smiles zinc_id prot_id files.db2 substance.inchikey net_charge ph_mod_fk substance.mwt substance.logp purchasable reactive features tranche_name CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC[C@H](F)C3)CC2)C1 ZINC000941407555 717171845 /nfs/dbraw/zinc/17/18/45/717171845.db2.gz SKOXBTORDTWROA-HOTGVXAUSA-N 1 2 321.440 1.366 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3[nH]c(C)cc3C)CC2)C1 ZINC000941460015 717180897 /nfs/dbraw/zinc/18/08/97/717180897.db2.gz LHOGENRSRFZSMC-UHFFFAOYSA-N 1 2 314.433 1.097 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3coc(C(F)F)c3)CC2)C1 ZINC000941533709 717197295 /nfs/dbraw/zinc/19/72/95/717197295.db2.gz FDLAKSUINRGUAC-UHFFFAOYSA-N 1 2 323.343 1.292 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3(C)CCCCC3)CC2)C1 ZINC000941545271 717202499 /nfs/dbraw/zinc/20/24/99/717202499.db2.gz VBHSNVIPSIDBDH-UHFFFAOYSA-N 1 2 303.450 1.418 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H](C)C3CCCC3)CC2)C1 ZINC000941634412 717238205 /nfs/dbraw/zinc/23/82/05/717238205.db2.gz FUWICSRQWZULAK-HNNXBMFYSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@H](C)c3ccco3)CC2)C1 ZINC000941641072 717240254 /nfs/dbraw/zinc/24/02/54/717240254.db2.gz RTTHUVUGKVMVQC-HNNXBMFYSA-N 1 2 315.417 1.235 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3c(C)cccc3F)CC2)C1 ZINC000941685145 717256380 /nfs/dbraw/zinc/25/63/80/717256380.db2.gz UJDURAXZWPMTJG-UHFFFAOYSA-N 1 2 315.392 1.209 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)C2=COCCO2)C1 ZINC000964710376 717388143 /nfs/dbraw/zinc/38/81/43/717388143.db2.gz HPVGCEHSXKJYIX-ZYHUDNBSSA-N 1 2 300.786 1.454 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)C2=COCCO2)C1 ZINC000964710376 717388149 /nfs/dbraw/zinc/38/81/49/717388149.db2.gz HPVGCEHSXKJYIX-ZYHUDNBSSA-N 1 2 300.786 1.454 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(C)nn2C)C1 ZINC000965421832 717645893 /nfs/dbraw/zinc/64/58/93/717645893.db2.gz YMQYKDFXACHZSB-ZWNOBZJWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(C)nn2C)C1 ZINC000965421832 717645895 /nfs/dbraw/zinc/64/58/95/717645895.db2.gz YMQYKDFXACHZSB-ZWNOBZJWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC000945439854 718462354 /nfs/dbraw/zinc/46/23/54/718462354.db2.gz OQNWSUNBBGRSCC-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966883385 718693178 /nfs/dbraw/zinc/69/31/78/718693178.db2.gz DDSBSNPOXGFSDS-KWQFWETISA-N 1 2 312.801 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966883385 718693181 /nfs/dbraw/zinc/69/31/81/718693181.db2.gz DDSBSNPOXGFSDS-KWQFWETISA-N 1 2 312.801 1.616 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1ncccn1 ZINC000947175608 719060709 /nfs/dbraw/zinc/06/07/09/719060709.db2.gz UFBILDATCBVCRS-JSGCOSHPSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1ncccn1 ZINC000947175608 719060713 /nfs/dbraw/zinc/06/07/13/719060713.db2.gz UFBILDATCBVCRS-JSGCOSHPSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cnon3)[C@H](C)C2)C1 ZINC000947703105 719249042 /nfs/dbraw/zinc/24/90/42/719249042.db2.gz VSOSGMMSQVTWEI-CHWSQXEVSA-N 1 2 304.394 1.895 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cnon3)[C@H](C)C2)C1 ZINC000947703105 719249045 /nfs/dbraw/zinc/24/90/45/719249045.db2.gz VSOSGMMSQVTWEI-CHWSQXEVSA-N 1 2 304.394 1.895 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CCN1CC#N ZINC000947879105 719297036 /nfs/dbraw/zinc/29/70/36/719297036.db2.gz WFKURNOGFZRRGH-HOCLYGCPSA-N 1 2 323.400 1.979 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1)c1csnn1 ZINC000968466811 719602635 /nfs/dbraw/zinc/60/26/35/719602635.db2.gz JNUYDUOZBVMDIH-GXSJLCMTSA-N 1 2 316.390 1.303 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ncoc1C ZINC000948894292 719771569 /nfs/dbraw/zinc/77/15/69/719771569.db2.gz LBRCLGXEUHFVCS-INIZCTEOSA-N 1 2 309.369 1.945 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ncoc1C ZINC000948894292 719771572 /nfs/dbraw/zinc/77/15/72/719771572.db2.gz LBRCLGXEUHFVCS-INIZCTEOSA-N 1 2 309.369 1.945 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cncn1C ZINC000948934976 719792529 /nfs/dbraw/zinc/79/25/29/719792529.db2.gz ATCMSVHECNQYRU-QGZVFWFLSA-N 1 2 322.412 1.773 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cncn1C ZINC000948934976 719792531 /nfs/dbraw/zinc/79/25/31/719792531.db2.gz ATCMSVHECNQYRU-QGZVFWFLSA-N 1 2 322.412 1.773 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC000969993583 720590103 /nfs/dbraw/zinc/59/01/03/720590103.db2.gz UKPVIVUZGLDXEZ-QWHCGFSZSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2c[nH]c(=O)cc2C)C1 ZINC000970406427 720750127 /nfs/dbraw/zinc/75/01/27/720750127.db2.gz NPFADDREAWLSBU-LLVKDONJSA-N 1 2 309.797 1.898 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC000950970516 720857491 /nfs/dbraw/zinc/85/74/91/720857491.db2.gz ZXJPEVRSTYOOLS-CWRNSKLLSA-N 1 2 308.422 1.147 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2c(C)nc(C(C)C)[nH]c2=O)C1 ZINC000951113462 720921788 /nfs/dbraw/zinc/92/17/88/720921788.db2.gz BADUDOXZAZYGGH-UHFFFAOYSA-N 1 2 318.421 1.946 20 30 DDEDLO C=C(C)CC[NH+]1CC(N(CC)C(=O)[C@H]2CN(C(C)C)CCO2)C1 ZINC000951366347 721004293 /nfs/dbraw/zinc/00/42/93/721004293.db2.gz XPDQQYIGWCNQFH-QGZVFWFLSA-N 1 2 323.481 1.595 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2ccc(CCC(N)=O)cc2)C1 ZINC000951719088 721152169 /nfs/dbraw/zinc/15/21/69/721152169.db2.gz INCVDSFPSJGNLT-UHFFFAOYSA-N 1 2 315.417 1.437 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC000951730004 721156154 /nfs/dbraw/zinc/15/61/54/721156154.db2.gz MGKZYQLMQXBAES-AWEZNQCLSA-N 1 2 300.406 1.386 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971513134 721333665 /nfs/dbraw/zinc/33/36/65/721333665.db2.gz KITBGPVFESPBMG-CYBMUJFWSA-N 1 2 311.223 1.841 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971513134 721333667 /nfs/dbraw/zinc/33/36/67/721333667.db2.gz KITBGPVFESPBMG-CYBMUJFWSA-N 1 2 311.223 1.841 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc3c([nH]c2=O)CCCC3)CC1 ZINC000952372292 721425713 /nfs/dbraw/zinc/42/57/13/721425713.db2.gz CJRIGFRDDKIJOV-UHFFFAOYSA-N 1 2 301.390 1.610 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3cccc(C)c3)[C@H]2C1 ZINC001083209105 733370612 /nfs/dbraw/zinc/37/06/12/733370612.db2.gz QSJBSLTWVNJKJU-RYQLBKOJSA-N 1 2 312.413 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3cccc(C)c3)[C@H]2C1 ZINC001083209105 733370617 /nfs/dbraw/zinc/37/06/17/733370617.db2.gz QSJBSLTWVNJKJU-RYQLBKOJSA-N 1 2 312.413 1.643 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncs1 ZINC001111488342 733378483 /nfs/dbraw/zinc/37/84/83/733378483.db2.gz HDRIDKMPACBRPX-GBOPCIDUSA-N 1 2 321.446 1.956 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncs1 ZINC001111488342 733378487 /nfs/dbraw/zinc/37/84/87/733378487.db2.gz HDRIDKMPACBRPX-GBOPCIDUSA-N 1 2 321.446 1.956 20 30 DDEDLO C[C@@H](CCCNc1ccc(C#N)nc1)NC(=O)Cn1cc[nH+]c1 ZINC001114957993 733723509 /nfs/dbraw/zinc/72/35/09/733723509.db2.gz UBOPPJUAWHZXOJ-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC001027928633 738822901 /nfs/dbraw/zinc/82/29/01/738822901.db2.gz VBPGNPLGLRGYGK-KBPBESRZSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC001027928633 738822905 /nfs/dbraw/zinc/82/29/05/738822905.db2.gz VBPGNPLGLRGYGK-KBPBESRZSA-N 1 2 314.451 1.110 20 30 DDEDLO CCn1nncc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038237418 734695695 /nfs/dbraw/zinc/69/56/95/734695695.db2.gz XKTJFKCRDHYWGZ-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1nncc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038237418 734695697 /nfs/dbraw/zinc/69/56/97/734695697.db2.gz XKTJFKCRDHYWGZ-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnc2n1CCC2 ZINC001027940033 738840631 /nfs/dbraw/zinc/84/06/31/738840631.db2.gz FJXRHUAXVNRHOA-LBPRGKRZSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnc2n1CCC2 ZINC001027940033 738840633 /nfs/dbraw/zinc/84/06/33/738840633.db2.gz FJXRHUAXVNRHOA-LBPRGKRZSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccnc1 ZINC001038256216 735047238 /nfs/dbraw/zinc/04/72/38/735047238.db2.gz CCGWVBKGKZAZPD-ZACQAIPSSA-N 1 2 315.417 1.753 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cccnc1 ZINC001038256216 735047239 /nfs/dbraw/zinc/04/72/39/735047239.db2.gz CCGWVBKGKZAZPD-ZACQAIPSSA-N 1 2 315.417 1.753 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3ccco3)C2)C1 ZINC000972550113 735158730 /nfs/dbraw/zinc/15/87/30/735158730.db2.gz WAMGYTHMFOLLAT-WMLDXEAASA-N 1 2 302.374 1.320 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3ccco3)C2)C1 ZINC000972550113 735158732 /nfs/dbraw/zinc/15/87/32/735158732.db2.gz WAMGYTHMFOLLAT-WMLDXEAASA-N 1 2 302.374 1.320 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1n[nH]c2c1CCC2 ZINC001027995359 738918971 /nfs/dbraw/zinc/91/89/71/738918971.db2.gz MBTZAEIFGMMLLA-NSHDSACASA-N 1 2 308.813 1.845 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1n[nH]c2c1CCC2 ZINC001027995359 738918975 /nfs/dbraw/zinc/91/89/75/738918975.db2.gz MBTZAEIFGMMLLA-NSHDSACASA-N 1 2 308.813 1.845 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H](C)CNc2cc[nH+]c(C)n2)cn1 ZINC001104633095 736475982 /nfs/dbraw/zinc/47/59/82/736475982.db2.gz XCMOTHRGHIIMBV-LBPRGKRZSA-N 1 2 309.373 1.734 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)c3ccsc3)C2)nn1 ZINC001098608566 737251255 /nfs/dbraw/zinc/25/12/55/737251255.db2.gz ZNGPSJMLBSWBBV-AWEZNQCLSA-N 1 2 315.402 1.150 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@H](NC(=O)[C@H]3CCOC3)C2)c1 ZINC001010358283 739088091 /nfs/dbraw/zinc/08/80/91/739088091.db2.gz IFZJEJWRXFSHPG-ZFWWWQNUSA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@H](NC(=O)[C@H]3CCOC3)C2)c1 ZINC001010358283 739088093 /nfs/dbraw/zinc/08/80/93/739088093.db2.gz IFZJEJWRXFSHPG-ZFWWWQNUSA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@H]2CNC(=O)c2ncn[nH]2)c1 ZINC001028209025 739161325 /nfs/dbraw/zinc/16/13/25/739161325.db2.gz CVFDIKVDDTXJFK-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@H]2CNC(=O)c2ncn[nH]2)c1 ZINC001028209025 739161329 /nfs/dbraw/zinc/16/13/29/739161329.db2.gz CVFDIKVDDTXJFK-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@H]2CNC(=O)c2nc[nH]n2)c1 ZINC001028209025 739161333 /nfs/dbraw/zinc/16/13/33/739161333.db2.gz CVFDIKVDDTXJFK-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)C3CCCC3)C2)nn1 ZINC001105359631 739161699 /nfs/dbraw/zinc/16/16/99/739161699.db2.gz PVARRJMJGMLAIL-ZDUSSCGKSA-N 1 2 315.421 1.211 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](Nc2ccc(C#N)cn2)C1 ZINC001058937623 739254775 /nfs/dbraw/zinc/25/47/75/739254775.db2.gz IVJPSTYRSCSCMO-ZDUSSCGKSA-N 1 2 310.361 1.240 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+]Cc1nnc(C(F)F)s1 ZINC001126365527 739462494 /nfs/dbraw/zinc/46/24/94/739462494.db2.gz KNSXKLFTKGFGHO-LBPRGKRZSA-N 1 2 320.365 1.009 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2C)[C@H](OC)C1 ZINC001211780246 739528139 /nfs/dbraw/zinc/52/81/39/739528139.db2.gz ZBMDHPFAMVSOOT-IAGOWNOFSA-N 1 2 316.401 1.074 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2C)[C@H](OC)C1 ZINC001211780246 739528140 /nfs/dbraw/zinc/52/81/40/739528140.db2.gz ZBMDHPFAMVSOOT-IAGOWNOFSA-N 1 2 316.401 1.074 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3c2OCCO3)C1 ZINC001035391879 751461617 /nfs/dbraw/zinc/46/16/17/751461617.db2.gz RCUVRDHREUEZHW-ZDUSSCGKSA-N 1 2 318.373 1.074 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3c2OCCO3)C1 ZINC001035391879 751461620 /nfs/dbraw/zinc/46/16/20/751461620.db2.gz RCUVRDHREUEZHW-ZDUSSCGKSA-N 1 2 318.373 1.074 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](CNC(=O)c2cn(C)c(C)cc2=O)C1 ZINC001028610830 739788254 /nfs/dbraw/zinc/78/82/54/739788254.db2.gz UMOLEJUIKFIHLX-CYBMUJFWSA-N 1 2 323.824 1.498 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](CNC(=O)c2cn(C)c(C)cc2=O)C1 ZINC001028610830 739788256 /nfs/dbraw/zinc/78/82/56/739788256.db2.gz UMOLEJUIKFIHLX-CYBMUJFWSA-N 1 2 323.824 1.498 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)cc(C)n2)C1 ZINC001035422416 751480110 /nfs/dbraw/zinc/48/01/10/751480110.db2.gz BMIURIWEBCKUNT-HNNXBMFYSA-N 1 2 301.390 1.152 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)cc(C)n2)C1 ZINC001035422416 751480116 /nfs/dbraw/zinc/48/01/16/751480116.db2.gz BMIURIWEBCKUNT-HNNXBMFYSA-N 1 2 301.390 1.152 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+](Cc3nnc(C)o3)C2)cc1 ZINC001028730787 739968708 /nfs/dbraw/zinc/96/87/08/739968708.db2.gz QRLMZVURZSLCTC-HNNXBMFYSA-N 1 2 324.384 1.611 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+](Cc3nnc(C)o3)C2)cc1 ZINC001028730787 739968712 /nfs/dbraw/zinc/96/87/12/739968712.db2.gz QRLMZVURZSLCTC-HNNXBMFYSA-N 1 2 324.384 1.611 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)cc(C)nc2C)C1 ZINC001035452898 751501671 /nfs/dbraw/zinc/50/16/71/751501671.db2.gz JHUBOXRYLWBLAD-MRXNPFEDSA-N 1 2 315.417 1.461 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(C)cc(C)nc2C)C1 ZINC001035452898 751501675 /nfs/dbraw/zinc/50/16/75/751501675.db2.gz JHUBOXRYLWBLAD-MRXNPFEDSA-N 1 2 315.417 1.461 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc3cnccc3o2)C1 ZINC001035432073 751508773 /nfs/dbraw/zinc/50/87/73/751508773.db2.gz NOPVVTQEOKFHRD-AWEZNQCLSA-N 1 2 315.373 1.835 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc3cnccc3o2)C1 ZINC001035432073 751508775 /nfs/dbraw/zinc/50/87/75/751508775.db2.gz NOPVVTQEOKFHRD-AWEZNQCLSA-N 1 2 315.373 1.835 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(Cl)cn2C)C1 ZINC001035439680 751515931 /nfs/dbraw/zinc/51/59/31/751515931.db2.gz FICFZEFMXMZBJK-CYBMUJFWSA-N 1 2 311.813 1.685 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(Cl)cn2C)C1 ZINC001035439680 751515934 /nfs/dbraw/zinc/51/59/34/751515934.db2.gz FICFZEFMXMZBJK-CYBMUJFWSA-N 1 2 311.813 1.685 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(F)cc(C)cc2F)C1 ZINC001035439522 751516160 /nfs/dbraw/zinc/51/61/60/751516160.db2.gz ZAKDFDONPONHKS-ZDUSSCGKSA-N 1 2 322.355 1.727 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(F)cc(C)cc2F)C1 ZINC001035439522 751516163 /nfs/dbraw/zinc/51/61/63/751516163.db2.gz ZAKDFDONPONHKS-ZDUSSCGKSA-N 1 2 322.355 1.727 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC[C@@H]1NCC#N ZINC001037884259 751532695 /nfs/dbraw/zinc/53/26/95/751532695.db2.gz NTZCDYZHHSUBKT-OCCSQVGLSA-N 1 2 311.389 1.917 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCCc3cccnc32)C1 ZINC001035481101 751535445 /nfs/dbraw/zinc/53/54/45/751535445.db2.gz DTLIGJDHRZRFSX-JKSUJKDBSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCCc3cccnc32)C1 ZINC001035481101 751535447 /nfs/dbraw/zinc/53/54/47/751535447.db2.gz DTLIGJDHRZRFSX-JKSUJKDBSA-N 1 2 315.417 1.505 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098698356 740437417 /nfs/dbraw/zinc/43/74/17/740437417.db2.gz UFCDIIZWVASIBJ-AWEZNQCLSA-N 1 2 323.416 1.713 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)C2CCC(OC)CC2)C1 ZINC001035495233 751579240 /nfs/dbraw/zinc/57/92/40/751579240.db2.gz HFPVSIWDRRBOCZ-UYSNPLJNSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)C2CCC(OC)CC2)C1 ZINC001035495233 751579244 /nfs/dbraw/zinc/57/92/44/751579244.db2.gz HFPVSIWDRRBOCZ-UYSNPLJNSA-N 1 2 310.438 1.585 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cncc(Cl)c2)C1 ZINC001035546003 751592771 /nfs/dbraw/zinc/59/27/71/751592771.db2.gz OYPYHNZHGKBCGQ-CQSZACIVSA-N 1 2 307.781 1.189 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cncc(Cl)c2)C1 ZINC001035546003 751592775 /nfs/dbraw/zinc/59/27/75/751592775.db2.gz OYPYHNZHGKBCGQ-CQSZACIVSA-N 1 2 307.781 1.189 20 30 DDEDLO CCCn1ncnc1C[N@H+](C)C[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001029679308 741220552 /nfs/dbraw/zinc/22/05/52/741220552.db2.gz ZLGWGRXOLRZAAZ-KBPBESRZSA-N 1 2 318.425 1.128 20 30 DDEDLO CCCn1ncnc1C[N@@H+](C)C[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001029679308 741220555 /nfs/dbraw/zinc/22/05/55/741220555.db2.gz ZLGWGRXOLRZAAZ-KBPBESRZSA-N 1 2 318.425 1.128 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](C[N@@H+](C)Cc3nnc(C)[nH]3)C2)C1 ZINC001029837177 741377203 /nfs/dbraw/zinc/37/72/03/741377203.db2.gz YNVIYCQLGAYXPG-CQSZACIVSA-N 1 2 317.437 1.750 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](C[N@H+](C)Cc3nnc(C)[nH]3)C2)C1 ZINC001029837177 741377205 /nfs/dbraw/zinc/37/72/05/741377205.db2.gz YNVIYCQLGAYXPG-CQSZACIVSA-N 1 2 317.437 1.750 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001035560872 751642633 /nfs/dbraw/zinc/64/26/33/751642633.db2.gz WXYWXFVEKPEKIR-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001035560872 751642636 /nfs/dbraw/zinc/64/26/36/751642636.db2.gz WXYWXFVEKPEKIR-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)nn2C)C1 ZINC001035562358 751644924 /nfs/dbraw/zinc/64/49/24/751644924.db2.gz LTMGYWXNQZYWID-CQSZACIVSA-N 1 2 320.437 1.550 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)nn2C)C1 ZINC001035562358 751644925 /nfs/dbraw/zinc/64/49/25/751644925.db2.gz LTMGYWXNQZYWID-CQSZACIVSA-N 1 2 320.437 1.550 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(Cl)cn2)C1 ZINC001035582170 751673542 /nfs/dbraw/zinc/67/35/42/751673542.db2.gz ASIVNERAMSQHEL-ZDUSSCGKSA-N 1 2 307.781 1.189 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(Cl)cn2)C1 ZINC001035582170 751673545 /nfs/dbraw/zinc/67/35/45/751673545.db2.gz ASIVNERAMSQHEL-ZDUSSCGKSA-N 1 2 307.781 1.189 20 30 DDEDLO Cc1nc(N2CCC[C@@H](CNC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001059919699 741976465 /nfs/dbraw/zinc/97/64/65/741976465.db2.gz NZGNLNRYODLBQP-ZDUSSCGKSA-N 1 2 324.388 1.631 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3cccc(F)c3O2)C1 ZINC001035606345 751684361 /nfs/dbraw/zinc/68/43/61/751684361.db2.gz BOKWWRRYQUJALM-HIFRSBDPSA-N 1 2 320.364 1.132 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3cccc(F)c3O2)C1 ZINC001035606345 751684364 /nfs/dbraw/zinc/68/43/64/751684364.db2.gz BOKWWRRYQUJALM-HIFRSBDPSA-N 1 2 320.364 1.132 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2sc3nccn3c2C)[C@H]1C ZINC001088628618 742028667 /nfs/dbraw/zinc/02/86/67/742028667.db2.gz CYVPYIMSYRUOLB-PWSUYJOCSA-N 1 2 302.403 1.530 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2sc3nccn3c2C)[C@H]1C ZINC001088628618 742028670 /nfs/dbraw/zinc/02/86/70/742028670.db2.gz CYVPYIMSYRUOLB-PWSUYJOCSA-N 1 2 302.403 1.530 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2snnc2C2CC2)[C@H]1C ZINC001088637568 742033480 /nfs/dbraw/zinc/03/34/80/742033480.db2.gz OTLMUNFFZDHOPY-PWSUYJOCSA-N 1 2 304.419 1.631 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2snnc2C2CC2)[C@H]1C ZINC001088637568 742033481 /nfs/dbraw/zinc/03/34/81/742033481.db2.gz OTLMUNFFZDHOPY-PWSUYJOCSA-N 1 2 304.419 1.631 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1ccccc1F ZINC001032624125 751697983 /nfs/dbraw/zinc/69/79/83/751697983.db2.gz BGQJVULWEBOSFA-BPUTZDHNSA-N 1 2 300.377 1.923 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1ccccc1F ZINC001032624125 751697986 /nfs/dbraw/zinc/69/79/86/751697986.db2.gz BGQJVULWEBOSFA-BPUTZDHNSA-N 1 2 300.377 1.923 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2n[nH]c3c2CCC3)[C@H]1C ZINC001088697912 742193730 /nfs/dbraw/zinc/19/37/30/742193730.db2.gz RAUHIESOXDNOTE-PWSUYJOCSA-N 1 2 308.813 1.844 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2n[nH]c3c2CCC3)[C@H]1C ZINC001088697912 742193732 /nfs/dbraw/zinc/19/37/32/742193732.db2.gz RAUHIESOXDNOTE-PWSUYJOCSA-N 1 2 308.813 1.844 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001060067226 742389094 /nfs/dbraw/zinc/38/90/94/742389094.db2.gz DXVOLCSNWVCKMB-CQSZACIVSA-N 1 2 318.421 1.450 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H]3CC3(C)C)C2)nn1 ZINC001098658904 742414855 /nfs/dbraw/zinc/41/48/55/742414855.db2.gz KDPCNNBPBOLMIM-GJZGRUSLSA-N 1 2 315.421 1.211 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)nc1 ZINC001060093785 742496207 /nfs/dbraw/zinc/49/62/07/742496207.db2.gz ONMXVHFQCIXOGP-AWEZNQCLSA-N 1 2 324.388 1.570 20 30 DDEDLO COc1cc(C[NH+]2CC3(C2)CCN(C(=O)[C@@H](C)C#N)CC3)on1 ZINC001035670422 751776145 /nfs/dbraw/zinc/77/61/45/751776145.db2.gz FUYHPJCLVCHZKT-LBPRGKRZSA-N 1 2 318.377 1.267 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)C1 ZINC001077001701 743162909 /nfs/dbraw/zinc/16/29/09/743162909.db2.gz HXIIBMLGSZTMBA-VQHPVUNQSA-N 1 2 312.413 1.221 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)C1 ZINC001077001701 743162913 /nfs/dbraw/zinc/16/29/13/743162913.db2.gz HXIIBMLGSZTMBA-VQHPVUNQSA-N 1 2 312.413 1.221 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCC[C@H](NC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001061094867 743180244 /nfs/dbraw/zinc/18/02/44/743180244.db2.gz IIXUZCJRYJZMIG-CABCVRRESA-N 1 2 324.388 1.689 20 30 DDEDLO C=CCCC(=O)N1CCC2(CN(C(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC001061098760 743213890 /nfs/dbraw/zinc/21/38/90/743213890.db2.gz ARAJVNHAXZDBBP-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1[nH]c(=O)[nH]c1C)CC2 ZINC001035729964 751823063 /nfs/dbraw/zinc/82/30/63/751823063.db2.gz FWPJSTVWHDRSDI-UHFFFAOYSA-N 1 2 324.812 1.714 20 30 DDEDLO C=CCn1c(CCCCC)nnc1N(C)CC[NH+]1CCOCC1 ZINC001121519278 782544228 /nfs/dbraw/zinc/54/42/28/782544228.db2.gz KLJSKBCIVPUJTH-UHFFFAOYSA-N 1 2 321.469 1.965 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)NCCNc2cccc[nH+]2)c1 ZINC001182228789 743526284 /nfs/dbraw/zinc/52/62/84/743526284.db2.gz AWDHRTLICIFALL-UHFFFAOYSA-N 1 2 309.373 1.861 20 30 DDEDLO C=C(C)CCC(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105709488 743634478 /nfs/dbraw/zinc/63/44/78/743634478.db2.gz MOLPDGIXRAFETD-UHFFFAOYSA-N 1 2 310.438 1.681 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)[C@@H](O)C1 ZINC001083664861 743707198 /nfs/dbraw/zinc/70/71/98/743707198.db2.gz DUAXJTKULMJYMK-OLZOCXBDSA-N 1 2 324.783 1.586 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)[C@@H](O)C1 ZINC001083664861 743707200 /nfs/dbraw/zinc/70/72/00/743707200.db2.gz DUAXJTKULMJYMK-OLZOCXBDSA-N 1 2 324.783 1.586 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060262969 743755608 /nfs/dbraw/zinc/75/56/08/743755608.db2.gz HMRXLFPELRIRDO-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001060266535 743759254 /nfs/dbraw/zinc/75/92/54/743759254.db2.gz RPVMLVSMINCTJX-AWEZNQCLSA-N 1 2 324.388 1.712 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([NH2+]Cc3nnc(C)o3)C2)CCC1 ZINC001183185384 743792054 /nfs/dbraw/zinc/79/20/54/743792054.db2.gz HQOIHTQTQZAJTN-CYBMUJFWSA-N 1 2 302.378 1.262 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([NH2+][C@H](C)c3nnc(C)o3)C2)CCC1 ZINC001183194244 743794252 /nfs/dbraw/zinc/79/42/52/743794252.db2.gz ZJOXXAAFFLFXIN-TZMCWYRMSA-N 1 2 316.405 1.823 20 30 DDEDLO C=C[C@@H](CO)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccccc1C#N ZINC001183839983 743916783 /nfs/dbraw/zinc/91/67/83/743916783.db2.gz BCYIXYDHXLPCIC-IRXDYDNUSA-N 1 2 313.401 1.576 20 30 DDEDLO C=C[C@@H](CO)NC(=O)[C@@H]1CCCC[N@H+]1Cc1ccccc1C#N ZINC001183839983 743916784 /nfs/dbraw/zinc/91/67/84/743916784.db2.gz BCYIXYDHXLPCIC-IRXDYDNUSA-N 1 2 313.401 1.576 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001185064888 744146730 /nfs/dbraw/zinc/14/67/30/744146730.db2.gz ZMIJUUZWXSMKPQ-QWHCGFSZSA-N 1 2 306.410 1.925 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)[C@@H]2CCc3[nH+]c(C)[nH]c3C2)C1 ZINC001030553259 744259140 /nfs/dbraw/zinc/25/91/40/744259140.db2.gz UMSVABZARUSCGW-LLVKDONJSA-N 1 2 308.813 1.376 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)[C@@H]2CCc3[nH]c(C)[nH+]c3C2)C1 ZINC001030553259 744259141 /nfs/dbraw/zinc/25/91/41/744259141.db2.gz UMSVABZARUSCGW-LLVKDONJSA-N 1 2 308.813 1.376 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cc(C)n([C@H](C)C3CC3)n2)C1 ZINC001030586285 744293395 /nfs/dbraw/zinc/29/33/95/744293395.db2.gz RYAVPUVRFVAQJJ-CYBMUJFWSA-N 1 2 300.406 1.600 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)c2ccon2)C1 ZINC001006842489 751908520 /nfs/dbraw/zinc/90/85/20/751908520.db2.gz YULGHLJRUWMZSD-HNNXBMFYSA-N 1 2 310.357 1.941 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)c2ccon2)C1 ZINC001006842489 751908524 /nfs/dbraw/zinc/90/85/24/751908524.db2.gz YULGHLJRUWMZSD-HNNXBMFYSA-N 1 2 310.357 1.941 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](O)CNc2cc[nH+]c(C)n2)CC1 ZINC001106080426 744430499 /nfs/dbraw/zinc/43/04/99/744430499.db2.gz MQAJONVHAVEDNX-CQSZACIVSA-N 1 2 304.394 1.420 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)C[C@H]2CC[C@H](C(F)(F)F)O2)C1 ZINC001030712617 744510136 /nfs/dbraw/zinc/51/01/36/744510136.db2.gz UKQBHNIXNPNWEC-VXGBXAGGSA-N 1 2 304.312 1.310 20 30 DDEDLO COc1ccnc(C(=N)Nc2cccc(-c3c[nH+]cn3C)c2)n1 ZINC001168428424 744586362 /nfs/dbraw/zinc/58/63/62/744586362.db2.gz RJWLHVYMROSUTC-UHFFFAOYSA-N 1 2 308.345 1.923 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCO2)C1 ZINC001046120316 744769492 /nfs/dbraw/zinc/76/94/92/744769492.db2.gz AAFKZTFEDAEMRM-AAEUAGOBSA-N 1 2 317.227 1.655 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCO2)C1 ZINC001046120316 744769494 /nfs/dbraw/zinc/76/94/94/744769494.db2.gz AAFKZTFEDAEMRM-AAEUAGOBSA-N 1 2 317.227 1.655 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3ncccc3s2)[C@@H](O)C1 ZINC001083696657 744874138 /nfs/dbraw/zinc/87/41/38/744874138.db2.gz KVGNIXCGCRPADF-OLZOCXBDSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3ncccc3s2)[C@@H](O)C1 ZINC001083696657 744874139 /nfs/dbraw/zinc/87/41/39/744874139.db2.gz KVGNIXCGCRPADF-OLZOCXBDSA-N 1 2 315.398 1.095 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)c(C)s2)C1 ZINC001189363607 744886294 /nfs/dbraw/zinc/88/62/94/744886294.db2.gz NOCGWXCWWLMJSI-AWEZNQCLSA-N 1 2 323.462 1.995 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)c(C)s2)C1 ZINC001189363607 744886297 /nfs/dbraw/zinc/88/62/97/744886297.db2.gz NOCGWXCWWLMJSI-AWEZNQCLSA-N 1 2 323.462 1.995 20 30 DDEDLO CCc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190047637 745088767 /nfs/dbraw/zinc/08/87/67/745088767.db2.gz UWWOEMZFFHUHAQ-CQSZACIVSA-N 1 2 303.406 1.929 20 30 DDEDLO CCc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190047637 745088772 /nfs/dbraw/zinc/08/87/72/745088772.db2.gz UWWOEMZFFHUHAQ-CQSZACIVSA-N 1 2 303.406 1.929 20 30 DDEDLO CC#CC[N@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001190178178 745140794 /nfs/dbraw/zinc/14/07/94/745140794.db2.gz UWPXVXOOOHEDRY-UONOGXRCSA-N 1 2 300.406 1.386 20 30 DDEDLO CC#CC[N@@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001190178178 745140798 /nfs/dbraw/zinc/14/07/98/745140798.db2.gz UWPXVXOOOHEDRY-UONOGXRCSA-N 1 2 300.406 1.386 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([N@H+](C)CC(=O)Nc2ccon2)C1 ZINC001190435956 745219279 /nfs/dbraw/zinc/21/92/79/745219279.db2.gz MYMOAPWSASEIRM-CYBMUJFWSA-N 1 2 320.393 1.502 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccon2)C1 ZINC001190435956 745219284 /nfs/dbraw/zinc/21/92/84/745219284.db2.gz MYMOAPWSASEIRM-CYBMUJFWSA-N 1 2 320.393 1.502 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]([N@@H+](C)Cc3ccn(C)n3)C2)CCC1 ZINC001190596238 745268286 /nfs/dbraw/zinc/26/82/86/745268286.db2.gz XKJJONXDNZVZCH-INIZCTEOSA-N 1 2 314.433 1.646 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]([N@H+](C)Cc3ccn(C)n3)C2)CCC1 ZINC001190596238 745268295 /nfs/dbraw/zinc/26/82/95/745268295.db2.gz XKJJONXDNZVZCH-INIZCTEOSA-N 1 2 314.433 1.646 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CCC[C@@H](NC(C)=O)[C@H]2C)c1 ZINC000992916686 745291147 /nfs/dbraw/zinc/29/11/47/745291147.db2.gz UMNAZJQDCDCLTG-CXAGYDPISA-N 1 2 313.401 1.595 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@@H](NC(C)=O)[C@H]2C)c1 ZINC000992916686 745291148 /nfs/dbraw/zinc/29/11/48/745291148.db2.gz UMNAZJQDCDCLTG-CXAGYDPISA-N 1 2 313.401 1.595 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001191912159 745638743 /nfs/dbraw/zinc/63/87/43/745638743.db2.gz NMYUWPZTBWUORH-UONOGXRCSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001191912159 745638747 /nfs/dbraw/zinc/63/87/47/745638747.db2.gz NMYUWPZTBWUORH-UONOGXRCSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106531501 745772169 /nfs/dbraw/zinc/77/21/69/745772169.db2.gz JAAUIWADBZOYKC-NSHDSACASA-N 1 2 320.441 1.765 20 30 DDEDLO C[C@H](CCNc1cccc(F)c1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001106597933 745822984 /nfs/dbraw/zinc/82/29/84/745822984.db2.gz CALJAGRGINTKDW-LLVKDONJSA-N 1 2 315.352 1.970 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2cccc(F)c2)C[C@H]1O ZINC001192751686 745893674 /nfs/dbraw/zinc/89/36/74/745893674.db2.gz GHKZRGLCFZEBNK-HZPDHXFCSA-N 1 2 304.365 1.146 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2cccc(F)c2)C[C@H]1O ZINC001192751686 745893678 /nfs/dbraw/zinc/89/36/78/745893678.db2.gz GHKZRGLCFZEBNK-HZPDHXFCSA-N 1 2 304.365 1.146 20 30 DDEDLO Cc1cc[nH+]c(N2CCN(C(=O)c3ccc(O)c(C#N)c3)CC2)c1 ZINC001193503972 746128119 /nfs/dbraw/zinc/12/81/19/746128119.db2.gz KWKDMWYERCJYKB-UHFFFAOYSA-N 1 2 322.368 1.930 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cn[nH]c(=O)c2)[C@@H]1C ZINC000993469022 746164467 /nfs/dbraw/zinc/16/44/67/746164467.db2.gz WLCOJEFRQUVMPQ-JQWIXIFHSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cn[nH]c(=O)c2)[C@@H]1C ZINC000993469022 746164470 /nfs/dbraw/zinc/16/44/70/746164470.db2.gz WLCOJEFRQUVMPQ-JQWIXIFHSA-N 1 2 310.785 1.517 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2sc3nccn3c2C)[C@H]1C ZINC000993453481 746169194 /nfs/dbraw/zinc/16/91/94/746169194.db2.gz QHDXZMBESPXWCF-DGCLKSJQSA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc3nccn3c2C)[C@H]1C ZINC000993453481 746169197 /nfs/dbraw/zinc/16/91/97/746169197.db2.gz QHDXZMBESPXWCF-DGCLKSJQSA-N 1 2 316.430 1.920 20 30 DDEDLO N#Cc1ccc(NC2CCC(NC(=O)Cn3cc[nH+]c3)CC2)cn1 ZINC001060597373 746194163 /nfs/dbraw/zinc/19/41/63/746194163.db2.gz DMOFREOTXAQREF-UHFFFAOYSA-N 1 2 324.388 1.689 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc3c2CCC3)[C@H]1C ZINC000993553852 746217271 /nfs/dbraw/zinc/21/72/71/746217271.db2.gz NKBNMURACQBFNZ-TZMCWYRMSA-N 1 2 300.406 1.504 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]nc3c2CCC3)[C@H]1C ZINC000993553852 746217273 /nfs/dbraw/zinc/21/72/73/746217273.db2.gz NKBNMURACQBFNZ-TZMCWYRMSA-N 1 2 300.406 1.504 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001194387930 746363339 /nfs/dbraw/zinc/36/33/39/746363339.db2.gz IMKJHGCDSSPFNJ-OAHLLOKOSA-N 1 2 321.421 1.917 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001194387930 746363345 /nfs/dbraw/zinc/36/33/45/746363345.db2.gz IMKJHGCDSSPFNJ-OAHLLOKOSA-N 1 2 321.421 1.917 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2ncn[nH]2)CCC[N@@H+]1Cc1ccc(C#N)cc1 ZINC000994025199 746425993 /nfs/dbraw/zinc/42/59/93/746425993.db2.gz VHHDIBJBLSAJGE-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2ncn[nH]2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000994025199 746425997 /nfs/dbraw/zinc/42/59/97/746425997.db2.gz VHHDIBJBLSAJGE-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2nc[nH]n2)CCC[N@@H+]1Cc1ccc(C#N)cc1 ZINC000994025199 746425998 /nfs/dbraw/zinc/42/59/98/746425998.db2.gz VHHDIBJBLSAJGE-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2nc[nH]n2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000994025199 746426002 /nfs/dbraw/zinc/42/60/02/746426002.db2.gz VHHDIBJBLSAJGE-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)c2ccc(C)o2)CC1 ZINC001194733766 746441014 /nfs/dbraw/zinc/44/10/14/746441014.db2.gz ZWDSNVUNADZLNO-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)c2ccc(C)o2)CC1 ZINC001194733766 746441016 /nfs/dbraw/zinc/44/10/16/746441016.db2.gz ZWDSNVUNADZLNO-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)NC(CC)CC)CC1 ZINC001195231532 746546781 /nfs/dbraw/zinc/54/67/81/746546781.db2.gz BXARMKJRDAFSIR-UHFFFAOYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)NC(CC)CC)CC1 ZINC001195231532 746546782 /nfs/dbraw/zinc/54/67/82/746546782.db2.gz BXARMKJRDAFSIR-UHFFFAOYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC001195315081 746575394 /nfs/dbraw/zinc/57/53/94/746575394.db2.gz XIOCVYLLRSIUSZ-UHFFFAOYSA-N 1 2 312.413 1.775 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC001195315081 746575398 /nfs/dbraw/zinc/57/53/98/746575398.db2.gz XIOCVYLLRSIUSZ-UHFFFAOYSA-N 1 2 312.413 1.775 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1O ZINC001195467367 746617099 /nfs/dbraw/zinc/61/70/99/746617099.db2.gz NHSXMTONKVHGQN-HZPDHXFCSA-N 1 2 319.405 1.092 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1O ZINC001195467367 746617100 /nfs/dbraw/zinc/61/71/00/746617100.db2.gz NHSXMTONKVHGQN-HZPDHXFCSA-N 1 2 319.405 1.092 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](Cc2ccc(OC)nn2)CC1 ZINC001195645736 746664301 /nfs/dbraw/zinc/66/43/01/746664301.db2.gz YVCXVGNUSVKNHP-UHFFFAOYSA-N 1 2 316.405 1.323 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](Cc2ccc(OC)nn2)CC1 ZINC001195645736 746664304 /nfs/dbraw/zinc/66/43/04/746664304.db2.gz YVCXVGNUSVKNHP-UHFFFAOYSA-N 1 2 316.405 1.323 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@H]1O ZINC001195732778 746679510 /nfs/dbraw/zinc/67/95/10/746679510.db2.gz IMGTYGZGIXMVKO-BPLDGKMQSA-N 1 2 304.394 1.055 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@H]1O ZINC001195732778 746679512 /nfs/dbraw/zinc/67/95/12/746679512.db2.gz IMGTYGZGIXMVKO-BPLDGKMQSA-N 1 2 304.394 1.055 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195780810 746702566 /nfs/dbraw/zinc/70/25/66/746702566.db2.gz KQJXNTWNTGNJLE-OAHLLOKOSA-N 1 2 319.449 1.261 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195780810 746702571 /nfs/dbraw/zinc/70/25/71/746702571.db2.gz KQJXNTWNTGNJLE-OAHLLOKOSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc(COC)s2)[C@H]1C ZINC000994523433 746737719 /nfs/dbraw/zinc/73/77/19/746737719.db2.gz XAFLDEDUXIMVSM-VXGBXAGGSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc(COC)s2)[C@H]1C ZINC000994523433 746737721 /nfs/dbraw/zinc/73/77/21/746737721.db2.gz XAFLDEDUXIMVSM-VXGBXAGGSA-N 1 2 307.419 1.505 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2onc(C)c2C)CC1 ZINC001196243149 746820191 /nfs/dbraw/zinc/82/01/91/746820191.db2.gz WBNUTLNRFCDDGZ-UHFFFAOYSA-N 1 2 305.378 1.089 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2onc(C)c2C)CC1 ZINC001196243149 746820197 /nfs/dbraw/zinc/82/01/97/746820197.db2.gz WBNUTLNRFCDDGZ-UHFFFAOYSA-N 1 2 305.378 1.089 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccncc3)C2)s1 ZINC001031514566 746933208 /nfs/dbraw/zinc/93/32/08/746933208.db2.gz XDKMRAIZENVYPW-UHFFFAOYSA-N 1 2 312.398 1.877 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](Cc2cc(C)n(C)n2)CC1 ZINC001196847243 746979195 /nfs/dbraw/zinc/97/91/95/746979195.db2.gz YLXXADZQVNZHHP-UHFFFAOYSA-N 1 2 316.449 1.956 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](Cc2cc(C)n(C)n2)CC1 ZINC001196847243 746979200 /nfs/dbraw/zinc/97/92/00/746979200.db2.gz YLXXADZQVNZHHP-UHFFFAOYSA-N 1 2 316.449 1.956 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(CC)CCC2)CC1 ZINC001196815217 746981898 /nfs/dbraw/zinc/98/18/98/746981898.db2.gz VCEIXPVYCCTCLV-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(CC)CCC2)CC1 ZINC001196815217 746981903 /nfs/dbraw/zinc/98/19/03/746981903.db2.gz VCEIXPVYCCTCLV-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)C3CC3)[C@H](O)C2)c(F)c1 ZINC001089993675 747036209 /nfs/dbraw/zinc/03/62/09/747036209.db2.gz RQSFRKZYRYUSJH-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)C3CC3)[C@H](O)C2)c(F)c1 ZINC001089993675 747036215 /nfs/dbraw/zinc/03/62/15/747036215.db2.gz RQSFRKZYRYUSJH-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)NCCC)CC2)C1 ZINC001197091153 747047362 /nfs/dbraw/zinc/04/73/62/747047362.db2.gz FZUJPYBMSGETCL-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)NCCC)CC2)C1 ZINC001197091153 747047371 /nfs/dbraw/zinc/04/73/71/747047371.db2.gz FZUJPYBMSGETCL-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2[nH]ncc2Br)C1 ZINC001044062417 747062077 /nfs/dbraw/zinc/06/20/77/747062077.db2.gz IQPNJLIWOGUTNA-UHFFFAOYSA-N 1 2 313.199 1.505 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N(C)C2CC2)CC1 ZINC001197277444 747120947 /nfs/dbraw/zinc/12/09/47/747120947.db2.gz HQZIDNSMFARFHW-UHFFFAOYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N(C)C2CC2)CC1 ZINC001197277444 747120949 /nfs/dbraw/zinc/12/09/49/747120949.db2.gz HQZIDNSMFARFHW-UHFFFAOYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)CC=C)CC1 ZINC001197277787 747122912 /nfs/dbraw/zinc/12/29/12/747122912.db2.gz LKUMREJTVPUTSO-OAHLLOKOSA-N 1 2 321.465 1.814 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C(C)(C)CC=C)CC1 ZINC001197277787 747122916 /nfs/dbraw/zinc/12/29/16/747122916.db2.gz LKUMREJTVPUTSO-OAHLLOKOSA-N 1 2 321.465 1.814 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CC[C@@H](C)C2)CC1 ZINC001197338422 747140944 /nfs/dbraw/zinc/14/09/44/747140944.db2.gz AAPKYGNYGOUUJJ-CABCVRRESA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CC[C@@H](C)C2)CC1 ZINC001197338422 747140947 /nfs/dbraw/zinc/14/09/47/747140947.db2.gz AAPKYGNYGOUUJJ-CABCVRRESA-N 1 2 307.438 1.259 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)C2CCC2)[C@@H](O)C1 ZINC001090000413 747158610 /nfs/dbraw/zinc/15/86/10/747158610.db2.gz GHRJQXUSEBJLNK-IRXDYDNUSA-N 1 2 313.401 1.410 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)C2CCC2)[C@@H](O)C1 ZINC001090000413 747158618 /nfs/dbraw/zinc/15/86/18/747158618.db2.gz GHRJQXUSEBJLNK-IRXDYDNUSA-N 1 2 313.401 1.410 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CC[C@H](C)CC)CC1 ZINC001197519824 747183280 /nfs/dbraw/zinc/18/32/80/747183280.db2.gz RWMBQAABVHYFEE-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CC[C@H](C)CC)CC1 ZINC001197519824 747183282 /nfs/dbraw/zinc/18/32/82/747183282.db2.gz RWMBQAABVHYFEE-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cccc(F)c2)C[C@H]1NC(=O)C#CC1CC1 ZINC001212246158 747261542 /nfs/dbraw/zinc/26/15/42/747261542.db2.gz MAPULSTXBKVXRC-IAGOWNOFSA-N 1 2 316.376 1.555 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cccc(F)c2)C[C@H]1NC(=O)C#CC1CC1 ZINC001212246158 747261545 /nfs/dbraw/zinc/26/15/45/747261545.db2.gz MAPULSTXBKVXRC-IAGOWNOFSA-N 1 2 316.376 1.555 20 30 DDEDLO CN(C(=O)C(F)F)[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001032858250 747478420 /nfs/dbraw/zinc/47/84/20/747478420.db2.gz ZNALBBXGNCOVOU-GFCCVEGCSA-N 1 2 311.307 1.995 20 30 DDEDLO CN(C(=O)C(F)F)[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001032858250 747478425 /nfs/dbraw/zinc/47/84/25/747478425.db2.gz ZNALBBXGNCOVOU-GFCCVEGCSA-N 1 2 311.307 1.995 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc(=O)n2CC2CC2)CC1 ZINC001198789526 747597831 /nfs/dbraw/zinc/59/78/31/747597831.db2.gz XFRNFOKAMQQXJK-UHFFFAOYSA-N 1 2 301.390 1.202 20 30 DDEDLO C[C@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC[C@@H]1NCC#N ZINC001036126415 752198429 /nfs/dbraw/zinc/19/84/29/752198429.db2.gz QBJRFOAUVAPHOW-YOEHRIQHSA-N 1 2 323.400 1.836 20 30 DDEDLO CCOc1nnc(C[NH2+][C@H]2CCCN(C(=O)[C@H](C)C#N)C2)s1 ZINC000998748278 752198879 /nfs/dbraw/zinc/19/88/79/752198879.db2.gz VXNNJZIINSXGAX-MNOVXSKESA-N 1 2 323.422 1.177 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cc(CC)[nH]c(=O)c2)C1 ZINC001031851631 748023776 /nfs/dbraw/zinc/02/37/76/748023776.db2.gz DIVUVILZHUQNQL-UHFFFAOYSA-N 1 2 309.797 1.764 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001031865737 748057178 /nfs/dbraw/zinc/05/71/78/748057178.db2.gz GKCMBRXMGDTFJE-CHWSQXEVSA-N 1 2 308.813 1.324 20 30 DDEDLO C=CCNC(=S)Nc1cccc(OCC[NH+]2CCOCC2)c1 ZINC001200294044 748192854 /nfs/dbraw/zinc/19/28/54/748192854.db2.gz VSLKSXBVVSVFEF-UHFFFAOYSA-N 1 2 321.446 1.870 20 30 DDEDLO C=CCNC(=S)N(C)C[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001200293511 748193023 /nfs/dbraw/zinc/19/30/23/748193023.db2.gz XSDPCXHIHFKXRY-INIZCTEOSA-N 1 2 319.474 1.880 20 30 DDEDLO C=CCNC(=S)N(C)C[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001200293511 748193027 /nfs/dbraw/zinc/19/30/27/748193027.db2.gz XSDPCXHIHFKXRY-INIZCTEOSA-N 1 2 319.474 1.880 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001108067869 748221357 /nfs/dbraw/zinc/22/13/57/748221357.db2.gz HRQCGAKUIKBLKM-RKVPGOIHSA-N 1 2 306.450 1.899 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001108067869 748221364 /nfs/dbraw/zinc/22/13/64/748221364.db2.gz HRQCGAKUIKBLKM-RKVPGOIHSA-N 1 2 306.450 1.899 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnc(Cl)n2C)C1 ZINC001108071103 748406128 /nfs/dbraw/zinc/40/61/28/748406128.db2.gz NAIVYJDFSWSHHF-CQSZACIVSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnc(Cl)n2C)C1 ZINC001108071103 748406135 /nfs/dbraw/zinc/40/61/35/748406135.db2.gz NAIVYJDFSWSHHF-CQSZACIVSA-N 1 2 312.801 1.080 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)CO[C@H]2CCOC2)CC1 ZINC001004429726 748425870 /nfs/dbraw/zinc/42/58/70/748425870.db2.gz OELYAOJJGUNMLK-JKSUJKDBSA-N 1 2 321.421 1.018 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)CO[C@H]2CCOC2)CC1 ZINC001004429726 748425872 /nfs/dbraw/zinc/42/58/72/748425872.db2.gz OELYAOJJGUNMLK-JKSUJKDBSA-N 1 2 321.421 1.018 20 30 DDEDLO Cc1ncn(C)c1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004462023 748452815 /nfs/dbraw/zinc/45/28/15/748452815.db2.gz BQBGYDOPWVUDCO-HNNXBMFYSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1ncn(C)c1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004462023 748452819 /nfs/dbraw/zinc/45/28/19/748452819.db2.gz BQBGYDOPWVUDCO-HNNXBMFYSA-N 1 2 315.421 1.569 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc3n[nH]nc3n2)C1 ZINC001033130134 748481247 /nfs/dbraw/zinc/48/12/47/748481247.db2.gz FFYIUWJTBLLAGJ-SNVBAGLBSA-N 1 2 320.784 1.252 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc3n[nH]nc3n2)C1 ZINC001033130134 748481250 /nfs/dbraw/zinc/48/12/50/748481250.db2.gz FFYIUWJTBLLAGJ-SNVBAGLBSA-N 1 2 320.784 1.252 20 30 DDEDLO N#CCN1CCC[C@H]1C1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001004499035 748487306 /nfs/dbraw/zinc/48/73/06/748487306.db2.gz KCULCNBVJSDKKU-INIZCTEOSA-N 1 2 315.421 1.500 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(OCC)no2)C1 ZINC001108075784 748498263 /nfs/dbraw/zinc/49/82/63/748498263.db2.gz DUKPUGTWIWZLLK-HNNXBMFYSA-N 1 2 309.366 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(OCC)no2)C1 ZINC001108075784 748498265 /nfs/dbraw/zinc/49/82/65/748498265.db2.gz DUKPUGTWIWZLLK-HNNXBMFYSA-N 1 2 309.366 1.080 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004551874 748550833 /nfs/dbraw/zinc/55/08/33/748550833.db2.gz MDEJZYOWCVKYAY-IKGGRYGDSA-N 1 2 317.433 1.804 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004551874 748550840 /nfs/dbraw/zinc/55/08/40/748550840.db2.gz MDEJZYOWCVKYAY-IKGGRYGDSA-N 1 2 317.433 1.804 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001032108358 748650868 /nfs/dbraw/zinc/65/08/68/748650868.db2.gz FQWMTCYBQYHZNS-CYBMUJFWSA-N 1 2 313.829 1.045 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)N2CCO[C@@H](C#N)C2)c1C ZINC000106336694 748664190 /nfs/dbraw/zinc/66/41/90/748664190.db2.gz DBYHIROULMIMGL-LBPRGKRZSA-N 1 2 304.350 1.141 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)CC(F)F)C1 ZINC001108083015 748733032 /nfs/dbraw/zinc/73/30/32/748733032.db2.gz WAJJFVJIWBKJIR-IUODEOHRSA-N 1 2 302.365 1.508 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)CC(F)F)C1 ZINC001108083015 748733037 /nfs/dbraw/zinc/73/30/37/748733037.db2.gz WAJJFVJIWBKJIR-IUODEOHRSA-N 1 2 302.365 1.508 20 30 DDEDLO Cc1nc(N(C)C[C@@H](O)CN(C)C(=O)C#CC(C)(C)C)cc[nH+]1 ZINC001125080669 748835939 /nfs/dbraw/zinc/83/59/39/748835939.db2.gz MDXZPAAJGHBZHE-CQSZACIVSA-N 1 2 318.421 1.090 20 30 DDEDLO Cc1cc(N(C)[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001056871349 761904175 /nfs/dbraw/zinc/90/41/75/761904175.db2.gz JGMIHGOISNBMBZ-FZMZJTMJSA-N 1 2 313.405 1.859 20 30 DDEDLO C[C@@]1(NC(=O)Cc2c[nH]c[nH+]2)CCN(c2ccc(C#N)nc2)C1 ZINC001110817596 749040020 /nfs/dbraw/zinc/04/00/20/749040020.db2.gz GJVBCXUFASXLHP-MRXNPFEDSA-N 1 2 310.361 1.004 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001033243590 749042970 /nfs/dbraw/zinc/04/29/70/749042970.db2.gz HPOBMUCHCHKAKA-CYBMUJFWSA-N 1 2 309.369 1.308 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001033243590 749042972 /nfs/dbraw/zinc/04/29/72/749042972.db2.gz HPOBMUCHCHKAKA-CYBMUJFWSA-N 1 2 309.369 1.308 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc(OC)n(C)n2)C1 ZINC001033250576 749052260 /nfs/dbraw/zinc/05/22/60/749052260.db2.gz JBTRGDMHVZEDJA-NSHDSACASA-N 1 2 312.801 1.328 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(OC)n(C)n2)C1 ZINC001033250576 749052263 /nfs/dbraw/zinc/05/22/63/749052263.db2.gz JBTRGDMHVZEDJA-NSHDSACASA-N 1 2 312.801 1.328 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(C)CC(F)(F)C2)C1 ZINC001108336672 761923691 /nfs/dbraw/zinc/92/36/91/761923691.db2.gz JSBDALBAFWGWOA-AWEZNQCLSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(C)CC(F)(F)C2)C1 ZINC001108336672 761923696 /nfs/dbraw/zinc/92/36/96/761923696.db2.gz JSBDALBAFWGWOA-AWEZNQCLSA-N 1 2 302.365 1.815 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(=O)n(CC)n2)C1 ZINC001033508791 749431668 /nfs/dbraw/zinc/43/16/68/749431668.db2.gz ATTVHTHFLVJWHC-GFCCVEGCSA-N 1 2 324.812 1.162 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(=O)n(CC)n2)C1 ZINC001033508791 749431672 /nfs/dbraw/zinc/43/16/72/749431672.db2.gz ATTVHTHFLVJWHC-GFCCVEGCSA-N 1 2 324.812 1.162 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001066657327 749467331 /nfs/dbraw/zinc/46/73/31/749467331.db2.gz INXKIQIQGBMRRI-CYBMUJFWSA-N 1 2 304.394 1.637 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CC(C)(C)C3CC3)nn2)C1 ZINC001107166810 749471979 /nfs/dbraw/zinc/47/19/79/749471979.db2.gz CCHYHBSERJCGEB-UHFFFAOYSA-N 1 2 317.437 1.763 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H](C)CCCC)nn2)C1 ZINC001107167256 749473214 /nfs/dbraw/zinc/47/32/14/749473214.db2.gz NJTTYKPGZCYZDQ-CQSZACIVSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H](C)C(C)(C)C)nn2)C1 ZINC001107182519 749499867 /nfs/dbraw/zinc/49/98/67/749499867.db2.gz UYESYYOGFGMWDS-ZDUSSCGKSA-N 1 2 317.437 1.457 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC/C=C/CNc1ncccc1C#N ZINC001107286166 749738508 /nfs/dbraw/zinc/73/85/08/749738508.db2.gz WMLSBNPXEHCOMZ-NSCUHMNNSA-N 1 2 310.361 1.312 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnc(OCC)cn2)C1 ZINC001033710936 749759443 /nfs/dbraw/zinc/75/94/43/749759443.db2.gz VGQLUTJDRLYYKP-LBPRGKRZSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnc(OCC)cn2)C1 ZINC001033710936 749759452 /nfs/dbraw/zinc/75/94/52/749759452.db2.gz VGQLUTJDRLYYKP-LBPRGKRZSA-N 1 2 324.812 1.774 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncccc2OC)C1 ZINC001108366511 761985481 /nfs/dbraw/zinc/98/54/81/761985481.db2.gz VIAZWOPXVHKJFP-MRXNPFEDSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncccc2OC)C1 ZINC001108366511 761985485 /nfs/dbraw/zinc/98/54/85/761985485.db2.gz VIAZWOPXVHKJFP-MRXNPFEDSA-N 1 2 305.378 1.097 20 30 DDEDLO CCc1nc(C)c(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H](C)C#N)o1 ZINC001032318048 749945284 /nfs/dbraw/zinc/94/52/84/749945284.db2.gz GATFUTOTPCTISH-WXHSDQCUSA-N 1 2 302.378 1.490 20 30 DDEDLO CCc1nc(C)c(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H](C)C#N)o1 ZINC001032318048 749945291 /nfs/dbraw/zinc/94/52/91/749945291.db2.gz GATFUTOTPCTISH-WXHSDQCUSA-N 1 2 302.378 1.490 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccccc1)C(F)C(F)(F)F ZINC001127780248 749985789 /nfs/dbraw/zinc/98/57/89/749985789.db2.gz XTMALLRPOQPQQE-GFCCVEGCSA-N 1 2 302.271 1.644 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccccc1)[C@@H](F)C(F)(F)F ZINC001127780248 749985796 /nfs/dbraw/zinc/98/57/96/749985796.db2.gz XTMALLRPOQPQQE-GFCCVEGCSA-N 1 2 302.271 1.644 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)C(=C)C)C2 ZINC001110887792 750175331 /nfs/dbraw/zinc/17/53/31/750175331.db2.gz WWTQIPSZUPJAMW-KFWWJZLASA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)C(=C)C)C2 ZINC001110887792 750175335 /nfs/dbraw/zinc/17/53/35/750175335.db2.gz WWTQIPSZUPJAMW-KFWWJZLASA-N 1 2 319.449 1.612 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001110888638 750177265 /nfs/dbraw/zinc/17/72/65/750177265.db2.gz NGAYNHKQKLJNMW-YUELXQCFSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001110888638 750177268 /nfs/dbraw/zinc/17/72/68/750177268.db2.gz NGAYNHKQKLJNMW-YUELXQCFSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001110934084 750240108 /nfs/dbraw/zinc/24/01/08/750240108.db2.gz YAFTZCAJRCWCTD-JJXSEGSLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001110934084 750240115 /nfs/dbraw/zinc/24/01/15/750240115.db2.gz YAFTZCAJRCWCTD-JJXSEGSLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cncs3)C[C@H]2O)C1 ZINC001077645720 750287024 /nfs/dbraw/zinc/28/70/24/750287024.db2.gz SWVODGPIXNTSSF-CHWSQXEVSA-N 1 2 307.419 1.161 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cncs3)C[C@H]2O)C1 ZINC001077645720 750287030 /nfs/dbraw/zinc/28/70/30/750287030.db2.gz SWVODGPIXNTSSF-CHWSQXEVSA-N 1 2 307.419 1.161 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2ccoc2)C1 ZINC001107857504 750458305 /nfs/dbraw/zinc/45/83/05/750458305.db2.gz VOIBZRZJFCIHDD-QGZVFWFLSA-N 1 2 304.390 1.443 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2ccoc2)C1 ZINC001107857504 750458317 /nfs/dbraw/zinc/45/83/17/750458317.db2.gz VOIBZRZJFCIHDD-QGZVFWFLSA-N 1 2 304.390 1.443 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@@H]1C ZINC001090230871 750648740 /nfs/dbraw/zinc/64/87/40/750648740.db2.gz AYDULUSCDTZRNF-JSGCOSHPSA-N 1 2 302.378 1.226 20 30 DDEDLO C[C@@]1(CNC(=O)C#CC2CC2)C[N@H+](Cc2ccsc2)CCO1 ZINC001107933100 750668094 /nfs/dbraw/zinc/66/80/94/750668094.db2.gz YLBPEAMGUMRBKD-QGZVFWFLSA-N 1 2 318.442 1.869 20 30 DDEDLO C[C@@]1(CNC(=O)C#CC2CC2)C[N@@H+](Cc2ccsc2)CCO1 ZINC001107933100 750668100 /nfs/dbraw/zinc/66/81/00/750668100.db2.gz YLBPEAMGUMRBKD-QGZVFWFLSA-N 1 2 318.442 1.869 20 30 DDEDLO Cn1nncc1C(=O)N[C@@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001007241401 750679350 /nfs/dbraw/zinc/67/93/50/750679350.db2.gz HXUYUIGYVTYIRX-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1nncc1C(=O)N[C@@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001007241401 750679352 /nfs/dbraw/zinc/67/93/52/750679352.db2.gz HXUYUIGYVTYIRX-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCOCC2CC2)C1 ZINC001107941283 750753856 /nfs/dbraw/zinc/75/38/56/750753856.db2.gz BNFAOGHVUQFZDS-QGZVFWFLSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCOCC2CC2)C1 ZINC001107941283 750753864 /nfs/dbraw/zinc/75/38/64/750753864.db2.gz BNFAOGHVUQFZDS-QGZVFWFLSA-N 1 2 308.422 1.034 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1c[nH+]cn1C ZINC001032423273 750791324 /nfs/dbraw/zinc/79/13/24/750791324.db2.gz DVDMXZLIVMHHIO-STQMWFEESA-N 1 2 322.840 1.984 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nn(C(C)(C)C)c1C ZINC001032432018 750819942 /nfs/dbraw/zinc/81/99/42/750819942.db2.gz UJWRTBYMAOCGJU-GJZGRUSLSA-N 1 2 314.433 1.787 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nn(C(C)(C)C)c1C ZINC001032432018 750819950 /nfs/dbraw/zinc/81/99/50/750819950.db2.gz UJWRTBYMAOCGJU-GJZGRUSLSA-N 1 2 314.433 1.787 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)/C(C)=C/CC)CC2 ZINC001127951029 750823475 /nfs/dbraw/zinc/82/34/75/750823475.db2.gz RUNNRJXMNMGCTB-BLXFFLACSA-N 1 2 315.421 1.178 20 30 DDEDLO C=CCCC(=O)N1CCO[C@H]([C@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001114634573 750896150 /nfs/dbraw/zinc/89/61/50/750896150.db2.gz SAOJUEVFRHERMP-JSGCOSHPSA-N 1 2 304.394 1.779 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn2c(n1)CC[C@H](C)C2 ZINC001032475718 750964267 /nfs/dbraw/zinc/96/42/67/750964267.db2.gz GDCHXUXUYURUOI-KKUMJFAQSA-N 1 2 312.417 1.387 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn2c(n1)CC[C@H](C)C2 ZINC001032475718 750964268 /nfs/dbraw/zinc/96/42/68/750964268.db2.gz GDCHXUXUYURUOI-KKUMJFAQSA-N 1 2 312.417 1.387 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn2cc(C)ccc2n1 ZINC001032475777 750965231 /nfs/dbraw/zinc/96/52/31/750965231.db2.gz JDUDVRCHEQZZNO-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn2cc(C)ccc2n1 ZINC001032475777 750965235 /nfs/dbraw/zinc/96/52/35/750965235.db2.gz JDUDVRCHEQZZNO-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CCCN(C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001000685156 762090259 /nfs/dbraw/zinc/09/02/59/762090259.db2.gz BGOKMQNPJCBFJL-VXGBXAGGSA-N 1 2 323.828 1.243 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001114714828 751018139 /nfs/dbraw/zinc/01/81/39/751018139.db2.gz ZFISZPNXDANJBE-MUYACECFSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001114714828 751018147 /nfs/dbraw/zinc/01/81/47/751018147.db2.gz ZFISZPNXDANJBE-MUYACECFSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CCCN(C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001000685156 762090256 /nfs/dbraw/zinc/09/02/56/762090256.db2.gz BGOKMQNPJCBFJL-VXGBXAGGSA-N 1 2 323.828 1.243 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]cn1C ZINC001077813191 751167418 /nfs/dbraw/zinc/16/74/18/751167418.db2.gz ARNYITSIDUJWHM-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CC)nc1C(C)C ZINC001032653861 752606620 /nfs/dbraw/zinc/60/66/20/752606620.db2.gz HCHXSUZSICJAIV-KBPBESRZSA-N 1 2 300.406 1.558 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CC)nc1C(C)C ZINC001032653861 752606623 /nfs/dbraw/zinc/60/66/23/752606623.db2.gz HCHXSUZSICJAIV-KBPBESRZSA-N 1 2 300.406 1.558 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnnn3CC)C2)C1 ZINC001008438256 752727753 /nfs/dbraw/zinc/72/77/53/752727753.db2.gz VGLNNUNKMXEQCC-CQSZACIVSA-N 1 2 317.437 1.735 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](Cc3cnnn3CC)C2)C1 ZINC001008438256 752727759 /nfs/dbraw/zinc/72/77/59/752727759.db2.gz VGLNNUNKMXEQCC-CQSZACIVSA-N 1 2 317.437 1.735 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(OC(C)C)n1 ZINC001032707797 752753382 /nfs/dbraw/zinc/75/33/82/752753382.db2.gz LTUMOORMRSSVJK-GJZGRUSLSA-N 1 2 313.401 1.791 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(OC(C)C)n1 ZINC001032707797 752753385 /nfs/dbraw/zinc/75/33/85/752753385.db2.gz LTUMOORMRSSVJK-GJZGRUSLSA-N 1 2 313.401 1.791 20 30 DDEDLO Cc1cnoc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032712751 752832400 /nfs/dbraw/zinc/83/24/00/752832400.db2.gz IIAZIEICDHIBJM-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1cnoc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032712751 752832408 /nfs/dbraw/zinc/83/24/08/752832408.db2.gz IIAZIEICDHIBJM-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3conc3C)C2)nc1 ZINC001009244167 753124264 /nfs/dbraw/zinc/12/42/64/753124264.db2.gz BAFDVWNLQSKLPC-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3conc3C)C2)nc1 ZINC001009244167 753124266 /nfs/dbraw/zinc/12/42/66/753124266.db2.gz BAFDVWNLQSKLPC-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001060887383 753147574 /nfs/dbraw/zinc/14/75/74/753147574.db2.gz GDRMMWCSTHPDHK-AWEZNQCLSA-N 1 2 310.361 1.110 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCC2(CCC2)CO1 ZINC001032745541 753447242 /nfs/dbraw/zinc/44/72/42/753447242.db2.gz ZFEPWOXLTOFODT-HRCADAONSA-N 1 2 302.418 1.644 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCC2(CCC2)CO1 ZINC001032745541 753447244 /nfs/dbraw/zinc/44/72/44/753447244.db2.gz ZFEPWOXLTOFODT-HRCADAONSA-N 1 2 302.418 1.644 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2OCC[C@H]2CC)C1 ZINC001108005113 753487468 /nfs/dbraw/zinc/48/74/68/753487468.db2.gz LFTORLGZVVNFKF-VYDXJSESSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2OCC[C@H]2CC)C1 ZINC001108005113 753487473 /nfs/dbraw/zinc/48/74/73/753487473.db2.gz LFTORLGZVVNFKF-VYDXJSESSA-N 1 2 308.422 1.032 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(CC(C)(C)C)s2)C1 ZINC001077959025 753509694 /nfs/dbraw/zinc/50/96/94/753509694.db2.gz YGAPZXGBXHWQRS-VXGBXAGGSA-N 1 2 323.462 1.693 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(CC(C)(C)C)s2)C1 ZINC001077959025 753509699 /nfs/dbraw/zinc/50/96/99/753509699.db2.gz YGAPZXGBXHWQRS-VXGBXAGGSA-N 1 2 323.462 1.693 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1cnccn1 ZINC001009968846 753512236 /nfs/dbraw/zinc/51/22/36/753512236.db2.gz QZVAKXVZSLRZLF-MRXNPFEDSA-N 1 2 306.369 1.332 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cnccn1 ZINC001009968846 753512239 /nfs/dbraw/zinc/51/22/39/753512239.db2.gz QZVAKXVZSLRZLF-MRXNPFEDSA-N 1 2 306.369 1.332 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2ccc(Cl)cc21 ZINC001032760000 753520833 /nfs/dbraw/zinc/52/08/33/753520833.db2.gz JJTLTIJBMXVGRH-OFQRWUPVSA-N 1 2 300.789 1.898 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2ccc(Cl)cc21 ZINC001032760000 753520835 /nfs/dbraw/zinc/52/08/35/753520835.db2.gz JJTLTIJBMXVGRH-OFQRWUPVSA-N 1 2 300.789 1.898 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2oc1=O ZINC001032765174 753560443 /nfs/dbraw/zinc/56/04/43/753560443.db2.gz ZMLBWAOEBVJCDJ-KBPBESRZSA-N 1 2 308.337 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2oc1=O ZINC001032765174 753560450 /nfs/dbraw/zinc/56/04/50/753560450.db2.gz ZMLBWAOEBVJCDJ-KBPBESRZSA-N 1 2 308.337 1.325 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H](NC(=O)c3ccc(C)o3)C2)C1=O ZINC001010041997 753567876 /nfs/dbraw/zinc/56/78/76/753567876.db2.gz DXRZVNDSBIBEIK-KBPBESRZSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H](NC(=O)c3ccc(C)o3)C2)C1=O ZINC001010041997 753567883 /nfs/dbraw/zinc/56/78/83/753567883.db2.gz DXRZVNDSBIBEIK-KBPBESRZSA-N 1 2 317.389 1.179 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCCC23CC3)C1 ZINC001108023757 753569863 /nfs/dbraw/zinc/56/98/63/753569863.db2.gz FONYIVVSMIOAFP-WBVHZDCISA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCCC23CC3)C1 ZINC001108023757 753569869 /nfs/dbraw/zinc/56/98/69/753569869.db2.gz FONYIVVSMIOAFP-WBVHZDCISA-N 1 2 304.434 1.797 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)[C@@H]3CCCO3)C2)c(F)c1 ZINC001010061648 753582612 /nfs/dbraw/zinc/58/26/12/753582612.db2.gz PTIDYGHRSPSCKW-ZBFHGGJFSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)[C@@H]3CCCO3)C2)c(F)c1 ZINC001010061648 753582618 /nfs/dbraw/zinc/58/26/18/753582618.db2.gz PTIDYGHRSPSCKW-ZBFHGGJFSA-N 1 2 317.364 1.567 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H](CC)C(N)=O ZINC001039823216 762231676 /nfs/dbraw/zinc/23/16/76/762231676.db2.gz OTLBSYGGBBSCPV-QLFBSQMISA-N 1 2 321.465 1.918 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H](CC)C(N)=O ZINC001039823216 762231680 /nfs/dbraw/zinc/23/16/80/762231680.db2.gz OTLBSYGGBBSCPV-QLFBSQMISA-N 1 2 321.465 1.918 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2coc3ccc(Cl)cc23)C1 ZINC001078090412 753723997 /nfs/dbraw/zinc/72/39/97/753723997.db2.gz QOIVBFOZGQSKFB-ZIAGYGMSSA-N 1 2 318.760 1.494 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2coc3ccc(Cl)cc23)C1 ZINC001078090412 753724003 /nfs/dbraw/zinc/72/40/03/753724003.db2.gz QOIVBFOZGQSKFB-ZIAGYGMSSA-N 1 2 318.760 1.494 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cccc(F)c2O1 ZINC001032793314 753814647 /nfs/dbraw/zinc/81/46/47/753814647.db2.gz ZWIHIEJBEXYGNS-DZKIICNBSA-N 1 2 314.360 1.438 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cccc(F)c2O1 ZINC001032793314 753814651 /nfs/dbraw/zinc/81/46/51/753814651.db2.gz ZWIHIEJBEXYGNS-DZKIICNBSA-N 1 2 314.360 1.438 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001078221542 753854989 /nfs/dbraw/zinc/85/49/89/753854989.db2.gz BKMQIHMBWVIWSH-BLLLJJGKSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001078292146 753939340 /nfs/dbraw/zinc/93/93/40/753939340.db2.gz YLPWQHHRBRBQJB-HZPDHXFCSA-N 1 2 312.369 1.908 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001078292146 753939343 /nfs/dbraw/zinc/93/93/43/753939343.db2.gz YLPWQHHRBRBQJB-HZPDHXFCSA-N 1 2 312.369 1.908 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)c(C)o1 ZINC001010478117 753955453 /nfs/dbraw/zinc/95/54/53/753955453.db2.gz LPNTYEXYTMNSSW-CYBMUJFWSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)c(C)o1 ZINC001010478117 753955456 /nfs/dbraw/zinc/95/54/56/753955456.db2.gz LPNTYEXYTMNSSW-CYBMUJFWSA-N 1 2 313.361 1.496 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001043140527 754066224 /nfs/dbraw/zinc/06/62/24/754066224.db2.gz OFNGWTDFPPSBFG-GFCCVEGCSA-N 1 2 308.813 1.341 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3cncc(F)c3)C2)c[nH]1 ZINC001010667390 754089350 /nfs/dbraw/zinc/08/93/50/754089350.db2.gz GYYXTRLMNHIYHC-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3cncc(F)c3)C2)c[nH]1 ZINC001010667390 754089357 /nfs/dbraw/zinc/08/93/57/754089357.db2.gz GYYXTRLMNHIYHC-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@@H]1CNc1ccc(C#N)cn1 ZINC001063499905 754195002 /nfs/dbraw/zinc/19/50/02/754195002.db2.gz LFEVZUHRXZSGTC-CQSZACIVSA-N 1 2 324.388 1.332 20 30 DDEDLO N#CCN[C@@H]1C[C@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C12CCC2 ZINC001078660606 754258712 /nfs/dbraw/zinc/25/87/12/754258712.db2.gz LAEAFYRSBRUMEG-CABCVRRESA-N 1 2 309.373 1.717 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001078756694 754354211 /nfs/dbraw/zinc/35/42/11/754354211.db2.gz RXDGIDUFPQWSSK-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnc3ccccn32)C1 ZINC001108038451 754420375 /nfs/dbraw/zinc/42/03/75/754420375.db2.gz SGTNWZMPOQAOCL-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnc3ccccn32)C1 ZINC001108038451 754420379 /nfs/dbraw/zinc/42/03/79/754420379.db2.gz SGTNWZMPOQAOCL-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064072035 754484165 /nfs/dbraw/zinc/48/41/65/754484165.db2.gz OJNHSUZJJCGSMU-STQMWFEESA-N 1 2 318.421 1.566 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccsn2)[C@@H](O)C1 ZINC001090351985 755032780 /nfs/dbraw/zinc/03/27/80/755032780.db2.gz BSWSSELESMECJE-ONGXEEELSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccsn2)[C@@H](O)C1 ZINC001090351985 755032783 /nfs/dbraw/zinc/03/27/83/755032783.db2.gz BSWSSELESMECJE-ONGXEEELSA-N 1 2 301.799 1.061 20 30 DDEDLO Cc1nc(N2CCC(C)(NC(=O)c3cc(C#N)c[nH]3)CC2)cc[nH+]1 ZINC001065073285 755063364 /nfs/dbraw/zinc/06/33/64/755063364.db2.gz VSQKFSHAUIEXHZ-UHFFFAOYSA-N 1 2 324.388 1.774 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001079469919 755363182 /nfs/dbraw/zinc/36/31/82/755363182.db2.gz XOGKIGWMISQESD-UHFFFAOYSA-N 1 2 318.421 1.713 20 30 DDEDLO CCOCCOCC[N@H+](C)Cc1cccc(C(=O)OC)c1C#N ZINC001169352373 762394434 /nfs/dbraw/zinc/39/44/34/762394434.db2.gz FWKQESCUQVIWLZ-UHFFFAOYSA-N 1 2 320.389 1.830 20 30 DDEDLO CCOCCOCC[N@@H+](C)Cc1cccc(C(=O)OC)c1C#N ZINC001169352373 762394437 /nfs/dbraw/zinc/39/44/37/762394437.db2.gz FWKQESCUQVIWLZ-UHFFFAOYSA-N 1 2 320.389 1.830 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001079846380 755607546 /nfs/dbraw/zinc/60/75/46/755607546.db2.gz LURYEKDGAOABGR-ZWNOBZJWSA-N 1 2 323.828 1.345 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001079846380 755607550 /nfs/dbraw/zinc/60/75/50/755607550.db2.gz LURYEKDGAOABGR-ZWNOBZJWSA-N 1 2 323.828 1.345 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)Cn3cc[nH+]c3)[C@H]2C)ccc1C#N ZINC001040150610 762410348 /nfs/dbraw/zinc/41/03/48/762410348.db2.gz YYTSXOXFIYWADS-ZFWWWQNUSA-N 1 2 324.388 1.560 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)CCCn2cc[nH+]c2)C1 ZINC001080025772 755687867 /nfs/dbraw/zinc/68/78/67/755687867.db2.gz NLNDVZMSHSOCOM-UHFFFAOYSA-N 1 2 318.421 1.689 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)CCc1c[nH+]cn1C ZINC001108475115 762417093 /nfs/dbraw/zinc/41/70/93/762417093.db2.gz QFXWTWLGJIBUNG-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080629656 756012395 /nfs/dbraw/zinc/01/23/95/756012395.db2.gz QQPHJSLTMVHECA-QGZVFWFLSA-N 1 2 318.421 1.614 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCn1cc[nH+]c1 ZINC001040211630 762441159 /nfs/dbraw/zinc/44/11/59/762441159.db2.gz DNKKZYUUUVVMPY-ZFWWWQNUSA-N 1 2 324.388 1.641 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]2C)nc1 ZINC001080807996 756103149 /nfs/dbraw/zinc/10/31/49/756103149.db2.gz DBLWKTMKKQTFME-MLGOLLRUSA-N 1 2 324.384 1.610 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]2C)nc1 ZINC001080807996 756103156 /nfs/dbraw/zinc/10/31/56/756103156.db2.gz DBLWKTMKKQTFME-MLGOLLRUSA-N 1 2 324.384 1.610 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001081059955 756200746 /nfs/dbraw/zinc/20/07/46/756200746.db2.gz NIDZTTIGGKTZKG-KWCYVHTRSA-N 1 2 312.841 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001081059955 756200749 /nfs/dbraw/zinc/20/07/49/756200749.db2.gz NIDZTTIGGKTZKG-KWCYVHTRSA-N 1 2 312.841 1.992 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)cc2F)C[C@H]1NC(=O)C1CC1 ZINC001081257139 756254524 /nfs/dbraw/zinc/25/45/24/756254524.db2.gz GBFCBYFZPUYYPV-HZPDHXFCSA-N 1 2 317.364 1.423 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2F)C[C@H]1NC(=O)C1CC1 ZINC001081257139 756254525 /nfs/dbraw/zinc/25/45/25/756254525.db2.gz GBFCBYFZPUYYPV-HZPDHXFCSA-N 1 2 317.364 1.423 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)nc3)C2)C1 ZINC001015675950 756457904 /nfs/dbraw/zinc/45/79/04/756457904.db2.gz FXIMJZWTHNDMIU-HNNXBMFYSA-N 1 2 300.406 1.832 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)nc3)C2)C1 ZINC001015675950 756457909 /nfs/dbraw/zinc/45/79/09/756457909.db2.gz FXIMJZWTHNDMIU-HNNXBMFYSA-N 1 2 300.406 1.832 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3nccnc3C)C2)c1 ZINC001015679263 756461444 /nfs/dbraw/zinc/46/14/44/756461444.db2.gz XRRZZSADJWQFLT-INIZCTEOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3nccnc3C)C2)c1 ZINC001015679263 756461446 /nfs/dbraw/zinc/46/14/46/756461446.db2.gz XRRZZSADJWQFLT-INIZCTEOSA-N 1 2 321.384 1.166 20 30 DDEDLO Cc1nc(C)c(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001015720448 756493084 /nfs/dbraw/zinc/49/30/84/756493084.db2.gz BCOKXFZROGEKFW-INIZCTEOSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1nc(C)c(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001015720448 756493088 /nfs/dbraw/zinc/49/30/88/756493088.db2.gz BCOKXFZROGEKFW-INIZCTEOSA-N 1 2 323.400 1.903 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)noc2C2CC2)[C@H](OC)C1 ZINC001081954201 756561391 /nfs/dbraw/zinc/56/13/91/756561391.db2.gz PEDAPHIQRBGMJK-ZIAGYGMSSA-N 1 2 317.389 1.313 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(C)noc2C2CC2)[C@H](OC)C1 ZINC001081954201 756561394 /nfs/dbraw/zinc/56/13/94/756561394.db2.gz PEDAPHIQRBGMJK-ZIAGYGMSSA-N 1 2 317.389 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn3ccc(C)nc23)C1 ZINC001016009524 756719078 /nfs/dbraw/zinc/71/90/78/756719078.db2.gz JUGPFARMUUCZTQ-LBPRGKRZSA-N 1 2 319.796 1.594 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn3ccc(C)nc23)C1 ZINC001016009524 756719082 /nfs/dbraw/zinc/71/90/82/756719082.db2.gz JUGPFARMUUCZTQ-LBPRGKRZSA-N 1 2 319.796 1.594 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC001016352507 756946534 /nfs/dbraw/zinc/94/65/34/756946534.db2.gz GGXHOEZYLFNULE-AWEZNQCLSA-N 1 2 309.373 1.299 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC001016352507 756946536 /nfs/dbraw/zinc/94/65/36/756946536.db2.gz GGXHOEZYLFNULE-AWEZNQCLSA-N 1 2 309.373 1.299 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097310769 757081873 /nfs/dbraw/zinc/08/18/73/757081873.db2.gz BPAKUYLWWNQINM-HIFRSBDPSA-N 1 2 318.421 1.709 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@@]2(C1)CCCN(CC#N)C2)n1cc[nH+]c1 ZINC001040425919 762531953 /nfs/dbraw/zinc/53/19/53/762531953.db2.gz UJJDILQJLDTBFS-RDJZCZTQSA-N 1 2 315.421 1.672 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2CC[C@@H](Nc3cc[nH+]c(C)n3)[C@H]2C1 ZINC001097597758 757270816 /nfs/dbraw/zinc/27/08/16/757270816.db2.gz APGPAZOQCHXQDM-SOUVJXGZSA-N 1 2 316.405 1.637 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2coc(C3CCCCC3)n2)[C@@H](O)C1 ZINC001084108428 757288491 /nfs/dbraw/zinc/28/84/91/757288491.db2.gz SGSVNQBAOISIKI-HIFRSBDPSA-N 1 2 317.389 1.130 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2coc(C3CCCCC3)n2)[C@@H](O)C1 ZINC001084108428 757288497 /nfs/dbraw/zinc/28/84/97/757288497.db2.gz SGSVNQBAOISIKI-HIFRSBDPSA-N 1 2 317.389 1.130 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCC(=O)N1C)C2 ZINC001097661051 757322426 /nfs/dbraw/zinc/32/24/26/757322426.db2.gz HIINCMYJDLRFMM-NDBYEHHHSA-N 1 2 311.813 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCC(=O)N1C)C2 ZINC001097661051 757322438 /nfs/dbraw/zinc/32/24/38/757322438.db2.gz HIINCMYJDLRFMM-NDBYEHHHSA-N 1 2 311.813 1.081 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001097719620 757413071 /nfs/dbraw/zinc/41/30/71/757413071.db2.gz QRMQSVZDPGDMAX-GFCCVEGCSA-N 1 2 304.394 1.782 20 30 DDEDLO C=CCOCC(=O)NC[C@H](C)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001097719621 757413166 /nfs/dbraw/zinc/41/31/66/757413166.db2.gz QRMQSVZDPGDMAX-LBPRGKRZSA-N 1 2 304.394 1.782 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3c(C)nn(C)c3C)[C@@H]2C1 ZINC001084559205 757673506 /nfs/dbraw/zinc/67/35/06/757673506.db2.gz WYHYCHVMCXMDGF-ZIAGYGMSSA-N 1 2 322.840 1.936 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3c(C)nn(C)c3C)[C@@H]2C1 ZINC001084559205 757673510 /nfs/dbraw/zinc/67/35/10/757673510.db2.gz WYHYCHVMCXMDGF-ZIAGYGMSSA-N 1 2 322.840 1.936 20 30 DDEDLO COC(=O)c1ccc(NC[C@@H]2COCC[N@@H+]2C)cc1CC#N ZINC001169658036 762581298 /nfs/dbraw/zinc/58/12/98/762581298.db2.gz UADCUCOZHDDPGH-CQSZACIVSA-N 1 2 303.362 1.282 20 30 DDEDLO COC(=O)c1ccc(NC[C@@H]2COCC[N@H+]2C)cc1CC#N ZINC001169658036 762581301 /nfs/dbraw/zinc/58/13/01/762581301.db2.gz UADCUCOZHDDPGH-CQSZACIVSA-N 1 2 303.362 1.282 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)no1 ZINC001084906172 758045172 /nfs/dbraw/zinc/04/51/72/758045172.db2.gz FQJSVXMWHSQPEE-LNSITVRQSA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)no1 ZINC001084906172 758045181 /nfs/dbraw/zinc/04/51/81/758045181.db2.gz FQJSVXMWHSQPEE-LNSITVRQSA-N 1 2 302.378 1.566 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C(C)(C)C)n1 ZINC001017598893 758066829 /nfs/dbraw/zinc/06/68/29/758066829.db2.gz KKODGQVJMSEHGP-OKILXGFUSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C(C)(C)C)n1 ZINC001017598893 758066838 /nfs/dbraw/zinc/06/68/38/758066838.db2.gz KKODGQVJMSEHGP-OKILXGFUSA-N 1 2 300.406 1.560 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)n1cnc(C)c1 ZINC001017653396 758122576 /nfs/dbraw/zinc/12/25/76/758122576.db2.gz QYYYLQBLTLDMRD-GASCZTMLSA-N 1 2 302.422 1.788 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)n1cnc(C)c1 ZINC001017653396 758122579 /nfs/dbraw/zinc/12/25/79/758122579.db2.gz QYYYLQBLTLDMRD-GASCZTMLSA-N 1 2 302.422 1.788 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2ccc(C(N)=O)[nH]2)CC1 ZINC001001157965 762601964 /nfs/dbraw/zinc/60/19/64/762601964.db2.gz ZOHOQLHUETXVTO-UHFFFAOYSA-N 1 2 322.796 1.228 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2ccc(C(N)=O)[nH]2)CC1 ZINC001001157965 762601967 /nfs/dbraw/zinc/60/19/67/762601967.db2.gz ZOHOQLHUETXVTO-UHFFFAOYSA-N 1 2 322.796 1.228 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cn2ccccc2n1 ZINC001017668308 758136497 /nfs/dbraw/zinc/13/64/97/758136497.db2.gz NNBVHLYQZJZZJG-CALCHBBNSA-N 1 2 322.412 1.575 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cn2ccccc2n1 ZINC001017668308 758136501 /nfs/dbraw/zinc/13/65/01/758136501.db2.gz NNBVHLYQZJZZJG-CALCHBBNSA-N 1 2 322.412 1.575 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c(C)cncc1C)CCO2 ZINC001053340607 758387622 /nfs/dbraw/zinc/38/76/22/758387622.db2.gz KIVAQRLNJJANJY-UHFFFAOYSA-N 1 2 315.417 1.801 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccncc1Cl ZINC001017945027 758414446 /nfs/dbraw/zinc/41/44/46/758414446.db2.gz NUWHPJWUEPPKQW-GASCZTMLSA-N 1 2 317.820 1.976 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccncc1Cl ZINC001017945027 758414453 /nfs/dbraw/zinc/41/44/53/758414453.db2.gz NUWHPJWUEPPKQW-GASCZTMLSA-N 1 2 317.820 1.976 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCOC3(C[NH+](CCC=C)C3)C2)c1 ZINC001053422672 758450371 /nfs/dbraw/zinc/45/03/71/758450371.db2.gz OWNHFXPALMHZHX-UHFFFAOYSA-N 1 2 311.385 1.166 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@H]1CCC[C@H](C)C1 ZINC001018015513 758476859 /nfs/dbraw/zinc/47/68/59/758476859.db2.gz UNALNASBBMKXKW-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@H]1CCC[C@H](C)C1 ZINC001018015513 758476864 /nfs/dbraw/zinc/47/68/64/758476864.db2.gz UNALNASBBMKXKW-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001053507919 758513393 /nfs/dbraw/zinc/51/33/93/758513393.db2.gz JIRDMBDLWPBGHS-OLZOCXBDSA-N 1 2 318.421 1.375 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c(C)nsc1C)CCO2 ZINC001053545216 758549966 /nfs/dbraw/zinc/54/99/66/758549966.db2.gz QKFQDHDSADWVTQ-UHFFFAOYSA-N 1 2 321.446 1.863 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccccn1)O2 ZINC001053563279 758569336 /nfs/dbraw/zinc/56/93/36/758569336.db2.gz UTCIRIQRYBIOOF-CQSZACIVSA-N 1 2 301.390 1.621 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccccn1)O2 ZINC001053563278 758569548 /nfs/dbraw/zinc/56/95/48/758569548.db2.gz UTCIRIQRYBIOOF-AWEZNQCLSA-N 1 2 301.390 1.621 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnn(C)c1C)O2 ZINC001053573153 758581223 /nfs/dbraw/zinc/58/12/23/758581223.db2.gz BWCMMJSDQFOGFA-AWEZNQCLSA-N 1 2 318.421 1.268 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1CCC[C@@H]1CC ZINC001018151785 758583190 /nfs/dbraw/zinc/58/31/90/758583190.db2.gz GTVPSSQSBVPFLM-SOUVJXGZSA-N 1 2 305.422 1.249 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1CCC[C@@H]1CC ZINC001018151785 758583195 /nfs/dbraw/zinc/58/31/95/758583195.db2.gz GTVPSSQSBVPFLM-SOUVJXGZSA-N 1 2 305.422 1.249 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnc(C)[nH]1)O2 ZINC001053584053 758598995 /nfs/dbraw/zinc/59/89/95/758598995.db2.gz LOUSSBVOQXXDHK-CYBMUJFWSA-N 1 2 304.394 1.257 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cncc(F)c1)O2 ZINC001053588613 758605138 /nfs/dbraw/zinc/60/51/38/758605138.db2.gz YWNXGPFPGOPKQN-OAHLLOKOSA-N 1 2 319.380 1.760 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1C[C@@H]1C(F)F)O2 ZINC001053596265 758617311 /nfs/dbraw/zinc/61/73/11/758617311.db2.gz OSCJVVZXSKXGCS-SRVKXCTJSA-N 1 2 300.349 1.423 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)C1C=CC=CC=C1)O2 ZINC001053604564 758625030 /nfs/dbraw/zinc/62/50/30/758625030.db2.gz JJWKYAKMRQVCMC-MRXNPFEDSA-N 1 2 300.402 1.820 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ocnc1CC)O2 ZINC001053662333 758675874 /nfs/dbraw/zinc/67/58/74/758675874.db2.gz JJPXEUVVIYEFQA-ZDUSSCGKSA-N 1 2 319.405 1.776 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c[nH]nc1C1CC1)O2 ZINC001053682186 758692168 /nfs/dbraw/zinc/69/21/68/758692168.db2.gz CRPBKUMGQJADTP-ZDUSSCGKSA-N 1 2 316.405 1.436 20 30 DDEDLO C=CCCC1(C(=O)N2CC[NH+]([C@@H]3CCN(C(C)=O)C3)CC2)CC1 ZINC001065674547 758692756 /nfs/dbraw/zinc/69/27/56/758692756.db2.gz FTYGQVUGMPSUEB-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO C#CCCCCCC(=O)N1CC[NH+]([C@@H]2CCN(C(C)=O)C2)CC1 ZINC001065676020 758696284 /nfs/dbraw/zinc/69/62/84/758696284.db2.gz GDWCPSAZMJZMMU-QGZVFWFLSA-N 1 2 319.449 1.335 20 30 DDEDLO Cc1nc(N[C@H](C)[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001065677179 758696986 /nfs/dbraw/zinc/69/69/86/758696986.db2.gz HPJCBRTZAQEBME-DGCLKSJQSA-N 1 2 324.388 1.948 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)C3CC3)CC2)C1 ZINC001065692128 758709128 /nfs/dbraw/zinc/70/91/28/758709128.db2.gz PHPZAIMOFKWXIK-HNNXBMFYSA-N 1 2 305.422 1.108 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C[C@H]1CCCO1 ZINC001018325968 758731461 /nfs/dbraw/zinc/73/14/61/758731461.db2.gz YXFGEUBLFSVIFU-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C[C@H]1CCCO1 ZINC001018325968 758731466 /nfs/dbraw/zinc/73/14/66/758731466.db2.gz YXFGEUBLFSVIFU-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(F)cc1)CO2 ZINC001053720739 758737696 /nfs/dbraw/zinc/73/76/96/758737696.db2.gz DLAGPLFEGNEHNP-HNNXBMFYSA-N 1 2 302.349 1.422 20 30 DDEDLO CC(C)=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C#N)[nH]1)CO2 ZINC001053772969 758795644 /nfs/dbraw/zinc/79/56/44/758795644.db2.gz AIZHOCYAMQZQNF-CQSZACIVSA-N 1 2 314.389 1.426 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1nccn1CC)CO2 ZINC001053788366 758814528 /nfs/dbraw/zinc/81/45/28/758814528.db2.gz KXSGPTKVAVMUES-ZDUSSCGKSA-N 1 2 304.394 1.052 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C1CCC(O)CC1)CO2 ZINC001053790558 758818995 /nfs/dbraw/zinc/81/89/95/758818995.db2.gz ZBFGAEBPSZXHHI-SHARSMKWSA-N 1 2 308.422 1.073 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccnn1C(C)C)CO2 ZINC001053814922 758844125 /nfs/dbraw/zinc/84/41/25/758844125.db2.gz ZUWKPUSVQMCWIS-CQSZACIVSA-N 1 2 316.405 1.060 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncccc1CC)CO2 ZINC001053853707 758885000 /nfs/dbraw/zinc/88/50/00/758885000.db2.gz NBGWEWPULAQPFF-OAHLLOKOSA-N 1 2 313.401 1.240 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccn3ccccc13)CO2 ZINC001053867935 758901525 /nfs/dbraw/zinc/90/15/25/758901525.db2.gz XUVPAZYHEGGDFQ-HNNXBMFYSA-N 1 2 323.396 1.536 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2COC3(C[NH+](C[C@H](C)O)C3)C2)CC1 ZINC001053913931 758948008 /nfs/dbraw/zinc/94/80/08/758948008.db2.gz AVVRRZPEKKJCFF-DZGCQCFKSA-N 1 2 308.422 1.073 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1conc1C1CC1)CO2 ZINC001053921093 758956992 /nfs/dbraw/zinc/95/69/92/758956992.db2.gz AJJFJMJAOFBCQC-ZDUSSCGKSA-N 1 2 315.373 1.148 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1coc(C3CC3)n1)CO2 ZINC001053948976 758986842 /nfs/dbraw/zinc/98/68/42/758986842.db2.gz WIWKGYUMQPMAPT-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001108200251 758993732 /nfs/dbraw/zinc/99/37/32/758993732.db2.gz DUQPQYCOVUYFDX-LERXQTSPSA-N 1 2 310.361 1.397 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001108200251 758993735 /nfs/dbraw/zinc/99/37/35/758993735.db2.gz DUQPQYCOVUYFDX-LERXQTSPSA-N 1 2 310.361 1.397 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]2C1 ZINC001066046852 759019083 /nfs/dbraw/zinc/01/90/83/759019083.db2.gz CGTWEZRTSIQILR-OKILXGFUSA-N 1 2 300.406 1.892 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(CC)on1)CO2 ZINC001053986814 759029665 /nfs/dbraw/zinc/02/96/65/759029665.db2.gz UXMKRCHKBSHPQS-LBPRGKRZSA-N 1 2 305.378 1.386 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)onc1CC)CO2 ZINC001054005071 759052242 /nfs/dbraw/zinc/05/22/42/759052242.db2.gz DZUZOYPHUYSCTB-CYBMUJFWSA-N 1 2 317.389 1.142 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C(C)(C)C(=C)C)CC2=O)C1 ZINC001108560344 762681363 /nfs/dbraw/zinc/68/13/63/762681363.db2.gz JXCLXVWORXJPMG-CQSZACIVSA-N 1 2 319.449 1.566 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(CF)cc1)CO2 ZINC001054019659 759069131 /nfs/dbraw/zinc/06/91/31/759069131.db2.gz ZUXIJCOLMVTPLX-MRXNPFEDSA-N 1 2 316.376 1.753 20 30 DDEDLO C#CCCCCC(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067235725 759138781 /nfs/dbraw/zinc/13/87/81/759138781.db2.gz FWIDLEYPAZKRMT-UHFFFAOYSA-N 1 2 318.421 1.453 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131046986 767856293 /nfs/dbraw/zinc/85/62/93/767856293.db2.gz ZMTVFNAWVNSCNG-HUUCEWRRSA-N 1 2 313.405 1.021 20 30 DDEDLO CCOC(=O)CN(CCC#N)Cc1[nH+]c2ccccn2c1C ZINC001137274552 759406527 /nfs/dbraw/zinc/40/65/27/759406527.db2.gz ZFEBAYVSRYNECH-UHFFFAOYSA-N 1 2 300.362 1.922 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C2CCCC2)C1=O ZINC001085453297 759415009 /nfs/dbraw/zinc/41/50/09/759415009.db2.gz JNYCYUIUFWXYCS-HZPDHXFCSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C2CCCC2)C1=O ZINC001085453297 759415017 /nfs/dbraw/zinc/41/50/17/759415017.db2.gz JNYCYUIUFWXYCS-HZPDHXFCSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cn(CCC)nn2)C1 ZINC001046735798 767867333 /nfs/dbraw/zinc/86/73/33/767867333.db2.gz VYSNVCDXOLKGDM-CQSZACIVSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cn(CCC)nn2)C1 ZINC001046735798 767867338 /nfs/dbraw/zinc/86/73/38/767867338.db2.gz VYSNVCDXOLKGDM-CQSZACIVSA-N 1 2 311.817 1.635 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085555852 759699469 /nfs/dbraw/zinc/69/94/69/759699469.db2.gz BSQKGGKDSQGELI-DOMZBBRYSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085555852 759699475 /nfs/dbraw/zinc/69/94/75/759699475.db2.gz BSQKGGKDSQGELI-DOMZBBRYSA-N 1 2 324.388 1.584 20 30 DDEDLO Cc1c[nH]nc1C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001085590134 759784767 /nfs/dbraw/zinc/78/47/67/759784767.db2.gz DZPQZAZOFPTGDZ-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1c[nH]nc1C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001085590134 759784771 /nfs/dbraw/zinc/78/47/71/759784771.db2.gz DZPQZAZOFPTGDZ-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncn(-c2ccccc2)n1 ZINC001085661218 759962101 /nfs/dbraw/zinc/96/21/01/759962101.db2.gz RTAYVUOLJYXOCB-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncn(-c2ccccc2)n1 ZINC001085661218 759962107 /nfs/dbraw/zinc/96/21/07/759962107.db2.gz RTAYVUOLJYXOCB-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069220652 767924332 /nfs/dbraw/zinc/92/43/32/767924332.db2.gz BAEIMSYPDATJMO-SWLSCSKDSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069220652 767924336 /nfs/dbraw/zinc/92/43/36/767924336.db2.gz BAEIMSYPDATJMO-SWLSCSKDSA-N 1 2 324.388 1.710 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cccnc2C)C1 ZINC001108212917 760381845 /nfs/dbraw/zinc/38/18/45/760381845.db2.gz JQEYGACGOORQPB-GOSISDBHSA-N 1 2 315.417 1.163 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cccnc2C)C1 ZINC001108212917 760381855 /nfs/dbraw/zinc/38/18/55/760381855.db2.gz JQEYGACGOORQPB-GOSISDBHSA-N 1 2 315.417 1.163 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1occc1Br ZINC001085879135 760448836 /nfs/dbraw/zinc/44/88/36/760448836.db2.gz YCKUZNQUKMIETJ-SNVBAGLBSA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1occc1Br ZINC001085879135 760448838 /nfs/dbraw/zinc/44/88/38/760448838.db2.gz YCKUZNQUKMIETJ-SNVBAGLBSA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)nnn2CC ZINC001085966426 760618361 /nfs/dbraw/zinc/61/83/61/760618361.db2.gz JVIOYFJEQLCGMK-AWEZNQCLSA-N 1 2 311.389 1.231 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)nnn2CC ZINC001085966426 760618365 /nfs/dbraw/zinc/61/83/65/760618365.db2.gz JVIOYFJEQLCGMK-AWEZNQCLSA-N 1 2 311.389 1.231 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(C)nn(C)c2n1 ZINC001085986861 760656676 /nfs/dbraw/zinc/65/66/76/760656676.db2.gz OMCBTSSSKVAIFN-CYBMUJFWSA-N 1 2 311.389 1.056 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(C)nn(C)c2n1 ZINC001085986861 760656682 /nfs/dbraw/zinc/65/66/82/760656682.db2.gz OMCBTSSSKVAIFN-CYBMUJFWSA-N 1 2 311.389 1.056 20 30 DDEDLO C[C@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1nc(C#N)c(Cl)s1 ZINC001098006627 760753026 /nfs/dbraw/zinc/75/30/26/760753026.db2.gz KBWPNMOOWVDVBS-SSDOTTSWSA-N 1 2 324.797 1.551 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn([C@@H](C)COC)nc1C ZINC001038263641 760957286 /nfs/dbraw/zinc/95/72/86/760957286.db2.gz RUQSWIKATGVURN-ZFWWWQNUSA-N 1 2 318.421 1.226 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn([C@@H](C)COC)nc1C ZINC001038263641 760957292 /nfs/dbraw/zinc/95/72/92/760957292.db2.gz RUQSWIKATGVURN-ZFWWWQNUSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(Cl)c(Cl)n1C ZINC001038329973 761014132 /nfs/dbraw/zinc/01/41/32/761014132.db2.gz RSHKRKLAIZNBFB-VIFPVBQESA-N 1 2 300.189 1.769 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(Cl)c(Cl)n1C ZINC001038329973 761014142 /nfs/dbraw/zinc/01/41/42/761014142.db2.gz RSHKRKLAIZNBFB-VIFPVBQESA-N 1 2 300.189 1.769 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1CC[N@H+]1Cc1ocnc1C ZINC001038343936 761029743 /nfs/dbraw/zinc/02/97/43/761029743.db2.gz DCQLNOGFWYTJSP-CQSZACIVSA-N 1 2 314.389 1.975 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ocnc1C ZINC001038343936 761029753 /nfs/dbraw/zinc/02/97/53/761029753.db2.gz DCQLNOGFWYTJSP-CQSZACIVSA-N 1 2 314.389 1.975 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnn1-c1ccccc1 ZINC001038414391 761098504 /nfs/dbraw/zinc/09/85/04/761098504.db2.gz CLVBBJNEBOFJHP-INIZCTEOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnn1-c1ccccc1 ZINC001038414391 761098515 /nfs/dbraw/zinc/09/85/15/761098515.db2.gz CLVBBJNEBOFJHP-INIZCTEOSA-N 1 2 308.385 1.700 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001038419078 761102193 /nfs/dbraw/zinc/10/21/93/761102193.db2.gz SVXKQBLTQXLEAL-OLZOCXBDSA-N 1 2 308.813 1.389 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001038425695 761106599 /nfs/dbraw/zinc/10/65/99/761106599.db2.gz CGGYSGAMBUYPSA-LBPRGKRZSA-N 1 2 310.357 1.163 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001038425695 761106602 /nfs/dbraw/zinc/10/66/02/761106602.db2.gz CGGYSGAMBUYPSA-LBPRGKRZSA-N 1 2 310.357 1.163 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)CCCC)CC1 ZINC001131354271 768009854 /nfs/dbraw/zinc/00/98/54/768009854.db2.gz XIFDPRQUFQTIAS-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)CCCC)CC1 ZINC001131354271 768009860 /nfs/dbraw/zinc/00/98/60/768009860.db2.gz XIFDPRQUFQTIAS-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(C(C)C)c1Cl ZINC001038558712 761196584 /nfs/dbraw/zinc/19/65/84/761196584.db2.gz CULPUBDTZOMREC-GFCCVEGCSA-N 1 2 308.813 1.945 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(C(C)C)c1Cl ZINC001038558712 761196586 /nfs/dbraw/zinc/19/65/86/761196586.db2.gz CULPUBDTZOMREC-GFCCVEGCSA-N 1 2 308.813 1.945 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCC2CCOCC2)C1 ZINC001108256452 761216923 /nfs/dbraw/zinc/21/69/23/761216923.db2.gz YDBSLOJQYMZWPB-GOSISDBHSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCC2CCOCC2)C1 ZINC001108256452 761216926 /nfs/dbraw/zinc/21/69/26/761216926.db2.gz YDBSLOJQYMZWPB-GOSISDBHSA-N 1 2 322.449 1.424 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001038714774 761318400 /nfs/dbraw/zinc/31/84/00/761318400.db2.gz UVWZCCWXGNYJJU-KFWWJZLASA-N 1 2 305.378 1.265 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001038714774 761318408 /nfs/dbraw/zinc/31/84/08/761318408.db2.gz UVWZCCWXGNYJJU-KFWWJZLASA-N 1 2 305.378 1.265 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001069475882 768032147 /nfs/dbraw/zinc/03/21/47/768032147.db2.gz MYHJUQKNOJSOMH-ZIAGYGMSSA-N 1 2 324.388 1.547 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2nc(C)ncc2Cl)C1 ZINC001108263059 761423537 /nfs/dbraw/zinc/42/35/37/761423537.db2.gz JCYYGXGAUZNMCF-HNNXBMFYSA-N 1 2 324.812 1.445 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2nc(C)ncc2Cl)C1 ZINC001108263059 761423542 /nfs/dbraw/zinc/42/35/42/761423542.db2.gz JCYYGXGAUZNMCF-HNNXBMFYSA-N 1 2 324.812 1.445 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2nc(CC)oc2C)C1 ZINC001108274694 761592980 /nfs/dbraw/zinc/59/29/80/761592980.db2.gz LRHRQBPWAGCZHB-MRXNPFEDSA-N 1 2 307.394 1.552 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2nc(CC)oc2C)C1 ZINC001108274694 761592988 /nfs/dbraw/zinc/59/29/88/761592988.db2.gz LRHRQBPWAGCZHB-MRXNPFEDSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]1CNC(=O)c1sc(COC)nc1C ZINC001038962949 761597114 /nfs/dbraw/zinc/59/71/14/761597114.db2.gz WOYWSBMUEBJSQH-GFCCVEGCSA-N 1 2 309.435 1.978 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]1CNC(=O)c1sc(COC)nc1C ZINC001038962949 761597122 /nfs/dbraw/zinc/59/71/22/761597122.db2.gz WOYWSBMUEBJSQH-GFCCVEGCSA-N 1 2 309.435 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COc2ccccc2)[C@@H](O)C1 ZINC001100087443 761632945 /nfs/dbraw/zinc/63/29/45/761632945.db2.gz LQPZMIJYUPYEHO-GJZGRUSLSA-N 1 2 324.808 1.369 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COc2ccccc2)[C@@H](O)C1 ZINC001100087443 761632949 /nfs/dbraw/zinc/63/29/49/761632949.db2.gz LQPZMIJYUPYEHO-GJZGRUSLSA-N 1 2 324.808 1.369 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnc(C)nc2C)C1 ZINC001108284896 761758094 /nfs/dbraw/zinc/75/80/94/761758094.db2.gz FBZREBPHGNNEGL-MRXNPFEDSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnc(C)nc2C)C1 ZINC001108284896 761758099 /nfs/dbraw/zinc/75/80/99/761758099.db2.gz FBZREBPHGNNEGL-MRXNPFEDSA-N 1 2 304.394 1.100 20 30 DDEDLO CC1(C)CN(C(=O)Cn2cc[nH+]c2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001069574080 768065299 /nfs/dbraw/zinc/06/52/99/768065299.db2.gz MLJRHZNCGOLAFY-CQSZACIVSA-N 1 2 324.388 1.499 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CC[C@@H](C)CC)CC2=O)C1 ZINC001108580220 762741650 /nfs/dbraw/zinc/74/16/50/762741650.db2.gz CVYCZBXDDPLZCC-GJZGRUSLSA-N 1 2 321.465 1.790 20 30 DDEDLO N#CCN1CC=C(CNC(=O)c2cc(-n3cc[nH+]c3)ccn2)CC1 ZINC001001336268 762771544 /nfs/dbraw/zinc/77/15/44/762771544.db2.gz YWRZWMSXGIJLHH-UHFFFAOYSA-N 1 2 322.372 1.153 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CC(C)=C(C)C)CC1 ZINC001131378868 768104540 /nfs/dbraw/zinc/10/45/40/768104540.db2.gz JTKCVJCQCFRKJT-UHFFFAOYSA-N 1 2 307.438 1.569 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CC(C)=C(C)C)CC1 ZINC001131378868 768104543 /nfs/dbraw/zinc/10/45/43/768104543.db2.gz JTKCVJCQCFRKJT-UHFFFAOYSA-N 1 2 307.438 1.569 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CN(C)c1[nH+]cnc2c1cnn2C ZINC001108921192 763107795 /nfs/dbraw/zinc/10/77/95/763107795.db2.gz FUODFMKOGNUVGR-LBPRGKRZSA-N 1 2 314.393 1.108 20 30 DDEDLO C[C@@H](CN(C)c1ccc(C#N)nc1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001108930788 763136883 /nfs/dbraw/zinc/13/68/83/763136883.db2.gz CYBOIAMPGSGLBQ-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CN(C)c1ccc(C#N)cn1 ZINC001109044191 763261220 /nfs/dbraw/zinc/26/12/20/763261220.db2.gz OVXBAQXOYLSCOE-LLVKDONJSA-N 1 2 312.377 1.168 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@]2(CCN(CC#N)C2)C1 ZINC001041732450 763266642 /nfs/dbraw/zinc/26/66/42/763266642.db2.gz JIEUFWJGBDCRGH-XJKSGUPXSA-N 1 2 301.394 1.036 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@@]2(CCN(CC#N)C2)C1 ZINC001041732450 763266644 /nfs/dbraw/zinc/26/66/44/763266644.db2.gz JIEUFWJGBDCRGH-XJKSGUPXSA-N 1 2 301.394 1.036 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2csc(C)n2)[C@H](O)C1 ZINC001090501049 768166880 /nfs/dbraw/zinc/16/68/80/768166880.db2.gz UBKCXFKUSYZWKQ-CMPLNLGQSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2csc(C)n2)[C@H](O)C1 ZINC001090501049 768166884 /nfs/dbraw/zinc/16/68/84/768166884.db2.gz UBKCXFKUSYZWKQ-CMPLNLGQSA-N 1 2 315.826 1.369 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)CC)C2 ZINC001109659882 763923514 /nfs/dbraw/zinc/92/35/14/763923514.db2.gz KPPHZKLMRJJYSZ-RDBSUJKOSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)CC)C2 ZINC001109659882 763923518 /nfs/dbraw/zinc/92/35/18/763923518.db2.gz KPPHZKLMRJJYSZ-RDBSUJKOSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cnc(C)[nH]3)c2C1 ZINC001069855201 768185853 /nfs/dbraw/zinc/18/58/53/768185853.db2.gz QHRQSILHPMERIO-UHFFFAOYSA-N 1 2 314.393 1.236 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cnc(C)[nH]3)c2C1 ZINC001069855201 768185861 /nfs/dbraw/zinc/18/58/61/768185861.db2.gz QHRQSILHPMERIO-UHFFFAOYSA-N 1 2 314.393 1.236 20 30 DDEDLO C=C(Cl)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1c[nH+]cn1C)C2 ZINC001110051818 764337950 /nfs/dbraw/zinc/33/79/50/764337950.db2.gz AYILFAJHVCIQKW-SNPRPXQTSA-N 1 2 322.840 1.827 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1COCC[N@H+]1CC[C@@H](C)F ZINC001050957376 764348435 /nfs/dbraw/zinc/34/84/35/764348435.db2.gz GLKZBNBIZPMHJN-HUUCEWRRSA-N 1 2 323.412 1.853 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1COCC[N@@H+]1CC[C@@H](C)F ZINC001050957376 764348438 /nfs/dbraw/zinc/34/84/38/764348438.db2.gz GLKZBNBIZPMHJN-HUUCEWRRSA-N 1 2 323.412 1.853 20 30 DDEDLO C[C@@H]1CN(c2ncccc2C#N)CC[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067407319 764401328 /nfs/dbraw/zinc/40/13/28/764401328.db2.gz FHYHHHRQMWDYQU-OCCSQVGLSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001051036866 764441416 /nfs/dbraw/zinc/44/14/16/764441416.db2.gz PTCCFQNAEPKKNO-OAGGEKHMSA-N 1 2 308.422 1.195 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001051036866 764441421 /nfs/dbraw/zinc/44/14/21/764441421.db2.gz PTCCFQNAEPKKNO-OAGGEKHMSA-N 1 2 308.422 1.195 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC1(Nc2cc[nH+]c(C)n2)CC1 ZINC001110081436 764452203 /nfs/dbraw/zinc/45/22/03/764452203.db2.gz OTKQFNJRKGIBGI-LBPRGKRZSA-N 1 2 304.394 1.827 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnc(C)n1C ZINC001051087602 764494103 /nfs/dbraw/zinc/49/41/03/764494103.db2.gz TXUOSDKVQLVYRD-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnc(C)n1C ZINC001051087602 764494105 /nfs/dbraw/zinc/49/41/05/764494105.db2.gz TXUOSDKVQLVYRD-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCO[C@H]1C(=C)C ZINC001051154420 764561898 /nfs/dbraw/zinc/56/18/98/764561898.db2.gz FRQITFRLHXVTJZ-JYJNAYRXSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCO[C@H]1C(=C)C ZINC001051154420 764561905 /nfs/dbraw/zinc/56/19/05/764561905.db2.gz FRQITFRLHXVTJZ-JYJNAYRXSA-N 1 2 308.422 1.361 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)=C2CCCC2)[C@@H](n2ccnn2)C1 ZINC001069908309 768222729 /nfs/dbraw/zinc/22/27/29/768222729.db2.gz QVJAOFMOMCFNIG-CVEARBPZSA-N 1 2 313.405 1.143 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)=C2CCCC2)[C@@H](n2ccnn2)C1 ZINC001069908309 768222733 /nfs/dbraw/zinc/22/27/33/768222733.db2.gz QVJAOFMOMCFNIG-CVEARBPZSA-N 1 2 313.405 1.143 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3C[C@H]4C[C@@H](C3)N(CC#N)C4)ccn12 ZINC001047074272 768226878 /nfs/dbraw/zinc/22/68/78/768226878.db2.gz YQBQZJJURIINGP-BPUTZDHNSA-N 1 2 323.400 1.749 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CC[C@H](C)F)nc1 ZINC001051215142 764629164 /nfs/dbraw/zinc/62/91/64/764629164.db2.gz SFJYZHYPQFFGSK-ZFWWWQNUSA-N 1 2 319.380 1.242 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CC[C@H](C)F)nc1 ZINC001051215142 764629171 /nfs/dbraw/zinc/62/91/71/764629171.db2.gz SFJYZHYPQFFGSK-ZFWWWQNUSA-N 1 2 319.380 1.242 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc(N(C)C)c1 ZINC001051225308 764644048 /nfs/dbraw/zinc/64/40/48/764644048.db2.gz GIAPQXWTVSMGAG-QGZVFWFLSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc(N(C)C)c1 ZINC001051225308 764644053 /nfs/dbraw/zinc/64/40/53/764644053.db2.gz GIAPQXWTVSMGAG-QGZVFWFLSA-N 1 2 317.433 1.759 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1Nc1ncccc1C#N ZINC001043227625 764778381 /nfs/dbraw/zinc/77/83/81/764778381.db2.gz GDDMIPMRKAYVPU-TZMCWYRMSA-N 1 2 310.361 1.109 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2ccc(OC)cc2)CC1 ZINC001112801481 764791355 /nfs/dbraw/zinc/79/13/55/764791355.db2.gz UGQSGHHJHXDDQX-GOSISDBHSA-N 1 2 318.417 1.667 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](C[C@H](O)CC(F)(F)F)CC1 ZINC001112809888 764792313 /nfs/dbraw/zinc/79/23/13/764792313.db2.gz WBYYETPVQOALSL-GFCCVEGCSA-N 1 2 306.328 1.247 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2Cc3cc(Cl)ccc3O2)C1 ZINC001043261105 764796353 /nfs/dbraw/zinc/79/63/53/764796353.db2.gz REFGNVCGHYZAGT-INIZCTEOSA-N 1 2 318.804 1.809 20 30 DDEDLO Cc1noc(CCC[NH+]2CCN(C(=O)C#CC(C)(C)C)CC2)n1 ZINC001112837174 764845192 /nfs/dbraw/zinc/84/51/92/764845192.db2.gz VHWYGSXFIUYDHR-UHFFFAOYSA-N 1 2 318.421 1.504 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2ccc(F)cc2C)CC1 ZINC001112846004 764860709 /nfs/dbraw/zinc/86/07/09/764860709.db2.gz CFBDNODDWXLLEU-HNNXBMFYSA-N 1 2 318.392 1.817 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@@H](C)Nc1cncc(C#N)n1 ZINC001112994846 765107590 /nfs/dbraw/zinc/10/75/90/765107590.db2.gz ZXIVUCKELXUEOD-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113008727 765132883 /nfs/dbraw/zinc/13/28/83/765132883.db2.gz ACQCCSHUTAXFSE-RYUDHWBXSA-N 1 2 318.425 1.355 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c(CC)ncnc2CC)C1 ZINC001043902009 765156996 /nfs/dbraw/zinc/15/69/96/765156996.db2.gz BFCNSIYOJOEDLL-UHFFFAOYSA-N 1 2 302.422 1.934 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2n[nH]c3c2CCCC3)CC1 ZINC001113059927 765208417 /nfs/dbraw/zinc/20/84/17/765208417.db2.gz HHLFPOHGOXPNMS-UHFFFAOYSA-N 1 2 318.421 1.249 20 30 DDEDLO C[C@@H](NC(=O)Cn1cc[nH+]c1)[C@@H](C)Nc1cccc(F)c1C#N ZINC001113082258 765235890 /nfs/dbraw/zinc/23/58/90/765235890.db2.gz PWJPUFAWFNIFGL-VXGBXAGGSA-N 1 2 315.352 1.899 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(C)cc(C)nc2C)CC1 ZINC001113130598 765314315 /nfs/dbraw/zinc/31/43/15/765314315.db2.gz GDMNXZHOFNYNPH-UHFFFAOYSA-N 1 2 317.433 1.967 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC001051969498 765327811 /nfs/dbraw/zinc/32/78/11/765327811.db2.gz LQBXMOYVUGIYPC-QGZVFWFLSA-N 1 2 303.450 1.418 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3CC=CCC3)C2)CC1 ZINC001051981462 765345547 /nfs/dbraw/zinc/34/55/47/765345547.db2.gz PZOPGUUIGZLIMM-ROUUACIJSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3cscc3C)C2)CC1 ZINC001052005639 765373167 /nfs/dbraw/zinc/37/31/67/765373167.db2.gz GHLBHZFXBWTBAW-OAHLLOKOSA-N 1 2 317.458 1.522 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](N2CC[NH+](CC#CC)CC2)C1 ZINC001052060823 765432757 /nfs/dbraw/zinc/43/27/57/765432757.db2.gz NIHREAVYTYSQDN-KRWDZBQOSA-N 1 2 317.477 1.831 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3CCCC3(C)C)C2)CC1 ZINC001052067157 765440743 /nfs/dbraw/zinc/44/07/43/765440743.db2.gz GAHHHIRPWJPMIW-IAGOWNOFSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3CC34CCCC4)C2)CC1 ZINC001052101997 765471412 /nfs/dbraw/zinc/47/14/12/765471412.db2.gz CAAZIQIRRMGRPC-IRXDYDNUSA-N 1 2 315.461 1.418 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C3CC3)no2)CC1 ZINC001113391877 765646835 /nfs/dbraw/zinc/64/68/35/765646835.db2.gz XUXVYGDLWFDXGH-UHFFFAOYSA-N 1 2 305.378 1.512 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@H](NCC#N)C[C@@H]3C)ccn12 ZINC001044605265 765693280 /nfs/dbraw/zinc/69/32/80/765693280.db2.gz OWGGJQQVAIHQOG-WFASDCNBSA-N 1 2 311.389 1.749 20 30 DDEDLO N#CCN1CCC([C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)CC1 ZINC001047259818 768344745 /nfs/dbraw/zinc/34/47/45/768344745.db2.gz UHIYSDDVGKEQOP-HNNXBMFYSA-N 1 2 315.421 1.426 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccco2)CC[C@H]1C ZINC001131759953 768348135 /nfs/dbraw/zinc/34/81/35/768348135.db2.gz DNCLMECUDXWWCC-OLZOCXBDSA-N 1 2 305.378 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccco2)CC[C@H]1C ZINC001131759953 768348143 /nfs/dbraw/zinc/34/81/43/768348143.db2.gz DNCLMECUDXWWCC-OLZOCXBDSA-N 1 2 305.378 1.165 20 30 DDEDLO CC1(NC(=O)c2cc(C#N)c[nH]2)CC[NH+](Cc2ccon2)CC1 ZINC001045400409 766283611 /nfs/dbraw/zinc/28/36/11/766283611.db2.gz YRUMZFYSSMZECO-UHFFFAOYSA-N 1 2 313.361 1.659 20 30 DDEDLO Cc1nc(N2CC[C@@H](C)[C@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001114011557 766552216 /nfs/dbraw/zinc/55/22/16/766552216.db2.gz RVPKBTLAFIUEMD-DGCLKSJQSA-N 1 2 319.434 1.373 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114025322 766573387 /nfs/dbraw/zinc/57/33/87/766573387.db2.gz KLDGJFXNXDTPCM-UUIJZJDISA-N 1 2 303.410 1.441 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114025322 766573393 /nfs/dbraw/zinc/57/33/93/766573393.db2.gz KLDGJFXNXDTPCM-UUIJZJDISA-N 1 2 303.410 1.441 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H]2C(NC(=O)/C=C/C3CC3)[C@@H]2C1 ZINC001114114092 766657127 /nfs/dbraw/zinc/65/71/27/766657127.db2.gz KOYRLAHOCZERDZ-CVJASDEYSA-N 1 2 311.223 1.908 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H]2C(NC(=O)/C=C/C3CC3)[C@@H]2C1 ZINC001114114092 766657132 /nfs/dbraw/zinc/65/71/32/766657132.db2.gz KOYRLAHOCZERDZ-CVJASDEYSA-N 1 2 311.223 1.908 20 30 DDEDLO CCc1cnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)o1 ZINC001114237982 766803139 /nfs/dbraw/zinc/80/31/39/766803139.db2.gz WXRACTNKGTZLQA-HALDLXJZSA-N 1 2 301.390 1.443 20 30 DDEDLO CCc1cnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)o1 ZINC001114237982 766803150 /nfs/dbraw/zinc/80/31/50/766803150.db2.gz WXRACTNKGTZLQA-HALDLXJZSA-N 1 2 301.390 1.443 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)[nH]1 ZINC001114256144 766827306 /nfs/dbraw/zinc/82/73/06/766827306.db2.gz VGUPUYSHYPAPAT-PBOSXPJTSA-N 1 2 315.421 1.270 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)[nH]1 ZINC001114256144 766827315 /nfs/dbraw/zinc/82/73/15/766827315.db2.gz VGUPUYSHYPAPAT-PBOSXPJTSA-N 1 2 315.421 1.270 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046032935 766840050 /nfs/dbraw/zinc/84/00/50/766840050.db2.gz KGLSORAYGSLAFO-CQSZACIVSA-N 1 2 324.856 1.507 20 30 DDEDLO C=CCn1c(N2CCCCC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121609423 782589946 /nfs/dbraw/zinc/58/99/46/782589946.db2.gz ZQBOBXSSZBWGKF-CZUORRHYSA-N 1 2 305.426 1.366 20 30 DDEDLO C=CCn1c(N2CCCCC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121609423 782589951 /nfs/dbraw/zinc/58/99/51/782589951.db2.gz ZQBOBXSSZBWGKF-CZUORRHYSA-N 1 2 305.426 1.366 20 30 DDEDLO C=CCn1c(N2CCCCC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001121609426 782590136 /nfs/dbraw/zinc/59/01/36/782590136.db2.gz ZQBOBXSSZBWGKF-XJKSGUPXSA-N 1 2 305.426 1.366 20 30 DDEDLO C=CCn1c(N2CCCCC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121609426 782590152 /nfs/dbraw/zinc/59/01/52/782590152.db2.gz ZQBOBXSSZBWGKF-XJKSGUPXSA-N 1 2 305.426 1.366 20 30 DDEDLO Cc1nc(N2C[C@@H]3[C@@H](CNC(=O)c4c[nH]c(C#N)c4)[C@@H]3C2)cc[nH+]1 ZINC001068165488 766968134 /nfs/dbraw/zinc/96/81/34/766968134.db2.gz OYDYNEZMZOBOOX-QKDCVEJESA-N 1 2 322.372 1.097 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCOC2)C1 ZINC001046176795 767058004 /nfs/dbraw/zinc/05/80/04/767058004.db2.gz GGWCHCCMBPSNDU-AAEUAGOBSA-N 1 2 317.227 1.512 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCOC2)C1 ZINC001046176795 767058011 /nfs/dbraw/zinc/05/80/11/767058011.db2.gz GGWCHCCMBPSNDU-AAEUAGOBSA-N 1 2 317.227 1.512 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001046361524 767468616 /nfs/dbraw/zinc/46/86/16/767468616.db2.gz DEQOGBYYFDKKRJ-SWLSCSKDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001046361524 767468622 /nfs/dbraw/zinc/46/86/22/767468622.db2.gz DEQOGBYYFDKKRJ-SWLSCSKDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2n[nH]c3c2CCC3)C1 ZINC001046440834 767573750 /nfs/dbraw/zinc/57/37/50/767573750.db2.gz VUHXCENGOJKNGO-OAHLLOKOSA-N 1 2 308.813 1.845 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2n[nH]c3c2CCC3)C1 ZINC001046440834 767573752 /nfs/dbraw/zinc/57/37/52/767573752.db2.gz VUHXCENGOJKNGO-OAHLLOKOSA-N 1 2 308.813 1.845 20 30 DDEDLO C=C1CCC(C(=O)N[C@@]2(C)CC[N@@H+](Cc3cnnn3C)C2)CC1 ZINC001046594964 767719585 /nfs/dbraw/zinc/71/95/85/767719585.db2.gz SVLXHYHERBZMPM-KRWDZBQOSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CCC(C(=O)N[C@@]2(C)CC[N@H+](Cc3cnnn3C)C2)CC1 ZINC001046594964 767719586 /nfs/dbraw/zinc/71/95/86/767719586.db2.gz SVLXHYHERBZMPM-KRWDZBQOSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2CC3(CCC3)C2)[C@H](O)C1 ZINC001090496892 767813209 /nfs/dbraw/zinc/81/32/09/767813209.db2.gz SEMBQTPUHDXYJL-UONOGXRCSA-N 1 2 312.841 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2CC3(CCC3)C2)[C@H](O)C1 ZINC001090496892 767813214 /nfs/dbraw/zinc/81/32/14/767813214.db2.gz SEMBQTPUHDXYJL-UONOGXRCSA-N 1 2 312.841 1.871 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](NC(=O)CSCC#N)CC[C@H]2C)on1 ZINC001131824662 768390424 /nfs/dbraw/zinc/39/04/24/768390424.db2.gz CQEYFDXPROLSMP-OLZOCXBDSA-N 1 2 322.434 1.709 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](NC(=O)CSCC#N)CC[C@H]2C)on1 ZINC001131824662 768390432 /nfs/dbraw/zinc/39/04/32/768390432.db2.gz CQEYFDXPROLSMP-OLZOCXBDSA-N 1 2 322.434 1.709 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CNC(=O)C2CC2)CC[C@H]1C ZINC001131830834 768410986 /nfs/dbraw/zinc/41/09/86/768410986.db2.gz VSYAGDCHDVVDPT-DGCLKSJQSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CNC(=O)C2CC2)CC[C@H]1C ZINC001131830834 768410988 /nfs/dbraw/zinc/41/09/88/768410988.db2.gz VSYAGDCHDVVDPT-DGCLKSJQSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCC(=O)NCC)CC[C@H]1C ZINC001131903872 768467840 /nfs/dbraw/zinc/46/78/40/768467840.db2.gz LCLMQVSSNNFWJO-CHWSQXEVSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCC(=O)NCC)CC[C@H]1C ZINC001131903872 768467844 /nfs/dbraw/zinc/46/78/44/768467844.db2.gz LCLMQVSSNNFWJO-CHWSQXEVSA-N 1 2 315.845 1.624 20 30 DDEDLO C#CC[NH+]1CC2(C1)CN(C(=O)CC(C)C)C[C@H]2c1nnc(C)[nH]1 ZINC001070438803 768481205 /nfs/dbraw/zinc/48/12/05/768481205.db2.gz JRDARUHASPTZOE-AWEZNQCLSA-N 1 2 315.421 1.020 20 30 DDEDLO C#CC[NH+]1CC2(C1)CN(C(=O)[C@H](C)CC)C[C@H]2c1nnc(C)[nH]1 ZINC001070441409 768485257 /nfs/dbraw/zinc/48/52/57/768485257.db2.gz UFNBXGAYYVQHHO-OCCSQVGLSA-N 1 2 315.421 1.020 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2nc(C)oc2C)C1 ZINC001131945758 768502565 /nfs/dbraw/zinc/50/25/65/768502565.db2.gz ADRIRIYSLDTYOB-IUODEOHRSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2nc(C)oc2C)C1 ZINC001131945758 768502569 /nfs/dbraw/zinc/50/25/69/768502569.db2.gz ADRIRIYSLDTYOB-IUODEOHRSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001047520420 768539507 /nfs/dbraw/zinc/53/95/07/768539507.db2.gz QXXGBCGHQLGEOR-RYUDHWBXSA-N 1 2 314.336 1.041 20 30 DDEDLO C=CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001047520420 768539512 /nfs/dbraw/zinc/53/95/12/768539512.db2.gz QXXGBCGHQLGEOR-RYUDHWBXSA-N 1 2 314.336 1.041 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](CCS(C)(=O)=O)C1 ZINC001132217599 768689125 /nfs/dbraw/zinc/68/91/25/768689125.db2.gz DPIIBRLZSPVAEX-QWHCGFSZSA-N 1 2 316.467 1.212 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@H+](CCS(C)(=O)=O)C1 ZINC001132217599 768689129 /nfs/dbraw/zinc/68/91/29/768689129.db2.gz DPIIBRLZSPVAEX-QWHCGFSZSA-N 1 2 316.467 1.212 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2cocc2C)CC[C@H]1C ZINC001132222236 768693883 /nfs/dbraw/zinc/69/38/83/768693883.db2.gz VLGCFAIPYKTPQH-ZIAGYGMSSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2cocc2C)CC[C@H]1C ZINC001132222236 768693888 /nfs/dbraw/zinc/69/38/88/768693888.db2.gz VLGCFAIPYKTPQH-ZIAGYGMSSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CCc2ccoc2)CC1 ZINC001070952657 768806918 /nfs/dbraw/zinc/80/69/18/768806918.db2.gz BQBYGKUDNBPNDG-UHFFFAOYSA-N 1 2 319.405 1.049 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CCc2ccoc2)CC1 ZINC001070952657 768806926 /nfs/dbraw/zinc/80/69/26/768806926.db2.gz BQBYGKUDNBPNDG-UHFFFAOYSA-N 1 2 319.405 1.049 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@H]2CC[C@@H](C)C2)CC1 ZINC001070988039 768843167 /nfs/dbraw/zinc/84/31/67/768843167.db2.gz CYHDMHIJHNHJHT-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@H]2CC[C@@H](C)C2)CC1 ZINC001070988039 768843178 /nfs/dbraw/zinc/84/31/78/768843178.db2.gz CYHDMHIJHNHJHT-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(COC)no1 ZINC001132653195 769053466 /nfs/dbraw/zinc/05/34/66/769053466.db2.gz HCQBUKXVINTERR-NWDGAFQWSA-N 1 2 310.398 1.585 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[NH2+]Cc1nc(CCOC)no1 ZINC001132667924 769077241 /nfs/dbraw/zinc/07/72/41/769077241.db2.gz WXOXWBRKTGCCDX-GFCCVEGCSA-N 1 2 310.398 1.067 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[NH2+]Cc1nc(CCOC)no1 ZINC001132667925 769077441 /nfs/dbraw/zinc/07/74/41/769077441.db2.gz WXOXWBRKTGCCDX-LBPRGKRZSA-N 1 2 310.398 1.067 20 30 DDEDLO C#CCC[N@H+]1CCN([C@H]2CCCN(C(=O)CC(C)C)C2)C(=O)C1 ZINC001071251664 769195199 /nfs/dbraw/zinc/19/51/99/769195199.db2.gz FECNKRSUXIJPIY-INIZCTEOSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@H]2CCCN(C(=O)CC(C)C)C2)C(=O)C1 ZINC001071251664 769195209 /nfs/dbraw/zinc/19/52/09/769195209.db2.gz FECNKRSUXIJPIY-INIZCTEOSA-N 1 2 319.449 1.191 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001133383323 769763654 /nfs/dbraw/zinc/76/36/54/769763654.db2.gz NNNZXNVTESAHDU-HNNXBMFYSA-N 1 2 324.450 1.910 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001071987230 770392273 /nfs/dbraw/zinc/39/22/73/770392273.db2.gz DKYWGALVGKCQKZ-IUODEOHRSA-N 1 2 316.405 1.027 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001072452486 770919032 /nfs/dbraw/zinc/91/90/32/770919032.db2.gz CGWQMLXYBCKZIX-KBPBESRZSA-N 1 2 304.394 1.203 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049499374 770934437 /nfs/dbraw/zinc/93/44/37/770934437.db2.gz RJJHGTMCEBALHO-CABCVRRESA-N 1 2 309.373 1.270 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049499374 770934438 /nfs/dbraw/zinc/93/44/38/770934438.db2.gz RJJHGTMCEBALHO-CABCVRRESA-N 1 2 309.373 1.270 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H](Nc2cc[nH+]c(C)n2)C2CC2)c1 ZINC001096812942 771463100 /nfs/dbraw/zinc/46/31/00/771463100.db2.gz YTRZUVVXOWYCFS-INIZCTEOSA-N 1 2 321.384 1.782 20 30 DDEDLO C#CCC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCc3cn[nH]c31)C2 ZINC001097076610 771609539 /nfs/dbraw/zinc/60/95/39/771609539.db2.gz PQEIUVMNDNPOTB-QXSJWSMHSA-N 1 2 312.417 1.574 20 30 DDEDLO C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCc3cn[nH]c31)C2 ZINC001097076610 771609542 /nfs/dbraw/zinc/60/95/42/771609542.db2.gz PQEIUVMNDNPOTB-QXSJWSMHSA-N 1 2 312.417 1.574 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(F)CCCCC2)[C@@H](O)C1 ZINC001090700771 772126674 /nfs/dbraw/zinc/12/66/74/772126674.db2.gz NUNSXVVJELAJTM-STQMWFEESA-N 1 2 318.820 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(F)CCCCC2)[C@@H](O)C1 ZINC001090700771 772126676 /nfs/dbraw/zinc/12/66/76/772126676.db2.gz NUNSXVVJELAJTM-STQMWFEESA-N 1 2 318.820 1.963 20 30 DDEDLO COc1ccccc1Cn1c[nH+]cc1CNC(=O)CCS ZINC001143992429 772369349 /nfs/dbraw/zinc/36/93/49/772369349.db2.gz BXYZQJUGZFISRQ-UHFFFAOYSA-N 1 2 305.403 1.876 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CCCC[C@H]1Nc1cc[nH+]c(C)n1 ZINC001091251919 772643546 /nfs/dbraw/zinc/64/35/46/772643546.db2.gz QFGQIFLEPUBTRU-CKEIUWERSA-N 1 2 318.421 1.951 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H]1C[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001091358369 772703934 /nfs/dbraw/zinc/70/39/34/772703934.db2.gz YNQRAQAOGYJXRC-OKILXGFUSA-N 1 2 324.388 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](C)CSC)C1 ZINC001149415345 772809093 /nfs/dbraw/zinc/80/90/93/772809093.db2.gz LQMKRELXCJFZEF-AAEUAGOBSA-N 1 2 320.886 1.945 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](C)CSC)C1 ZINC001149415345 772809096 /nfs/dbraw/zinc/80/90/96/772809096.db2.gz LQMKRELXCJFZEF-AAEUAGOBSA-N 1 2 320.886 1.945 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091545076 772816992 /nfs/dbraw/zinc/81/69/92/772816992.db2.gz BPBBULHJPMVTRU-UONOGXRCSA-N 1 2 304.394 1.684 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@H+](Cc1cscn1)C2 ZINC001147523562 773168712 /nfs/dbraw/zinc/16/87/12/773168712.db2.gz XGCXFXOPDRWATC-UHFFFAOYSA-N 1 2 303.431 1.837 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@@H+](Cc1cscn1)C2 ZINC001147523562 773168715 /nfs/dbraw/zinc/16/87/15/773168715.db2.gz XGCXFXOPDRWATC-UHFFFAOYSA-N 1 2 303.431 1.837 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C)no1)C2 ZINC001148194371 773375242 /nfs/dbraw/zinc/37/52/42/773375242.db2.gz XROOSFIEJMGUIE-UHFFFAOYSA-N 1 2 304.394 1.769 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nc(C)no1)C2 ZINC001148194371 773375250 /nfs/dbraw/zinc/37/52/50/773375250.db2.gz XROOSFIEJMGUIE-UHFFFAOYSA-N 1 2 304.394 1.769 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cccnc3)C[C@@H]21 ZINC001074215193 773737097 /nfs/dbraw/zinc/73/70/97/773737097.db2.gz DHLGXFWAKAFSJL-IRXDYDNUSA-N 1 2 315.417 1.502 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cccnc3)C[C@@H]21 ZINC001074215193 773737100 /nfs/dbraw/zinc/73/71/00/773737100.db2.gz DHLGXFWAKAFSJL-IRXDYDNUSA-N 1 2 315.417 1.502 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cncs3)C[C@H]21 ZINC001074270310 773782305 /nfs/dbraw/zinc/78/23/05/773782305.db2.gz FSFYKXCBICBNGC-HUUCEWRRSA-N 1 2 321.446 1.563 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cncs3)C[C@H]21 ZINC001074270310 773782310 /nfs/dbraw/zinc/78/23/10/773782310.db2.gz FSFYKXCBICBNGC-HUUCEWRRSA-N 1 2 321.446 1.563 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(CC)CCC3)C[C@@H]21 ZINC001074316991 773817541 /nfs/dbraw/zinc/81/75/41/773817541.db2.gz IPWWTQXDAAZZEJ-HOTGVXAUSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CC)CCC3)C[C@@H]21 ZINC001074316991 773817547 /nfs/dbraw/zinc/81/75/47/773817547.db2.gz IPWWTQXDAAZZEJ-HOTGVXAUSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3=CCOCC3)C[C@H]21 ZINC001074334291 773830386 /nfs/dbraw/zinc/83/03/86/773830386.db2.gz PRDSZAWWOCZRDT-SJORKVTESA-N 1 2 318.417 1.048 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3=CCOCC3)C[C@H]21 ZINC001074334291 773830391 /nfs/dbraw/zinc/83/03/91/773830391.db2.gz PRDSZAWWOCZRDT-SJORKVTESA-N 1 2 318.417 1.048 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3OCC[N@@H+](CCOC)[C@H]3C2)C1 ZINC001074334867 773831531 /nfs/dbraw/zinc/83/15/31/773831531.db2.gz ZSUACDCUXADOLJ-LSDHHAIUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3OCC[N@H+](CCOC)[C@H]3C2)C1 ZINC001074334867 773831537 /nfs/dbraw/zinc/83/15/37/773831537.db2.gz ZSUACDCUXADOLJ-LSDHHAIUSA-N 1 2 308.422 1.291 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@]13C[C@H]1CCC3)c1nccn12 ZINC001092383795 774095080 /nfs/dbraw/zinc/09/50/80/774095080.db2.gz PNILBOWRFSVIKR-SPYBWZPUSA-N 1 2 324.428 1.669 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CC3(CC3)C1)c1nccn12 ZINC001092395110 774100611 /nfs/dbraw/zinc/10/06/11/774100611.db2.gz XBSHWGOPNGAFRA-OAHLLOKOSA-N 1 2 324.428 1.669 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccoc1)c1nccn12 ZINC001092365645 774104839 /nfs/dbraw/zinc/10/48/39/774104839.db2.gz CDSGSMMMWJSPSK-AWEZNQCLSA-N 1 2 312.373 1.477 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cnns3)CC2)C1 ZINC001093510954 774758028 /nfs/dbraw/zinc/75/80/28/774758028.db2.gz LMZAIKDPDIPRAH-UHFFFAOYSA-N 1 2 322.434 1.420 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccnn3CC)CC2)C1 ZINC001093530209 774782963 /nfs/dbraw/zinc/78/29/63/774782963.db2.gz YZVANSZQZUZVFT-UHFFFAOYSA-N 1 2 318.421 1.394 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001099046652 774792532 /nfs/dbraw/zinc/79/25/32/774792532.db2.gz QFGRMVCGNYTDJR-LBPRGKRZSA-N 1 2 318.425 1.356 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3CO[C@H](C)C3)CC2)C1 ZINC001093549320 774826805 /nfs/dbraw/zinc/82/68/05/774826805.db2.gz VEWDQZPCMMNCDU-HUUCEWRRSA-N 1 2 322.449 1.679 20 30 DDEDLO C[C@H](CCNC(=O)Cn1cc[nH+]c1)Nc1ncc(C#N)cc1F ZINC001099261769 774922121 /nfs/dbraw/zinc/92/21/21/774922121.db2.gz JELHUITWERIONO-LLVKDONJSA-N 1 2 316.340 1.296 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccncc2Cl)C[C@@H]1O ZINC001099728430 775195153 /nfs/dbraw/zinc/19/51/53/775195153.db2.gz WWSPTCMJKJIICX-GJZGRUSLSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ccncc2Cl)C[C@@H]1O ZINC001099728430 775195164 /nfs/dbraw/zinc/19/51/64/775195164.db2.gz WWSPTCMJKJIICX-GJZGRUSLSA-N 1 2 323.824 1.753 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099806568 775306055 /nfs/dbraw/zinc/30/60/55/775306055.db2.gz CUTXMDSYVUCVIG-HZPDHXFCSA-N 1 2 319.380 1.076 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099806568 775306066 /nfs/dbraw/zinc/30/60/66/775306066.db2.gz CUTXMDSYVUCVIG-HZPDHXFCSA-N 1 2 319.380 1.076 20 30 DDEDLO N#Cc1cccnc1NCCNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001094111953 775438938 /nfs/dbraw/zinc/43/89/38/775438938.db2.gz COJPQEMAXDPTNX-LBPRGKRZSA-N 1 2 310.361 1.325 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)cn2)C[C@@H]1O ZINC001099960511 775485072 /nfs/dbraw/zinc/48/50/72/775485072.db2.gz UTNPDMSPTMFRPE-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)cn2)C[C@@H]1O ZINC001099960511 775485079 /nfs/dbraw/zinc/48/50/79/775485079.db2.gz UTNPDMSPTMFRPE-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO N#Cc1sc(NCCNC(=O)Cc2c[nH]c[nH+]2)nc1Cl ZINC001094150424 775491828 /nfs/dbraw/zinc/49/18/28/775491828.db2.gz FNWDTVCLWDUZTD-UHFFFAOYSA-N 1 2 310.770 1.162 20 30 DDEDLO Cc1cc(N(C)CCNC(=O)CCc2[nH]cc[nH+]2)c(C#N)cn1 ZINC001100042627 775609968 /nfs/dbraw/zinc/60/99/68/775609968.db2.gz HNOFGNMCWHZDNI-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cc(C)c(C)o3)nn2)C1 ZINC001094283800 775646147 /nfs/dbraw/zinc/64/61/47/775646147.db2.gz IQHNMQHZBFVOGH-UHFFFAOYSA-N 1 2 315.377 1.461 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCC3(C)C)nn2)C1 ZINC001094310866 775664295 /nfs/dbraw/zinc/66/42/95/775664295.db2.gz XRIBPCYHVRKJIU-AWEZNQCLSA-N 1 2 303.410 1.373 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CCC[C@@H](F)C3)nn2)C1 ZINC001094291029 775691771 /nfs/dbraw/zinc/69/17/71/775691771.db2.gz MFVFOBIXKRUMQO-QWHCGFSZSA-N 1 2 321.400 1.465 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CCC[C@H](F)C3)nn2)C1 ZINC001094291031 775691854 /nfs/dbraw/zinc/69/18/54/775691854.db2.gz MFVFOBIXKRUMQO-STQMWFEESA-N 1 2 321.400 1.465 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCNc2ccncc2C#N)c(C)[nH+]1 ZINC001094291079 775692137 /nfs/dbraw/zinc/69/21/37/775692137.db2.gz AJJMSOOYXZLNCX-UHFFFAOYSA-N 1 2 309.373 1.537 20 30 DDEDLO CN(CCNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)c1ccc(C#N)nc1 ZINC001100330394 776007571 /nfs/dbraw/zinc/00/75/71/776007571.db2.gz OYFCCRXGLDXKAH-CQSZACIVSA-N 1 2 324.388 1.349 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)c3cccs3)CC2=O)C1 ZINC001094698696 776210203 /nfs/dbraw/zinc/21/02/03/776210203.db2.gz IPOOCMWBJPQPPA-GFCCVEGCSA-N 1 2 319.430 1.339 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)C3CCC3)CC2=O)C1 ZINC001094751103 776246405 /nfs/dbraw/zinc/24/64/05/776246405.db2.gz OEJSQCKDQFCLGW-TZMCWYRMSA-N 1 2 305.422 1.010 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@]3(C)C=CCC3)CC2=O)C1 ZINC001094770983 776259642 /nfs/dbraw/zinc/25/96/42/776259642.db2.gz GBMLIJPHQZSCQR-KDOFPFPSSA-N 1 2 317.433 1.320 20 30 DDEDLO Cc1nc(NCCCNC(=O)CCc2c[nH]c[nH+]2)ccc1C#N ZINC001094856418 776526664 /nfs/dbraw/zinc/52/66/64/776526664.db2.gz ZPMHEGJLMPHPOD-UHFFFAOYSA-N 1 2 312.377 1.536 20 30 DDEDLO Cc1nc(NCCCNC(=O)CCc2c[nH+]c[nH]2)ccc1C#N ZINC001094856418 776526671 /nfs/dbraw/zinc/52/66/71/776526671.db2.gz ZPMHEGJLMPHPOD-UHFFFAOYSA-N 1 2 312.377 1.536 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001100919509 776703836 /nfs/dbraw/zinc/70/38/36/776703836.db2.gz ZWKOTOLHNXPFLX-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001100919509 776703840 /nfs/dbraw/zinc/70/38/40/776703840.db2.gz ZWKOTOLHNXPFLX-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO COC(=O)[C@H](Cc1ccc(C#N)cc1)[NH2+]C1C[C@H]2CC[C@@H](C1)O2 ZINC001172226249 776711383 /nfs/dbraw/zinc/71/13/83/776711383.db2.gz RPUWXPZQBXBPKQ-HMQMGEFJSA-N 1 2 314.385 1.942 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@@]2(C)C1 ZINC001101162981 776955973 /nfs/dbraw/zinc/95/59/73/776955973.db2.gz PZDGVOFGYSRHJM-CJNGLKHVSA-N 1 2 304.394 1.625 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@@]2(C)C1 ZINC001101162981 776955976 /nfs/dbraw/zinc/95/59/76/776955976.db2.gz PZDGVOFGYSRHJM-CJNGLKHVSA-N 1 2 304.394 1.625 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCCOCC)c1nccn12 ZINC001101612583 777303962 /nfs/dbraw/zinc/30/39/62/777303962.db2.gz ZYPMNHGOBGFUDE-CQSZACIVSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095300534 777478732 /nfs/dbraw/zinc/47/87/32/777478732.db2.gz CFSWHBGVESNCRJ-OCCSQVGLSA-N 1 2 304.394 1.779 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)Nc1cccc(CC#N)c1 ZINC001176367400 778136897 /nfs/dbraw/zinc/13/68/97/778136897.db2.gz MZCWSKUERAYAPR-OAHLLOKOSA-N 1 2 311.345 1.162 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cccc(CC#N)c1 ZINC001176367400 778136902 /nfs/dbraw/zinc/13/69/02/778136902.db2.gz MZCWSKUERAYAPR-OAHLLOKOSA-N 1 2 311.345 1.162 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@H+](CCOC3CCC3)[C@@H]2C1 ZINC001176954864 778345570 /nfs/dbraw/zinc/34/55/70/778345570.db2.gz KCTFHDAVLYLWTK-IAGOWNOFSA-N 1 2 322.449 1.823 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOC3CCC3)[C@@H]2C1 ZINC001176954864 778345578 /nfs/dbraw/zinc/34/55/78/778345578.db2.gz KCTFHDAVLYLWTK-IAGOWNOFSA-N 1 2 322.449 1.823 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C/C)C[C@@H]21 ZINC001176976753 778361546 /nfs/dbraw/zinc/36/15/46/778361546.db2.gz LJJIEEZUEGBUCO-YLGAGNJQSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C/C)C[C@@H]21 ZINC001176976753 778361549 /nfs/dbraw/zinc/36/15/49/778361549.db2.gz LJJIEEZUEGBUCO-YLGAGNJQSA-N 1 2 308.422 1.457 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3(COCC)CC3)C[C@H]21 ZINC001177040968 778404644 /nfs/dbraw/zinc/40/46/44/778404644.db2.gz FPGKKEWFFHNJLS-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3(COCC)CC3)C[C@H]21 ZINC001177040968 778404646 /nfs/dbraw/zinc/40/46/46/778404646.db2.gz FPGKKEWFFHNJLS-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C[C@H]1C[C@]1(NC(=O)CN(C)c1cccc[nH+]1)C(=O)OCC ZINC001177416428 778588892 /nfs/dbraw/zinc/58/88/92/778588892.db2.gz BWXCPRUXCULIRZ-BLLLJJGKSA-N 1 2 303.362 1.142 20 30 DDEDLO CC[C@@H](CNC(=O)C#CC1CC1)Nc1[nH+]cnc2c1cnn2C ZINC001103055171 778601449 /nfs/dbraw/zinc/60/14/49/778601449.db2.gz UQUJWIRWDSJRGD-LBPRGKRZSA-N 1 2 312.377 1.083 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([NH2+]Cc2csnn2)[C@@H]1C ZINC001178279676 778970740 /nfs/dbraw/zinc/97/07/40/778970740.db2.gz XQHFCOMNBUGQIX-OBJOEFQTSA-N 1 2 324.450 1.598 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2noc(C)n2)[C@@H]1C ZINC001178338590 778988657 /nfs/dbraw/zinc/98/86/57/778988657.db2.gz FCXJNKYCOPBGCX-GVXVVHGQSA-N 1 2 308.382 1.221 20 30 DDEDLO N#Cc1cc[nH]c1NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001178987739 779252251 /nfs/dbraw/zinc/25/22/51/779252251.db2.gz GCHUYQAXKURQGD-OAHLLOKOSA-N 1 2 310.357 1.726 20 30 DDEDLO N#Cc1cc[nH]c1NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001178987739 779252254 /nfs/dbraw/zinc/25/22/54/779252254.db2.gz GCHUYQAXKURQGD-OAHLLOKOSA-N 1 2 310.357 1.726 20 30 DDEDLO C#Cc1cc(NC(=O)[C@H]2C[N@H+](Cc3ccccc3)CCO2)ccn1 ZINC001178986911 779252547 /nfs/dbraw/zinc/25/25/47/779252547.db2.gz SBYCBLMKGPINLW-GOSISDBHSA-N 1 2 321.380 1.324 20 30 DDEDLO C#Cc1cc(NC(=O)[C@H]2C[N@@H+](Cc3ccccc3)CCO2)ccn1 ZINC001178986911 779252552 /nfs/dbraw/zinc/25/25/52/779252552.db2.gz SBYCBLMKGPINLW-GOSISDBHSA-N 1 2 321.380 1.324 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)o1 ZINC001111660356 779423365 /nfs/dbraw/zinc/42/33/65/779423365.db2.gz FYHDZOLSFMXPCK-RBOXIYTFSA-N 1 2 302.378 1.613 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)o1 ZINC001111660356 779423370 /nfs/dbraw/zinc/42/33/70/779423370.db2.gz FYHDZOLSFMXPCK-RBOXIYTFSA-N 1 2 302.378 1.613 20 30 DDEDLO COC(=O)N1CCC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])CC1 ZINC001118292172 781085815 /nfs/dbraw/zinc/08/58/15/781085815.db2.gz ZYBBEKXSFLDZNX-UHFFFAOYSA-N 1 2 318.333 1.741 20 30 DDEDLO COC(=O)N1CCC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])CC1 ZINC001118292172 781085819 /nfs/dbraw/zinc/08/58/19/781085819.db2.gz ZYBBEKXSFLDZNX-UHFFFAOYSA-N 1 2 318.333 1.741 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@@H+](C)CC(=O)NCC(C)C)CC1 ZINC001267215649 837548270 /nfs/dbraw/zinc/54/82/70/837548270.db2.gz NYCDYEUQWMBBGR-UHFFFAOYSA-N 1 2 309.454 1.553 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@H+](C)CC(=O)NCC(C)C)CC1 ZINC001267215649 837548281 /nfs/dbraw/zinc/54/82/81/837548281.db2.gz NYCDYEUQWMBBGR-UHFFFAOYSA-N 1 2 309.454 1.553 20 30 DDEDLO CCC[N@H+](CCNC(=O)C#CC(C)(C)C)Cc1nccn1C ZINC001267312696 837804517 /nfs/dbraw/zinc/80/45/17/837804517.db2.gz KXQKCZQAMCXHQT-UHFFFAOYSA-N 1 2 304.438 1.798 20 30 DDEDLO CCC[N@@H+](CCNC(=O)C#CC(C)(C)C)Cc1nccn1C ZINC001267312696 837804523 /nfs/dbraw/zinc/80/45/23/837804523.db2.gz KXQKCZQAMCXHQT-UHFFFAOYSA-N 1 2 304.438 1.798 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCCN1CC[NH+](Cc2ccsc2)CC1 ZINC001266259519 836000348 /nfs/dbraw/zinc/00/03/48/836000348.db2.gz GTLMEENQUPSCLS-AWEZNQCLSA-N 1 2 320.462 1.532 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cc3n(n2)CCC3)C1 ZINC001266339185 836140509 /nfs/dbraw/zinc/14/05/09/836140509.db2.gz LFVGHJHDUCWEAA-CQSZACIVSA-N 1 2 302.422 1.876 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc3n(n2)CCC3)C1 ZINC001266339185 836140510 /nfs/dbraw/zinc/14/05/10/836140510.db2.gz LFVGHJHDUCWEAA-CQSZACIVSA-N 1 2 302.422 1.876 20 30 DDEDLO CC#CC[NH2+][C@@H](CNC(=O)c1cccc(-c2nnc[nH]2)c1)C1CC1 ZINC001266424918 836234055 /nfs/dbraw/zinc/23/40/55/836234055.db2.gz XQLYTMYAKBGTKF-INIZCTEOSA-N 1 2 323.400 1.593 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@@H+](Cc2ncnn2CC)C1 ZINC001266527706 836418366 /nfs/dbraw/zinc/41/83/66/836418366.db2.gz BRBSUHVCOMTPRU-AWEZNQCLSA-N 1 2 305.426 1.592 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@H+](Cc2ncnn2CC)C1 ZINC001266527706 836418374 /nfs/dbraw/zinc/41/83/74/836418374.db2.gz BRBSUHVCOMTPRU-AWEZNQCLSA-N 1 2 305.426 1.592 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H](C)SC ZINC001266833047 836901471 /nfs/dbraw/zinc/90/14/71/836901471.db2.gz PAOYISKJNOSRDP-OLZOCXBDSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H](C)SC ZINC001266833047 836901480 /nfs/dbraw/zinc/90/14/80/836901480.db2.gz PAOYISKJNOSRDP-OLZOCXBDSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](C)[NH2+]Cc2csnn2)CCOCC1 ZINC001266989248 837156416 /nfs/dbraw/zinc/15/64/16/837156416.db2.gz HASVSQYCYRIISO-GFCCVEGCSA-N 1 2 324.450 1.505 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)C1)OCC ZINC001267011300 837184947 /nfs/dbraw/zinc/18/49/47/837184947.db2.gz IUKMHTBOFRUQTP-UONOGXRCSA-N 1 2 322.409 1.440 20 30 DDEDLO Cc1nc([C@@H](C)[N@@H+]2CC[C@@H](CCNC(=O)C#CC3CC3)C2)no1 ZINC001267531646 838259699 /nfs/dbraw/zinc/25/96/99/838259699.db2.gz WHINWNVLYCPDPY-IUODEOHRSA-N 1 2 316.405 1.681 20 30 DDEDLO Cc1nc([C@@H](C)[N@H+]2CC[C@@H](CCNC(=O)C#CC3CC3)C2)no1 ZINC001267531646 838259706 /nfs/dbraw/zinc/25/97/06/838259706.db2.gz WHINWNVLYCPDPY-IUODEOHRSA-N 1 2 316.405 1.681 20 30 DDEDLO CCCc1occc1C(=O)N(C)CC[NH+]1CCN(CC#N)CC1 ZINC001267576381 838346187 /nfs/dbraw/zinc/34/61/87/838346187.db2.gz XHZKRSSQOLMWSY-UHFFFAOYSA-N 1 2 318.421 1.445 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](NC(=O)c2cc(C)co2)C1 ZINC001267629515 838530282 /nfs/dbraw/zinc/53/02/82/838530282.db2.gz YDDVOCNZXPZJQT-KBPBESRZSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](NC(=O)c2cc(C)co2)C1 ZINC001267629515 838530288 /nfs/dbraw/zinc/53/02/88/838530288.db2.gz YDDVOCNZXPZJQT-KBPBESRZSA-N 1 2 319.405 1.473 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](NC(=O)C(C)(C)CC=C)C1 ZINC001267632451 838538241 /nfs/dbraw/zinc/53/82/41/838538241.db2.gz WLDMSLUPSIZAKY-GJZGRUSLSA-N 1 2 319.449 1.307 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](NC(=O)C(C)(C)CC=C)C1 ZINC001267632451 838538243 /nfs/dbraw/zinc/53/82/43/838538243.db2.gz WLDMSLUPSIZAKY-GJZGRUSLSA-N 1 2 319.449 1.307 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1C[NH+](C[C@@H](OC)c2ccccc2)C1 ZINC001267644809 838564884 /nfs/dbraw/zinc/56/48/84/838564884.db2.gz WSMRHHYKSWKOGG-SJLPKXTDSA-N 1 2 318.417 1.502 20 30 DDEDLO C=CCC[C@H](C(=O)NC1C[NH+](C[C@@H](C)O)C1)c1ccccc1 ZINC001267673063 838619621 /nfs/dbraw/zinc/61/96/21/838619621.db2.gz VULCUKYRJRWHHZ-PBHICJAKSA-N 1 2 302.418 1.918 20 30 DDEDLO COc1cc(C[NH+]2CC(CNC(=O)C#CC(C)(C)C)C2)sn1 ZINC001267680554 838633121 /nfs/dbraw/zinc/63/31/21/838633121.db2.gz PMPANZITFQWYSN-UHFFFAOYSA-N 1 2 321.446 1.749 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@H]2CCC[C@@H]2CCC)C1 ZINC001267688795 838641909 /nfs/dbraw/zinc/64/19/09/838641909.db2.gz VUWPQFCGXJAZOZ-HOTGVXAUSA-N 1 2 321.465 1.553 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1C[NH+](Cc2ccc(OC)nn2)C1 ZINC001267693360 838662199 /nfs/dbraw/zinc/66/21/99/838662199.db2.gz BPGUHSAIRTWEKH-UHFFFAOYSA-N 1 2 318.421 1.636 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](C)C(=O)NCCCC ZINC001267704772 838681831 /nfs/dbraw/zinc/68/18/31/838681831.db2.gz FIWUSJDARRFIBN-PMPSAXMXSA-N 1 2 319.449 1.380 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](C)C(=O)NCCCC ZINC001267704772 838681836 /nfs/dbraw/zinc/68/18/36/838681836.db2.gz FIWUSJDARRFIBN-PMPSAXMXSA-N 1 2 319.449 1.380 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCOc1ccccc1OC ZINC001267716813 838716468 /nfs/dbraw/zinc/71/64/68/838716468.db2.gz TXIYUEDKLWJZCZ-GJZGRUSLSA-N 1 2 314.385 1.382 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCOc1ccccc1OC ZINC001267716813 838716480 /nfs/dbraw/zinc/71/64/80/838716480.db2.gz TXIYUEDKLWJZCZ-GJZGRUSLSA-N 1 2 314.385 1.382 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)CC2CC(C)(C)C2)C1 ZINC001268026352 839428004 /nfs/dbraw/zinc/42/80/04/839428004.db2.gz IRYFWMHHLGXDND-INIZCTEOSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)CC2CC(C)(C)C2)C1 ZINC001268026352 839428014 /nfs/dbraw/zinc/42/80/14/839428014.db2.gz IRYFWMHHLGXDND-INIZCTEOSA-N 1 2 324.465 1.832 20 30 DDEDLO C#CCOCCC(=O)NC1C[NH+](CC[C@@H]2CC2(Cl)Cl)C1 ZINC001268300845 839969584 /nfs/dbraw/zinc/96/95/84/839969584.db2.gz RAVLOYSVEFITOJ-LLVKDONJSA-N 1 2 319.232 1.411 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cccc3cccnc32)C1 ZINC001268332754 840011312 /nfs/dbraw/zinc/01/13/12/840011312.db2.gz UFINFGHDXACGRK-UHFFFAOYSA-N 1 2 311.385 1.851 20 30 DDEDLO C[C@@H](C[NH2+]Cc1nc(C(F)F)no1)NC(=O)C#CC(C)(C)C ZINC001268685845 840672016 /nfs/dbraw/zinc/67/20/16/840672016.db2.gz LPMOALIONMTOPR-VIFPVBQESA-N 1 2 314.336 1.651 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)CCC[NH2+][C@H](C)c1noc(C)n1 ZINC001268789003 840809944 /nfs/dbraw/zinc/80/99/44/840809944.db2.gz GAIJPSYWFBXRLX-ABAIWWIYSA-N 1 2 310.398 1.204 20 30 DDEDLO C=CCCCC(=O)NCC1C[NH+](Cc2c(C)nnn2CC)C1 ZINC001268867531 840921713 /nfs/dbraw/zinc/92/17/13/840921713.db2.gz POGHLHULIMETPT-UHFFFAOYSA-N 1 2 305.426 1.511 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC(CNC(=O)C2(CC)CCCCC2)C1 ZINC001268911620 840982797 /nfs/dbraw/zinc/98/27/97/840982797.db2.gz PVBQQSOJJPLYMK-UHFFFAOYSA-N 1 2 319.449 1.144 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccc1F ZINC001268944010 841024305 /nfs/dbraw/zinc/02/43/05/841024305.db2.gz GFUDXIBCDCDBFS-KBMXLJTQSA-N 1 2 316.376 1.649 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1F ZINC001268944010 841024311 /nfs/dbraw/zinc/02/43/11/841024311.db2.gz GFUDXIBCDCDBFS-KBMXLJTQSA-N 1 2 316.376 1.649 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC2(CCC2)C1 ZINC001268960513 841057423 /nfs/dbraw/zinc/05/74/23/841057423.db2.gz SNESZKPGIKPCGG-HOTGVXAUSA-N 1 2 302.418 1.502 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC2(CCC2)C1 ZINC001268960513 841057438 /nfs/dbraw/zinc/05/74/38/841057438.db2.gz SNESZKPGIKPCGG-HOTGVXAUSA-N 1 2 302.418 1.502 20 30 DDEDLO N#CCCC(=O)NC12CC(C(=O)Nc3cccc4[nH+]ccn43)(C1)C2 ZINC001269024173 841120517 /nfs/dbraw/zinc/12/05/17/841120517.db2.gz RCVPSVFVAWFIPC-UHFFFAOYSA-N 1 2 323.356 1.615 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)NCc2ccco2)C1 ZINC001269051581 841149864 /nfs/dbraw/zinc/14/98/64/841149864.db2.gz CDYQEVUIOCIMGK-ZDUSSCGKSA-N 1 2 319.405 1.299 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCc2ccco2)C1 ZINC001269051581 841149872 /nfs/dbraw/zinc/14/98/72/841149872.db2.gz CDYQEVUIOCIMGK-ZDUSSCGKSA-N 1 2 319.405 1.299 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](CC(=O)N[C@@H](C)CCC)C2)C1 ZINC001269060972 841162349 /nfs/dbraw/zinc/16/23/49/841162349.db2.gz DJDUDEOYCZESNR-GJZGRUSLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](CC(=O)N[C@@H](C)CCC)C2)C1 ZINC001269060972 841162359 /nfs/dbraw/zinc/16/23/59/841162359.db2.gz DJDUDEOYCZESNR-GJZGRUSLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCN1CC[C@]2(CCCN2C(=O)[C@@H](C)n2cc[nH+]c2)C1=O ZINC001269158446 841278898 /nfs/dbraw/zinc/27/88/98/841278898.db2.gz ZOYKSNXLJWKSII-CZUORRHYSA-N 1 2 302.378 1.224 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)C#CC(C)(C)C)C1=O ZINC001269235961 841400731 /nfs/dbraw/zinc/40/07/31/841400731.db2.gz SIILMRYOFZIKRR-CABCVRRESA-N 1 2 317.433 1.013 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)C#CC(C)(C)C)C1=O ZINC001269235961 841400736 /nfs/dbraw/zinc/40/07/36/841400736.db2.gz SIILMRYOFZIKRR-CABCVRRESA-N 1 2 317.433 1.013 20 30 DDEDLO CCO[C@@H](CC(=O)NC[C@H]1CC[N@@H+]1CC#CCOC)C(C)C ZINC001269249092 841420255 /nfs/dbraw/zinc/42/02/55/841420255.db2.gz PXSFORMJRWVRSG-CVEARBPZSA-N 1 2 310.438 1.278 20 30 DDEDLO CCO[C@@H](CC(=O)NC[C@H]1CC[N@H+]1CC#CCOC)C(C)C ZINC001269249092 841420261 /nfs/dbraw/zinc/42/02/61/841420261.db2.gz PXSFORMJRWVRSG-CVEARBPZSA-N 1 2 310.438 1.278 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@H+]1[C@H]1CCN(CC)C1=O ZINC001269273001 841450099 /nfs/dbraw/zinc/45/00/99/841450099.db2.gz QFXBGMHPMBAZNV-HOTGVXAUSA-N 1 2 319.449 1.381 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@H]1CCN(CC)C1=O ZINC001269273001 841450104 /nfs/dbraw/zinc/45/01/04/841450104.db2.gz QFXBGMHPMBAZNV-HOTGVXAUSA-N 1 2 319.449 1.381 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+]1CC(=O)N(CC)CC(=C)C ZINC001269273410 841452705 /nfs/dbraw/zinc/45/27/05/841452705.db2.gz XGBUNJIZWYREEA-OAHLLOKOSA-N 1 2 321.465 1.814 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1CC(=O)N(CC)CC(=C)C ZINC001269273410 841452714 /nfs/dbraw/zinc/45/27/14/841452714.db2.gz XGBUNJIZWYREEA-OAHLLOKOSA-N 1 2 321.465 1.814 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001269273204 841453025 /nfs/dbraw/zinc/45/30/25/841453025.db2.gz SZJAVGFDSPHKIQ-ZIAGYGMSSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001269273204 841453027 /nfs/dbraw/zinc/45/30/27/841453027.db2.gz SZJAVGFDSPHKIQ-ZIAGYGMSSA-N 1 2 307.438 1.398 20 30 DDEDLO C#CCCCC(=O)N(CC)[C@@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001269325053 841523954 /nfs/dbraw/zinc/52/39/54/841523954.db2.gz UQOVYEIQKAIAKI-MRXNPFEDSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001269325053 841523964 /nfs/dbraw/zinc/52/39/64/841523964.db2.gz UQOVYEIQKAIAKI-MRXNPFEDSA-N 1 2 302.422 1.646 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(C2CC2)cn1 ZINC001269339117 841539808 /nfs/dbraw/zinc/53/98/08/841539808.db2.gz WFPYQAOKJCSWQM-INIZCTEOSA-N 1 2 313.401 1.413 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(C2CC2)cn1 ZINC001269339117 841539816 /nfs/dbraw/zinc/53/98/16/841539816.db2.gz WFPYQAOKJCSWQM-INIZCTEOSA-N 1 2 313.401 1.413 20 30 DDEDLO C#CCN1CC[C@]2(CCCN2C(=O)c2cc3c[nH+]ccc3[nH]2)C1=O ZINC001269386873 841590354 /nfs/dbraw/zinc/59/03/54/841590354.db2.gz RGQAASACNHZDNG-GOSISDBHSA-N 1 2 322.368 1.403 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@](C)(NC(=O)c2cncs2)C1 ZINC001270547464 842657395 /nfs/dbraw/zinc/65/73/95/842657395.db2.gz FIQLPGNKEFSRGF-NHYWBVRUSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@](C)(NC(=O)c2cncs2)C1 ZINC001270547464 842657398 /nfs/dbraw/zinc/65/73/98/842657398.db2.gz FIQLPGNKEFSRGF-NHYWBVRUSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001270663344 842791773 /nfs/dbraw/zinc/79/17/73/842791773.db2.gz AYLIEGMEASLEBO-MRXNPFEDSA-N 1 2 323.393 1.357 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@H+](Cc2cc(OC)no2)C1 ZINC001270663344 842791782 /nfs/dbraw/zinc/79/17/82/842791782.db2.gz AYLIEGMEASLEBO-MRXNPFEDSA-N 1 2 323.393 1.357 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](C)OCC)C1 ZINC001149237859 861373865 /nfs/dbraw/zinc/37/38/65/861373865.db2.gz URMGZQRUCVVMIV-OLZOCXBDSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](C)OCC)C1 ZINC001149237859 861373878 /nfs/dbraw/zinc/37/38/78/861373878.db2.gz URMGZQRUCVVMIV-OLZOCXBDSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@]1(O)CC[N@H+](Cc2cc(C)on2)C1 ZINC001271185153 843391999 /nfs/dbraw/zinc/39/19/99/843391999.db2.gz TWOUJGOVQDCYSA-WMLDXEAASA-N 1 2 321.421 1.638 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@]1(O)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001271185153 843392012 /nfs/dbraw/zinc/39/20/12/843392012.db2.gz TWOUJGOVQDCYSA-WMLDXEAASA-N 1 2 321.421 1.638 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@]1(C)CCC(=O)NC1 ZINC001424979101 844920828 /nfs/dbraw/zinc/92/08/28/844920828.db2.gz KERCTVHFCACMTG-SMDDNHRTSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@]1(C)CCC(=O)NC1 ZINC001424979101 844920836 /nfs/dbraw/zinc/92/08/36/844920836.db2.gz KERCTVHFCACMTG-SMDDNHRTSA-N 1 2 301.818 1.092 20 30 DDEDLO Cc1cc(C[NH+]2CCC3(CCN(CCCC#N)C3=O)CC2)n[nH]1 ZINC001272545085 846301625 /nfs/dbraw/zinc/30/16/25/846301625.db2.gz XDADYHQXMWRGLW-UHFFFAOYSA-N 1 2 315.421 1.836 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3cccc4ccncc43)C2)OCC1=O ZINC001272628436 846427931 /nfs/dbraw/zinc/42/79/31/846427931.db2.gz GTJGLARVOGKRME-UHFFFAOYSA-N 1 2 321.380 1.281 20 30 DDEDLO Cc1cc(C[N@@H+]2CCO[C@](C)(CNC(=O)[C@H](C)C#N)C2)cs1 ZINC001107821437 847202881 /nfs/dbraw/zinc/20/28/81/847202881.db2.gz OXAHJCLSMMUJQU-MLGOLLRUSA-N 1 2 321.446 1.923 20 30 DDEDLO Cc1cc(C[N@H+]2CCO[C@](C)(CNC(=O)[C@H](C)C#N)C2)cs1 ZINC001107821437 847202892 /nfs/dbraw/zinc/20/28/92/847202892.db2.gz OXAHJCLSMMUJQU-MLGOLLRUSA-N 1 2 321.446 1.923 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)cc2Cl)C1 ZINC001077712927 847210830 /nfs/dbraw/zinc/21/08/30/847210830.db2.gz WXXHFGRYGSQTGA-HUUCEWRRSA-N 1 2 306.793 1.447 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)cc2Cl)C1 ZINC001077712927 847210832 /nfs/dbraw/zinc/21/08/32/847210832.db2.gz WXXHFGRYGSQTGA-HUUCEWRRSA-N 1 2 306.793 1.447 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@H+](Cc2ccns2)CCCO1 ZINC001149646984 861779377 /nfs/dbraw/zinc/77/93/77/861779377.db2.gz STKUTLHDFBMJMB-CQSZACIVSA-N 1 2 321.446 1.654 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@@H+](Cc2ccns2)CCCO1 ZINC001149646984 861779381 /nfs/dbraw/zinc/77/93/81/861779381.db2.gz STKUTLHDFBMJMB-CQSZACIVSA-N 1 2 321.446 1.654 20 30 DDEDLO C=CCN1CC2(CN(Cc3c[nH+]c(CCCC)[nH]3)C2)OCC1=O ZINC001272833920 847526723 /nfs/dbraw/zinc/52/67/23/847526723.db2.gz QVHSEXHMVYYVJZ-UHFFFAOYSA-N 1 2 318.421 1.352 20 30 DDEDLO C=CCN1CC2(CN(Cc3c[nH]c(CCCC)[nH+]3)C2)OCC1=O ZINC001272833920 847526726 /nfs/dbraw/zinc/52/67/26/847526726.db2.gz QVHSEXHMVYYVJZ-UHFFFAOYSA-N 1 2 318.421 1.352 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1COCC[N@@H+]1CC[C@@H]1CCCCO1 ZINC001272876636 847579505 /nfs/dbraw/zinc/57/95/05/847579505.db2.gz IZCYYIAAGAYCJP-CVEARBPZSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1COCC[N@H+]1CC[C@@H]1CCCCO1 ZINC001272876636 847579514 /nfs/dbraw/zinc/57/95/14/847579514.db2.gz IZCYYIAAGAYCJP-CVEARBPZSA-N 1 2 324.465 1.975 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@]23CCN(CCCC#N)C3=O)nn1C ZINC001272882159 847588014 /nfs/dbraw/zinc/58/80/14/847588014.db2.gz FJVJYPQRKWOSGA-KRWDZBQOSA-N 1 2 315.421 1.599 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@]23CCN(CCCC#N)C3=O)nn1C ZINC001272882159 847588025 /nfs/dbraw/zinc/58/80/25/847588025.db2.gz FJVJYPQRKWOSGA-KRWDZBQOSA-N 1 2 315.421 1.599 20 30 DDEDLO Cc1noc(C[NH2+]C[C@H]2CCCCN2C(=O)C#CC(C)C)n1 ZINC001272960776 847708027 /nfs/dbraw/zinc/70/80/27/847708027.db2.gz IPVKLHVTAGWYEE-CQSZACIVSA-N 1 2 304.394 1.508 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@@H+](Cc3cc(F)ccc3F)C2)OCC1=O ZINC001272975581 847727363 /nfs/dbraw/zinc/72/73/63/847727363.db2.gz ITKFANPMKLRQTI-KRWDZBQOSA-N 1 2 320.339 1.401 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@H+](Cc3cc(F)ccc3F)C2)OCC1=O ZINC001272975581 847727365 /nfs/dbraw/zinc/72/73/65/847727365.db2.gz ITKFANPMKLRQTI-KRWDZBQOSA-N 1 2 320.339 1.401 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001327093161 861873255 /nfs/dbraw/zinc/87/32/55/861873255.db2.gz AVYYUGVVAVBNFD-SJLPKXTDSA-N 1 2 320.481 1.945 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2coc(CC)n2)[C@H](O)C1 ZINC001090205555 848298353 /nfs/dbraw/zinc/29/83/53/848298353.db2.gz GPYSBOHAJHAIHH-ZYHUDNBSSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2coc(CC)n2)[C@H](O)C1 ZINC001090205555 848298359 /nfs/dbraw/zinc/29/83/59/848298359.db2.gz GPYSBOHAJHAIHH-ZYHUDNBSSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccoc2Cl)[C@@H](O)C1 ZINC001090205738 848300637 /nfs/dbraw/zinc/30/06/37/848300637.db2.gz ITWXDEGVIDHDQJ-MNOVXSKESA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccoc2Cl)[C@@H](O)C1 ZINC001090205738 848300644 /nfs/dbraw/zinc/30/06/44/848300644.db2.gz ITWXDEGVIDHDQJ-MNOVXSKESA-N 1 2 319.188 1.851 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3ccc(Cl)nn3)C2)OCC1=O ZINC001273346020 849604697 /nfs/dbraw/zinc/60/46/97/849604697.db2.gz DMZGYTYJXTXDMN-HNNXBMFYSA-N 1 2 322.796 1.119 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3ccc(Cl)nn3)C2)OCC1=O ZINC001273346020 849604702 /nfs/dbraw/zinc/60/47/02/849604702.db2.gz DMZGYTYJXTXDMN-HNNXBMFYSA-N 1 2 322.796 1.119 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)CCOCC)C2)CC1 ZINC001273373645 849727962 /nfs/dbraw/zinc/72/79/62/849727962.db2.gz DNVAGOAAHHXVND-INIZCTEOSA-N 1 2 309.454 1.208 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C[C@H](C)COC)C2)CC1 ZINC001273383707 849772701 /nfs/dbraw/zinc/77/27/01/849772701.db2.gz JJCKXGINBDQFEQ-DLBZAZTESA-N 1 2 323.481 1.454 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)C(C)(C)COC)O2 ZINC001327358963 862100846 /nfs/dbraw/zinc/10/08/46/862100846.db2.gz JRPTVONJPMGKHL-CQSZACIVSA-N 1 2 310.438 1.585 20 30 DDEDLO C[C@H](C[NH2+]Cc1nc(C(F)F)no1)N(C)C(=O)[C@@H](C)C#N ZINC001438631632 850288092 /nfs/dbraw/zinc/28/80/92/850288092.db2.gz FBYUEDYPRITANS-JGVFFNPUSA-N 1 2 301.297 1.103 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H](OCC)C(=C)C)CO2 ZINC001327396077 862138062 /nfs/dbraw/zinc/13/80/62/862138062.db2.gz DNQLSOISNUVWHM-HZPDHXFCSA-N 1 2 322.449 1.893 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C1(C(C)(F)F)CC1)CO2 ZINC001327410445 862151041 /nfs/dbraw/zinc/15/10/41/862151041.db2.gz AOWQAZPRWNOCSJ-LBPRGKRZSA-N 1 2 314.376 1.957 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cc(C)c(F)c(C)c1)C2 ZINC001273542338 851079611 /nfs/dbraw/zinc/07/96/11/851079611.db2.gz JZQJNROLQHZHEX-UHFFFAOYSA-N 1 2 316.376 1.489 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001280639639 851161577 /nfs/dbraw/zinc/16/15/77/851161577.db2.gz XSHNKBJPVDCMDV-ZIAGYGMSSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H](C)C(F)(F)F)O2 ZINC001273662306 851197153 /nfs/dbraw/zinc/19/71/53/851197153.db2.gz OCESQUSVFGLBHP-GHMZBOCLSA-N 1 2 306.328 1.720 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)C[C@@H](C)COC)O2 ZINC001273669126 851204375 /nfs/dbraw/zinc/20/43/75/851204375.db2.gz NOVRGQLJIUTVNN-CABCVRRESA-N 1 2 310.438 1.585 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2[C@@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707444 851249483 /nfs/dbraw/zinc/24/94/83/851249483.db2.gz QILCTDUBCSAYQV-SUMWQHHRSA-N 1 2 306.406 1.417 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2[C@@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707444 851249493 /nfs/dbraw/zinc/24/94/93/851249493.db2.gz QILCTDUBCSAYQV-SUMWQHHRSA-N 1 2 306.406 1.417 20 30 DDEDLO C=CCCC(=O)N1CCC[C@](CO)([NH2+]Cc2ncc(C)o2)C1 ZINC001273857320 851430366 /nfs/dbraw/zinc/43/03/66/851430366.db2.gz GXBPEYJWGFFFHO-INIZCTEOSA-N 1 2 307.394 1.392 20 30 DDEDLO C=CCN1C[C@@]2(CCN(Cc3[nH]c(C)[nH+]c3C)C2)OCC1=O ZINC001273908453 851489998 /nfs/dbraw/zinc/48/99/98/851489998.db2.gz ULUROQWKKSXUBJ-INIZCTEOSA-N 1 2 304.394 1.016 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@](CO)([NH2+]Cc3ccon3)C2)C1 ZINC001273911567 851492314 /nfs/dbraw/zinc/49/23/14/851492314.db2.gz OUGYYKQPCJUWGA-KRWDZBQOSA-N 1 2 319.405 1.474 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2c(N)ccnc2F)C1=O ZINC001274117334 851946327 /nfs/dbraw/zinc/94/63/27/851946327.db2.gz KRPFLNDCVFZGLL-INIZCTEOSA-N 1 2 302.353 1.003 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2c(N)ccnc2F)C1=O ZINC001274117334 851946339 /nfs/dbraw/zinc/94/63/39/851946339.db2.gz KRPFLNDCVFZGLL-INIZCTEOSA-N 1 2 302.353 1.003 20 30 DDEDLO C=C1CCC(C(=O)NC/C=C/C[NH2+]Cc2ncnn2CC)CC1 ZINC001274337968 852164255 /nfs/dbraw/zinc/16/42/55/852164255.db2.gz DBXTWBVHOCLJAF-SNAWJCMRSA-N 1 2 317.437 1.806 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)COCCN(c1cccc[nH+]1)C2 ZINC001274739584 852567815 /nfs/dbraw/zinc/56/78/15/852567815.db2.gz LCZPHSVNDOMFBL-KRWDZBQOSA-N 1 2 301.390 1.713 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)C[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001274747207 852575162 /nfs/dbraw/zinc/57/51/62/852575162.db2.gz ITHSQAGZNNVJKX-VXGBXAGGSA-N 1 2 304.394 1.762 20 30 DDEDLO Cn1cc(CN2C[C@H]3C[C@@H](C2)[N@H+](Cc2cc[nH]n2)C3)cc1C#N ZINC001275202030 852887627 /nfs/dbraw/zinc/88/76/27/852887627.db2.gz IZNJSHGXVHPYDE-PBHICJAKSA-N 1 2 310.405 1.326 20 30 DDEDLO Cn1cc(CN2C[C@H]3C[C@@H](C2)[N@@H+](Cc2cc[nH]n2)C3)cc1C#N ZINC001275202030 852887635 /nfs/dbraw/zinc/88/76/35/852887635.db2.gz IZNJSHGXVHPYDE-PBHICJAKSA-N 1 2 310.405 1.326 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C[NH2+][C@@H](C)c2nc(C)no2)cc1 ZINC001275259646 852935904 /nfs/dbraw/zinc/93/59/04/852935904.db2.gz ZHOAIBMRCGRZBZ-RYUDHWBXSA-N 1 2 312.373 1.828 20 30 DDEDLO CN(C(=O)c1ccc(C#N)cn1)C(C)(C)C[NH+]1CCOCC1 ZINC001411445888 853130989 /nfs/dbraw/zinc/13/09/89/853130989.db2.gz PKPXWPLIOQPXAK-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001327846145 862509949 /nfs/dbraw/zinc/50/99/49/862509949.db2.gz XSLGVZPTTNYKBR-OLZOCXBDSA-N 1 2 304.394 1.372 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H](NC(=O)CSCC#N)C[C@@H]2C)o1 ZINC001328243303 862813125 /nfs/dbraw/zinc/81/31/25/862813125.db2.gz OZPUTWLZYVCNDG-CMPLNLGQSA-N 1 2 323.422 1.104 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H](NC(=O)CSCC#N)C[C@@H]2C)o1 ZINC001328243303 862813139 /nfs/dbraw/zinc/81/31/39/862813139.db2.gz OZPUTWLZYVCNDG-CMPLNLGQSA-N 1 2 323.422 1.104 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001049561808 856979946 /nfs/dbraw/zinc/97/99/46/856979946.db2.gz QPTIICAQSFVWPT-NUEKZKHPSA-N 1 2 313.405 1.034 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072561929 857452313 /nfs/dbraw/zinc/45/23/13/857452313.db2.gz ZEWVJUZPPVSTKC-ZIAGYGMSSA-N 1 2 316.405 1.109 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)c1cnc(Cl)nc1Cl ZINC001156321277 862931249 /nfs/dbraw/zinc/93/12/49/862931249.db2.gz XKVPSHPIMQGOQP-LURJTMIESA-N 1 2 308.119 1.630 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)Cc1nc(Cl)cc(Cl)n1 ZINC001156327897 862939464 /nfs/dbraw/zinc/93/94/64/862939464.db2.gz QZYCZFMYCHMEAG-ZETCQYMHSA-N 1 2 322.146 1.716 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072797661 857711946 /nfs/dbraw/zinc/71/19/46/857711946.db2.gz CLIPZQKRCWHOBE-DZGCQCFKSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)[C@@H]1CCCO1 ZINC001151755269 862953749 /nfs/dbraw/zinc/95/37/49/862953749.db2.gz JLKZTDZVYSSCNC-NEPJUHHUSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)[C@@H]1CCCO1 ZINC001151755269 862953761 /nfs/dbraw/zinc/95/37/61/862953761.db2.gz JLKZTDZVYSSCNC-NEPJUHHUSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC2(CN(C(=O)c3c[nH]c(C)cc3=O)C2)C1 ZINC001073101396 858101356 /nfs/dbraw/zinc/10/13/56/858101356.db2.gz JWCQWZBNJZTYHB-UHFFFAOYSA-N 1 2 321.808 1.584 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC2(CN(C(=O)c3c[nH]c(C)cc3=O)C2)C1 ZINC001073101396 858101360 /nfs/dbraw/zinc/10/13/60/858101360.db2.gz JWCQWZBNJZTYHB-UHFFFAOYSA-N 1 2 321.808 1.584 20 30 DDEDLO C=CC[C@H](C(=O)NCC[NH2+]Cc1nnc(C)o1)c1ccccc1 ZINC001151838724 863000967 /nfs/dbraw/zinc/00/09/67/863000967.db2.gz YDEWXXSHBTYAEQ-HNNXBMFYSA-N 1 2 314.389 1.944 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cc(C)no2)C1 ZINC001073528654 858414118 /nfs/dbraw/zinc/41/41/18/858414118.db2.gz SPHKWQWHVPYDDE-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cc(C)no2)C1 ZINC001073528654 858414125 /nfs/dbraw/zinc/41/41/25/858414125.db2.gz SPHKWQWHVPYDDE-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2[nH]ccc2C)C1 ZINC001073591654 858467743 /nfs/dbraw/zinc/46/77/43/858467743.db2.gz MKGFIBPCSHGCIJ-ZDUSSCGKSA-N 1 2 311.813 1.896 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2[nH]ccc2C)C1 ZINC001073591654 858467754 /nfs/dbraw/zinc/46/77/54/858467754.db2.gz MKGFIBPCSHGCIJ-ZDUSSCGKSA-N 1 2 311.813 1.896 20 30 DDEDLO C=CCn1c(N(C)CC(C)C)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121707948 858578062 /nfs/dbraw/zinc/57/80/62/858578062.db2.gz DYODQOUANXOPFL-CZUORRHYSA-N 1 2 307.442 1.468 20 30 DDEDLO C=CCn1c(N(C)CC(C)C)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121707948 858578056 /nfs/dbraw/zinc/57/80/56/858578056.db2.gz DYODQOUANXOPFL-CZUORRHYSA-N 1 2 307.442 1.468 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N(C)C[C@H](C)C#N ZINC001122490686 858848830 /nfs/dbraw/zinc/84/88/30/858848830.db2.gz QHCREWVYHUSCDY-CYBMUJFWSA-N 1 2 313.409 1.774 20 30 DDEDLO COC(=O)c1cc(C[NH+]2CCC(C#N)(C(=O)OC)CC2)cn1C ZINC001139376444 860377711 /nfs/dbraw/zinc/37/77/11/860377711.db2.gz SFIVCIAIWIBLQS-UHFFFAOYSA-N 1 2 319.361 1.090 20 30 DDEDLO C=CCn1cc(C[N@H+]2Cc3nccn3C[C@H](COC)C2)cn1 ZINC001139769851 860476022 /nfs/dbraw/zinc/47/60/22/860476022.db2.gz SUQQOMPOCAYQCC-OAHLLOKOSA-N 1 2 301.394 1.544 20 30 DDEDLO C=CCn1cc(C[N@@H+]2Cc3nccn3C[C@H](COC)C2)cn1 ZINC001139769851 860476024 /nfs/dbraw/zinc/47/60/24/860476024.db2.gz SUQQOMPOCAYQCC-OAHLLOKOSA-N 1 2 301.394 1.544 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(Cc3cc(F)cc(C#N)c3)C2)C[C@@H](C)O1 ZINC001139879432 860506998 /nfs/dbraw/zinc/50/69/98/860506998.db2.gz KRYPGOWXETUPEU-CHWSQXEVSA-N 1 2 303.381 1.991 20 30 DDEDLO C[C@H]1C[NH+](C2CN(Cc3ccc(F)cc3C#N)C2)C[C@H](C)O1 ZINC001141168343 860800899 /nfs/dbraw/zinc/80/08/99/860800899.db2.gz KNQRGBRFXBKRAY-STQMWFEESA-N 1 2 303.381 1.991 20 30 DDEDLO N#C[C@H]1CNCCN1C(=O)/C=C/c1ccc(Cn2cc[nH+]c2)cc1 ZINC001142365814 861136854 /nfs/dbraw/zinc/13/68/54/861136854.db2.gz ULTAEKGLJVTTGF-RTRPANQVSA-N 1 2 321.384 1.269 20 30 DDEDLO C=C[C@@H](CC(=O)NCC[NH2+]Cc1nonc1C)c1ccccc1 ZINC001151943145 863070977 /nfs/dbraw/zinc/07/09/77/863070977.db2.gz RRSUXKLKVGROQR-AWEZNQCLSA-N 1 2 314.389 1.944 20 30 DDEDLO N#Cc1ccc2c(c1)n[nH]c2C(=O)NCCOc1cc[nH+]cc1 ZINC001156893579 863424068 /nfs/dbraw/zinc/42/40/68/863424068.db2.gz YDJCTDKGWIQZJS-UHFFFAOYSA-N 1 2 307.313 1.638 20 30 DDEDLO COC(=O)c1cc(C#N)cnc1N(C(N)=[NH2+])c1ccc(F)cc1 ZINC001157231485 863668526 /nfs/dbraw/zinc/66/85/26/863668526.db2.gz VDIDVBUDWISTFV-UHFFFAOYSA-N 1 2 313.292 1.911 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)c(C)o1 ZINC001153327206 863828275 /nfs/dbraw/zinc/82/82/75/863828275.db2.gz MLJKVMWYFYKTNG-DOMZBBRYSA-N 1 2 321.421 1.656 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)c(C)o1 ZINC001153327206 863828280 /nfs/dbraw/zinc/82/82/80/863828280.db2.gz MLJKVMWYFYKTNG-DOMZBBRYSA-N 1 2 321.421 1.656 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCCCCOCC)C2)C1 ZINC001330170463 864120981 /nfs/dbraw/zinc/12/09/81/864120981.db2.gz JXHSUNVGDFRKKG-GOSISDBHSA-N 1 2 322.449 1.520 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCCCCOCC)C2)C1 ZINC001330170463 864120991 /nfs/dbraw/zinc/12/09/91/864120991.db2.gz JXHSUNVGDFRKKG-GOSISDBHSA-N 1 2 322.449 1.520 20 30 DDEDLO CCCC1(C(=O)N[C@H]2C[N@H+](CC(=O)NCC#N)CC2(C)C)CC1 ZINC001330229658 864176088 /nfs/dbraw/zinc/17/60/88/864176088.db2.gz HXACSCWPYFFKKJ-ZDUSSCGKSA-N 1 2 320.437 1.033 20 30 DDEDLO CCCC1(C(=O)N[C@H]2C[N@@H+](CC(=O)NCC#N)CC2(C)C)CC1 ZINC001330229658 864176091 /nfs/dbraw/zinc/17/60/91/864176091.db2.gz HXACSCWPYFFKKJ-ZDUSSCGKSA-N 1 2 320.437 1.033 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]([C@@H](C)[NH2+]Cc2csnn2)C1 ZINC001330399584 864308511 /nfs/dbraw/zinc/30/85/11/864308511.db2.gz ALXBFTASNKDIHQ-TZMCWYRMSA-N 1 2 324.450 1.600 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CCN(Cc3nc[nH]n3)CC2)c1 ZINC001332022441 865509984 /nfs/dbraw/zinc/50/99/84/865509984.db2.gz XJLLAZSWDCOAEU-UHFFFAOYSA-N 1 2 313.405 1.687 20 30 DDEDLO C=C1CCC(CNC(=O)C(=O)NCCCCn2cc[nH+]c2)CC1 ZINC001332636910 866006001 /nfs/dbraw/zinc/00/60/01/866006001.db2.gz CQCDSKPVJHFVSZ-UHFFFAOYSA-N 1 2 318.421 1.642 20 30 DDEDLO C#CCN(C(=O)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1)C(C)C ZINC001332657622 866030951 /nfs/dbraw/zinc/03/09/51/866030951.db2.gz RYTLVSQRHIWXJB-UHFFFAOYSA-N 1 2 324.384 1.359 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](c1ccccc1)[C@@H](O)C(C)C ZINC001332679774 866062010 /nfs/dbraw/zinc/06/20/10/866062010.db2.gz KLNOCTZERWQTSF-BZSNNMDCSA-N 1 2 314.429 1.958 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](c1ccccc1)[C@@H](O)C(C)C ZINC001332679774 866062027 /nfs/dbraw/zinc/06/20/27/866062027.db2.gz KLNOCTZERWQTSF-BZSNNMDCSA-N 1 2 314.429 1.958 20 30 DDEDLO C[C@H]1C[NH+](Cc2cc3c(cn2)OCCO3)C[C@H](C)N1CC#N ZINC001332724914 866099422 /nfs/dbraw/zinc/09/94/22/866099422.db2.gz OMMDMZGLJFOBOG-STQMWFEESA-N 1 2 302.378 1.271 20 30 DDEDLO Nc1ccc(C=[NH+]NC(=S)NC[C@H]2CCCO2)cc1Cl ZINC001322930664 866199528 /nfs/dbraw/zinc/19/95/28/866199528.db2.gz FMONKHJXBHHABF-SNVBAGLBSA-N 1 2 312.826 1.899 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@H](NC(=O)C#CC(C)C)C2CC2)no1 ZINC001322990127 866245762 /nfs/dbraw/zinc/24/57/62/866245762.db2.gz DNPRXNFOODDCRZ-RISCZKNCSA-N 1 2 304.394 1.583 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC001323100926 866339280 /nfs/dbraw/zinc/33/92/80/866339280.db2.gz NDCMXNDNSPKAEG-CHWSQXEVSA-N 1 2 304.394 1.587 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@@H](CNC(=O)C#CC2CC2)C(C)C)no1 ZINC001319910068 866376059 /nfs/dbraw/zinc/37/60/59/866376059.db2.gz CRUZTZRZCBIPJI-FZMZJTMJSA-N 1 2 304.394 1.583 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)c1cc(Cl)c[nH]1 ZINC001323183384 866410002 /nfs/dbraw/zinc/41/00/02/866410002.db2.gz SAWHSDRBUHLMIY-CMPLNLGQSA-N 1 2 324.812 1.163 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1cc(Cl)c[nH]1 ZINC001323183384 866410009 /nfs/dbraw/zinc/41/00/09/866410009.db2.gz SAWHSDRBUHLMIY-CMPLNLGQSA-N 1 2 324.812 1.163 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C1(CC(C)C)CCC1 ZINC001320018054 866432667 /nfs/dbraw/zinc/43/26/67/866432667.db2.gz AUDBMJAIBBOEHX-UHFFFAOYSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)C1(CC(C)C)CCC1 ZINC001320018054 866432668 /nfs/dbraw/zinc/43/26/68/866432668.db2.gz AUDBMJAIBBOEHX-UHFFFAOYSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+]1[C@@H](C)C(=O)NC(C)(C)CC ZINC001323229307 866449965 /nfs/dbraw/zinc/44/99/65/866449965.db2.gz AXGSDYNHFKTNTF-LSDHHAIUSA-N 1 2 321.465 1.674 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NC(C)(C)CC ZINC001323229307 866449976 /nfs/dbraw/zinc/44/99/76/866449976.db2.gz AXGSDYNHFKTNTF-LSDHHAIUSA-N 1 2 321.465 1.674 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N(C)CC[N@H+](C)CC(=C)Cl ZINC001320060447 866451354 /nfs/dbraw/zinc/45/13/54/866451354.db2.gz YBXDJBOUUPKXME-CQSZACIVSA-N 1 2 315.845 1.600 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N(C)CC[N@@H+](C)CC(=C)Cl ZINC001320060447 866451356 /nfs/dbraw/zinc/45/13/56/866451356.db2.gz YBXDJBOUUPKXME-CQSZACIVSA-N 1 2 315.845 1.600 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001323233931 866457657 /nfs/dbraw/zinc/45/76/57/866457657.db2.gz XIPYBNJXMXMTLC-LSDHHAIUSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001323233931 866457659 /nfs/dbraw/zinc/45/76/59/866457659.db2.gz XIPYBNJXMXMTLC-LSDHHAIUSA-N 1 2 307.438 1.141 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccccc1COC ZINC001323258670 866477303 /nfs/dbraw/zinc/47/73/03/866477303.db2.gz NYZFABOULOMWLI-INIZCTEOSA-N 1 2 316.401 1.287 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccccc1COC ZINC001323258670 866477307 /nfs/dbraw/zinc/47/73/07/866477307.db2.gz NYZFABOULOMWLI-INIZCTEOSA-N 1 2 316.401 1.287 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@H]1CC[N@H+]1CC#CCOC ZINC001323341187 866547751 /nfs/dbraw/zinc/54/77/51/866547751.db2.gz TYNGMGSBVBQKDR-SFHVURJKSA-N 1 2 310.397 1.051 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@H]1CC[N@@H+]1CC#CCOC ZINC001323341187 866547765 /nfs/dbraw/zinc/54/77/65/866547765.db2.gz TYNGMGSBVBQKDR-SFHVURJKSA-N 1 2 310.397 1.051 20 30 DDEDLO COC(=O)c1cc(OC)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001225812608 882041870 /nfs/dbraw/zinc/04/18/70/882041870.db2.gz FHJJNCQTQXFKKM-UCHAVSLVSA-N 1 2 319.357 1.473 20 30 DDEDLO COC(=O)c1cc(OC)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001225812608 882041883 /nfs/dbraw/zinc/04/18/83/882041883.db2.gz FHJJNCQTQXFKKM-UCHAVSLVSA-N 1 2 319.357 1.473 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNC(F)(F)c1ccc(Cl)nc1C#N ZINC001161944970 867124890 /nfs/dbraw/zinc/12/48/90/867124890.db2.gz BHJASZZECKAOKY-MRVPVSSYSA-N 1 2 318.711 1.136 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C/C=C/CNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001321012336 867209800 /nfs/dbraw/zinc/20/98/00/867209800.db2.gz TWTAEEKXXZQQSX-HMDXOVGESA-N 1 2 314.349 1.215 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)N(C)C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001334177898 867357107 /nfs/dbraw/zinc/35/71/07/867357107.db2.gz JUUQFVNUUGWJJB-STQMWFEESA-N 1 2 318.421 1.759 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]([NH3+])CNc1ncc2c(c1C#N)CCCC2 ZINC001162855591 867878888 /nfs/dbraw/zinc/87/88/88/867878888.db2.gz IZSQBHFLWKEJIZ-AWEZNQCLSA-N 1 2 316.405 1.913 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](CN3CC4(CC4)CC3=O)C2)nc1 ZINC001325260250 867896768 /nfs/dbraw/zinc/89/67/68/867896768.db2.gz UXZIPRQQHWYJTD-AWEZNQCLSA-N 1 2 312.373 1.376 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](CN3CC4(CC4)CC3=O)C2)nc1 ZINC001325260250 867896781 /nfs/dbraw/zinc/89/67/81/867896781.db2.gz UXZIPRQQHWYJTD-AWEZNQCLSA-N 1 2 312.373 1.376 20 30 DDEDLO Cc1c[nH+]c(N[C@@H](CC(C)C)C(=O)NO)nc1N1CCCC1 ZINC001163415897 868434637 /nfs/dbraw/zinc/43/46/37/868434637.db2.gz JWYCQCVZFXEJID-LBPRGKRZSA-N 1 2 307.398 1.717 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)c1cnc(Cl)c([N+](=O)[O-])c1 ZINC001164627208 869333272 /nfs/dbraw/zinc/33/32/72/869333272.db2.gz RNDZSPHOUFEHFW-MRVPVSSYSA-N 1 2 317.683 1.490 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001337130751 869338003 /nfs/dbraw/zinc/33/80/03/869338003.db2.gz ZLXTZNKCWORWLS-CQSZACIVSA-N 1 2 304.394 1.040 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001337357379 869451028 /nfs/dbraw/zinc/45/10/28/869451028.db2.gz KCBFHGVOJWSNPU-QWHCGFSZSA-N 1 2 320.437 1.764 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001337357379 869451031 /nfs/dbraw/zinc/45/10/31/869451031.db2.gz KCBFHGVOJWSNPU-QWHCGFSZSA-N 1 2 320.437 1.764 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc4n[nH]cc4c3)n2C)CC1 ZINC001337927585 869695667 /nfs/dbraw/zinc/69/56/67/869695667.db2.gz MCWGKGDACWZGMJ-UHFFFAOYSA-N 1 2 321.388 1.114 20 30 DDEDLO C#CCN(CC#CC)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1C ZINC001338490172 870008230 /nfs/dbraw/zinc/00/82/30/870008230.db2.gz PXMRPAMUIRRPQR-OAHLLOKOSA-N 1 2 315.421 1.060 20 30 DDEDLO C#CCN(CC#CC)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1C ZINC001338490172 870008244 /nfs/dbraw/zinc/00/82/44/870008244.db2.gz PXMRPAMUIRRPQR-OAHLLOKOSA-N 1 2 315.421 1.060 20 30 DDEDLO Cc1cccc(F)c1C(=O)NCC[NH+]1CCN(CC#N)CC1 ZINC001316967772 870008562 /nfs/dbraw/zinc/00/85/62/870008562.db2.gz LGRSAQDXVVMQON-UHFFFAOYSA-N 1 2 304.369 1.005 20 30 DDEDLO C[C@@H]1CC(C(=O)NCC[NH+]2CCN(CC#N)CC2)C[C@@H](C)C1 ZINC001316968219 870009830 /nfs/dbraw/zinc/00/98/30/870009830.db2.gz RIGOSJJXVYTNKK-GJZGRUSLSA-N 1 2 306.454 1.316 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)nc2)C1 ZINC001316972316 870023693 /nfs/dbraw/zinc/02/36/93/870023693.db2.gz RFYKTBGEUWKFHB-XJKSGUPXSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001316972316 870023708 /nfs/dbraw/zinc/02/37/08/870023708.db2.gz RFYKTBGEUWKFHB-XJKSGUPXSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2(C3CCC3)CCC2)C1 ZINC001316982806 870059799 /nfs/dbraw/zinc/05/97/99/870059799.db2.gz XUKNVCXOQRMYEO-HNNXBMFYSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2(C3CCC3)CCC2)C1 ZINC001316982806 870059813 /nfs/dbraw/zinc/05/98/13/870059813.db2.gz XUKNVCXOQRMYEO-HNNXBMFYSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCn1c([C@H]2C[N@@H+]3CCCC[C@H]3CO2)nnc1N(C)C1CC1 ZINC001338690943 870116307 /nfs/dbraw/zinc/11/63/07/870116307.db2.gz OQYPAOLGWZASCS-LSDHHAIUSA-N 1 2 317.437 1.989 20 30 DDEDLO C=CCn1c([C@H]2C[N@H+]3CCCC[C@H]3CO2)nnc1N(C)C1CC1 ZINC001338690943 870116314 /nfs/dbraw/zinc/11/63/14/870116314.db2.gz OQYPAOLGWZASCS-LSDHHAIUSA-N 1 2 317.437 1.989 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2nnc(C)o2)C1 ZINC001317063245 870187286 /nfs/dbraw/zinc/18/72/86/870187286.db2.gz XKUSCXPFLBUFBC-JSGCOSHPSA-N 1 2 304.394 1.823 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCCC(C)(C)C1 ZINC001338893932 870229404 /nfs/dbraw/zinc/22/94/04/870229404.db2.gz CKXDYGBLFBLPOC-KBPBESRZSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCCC(C)(C)C1 ZINC001338893932 870229419 /nfs/dbraw/zinc/22/94/19/870229419.db2.gz CKXDYGBLFBLPOC-KBPBESRZSA-N 1 2 319.453 1.828 20 30 DDEDLO CCCC(C)(C)C(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001317177573 870423100 /nfs/dbraw/zinc/42/31/00/870423100.db2.gz IJPOONKAOAIPNE-AWEZNQCLSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC(C)(C)C(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001317177573 870423113 /nfs/dbraw/zinc/42/31/13/870423113.db2.gz IJPOONKAOAIPNE-AWEZNQCLSA-N 1 2 322.453 1.423 20 30 DDEDLO CC#CC[NH2+]C[C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001317329601 870652265 /nfs/dbraw/zinc/65/22/65/870652265.db2.gz DTNCZCLFXOZBNV-CQSZACIVSA-N 1 2 323.400 1.547 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NC[C@H](C)NC(=O)C#CC3CC3)ccn12 ZINC001298770578 870717007 /nfs/dbraw/zinc/71/70/07/870717007.db2.gz DJOUDSPBEWQMNS-LBPRGKRZSA-N 1 2 324.384 1.291 20 30 DDEDLO CC(=O)NCc1nnn2c1C[N@H+](Cc1ccc(C#N)cc1)CCC2 ZINC001203901524 870822051 /nfs/dbraw/zinc/82/20/51/870822051.db2.gz OUFIIBQFAWSHHT-UHFFFAOYSA-N 1 2 324.388 1.192 20 30 DDEDLO CC(=O)NCc1nnn2c1C[N@@H+](Cc1ccc(C#N)cc1)CCC2 ZINC001203901524 870822063 /nfs/dbraw/zinc/82/20/63/870822063.db2.gz OUFIIBQFAWSHHT-UHFFFAOYSA-N 1 2 324.388 1.192 20 30 DDEDLO Nc1ccccc1C(NO)=[NH+]c1cnn(C2CCOCC2)c1 ZINC001203916639 870832117 /nfs/dbraw/zinc/83/21/17/870832117.db2.gz WWLLBAQSALIQIK-UHFFFAOYSA-N 1 2 301.350 1.874 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(C)(C)CC1 ZINC001340251656 870984191 /nfs/dbraw/zinc/98/41/91/870984191.db2.gz OJQIRIPYFYYEHS-ZIAGYGMSSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(C)(C)CC1 ZINC001340251656 870984195 /nfs/dbraw/zinc/98/41/95/870984195.db2.gz OJQIRIPYFYYEHS-ZIAGYGMSSA-N 1 2 319.453 1.828 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@@H](CNC(=O)C#CC(C)C)C2)n1 ZINC001317524057 870988433 /nfs/dbraw/zinc/98/84/33/870988433.db2.gz XRGHYRMNRRGWMP-ZDUSSCGKSA-N 1 2 304.394 1.230 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@@H](CNC(=O)C#CC(C)C)C2)n1 ZINC001317524057 870988448 /nfs/dbraw/zinc/98/84/48/870988448.db2.gz XRGHYRMNRRGWMP-ZDUSSCGKSA-N 1 2 304.394 1.230 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC[C@H](C(C)C)C1 ZINC001340258007 870991713 /nfs/dbraw/zinc/99/17/13/870991713.db2.gz DMAYQFMXXVPTPJ-ZNMIVQPWSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC[C@H](C(C)C)C1 ZINC001340258007 870991721 /nfs/dbraw/zinc/99/17/21/870991721.db2.gz DMAYQFMXXVPTPJ-ZNMIVQPWSA-N 1 2 319.453 1.684 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@H]2C[C@@H](O)C[N@H+]2C)n1CC=C ZINC001340258402 870993091 /nfs/dbraw/zinc/99/30/91/870993091.db2.gz IWSVWNAVHHGKPI-RBSFLKMASA-N 1 2 315.421 1.194 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@H]2C[C@@H](O)C[N@@H+]2C)n1CC=C ZINC001340258402 870993097 /nfs/dbraw/zinc/99/30/97/870993097.db2.gz IWSVWNAVHHGKPI-RBSFLKMASA-N 1 2 315.421 1.194 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(C)(C)C=C)n2CC)CC1 ZINC001340749837 871329238 /nfs/dbraw/zinc/32/92/38/871329238.db2.gz VMDXFAIDDRBOBC-UHFFFAOYSA-N 1 2 301.438 1.808 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc3ccccc3n(C)c2=O)nn1 ZINC001308442823 871500343 /nfs/dbraw/zinc/50/03/43/871500343.db2.gz WGWHAOASORTLIB-UHFFFAOYSA-N 1 2 309.373 1.606 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)COC2CCCC2)CC1 ZINC001317813040 871541971 /nfs/dbraw/zinc/54/19/71/871541971.db2.gz GAXHDHLXVLSWEJ-UHFFFAOYSA-N 1 2 321.465 1.045 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001317835057 871568961 /nfs/dbraw/zinc/56/89/61/871568961.db2.gz BUNYAHOAQZFLGI-AWEZNQCLSA-N 1 2 303.410 1.197 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001317835057 871568977 /nfs/dbraw/zinc/56/89/77/871568977.db2.gz BUNYAHOAQZFLGI-AWEZNQCLSA-N 1 2 303.410 1.197 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+]([C@H](C)c2nnc(C)o2)CC1 ZINC001226598675 882528745 /nfs/dbraw/zinc/52/87/45/882528745.db2.gz JWEZVVJWFAWMPX-LLVKDONJSA-N 1 2 308.382 1.222 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H]1CNC(=O)c1cc(C)cs1 ZINC001317497548 871672462 /nfs/dbraw/zinc/67/24/62/871672462.db2.gz CAONJXFZDFWSGG-ZDUSSCGKSA-N 1 2 319.430 1.000 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc(C)cs1 ZINC001317497548 871672465 /nfs/dbraw/zinc/67/24/65/871672465.db2.gz CAONJXFZDFWSGG-ZDUSSCGKSA-N 1 2 319.430 1.000 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)[C@H](C)c2c(C)nn(C)c2C)C1 ZINC001318098657 871746657 /nfs/dbraw/zinc/74/66/57/871746657.db2.gz PYKCFFQHFIRHMM-GFCCVEGCSA-N 1 2 320.437 1.143 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CC(CNC(=O)[C@H]2CC2(C)C)C1 ZINC001318113465 871754067 /nfs/dbraw/zinc/75/40/67/871754067.db2.gz ATWIRLZKVWYBOJ-OAHLLOKOSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC1C[NH+](Cc2cc(C)no2)C1 ZINC001318138586 871774005 /nfs/dbraw/zinc/77/40/05/871774005.db2.gz KQWBXLGWVXOZPG-KRWDZBQOSA-N 1 2 321.421 1.760 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N(C)C[C@H]1CCOC1 ZINC001341749107 871803775 /nfs/dbraw/zinc/80/37/75/871803775.db2.gz DGTRVANYPIEJLT-OAHLLOKOSA-N 1 2 319.453 1.923 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCC(C)C ZINC001318215973 871839661 /nfs/dbraw/zinc/83/96/61/871839661.db2.gz UNWDGNGVFYAXJH-JYJNAYRXSA-N 1 2 319.449 1.236 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCC(C)C ZINC001318215973 871839675 /nfs/dbraw/zinc/83/96/75/871839675.db2.gz UNWDGNGVFYAXJH-JYJNAYRXSA-N 1 2 319.449 1.236 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001318325752 871931950 /nfs/dbraw/zinc/93/19/50/871931950.db2.gz HHBHLQYAABUECH-MRXNPFEDSA-N 1 2 300.406 1.669 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)nc2)C1 ZINC001318325752 871931968 /nfs/dbraw/zinc/93/19/68/871931968.db2.gz HHBHLQYAABUECH-MRXNPFEDSA-N 1 2 300.406 1.669 20 30 DDEDLO C[C@H](NCC#N)[C@H]1CCCCN1C(=O)CCc1[nH+]ccn1C ZINC001316882509 872199901 /nfs/dbraw/zinc/19/99/01/872199901.db2.gz ZJBMQXAFWNTJEL-UONOGXRCSA-N 1 2 303.410 1.235 20 30 DDEDLO C#CCN(CC)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001342520742 872245913 /nfs/dbraw/zinc/24/59/13/872245913.db2.gz BFYGMLHILFCCOY-RHSMWYFYSA-N 1 2 317.437 1.225 20 30 DDEDLO C#CCN(CC)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC(=C)C ZINC001342520742 872245928 /nfs/dbraw/zinc/24/59/28/872245928.db2.gz BFYGMLHILFCCOY-RHSMWYFYSA-N 1 2 317.437 1.225 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nc(CC)no2)C[C@H]1C ZINC001206585742 872451904 /nfs/dbraw/zinc/45/19/04/872451904.db2.gz CXKYJNIJEIKPJF-FRRDWIJNSA-N 1 2 322.409 1.332 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nc(CC)no2)C[C@H]1C ZINC001206585742 872451908 /nfs/dbraw/zinc/45/19/08/872451908.db2.gz CXKYJNIJEIKPJF-FRRDWIJNSA-N 1 2 322.409 1.332 20 30 DDEDLO COCCOCN1CCC12C[NH+](Cc1ccc(C#N)cc1O)C2 ZINC001276494752 872618745 /nfs/dbraw/zinc/61/87/45/872618745.db2.gz YYMNZBWZFYVBGN-UHFFFAOYSA-N 1 2 317.389 1.144 20 30 DDEDLO C#CCOCC[N@@H+](C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC001319550922 872688971 /nfs/dbraw/zinc/68/89/71/872688971.db2.gz BVIFIZQCZZYALT-UHFFFAOYSA-N 1 2 316.317 1.726 20 30 DDEDLO C#CCOCC[N@H+](C)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC001319550922 872688981 /nfs/dbraw/zinc/68/89/81/872688981.db2.gz BVIFIZQCZZYALT-UHFFFAOYSA-N 1 2 316.317 1.726 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2coc(C)n2)C[C@H]1C ZINC001206915707 872763083 /nfs/dbraw/zinc/76/30/83/872763083.db2.gz HWCTZHOMSAWGTE-XFJVYGCCSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2coc(C)n2)C[C@H]1C ZINC001206915707 872763092 /nfs/dbraw/zinc/76/30/92/872763092.db2.gz HWCTZHOMSAWGTE-XFJVYGCCSA-N 1 2 307.394 1.247 20 30 DDEDLO C#C[C@H]1CCCCN1c1nnc([C@@H]2CCC[N@@H+]2C)n1CCOC ZINC001345707109 873482792 /nfs/dbraw/zinc/48/27/92/873482792.db2.gz WETLPNIBRJAYDJ-GJZGRUSLSA-N 1 2 317.437 1.683 20 30 DDEDLO C#C[C@H]1CCCCN1c1nnc([C@@H]2CCC[N@H+]2C)n1CCOC ZINC001345707109 873482796 /nfs/dbraw/zinc/48/27/96/873482796.db2.gz WETLPNIBRJAYDJ-GJZGRUSLSA-N 1 2 317.437 1.683 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cc1F ZINC001226943422 882736048 /nfs/dbraw/zinc/73/60/48/882736048.db2.gz KEEZUCQOVVOKJU-BSRWDCPYSA-N 1 2 307.321 1.604 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cc1F ZINC001226943422 882736056 /nfs/dbraw/zinc/73/60/56/882736056.db2.gz KEEZUCQOVVOKJU-BSRWDCPYSA-N 1 2 307.321 1.604 20 30 DDEDLO CCOCCCNC(=O)[C@@H](C#N)C(=O)[C@@H]1CCC[N@@H+]1C(C)C ZINC001346199471 873643292 /nfs/dbraw/zinc/64/32/92/873643292.db2.gz XCYIHXMIYPUEHB-KBPBESRZSA-N 1 2 309.410 1.111 20 30 DDEDLO CCOCCCNC(=O)[C@@H](C#N)C(=O)[C@@H]1CCC[N@H+]1C(C)C ZINC001346199471 873643296 /nfs/dbraw/zinc/64/32/96/873643296.db2.gz XCYIHXMIYPUEHB-KBPBESRZSA-N 1 2 309.410 1.111 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001208010433 873747399 /nfs/dbraw/zinc/74/73/99/873747399.db2.gz JTRBXFZHMKKEJB-LBPRGKRZSA-N 1 2 306.435 1.668 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2CCCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001208013431 873749789 /nfs/dbraw/zinc/74/97/89/873749789.db2.gz FKJKASULEVVXHD-CYBMUJFWSA-N 1 2 318.421 1.762 20 30 DDEDLO CCOc1ccc(C[NH+]2CCN(C(=O)C#CCOC)CC2)cc1 ZINC001347301289 874088521 /nfs/dbraw/zinc/08/85/21/874088521.db2.gz YHXLHENVHLAODA-UHFFFAOYSA-N 1 2 316.401 1.379 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@H](C)NC(C)=O)C1 ZINC001378180774 874349231 /nfs/dbraw/zinc/34/92/31/874349231.db2.gz NESAUUOHLHZKHL-WCQYABFASA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H](C)NC(C)=O)C1 ZINC001378180774 874349244 /nfs/dbraw/zinc/34/92/44/874349244.db2.gz NESAUUOHLHZKHL-WCQYABFASA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@](C)(NC(C)=O)C(C)C ZINC001378238288 874510302 /nfs/dbraw/zinc/51/03/02/874510302.db2.gz SOCJPVJZQWDCJF-SWLSCSKDSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@](C)(NC(C)=O)C(C)C ZINC001378238288 874510310 /nfs/dbraw/zinc/51/03/10/874510310.db2.gz SOCJPVJZQWDCJF-SWLSCSKDSA-N 1 2 317.861 1.726 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+]([C@H](C)c2nc(C)no2)CC1 ZINC001227108588 882840598 /nfs/dbraw/zinc/84/05/98/882840598.db2.gz SMBPRNAYEXYHDQ-GFCCVEGCSA-N 1 2 304.394 1.823 20 30 DDEDLO C=CCN(CC[N@@H+]1CC[C@H]2SC(=O)C=C2C1)C(=O)OCC ZINC001209019863 874607092 /nfs/dbraw/zinc/60/70/92/874607092.db2.gz UTBHHHDCNBQBHX-CYBMUJFWSA-N 1 2 310.419 1.905 20 30 DDEDLO C=CCN(CC[N@H+]1CC[C@H]2SC(=O)C=C2C1)C(=O)OCC ZINC001209019863 874607097 /nfs/dbraw/zinc/60/70/97/874607097.db2.gz UTBHHHDCNBQBHX-CYBMUJFWSA-N 1 2 310.419 1.905 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2cc(C)on2)CC1 ZINC001227299552 882959266 /nfs/dbraw/zinc/95/92/66/882959266.db2.gz UMBUROCZBVANFZ-ZDUSSCGKSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)o2)CC1 ZINC001227322961 882970009 /nfs/dbraw/zinc/97/00/09/882970009.db2.gz KAKGWZXDNZARST-LBPRGKRZSA-N 1 2 320.393 1.060 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001213267985 875865970 /nfs/dbraw/zinc/86/59/70/875865970.db2.gz DSDXKTRDRDCOQK-ZIAGYGMSSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001213267985 875865980 /nfs/dbraw/zinc/86/59/80/875865980.db2.gz DSDXKTRDRDCOQK-ZIAGYGMSSA-N 1 2 307.394 1.511 20 30 DDEDLO C=C1CCC(CNC(=O)C(=O)N[C@@H](C)Cn2cc[nH+]c2)CC1 ZINC001351119340 876067413 /nfs/dbraw/zinc/06/74/13/876067413.db2.gz XPDRQXLTHOMDGR-ZDUSSCGKSA-N 1 2 304.394 1.250 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1OC ZINC001213963390 876114589 /nfs/dbraw/zinc/11/45/89/876114589.db2.gz BNGNNDIMXXSASL-NEFWEQRUSA-N 1 2 302.802 1.145 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1OC ZINC001213963390 876114593 /nfs/dbraw/zinc/11/45/93/876114593.db2.gz BNGNNDIMXXSASL-NEFWEQRUSA-N 1 2 302.802 1.145 20 30 DDEDLO CO[C@@H]1C[N@H+](CCF)C[C@H]1NC(=O)CC#Cc1ccccc1 ZINC001213901009 876098641 /nfs/dbraw/zinc/09/86/41/876098641.db2.gz HIFHFNYBSBWXHL-HZPDHXFCSA-N 1 2 304.365 1.213 20 30 DDEDLO CO[C@@H]1C[N@@H+](CCF)C[C@H]1NC(=O)CC#Cc1ccccc1 ZINC001213901009 876098654 /nfs/dbraw/zinc/09/86/54/876098654.db2.gz HIFHFNYBSBWXHL-HZPDHXFCSA-N 1 2 304.365 1.213 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H](C)[C@@H]1CN(C)CC[N@@H+]1C)c1ccccc1 ZINC001351325794 876188426 /nfs/dbraw/zinc/18/84/26/876188426.db2.gz QHULJRHZURMPNR-XIRDDKMYSA-N 1 2 301.434 1.707 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H](C)[C@@H]1CN(C)CC[N@H+]1C)c1ccccc1 ZINC001351325794 876188431 /nfs/dbraw/zinc/18/84/31/876188431.db2.gz QHULJRHZURMPNR-XIRDDKMYSA-N 1 2 301.434 1.707 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001214286050 876258162 /nfs/dbraw/zinc/25/81/62/876258162.db2.gz RGKSUAVOZGPRRG-YRGRVCCFSA-N 1 2 322.409 1.296 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1CC=C ZINC001351543374 876313328 /nfs/dbraw/zinc/31/33/28/876313328.db2.gz DSZUAHIVHRQMCV-HNNXBMFYSA-N 1 2 317.437 1.705 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1CC=C ZINC001351543374 876313335 /nfs/dbraw/zinc/31/33/35/876313335.db2.gz DSZUAHIVHRQMCV-HNNXBMFYSA-N 1 2 317.437 1.705 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N1CCC[C@H]1C ZINC001351723614 876403829 /nfs/dbraw/zinc/40/38/29/876403829.db2.gz VUHZZSJIXRGFNT-CQSZACIVSA-N 1 2 319.453 1.657 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCC2(COC2)C1 ZINC001352093740 876594714 /nfs/dbraw/zinc/59/47/14/876594714.db2.gz ODUJNBOWESBAGE-UHFFFAOYSA-N 1 2 314.393 1.015 20 30 DDEDLO N#Cc1ccc(NCC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)nc1 ZINC001362119022 883087358 /nfs/dbraw/zinc/08/73/58/883087358.db2.gz QEOIQOCSVHIRLY-CQSZACIVSA-N 1 2 310.361 1.425 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219141498 877955130 /nfs/dbraw/zinc/95/51/30/877955130.db2.gz YCYLBVCZYQUIME-SJORKVTESA-N 1 2 316.376 1.305 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219141498 877955149 /nfs/dbraw/zinc/95/51/49/877955149.db2.gz YCYLBVCZYQUIME-SJORKVTESA-N 1 2 316.376 1.305 20 30 DDEDLO CC#CCCCC(=O)NCC[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001355267023 878481586 /nfs/dbraw/zinc/48/15/86/878481586.db2.gz TZZVPXUCKYQUCY-CQSZACIVSA-N 1 2 318.421 1.167 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[N@H+]1[C@@H](C)c1cnc(C)cn1 ZINC001276809641 878639937 /nfs/dbraw/zinc/63/99/37/878639937.db2.gz LSANKUQCTUTZGN-UONOGXRCSA-N 1 2 304.394 1.239 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)c1cnc(C)cn1 ZINC001276809641 878639946 /nfs/dbraw/zinc/63/99/46/878639946.db2.gz LSANKUQCTUTZGN-UONOGXRCSA-N 1 2 304.394 1.239 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220133597 878722083 /nfs/dbraw/zinc/72/20/83/878722083.db2.gz GZICUMJABYPAPZ-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220133597 878722098 /nfs/dbraw/zinc/72/20/98/878722098.db2.gz GZICUMJABYPAPZ-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCNC(=O)CCc1[nH+]ccn1C ZINC001355814136 878729468 /nfs/dbraw/zinc/72/94/68/878729468.db2.gz FMIVAGDZPXPTRT-UHFFFAOYSA-N 1 2 306.410 1.140 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001287698537 912402026 /nfs/dbraw/zinc/40/20/26/912402026.db2.gz DKXJZWVOCFUFOU-ZDUSSCGKSA-N 1 2 304.394 1.109 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220435887 879014541 /nfs/dbraw/zinc/01/45/41/879014541.db2.gz LRSJZSLJULMXHX-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220435887 879014556 /nfs/dbraw/zinc/01/45/56/879014556.db2.gz LRSJZSLJULMXHX-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001287710956 912411656 /nfs/dbraw/zinc/41/16/56/912411656.db2.gz UIODAOLCZCPOIF-DYVFJYSZSA-N 1 2 320.437 1.908 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001287710956 912411677 /nfs/dbraw/zinc/41/16/77/912411677.db2.gz UIODAOLCZCPOIF-DYVFJYSZSA-N 1 2 320.437 1.908 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC(CNC(=O)CCn2cc[nH+]c2)C1 ZINC001356823940 879431145 /nfs/dbraw/zinc/43/11/45/879431145.db2.gz TZLIGXLCMCPXEZ-UHFFFAOYSA-N 1 2 318.421 1.450 20 30 DDEDLO C=CCCC(=O)N[C@H](CC)CNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001356898398 879558551 /nfs/dbraw/zinc/55/85/51/879558551.db2.gz JGKWAUIZHZJVIF-ZIAGYGMSSA-N 1 2 318.421 1.423 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3sccc3C)[C@@H]2C1 ZINC001221229361 879597013 /nfs/dbraw/zinc/59/70/13/879597013.db2.gz ZWUROAFFKCXJEN-HUUCEWRRSA-N 1 2 318.442 1.853 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3sccc3C)[C@@H]2C1 ZINC001221229361 879597032 /nfs/dbraw/zinc/59/70/32/879597032.db2.gz ZWUROAFFKCXJEN-HUUCEWRRSA-N 1 2 318.442 1.853 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2CN(C(=O)Cn3cc[nH+]c3)CC[C@@H]21 ZINC001357297566 879896940 /nfs/dbraw/zinc/89/69/40/879896940.db2.gz SWLGXDAGQGHFFX-CABCVRRESA-N 1 2 316.405 1.299 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCC)C[C@H]21 ZINC001221761022 880065129 /nfs/dbraw/zinc/06/51/29/880065129.db2.gz XJKAYUOWEUFFHX-ARFHVFGLSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCC)C[C@H]21 ZINC001221761022 880065143 /nfs/dbraw/zinc/06/51/43/880065143.db2.gz XJKAYUOWEUFFHX-ARFHVFGLSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)CC(C)C)[C@@H]2C1 ZINC001221897648 880141895 /nfs/dbraw/zinc/14/18/95/880141895.db2.gz CIZJUSWSWFGBAX-ARFHVFGLSA-N 1 2 321.465 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)CC(C)C)[C@@H]2C1 ZINC001221897648 880141906 /nfs/dbraw/zinc/14/19/06/880141906.db2.gz CIZJUSWSWFGBAX-ARFHVFGLSA-N 1 2 321.465 1.504 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C(C)(CC)CC)[C@@H]2C1 ZINC001222029862 880189658 /nfs/dbraw/zinc/18/96/58/880189658.db2.gz KVQAFYANULTRAV-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(CC)CC)[C@@H]2C1 ZINC001222029862 880189661 /nfs/dbraw/zinc/18/96/61/880189661.db2.gz KVQAFYANULTRAV-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3ncnn3CC)[C@H]2C1 ZINC001222406705 880444575 /nfs/dbraw/zinc/44/45/75/880444575.db2.gz BUOZBENUWIZCTN-CABCVRRESA-N 1 2 317.437 1.687 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@H+](Cc3ncnn3CC)[C@H]2C1 ZINC001222406705 880444581 /nfs/dbraw/zinc/44/45/81/880444581.db2.gz BUOZBENUWIZCTN-CABCVRRESA-N 1 2 317.437 1.687 20 30 DDEDLO CCCN1CCC[N@H+](C[C@H](O)c2cccc(C#N)c2)CC1=O ZINC001413984811 880482015 /nfs/dbraw/zinc/48/20/15/880482015.db2.gz YXDCKRAPVSMNTG-INIZCTEOSA-N 1 2 301.390 1.536 20 30 DDEDLO CCCN1CCC[N@@H+](C[C@H](O)c2cccc(C#N)c2)CC1=O ZINC001413984811 880482020 /nfs/dbraw/zinc/48/20/20/880482020.db2.gz YXDCKRAPVSMNTG-INIZCTEOSA-N 1 2 301.390 1.536 20 30 DDEDLO CCCCc1noc(C[NH2+]C2(CNC(=O)[C@H](C)C#N)CCC2)n1 ZINC001380852838 880530656 /nfs/dbraw/zinc/53/06/56/880530656.db2.gz OTDPAUQWLVYCEH-GFCCVEGCSA-N 1 2 319.409 1.700 20 30 DDEDLO N#Cc1nc(NC2CC[NH+]([C@@H]3CCOC3=O)CC2)ccc1Cl ZINC001413880603 880566715 /nfs/dbraw/zinc/56/67/15/880566715.db2.gz DEGQVMAYHLUSEE-CYBMUJFWSA-N 1 2 320.780 1.798 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](CC(=O)Nc2ccon2)CC1 ZINC001222612395 880600221 /nfs/dbraw/zinc/60/02/21/880600221.db2.gz DSYWVLJDWUMBFR-UHFFFAOYSA-N 1 2 320.393 1.408 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001287921284 912586793 /nfs/dbraw/zinc/58/67/93/912586793.db2.gz JPCAJBUHPIHYPK-OCCSQVGLSA-N 1 2 316.405 1.170 20 30 DDEDLO Cc1nnc([C@@H](C)[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)[nH]1 ZINC001222657922 880624172 /nfs/dbraw/zinc/62/41/72/880624172.db2.gz HLEHTDJXZSTJEV-GHMZBOCLSA-N 1 2 304.398 1.162 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001358624861 880636109 /nfs/dbraw/zinc/63/61/09/880636109.db2.gz GYJVKJZLGJRRDR-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@H]1CCN1Cc1c[nH+]cn1C ZINC001276861204 880811889 /nfs/dbraw/zinc/81/18/89/880811889.db2.gz MZNNARPSAYJFBY-OAHLLOKOSA-N 1 2 302.422 1.879 20 30 DDEDLO CCc1noc(C[NH+]2CCC(CNC(=O)C#CC(C)C)CC2)n1 ZINC001223121881 880840197 /nfs/dbraw/zinc/84/01/97/880840197.db2.gz CINQZABXCBNICI-UHFFFAOYSA-N 1 2 318.421 1.620 20 30 DDEDLO CCn1cc(C[NH+]2CCC(CNC(=O)C#CC3CC3)CC2)nn1 ZINC001223135236 880846173 /nfs/dbraw/zinc/84/61/73/880846173.db2.gz WLBUTULYISGBNW-UHFFFAOYSA-N 1 2 315.421 1.040 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001223311605 880914400 /nfs/dbraw/zinc/91/44/00/880914400.db2.gz LJFSNFUKUXRUTR-UHFFFAOYSA-N 1 2 319.453 1.746 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnc(C2CC2)c1 ZINC001276884838 880936922 /nfs/dbraw/zinc/93/69/22/880936922.db2.gz XFQZTPWFXDTGKA-INIZCTEOSA-N 1 2 313.401 1.413 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnc(C2CC2)c1 ZINC001276884838 880936928 /nfs/dbraw/zinc/93/69/28/880936928.db2.gz XFQZTPWFXDTGKA-INIZCTEOSA-N 1 2 313.401 1.413 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1(C)CC=CC1 ZINC001276915934 881077586 /nfs/dbraw/zinc/07/75/86/881077586.db2.gz GEHBEKLLHZIJIS-ZIAGYGMSSA-N 1 2 305.422 1.224 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1(C)CC=CC1 ZINC001276915934 881077604 /nfs/dbraw/zinc/07/76/04/881077604.db2.gz GEHBEKLLHZIJIS-ZIAGYGMSSA-N 1 2 305.422 1.224 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2CC[N@H+](Cc3cnon3)[C@H]2C1 ZINC001224280614 881307923 /nfs/dbraw/zinc/30/79/23/881307923.db2.gz JTSQAPMWZFNJOE-GUTXKFCHSA-N 1 2 320.393 1.084 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3cnon3)[C@H]2C1 ZINC001224280614 881307937 /nfs/dbraw/zinc/30/79/37/881307937.db2.gz JTSQAPMWZFNJOE-GUTXKFCHSA-N 1 2 320.393 1.084 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001228596640 883565702 /nfs/dbraw/zinc/56/57/02/883565702.db2.gz KNDIOBKRBUAKKG-UHFFFAOYSA-N 1 2 319.453 1.981 20 30 DDEDLO CCC[C@H](C)C(=O)NC[C@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001382181081 883589740 /nfs/dbraw/zinc/58/97/40/883589740.db2.gz HLEYIMSSGOJRDZ-BLLLJJGKSA-N 1 2 321.396 1.700 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1CC[NH+](Cc2nccn2C)CC1 ZINC001228759940 883656407 /nfs/dbraw/zinc/65/64/07/883656407.db2.gz GHFUTTWZPHVNCG-ZIAGYGMSSA-N 1 2 304.438 1.959 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1CC[NH+](Cc2nccn2C)CC1 ZINC001228759939 883657307 /nfs/dbraw/zinc/65/73/07/883657307.db2.gz GHFUTTWZPHVNCG-UONOGXRCSA-N 1 2 304.438 1.959 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001230407506 884475733 /nfs/dbraw/zinc/47/57/33/884475733.db2.gz SILGAECCAKZQSV-LLVKDONJSA-N 1 2 308.382 1.050 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)CNc2ccc(C#N)cn2)C1 ZINC001362812041 884701354 /nfs/dbraw/zinc/70/13/54/884701354.db2.gz NHWHMUUPNLZDOL-CYBMUJFWSA-N 1 2 310.361 1.115 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCCCC ZINC001230782489 884872679 /nfs/dbraw/zinc/87/26/79/884872679.db2.gz YMUJGZZWNCHJEW-GJZGRUSLSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCCCC ZINC001230782489 884872691 /nfs/dbraw/zinc/87/26/91/884872691.db2.gz YMUJGZZWNCHJEW-GJZGRUSLSA-N 1 2 309.454 1.790 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)CSCC#N)ncn1 ZINC001230815623 884914004 /nfs/dbraw/zinc/91/40/04/884914004.db2.gz QPMOVKBBIKIEGX-CQSZACIVSA-N 1 2 319.434 1.075 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)CSCC#N)ncn1 ZINC001230815623 884914025 /nfs/dbraw/zinc/91/40/25/884914025.db2.gz QPMOVKBBIKIEGX-CQSZACIVSA-N 1 2 319.434 1.075 20 30 DDEDLO CNC(=O)C[N@@H+]1CCCN(C(=O)CC2(C#N)CCCCC2)CC1 ZINC001362946337 885052958 /nfs/dbraw/zinc/05/29/58/885052958.db2.gz NMNISDHZAWEWFV-UHFFFAOYSA-N 1 2 320.437 1.131 20 30 DDEDLO CNC(=O)C[N@H+]1CCCN(C(=O)CC2(C#N)CCCCC2)CC1 ZINC001362946337 885052964 /nfs/dbraw/zinc/05/29/64/885052964.db2.gz NMNISDHZAWEWFV-UHFFFAOYSA-N 1 2 320.437 1.131 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1oc(C)nc1C ZINC001231240421 885418444 /nfs/dbraw/zinc/41/84/44/885418444.db2.gz KEANVQBTNFFYCD-AWEZNQCLSA-N 1 2 307.394 1.527 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1oc(C)nc1C ZINC001231240421 885418447 /nfs/dbraw/zinc/41/84/47/885418447.db2.gz KEANVQBTNFFYCD-AWEZNQCLSA-N 1 2 307.394 1.527 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@@H]2C[C@H](CO)C[C@@H]21 ZINC001231617333 885761517 /nfs/dbraw/zinc/76/15/17/885761517.db2.gz TTXROWPHBWQUNI-UKPHBRMFSA-N 1 2 302.374 1.539 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@@H]2C[C@H](CO)C[C@@H]21 ZINC001231617333 885761531 /nfs/dbraw/zinc/76/15/31/885761531.db2.gz TTXROWPHBWQUNI-UKPHBRMFSA-N 1 2 302.374 1.539 20 30 DDEDLO C=C(C)CCC(=O)N(C)C1C[NH+](CCc2cnn(CC)c2)C1 ZINC001277603247 886040515 /nfs/dbraw/zinc/04/05/15/886040515.db2.gz RGBSSDZTXMRIPK-UHFFFAOYSA-N 1 2 304.438 1.944 20 30 DDEDLO CC1(C)CN(Cc2ccc(C#N)c(Cl)c2)CC[N@@H+]1CC(N)=O ZINC001232115643 886106126 /nfs/dbraw/zinc/10/61/26/886106126.db2.gz XSIGCFPMWWNODR-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO CC1(C)CN(Cc2ccc(C#N)c(Cl)c2)CC[N@H+]1CC(N)=O ZINC001232115643 886106133 /nfs/dbraw/zinc/10/61/33/886106133.db2.gz XSIGCFPMWWNODR-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cc(C)nc(C)c3)CC2)c1C#N ZINC001363589037 886706912 /nfs/dbraw/zinc/70/69/12/886706912.db2.gz YQYCHXNKACGXDU-UHFFFAOYSA-N 1 2 324.432 1.934 20 30 DDEDLO CN(C)C(=O)C1C[NH+](Cc2ccc(N(C)C)c(C#N)c2F)C1 ZINC001233101367 886785887 /nfs/dbraw/zinc/78/58/87/886785887.db2.gz XUFWVNMDOSSXRY-UHFFFAOYSA-N 1 2 304.369 1.283 20 30 DDEDLO N#Cc1ccc(N2CCN(Cc3cccc(N)[nH+]3)CC2)c(F)c1 ZINC001233372136 886958531 /nfs/dbraw/zinc/95/85/31/886958531.db2.gz ADORLHFJPXIWKV-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cccc(N)n3)CC2)c(F)c1 ZINC001233372136 886958534 /nfs/dbraw/zinc/95/85/34/886958534.db2.gz ADORLHFJPXIWKV-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccnn1C ZINC001233761676 887293929 /nfs/dbraw/zinc/29/39/29/887293929.db2.gz LQXCTZWOFQMMQW-MRXNPFEDSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccnn1C ZINC001233761676 887293946 /nfs/dbraw/zinc/29/39/46/887293946.db2.gz LQXCTZWOFQMMQW-MRXNPFEDSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NC1CC1 ZINC001233801876 887336428 /nfs/dbraw/zinc/33/64/28/887336428.db2.gz SGVSUJZQDSVNHP-ZBFHGGJFSA-N 1 2 319.449 1.380 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CC1 ZINC001233801876 887336440 /nfs/dbraw/zinc/33/64/40/887336440.db2.gz SGVSUJZQDSVNHP-ZBFHGGJFSA-N 1 2 319.449 1.380 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(OC)nc1 ZINC001233966029 887505025 /nfs/dbraw/zinc/50/50/25/887505025.db2.gz ICRXSUHDJRJMFP-INIZCTEOSA-N 1 2 315.417 1.926 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(OC)nc1 ZINC001233966029 887505043 /nfs/dbraw/zinc/50/50/43/887505043.db2.gz ICRXSUHDJRJMFP-INIZCTEOSA-N 1 2 315.417 1.926 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccccc1C#N)C(=O)CC(C)(C)O ZINC001234000929 887539612 /nfs/dbraw/zinc/53/96/12/887539612.db2.gz AVIMZGSMYSQJNU-MRXNPFEDSA-N 1 2 315.417 1.752 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccccc1C#N)C(=O)CC(C)(C)O ZINC001234000929 887539622 /nfs/dbraw/zinc/53/96/22/887539622.db2.gz AVIMZGSMYSQJNU-MRXNPFEDSA-N 1 2 315.417 1.752 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)C#CC1CC1 ZINC001234179056 887719060 /nfs/dbraw/zinc/71/90/60/887719060.db2.gz RVXIWBHENLVIAQ-IBGZPJMESA-N 1 2 306.409 1.984 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)C#CC1CC1 ZINC001234179056 887719070 /nfs/dbraw/zinc/71/90/70/887719070.db2.gz RVXIWBHENLVIAQ-IBGZPJMESA-N 1 2 306.409 1.984 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234197242 887742990 /nfs/dbraw/zinc/74/29/90/887742990.db2.gz ZPUSLMNQGXDDCX-GOEBONIOSA-N 1 2 319.449 1.237 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234197242 887742999 /nfs/dbraw/zinc/74/29/99/887742999.db2.gz ZPUSLMNQGXDDCX-GOEBONIOSA-N 1 2 319.449 1.237 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1cnsn1 ZINC001234451796 887978780 /nfs/dbraw/zinc/97/87/80/887978780.db2.gz ADOHUCSZQZORCS-UHFFFAOYSA-N 1 2 319.228 1.498 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1cnsn1 ZINC001234451796 887978784 /nfs/dbraw/zinc/97/87/84/887978784.db2.gz ADOHUCSZQZORCS-UHFFFAOYSA-N 1 2 319.228 1.498 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1C=CC=CC=C1 ZINC001234582616 888116891 /nfs/dbraw/zinc/11/68/91/888116891.db2.gz DLZMGGLYWIZTDZ-INIZCTEOSA-N 1 2 315.417 1.120 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1C=CC=CC=C1 ZINC001234582616 888116898 /nfs/dbraw/zinc/11/68/98/888116898.db2.gz DLZMGGLYWIZTDZ-INIZCTEOSA-N 1 2 315.417 1.120 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)[C@H](C)c1nnc(C)[nH]1 ZINC001235228884 888493322 /nfs/dbraw/zinc/49/33/22/888493322.db2.gz LFTNNSBXDDRSPN-OLZOCXBDSA-N 1 2 323.441 1.593 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)[C@H](C)c1nnc(C)[nH]1 ZINC001235228884 888493330 /nfs/dbraw/zinc/49/33/30/888493330.db2.gz LFTNNSBXDDRSPN-OLZOCXBDSA-N 1 2 323.441 1.593 20 30 DDEDLO Cc1noc(C)c1NC(=O)N1CCC12C[NH+](CCCC#N)C2 ZINC001277944888 888637140 /nfs/dbraw/zinc/63/71/40/888637140.db2.gz DMPSNZKXHPAUDD-UHFFFAOYSA-N 1 2 303.366 1.887 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)[C@@H](C)c1nc(COC)no1 ZINC001235451670 888654263 /nfs/dbraw/zinc/65/42/63/888654263.db2.gz ODSZEIXAJBYPKU-LBPRGKRZSA-N 1 2 310.398 1.681 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)[C@@H](C)c1nc(COC)no1 ZINC001235451670 888654267 /nfs/dbraw/zinc/65/42/67/888654267.db2.gz ODSZEIXAJBYPKU-LBPRGKRZSA-N 1 2 310.398 1.681 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[N@H+](C)Cc1ccc(S(N)(=O)=O)o1 ZINC001364697978 889222651 /nfs/dbraw/zinc/22/26/51/889222651.db2.gz LYEPYAASDDZWEX-NSHDSACASA-N 1 2 319.386 1.992 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[N@@H+](C)Cc1ccc(S(N)(=O)=O)o1 ZINC001364697978 889222663 /nfs/dbraw/zinc/22/26/63/889222663.db2.gz LYEPYAASDDZWEX-NSHDSACASA-N 1 2 319.386 1.992 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@H]1CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001290011535 913333324 /nfs/dbraw/zinc/33/33/24/913333324.db2.gz YZGKJYYFDLCILU-ZFWWWQNUSA-N 1 2 318.421 1.614 20 30 DDEDLO COC(=O)c1cc(C[NH+]2CCN(CCC#N)CC2)cc(OC)c1 ZINC001236770453 889345331 /nfs/dbraw/zinc/34/53/31/889345331.db2.gz SLLAGFJNAVMZCX-UHFFFAOYSA-N 1 2 317.389 1.513 20 30 DDEDLO Cc1noc(C[NH+]2CCC(C)(NC(=O)C#CC3CC3)CC2)n1 ZINC001278051767 889500214 /nfs/dbraw/zinc/50/02/14/889500214.db2.gz OFSJFDCYYTWGSU-UHFFFAOYSA-N 1 2 302.378 1.262 20 30 DDEDLO CCCOC(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001278360781 891629189 /nfs/dbraw/zinc/62/91/89/891629189.db2.gz KNIFZQOTZBIHJA-UHFFFAOYSA-N 1 2 302.378 1.561 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365987523 892135733 /nfs/dbraw/zinc/13/57/33/892135733.db2.gz ZICCOISNSZJPII-GDBMZVCRSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365987523 892135747 /nfs/dbraw/zinc/13/57/47/892135747.db2.gz ZICCOISNSZJPII-GDBMZVCRSA-N 1 2 319.380 1.405 20 30 DDEDLO CC#CCCCC(=O)N[C@@]1(C)CC[N@H+](Cc2ccn(C)n2)C1 ZINC001278414569 892231939 /nfs/dbraw/zinc/23/19/39/892231939.db2.gz RZMNRKMLQYXLFC-KRWDZBQOSA-N 1 2 302.422 1.694 20 30 DDEDLO CC#CCCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001278414569 892231953 /nfs/dbraw/zinc/23/19/53/892231953.db2.gz RZMNRKMLQYXLFC-KRWDZBQOSA-N 1 2 302.422 1.694 20 30 DDEDLO N#Cc1ccc(NC(=S)N[C@@H]2CCC[N@@H+](C3COC3)C2)cc1 ZINC001245934915 892277440 /nfs/dbraw/zinc/27/74/40/892277440.db2.gz MOHAZRFFRCHIQI-CQSZACIVSA-N 1 2 316.430 1.708 20 30 DDEDLO N#Cc1ccc(NC(=S)N[C@@H]2CCC[N@H+](C3COC3)C2)cc1 ZINC001245934915 892277453 /nfs/dbraw/zinc/27/74/53/892277453.db2.gz MOHAZRFFRCHIQI-CQSZACIVSA-N 1 2 316.430 1.708 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H](C)NC(=O)CC ZINC001366196674 892707897 /nfs/dbraw/zinc/70/78/97/892707897.db2.gz GSOZSBWEIVDKTQ-STQMWFEESA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H](C)NC(=O)CC ZINC001366196674 892707899 /nfs/dbraw/zinc/70/78/99/892707899.db2.gz GSOZSBWEIVDKTQ-STQMWFEESA-N 1 2 315.845 1.624 20 30 DDEDLO C=CCN1C(=O)CCC[C@]12CCC[N@@H+](Cc1nonc1C)C2 ZINC001248857423 893737813 /nfs/dbraw/zinc/73/78/13/893737813.db2.gz BVQXGGFUWMLUIM-MRXNPFEDSA-N 1 2 304.394 1.911 20 30 DDEDLO C=CCN1C(=O)CCC[C@]12CCC[N@H+](Cc1nonc1C)C2 ZINC001248857423 893737822 /nfs/dbraw/zinc/73/78/22/893737822.db2.gz BVQXGGFUWMLUIM-MRXNPFEDSA-N 1 2 304.394 1.911 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1c(C)nn2cccnc12 ZINC001366638121 894394081 /nfs/dbraw/zinc/39/40/81/894394081.db2.gz HKSPCSDNRSBQJV-UHFFFAOYSA-N 1 2 321.812 1.842 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1c(C)nn2cccnc12 ZINC001366638121 894394096 /nfs/dbraw/zinc/39/40/96/894394096.db2.gz HKSPCSDNRSBQJV-UHFFFAOYSA-N 1 2 321.812 1.842 20 30 DDEDLO CC(C)c1nnc(C[N@@H+]2CC[C@H](CNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001366687543 894587586 /nfs/dbraw/zinc/58/75/86/894587586.db2.gz JNCSCZXTNJNLDJ-NWDGAFQWSA-N 1 2 304.398 1.026 20 30 DDEDLO CC(C)c1nnc(C[N@H+]2CC[C@H](CNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001366687543 894587593 /nfs/dbraw/zinc/58/75/93/894587593.db2.gz JNCSCZXTNJNLDJ-NWDGAFQWSA-N 1 2 304.398 1.026 20 30 DDEDLO Cc1cc(C)c(S(=O)(=O)NC2(C#N)CC[NH+](C)CC2)c(C)c1 ZINC001251520130 894717146 /nfs/dbraw/zinc/71/71/46/894717146.db2.gz DNPOELQZXJCFTH-UHFFFAOYSA-N 1 2 321.446 1.878 20 30 DDEDLO C#CCOC[C@@H](O)C[N@@H+]1Cc2cccc(Br)c2C1 ZINC001251848297 894837941 /nfs/dbraw/zinc/83/79/41/894837941.db2.gz YKVNRAOSTPXFKB-LBPRGKRZSA-N 1 2 310.191 1.775 20 30 DDEDLO C#CCOC[C@@H](O)C[N@H+]1Cc2cccc(Br)c2C1 ZINC001251848297 894837958 /nfs/dbraw/zinc/83/79/58/894837958.db2.gz YKVNRAOSTPXFKB-LBPRGKRZSA-N 1 2 310.191 1.775 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@@H]([NH2+]Cc2csnn2)C(C)(C)C1 ZINC001278639953 894919472 /nfs/dbraw/zinc/91/94/72/894919472.db2.gz OGPIMRDFUNPDLZ-DOMZBBRYSA-N 1 2 324.450 1.192 20 30 DDEDLO CCCN(C(=O)[C@H](C)C#N)[C@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001366804697 894964711 /nfs/dbraw/zinc/96/47/11/894964711.db2.gz FCAMLDULMWDKEL-YPMHNXCESA-N 1 2 321.450 1.819 20 30 DDEDLO CCCN(C(=O)[C@H](C)C#N)[C@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001366804697 894964720 /nfs/dbraw/zinc/96/47/20/894964720.db2.gz FCAMLDULMWDKEL-YPMHNXCESA-N 1 2 321.450 1.819 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001367017129 895583406 /nfs/dbraw/zinc/58/34/06/895583406.db2.gz KMDSBPPAQDMDIW-LBPRGKRZSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001367017129 895583412 /nfs/dbraw/zinc/58/34/12/895583412.db2.gz KMDSBPPAQDMDIW-LBPRGKRZSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001292800982 914011963 /nfs/dbraw/zinc/01/19/63/914011963.db2.gz BNUBNPAOEBIWHF-LBPRGKRZSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001292800982 914011980 /nfs/dbraw/zinc/01/19/80/914011980.db2.gz BNUBNPAOEBIWHF-LBPRGKRZSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C[C@@H](O)C[N@H+]1CCO[C@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001253577126 895906148 /nfs/dbraw/zinc/90/61/48/895906148.db2.gz RQGNYMHEMQMQHY-RDBSUJKOSA-N 1 2 312.410 1.244 20 30 DDEDLO C=C[C@@H](O)C[N@@H+]1CCO[C@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001253577126 895906158 /nfs/dbraw/zinc/90/61/58/895906158.db2.gz RQGNYMHEMQMQHY-RDBSUJKOSA-N 1 2 312.410 1.244 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001278800377 896542315 /nfs/dbraw/zinc/54/23/15/896542315.db2.gz MSXASHYINISCRQ-YOEHRIQHSA-N 1 2 318.421 1.447 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001278800377 896542328 /nfs/dbraw/zinc/54/23/28/896542328.db2.gz MSXASHYINISCRQ-YOEHRIQHSA-N 1 2 318.421 1.447 20 30 DDEDLO CCOC(=O)c1ncn2c1C[N@@H+]([C@@H]1CC[C@H](C#N)C1)CCC2 ZINC001254660095 896553921 /nfs/dbraw/zinc/55/39/21/896553921.db2.gz FUCGYTULRIVTOY-QWHCGFSZSA-N 1 2 302.378 1.958 20 30 DDEDLO CCOC(=O)c1ncn2c1C[N@H+]([C@@H]1CC[C@H](C#N)C1)CCC2 ZINC001254660095 896553929 /nfs/dbraw/zinc/55/39/29/896553929.db2.gz FUCGYTULRIVTOY-QWHCGFSZSA-N 1 2 302.378 1.958 20 30 DDEDLO N#C[C@H]1CC[C@H]([N@@H+]2CCCn3c(Cn4cccn4)nnc3C2)C1 ZINC001254663616 896560160 /nfs/dbraw/zinc/56/01/60/896560160.db2.gz CQRJPVAYDICGFL-KBPBESRZSA-N 1 2 311.393 1.421 20 30 DDEDLO N#C[C@H]1CC[C@H]([N@H+]2CCCn3c(Cn4cccn4)nnc3C2)C1 ZINC001254663616 896560172 /nfs/dbraw/zinc/56/01/72/896560172.db2.gz CQRJPVAYDICGFL-KBPBESRZSA-N 1 2 311.393 1.421 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCNC(=O)Cc1c[nH+]ccc1OC ZINC001292926534 914099423 /nfs/dbraw/zinc/09/94/23/914099423.db2.gz ZFHQOGHJANHJSI-CYBMUJFWSA-N 1 2 319.405 1.467 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@H]2[C@@H](C=C(C)C)C2(C)C)C1 ZINC001278887557 897110166 /nfs/dbraw/zinc/11/01/66/897110166.db2.gz GTRICLATNBCQHU-HUUCEWRRSA-N 1 2 306.450 1.964 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@@H]2CCCC[C@H]2C2CC2)C1 ZINC001278892149 897136414 /nfs/dbraw/zinc/13/64/14/897136414.db2.gz ZQIWMBOVTVAOLB-JKSUJKDBSA-N 1 2 304.434 1.389 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCN(C(C)=O)C(C)C)c1 ZINC001278889554 897142112 /nfs/dbraw/zinc/14/21/12/897142112.db2.gz YJWLQSJHBDYTQX-UHFFFAOYSA-N 1 2 315.417 1.795 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCN(C(C)=O)C(C)C)c1 ZINC001278889554 897142121 /nfs/dbraw/zinc/14/21/21/897142121.db2.gz YJWLQSJHBDYTQX-UHFFFAOYSA-N 1 2 315.417 1.795 20 30 DDEDLO C[C@H]1C[NH+](CCc2nnc(C#Cc3ccccn3)o2)C[C@H](C)O1 ZINC001258047233 898030671 /nfs/dbraw/zinc/03/06/71/898030671.db2.gz SBHJEDPIOUEYOJ-KBPBESRZSA-N 1 2 312.373 1.516 20 30 DDEDLO C=C(C)CCC(=O)N[C@]1(C)CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001299544673 898387686 /nfs/dbraw/zinc/38/76/86/898387686.db2.gz ZXSGOIWPZFZALB-QGZVFWFLSA-N 1 2 318.421 1.737 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@](C)(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001299579415 898629336 /nfs/dbraw/zinc/62/93/36/898629336.db2.gz ZNWPQRKAMMEPME-CXAGYDPISA-N 1 2 318.421 1.662 20 30 DDEDLO CCN(CCn1cc[nH+]c1)S(=O)(=O)Cc1ccc(C#N)cc1 ZINC001260042700 899025606 /nfs/dbraw/zinc/02/56/06/899025606.db2.gz JHRFQXKGRYNUPX-UHFFFAOYSA-N 1 2 318.402 1.607 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@H]2C[C@H](O)C[N@@H+]2C)n1CC ZINC001263055181 900477122 /nfs/dbraw/zinc/47/71/22/900477122.db2.gz RXNGPKJEFKIROA-HZSPNIEDSA-N 1 2 303.410 1.028 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@H]2C[C@H](O)C[N@H+]2C)n1CC ZINC001263055181 900477127 /nfs/dbraw/zinc/47/71/27/900477127.db2.gz RXNGPKJEFKIROA-HZSPNIEDSA-N 1 2 303.410 1.028 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)C[C@H]1CCOC[C@H]1OC ZINC001390917483 900509795 /nfs/dbraw/zinc/50/97/95/900509795.db2.gz YQIUYORRNPFQFS-ZIAGYGMSSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)C[C@H]1CCOC[C@H]1OC ZINC001390917483 900509810 /nfs/dbraw/zinc/50/98/10/900509810.db2.gz YQIUYORRNPFQFS-ZIAGYGMSSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001263806948 900719603 /nfs/dbraw/zinc/71/96/03/900719603.db2.gz GPJKPLALNQNBDZ-JYJNAYRXSA-N 1 2 321.465 1.600 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001263806948 900719612 /nfs/dbraw/zinc/71/96/12/900719612.db2.gz GPJKPLALNQNBDZ-JYJNAYRXSA-N 1 2 321.465 1.600 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2csc(C)n2)[C@@H]1C ZINC001264142323 900974659 /nfs/dbraw/zinc/97/46/59/900974659.db2.gz XBCBTFZQKKIVJC-JMSVASOKSA-N 1 2 321.446 1.569 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2csc(C)n2)[C@@H]1C ZINC001264142323 900974666 /nfs/dbraw/zinc/97/46/66/900974666.db2.gz XBCBTFZQKKIVJC-JMSVASOKSA-N 1 2 321.446 1.569 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@]1(C)OCCc2ccccc21 ZINC001264382983 901070992 /nfs/dbraw/zinc/07/09/92/901070992.db2.gz SSHLXWFDBDNYJO-VQIMIIECSA-N 1 2 312.413 1.640 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@]1(C)OCCc2ccccc21 ZINC001264382983 901071001 /nfs/dbraw/zinc/07/10/01/901071001.db2.gz SSHLXWFDBDNYJO-VQIMIIECSA-N 1 2 312.413 1.640 20 30 DDEDLO C=CCCOCC(=O)N(C)C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001265201250 901693320 /nfs/dbraw/zinc/69/33/20/901693320.db2.gz LEUWQNDLJBWKRS-UHFFFAOYSA-N 1 2 320.437 1.436 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265207552 901702318 /nfs/dbraw/zinc/70/23/18/901702318.db2.gz ITELDDRGWKIPFI-RHSMWYFYSA-N 1 2 313.376 1.917 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265207552 901702326 /nfs/dbraw/zinc/70/23/26/901702326.db2.gz ITELDDRGWKIPFI-RHSMWYFYSA-N 1 2 313.376 1.917 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001265212653 901712350 /nfs/dbraw/zinc/71/23/50/901712350.db2.gz DFZTYUKBDJADPC-INIZCTEOSA-N 1 2 315.417 1.830 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001265212653 901712360 /nfs/dbraw/zinc/71/23/60/901712360.db2.gz DFZTYUKBDJADPC-INIZCTEOSA-N 1 2 315.417 1.830 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001265301216 901852416 /nfs/dbraw/zinc/85/24/16/901852416.db2.gz GCQZEQOSHCOLBJ-MAUKXSAKSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001265301216 901852428 /nfs/dbraw/zinc/85/24/28/901852428.db2.gz GCQZEQOSHCOLBJ-MAUKXSAKSA-N 1 2 321.465 1.838 20 30 DDEDLO CCCc1nc(C(=O)N[C@H]2CC[N@H+](CC#CCOC)C2)co1 ZINC001265312979 901871678 /nfs/dbraw/zinc/87/16/78/901871678.db2.gz YJODTRYFPRBTJC-ZDUSSCGKSA-N 1 2 305.378 1.081 20 30 DDEDLO CCCc1nc(C(=O)N[C@H]2CC[N@@H+](CC#CCOC)C2)co1 ZINC001265312979 901871685 /nfs/dbraw/zinc/87/16/85/901871685.db2.gz YJODTRYFPRBTJC-ZDUSSCGKSA-N 1 2 305.378 1.081 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001265721148 902340596 /nfs/dbraw/zinc/34/05/96/902340596.db2.gz KTIAYXGISFXFHL-CNUKPYSBSA-N 1 2 317.437 1.525 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001265721148 902340608 /nfs/dbraw/zinc/34/06/08/902340608.db2.gz KTIAYXGISFXFHL-CNUKPYSBSA-N 1 2 317.437 1.525 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H](C)[NH2+]Cc1ncc(C(C)C)o1 ZINC001265771414 902390602 /nfs/dbraw/zinc/39/06/02/902390602.db2.gz VDEBMIHWVORWER-BLLLJJGKSA-N 1 2 309.410 1.719 20 30 DDEDLO CCOc1nnc(C[NH2+][C@@H](C)CNC(=O)C#CC(C)C)s1 ZINC001265772434 902391292 /nfs/dbraw/zinc/39/12/92/902391292.db2.gz AYEWHRHUQPWCEN-NSHDSACASA-N 1 2 310.423 1.191 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1cnsn1)C1CCCCC1 ZINC001392173771 903450023 /nfs/dbraw/zinc/45/00/23/903450023.db2.gz YCZPRZHQLAELLZ-RISCZKNCSA-N 1 2 321.450 1.852 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@H]2CCN(C)C2=O)CCC1 ZINC001316601099 903515898 /nfs/dbraw/zinc/51/58/98/903515898.db2.gz AWULBCQALQKNLO-CABCVRRESA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2[C@H]2CCN(C)C2=O)CCC1 ZINC001316601099 903515909 /nfs/dbraw/zinc/51/59/09/903515909.db2.gz AWULBCQALQKNLO-CABCVRRESA-N 1 2 319.449 1.496 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1COCC[N@H+]1CCCOCC ZINC001280411604 903646201 /nfs/dbraw/zinc/64/62/01/903646201.db2.gz BUGOUNGAGUSBKK-OAHLLOKOSA-N 1 2 312.454 1.832 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1COCC[N@@H+]1CCCOCC ZINC001280411604 903646208 /nfs/dbraw/zinc/64/62/08/903646208.db2.gz BUGOUNGAGUSBKK-OAHLLOKOSA-N 1 2 312.454 1.832 20 30 DDEDLO CCc1noc(C[NH2+]C2(CNC(=O)CSCC#N)CCC2)n1 ZINC001280469122 903711522 /nfs/dbraw/zinc/71/15/22/903711522.db2.gz QEMWLHVLNFZBEX-UHFFFAOYSA-N 1 2 323.422 1.017 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001280639635 903872447 /nfs/dbraw/zinc/87/24/47/903872447.db2.gz XSHNKBJPVDCMDV-KGLIPLIRSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001280641076 903875602 /nfs/dbraw/zinc/87/56/02/903875602.db2.gz NVSWAVUIKZHROK-LLVKDONJSA-N 1 2 318.421 1.436 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C(C)(F)F ZINC001316605352 903930725 /nfs/dbraw/zinc/93/07/25/903930725.db2.gz JENZNLBNULQJNK-ZDUSSCGKSA-N 1 2 316.392 1.850 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C(C)(F)F ZINC001316605352 903930740 /nfs/dbraw/zinc/93/07/40/903930740.db2.gz JENZNLBNULQJNK-ZDUSSCGKSA-N 1 2 316.392 1.850 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001281017917 904324108 /nfs/dbraw/zinc/32/41/08/904324108.db2.gz OHKJFXXGPJYUFS-CQSZACIVSA-N 1 2 320.437 1.672 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001281149006 904476457 /nfs/dbraw/zinc/47/64/57/904476457.db2.gz MPKMNGKOZDWRRX-CHWSQXEVSA-N 1 2 318.421 1.660 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H](C[NH2+]Cc1nc(C(F)F)no1)C1CC1 ZINC001392763047 905177940 /nfs/dbraw/zinc/17/79/40/905177940.db2.gz BZNFOHANKCFJFG-IONNQARKSA-N 1 2 313.308 1.151 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C1C[NH+](CC(=O)N[C@H](C)CC)C1 ZINC001282734731 905994697 /nfs/dbraw/zinc/99/46/97/905994697.db2.gz RAHJFBSFJCZRES-CHWSQXEVSA-N 1 2 309.454 1.550 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)CCC(=O)NC1CCCC1 ZINC001372163473 906613150 /nfs/dbraw/zinc/61/31/50/906613150.db2.gz DBSJXODHCFDVEJ-UHFFFAOYSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)CCC(=O)NC1CCCC1 ZINC001372163473 906613157 /nfs/dbraw/zinc/61/31/57/906613157.db2.gz DBSJXODHCFDVEJ-UHFFFAOYSA-N 1 2 315.845 1.626 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H](C)[NH2+][C@@H](C)c1nc(CC)no1 ZINC001283605496 907666605 /nfs/dbraw/zinc/66/66/05/907666605.db2.gz FNJZRDCFFVWQHR-OLZOCXBDSA-N 1 2 306.410 1.933 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)COC(C)(C)C)CC1 ZINC001393840565 908055928 /nfs/dbraw/zinc/05/59/28/908055928.db2.gz DJVGCCVUTRALOK-UHFFFAOYSA-N 1 2 318.845 1.497 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001284099290 908473162 /nfs/dbraw/zinc/47/31/62/908473162.db2.gz YCYLKURCEYXSFZ-LJISPDSOSA-N 1 2 318.421 1.495 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(C)n(C)n2)[C@H]1C ZINC001284123721 908512979 /nfs/dbraw/zinc/51/29/79/908512979.db2.gz XKLULHDKKPSGDD-GOEBONIOSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C)n(C)n2)[C@H]1C ZINC001284123721 908512998 /nfs/dbraw/zinc/51/29/98/908512998.db2.gz XKLULHDKKPSGDD-GOEBONIOSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001284286617 908774201 /nfs/dbraw/zinc/77/42/01/908774201.db2.gz PAKZXEHCFKPTMQ-GFCCVEGCSA-N 1 2 306.410 1.186 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CCC)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001373100493 908961095 /nfs/dbraw/zinc/96/10/95/908961095.db2.gz LAYQJTNTSQUJAO-KBXIAJHMSA-N 1 2 312.841 1.944 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CCC)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001373100493 908961106 /nfs/dbraw/zinc/96/11/06/908961106.db2.gz LAYQJTNTSQUJAO-KBXIAJHMSA-N 1 2 312.841 1.944 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001284449900 909019580 /nfs/dbraw/zinc/01/95/80/909019580.db2.gz LJKYYMMDNJERMZ-CYBMUJFWSA-N 1 2 306.410 1.496 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)c1ccoc1)C(C)C ZINC001284527393 909146534 /nfs/dbraw/zinc/14/65/34/909146534.db2.gz LFFZUOQVJJWUDV-UHFFFAOYSA-N 1 2 307.394 1.364 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)c1ccoc1)C(C)C ZINC001284527393 909146540 /nfs/dbraw/zinc/14/65/40/909146540.db2.gz LFFZUOQVJJWUDV-UHFFFAOYSA-N 1 2 307.394 1.364 20 30 DDEDLO C=C1CCC(C(=O)NCCN(CC)C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001284647654 909295660 /nfs/dbraw/zinc/29/56/60/909295660.db2.gz UUFVMUMJZQWKJL-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+](CCN(C)C(=O)[C@@H]2C[C@H]2C)CC1 ZINC001284821030 909524743 /nfs/dbraw/zinc/52/47/43/909524743.db2.gz JOQIGOLDRYQLIT-HZPDHXFCSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(CNC(=O)Cn2cc[nH+]c2)C1 ZINC001284981117 909875444 /nfs/dbraw/zinc/87/54/44/909875444.db2.gz XOYOUUJPQBMVLQ-MRXNPFEDSA-N 1 2 304.394 1.060 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001285122305 910093145 /nfs/dbraw/zinc/09/31/45/910093145.db2.gz IGLVODGQZASDSP-CQSZACIVSA-N 1 2 304.394 1.299 20 30 DDEDLO C#CCCCC(=O)NC[C@@](C)(NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001285144307 910119803 /nfs/dbraw/zinc/11/98/03/910119803.db2.gz PJADMAFULDHWME-QGZVFWFLSA-N 1 2 316.405 1.088 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)[C@H](C)NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001285372287 910436782 /nfs/dbraw/zinc/43/67/82/910436782.db2.gz AUSULDCGOGINHQ-UONOGXRCSA-N 1 2 318.421 1.639 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001285375922 910440062 /nfs/dbraw/zinc/44/00/62/910440062.db2.gz QHZKWACAVMKCKF-OLZOCXBDSA-N 1 2 320.437 1.954 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001285375922 910440077 /nfs/dbraw/zinc/44/00/77/910440077.db2.gz QHZKWACAVMKCKF-OLZOCXBDSA-N 1 2 320.437 1.954 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001285813582 911225884 /nfs/dbraw/zinc/22/58/84/911225884.db2.gz PUDQOPKUYPQTPH-GFCCVEGCSA-N 1 2 306.410 1.518 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001294731166 915322750 /nfs/dbraw/zinc/32/27/50/915322750.db2.gz CTTBGFYMIJUYOB-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001296442754 916421318 /nfs/dbraw/zinc/42/13/18/916421318.db2.gz HHBXJIJSTXSIDZ-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO C[C@@H](CNC(=O)c1ccc(C#N)[nH]1)[NH2+]Cc1nc(C2CC2)no1 ZINC001376705616 919111011 /nfs/dbraw/zinc/11/10/11/919111011.db2.gz BCGGPIOGKTWKFA-VIFPVBQESA-N 1 2 314.349 1.055 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C2(CNC(=O)[C@@H](C)C#N)CCC2)o1 ZINC001376721311 919168262 /nfs/dbraw/zinc/16/82/62/919168262.db2.gz LYHCGUVUFNMZNV-NSHDSACASA-N 1 2 305.382 1.481 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)o1 ZINC001376911245 919760118 /nfs/dbraw/zinc/76/01/18/919760118.db2.gz PTRGSTSEVAWXGF-NWDGAFQWSA-N 1 2 307.398 1.633 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)o1 ZINC001376911245 919760122 /nfs/dbraw/zinc/76/01/22/919760122.db2.gz PTRGSTSEVAWXGF-NWDGAFQWSA-N 1 2 307.398 1.633 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cnn(-c2ccccn2)c1 ZINC001377232201 920717858 /nfs/dbraw/zinc/71/78/58/920717858.db2.gz UFSWQELPHVAEAN-UHFFFAOYSA-N 1 2 319.796 1.681 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cnn(-c2ccccn2)c1 ZINC001377232201 920717870 /nfs/dbraw/zinc/71/78/70/920717870.db2.gz UFSWQELPHVAEAN-UHFFFAOYSA-N 1 2 319.796 1.681 20 30 DDEDLO CC(C)c1nsc(C[N@@H+]2CC[C@H](CNC(=O)[C@H](C)C#N)C2)n1 ZINC001377436230 921903812 /nfs/dbraw/zinc/90/38/12/921903812.db2.gz JEXGSZZNMQVEPL-VXGBXAGGSA-N 1 2 321.450 1.759 20 30 DDEDLO CC(C)c1nsc(C[N@H+]2CC[C@H](CNC(=O)[C@H](C)C#N)C2)n1 ZINC001377436230 921903821 /nfs/dbraw/zinc/90/38/21/921903821.db2.gz JEXGSZZNMQVEPL-VXGBXAGGSA-N 1 2 321.450 1.759 20 30 DDEDLO Cc1conc1C[N@@H+](C)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001378020036 923721188 /nfs/dbraw/zinc/72/11/88/923721188.db2.gz HMZJXXSZNVZLFU-LLVKDONJSA-N 1 2 301.350 1.433 20 30 DDEDLO Cc1conc1C[N@H+](C)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001378020036 923721199 /nfs/dbraw/zinc/72/11/99/923721199.db2.gz HMZJXXSZNVZLFU-LLVKDONJSA-N 1 2 301.350 1.433 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H](C)CNC(=O)c2c[nH]c(C#N)c2)oc1C ZINC001378024869 923739798 /nfs/dbraw/zinc/73/97/98/923739798.db2.gz SCOYETQOXBJECA-JTQLQIEISA-N 1 2 315.377 1.742 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H](C)CNC(=O)c2c[nH]c(C#N)c2)oc1C ZINC001378024869 923739811 /nfs/dbraw/zinc/73/98/11/923739811.db2.gz SCOYETQOXBJECA-JTQLQIEISA-N 1 2 315.377 1.742 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)NCC1CCN(CC#N)CC1)C2 ZINC000614266990 361781486 /nfs/dbraw/zinc/78/14/86/361781486.db2.gz GHXOEZVVQWJHAF-CQSZACIVSA-N 1 2 315.421 1.175 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)NCC1CCN(CC#N)CC1)CC2 ZINC000614266990 361781489 /nfs/dbraw/zinc/78/14/89/361781489.db2.gz GHXOEZVVQWJHAF-CQSZACIVSA-N 1 2 315.421 1.175 20 30 DDEDLO C=CCCOCCCCC(=O)NC[C@H]1CN2CC[N@@H+]1CCC2 ZINC000614503954 361890274 /nfs/dbraw/zinc/89/02/74/361890274.db2.gz UFYPUEQFNDQGCJ-INIZCTEOSA-N 1 2 309.454 1.256 20 30 DDEDLO C=CCCOCCCCC(=O)NC[C@H]1CN2CC[N@H+]1CCC2 ZINC000614503954 361890278 /nfs/dbraw/zinc/89/02/78/361890278.db2.gz UFYPUEQFNDQGCJ-INIZCTEOSA-N 1 2 309.454 1.256 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000181298520 199278327 /nfs/dbraw/zinc/27/83/27/199278327.db2.gz AITHGFFZVGNAKD-CYBMUJFWSA-N 1 2 309.373 1.800 20 30 DDEDLO C[C@H]1C[N@H+](CC#Cc2ccccc2)CCN1C(=O)c1cnn(C)c1 ZINC000452042435 231278600 /nfs/dbraw/zinc/27/86/00/231278600.db2.gz ODZKYGSMAWBLBB-INIZCTEOSA-N 1 2 322.412 1.618 20 30 DDEDLO C[C@H]1C[N@@H+](CC#Cc2ccccc2)CCN1C(=O)c1cnn(C)c1 ZINC000452042435 231278603 /nfs/dbraw/zinc/27/86/03/231278603.db2.gz ODZKYGSMAWBLBB-INIZCTEOSA-N 1 2 322.412 1.618 20 30 DDEDLO C=CCN(Cc1c[nH+]c2c(C)cccn12)[C@H]1CCS(=O)(=O)C1 ZINC000092361410 185314020 /nfs/dbraw/zinc/31/40/20/185314020.db2.gz ZVQYJNFZIYQLQI-AWEZNQCLSA-N 1 2 319.430 1.818 20 30 DDEDLO CN(C)c1[nH+]cc(CNC(=O)[C@@H]2CCn3cncc3C2)n1C ZINC000328995055 539300184 /nfs/dbraw/zinc/30/01/84/539300184.db2.gz UGUOHSNXEJMXPC-LLVKDONJSA-N 1 2 302.382 1.402 20 30 DDEDLO O=C(NCc1cn2ccccc2[nH+]1)N1CC2(C1)CCCOC2 ZINC000329474042 539304917 /nfs/dbraw/zinc/30/49/17/539304917.db2.gz OTGJXMGZGGWPKZ-UHFFFAOYSA-N 1 2 300.362 1.861 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@H+](Cc2cc(C#N)ccc2OC)C1 ZINC000092842379 185345616 /nfs/dbraw/zinc/34/56/16/185345616.db2.gz ZEJBBXMKFVHUSV-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2OC)C1 ZINC000092842379 185345617 /nfs/dbraw/zinc/34/56/17/185345617.db2.gz ZEJBBXMKFVHUSV-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)NCC3CCC(C#N)CC3)C[C@@H]21 ZINC000332764048 539311734 /nfs/dbraw/zinc/31/17/34/539311734.db2.gz ZOGBNZSTXURQOC-CKUJCDMFSA-N 1 2 320.437 1.431 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)NCC3CCC(C#N)CC3)C[C@@H]21 ZINC000332764048 539311735 /nfs/dbraw/zinc/31/17/35/539311735.db2.gz ZOGBNZSTXURQOC-CKUJCDMFSA-N 1 2 320.437 1.431 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CC3(C2)CCOCC3)c[nH+]1 ZINC000330176049 529778806 /nfs/dbraw/zinc/77/88/06/529778806.db2.gz JQIAMVPLSVEORS-UHFFFAOYSA-N 1 2 304.394 1.674 20 30 DDEDLO CN(C)c1ccc(CNS(=O)(=O)c2ccc(C#N)s2)c[nH+]1 ZINC000435421399 529782147 /nfs/dbraw/zinc/78/21/47/529782147.db2.gz HQZLEVYOZCWCOD-UHFFFAOYSA-N 1 2 322.415 1.559 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3nc(C4CC4)cs3)C[C@H]21 ZINC000329922056 529786327 /nfs/dbraw/zinc/78/63/27/529786327.db2.gz GCEPNHCXWVKLAH-VXGBXAGGSA-N 1 2 308.407 1.581 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3nc(C4CC4)cs3)C[C@H]21 ZINC000329922056 529786329 /nfs/dbraw/zinc/78/63/29/529786329.db2.gz GCEPNHCXWVKLAH-VXGBXAGGSA-N 1 2 308.407 1.581 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CCC(=O)OCC)Cc1cccnc1 ZINC000272783845 210187124 /nfs/dbraw/zinc/18/71/24/210187124.db2.gz ZXUQFQULTOONMF-UHFFFAOYSA-N 1 2 305.378 1.139 20 30 DDEDLO C=CCNC(=O)C[N@H+](CCC(=O)OCC)Cc1cccnc1 ZINC000272783845 210187127 /nfs/dbraw/zinc/18/71/27/210187127.db2.gz ZXUQFQULTOONMF-UHFFFAOYSA-N 1 2 305.378 1.139 20 30 DDEDLO C[N@H+](CC(=O)NC1(C#N)CCC1)Cc1ccc([S@@](C)=O)cc1 ZINC000347051190 529831593 /nfs/dbraw/zinc/83/15/93/529831593.db2.gz JUSCWWYKPZIFKZ-JOCHJYFZSA-N 1 2 319.430 1.418 20 30 DDEDLO C[N@@H+](CC(=O)NC1(C#N)CCC1)Cc1ccc([S@@](C)=O)cc1 ZINC000347051190 529831594 /nfs/dbraw/zinc/83/15/94/529831594.db2.gz JUSCWWYKPZIFKZ-JOCHJYFZSA-N 1 2 319.430 1.418 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)C1 ZINC000615536651 362326160 /nfs/dbraw/zinc/32/61/60/362326160.db2.gz FZFXOKNWQOXAEB-LLVKDONJSA-N 1 2 319.262 1.593 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)Nc2ccc(C(N)=O)c(F)c2)C1 ZINC000330852481 530071077 /nfs/dbraw/zinc/07/10/77/530071077.db2.gz QTBMPFZWUJLBEJ-LLVKDONJSA-N 1 2 323.372 1.281 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)Nc2ccc(C(N)=O)c(F)c2)C1 ZINC000330852481 530071078 /nfs/dbraw/zinc/07/10/78/530071078.db2.gz QTBMPFZWUJLBEJ-LLVKDONJSA-N 1 2 323.372 1.281 20 30 DDEDLO Cc1cc(C(=O)N(C)CC[N@@H+]2C[C@@H](C)O[C@@H](C)C2)ncc1C#N ZINC000457763509 232122362 /nfs/dbraw/zinc/12/23/62/232122362.db2.gz KZJMRFYRAJCSQL-OKILXGFUSA-N 1 2 316.405 1.443 20 30 DDEDLO Cc1cc(C(=O)N(C)CC[N@H+]2C[C@@H](C)O[C@@H](C)C2)ncc1C#N ZINC000457763509 232122366 /nfs/dbraw/zinc/12/23/66/232122366.db2.gz KZJMRFYRAJCSQL-OKILXGFUSA-N 1 2 316.405 1.443 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@H]1CCCO1 ZINC000147420774 186039468 /nfs/dbraw/zinc/03/94/68/186039468.db2.gz NISDYTNPBSFRBO-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@H]1CCCO1 ZINC000147420774 186039469 /nfs/dbraw/zinc/03/94/69/186039469.db2.gz NISDYTNPBSFRBO-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO COCC[NH+]1CCN(C(=O)CSc2ccc(C#N)cc2)CC1 ZINC000171487120 186167692 /nfs/dbraw/zinc/16/76/92/186167692.db2.gz POPAXDIIILGLIN-UHFFFAOYSA-N 1 2 319.430 1.441 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2csc(CC)n2)CC1 ZINC000042624060 352345467 /nfs/dbraw/zinc/34/54/67/352345467.db2.gz HSMHLJLCWOAAFR-UHFFFAOYSA-N 1 2 308.451 1.125 20 30 DDEDLO Cc1nc(C)n(C[C@H]2C[N@H+](Cc3ccc(C#N)cc3)CCO2)n1 ZINC000331751494 234080597 /nfs/dbraw/zinc/08/05/97/234080597.db2.gz ZHOPFDDIZKCPMW-QGZVFWFLSA-N 1 2 311.389 1.668 20 30 DDEDLO Cc1nc(C)n(C[C@H]2C[N@@H+](Cc3ccc(C#N)cc3)CCO2)n1 ZINC000331751494 234080600 /nfs/dbraw/zinc/08/06/00/234080600.db2.gz ZHOPFDDIZKCPMW-QGZVFWFLSA-N 1 2 311.389 1.668 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](Cc1ccccc1OC)C1CC1 ZINC000052165792 352601623 /nfs/dbraw/zinc/60/16/23/352601623.db2.gz KBQQPSBVQQGDSZ-UHFFFAOYSA-N 1 2 317.389 1.671 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](Cc1ccccc1OC)C1CC1 ZINC000052165792 352601625 /nfs/dbraw/zinc/60/16/25/352601625.db2.gz KBQQPSBVQQGDSZ-UHFFFAOYSA-N 1 2 317.389 1.671 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(OCC)cc2)CC1 ZINC000053422687 352654771 /nfs/dbraw/zinc/65/47/71/352654771.db2.gz TVMGFKCYHSKHIT-UHFFFAOYSA-N 1 2 317.433 1.505 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)c2ccc(C#N)s2)CCN1C ZINC000331801127 234137891 /nfs/dbraw/zinc/13/78/91/234137891.db2.gz JPGKYCOQVMZBMO-GFCCVEGCSA-N 1 2 315.402 1.482 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N[C@@H](c1nccs1)c1ccccc1 ZINC000072906506 191250291 /nfs/dbraw/zinc/25/02/91/191250291.db2.gz BVBPWFPOWQYMBR-QGZVFWFLSA-N 1 2 323.421 1.917 20 30 DDEDLO N#Cc1ccc(OCC[N@H+]2Cc3ccccc3C[C@@H]2C(N)=O)cc1 ZINC000060942676 352882950 /nfs/dbraw/zinc/88/29/50/352882950.db2.gz PPBQLNZNQGTEEK-GOSISDBHSA-N 1 2 321.380 1.849 20 30 DDEDLO N#Cc1ccc(OCC[N@@H+]2Cc3ccccc3C[C@@H]2C(N)=O)cc1 ZINC000060942676 352882955 /nfs/dbraw/zinc/88/29/55/352882955.db2.gz PPBQLNZNQGTEEK-GOSISDBHSA-N 1 2 321.380 1.849 20 30 DDEDLO CCNC(=O)N1CC[NH+](CCOc2ccc(C#N)cc2)CC1 ZINC000073021969 191269159 /nfs/dbraw/zinc/26/91/59/191269159.db2.gz HSCDGWCELIYOQC-UHFFFAOYSA-N 1 2 302.378 1.284 20 30 DDEDLO CS(=O)(=O)NCC[N@@H+]1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000076152941 353402070 /nfs/dbraw/zinc/40/20/70/353402070.db2.gz IDKQUWVGOSBMKJ-GJZGRUSLSA-N 1 2 307.419 1.307 20 30 DDEDLO CS(=O)(=O)NCC[N@H+]1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000076152941 353402071 /nfs/dbraw/zinc/40/20/71/353402071.db2.gz IDKQUWVGOSBMKJ-GJZGRUSLSA-N 1 2 307.419 1.307 20 30 DDEDLO CC(C)N1CC[C@H]([NH+]2CCN(c3ncccc3C#N)CC2)C1=O ZINC000175036628 198419214 /nfs/dbraw/zinc/41/92/14/198419214.db2.gz JOHHRTOPMANHBS-HNNXBMFYSA-N 1 2 313.405 1.085 20 30 DDEDLO O=C(C#Cc1ccccc1)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000081123608 353652758 /nfs/dbraw/zinc/65/27/58/353652758.db2.gz FDVPQLFKOKNBFN-UHFFFAOYSA-N 1 2 321.380 1.586 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)NCCOc1ccc(C#N)cc1 ZINC000080584607 353615945 /nfs/dbraw/zinc/61/59/45/353615945.db2.gz XSBVEJREWIUVBU-ZDUSSCGKSA-N 1 2 313.361 1.521 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000088699128 353757661 /nfs/dbraw/zinc/75/76/61/353757661.db2.gz FFWBOQVERXSSQM-UHFFFAOYSA-N 1 2 318.377 1.327 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCCN(CC(F)F)CC2)cc1 ZINC000091084495 353810009 /nfs/dbraw/zinc/81/00/09/353810009.db2.gz BSXIXZSKCPFGGS-OAHLLOKOSA-N 1 2 322.359 1.357 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCCN(CC(F)F)CC2)cc1 ZINC000091084495 353810013 /nfs/dbraw/zinc/81/00/13/353810013.db2.gz BSXIXZSKCPFGGS-OAHLLOKOSA-N 1 2 322.359 1.357 20 30 DDEDLO CC(=O)NCCCN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000150161679 354175110 /nfs/dbraw/zinc/17/51/10/354175110.db2.gz SXRLHHYSPKJUSN-UHFFFAOYSA-N 1 2 300.406 1.202 20 30 DDEDLO C[C@H](C#N)CNC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000182378338 354271625 /nfs/dbraw/zinc/27/16/25/354271625.db2.gz ZHTVIOSTGQAJRD-GDBMZVCRSA-N 1 2 316.405 1.519 20 30 DDEDLO COC(=O)[C@H]([NH2+]CCC(=O)Nc1ccccc1C#N)C(C)C ZINC000315140924 354491388 /nfs/dbraw/zinc/49/13/88/354491388.db2.gz WOUGHPLRZVUJKZ-OAHLLOKOSA-N 1 2 303.362 1.674 20 30 DDEDLO C[C@@H](c1cccc([N+](=O)[O-])c1)[NH+]1CCN(C(=O)CC#N)CC1 ZINC000579123995 354716300 /nfs/dbraw/zinc/71/63/00/354716300.db2.gz BNVUXKPGNZCZCA-LBPRGKRZSA-N 1 2 302.334 1.714 20 30 DDEDLO COc1cccc(CO[C@@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000581541499 354734933 /nfs/dbraw/zinc/73/49/33/354734933.db2.gz GKVMTSVTCDSGQJ-SUMWQHHRSA-N 1 2 319.405 1.560 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000590005477 355039328 /nfs/dbraw/zinc/03/93/28/355039328.db2.gz ZMJUDYUZEVIRJG-ITGUQSILSA-N 1 2 317.414 1.411 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000590005477 355039331 /nfs/dbraw/zinc/03/93/31/355039331.db2.gz ZMJUDYUZEVIRJG-ITGUQSILSA-N 1 2 317.414 1.411 20 30 DDEDLO CCN1C(=O)N=NC1C1CCN(c2cc(C)[nH+]cc2C#N)CC1 ZINC000591825108 355392239 /nfs/dbraw/zinc/39/22/39/355392239.db2.gz ZTBZSHBLTTVILO-UHFFFAOYSA-N 1 2 312.377 1.963 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](O)C[N@H+](CC#Cc2ccccc2Cl)C1 ZINC000592197238 355532782 /nfs/dbraw/zinc/53/27/82/355532782.db2.gz OLPKRZWDOGJBJR-ZIAGYGMSSA-N 1 2 307.777 1.547 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](O)C[N@@H+](CC#Cc2ccccc2Cl)C1 ZINC000592197238 355532786 /nfs/dbraw/zinc/53/27/86/355532786.db2.gz OLPKRZWDOGJBJR-ZIAGYGMSSA-N 1 2 307.777 1.547 20 30 DDEDLO COc1ccccc1NC(=O)[C@@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592144971 355510729 /nfs/dbraw/zinc/51/07/29/355510729.db2.gz LEKBUDAOFZYNCJ-WBMJQRKESA-N 1 2 303.362 1.373 20 30 DDEDLO COc1ccccc1NC(=O)[C@@H](C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592144971 355510732 /nfs/dbraw/zinc/51/07/32/355510732.db2.gz LEKBUDAOFZYNCJ-WBMJQRKESA-N 1 2 303.362 1.373 20 30 DDEDLO C[C@H](C(=O)NC(=O)Nc1ccccc1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146747 355511406 /nfs/dbraw/zinc/51/14/06/355511406.db2.gz FTGPIZVXUFUOPI-WBMJQRKESA-N 1 2 316.361 1.074 20 30 DDEDLO C[C@H](C(=O)NC(=O)Nc1ccccc1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146747 355511409 /nfs/dbraw/zinc/51/14/09/355511409.db2.gz FTGPIZVXUFUOPI-WBMJQRKESA-N 1 2 316.361 1.074 20 30 DDEDLO CCOc1ccccc1NC(=O)[C@@H](C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592147745 355512990 /nfs/dbraw/zinc/51/29/90/355512990.db2.gz RRPPICRQJALARS-CXAGYDPISA-N 1 2 317.389 1.763 20 30 DDEDLO CCOc1ccccc1NC(=O)[C@@H](C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592147745 355512992 /nfs/dbraw/zinc/51/29/92/355512992.db2.gz RRPPICRQJALARS-CXAGYDPISA-N 1 2 317.389 1.763 20 30 DDEDLO Cc1ccc(C)c(NC(=O)[C@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592147945 355513556 /nfs/dbraw/zinc/51/35/56/355513556.db2.gz UMDJUAINSHYBQF-WMLDXEAASA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1ccc(C)c(NC(=O)[C@H](C)[N@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592147945 355513559 /nfs/dbraw/zinc/51/35/59/355513559.db2.gz UMDJUAINSHYBQF-WMLDXEAASA-N 1 2 301.390 1.981 20 30 DDEDLO CCc1ccc(NC(=O)[C@@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592147841 355514201 /nfs/dbraw/zinc/51/42/01/355514201.db2.gz OYOJZUNIWJZGAL-CXAGYDPISA-N 1 2 301.390 1.926 20 30 DDEDLO CCc1ccc(NC(=O)[C@@H](C)[N@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592147841 355514204 /nfs/dbraw/zinc/51/42/04/355514204.db2.gz OYOJZUNIWJZGAL-CXAGYDPISA-N 1 2 301.390 1.926 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000592150933 355517614 /nfs/dbraw/zinc/51/76/14/355517614.db2.gz JYKKJOYRBQDFFP-GDBMZVCRSA-N 1 2 303.337 1.281 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000592150933 355517617 /nfs/dbraw/zinc/51/76/17/355517617.db2.gz JYKKJOYRBQDFFP-GDBMZVCRSA-N 1 2 303.337 1.281 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCO[C@H](c4ccco4)C3)cnc12 ZINC000592504602 355604349 /nfs/dbraw/zinc/60/43/49/355604349.db2.gz KRMJJINRFATELJ-HNNXBMFYSA-N 1 2 309.329 1.767 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCO[C@H](c4ccco4)C3)cnc12 ZINC000592504602 355604351 /nfs/dbraw/zinc/60/43/51/355604351.db2.gz KRMJJINRFATELJ-HNNXBMFYSA-N 1 2 309.329 1.767 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@@H]1CCCOC1 ZINC000593069934 355786295 /nfs/dbraw/zinc/78/62/95/355786295.db2.gz LTEXHLNOPVCHGH-CQSZACIVSA-N 1 2 323.418 1.228 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@@H]1CCCOC1 ZINC000593069934 355786297 /nfs/dbraw/zinc/78/62/97/355786297.db2.gz LTEXHLNOPVCHGH-CQSZACIVSA-N 1 2 323.418 1.228 20 30 DDEDLO COc1ccc2c(c1)OC[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)C2 ZINC000593155381 355813736 /nfs/dbraw/zinc/81/37/36/355813736.db2.gz RYFRJPNKDMSEPD-CXAGYDPISA-N 1 2 317.389 1.206 20 30 DDEDLO CC[C@@H](C#N)C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000593406596 355876206 /nfs/dbraw/zinc/87/62/06/355876206.db2.gz IDHDIVQXXYNIGZ-OCCSQVGLSA-N 1 2 302.378 1.473 20 30 DDEDLO N#Cc1sccc1S(=O)(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000593583033 355933675 /nfs/dbraw/zinc/93/36/75/355933675.db2.gz VNQVUPOAQKRZSK-VIFPVBQESA-N 1 2 308.388 1.110 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)NCC1(C#N)CCCC1 ZINC000593429689 355883162 /nfs/dbraw/zinc/88/31/62/355883162.db2.gz CHKCQZGYOBULFK-UHFFFAOYSA-N 1 2 308.426 1.480 20 30 DDEDLO N#CC1(CNC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CCCC1 ZINC000593431838 355883841 /nfs/dbraw/zinc/88/38/41/355883841.db2.gz WRQPRZJBCPTRMK-OKILXGFUSA-N 1 2 306.410 1.233 20 30 DDEDLO N#CC1(CNC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CCCC1 ZINC000593431838 355883845 /nfs/dbraw/zinc/88/38/45/355883845.db2.gz WRQPRZJBCPTRMK-OKILXGFUSA-N 1 2 306.410 1.233 20 30 DDEDLO C=CCC[C@@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)OCC ZINC000593738318 355982026 /nfs/dbraw/zinc/98/20/26/355982026.db2.gz CPZMWNIHAGDPNY-CQSZACIVSA-N 1 2 319.405 1.776 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)NC(=O)NC[C@H]1COCC[N@H+]1C ZINC000624210172 366239983 /nfs/dbraw/zinc/23/99/83/366239983.db2.gz FICZRMKOZZDPKD-IRXDYDNUSA-N 1 2 317.433 1.804 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)NC(=O)NC[C@H]1COCC[N@@H+]1C ZINC000624210172 366239987 /nfs/dbraw/zinc/23/99/87/366239987.db2.gz FICZRMKOZZDPKD-IRXDYDNUSA-N 1 2 317.433 1.804 20 30 DDEDLO Cn1c[nH+]c(CN2CCN([C@H](C#N)c3ccc(F)cc3)CC2)c1 ZINC000595423864 356486545 /nfs/dbraw/zinc/48/65/45/356486545.db2.gz DAOYYEUFHUUDLJ-QGZVFWFLSA-N 1 2 313.380 1.942 20 30 DDEDLO CCN1CC[C@@H]([NH+]2CCN([C@H](C#N)c3ccccc3)CC2)C1=O ZINC000595376507 356471119 /nfs/dbraw/zinc/47/11/19/356471119.db2.gz JRQMAXNRVIJGOR-IAGOWNOFSA-N 1 2 312.417 1.490 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CCc2nnn(C(C)(C)C)n2)CC1 ZINC000595398451 356478019 /nfs/dbraw/zinc/47/80/19/356478019.db2.gz PQDXSYFLEGYBLJ-CQSZACIVSA-N 1 2 319.457 1.136 20 30 DDEDLO COc1cc(CNC(=O)N[C@@H](C)c2[nH+]ccn2C)ccc1C#N ZINC000595636304 356587431 /nfs/dbraw/zinc/58/74/31/356587431.db2.gz RKIKCWIFLKLQEL-NSHDSACASA-N 1 2 313.361 1.861 20 30 DDEDLO CC(C)(C)OC(=O)CN1CC[C@H]([N@@H+]2CC[C@@](C)(C#N)C2)C1=O ZINC000595841153 356673413 /nfs/dbraw/zinc/67/34/13/356673413.db2.gz IWMDFBGNNJVZOO-LRDDRELGSA-N 1 2 307.394 1.165 20 30 DDEDLO CC(C)(C)OC(=O)CN1CC[C@H]([N@H+]2CC[C@@](C)(C#N)C2)C1=O ZINC000595841153 356673414 /nfs/dbraw/zinc/67/34/14/356673414.db2.gz IWMDFBGNNJVZOO-LRDDRELGSA-N 1 2 307.394 1.165 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@H](C)[C@](C)(CO)C1 ZINC000595880812 356689035 /nfs/dbraw/zinc/68/90/35/356689035.db2.gz ZOVBHTMRAYCGNU-NUTKFTJISA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@H](C)[C@](C)(CO)C1 ZINC000595880812 356689038 /nfs/dbraw/zinc/68/90/38/356689038.db2.gz ZOVBHTMRAYCGNU-NUTKFTJISA-N 1 2 302.374 1.723 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC1=CCSC1 ZINC000595887568 356692553 /nfs/dbraw/zinc/69/25/53/356692553.db2.gz GEZFOZBUXVUELV-UHFFFAOYSA-N 1 2 322.455 1.937 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC1=CCSC1 ZINC000595887568 356692558 /nfs/dbraw/zinc/69/25/58/356692558.db2.gz GEZFOZBUXVUELV-UHFFFAOYSA-N 1 2 322.455 1.937 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2nc3ncccc3cc2C#N)CC1 ZINC000596077587 356764409 /nfs/dbraw/zinc/76/44/09/356764409.db2.gz KXEUTMRZRJJURF-UHFFFAOYSA-N 1 2 324.388 1.077 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000596126950 356783297 /nfs/dbraw/zinc/78/32/97/356783297.db2.gz QMWOKDPHJBVAFX-KBPBESRZSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000596126950 356783302 /nfs/dbraw/zinc/78/33/02/356783302.db2.gz QMWOKDPHJBVAFX-KBPBESRZSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNc1cccc(C#N)c1[N+](=O)[O-] ZINC000596427963 356876298 /nfs/dbraw/zinc/87/62/98/356876298.db2.gz GHHVNSGWCNBFLN-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNc1cccc(C#N)c1[N+](=O)[O-] ZINC000596427963 356876301 /nfs/dbraw/zinc/87/63/01/356876301.db2.gz GHHVNSGWCNBFLN-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)NCC1(C#N)CCCCC1 ZINC000596860194 356998229 /nfs/dbraw/zinc/99/82/29/356998229.db2.gz VUTLGVVAHUIYFC-CABCVRRESA-N 1 2 322.453 1.869 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)NCC1(C#N)CCCCC1 ZINC000596860194 356998236 /nfs/dbraw/zinc/99/82/36/356998236.db2.gz VUTLGVVAHUIYFC-CABCVRRESA-N 1 2 322.453 1.869 20 30 DDEDLO N#CCc1ccc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cc1 ZINC000596659187 356944940 /nfs/dbraw/zinc/94/49/40/356944940.db2.gz UPDJHMGOBRHDBJ-INIZCTEOSA-N 1 2 314.389 1.691 20 30 DDEDLO Cn1ncc(C2CC2)c1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000596983038 357033966 /nfs/dbraw/zinc/03/39/66/357033966.db2.gz CUVMCNIZMROFLI-UHFFFAOYSA-N 1 2 317.437 1.345 20 30 DDEDLO Cc1csc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1C#N ZINC000596905350 357012507 /nfs/dbraw/zinc/01/25/07/357012507.db2.gz RHOIEZGHGBZQIU-LBPRGKRZSA-N 1 2 320.418 1.867 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000597161332 357077509 /nfs/dbraw/zinc/07/75/09/357077509.db2.gz PJEJBWLXMAKMGB-JTQLQIEISA-N 1 2 309.316 1.287 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000597161332 357077515 /nfs/dbraw/zinc/07/75/15/357077515.db2.gz PJEJBWLXMAKMGB-JTQLQIEISA-N 1 2 309.316 1.287 20 30 DDEDLO N#CCc1cccc2c1CCN(c1nc[nH+]c3c1OCCN3)C2 ZINC000599195706 357846926 /nfs/dbraw/zinc/84/69/26/357846926.db2.gz WEMYLDYUOIXDMI-UHFFFAOYSA-N 1 2 307.357 1.910 20 30 DDEDLO N#CCc1cccc2c1CCN(c1[nH+]cnc3c1OCCN3)C2 ZINC000599195706 357846930 /nfs/dbraw/zinc/84/69/30/357846930.db2.gz WEMYLDYUOIXDMI-UHFFFAOYSA-N 1 2 307.357 1.910 20 30 DDEDLO N#CCc1cccc2c1CC[N@@H+](CC(=O)NCc1cccnc1)C2 ZINC000599678605 358022058 /nfs/dbraw/zinc/02/20/58/358022058.db2.gz JNCKPUAGVJZALN-UHFFFAOYSA-N 1 2 320.396 1.822 20 30 DDEDLO N#CCc1cccc2c1CC[N@H+](CC(=O)NCc1cccnc1)C2 ZINC000599678605 358022061 /nfs/dbraw/zinc/02/20/61/358022061.db2.gz JNCKPUAGVJZALN-UHFFFAOYSA-N 1 2 320.396 1.822 20 30 DDEDLO C=CCCOCC(=O)N1CCN(Cc2[nH+]ccn2CC)CC1 ZINC000600499934 358241713 /nfs/dbraw/zinc/24/17/13/358241713.db2.gz QCQDVMDZNGXDGA-UHFFFAOYSA-N 1 2 306.410 1.140 20 30 DDEDLO Cc1noc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)n1 ZINC000601179849 358436290 /nfs/dbraw/zinc/43/62/90/358436290.db2.gz DTCUMRYVGIFOFO-UHFFFAOYSA-N 1 2 311.345 1.208 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N[C@H](C#N)C(C)C)cc1 ZINC000602096710 358811150 /nfs/dbraw/zinc/81/11/50/358811150.db2.gz JRECUEAWWJJLAX-HZPDHXFCSA-N 1 2 301.390 1.934 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N[C@H](C#N)C(C)C)cc1 ZINC000602096710 358811152 /nfs/dbraw/zinc/81/11/52/358811152.db2.gz JRECUEAWWJJLAX-HZPDHXFCSA-N 1 2 301.390 1.934 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(C(=O)OCC)[nH]2)C1=O ZINC000602647769 359098640 /nfs/dbraw/zinc/09/86/40/359098640.db2.gz MZPLYZLGNYWZBY-CQSZACIVSA-N 1 2 305.378 1.410 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(C(=O)OCC)[nH]2)C1=O ZINC000602647769 359098641 /nfs/dbraw/zinc/09/86/41/359098641.db2.gz MZPLYZLGNYWZBY-CQSZACIVSA-N 1 2 305.378 1.410 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[NH+]1CCN(CC(F)(F)CO)CC1 ZINC000602875108 359258868 /nfs/dbraw/zinc/25/88/68/359258868.db2.gz LJGBOMVUAFUORS-ZDUSSCGKSA-N 1 2 309.360 1.864 20 30 DDEDLO CCN(CC)C(=O)c1ccc(NC(=O)C[NH2+][C@@H](C)CC#N)cc1 ZINC000602867484 359251545 /nfs/dbraw/zinc/25/15/45/359251545.db2.gz CNPOJQCAKBEEPH-ZDUSSCGKSA-N 1 2 316.405 1.999 20 30 DDEDLO C[C@@H](CC#N)[NH2+][C@@H](C)C(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000602869259 359253818 /nfs/dbraw/zinc/25/38/18/359253818.db2.gz NCODCDJFWNZTGX-KBPBESRZSA-N 1 2 318.396 1.755 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@@](COC)(C(=O)OC(C)(C)C)C1 ZINC000602964888 359329351 /nfs/dbraw/zinc/32/93/51/359329351.db2.gz VUKZUDWFJDFZQL-MLGOLLRUSA-N 1 2 313.394 1.394 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@@](COC)(C(=O)OC(C)(C)C)C1 ZINC000602964888 359329353 /nfs/dbraw/zinc/32/93/53/359329353.db2.gz VUKZUDWFJDFZQL-MLGOLLRUSA-N 1 2 313.394 1.394 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)Nc1c(C#N)cnn1-c1ccccc1 ZINC000603283152 359540302 /nfs/dbraw/zinc/54/03/02/359540302.db2.gz IOWBPKBWHRAVQO-CQSZACIVSA-N 1 2 311.389 1.877 20 30 DDEDLO C=CCC[C@H](C(=O)NC[C@@H]1C[NH+]2CCN1CC2)c1ccccc1 ZINC000188742641 200298446 /nfs/dbraw/zinc/29/84/46/200298446.db2.gz UWSRJUASRMTRGL-MSOLQXFVSA-N 1 2 313.445 1.852 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)N(CCC#N)CCC#N)C(C)(C)CO1 ZINC000609672042 360343064 /nfs/dbraw/zinc/34/30/64/360343064.db2.gz VMMMJQVCFMJUSS-UHFFFAOYSA-N 1 2 306.410 1.532 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)N(CCC#N)CCC#N)C(C)(C)CO1 ZINC000609672042 360343068 /nfs/dbraw/zinc/34/30/68/360343068.db2.gz VMMMJQVCFMJUSS-UHFFFAOYSA-N 1 2 306.410 1.532 20 30 DDEDLO COCC[N@H+](CCC#N)CCc1nnnn1-c1ccccc1 ZINC000610511666 360457581 /nfs/dbraw/zinc/45/75/81/360457581.db2.gz FFKNQPJMIJITQN-UHFFFAOYSA-N 1 2 300.366 1.067 20 30 DDEDLO COCC[N@@H+](CCC#N)CCc1nnnn1-c1ccccc1 ZINC000610511666 360457587 /nfs/dbraw/zinc/45/75/87/360457587.db2.gz FFKNQPJMIJITQN-UHFFFAOYSA-N 1 2 300.366 1.067 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccnc(C#N)c1 ZINC000610994335 360595005 /nfs/dbraw/zinc/59/50/05/360595005.db2.gz DCHLZJARRPSZCM-CYBMUJFWSA-N 1 2 319.372 1.326 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccnc(C#N)c1 ZINC000610994335 360595008 /nfs/dbraw/zinc/59/50/08/360595008.db2.gz DCHLZJARRPSZCM-CYBMUJFWSA-N 1 2 319.372 1.326 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)CNc2ccc(C#N)cc2)C1 ZINC000611124190 360629423 /nfs/dbraw/zinc/62/94/23/360629423.db2.gz QTYUFVJNEMOYMQ-AWEZNQCLSA-N 1 2 309.373 1.720 20 30 DDEDLO N#Cc1ccc(CNC(=O)C2([NH+]3CCOCC3)CCC2)c(F)c1 ZINC000611289873 360691331 /nfs/dbraw/zinc/69/13/31/360691331.db2.gz SOHQKFNOPUPXBY-UHFFFAOYSA-N 1 2 317.364 1.568 20 30 DDEDLO N#Cc1cnn(-c2ccccc2)c1NC(=O)C[N@H+]1CC=CCC1 ZINC000611517172 360743773 /nfs/dbraw/zinc/74/37/73/360743773.db2.gz FYQLVOKHSLQLBH-UHFFFAOYSA-N 1 2 307.357 1.944 20 30 DDEDLO N#Cc1cnn(-c2ccccc2)c1NC(=O)C[N@@H+]1CC=CCC1 ZINC000611517172 360743777 /nfs/dbraw/zinc/74/37/77/360743777.db2.gz FYQLVOKHSLQLBH-UHFFFAOYSA-N 1 2 307.357 1.944 20 30 DDEDLO C[C@H](CNC(=O)Nc1ccccc1N(C)C)[NH+]1CCOCC1 ZINC000330399207 223110962 /nfs/dbraw/zinc/11/09/62/223110962.db2.gz IGYGMCOQJWNPBT-CYBMUJFWSA-N 1 2 306.410 1.799 20 30 DDEDLO Cc1ccc(C(=O)N[C@@H](CO)C[NH+]2CCOCC2)cc1Cl ZINC000330469402 223119799 /nfs/dbraw/zinc/11/97/99/223119799.db2.gz ZEAFIFCQHGLNBE-CYBMUJFWSA-N 1 2 312.797 1.646 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)CCCC1 ZINC000612674378 361104487 /nfs/dbraw/zinc/10/44/87/361104487.db2.gz LTVFZYBLOGFDKC-CQSZACIVSA-N 1 2 322.434 1.934 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@@H](c1ccc(Cl)cc1)[C@H]1C[N@H+](C)CCO1 ZINC000613153914 361295896 /nfs/dbraw/zinc/29/58/96/361295896.db2.gz HTVPLHANYVRKID-ZNMIVQPWSA-N 1 2 324.808 1.375 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@@H](c1ccc(Cl)cc1)[C@H]1C[N@@H+](C)CCO1 ZINC000613153914 361295904 /nfs/dbraw/zinc/29/59/04/361295904.db2.gz HTVPLHANYVRKID-ZNMIVQPWSA-N 1 2 324.808 1.375 20 30 DDEDLO COCC1(C#N)CCN(C(=O)N[C@H](C)Cn2cc[nH+]c2)CC1 ZINC000341258566 223287323 /nfs/dbraw/zinc/28/73/23/223287323.db2.gz KMFVJZMLRSRMJI-CYBMUJFWSA-N 1 2 305.382 1.233 20 30 DDEDLO CN(C)c1c[nH+]ccc1NC(=O)c1ncc(C#N)cc1Cl ZINC000614050535 361676080 /nfs/dbraw/zinc/67/60/80/361676080.db2.gz HATLDAPMCVVFCA-UHFFFAOYSA-N 1 2 301.737 1.742 20 30 DDEDLO C=CCOC[C@@H]([NH2+]C[C@H]1CCOc2ccccc21)C(=O)OC ZINC000619509439 364044307 /nfs/dbraw/zinc/04/43/07/364044307.db2.gz RDLOMGWBEGVMJI-UKRRQHHQSA-N 1 2 305.374 1.887 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(NC(=O)NC2CCCCC2)CC1 ZINC000619719206 364130663 /nfs/dbraw/zinc/13/06/63/364130663.db2.gz KCBRDWMRDXVARC-OAHLLOKOSA-N 1 2 323.437 1.810 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC2(C[C@H]2C(=O)N2CCCCC2)CC1 ZINC000619720307 364130805 /nfs/dbraw/zinc/13/08/05/364130805.db2.gz ZCQKQCKZRWLREJ-GJZGRUSLSA-N 1 2 320.433 1.829 20 30 DDEDLO C[C@H]1CC[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)C[C@H]1F ZINC000625312936 366843724 /nfs/dbraw/zinc/84/37/24/366843724.db2.gz HJNKBJFZNZUOSR-XJKSGUPXSA-N 1 2 314.360 1.966 20 30 DDEDLO C[C@H]1CC[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)C[C@H]1F ZINC000625312936 366843725 /nfs/dbraw/zinc/84/37/25/366843725.db2.gz HJNKBJFZNZUOSR-XJKSGUPXSA-N 1 2 314.360 1.966 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@@H]2CS(=O)(=O)Cc3ccccc32)C1=O ZINC000621354849 364818356 /nfs/dbraw/zinc/81/83/56/364818356.db2.gz WMMAJEIXNIEEDD-HUUCEWRRSA-N 1 2 320.414 1.033 20 30 DDEDLO Cc1nc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)c[nH]1 ZINC000264907366 204257579 /nfs/dbraw/zinc/25/75/79/204257579.db2.gz YNHFJBDJZBHSID-UHFFFAOYSA-N 1 2 309.373 1.548 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H](S(C)(=O)=O)C2)ccc1C#N ZINC000282510692 217051963 /nfs/dbraw/zinc/05/19/63/217051963.db2.gz YWEXYHPASYBNRU-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H](S(C)(=O)=O)C2)ccc1C#N ZINC000282510692 217051965 /nfs/dbraw/zinc/05/19/65/217051965.db2.gz YWEXYHPASYBNRU-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](C(=O)OC)C1 ZINC000267571938 206151483 /nfs/dbraw/zinc/15/14/83/206151483.db2.gz DOYAMCHZNACJQF-KBPBESRZSA-N 1 2 302.330 1.267 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](C(=O)OC)C1 ZINC000267571938 206151486 /nfs/dbraw/zinc/15/14/86/206151486.db2.gz DOYAMCHZNACJQF-KBPBESRZSA-N 1 2 302.330 1.267 20 30 DDEDLO COC(C)(C)C[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000404228535 307297977 /nfs/dbraw/zinc/29/79/77/307297977.db2.gz FCVZOHBAJFBBCL-UHFFFAOYSA-N 1 2 310.419 1.689 20 30 DDEDLO COC(C)(C)C[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000404228535 307297978 /nfs/dbraw/zinc/29/79/78/307297978.db2.gz FCVZOHBAJFBBCL-UHFFFAOYSA-N 1 2 310.419 1.689 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000274364358 211550524 /nfs/dbraw/zinc/55/05/24/211550524.db2.gz TXPPMQBBXLBRIT-AWEZNQCLSA-N 1 2 306.410 1.756 20 30 DDEDLO CC(C)(C)c1nnc(C[NH2+]Cc2cnc3c(C#N)cnn3c2)[nH]1 ZINC000567352584 304213904 /nfs/dbraw/zinc/21/39/04/304213904.db2.gz HIFUVOGTHWYZMP-UHFFFAOYSA-N 1 2 310.365 1.306 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000284634975 218109205 /nfs/dbraw/zinc/10/92/05/218109205.db2.gz OEAPKLOBUBIGDA-HOTGVXAUSA-N 1 2 314.389 1.804 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000284634975 218109209 /nfs/dbraw/zinc/10/92/09/218109209.db2.gz OEAPKLOBUBIGDA-HOTGVXAUSA-N 1 2 314.389 1.804 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)Cc2cccc(C#N)c2)C[C@@H](C)[NH+]1C ZINC000339068942 250278079 /nfs/dbraw/zinc/27/80/79/250278079.db2.gz SKKVPGUVKMICJM-CHWSQXEVSA-N 1 2 307.419 1.412 20 30 DDEDLO COCC[N@H+](CC(=O)NCC1CC1)Cc1ccc(C#N)cc1 ZINC000063753906 184287488 /nfs/dbraw/zinc/28/74/88/184287488.db2.gz QFBRRJOYMRWNAW-UHFFFAOYSA-N 1 2 301.390 1.533 20 30 DDEDLO COCC[N@@H+](CC(=O)NCC1CC1)Cc1ccc(C#N)cc1 ZINC000063753906 184287490 /nfs/dbraw/zinc/28/74/90/184287490.db2.gz QFBRRJOYMRWNAW-UHFFFAOYSA-N 1 2 301.390 1.533 20 30 DDEDLO Cc1cc(N[C@@H](C)C[NH+]2CCOCC2)c(C#N)cc1[N+](=O)[O-] ZINC000413067694 224130147 /nfs/dbraw/zinc/13/01/47/224130147.db2.gz WYPPKVLEBBFDLP-LBPRGKRZSA-N 1 2 304.350 1.908 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCc2cccc(F)c2C1)C1CC1 ZINC000158071610 197240371 /nfs/dbraw/zinc/24/03/71/197240371.db2.gz KQMHWHSWMIWSJI-QGZVFWFLSA-N 1 2 301.365 1.992 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCc2cccc(F)c2C1)C1CC1 ZINC000158071610 197240373 /nfs/dbraw/zinc/24/03/73/197240373.db2.gz KQMHWHSWMIWSJI-QGZVFWFLSA-N 1 2 301.365 1.992 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CC[C@H](n3cccn3)C2)c1C#N ZINC000579779316 422828941 /nfs/dbraw/zinc/82/89/41/422828941.db2.gz GHXQAIBOVQVKKR-AWEZNQCLSA-N 1 2 310.357 1.988 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CC[C@H](n3cccn3)C2)c1C#N ZINC000579779316 422828945 /nfs/dbraw/zinc/82/89/45/422828945.db2.gz GHXQAIBOVQVKKR-AWEZNQCLSA-N 1 2 310.357 1.988 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@@H](C)c2ccc(F)cc2F)CC1 ZINC000072014104 406871542 /nfs/dbraw/zinc/87/15/42/406871542.db2.gz HHNPDZWUBHYJPM-ZDUSSCGKSA-N 1 2 323.387 1.946 20 30 DDEDLO CCC[N@H+](CC(=O)NC)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000075644044 406938154 /nfs/dbraw/zinc/93/81/54/406938154.db2.gz GZUNJVJYRDVKII-UHFFFAOYSA-N 1 2 313.361 1.566 20 30 DDEDLO CCC[N@@H+](CC(=O)NC)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000075644044 406938155 /nfs/dbraw/zinc/93/81/55/406938155.db2.gz GZUNJVJYRDVKII-UHFFFAOYSA-N 1 2 313.361 1.566 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)C(=O)NCC[NH+]1CCOCC1 ZINC000042950465 407015864 /nfs/dbraw/zinc/01/58/64/407015864.db2.gz RNBMCVCZNRRZJX-UHFFFAOYSA-N 1 2 302.378 1.032 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCN(CC(F)F)CC3)n2c1 ZINC000092586557 407189605 /nfs/dbraw/zinc/18/96/05/407189605.db2.gz XGRIEYDCCVIBJR-UHFFFAOYSA-N 1 2 305.332 1.589 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000089152154 407125450 /nfs/dbraw/zinc/12/54/50/407125450.db2.gz PEOQNASJPWQNQB-JYJNAYRXSA-N 1 2 308.422 1.455 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000089152154 407125454 /nfs/dbraw/zinc/12/54/54/407125454.db2.gz PEOQNASJPWQNQB-JYJNAYRXSA-N 1 2 308.422 1.455 20 30 DDEDLO N#Cc1ccc(C[N@H+](CC(=O)N2CCCC2)C[C@H]2CCCO2)o1 ZINC000093666855 407200207 /nfs/dbraw/zinc/20/02/07/407200207.db2.gz YFKMKUQDHIJMDT-OAHLLOKOSA-N 1 2 317.389 1.755 20 30 DDEDLO N#Cc1ccc(C[N@@H+](CC(=O)N2CCCC2)C[C@H]2CCCO2)o1 ZINC000093666855 407200209 /nfs/dbraw/zinc/20/02/09/407200209.db2.gz YFKMKUQDHIJMDT-OAHLLOKOSA-N 1 2 317.389 1.755 20 30 DDEDLO CC(C)S(=O)(=O)CCCO[NH+]=C(N)COc1ccccc1 ZINC000122914024 407314035 /nfs/dbraw/zinc/31/40/35/407314035.db2.gz CNAWYFQFKSZBNI-UHFFFAOYSA-N 1 2 314.407 1.568 20 30 DDEDLO C=CCN(CC=C)C(=O)CO[NH+]=C(N)c1ccc(C)c(F)c1 ZINC000122549161 407305011 /nfs/dbraw/zinc/30/50/11/407305011.db2.gz CFHJXEOOGOMDJH-UHFFFAOYSA-N 1 2 305.353 1.972 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000101554463 407311582 /nfs/dbraw/zinc/31/15/82/407311582.db2.gz JUXUZGUISSMPAB-OAHLLOKOSA-N 1 2 314.433 1.985 20 30 DDEDLO C#CC[N@H+](Cc1ccc2ncccc2c1)[C@@H]1CCS(=O)(=O)C1 ZINC000124841690 407371194 /nfs/dbraw/zinc/37/11/94/407371194.db2.gz GTQVZKCAHJSYEE-MRXNPFEDSA-N 1 2 314.410 1.857 20 30 DDEDLO C#CC[N@@H+](Cc1ccc2ncccc2c1)[C@@H]1CCS(=O)(=O)C1 ZINC000124841690 407371196 /nfs/dbraw/zinc/37/11/96/407371196.db2.gz GTQVZKCAHJSYEE-MRXNPFEDSA-N 1 2 314.410 1.857 20 30 DDEDLO C#CCOc1cccc(NC(=O)N[C@H](C)C[NH+]2CCOCC2)c1 ZINC000108516776 407386768 /nfs/dbraw/zinc/38/67/68/407386768.db2.gz JONWNORZDRZVLM-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)Cc2ccc(C#N)cc2)C[C@H](C)[N@H+]1C ZINC000126717606 407420071 /nfs/dbraw/zinc/42/00/71/407420071.db2.gz IXNRLUGWJOGIKS-BETUJISGSA-N 1 2 307.419 1.412 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)Cc2ccc(C#N)cc2)C[C@H](C)[N@@H+]1C ZINC000126717606 407420072 /nfs/dbraw/zinc/42/00/72/407420072.db2.gz IXNRLUGWJOGIKS-BETUJISGSA-N 1 2 307.419 1.412 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cnc4cnccn34)CC2)nc1 ZINC000271034534 407477970 /nfs/dbraw/zinc/47/79/70/407477970.db2.gz FGLSAPWFJPDALP-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(Cc3ccc(CO)o3)CC2)cc1 ZINC000271045234 407482935 /nfs/dbraw/zinc/48/29/35/407482935.db2.gz QGZPNLYNFZWAGD-UHFFFAOYSA-N 1 2 311.385 1.961 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](Cc3ccc(CO)o3)CC2)cc1 ZINC000271045234 407482943 /nfs/dbraw/zinc/48/29/43/407482943.db2.gz QGZPNLYNFZWAGD-UHFFFAOYSA-N 1 2 311.385 1.961 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)N(C)CC(=O)Nc1ccccc1Cl ZINC000266929065 407680683 /nfs/dbraw/zinc/68/06/83/407680683.db2.gz OFMUHWMGNJNMRM-UHFFFAOYSA-N 1 2 321.808 1.692 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)N(C)CC(=O)Nc1ccccc1Cl ZINC000266929065 407680693 /nfs/dbraw/zinc/68/06/93/407680693.db2.gz OFMUHWMGNJNMRM-UHFFFAOYSA-N 1 2 321.808 1.692 20 30 DDEDLO N#CC1(C(=O)N[C@@H]2CCCN(c3cccc[nH+]3)C2)CCOCC1 ZINC000130506561 407697389 /nfs/dbraw/zinc/69/73/89/407697389.db2.gz FUPUWKCCPZGCOM-CQSZACIVSA-N 1 2 314.389 1.487 20 30 DDEDLO N#CCNC(=O)C[NH+]1CCC(Oc2ccc(F)cc2F)CC1 ZINC000171733512 407755623 /nfs/dbraw/zinc/75/56/23/407755623.db2.gz HIRINCZUVZXAGL-UHFFFAOYSA-N 1 2 309.316 1.448 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CC[C@H](O)[C@H](C)C2)c(C#N)c1C ZINC000186814759 407777248 /nfs/dbraw/zinc/77/72/48/407777248.db2.gz QVGKKRBMSAQGRE-PUYPPJJSSA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CC[C@H](O)[C@H](C)C2)c(C#N)c1C ZINC000186814759 407777252 /nfs/dbraw/zinc/77/72/52/407777252.db2.gz QVGKKRBMSAQGRE-PUYPPJJSSA-N 1 2 305.378 1.798 20 30 DDEDLO C#CCSCCNC(=O)NCc1cccnc1-n1cc[nH+]c1 ZINC000153589353 407857989 /nfs/dbraw/zinc/85/79/89/407857989.db2.gz UFDCRJCNHOOMOA-UHFFFAOYSA-N 1 2 315.402 1.433 20 30 DDEDLO CN1c2ccccc2N(C)C1=C1C(=[NH2+])N(CC(C)(C)O)CC1=O ZINC000153991638 407949146 /nfs/dbraw/zinc/94/91/46/407949146.db2.gz DYMJZWWHAMVQRL-UHFFFAOYSA-N 1 2 314.389 1.417 20 30 DDEDLO COc1ccc(C[N@@H+]2CCO[C@@H]([C@@H]3CCCO3)C2)cc1C#N ZINC000135143172 407983008 /nfs/dbraw/zinc/98/30/08/407983008.db2.gz AUZACJKPNCDBTP-DLBZAZTESA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C[N@H+]2CCO[C@@H]([C@@H]3CCCO3)C2)cc1C#N ZINC000135143172 407983017 /nfs/dbraw/zinc/98/30/17/407983017.db2.gz AUZACJKPNCDBTP-DLBZAZTESA-N 1 2 302.374 1.947 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)c1cc(C#N)c[nH]1 ZINC000134666568 407924527 /nfs/dbraw/zinc/92/45/27/407924527.db2.gz BAYXLBLBYPMSSD-UHFFFAOYSA-N 1 2 311.345 1.679 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(Cc2ccc(F)c(C#N)c2)CC1 ZINC000268173994 407930114 /nfs/dbraw/zinc/93/01/14/407930114.db2.gz BAXXMVJVRQZWCW-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1cccc(C#N)c1)C[C@@H](O)C(F)(F)F ZINC000189487928 408033087 /nfs/dbraw/zinc/03/30/87/408033087.db2.gz GXKAIJNEFIJLJB-GFCCVEGCSA-N 1 2 315.295 1.742 20 30 DDEDLO CC[N@H+](CC(=O)Nc1cccc(C#N)c1)C[C@@H](O)C(F)(F)F ZINC000189487928 408033094 /nfs/dbraw/zinc/03/30/94/408033094.db2.gz GXKAIJNEFIJLJB-GFCCVEGCSA-N 1 2 315.295 1.742 20 30 DDEDLO CC(C)N1CC[C@@H]([NH+]2CCN(c3ncccc3C#N)CC2)C1=O ZINC000175036643 408035782 /nfs/dbraw/zinc/03/57/82/408035782.db2.gz JOHHRTOPMANHBS-OAHLLOKOSA-N 1 2 313.405 1.085 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[NH2+][C@@H](CO)c1c(F)cccc1F)C1CC1 ZINC000273095812 408056978 /nfs/dbraw/zinc/05/69/78/408056978.db2.gz KVPBSVYOWSQLIE-BBRMVZONSA-N 1 2 323.343 1.396 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000268477456 408061089 /nfs/dbraw/zinc/06/10/89/408061089.db2.gz NLSJEWKUCNHUCH-UKRRQHHQSA-N 1 2 317.437 1.882 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000268477456 408061093 /nfs/dbraw/zinc/06/10/93/408061093.db2.gz NLSJEWKUCNHUCH-UKRRQHHQSA-N 1 2 317.437 1.882 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCc2ccc[nH+]c2N2CCOCC2)c1 ZINC000175231809 408083892 /nfs/dbraw/zinc/08/38/92/408083892.db2.gz FKEPUZYQNLETLU-UHFFFAOYSA-N 1 2 311.345 1.048 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1cc(-n2cnnn2)ccc1Cl ZINC000268534666 408086062 /nfs/dbraw/zinc/08/60/62/408086062.db2.gz JJMKJXSKFZVERG-UHFFFAOYSA-N 1 2 318.768 1.209 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1cc(-n2cnnn2)ccc1Cl ZINC000268534666 408086068 /nfs/dbraw/zinc/08/60/68/408086068.db2.gz JJMKJXSKFZVERG-UHFFFAOYSA-N 1 2 318.768 1.209 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)C3CC(=O)C3)CC2)cc1 ZINC000136204804 408088622 /nfs/dbraw/zinc/08/86/22/408088622.db2.gz BDDVYYOBMFCKOJ-UHFFFAOYSA-N 1 2 311.385 1.572 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)C3CC(=O)C3)CC2)cc1 ZINC000136204804 408088624 /nfs/dbraw/zinc/08/86/24/408088624.db2.gz BDDVYYOBMFCKOJ-UHFFFAOYSA-N 1 2 311.385 1.572 20 30 DDEDLO C=CC[N@@H+](C)Cc1nc(=O)c2c(C)c(C(=O)OC)sc2[nH]1 ZINC000121147624 408157783 /nfs/dbraw/zinc/15/77/83/408157783.db2.gz KCUMWCROMZTOSV-UHFFFAOYSA-N 1 2 307.375 1.697 20 30 DDEDLO C=CC[N@H+](C)Cc1nc(=O)c2c(C)c(C(=O)OC)sc2[nH]1 ZINC000121147624 408157787 /nfs/dbraw/zinc/15/77/87/408157787.db2.gz KCUMWCROMZTOSV-UHFFFAOYSA-N 1 2 307.375 1.697 20 30 DDEDLO C=CC[N@@H+](C)Cc1nc(N)nc(Nc2ccccc2OC)n1 ZINC000121534106 408232363 /nfs/dbraw/zinc/23/23/63/408232363.db2.gz TUTSLYLIZVCLAQ-UHFFFAOYSA-N 1 2 300.366 1.824 20 30 DDEDLO C=CC[N@H+](C)Cc1nc(N)nc(Nc2ccccc2OC)n1 ZINC000121534106 408232368 /nfs/dbraw/zinc/23/23/68/408232368.db2.gz TUTSLYLIZVCLAQ-UHFFFAOYSA-N 1 2 300.366 1.824 20 30 DDEDLO C=CC[N@H+](Cc1ccc(Cl)s1)[C@@H](C)C(=O)NC(N)=O ZINC000263742920 408235296 /nfs/dbraw/zinc/23/52/96/408235296.db2.gz GZWSQDPWICBYGV-QMMMGPOBSA-N 1 2 301.799 1.973 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(Cl)s1)[C@@H](C)C(=O)NC(N)=O ZINC000263742920 408235303 /nfs/dbraw/zinc/23/53/03/408235303.db2.gz GZWSQDPWICBYGV-QMMMGPOBSA-N 1 2 301.799 1.973 20 30 DDEDLO CCCN(CCC)C(=O)CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158243057 408333044 /nfs/dbraw/zinc/33/30/44/408333044.db2.gz QLASBWCJCWQPOT-UHFFFAOYSA-N 1 2 319.405 1.907 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCc1ccc(NC(=O)OC)cc1)C1CC1 ZINC000274153038 408312115 /nfs/dbraw/zinc/31/21/15/408312115.db2.gz LEHJYDOBZZEDMA-UHFFFAOYSA-N 1 2 315.373 1.579 20 30 DDEDLO C#CC[N@H+](CC(=O)NCc1ccc(NC(=O)OC)cc1)C1CC1 ZINC000274153038 408312120 /nfs/dbraw/zinc/31/21/20/408312120.db2.gz LEHJYDOBZZEDMA-UHFFFAOYSA-N 1 2 315.373 1.579 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)NCC#Cc1ccccc1 ZINC000264024731 408346806 /nfs/dbraw/zinc/34/68/06/408346806.db2.gz LYSFJSCXNQEUKS-UHFFFAOYSA-N 1 2 315.417 1.448 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)NCC#Cc1ccccc1 ZINC000264024731 408346812 /nfs/dbraw/zinc/34/68/12/408346812.db2.gz LYSFJSCXNQEUKS-UHFFFAOYSA-N 1 2 315.417 1.448 20 30 DDEDLO C[C@H]1C[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)CCCO1 ZINC000177042686 408502863 /nfs/dbraw/zinc/50/28/63/408502863.db2.gz SFHTXIIIWPQTNN-WCQYABFASA-N 1 2 305.403 1.836 20 30 DDEDLO C[C@H]1C[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)CCCO1 ZINC000177042686 408502869 /nfs/dbraw/zinc/50/28/69/408502869.db2.gz SFHTXIIIWPQTNN-WCQYABFASA-N 1 2 305.403 1.836 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[NH+]1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000183981134 408522407 /nfs/dbraw/zinc/52/24/07/408522407.db2.gz VSPIIAWGSYIANO-YOEHRIQHSA-N 1 2 313.401 1.942 20 30 DDEDLO CC[C@@](C)([NH2+]C[C@@H](O)COc1ccc(C#N)cc1)C(=O)OC ZINC000265407671 408639556 /nfs/dbraw/zinc/63/95/56/408639556.db2.gz CRPPCNMLMAQDRW-CZUORRHYSA-N 1 2 306.362 1.229 20 30 DDEDLO Cc1ccnc(N(CC2CC2)C[C@H]2C[N@H+](C)CCO2)c1C#N ZINC000275694514 408649229 /nfs/dbraw/zinc/64/92/29/408649229.db2.gz MSLIGNOMQOJPFO-OAHLLOKOSA-N 1 2 300.406 1.809 20 30 DDEDLO Cc1ccnc(N(CC2CC2)C[C@H]2C[N@@H+](C)CCO2)c1C#N ZINC000275694514 408649232 /nfs/dbraw/zinc/64/92/32/408649232.db2.gz MSLIGNOMQOJPFO-OAHLLOKOSA-N 1 2 300.406 1.809 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH2+][C@@H](COC)c1ccc(CC)o1 ZINC000184838176 408701688 /nfs/dbraw/zinc/70/16/88/408701688.db2.gz SZICZLIDZYJWQK-AAEUAGOBSA-N 1 2 323.393 1.519 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2ccc(C#N)c(O)c2)C[C@H](C)O1 ZINC000194724886 408795059 /nfs/dbraw/zinc/79/50/59/408795059.db2.gz FGKZNFDQQLMQDO-BETUJISGSA-N 1 2 317.389 1.445 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2ccc(C#N)c(O)c2)C[C@H](C)O1 ZINC000194724886 408795063 /nfs/dbraw/zinc/79/50/63/408795063.db2.gz FGKZNFDQQLMQDO-BETUJISGSA-N 1 2 317.389 1.445 20 30 DDEDLO C#C[C@@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000185351932 408798656 /nfs/dbraw/zinc/79/86/56/408798656.db2.gz KOIJATLLAMZVDC-ZIAGYGMSSA-N 1 2 303.381 1.474 20 30 DDEDLO C#C[C@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000185351953 408798962 /nfs/dbraw/zinc/79/89/62/408798962.db2.gz KOIJATLLAMZVDC-KBPBESRZSA-N 1 2 303.381 1.474 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH2+][C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000185439497 408808616 /nfs/dbraw/zinc/80/86/16/408808616.db2.gz UBVGLFNEXCZTHW-WBMJQRKESA-N 1 2 316.380 1.929 20 30 DDEDLO CC[C@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CCCO1 ZINC000281321445 408880606 /nfs/dbraw/zinc/88/06/06/408880606.db2.gz ISXHYWIWMRKRDX-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO CC[C@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CCCO1 ZINC000281321445 408880608 /nfs/dbraw/zinc/88/06/08/408880608.db2.gz ISXHYWIWMRKRDX-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@@H](Oc3ncccc3F)C2)C1=O ZINC000281341591 408883168 /nfs/dbraw/zinc/88/31/68/408883168.db2.gz MLTPICQHDMPJBK-HIFRSBDPSA-N 1 2 319.380 1.851 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@@H](Oc3ncccc3F)C2)C1=O ZINC000281341591 408883171 /nfs/dbraw/zinc/88/31/71/408883171.db2.gz MLTPICQHDMPJBK-HIFRSBDPSA-N 1 2 319.380 1.851 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1C[C@@H](C)O[C@@H](C)C1)Cc1ccccc1 ZINC000285894694 408883816 /nfs/dbraw/zinc/88/38/16/408883816.db2.gz ZLEPQZMTMAXHGA-IYBDPMFKSA-N 1 2 300.402 1.758 20 30 DDEDLO C#CC[N@H+](CC(=O)N1C[C@@H](C)O[C@@H](C)C1)Cc1ccccc1 ZINC000285894694 408883820 /nfs/dbraw/zinc/88/38/20/408883820.db2.gz ZLEPQZMTMAXHGA-IYBDPMFKSA-N 1 2 300.402 1.758 20 30 DDEDLO C=CC[C@H](CO)NC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000281420356 408885409 /nfs/dbraw/zinc/88/54/09/408885409.db2.gz BDEYGFDKANONJE-SNVBAGLBSA-N 1 2 309.316 1.676 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1C[C@@H](NC(=O)c2cccc(C#N)c2)CCO1 ZINC000276484933 408868876 /nfs/dbraw/zinc/86/88/76/408868876.db2.gz ZVFRQUCLDDAHQU-GOEBONIOSA-N 1 2 310.357 1.942 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccccc2C(=O)OC)C1=O ZINC000281100322 408871896 /nfs/dbraw/zinc/87/18/96/408871896.db2.gz AKHDSAZVRKVHCQ-HNNXBMFYSA-N 1 2 302.374 1.692 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccccc2C(=O)OC)C1=O ZINC000281100322 408871900 /nfs/dbraw/zinc/87/19/00/408871900.db2.gz AKHDSAZVRKVHCQ-HNNXBMFYSA-N 1 2 302.374 1.692 20 30 DDEDLO CO[C@@H]1C[N@H+](CC(=O)N(CCC#N)c2ccccc2)C[C@@H]1OC ZINC000276971405 408903113 /nfs/dbraw/zinc/90/31/13/408903113.db2.gz YDSXTKWAOCWDQS-IYBDPMFKSA-N 1 2 317.389 1.279 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC(=O)N(CCC#N)c2ccccc2)C[C@@H]1OC ZINC000276971405 408903116 /nfs/dbraw/zinc/90/31/16/408903116.db2.gz YDSXTKWAOCWDQS-IYBDPMFKSA-N 1 2 317.389 1.279 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCC(F)(F)[C@@H](CO)C2)cc1 ZINC000291739487 408915638 /nfs/dbraw/zinc/91/56/38/408915638.db2.gz JWKLBIGKOSENAI-CQSZACIVSA-N 1 2 323.343 1.124 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCC(F)(F)[C@@H](CO)C2)cc1 ZINC000291739487 408915641 /nfs/dbraw/zinc/91/56/41/408915641.db2.gz JWKLBIGKOSENAI-CQSZACIVSA-N 1 2 323.343 1.124 20 30 DDEDLO Cc1cc(N2CC[NH+](Cc3cnc4ccc(C#N)cn34)CC2)n[nH]1 ZINC000189014962 163081470 /nfs/dbraw/zinc/08/14/70/163081470.db2.gz UGFCOIUAXIIJCT-UHFFFAOYSA-N 1 2 321.388 1.560 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCCNC(=O)[C@@H]1C ZINC000189297490 163092908 /nfs/dbraw/zinc/09/29/08/163092908.db2.gz XFPSJMBJQNMUKV-LBPRGKRZSA-N 1 2 303.362 1.156 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCCNC(=O)[C@@H]1C ZINC000189297490 163092909 /nfs/dbraw/zinc/09/29/09/163092909.db2.gz XFPSJMBJQNMUKV-LBPRGKRZSA-N 1 2 303.362 1.156 20 30 DDEDLO N#Cc1ccccc1N1CCN(c2nc[nH+]c(N)c2Cl)CC1 ZINC000277428106 408939184 /nfs/dbraw/zinc/93/91/84/408939184.db2.gz LQATWYNPMOEYPI-UHFFFAOYSA-N 1 2 314.780 1.910 20 30 DDEDLO N#Cc1ccccc1N1CCN(c2[nH+]cnc(N)c2Cl)CC1 ZINC000277428106 408939187 /nfs/dbraw/zinc/93/91/87/408939187.db2.gz LQATWYNPMOEYPI-UHFFFAOYSA-N 1 2 314.780 1.910 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+](CCO)C2CCC2)c1 ZINC000221149952 163334185 /nfs/dbraw/zinc/33/41/85/163334185.db2.gz SGVOGLYVJVNMIP-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+](CCO)C2CCC2)c1 ZINC000221149952 163334186 /nfs/dbraw/zinc/33/41/86/163334186.db2.gz SGVOGLYVJVNMIP-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO C[C@H]1CCN(C(=O)C(=O)NCCCCC#N)C[C@@H]1n1cc[nH+]c1 ZINC000286342773 408967529 /nfs/dbraw/zinc/96/75/29/408967529.db2.gz BEKRRFVCBDLWSF-KBPBESRZSA-N 1 2 317.393 1.103 20 30 DDEDLO CCCCOC(=O)C[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292227222 409004780 /nfs/dbraw/zinc/00/47/80/409004780.db2.gz PHZLJRPDDWUTBL-CQSZACIVSA-N 1 2 303.362 1.750 20 30 DDEDLO CCCCOC(=O)C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292227222 409004782 /nfs/dbraw/zinc/00/47/82/409004782.db2.gz PHZLJRPDDWUTBL-CQSZACIVSA-N 1 2 303.362 1.750 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3ccccc3C#N)CC2)C1=O ZINC000282138573 408998554 /nfs/dbraw/zinc/99/85/54/408998554.db2.gz ZIKKDJAWTWHUTM-QGZVFWFLSA-N 1 2 310.401 1.467 20 30 DDEDLO CC[N@H+](CC(=O)Nc1sc(C)c(C)c1C#N)[C@@H]1CCNC1=O ZINC000282285726 409026469 /nfs/dbraw/zinc/02/64/69/409026469.db2.gz INRBIJNVLQCEKI-GFCCVEGCSA-N 1 2 320.418 1.386 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1sc(C)c(C)c1C#N)[C@@H]1CCNC1=O ZINC000282285726 409026471 /nfs/dbraw/zinc/02/64/71/409026471.db2.gz INRBIJNVLQCEKI-GFCCVEGCSA-N 1 2 320.418 1.386 20 30 DDEDLO C[C@H]1C[N@H+](Cc2cn(C)nc2-c2ccc(C#N)cc2)CC(=O)N1C ZINC000282301121 409029189 /nfs/dbraw/zinc/02/91/89/409029189.db2.gz SOCDQQVQCFVXKW-ZDUSSCGKSA-N 1 2 323.400 1.621 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2cn(C)nc2-c2ccc(C#N)cc2)CC(=O)N1C ZINC000282301121 409029191 /nfs/dbraw/zinc/02/91/91/409029191.db2.gz SOCDQQVQCFVXKW-ZDUSSCGKSA-N 1 2 323.400 1.621 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC3CCCC3)n2CC=C)CC1 ZINC000282690830 409061015 /nfs/dbraw/zinc/06/10/15/409061015.db2.gz UDSYNERPMBCRHG-UHFFFAOYSA-N 1 2 313.449 1.952 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)Nc2c(C)cc[nH+]c2C)C1=O ZINC000287619418 409065443 /nfs/dbraw/zinc/06/54/43/409065443.db2.gz UCNXCXNKRHQIMS-CYBMUJFWSA-N 1 2 302.378 1.949 20 30 DDEDLO C=CCOc1ccccc1CNC(=O)C(C)(C)[NH+]1CCOCC1 ZINC000283301238 409132111 /nfs/dbraw/zinc/13/21/11/409132111.db2.gz UKTFRIBBXIUOEK-UHFFFAOYSA-N 1 2 318.417 1.978 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)c1cc(C#N)ccn1 ZINC000283680314 409195584 /nfs/dbraw/zinc/19/55/84/409195584.db2.gz GCZPQGJJNRFIPN-UHFFFAOYSA-N 1 2 323.356 1.746 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@H](C2CC2)O1 ZINC000279207759 409170267 /nfs/dbraw/zinc/17/02/67/409170267.db2.gz BLKWDZGGALKMMC-CXAGYDPISA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@H](C2CC2)O1 ZINC000279207759 409170270 /nfs/dbraw/zinc/17/02/70/409170270.db2.gz BLKWDZGGALKMMC-CXAGYDPISA-N 1 2 313.401 1.674 20 30 DDEDLO CO[C@]1(C)CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000279216665 409171125 /nfs/dbraw/zinc/17/11/25/409171125.db2.gz CKZASUISNIWODN-MRXNPFEDSA-N 1 2 322.430 1.833 20 30 DDEDLO CO[C@]1(C)CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000279216665 409171129 /nfs/dbraw/zinc/17/11/29/409171129.db2.gz CKZASUISNIWODN-MRXNPFEDSA-N 1 2 322.430 1.833 20 30 DDEDLO C[C@@H]1Cc2ccccc2N1C(=O)CO[NH+]=C(N)[C@@H]1CCCO1 ZINC000283756519 409210149 /nfs/dbraw/zinc/21/01/49/409210149.db2.gz AKZLWIXJJHFSKP-RISCZKNCSA-N 1 2 303.362 1.432 20 30 DDEDLO CN(Cc1ccccc1F)C(=O)CO[NH+]=C(N)[C@@H]1CCCO1 ZINC000283764250 409212260 /nfs/dbraw/zinc/21/22/60/409212260.db2.gz BHYGDCMFGFHPPO-ZDUSSCGKSA-N 1 2 309.341 1.252 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@@H+]([C@H]1CC[C@@H](C#N)C1)C2 ZINC000293979427 409218362 /nfs/dbraw/zinc/21/83/62/409218362.db2.gz DOTSDFHTPQRLEL-OCCSQVGLSA-N 1 2 319.430 1.645 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@H+]([C@H]1CC[C@@H](C#N)C1)C2 ZINC000293979427 409218366 /nfs/dbraw/zinc/21/83/66/409218366.db2.gz DOTSDFHTPQRLEL-OCCSQVGLSA-N 1 2 319.430 1.645 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCc1ccc(N(CC)CC)[nH+]c1 ZINC000284468369 409343972 /nfs/dbraw/zinc/34/39/72/409343972.db2.gz NZBFJEUVPCQVNM-UHFFFAOYSA-N 1 2 318.421 1.626 20 30 DDEDLO Cc1c(-c2cc(CO[NH+]=C(N)[C@@H]3CCCO3)on2)cnn1C ZINC000284603622 409355998 /nfs/dbraw/zinc/35/59/98/409355998.db2.gz YOTKGWJSKPUNLQ-ZDUSSCGKSA-N 1 2 305.338 1.351 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@@H]1CCOC1 ZINC000314937570 164017196 /nfs/dbraw/zinc/01/71/96/164017196.db2.gz RSQGFSKIOGZXSI-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@@H]1CCOC1 ZINC000314937570 164017199 /nfs/dbraw/zinc/01/71/99/164017199.db2.gz RSQGFSKIOGZXSI-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO CN(C)S(=O)(=O)[C@@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000285522993 409476293 /nfs/dbraw/zinc/47/62/93/409476293.db2.gz GTYZQBCTKUNERY-MRXNPFEDSA-N 1 2 324.421 1.533 20 30 DDEDLO CN(C)S(=O)(=O)[C@@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000285522993 409476299 /nfs/dbraw/zinc/47/62/99/409476299.db2.gz GTYZQBCTKUNERY-MRXNPFEDSA-N 1 2 324.421 1.533 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2cccc(C)c2C#N)C[C@H]1C ZINC000408041340 164206806 /nfs/dbraw/zinc/20/68/06/164206806.db2.gz LSENEHAASLTPPZ-ZIAGYGMSSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2cccc(C)c2C#N)C[C@H]1C ZINC000408041340 164206807 /nfs/dbraw/zinc/20/68/07/164206807.db2.gz LSENEHAASLTPPZ-ZIAGYGMSSA-N 1 2 321.446 1.970 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CC[C@H](C)[C@@H](O)C2)c(C#N)c1C ZINC000408088730 164223921 /nfs/dbraw/zinc/22/39/21/164223921.db2.gz HCRMIOIMJDOCDK-CHIMOYNISA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CC[C@H](C)[C@@H](O)C2)c(C#N)c1C ZINC000408088730 164223922 /nfs/dbraw/zinc/22/39/22/164223922.db2.gz HCRMIOIMJDOCDK-CHIMOYNISA-N 1 2 305.378 1.798 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000296329858 409505660 /nfs/dbraw/zinc/50/56/60/409505660.db2.gz PFPTZXDLAPIAKD-UHFFFAOYSA-N 1 2 310.423 1.859 20 30 DDEDLO Cc1onc(CC(=O)NCCc2cn3ccccc3[nH+]2)c1C#N ZINC000353869948 409524281 /nfs/dbraw/zinc/52/42/81/409524281.db2.gz KIUQNUPNNZGKEX-UHFFFAOYSA-N 1 2 309.329 1.404 20 30 DDEDLO Cc1onc(CC(=O)NCCc2cn3c([nH+]2)CCCC3)c1C#N ZINC000353884182 409534402 /nfs/dbraw/zinc/53/44/02/409534402.db2.gz YDIRIUJTIJNFDG-UHFFFAOYSA-N 1 2 313.361 1.289 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CCN(c3ncc(F)cn3)CC2)c1 ZINC000354015179 409601199 /nfs/dbraw/zinc/60/11/99/409601199.db2.gz QIBKVNBPZRUFKX-UHFFFAOYSA-N 1 2 315.327 1.949 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCCC[C@@H]2CCO)c1 ZINC000305246194 409743810 /nfs/dbraw/zinc/74/38/10/409743810.db2.gz DNQRLUXCWSBVBP-OAHLLOKOSA-N 1 2 322.430 1.569 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCCC[C@@H]2CCO)c1 ZINC000305246194 409743827 /nfs/dbraw/zinc/74/38/27/409743827.db2.gz DNQRLUXCWSBVBP-OAHLLOKOSA-N 1 2 322.430 1.569 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](C)CCNC(=O)OC(C)(C)C ZINC000297375148 409914487 /nfs/dbraw/zinc/91/44/87/409914487.db2.gz HZYHCXCDJCQIHC-UHFFFAOYSA-N 1 2 311.426 1.644 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](C)CCNC(=O)OC(C)(C)C ZINC000297375148 409914493 /nfs/dbraw/zinc/91/44/93/409914493.db2.gz HZYHCXCDJCQIHC-UHFFFAOYSA-N 1 2 311.426 1.644 20 30 DDEDLO C[C@@H](CNC(=O)C1([NH+]2CCOCC2)CCC1)N1CCCCC1=O ZINC000328740926 409965876 /nfs/dbraw/zinc/96/58/76/409965876.db2.gz IQMSEQNODYXWIQ-AWEZNQCLSA-N 1 2 323.437 1.599 20 30 DDEDLO COCC1(O)CCN(C(=O)NC[C@H]2CCCn3cc[nH+]c32)CC1 ZINC000328804133 409982077 /nfs/dbraw/zinc/98/20/77/409982077.db2.gz BOZRGZLYRGVNOB-CYBMUJFWSA-N 1 2 322.409 1.148 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N(CC1CC1)C[C@@H]1C[N@H+](C)CCO1 ZINC000328628474 409941730 /nfs/dbraw/zinc/94/17/30/409941730.db2.gz HHSFEZYOUPFGSS-HNNXBMFYSA-N 1 2 316.405 1.080 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N(CC1CC1)C[C@@H]1C[N@@H+](C)CCO1 ZINC000328628474 409941738 /nfs/dbraw/zinc/94/17/38/409941738.db2.gz HHSFEZYOUPFGSS-HNNXBMFYSA-N 1 2 316.405 1.080 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CC[C@@H](CCO)C1)CCC2 ZINC000328653707 409947211 /nfs/dbraw/zinc/94/72/11/409947211.db2.gz LFXSPRHRNIWMEQ-KBPBESRZSA-N 1 2 306.410 1.687 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)[C@@H]1CCc2n[nH]nc2C1 ZINC000328917374 410010403 /nfs/dbraw/zinc/01/04/03/410010403.db2.gz AVNJXDFISFVKDH-SNVBAGLBSA-N 1 2 300.366 1.599 20 30 DDEDLO CN1CCOC[C@@H]1C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000328936671 410017036 /nfs/dbraw/zinc/01/70/36/410017036.db2.gz GADYABMUOJDITR-CQSZACIVSA-N 1 2 304.394 1.469 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)C(=O)N1CCn2c[nH+]cc2C1 ZINC000298205591 410132943 /nfs/dbraw/zinc/13/29/43/410132943.db2.gz GPHHCHLZQIPADK-UHFFFAOYSA-N 1 2 324.384 1.440 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CCO[C@H]1C1CC1 ZINC000329224673 410174155 /nfs/dbraw/zinc/17/41/55/410174155.db2.gz COUCFSSJIWHEBC-BVUBDWEXSA-N 1 2 323.437 1.263 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)N1CCCOCC1)C1CCOCC1 ZINC000329316193 410230786 /nfs/dbraw/zinc/23/07/86/410230786.db2.gz HKGIRBUFJUPATM-CQSZACIVSA-N 1 2 322.409 1.524 20 30 DDEDLO N#CC1(C(=O)NCC(=O)NCC[NH+]2CCOCC2)CCCC1 ZINC000329437141 410295466 /nfs/dbraw/zinc/29/54/66/410295466.db2.gz JJQKRSFSIWLKFV-UHFFFAOYSA-N 1 2 308.382 1.316 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cccc2c1OCO2 ZINC000329458183 410307722 /nfs/dbraw/zinc/30/77/22/410307722.db2.gz OCZBMCOKQBYTDG-NSHDSACASA-N 1 2 307.350 1.462 20 30 DDEDLO O=C(NC[C@H]1CCCCS1(=O)=O)[C@@H]1CCC[N@@H+]1C1CC1 ZINC000330266913 410605086 /nfs/dbraw/zinc/60/50/86/410605086.db2.gz AIYMNVMJUBIKEA-OLZOCXBDSA-N 1 2 300.424 1.537 20 30 DDEDLO O=C(NC[C@H]1CCCCS1(=O)=O)[C@@H]1CCC[N@H+]1C1CC1 ZINC000330266913 410605091 /nfs/dbraw/zinc/60/50/91/410605091.db2.gz AIYMNVMJUBIKEA-OLZOCXBDSA-N 1 2 300.424 1.537 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@@]2(CCCOC2)O1 ZINC000330140044 410560298 /nfs/dbraw/zinc/56/02/98/410560298.db2.gz WPVRXNACHVANPC-KBRIMQKVSA-N 1 2 321.421 1.905 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@@]2(CCCOC2)O1 ZINC000330140044 410560303 /nfs/dbraw/zinc/56/03/03/410560303.db2.gz WPVRXNACHVANPC-KBRIMQKVSA-N 1 2 321.421 1.905 20 30 DDEDLO CN(Cc1[nH+]ccn1CC(F)(F)F)C(=O)c1ccc(C#N)nc1 ZINC000359448726 410667054 /nfs/dbraw/zinc/66/70/54/410667054.db2.gz QJZLEOOWYGDOQY-UHFFFAOYSA-N 1 2 323.278 1.984 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1O ZINC000299909135 410642198 /nfs/dbraw/zinc/64/21/98/410642198.db2.gz WCOAVYCBWUSCBW-IUODEOHRSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1O ZINC000299909135 410642201 /nfs/dbraw/zinc/64/22/01/410642201.db2.gz WCOAVYCBWUSCBW-IUODEOHRSA-N 1 2 308.403 1.035 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000352362348 410647498 /nfs/dbraw/zinc/64/74/98/410647498.db2.gz OBYFJEJSOKGARK-PVAVHDDUSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000352362348 410647505 /nfs/dbraw/zinc/64/75/05/410647505.db2.gz OBYFJEJSOKGARK-PVAVHDDUSA-N 1 2 323.437 1.311 20 30 DDEDLO CC[C@H](NC(=O)COc1ccc(C#N)cc1OC)c1[nH]cc[nH+]1 ZINC000347944015 410806375 /nfs/dbraw/zinc/80/63/75/410806375.db2.gz CVICCQVRSNUYDF-LBPRGKRZSA-N 1 2 314.345 1.936 20 30 DDEDLO COC[C@H]1C[N@H+](CC(=O)Nc2ccc(C#N)cc2)CC(C)(C)O1 ZINC000330522487 410748603 /nfs/dbraw/zinc/74/86/03/410748603.db2.gz IVCHBWXJVIMLFS-OAHLLOKOSA-N 1 2 317.389 1.623 20 30 DDEDLO COC[C@H]1C[N@@H+](CC(=O)Nc2ccc(C#N)cc2)CC(C)(C)O1 ZINC000330522487 410748609 /nfs/dbraw/zinc/74/86/09/410748609.db2.gz IVCHBWXJVIMLFS-OAHLLOKOSA-N 1 2 317.389 1.623 20 30 DDEDLO C=C(C)COCCNC(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000337587633 410878112 /nfs/dbraw/zinc/87/81/12/410878112.db2.gz GEVVEAIAOQWQPU-AWEZNQCLSA-N 1 2 306.410 1.961 20 30 DDEDLO CC(C)(C)[C@@H]([NH2+]Cc1nnc(-c2cccc(C#N)c2)o1)C(N)=O ZINC000348280605 410892920 /nfs/dbraw/zinc/89/29/20/410892920.db2.gz ZUOGHIBQAMQOEH-ZDUSSCGKSA-N 1 2 313.361 1.598 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@H](C)C[NH+](C)C)cc1C#N ZINC000341278249 410978008 /nfs/dbraw/zinc/97/80/08/410978008.db2.gz HCCUSQPBCNSLSS-VIFPVBQESA-N 1 2 308.407 1.308 20 30 DDEDLO C=C[C@H](C)NC(=O)[C@H]1CCC(=O)N(CCC)[C@@H]1c1c[nH+]cn1C ZINC000356367994 410989612 /nfs/dbraw/zinc/98/96/12/410989612.db2.gz MPAHIGNOAFAWHY-XEZPLFJOSA-N 1 2 318.421 1.801 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)c1)[C@@H]1CC(=O)N(c2cnccn2)C1=O ZINC000341216196 410944005 /nfs/dbraw/zinc/94/40/05/410944005.db2.gz GNPZSDPODSMZKB-CQSZACIVSA-N 1 2 321.340 1.112 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)c1)[C@@H]1CC(=O)N(c2cnccn2)C1=O ZINC000341216196 410944012 /nfs/dbraw/zinc/94/40/12/410944012.db2.gz GNPZSDPODSMZKB-CQSZACIVSA-N 1 2 321.340 1.112 20 30 DDEDLO CN(C)c1[nH+]cccc1CNS(=O)(=O)c1ccc(C#N)o1 ZINC000344321597 411090253 /nfs/dbraw/zinc/09/02/53/411090253.db2.gz JFHHNDMXATVOEP-UHFFFAOYSA-N 1 2 306.347 1.091 20 30 DDEDLO Cc1nc(C)n(C[C@@H]2C[N@H+](Cc3cc(C#N)cs3)CCO2)n1 ZINC000353637793 411095032 /nfs/dbraw/zinc/09/50/32/411095032.db2.gz NSKRMRXDPOBGIM-AWEZNQCLSA-N 1 2 317.418 1.729 20 30 DDEDLO Cc1nc(C)n(C[C@@H]2C[N@@H+](Cc3cc(C#N)cs3)CCO2)n1 ZINC000353637793 411095039 /nfs/dbraw/zinc/09/50/39/411095039.db2.gz NSKRMRXDPOBGIM-AWEZNQCLSA-N 1 2 317.418 1.729 20 30 DDEDLO N#Cc1ccc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000580159027 422894729 /nfs/dbraw/zinc/89/47/29/422894729.db2.gz QGRJERFNBLLRHK-GASCZTMLSA-N 1 2 300.362 1.543 20 30 DDEDLO N#Cc1ccc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000580159027 422894733 /nfs/dbraw/zinc/89/47/33/422894733.db2.gz QGRJERFNBLLRHK-GASCZTMLSA-N 1 2 300.362 1.543 20 30 DDEDLO C#CCOc1ccccc1C[NH+]1CC(n2cc([C@H](C)O)nn2)C1 ZINC000374824754 418566060 /nfs/dbraw/zinc/56/60/60/418566060.db2.gz WIXPEUVABBYNEA-ZDUSSCGKSA-N 1 2 312.373 1.400 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000191099310 222097402 /nfs/dbraw/zinc/09/74/02/222097402.db2.gz OMUAMBXCMSXSMN-UHFFFAOYSA-N 1 2 323.349 1.676 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000191099310 222097407 /nfs/dbraw/zinc/09/74/07/222097407.db2.gz OMUAMBXCMSXSMN-UHFFFAOYSA-N 1 2 323.349 1.676 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCN(C)C(=O)[C@@H]2C)cc1OC ZINC000190088509 222067405 /nfs/dbraw/zinc/06/74/05/222067405.db2.gz KVFSHNPETRFHCM-ZDUSSCGKSA-N 1 2 304.390 1.923 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCN(C)C(=O)[C@@H]2C)cc1OC ZINC000190088509 222067407 /nfs/dbraw/zinc/06/74/07/222067407.db2.gz KVFSHNPETRFHCM-ZDUSSCGKSA-N 1 2 304.390 1.923 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCCC2 ZINC000192228447 222124274 /nfs/dbraw/zinc/12/42/74/222124274.db2.gz HNUVOHRSTCTMGV-UHFFFAOYSA-N 1 2 305.403 1.229 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCCC2 ZINC000192228447 222124278 /nfs/dbraw/zinc/12/42/78/222124278.db2.gz HNUVOHRSTCTMGV-UHFFFAOYSA-N 1 2 305.403 1.229 20 30 DDEDLO COc1cccc(NC(=O)CO[NH+]=C(N)[C@H](C)OCC(C)C)c1 ZINC000266225125 222360626 /nfs/dbraw/zinc/36/06/26/222360626.db2.gz SERIRQIHNGFJJJ-LBPRGKRZSA-N 1 2 323.393 1.984 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@@H+]1CCCOc1cccc(C#N)c1 ZINC000367870158 418649776 /nfs/dbraw/zinc/64/97/76/418649776.db2.gz BUHPLHYNLUYKOH-HNNXBMFYSA-N 1 2 318.373 1.591 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@H+]1CCCOc1cccc(C#N)c1 ZINC000367870158 418649779 /nfs/dbraw/zinc/64/97/79/418649779.db2.gz BUHPLHYNLUYKOH-HNNXBMFYSA-N 1 2 318.373 1.591 20 30 DDEDLO C[C@@H]1CCC[N@@H+]1CC(=O)[C@@H](C#N)c1nc2ccccc2c(=O)[nH]1 ZINC000267762369 222398200 /nfs/dbraw/zinc/39/82/00/222398200.db2.gz FQOJWLIKBZLPBZ-DGCLKSJQSA-N 1 2 310.357 1.996 20 30 DDEDLO C[C@@H]1CCC[N@H+]1CC(=O)[C@@H](C#N)c1nc2ccccc2c(=O)[nH]1 ZINC000267762369 222398203 /nfs/dbraw/zinc/39/82/03/222398203.db2.gz FQOJWLIKBZLPBZ-DGCLKSJQSA-N 1 2 310.357 1.996 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1NC(=O)CC[C@H]1Nc1cccc(F)c1C#N ZINC000375384930 418632208 /nfs/dbraw/zinc/63/22/08/418632208.db2.gz SZKIFXVRRWQAFK-CZUORRHYSA-N 1 2 313.336 1.863 20 30 DDEDLO CO/N=C/C(=O)N[C@@H]1CCC[N@H+](Cc2c(F)cccc2F)C1 ZINC000377633936 418711975 /nfs/dbraw/zinc/71/19/75/418711975.db2.gz WAKBJAPPYJHNOM-SUDUWZNWSA-N 1 2 311.332 1.678 20 30 DDEDLO CO/N=C/C(=O)N[C@@H]1CCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC000377633936 418711978 /nfs/dbraw/zinc/71/19/78/418711978.db2.gz WAKBJAPPYJHNOM-SUDUWZNWSA-N 1 2 311.332 1.678 20 30 DDEDLO COC(=O)C[N@@H+]1CCCN(c2cc(C#N)ccc2[N+](=O)[O-])CC1 ZINC000368391090 418720023 /nfs/dbraw/zinc/72/00/23/418720023.db2.gz VJGCHHVGPLNXLM-UHFFFAOYSA-N 1 2 318.333 1.152 20 30 DDEDLO COC(=O)C[N@H+]1CCCN(c2cc(C#N)ccc2[N+](=O)[O-])CC1 ZINC000368391090 418720025 /nfs/dbraw/zinc/72/00/25/418720025.db2.gz VJGCHHVGPLNXLM-UHFFFAOYSA-N 1 2 318.333 1.152 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@H](c2noc(C)n2)C1 ZINC000375763446 418681879 /nfs/dbraw/zinc/68/18/79/418681879.db2.gz JSJUYSXOYUAJKQ-AWEZNQCLSA-N 1 2 304.394 1.758 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@H](c2noc(C)n2)C1 ZINC000375763446 418681882 /nfs/dbraw/zinc/68/18/82/418681882.db2.gz JSJUYSXOYUAJKQ-AWEZNQCLSA-N 1 2 304.394 1.758 20 30 DDEDLO Cc1cc(C#N)cc(N2CCC[C@H](CN3CC[NH+](C)CC3)C2)n1 ZINC000376190738 418694406 /nfs/dbraw/zinc/69/44/06/418694406.db2.gz WIJLOLAUJUVKMH-MRXNPFEDSA-N 1 2 313.449 1.726 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(S(=O)(=O)C3CC3)CC2)o1 ZINC000376686337 418700193 /nfs/dbraw/zinc/70/01/93/418700193.db2.gz DFSNFMAVBLPEIP-UHFFFAOYSA-N 1 2 309.391 1.151 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(S(=O)(=O)C3CC3)CC2)o1 ZINC000376686337 418700196 /nfs/dbraw/zinc/70/01/96/418700196.db2.gz DFSNFMAVBLPEIP-UHFFFAOYSA-N 1 2 309.391 1.151 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC000369315787 418731585 /nfs/dbraw/zinc/73/15/85/418731585.db2.gz WWBMHOYHZLNXJU-GDBMZVCRSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC000369315787 418731587 /nfs/dbraw/zinc/73/15/87/418731587.db2.gz WWBMHOYHZLNXJU-GDBMZVCRSA-N 1 2 323.437 1.311 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)C[C@@H](C2CC2)O1 ZINC000369389510 418733268 /nfs/dbraw/zinc/73/32/68/418733268.db2.gz PSARKOIGDZJWHW-ZFWWWQNUSA-N 1 2 304.394 1.142 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)C[C@@H](C2CC2)O1 ZINC000369389510 418733271 /nfs/dbraw/zinc/73/32/71/418733271.db2.gz PSARKOIGDZJWHW-ZFWWWQNUSA-N 1 2 304.394 1.142 20 30 DDEDLO C=C(Cl)CN1CCNC(=O)[C@H]1c1c(C)[nH+]c2ccccn21 ZINC000362814708 418759860 /nfs/dbraw/zinc/75/98/60/418759860.db2.gz OPWGIBRXZLXYEU-CQSZACIVSA-N 1 2 304.781 1.868 20 30 DDEDLO CCc1nc([C@@H]2CCC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000363375764 418764727 /nfs/dbraw/zinc/76/47/27/418764727.db2.gz CBGRAVPQPJYQSV-QWHCGFSZSA-N 1 2 319.409 1.572 20 30 DDEDLO CCc1nc([C@@H]2CCC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000363375764 418764729 /nfs/dbraw/zinc/76/47/29/418764729.db2.gz CBGRAVPQPJYQSV-QWHCGFSZSA-N 1 2 319.409 1.572 20 30 DDEDLO COC(=O)C[N@@H+]1CCCN(c2ncc(Cl)c(C)c2C#N)CC1 ZINC000363674684 418768754 /nfs/dbraw/zinc/76/87/54/418768754.db2.gz PMJOMDSDICBQAB-UHFFFAOYSA-N 1 2 322.796 1.600 20 30 DDEDLO COC(=O)C[N@H+]1CCCN(c2ncc(Cl)c(C)c2C#N)CC1 ZINC000363674684 418768757 /nfs/dbraw/zinc/76/87/57/418768757.db2.gz PMJOMDSDICBQAB-UHFFFAOYSA-N 1 2 322.796 1.600 20 30 DDEDLO C=CCN(C(=O)NC[C@@]1([NH+]2CCOCC2)CCCOC1)C1CC1 ZINC000378395180 418722465 /nfs/dbraw/zinc/72/24/65/418722465.db2.gz LPMXSXQJYCWTPP-KRWDZBQOSA-N 1 2 323.437 1.228 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)c3ccc(C(C)(C)C#N)cc3)C[C@H]21 ZINC000408314673 418797554 /nfs/dbraw/zinc/79/75/54/418797554.db2.gz CCMDSWOBEXBEEF-CVEARBPZSA-N 1 2 313.401 1.643 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)c3ccc(C(C)(C)C#N)cc3)C[C@H]21 ZINC000408314673 418797555 /nfs/dbraw/zinc/79/75/55/418797555.db2.gz CCMDSWOBEXBEEF-CVEARBPZSA-N 1 2 313.401 1.643 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000411358264 418896205 /nfs/dbraw/zinc/89/62/05/418896205.db2.gz WEIOPLUNHTVBHH-CYBMUJFWSA-N 1 2 305.378 1.246 20 30 DDEDLO C=CCCOCCNC(=O)NCc1ccc(-n2cc[nH+]c2)nc1 ZINC000372293389 418852012 /nfs/dbraw/zinc/85/20/12/418852012.db2.gz IAFIQCKJPJAOFI-UHFFFAOYSA-N 1 2 315.377 1.659 20 30 DDEDLO CC(C)NC(=O)CN1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000372814769 418905722 /nfs/dbraw/zinc/90/57/22/418905722.db2.gz KZOGCICQTVVGLM-UHFFFAOYSA-N 1 2 313.445 1.570 20 30 DDEDLO CC(C)NC(=O)CN1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000372814769 418905724 /nfs/dbraw/zinc/90/57/24/418905724.db2.gz KZOGCICQTVVGLM-UHFFFAOYSA-N 1 2 313.445 1.570 20 30 DDEDLO CC[C@@H]1C[NH+](CC(=O)N(CCC#N)CCC#N)C[C@@H](CC)O1 ZINC000420950730 419381350 /nfs/dbraw/zinc/38/13/50/419381350.db2.gz GQBIEXLHLFMRMF-HUUCEWRRSA-N 1 2 306.410 1.532 20 30 DDEDLO CC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000420990828 419427543 /nfs/dbraw/zinc/42/75/43/419427543.db2.gz VDCFHDYWTVTBTR-ZDUSSCGKSA-N 1 2 320.780 1.361 20 30 DDEDLO CC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000420990828 419427552 /nfs/dbraw/zinc/42/75/52/419427552.db2.gz VDCFHDYWTVTBTR-ZDUSSCGKSA-N 1 2 320.780 1.361 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CCc2nn[nH]c2C1 ZINC000429288262 419998918 /nfs/dbraw/zinc/99/89/18/419998918.db2.gz ACBUDUCFQKGQLH-CQSZACIVSA-N 1 2 314.389 1.331 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CCc2nn[nH]c2C1 ZINC000429288262 419998920 /nfs/dbraw/zinc/99/89/20/419998920.db2.gz ACBUDUCFQKGQLH-CQSZACIVSA-N 1 2 314.389 1.331 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@@H](C)[N@H+](C)CC(C)(C)C#N)CC1 ZINC000433318749 420250542 /nfs/dbraw/zinc/25/05/42/420250542.db2.gz HJNPTWPADQCTGB-GFCCVEGCSA-N 1 2 309.410 1.268 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@@H](C)[N@@H+](C)CC(C)(C)C#N)CC1 ZINC000433318749 420250545 /nfs/dbraw/zinc/25/05/45/420250545.db2.gz HJNPTWPADQCTGB-GFCCVEGCSA-N 1 2 309.410 1.268 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3c(C#N)cccc3C#N)CC2)cn1 ZINC000436392832 420324161 /nfs/dbraw/zinc/32/41/61/420324161.db2.gz CHBUEXZUFAXUQZ-UHFFFAOYSA-N 1 2 306.373 1.486 20 30 DDEDLO Cc1ccccc1C[NH+]1CCN(S(=O)(=O)[C@@H](C)C#N)CC1 ZINC000416367668 420345281 /nfs/dbraw/zinc/34/52/81/420345281.db2.gz FYKSJPBAGDZKGH-AWEZNQCLSA-N 1 2 307.419 1.355 20 30 DDEDLO C=CCn1cc(CNC(=O)N[C@@H](c2[nH+]ccn2C)C2CC2)nn1 ZINC000425553747 420416961 /nfs/dbraw/zinc/41/69/61/420416961.db2.gz UVXYSIZPGPJGBM-CYBMUJFWSA-N 1 2 315.381 1.148 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccco3)CC2)cc1O ZINC000442631833 420690524 /nfs/dbraw/zinc/69/05/24/420690524.db2.gz WOJBMMORQVSQSK-UHFFFAOYSA-N 1 2 311.341 1.815 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000448883586 420909764 /nfs/dbraw/zinc/90/97/64/420909764.db2.gz GPPUNLJOBUETTR-CABCVRRESA-N 1 2 321.446 1.769 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000448883586 420909767 /nfs/dbraw/zinc/90/97/67/420909767.db2.gz GPPUNLJOBUETTR-CABCVRRESA-N 1 2 321.446 1.769 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CC[N@H+](C)C[C@@H]1c1ccccc1 ZINC000459872785 420893504 /nfs/dbraw/zinc/89/35/04/420893504.db2.gz IEJOHAZYZFIXHJ-MRXNPFEDSA-N 1 2 315.417 1.536 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CC[N@@H+](C)C[C@@H]1c1ccccc1 ZINC000459872785 420893506 /nfs/dbraw/zinc/89/35/06/420893506.db2.gz IEJOHAZYZFIXHJ-MRXNPFEDSA-N 1 2 315.417 1.536 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC[C@@]2(CC[N@@H+]2CC(=O)NCC#N)C1 ZINC000495677968 421045698 /nfs/dbraw/zinc/04/56/98/421045698.db2.gz ULAOMHPHBHRRKH-MRXNPFEDSA-N 1 2 322.409 1.102 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC[C@@]2(CC[N@H+]2CC(=O)NCC#N)C1 ZINC000495677968 421045703 /nfs/dbraw/zinc/04/57/03/421045703.db2.gz ULAOMHPHBHRRKH-MRXNPFEDSA-N 1 2 322.409 1.102 20 30 DDEDLO CO[C@@H]1C[N@H+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C[C@@H]1OC ZINC000487976691 421061703 /nfs/dbraw/zinc/06/17/03/421061703.db2.gz ZWQQZWGFYZJDQX-OKILXGFUSA-N 1 2 323.780 1.496 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C[C@@H]1OC ZINC000487976691 421061706 /nfs/dbraw/zinc/06/17/06/421061706.db2.gz ZWQQZWGFYZJDQX-OKILXGFUSA-N 1 2 323.780 1.496 20 30 DDEDLO C#CCNC(=O)c1ccc(NCCc2[nH]c3ccccc3[nH+]2)nc1 ZINC000491155556 421194776 /nfs/dbraw/zinc/19/47/76/421194776.db2.gz SNNUHGKVTRXDHO-UHFFFAOYSA-N 1 2 319.368 1.976 20 30 DDEDLO C#CCN1C(=O)C[C@H]([N@H+]2CCc3cccc(Cl)c3C2)C1=O ZINC000491337461 421196433 /nfs/dbraw/zinc/19/64/33/421196433.db2.gz AKNGELZHBRLARK-AWEZNQCLSA-N 1 2 302.761 1.459 20 30 DDEDLO C#CCN1C(=O)C[C@H]([N@@H+]2CCc3cccc(Cl)c3C2)C1=O ZINC000491337461 421196434 /nfs/dbraw/zinc/19/64/34/421196434.db2.gz AKNGELZHBRLARK-AWEZNQCLSA-N 1 2 302.761 1.459 20 30 DDEDLO N#Cc1cnccc1N1CC[NH+]([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000545239739 421245203 /nfs/dbraw/zinc/24/52/03/421245203.db2.gz IYHPGTCQQCGNJE-QGZVFWFLSA-N 1 2 321.384 1.302 20 30 DDEDLO CC(=O)c1cc(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)on1 ZINC000563362505 421492438 /nfs/dbraw/zinc/49/24/38/421492438.db2.gz AZTRBLOVKBMCCY-ZDUSSCGKSA-N 1 2 312.329 1.797 20 30 DDEDLO CC(=O)c1cc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)on1 ZINC000563362505 421492440 /nfs/dbraw/zinc/49/24/40/421492440.db2.gz AZTRBLOVKBMCCY-ZDUSSCGKSA-N 1 2 312.329 1.797 20 30 DDEDLO CNC(=O)NC[C@H]1C[N@@H+]([C@@H](C)c2cccc(C#N)c2)CCO1 ZINC000528630612 421502506 /nfs/dbraw/zinc/50/25/06/421502506.db2.gz TXHZRSYALYDILL-WFASDCNBSA-N 1 2 302.378 1.249 20 30 DDEDLO CNC(=O)NC[C@H]1C[N@H+]([C@@H](C)c2cccc(C#N)c2)CCO1 ZINC000528630612 421502510 /nfs/dbraw/zinc/50/25/10/421502510.db2.gz TXHZRSYALYDILL-WFASDCNBSA-N 1 2 302.378 1.249 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000566468649 421605469 /nfs/dbraw/zinc/60/54/69/421605469.db2.gz DSQYYERYGKYUGD-AXFHLTTASA-N 1 2 317.311 1.916 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc(=O)[nH]c2cc(F)ccc21 ZINC000566741323 421608128 /nfs/dbraw/zinc/60/81/28/421608128.db2.gz VYSYPEBUSBNWQO-MRXNPFEDSA-N 1 2 316.336 1.653 20 30 DDEDLO CCNC(=O)c1cccc(C)c1OC[C@H](O)C[N@H+](C)CCC#N ZINC000567092973 421610907 /nfs/dbraw/zinc/61/09/07/421610907.db2.gz WNNDHAQSSPSRAH-CQSZACIVSA-N 1 2 319.405 1.330 20 30 DDEDLO CCNC(=O)c1cccc(C)c1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000567092973 421610911 /nfs/dbraw/zinc/61/09/11/421610911.db2.gz WNNDHAQSSPSRAH-CQSZACIVSA-N 1 2 319.405 1.330 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000569669847 421627045 /nfs/dbraw/zinc/62/70/45/421627045.db2.gz IQHLHHGZVVSSPH-WCVJEAGWSA-N 1 2 323.437 1.130 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000569669847 421627049 /nfs/dbraw/zinc/62/70/49/421627049.db2.gz IQHLHHGZVVSSPH-WCVJEAGWSA-N 1 2 323.437 1.130 20 30 DDEDLO COc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc2c1OCCO2 ZINC000555482549 421679197 /nfs/dbraw/zinc/67/91/97/421679197.db2.gz COKUPUAOTWHXFD-INIZCTEOSA-N 1 2 319.361 1.040 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(c2nccc(C#N)c2Cl)CCN1C ZINC000557675484 421786141 /nfs/dbraw/zinc/78/61/41/421786141.db2.gz NZBIOEXQPAVDHK-GFCCVEGCSA-N 1 2 316.796 1.833 20 30 DDEDLO C=CCCNC(=O)c1ccc(NCC[NH+]2CCOCC2)c(F)c1 ZINC000633615083 422003675 /nfs/dbraw/zinc/00/36/75/422003675.db2.gz UVYSRTUCNIWHMO-UHFFFAOYSA-N 1 2 321.396 1.876 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000582364437 422142637 /nfs/dbraw/zinc/14/26/37/422142637.db2.gz HCMWPAZPWOQTEC-WBMJQRKESA-N 1 2 304.394 1.097 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CC=C(c2ccnn2C)CC1 ZINC000582367405 422142825 /nfs/dbraw/zinc/14/28/25/422142825.db2.gz KGNUNYYILLUNQH-CYBMUJFWSA-N 1 2 313.405 1.460 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CC=C(c2ccnn2C)CC1 ZINC000582367405 422142832 /nfs/dbraw/zinc/14/28/32/422142832.db2.gz KGNUNYYILLUNQH-CYBMUJFWSA-N 1 2 313.405 1.460 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNc1nc(C#N)c(Cl)s1 ZINC000596112901 422364112 /nfs/dbraw/zinc/36/41/12/422364112.db2.gz WKMSHNRNNNRIFF-UHFFFAOYSA-N 1 2 300.815 2.191 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNc1nc(C#N)c(Cl)s1 ZINC000596112901 422364116 /nfs/dbraw/zinc/36/41/16/422364116.db2.gz WKMSHNRNNNRIFF-UHFFFAOYSA-N 1 2 300.815 2.191 20 30 DDEDLO C[C@@H](Nc1nc(C#N)c(Cl)s1)[C@@H](C)[NH+]1CCOCC1 ZINC000596113356 422364329 /nfs/dbraw/zinc/36/43/29/422364329.db2.gz AHYDOJKCJLERFA-RKDXNWHRSA-N 1 2 300.815 2.189 20 30 DDEDLO C[C@@H](CNc1nc(C#N)c(Cl)s1)C[NH+]1CCOCC1 ZINC000596137242 422367156 /nfs/dbraw/zinc/36/71/56/422367156.db2.gz XXSKQYBFIBBPFL-VIFPVBQESA-N 1 2 300.815 2.048 20 30 DDEDLO N#CC[C@@H]1CCCN(c2nc(NCCO)c3ccccc3[nH+]2)C1 ZINC000596330840 422375326 /nfs/dbraw/zinc/37/53/26/422375326.db2.gz OGRYTOYOWPWRJM-ZDUSSCGKSA-N 1 2 311.389 2.164 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc([C@@H]2CCOC2)cc1 ZINC000576865729 422377183 /nfs/dbraw/zinc/37/71/83/422377183.db2.gz KQVSIGQXWTZNSH-WBVHZDCISA-N 1 2 303.406 1.809 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc([C@@H]2CCOC2)cc1 ZINC000576865729 422377190 /nfs/dbraw/zinc/37/71/90/422377190.db2.gz KQVSIGQXWTZNSH-WBVHZDCISA-N 1 2 303.406 1.809 20 30 DDEDLO CCC(=O)c1ccc(OCC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000576759081 422377533 /nfs/dbraw/zinc/37/75/33/422377533.db2.gz RHLNTDLAWQBTCJ-QGZVFWFLSA-N 1 2 317.389 1.618 20 30 DDEDLO N#Cc1cc2c(nc1N[C@@H]1CCN(c3cccc[nH+]3)C1)CCOC2 ZINC000590695548 422322698 /nfs/dbraw/zinc/32/26/98/422322698.db2.gz BCOQFOSOJUTXIJ-OAHLLOKOSA-N 1 2 321.384 2.112 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@H+](C)[C@H]2CCC[C@H]2C#N)cc1 ZINC000602982379 422440803 /nfs/dbraw/zinc/44/08/03/422440803.db2.gz RQRIDRUMPAVPPX-ZFWWWQNUSA-N 1 2 307.419 1.719 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@@H+](C)[C@H]2CCC[C@H]2C#N)cc1 ZINC000602982379 422440807 /nfs/dbraw/zinc/44/08/07/422440807.db2.gz RQRIDRUMPAVPPX-ZFWWWQNUSA-N 1 2 307.419 1.719 20 30 DDEDLO N#CCCn1ccc(NC(=O)N2CCC(n3cc[nH+]c3)CC2)n1 ZINC000610325991 422466446 /nfs/dbraw/zinc/46/64/46/422466446.db2.gz VDNYTPXBNMYAQA-UHFFFAOYSA-N 1 2 313.365 1.862 20 30 DDEDLO CC1(C)C(=O)N[C@@H]1C1CC[NH+](Cc2nc(C#N)cs2)CC1 ZINC000578335386 422550171 /nfs/dbraw/zinc/55/01/71/422550171.db2.gz GDLLZUQFRCUCPI-CYBMUJFWSA-N 1 2 304.419 1.751 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)c1cc(-c2cccc(C#N)c2)no1 ZINC000578214651 422524359 /nfs/dbraw/zinc/52/43/59/422524359.db2.gz SWJNFRQTRZATTA-UHFFFAOYSA-N 1 2 321.340 1.919 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccc(F)cc2F)nn1 ZINC000640759576 423169204 /nfs/dbraw/zinc/16/92/04/423169204.db2.gz WTXNCDGOCXADTL-UHFFFAOYSA-N 1 2 319.315 1.308 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N[C@@H]2CCC[C@@H](C)[C@@H]2C)nn1 ZINC000640765028 423172285 /nfs/dbraw/zinc/17/22/85/423172285.db2.gz PDXQLNKVFHSDIM-IJEWVQPXSA-N 1 2 317.437 1.332 20 30 DDEDLO COCCOc1ccc(C#N)cc1NC(=O)[C@H](C)[NH+]1CC(C)C1 ZINC000579241522 422732837 /nfs/dbraw/zinc/73/28/37/422732837.db2.gz GLSQKTGZENHZSX-ZDUSSCGKSA-N 1 2 317.389 1.862 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@@H](CC)O[C@]3(CCOC3)C2)nn1 ZINC000653654145 423566617 /nfs/dbraw/zinc/56/66/17/423566617.db2.gz BFRVBXGKMFLSEM-HZPDHXFCSA-N 1 2 306.410 1.624 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@@H](CC)O[C@]3(CCOC3)C2)nn1 ZINC000653654145 423566621 /nfs/dbraw/zinc/56/66/21/423566621.db2.gz BFRVBXGKMFLSEM-HZPDHXFCSA-N 1 2 306.410 1.624 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+](Cc2ccc(OCC)cc2)CC1 ZINC000665772925 423828314 /nfs/dbraw/zinc/82/83/14/423828314.db2.gz YTUDTYKENUWJSS-GOSISDBHSA-N 1 2 318.417 1.667 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2cn(C)nc2C(F)(F)F)nn1 ZINC000657413537 424336418 /nfs/dbraw/zinc/33/64/18/424336418.db2.gz XNBOZOVEGHYFGS-UHFFFAOYSA-N 1 2 314.315 1.896 20 30 DDEDLO C[C@H]1CCN(Cc2c[nH+]c3ccc(C#N)cn23)CC[S@@]1=O ZINC000514906730 267868665 /nfs/dbraw/zinc/86/86/65/267868665.db2.gz XJGRQVLIJDBACR-LAJNKCICSA-N 1 2 302.403 1.549 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)Cc2cn3ccccc3[nH+]2)cc1 ZINC000358725531 268174031 /nfs/dbraw/zinc/17/40/31/268174031.db2.gz SOOFYXDOYFSXAG-UHFFFAOYSA-N 1 2 320.352 1.944 20 30 DDEDLO N#Cc1cccc(NC[C@]2([NH+]3CCOCC3)CCCOC2)n1 ZINC000370573291 268270226 /nfs/dbraw/zinc/27/02/26/268270226.db2.gz BZAROLAYDOCDOE-MRXNPFEDSA-N 1 2 302.378 1.247 20 30 DDEDLO C[C@@H]1C[N@@H+]2CCCC[C@H]2CN1S(=O)(=O)c1cncc(C#N)c1 ZINC000319802203 288299469 /nfs/dbraw/zinc/29/94/69/288299469.db2.gz YTHRBVGDAOIITB-OCCSQVGLSA-N 1 2 320.418 1.201 20 30 DDEDLO C[C@@H]1C[N@H+]2CCCC[C@H]2CN1S(=O)(=O)c1cncc(C#N)c1 ZINC000319802203 288299473 /nfs/dbraw/zinc/29/94/73/288299473.db2.gz YTHRBVGDAOIITB-OCCSQVGLSA-N 1 2 320.418 1.201 20 30 DDEDLO Cc1cc(N2CCC3(CCC3)S(=O)(=O)CC2)c(C#N)c[nH+]1 ZINC000333451407 301332459 /nfs/dbraw/zinc/33/24/59/301332459.db2.gz YOXIIWDEKHRBQV-UHFFFAOYSA-N 1 2 305.403 1.809 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CCOc3cc[nH+]cc32)c1C#N ZINC000125705162 302012375 /nfs/dbraw/zinc/01/23/75/302012375.db2.gz NLRVNLOIWDYTQI-UHFFFAOYSA-N 1 2 315.354 1.849 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2C[NH+]2CCOCC2)c([N+](=O)[O-])c1 ZINC000160402230 303193397 /nfs/dbraw/zinc/19/33/97/303193397.db2.gz RIEZTBGGPVPUQD-CQSZACIVSA-N 1 2 316.361 1.767 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[NH+]2CC(O)(c3ccc(F)cc3)C2)cc1 ZINC000375353430 307223843 /nfs/dbraw/zinc/22/38/43/307223843.db2.gz LKGWPUKQLHXLOK-KRWDZBQOSA-N 1 2 312.344 1.934 20 30 DDEDLO COC(=O)c1cccc(C[NH+]2CCC(CC(N)=O)CC2)c1C#N ZINC000550381430 307799704 /nfs/dbraw/zinc/79/97/04/307799704.db2.gz DYVWEZDUNOGDPE-UHFFFAOYSA-N 1 2 315.373 1.432 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)nc1 ZINC000573383219 308238956 /nfs/dbraw/zinc/23/89/56/308238956.db2.gz MBRVHWPVSYHLAS-UHFFFAOYSA-N 1 2 304.357 1.542 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)[C@@H]1C[C@H]1c1cccc(C#N)c1 ZINC000576445957 308314964 /nfs/dbraw/zinc/31/49/64/308314964.db2.gz KZJBWRUUWSTIPE-XOKHGSTOSA-N 1 2 313.401 1.499 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)[C@@H]1C[C@H]1c1cccc(C#N)c1 ZINC000576445957 308314966 /nfs/dbraw/zinc/31/49/66/308314966.db2.gz KZJBWRUUWSTIPE-XOKHGSTOSA-N 1 2 313.401 1.499 20 30 DDEDLO C[C@H]1C[C@@H](NS(=O)(=O)c2ccc(C#N)c(F)c2)c2[nH+]ccn21 ZINC000579555960 308539533 /nfs/dbraw/zinc/53/95/33/308539533.db2.gz NAQGQEYSKTYXBI-TVQRCGJNSA-N 1 2 320.349 1.878 20 30 DDEDLO CC[C@@H](Oc1ccccc1F)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000575062865 332903005 /nfs/dbraw/zinc/90/30/05/332903005.db2.gz BJPZZPPCOUGWFL-CJNGLKHVSA-N 1 2 307.369 1.943 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@@H]1C[N@@H+]([C@@H]2CC[C@@H](C#N)C2)CCO1 ZINC000497251982 333227364 /nfs/dbraw/zinc/22/73/64/333227364.db2.gz HPBPPPOTZDDEJT-MGPQQGTHSA-N 1 2 309.410 1.904 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@@H]1C[N@H+]([C@@H]2CC[C@@H](C#N)C2)CCO1 ZINC000497251982 333227365 /nfs/dbraw/zinc/22/73/65/333227365.db2.gz HPBPPPOTZDDEJT-MGPQQGTHSA-N 1 2 309.410 1.904 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CC(=O)N1CCSC[C@H]1C#N ZINC000271769523 334026490 /nfs/dbraw/zinc/02/64/90/334026490.db2.gz XAVQOOIMKLKZTP-GFCCVEGCSA-N 1 2 300.387 1.812 20 30 DDEDLO N#CC1CCC(CNC(=O)c2ccc(-n3cc[nH+]c3)nn2)CC1 ZINC000583603604 335765805 /nfs/dbraw/zinc/76/58/05/335765805.db2.gz SKHAGMZIQSIFEE-UHFFFAOYSA-N 1 2 310.361 1.722 20 30 DDEDLO CCCS(=O)(=O)NC1CC[NH+](Cc2ccc(C#N)cn2)CC1 ZINC000582762012 337137854 /nfs/dbraw/zinc/13/78/54/337137854.db2.gz KCCFDIOZQSRZRF-UHFFFAOYSA-N 1 2 322.434 1.247 20 30 DDEDLO COCCCOc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000582849236 337210173 /nfs/dbraw/zinc/21/01/73/337210173.db2.gz SXYBXJPUHTVNOB-QGZVFWFLSA-N 1 2 319.405 1.676 20 30 DDEDLO CNC(=O)OC[C@@H]1CCCC[N@@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000496607023 340008794 /nfs/dbraw/zinc/00/87/94/340008794.db2.gz UTLXCBLNZCIHTH-XJKSGUPXSA-N 1 2 324.425 1.251 20 30 DDEDLO CNC(=O)OC[C@@H]1CCCC[N@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000496607023 340008795 /nfs/dbraw/zinc/00/87/95/340008795.db2.gz UTLXCBLNZCIHTH-XJKSGUPXSA-N 1 2 324.425 1.251 20 30 DDEDLO COC(=O)Nc1ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc1F ZINC000496854020 340014625 /nfs/dbraw/zinc/01/46/25/340014625.db2.gz MRVPZQYJOROOQZ-LBPRGKRZSA-N 1 2 324.356 1.622 20 30 DDEDLO COC(=O)Nc1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc1F ZINC000496854020 340014626 /nfs/dbraw/zinc/01/46/26/340014626.db2.gz MRVPZQYJOROOQZ-LBPRGKRZSA-N 1 2 324.356 1.622 20 30 DDEDLO COC(=O)Nc1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc1F ZINC000496854019 340014661 /nfs/dbraw/zinc/01/46/61/340014661.db2.gz MRVPZQYJOROOQZ-GFCCVEGCSA-N 1 2 324.356 1.622 20 30 DDEDLO COC(=O)Nc1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1F ZINC000496854019 340014662 /nfs/dbraw/zinc/01/46/62/340014662.db2.gz MRVPZQYJOROOQZ-GFCCVEGCSA-N 1 2 324.356 1.622 20 30 DDEDLO C[C@@H](C#N)CNC(=O)N(C)Cc1[nH+]ccn1CC(F)(F)F ZINC000541945651 340990769 /nfs/dbraw/zinc/99/07/69/340990769.db2.gz LZOUIRWRFDZYBR-VIFPVBQESA-N 1 2 303.288 1.746 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cccc(C(=O)CC)c2)CC1 ZINC000135779769 341245929 /nfs/dbraw/zinc/24/59/29/341245929.db2.gz FGVYSKIBFWDXRD-UHFFFAOYSA-N 1 2 313.401 1.469 20 30 DDEDLO Cc1ccc(CC(=O)N(CCC#N)CC[NH+]2CCOCC2)o1 ZINC000554798042 341464303 /nfs/dbraw/zinc/46/43/03/341464303.db2.gz NSCTYGWDCKGFNE-UHFFFAOYSA-N 1 2 305.378 1.205 20 30 DDEDLO N#CCCC1CCN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC000565538467 341530431 /nfs/dbraw/zinc/53/04/31/341530431.db2.gz RVIDGZLLQXAJIJ-AWEZNQCLSA-N 1 2 301.394 1.923 20 30 DDEDLO CC(=O)Nc1ccc(F)c(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000567506217 341611121 /nfs/dbraw/zinc/61/11/21/341611121.db2.gz HOMNAYNJKYKLBI-OAHLLOKOSA-N 1 2 306.341 1.358 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)NCC2(C3CC3)CC2)CCN1C ZINC000328635132 341735259 /nfs/dbraw/zinc/73/52/59/341735259.db2.gz UNONONAPEJQOBR-CQSZACIVSA-N 1 2 317.437 1.813 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000573689452 341736088 /nfs/dbraw/zinc/73/60/88/341736088.db2.gz RVBOBEXSHDUVCY-QGZVFWFLSA-N 1 2 314.389 1.490 20 30 DDEDLO N#CCC[NH2+]Cc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000576339538 341841183 /nfs/dbraw/zinc/84/11/83/341841183.db2.gz SGRNHJGKALKRKI-UHFFFAOYSA-N 1 2 307.419 1.864 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1nc(CC(=O)OC)cs1 ZINC000580581875 341881340 /nfs/dbraw/zinc/88/13/40/341881340.db2.gz OQPDOQRCBLYRKK-VIFPVBQESA-N 1 2 310.379 1.079 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+](C)[C@H](C)C(=O)OC ZINC000515531615 484263114 /nfs/dbraw/zinc/26/31/14/484263114.db2.gz QEXSBFLMIGEYFN-HIFRSBDPSA-N 1 2 307.390 1.648 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+](C)[C@H](C)C(=O)OC ZINC000515531615 484263117 /nfs/dbraw/zinc/26/31/17/484263117.db2.gz QEXSBFLMIGEYFN-HIFRSBDPSA-N 1 2 307.390 1.648 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+](C)[C@H](C)C(=O)OC ZINC000515531616 484263733 /nfs/dbraw/zinc/26/37/33/484263733.db2.gz QEXSBFLMIGEYFN-UKRRQHHQSA-N 1 2 307.390 1.648 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+](C)[C@H](C)C(=O)OC ZINC000515531616 484263739 /nfs/dbraw/zinc/26/37/39/484263739.db2.gz QEXSBFLMIGEYFN-UKRRQHHQSA-N 1 2 307.390 1.648 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000665613670 484970143 /nfs/dbraw/zinc/97/01/43/484970143.db2.gz ITFGITDVRKHLNA-KRWDZBQOSA-N 1 2 318.421 1.494 20 30 DDEDLO C=C[C@@H](O)C(=O)N(Cc1ccccc1)[C@H](C)C[NH+]1CCOCC1 ZINC000668142901 484983700 /nfs/dbraw/zinc/98/37/00/484983700.db2.gz HUQYXLGSAXDCJT-NVXWUHKLSA-N 1 2 318.417 1.283 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](S(=O)(=O)Nc2ccccc2)C1 ZINC000679830676 486006567 /nfs/dbraw/zinc/00/65/67/486006567.db2.gz SLKNSLQMBWHLMC-MRXNPFEDSA-N 1 2 322.430 1.543 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](S(=O)(=O)Nc2ccccc2)C1 ZINC000679830676 486006573 /nfs/dbraw/zinc/00/65/73/486006573.db2.gz SLKNSLQMBWHLMC-MRXNPFEDSA-N 1 2 322.430 1.543 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000677047903 486385439 /nfs/dbraw/zinc/38/54/39/486385439.db2.gz JRCGWIJCZYKNCC-HNNXBMFYSA-N 1 2 310.344 1.660 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccccc2F)CC1 ZINC000677818030 486548681 /nfs/dbraw/zinc/54/86/81/486548681.db2.gz XYEJTPVRDGNYDY-UHFFFAOYSA-N 1 2 304.365 1.623 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccccc2F)CC1 ZINC000677818030 486548683 /nfs/dbraw/zinc/54/86/83/486548683.db2.gz XYEJTPVRDGNYDY-UHFFFAOYSA-N 1 2 304.365 1.623 20 30 DDEDLO C[C@@H]1C[C@H](CCNC([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)CCO1 ZINC000330201010 533830827 /nfs/dbraw/zinc/83/08/27/533830827.db2.gz XEGCJJFMVXXVOC-MGPQQGTHSA-N 1 2 306.410 1.907 20 30 DDEDLO C[C@@H]1C[C@H](CC[NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)CCO1 ZINC000330201010 533830840 /nfs/dbraw/zinc/83/08/40/533830840.db2.gz XEGCJJFMVXXVOC-MGPQQGTHSA-N 1 2 306.410 1.907 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]CC(=O)N(C)C2(C#N)CCCCC2)n1 ZINC000348092667 534474853 /nfs/dbraw/zinc/47/48/53/534474853.db2.gz PRYCIYLZLRMJNE-NSHDSACASA-N 1 2 305.382 1.714 20 30 DDEDLO Cc1cnc(CC(=O)N(CCC#N)CC[NH+]2CCOCC2)s1 ZINC000345436519 534539798 /nfs/dbraw/zinc/53/97/98/534539798.db2.gz NTLKJRNLRBSHJR-UHFFFAOYSA-N 1 2 322.434 1.069 20 30 DDEDLO N#CCNC(=O)C[N@H+](Cc1cccs1)[C@@H]1C=C[C@@H](CO)C1 ZINC000347325184 534672729 /nfs/dbraw/zinc/67/27/29/534672729.db2.gz AGWVVQWJQIWCKJ-CHWSQXEVSA-N 1 2 305.403 1.127 20 30 DDEDLO N#CCNC(=O)C[N@@H+](Cc1cccs1)[C@@H]1C=C[C@@H](CO)C1 ZINC000347325184 534672737 /nfs/dbraw/zinc/67/27/37/534672737.db2.gz AGWVVQWJQIWCKJ-CHWSQXEVSA-N 1 2 305.403 1.127 20 30 DDEDLO Cc1nc(N2CCN(C(=O)N[C@H]3CCC[C@@H]3C#N)CC2)cc[nH+]1 ZINC000332129964 534754028 /nfs/dbraw/zinc/75/40/28/534754028.db2.gz JQZSBLRUKVPXNU-KGLIPLIRSA-N 1 2 314.393 1.309 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N1CC[C@]2(CCOC2)C1 ZINC000329646934 534758134 /nfs/dbraw/zinc/75/81/34/534758134.db2.gz AIFRGFBVCXCMGX-INIZCTEOSA-N 1 2 304.394 1.746 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000424724274 526431897 /nfs/dbraw/zinc/43/18/97/526431897.db2.gz DVGINOFDOIHJDC-OAHLLOKOSA-N 1 2 310.438 1.538 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000424807354 526432259 /nfs/dbraw/zinc/43/22/59/526432259.db2.gz JOAQUWMDQJIHAW-UHFFFAOYSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccc(C)cc2F)C1=O ZINC000337221606 526468565 /nfs/dbraw/zinc/46/85/65/526468565.db2.gz LJVJRDAJBSVNMS-OAHLLOKOSA-N 1 2 319.380 1.791 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccc(C)cc2F)C1=O ZINC000337221606 526468568 /nfs/dbraw/zinc/46/85/68/526468568.db2.gz LJVJRDAJBSVNMS-OAHLLOKOSA-N 1 2 319.380 1.791 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(CC(F)F)[C@@H](CC)C2)C1=O ZINC000337184981 526480323 /nfs/dbraw/zinc/48/03/23/526480323.db2.gz ONZDFZIZWPVDGC-QWHCGFSZSA-N 1 2 301.381 1.435 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(CC(F)F)[C@@H](CC)C2)C1=O ZINC000337184981 526480324 /nfs/dbraw/zinc/48/03/24/526480324.db2.gz ONZDFZIZWPVDGC-QWHCGFSZSA-N 1 2 301.381 1.435 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc([N+](=O)[O-])cc2OC)C1=O ZINC000337221330 526500720 /nfs/dbraw/zinc/50/07/20/526500720.db2.gz LGGAYLGHWPNLQD-AWEZNQCLSA-N 1 2 319.361 1.822 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc([N+](=O)[O-])cc2OC)C1=O ZINC000337221330 526500723 /nfs/dbraw/zinc/50/07/23/526500723.db2.gz LGGAYLGHWPNLQD-AWEZNQCLSA-N 1 2 319.361 1.822 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2cc(C(C)C)ncn2)CC1 ZINC000346616593 526540719 /nfs/dbraw/zinc/54/07/19/526540719.db2.gz AESRBEVJNIRVIC-UHFFFAOYSA-N 1 2 303.410 1.024 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH+]1CCC(CC(F)(F)F)CC1 ZINC000342016612 526588804 /nfs/dbraw/zinc/58/88/04/526588804.db2.gz LHVPFKURAWELAI-UHFFFAOYSA-N 1 2 307.316 1.663 20 30 DDEDLO CC(C)(C)[C@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000331338989 526736593 /nfs/dbraw/zinc/73/65/93/526736593.db2.gz WJKOCRLBFCQLOW-MRXNPFEDSA-N 1 2 315.417 1.921 20 30 DDEDLO CC(C)(C)[C@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000331338989 526736597 /nfs/dbraw/zinc/73/65/97/526736597.db2.gz WJKOCRLBFCQLOW-MRXNPFEDSA-N 1 2 315.417 1.921 20 30 DDEDLO C#CCN(C(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C)C1CC1 ZINC000491711553 526760977 /nfs/dbraw/zinc/76/09/77/526760977.db2.gz VXXBGKNWZNORGE-UHFFFAOYSA-N 1 2 310.357 1.444 20 30 DDEDLO C#CCN(C)C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000491278606 526797227 /nfs/dbraw/zinc/79/72/27/526797227.db2.gz ULGPZCSZKFQTMS-OAHLLOKOSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCN(C)C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000491278606 526797232 /nfs/dbraw/zinc/79/72/32/526797232.db2.gz ULGPZCSZKFQTMS-OAHLLOKOSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCOC[C@H]1NC(=O)N(Cc2[nH+]ccn2CC(C)C)C1=O ZINC000348574543 526813953 /nfs/dbraw/zinc/81/39/53/526813953.db2.gz PIFSKJZZYYSVGM-GFCCVEGCSA-N 1 2 306.366 1.162 20 30 DDEDLO C#CC[N@@H+](C[C@H](O)[C@H](C)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000491781988 526903528 /nfs/dbraw/zinc/90/35/28/526903528.db2.gz MONKMXMUDHEDDS-PVAVHDDUSA-N 1 2 321.442 1.273 20 30 DDEDLO C#CC[N@H+](C[C@H](O)[C@H](C)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000491781988 526903533 /nfs/dbraw/zinc/90/35/33/526903533.db2.gz MONKMXMUDHEDDS-PVAVHDDUSA-N 1 2 321.442 1.273 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(OC(C)C)cc2)CC1 ZINC000490780642 526951586 /nfs/dbraw/zinc/95/15/86/526951586.db2.gz NHEBFQQYSHFZGW-UHFFFAOYSA-N 1 2 316.401 1.630 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc3ccn(C)c3c2)CC1 ZINC000490738681 526952328 /nfs/dbraw/zinc/95/23/28/526952328.db2.gz CDJMJCKBBZVMTI-UHFFFAOYSA-N 1 2 310.401 1.368 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2noc(CCCC)n2)CC1 ZINC000491099309 526971687 /nfs/dbraw/zinc/97/16/87/526971687.db2.gz AJVNITJVAYHCNK-UHFFFAOYSA-N 1 2 304.394 1.374 20 30 DDEDLO CC(=O)Nc1ccc(NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)cc1 ZINC000330708739 527004203 /nfs/dbraw/zinc/00/42/03/527004203.db2.gz NKWFVLBQJMQPRO-HNNXBMFYSA-N 1 2 319.409 1.217 20 30 DDEDLO CC(=O)Nc1ccc(NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)cc1 ZINC000330708739 527004207 /nfs/dbraw/zinc/00/42/07/527004207.db2.gz NKWFVLBQJMQPRO-HNNXBMFYSA-N 1 2 319.409 1.217 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+]([C@H](C)C(=O)NC2CC2)CC1 ZINC000343862821 527024655 /nfs/dbraw/zinc/02/46/55/527024655.db2.gz NXNKAWYXOQJLPZ-CQSZACIVSA-N 1 2 319.449 1.566 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000341847695 527065428 /nfs/dbraw/zinc/06/54/28/527065428.db2.gz MKYXBVLDLBCINV-SNVBAGLBSA-N 1 2 320.315 1.429 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)[C@@H]2CCn3cc[nH+]c3C2)n1 ZINC000491674115 527180661 /nfs/dbraw/zinc/18/06/61/527180661.db2.gz JUNOJEMAVJCHFJ-CQSZACIVSA-N 1 2 311.389 1.324 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)[C@H]1CN(c2cccc[nH+]2)C[C@@H]1C ZINC000346033635 527181278 /nfs/dbraw/zinc/18/12/78/527181278.db2.gz HPMMBZQJHRRANZ-KKUMJFAQSA-N 1 2 303.406 1.455 20 30 DDEDLO C=CCCN(C)C(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000342135646 527309036 /nfs/dbraw/zinc/30/90/36/527309036.db2.gz FEHMJLPZBUXOTC-UHFFFAOYSA-N 1 2 322.453 1.148 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)C[C@](C)(c2ccc(F)cc2)C1=O ZINC000491819617 527332466 /nfs/dbraw/zinc/33/24/66/527332466.db2.gz OVFKXBCPZOIGBJ-YVEFUNNKSA-N 1 2 302.349 1.753 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)C[C@](C)(c2ccc(F)cc2)C1=O ZINC000491819617 527332470 /nfs/dbraw/zinc/33/24/70/527332470.db2.gz OVFKXBCPZOIGBJ-YVEFUNNKSA-N 1 2 302.349 1.753 20 30 DDEDLO C#C[C@H](NC(=O)C1([NH+]2CCOCC2)CCC1)c1ccc(F)cc1 ZINC000491729927 527380159 /nfs/dbraw/zinc/38/01/59/527380159.db2.gz IYJNFUBKFRLSHP-INIZCTEOSA-N 1 2 316.376 1.871 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[NH+](Cc2ccncc2)CC1)C(C)(C)C ZINC000491536285 527387873 /nfs/dbraw/zinc/38/78/73/527387873.db2.gz JAEBZXBPJBMOLH-INIZCTEOSA-N 1 2 314.433 1.957 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000413316881 527473541 /nfs/dbraw/zinc/47/35/41/527473541.db2.gz LVFGEFPVLWNBJF-HZSPNIEDSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000413316881 527473545 /nfs/dbraw/zinc/47/35/45/527473545.db2.gz LVFGEFPVLWNBJF-HZSPNIEDSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000413316878 527474049 /nfs/dbraw/zinc/47/40/49/527474049.db2.gz LVFGEFPVLWNBJF-BFHYXJOUSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000413316878 527474052 /nfs/dbraw/zinc/47/40/52/527474052.db2.gz LVFGEFPVLWNBJF-BFHYXJOUSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCCc1ccccc1NC(=O)C(=O)NCc1[nH+]ccn1C ZINC000337513684 527501758 /nfs/dbraw/zinc/50/17/58/527501758.db2.gz XEESMIMGWSMFBW-UHFFFAOYSA-N 1 2 312.373 1.794 20 30 DDEDLO C=CC[N@@H+](CC(=O)OC)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000343647386 527584014 /nfs/dbraw/zinc/58/40/14/527584014.db2.gz WCYUSKBLJAUHNV-GFCCVEGCSA-N 1 2 301.350 1.384 20 30 DDEDLO C=CC[N@H+](CC(=O)OC)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000343647386 527584020 /nfs/dbraw/zinc/58/40/20/527584020.db2.gz WCYUSKBLJAUHNV-GFCCVEGCSA-N 1 2 301.350 1.384 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@H](S(C)(=O)=O)CC1 ZINC000337397887 527611105 /nfs/dbraw/zinc/61/11/05/527611105.db2.gz JUBJMLWCFICGFG-AWEZNQCLSA-N 1 2 314.451 1.086 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@H](S(C)(=O)=O)CC1 ZINC000337397887 527611108 /nfs/dbraw/zinc/61/11/08/527611108.db2.gz JUBJMLWCFICGFG-AWEZNQCLSA-N 1 2 314.451 1.086 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000451767250 527628849 /nfs/dbraw/zinc/62/88/49/527628849.db2.gz ZBPHRDDYCYHEIN-SZNDQCEHSA-N 1 2 312.479 1.809 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000451767250 527628852 /nfs/dbraw/zinc/62/88/52/527628852.db2.gz ZBPHRDDYCYHEIN-SZNDQCEHSA-N 1 2 312.479 1.809 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@@H+]1CCCC[C@H]1c1cnn(C)c1 ZINC000330516003 528019084 /nfs/dbraw/zinc/01/90/84/528019084.db2.gz WHWSBDJTIYVIPJ-AWEZNQCLSA-N 1 2 321.425 1.777 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@H+]1CCCC[C@H]1c1cnn(C)c1 ZINC000330516003 528019090 /nfs/dbraw/zinc/01/90/90/528019090.db2.gz WHWSBDJTIYVIPJ-AWEZNQCLSA-N 1 2 321.425 1.777 20 30 DDEDLO CC(C)O[C@@H]1C[C@H](NC(=O)NC[C@@H]2C[N@H+](C)CCO2)C1(C)C ZINC000329641596 528061829 /nfs/dbraw/zinc/06/18/29/528061829.db2.gz XWGUKXMCKLJEDU-HZSPNIEDSA-N 1 2 313.442 1.413 20 30 DDEDLO CC(C)O[C@@H]1C[C@H](NC(=O)NC[C@@H]2C[N@@H+](C)CCO2)C1(C)C ZINC000329641596 528061835 /nfs/dbraw/zinc/06/18/35/528061835.db2.gz XWGUKXMCKLJEDU-HZSPNIEDSA-N 1 2 313.442 1.413 20 30 DDEDLO CC(C)[C@H](C)CNC(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000331030184 528938259 /nfs/dbraw/zinc/93/82/59/528938259.db2.gz FLQKANCKNYRJOX-KGLIPLIRSA-N 1 2 307.442 1.915 20 30 DDEDLO CCCCn1ncc(NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)c1C ZINC000331337612 529101369 /nfs/dbraw/zinc/10/13/69/529101369.db2.gz VSBMJFAJUVVJJV-AWEZNQCLSA-N 1 2 322.457 1.563 20 30 DDEDLO CCCCn1ncc(NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)c1C ZINC000331337612 529101373 /nfs/dbraw/zinc/10/13/73/529101373.db2.gz VSBMJFAJUVVJJV-AWEZNQCLSA-N 1 2 322.457 1.563 20 30 DDEDLO CCc1cccc(C)c1NC(=O)C[N@@H+]1CC[C@H](NC(=O)NC)C1 ZINC000330954810 529156934 /nfs/dbraw/zinc/15/69/34/529156934.db2.gz STMFOUFRCUDFRL-AWEZNQCLSA-N 1 2 318.421 1.704 20 30 DDEDLO CCc1cccc(C)c1NC(=O)C[N@H+]1CC[C@H](NC(=O)NC)C1 ZINC000330954810 529156936 /nfs/dbraw/zinc/15/69/36/529156936.db2.gz STMFOUFRCUDFRL-AWEZNQCLSA-N 1 2 318.421 1.704 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)NC[C@H]1C[N@H+](C)CCO1)C(F)(F)F ZINC000331317380 529249671 /nfs/dbraw/zinc/24/96/71/529249671.db2.gz GEQSVDNDPWLJSG-DCAQKATOSA-N 1 2 311.348 1.798 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)NC[C@H]1C[N@@H+](C)CCO1)C(F)(F)F ZINC000331317380 529249673 /nfs/dbraw/zinc/24/96/73/529249673.db2.gz GEQSVDNDPWLJSG-DCAQKATOSA-N 1 2 311.348 1.798 20 30 DDEDLO C#CCOCCC(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000122731661 696724718 /nfs/dbraw/zinc/72/47/18/696724718.db2.gz IREGLZLSFWVRAX-UHFFFAOYSA-N 1 2 315.417 1.412 20 30 DDEDLO C#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC000971663418 695055159 /nfs/dbraw/zinc/05/51/59/695055159.db2.gz PIFMOVUWVGAQDO-SECBINFHSA-N 1 2 300.284 1.208 20 30 DDEDLO C#CC[N@H+](C)[C@@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC000971663418 695055161 /nfs/dbraw/zinc/05/51/61/695055161.db2.gz PIFMOVUWVGAQDO-SECBINFHSA-N 1 2 300.284 1.208 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)O[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000745085877 699971388 /nfs/dbraw/zinc/97/13/88/699971388.db2.gz JADNQDNLXNFPAW-VBQJREDUSA-N 1 2 323.437 1.847 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)O[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000745085877 699971389 /nfs/dbraw/zinc/97/13/89/699971389.db2.gz JADNQDNLXNFPAW-VBQJREDUSA-N 1 2 323.437 1.847 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cncc(F)c3)C2)C1 ZINC000972331595 695218856 /nfs/dbraw/zinc/21/88/56/695218856.db2.gz GKUCDKWDLACROB-INIZCTEOSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cncc(F)c3)C2)C1 ZINC000972331595 695218857 /nfs/dbraw/zinc/21/88/57/695218857.db2.gz GKUCDKWDLACROB-INIZCTEOSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CC(F)(F)C3)C2)C1 ZINC000972332055 695219201 /nfs/dbraw/zinc/21/92/01/695219201.db2.gz JIRLXKUMSUTUPV-CQSZACIVSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CC(F)(F)C3)C2)C1 ZINC000972332055 695219203 /nfs/dbraw/zinc/21/92/03/695219203.db2.gz JIRLXKUMSUTUPV-CQSZACIVSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)sn3)C2)C1 ZINC000972353594 695224671 /nfs/dbraw/zinc/22/46/71/695224671.db2.gz SMVWJQJJRLJLJS-OAHLLOKOSA-N 1 2 307.419 1.554 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)sn3)C2)C1 ZINC000972353594 695224673 /nfs/dbraw/zinc/22/46/73/695224673.db2.gz SMVWJQJJRLJLJS-OAHLLOKOSA-N 1 2 307.419 1.554 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccsc3C)C2)C1 ZINC000972375369 695233763 /nfs/dbraw/zinc/23/37/63/695233763.db2.gz ZTTMASLYVNSQIT-QGZVFWFLSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccsc3C)C2)C1 ZINC000972375369 695233764 /nfs/dbraw/zinc/23/37/64/695233764.db2.gz ZTTMASLYVNSQIT-QGZVFWFLSA-N 1 2 318.442 1.997 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCC3(F)F)C2)C1 ZINC000972430308 695249660 /nfs/dbraw/zinc/24/96/60/695249660.db2.gz FBZJWYODMAJNAT-JSGCOSHPSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCC3(F)F)C2)C1 ZINC000972430308 695249661 /nfs/dbraw/zinc/24/96/61/695249661.db2.gz FBZJWYODMAJNAT-JSGCOSHPSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ncc(C)cc3C)C2)C1 ZINC000972473206 695259425 /nfs/dbraw/zinc/25/94/25/695259425.db2.gz AELIJINFZNGHRR-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ncc(C)cc3C)C2)C1 ZINC000972473206 695259426 /nfs/dbraw/zinc/25/94/26/695259426.db2.gz AELIJINFZNGHRR-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C4CC4)no3)C2)C1 ZINC000972581675 695289222 /nfs/dbraw/zinc/28/92/22/695289222.db2.gz JSRMOGJZGYZLPY-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C4CC4)no3)C2)C1 ZINC000972581675 695289224 /nfs/dbraw/zinc/28/92/24/695289224.db2.gz JSRMOGJZGYZLPY-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)nc(C)n3)C2)C1 ZINC000972594510 695293809 /nfs/dbraw/zinc/29/38/09/695293809.db2.gz BEOOVEOSLDSONR-KRWDZBQOSA-N 1 2 316.405 1.196 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)nc(C)n3)C2)C1 ZINC000972594510 695293810 /nfs/dbraw/zinc/29/38/10/695293810.db2.gz BEOOVEOSLDSONR-KRWDZBQOSA-N 1 2 316.405 1.196 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnn(CC)c3C)C2)C1 ZINC000972609671 695297885 /nfs/dbraw/zinc/29/78/85/695297885.db2.gz VGHLTCGWJDZWFE-KRWDZBQOSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnn(CC)c3C)C2)C1 ZINC000972609671 695297886 /nfs/dbraw/zinc/29/78/86/695297886.db2.gz VGHLTCGWJDZWFE-KRWDZBQOSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H](C)n3cccc3)C2)C1 ZINC000972643663 695307657 /nfs/dbraw/zinc/30/76/57/695307657.db2.gz RRSQCGCHBFRGHK-SJLPKXTDSA-N 1 2 317.433 1.929 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H](C)n3cccc3)C2)C1 ZINC000972643663 695307659 /nfs/dbraw/zinc/30/76/59/695307659.db2.gz RRSQCGCHBFRGHK-SJLPKXTDSA-N 1 2 317.433 1.929 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ccn(C)n3)C2)cn1 ZINC000972756702 695329887 /nfs/dbraw/zinc/32/98/87/695329887.db2.gz NVUWISSSRXSVNX-QGZVFWFLSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@H+](C)Cc3ccn(C)n3)C2)cn1 ZINC000972756702 695329888 /nfs/dbraw/zinc/32/98/88/695329888.db2.gz NVUWISSSRXSVNX-QGZVFWFLSA-N 1 2 323.400 1.143 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc[nH]c(=O)c2)C(C)(C)C1 ZINC000973025664 695399196 /nfs/dbraw/zinc/39/91/96/695399196.db2.gz STBHXPWYDRWHAM-GFCCVEGCSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc[nH]c(=O)c2)C(C)(C)C1 ZINC000973025664 695399197 /nfs/dbraw/zinc/39/91/97/695399197.db2.gz STBHXPWYDRWHAM-GFCCVEGCSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@@H](NC(=O)Cn3cc[nH+]c3)C2)C1 ZINC000974276534 695651624 /nfs/dbraw/zinc/65/16/24/695651624.db2.gz BTYJJRKRBCQDAS-BETUJISGSA-N 1 2 302.378 1.003 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C2CN(C(C)=O)C2)C(C)(C)C1 ZINC000974504309 695681721 /nfs/dbraw/zinc/68/17/21/695681721.db2.gz WVFUSWAUVPJPDL-CYBMUJFWSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C2CN(C(C)=O)C2)C(C)(C)C1 ZINC000974504309 695681724 /nfs/dbraw/zinc/68/17/24/695681724.db2.gz WVFUSWAUVPJPDL-CYBMUJFWSA-N 1 2 313.829 1.044 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000974584747 695690798 /nfs/dbraw/zinc/69/07/98/695690798.db2.gz RIYIXKLPHBVSAH-IUODEOHRSA-N 1 2 302.422 1.842 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000974584747 695690799 /nfs/dbraw/zinc/69/07/99/695690799.db2.gz RIYIXKLPHBVSAH-IUODEOHRSA-N 1 2 302.422 1.842 20 30 DDEDLO CC1(C)CN(CC#N)C[C@@H]1NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000977414488 696150629 /nfs/dbraw/zinc/15/06/29/696150629.db2.gz FCKOKTPSWYZOPS-HNNXBMFYSA-N 1 2 324.388 1.231 20 30 DDEDLO N#CCn1cc(NC(=O)c2ccc(Cn3cc[nH+]c3)cc2)cn1 ZINC000747430255 700069659 /nfs/dbraw/zinc/06/96/59/700069659.db2.gz IGGBEYPZJHXSBY-UHFFFAOYSA-N 1 2 306.329 1.904 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H]([C@@H](C)[NH2+]Cc2csnn2)C1 ZINC000979382940 696542057 /nfs/dbraw/zinc/54/20/57/696542057.db2.gz YPXWZUHLHLTAME-DGCLKSJQSA-N 1 2 324.450 1.456 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1c[nH]c[nH+]1 ZINC000979534377 696587083 /nfs/dbraw/zinc/58/70/83/696587083.db2.gz ZSKDJCPYAYTCAG-NHAGDIPZSA-N 1 2 316.405 1.128 20 30 DDEDLO COc1cccc2cc(-c3csc([NH+]=C(N)N)n3)c(=O)oc21 ZINC000101927754 696609566 /nfs/dbraw/zinc/60/95/66/696609566.db2.gz BQJAHJVOUZZNBA-UHFFFAOYSA-N 1 2 316.342 1.830 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCC(=O)N2CCO[C@H](C)C2)ccc1F ZINC000148939194 696875323 /nfs/dbraw/zinc/87/53/23/696875323.db2.gz OWDHQXOXFDPMQH-LLVKDONJSA-N 1 2 309.341 1.018 20 30 DDEDLO Cc1n[nH]nc1C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000981730319 696894854 /nfs/dbraw/zinc/89/48/54/696894854.db2.gz AKDKPTVBYZRNAG-UHFFFAOYSA-N 1 2 323.400 1.313 20 30 DDEDLO Cc1n[nH]nc1C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000981730319 696894857 /nfs/dbraw/zinc/89/48/57/696894857.db2.gz AKDKPTVBYZRNAG-UHFFFAOYSA-N 1 2 323.400 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cc2ncccc2OC)CC1 ZINC000981828747 696934715 /nfs/dbraw/zinc/93/47/15/696934715.db2.gz ZSAPKEOHKAOUFE-UHFFFAOYSA-N 1 2 323.824 1.920 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cc2ncccc2OC)CC1 ZINC000981828747 696934718 /nfs/dbraw/zinc/93/47/18/696934718.db2.gz ZSAPKEOHKAOUFE-UHFFFAOYSA-N 1 2 323.824 1.920 20 30 DDEDLO C[C@H](CC(=O)N1C[C@@]2(C)CN(CC#N)C[C@@]2(C)C1)n1cc[nH+]c1 ZINC000982597585 697155952 /nfs/dbraw/zinc/15/59/52/697155952.db2.gz AHFWWPLQJMYDFZ-OIISXLGYSA-N 1 2 315.421 1.528 20 30 DDEDLO COc1ccc(CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)cc1C#N ZINC000749258317 700153645 /nfs/dbraw/zinc/15/36/45/700153645.db2.gz KELHUIASOTUWJO-CYBMUJFWSA-N 1 2 310.357 1.642 20 30 DDEDLO COCCCCON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181843862 697465769 /nfs/dbraw/zinc/46/57/69/697465769.db2.gz OSJNQTFTDMEBJQ-MRXNPFEDSA-N 1 2 321.421 1.603 20 30 DDEDLO COCCCCON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181843862 697465772 /nfs/dbraw/zinc/46/57/72/697465772.db2.gz OSJNQTFTDMEBJQ-MRXNPFEDSA-N 1 2 321.421 1.603 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC([N@@H+](C)Cc3ncnn3C)CC2)C1 ZINC000985632412 697546628 /nfs/dbraw/zinc/54/66/28/697546628.db2.gz QZURNAHACZLPPH-UHFFFAOYSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC([N@H+](C)Cc3ncnn3C)CC2)C1 ZINC000985632412 697546630 /nfs/dbraw/zinc/54/66/30/697546630.db2.gz QZURNAHACZLPPH-UHFFFAOYSA-N 1 2 317.437 1.594 20 30 DDEDLO COCC[NH+]1CCC(/N=C/c2cc([N+](=O)[O-])ccc2O)CC1 ZINC000194924381 697725853 /nfs/dbraw/zinc/72/58/53/697725853.db2.gz BTGIOJKTXRUOAN-LFIBNONCSA-N 1 2 307.350 1.830 20 30 DDEDLO C=C(C)[C@H](CO)[N@@H+]1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000775850573 698044628 /nfs/dbraw/zinc/04/46/28/698044628.db2.gz NNEWEBGDXPDHOE-STQMWFEESA-N 1 2 300.399 1.149 20 30 DDEDLO C=C(C)[C@H](CO)[N@H+]1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000775850573 698044633 /nfs/dbraw/zinc/04/46/33/698044633.db2.gz NNEWEBGDXPDHOE-STQMWFEESA-N 1 2 300.399 1.149 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@H](n2cc[nH+]c2)C1)[C@H]1CCCO1 ZINC000776227384 698083951 /nfs/dbraw/zinc/08/39/51/698083951.db2.gz JMZYMPNXCJPUGE-SOUVJXGZSA-N 1 2 302.378 1.410 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)CC[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000778236199 698252185 /nfs/dbraw/zinc/25/21/85/698252185.db2.gz YYRXWHKTDNSMGI-JKSUJKDBSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)CC[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000778236199 698252187 /nfs/dbraw/zinc/25/21/87/698252187.db2.gz YYRXWHKTDNSMGI-JKSUJKDBSA-N 1 2 318.373 1.741 20 30 DDEDLO C[C@@H]1C[C@H]([NH2+]Cc2cnsn2)CN1C(=O)c1cc(C#N)c[nH]1 ZINC000988500982 698391998 /nfs/dbraw/zinc/39/19/98/698391998.db2.gz LVQSIUHPWOJSSU-KOLCDFICSA-N 1 2 316.390 1.131 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)[C@H](O)c2ccc(C#N)cc2)C1 ZINC000780995774 698581063 /nfs/dbraw/zinc/58/10/63/698581063.db2.gz QCWBKUIQJLLVNR-LSDHHAIUSA-N 1 2 310.357 1.341 20 30 DDEDLO C#CCC[N@H+]1CCCN(C(=O)c2cc(-c3ccoc3)[nH]n2)CC1 ZINC000989671187 698718760 /nfs/dbraw/zinc/71/87/60/698718760.db2.gz WCZWGLQATCMRPA-UHFFFAOYSA-N 1 2 312.373 1.841 20 30 DDEDLO C#CCC[N@@H+]1CCCN(C(=O)c2cc(-c3ccoc3)[nH]n2)CC1 ZINC000989671187 698718762 /nfs/dbraw/zinc/71/87/62/698718762.db2.gz WCZWGLQATCMRPA-UHFFFAOYSA-N 1 2 312.373 1.841 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1nccn1CC(F)(F)F ZINC000782967809 698790811 /nfs/dbraw/zinc/79/08/11/698790811.db2.gz PYHISAHUQWJZFY-UHFFFAOYSA-N 1 2 311.329 1.136 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(NC(=O)[C@H]3CCCc4[nH]ncc43)CCC[C@H]12 ZINC000990686083 699131535 /nfs/dbraw/zinc/13/15/35/699131535.db2.gz NJSQQZGOCLJHRL-QANKJYHBSA-N 1 2 312.417 1.576 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(NC(=O)[C@H]3CCCc4[nH]ncc43)CCC[C@H]12 ZINC000990686083 699131537 /nfs/dbraw/zinc/13/15/37/699131537.db2.gz NJSQQZGOCLJHRL-QANKJYHBSA-N 1 2 312.417 1.576 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)c1ccc(C#N)cc1 ZINC000726255281 699356679 /nfs/dbraw/zinc/35/66/79/699356679.db2.gz SVNWFBOQSIYYIC-UONOGXRCSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@H+]1CCO[C@@H](C)C1)c1ccc(C#N)cc1 ZINC000726255281 699356683 /nfs/dbraw/zinc/35/66/83/699356683.db2.gz SVNWFBOQSIYYIC-UONOGXRCSA-N 1 2 316.405 1.639 20 30 DDEDLO C#CCNC(=O)COC(=O)[C@@H](c1ccccc1)[NH+](CC)CC ZINC000732350228 699556651 /nfs/dbraw/zinc/55/66/51/699556651.db2.gz ZNTSZJSEXFBUDK-MRXNPFEDSA-N 1 2 302.374 1.362 20 30 DDEDLO C#CCCNC(=O)N1CC[NH+](Cc2cc(C)ccc2OC)CC1 ZINC000733356251 699589944 /nfs/dbraw/zinc/58/99/44/699589944.db2.gz NZFYMPNBSJWQOT-UHFFFAOYSA-N 1 2 315.417 1.854 20 30 DDEDLO C=CC[C@H](NC(=O)N(C)CC[NH+]1CCOCC1)c1ccncc1 ZINC000734685165 699659052 /nfs/dbraw/zinc/65/90/52/699659052.db2.gz YJVNHIGPXRKBTP-INIZCTEOSA-N 1 2 318.421 1.672 20 30 DDEDLO C#C[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000792879965 699720265 /nfs/dbraw/zinc/72/02/65/699720265.db2.gz MTYGUTYHIYBQFT-JSGCOSHPSA-N 1 2 305.290 1.773 20 30 DDEDLO C[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1ccc(CC#N)cc1 ZINC000741145050 699816769 /nfs/dbraw/zinc/81/67/69/699816769.db2.gz KKXMQXGLCQEBEC-HNNXBMFYSA-N 1 2 315.373 1.719 20 30 DDEDLO C[N@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1ccc(CC#N)cc1 ZINC000741145050 699816772 /nfs/dbraw/zinc/81/67/72/699816772.db2.gz KKXMQXGLCQEBEC-HNNXBMFYSA-N 1 2 315.373 1.719 20 30 DDEDLO CCOCCCON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000742357132 699875181 /nfs/dbraw/zinc/87/51/81/699875181.db2.gz OYFUCMLEZWAQCF-UHFFFAOYSA-N 1 2 321.421 1.582 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1CCOC[C@H]1C[C@H](O)c1ccccc1 ZINC000801929757 700356165 /nfs/dbraw/zinc/35/61/65/700356165.db2.gz YSQLTIHILSVMKQ-OIISXLGYSA-N 1 2 304.390 1.740 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1CCOC[C@H]1C[C@H](O)c1ccccc1 ZINC000801929757 700356170 /nfs/dbraw/zinc/35/61/70/700356170.db2.gz YSQLTIHILSVMKQ-OIISXLGYSA-N 1 2 304.390 1.740 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)COc2cccc(C#N)c2)C1 ZINC000756139190 700605118 /nfs/dbraw/zinc/60/51/18/700605118.db2.gz XQFNYDOCIKCLSG-CQSZACIVSA-N 1 2 310.357 1.687 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1ccc(NC(C)=O)cc1)C[C@H](O)CC#N ZINC000809572797 701670355 /nfs/dbraw/zinc/67/03/55/701670355.db2.gz TXUITQQVDRHBSP-OAHLLOKOSA-N 1 2 318.377 1.180 20 30 DDEDLO CC[N@H+](CC(=O)Nc1ccc(NC(C)=O)cc1)C[C@H](O)CC#N ZINC000809572797 701670356 /nfs/dbraw/zinc/67/03/56/701670356.db2.gz TXUITQQVDRHBSP-OAHLLOKOSA-N 1 2 318.377 1.180 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C(=O)N(Cc2cccs2)C1=O)C1CC1 ZINC000771641252 701326775 /nfs/dbraw/zinc/32/67/75/701326775.db2.gz UWOKTKUFDLKYTC-UHFFFAOYSA-N 1 2 319.386 1.647 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C(=O)N(Cc2cccs2)C1=O)C1CC1 ZINC000771641252 701326777 /nfs/dbraw/zinc/32/67/77/701326777.db2.gz UWOKTKUFDLKYTC-UHFFFAOYSA-N 1 2 319.386 1.647 20 30 DDEDLO Cn1cc(C[NH2+]Cc2cnn(C)n2)c(-c2ccc(C#N)cc2)n1 ZINC000808417695 701517462 /nfs/dbraw/zinc/51/74/62/701517462.db2.gz ALZBFPYDCWIDMI-UHFFFAOYSA-N 1 2 307.361 1.377 20 30 DDEDLO CC(=NNC1=[NH+]C[C@H](C)N1)c1nnn(-c2ccc(F)cc2)c1C ZINC000814897125 701767105 /nfs/dbraw/zinc/76/71/05/701767105.db2.gz DQDURNWQNXDTDL-VIFPVBQESA-N 1 2 315.356 1.376 20 30 DDEDLO CC(C)(C)[N@@H+]1CC[C@](F)(C(=O)[C@H](C#N)C(=O)N2CCCC2)C1 ZINC000810527826 701783940 /nfs/dbraw/zinc/78/39/40/701783940.db2.gz OSMVJVHJQHEBJS-BLLLJJGKSA-N 1 2 309.385 1.530 20 30 DDEDLO CC(C)(C)[N@H+]1CC[C@](F)(C(=O)[C@H](C#N)C(=O)N2CCCC2)C1 ZINC000810527826 701783942 /nfs/dbraw/zinc/78/39/42/701783942.db2.gz OSMVJVHJQHEBJS-BLLLJJGKSA-N 1 2 309.385 1.530 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)C[C@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC000840040972 701930954 /nfs/dbraw/zinc/93/09/54/701930954.db2.gz IIWSNGSKHNJCGO-OAHLLOKOSA-N 1 2 305.334 1.997 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)C[C@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC000840040972 701930960 /nfs/dbraw/zinc/93/09/60/701930960.db2.gz IIWSNGSKHNJCGO-OAHLLOKOSA-N 1 2 305.334 1.997 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CC3(CCC3)[C@H]2[C@@H]2CCCO2)C1=O ZINC000840076162 701955229 /nfs/dbraw/zinc/95/52/29/701955229.db2.gz OQOKAEUYRXLWSC-LSDHHAIUSA-N 1 2 305.422 1.901 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CC3(CCC3)[C@H]2[C@@H]2CCCO2)C1=O ZINC000840076162 701955232 /nfs/dbraw/zinc/95/52/32/701955232.db2.gz OQOKAEUYRXLWSC-LSDHHAIUSA-N 1 2 305.422 1.901 20 30 DDEDLO CCN(CC)c1ccnc(N=NCc2c[nH+]c(N(C)C)n2C)n1 ZINC000811644792 702020267 /nfs/dbraw/zinc/02/02/67/702020267.db2.gz KZQHUWQIZVPXTK-UHFFFAOYSA-N 1 2 316.413 1.568 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(Nc2ccc(C#N)nn2)CC1 ZINC000866169290 706638941 /nfs/dbraw/zinc/63/89/41/706638941.db2.gz GDJZAKZNDBWLHA-UHFFFAOYSA-N 1 2 317.393 1.566 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000868513439 702171003 /nfs/dbraw/zinc/17/10/03/702171003.db2.gz DROYDJVDPDFSOQ-NVXWUHKLSA-N 1 2 315.417 1.517 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000868513439 702171004 /nfs/dbraw/zinc/17/10/04/702171004.db2.gz DROYDJVDPDFSOQ-NVXWUHKLSA-N 1 2 315.417 1.517 20 30 DDEDLO CCNC(=O)N1CC[C@@H]([NH2+][C@H](C)c2cccc(C#N)c2O)C1 ZINC000866306865 706670235 /nfs/dbraw/zinc/67/02/35/706670235.db2.gz WNYLHELURPUOJU-DGCLKSJQSA-N 1 2 302.378 1.718 20 30 DDEDLO CNC(=S)N(C)[NH+]=Cc1ccccc1N1CCO[C@H](C)C1 ZINC000841647446 702516456 /nfs/dbraw/zinc/51/64/56/702516456.db2.gz SKDWZAUZXNQLJM-GFCCVEGCSA-N 1 2 306.435 1.682 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(CC(C)(C)O)C1)c1cccc(C#N)c1O ZINC000866413995 706693109 /nfs/dbraw/zinc/69/31/09/706693109.db2.gz YALLPCHBPZXWIZ-OCCSQVGLSA-N 1 2 303.406 1.760 20 30 DDEDLO C=CCCn1cc(C[N@@H+](CC2CC2)[C@H](C(N)=O)C2CC2)nn1 ZINC000879486148 706694332 /nfs/dbraw/zinc/69/43/32/706694332.db2.gz LDMANHKHSUUMQE-HNNXBMFYSA-N 1 2 303.410 1.330 20 30 DDEDLO C=CCCn1cc(C[N@H+](CC2CC2)[C@H](C(N)=O)C2CC2)nn1 ZINC000879486148 706694334 /nfs/dbraw/zinc/69/43/34/706694334.db2.gz LDMANHKHSUUMQE-HNNXBMFYSA-N 1 2 303.410 1.330 20 30 DDEDLO COCc1noc([C@@H](C)[N@H+](C)C[C@H](O)c2cccc(C#N)c2)n1 ZINC000844372388 703021889 /nfs/dbraw/zinc/02/18/89/703021889.db2.gz XYIPWYPSEFWSPE-RISCZKNCSA-N 1 2 316.361 1.814 20 30 DDEDLO COCc1noc([C@@H](C)[N@@H+](C)C[C@H](O)c2cccc(C#N)c2)n1 ZINC000844372388 703021893 /nfs/dbraw/zinc/02/18/93/703021893.db2.gz XYIPWYPSEFWSPE-RISCZKNCSA-N 1 2 316.361 1.814 20 30 DDEDLO CCOCCCNC(=O)[C@@H](C#N)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845440199 703157002 /nfs/dbraw/zinc/15/70/02/703157002.db2.gz OGZGSFVXFCJQHU-OLZOCXBDSA-N 1 2 306.366 1.086 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585260 706728320 /nfs/dbraw/zinc/72/83/20/706728320.db2.gz UUPHCQJLFKMJKY-OAHLLOKOSA-N 1 2 314.433 1.985 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@H](CN3CCOC3=O)C2)nn1 ZINC000846929747 703353191 /nfs/dbraw/zinc/35/31/91/703353191.db2.gz YSKKGWYWNKZAHJ-ZDUSSCGKSA-N 1 2 305.382 1.128 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@H](CN3CCOC3=O)C2)nn1 ZINC000846929747 703353193 /nfs/dbraw/zinc/35/31/93/703353193.db2.gz YSKKGWYWNKZAHJ-ZDUSSCGKSA-N 1 2 305.382 1.128 20 30 DDEDLO CC[C@H](CC#N)[NH2+]Cc1c(C)nn(CCS(C)(=O)=O)c1C ZINC000846980779 703360799 /nfs/dbraw/zinc/36/07/99/703360799.db2.gz IVVWQIALGLLCCJ-CYBMUJFWSA-N 1 2 312.439 1.326 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1CC[C@H](c2ccc([N+](=O)[O-])cc2)C1=O ZINC000848485736 703556074 /nfs/dbraw/zinc/55/60/74/703556074.db2.gz VUSZVTXVGSTPEW-IUODEOHRSA-N 1 2 301.346 1.822 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1CC[C@H](c2ccc([N+](=O)[O-])cc2)C1=O ZINC000848485736 703556076 /nfs/dbraw/zinc/55/60/76/703556076.db2.gz VUSZVTXVGSTPEW-IUODEOHRSA-N 1 2 301.346 1.822 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CN2C(=O)C(=O)N(C3CCCC3)C2=O)C1 ZINC000848494380 703557546 /nfs/dbraw/zinc/55/75/46/703557546.db2.gz WUEYBHAFWVRQJX-GFCCVEGCSA-N 1 2 303.362 1.023 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CN2C(=O)C(=O)N(C3CCCC3)C2=O)C1 ZINC000848494380 703557548 /nfs/dbraw/zinc/55/75/48/703557548.db2.gz WUEYBHAFWVRQJX-GFCCVEGCSA-N 1 2 303.362 1.023 20 30 DDEDLO CS(=O)(=O)c1ccccc1N=NCc1c[nH+]c2n1CCC2 ZINC000853305925 704231469 /nfs/dbraw/zinc/23/14/69/704231469.db2.gz HADFFGHCQPWAGA-UHFFFAOYSA-N 1 2 304.375 1.679 20 30 DDEDLO C[C@@]12C(=O)N(C[N@@H+]3CCC[C@@H](CC#N)C3)C(=O)[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000853525272 704259788 /nfs/dbraw/zinc/25/97/88/704259788.db2.gz UNXFQUVUPGCQHT-DTFBLWLJSA-N 1 2 315.417 1.991 20 30 DDEDLO C[C@@]12C(=O)N(C[N@H+]3CCC[C@@H](CC#N)C3)C(=O)[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000853525272 704259790 /nfs/dbraw/zinc/25/97/90/704259790.db2.gz UNXFQUVUPGCQHT-DTFBLWLJSA-N 1 2 315.417 1.991 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)N[C@@](CCC)(C2CC2)C1=O ZINC000853620732 704287596 /nfs/dbraw/zinc/28/75/96/704287596.db2.gz BVXSJRFMODDKHH-KRWDZBQOSA-N 1 2 321.421 1.416 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)N[C@@](CCC)(C2CC2)C1=O ZINC000853620732 704287598 /nfs/dbraw/zinc/28/75/98/704287598.db2.gz BVXSJRFMODDKHH-KRWDZBQOSA-N 1 2 321.421 1.416 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@H](C)[C@H](CO)C1 ZINC000856795148 704538905 /nfs/dbraw/zinc/53/89/05/704538905.db2.gz BCWBGLMHLPZVRX-KCXAZCMYSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@H](C)[C@H](CO)C1 ZINC000856795148 704538906 /nfs/dbraw/zinc/53/89/06/704538906.db2.gz BCWBGLMHLPZVRX-KCXAZCMYSA-N 1 2 302.374 1.723 20 30 DDEDLO C#C[C@H](NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F)[C@@H]1CCCO1 ZINC000822072224 704871572 /nfs/dbraw/zinc/87/15/72/704871572.db2.gz GCVIUTOMMSLCIE-SRVKXCTJSA-N 1 2 315.295 1.674 20 30 DDEDLO C#CCCN(CCOC)C(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000824748370 705522953 /nfs/dbraw/zinc/52/29/53/705522953.db2.gz KLNNKNVULZGYFG-UHFFFAOYSA-N 1 2 313.357 1.690 20 30 DDEDLO C#CC[C@H](COC)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000876077232 705613456 /nfs/dbraw/zinc/61/34/56/705613456.db2.gz IXENFWAVGUOCPR-MRXNPFEDSA-N 1 2 316.401 1.287 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3ccnn3CC3CC3)CC2)cn1 ZINC000862423672 705715249 /nfs/dbraw/zinc/71/52/49/705715249.db2.gz YPVWKOSXQQBKJC-UHFFFAOYSA-N 1 2 322.416 1.882 20 30 DDEDLO C[C@@H](NC(=O)c1cc(C#N)ccc1F)[C@H](C)[NH+]1CCOCC1 ZINC000826571382 705817968 /nfs/dbraw/zinc/81/79/68/705817968.db2.gz HCNUFLJZSHXLHX-NEPJUHHUSA-N 1 2 305.353 1.536 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)[C@@H](O)c1ccc(C#N)cc1 ZINC000826704683 705852565 /nfs/dbraw/zinc/85/25/65/705852565.db2.gz VZVWEIZWJXTHQF-MSOLQXFVSA-N 1 2 321.380 1.895 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)[C@@H](O)c1ccc(C#N)cc1 ZINC000826704683 705852566 /nfs/dbraw/zinc/85/25/66/705852566.db2.gz VZVWEIZWJXTHQF-MSOLQXFVSA-N 1 2 321.380 1.895 20 30 DDEDLO Cc1cc(C[C@H](C)NC(=O)[C@H]2[NH2+]CCc3cc(C#N)ccc32)n[nH]1 ZINC000876881517 705905736 /nfs/dbraw/zinc/90/57/36/705905736.db2.gz ISGXFZLAYIHPTI-GTNSWQLSSA-N 1 2 323.400 1.524 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCNC(=O)NC(C)C)c1 ZINC000827792377 706068365 /nfs/dbraw/zinc/06/83/65/706068365.db2.gz GHSNZRZWQCBCHM-UHFFFAOYSA-N 1 2 316.405 1.246 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCNC(=O)NC(C)C)c1 ZINC000827792377 706068367 /nfs/dbraw/zinc/06/83/67/706068367.db2.gz GHSNZRZWQCBCHM-UHFFFAOYSA-N 1 2 316.405 1.246 20 30 DDEDLO C#Cc1cccc(CNC(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)c1 ZINC000836314944 707438867 /nfs/dbraw/zinc/43/88/67/707438867.db2.gz ABUQOZHHPBDNBY-HUUCEWRRSA-N 1 2 315.417 1.576 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nc(C3CCC3)no2)CC1 ZINC000878019076 706266131 /nfs/dbraw/zinc/26/61/31/706266131.db2.gz PUALZGXODWUBIC-UHFFFAOYSA-N 1 2 302.378 1.299 20 30 DDEDLO N#CCOc1ccc(CNC(=O)/C=C/C[NH+]2CCOCC2)cc1 ZINC000865138652 706360765 /nfs/dbraw/zinc/36/07/65/706360765.db2.gz VHXPVNKAQHECED-OWOJBTEDSA-N 1 2 315.373 1.094 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCN(C(C)=O)C2(CCCCC2)C1 ZINC000878326559 706360806 /nfs/dbraw/zinc/36/08/06/706360806.db2.gz QFCISGKCDIPFDT-OAHLLOKOSA-N 1 2 308.422 1.971 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCN(C(C)=O)C2(CCCCC2)C1 ZINC000878326559 706360809 /nfs/dbraw/zinc/36/08/09/706360809.db2.gz QFCISGKCDIPFDT-OAHLLOKOSA-N 1 2 308.422 1.971 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2coc(-c3ccccn3)n2)CC1 ZINC000878424806 706383660 /nfs/dbraw/zinc/38/36/60/706383660.db2.gz ZMGUWAHHUIKFTA-UHFFFAOYSA-N 1 2 310.357 1.518 20 30 DDEDLO CCCNc1cc(N2CCN(c3ccc(C#N)cn3)CC2)nc[nH+]1 ZINC000865459175 706437352 /nfs/dbraw/zinc/43/73/52/706437352.db2.gz ZOCRTVOYDZRWGV-UHFFFAOYSA-N 1 2 323.404 1.892 20 30 DDEDLO CCCNc1cc(N2CCN(c3ccc(C#N)cn3)CC2)[nH+]cn1 ZINC000865459175 706437355 /nfs/dbraw/zinc/43/73/55/706437355.db2.gz ZOCRTVOYDZRWGV-UHFFFAOYSA-N 1 2 323.404 1.892 20 30 DDEDLO COc1ccc(CNC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)cc1C#N ZINC000830732190 706570629 /nfs/dbraw/zinc/57/06/29/706570629.db2.gz OPKHHXZVIUKBPL-ZIAGYGMSSA-N 1 2 310.357 1.720 20 30 DDEDLO N#Cc1ccc(NC(=O)C[NH+]2CCC([C@@H](F)C(N)=O)CC2)cc1 ZINC000879045733 706571610 /nfs/dbraw/zinc/57/16/10/706571610.db2.gz LZCRMEQJIZXSRM-OAHLLOKOSA-N 1 2 318.352 1.032 20 30 DDEDLO N#C[C@@]1(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CCCOC1 ZINC000866933292 706848739 /nfs/dbraw/zinc/84/87/39/706848739.db2.gz KAALMIZIUSNVSF-CJNGLKHVSA-N 1 2 300.362 1.097 20 30 DDEDLO C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000867043223 706880493 /nfs/dbraw/zinc/88/04/93/706880493.db2.gz XQDKCNSXFXRBGJ-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000867043223 706880497 /nfs/dbraw/zinc/88/04/97/706880497.db2.gz XQDKCNSXFXRBGJ-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)Nc2ccnn2CCC)CC1 ZINC000880479160 706986992 /nfs/dbraw/zinc/98/69/92/706986992.db2.gz LDJSUQBCZPPBNZ-UHFFFAOYSA-N 1 2 304.394 1.082 20 30 DDEDLO COc1ccc(NC(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1C#N ZINC000880879910 707089041 /nfs/dbraw/zinc/08/90/41/707089041.db2.gz DQRCMTQDXDQTAA-ZDUSSCGKSA-N 1 2 311.345 1.900 20 30 DDEDLO Nc1ccc2c([nH+]1)CCCC2=NNC(=S)NCC(F)(F)F ZINC000834950854 707151732 /nfs/dbraw/zinc/15/17/32/707151732.db2.gz XSCGTBKENBJYNK-UHFFFAOYSA-N 1 2 317.340 1.731 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cc(CC#N)ccn1 ZINC000871730688 707179519 /nfs/dbraw/zinc/17/95/19/707179519.db2.gz IKNFXCKGZRXEGS-CYBMUJFWSA-N 1 2 317.393 1.332 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@H](Cc3ccccc3)CC2=O)CC1 ZINC000872557267 707478042 /nfs/dbraw/zinc/47/80/42/707478042.db2.gz LODNAYYADJOCIU-GOSISDBHSA-N 1 2 311.429 1.286 20 30 DDEDLO C#CCC1(O)CCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CC1 ZINC000882526139 707682663 /nfs/dbraw/zinc/68/26/63/707682663.db2.gz BLSUJPSADMCTFO-UHFFFAOYSA-N 1 2 310.357 1.258 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@H](C)Oc2cc(F)ccc21 ZINC000884155568 708163717 /nfs/dbraw/zinc/16/37/17/708163717.db2.gz FTDSVYQHKXXSHO-JQWIXIFHSA-N 1 2 322.336 1.386 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)[C@@H]1CC2CCC1CC2 ZINC000884388626 708275690 /nfs/dbraw/zinc/27/56/90/708275690.db2.gz BCVNEKFQDRPCRP-UWMJVVDFSA-N 1 2 308.422 1.764 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(COC)c(OC)c1 ZINC000884443828 708299453 /nfs/dbraw/zinc/29/94/53/708299453.db2.gz RBNGOHYSGXDKOU-ZDUSSCGKSA-N 1 2 322.361 1.227 20 30 DDEDLO C#C[C@H](NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1)[C@H]1CCCO1 ZINC000897430925 708325844 /nfs/dbraw/zinc/32/58/44/708325844.db2.gz CORZRESOFMNSDL-NILFDRSVSA-N 1 2 302.378 1.087 20 30 DDEDLO C#C[C@H](NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1)[C@H]1CCCO1 ZINC000897430925 708325845 /nfs/dbraw/zinc/32/58/45/708325845.db2.gz CORZRESOFMNSDL-NILFDRSVSA-N 1 2 302.378 1.087 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@H+](C)C[C@@H](O)CC1(C#N)CCC1 ZINC000886043774 708687739 /nfs/dbraw/zinc/68/77/39/708687739.db2.gz JNKPZCVDIYHQSU-UONOGXRCSA-N 1 2 312.410 1.330 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@@H+](C)C[C@@H](O)CC1(C#N)CCC1 ZINC000886043774 708687742 /nfs/dbraw/zinc/68/77/42/708687742.db2.gz JNKPZCVDIYHQSU-UONOGXRCSA-N 1 2 312.410 1.330 20 30 DDEDLO CC(C)OC(=O)C1CC[NH+](CC(=O)N[C@](C)(C#N)C2CC2)CC1 ZINC000886719772 708821632 /nfs/dbraw/zinc/82/16/32/708821632.db2.gz HFSDHPCQGJIWBR-QGZVFWFLSA-N 1 2 321.421 1.458 20 30 DDEDLO CSc1ccc(CNC(=O)Cc2c[nH+]cn2C)cc1C#N ZINC000899375865 709069490 /nfs/dbraw/zinc/06/94/90/709069490.db2.gz AREXRVCNFJPLMG-UHFFFAOYSA-N 1 2 300.387 1.873 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)NC[C@@H](c2ccco2)N(C)C)C1 ZINC000887606633 709081303 /nfs/dbraw/zinc/08/13/03/709081303.db2.gz GTTCZEBSUMWETC-GJZGRUSLSA-N 1 2 318.421 1.279 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)NC[C@@H](c2ccco2)N(C)C)C1 ZINC000887606633 709081305 /nfs/dbraw/zinc/08/13/05/709081305.db2.gz GTTCZEBSUMWETC-GJZGRUSLSA-N 1 2 318.421 1.279 20 30 DDEDLO N#Cc1ccc([C@@H]2CN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)CCO2)cc1 ZINC000887738801 709115148 /nfs/dbraw/zinc/11/51/48/709115148.db2.gz HLVRRPXXAKGXAF-INMHGKMJSA-N 1 2 322.368 1.985 20 30 DDEDLO N#Cc1ccc([C@@H]2CN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)CCO2)cc1 ZINC000887738801 709115150 /nfs/dbraw/zinc/11/51/50/709115150.db2.gz HLVRRPXXAKGXAF-INMHGKMJSA-N 1 2 322.368 1.985 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[N@H+](C)C[C@H]2CO)cc1OC ZINC000912749032 713084062 /nfs/dbraw/zinc/08/40/62/713084062.db2.gz MWVGDZJPJHHPBI-AWEZNQCLSA-N 1 2 320.389 1.009 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[N@@H+](C)C[C@H]2CO)cc1OC ZINC000912749032 713084065 /nfs/dbraw/zinc/08/40/65/713084065.db2.gz MWVGDZJPJHHPBI-AWEZNQCLSA-N 1 2 320.389 1.009 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)nc1 ZINC000889229648 709465144 /nfs/dbraw/zinc/46/51/44/709465144.db2.gz DDDMNJJMRSWIMZ-AWEZNQCLSA-N 1 2 315.377 1.282 20 30 DDEDLO C/C(=C\C(=O)Nc1cccc(OCC#N)c1)C[NH+]1CCOCC1 ZINC000900237147 709499571 /nfs/dbraw/zinc/49/95/71/709499571.db2.gz FCRPOYOCNKEORO-SDNWHVSQSA-N 1 2 315.373 1.806 20 30 DDEDLO C=C(Br)CNC(=O)/C=C(\C)C[NH+]1CCOCC1 ZINC000900398223 709577327 /nfs/dbraw/zinc/57/73/27/709577327.db2.gz XNMRPCFPBJSWMH-JXMROGBWSA-N 1 2 303.200 1.290 20 30 DDEDLO N#Cc1ccccc1C(F)(F)C(=O)N1CC(n2cc[nH+]c2)C1 ZINC000900717206 709726193 /nfs/dbraw/zinc/72/61/93/709726193.db2.gz OMXPWQMWPQAGKZ-UHFFFAOYSA-N 1 2 302.284 1.930 20 30 DDEDLO C[C@@H]1CC(NC(=O)c2ccc(NCCn3cc[nH+]c3)cc2)=NO1 ZINC000901251046 709984694 /nfs/dbraw/zinc/98/46/94/709984694.db2.gz ZAVGRBMMBJRUQT-GFCCVEGCSA-N 1 2 313.361 1.847 20 30 DDEDLO C=CCCC[C@H](NC(=O)/C=C(/C)C[NH+]1CCOCC1)C(=O)OC ZINC000928323701 713175909 /nfs/dbraw/zinc/17/59/09/713175909.db2.gz RPQWDQWZWQAKCL-ZSMUJPCHSA-N 1 2 324.421 1.279 20 30 DDEDLO NC(=[NH+]OC[C@H]1CNC(=O)C1)c1ccc(Br)cc1 ZINC000902328175 710718419 /nfs/dbraw/zinc/71/84/19/710718419.db2.gz ZQXHZKJVGFRSJP-MRVPVSSYSA-N 1 2 312.167 1.222 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@@H+]2CCC[C@H](F)C2)CC1 ZINC000902995129 710989765 /nfs/dbraw/zinc/98/97/65/710989765.db2.gz LSMBWOJCNYVMJB-LSDHHAIUSA-N 1 2 310.417 1.113 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@H+]2CCC[C@H](F)C2)CC1 ZINC000902995129 710989767 /nfs/dbraw/zinc/98/97/67/710989767.db2.gz LSMBWOJCNYVMJB-LSDHHAIUSA-N 1 2 310.417 1.113 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)[C@]3(C#N)CC34CCCC4)CC[NH2+]2)cn1 ZINC000913461211 713226293 /nfs/dbraw/zinc/22/62/93/713226293.db2.gz UYZVEFGJBFVHCW-RHSMWYFYSA-N 1 2 313.405 1.367 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+](Cc3cnc4ccc(C#N)cn34)C2)n[nH]1 ZINC000895006951 711363009 /nfs/dbraw/zinc/36/30/09/711363009.db2.gz DANRWCDZZKZWSW-CYBMUJFWSA-N 1 2 307.361 1.622 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+](Cc3cnc4ccc(C#N)cn34)C2)n[nH]1 ZINC000895006951 711363013 /nfs/dbraw/zinc/36/30/13/711363013.db2.gz DANRWCDZZKZWSW-CYBMUJFWSA-N 1 2 307.361 1.622 20 30 DDEDLO C[C@@H](Nc1cc(C#N)ccc1[N+](=O)[O-])[C@H]1CN(C)CC[N@@H+]1C ZINC000895247064 711464455 /nfs/dbraw/zinc/46/44/55/711464455.db2.gz SFNMOIHJVPCCMS-IAQYHMDHSA-N 1 2 303.366 1.513 20 30 DDEDLO C[C@@H](Nc1cc(C#N)ccc1[N+](=O)[O-])[C@H]1CN(C)CC[N@H+]1C ZINC000895247064 711464456 /nfs/dbraw/zinc/46/44/56/711464456.db2.gz SFNMOIHJVPCCMS-IAQYHMDHSA-N 1 2 303.366 1.513 20 30 DDEDLO N#C[C@@]1(C(=O)NCCn2c[nH+]cc2-c2ccccc2)CCCOC1 ZINC000913713132 713288376 /nfs/dbraw/zinc/28/83/76/713288376.db2.gz SUOIGKGDPZXDFX-SFHVURJKSA-N 1 2 324.384 1.987 20 30 DDEDLO C=CC[C@H]([NH2+]C1CCC(O)(c2cccnc2)CC1)C(=O)OC ZINC000905765099 712141721 /nfs/dbraw/zinc/14/17/21/712141721.db2.gz MUTVWFUFKRWALA-CKDBGZEDSA-N 1 2 304.390 1.919 20 30 DDEDLO CCN(CCC#N)C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000906374447 712309713 /nfs/dbraw/zinc/30/97/13/712309713.db2.gz HMKSNPASFWRNST-UHFFFAOYSA-N 1 2 304.394 1.196 20 30 DDEDLO CC(C)[NH+]1CCN(S(=O)(=O)Cc2csc(C#N)c2)CC1 ZINC000913900486 713316745 /nfs/dbraw/zinc/31/67/45/713316745.db2.gz XEPBTXQPHVVXFU-UHFFFAOYSA-N 1 2 313.448 1.476 20 30 DDEDLO C[NH+](C)[C@H](CNS(=O)(=O)c1ccc(C#N)cn1)c1ccco1 ZINC000906802515 712414461 /nfs/dbraw/zinc/41/44/61/712414461.db2.gz CULCOHJDSHLPTQ-GFCCVEGCSA-N 1 2 320.374 1.127 20 30 DDEDLO Cc1ccc(CNC(=O)N[C@@H](C)C[NH+]2CCOCC2)cc1C#N ZINC000914083575 713340957 /nfs/dbraw/zinc/34/09/57/713340957.db2.gz UWUXFHFVYDQTTQ-AWEZNQCLSA-N 1 2 316.405 1.387 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000908647034 712828250 /nfs/dbraw/zinc/82/82/50/712828250.db2.gz WREDDVMZLDNRFB-KGLIPLIRSA-N 1 2 301.390 1.972 20 30 DDEDLO COC(=O)/C=C(\C)C[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000929108123 713547740 /nfs/dbraw/zinc/54/77/40/713547740.db2.gz QZRHLEAELFURON-JLHYYAGUSA-N 1 2 317.364 1.939 20 30 DDEDLO N#CC1(C(=O)N2CCN(c3cccc[nH+]3)CC2)CCSCC1 ZINC000929217842 713569137 /nfs/dbraw/zinc/56/91/37/713569137.db2.gz FRLQAEGLXHKXHU-UHFFFAOYSA-N 1 2 316.430 1.767 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+]2CCN(C3CC3)C(=O)C2)cc1 ZINC000929783989 713689343 /nfs/dbraw/zinc/68/93/43/713689343.db2.gz GTSOUPPHORFFAQ-UHFFFAOYSA-N 1 2 311.385 1.303 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+]2CCN(C3CC3)C(=O)C2)cc1 ZINC000929783989 713689344 /nfs/dbraw/zinc/68/93/44/713689344.db2.gz GTSOUPPHORFFAQ-UHFFFAOYSA-N 1 2 311.385 1.303 20 30 DDEDLO Cc1ccc(NC(=O)N2CCO[C@](C)(C#N)C2)c(N(C)C)[nH+]1 ZINC000930505218 713852456 /nfs/dbraw/zinc/85/24/56/713852456.db2.gz IQNRFOSWKLWRGD-OAHLLOKOSA-N 1 2 303.366 1.602 20 30 DDEDLO CC(C)C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccccc1C#N ZINC000922024665 713940214 /nfs/dbraw/zinc/94/02/14/713940214.db2.gz AUNHJZUPUJSQQM-HNNXBMFYSA-N 1 2 321.446 1.909 20 30 DDEDLO CC(C)C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccccc1C#N ZINC000922024665 713940216 /nfs/dbraw/zinc/94/02/16/713940216.db2.gz AUNHJZUPUJSQQM-HNNXBMFYSA-N 1 2 321.446 1.909 20 30 DDEDLO CC1(C)C[C@](C)([NH2+]C[C@H](O)CC2(C#N)CCOCC2)C(=O)O1 ZINC000930870874 713946941 /nfs/dbraw/zinc/94/69/41/713946941.db2.gz LCSCQYNFQWLUFW-DOMZBBRYSA-N 1 2 310.394 1.132 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2csc(CC(=O)OC(C)C)n2)CC1 ZINC000931144035 714014834 /nfs/dbraw/zinc/01/48/34/714014834.db2.gz QXBKTGMVOMBTQK-UHFFFAOYSA-N 1 2 322.430 1.597 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)CCO1 ZINC000931478093 714106948 /nfs/dbraw/zinc/10/69/48/714106948.db2.gz HGFKKZWDWSBNKU-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)CCO1 ZINC000931478093 714106950 /nfs/dbraw/zinc/10/69/50/714106950.db2.gz HGFKKZWDWSBNKU-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO N#Cc1ccsc1NC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000931841918 714195075 /nfs/dbraw/zinc/19/50/75/714195075.db2.gz JXPXAGYWRKRPGU-CYBMUJFWSA-N 1 2 320.418 1.948 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000923564455 714406606 /nfs/dbraw/zinc/40/66/06/714406606.db2.gz RDXHKTSMNXYGQP-AWEZNQCLSA-N 1 2 309.373 1.299 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000923564455 714406608 /nfs/dbraw/zinc/40/66/08/714406608.db2.gz RDXHKTSMNXYGQP-AWEZNQCLSA-N 1 2 309.373 1.299 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccccc2[S@@](=O)CC)C1 ZINC000923591042 714420443 /nfs/dbraw/zinc/42/04/43/714420443.db2.gz LZQBZJFFTYSXBK-PEBXRYMYSA-N 1 2 318.442 1.642 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccccc2[S@@](=O)CC)C1 ZINC000923591042 714420446 /nfs/dbraw/zinc/42/04/46/714420446.db2.gz LZQBZJFFTYSXBK-PEBXRYMYSA-N 1 2 318.442 1.642 20 30 DDEDLO Cn1nccc1[C@H]1C[N@H+](C[C@@H](O)CC2(C#N)CCC2)CCO1 ZINC000933543888 714612979 /nfs/dbraw/zinc/61/29/79/714612979.db2.gz LSHVQBUGBGRIJX-DZGCQCFKSA-N 1 2 304.394 1.238 20 30 DDEDLO Cn1nccc1[C@H]1C[N@@H+](C[C@@H](O)CC2(C#N)CCC2)CCO1 ZINC000933543888 714612983 /nfs/dbraw/zinc/61/29/83/714612983.db2.gz LSHVQBUGBGRIJX-DZGCQCFKSA-N 1 2 304.394 1.238 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@H+](CCO[C@@H]3CCOC3)CCO2)cc1 ZINC000933630155 714634570 /nfs/dbraw/zinc/63/45/70/714634570.db2.gz GDCHNABJGKODJD-IAGOWNOFSA-N 1 2 302.374 1.737 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@@H+](CCO[C@@H]3CCOC3)CCO2)cc1 ZINC000933630155 714634571 /nfs/dbraw/zinc/63/45/71/714634571.db2.gz GDCHNABJGKODJD-IAGOWNOFSA-N 1 2 302.374 1.737 20 30 DDEDLO CC(C)(C)[C@@H]1CNC(=O)C[N@@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000933824078 714676558 /nfs/dbraw/zinc/67/65/58/714676558.db2.gz INCDJFPKKRXGER-CABCVRRESA-N 1 2 301.390 1.438 20 30 DDEDLO CC(C)(C)[C@@H]1CNC(=O)C[N@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000933824078 714676560 /nfs/dbraw/zinc/67/65/60/714676560.db2.gz INCDJFPKKRXGER-CABCVRRESA-N 1 2 301.390 1.438 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000934512274 714839394 /nfs/dbraw/zinc/83/93/94/714839394.db2.gz IWVFRAAZYJJDFN-RDJZCZTQSA-N 1 2 313.401 1.078 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000934512274 714839401 /nfs/dbraw/zinc/83/94/01/714839401.db2.gz IWVFRAAZYJJDFN-RDJZCZTQSA-N 1 2 313.401 1.078 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(C)C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC000954844522 715523301 /nfs/dbraw/zinc/52/33/01/715523301.db2.gz SKQMGGYWIFZIBK-UHFFFAOYSA-N 1 2 318.421 1.569 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc3c(cnn3C)c2)C1 ZINC000957046037 715720879 /nfs/dbraw/zinc/72/08/79/715720879.db2.gz ASIMRHNAUYRSER-UHFFFAOYSA-N 1 2 313.405 1.691 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn3c(cccc3C)n2)C1 ZINC000957370595 715865550 /nfs/dbraw/zinc/86/55/50/715865550.db2.gz VKEOQCQIPZAXLC-UHFFFAOYSA-N 1 2 310.401 1.812 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@@H]2Oc3ccccc3O[C@@H]2C)CC1 ZINC000957621422 715971476 /nfs/dbraw/zinc/97/14/76/715971476.db2.gz GHVQSHVPXCHUAF-RHSMWYFYSA-N 1 2 316.401 1.935 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC2(CCN(CC#N)CC2)CC1 ZINC000957637719 715979466 /nfs/dbraw/zinc/97/94/66/715979466.db2.gz UDNURLXTDVQACY-UHFFFAOYSA-N 1 2 315.421 1.489 20 30 DDEDLO CCc1nnc(C[NH2+]C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)o1 ZINC000959927032 716359104 /nfs/dbraw/zinc/35/91/04/716359104.db2.gz ZADFBAQHNXAGLG-NEPJUHHUSA-N 1 2 305.382 1.262 20 30 DDEDLO CCc1cnc(C[N@H+](C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)o1 ZINC000960488844 716577716 /nfs/dbraw/zinc/57/77/16/716577716.db2.gz DTTMPDKBHKUPRN-OLZOCXBDSA-N 1 2 304.394 1.819 20 30 DDEDLO CCc1cnc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)o1 ZINC000960488844 716577719 /nfs/dbraw/zinc/57/77/19/716577719.db2.gz DTTMPDKBHKUPRN-OLZOCXBDSA-N 1 2 304.394 1.819 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C1CC1 ZINC000958491721 716593319 /nfs/dbraw/zinc/59/33/19/716593319.db2.gz QUOUCZSPYREOCG-XEZLXBQYSA-N 1 2 313.239 1.988 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C1CC1 ZINC000958491721 716593327 /nfs/dbraw/zinc/59/33/27/716593327.db2.gz QUOUCZSPYREOCG-XEZLXBQYSA-N 1 2 313.239 1.988 20 30 DDEDLO C=C(C)C[NH+]1CC(N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC000941416575 717173344 /nfs/dbraw/zinc/17/33/44/717173344.db2.gz GLCOBWZSWXBULN-GFCCVEGCSA-N 1 2 323.334 1.291 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3CCC[C@@H]3C)CC2)C1 ZINC000941418417 717173476 /nfs/dbraw/zinc/17/34/76/717173476.db2.gz SQNSGDUCFKFVNA-JKSUJKDBSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)CC2)C1 ZINC000941491078 717186680 /nfs/dbraw/zinc/18/66/80/717186680.db2.gz JMVBZHUXLURIPR-WMZOPIPTSA-N 1 2 315.461 1.274 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CC34CCC4)CC2)C1 ZINC000941586201 717220879 /nfs/dbraw/zinc/22/08/79/717220879.db2.gz VBWXFKYHSUYXGW-INIZCTEOSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cccc(C)c3C)CC2)C1 ZINC000941657080 717245965 /nfs/dbraw/zinc/24/59/65/717245965.db2.gz QNXWNJCRRGDVBD-UHFFFAOYSA-N 1 2 311.429 1.379 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccon2)CC[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941962262 717409681 /nfs/dbraw/zinc/40/96/81/717409681.db2.gz CYLWIUMJJNOIKO-XHDPSFHLSA-N 1 2 313.361 1.515 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccon2)CC[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941962262 717409682 /nfs/dbraw/zinc/40/96/82/717409682.db2.gz CYLWIUMJJNOIKO-XHDPSFHLSA-N 1 2 313.361 1.515 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC000965420396 717646000 /nfs/dbraw/zinc/64/60/00/717646000.db2.gz SXPMNKOJXAHDCC-QMTHXVAHSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC000965420396 717645997 /nfs/dbraw/zinc/64/59/97/717645997.db2.gz SXPMNKOJXAHDCC-QMTHXVAHSA-N 1 2 310.829 1.921 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@H]([C@@H]2CCCN2CC#N)C1 ZINC000963408589 717779313 /nfs/dbraw/zinc/77/93/13/717779313.db2.gz VFVCPVJOUOAQBC-HOCLYGCPSA-N 1 2 315.421 1.487 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC000965846137 717780239 /nfs/dbraw/zinc/78/02/39/717780239.db2.gz VUYZCSHWIUYFSW-ZWNOBZJWSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC000965846137 717780241 /nfs/dbraw/zinc/78/02/41/717780241.db2.gz VUYZCSHWIUYFSW-ZWNOBZJWSA-N 1 2 309.797 1.980 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1cnon1 ZINC000947170081 719059507 /nfs/dbraw/zinc/05/95/07/719059507.db2.gz CLLBPOJOCKDRNM-CMPLNLGQSA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1cnon1 ZINC000947170081 719059511 /nfs/dbraw/zinc/05/95/11/719059511.db2.gz CLLBPOJOCKDRNM-CMPLNLGQSA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1cnon1 ZINC000947204146 719073707 /nfs/dbraw/zinc/07/37/07/719073707.db2.gz ASZKBEJTXVWBRU-CMPLNLGQSA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1cnon1 ZINC000947204146 719073710 /nfs/dbraw/zinc/07/37/10/719073710.db2.gz ASZKBEJTXVWBRU-CMPLNLGQSA-N 1 2 314.349 1.052 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cnn(C)n3)[C@@H](C)C2)C1 ZINC000947702703 719248447 /nfs/dbraw/zinc/24/84/47/719248447.db2.gz RMKOJDUQCJLUKJ-UONOGXRCSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cnn(C)n3)[C@@H](C)C2)C1 ZINC000947702703 719248448 /nfs/dbraw/zinc/24/84/48/719248448.db2.gz RMKOJDUQCJLUKJ-UONOGXRCSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC(NC(=O)Cc3[nH]cc[nH+]3)CC2)C1 ZINC000948285027 719433101 /nfs/dbraw/zinc/43/31/01/719433101.db2.gz OAMJUSKYHDPWSC-UHFFFAOYSA-N 1 2 316.405 1.416 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cncnc1 ZINC000948912595 719781836 /nfs/dbraw/zinc/78/18/36/719781836.db2.gz IDDPUETUIWCNOW-KRWDZBQOSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cncnc1 ZINC000948912595 719781839 /nfs/dbraw/zinc/78/18/39/719781839.db2.gz IDDPUETUIWCNOW-KRWDZBQOSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nn(C)cc1C ZINC000948916447 719784026 /nfs/dbraw/zinc/78/40/26/719784026.db2.gz WLDDLTQRGKBNFL-KRWDZBQOSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nn(C)cc1C ZINC000948916447 719784028 /nfs/dbraw/zinc/78/40/28/719784028.db2.gz WLDDLTQRGKBNFL-KRWDZBQOSA-N 1 2 322.412 1.691 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnnn1C ZINC000948916562 719784608 /nfs/dbraw/zinc/78/46/08/719784608.db2.gz ZAHLBGZDMDPCEL-MRXNPFEDSA-N 1 2 323.400 1.168 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnnn1C ZINC000948916562 719784612 /nfs/dbraw/zinc/78/46/12/719784612.db2.gz ZAHLBGZDMDPCEL-MRXNPFEDSA-N 1 2 323.400 1.168 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cc(F)c(C)cc2F)CC1 ZINC000949453423 720096856 /nfs/dbraw/zinc/09/68/56/720096856.db2.gz NBYVOWMICBJRSB-UHFFFAOYSA-N 1 2 306.356 1.983 20 30 DDEDLO C[C@H](NC(=O)c1c[nH]c(C#N)c1)C1C[NH+](Cc2ccns2)C1 ZINC000969527485 720175578 /nfs/dbraw/zinc/17/55/78/720175578.db2.gz XXISADIWQIIOGK-JTQLQIEISA-N 1 2 315.402 1.593 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC000969570040 720195636 /nfs/dbraw/zinc/19/56/36/720195636.db2.gz HJOWPQWOORWMIO-GFCCVEGCSA-N 1 2 306.410 1.925 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([NH2+]Cc2ncc(CC)o2)C1 ZINC000970014110 720598921 /nfs/dbraw/zinc/59/89/21/720598921.db2.gz BELQCUHQTRGJHY-YUELXQCFSA-N 1 2 319.405 1.519 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2coc(OCC)n2)C1 ZINC000970053981 720612202 /nfs/dbraw/zinc/61/22/02/720612202.db2.gz HAIWDICGIQWMFK-JTQLQIEISA-N 1 2 313.785 1.876 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cncc3ncn(C)c32)C1 ZINC000950540581 720675776 /nfs/dbraw/zinc/67/57/76/720675776.db2.gz WPQRYRAPPLYFPI-UHFFFAOYSA-N 1 2 311.389 1.138 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCn3cc(C)nc3C2)C1 ZINC000950620909 720707426 /nfs/dbraw/zinc/70/74/26/720707426.db2.gz HLJUFDKCYVCTOT-OAHLLOKOSA-N 1 2 316.449 1.863 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2COc3ccccc3C2)C1 ZINC000950818476 720784472 /nfs/dbraw/zinc/78/44/72/720784472.db2.gz RPRNULXEBCPTLO-OAHLLOKOSA-N 1 2 300.402 1.956 20 30 DDEDLO C=CCC[NH+]1CC(N(CC)C(=O)c2cc(=O)c(OC)co2)C1 ZINC000950886445 720813548 /nfs/dbraw/zinc/81/35/48/720813548.db2.gz HNQCDPFGBWGQCW-UHFFFAOYSA-N 1 2 306.362 1.371 20 30 DDEDLO C#CC[NH+]1CC([C@H](C)NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000970932166 720994681 /nfs/dbraw/zinc/99/46/81/720994681.db2.gz TXGXEFKRXJQXAF-LBPRGKRZSA-N 1 2 309.373 1.155 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc3n(n2)CCCC3)C1 ZINC000971212214 721181157 /nfs/dbraw/zinc/18/11/57/721181157.db2.gz WXBTXOQNQGRKIE-CZUORRHYSA-N 1 2 315.421 1.412 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc3n(n2)CCCC3)C1 ZINC000971212214 721181160 /nfs/dbraw/zinc/18/11/60/721181160.db2.gz WXBTXOQNQGRKIE-CZUORRHYSA-N 1 2 315.421 1.412 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)C(=O)N2[C@@H](C)CCC[C@@H]2C)CC1 ZINC000952442222 721449844 /nfs/dbraw/zinc/44/98/44/721449844.db2.gz FIJFBQFQTDJUQL-GJZGRUSLSA-N 1 2 307.438 1.496 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]([N@@H+](C)Cc3cn(C)nn3)C2)C1 ZINC001027567189 738413610 /nfs/dbraw/zinc/41/36/10/738413610.db2.gz SJBIBCCMERWBPD-HNNXBMFYSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]([N@H+](C)Cc3cn(C)nn3)C2)C1 ZINC001027567189 738413613 /nfs/dbraw/zinc/41/36/13/738413613.db2.gz SJBIBCCMERWBPD-HNNXBMFYSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098525759 732546799 /nfs/dbraw/zinc/54/67/99/732546799.db2.gz AFXWAWRKLJXDCI-CZUORRHYSA-N 1 2 304.394 1.373 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)c2cncnc2)c1 ZINC001038181094 732852457 /nfs/dbraw/zinc/85/24/57/732852457.db2.gz UYGTUSDCPQHSEV-INIZCTEOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cncnc2)c1 ZINC001038181094 732852460 /nfs/dbraw/zinc/85/24/60/732852460.db2.gz UYGTUSDCPQHSEV-INIZCTEOSA-N 1 2 307.357 1.353 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C(C)=C/CC)C2)nn1 ZINC001098629752 738656771 /nfs/dbraw/zinc/65/67/71/738656771.db2.gz NBALCGHRQKAERC-NNSJBKGDSA-N 1 2 301.394 1.131 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cncs3)C2)[nH]1 ZINC001010658767 733083736 /nfs/dbraw/zinc/08/37/36/733083736.db2.gz UFRHAAZJPLSFAP-NSHDSACASA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cncs3)C2)[nH]1 ZINC001010658767 733083741 /nfs/dbraw/zinc/08/37/41/733083741.db2.gz UFRHAAZJPLSFAP-NSHDSACASA-N 1 2 301.375 1.347 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4cc[nH]c4c3)[C@H]2C1 ZINC001083208663 733350296 /nfs/dbraw/zinc/35/02/96/733350296.db2.gz WWYPIGZTJCAEST-DLBZAZTESA-N 1 2 309.369 1.326 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4cc[nH]c4c3)[C@H]2C1 ZINC001083208663 733350299 /nfs/dbraw/zinc/35/02/99/733350299.db2.gz WWYPIGZTJCAEST-DLBZAZTESA-N 1 2 309.369 1.326 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CCC[C@@H]2CN(CC#N)CC[C@@H]21 ZINC001021640516 733393974 /nfs/dbraw/zinc/39/39/74/733393974.db2.gz WGKPLFMMJHSJGC-CABCVRRESA-N 1 2 315.421 1.189 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H]2CN(CC#N)CC[C@H]21 ZINC001021720970 733483401 /nfs/dbraw/zinc/48/34/01/733483401.db2.gz ANSFMTQVORSOPM-UKRRQHHQSA-N 1 2 301.394 1.097 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1ccon1 ZINC001027888416 738776268 /nfs/dbraw/zinc/77/62/68/738776268.db2.gz OLJJQXLLBQNRDR-OAGGEKHMSA-N 1 2 319.405 1.736 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1ccon1 ZINC001027888416 738776270 /nfs/dbraw/zinc/77/62/70/738776270.db2.gz OLJJQXLLBQNRDR-OAGGEKHMSA-N 1 2 319.405 1.736 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cn2)CC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067439458 734898546 /nfs/dbraw/zinc/89/85/46/734898546.db2.gz AKSWSFXOLCBOTH-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001058571525 735668141 /nfs/dbraw/zinc/66/81/41/735668141.db2.gz KFAPSOYJDRSIBO-YCPHGPKFSA-N 1 2 316.405 1.461 20 30 DDEDLO Cc1cc(N[C@@H](CO)CNC(=O)C#CC2CC2)nc(C(C)C)[nH+]1 ZINC001121439696 782510176 /nfs/dbraw/zinc/51/01/76/782510176.db2.gz RBHJIXQWVNHNTM-CQSZACIVSA-N 1 2 316.405 1.211 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1coc(OCC)n1 ZINC001017704955 736305638 /nfs/dbraw/zinc/30/56/38/736305638.db2.gz PEMKHWDCYMEEIO-BETUJISGSA-N 1 2 303.362 1.385 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1coc(OCC)n1 ZINC001017704955 736305640 /nfs/dbraw/zinc/30/56/40/736305640.db2.gz PEMKHWDCYMEEIO-BETUJISGSA-N 1 2 303.362 1.385 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cnccc2OC)C1 ZINC001007618268 736505249 /nfs/dbraw/zinc/50/52/49/736505249.db2.gz LHYICILGLIDXGH-AWEZNQCLSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cnccc2OC)C1 ZINC001007618268 736505252 /nfs/dbraw/zinc/50/52/52/736505252.db2.gz LHYICILGLIDXGH-AWEZNQCLSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CCCCS1(=O)=O ZINC001038338166 736910859 /nfs/dbraw/zinc/91/08/59/736910859.db2.gz OUQGIRHEZKOBNY-ZIAGYGMSSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CCCCS1(=O)=O ZINC001038338166 736910863 /nfs/dbraw/zinc/91/08/63/736910863.db2.gz OUQGIRHEZKOBNY-ZIAGYGMSSA-N 1 2 314.451 1.110 20 30 DDEDLO C[C@]1(O)COCC[N@@H+](Cc2sc(N)c(C#N)c2Cl)C1 ZINC001141106770 737389243 /nfs/dbraw/zinc/38/92/43/737389243.db2.gz HVTNLXWDGJAQNQ-GFCCVEGCSA-N 1 2 301.799 1.439 20 30 DDEDLO C[C@]1(O)COCC[N@H+](Cc2sc(N)c(C#N)c2Cl)C1 ZINC001141106770 737389240 /nfs/dbraw/zinc/38/92/40/737389240.db2.gz HVTNLXWDGJAQNQ-GFCCVEGCSA-N 1 2 301.799 1.439 20 30 DDEDLO CC(C)[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1ccc(C#N)cn1 ZINC001105214582 737616493 /nfs/dbraw/zinc/61/64/93/737616493.db2.gz MIVZPHPBTKYQHT-CYBMUJFWSA-N 1 2 312.377 1.472 20 30 DDEDLO C=C/C(C)=C/CC(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105305883 737798887 /nfs/dbraw/zinc/79/88/87/737798887.db2.gz RYHQWZOUMXXFKX-VGOFMYFVSA-N 1 2 313.405 1.297 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3CCC[C@H]3CC)C2)nn1 ZINC001105320648 737846738 /nfs/dbraw/zinc/84/67/38/737846738.db2.gz DWBCJDZZZKGBQG-CZUORRHYSA-N 1 2 315.421 1.211 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027337209 738209278 /nfs/dbraw/zinc/20/92/78/738209278.db2.gz ZUFQBIJTJVOKCB-PWSUYJOCSA-N 1 2 307.423 1.429 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027337209 738209280 /nfs/dbraw/zinc/20/92/80/738209280.db2.gz ZUFQBIJTJVOKCB-PWSUYJOCSA-N 1 2 307.423 1.429 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC001108164109 751398932 /nfs/dbraw/zinc/39/89/32/751398932.db2.gz UEEUWVZDRGVWRT-SFHVURJKSA-N 1 2 315.417 1.736 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC001108164109 751398938 /nfs/dbraw/zinc/39/89/38/751398938.db2.gz UEEUWVZDRGVWRT-SFHVURJKSA-N 1 2 315.417 1.736 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3C[C@@H]3C(C)C)C2)nn1 ZINC001105352046 738986139 /nfs/dbraw/zinc/98/61/39/738986139.db2.gz NRKXHPGGSBTXTR-HZPDHXFCSA-N 1 2 315.421 1.066 20 30 DDEDLO Cc1ocnc1C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001010346734 739056951 /nfs/dbraw/zinc/05/69/51/739056951.db2.gz CQWUFDLUZIWZBY-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1ocnc1C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001010346734 739056955 /nfs/dbraw/zinc/05/69/55/739056955.db2.gz CQWUFDLUZIWZBY-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc2nncn2c1 ZINC001028201022 739152039 /nfs/dbraw/zinc/15/20/39/739152039.db2.gz YYZKBAKGYCVIJG-ZDUSSCGKSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc2nncn2c1 ZINC001028201022 739152042 /nfs/dbraw/zinc/15/20/42/739152042.db2.gz YYZKBAKGYCVIJG-ZDUSSCGKSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098198787 739164101 /nfs/dbraw/zinc/16/41/01/739164101.db2.gz XJQHGARERPECBX-RYUDHWBXSA-N 1 2 316.409 1.882 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]2CNC(=O)c2nnc[nH]2)s1 ZINC001028211643 739165648 /nfs/dbraw/zinc/16/56/48/739165648.db2.gz SKTKVRVJAZXXKZ-SNVBAGLBSA-N 1 2 316.390 1.132 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2nnc[nH]2)s1 ZINC001028211643 739165649 /nfs/dbraw/zinc/16/56/49/739165649.db2.gz SKTKVRVJAZXXKZ-SNVBAGLBSA-N 1 2 316.390 1.132 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001035365435 751430277 /nfs/dbraw/zinc/43/02/77/751430277.db2.gz JOIQXAYQTOVDAP-HNNXBMFYSA-N 1 2 304.394 1.225 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001035365435 751430279 /nfs/dbraw/zinc/43/02/79/751430279.db2.gz JOIQXAYQTOVDAP-HNNXBMFYSA-N 1 2 304.394 1.225 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2ccn(C)n2)nc1 ZINC001028269813 739258464 /nfs/dbraw/zinc/25/84/64/739258464.db2.gz WHPBGLJPUCSKNF-INIZCTEOSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2ccn(C)n2)nc1 ZINC001028269813 739258468 /nfs/dbraw/zinc/25/84/68/739258468.db2.gz WHPBGLJPUCSKNF-INIZCTEOSA-N 1 2 323.400 1.191 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001058946668 739287866 /nfs/dbraw/zinc/28/78/66/739287866.db2.gz PWEGTNVSFYGWEC-ZDUSSCGKSA-N 1 2 310.361 1.240 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@H]1CC=CCC1 ZINC001083335947 739709971 /nfs/dbraw/zinc/70/99/71/739709971.db2.gz GUDROZMTBKRRQI-OTWHNJEPSA-N 1 2 324.424 1.556 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@H]1CC=CCC1 ZINC001083335947 739709973 /nfs/dbraw/zinc/70/99/73/739709973.db2.gz GUDROZMTBKRRQI-OTWHNJEPSA-N 1 2 324.424 1.556 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c(F)cccc2OC)C1 ZINC001035376849 751473203 /nfs/dbraw/zinc/47/32/03/751473203.db2.gz BIPFWMUQLMTAKL-LBPRGKRZSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c(F)cccc2OC)C1 ZINC001035376849 751473206 /nfs/dbraw/zinc/47/32/06/751473206.db2.gz BIPFWMUQLMTAKL-LBPRGKRZSA-N 1 2 308.353 1.451 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(F)cccc1F ZINC001032593920 751476173 /nfs/dbraw/zinc/47/61/73/751476173.db2.gz BKNKAPRJDQWLSC-STQMWFEESA-N 1 2 304.340 1.816 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(F)cccc1F ZINC001032593920 751476180 /nfs/dbraw/zinc/47/61/80/751476180.db2.gz BKNKAPRJDQWLSC-STQMWFEESA-N 1 2 304.340 1.816 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001035405654 751488921 /nfs/dbraw/zinc/48/89/21/751488921.db2.gz AFUANLHJEOPRBR-AWEZNQCLSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001035405654 751488926 /nfs/dbraw/zinc/48/89/26/751488926.db2.gz AFUANLHJEOPRBR-AWEZNQCLSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccsc2COC)C1 ZINC001035415641 751497291 /nfs/dbraw/zinc/49/72/91/751497291.db2.gz DBUDWPFXGHIFPZ-ZDUSSCGKSA-N 1 2 324.446 1.901 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccsc2COC)C1 ZINC001035415641 751497294 /nfs/dbraw/zinc/49/72/94/751497294.db2.gz DBUDWPFXGHIFPZ-ZDUSSCGKSA-N 1 2 324.446 1.901 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(COC)cs2)C1 ZINC001035431930 751508094 /nfs/dbraw/zinc/50/80/94/751508094.db2.gz LNERBAQPSALCFE-CQSZACIVSA-N 1 2 324.446 1.901 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(COC)cs2)C1 ZINC001035431930 751508098 /nfs/dbraw/zinc/50/80/98/751508098.db2.gz LNERBAQPSALCFE-CQSZACIVSA-N 1 2 324.446 1.901 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)nc(OC)c2)C1 ZINC001035485625 751538927 /nfs/dbraw/zinc/53/89/27/751538927.db2.gz SCWOGMSSRFTRHP-AWEZNQCLSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)nc(OC)c2)C1 ZINC001035485625 751538929 /nfs/dbraw/zinc/53/89/29/751538929.db2.gz SCWOGMSSRFTRHP-AWEZNQCLSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3onc(C)c3c2)C1 ZINC001035463322 751548752 /nfs/dbraw/zinc/54/87/52/751548752.db2.gz GGQBSUZXOTZRSN-CYBMUJFWSA-N 1 2 316.361 1.148 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc3onc(C)c3c2)C1 ZINC001035463322 751548755 /nfs/dbraw/zinc/54/87/55/751548755.db2.gz GGQBSUZXOTZRSN-CYBMUJFWSA-N 1 2 316.361 1.148 20 30 DDEDLO C=CC[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCCS2(=O)=O)[C@H]1CC ZINC001087600618 740672502 /nfs/dbraw/zinc/67/25/02/740672502.db2.gz CLYQCKUOEBNIHC-MJBXVCDLSA-N 1 2 314.451 1.109 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCCS2(=O)=O)[C@H]1CC ZINC001087600618 740672506 /nfs/dbraw/zinc/67/25/06/740672506.db2.gz CLYQCKUOEBNIHC-MJBXVCDLSA-N 1 2 314.451 1.109 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(OC)nn2)[C@H]1CC ZINC001087785234 740751403 /nfs/dbraw/zinc/75/14/03/740751403.db2.gz YAWQVSRUNBQXMD-WCQYABFASA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(OC)nn2)[C@H]1CC ZINC001087785234 740751406 /nfs/dbraw/zinc/75/14/06/740751406.db2.gz YAWQVSRUNBQXMD-WCQYABFASA-N 1 2 324.812 1.820 20 30 DDEDLO CCn1c(C)nnc1C[N@H+](C)C[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001029679006 741220259 /nfs/dbraw/zinc/22/02/59/741220259.db2.gz VTGUHONXVAITPU-TZMCWYRMSA-N 1 2 318.425 1.046 20 30 DDEDLO CCn1c(C)nnc1C[N@@H+](C)C[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001029679006 741220261 /nfs/dbraw/zinc/22/02/61/741220261.db2.gz VTGUHONXVAITPU-TZMCWYRMSA-N 1 2 318.425 1.046 20 30 DDEDLO C=C(C)C(=O)OCC[NH2+]c1cccc(=NN2C(=O)CCC2=O)[nH]1 ZINC001168070578 741233495 /nfs/dbraw/zinc/23/34/95/741233495.db2.gz HEFYVTXTEOMTDI-UHFFFAOYSA-N 1 2 318.333 1.089 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnoc2C(C)C)C1 ZINC001035568566 751622686 /nfs/dbraw/zinc/62/26/86/751622686.db2.gz VDJGESNXIPAZKS-ZDUSSCGKSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnoc2C(C)C)C1 ZINC001035568566 751622692 /nfs/dbraw/zinc/62/26/92/751622692.db2.gz VDJGESNXIPAZKS-ZDUSSCGKSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(CCC)on2)C1 ZINC001035561969 751643640 /nfs/dbraw/zinc/64/36/40/751643640.db2.gz HCALKTNLRLCJJO-AWEZNQCLSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(CCC)on2)C1 ZINC001035561969 751643646 /nfs/dbraw/zinc/64/36/46/751643646.db2.gz HCALKTNLRLCJJO-AWEZNQCLSA-N 1 2 307.394 1.634 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3cccnc23)C1 ZINC001035581202 751671988 /nfs/dbraw/zinc/67/19/88/751671988.db2.gz ICYXQXRVHJNGEY-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3cccnc23)C1 ZINC001035581202 751671990 /nfs/dbraw/zinc/67/19/90/751671990.db2.gz ICYXQXRVHJNGEY-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ncccc2Cl)C1 ZINC001035582232 751673616 /nfs/dbraw/zinc/67/36/16/751673616.db2.gz BQHMHEGBVQWACP-LBPRGKRZSA-N 1 2 307.781 1.189 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ncccc2Cl)C1 ZINC001035582232 751673622 /nfs/dbraw/zinc/67/36/22/751673622.db2.gz BQHMHEGBVQWACP-LBPRGKRZSA-N 1 2 307.781 1.189 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)cc2)C1 ZINC001035585071 751678785 /nfs/dbraw/zinc/67/87/85/751678785.db2.gz CIYYPDNJIDHGKF-INIZCTEOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)cc2)C1 ZINC001035585071 751678787 /nfs/dbraw/zinc/67/87/87/751678787.db2.gz CIYYPDNJIDHGKF-INIZCTEOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(F)cccc2F)C1 ZINC001035591391 751686353 /nfs/dbraw/zinc/68/63/53/751686353.db2.gz VVLNDFVILDAQHN-GFCCVEGCSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(F)cccc2F)C1 ZINC001035591391 751686358 /nfs/dbraw/zinc/68/63/58/751686358.db2.gz VVLNDFVILDAQHN-GFCCVEGCSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc3n[nH]nc3c2)[C@H]1C ZINC001088625548 742025832 /nfs/dbraw/zinc/02/58/32/742025832.db2.gz PZAOFGWMMPKKLK-KOLCDFICSA-N 1 2 320.784 1.298 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc3n[nH]nc3c2)[C@H]1C ZINC001088625548 742025835 /nfs/dbraw/zinc/02/58/35/742025835.db2.gz PZAOFGWMMPKKLK-KOLCDFICSA-N 1 2 320.784 1.298 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]1CNC(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001038480041 742131024 /nfs/dbraw/zinc/13/10/24/742131024.db2.gz UUWVCLVNKOLGJL-CYBMUJFWSA-N 1 2 311.385 1.908 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]1CNC(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001038480041 742131025 /nfs/dbraw/zinc/13/10/25/742131025.db2.gz UUWVCLVNKOLGJL-CYBMUJFWSA-N 1 2 311.385 1.908 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc3n(n2)CCCO3)[C@H]1C ZINC001088735928 742265023 /nfs/dbraw/zinc/26/50/23/742265023.db2.gz QFJSBFXYBIHQSM-NEPJUHHUSA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc3n(n2)CCCO3)[C@H]1C ZINC001088735928 742265028 /nfs/dbraw/zinc/26/50/28/742265028.db2.gz QFJSBFXYBIHQSM-NEPJUHHUSA-N 1 2 324.812 1.611 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001035608591 751713131 /nfs/dbraw/zinc/71/31/31/751713131.db2.gz HVJJNAPMGGPWGI-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001035608591 751713138 /nfs/dbraw/zinc/71/31/38/751713138.db2.gz HVJJNAPMGGPWGI-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+]Cc1nc(C2CCCC2)no1 ZINC001126811318 742278454 /nfs/dbraw/zinc/27/84/54/742278454.db2.gz RDLNCGDXCSTWIR-UHFFFAOYSA-N 1 2 304.394 1.592 20 30 DDEDLO Cc1[nH]nc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)c1C ZINC001038120072 742407795 /nfs/dbraw/zinc/40/77/95/742407795.db2.gz YIRGEWWUJXHONA-MRXNPFEDSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1[nH]nc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)c1C ZINC001038120072 742407798 /nfs/dbraw/zinc/40/77/98/742407798.db2.gz YIRGEWWUJXHONA-MRXNPFEDSA-N 1 2 323.400 1.903 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cn1cccn1)CC2 ZINC001035648203 751726605 /nfs/dbraw/zinc/72/66/05/751726605.db2.gz JMSLUAJEYZLAIW-UHFFFAOYSA-N 1 2 308.813 1.560 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)CC(C)(C)C ZINC001121509099 782540456 /nfs/dbraw/zinc/54/04/56/782540456.db2.gz BPABZZFOOCKHAW-STQMWFEESA-N 1 2 307.442 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)CC(C)(C)C ZINC001121509099 782540463 /nfs/dbraw/zinc/54/04/63/782540463.db2.gz BPABZZFOOCKHAW-STQMWFEESA-N 1 2 307.442 1.684 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001076296858 742651087 /nfs/dbraw/zinc/65/10/87/742651087.db2.gz XXCCEKLYKUMADE-KBPBESRZSA-N 1 2 318.421 1.403 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001076296858 742651091 /nfs/dbraw/zinc/65/10/91/742651091.db2.gz XXCCEKLYKUMADE-KBPBESRZSA-N 1 2 318.421 1.403 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cn(C)cn1)CC2 ZINC001035686163 751765201 /nfs/dbraw/zinc/76/52/01/751765201.db2.gz UFVINXIIGRSZIV-UHFFFAOYSA-N 1 2 308.813 1.711 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001076711852 742933669 /nfs/dbraw/zinc/93/36/69/742933669.db2.gz PKLMVIUCJDUQFP-KGLIPLIRSA-N 1 2 318.421 1.259 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001076711852 742933672 /nfs/dbraw/zinc/93/36/72/742933672.db2.gz PKLMVIUCJDUQFP-KGLIPLIRSA-N 1 2 318.421 1.259 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)[C@H](C)CC)CC2)C1 ZINC001105688289 743230682 /nfs/dbraw/zinc/23/06/82/743230682.db2.gz YGLUUCNQRBHVHS-OAHLLOKOSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](CC)OCC)c2C1 ZINC001128240718 743352819 /nfs/dbraw/zinc/35/28/19/743352819.db2.gz MWGCYMYYNMKULP-MRXNPFEDSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H](CC)OCC)c2C1 ZINC001128240718 743352828 /nfs/dbraw/zinc/35/28/28/743352828.db2.gz MWGCYMYYNMKULP-MRXNPFEDSA-N 1 2 320.437 1.706 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CCc2ccc(F)cc2O1 ZINC001038510395 743368121 /nfs/dbraw/zinc/36/81/21/743368121.db2.gz CKSUAOOPHYWZQN-GJZGRUSLSA-N 1 2 302.349 1.343 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CCc2ccc(F)cc2O1 ZINC001038510395 743368127 /nfs/dbraw/zinc/36/81/27/743368127.db2.gz CKSUAOOPHYWZQN-GJZGRUSLSA-N 1 2 302.349 1.343 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001061134559 743380233 /nfs/dbraw/zinc/38/02/33/743380233.db2.gz VMPGWQZMIOPWAI-UONOGXRCSA-N 1 2 324.388 1.758 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H](C)C3CC3)c2C1 ZINC001128291431 743487080 /nfs/dbraw/zinc/48/70/80/743487080.db2.gz XJOZBMAZNYCJAM-CYBMUJFWSA-N 1 2 302.422 1.937 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H](C)C3CC3)c2C1 ZINC001128291431 743487084 /nfs/dbraw/zinc/48/70/84/743487084.db2.gz XJOZBMAZNYCJAM-CYBMUJFWSA-N 1 2 302.422 1.937 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)o1 ZINC001182450396 743631725 /nfs/dbraw/zinc/63/17/25/743631725.db2.gz TZUOZMBZNZLKQT-GFCCVEGCSA-N 1 2 304.394 1.372 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001105721131 743759444 /nfs/dbraw/zinc/75/94/44/743759444.db2.gz QOSZXIXEQXIEIS-AWEZNQCLSA-N 1 2 310.438 1.273 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)CCOC)CC2)C1 ZINC001105734289 743768593 /nfs/dbraw/zinc/76/85/93/743768593.db2.gz KFLBLPQQQMCWEN-AWEZNQCLSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCCC(=O)NC[C@@H](O)CNc1cc(C)[nH+]c(C(C)C)n1 ZINC001105739357 743771446 /nfs/dbraw/zinc/77/14/46/743771446.db2.gz LPCIMLPRNBZBBJ-ZDUSSCGKSA-N 1 2 306.410 1.764 20 30 DDEDLO CC1(C)CO[C@@H](C[NH+]2CC(NC(=O)c3ccc(C#N)[nH]3)C2)C1 ZINC001030224657 743961050 /nfs/dbraw/zinc/96/10/50/743961050.db2.gz PWKANDVUNPTKQP-CYBMUJFWSA-N 1 2 302.378 1.115 20 30 DDEDLO COc1cccc(C[NH+]2CC(NC(=O)c3ccc(C#N)[nH]3)C2)c1C ZINC001030225036 743962476 /nfs/dbraw/zinc/96/24/76/743962476.db2.gz RXUAHWXWEQMRMC-UHFFFAOYSA-N 1 2 324.384 1.818 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCCN2C(=O)C(C)(C)C)C1 ZINC001030358328 744075949 /nfs/dbraw/zinc/07/59/49/744075949.db2.gz WNWOHLKPYNKBNG-AWEZNQCLSA-N 1 2 307.438 1.400 20 30 DDEDLO Cn1cc[nH+]c1CCNC(=O)c1cc(Cl)c(C#N)c(Cl)n1 ZINC001184819829 744108334 /nfs/dbraw/zinc/10/83/34/744108334.db2.gz WCLVCLNNGYJQFS-UHFFFAOYSA-N 1 2 324.171 1.966 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC1CCC1 ZINC001110367664 744276488 /nfs/dbraw/zinc/27/64/88/744276488.db2.gz QGTVCQOMSWZCQT-OAGGEKHMSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC1CCC1 ZINC001110367664 744276490 /nfs/dbraw/zinc/27/64/90/744276490.db2.gz QGTVCQOMSWZCQT-OAGGEKHMSA-N 1 2 319.449 1.590 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CCC=C)C2)nn1 ZINC001185907501 744306644 /nfs/dbraw/zinc/30/66/44/744306644.db2.gz MNGMEQPXPFXFJC-OAHLLOKOSA-N 1 2 301.394 1.131 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C[C@H](C)CC)C2)nn1 ZINC001185932339 744316632 /nfs/dbraw/zinc/31/66/32/744316632.db2.gz GCPPPNHONGZFDA-ZBFHGGJFSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)[C@H]1C ZINC001088907743 744358188 /nfs/dbraw/zinc/35/81/88/744358188.db2.gz LMZGLJKSUBVCBM-AGIUHOORSA-N 1 2 319.430 1.820 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)[C@H]1C ZINC001088907743 744358189 /nfs/dbraw/zinc/35/81/89/744358189.db2.gz LMZGLJKSUBVCBM-AGIUHOORSA-N 1 2 319.430 1.820 20 30 DDEDLO COc1ccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H](C)C#N)C3)cn1 ZINC001110395076 744576304 /nfs/dbraw/zinc/57/63/04/744576304.db2.gz VMYGJZUBLPZSGE-NGFQHRJXSA-N 1 2 314.389 1.471 20 30 DDEDLO COc1ccc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H](C)C#N)C3)cn1 ZINC001110395076 744576307 /nfs/dbraw/zinc/57/63/07/744576307.db2.gz VMYGJZUBLPZSGE-NGFQHRJXSA-N 1 2 314.389 1.471 20 30 DDEDLO Cc1nc(N[C@H](C)C[C@H](C)NC(=O)CSCC#N)cc[nH+]1 ZINC001089244504 744771441 /nfs/dbraw/zinc/77/14/41/744771441.db2.gz PBKMBHZQVXIWJA-MNOVXSKESA-N 1 2 307.423 1.737 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188959850 744799653 /nfs/dbraw/zinc/79/96/53/744799653.db2.gz ZSVMIGIGYOTEIS-CHWSQXEVSA-N 1 2 322.434 1.834 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188959850 744799654 /nfs/dbraw/zinc/79/96/54/744799654.db2.gz ZSVMIGIGYOTEIS-CHWSQXEVSA-N 1 2 322.434 1.834 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001190424885 745216130 /nfs/dbraw/zinc/21/61/30/745216130.db2.gz HOYPPSCYKALRIM-LSDHHAIUSA-N 1 2 318.421 1.046 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001190424885 745216135 /nfs/dbraw/zinc/21/61/35/745216135.db2.gz HOYPPSCYKALRIM-LSDHHAIUSA-N 1 2 318.421 1.046 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001083715035 745242671 /nfs/dbraw/zinc/24/26/71/745242671.db2.gz SHEMEXFVDDFCPS-SPOLIRPYSA-N 1 2 324.424 1.246 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001083715035 745242676 /nfs/dbraw/zinc/24/26/76/745242676.db2.gz SHEMEXFVDDFCPS-SPOLIRPYSA-N 1 2 324.424 1.246 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CC(C)C1)C2 ZINC001110429636 745271775 /nfs/dbraw/zinc/27/17/75/745271775.db2.gz JBURBAHCRQIVJZ-ODGNXRKOSA-N 1 2 305.422 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CC(C)C1)C2 ZINC001110429636 745271777 /nfs/dbraw/zinc/27/17/77/745271777.db2.gz JBURBAHCRQIVJZ-ODGNXRKOSA-N 1 2 305.422 1.056 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(C(F)(F)F)nc1 ZINC001038571957 745275558 /nfs/dbraw/zinc/27/55/58/745275558.db2.gz QQTZSWFCPOKRTB-GFCCVEGCSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C(F)(F)F)nc1 ZINC001038571957 745275559 /nfs/dbraw/zinc/27/55/59/745275559.db2.gz QQTZSWFCPOKRTB-GFCCVEGCSA-N 1 2 311.307 1.928 20 30 DDEDLO CC(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001190937017 745376596 /nfs/dbraw/zinc/37/65/96/745376596.db2.gz QPMXCIVLWQQEMV-IAGOWNOFSA-N 1 2 315.417 1.656 20 30 DDEDLO CC(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001190937017 745376599 /nfs/dbraw/zinc/37/65/99/745376599.db2.gz QPMXCIVLWQQEMV-IAGOWNOFSA-N 1 2 315.417 1.656 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2cc(C)cn2)[C@@H]1C ZINC000993080089 745487758 /nfs/dbraw/zinc/48/77/58/745487758.db2.gz NFWWLMFDDPBRJV-UONOGXRCSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2cc(C)cn2)[C@@H]1C ZINC000993080089 745487762 /nfs/dbraw/zinc/48/77/62/745487762.db2.gz NFWWLMFDDPBRJV-UONOGXRCSA-N 1 2 310.829 1.913 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)CCNc1[nH+]cnc2c1cnn2C ZINC001106303247 745576031 /nfs/dbraw/zinc/57/60/31/745576031.db2.gz NDZPIVIWJVNCIT-GFCCVEGCSA-N 1 2 314.393 1.474 20 30 DDEDLO CC[N@@H+](Cc1nccs1)[C@H](C)CNC(=O)CSCC#N ZINC001151966173 745578670 /nfs/dbraw/zinc/57/86/70/745578670.db2.gz WKTJSBDHIFOVOA-LLVKDONJSA-N 1 2 312.464 1.726 20 30 DDEDLO CC[N@H+](Cc1nccs1)[C@H](C)CNC(=O)CSCC#N ZINC001151966173 745578668 /nfs/dbraw/zinc/57/86/68/745578668.db2.gz WKTJSBDHIFOVOA-LLVKDONJSA-N 1 2 312.464 1.726 20 30 DDEDLO Cc1nsc(NCC[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001106598853 745824317 /nfs/dbraw/zinc/82/43/17/745824317.db2.gz RYQCULUAYVMMCP-SECBINFHSA-N 1 2 318.406 1.596 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)cn1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106620904 745842677 /nfs/dbraw/zinc/84/26/77/745842677.db2.gz PXQHMQPBTKNTKZ-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)cn1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106620904 745842683 /nfs/dbraw/zinc/84/26/83/745842683.db2.gz PXQHMQPBTKNTKZ-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cnn(C)c2N)[C@H]1C ZINC000993235979 745924780 /nfs/dbraw/zinc/92/47/80/745924780.db2.gz SWXOYWILTAHUST-ZYHUDNBSSA-N 1 2 311.817 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cnn(C)c2N)[C@H]1C ZINC000993235979 745924784 /nfs/dbraw/zinc/92/47/84/745924784.db2.gz SWXOYWILTAHUST-ZYHUDNBSSA-N 1 2 311.817 1.338 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cnn(C)c2C)[C@@H]1C ZINC000993397730 746071310 /nfs/dbraw/zinc/07/13/10/746071310.db2.gz KLLPPKOEYVWSPE-DZGCQCFKSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cnn(C)c2C)[C@@H]1C ZINC000993397730 746071315 /nfs/dbraw/zinc/07/13/15/746071315.db2.gz KLLPPKOEYVWSPE-DZGCQCFKSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cccc(NC(=O)NC)c2)C1 ZINC001031259230 746083922 /nfs/dbraw/zinc/08/39/22/746083922.db2.gz ZAZNFPLUKMEXCZ-UHFFFAOYSA-N 1 2 302.378 1.428 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2c[nH]c(=O)cn2)[C@H]1C ZINC000993733651 746315552 /nfs/dbraw/zinc/31/55/52/746315552.db2.gz YJCRWGBWJPNGBJ-MNOVXSKESA-N 1 2 310.785 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(=O)cn2)[C@H]1C ZINC000993733651 746315558 /nfs/dbraw/zinc/31/55/58/746315558.db2.gz YJCRWGBWJPNGBJ-MNOVXSKESA-N 1 2 310.785 1.105 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001007304961 752087006 /nfs/dbraw/zinc/08/70/06/752087006.db2.gz SIPPFAFIWBVYSB-KRWDZBQOSA-N 1 2 322.412 1.964 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001007304961 752087010 /nfs/dbraw/zinc/08/70/10/752087010.db2.gz SIPPFAFIWBVYSB-KRWDZBQOSA-N 1 2 322.412 1.964 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cn(CC)nn2)[C@H]1C ZINC000994106433 746459163 /nfs/dbraw/zinc/45/91/63/746459163.db2.gz MMDZZXYCICDPNN-NEPJUHHUSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cn(CC)nn2)[C@H]1C ZINC000994106433 746459165 /nfs/dbraw/zinc/45/91/65/746459165.db2.gz MMDZZXYCICDPNN-NEPJUHHUSA-N 1 2 311.817 1.633 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2C=CCC2)CC1 ZINC001194761951 746460017 /nfs/dbraw/zinc/46/00/17/746460017.db2.gz OMQMGWVGOFCPDA-OAHLLOKOSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2C=CCC2)CC1 ZINC001194761951 746460020 /nfs/dbraw/zinc/46/00/20/746460020.db2.gz OMQMGWVGOFCPDA-OAHLLOKOSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CC=CCC2)CC1 ZINC001194802628 746463668 /nfs/dbraw/zinc/46/36/68/746463668.db2.gz MWJWHWPDCVKACU-HNNXBMFYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CC=CCC2)CC1 ZINC001194802628 746463673 /nfs/dbraw/zinc/46/36/73/746463673.db2.gz MWJWHWPDCVKACU-HNNXBMFYSA-N 1 2 305.422 1.179 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)[C@@H](O)C1 ZINC001083748775 746548297 /nfs/dbraw/zinc/54/82/97/746548297.db2.gz RHUCMLOBCNBOTC-GLQYFDAESA-N 1 2 306.793 1.238 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)[C@@H](O)C1 ZINC001083748775 746548301 /nfs/dbraw/zinc/54/83/01/746548301.db2.gz RHUCMLOBCNBOTC-GLQYFDAESA-N 1 2 306.793 1.238 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccc(=O)n(C)n2)[C@H]1C ZINC000994356105 746604215 /nfs/dbraw/zinc/60/42/15/746604215.db2.gz YOWWJHXZTDBQQY-NEPJUHHUSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(=O)n(C)n2)[C@H]1C ZINC000994356105 746604216 /nfs/dbraw/zinc/60/42/16/746604216.db2.gz YOWWJHXZTDBQQY-NEPJUHHUSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2ccccc2=O)[C@@H]1C ZINC000994377214 746615621 /nfs/dbraw/zinc/61/56/21/746615621.db2.gz PONFWJWFVZRVLB-UONOGXRCSA-N 1 2 323.824 1.570 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2ccccc2=O)[C@@H]1C ZINC000994377214 746615624 /nfs/dbraw/zinc/61/56/24/746615624.db2.gz PONFWJWFVZRVLB-UONOGXRCSA-N 1 2 323.824 1.570 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195532008 746638296 /nfs/dbraw/zinc/63/82/96/746638296.db2.gz KSHNJHYWKAHNRQ-KCPJHIHWSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195532008 746638301 /nfs/dbraw/zinc/63/83/01/746638301.db2.gz KSHNJHYWKAHNRQ-KCPJHIHWSA-N 1 2 321.421 1.555 20 30 DDEDLO CCCCCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001195948090 746747092 /nfs/dbraw/zinc/74/70/92/746747092.db2.gz BZEYIILDSMOAKA-CHWSQXEVSA-N 1 2 312.389 1.294 20 30 DDEDLO CCCCCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001195948090 746747095 /nfs/dbraw/zinc/74/70/95/746747095.db2.gz BZEYIILDSMOAKA-CHWSQXEVSA-N 1 2 312.389 1.294 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](c2ccccc2)C(C)C)C1 ZINC001196454360 746876007 /nfs/dbraw/zinc/87/60/07/746876007.db2.gz YFNWHWKVTKFKKJ-BRWVUGGUSA-N 1 2 300.402 1.221 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](c2ccccc2)C(C)C)C1 ZINC001196454360 746876013 /nfs/dbraw/zinc/87/60/13/746876013.db2.gz YFNWHWKVTKFKKJ-BRWVUGGUSA-N 1 2 300.402 1.221 20 30 DDEDLO CC(C)C#CC(=O)NCc1cnn2c1C[N@H+](CCCF)CC2 ZINC001128347068 746888278 /nfs/dbraw/zinc/88/82/78/746888278.db2.gz JHYWEDFKFKMDBZ-UHFFFAOYSA-N 1 2 306.385 1.334 20 30 DDEDLO CC(C)C#CC(=O)NCc1cnn2c1C[N@@H+](CCCF)CC2 ZINC001128347068 746888289 /nfs/dbraw/zinc/88/82/89/746888289.db2.gz JHYWEDFKFKMDBZ-UHFFFAOYSA-N 1 2 306.385 1.334 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@]2(C)C=CCC2)CC1 ZINC001196783443 746961074 /nfs/dbraw/zinc/96/10/74/746961074.db2.gz ZAXLPQWTUVNMJH-QGZVFWFLSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@]2(C)C=CCC2)CC1 ZINC001196783443 746961079 /nfs/dbraw/zinc/96/10/79/746961079.db2.gz ZAXLPQWTUVNMJH-QGZVFWFLSA-N 1 2 305.422 1.179 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@]2(C)C=CCC2)CC1 ZINC001196789514 746962272 /nfs/dbraw/zinc/96/22/72/746962272.db2.gz AKONRGBIGNEZSB-CRAIPNDOSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@]2(C)C=CCC2)CC1 ZINC001196789514 746962274 /nfs/dbraw/zinc/96/22/74/746962274.db2.gz AKONRGBIGNEZSB-CRAIPNDOSA-N 1 2 317.433 1.015 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)c3ccc[nH]3)C2)ccc1F ZINC001031543981 746994499 /nfs/dbraw/zinc/99/44/99/746994499.db2.gz QOBKCIKZGRIUAE-UHFFFAOYSA-N 1 2 312.348 1.887 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)CC)CC1 ZINC001196993791 747027159 /nfs/dbraw/zinc/02/71/59/747027159.db2.gz SPWRELZYBRGSTP-AWEZNQCLSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C(C)(C)CC)CC1 ZINC001196993791 747027167 /nfs/dbraw/zinc/02/71/67/747027167.db2.gz SPWRELZYBRGSTP-AWEZNQCLSA-N 1 2 307.438 1.095 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1ccncc1F ZINC001031588001 747112539 /nfs/dbraw/zinc/11/25/39/747112539.db2.gz ZNDOSONTMMBKDL-UHFFFAOYSA-N 1 2 323.371 1.934 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccn(C(C)C)n2)CC1 ZINC001197300664 747124794 /nfs/dbraw/zinc/12/47/94/747124794.db2.gz PFVBBLPWSVZOJM-UHFFFAOYSA-N 1 2 318.421 1.262 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccn(C(C)C)n2)CC1 ZINC001197300664 747124795 /nfs/dbraw/zinc/12/47/95/747124795.db2.gz PFVBBLPWSVZOJM-UHFFFAOYSA-N 1 2 318.421 1.262 20 30 DDEDLO N#Cc1ccc(NCC2(CCNC(=O)Cc3c[nH]c[nH+]3)CC2)nc1 ZINC001090002790 747196904 /nfs/dbraw/zinc/19/69/04/747196904.db2.gz CNHDVDRRJVYVBB-UHFFFAOYSA-N 1 2 324.388 1.617 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)ncn1 ZINC001031620096 747210961 /nfs/dbraw/zinc/21/09/61/747210961.db2.gz XYTPIPHANYFRCP-UHFFFAOYSA-N 1 2 320.396 1.498 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)[C@@H]2CCCc3nn[nH]c32)CC1 ZINC001003515632 747261958 /nfs/dbraw/zinc/26/19/58/747261958.db2.gz IUUGYCGVJBYKSM-GFCCVEGCSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1nocc1C ZINC001110496004 747305624 /nfs/dbraw/zinc/30/56/24/747305624.db2.gz QRBCNOVUHWBPDH-LGWLGOQQSA-N 1 2 319.405 1.532 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1nocc1C ZINC001110496004 747305632 /nfs/dbraw/zinc/30/56/32/747305632.db2.gz QRBCNOVUHWBPDH-LGWLGOQQSA-N 1 2 319.405 1.532 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)c(F)c2)C1 ZINC001108057850 747311822 /nfs/dbraw/zinc/31/18/22/747311822.db2.gz MUIYYUSNALQGTP-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)c(F)c2)C1 ZINC001108057850 747311826 /nfs/dbraw/zinc/31/18/26/747311826.db2.gz MUIYYUSNALQGTP-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC001007491355 752171115 /nfs/dbraw/zinc/17/11/15/752171115.db2.gz CUSSKKNAKVKDAM-LBPRGKRZSA-N 1 2 302.403 1.532 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC001007491355 752171119 /nfs/dbraw/zinc/17/11/19/752171119.db2.gz CUSSKKNAKVKDAM-LBPRGKRZSA-N 1 2 302.403 1.532 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001007511245 752182588 /nfs/dbraw/zinc/18/25/88/752182588.db2.gz ZSOGDXYLJXRBMQ-NSHDSACASA-N 1 2 308.813 1.843 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001007511245 752182593 /nfs/dbraw/zinc/18/25/93/752182593.db2.gz ZSOGDXYLJXRBMQ-NSHDSACASA-N 1 2 308.813 1.843 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC000998752685 752212099 /nfs/dbraw/zinc/21/20/99/752212099.db2.gz JTRZNSMZVQWRNU-WCQYABFASA-N 1 2 317.393 1.262 20 30 DDEDLO CN(C(=O)Cc1ccn[nH]1)[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001032983533 747846645 /nfs/dbraw/zinc/84/66/45/747846645.db2.gz ZAUJMIVPRHPTTK-SFHVURJKSA-N 1 2 322.412 1.537 20 30 DDEDLO CN(C(=O)Cc1ccn[nH]1)[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001032983533 747846654 /nfs/dbraw/zinc/84/66/54/747846654.db2.gz ZAUJMIVPRHPTTK-SFHVURJKSA-N 1 2 322.412 1.537 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)oc2C)[C@H](O)C1 ZINC001090064191 747985502 /nfs/dbraw/zinc/98/55/02/747985502.db2.gz JVJVDTWIAUWNAA-ZIAGYGMSSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)oc2C)[C@H](O)C1 ZINC001090064191 747985505 /nfs/dbraw/zinc/98/55/05/747985505.db2.gz JVJVDTWIAUWNAA-ZIAGYGMSSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CC(=O)N[C@H](CC)C2)C1 ZINC001031871340 748079026 /nfs/dbraw/zinc/07/90/26/748079026.db2.gz WXDHMBUDBAAZBL-QWHCGFSZSA-N 1 2 313.829 1.092 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C)no1 ZINC001110546029 748095187 /nfs/dbraw/zinc/09/51/87/748095187.db2.gz JIVVSRHCYFYRQG-XNISGKROSA-N 1 2 317.389 1.243 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C)no1 ZINC001110546029 748095196 /nfs/dbraw/zinc/09/51/96/748095196.db2.gz JIVVSRHCYFYRQG-XNISGKROSA-N 1 2 317.389 1.243 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)nn1C ZINC001004374052 748379890 /nfs/dbraw/zinc/37/98/90/748379890.db2.gz ZEEJYXGIHOMBMN-MRXNPFEDSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)nn1C ZINC001004374052 748379892 /nfs/dbraw/zinc/37/98/92/748379892.db2.gz ZEEJYXGIHOMBMN-MRXNPFEDSA-N 1 2 315.421 1.569 20 30 DDEDLO CC1(NC(=O)Cc2[nH]cc[nH+]2)CCN(c2ccncc2C#N)CC1 ZINC001110595812 748810470 /nfs/dbraw/zinc/81/04/70/748810470.db2.gz GPCTUECPZDEEDN-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCOCCCC(=O)NC/C=C/CNc1cc[nH+]c(C)n1 ZINC001107091298 748999622 /nfs/dbraw/zinc/99/96/22/748999622.db2.gz HGONPFVYJKNALM-SNAWJCMRSA-N 1 2 304.394 1.852 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn3c2CCCC3)C1 ZINC001108325175 761915728 /nfs/dbraw/zinc/91/57/28/761915728.db2.gz MMDQGAKUTZXNLT-QGZVFWFLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn3c2CCCC3)C1 ZINC001108325175 761915737 /nfs/dbraw/zinc/91/57/37/761915737.db2.gz MMDQGAKUTZXNLT-QGZVFWFLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H](OC)C(F)(F)F)C1 ZINC001108326561 761918119 /nfs/dbraw/zinc/91/81/19/761918119.db2.gz AJQBCASJEMYBKL-DGCLKSJQSA-N 1 2 324.343 1.347 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](OC)C(F)(F)F)C1 ZINC001108326561 761918123 /nfs/dbraw/zinc/91/81/23/761918123.db2.gz AJQBCASJEMYBKL-DGCLKSJQSA-N 1 2 324.343 1.347 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114352988 749104427 /nfs/dbraw/zinc/10/44/27/749104427.db2.gz KRJKJDXDIUIWBC-MUYACECFSA-N 1 2 303.410 1.577 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114352988 749104432 /nfs/dbraw/zinc/10/44/32/749104432.db2.gz KRJKJDXDIUIWBC-MUYACECFSA-N 1 2 303.410 1.577 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCN(c3cc[nH+]c(C)n3)C2)nc1 ZINC001061626394 749120862 /nfs/dbraw/zinc/12/08/62/749120862.db2.gz IGPNZJJACXXAHQ-HNNXBMFYSA-N 1 2 321.384 1.418 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC001033308864 749168263 /nfs/dbraw/zinc/16/82/63/749168263.db2.gz JTVILTMBAVOUEZ-LBPRGKRZSA-N 1 2 316.430 1.723 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC001033308864 749168267 /nfs/dbraw/zinc/16/82/67/749168267.db2.gz JTVILTMBAVOUEZ-LBPRGKRZSA-N 1 2 316.430 1.723 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H](C)SC)nn2)C1 ZINC001107132064 749200761 /nfs/dbraw/zinc/20/07/61/749200761.db2.gz IGYYOQYDOPXEBB-NSHDSACASA-N 1 2 309.439 1.079 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001033441626 749359101 /nfs/dbraw/zinc/35/91/01/749359101.db2.gz CEVYNIUVPHBJFF-SOUVJXGZSA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001033441626 749359107 /nfs/dbraw/zinc/35/91/07/749359107.db2.gz CEVYNIUVPHBJFF-SOUVJXGZSA-N 1 2 322.840 1.809 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H](C)C(C)C)nn2)C1 ZINC001107140900 749366513 /nfs/dbraw/zinc/36/65/13/749366513.db2.gz CNIDMLXTISVARC-CYBMUJFWSA-N 1 2 305.426 1.619 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H](C)SC)nn2)C1 ZINC001107160628 749457752 /nfs/dbraw/zinc/45/77/52/749457752.db2.gz AUVNIKAFOXBBKX-LLVKDONJSA-N 1 2 309.439 1.079 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H](C)C3CCC3)nn2)C1 ZINC001107182731 749499799 /nfs/dbraw/zinc/49/97/99/749499799.db2.gz YXMLDSSRDSILOV-CYBMUJFWSA-N 1 2 315.421 1.211 20 30 DDEDLO Cc1nc(NC/C=C/CNC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001107240615 749682634 /nfs/dbraw/zinc/68/26/34/749682634.db2.gz PPNYWPZJWWSBLE-NSCUHMNNSA-N 1 2 310.361 1.243 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001066754043 749936543 /nfs/dbraw/zinc/93/65/43/749936543.db2.gz UYHUAXFJBSACDZ-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc2nonc2c1 ZINC001032318637 749953925 /nfs/dbraw/zinc/95/39/25/749953925.db2.gz UQHNJFQNOUHEKY-DRZSPHRISA-N 1 2 311.345 1.168 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc2nonc2c1 ZINC001032318637 749953930 /nfs/dbraw/zinc/95/39/30/749953930.db2.gz UQHNJFQNOUHEKY-DRZSPHRISA-N 1 2 311.345 1.168 20 30 DDEDLO Cc1nsc(N(C)CCCNC(=O)Cn2cc[nH+]c2)c1C#N ZINC001095582967 750056756 /nfs/dbraw/zinc/05/67/56/750056756.db2.gz VFLIYXDMLIKPNT-UHFFFAOYSA-N 1 2 318.406 1.162 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cncc(OC)n2)C1 ZINC001033976687 750206198 /nfs/dbraw/zinc/20/61/98/750206198.db2.gz IOPFKVJKYBIRQZ-LBPRGKRZSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cncc(OC)n2)C1 ZINC001033976687 750206202 /nfs/dbraw/zinc/20/62/02/750206202.db2.gz IOPFKVJKYBIRQZ-LBPRGKRZSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3oc(C)nc3C)C[C@H]2O)C1 ZINC001077642187 750269528 /nfs/dbraw/zinc/26/95/28/750269528.db2.gz YVJXPBVUDWIFQU-ZIAGYGMSSA-N 1 2 319.405 1.309 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3oc(C)nc3C)C[C@H]2O)C1 ZINC001077642187 750269531 /nfs/dbraw/zinc/26/95/31/750269531.db2.gz YVJXPBVUDWIFQU-ZIAGYGMSSA-N 1 2 319.405 1.309 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)COc2ccccc2F)C1 ZINC001108393656 762035590 /nfs/dbraw/zinc/03/55/90/762035590.db2.gz XLFPFWOYVFVWBB-KRWDZBQOSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)COc2ccccc2F)C1 ZINC001108393656 762035602 /nfs/dbraw/zinc/03/56/02/762035602.db2.gz XLFPFWOYVFVWBB-KRWDZBQOSA-N 1 2 322.380 1.598 20 30 DDEDLO CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001032403171 750590680 /nfs/dbraw/zinc/59/06/80/750590680.db2.gz VFNPXIYMFGQQEU-HOTGVXAUSA-N 1 2 308.385 1.565 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCCN(CC)c1cc[nH+]c(C)n1 ZINC001095864992 750611198 /nfs/dbraw/zinc/61/11/98/750611198.db2.gz KUYKRVBLAMESAC-INIZCTEOSA-N 1 2 306.410 1.445 20 30 DDEDLO C[C@H](CNc1ccncc1C#N)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001108136835 750661141 /nfs/dbraw/zinc/66/11/41/750661141.db2.gz DYZOBDXYZVKGNF-CHWSQXEVSA-N 1 2 312.377 1.140 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc[nH]c2CC)[C@H](O)C1 ZINC001090247919 750753805 /nfs/dbraw/zinc/75/38/05/750753805.db2.gz YHPSFGFKEYPSJK-ZIAGYGMSSA-N 1 2 311.813 1.495 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc[nH]c2CC)[C@H](O)C1 ZINC001090247919 750753809 /nfs/dbraw/zinc/75/38/09/750753809.db2.gz YHPSFGFKEYPSJK-ZIAGYGMSSA-N 1 2 311.813 1.495 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2c(=O)o1 ZINC001032424752 750788861 /nfs/dbraw/zinc/78/88/61/750788861.db2.gz RCYYZKPUKPYTSK-GJZGRUSLSA-N 1 2 322.364 1.715 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2c(=O)o1 ZINC001032424752 750788864 /nfs/dbraw/zinc/78/88/64/750788864.db2.gz RCYYZKPUKPYTSK-GJZGRUSLSA-N 1 2 322.364 1.715 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nn2c(c1C)OCCC2 ZINC001032436177 750828376 /nfs/dbraw/zinc/82/83/76/750828376.db2.gz WRUXEVSTMKIKQQ-STQMWFEESA-N 1 2 302.378 1.059 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nn2c(c1C)OCCC2 ZINC001032436177 750828384 /nfs/dbraw/zinc/82/83/84/750828384.db2.gz WRUXEVSTMKIKQQ-STQMWFEESA-N 1 2 302.378 1.059 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCN1c1ccccc1 ZINC001032439631 750837494 /nfs/dbraw/zinc/83/74/94/750837494.db2.gz IUOQZAGUVCVJRF-GBESFXJTSA-N 1 2 323.440 1.964 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCN1c1ccccc1 ZINC001032439631 750837502 /nfs/dbraw/zinc/83/75/02/750837502.db2.gz IUOQZAGUVCVJRF-GBESFXJTSA-N 1 2 323.440 1.964 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CCC[N@H+](CC#Cc3ccccc3)C2)nn1 ZINC001007255282 750908705 /nfs/dbraw/zinc/90/87/05/750908705.db2.gz LHLPGGKXPOYTDC-INIZCTEOSA-N 1 2 323.400 1.061 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)nn1 ZINC001007255282 750908708 /nfs/dbraw/zinc/90/87/08/750908708.db2.gz LHLPGGKXPOYTDC-INIZCTEOSA-N 1 2 323.400 1.061 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114724079 751029598 /nfs/dbraw/zinc/02/95/98/751029598.db2.gz GAVVAGWYSQWSQR-SCUASFONSA-N 1 2 306.435 1.687 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114724079 751029606 /nfs/dbraw/zinc/02/96/06/751029606.db2.gz GAVVAGWYSQWSQR-SCUASFONSA-N 1 2 306.435 1.687 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114759250 751055400 /nfs/dbraw/zinc/05/54/00/751055400.db2.gz FOWNPCTWIHCKME-PBOSXPJTSA-N 1 2 304.394 1.842 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114759250 751055407 /nfs/dbraw/zinc/05/54/07/751055407.db2.gz FOWNPCTWIHCKME-PBOSXPJTSA-N 1 2 304.394 1.842 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1C[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001114766751 751064308 /nfs/dbraw/zinc/06/43/08/751064308.db2.gz LCLHRZAKKKFFOX-XJKCOSOUSA-N 1 2 304.394 1.419 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)/C=C(/C)CC)CC2 ZINC001127984827 751109858 /nfs/dbraw/zinc/10/98/58/751109858.db2.gz RZFGABTVGKSFQQ-YBEMTRGBSA-N 1 2 315.421 1.178 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccc(N(C)C)cc1 ZINC001032507349 751114985 /nfs/dbraw/zinc/11/49/85/751114985.db2.gz XDDKIUNTDSOBPK-ROUUACIJSA-N 1 2 311.429 1.604 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccc(N(C)C)cc1 ZINC001032507349 751114987 /nfs/dbraw/zinc/11/49/87/751114987.db2.gz XDDKIUNTDSOBPK-ROUUACIJSA-N 1 2 311.429 1.604 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001008122348 752563749 /nfs/dbraw/zinc/56/37/49/752563749.db2.gz LTYJVOGIJUDQOG-MRXNPFEDSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001008122348 752563754 /nfs/dbraw/zinc/56/37/54/752563754.db2.gz LTYJVOGIJUDQOG-MRXNPFEDSA-N 1 2 323.400 1.984 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001008140028 752573703 /nfs/dbraw/zinc/57/37/03/752573703.db2.gz RJBNMNTXIOXIQZ-LBPRGKRZSA-N 1 2 324.812 1.746 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001008140028 752573705 /nfs/dbraw/zinc/57/37/05/752573705.db2.gz RJBNMNTXIOXIQZ-LBPRGKRZSA-N 1 2 324.812 1.746 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC001032668739 752680431 /nfs/dbraw/zinc/68/04/31/752680431.db2.gz MMDZXQYUYHHPFX-WAARBJQMSA-N 1 2 306.409 1.881 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC001032668739 752680436 /nfs/dbraw/zinc/68/04/36/752680436.db2.gz MMDZXQYUYHHPFX-WAARBJQMSA-N 1 2 306.409 1.881 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccc(OC)cc1 ZINC001032673033 752688740 /nfs/dbraw/zinc/68/87/40/752688740.db2.gz WLJZAPDPKRWVIU-UOVPBQLFSA-N 1 2 310.397 1.717 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccc(OC)cc1 ZINC001032673033 752688745 /nfs/dbraw/zinc/68/87/45/752688745.db2.gz WLJZAPDPKRWVIU-UOVPBQLFSA-N 1 2 310.397 1.717 20 30 DDEDLO C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001008582898 752813193 /nfs/dbraw/zinc/81/31/93/752813193.db2.gz OMIGDFUIOXDSNJ-BFHYXJOUSA-N 1 2 322.840 1.857 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cncn3C)C2)nc1 ZINC001009250970 753127274 /nfs/dbraw/zinc/12/72/74/753127274.db2.gz HCUACZIWSPLUQT-OAHLLOKOSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3cncn3C)C2)nc1 ZINC001009250970 753127279 /nfs/dbraw/zinc/12/72/79/753127279.db2.gz HCUACZIWSPLUQT-OAHLLOKOSA-N 1 2 323.400 1.191 20 30 DDEDLO Cc1ccc(C#N)c(N(C)[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001056956168 762194101 /nfs/dbraw/zinc/19/41/01/762194101.db2.gz SZRXRXSQCHPNCM-AWEZNQCLSA-N 1 2 324.388 1.265 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001032720987 753217943 /nfs/dbraw/zinc/21/79/43/753217943.db2.gz MWFQQBJELQHVNE-KBPBESRZSA-N 1 2 312.373 1.765 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001032720987 753217945 /nfs/dbraw/zinc/21/79/45/753217945.db2.gz MWFQQBJELQHVNE-KBPBESRZSA-N 1 2 312.373 1.765 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001009650479 753297236 /nfs/dbraw/zinc/29/72/36/753297236.db2.gz OATDZRQMQGHKIM-CQSZACIVSA-N 1 2 311.389 1.852 20 30 DDEDLO C=CC[N@H+]1CCC[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001009650479 753297238 /nfs/dbraw/zinc/29/72/38/753297238.db2.gz OATDZRQMQGHKIM-CQSZACIVSA-N 1 2 311.389 1.852 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)CN1c1ccncc1C#N ZINC001039758219 762203884 /nfs/dbraw/zinc/20/38/84/762203884.db2.gz RTMBRCUOZOHVHV-ZFWWWQNUSA-N 1 2 324.388 1.323 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C(C)(C)C)c2)[C@@H](O)C1 ZINC001083878125 753398860 /nfs/dbraw/zinc/39/88/60/753398860.db2.gz CNPUFSJEYURACH-CVEARBPZSA-N 1 2 300.402 1.392 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C(C)(C)C)c2)[C@@H](O)C1 ZINC001083878125 753398864 /nfs/dbraw/zinc/39/88/64/753398864.db2.gz CNPUFSJEYURACH-CVEARBPZSA-N 1 2 300.402 1.392 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@](C)(OC)c1ccccc1 ZINC001032738177 753410407 /nfs/dbraw/zinc/41/04/07/753410407.db2.gz BTUGQGNZBRCFKW-JENIJYKNSA-N 1 2 312.413 1.857 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@](C)(OC)c1ccccc1 ZINC001032738177 753410412 /nfs/dbraw/zinc/41/04/12/753410412.db2.gz BTUGQGNZBRCFKW-JENIJYKNSA-N 1 2 312.413 1.857 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2cccnc2)C1 ZINC001009916997 753467895 /nfs/dbraw/zinc/46/78/95/753467895.db2.gz YUEZDUMADHEJGJ-KRWDZBQOSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2cccnc2)C1 ZINC001009916997 753467899 /nfs/dbraw/zinc/46/78/99/753467899.db2.gz YUEZDUMADHEJGJ-KRWDZBQOSA-N 1 2 306.369 1.958 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C)nc1C1CC1 ZINC001032759852 753526679 /nfs/dbraw/zinc/52/66/79/753526679.db2.gz CUJWZMLWSOGRPN-GJZGRUSLSA-N 1 2 310.401 1.584 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C)nc1C1CC1 ZINC001032759852 753526681 /nfs/dbraw/zinc/52/66/81/753526681.db2.gz CUJWZMLWSOGRPN-GJZGRUSLSA-N 1 2 310.401 1.584 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1O ZINC001078082133 753720119 /nfs/dbraw/zinc/72/01/19/753720119.db2.gz DCIUEDHSSZZLGJ-BJYXUANLSA-N 1 2 318.804 1.124 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1O ZINC001078082133 753720123 /nfs/dbraw/zinc/72/01/23/753720123.db2.gz DCIUEDHSSZZLGJ-BJYXUANLSA-N 1 2 318.804 1.124 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)c3cn[nH]c3)C2)ccc1F ZINC001010304462 753748934 /nfs/dbraw/zinc/74/89/34/753748934.db2.gz SZVNZQPFLHHWRK-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@@H](NC(=O)c3cn[nH]c3)C2)ccc1F ZINC001010304462 753748938 /nfs/dbraw/zinc/74/89/38/753748938.db2.gz SZVNZQPFLHHWRK-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001078128929 753764357 /nfs/dbraw/zinc/76/43/57/753764357.db2.gz HOEVBQCDZJQQKP-CHWSQXEVSA-N 1 2 304.415 1.035 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001078128929 753764362 /nfs/dbraw/zinc/76/43/62/753764362.db2.gz HOEVBQCDZJQQKP-CHWSQXEVSA-N 1 2 304.415 1.035 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC001010453083 753932579 /nfs/dbraw/zinc/93/25/79/753932579.db2.gz CDESNQPQRQSNNV-HNNXBMFYSA-N 1 2 322.368 1.663 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC001010453083 753932584 /nfs/dbraw/zinc/93/25/84/753932584.db2.gz CDESNQPQRQSNNV-HNNXBMFYSA-N 1 2 322.368 1.663 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCN1C(=O)CCC ZINC001032798877 754008956 /nfs/dbraw/zinc/00/89/56/754008956.db2.gz KHYYKDFFWSIVQA-HRCADAONSA-N 1 2 317.433 1.086 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCN1C(=O)CCC ZINC001032798877 754008963 /nfs/dbraw/zinc/00/89/63/754008963.db2.gz KHYYKDFFWSIVQA-HRCADAONSA-N 1 2 317.433 1.086 20 30 DDEDLO Cc1nc(N2CCCC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001063175426 754022245 /nfs/dbraw/zinc/02/22/45/754022245.db2.gz YGAVAEGIJUOKKR-CQSZACIVSA-N 1 2 324.388 1.774 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2c(C)nc(C)[nH]c2=O)C1 ZINC001016240461 754115202 /nfs/dbraw/zinc/11/52/02/754115202.db2.gz AHKXPJYDFVAEHJ-LBPRGKRZSA-N 1 2 324.812 1.285 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2c(C)nc(C)[nH]c2=O)C1 ZINC001016240461 754115208 /nfs/dbraw/zinc/11/52/08/754115208.db2.gz AHKXPJYDFVAEHJ-LBPRGKRZSA-N 1 2 324.812 1.285 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)[C@H]3CC34CC4)[C@@H](O)C2)s1 ZINC001083807446 754454097 /nfs/dbraw/zinc/45/40/97/754454097.db2.gz MAHCGYBZHLYMAN-MCIONIFRSA-N 1 2 317.414 1.081 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)[C@H]3CC34CC4)[C@@H](O)C2)s1 ZINC001083807446 754454102 /nfs/dbraw/zinc/45/41/02/754454102.db2.gz MAHCGYBZHLYMAN-MCIONIFRSA-N 1 2 317.414 1.081 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCC[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001064099009 754499870 /nfs/dbraw/zinc/49/98/70/754499870.db2.gz IHVQDRJZLFVSTH-CABCVRRESA-N 1 2 324.388 1.547 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)nnc2C)[C@H](O)C1 ZINC001090291852 754772546 /nfs/dbraw/zinc/77/25/46/754772546.db2.gz KFMFISNFRVROCX-ZIAGYGMSSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)nnc2C)[C@H](O)C1 ZINC001090291852 754772549 /nfs/dbraw/zinc/77/25/49/754772549.db2.gz KFMFISNFRVROCX-ZIAGYGMSSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064704714 754783937 /nfs/dbraw/zinc/78/39/37/754783937.db2.gz MNTBPPCWMBFUGZ-OLZOCXBDSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc3occc3[nH]2)[C@@H](O)C1 ZINC001090304665 754812752 /nfs/dbraw/zinc/81/27/52/754812752.db2.gz FJGFFOSZHPXWLR-MFKMUULPSA-N 1 2 323.780 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc3occc3[nH]2)[C@@H](O)C1 ZINC001090304665 754812755 /nfs/dbraw/zinc/81/27/55/754812755.db2.gz FJGFFOSZHPXWLR-MFKMUULPSA-N 1 2 323.780 1.678 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001090306865 754821688 /nfs/dbraw/zinc/82/16/88/754821688.db2.gz AYFWRPZSNYEIFM-JJRVBVJISA-N 1 2 316.405 1.149 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)[C@@H](O)C1 ZINC001083933696 755108108 /nfs/dbraw/zinc/10/81/08/755108108.db2.gz OSLPPQPIUQVNHY-CFVMTHIKSA-N 1 2 312.369 1.331 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)[C@@H](O)C1 ZINC001083933696 755108112 /nfs/dbraw/zinc/10/81/12/755108112.db2.gz OSLPPQPIUQVNHY-CFVMTHIKSA-N 1 2 312.369 1.331 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccccc2)[C@H](O)C1 ZINC001090365211 755212351 /nfs/dbraw/zinc/21/23/51/755212351.db2.gz OHOUWUILRLQHKK-LSDHHAIUSA-N 1 2 308.809 1.533 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccccc2)[C@H](O)C1 ZINC001090365211 755212355 /nfs/dbraw/zinc/21/23/55/755212355.db2.gz OHOUWUILRLQHKK-LSDHHAIUSA-N 1 2 308.809 1.533 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001013933718 755546007 /nfs/dbraw/zinc/54/60/07/755546007.db2.gz MVEMOIDWEPZEII-CHWSQXEVSA-N 1 2 316.405 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001079945958 755647965 /nfs/dbraw/zinc/64/79/65/755647965.db2.gz AEYZHYIGMHRSFZ-HCKVZZMMSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001079945958 755647968 /nfs/dbraw/zinc/64/79/68/755647968.db2.gz AEYZHYIGMHRSFZ-HCKVZZMMSA-N 1 2 313.829 1.092 20 30 DDEDLO CC#CCCCC(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080298293 755810591 /nfs/dbraw/zinc/81/05/91/755810591.db2.gz IKYBTYLGVNZKPU-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C3CC3)cnn2C)C1 ZINC001014671814 755871846 /nfs/dbraw/zinc/87/18/46/755871846.db2.gz FOXWGJGFCMIBMP-GFCCVEGCSA-N 1 2 308.813 1.854 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C3CC3)cnn2C)C1 ZINC001014671814 755871851 /nfs/dbraw/zinc/87/18/51/755871851.db2.gz FOXWGJGFCMIBMP-GFCCVEGCSA-N 1 2 308.813 1.854 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cn2ccc(C(F)F)n2)C1 ZINC001014675314 755874711 /nfs/dbraw/zinc/87/47/11/755874711.db2.gz XMAUZVNDELQBNJ-SNVBAGLBSA-N 1 2 318.755 1.764 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cn2ccc(C(F)F)n2)C1 ZINC001014675314 755874716 /nfs/dbraw/zinc/87/47/16/755874716.db2.gz XMAUZVNDELQBNJ-SNVBAGLBSA-N 1 2 318.755 1.764 20 30 DDEDLO C=CCCCC(=O)N(CC)C1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001080387966 755874999 /nfs/dbraw/zinc/87/49/99/755874999.db2.gz XUGAYERSDSNGDB-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCCC(=O)N(CC)C1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001080387966 755875004 /nfs/dbraw/zinc/87/50/04/755875004.db2.gz XUGAYERSDSNGDB-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C[C@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)CCn1cc[nH+]c1 ZINC001040212270 762441209 /nfs/dbraw/zinc/44/12/09/762441209.db2.gz RFBIHCFUXZMEKG-ZFWWWQNUSA-N 1 2 324.388 1.641 20 30 DDEDLO N#CCN1CCC[C@]2(CCN(C(=O)CCc3c[nH]c[nH+]3)C2)C1 ZINC001040236489 762451861 /nfs/dbraw/zinc/45/18/61/762451861.db2.gz NLTMWPFTUMZNSP-INIZCTEOSA-N 1 2 301.394 1.180 20 30 DDEDLO N#CCN1CCC[C@]2(CCN(C(=O)CCc3c[nH+]c[nH]3)C2)C1 ZINC001040236489 762451863 /nfs/dbraw/zinc/45/18/63/762451863.db2.gz NLTMWPFTUMZNSP-INIZCTEOSA-N 1 2 301.394 1.180 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015460935 756317730 /nfs/dbraw/zinc/31/77/30/756317730.db2.gz YOQZKQNZOUGTDB-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015460935 756317737 /nfs/dbraw/zinc/31/77/37/756317737.db2.gz YOQZKQNZOUGTDB-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)nc3)C2)cc1 ZINC001015481761 756332716 /nfs/dbraw/zinc/33/27/16/756332716.db2.gz HESLYNLIIOEAKU-SFHVURJKSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)nc3)C2)cc1 ZINC001015481761 756332721 /nfs/dbraw/zinc/33/27/21/756332721.db2.gz HESLYNLIIOEAKU-SFHVURJKSA-N 1 2 320.396 1.771 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccnc(Cl)c2)C[C@H]1O ZINC001099726476 756393026 /nfs/dbraw/zinc/39/30/26/756393026.db2.gz HVEBIGJESRLFNR-ZIAGYGMSSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccnc(Cl)c2)C[C@H]1O ZINC001099726476 756393031 /nfs/dbraw/zinc/39/30/31/756393031.db2.gz HVEBIGJESRLFNR-ZIAGYGMSSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(Cl)nc2)C[C@H]1O ZINC001099727661 756416747 /nfs/dbraw/zinc/41/67/47/756416747.db2.gz RLHKVUWAALVDKF-ZIAGYGMSSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(Cl)nc2)C[C@H]1O ZINC001099727661 756416750 /nfs/dbraw/zinc/41/67/50/756416750.db2.gz RLHKVUWAALVDKF-ZIAGYGMSSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2c(F)cccc2F)C[C@@H]1O ZINC001099727886 756452552 /nfs/dbraw/zinc/45/25/52/756452552.db2.gz SUUGSJLKZZNTTN-HOTGVXAUSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2c(F)cccc2F)C[C@@H]1O ZINC001099727886 756452558 /nfs/dbraw/zinc/45/25/58/756452558.db2.gz SUUGSJLKZZNTTN-HOTGVXAUSA-N 1 2 324.371 1.982 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2nc(C)sc2C)[C@H](OC)C1 ZINC001081841612 756511173 /nfs/dbraw/zinc/51/11/73/756511173.db2.gz CYDCFUCSGZJJIG-CHWSQXEVSA-N 1 2 307.419 1.212 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2nc(C)sc2C)[C@H](OC)C1 ZINC001081841612 756511178 /nfs/dbraw/zinc/51/11/78/756511178.db2.gz CYDCFUCSGZJJIG-CHWSQXEVSA-N 1 2 307.419 1.212 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccnc2C(F)F)[C@H](OC)C1 ZINC001081928347 756548258 /nfs/dbraw/zinc/54/82/58/756548258.db2.gz PEZPMSJQRUVAGZ-VXGBXAGGSA-N 1 2 309.316 1.081 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccnc2C(F)F)[C@H](OC)C1 ZINC001081928347 756548260 /nfs/dbraw/zinc/54/82/60/756548260.db2.gz PEZPMSJQRUVAGZ-VXGBXAGGSA-N 1 2 309.316 1.081 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)nc2C2CC2)[C@H](OC)C1 ZINC001081994029 756579027 /nfs/dbraw/zinc/57/90/27/756579027.db2.gz PFZJMKSWOCTPMS-HZPDHXFCSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)nc2C2CC2)[C@H](OC)C1 ZINC001081994029 756579032 /nfs/dbraw/zinc/57/90/32/756579032.db2.gz PFZJMKSWOCTPMS-HZPDHXFCSA-N 1 2 313.401 1.330 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3conc3C)C2)cn1 ZINC001016029792 756732275 /nfs/dbraw/zinc/73/22/75/756732275.db2.gz GSSZOMIWBINUMO-MRXNPFEDSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3conc3C)C2)cn1 ZINC001016029792 756732281 /nfs/dbraw/zinc/73/22/81/756732281.db2.gz GSSZOMIWBINUMO-MRXNPFEDSA-N 1 2 310.357 1.364 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC001016153865 756790450 /nfs/dbraw/zinc/79/04/50/756790450.db2.gz PVURJHBSXSEFQZ-ZDUSSCGKSA-N 1 2 310.353 1.620 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC001016153865 756790456 /nfs/dbraw/zinc/79/04/56/756790456.db2.gz PVURJHBSXSEFQZ-ZDUSSCGKSA-N 1 2 310.353 1.620 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098890376 756956384 /nfs/dbraw/zinc/95/63/84/756956384.db2.gz XAKXIEABIOKEKG-NSHDSACASA-N 1 2 306.414 1.519 20 30 DDEDLO Cc1nc[nH]c1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001016424029 757028505 /nfs/dbraw/zinc/02/85/05/757028505.db2.gz HWQSRPWAWOXXRE-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1nc[nH]c1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001016424029 757028511 /nfs/dbraw/zinc/02/85/11/757028511.db2.gz HWQSRPWAWOXXRE-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](CNC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001097307510 757069899 /nfs/dbraw/zinc/06/98/99/757069899.db2.gz IQQDXZADZJTTMZ-CQSZACIVSA-N 1 2 324.388 1.183 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097325485 757090691 /nfs/dbraw/zinc/09/06/91/757090691.db2.gz KPRLTVKLGAVEBX-HNNXBMFYSA-N 1 2 316.405 1.158 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Br)o2)[C@@H](O)C1 ZINC001083990143 757212452 /nfs/dbraw/zinc/21/24/52/757212452.db2.gz OPZVPVUOSKHYIS-BDAKNGLRSA-N 1 2 315.167 1.003 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ccc(Br)o2)[C@@H](O)C1 ZINC001083990143 757212456 /nfs/dbraw/zinc/21/24/56/757212456.db2.gz OPZVPVUOSKHYIS-BDAKNGLRSA-N 1 2 315.167 1.003 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3c[nH]nc3CC)[C@@H]2C1 ZINC001084476219 757615565 /nfs/dbraw/zinc/61/55/65/757615565.db2.gz OLNDRKCZUSDENP-BXUZGUMPSA-N 1 2 308.813 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3c[nH]nc3CC)[C@@H]2C1 ZINC001084476219 757615571 /nfs/dbraw/zinc/61/55/71/757615571.db2.gz OLNDRKCZUSDENP-BXUZGUMPSA-N 1 2 308.813 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3c(C)cnn3C)[C@@H]2C1 ZINC001084588224 757688560 /nfs/dbraw/zinc/68/85/60/757688560.db2.gz CVHIZROUPBNJIW-CHWSQXEVSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3c(C)cnn3C)[C@@H]2C1 ZINC001084588224 757688569 /nfs/dbraw/zinc/68/85/69/757688569.db2.gz CVHIZROUPBNJIW-CHWSQXEVSA-N 1 2 308.813 1.627 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1nc(Cl)nc2[nH]cc(C#N)c21 ZINC001169651138 762572612 /nfs/dbraw/zinc/57/26/12/762572612.db2.gz LZCMZISGRQPVLU-VIFPVBQESA-N 1 2 306.757 1.225 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1nc(Cl)nc2[nH]cc(C#N)c21 ZINC001169651138 762572617 /nfs/dbraw/zinc/57/26/17/762572617.db2.gz LZCMZISGRQPVLU-VIFPVBQESA-N 1 2 306.757 1.225 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[N@H+](Cc3nccs3)[C@H]2C1 ZINC001084906429 758044472 /nfs/dbraw/zinc/04/44/72/758044472.db2.gz QVIBAGGGKXOHKE-XQQFMLRXSA-N 1 2 304.419 1.726 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[N@@H+](Cc3nccs3)[C@H]2C1 ZINC001084906429 758044485 /nfs/dbraw/zinc/04/44/85/758044485.db2.gz QVIBAGGGKXOHKE-XQQFMLRXSA-N 1 2 304.419 1.726 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2c(=O)[nH]1 ZINC001017618214 758086920 /nfs/dbraw/zinc/08/69/20/758086920.db2.gz PRFIQYRUXFIKSW-GASCZTMLSA-N 1 2 321.380 1.862 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2c(=O)[nH]1 ZINC001017618214 758086926 /nfs/dbraw/zinc/08/69/26/758086926.db2.gz PRFIQYRUXFIKSW-GASCZTMLSA-N 1 2 321.380 1.862 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001067206953 758234293 /nfs/dbraw/zinc/23/42/93/758234293.db2.gz GLQDMXNSKVRVDG-XHDPSFHLSA-N 1 2 310.361 1.178 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(c2cccnc2)CC1 ZINC001017835911 758284567 /nfs/dbraw/zinc/28/45/67/758284567.db2.gz UPRVEXBDSNNZKT-CALCHBBNSA-N 1 2 309.413 1.812 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(c2cccnc2)CC1 ZINC001017835911 758284572 /nfs/dbraw/zinc/28/45/72/758284572.db2.gz UPRVEXBDSNNZKT-CALCHBBNSA-N 1 2 309.413 1.812 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc[nH]c1CC)CCO2 ZINC001053244014 758300395 /nfs/dbraw/zinc/30/03/95/758300395.db2.gz YGNNFMKFJJEHLO-UHFFFAOYSA-N 1 2 303.406 1.680 20 30 DDEDLO Cc1nc(N2CC[C@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001065238179 758402761 /nfs/dbraw/zinc/40/27/61/758402761.db2.gz GENHOMNVRFIBFI-INIZCTEOSA-N 1 2 310.361 1.384 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC12CC(OCC)C2 ZINC001017938743 758408917 /nfs/dbraw/zinc/40/89/17/758408917.db2.gz IHJYTKUHZXKWDU-MYCCOQIQSA-N 1 2 302.418 1.500 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC12CC(OCC)C2 ZINC001017938743 758408919 /nfs/dbraw/zinc/40/89/19/758408919.db2.gz IHJYTKUHZXKWDU-MYCCOQIQSA-N 1 2 302.418 1.500 20 30 DDEDLO C=C(C)CN1CC2(C1)CN(C(=O)C[C@@H](C)n1cc[nH+]c1)CCO2 ZINC001053451705 758471679 /nfs/dbraw/zinc/47/16/79/758471679.db2.gz JTCXSNUFHHGSCC-OAHLLOKOSA-N 1 2 318.421 1.324 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ncccc1F)CCO2 ZINC001053483400 758491203 /nfs/dbraw/zinc/49/12/03/758491203.db2.gz OYSXOMOPUSFGRS-UHFFFAOYSA-N 1 2 305.353 1.324 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)nc1OCC ZINC001018123770 758564404 /nfs/dbraw/zinc/56/44/04/758564404.db2.gz OHKCLDHCYCZJAY-GASCZTMLSA-N 1 2 313.401 1.711 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)nc1OCC ZINC001018123770 758564414 /nfs/dbraw/zinc/56/44/14/758564414.db2.gz OHKCLDHCYCZJAY-GASCZTMLSA-N 1 2 313.401 1.711 20 30 DDEDLO C[C@]1(CNc2ccc(C#N)nc2)CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065494062 758578528 /nfs/dbraw/zinc/57/85/28/758578528.db2.gz IDLOWLVBXZOGMJ-QGZVFWFLSA-N 1 2 324.388 1.570 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c2cccnc12 ZINC001018177503 758605032 /nfs/dbraw/zinc/60/50/32/758605032.db2.gz ZPNVIQWCZLKJMH-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c2cccnc12 ZINC001018177503 758605038 /nfs/dbraw/zinc/60/50/38/758605038.db2.gz ZPNVIQWCZLKJMH-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)sn1)O2 ZINC001053594421 758613437 /nfs/dbraw/zinc/61/34/37/758613437.db2.gz LHICLRJAEJDZSC-CYBMUJFWSA-N 1 2 321.446 1.991 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1CC(OCC)C1)O2 ZINC001053596717 758619667 /nfs/dbraw/zinc/61/96/67/758619667.db2.gz ZQNQQLHZXCOBOO-PCKAHOCUSA-N 1 2 322.449 1.727 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)nc(C)c1)O2 ZINC001053605420 758624367 /nfs/dbraw/zinc/62/43/67/758624367.db2.gz GDUHWPIOPUAKSE-MRXNPFEDSA-N 1 2 315.417 1.848 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnn(C)c1CC)O2 ZINC001053622508 758641270 /nfs/dbraw/zinc/64/12/70/758641270.db2.gz YINZKFSJEXGVMK-ZDUSSCGKSA-N 1 2 318.421 1.132 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CC(C)(C)C=C)CC2=O)C1 ZINC001108548064 762649316 /nfs/dbraw/zinc/64/93/16/762649316.db2.gz DKFWRAUNNDTLAN-CYBMUJFWSA-N 1 2 305.422 1.176 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](Cc1ccccc1)OC ZINC001018295077 758707056 /nfs/dbraw/zinc/70/70/56/758707056.db2.gz YUOOFJFALHQQPK-KSZLIROESA-N 1 2 312.413 1.553 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](Cc1ccccc1)OC ZINC001018295077 758707059 /nfs/dbraw/zinc/70/70/59/758707059.db2.gz YUOOFJFALHQQPK-KSZLIROESA-N 1 2 312.413 1.553 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(CC)n(C)n1)O2 ZINC001053705042 758713357 /nfs/dbraw/zinc/71/33/57/758713357.db2.gz VAXSTUXIEAVJMA-AWEZNQCLSA-N 1 2 318.421 1.132 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cn1)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065722203 758725117 /nfs/dbraw/zinc/72/51/17/758725117.db2.gz IPOJFJMDJGWEHP-UKRRQHHQSA-N 1 2 324.388 1.499 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(F)cc1)CO2 ZINC001053720740 758737572 /nfs/dbraw/zinc/73/75/72/758737572.db2.gz DLAGPLFEGNEHNP-OAHLLOKOSA-N 1 2 302.349 1.422 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccccc1F)CO2 ZINC001053725860 758742477 /nfs/dbraw/zinc/74/24/77/758742477.db2.gz OIGGQFSZSNKGMG-CYBMUJFWSA-N 1 2 302.349 1.422 20 30 DDEDLO C[C@H](Nc1ccc(C#N)nc1)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065728886 758743788 /nfs/dbraw/zinc/74/37/88/758743788.db2.gz FVLJTFQMRGXUGU-QWHCGFSZSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C#N)c[nH]1)CO2 ZINC001053766927 758787235 /nfs/dbraw/zinc/78/72/35/758787235.db2.gz YPINTTRKCVRWIW-CYBMUJFWSA-N 1 2 300.362 1.036 20 30 DDEDLO Cc1nc(NC[C@H]2CN(C(=O)c3cc(C#N)c[nH]3)C[C@H]2C)cc[nH+]1 ZINC001065838707 758849025 /nfs/dbraw/zinc/84/90/25/758849025.db2.gz JCPXJYINDWAEDQ-RISCZKNCSA-N 1 2 324.388 1.805 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1CNc1ncccc1C#N ZINC001065861009 758865756 /nfs/dbraw/zinc/86/57/56/758865756.db2.gz LFGVJTLXQLOMLT-DZGCQCFKSA-N 1 2 324.388 1.356 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ocnc1C1CC1)CO2 ZINC001053924847 758960707 /nfs/dbraw/zinc/96/07/07/758960707.db2.gz CZPHZJNNOVLVOW-CYBMUJFWSA-N 1 2 315.373 1.148 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CC(=O)N(C2C[NH+](CC=C)C2)C1 ZINC001108565062 762678880 /nfs/dbraw/zinc/67/88/80/762678880.db2.gz USDVZNRGHUPBNV-HNNXBMFYSA-N 1 2 317.433 1.157 20 30 DDEDLO Cc1ncc(C(=O)N2CC[C@H]([NH2+]Cc3ccccc3C#N)C2)[nH]1 ZINC001018624615 759042774 /nfs/dbraw/zinc/04/27/74/759042774.db2.gz MGLZOYFOIGIHMW-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ncc[nH]1 ZINC001054040013 759098556 /nfs/dbraw/zinc/09/85/56/759098556.db2.gz PTTABEWEKKBMEM-MRXNPFEDSA-N 1 2 308.385 1.590 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ncc[nH]1 ZINC001054040013 759098565 /nfs/dbraw/zinc/09/85/65/759098565.db2.gz PTTABEWEKKBMEM-MRXNPFEDSA-N 1 2 308.385 1.590 20 30 DDEDLO C=C(C)C[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cn[nH]n1 ZINC001054045058 759101610 /nfs/dbraw/zinc/10/16/10/759101610.db2.gz KFQGJLXZBIPEAX-OAHLLOKOSA-N 1 2 311.389 1.538 20 30 DDEDLO C=C(C)C[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cn[nH]n1 ZINC001054045058 759101616 /nfs/dbraw/zinc/10/16/16/759101616.db2.gz KFQGJLXZBIPEAX-OAHLLOKOSA-N 1 2 311.389 1.538 20 30 DDEDLO C=C(C)C[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001054045058 759101620 /nfs/dbraw/zinc/10/16/20/759101620.db2.gz KFQGJLXZBIPEAX-OAHLLOKOSA-N 1 2 311.389 1.538 20 30 DDEDLO C=C(C)C[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001054045058 759101626 /nfs/dbraw/zinc/10/16/26/759101626.db2.gz KFQGJLXZBIPEAX-OAHLLOKOSA-N 1 2 311.389 1.538 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccncn1 ZINC001054056984 759110470 /nfs/dbraw/zinc/11/04/70/759110470.db2.gz YOTWPZDVKQJLFH-QGZVFWFLSA-N 1 2 320.396 1.657 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccncn1 ZINC001054056984 759110475 /nfs/dbraw/zinc/11/04/75/759110475.db2.gz YOTWPZDVKQJLFH-QGZVFWFLSA-N 1 2 320.396 1.657 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@]3(C2)CCCN(C(=O)[C@H](C)C#N)C3)[nH]1 ZINC001054102169 759167843 /nfs/dbraw/zinc/16/78/43/759167843.db2.gz KZBQORHFIIZTNQ-WBMJQRKESA-N 1 2 316.409 1.087 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@]3(C2)CCCN(C(=O)[C@H](C)C#N)C3)[nH]1 ZINC001054102169 759167847 /nfs/dbraw/zinc/16/78/47/759167847.db2.gz KZBQORHFIIZTNQ-WBMJQRKESA-N 1 2 316.409 1.087 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC[C@]2(CCN(CC#N)C2)C1 ZINC001054212532 759296760 /nfs/dbraw/zinc/29/67/60/759296760.db2.gz JBPDRYIBEXUAGY-WMLDXEAASA-N 1 2 315.421 1.426 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC[C@]2(CCN(CC#N)C2)C1 ZINC001054212532 759296764 /nfs/dbraw/zinc/29/67/64/759296764.db2.gz JBPDRYIBEXUAGY-WMLDXEAASA-N 1 2 315.421 1.426 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc4n(n3)CCO4)cc2C1 ZINC001054267833 759372799 /nfs/dbraw/zinc/37/27/99/759372799.db2.gz IAUFHWWMPBIKPQ-UHFFFAOYSA-N 1 2 322.368 1.154 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc4n(n3)CCO4)cc2C1 ZINC001054267833 759372810 /nfs/dbraw/zinc/37/28/10/759372810.db2.gz IAUFHWWMPBIKPQ-UHFFFAOYSA-N 1 2 322.368 1.154 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)c2ccco2)C1=O ZINC001085457655 759426747 /nfs/dbraw/zinc/42/67/47/759426747.db2.gz PJNHNARFURHIPM-KBPBESRZSA-N 1 2 317.389 1.213 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)c2ccco2)C1=O ZINC001085457655 759426751 /nfs/dbraw/zinc/42/67/51/759426751.db2.gz PJNHNARFURHIPM-KBPBESRZSA-N 1 2 317.389 1.213 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001018972696 759466964 /nfs/dbraw/zinc/46/69/64/759466964.db2.gz KCINKJBHKBNYNE-ZDUSSCGKSA-N 1 2 315.377 1.370 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+]Cc3nnc(CC)o3)C2)cc1 ZINC001019224258 759697096 /nfs/dbraw/zinc/69/70/96/759697096.db2.gz JGSMQYIYDCTOSX-HNNXBMFYSA-N 1 2 324.384 1.618 20 30 DDEDLO C[C@@H]1CN(C(=O)C#CC2CC2)C[C@@H]1[NH2+]Cc1nc(C(F)F)no1 ZINC001054637088 759928599 /nfs/dbraw/zinc/92/85/99/759928599.db2.gz LUFMNNRTLNWEDJ-KOLCDFICSA-N 1 2 324.331 1.357 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CN(C(=O)C#CC3CC3)C[C@H]2C)n1 ZINC001054639715 759932964 /nfs/dbraw/zinc/93/29/64/759932964.db2.gz VFBMVUNOYXSMBX-TZMCWYRMSA-N 1 2 316.405 1.372 20 30 DDEDLO Cc1cc(N[C@H]2C[C@@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)c(C#N)cn1 ZINC001069215488 767920528 /nfs/dbraw/zinc/92/05/28/767920528.db2.gz UUCJWMHTBDMBMA-DOMZBBRYSA-N 1 2 324.388 1.051 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc2cc(OC)ccc2[nH]1 ZINC001085792945 760251629 /nfs/dbraw/zinc/25/16/29/760251629.db2.gz YKQBHZVATPIIPC-CQSZACIVSA-N 1 2 311.385 1.956 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc2cc(OC)ccc2[nH]1 ZINC001085792945 760251634 /nfs/dbraw/zinc/25/16/34/760251634.db2.gz YKQBHZVATPIIPC-CQSZACIVSA-N 1 2 311.385 1.956 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nnn(CC)c1CC ZINC001085814274 760291309 /nfs/dbraw/zinc/29/13/09/760291309.db2.gz UDEYKSKGFOVBKV-CYBMUJFWSA-N 1 2 303.410 1.030 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nnn(CC)c1CC ZINC001085814274 760291315 /nfs/dbraw/zinc/29/13/15/760291315.db2.gz UDEYKSKGFOVBKV-CYBMUJFWSA-N 1 2 303.410 1.030 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001085870767 760420510 /nfs/dbraw/zinc/42/05/10/760420510.db2.gz DBYIXNFQRKMMKF-QGZVFWFLSA-N 1 2 308.385 1.856 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001085870767 760420514 /nfs/dbraw/zinc/42/05/14/760420514.db2.gz DBYIXNFQRKMMKF-QGZVFWFLSA-N 1 2 308.385 1.856 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(C)nc1C(C)(C)C ZINC001085877675 760437789 /nfs/dbraw/zinc/43/77/89/760437789.db2.gz IVAPIBQZRMIFGS-ZDUSSCGKSA-N 1 2 302.422 1.497 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(C)nc1C(C)(C)C ZINC001085877675 760437794 /nfs/dbraw/zinc/43/77/94/760437794.db2.gz IVAPIBQZRMIFGS-ZDUSSCGKSA-N 1 2 302.422 1.497 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc(C(C)(C)C)nc1 ZINC001086002680 760696418 /nfs/dbraw/zinc/69/64/18/760696418.db2.gz OHNQIGIQMLUYEL-HNNXBMFYSA-N 1 2 314.433 1.944 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc(C(C)(C)C)nc1 ZINC001086002680 760696422 /nfs/dbraw/zinc/69/64/22/760696422.db2.gz OHNQIGIQMLUYEL-HNNXBMFYSA-N 1 2 314.433 1.944 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)ncn1 ZINC001038160657 760869350 /nfs/dbraw/zinc/86/93/50/760869350.db2.gz GYWWQJXYHZCFIA-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)ncn1 ZINC001038160657 760869359 /nfs/dbraw/zinc/86/93/59/760869359.db2.gz GYWWQJXYHZCFIA-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001046858889 767990630 /nfs/dbraw/zinc/99/06/30/767990630.db2.gz ZZIJQXQXLQMSBI-WFASDCNBSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001046858889 767990636 /nfs/dbraw/zinc/99/06/36/767990636.db2.gz ZZIJQXQXLQMSBI-WFASDCNBSA-N 1 2 313.829 1.188 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C2CCC2)cnn1C ZINC001038271555 760963746 /nfs/dbraw/zinc/96/37/46/760963746.db2.gz LKCLABKSIKSDNH-CQSZACIVSA-N 1 2 300.406 1.515 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C2CCC2)cnn1C ZINC001038271555 760963749 /nfs/dbraw/zinc/96/37/49/760963749.db2.gz LKCLABKSIKSDNH-CQSZACIVSA-N 1 2 300.406 1.515 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)nc2sccn21 ZINC001038403564 761088109 /nfs/dbraw/zinc/08/81/09/761088109.db2.gz IXVSCJRXFLUVNO-GFCCVEGCSA-N 1 2 302.403 1.532 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)nc2sccn21 ZINC001038403564 761088117 /nfs/dbraw/zinc/08/81/17/761088117.db2.gz IXVSCJRXFLUVNO-GFCCVEGCSA-N 1 2 302.403 1.532 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@H](C)Nc1ccc(C#N)nc1 ZINC001098319547 761265228 /nfs/dbraw/zinc/26/52/28/761265228.db2.gz HGQZAIYGGSCHPX-NEPJUHHUSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@H](C)Nc1ccc(C#N)nc1 ZINC001098319547 761265231 /nfs/dbraw/zinc/26/52/31/761265231.db2.gz HGQZAIYGGSCHPX-NEPJUHHUSA-N 1 2 312.377 1.472 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@H+]2Cc2c(C)noc2C)c1 ZINC001038683429 761284321 /nfs/dbraw/zinc/28/43/21/761284321.db2.gz DZUBSWAUCZUGSY-INIZCTEOSA-N 1 2 324.384 1.672 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2c(C)noc2C)c1 ZINC001038683429 761284327 /nfs/dbraw/zinc/28/43/27/761284327.db2.gz DZUBSWAUCZUGSY-INIZCTEOSA-N 1 2 324.384 1.672 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnc(C3CC3)nc2)C1 ZINC001108264338 761429094 /nfs/dbraw/zinc/42/90/94/761429094.db2.gz SZNFDJATMOOOHX-KRWDZBQOSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnc(C3CC3)nc2)C1 ZINC001108264338 761429104 /nfs/dbraw/zinc/42/91/04/761429104.db2.gz SZNFDJATMOOOHX-KRWDZBQOSA-N 1 2 316.405 1.361 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001069510882 768040075 /nfs/dbraw/zinc/04/00/75/768040075.db2.gz QTABKAIFOAKNDN-TZMCWYRMSA-N 1 2 324.388 1.038 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CC=C(CNC(C)=O)CC2)c1 ZINC001000346890 761655218 /nfs/dbraw/zinc/65/52/18/761655218.db2.gz FDAUUPRHKMWJHN-UHFFFAOYSA-N 1 2 311.385 1.375 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CC=C(CNC(C)=O)CC2)c1 ZINC001000346890 761655220 /nfs/dbraw/zinc/65/52/20/761655220.db2.gz FDAUUPRHKMWJHN-UHFFFAOYSA-N 1 2 311.385 1.375 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(OC)c(OC)cc1C ZINC001039041885 761681619 /nfs/dbraw/zinc/68/16/19/761681619.db2.gz FEWCKGXNMIKMSQ-CQSZACIVSA-N 1 2 316.401 1.840 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(OC)c(OC)cc1C ZINC001039041885 761681624 /nfs/dbraw/zinc/68/16/24/761681624.db2.gz FEWCKGXNMIKMSQ-CQSZACIVSA-N 1 2 316.401 1.840 20 30 DDEDLO C#CCCCC(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067285871 761731370 /nfs/dbraw/zinc/73/13/70/761731370.db2.gz CPHAZWKMICAPFJ-UHFFFAOYSA-N 1 2 304.394 1.063 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(CC)(CC)CC)CC2=O)C1 ZINC001108582952 762749276 /nfs/dbraw/zinc/74/92/76/762749276.db2.gz HHXZPPWXWXKLIM-AWEZNQCLSA-N 1 2 321.465 1.790 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001041147626 762839418 /nfs/dbraw/zinc/83/94/18/762839418.db2.gz UECZZMHELZZELG-BLLLJJGKSA-N 1 2 317.393 1.216 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001041147626 762839423 /nfs/dbraw/zinc/83/94/23/762839423.db2.gz UECZZMHELZZELG-BLLLJJGKSA-N 1 2 317.393 1.216 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001108741027 762898667 /nfs/dbraw/zinc/89/86/67/762898667.db2.gz IARXCRSJWZQOQH-RYUDHWBXSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001108741027 762898674 /nfs/dbraw/zinc/89/86/74/762898674.db2.gz IARXCRSJWZQOQH-RYUDHWBXSA-N 1 2 312.377 1.472 20 30 DDEDLO CCc1nnc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)o1 ZINC001050000308 763004144 /nfs/dbraw/zinc/00/41/44/763004144.db2.gz UUSCPFUYDOAUAM-FRRDWIJNSA-N 1 2 317.393 1.214 20 30 DDEDLO CCc1nnc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)o1 ZINC001050000308 763004148 /nfs/dbraw/zinc/00/41/48/763004148.db2.gz UUSCPFUYDOAUAM-FRRDWIJNSA-N 1 2 317.393 1.214 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)(C)C ZINC001109087767 763305385 /nfs/dbraw/zinc/30/53/85/763305385.db2.gz AZLDLOFJJBQWAF-CRWXNKLISA-N 1 2 320.437 1.030 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)(C)C ZINC001109087767 763305395 /nfs/dbraw/zinc/30/53/95/763305395.db2.gz AZLDLOFJJBQWAF-CRWXNKLISA-N 1 2 320.437 1.030 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnc(C)nc1 ZINC001109071369 763306476 /nfs/dbraw/zinc/30/64/76/763306476.db2.gz YGJGHTCSOBYOIU-PMPSAXMXSA-N 1 2 316.405 1.209 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnc(C)nc1 ZINC001109071369 763306487 /nfs/dbraw/zinc/30/64/87/763306487.db2.gz YGJGHTCSOBYOIU-PMPSAXMXSA-N 1 2 316.405 1.209 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccsc2)CC[C@@H]1C ZINC001131798162 768375685 /nfs/dbraw/zinc/37/56/85/768375685.db2.gz ROZRDCJPCMKNLL-GXTWGEPZSA-N 1 2 321.446 1.633 20 30 DDEDLO CCn1nncc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)C)C2 ZINC001109246106 763508448 /nfs/dbraw/zinc/50/84/48/763508448.db2.gz OXKBRBMQEWWTOS-KBMXLJTQSA-N 1 2 315.421 1.179 20 30 DDEDLO CCn1nncc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)C)C2 ZINC001109246106 763508456 /nfs/dbraw/zinc/50/84/56/763508456.db2.gz OXKBRBMQEWWTOS-KBMXLJTQSA-N 1 2 315.421 1.179 20 30 DDEDLO N#CCN1CC[C@@H]2CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@@H]21 ZINC001042118667 763672220 /nfs/dbraw/zinc/67/22/20/763672220.db2.gz YEUKAHMLPKQIHB-WBMJQRKESA-N 1 2 309.373 1.623 20 30 DDEDLO N#CCN1CC[C@H]2CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@H]21 ZINC001042118664 763672595 /nfs/dbraw/zinc/67/25/95/763672595.db2.gz YEUKAHMLPKQIHB-BLLLJJGKSA-N 1 2 309.373 1.623 20 30 DDEDLO N#CCN1CCC2(CN(C(=O)c3cccc4[nH+]ccn43)C2)CC1 ZINC001050590429 763793779 /nfs/dbraw/zinc/79/37/79/763793779.db2.gz QXFONLHYENSIDZ-UHFFFAOYSA-N 1 2 309.373 1.396 20 30 DDEDLO CN(CCCNc1ccc(C#N)cn1)C(=O)CCn1cc[nH+]c1 ZINC001109581818 763837227 /nfs/dbraw/zinc/83/72/27/763837227.db2.gz RGRPSNRMKRJLLN-UHFFFAOYSA-N 1 2 312.377 1.500 20 30 DDEDLO Cc1nc(NC[C@H](NC(=O)c2ccc(C#N)[nH]2)C2CC2)cc[nH+]1 ZINC001109830686 764115242 /nfs/dbraw/zinc/11/52/42/764115242.db2.gz IHTBKGIJTLFUOV-AWEZNQCLSA-N 1 2 310.361 1.605 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nccs1)C2 ZINC001109874676 764158531 /nfs/dbraw/zinc/15/85/31/764158531.db2.gz OHDNLCYOLKBUCK-AGIUHOORSA-N 1 2 305.403 1.128 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nccs1)C2 ZINC001109874676 764158535 /nfs/dbraw/zinc/15/85/35/764158535.db2.gz OHDNLCYOLKBUCK-AGIUHOORSA-N 1 2 305.403 1.128 20 30 DDEDLO C=C(C)CN1CCOC[C@H]1CNC(=O)c1cccc2[nH+]ccn21 ZINC001051026166 764430745 /nfs/dbraw/zinc/43/07/45/764430745.db2.gz NLBKCOCPGCUNDT-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnc(C)n1C ZINC001051087599 764493371 /nfs/dbraw/zinc/49/33/71/764493371.db2.gz TXUOSDKVQLVYRD-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnc(C)n1C ZINC001051087599 764493377 /nfs/dbraw/zinc/49/33/77/764493377.db2.gz TXUOSDKVQLVYRD-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CCC)cc1 ZINC001051147302 764553396 /nfs/dbraw/zinc/55/33/96/764553396.db2.gz CWCIRFAVXDYASE-MRXNPFEDSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CCC)cc1 ZINC001051147302 764553400 /nfs/dbraw/zinc/55/34/00/764553400.db2.gz CWCIRFAVXDYASE-MRXNPFEDSA-N 1 2 316.401 1.539 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](CCc2ccnn2C)CC1 ZINC001112671364 764566777 /nfs/dbraw/zinc/56/67/77/764566777.db2.gz HDPFNMLSPWETCB-OAHLLOKOSA-N 1 2 320.437 1.088 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1C(C)(C)C ZINC001051201536 764611449 /nfs/dbraw/zinc/61/14/49/764611449.db2.gz OABHHVGRXMOAOT-ZDUSSCGKSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1C(C)(C)C ZINC001051201536 764611458 /nfs/dbraw/zinc/61/14/58/764611458.db2.gz OABHHVGRXMOAOT-ZDUSSCGKSA-N 1 2 320.437 1.714 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CCC(=C)C)nc1 ZINC001051214956 764628835 /nfs/dbraw/zinc/62/88/35/764628835.db2.gz QBFJEQLWPMWADH-INIZCTEOSA-N 1 2 313.401 1.460 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CCC(=C)C)nc1 ZINC001051214956 764628841 /nfs/dbraw/zinc/62/88/41/764628841.db2.gz QBFJEQLWPMWADH-INIZCTEOSA-N 1 2 313.401 1.460 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1COc2ccccc21 ZINC001051271601 764693879 /nfs/dbraw/zinc/69/38/79/764693879.db2.gz MUUZCVIGVADNMG-ZBFHGGJFSA-N 1 2 316.401 1.556 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1COc2ccccc21 ZINC001051271601 764693886 /nfs/dbraw/zinc/69/38/86/764693886.db2.gz MUUZCVIGVADNMG-ZBFHGGJFSA-N 1 2 316.401 1.556 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc3ccccc3c2O)C1 ZINC001043206014 764765854 /nfs/dbraw/zinc/76/58/54/764765854.db2.gz ZWJUQLABTYSEEJ-UHFFFAOYSA-N 1 2 309.369 1.308 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(CC#N)C3)c[nH+]1 ZINC001047095247 768242328 /nfs/dbraw/zinc/24/23/28/768242328.db2.gz BFDJLXMXMZUOLX-KKUMJFAQSA-N 1 2 315.421 1.419 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(C)cccc2C)[C@@H](n2ccnn2)C1 ZINC001070031219 768283879 /nfs/dbraw/zinc/28/38/79/768283879.db2.gz LJNQHHYNKRUBDE-CVEARBPZSA-N 1 2 323.400 1.183 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)cccc2C)[C@@H](n2ccnn2)C1 ZINC001070031219 768283883 /nfs/dbraw/zinc/28/38/83/768283883.db2.gz LJNQHHYNKRUBDE-CVEARBPZSA-N 1 2 323.400 1.183 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3cc(Cl)c[nH]3)C2)CC1 ZINC001051982226 765346645 /nfs/dbraw/zinc/34/66/45/765346645.db2.gz ANQRAZAHCQTBJO-AWEZNQCLSA-N 1 2 320.824 1.133 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)C1C[NH+](CCOC)C1 ZINC001044163398 765356545 /nfs/dbraw/zinc/35/65/45/765356545.db2.gz PVOCCXKCRHSXNJ-UHFFFAOYSA-N 1 2 305.378 1.049 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)CC1 ZINC001052005370 765371382 /nfs/dbraw/zinc/37/13/82/765371382.db2.gz ABSZOIFKXQZDLW-WNRNVDISSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(NC(C)=O)c2C)C1 ZINC001044184976 765373665 /nfs/dbraw/zinc/37/36/65/765373665.db2.gz WGEOEYKXTIZDBL-UHFFFAOYSA-N 1 2 313.401 1.733 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)(C)C(C)C)C2)CC1 ZINC001052028052 765404119 /nfs/dbraw/zinc/40/41/19/765404119.db2.gz NJFYXVBVCRNHFI-INIZCTEOSA-N 1 2 305.466 1.520 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C34CCC(CC3)C4)C2)CC1 ZINC001052045905 765418269 /nfs/dbraw/zinc/41/82/69/765418269.db2.gz XTGYBKRPNPYGKZ-TVRKMHQQSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(C)CCCC3)C2)CC1 ZINC001052059462 765426919 /nfs/dbraw/zinc/42/69/19/765426919.db2.gz IFCOGQDQWFZCNK-MRXNPFEDSA-N 1 2 303.450 1.418 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H](C)C(C)(F)F)C2)CC1 ZINC001052081966 765455089 /nfs/dbraw/zinc/45/50/89/765455089.db2.gz HDGNBFGKVVGWKN-KGLIPLIRSA-N 1 2 313.392 1.129 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3CC34CCC4)C2)CC1 ZINC001052091550 765463264 /nfs/dbraw/zinc/46/32/64/765463264.db2.gz GLLVDCSEIHPPED-SJORKVTESA-N 1 2 315.461 1.418 20 30 DDEDLO C=CCCC1(C(=O)N2CC[NH+](CCOCCOC)CC2)CC1 ZINC001113310906 765550998 /nfs/dbraw/zinc/55/09/98/765550998.db2.gz SUIBFDYGOJZFGB-UHFFFAOYSA-N 1 2 310.438 1.540 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(C)[nH]nc2C(C)C)CC1 ZINC001113507434 765782658 /nfs/dbraw/zinc/78/26/58/765782658.db2.gz KLNTVBZXDFAQCZ-UHFFFAOYSA-N 1 2 320.437 1.802 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(C)n[nH]c2C(C)C)CC1 ZINC001113507434 765782661 /nfs/dbraw/zinc/78/26/61/765782661.db2.gz KLNTVBZXDFAQCZ-UHFFFAOYSA-N 1 2 320.437 1.802 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001057772178 765904330 /nfs/dbraw/zinc/90/43/30/765904330.db2.gz ZFNVSEHUGNAILQ-HNNXBMFYSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001057772178 765904333 /nfs/dbraw/zinc/90/43/33/765904333.db2.gz ZFNVSEHUGNAILQ-HNNXBMFYSA-N 1 2 324.388 1.712 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H]1C[NH2+]Cc1nc(C)no1 ZINC001045208081 766157955 /nfs/dbraw/zinc/15/79/55/766157955.db2.gz VAGDNOOODOSORZ-MJBXVCDLSA-N 1 2 320.393 1.050 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3noc4c3COCC4)C[C@H]21 ZINC001114026126 766557314 /nfs/dbraw/zinc/55/73/14/766557314.db2.gz WNLJFHXFDXDHEL-PBKGOJFUSA-N 1 2 317.389 1.260 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3noc4c3COCC4)C[C@H]21 ZINC001114026126 766557317 /nfs/dbraw/zinc/55/73/17/766557317.db2.gz WNLJFHXFDXDHEL-PBKGOJFUSA-N 1 2 317.389 1.260 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccncc1C#N ZINC001067577854 766620776 /nfs/dbraw/zinc/62/07/76/766620776.db2.gz OOUVKOVTGUBUST-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114201128 766760215 /nfs/dbraw/zinc/76/02/15/766760215.db2.gz JUCFJRNJWFOGHT-MUYACECFSA-N 1 2 302.378 1.289 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114201128 766760220 /nfs/dbraw/zinc/76/02/20/766760220.db2.gz JUCFJRNJWFOGHT-MUYACECFSA-N 1 2 302.378 1.289 20 30 DDEDLO CCC1(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)CCCC1 ZINC001046074376 766889490 /nfs/dbraw/zinc/88/94/90/766889490.db2.gz ZTZUIMCJOXVETM-INIZCTEOSA-N 1 2 318.465 1.699 20 30 DDEDLO C=CCn1c(N2CC3CC2(C)C3)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121607660 782590027 /nfs/dbraw/zinc/59/00/27/782590027.db2.gz PCJCUYMTXSVZBX-NHOQAQIYSA-N 1 2 317.437 1.364 20 30 DDEDLO C=CCn1c(N2CC3CC2(C)C3)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121607660 782590036 /nfs/dbraw/zinc/59/00/36/782590036.db2.gz PCJCUYMTXSVZBX-NHOQAQIYSA-N 1 2 317.437 1.364 20 30 DDEDLO C[C@H]1C[C@H](CNc2ncccc2C#N)CN1C(=O)Cn1cc[nH+]c1 ZINC001068336266 767119811 /nfs/dbraw/zinc/11/98/11/767119811.db2.gz WPPAFWGMVILTBK-UONOGXRCSA-N 1 2 324.388 1.499 20 30 DDEDLO Cn1ccc(C[N@@H+]2CC[C@@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC001046223235 767205389 /nfs/dbraw/zinc/20/53/89/767205389.db2.gz JFBRBNYZQJWCGV-MRXNPFEDSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1ccc(C[N@H+]2CC[C@@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC001046223235 767205392 /nfs/dbraw/zinc/20/53/92/767205392.db2.gz JFBRBNYZQJWCGV-MRXNPFEDSA-N 1 2 312.377 1.014 20 30 DDEDLO C[C@H]1C[C@@H](CNc2ncccc2C#N)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068376411 767220490 /nfs/dbraw/zinc/22/04/90/767220490.db2.gz UJURQMDVTSSMOU-STQMWFEESA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)C2CCC(O)CC2)C1 ZINC001046272116 767340997 /nfs/dbraw/zinc/34/09/97/767340997.db2.gz YYNWWGCYOSUFIK-PIMMBPRGSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)C2CCC(O)CC2)C1 ZINC001046272116 767341003 /nfs/dbraw/zinc/34/10/03/767341003.db2.gz YYNWWGCYOSUFIK-PIMMBPRGSA-N 1 2 300.830 1.871 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001068595888 767444925 /nfs/dbraw/zinc/44/49/25/767444925.db2.gz YILYOPWGPOHDJG-JOCQHMNTSA-N 1 2 310.361 1.226 20 30 DDEDLO Cc1nc(N(C)C2CCN(C(=O)[C@H](C)C#N)CC2)c(C)c(C)[nH+]1 ZINC001068653841 767491004 /nfs/dbraw/zinc/49/10/04/767491004.db2.gz SLHYWWYOWICTQJ-LLVKDONJSA-N 1 2 315.421 1.989 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCn3cncc3C2)C1 ZINC001046422607 767542734 /nfs/dbraw/zinc/54/27/34/767542734.db2.gz CSCBGFZRWSADLQ-BBRMVZONSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCn3cncc3C2)C1 ZINC001046422607 767542738 /nfs/dbraw/zinc/54/27/38/767542738.db2.gz CSCBGFZRWSADLQ-BBRMVZONSA-N 1 2 322.840 1.779 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001068866032 767669512 /nfs/dbraw/zinc/66/95/12/767669512.db2.gz XLVHHXCSMTUIBP-SWLSCSKDSA-N 1 2 310.361 1.251 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)Cn1cc[nH+]c1 ZINC001068865950 767669701 /nfs/dbraw/zinc/66/97/01/767669701.db2.gz VVUWWFFHXWAOCM-OCCSQVGLSA-N 1 2 310.361 1.251 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001046575371 767703646 /nfs/dbraw/zinc/70/36/46/767703646.db2.gz PJFUILUHZWTAAT-ABAIWWIYSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001046575371 767703650 /nfs/dbraw/zinc/70/36/50/767703650.db2.gz PJFUILUHZWTAAT-ABAIWWIYSA-N 1 2 323.828 1.558 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068962589 767730659 /nfs/dbraw/zinc/73/06/59/767730659.db2.gz ITISEHOCTBKBQK-ABAIWWIYSA-N 1 2 310.361 1.320 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccsc2)CC[C@@H]1C ZINC001131798162 768375678 /nfs/dbraw/zinc/37/56/78/768375678.db2.gz ROZRDCJPCMKNLL-GXTWGEPZSA-N 1 2 321.446 1.633 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccsc2)CC[C@H]1C ZINC001131798165 768376435 /nfs/dbraw/zinc/37/64/35/768376435.db2.gz ROZRDCJPCMKNLL-TZMCWYRMSA-N 1 2 321.446 1.633 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccsc2)CC[C@H]1C ZINC001131798165 768376442 /nfs/dbraw/zinc/37/64/42/768376442.db2.gz ROZRDCJPCMKNLL-TZMCWYRMSA-N 1 2 321.446 1.633 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+]Cc1nc(C)c(C)o1 ZINC001131867131 768442503 /nfs/dbraw/zinc/44/25/03/768442503.db2.gz JXUXACXJXMPCMB-MRXNPFEDSA-N 1 2 309.410 1.726 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+]Cc1nnc(C2CC2)o1 ZINC001131866900 768442618 /nfs/dbraw/zinc/44/26/18/768442618.db2.gz GAYWLKGIRHGHSM-INIZCTEOSA-N 1 2 322.409 1.382 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCCC(=O)NC)CC[C@@H]1C ZINC001131985755 768540480 /nfs/dbraw/zinc/54/04/80/768540480.db2.gz ZZLSJGRPJRJTIO-STQMWFEESA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCCC(=O)NC)CC[C@@H]1C ZINC001131985755 768540489 /nfs/dbraw/zinc/54/04/89/768540489.db2.gz ZZLSJGRPJRJTIO-STQMWFEESA-N 1 2 315.845 1.624 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132017567 768574842 /nfs/dbraw/zinc/57/48/42/768574842.db2.gz QPXQLRJXRXKIPE-CVEARBPZSA-N 1 2 321.465 1.531 20 30 DDEDLO CCCCNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132017567 768574844 /nfs/dbraw/zinc/57/48/44/768574844.db2.gz QPXQLRJXRXKIPE-CVEARBPZSA-N 1 2 321.465 1.531 20 30 DDEDLO CCn1nncc1C[N@@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132017787 768574990 /nfs/dbraw/zinc/57/49/90/768574990.db2.gz SGISKJQZYMTTMC-LSDHHAIUSA-N 1 2 317.437 1.427 20 30 DDEDLO CCn1nncc1C[N@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132017787 768574994 /nfs/dbraw/zinc/57/49/94/768574994.db2.gz SGISKJQZYMTTMC-LSDHHAIUSA-N 1 2 317.437 1.427 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2nccs2)C1 ZINC001132041291 768583266 /nfs/dbraw/zinc/58/32/66/768583266.db2.gz BNARRPOHBAOAMM-KBPBESRZSA-N 1 2 321.446 1.652 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2nccs2)C1 ZINC001132041291 768583272 /nfs/dbraw/zinc/58/32/72/768583272.db2.gz BNARRPOHBAOAMM-KBPBESRZSA-N 1 2 321.446 1.652 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001096218506 768587068 /nfs/dbraw/zinc/58/70/68/768587068.db2.gz IWGWYUNTAPMGFS-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001096218617 768588259 /nfs/dbraw/zinc/58/82/59/768588259.db2.gz KBBVFILLMHCQAN-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCc2c[nH+]cn2C1)Nc1ccc(C#N)nc1 ZINC001098125375 768647784 /nfs/dbraw/zinc/64/77/84/768647784.db2.gz DQCOXMFKMHAAEM-OLZOCXBDSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCn2cc[nH+]c2C1)Nc1ccc(C#N)nc1 ZINC001098126209 768671542 /nfs/dbraw/zinc/67/15/42/768671542.db2.gz WXWBYCDPBBSNOR-STQMWFEESA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCn2cc[nH+]c2C1)Nc1ncccc1C#N ZINC001098126349 768675228 /nfs/dbraw/zinc/67/52/28/768675228.db2.gz ZYKGEJNZXJNWQN-STQMWFEESA-N 1 2 324.388 1.329 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001070740798 768704771 /nfs/dbraw/zinc/70/47/71/768704771.db2.gz KDYRQIRUEHAQOY-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CCS(C)(=O)=O)C1 ZINC001132257083 768713875 /nfs/dbraw/zinc/71/38/75/768713875.db2.gz TXAHDGGFWLASRB-ZIAGYGMSSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CCS(C)(=O)=O)C1 ZINC001132257083 768713878 /nfs/dbraw/zinc/71/38/78/768713878.db2.gz TXAHDGGFWLASRB-ZIAGYGMSSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)C(C)(C)C)C1 ZINC001070922885 768785965 /nfs/dbraw/zinc/78/59/65/768785965.db2.gz PYDQIYMLPSMGQC-MJBXVCDLSA-N 1 2 321.421 1.324 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)C(C)(C)C)C1 ZINC001070922885 768785970 /nfs/dbraw/zinc/78/59/70/768785970.db2.gz PYDQIYMLPSMGQC-MJBXVCDLSA-N 1 2 321.421 1.324 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070934129 768793778 /nfs/dbraw/zinc/79/37/78/768793778.db2.gz SPHIVIGVJGUBKA-CQSZACIVSA-N 1 2 316.405 1.040 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C(C)C)CC1 ZINC001070965659 768818944 /nfs/dbraw/zinc/81/89/44/768818944.db2.gz MTHYQHOVJXFMKC-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C(C)C)CC1 ZINC001070965659 768818953 /nfs/dbraw/zinc/81/89/53/768818953.db2.gz MTHYQHOVJXFMKC-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCC[C@@H](C)C2)CC1 ZINC001070991368 768846509 /nfs/dbraw/zinc/84/65/09/768846509.db2.gz PEYSEHWJZIUEJE-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCC[C@@H](C)C2)CC1 ZINC001070991368 768846518 /nfs/dbraw/zinc/84/65/18/768846518.db2.gz PEYSEHWJZIUEJE-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2(C)CC=CC2)CC1 ZINC001070995063 768853744 /nfs/dbraw/zinc/85/37/44/768853744.db2.gz PRYUXGVAXMPQLE-UHFFFAOYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2(C)CC=CC2)CC1 ZINC001070995063 768853756 /nfs/dbraw/zinc/85/37/56/768853756.db2.gz PRYUXGVAXMPQLE-UHFFFAOYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@H]2C(C)C)CC1 ZINC001071011665 768877553 /nfs/dbraw/zinc/87/75/53/768877553.db2.gz OIKPGNGXEQWPMD-HOTGVXAUSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@H]2C(C)C)CC1 ZINC001071011665 768877568 /nfs/dbraw/zinc/87/75/68/768877568.db2.gz OIKPGNGXEQWPMD-HOTGVXAUSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001071057543 768920420 /nfs/dbraw/zinc/92/04/20/768920420.db2.gz UPIWXMMNUZGQBK-CQSZACIVSA-N 1 2 318.421 1.724 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[C@H](C)[N@@H+](CC(N)=O)C2)CCCCC1 ZINC001132530177 768949674 /nfs/dbraw/zinc/94/96/74/768949674.db2.gz VZUAVUSMNGDNTK-GJZGRUSLSA-N 1 2 319.449 1.415 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[C@H](C)[N@H+](CC(N)=O)C2)CCCCC1 ZINC001132530177 768949680 /nfs/dbraw/zinc/94/96/80/768949680.db2.gz VZUAVUSMNGDNTK-GJZGRUSLSA-N 1 2 319.449 1.415 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001132569528 768992498 /nfs/dbraw/zinc/99/24/98/768992498.db2.gz TWHACKFPFRLWTN-RYUDHWBXSA-N 1 2 318.377 1.994 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC001096288345 768995705 /nfs/dbraw/zinc/99/57/05/768995705.db2.gz HNXKQSUQBXUVQJ-UHFFFAOYSA-N 1 2 303.410 1.071 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071140268 769011240 /nfs/dbraw/zinc/01/12/40/769011240.db2.gz OTIYHJNVDMOUIZ-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ncc(OC)cn2)CC[C@@H]1C ZINC001071674740 769844423 /nfs/dbraw/zinc/84/44/23/769844423.db2.gz ZBCJSOFJTHWQQS-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ncc(OC)cn2)CC[C@@H]1C ZINC001071674740 769844438 /nfs/dbraw/zinc/84/44/38/769844438.db2.gz ZBCJSOFJTHWQQS-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2scnc2COC)CC[C@H]1C ZINC001071883728 770223811 /nfs/dbraw/zinc/22/38/11/770223811.db2.gz QFWTYCJDEHEHPF-OLZOCXBDSA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2scnc2COC)CC[C@H]1C ZINC001071883728 770223822 /nfs/dbraw/zinc/22/38/22/770223822.db2.gz QFWTYCJDEHEHPF-OLZOCXBDSA-N 1 2 321.446 1.896 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2nnc[nH]2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071908387 770265173 /nfs/dbraw/zinc/26/51/73/770265173.db2.gz UEFZPQZSQUWXFH-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2nnc[nH]2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071908387 770265180 /nfs/dbraw/zinc/26/51/80/770265180.db2.gz UEFZPQZSQUWXFH-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2ncn[nH]2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071908387 770265184 /nfs/dbraw/zinc/26/51/84/770265184.db2.gz UEFZPQZSQUWXFH-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2ncn[nH]2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071908387 770265189 /nfs/dbraw/zinc/26/51/89/770265189.db2.gz UEFZPQZSQUWXFH-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CN1CC#N ZINC001071956983 770348049 /nfs/dbraw/zinc/34/80/49/770348049.db2.gz AIDMDHAMOCRSKU-KBPBESRZSA-N 1 2 324.388 1.374 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)C#N ZINC001049372753 770751220 /nfs/dbraw/zinc/75/12/20/770751220.db2.gz NKEOFRAAQGUJQB-KCPJHIHWSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)C#N ZINC001049372753 770751226 /nfs/dbraw/zinc/75/12/26/770751226.db2.gz NKEOFRAAQGUJQB-KCPJHIHWSA-N 1 2 302.378 1.708 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001072317028 770753656 /nfs/dbraw/zinc/75/36/56/770753656.db2.gz JIGDCZUPXBLJEL-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072317028 770753665 /nfs/dbraw/zinc/75/36/65/770753665.db2.gz JIGDCZUPXBLJEL-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H]3[C@H]2CCCN3C(=O)C#CC2CC2)co1 ZINC001049467977 770893315 /nfs/dbraw/zinc/89/33/15/770893315.db2.gz RMJGNXNXEXTPKX-SJORKVTESA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H]3[C@H]2CCCN3C(=O)C#CC2CC2)co1 ZINC001049467977 770893327 /nfs/dbraw/zinc/89/33/27/770893327.db2.gz RMJGNXNXEXTPKX-SJORKVTESA-N 1 2 313.401 1.962 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2occc2Cl)[C@H](O)C1 ZINC001090537633 771972887 /nfs/dbraw/zinc/97/28/87/771972887.db2.gz AYGXZOBRGISRRN-WDEREUQCSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2occc2Cl)[C@H](O)C1 ZINC001090537633 771972890 /nfs/dbraw/zinc/97/28/90/771972890.db2.gz AYGXZOBRGISRRN-WDEREUQCSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c[nH]nc2C2CC2)[C@H](O)C1 ZINC001090695113 772118252 /nfs/dbraw/zinc/11/82/52/772118252.db2.gz FWCZJBUDVSKRIO-CHWSQXEVSA-N 1 2 324.812 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c[nH]nc2C2CC2)[C@H](O)C1 ZINC001090695113 772118255 /nfs/dbraw/zinc/11/82/55/772118255.db2.gz FWCZJBUDVSKRIO-CHWSQXEVSA-N 1 2 324.812 1.205 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C2CC2)C2CC2)[C@H](O)C1 ZINC001090716618 772136902 /nfs/dbraw/zinc/13/69/02/772136902.db2.gz SIWCSTKSYDLBLW-UONOGXRCSA-N 1 2 312.841 1.727 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C2CC2)C2CC2)[C@H](O)C1 ZINC001090716618 772136906 /nfs/dbraw/zinc/13/69/06/772136906.db2.gz SIWCSTKSYDLBLW-UONOGXRCSA-N 1 2 312.841 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc3c([nH]2)CCC3)[C@H](O)C1 ZINC001090720163 772139477 /nfs/dbraw/zinc/13/94/77/772139477.db2.gz VIEGLOWOGDCKDT-UKRRQHHQSA-N 1 2 323.824 1.421 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc3c([nH]2)CCC3)[C@H](O)C1 ZINC001090720163 772139480 /nfs/dbraw/zinc/13/94/80/772139480.db2.gz VIEGLOWOGDCKDT-UKRRQHHQSA-N 1 2 323.824 1.421 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+]([C@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171225284 772628705 /nfs/dbraw/zinc/62/87/05/772628705.db2.gz ZNZXTVRDUWKPAJ-CZUORRHYSA-N 1 2 302.374 1.753 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+]([C@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171225284 772628706 /nfs/dbraw/zinc/62/87/06/772628706.db2.gz ZNZXTVRDUWKPAJ-CZUORRHYSA-N 1 2 302.374 1.753 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001091363207 772707348 /nfs/dbraw/zinc/70/73/48/772707348.db2.gz LOWUBGUDTPNBHA-BJHJDKERSA-N 1 2 310.361 1.226 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CC(=O)N(C3CCCCC3)C2)CC1 ZINC001144988811 772747427 /nfs/dbraw/zinc/74/74/27/772747427.db2.gz OZNBMGWQPXATGO-OAHLLOKOSA-N 1 2 319.449 1.498 20 30 DDEDLO N#Cc1cnccc1N[C@H]1C[C@H](CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001091450234 772771752 /nfs/dbraw/zinc/77/17/52/772771752.db2.gz JQIBFWLYDLPWIO-MQMHXKEQSA-N 1 2 324.388 1.038 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CCOC(C)C)C1 ZINC001149222463 773208379 /nfs/dbraw/zinc/20/83/79/773208379.db2.gz YIPMWZWNXPTKTJ-CQSZACIVSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CCOC(C)C)C1 ZINC001149222463 773208382 /nfs/dbraw/zinc/20/83/82/773208382.db2.gz YIPMWZWNXPTKTJ-CQSZACIVSA-N 1 2 318.845 1.761 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cnns1)C2 ZINC001148199520 773376807 /nfs/dbraw/zinc/37/68/07/773376807.db2.gz IIRWZDFFJKEEOZ-UHFFFAOYSA-N 1 2 306.435 1.929 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnns1)C2 ZINC001148199520 773376814 /nfs/dbraw/zinc/37/68/14/773376814.db2.gz IIRWZDFFJKEEOZ-UHFFFAOYSA-N 1 2 306.435 1.929 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3ccco3)C[C@@H]21 ZINC001074147948 773673601 /nfs/dbraw/zinc/67/36/01/773673601.db2.gz NCSDEVHZQRZSMO-LGZALOBFSA-N 1 2 302.374 1.781 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3ccco3)C[C@@H]21 ZINC001074147948 773673603 /nfs/dbraw/zinc/67/36/03/773673603.db2.gz NCSDEVHZQRZSMO-LGZALOBFSA-N 1 2 302.374 1.781 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3C)C[C@H]21 ZINC001074155013 773679844 /nfs/dbraw/zinc/67/98/44/773679844.db2.gz NCQBWWWCPUMZIB-QZTJIDSGSA-N 1 2 312.413 1.934 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3C)C[C@H]21 ZINC001074155013 773679847 /nfs/dbraw/zinc/67/98/47/773679847.db2.gz NCQBWWWCPUMZIB-QZTJIDSGSA-N 1 2 312.413 1.934 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)COC3CCCC3)C[C@H]21 ZINC001074181454 773703614 /nfs/dbraw/zinc/70/36/14/773703614.db2.gz VHXNNZFCEAMBER-IAGOWNOFSA-N 1 2 322.449 1.823 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)COC3CCCC3)C[C@H]21 ZINC001074181454 773703616 /nfs/dbraw/zinc/70/36/16/773703616.db2.gz VHXNNZFCEAMBER-IAGOWNOFSA-N 1 2 322.449 1.823 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cncc(C)c3)C[C@H]21 ZINC001074199822 773723060 /nfs/dbraw/zinc/72/30/60/773723060.db2.gz JCQXFCIVXXYCAZ-SJORKVTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cncc(C)c3)C[C@H]21 ZINC001074199822 773723064 /nfs/dbraw/zinc/72/30/64/773723064.db2.gz JCQXFCIVXXYCAZ-SJORKVTESA-N 1 2 313.401 1.329 20 30 DDEDLO C[C@H]1CC2(CN1C(=O)CCn1cc[nH+]c1)CCN(CC#N)CC2 ZINC001086934836 773726627 /nfs/dbraw/zinc/72/66/27/773726627.db2.gz BMOPWBFUHUJJCL-HNNXBMFYSA-N 1 2 315.421 1.500 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccoc3)C[C@H]21 ZINC001074216045 773739028 /nfs/dbraw/zinc/73/90/28/773739028.db2.gz SEUNPZMKOMZGBU-HZPDHXFCSA-N 1 2 304.390 1.700 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccoc3)C[C@H]21 ZINC001074216045 773739029 /nfs/dbraw/zinc/73/90/29/773739029.db2.gz SEUNPZMKOMZGBU-HZPDHXFCSA-N 1 2 304.390 1.700 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C[C@H]21 ZINC001074219911 773743615 /nfs/dbraw/zinc/74/36/15/773743615.db2.gz YWTKSXQEJCAIKD-CVEARBPZSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C[C@H]21 ZINC001074219911 773743618 /nfs/dbraw/zinc/74/36/18/773743618.db2.gz YWTKSXQEJCAIKD-CVEARBPZSA-N 1 2 314.389 1.378 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3coc(C)c3)C[C@H]21 ZINC001074226591 773750716 /nfs/dbraw/zinc/75/07/16/773750716.db2.gz SQHASEPHIBVKMF-CVEARBPZSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3coc(C)c3)C[C@H]21 ZINC001074226591 773750726 /nfs/dbraw/zinc/75/07/26/773750726.db2.gz SQHASEPHIBVKMF-CVEARBPZSA-N 1 2 302.374 1.527 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCc3ncc[nH]3)C[C@@H]21 ZINC001074229922 773754911 /nfs/dbraw/zinc/75/49/11/773754911.db2.gz PNONRCSRLAOEQE-LSDHHAIUSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCc3ncc[nH]3)C[C@@H]21 ZINC001074229922 773754915 /nfs/dbraw/zinc/75/49/15/773754915.db2.gz PNONRCSRLAOEQE-LSDHHAIUSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)CN1CCO[C@@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C[C@@H]21 ZINC001074229922 773754916 /nfs/dbraw/zinc/75/49/16/773754916.db2.gz PNONRCSRLAOEQE-LSDHHAIUSA-N 1 2 318.421 1.220 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C4CC4)CC3)C[C@H]21 ZINC001074273657 773785317 /nfs/dbraw/zinc/78/53/17/773785317.db2.gz MMAPHXKMQGQISY-HZPDHXFCSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C4CC4)CC3)C[C@H]21 ZINC001074273657 773785323 /nfs/dbraw/zinc/78/53/23/773785323.db2.gz MMAPHXKMQGQISY-HZPDHXFCSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C34CCC(CC3)C4)C[C@@H]21 ZINC001074276393 773788294 /nfs/dbraw/zinc/78/82/94/773788294.db2.gz MPYBLBWKRZRVLV-VIHIWDAPSA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C34CCC(CC3)C4)C[C@@H]21 ZINC001074276393 773788296 /nfs/dbraw/zinc/78/82/96/773788296.db2.gz MPYBLBWKRZRVLV-VIHIWDAPSA-N 1 2 316.445 1.892 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cn3nccc3C)C[C@@H]21 ZINC001074348017 773844165 /nfs/dbraw/zinc/84/41/65/773844165.db2.gz GGOAAYPZBURLAN-HOTGVXAUSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cn3nccc3C)C[C@@H]21 ZINC001074348017 773844172 /nfs/dbraw/zinc/84/41/72/773844172.db2.gz GGOAAYPZBURLAN-HOTGVXAUSA-N 1 2 318.421 1.069 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cnoc3CC)C[C@@H]21 ZINC001074348022 773844535 /nfs/dbraw/zinc/84/45/35/773844535.db2.gz GJCUQRVGBTZOSK-HOCLYGCPSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cnoc3CC)C[C@@H]21 ZINC001074348022 773844536 /nfs/dbraw/zinc/84/45/36/773844536.db2.gz GJCUQRVGBTZOSK-HOCLYGCPSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(OC)o3)C[C@H]21 ZINC001074369167 773861504 /nfs/dbraw/zinc/86/15/04/773861504.db2.gz RAVQBWRBRSSXBC-KGLIPLIRSA-N 1 2 320.389 1.780 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(OC)o3)C[C@H]21 ZINC001074369167 773861511 /nfs/dbraw/zinc/86/15/11/773861511.db2.gz RAVQBWRBRSSXBC-KGLIPLIRSA-N 1 2 320.389 1.780 20 30 DDEDLO N#Cc1ccc(NC2CC(CNC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001092227760 774017587 /nfs/dbraw/zinc/01/75/87/774017587.db2.gz SSJHGJALLZUZIH-UHFFFAOYSA-N 1 2 310.361 1.157 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CCCC1)c1nccn12 ZINC001092341606 774091402 /nfs/dbraw/zinc/09/14/02/774091402.db2.gz AMNARZIUXIXSEX-CQSZACIVSA-N 1 2 300.406 1.831 20 30 DDEDLO C[C@@H]1CN(c2ncccc2C#N)C[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001092394981 774100446 /nfs/dbraw/zinc/10/04/46/774100446.db2.gz PFOHXLOSLMTYNQ-TZMCWYRMSA-N 1 2 324.388 1.108 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C1CC3(CC3)C1)c1nccn12 ZINC001092395109 774100536 /nfs/dbraw/zinc/10/05/36/774100536.db2.gz XBSHWGOPNGAFRA-HNNXBMFYSA-N 1 2 324.428 1.669 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1coc(C)c1)c1nccn12 ZINC001092365622 774104692 /nfs/dbraw/zinc/10/46/92/774104692.db2.gz BUJJJQHOLDZYQK-AWEZNQCLSA-N 1 2 312.373 1.856 20 30 DDEDLO C[C@@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1ccc(C#N)cn1 ZINC001098302666 774221875 /nfs/dbraw/zinc/22/18/75/774221875.db2.gz MUOVUZMUZVOBIM-STQMWFEESA-N 1 2 312.377 1.718 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001074964483 774270492 /nfs/dbraw/zinc/27/04/92/774270492.db2.gz ZQOVCPQCITYLBN-KBPBESRZSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001075020675 774303195 /nfs/dbraw/zinc/30/31/95/774303195.db2.gz TYTSQNWQSIDOPA-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001075045979 774319445 /nfs/dbraw/zinc/31/94/45/774319445.db2.gz QLUXXSRKCRYHJI-CABCVRRESA-N 1 2 318.421 1.735 20 30 DDEDLO C[C@@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)Nc1nccnc1C#N ZINC001098380226 774556406 /nfs/dbraw/zinc/55/64/06/774556406.db2.gz SGVNQQOETBDQSM-JTQLQIEISA-N 1 2 321.344 1.455 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@@H](C)Nc2ccc(C#N)nn2)c(C)[nH+]1 ZINC001098431578 774578011 /nfs/dbraw/zinc/57/80/11/774578011.db2.gz RNHKWLAGAKETPD-GFCCVEGCSA-N 1 2 324.388 1.899 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CC[C@@H](C)CC)C2)nn1 ZINC001098756962 774664216 /nfs/dbraw/zinc/66/42/16/774664216.db2.gz HCXPJLAXJPDQFU-HOCLYGCPSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3csnc3C)CC2)C1 ZINC001093529567 774781251 /nfs/dbraw/zinc/78/12/51/774781251.db2.gz WUIVLSSYHLOYBT-UHFFFAOYSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)/C=C/c3ccc[nH]3)CC2)C1 ZINC001093529715 774781311 /nfs/dbraw/zinc/78/13/11/774781311.db2.gz FQHAUUOAOOHYLS-AATRIKPKSA-N 1 2 315.417 1.906 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@]3(C)CCOC3)CC2)C1 ZINC001093588486 774869070 /nfs/dbraw/zinc/86/90/70/774869070.db2.gz NWPIXADPBWXMQU-QGZVFWFLSA-N 1 2 308.422 1.291 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC[C@@H](C)Nc1ncccc1C#N ZINC001099362284 774964910 /nfs/dbraw/zinc/96/49/10/774964910.db2.gz UNLURPVUWUKCJL-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCSCC)[C@H](O)C1 ZINC001099707280 775162733 /nfs/dbraw/zinc/16/27/33/775162733.db2.gz PKFXIZVLFJURFW-VXGBXAGGSA-N 1 2 306.859 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCSCC)[C@H](O)C1 ZINC001099707280 775162738 /nfs/dbraw/zinc/16/27/38/775162738.db2.gz PKFXIZVLFJURFW-VXGBXAGGSA-N 1 2 306.859 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(CCF)CC2)[C@H](O)C1 ZINC001099931404 775329690 /nfs/dbraw/zinc/32/96/90/775329690.db2.gz QIEPMPVPVKLSQC-NWDGAFQWSA-N 1 2 304.793 1.430 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(CCF)CC2)[C@H](O)C1 ZINC001099931404 775329701 /nfs/dbraw/zinc/32/97/01/775329701.db2.gz QIEPMPVPVKLSQC-NWDGAFQWSA-N 1 2 304.793 1.430 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)C#CC2CC2)[C@H](O)C1 ZINC001099827561 775336010 /nfs/dbraw/zinc/33/60/10/775336010.db2.gz XKPSWNYHAZRBLC-QZTJIDSGSA-N 1 2 323.396 1.023 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)C#CC2CC2)[C@H](O)C1 ZINC001099827561 775336024 /nfs/dbraw/zinc/33/60/24/775336024.db2.gz XKPSWNYHAZRBLC-QZTJIDSGSA-N 1 2 323.396 1.023 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099855605 775357279 /nfs/dbraw/zinc/35/72/79/775357279.db2.gz KFNIQNPKWGSHIJ-GJZGRUSLSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099855605 775357289 /nfs/dbraw/zinc/35/72/89/775357289.db2.gz KFNIQNPKWGSHIJ-GJZGRUSLSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001099858909 775361181 /nfs/dbraw/zinc/36/11/81/775361181.db2.gz SWWQBYWDGSYMAP-JKSUJKDBSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001099858909 775361187 /nfs/dbraw/zinc/36/11/87/775361187.db2.gz SWWQBYWDGSYMAP-JKSUJKDBSA-N 1 2 318.421 1.193 20 30 DDEDLO C#CCCCCCC(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094060472 775388703 /nfs/dbraw/zinc/38/87/03/775388703.db2.gz ACJPCZUXBMRAAJ-UHFFFAOYSA-N 1 2 318.425 1.358 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(CF)CCC2)[C@@H](O)C1 ZINC001100013077 775567073 /nfs/dbraw/zinc/56/70/73/775567073.db2.gz HNHGOZLWBGHVSB-NEPJUHHUSA-N 1 2 304.793 1.430 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(CF)CCC2)[C@@H](O)C1 ZINC001100013077 775567082 /nfs/dbraw/zinc/56/70/82/775567082.db2.gz HNHGOZLWBGHVSB-NEPJUHHUSA-N 1 2 304.793 1.430 20 30 DDEDLO Cc1nsc(N(C)CCNC(=O)CCc2[nH]cc[nH+]2)c1C#N ZINC001100042640 775610461 /nfs/dbraw/zinc/61/04/61/775610461.db2.gz IEHGJIRMAKOTJU-UHFFFAOYSA-N 1 2 318.406 1.232 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2ccccc2)[C@@H](O)C1 ZINC001100087586 775664025 /nfs/dbraw/zinc/66/40/25/775664025.db2.gz OJZXANZHQGKVBY-CVEARBPZSA-N 1 2 322.836 1.923 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2ccccc2)[C@@H](O)C1 ZINC001100087586 775664028 /nfs/dbraw/zinc/66/40/28/775664028.db2.gz OJZXANZHQGKVBY-CVEARBPZSA-N 1 2 322.836 1.923 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3Cc4ccccc43)nn2)C1 ZINC001094314988 775670615 /nfs/dbraw/zinc/67/06/15/775670615.db2.gz GFGRAHCJUYFKBU-KRWDZBQOSA-N 1 2 323.400 1.277 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3coc(C)c3)nn2)C1 ZINC001094285515 775685554 /nfs/dbraw/zinc/68/55/54/775685554.db2.gz MIAZZCJCTZCYMD-UHFFFAOYSA-N 1 2 301.350 1.152 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@H]3C(C)C)nn2)C1 ZINC001094332748 775716754 /nfs/dbraw/zinc/71/67/54/775716754.db2.gz YMKPOAHDIFNFJN-GJZGRUSLSA-N 1 2 303.410 1.229 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCCNc1ncc(C#N)cc1F ZINC001094525107 775983587 /nfs/dbraw/zinc/98/35/87/775983587.db2.gz XSOFNOHZIVWITM-UHFFFAOYSA-N 1 2 316.340 1.285 20 30 DDEDLO CN(CCNC(=O)Cc1c[nH]c[nH+]1)c1snc(Cl)c1C#N ZINC001100354050 776042478 /nfs/dbraw/zinc/04/24/78/776042478.db2.gz GCVRNQYSLISLNN-UHFFFAOYSA-N 1 2 324.797 1.186 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCN(C)c1cc[nH+]c(C)n1 ZINC001100359547 776050621 /nfs/dbraw/zinc/05/06/21/776050621.db2.gz XJBZVPWFHRQJSB-ZFWWWQNUSA-N 1 2 304.394 1.319 20 30 DDEDLO Cc1nsc(N(C)CCNC(=O)CCc2c[nH]c[nH+]2)c1C#N ZINC001100380097 776076854 /nfs/dbraw/zinc/07/68/54/776076854.db2.gz BKRKGWUOPPSBHV-UHFFFAOYSA-N 1 2 318.406 1.232 20 30 DDEDLO Cc1nsc(N(C)CCNC(=O)CCc2c[nH+]c[nH]2)c1C#N ZINC001100380097 776076857 /nfs/dbraw/zinc/07/68/57/776076857.db2.gz BKRKGWUOPPSBHV-UHFFFAOYSA-N 1 2 318.406 1.232 20 30 DDEDLO C#CCOCCC(=O)NCCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC001094593619 776086898 /nfs/dbraw/zinc/08/68/98/776086898.db2.gz FUWNLPLOWHOHLM-UHFFFAOYSA-N 1 2 318.421 1.867 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3ccoc3Cl)CC2=O)C1 ZINC001094726331 776228555 /nfs/dbraw/zinc/22/85/55/776228555.db2.gz MBQHBJAVISDWLS-SNVBAGLBSA-N 1 2 323.780 1.134 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3ccc(F)s3)CC2=O)C1 ZINC001094821924 776330302 /nfs/dbraw/zinc/33/03/02/776330302.db2.gz YKHAMVSHCPCVFE-SNVBAGLBSA-N 1 2 323.393 1.088 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3ccc(F)s3)CC2=O)C1 ZINC001094821923 776330993 /nfs/dbraw/zinc/33/09/93/776330993.db2.gz YKHAMVSHCPCVFE-JTQLQIEISA-N 1 2 323.393 1.088 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H]1CNC(=O)CCc1[nH]cc[nH+]1 ZINC001100823953 776599242 /nfs/dbraw/zinc/59/92/42/776599242.db2.gz VLRUXGGEFTYGIT-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC[C@H]2CNC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001100858836 776641463 /nfs/dbraw/zinc/64/14/63/776641463.db2.gz GBGCEVOYYPQZQD-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1nonc1C[NH2+][C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001172971979 776948156 /nfs/dbraw/zinc/94/81/56/776948156.db2.gz VOUULNDQSVMBEW-RYUDHWBXSA-N 1 2 321.381 1.759 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2ccccn2)[C@@H](O)C1 ZINC001099942672 777151183 /nfs/dbraw/zinc/15/11/83/777151183.db2.gz VOENFPWSTFOGTQ-GJZGRUSLSA-N 1 2 323.824 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2ccccn2)[C@@H](O)C1 ZINC001099942672 777151186 /nfs/dbraw/zinc/15/11/86/777151186.db2.gz VOENFPWSTFOGTQ-GJZGRUSLSA-N 1 2 323.824 1.318 20 30 DDEDLO C#CC[C@H]([NH2+]C1CCC2(C[C@@H]2C(=O)OCC)CC1)C(=O)OC ZINC001173603579 777274528 /nfs/dbraw/zinc/27/45/28/777274528.db2.gz GLRSXAFZSUTQBF-DLOXAQCQSA-N 1 2 307.390 1.653 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C\c1ccco1)c1nccn12 ZINC001101603070 777293191 /nfs/dbraw/zinc/29/31/91/777293191.db2.gz XJWJLKWZVHLJCB-IYKSTZQJSA-N 1 2 324.384 1.947 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](CC)SC)c1nccn12 ZINC001101620687 777313832 /nfs/dbraw/zinc/31/38/32/777313832.db2.gz QEURSKRCDWAWAP-STQMWFEESA-N 1 2 320.462 1.783 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](F)C(C)C)c1nccn12 ZINC001101640093 777337340 /nfs/dbraw/zinc/33/73/40/777337340.db2.gz WMUQUKWNXYQQKS-CHWSQXEVSA-N 1 2 306.385 1.635 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101898721 777658908 /nfs/dbraw/zinc/65/89/08/777658908.db2.gz ZJASGKWEOOUVRV-LBPRGKRZSA-N 1 2 316.409 1.836 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1C ZINC001101917026 777676209 /nfs/dbraw/zinc/67/62/09/777676209.db2.gz VZUJMGOKQZYHRW-JMSVASOKSA-N 1 2 324.450 1.049 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1C ZINC001101917026 777676213 /nfs/dbraw/zinc/67/62/13/777676213.db2.gz VZUJMGOKQZYHRW-JMSVASOKSA-N 1 2 324.450 1.049 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001101947416 777716585 /nfs/dbraw/zinc/71/65/85/777716585.db2.gz OBUAOUTYEMIYCY-CQSZACIVSA-N 1 2 324.388 1.667 20 30 DDEDLO C[N@H+](CCn1cnnc1)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC001175853154 777949371 /nfs/dbraw/zinc/94/93/71/777949371.db2.gz BORZQMFYPOFWIZ-UHFFFAOYSA-N 1 2 309.333 1.332 20 30 DDEDLO C[N@@H+](CCn1cnnc1)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC001175853154 777949373 /nfs/dbraw/zinc/94/93/73/777949373.db2.gz BORZQMFYPOFWIZ-UHFFFAOYSA-N 1 2 309.333 1.332 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1C ZINC001102610143 778238285 /nfs/dbraw/zinc/23/82/85/778238285.db2.gz VNZAHHKKMNLOFC-FRRDWIJNSA-N 1 2 324.450 1.313 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1C ZINC001102610143 778238290 /nfs/dbraw/zinc/23/82/90/778238290.db2.gz VNZAHHKKMNLOFC-FRRDWIJNSA-N 1 2 324.450 1.313 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C)CC3)C[C@@H]21 ZINC001177012772 778392637 /nfs/dbraw/zinc/39/26/37/778392637.db2.gz MHGOFWLOEXJNPC-GJZGRUSLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C)CC3)C[C@@H]21 ZINC001177012772 778392645 /nfs/dbraw/zinc/39/26/45/778392645.db2.gz MHGOFWLOEXJNPC-GJZGRUSLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CCOC)C[C@H]21 ZINC001177120114 778434312 /nfs/dbraw/zinc/43/43/12/778434312.db2.gz SZVXYGNGTDQNDP-HZPDHXFCSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CCOC)C[C@H]21 ZINC001177120114 778434315 /nfs/dbraw/zinc/43/43/15/778434315.db2.gz SZVXYGNGTDQNDP-HZPDHXFCSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCCO)[C@H]2C1 ZINC001177101404 778446885 /nfs/dbraw/zinc/44/68/85/778446885.db2.gz MIDJMDFXZLMHKS-LSDHHAIUSA-N 1 2 310.438 1.273 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCCO)[C@H]2C1 ZINC001177101404 778446888 /nfs/dbraw/zinc/44/68/88/778446888.db2.gz MIDJMDFXZLMHKS-LSDHHAIUSA-N 1 2 310.438 1.273 20 30 DDEDLO CC[C@H](CNC(=O)CCn1cc[nH+]c1)Nc1ccc(C#N)nc1 ZINC001103248822 778735486 /nfs/dbraw/zinc/73/54/86/778735486.db2.gz PHLUEZCILWRHEE-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@](C)(CNc1cc[nH+]c(C)n1)C1CC1 ZINC001103526566 778922613 /nfs/dbraw/zinc/92/26/13/778922613.db2.gz ULEXKQLLBNPYDT-IRXDYDNUSA-N 1 2 318.421 1.809 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CCNC(=O)Cn2cc[nH+]c2)cn1 ZINC001103749377 779028183 /nfs/dbraw/zinc/02/81/83/779028183.db2.gz UFORAALRBPIWIX-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO C[C@H](CNC(=O)CCn1cc[nH+]c1)CNc1ccc(C#N)nc1 ZINC001104152532 779338007 /nfs/dbraw/zinc/33/80/07/779338007.db2.gz AENXVNPWQYHIRQ-ZDUSSCGKSA-N 1 2 312.377 1.404 20 30 DDEDLO CC#CC[NH2+]CC[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001104219665 779380294 /nfs/dbraw/zinc/38/02/94/779380294.db2.gz DBXYIHNRGMEMDJ-UTUOFQBUSA-N 1 2 308.319 1.984 20 30 DDEDLO CC#CC[NH2+]CC[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1C ZINC001104219665 779380297 /nfs/dbraw/zinc/38/02/97/779380297.db2.gz DBXYIHNRGMEMDJ-UTUOFQBUSA-N 1 2 308.319 1.984 20 30 DDEDLO CC#CC(=O)N1CC[NH+](CCCC(=O)c2ccc(F)cc2)CC1 ZINC001179751943 779480004 /nfs/dbraw/zinc/48/00/04/779480004.db2.gz OFTONBIZPJNFJO-UHFFFAOYSA-N 1 2 316.376 1.956 20 30 DDEDLO C[C@@H](CC(=O)N[C@]12CCC[C@H]1CN(CC#N)C2)n1cc[nH+]c1 ZINC001111885667 779538546 /nfs/dbraw/zinc/53/85/46/779538546.db2.gz YJLZFDFTIHNWLN-DZKIICNBSA-N 1 2 301.394 1.328 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)NCc2ccco2)C1=O ZINC001116916792 780576995 /nfs/dbraw/zinc/57/69/95/780576995.db2.gz FZDDFMOZJGBBNZ-TZMCWYRMSA-N 1 2 305.378 1.003 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)NCc2ccco2)C1=O ZINC001116916792 780577000 /nfs/dbraw/zinc/57/70/00/780577000.db2.gz FZDDFMOZJGBBNZ-TZMCWYRMSA-N 1 2 305.378 1.003 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC001118096987 781025691 /nfs/dbraw/zinc/02/56/91/781025691.db2.gz GPOVTMZTNRNPPF-UHFFFAOYSA-N 1 2 319.405 1.748 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@@H+]1CCO[C@H](C)C1)c1ccccc1 ZINC001118123229 781038324 /nfs/dbraw/zinc/03/83/24/781038324.db2.gz IATPNHYTLNLPOL-GDBMZVCRSA-N 1 2 301.390 1.381 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@H+]1CCO[C@H](C)C1)c1ccccc1 ZINC001118123229 781038329 /nfs/dbraw/zinc/03/83/29/781038329.db2.gz IATPNHYTLNLPOL-GDBMZVCRSA-N 1 2 301.390 1.381 20 30 DDEDLO Cc1nsc(N[C@H](C)CN(C)C(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001119980613 781706860 /nfs/dbraw/zinc/70/68/60/781706860.db2.gz XSXBZGBWQPLJNC-SECBINFHSA-N 1 2 318.406 1.548 20 30 DDEDLO C=CCn1cnn(CN2CCc3c([nH+]cn3CC)C2)c1=S ZINC001120255507 781837757 /nfs/dbraw/zinc/83/77/57/781837757.db2.gz JQAFZCFAFVHDGI-UHFFFAOYSA-N 1 2 304.423 1.832 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1ccc(F)cc1C ZINC001267226969 837563934 /nfs/dbraw/zinc/56/39/34/837563934.db2.gz YAUPWASXLLVURS-UHFFFAOYSA-N 1 2 307.369 1.098 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1ccc(F)cc1C ZINC001267226969 837563945 /nfs/dbraw/zinc/56/39/45/837563945.db2.gz YAUPWASXLLVURS-UHFFFAOYSA-N 1 2 307.369 1.098 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+](Cc2nc(COC)no2)C1 ZINC001267287363 837746994 /nfs/dbraw/zinc/74/69/94/837746994.db2.gz HSKXTEJPENPPEX-LBPRGKRZSA-N 1 2 308.382 1.120 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+](Cc2nc(COC)no2)C1 ZINC001267287363 837746998 /nfs/dbraw/zinc/74/69/98/837746998.db2.gz HSKXTEJPENPPEX-LBPRGKRZSA-N 1 2 308.382 1.120 20 30 DDEDLO C=C[C@H](C(=O)N[C@H]1CCC[N@@H+](CC(=O)NC)C1)c1ccccc1 ZINC001266252759 835990879 /nfs/dbraw/zinc/99/08/79/835990879.db2.gz ZNAXWWPJTXGXDN-HOTGVXAUSA-N 1 2 315.417 1.283 20 30 DDEDLO C=C[C@H](C(=O)N[C@H]1CCC[N@H+](CC(=O)NC)C1)c1ccccc1 ZINC001266252759 835990891 /nfs/dbraw/zinc/99/08/91/835990891.db2.gz ZNAXWWPJTXGXDN-HOTGVXAUSA-N 1 2 315.417 1.283 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCCCC)C1 ZINC001266333274 836131518 /nfs/dbraw/zinc/13/15/18/836131518.db2.gz KARKZBLRERGCEV-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)NCCCC)C1 ZINC001266333274 836131522 /nfs/dbraw/zinc/13/15/22/836131522.db2.gz KARKZBLRERGCEV-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2ccccc2Cl)C1 ZINC001266352714 836159514 /nfs/dbraw/zinc/15/95/14/836159514.db2.gz GOAOJTVSRXQDMO-GFCCVEGCSA-N 1 2 321.808 1.446 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2ccccc2Cl)C1 ZINC001266352714 836159515 /nfs/dbraw/zinc/15/95/15/836159515.db2.gz GOAOJTVSRXQDMO-GFCCVEGCSA-N 1 2 321.808 1.446 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266488100 836338071 /nfs/dbraw/zinc/33/80/71/836338071.db2.gz CEJHWHYQQQFYKC-HUUCEWRRSA-N 1 2 322.453 1.279 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266488100 836338084 /nfs/dbraw/zinc/33/80/84/836338084.db2.gz CEJHWHYQQQFYKC-HUUCEWRRSA-N 1 2 322.453 1.279 20 30 DDEDLO CCC[C@H](CC)C(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266488504 836338132 /nfs/dbraw/zinc/33/81/32/836338132.db2.gz KSLXJDQZKCIYEJ-UONOGXRCSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC[C@H](CC)C(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266488504 836338146 /nfs/dbraw/zinc/33/81/46/836338146.db2.gz KSLXJDQZKCIYEJ-UONOGXRCSA-N 1 2 308.426 1.033 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@@H]([NH2+]Cc2noc(C(C)C)n2)C1 ZINC001266674268 836639225 /nfs/dbraw/zinc/63/92/25/836639225.db2.gz RSNSVVXWTKFTAO-TXEJJXNPSA-N 1 2 308.382 1.132 20 30 DDEDLO C=CCCC(=O)N1CCC(N(C)C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001287351555 844642331 /nfs/dbraw/zinc/64/23/31/844642331.db2.gz QUZYDHITVDNCNK-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001266903541 837034870 /nfs/dbraw/zinc/03/48/70/837034870.db2.gz CRKHXWPTXLIXBV-UYLCMAPUSA-N 1 2 317.437 1.823 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001266903541 837034881 /nfs/dbraw/zinc/03/48/81/837034881.db2.gz CRKHXWPTXLIXBV-UYLCMAPUSA-N 1 2 317.437 1.823 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@H](C)[NH2+]Cc1ncc(C)o1 ZINC001266990157 837157721 /nfs/dbraw/zinc/15/77/21/837157721.db2.gz MEIUAIOVFOHORV-ZDUSSCGKSA-N 1 2 311.385 1.801 20 30 DDEDLO Cn1ccc(C[NH2+][C@H](CNC(=O)C#CC2CC2)c2ccccc2)n1 ZINC001267357673 837902946 /nfs/dbraw/zinc/90/29/46/837902946.db2.gz RKQDBRJXOSWROW-GOSISDBHSA-N 1 2 322.412 1.781 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N(C)CC[NH2+]Cc1ncc(C)o1 ZINC001267436173 838044575 /nfs/dbraw/zinc/04/45/75/838044575.db2.gz CJZKRGGCVGYTJT-CQSZACIVSA-N 1 2 322.409 1.002 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2ocnc2C2CC2)C1 ZINC001267617574 838496797 /nfs/dbraw/zinc/49/67/97/838496797.db2.gz PZZVDVDSFZYZRJ-CQSZACIVSA-N 1 2 317.389 1.396 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2ocnc2C2CC2)C1 ZINC001267617574 838496799 /nfs/dbraw/zinc/49/67/99/838496799.db2.gz PZZVDVDSFZYZRJ-CQSZACIVSA-N 1 2 317.389 1.396 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)C(C)(C)C(C)(F)F)CC1 ZINC001267618089 838500029 /nfs/dbraw/zinc/50/00/29/838500029.db2.gz KGHGFFNIUUULQO-UHFFFAOYSA-N 1 2 315.408 1.377 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)[C@@H]2COc3ccccc32)C1 ZINC001267672496 838619371 /nfs/dbraw/zinc/61/93/71/838619371.db2.gz BFICSEMMICBKTI-OAHLLOKOSA-N 1 2 302.374 1.166 20 30 DDEDLO C=C(C)C[NH+]1CC(CNC(=O)CCS(=O)(=O)CC(C)C)C1 ZINC001267685252 838638649 /nfs/dbraw/zinc/63/86/49/838638649.db2.gz CFYJWKJKNNXSPP-UHFFFAOYSA-N 1 2 316.467 1.071 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2nc(C)sc2C)C1 ZINC001267741886 838813696 /nfs/dbraw/zinc/81/36/96/838813696.db2.gz QIJNODZUWLNSAD-SMDDNHRTSA-N 1 2 321.446 1.489 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)sc2C)C1 ZINC001267741886 838813708 /nfs/dbraw/zinc/81/37/08/838813708.db2.gz QIJNODZUWLNSAD-SMDDNHRTSA-N 1 2 321.446 1.489 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@H](C)CC)C1 ZINC001267747493 838834413 /nfs/dbraw/zinc/83/44/13/838834413.db2.gz WMEVHLNXFWMTSS-ZIAGYGMSSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@H](C)CC)C1 ZINC001267747493 838834424 /nfs/dbraw/zinc/83/44/24/838834424.db2.gz WMEVHLNXFWMTSS-ZIAGYGMSSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@@H]1CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001267781350 838966729 /nfs/dbraw/zinc/96/67/29/838966729.db2.gz GJFDLYYXKMOKOF-HUUCEWRRSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@@H]1CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001267781350 838966734 /nfs/dbraw/zinc/96/67/34/838966734.db2.gz GJFDLYYXKMOKOF-HUUCEWRRSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001268025858 839425026 /nfs/dbraw/zinc/42/50/26/839425026.db2.gz KSQPWBPRISWUHB-CHWSQXEVSA-N 1 2 320.380 1.297 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001268025858 839425032 /nfs/dbraw/zinc/42/50/32/839425032.db2.gz KSQPWBPRISWUHB-CHWSQXEVSA-N 1 2 320.380 1.297 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H](C)Oc2ccccc2)C1 ZINC001268030113 839448790 /nfs/dbraw/zinc/44/87/90/839448790.db2.gz MUMMEXVPMGULPZ-NVXWUHKLSA-N 1 2 318.417 1.847 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H](C)Oc2ccccc2)C1 ZINC001268030113 839448796 /nfs/dbraw/zinc/44/87/96/839448796.db2.gz MUMMEXVPMGULPZ-NVXWUHKLSA-N 1 2 318.417 1.847 20 30 DDEDLO C=CC1(CC(=O)NCC2C[NH+](CC(=O)N(C)C)C2)CCCCC1 ZINC001268888160 840949542 /nfs/dbraw/zinc/94/95/42/840949542.db2.gz OKMWZKCPXVIHGH-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCCN2C(=O)CCc2[nH]cc[nH+]2)C1=O ZINC001269175113 841296282 /nfs/dbraw/zinc/29/62/82/841296282.db2.gz HUDMMPCYTMSMSX-KRWDZBQOSA-N 1 2 316.405 1.512 20 30 DDEDLO CN(C(=O)C#CC1CC1)[C@H]1CC[N@H+](Cc2ccc(F)cn2)C1 ZINC001269240266 841404471 /nfs/dbraw/zinc/40/44/71/841404471.db2.gz KGMXPBDPAWYTKR-INIZCTEOSA-N 1 2 301.365 1.667 20 30 DDEDLO CN(C(=O)C#CC1CC1)[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001269240266 841404476 /nfs/dbraw/zinc/40/44/76/841404476.db2.gz KGMXPBDPAWYTKR-INIZCTEOSA-N 1 2 301.365 1.667 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001269263162 841440836 /nfs/dbraw/zinc/44/08/36/841440836.db2.gz ORFPBHROZASYOG-HNNXBMFYSA-N 1 2 323.481 1.894 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001269263162 841440843 /nfs/dbraw/zinc/44/08/43/841440843.db2.gz ORFPBHROZASYOG-HNNXBMFYSA-N 1 2 323.481 1.894 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CC[N@@H+]1CCNC(=O)NC(C)C ZINC001269285154 841466735 /nfs/dbraw/zinc/46/67/35/841466735.db2.gz IEKSXSIWELPCKT-RHSMWYFYSA-N 1 2 324.469 1.487 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CC[N@H+]1CCNC(=O)NC(C)C ZINC001269285154 841466737 /nfs/dbraw/zinc/46/67/37/841466737.db2.gz IEKSXSIWELPCKT-RHSMWYFYSA-N 1 2 324.469 1.487 20 30 DDEDLO CCCCCCC(=O)N(C)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269292540 841479547 /nfs/dbraw/zinc/47/95/47/841479547.db2.gz NWKLQXWWKPSUPY-AWEZNQCLSA-N 1 2 308.426 1.129 20 30 DDEDLO CCCCCCC(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269292540 841479550 /nfs/dbraw/zinc/47/95/50/841479550.db2.gz NWKLQXWWKPSUPY-AWEZNQCLSA-N 1 2 308.426 1.129 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)C(C)(C)C(C)(F)F ZINC001269337035 841536990 /nfs/dbraw/zinc/53/69/90/841536990.db2.gz GLWBURGUWADCNU-LBPRGKRZSA-N 1 2 302.365 1.508 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)C(C)(C)C(C)(F)F ZINC001269337035 841536994 /nfs/dbraw/zinc/53/69/94/841536994.db2.gz GLWBURGUWADCNU-LBPRGKRZSA-N 1 2 302.365 1.508 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)COc1ccccc1Cl ZINC001269343041 841542298 /nfs/dbraw/zinc/54/22/98/841542298.db2.gz HLXBFLMUGQGSQL-CYBMUJFWSA-N 1 2 306.793 1.933 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)COc1ccccc1Cl ZINC001269343041 841542302 /nfs/dbraw/zinc/54/23/02/841542302.db2.gz HLXBFLMUGQGSQL-CYBMUJFWSA-N 1 2 306.793 1.933 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@H](C)Cc1ccc(OC)cc1 ZINC001269352597 841560992 /nfs/dbraw/zinc/56/09/92/841560992.db2.gz VFSULHQLGMTUJN-ZBFHGGJFSA-N 1 2 300.402 1.698 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H](C)Cc1ccc(OC)cc1 ZINC001269352597 841560999 /nfs/dbraw/zinc/56/09/99/841560999.db2.gz VFSULHQLGMTUJN-ZBFHGGJFSA-N 1 2 300.402 1.698 20 30 DDEDLO N#Cc1ccccc1C(=O)N1CC2(C1)C[NH+](Cc1cn[nH]c1)C2 ZINC001269400875 841603899 /nfs/dbraw/zinc/60/38/99/841603899.db2.gz RHSMITUIFVUEKC-UHFFFAOYSA-N 1 2 307.357 1.239 20 30 DDEDLO CC#CCCCC(=O)NCC1([NH2+][C@@H](C)c2nc(C)no2)CC1 ZINC001269468919 841668475 /nfs/dbraw/zinc/66/84/75/841668475.db2.gz OCELQSAOJXRPDU-LBPRGKRZSA-N 1 2 304.394 1.871 20 30 DDEDLO C#CCN1CC[C@@]2(CCCN2C(=O)c2cccc3[nH+]ccn32)C1=O ZINC001269484948 841682545 /nfs/dbraw/zinc/68/25/45/841682545.db2.gz IXYBKIBZFAPULJ-SFHVURJKSA-N 1 2 322.368 1.175 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCCN2C(=O)CCc2c[nH]c[nH+]2)C1=O ZINC001269660662 841909415 /nfs/dbraw/zinc/90/94/15/841909415.db2.gz AHSQLYKMPCFGQI-QGZVFWFLSA-N 1 2 316.405 1.512 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCCN2C(=O)CCc2c[nH+]c[nH]2)C1=O ZINC001269660662 841909418 /nfs/dbraw/zinc/90/94/18/841909418.db2.gz AHSQLYKMPCFGQI-QGZVFWFLSA-N 1 2 316.405 1.512 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)c1 ZINC001269715442 841961718 /nfs/dbraw/zinc/96/17/18/841961718.db2.gz RKRNNNYVNQYKDW-UHFFFAOYSA-N 1 2 306.369 1.844 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(C)no1 ZINC001270254653 842429682 /nfs/dbraw/zinc/42/96/82/842429682.db2.gz XNSKHDJPSYSLPY-CQSZACIVSA-N 1 2 304.394 1.652 20 30 DDEDLO CC(C)CCCCC(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001270530650 842644687 /nfs/dbraw/zinc/64/46/87/842644687.db2.gz YDSSTMOHPQJJLO-QGZVFWFLSA-N 1 2 320.481 1.945 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@](C)(NC(=O)c2occc2C)C1 ZINC001270539384 842652216 /nfs/dbraw/zinc/65/22/16/842652216.db2.gz VRFOLTMMERFKJC-INIZCTEOSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@](C)(NC(=O)c2occc2C)C1 ZINC001270539384 842652225 /nfs/dbraw/zinc/65/22/25/842652225.db2.gz VRFOLTMMERFKJC-INIZCTEOSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@](C)(NC(=O)c2coc(C)c2)C1 ZINC001270577920 842681404 /nfs/dbraw/zinc/68/14/04/842681404.db2.gz UOAQRMOTLFYVIE-INIZCTEOSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@](C)(NC(=O)c2coc(C)c2)C1 ZINC001270577920 842681414 /nfs/dbraw/zinc/68/14/14/842681414.db2.gz UOAQRMOTLFYVIE-INIZCTEOSA-N 1 2 305.378 1.084 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@H+](CCc2ccns2)CCO1 ZINC001270639919 842767486 /nfs/dbraw/zinc/76/74/86/842767486.db2.gz ZWNYRTKCCRMHGX-CQSZACIVSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@@H+](CCc2ccns2)CCO1 ZINC001270639919 842767491 /nfs/dbraw/zinc/76/74/91/842767491.db2.gz ZWNYRTKCCRMHGX-CQSZACIVSA-N 1 2 321.446 1.306 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C2(c3ccccn3)CC2)C1 ZINC001270648721 842773266 /nfs/dbraw/zinc/77/32/66/842773266.db2.gz CSBRXIQYGHJJLL-AWEZNQCLSA-N 1 2 301.390 1.116 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C2(c3ccccn3)CC2)C1 ZINC001270648721 842773272 /nfs/dbraw/zinc/77/32/72/842773272.db2.gz CSBRXIQYGHJJLL-AWEZNQCLSA-N 1 2 301.390 1.116 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@@H]2CCN(C(=O)CCCC#N)[C@@H]2C1 ZINC001271252962 843446889 /nfs/dbraw/zinc/44/68/89/843446889.db2.gz UBAZQOOXEQKQKQ-LSDHHAIUSA-N 1 2 315.421 1.630 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@@H]2CCN(C(=O)CCCC#N)[C@@H]2C1 ZINC001271252962 843446893 /nfs/dbraw/zinc/44/68/93/843446893.db2.gz UBAZQOOXEQKQKQ-LSDHHAIUSA-N 1 2 315.421 1.630 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1(O)C[NH+](C[C@H]2CC(C)(C)CO2)C1 ZINC001271342804 843508801 /nfs/dbraw/zinc/50/88/01/843508801.db2.gz DFACSSOCKPIGIP-LSDHHAIUSA-N 1 2 324.465 1.567 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1(O)C[NH+](C[C@@H]2CC(C)(C)CO2)C1 ZINC001271342802 843509399 /nfs/dbraw/zinc/50/93/99/843509399.db2.gz DFACSSOCKPIGIP-GJZGRUSLSA-N 1 2 324.465 1.567 20 30 DDEDLO C[C@H]1CCCN(C(=O)CCc2c[nH+]cn2C)[C@@H]1CNCC#N ZINC001271750270 843838286 /nfs/dbraw/zinc/83/82/86/843838286.db2.gz JBGPSNBMBZTVSJ-DZGCQCFKSA-N 1 2 303.410 1.093 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nccc(C)n2)CCOCC1 ZINC001149316819 861542854 /nfs/dbraw/zinc/54/28/54/861542854.db2.gz BMCJQGZFJGKFQK-UHFFFAOYSA-N 1 2 318.421 1.364 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2cncc(F)c2)CCCO1 ZINC001149408966 861598844 /nfs/dbraw/zinc/59/88/44/861598844.db2.gz SHPNBAGGTXDOHS-SWLSCSKDSA-N 1 2 320.368 1.087 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2cncc(F)c2)CCCO1 ZINC001149408966 861598854 /nfs/dbraw/zinc/59/88/54/861598854.db2.gz SHPNBAGGTXDOHS-SWLSCSKDSA-N 1 2 320.368 1.087 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001299220184 846406355 /nfs/dbraw/zinc/40/63/55/846406355.db2.gz BPZWFKJXXVLPEU-CYBMUJFWSA-N 1 2 304.394 1.250 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001409914474 846592240 /nfs/dbraw/zinc/59/22/40/846592240.db2.gz MNWYOCYEFUOUDY-YPMHNXCESA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1ccn(C)n1)C2 ZINC001272734879 846736606 /nfs/dbraw/zinc/73/66/06/846736606.db2.gz FYNAEEPAQWUVLD-KRWDZBQOSA-N 1 2 318.421 1.047 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)COCC[N@H+](Cc1ccn(C)n1)C2 ZINC001272734879 846736612 /nfs/dbraw/zinc/73/66/12/846736612.db2.gz FYNAEEPAQWUVLD-KRWDZBQOSA-N 1 2 318.421 1.047 20 30 DDEDLO C#CCN1c2ccccc2[C@@]2(CC[N@H+](Cc3csnn3)C2)C1=O ZINC001272780687 847418519 /nfs/dbraw/zinc/41/85/19/847418519.db2.gz MOANITIALIFDFY-KRWDZBQOSA-N 1 2 324.409 1.662 20 30 DDEDLO C#CCN1c2ccccc2[C@@]2(CC[N@@H+](Cc3csnn3)C2)C1=O ZINC001272780687 847418526 /nfs/dbraw/zinc/41/85/26/847418526.db2.gz MOANITIALIFDFY-KRWDZBQOSA-N 1 2 324.409 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CC2(O)CCC2)C1 ZINC001149708113 861833950 /nfs/dbraw/zinc/83/39/50/861833950.db2.gz PCLVNVYKFQWAAA-ZDUSSCGKSA-N 1 2 316.829 1.251 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CC2(O)CCC2)C1 ZINC001149708113 861833968 /nfs/dbraw/zinc/83/39/68/861833968.db2.gz PCLVNVYKFQWAAA-ZDUSSCGKSA-N 1 2 316.829 1.251 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)C1 ZINC001273134132 847979315 /nfs/dbraw/zinc/97/93/15/847979315.db2.gz CSPIEUKSBCBYBC-GASCZTMLSA-N 1 2 300.406 1.941 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)C1 ZINC001273134132 847979319 /nfs/dbraw/zinc/97/93/19/847979319.db2.gz CSPIEUKSBCBYBC-GASCZTMLSA-N 1 2 300.406 1.941 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C1 ZINC001410017296 848623631 /nfs/dbraw/zinc/62/36/31/848623631.db2.gz ULLTXLCMXCLDSW-OCCSQVGLSA-N 1 2 322.453 1.277 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C1 ZINC001410017296 848623637 /nfs/dbraw/zinc/62/36/37/848623637.db2.gz ULLTXLCMXCLDSW-OCCSQVGLSA-N 1 2 322.453 1.277 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1cnc(Cl)nc1 ZINC001273278081 849325966 /nfs/dbraw/zinc/32/59/66/849325966.db2.gz PYXMCUBKVUQTBD-CHWSQXEVSA-N 1 2 306.797 1.881 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cnc(Cl)nc1 ZINC001273278081 849325975 /nfs/dbraw/zinc/32/59/75/849325975.db2.gz PYXMCUBKVUQTBD-CHWSQXEVSA-N 1 2 306.797 1.881 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001410619051 849549133 /nfs/dbraw/zinc/54/91/33/849549133.db2.gz DVPASTODAFXBQL-LLVKDONJSA-N 1 2 324.812 1.448 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]12CCC[C@H]1[N@H+](Cc1nccs1)CC2 ZINC001410883651 849906778 /nfs/dbraw/zinc/90/67/78/849906778.db2.gz MJJCDRMORRVJQC-JMSVASOKSA-N 1 2 304.419 1.916 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]12CCC[C@H]1[N@@H+](Cc1nccs1)CC2 ZINC001410883651 849906786 /nfs/dbraw/zinc/90/67/86/849906786.db2.gz MJJCDRMORRVJQC-JMSVASOKSA-N 1 2 304.419 1.916 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2nnc(C)s2)CC1 ZINC001273399838 849916800 /nfs/dbraw/zinc/91/68/00/849916800.db2.gz ORFSHOICUAKUFU-CYBMUJFWSA-N 1 2 324.450 1.520 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2nnc(C)s2)CC1 ZINC001273399838 849916804 /nfs/dbraw/zinc/91/68/04/849916804.db2.gz ORFSHOICUAKUFU-CYBMUJFWSA-N 1 2 324.450 1.520 20 30 DDEDLO C#CCCC[N@H+]1C[C@]2(F)CN(CC(F)(F)F)C(=O)[C@]2(F)C1 ZINC001273538218 851073255 /nfs/dbraw/zinc/07/32/55/851073255.db2.gz RUXIIJMOVZXHCV-NWDGAFQWSA-N 1 2 310.266 1.537 20 30 DDEDLO C#CCCC[N@@H+]1C[C@]2(F)CN(CC(F)(F)F)C(=O)[C@]2(F)C1 ZINC001273538218 851073264 /nfs/dbraw/zinc/07/32/64/851073264.db2.gz RUXIIJMOVZXHCV-NWDGAFQWSA-N 1 2 310.266 1.537 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)CO[C@@H](C)CC)O2 ZINC001273653915 851187024 /nfs/dbraw/zinc/18/70/24/851187024.db2.gz CZWMGRCRMIJSDL-GJZGRUSLSA-N 1 2 310.438 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](OC)C1CCCC1)CO2 ZINC001273725139 851276335 /nfs/dbraw/zinc/27/63/35/851276335.db2.gz UVCFKLWYWGURGA-HOTGVXAUSA-N 1 2 322.449 1.727 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1(C(C)(F)F)CC1)CO2 ZINC001273725153 851276357 /nfs/dbraw/zinc/27/63/57/851276357.db2.gz VACWIKFNXUSICV-LBPRGKRZSA-N 1 2 312.360 1.405 20 30 DDEDLO Cc1nonc1C[NH+]1CCC2(C[C@H]2C(=O)NCCCC#N)CC1 ZINC001273991110 851788460 /nfs/dbraw/zinc/78/84/60/851788460.db2.gz WFUMJQBEPNZLPJ-ZDUSSCGKSA-N 1 2 317.393 1.400 20 30 DDEDLO N#CCCC[NH+]1CC2(C1)COCC(=O)N2CCC(F)(F)F ZINC001274040554 851876279 /nfs/dbraw/zinc/87/62/79/851876279.db2.gz URNSEOOFHCETBQ-UHFFFAOYSA-N 1 2 305.300 1.156 20 30 DDEDLO C=CCOC(=O)N1CCC[C@]12CCN(Cc1c[nH+]cn1C)C2=O ZINC001274347751 852188456 /nfs/dbraw/zinc/18/84/56/852188456.db2.gz VOQJIINJHLKSFT-MRXNPFEDSA-N 1 2 318.377 1.310 20 30 DDEDLO C#CCC[N@@H+]1Cc2ccc(CNC(=O)CCc3nc[nH]n3)cc2C1 ZINC001327684908 862387405 /nfs/dbraw/zinc/38/74/05/862387405.db2.gz IWLIKBYOZQUIEX-UHFFFAOYSA-N 1 2 323.400 1.393 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](C)Cc1nc(C)cs1 ZINC001275819516 853815671 /nfs/dbraw/zinc/81/56/71/853815671.db2.gz SJXCSBUONKDNII-IUODEOHRSA-N 1 2 311.451 1.715 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](C)Cc1nc(C)cs1 ZINC001275819516 853815675 /nfs/dbraw/zinc/81/56/75/853815675.db2.gz SJXCSBUONKDNII-IUODEOHRSA-N 1 2 311.451 1.715 20 30 DDEDLO C=C(C)CCC(=O)NC1(C)CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001073127733 858123330 /nfs/dbraw/zinc/12/33/30/858123330.db2.gz YBQKNSVCAFTUPE-UHFFFAOYSA-N 1 2 318.421 1.737 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1(C)C ZINC001073343231 858280645 /nfs/dbraw/zinc/28/06/45/858280645.db2.gz MPEDEAZHDBEWBU-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cc(C)n[nH]2)C1 ZINC001073545333 858428139 /nfs/dbraw/zinc/42/81/39/858428139.db2.gz NOWLMSVMCVKAGW-LBPRGKRZSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cc(C)n[nH]2)C1 ZINC001073545333 858428142 /nfs/dbraw/zinc/42/81/42/858428142.db2.gz NOWLMSVMCVKAGW-LBPRGKRZSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ocnc2C)C1 ZINC001073565182 858444719 /nfs/dbraw/zinc/44/47/19/858444719.db2.gz BILUBYRHPBKSMP-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ocnc2C)C1 ZINC001073565182 858444720 /nfs/dbraw/zinc/44/47/20/858444720.db2.gz BILUBYRHPBKSMP-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C=CCn1c(N2CC=CCC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001121707631 858578092 /nfs/dbraw/zinc/57/80/92/858578092.db2.gz AJKHXFOKCPKXNN-BBRMVZONSA-N 1 2 303.410 1.142 20 30 DDEDLO C=CCn1c(N2CC=CCC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121707631 858578098 /nfs/dbraw/zinc/57/80/98/858578098.db2.gz AJKHXFOKCPKXNN-BBRMVZONSA-N 1 2 303.410 1.142 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3nccs3)n2CC)CC1 ZINC001121770802 858593119 /nfs/dbraw/zinc/59/31/19/858593119.db2.gz ULNULYHYGDSGPK-UHFFFAOYSA-N 1 2 302.407 1.177 20 30 DDEDLO C#CCCC[N@H+]1Cc2ccnn2CC[C@@H]1C(=O)Nc1cn[nH]c1 ZINC001276972841 881399171 /nfs/dbraw/zinc/39/91/71/881399171.db2.gz FLAGQJHCCQOBQQ-OAHLLOKOSA-N 1 2 312.377 1.233 20 30 DDEDLO C#CCCC[N@@H+]1Cc2ccnn2CC[C@@H]1C(=O)Nc1cn[nH]c1 ZINC001276972841 881399186 /nfs/dbraw/zinc/39/91/86/881399186.db2.gz FLAGQJHCCQOBQQ-OAHLLOKOSA-N 1 2 312.377 1.233 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H](O)CNc2cc[nH+]c(C)n2)CC1 ZINC001124726791 859794486 /nfs/dbraw/zinc/79/44/86/859794486.db2.gz BESUAGBRUVNSBB-HNNXBMFYSA-N 1 2 318.421 1.763 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCn3ncc(Br)c3C2)cn1 ZINC001140547611 860654214 /nfs/dbraw/zinc/65/42/14/860654214.db2.gz WQMBRHBGQVLEHU-UHFFFAOYSA-N 1 2 318.178 1.928 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCn3ncc(Br)c3C2)cn1 ZINC001140547611 860654220 /nfs/dbraw/zinc/65/42/20/860654220.db2.gz WQMBRHBGQVLEHU-UHFFFAOYSA-N 1 2 318.178 1.928 20 30 DDEDLO C=CCCCC(=O)N[C@@]1(CO)CCC[N@@H+](Cc2cncn2C)C1 ZINC001325845543 860879966 /nfs/dbraw/zinc/87/99/66/860879966.db2.gz DCQXCRQWMHSVPS-KRWDZBQOSA-N 1 2 320.437 1.220 20 30 DDEDLO C=CCCCC(=O)N[C@@]1(CO)CCC[N@H+](Cc2cncn2C)C1 ZINC001325845543 860879977 /nfs/dbraw/zinc/87/99/77/860879977.db2.gz DCQXCRQWMHSVPS-KRWDZBQOSA-N 1 2 320.437 1.220 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2ccc(OC)c(OC)c2)CC1 ZINC000242848280 861019458 /nfs/dbraw/zinc/01/94/58/861019458.db2.gz FYCGTFBGNPCOKH-UHFFFAOYSA-N 1 2 304.390 1.577 20 30 DDEDLO CCCc1nc(C[NH2+]CCCNC(=O)c2c[nH]c(C#N)c2)no1 ZINC001156841941 863389933 /nfs/dbraw/zinc/38/99/33/863389933.db2.gz XCDYHLKXDJUEIG-UHFFFAOYSA-N 1 2 316.365 1.132 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1C ZINC001157213647 863656324 /nfs/dbraw/zinc/65/63/24/863656324.db2.gz OUYJHVXUZMKXDK-CQSZACIVSA-N 1 2 308.426 1.339 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1C ZINC001157213647 863656332 /nfs/dbraw/zinc/65/63/32/863656332.db2.gz OUYJHVXUZMKXDK-CQSZACIVSA-N 1 2 308.426 1.339 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)CCCc2cccnc2)C1 ZINC001329706392 863801557 /nfs/dbraw/zinc/80/15/57/863801557.db2.gz XCKJKXTVLWGOLV-UHFFFAOYSA-N 1 2 317.433 1.533 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CCN1Cc1c[nH+]cn1C ZINC001204381776 863841232 /nfs/dbraw/zinc/84/12/32/863841232.db2.gz CZRXFLQQMNMXEL-OAHLLOKOSA-N 1 2 316.409 1.334 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H](C)[N@H+](CC)Cc2nccn2C)CCC1 ZINC001153773623 864086456 /nfs/dbraw/zinc/08/64/56/864086456.db2.gz XVWHCTAPOUNULS-OAHLLOKOSA-N 1 2 316.449 1.940 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2nccn2C)CCC1 ZINC001153773623 864086471 /nfs/dbraw/zinc/08/64/71/864086471.db2.gz XVWHCTAPOUNULS-OAHLLOKOSA-N 1 2 316.449 1.940 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC)c1ccccc1 ZINC001153814872 864129616 /nfs/dbraw/zinc/12/96/16/864129616.db2.gz BGJNDSYOQGETDK-CABCVRRESA-N 1 2 312.373 1.153 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC)c1ccccc1 ZINC001153814872 864129624 /nfs/dbraw/zinc/12/96/24/864129624.db2.gz BGJNDSYOQGETDK-CABCVRRESA-N 1 2 312.373 1.153 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2cocc2C)C(C)(C)C1 ZINC001330222661 864168800 /nfs/dbraw/zinc/16/88/00/864168800.db2.gz QLHCEZPJIYCCDS-AWEZNQCLSA-N 1 2 319.405 1.330 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2cocc2C)C(C)(C)C1 ZINC001330222661 864168805 /nfs/dbraw/zinc/16/88/05/864168805.db2.gz QLHCEZPJIYCCDS-AWEZNQCLSA-N 1 2 319.405 1.330 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2nc3c(cc2C#N)CCCC3)C[NH2+]1 ZINC001158257034 864518973 /nfs/dbraw/zinc/51/89/73/864518973.db2.gz VGQMPHOJRZJCFN-OCCSQVGLSA-N 1 2 300.362 1.147 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(c3ncc4c(C#N)c[nH]c4n3)C2)C[C@H](C)O1 ZINC001158727528 864861286 /nfs/dbraw/zinc/86/12/86/864861286.db2.gz MGNMPPZDNZFXOT-PHIMTYICSA-N 1 2 312.377 1.127 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(c3ncc4c(C#N)c[nH]c4n3)C2)C[C@H](C)O1 ZINC001158727528 864861303 /nfs/dbraw/zinc/86/13/03/864861303.db2.gz MGNMPPZDNZFXOT-PHIMTYICSA-N 1 2 312.377 1.127 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCCN(c2cc(N(C)C)[nH+]cn2)C1 ZINC001158907089 864969434 /nfs/dbraw/zinc/96/94/34/864969434.db2.gz NFWFDPJUPSOKBV-LBPRGKRZSA-N 1 2 305.382 1.424 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCCN(c2cc(N(C)C)nc[nH+]2)C1 ZINC001158907089 864969443 /nfs/dbraw/zinc/96/94/43/864969443.db2.gz NFWFDPJUPSOKBV-LBPRGKRZSA-N 1 2 305.382 1.424 20 30 DDEDLO C=C(C)CCC(=O)NCCC[NH2+]Cc1nsc(N(C)C)n1 ZINC001159014569 865044202 /nfs/dbraw/zinc/04/42/02/865044202.db2.gz CNEOFRBHDKRHQY-UHFFFAOYSA-N 1 2 311.455 1.556 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCCC[NH2+]Cc1noc(CC)n1)OCC ZINC001159143491 865127963 /nfs/dbraw/zinc/12/79/63/865127963.db2.gz ZAYIPIJWDDNTIJ-CYBMUJFWSA-N 1 2 324.425 1.599 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1ncc(C#N)cc1F ZINC001160697268 866041187 /nfs/dbraw/zinc/04/11/87/866041187.db2.gz UQORRIHTFNFEGC-GFCCVEGCSA-N 1 2 303.297 1.022 20 30 DDEDLO C#CCCN1CCC[C@@H](Nc2cc(N(CC)CC)nc[nH+]2)C1=O ZINC001160899943 866175820 /nfs/dbraw/zinc/17/58/20/866175820.db2.gz QJTNRJCWQOAHKL-CQSZACIVSA-N 1 2 315.421 1.749 20 30 DDEDLO C#CCCN1CCC[C@@H](Nc2cc(N(CC)CC)[nH+]cn2)C1=O ZINC001160899943 866175825 /nfs/dbraw/zinc/17/58/25/866175825.db2.gz QJTNRJCWQOAHKL-CQSZACIVSA-N 1 2 315.421 1.749 20 30 DDEDLO C#CC[N@H+](CC1CC1)Cn1cc(I)cn1 ZINC001322961553 866219512 /nfs/dbraw/zinc/21/95/12/866219512.db2.gz IXHLFEWICZZRLT-UHFFFAOYSA-N 1 2 315.158 1.790 20 30 DDEDLO C#CC[N@@H+](CC1CC1)Cn1cc(I)cn1 ZINC001322961553 866219532 /nfs/dbraw/zinc/21/95/32/866219532.db2.gz IXHLFEWICZZRLT-UHFFFAOYSA-N 1 2 315.158 1.790 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001323273560 866492797 /nfs/dbraw/zinc/49/27/97/866492797.db2.gz ROCZZISBMCMXFY-WBVHZDCISA-N 1 2 321.421 1.902 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001323273560 866492803 /nfs/dbraw/zinc/49/28/03/866492803.db2.gz ROCZZISBMCMXFY-WBVHZDCISA-N 1 2 321.421 1.902 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1(CC)CCCC1 ZINC001323325976 866530575 /nfs/dbraw/zinc/53/05/75/866530575.db2.gz XOOPQBDZKVLSLP-HUUCEWRRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1(CC)CCCC1 ZINC001323325976 866530580 /nfs/dbraw/zinc/53/05/80/866530580.db2.gz XOOPQBDZKVLSLP-HUUCEWRRSA-N 1 2 321.465 1.838 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(c4ncccc4F)C3)C2)cc1C#N ZINC001277069526 882011015 /nfs/dbraw/zinc/01/10/15/882011015.db2.gz GXTSPRQFJQXSDR-UHFFFAOYSA-N 1 2 311.364 1.753 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2c(C)cc(=O)oc2C)C1 ZINC001323955223 866974914 /nfs/dbraw/zinc/97/49/14/866974914.db2.gz RGHDMFTZMARSAV-UHFFFAOYSA-N 1 2 320.389 1.215 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1CC(CNC(=O)Cn2cc[nH+]c2)C1 ZINC001333772349 866999417 /nfs/dbraw/zinc/99/94/17/866999417.db2.gz KBVAPCKWQNAOAZ-MQBCKMQZSA-N 1 2 318.421 1.496 20 30 DDEDLO C=CCCCC(=O)NC1(C)CC[NH+](Cc2cnn(C)n2)CC1 ZINC001324329063 867208329 /nfs/dbraw/zinc/20/83/29/867208329.db2.gz MFEPVELUADRMGA-UHFFFAOYSA-N 1 2 305.426 1.642 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C/C=C/CNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001321026415 867229915 /nfs/dbraw/zinc/22/99/15/867229915.db2.gz CGKFZLIQTCZPIX-HMDXOVGESA-N 1 2 314.349 1.215 20 30 DDEDLO C=CC(C)(C)CC(=O)NC/C=C\C[NH2+][C@H](C)c1nnnn1C ZINC001321078613 867292079 /nfs/dbraw/zinc/29/20/79/867292079.db2.gz YTRVNDAEQFEHPR-QDZRJHCZSA-N 1 2 306.414 1.135 20 30 DDEDLO C#CCCCCCC(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001324561199 867359202 /nfs/dbraw/zinc/35/92/02/867359202.db2.gz USEJOHAROFTVBF-KRWDZBQOSA-N 1 2 316.449 1.312 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@]1(C)CC[N@H+](CC(=O)NCC#N)C1 ZINC001324572496 867365028 /nfs/dbraw/zinc/36/50/28/867365028.db2.gz HGXWPLXPQJEUIL-RHSMWYFYSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@]1(C)CC[N@@H+](CC(=O)NCC#N)C1 ZINC001324572496 867365038 /nfs/dbraw/zinc/36/50/38/867365038.db2.gz HGXWPLXPQJEUIL-RHSMWYFYSA-N 1 2 322.453 1.423 20 30 DDEDLO CC#CCCCC(=O)N[C@]1(C)CC[N@H+](Cc2ncccn2)C1 ZINC001324642535 867423979 /nfs/dbraw/zinc/42/39/79/867423979.db2.gz XGQKHVIXCVDYAH-QGZVFWFLSA-N 1 2 300.406 1.751 20 30 DDEDLO CC#CCCCC(=O)N[C@]1(C)CC[N@@H+](Cc2ncccn2)C1 ZINC001324642535 867423988 /nfs/dbraw/zinc/42/39/88/867423988.db2.gz XGQKHVIXCVDYAH-QGZVFWFLSA-N 1 2 300.406 1.751 20 30 DDEDLO C=CCn1cnnc1S[C@H](C)C(=O)N1CC[NH+](CC)CC1 ZINC001321490988 867625214 /nfs/dbraw/zinc/62/52/14/867625214.db2.gz IVNMUTLTVBAAAS-GFCCVEGCSA-N 1 2 309.439 1.109 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CO[C@@H]2CCC[C@@H](C)C2)C1 ZINC001325286163 867913928 /nfs/dbraw/zinc/91/39/28/867913928.db2.gz UHBZJRGKEWDGPW-HUUCEWRRSA-N 1 2 310.438 1.321 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2ccc(OCC)cc2C1 ZINC001322119300 867986568 /nfs/dbraw/zinc/98/65/68/867986568.db2.gz AGOHVFOCKVXBCO-UHFFFAOYSA-N 1 2 317.389 1.455 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2ccc(OCC)cc2C1 ZINC001322119300 867986573 /nfs/dbraw/zinc/98/65/73/867986573.db2.gz AGOHVFOCKVXBCO-UHFFFAOYSA-N 1 2 317.389 1.455 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001335124725 868081915 /nfs/dbraw/zinc/08/19/15/868081915.db2.gz BVZSJRPIKMHMPR-YVEFUNNKSA-N 1 2 318.421 1.518 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(-c2cc(Cl)ncc2C#N)cn1 ZINC001163411437 868430652 /nfs/dbraw/zinc/43/06/52/868430652.db2.gz HRUCXOFYOYBUIC-NSHDSACASA-N 1 2 319.752 1.225 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001163953600 868821734 /nfs/dbraw/zinc/82/17/34/868821734.db2.gz XDDGPQWVVYMZMH-UHFFFAOYSA-N 1 2 310.398 1.067 20 30 DDEDLO N#CCNCC1(CCNC(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC001164600451 869318877 /nfs/dbraw/zinc/31/88/77/869318877.db2.gz PRCUGQWLESJYAT-UHFFFAOYSA-N 1 2 315.421 1.162 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1CC[N@@H+](Cc2ccnc(Cl)c2)C1 ZINC001316975283 870036901 /nfs/dbraw/zinc/03/69/01/870036901.db2.gz BPNHAVMNTOMLIF-AWEZNQCLSA-N 1 2 303.793 1.839 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1CC[N@H+](Cc2ccnc(Cl)c2)C1 ZINC001316975283 870036915 /nfs/dbraw/zinc/03/69/15/870036915.db2.gz BPNHAVMNTOMLIF-AWEZNQCLSA-N 1 2 303.793 1.839 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2cc(F)cc(F)c2)C1 ZINC001316992876 870088471 /nfs/dbraw/zinc/08/84/71/870088471.db2.gz JOJKRHZRSGAGGB-CQSZACIVSA-N 1 2 323.343 1.071 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2cc(F)cc(F)c2)C1 ZINC001316992876 870088481 /nfs/dbraw/zinc/08/84/81/870088481.db2.gz JOJKRHZRSGAGGB-CQSZACIVSA-N 1 2 323.343 1.071 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)c1coc(C)c1 ZINC001317171197 870409423 /nfs/dbraw/zinc/40/94/23/870409423.db2.gz PJXFVHORDSZZMU-OAHLLOKOSA-N 1 2 319.405 1.475 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1coc(C)c1 ZINC001317171197 870409429 /nfs/dbraw/zinc/40/94/29/870409429.db2.gz PJXFVHORDSZZMU-OAHLLOKOSA-N 1 2 319.405 1.475 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC ZINC001339240644 870417132 /nfs/dbraw/zinc/41/71/32/870417132.db2.gz PLJXWPGOJYNHRS-XJKSGUPXSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC ZINC001339240644 870417137 /nfs/dbraw/zinc/41/71/37/870417137.db2.gz PLJXWPGOJYNHRS-XJKSGUPXSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCN(c1nnc(C[NH+]2CCC(CO)CC2)n1CC)C1CC1 ZINC001339676800 870625643 /nfs/dbraw/zinc/62/56/43/870625643.db2.gz CBZCCKKETNOUNY-UHFFFAOYSA-N 1 2 319.453 1.657 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC1CC[NH+](Cc2cnns2)CC1 ZINC001226447161 882435912 /nfs/dbraw/zinc/43/59/12/882435912.db2.gz HZPKDUZOHKTIND-GFCCVEGCSA-N 1 2 324.450 1.600 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001339826006 870717220 /nfs/dbraw/zinc/71/72/20/870717220.db2.gz CRSRTUUCJXOUTA-OLZOCXBDSA-N 1 2 304.394 1.155 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CC(C)(OC)C1 ZINC001339879999 870755655 /nfs/dbraw/zinc/75/56/55/870755655.db2.gz KALGTXLEHBSTRT-UHFFFAOYSA-N 1 2 302.382 1.014 20 30 DDEDLO CCn1nnc(C)c1C[N@H+](C)CCNC(=O)C#CC(C)(C)C ZINC001317448449 870857610 /nfs/dbraw/zinc/85/76/10/870857610.db2.gz UFTYKHNDWCVSJS-UHFFFAOYSA-N 1 2 305.426 1.204 20 30 DDEDLO CCn1nnc(C)c1C[N@@H+](C)CCNC(=O)C#CC(C)(C)C ZINC001317448449 870857627 /nfs/dbraw/zinc/85/76/27/870857627.db2.gz UFTYKHNDWCVSJS-UHFFFAOYSA-N 1 2 305.426 1.204 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H]1CNC(=O)c1cscc1C ZINC001317497115 870918822 /nfs/dbraw/zinc/91/88/22/870918822.db2.gz RNCVQGXLSWYONG-ZDUSSCGKSA-N 1 2 319.430 1.000 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)c1cscc1C ZINC001317497115 870918834 /nfs/dbraw/zinc/91/88/34/870918834.db2.gz RNCVQGXLSWYONG-ZDUSSCGKSA-N 1 2 319.430 1.000 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@@](C)(CC)CCC)C1 ZINC001276446972 870984455 /nfs/dbraw/zinc/98/44/55/870984455.db2.gz ASSMYZBORBQWIQ-KSSFIOAISA-N 1 2 321.465 1.389 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc(C)cc(C)n3)n2CC)CC1 ZINC001340273355 871001624 /nfs/dbraw/zinc/00/16/24/871001624.db2.gz JDIYDFJISKMEHW-UHFFFAOYSA-N 1 2 324.432 1.732 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)C(C)(F)F)C1 ZINC001205067344 871350337 /nfs/dbraw/zinc/35/03/37/871350337.db2.gz QUDLNBOVVQDINB-HUUCEWRRSA-N 1 2 324.371 1.902 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)C(C)(F)F)C1 ZINC001205067344 871350359 /nfs/dbraw/zinc/35/03/59/871350359.db2.gz QUDLNBOVVQDINB-HUUCEWRRSA-N 1 2 324.371 1.902 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)/C=C/CC)n2CC)CC1 ZINC001340829469 871374775 /nfs/dbraw/zinc/37/47/75/871374775.db2.gz KXWQPPAIEOKACR-NPQIQWPPSA-N 1 2 303.410 1.053 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1CC[N@H+](Cc2nncs2)C1 ZINC001317778708 871490801 /nfs/dbraw/zinc/49/08/01/871490801.db2.gz LVJGIBDXSVQFTJ-GFCCVEGCSA-N 1 2 310.423 1.069 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1CC[N@@H+](Cc2nncs2)C1 ZINC001317778708 871490803 /nfs/dbraw/zinc/49/08/03/871490803.db2.gz LVJGIBDXSVQFTJ-GFCCVEGCSA-N 1 2 310.423 1.069 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)CC(C)(C)C(C)C)C1 ZINC001309844174 871604122 /nfs/dbraw/zinc/60/41/22/871604122.db2.gz NMEZENGXWJOLDV-CQSZACIVSA-N 1 2 300.468 1.686 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)CC(C)(C)C(C)C)C1 ZINC001309844174 871604126 /nfs/dbraw/zinc/60/41/26/871604126.db2.gz NMEZENGXWJOLDV-CQSZACIVSA-N 1 2 300.468 1.686 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H](C)C2CCCC2)C1 ZINC001317987470 871672162 /nfs/dbraw/zinc/67/21/62/871672162.db2.gz HKULXKUZXRPHRY-HOCLYGCPSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)C2CCCC2)C1 ZINC001317987470 871672164 /nfs/dbraw/zinc/67/21/64/871672164.db2.gz HKULXKUZXRPHRY-HOCLYGCPSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCCC2(C)C)C1 ZINC001318309373 871920781 /nfs/dbraw/zinc/92/07/81/871920781.db2.gz NLGWJVWRUKLSIN-LSDHHAIUSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCCC2(C)C)C1 ZINC001318309373 871920789 /nfs/dbraw/zinc/92/07/89/871920789.db2.gz NLGWJVWRUKLSIN-LSDHHAIUSA-N 1 2 321.465 1.696 20 30 DDEDLO CCc1ncsc1C(=O)N(C)[C@H]1CC[N@H+](CC#CCOC)C1 ZINC001318406740 872006135 /nfs/dbraw/zinc/00/61/35/872006135.db2.gz JNDTWQCVXGLQDJ-ZDUSSCGKSA-N 1 2 321.446 1.502 20 30 DDEDLO CCc1ncsc1C(=O)N(C)[C@H]1CC[N@@H+](CC#CCOC)C1 ZINC001318406740 872006162 /nfs/dbraw/zinc/00/61/62/872006162.db2.gz JNDTWQCVXGLQDJ-ZDUSSCGKSA-N 1 2 321.446 1.502 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@@H]1CC[N@@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001318456924 872069188 /nfs/dbraw/zinc/06/91/88/872069188.db2.gz FGKSEYSWNGVUQT-TZMCWYRMSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@@H]1CC[N@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001318456924 872069205 /nfs/dbraw/zinc/06/92/05/872069205.db2.gz FGKSEYSWNGVUQT-TZMCWYRMSA-N 1 2 322.409 1.564 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@H]1CC1(C)C)C2 ZINC001316810513 872086734 /nfs/dbraw/zinc/08/67/34/872086734.db2.gz WABOAGFUULAKRI-LLVKDONJSA-N 1 2 303.431 1.797 20 30 DDEDLO C#CCCCC(=O)N(C)C1CC[NH+]([C@H](C)c2nncn2C)CC1 ZINC001316933432 872407636 /nfs/dbraw/zinc/40/76/36/872407636.db2.gz XBRVZSHTSLDLTL-CQSZACIVSA-N 1 2 317.437 1.602 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C[C@H]2Cc3ccccc3O2)C1 ZINC001319307643 872558883 /nfs/dbraw/zinc/55/88/83/872558883.db2.gz AIHOOHKBQUHXQN-CVEARBPZSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C[C@H]2Cc3ccccc3O2)C1 ZINC001319307643 872558892 /nfs/dbraw/zinc/55/88/92/872558892.db2.gz AIHOOHKBQUHXQN-CVEARBPZSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](CC)c2c(C)noc2C)C1 ZINC001319321811 872572828 /nfs/dbraw/zinc/57/28/28/872572828.db2.gz FUTZRGAVZJUUCQ-GJZGRUSLSA-N 1 2 321.421 1.788 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H](CC)c2c(C)noc2C)C1 ZINC001319321811 872572839 /nfs/dbraw/zinc/57/28/39/872572839.db2.gz FUTZRGAVZJUUCQ-GJZGRUSLSA-N 1 2 321.421 1.788 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H](CC)c2c(C)noc2C)C1 ZINC001319321804 872573435 /nfs/dbraw/zinc/57/34/35/872573435.db2.gz FUTZRGAVZJUUCQ-CABCVRRESA-N 1 2 321.421 1.788 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H](CC)c2c(C)noc2C)C1 ZINC001319321804 872573444 /nfs/dbraw/zinc/57/34/44/872573444.db2.gz FUTZRGAVZJUUCQ-CABCVRRESA-N 1 2 321.421 1.788 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N1CCO[C@@H](C)C1 ZINC001343415208 872615614 /nfs/dbraw/zinc/61/56/14/872615614.db2.gz ATZVRQAMILEOND-AWEZNQCLSA-N 1 2 305.426 1.675 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001345396528 873388273 /nfs/dbraw/zinc/38/82/73/873388273.db2.gz MAACNLKIVJKOJK-OCCSQVGLSA-N 1 2 304.394 1.414 20 30 DDEDLO C#CCCCCC(=O)NC1CC[NH+]([C@H](C)c2nnc(C)[nH]2)CC1 ZINC001226951465 882739975 /nfs/dbraw/zinc/73/99/75/882739975.db2.gz AWCFSZGNQOZYEI-CYBMUJFWSA-N 1 2 317.437 1.948 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001207860729 873618962 /nfs/dbraw/zinc/61/89/62/873618962.db2.gz PJTJPISSUALJTR-CQSZACIVSA-N 1 2 304.394 1.652 20 30 DDEDLO CC(C)(C)n1c[nH+]cc1[C@H]1CCCN(C(=O)NCC#CCO)C1 ZINC001346484490 873772077 /nfs/dbraw/zinc/77/20/77/873772077.db2.gz MDCLUFJJNZXHCI-AWEZNQCLSA-N 1 2 318.421 1.523 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NC[C@@H](C)[NH+]2CCN(C)CC2)CC1 ZINC001346843750 873911678 /nfs/dbraw/zinc/91/16/78/873911678.db2.gz SNUJZXUJWZPJHL-BZUAXINKSA-N 1 2 306.454 1.114 20 30 DDEDLO CCCNC(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001277175154 882784347 /nfs/dbraw/zinc/78/43/47/882784347.db2.gz OZBMUAYTXKBRPY-UHFFFAOYSA-N 1 2 301.394 1.134 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cncc(OC)n2)C[C@H]1C ZINC001208458311 874159511 /nfs/dbraw/zinc/15/95/11/874159511.db2.gz CMRBPFQPEGWZLY-UKRRQHHQSA-N 1 2 318.421 1.778 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cncc(OC)n2)C[C@H]1C ZINC001208458311 874159516 /nfs/dbraw/zinc/15/95/16/874159516.db2.gz CMRBPFQPEGWZLY-UKRRQHHQSA-N 1 2 318.421 1.778 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2CC)C[C@H]1C ZINC001208508981 874205037 /nfs/dbraw/zinc/20/50/37/874205037.db2.gz NOJGEDQBVCPUTP-FRFSOERESA-N 1 2 319.453 1.837 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2CC)C[C@H]1C ZINC001208508981 874205053 /nfs/dbraw/zinc/20/50/53/874205053.db2.gz NOJGEDQBVCPUTP-FRFSOERESA-N 1 2 319.453 1.837 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cc2ncccn2n1 ZINC001378158693 874286280 /nfs/dbraw/zinc/28/62/80/874286280.db2.gz IODOZRUKZGLXML-NSHDSACASA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cc2ncccn2n1 ZINC001378158693 874286286 /nfs/dbraw/zinc/28/62/86/874286286.db2.gz IODOZRUKZGLXML-NSHDSACASA-N 1 2 307.785 1.532 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@H]([NH2+]Cc2csnn2)C1 ZINC001209111623 874673235 /nfs/dbraw/zinc/67/32/35/874673235.db2.gz OZPVRNQUALFKQZ-ZDUSSCGKSA-N 1 2 306.435 1.812 20 30 DDEDLO CCCCCCC(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)CC1(C)C ZINC001276624360 874729951 /nfs/dbraw/zinc/72/99/51/874729951.db2.gz JNDAHRXTCZGIED-CQSZACIVSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCCCCC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)CC1(C)C ZINC001276624360 874729956 /nfs/dbraw/zinc/72/99/56/874729956.db2.gz JNDAHRXTCZGIED-CQSZACIVSA-N 1 2 322.453 1.423 20 30 DDEDLO CC[C@@H](CC(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C)C(C)C ZINC001210416345 875374698 /nfs/dbraw/zinc/37/46/98/875374698.db2.gz MCLIIFBXYAHYHR-QLFBSQMISA-N 1 2 322.453 1.135 20 30 DDEDLO CC[C@@H](CC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C)C(C)C ZINC001210416345 875374709 /nfs/dbraw/zinc/37/47/09/875374709.db2.gz MCLIIFBXYAHYHR-QLFBSQMISA-N 1 2 322.453 1.135 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NC[C@@H](CO)[C@H]1CCOC1 ZINC001350480470 875715217 /nfs/dbraw/zinc/71/52/17/875715217.db2.gz SLNSDQWMFZKPBQ-GJZGRUSLSA-N 1 2 304.390 1.931 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001211420589 875798599 /nfs/dbraw/zinc/79/85/99/875798599.db2.gz LXVHSAUJVJYMOY-IUODEOHRSA-N 1 2 321.421 1.821 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001211420589 875798615 /nfs/dbraw/zinc/79/86/15/875798615.db2.gz LXVHSAUJVJYMOY-IUODEOHRSA-N 1 2 321.421 1.821 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2cc(OC)no2)C[C@H]1C ZINC001211420786 875800291 /nfs/dbraw/zinc/80/02/91/875800291.db2.gz QKHQIBBHJSCLIX-TZMCWYRMSA-N 1 2 323.393 1.212 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2cc(OC)no2)C[C@H]1C ZINC001211420786 875800304 /nfs/dbraw/zinc/80/03/04/875800304.db2.gz QKHQIBBHJSCLIX-TZMCWYRMSA-N 1 2 323.393 1.212 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)NCCCCn1cc[nH+]c1 ZINC001350694891 875840806 /nfs/dbraw/zinc/84/08/06/875840806.db2.gz SRTHHIYVVKYNED-GASCZTMLSA-N 1 2 316.405 1.489 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc4cc(C#N)c(=O)oc4c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227378852 882989303 /nfs/dbraw/zinc/98/93/03/882989303.db2.gz HFYKBCGVFPCIMU-RCKFOTBSSA-N 1 2 324.336 1.656 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc4cc(C#N)c(=O)oc4c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227378852 882989316 /nfs/dbraw/zinc/98/93/16/882989316.db2.gz HFYKBCGVFPCIMU-RCKFOTBSSA-N 1 2 324.336 1.656 20 30 DDEDLO C[N@@H+]1CCO[C@H](COc2ccc3cc(C#N)c(=O)oc3c2)C1 ZINC001227381956 882991718 /nfs/dbraw/zinc/99/17/18/882991718.db2.gz HEFHQCUXWHNHMC-AWEZNQCLSA-N 1 2 300.314 1.374 20 30 DDEDLO C[N@H+]1CCO[C@H](COc2ccc3cc(C#N)c(=O)oc3c2)C1 ZINC001227381956 882991729 /nfs/dbraw/zinc/99/17/29/882991729.db2.gz HEFHQCUXWHNHMC-AWEZNQCLSA-N 1 2 300.314 1.374 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)[C@H](C)[C@@H]1C ZINC001351604667 876343344 /nfs/dbraw/zinc/34/33/44/876343344.db2.gz OTMBHQLLNUAMQO-YTFOTSKYSA-N 1 2 319.453 1.682 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@H](C)[C@H](C)[C@@H]1C ZINC001351604667 876343353 /nfs/dbraw/zinc/34/33/53/876343353.db2.gz OTMBHQLLNUAMQO-YTFOTSKYSA-N 1 2 319.453 1.682 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCNC(=O)CCn1cc[nH+]c1 ZINC001351762259 876422466 /nfs/dbraw/zinc/42/24/66/876422466.db2.gz XURLIGXKADTLON-UHFFFAOYSA-N 1 2 306.410 1.450 20 30 DDEDLO C=CCN(C)c1nnc(C[NH+]2CCCC2)n1Cc1cc(C)on1 ZINC001352105571 876600253 /nfs/dbraw/zinc/60/02/53/876600253.db2.gz VUXQHRUCIULSKF-UHFFFAOYSA-N 1 2 316.409 1.841 20 30 DDEDLO C=C(C)CCC(=O)NC1CC[NH+]([C@@H](C)c2nncn2C)CC1 ZINC001227597408 883093884 /nfs/dbraw/zinc/09/38/84/883093884.db2.gz YLFMZSMXOSUUIA-ZDUSSCGKSA-N 1 2 305.426 1.813 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cnn(C(C)(C)C)c2)C[C@@H]1O ZINC001219344416 878137725 /nfs/dbraw/zinc/13/77/25/878137725.db2.gz JQGGCRUDUNVVFG-CABCVRRESA-N 1 2 320.437 1.266 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cnn(C(C)(C)C)c2)C[C@@H]1O ZINC001219344416 878137732 /nfs/dbraw/zinc/13/77/32/878137732.db2.gz JQGGCRUDUNVVFG-CABCVRRESA-N 1 2 320.437 1.266 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC)OC2CCCCC2)[C@@H](O)C1 ZINC001219626561 878402017 /nfs/dbraw/zinc/40/20/17/878402017.db2.gz KLJLPFKNBNENPH-IKGGRYGDSA-N 1 2 322.449 1.299 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)OC2CCCCC2)[C@@H](O)C1 ZINC001219626561 878402029 /nfs/dbraw/zinc/40/20/29/878402029.db2.gz KLJLPFKNBNENPH-IKGGRYGDSA-N 1 2 322.449 1.299 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@H]2C[C@H](O)C[N@@H+]2C)n1C ZINC001355680989 878675126 /nfs/dbraw/zinc/67/51/26/878675126.db2.gz KYQRRSNQENRQTL-UONOGXRCSA-N 1 2 307.442 1.735 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@H]2C[C@H](O)C[N@H+]2C)n1C ZINC001355680989 878675135 /nfs/dbraw/zinc/67/51/35/878675135.db2.gz KYQRRSNQENRQTL-UONOGXRCSA-N 1 2 307.442 1.735 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N(CC)CC ZINC001355967925 878802172 /nfs/dbraw/zinc/80/21/72/878802172.db2.gz GCGJWYIZHLYORH-UHFFFAOYSA-N 1 2 307.442 1.515 20 30 DDEDLO C=CCn1c(N2CCC(C)CC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001356135297 878882532 /nfs/dbraw/zinc/88/25/32/878882532.db2.gz WAVKWEXAMHZTDT-YOEHRIQHSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N2CCC(C)CC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001356135297 878882535 /nfs/dbraw/zinc/88/25/35/878882535.db2.gz WAVKWEXAMHZTDT-YOEHRIQHSA-N 1 2 319.453 1.612 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)Cc2ccccc2)[C@@H](O)C1 ZINC001220698121 879192768 /nfs/dbraw/zinc/19/27/68/879192768.db2.gz NDHPZMSIGPEMMT-CVEARBPZSA-N 1 2 300.402 1.050 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)Cc2ccccc2)[C@@H](O)C1 ZINC001220698121 879192769 /nfs/dbraw/zinc/19/27/69/879192769.db2.gz NDHPZMSIGPEMMT-CVEARBPZSA-N 1 2 300.402 1.050 20 30 DDEDLO C=CCCC(=O)N(C)CC1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001356726224 879236582 /nfs/dbraw/zinc/23/65/82/879236582.db2.gz FPZWFDQVEKZZLR-UHFFFAOYSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](CC)CNC(=O)Cn1cc[nH+]c1 ZINC001356899393 879559108 /nfs/dbraw/zinc/55/91/08/879559108.db2.gz AZSBPRYZEGPXHG-CZUORRHYSA-N 1 2 306.410 1.496 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](CC)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001356917702 879585309 /nfs/dbraw/zinc/58/53/09/879585309.db2.gz NACAOGRYXPUURS-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@H+](Cc3ccns3)C[C@H]21 ZINC001221535028 879928128 /nfs/dbraw/zinc/92/81/28/879928128.db2.gz JLUUTLVUIGLCHD-UKRRQHHQSA-N 1 2 303.431 1.835 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@@H+](Cc3ccns3)C[C@H]21 ZINC001221535028 879928144 /nfs/dbraw/zinc/92/81/44/879928144.db2.gz JLUUTLVUIGLCHD-UKRRQHHQSA-N 1 2 303.431 1.835 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C(C)(C)C(=C)C)[C@@H]2C1 ZINC001221730249 880029471 /nfs/dbraw/zinc/02/94/71/880029471.db2.gz BFIJKUOZTHTSJW-HUUCEWRRSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(C)C(=C)C)[C@@H]2C1 ZINC001221730249 880029480 /nfs/dbraw/zinc/02/94/80/880029480.db2.gz BFIJKUOZTHTSJW-HUUCEWRRSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@](C)(C=C)CC)[C@@H]2C1 ZINC001221796506 880092692 /nfs/dbraw/zinc/09/26/92/880092692.db2.gz IRKGHBJANZHDNY-IIDMSEBBSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@](C)(C=C)CC)[C@@H]2C1 ZINC001221796506 880092698 /nfs/dbraw/zinc/09/26/98/880092698.db2.gz IRKGHBJANZHDNY-IIDMSEBBSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]([NH2+][C@H](C)c2nc(C)no2)C1 ZINC001222067357 880212113 /nfs/dbraw/zinc/21/21/13/880212113.db2.gz GQKWMUNTUIYMIP-OCCSQVGLSA-N 1 2 322.409 1.612 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC001222657924 880623766 /nfs/dbraw/zinc/62/37/66/880623766.db2.gz HLEHTDJXZSTJEV-QWRGUYRKSA-N 1 2 304.398 1.162 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001358637333 880661728 /nfs/dbraw/zinc/66/17/28/880661728.db2.gz RHHZIPCQLFKPDY-GUYCJALGSA-N 1 2 318.421 1.662 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1CC[C@H]1CNC(=O)C#CC1CC1 ZINC001276841109 880712335 /nfs/dbraw/zinc/71/23/35/880712335.db2.gz ALVZGEGIZDSBMV-AWEZNQCLSA-N 1 2 301.390 1.649 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1CC[C@H]1CNC(=O)C#CC1CC1 ZINC001276841109 880712345 /nfs/dbraw/zinc/71/23/45/880712345.db2.gz ALVZGEGIZDSBMV-AWEZNQCLSA-N 1 2 301.390 1.649 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1(CNC(=O)Cc2c[nH+]cn2C)CC1 ZINC001358678265 880746962 /nfs/dbraw/zinc/74/69/62/880746962.db2.gz LEAZBYBESZVDAZ-INIZCTEOSA-N 1 2 318.421 1.330 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CCC[N@@H+](Cc1coc(C)n1)C2 ZINC001276854761 880768664 /nfs/dbraw/zinc/76/86/64/880768664.db2.gz BLEAXTRDBQLVHU-UHFFFAOYSA-N 1 2 319.405 1.610 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CCC[N@H+](Cc1coc(C)n1)C2 ZINC001276854761 880768668 /nfs/dbraw/zinc/76/86/68/880768668.db2.gz BLEAXTRDBQLVHU-UHFFFAOYSA-N 1 2 319.405 1.610 20 30 DDEDLO CCn1ncnc1C[NH+]1CCC(CNC(=O)C#CC2CC2)CC1 ZINC001223130745 880841952 /nfs/dbraw/zinc/84/19/52/880841952.db2.gz RUWFUQFMFRCHLN-UHFFFAOYSA-N 1 2 315.421 1.040 20 30 DDEDLO C#CCN(C(C)=O)C1CCN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC001358754337 880871774 /nfs/dbraw/zinc/87/17/74/880871774.db2.gz YIPLECKLWOPCDM-CYBMUJFWSA-N 1 2 316.405 1.061 20 30 DDEDLO C#CCN(C(C)=O)C1CCN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC001358754337 880871784 /nfs/dbraw/zinc/87/17/84/880871784.db2.gz YIPLECKLWOPCDM-CYBMUJFWSA-N 1 2 316.405 1.061 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224165941 881251759 /nfs/dbraw/zinc/25/17/59/881251759.db2.gz VUSMGVHQBXLCPJ-UONOGXRCSA-N 1 2 319.453 1.602 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@](C)(OC)c1ccccc1 ZINC001276956834 881274780 /nfs/dbraw/zinc/27/47/80/881274780.db2.gz OINCOGVJIMTPTK-WMZOPIPTSA-N 1 2 300.402 1.762 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@](C)(OC)c1ccccc1 ZINC001276956834 881274793 /nfs/dbraw/zinc/27/47/93/881274793.db2.gz OINCOGVJIMTPTK-WMZOPIPTSA-N 1 2 300.402 1.762 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001228748521 883652687 /nfs/dbraw/zinc/65/26/87/883652687.db2.gz LOOLERIAHYOCSL-ZIAGYGMSSA-N 1 2 319.453 1.837 20 30 DDEDLO N#CC[C@@H](C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1)c1ccccc1 ZINC001362738882 884517287 /nfs/dbraw/zinc/51/72/87/884517287.db2.gz MTXMSUFQVOPOTP-CVEARBPZSA-N 1 2 309.373 1.580 20 30 DDEDLO COCC1(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)CC1 ZINC001230575363 884608909 /nfs/dbraw/zinc/60/89/09/884608909.db2.gz NLUXPJQMNMJQSC-OAHLLOKOSA-N 1 2 305.422 1.640 20 30 DDEDLO COCC1(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)CC1 ZINC001230575363 884608919 /nfs/dbraw/zinc/60/89/19/884608919.db2.gz NLUXPJQMNMJQSC-OAHLLOKOSA-N 1 2 305.422 1.640 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1C ZINC001230638984 884682085 /nfs/dbraw/zinc/68/20/85/884682085.db2.gz RSUVARPIHNFHLY-MRXNPFEDSA-N 1 2 300.402 1.791 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1C ZINC001230638984 884682094 /nfs/dbraw/zinc/68/20/94/884682094.db2.gz RSUVARPIHNFHLY-MRXNPFEDSA-N 1 2 300.402 1.791 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)Cc1ccsc1 ZINC001230663412 884721020 /nfs/dbraw/zinc/72/10/20/884721020.db2.gz GTYXVKHPNJKDBA-CQSZACIVSA-N 1 2 321.446 1.126 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)Cc1ccsc1 ZINC001230663412 884721035 /nfs/dbraw/zinc/72/10/35/884721035.db2.gz GTYXVKHPNJKDBA-CQSZACIVSA-N 1 2 321.446 1.126 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccoc1 ZINC001230758988 884840041 /nfs/dbraw/zinc/84/00/41/884840041.db2.gz MZNAGZZFCASQCF-OCCSQVGLSA-N 1 2 305.378 1.117 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1ccoc1 ZINC001230758988 884840053 /nfs/dbraw/zinc/84/00/53/884840053.db2.gz MZNAGZZFCASQCF-OCCSQVGLSA-N 1 2 305.378 1.117 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CC(C)(C)C)C1=O ZINC001230788779 884884515 /nfs/dbraw/zinc/88/45/15/884884515.db2.gz ULHTVPOYBHFLNW-HUUCEWRRSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CC(C)(C)C)C1=O ZINC001230788779 884884527 /nfs/dbraw/zinc/88/45/27/884884527.db2.gz ULHTVPOYBHFLNW-HUUCEWRRSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NC(C)(C)C ZINC001231121194 885254221 /nfs/dbraw/zinc/25/42/21/885254221.db2.gz APJOBFXEFWFKRQ-ZIAGYGMSSA-N 1 2 309.454 1.789 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NC(C)(C)C ZINC001231121194 885254238 /nfs/dbraw/zinc/25/42/38/885254238.db2.gz APJOBFXEFWFKRQ-ZIAGYGMSSA-N 1 2 309.454 1.789 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1c(C)nnn1CC ZINC001231123922 885262327 /nfs/dbraw/zinc/26/23/27/885262327.db2.gz XRXCCJTZAACMJC-CQSZACIVSA-N 1 2 305.426 1.605 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1c(C)nnn1CC ZINC001231123922 885262347 /nfs/dbraw/zinc/26/23/47/885262347.db2.gz XRXCCJTZAACMJC-CQSZACIVSA-N 1 2 305.426 1.605 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1CC ZINC001231234842 885411058 /nfs/dbraw/zinc/41/10/58/885411058.db2.gz WXWBIBBPPWUKMK-CQSZACIVSA-N 1 2 307.394 1.472 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1CC ZINC001231234842 885411070 /nfs/dbraw/zinc/41/10/70/885411070.db2.gz WXWBIBBPPWUKMK-CQSZACIVSA-N 1 2 307.394 1.472 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)c1cnn(C)c1 ZINC001374462625 912942606 /nfs/dbraw/zinc/94/26/06/912942606.db2.gz LTGWQQXDQSZNMB-UHFFFAOYSA-N 1 2 311.389 1.496 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)c1cnn(C)c1 ZINC001374462625 912942619 /nfs/dbraw/zinc/94/26/19/912942619.db2.gz LTGWQQXDQSZNMB-UHFFFAOYSA-N 1 2 311.389 1.496 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCC1CC1 ZINC001231343431 885526381 /nfs/dbraw/zinc/52/63/81/885526381.db2.gz VETYRZNBEQEJLR-ZFWWWQNUSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCC1CC1 ZINC001231343431 885526384 /nfs/dbraw/zinc/52/63/84/885526384.db2.gz VETYRZNBEQEJLR-ZFWWWQNUSA-N 1 2 307.438 1.400 20 30 DDEDLO Cc1ccnc(N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)n1 ZINC001277674156 886437107 /nfs/dbraw/zinc/43/71/07/886437107.db2.gz LVYADPKROOYQFM-UHFFFAOYSA-N 1 2 308.389 1.317 20 30 DDEDLO CC1(C)CN(Cc2cc(F)ccc2C#N)CC[N@@H+]1CC(N)=O ZINC001232891841 886655744 /nfs/dbraw/zinc/65/57/44/886655744.db2.gz RKROXPUXPKTOLN-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC1(C)CN(Cc2cc(F)ccc2C#N)CC[N@H+]1CC(N)=O ZINC001232891841 886655756 /nfs/dbraw/zinc/65/57/56/886655756.db2.gz RKROXPUXPKTOLN-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](C)[NH2+]Cc1nc(C(F)F)no1 ZINC001277771712 886825068 /nfs/dbraw/zinc/82/50/68/886825068.db2.gz QEZZTKJGPHZXEO-VIFPVBQESA-N 1 2 302.325 1.910 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(c2cnc(C#N)c(C#N)n2)CC1 ZINC001363744804 887124856 /nfs/dbraw/zinc/12/48/56/887124856.db2.gz WLGPACKGXKNOQQ-CYBMUJFWSA-N 1 2 319.372 1.498 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@@H+]2CCc3ccccc3[C@@H]2C(N)=O)c1 ZINC001363778279 887195922 /nfs/dbraw/zinc/19/59/22/887195922.db2.gz CRFKOJHECQACNS-QZTJIDSGSA-N 1 2 321.380 1.676 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@H+]2CCc3ccccc3[C@@H]2C(N)=O)c1 ZINC001363778279 887195932 /nfs/dbraw/zinc/19/59/32/887195932.db2.gz CRFKOJHECQACNS-QZTJIDSGSA-N 1 2 321.380 1.676 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1coc(C)c1 ZINC001233879055 887421689 /nfs/dbraw/zinc/42/16/89/887421689.db2.gz CUHMAPWUTNJXKG-UKRRQHHQSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1coc(C)c1 ZINC001233879055 887421697 /nfs/dbraw/zinc/42/16/97/887421697.db2.gz CUHMAPWUTNJXKG-UKRRQHHQSA-N 1 2 319.405 1.425 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@H](C)CCC ZINC001233946635 887484614 /nfs/dbraw/zinc/48/46/14/887484614.db2.gz CDDPTJVITKGRQR-CVEARBPZSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@H](C)CCC ZINC001233946635 887484624 /nfs/dbraw/zinc/48/46/24/887484624.db2.gz CDDPTJVITKGRQR-CVEARBPZSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1nccnc1C ZINC001233948232 887491182 /nfs/dbraw/zinc/49/11/82/887491182.db2.gz SWKVRSBHXKJNPG-OAHLLOKOSA-N 1 2 300.406 1.621 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1nccnc1C ZINC001233948232 887491194 /nfs/dbraw/zinc/49/11/94/887491194.db2.gz SWKVRSBHXKJNPG-OAHLLOKOSA-N 1 2 300.406 1.621 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cncs1 ZINC001233979356 887522219 /nfs/dbraw/zinc/52/22/19/887522219.db2.gz ZUYSLNCLIVACSV-WFASDCNBSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cncs1 ZINC001233979356 887522234 /nfs/dbraw/zinc/52/22/34/887522234.db2.gz ZUYSLNCLIVACSV-WFASDCNBSA-N 1 2 309.435 1.503 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186859 887726690 /nfs/dbraw/zinc/72/66/90/887726690.db2.gz OWMMVXLMDIBACE-HOCLYGCPSA-N 1 2 317.433 1.157 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186859 887726703 /nfs/dbraw/zinc/72/67/03/887726703.db2.gz OWMMVXLMDIBACE-HOCLYGCPSA-N 1 2 317.433 1.157 20 30 DDEDLO CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)(C)C)C1=O ZINC001234216227 887756760 /nfs/dbraw/zinc/75/67/60/887756760.db2.gz VQISNLOCDUNXAX-HUUCEWRRSA-N 1 2 319.449 1.189 20 30 DDEDLO CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)(C)C)C1=O ZINC001234216227 887756774 /nfs/dbraw/zinc/75/67/74/887756774.db2.gz VQISNLOCDUNXAX-HUUCEWRRSA-N 1 2 319.449 1.189 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2cc(C)c(C#N)cn2)CC1 ZINC001364140445 888011967 /nfs/dbraw/zinc/01/19/67/888011967.db2.gz ZKFLMGBEHZVNSJ-UHFFFAOYSA-N 1 2 315.421 1.252 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C(CC=C)CC=C ZINC001234509249 888036952 /nfs/dbraw/zinc/03/69/52/888036952.db2.gz IXJKJCGOJVEQGH-MRXNPFEDSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C(CC=C)CC=C ZINC001234509249 888036964 /nfs/dbraw/zinc/03/69/64/888036964.db2.gz IXJKJCGOJVEQGH-MRXNPFEDSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234562629 888100602 /nfs/dbraw/zinc/10/06/02/888100602.db2.gz ICGZLRQLUFMQSY-LSDHHAIUSA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234562629 888100608 /nfs/dbraw/zinc/10/06/08/888100608.db2.gz ICGZLRQLUFMQSY-LSDHHAIUSA-N 1 2 321.465 1.812 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2[C@H](C)C(=O)N(C)C)CCC1 ZINC001235227489 888488561 /nfs/dbraw/zinc/48/85/61/888488561.db2.gz URUJNRMLUBXIFE-HUUCEWRRSA-N 1 2 319.449 1.189 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@H](C)C(=O)N(C)C)CCC1 ZINC001235227489 888488570 /nfs/dbraw/zinc/48/85/70/888488570.db2.gz URUJNRMLUBXIFE-HUUCEWRRSA-N 1 2 319.449 1.189 20 30 DDEDLO N#CCC[N@H+]1CCCC2(CN(C(=O)NCC(F)(F)F)C2)C1 ZINC001277940712 888597057 /nfs/dbraw/zinc/59/70/57/888597057.db2.gz FEBNLEFGEFIJEZ-UHFFFAOYSA-N 1 2 304.316 1.570 20 30 DDEDLO N#CCC[N@@H+]1CCCC2(CN(C(=O)NCC(F)(F)F)C2)C1 ZINC001277940712 888597066 /nfs/dbraw/zinc/59/70/66/888597066.db2.gz FEBNLEFGEFIJEZ-UHFFFAOYSA-N 1 2 304.316 1.570 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C2)[nH]1 ZINC001364434929 888629819 /nfs/dbraw/zinc/62/98/19/888629819.db2.gz YKDPCMYBZCJRAG-KGLIPLIRSA-N 1 2 310.361 1.001 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C2)[nH]1 ZINC001364434929 888629824 /nfs/dbraw/zinc/62/98/24/888629824.db2.gz YKDPCMYBZCJRAG-KGLIPLIRSA-N 1 2 310.361 1.001 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H]1CC12CCOCC2 ZINC001374560186 913289834 /nfs/dbraw/zinc/28/98/34/913289834.db2.gz YDHAATIAWLJLLJ-ZDUSSCGKSA-N 1 2 300.830 1.946 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H]1CC12CCOCC2 ZINC001374560186 913289855 /nfs/dbraw/zinc/28/98/55/913289855.db2.gz YDHAATIAWLJLLJ-ZDUSSCGKSA-N 1 2 300.830 1.946 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(NC(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC001385453026 889136073 /nfs/dbraw/zinc/13/60/73/889136073.db2.gz VDOOKNKNWIQMAF-CHWSQXEVSA-N 1 2 317.393 1.101 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CC[C@@](CO)(C(F)(F)F)C3)cn2c1 ZINC001237609409 889751035 /nfs/dbraw/zinc/75/10/35/889751035.db2.gz QVBJLDLJICADGY-CQSZACIVSA-N 1 2 324.306 1.953 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CC[C@@](CO)(C(F)(F)F)C3)cn2c1 ZINC001237609409 889751052 /nfs/dbraw/zinc/75/10/52/889751052.db2.gz QVBJLDLJICADGY-CQSZACIVSA-N 1 2 324.306 1.953 20 30 DDEDLO C#CCN(C(=O)c1ncccn1)C1CC[NH+](CC(=C)Cl)CC1 ZINC001278187951 890032279 /nfs/dbraw/zinc/03/22/79/890032279.db2.gz CHABVSLZLXUCLQ-UHFFFAOYSA-N 1 2 318.808 1.769 20 30 DDEDLO CCCCCCCCNC(=O)N1CC[NH2+]C[C@H]1C(=O)OCC ZINC001239272423 890580118 /nfs/dbraw/zinc/58/01/18/890580118.db2.gz SWSQSFJEUNBNMW-AWEZNQCLSA-N 1 2 313.442 1.893 20 30 DDEDLO N#Cc1ccc(-c2ccc(OCC[NH+]3CCOCC3)nc2)c(N)c1 ZINC001244093458 891793509 /nfs/dbraw/zinc/79/35/09/891793509.db2.gz JOJBJBXYZCIMJM-UHFFFAOYSA-N 1 2 324.384 1.913 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCCc2nc(C)no2)C1 ZINC001366001780 892182101 /nfs/dbraw/zinc/18/21/01/892182101.db2.gz FMSHSNAIKGTEPS-LBPRGKRZSA-N 1 2 312.801 1.644 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCCc2nc(C)no2)C1 ZINC001366001780 892182109 /nfs/dbraw/zinc/18/21/09/892182109.db2.gz FMSHSNAIKGTEPS-LBPRGKRZSA-N 1 2 312.801 1.644 20 30 DDEDLO Cc1cccc(C)c1NC(=O)C[N@@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001366517374 893822352 /nfs/dbraw/zinc/82/23/52/893822352.db2.gz FDYRHLVRXKFXAC-AWEZNQCLSA-N 1 2 316.405 1.450 20 30 DDEDLO Cc1cccc(C)c1NC(=O)C[N@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001366517374 893822368 /nfs/dbraw/zinc/82/23/68/893822368.db2.gz FDYRHLVRXKFXAC-AWEZNQCLSA-N 1 2 316.405 1.450 20 30 DDEDLO Cn1nccc1C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001366521991 893852149 /nfs/dbraw/zinc/85/21/49/893852149.db2.gz QITNBIOQFKEBOG-UHFFFAOYSA-N 1 2 315.352 1.293 20 30 DDEDLO Cn1nccc1C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001366521991 893852160 /nfs/dbraw/zinc/85/21/60/893852160.db2.gz QITNBIOQFKEBOG-UHFFFAOYSA-N 1 2 315.352 1.293 20 30 DDEDLO COc1nc(C(=O)NCC[N@H+](C)Cc2ccccc2C#N)co1 ZINC001366556768 894013341 /nfs/dbraw/zinc/01/33/41/894013341.db2.gz ZCPCXZJQLPSLFY-UHFFFAOYSA-N 1 2 314.345 1.417 20 30 DDEDLO COc1nc(C(=O)NCC[N@@H+](C)Cc2ccccc2C#N)co1 ZINC001366556768 894013356 /nfs/dbraw/zinc/01/33/56/894013356.db2.gz ZCPCXZJQLPSLFY-UHFFFAOYSA-N 1 2 314.345 1.417 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)Cc1cnccc1OC ZINC001366649204 894434430 /nfs/dbraw/zinc/43/44/30/894434430.db2.gz DIHFOLRUSMCODX-AWEZNQCLSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)Cc1cnccc1OC ZINC001366649204 894434434 /nfs/dbraw/zinc/43/44/34/894434434.db2.gz DIHFOLRUSMCODX-AWEZNQCLSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1CCc2c(ncn2C(C)C)[C@@H]1CNC(C)=O ZINC001252552868 895276695 /nfs/dbraw/zinc/27/66/95/895276695.db2.gz NEYHOUHDJDFDCW-RDJZCZTQSA-N 1 2 320.437 1.436 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1CCc2c(ncn2C(C)C)[C@@H]1CNC(C)=O ZINC001252552868 895276707 /nfs/dbraw/zinc/27/67/07/895276707.db2.gz NEYHOUHDJDFDCW-RDJZCZTQSA-N 1 2 320.437 1.436 20 30 DDEDLO C=C1CCC(C(=O)NCCNC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC001292801022 914010636 /nfs/dbraw/zinc/01/06/36/914010636.db2.gz BUYDRCGHIJOZGB-ZDUSSCGKSA-N 1 2 318.421 1.567 20 30 DDEDLO C=C1CCC(C(=O)NCCNC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC001292801022 914010655 /nfs/dbraw/zinc/01/06/55/914010655.db2.gz BUYDRCGHIJOZGB-ZDUSSCGKSA-N 1 2 318.421 1.567 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@H+](Cc2csnn2)CC(C)(C)C1 ZINC001388833536 896011384 /nfs/dbraw/zinc/01/13/84/896011384.db2.gz DJIMKRHNAPPPNQ-NEPJUHHUSA-N 1 2 321.450 1.805 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@@H+](Cc2csnn2)CC(C)(C)C1 ZINC001388833536 896011394 /nfs/dbraw/zinc/01/13/94/896011394.db2.gz DJIMKRHNAPPPNQ-NEPJUHHUSA-N 1 2 321.450 1.805 20 30 DDEDLO CCOC(=O)c1ncn2c1C[N@@H+]([C@H]1CC[C@@H](C#N)C1)CCC2 ZINC001254660094 896551861 /nfs/dbraw/zinc/55/18/61/896551861.db2.gz FUCGYTULRIVTOY-OLZOCXBDSA-N 1 2 302.378 1.958 20 30 DDEDLO CCOC(=O)c1ncn2c1C[N@H+]([C@H]1CC[C@@H](C#N)C1)CCC2 ZINC001254660094 896551870 /nfs/dbraw/zinc/55/18/70/896551870.db2.gz FUCGYTULRIVTOY-OLZOCXBDSA-N 1 2 302.378 1.958 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CC[N@H+](C)Cc1nc2ccccc2n1C ZINC001367509897 896975471 /nfs/dbraw/zinc/97/54/71/896975471.db2.gz JUUOMZIAGNFKAS-ZDUSSCGKSA-N 1 2 313.405 1.623 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CC[N@@H+](C)Cc1nc2ccccc2n1C ZINC001367509897 896975476 /nfs/dbraw/zinc/97/54/76/896975476.db2.gz JUUOMZIAGNFKAS-ZDUSSCGKSA-N 1 2 313.405 1.623 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cnc2c(cnn2C)c1 ZINC001367546749 897128275 /nfs/dbraw/zinc/12/82/75/897128275.db2.gz RAEISVYOPUTMJU-UHFFFAOYSA-N 1 2 321.812 1.725 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cnc2c(cnn2C)c1 ZINC001367546749 897128286 /nfs/dbraw/zinc/12/82/86/897128286.db2.gz RAEISVYOPUTMJU-UHFFFAOYSA-N 1 2 321.812 1.725 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CNC(=O)C(C)(C)C)C1 ZINC001389511297 897411216 /nfs/dbraw/zinc/41/12/16/897411216.db2.gz XQWXHPHXFQXWIR-LLVKDONJSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CNC(=O)C(C)(C)C)C1 ZINC001389511297 897411222 /nfs/dbraw/zinc/41/12/22/897411222.db2.gz XQWXHPHXFQXWIR-LLVKDONJSA-N 1 2 301.818 1.092 20 30 DDEDLO C=CCCC(=O)N[C@]1(C)CCN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001299513945 898245628 /nfs/dbraw/zinc/24/56/28/898245628.db2.gz ICYIBNDWJNKGNU-QGZVFWFLSA-N 1 2 318.421 1.426 20 30 DDEDLO C=CC[C@H](C)OC(=O)CC[NH+]1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001262066258 899926563 /nfs/dbraw/zinc/92/65/63/899926563.db2.gz WPCNTAWDTWTABK-LSDHHAIUSA-N 1 2 324.421 1.208 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)C[C@@H]2[C@H](C(=O)OC)C2(F)F)n1 ZINC001262111081 899949466 /nfs/dbraw/zinc/94/94/66/899949466.db2.gz WLURKVDXADVJLK-CHWSQXEVSA-N 1 2 311.332 1.393 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)C[C@@H]2[C@H](C(=O)OC)C2(F)F)n1 ZINC001262111081 899949476 /nfs/dbraw/zinc/94/94/76/899949476.db2.gz WLURKVDXADVJLK-CHWSQXEVSA-N 1 2 311.332 1.393 20 30 DDEDLO C=C(C)CCC(=O)NCCCNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001293368443 914436672 /nfs/dbraw/zinc/43/66/72/914436672.db2.gz ALEQVHPAORMNLM-UHFFFAOYSA-N 1 2 320.437 1.905 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCc3ccsc3)n2C)CC1 ZINC001262913818 900416191 /nfs/dbraw/zinc/41/61/91/900416191.db2.gz QHMYXMCJLDVVMI-UHFFFAOYSA-N 1 2 315.446 1.417 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@H+](Cc3cnnn3C)[C@@H]2C)CCC1 ZINC001264159070 900986379 /nfs/dbraw/zinc/98/63/79/900986379.db2.gz LZEAUDIIDRPKPT-HIFRSBDPSA-N 1 2 317.437 1.641 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@@H+](Cc3cnnn3C)[C@@H]2C)CCC1 ZINC001264159070 900986389 /nfs/dbraw/zinc/98/63/89/900986389.db2.gz LZEAUDIIDRPKPT-HIFRSBDPSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@]1(C)CCNC(=O)C1)C1CC1 ZINC001391177423 901146561 /nfs/dbraw/zinc/14/65/61/901146561.db2.gz XUHLHFBPFZUYJA-OAHLLOKOSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@]1(C)CCNC(=O)C1)C1CC1 ZINC001391177423 901146568 /nfs/dbraw/zinc/14/65/68/901146568.db2.gz XUHLHFBPFZUYJA-OAHLLOKOSA-N 1 2 313.829 1.236 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@@H+](CC)Cc2cnon2)nc1 ZINC001265142441 901623300 /nfs/dbraw/zinc/62/33/00/901623300.db2.gz JBSHLEFLMSUMCQ-UHFFFAOYSA-N 1 2 313.361 1.088 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@H+](CC)Cc2cnon2)nc1 ZINC001265142441 901623309 /nfs/dbraw/zinc/62/33/09/901623309.db2.gz JBSHLEFLMSUMCQ-UHFFFAOYSA-N 1 2 313.361 1.088 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001265213482 901717820 /nfs/dbraw/zinc/71/78/20/901717820.db2.gz SUTKDXJDTSCCFR-JKSUJKDBSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001265213482 901717830 /nfs/dbraw/zinc/71/78/30/901717830.db2.gz SUTKDXJDTSCCFR-JKSUJKDBSA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ncc(C)s2)C1 ZINC001265215125 901722587 /nfs/dbraw/zinc/72/25/87/901722587.db2.gz VHOROBIYEVDXBN-CQSZACIVSA-N 1 2 321.446 1.572 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@H+](Cc2ncc(C)s2)C1 ZINC001265215125 901722599 /nfs/dbraw/zinc/72/25/99/901722599.db2.gz VHOROBIYEVDXBN-CQSZACIVSA-N 1 2 321.446 1.572 20 30 DDEDLO COC[C@@H](C)C(=O)NCC1C[NH+](Cc2ccc(C#N)cc2F)C1 ZINC001391474759 901813578 /nfs/dbraw/zinc/81/35/78/901813578.db2.gz NLGYQGVUQYADGO-GFCCVEGCSA-N 1 2 319.380 1.528 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283789 901819296 /nfs/dbraw/zinc/81/92/96/901819296.db2.gz JAZBQIBDMXEMDH-CABCVRRESA-N 1 2 321.465 1.531 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283789 901819303 /nfs/dbraw/zinc/81/93/03/901819303.db2.gz JAZBQIBDMXEMDH-CABCVRRESA-N 1 2 321.465 1.531 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccs1 ZINC001265401569 901974466 /nfs/dbraw/zinc/97/44/66/901974466.db2.gz YJJDHLZNGMSGSP-GASCZTMLSA-N 1 2 318.442 1.616 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccs1 ZINC001265401569 901974470 /nfs/dbraw/zinc/97/44/70/901974470.db2.gz YJJDHLZNGMSGSP-GASCZTMLSA-N 1 2 318.442 1.616 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001391642762 902225769 /nfs/dbraw/zinc/22/57/69/902225769.db2.gz YTOYYUXALAQCSW-HIFRSBDPSA-N 1 2 320.437 1.128 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001391642762 902225786 /nfs/dbraw/zinc/22/57/86/902225786.db2.gz YTOYYUXALAQCSW-HIFRSBDPSA-N 1 2 320.437 1.128 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+][C@H](C)c2nc(C)no2)C1 ZINC001265949595 902648822 /nfs/dbraw/zinc/64/88/22/902648822.db2.gz UVMGAWLLWQOIDF-YPMHNXCESA-N 1 2 308.382 1.222 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@@H+](C)C[C@@H](C)NC(=O)[C@H](C)C#N ZINC001375017719 914653284 /nfs/dbraw/zinc/65/32/84/914653284.db2.gz JKTCCUZZKNTCFY-ZIAGYGMSSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@H+](C)C[C@@H](C)NC(=O)[C@H](C)C#N ZINC001375017719 914653293 /nfs/dbraw/zinc/65/32/93/914653293.db2.gz JKTCCUZZKNTCFY-ZIAGYGMSSA-N 1 2 316.405 1.530 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NC2CC2)C1 ZINC001266233572 903160640 /nfs/dbraw/zinc/16/06/40/903160640.db2.gz NBFRKLUIVAIFQE-OAHLLOKOSA-N 1 2 305.422 1.039 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC2CC2)C1 ZINC001266233572 903160649 /nfs/dbraw/zinc/16/06/49/903160649.db2.gz NBFRKLUIVAIFQE-OAHLLOKOSA-N 1 2 305.422 1.039 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)o1 ZINC001279521471 903302417 /nfs/dbraw/zinc/30/24/17/903302417.db2.gz WAVDGLIYAPULAB-YPMHNXCESA-N 1 2 302.378 1.952 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)o1 ZINC001279521471 903302433 /nfs/dbraw/zinc/30/24/33/903302433.db2.gz WAVDGLIYAPULAB-YPMHNXCESA-N 1 2 302.378 1.952 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](CNC(=O)CCc2nnc[nH]2)C1 ZINC001370738437 903674269 /nfs/dbraw/zinc/67/42/69/903674269.db2.gz BICQCIZTXAPYIO-GFCCVEGCSA-N 1 2 311.817 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](CNC(=O)CCc2nnc[nH]2)C1 ZINC001370738437 903674274 /nfs/dbraw/zinc/67/42/74/903674274.db2.gz BICQCIZTXAPYIO-GFCCVEGCSA-N 1 2 311.817 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](CNC(=O)CCc2nc[nH]n2)C1 ZINC001370738437 903674279 /nfs/dbraw/zinc/67/42/79/903674279.db2.gz BICQCIZTXAPYIO-GFCCVEGCSA-N 1 2 311.817 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](CNC(=O)CCc2nc[nH]n2)C1 ZINC001370738437 903674286 /nfs/dbraw/zinc/67/42/86/903674286.db2.gz BICQCIZTXAPYIO-GFCCVEGCSA-N 1 2 311.817 1.318 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001280509037 903747549 /nfs/dbraw/zinc/74/75/49/903747549.db2.gz INBPYWZSYADFEK-CQSZACIVSA-N 1 2 320.437 1.839 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H]1CCC[C@H](C(N)=O)C1 ZINC001392311354 903852233 /nfs/dbraw/zinc/85/22/33/903852233.db2.gz JWHAGFBVHBIZGP-STQMWFEESA-N 1 2 315.845 1.421 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H]1CCC[C@H](C(N)=O)C1 ZINC001392311354 903852254 /nfs/dbraw/zinc/85/22/54/903852254.db2.gz JWHAGFBVHBIZGP-STQMWFEESA-N 1 2 315.845 1.421 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C1(C(C)(F)F)CC1 ZINC001316606387 904078542 /nfs/dbraw/zinc/07/85/42/904078542.db2.gz VKYYZIDYSFMHAD-CYBMUJFWSA-N 1 2 314.376 1.604 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C1(C(C)(F)F)CC1 ZINC001316606387 904078557 /nfs/dbraw/zinc/07/85/57/904078557.db2.gz VKYYZIDYSFMHAD-CYBMUJFWSA-N 1 2 314.376 1.604 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)(C)C(F)F)CO2 ZINC001280966138 904251710 /nfs/dbraw/zinc/25/17/10/904251710.db2.gz AWGAJMOYCPMDKX-LLVKDONJSA-N 1 2 300.349 1.261 20 30 DDEDLO C[C@@H](CN(C)C(=O)CCc1c[nH]c[nH+]1)NC(=O)C#CC(C)(C)C ZINC001281014765 904320622 /nfs/dbraw/zinc/32/06/22/904320622.db2.gz QLWUJAUATMQLFP-ZDUSSCGKSA-N 1 2 318.421 1.355 20 30 DDEDLO C[C@@H](CN(C)C(=O)CCc1c[nH+]c[nH]1)NC(=O)C#CC(C)(C)C ZINC001281014765 904320633 /nfs/dbraw/zinc/32/06/33/904320633.db2.gz QLWUJAUATMQLFP-ZDUSSCGKSA-N 1 2 318.421 1.355 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H](C)[NH2+]Cc1noc(-c2ccccc2)n1 ZINC001371145933 904346970 /nfs/dbraw/zinc/34/69/70/904346970.db2.gz YKDWUHLNWJOPDP-NEPJUHHUSA-N 1 2 313.361 1.491 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)CCn3cccn3)cc2C1 ZINC001281284834 904654050 /nfs/dbraw/zinc/65/40/50/904654050.db2.gz LBYMRHCSELXYOR-UHFFFAOYSA-N 1 2 308.385 1.538 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)CCn3cccn3)cc2C1 ZINC001281284834 904654054 /nfs/dbraw/zinc/65/40/54/904654054.db2.gz LBYMRHCSELXYOR-UHFFFAOYSA-N 1 2 308.385 1.538 20 30 DDEDLO Cc1ccc(NC(=O)C[N@@H+](C)C[C@H](C)NC(=O)[C@H](C)C#N)cc1 ZINC001392635212 904677871 /nfs/dbraw/zinc/67/78/71/904677871.db2.gz BYSGKWVVYQUNDU-KGLIPLIRSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1ccc(NC(=O)C[N@H+](C)C[C@H](C)NC(=O)[C@H](C)C#N)cc1 ZINC001392635212 904677882 /nfs/dbraw/zinc/67/78/82/904677882.db2.gz BYSGKWVVYQUNDU-KGLIPLIRSA-N 1 2 316.405 1.530 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)CCc2scnc2C)C1 ZINC001282538818 905858732 /nfs/dbraw/zinc/85/87/32/905858732.db2.gz AZXJKRVTLFDKHB-UHFFFAOYSA-N 1 2 323.462 1.513 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@]2(C1)C[N@H+](CC#C)CCO2 ZINC001282772482 906020821 /nfs/dbraw/zinc/02/08/21/906020821.db2.gz JTVMEUIVDCQXNL-SFHVURJKSA-N 1 2 302.418 1.507 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@]2(C1)C[N@@H+](CC#C)CCO2 ZINC001282772482 906020837 /nfs/dbraw/zinc/02/08/37/906020837.db2.gz JTVMEUIVDCQXNL-SFHVURJKSA-N 1 2 302.418 1.507 20 30 DDEDLO C=C(C)CCC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1c[nH]c[nH+]1)C2 ZINC001282796547 906046973 /nfs/dbraw/zinc/04/69/73/906046973.db2.gz OLDAFRGSLFZPBF-KFWWJZLASA-N 1 2 316.405 1.557 20 30 DDEDLO C=CCCC(=O)NCCCN(C)C(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001283505364 907486029 /nfs/dbraw/zinc/48/60/29/907486029.db2.gz SCJXQRMHOIEJIS-UHFFFAOYSA-N 1 2 320.437 1.858 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@H](C)CN(C)C(=O)CSCC#N)o1 ZINC001283571709 907621609 /nfs/dbraw/zinc/62/16/09/907621609.db2.gz IUPGCWSVXDSCIA-ZJUUUORDSA-N 1 2 311.411 1.132 20 30 DDEDLO C[C@@H](CN(C)C(=O)C#CC1CC1)[NH2+]Cc1nc(C2CCC2)no1 ZINC001283607489 907668235 /nfs/dbraw/zinc/66/82/35/907668235.db2.gz DNSWTCOFGFNEEI-LBPRGKRZSA-N 1 2 316.405 1.687 20 30 DDEDLO CCc1nc(C[NH2+][C@@H](C)CCNC(=O)c2ccc(C#N)[nH]2)no1 ZINC001393801396 907958846 /nfs/dbraw/zinc/95/88/46/907958846.db2.gz ZJQNCIZIVYFIAU-JTQLQIEISA-N 1 2 316.365 1.130 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001284434162 908996158 /nfs/dbraw/zinc/99/61/58/908996158.db2.gz WKWZYYNXFRLFNJ-GFCCVEGCSA-N 1 2 306.410 1.628 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1ncc(C)cn1 ZINC001284484202 909067061 /nfs/dbraw/zinc/06/70/61/909067061.db2.gz ZSJUEACTZVXSPU-AWEZNQCLSA-N 1 2 306.410 1.002 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1ncc(C)cn1 ZINC001284484202 909067078 /nfs/dbraw/zinc/06/70/78/909067078.db2.gz ZSJUEACTZVXSPU-AWEZNQCLSA-N 1 2 306.410 1.002 20 30 DDEDLO C=C(Cl)CN1CC[NH+](CCN(C)C(=O)c2ccc[nH]2)CC1 ZINC001373247422 909303054 /nfs/dbraw/zinc/30/30/54/909303054.db2.gz PZLZWDCJPQVDOM-UHFFFAOYSA-N 1 2 310.829 1.457 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001284673964 909339376 /nfs/dbraw/zinc/33/93/76/909339376.db2.gz JBPYFSFHNXZRND-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1=CC[N@H+](Cc2cc(C)on2)CC1 ZINC001284909241 909711378 /nfs/dbraw/zinc/71/13/78/909711378.db2.gz IWXBNFROYWVFPF-CQSZACIVSA-N 1 2 317.389 1.270 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1=CC[N@@H+](Cc2cc(C)on2)CC1 ZINC001284909241 909711400 /nfs/dbraw/zinc/71/14/00/909711400.db2.gz IWXBNFROYWVFPF-CQSZACIVSA-N 1 2 317.389 1.270 20 30 DDEDLO CCn1nncc1C[N@H+]1CC=C(CNC(=O)C#CC(C)C)CC1 ZINC001284911266 909717240 /nfs/dbraw/zinc/71/72/40/909717240.db2.gz YTUCPJNQZOONBE-UHFFFAOYSA-N 1 2 315.421 1.206 20 30 DDEDLO CCn1nncc1C[N@@H+]1CC=C(CNC(=O)C#CC(C)C)CC1 ZINC001284911266 909717253 /nfs/dbraw/zinc/71/72/53/909717253.db2.gz YTUCPJNQZOONBE-UHFFFAOYSA-N 1 2 315.421 1.206 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCCn2cccn2)C1 ZINC001373407159 909776511 /nfs/dbraw/zinc/77/65/11/909776511.db2.gz IVRPNRIEWBEGOG-AWEZNQCLSA-N 1 2 310.829 1.996 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCCn2cccn2)C1 ZINC001373407159 909776518 /nfs/dbraw/zinc/77/65/18/909776518.db2.gz IVRPNRIEWBEGOG-AWEZNQCLSA-N 1 2 310.829 1.996 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC1CN(Cc2ccccc2C#N)C1 ZINC001373462768 909920312 /nfs/dbraw/zinc/92/03/12/909920312.db2.gz NVIFJMBPDNCTTK-ZDUSSCGKSA-N 1 2 323.400 1.461 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC1CN(Cc2ccccc2C#N)C1 ZINC001373462768 909920318 /nfs/dbraw/zinc/92/03/18/909920318.db2.gz NVIFJMBPDNCTTK-ZDUSSCGKSA-N 1 2 323.400 1.461 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](CC)NC(=O)Cc1[nH]c[nH+]c1C ZINC001285061913 910019272 /nfs/dbraw/zinc/01/92/72/910019272.db2.gz KHUZXDNCRXHGDK-CYBMUJFWSA-N 1 2 306.410 1.628 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](CNC(=O)CCn1cc[nH+]c1)C(C)C ZINC001285336857 910374765 /nfs/dbraw/zinc/37/47/65/910374765.db2.gz SLKWUKPMASUXKF-CQSZACIVSA-N 1 2 320.437 1.742 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373659432 910521658 /nfs/dbraw/zinc/52/16/58/910521658.db2.gz IVVGAFGUVFEESR-WFASDCNBSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373659432 910521669 /nfs/dbraw/zinc/52/16/69/910521669.db2.gz IVVGAFGUVFEESR-WFASDCNBSA-N 1 2 319.380 1.765 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001285755569 911154704 /nfs/dbraw/zinc/15/47/04/911154704.db2.gz HYNNBOHPWOFWGX-QWHCGFSZSA-N 1 2 306.410 1.186 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001285769185 911179940 /nfs/dbraw/zinc/17/99/40/911179940.db2.gz KWFRZEMZILEJRD-KGLIPLIRSA-N 1 2 318.421 1.403 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001285769185 911179953 /nfs/dbraw/zinc/17/99/53/911179953.db2.gz KWFRZEMZILEJRD-KGLIPLIRSA-N 1 2 318.421 1.403 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001285903024 911394947 /nfs/dbraw/zinc/39/49/47/911394947.db2.gz KJPRBUYLPNMYQW-BBRMVZONSA-N 1 2 304.394 1.272 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[NH+](Cc2ccc(-n3cccn3)cc2)CC1 ZINC001374052426 911745025 /nfs/dbraw/zinc/74/50/25/911745025.db2.gz IAZOKVIATKBOKA-HNNXBMFYSA-N 1 2 323.400 1.676 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001286207513 911830558 /nfs/dbraw/zinc/83/05/58/911830558.db2.gz WVHFOTOFWRRVOC-ZDUSSCGKSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)Cn2cc[nH+]c2)CC1(C)C ZINC001286235514 911866005 /nfs/dbraw/zinc/86/60/05/911866005.db2.gz HZJKDYWBFNXKPI-CYBMUJFWSA-N 1 2 318.421 1.449 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCCCN(C)C(=O)[C@@H](C)C#N)c[nH+]1 ZINC001294160526 914953877 /nfs/dbraw/zinc/95/38/77/914953877.db2.gz OBDKVRBFQWESGX-LBPRGKRZSA-N 1 2 319.409 1.051 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001295096209 915564386 /nfs/dbraw/zinc/56/43/86/915564386.db2.gz ASAIQMBYTZIHSS-JOCQHMNTSA-N 1 2 312.373 1.906 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001295211927 915652277 /nfs/dbraw/zinc/65/22/77/915652277.db2.gz YJTJCQUKQRQQAR-GBOPCIDUSA-N 1 2 318.421 1.564 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001295211927 915652288 /nfs/dbraw/zinc/65/22/88/915652288.db2.gz YJTJCQUKQRQQAR-GBOPCIDUSA-N 1 2 318.421 1.564 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@H]21 ZINC001295375503 915750102 /nfs/dbraw/zinc/75/01/02/915750102.db2.gz QYZJTFOQDQANJM-RPCCPQHDSA-N 1 2 316.405 1.128 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)NC(=O)CCc2[nH]cc[nH+]2)cc1 ZINC001295592760 915918602 /nfs/dbraw/zinc/91/86/02/915918602.db2.gz ZTOTVLIVCMTPQV-CYBMUJFWSA-N 1 2 324.384 1.258 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001296129226 916249563 /nfs/dbraw/zinc/24/95/63/916249563.db2.gz TYJJOOAQONMMCT-CHWSQXEVSA-N 1 2 304.394 1.444 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001296129226 916249587 /nfs/dbraw/zinc/24/95/87/916249587.db2.gz TYJJOOAQONMMCT-CHWSQXEVSA-N 1 2 304.394 1.444 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H](NC(C)=O)[C@@H](C)CC)C1 ZINC001376166562 917859961 /nfs/dbraw/zinc/85/99/61/917859961.db2.gz PLIFXUNMJMIHIV-GDLCADMTSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](NC(C)=O)[C@@H](C)CC)C1 ZINC001376166562 917859969 /nfs/dbraw/zinc/85/99/69/917859969.db2.gz PLIFXUNMJMIHIV-GDLCADMTSA-N 1 2 315.845 1.480 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@H]([NH2+]Cc2noc(-c3ccoc3)n2)C1 ZINC001376357424 918311660 /nfs/dbraw/zinc/31/16/60/918311660.db2.gz INMLGTHKYSSOHL-YUSALJHKSA-N 1 2 315.333 1.226 20 30 DDEDLO C[C@H](CNC(=O)c1c[nH]c(C#N)c1)[NH2+]Cc1nnc(C2CC2)o1 ZINC001376719784 919163763 /nfs/dbraw/zinc/16/37/63/919163763.db2.gz YXUOOZJXPGOHBZ-SECBINFHSA-N 1 2 314.349 1.055 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](CCNC(=O)C2=NC(=O)N(C)C2)C1 ZINC001377713847 922779878 /nfs/dbraw/zinc/77/98/78/922779878.db2.gz ZBBOYRYYHHKXEE-LLVKDONJSA-N 1 2 312.801 1.320 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](CCNC(=O)C2=NC(=O)N(C)C2)C1 ZINC001377713847 922779888 /nfs/dbraw/zinc/77/98/88/922779888.db2.gz ZBBOYRYYHHKXEE-LLVKDONJSA-N 1 2 312.801 1.320 20 30 DDEDLO C[N@H+](CCOc1cccc(C#N)c1)Cc1ncnn1CC(F)F ZINC000278495071 214190603 /nfs/dbraw/zinc/19/06/03/214190603.db2.gz KELFFHZPHQYOLL-UHFFFAOYSA-N 1 2 321.331 1.926 20 30 DDEDLO C[N@@H+](CCOc1cccc(C#N)c1)Cc1ncnn1CC(F)F ZINC000278495071 214190606 /nfs/dbraw/zinc/19/06/06/214190606.db2.gz KELFFHZPHQYOLL-UHFFFAOYSA-N 1 2 321.331 1.926 20 30 DDEDLO C[C@H](O)C[N@H+](CCC(=O)Nc1ccccc1C#N)CC(F)F ZINC000451845491 231211073 /nfs/dbraw/zinc/21/10/73/231211073.db2.gz XEMBORCXBYQVIX-NSHDSACASA-N 1 2 311.332 1.835 20 30 DDEDLO C[C@H](O)C[N@@H+](CCC(=O)Nc1ccccc1C#N)CC(F)F ZINC000451845491 231211075 /nfs/dbraw/zinc/21/10/75/231211075.db2.gz XEMBORCXBYQVIX-NSHDSACASA-N 1 2 311.332 1.835 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)Cn1cnc2ccc(Cl)cc2c1=O ZINC000414108279 529868497 /nfs/dbraw/zinc/86/84/97/529868497.db2.gz LNUROFNVAMFBQE-GFCCVEGCSA-N 1 2 320.780 1.256 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)Cn1cnc2ccc(Cl)cc2c1=O ZINC000414108279 529868499 /nfs/dbraw/zinc/86/84/99/529868499.db2.gz LNUROFNVAMFBQE-GFCCVEGCSA-N 1 2 320.780 1.256 20 30 DDEDLO Cc1cn2cc(NC(=O)C(=O)N3CC[C@](F)(C#N)C3)ccc2[nH+]1 ZINC000615634319 362375174 /nfs/dbraw/zinc/37/51/74/362375174.db2.gz YKBQOOLUWIUIQV-HNNXBMFYSA-N 1 2 315.308 1.045 20 30 DDEDLO COCCN(CC#N)C(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000457180694 232008397 /nfs/dbraw/zinc/00/83/97/232008397.db2.gz IGSSEHGAAZMXIR-UHFFFAOYSA-N 1 2 317.389 1.131 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCN1CCc2ccccc21 ZINC000292126974 222732067 /nfs/dbraw/zinc/73/20/67/222732067.db2.gz RZVIKGSSJNZTCQ-UHFFFAOYSA-N 1 2 304.394 1.253 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@@H](C)C(=O)N2CCCCC2)n1 ZINC000279743060 215107653 /nfs/dbraw/zinc/10/76/53/215107653.db2.gz PCFJEGWSZAZZMU-HNNXBMFYSA-N 1 2 302.422 1.739 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@@H](C)C(=O)N2CCCCC2)n1 ZINC000279743060 215107656 /nfs/dbraw/zinc/10/76/56/215107656.db2.gz PCFJEGWSZAZZMU-HNNXBMFYSA-N 1 2 302.422 1.739 20 30 DDEDLO C=C[C@@H](CC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C)c1ccccc1 ZINC000270454849 186394614 /nfs/dbraw/zinc/39/46/14/186394614.db2.gz VMTIVMQZCQHLAP-DOTOQJQBSA-N 1 2 301.434 1.708 20 30 DDEDLO C=C[C@@H](CC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)c1ccccc1 ZINC000270454849 186394616 /nfs/dbraw/zinc/39/46/16/186394616.db2.gz VMTIVMQZCQHLAP-DOTOQJQBSA-N 1 2 301.434 1.708 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)CCc1ccc(C#N)cc1 ZINC000046884471 352467308 /nfs/dbraw/zinc/46/73/08/352467308.db2.gz BILYQNDRDGAIGQ-CQSZACIVSA-N 1 2 301.390 1.328 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(C#N)CCCCC2)CC1 ZINC000063770148 352924268 /nfs/dbraw/zinc/92/42/68/352924268.db2.gz KVGOAJKGVFNMHQ-UHFFFAOYSA-N 1 2 320.437 1.131 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCN(C(=O)C2(C#N)CCCCC2)CC1 ZINC000063770148 352924272 /nfs/dbraw/zinc/92/42/72/352924272.db2.gz KVGOAJKGVFNMHQ-UHFFFAOYSA-N 1 2 320.437 1.131 20 30 DDEDLO C=CC[N@H+](CCc1ccc2c(c1)CCO2)[C@H]1CCS(=O)(=O)C1 ZINC000173360504 198206440 /nfs/dbraw/zinc/20/64/40/198206440.db2.gz MUZQOCTXPNHYNN-INIZCTEOSA-N 1 2 321.442 1.839 20 30 DDEDLO C=CC[N@@H+](CCc1ccc2c(c1)CCO2)[C@H]1CCS(=O)(=O)C1 ZINC000173360504 198206441 /nfs/dbraw/zinc/20/64/41/198206441.db2.gz MUZQOCTXPNHYNN-INIZCTEOSA-N 1 2 321.442 1.839 20 30 DDEDLO COc1ccc(CNC(=O)[C@H](C)[N@H+](C)C[C@@H](C)C#N)cc1OC ZINC000066469692 352998786 /nfs/dbraw/zinc/99/87/86/352998786.db2.gz WHBQQHBOBBJZBV-STQMWFEESA-N 1 2 319.405 1.800 20 30 DDEDLO COc1ccc(CNC(=O)[C@H](C)[N@@H+](C)C[C@@H](C)C#N)cc1OC ZINC000066469692 352998788 /nfs/dbraw/zinc/99/87/88/352998788.db2.gz WHBQQHBOBBJZBV-STQMWFEESA-N 1 2 319.405 1.800 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CSc2nc(C)cc(C)n2)CC1 ZINC000068444969 353112822 /nfs/dbraw/zinc/11/28/22/353112822.db2.gz SPRHAYHQCMGXPD-UHFFFAOYSA-N 1 2 318.446 1.353 20 30 DDEDLO Cc1ccc2[nH+]c(CNS(=O)(=O)CCCCC#N)cn2c1 ZINC000068897695 353135209 /nfs/dbraw/zinc/13/52/09/353135209.db2.gz IQHABJWTBFHTDM-UHFFFAOYSA-N 1 2 306.391 1.756 20 30 DDEDLO CN(CCC#N)S(=O)(=O)N1CC[N@H+](C)C[C@H]1c1ccccc1 ZINC000078351267 353512991 /nfs/dbraw/zinc/51/29/91/353512991.db2.gz UKJHNVIMSWRSJC-HNNXBMFYSA-N 1 2 322.434 1.065 20 30 DDEDLO CN(CCC#N)S(=O)(=O)N1CC[N@@H+](C)C[C@H]1c1ccccc1 ZINC000078351267 353512992 /nfs/dbraw/zinc/51/29/92/353512992.db2.gz UKJHNVIMSWRSJC-HNNXBMFYSA-N 1 2 322.434 1.065 20 30 DDEDLO C#CCN(Cc1cn2cc(C)ccc2[nH+]1)[C@H]1CCS(=O)(=O)C1 ZINC000084074919 353716790 /nfs/dbraw/zinc/71/67/90/353716790.db2.gz SKSJHDGRRHKJBJ-HNNXBMFYSA-N 1 2 317.414 1.265 20 30 DDEDLO CC1(C)C[NH+]=C(N2CCN(C(=O)c3cc(C#N)c[nH]3)CC2)S1 ZINC000085263763 353732023 /nfs/dbraw/zinc/73/20/23/353732023.db2.gz XOLLXYUDWOEUFN-UHFFFAOYSA-N 1 2 317.418 1.526 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCC(O)(C(F)(F)F)CC1 ZINC000089970249 353786281 /nfs/dbraw/zinc/78/62/81/353786281.db2.gz SMBFPVYENNSMHJ-UHFFFAOYSA-N 1 2 307.316 1.138 20 30 DDEDLO CCOC(=O)C1(C)CC[NH+](CC(=O)N[C@@](C)(C#N)C2CC2)CC1 ZINC000092823525 353870813 /nfs/dbraw/zinc/87/08/13/353870813.db2.gz LJDQTMLTLBAYAZ-KRWDZBQOSA-N 1 2 321.421 1.460 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(c2ncc(C#N)cc2Cl)CC1 ZINC000108952335 353955807 /nfs/dbraw/zinc/95/58/07/353955807.db2.gz WFKQBTRVNCWOPP-UHFFFAOYSA-N 1 2 316.796 1.662 20 30 DDEDLO C=CCSCCNC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000194632053 354294278 /nfs/dbraw/zinc/29/42/78/354294278.db2.gz RYUSQFCFIKVXHC-UHFFFAOYSA-N 1 2 320.462 1.828 20 30 DDEDLO CN(C)S(=O)(=O)c1cccc(C[NH2+]C[C@@H](C#N)CCC#N)c1 ZINC000580734899 354727010 /nfs/dbraw/zinc/72/70/10/354727010.db2.gz LTULJZKAUSFRSB-CQSZACIVSA-N 1 2 320.418 1.470 20 30 DDEDLO Cc1cc(C#N)cc(N[C@@H]2CCCN(Cc3[nH+]ccn3C)C2=O)n1 ZINC000588015187 354893892 /nfs/dbraw/zinc/89/38/92/354893892.db2.gz GYDHJUAUJAQKSH-CQSZACIVSA-N 1 2 324.388 1.598 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@H]1CCc2c[nH+]cn2C1 ZINC000587057697 354880245 /nfs/dbraw/zinc/88/02/45/354880245.db2.gz XVERTQNQWUCZCU-OCCSQVGLSA-N 1 2 310.357 1.653 20 30 DDEDLO N#Cc1cccc(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)n1 ZINC000589269035 354980616 /nfs/dbraw/zinc/98/06/16/354980616.db2.gz LMUOTTYGOGCKSO-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO Cc1nc(N2CC[NH+]([C@H]3CCOC3)CC2)c(Cl)cc1C#N ZINC000589689332 355012308 /nfs/dbraw/zinc/01/23/08/355012308.db2.gz HNKOQHDKPYZTQN-ZDUSSCGKSA-N 1 2 306.797 1.826 20 30 DDEDLO N#CCN1CCC([NH2+][C@H](c2nnc[nH]2)c2ccc(F)cc2)CC1 ZINC000590243009 355060998 /nfs/dbraw/zinc/06/09/98/355060998.db2.gz DGTUIMAXXRVUJD-HNNXBMFYSA-N 1 2 314.368 1.611 20 30 DDEDLO N#CCc1ccc(CNC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000592000220 355458591 /nfs/dbraw/zinc/45/85/91/355458591.db2.gz UOMGMDOXSIDBSW-AWEZNQCLSA-N 1 2 309.373 1.832 20 30 DDEDLO N#CCc1ccc(CNC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000592000220 355458594 /nfs/dbraw/zinc/45/85/94/355458594.db2.gz UOMGMDOXSIDBSW-AWEZNQCLSA-N 1 2 309.373 1.832 20 30 DDEDLO CCc1ccc(NC(=O)[C@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592147848 355514185 /nfs/dbraw/zinc/51/41/85/355514185.db2.gz OYOJZUNIWJZGAL-SUMWQHHRSA-N 1 2 301.390 1.926 20 30 DDEDLO CCc1ccc(NC(=O)[C@H](C)[N@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592147848 355514188 /nfs/dbraw/zinc/51/41/88/355514188.db2.gz OYOJZUNIWJZGAL-SUMWQHHRSA-N 1 2 301.390 1.926 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000592150195 355517802 /nfs/dbraw/zinc/51/78/02/355517802.db2.gz ASKXRGVGRCLDFR-RDJZCZTQSA-N 1 2 317.364 1.672 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000592150195 355517805 /nfs/dbraw/zinc/51/78/05/355517805.db2.gz ASKXRGVGRCLDFR-RDJZCZTQSA-N 1 2 317.364 1.672 20 30 DDEDLO N#CCCC1CC[NH+]([C@H]2CC(=O)N(CC(F)(F)F)C2=O)CC1 ZINC000592440889 355585253 /nfs/dbraw/zinc/58/52/53/355585253.db2.gz ABLKHPKKKPVWAV-NSHDSACASA-N 1 2 317.311 1.692 20 30 DDEDLO CC[C@H](C#N)C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000593417789 355879504 /nfs/dbraw/zinc/87/95/04/355879504.db2.gz MZTFVVDVGJYUNT-OAHLLOKOSA-N 1 2 300.406 1.922 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000594009035 356086393 /nfs/dbraw/zinc/08/63/93/356086393.db2.gz ZUKFZWBVDXHXKU-LLVKDONJSA-N 1 2 309.391 1.310 20 30 DDEDLO Cn1cnnc1N1CC[NH+](Cc2cccc(C#N)c2F)CC1 ZINC000594023794 356091904 /nfs/dbraw/zinc/09/19/04/356091904.db2.gz UJGNHZJCEVBWPT-UHFFFAOYSA-N 1 2 300.341 1.148 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)NCC2CC[NH+](CC(F)F)CC2)c1 ZINC000594361074 356182990 /nfs/dbraw/zinc/18/29/90/356182990.db2.gz ODWRXKHDBRTVRB-UHFFFAOYSA-N 1 2 323.343 1.971 20 30 DDEDLO N#CCC1CN(S(=O)(=O)c2ccccc2Cn2cc[nH+]c2)C1 ZINC000594826115 356328910 /nfs/dbraw/zinc/32/89/10/356328910.db2.gz VOBKFMGMBGKJOK-UHFFFAOYSA-N 1 2 316.386 1.466 20 30 DDEDLO C[C@@H](NS(=O)(=O)c1cccc(CC#N)c1)c1[nH+]ccn1C ZINC000595383963 356473762 /nfs/dbraw/zinc/47/37/62/356473762.db2.gz SCANWSBSJPAZQZ-LLVKDONJSA-N 1 2 304.375 1.526 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)N1CCC[C@H](CC#N)C1 ZINC000595447959 356496828 /nfs/dbraw/zinc/49/68/28/356496828.db2.gz IGCVLAHWKVJJNY-CYBMUJFWSA-N 1 2 301.394 1.983 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)N2CCC[C@@H](CC#N)C2)CCO1 ZINC000595547858 356544505 /nfs/dbraw/zinc/54/45/05/356544505.db2.gz SWFQGQRIANOVIX-AWEZNQCLSA-N 1 2 308.426 1.432 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)N2CCC[C@@H](CC#N)C2)CCO1 ZINC000595547858 356544510 /nfs/dbraw/zinc/54/45/10/356544510.db2.gz SWFQGQRIANOVIX-AWEZNQCLSA-N 1 2 308.426 1.432 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CC[C@@]3(O)C[C@H]3C2)cc1Cl ZINC000595757714 356639980 /nfs/dbraw/zinc/63/99/80/356639980.db2.gz PSQUPNLWYOBRCV-XHDPSFHLSA-N 1 2 305.765 1.607 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CC[C@@]3(O)C[C@H]3C2)cc1Cl ZINC000595757714 356639983 /nfs/dbraw/zinc/63/99/83/356639983.db2.gz PSQUPNLWYOBRCV-XHDPSFHLSA-N 1 2 305.765 1.607 20 30 DDEDLO N#CCNC(=O)C[NH2+][C@H](CO)c1cccc(Cl)c1Cl ZINC000595827494 356667087 /nfs/dbraw/zinc/66/70/87/356667087.db2.gz CQWZZADNZWZOCY-SNVBAGLBSA-N 1 2 302.161 1.256 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@@H+]1CCc2nccnc2C1 ZINC000595864690 356683393 /nfs/dbraw/zinc/68/33/93/356683393.db2.gz QMADTKIVXZEWON-UHFFFAOYSA-N 1 2 313.386 1.797 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@H+]1CCc2nccnc2C1 ZINC000595864690 356683399 /nfs/dbraw/zinc/68/33/99/356683399.db2.gz QMADTKIVXZEWON-UHFFFAOYSA-N 1 2 313.386 1.797 20 30 DDEDLO C[C@H]([NH2+][C@@H](CO)c1ccc(F)cc1F)C(=O)N(C)CCC#N ZINC000595866090 356683970 /nfs/dbraw/zinc/68/39/70/356683970.db2.gz DBIWEXLCMNHTGV-HZMBPMFUSA-N 1 2 311.332 1.348 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1C[C@H](C)O[C@](C)(C(F)F)C1 ZINC000595913488 356703464 /nfs/dbraw/zinc/70/34/64/356703464.db2.gz DZVUKFDQUJHQCG-BHDSKKPTSA-N 1 2 319.352 1.131 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@H](C)O[C@](C)(C(F)F)C1 ZINC000595913488 356703465 /nfs/dbraw/zinc/70/34/65/356703465.db2.gz DZVUKFDQUJHQCG-BHDSKKPTSA-N 1 2 319.352 1.131 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1Cc2c[nH]nc2CC(C)(C)C1 ZINC000596599745 356930204 /nfs/dbraw/zinc/93/02/04/356930204.db2.gz XWINCSIYCOWQNO-ZDUSSCGKSA-N 1 2 317.437 1.802 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1Cc2c[nH]nc2CC(C)(C)C1 ZINC000596599745 356930210 /nfs/dbraw/zinc/93/02/10/356930210.db2.gz XWINCSIYCOWQNO-ZDUSSCGKSA-N 1 2 317.437 1.802 20 30 DDEDLO C[C@H](CC#N)C(=O)N1CC[NH+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000596726221 356961941 /nfs/dbraw/zinc/96/19/41/356961941.db2.gz JMBZHGCUOXFZBO-CABCVRRESA-N 1 2 320.437 1.081 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)NCCc1ccc(C#N)cc1 ZINC000596673978 356949209 /nfs/dbraw/zinc/94/92/09/356949209.db2.gz SCNLCWBUUOAXDC-UHFFFAOYSA-N 1 2 316.405 1.074 20 30 DDEDLO C[C@@H](CC#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000596675468 356949829 /nfs/dbraw/zinc/94/98/29/356949829.db2.gz TVOMYQYVAFDITI-GOEBONIOSA-N 1 2 301.390 1.553 20 30 DDEDLO C[C@@H](CC#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000596675468 356949830 /nfs/dbraw/zinc/94/98/30/356949830.db2.gz TVOMYQYVAFDITI-GOEBONIOSA-N 1 2 301.390 1.553 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2cc(F)c(C#N)c(F)c2)CCO1 ZINC000597054186 357049416 /nfs/dbraw/zinc/04/94/16/357049416.db2.gz WORUNDAAMJYZPN-JTQLQIEISA-N 1 2 309.316 1.287 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2cc(F)c(C#N)c(F)c2)CCO1 ZINC000597054186 357049419 /nfs/dbraw/zinc/04/94/19/357049419.db2.gz WORUNDAAMJYZPN-JTQLQIEISA-N 1 2 309.316 1.287 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)[C@@H](C)CO1 ZINC000597994171 357410806 /nfs/dbraw/zinc/41/08/06/357410806.db2.gz AWUFIWBMWJSNCP-WDEREUQCSA-N 1 2 323.343 1.675 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)[C@@H](C)CO1 ZINC000597994171 357410809 /nfs/dbraw/zinc/41/08/09/357410809.db2.gz AWUFIWBMWJSNCP-WDEREUQCSA-N 1 2 323.343 1.675 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2cc(F)c(C#N)c(F)c2)[C@H](C)CO1 ZINC000598000259 357415633 /nfs/dbraw/zinc/41/56/33/357415633.db2.gz YREAZVAANHTCGZ-GHMZBOCLSA-N 1 2 323.343 1.675 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2cc(F)c(C#N)c(F)c2)[C@H](C)CO1 ZINC000598000259 357415638 /nfs/dbraw/zinc/41/56/38/357415638.db2.gz YREAZVAANHTCGZ-GHMZBOCLSA-N 1 2 323.343 1.675 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@@H+]1CCNC(=O)[C@H]1c1ccccc1 ZINC000598597365 357647599 /nfs/dbraw/zinc/64/75/99/357647599.db2.gz ZUQNRDZHRKXJMY-HUUCEWRRSA-N 1 2 301.390 1.460 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@H+]1CCNC(=O)[C@H]1c1ccccc1 ZINC000598597365 357647602 /nfs/dbraw/zinc/64/76/02/357647602.db2.gz ZUQNRDZHRKXJMY-HUUCEWRRSA-N 1 2 301.390 1.460 20 30 DDEDLO CO[C@@H]1C[C@@H](c2nnc(C)[nH]2)[N@H+](C[C@@H](O)CC(C)(C)C#N)C1 ZINC000598618737 357656893 /nfs/dbraw/zinc/65/68/93/357656893.db2.gz PIDGUAABBRDCFV-XQQFMLRXSA-N 1 2 307.398 1.176 20 30 DDEDLO CO[C@@H]1C[C@@H](c2nnc(C)[nH]2)[N@@H+](C[C@@H](O)CC(C)(C)C#N)C1 ZINC000598618737 357656896 /nfs/dbraw/zinc/65/68/96/357656896.db2.gz PIDGUAABBRDCFV-XQQFMLRXSA-N 1 2 307.398 1.176 20 30 DDEDLO O=C(CC1CCCC1)NCC(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000329697849 223014825 /nfs/dbraw/zinc/01/48/25/223014825.db2.gz CKYIIOJFROXMLU-HNNXBMFYSA-N 1 2 323.437 1.456 20 30 DDEDLO Cc1ccc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)c2n[nH]cc21 ZINC000329782578 223027586 /nfs/dbraw/zinc/02/75/86/223027586.db2.gz NZSAZBZOGAQDOX-UONOGXRCSA-N 1 2 315.377 1.432 20 30 DDEDLO Cc1ccc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)c2n[nH]cc21 ZINC000329782578 223027587 /nfs/dbraw/zinc/02/75/87/223027587.db2.gz NZSAZBZOGAQDOX-UONOGXRCSA-N 1 2 315.377 1.432 20 30 DDEDLO CCC[C@](C)([NH2+]C[C@@H](O)COc1ccccc1C#N)C(=O)OC ZINC000599304023 357884099 /nfs/dbraw/zinc/88/40/99/357884099.db2.gz PBSVACXOSGGQPW-PBHICJAKSA-N 1 2 320.389 1.619 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@@H](O)COc2ccccc2C#N)s1 ZINC000599479066 357951106 /nfs/dbraw/zinc/95/11/06/357951106.db2.gz ULPYXRKSXAYPCY-CYBMUJFWSA-N 1 2 318.402 1.590 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@@H](O)COc2ccccc2C#N)s1 ZINC000599479066 357951109 /nfs/dbraw/zinc/95/11/09/357951109.db2.gz ULPYXRKSXAYPCY-CYBMUJFWSA-N 1 2 318.402 1.590 20 30 DDEDLO COC(=O)[C@]1(OC)CC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000599559968 357973723 /nfs/dbraw/zinc/97/37/23/357973723.db2.gz ZJNJCMRWKBZOBU-HNNXBMFYSA-N 1 2 319.317 1.230 20 30 DDEDLO COC(=O)[C@]1(OC)CC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000599559968 357973724 /nfs/dbraw/zinc/97/37/24/357973724.db2.gz ZJNJCMRWKBZOBU-HNNXBMFYSA-N 1 2 319.317 1.230 20 30 DDEDLO Cn1c(C(=O)NC2(C#N)CC[NH+](C)CC2)cc(=O)c2ccccc21 ZINC000601043025 358391951 /nfs/dbraw/zinc/39/19/51/358391951.db2.gz QSYJPLHVXFRPBA-UHFFFAOYSA-N 1 2 324.384 1.256 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC000601416734 358543110 /nfs/dbraw/zinc/54/31/10/358543110.db2.gz WTBNRDLRMQNETR-UHFFFAOYSA-N 1 2 320.349 1.407 20 30 DDEDLO N#CCCN1CCN(C(=O)NCCCNc2cccc[nH+]2)CC1 ZINC000601576982 358608704 /nfs/dbraw/zinc/60/87/04/358608704.db2.gz PENHSXMJOOZVNM-UHFFFAOYSA-N 1 2 316.409 1.124 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H](c2ccccc2)[NH+]2CCOCC2)c[nH]1 ZINC000181193017 199264006 /nfs/dbraw/zinc/26/40/06/199264006.db2.gz VKCQLVUISFQNLM-QGZVFWFLSA-N 1 2 324.384 1.690 20 30 DDEDLO CN1CCCC[C@@H]([N@H+](C)[C@@H](C(N)=O)c2ccc(C#N)cc2)C1=O ZINC000601967747 358757992 /nfs/dbraw/zinc/75/79/92/358757992.db2.gz APIWFCBPSQTSQD-HUUCEWRRSA-N 1 2 314.389 1.027 20 30 DDEDLO CN1CCCC[C@@H]([N@@H+](C)[C@@H](C(N)=O)c2ccc(C#N)cc2)C1=O ZINC000601967747 358757995 /nfs/dbraw/zinc/75/79/95/358757995.db2.gz APIWFCBPSQTSQD-HUUCEWRRSA-N 1 2 314.389 1.027 20 30 DDEDLO N#CCCN1CCN(C(=O)[C@@H]([NH3+])c2c(F)cccc2F)CC1 ZINC000601850949 358715348 /nfs/dbraw/zinc/71/53/48/358715348.db2.gz JBINFBDRCWPUNI-AWEZNQCLSA-N 1 2 308.332 1.022 20 30 DDEDLO Cc1ncc(CC(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)o1 ZINC000602757461 359170384 /nfs/dbraw/zinc/17/03/84/359170384.db2.gz FUBIOZVRBIWGDL-UHFFFAOYSA-N 1 2 324.384 1.742 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@H](OC)C[N@@H+]1Cc1ccc(C#N)cc1OC ZINC000602934709 359304029 /nfs/dbraw/zinc/30/40/29/359304029.db2.gz HVGSCIXURMGYIF-WMLDXEAASA-N 1 2 318.373 1.719 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@H](OC)C[N@H+]1Cc1ccc(C#N)cc1OC ZINC000602934709 359304031 /nfs/dbraw/zinc/30/40/31/359304031.db2.gz HVGSCIXURMGYIF-WMLDXEAASA-N 1 2 318.373 1.719 20 30 DDEDLO COc1cc(NC(=O)N[C@@H]2Cc3c[nH+]cn3C2)c(F)cc1C#N ZINC000603060237 359382096 /nfs/dbraw/zinc/38/20/96/359382096.db2.gz KFACJYNKSXBWBZ-SNVBAGLBSA-N 1 2 315.308 1.649 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)Nc1ccccc1)[C@H]1CCC[C@@H]1C#N ZINC000602980471 359337291 /nfs/dbraw/zinc/33/72/91/359337291.db2.gz QKLYOIJJKRQWNB-OCCSQVGLSA-N 1 2 300.362 1.959 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)Nc1ccccc1)[C@H]1CCC[C@@H]1C#N ZINC000602980471 359337295 /nfs/dbraw/zinc/33/72/95/359337295.db2.gz QKLYOIJJKRQWNB-OCCSQVGLSA-N 1 2 300.362 1.959 20 30 DDEDLO CC1(F)CC[NH+](CCS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000602992612 359343067 /nfs/dbraw/zinc/34/30/67/359343067.db2.gz AKCXUEZBKIMLFU-UHFFFAOYSA-N 1 2 311.382 1.551 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Nc1ccnc(C#N)c1 ZINC000603124863 359420351 /nfs/dbraw/zinc/42/03/51/359420351.db2.gz DXOQMHMHIXJQFM-WFASDCNBSA-N 1 2 315.377 1.280 20 30 DDEDLO N#Cc1cc(F)cc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC000603066459 359385699 /nfs/dbraw/zinc/38/56/99/359385699.db2.gz PFHGQQLUEUUEEC-OAHLLOKOSA-N 1 2 318.352 1.636 20 30 DDEDLO COCC[N@H+](C)Cc1cn(CCCC2(C#N)CCOCC2)nn1 ZINC000603235592 359504641 /nfs/dbraw/zinc/50/46/41/359504641.db2.gz SMPFBEOZVWPXKN-UHFFFAOYSA-N 1 2 321.425 1.457 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(CCCC2(C#N)CCOCC2)nn1 ZINC000603235592 359504645 /nfs/dbraw/zinc/50/46/45/359504645.db2.gz SMPFBEOZVWPXKN-UHFFFAOYSA-N 1 2 321.425 1.457 20 30 DDEDLO CCN([C@@H](C)C#N)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603390430 359611140 /nfs/dbraw/zinc/61/11/40/359611140.db2.gz ZZTUUYXQSDHGSR-LBPRGKRZSA-N 1 2 307.419 1.597 20 30 DDEDLO CCN([C@@H](C)C#N)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603390430 359611144 /nfs/dbraw/zinc/61/11/44/359611144.db2.gz ZZTUUYXQSDHGSR-LBPRGKRZSA-N 1 2 307.419 1.597 20 30 DDEDLO N#Cc1cccc(CNC(=O)[C@@H]2COCC[N@@H+]2CC2CCC2)c1 ZINC000187200694 200076262 /nfs/dbraw/zinc/07/62/62/200076262.db2.gz SIPPNLPSDYTLOZ-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1cccc(CNC(=O)[C@@H]2COCC[N@H+]2CC2CCC2)c1 ZINC000187200694 200076264 /nfs/dbraw/zinc/07/62/64/200076264.db2.gz SIPPNLPSDYTLOZ-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H]2CCC[N@H+](C)C2)cc1C#N ZINC000604198323 359725004 /nfs/dbraw/zinc/72/50/04/359725004.db2.gz GMERMVVQFDXUKA-JTQLQIEISA-N 1 2 306.391 1.205 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H]2CCC[N@@H+](C)C2)cc1C#N ZINC000604198323 359725009 /nfs/dbraw/zinc/72/50/09/359725009.db2.gz GMERMVVQFDXUKA-JTQLQIEISA-N 1 2 306.391 1.205 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)cc1 ZINC000189063910 200343162 /nfs/dbraw/zinc/34/31/62/200343162.db2.gz DMNUHAXIXLRFCO-QGZVFWFLSA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@H]2COCC[N@H+]2C2CCCC2)cc1 ZINC000189063910 200343164 /nfs/dbraw/zinc/34/31/64/200343164.db2.gz DMNUHAXIXLRFCO-QGZVFWFLSA-N 1 2 313.401 1.818 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+](C2CC2)C1)NC[C@@H]1CCc2[nH+]ccn2C1 ZINC000329928075 223048050 /nfs/dbraw/zinc/04/80/50/223048050.db2.gz XNUILQKEYSQRHR-UONOGXRCSA-N 1 2 317.437 1.433 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+](C2CC2)C1)NC[C@@H]1CCc2[nH+]ccn2C1 ZINC000329928075 223048052 /nfs/dbraw/zinc/04/80/52/223048052.db2.gz XNUILQKEYSQRHR-UONOGXRCSA-N 1 2 317.437 1.433 20 30 DDEDLO [O-]C(NC[C@@H]1CCc2[nH+]ccn2C1)=[NH+]C[C@H]1CC[N@@H+](C2CC2)C1 ZINC000329928075 223048056 /nfs/dbraw/zinc/04/80/56/223048056.db2.gz XNUILQKEYSQRHR-UONOGXRCSA-N 1 2 317.437 1.433 20 30 DDEDLO [O-]C(NC[C@@H]1CCc2[nH+]ccn2C1)=[NH+]C[C@H]1CC[N@H+](C2CC2)C1 ZINC000329928075 223048057 /nfs/dbraw/zinc/04/80/57/223048057.db2.gz XNUILQKEYSQRHR-UONOGXRCSA-N 1 2 317.437 1.433 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNC(=O)NCC2CCC2)cn1 ZINC000329970236 223054695 /nfs/dbraw/zinc/05/46/95/223054695.db2.gz XUBVYTRWZGQLOV-GJZGRUSLSA-N 1 2 323.416 1.636 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNC(=O)NCC2CCC2)cn1 ZINC000329970236 223054700 /nfs/dbraw/zinc/05/47/00/223054700.db2.gz XUBVYTRWZGQLOV-GJZGRUSLSA-N 1 2 323.416 1.636 20 30 DDEDLO N#C[C@@H]1CCC[C@H]1NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000610498163 360453647 /nfs/dbraw/zinc/45/36/47/360453647.db2.gz NAGVZIYHBSNOKL-WMLDXEAASA-N 1 2 313.401 1.941 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)NC[C@@H]2CCCC2=O)CC1 ZINC000330093723 223073598 /nfs/dbraw/zinc/07/35/98/223073598.db2.gz RWQFCJFGVBRVQL-AWEZNQCLSA-N 1 2 318.421 1.958 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC(C(=O)NC[C@@H]2CCCC2=O)CC1 ZINC000330093723 223073601 /nfs/dbraw/zinc/07/36/01/223073601.db2.gz RWQFCJFGVBRVQL-AWEZNQCLSA-N 1 2 318.421 1.958 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC[C@@H](OC(F)F)C2)cc1 ZINC000611578390 360766430 /nfs/dbraw/zinc/76/64/30/360766430.db2.gz CEDOBEOROGMVPH-OLZOCXBDSA-N 1 2 309.316 1.788 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC[C@@H](OC(F)F)C2)cc1 ZINC000611578390 360766432 /nfs/dbraw/zinc/76/64/32/360766432.db2.gz CEDOBEOROGMVPH-OLZOCXBDSA-N 1 2 309.316 1.788 20 30 DDEDLO Cc1cn2c([nH+]1)CN([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC2 ZINC000611801966 360828541 /nfs/dbraw/zinc/82/85/41/360828541.db2.gz LTNPBTVKRLGOBM-CZUORRHYSA-N 1 2 303.410 1.450 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](C[C@@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000612166022 360938516 /nfs/dbraw/zinc/93/85/16/360938516.db2.gz QVLCYHPWMXCHJX-GDBMZVCRSA-N 1 2 319.361 1.960 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](C[C@@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000612166022 360938522 /nfs/dbraw/zinc/93/85/22/360938522.db2.gz QVLCYHPWMXCHJX-GDBMZVCRSA-N 1 2 319.361 1.960 20 30 DDEDLO O=C(CNC(=O)NC1CCCCC1)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330293934 223100839 /nfs/dbraw/zinc/10/08/39/223100839.db2.gz DZIOMXTZHRSIFM-CYBMUJFWSA-N 1 2 319.409 1.991 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)N1CC[C@@H](CCO)C1 ZINC000330938969 223155293 /nfs/dbraw/zinc/15/52/93/223155293.db2.gz VVTXOUIBBLQHRD-JTQLQIEISA-N 1 2 320.315 1.564 20 30 DDEDLO Cc1nnc([C@H]2C[N@H+](CCCC3(C#N)CCOCC3)CCO2)[nH]1 ZINC000332142095 223212034 /nfs/dbraw/zinc/21/20/34/223212034.db2.gz LLQSTDFCVVZRHU-CQSZACIVSA-N 1 2 319.409 1.587 20 30 DDEDLO Cc1nnc([C@H]2C[N@@H+](CCCC3(C#N)CCOCC3)CCO2)[nH]1 ZINC000332142095 223212036 /nfs/dbraw/zinc/21/20/36/223212036.db2.gz LLQSTDFCVVZRHU-CQSZACIVSA-N 1 2 319.409 1.587 20 30 DDEDLO Cc1nc([C@H]2C[N@H+](CCCC3(C#N)CCOCC3)CCO2)n[nH]1 ZINC000332142095 223212039 /nfs/dbraw/zinc/21/20/39/223212039.db2.gz LLQSTDFCVVZRHU-CQSZACIVSA-N 1 2 319.409 1.587 20 30 DDEDLO Cc1nc([C@H]2C[N@@H+](CCCC3(C#N)CCOCC3)CCO2)n[nH]1 ZINC000332142095 223212042 /nfs/dbraw/zinc/21/20/42/223212042.db2.gz LLQSTDFCVVZRHU-CQSZACIVSA-N 1 2 319.409 1.587 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCOC[C@]12CCOC2 ZINC000193723949 201111049 /nfs/dbraw/zinc/11/10/49/201111049.db2.gz CLHATMFAVYVTBN-QGZVFWFLSA-N 1 2 318.373 1.437 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCOC[C@]12CCOC2 ZINC000193723949 201111051 /nfs/dbraw/zinc/11/10/51/201111051.db2.gz CLHATMFAVYVTBN-QGZVFWFLSA-N 1 2 318.373 1.437 20 30 DDEDLO COCC1(C#N)CCN(C(=O)NCCCn2cc[nH+]c2)CC1 ZINC000341334027 223287615 /nfs/dbraw/zinc/28/76/15/223287615.db2.gz HDZVHILHTKPKEX-UHFFFAOYSA-N 1 2 305.382 1.235 20 30 DDEDLO C=CCOC[C@@H]([NH2+]C[C@@H]1CCOc2ccccc21)C(=O)OC ZINC000619509437 364044477 /nfs/dbraw/zinc/04/44/77/364044477.db2.gz RDLOMGWBEGVMJI-DZGCQCFKSA-N 1 2 305.374 1.887 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(CC(=O)NC2CCCC2)CC1 ZINC000619716841 364127764 /nfs/dbraw/zinc/12/77/64/364127764.db2.gz RWHJHUPOXRFOMN-HNNXBMFYSA-N 1 2 308.422 1.875 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)N1CC[NH+](Cc2ccco2)CC1 ZINC000345790299 223351135 /nfs/dbraw/zinc/35/11/35/223351135.db2.gz PAUASCTVRNJGMC-CQSZACIVSA-N 1 2 316.405 1.348 20 30 DDEDLO Cc1ccc([C@H](C[NH+]2CCOCC2)NC(=O)[C@H](C)C#N)cc1 ZINC000347061200 223365262 /nfs/dbraw/zinc/36/52/62/223365262.db2.gz DLPNFQNJDWTGTE-ZBFHGGJFSA-N 1 2 301.390 1.644 20 30 DDEDLO Cc1ccc([C@@H](C[NH+]2CCOCC2)NC(=O)[C@@H](C)C#N)cc1 ZINC000347061196 223366091 /nfs/dbraw/zinc/36/60/91/223366091.db2.gz DLPNFQNJDWTGTE-GOEBONIOSA-N 1 2 301.390 1.644 20 30 DDEDLO N#CCCN(Cc1ccccn1)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000349434249 223392451 /nfs/dbraw/zinc/39/24/51/223392451.db2.gz OSWQTWFNWYSDHY-CQSZACIVSA-N 1 2 309.373 1.783 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329979562 533000534 /nfs/dbraw/zinc/00/05/34/533000534.db2.gz JUTQKVWHGSWQMQ-BJJXKVORSA-N 1 2 319.405 1.685 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329979562 533000539 /nfs/dbraw/zinc/00/05/39/533000539.db2.gz JUTQKVWHGSWQMQ-BJJXKVORSA-N 1 2 319.405 1.685 20 30 DDEDLO COc1cc[nH]c(=O)c1C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000330613730 533070848 /nfs/dbraw/zinc/07/08/48/533070848.db2.gz SHNDOENLYMRSBM-UHFFFAOYSA-N 1 2 309.366 1.211 20 30 DDEDLO COc1cc[nH]c(=O)c1C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000330613730 533070850 /nfs/dbraw/zinc/07/08/50/533070850.db2.gz SHNDOENLYMRSBM-UHFFFAOYSA-N 1 2 309.366 1.211 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000347116393 533116834 /nfs/dbraw/zinc/11/68/34/533116834.db2.gz RYWHISQUVRRCAF-HOCLYGCPSA-N 1 2 301.390 1.206 20 30 DDEDLO CCS(=O)(=O)NC1CC[NH+](Cc2ccc(C#N)s2)CC1 ZINC000289505843 221026329 /nfs/dbraw/zinc/02/63/29/221026329.db2.gz UFDAWADUEAHHFY-UHFFFAOYSA-N 1 2 313.448 1.523 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cc(C#N)ccc2C)C[C@@H]1C ZINC000070432355 406815454 /nfs/dbraw/zinc/81/54/54/406815454.db2.gz RYXFIHQZAPITOI-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cc(C#N)ccc2C)C[C@@H]1C ZINC000070432355 406815458 /nfs/dbraw/zinc/81/54/58/406815458.db2.gz RYXFIHQZAPITOI-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2ccc(C#N)cn2)CC1 ZINC000074391234 406911556 /nfs/dbraw/zinc/91/15/56/406911556.db2.gz WSEYGOIWWZFLDW-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO COC(=O)[C@H](C)C[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513451 407077355 /nfs/dbraw/zinc/07/73/55/407077355.db2.gz DNCBQMZFLBOWGV-GFCCVEGCSA-N 1 2 324.402 1.073 20 30 DDEDLO COC(=O)[C@H](C)C[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513451 407077357 /nfs/dbraw/zinc/07/73/57/407077357.db2.gz DNCBQMZFLBOWGV-GFCCVEGCSA-N 1 2 324.402 1.073 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccncc1Cl ZINC000081531554 407078475 /nfs/dbraw/zinc/07/84/75/407078475.db2.gz APDWNOIERUDCKP-UHFFFAOYSA-N 1 2 308.813 1.783 20 30 DDEDLO N#CC1CC[NH+](CC(=O)NCc2ccc3c(c2)OCO3)CC1 ZINC000057907408 407218102 /nfs/dbraw/zinc/21/81/02/407218102.db2.gz XEMOTXYHRQVRNP-UHFFFAOYSA-N 1 2 301.346 1.267 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1ccc(F)cc1F ZINC000060633765 407225838 /nfs/dbraw/zinc/22/58/38/407225838.db2.gz HPFWPZCQHKUVAW-UHFFFAOYSA-N 1 2 323.343 1.653 20 30 DDEDLO CCCCCNC(=O)C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000101728832 407314934 /nfs/dbraw/zinc/31/49/34/407314934.db2.gz JKJCALOJLDWYGV-UHFFFAOYSA-N 1 2 314.433 1.987 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCCOCCc1ccccc1 ZINC000122571374 407305792 /nfs/dbraw/zinc/30/57/92/407305792.db2.gz DRUSGOLMDZGFNY-UHFFFAOYSA-N 1 2 321.421 1.839 20 30 DDEDLO C=CCCOCCNC(=O)N1CC[C@H]([NH+]2CCN(CC)CC2)C1 ZINC000122601014 407306099 /nfs/dbraw/zinc/30/60/99/407306099.db2.gz JLRVJUNGGBZFDX-INIZCTEOSA-N 1 2 324.469 1.001 20 30 DDEDLO COc1ccc2c(c1)C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)CC2 ZINC000098143539 407306767 /nfs/dbraw/zinc/30/67/67/407306767.db2.gz NMZILIPNUFUVRK-SFHVURJKSA-N 1 2 313.401 1.862 20 30 DDEDLO COc1ccc2c(c1)C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)CC2 ZINC000098143539 407306768 /nfs/dbraw/zinc/30/67/68/407306768.db2.gz NMZILIPNUFUVRK-SFHVURJKSA-N 1 2 313.401 1.862 20 30 DDEDLO CCOC(=O)N1CC[NH+](C[C@H](O)c2ccc(C#N)cc2)CC1 ZINC000126608119 407417528 /nfs/dbraw/zinc/41/75/28/407417528.db2.gz MECFAVZDJMINNF-HNNXBMFYSA-N 1 2 303.362 1.366 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[NH2+][C@](C)(C(N)=O)c1ccccc1 ZINC000170645074 407464456 /nfs/dbraw/zinc/46/44/56/407464456.db2.gz CWIZRBFQCUQANJ-SJORKVTESA-N 1 2 316.405 1.031 20 30 DDEDLO COCC[N@H+](CCOc1ccc(C#N)cc1OC)CC(=O)OC ZINC000265851724 407483227 /nfs/dbraw/zinc/48/32/27/407483227.db2.gz QBUBMFRPROOFMK-UHFFFAOYSA-N 1 2 322.361 1.067 20 30 DDEDLO COCC[N@@H+](CCOc1ccc(C#N)cc1OC)CC(=O)OC ZINC000265851724 407483230 /nfs/dbraw/zinc/48/32/30/407483230.db2.gz QBUBMFRPROOFMK-UHFFFAOYSA-N 1 2 322.361 1.067 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000185822958 407512162 /nfs/dbraw/zinc/51/21/62/407512162.db2.gz ZPURJRWXQVILTJ-JKSUJKDBSA-N 1 2 321.465 1.744 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000152380159 407626422 /nfs/dbraw/zinc/62/64/22/407626422.db2.gz VRVPSALVJHZAAN-OAHLLOKOSA-N 1 2 322.368 1.727 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccc(N3CCCC3)[nH+]c2)cn1 ZINC000115328890 407668029 /nfs/dbraw/zinc/66/80/29/407668029.db2.gz YGOLFSYYHOIJDM-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH2+][C@@H](C)c1nnnn1-c1ccccc1 ZINC000115605062 407699462 /nfs/dbraw/zinc/69/94/62/407699462.db2.gz WWVXEDFOTWZPLC-NWDGAFQWSA-N 1 2 300.366 1.004 20 30 DDEDLO C=CCN(CCS(=O)(=O)CC[N@H+](CC=C)C1CC1)C1CC1 ZINC000271533727 407718769 /nfs/dbraw/zinc/71/87/69/407718769.db2.gz XQSOFICQDPMXDO-UHFFFAOYSA-N 1 2 312.479 1.702 20 30 DDEDLO C=CCN(CCS(=O)(=O)CC[N@@H+](CC=C)C1CC1)C1CC1 ZINC000271533727 407718776 /nfs/dbraw/zinc/71/87/76/407718776.db2.gz XQSOFICQDPMXDO-UHFFFAOYSA-N 1 2 312.479 1.702 20 30 DDEDLO COC(=O)C[C@@H]1CCC[N@@H+]1CC(=O)Nc1sccc1C#N ZINC000179120274 407728633 /nfs/dbraw/zinc/72/86/33/407728633.db2.gz ATEDKZCOAYKJJG-NSHDSACASA-N 1 2 307.375 1.586 20 30 DDEDLO COC(=O)C[C@@H]1CCC[N@H+]1CC(=O)Nc1sccc1C#N ZINC000179120274 407728640 /nfs/dbraw/zinc/72/86/40/407728640.db2.gz ATEDKZCOAYKJJG-NSHDSACASA-N 1 2 307.375 1.586 20 30 DDEDLO C[C@H](C(=O)NCc1cc(C#N)ccc1F)[NH+]1CCSCC1 ZINC000153070239 407747515 /nfs/dbraw/zinc/74/75/15/407747515.db2.gz NFVIYLKXJBWUQZ-LLVKDONJSA-N 1 2 307.394 1.751 20 30 DDEDLO COCC[NH+]1CCN(C(=O)C2(c3ccc(C#N)cc3)CC2)CC1 ZINC000186905258 407795207 /nfs/dbraw/zinc/79/52/07/407795207.db2.gz LMTVRJCWESPPHY-UHFFFAOYSA-N 1 2 313.401 1.380 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCc1ccc2c(c1)CCO2 ZINC000187144247 407826757 /nfs/dbraw/zinc/82/67/57/407826757.db2.gz XRMONCYXKLUBII-UHFFFAOYSA-N 1 2 305.378 1.368 20 30 DDEDLO N#CCCn1ccc(NC(=O)C2([NH+]3CCOCC3)CCCC2)n1 ZINC000117717005 407853234 /nfs/dbraw/zinc/85/32/34/407853234.db2.gz URGIHVUBWGRMJB-UHFFFAOYSA-N 1 2 317.393 1.380 20 30 DDEDLO C=C(C)CNC(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000154323902 408018895 /nfs/dbraw/zinc/01/88/95/408018895.db2.gz WDQULGGCJWZUQJ-UHFFFAOYSA-N 1 2 304.390 1.754 20 30 DDEDLO C=C(C)CNC(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000154323902 408018902 /nfs/dbraw/zinc/01/89/02/408018902.db2.gz WDQULGGCJWZUQJ-UHFFFAOYSA-N 1 2 304.390 1.754 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000245975702 408111975 /nfs/dbraw/zinc/11/19/75/408111975.db2.gz WZJFRMYICFPIRD-IAGOWNOFSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000245975702 408111981 /nfs/dbraw/zinc/11/19/81/408111981.db2.gz WZJFRMYICFPIRD-IAGOWNOFSA-N 1 2 302.374 1.947 20 30 DDEDLO C[C@@H](NC(=O)[C@@H](C)O[NH+]=C(N)CCO)c1cc2ccccc2o1 ZINC000121338530 408187676 /nfs/dbraw/zinc/18/76/76/408187676.db2.gz OWCWMGMKTXKSBY-GHMZBOCLSA-N 1 2 319.361 1.670 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000274138574 408305903 /nfs/dbraw/zinc/30/59/03/408305903.db2.gz JLKAICJONKIXHB-ZIAGYGMSSA-N 1 2 314.451 1.085 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000274138574 408305909 /nfs/dbraw/zinc/30/59/09/408305909.db2.gz JLKAICJONKIXHB-ZIAGYGMSSA-N 1 2 314.451 1.085 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1c(C#N)cnn1-c1ccccc1)C1CC1 ZINC000274236934 408335439 /nfs/dbraw/zinc/33/54/39/408335439.db2.gz XIUVDDPZEKKAFF-UHFFFAOYSA-N 1 2 319.368 1.780 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1c(C#N)cnn1-c1ccccc1)C1CC1 ZINC000274236934 408335445 /nfs/dbraw/zinc/33/54/45/408335445.db2.gz XIUVDDPZEKKAFF-UHFFFAOYSA-N 1 2 319.368 1.780 20 30 DDEDLO C#CCNC(=O)c1ccc(N[C@@H]2CCN(c3cccc[nH+]3)C2)nc1 ZINC000269880633 408459141 /nfs/dbraw/zinc/45/91/41/408459141.db2.gz RTGNEGVTOQNIKU-OAHLLOKOSA-N 1 2 321.384 1.530 20 30 DDEDLO CC[N@H+](Cc1cnn(C)c1)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000264221718 408409078 /nfs/dbraw/zinc/40/90/78/408409078.db2.gz PPSQFFOUAIZFOG-QGZVFWFLSA-N 1 2 323.400 1.919 20 30 DDEDLO CC[N@@H+](Cc1cnn(C)c1)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000264221718 408409085 /nfs/dbraw/zinc/40/90/85/408409085.db2.gz PPSQFFOUAIZFOG-QGZVFWFLSA-N 1 2 323.400 1.919 20 30 DDEDLO COCCCCO[NH+]=C(N)COc1cccc(C(=O)OC)c1 ZINC000270481074 408546663 /nfs/dbraw/zinc/54/66/63/408546663.db2.gz YNWOSMBVYXSSCV-UHFFFAOYSA-N 1 2 310.350 1.567 20 30 DDEDLO CN(CCS(=O)(=O)c1ccc(C#N)cc1)Cc1[nH+]ccn1C ZINC000270530005 408565035 /nfs/dbraw/zinc/56/50/35/408565035.db2.gz DTYGQBMPWZDEGT-UHFFFAOYSA-N 1 2 318.402 1.197 20 30 DDEDLO C[C@H](O[NH+]=C(N)CCO)C(=O)Nc1ccccc1OC(F)F ZINC000275463904 408590024 /nfs/dbraw/zinc/59/00/24/408590024.db2.gz KNQOMDARJZXWMW-QMMMGPOBSA-N 1 2 317.292 1.286 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@@H+]1CCC[C@]2(C1)NC(=O)NC2=O ZINC000192655196 408627247 /nfs/dbraw/zinc/62/72/47/408627247.db2.gz QTJVJYDVIRYYBH-OAHLLOKOSA-N 1 2 318.764 1.386 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@H+]1CCC[C@]2(C1)NC(=O)NC2=O ZINC000192655196 408627251 /nfs/dbraw/zinc/62/72/51/408627251.db2.gz QTJVJYDVIRYYBH-OAHLLOKOSA-N 1 2 318.764 1.386 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@@H+]1CCC(=O)Nc1ccccc1C#N ZINC000265484736 408668642 /nfs/dbraw/zinc/66/86/42/408668642.db2.gz APCWDMNKVULNHM-IFMYKAFSSA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@H+]1CCC(=O)Nc1ccccc1C#N ZINC000265484736 408668646 /nfs/dbraw/zinc/66/86/46/408668646.db2.gz APCWDMNKVULNHM-IFMYKAFSSA-N 1 2 319.430 1.728 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ncccn2)C1 ZINC000275975417 408738333 /nfs/dbraw/zinc/73/83/33/408738333.db2.gz NXKDRABEKVZBEE-CABCVRRESA-N 1 2 318.421 1.491 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000275975417 408738337 /nfs/dbraw/zinc/73/83/37/408738337.db2.gz NXKDRABEKVZBEE-CABCVRRESA-N 1 2 318.421 1.491 20 30 DDEDLO C#CC[NH+]1CCN(CC(=O)Nc2ccc(OCC)cc2)CC1 ZINC000165462243 408811716 /nfs/dbraw/zinc/81/17/16/408811716.db2.gz MJIZHNHYYZMFET-UHFFFAOYSA-N 1 2 301.390 1.275 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@@H](N2CCCC2=O)C1 ZINC000270954236 408758938 /nfs/dbraw/zinc/75/89/38/408758938.db2.gz UBHCQIGDNSSWID-GFCCVEGCSA-N 1 2 318.402 1.255 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@@H](N2CCCC2=O)C1 ZINC000270954236 408758942 /nfs/dbraw/zinc/75/89/42/408758942.db2.gz UBHCQIGDNSSWID-GFCCVEGCSA-N 1 2 318.402 1.255 20 30 DDEDLO CC(C)[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@H]1CCOC1 ZINC000291936044 408948810 /nfs/dbraw/zinc/94/88/10/408948810.db2.gz TUXKJLQZAMRWSL-AWEZNQCLSA-N 1 2 323.418 1.226 20 30 DDEDLO CC(C)[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@H]1CCOC1 ZINC000291936044 408948813 /nfs/dbraw/zinc/94/88/13/408948813.db2.gz TUXKJLQZAMRWSL-AWEZNQCLSA-N 1 2 323.418 1.226 20 30 DDEDLO C#CCC(CC#C)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000286850185 409000568 /nfs/dbraw/zinc/00/05/68/409000568.db2.gz AKWSQYISGDBTOG-UHFFFAOYSA-N 1 2 319.408 1.865 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Nc1cncc(F)c1 ZINC000281950790 408959198 /nfs/dbraw/zinc/95/91/98/408959198.db2.gz MCRCKIDUWFGSHI-UHFFFAOYSA-N 1 2 321.356 1.300 20 30 DDEDLO C#C[C@H](NC(=O)c1ccccc1C[NH+]1CCOCC1)C(C)C ZINC000281997523 408968593 /nfs/dbraw/zinc/96/85/93/408968593.db2.gz KADSOIHGVMRGRW-KRWDZBQOSA-N 1 2 300.402 1.906 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@@H](O)CN1C(=O)c2ccccc2C1=O ZINC000292127048 408986228 /nfs/dbraw/zinc/98/62/28/408986228.db2.gz IJHPIEVFPYOYBY-CYBMUJFWSA-N 1 2 313.357 1.045 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@@H](O)CN1C(=O)c2ccccc2C1=O ZINC000292127048 408986230 /nfs/dbraw/zinc/98/62/30/408986230.db2.gz IJHPIEVFPYOYBY-CYBMUJFWSA-N 1 2 313.357 1.045 20 30 DDEDLO Cc1nsc(N2CCN(Cc3[nH+]ccn3C)CC2)c1C#N ZINC000287218288 409004962 /nfs/dbraw/zinc/00/49/62/409004962.db2.gz IPTZYBHBLIMWFP-UHFFFAOYSA-N 1 2 302.407 1.379 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(F)c(O)c(Cl)c2)CC1 ZINC000277943203 409037929 /nfs/dbraw/zinc/03/79/29/409037929.db2.gz GSAXISUFOPNZRO-UHFFFAOYSA-N 1 2 310.756 1.966 20 30 DDEDLO C#CCN(C)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000292666981 409038726 /nfs/dbraw/zinc/03/87/26/409038726.db2.gz ZEDYYJLXLXLJDB-INIZCTEOSA-N 1 2 301.390 1.335 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H](C)C(=O)Nc1cc(NC(C)=O)ccc1OC ZINC000293151180 409058710 /nfs/dbraw/zinc/05/87/10/409058710.db2.gz OCLSBMWPHIQQKA-LBPRGKRZSA-N 1 2 317.389 1.936 20 30 DDEDLO CC#CC[N@H+](C)[C@@H](C)C(=O)Nc1cc(NC(C)=O)ccc1OC ZINC000293151180 409058713 /nfs/dbraw/zinc/05/87/13/409058713.db2.gz OCLSBMWPHIQQKA-LBPRGKRZSA-N 1 2 317.389 1.936 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@H+](C)C[C@@H](C)C#N)cc1 ZINC000293160045 409059929 /nfs/dbraw/zinc/05/99/29/409059929.db2.gz RLACPHKZOXDBBG-GOEBONIOSA-N 1 2 306.406 1.707 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@@H+](C)C[C@@H](C)C#N)cc1 ZINC000293160045 409059931 /nfs/dbraw/zinc/05/99/31/409059931.db2.gz RLACPHKZOXDBBG-GOEBONIOSA-N 1 2 306.406 1.707 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(C(=O)C=Cc3c[nH]c[nH+]3)C2)nc1 ZINC000293640368 409152320 /nfs/dbraw/zinc/15/23/20/409152320.db2.gz GATMGDDVZZMRBH-KWEXDPCDSA-N 1 2 309.329 1.369 20 30 DDEDLO C=C(CNC(=O)NCC1([NH+]2CCOCC2)CC1)c1ccccc1 ZINC000288534042 409171295 /nfs/dbraw/zinc/17/12/95/409171295.db2.gz PUGCPWBGMWLPFV-UHFFFAOYSA-N 1 2 315.417 1.864 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[NH+](Cc2cccnc2)CC1)C(C)(C)C ZINC000289122600 409222445 /nfs/dbraw/zinc/22/24/45/409222445.db2.gz IRJFWAZBFIFBKJ-INIZCTEOSA-N 1 2 314.433 1.957 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)NCCc1cccs1 ZINC000283845439 409226703 /nfs/dbraw/zinc/22/67/03/409226703.db2.gz BVYGZUMXNHAOTD-PWSUYJOCSA-N 1 2 311.407 1.263 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)Cc1ccccc1 ZINC000290341594 409366561 /nfs/dbraw/zinc/36/65/61/409366561.db2.gz PODSURGUGOGHOL-UHFFFAOYSA-N 1 2 315.398 1.859 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)Cc1ccccc1 ZINC000290341594 409366568 /nfs/dbraw/zinc/36/65/68/409366568.db2.gz PODSURGUGOGHOL-UHFFFAOYSA-N 1 2 315.398 1.859 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNc1cc(N2CCOCC2)nc[nH+]1 ZINC000280499287 409331327 /nfs/dbraw/zinc/33/13/27/409331327.db2.gz BWUUYNGKTAVABM-CJNGLKHVSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNc1cc(N2CCOCC2)[nH+]cn1 ZINC000280499287 409331332 /nfs/dbraw/zinc/33/13/32/409331332.db2.gz BWUUYNGKTAVABM-CJNGLKHVSA-N 1 2 304.394 1.706 20 30 DDEDLO C[NH+](C)CCn1nccc1NS(=O)(=O)c1ccc(C#N)cc1 ZINC000295161602 409350690 /nfs/dbraw/zinc/35/06/90/409350690.db2.gz DPEIZUYKVRWAEE-UHFFFAOYSA-N 1 2 319.390 1.117 20 30 DDEDLO Cc1ccccc1CCNC(=O)[C@H](C)O[NH+]=C(N)[C@@H]1CCCO1 ZINC000284587272 409353288 /nfs/dbraw/zinc/35/32/88/409353288.db2.gz XSSYWSXWUXDEQS-ZFWWWQNUSA-N 1 2 319.405 1.510 20 30 DDEDLO COCC(C)(C)[N@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000313885470 164011600 /nfs/dbraw/zinc/01/16/00/164011600.db2.gz ODOUJRMELCRVRE-UHFFFAOYSA-N 1 2 310.419 1.689 20 30 DDEDLO COCC(C)(C)[N@@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000313885470 164011601 /nfs/dbraw/zinc/01/16/01/164011601.db2.gz ODOUJRMELCRVRE-UHFFFAOYSA-N 1 2 310.419 1.689 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000408044488 164207669 /nfs/dbraw/zinc/20/76/69/164207669.db2.gz VEXYJWIDXRHYFC-HOTGVXAUSA-N 1 2 314.389 1.804 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000408044488 164207672 /nfs/dbraw/zinc/20/76/72/164207672.db2.gz VEXYJWIDXRHYFC-HOTGVXAUSA-N 1 2 314.389 1.804 20 30 DDEDLO C=C(C)COCCNC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000354418274 409841661 /nfs/dbraw/zinc/84/16/61/409841661.db2.gz LEMZMUVUBMIKEK-UHFFFAOYSA-N 1 2 318.421 1.502 20 30 DDEDLO CC(C)[NH+]1CC(NC(=O)c2cnc(C(F)(F)F)c(C#N)c2)C1 ZINC000297066012 409784671 /nfs/dbraw/zinc/78/46/71/409784671.db2.gz LDFBXUVPVZDSEN-UHFFFAOYSA-N 1 2 312.295 1.794 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N[C@@H]1CSC1(C)C)[NH+]1CCOCC1 ZINC000328715413 409960579 /nfs/dbraw/zinc/96/05/79/409960579.db2.gz CWTLJSQDAZUGPW-QJPTWQEYSA-N 1 2 301.456 1.493 20 30 DDEDLO C=CCOCC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1F ZINC000354571682 409945055 /nfs/dbraw/zinc/94/50/55/409945055.db2.gz RPUIZJJCGFFFMU-OAHLLOKOSA-N 1 2 303.337 1.967 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N(C)C[C@H]1CCOC1)CCC2 ZINC000328660301 409949542 /nfs/dbraw/zinc/94/95/42/409949542.db2.gz VDZSQXGRRPCWKY-KGLIPLIRSA-N 1 2 306.410 1.951 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CCNC(=O)[C@@H]1C)CCC2 ZINC000328663645 409950588 /nfs/dbraw/zinc/95/05/88/409950588.db2.gz YBPMAAWEWVOIGZ-RYUDHWBXSA-N 1 2 305.382 1.644 20 30 DDEDLO C#CC[N@H+](Cc1ccccc1)[C@H](C)C(=O)NC[C@@H]1CCCO1 ZINC000297945367 410057930 /nfs/dbraw/zinc/05/79/30/410057930.db2.gz APQIYSNDNGTHFJ-WBVHZDCISA-N 1 2 300.402 1.806 20 30 DDEDLO C#CC[N@@H+](Cc1ccccc1)[C@H](C)C(=O)NC[C@@H]1CCCO1 ZINC000297945367 410057936 /nfs/dbraw/zinc/05/79/36/410057936.db2.gz APQIYSNDNGTHFJ-WBVHZDCISA-N 1 2 300.402 1.806 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2C[C@H](C)[NH+](C)[C@@H](C)C2)c1C#N ZINC000339074466 410058407 /nfs/dbraw/zinc/05/84/07/410058407.db2.gz LWAQQKYXDMRWAE-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cnc([C@H](C)[NH+]2CCN(c3cc(C#N)ccn3)CC2)cn1 ZINC000332390053 410120329 /nfs/dbraw/zinc/12/03/29/410120329.db2.gz RBHJCYQNUQKWDI-AWEZNQCLSA-N 1 2 308.389 1.935 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)Nc2cnc3c(c2)CCCC3)C1 ZINC000329341883 410242286 /nfs/dbraw/zinc/24/22/86/410242286.db2.gz SJLYIYSSPFWZPE-HNNXBMFYSA-N 1 2 317.437 1.532 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)Nc2cnc3c(c2)CCCC3)C1 ZINC000329341883 410242292 /nfs/dbraw/zinc/24/22/92/410242292.db2.gz SJLYIYSSPFWZPE-HNNXBMFYSA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@@](C)(CC(=O)OC)c2ccccn2)C1=O ZINC000351815649 410255305 /nfs/dbraw/zinc/25/53/05/410255305.db2.gz NGFBXMLHPLUSFD-DYVFJYSZSA-N 1 2 317.389 1.236 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)[C@@H]1CCCOC1 ZINC000329316376 410230553 /nfs/dbraw/zinc/23/05/53/410230553.db2.gz CYWPPNSSZFTSDJ-UONOGXRCSA-N 1 2 313.442 1.416 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)[C@@H]1CCCOC1 ZINC000329316376 410230556 /nfs/dbraw/zinc/23/05/56/410230556.db2.gz CYWPPNSSZFTSDJ-UONOGXRCSA-N 1 2 313.442 1.416 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cc(C4CC4)ccn3)C[C@H]21 ZINC000329502779 410331932 /nfs/dbraw/zinc/33/19/32/410331932.db2.gz HYWQNUGMEPYDGM-ZIAGYGMSSA-N 1 2 302.378 1.519 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cc(C4CC4)ccn3)C[C@H]21 ZINC000329502779 410331945 /nfs/dbraw/zinc/33/19/45/410331945.db2.gz HYWQNUGMEPYDGM-ZIAGYGMSSA-N 1 2 302.378 1.519 20 30 DDEDLO C=CCSCCNC(=O)C(=O)NCc1[nH+]ccn1CC(C)C ZINC000355288100 410420002 /nfs/dbraw/zinc/42/00/02/410420002.db2.gz JGHLBSHRQZUVFZ-UHFFFAOYSA-N 1 2 324.450 1.191 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC000298813521 410408976 /nfs/dbraw/zinc/40/89/76/410408976.db2.gz TWOMFFHZOUFRCO-UHFFFAOYSA-N 1 2 319.405 1.700 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(Cc2ncc[nH]2)CC1 ZINC000358461324 410475204 /nfs/dbraw/zinc/47/52/04/410475204.db2.gz XHXKHURMBXWMFR-OAHLLOKOSA-N 1 2 317.437 1.116 20 30 DDEDLO C=C[C@H](CO)NC(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000358602286 410492011 /nfs/dbraw/zinc/49/20/11/410492011.db2.gz JBIIZDLASLCEMH-OAHLLOKOSA-N 1 2 300.362 1.278 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000352249309 410578138 /nfs/dbraw/zinc/57/81/38/410578138.db2.gz IGACPIUNCQAFFD-CHWSQXEVSA-N 1 2 304.394 1.175 20 30 DDEDLO C=CCOc1ccc(CNC(=O)[C@H](C)[NH+]2CCOCC2)cc1 ZINC000359096018 410554276 /nfs/dbraw/zinc/55/42/76/410554276.db2.gz CLQRGQJZOHKGPS-AWEZNQCLSA-N 1 2 304.390 1.588 20 30 DDEDLO C=CCN(Cc1c[nH+]c2ccccn12)[C@@H]1CCS(=O)(=O)C1 ZINC000352550682 410665256 /nfs/dbraw/zinc/66/52/56/410665256.db2.gz PAAZJJKFNYJQCT-CYBMUJFWSA-N 1 2 305.403 1.509 20 30 DDEDLO N#C[C@@H]1CSCCN1C(=O)C1CC[NH+](CC(F)F)CC1 ZINC000355639601 410622286 /nfs/dbraw/zinc/62/22/86/410622286.db2.gz XLRICXBKSJKLHC-LLVKDONJSA-N 1 2 303.378 1.431 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)COc2ccccc2C#N)CCO1 ZINC000347620359 410637727 /nfs/dbraw/zinc/63/77/27/410637727.db2.gz ZPZOVFQPXSSLGT-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)COc2ccccc2C#N)CCO1 ZINC000347620359 410637735 /nfs/dbraw/zinc/63/77/35/410637735.db2.gz ZPZOVFQPXSSLGT-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCc3cc(OC)c(F)cc3C2)C1=O ZINC000337177508 410657337 /nfs/dbraw/zinc/65/73/37/410657337.db2.gz JPGNTNHHVYKGFS-HNNXBMFYSA-N 1 2 304.365 1.979 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCc3cc(OC)c(F)cc3C2)C1=O ZINC000337177508 410657343 /nfs/dbraw/zinc/65/73/43/410657343.db2.gz JPGNTNHHVYKGFS-HNNXBMFYSA-N 1 2 304.365 1.979 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@@H]2CNc2nccnc2C#N)cn1 ZINC000359661009 410801786 /nfs/dbraw/zinc/80/17/86/410801786.db2.gz DZXGDYCLYPWSSH-QWHCGFSZSA-N 1 2 315.356 1.106 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@@H]2CNc2nccnc2C#N)cn1 ZINC000359661009 410801793 /nfs/dbraw/zinc/80/17/93/410801793.db2.gz DZXGDYCLYPWSSH-QWHCGFSZSA-N 1 2 315.356 1.106 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000302145764 410841285 /nfs/dbraw/zinc/84/12/85/410841285.db2.gz KQFALMCBIGNWDO-LSDHHAIUSA-N 1 2 318.421 1.467 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000302145764 410841294 /nfs/dbraw/zinc/84/12/94/410841294.db2.gz KQFALMCBIGNWDO-LSDHHAIUSA-N 1 2 318.421 1.467 20 30 DDEDLO C[C@@H](C(=O)NCC(=O)c1ccc(C#N)cc1)[NH+]1CCSCC1 ZINC000356177673 410879479 /nfs/dbraw/zinc/87/94/79/410879479.db2.gz BSFZSNSGPWHKHQ-LBPRGKRZSA-N 1 2 317.414 1.294 20 30 DDEDLO CC[C@@]1(C)C[N@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000331270215 411049643 /nfs/dbraw/zinc/04/96/43/411049643.db2.gz KJQJWIRPPIUOGY-KRWDZBQOSA-N 1 2 301.390 1.675 20 30 DDEDLO CC[C@@]1(C)C[N@@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000331270215 411049648 /nfs/dbraw/zinc/04/96/48/411049648.db2.gz KJQJWIRPPIUOGY-KRWDZBQOSA-N 1 2 301.390 1.675 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](C[C@H](O)Cn3cccn3)CCO2)c1 ZINC000341813542 411060753 /nfs/dbraw/zinc/06/07/53/411060753.db2.gz KKTWKRFMSXCOJE-DLBZAZTESA-N 1 2 312.373 1.189 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](C[C@H](O)Cn3cccn3)CCO2)c1 ZINC000341813542 411060758 /nfs/dbraw/zinc/06/07/58/411060758.db2.gz KKTWKRFMSXCOJE-DLBZAZTESA-N 1 2 312.373 1.189 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)[C@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000356405523 411014519 /nfs/dbraw/zinc/01/45/19/411014519.db2.gz CTPUCBQXVQGTPM-MEDUHNTESA-N 1 2 304.394 1.683 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)[C@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000356405523 411014524 /nfs/dbraw/zinc/01/45/24/411014524.db2.gz CTPUCBQXVQGTPM-MEDUHNTESA-N 1 2 304.394 1.683 20 30 DDEDLO CC[C@@H](C)NC(=O)CN1CC[NH+](Cc2ccc(C#N)s2)CC1 ZINC000129123331 196021264 /nfs/dbraw/zinc/02/12/64/196021264.db2.gz HLIKHJUUBDFSDP-CYBMUJFWSA-N 1 2 320.462 1.652 20 30 DDEDLO COC[C@]1(C)CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000296666054 226103762 /nfs/dbraw/zinc/10/37/62/226103762.db2.gz KJSFQYKGBMHIKP-OAHLLOKOSA-N 1 2 323.418 1.085 20 30 DDEDLO COC[C@]1(C)CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000296666054 226103766 /nfs/dbraw/zinc/10/37/66/226103766.db2.gz KJSFQYKGBMHIKP-OAHLLOKOSA-N 1 2 323.418 1.085 20 30 DDEDLO C[C@@H](CNS(=O)(=O)c1cccc(C#N)c1)Cn1cc[nH+]c1 ZINC000360501625 418451998 /nfs/dbraw/zinc/45/19/98/418451998.db2.gz VOCCGCRLPTYNTJ-LBPRGKRZSA-N 1 2 304.375 1.369 20 30 DDEDLO N#CCC1(CNC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)CC1 ZINC000374020459 418484068 /nfs/dbraw/zinc/48/40/68/418484068.db2.gz YTRBHDLMVDNJHB-CQSZACIVSA-N 1 2 306.410 1.186 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC[C@@H]1c1ccccc1F ZINC000374040703 418486154 /nfs/dbraw/zinc/48/61/54/418486154.db2.gz GRRZLILOMMPLTJ-SMDDNHRTSA-N 1 2 305.353 1.973 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@@H]1c1ccccc1F ZINC000374040703 418486155 /nfs/dbraw/zinc/48/61/55/418486155.db2.gz GRRZLILOMMPLTJ-SMDDNHRTSA-N 1 2 305.353 1.973 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)C(=O)Nc2cccc(C#N)c2)C1 ZINC000374332467 418519018 /nfs/dbraw/zinc/51/90/18/418519018.db2.gz NNGRHILBRNMKBP-CYBMUJFWSA-N 1 2 323.356 1.246 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCOc3cc(OC)ccc3C2)C1=O ZINC000374855920 418570126 /nfs/dbraw/zinc/57/01/26/418570126.db2.gz MICYCVGWQRRKKP-HNNXBMFYSA-N 1 2 302.374 1.677 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCOc3cc(OC)ccc3C2)C1=O ZINC000374855920 418570130 /nfs/dbraw/zinc/57/01/30/418570130.db2.gz MICYCVGWQRRKKP-HNNXBMFYSA-N 1 2 302.374 1.677 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH2+][C@@H](c2ccccc2Cl)C1 ZINC000374867707 418572378 /nfs/dbraw/zinc/57/23/78/418572378.db2.gz FHXYGCDFMLIBQR-OAHLLOKOSA-N 1 2 306.793 1.853 20 30 DDEDLO CC(=O)NC1CC[NH+](CC(=O)Nc2ccc(C#N)cc2)CC1 ZINC000195233406 418554140 /nfs/dbraw/zinc/55/41/40/418554140.db2.gz WRYSYXKSOLBGRX-UHFFFAOYSA-N 1 2 300.362 1.097 20 30 DDEDLO COc1cc(C#N)ccc1OCCN1CCn2cc(C)[nH+]c2C1 ZINC000374710394 418558039 /nfs/dbraw/zinc/55/80/39/418558039.db2.gz NJCKDUCWIYEVEM-UHFFFAOYSA-N 1 2 312.373 1.966 20 30 DDEDLO COC[C@H]1C[N@H+](Cc2cnc3ccc(C#N)cn23)C[C@H](C)O1 ZINC000188387054 222012555 /nfs/dbraw/zinc/01/25/55/222012555.db2.gz PQWHFRDKUBEMTB-SWLSCSKDSA-N 1 2 300.362 1.442 20 30 DDEDLO COC[C@H]1C[N@@H+](Cc2cnc3ccc(C#N)cn23)C[C@H](C)O1 ZINC000188387054 222012558 /nfs/dbraw/zinc/01/25/58/222012558.db2.gz PQWHFRDKUBEMTB-SWLSCSKDSA-N 1 2 300.362 1.442 20 30 DDEDLO COC[C@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@H](C)O1 ZINC000188387054 222012563 /nfs/dbraw/zinc/01/25/63/222012563.db2.gz PQWHFRDKUBEMTB-SWLSCSKDSA-N 1 2 300.362 1.442 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1ccc(NC(C)=O)cc1 ZINC000191119654 222097311 /nfs/dbraw/zinc/09/73/11/222097311.db2.gz BRLFQQYPHPOZNO-UHFFFAOYSA-N 1 2 305.378 1.718 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1ccc(NC(C)=O)cc1 ZINC000191119654 222097315 /nfs/dbraw/zinc/09/73/15/222097315.db2.gz BRLFQQYPHPOZNO-UHFFFAOYSA-N 1 2 305.378 1.718 20 30 DDEDLO C#C[C@H](C)NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c1n2CCCCC1 ZINC000190246531 222073177 /nfs/dbraw/zinc/07/31/77/222073177.db2.gz RDPPGOBUYRFGIJ-LBPRGKRZSA-N 1 2 324.384 1.839 20 30 DDEDLO C#CCCCC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000192055298 222118124 /nfs/dbraw/zinc/11/81/24/222118124.db2.gz UZMMEBFTLQHLCI-UHFFFAOYSA-N 1 2 300.402 1.939 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000192234457 222124412 /nfs/dbraw/zinc/12/44/12/222124412.db2.gz YDRGOEPVPLXHCI-GASCZTMLSA-N 1 2 315.417 1.804 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000192234457 222124414 /nfs/dbraw/zinc/12/44/14/222124414.db2.gz YDRGOEPVPLXHCI-GASCZTMLSA-N 1 2 315.417 1.804 20 30 DDEDLO C=CCOC[C@@H](NCc1ccc(-n2cc[nH+]c2)cc1)C(=O)OC ZINC000361111968 418585834 /nfs/dbraw/zinc/58/58/34/418585834.db2.gz VSFFAIYZXKWWFB-MRXNPFEDSA-N 1 2 315.373 1.706 20 30 DDEDLO C[NH+]=C(NCc1c[nH]nc1C)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000261965025 222264543 /nfs/dbraw/zinc/26/45/43/222264543.db2.gz LEVRYHZQNWJCJF-IUODEOHRSA-N 1 2 315.425 1.573 20 30 DDEDLO C[C@H](CC#N)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000264843040 222337032 /nfs/dbraw/zinc/33/70/32/222337032.db2.gz LLLNXWBKISXKRW-KGLIPLIRSA-N 1 2 318.396 1.755 20 30 DDEDLO CS(=O)(=O)NCC[N@H+](Cc1cccc(C#N)c1F)C1CC1 ZINC000361391388 418642490 /nfs/dbraw/zinc/64/24/90/418642490.db2.gz PDURPCBLBBKOIV-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO CS(=O)(=O)NCC[N@@H+](Cc1cccc(C#N)c1F)C1CC1 ZINC000361391388 418642492 /nfs/dbraw/zinc/64/24/92/418642492.db2.gz PDURPCBLBBKOIV-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO CC[N@H+](CCC#N)[C@H](C)C(=O)N1CCN(c2ccccc2)CC1 ZINC000266920088 222365281 /nfs/dbraw/zinc/36/52/81/222365281.db2.gz LSYWSRHMRNPCQM-MRXNPFEDSA-N 1 2 314.433 1.959 20 30 DDEDLO CC[N@@H+](CCC#N)[C@H](C)C(=O)N1CCN(c2ccccc2)CC1 ZINC000266920088 222365285 /nfs/dbraw/zinc/36/52/85/222365285.db2.gz LSYWSRHMRNPCQM-MRXNPFEDSA-N 1 2 314.433 1.959 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@H](O)C[N@H+](CC)CCC#N)c1 ZINC000267248027 222380552 /nfs/dbraw/zinc/38/05/52/222380552.db2.gz YXMXUAHEYVXLAV-OAHLLOKOSA-N 1 2 320.389 1.839 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@H](O)C[N@@H+](CC)CCC#N)c1 ZINC000267248027 222380555 /nfs/dbraw/zinc/38/05/55/222380555.db2.gz YXMXUAHEYVXLAV-OAHLLOKOSA-N 1 2 320.389 1.839 20 30 DDEDLO C=CCC(C)(C)C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000340106308 418622165 /nfs/dbraw/zinc/62/21/65/418622165.db2.gz SCTWHWLJEOBIFG-UHFFFAOYSA-N 1 2 306.410 1.756 20 30 DDEDLO NS(=O)(=O)[C@H]1CCC[N@H+](CC#Cc2cccc(Cl)c2)C1 ZINC000370328111 418743324 /nfs/dbraw/zinc/74/33/24/418743324.db2.gz VXGPXRBLHGHICN-AWEZNQCLSA-N 1 2 312.822 1.444 20 30 DDEDLO NS(=O)(=O)[C@H]1CCC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC000370328111 418743325 /nfs/dbraw/zinc/74/33/25/418743325.db2.gz VXGPXRBLHGHICN-AWEZNQCLSA-N 1 2 312.822 1.444 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCO[C@@H](COCC2CC2)C1 ZINC000362596846 418756427 /nfs/dbraw/zinc/75/64/27/418756427.db2.gz REAKXONOUNOBAG-NVXWUHKLSA-N 1 2 322.449 1.703 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCO[C@@H](COCC2CC2)C1 ZINC000362596846 418756428 /nfs/dbraw/zinc/75/64/28/418756428.db2.gz REAKXONOUNOBAG-NVXWUHKLSA-N 1 2 322.449 1.703 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CC[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000408024022 418781937 /nfs/dbraw/zinc/78/19/37/418781937.db2.gz XDBLLMMDEQKETQ-FPCVCCKLSA-N 1 2 323.437 1.082 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CC[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000408024022 418781940 /nfs/dbraw/zinc/78/19/40/418781940.db2.gz XDBLLMMDEQKETQ-FPCVCCKLSA-N 1 2 323.437 1.082 20 30 DDEDLO Cc1ccnc(NC[C@@]2([NH+]3CCOCC3)CCCOC2)c1C#N ZINC000378654711 418726232 /nfs/dbraw/zinc/72/62/32/418726232.db2.gz XHYVLNHBPNEXEF-KRWDZBQOSA-N 1 2 316.405 1.555 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000408348544 418799289 /nfs/dbraw/zinc/79/92/89/418799289.db2.gz HTJSMBACPOKQDE-JHNDHUHGSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000408348544 418799290 /nfs/dbraw/zinc/79/92/90/418799290.db2.gz HTJSMBACPOKQDE-JHNDHUHGSA-N 1 2 323.437 1.309 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@H](c1[nH+]ccn1C)c1cccc(OC)c1 ZINC000372807469 418904372 /nfs/dbraw/zinc/90/43/72/418904372.db2.gz WUZKCGFUZMBTAU-DOMZBBRYSA-N 1 2 312.373 1.839 20 30 DDEDLO C=CCCOCCNC(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000372594907 418885805 /nfs/dbraw/zinc/88/58/05/418885805.db2.gz ZTUHHODSBNVMFF-AWEZNQCLSA-N 1 2 306.410 1.961 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)CN(C)Cc1cn2c([nH+]1)CCCC2 ZINC000372991940 418919779 /nfs/dbraw/zinc/91/97/79/418919779.db2.gz VCBGSLCSKFGDFZ-QGZVFWFLSA-N 1 2 317.437 1.706 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)CN1CCC[C@@H]1c1[nH+]ccn1C ZINC000373175184 418930311 /nfs/dbraw/zinc/93/03/11/418930311.db2.gz FNZLIPSVUIGWMU-CZUORRHYSA-N 1 2 303.410 1.611 20 30 DDEDLO N#C[C@@H]1CC[C@H](Nc2[nH+]cnc3c2cnn3-c2ncccn2)C1 ZINC000424526088 228285379 /nfs/dbraw/zinc/28/53/79/228285379.db2.gz BEWRKBWYVCIHGD-MNOVXSKESA-N 1 2 306.333 1.710 20 30 DDEDLO C[C@H]1CCCC[C@@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000424624376 228296812 /nfs/dbraw/zinc/29/68/12/228296812.db2.gz FMKWYXWWJNITJO-HOTGVXAUSA-N 1 2 307.438 1.887 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[NH+]2CCC(O)(C(F)F)CC2)cc1 ZINC000426253734 228553683 /nfs/dbraw/zinc/55/36/83/228553683.db2.gz SZHRICYVOBWWMP-UHFFFAOYSA-N 1 2 323.343 1.266 20 30 DDEDLO COC(=O)[C@@H](O)C1CC[NH+](Cc2cccc(F)c2C#N)CC1 ZINC000428367494 419855776 /nfs/dbraw/zinc/85/57/76/419855776.db2.gz RVXKFYHKDCYRFY-HNNXBMFYSA-N 1 2 306.337 1.443 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2[C@H](C)CN(C(=O)C(C)(C)C)C[C@H]2C)C1=O ZINC000429381543 420009640 /nfs/dbraw/zinc/00/96/40/420009640.db2.gz NQSLLYNGCMWYLV-KFWWJZLASA-N 1 2 321.465 1.741 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(C#N)s1 ZINC000420799674 420395222 /nfs/dbraw/zinc/39/52/22/420395222.db2.gz IWHDMBYSVOINPL-LLVKDONJSA-N 1 2 308.407 1.140 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(C#N)s1 ZINC000420799674 420395225 /nfs/dbraw/zinc/39/52/25/420395225.db2.gz IWHDMBYSVOINPL-LLVKDONJSA-N 1 2 308.407 1.140 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)NCc2ccc(C#N)s2)CCO1 ZINC000420814854 420401992 /nfs/dbraw/zinc/40/19/92/420401992.db2.gz XDWFPDPREKBUQY-UHFFFAOYSA-N 1 2 322.434 1.530 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)NCc2ccc(C#N)s2)CCO1 ZINC000420814854 420401996 /nfs/dbraw/zinc/40/19/96/420401996.db2.gz XDWFPDPREKBUQY-UHFFFAOYSA-N 1 2 322.434 1.530 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)[C@H](C)[C@@H](C)O1 ZINC000446068824 230227139 /nfs/dbraw/zinc/22/71/39/230227139.db2.gz DMYJGSGPNMCCGE-MGPQQGTHSA-N 1 2 301.390 1.672 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)[C@H](C)[C@@H](C)O1 ZINC000446068824 230227140 /nfs/dbraw/zinc/22/71/40/230227140.db2.gz DMYJGSGPNMCCGE-MGPQQGTHSA-N 1 2 301.390 1.672 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@H](c1c[nH+]cn1C)N(C)C)C(=O)OCC ZINC000456599244 420511667 /nfs/dbraw/zinc/51/16/67/420511667.db2.gz CEMINEOTJNVOOT-OCCSQVGLSA-N 1 2 322.409 1.037 20 30 DDEDLO C=CC(C)(C)CNC(=O)N(CC1CC1)C[C@H]1C[N@H+](C)CCO1 ZINC000458985675 420775707 /nfs/dbraw/zinc/77/57/07/420775707.db2.gz IOQIBQOOOLAKIC-OAHLLOKOSA-N 1 2 309.454 1.951 20 30 DDEDLO C=CC(C)(C)CNC(=O)N(CC1CC1)C[C@H]1C[N@@H+](C)CCO1 ZINC000458985675 420775710 /nfs/dbraw/zinc/77/57/10/420775710.db2.gz IOQIBQOOOLAKIC-OAHLLOKOSA-N 1 2 309.454 1.951 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CC[C@@H]([N@H+](C)Cc2ncccn2)C1 ZINC000458992751 420777024 /nfs/dbraw/zinc/77/70/24/420777024.db2.gz WIXGQMPRUDDHQE-CQSZACIVSA-N 1 2 317.437 1.905 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000458992751 420777027 /nfs/dbraw/zinc/77/70/27/420777027.db2.gz WIXGQMPRUDDHQE-CQSZACIVSA-N 1 2 317.437 1.905 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[NH2+][C@@H](CO)[C@H]3CCCO3)o2)cc1 ZINC000447905620 420813467 /nfs/dbraw/zinc/81/34/67/420813467.db2.gz MZSLERZQZCRQPJ-UONOGXRCSA-N 1 2 314.345 1.238 20 30 DDEDLO COc1ccc(/C=C\C(=O)N[C@](C)(C#N)C[NH+](C)C)c(OC)c1 ZINC000493459293 420856598 /nfs/dbraw/zinc/85/65/98/420856598.db2.gz VQAGWBQLTQJMAF-NBEHIINDSA-N 1 2 317.389 1.677 20 30 DDEDLO N#CCOc1ccc(/C=C\C(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC000493866846 420962263 /nfs/dbraw/zinc/96/22/63/420962263.db2.gz CUORCBUCOOCESZ-UTCJRWHESA-N 1 2 308.341 1.841 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)/C=C\c1cccc(C#N)c1 ZINC000493726794 420925130 /nfs/dbraw/zinc/92/51/30/420925130.db2.gz RELMJLBULPUHIQ-XHPSBEMXSA-N 1 2 313.401 1.751 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H](O)C[NH+]2CCOCC2)CCCC1 ZINC000450133053 421148211 /nfs/dbraw/zinc/14/82/11/421148211.db2.gz RDAYVQRWUJUOHC-OAHLLOKOSA-N 1 2 310.438 1.274 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)[C@@H](C)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000450563437 421210309 /nfs/dbraw/zinc/21/03/09/421210309.db2.gz UGMZNXLSOLUULW-XIRDDKMYSA-N 1 2 323.458 1.826 20 30 DDEDLO C=CC[N@H+](C[C@H](O)[C@@H](C)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000450563437 421210310 /nfs/dbraw/zinc/21/03/10/421210310.db2.gz UGMZNXLSOLUULW-XIRDDKMYSA-N 1 2 323.458 1.826 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000523056724 421232714 /nfs/dbraw/zinc/23/27/14/421232714.db2.gz ZXJUHUKQNQBQFY-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000523056724 421232716 /nfs/dbraw/zinc/23/27/16/421232716.db2.gz ZXJUHUKQNQBQFY-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H](OCC(F)(F)F)C1 ZINC000523439060 421239178 /nfs/dbraw/zinc/23/91/78/421239178.db2.gz FBDYITHQEMVCFC-LBPRGKRZSA-N 1 2 321.343 1.792 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H](OCC(F)(F)F)C1 ZINC000523439060 421239182 /nfs/dbraw/zinc/23/91/82/421239182.db2.gz FBDYITHQEMVCFC-LBPRGKRZSA-N 1 2 321.343 1.792 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@]2(C1)CC(=O)c1ccccc1O2 ZINC000491386518 421197082 /nfs/dbraw/zinc/19/70/82/421197082.db2.gz VBIFRSCINYYMPK-SCLBCKFNSA-N 1 2 312.369 1.234 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@]2(C1)CC(=O)c1ccccc1O2 ZINC000491386518 421197084 /nfs/dbraw/zinc/19/70/84/421197084.db2.gz VBIFRSCINYYMPK-SCLBCKFNSA-N 1 2 312.369 1.234 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)c2ncc(C#N)cc2C)CCO1 ZINC000560456872 421266812 /nfs/dbraw/zinc/26/68/12/421266812.db2.gz IUGPTOCDCZQRAT-CQSZACIVSA-N 1 2 302.378 1.102 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)c2ncc(C#N)cc2C)CCO1 ZINC000560456872 421266816 /nfs/dbraw/zinc/26/68/16/421266816.db2.gz IUGPTOCDCZQRAT-CQSZACIVSA-N 1 2 302.378 1.102 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNc2ncc3c(c2C#N)CCC3)CCO1 ZINC000516923266 421579252 /nfs/dbraw/zinc/57/92/52/421579252.db2.gz PIDTUELHVNQGQK-CQSZACIVSA-N 1 2 300.406 1.965 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNc2ncc3c(c2C#N)CCC3)CCO1 ZINC000516923266 421579256 /nfs/dbraw/zinc/57/92/56/421579256.db2.gz PIDTUELHVNQGQK-CQSZACIVSA-N 1 2 300.406 1.965 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CC[NH+](CCOC(C)C)CC1 ZINC000551661474 421537577 /nfs/dbraw/zinc/53/75/77/421537577.db2.gz OOSIMLRNDPFNFT-UHFFFAOYSA-N 1 2 316.405 1.445 20 30 DDEDLO CC(C)NC(=O)c1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000566624043 421608381 /nfs/dbraw/zinc/60/83/81/421608381.db2.gz YCWPBILCXUWTFT-QGZVFWFLSA-N 1 2 316.405 1.398 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)[C@H](C#N)CCc2ccccc2)CCO1 ZINC000570361590 421642111 /nfs/dbraw/zinc/64/21/11/421642111.db2.gz DIVPJPFPGJKICF-RDJZCZTQSA-N 1 2 315.417 1.596 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)[C@H](C#N)CCc2ccccc2)CCO1 ZINC000570361590 421642114 /nfs/dbraw/zinc/64/21/14/421642114.db2.gz DIVPJPFPGJKICF-RDJZCZTQSA-N 1 2 315.417 1.596 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000571019670 421680295 /nfs/dbraw/zinc/68/02/95/421680295.db2.gz XLCDGMGBABOIEX-TUVASFSCSA-N 1 2 311.426 1.128 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(Cl)c(C#N)c1 ZINC000539785982 421752697 /nfs/dbraw/zinc/75/26/97/421752697.db2.gz GKSJRPLOSDKSRA-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000627685730 421846360 /nfs/dbraw/zinc/84/63/60/421846360.db2.gz DIJDVOOTKNMQCY-UHFFFAOYSA-N 1 2 300.362 1.991 20 30 DDEDLO C=CCN(C)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000633488278 421929581 /nfs/dbraw/zinc/92/95/81/421929581.db2.gz QJKIIMRFDRGMKH-UHFFFAOYSA-N 1 2 306.435 1.962 20 30 DDEDLO Cn1cc(C[N@@H+]2CCn3nncc3C2)c(-c2ccc(C#N)cc2)n1 ZINC000573931012 422034305 /nfs/dbraw/zinc/03/43/05/422034305.db2.gz IDZHEZUGBGKPOJ-UHFFFAOYSA-N 1 2 319.372 1.566 20 30 DDEDLO Cn1cc(C[N@H+]2CCn3nncc3C2)c(-c2ccc(C#N)cc2)n1 ZINC000573931012 422034310 /nfs/dbraw/zinc/03/43/10/422034310.db2.gz IDZHEZUGBGKPOJ-UHFFFAOYSA-N 1 2 319.372 1.566 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@H+]3CCC[C@]34CCNC4=O)o2)c1 ZINC000582428758 422152956 /nfs/dbraw/zinc/15/29/56/422152956.db2.gz YTOMBAQRRSRANO-QGZVFWFLSA-N 1 2 323.356 1.463 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@@H+]3CCC[C@]34CCNC4=O)o2)c1 ZINC000582428758 422152960 /nfs/dbraw/zinc/15/29/60/422152960.db2.gz YTOMBAQRRSRANO-QGZVFWFLSA-N 1 2 323.356 1.463 20 30 DDEDLO N#C[C@H]1CN(C(=O)C[C@H](c2[nH]cc[nH+]2)c2ccccc2)CCO1 ZINC000582177633 422124019 /nfs/dbraw/zinc/12/40/19/422124019.db2.gz RZSRIZILJYSJJJ-GJZGRUSLSA-N 1 2 310.357 1.683 20 30 DDEDLO Cc1cn2c([nH+]1)C[C@H](C(=O)Nc1cc(C)n(CCC#N)n1)CC2 ZINC000599735005 422406410 /nfs/dbraw/zinc/40/64/10/422406410.db2.gz HMFXOBHHHAXFOP-CYBMUJFWSA-N 1 2 312.377 1.811 20 30 DDEDLO C=C1CC(C)(C(=O)Nc2cnn(CC[NH+]3CCOCC3)c2)C1 ZINC000630919922 422416117 /nfs/dbraw/zinc/41/61/17/422416117.db2.gz HLSQCRWUMCKTEX-UHFFFAOYSA-N 1 2 304.394 1.510 20 30 DDEDLO C[C@@H](Nc1cc(C#N)nc(C2CC2)n1)[C@@H](C)[NH+]1CCOCC1 ZINC000601115071 422416821 /nfs/dbraw/zinc/41/68/21/422416821.db2.gz ZCGNTKFFURNATI-VXGBXAGGSA-N 1 2 301.394 1.747 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCO[C@]2(CCSC2)C1)C1CC1 ZINC000577950733 422462849 /nfs/dbraw/zinc/46/28/49/422462849.db2.gz ZWRIPECVLIEQLR-HUUCEWRRSA-N 1 2 309.435 1.003 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCO[C@]2(CCSC2)C1)C1CC1 ZINC000577950733 422462855 /nfs/dbraw/zinc/46/28/55/422462855.db2.gz ZWRIPECVLIEQLR-HUUCEWRRSA-N 1 2 309.435 1.003 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](CCn2cc(Cl)cn2)CC1 ZINC000578962765 422678773 /nfs/dbraw/zinc/67/87/73/422678773.db2.gz BXHCPXYNWOFMRH-UHFFFAOYSA-N 1 2 316.796 1.625 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2cc(F)ccc2F)nn1 ZINC000640787050 423184609 /nfs/dbraw/zinc/18/46/09/423184609.db2.gz VBXVGWBJPPDYKP-UHFFFAOYSA-N 1 2 319.315 1.308 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)N(C)C2CCCCC2)nn1 ZINC000640806414 423200699 /nfs/dbraw/zinc/20/06/99/423200699.db2.gz ZCPZYBJHVZXSDL-AWEZNQCLSA-N 1 2 317.437 1.743 20 30 DDEDLO C=CCCn1cc(C[N@@H+](C)CC(C)(C)CN2CCOCC2)nn1 ZINC000653589064 423538828 /nfs/dbraw/zinc/53/88/28/423538828.db2.gz WTQKELZRIROVEJ-UHFFFAOYSA-N 1 2 321.469 1.644 20 30 DDEDLO C=CCCn1cc(C[N@H+](C)CC(C)(C)CN2CCOCC2)nn1 ZINC000653589064 423538833 /nfs/dbraw/zinc/53/88/33/423538833.db2.gz WTQKELZRIROVEJ-UHFFFAOYSA-N 1 2 321.469 1.644 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(CC)CC(F)(F)F)C1=O ZINC000639622026 423610772 /nfs/dbraw/zinc/61/07/72/423610772.db2.gz FEODIFDILUCKBI-NSHDSACASA-N 1 2 321.343 1.116 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(CC)CC(F)(F)F)C1=O ZINC000639622026 423610779 /nfs/dbraw/zinc/61/07/79/423610779.db2.gz FEODIFDILUCKBI-NSHDSACASA-N 1 2 321.343 1.116 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H](C(N)=O)[C@H](C)c2ccccc2)nn1 ZINC000653694804 423582026 /nfs/dbraw/zinc/58/20/26/423582026.db2.gz RNSYQSZXCFNSAS-CZUORRHYSA-N 1 2 313.405 1.601 20 30 DDEDLO C=CCOCCCNC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000661793485 424178135 /nfs/dbraw/zinc/17/81/35/424178135.db2.gz ZMQLRTWDUNSHHX-HUUCEWRRSA-N 1 2 311.426 1.084 20 30 DDEDLO C=CCN(C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1)[C@@H](C)COC ZINC000664008364 424333735 /nfs/dbraw/zinc/33/37/35/424333735.db2.gz GYFVKXZMUNNUHQ-RRFJBIMHSA-N 1 2 313.442 1.328 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](CO)c1cccc(Cl)c1Cl ZINC000662054716 424361256 /nfs/dbraw/zinc/36/12/56/424361256.db2.gz HOIQRHRMWKFMIY-NSHDSACASA-N 1 2 303.189 1.919 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1coc(-c2ccc(S(C)(=O)=O)cc2)n1 ZINC000662168653 424452870 /nfs/dbraw/zinc/45/28/70/424452870.db2.gz PDPCCGRTLLXUFV-GFCCVEGCSA-N 1 2 322.386 1.382 20 30 DDEDLO CC[N@@H+]1CCN(CCS(=O)(=O)c2cccc(C#N)c2)[C@@H](C)C1 ZINC000352661455 266149656 /nfs/dbraw/zinc/14/96/56/266149656.db2.gz XETDWTOYFJGALD-AWEZNQCLSA-N 1 2 321.446 1.358 20 30 DDEDLO CC[N@H+]1CCN(CCS(=O)(=O)c2cccc(C#N)c2)[C@@H](C)C1 ZINC000352661455 266149657 /nfs/dbraw/zinc/14/96/57/266149657.db2.gz XETDWTOYFJGALD-AWEZNQCLSA-N 1 2 321.446 1.358 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ccnc(C#N)c3)c[nH+]2)C[C@H](C)O1 ZINC000341528908 267063971 /nfs/dbraw/zinc/06/39/71/267063971.db2.gz GHUZAWYJQYZZGT-OKILXGFUSA-N 1 2 323.400 1.996 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)COc1ccccc1C#N)[NH+]1CCOCC1 ZINC000355151223 267207364 /nfs/dbraw/zinc/20/73/64/267207364.db2.gz SLQBAFMGUGPEMI-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)NCCCNc2cccc[nH+]2)c1 ZINC000193720880 268263928 /nfs/dbraw/zinc/26/39/28/268263928.db2.gz CFPNKFFYFHGZKY-UHFFFAOYSA-N 1 2 323.356 1.510 20 30 DDEDLO CN1C[C@@H]2C[N@H+](CC#Cc3cccc(Cl)c3)CCN2C1=O ZINC000373245662 277197907 /nfs/dbraw/zinc/19/79/07/277197907.db2.gz NCLQSKBWONNLDG-OAHLLOKOSA-N 1 2 303.793 1.743 20 30 DDEDLO CN1C[C@@H]2C[N@@H+](CC#Cc3cccc(Cl)c3)CCN2C1=O ZINC000373245662 277197909 /nfs/dbraw/zinc/19/79/09/277197909.db2.gz NCLQSKBWONNLDG-OAHLLOKOSA-N 1 2 303.793 1.743 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)N(CCC#N)CCC#N)[C@H]1[C@H]1CCCO1 ZINC000367701371 281230419 /nfs/dbraw/zinc/23/04/19/281230419.db2.gz GGFGPIDKDIZQTQ-ZBFHGGJFSA-N 1 2 318.421 1.532 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)N(CCC#N)CCC#N)[C@H]1[C@H]1CCCO1 ZINC000367701371 281230423 /nfs/dbraw/zinc/23/04/23/281230423.db2.gz GGFGPIDKDIZQTQ-ZBFHGGJFSA-N 1 2 318.421 1.532 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)cc1 ZINC000293226452 281392533 /nfs/dbraw/zinc/39/25/33/281392533.db2.gz XURYAXCGLIAMPJ-JKSUJKDBSA-N 1 2 314.429 1.826 20 30 DDEDLO CC[C@](C)(C(=O)OC)[N@@H+](CC(=O)NC1(C#N)CCC1)CC1CC1 ZINC000425511271 288385720 /nfs/dbraw/zinc/38/57/20/288385720.db2.gz LHKSPIDVMKJUJQ-MRXNPFEDSA-N 1 2 321.421 1.603 20 30 DDEDLO CC[C@](C)(C(=O)OC)[N@H+](CC(=O)NC1(C#N)CCC1)CC1CC1 ZINC000425511271 288385724 /nfs/dbraw/zinc/38/57/24/288385724.db2.gz LHKSPIDVMKJUJQ-MRXNPFEDSA-N 1 2 321.421 1.603 20 30 DDEDLO Cc1nc2n(n1)C[C@H](NC(=O)C1([NH+]3CCOCC3)CCC1)CC2 ZINC000329492793 293544043 /nfs/dbraw/zinc/54/40/43/293544043.db2.gz ZUDNVGVZIYHLFV-CYBMUJFWSA-N 1 2 319.409 1.113 20 30 DDEDLO COc1cc(/C=C\C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc(OC)c1 ZINC000493722812 294917950 /nfs/dbraw/zinc/91/79/50/294917950.db2.gz LKKBZQQIYQGISU-TUYSUELWSA-N 1 2 317.389 1.677 20 30 DDEDLO O=C(N[C@@H]1CCO[C@@]2(CCSC2)C1)N1CCn2cc[nH+]c2C1 ZINC000330216834 295382448 /nfs/dbraw/zinc/38/24/48/295382448.db2.gz IAUNJKOCVOAIHQ-DOMZBBRYSA-N 1 2 322.434 1.667 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329958219 298244116 /nfs/dbraw/zinc/24/41/16/298244116.db2.gz MMYGPXLOBRXLRB-RTXFEEFZSA-N 1 2 324.812 1.726 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329958219 298244118 /nfs/dbraw/zinc/24/41/18/298244118.db2.gz MMYGPXLOBRXLRB-RTXFEEFZSA-N 1 2 324.812 1.726 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)[N@H+]1C[C@@H]2[C@H](C1)OCCN2C ZINC000329958219 298244119 /nfs/dbraw/zinc/24/41/19/298244119.db2.gz MMYGPXLOBRXLRB-RTXFEEFZSA-N 1 2 324.812 1.726 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)[N@@H+]1C[C@@H]2[C@H](C1)OCCN2C ZINC000329958219 298244121 /nfs/dbraw/zinc/24/41/21/298244121.db2.gz MMYGPXLOBRXLRB-RTXFEEFZSA-N 1 2 324.812 1.726 20 30 DDEDLO Cc1ccc([C@H](CNc2ccnc(C#N)n2)[NH+]2CCOCC2)o1 ZINC000574185956 304637549 /nfs/dbraw/zinc/63/75/49/304637549.db2.gz DTHXPBOJVNMPFV-ZDUSSCGKSA-N 1 2 313.361 1.157 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CC[C@@H](N3CCOCC3)C2)cc1 ZINC000330916278 303200162 /nfs/dbraw/zinc/20/01/62/303200162.db2.gz ZPBYLEVQPDNPEN-HZPDHXFCSA-N 1 2 314.389 1.541 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CC[C@@H](N3CCOCC3)C2)cc1 ZINC000330916278 303200163 /nfs/dbraw/zinc/20/01/63/303200163.db2.gz ZPBYLEVQPDNPEN-HZPDHXFCSA-N 1 2 314.389 1.541 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)N2CC[C@@H]([NH+]3CCOCC3)C2)cc1 ZINC000330916278 303200164 /nfs/dbraw/zinc/20/01/64/303200164.db2.gz ZPBYLEVQPDNPEN-HZPDHXFCSA-N 1 2 314.389 1.541 20 30 DDEDLO N#Cc1ccncc1C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000358624568 306945704 /nfs/dbraw/zinc/94/57/04/306945704.db2.gz ICYIAZSFMKXHAD-UHFFFAOYSA-N 1 2 309.251 1.642 20 30 DDEDLO C[C@@H]1CCNC(=O)[C@H]1[NH2+]Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000562457018 307939034 /nfs/dbraw/zinc/93/90/34/307939034.db2.gz JWXSKUHSRTZPHC-YGRLFVJLSA-N 1 2 311.345 1.222 20 30 DDEDLO Cc1cnc([C@@H](C)[NH+]2CCN(c3cnccc3C#N)CC2)cn1 ZINC000565575259 308033880 /nfs/dbraw/zinc/03/38/80/308033880.db2.gz KNJAYJCTMDQFLS-CQSZACIVSA-N 1 2 308.389 1.935 20 30 DDEDLO C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)Cc2ccc(C#N)cc2)C1 ZINC000576293062 308304447 /nfs/dbraw/zinc/30/44/47/308304447.db2.gz GHWAOIBTJOUIMI-CQSZACIVSA-N 1 2 311.382 1.021 20 30 DDEDLO Cc1cc(N2C[C@H](C(N)=O)[C@@H](c3ccccc3)C2)c(C#N)c[nH+]1 ZINC000582118383 325974507 /nfs/dbraw/zinc/97/45/07/325974507.db2.gz YJRFJRZRNGYZOB-CVEARBPZSA-N 1 2 306.369 1.967 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)[C@]2(C#N)CC23CCCC3)CCO1 ZINC000560260153 332324883 /nfs/dbraw/zinc/32/48/83/332324883.db2.gz VETTYFZARNFDOH-RHSMWYFYSA-N 1 2 305.422 1.687 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)[C@]2(C#N)CC23CCCC3)CCO1 ZINC000560260153 332324884 /nfs/dbraw/zinc/32/48/84/332324884.db2.gz VETTYFZARNFDOH-RHSMWYFYSA-N 1 2 305.422 1.687 20 30 DDEDLO Cc1cccc(-n2cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)nn2)c1 ZINC000562322912 332577252 /nfs/dbraw/zinc/57/72/52/332577252.db2.gz ZNFOKBQOKTVOQN-MRXNPFEDSA-N 1 2 312.377 1.149 20 30 DDEDLO CC[N@@H+](CC(=O)NC(=O)NCc1cccs1)C[C@H](C)C#N ZINC000108975750 333217065 /nfs/dbraw/zinc/21/70/65/333217065.db2.gz FDGCNWQYUWZNAY-LLVKDONJSA-N 1 2 308.407 1.555 20 30 DDEDLO CC[N@H+](CC(=O)NC(=O)NCc1cccs1)C[C@H](C)C#N ZINC000108975750 333217067 /nfs/dbraw/zinc/21/70/67/333217067.db2.gz FDGCNWQYUWZNAY-LLVKDONJSA-N 1 2 308.407 1.555 20 30 DDEDLO Cc1cccc([C@H](CNC(=O)[C@@H](C)C#N)[NH+]2CCOCC2)c1 ZINC000345841823 335354932 /nfs/dbraw/zinc/35/49/32/335354932.db2.gz KJLJYAIXDKJYHL-HOCLYGCPSA-N 1 2 301.390 1.644 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)CN(C)C(=O)Cc2c[nH+]c[nH]2)n1 ZINC001120712196 782103021 /nfs/dbraw/zinc/10/30/21/782103021.db2.gz JZDJILWWPVROIW-LBPRGKRZSA-N 1 2 312.377 1.486 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1nsc2ccccc12 ZINC000583148929 337246264 /nfs/dbraw/zinc/24/62/64/337246264.db2.gz VBNDKSOOFRETTC-OAHLLOKOSA-N 1 2 302.403 1.799 20 30 DDEDLO CC#CCNC(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000270743778 337642475 /nfs/dbraw/zinc/64/24/75/337642475.db2.gz VXPQJEYBPFRXIW-CQSZACIVSA-N 1 2 300.337 1.971 20 30 DDEDLO C=CCNC(=O)C[S@](=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000505765723 340177064 /nfs/dbraw/zinc/17/70/64/340177064.db2.gz HGAFNDAAIAUOTQ-JOCHJYFZSA-N 1 2 317.414 1.482 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCc1ccccc1Cn1cccn1 ZINC000174050611 340380960 /nfs/dbraw/zinc/38/09/60/340380960.db2.gz XNMQIILDQVZSAJ-UHFFFAOYSA-N 1 2 320.396 1.116 20 30 DDEDLO COC(=O)C[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1CCCC1 ZINC000134246846 341210698 /nfs/dbraw/zinc/21/06/98/341210698.db2.gz HCQDKYZVBMQJFI-MRXNPFEDSA-N 1 2 309.410 1.458 20 30 DDEDLO COC(=O)C[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1CCCC1 ZINC000134246846 341210699 /nfs/dbraw/zinc/21/06/99/341210699.db2.gz HCQDKYZVBMQJFI-MRXNPFEDSA-N 1 2 309.410 1.458 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cccc(N2CCCC2=O)c1 ZINC000555482502 341483487 /nfs/dbraw/zinc/48/34/87/341483487.db2.gz AEQSLLAIQVKJET-QGZVFWFLSA-N 1 2 314.389 1.387 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cn3cc(Cl)ccc3n2)nn1 ZINC000656450720 484143041 /nfs/dbraw/zinc/14/30/41/484143041.db2.gz BZEKFPIPWDRTFD-UHFFFAOYSA-N 1 2 314.780 1.740 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1CC(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000489474972 484223994 /nfs/dbraw/zinc/22/39/94/484223994.db2.gz GGPPGTXMGKSFJP-ZDUSSCGKSA-N 1 2 323.418 1.313 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1CC(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000489474972 484223996 /nfs/dbraw/zinc/22/39/96/484223996.db2.gz GGPPGTXMGKSFJP-ZDUSSCGKSA-N 1 2 323.418 1.313 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000673464802 485415032 /nfs/dbraw/zinc/41/50/32/485415032.db2.gz FOVJPQYMYITSQC-AWEZNQCLSA-N 1 2 322.409 1.381 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000673464802 485415036 /nfs/dbraw/zinc/41/50/36/485415036.db2.gz FOVJPQYMYITSQC-AWEZNQCLSA-N 1 2 322.409 1.381 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000682434750 485593413 /nfs/dbraw/zinc/59/34/13/485593413.db2.gz JJKDSJKJWXMKBY-UHFFFAOYSA-N 1 2 300.362 1.855 20 30 DDEDLO Cc1nnc(CN2CC[NH+](CC#Cc3ccc(F)cc3)CC2)[nH]1 ZINC000678888926 485724052 /nfs/dbraw/zinc/72/40/52/485724052.db2.gz LDAQMDGUYSWWJR-UHFFFAOYSA-N 1 2 313.380 1.422 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)Nc2ccc(CC#N)cc2Cl)C1 ZINC000455705229 490267116 /nfs/dbraw/zinc/26/71/16/490267116.db2.gz OUMZEDOISWVUJQ-LBPRGKRZSA-N 1 2 322.796 1.858 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)Nc2ccc(CC#N)cc2Cl)C1 ZINC000455705229 490267122 /nfs/dbraw/zinc/26/71/22/490267122.db2.gz OUMZEDOISWVUJQ-LBPRGKRZSA-N 1 2 322.796 1.858 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Cn1cc2ccccc2n1 ZINC000330066252 533848584 /nfs/dbraw/zinc/84/85/84/533848584.db2.gz SSJITDATEFPESD-ZDUSSCGKSA-N 1 2 302.378 1.714 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)NC[C@H]1CCCOC1 ZINC000330516796 534754998 /nfs/dbraw/zinc/75/49/98/534754998.db2.gz DZSGRNYJURRUFE-SNVBAGLBSA-N 1 2 320.315 1.876 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(C(=O)C2(C#N)CCC2)C1 ZINC000331508700 526372046 /nfs/dbraw/zinc/37/20/46/526372046.db2.gz KRLFPZUUMWHYEQ-MRXNPFEDSA-N 1 2 321.421 1.603 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2cc(F)ccc2C)C1 ZINC000330964405 526401712 /nfs/dbraw/zinc/40/17/12/526401712.db2.gz ZMNQUGRCMMZUAZ-WCQYABFASA-N 1 2 322.384 1.669 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2cc(F)ccc2C)C1 ZINC000330964405 526401716 /nfs/dbraw/zinc/40/17/16/526401716.db2.gz ZMNQUGRCMMZUAZ-WCQYABFASA-N 1 2 322.384 1.669 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)c2cccc(-n3cc[nH+]c3)c2)C1=O ZINC000337080965 526466109 /nfs/dbraw/zinc/46/61/09/526466109.db2.gz WASMNKFKTPKJTM-MRXNPFEDSA-N 1 2 324.384 1.731 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000342269207 526477590 /nfs/dbraw/zinc/47/75/90/526477590.db2.gz OQIDAAYHDLLJAI-ZDUSSCGKSA-N 1 2 304.394 1.220 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(CC)c2ccccc2)C1=O ZINC000337235266 526499280 /nfs/dbraw/zinc/49/92/80/526499280.db2.gz XLDMXGVCOSYANX-INIZCTEOSA-N 1 2 315.417 1.758 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(CC)c2ccccc2)C1=O ZINC000337235266 526499283 /nfs/dbraw/zinc/49/92/83/526499283.db2.gz XLDMXGVCOSYANX-INIZCTEOSA-N 1 2 315.417 1.758 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](CC)C2CN(C(=O)OC(C)(C)C)C2)C1=O ZINC000492005743 526504698 /nfs/dbraw/zinc/50/46/98/526504698.db2.gz FKFRVLPXOKQRRB-AWEZNQCLSA-N 1 2 323.437 1.715 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](CC)C2CN(C(=O)OC(C)(C)C)C2)C1=O ZINC000492005743 526504703 /nfs/dbraw/zinc/50/47/03/526504703.db2.gz FKFRVLPXOKQRRB-AWEZNQCLSA-N 1 2 323.437 1.715 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CCNC(C)=O)Cc1ccc(C)s1 ZINC000338312937 526528044 /nfs/dbraw/zinc/52/80/44/526528044.db2.gz FNMIUKJJBKNMOR-UHFFFAOYSA-N 1 2 309.435 1.297 20 30 DDEDLO C=CCNC(=O)C[N@H+](CCNC(C)=O)Cc1ccc(C)s1 ZINC000338312937 526528047 /nfs/dbraw/zinc/52/80/47/526528047.db2.gz FNMIUKJJBKNMOR-UHFFFAOYSA-N 1 2 309.435 1.297 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](C)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000337477971 526630897 /nfs/dbraw/zinc/63/08/97/526630897.db2.gz ZJMJBGPWZHWOAL-GJZGRUSLSA-N 1 2 317.433 1.829 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](C)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000337477971 526630900 /nfs/dbraw/zinc/63/09/00/526630900.db2.gz ZJMJBGPWZHWOAL-GJZGRUSLSA-N 1 2 317.433 1.829 20 30 DDEDLO C=C(C)COCCNC(=O)[C@H]1CN(c2cccc[nH+]2)C[C@@H]1C ZINC000343660000 526650397 /nfs/dbraw/zinc/65/03/97/526650397.db2.gz SCZUNYRZIGVLAN-GJZGRUSLSA-N 1 2 303.406 1.863 20 30 DDEDLO C#CCN(C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1)C1CCCCC1 ZINC000490630679 526771544 /nfs/dbraw/zinc/77/15/44/526771544.db2.gz RTIQJLDLMGOBKC-INIZCTEOSA-N 1 2 320.481 1.600 20 30 DDEDLO C#CCN(C)C(=O)N[C@H]1CC[N@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000491441092 526795094 /nfs/dbraw/zinc/79/50/94/526795094.db2.gz UATVEICOGQPYRW-LSDHHAIUSA-N 1 2 307.344 1.985 20 30 DDEDLO C#CCN(C)C(=O)N[C@H]1CC[N@@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000491441092 526795099 /nfs/dbraw/zinc/79/50/99/526795099.db2.gz UATVEICOGQPYRW-LSDHHAIUSA-N 1 2 307.344 1.985 20 30 DDEDLO C=CCOC[C@H]1NC(=O)N(Cc2cn3ccc(C)cc3[nH+]2)C1=O ZINC000348478914 526814491 /nfs/dbraw/zinc/81/44/91/526814491.db2.gz PHVNBYBUUPOXBU-CYBMUJFWSA-N 1 2 314.345 1.266 20 30 DDEDLO C#CC[N@@H+](C)CCCNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000491725358 526822644 /nfs/dbraw/zinc/82/26/44/526822644.db2.gz DMTJXVPAMRHREE-UHFFFAOYSA-N 1 2 318.402 1.066 20 30 DDEDLO C#CC[N@H+](C)CCCNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000491725358 526822647 /nfs/dbraw/zinc/82/26/47/526822647.db2.gz DMTJXVPAMRHREE-UHFFFAOYSA-N 1 2 318.402 1.066 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC[C@@H]1COc2ccccc2O1)C1CC1 ZINC000491393414 526883547 /nfs/dbraw/zinc/88/35/47/526883547.db2.gz HNHNUARDTDUBSA-CQSZACIVSA-N 1 2 300.358 1.040 20 30 DDEDLO C#CC[N@H+](CC(=O)NC[C@@H]1COc2ccccc2O1)C1CC1 ZINC000491393414 526883551 /nfs/dbraw/zinc/88/35/51/526883551.db2.gz HNHNUARDTDUBSA-CQSZACIVSA-N 1 2 300.358 1.040 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(F)cc2Cl)CC1 ZINC000490761888 526952351 /nfs/dbraw/zinc/95/23/51/526952351.db2.gz CGMYMZVNEHXCGH-UHFFFAOYSA-N 1 2 309.772 1.668 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccccc2OC(F)F)CC1 ZINC000490759272 526953072 /nfs/dbraw/zinc/95/30/72/526953072.db2.gz ATBJABJVJLXYCO-UHFFFAOYSA-N 1 2 324.327 1.444 20 30 DDEDLO C#CC[NH+]1CCN(c2cc(C)c([N+](=O)[O-])cc2C(=O)OC)CC1 ZINC000491092801 526956037 /nfs/dbraw/zinc/95/60/37/526956037.db2.gz CNYPJKFJYYNOSY-UHFFFAOYSA-N 1 2 317.345 1.445 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@]3(C)CCCO3)n2CC=C)CC1 ZINC000491698212 526957904 /nfs/dbraw/zinc/95/79/04/526957904.db2.gz MASSBWNEEHPLIQ-QGZVFWFLSA-N 1 2 315.421 1.245 20 30 DDEDLO C#CCNC(=O)CC[NH2+]C1(c2noc(C)n2)CCCCCC1 ZINC000491713093 526980117 /nfs/dbraw/zinc/98/01/17/526980117.db2.gz BEHDGJBFUHOJIX-UHFFFAOYSA-N 1 2 304.394 1.657 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@H](C)c2cccc(F)c2)CC1 ZINC000491125939 527002819 /nfs/dbraw/zinc/00/28/19/527002819.db2.gz IXDHHKZVYMYPPH-CQSZACIVSA-N 1 2 303.381 1.254 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@@H](C)c2cccc(F)c2)CC1 ZINC000491125938 527002944 /nfs/dbraw/zinc/00/29/44/527002944.db2.gz IXDHHKZVYMYPPH-AWEZNQCLSA-N 1 2 303.381 1.254 20 30 DDEDLO CC(=O)Nc1cccc(C(=O)N[C@@H](C)C[NH+]2CCN(C)CC2)c1 ZINC000330635617 527031361 /nfs/dbraw/zinc/03/13/61/527031361.db2.gz ALNWNIBVWSHITI-ZDUSSCGKSA-N 1 2 318.421 1.586 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2cnc3c(C#N)cnn3c2)n1 ZINC000491462315 527184066 /nfs/dbraw/zinc/18/40/66/527184066.db2.gz IBUHODMAPDERIR-UHFFFAOYSA-N 1 2 319.372 1.453 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2cnc3c(C#N)cnn3c2)n1 ZINC000491462315 527184072 /nfs/dbraw/zinc/18/40/72/527184072.db2.gz IBUHODMAPDERIR-UHFFFAOYSA-N 1 2 319.372 1.453 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)Cc2c[nH]c3nccnc23)n1 ZINC000491809010 527191688 /nfs/dbraw/zinc/19/16/88/527191688.db2.gz XFANOSXGERFGRU-UHFFFAOYSA-N 1 2 324.388 1.388 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)Cc2c[nH]c3nccnc23)n1 ZINC000491809010 527191695 /nfs/dbraw/zinc/19/16/95/527191695.db2.gz XFANOSXGERFGRU-UHFFFAOYSA-N 1 2 324.388 1.388 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000491699934 527200521 /nfs/dbraw/zinc/20/05/21/527200521.db2.gz VEXOTKDAKTWNQU-CYBMUJFWSA-N 1 2 316.401 1.542 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000491699934 527200525 /nfs/dbraw/zinc/20/05/25/527200525.db2.gz VEXOTKDAKTWNQU-CYBMUJFWSA-N 1 2 316.401 1.542 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1CCN(c2ccccc2[N+](=O)[O-])C1=O ZINC000491718811 527214436 /nfs/dbraw/zinc/21/44/36/527214436.db2.gz WKPFNDOKDOTVMR-GFCCVEGCSA-N 1 2 302.334 1.748 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1CCN(c2ccccc2[N+](=O)[O-])C1=O ZINC000491718811 527214441 /nfs/dbraw/zinc/21/44/41/527214441.db2.gz WKPFNDOKDOTVMR-GFCCVEGCSA-N 1 2 302.334 1.748 20 30 DDEDLO C#C[C@@H](CC)NC(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000491646700 527234770 /nfs/dbraw/zinc/23/47/70/527234770.db2.gz UMTODLSFFRYEKK-ZDUSSCGKSA-N 1 2 306.435 1.772 20 30 DDEDLO C#C[C@@H](CC)NC(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000491646700 527234774 /nfs/dbraw/zinc/23/47/74/527234774.db2.gz UMTODLSFFRYEKK-ZDUSSCGKSA-N 1 2 306.435 1.772 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000343835383 527263012 /nfs/dbraw/zinc/26/30/12/527263012.db2.gz IPLIWSCKSQMIMQ-CQSZACIVSA-N 1 2 305.426 1.982 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000491298099 527301670 /nfs/dbraw/zinc/30/16/70/527301670.db2.gz TZJFBCDZVDBXBM-OAHLLOKOSA-N 1 2 310.357 1.416 20 30 DDEDLO C=CCn1c(C2CC2)nnc1S(=O)(=O)Cc1c[nH+]cn1C ZINC000343532538 527307750 /nfs/dbraw/zinc/30/77/50/527307750.db2.gz HZZPOCKMOZTDPO-UHFFFAOYSA-N 1 2 307.379 1.049 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@H](O)COc1ccc2ccccc2c1 ZINC000491759863 527311737 /nfs/dbraw/zinc/31/17/37/527311737.db2.gz OEFLWWIWFLKGAB-MSOLQXFVSA-N 1 2 311.381 1.914 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@H](O)COc1ccc2ccccc2c1 ZINC000491759863 527311739 /nfs/dbraw/zinc/31/17/39/527311739.db2.gz OEFLWWIWFLKGAB-MSOLQXFVSA-N 1 2 311.381 1.914 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc3c(cc2OC)OCO3)nn1 ZINC000424170995 527373035 /nfs/dbraw/zinc/37/30/35/527373035.db2.gz KYZNDQOVGJJMJZ-UHFFFAOYSA-N 1 2 302.334 1.491 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1[nH+]cnc2c1cnn2-c1ncccn1 ZINC000424539264 527499282 /nfs/dbraw/zinc/49/92/82/527499282.db2.gz RMSWCCUBBVSGEU-AAEUAGOBSA-N 1 2 323.360 1.609 20 30 DDEDLO CCN1CN(C(=O)NCc2cn3cc(Cl)ccc3[nH+]2)CC1=O ZINC000330089291 527905490 /nfs/dbraw/zinc/90/54/90/527905490.db2.gz VTCGNOLDDIMGHO-UHFFFAOYSA-N 1 2 321.768 1.523 20 30 DDEDLO CC1CC(NC(=O)NC[C@@H]2C[C@H](F)C[N@@H+]2Cc2cnn(C)c2)C1 ZINC000329907426 528156419 /nfs/dbraw/zinc/15/64/19/528156419.db2.gz KXFVMOJNNQRLNW-CDFKWJNJSA-N 1 2 323.416 1.635 20 30 DDEDLO CC1CC(NC(=O)NC[C@@H]2C[C@H](F)C[N@H+]2Cc2cnn(C)c2)C1 ZINC000329907426 528156424 /nfs/dbraw/zinc/15/64/24/528156424.db2.gz KXFVMOJNNQRLNW-CDFKWJNJSA-N 1 2 323.416 1.635 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)NCc1[nH+]ccn1CC(C)C ZINC000336932617 528390914 /nfs/dbraw/zinc/39/09/14/528390914.db2.gz COVKNRIWTKLHEN-UHFFFAOYSA-N 1 2 313.427 1.109 20 30 DDEDLO CC(C)[C@@H]1OCC[C@H]1CNC(=O)N(C)CC[NH+]1CCOCC1 ZINC000330230208 528842655 /nfs/dbraw/zinc/84/26/55/528842655.db2.gz WJQYXQKNVFECAO-GJZGRUSLSA-N 1 2 313.442 1.226 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+](C)C[C@H](O)C(F)(F)F ZINC000341160004 528854871 /nfs/dbraw/zinc/85/48/71/528854871.db2.gz LCRDULQNSVSMCH-JFGNBEQYSA-N 1 2 309.332 1.284 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+](C)C[C@H](O)C(F)(F)F ZINC000341160004 528854874 /nfs/dbraw/zinc/85/48/74/528854874.db2.gz LCRDULQNSVSMCH-JFGNBEQYSA-N 1 2 309.332 1.284 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC000330021973 528994897 /nfs/dbraw/zinc/99/48/97/528994897.db2.gz YTPCJMLSKUSJDU-OLZOCXBDSA-N 1 2 302.440 1.785 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC000330021973 528994902 /nfs/dbraw/zinc/99/49/02/528994902.db2.gz YTPCJMLSKUSJDU-OLZOCXBDSA-N 1 2 302.440 1.785 20 30 DDEDLO C(=NNc1cc[nH+]c2ccccc12)c1ccc(-c2nn[nH]n2)o1 ZINC000819719070 599339331 /nfs/dbraw/zinc/33/93/31/599339331.db2.gz YPALRDRZVHQHNG-UHFFFAOYSA-N 1 2 305.301 1.876 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[C@H]([N@H+](C)Cc2ccon2)C1 ZINC000980272691 696724614 /nfs/dbraw/zinc/72/46/14/696724614.db2.gz ZTSXCZFOCCMNHA-SFHVURJKSA-N 1 2 323.396 1.931 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[C@H]([N@@H+](C)Cc2ccon2)C1 ZINC000980272691 696724616 /nfs/dbraw/zinc/72/46/16/696724616.db2.gz ZTSXCZFOCCMNHA-SFHVURJKSA-N 1 2 323.396 1.931 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3n[nH]c(C)c3C)C2)C1 ZINC000972309482 695212789 /nfs/dbraw/zinc/21/27/89/695212789.db2.gz ZMPQUKOUWPRDLM-MRXNPFEDSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3n[nH]c(C)c3C)C2)C1 ZINC000972309482 695212792 /nfs/dbraw/zinc/21/27/92/695212792.db2.gz ZMPQUKOUWPRDLM-MRXNPFEDSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccncc3C)C2)C1 ZINC000972380102 695235286 /nfs/dbraw/zinc/23/52/86/695235286.db2.gz DOBJEOMFILDUCI-GOSISDBHSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccncc3C)C2)C1 ZINC000972380102 695235288 /nfs/dbraw/zinc/23/52/88/695235288.db2.gz DOBJEOMFILDUCI-GOSISDBHSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnn(C)c3Cl)C2)C1 ZINC000972438692 695251545 /nfs/dbraw/zinc/25/15/45/695251545.db2.gz SVDOSFSGDWXZKY-OAHLLOKOSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnn(C)c3Cl)C2)C1 ZINC000972438692 695251546 /nfs/dbraw/zinc/25/15/46/695251546.db2.gz SVDOSFSGDWXZKY-OAHLLOKOSA-N 1 2 324.812 1.176 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@]2(C1)C[N@H+](CC#CC)CCO2 ZINC000972532632 695274017 /nfs/dbraw/zinc/27/40/17/695274017.db2.gz SZUZMKGROAKDQT-QZTJIDSGSA-N 1 2 304.434 1.915 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@]2(C1)C[N@@H+](CC#CC)CCO2 ZINC000972532632 695274018 /nfs/dbraw/zinc/27/40/18/695274018.db2.gz SZUZMKGROAKDQT-QZTJIDSGSA-N 1 2 304.434 1.915 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@]3(C2)C[N@H+](CC=C)CCO3)c1 ZINC000972543269 695277858 /nfs/dbraw/zinc/27/78/58/695277858.db2.gz OPONIDLIXAYXEU-SFHVURJKSA-N 1 2 311.385 1.166 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@]3(C2)C[N@@H+](CC=C)CCO3)c1 ZINC000972543269 695277859 /nfs/dbraw/zinc/27/78/59/695277859.db2.gz OPONIDLIXAYXEU-SFHVURJKSA-N 1 2 311.385 1.166 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(F)F)C2)C1 ZINC000972545140 695278385 /nfs/dbraw/zinc/27/83/85/695278385.db2.gz ZXBRIWICBSIMMV-MRXNPFEDSA-N 1 2 314.376 1.604 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(F)F)C2)C1 ZINC000972545140 695278387 /nfs/dbraw/zinc/27/83/87/695278387.db2.gz ZXBRIWICBSIMMV-MRXNPFEDSA-N 1 2 314.376 1.604 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(Cl)cn3)C2)C1 ZINC000972647758 695308657 /nfs/dbraw/zinc/30/86/57/695308657.db2.gz SXFGUVZXUSVAGL-MRXNPFEDSA-N 1 2 321.808 1.838 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(Cl)cn3)C2)C1 ZINC000972647758 695308659 /nfs/dbraw/zinc/30/86/59/695308659.db2.gz SXFGUVZXUSVAGL-MRXNPFEDSA-N 1 2 321.808 1.838 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(OC)cc3)C2)C1 ZINC000972650149 695309081 /nfs/dbraw/zinc/30/90/81/695309081.db2.gz NDEMUANRESCFGV-SFHVURJKSA-N 1 2 316.401 1.798 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(OC)cc3)C2)C1 ZINC000972650149 695309083 /nfs/dbraw/zinc/30/90/83/695309083.db2.gz NDEMUANRESCFGV-SFHVURJKSA-N 1 2 316.401 1.798 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(C)(F)F)C2)C1 ZINC000972655125 695310113 /nfs/dbraw/zinc/31/01/13/695310113.db2.gz COCGFRKZZTZYSG-MRXNPFEDSA-N 1 2 314.376 1.604 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(C)(F)F)C2)C1 ZINC000972655125 695310116 /nfs/dbraw/zinc/31/01/16/695310116.db2.gz COCGFRKZZTZYSG-MRXNPFEDSA-N 1 2 314.376 1.604 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3sc(C)nc3C)C2)C1 ZINC000972667305 695313397 /nfs/dbraw/zinc/31/33/97/695313397.db2.gz NDWVLSSEYCPDDL-INIZCTEOSA-N 1 2 321.446 1.863 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3sc(C)nc3C)C2)C1 ZINC000972667305 695313399 /nfs/dbraw/zinc/31/33/99/695313399.db2.gz NDWVLSSEYCPDDL-INIZCTEOSA-N 1 2 321.446 1.863 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnc(CC)s3)C2)C1 ZINC000972696292 695318096 /nfs/dbraw/zinc/31/80/96/695318096.db2.gz WLYIVSLYZQATFO-MRXNPFEDSA-N 1 2 321.446 1.808 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnc(CC)s3)C2)C1 ZINC000972696292 695318099 /nfs/dbraw/zinc/31/80/99/695318099.db2.gz WLYIVSLYZQATFO-MRXNPFEDSA-N 1 2 321.446 1.808 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)NCC2(CC#N)CC2)c(N(C)C)[nH+]1 ZINC000797540024 700013713 /nfs/dbraw/zinc/01/37/13/700013713.db2.gz YWTVSSXCNKOIKT-UHFFFAOYSA-N 1 2 315.377 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@H](C)n2cncn2)C(C)(C)C1 ZINC000974484279 695678724 /nfs/dbraw/zinc/67/87/24/695678724.db2.gz LWKNPAQJMAMADX-VXGBXAGGSA-N 1 2 311.817 1.418 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@H](C)n2cncn2)C(C)(C)C1 ZINC000974484279 695678725 /nfs/dbraw/zinc/67/87/25/695678725.db2.gz LWKNPAQJMAMADX-VXGBXAGGSA-N 1 2 311.817 1.418 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2ccnn2C)C(C)(C)C1 ZINC000974500596 695680921 /nfs/dbraw/zinc/68/09/21/695680921.db2.gz PCGVIYNQFLVJOL-CYBMUJFWSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2ccnn2C)C(C)(C)C1 ZINC000974500596 695680923 /nfs/dbraw/zinc/68/09/23/695680923.db2.gz PCGVIYNQFLVJOL-CYBMUJFWSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnc[nH]c2=O)C(C)(C)C1 ZINC000974715192 695717192 /nfs/dbraw/zinc/71/71/92/695717192.db2.gz HYICHWFWGJXVCH-LLVKDONJSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnc[nH]c2=O)C(C)(C)C1 ZINC000974715192 695717193 /nfs/dbraw/zinc/71/71/93/695717193.db2.gz HYICHWFWGJXVCH-LLVKDONJSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974929717 695761460 /nfs/dbraw/zinc/76/14/60/695761460.db2.gz PCYYHNQGHWDPON-NWDGAFQWSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974929717 695761461 /nfs/dbraw/zinc/76/14/61/695761461.db2.gz PCYYHNQGHWDPON-NWDGAFQWSA-N 1 2 313.829 1.186 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1C[N@@H+](CCF)CC1(C)C ZINC000977515855 696196401 /nfs/dbraw/zinc/19/64/01/696196401.db2.gz RKSFDRZIFZOZCA-AWEZNQCLSA-N 1 2 319.380 1.503 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1C[N@H+](CCF)CC1(C)C ZINC000977515855 696196403 /nfs/dbraw/zinc/19/64/03/696196403.db2.gz RKSFDRZIFZOZCA-AWEZNQCLSA-N 1 2 319.380 1.503 20 30 DDEDLO CCCCCNC(=O)CO[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000060454602 696310697 /nfs/dbraw/zinc/31/06/97/696310697.db2.gz PPILXTWDPLDLAQ-UHFFFAOYSA-N 1 2 307.394 1.833 20 30 DDEDLO C=CCN1CC[C@H](OC(=O)[C@H]([NH2+]C2CCOCC2)C(C)C)C1=O ZINC000798630203 700082043 /nfs/dbraw/zinc/08/20/43/700082043.db2.gz PWFNQGKZASZKEN-LSDHHAIUSA-N 1 2 324.421 1.110 20 30 DDEDLO COC(=O)CCc1nc(CO[NH+]=C(N)Cc2ccccc2)no1 ZINC000111176818 696642623 /nfs/dbraw/zinc/64/26/23/696642623.db2.gz NIVDOICOFZTANP-UHFFFAOYSA-N 1 2 318.333 1.207 20 30 DDEDLO CN(Cc1ccc(Cl)s1)C(=O)CO[NH+]=C(N)CCO ZINC000136064470 696852859 /nfs/dbraw/zinc/85/28/59/696852859.db2.gz KJRFBPBRPCJZGC-UHFFFAOYSA-N 1 2 305.787 1.031 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CCCC3(CN(C(=O)C#CC4CC4)C3)C2)n1 ZINC000981761932 696906174 /nfs/dbraw/zinc/90/61/74/696906174.db2.gz VKJSKAVCXYKUCX-UHFFFAOYSA-N 1 2 324.428 1.623 20 30 DDEDLO Cc1ccnc(C[N@H+]2CCCC3(CN(C(=O)C#CC4CC4)C3)C2)n1 ZINC000981761932 696906176 /nfs/dbraw/zinc/90/61/76/696906176.db2.gz VKJSKAVCXYKUCX-UHFFFAOYSA-N 1 2 324.428 1.623 20 30 DDEDLO O=C(c1cocn1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980831401 696906445 /nfs/dbraw/zinc/90/64/45/696906445.db2.gz PPPCJXTUNNEDBA-UHFFFAOYSA-N 1 2 309.369 1.874 20 30 DDEDLO O=C(c1cocn1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980831401 696906447 /nfs/dbraw/zinc/90/64/47/696906447.db2.gz PPPCJXTUNNEDBA-UHFFFAOYSA-N 1 2 309.369 1.874 20 30 DDEDLO Cc1nc(C(=O)N2CCC[N@H+](Cc3ccccc3C#N)CC2)c[nH]1 ZINC000980842253 696913436 /nfs/dbraw/zinc/91/34/36/696913436.db2.gz ACEKVQMFPBFCSG-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO Cc1nc(C(=O)N2CCC[N@@H+](Cc3ccccc3C#N)CC2)c[nH]1 ZINC000980842253 696913438 /nfs/dbraw/zinc/91/34/38/696913438.db2.gz ACEKVQMFPBFCSG-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CCCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000980917387 696943807 /nfs/dbraw/zinc/94/38/07/696943807.db2.gz MNVIALOPQGFWKH-ZDUSSCGKSA-N 1 2 324.388 1.585 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CCCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000980917387 696943809 /nfs/dbraw/zinc/94/38/09/696943809.db2.gz MNVIALOPQGFWKH-ZDUSSCGKSA-N 1 2 324.388 1.585 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@]2(C)C[N@H+](Cc3cnns3)C[C@]2(C)C1 ZINC000982236635 697069270 /nfs/dbraw/zinc/06/92/70/697069270.db2.gz MIPYRDDEJGQCIV-TUKIKUTGSA-N 1 2 319.434 1.368 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@]2(C)C[N@@H+](Cc3cnns3)C[C@]2(C)C1 ZINC000982236635 697069272 /nfs/dbraw/zinc/06/92/72/697069272.db2.gz MIPYRDDEJGQCIV-TUKIKUTGSA-N 1 2 319.434 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CN2CCCCC2=O)CC1 ZINC000981534790 697121472 /nfs/dbraw/zinc/12/14/72/697121472.db2.gz HHTWGFCAUMTORR-UHFFFAOYSA-N 1 2 313.829 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CN2CCCCC2=O)CC1 ZINC000981534790 697121473 /nfs/dbraw/zinc/12/14/73/697121473.db2.gz HHTWGFCAUMTORR-UHFFFAOYSA-N 1 2 313.829 1.286 20 30 DDEDLO Cc1ccc(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)cc1C#N ZINC000799612913 700153176 /nfs/dbraw/zinc/15/31/76/700153176.db2.gz GSIJPPWXFDKCCX-KGLIPLIRSA-N 1 2 301.390 1.706 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC000182610085 697477181 /nfs/dbraw/zinc/47/71/81/697477181.db2.gz UOLATJGJVOVKFA-QGZVFWFLSA-N 1 2 312.373 1.791 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC000182610085 697477182 /nfs/dbraw/zinc/47/71/82/697477182.db2.gz UOLATJGJVOVKFA-QGZVFWFLSA-N 1 2 312.373 1.791 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCC(N(C)CC#N)CC2)c[nH+]1 ZINC000985496862 697519779 /nfs/dbraw/zinc/51/97/79/697519779.db2.gz REPIGMNDFOKGOP-UHFFFAOYSA-N 1 2 303.410 1.373 20 30 DDEDLO C#C[C@@H](C)NC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000186047631 697528067 /nfs/dbraw/zinc/52/80/67/697528067.db2.gz GGKKFJGUPRECLK-OAHLLOKOSA-N 1 2 301.390 1.414 20 30 DDEDLO Cn1cccc1C(O)=CONC(=[NH2+])COc1cc(F)cc(F)c1 ZINC000186841032 697538255 /nfs/dbraw/zinc/53/82/55/697538255.db2.gz JJJWUKOCTNOCIJ-UHFFFAOYSA-N 1 2 323.299 1.854 20 30 DDEDLO CN(C)c1ccc(C=[NH+]Nc2ccccc2S(N)(=O)=O)cc1 ZINC000773120833 697715796 /nfs/dbraw/zinc/71/57/96/697715796.db2.gz LQPURTHHWVEHFE-UHFFFAOYSA-N 1 2 318.402 1.846 20 30 DDEDLO C[C@@H]1[C@H]([NH2+]Cc2csnn2)CCN1C(=O)c1c[nH]c(C#N)c1 ZINC000986183846 697723632 /nfs/dbraw/zinc/72/36/32/697723632.db2.gz LPTZRMDZKCYOJP-NOZJJQNGSA-N 1 2 316.390 1.131 20 30 DDEDLO CCCCCNC(=O)[C@H](C#N)C(=O)C[N@H+](C)[C@H]1CCSC1 ZINC000773968473 697829509 /nfs/dbraw/zinc/82/95/09/697829509.db2.gz GDDRNYCBCDJLRL-QWHCGFSZSA-N 1 2 311.451 1.439 20 30 DDEDLO CCCCCNC(=O)[C@H](C#N)C(=O)C[N@@H+](C)[C@H]1CCSC1 ZINC000773968473 697829510 /nfs/dbraw/zinc/82/95/10/697829510.db2.gz GDDRNYCBCDJLRL-QWHCGFSZSA-N 1 2 311.451 1.439 20 30 DDEDLO Cc1cc(CN2CC[NH+](CC[S@](C)=O)CC2)ccc1C#N ZINC000774902170 697939874 /nfs/dbraw/zinc/93/98/74/697939874.db2.gz HEMHTWRAPWECEP-NRFANRHFSA-N 1 2 305.447 1.363 20 30 DDEDLO CC(=O)NC[C@H]1C[N@H+](Cc2ccc(O[C@@H](C)C#N)cc2)CCO1 ZINC000245796760 698339199 /nfs/dbraw/zinc/33/91/99/698339199.db2.gz XCTKBKZJXKPPGH-GUYCJALGSA-N 1 2 317.389 1.314 20 30 DDEDLO CC(=O)NC[C@H]1C[N@@H+](Cc2ccc(O[C@@H](C)C#N)cc2)CCO1 ZINC000245796760 698339203 /nfs/dbraw/zinc/33/92/03/698339203.db2.gz XCTKBKZJXKPPGH-GUYCJALGSA-N 1 2 317.389 1.314 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2C[C@H](C)N(C(=O)C#CC3CC3)C2)o1 ZINC000988828868 698478027 /nfs/dbraw/zinc/47/80/27/698478027.db2.gz YFKDVBGETZMHKO-JSGCOSHPSA-N 1 2 316.405 1.685 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+]2CC[C@H](S(C)(=O)=O)C2)cc1 ZINC000800534544 700231607 /nfs/dbraw/zinc/23/16/07/700231607.db2.gz MORWIRSEEWMDJY-DOMZBBRYSA-N 1 2 308.403 1.596 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+]2CC[C@H](S(C)(=O)=O)C2)cc1 ZINC000800534544 700231608 /nfs/dbraw/zinc/23/16/08/700231608.db2.gz MORWIRSEEWMDJY-DOMZBBRYSA-N 1 2 308.403 1.596 20 30 DDEDLO C[C@H]1C[C@H](NCC#N)CN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000989635472 698702322 /nfs/dbraw/zinc/70/23/22/698702322.db2.gz MAYYWQJFTISZBX-ZFWWWQNUSA-N 1 2 309.373 1.588 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000455401945 699190106 /nfs/dbraw/zinc/19/01/06/699190106.db2.gz HOXPICRUVWZFCT-MJBXVCDLSA-N 1 2 304.394 1.653 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+]C[C@@H]1CC(C(N)=O)=NO1 ZINC000789562843 699396127 /nfs/dbraw/zinc/39/61/27/699396127.db2.gz ZOBHDKBPQOHFKN-JTQLQIEISA-N 1 2 321.764 1.072 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)c3cccc4[nH+]ccn43)CCC[C@H]12 ZINC000991845093 699420225 /nfs/dbraw/zinc/42/02/25/699420225.db2.gz YIVNCNBOJCOZRJ-WMLDXEAASA-N 1 2 309.373 1.585 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)COc1cccc(C#N)c1 ZINC000790138310 699444978 /nfs/dbraw/zinc/44/49/78/699444978.db2.gz XTJPVYLQZVNDLQ-AWEZNQCLSA-N 1 2 317.389 1.116 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)cc1 ZINC000790153483 699445712 /nfs/dbraw/zinc/44/57/12/699445712.db2.gz SGLWHRUSOCXHAR-SJORKVTESA-N 1 2 323.352 1.667 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)NC)c(Cl)c1 ZINC000732059997 699545060 /nfs/dbraw/zinc/54/50/60/699545060.db2.gz YWNUFSAFZOCAIW-AWEZNQCLSA-N 1 2 319.792 1.736 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)NC)c(Cl)c1 ZINC000732059997 699545062 /nfs/dbraw/zinc/54/50/62/699545062.db2.gz YWNUFSAFZOCAIW-AWEZNQCLSA-N 1 2 319.792 1.736 20 30 DDEDLO COC(=O)[C@H](C)C[N@H+](C)[C@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000739914828 699782905 /nfs/dbraw/zinc/78/29/05/699782905.db2.gz LMHFCGMIYNAPQJ-RISCZKNCSA-N 1 2 304.346 1.513 20 30 DDEDLO COC(=O)[C@H](C)C[N@@H+](C)[C@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000739914828 699782906 /nfs/dbraw/zinc/78/29/06/699782906.db2.gz LMHFCGMIYNAPQJ-RISCZKNCSA-N 1 2 304.346 1.513 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCCC[C@@H]2[NH+]2CCOCC2)o1 ZINC000794381462 699806324 /nfs/dbraw/zinc/80/63/24/699806324.db2.gz AVIGMDQRZFTHGI-KBPBESRZSA-N 1 2 303.362 1.524 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CCC3(C2)CCOCC3)c1=S ZINC000794636424 699820642 /nfs/dbraw/zinc/82/06/42/699820642.db2.gz IBILSXJXAUFYSB-UHFFFAOYSA-N 1 2 307.423 1.477 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CCC3(C2)CCOCC3)c1=S ZINC000794636424 699820644 /nfs/dbraw/zinc/82/06/44/699820644.db2.gz IBILSXJXAUFYSB-UHFFFAOYSA-N 1 2 307.423 1.477 20 30 DDEDLO C[N@H+](C[C@H](O)COc1ccc(C#N)cc1)[C@H]1CC(C)(C)OC1=O ZINC000795689167 699884110 /nfs/dbraw/zinc/88/41/10/699884110.db2.gz XTESATUZZIBFMM-ZFWWWQNUSA-N 1 2 318.373 1.324 20 30 DDEDLO C[N@@H+](C[C@H](O)COc1ccc(C#N)cc1)[C@H]1CC(C)(C)OC1=O ZINC000795689167 699884112 /nfs/dbraw/zinc/88/41/12/699884112.db2.gz XTESATUZZIBFMM-ZFWWWQNUSA-N 1 2 318.373 1.324 20 30 DDEDLO N#CCOc1ccc(C[N@@H+]2CCOC[C@@H]2[C@H]2CCCO2)cc1 ZINC000796340767 699927547 /nfs/dbraw/zinc/92/75/47/699927547.db2.gz MELJIRNIYGEHGW-IAGOWNOFSA-N 1 2 302.374 1.969 20 30 DDEDLO N#CCOc1ccc(C[N@H+]2CCOC[C@@H]2[C@H]2CCCO2)cc1 ZINC000796340767 699927549 /nfs/dbraw/zinc/92/75/49/699927549.db2.gz MELJIRNIYGEHGW-IAGOWNOFSA-N 1 2 302.374 1.969 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1ccc([S@@](C)=O)cc1 ZINC000756050049 700600682 /nfs/dbraw/zinc/60/06/82/700600682.db2.gz ZGBHLOTZRXQVNZ-UMLSUDCWSA-N 1 2 318.442 1.699 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1ccc([S@@](C)=O)cc1 ZINC000756050049 700600685 /nfs/dbraw/zinc/60/06/85/700600685.db2.gz ZGBHLOTZRXQVNZ-UMLSUDCWSA-N 1 2 318.442 1.699 20 30 DDEDLO N#Cc1cccn(C[N@H+]2CCC[C@H](C(=O)N3CCCC3)C2)c1=O ZINC000758228276 700691848 /nfs/dbraw/zinc/69/18/48/700691848.db2.gz XUTKDJUMLZNUIP-HNNXBMFYSA-N 1 2 314.389 1.012 20 30 DDEDLO N#Cc1cccn(C[N@@H+]2CCC[C@H](C(=O)N3CCCC3)C2)c1=O ZINC000758228276 700691850 /nfs/dbraw/zinc/69/18/50/700691850.db2.gz XUTKDJUMLZNUIP-HNNXBMFYSA-N 1 2 314.389 1.012 20 30 DDEDLO Cc1ccn(C[N@@H+]2CCC[C@]3(CCCOC3)C2)c(=O)c1C#N ZINC000758230931 700692062 /nfs/dbraw/zinc/69/20/62/700692062.db2.gz MDJLXRAOWAQFEU-KRWDZBQOSA-N 1 2 301.390 1.879 20 30 DDEDLO Cc1ccn(C[N@H+]2CCC[C@]3(CCCOC3)C2)c(=O)c1C#N ZINC000758230931 700692063 /nfs/dbraw/zinc/69/20/63/700692063.db2.gz MDJLXRAOWAQFEU-KRWDZBQOSA-N 1 2 301.390 1.879 20 30 DDEDLO C#CCOc1ccc(C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000767553855 701115954 /nfs/dbraw/zinc/11/59/54/701115954.db2.gz GJMNOJGPTPNGDB-CALCHBBNSA-N 1 2 315.369 1.719 20 30 DDEDLO C#CCOc1ccc(C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000767553855 701115956 /nfs/dbraw/zinc/11/59/56/701115956.db2.gz GJMNOJGPTPNGDB-CALCHBBNSA-N 1 2 315.369 1.719 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@H+]1CCOC[C@@H]1CCO ZINC000767602017 701121137 /nfs/dbraw/zinc/12/11/37/701121137.db2.gz WAIXBQNTAOXDMW-LBPRGKRZSA-N 1 2 309.391 1.032 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@@H+]1CCOC[C@@H]1CCO ZINC000767602017 701121139 /nfs/dbraw/zinc/12/11/39/701121139.db2.gz WAIXBQNTAOXDMW-LBPRGKRZSA-N 1 2 309.391 1.032 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)N[C@](C)(CCC(=O)OCC)C1=O ZINC000808176727 701505104 /nfs/dbraw/zinc/50/51/04/701505104.db2.gz KATGDVQSIXOLPD-OAHLLOKOSA-N 1 2 311.382 1.106 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)N[C@](C)(CCC(=O)OCC)C1=O ZINC000808176727 701505105 /nfs/dbraw/zinc/50/51/05/701505105.db2.gz KATGDVQSIXOLPD-OAHLLOKOSA-N 1 2 311.382 1.106 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](Nc1cc(N3CCOCC3)nc[nH+]1)C2 ZINC000866258850 706659503 /nfs/dbraw/zinc/65/95/03/706659503.db2.gz BUPNPPJWNKJQDU-INIZCTEOSA-N 1 2 321.384 1.764 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](Nc1cc(N3CCOCC3)[nH+]cn1)C2 ZINC000866258850 706659504 /nfs/dbraw/zinc/65/95/04/706659504.db2.gz BUPNPPJWNKJQDU-INIZCTEOSA-N 1 2 321.384 1.764 20 30 DDEDLO CCn1c[nH+]cc1CN=Nc1c(OC)cccc1S(C)(=O)=O ZINC000841633716 702514890 /nfs/dbraw/zinc/51/48/90/702514890.db2.gz YUJHCFPHOXELLE-UHFFFAOYSA-N 1 2 322.390 1.761 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2scc3c2OCCO3)CC1 ZINC000847416664 703415646 /nfs/dbraw/zinc/41/56/46/703415646.db2.gz QGPIRGQEZCBJGZ-UHFFFAOYSA-N 1 2 306.387 1.300 20 30 DDEDLO COc1ccc2c(c1)C(=NNCCCn1cc[nH+]c1)C(=O)N2C ZINC000848416261 703547932 /nfs/dbraw/zinc/54/79/32/703547932.db2.gz FDKZXTQXBCKBEG-UHFFFAOYSA-N 1 2 313.361 1.252 20 30 DDEDLO COc1cc(C=NNCCCn2cc[nH+]c2)cc2c1OCO2 ZINC000848418709 703548183 /nfs/dbraw/zinc/54/81/83/703548183.db2.gz MCYMMTOHKDOVIQ-UHFFFAOYSA-N 1 2 302.334 1.634 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN2Cc3ccc(C#N)cc3C2)C1 ZINC000848501134 703558417 /nfs/dbraw/zinc/55/84/17/703558417.db2.gz OSAWBYYFNGOITE-MRXNPFEDSA-N 1 2 321.384 1.581 20 30 DDEDLO C#Cc1cccc(NC(=S)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC000849289981 703632554 /nfs/dbraw/zinc/63/25/54/703632554.db2.gz SIWJMDRZIZTYNC-INIZCTEOSA-N 1 2 315.442 1.771 20 30 DDEDLO C#CCNC(=O)CCNc1ccc([NH+]2CCC(O)CC2)cc1 ZINC000819407844 704121911 /nfs/dbraw/zinc/12/19/11/704121911.db2.gz CUMUCISBJOJHPQ-UHFFFAOYSA-N 1 2 301.390 1.199 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc2c(c1)CCC[C@H]2O ZINC000819478240 704131500 /nfs/dbraw/zinc/13/15/00/704131500.db2.gz LIWBLOKJAVWFSY-DOTOQJQBSA-N 1 2 303.406 1.675 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc2c(c1)CCC[C@H]2O ZINC000819478240 704131502 /nfs/dbraw/zinc/13/15/02/704131502.db2.gz LIWBLOKJAVWFSY-DOTOQJQBSA-N 1 2 303.406 1.675 20 30 DDEDLO C[NH+](C)[C@H](C(=O)N[C@@H]1CCN(O)C1=O)c1cccc(Cl)c1 ZINC000820157108 704231638 /nfs/dbraw/zinc/23/16/38/704231638.db2.gz SEBWXWBNTCZMTA-NEPJUHHUSA-N 1 2 311.769 1.049 20 30 DDEDLO CCOC(=O)[C@@]1(C)CC(=O)N(C[N@@H+]2CCC[C@@H](CC#N)C2)C1 ZINC000853524417 704259333 /nfs/dbraw/zinc/25/93/33/704259333.db2.gz MYWPIIUMMOJLLW-BBRMVZONSA-N 1 2 307.394 1.371 20 30 DDEDLO CCOC(=O)[C@@]1(C)CC(=O)N(C[N@H+]2CCC[C@@H](CC#N)C2)C1 ZINC000853524417 704259334 /nfs/dbraw/zinc/25/93/34/704259334.db2.gz MYWPIIUMMOJLLW-BBRMVZONSA-N 1 2 307.394 1.371 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1CC(=O)N(C[N@@H+]2CCC[C@@H](CC#N)C2)C1 ZINC000853525481 704259580 /nfs/dbraw/zinc/25/95/80/704259580.db2.gz WRULZUZKCVNPSE-UONOGXRCSA-N 1 2 321.421 1.760 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1CC(=O)N(C[N@H+]2CCC[C@@H](CC#N)C2)C1 ZINC000853525481 704259584 /nfs/dbraw/zinc/25/95/84/704259584.db2.gz WRULZUZKCVNPSE-UONOGXRCSA-N 1 2 321.421 1.760 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000853621073 704287822 /nfs/dbraw/zinc/28/78/22/704287822.db2.gz OYTFQQIHGRGSLE-CVEARBPZSA-N 1 2 320.433 1.476 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000853621073 704287824 /nfs/dbraw/zinc/28/78/24/704287824.db2.gz OYTFQQIHGRGSLE-CVEARBPZSA-N 1 2 320.433 1.476 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(N(CC)C(C)=O)nc1 ZINC000855350628 704480281 /nfs/dbraw/zinc/48/02/81/704480281.db2.gz XYMGURCEXBTYNK-HNNXBMFYSA-N 1 2 314.389 1.491 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(N(CC)C(C)=O)nc1 ZINC000855350628 704480282 /nfs/dbraw/zinc/48/02/82/704480282.db2.gz XYMGURCEXBTYNK-HNNXBMFYSA-N 1 2 314.389 1.491 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCC(C(=O)c2ccc(O)cc2)CC1 ZINC000857836378 704631354 /nfs/dbraw/zinc/63/13/54/704631354.db2.gz WCPLHHBAPMHKIO-UHFFFAOYSA-N 1 2 300.358 1.036 20 30 DDEDLO C#C[C@H](NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F)[C@@H]1CCCO1 ZINC000822072223 704871216 /nfs/dbraw/zinc/87/12/16/704871216.db2.gz GCVIUTOMMSLCIE-SDDRHHMPSA-N 1 2 315.295 1.674 20 30 DDEDLO C#CCCN(CCOC)C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000824748698 705523178 /nfs/dbraw/zinc/52/31/78/705523178.db2.gz NWJHLLJYFQIFHZ-LBPRGKRZSA-N 1 2 317.311 1.875 20 30 DDEDLO CCN(C)c1ccc(C[NH+]2CCC(C#N)(C(=O)OC)CC2)cn1 ZINC000824871054 705548257 /nfs/dbraw/zinc/54/82/57/705548257.db2.gz AIXJKUPRTIBXHJ-UHFFFAOYSA-N 1 2 316.405 1.816 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)C(F)(F)c2ccccc2OC)CC1 ZINC000825077864 705595593 /nfs/dbraw/zinc/59/55/93/705595593.db2.gz KLNFRQVKMRCPAV-UHFFFAOYSA-N 1 2 322.355 1.955 20 30 DDEDLO COC(=O)CCN(CC#N)C(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC000825374534 705657249 /nfs/dbraw/zinc/65/72/49/705657249.db2.gz PVHXZLITMBAKBH-CYBMUJFWSA-N 1 2 306.366 1.386 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000862195712 705675212 /nfs/dbraw/zinc/67/52/12/705675212.db2.gz YRTNJNJGLABBOQ-ZDUSSCGKSA-N 1 2 303.362 1.100 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NC(C)(C)C[NH+]2CCOCC2)CC1 ZINC000876440454 705721177 /nfs/dbraw/zinc/72/11/77/705721177.db2.gz CDYJZFBWMUZEBQ-SHTZXODSSA-N 1 2 307.438 1.588 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+]2CCO[C@H](CC(N)=O)C2)cc1 ZINC000862535308 705728346 /nfs/dbraw/zinc/72/83/46/705728346.db2.gz IQUVWCRJHLLWCB-IUODEOHRSA-N 1 2 303.362 1.054 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+]2CCO[C@H](CC(N)=O)C2)cc1 ZINC000862535308 705728350 /nfs/dbraw/zinc/72/83/50/705728350.db2.gz IQUVWCRJHLLWCB-IUODEOHRSA-N 1 2 303.362 1.054 20 30 DDEDLO CN(C)c1ccc([NH+]2CCC(Nc3ncncc3C#N)CC2)cc1 ZINC000825785287 705730294 /nfs/dbraw/zinc/73/02/94/705730294.db2.gz HZJZFXSOYPURBY-UHFFFAOYSA-N 1 2 322.416 1.917 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2ccc(CC)s2)CC1 ZINC000825969509 705752993 /nfs/dbraw/zinc/75/29/93/705752993.db2.gz NRIVDLWAUSUZHI-AWEZNQCLSA-N 1 2 320.458 1.993 20 30 DDEDLO C=CCC[C@@H]([NH2+]C1CC(n2cc([N+](=O)[O-])cn2)C1)C(=O)OCC ZINC000877573509 706146618 /nfs/dbraw/zinc/14/66/18/706146618.db2.gz ZETCRVADVVLGJD-ORHYLEIMSA-N 1 2 322.365 1.982 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(C(F)(F)F)ccn2)CC1 ZINC000878424708 706383843 /nfs/dbraw/zinc/38/38/43/706383843.db2.gz XCNZORZCDKCFDK-UHFFFAOYSA-N 1 2 311.307 1.882 20 30 DDEDLO C#CCNC(=S)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000865254861 706389230 /nfs/dbraw/zinc/38/92/30/706389230.db2.gz GREIFGVBXKUCFA-UHFFFAOYSA-N 1 2 306.460 1.232 20 30 DDEDLO N#CCC(=O)N1CC[NH+](C[C@H]2CCC3(CCOCC3)CO2)CC1 ZINC000878671887 706464383 /nfs/dbraw/zinc/46/43/83/706464383.db2.gz NIPUPHPVKRDCQC-OAHLLOKOSA-N 1 2 321.421 1.020 20 30 DDEDLO C[C@@H]1C[N@@H+](C[C@H](O)c2cc(F)ccc2F)C[C@H](C)N1CC#N ZINC000878775488 706496435 /nfs/dbraw/zinc/49/64/35/706496435.db2.gz JBCYHCOIKVUNCK-OZVIIMIRSA-N 1 2 309.360 1.916 20 30 DDEDLO C[C@@H]1C[N@H+](C[C@H](O)c2cc(F)ccc2F)C[C@H](C)N1CC#N ZINC000878775488 706496436 /nfs/dbraw/zinc/49/64/36/706496436.db2.gz JBCYHCOIKVUNCK-OZVIIMIRSA-N 1 2 309.360 1.916 20 30 DDEDLO C#CC1CC[NH+](CC(=O)NC(=O)c2ccc(OC)cc2)CC1 ZINC000830333953 706498164 /nfs/dbraw/zinc/49/81/64/706498164.db2.gz QRDWRMXOYJICLX-UHFFFAOYSA-N 1 2 300.358 1.297 20 30 DDEDLO N#CCOc1cc(F)ccc1NC(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000878937201 706537257 /nfs/dbraw/zinc/53/72/57/706537257.db2.gz QANDQGZXCFNLND-LLVKDONJSA-N 1 2 315.308 1.671 20 30 DDEDLO C=CC[C@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1ccncc1 ZINC000865892254 706554494 /nfs/dbraw/zinc/55/44/94/706554494.db2.gz ZYAKIWWENYCNTP-IMMZDGJCSA-N 1 2 301.390 1.703 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCC[C@](O)(Cc2nc(CC)no2)C1 ZINC000879017997 706563868 /nfs/dbraw/zinc/56/38/68/706563868.db2.gz OHVZPQSHRYFZSQ-LRDDRELGSA-N 1 2 323.393 1.119 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCC[C@](O)(Cc2nc(CC)no2)C1 ZINC000879017997 706563872 /nfs/dbraw/zinc/56/38/72/706563872.db2.gz OHVZPQSHRYFZSQ-LRDDRELGSA-N 1 2 323.393 1.119 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cc(F)cc(C#N)c2)[C@H](C)C1 ZINC000867258938 706940055 /nfs/dbraw/zinc/94/00/55/706940055.db2.gz GUWAZNDRJOMBEE-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cc(F)cc(C#N)c2)[C@H](C)C1 ZINC000867258938 706940058 /nfs/dbraw/zinc/94/00/58/706940058.db2.gz GUWAZNDRJOMBEE-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO N#CCCCS(=O)(=O)N1CC2(C1)C[NH+](Cc1ccccc1)C2 ZINC000867584333 707046857 /nfs/dbraw/zinc/04/68/57/707046857.db2.gz RPZVMETXXDRRCP-UHFFFAOYSA-N 1 2 319.430 1.438 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000834555554 707085461 /nfs/dbraw/zinc/08/54/61/707085461.db2.gz AHGMXBGUEULRKF-GJZGRUSLSA-N 1 2 318.421 1.597 20 30 DDEDLO C[C@H](NC(=S)NN=C1CCCc2[nH+]c(N)ccc21)C1CC1 ZINC000834963959 707153494 /nfs/dbraw/zinc/15/34/94/707153494.db2.gz HMXBSUZXMIIOPX-VIFPVBQESA-N 1 2 303.435 1.967 20 30 DDEDLO COC(=O)c1cc(N[NH2+]C2=CC(=O)N3CCC[C@H]23)ccc1F ZINC000835010960 707156591 /nfs/dbraw/zinc/15/65/91/707156591.db2.gz CSPDMBHDECSHQT-CYBMUJFWSA-N 1 2 305.309 1.775 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1cc(CC#N)ccn1)[NH+]1CCOCC1 ZINC000871722501 707176566 /nfs/dbraw/zinc/17/65/66/707176566.db2.gz NSTQLVARSVPNDB-QWHCGFSZSA-N 1 2 317.393 1.378 20 30 DDEDLO NC(=[NH+]OCc1cn(C[C@@H]2CCOC2)nn1)c1ccc(F)cc1 ZINC000871721766 707176807 /nfs/dbraw/zinc/17/68/07/707176807.db2.gz BIFIFSZJAHMYBP-NSHDSACASA-N 1 2 319.340 1.291 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CSc1nc2cnccc2s1 ZINC000871983989 707268236 /nfs/dbraw/zinc/26/82/36/707268236.db2.gz OZSMXGFNLXSYTE-JTQLQIEISA-N 1 2 308.432 1.990 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CSc1nc2cnccc2s1 ZINC000871983989 707268239 /nfs/dbraw/zinc/26/82/39/707268239.db2.gz OZSMXGFNLXSYTE-JTQLQIEISA-N 1 2 308.432 1.990 20 30 DDEDLO COCCCNC(=S)NN=C1CCC[N@@H+]2CCSC[C@@H]12 ZINC000872331460 707384216 /nfs/dbraw/zinc/38/42/16/707384216.db2.gz JJPXLIYSPNJYME-LBPRGKRZSA-N 1 2 316.496 1.054 20 30 DDEDLO COCCCNC(=S)NN=C1CCC[N@H+]2CCSC[C@@H]12 ZINC000872331460 707384222 /nfs/dbraw/zinc/38/42/22/707384222.db2.gz JJPXLIYSPNJYME-LBPRGKRZSA-N 1 2 316.496 1.054 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@H](C)[N@@H+](C)C[C@@H]2C)c(C#N)c1 ZINC000872467551 707429231 /nfs/dbraw/zinc/42/92/31/707429231.db2.gz KXVNPXYUPSJMPU-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@H](C)[N@H+](C)C[C@@H]2C)c(C#N)c1 ZINC000872467551 707429235 /nfs/dbraw/zinc/42/92/35/707429235.db2.gz KXVNPXYUPSJMPU-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO N#CCOc1ccccc1C(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000836865126 707533893 /nfs/dbraw/zinc/53/38/93/707533893.db2.gz YXYNBVAOVQLTKP-OKILXGFUSA-N 1 2 315.373 1.182 20 30 DDEDLO N#CCOc1ccccc1C(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000836865126 707533897 /nfs/dbraw/zinc/53/38/97/707533897.db2.gz YXYNBVAOVQLTKP-OKILXGFUSA-N 1 2 315.373 1.182 20 30 DDEDLO C=C(C)C[C@@H](CO)Nc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000883007070 707894727 /nfs/dbraw/zinc/89/47/27/707894727.db2.gz KWLVQHPQLYSZPS-KGLIPLIRSA-N 1 2 306.410 1.424 20 30 DDEDLO C=C(C)C[C@@H](CO)Nc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000883007070 707894728 /nfs/dbraw/zinc/89/47/28/707894728.db2.gz KWLVQHPQLYSZPS-KGLIPLIRSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccccc1N1CCCC1 ZINC000884006977 708099994 /nfs/dbraw/zinc/09/99/94/708099994.db2.gz KGDOCPAPDTZASS-ZDUSSCGKSA-N 1 2 317.389 1.672 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(OCC)cc1C ZINC000884097053 708137178 /nfs/dbraw/zinc/13/71/78/708137178.db2.gz RQKAAPHHTRMWJK-ZDUSSCGKSA-N 1 2 306.362 1.779 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@H](c2ccccc2)[C@H]1C ZINC000884158228 708165062 /nfs/dbraw/zinc/16/50/62/708165062.db2.gz UZJFOFZSBPMSMS-KBMXLJTQSA-N 1 2 316.401 1.838 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)c1nc(C)cs1 ZINC000884314586 708240219 /nfs/dbraw/zinc/24/02/19/708240219.db2.gz OKJMTIXEMZXWNO-KOLCDFICSA-N 1 2 311.407 1.118 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1cc(C)ccc1C ZINC000884325216 708245790 /nfs/dbraw/zinc/24/57/90/708245790.db2.gz LLHKLYDAMBSNLH-HNNXBMFYSA-N 1 2 304.390 1.708 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCCc2ccc(OC)cc21 ZINC000884413581 708287108 /nfs/dbraw/zinc/28/71/08/708287108.db2.gz XFGHPSQIQYPYSC-AWEZNQCLSA-N 1 2 318.373 1.421 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)C[C@H]2CCC2(F)F)C1 ZINC000885509011 708561757 /nfs/dbraw/zinc/56/17/57/708561757.db2.gz ODXXVVFDWPYZMO-VXGBXAGGSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)C[C@H]2CCC2(F)F)C1 ZINC000885509011 708561758 /nfs/dbraw/zinc/56/17/58/708561758.db2.gz ODXXVVFDWPYZMO-VXGBXAGGSA-N 1 2 306.378 1.049 20 30 DDEDLO CC(C)[C@@H](C#N)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000886402459 708754313 /nfs/dbraw/zinc/75/43/13/708754313.db2.gz KXHQCRRPGCKQRB-CQSZACIVSA-N 1 2 307.419 1.501 20 30 DDEDLO CC(C)[C@@H](C#N)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000886402459 708754315 /nfs/dbraw/zinc/75/43/15/708754315.db2.gz KXHQCRRPGCKQRB-CQSZACIVSA-N 1 2 307.419 1.501 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)C[C@@H](CC#N)c1ccccc1 ZINC000898893481 708911235 /nfs/dbraw/zinc/91/12/35/708911235.db2.gz CYMNUQRKHORSNA-HUUCEWRRSA-N 1 2 312.373 1.657 20 30 DDEDLO N#Cc1cc(NC(=O)C(=O)NCCn2cc[nH+]c2)ccc1C1CC1 ZINC000889767587 709622877 /nfs/dbraw/zinc/62/28/77/709622877.db2.gz ZPYBNPIPQAGTJR-UHFFFAOYSA-N 1 2 323.356 1.387 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC(c2ccc(C#N)cn2)CC1 ZINC000912929191 713127157 /nfs/dbraw/zinc/12/71/57/713127157.db2.gz AAPBIVLEJBUXRC-UHFFFAOYSA-N 1 2 309.373 1.934 20 30 DDEDLO C#CC1(O)CN(C(=O)CSCc2cn3cc(C)ccc3[nH+]2)C1 ZINC000890519872 709901735 /nfs/dbraw/zinc/90/17/35/709901735.db2.gz DWXOAYIXENJQIW-UHFFFAOYSA-N 1 2 315.398 1.082 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)NC2(C#N)CCSCC2)[nH]n1 ZINC000901209246 709969111 /nfs/dbraw/zinc/96/91/11/709969111.db2.gz UZBRCFQBTFXVRO-ZDUSSCGKSA-N 1 2 319.434 1.696 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)NC2(C#N)CCSCC2)[nH]n1 ZINC000901209246 709969112 /nfs/dbraw/zinc/96/91/12/709969112.db2.gz UZBRCFQBTFXVRO-ZDUSSCGKSA-N 1 2 319.434 1.696 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+]Cc2cnn(CC3CCC3)c2)C(N)=O)cc1 ZINC000901644148 710106136 /nfs/dbraw/zinc/10/61/36/710106136.db2.gz XSBMSBWLHILGBG-KRWDZBQOSA-N 1 2 323.400 1.871 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(F)c2F)C1 ZINC000891606619 710246645 /nfs/dbraw/zinc/24/66/45/710246645.db2.gz ODEGAUIQWPKYJL-NSHDSACASA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(F)c2F)C1 ZINC000891606619 710246646 /nfs/dbraw/zinc/24/66/46/710246646.db2.gz ODEGAUIQWPKYJL-NSHDSACASA-N 1 2 321.327 1.117 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(c2ccc(C#N)cc2C)CC1 ZINC000891705506 710271167 /nfs/dbraw/zinc/27/11/67/710271167.db2.gz HBEYZYKPRLGUHE-OAHLLOKOSA-N 1 2 314.433 1.903 20 30 DDEDLO O=C(NCC#C[C@H]1CCCCO1)NCCCNc1cccc[nH+]1 ZINC000891974701 710343756 /nfs/dbraw/zinc/34/37/56/710343756.db2.gz OZRUJQPCGUSYOI-OAHLLOKOSA-N 1 2 316.405 1.755 20 30 DDEDLO N#Cc1ccc(OC2CC[NH+](CN3CCOC3=O)CC2)cc1 ZINC000902113119 710620049 /nfs/dbraw/zinc/62/00/49/710620049.db2.gz BDPUWKWTAXNQJN-UHFFFAOYSA-N 1 2 301.346 1.811 20 30 DDEDLO COCC[C@H]1CCN(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1=O ZINC000902610026 710814865 /nfs/dbraw/zinc/81/48/65/710814865.db2.gz USFGDWISWALBHW-CQSZACIVSA-N 1 2 322.405 1.167 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2cn3c([nH+]2)CCCC3)C[C@H](C)N1CC#N ZINC000911713358 710944720 /nfs/dbraw/zinc/94/47/20/710944720.db2.gz JXWPITZZYOQKMU-KBPBESRZSA-N 1 2 315.421 1.207 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@@H]2CCC3(C[C@@H]2F)OCCO3)n1 ZINC000894109598 710971224 /nfs/dbraw/zinc/97/12/24/710971224.db2.gz RSXXWZHTFYZOMA-JKSUJKDBSA-N 1 2 321.396 1.972 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@@H]2CCC3(C[C@@H]2F)OCCO3)n1 ZINC000894109598 710971227 /nfs/dbraw/zinc/97/12/27/710971227.db2.gz RSXXWZHTFYZOMA-JKSUJKDBSA-N 1 2 321.396 1.972 20 30 DDEDLO CC[C@@H](C#N)N(CC)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000903669861 711240832 /nfs/dbraw/zinc/24/08/32/711240832.db2.gz LVNOPHAMXCLCEC-AWEZNQCLSA-N 1 2 321.446 1.987 20 30 DDEDLO CC[C@@H](C#N)N(CC)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000903669861 711240835 /nfs/dbraw/zinc/24/08/35/711240835.db2.gz LVNOPHAMXCLCEC-AWEZNQCLSA-N 1 2 321.446 1.987 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(-c2cc(C)cc(C)c2)no1 ZINC000904092888 711379219 /nfs/dbraw/zinc/37/92/19/711379219.db2.gz BTUKFLBVKADCHS-ZDUSSCGKSA-N 1 2 301.346 1.952 20 30 DDEDLO C#CC[NH+]1CCC(NC(=O)c2c(C)n[nH]c2C(F)(F)F)CC1 ZINC000928655952 713254371 /nfs/dbraw/zinc/25/43/71/713254371.db2.gz FOGVKBCWRCWMPA-UHFFFAOYSA-N 1 2 314.311 1.564 20 30 DDEDLO C[C@H](Nc1ccc(C#N)cc1[N+](=O)[O-])[C@H]1CN(C)CC[N@@H+]1C ZINC000895247270 711464836 /nfs/dbraw/zinc/46/48/36/711464836.db2.gz HABMEDRPVVVLSU-XHDPSFHLSA-N 1 2 303.366 1.513 20 30 DDEDLO C[C@H](Nc1ccc(C#N)cc1[N+](=O)[O-])[C@H]1CN(C)CC[N@H+]1C ZINC000895247270 711464838 /nfs/dbraw/zinc/46/48/38/711464838.db2.gz HABMEDRPVVVLSU-XHDPSFHLSA-N 1 2 303.366 1.513 20 30 DDEDLO C=CC[C@@H]([NH2+]C1CCN(C(=O)c2ccccc2)CC1)C(=O)OC ZINC000905747232 712138086 /nfs/dbraw/zinc/13/80/86/712138086.db2.gz MAUAYIVPZMOIKA-MRXNPFEDSA-N 1 2 316.401 1.999 20 30 DDEDLO C#CC[N@H+](CN1C[C@H](NC(=O)OC(C)(C)C)CC1=O)C(C)C ZINC000905750128 712138675 /nfs/dbraw/zinc/13/86/75/712138675.db2.gz SQLDYQMMZSODTB-CYBMUJFWSA-N 1 2 309.410 1.413 20 30 DDEDLO C#CC[N@@H+](CN1C[C@H](NC(=O)OC(C)(C)C)CC1=O)C(C)C ZINC000905750128 712138676 /nfs/dbraw/zinc/13/86/76/712138676.db2.gz SQLDYQMMZSODTB-CYBMUJFWSA-N 1 2 309.410 1.413 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[N@H+](C)Cc1cn(Cc2ncon2)nn1 ZINC000906313530 712294846 /nfs/dbraw/zinc/29/48/46/712294846.db2.gz ADSFPCVOZQLGNQ-GFCCVEGCSA-N 1 2 323.360 1.774 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[N@@H+](C)Cc1cn(Cc2ncon2)nn1 ZINC000906313530 712294847 /nfs/dbraw/zinc/29/48/47/712294847.db2.gz ADSFPCVOZQLGNQ-GFCCVEGCSA-N 1 2 323.360 1.774 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(S(=O)(=O)CC(C)(C)C#N)C1 ZINC000915033486 713407039 /nfs/dbraw/zinc/40/70/39/713407039.db2.gz WXAJXMHWTBTXSJ-LBPRGKRZSA-N 1 2 310.423 1.479 20 30 DDEDLO C=CCNC(=S)N[NH+]=Cc1ccccc1N1CCO[C@H](C)C1 ZINC000915943303 713435951 /nfs/dbraw/zinc/43/59/51/713435951.db2.gz YSCWBXYIQXUIEM-CYBMUJFWSA-N 1 2 318.446 1.896 20 30 DDEDLO N#Cc1cncc(C[NH+]2CCN(c3ccc(C(N)=O)cc3)CC2)c1 ZINC000929672946 713665619 /nfs/dbraw/zinc/66/56/19/713665619.db2.gz PXNMOYAMNXJGAP-UHFFFAOYSA-N 1 2 321.384 1.374 20 30 DDEDLO N#Cc1cncc(CN2CCCC[C@H]2C[NH+]2CCOCC2)c1 ZINC000929746427 713681206 /nfs/dbraw/zinc/68/12/06/713681206.db2.gz DWNLQFKRQIONFZ-KRWDZBQOSA-N 1 2 300.406 1.640 20 30 DDEDLO C=CCN(C(=O)c1cc2c[nH+]ccc2[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC000930378852 713818909 /nfs/dbraw/zinc/81/89/09/713818909.db2.gz FGTNHBLOPQXTAV-GFCCVEGCSA-N 1 2 319.386 1.378 20 30 DDEDLO COC(=O)c1cncc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000930828578 713930529 /nfs/dbraw/zinc/93/05/29/713930529.db2.gz KYGUBQCRKSGGEU-BETUJISGSA-N 1 2 302.378 1.286 20 30 DDEDLO COC(=O)c1cncc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000930828578 713930530 /nfs/dbraw/zinc/93/05/30/713930530.db2.gz KYGUBQCRKSGGEU-BETUJISGSA-N 1 2 302.378 1.286 20 30 DDEDLO CCOC(=O)[C@H]1C[N@H+](Cc2cncc(C#N)c2)CCC1(F)F ZINC000931501930 714113071 /nfs/dbraw/zinc/11/30/71/714113071.db2.gz IYOCMTAFWMRIDT-CYBMUJFWSA-N 1 2 309.316 1.974 20 30 DDEDLO CCOC(=O)[C@H]1C[N@@H+](Cc2cncc(C#N)c2)CCC1(F)F ZINC000931501930 714113073 /nfs/dbraw/zinc/11/30/73/714113073.db2.gz IYOCMTAFWMRIDT-CYBMUJFWSA-N 1 2 309.316 1.974 20 30 DDEDLO COc1ccc(N2CC[NH+](C[C@H](O)CC#N)CC2)c(OC)c1 ZINC000931531523 714121354 /nfs/dbraw/zinc/12/13/54/714121354.db2.gz FRVGGNJKUXASIM-CYBMUJFWSA-N 1 2 305.378 1.100 20 30 DDEDLO CCOC(=O)[C@](C)(O)C[N@@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933627776 714634222 /nfs/dbraw/zinc/63/42/22/714634222.db2.gz IPOKKJNOZMKIFF-NVXWUHKLSA-N 1 2 318.373 1.246 20 30 DDEDLO CCOC(=O)[C@](C)(O)C[N@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933627776 714634223 /nfs/dbraw/zinc/63/42/23/714634223.db2.gz IPOKKJNOZMKIFF-NVXWUHKLSA-N 1 2 318.373 1.246 20 30 DDEDLO CN(Cc1cnn(C)c1)C(=O)C[N@H+](C)Cc1cc(C#N)cs1 ZINC000933777357 714669849 /nfs/dbraw/zinc/66/98/49/714669849.db2.gz JHXIWFKRSKEGJL-UHFFFAOYSA-N 1 2 317.418 1.444 20 30 DDEDLO CN(Cc1cnn(C)c1)C(=O)C[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933777357 714669851 /nfs/dbraw/zinc/66/98/51/714669851.db2.gz JHXIWFKRSKEGJL-UHFFFAOYSA-N 1 2 317.418 1.444 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(OC)ns2)C1 ZINC000966194491 718013510 /nfs/dbraw/zinc/01/35/10/718013510.db2.gz DVGSFOPUSJSQNB-NWDGAFQWSA-N 1 2 307.419 1.615 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(OC)ns2)C1 ZINC000966194491 718013513 /nfs/dbraw/zinc/01/35/13/718013513.db2.gz DVGSFOPUSJSQNB-NWDGAFQWSA-N 1 2 307.419 1.615 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@H+]2CCOCC2(C)C)cc1 ZINC000934934910 714932860 /nfs/dbraw/zinc/93/28/60/714932860.db2.gz YFEIRAMRIVHQLT-UHFFFAOYSA-N 1 2 301.390 1.900 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@@H+]2CCOCC2(C)C)cc1 ZINC000934934910 714932861 /nfs/dbraw/zinc/93/28/61/714932861.db2.gz YFEIRAMRIVHQLT-UHFFFAOYSA-N 1 2 301.390 1.900 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2snnc2CC)C1 ZINC000970080319 720620551 /nfs/dbraw/zinc/62/05/51/720620551.db2.gz UDCVJQJJNOIVDN-VIFPVBQESA-N 1 2 314.842 1.903 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCCN2C(=O)CCC)CC1 ZINC000957757852 716030661 /nfs/dbraw/zinc/03/06/61/716030661.db2.gz KSFWGIDSGANENH-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO CC(=O)NC[C@H]1C[N@H+](CC#Cc2ccccc2Cl)C[C@@H]1O ZINC000957789763 716049336 /nfs/dbraw/zinc/04/93/36/716049336.db2.gz YPYGLPYBWVPVNQ-HOCLYGCPSA-N 1 2 306.793 1.120 20 30 DDEDLO CC(=O)NC[C@H]1C[N@@H+](CC#Cc2ccccc2Cl)C[C@@H]1O ZINC000957789763 716049339 /nfs/dbraw/zinc/04/93/39/716049339.db2.gz YPYGLPYBWVPVNQ-HOCLYGCPSA-N 1 2 306.793 1.120 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000940711348 716925345 /nfs/dbraw/zinc/92/53/45/716925345.db2.gz FUGKYGJKXRYDRJ-DGCLKSJQSA-N 1 2 304.394 1.128 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3CCCCCC3)CC2)C1 ZINC000941336745 717157048 /nfs/dbraw/zinc/15/70/48/717157048.db2.gz ABXASILDQOBDIY-UHFFFAOYSA-N 1 2 317.477 1.809 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CC3=CCCCC3)CC2)C1 ZINC000941344355 717157969 /nfs/dbraw/zinc/15/79/69/717157969.db2.gz HLARGQSFOHHJLI-UHFFFAOYSA-N 1 2 301.434 1.339 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H](NC(=O)c2cccc3[nH+]ccn32)C1 ZINC000941526838 717194474 /nfs/dbraw/zinc/19/44/74/717194474.db2.gz IZNYLJUJDWWCNE-CQSZACIVSA-N 1 2 322.368 1.078 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3C[C@H](C)C[C@H](C)C3)CC2)C1 ZINC000941678677 717253033 /nfs/dbraw/zinc/25/30/33/717253033.db2.gz DFVPKEGPOHVSMR-FVQHAEBGSA-N 1 2 317.477 1.520 20 30 DDEDLO N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000962193561 717345667 /nfs/dbraw/zinc/34/56/67/717345667.db2.gz RXVNRCAUURQTEA-ZSHCYNCHSA-N 1 2 307.357 1.056 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000942277556 717595302 /nfs/dbraw/zinc/59/53/02/717595302.db2.gz JZFIGVUYGWXQSJ-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@H](C)C1 ZINC000944014751 718242422 /nfs/dbraw/zinc/24/24/22/718242422.db2.gz FVAWWGBOMCEXND-DOMZBBRYSA-N 1 2 310.401 1.945 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@H](C)C1 ZINC000944014751 718242424 /nfs/dbraw/zinc/24/24/24/718242424.db2.gz FVAWWGBOMCEXND-DOMZBBRYSA-N 1 2 310.401 1.945 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC000945439858 718462410 /nfs/dbraw/zinc/46/24/10/718462410.db2.gz OQNWSUNBBGRSCC-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2nc3c(s2)CCC3)C1 ZINC000967386606 718864655 /nfs/dbraw/zinc/86/46/55/718864655.db2.gz YGVLAOWEIQDFHU-DGCLKSJQSA-N 1 2 303.431 1.705 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2nc3c(s2)CCC3)C1 ZINC000967386606 718864658 /nfs/dbraw/zinc/86/46/58/718864658.db2.gz YGVLAOWEIQDFHU-DGCLKSJQSA-N 1 2 303.431 1.705 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2noc(-c3ccoc3)n2)C1 ZINC000967714520 718981323 /nfs/dbraw/zinc/98/13/23/718981323.db2.gz PUZNCFRQIAZEGF-ZYHUDNBSSA-N 1 2 315.333 1.180 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2n[nH]c(C)n2)C[C@@H]1C ZINC000947297435 719107991 /nfs/dbraw/zinc/10/79/91/719107991.db2.gz WURGLHROUUVITD-CMPLNLGQSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2n[nH]c(C)n2)C[C@@H]1C ZINC000947297435 719107992 /nfs/dbraw/zinc/10/79/92/719107992.db2.gz WURGLHROUUVITD-CMPLNLGQSA-N 1 2 311.817 1.377 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3ccon3)[C@@H](C)C2)c1 ZINC000947710747 719250375 /nfs/dbraw/zinc/25/03/75/719250375.db2.gz PAQJSQURBBBAKY-XJKSGUPXSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccon3)[C@@H](C)C2)c1 ZINC000947710747 719250376 /nfs/dbraw/zinc/25/03/76/719250376.db2.gz PAQJSQURBBBAKY-XJKSGUPXSA-N 1 2 324.384 1.834 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000948203681 719392737 /nfs/dbraw/zinc/39/27/37/719392737.db2.gz QRFQLTQXBOIZDH-KRWDZBQOSA-N 1 2 318.421 1.662 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000968626909 719698958 /nfs/dbraw/zinc/69/89/58/719698958.db2.gz ICVSZZITPIULTN-CYBMUJFWSA-N 1 2 302.378 1.297 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1[nH]cnc1C ZINC000948990049 719828044 /nfs/dbraw/zinc/82/80/44/719828044.db2.gz XTFCWDKOQUQWJE-INIZCTEOSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1[nH]cnc1C ZINC000948990049 719828048 /nfs/dbraw/zinc/82/80/48/719828048.db2.gz XTFCWDKOQUQWJE-INIZCTEOSA-N 1 2 308.385 1.681 20 30 DDEDLO C=CC[N@@H+]1CCOC2(CCN(C(=O)c3cc(CC)[nH]n3)CC2)C1 ZINC000949301586 720002592 /nfs/dbraw/zinc/00/25/92/720002592.db2.gz YIRBJHOTXDDTAS-UHFFFAOYSA-N 1 2 318.421 1.465 20 30 DDEDLO C=CC[N@H+]1CCOC2(CCN(C(=O)c3cc(CC)[nH]n3)CC2)C1 ZINC000949301586 720002593 /nfs/dbraw/zinc/00/25/93/720002593.db2.gz YIRBJHOTXDDTAS-UHFFFAOYSA-N 1 2 318.421 1.465 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CCCO[C@H]3C)CC2)C1 ZINC000949346793 720032270 /nfs/dbraw/zinc/03/22/70/720032270.db2.gz YGMYVSIBQSJXHY-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CCCO[C@H]3C)CC2)C1 ZINC000949346793 720032272 /nfs/dbraw/zinc/03/22/72/720032272.db2.gz YGMYVSIBQSJXHY-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccsc3C)CC2)C1 ZINC000949352445 720035393 /nfs/dbraw/zinc/03/53/93/720035393.db2.gz VBXKMGJZWRTMFZ-UHFFFAOYSA-N 1 2 318.442 1.997 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccsc3C)CC2)C1 ZINC000949352445 720035395 /nfs/dbraw/zinc/03/53/95/720035395.db2.gz VBXKMGJZWRTMFZ-UHFFFAOYSA-N 1 2 318.442 1.997 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@]3(C)CCO[C@@H]3C)CC2)C1 ZINC000949426944 720080080 /nfs/dbraw/zinc/08/00/80/720080080.db2.gz BZWCGCATHDWMTB-WBVHZDCISA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@]3(C)CCO[C@@H]3C)CC2)C1 ZINC000949426944 720080087 /nfs/dbraw/zinc/08/00/87/720080087.db2.gz BZWCGCATHDWMTB-WBVHZDCISA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C(C)(C)C(=C)C)CC2)C1 ZINC000949430064 720082530 /nfs/dbraw/zinc/08/25/30/720082530.db2.gz LDBSXJWAJYYUPR-UHFFFAOYSA-N 1 2 304.434 1.915 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C(C)(C)C(=C)C)CC2)C1 ZINC000949430064 720082532 /nfs/dbraw/zinc/08/25/32/720082532.db2.gz LDBSXJWAJYYUPR-UHFFFAOYSA-N 1 2 304.434 1.915 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCO[C@H](C)C3)CC2)C1 ZINC000949499382 720124624 /nfs/dbraw/zinc/12/46/24/720124624.db2.gz OLXBDZJVFHRYEU-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCO[C@H](C)C3)CC2)C1 ZINC000949499382 720124631 /nfs/dbraw/zinc/12/46/31/720124631.db2.gz OLXBDZJVFHRYEU-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO O=C(CCn1cc[nH+]c1)N1CCN(CC#Cc2ccccc2)CC1 ZINC000949551539 720157212 /nfs/dbraw/zinc/15/72/12/720157212.db2.gz SVAUCAHVQCOHLD-UHFFFAOYSA-N 1 2 322.412 1.469 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)[C@@H]2C[C@@H](C)Cc3cn[nH]c32)CC1 ZINC000949837610 720374202 /nfs/dbraw/zinc/37/42/02/720374202.db2.gz QVDQNBUCZDUDLU-DZGCQCFKSA-N 1 2 300.406 1.243 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCO[C@H]2c2ccncc2)C1 ZINC000950349671 720590442 /nfs/dbraw/zinc/59/04/42/720590442.db2.gz CYNAOASDSIKLEH-SJORKVTESA-N 1 2 315.417 1.878 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCCN(C(=O)CC)C2)C1 ZINC000950410711 720620784 /nfs/dbraw/zinc/62/07/84/720620784.db2.gz PSRHZIXKLJZBGP-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(C)nc3sccn32)C1 ZINC000950624565 720709844 /nfs/dbraw/zinc/70/98/44/720709844.db2.gz PAJUPSHLPMIUOD-UHFFFAOYSA-N 1 2 316.430 1.874 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3c(c2)nnn3C)C1 ZINC000950624611 720710502 /nfs/dbraw/zinc/71/05/02/720710502.db2.gz QWXBQUDOIDRPBY-UHFFFAOYSA-N 1 2 311.389 1.138 20 30 DDEDLO CC#CCN1CC([C@@H](C)NC(=O)c2occc2C[NH+](C)C)C1 ZINC000970338789 720718642 /nfs/dbraw/zinc/71/86/42/720718642.db2.gz HRNSHLKRTBABJU-CYBMUJFWSA-N 1 2 303.406 1.415 20 30 DDEDLO C=CCOc1ccccc1C(=O)N(CC)C1C[NH+](CCCO)C1 ZINC000950644932 720719260 /nfs/dbraw/zinc/71/92/60/720719260.db2.gz DBPFRJFHMKPUTN-UHFFFAOYSA-N 1 2 318.417 1.780 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3nnc(C)n3c2)C1 ZINC000950691011 720736851 /nfs/dbraw/zinc/73/68/51/720736851.db2.gz UHHDDOGKPFSQGI-UHFFFAOYSA-N 1 2 311.389 1.207 20 30 DDEDLO CC#CC[NH+]1CC([C@H](C)NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC000970666737 720877356 /nfs/dbraw/zinc/87/73/56/720877356.db2.gz BJCNNUFFUPCASB-OLZOCXBDSA-N 1 2 314.433 1.608 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC000970861045 720960815 /nfs/dbraw/zinc/96/08/15/720960815.db2.gz LDTLXNKOTLVCSA-JTQLQIEISA-N 1 2 319.796 1.761 20 30 DDEDLO C=CC[NH+]1CC([C@@H](C)NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC000970868457 720964235 /nfs/dbraw/zinc/96/42/35/720964235.db2.gz KWOPHQAPKQKAFG-GFCCVEGCSA-N 1 2 302.422 1.915 20 30 DDEDLO COCC(=O)N1CC[C@H]([N@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000970911120 720982676 /nfs/dbraw/zinc/98/26/76/720982676.db2.gz SOCFSLVIWVSEAC-HNNXBMFYSA-N 1 2 305.353 1.376 20 30 DDEDLO COCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000970911120 720982679 /nfs/dbraw/zinc/98/26/79/720982679.db2.gz SOCFSLVIWVSEAC-HNNXBMFYSA-N 1 2 305.353 1.376 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)nc1 ZINC000971289227 721220833 /nfs/dbraw/zinc/22/08/33/721220833.db2.gz QFJMGLACWJEKBF-CQSZACIVSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)nc1 ZINC000971289227 721220834 /nfs/dbraw/zinc/22/08/34/721220834.db2.gz QFJMGLACWJEKBF-CQSZACIVSA-N 1 2 324.388 1.331 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+](C)[C@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971364293 721259193 /nfs/dbraw/zinc/25/91/93/721259193.db2.gz SRPDYIJBNSBKOZ-JSGCOSHPSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+](C)[C@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971364293 721259195 /nfs/dbraw/zinc/25/91/95/721259195.db2.gz SRPDYIJBNSBKOZ-JSGCOSHPSA-N 1 2 324.388 1.584 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](CNC(=O)C2=NC(=O)N(C)C2)C1 ZINC001023570298 735317222 /nfs/dbraw/zinc/31/72/22/735317222.db2.gz BAEAMNXKULSYIZ-LLVKDONJSA-N 1 2 312.801 1.320 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@]3(C)CCCOC3)C2)C1 ZINC000972618189 735359906 /nfs/dbraw/zinc/35/99/06/735359906.db2.gz XACRXTNKKOHNPK-QZTJIDSGSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@]3(C)CCCOC3)C2)C1 ZINC000972618189 735359911 /nfs/dbraw/zinc/35/99/11/735359911.db2.gz XACRXTNKKOHNPK-QZTJIDSGSA-N 1 2 320.433 1.130 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001120878665 782204211 /nfs/dbraw/zinc/20/42/11/782204211.db2.gz OJUZHRLXSUHFJE-MRVWCRGKSA-N 1 2 324.388 1.739 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001120878665 782204218 /nfs/dbraw/zinc/20/42/18/782204218.db2.gz OJUZHRLXSUHFJE-MRVWCRGKSA-N 1 2 324.388 1.739 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@@H]([N@H+](C)Cc2cn(C)nn2)C1 ZINC001027560001 738406040 /nfs/dbraw/zinc/40/60/40/738406040.db2.gz NATSHCPQYDQNMU-NVXWUHKLSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@@H]([N@@H+](C)Cc2cn(C)nn2)C1 ZINC001027560001 738406041 /nfs/dbraw/zinc/40/60/41/738406041.db2.gz NATSHCPQYDQNMU-NVXWUHKLSA-N 1 2 319.453 1.840 20 30 DDEDLO C[C@H](CNC(=O)CCn1cc[nH+]c1)Nc1ncc(C#N)cc1F ZINC001098167911 736298947 /nfs/dbraw/zinc/29/89/47/736298947.db2.gz NZCMTHPUZGTCEG-LLVKDONJSA-N 1 2 316.340 1.296 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406557 738266397 /nfs/dbraw/zinc/26/63/97/738266397.db2.gz VDAKYQXWRSRPBC-ZBFHGGJFSA-N 1 2 312.417 1.874 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406557 738266399 /nfs/dbraw/zinc/26/63/99/738266399.db2.gz VDAKYQXWRSRPBC-ZBFHGGJFSA-N 1 2 312.417 1.874 20 30 DDEDLO Cc1ncoc1C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001010444455 732331793 /nfs/dbraw/zinc/33/17/93/732331793.db2.gz ZAEGVOPWWGARMD-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1ncoc1C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001010444455 732331796 /nfs/dbraw/zinc/33/17/96/732331796.db2.gz ZAEGVOPWWGARMD-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3cccs3)[C@H]2C1 ZINC001083190955 732501688 /nfs/dbraw/zinc/50/16/88/732501688.db2.gz FHESTYQPELRRGX-SOUVJXGZSA-N 1 2 318.442 1.787 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3cccs3)[C@H]2C1 ZINC001083190955 732501689 /nfs/dbraw/zinc/50/16/89/732501689.db2.gz FHESTYQPELRRGX-SOUVJXGZSA-N 1 2 318.442 1.787 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc(C(C)(C)C)nc1 ZINC001039080957 732638701 /nfs/dbraw/zinc/63/87/01/732638701.db2.gz DHXAMNCNWRULKI-CQSZACIVSA-N 1 2 300.406 1.602 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc(C(C)(C)C)nc1 ZINC001039080957 732638702 /nfs/dbraw/zinc/63/87/02/732638702.db2.gz DHXAMNCNWRULKI-CQSZACIVSA-N 1 2 300.406 1.602 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)c2cn[nH]c2)C1 ZINC001006826872 733265146 /nfs/dbraw/zinc/26/51/46/733265146.db2.gz ZVNQYRDRTHVUAS-MRXNPFEDSA-N 1 2 309.373 1.676 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)c2cn[nH]c2)C1 ZINC001006826872 733265147 /nfs/dbraw/zinc/26/51/47/733265147.db2.gz ZVNQYRDRTHVUAS-MRXNPFEDSA-N 1 2 309.373 1.676 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc([C@@H](C)CC)no3)[C@H]2C1 ZINC001083217632 733656145 /nfs/dbraw/zinc/65/61/45/733656145.db2.gz IHMNVNSPTWXTEN-DUVNUKRYSA-N 1 2 319.405 1.899 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc([C@@H](C)CC)no3)[C@H]2C1 ZINC001083217632 733656147 /nfs/dbraw/zinc/65/61/47/733656147.db2.gz IHMNVNSPTWXTEN-DUVNUKRYSA-N 1 2 319.405 1.899 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1nonc1C ZINC001028141372 739083771 /nfs/dbraw/zinc/08/37/71/739083771.db2.gz CESIVARVJQIFGK-NFAWXSAZSA-N 1 2 320.393 1.050 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1nonc1C ZINC001028141372 739083776 /nfs/dbraw/zinc/08/37/76/739083776.db2.gz CESIVARVJQIFGK-NFAWXSAZSA-N 1 2 320.393 1.050 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024391447 735808799 /nfs/dbraw/zinc/80/87/99/735808799.db2.gz VYTLEGXQKJPULO-CHWSQXEVSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024391447 735808801 /nfs/dbraw/zinc/80/88/01/735808801.db2.gz VYTLEGXQKJPULO-CHWSQXEVSA-N 1 2 313.829 1.236 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@H]1CNC(=O)c1snnc1CC ZINC001024540584 735916643 /nfs/dbraw/zinc/91/66/43/735916643.db2.gz KYVKBLMUGUFXOI-LBPRGKRZSA-N 1 2 306.435 1.708 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1snnc1CC ZINC001024540584 735916645 /nfs/dbraw/zinc/91/66/45/735916645.db2.gz KYVKBLMUGUFXOI-LBPRGKRZSA-N 1 2 306.435 1.708 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001024614326 735983593 /nfs/dbraw/zinc/98/35/93/735983593.db2.gz GNUOYLVIOFFUEM-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001024614326 735983595 /nfs/dbraw/zinc/98/35/95/735983595.db2.gz GNUOYLVIOFFUEM-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001059079501 739888016 /nfs/dbraw/zinc/88/80/16/739888016.db2.gz NSIOBJHUFHWFKS-ZDUSSCGKSA-N 1 2 310.361 1.240 20 30 DDEDLO C[C@@H](NC(=O)c1cc2c[nH+]ccc2[nH]1)[C@@H]1CCCN(CC#N)C1 ZINC001025458931 736685815 /nfs/dbraw/zinc/68/58/15/736685815.db2.gz KFUZCIWCDNFZDE-CHWSQXEVSA-N 1 2 311.389 1.917 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cc(OC)n(C)n1 ZINC001028017175 738937962 /nfs/dbraw/zinc/93/79/62/738937962.db2.gz ZEPCJUGDIFEDCA-NSHDSACASA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc(OC)n(C)n1 ZINC001028017175 738937966 /nfs/dbraw/zinc/93/79/66/738937966.db2.gz ZEPCJUGDIFEDCA-NSHDSACASA-N 1 2 312.801 1.375 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnn2CCC)C1 ZINC001107974691 751382660 /nfs/dbraw/zinc/38/26/60/751382660.db2.gz YMACPHQQNJZPJV-MRXNPFEDSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnn2CCC)C1 ZINC001107974691 751382666 /nfs/dbraw/zinc/38/26/66/751382666.db2.gz YMACPHQQNJZPJV-MRXNPFEDSA-N 1 2 306.410 1.300 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C34CC(C(C)(C)C)(C3)C4)[C@H]2C1 ZINC001083288246 737064093 /nfs/dbraw/zinc/06/40/93/737064093.db2.gz VPZHPLBCRAPYBB-WINGESFGSA-N 1 2 316.445 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C34CC(C(C)(C)C)(C3)C4)[C@H]2C1 ZINC001083288246 737064099 /nfs/dbraw/zinc/06/40/99/737064099.db2.gz VPZHPLBCRAPYBB-WINGESFGSA-N 1 2 316.445 1.748 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)C(CC)CC)C2)nn1 ZINC001105275230 737702365 /nfs/dbraw/zinc/70/23/65/737702365.db2.gz HPCVUICKHONHEP-ZDUSSCGKSA-N 1 2 317.437 1.457 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@H+]2Cc2cnnn2C)cc1 ZINC001028066563 738985116 /nfs/dbraw/zinc/98/51/16/738985116.db2.gz DRWHPWYAMJFNEE-MRXNPFEDSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2cnnn2C)cc1 ZINC001028066563 738985118 /nfs/dbraw/zinc/98/51/18/738985118.db2.gz DRWHPWYAMJFNEE-MRXNPFEDSA-N 1 2 323.400 1.191 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C3(CC)CCC3)C2)nn1 ZINC001105308627 737801389 /nfs/dbraw/zinc/80/13/89/737801389.db2.gz RWZBSVOBSYRXCY-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3C[C@]3(C)C(C)C)C2)nn1 ZINC001105354532 738988628 /nfs/dbraw/zinc/98/86/28/738988628.db2.gz NQLOQTYCXGQQLM-DOTOQJQBSA-N 1 2 315.421 1.066 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098198785 739166821 /nfs/dbraw/zinc/16/68/21/739166821.db2.gz XJQHGARERPECBX-NEPJUHHUSA-N 1 2 316.409 1.882 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1c2c(nn1C)CCC2 ZINC001028255207 739240302 /nfs/dbraw/zinc/24/03/02/739240302.db2.gz INJITGZPQWTLJY-GFCCVEGCSA-N 1 2 322.840 1.856 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1c2c(nn1C)CCC2 ZINC001028255207 739240305 /nfs/dbraw/zinc/24/03/05/739240305.db2.gz INJITGZPQWTLJY-GFCCVEGCSA-N 1 2 322.840 1.856 20 30 DDEDLO C[C@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1ncccc1C#N ZINC001098153050 739278884 /nfs/dbraw/zinc/27/88/84/739278884.db2.gz KKCZYJAJCRZKET-GFCCVEGCSA-N 1 2 320.356 1.831 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001028338211 739375051 /nfs/dbraw/zinc/37/50/51/739375051.db2.gz ZRKHYJNIOIQIHZ-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001028338211 739375052 /nfs/dbraw/zinc/37/50/52/739375052.db2.gz ZRKHYJNIOIQIHZ-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2nccc3occc32)C1 ZINC001035452292 751500768 /nfs/dbraw/zinc/50/07/68/751500768.db2.gz BCFYHYSOFLWQLX-ZDUSSCGKSA-N 1 2 315.373 1.835 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2nccc3occc32)C1 ZINC001035452292 751500769 /nfs/dbraw/zinc/50/07/69/751500769.db2.gz BCFYHYSOFLWQLX-ZDUSSCGKSA-N 1 2 315.373 1.835 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CC[C@H](Nc2ccc(C#N)nc2)C1 ZINC001059127174 740145439 /nfs/dbraw/zinc/14/54/39/740145439.db2.gz DNXPXTBNWCLROD-HNNXBMFYSA-N 1 2 324.388 1.332 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)n(CC)c2C)C1 ZINC001035439449 751515876 /nfs/dbraw/zinc/51/58/76/751515876.db2.gz PSJRGNPKUFTGGE-OAHLLOKOSA-N 1 2 305.422 1.741 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)n(CC)c2C)C1 ZINC001035439449 751515882 /nfs/dbraw/zinc/51/58/82/751515882.db2.gz PSJRGNPKUFTGGE-OAHLLOKOSA-N 1 2 305.422 1.741 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(-n2ccnc2)n1 ZINC001038440869 740544069 /nfs/dbraw/zinc/54/40/69/740544069.db2.gz BZHZPKLOWLKKPD-CQSZACIVSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(-n2ccnc2)n1 ZINC001038440869 740544072 /nfs/dbraw/zinc/54/40/72/740544072.db2.gz BZHZPKLOWLKKPD-CQSZACIVSA-N 1 2 309.373 1.095 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cncs1 ZINC001029303012 740547863 /nfs/dbraw/zinc/54/78/63/740547863.db2.gz ZDQJBZMGDCCSJT-OKILXGFUSA-N 1 2 301.415 1.732 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cncs1 ZINC001029303012 740547866 /nfs/dbraw/zinc/54/78/66/740547866.db2.gz ZDQJBZMGDCCSJT-OKILXGFUSA-N 1 2 301.415 1.732 20 30 DDEDLO N#Cc1cnc(N[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)c(F)c1 ZINC001059284086 740561187 /nfs/dbraw/zinc/56/11/87/740561187.db2.gz WKWUZNBKEWWRBB-XYPYZODXSA-N 1 2 314.324 1.117 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC001035491036 751573262 /nfs/dbraw/zinc/57/32/62/751573262.db2.gz TXWJUPPJBURUDJ-AWEZNQCLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC001035491036 751573267 /nfs/dbraw/zinc/57/32/67/751573267.db2.gz TXWJUPPJBURUDJ-AWEZNQCLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccnc2OC)C1 ZINC001035492879 751576072 /nfs/dbraw/zinc/57/60/72/751576072.db2.gz RKIRYNOZSRBAHX-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccnc2OC)C1 ZINC001035492879 751576076 /nfs/dbraw/zinc/57/60/76/751576076.db2.gz RKIRYNOZSRBAHX-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C(C)(C)CC)C2)nn1 ZINC001098710838 740882224 /nfs/dbraw/zinc/88/22/24/740882224.db2.gz NATCMADEWCJSSI-CQSZACIVSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)COC3)C1 ZINC001035540035 751586342 /nfs/dbraw/zinc/58/63/42/751586342.db2.gz UXFZAAYWEJNSKY-INIZCTEOSA-N 1 2 302.374 1.333 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)COC3)C1 ZINC001035540035 751586349 /nfs/dbraw/zinc/58/63/49/751586349.db2.gz UXFZAAYWEJNSKY-INIZCTEOSA-N 1 2 302.374 1.333 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)CCO3)C1 ZINC001035528348 751608559 /nfs/dbraw/zinc/60/85/59/751608559.db2.gz TWRMMVCNDMKCKT-INIZCTEOSA-N 1 2 316.401 1.628 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)CCO3)C1 ZINC001035528348 751608563 /nfs/dbraw/zinc/60/85/63/751608563.db2.gz TWRMMVCNDMKCKT-INIZCTEOSA-N 1 2 316.401 1.628 20 30 DDEDLO Cc1nnccc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038461586 741245104 /nfs/dbraw/zinc/24/51/04/741245104.db2.gz HDZRWTXUMXWKEV-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nnccc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038461586 741245109 /nfs/dbraw/zinc/24/51/09/741245109.db2.gz HDZRWTXUMXWKEV-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@H]2CCN(C(=O)C#CC3CC3)C2)s1 ZINC001029733582 741260400 /nfs/dbraw/zinc/26/04/00/741260400.db2.gz MHIWVZRAQUACET-CQSZACIVSA-N 1 2 318.446 1.540 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@H]2CCN(C(=O)C#CC3CC3)C2)s1 ZINC001029733582 741260402 /nfs/dbraw/zinc/26/04/02/741260402.db2.gz MHIWVZRAQUACET-CQSZACIVSA-N 1 2 318.446 1.540 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3c(C)cccn3c2)C1 ZINC001035574919 751629378 /nfs/dbraw/zinc/62/93/78/751629378.db2.gz WEGSJPGFZAJXPG-INIZCTEOSA-N 1 2 313.401 1.864 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc3c(C)cccn3c2)C1 ZINC001035574919 751629381 /nfs/dbraw/zinc/62/93/81/751629381.db2.gz WEGSJPGFZAJXPG-INIZCTEOSA-N 1 2 313.401 1.864 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(CC)c2C)C1 ZINC001035555386 751637154 /nfs/dbraw/zinc/63/71/54/751637154.db2.gz IMBUPDGCMZDPFV-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn(CC)c2C)C1 ZINC001035555386 751637161 /nfs/dbraw/zinc/63/71/61/751637161.db2.gz IMBUPDGCMZDPFV-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO Cc1nc(N2CCC([C@@H](C)NC(=O)C#CC3CC3)CC2)cc[nH+]1 ZINC001126631732 741518398 /nfs/dbraw/zinc/51/83/98/741518398.db2.gz BOZYWFITKFJXNJ-CYBMUJFWSA-N 1 2 312.417 1.920 20 30 DDEDLO Cc1nsc(N[C@H]2C[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)c1C#N ZINC001059697360 741581039 /nfs/dbraw/zinc/58/10/39/741581039.db2.gz DTPYKEZMSKZKEC-AOOOYVTPSA-N 1 2 316.390 1.348 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001035596373 751652222 /nfs/dbraw/zinc/65/22/22/751652222.db2.gz AAFZKHCMYVBASA-HNNXBMFYSA-N 1 2 308.328 1.419 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001035596373 751652225 /nfs/dbraw/zinc/65/22/25/751652225.db2.gz AAFZKHCMYVBASA-HNNXBMFYSA-N 1 2 308.328 1.419 20 30 DDEDLO N#CCNCC1([C@H]2CCCCN2C(=O)Cn2cc[nH+]c2)CCC1 ZINC001105581540 742127740 /nfs/dbraw/zinc/12/77/40/742127740.db2.gz JQRQTCDDGZKBNK-OAHLLOKOSA-N 1 2 315.421 1.548 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3cccc(C)c3O2)C1 ZINC001035628634 751700015 /nfs/dbraw/zinc/70/00/15/751700015.db2.gz WXMLMHURAFBICK-CVEARBPZSA-N 1 2 316.401 1.302 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3cccc(C)c3O2)C1 ZINC001035628634 751700021 /nfs/dbraw/zinc/70/00/21/751700021.db2.gz WXMLMHURAFBICK-CVEARBPZSA-N 1 2 316.401 1.302 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2scnc2Cl)C1 ZINC001035608039 751713484 /nfs/dbraw/zinc/71/34/84/751713484.db2.gz FPUIWBCAMSLXSZ-SNVBAGLBSA-N 1 2 315.826 1.803 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2scnc2Cl)C1 ZINC001035608039 751713486 /nfs/dbraw/zinc/71/34/86/751713486.db2.gz FPUIWBCAMSLXSZ-SNVBAGLBSA-N 1 2 315.826 1.803 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001126833971 742330807 /nfs/dbraw/zinc/33/08/07/742330807.db2.gz IHVHUBDHJHWLSI-LBPRGKRZSA-N 1 2 306.410 1.793 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001126893263 742441212 /nfs/dbraw/zinc/44/12/12/742441212.db2.gz IXPRJJGEQLVOMJ-UHFFFAOYSA-N 1 2 316.361 1.585 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc3nnn(C)c3c2)C1 ZINC001016102019 751745147 /nfs/dbraw/zinc/74/51/47/751745147.db2.gz SBEPHVHEHIWVDQ-LBPRGKRZSA-N 1 2 319.796 1.525 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc3nnn(C)c3c2)C1 ZINC001016102019 751745153 /nfs/dbraw/zinc/74/51/53/751745153.db2.gz SBEPHVHEHIWVDQ-LBPRGKRZSA-N 1 2 319.796 1.525 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1cc[nH]n1)CC2 ZINC001035673139 751779690 /nfs/dbraw/zinc/77/96/90/751779690.db2.gz DBFDVOMJLMUMHV-UHFFFAOYSA-N 1 2 308.813 1.629 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)C1CC=CC1 ZINC001076826375 743019551 /nfs/dbraw/zinc/01/95/51/743019551.db2.gz CWPDEDIDAOWXLG-QZTJIDSGSA-N 1 2 310.397 1.166 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)C1CC=CC1 ZINC001076826375 743019564 /nfs/dbraw/zinc/01/95/64/743019564.db2.gz CWPDEDIDAOWXLG-QZTJIDSGSA-N 1 2 310.397 1.166 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)c2cn[nH]c2)CC1 ZINC001002389008 743103946 /nfs/dbraw/zinc/10/39/46/743103946.db2.gz QYDJMMFEEUKKIK-UHFFFAOYSA-N 1 2 313.199 1.513 20 30 DDEDLO C[C@@H](c1ncccn1)[NH+]1CCC(NC(=O)c2cc(C#N)c[nH]2)CC1 ZINC001002551062 743232167 /nfs/dbraw/zinc/23/21/67/743232167.db2.gz KFGUQKOXBQZSJF-LBPRGKRZSA-N 1 2 324.388 1.632 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061107689 743257553 /nfs/dbraw/zinc/25/75/53/743257553.db2.gz NXPWXFODPGJOFL-KGLIPLIRSA-N 1 2 324.388 1.180 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001181644260 743268411 /nfs/dbraw/zinc/26/84/11/743268411.db2.gz KNIHYHDYAQEOJI-GFCCVEGCSA-N 1 2 308.382 1.086 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2csnn2)C1 ZINC001181897909 743383655 /nfs/dbraw/zinc/38/36/55/743383655.db2.gz DMEAXYAQXCLNET-CHWSQXEVSA-N 1 2 324.450 1.772 20 30 DDEDLO C#CCCCC(=O)NCc1cnn2c1C[N@H+](C[C@H](C)OC)CC2 ZINC001128326898 743598838 /nfs/dbraw/zinc/59/88/38/743598838.db2.gz HBVJIPBXNHJOIA-AWEZNQCLSA-N 1 2 318.421 1.153 20 30 DDEDLO C#CCCCC(=O)NCc1cnn2c1C[N@@H+](C[C@H](C)OC)CC2 ZINC001128326898 743598846 /nfs/dbraw/zinc/59/88/46/743598846.db2.gz HBVJIPBXNHJOIA-AWEZNQCLSA-N 1 2 318.421 1.153 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Cc3c[nH]c4cccc(C#N)c34)C[C@H]21 ZINC001182581065 743669253 /nfs/dbraw/zinc/66/92/53/743669253.db2.gz HRAGSMBNZZYMRX-CVEARBPZSA-N 1 2 324.384 1.123 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Cc3c[nH]c4cccc(C#N)c34)C[C@H]21 ZINC001182581065 743669254 /nfs/dbraw/zinc/66/92/54/743669254.db2.gz HRAGSMBNZZYMRX-CVEARBPZSA-N 1 2 324.384 1.123 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(COC)no2)C1 ZINC001182939890 743760163 /nfs/dbraw/zinc/76/01/63/743760163.db2.gz ABVQIRRMDBGWNN-QWHCGFSZSA-N 1 2 322.409 1.824 20 30 DDEDLO C=CCCC(=O)NC[C@@H](O)CNc1nc(C)[nH+]c2c1CCCC2 ZINC001105739952 743772170 /nfs/dbraw/zinc/77/21/70/743772170.db2.gz QVCZWOUSRYHDGZ-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([NH2+][C@@H](C)c3nc(C)no3)C2)CCC1 ZINC001183199601 743800945 /nfs/dbraw/zinc/80/09/45/743800945.db2.gz BXKQPQPPDOHRLM-GXTWGEPZSA-N 1 2 316.405 1.823 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cn([C@H]3CCOC3)nc2C)C1 ZINC001030311253 744042678 /nfs/dbraw/zinc/04/26/78/744042678.db2.gz MHVSLWHDFOYRMY-AWEZNQCLSA-N 1 2 304.394 1.143 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001184638677 744076886 /nfs/dbraw/zinc/07/68/86/744076886.db2.gz VKIYVXJINDDGDA-DOMZBBRYSA-N 1 2 324.450 1.457 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CCC)C2)nn1 ZINC001185843403 744299331 /nfs/dbraw/zinc/29/93/31/744299331.db2.gz WCFZZRXKSAOZGA-OAHLLOKOSA-N 1 2 303.410 1.355 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)/C(C)=C/CC)C2)nn1 ZINC001185882149 744308287 /nfs/dbraw/zinc/30/82/87/744308287.db2.gz CPOJWSXSKMZYQA-KAMPRCONSA-N 1 2 315.421 1.521 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@@H]3CC3(C)C)C2)nn1 ZINC001185915896 744313912 /nfs/dbraw/zinc/31/39/12/744313912.db2.gz MLGVEYWPLIXYNF-GJZGRUSLSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2c(C)[nH]nc2C(F)(F)F)C1 ZINC001030687748 744460668 /nfs/dbraw/zinc/46/06/68/744460668.db2.gz ZRRWRRDJGLGAFB-UHFFFAOYSA-N 1 2 300.284 1.174 20 30 DDEDLO CCc1[nH+]ccn1-c1nc(OC)ccc1NC(=O)[C@@H]1C[C@@H]1C#N ZINC001186969784 744479880 /nfs/dbraw/zinc/47/98/80/744479880.db2.gz SHUGVFOFPBJXGJ-GHMZBOCLSA-N 1 2 311.345 1.936 20 30 DDEDLO N#C[C@H]1C[C@H]1C(=O)N1Cc2c[nH+]cn2C[C@@H](COCC2CC2)C1 ZINC001186976311 744481299 /nfs/dbraw/zinc/48/12/99/744481299.db2.gz YYIDZJNFPALHBA-SQWLQELKSA-N 1 2 314.389 1.428 20 30 DDEDLO COC(=O)C1CCN(c2cc(NCCCCC#N)[nH+]cn2)CC1 ZINC001168426629 744544976 /nfs/dbraw/zinc/54/49/76/744544976.db2.gz BKCPIPMVLXUEGA-UHFFFAOYSA-N 1 2 317.393 1.972 20 30 DDEDLO COC(=O)C1CCN(c2cc(NCCCCC#N)nc[nH+]2)CC1 ZINC001168426629 744544978 /nfs/dbraw/zinc/54/49/78/744544978.db2.gz BKCPIPMVLXUEGA-UHFFFAOYSA-N 1 2 317.393 1.972 20 30 DDEDLO Cc1conc1C[N@@H+](C)C[C@@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001089140038 744585917 /nfs/dbraw/zinc/58/59/17/744585917.db2.gz VSWIEAHBFBKSGA-OCCSQVGLSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1conc1C[N@H+](C)C[C@@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001089140038 744585920 /nfs/dbraw/zinc/58/59/20/744585920.db2.gz VSWIEAHBFBKSGA-OCCSQVGLSA-N 1 2 304.394 1.956 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001187874356 744630659 /nfs/dbraw/zinc/63/06/59/744630659.db2.gz SXKQPBFYXQTHEP-GOEBONIOSA-N 1 2 317.389 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001187874356 744630661 /nfs/dbraw/zinc/63/06/61/744630661.db2.gz SXKQPBFYXQTHEP-GOEBONIOSA-N 1 2 317.389 1.056 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H](C)[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001089178398 744673903 /nfs/dbraw/zinc/67/39/03/744673903.db2.gz VMPVSUBKESNAAK-UKRRQHHQSA-N 1 2 318.421 1.884 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc3ccsc3c2)C1 ZINC001077504930 744690508 /nfs/dbraw/zinc/69/05/08/744690508.db2.gz FPANACMUVQTDKR-ZIAGYGMSSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc3ccsc3c2)C1 ZINC001077504930 744690511 /nfs/dbraw/zinc/69/05/11/744690511.db2.gz FPANACMUVQTDKR-ZIAGYGMSSA-N 1 2 315.398 1.095 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCO2)C1 ZINC001046120320 744769600 /nfs/dbraw/zinc/76/96/00/744769600.db2.gz AAFKZTFEDAEMRM-DGCLKSJQSA-N 1 2 317.227 1.655 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCO2)C1 ZINC001046120320 744769604 /nfs/dbraw/zinc/76/96/04/744769604.db2.gz AAFKZTFEDAEMRM-DGCLKSJQSA-N 1 2 317.227 1.655 20 30 DDEDLO Cc1nc(N[C@@H](C)C[C@@H](C)NC(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001089263545 744824700 /nfs/dbraw/zinc/82/47/00/744824700.db2.gz BFONNIQMVQCQBY-WDEREUQCSA-N 1 2 312.377 1.994 20 30 DDEDLO C=C1CC(C)(C(=O)NC2C[NH+](CCc3cnn(CC)c3)C2)C1 ZINC001030924830 744847372 /nfs/dbraw/zinc/84/73/72/744847372.db2.gz YSZRMCGIVKMJTF-UHFFFAOYSA-N 1 2 302.422 1.602 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001189319371 744871230 /nfs/dbraw/zinc/87/12/30/744871230.db2.gz YHMBFQZXUGRKRW-MRXNPFEDSA-N 1 2 302.422 1.828 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001189319371 744871232 /nfs/dbraw/zinc/87/12/32/744871232.db2.gz YHMBFQZXUGRKRW-MRXNPFEDSA-N 1 2 302.422 1.828 20 30 DDEDLO CCc1nc(C#N)c(NCC2([NH+]3CCCC3)COC2)nc1Cl ZINC001168443406 744913019 /nfs/dbraw/zinc/91/30/19/744913019.db2.gz HMTMTGKXFOIOLA-UHFFFAOYSA-N 1 2 321.812 1.841 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2[nH]nc(C)c2C(F)(F)F)C1 ZINC001030951377 744936878 /nfs/dbraw/zinc/93/68/78/744936878.db2.gz SZFYWXSDRYSFIN-UHFFFAOYSA-N 1 2 300.284 1.174 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001189918582 745033968 /nfs/dbraw/zinc/03/39/68/745033968.db2.gz SDPBCDONANLINS-GUYCJALGSA-N 1 2 321.421 1.597 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001189918582 745033975 /nfs/dbraw/zinc/03/39/75/745033975.db2.gz SDPBCDONANLINS-GUYCJALGSA-N 1 2 321.421 1.597 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2nc(C)no2)C1 ZINC001189925592 745038117 /nfs/dbraw/zinc/03/81/17/745038117.db2.gz CJXRBSBMMHWBJZ-TZMCWYRMSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2nc(C)no2)C1 ZINC001189925592 745038123 /nfs/dbraw/zinc/03/81/23/745038123.db2.gz CJXRBSBMMHWBJZ-TZMCWYRMSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001190104090 745100399 /nfs/dbraw/zinc/10/03/99/745100399.db2.gz CHRWKDLCPSRJLG-DOMZBBRYSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001190104090 745100400 /nfs/dbraw/zinc/10/04/00/745100400.db2.gz CHRWKDLCPSRJLG-DOMZBBRYSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2nc(C)no2)C1 ZINC001190122357 745122906 /nfs/dbraw/zinc/12/29/06/745122906.db2.gz XRFCVBXDSQMCGS-OCCSQVGLSA-N 1 2 320.393 1.012 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2nc(C)no2)C1 ZINC001190122357 745122909 /nfs/dbraw/zinc/12/29/09/745122909.db2.gz XRFCVBXDSQMCGS-OCCSQVGLSA-N 1 2 320.393 1.012 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2ncc(C)o2)C1 ZINC001190128624 745125180 /nfs/dbraw/zinc/12/51/80/745125180.db2.gz MXAWYMNLBFPJJV-CABCVRRESA-N 1 2 319.405 1.617 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2ncc(C)o2)C1 ZINC001190128624 745125185 /nfs/dbraw/zinc/12/51/85/745125185.db2.gz MXAWYMNLBFPJJV-CABCVRRESA-N 1 2 319.405 1.617 20 30 DDEDLO Cc1nn[nH]c1C(=O)N1CC[C@H]([N@H+](C)CC#Cc2ccccc2)C1 ZINC001191667427 745577524 /nfs/dbraw/zinc/57/75/24/745577524.db2.gz VOXCPBMFFLLLAZ-INIZCTEOSA-N 1 2 323.400 1.311 20 30 DDEDLO Cc1nn[nH]c1C(=O)N1CC[C@H]([N@@H+](C)CC#Cc2ccccc2)C1 ZINC001191667427 745577527 /nfs/dbraw/zinc/57/75/27/745577527.db2.gz VOXCPBMFFLLLAZ-INIZCTEOSA-N 1 2 323.400 1.311 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001192320389 745753174 /nfs/dbraw/zinc/75/31/74/745753174.db2.gz GHEZPEWCRCEPDP-HUUCEWRRSA-N 1 2 319.405 1.146 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001192320389 745753178 /nfs/dbraw/zinc/75/31/78/745753178.db2.gz GHEZPEWCRCEPDP-HUUCEWRRSA-N 1 2 319.405 1.146 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2coc(C3CCOCC3)n2)C1 ZINC001031212327 745814125 /nfs/dbraw/zinc/81/41/25/745814125.db2.gz AMGWVWXJUBDLBL-UHFFFAOYSA-N 1 2 305.378 1.559 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)OCCCCCC)C1 ZINC001192917174 745927389 /nfs/dbraw/zinc/92/73/89/745927389.db2.gz ZZXFPORVGVJGGK-ARFHVFGLSA-N 1 2 310.438 1.156 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)OCCCCCC)C1 ZINC001192917174 745927392 /nfs/dbraw/zinc/92/73/92/745927392.db2.gz ZZXFPORVGVJGGK-ARFHVFGLSA-N 1 2 310.438 1.156 20 30 DDEDLO N#CCS(=O)(=O)NCc1c[nH+]cn1Cc1ccccc1F ZINC001193000903 745947338 /nfs/dbraw/zinc/94/73/38/745947338.db2.gz HQFJGJHLCWMRHQ-UHFFFAOYSA-N 1 2 308.338 1.013 20 30 DDEDLO N#CCS(=O)(=O)N[C@H]1CC[N@@H+](Cc2ccc(Cl)cc2)C1 ZINC001193002358 745947979 /nfs/dbraw/zinc/94/79/79/745947979.db2.gz DUIXBYSFPWLMBL-ZDUSSCGKSA-N 1 2 313.810 1.357 20 30 DDEDLO N#CCS(=O)(=O)N[C@H]1CC[N@H+](Cc2ccc(Cl)cc2)C1 ZINC001193002358 745947981 /nfs/dbraw/zinc/94/79/81/745947981.db2.gz DUIXBYSFPWLMBL-ZDUSSCGKSA-N 1 2 313.810 1.357 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1ncccc1C#N)NC(=O)Cn1cc[nH+]c1 ZINC001089346944 745992386 /nfs/dbraw/zinc/99/23/86/745992386.db2.gz SAQFDNOMUIKPRZ-CHWSQXEVSA-N 1 2 312.377 1.545 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)CCNc2cc[nH+]c(C)n2)cn1 ZINC001106807146 746132299 /nfs/dbraw/zinc/13/22/99/746132299.db2.gz XKMWAENPHMEMSH-UHFFFAOYSA-N 1 2 309.373 1.736 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ncc(OC)cn2)[C@@H]1C ZINC000993568957 746222418 /nfs/dbraw/zinc/22/24/18/746222418.db2.gz YWLDHMGANXLMRE-AAEUAGOBSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ncc(OC)cn2)[C@@H]1C ZINC000993568957 746222423 /nfs/dbraw/zinc/22/24/23/746222423.db2.gz YWLDHMGANXLMRE-AAEUAGOBSA-N 1 2 324.812 1.820 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2snnc2C)C1 ZINC001194408046 746369197 /nfs/dbraw/zinc/36/91/97/746369197.db2.gz ODEKJIFPIMLACU-QWHCGFSZSA-N 1 2 324.450 1.470 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2snnc2C)C1 ZINC001194408046 746369201 /nfs/dbraw/zinc/36/92/01/746369201.db2.gz ODEKJIFPIMLACU-QWHCGFSZSA-N 1 2 324.450 1.470 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCN(C(=O)C2CCC2)CC1 ZINC001194612567 746415730 /nfs/dbraw/zinc/41/57/30/746415730.db2.gz NYLQDAVWLKHENU-UHFFFAOYSA-N 1 2 320.437 1.083 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCN(C(=O)C2CCC2)CC1 ZINC001194612567 746415733 /nfs/dbraw/zinc/41/57/33/746415733.db2.gz NYLQDAVWLKHENU-UHFFFAOYSA-N 1 2 320.437 1.083 20 30 DDEDLO C[N@H+](CCOCc1ccc(C#N)cc1)C[C@@H]1CCCS1(=O)=O ZINC001194792114 746456426 /nfs/dbraw/zinc/45/64/26/746456426.db2.gz QQLAISNURSLHOT-INIZCTEOSA-N 1 2 322.430 1.584 20 30 DDEDLO C[N@@H+](CCOCc1ccc(C#N)cc1)C[C@@H]1CCCS1(=O)=O ZINC001194792114 746456432 /nfs/dbraw/zinc/45/64/32/746456432.db2.gz QQLAISNURSLHOT-INIZCTEOSA-N 1 2 322.430 1.584 20 30 DDEDLO CC[C@H](C)C(=O)N1CCC[N@H+](CC(=O)N(CC)CCC#N)CC1 ZINC001194868740 746476749 /nfs/dbraw/zinc/47/67/49/746476749.db2.gz FSSZPPKDQHJPEV-HNNXBMFYSA-N 1 2 322.453 1.329 20 30 DDEDLO CC[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)N(CC)CCC#N)CC1 ZINC001194868740 746476754 /nfs/dbraw/zinc/47/67/54/746476754.db2.gz FSSZPPKDQHJPEV-HNNXBMFYSA-N 1 2 322.453 1.329 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1O ZINC001195255588 746558294 /nfs/dbraw/zinc/55/82/94/746558294.db2.gz VTLHEVYGMNXVGQ-HUUCEWRRSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1O ZINC001195255588 746558297 /nfs/dbraw/zinc/55/82/97/746558297.db2.gz VTLHEVYGMNXVGQ-HUUCEWRRSA-N 1 2 303.406 1.345 20 30 DDEDLO CCC(CC)NC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195321174 746564159 /nfs/dbraw/zinc/56/41/59/746564159.db2.gz DYBNMYGTGYYNOW-KGLIPLIRSA-N 1 2 322.453 1.374 20 30 DDEDLO CCC(CC)NC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195321174 746564162 /nfs/dbraw/zinc/56/41/62/746564162.db2.gz DYBNMYGTGYYNOW-KGLIPLIRSA-N 1 2 322.453 1.374 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1O ZINC001195532263 746638784 /nfs/dbraw/zinc/63/87/84/746638784.db2.gz NOIRRLVRNJCHQK-NILFDRSVSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1O ZINC001195532263 746638786 /nfs/dbraw/zinc/63/87/86/746638786.db2.gz NOIRRLVRNJCHQK-NILFDRSVSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCCC(=O)NC)CC1 ZINC001195588485 746648582 /nfs/dbraw/zinc/64/85/82/746648582.db2.gz JBYBYRUECVAFQN-UHFFFAOYSA-N 1 2 301.818 1.190 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCCC(=O)NC)CC1 ZINC001195588485 746648583 /nfs/dbraw/zinc/64/85/83/746648583.db2.gz JBYBYRUECVAFQN-UHFFFAOYSA-N 1 2 301.818 1.190 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195568089 746655793 /nfs/dbraw/zinc/65/57/93/746655793.db2.gz WPJOLUXWPRFLEZ-NWANDNLSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195568089 746655795 /nfs/dbraw/zinc/65/57/95/746655795.db2.gz WPJOLUXWPRFLEZ-NWANDNLSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+](Cc2nc(C)oc2C)CC1 ZINC001195681167 746670224 /nfs/dbraw/zinc/67/02/24/746670224.db2.gz AEGFEISOZSTBTO-KRWDZBQOSA-N 1 2 321.421 1.653 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+](Cc2nc(C)oc2C)CC1 ZINC001195681167 746670226 /nfs/dbraw/zinc/67/02/26/746670226.db2.gz AEGFEISOZSTBTO-KRWDZBQOSA-N 1 2 321.421 1.653 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+](Cc2nc(C)cs2)CC1 ZINC001195651820 746673340 /nfs/dbraw/zinc/67/33/40/746673340.db2.gz BHFFEJZEFRQQLS-MRXNPFEDSA-N 1 2 323.462 1.813 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+](Cc2nc(C)cs2)CC1 ZINC001195651820 746673344 /nfs/dbraw/zinc/67/33/44/746673344.db2.gz BHFFEJZEFRQQLS-MRXNPFEDSA-N 1 2 323.462 1.813 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+]([C@H](C)c2ncc(C)o2)CC1 ZINC001195651876 746673387 /nfs/dbraw/zinc/67/33/87/746673387.db2.gz CSUQCZSJAPVCDP-PBHICJAKSA-N 1 2 321.421 1.905 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+]([C@H](C)c2ncc(C)o2)CC1 ZINC001195651876 746673391 /nfs/dbraw/zinc/67/33/91/746673391.db2.gz CSUQCZSJAPVCDP-PBHICJAKSA-N 1 2 321.421 1.905 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195753966 746686380 /nfs/dbraw/zinc/68/63/80/746686380.db2.gz GBPJVWFHRRKELV-CQSZACIVSA-N 1 2 319.449 1.237 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195753966 746686383 /nfs/dbraw/zinc/68/63/83/746686383.db2.gz GBPJVWFHRRKELV-CQSZACIVSA-N 1 2 319.449 1.237 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1O ZINC001195932768 746739725 /nfs/dbraw/zinc/73/97/25/746739725.db2.gz XCUXJHPYPYGVPD-BFYDXBDKSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1O ZINC001195932768 746739730 /nfs/dbraw/zinc/73/97/30/746739730.db2.gz XCUXJHPYPYGVPD-BFYDXBDKSA-N 1 2 321.421 1.501 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccccn3)C2)s1 ZINC001031506792 746917710 /nfs/dbraw/zinc/91/77/10/746917710.db2.gz HYYLUSQQJOCHBD-UHFFFAOYSA-N 1 2 312.398 1.877 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2cnccn2)C1 ZINC001031522824 746953124 /nfs/dbraw/zinc/95/31/24/746953124.db2.gz GVHPVBSCXGLCQK-UHFFFAOYSA-N 1 2 307.357 1.210 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(c2cc[nH+]c(C)n2)CCCO1 ZINC001089531328 747163447 /nfs/dbraw/zinc/16/34/47/747163447.db2.gz FELPYTYJHNJSKL-AWEZNQCLSA-N 1 2 304.394 1.463 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2cc[nH]c(=O)c2)C1 ZINC001031612648 747188767 /nfs/dbraw/zinc/18/87/67/747188767.db2.gz ZWQQVNISTZOKBD-UHFFFAOYSA-N 1 2 322.368 1.521 20 30 DDEDLO Cc1c[nH]nc1C(=O)NCC1C[NH+](Cc2ccc(C#N)s2)C1 ZINC001031659799 747334050 /nfs/dbraw/zinc/33/40/50/747334050.db2.gz QPYLISKTYBFYBK-UHFFFAOYSA-N 1 2 315.402 1.513 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2nccn3ccnc23)CC1 ZINC001003649948 747355291 /nfs/dbraw/zinc/35/52/91/747355291.db2.gz OGQSKHHCLLTASN-UHFFFAOYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=CCCC[N@@H+]1CCCN(C(=O)[C@@H]2CCCS2(=O)=O)CC1 ZINC001198182857 747404702 /nfs/dbraw/zinc/40/47/02/747404702.db2.gz RWKNKNMADVDQMR-AWEZNQCLSA-N 1 2 314.451 1.064 20 30 DDEDLO C=CCCC[N@H+]1CCCN(C(=O)[C@@H]2CCCS2(=O)=O)CC1 ZINC001198182857 747404705 /nfs/dbraw/zinc/40/47/05/747404705.db2.gz RWKNKNMADVDQMR-AWEZNQCLSA-N 1 2 314.451 1.064 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cnoc2C)CC1 ZINC001198343734 747459522 /nfs/dbraw/zinc/45/95/22/747459522.db2.gz NAMTUWJVFIENEM-CQSZACIVSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cnoc2C)CC1 ZINC001198343734 747459527 /nfs/dbraw/zinc/45/95/27/747459527.db2.gz NAMTUWJVFIENEM-CQSZACIVSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1O ZINC001199314002 747786826 /nfs/dbraw/zinc/78/68/26/747786826.db2.gz NTQCNSQZBSFUJC-DAXOMENPSA-N 1 2 322.380 1.078 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1O ZINC001199314002 747786828 /nfs/dbraw/zinc/78/68/28/747786828.db2.gz NTQCNSQZBSFUJC-DAXOMENPSA-N 1 2 322.380 1.078 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001110540517 747952275 /nfs/dbraw/zinc/95/22/75/747952275.db2.gz WLVINNKVCVSUPD-IIVZCXTMSA-N 1 2 324.428 1.981 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001110540517 747952280 /nfs/dbraw/zinc/95/22/80/747952280.db2.gz WLVINNKVCVSUPD-IIVZCXTMSA-N 1 2 324.428 1.981 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cnnn3CC)C2)cc1 ZINC001031892827 748139754 /nfs/dbraw/zinc/13/97/54/748139754.db2.gz UPUQDOJEJCRVGN-UHFFFAOYSA-N 1 2 323.400 1.141 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H](C)c2ccnn2C)C1 ZINC001033090115 748240498 /nfs/dbraw/zinc/24/04/98/748240498.db2.gz FRYICMKGSSLSRK-CHWSQXEVSA-N 1 2 310.829 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H](C)c2ccnn2C)C1 ZINC001033090115 748240504 /nfs/dbraw/zinc/24/05/04/748240504.db2.gz FRYICMKGSSLSRK-CHWSQXEVSA-N 1 2 310.829 1.809 20 30 DDEDLO C=C(C)CCC(=O)N1CCCO[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001200627544 748295359 /nfs/dbraw/zinc/29/53/59/748295359.db2.gz LQUHVKITDSDDCP-CQSZACIVSA-N 1 2 324.450 1.602 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)cncc2C)C1 ZINC001108069972 748304207 /nfs/dbraw/zinc/30/42/07/748304207.db2.gz LCQXPSPJTJEULL-GOSISDBHSA-N 1 2 315.417 1.542 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)cncc2C)C1 ZINC001108069972 748304215 /nfs/dbraw/zinc/30/42/15/748304215.db2.gz LCQXPSPJTJEULL-GOSISDBHSA-N 1 2 315.417 1.542 20 30 DDEDLO CCN(CCNc1cccc(F)c1C#N)C(=O)Cc1c[nH+]c[nH]1 ZINC001106962095 748341527 /nfs/dbraw/zinc/34/15/27/748341527.db2.gz WYWDHTBRHVQMBO-UHFFFAOYSA-N 1 2 315.352 1.924 20 30 DDEDLO C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC001007688579 752274156 /nfs/dbraw/zinc/27/41/56/752274156.db2.gz SYFAFWFYLUQHST-KGLIPLIRSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc3n(n2)CCC3)C1 ZINC001033133745 748497422 /nfs/dbraw/zinc/49/74/22/748497422.db2.gz LOOOGMAGXCUOKK-ZDUSSCGKSA-N 1 2 308.813 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc3n(n2)CCC3)C1 ZINC001033133745 748497426 /nfs/dbraw/zinc/49/74/26/748497426.db2.gz LOOOGMAGXCUOKK-ZDUSSCGKSA-N 1 2 308.813 1.728 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1ccncn1 ZINC001032059991 748528781 /nfs/dbraw/zinc/52/87/81/748528781.db2.gz CQLRNECXSVROBJ-UHFFFAOYSA-N 1 2 306.369 1.190 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2nccs2)C1 ZINC001032067172 748552870 /nfs/dbraw/zinc/55/28/70/748552870.db2.gz FCFNRUZNORVDBS-UHFFFAOYSA-N 1 2 312.398 1.877 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001014690225 748736007 /nfs/dbraw/zinc/73/60/07/748736007.db2.gz XEXGFRWQOUMHCE-JSGCOSHPSA-N 1 2 320.824 1.525 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001014690225 748736011 /nfs/dbraw/zinc/73/60/11/748736011.db2.gz XEXGFRWQOUMHCE-JSGCOSHPSA-N 1 2 320.824 1.525 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+]Cc1nc(C)c(C)o1)OCC ZINC001128529115 748741173 /nfs/dbraw/zinc/74/11/73/748741173.db2.gz YRDFDPOGYHBZIC-CQSZACIVSA-N 1 2 309.410 1.869 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001110608621 748821486 /nfs/dbraw/zinc/82/14/86/748821486.db2.gz XNYYMGYQHIJAPK-KFWWJZLASA-N 1 2 307.438 1.590 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001110608621 748821490 /nfs/dbraw/zinc/82/14/90/748821490.db2.gz XNYYMGYQHIJAPK-KFWWJZLASA-N 1 2 307.438 1.590 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)CCCCNc1cc[nH+]c(C)n1 ZINC001090117544 748885029 /nfs/dbraw/zinc/88/50/29/748885029.db2.gz SDVOZRLPYIDFSR-CQSZACIVSA-N 1 2 318.421 1.912 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001033212249 748945974 /nfs/dbraw/zinc/94/59/74/748945974.db2.gz RUSIMEMENGRLOF-KGLIPLIRSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001033212249 748945981 /nfs/dbraw/zinc/94/59/81/748945981.db2.gz RUSIMEMENGRLOF-KGLIPLIRSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001033212251 748945999 /nfs/dbraw/zinc/94/59/99/748945999.db2.gz RUSIMEMENGRLOF-UONOGXRCSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001033212251 748946007 /nfs/dbraw/zinc/94/60/07/748946007.db2.gz RUSIMEMENGRLOF-UONOGXRCSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(F)CCCC1)C2 ZINC001110748592 748977338 /nfs/dbraw/zinc/97/73/38/748977338.db2.gz KMDDLKMUVWEJML-MCIONIFRSA-N 1 2 323.412 1.292 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(F)CCCC1)C2 ZINC001110748592 748977344 /nfs/dbraw/zinc/97/73/44/748977344.db2.gz KMDDLKMUVWEJML-MCIONIFRSA-N 1 2 323.412 1.292 20 30 DDEDLO C[C@]1(NC(=O)CCc2c[nH]c[nH+]2)CCN(c2ccc(C#N)nc2)C1 ZINC001110819619 749042226 /nfs/dbraw/zinc/04/22/26/749042226.db2.gz RHRCCQZCBSFPME-KRWDZBQOSA-N 1 2 324.388 1.394 20 30 DDEDLO C[C@]1(NC(=O)CCc2c[nH+]c[nH]2)CCN(c2ccc(C#N)nc2)C1 ZINC001110819619 749042228 /nfs/dbraw/zinc/04/22/28/749042228.db2.gz RHRCCQZCBSFPME-KRWDZBQOSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cc(C)on4)C[C@H]32)CCC1 ZINC001114394541 749136362 /nfs/dbraw/zinc/13/63/62/749136362.db2.gz DOYUWSYTXJWCDB-ZSHCYNCHSA-N 1 2 313.401 1.723 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cc(C)on4)C[C@H]32)CCC1 ZINC001114394541 749136367 /nfs/dbraw/zinc/13/63/67/749136367.db2.gz DOYUWSYTXJWCDB-ZSHCYNCHSA-N 1 2 313.401 1.723 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CC3CCCC3)nn2)C1 ZINC001107119646 749152448 /nfs/dbraw/zinc/15/24/48/749152448.db2.gz AVCNGGVDTREMLM-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CCOC(C)C)nn2)C1 ZINC001107129788 749190940 /nfs/dbraw/zinc/19/09/40/749190940.db2.gz PNAZDZKVPOAOAF-UHFFFAOYSA-N 1 2 321.425 1.142 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001108334181 761929977 /nfs/dbraw/zinc/92/99/77/761929977.db2.gz DZBDJPCRQFYTDR-KRWDZBQOSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001108334181 761929983 /nfs/dbraw/zinc/92/99/83/761929983.db2.gz DZBDJPCRQFYTDR-KRWDZBQOSA-N 1 2 320.437 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001033365209 749253498 /nfs/dbraw/zinc/25/34/98/749253498.db2.gz DAOJFYLWDLHIAJ-NEPJUHHUSA-N 1 2 323.828 1.510 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001033365209 749253500 /nfs/dbraw/zinc/25/35/00/749253500.db2.gz DAOJFYLWDLHIAJ-NEPJUHHUSA-N 1 2 323.828 1.510 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114473100 749256457 /nfs/dbraw/zinc/25/64/57/749256457.db2.gz SYAWTSYSKUARGW-TZMCWYRMSA-N 1 2 316.405 1.154 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CC[N@H+](Cc2coc(C)n2)C1 ZINC001033432478 749328983 /nfs/dbraw/zinc/32/89/83/749328983.db2.gz OLGUJGQQOOVXHY-XHSDSOJGSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CC[N@@H+](Cc2coc(C)n2)C1 ZINC001033432478 749328985 /nfs/dbraw/zinc/32/89/85/749328985.db2.gz OLGUJGQQOOVXHY-XHSDSOJGSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnc3cccnn32)C1 ZINC001033485648 749412236 /nfs/dbraw/zinc/41/22/36/749412236.db2.gz NBYKSSXTASFTOX-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc3cccnn32)C1 ZINC001033485648 749412242 /nfs/dbraw/zinc/41/22/42/749412242.db2.gz NBYKSSXTASFTOX-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(C)C(=O)c2n[nH]cc2Br)C1 ZINC001033507513 749430312 /nfs/dbraw/zinc/43/03/12/749430312.db2.gz IAUNMKOTJLPLOR-SECBINFHSA-N 1 2 313.199 1.505 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(C)C(=O)c2n[nH]cc2Br)C1 ZINC001033507513 749430314 /nfs/dbraw/zinc/43/03/14/749430314.db2.gz IAUNMKOTJLPLOR-SECBINFHSA-N 1 2 313.199 1.505 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnc(C)nc2C)C1 ZINC001033507781 749430759 /nfs/dbraw/zinc/43/07/59/749430759.db2.gz KKZCAVCMEPIFSI-ZDUSSCGKSA-N 1 2 308.813 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnc(C)nc2C)C1 ZINC001033507781 749430762 /nfs/dbraw/zinc/43/07/62/749430762.db2.gz KKZCAVCMEPIFSI-ZDUSSCGKSA-N 1 2 308.813 1.992 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3(C)CCCC3)nn2)C1 ZINC001107190189 749524632 /nfs/dbraw/zinc/52/46/32/749524632.db2.gz PXTKDAHORFQVDJ-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095359770 749598148 /nfs/dbraw/zinc/59/81/48/749598148.db2.gz SCDMKNPGRCTBLD-CYBMUJFWSA-N 1 2 318.421 1.709 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CCC(C)(C)C)nn2)C1 ZINC001107221149 749636475 /nfs/dbraw/zinc/63/64/75/749636475.db2.gz ITLBACMKXAUDFP-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO N#Cc1ccc(NC/C=C\CNC(=O)CCCn2cc[nH+]c2)cn1 ZINC001107237198 749678787 /nfs/dbraw/zinc/67/87/87/749678787.db2.gz HSTSNJBCFKPPBR-UPHRSURJSA-N 1 2 324.388 1.714 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc3n[nH]nc3c2)C1 ZINC001033663364 749699884 /nfs/dbraw/zinc/69/98/84/749699884.db2.gz QNWKZAPHUCWZTH-GFCCVEGCSA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc3n[nH]nc3c2)C1 ZINC001033663364 749699887 /nfs/dbraw/zinc/69/98/87/749699887.db2.gz QNWKZAPHUCWZTH-GFCCVEGCSA-N 1 2 319.796 1.857 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(OC)ns1)C2 ZINC001095433823 749891528 /nfs/dbraw/zinc/89/15/28/749891528.db2.gz IOOVSBUPTJXIKM-WOPDTQHZSA-N 1 2 305.403 1.510 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(OC)ns1)C2 ZINC001095433823 749891533 /nfs/dbraw/zinc/89/15/33/749891533.db2.gz IOOVSBUPTJXIKM-WOPDTQHZSA-N 1 2 305.403 1.510 20 30 DDEDLO Cc1nc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccc(C#N)c2)c[nH]1 ZINC001032317280 749935012 /nfs/dbraw/zinc/93/50/12/749935012.db2.gz JOBZJQVZTZVCQP-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1nc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccc(C#N)c2)c[nH]1 ZINC001032317280 749935017 /nfs/dbraw/zinc/93/50/17/749935017.db2.gz JOBZJQVZTZVCQP-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO N#Cc1ccc(NC/C=C/CNC(=O)CCn2cc[nH+]c2)cn1 ZINC001107444923 749967192 /nfs/dbraw/zinc/96/71/92/749967192.db2.gz CBHYHNVZLJDNMI-OWOJBTEDSA-N 1 2 310.361 1.324 20 30 DDEDLO CN(CCCNC(=O)CCc1[nH]cc[nH+]1)c1ccc(C#N)cn1 ZINC001095532094 750015792 /nfs/dbraw/zinc/01/57/92/750015792.db2.gz IAYSPZDSZGGKMA-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CN(CCCNC(=O)CCc1[nH]cc[nH+]1)c1ncccc1C#N ZINC001095532140 750017490 /nfs/dbraw/zinc/01/74/90/750017490.db2.gz JWNFPWRUJRKPTE-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO Cc1nc(N(C)CCCNC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001095582790 750057103 /nfs/dbraw/zinc/05/71/03/750057103.db2.gz LBCZDWWZYOOTHH-UHFFFAOYSA-N 1 2 312.377 1.101 20 30 DDEDLO N#Cc1c(F)cccc1NC/C=C\CNC(=O)Cc1c[nH]c[nH+]1 ZINC001107539687 750102948 /nfs/dbraw/zinc/10/29/48/750102948.db2.gz SBRPSDLOJVTDAP-UPHRSURJSA-N 1 2 313.336 1.747 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111539743 750166138 /nfs/dbraw/zinc/16/61/38/750166138.db2.gz RCHHZLSHJPWJNT-CHWSQXEVSA-N 1 2 306.410 1.476 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccccc2OC)C1 ZINC001108381983 762016239 /nfs/dbraw/zinc/01/62/39/762016239.db2.gz FESFFWAPBCHQNX-SFHVURJKSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccccc2OC)C1 ZINC001108381983 762016250 /nfs/dbraw/zinc/01/62/50/762016250.db2.gz FESFFWAPBCHQNX-SFHVURJKSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CCOCC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]ncc1F)C2 ZINC001110991015 750285435 /nfs/dbraw/zinc/28/54/35/750285435.db2.gz YYBOGVGPYMGPJU-RTXFEEFZSA-N 1 2 308.357 1.087 20 30 DDEDLO C=CCOCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]ncc1F)C2 ZINC001110991015 750285442 /nfs/dbraw/zinc/28/54/42/750285442.db2.gz YYBOGVGPYMGPJU-RTXFEEFZSA-N 1 2 308.357 1.087 20 30 DDEDLO Cc1nsc(NC[C@@H](C)NC(=O)CCc2[nH]cc[nH+]2)c1C#N ZINC001107707364 750411536 /nfs/dbraw/zinc/41/15/36/750411536.db2.gz ODBUEGFTUYYEJV-SECBINFHSA-N 1 2 318.406 1.596 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(Cl)no1)C2 ZINC001111305786 750440318 /nfs/dbraw/zinc/44/03/18/750440318.db2.gz TVEUHIGAPJGCOS-UTUOFQBUSA-N 1 2 323.780 1.313 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(Cl)no1)C2 ZINC001111305786 750440321 /nfs/dbraw/zinc/44/03/21/750440321.db2.gz TVEUHIGAPJGCOS-UTUOFQBUSA-N 1 2 323.780 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001034474529 750462404 /nfs/dbraw/zinc/46/24/04/750462404.db2.gz QXJHXRDBGCQYNH-ZDUSSCGKSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001034474529 750462405 /nfs/dbraw/zinc/46/24/05/750462405.db2.gz QXJHXRDBGCQYNH-ZDUSSCGKSA-N 1 2 324.812 1.822 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C(C)(C)C)nc1C ZINC001032400413 750576239 /nfs/dbraw/zinc/57/62/39/750576239.db2.gz HRYJPMCDJJDYCB-KBPBESRZSA-N 1 2 300.406 1.478 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C(C)(C)C)nc1C ZINC001032400413 750576242 /nfs/dbraw/zinc/57/62/42/750576242.db2.gz HRYJPMCDJJDYCB-KBPBESRZSA-N 1 2 300.406 1.478 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CC(C)(C)C2CC2)[C@@H](n2ccnn2)C1 ZINC001128975987 750593945 /nfs/dbraw/zinc/59/39/45/750593945.db2.gz CWBWPUOPAPWHLA-CABCVRRESA-N 1 2 315.421 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CC(C)(C)C2CC2)[C@@H](n2ccnn2)C1 ZINC001128975987 750593952 /nfs/dbraw/zinc/59/39/52/750593952.db2.gz CWBWPUOPAPWHLA-CABCVRRESA-N 1 2 315.421 1.079 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccsc2C)C1 ZINC001107930330 750663325 /nfs/dbraw/zinc/66/33/25/750663325.db2.gz INIRDHGRSGNAPR-MRXNPFEDSA-N 1 2 306.431 1.901 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccsc2C)C1 ZINC001107930330 750663327 /nfs/dbraw/zinc/66/33/27/750663327.db2.gz INIRDHGRSGNAPR-MRXNPFEDSA-N 1 2 306.431 1.901 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2[nH]cnc2C(C)(C)C)C1 ZINC001107954691 750870519 /nfs/dbraw/zinc/87/05/19/750870519.db2.gz UCYSXEYVACVJSY-QGZVFWFLSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2[nH]cnc2C(C)(C)C)C1 ZINC001107954691 750870526 /nfs/dbraw/zinc/87/05/26/750870526.db2.gz UCYSXEYVACVJSY-QGZVFWFLSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129015018 750914297 /nfs/dbraw/zinc/91/42/97/750914297.db2.gz WLLDJQPQIVRHLB-CABCVRRESA-N 1 2 315.421 1.245 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129015018 750914298 /nfs/dbraw/zinc/91/42/98/750914298.db2.gz WLLDJQPQIVRHLB-CABCVRRESA-N 1 2 315.421 1.245 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2nn(C)cc2C)CC1 ZINC001000675883 762079999 /nfs/dbraw/zinc/07/99/99/762079999.db2.gz XVKRXRHHYVZZJY-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2nn(C)cc2C)CC1 ZINC001000675883 762080007 /nfs/dbraw/zinc/08/00/07/762080007.db2.gz XVKRXRHHYVZZJY-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO Cc1nc(NC[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001060834284 750954324 /nfs/dbraw/zinc/95/43/24/750954324.db2.gz JOQSRNSBNNNANS-LBPRGKRZSA-N 1 2 310.361 1.559 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001114718939 751020521 /nfs/dbraw/zinc/02/05/21/751020521.db2.gz YDVGQTXJBGPORS-LJIGWXMPSA-N 1 2 312.417 1.778 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001114718939 751020529 /nfs/dbraw/zinc/02/05/29/751020529.db2.gz YDVGQTXJBGPORS-LJIGWXMPSA-N 1 2 312.417 1.778 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2[nH]c(C)c(C(N)=O)c2CC)C1 ZINC001042910440 751130620 /nfs/dbraw/zinc/13/06/20/751130620.db2.gz CMDYUNOKDHAEEM-UHFFFAOYSA-N 1 2 318.421 1.317 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)(C)C)on1 ZINC001032514226 751153797 /nfs/dbraw/zinc/15/37/97/751153797.db2.gz NMFRITMSCUHLPQ-STQMWFEESA-N 1 2 301.390 1.894 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)(C)C)on1 ZINC001032514226 751153799 /nfs/dbraw/zinc/15/37/99/751153799.db2.gz NMFRITMSCUHLPQ-STQMWFEESA-N 1 2 301.390 1.894 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2C(F)F)C1 ZINC001107971732 751256292 /nfs/dbraw/zinc/25/62/92/751256292.db2.gz XHFDLOOMGZJKPE-AWEZNQCLSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2C(F)F)C1 ZINC001107971732 751256296 /nfs/dbraw/zinc/25/62/96/751256296.db2.gz XHFDLOOMGZJKPE-AWEZNQCLSA-N 1 2 314.336 1.285 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Oc1ccc(OC)cc1 ZINC001032550285 751275776 /nfs/dbraw/zinc/27/57/76/751275776.db2.gz KWGBNMLBOCZSCN-KKUMJFAQSA-N 1 2 314.385 1.381 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Oc1ccc(OC)cc1 ZINC001032550285 751275782 /nfs/dbraw/zinc/27/57/82/751275782.db2.gz KWGBNMLBOCZSCN-KKUMJFAQSA-N 1 2 314.385 1.381 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc(CCC)no2)CCC1 ZINC001129121181 751296336 /nfs/dbraw/zinc/29/63/36/751296336.db2.gz MYVMRERITYYKKK-UHFFFAOYSA-N 1 2 304.394 1.422 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001007918276 752435917 /nfs/dbraw/zinc/43/59/17/752435917.db2.gz IAEAOTIHZVRLOE-CYBMUJFWSA-N 1 2 310.829 1.604 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001007918276 752435920 /nfs/dbraw/zinc/43/59/20/752435920.db2.gz IAEAOTIHZVRLOE-CYBMUJFWSA-N 1 2 310.829 1.604 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccnnc2)cc1 ZINC001032647271 752583765 /nfs/dbraw/zinc/58/37/65/752583765.db2.gz RGEZTHFYOHEHHL-IRXDYDNUSA-N 1 2 319.368 1.447 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccnnc2)cc1 ZINC001032647271 752583770 /nfs/dbraw/zinc/58/37/70/752583770.db2.gz RGEZTHFYOHEHHL-IRXDYDNUSA-N 1 2 319.368 1.447 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nnc2ccccc2c1O ZINC001032658137 752607596 /nfs/dbraw/zinc/60/75/96/752607596.db2.gz BKARHBUHFWBXFO-STQMWFEESA-N 1 2 324.384 1.810 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(C(F)(F)F)n1 ZINC001032696489 752733787 /nfs/dbraw/zinc/73/37/87/752733787.db2.gz JXFUROFVOCDURK-QWRGUYRKSA-N 1 2 309.291 1.632 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(C(F)(F)F)n1 ZINC001032696489 752733792 /nfs/dbraw/zinc/73/37/92/752733792.db2.gz JXFUROFVOCDURK-QWRGUYRKSA-N 1 2 309.291 1.632 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C(C)(C)C1 ZINC001008654239 752845692 /nfs/dbraw/zinc/84/56/92/752845692.db2.gz BIJARKBFDPFSKZ-CYBMUJFWSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)c(F)c2)C1 ZINC001107998649 753414296 /nfs/dbraw/zinc/41/42/96/753414296.db2.gz OPFLRKIFKUWVQD-INIZCTEOSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)c(F)c2)C1 ZINC001107998649 753414299 /nfs/dbraw/zinc/41/42/99/753414299.db2.gz OPFLRKIFKUWVQD-INIZCTEOSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@H+]1C[C@H](NC(=O)c2[nH]ncc2F)CC2(CCOCC2)C1 ZINC001089953006 753469727 /nfs/dbraw/zinc/46/97/27/753469727.db2.gz NZPVXJHDIWYJQG-GFCCVEGCSA-N 1 2 322.384 1.336 20 30 DDEDLO C=CC[N@@H+]1C[C@H](NC(=O)c2[nH]ncc2F)CC2(CCOCC2)C1 ZINC001089953006 753469731 /nfs/dbraw/zinc/46/97/31/753469731.db2.gz NZPVXJHDIWYJQG-GFCCVEGCSA-N 1 2 322.384 1.336 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cnccc2C)C1 ZINC001108003444 753482065 /nfs/dbraw/zinc/48/20/65/753482065.db2.gz HSIHITFORLNEJN-GOSISDBHSA-N 1 2 315.417 1.163 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cnccc2C)C1 ZINC001108003444 753482071 /nfs/dbraw/zinc/48/20/71/753482071.db2.gz HSIHITFORLNEJN-GOSISDBHSA-N 1 2 315.417 1.163 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001108002848 753484156 /nfs/dbraw/zinc/48/41/56/753484156.db2.gz TZHIYOWVTZMOCF-OCCSQVGLSA-N 1 2 324.388 1.329 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1cnccn1 ZINC001009968844 753511635 /nfs/dbraw/zinc/51/16/35/753511635.db2.gz QZVAKXVZSLRZLF-INIZCTEOSA-N 1 2 306.369 1.332 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cnccn1 ZINC001009968844 753511641 /nfs/dbraw/zinc/51/16/41/753511641.db2.gz QZVAKXVZSLRZLF-INIZCTEOSA-N 1 2 306.369 1.332 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cccc(CC)c1 ZINC001032768553 753576903 /nfs/dbraw/zinc/57/69/03/753576903.db2.gz LVFSCIZTQWMTAH-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cccc(CC)c1 ZINC001032768553 753576907 /nfs/dbraw/zinc/57/69/07/753576907.db2.gz LVFSCIZTQWMTAH-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)cn1 ZINC001010112108 753620132 /nfs/dbraw/zinc/62/01/32/753620132.db2.gz BLZGUUKOESDZCC-QGZVFWFLSA-N 1 2 308.385 1.276 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)cn1 ZINC001010112108 753620141 /nfs/dbraw/zinc/62/01/41/753620141.db2.gz BLZGUUKOESDZCC-QGZVFWFLSA-N 1 2 308.385 1.276 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001108446829 762231233 /nfs/dbraw/zinc/23/12/33/762231233.db2.gz LDXRROIHMWJGOW-KRWDZBQOSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001108446829 762231237 /nfs/dbraw/zinc/23/12/37/762231237.db2.gz LDXRROIHMWJGOW-KRWDZBQOSA-N 1 2 320.437 1.779 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2OC(C)C)C1 ZINC001078047479 753681089 /nfs/dbraw/zinc/68/10/89/753681089.db2.gz URZYELVBTMDCBY-HZPDHXFCSA-N 1 2 316.401 1.272 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2OC(C)C)C1 ZINC001078047479 753681094 /nfs/dbraw/zinc/68/10/94/753681094.db2.gz URZYELVBTMDCBY-HZPDHXFCSA-N 1 2 316.401 1.272 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3ccon3)C2)s1 ZINC001010331796 753770529 /nfs/dbraw/zinc/77/05/29/753770529.db2.gz VCIJQRSLNGEJSX-JTQLQIEISA-N 1 2 302.359 1.612 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3ccon3)C2)s1 ZINC001010331796 753770536 /nfs/dbraw/zinc/77/05/36/753770536.db2.gz VCIJQRSLNGEJSX-JTQLQIEISA-N 1 2 302.359 1.612 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)c3cocn3)C2)ccc1F ZINC001010373142 753828195 /nfs/dbraw/zinc/82/81/95/753828195.db2.gz BCMQEWYKVRSAFX-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@@H](NC(=O)c3cocn3)C2)ccc1F ZINC001010373142 753828201 /nfs/dbraw/zinc/82/82/01/753828201.db2.gz BCMQEWYKVRSAFX-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2coc(C3CCCCC3)n2)C1 ZINC001078259641 753904749 /nfs/dbraw/zinc/90/47/49/753904749.db2.gz SGSVNQBAOISIKI-UKRRQHHQSA-N 1 2 317.389 1.130 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2coc(C3CCCCC3)n2)C1 ZINC001078259641 753904751 /nfs/dbraw/zinc/90/47/51/753904751.db2.gz SGSVNQBAOISIKI-UKRRQHHQSA-N 1 2 317.389 1.130 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001078295822 753943183 /nfs/dbraw/zinc/94/31/83/753943183.db2.gz AQOBPQWJOYYAEU-ZIAGYGMSSA-N 1 2 308.328 1.206 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001078295822 753943186 /nfs/dbraw/zinc/94/31/86/753943186.db2.gz AQOBPQWJOYYAEU-ZIAGYGMSSA-N 1 2 308.328 1.206 20 30 DDEDLO CCN(C(=O)c1ccc(C#N)[nH]1)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001063101904 753976006 /nfs/dbraw/zinc/97/60/06/753976006.db2.gz AHZTUOYUXDQCHK-CQSZACIVSA-N 1 2 324.388 1.726 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H](CC)C(N)=O ZINC001039897320 762256841 /nfs/dbraw/zinc/25/68/41/762256841.db2.gz YAISOXDDRYJPAC-KRXQYRFLSA-N 1 2 321.465 1.918 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H](CC)C(N)=O ZINC001039897320 762256845 /nfs/dbraw/zinc/25/68/45/762256845.db2.gz YAISOXDDRYJPAC-KRXQYRFLSA-N 1 2 321.465 1.918 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCCN1C(=O)CCC ZINC001032798369 754024691 /nfs/dbraw/zinc/02/46/91/754024691.db2.gz AHLVPIXPYZNEHC-JYJNAYRXSA-N 1 2 317.433 1.086 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCCN1C(=O)CCC ZINC001032798369 754024699 /nfs/dbraw/zinc/02/46/99/754024699.db2.gz AHLVPIXPYZNEHC-JYJNAYRXSA-N 1 2 317.433 1.086 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCO[C@H](C[NH2+]Cc2nc(C)no2)C1 ZINC001078441576 754089232 /nfs/dbraw/zinc/08/92/32/754089232.db2.gz YKOYXFYRSRVUKZ-CYBMUJFWSA-N 1 2 322.409 1.297 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001010687581 754099835 /nfs/dbraw/zinc/09/98/35/754099835.db2.gz GNKZPDWZBGVQFO-DGCLKSJQSA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001010687581 754099842 /nfs/dbraw/zinc/09/98/42/754099842.db2.gz GNKZPDWZBGVQFO-DGCLKSJQSA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1nc(NC[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001060997770 754275900 /nfs/dbraw/zinc/27/59/00/754275900.db2.gz LPXLBMQZJCUMGK-CYBMUJFWSA-N 1 2 324.388 1.488 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN2C(=O)CCc2c[nH]c[nH+]2)cn1 ZINC001065035010 755037545 /nfs/dbraw/zinc/03/75/45/755037545.db2.gz YYJHHZNZOMJTTB-INIZCTEOSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN2C(=O)CCc2c[nH+]c[nH]2)cn1 ZINC001065035010 755037552 /nfs/dbraw/zinc/03/75/52/755037552.db2.gz YYJHHZNZOMJTTB-INIZCTEOSA-N 1 2 324.388 1.712 20 30 DDEDLO CCN(C(=O)CCc1[nH]cc[nH+]1)C1CN(C(=O)C#CC(C)C)C1 ZINC001079690886 755529594 /nfs/dbraw/zinc/52/95/94/755529594.db2.gz BKTDDMKFNSUZMA-UHFFFAOYSA-N 1 2 316.405 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001079991487 755668227 /nfs/dbraw/zinc/66/82/27/755668227.db2.gz JQJLIQGDIJOGMJ-MRVWCRGKSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001079991487 755668228 /nfs/dbraw/zinc/66/82/28/755668228.db2.gz JQJLIQGDIJOGMJ-MRVWCRGKSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001014398499 755717364 /nfs/dbraw/zinc/71/73/64/755717364.db2.gz AUNDDPDJKMTYIN-CYBMUJFWSA-N 1 2 309.797 1.100 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001014398499 755717368 /nfs/dbraw/zinc/71/73/68/755717368.db2.gz AUNDDPDJKMTYIN-CYBMUJFWSA-N 1 2 309.797 1.100 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080351121 755863904 /nfs/dbraw/zinc/86/39/04/755863904.db2.gz NZUTYMQGTFIBRY-KRWDZBQOSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(N(C)C)nc2)C1 ZINC001015048593 756091300 /nfs/dbraw/zinc/09/13/00/756091300.db2.gz GPPGYGABZGRIOO-ZDUSSCGKSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(N(C)C)nc2)C1 ZINC001015048593 756091308 /nfs/dbraw/zinc/09/13/08/756091308.db2.gz GPPGYGABZGRIOO-ZDUSSCGKSA-N 1 2 308.813 1.704 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H](NC(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC001015299098 756227316 /nfs/dbraw/zinc/22/73/16/756227316.db2.gz IEDOLAVFUZBQJZ-SNVBAGLBSA-N 1 2 314.311 1.564 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H](NC(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC001015299098 756227319 /nfs/dbraw/zinc/22/73/19/756227319.db2.gz IEDOLAVFUZBQJZ-SNVBAGLBSA-N 1 2 314.311 1.564 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccn(C(C)C)n2)C1 ZINC001015342422 756251605 /nfs/dbraw/zinc/25/16/05/756251605.db2.gz BXKKBQHLIHXCKM-CQSZACIVSA-N 1 2 310.829 1.950 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccn(C(C)C)n2)C1 ZINC001015342422 756251607 /nfs/dbraw/zinc/25/16/07/756251607.db2.gz BXKKBQHLIHXCKM-CQSZACIVSA-N 1 2 310.829 1.950 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1ccc[nH]1 ZINC001081356926 756311321 /nfs/dbraw/zinc/31/13/21/756311321.db2.gz YRWXXGDABXJSGF-QZTJIDSGSA-N 1 2 323.396 1.495 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1ccc[nH]1 ZINC001081356926 756311326 /nfs/dbraw/zinc/31/13/26/756311326.db2.gz YRWXXGDABXJSGF-QZTJIDSGSA-N 1 2 323.396 1.495 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001015610931 756410492 /nfs/dbraw/zinc/41/04/92/756410492.db2.gz GAYJZLOXPORJFH-RDJZCZTQSA-N 1 2 317.364 1.377 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001015610931 756410495 /nfs/dbraw/zinc/41/04/95/756410495.db2.gz GAYJZLOXPORJFH-RDJZCZTQSA-N 1 2 317.364 1.377 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ncoc2C(C)C)C[C@@H]1O ZINC001099727907 756435068 /nfs/dbraw/zinc/43/50/68/756435068.db2.gz SXSIDIYBTGWIIX-HIFRSBDPSA-N 1 2 321.421 1.816 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ncoc2C(C)C)C[C@@H]1O ZINC001099727907 756435069 /nfs/dbraw/zinc/43/50/69/756435069.db2.gz SXSIDIYBTGWIIX-HIFRSBDPSA-N 1 2 321.421 1.816 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cc(C)n(C)n3)C2)C1 ZINC001015674040 756456778 /nfs/dbraw/zinc/45/67/78/756456778.db2.gz UEGIHRSEJPZKCE-CQSZACIVSA-N 1 2 302.422 1.775 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cc(C)n(C)n3)C2)C1 ZINC001015674040 756456779 /nfs/dbraw/zinc/45/67/79/756456779.db2.gz UEGIHRSEJPZKCE-CQSZACIVSA-N 1 2 302.422 1.775 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+]([C@@H](C)c3cnccn3)C2)c1 ZINC001015678806 756460412 /nfs/dbraw/zinc/46/04/12/756460412.db2.gz OJZSVDWDZMUCSV-BBRMVZONSA-N 1 2 321.384 1.418 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+]([C@@H](C)c3cnccn3)C2)c1 ZINC001015678806 756460416 /nfs/dbraw/zinc/46/04/16/756460416.db2.gz OJZSVDWDZMUCSV-BBRMVZONSA-N 1 2 321.384 1.418 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)ccc2C2CC2)[C@H](OC)C1 ZINC001081980926 756584619 /nfs/dbraw/zinc/58/46/19/756584619.db2.gz JTJYBVYJEGUHAG-QZTJIDSGSA-N 1 2 312.413 1.935 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)ccc2C2CC2)[C@H](OC)C1 ZINC001081980926 756584623 /nfs/dbraw/zinc/58/46/23/756584623.db2.gz JTJYBVYJEGUHAG-QZTJIDSGSA-N 1 2 312.413 1.935 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001082038089 756600040 /nfs/dbraw/zinc/60/00/40/756600040.db2.gz QQKMSXYDKUERMT-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1cccnn1 ZINC001015889220 756623471 /nfs/dbraw/zinc/62/34/71/756623471.db2.gz QHQIXJYSLQRVNV-INIZCTEOSA-N 1 2 324.359 1.472 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1cccnn1 ZINC001015889220 756623473 /nfs/dbraw/zinc/62/34/73/756623473.db2.gz QHQIXJYSLQRVNV-INIZCTEOSA-N 1 2 324.359 1.472 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C)c2Cl)[C@H](OC)C1 ZINC001082250994 756709254 /nfs/dbraw/zinc/70/92/54/756709254.db2.gz QLFOELUVCACLOT-ZIAGYGMSSA-N 1 2 306.793 1.711 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C)c2Cl)[C@H](OC)C1 ZINC001082250994 756709257 /nfs/dbraw/zinc/70/92/57/756709257.db2.gz QLFOELUVCACLOT-ZIAGYGMSSA-N 1 2 306.793 1.711 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cncs3)C2)nc1 ZINC001016028463 756731764 /nfs/dbraw/zinc/73/17/64/756731764.db2.gz YLBGHBFITBLYDR-ZDUSSCGKSA-N 1 2 312.398 1.524 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cncs3)C2)nc1 ZINC001016028463 756731767 /nfs/dbraw/zinc/73/17/67/756731767.db2.gz YLBGHBFITBLYDR-ZDUSSCGKSA-N 1 2 312.398 1.524 20 30 DDEDLO Cn1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001016253559 756873696 /nfs/dbraw/zinc/87/36/96/756873696.db2.gz NGPAOLHBSBLXMT-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001016253559 756873705 /nfs/dbraw/zinc/87/37/05/756873705.db2.gz NGPAOLHBSBLXMT-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H](C)N2CCCCC2=O)C1 ZINC001016319755 756926103 /nfs/dbraw/zinc/92/61/03/756926103.db2.gz DJWUOZVKRAGSGI-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H](C)N2CCCCC2=O)C1 ZINC001016319755 756926104 /nfs/dbraw/zinc/92/61/04/756926104.db2.gz DJWUOZVKRAGSGI-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO N#Cc1cnccc1N[C@H]1C[C@@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001097197132 756966036 /nfs/dbraw/zinc/96/60/36/756966036.db2.gz KUOXGLICTGGXNX-GASCZTMLSA-N 1 2 324.388 1.111 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](CNC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001097308506 757071481 /nfs/dbraw/zinc/07/14/81/757071481.db2.gz YYYKELGZSAKXRN-HNNXBMFYSA-N 1 2 324.388 1.183 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001097316580 757086822 /nfs/dbraw/zinc/08/68/22/757086822.db2.gz OTWZZNANFSFZAU-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3[nH]c(C)cc3C)[C@H]2C1 ZINC001083122520 757126911 /nfs/dbraw/zinc/12/69/11/757126911.db2.gz XLNBMZMGNMVMBM-LSDHHAIUSA-N 1 2 301.390 1.180 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3[nH]c(C)cc3C)[C@H]2C1 ZINC001083122520 757126913 /nfs/dbraw/zinc/12/69/13/757126913.db2.gz XLNBMZMGNMVMBM-LSDHHAIUSA-N 1 2 301.390 1.180 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc3ccccc3c1O)C2 ZINC001097415141 757161034 /nfs/dbraw/zinc/16/10/34/757161034.db2.gz VZOQEFKYSZVRSD-JLZZUVOBSA-N 1 2 321.380 1.496 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc3ccccc3c1O)C2 ZINC001097415141 757161038 /nfs/dbraw/zinc/16/10/38/757161038.db2.gz VZOQEFKYSZVRSD-JLZZUVOBSA-N 1 2 321.380 1.496 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2CC(c3cccc(F)c3)C2)[C@@H](O)C1 ZINC001084122095 757274879 /nfs/dbraw/zinc/27/48/79/757274879.db2.gz BDZODCLMFDQRAO-MMADZMRASA-N 1 2 316.376 1.114 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2CC(c3cccc(F)c3)C2)[C@@H](O)C1 ZINC001084122095 757274883 /nfs/dbraw/zinc/27/48/83/757274883.db2.gz BDZODCLMFDQRAO-MMADZMRASA-N 1 2 316.376 1.114 20 30 DDEDLO Cc1cc(N[C@@H](C)CNC(=O)CSCC#N)nc(C2CC2)[nH+]1 ZINC001097675795 757346597 /nfs/dbraw/zinc/34/65/97/757346597.db2.gz FRVHZMPCFIGVBK-NSHDSACASA-N 1 2 319.434 1.836 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)n3cccn3)[C@@H]2C1 ZINC001084202405 757389159 /nfs/dbraw/zinc/38/91/59/757389159.db2.gz KULRVFCZSSOMKK-BFHYXJOUSA-N 1 2 308.813 1.729 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)n3cccn3)[C@@H]2C1 ZINC001084202405 757389167 /nfs/dbraw/zinc/38/91/67/757389167.db2.gz KULRVFCZSSOMKK-BFHYXJOUSA-N 1 2 308.813 1.729 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@H+](CC=C(Cl)Cl)C[C@H]21 ZINC001084236336 757437753 /nfs/dbraw/zinc/43/77/53/757437753.db2.gz FHDBNCYAEVCVKI-HBNTYKKESA-N 1 2 302.205 1.998 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](CC=C(Cl)Cl)C[C@H]21 ZINC001084236336 757437757 /nfs/dbraw/zinc/43/77/57/757437757.db2.gz FHDBNCYAEVCVKI-HBNTYKKESA-N 1 2 302.205 1.998 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ocnc3C(F)(F)F)[C@@H]2C1 ZINC001084546122 757654854 /nfs/dbraw/zinc/65/48/54/757654854.db2.gz ISTJTTVVYZZIMP-NXEZZACHSA-N 1 2 313.279 1.473 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ocnc3C(F)(F)F)[C@@H]2C1 ZINC001084546122 757654863 /nfs/dbraw/zinc/65/48/63/757654863.db2.gz ISTJTTVVYZZIMP-NXEZZACHSA-N 1 2 313.279 1.473 20 30 DDEDLO O=C(c1cnco1)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084557768 757663087 /nfs/dbraw/zinc/66/30/87/757663087.db2.gz NYDYJTMVHMGTCW-IAGOWNOFSA-N 1 2 321.380 1.873 20 30 DDEDLO O=C(c1cnco1)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084557768 757663090 /nfs/dbraw/zinc/66/30/90/757663090.db2.gz NYDYJTMVHMGTCW-IAGOWNOFSA-N 1 2 321.380 1.873 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)CC)C1=O ZINC001017365784 757866758 /nfs/dbraw/zinc/86/67/58/757866758.db2.gz DRWNNWUBUYNUSK-CAOSSQGBSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)CC)C1=O ZINC001017365784 757866771 /nfs/dbraw/zinc/86/67/71/757866771.db2.gz DRWNNWUBUYNUSK-CAOSSQGBSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@H](C)CCC)CC2=O)C1 ZINC001108521824 762581677 /nfs/dbraw/zinc/58/16/77/762581677.db2.gz JSWNNHRRTQTEPH-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cccnc2n1 ZINC001017570386 758039787 /nfs/dbraw/zinc/03/97/87/758039787.db2.gz BXIKOJNCIQXDSF-IYBDPMFKSA-N 1 2 320.396 1.942 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cccnc2n1 ZINC001017570386 758039796 /nfs/dbraw/zinc/03/97/96/758039796.db2.gz BXIKOJNCIQXDSF-IYBDPMFKSA-N 1 2 320.396 1.942 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2[nH]c(C)nc2c1 ZINC001017607222 758075300 /nfs/dbraw/zinc/07/53/00/758075300.db2.gz XGOWFLZNYGTWPP-OKILXGFUSA-N 1 2 309.373 1.188 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2[nH]c(C)nc2c1 ZINC001017607222 758075308 /nfs/dbraw/zinc/07/53/08/758075308.db2.gz XGOWFLZNYGTWPP-OKILXGFUSA-N 1 2 309.373 1.188 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CC[C@@H](C2CC2)O1 ZINC001017756464 758210067 /nfs/dbraw/zinc/21/00/67/758210067.db2.gz MMNJYELRUUEIQF-YVSFHVDLSA-N 1 2 302.418 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CC[C@@H](C2CC2)O1 ZINC001017756464 758210081 /nfs/dbraw/zinc/21/00/81/758210081.db2.gz MMNJYELRUUEIQF-YVSFHVDLSA-N 1 2 302.418 1.643 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnn(CC)c1)CCO2 ZINC001053192879 758251303 /nfs/dbraw/zinc/25/13/03/758251303.db2.gz HEXJEVRSENHEKT-UHFFFAOYSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1nccn1CC)CCO2 ZINC001053240300 758297344 /nfs/dbraw/zinc/29/73/44/758297344.db2.gz VGVCOQFJOGKWEE-UHFFFAOYSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1csc(C)n1)CCO2 ZINC001053391958 758425615 /nfs/dbraw/zinc/42/56/15/758425615.db2.gz JVOZSAZGLMNXIZ-UHFFFAOYSA-N 1 2 307.419 1.554 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@H](CCC)C1 ZINC001018106533 758552570 /nfs/dbraw/zinc/55/25/70/758552570.db2.gz BXPMFVCRVHZLTR-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@H](CCC)C1 ZINC001018106533 758552572 /nfs/dbraw/zinc/55/25/72/758552572.db2.gz BXPMFVCRVHZLTR-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)nn1C ZINC001018134947 758570093 /nfs/dbraw/zinc/57/00/93/758570093.db2.gz KZYYREWFQKBPME-OKILXGFUSA-N 1 2 300.406 1.466 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)nn1C ZINC001018134947 758570102 /nfs/dbraw/zinc/57/01/02/758570102.db2.gz KZYYREWFQKBPME-OKILXGFUSA-N 1 2 300.406 1.466 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccncc1)O2 ZINC001053564935 758570822 /nfs/dbraw/zinc/57/08/22/758570822.db2.gz ISDYPEZLEIPQBW-HNNXBMFYSA-N 1 2 301.390 1.621 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c[nH]c(=O)cc1C)O2 ZINC001053662361 758675982 /nfs/dbraw/zinc/67/59/82/758675982.db2.gz KNGKTCNWMWXRCO-ZDUSSCGKSA-N 1 2 317.389 1.245 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC)N1CCCC1=O ZINC001018286706 758699098 /nfs/dbraw/zinc/69/90/98/758699098.db2.gz VKSVRWVMOSSYPE-XHSDSOJGSA-N 1 2 317.433 1.086 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC)N1CCCC1=O ZINC001018286706 758699101 /nfs/dbraw/zinc/69/91/01/758699101.db2.gz VKSVRWVMOSSYPE-XHSDSOJGSA-N 1 2 317.433 1.086 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1sccc1OC)O2 ZINC001053691198 758699858 /nfs/dbraw/zinc/69/98/58/758699858.db2.gz UTBKGELLFREONP-GFCCVEGCSA-N 1 2 322.430 1.906 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1noc2c1COCC2 ZINC001018290668 758702979 /nfs/dbraw/zinc/70/29/79/758702979.db2.gz AIEJTDDNZQOZHW-TXEJJXNPSA-N 1 2 303.362 1.222 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1noc2c1COCC2 ZINC001018290668 758702985 /nfs/dbraw/zinc/70/29/85/758702985.db2.gz AIEJTDDNZQOZHW-TXEJJXNPSA-N 1 2 303.362 1.222 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)C3CCC3)CC2)C1 ZINC001065690344 758707871 /nfs/dbraw/zinc/70/78/71/758707871.db2.gz CEQDVQDVSPPHTP-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CCC)CC2)C1 ZINC001065700965 758717108 /nfs/dbraw/zinc/71/71/08/758717108.db2.gz VLEDLDVZFQCPIM-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065711013 758729109 /nfs/dbraw/zinc/72/91/09/758729109.db2.gz HQDYIHRXDWFLQW-HZPDHXFCSA-N 1 2 321.465 1.744 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COc2ccccc21 ZINC001018339099 758741342 /nfs/dbraw/zinc/74/13/42/758741342.db2.gz IFXDXASQPVJDTB-HLLBOEOZSA-N 1 2 310.397 1.861 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COc2ccccc21 ZINC001018339099 758741348 /nfs/dbraw/zinc/74/13/48/758741348.db2.gz IFXDXASQPVJDTB-HLLBOEOZSA-N 1 2 310.397 1.861 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)sc1C)CO2 ZINC001053859635 758892379 /nfs/dbraw/zinc/89/23/79/758892379.db2.gz LUHYWXSWMMLMRP-CQSZACIVSA-N 1 2 318.442 1.961 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001065972137 758966592 /nfs/dbraw/zinc/96/65/92/758966592.db2.gz INXJELKGYUKZLZ-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)cccc1C)CO2 ZINC001053935334 758970603 /nfs/dbraw/zinc/97/06/03/758970603.db2.gz LIIFWYTXZWEGOB-MRXNPFEDSA-N 1 2 312.413 1.900 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C(C)C)no1)CO2 ZINC001053945659 758982062 /nfs/dbraw/zinc/98/20/62/758982062.db2.gz CMBPLLKSXDZUOI-CYBMUJFWSA-N 1 2 319.405 1.947 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnc(C)nc1C)CO2 ZINC001053959672 758996755 /nfs/dbraw/zinc/99/67/55/758996755.db2.gz DYXLQCAKNIFWRZ-CQSZACIVSA-N 1 2 316.405 1.243 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(F)cc1C)CO2 ZINC001053988971 759032520 /nfs/dbraw/zinc/03/25/20/759032520.db2.gz VLISPLHRURNDDF-HNNXBMFYSA-N 1 2 316.376 1.731 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1nc(C)c(C)s1)CO2 ZINC001054012012 759060310 /nfs/dbraw/zinc/06/03/10/759060310.db2.gz UXXDHHBLORSYKY-CYBMUJFWSA-N 1 2 321.446 1.909 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccc(C)s1)CO2 ZINC001054017296 759067363 /nfs/dbraw/zinc/06/73/63/759067363.db2.gz VPRJTWHEQLLAMM-CQSZACIVSA-N 1 2 318.442 1.582 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nocc1C ZINC001054057796 759110639 /nfs/dbraw/zinc/11/06/39/759110639.db2.gz SBBMPESKHYMHBH-MRXNPFEDSA-N 1 2 309.369 1.773 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nocc1C ZINC001054057796 759110643 /nfs/dbraw/zinc/11/06/43/759110643.db2.gz SBBMPESKHYMHBH-MRXNPFEDSA-N 1 2 309.369 1.773 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1[nH]cnc1CC ZINC001054059130 759112093 /nfs/dbraw/zinc/11/20/93/759112093.db2.gz HELOAGXDKJLWOG-MRXNPFEDSA-N 1 2 322.412 1.762 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1[nH]cnc1CC ZINC001054059130 759112098 /nfs/dbraw/zinc/11/20/98/759112098.db2.gz HELOAGXDKJLWOG-MRXNPFEDSA-N 1 2 322.412 1.762 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@@H]3CCOC[C@@H]3C)cc2C1 ZINC001054280389 759386864 /nfs/dbraw/zinc/38/68/64/759386864.db2.gz IJWPMBJBQLDMFJ-KBXCAEBGSA-N 1 2 312.413 1.924 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@@H]3CCOC[C@@H]3C)cc2C1 ZINC001054280389 759386874 /nfs/dbraw/zinc/38/68/74/759386874.db2.gz IJWPMBJBQLDMFJ-KBXCAEBGSA-N 1 2 312.413 1.924 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@]34CCOC4)cc2C1 ZINC001054288771 759396165 /nfs/dbraw/zinc/39/61/65/759396165.db2.gz IWFQUEGJRCOTGL-HKUYNNGSSA-N 1 2 310.397 1.678 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@]34CCOC4)cc2C1 ZINC001054288771 759396178 /nfs/dbraw/zinc/39/61/78/759396178.db2.gz IWFQUEGJRCOTGL-HKUYNNGSSA-N 1 2 310.397 1.678 20 30 DDEDLO CCCC(C)(C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131057307 767857339 /nfs/dbraw/zinc/85/73/39/767857339.db2.gz CFDFSLHFZYNOMN-ZIAGYGMSSA-N 1 2 317.437 1.654 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)[C@@H]1CCOC1 ZINC001085507295 759572520 /nfs/dbraw/zinc/57/25/20/759572520.db2.gz DOZPJMBLKVVRPX-QZTJIDSGSA-N 1 2 312.413 1.607 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)[C@@H]1CCOC1 ZINC001085507295 759572527 /nfs/dbraw/zinc/57/25/27/759572527.db2.gz DOZPJMBLKVVRPX-QZTJIDSGSA-N 1 2 312.413 1.607 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(-n2cccc2)c1 ZINC001085657262 759944152 /nfs/dbraw/zinc/94/41/52/759944152.db2.gz MPMWAXJSIFERJH-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(-n2cccc2)c1 ZINC001085657262 759944164 /nfs/dbraw/zinc/94/41/64/759944164.db2.gz MPMWAXJSIFERJH-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncoc1C(F)(F)F ZINC001085679272 759991949 /nfs/dbraw/zinc/99/19/49/759991949.db2.gz FKEQOXVRWGXEFD-VIFPVBQESA-N 1 2 301.268 1.473 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncoc1C(F)(F)F ZINC001085679272 759991953 /nfs/dbraw/zinc/99/19/53/759991953.db2.gz FKEQOXVRWGXEFD-VIFPVBQESA-N 1 2 301.268 1.473 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cncn1C ZINC001085702298 760060439 /nfs/dbraw/zinc/06/04/39/760060439.db2.gz MOHDTKPXBFKDCP-QGZVFWFLSA-N 1 2 322.412 1.618 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cncn1C ZINC001085702298 760060451 /nfs/dbraw/zinc/06/04/51/760060451.db2.gz MOHDTKPXBFKDCP-QGZVFWFLSA-N 1 2 322.412 1.618 20 30 DDEDLO Cc1n[nH]cc1C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001085752732 760155813 /nfs/dbraw/zinc/15/58/13/760155813.db2.gz NKCHRKOOCBZUQE-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1n[nH]cc1C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001085752732 760155816 /nfs/dbraw/zinc/15/58/16/760155816.db2.gz NKCHRKOOCBZUQE-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(-n2cccn2)c1 ZINC001085811178 760280693 /nfs/dbraw/zinc/28/06/93/760280693.db2.gz YFYNSAXEKQEZKL-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(-n2cccn2)c1 ZINC001085811178 760280699 /nfs/dbraw/zinc/28/06/99/760280699.db2.gz YFYNSAXEKQEZKL-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(CC)ncnc1CC ZINC001085823371 760311632 /nfs/dbraw/zinc/31/16/32/760311632.db2.gz HJSDDUBATXLJEI-CQSZACIVSA-N 1 2 314.433 1.771 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(CC)ncnc1CC ZINC001085823371 760311644 /nfs/dbraw/zinc/31/16/44/760311644.db2.gz HJSDDUBATXLJEI-CQSZACIVSA-N 1 2 314.433 1.771 20 30 DDEDLO N#Cc1ccc(N2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C3)cn1 ZINC001055081446 760316938 /nfs/dbraw/zinc/31/69/38/760316938.db2.gz IOTMYVZUNSMTRY-SNPRPXQTSA-N 1 2 322.372 1.145 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1csc2cncn21 ZINC001085849800 760370220 /nfs/dbraw/zinc/37/02/20/760370220.db2.gz HHZUONDIVAZJJS-GFCCVEGCSA-N 1 2 302.403 1.565 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1csc2cncn21 ZINC001085849800 760370227 /nfs/dbraw/zinc/37/02/27/760370227.db2.gz HHZUONDIVAZJJS-GFCCVEGCSA-N 1 2 302.403 1.565 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001108215352 760397829 /nfs/dbraw/zinc/39/78/29/760397829.db2.gz DTPZBZYYWRBMAW-QAPCUYQASA-N 1 2 315.417 1.190 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001108215352 760397840 /nfs/dbraw/zinc/39/78/40/760397840.db2.gz DTPZBZYYWRBMAW-QAPCUYQASA-N 1 2 315.417 1.190 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001085870766 760420702 /nfs/dbraw/zinc/42/07/02/760420702.db2.gz DBYIXNFQRKMMKF-KRWDZBQOSA-N 1 2 308.385 1.856 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001085870766 760420705 /nfs/dbraw/zinc/42/07/05/760420705.db2.gz DBYIXNFQRKMMKF-KRWDZBQOSA-N 1 2 308.385 1.856 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@@H]2C1 ZINC001055362504 760475855 /nfs/dbraw/zinc/47/58/55/760475855.db2.gz BDHJWPIJDFOECG-GASCZTMLSA-N 1 2 316.405 1.156 20 30 DDEDLO N#Cc1ccc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)Cc2[nH]cc[nH+]2)C3)nc1 ZINC001055378687 760481569 /nfs/dbraw/zinc/48/15/69/760481569.db2.gz CIFKARIRXXDPAK-MCIONIFRSA-N 1 2 322.372 1.145 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)nc1OCC ZINC001085898594 760488436 /nfs/dbraw/zinc/48/84/36/760488436.db2.gz JAQZPYRTLBYBCH-CQSZACIVSA-N 1 2 301.390 1.568 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)nc1OCC ZINC001085898594 760488441 /nfs/dbraw/zinc/48/84/41/760488441.db2.gz JAQZPYRTLBYBCH-CQSZACIVSA-N 1 2 301.390 1.568 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)C[C@H]1CC[N@H+]1CC#CC ZINC001085916576 760524075 /nfs/dbraw/zinc/52/40/75/760524075.db2.gz CJFPKRINPUUSCR-OAHLLOKOSA-N 1 2 313.401 1.816 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CC ZINC001085916576 760524083 /nfs/dbraw/zinc/52/40/83/760524083.db2.gz CJFPKRINPUUSCR-OAHLLOKOSA-N 1 2 313.401 1.816 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1coc(C2CCOCC2)n1 ZINC001085915059 760530551 /nfs/dbraw/zinc/53/05/51/760530551.db2.gz RGFTXPBROBOQPP-AWEZNQCLSA-N 1 2 317.389 1.348 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1coc(C2CCOCC2)n1 ZINC001085915059 760530552 /nfs/dbraw/zinc/53/05/52/760530552.db2.gz RGFTXPBROBOQPP-AWEZNQCLSA-N 1 2 317.389 1.348 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OC)cc1OC ZINC001085943261 760572905 /nfs/dbraw/zinc/57/29/05/760572905.db2.gz CZBACZWILNYIEG-ZDUSSCGKSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OC)cc1OC ZINC001085943261 760572908 /nfs/dbraw/zinc/57/29/08/760572908.db2.gz CZBACZWILNYIEG-ZDUSSCGKSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc2c(cccc2OC)[nH]1 ZINC001085967400 760622534 /nfs/dbraw/zinc/62/25/34/760622534.db2.gz KZQBKYJPPAFCLY-CYBMUJFWSA-N 1 2 311.385 1.956 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc2c(cccc2OC)[nH]1 ZINC001085967400 760622536 /nfs/dbraw/zinc/62/25/36/760622536.db2.gz KZQBKYJPPAFCLY-CYBMUJFWSA-N 1 2 311.385 1.956 20 30 DDEDLO Cc1nc[nH]c1C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001086002868 760697121 /nfs/dbraw/zinc/69/71/21/760697121.db2.gz UIZZQKUXEDOPMW-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1nc[nH]c1C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001086002868 760697129 /nfs/dbraw/zinc/69/71/29/760697129.db2.gz UIZZQKUXEDOPMW-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001046851895 767984827 /nfs/dbraw/zinc/98/48/27/767984827.db2.gz KCZVHYHHAHKFJU-WFASDCNBSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001046851895 767984830 /nfs/dbraw/zinc/98/48/30/767984830.db2.gz KCZVHYHHAHKFJU-WFASDCNBSA-N 1 2 323.828 1.174 20 30 DDEDLO CCc1nocc1C[N@@H+]1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038167412 760878891 /nfs/dbraw/zinc/87/88/91/760878891.db2.gz GLNGMKQISLPSOA-ZDUSSCGKSA-N 1 2 313.361 1.441 20 30 DDEDLO CCc1nocc1C[N@H+]1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038167412 760878900 /nfs/dbraw/zinc/87/89/00/760878900.db2.gz GLNGMKQISLPSOA-ZDUSSCGKSA-N 1 2 313.361 1.441 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)/C=C\C(C)(C)C)CC1 ZINC001131339806 767998021 /nfs/dbraw/zinc/99/80/21/767998021.db2.gz QQAOAIRAVRILAK-VURMDHGXSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)/C=C\C(C)(C)C)CC1 ZINC001131339806 767998029 /nfs/dbraw/zinc/99/80/29/767998029.db2.gz QQAOAIRAVRILAK-VURMDHGXSA-N 1 2 307.438 1.425 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn2c1ccc(C)c2C ZINC001038370293 761057791 /nfs/dbraw/zinc/05/77/91/761057791.db2.gz YJWUBOZZMQIPNI-OAHLLOKOSA-N 1 2 310.401 1.779 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn2c1ccc(C)c2C ZINC001038370293 761057797 /nfs/dbraw/zinc/05/77/97/761057797.db2.gz YJWUBOZZMQIPNI-OAHLLOKOSA-N 1 2 310.401 1.779 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccn(-c2ccccc2)n1 ZINC001038445200 761124910 /nfs/dbraw/zinc/12/49/10/761124910.db2.gz GXRDORLKAHLYGA-MRXNPFEDSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccn(-c2ccccc2)n1 ZINC001038445200 761124916 /nfs/dbraw/zinc/12/49/16/761124916.db2.gz GXRDORLKAHLYGA-MRXNPFEDSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CCN1CCO[C@](C)(CNC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001108249618 761127284 /nfs/dbraw/zinc/12/72/84/761127284.db2.gz INHGTJTZYMAMCR-DOTOQJQBSA-N 1 2 318.421 1.065 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccnc1N1CCCC1 ZINC001038474829 761147750 /nfs/dbraw/zinc/14/77/50/761147750.db2.gz ZISZHPLJYOPOOS-OAHLLOKOSA-N 1 2 312.417 1.509 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccnc1N1CCCC1 ZINC001038474829 761147754 /nfs/dbraw/zinc/14/77/54/761147754.db2.gz ZISZHPLJYOPOOS-OAHLLOKOSA-N 1 2 312.417 1.509 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC[C@@H]2CCCCO2)C1 ZINC001108252212 761157011 /nfs/dbraw/zinc/15/70/11/761157011.db2.gz SMQUVMNSKZAZBG-FUHWJXTLSA-N 1 2 322.449 1.566 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC[C@@H]2CCCCO2)C1 ZINC001108252212 761157014 /nfs/dbraw/zinc/15/70/14/761157014.db2.gz SMQUVMNSKZAZBG-FUHWJXTLSA-N 1 2 322.449 1.566 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cc(C)ns1 ZINC001038714048 761317043 /nfs/dbraw/zinc/31/70/43/761317043.db2.gz IXDFXLDOPHSFLB-YUELXQCFSA-N 1 2 321.446 1.733 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cc(C)ns1 ZINC001038714048 761317054 /nfs/dbraw/zinc/31/70/54/761317054.db2.gz IXDFXLDOPHSFLB-YUELXQCFSA-N 1 2 321.446 1.733 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001038808990 761426777 /nfs/dbraw/zinc/42/67/77/761426777.db2.gz VNVPVMWRYFCUJI-PMPSAXMXSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001038808990 761426781 /nfs/dbraw/zinc/42/67/81/761426781.db2.gz VNVPVMWRYFCUJI-PMPSAXMXSA-N 1 2 318.421 1.092 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001038866102 761489488 /nfs/dbraw/zinc/48/94/88/761489488.db2.gz WNMGAYLIYRWLFZ-CHWSQXEVSA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001038866102 761489494 /nfs/dbraw/zinc/48/94/94/761489494.db2.gz WNMGAYLIYRWLFZ-CHWSQXEVSA-N 1 2 300.406 1.362 20 30 DDEDLO Cc1cnoc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038870165 761494482 /nfs/dbraw/zinc/49/44/82/761494482.db2.gz RKBKDSDEGPOXKX-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cnoc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038870165 761494484 /nfs/dbraw/zinc/49/44/84/761494484.db2.gz RKBKDSDEGPOXKX-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001038880019 761504359 /nfs/dbraw/zinc/50/43/59/761504359.db2.gz SEIGQPWHXUFTKH-HNNXBMFYSA-N 1 2 309.373 1.299 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001038880019 761504362 /nfs/dbraw/zinc/50/43/62/761504362.db2.gz SEIGQPWHXUFTKH-HNNXBMFYSA-N 1 2 309.373 1.299 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1csc(C(F)(F)F)n1 ZINC001038884720 761508708 /nfs/dbraw/zinc/50/87/08/761508708.db2.gz NWOPGHHULFNSFR-MRVPVSSYSA-N 1 2 303.309 1.599 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1csc(C(F)(F)F)n1 ZINC001038884720 761508715 /nfs/dbraw/zinc/50/87/15/761508715.db2.gz NWOPGHHULFNSFR-MRVPVSSYSA-N 1 2 303.309 1.599 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc(OCC2CC2)cn1 ZINC001038909405 761535129 /nfs/dbraw/zinc/53/51/29/761535129.db2.gz FLHZIWRLGUWLQR-AWEZNQCLSA-N 1 2 314.389 1.093 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc(OCC2CC2)cn1 ZINC001038909405 761535134 /nfs/dbraw/zinc/53/51/34/761535134.db2.gz FLHZIWRLGUWLQR-AWEZNQCLSA-N 1 2 314.389 1.093 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(C(=O)N(C)C)c1 ZINC001038923198 761549078 /nfs/dbraw/zinc/54/90/78/761549078.db2.gz UCMVRJBKTNQTCR-INIZCTEOSA-N 1 2 313.401 1.216 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(C(=O)N(C)C)c1 ZINC001038923198 761549086 /nfs/dbraw/zinc/54/90/86/761549086.db2.gz UCMVRJBKTNQTCR-INIZCTEOSA-N 1 2 313.401 1.216 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001066562736 761798009 /nfs/dbraw/zinc/79/80/09/761798009.db2.gz ZSXHOPCQPKMPRB-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC([C@@H]2CCN(CC#N)C2)CC1 ZINC001039174509 761798933 /nfs/dbraw/zinc/79/89/33/761798933.db2.gz XSHVQJVVROJPOH-OAHLLOKOSA-N 1 2 315.421 1.047 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCC2(C1)CCN(CC#N)CC2 ZINC001040929214 762736102 /nfs/dbraw/zinc/73/61/02/762736102.db2.gz JIFGECWUYZDQOE-UHFFFAOYSA-N 1 2 315.421 1.191 20 30 DDEDLO CC1(C)CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001069619928 768077957 /nfs/dbraw/zinc/07/79/57/768077957.db2.gz RZENPSHLVJETKN-AWEZNQCLSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001108589000 762773743 /nfs/dbraw/zinc/77/37/43/762773743.db2.gz TZHIYOWVTZMOCF-JSGCOSHPSA-N 1 2 324.388 1.329 20 30 DDEDLO Cc1nc(N2CCCN(C(=O)Cn3cc[nH+]c3)CC2)ccc1C#N ZINC001057152305 762783858 /nfs/dbraw/zinc/78/38/58/762783858.db2.gz YBMDMPVEGMEOPT-UHFFFAOYSA-N 1 2 324.388 1.197 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001108686302 762848704 /nfs/dbraw/zinc/84/87/04/762848704.db2.gz COBBFUUCCKONDS-AAEUAGOBSA-N 1 2 324.388 1.713 20 30 DDEDLO N#CCN1C[C@@H]2CCCN(C(=O)c3cc4c[nH+]ccc4[nH]3)[C@@H]2C1 ZINC001050077009 763097877 /nfs/dbraw/zinc/09/78/77/763097877.db2.gz NGMDMGFWZVZPCY-BLLLJJGKSA-N 1 2 309.373 1.623 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@]2(CCN(CC#N)C2)C1)n1cc[nH+]c1 ZINC001041717808 763246912 /nfs/dbraw/zinc/24/69/12/763246912.db2.gz TVWDDDBAYWKSPX-ZBFHGGJFSA-N 1 2 301.394 1.282 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)C)C2 ZINC001109246043 763507988 /nfs/dbraw/zinc/50/79/88/763507988.db2.gz MMYADQCNBVTRGM-FZKCQIBNSA-N 1 2 319.449 1.282 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)C)C2 ZINC001109246043 763507996 /nfs/dbraw/zinc/50/79/96/763507996.db2.gz MMYADQCNBVTRGM-FZKCQIBNSA-N 1 2 319.449 1.282 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001109337943 763586923 /nfs/dbraw/zinc/58/69/23/763586923.db2.gz BZHREDYNHKBPOU-SNPRPXQTSA-N 1 2 317.437 1.639 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001109337943 763586926 /nfs/dbraw/zinc/58/69/26/763586926.db2.gz BZHREDYNHKBPOU-SNPRPXQTSA-N 1 2 317.437 1.639 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CC[C@@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001042057462 763600621 /nfs/dbraw/zinc/60/06/21/763600621.db2.gz JTNLTABHQIQXCE-HZPDHXFCSA-N 1 2 312.417 1.256 20 30 DDEDLO Cn1ccnc1C[N@H+]1CC[C@@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001042057462 763600626 /nfs/dbraw/zinc/60/06/26/763600626.db2.gz JTNLTABHQIQXCE-HZPDHXFCSA-N 1 2 312.417 1.256 20 30 DDEDLO Cc1nc(NCCCN(C)C(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001109472206 763741244 /nfs/dbraw/zinc/74/12/44/763741244.db2.gz AIRCKBYUKNCMNP-UHFFFAOYSA-N 1 2 312.377 1.419 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cc(C)on3)c2C1 ZINC001069851762 768180916 /nfs/dbraw/zinc/18/09/16/768180916.db2.gz UMUHSLJOZHGZAW-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cc(C)on3)c2C1 ZINC001069851762 768180921 /nfs/dbraw/zinc/18/09/21/768180921.db2.gz UMUHSLJOZHGZAW-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)OCCOC)C2 ZINC001109791172 764059451 /nfs/dbraw/zinc/05/94/51/764059451.db2.gz RNOYBXHQLKOSKH-MQYQWHSLSA-N 1 2 316.829 1.512 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)OCCOC)C2 ZINC001109791172 764059453 /nfs/dbraw/zinc/05/94/53/764059453.db2.gz RNOYBXHQLKOSKH-MQYQWHSLSA-N 1 2 316.829 1.512 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3[C@@H]4CCC[C@@H]43)c2C1 ZINC001069856760 768187870 /nfs/dbraw/zinc/18/78/70/768187870.db2.gz KVVFCPINSALNAI-QLPKVWCKSA-N 1 2 314.433 1.937 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3[C@@H]4CCC[C@@H]43)c2C1 ZINC001069856760 768187875 /nfs/dbraw/zinc/18/78/75/768187875.db2.gz KVVFCPINSALNAI-QLPKVWCKSA-N 1 2 314.433 1.937 20 30 DDEDLO N#Cc1ccc(NC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C2CC2)cn1 ZINC001109991244 764268887 /nfs/dbraw/zinc/26/88/87/764268887.db2.gz DNCJMPVJOHHGGQ-HNNXBMFYSA-N 1 2 310.361 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c(F)cccc1OC ZINC001050927795 764294100 /nfs/dbraw/zinc/29/41/00/764294100.db2.gz PXLFLTINSLDPGA-CYBMUJFWSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(F)cccc1OC ZINC001050927795 764294106 /nfs/dbraw/zinc/29/41/06/764294106.db2.gz PXLFLTINSLDPGA-CYBMUJFWSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(C)nc1C1CC1 ZINC001050943459 764317762 /nfs/dbraw/zinc/31/77/62/764317762.db2.gz NABULPWKPFONLQ-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(C)nc1C1CC1 ZINC001050943459 764317770 /nfs/dbraw/zinc/31/77/70/764317770.db2.gz NABULPWKPFONLQ-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnc(C2CC2)o1 ZINC001050958061 764349389 /nfs/dbraw/zinc/34/93/89/764349389.db2.gz QYOMOQCGWPEUDT-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnc(C2CC2)o1 ZINC001050958061 764349384 /nfs/dbraw/zinc/34/93/84/764349384.db2.gz QYOMOQCGWPEUDT-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1nc(C)sc1C ZINC001050979515 764377622 /nfs/dbraw/zinc/37/76/22/764377622.db2.gz WIOYUAWKAHEAOF-ZDUSSCGKSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nc(C)sc1C ZINC001050979515 764377627 /nfs/dbraw/zinc/37/76/27/764377627.db2.gz WIOYUAWKAHEAOF-ZDUSSCGKSA-N 1 2 309.435 1.767 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c2ccccc12 ZINC001051011396 764414995 /nfs/dbraw/zinc/41/49/95/764414995.db2.gz IVZJMAAFOPVDGD-CQSZACIVSA-N 1 2 311.385 1.622 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c2ccccc12 ZINC001051011396 764415000 /nfs/dbraw/zinc/41/50/00/764415000.db2.gz IVZJMAAFOPVDGD-CQSZACIVSA-N 1 2 311.385 1.622 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CCCN2C(=O)C(C)(C)C)C1 ZINC001042766864 764428037 /nfs/dbraw/zinc/42/80/37/764428037.db2.gz HLNBEPUMTBCXHB-CQSZACIVSA-N 1 2 307.438 1.352 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051058344 764460185 /nfs/dbraw/zinc/46/01/85/764460185.db2.gz CLTMLYFCLISDSI-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2COCC[N@H+]2CCCC)c1 ZINC001051108063 764513109 /nfs/dbraw/zinc/51/31/09/764513109.db2.gz YIPQTEFYSDKOON-INIZCTEOSA-N 1 2 301.390 1.294 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2COCC[N@@H+]2CCCC)c1 ZINC001051108063 764513114 /nfs/dbraw/zinc/51/31/14/764513114.db2.gz YIPQTEFYSDKOON-INIZCTEOSA-N 1 2 301.390 1.294 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)CCOC)C[C@@H](C)O2 ZINC001131619998 768220609 /nfs/dbraw/zinc/22/06/09/768220609.db2.gz AKTQDFRTJFPYPI-HIFRSBDPSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)CCOC)C[C@@H](C)O2 ZINC001131619998 768220611 /nfs/dbraw/zinc/22/06/11/768220611.db2.gz AKTQDFRTJFPYPI-HIFRSBDPSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C2CC2)no1 ZINC001051143340 764546934 /nfs/dbraw/zinc/54/69/34/764546934.db2.gz FQMXCLSFIAYVNH-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C2CC2)no1 ZINC001051143340 764546936 /nfs/dbraw/zinc/54/69/36/764546936.db2.gz FQMXCLSFIAYVNH-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(CCC)nc1C ZINC001051182108 764588492 /nfs/dbraw/zinc/58/84/92/764588492.db2.gz ARMLHEWOAMEGSZ-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(CCC)nc1C ZINC001051182108 764588499 /nfs/dbraw/zinc/58/84/99/764588499.db2.gz ARMLHEWOAMEGSZ-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(Cl)cn1 ZINC001051219440 764635457 /nfs/dbraw/zinc/63/54/57/764635457.db2.gz YREHFXAFZBGBQS-ZDUSSCGKSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(Cl)cn1 ZINC001051219440 764635460 /nfs/dbraw/zinc/63/54/60/764635460.db2.gz YREHFXAFZBGBQS-ZDUSSCGKSA-N 1 2 309.797 1.742 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc([C@@H]3CCOC3)c2)C1 ZINC001043170159 764733582 /nfs/dbraw/zinc/73/35/82/764733582.db2.gz REHKBFAHJJMZNH-QGZVFWFLSA-N 1 2 312.413 1.970 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001043295822 764816515 /nfs/dbraw/zinc/81/65/15/764816515.db2.gz QOXWFJLBWWQTJN-DGCLKSJQSA-N 1 2 310.361 1.178 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C[C@H]2CCOC[C@@H]2OCC)C1 ZINC001043374324 764868474 /nfs/dbraw/zinc/86/84/74/764868474.db2.gz BGHZKMIKWQUTKO-ZBFHGGJFSA-N 1 2 310.438 1.537 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(-c3cccnc3)on2)C1 ZINC001043712499 765060695 /nfs/dbraw/zinc/06/06/95/765060695.db2.gz QIOUPZYYLBBOPJ-UHFFFAOYSA-N 1 2 310.357 1.516 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc3cc[nH]c3n2)CC1 ZINC001112989928 765095966 /nfs/dbraw/zinc/09/59/66/765095966.db2.gz PXNVDNAIHITZSJ-UHFFFAOYSA-N 1 2 314.389 1.523 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(-n3cccn3)ccn2)C1 ZINC001043843008 765126814 /nfs/dbraw/zinc/12/68/14/765126814.db2.gz RFPXXTYJUHZBEO-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn(C)c2CCC)CC1 ZINC001113064937 765205961 /nfs/dbraw/zinc/20/59/61/765205961.db2.gz SRRCRABKANPLHJ-UHFFFAOYSA-N 1 2 320.437 1.333 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)[C@@H](C)NC(=O)Cn2cc[nH+]c2)n1 ZINC001113081572 765233208 /nfs/dbraw/zinc/23/32/08/765233208.db2.gz FRGLZJGIPLPPCS-OLZOCXBDSA-N 1 2 312.377 1.464 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(-c3nc[nH]n3)cc2)C1 ZINC001044135527 765334218 /nfs/dbraw/zinc/33/42/18/765334218.db2.gz ODVCNQUPXHXQHL-UHFFFAOYSA-N 1 2 311.389 1.804 20 30 DDEDLO CC#CCN1CC(N(C)C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC001044136321 765334434 /nfs/dbraw/zinc/33/44/34/765334434.db2.gz AYOXVKNBGLGABX-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC001051974078 765334444 /nfs/dbraw/zinc/33/44/44/765334444.db2.gz BLUXLOSWEASWGO-KRWDZBQOSA-N 1 2 303.450 1.418 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)CCC3CCC3)C2)CC1 ZINC001052006909 765374768 /nfs/dbraw/zinc/37/47/68/765374768.db2.gz SRTGZADDLXNAFA-SFHVURJKSA-N 1 2 317.477 1.809 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)CCC3CCC3)C2)CC1 ZINC001052007135 765376272 /nfs/dbraw/zinc/37/62/72/765376272.db2.gz WMRALTQCZDQSNK-SFHVURJKSA-N 1 2 317.477 1.809 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@H]3CC[C@H](C)O3)C2)nc1 ZINC001044202639 765391894 /nfs/dbraw/zinc/39/18/94/765391894.db2.gz ACSSXPJHIWVROG-XJKSGUPXSA-N 1 2 313.401 1.387 20 30 DDEDLO C#Cc1ccccc1CC(=O)N(C)C1CN(Cc2c[nH+]cn2C)C1 ZINC001044234230 765415419 /nfs/dbraw/zinc/41/54/19/765415419.db2.gz YUOBYGPWEORILW-UHFFFAOYSA-N 1 2 322.412 1.287 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@]34C[C@H]3CCC4)C2)CC1 ZINC001052052940 765425545 /nfs/dbraw/zinc/42/55/45/765425545.db2.gz BFWAQCATPUJXBN-JFIYKMOQSA-N 1 2 301.434 1.028 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3CC34CC4)C2)CC1 ZINC001052089938 765461931 /nfs/dbraw/zinc/46/19/31/765461931.db2.gz WRWISUKSYNVWAX-GJZGRUSLSA-N 1 2 323.868 1.758 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3CC34CC4)C2)CC1 ZINC001052089937 765462019 /nfs/dbraw/zinc/46/20/19/765462019.db2.gz WRWISUKSYNVWAX-CABCVRRESA-N 1 2 323.868 1.758 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCNC(=O)C2CCCCC2)CC1 ZINC001113426215 765682736 /nfs/dbraw/zinc/68/27/36/765682736.db2.gz OPVPRXAQMFBJOW-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001052382793 765777447 /nfs/dbraw/zinc/77/74/47/765777447.db2.gz SJGWACAWQPUWEH-UONOGXRCSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001052382793 765777451 /nfs/dbraw/zinc/77/74/51/765777451.db2.gz SJGWACAWQPUWEH-UONOGXRCSA-N 1 2 300.406 1.434 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)N(C)c1[nH+]cnc2c1cnn2C ZINC001113562548 765868308 /nfs/dbraw/zinc/86/83/08/765868308.db2.gz OJPRMDRJDIXIHV-NSHDSACASA-N 1 2 302.382 1.270 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCCc2nc(C(C)C)no2)CC1 ZINC001113633312 765962708 /nfs/dbraw/zinc/96/27/08/765962708.db2.gz VFRSMXCOHRLSDE-UHFFFAOYSA-N 1 2 318.421 1.683 20 30 DDEDLO CN(C(=O)C1CC1)[C@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001047272549 768355901 /nfs/dbraw/zinc/35/59/01/768355901.db2.gz HFXRZDKLQBWFAW-IRXDYDNUSA-N 1 2 316.376 1.091 20 30 DDEDLO CN(C(=O)C1CC1)[C@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001047272549 768355908 /nfs/dbraw/zinc/35/59/08/768355908.db2.gz HFXRZDKLQBWFAW-IRXDYDNUSA-N 1 2 316.376 1.091 20 30 DDEDLO Cc1cc(N2CCC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001058172065 766287670 /nfs/dbraw/zinc/28/76/70/766287670.db2.gz RCPKOVYIBUNUDW-OAHLLOKOSA-N 1 2 324.388 1.243 20 30 DDEDLO Cc1cc(N(C)[C@@H](C)CNC(=O)Cc2c[nH]c[nH+]2)c(C#N)cn1 ZINC001113898389 766364403 /nfs/dbraw/zinc/36/44/03/766364403.db2.gz SQVQLWFFGKETQJ-LBPRGKRZSA-N 1 2 312.377 1.168 20 30 DDEDLO CCCc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)cs1 ZINC001114066658 766591172 /nfs/dbraw/zinc/59/11/72/766591172.db2.gz GOAGZSMECLOHAR-MUYACECFSA-N 1 2 318.446 1.802 20 30 DDEDLO CCCc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)cs1 ZINC001114066658 766591177 /nfs/dbraw/zinc/59/11/77/766591177.db2.gz GOAGZSMECLOHAR-MUYACECFSA-N 1 2 318.446 1.802 20 30 DDEDLO C[C@@H]1C[C@H](CNc2ccc(C#N)cn2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068346569 767150133 /nfs/dbraw/zinc/15/01/33/767150133.db2.gz HJMXQHLDXHLWQW-TZMCWYRMSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cn3cccnc3n2)C1 ZINC001046444319 767578579 /nfs/dbraw/zinc/57/85/79/767578579.db2.gz PXHIVVCXDRXUBA-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cn3cccnc3n2)C1 ZINC001046444319 767578583 /nfs/dbraw/zinc/57/85/83/767578583.db2.gz PXHIVVCXDRXUBA-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2nonc2C)C1 ZINC001046632451 767757604 /nfs/dbraw/zinc/75/76/04/767757604.db2.gz YLJYVQIHUWVFOY-IVMMDQJWSA-N 1 2 320.393 1.050 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2nonc2C)C1 ZINC001046632451 767757608 /nfs/dbraw/zinc/75/76/08/767757608.db2.gz YLJYVQIHUWVFOY-IVMMDQJWSA-N 1 2 320.393 1.050 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CSCC#N)C[N@@H+]1Cc1ccns1 ZINC001131830845 768411319 /nfs/dbraw/zinc/41/13/19/768411319.db2.gz VWRWZTFESGUBGX-NEPJUHHUSA-N 1 2 324.475 1.869 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CSCC#N)C[N@H+]1Cc1ccns1 ZINC001131830845 768411321 /nfs/dbraw/zinc/41/13/21/768411321.db2.gz VWRWZTFESGUBGX-NEPJUHHUSA-N 1 2 324.475 1.869 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc3cc(C)ccc3n2)C1 ZINC001047452203 768488935 /nfs/dbraw/zinc/48/89/35/768488935.db2.gz BRPWQRMUQNAJHZ-ROUUACIJSA-N 1 2 323.396 1.294 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc3cc(C)ccc3n2)C1 ZINC001047452203 768488937 /nfs/dbraw/zinc/48/89/37/768488937.db2.gz BRPWQRMUQNAJHZ-ROUUACIJSA-N 1 2 323.396 1.294 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2ccn(CC)n2)C1 ZINC001131947114 768506342 /nfs/dbraw/zinc/50/63/42/768506342.db2.gz PUAYRWJHIQUECC-GJZGRUSLSA-N 1 2 320.437 1.575 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2ccn(CC)n2)C1 ZINC001131947114 768506344 /nfs/dbraw/zinc/50/63/44/768506344.db2.gz PUAYRWJHIQUECC-GJZGRUSLSA-N 1 2 320.437 1.575 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001131973122 768518487 /nfs/dbraw/zinc/51/84/87/768518487.db2.gz YINWPUICOOXMCY-GJZGRUSLSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001131973122 768518490 /nfs/dbraw/zinc/51/84/90/768518490.db2.gz YINWPUICOOXMCY-GJZGRUSLSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001132002523 768553503 /nfs/dbraw/zinc/55/35/03/768553503.db2.gz KNKVBZXNPLICAC-GJZGRUSLSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001132002523 768553507 /nfs/dbraw/zinc/55/35/07/768553507.db2.gz KNKVBZXNPLICAC-GJZGRUSLSA-N 1 2 302.422 1.693 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@@H]2C)cn1 ZINC001132046873 768599908 /nfs/dbraw/zinc/59/99/08/768599908.db2.gz RQOUTBXFFAWNIB-GUYCJALGSA-N 1 2 312.417 1.668 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@@H]2C)cn1 ZINC001132046873 768599910 /nfs/dbraw/zinc/59/99/10/768599910.db2.gz RQOUTBXFFAWNIB-GUYCJALGSA-N 1 2 312.417 1.668 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(C)(C)C2CC2)CC[C@H]1C ZINC001132237326 768708585 /nfs/dbraw/zinc/70/85/85/768708585.db2.gz HJBSPLSULFUKHY-UKRRQHHQSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(C)(C)C2CC2)CC[C@H]1C ZINC001132237326 768708588 /nfs/dbraw/zinc/70/85/88/768708588.db2.gz HJBSPLSULFUKHY-UKRRQHHQSA-N 1 2 319.449 1.141 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001070998515 768860599 /nfs/dbraw/zinc/86/05/99/768860599.db2.gz GRYFUPIQEBUOQS-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001070998515 768860610 /nfs/dbraw/zinc/86/06/10/768860610.db2.gz GRYFUPIQEBUOQS-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H](NC(=O)CS(=O)(=O)C(C)C)CC[C@H]1C ZINC001132493160 768925838 /nfs/dbraw/zinc/92/58/38/768925838.db2.gz JRXYWDPQUIDMSB-ZIAGYGMSSA-N 1 2 316.467 1.355 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H](NC(=O)CS(=O)(=O)C(C)C)CC[C@H]1C ZINC001132493160 768925842 /nfs/dbraw/zinc/92/58/42/768925842.db2.gz JRXYWDPQUIDMSB-ZIAGYGMSSA-N 1 2 316.467 1.355 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[C@@H](C)[N@@H+](CC(N)=O)C2)CCCCC1 ZINC001132508533 768938835 /nfs/dbraw/zinc/93/88/35/768938835.db2.gz LOISTMOQHGRREQ-HUUCEWRRSA-N 1 2 321.465 1.967 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[C@@H](C)[N@H+](CC(N)=O)C2)CCCCC1 ZINC001132508533 768938840 /nfs/dbraw/zinc/93/88/40/768938840.db2.gz LOISTMOQHGRREQ-HUUCEWRRSA-N 1 2 321.465 1.967 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001132577385 768998370 /nfs/dbraw/zinc/99/83/70/768998370.db2.gz URCWEKZADCPRKT-MNOVXSKESA-N 1 2 312.439 1.601 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001132662388 769072098 /nfs/dbraw/zinc/07/20/98/769072098.db2.gz BARAZMWHXYIMBK-LLVKDONJSA-N 1 2 324.450 1.910 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001132667553 769076418 /nfs/dbraw/zinc/07/64/18/769076418.db2.gz NUUPPPDSIIECSZ-NSHDSACASA-N 1 2 312.439 1.745 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CN2CCCC2=O)CC[C@@H]1C ZINC001071339803 769302772 /nfs/dbraw/zinc/30/27/72/769302772.db2.gz FHBHWHLKLKTRRD-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CN2CCCC2=O)CC[C@@H]1C ZINC001071339803 769302783 /nfs/dbraw/zinc/30/27/83/769302783.db2.gz FHBHWHLKLKTRRD-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2snnc2C2CC2)CC[C@@H]1C ZINC001071588608 769671738 /nfs/dbraw/zinc/67/17/38/769671738.db2.gz JGZNHTLPXRSSAK-JQWIXIFHSA-N 1 2 304.419 1.631 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2snnc2C2CC2)CC[C@@H]1C ZINC001071588608 769671743 /nfs/dbraw/zinc/67/17/43/769671743.db2.gz JGZNHTLPXRSSAK-JQWIXIFHSA-N 1 2 304.419 1.631 20 30 DDEDLO C#CCN1C[C@@H](NC(=O)c2ccn(-c3cc[nH+]cc3)n2)CC[C@H]1C ZINC001071676747 769849847 /nfs/dbraw/zinc/84/98/47/769849847.db2.gz OCYGFMJJTLSDPC-CABCVRRESA-N 1 2 323.400 1.483 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cccc(=O)n2C)CC[C@H]1C ZINC001071815575 770117387 /nfs/dbraw/zinc/11/73/87/770117387.db2.gz QHWPSMUZLWRRST-OLZOCXBDSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cccc(=O)n2C)CC[C@H]1C ZINC001071815575 770117395 /nfs/dbraw/zinc/11/73/95/770117395.db2.gz QHWPSMUZLWRRST-OLZOCXBDSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnn3cc[nH]c23)CC[C@@H]1C ZINC001072023480 770438955 /nfs/dbraw/zinc/43/89/55/770438955.db2.gz RTFYEPJWKCQKMR-NWDGAFQWSA-N 1 2 321.812 1.998 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnn3cc[nH]c23)CC[C@@H]1C ZINC001072023480 770438959 /nfs/dbraw/zinc/43/89/59/770438959.db2.gz RTFYEPJWKCQKMR-NWDGAFQWSA-N 1 2 321.812 1.998 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cn2ccccc2=O)CC[C@@H]1C ZINC001072061617 770502313 /nfs/dbraw/zinc/50/23/13/770502313.db2.gz GUQJAQZVPHRQBV-UONOGXRCSA-N 1 2 323.824 1.570 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cn2ccccc2=O)CC[C@@H]1C ZINC001072061617 770502316 /nfs/dbraw/zinc/50/23/16/770502316.db2.gz GUQJAQZVPHRQBV-UONOGXRCSA-N 1 2 323.824 1.570 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072192397 770645048 /nfs/dbraw/zinc/64/50/48/770645048.db2.gz RZQDFPNKLBAWSV-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001072215507 770671081 /nfs/dbraw/zinc/67/10/81/770671081.db2.gz UNNFCYZRUIZHHC-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)n1 ZINC001049373566 770752978 /nfs/dbraw/zinc/75/29/78/770752978.db2.gz VCXFZWWHVKXEKR-YNEHKIRRSA-N 1 2 317.393 1.357 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)n1 ZINC001049373566 770752983 /nfs/dbraw/zinc/75/29/83/770752983.db2.gz VCXFZWWHVKXEKR-YNEHKIRRSA-N 1 2 317.393 1.357 20 30 DDEDLO C[C@H](CC(=O)N1CCC[C@@H]2[C@H]1CCN2CC#N)n1cc[nH+]c1 ZINC001049758033 771136573 /nfs/dbraw/zinc/13/65/73/771136573.db2.gz FVLBMFQQBDBYKS-RBSFLKMASA-N 1 2 301.394 1.423 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1[nH]nc2ccccc21 ZINC001049878645 771229593 /nfs/dbraw/zinc/22/95/93/771229593.db2.gz ZBSVWISMUDPWDL-HOTGVXAUSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1[nH]nc2ccccc21 ZINC001049878645 771229595 /nfs/dbraw/zinc/22/95/95/771229595.db2.gz ZBSVWISMUDPWDL-HOTGVXAUSA-N 1 2 308.385 1.875 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+]Cc1csnn1 ZINC001135058621 771297582 /nfs/dbraw/zinc/29/75/82/771297582.db2.gz YENYGUUBMHAKMZ-STQMWFEESA-N 1 2 310.423 1.115 20 30 DDEDLO C=CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1n[nH]nc1-c1ccccc1)C2 ZINC001096621066 771355836 /nfs/dbraw/zinc/35/58/36/771355836.db2.gz SZQGHPXSRRNHQV-ILXRZTDVSA-N 1 2 323.400 1.993 20 30 DDEDLO C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1n[nH]nc1-c1ccccc1)C2 ZINC001096621066 771355842 /nfs/dbraw/zinc/35/58/42/771355842.db2.gz SZQGHPXSRRNHQV-ILXRZTDVSA-N 1 2 323.400 1.993 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](CNC(=O)Cn2cc[nH+]c2)C2CC2)n1 ZINC001096682857 771391280 /nfs/dbraw/zinc/39/12/80/771391280.db2.gz FHOKMTMPRFOGCY-HNNXBMFYSA-N 1 2 324.388 1.465 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](CNC(=O)Cn2cc[nH+]c2)C2CC2)n1 ZINC001096682858 771391462 /nfs/dbraw/zinc/39/14/62/771391462.db2.gz FHOKMTMPRFOGCY-OAHLLOKOSA-N 1 2 324.388 1.465 20 30 DDEDLO C=CCCC[NH2+]CCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001170811078 771472017 /nfs/dbraw/zinc/47/20/17/771472017.db2.gz ROCBWBJKIWZSJS-UHFFFAOYSA-N 1 2 316.409 1.486 20 30 DDEDLO C=CCN1CCN(C(C)C)C(=O)[C@]12CC[N@H+](Cc1cnc[nH]1)C2 ZINC001136867222 772181810 /nfs/dbraw/zinc/18/18/10/772181810.db2.gz GRAUZEOWUIYIHU-QGZVFWFLSA-N 1 2 317.437 1.093 20 30 DDEDLO C=CCN1CCN(C(C)C)C(=O)[C@]12CC[N@@H+](Cc1cnc[nH]1)C2 ZINC001136867222 772181814 /nfs/dbraw/zinc/18/18/14/772181814.db2.gz GRAUZEOWUIYIHU-QGZVFWFLSA-N 1 2 317.437 1.093 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100267824 772648229 /nfs/dbraw/zinc/64/82/29/772648229.db2.gz XGJKTKZCAKWCHO-VXGBXAGGSA-N 1 2 316.409 1.374 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001091463852 772771791 /nfs/dbraw/zinc/77/17/91/772771791.db2.gz JUULMPNORKVZDP-NNUKFRKNSA-N 1 2 310.361 1.157 20 30 DDEDLO C=CCCC[NH2+]CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001147369499 773122991 /nfs/dbraw/zinc/12/29/91/773122991.db2.gz YHWCFXRXJPOVNJ-UHFFFAOYSA-N 1 2 302.382 1.096 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nnc(C)[nH]1)C2 ZINC001147428014 773131153 /nfs/dbraw/zinc/13/11/53/773131153.db2.gz HKIWQWQOVHNYNZ-ZDUSSCGKSA-N 1 2 315.421 1.512 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nnc(C)[nH]1)C2 ZINC001147428014 773131157 /nfs/dbraw/zinc/13/11/57/773131157.db2.gz HKIWQWQOVHNYNZ-ZDUSSCGKSA-N 1 2 315.421 1.512 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001147630661 773185998 /nfs/dbraw/zinc/18/59/98/773185998.db2.gz AGSCLJNZXNIWGN-UHFFFAOYSA-N 1 2 316.405 1.872 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001147630661 773186001 /nfs/dbraw/zinc/18/60/01/773186001.db2.gz AGSCLJNZXNIWGN-UHFFFAOYSA-N 1 2 316.405 1.872 20 30 DDEDLO C#CCC1(C(=O)N2CC3(C2)CC[N@H+](Cc2ncccn2)C3)CCC1 ZINC001147711155 773208359 /nfs/dbraw/zinc/20/83/59/773208359.db2.gz HGWZIOKKBDODPG-UHFFFAOYSA-N 1 2 324.428 1.705 20 30 DDEDLO C#CCC1(C(=O)N2CC3(C2)CC[N@@H+](Cc2ncccn2)C3)CCC1 ZINC001147711155 773208362 /nfs/dbraw/zinc/20/83/62/773208362.db2.gz HGWZIOKKBDODPG-UHFFFAOYSA-N 1 2 324.428 1.705 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001073922220 773528886 /nfs/dbraw/zinc/52/88/86/773528886.db2.gz XXAONZVYFMKHIC-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001074025747 773593489 /nfs/dbraw/zinc/59/34/89/773593489.db2.gz XKLAXLHLBQWDRL-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001074025747 773593498 /nfs/dbraw/zinc/59/34/98/773593498.db2.gz XKLAXLHLBQWDRL-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3=COCCC3)C[C@@H]21 ZINC001074191204 773714956 /nfs/dbraw/zinc/71/49/56/773714956.db2.gz UZZXSSOXHIODHF-HOTGVXAUSA-N 1 2 304.390 1.006 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3=COCCC3)C[C@@H]21 ZINC001074191204 773714959 /nfs/dbraw/zinc/71/49/59/773714959.db2.gz UZZXSSOXHIODHF-HOTGVXAUSA-N 1 2 304.390 1.006 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCF)[C@H]3C2)c1 ZINC001074205592 773728778 /nfs/dbraw/zinc/72/87/78/773728778.db2.gz UGPZDYGUWGAABP-GJZGRUSLSA-N 1 2 320.368 1.161 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[C@@H]3OCC[N@H+](CCCF)[C@H]3C2)c1 ZINC001074205592 773728783 /nfs/dbraw/zinc/72/87/83/773728783.db2.gz UGPZDYGUWGAABP-GJZGRUSLSA-N 1 2 320.368 1.161 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]3OCC[N@@H+](CCO)[C@@H]3C2)CC1 ZINC001074327266 773826296 /nfs/dbraw/zinc/82/62/96/773826296.db2.gz QVIYAQIGJAPZGB-HZPDHXFCSA-N 1 2 308.422 1.027 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]3OCC[N@H+](CCO)[C@@H]3C2)CC1 ZINC001074327266 773826302 /nfs/dbraw/zinc/82/63/02/773826302.db2.gz QVIYAQIGJAPZGB-HZPDHXFCSA-N 1 2 308.422 1.027 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCOCC3)C[C@@H]21 ZINC001074353549 773849469 /nfs/dbraw/zinc/84/94/69/773849469.db2.gz FSJZJPKVDHIORS-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCOCC3)C[C@@H]21 ZINC001074353549 773849472 /nfs/dbraw/zinc/84/94/72/773849472.db2.gz FSJZJPKVDHIORS-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO Cc1nc(NC2CC(CNC(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001092227829 774018219 /nfs/dbraw/zinc/01/82/19/774018219.db2.gz WITDWJVFNCGOSP-UHFFFAOYSA-N 1 2 324.388 1.465 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CCCCO1)c1nccn12 ZINC001092362928 774077029 /nfs/dbraw/zinc/07/70/29/774077029.db2.gz FCFZJZOMHOEPPY-UONOGXRCSA-N 1 2 316.405 1.210 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccccn1)c1nccn12 ZINC001092342163 774091228 /nfs/dbraw/zinc/09/12/28/774091228.db2.gz IYNWWHRSNZGEEG-CQSZACIVSA-N 1 2 309.373 1.350 20 30 DDEDLO C[C@H](CNC(=O)C[C@@H](C)n1cc[nH+]c1)Nc1ccncc1C#N ZINC001098303360 774340805 /nfs/dbraw/zinc/34/08/05/774340805.db2.gz RMNZUGCTLHUEAY-CHWSQXEVSA-N 1 2 312.377 1.140 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001075128169 774372744 /nfs/dbraw/zinc/37/27/44/774372744.db2.gz VWZABVRMQOEXKP-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001075142659 774379263 /nfs/dbraw/zinc/37/92/63/774379263.db2.gz VDEQJJRELMHKGL-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(CC)cn1)C2 ZINC001098226333 774516330 /nfs/dbraw/zinc/51/63/30/774516330.db2.gz CNKUJORUCJFZGU-BZPMIXESSA-N 1 2 308.813 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(CC)cn1)C2 ZINC001098226333 774516333 /nfs/dbraw/zinc/51/63/33/774516333.db2.gz CNKUJORUCJFZGU-BZPMIXESSA-N 1 2 308.813 1.991 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCCCO3)CC2)C1 ZINC001093528579 774780576 /nfs/dbraw/zinc/78/05/76/774780576.db2.gz KOBPCSDIDSCRSV-INIZCTEOSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3c(C)noc3C)CC2)C1 ZINC001093505428 774796285 /nfs/dbraw/zinc/79/62/85/774796285.db2.gz IYZQTYKVCZARDI-UHFFFAOYSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC3=CCOCC3)CC2)C1 ZINC001093569179 774839724 /nfs/dbraw/zinc/83/97/24/774839724.db2.gz WFDLKXLKBBWDOQ-UHFFFAOYSA-N 1 2 320.433 1.601 20 30 DDEDLO N#Cc1c(Cl)nsc1NCCNC(=O)Cn1cc[nH+]c1 ZINC001093701354 775021383 /nfs/dbraw/zinc/02/13/83/775021383.db2.gz RSVMCXHVSOAWNN-UHFFFAOYSA-N 1 2 310.770 1.093 20 30 DDEDLO Cc1nc(NCCNC(=O)CCCn2cc[nH+]c2)ccc1C#N ZINC001093715044 775029815 /nfs/dbraw/zinc/02/98/15/775029815.db2.gz YHNACEGDRKTLAG-UHFFFAOYSA-N 1 2 312.377 1.467 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099640297 775088482 /nfs/dbraw/zinc/08/84/82/775088482.db2.gz XQIZPZXXTMELNQ-SJORKVTESA-N 1 2 315.417 1.656 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099640297 775088490 /nfs/dbraw/zinc/08/84/90/775088490.db2.gz XQIZPZXXTMELNQ-SJORKVTESA-N 1 2 315.417 1.656 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@H]2CCc3[nH+]ccn3C2)n1 ZINC001093950126 775256898 /nfs/dbraw/zinc/25/68/98/775256898.db2.gz ZXPROLSNIWRJTF-AWEZNQCLSA-N 1 2 324.388 1.249 20 30 DDEDLO N#Cc1cccnc1NCCNC(=O)c1cccc2[nH+]ccn21 ZINC001093986580 775301168 /nfs/dbraw/zinc/30/11/68/775301168.db2.gz XLDHADKXJOFNRS-UHFFFAOYSA-N 1 2 306.329 1.443 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099944356 775454296 /nfs/dbraw/zinc/45/42/96/775454296.db2.gz MKAKBZWDTSDOLI-HUUCEWRRSA-N 1 2 321.396 1.484 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099944356 775454298 /nfs/dbraw/zinc/45/42/98/775454298.db2.gz MKAKBZWDTSDOLI-HUUCEWRRSA-N 1 2 321.396 1.484 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)NCCNc1ccc(C#N)nc1 ZINC001094226359 775596051 /nfs/dbraw/zinc/59/60/51/775596051.db2.gz QNXJAXHJMOGWSY-UHFFFAOYSA-N 1 2 311.345 1.128 20 30 DDEDLO Cc1nc(NCCCNC(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001094342506 775733283 /nfs/dbraw/zinc/73/32/83/775733283.db2.gz WHNBAQPYIVWRHG-LLVKDONJSA-N 1 2 301.394 1.742 20 30 DDEDLO N#CC(C#N)c1nc2ccccc2nc1Nc1nccnc1C[NH3+] ZINC001171443964 775839129 /nfs/dbraw/zinc/83/91/29/775839129.db2.gz HQKBNJZSCOPIBU-UHFFFAOYSA-N 1 2 316.328 1.753 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094713336 776181748 /nfs/dbraw/zinc/18/17/48/776181748.db2.gz ZKCPFEJZDPDSIA-VXGBXAGGSA-N 1 2 320.441 1.622 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@]34C[C@H]3CCC4)CC2=O)C1 ZINC001094763202 776221167 /nfs/dbraw/zinc/22/11/67/776221167.db2.gz CJCFCAHMMVJZEB-HBUWYVDXSA-N 1 2 317.433 1.154 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C34CCC(CC3)C4)CC2=O)C1 ZINC001094750480 776247056 /nfs/dbraw/zinc/24/70/56/776247056.db2.gz GVBJSJBNSIMMBQ-FVRSWCFKSA-N 1 2 317.433 1.154 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3CCCC34CC4)CC2=O)C1 ZINC001094751949 776247827 /nfs/dbraw/zinc/24/78/27/776247827.db2.gz ZSNGQSFPXQAIHY-HIFRSBDPSA-N 1 2 317.433 1.154 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H]3CC[C@H](C)CC3)CC2=O)C1 ZINC001094807699 776308179 /nfs/dbraw/zinc/30/81/79/776308179.db2.gz DSDMUENXVVFMGJ-RBSFLKMASA-N 1 2 319.449 1.400 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2CNC(=O)CCn2cc[nH+]c2)cn1 ZINC001100885266 776668362 /nfs/dbraw/zinc/66/83/62/776668362.db2.gz VHLDFQOKZUGDLV-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO COC(=O)c1cn2c(n1)C[N@H+]([C@H](C)Cc1ccc(C#N)cc1)CC2 ZINC001172310721 776744802 /nfs/dbraw/zinc/74/48/02/776744802.db2.gz IQQJIZCCWIQAKI-CYBMUJFWSA-N 1 2 324.384 1.988 20 30 DDEDLO COC(=O)c1cn2c(n1)C[N@@H+]([C@H](C)Cc1ccc(C#N)cc1)CC2 ZINC001172310721 776744806 /nfs/dbraw/zinc/74/48/06/776744806.db2.gz IQQJIZCCWIQAKI-CYBMUJFWSA-N 1 2 324.384 1.988 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100957502 776761008 /nfs/dbraw/zinc/76/10/08/776761008.db2.gz ODEIRKLIGDVJKN-ZFWWWQNUSA-N 1 2 318.421 1.709 20 30 DDEDLO N#Cc1cnccc1N1CC[C@@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001101069752 776875768 /nfs/dbraw/zinc/87/57/68/776875768.db2.gz XVIZGLGHNBSQPK-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO N#Cc1cnccc1N1CC[C@@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001101069752 776875778 /nfs/dbraw/zinc/87/57/78/776875778.db2.gz XVIZGLGHNBSQPK-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)CC)c1nccn12 ZINC001101610708 777301147 /nfs/dbraw/zinc/30/11/47/777301147.db2.gz UPLUHHJNUWWPSF-ZIAGYGMSSA-N 1 2 300.406 1.524 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CO[C@H](C)CC)c1nccn12 ZINC001101623042 777316324 /nfs/dbraw/zinc/31/63/24/777316324.db2.gz WQBIPXPPOZUZHM-KGLIPLIRSA-N 1 2 318.421 1.456 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CC1(C)CC1)c1nccn12 ZINC001101633671 777330240 /nfs/dbraw/zinc/33/02/40/777330240.db2.gz BURFCAXEQAEUQO-CYBMUJFWSA-N 1 2 300.406 1.831 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)c1cccc2[nH+]ccn21 ZINC001101854805 777607849 /nfs/dbraw/zinc/60/78/49/777607849.db2.gz HOWXUFMVYFRXOQ-UHFFFAOYSA-N 1 2 320.356 1.785 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101978778 777759953 /nfs/dbraw/zinc/75/99/53/777759953.db2.gz COYYMWFDKCXCNZ-UHFFFAOYSA-N 1 2 320.441 1.719 20 30 DDEDLO CN(CCNc1ncc(C#N)cc1F)C(=O)CCc1c[nH]c[nH+]1 ZINC001102021022 777802698 /nfs/dbraw/zinc/80/26/98/777802698.db2.gz BPHRSPJXUZKIFK-UHFFFAOYSA-N 1 2 316.340 1.319 20 30 DDEDLO CN(CCNc1ncc(C#N)cc1F)C(=O)CCc1c[nH+]c[nH]1 ZINC001102021022 777802707 /nfs/dbraw/zinc/80/27/07/777802707.db2.gz BPHRSPJXUZKIFK-UHFFFAOYSA-N 1 2 316.340 1.319 20 30 DDEDLO C#CCOCCC(=O)NCCCN(c1cc[nH+]c(C)n1)C(C)C ZINC001102120037 777886075 /nfs/dbraw/zinc/88/60/75/777886075.db2.gz MTWRDZAATMVFOH-UHFFFAOYSA-N 1 2 318.421 1.546 20 30 DDEDLO C=CCN1C(=O)CC[C@@]12CCCN(C(=O)Cc1c[nH+]c[nH]1)CC2 ZINC001176828986 778260849 /nfs/dbraw/zinc/26/08/49/778260849.db2.gz IKTKNQDEEUHMHH-QGZVFWFLSA-N 1 2 316.405 1.512 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)COC(C)(C)C)C[C@@H]21 ZINC001176989646 778375533 /nfs/dbraw/zinc/37/55/33/778375533.db2.gz VGIKDHGFRJHONW-GJZGRUSLSA-N 1 2 308.422 1.127 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)COC(C)(C)C)C[C@@H]21 ZINC001176989646 778375542 /nfs/dbraw/zinc/37/55/42/778375542.db2.gz VGIKDHGFRJHONW-GJZGRUSLSA-N 1 2 308.422 1.127 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)COCC(F)F)C[C@@H]21 ZINC001177055382 778415827 /nfs/dbraw/zinc/41/58/27/778415827.db2.gz LTHSSSKXVHNQOZ-QWHCGFSZSA-N 1 2 318.364 1.146 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)COCC(F)F)C[C@@H]21 ZINC001177055382 778415829 /nfs/dbraw/zinc/41/58/29/778415829.db2.gz LTHSSSKXVHNQOZ-QWHCGFSZSA-N 1 2 318.364 1.146 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCC3)C[C@@H]21 ZINC001177060164 778419960 /nfs/dbraw/zinc/41/99/60/778419960.db2.gz BDWBRBBUVIKORA-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCC3)C[C@@H]21 ZINC001177060164 778419963 /nfs/dbraw/zinc/41/99/63/778419963.db2.gz BDWBRBBUVIKORA-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]2OCC[N@H+](CCC#C)[C@H]2C1 ZINC001177061485 778421160 /nfs/dbraw/zinc/42/11/60/778421160.db2.gz MIGAJFNSLPZGTN-ROUUACIJSA-N 1 2 316.445 1.895 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCC#C)[C@H]2C1 ZINC001177061485 778421162 /nfs/dbraw/zinc/42/11/62/778421162.db2.gz MIGAJFNSLPZGTN-ROUUACIJSA-N 1 2 316.445 1.895 20 30 DDEDLO CC[C@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1ccc(C#N)c(C)n1 ZINC001103161876 778680125 /nfs/dbraw/zinc/68/01/25/778680125.db2.gz MKOJEJXCUAPVQW-CYBMUJFWSA-N 1 2 312.377 1.534 20 30 DDEDLO O=C(C#Cc1ccccn1)N1CC[NH+](CCc2ccccn2)CC1 ZINC001177801701 778741579 /nfs/dbraw/zinc/74/15/79/778741579.db2.gz GUOCNVLXDGVJQS-UHFFFAOYSA-N 1 2 320.396 1.215 20 30 DDEDLO CC#CC(=O)N1CCC2(CC1)C[N@H+](Cc1ccoc1)CCO2 ZINC001179750683 779478967 /nfs/dbraw/zinc/47/89/67/779478967.db2.gz RFLQZFLYLYDGFZ-UHFFFAOYSA-N 1 2 302.374 1.496 20 30 DDEDLO CC#CC(=O)N1CCC2(CC1)C[N@@H+](Cc1ccoc1)CCO2 ZINC001179750683 779478970 /nfs/dbraw/zinc/47/89/70/779478970.db2.gz RFLQZFLYLYDGFZ-UHFFFAOYSA-N 1 2 302.374 1.496 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1cccc2nsnc21 ZINC001116397095 780504934 /nfs/dbraw/zinc/50/49/34/780504934.db2.gz ZZOXSWZSHRNBGC-UHFFFAOYSA-N 1 2 304.375 1.211 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1cccc2nsnc21 ZINC001116397095 780504939 /nfs/dbraw/zinc/50/49/39/780504939.db2.gz ZZOXSWZSHRNBGC-UHFFFAOYSA-N 1 2 304.375 1.211 20 30 DDEDLO CCn1nncc1C[N@H+](CC)CCNC(=O)C#CC(C)(C)C ZINC001267241368 837589621 /nfs/dbraw/zinc/58/96/21/837589621.db2.gz USMVMYNKLRRWLC-UHFFFAOYSA-N 1 2 305.426 1.286 20 30 DDEDLO CCn1nncc1C[N@@H+](CC)CCNC(=O)C#CC(C)(C)C ZINC001267241368 837589627 /nfs/dbraw/zinc/58/96/27/837589627.db2.gz USMVMYNKLRRWLC-UHFFFAOYSA-N 1 2 305.426 1.286 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc(C)c(C)o1 ZINC001267263451 837695052 /nfs/dbraw/zinc/69/50/52/837695052.db2.gz LOOQBMZQMQTPGG-CQSZACIVSA-N 1 2 319.405 1.393 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(C)c(C)o1 ZINC001267263451 837695059 /nfs/dbraw/zinc/69/50/59/837695059.db2.gz LOOQBMZQMQTPGG-CQSZACIVSA-N 1 2 319.405 1.393 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1nccs1 ZINC001267268189 837707167 /nfs/dbraw/zinc/70/71/67/837707167.db2.gz XHUVRVRKXMTRTK-ZDUSSCGKSA-N 1 2 307.419 1.264 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1nccs1 ZINC001267268189 837707172 /nfs/dbraw/zinc/70/71/72/837707172.db2.gz XHUVRVRKXMTRTK-ZDUSSCGKSA-N 1 2 307.419 1.264 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@@H+](Cc2ncnn2CC)C1 ZINC001267303531 837788243 /nfs/dbraw/zinc/78/82/43/837788243.db2.gz NVJRDSJCSNNFLS-CQSZACIVSA-N 1 2 319.453 1.838 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@H+](Cc2ncnn2CC)C1 ZINC001267303531 837788254 /nfs/dbraw/zinc/78/82/54/837788254.db2.gz NVJRDSJCSNNFLS-CQSZACIVSA-N 1 2 319.453 1.838 20 30 DDEDLO C#CCCCC(=O)NCCN1CC[NH+](Cc2ocnc2C)CC1 ZINC001266283737 836043230 /nfs/dbraw/zinc/04/32/30/836043230.db2.gz URBFZXUWPCYBFS-UHFFFAOYSA-N 1 2 318.421 1.020 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)[C@@H]2CCCC23CC3)CC1 ZINC001266288048 836058265 /nfs/dbraw/zinc/05/82/65/836058265.db2.gz PJDGZEMMSPBIKJ-INIZCTEOSA-N 1 2 303.450 1.324 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1cc(C)n(CC)c1C ZINC001266295824 836070631 /nfs/dbraw/zinc/07/06/31/836070631.db2.gz ZTFKLCBQXLQKPR-UHFFFAOYSA-N 1 2 320.437 1.089 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1cc(C)n(CC)c1C ZINC001266295824 836070637 /nfs/dbraw/zinc/07/06/37/836070637.db2.gz ZTFKLCBQXLQKPR-UHFFFAOYSA-N 1 2 320.437 1.089 20 30 DDEDLO CCOCC(=O)N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001266302078 836081595 /nfs/dbraw/zinc/08/15/95/836081595.db2.gz IKPKQQGMZDMAAE-INIZCTEOSA-N 1 2 304.365 1.404 20 30 DDEDLO CCOCC(=O)N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001266302078 836081607 /nfs/dbraw/zinc/08/16/07/836081607.db2.gz IKPKQQGMZDMAAE-INIZCTEOSA-N 1 2 304.365 1.404 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@]2(C)CC=CCC2)C1 ZINC001266321554 836116248 /nfs/dbraw/zinc/11/62/48/836116248.db2.gz RBXIVCPVOCWKAT-WMLDXEAASA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@]2(C)CC=CCC2)C1 ZINC001266321554 836116251 /nfs/dbraw/zinc/11/62/51/836116251.db2.gz RBXIVCPVOCWKAT-WMLDXEAASA-N 1 2 305.422 1.226 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](C)Cc1nc(C)c(C)s1 ZINC001266327093 836124765 /nfs/dbraw/zinc/12/47/65/836124765.db2.gz XQYCIXGNYDPERU-KGLIPLIRSA-N 1 2 323.462 1.899 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](C)Cc1nc(C)c(C)s1 ZINC001266327093 836124769 /nfs/dbraw/zinc/12/47/69/836124769.db2.gz XQYCIXGNYDPERU-KGLIPLIRSA-N 1 2 323.462 1.899 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001266343175 836146256 /nfs/dbraw/zinc/14/62/56/836146256.db2.gz ZLJYSJNWRVMONH-BBRMVZONSA-N 1 2 305.426 1.591 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001266343175 836146259 /nfs/dbraw/zinc/14/62/59/836146259.db2.gz ZLJYSJNWRVMONH-BBRMVZONSA-N 1 2 305.426 1.591 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@H](C)CC)C1 ZINC001266343819 836146995 /nfs/dbraw/zinc/14/69/95/836146995.db2.gz AAPKYCPBMBGQFF-KGLIPLIRSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)N[C@H](C)CC)C1 ZINC001266343819 836147000 /nfs/dbraw/zinc/14/70/00/836147000.db2.gz AAPKYCPBMBGQFF-KGLIPLIRSA-N 1 2 309.454 1.694 20 30 DDEDLO CC(C)CCC(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001266831184 836897427 /nfs/dbraw/zinc/89/74/27/836897427.db2.gz AZPQWCIANAWHRB-AWEZNQCLSA-N 1 2 308.426 1.033 20 30 DDEDLO CC(C)CCC(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001266831184 836897439 /nfs/dbraw/zinc/89/74/39/836897439.db2.gz AZPQWCIANAWHRB-AWEZNQCLSA-N 1 2 308.426 1.033 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCC[N@H+](Cc2nnc(C)[nH]2)C1 ZINC001266895145 837016058 /nfs/dbraw/zinc/01/60/58/837016058.db2.gz ZCQBFTOMMNLECC-JSGCOSHPSA-N 1 2 305.426 1.796 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCC[N@@H+](Cc2nnc(C)[nH]2)C1 ZINC001266895145 837016073 /nfs/dbraw/zinc/01/60/73/837016073.db2.gz ZCQBFTOMMNLECC-JSGCOSHPSA-N 1 2 305.426 1.796 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(OCC)s2)C1 ZINC001267016631 837198304 /nfs/dbraw/zinc/19/83/04/837198304.db2.gz YZAXJRJBMOQVTR-LBPRGKRZSA-N 1 2 324.450 1.984 20 30 DDEDLO Cn1ccc(C[NH2+][C@@H](CNC(=O)C#CC2CC2)c2ccccc2)n1 ZINC001267357674 837903032 /nfs/dbraw/zinc/90/30/32/837903032.db2.gz RKQDBRJXOSWROW-SFHVURJKSA-N 1 2 322.412 1.781 20 30 DDEDLO CCCN(C(=O)c1cnns1)[C@@H]1CC[N@H+](CC#CCOC)C1 ZINC001267449406 838074868 /nfs/dbraw/zinc/07/48/68/838074868.db2.gz AEVAFMYYXIAVFC-CYBMUJFWSA-N 1 2 322.434 1.114 20 30 DDEDLO CCCN(C(=O)c1cnns1)[C@@H]1CC[N@@H+](CC#CCOC)C1 ZINC001267449406 838074877 /nfs/dbraw/zinc/07/48/77/838074877.db2.gz AEVAFMYYXIAVFC-CYBMUJFWSA-N 1 2 322.434 1.114 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C)ncn1 ZINC001267528809 838253504 /nfs/dbraw/zinc/25/35/04/838253504.db2.gz QPBMWBBBKLWGII-IYBDPMFKSA-N 1 2 316.405 1.163 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C)ncn1 ZINC001267528809 838253508 /nfs/dbraw/zinc/25/35/08/838253508.db2.gz QPBMWBBBKLWGII-IYBDPMFKSA-N 1 2 316.405 1.163 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)CC2(OC)CCC2)CC1 ZINC001267605748 838428708 /nfs/dbraw/zinc/42/87/08/838428708.db2.gz AGZZGGKXUSIDOX-UHFFFAOYSA-N 1 2 309.454 1.208 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(OC)no2)C1 ZINC001267624115 838517936 /nfs/dbraw/zinc/51/79/36/838517936.db2.gz QXGHQWHIIUHIKD-AWEZNQCLSA-N 1 2 319.405 1.957 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCC[N@H+](Cc2cc(OC)no2)C1 ZINC001267624115 838517943 /nfs/dbraw/zinc/51/79/43/838517943.db2.gz QXGHQWHIIUHIKD-AWEZNQCLSA-N 1 2 319.405 1.957 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)Cc2cc(C3CC3)no2)C1 ZINC001267648283 838574758 /nfs/dbraw/zinc/57/47/58/838574758.db2.gz COAPGYWAGUOLLK-UHFFFAOYSA-N 1 2 305.378 1.098 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC(=O)N(CC)CC ZINC001267705086 838682705 /nfs/dbraw/zinc/68/27/05/838682705.db2.gz MMRQGWBWTAVEOB-HOTGVXAUSA-N 1 2 319.449 1.334 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC(=O)N(CC)CC ZINC001267705086 838682712 /nfs/dbraw/zinc/68/27/12/838682712.db2.gz MMRQGWBWTAVEOB-HOTGVXAUSA-N 1 2 319.449 1.334 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001267710259 838694347 /nfs/dbraw/zinc/69/43/47/838694347.db2.gz NECGMEKTPDSOPO-JCECYMMASA-N 1 2 316.445 1.748 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001267710259 838694350 /nfs/dbraw/zinc/69/43/50/838694350.db2.gz NECGMEKTPDSOPO-JCECYMMASA-N 1 2 316.445 1.748 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2cccc(Cl)n2)C1 ZINC001267721707 838730402 /nfs/dbraw/zinc/73/04/02/838730402.db2.gz HAZSCJGBBJREHC-XJKSGUPXSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2cccc(Cl)n2)C1 ZINC001267721707 838730410 /nfs/dbraw/zinc/73/04/10/838730410.db2.gz HAZSCJGBBJREHC-XJKSGUPXSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)c2cccs2)C1 ZINC001267728636 838761956 /nfs/dbraw/zinc/76/19/56/838761956.db2.gz IVWCZDGAJMIHDK-STQMWFEESA-N 1 2 321.446 1.344 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)c2cccs2)C1 ZINC001267728636 838761961 /nfs/dbraw/zinc/76/19/61/838761961.db2.gz IVWCZDGAJMIHDK-STQMWFEESA-N 1 2 321.446 1.344 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001267739748 838804521 /nfs/dbraw/zinc/80/45/21/838804521.db2.gz WZHCFFSPFSVXAE-OAHLLOKOSA-N 1 2 321.421 1.965 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001267739748 838804531 /nfs/dbraw/zinc/80/45/31/838804531.db2.gz WZHCFFSPFSVXAE-OAHLLOKOSA-N 1 2 321.421 1.965 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001267760631 838887695 /nfs/dbraw/zinc/88/76/95/838887695.db2.gz VXQXWHSHDHOLGN-ZIAGYGMSSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001267760631 838887710 /nfs/dbraw/zinc/88/77/10/838887710.db2.gz VXQXWHSHDHOLGN-ZIAGYGMSSA-N 1 2 304.394 1.273 20 30 DDEDLO COc1nccc(C[N@H+](C)CCN(C)C(=O)C#CC(C)C)n1 ZINC001268236448 839871952 /nfs/dbraw/zinc/87/19/52/839871952.db2.gz YDVBPIZZMXTYAW-UHFFFAOYSA-N 1 2 304.394 1.035 20 30 DDEDLO COc1nccc(C[N@@H+](C)CCN(C)C(=O)C#CC(C)C)n1 ZINC001268236448 839871962 /nfs/dbraw/zinc/87/19/62/839871962.db2.gz YDVBPIZZMXTYAW-UHFFFAOYSA-N 1 2 304.394 1.035 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001268342920 840023468 /nfs/dbraw/zinc/02/34/68/840023468.db2.gz IZVWMDHDAXYLPN-UHFFFAOYSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@]2(C)CCC[C@H]2CC)C1 ZINC001268842875 840892401 /nfs/dbraw/zinc/89/24/01/840892401.db2.gz CHRPAYAZYRHUSF-CRAIPNDOSA-N 1 2 321.465 1.553 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1C[NH+](Cc2ccnc(OC)n2)C1 ZINC001268867244 840921459 /nfs/dbraw/zinc/92/14/59/840921459.db2.gz JMXYQQPDEPGXRZ-CYBMUJFWSA-N 1 2 318.421 1.636 20 30 DDEDLO C=C1CCC(C(=O)NCC2C[NH+](CC(=O)N[C@@H](C)CC)C2)CC1 ZINC001268874453 840930250 /nfs/dbraw/zinc/93/02/50/840930250.db2.gz OSHYZQJZZACIJU-AWEZNQCLSA-N 1 2 321.465 1.696 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CSCC#N ZINC001268926628 841006794 /nfs/dbraw/zinc/00/67/94/841006794.db2.gz LVMHFLBDDBYDFB-STQMWFEESA-N 1 2 320.418 1.333 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CSCC#N ZINC001268926628 841006797 /nfs/dbraw/zinc/00/67/97/841006797.db2.gz LVMHFLBDDBYDFB-STQMWFEESA-N 1 2 320.418 1.333 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2c(C)nnn2CC)C1 ZINC001269151401 841267391 /nfs/dbraw/zinc/26/73/91/841267391.db2.gz NJQLVMMWWOLORN-CQSZACIVSA-N 1 2 319.453 1.899 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2c(C)nnn2CC)C1 ZINC001269151401 841267402 /nfs/dbraw/zinc/26/74/02/841267402.db2.gz NJQLVMMWWOLORN-CQSZACIVSA-N 1 2 319.453 1.899 20 30 DDEDLO C=CCN1CCC2(CCN(C(=O)CCc3[nH]cc[nH+]3)CC2)C1=O ZINC001269175580 841302378 /nfs/dbraw/zinc/30/23/78/841302378.db2.gz MZNRMONFZCTLAC-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)C2(C)CCCC2)C1=O ZINC001269266751 841443303 /nfs/dbraw/zinc/44/33/03/841443303.db2.gz JDEVDGAFTDEVOJ-LSDHHAIUSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)C2(C)CCCC2)C1=O ZINC001269266751 841443308 /nfs/dbraw/zinc/44/33/08/841443308.db2.gz JDEVDGAFTDEVOJ-LSDHHAIUSA-N 1 2 319.449 1.544 20 30 DDEDLO Cc1occc1C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001270306977 842473000 /nfs/dbraw/zinc/47/30/00/842473000.db2.gz YAWFOVQAZFPAHE-UHFFFAOYSA-N 1 2 324.384 1.756 20 30 DDEDLO CC1(CCC(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)CC1 ZINC001270528561 842645054 /nfs/dbraw/zinc/64/50/54/842645054.db2.gz DOAKVHMSSQJVQJ-HNNXBMFYSA-N 1 2 304.438 1.309 20 30 DDEDLO C#CCOCCC(=O)N[C@]1(C)CC[N@@H+]([C@H](C)c2nc(C)no2)C1 ZINC001270582965 842692132 /nfs/dbraw/zinc/69/21/32/842692132.db2.gz LHLSQMPFHMVNDF-MLGOLLRUSA-N 1 2 320.393 1.060 20 30 DDEDLO C#CCOCCC(=O)N[C@]1(C)CC[N@H+]([C@H](C)c2nc(C)no2)C1 ZINC001270582965 842692140 /nfs/dbraw/zinc/69/21/40/842692140.db2.gz LHLSQMPFHMVNDF-MLGOLLRUSA-N 1 2 320.393 1.060 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)C2(C)CCC(F)(F)CC2)C1 ZINC001271312430 843487150 /nfs/dbraw/zinc/48/71/50/843487150.db2.gz SMRNNOHDCZSYMT-UHFFFAOYSA-N 1 2 316.392 1.941 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2COCC[N@H+]2CCCCCF)c[nH]1 ZINC001280401784 843620264 /nfs/dbraw/zinc/62/02/64/843620264.db2.gz ALIKOAMWJJUAHY-HNNXBMFYSA-N 1 2 322.384 1.457 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2COCC[N@@H+]2CCCCCF)c[nH]1 ZINC001280401784 843620270 /nfs/dbraw/zinc/62/02/70/843620270.db2.gz ALIKOAMWJJUAHY-HNNXBMFYSA-N 1 2 322.384 1.457 20 30 DDEDLO O=C(/C=C\c1ccc(F)cc1)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001154809202 861475156 /nfs/dbraw/zinc/47/51/56/861475156.db2.gz RWNALHPKXUUFNG-JHAQOBCDSA-N 1 2 313.332 1.736 20 30 DDEDLO CC[C@H]1CCCC[C@H]1C(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001272146779 844653549 /nfs/dbraw/zinc/65/35/49/844653549.db2.gz ZKWBNOFYNVERBA-LSDHHAIUSA-N 1 2 322.453 1.233 20 30 DDEDLO CC[C@H]1CCCC[C@H]1C(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001272146779 844653555 /nfs/dbraw/zinc/65/35/55/844653555.db2.gz ZKWBNOFYNVERBA-LSDHHAIUSA-N 1 2 322.453 1.233 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cn(C)nc1Cl ZINC001409655335 845508978 /nfs/dbraw/zinc/50/89/78/845508978.db2.gz MMDKWDLSRYVNPA-VIFPVBQESA-N 1 2 305.209 1.876 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cn(C)nc1Cl ZINC001409655335 845508982 /nfs/dbraw/zinc/50/89/82/845508982.db2.gz MMDKWDLSRYVNPA-VIFPVBQESA-N 1 2 305.209 1.876 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1C[N@H+](Cc2cncc(F)c2)CCCO1 ZINC001149408967 861600194 /nfs/dbraw/zinc/60/01/94/861600194.db2.gz SHPNBAGGTXDOHS-WFASDCNBSA-N 1 2 320.368 1.087 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1C[N@@H+](Cc2cncc(F)c2)CCCO1 ZINC001149408967 861600205 /nfs/dbraw/zinc/60/02/05/861600205.db2.gz SHPNBAGGTXDOHS-WFASDCNBSA-N 1 2 320.368 1.087 20 30 DDEDLO CCc1nnc(C[N@@H+]2CCCCC[C@@H]2CNC(=O)[C@H](C)C#N)o1 ZINC001409872918 845969895 /nfs/dbraw/zinc/96/98/95/845969895.db2.gz WJOKCIHNKKHDAZ-CHWSQXEVSA-N 1 2 319.409 1.652 20 30 DDEDLO CCc1nnc(C[N@H+]2CCCCC[C@@H]2CNC(=O)[C@H](C)C#N)o1 ZINC001409872918 845969904 /nfs/dbraw/zinc/96/99/04/845969904.db2.gz WJOKCIHNKKHDAZ-CHWSQXEVSA-N 1 2 319.409 1.652 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](CCc3ccccc3)C2)OCC1=O ZINC001272447584 846145709 /nfs/dbraw/zinc/14/57/09/846145709.db2.gz XFTLVFWIPTWEEZ-UHFFFAOYSA-N 1 2 300.402 1.718 20 30 DDEDLO Cc1cc(C(=O)N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)c[nH]1 ZINC001272606988 846391506 /nfs/dbraw/zinc/39/15/06/846391506.db2.gz ZTFSFKPDTJMXFJ-UHFFFAOYSA-N 1 2 323.400 1.491 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3ccc(O)c(C)c3O)C2)OCC1=O ZINC001272660632 846503456 /nfs/dbraw/zinc/50/34/56/846503456.db2.gz VDNUFLKCDODXTE-UHFFFAOYSA-N 1 2 318.373 1.005 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)OCC2CC2)C1 ZINC001107790420 847083042 /nfs/dbraw/zinc/08/30/42/847083042.db2.gz FYPHXYLKRIGMLO-WMLDXEAASA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)OCC2CC2)C1 ZINC001107790420 847083046 /nfs/dbraw/zinc/08/30/46/847083046.db2.gz FYPHXYLKRIGMLO-WMLDXEAASA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)OCC2CC2)C1 ZINC001107790383 847083359 /nfs/dbraw/zinc/08/33/59/847083359.db2.gz FYPHXYLKRIGMLO-PBHICJAKSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)OCC2CC2)C1 ZINC001107790383 847083369 /nfs/dbraw/zinc/08/33/69/847083369.db2.gz FYPHXYLKRIGMLO-PBHICJAKSA-N 1 2 308.422 1.032 20 30 DDEDLO Cc1cccnc1C[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107819584 847196989 /nfs/dbraw/zinc/19/69/89/847196989.db2.gz RWEAEJOCDROKDE-WMLDXEAASA-N 1 2 316.405 1.257 20 30 DDEDLO Cc1cccnc1C[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107819584 847196993 /nfs/dbraw/zinc/19/69/93/847196993.db2.gz RWEAEJOCDROKDE-WMLDXEAASA-N 1 2 316.405 1.257 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]1(C)C[N@H+](CCc2ccns2)CCO1 ZINC001107821130 847226582 /nfs/dbraw/zinc/22/65/82/847226582.db2.gz JQASWYRBMXAMNI-IUODEOHRSA-N 1 2 322.434 1.052 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]1(C)C[N@@H+](CCc2ccns2)CCO1 ZINC001107821130 847226592 /nfs/dbraw/zinc/22/65/92/847226592.db2.gz JQASWYRBMXAMNI-IUODEOHRSA-N 1 2 322.434 1.052 20 30 DDEDLO C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cnccc1N ZINC001272808702 847460432 /nfs/dbraw/zinc/46/04/32/847460432.db2.gz OVGRAKKVLNGDFC-HZPDHXFCSA-N 1 2 300.406 1.636 20 30 DDEDLO C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1cnccc1N ZINC001272808702 847460443 /nfs/dbraw/zinc/46/04/43/847460443.db2.gz OVGRAKKVLNGDFC-HZPDHXFCSA-N 1 2 300.406 1.636 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H](C[NH2+]Cc2nnc(CC)o2)C1 ZINC001327019305 861801004 /nfs/dbraw/zinc/80/10/04/861801004.db2.gz KBTOZSFZTFPFGW-ZDUSSCGKSA-N 1 2 322.409 1.305 20 30 DDEDLO C=CCCC(=O)NC[C@H]1COCC[N@H+]1C[C@@H](OC)C1CCC1 ZINC001272843252 847537374 /nfs/dbraw/zinc/53/73/74/847537374.db2.gz PHUNZRSRTCYIDE-JKSUJKDBSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCCC(=O)NC[C@H]1COCC[N@@H+]1C[C@@H](OC)C1CCC1 ZINC001272843252 847537383 /nfs/dbraw/zinc/53/73/83/847537383.db2.gz PHUNZRSRTCYIDE-JKSUJKDBSA-N 1 2 310.438 1.585 20 30 DDEDLO N#C[C@H]1C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cccc(=O)[nH]1 ZINC001272886936 847594936 /nfs/dbraw/zinc/59/49/36/847594936.db2.gz ZOCDRYHPEDWFEB-REBRKWNGSA-N 1 2 312.373 1.122 20 30 DDEDLO N#C[C@H]1C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cccc(=O)[nH]1 ZINC001272886936 847594946 /nfs/dbraw/zinc/59/49/46/847594946.db2.gz ZOCDRYHPEDWFEB-REBRKWNGSA-N 1 2 312.373 1.122 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[NH2+][C@@H](C)c1noc(C)n1 ZINC001272938531 847666771 /nfs/dbraw/zinc/66/67/71/847666771.db2.gz LDRAWDUDLVEDER-GXTWGEPZSA-N 1 2 322.409 1.612 20 30 DDEDLO COCC#CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1scnc1C ZINC001272954574 847700599 /nfs/dbraw/zinc/70/05/99/847700599.db2.gz IEWUEDAWNDEJBU-OKILXGFUSA-N 1 2 319.430 1.277 20 30 DDEDLO COCC#CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1scnc1C ZINC001272954574 847700603 /nfs/dbraw/zinc/70/06/03/847700603.db2.gz IEWUEDAWNDEJBU-OKILXGFUSA-N 1 2 319.430 1.277 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](Cc3ccc(O)cc3O)C2)OCC1=O ZINC001272982134 847738618 /nfs/dbraw/zinc/73/86/18/847738618.db2.gz GIQGYHYMTPPVKF-UHFFFAOYSA-N 1 2 318.373 1.087 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CCCC[N@H+](Cc3ccon3)C2)c1 ZINC001034158455 848022831 /nfs/dbraw/zinc/02/28/31/848022831.db2.gz BCLUNIBYCGHKJZ-CYBMUJFWSA-N 1 2 313.361 1.659 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CCCC[N@@H+](Cc3ccon3)C2)c1 ZINC001034158455 848022839 /nfs/dbraw/zinc/02/28/39/848022839.db2.gz BCLUNIBYCGHKJZ-CYBMUJFWSA-N 1 2 313.361 1.659 20 30 DDEDLO CC(C)[C@H]([NH2+]Cc1cnc2c(C#N)cnn2c1)c1nncn1C ZINC001327103873 861889357 /nfs/dbraw/zinc/88/93/57/861889357.db2.gz AOOBQWPWKUQLCC-ZDUSSCGKSA-N 1 2 310.365 1.216 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc(Cl)cc1O)C2 ZINC001273157943 848597564 /nfs/dbraw/zinc/59/75/64/848597564.db2.gz YEDYZJXEKJLJHH-UHFFFAOYSA-N 1 2 320.776 1.092 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cnc(OC)s2)C1=O ZINC001273220748 848802947 /nfs/dbraw/zinc/80/29/47/848802947.db2.gz AQSRYXZYFLUGDT-HNNXBMFYSA-N 1 2 305.403 1.352 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cnc(OC)s2)C1=O ZINC001273220748 848802952 /nfs/dbraw/zinc/80/29/52/848802952.db2.gz AQSRYXZYFLUGDT-HNNXBMFYSA-N 1 2 305.403 1.352 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cc(C)sc1C)C2 ZINC001273353120 849620125 /nfs/dbraw/zinc/62/01/25/849620125.db2.gz UOTPVDXNIFDTPA-UHFFFAOYSA-N 1 2 304.415 1.411 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)Cc1occc1C)O2 ZINC001273668834 851205050 /nfs/dbraw/zinc/20/50/50/851205050.db2.gz HSWRECQGKNFRQH-AWEZNQCLSA-N 1 2 304.390 1.666 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)C[C@H](C)COC)O2 ZINC001273669129 851205145 /nfs/dbraw/zinc/20/51/45/851205145.db2.gz NOVRGQLJIUTVNN-LSDHHAIUSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H](C)C(C)C)O2 ZINC001273669517 851205785 /nfs/dbraw/zinc/20/57/85/851205785.db2.gz VPNYFRWWBIVEPD-CVEARBPZSA-N 1 2 324.465 1.831 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C(/C)C1CC1)CO2 ZINC001273684618 851224617 /nfs/dbraw/zinc/22/46/17/851224617.db2.gz FJQHLCHGIWQWBD-IHWMJMDHSA-N 1 2 320.433 1.505 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(CC=C)CCC1)CO2 ZINC001273713811 851261899 /nfs/dbraw/zinc/26/18/99/851261899.db2.gz UWYJEGOPKJTQDP-OAHLLOKOSA-N 1 2 302.418 1.716 20 30 DDEDLO N#CCCCC[NH+]1CC2(C1)CN(CC(F)(F)F)C(=O)CO2 ZINC001274043717 851882362 /nfs/dbraw/zinc/88/23/62/851882362.db2.gz UDYFTVPQYQAPKU-UHFFFAOYSA-N 1 2 305.300 1.156 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)CC(C)=C(C)C)CC2)C1 ZINC001274474370 852309427 /nfs/dbraw/zinc/30/94/27/852309427.db2.gz KSUXJYPJUXMFOF-UHFFFAOYSA-N 1 2 303.450 1.585 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H](CCC)CC(C)C)CC2)C1 ZINC001274479933 852312405 /nfs/dbraw/zinc/31/24/05/852312405.db2.gz NYONECSYKAJCPU-QGZVFWFLSA-N 1 2 319.493 1.910 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2cn(C)nn2)[C@@H](C)C1 ZINC001274626240 852457923 /nfs/dbraw/zinc/45/79/23/852457923.db2.gz YCSLFFDBXVNGSQ-KBPBESRZSA-N 1 2 305.426 1.641 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2cn(C)nn2)[C@@H](C)C1 ZINC001274626240 852457928 /nfs/dbraw/zinc/45/79/28/852457928.db2.gz YCSLFFDBXVNGSQ-KBPBESRZSA-N 1 2 305.426 1.641 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC(N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001299369474 852550041 /nfs/dbraw/zinc/55/00/41/852550041.db2.gz RLZAYIONEIEIJK-OLZOCXBDSA-N 1 2 318.421 1.470 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC(N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001299369474 852550047 /nfs/dbraw/zinc/55/00/47/852550047.db2.gz RLZAYIONEIEIJK-OLZOCXBDSA-N 1 2 318.421 1.470 20 30 DDEDLO N#CCCCN1C[C@]2(CCN(c3cccc[nH+]3)C2)OCC1=O ZINC001274740160 852569770 /nfs/dbraw/zinc/56/97/70/852569770.db2.gz SAYQPRVNZUPOQC-MRXNPFEDSA-N 1 2 300.362 1.193 20 30 DDEDLO C#CCCN(CCOC)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC001327678742 862380930 /nfs/dbraw/zinc/38/09/30/862380930.db2.gz LTMSVWFRLIGDQN-UHFFFAOYSA-N 1 2 319.405 1.888 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1coc(C)n1 ZINC001275107829 852811290 /nfs/dbraw/zinc/81/12/90/852811290.db2.gz GJZLWGAYKINOGC-BBRMVZONSA-N 1 2 319.405 1.444 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1coc(C)n1 ZINC001275107829 852811295 /nfs/dbraw/zinc/81/12/95/852811295.db2.gz GJZLWGAYKINOGC-BBRMVZONSA-N 1 2 319.405 1.444 20 30 DDEDLO COCC#CC(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC001327814769 862486043 /nfs/dbraw/zinc/48/60/43/862486043.db2.gz OPBBXVVCFKOXHD-UHFFFAOYSA-N 1 2 301.390 1.022 20 30 DDEDLO Cc1nn(C)cc1CN1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001276200156 854947874 /nfs/dbraw/zinc/94/78/74/854947874.db2.gz GCCOJOCFINVGMM-UHFFFAOYSA-N 1 2 324.432 1.257 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4cncnc4)C3)C2)cc1C#N ZINC001276301817 855476987 /nfs/dbraw/zinc/47/69/87/855476987.db2.gz GMZFIYWFHGGWIK-UHFFFAOYSA-N 1 2 308.389 1.005 20 30 DDEDLO COCC#CC(=O)N[C@@H](C)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001328084991 862684346 /nfs/dbraw/zinc/68/43/46/862684346.db2.gz UDIBBXBXFNXJFN-DOTOQJQBSA-N 1 2 316.401 1.042 20 30 DDEDLO COCC#CC(=O)N[C@@H](C)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001328084991 862684354 /nfs/dbraw/zinc/68/43/54/862684354.db2.gz UDIBBXBXFNXJFN-DOTOQJQBSA-N 1 2 316.401 1.042 20 30 DDEDLO Cc1nc(C[NH+]2CC([C@@H](C)NC(=O)C#CC(C)C)C2)c(C)o1 ZINC001276376918 856303141 /nfs/dbraw/zinc/30/31/41/856303141.db2.gz QOLQRTWOQJDDDK-GFCCVEGCSA-N 1 2 303.406 1.887 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001049557764 856973153 /nfs/dbraw/zinc/97/31/53/856973153.db2.gz KIGSEGCVSPJDSB-RBSFLKMASA-N 1 2 313.405 1.034 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1conc1COC ZINC001379767950 877962016 /nfs/dbraw/zinc/96/20/16/877962016.db2.gz RPBMHHHZUJCFIL-JTQLQIEISA-N 1 2 301.774 1.624 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1conc1COC ZINC001379767950 877962035 /nfs/dbraw/zinc/96/20/35/877962035.db2.gz RPBMHHHZUJCFIL-JTQLQIEISA-N 1 2 301.774 1.624 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)CCOCC(F)F)C1 ZINC001276381517 857215545 /nfs/dbraw/zinc/21/55/45/857215545.db2.gz MZPQABSBXMWZPR-SNVBAGLBSA-N 1 2 310.772 1.847 20 30 DDEDLO C=C(Br)C[N@H+]1CCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001072559986 857449847 /nfs/dbraw/zinc/44/98/47/857449847.db2.gz ZQZOGOCCUNWTRA-JTQLQIEISA-N 1 2 312.211 1.589 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001072559986 857449850 /nfs/dbraw/zinc/44/98/50/857449850.db2.gz ZQZOGOCCUNWTRA-JTQLQIEISA-N 1 2 312.211 1.589 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001072631482 857535323 /nfs/dbraw/zinc/53/53/23/857535323.db2.gz AFFSWTNHFQJVRV-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001072631482 857535333 /nfs/dbraw/zinc/53/53/33/857535333.db2.gz AFFSWTNHFQJVRV-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO CC(=O)NC[C@H]1C[N@H+](Cc2cc(C#N)ccc2F)CCCO1 ZINC001073501653 858387550 /nfs/dbraw/zinc/38/75/50/858387550.db2.gz PCVITQQLONCVMY-HNNXBMFYSA-N 1 2 305.353 1.424 20 30 DDEDLO CC(=O)NC[C@H]1C[N@@H+](Cc2cc(C#N)ccc2F)CCCO1 ZINC001073501653 858387556 /nfs/dbraw/zinc/38/75/56/858387556.db2.gz PCVITQQLONCVMY-HNNXBMFYSA-N 1 2 305.353 1.424 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NC[C@@H](CO)Nc1cc[nH+]c(C)n1)OCC ZINC001122126184 858691971 /nfs/dbraw/zinc/69/19/71/858691971.db2.gz GZHJDDCCDCARKC-UONOGXRCSA-N 1 2 322.409 1.045 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCOCC)n2CC(=C)C)CC1 ZINC001122536968 858872354 /nfs/dbraw/zinc/87/23/54/858872354.db2.gz PDBPSJZZQCSJSN-UHFFFAOYSA-N 1 2 317.437 1.188 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@H]1CCOC1 ZINC001123231352 859137665 /nfs/dbraw/zinc/13/76/65/859137665.db2.gz QYWRMHRTKAKHAB-CABCVRRESA-N 1 2 317.437 1.541 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@H]1CCOC1 ZINC001123231352 859137671 /nfs/dbraw/zinc/13/76/71/859137671.db2.gz QYWRMHRTKAKHAB-CABCVRRESA-N 1 2 317.437 1.541 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@](C)(C#N)C2)ccc1OCC(N)=O ZINC001138599317 860141299 /nfs/dbraw/zinc/14/12/99/860141299.db2.gz HPNOEULRIATGMU-MRXNPFEDSA-N 1 2 303.362 1.295 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@](C)(C#N)C2)ccc1OCC(N)=O ZINC001138599317 860141305 /nfs/dbraw/zinc/14/13/05/860141305.db2.gz HPNOEULRIATGMU-MRXNPFEDSA-N 1 2 303.362 1.295 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccccc2OCC(=O)OCC)CC1 ZINC001139136357 860303681 /nfs/dbraw/zinc/30/36/81/860303681.db2.gz WBJVKPMOKVDOHF-UHFFFAOYSA-N 1 2 316.401 1.379 20 30 DDEDLO CC(=O)NC1C[NH+](Cc2ccc(-c3cc(C#N)ccn3)cc2)C1 ZINC001139407306 860388524 /nfs/dbraw/zinc/38/85/24/860388524.db2.gz WWSXJFWANQVSDW-UHFFFAOYSA-N 1 2 306.369 1.941 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(Cc3cc(C#N)ccc3F)C2)CCO1 ZINC001139649610 860447512 /nfs/dbraw/zinc/44/75/12/860447512.db2.gz SBALXBHYSDARIX-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO CC1(C)C[N@H+](C2CN(Cc3cc(C#N)ccc3F)C2)CCO1 ZINC001139649610 860447515 /nfs/dbraw/zinc/44/75/15/860447515.db2.gz SBALXBHYSDARIX-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3c(N)cccc3F)CC2)c1 ZINC001140875228 860722166 /nfs/dbraw/zinc/72/21/66/860722166.db2.gz BRZCLTDALBEMGG-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO CC1(C)CN(Cc2ccc(F)cc2C#N)CC[N@@H+]1CC(N)=O ZINC001141166566 860800794 /nfs/dbraw/zinc/80/07/94/860800794.db2.gz WKXASURBCQMWGE-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC1(C)CN(Cc2ccc(F)cc2C#N)CC[N@H+]1CC(N)=O ZINC001141166566 860800795 /nfs/dbraw/zinc/80/07/95/860800795.db2.gz WKXASURBCQMWGE-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@@H+](Cc3ccc(C#N)c(SC)n3)C[C@@H]21 ZINC001141941361 860976091 /nfs/dbraw/zinc/97/60/91/860976091.db2.gz GNUBZKYTJKOOIN-ITGUQSILSA-N 1 2 303.387 1.526 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@H+](Cc3ccc(C#N)c(SC)n3)C[C@@H]21 ZINC001141941361 860976097 /nfs/dbraw/zinc/97/60/97/860976097.db2.gz GNUBZKYTJKOOIN-ITGUQSILSA-N 1 2 303.387 1.526 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1nccs1 ZINC001328720736 863175289 /nfs/dbraw/zinc/17/52/89/863175289.db2.gz PFLHBWKWLDYLJI-KGLIPLIRSA-N 1 2 321.446 1.604 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1nccs1 ZINC001328720736 863175294 /nfs/dbraw/zinc/17/52/94/863175294.db2.gz PFLHBWKWLDYLJI-KGLIPLIRSA-N 1 2 321.446 1.604 20 30 DDEDLO CC[N@H+](Cc1ncc(C)o1)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152854969 863571464 /nfs/dbraw/zinc/57/14/64/863571464.db2.gz QMGTUZZQYAXFDV-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO CC[N@@H+](Cc1ncc(C)o1)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152854969 863571474 /nfs/dbraw/zinc/57/14/74/863571474.db2.gz QMGTUZZQYAXFDV-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO C[C@H](CNC(=O)C#CC1CC1)[N@H+](C)Cc1nc2ccccc2n1C ZINC001329430782 863660848 /nfs/dbraw/zinc/66/08/48/863660848.db2.gz FVUHBVCMVKXRCI-CQSZACIVSA-N 1 2 324.428 1.923 20 30 DDEDLO C[C@H](CNC(=O)C#CC1CC1)[N@@H+](C)Cc1nc2ccccc2n1C ZINC001329430782 863660854 /nfs/dbraw/zinc/66/08/54/863660854.db2.gz FVUHBVCMVKXRCI-CQSZACIVSA-N 1 2 324.428 1.923 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2cccc(Cl)c2F)C1 ZINC001329692259 863793831 /nfs/dbraw/zinc/79/38/31/863793831.db2.gz AOXUXQPSFBSJOP-UHFFFAOYSA-N 1 2 324.783 1.669 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C(C)(C)CC(F)(F)F)C1 ZINC001329707729 863803556 /nfs/dbraw/zinc/80/35/56/863803556.db2.gz NRMSADKCSGRHAO-UHFFFAOYSA-N 1 2 320.355 1.541 20 30 DDEDLO C[N@@H+](Cc1ccon1)C[C@H]1CCCCN1C(=O)CSCC#N ZINC001157573896 863938884 /nfs/dbraw/zinc/93/88/84/863938884.db2.gz STBPNVSMVVKQBC-CQSZACIVSA-N 1 2 322.434 1.744 20 30 DDEDLO C[N@H+](Cc1ccon1)C[C@H]1CCCCN1C(=O)CSCC#N ZINC001157573896 863938899 /nfs/dbraw/zinc/93/88/99/863938899.db2.gz STBPNVSMVVKQBC-CQSZACIVSA-N 1 2 322.434 1.744 20 30 DDEDLO COc1ccnc(C(=N)Nc2cc(N3CCCC3)[nH+]c(C)n2)n1 ZINC001157628873 863990925 /nfs/dbraw/zinc/99/09/25/863990925.db2.gz GUQCZJMQWQMJIA-UHFFFAOYSA-N 1 2 313.365 1.221 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)CC2CC(C)(C)C2)C1 ZINC001330037629 864036525 /nfs/dbraw/zinc/03/65/25/864036525.db2.gz BCLFYPADKYRYKT-CYBMUJFWSA-N 1 2 321.465 1.551 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001158149200 864457564 /nfs/dbraw/zinc/45/75/64/864457564.db2.gz YZIWHRCNKAJEMA-LSDHHAIUSA-N 1 2 315.421 1.282 20 30 DDEDLO N#Cc1c[nH]c2cc[nH+]c(N3CC[C@@H](Oc4cnccn4)C3)c12 ZINC001158368839 864609500 /nfs/dbraw/zinc/60/95/00/864609500.db2.gz WWRMJKNGQHMBGH-GFCCVEGCSA-N 1 2 306.329 1.882 20 30 DDEDLO C[C@H]1C[NH+](Cc2cnc(N3CCCC3)nc2)C[C@H](C)N1CC#N ZINC001331586028 865167969 /nfs/dbraw/zinc/16/79/69/865167969.db2.gz WVRJGOXTBYZBRQ-GJZGRUSLSA-N 1 2 314.437 1.495 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC1=CC[N@@H+]([C@@H](C)c2ncccn2)CC1 ZINC001159587685 865415663 /nfs/dbraw/zinc/41/56/63/865415663.db2.gz WPUPMBILZLWXRG-KBPBESRZSA-N 1 2 313.405 1.836 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC1=CC[N@H+]([C@@H](C)c2ncccn2)CC1 ZINC001159587685 865415666 /nfs/dbraw/zinc/41/56/66/865415666.db2.gz WPUPMBILZLWXRG-KBPBESRZSA-N 1 2 313.405 1.836 20 30 DDEDLO N#CCNC[C@H]1CC[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001332261699 865705233 /nfs/dbraw/zinc/70/52/33/865705233.db2.gz NMXIQNMXKDVHII-UONOGXRCSA-N 1 2 315.421 1.160 20 30 DDEDLO CC(C)(C)C#CCNc1cc(N2CCC[C@@H]2C(N)=O)nc[nH+]1 ZINC001160396434 865814117 /nfs/dbraw/zinc/81/41/17/865814117.db2.gz IEHKGHQBSPTOCP-GFCCVEGCSA-N 1 2 301.394 1.392 20 30 DDEDLO CC(C)(C)C#CCNc1cc(N2CCC[C@@H]2C(N)=O)[nH+]cn1 ZINC001160396434 865814130 /nfs/dbraw/zinc/81/41/30/865814130.db2.gz IEHKGHQBSPTOCP-GFCCVEGCSA-N 1 2 301.394 1.392 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H](O)C[N@H+](C)Cc1cc(C)on1 ZINC001332663973 866041099 /nfs/dbraw/zinc/04/10/99/866041099.db2.gz RCIICSJVOMJSQS-WBVHZDCISA-N 1 2 323.437 1.836 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1cc(C)on1 ZINC001332663973 866041109 /nfs/dbraw/zinc/04/11/09/866041109.db2.gz RCIICSJVOMJSQS-WBVHZDCISA-N 1 2 323.437 1.836 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CC(=O)Nc2cc(OC)ccc2OC)C1 ZINC001320038376 866442143 /nfs/dbraw/zinc/44/21/43/866442143.db2.gz RBPMCOXGWURXCM-CQSZACIVSA-N 1 2 320.389 1.919 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CC(=O)Nc2cc(OC)ccc2OC)C1 ZINC001320038376 866442145 /nfs/dbraw/zinc/44/21/45/866442145.db2.gz RBPMCOXGWURXCM-CQSZACIVSA-N 1 2 320.389 1.919 20 30 DDEDLO N#CCCn1ccc(NC(=O)C(=O)Nc2ccc3[nH+]ccn3c2)n1 ZINC001333182592 866495076 /nfs/dbraw/zinc/49/50/76/866495076.db2.gz XWAQHHZYHMWCJR-UHFFFAOYSA-N 1 2 323.316 1.022 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@@H+]1Cc1cc(C)ncn1 ZINC001323287071 866499669 /nfs/dbraw/zinc/49/96/69/866499669.db2.gz LWBJMAPIOZNBIM-MRXNPFEDSA-N 1 2 300.406 1.669 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@H+]1Cc1cc(C)ncn1 ZINC001323287071 866499676 /nfs/dbraw/zinc/49/96/76/866499676.db2.gz LWBJMAPIOZNBIM-MRXNPFEDSA-N 1 2 300.406 1.669 20 30 DDEDLO N#Cc1cc2c(nc1NC1CN(c3cccc[nH+]3)C1)CCOC2 ZINC001161322771 866527767 /nfs/dbraw/zinc/52/77/67/866527767.db2.gz OFRKGEDZVITKKM-UHFFFAOYSA-N 1 2 307.357 1.722 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C1(CC)CCCC1 ZINC001323325975 866531019 /nfs/dbraw/zinc/53/10/19/866531019.db2.gz XOOPQBDZKVLSLP-GJZGRUSLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1(CC)CCCC1 ZINC001323325975 866531030 /nfs/dbraw/zinc/53/10/30/866531030.db2.gz XOOPQBDZKVLSLP-GJZGRUSLSA-N 1 2 321.465 1.838 20 30 DDEDLO CCc1nnc([C@@H](C)[NH2+]C2(CNC(=O)C#CC(C)C)CC2)o1 ZINC001323437557 866609523 /nfs/dbraw/zinc/60/95/23/866609523.db2.gz JUHXOFPUFPOXJY-GFCCVEGCSA-N 1 2 304.394 1.591 20 30 DDEDLO CO[C@H](C)c1nc(C[NH2+]C/C=C/CNC(=O)[C@H](C)C#N)cs1 ZINC001320998899 867190411 /nfs/dbraw/zinc/19/04/11/867190411.db2.gz QSCWDHGIRDDJFA-GKUNOOHESA-N 1 2 322.434 1.772 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C/C=C\CNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001321012338 867211575 /nfs/dbraw/zinc/21/15/75/867211575.db2.gz TWTAEEKXXZQQSX-XOULXFPDSA-N 1 2 314.349 1.215 20 30 DDEDLO C#CCN(C(=O)C1CC=CC1)C1CC[NH+](Cc2ncccn2)CC1 ZINC001324377135 867239655 /nfs/dbraw/zinc/23/96/55/867239655.db2.gz MYEUPFKVZRCSMU-UHFFFAOYSA-N 1 2 324.428 1.869 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C[NH2+]Cc1csc(NC(C)=O)n1 ZINC001321500047 867628404 /nfs/dbraw/zinc/62/84/04/867628404.db2.gz MVFBVWIIFJRUSZ-LLVKDONJSA-N 1 2 322.434 1.499 20 30 DDEDLO C=CC[C@H](CO)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001325130721 867801601 /nfs/dbraw/zinc/80/16/01/867801601.db2.gz UXOALQSQUJJHRL-CVEARBPZSA-N 1 2 303.406 1.489 20 30 DDEDLO C=CC[C@H](CO)NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001325130721 867801616 /nfs/dbraw/zinc/80/16/16/867801616.db2.gz UXOALQSQUJJHRL-CVEARBPZSA-N 1 2 303.406 1.489 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)NCc2nc(C[NH+](C)C)cs2)C1 ZINC001335213183 868141682 /nfs/dbraw/zinc/14/16/82/868141682.db2.gz UOASZNCCUJHMLB-ZDUSSCGKSA-N 1 2 324.450 1.691 20 30 DDEDLO CCc1nc(C[NH2+]CCCN(C)C(=O)c2c[nH]c(C#N)c2)no1 ZINC001322473305 868190005 /nfs/dbraw/zinc/19/00/05/868190005.db2.gz WRXKWOAPXWQTFA-UHFFFAOYSA-N 1 2 316.365 1.084 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(-c2ccc(Cl)c(C#N)n2)cn1 ZINC001163411015 868427647 /nfs/dbraw/zinc/42/76/47/868427647.db2.gz BSICQCBAJGSYKK-NSHDSACASA-N 1 2 319.752 1.225 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2CC23C[NH+](Cc2ccnc(F)c2)C3)CCC1 ZINC001277100700 882211496 /nfs/dbraw/zinc/21/14/96/882211496.db2.gz ZWGVRXGTFTZPOZ-ZDUSSCGKSA-N 1 2 314.364 1.605 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](CNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001336887074 869202398 /nfs/dbraw/zinc/20/23/98/869202398.db2.gz XKVXTDSFEXSVFI-AWEZNQCLSA-N 1 2 304.394 1.203 20 30 DDEDLO COCC#CC[NH2+]CC1(CCNC(=O)C(F)C(F)(F)F)CC1 ZINC001164597235 869316201 /nfs/dbraw/zinc/31/62/01/869316201.db2.gz ZLSHCZJGHPKPIL-LLVKDONJSA-N 1 2 324.318 1.413 20 30 DDEDLO COCC#CC[NH2+]CC1(CCNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001164597235 869316216 /nfs/dbraw/zinc/31/62/16/869316216.db2.gz ZLSHCZJGHPKPIL-LLVKDONJSA-N 1 2 324.318 1.413 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1C[C@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001338117519 869814453 /nfs/dbraw/zinc/81/44/53/869814453.db2.gz QMUZQXUNRZOFPC-GJZGRUSLSA-N 1 2 316.405 1.182 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](CC)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001297458005 870057348 /nfs/dbraw/zinc/05/73/48/870057348.db2.gz OSJBIGQILMRLKU-CYBMUJFWSA-N 1 2 320.437 1.874 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(NC(C)=O)CCCC1 ZINC001317050487 870166513 /nfs/dbraw/zinc/16/65/13/870166513.db2.gz RNGIMITVKGWTKI-IYBDPMFKSA-N 1 2 317.433 1.134 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(NC(C)=O)CCCC1 ZINC001317050487 870166520 /nfs/dbraw/zinc/16/65/20/870166520.db2.gz RNGIMITVKGWTKI-IYBDPMFKSA-N 1 2 317.433 1.134 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCCCC#N)n2CC=C)CC1 ZINC001339020759 870302482 /nfs/dbraw/zinc/30/24/82/870302482.db2.gz DXITVKGYIYLEGK-UHFFFAOYSA-N 1 2 312.421 1.456 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1CCC[N@H+](Cc2ncnn2C)C1 ZINC001317135238 870330992 /nfs/dbraw/zinc/33/09/92/870330992.db2.gz IIYUXIQUAFDWPG-CABCVRRESA-N 1 2 319.453 1.746 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1CCC[N@@H+](Cc2ncnn2C)C1 ZINC001317135238 870331006 /nfs/dbraw/zinc/33/10/06/870331006.db2.gz IIYUXIQUAFDWPG-CABCVRRESA-N 1 2 319.453 1.746 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2cc(C#N)cc(Cl)n2)CC1 ZINC001339532105 870543762 /nfs/dbraw/zinc/54/37/62/870543762.db2.gz FXOHDEAKKNAPOI-UHFFFAOYSA-N 1 2 307.785 1.183 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC1CC[NH+](Cc2ccon2)CC1 ZINC001226447841 882435756 /nfs/dbraw/zinc/43/57/56/882435756.db2.gz QPTNJNKSGPJUEW-CYBMUJFWSA-N 1 2 307.394 1.736 20 30 DDEDLO Cc1ccc(C[NH+]2CC(CCO)(NC(=O)[C@H](C)C#N)C2)cc1C ZINC001381666374 882447460 /nfs/dbraw/zinc/44/74/60/882447460.db2.gz YDQGJMJTMQBFGN-OAHLLOKOSA-N 1 2 315.417 1.516 20 30 DDEDLO C=CCn1c([C@H](C)O)nnc1N1CCN(c2cccc[nH+]2)CC1 ZINC001340309881 871022864 /nfs/dbraw/zinc/02/28/64/871022864.db2.gz YRMOEFFFROCGSA-ZDUSSCGKSA-N 1 2 314.393 1.239 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[N@@H+](Cc1cnnn1CC)C1CC1 ZINC001317555137 871062279 /nfs/dbraw/zinc/06/22/79/871062279.db2.gz QIGZCBLWMGHZIZ-UHFFFAOYSA-N 1 2 319.453 1.981 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[N@H+](Cc1cnnn1CC)C1CC1 ZINC001317555137 871062300 /nfs/dbraw/zinc/06/23/00/871062300.db2.gz QIGZCBLWMGHZIZ-UHFFFAOYSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ncnn2CC)[C@@H]1CC ZINC001316731587 871170985 /nfs/dbraw/zinc/17/09/85/871170985.db2.gz KGEGGBXVDAZWTK-UONOGXRCSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ncnn2CC)[C@@H]1CC ZINC001316731587 871170989 /nfs/dbraw/zinc/17/09/89/871170989.db2.gz KGEGGBXVDAZWTK-UONOGXRCSA-N 1 2 305.426 1.733 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ncc(C)o2)[C@@H]1CC ZINC001316734561 871235288 /nfs/dbraw/zinc/23/52/88/871235288.db2.gz OPUBQVPXQGECND-QLFBSQMISA-N 1 2 319.405 1.490 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)o2)[C@@H]1CC ZINC001316734561 871235302 /nfs/dbraw/zinc/23/53/02/871235302.db2.gz OPUBQVPXQGECND-QLFBSQMISA-N 1 2 319.405 1.490 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1C[C@@H](C)[C@H](C)C1 ZINC001340732404 871316883 /nfs/dbraw/zinc/31/68/83/871316883.db2.gz GQIJULJWGZKMJP-CHWSQXEVSA-N 1 2 300.410 1.881 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+](C)CC(=O)N(C)CC)CCCC1 ZINC001317473650 871467764 /nfs/dbraw/zinc/46/77/64/871467764.db2.gz ZEUQIDMBLQMGKF-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+](C)CC(=O)N(C)CC)CCCC1 ZINC001317473650 871467775 /nfs/dbraw/zinc/46/77/75/871467775.db2.gz ZEUQIDMBLQMGKF-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C[N@H+](CCCCC1(C#N)CCC1)Cc1nnc2n1CCNC2=O ZINC001308070151 871468845 /nfs/dbraw/zinc/46/88/45/871468845.db2.gz FEYUDTUWMHGDDI-UHFFFAOYSA-N 1 2 316.409 1.317 20 30 DDEDLO C[N@@H+](CCCCC1(C#N)CCC1)Cc1nnc2n1CCNC2=O ZINC001308070151 871468860 /nfs/dbraw/zinc/46/88/60/871468860.db2.gz FEYUDTUWMHGDDI-UHFFFAOYSA-N 1 2 316.409 1.317 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCC[C@H]3O)n2CC)CC1 ZINC001341115361 871509340 /nfs/dbraw/zinc/50/93/40/871509340.db2.gz DOPCKZOHEFCORJ-HUUCEWRRSA-N 1 2 317.437 1.072 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+](Cc2nccn2CC)CC1 ZINC001226589026 882524108 /nfs/dbraw/zinc/52/41/08/882524108.db2.gz GXNVKBCELVFYCG-UHFFFAOYSA-N 1 2 306.410 1.186 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)C23CCC(CC2)C3)CC1 ZINC001317819226 871543296 /nfs/dbraw/zinc/54/32/96/871543296.db2.gz SXQUPFRDIJYPGP-UHFFFAOYSA-N 1 2 317.477 1.666 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC[C@@H]3CCCO3)n2CC)CC1 ZINC001341185921 871545285 /nfs/dbraw/zinc/54/52/85/871545285.db2.gz GMNJDIBHOXCAMP-HNNXBMFYSA-N 1 2 317.437 1.165 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@H](NC(=O)C#CC(C)C)C2)ncn1 ZINC001317969177 871659928 /nfs/dbraw/zinc/65/99/28/871659928.db2.gz PAZXOUUVLIEXJU-HNNXBMFYSA-N 1 2 300.406 1.525 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@H](NC(=O)C#CC(C)C)C2)ncn1 ZINC001317969177 871659932 /nfs/dbraw/zinc/65/99/32/871659932.db2.gz PAZXOUUVLIEXJU-HNNXBMFYSA-N 1 2 300.406 1.525 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccn1 ZINC001318196771 871817543 /nfs/dbraw/zinc/81/75/43/871817543.db2.gz ZRILNAHQRUSXLZ-YQQAZPJKSA-N 1 2 301.390 1.194 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccn1 ZINC001318196771 871817561 /nfs/dbraw/zinc/81/75/61/871817561.db2.gz ZRILNAHQRUSXLZ-YQQAZPJKSA-N 1 2 301.390 1.194 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CC1(F)F)O2 ZINC001315479901 871912202 /nfs/dbraw/zinc/91/22/02/871912202.db2.gz UTFVCCHJTNQKCX-NEPJUHHUSA-N 1 2 300.349 1.567 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2nc(C)c(C)s2)C1 ZINC001318301685 871914136 /nfs/dbraw/zinc/91/41/36/871914136.db2.gz SWSRWFVEJDFSTQ-ZDUSSCGKSA-N 1 2 307.419 1.214 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2nc(C)c(C)s2)C1 ZINC001318301685 871914149 /nfs/dbraw/zinc/91/41/49/871914149.db2.gz SWSRWFVEJDFSTQ-ZDUSSCGKSA-N 1 2 307.419 1.214 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001318318940 871923656 /nfs/dbraw/zinc/92/36/56/871923656.db2.gz FSVJVTNRYALZCQ-QWHCGFSZSA-N 1 2 324.450 1.518 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001318318940 871923676 /nfs/dbraw/zinc/92/36/76/871923676.db2.gz FSVJVTNRYALZCQ-QWHCGFSZSA-N 1 2 324.450 1.518 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H](NC(=O)C#CC3CC3)[C@H]2C)c(C)o1 ZINC001316782935 872038322 /nfs/dbraw/zinc/03/83/22/872038322.db2.gz MZZCJTGWENGNMJ-ABAIWWIYSA-N 1 2 301.390 1.784 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H](NC(=O)C#CC3CC3)[C@H]2C)c(C)o1 ZINC001316782935 872038344 /nfs/dbraw/zinc/03/83/44/872038344.db2.gz MZZCJTGWENGNMJ-ABAIWWIYSA-N 1 2 301.390 1.784 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)[C@@H]2CCC(C)(C)C2)CC[N@@H+]1CC(=O)NCC#N ZINC001316791586 872055929 /nfs/dbraw/zinc/05/59/29/872055929.db2.gz SULDYVWUVGCMQI-MCIONIFRSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)[C@@H]2CCC(C)(C)C2)CC[N@H+]1CC(=O)NCC#N ZINC001316791586 872055940 /nfs/dbraw/zinc/05/59/40/872055940.db2.gz SULDYVWUVGCMQI-MCIONIFRSA-N 1 2 320.437 1.031 20 30 DDEDLO CC[C@@H](CC(=O)N(C)[C@H]1CC[N@H+](CC(=O)NCC#N)C1)C(C)C ZINC001318447147 872059272 /nfs/dbraw/zinc/05/92/72/872059272.db2.gz XRBVHRONSDDIEY-GJZGRUSLSA-N 1 2 322.453 1.231 20 30 DDEDLO CC[C@@H](CC(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1)C(C)C ZINC001318447147 872059293 /nfs/dbraw/zinc/05/92/93/872059293.db2.gz XRBVHRONSDDIEY-GJZGRUSLSA-N 1 2 322.453 1.231 20 30 DDEDLO C=CCCC(=O)N(CC)[C@H]1CC[N@@H+]([C@@H](C)c2nncn2C)C1 ZINC001318457839 872067010 /nfs/dbraw/zinc/06/70/10/872067010.db2.gz KRVDCQNIYIUUTF-KBPBESRZSA-N 1 2 305.426 1.765 20 30 DDEDLO C=CCCC(=O)N(CC)[C@H]1CC[N@H+]([C@@H](C)c2nncn2C)C1 ZINC001318457839 872067030 /nfs/dbraw/zinc/06/70/30/872067030.db2.gz KRVDCQNIYIUUTF-KBPBESRZSA-N 1 2 305.426 1.765 20 30 DDEDLO CCc1nnc(C[N@H+](C)CCCNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001316820491 872111194 /nfs/dbraw/zinc/11/11/94/872111194.db2.gz WHIBBJCSKCTOGW-UHFFFAOYSA-N 1 2 316.365 1.084 20 30 DDEDLO CCc1nnc(C[N@@H+](C)CCCNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001316820491 872111198 /nfs/dbraw/zinc/11/11/98/872111198.db2.gz WHIBBJCSKCTOGW-UHFFFAOYSA-N 1 2 316.365 1.084 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001342265985 872112178 /nfs/dbraw/zinc/11/21/78/872112178.db2.gz LZYKGVWPOQSIMK-CYBMUJFWSA-N 1 2 304.394 1.518 20 30 DDEDLO C=CCO[C@H]1CCN(c2nnc(Cc3[nH+]ccn3C)n2CC)C1 ZINC001342592722 872283124 /nfs/dbraw/zinc/28/31/24/872283124.db2.gz VBBRPKBZDVUAGU-ZDUSSCGKSA-N 1 2 316.409 1.404 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@@]2(C)C=CCC2)C1 ZINC001319320937 872569162 /nfs/dbraw/zinc/56/91/62/872569162.db2.gz ZESPECGDWTUXPJ-WBVHZDCISA-N 1 2 308.422 1.362 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@@]2(C)C=CCC2)C1 ZINC001319320937 872569176 /nfs/dbraw/zinc/56/91/76/872569176.db2.gz ZESPECGDWTUXPJ-WBVHZDCISA-N 1 2 308.422 1.362 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1C[N@H+](CCOC(C)C)CCO1 ZINC001319322834 872572867 /nfs/dbraw/zinc/57/28/67/872572867.db2.gz QGTIEMQXRLCPEE-HNNXBMFYSA-N 1 2 312.454 1.831 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1C[N@@H+](CCOC(C)C)CCO1 ZINC001319322834 872572879 /nfs/dbraw/zinc/57/28/79/872572879.db2.gz QGTIEMQXRLCPEE-HNNXBMFYSA-N 1 2 312.454 1.831 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@@H+](Cc2ncccn2)C[C@H]1C ZINC001206778872 872573147 /nfs/dbraw/zinc/57/31/47/872573147.db2.gz NZDAEBGZOPQKDI-HUUCEWRRSA-N 1 2 318.421 1.396 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@H+](Cc2ncccn2)C[C@H]1C ZINC001206778872 872573153 /nfs/dbraw/zinc/57/31/53/872573153.db2.gz NZDAEBGZOPQKDI-HUUCEWRRSA-N 1 2 318.421 1.396 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)Cc2ccccc2C)C1 ZINC001319331187 872583663 /nfs/dbraw/zinc/58/36/63/872583663.db2.gz FCRUHXWOFZRMIX-KRWDZBQOSA-N 1 2 302.418 1.931 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)Cc2ccccc2C)C1 ZINC001319331187 872583671 /nfs/dbraw/zinc/58/36/71/872583671.db2.gz FCRUHXWOFZRMIX-KRWDZBQOSA-N 1 2 302.418 1.931 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)CN(C)c2ccccc2)C1 ZINC001319332912 872584697 /nfs/dbraw/zinc/58/46/97/872584697.db2.gz OJEMYYGYOVHKRM-MRXNPFEDSA-N 1 2 303.406 1.126 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)CN(C)c2ccccc2)C1 ZINC001319332912 872584699 /nfs/dbraw/zinc/58/46/99/872584699.db2.gz OJEMYYGYOVHKRM-MRXNPFEDSA-N 1 2 303.406 1.126 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N1CCC(CO)CC1 ZINC001343546181 872667218 /nfs/dbraw/zinc/66/72/18/872667218.db2.gz MZFRMWTVZNGDJC-HNNXBMFYSA-N 1 2 319.453 1.830 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CCC(CO)CC1 ZINC001343546181 872667223 /nfs/dbraw/zinc/66/72/23/872667223.db2.gz MZFRMWTVZNGDJC-HNNXBMFYSA-N 1 2 319.453 1.830 20 30 DDEDLO C=CCN(CC[N@@H+]1CCO[C@H](COC)C1)C(=O)OC(C)(C)C ZINC001207203427 873119904 /nfs/dbraw/zinc/11/99/04/873119904.db2.gz CMRVKFWLGNYBCO-AWEZNQCLSA-N 1 2 314.426 1.757 20 30 DDEDLO C=CCN(CC[N@H+]1CCO[C@H](COC)C1)C(=O)OC(C)(C)C ZINC001207203427 873119913 /nfs/dbraw/zinc/11/99/13/873119913.db2.gz CMRVKFWLGNYBCO-AWEZNQCLSA-N 1 2 314.426 1.757 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1([NH2+]Cc2nnnn2C2CC2)CC1 ZINC001277164686 882712024 /nfs/dbraw/zinc/71/20/24/882712024.db2.gz MIGOFUCGXZNCIH-HNNXBMFYSA-N 1 2 318.425 1.349 20 30 DDEDLO C#CCC1(C(=O)N2CCC[C@@H]([NH2+]Cc3nc(C)no3)C2)CCC1 ZINC001208319791 874004357 /nfs/dbraw/zinc/00/43/57/874004357.db2.gz ZGTWRHOXIDRDBF-CQSZACIVSA-N 1 2 316.405 1.652 20 30 DDEDLO C#Cc1ccc(NC(=O)C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)cc1 ZINC001348107613 874362482 /nfs/dbraw/zinc/36/24/82/874362482.db2.gz PUPIVRPLNJRBKL-UHFFFAOYSA-N 1 2 322.368 1.736 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1C[C@@H]1CCC=CO1 ZINC001208876489 874498840 /nfs/dbraw/zinc/49/88/40/874498840.db2.gz IMCAGRSGQGWDNH-DZGCQCFKSA-N 1 2 304.394 1.610 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1C[C@@H]1CCC=CO1 ZINC001208876489 874498849 /nfs/dbraw/zinc/49/88/49/874498849.db2.gz IMCAGRSGQGWDNH-DZGCQCFKSA-N 1 2 304.394 1.610 20 30 DDEDLO CC(C)NC(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001276609368 874612328 /nfs/dbraw/zinc/61/23/28/874612328.db2.gz BOSOWFCHSSHGAS-UHFFFAOYSA-N 1 2 301.394 1.132 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+](Cc2coc(C)n2)CC1 ZINC001227124467 882855459 /nfs/dbraw/zinc/85/54/59/882855459.db2.gz UBZWQISMEAIGSS-INIZCTEOSA-N 1 2 307.394 1.391 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001209335946 874820650 /nfs/dbraw/zinc/82/06/50/874820650.db2.gz TZIXZBQMHNKDEW-ZDUSSCGKSA-N 1 2 322.409 1.653 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4ccc(N)nc4)C3)C2)cc1C#N ZINC001276654733 874989342 /nfs/dbraw/zinc/98/93/42/874989342.db2.gz TVXZPKNCYONDBT-UHFFFAOYSA-N 1 2 322.416 1.192 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)Cc2cnn(C)c2)C1 ZINC001209853063 875143680 /nfs/dbraw/zinc/14/36/80/875143680.db2.gz UFXJLUXFHDOFTG-YWPYICTPSA-N 1 2 324.856 1.788 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)Cc2cnn(C)c2)C1 ZINC001209853063 875143685 /nfs/dbraw/zinc/14/36/85/875143685.db2.gz UFXJLUXFHDOFTG-YWPYICTPSA-N 1 2 324.856 1.788 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2nc3cc(C#N)ccc3[nH]2)C[N@@H+]1C ZINC001227221318 882917375 /nfs/dbraw/zinc/91/73/75/882917375.db2.gz YFGDYLIZJDGYOI-MFKMUULPSA-N 1 2 300.318 1.059 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2nc3cc(C#N)ccc3[nH]2)C[N@H+]1C ZINC001227221318 882917397 /nfs/dbraw/zinc/91/73/97/882917397.db2.gz YFGDYLIZJDGYOI-MFKMUULPSA-N 1 2 300.318 1.059 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nc(C)c(C)o2)CC1 ZINC001227299557 882959794 /nfs/dbraw/zinc/95/97/94/882959794.db2.gz UQYRRQWRNXNHCN-AWEZNQCLSA-N 1 2 319.405 1.410 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213319941 875895943 /nfs/dbraw/zinc/89/59/43/875895943.db2.gz HOVILVYQYPNCKM-APHBMKBZSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213319941 875895952 /nfs/dbraw/zinc/89/59/52/875895952.db2.gz HOVILVYQYPNCKM-APHBMKBZSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213495930 875952053 /nfs/dbraw/zinc/95/20/53/875952053.db2.gz CNKYJPVWHGQNKF-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213495930 875952062 /nfs/dbraw/zinc/95/20/62/875952062.db2.gz CNKYJPVWHGQNKF-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)COc2ccc(CC)cc2)[C@H](OC)C1 ZINC001213809510 876065143 /nfs/dbraw/zinc/06/51/43/876065143.db2.gz TZHDQYMJPIKXKE-IAGOWNOFSA-N 1 2 316.401 1.076 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)COc2ccc(CC)cc2)[C@H](OC)C1 ZINC001213809510 876065158 /nfs/dbraw/zinc/06/51/58/876065158.db2.gz TZHDQYMJPIKXKE-IAGOWNOFSA-N 1 2 316.401 1.076 20 30 DDEDLO Cc1noc(C[NH2+][C@@H]2CN(C(=O)C#CC(C)(C)C)C[C@H]2C)n1 ZINC001214589999 876408536 /nfs/dbraw/zinc/40/85/36/876408536.db2.gz XHRJUXKRYBUWRN-DGCLKSJQSA-N 1 2 304.394 1.364 20 30 DDEDLO C=CCn1c(C[NH+]2CCCC2)nnc1N1CCC[C@H](C#N)C1 ZINC001352084317 876591441 /nfs/dbraw/zinc/59/14/41/876591441.db2.gz FEAZGCGCJKOEEU-CQSZACIVSA-N 1 2 300.410 1.800 20 30 DDEDLO CC#CC[NH2+][C@@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@H]1C ZINC001215293904 876633316 /nfs/dbraw/zinc/63/33/16/876633316.db2.gz AZDYTYHYUZMJFE-CZUORRHYSA-N 1 2 323.400 1.545 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001215363200 876676438 /nfs/dbraw/zinc/67/64/38/876676438.db2.gz BGFGOQLDDGLLNB-TZMCWYRMSA-N 1 2 304.394 1.508 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001215430042 876709213 /nfs/dbraw/zinc/70/92/13/876709213.db2.gz XDRGOQQLIMKUJQ-CHWSQXEVSA-N 1 2 321.425 1.428 20 30 DDEDLO C[C@@H](C(=O)NC[C@@H](CO)[NH2+]Cc1cc(F)ccc1C#N)C1CC1 ZINC001379366572 876930436 /nfs/dbraw/zinc/93/04/36/876930436.db2.gz AKJMCKVECYNFFK-BZNIZROVSA-N 1 2 319.380 1.310 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001352909234 877001775 /nfs/dbraw/zinc/00/17/75/877001775.db2.gz KEHOYXDDVXKMJY-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2nc(C)cs2)CCC1 ZINC001353062597 877092554 /nfs/dbraw/zinc/09/25/54/877092554.db2.gz GGCPQOWIGIHANQ-NSHDSACASA-N 1 2 323.418 1.394 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCCCCOCC)[C@H]2C1 ZINC001219058751 877894305 /nfs/dbraw/zinc/89/43/05/877894305.db2.gz JEZMPOQJDGIKHC-JKSUJKDBSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCCCCOCC)[C@H]2C1 ZINC001219058751 877894327 /nfs/dbraw/zinc/89/43/27/877894327.db2.gz JEZMPOQJDGIKHC-JKSUJKDBSA-N 1 2 308.422 1.128 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219121067 877939544 /nfs/dbraw/zinc/93/95/44/877939544.db2.gz NEVBEUNBDDPKLU-CVEARBPZSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219121067 877939556 /nfs/dbraw/zinc/93/95/56/877939556.db2.gz NEVBEUNBDDPKLU-CVEARBPZSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219121290 877940071 /nfs/dbraw/zinc/94/00/71/877940071.db2.gz XPGJIJPFRYFSAP-CVEARBPZSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219121290 877940080 /nfs/dbraw/zinc/94/00/80/877940080.db2.gz XPGJIJPFRYFSAP-CVEARBPZSA-N 1 2 319.380 1.405 20 30 DDEDLO CC/C=C(\C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219298358 878102899 /nfs/dbraw/zinc/10/28/99/878102899.db2.gz RVZVYLCCDOXRHF-FGMJHVKOSA-N 1 2 319.430 1.637 20 30 DDEDLO CC/C=C(\C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219298358 878102903 /nfs/dbraw/zinc/10/29/03/878102903.db2.gz RVZVYLCCDOXRHF-FGMJHVKOSA-N 1 2 319.430 1.637 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cn(C(C)C)nc2C)C[C@@H]1O ZINC001219344678 878138857 /nfs/dbraw/zinc/13/88/57/878138857.db2.gz SBUHTFWNOZXTMI-CVEARBPZSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cn(C(C)C)nc2C)C[C@@H]1O ZINC001219344678 878138862 /nfs/dbraw/zinc/13/88/62/878138862.db2.gz SBUHTFWNOZXTMI-CVEARBPZSA-N 1 2 320.437 1.400 20 30 DDEDLO CCC[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219390123 878171774 /nfs/dbraw/zinc/17/17/74/878171774.db2.gz OJDXKTPKNMRRQE-JQHSSLGASA-N 1 2 314.429 1.636 20 30 DDEDLO CCC[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219390123 878171781 /nfs/dbraw/zinc/17/17/81/878171781.db2.gz OJDXKTPKNMRRQE-JQHSSLGASA-N 1 2 314.429 1.636 20 30 DDEDLO Cc1ccc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C2)cc1F ZINC001219587730 878368811 /nfs/dbraw/zinc/36/88/11/878368811.db2.gz SJEQBDZMUAGICS-SJORKVTESA-N 1 2 318.392 1.455 20 30 DDEDLO Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C2)cc1F ZINC001219587730 878368824 /nfs/dbraw/zinc/36/88/24/878368824.db2.gz SJEQBDZMUAGICS-SJORKVTESA-N 1 2 318.392 1.455 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@@H]1O ZINC001219719010 878478316 /nfs/dbraw/zinc/47/83/16/878478316.db2.gz XURXCKNQGRLLLC-YUELXQCFSA-N 1 2 304.394 1.055 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@@H]1O ZINC001219719010 878478322 /nfs/dbraw/zinc/47/83/22/878478322.db2.gz XURXCKNQGRLLLC-YUELXQCFSA-N 1 2 304.394 1.055 20 30 DDEDLO C=CCCC(=O)NCCC[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001355359503 878519747 /nfs/dbraw/zinc/51/97/47/878519747.db2.gz PNFPEJQRFACSAV-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2(C)CCCCCC2)[C@@H](O)C1 ZINC001219815917 878526229 /nfs/dbraw/zinc/52/62/29/878526229.db2.gz QRYOSQDDDPOFQM-CVEARBPZSA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2(C)CCCCCC2)[C@@H](O)C1 ZINC001219815917 878526240 /nfs/dbraw/zinc/52/62/40/878526240.db2.gz QRYOSQDDDPOFQM-CVEARBPZSA-N 1 2 322.449 1.158 20 30 DDEDLO CCCC[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001220034073 878659330 /nfs/dbraw/zinc/65/93/30/878659330.db2.gz NCEYWHPUXACJIW-ZACQAIPSSA-N 1 2 324.465 1.404 20 30 DDEDLO CCCC[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001220034073 878659341 /nfs/dbraw/zinc/65/93/41/878659341.db2.gz NCEYWHPUXACJIW-ZACQAIPSSA-N 1 2 324.465 1.404 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1([NH2+]Cc2ncc(C(C)(C)C)o2)CC1 ZINC001380069994 878715038 /nfs/dbraw/zinc/71/50/38/878715038.db2.gz VAYLEHBJQBLGGG-LLVKDONJSA-N 1 2 304.394 1.870 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@@H]1O ZINC001220190291 878799923 /nfs/dbraw/zinc/79/99/23/878799923.db2.gz IHHWWDFTFSIWRL-BMFZPTHFSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@@H]1O ZINC001220190291 878799935 /nfs/dbraw/zinc/79/99/35/878799935.db2.gz IHHWWDFTFSIWRL-BMFZPTHFSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@@H]1O ZINC001220205593 878821294 /nfs/dbraw/zinc/82/12/94/878821294.db2.gz FWVBAKNFQQCNDJ-BNOWGMLFSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@@H]1O ZINC001220205593 878821303 /nfs/dbraw/zinc/82/13/03/878821303.db2.gz FWVBAKNFQQCNDJ-BNOWGMLFSA-N 1 2 309.435 1.407 20 30 DDEDLO COC(=O)c1ccc(C(=[NH2+])NC(O)=C2C[C@H](C)CCN2)cc1 ZINC001220577066 879108260 /nfs/dbraw/zinc/10/82/60/879108260.db2.gz BRVKJPOQTDOYPO-MFKMUULPSA-N 1 2 303.362 1.093 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)Cc2cnccc2OC)C1 ZINC001380347133 879410943 /nfs/dbraw/zinc/41/09/43/879410943.db2.gz CHVNPNXLCVRZBN-MRXNPFEDSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)Cc2cnccc2OC)C1 ZINC001380347133 879410947 /nfs/dbraw/zinc/41/09/47/879410947.db2.gz CHVNPNXLCVRZBN-MRXNPFEDSA-N 1 2 323.824 1.966 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@]1(C)CCC[N@H+](Cc2cnns2)C1 ZINC001380458270 879692177 /nfs/dbraw/zinc/69/21/77/879692177.db2.gz BSZBEEDMUODKFG-BXUZGUMPSA-N 1 2 307.423 1.416 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@]1(C)CCC[N@@H+](Cc2cnns2)C1 ZINC001380458270 879692184 /nfs/dbraw/zinc/69/21/84/879692184.db2.gz BSZBEEDMUODKFG-BXUZGUMPSA-N 1 2 307.423 1.416 20 30 DDEDLO C[C@@H](CNC(=O)C#CC1CC1)CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001357021811 879718248 /nfs/dbraw/zinc/71/82/48/879718248.db2.gz AEXHMDXLJKSZMS-UONOGXRCSA-N 1 2 316.405 1.116 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2CN(C(=O)Cn3cc[nH+]c3)CC[C@H]21 ZINC001357297568 879895705 /nfs/dbraw/zinc/89/57/05/879895705.db2.gz SWLGXDAGQGHFFX-HUUCEWRRSA-N 1 2 316.405 1.299 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC(C)C)C[C@H]21 ZINC001221790653 880083843 /nfs/dbraw/zinc/08/38/43/880083843.db2.gz UDBYJMFZVSEQKZ-IIDMSEBBSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC(C)C)C[C@H]21 ZINC001221790653 880083850 /nfs/dbraw/zinc/08/38/50/880083850.db2.gz UDBYJMFZVSEQKZ-IIDMSEBBSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCCC1(C(=O)N2C[C@H]3CC[N@@H+](CC(=O)NCC)C[C@H]32)CC1 ZINC001221795235 880088056 /nfs/dbraw/zinc/08/80/56/880088056.db2.gz PQZXCJSAOGAFOO-HUUCEWRRSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCCC1(C(=O)N2C[C@H]3CC[N@H+](CC(=O)NCC)C[C@H]32)CC1 ZINC001221795235 880088067 /nfs/dbraw/zinc/08/80/67/880088067.db2.gz PQZXCJSAOGAFOO-HUUCEWRRSA-N 1 2 319.449 1.402 20 30 DDEDLO N#Cc1ccc(F)cc1NC1CC[NH+]([C@H]2CCOC2=O)CC1 ZINC001413881510 880576927 /nfs/dbraw/zinc/57/69/27/880576927.db2.gz GWJJGFJBPVKLSS-HNNXBMFYSA-N 1 2 303.337 1.889 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001276836357 880687606 /nfs/dbraw/zinc/68/76/06/880687606.db2.gz WGMJMPYLIDXGRU-MRXNPFEDSA-N 1 2 319.449 1.383 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001276836357 880687612 /nfs/dbraw/zinc/68/76/12/880687612.db2.gz WGMJMPYLIDXGRU-MRXNPFEDSA-N 1 2 319.449 1.383 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001276930834 881142813 /nfs/dbraw/zinc/14/28/13/881142813.db2.gz IXCKQLPPEHSFOX-AWEZNQCLSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001276930834 881142817 /nfs/dbraw/zinc/14/28/17/881142817.db2.gz IXCKQLPPEHSFOX-AWEZNQCLSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)[nH]c1C ZINC001276930526 881143420 /nfs/dbraw/zinc/14/34/20/881143420.db2.gz HEJONGVQSPDHDH-KGLIPLIRSA-N 1 2 318.421 1.126 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)c1cc(C)[nH]c1C ZINC001276930526 881143430 /nfs/dbraw/zinc/14/34/30/881143430.db2.gz HEJONGVQSPDHDH-KGLIPLIRSA-N 1 2 318.421 1.126 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)C)n2C[C@H](F)COC)CC1 ZINC001359029108 881159011 /nfs/dbraw/zinc/15/90/11/881159011.db2.gz OQMAYLMLWFYQQV-AWEZNQCLSA-N 1 2 323.416 1.141 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2Cc2ncccc2C)CC1 ZINC001359030087 881161789 /nfs/dbraw/zinc/16/17/89/881161789.db2.gz NVPFYFBDYNZENJ-UHFFFAOYSA-N 1 2 310.405 1.093 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224013932 881181680 /nfs/dbraw/zinc/18/16/80/881181680.db2.gz NBJSPCREJINRTI-UHFFFAOYSA-N 1 2 319.453 1.746 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)[C@H]1C ZINC001288002083 912656888 /nfs/dbraw/zinc/65/68/88/912656888.db2.gz SDOOHBYQLFAZSF-DZGCQCFKSA-N 1 2 316.405 1.251 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001288004649 912658304 /nfs/dbraw/zinc/65/83/04/912658304.db2.gz CMDATAKBJICAKZ-ZIAGYGMSSA-N 1 2 316.405 1.107 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001288045076 912693451 /nfs/dbraw/zinc/69/34/51/912693451.db2.gz MOUCTGZOBPNGOJ-ZIAGYGMSSA-N 1 2 318.421 1.628 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001288056555 912701150 /nfs/dbraw/zinc/70/11/50/912701150.db2.gz PSJYGHPHWUBNAQ-QWHCGFSZSA-N 1 2 304.394 1.320 20 30 DDEDLO Cc1nc(C)c(C(=O)N2CCC([N@H+](CC#N)CC3CC3)CC2)[nH]1 ZINC001277393706 884015226 /nfs/dbraw/zinc/01/52/26/884015226.db2.gz OEZWTQBKKRRNMW-UHFFFAOYSA-N 1 2 315.421 1.867 20 30 DDEDLO Cc1nc(C)c(C(=O)N2CCC([N@@H+](CC#N)CC3CC3)CC2)[nH]1 ZINC001277393706 884015239 /nfs/dbraw/zinc/01/52/39/884015239.db2.gz OEZWTQBKKRRNMW-UHFFFAOYSA-N 1 2 315.421 1.867 20 30 DDEDLO C[C@@H]1Cc2cccc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@H+]4C)c2C(=O)O1 ZINC001229974521 884258942 /nfs/dbraw/zinc/25/89/42/884258942.db2.gz HFLDLBFJUXSWGN-PPGUSUGOSA-N 1 2 315.369 1.779 20 30 DDEDLO C[C@@H]1Cc2cccc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@@H+]4C)c2C(=O)O1 ZINC001229974521 884258956 /nfs/dbraw/zinc/25/89/56/884258956.db2.gz HFLDLBFJUXSWGN-PPGUSUGOSA-N 1 2 315.369 1.779 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)oc1C ZINC001230721406 884797916 /nfs/dbraw/zinc/79/79/16/884797916.db2.gz OXEFFJJJMKWRBM-HNNXBMFYSA-N 1 2 304.390 1.693 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)oc1C ZINC001230721406 884797928 /nfs/dbraw/zinc/79/79/28/884797928.db2.gz OXEFFJJJMKWRBM-HNNXBMFYSA-N 1 2 304.390 1.693 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H]2CN(C)C(=O)CSCC#N)o1 ZINC001230815250 884917635 /nfs/dbraw/zinc/91/76/35/884917635.db2.gz MRXMMKDDBKXGJT-GFCCVEGCSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)CSCC#N)o1 ZINC001230815250 884917646 /nfs/dbraw/zinc/91/76/46/884917646.db2.gz MRXMMKDDBKXGJT-GFCCVEGCSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N(C)[C@H](C)CNC(=O)[C@H](C)C#N)c[nH+]1 ZINC001383098441 885244757 /nfs/dbraw/zinc/24/47/57/885244757.db2.gz ZHDCMLDZZVDSNR-DGCLKSJQSA-N 1 2 319.409 1.049 20 30 DDEDLO CC[C@H](C)[C@H]([NH2+]Cc1nnc(-c2cccc(C#N)c2)o1)C(N)=O ZINC001363319584 886011728 /nfs/dbraw/zinc/01/17/28/886011728.db2.gz ISPLMTSTYURXCW-HZMBPMFUSA-N 1 2 313.361 1.598 20 30 DDEDLO N#Cc1ccc(O)c(C[N@H+]2C[C@H](CO)[C@@H](C(F)(F)F)C2)c1 ZINC001232673451 886526891 /nfs/dbraw/zinc/52/68/91/886526891.db2.gz GYSKGOYQDCKTMG-NEPJUHHUSA-N 1 2 300.280 1.866 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2C[C@H](CO)[C@@H](C(F)(F)F)C2)c1 ZINC001232673451 886526904 /nfs/dbraw/zinc/52/69/04/886526904.db2.gz GYSKGOYQDCKTMG-NEPJUHHUSA-N 1 2 300.280 1.866 20 30 DDEDLO N#Cc1cc[nH]c1CN1CCCn2nnc(Cn3cc[nH+]c3)c2C1 ZINC001233044403 886750893 /nfs/dbraw/zinc/75/08/93/886750893.db2.gz KFQMWARCWWGYBF-UHFFFAOYSA-N 1 2 322.376 1.129 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C\C ZINC001233572195 887119038 /nfs/dbraw/zinc/11/90/38/887119038.db2.gz ZUARUZYKLXELFQ-MVMZHWFQSA-N 1 2 319.449 1.686 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C\C ZINC001233572195 887119040 /nfs/dbraw/zinc/11/90/40/887119040.db2.gz ZUARUZYKLXELFQ-MVMZHWFQSA-N 1 2 319.449 1.686 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)sn1 ZINC001233937597 887475066 /nfs/dbraw/zinc/47/50/66/887475066.db2.gz OYZZBBNHPXTZDU-CYBMUJFWSA-N 1 2 307.419 1.248 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)sn1 ZINC001233937597 887475075 /nfs/dbraw/zinc/47/50/75/887475075.db2.gz OYZZBBNHPXTZDU-CYBMUJFWSA-N 1 2 307.419 1.248 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NC(C)(C)C ZINC001233948302 887490345 /nfs/dbraw/zinc/49/03/45/887490345.db2.gz UWUIIDMZLPEOGE-CABCVRRESA-N 1 2 321.465 1.626 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NC(C)(C)C ZINC001233948302 887490351 /nfs/dbraw/zinc/49/03/51/887490351.db2.gz UWUIIDMZLPEOGE-CABCVRRESA-N 1 2 321.465 1.626 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)c1cnccn1 ZINC001233948362 887490906 /nfs/dbraw/zinc/49/09/06/887490906.db2.gz VEXANXCZNIIBBS-LSDHHAIUSA-N 1 2 300.406 1.874 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)c1cnccn1 ZINC001233948362 887490915 /nfs/dbraw/zinc/49/09/15/887490915.db2.gz VEXANXCZNIIBBS-LSDHHAIUSA-N 1 2 300.406 1.874 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)ncn1 ZINC001233978295 887517961 /nfs/dbraw/zinc/51/79/61/887517961.db2.gz SVRLHFDIRTZVJD-NVXWUHKLSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)ncn1 ZINC001233978295 887517970 /nfs/dbraw/zinc/51/79/70/887517970.db2.gz SVRLHFDIRTZVJD-NVXWUHKLSA-N 1 2 318.421 1.145 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)cn1 ZINC001234178873 887716076 /nfs/dbraw/zinc/71/60/76/887716076.db2.gz PNWMIDKMZDEERX-ZBFHGGJFSA-N 1 2 312.417 1.792 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)cn1 ZINC001234178873 887716093 /nfs/dbraw/zinc/71/60/93/887716093.db2.gz PNWMIDKMZDEERX-ZBFHGGJFSA-N 1 2 312.417 1.792 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccccn1 ZINC001234215633 887751718 /nfs/dbraw/zinc/75/17/18/887751718.db2.gz NSXVLDTZZWAVON-GOEBONIOSA-N 1 2 301.390 1.153 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccccn1 ZINC001234215633 887751734 /nfs/dbraw/zinc/75/17/34/887751734.db2.gz NSXVLDTZZWAVON-GOEBONIOSA-N 1 2 301.390 1.153 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@@H]2CCCN2C(=O)C#CC(C)(C)C)o1 ZINC001277851196 887911326 /nfs/dbraw/zinc/91/13/26/887911326.db2.gz FRMFYWBRKPNFQN-ZDUSSCGKSA-N 1 2 304.394 1.508 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](CC)C(N)=O ZINC001234517543 888047542 /nfs/dbraw/zinc/04/75/42/888047542.db2.gz MPHXIHDXVAHAIM-CABCVRRESA-N 1 2 307.438 1.551 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](CC)C(N)=O ZINC001234517543 888047556 /nfs/dbraw/zinc/04/75/56/888047556.db2.gz MPHXIHDXVAHAIM-CABCVRRESA-N 1 2 307.438 1.551 20 30 DDEDLO CCCn1nccc1C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001234554053 888087050 /nfs/dbraw/zinc/08/70/50/888087050.db2.gz DCARSFMQELBUEF-OAHLLOKOSA-N 1 2 318.421 1.089 20 30 DDEDLO CCCn1nccc1C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001234554053 888087067 /nfs/dbraw/zinc/08/70/67/888087067.db2.gz DCARSFMQELBUEF-OAHLLOKOSA-N 1 2 318.421 1.089 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001234626503 888153725 /nfs/dbraw/zinc/15/37/25/888153725.db2.gz TWFHABSSLOQBEG-ZFWWWQNUSA-N 1 2 307.438 1.543 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001234626503 888153736 /nfs/dbraw/zinc/15/37/36/888153736.db2.gz TWFHABSSLOQBEG-ZFWWWQNUSA-N 1 2 307.438 1.543 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(F)ccc1F ZINC001235354350 888584485 /nfs/dbraw/zinc/58/44/85/888584485.db2.gz LYITVUNNRMNDMW-CQSZACIVSA-N 1 2 322.355 1.761 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(F)ccc1F ZINC001235354350 888584489 /nfs/dbraw/zinc/58/44/89/888584489.db2.gz LYITVUNNRMNDMW-CQSZACIVSA-N 1 2 322.355 1.761 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CCN1Cc1c(C)[nH+]cn1C ZINC001235538237 888722531 /nfs/dbraw/zinc/72/25/31/888722531.db2.gz MTSBGYNAPKORLO-HNNXBMFYSA-N 1 2 316.409 1.159 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)CCOCC ZINC001235584296 888770439 /nfs/dbraw/zinc/77/04/39/888770439.db2.gz OPHRHPVEXWASAQ-UHFFFAOYSA-N 1 2 307.232 1.760 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)CCOCC ZINC001235584296 888770448 /nfs/dbraw/zinc/77/04/48/888770448.db2.gz OPHRHPVEXWASAQ-UHFFFAOYSA-N 1 2 307.232 1.760 20 30 DDEDLO C#CCCCC(=O)NC1(C)CC[NH+](Cc2cn(C)nn2)CC1 ZINC001278045171 889477588 /nfs/dbraw/zinc/47/75/88/889477588.db2.gz COLDHBAOBYHPHC-UHFFFAOYSA-N 1 2 303.410 1.089 20 30 DDEDLO N#Cc1cc(C[NH+]2CCC3(CNC(=O)O3)CC2)c(F)cc1F ZINC001237529954 889682259 /nfs/dbraw/zinc/68/22/59/889682259.db2.gz KYNAAEHOTFCSOI-UHFFFAOYSA-N 1 2 307.300 1.911 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@@H+]2CCO[C@@H]([C@H]3CCCO3)C2)c1 ZINC001365277483 890488091 /nfs/dbraw/zinc/48/80/91/890488091.db2.gz ONQLTCQZGUJEEF-BRWVUGGUSA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@H+]2CCO[C@@H]([C@H]3CCCO3)C2)c1 ZINC001365277483 890488103 /nfs/dbraw/zinc/48/81/03/890488103.db2.gz ONQLTCQZGUJEEF-BRWVUGGUSA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1cc(-c2ccc(OCC[NH+]3CCOCC3)nc2)ccc1N ZINC001244116340 891803277 /nfs/dbraw/zinc/80/32/77/891803277.db2.gz HLWSAZFSWVIUKP-UHFFFAOYSA-N 1 2 324.384 1.913 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365969084 892072811 /nfs/dbraw/zinc/07/28/11/892072811.db2.gz GMYIBXKARWGCRQ-FZMZJTMJSA-N 1 2 300.337 1.548 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365969084 892072828 /nfs/dbraw/zinc/07/28/28/892072828.db2.gz GMYIBXKARWGCRQ-FZMZJTMJSA-N 1 2 300.337 1.548 20 30 DDEDLO C=C[C@@H]1C[C@]1([NH3+])c1nc(-c2cccc(S(C)(=O)=O)c2)no1 ZINC001247620854 893257040 /nfs/dbraw/zinc/25/70/40/893257040.db2.gz IJVRRVPBIXRCIC-QMTHXVAHSA-N 1 2 305.359 1.500 20 30 DDEDLO C=CCCNC(=S)N1CCN(c2cc[nH+]c(OC)c2)CC1 ZINC001247636347 893272282 /nfs/dbraw/zinc/27/22/82/893272282.db2.gz QDBKIMFGHXBSKF-UHFFFAOYSA-N 1 2 306.435 1.663 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H]1CCCc2nn(C)cc21 ZINC001366559048 894030687 /nfs/dbraw/zinc/03/06/87/894030687.db2.gz ADOKXRGJQAJJOT-LBPRGKRZSA-N 1 2 310.829 1.641 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H]1CCCc2nn(C)cc21 ZINC001366559048 894030709 /nfs/dbraw/zinc/03/07/09/894030709.db2.gz ADOKXRGJQAJJOT-LBPRGKRZSA-N 1 2 310.829 1.641 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2nccs2)[C@@H]1C ZINC001278527780 894089658 /nfs/dbraw/zinc/08/96/58/894089658.db2.gz WDHHTXGIGFLDAG-QWHCGFSZSA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2nccs2)[C@@H]1C ZINC001278527780 894089678 /nfs/dbraw/zinc/08/96/78/894089678.db2.gz WDHHTXGIGFLDAG-QWHCGFSZSA-N 1 2 307.419 1.376 20 30 DDEDLO C=CC[NH+]1CCN(C[C@@H](O)COc2ccc(Cl)cc2)CC1 ZINC001250669050 894359045 /nfs/dbraw/zinc/35/90/45/894359045.db2.gz JDAWYAXZLYBWFJ-OAHLLOKOSA-N 1 2 310.825 1.883 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@H]1CC[N@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC001366825443 895036591 /nfs/dbraw/zinc/03/65/91/895036591.db2.gz SEMMGQAOCLCCDX-RYUDHWBXSA-N 1 2 316.409 1.170 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@H]1CC[N@@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC001366825443 895036611 /nfs/dbraw/zinc/03/66/11/895036611.db2.gz SEMMGQAOCLCCDX-RYUDHWBXSA-N 1 2 316.409 1.170 20 30 DDEDLO C=CCOC[C@@H](O)C[N@@H+]1CCN2C(=O)c3ccccc3[C@@H]2C1 ZINC001252461172 895170521 /nfs/dbraw/zinc/17/05/21/895170521.db2.gz MZWRLPUKMJIAQP-BBRMVZONSA-N 1 2 302.374 1.063 20 30 DDEDLO C=CCOC[C@@H](O)C[N@H+]1CCN2C(=O)c3ccccc3[C@@H]2C1 ZINC001252461172 895170527 /nfs/dbraw/zinc/17/05/27/895170527.db2.gz MZWRLPUKMJIAQP-BBRMVZONSA-N 1 2 302.374 1.063 20 30 DDEDLO C=CCOC[C@H](O)C[N@H+]1CCN(C(=O)OC(C)(C)C)[C@H]2C[C@H]21 ZINC001252461058 895170655 /nfs/dbraw/zinc/17/06/55/895170655.db2.gz KUPAJYDCXIFAMZ-MCIONIFRSA-N 1 2 312.410 1.244 20 30 DDEDLO C=CCOC[C@H](O)C[N@@H+]1CCN(C(=O)OC(C)(C)C)[C@H]2C[C@H]21 ZINC001252461058 895170663 /nfs/dbraw/zinc/17/06/63/895170663.db2.gz KUPAJYDCXIFAMZ-MCIONIFRSA-N 1 2 312.410 1.244 20 30 DDEDLO C=CCOC[C@@H](O)C[NH+]1CC(N2Cc3ccccc3NC2=O)C1 ZINC001252471079 895185032 /nfs/dbraw/zinc/18/50/32/895185032.db2.gz BNSBFXBJLGLYJB-HNNXBMFYSA-N 1 2 317.389 1.282 20 30 DDEDLO C=C[C@](C)(O)C[N@H+](CC(=O)OCC)Cc1ccc(OC)cc1 ZINC001252554820 895275427 /nfs/dbraw/zinc/27/54/27/895275427.db2.gz MEFICQCDZQKALE-KRWDZBQOSA-N 1 2 307.390 1.997 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+](CC(=O)OCC)Cc1ccc(OC)cc1 ZINC001252554820 895275437 /nfs/dbraw/zinc/27/54/37/895275437.db2.gz MEFICQCDZQKALE-KRWDZBQOSA-N 1 2 307.390 1.997 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@@H](C)CNC(=O)[C@@H](C)C#N)c(C)[nH+]1 ZINC001388475160 895297612 /nfs/dbraw/zinc/29/76/12/895297612.db2.gz HWWJUEMGHPYAHA-JQWIXIFHSA-N 1 2 316.405 1.649 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@@H+]2CC[C@H](C#N)C2)cc1 ZINC001253051094 895621259 /nfs/dbraw/zinc/62/12/59/895621259.db2.gz DPOUPGIHUQDPHI-CVEARBPZSA-N 1 2 304.390 1.461 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@H+]2CC[C@H](C#N)C2)cc1 ZINC001253051094 895621264 /nfs/dbraw/zinc/62/12/64/895621264.db2.gz DPOUPGIHUQDPHI-CVEARBPZSA-N 1 2 304.390 1.461 20 30 DDEDLO C=C[C@@H](O)C[N@H+]1CCO[C@@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001253577123 895907114 /nfs/dbraw/zinc/90/71/14/895907114.db2.gz RQGNYMHEMQMQHY-HZSPNIEDSA-N 1 2 312.410 1.244 20 30 DDEDLO C=C[C@@H](O)C[N@@H+]1CCO[C@@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001253577123 895907128 /nfs/dbraw/zinc/90/71/28/895907128.db2.gz RQGNYMHEMQMQHY-HZSPNIEDSA-N 1 2 312.410 1.244 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1C[N@@H+]([C@@H]2CC[C@@H](C#N)C2)CCO1 ZINC001254668661 896566075 /nfs/dbraw/zinc/56/60/75/896566075.db2.gz HPBPPPOTZDDEJT-MCIONIFRSA-N 1 2 309.410 1.904 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1C[N@H+]([C@@H]2CC[C@@H](C#N)C2)CCO1 ZINC001254668661 896566083 /nfs/dbraw/zinc/56/60/83/896566083.db2.gz HPBPPPOTZDDEJT-MCIONIFRSA-N 1 2 309.410 1.904 20 30 DDEDLO CC(C)C[C@H](C[NH2+]Cc1nc(C(C)C)no1)NC(=O)[C@H](C)C#N ZINC001367418204 896719755 /nfs/dbraw/zinc/71/97/55/896719755.db2.gz LEPMLUBDMSRFAN-CHWSQXEVSA-N 1 2 321.425 1.973 20 30 DDEDLO CC[C@@H](CC#N)[N@@H+]1CCOC[C@]2(CCN(c3ncccn3)C2)C1 ZINC001255168571 896762810 /nfs/dbraw/zinc/76/28/10/896762810.db2.gz SMQSKYUTEVEALZ-DOTOQJQBSA-N 1 2 315.421 1.698 20 30 DDEDLO CC[C@@H](CC#N)[N@H+]1CCOC[C@]2(CCN(c3ncccn3)C2)C1 ZINC001255168571 896762821 /nfs/dbraw/zinc/76/28/21/896762821.db2.gz SMQSKYUTEVEALZ-DOTOQJQBSA-N 1 2 315.421 1.698 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1nc(C)c(C)[nH]c1=O ZINC001367525683 897043323 /nfs/dbraw/zinc/04/33/23/897043323.db2.gz XFVKXZYMQHWLJI-UHFFFAOYSA-N 1 2 312.801 1.143 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1nc(C)c(C)[nH]c1=O ZINC001367525683 897043337 /nfs/dbraw/zinc/04/33/37/897043337.db2.gz XFVKXZYMQHWLJI-UHFFFAOYSA-N 1 2 312.801 1.143 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC[N@H+](C)Cc1cc(C)no1)C(C)C ZINC001278904377 897209364 /nfs/dbraw/zinc/20/93/64/897209364.db2.gz KHWHXWJPNKLNRJ-KRWDZBQOSA-N 1 2 323.437 1.979 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC[N@@H+](C)Cc1cc(C)no1)C(C)C ZINC001278904377 897209387 /nfs/dbraw/zinc/20/93/87/897209387.db2.gz KHWHXWJPNKLNRJ-KRWDZBQOSA-N 1 2 323.437 1.979 20 30 DDEDLO COC(=O)[C@H]1C[C@](O)(C(F)(F)F)C[N@@H+]1C1CCC(C#N)CC1 ZINC001256965304 897648013 /nfs/dbraw/zinc/64/80/13/897648013.db2.gz QGZHBJDDZNTDFA-FFJHZOIPSA-N 1 2 320.311 1.609 20 30 DDEDLO COC(=O)[C@H]1C[C@](O)(C(F)(F)F)C[N@H+]1C1CCC(C#N)CC1 ZINC001256965304 897648017 /nfs/dbraw/zinc/64/80/17/897648017.db2.gz QGZHBJDDZNTDFA-FFJHZOIPSA-N 1 2 320.311 1.609 20 30 DDEDLO C[C@@H]1C[C@@H](N2CC[NH2+]C[C@@H]2C#N)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001257584694 897885411 /nfs/dbraw/zinc/88/54/11/897885411.db2.gz YDTVODINORFCTE-XGUBFFRZSA-N 1 2 322.453 1.960 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001367824075 897925994 /nfs/dbraw/zinc/92/59/94/897925994.db2.gz FEEMJYBXFHXYEZ-RISCZKNCSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001367824075 897926000 /nfs/dbraw/zinc/92/60/00/897926000.db2.gz FEEMJYBXFHXYEZ-RISCZKNCSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@](C)(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001299620023 898785365 /nfs/dbraw/zinc/78/53/65/898785365.db2.gz WXOCBPWSPFPMGC-QGZVFWFLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)NCc2cc(C)[nH+]c(C)c2)C1 ZINC001261977469 899873586 /nfs/dbraw/zinc/87/35/86/899873586.db2.gz LJXXCOKLCBIRHJ-AWEZNQCLSA-N 1 2 301.390 1.739 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)Cc1ccco1 ZINC001262947371 900432243 /nfs/dbraw/zinc/43/22/43/900432243.db2.gz KDMGVOLQUUKHQK-JSGCOSHPSA-N 1 2 317.393 1.431 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)Cc1ccco1 ZINC001262947371 900432247 /nfs/dbraw/zinc/43/22/47/900432247.db2.gz KDMGVOLQUUKHQK-JSGCOSHPSA-N 1 2 317.393 1.431 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001264368938 901045545 /nfs/dbraw/zinc/04/55/45/901045545.db2.gz NIKXFNSPZVDQNU-ZFWWWQNUSA-N 1 2 319.449 1.093 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001264368938 901045555 /nfs/dbraw/zinc/04/55/55/901045555.db2.gz NIKXFNSPZVDQNU-ZFWWWQNUSA-N 1 2 319.449 1.093 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001264368936 901047623 /nfs/dbraw/zinc/04/76/23/901047623.db2.gz NIKXFNSPZVDQNU-HIFRSBDPSA-N 1 2 319.449 1.093 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001264368936 901047635 /nfs/dbraw/zinc/04/76/35/901047635.db2.gz NIKXFNSPZVDQNU-HIFRSBDPSA-N 1 2 319.449 1.093 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](F)c1ccccc1 ZINC001264373658 901056645 /nfs/dbraw/zinc/05/66/45/901056645.db2.gz YEGONLJSNIBMFK-SJORKVTESA-N 1 2 318.392 1.880 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](F)c1ccccc1 ZINC001264373658 901056651 /nfs/dbraw/zinc/05/66/51/901056651.db2.gz YEGONLJSNIBMFK-SJORKVTESA-N 1 2 318.392 1.880 20 30 DDEDLO C=C(Cl)CN(CCNC(=O)[C@H]1CCn2c[nH+]cc2C1)C1CC1 ZINC001391176494 901143726 /nfs/dbraw/zinc/14/37/26/901143726.db2.gz UNLPGQJWAYLRHP-ZDUSSCGKSA-N 1 2 322.840 1.779 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@@H](C)C[C@H]1CNCC#N)n1cc[nH+]c1 ZINC001264560213 901183146 /nfs/dbraw/zinc/18/31/46/901183146.db2.gz JDRVIWBRQWYYHH-KFWWJZLASA-N 1 2 303.410 1.574 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2ccc(F)c(F)c2)C1 ZINC001265288155 901832190 /nfs/dbraw/zinc/83/21/90/901832190.db2.gz OIGAVNXANYTPQZ-GFCCVEGCSA-N 1 2 323.343 1.071 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(F)c(F)c2)C1 ZINC001265288155 901832199 /nfs/dbraw/zinc/83/21/99/901832199.db2.gz OIGAVNXANYTPQZ-GFCCVEGCSA-N 1 2 323.343 1.071 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C[C@H]2CC(C)(C)CO2)C1 ZINC001391494579 901844863 /nfs/dbraw/zinc/84/48/63/901844863.db2.gz CBXIUTDUFTYLOF-ZDUSSCGKSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CCC[C@@H](C(=O)N[C@@H]1CC[N@@H+](CC(N)=O)C1)c1ccccc1 ZINC001265321167 901884343 /nfs/dbraw/zinc/88/43/43/901884343.db2.gz GFJDXEJWXVDXJN-HZPDHXFCSA-N 1 2 315.417 1.412 20 30 DDEDLO C=CCC[C@@H](C(=O)N[C@@H]1CC[N@H+](CC(N)=O)C1)c1ccccc1 ZINC001265321167 901884355 /nfs/dbraw/zinc/88/43/55/901884355.db2.gz GFJDXEJWXVDXJN-HZPDHXFCSA-N 1 2 315.417 1.412 20 30 DDEDLO CC(C)c1nc(C[NH2+][C@H]2C[C@H](NC(=O)C#CC3CC3)C2)no1 ZINC001265476619 902052922 /nfs/dbraw/zinc/05/29/22/902052922.db2.gz KDKNNCFCBYRFOL-JOCQHMNTSA-N 1 2 302.378 1.343 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](NC(=O)c2cccs2)C1 ZINC001266201344 903097611 /nfs/dbraw/zinc/09/76/11/903097611.db2.gz VPJKUQLAMCQBKF-CHWSQXEVSA-N 1 2 321.446 1.633 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](NC(=O)c2cccs2)C1 ZINC001266201344 903097625 /nfs/dbraw/zinc/09/76/25/903097625.db2.gz VPJKUQLAMCQBKF-CHWSQXEVSA-N 1 2 321.446 1.633 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2(C)CC(=C)C2)C1 ZINC001266240588 903174614 /nfs/dbraw/zinc/17/46/14/903174614.db2.gz YFWPJLMOJNAVMK-AWEZNQCLSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2(C)CC(=C)C2)C1 ZINC001266240588 903174621 /nfs/dbraw/zinc/17/46/21/903174621.db2.gz YFWPJLMOJNAVMK-AWEZNQCLSA-N 1 2 305.422 1.226 20 30 DDEDLO CCc1noc(C[NH2+]C[C@H](C)N(C)C(=O)c2ccc(C#N)[nH]2)n1 ZINC001392063145 903206832 /nfs/dbraw/zinc/20/68/32/903206832.db2.gz QHYDBQJMCWCALC-JTQLQIEISA-N 1 2 316.365 1.082 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2CC=C(CNC(=O)C#CC(C)C)CC2)n1 ZINC001279543859 903318001 /nfs/dbraw/zinc/31/80/01/903318001.db2.gz BNFFZPBLYCZVMY-ZDUSSCGKSA-N 1 2 316.405 1.847 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2CC=C(CNC(=O)C#CC(C)C)CC2)n1 ZINC001279543859 903318020 /nfs/dbraw/zinc/31/80/20/903318020.db2.gz BNFFZPBLYCZVMY-ZDUSSCGKSA-N 1 2 316.405 1.847 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]cn2C)CC1(C)C ZINC001280667977 903901679 /nfs/dbraw/zinc/90/16/79/903901679.db2.gz TYXDVCXUKPTMQC-AWEZNQCLSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)(C)CC(C)C)C2)CC1 ZINC001280691967 903928341 /nfs/dbraw/zinc/92/83/41/903928341.db2.gz MQYJURILRFWBJP-QGZVFWFLSA-N 1 2 319.493 1.910 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)[C@H]1C ZINC001281206918 904563147 /nfs/dbraw/zinc/56/31/47/904563147.db2.gz FLGKMIJBJZCQCG-DZGCQCFKSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)[C@H]1C ZINC001281206918 904563155 /nfs/dbraw/zinc/56/31/55/904563155.db2.gz FLGKMIJBJZCQCG-DZGCQCFKSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@H]1CCc2cncn2C1 ZINC001392668939 904818902 /nfs/dbraw/zinc/81/89/02/904818902.db2.gz DXOFXICYWLDJLY-OLZOCXBDSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@H]1CCc2cncn2C1 ZINC001392668939 904818925 /nfs/dbraw/zinc/81/89/25/904818925.db2.gz DXOFXICYWLDJLY-OLZOCXBDSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)C[C@H]1CCCC(=O)N1 ZINC001392671601 904830520 /nfs/dbraw/zinc/83/05/20/904830520.db2.gz FIXNYAAITBFWAE-NWDGAFQWSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)C[C@H]1CCCC(=O)N1 ZINC001392671601 904830536 /nfs/dbraw/zinc/83/05/36/904830536.db2.gz FIXNYAAITBFWAE-NWDGAFQWSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[C@H](C)[NH2+]Cc1noc(CC)n1 ZINC001281748062 905196165 /nfs/dbraw/zinc/19/61/65/905196165.db2.gz LYWKQOPBQPQNFG-XQQFMLRXSA-N 1 2 322.409 1.208 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1cc(C#N)ccc1F ZINC001377373038 921159716 /nfs/dbraw/zinc/15/97/16/921159716.db2.gz AYLQADXHTLZIQQ-DOMZBBRYSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC[N@H+]1Cc1cc(C#N)ccc1F ZINC001377373038 921159718 /nfs/dbraw/zinc/15/97/18/921159718.db2.gz AYLQADXHTLZIQQ-DOMZBBRYSA-N 1 2 314.364 1.938 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2CCC[C@@H]2CNC(=O)[C@@H](C)C#N)n1 ZINC001377382643 921181608 /nfs/dbraw/zinc/18/16/08/921181608.db2.gz LAIFSIAZPDNATO-DZGCQCFKSA-N 1 2 303.410 1.704 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2CCC[C@@H]2CNC(=O)[C@@H](C)C#N)n1 ZINC001377382643 921181615 /nfs/dbraw/zinc/18/16/15/921181615.db2.gz LAIFSIAZPDNATO-DZGCQCFKSA-N 1 2 303.410 1.704 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cccc2c1OCCO2 ZINC001371994145 906184204 /nfs/dbraw/zinc/18/42/04/906184204.db2.gz HFQCPTMDJYWSQY-UHFFFAOYSA-N 1 2 310.781 1.872 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cccc2c1OCCO2 ZINC001371994145 906184216 /nfs/dbraw/zinc/18/42/16/906184216.db2.gz HFQCPTMDJYWSQY-UHFFFAOYSA-N 1 2 310.781 1.872 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CC[C@@H]2CNC(=O)[C@@H](C)C#N)c(C)[nH+]1 ZINC001393457631 907047492 /nfs/dbraw/zinc/04/74/92/907047492.db2.gz WLYKNXXGVJIOOK-SMDDNHRTSA-N 1 2 314.389 1.497 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001284099636 908473073 /nfs/dbraw/zinc/47/30/73/908473073.db2.gz CAXJXWCIUZFSAK-OXHZDVMGSA-N 1 2 318.421 1.482 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CCC)C(=O)CCCC(N)=O)C1 ZINC001373129226 909023032 /nfs/dbraw/zinc/02/30/32/909023032.db2.gz FBJKWRQUDIAKOD-CYBMUJFWSA-N 1 2 315.845 1.707 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CCC)C(=O)CCCC(N)=O)C1 ZINC001373129226 909023049 /nfs/dbraw/zinc/02/30/49/909023049.db2.gz FBJKWRQUDIAKOD-CYBMUJFWSA-N 1 2 315.845 1.707 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1nocc1C ZINC001284505862 909104430 /nfs/dbraw/zinc/10/44/30/909104430.db2.gz OZLJMSUPYQGKAX-ZDUSSCGKSA-N 1 2 309.410 1.446 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1nocc1C ZINC001284505862 909104436 /nfs/dbraw/zinc/10/44/36/909104436.db2.gz OZLJMSUPYQGKAX-ZDUSSCGKSA-N 1 2 309.410 1.446 20 30 DDEDLO C=CCCC(=O)NCCN(CC)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001284602279 909237285 /nfs/dbraw/zinc/23/72/85/909237285.db2.gz BLNFNWJYJONLKD-CHWSQXEVSA-N 1 2 304.394 1.444 20 30 DDEDLO C=CCCC(=O)NCCN(CC)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001284602279 909237296 /nfs/dbraw/zinc/23/72/96/909237296.db2.gz BLNFNWJYJONLKD-CHWSQXEVSA-N 1 2 304.394 1.444 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC001284956911 909815881 /nfs/dbraw/zinc/81/58/81/909815881.db2.gz FKUPBPPSTOSDBP-HNNXBMFYSA-N 1 2 311.813 1.156 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC001284956911 909815893 /nfs/dbraw/zinc/81/58/93/909815893.db2.gz FKUPBPPSTOSDBP-HNNXBMFYSA-N 1 2 311.813 1.156 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@@H]23)n1 ZINC001394684280 910273835 /nfs/dbraw/zinc/27/38/35/910273835.db2.gz STSMBBDWYOGAER-JVLSTEMRSA-N 1 2 303.366 1.151 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@@H]23)n1 ZINC001394684280 910273848 /nfs/dbraw/zinc/27/38/48/910273848.db2.gz STSMBBDWYOGAER-JVLSTEMRSA-N 1 2 303.366 1.151 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001285643303 910954526 /nfs/dbraw/zinc/95/45/26/910954526.db2.gz DFQPYTVGVLJZSE-KGLIPLIRSA-N 1 2 304.394 1.115 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)N(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001285708577 911068919 /nfs/dbraw/zinc/06/89/19/911068919.db2.gz YLHUULNQTHRXEG-CYBMUJFWSA-N 1 2 306.410 1.662 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H](C)CNC(=O)Cn2cc[nH+]c2)C1 ZINC001285732341 911119235 /nfs/dbraw/zinc/11/92/35/911119235.db2.gz BZBISHLPJFJIGK-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCC(C)(C)C(=O)NC1(CNC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001285933960 911468322 /nfs/dbraw/zinc/46/83/22/911468322.db2.gz IXYLSYOUWVZHHN-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCC(C)(C)C(=O)NC1(CNC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001285933960 911468332 /nfs/dbraw/zinc/46/83/32/911468332.db2.gz IXYLSYOUWVZHHN-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO CC#CCCCC(=O)N[C@@]1(C)CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286101579 911693405 /nfs/dbraw/zinc/69/34/05/911693405.db2.gz RSWNOUWOHXLBDM-KRWDZBQOSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CC2(CCC)CC2)CC1 ZINC001286472789 911989719 /nfs/dbraw/zinc/98/97/19/911989719.db2.gz KXMNBWCGMJSNMD-UHFFFAOYSA-N 1 2 301.438 1.922 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@H](C)Cc1cnn(C)c1 ZINC001375135865 915074846 /nfs/dbraw/zinc/07/48/46/915074846.db2.gz SUCGPTNLASUBSV-WCQYABFASA-N 1 2 312.845 1.788 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@@H](C)Cc1cnn(C)c1 ZINC001375135865 915074863 /nfs/dbraw/zinc/07/48/63/915074863.db2.gz SUCGPTNLASUBSV-WCQYABFASA-N 1 2 312.845 1.788 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@@H+]1CCc1c(F)cccc1F ZINC001375294190 915497577 /nfs/dbraw/zinc/49/75/77/915497577.db2.gz JGOHCYNNPMONEE-NEPJUHHUSA-N 1 2 307.344 1.857 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@H+]1CCc1c(F)cccc1F ZINC001375294190 915497593 /nfs/dbraw/zinc/49/75/93/915497593.db2.gz JGOHCYNNPMONEE-NEPJUHHUSA-N 1 2 307.344 1.857 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295132289 915598788 /nfs/dbraw/zinc/59/87/88/915598788.db2.gz SNLCKRWNZPORCO-HDJSIYSDSA-N 1 2 316.405 1.299 20 30 DDEDLO C#CCCCC(=O)N(C)CC[NH+]1CCN(C(=O)/C(C)=C\C)CC1 ZINC001296825605 916582455 /nfs/dbraw/zinc/58/24/55/916582455.db2.gz YBULNYCSEMLBPY-SOFYXZRVSA-N 1 2 319.449 1.359 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC(CNC(=O)Cn2cc[nH+]c2)C1 ZINC001297225685 916820034 /nfs/dbraw/zinc/82/00/34/916820034.db2.gz PRQLGNJHAVRHFJ-CYBMUJFWSA-N 1 2 304.394 1.060 20 30 DDEDLO CCc1noc([C@@H](C)[NH+]2CCC(C)(NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001375774214 916929265 /nfs/dbraw/zinc/92/92/65/916929265.db2.gz WGTYOHRUSISSCO-NWDGAFQWSA-N 1 2 319.409 1.823 20 30 DDEDLO C[N@H+](CCNC(=O)C[C@@H]1CCOC1)Cc1ccccc1C#N ZINC001377127538 920402693 /nfs/dbraw/zinc/40/26/93/920402693.db2.gz LBPMLZKHODNSCT-AWEZNQCLSA-N 1 2 301.390 1.533 20 30 DDEDLO C[N@@H+](CCNC(=O)C[C@@H]1CCOC1)Cc1ccccc1C#N ZINC001377127538 920402699 /nfs/dbraw/zinc/40/26/99/920402699.db2.gz LBPMLZKHODNSCT-AWEZNQCLSA-N 1 2 301.390 1.533 20 30 DDEDLO Cc1c[nH]c(C(=O)NCC[N@@H+](C)Cc2ccc(C#N)cc2F)n1 ZINC001377229477 920707842 /nfs/dbraw/zinc/70/78/42/920707842.db2.gz NUNRKDLLNLZPSL-UHFFFAOYSA-N 1 2 315.352 1.591 20 30 DDEDLO Cc1c[nH]c(C(=O)NCC[N@H+](C)Cc2ccc(C#N)cc2F)n1 ZINC001377229477 920707851 /nfs/dbraw/zinc/70/78/51/920707851.db2.gz NUNRKDLLNLZPSL-UHFFFAOYSA-N 1 2 315.352 1.591 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)c1ccc(F)cc1C#N ZINC000451934148 231241222 /nfs/dbraw/zinc/24/12/22/231241222.db2.gz VQXNGSXOYQUTJL-JTQLQIEISA-N 1 2 322.365 1.953 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)c2sccc2C#N)CCN1C ZINC000328644313 231281389 /nfs/dbraw/zinc/28/13/89/231281389.db2.gz ZCFCZZOTGCEYNV-LBPRGKRZSA-N 1 2 315.402 1.482 20 30 DDEDLO CC[C@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C)c1nc(C)cs1 ZINC000329971049 529580202 /nfs/dbraw/zinc/58/02/02/529580202.db2.gz VGVUGUUKUPBVFA-XQQFMLRXSA-N 1 2 324.450 1.831 20 30 DDEDLO CC[C@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C)c1nc(C)cs1 ZINC000329971049 529580203 /nfs/dbraw/zinc/58/02/03/529580203.db2.gz VGVUGUUKUPBVFA-XQQFMLRXSA-N 1 2 324.450 1.831 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+]1CC(=O)N1CCC(c2ccccc2)=N1 ZINC000328984789 539300111 /nfs/dbraw/zinc/30/01/11/539300111.db2.gz GHNJLTTWZHVDNA-OAHLLOKOSA-N 1 2 314.389 1.674 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+]1CC(=O)N1CCC(c2ccccc2)=N1 ZINC000328984789 539300112 /nfs/dbraw/zinc/30/01/12/539300112.db2.gz GHNJLTTWZHVDNA-OAHLLOKOSA-N 1 2 314.389 1.674 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)N[C@@H]1CCC(=O)NC1(C)C ZINC000330433804 529813937 /nfs/dbraw/zinc/81/39/37/529813937.db2.gz LEDWUMMGNQAXHA-GFCCVEGCSA-N 1 2 319.409 1.208 20 30 DDEDLO COc1ccncc1C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000286749270 219145399 /nfs/dbraw/zinc/14/53/99/219145399.db2.gz GELUUIUUPUSRCP-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)CSc2ccc(C#N)cc2)c1 ZINC000457846854 232141293 /nfs/dbraw/zinc/14/12/93/232141293.db2.gz CAOYJBAZLMKCEO-UHFFFAOYSA-N 1 2 300.387 1.743 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)NCC(C)(C)CC#N)CC2)cn1 ZINC000459260132 233039273 /nfs/dbraw/zinc/03/92/73/233039273.db2.gz PIOHXOVFEVUVEA-UHFFFAOYSA-N 1 2 318.425 1.187 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000186045591 186235257 /nfs/dbraw/zinc/23/52/57/186235257.db2.gz BLHKUEZJYXZHTJ-HOCLYGCPSA-N 1 2 301.390 1.381 20 30 DDEDLO C=CC[N@@H+](CCc1scnc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000172536935 198111919 /nfs/dbraw/zinc/11/19/19/198111919.db2.gz LKZHLYKULMHPNR-GFCCVEGCSA-N 1 2 300.449 1.669 20 30 DDEDLO C=CC[N@H+](CCc1scnc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000172536935 198111921 /nfs/dbraw/zinc/11/19/21/198111921.db2.gz LKZHLYKULMHPNR-GFCCVEGCSA-N 1 2 300.449 1.669 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](C)C[C@H]1COc2ccccc2O1 ZINC000042365279 352335881 /nfs/dbraw/zinc/33/58/81/352335881.db2.gz QWFXRYYIUZYHKS-HNNXBMFYSA-N 1 2 316.401 1.959 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](C)C[C@H]1COc2ccccc2O1 ZINC000042365279 352335885 /nfs/dbraw/zinc/33/58/85/352335885.db2.gz QWFXRYYIUZYHKS-HNNXBMFYSA-N 1 2 316.401 1.959 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@H+]2CCOCC2(C)C)cc1 ZINC000172900055 198165542 /nfs/dbraw/zinc/16/55/42/198165542.db2.gz MCMXEXUCDKMAQT-UHFFFAOYSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@@H+]2CCOCC2(C)C)cc1 ZINC000172900055 198165544 /nfs/dbraw/zinc/16/55/44/198165544.db2.gz MCMXEXUCDKMAQT-UHFFFAOYSA-N 1 2 316.401 1.539 20 30 DDEDLO Cc1noc(C2CC[NH+](CC(=O)N[C@](C)(C#N)C3CC3)CC2)n1 ZINC000053641132 352663121 /nfs/dbraw/zinc/66/31/21/352663121.db2.gz IZLMTRGVZRTNJW-MRXNPFEDSA-N 1 2 317.393 1.366 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@H+](C)CC(=O)N(C)C ZINC000072878503 191246418 /nfs/dbraw/zinc/24/64/18/191246418.db2.gz CFUVURLCZYHZCW-JTQLQIEISA-N 1 2 322.796 1.559 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@@H+](C)CC(=O)N(C)C ZINC000072878503 191246421 /nfs/dbraw/zinc/24/64/21/191246421.db2.gz CFUVURLCZYHZCW-JTQLQIEISA-N 1 2 322.796 1.559 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@@H](O)C[N@@H+](C)C[C@@H](C)C#N)cc1 ZINC000066471105 352999298 /nfs/dbraw/zinc/99/92/98/352999298.db2.gz TZHIZJPLUVRRIW-WFASDCNBSA-N 1 2 305.378 1.476 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@@H](O)C[N@H+](C)C[C@@H](C)C#N)cc1 ZINC000066471105 352999303 /nfs/dbraw/zinc/99/93/03/352999303.db2.gz TZHIZJPLUVRRIW-WFASDCNBSA-N 1 2 305.378 1.476 20 30 DDEDLO Cc1nc(C(=O)N2C[C@@H](C)[C@@H]([NH+]3CCOCC3)C2)ccc1C#N ZINC000173830311 198258627 /nfs/dbraw/zinc/25/86/27/198258627.db2.gz SHSFQSVRTZJTOC-WBMJQRKESA-N 1 2 314.389 1.054 20 30 DDEDLO N#Cc1ccc(F)c(CN2CCC[C@H]2C[NH+]2CCOCC2)c1 ZINC000174020056 198281500 /nfs/dbraw/zinc/28/15/00/198281500.db2.gz CHHFOICXVALAOB-INIZCTEOSA-N 1 2 303.381 1.994 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N[C@@H](C)c1cc(OC)ccc1OC ZINC000174528843 198348057 /nfs/dbraw/zinc/34/80/57/198348057.db2.gz FOJNDPYJMLYURT-AWEZNQCLSA-N 1 2 314.385 1.449 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)CC1 ZINC000166014323 354248498 /nfs/dbraw/zinc/24/84/98/354248498.db2.gz UMPMXLMTQWSUAZ-CYBMUJFWSA-N 1 2 307.375 1.168 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000280818881 354425477 /nfs/dbraw/zinc/42/54/77/354425477.db2.gz UNPVUNZCXXJSFV-DFBGVHRSSA-N 1 2 316.357 1.513 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000280818881 354425480 /nfs/dbraw/zinc/42/54/80/354425480.db2.gz UNPVUNZCXXJSFV-DFBGVHRSSA-N 1 2 316.357 1.513 20 30 DDEDLO COc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1[N+](=O)[O-] ZINC000584755620 354758780 /nfs/dbraw/zinc/75/87/80/354758780.db2.gz ASDGDOJGMPXDSG-CQSZACIVSA-N 1 2 306.322 1.177 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@@H]2N1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O ZINC000586866991 354876099 /nfs/dbraw/zinc/87/60/99/354876099.db2.gz DPPLDQHVSBKPEI-GJZGRUSLSA-N 1 2 321.340 1.432 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@@H]2N1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O ZINC000586866991 354876102 /nfs/dbraw/zinc/87/61/02/354876102.db2.gz DPPLDQHVSBKPEI-GJZGRUSLSA-N 1 2 321.340 1.432 20 30 DDEDLO COCC[N@H+](CCC#N)CCc1c(C)nc2ccccn2c1=O ZINC000589255176 354978978 /nfs/dbraw/zinc/97/89/78/354978978.db2.gz UPMBHKYCLHHGEW-UHFFFAOYSA-N 1 2 314.389 1.408 20 30 DDEDLO COCC[N@@H+](CCC#N)CCc1c(C)nc2ccccn2c1=O ZINC000589255176 354978981 /nfs/dbraw/zinc/97/89/81/354978981.db2.gz UPMBHKYCLHHGEW-UHFFFAOYSA-N 1 2 314.389 1.408 20 30 DDEDLO N#CC1CN(C(=O)c2cccc(NC(=O)CCn3cc[nH+]c3)c2)C1 ZINC000590491791 355105486 /nfs/dbraw/zinc/10/54/86/355105486.db2.gz JXLVODAXKSUKCA-UHFFFAOYSA-N 1 2 323.356 1.507 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[NH+]1CCC2(COC(=O)N2)CC1 ZINC000495137943 235129879 /nfs/dbraw/zinc/12/98/79/235129879.db2.gz HMQIQOBUKWIIOS-UHFFFAOYSA-N 1 2 320.374 1.133 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1[N+](=O)[O-])[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149429 355516544 /nfs/dbraw/zinc/51/65/44/355516544.db2.gz OWLZFFQIEJXUGV-XHDPSFHLSA-N 1 2 318.333 1.272 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1[N+](=O)[O-])[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149429 355516547 /nfs/dbraw/zinc/51/65/47/355516547.db2.gz OWLZFFQIEJXUGV-XHDPSFHLSA-N 1 2 318.333 1.272 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH+]1CCC2(CC1)C(F)(F)C2(F)F ZINC000593054141 355781661 /nfs/dbraw/zinc/78/16/61/355781661.db2.gz GLFBVKUZJOTWMI-UHFFFAOYSA-N 1 2 323.290 1.365 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3nncn3C)CC2)cc1C#N ZINC000594022564 356091973 /nfs/dbraw/zinc/09/19/73/356091973.db2.gz IXKQAMPIQZDAKZ-UHFFFAOYSA-N 1 2 312.377 1.018 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC000594259938 356156119 /nfs/dbraw/zinc/15/61/19/356156119.db2.gz OIOFLHIGRQVGMS-ZFWWWQNUSA-N 1 2 303.410 1.281 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC000594259938 356156121 /nfs/dbraw/zinc/15/61/21/356156121.db2.gz OIOFLHIGRQVGMS-ZFWWWQNUSA-N 1 2 303.410 1.281 20 30 DDEDLO CO[C@@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000081513149 192276197 /nfs/dbraw/zinc/27/61/97/192276197.db2.gz YXTBLCDRHOXTAW-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO CO[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000081513149 192276199 /nfs/dbraw/zinc/27/61/99/192276199.db2.gz YXTBLCDRHOXTAW-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO Cc1nsc(N2CCN(Cc3cn(C)c[nH+]3)CC2)c1C#N ZINC000595494051 356517896 /nfs/dbraw/zinc/51/78/96/356517896.db2.gz IUOYERKYOMWSBX-UHFFFAOYSA-N 1 2 302.407 1.379 20 30 DDEDLO CO[C@@]1(CO)CCC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000595658181 356594796 /nfs/dbraw/zinc/59/47/96/356594796.db2.gz IAHSRYFMMYWLBP-HNNXBMFYSA-N 1 2 305.334 1.440 20 30 DDEDLO CO[C@@]1(CO)CCC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000595658181 356594797 /nfs/dbraw/zinc/59/47/97/356594797.db2.gz IAHSRYFMMYWLBP-HNNXBMFYSA-N 1 2 305.334 1.440 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595631176 356585226 /nfs/dbraw/zinc/58/52/26/356585226.db2.gz AQEVVUYSNNAKDX-CYBMUJFWSA-N 1 2 320.462 1.902 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@@H+]2CCC(=O)N(C)CC2)c1C#N ZINC000595771458 356645397 /nfs/dbraw/zinc/64/53/97/356645397.db2.gz CKKFPTBFYJQNNG-UHFFFAOYSA-N 1 2 323.400 1.717 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@H+]2CCC(=O)N(C)CC2)c1C#N ZINC000595771458 356645400 /nfs/dbraw/zinc/64/54/00/356645400.db2.gz CKKFPTBFYJQNNG-UHFFFAOYSA-N 1 2 323.400 1.717 20 30 DDEDLO Cn1ncc(C#N)c1N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000596060955 356757114 /nfs/dbraw/zinc/75/71/14/356757114.db2.gz LUBMNEICOIAMPB-UHFFFAOYSA-N 1 2 321.388 1.262 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNc1ncc(C#N)cc1[N+](=O)[O-] ZINC000596431587 356878119 /nfs/dbraw/zinc/87/81/19/356878119.db2.gz PJOYAWWPROSVGE-MNOVXSKESA-N 1 2 305.338 1.383 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNc1ncc(C#N)cc1[N+](=O)[O-] ZINC000596431587 356878122 /nfs/dbraw/zinc/87/81/22/356878122.db2.gz PJOYAWWPROSVGE-MNOVXSKESA-N 1 2 305.338 1.383 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000597383260 357157293 /nfs/dbraw/zinc/15/72/93/357157293.db2.gz XKPKSPXKYXSNAQ-YOEHRIQHSA-N 1 2 312.373 1.977 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CCC3(C[NH+](C)C3)C2)cc1C#N ZINC000597570778 357233264 /nfs/dbraw/zinc/23/32/64/357233264.db2.gz DCLDISJGKGGMFX-UHFFFAOYSA-N 1 2 318.402 1.158 20 30 DDEDLO C[C@@H](NC(=O)[C@H](C#N)Cc1ccc(C#N)cc1)c1[nH+]ccn1C ZINC000598466099 357593482 /nfs/dbraw/zinc/59/34/82/357593482.db2.gz XAYZOWPLGILJFY-DOMZBBRYSA-N 1 2 307.357 1.851 20 30 DDEDLO COC1CCC(CNC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)CC1 ZINC000329625188 223004047 /nfs/dbraw/zinc/00/40/47/223004047.db2.gz SQSSLXRTUAAESW-PFSRBDOWSA-N 1 2 311.426 1.120 20 30 DDEDLO COC1CCC(CNC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)CC1 ZINC000329625188 223004051 /nfs/dbraw/zinc/00/40/51/223004051.db2.gz SQSSLXRTUAAESW-PFSRBDOWSA-N 1 2 311.426 1.120 20 30 DDEDLO CCOC(=O)[C@H]([NH2+]C[C@@H](O)CC(C)(C)C#N)C1CCOCC1 ZINC000598604164 357650746 /nfs/dbraw/zinc/65/07/46/357650746.db2.gz JWWIOQXTWKIRRB-UONOGXRCSA-N 1 2 312.410 1.235 20 30 DDEDLO COc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c2ccccc2n1 ZINC000598836533 357740133 /nfs/dbraw/zinc/74/01/33/357740133.db2.gz LQCNSNUBIHHSSK-QGZVFWFLSA-N 1 2 312.373 1.817 20 30 DDEDLO CCC(CC)([NH2+]C[C@H](O)CC1(C#N)CCOCC1)C(=O)OC ZINC000599362309 357910124 /nfs/dbraw/zinc/91/01/24/357910124.db2.gz JLJSXDHZKWYNDP-CYBMUJFWSA-N 1 2 312.410 1.379 20 30 DDEDLO N#CCc1cccc2c1CC[N@@H+](CC(=O)NC[C@H]1CCCO1)C2 ZINC000599685229 358024337 /nfs/dbraw/zinc/02/43/37/358024337.db2.gz AQWBEDYPXKXMOS-MRXNPFEDSA-N 1 2 313.401 1.406 20 30 DDEDLO N#CCc1cccc2c1CC[N@H+](CC(=O)NC[C@H]1CCCO1)C2 ZINC000599685229 358024342 /nfs/dbraw/zinc/02/43/42/358024342.db2.gz AQWBEDYPXKXMOS-MRXNPFEDSA-N 1 2 313.401 1.406 20 30 DDEDLO COC[C@]1(C)C[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)CCO1 ZINC000599695287 358030165 /nfs/dbraw/zinc/03/01/65/358030165.db2.gz WYUUZQCLRIRNGZ-INIZCTEOSA-N 1 2 323.437 1.409 20 30 DDEDLO COC[C@]1(C)C[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)CCO1 ZINC000599695287 358030169 /nfs/dbraw/zinc/03/01/69/358030169.db2.gz WYUUZQCLRIRNGZ-INIZCTEOSA-N 1 2 323.437 1.409 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1ncc(C#N)cc1[N+](=O)[O-] ZINC000295727437 291131559 /nfs/dbraw/zinc/13/15/59/291131559.db2.gz VJGQUBVCZIMWFQ-AAEUAGOBSA-N 1 2 317.349 1.161 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2C(=O)N2CCc3[nH]c[nH+]c3C2)cc1 ZINC000599953390 358089391 /nfs/dbraw/zinc/08/93/91/358089391.db2.gz YFNXXCXYODIWJM-KRWDZBQOSA-N 1 2 321.384 1.835 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2C(=O)N2CCc3[nH+]c[nH]c3C2)cc1 ZINC000599953390 358089392 /nfs/dbraw/zinc/08/93/92/358089392.db2.gz YFNXXCXYODIWJM-KRWDZBQOSA-N 1 2 321.384 1.835 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(c2cc(C#N)nc(C3CC3)n2)CC1 ZINC000601113793 358407727 /nfs/dbraw/zinc/40/77/27/358407727.db2.gz QSEPSNXPGSSAEY-UHFFFAOYSA-N 1 2 323.404 1.281 20 30 DDEDLO N#C[C@@H]1CSCCN1C(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000601408876 358540228 /nfs/dbraw/zinc/54/02/28/358540228.db2.gz VSPPXPCWZCKJLB-OAHLLOKOSA-N 1 2 312.398 1.882 20 30 DDEDLO C[C@@H](C#N)N(C)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000601967912 358757667 /nfs/dbraw/zinc/75/76/67/358757667.db2.gz JBKMXZKDCVUSHN-LBPRGKRZSA-N 1 2 304.375 1.464 20 30 DDEDLO C=CCNC(=O)N1CC[NH+]([C@@H](CO)c2ccc(Cl)cc2)CC1 ZINC000601877237 358725199 /nfs/dbraw/zinc/72/51/99/358725199.db2.gz ICLIUGZRJSKCIS-HNNXBMFYSA-N 1 2 323.824 1.887 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N2CC[C@@](F)(C#N)C2)cc1 ZINC000602145605 358845285 /nfs/dbraw/zinc/84/52/85/358845285.db2.gz ZJQMGOHXEKWNBN-NVXWUHKLSA-N 1 2 317.364 1.734 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N2CC[C@@](F)(C#N)C2)cc1 ZINC000602145605 358845289 /nfs/dbraw/zinc/84/52/89/358845289.db2.gz ZJQMGOHXEKWNBN-NVXWUHKLSA-N 1 2 317.364 1.734 20 30 DDEDLO Cc1ccc(-c2nn(C)cc2C(=O)N[C@@](C)(C#N)C[NH+](C)C)o1 ZINC000602336084 358936207 /nfs/dbraw/zinc/93/62/07/358936207.db2.gz XXCYPIVMIXUNBK-INIZCTEOSA-N 1 2 315.377 1.562 20 30 DDEDLO N#Cc1cccc(CN2CCCC[C@H]2C[NH+]2CCOCC2)n1 ZINC000602461661 358993338 /nfs/dbraw/zinc/99/33/38/358993338.db2.gz ZEDULINKMARVTF-KRWDZBQOSA-N 1 2 300.406 1.640 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3ccc(=O)[nH]c3)CC2)c1C#N ZINC000602665913 359112043 /nfs/dbraw/zinc/11/20/43/359112043.db2.gz DUABFBSSFNRBEK-UHFFFAOYSA-N 1 2 315.402 1.746 20 30 DDEDLO N#Cc1cccc(CNc2ccc[nH+]c2N2CCC(O)CC2)n1 ZINC000602581629 359054522 /nfs/dbraw/zinc/05/45/22/359054522.db2.gz XKYBJNIJFZVQSR-UHFFFAOYSA-N 1 2 309.373 1.921 20 30 DDEDLO COC(=O)c1cc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)c(C)o1 ZINC000602855920 359242209 /nfs/dbraw/zinc/24/22/09/359242209.db2.gz JKHLURDTMONBQZ-VXGBXAGGSA-N 1 2 305.378 1.793 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)NCC1(c2ccccc2)CCOCC1 ZINC000602863052 359247681 /nfs/dbraw/zinc/24/76/81/359247681.db2.gz NDTWQVOGVQXRJZ-HNNXBMFYSA-N 1 2 315.417 1.743 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NCc1ccccc1)[C@H]1CCC[C@@H]1C#N ZINC000602978705 359337213 /nfs/dbraw/zinc/33/72/13/359337213.db2.gz VNNLXBBWFYGGTH-CABCVRRESA-N 1 2 314.389 1.636 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NCc1ccccc1)[C@H]1CCC[C@@H]1C#N ZINC000602978705 359337217 /nfs/dbraw/zinc/33/72/17/359337217.db2.gz VNNLXBBWFYGGTH-CABCVRRESA-N 1 2 314.389 1.636 20 30 DDEDLO N#Cc1cc(NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)ccn1 ZINC000603126618 359419966 /nfs/dbraw/zinc/41/99/66/359419966.db2.gz GIMPSKSZKRTSNS-OAHLLOKOSA-N 1 2 315.377 1.282 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccnc(C#N)c2)[C@@H](C)CO1 ZINC000603130031 359422094 /nfs/dbraw/zinc/42/20/94/359422094.db2.gz WQFLJTKCKDCCPZ-NWDGAFQWSA-N 1 2 303.366 1.184 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccnc(C#N)c2)[C@@H](C)CO1 ZINC000603130031 359422098 /nfs/dbraw/zinc/42/20/98/359422098.db2.gz WQFLJTKCKDCCPZ-NWDGAFQWSA-N 1 2 303.366 1.184 20 30 DDEDLO N#Cc1cc(Cn2cc(C[NH+]3CCSCC3)nn2)ccn1 ZINC000603221224 359492451 /nfs/dbraw/zinc/49/24/51/359492451.db2.gz FFFRWUVAJKXFPZ-UHFFFAOYSA-N 1 2 300.391 1.142 20 30 DDEDLO Cc1cc(NC(=O)Cc2cn3ccccc3[nH+]2)n(CCC#N)n1 ZINC000604528592 359761324 /nfs/dbraw/zinc/76/13/24/359761324.db2.gz LVXQJCPOSWLSTF-UHFFFAOYSA-N 1 2 308.345 1.934 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[NH+]1CCC(CO)(OC)CC1 ZINC000618551203 363668672 /nfs/dbraw/zinc/66/86/72/363668672.db2.gz NMZMQXASZXOIDA-HNNXBMFYSA-N 1 2 318.373 1.246 20 30 DDEDLO C[C@H](Cn1cccn1)[NH+]=C([O-])N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000329938095 223050090 /nfs/dbraw/zinc/05/00/90/223050090.db2.gz DXQKOHWQQKNILQ-ZNMIVQPWSA-N 1 2 316.409 1.965 20 30 DDEDLO C[C@H](Cn1cccn1)NC(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000329938095 223050092 /nfs/dbraw/zinc/05/00/92/223050092.db2.gz DXQKOHWQQKNILQ-ZNMIVQPWSA-N 1 2 316.409 1.965 20 30 DDEDLO N#CCn1c(C(=O)NCC[NH+]2CCOCC2)cc2ccccc21 ZINC000609159541 360268822 /nfs/dbraw/zinc/26/88/22/360268822.db2.gz NHIBDUQLXQENOT-UHFFFAOYSA-N 1 2 312.373 1.227 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)Cc1ccc2n[nH]cc2c1 ZINC000330008186 223062578 /nfs/dbraw/zinc/06/25/78/223062578.db2.gz FFBRAJZXUBFYJQ-GFCCVEGCSA-N 1 2 302.378 1.783 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)Cc1ccc2n[nH]cc2c1 ZINC000330008186 223062579 /nfs/dbraw/zinc/06/25/79/223062579.db2.gz FFBRAJZXUBFYJQ-GFCCVEGCSA-N 1 2 302.378 1.783 20 30 DDEDLO C[C@@H]1CCC[C@H](NC(=O)C[NH+]2CCN(CCC#N)CC2)[C@@H]1C ZINC000611173786 360646876 /nfs/dbraw/zinc/64/68/76/360646876.db2.gz KQGSANVQGLFGFU-OAGGEKHMSA-N 1 2 306.454 1.459 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1N(C)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611175629 360649364 /nfs/dbraw/zinc/64/93/64/360649364.db2.gz KIRGBGJCUMFEAK-CVEARBPZSA-N 1 2 306.454 1.555 20 30 DDEDLO Cc1nccc(NC(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)n1 ZINC000330104262 223074983 /nfs/dbraw/zinc/07/49/83/223074983.db2.gz GUZDKZYJSTVMMM-AWEZNQCLSA-N 1 2 319.409 1.517 20 30 DDEDLO C[C@H]1CCC[C@H](NC(=O)C[NH+]2CCN(CC3(C#N)CC3)CC2)C1 ZINC000611430858 360719152 /nfs/dbraw/zinc/71/91/52/360719152.db2.gz VLXJREOSFSCWFN-HOTGVXAUSA-N 1 2 318.465 1.603 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H](OC(F)F)C1 ZINC000611579012 360766649 /nfs/dbraw/zinc/76/66/49/360766649.db2.gz GXASNIGJMULSRN-FZMZJTMJSA-N 1 2 303.353 1.744 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H](OC(F)F)C1 ZINC000611579012 360766653 /nfs/dbraw/zinc/76/66/53/360766653.db2.gz GXASNIGJMULSRN-FZMZJTMJSA-N 1 2 303.353 1.744 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)N[C@@H]1CCO[C@]2(CCSC2)C1 ZINC000330231500 223091571 /nfs/dbraw/zinc/09/15/71/223091571.db2.gz WWHYASXVNFFNRJ-BXUZGUMPSA-N 1 2 310.423 1.478 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)N1CCC[C@](C)(C#N)C1 ZINC000612131662 360927601 /nfs/dbraw/zinc/92/76/01/360927601.db2.gz AMTOPIKGQSQXFW-MRXNPFEDSA-N 1 2 308.426 1.432 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)N1CCC[C@](C)(C#N)C1 ZINC000612131662 360927608 /nfs/dbraw/zinc/92/76/08/360927608.db2.gz AMTOPIKGQSQXFW-MRXNPFEDSA-N 1 2 308.426 1.432 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)NC[C@H](O)C(F)(F)F ZINC000330455550 223117867 /nfs/dbraw/zinc/11/78/67/223117867.db2.gz MXCWUMAZRVIHBS-VIFPVBQESA-N 1 2 306.288 1.146 20 30 DDEDLO N#C[C@H]1CCC[C@@H]1[NH2+]CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000331686331 223208487 /nfs/dbraw/zinc/20/84/87/223208487.db2.gz VNGMFWJXELWONZ-OCCSQVGLSA-N 1 2 314.345 1.766 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3ccnc(C#N)c3)CC2)cc[nH+]1 ZINC000193814305 201127977 /nfs/dbraw/zinc/12/79/77/201127977.db2.gz ICZYKCXASPENEP-UHFFFAOYSA-N 1 2 308.345 1.014 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cccc(CC#N)c1 ZINC000619476705 364028616 /nfs/dbraw/zinc/02/86/16/364028616.db2.gz DSHDSXQGZDASBO-GFCCVEGCSA-N 1 2 304.375 1.316 20 30 DDEDLO CC(C)(C(=O)NCc1ccc(C#N)c(Cl)c1)[NH+]1CCOCC1 ZINC000619780536 364149171 /nfs/dbraw/zinc/14/91/71/364149171.db2.gz WENXHJQDKWCYGL-UHFFFAOYSA-N 1 2 321.808 1.939 20 30 DDEDLO N#Cc1cc(C(=O)NCc2nc(C[NH+]3CCCCC3)no2)c[nH]1 ZINC000619872827 364170292 /nfs/dbraw/zinc/17/02/92/364170292.db2.gz LLSSLXQHSFOASH-UHFFFAOYSA-N 1 2 314.349 1.185 20 30 DDEDLO C=CC(C)(C)CCNS(=O)(=O)CCC[NH+]1CCOCC1 ZINC000625327633 366851760 /nfs/dbraw/zinc/85/17/60/366851760.db2.gz IOFWHLFRPUYDOC-UHFFFAOYSA-N 1 2 304.456 1.230 20 30 DDEDLO COCC[N@H+](Cc1ccc(CC#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000343762205 223327094 /nfs/dbraw/zinc/32/70/94/223327094.db2.gz LCYMSBFOQBAADP-INIZCTEOSA-N 1 2 322.430 1.388 20 30 DDEDLO COCC[N@@H+](Cc1ccc(CC#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000343762205 223327097 /nfs/dbraw/zinc/32/70/97/223327097.db2.gz LCYMSBFOQBAADP-INIZCTEOSA-N 1 2 322.430 1.388 20 30 DDEDLO Cn1c[nH+]cc1CN1C(=O)N[C@@](C)(c2cccc(C#N)c2)C1=O ZINC000267786304 206283327 /nfs/dbraw/zinc/28/33/27/206283327.db2.gz OOTSUPMTPKNBSM-INIZCTEOSA-N 1 2 309.329 1.259 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000028748657 248185304 /nfs/dbraw/zinc/18/53/04/248185304.db2.gz MYGYTVQIOXAMOV-OAHLLOKOSA-N 1 2 314.389 1.120 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000028748657 248185310 /nfs/dbraw/zinc/18/53/10/248185310.db2.gz MYGYTVQIOXAMOV-OAHLLOKOSA-N 1 2 314.389 1.120 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)Nc2cccc(C#N)c2)CC1 ZINC000106252546 194192327 /nfs/dbraw/zinc/19/23/27/194192327.db2.gz PCHBOFUVXOUFQZ-UHFFFAOYSA-N 1 2 324.388 1.641 20 30 DDEDLO CN(CCOCc1ccc(C#N)cc1)c1[nH+]cnc2c1cnn2C ZINC000341077882 251367815 /nfs/dbraw/zinc/36/78/15/251367815.db2.gz ZBOOYKRLNWSFPK-UHFFFAOYSA-N 1 2 322.372 1.888 20 30 DDEDLO COCC(COC)[NH+]1CCN(C(=O)c2cc(C#N)cs2)CC1 ZINC000412435261 224030188 /nfs/dbraw/zinc/03/01/88/224030188.db2.gz LDTZAKKJASEDKD-UHFFFAOYSA-N 1 2 323.418 1.039 20 30 DDEDLO COCC(C)(C)[NH+]1CCN(C(=O)c2ccc(C#N)c(O)c2)CC1 ZINC000424994455 533093360 /nfs/dbraw/zinc/09/33/60/533093360.db2.gz YCJDFVKLYBJCKH-UHFFFAOYSA-N 1 2 317.389 1.447 20 30 DDEDLO COc1cc(C#N)cc(Cl)c1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000414147630 533492920 /nfs/dbraw/zinc/49/29/20/533492920.db2.gz RPTQHAHBBYDLST-LBPRGKRZSA-N 1 2 323.780 1.805 20 30 DDEDLO COc1cc(C#N)cc(Cl)c1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414147630 533492925 /nfs/dbraw/zinc/49/29/25/533492925.db2.gz RPTQHAHBBYDLST-LBPRGKRZSA-N 1 2 323.780 1.805 20 30 DDEDLO C=CCCCN(CC)C(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC001120513643 781997312 /nfs/dbraw/zinc/99/73/12/781997312.db2.gz ORWLKZNTXBRKJB-UHFFFAOYSA-N 1 2 306.410 1.546 20 30 DDEDLO CC(C)CC[N@H+](CCC#N)CC(=O)NC(=O)NCC(F)(F)F ZINC000072692451 406884407 /nfs/dbraw/zinc/88/44/07/406884407.db2.gz QLFLGYSKPFKEOC-UHFFFAOYSA-N 1 2 322.331 1.636 20 30 DDEDLO CC(C)CC[N@@H+](CCC#N)CC(=O)NC(=O)NCC(F)(F)F ZINC000072692451 406884409 /nfs/dbraw/zinc/88/44/09/406884409.db2.gz QLFLGYSKPFKEOC-UHFFFAOYSA-N 1 2 322.331 1.636 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](C)CC(=O)Nc1c(C)cc(C)cc1C ZINC000026371656 406904858 /nfs/dbraw/zinc/90/48/58/406904858.db2.gz IBWVTGAHXHCAIE-HNNXBMFYSA-N 1 2 315.417 1.620 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](C)CC(=O)Nc1c(C)cc(C)cc1C ZINC000026371656 406904859 /nfs/dbraw/zinc/90/48/59/406904859.db2.gz IBWVTGAHXHCAIE-HNNXBMFYSA-N 1 2 315.417 1.620 20 30 DDEDLO COC(=O)C[C@H](C)[NH+]1CCN(C(=O)c2cc(C#N)cs2)CC1 ZINC000084190778 407084347 /nfs/dbraw/zinc/08/43/47/407084347.db2.gz HUYRHTAIHNTUON-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO C=CCNC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000089487569 407137838 /nfs/dbraw/zinc/13/78/38/407137838.db2.gz SZMZYXJSWOJDCZ-LBPRGKRZSA-N 1 2 312.414 1.023 20 30 DDEDLO C=CCNC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000089487569 407137839 /nfs/dbraw/zinc/13/78/39/407137839.db2.gz SZMZYXJSWOJDCZ-LBPRGKRZSA-N 1 2 312.414 1.023 20 30 DDEDLO COCCN1CC[N@H+](Cc2ccccc2C(C)(C)C#N)CC1=O ZINC000091829125 407184243 /nfs/dbraw/zinc/18/42/43/407184243.db2.gz SAJNVDROVNCWHE-UHFFFAOYSA-N 1 2 315.417 1.778 20 30 DDEDLO COCCN1CC[N@@H+](Cc2ccccc2C(C)(C)C#N)CC1=O ZINC000091829125 407184244 /nfs/dbraw/zinc/18/42/44/407184244.db2.gz SAJNVDROVNCWHE-UHFFFAOYSA-N 1 2 315.417 1.778 20 30 DDEDLO N#CCNC(=O)C[NH2+][C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000066495009 407252360 /nfs/dbraw/zinc/25/23/60/407252360.db2.gz AUKLNKGAAOZXJD-KRWDZBQOSA-N 1 2 322.368 1.596 20 30 DDEDLO C[C@H]1CC(C(=O)N(CCC#N)CC[NH+]2CCOCC2)C[C@H](C)O1 ZINC000094074167 407204363 /nfs/dbraw/zinc/20/43/63/407204363.db2.gz LKRZFXVPXFRAKQ-GJZGRUSLSA-N 1 2 323.437 1.264 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)NCc2ccco2)cs1 ZINC000063456923 407233615 /nfs/dbraw/zinc/23/36/15/407233615.db2.gz UYPWHOLDOUDQEE-UHFFFAOYSA-N 1 2 308.363 1.192 20 30 DDEDLO N#CCNC(=O)C[N@H+](Cc1cccc(F)c1)C[C@H]1CCCO1 ZINC000102823133 407328016 /nfs/dbraw/zinc/32/80/16/407328016.db2.gz BKZBGSZOKROVPZ-OAHLLOKOSA-N 1 2 305.353 1.446 20 30 DDEDLO N#CCNC(=O)C[N@@H+](Cc1cccc(F)c1)C[C@H]1CCCO1 ZINC000102823133 407328018 /nfs/dbraw/zinc/32/80/18/407328018.db2.gz BKZBGSZOKROVPZ-OAHLLOKOSA-N 1 2 305.353 1.446 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(OC(C)C)sc2=S)CC1 ZINC000098051317 407305197 /nfs/dbraw/zinc/30/51/97/407305197.db2.gz NNMQBFGVTJNFJA-UHFFFAOYSA-N 1 2 312.464 1.670 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3cccnc3)CC2)nc1 ZINC000107546988 407376137 /nfs/dbraw/zinc/37/61/37/407376137.db2.gz HEOCODYTPJNFCR-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO C=CC[N@H+](Cc1ccc2c(c1)OCCO2)[C@H]1CCS(=O)(=O)C1 ZINC000108870606 407391594 /nfs/dbraw/zinc/39/15/94/407391594.db2.gz DEOZJOUQWVUNDF-AWEZNQCLSA-N 1 2 323.414 1.633 20 30 DDEDLO C=CC[N@@H+](Cc1ccc2c(c1)OCCO2)[C@H]1CCS(=O)(=O)C1 ZINC000108870606 407391596 /nfs/dbraw/zinc/39/15/96/407391596.db2.gz DEOZJOUQWVUNDF-AWEZNQCLSA-N 1 2 323.414 1.633 20 30 DDEDLO Cc1oc(NC(=O)C[NH+]2CCC([C@H](C)O)CC2)c(C#N)c1C ZINC000111288034 407406081 /nfs/dbraw/zinc/40/60/81/407406081.db2.gz KVKRYQYMSOJENI-NSHDSACASA-N 1 2 305.378 1.799 20 30 DDEDLO N#C[C@@H]1COCCN1C(=O)/C=C\c1ccc(-n2cc[nH+]c2)cc1 ZINC000255391576 407473682 /nfs/dbraw/zinc/47/36/82/407473682.db2.gz GHKDVGVOYLWYMA-QQNRWZHASA-N 1 2 308.341 1.636 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)Nc2cc(C#N)cn2C(C)(C)C)C1 ZINC000170708385 407479773 /nfs/dbraw/zinc/47/97/73/407479773.db2.gz QOXGTFIBLDWTLB-ZDUSSCGKSA-N 1 2 319.409 1.567 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)Nc2cc(C#N)cn2C(C)(C)C)C1 ZINC000170708385 407479774 /nfs/dbraw/zinc/47/97/74/407479774.db2.gz QOXGTFIBLDWTLB-ZDUSSCGKSA-N 1 2 319.409 1.567 20 30 DDEDLO COC(=O)C[C@H]1C[N@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000178448587 407459108 /nfs/dbraw/zinc/45/91/08/407459108.db2.gz LZGAWZMUSWLFJE-INIZCTEOSA-N 1 2 318.373 1.591 20 30 DDEDLO COC(=O)C[C@H]1C[N@@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000178448587 407459115 /nfs/dbraw/zinc/45/91/15/407459115.db2.gz LZGAWZMUSWLFJE-INIZCTEOSA-N 1 2 318.373 1.591 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@@H]2CCc3[nH+]c(C)cn3C2)s1 ZINC000128439682 407535972 /nfs/dbraw/zinc/53/59/72/407535972.db2.gz RHHACEZMTBNHAY-LLVKDONJSA-N 1 2 317.418 1.991 20 30 DDEDLO Cc1nc(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)ccc1C#N ZINC000170886476 407545232 /nfs/dbraw/zinc/54/52/32/407545232.db2.gz XKKKELRYUGZNSM-YPMHNXCESA-N 1 2 302.378 1.101 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[NH+]1CCN(CC(=O)NC2CC2)CC1 ZINC000186283351 407629616 /nfs/dbraw/zinc/62/96/16/407629616.db2.gz QWJHRKFEHPLVEJ-CQSZACIVSA-N 1 2 312.417 1.515 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CCNC(=O)C1(C)C ZINC000114898070 407632598 /nfs/dbraw/zinc/63/25/98/407632598.db2.gz DBTCYYBRHNHRBK-OAHLLOKOSA-N 1 2 318.417 1.365 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CCNC(=O)C1(C)C ZINC000114898070 407632603 /nfs/dbraw/zinc/63/26/03/407632603.db2.gz DBTCYYBRHNHRBK-OAHLLOKOSA-N 1 2 318.417 1.365 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000130614547 407698770 /nfs/dbraw/zinc/69/87/70/407698770.db2.gz YGVHXJIIUQZUCV-BBRMVZONSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000130614547 407698777 /nfs/dbraw/zinc/69/87/77/407698777.db2.gz YGVHXJIIUQZUCV-BBRMVZONSA-N 1 2 308.426 1.031 20 30 DDEDLO COc1ccc(CN(CCC#N)CC[NH+]2CCOCC2)nc1 ZINC000271833835 407732805 /nfs/dbraw/zinc/73/28/05/407732805.db2.gz RJERVKNGORAXGQ-UHFFFAOYSA-N 1 2 304.394 1.138 20 30 DDEDLO C=CCCC[NH+]1CCN(S(=O)(=O)c2ccccc2C#N)CC1 ZINC000117870867 407867932 /nfs/dbraw/zinc/86/79/32/407867932.db2.gz MGROQRNDDSZZEG-UHFFFAOYSA-N 1 2 319.430 1.831 20 30 DDEDLO COc1cc(C[NH+]2CCN(C(=O)CC#N)CC2)cc(OC)c1 ZINC000187644821 407873610 /nfs/dbraw/zinc/87/36/10/407873610.db2.gz IZDCCSAAFXIDQI-UHFFFAOYSA-N 1 2 303.362 1.262 20 30 DDEDLO CNC(=O)CC1CC[NH+](CC(=O)Nc2sccc2C#N)CC1 ZINC000175024127 408032398 /nfs/dbraw/zinc/03/23/98/408032398.db2.gz SCRARENGDILVIW-UHFFFAOYSA-N 1 2 320.418 1.406 20 30 DDEDLO CC(C)N(C)C(=O)[C@H](C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000175150054 408064737 /nfs/dbraw/zinc/06/47/37/408064737.db2.gz DMEOQQCIQMRHPC-HNNXBMFYSA-N 1 2 314.433 1.936 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)c1ccc(C#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000268649442 408138123 /nfs/dbraw/zinc/13/81/23/408138123.db2.gz JHWUNVYOHWAFKH-HOTGVXAUSA-N 1 2 320.414 1.267 20 30 DDEDLO C=CC[N@H+](C[C@H](O)c1ccc(C#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000268649442 408138127 /nfs/dbraw/zinc/13/81/27/408138127.db2.gz JHWUNVYOHWAFKH-HOTGVXAUSA-N 1 2 320.414 1.267 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](C/C=C/c2ccc(F)cc2)CC1 ZINC000122240461 408269683 /nfs/dbraw/zinc/26/96/83/408269683.db2.gz BFMQTBRDCKIEQC-ONEGZZNKSA-N 1 2 317.408 1.759 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(C/C=C/c2ccc(F)cc2)CC1 ZINC000122240461 408269687 /nfs/dbraw/zinc/26/96/87/408269687.db2.gz BFMQTBRDCKIEQC-ONEGZZNKSA-N 1 2 317.408 1.759 20 30 DDEDLO CCCc1nc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)no1 ZINC000158218617 408331877 /nfs/dbraw/zinc/33/18/77/408331877.db2.gz IOJGFNXUCKGYRZ-UHFFFAOYSA-N 1 2 302.334 1.794 20 30 DDEDLO COC(=O)CN1CCC[N@H+](Cc2cc(F)ccc2C#N)CC1 ZINC000160936714 408542803 /nfs/dbraw/zinc/54/28/03/408542803.db2.gz CNCLCWIRFFGIJV-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO COC(=O)CN1CCC[N@@H+](Cc2cc(F)ccc2C#N)CC1 ZINC000160936714 408542812 /nfs/dbraw/zinc/54/28/12/408542812.db2.gz CNCLCWIRFFGIJV-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO COC(=O)C[N@@H+]1CCCN(Cc2cc(F)ccc2C#N)CC1 ZINC000160936714 408542817 /nfs/dbraw/zinc/54/28/17/408542817.db2.gz CNCLCWIRFFGIJV-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO COC(=O)C[N@H+]1CCCN(Cc2cc(F)ccc2C#N)CC1 ZINC000160936714 408542822 /nfs/dbraw/zinc/54/28/22/408542822.db2.gz CNCLCWIRFFGIJV-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000184554879 408642949 /nfs/dbraw/zinc/64/29/49/408642949.db2.gz BNJFTKOBVVIQGS-ZBFHGGJFSA-N 1 2 318.373 1.325 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000184554879 408642955 /nfs/dbraw/zinc/64/29/55/408642955.db2.gz BNJFTKOBVVIQGS-ZBFHGGJFSA-N 1 2 318.373 1.325 20 30 DDEDLO CCS(=O)(=O)CC[NH+]1CCC(Oc2ccc(C#N)cc2)CC1 ZINC000265458686 408659079 /nfs/dbraw/zinc/65/90/79/408659079.db2.gz GAVZCFALDLFSTB-UHFFFAOYSA-N 1 2 322.430 1.836 20 30 DDEDLO CN(CC(=O)N(C)C1(C#N)CCCCC1)Cc1[nH+]ccn1C ZINC000161993851 408659523 /nfs/dbraw/zinc/65/95/23/408659523.db2.gz GHTHARAKWKDIBV-UHFFFAOYSA-N 1 2 303.410 1.537 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2ncccn2)C1 ZINC000276035344 408755924 /nfs/dbraw/zinc/75/59/24/408755924.db2.gz RRSJYANIQFISBP-HNNXBMFYSA-N 1 2 300.406 1.703 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000276035344 408755927 /nfs/dbraw/zinc/75/59/27/408755927.db2.gz RRSJYANIQFISBP-HNNXBMFYSA-N 1 2 300.406 1.703 20 30 DDEDLO C[C@@H](C[S@](C)=O)[N@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000185115338 408761998 /nfs/dbraw/zinc/76/19/98/408761998.db2.gz OOUPDQDDXKCLKY-ZSEKCTLFSA-N 1 2 313.467 1.370 20 30 DDEDLO C[C@@H](C[S@](C)=O)[N@@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000185115338 408762002 /nfs/dbraw/zinc/76/20/02/408762002.db2.gz OOUPDQDDXKCLKY-ZSEKCTLFSA-N 1 2 313.467 1.370 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@H](Oc3ncccc3F)C2)C1=O ZINC000281341585 408882812 /nfs/dbraw/zinc/88/28/12/408882812.db2.gz MLTPICQHDMPJBK-DZGCQCFKSA-N 1 2 319.380 1.851 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@H](Oc3ncccc3F)C2)C1=O ZINC000281341585 408882816 /nfs/dbraw/zinc/88/28/16/408882816.db2.gz MLTPICQHDMPJBK-DZGCQCFKSA-N 1 2 319.380 1.851 20 30 DDEDLO C[C@H](c1nnnn1C)[NH+]1CCC(Oc2ccc(C#N)cc2)CC1 ZINC000276130549 408822430 /nfs/dbraw/zinc/82/24/30/408822430.db2.gz AWKBVHUCFFFPOA-GFCCVEGCSA-N 1 2 312.377 1.686 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)Nc2nccs2)C1=O ZINC000281432649 408886365 /nfs/dbraw/zinc/88/63/65/408886365.db2.gz SOZOVGPEJNWVNB-GHMZBOCLSA-N 1 2 308.407 1.189 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)Nc2nccs2)C1=O ZINC000281432649 408886369 /nfs/dbraw/zinc/88/63/69/408886369.db2.gz SOZOVGPEJNWVNB-GHMZBOCLSA-N 1 2 308.407 1.189 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3nc(C)cs3)CC2)C1=O ZINC000282015827 408972140 /nfs/dbraw/zinc/97/21/40/408972140.db2.gz OTVLERRDXRCDKF-CYBMUJFWSA-N 1 2 306.435 1.360 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@H](O)COc1cccc([N+](=O)[O-])c1 ZINC000292083872 408977256 /nfs/dbraw/zinc/97/72/56/408977256.db2.gz DSWXPBCXHJSEMX-AWEZNQCLSA-N 1 2 305.334 1.736 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@H](O)COc1cccc([N+](=O)[O-])c1 ZINC000292083872 408977258 /nfs/dbraw/zinc/97/72/58/408977258.db2.gz DSWXPBCXHJSEMX-AWEZNQCLSA-N 1 2 305.334 1.736 20 30 DDEDLO C[N@@H+](Cc1ncnn1CC(F)F)C[C@H](O)c1ccc(C#N)cc1 ZINC000278825007 409096619 /nfs/dbraw/zinc/09/66/19/409096619.db2.gz CNFFDEDUYGFDGV-ZDUSSCGKSA-N 1 2 321.331 1.580 20 30 DDEDLO C[N@H+](Cc1ncnn1CC(F)F)C[C@H](O)c1ccc(C#N)cc1 ZINC000278825007 409096623 /nfs/dbraw/zinc/09/66/23/409096623.db2.gz CNFFDEDUYGFDGV-ZDUSSCGKSA-N 1 2 321.331 1.580 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2c(C)cccc2C)CC1 ZINC000293454692 409116097 /nfs/dbraw/zinc/11/60/97/409116097.db2.gz KVEWRRLNJKZIKI-UHFFFAOYSA-N 1 2 320.458 1.774 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1cc[nH]c1C1CC1 ZINC000283288574 409128842 /nfs/dbraw/zinc/12/88/42/409128842.db2.gz FOQMYVWDNLJLIX-UHFFFAOYSA-N 1 2 316.405 1.580 20 30 DDEDLO CC#CC(=O)NCC[NH+]1CCN(c2cccc(Cl)c2)CC1 ZINC000283287309 409129033 /nfs/dbraw/zinc/12/90/33/409129033.db2.gz SRNIKQSLXPRNDX-UHFFFAOYSA-N 1 2 305.809 1.602 20 30 DDEDLO N#CCCOCC[NH+]1CCN(C(=O)c2ccccc2O)CC1 ZINC000293741172 409171204 /nfs/dbraw/zinc/17/12/04/409171204.db2.gz HSFFDGHOMOAUKM-UHFFFAOYSA-N 1 2 303.362 1.080 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[NH+](Cc2ccccn2)CC1)C(C)(C)C ZINC000289025755 409209376 /nfs/dbraw/zinc/20/93/76/409209376.db2.gz BTAWVWXFHGJZJK-MRXNPFEDSA-N 1 2 314.433 1.957 20 30 DDEDLO COc1cc(CO[NH+]=C(N)[C@@H]2CCCO2)c([N+](=O)[O-])cc1F ZINC000283939717 409243846 /nfs/dbraw/zinc/24/38/46/409243846.db2.gz FMDAYRHZYZAHDO-NSHDSACASA-N 1 2 313.285 1.710 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@H](CS(C)(=O)=O)C2)ccc1C#N ZINC000293878586 409199558 /nfs/dbraw/zinc/19/95/58/409199558.db2.gz JMJHAXINZNNZHY-AWEZNQCLSA-N 1 2 322.430 1.823 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@H](CS(C)(=O)=O)C2)ccc1C#N ZINC000293878586 409199560 /nfs/dbraw/zinc/19/95/60/409199560.db2.gz JMJHAXINZNNZHY-AWEZNQCLSA-N 1 2 322.430 1.823 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)Nc2cccc(C#N)c2)C[C@]2(CCOC2)O1 ZINC000280366556 409300723 /nfs/dbraw/zinc/30/07/23/409300723.db2.gz KCYGOPHTZBWKLL-GUYCJALGSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)Nc2cccc(C#N)c2)C[C@]2(CCOC2)O1 ZINC000280366556 409300725 /nfs/dbraw/zinc/30/07/25/409300725.db2.gz KCYGOPHTZBWKLL-GUYCJALGSA-N 1 2 315.373 1.377 20 30 DDEDLO C=C(C)CS(=O)(=O)N(C)c1ccc(N2CCOCC2)[nH+]c1 ZINC000280631551 409388235 /nfs/dbraw/zinc/38/82/35/409388235.db2.gz SZFAEUOGAKARDN-UHFFFAOYSA-N 1 2 311.407 1.260 20 30 DDEDLO C=C(C)CS(=O)(=O)N(C)Cc1[nH+]ccn1CC(F)(F)F ZINC000280649197 409394642 /nfs/dbraw/zinc/39/46/42/409394642.db2.gz VXBZECGPDQTTQR-UHFFFAOYSA-N 1 2 311.329 1.783 20 30 DDEDLO NC(=[NH+]OCC(=O)Nc1ccnn1C1CCCC1)[C@H]1CCCO1 ZINC000284540910 409349042 /nfs/dbraw/zinc/34/90/42/409349042.db2.gz VKHMNSVWPIKMSQ-GFCCVEGCSA-N 1 2 321.381 1.405 20 30 DDEDLO COc1cccc(C(N)=[NH+]OC[C@H]2CCCS(=O)(=O)C2)c1 ZINC000284565180 409351664 /nfs/dbraw/zinc/35/16/64/409351664.db2.gz WPJVLBGRYHXABL-LLVKDONJSA-N 1 2 312.391 1.157 20 30 DDEDLO C#Cc1ccc(C[N@@H+](CCOC)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000290607552 409458683 /nfs/dbraw/zinc/45/86/83/409458683.db2.gz WHUXTNZYGNJDJT-MRXNPFEDSA-N 1 2 307.415 1.303 20 30 DDEDLO C#Cc1ccc(C[N@H+](CCOC)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000290607552 409458689 /nfs/dbraw/zinc/45/86/89/409458689.db2.gz WHUXTNZYGNJDJT-MRXNPFEDSA-N 1 2 307.415 1.303 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCC[C@@H](CS(C)(=O)=O)C1 ZINC000320246882 164041301 /nfs/dbraw/zinc/04/13/01/164041301.db2.gz YBRCBQKXWUTWTB-CQSZACIVSA-N 1 2 322.430 1.823 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCC[C@@H](CS(C)(=O)=O)C1 ZINC000320246882 164041303 /nfs/dbraw/zinc/04/13/03/164041303.db2.gz YBRCBQKXWUTWTB-CQSZACIVSA-N 1 2 322.430 1.823 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000295387463 409462523 /nfs/dbraw/zinc/46/25/23/409462523.db2.gz USLKZSFNKXOPRI-UHFFFAOYSA-N 1 2 322.434 1.473 20 30 DDEDLO C=C[C@H](CO)NC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000356840052 409652562 /nfs/dbraw/zinc/65/25/62/409652562.db2.gz SBPFSZMFKDGDSB-LLVKDONJSA-N 1 2 302.290 1.057 20 30 DDEDLO C=CCOCC(=O)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000354325569 409771112 /nfs/dbraw/zinc/77/11/12/409771112.db2.gz UZLSNUOPZZMKRO-UHFFFAOYSA-N 1 2 318.421 1.760 20 30 DDEDLO Cc1onc(CC(=O)NCc2cn3cccc(C)c3[nH+]2)c1C#N ZINC000354438752 409855607 /nfs/dbraw/zinc/85/56/07/409855607.db2.gz PDHYATJEPGLPAO-UHFFFAOYSA-N 1 2 309.329 1.670 20 30 DDEDLO COCC1(C#N)CCN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC000357094626 409868620 /nfs/dbraw/zinc/86/86/20/409868620.db2.gz OXGGIJBWNAUJMN-LBPRGKRZSA-N 1 2 302.378 1.608 20 30 DDEDLO CCCn1cc[nH+]c1CCCN(C)C(=O)c1cnn(C)c1C#N ZINC000357102284 409874729 /nfs/dbraw/zinc/87/47/29/409874729.db2.gz BUCPMHIWIQHHRB-UHFFFAOYSA-N 1 2 314.393 1.603 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+](CCO)Cc1cccnc1 ZINC000357314440 409969892 /nfs/dbraw/zinc/96/98/92/409969892.db2.gz XYMCFHJBSHWVIM-RHSMWYFYSA-N 1 2 318.421 1.319 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+](CCO)Cc1cccnc1 ZINC000357314440 409969898 /nfs/dbraw/zinc/96/98/98/409969898.db2.gz XYMCFHJBSHWVIM-RHSMWYFYSA-N 1 2 318.421 1.319 20 30 DDEDLO CC(C)N1C[C@H](NC(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)CC1=O ZINC000328755643 409969992 /nfs/dbraw/zinc/96/99/92/409969992.db2.gz FJCKBCZSWMLVNB-CHWSQXEVSA-N 1 2 319.409 1.133 20 30 DDEDLO C=CC[N@@H+](Cc1cnn([C@@]2(C)CCS(=O)(=O)C2)c1)C1CC1 ZINC000350267022 409937843 /nfs/dbraw/zinc/93/78/43/409937843.db2.gz NNXNAOCXXNZOFB-HNNXBMFYSA-N 1 2 309.435 1.567 20 30 DDEDLO C=CC[N@H+](Cc1cnn([C@@]2(C)CCS(=O)(=O)C2)c1)C1CC1 ZINC000350267022 409937851 /nfs/dbraw/zinc/93/78/51/409937851.db2.gz NNXNAOCXXNZOFB-HNNXBMFYSA-N 1 2 309.435 1.567 20 30 DDEDLO Cn1c(C#N)ccc1-c1nc([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)no1 ZINC000351094796 410035012 /nfs/dbraw/zinc/03/50/12/410035012.db2.gz SROMRZXARZFJTC-TZMCWYRMSA-N 1 2 313.361 1.873 20 30 DDEDLO Cn1c(C#N)ccc1-c1nc([C@H]2C[N@H+]3CCCC[C@@H]3CO2)no1 ZINC000351094796 410035019 /nfs/dbraw/zinc/03/50/19/410035019.db2.gz SROMRZXARZFJTC-TZMCWYRMSA-N 1 2 313.361 1.873 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)CNC(=O)CC3CCCC3)C[C@@H]21 ZINC000328887300 410001827 /nfs/dbraw/zinc/00/18/27/410001827.db2.gz PJBPSLOGQNMVSI-LSDHHAIUSA-N 1 2 323.437 1.455 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)CNC(=O)CC3CCCC3)C[C@@H]21 ZINC000328887300 410001833 /nfs/dbraw/zinc/00/18/33/410001833.db2.gz PJBPSLOGQNMVSI-LSDHHAIUSA-N 1 2 323.437 1.455 20 30 DDEDLO C[C@@H]1CCN(S(=O)(=O)CC2(C#N)CCC2)C[C@@H]1n1cc[nH+]c1 ZINC000357486488 410064179 /nfs/dbraw/zinc/06/41/79/410064179.db2.gz XTVAKWIVXKHGHF-KGLIPLIRSA-N 1 2 322.434 1.790 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000297964281 410062247 /nfs/dbraw/zinc/06/22/47/410062247.db2.gz VONULGXPUHQIKP-HUUCEWRRSA-N 1 2 318.421 1.717 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+](CCC)CC(=O)NCCC ZINC000298075444 410102443 /nfs/dbraw/zinc/10/24/43/410102443.db2.gz JSDXPEJBNKRURN-HNNXBMFYSA-N 1 2 309.454 1.814 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+](CCC)CC(=O)NCCC ZINC000298075444 410102451 /nfs/dbraw/zinc/10/24/51/410102451.db2.gz JSDXPEJBNKRURN-HNNXBMFYSA-N 1 2 309.454 1.814 20 30 DDEDLO C[C@H](NC(=O)Nc1cccc2c1OCO2)[C@H](C)[NH+]1CCOCC1 ZINC000329289262 410214458 /nfs/dbraw/zinc/21/44/58/410214458.db2.gz ACGIGSYXIGYWSG-RYUDHWBXSA-N 1 2 321.377 1.850 20 30 DDEDLO CO[C@H]1C[C@H](NC(=O)N2CCC(C#N)([NH+](C)C)CC2)C1(C)C ZINC000332496771 410178768 /nfs/dbraw/zinc/17/87/68/410178768.db2.gz YJZUNCUTVQFUEC-STQMWFEESA-N 1 2 308.426 1.429 20 30 DDEDLO C[C@H](C[NH+]1CCCCC1)NC(=O)[C@H]1CCCCS1(=O)=O ZINC000329262749 410200549 /nfs/dbraw/zinc/20/05/49/410200549.db2.gz BGOONUVBNQRHIK-CHWSQXEVSA-N 1 2 302.440 1.785 20 30 DDEDLO C[C@H](C[NH+]1CCCCC1)NC(=O)[C@@H]1CCCCS1(=O)=O ZINC000329262751 410201737 /nfs/dbraw/zinc/20/17/37/410201737.db2.gz BGOONUVBNQRHIK-OLZOCXBDSA-N 1 2 302.440 1.785 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@H+](CC)CCC#N)C1 ZINC000357928314 410327565 /nfs/dbraw/zinc/32/75/65/410327565.db2.gz RFPBENUHLHFORV-UONOGXRCSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@@H+](CC)CCC#N)C1 ZINC000357928314 410327574 /nfs/dbraw/zinc/32/75/74/410327574.db2.gz RFPBENUHLHFORV-UONOGXRCSA-N 1 2 309.410 1.412 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCN2CCOC[C@@H]2C1 ZINC000329429782 410292723 /nfs/dbraw/zinc/29/27/23/410292723.db2.gz KBVMWAHVPQPOHP-ZFWWWQNUSA-N 1 2 314.389 1.551 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCN2CCOC[C@@H]2C1 ZINC000329429782 410292727 /nfs/dbraw/zinc/29/27/27/410292727.db2.gz KBVMWAHVPQPOHP-ZFWWWQNUSA-N 1 2 314.389 1.551 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)[N@@H+]1CCN2CCOC[C@@H]2C1 ZINC000329426973 410293980 /nfs/dbraw/zinc/29/39/80/410293980.db2.gz JJGUTKLIEPJGEX-KBPBESRZSA-N 1 2 319.405 1.688 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)[N@H+]1CCN2CCOC[C@@H]2C1 ZINC000329426973 410293985 /nfs/dbraw/zinc/29/39/85/410293985.db2.gz JJGUTKLIEPJGEX-KBPBESRZSA-N 1 2 319.405 1.688 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000355088473 410307661 /nfs/dbraw/zinc/30/76/61/410307661.db2.gz OLRLIJVMOHYHDQ-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000355088473 410307668 /nfs/dbraw/zinc/30/76/68/410307668.db2.gz OLRLIJVMOHYHDQ-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO Cn1c(CNC(=O)C2([NH+]3CCOCC3)CCC2)nnc1C1CC1 ZINC000329458964 410309544 /nfs/dbraw/zinc/30/95/44/410309544.db2.gz OQDDXRMCAMXGOC-UHFFFAOYSA-N 1 2 319.409 1.404 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000298749892 410379471 /nfs/dbraw/zinc/37/94/71/410379471.db2.gz MIHQTYYKYHDNTO-UHFFFAOYSA-N 1 2 321.384 1.374 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000355380302 410484189 /nfs/dbraw/zinc/48/41/89/410484189.db2.gz HZVCQDJKTODBDC-GLQYFDAESA-N 1 2 315.373 1.216 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000355380302 410484192 /nfs/dbraw/zinc/48/41/92/410484192.db2.gz HZVCQDJKTODBDC-GLQYFDAESA-N 1 2 315.373 1.216 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@]2(C#N)CC23CCCC3)C[C@H]1[NH+]1CCOCC1 ZINC000333601105 410584588 /nfs/dbraw/zinc/58/45/88/410584588.db2.gz VEHNHMMCEMQJFZ-RKVPGOIHSA-N 1 2 317.433 1.640 20 30 DDEDLO Cc1cc(Cl)ccc1C[NH+]1CCN(CC(=O)NCC#N)CC1 ZINC000352543327 410666527 /nfs/dbraw/zinc/66/65/27/410666527.db2.gz CZJPCWZNAUKMNT-UHFFFAOYSA-N 1 2 320.824 1.406 20 30 DDEDLO Cc1cccc(OCCNC(=O)C[NH+]2CCC(F)(C#N)CC2)c1 ZINC000352464448 410658534 /nfs/dbraw/zinc/65/85/34/410658534.db2.gz TZBAJKUSVVJPAY-UHFFFAOYSA-N 1 2 319.380 1.818 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1cn(-c2ccccc2Br)nn1 ZINC000352453271 410659426 /nfs/dbraw/zinc/65/94/26/410659426.db2.gz LIVZDYWYNJGXKP-SNVBAGLBSA-N 1 2 323.194 1.666 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](CCc2ccccc2Cl)CC1 ZINC000355751794 410677706 /nfs/dbraw/zinc/67/77/06/410677706.db2.gz NDYCACFUYIYKDZ-UHFFFAOYSA-N 1 2 321.852 1.802 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(CCc2ccccc2Cl)CC1 ZINC000355751794 410677711 /nfs/dbraw/zinc/67/77/11/410677711.db2.gz NDYCACFUYIYKDZ-UHFFFAOYSA-N 1 2 321.852 1.802 20 30 DDEDLO Cc1cc(N[C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)nc[nH+]1 ZINC000302750417 410858539 /nfs/dbraw/zinc/85/85/39/410858539.db2.gz VAUCUQWSAFZYRJ-OAHLLOKOSA-N 1 2 307.357 1.870 20 30 DDEDLO CC[C@H]1CO[C@@H](C)C[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000330690595 410879545 /nfs/dbraw/zinc/87/95/45/410879545.db2.gz FTUUUNOZABRJEJ-BBRMVZONSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H]1CO[C@@H](C)C[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000330690595 410879552 /nfs/dbraw/zinc/87/95/52/410879552.db2.gz FTUUUNOZABRJEJ-BBRMVZONSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[N@@H+](CC(=O)NC[C@@H]1COc2ccccc2O1)C[C@@H](C)C#N ZINC000353305781 410911512 /nfs/dbraw/zinc/91/15/12/410911512.db2.gz DTKVIDIJZDCXKG-UONOGXRCSA-N 1 2 317.389 1.424 20 30 DDEDLO CC[N@H+](CC(=O)NC[C@@H]1COc2ccccc2O1)C[C@@H](C)C#N ZINC000353305781 410911516 /nfs/dbraw/zinc/91/15/16/410911516.db2.gz DTKVIDIJZDCXKG-UONOGXRCSA-N 1 2 317.389 1.424 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c(F)cccc1C#N)Cn1cc[nH+]c1 ZINC000353367893 410944871 /nfs/dbraw/zinc/94/48/71/410944871.db2.gz CGDHYCYNXACZRB-LBPRGKRZSA-N 1 2 300.337 1.889 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1)[C@@H]1CC(=O)N(c2cnccn2)C1=O ZINC000341213892 410946032 /nfs/dbraw/zinc/94/60/32/410946032.db2.gz AAINBOJCAIRLRH-CQSZACIVSA-N 1 2 321.340 1.112 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1)[C@@H]1CC(=O)N(c2cnccn2)C1=O ZINC000341213892 410946038 /nfs/dbraw/zinc/94/60/38/410946038.db2.gz AAINBOJCAIRLRH-CQSZACIVSA-N 1 2 321.340 1.112 20 30 DDEDLO CN(C[C@H]1OCC[N@H+](C)[C@@H]1c1ccccc1)c1nccnc1C#N ZINC000344324820 411092696 /nfs/dbraw/zinc/09/26/96/411092696.db2.gz QBQPMPVMMBDEFC-IAGOWNOFSA-N 1 2 323.400 1.856 20 30 DDEDLO CN(C[C@H]1OCC[N@@H+](C)[C@@H]1c1ccccc1)c1nccnc1C#N ZINC000344324820 411092702 /nfs/dbraw/zinc/09/27/02/411092702.db2.gz QBQPMPVMMBDEFC-IAGOWNOFSA-N 1 2 323.400 1.856 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC[C@H](Nc2ccc(C#N)cn2)C1=O ZINC000542120649 416619747 /nfs/dbraw/zinc/61/97/47/416619747.db2.gz AGFCXHQHCDZCCH-ZDUSSCGKSA-N 1 2 310.361 1.290 20 30 DDEDLO N#Cc1cnc(N[C@@H](CO)C[NH+]2CCOCC2)c2sccc21 ZINC000601177688 416620618 /nfs/dbraw/zinc/62/06/18/416620618.db2.gz ZGZNLCUQDSFHAH-GFCCVEGCSA-N 1 2 318.402 1.273 20 30 DDEDLO CC(C)[C@@H](C(=O)Nc1n[nH]cc1C#N)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000601640643 416623891 /nfs/dbraw/zinc/62/38/91/416623891.db2.gz JWNXWYCVKAHTPC-WZRBSPASSA-N 1 2 305.382 1.354 20 30 DDEDLO Cc1cc(NC(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)ncc1C#N ZINC000603115155 416632052 /nfs/dbraw/zinc/63/20/52/416632052.db2.gz CDLJIXORLNPLJL-STQMWFEESA-N 1 2 317.393 1.492 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCCC[C@@H]2CCS(C)(=O)=O)C1=O ZINC000366426255 418472665 /nfs/dbraw/zinc/47/26/65/418472665.db2.gz YTTAQORCULJQQP-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCCC[C@@H]2CCS(C)(=O)=O)C1=O ZINC000366426255 418472667 /nfs/dbraw/zinc/47/26/67/418472667.db2.gz YTTAQORCULJQQP-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)C1(C#N)CCOCC1)CCC2 ZINC000360775778 418514766 /nfs/dbraw/zinc/51/47/66/418514766.db2.gz LVFHEFYFNIROGK-ZDUSSCGKSA-N 1 2 302.378 1.506 20 30 DDEDLO O=S(=O)(C1CC1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000374823282 418567183 /nfs/dbraw/zinc/56/71/83/418567183.db2.gz KRTDPZYDYJAKHQ-UHFFFAOYSA-N 1 2 318.442 1.538 20 30 DDEDLO O=S(=O)(C1CC1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000374823282 418567187 /nfs/dbraw/zinc/56/71/87/418567187.db2.gz KRTDPZYDYJAKHQ-UHFFFAOYSA-N 1 2 318.442 1.538 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)c2ccc(C#N)c(O)c2)CCO1 ZINC000188411432 222013901 /nfs/dbraw/zinc/01/39/01/222013901.db2.gz YIWUPJZDZRXEPW-UHFFFAOYSA-N 1 2 303.362 1.104 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)c2ccc(C#N)c(O)c2)CCO1 ZINC000188411432 222013903 /nfs/dbraw/zinc/01/39/03/222013903.db2.gz YIWUPJZDZRXEPW-UHFFFAOYSA-N 1 2 303.362 1.104 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1nnc(C(C)(C)C)s1 ZINC000191139902 222096991 /nfs/dbraw/zinc/09/69/91/222096991.db2.gz BUIDKOYAHCRRBI-UHFFFAOYSA-N 1 2 312.439 1.909 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1nnc(C(C)(C)C)s1 ZINC000191139902 222096993 /nfs/dbraw/zinc/09/69/93/222096993.db2.gz BUIDKOYAHCRRBI-UHFFFAOYSA-N 1 2 312.439 1.909 20 30 DDEDLO CC(C)C[C@H]1C(=O)NCC[N@H+]1CCOc1cccc(C#N)c1 ZINC000189845805 222059127 /nfs/dbraw/zinc/05/91/27/222059127.db2.gz LXZLEKKLLDICNI-INIZCTEOSA-N 1 2 301.390 1.784 20 30 DDEDLO CC(C)C[C@H]1C(=O)NCC[N@@H+]1CCOc1cccc(C#N)c1 ZINC000189845805 222059130 /nfs/dbraw/zinc/05/91/30/222059130.db2.gz LXZLEKKLLDICNI-INIZCTEOSA-N 1 2 301.390 1.784 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCO[C@H](c2ccc(CC)cc2)C1 ZINC000248704794 222236532 /nfs/dbraw/zinc/23/65/32/222236532.db2.gz GQLIJIVTRVLMPM-PBHICJAKSA-N 1 2 300.402 1.760 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCO[C@H](c2ccc(CC)cc2)C1 ZINC000248704794 222236534 /nfs/dbraw/zinc/23/65/34/222236534.db2.gz GQLIJIVTRVLMPM-PBHICJAKSA-N 1 2 300.402 1.760 20 30 DDEDLO Cc1cc(NC(=O)N(CCC#N)CC[NH+]2CCOCC2)no1 ZINC000265192274 222350996 /nfs/dbraw/zinc/35/09/96/222350996.db2.gz VNTCTGXRZPWLQJ-UHFFFAOYSA-N 1 2 307.354 1.063 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCC(F)(F)[C@H](CO)C1 ZINC000361422228 418648724 /nfs/dbraw/zinc/64/87/24/418648724.db2.gz YYFVXSSGKNFSRT-MBNYWOFBSA-N 1 2 317.380 1.379 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCC(F)(F)[C@H](CO)C1 ZINC000361422228 418648727 /nfs/dbraw/zinc/64/87/27/418648727.db2.gz YYFVXSSGKNFSRT-MBNYWOFBSA-N 1 2 317.380 1.379 20 30 DDEDLO CCn1cc(C[N@H+](CCO)Cc2ccc(C#N)cc2OC)cn1 ZINC000361357418 418634436 /nfs/dbraw/zinc/63/44/36/418634436.db2.gz SBXNBPYAXNZGQQ-UHFFFAOYSA-N 1 2 314.389 1.778 20 30 DDEDLO CCn1cc(C[N@@H+](CCO)Cc2ccc(C#N)cc2OC)cn1 ZINC000361357418 418634439 /nfs/dbraw/zinc/63/44/39/418634439.db2.gz SBXNBPYAXNZGQQ-UHFFFAOYSA-N 1 2 314.389 1.778 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CC[N@H+](C)[C@@H](c2ccccc2Cl)C1 ZINC000377523743 418710290 /nfs/dbraw/zinc/71/02/90/418710290.db2.gz KVVJHZXCQSSPFN-CZUORRHYSA-N 1 2 319.836 1.766 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CC[N@@H+](C)[C@@H](c2ccccc2Cl)C1 ZINC000377523743 418710292 /nfs/dbraw/zinc/71/02/92/418710292.db2.gz KVVJHZXCQSSPFN-CZUORRHYSA-N 1 2 319.836 1.766 20 30 DDEDLO CC(C)(C#N)CCS(=O)(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000377835655 418716155 /nfs/dbraw/zinc/71/61/55/418716155.db2.gz VOVSJKUZGLXQDB-ZDUSSCGKSA-N 1 2 322.434 1.520 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCO[C@@]2(CCO[C@@H]2C)C1 ZINC000369411008 418732960 /nfs/dbraw/zinc/73/29/60/418732960.db2.gz YUVGQQFXDBCLBW-DYVFJYSZSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCO[C@@]2(CCO[C@@H]2C)C1 ZINC000369411008 418732961 /nfs/dbraw/zinc/73/29/61/418732961.db2.gz YUVGQQFXDBCLBW-DYVFJYSZSA-N 1 2 302.374 1.947 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCC[N@@H+]1CC(=O)N(CC)CCC#N ZINC000370570210 418745740 /nfs/dbraw/zinc/74/57/40/418745740.db2.gz SKBHEJIOZLEQOF-AWEZNQCLSA-N 1 2 309.410 1.556 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCC[N@H+]1CC(=O)N(CC)CCC#N ZINC000370570210 418745741 /nfs/dbraw/zinc/74/57/41/418745741.db2.gz SKBHEJIOZLEQOF-AWEZNQCLSA-N 1 2 309.410 1.556 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)c2ccccc2OCC#N)C1 ZINC000371045842 418758490 /nfs/dbraw/zinc/75/84/90/418758490.db2.gz WTZOCAWVOGCXTP-CYBMUJFWSA-N 1 2 310.357 1.952 20 30 DDEDLO N#CCOc1ccc(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)cc1 ZINC000363163628 418762841 /nfs/dbraw/zinc/76/28/41/418762841.db2.gz AAYKCSMZTVNYOW-AWEZNQCLSA-N 1 2 310.357 1.664 20 30 DDEDLO N#Cc1cc(F)ccc1NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000371214902 418768802 /nfs/dbraw/zinc/76/88/02/418768802.db2.gz ZNMHSQVIDVAFTJ-QGZVFWFLSA-N 1 2 319.380 1.991 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC(N2CC[N@H+](C)CC2=O)CC1 ZINC000364309590 418775856 /nfs/dbraw/zinc/77/58/56/418775856.db2.gz QHLMIMBBFXSARE-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC(N2CC[N@@H+](C)CC2=O)CC1 ZINC000364309590 418775859 /nfs/dbraw/zinc/77/58/59/418775859.db2.gz QHLMIMBBFXSARE-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO CC(C)[C@@H](C(=O)N[C@@H]1CCC[C@H]1C#N)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000408331347 418798299 /nfs/dbraw/zinc/79/82/99/418798299.db2.gz QYIZNQRSTRZCKZ-LJIZCISZSA-N 1 2 307.438 1.929 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2cc(C#N)ccc2OC)C1 ZINC000372125936 418838747 /nfs/dbraw/zinc/83/87/47/418838747.db2.gz FQBUCJQDMIDDGP-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2cc(C#N)ccc2OC)C1 ZINC000372125936 418838749 /nfs/dbraw/zinc/83/87/49/418838749.db2.gz FQBUCJQDMIDDGP-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO N#CCNC(=O)C[N@H+](CCc1ccccn1)Cc1cccnc1 ZINC000430338374 420109446 /nfs/dbraw/zinc/10/94/46/420109446.db2.gz BZNJKKWWHUFTFP-UHFFFAOYSA-N 1 2 309.373 1.161 20 30 DDEDLO N#CCNC(=O)C[N@@H+](CCc1ccccn1)Cc1cccnc1 ZINC000430338374 420109447 /nfs/dbraw/zinc/10/94/47/420109447.db2.gz BZNJKKWWHUFTFP-UHFFFAOYSA-N 1 2 309.373 1.161 20 30 DDEDLO C=CCNc1ncc(C(=O)NCCNc2cccc[nH+]2)s1 ZINC000432918602 420246687 /nfs/dbraw/zinc/24/66/87/420246687.db2.gz QEQPBGWVLWARIR-UHFFFAOYSA-N 1 2 303.391 1.978 20 30 DDEDLO C[N@H+](CC(=O)NC1CC1)Cc1ccc(C#N)cc1OC(F)F ZINC000437429118 420406427 /nfs/dbraw/zinc/40/64/27/420406427.db2.gz FPIDAEHXSHONSY-UHFFFAOYSA-N 1 2 309.316 1.870 20 30 DDEDLO C[N@@H+](CC(=O)NC1CC1)Cc1ccc(C#N)cc1OC(F)F ZINC000437429118 420406430 /nfs/dbraw/zinc/40/64/30/420406430.db2.gz FPIDAEHXSHONSY-UHFFFAOYSA-N 1 2 309.316 1.870 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](O)C(C)(C)C1 ZINC000446077476 230228663 /nfs/dbraw/zinc/22/86/63/230228663.db2.gz JWZVXEKIXHUQOS-HUUCEWRRSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](O)C(C)(C)C1 ZINC000446077476 230228669 /nfs/dbraw/zinc/22/86/69/230228669.db2.gz JWZVXEKIXHUQOS-HUUCEWRRSA-N 1 2 302.374 1.865 20 30 DDEDLO C[N@@H+]1CCc2nc(NC(=O)c3ccc(C#N)c(O)c3)sc2C1 ZINC000442626177 420691189 /nfs/dbraw/zinc/69/11/89/420691189.db2.gz JIEWPMUURYKKGD-UHFFFAOYSA-N 1 2 314.370 1.961 20 30 DDEDLO C[N@H+]1CCc2nc(NC(=O)c3ccc(C#N)c(O)c3)sc2C1 ZINC000442626177 420691191 /nfs/dbraw/zinc/69/11/91/420691191.db2.gz JIEWPMUURYKKGD-UHFFFAOYSA-N 1 2 314.370 1.961 20 30 DDEDLO COCCC(=O)N1CC[NH+](Cc2ccc(C#N)c(OC)c2)CC1 ZINC000443063192 420742247 /nfs/dbraw/zinc/74/22/47/420742247.db2.gz NAULLDDKXHVFLW-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO C=CC(C)(C)CNC(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000453875808 420768277 /nfs/dbraw/zinc/76/82/77/420768277.db2.gz BVABGZDEXSTLTM-UHFFFAOYSA-N 1 2 300.362 1.910 20 30 DDEDLO COCCO[C@H]1CC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459438329 420834258 /nfs/dbraw/zinc/83/42/58/420834258.db2.gz CWBJIKSSGQCNLI-ZDUSSCGKSA-N 1 2 305.334 1.704 20 30 DDEDLO COCCO[C@H]1CC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459438329 420834263 /nfs/dbraw/zinc/83/42/63/420834263.db2.gz CWBJIKSSGQCNLI-ZDUSSCGKSA-N 1 2 305.334 1.704 20 30 DDEDLO C=C(C)CCNC(=O)N1CC[NH+](Cc2c(C)nn(C)c2C)CC1 ZINC000455312780 420995457 /nfs/dbraw/zinc/99/54/57/420995457.db2.gz PQIPQODNUGKIRT-UHFFFAOYSA-N 1 2 319.453 1.830 20 30 DDEDLO CN(CC[N@H+](C)Cn1cccc(C#N)c1=O)C(=O)OC(C)(C)C ZINC000495070866 421006670 /nfs/dbraw/zinc/00/66/70/421006670.db2.gz ZNLQDHIXNCRGGF-UHFFFAOYSA-N 1 2 320.393 1.476 20 30 DDEDLO CN(CC[N@@H+](C)Cn1cccc(C#N)c1=O)C(=O)OC(C)(C)C ZINC000495070866 421006672 /nfs/dbraw/zinc/00/66/72/421006672.db2.gz ZNLQDHIXNCRGGF-UHFFFAOYSA-N 1 2 320.393 1.476 20 30 DDEDLO C=CC[N@@H+](C)CN1C[C@@H]2CN(C(=O)OC(C)(C)C)CCN2C1=O ZINC000495572485 421027063 /nfs/dbraw/zinc/02/70/63/421027063.db2.gz VMCNMFHDGZFFGH-ZDUSSCGKSA-N 1 2 324.425 1.419 20 30 DDEDLO C=CC[N@H+](C)CN1C[C@@H]2CN(C(=O)OC(C)(C)C)CCN2C1=O ZINC000495572485 421027067 /nfs/dbraw/zinc/02/70/67/421027067.db2.gz VMCNMFHDGZFFGH-ZDUSSCGKSA-N 1 2 324.425 1.419 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000528778449 421516304 /nfs/dbraw/zinc/51/63/04/421516304.db2.gz KIZXVPBHRCLAIJ-CABCVRRESA-N 1 2 320.414 1.718 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000528778449 421516308 /nfs/dbraw/zinc/51/63/08/421516308.db2.gz KIZXVPBHRCLAIJ-CABCVRRESA-N 1 2 320.414 1.718 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)NCC2(C#N)CC2)CC1 ZINC000515115797 421479264 /nfs/dbraw/zinc/47/92/64/421479264.db2.gz MMSSEFYXKDVBSW-AWEZNQCLSA-N 1 2 313.405 1.774 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCc3nc(C(C)(C)C)ncc3C2)C1=O ZINC000528598517 421499963 /nfs/dbraw/zinc/49/99/63/421499963.db2.gz RDFFQNAFUITKCF-OAHLLOKOSA-N 1 2 314.433 1.919 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCc3nc(C(C)(C)C)ncc3C2)C1=O ZINC000528598517 421499968 /nfs/dbraw/zinc/49/99/68/421499968.db2.gz RDFFQNAFUITKCF-OAHLLOKOSA-N 1 2 314.433 1.919 20 30 DDEDLO Cc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)ccc1-n1cccn1 ZINC000567995387 421616966 /nfs/dbraw/zinc/61/69/66/421616966.db2.gz IYPGQMGSRLSYDP-KRWDZBQOSA-N 1 2 311.389 1.754 20 30 DDEDLO Cc1cc(N2CCN(C(=O)CC#N)CC2)nc(-c2ccncc2)[nH+]1 ZINC000568249082 421618678 /nfs/dbraw/zinc/61/86/78/421618678.db2.gz HBAISWGTGPZOCU-UHFFFAOYSA-N 1 2 322.372 1.409 20 30 DDEDLO CCOC(=O)c1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)[nH]c1C ZINC000568753253 421622123 /nfs/dbraw/zinc/62/21/23/421622123.db2.gz LONOPAZTBFZPOB-OAHLLOKOSA-N 1 2 306.366 1.074 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000555650528 421688140 /nfs/dbraw/zinc/68/81/40/421688140.db2.gz KQHVRKWRQJNHCT-AWEZNQCLSA-N 1 2 315.421 1.236 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000571741519 421740230 /nfs/dbraw/zinc/74/02/30/421740230.db2.gz BZMPRSASMQGERD-TUVASFSCSA-N 1 2 318.421 1.829 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000571741519 421740234 /nfs/dbraw/zinc/74/02/34/421740234.db2.gz BZMPRSASMQGERD-TUVASFSCSA-N 1 2 318.421 1.829 20 30 DDEDLO C[C@H]([NH2+]CCS(=O)(=O)c1cccc(C#N)c1)c1ccn(C)n1 ZINC000520568879 421755207 /nfs/dbraw/zinc/75/52/07/421755207.db2.gz KACZSGNGOKYAQK-LBPRGKRZSA-N 1 2 318.402 1.416 20 30 DDEDLO C[C@H](C#N)CNC(=O)N(C)Cc1[nH+]ccn1CC(F)(F)F ZINC000541945650 421815349 /nfs/dbraw/zinc/81/53/49/421815349.db2.gz LZOUIRWRFDZYBR-SECBINFHSA-N 1 2 303.288 1.746 20 30 DDEDLO C[C@@H]1CN(c2ccc(Nc3ncncc3C#N)c[nH+]2)C[C@H](C)O1 ZINC000581069389 421892982 /nfs/dbraw/zinc/89/29/82/421892982.db2.gz RNOOOKWMBCFYST-TXEJJXNPSA-N 1 2 310.361 1.522 20 30 DDEDLO C[C@@H](Cn1ncc2ccccc21)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000573210140 421932547 /nfs/dbraw/zinc/93/25/47/421932547.db2.gz CJGUKGYYKDKRLR-GUYCJALGSA-N 1 2 313.405 1.632 20 30 DDEDLO C[C@@H](NC(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C)c1[nH]cc[nH+]1 ZINC000577124983 422381053 /nfs/dbraw/zinc/38/10/53/422381053.db2.gz VSAYNHTWVPFDKH-MEBBXXQBSA-N 1 2 306.366 1.849 20 30 DDEDLO C[C@H]1CN(c2ccc(Nc3cc(C#N)cnn3)c[nH+]2)C[C@H](C)O1 ZINC000596081185 422362974 /nfs/dbraw/zinc/36/29/74/422362974.db2.gz QYELCVIKWLRQGK-RYUDHWBXSA-N 1 2 310.361 2.100 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCc3c([nH+]c(C)n3CCO)C2)C1 ZINC000632997687 422703018 /nfs/dbraw/zinc/70/30/18/422703018.db2.gz FUKJHVCLXWXBLM-ZDUSSCGKSA-N 1 2 303.406 1.514 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCCOc2ccc(OC)cc2)nn1 ZINC000640860888 423234569 /nfs/dbraw/zinc/23/45/69/423234569.db2.gz AOWMXSQSCPAZCV-UHFFFAOYSA-N 1 2 314.389 1.869 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2C[C@@H]3CCCC[C@H]3NC2=O)nn1 ZINC000641096399 423382847 /nfs/dbraw/zinc/38/28/47/423382847.db2.gz PPGVEUWFRXFYKP-NWANDNLSSA-N 1 2 301.394 1.011 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cc3cnccc3o2)CC1 ZINC000651610382 423614453 /nfs/dbraw/zinc/61/44/53/423614453.db2.gz FPJXWSBTGUYYAX-UHFFFAOYSA-N 1 2 314.389 1.248 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H]2CC(=O)N(c3ccccc3)C2)nn1 ZINC000657332477 424291780 /nfs/dbraw/zinc/29/17/80/424291780.db2.gz JHZCVAXJHMBONO-AWEZNQCLSA-N 1 2 311.389 1.749 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000664571057 424644336 /nfs/dbraw/zinc/64/43/36/424644336.db2.gz DOFGPHVNIXCCNN-OKILXGFUSA-N 1 2 313.405 1.442 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000664571057 424644341 /nfs/dbraw/zinc/64/43/41/424644341.db2.gz DOFGPHVNIXCCNN-OKILXGFUSA-N 1 2 313.405 1.442 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C)[C@@H](C)COC ZINC000658376243 424653037 /nfs/dbraw/zinc/65/30/37/424653037.db2.gz RZOLZXFKYNUPKL-ZDUSSCGKSA-N 1 2 319.405 1.995 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Cc1c(F)cccc1C#N ZINC000349891889 267157842 /nfs/dbraw/zinc/15/78/42/267157842.db2.gz LKHUNOIWBTXMJT-GFCCVEGCSA-N 1 2 305.353 1.077 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000378197296 267203873 /nfs/dbraw/zinc/20/38/73/267203873.db2.gz XIUPHFGIYLTGHS-WCQYABFASA-N 1 2 310.357 1.722 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000378197296 267203875 /nfs/dbraw/zinc/20/38/75/267203875.db2.gz XIUPHFGIYLTGHS-WCQYABFASA-N 1 2 310.357 1.722 20 30 DDEDLO C[C@@H]1C[C@@H](NS(=O)(=O)c2ccc(C#N)s2)c2[nH+]ccn21 ZINC000517290781 267778059 /nfs/dbraw/zinc/77/80/59/267778059.db2.gz VKWPUINZCYRDNF-PSASIEDQSA-N 1 2 308.388 1.801 20 30 DDEDLO Cn1ncc(C(=O)Nc2ccc(-n3cc[nH+]c3)c(F)c2)c1C#N ZINC000354789754 267845949 /nfs/dbraw/zinc/84/59/49/267845949.db2.gz SRMJLIIUUSRVII-UHFFFAOYSA-N 1 2 310.292 1.869 20 30 DDEDLO N#Cc1c(F)cccc1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000364201424 267954391 /nfs/dbraw/zinc/95/43/91/267954391.db2.gz WVFVCKSLPWAFMW-LBPRGKRZSA-N 1 2 303.337 1.244 20 30 DDEDLO N#Cc1cccc(CCNc2[nH+]cnc(-n3cccn3)c2N)c1 ZINC000359945748 268245947 /nfs/dbraw/zinc/24/59/47/268245947.db2.gz GOURAGYWVYLDGJ-UHFFFAOYSA-N 1 2 305.345 1.771 20 30 DDEDLO N#Cc1cccc(OCC[N@@H+]2CCOC[C@H]2[C@@H]2CCCO2)c1 ZINC000375739649 268274473 /nfs/dbraw/zinc/27/44/73/268274473.db2.gz BEIBRLBSJDBNDI-IRXDYDNUSA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1cccc(OCC[N@H+]2CCOC[C@H]2[C@@H]2CCCO2)c1 ZINC000375739649 268274477 /nfs/dbraw/zinc/27/44/77/268274477.db2.gz BEIBRLBSJDBNDI-IRXDYDNUSA-N 1 2 302.374 1.817 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1ccc([N+](=O)[O-])cc1C#N ZINC000286406488 278017125 /nfs/dbraw/zinc/01/71/25/278017125.db2.gz PZBIETQXLCTTGW-DOMZBBRYSA-N 1 2 316.361 1.766 20 30 DDEDLO CC(=O)N[C@H]1CCCN(C(=O)C[N@H+](CCC#N)CC(C)(C)C)C1 ZINC000111250464 278177303 /nfs/dbraw/zinc/17/73/03/278177303.db2.gz UAJSLXKZJSDYJH-HNNXBMFYSA-N 1 2 322.453 1.375 20 30 DDEDLO CC(=O)N[C@H]1CCCN(C(=O)C[N@@H+](CCC#N)CC(C)(C)C)C1 ZINC000111250464 278177304 /nfs/dbraw/zinc/17/73/04/278177304.db2.gz UAJSLXKZJSDYJH-HNNXBMFYSA-N 1 2 322.453 1.375 20 30 DDEDLO Fc1ccc(C#CC[NH+]2CCN(c3ncc(F)cn3)CC2)cc1 ZINC000273646707 279461231 /nfs/dbraw/zinc/46/12/31/279461231.db2.gz GFRCKORCRFBOFA-UHFFFAOYSA-N 1 2 314.339 1.929 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC000367011045 288382891 /nfs/dbraw/zinc/38/28/91/288382891.db2.gz UWXPRUAHDHXVON-QGZVFWFLSA-N 1 2 322.453 1.375 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC000367011045 288382892 /nfs/dbraw/zinc/38/28/92/288382892.db2.gz UWXPRUAHDHXVON-QGZVFWFLSA-N 1 2 322.453 1.375 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN([C@H]2CC[C@@H](C#N)C2)CC1 ZINC000330254492 289263950 /nfs/dbraw/zinc/26/39/50/289263950.db2.gz PGBWGKFSJHLENU-OAGGEKHMSA-N 1 2 304.438 1.307 20 30 DDEDLO C[C@@H](NC(=O)Nc1cccc(C#N)n1)[C@@H](C)[NH+]1CCOCC1 ZINC000574808245 304685688 /nfs/dbraw/zinc/68/56/88/304685688.db2.gz AEUIKERWXRHLGS-VXGBXAGGSA-N 1 2 303.366 1.184 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]2C(=O)NCC2CCOCC2)o1 ZINC000370293884 301311525 /nfs/dbraw/zinc/31/15/25/301311525.db2.gz JPVGLVGLDBKVFU-INIZCTEOSA-N 1 2 317.389 1.658 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]2C(=O)NCC2CCOCC2)o1 ZINC000370293884 301311528 /nfs/dbraw/zinc/31/15/28/301311528.db2.gz JPVGLVGLDBKVFU-INIZCTEOSA-N 1 2 317.389 1.658 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)[C@@H]3CCCO3)CC2)s1 ZINC000367430782 307093314 /nfs/dbraw/zinc/09/33/14/307093314.db2.gz LYBZVKJIHCPAAO-HNNXBMFYSA-N 1 2 319.430 1.833 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)[C@@H]3CCCO3)CC2)s1 ZINC000367430782 307093315 /nfs/dbraw/zinc/09/33/15/307093315.db2.gz LYBZVKJIHCPAAO-HNNXBMFYSA-N 1 2 319.430 1.833 20 30 DDEDLO CN(Cc1cccc(C#N)c1)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000548282649 307761558 /nfs/dbraw/zinc/76/15/58/307761558.db2.gz ULEATFODGRYRAH-OAHLLOKOSA-N 1 2 309.373 1.911 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3CCC[C@@H]3[C@H]2C(N)=O)c([N+](=O)[O-])c1 ZINC000567392425 308079456 /nfs/dbraw/zinc/07/94/56/308079456.db2.gz QGQYGIBEHDORPD-WHOFXGATSA-N 1 2 314.345 1.552 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3CCC[C@@H]3[C@H]2C(N)=O)c([N+](=O)[O-])c1 ZINC000567392425 308079457 /nfs/dbraw/zinc/07/94/57/308079457.db2.gz QGQYGIBEHDORPD-WHOFXGATSA-N 1 2 314.345 1.552 20 30 DDEDLO CC(C)OCCCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000573784292 308252227 /nfs/dbraw/zinc/25/22/27/308252227.db2.gz JIBBCOOLHFIYPG-UHFFFAOYSA-N 1 2 319.405 1.367 20 30 DDEDLO CC(C)n1cc(C(C)(C)[NH2+]Cc2cnc3c(C#N)cnn3c2)nn1 ZINC000580193109 308586569 /nfs/dbraw/zinc/58/65/69/308586569.db2.gz GIXSSMMIYYXDHN-UHFFFAOYSA-N 1 2 324.392 1.798 20 30 DDEDLO Cc1ccc([C@H](CNc2ccnc(C#N)n2)[NH+]2CCOCC2)cc1 ZINC000580212742 308588620 /nfs/dbraw/zinc/58/86/20/308588620.db2.gz VOQKLUPGNWMMSI-INIZCTEOSA-N 1 2 323.400 1.564 20 30 DDEDLO Cc1c(C(=O)NCC[N@@H+]2CCOC[C@H]2C)cnn1CC(C)C ZINC000330570745 533698157 /nfs/dbraw/zinc/69/81/57/533698157.db2.gz ORHGRCZOPROCPZ-CYBMUJFWSA-N 1 2 308.426 1.873 20 30 DDEDLO Cc1c(C(=O)NCC[N@H+]2CCOC[C@H]2C)cnn1CC(C)C ZINC000330570745 533698165 /nfs/dbraw/zinc/69/81/65/533698165.db2.gz ORHGRCZOPROCPZ-CYBMUJFWSA-N 1 2 308.426 1.873 20 30 DDEDLO COc1ccc2c(c1)C[C@@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)CO2 ZINC000517944735 331979505 /nfs/dbraw/zinc/97/95/05/331979505.db2.gz OLIOBASXKUIKBI-CXAGYDPISA-N 1 2 317.389 1.206 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C[C@@H]1COc2ccccc2O1 ZINC000569581950 332144589 /nfs/dbraw/zinc/14/45/89/332144589.db2.gz ODFHAKVBDGLFGA-WBMJQRKESA-N 1 2 303.362 1.177 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1cc(-c2ccco2)on1 ZINC000111181634 332301997 /nfs/dbraw/zinc/30/19/97/332301997.db2.gz OJQORGYZYBYTLB-UHFFFAOYSA-N 1 2 306.322 1.056 20 30 DDEDLO COCc1noc(CO[NH+]=C(N)Cc2ccc(Cl)cc2)n1 ZINC000179684370 333154479 /nfs/dbraw/zinc/15/44/79/333154479.db2.gz VBWIAJXLKKAHSD-UHFFFAOYSA-N 1 2 310.741 1.901 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000563365416 333596996 /nfs/dbraw/zinc/59/69/96/333596996.db2.gz CQBFHKMTAHRPLT-JTQLQIEISA-N 1 2 317.328 1.022 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000563366218 333597242 /nfs/dbraw/zinc/59/72/42/333597242.db2.gz PKZGQLYOKNWPRF-JTQLQIEISA-N 1 2 306.322 1.824 20 30 DDEDLO COc1cc(C)c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1OC ZINC000571094525 333659546 /nfs/dbraw/zinc/65/95/46/333659546.db2.gz QPIDECHWGQHCEQ-INIZCTEOSA-N 1 2 305.378 1.586 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000572175153 337595056 /nfs/dbraw/zinc/59/50/56/337595056.db2.gz ASBWGIGIJBFCHJ-GFCCVEGCSA-N 1 2 302.334 1.766 20 30 DDEDLO Cc1noc(C)c1NC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000429085505 338070609 /nfs/dbraw/zinc/07/06/09/338070609.db2.gz ZDMUQXBVIKPVBB-UHFFFAOYSA-N 1 2 321.381 1.371 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCC[C@H](NC(=O)OCC)C2)C1=O ZINC000496680780 340010460 /nfs/dbraw/zinc/01/04/60/340010460.db2.gz AXOJDWMUAJROGS-ZDUSSCGKSA-N 1 2 310.398 1.078 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCC[C@H](NC(=O)OCC)C2)C1=O ZINC000496680780 340010461 /nfs/dbraw/zinc/01/04/61/340010461.db2.gz AXOJDWMUAJROGS-ZDUSSCGKSA-N 1 2 310.398 1.078 20 30 DDEDLO C#CC[C@H]([NH2+][C@H]1CCCN(C(=O)OC(C)(C)C)CC1)C(=O)OC ZINC000496827357 340013986 /nfs/dbraw/zinc/01/39/86/340013986.db2.gz JZAMIECHPVECPT-KBPBESRZSA-N 1 2 324.421 1.931 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NCCCN(C)c1ccccc1 ZINC000516782203 340455694 /nfs/dbraw/zinc/45/56/94/340455694.db2.gz KNZUKHPHCUZIFS-HNNXBMFYSA-N 1 2 302.422 1.911 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](C)c1ncc(Br)s1 ZINC000134402721 341214767 /nfs/dbraw/zinc/21/47/67/341214767.db2.gz ATIKWKRPKCMSQM-SSDOTTSWSA-N 1 2 304.213 1.858 20 30 DDEDLO CC(=O)c1cc(C(=O)NC2(C#N)CC[NH+](C)CC2)c(C)nc1C ZINC000547622302 341223346 /nfs/dbraw/zinc/22/33/46/341223346.db2.gz USZQDIYVGNBTRL-UHFFFAOYSA-N 1 2 314.389 1.619 20 30 DDEDLO C=CCN(C(=O)[C@H]1CCCc2[nH+]c[nH]c21)[C@@H]1CCS(=O)(=O)C1 ZINC000547755691 341230614 /nfs/dbraw/zinc/23/06/14/341230614.db2.gz LPZJUZQBRKQIHG-NEPJUHHUSA-N 1 2 323.418 1.031 20 30 DDEDLO C[C@@H](C#N)CNC(=O)N(CC[NH+]1CCOCC1)Cc1ccco1 ZINC000569203894 341694030 /nfs/dbraw/zinc/69/40/30/341694030.db2.gz KRIUMVYDYYNWBZ-AWEZNQCLSA-N 1 2 320.393 1.283 20 30 DDEDLO C=CC[N@H+](Cc1nc(-c2ccc(OC)nn2)no1)[C@@H](C)COC ZINC000661240792 484995717 /nfs/dbraw/zinc/99/57/17/484995717.db2.gz RFXCIFSJFOEHEM-NSHDSACASA-N 1 2 319.365 1.558 20 30 DDEDLO C=CC[N@@H+](Cc1nc(-c2ccc(OC)nn2)no1)[C@@H](C)COC ZINC000661240792 484995723 /nfs/dbraw/zinc/99/57/23/484995723.db2.gz RFXCIFSJFOEHEM-NSHDSACASA-N 1 2 319.365 1.558 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+]([C@H](C)c2cccc(F)c2)CC1 ZINC000668182815 485010824 /nfs/dbraw/zinc/01/08/24/485010824.db2.gz OWEONNAUHVZXDB-DYVFJYSZSA-N 1 2 306.381 1.968 20 30 DDEDLO O=C(N[C@@H](CO)C[NH+]1CCOCC1)c1c[nH]c(C(F)(F)F)c1 ZINC000331232785 534378156 /nfs/dbraw/zinc/37/81/56/534378156.db2.gz AXJCLGLRQGQKAF-SNVBAGLBSA-N 1 2 321.299 1.031 20 30 DDEDLO Cc1cn2cc(NC(=O)c3cn([C@H]4CCOC4)nn3)ccc2[nH+]1 ZINC000330044676 534516082 /nfs/dbraw/zinc/51/60/82/534516082.db2.gz HRXUOFKXCFHWTR-LBPRGKRZSA-N 1 2 312.333 1.832 20 30 DDEDLO Cc1ncc(C[NH+]2CCN(C(=O)N[C@H]3[C@@H]4COC[C@@H]43)CC2)s1 ZINC000330023590 534736456 /nfs/dbraw/zinc/73/64/56/534736456.db2.gz IXUACZVDKKXOCB-WDNDVIMCSA-N 1 2 322.434 1.128 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)C(=O)NCCn1cc[nH+]c1 ZINC000339713921 526368206 /nfs/dbraw/zinc/36/82/06/526368206.db2.gz CDMHJVABUBBCGZ-UHFFFAOYSA-N 1 2 318.402 1.276 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000410815010 526472129 /nfs/dbraw/zinc/47/21/29/526472129.db2.gz SDWAGOAHTWCYRR-KGLIPLIRSA-N 1 2 304.394 1.327 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCN(CC)[C@H](c2ncc[nH]2)C1 ZINC000348411994 526523060 /nfs/dbraw/zinc/52/30/60/526523060.db2.gz VCZQWAZYWZXSFO-HNNXBMFYSA-N 1 2 319.453 1.513 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCN(CC)[C@H](c2ncc[nH]2)C1 ZINC000348411994 526523065 /nfs/dbraw/zinc/52/30/65/526523065.db2.gz VCZQWAZYWZXSFO-HNNXBMFYSA-N 1 2 319.453 1.513 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2sccc2Cl)CC1 ZINC000338552672 526538988 /nfs/dbraw/zinc/53/89/88/526538988.db2.gz WYGSJWOBTBBMRB-UHFFFAOYSA-N 1 2 313.854 1.821 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)[C@@H]2CCCCC(=O)N2)CC1 ZINC000329828915 526624014 /nfs/dbraw/zinc/62/40/14/526624014.db2.gz DKVXOMPIDWOYKS-ZDUSSCGKSA-N 1 2 310.398 1.006 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCc2c(cnn2-c2ccccc2)C1 ZINC000337371146 526634901 /nfs/dbraw/zinc/63/49/01/526634901.db2.gz UZGZYLYXNREYEL-AWEZNQCLSA-N 1 2 310.401 1.921 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCc2c(cnn2-c2ccccc2)C1 ZINC000337371146 526634907 /nfs/dbraw/zinc/63/49/07/526634907.db2.gz UZGZYLYXNREYEL-AWEZNQCLSA-N 1 2 310.401 1.921 20 30 DDEDLO CC(C)(C)[C@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)C(N)=O ZINC000330704716 526701778 /nfs/dbraw/zinc/70/17/78/526701778.db2.gz MPBMRJKLVVKPCG-LLVKDONJSA-N 1 2 314.430 1.551 20 30 DDEDLO CC(C)(C)[C@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)C(N)=O ZINC000330704716 526701785 /nfs/dbraw/zinc/70/17/85/526701785.db2.gz MPBMRJKLVVKPCG-LLVKDONJSA-N 1 2 314.430 1.551 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1C[C@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000346128737 526762149 /nfs/dbraw/zinc/76/21/49/526762149.db2.gz XSORLDYSHGPBPX-JONQDZQNSA-N 1 2 308.422 1.147 20 30 DDEDLO C#CC[N@@H+](CC#CC)CC(=O)NC(=O)NCc1cccs1 ZINC000490699484 526863241 /nfs/dbraw/zinc/86/32/41/526863241.db2.gz QKDNHVAMSOBOFJ-UHFFFAOYSA-N 1 2 303.387 1.032 20 30 DDEDLO C#CC[N@H+](CC#CC)CC(=O)NC(=O)NCc1cccs1 ZINC000490699484 526863245 /nfs/dbraw/zinc/86/32/45/526863245.db2.gz QKDNHVAMSOBOFJ-UHFFFAOYSA-N 1 2 303.387 1.032 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@]1(C#N)CCC[C@@H](C)C1 ZINC000339279037 526894018 /nfs/dbraw/zinc/89/40/18/526894018.db2.gz BQRLTPVTENSHRF-DJSGYFEHSA-N 1 2 317.393 1.046 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@]1(C#N)CCC[C@@H](C)C1 ZINC000339279037 526894024 /nfs/dbraw/zinc/89/40/24/526894024.db2.gz BQRLTPVTENSHRF-DJSGYFEHSA-N 1 2 317.393 1.046 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCc2cc(=O)c(OC)co2)CC1 ZINC000490838865 526934642 /nfs/dbraw/zinc/93/46/42/526934642.db2.gz FBPDFFZOBRPQSV-UHFFFAOYSA-N 1 2 305.330 1.037 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCC(C)C)n2CC=C)CC1 ZINC000491146898 526956441 /nfs/dbraw/zinc/95/64/41/526956441.db2.gz PKBLMJNNFODLFR-UHFFFAOYSA-N 1 2 301.438 1.808 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nc([C@H](C)OCC)no2)CC1 ZINC000491740568 526972068 /nfs/dbraw/zinc/97/20/68/526972068.db2.gz HXMRYWNUCMOCRQ-LBPRGKRZSA-N 1 2 320.393 1.129 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nnc(C3CCC3)n2C)CC1 ZINC000491154910 526972104 /nfs/dbraw/zinc/97/21/04/526972104.db2.gz MWLHHUNIQGNFSW-UHFFFAOYSA-N 1 2 315.421 1.044 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nc(C3CCCC3)no2)CC1 ZINC000491102968 526972267 /nfs/dbraw/zinc/97/22/67/526972267.db2.gz NOYOCTZPYQVIOV-UHFFFAOYSA-N 1 2 316.405 1.689 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2sccc2Cl)CC1 ZINC000491567641 527003187 /nfs/dbraw/zinc/00/31/87/527003187.db2.gz MSCSELCPDBTMLW-UHFFFAOYSA-N 1 2 311.838 1.269 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000342268076 527140434 /nfs/dbraw/zinc/14/04/34/527140434.db2.gz NQHIZPMJXKUMCQ-AWEZNQCLSA-N 1 2 318.421 1.658 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000341703359 527173896 /nfs/dbraw/zinc/17/38/96/527173896.db2.gz LSIQRFQMSJLNNU-HNNXBMFYSA-N 1 2 318.421 1.666 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@H](O)COc1cccc2[nH]ccc21 ZINC000491653494 527311615 /nfs/dbraw/zinc/31/16/15/527311615.db2.gz DCPPAGOPOWRUEA-KGLIPLIRSA-N 1 2 300.358 1.242 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@H](O)COc1cccc2[nH]ccc21 ZINC000491653494 527311621 /nfs/dbraw/zinc/31/16/21/527311621.db2.gz DCPPAGOPOWRUEA-KGLIPLIRSA-N 1 2 300.358 1.242 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000491699937 527318548 /nfs/dbraw/zinc/31/85/48/527318548.db2.gz VEXOTKDAKTWNQU-ZDUSSCGKSA-N 1 2 316.401 1.542 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000491699937 527318552 /nfs/dbraw/zinc/31/85/52/527318552.db2.gz VEXOTKDAKTWNQU-ZDUSSCGKSA-N 1 2 316.401 1.542 20 30 DDEDLO C=CCCOCCNC(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000339777477 527357593 /nfs/dbraw/zinc/35/75/93/527357593.db2.gz PASGYWPZSMBGNC-UHFFFAOYSA-N 1 2 320.315 1.837 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000433010255 527374751 /nfs/dbraw/zinc/37/47/51/527374751.db2.gz BLWFFUUFKPCECJ-KBPBESRZSA-N 1 2 306.410 1.596 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+]([C@@H](C)C(=O)NC2CC2)CC1 ZINC000343621048 527519914 /nfs/dbraw/zinc/51/99/14/527519914.db2.gz BGIANJSMEGMNKV-GUYCJALGSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H](c2[nH+]ccn2C)C2CC2)nn1 ZINC000424828148 527526426 /nfs/dbraw/zinc/52/64/26/527526426.db2.gz FVTFMXZAGFWNJG-CYBMUJFWSA-N 1 2 300.366 1.469 20 30 DDEDLO CC1(C)[C@H](O)C[C@H]1NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000330200694 527888397 /nfs/dbraw/zinc/88/83/97/527888397.db2.gz WULDHTGPDVFKON-HZPDHXFCSA-N 1 2 318.417 1.983 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+](C)C[C@@H](O)C(F)(F)F ZINC000341160000 527933887 /nfs/dbraw/zinc/93/38/87/527933887.db2.gz LCRDULQNSVSMCH-FOGDFJRCSA-N 1 2 309.332 1.284 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+](C)C[C@@H](O)C(F)(F)F ZINC000341160000 527933890 /nfs/dbraw/zinc/93/38/90/527933890.db2.gz LCRDULQNSVSMCH-FOGDFJRCSA-N 1 2 309.332 1.284 20 30 DDEDLO CC(C)[C@@H]1OCC[C@@H]1CNC(=O)NC[C@@H](C)[NH+]1CCOCC1 ZINC000330237509 528834065 /nfs/dbraw/zinc/83/40/65/528834065.db2.gz ZMVMYHBWHPJJOB-KFWWJZLASA-N 1 2 313.442 1.272 20 30 DDEDLO CC1(C#N)CCN(C(=O)NCC2([NH+]3CCOCC3)CC2)CC1 ZINC000333000951 528837088 /nfs/dbraw/zinc/83/70/88/528837088.db2.gz CTTOCQDVQZWEIP-UHFFFAOYSA-N 1 2 306.410 1.186 20 30 DDEDLO CCN1CCN(C(=O)NCC2(CC#N)CC2)C[C@H]1c1[nH]cc[nH+]1 ZINC000431049252 529126987 /nfs/dbraw/zinc/12/69/87/529126987.db2.gz HZZCLRPBJHSROY-ZDUSSCGKSA-N 1 2 316.409 1.492 20 30 DDEDLO C=CC[N@@H+](Cc1cncn1C)Cc1cccc(-c2nn[nH]n2)c1 ZINC000819745404 606316729 /nfs/dbraw/zinc/31/67/29/606316729.db2.gz BGWRLSGRUNBLCB-UHFFFAOYSA-N 1 2 309.377 1.788 20 30 DDEDLO C=CC[N@H+](Cc1cncn1C)Cc1cccc(-c2nn[nH]n2)c1 ZINC000819745404 606316732 /nfs/dbraw/zinc/31/67/32/606316732.db2.gz BGWRLSGRUNBLCB-UHFFFAOYSA-N 1 2 309.377 1.788 20 30 DDEDLO COCCN1CC[NH+](Cc2ccc(O[C@@H](C)C#N)cc2)CC1 ZINC000122994375 696727676 /nfs/dbraw/zinc/72/76/76/696727676.db2.gz WNWBVJMEZZJJNM-HNNXBMFYSA-N 1 2 303.406 1.741 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC000972071705 695151063 /nfs/dbraw/zinc/15/10/63/695151063.db2.gz AAVCHOIAQNFINU-CQSZACIVSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC000972071705 695151064 /nfs/dbraw/zinc/15/10/64/695151064.db2.gz AAVCHOIAQNFINU-CQSZACIVSA-N 1 2 319.453 1.933 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccs3)C2)C1 ZINC000972227456 695182527 /nfs/dbraw/zinc/18/25/27/695182527.db2.gz IICVNHJWMKLUDJ-INIZCTEOSA-N 1 2 304.415 1.227 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccs3)C2)C1 ZINC000972227456 695182529 /nfs/dbraw/zinc/18/25/29/695182529.db2.gz IICVNHJWMKLUDJ-INIZCTEOSA-N 1 2 304.415 1.227 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C)=C3CCCC3)C2)C1 ZINC000972337390 695221076 /nfs/dbraw/zinc/22/10/76/695221076.db2.gz XOJGRKKRSYERPY-SFHVURJKSA-N 1 2 302.418 1.813 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C)=C3CCCC3)C2)C1 ZINC000972337390 695221078 /nfs/dbraw/zinc/22/10/78/695221078.db2.gz XOJGRKKRSYERPY-SFHVURJKSA-N 1 2 302.418 1.813 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3CC)C2)C1 ZINC000972370474 695232274 /nfs/dbraw/zinc/23/22/74/695232274.db2.gz NRZBBGHTXHRESE-SFHVURJKSA-N 1 2 315.417 1.517 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3CC)C2)C1 ZINC000972370474 695232275 /nfs/dbraw/zinc/23/22/75/695232275.db2.gz NRZBBGHTXHRESE-SFHVURJKSA-N 1 2 315.417 1.517 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3C=CCCC3)C2)C1 ZINC000972430167 695249644 /nfs/dbraw/zinc/24/96/44/695249644.db2.gz BBOWCPCRGXWWKQ-SJLPKXTDSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3C=CCCC3)C2)C1 ZINC000972430167 695249646 /nfs/dbraw/zinc/24/96/46/695249646.db2.gz BBOWCPCRGXWWKQ-SJLPKXTDSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccncc3CC)C2)C1 ZINC000972437320 695251247 /nfs/dbraw/zinc/25/12/47/695251247.db2.gz BKAHLCXEOLYWDG-GOSISDBHSA-N 1 2 313.401 1.194 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccncc3CC)C2)C1 ZINC000972437320 695251248 /nfs/dbraw/zinc/25/12/48/695251248.db2.gz BKAHLCXEOLYWDG-GOSISDBHSA-N 1 2 313.401 1.194 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)c(C)n3)C2)C1 ZINC000972467549 695258405 /nfs/dbraw/zinc/25/84/05/695258405.db2.gz ZYRGWDHXYNYHFU-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)c(C)n3)C2)C1 ZINC000972467549 695258407 /nfs/dbraw/zinc/25/84/07/695258407.db2.gz ZYRGWDHXYNYHFU-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(OC)ccn3)C2)C1 ZINC000972491913 695264271 /nfs/dbraw/zinc/26/42/71/695264271.db2.gz FJBDIUGWQOPOQU-KRWDZBQOSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(OC)ccn3)C2)C1 ZINC000972491913 695264272 /nfs/dbraw/zinc/26/42/72/695264272.db2.gz FJBDIUGWQOPOQU-KRWDZBQOSA-N 1 2 317.389 1.193 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC(C)(F)F)C2)C1 ZINC000972536804 695275668 /nfs/dbraw/zinc/27/56/68/695275668.db2.gz AMYUUAWVWAZLSN-HNNXBMFYSA-N 1 2 300.349 1.358 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC(C)(F)F)C2)C1 ZINC000972536804 695275670 /nfs/dbraw/zinc/27/56/70/695275670.db2.gz AMYUUAWVWAZLSN-HNNXBMFYSA-N 1 2 300.349 1.358 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3occc3CC)C2)C1 ZINC000972537600 695275919 /nfs/dbraw/zinc/27/59/19/695275919.db2.gz JJKQNDTYWJTDDU-QGZVFWFLSA-N 1 2 304.390 1.945 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3occc3CC)C2)C1 ZINC000972537600 695275921 /nfs/dbraw/zinc/27/59/21/695275921.db2.gz JJKQNDTYWJTDDU-QGZVFWFLSA-N 1 2 304.390 1.945 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ocnc3CC)C2)C1 ZINC000972544199 695277820 /nfs/dbraw/zinc/27/78/20/695277820.db2.gz STONWCMEHDWXIP-MRXNPFEDSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ocnc3CC)C2)C1 ZINC000972544199 695277821 /nfs/dbraw/zinc/27/78/21/695277821.db2.gz STONWCMEHDWXIP-MRXNPFEDSA-N 1 2 305.378 1.340 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC[C@H](C)CC3)C2)C1 ZINC000972642749 695307558 /nfs/dbraw/zinc/30/75/58/695307558.db2.gz LHHRIIWDXBJMOG-BQFCYCMXSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC[C@H](C)CC3)C2)C1 ZINC000972642749 695307560 /nfs/dbraw/zinc/30/75/60/695307560.db2.gz LHHRIIWDXBJMOG-BQFCYCMXSA-N 1 2 304.434 1.749 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cc1 ZINC000974349507 695657103 /nfs/dbraw/zinc/65/71/03/695657103.db2.gz LGVSNXFRHMUGDR-GASCZTMLSA-N 1 2 322.368 1.011 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C(C)(C)C1 ZINC000974778786 695732759 /nfs/dbraw/zinc/73/27/59/695732759.db2.gz VWMISMYGZSXSGU-CQSZACIVSA-N 1 2 302.422 1.915 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C(C)(C)C1 ZINC000974778786 695732760 /nfs/dbraw/zinc/73/27/60/695732760.db2.gz VWMISMYGZSXSGU-CQSZACIVSA-N 1 2 302.422 1.915 20 30 DDEDLO CC[N@H+](CCS(C)(=O)=O)Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000093816007 696601689 /nfs/dbraw/zinc/60/16/89/696601689.db2.gz ZGTJKBIRZNHUOK-ZDUSSCGKSA-N 1 2 310.419 1.844 20 30 DDEDLO CC[N@@H+](CCS(C)(=O)=O)Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000093816007 696601690 /nfs/dbraw/zinc/60/16/90/696601690.db2.gz ZGTJKBIRZNHUOK-ZDUSSCGKSA-N 1 2 310.419 1.844 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2nc3cnccc3s2)CC1 ZINC000981593531 696832932 /nfs/dbraw/zinc/83/29/32/696832932.db2.gz DKGGWQCSENVPDP-UHFFFAOYSA-N 1 2 300.387 1.472 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2nc3cnccc3s2)CC1 ZINC000981593531 696832936 /nfs/dbraw/zinc/83/29/36/696832936.db2.gz DKGGWQCSENVPDP-UHFFFAOYSA-N 1 2 300.387 1.472 20 30 DDEDLO C#CCC[N@H+]1CCCN(C(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)CC1 ZINC000981644727 696855667 /nfs/dbraw/zinc/85/56/67/696855667.db2.gz UMHNHTFFBJHJJU-PBHICJAKSA-N 1 2 314.433 1.633 20 30 DDEDLO C#CCC[N@@H+]1CCCN(C(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)CC1 ZINC000981644727 696855671 /nfs/dbraw/zinc/85/56/71/696855671.db2.gz UMHNHTFFBJHJJU-PBHICJAKSA-N 1 2 314.433 1.633 20 30 DDEDLO C#CCC[N@H+]1CCCN(C(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)CC1 ZINC000981644730 696855760 /nfs/dbraw/zinc/85/57/60/696855760.db2.gz UMHNHTFFBJHJJU-YOEHRIQHSA-N 1 2 314.433 1.633 20 30 DDEDLO C#CCC[N@@H+]1CCCN(C(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)CC1 ZINC000981644730 696855763 /nfs/dbraw/zinc/85/57/63/696855763.db2.gz UMHNHTFFBJHJJU-YOEHRIQHSA-N 1 2 314.433 1.633 20 30 DDEDLO Cc1n[nH]cc1C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000981723967 696890980 /nfs/dbraw/zinc/89/09/80/696890980.db2.gz QAEXQKOADSVOPP-UHFFFAOYSA-N 1 2 322.412 1.918 20 30 DDEDLO Cc1n[nH]cc1C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000981723967 696890984 /nfs/dbraw/zinc/89/09/84/696890984.db2.gz QAEXQKOADSVOPP-UHFFFAOYSA-N 1 2 322.412 1.918 20 30 DDEDLO C#CCSCCNC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000154006356 696925132 /nfs/dbraw/zinc/92/51/32/696925132.db2.gz QUXAFSDRKSYCLS-UHFFFAOYSA-N 1 2 307.419 1.873 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCCN(C(=O)C(F)=C(C)C)CC2)C1=O ZINC000980960826 696961883 /nfs/dbraw/zinc/96/18/83/696961883.db2.gz VYYCGFHNABUYIS-AWEZNQCLSA-N 1 2 323.412 1.571 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCCN(C(=O)C(F)=C(C)C)CC2)C1=O ZINC000980960826 696961885 /nfs/dbraw/zinc/96/18/85/696961885.db2.gz VYYCGFHNABUYIS-AWEZNQCLSA-N 1 2 323.412 1.571 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cn2nccc2-c2ccncc2)C1=O ZINC000799143105 700122548 /nfs/dbraw/zinc/12/25/48/700122548.db2.gz FQNAJPJWOZYUHS-MRXNPFEDSA-N 1 2 311.389 1.621 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cn2nccc2-c2ccncc2)C1=O ZINC000799143105 700122549 /nfs/dbraw/zinc/12/25/49/700122549.db2.gz FQNAJPJWOZYUHS-MRXNPFEDSA-N 1 2 311.389 1.621 20 30 DDEDLO NC(=[NH+]OCC(=O)NC1CCCCC1)c1ccc2c(c1)CCO2 ZINC000158220096 696988236 /nfs/dbraw/zinc/98/82/36/696988236.db2.gz YDHIKQGAGWOQQG-UHFFFAOYSA-N 1 2 317.389 1.707 20 30 DDEDLO Cc1c[nH]c(C(=O)N2CCC[N@H+](CC#Cc3ccccc3)CC2)n1 ZINC000982138909 697039650 /nfs/dbraw/zinc/03/96/50/697039650.db2.gz GOZYTVCBBKZDDO-UHFFFAOYSA-N 1 2 322.412 1.918 20 30 DDEDLO Cc1c[nH]c(C(=O)N2CCC[N@@H+](CC#Cc3ccccc3)CC2)n1 ZINC000982138909 697039651 /nfs/dbraw/zinc/03/96/51/697039651.db2.gz GOZYTVCBBKZDDO-UHFFFAOYSA-N 1 2 322.412 1.918 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2c(Cl)nn(C)c2Cl)CC1 ZINC000982179143 697050347 /nfs/dbraw/zinc/05/03/47/697050347.db2.gz OCYUOBFXWUFZLG-UHFFFAOYSA-N 1 2 315.204 1.508 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2c(Cl)nn(C)c2Cl)CC1 ZINC000982179143 697050349 /nfs/dbraw/zinc/05/03/49/697050349.db2.gz OCYUOBFXWUFZLG-UHFFFAOYSA-N 1 2 315.204 1.508 20 30 DDEDLO N#CCN[C@H]1CCC[C@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000981436178 697096875 /nfs/dbraw/zinc/09/68/75/697096875.db2.gz GUURYTGZMBRDPY-KBPBESRZSA-N 1 2 324.388 1.421 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC000981517733 697116955 /nfs/dbraw/zinc/11/69/55/697116955.db2.gz NVGRRZCVLXAVSQ-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC000981517733 697116957 /nfs/dbraw/zinc/11/69/57/697116957.db2.gz NVGRRZCVLXAVSQ-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H](C)Cc2cnn(C)c2)CC1 ZINC000983091204 697210274 /nfs/dbraw/zinc/21/02/74/697210274.db2.gz ORHDXHNMQRTPDN-ZDUSSCGKSA-N 1 2 324.856 1.886 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H](C)Cc2cnn(C)c2)CC1 ZINC000983091204 697210273 /nfs/dbraw/zinc/21/02/73/697210273.db2.gz ORHDXHNMQRTPDN-ZDUSSCGKSA-N 1 2 324.856 1.886 20 30 DDEDLO C#CCOCCC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000160509851 697318027 /nfs/dbraw/zinc/31/80/27/697318027.db2.gz QEFCXKXZOCBNAT-UHFFFAOYSA-N 1 2 303.362 1.205 20 30 DDEDLO COC[C@@H](C)N[NH+]=Cc1c(Cl)nc(N)nc1N1CCCC1 ZINC000777892731 698230046 /nfs/dbraw/zinc/23/00/46/698230046.db2.gz AXUZEXXJUFCCJY-SECBINFHSA-N 1 2 312.805 1.271 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+]C[C@H]1CN(C)CCN1C ZINC000778170020 698246804 /nfs/dbraw/zinc/24/68/04/698246804.db2.gz IXVJVLJTCZIGSP-AWEZNQCLSA-N 1 2 321.852 1.687 20 30 DDEDLO N#C[C@@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CCN1C1CC1 ZINC000778732892 698363112 /nfs/dbraw/zinc/36/31/12/698363112.db2.gz MRVFJQLETGLAHZ-OAHLLOKOSA-N 1 2 322.372 1.080 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@@H](C(=O)Nc2ccccn2)C1 ZINC000800356024 700218143 /nfs/dbraw/zinc/21/81/43/700218143.db2.gz UISWDTUAUZMKRB-OLZOCXBDSA-N 1 2 303.362 1.460 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@@H](C(=O)Nc2ccccn2)C1 ZINC000800356024 700218145 /nfs/dbraw/zinc/21/81/45/700218145.db2.gz UISWDTUAUZMKRB-OLZOCXBDSA-N 1 2 303.362 1.460 20 30 DDEDLO Cc1nn[nH]c1C(=O)N1C[C@H]([NH2+]Cc2ccccc2C#N)C[C@@H]1C ZINC000989275722 698574869 /nfs/dbraw/zinc/57/48/69/698574869.db2.gz QBVSWPQZBFZWFL-XHDPSFHLSA-N 1 2 324.388 1.378 20 30 DDEDLO C#CCNC(=O)C[N@@H+](CCC)[C@H](C)C(=O)Nc1ccccc1C ZINC000786132604 699128541 /nfs/dbraw/zinc/12/85/41/699128541.db2.gz VURWODMYDKMRJA-OAHLLOKOSA-N 1 2 315.417 1.783 20 30 DDEDLO C#CCNC(=O)C[N@H+](CCC)[C@H](C)C(=O)Nc1ccccc1C ZINC000786132604 699128542 /nfs/dbraw/zinc/12/85/42/699128542.db2.gz VURWODMYDKMRJA-OAHLLOKOSA-N 1 2 315.417 1.783 20 30 DDEDLO C=C(C)COCCNC(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000444148622 699173827 /nfs/dbraw/zinc/17/38/27/699173827.db2.gz YUUBSKPNMCZTJQ-AWEZNQCLSA-N 1 2 306.410 1.902 20 30 DDEDLO C[C@H](OC(=O)CCc1ccc(N)[nH+]c1)C(=O)NC1(C#N)CCC1 ZINC000787756195 699238564 /nfs/dbraw/zinc/23/85/64/699238564.db2.gz WUHVQUSHYCFVBK-NSHDSACASA-N 1 2 316.361 1.091 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(N2CCOC2=O)cc1 ZINC000730278879 699506237 /nfs/dbraw/zinc/50/62/37/699506237.db2.gz GAPCQSWSZIGNKO-HNNXBMFYSA-N 1 2 313.357 1.679 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(N2CCOC2=O)cc1 ZINC000730278879 699506238 /nfs/dbraw/zinc/50/62/38/699506238.db2.gz GAPCQSWSZIGNKO-HNNXBMFYSA-N 1 2 313.357 1.679 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)NC(C)C)cc1 ZINC000732060099 699545188 /nfs/dbraw/zinc/54/51/88/699545188.db2.gz LRKMTOFPANYLGQ-INIZCTEOSA-N 1 2 313.401 1.861 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)NC(C)C)cc1 ZINC000732060099 699545189 /nfs/dbraw/zinc/54/51/89/699545189.db2.gz LRKMTOFPANYLGQ-INIZCTEOSA-N 1 2 313.401 1.861 20 30 DDEDLO C#CCCNC(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000733618622 699608986 /nfs/dbraw/zinc/60/89/86/699608986.db2.gz HVJJJUOOQLDOAJ-UHFFFAOYSA-N 1 2 314.389 1.219 20 30 DDEDLO Cn1c(CC#N)nn(CN2CCC(n3cc[nH+]c3)CC2)c1=S ZINC000794632604 699820055 /nfs/dbraw/zinc/82/00/55/699820055.db2.gz PWBFKMGNBHTVSD-UHFFFAOYSA-N 1 2 317.422 1.508 20 30 DDEDLO CC(C)S(=O)(=O)NN=C1C[N@H+](Cc2ccccc2)C[C@H]1C ZINC000751526231 700297057 /nfs/dbraw/zinc/29/70/57/700297057.db2.gz MGAVWWVFKWKORI-CYBMUJFWSA-N 1 2 309.435 1.822 20 30 DDEDLO CC(C)S(=O)(=O)NN=C1C[N@@H+](Cc2ccccc2)C[C@H]1C ZINC000751526231 700297061 /nfs/dbraw/zinc/29/70/61/700297061.db2.gz MGAVWWVFKWKORI-CYBMUJFWSA-N 1 2 309.435 1.822 20 30 DDEDLO COc1ccccc1C(=O)N1CC[NH+](CCO[C@@H](C)C#N)CC1 ZINC000801909974 700351852 /nfs/dbraw/zinc/35/18/52/700351852.db2.gz JJMPSTREGCRCGJ-AWEZNQCLSA-N 1 2 317.389 1.382 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1CCOC[C@H]1C[C@@H](O)c1ccccc1 ZINC000801929755 700356202 /nfs/dbraw/zinc/35/62/02/700356202.db2.gz YSQLTIHILSVMKQ-DJIMGWMZSA-N 1 2 304.390 1.740 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1CCOC[C@H]1C[C@@H](O)c1ccccc1 ZINC000801929755 700356207 /nfs/dbraw/zinc/35/62/07/700356207.db2.gz YSQLTIHILSVMKQ-DJIMGWMZSA-N 1 2 304.390 1.740 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)O[C@H]1CC[N@H+](CCF)C1 ZINC000802242328 700388611 /nfs/dbraw/zinc/38/86/11/700388611.db2.gz ZXOATVXJEOHRLR-DOMZBBRYSA-N 1 2 306.337 1.913 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)O[C@H]1CC[N@@H+](CCF)C1 ZINC000802242328 700388615 /nfs/dbraw/zinc/38/86/15/700388615.db2.gz ZXOATVXJEOHRLR-DOMZBBRYSA-N 1 2 306.337 1.913 20 30 DDEDLO C[C@H]1C[N@@H+](C2CC2)CC1N=Nc1ccccc1S(C)(=O)=O ZINC000755893235 700590318 /nfs/dbraw/zinc/59/03/18/700590318.db2.gz JMZQWEQUFBDTQF-NSHDSACASA-N 1 2 307.419 1.972 20 30 DDEDLO C[C@H]1C[N@H+](C2CC2)CC1N=Nc1ccccc1S(C)(=O)=O ZINC000755893235 700590319 /nfs/dbraw/zinc/59/03/19/700590319.db2.gz JMZQWEQUFBDTQF-NSHDSACASA-N 1 2 307.419 1.972 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)COc2cccc(C#N)c2)C1 ZINC000756139187 700605114 /nfs/dbraw/zinc/60/51/14/700605114.db2.gz XQFNYDOCIKCLSG-AWEZNQCLSA-N 1 2 310.357 1.687 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2oc(N(C)C)nc2c1 ZINC000766150591 701040380 /nfs/dbraw/zinc/04/03/80/701040380.db2.gz GJSOGHAKXHFJRK-AWEZNQCLSA-N 1 2 312.373 1.930 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2oc(N(C)C)nc2c1 ZINC000766150591 701040383 /nfs/dbraw/zinc/04/03/83/701040383.db2.gz GJSOGHAKXHFJRK-AWEZNQCLSA-N 1 2 312.373 1.930 20 30 DDEDLO CCc1[nH+]c(C=Nn2c(=O)cn[nH]c2=S)c2ccccn12 ZINC000766474514 701052620 /nfs/dbraw/zinc/05/26/20/701052620.db2.gz NPAUOQNCUFYCSS-UHFFFAOYSA-N 1 2 300.347 1.019 20 30 DDEDLO CC(C)c1[nH+]c(C=Nn2c(=O)cn[nH]c2=S)c2ccccn21 ZINC000766473832 701052843 /nfs/dbraw/zinc/05/28/43/701052843.db2.gz HRZMEFCCFBSDKN-UHFFFAOYSA-N 1 2 314.374 1.580 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)COc2ccccc2)CC1 ZINC000803353025 701104575 /nfs/dbraw/zinc/10/45/75/701104575.db2.gz WXKBBDWAQVUYEB-UHFFFAOYSA-N 1 2 315.369 1.523 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@H](c2[nH+]ccn2C)C1)[C@@H]1CCCO1 ZINC000767862094 701142032 /nfs/dbraw/zinc/14/20/32/701142032.db2.gz VLJBBXFEIUSWOW-KKUMJFAQSA-N 1 2 316.405 1.490 20 30 DDEDLO CC[NH+](CC)Cc1csc(NC(=O)Cn2cnc(C#N)n2)n1 ZINC000769143576 701234371 /nfs/dbraw/zinc/23/43/71/701234371.db2.gz NBQDWEZAXOMSJM-UHFFFAOYSA-N 1 2 319.394 1.087 20 30 DDEDLO C=CCOc1ccccc1CN1CC[NH+](CC[S@](C)=O)CC1 ZINC000769482039 701246947 /nfs/dbraw/zinc/24/69/47/701246947.db2.gz YBJQHYOJMPSLPP-QFIPXVFZSA-N 1 2 322.474 1.748 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCC#Cc2ccccc2Cl)C1 ZINC000805469721 701383435 /nfs/dbraw/zinc/38/34/35/701383435.db2.gz PBNVZOBBWVQSGJ-OAHLLOKOSA-N 1 2 307.777 1.955 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCC#Cc2ccccc2Cl)C1 ZINC000805469721 701383437 /nfs/dbraw/zinc/38/34/37/701383437.db2.gz PBNVZOBBWVQSGJ-OAHLLOKOSA-N 1 2 307.777 1.955 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCCCOc2ccc(C#N)cc2)C1 ZINC000805476411 701384569 /nfs/dbraw/zinc/38/45/69/701384569.db2.gz OQGLOPDQIMQLNL-INIZCTEOSA-N 1 2 318.373 1.591 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCCCOc2ccc(C#N)cc2)C1 ZINC000805476411 701384571 /nfs/dbraw/zinc/38/45/71/701384571.db2.gz OQGLOPDQIMQLNL-INIZCTEOSA-N 1 2 318.373 1.591 20 30 DDEDLO CCCNC(=O)OC[C@@H]1CCCC[N@@H+]1CC(=O)NCCC#N ZINC000805776985 701409016 /nfs/dbraw/zinc/40/90/16/701409016.db2.gz XNEGZOFBZURKOI-ZDUSSCGKSA-N 1 2 310.398 1.007 20 30 DDEDLO CCCNC(=O)OC[C@@H]1CCCC[N@H+]1CC(=O)NCCC#N ZINC000805776985 701409017 /nfs/dbraw/zinc/40/90/17/701409017.db2.gz XNEGZOFBZURKOI-ZDUSSCGKSA-N 1 2 310.398 1.007 20 30 DDEDLO CN(C)c1[nH+]cc(CN=Nc2nc(-c3cccnc3)no2)n1C ZINC000814949519 701775272 /nfs/dbraw/zinc/77/52/72/701775272.db2.gz MSLJWRQQGHTETM-UHFFFAOYSA-N 1 2 312.337 1.377 20 30 DDEDLO Cc1c(C#N)c2[nH]c3ccccc3n2c(=O)c1C=[NH+]NC[C@@H](C)O ZINC000814959327 701775982 /nfs/dbraw/zinc/77/59/82/701775982.db2.gz AICMGLIPLOJACV-SNVBAGLBSA-N 1 2 323.356 1.265 20 30 DDEDLO C=CCN1CCN(C[NH+]2CCC(Oc3cnccn3)CC2)C1=O ZINC000840059142 701944481 /nfs/dbraw/zinc/94/44/81/701944481.db2.gz GZAYQLHGEUGYBQ-UHFFFAOYSA-N 1 2 317.393 1.201 20 30 DDEDLO CO[C@@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C[C@H]1C ZINC000840121301 701980731 /nfs/dbraw/zinc/98/07/31/701980731.db2.gz BOUVWFOBHCFRQQ-MLGOLLRUSA-N 1 2 301.390 1.523 20 30 DDEDLO CO[C@@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C[C@H]1C ZINC000840121301 701980734 /nfs/dbraw/zinc/98/07/34/701980734.db2.gz BOUVWFOBHCFRQQ-MLGOLLRUSA-N 1 2 301.390 1.523 20 30 DDEDLO Cn1ncc(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)c1Cl ZINC000816616638 702127365 /nfs/dbraw/zinc/12/73/65/702127365.db2.gz BOZSFBSFLVQUBO-CYBMUJFWSA-N 1 2 317.780 1.993 20 30 DDEDLO Cn1ncc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)c1Cl ZINC000816616638 702127367 /nfs/dbraw/zinc/12/73/67/702127367.db2.gz BOZSFBSFLVQUBO-CYBMUJFWSA-N 1 2 317.780 1.993 20 30 DDEDLO C#CC[C@H](CO)NC(=O)C[N@@H+](CC)Cc1ccccc1Cl ZINC000816672355 702141225 /nfs/dbraw/zinc/14/12/25/702141225.db2.gz XBUAHGWBHRDUTH-CQSZACIVSA-N 1 2 308.809 1.662 20 30 DDEDLO C#CC[C@H](CO)NC(=O)C[N@H+](CC)Cc1ccccc1Cl ZINC000816672355 702141227 /nfs/dbraw/zinc/14/12/27/702141227.db2.gz XBUAHGWBHRDUTH-CQSZACIVSA-N 1 2 308.809 1.662 20 30 DDEDLO C[C@H]([NH2+]CC1(CS(C)(=O)=O)CC1)c1cccc(C#N)c1O ZINC000866302962 706669721 /nfs/dbraw/zinc/66/97/21/706669721.db2.gz MYKUJGIGYMHNAZ-NSHDSACASA-N 1 2 308.403 1.739 20 30 DDEDLO C[C@@H]([NH2+]C[C@H]1CCCN1S(C)(=O)=O)c1cccc(C#N)c1O ZINC000866309566 706670774 /nfs/dbraw/zinc/67/07/74/706670774.db2.gz HVTHZCJXLNOFKN-DGCLKSJQSA-N 1 2 323.418 1.338 20 30 DDEDLO C[C@@H]([NH2+]C[C@H]1CCCS(=O)(=O)C1)c1cccc(C#N)c1O ZINC000866355908 706679877 /nfs/dbraw/zinc/67/98/77/706679877.db2.gz GKNVMDIIQMAVSH-VXGBXAGGSA-N 1 2 308.403 1.739 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H](NCc2cnc3c(C#N)cnn3c2)C1 ZINC000843401357 702874460 /nfs/dbraw/zinc/87/44/60/702874460.db2.gz PTGMHVTZKGVUED-KRWDZBQOSA-N 1 2 318.384 1.877 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H](NCc2cnc3c(C#N)cnn3c2)C1 ZINC000843401357 702874462 /nfs/dbraw/zinc/87/44/62/702874462.db2.gz PTGMHVTZKGVUED-KRWDZBQOSA-N 1 2 318.384 1.877 20 30 DDEDLO C[N@@H+](CC(=O)Nc1nccs1)C[C@@H](O)c1cccc(C#N)c1 ZINC000844366018 703020368 /nfs/dbraw/zinc/02/03/68/703020368.db2.gz KSDPORNOGZUUCU-CYBMUJFWSA-N 1 2 316.386 1.619 20 30 DDEDLO C[N@H+](CC(=O)Nc1nccs1)C[C@@H](O)c1cccc(C#N)c1 ZINC000844366018 703020370 /nfs/dbraw/zinc/02/03/70/703020370.db2.gz KSDPORNOGZUUCU-CYBMUJFWSA-N 1 2 316.386 1.619 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845282758 703138675 /nfs/dbraw/zinc/13/86/75/703138675.db2.gz YCCRMTZUOGEPQL-ZIAGYGMSSA-N 1 2 305.378 1.967 20 30 DDEDLO C[C@@H](C[NH+]1CCN(c2ccc(C#N)cc2)CC1)CS(C)(=O)=O ZINC000879585569 706728409 /nfs/dbraw/zinc/72/84/09/706728409.db2.gz JAZMYRCJEVPYAS-AWEZNQCLSA-N 1 2 321.446 1.361 20 30 DDEDLO CN1CC[C@H]([NH+]2CCN(c3ccc(F)cc3C#N)CC2)C1=O ZINC000879588220 706729162 /nfs/dbraw/zinc/72/91/62/706729162.db2.gz BGHLLEBEODFOSY-HNNXBMFYSA-N 1 2 302.353 1.050 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H](C)[N@H+]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000847178022 703384310 /nfs/dbraw/zinc/38/43/10/703384310.db2.gz MYYXQSNLKFRULG-MELADBBJSA-N 1 2 301.390 1.290 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H](C)[N@@H+]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000847178022 703384312 /nfs/dbraw/zinc/38/43/12/703384312.db2.gz MYYXQSNLKFRULG-MELADBBJSA-N 1 2 301.390 1.290 20 30 DDEDLO C[NH+]=C(NCc1ccco1)NCc1nnc([C@@H]2CCCO2)[nH]1 ZINC000849049972 703615631 /nfs/dbraw/zinc/61/56/31/703615631.db2.gz XVSKNCSRJMFHAO-NSHDSACASA-N 1 2 304.354 1.114 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2ccc(OC)cc2)CC1 ZINC000851711531 703834924 /nfs/dbraw/zinc/83/49/24/703834924.db2.gz CSLGARMNXVQGJX-UHFFFAOYSA-N 1 2 302.374 1.103 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000851849905 703870294 /nfs/dbraw/zinc/87/02/94/703870294.db2.gz ZQOVWFWNYFPYNM-UHFFFAOYSA-N 1 2 317.345 1.002 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)CCN2C[C@H](C)O[C@@H](C)C2)n1 ZINC000851995947 703899853 /nfs/dbraw/zinc/89/98/53/703899853.db2.gz CLIUMRBXYZDREG-HOTGVXAUSA-N 1 2 304.438 1.447 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)CCN2C[C@H](C)O[C@@H](C)C2)n1 ZINC000851995947 703899856 /nfs/dbraw/zinc/89/98/56/703899856.db2.gz CLIUMRBXYZDREG-HOTGVXAUSA-N 1 2 304.438 1.447 20 30 DDEDLO COc1cccc([C@H]2CN(C(=O)c3cccc(C#N)n3)CC[NH2+]2)c1 ZINC000870154101 703935884 /nfs/dbraw/zinc/93/58/84/703935884.db2.gz YPXIENMHGZPZMZ-QGZVFWFLSA-N 1 2 322.368 1.749 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)Nc1ccsc1C(=O)OC ZINC000852753090 704115183 /nfs/dbraw/zinc/11/51/83/704115183.db2.gz WOEDOIWYLMKTBV-UHFFFAOYSA-N 1 2 324.402 1.445 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)Nc1ccsc1C(=O)OC ZINC000852753090 704115187 /nfs/dbraw/zinc/11/51/87/704115187.db2.gz WOEDOIWYLMKTBV-UHFFFAOYSA-N 1 2 324.402 1.445 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(C2OCCO2)c1 ZINC000879789087 706792007 /nfs/dbraw/zinc/79/20/07/706792007.db2.gz ZYUMRBMLHWZFCR-HNNXBMFYSA-N 1 2 300.358 1.768 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(C2OCCO2)c1 ZINC000879789087 706792009 /nfs/dbraw/zinc/79/20/09/706792009.db2.gz ZYUMRBMLHWZFCR-HNNXBMFYSA-N 1 2 300.358 1.768 20 30 DDEDLO C=C[C@H](O)C(=O)N1CC[NH+]([C@H](CC)c2ccc(F)cc2)CC1 ZINC000831867603 706795720 /nfs/dbraw/zinc/79/57/20/706795720.db2.gz DQNUGFVIADJVGX-CVEARBPZSA-N 1 2 306.381 1.968 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)Nc1nc2cc(C#N)ccc2[nH]1 ZINC000871071144 704213433 /nfs/dbraw/zinc/21/34/33/704213433.db2.gz AIHDXEVQQCDXCR-GHMZBOCLSA-N 1 2 306.329 1.910 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCCNC(=O)c1ccn[nH]1 ZINC000853473813 704245401 /nfs/dbraw/zinc/24/54/01/704245401.db2.gz STBWWXCOUFYFKR-UHFFFAOYSA-N 1 2 313.361 1.439 20 30 DDEDLO C#CCOCCON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000853685767 704299508 /nfs/dbraw/zinc/29/95/08/704299508.db2.gz OYVZSIYHNJPVFX-MRXNPFEDSA-N 1 2 317.389 1.036 20 30 DDEDLO C#CCOCCON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000853685767 704299510 /nfs/dbraw/zinc/29/95/10/704299510.db2.gz OYVZSIYHNJPVFX-MRXNPFEDSA-N 1 2 317.389 1.036 20 30 DDEDLO C#CCNc1nc(N2CC[C@@H]3C[C@@H]3C2)nc(N2CC[C@@H]3C[C@@H]3C2)[nH+]1 ZINC000853902071 704332925 /nfs/dbraw/zinc/33/29/25/704332925.db2.gz OEXRSTFUFIEXIN-KBUPBQIOSA-N 1 2 324.432 1.031 20 30 DDEDLO C#CCNc1nc(N2CC[C@@H]3C[C@@H]3C2)[nH+]c(N2CC[C@@H]3C[C@@H]3C2)n1 ZINC000853902071 704332929 /nfs/dbraw/zinc/33/29/29/704332929.db2.gz OEXRSTFUFIEXIN-KBUPBQIOSA-N 1 2 324.432 1.031 20 30 DDEDLO N#CCC[NH+]1CCC(c2nccc(N3CCOCC3)n2)CC1 ZINC000854105865 704357022 /nfs/dbraw/zinc/35/70/22/704357022.db2.gz LQQDDGUOLOWVAS-UHFFFAOYSA-N 1 2 301.394 1.406 20 30 DDEDLO N#Cc1cccc([C@@H]2CN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)CCO2)c1 ZINC000821399231 704404180 /nfs/dbraw/zinc/40/41/80/704404180.db2.gz AUPAMHOSCZZCNS-INMHGKMJSA-N 1 2 322.368 1.985 20 30 DDEDLO N#Cc1cccc([C@@H]2CN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)CCO2)c1 ZINC000821399231 704404181 /nfs/dbraw/zinc/40/41/81/704404181.db2.gz AUPAMHOSCZZCNS-INMHGKMJSA-N 1 2 322.368 1.985 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000822681326 705005973 /nfs/dbraw/zinc/00/59/73/705005973.db2.gz ZFESJENVBUMSPT-GHMZBOCLSA-N 1 2 303.284 1.267 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ncc(C#N)cc1C ZINC000874709227 705143446 /nfs/dbraw/zinc/14/34/46/705143446.db2.gz ZHVMVUZBEBJYOZ-AWEZNQCLSA-N 1 2 302.378 1.102 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1ncc(C#N)cc1C ZINC000874709227 705143452 /nfs/dbraw/zinc/14/34/52/705143452.db2.gz ZHVMVUZBEBJYOZ-AWEZNQCLSA-N 1 2 302.378 1.102 20 30 DDEDLO C#CCOc1ccc(CNC(=O)N[C@@H]2Cc3c[nH+]cn3C2)cc1 ZINC000875642819 705459718 /nfs/dbraw/zinc/45/97/18/705459718.db2.gz QFTMBTXOVZEBHP-CQSZACIVSA-N 1 2 310.357 1.319 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@@H]3C[C@H]2CN3c2ccccc2)C1=O ZINC000876499668 705735673 /nfs/dbraw/zinc/73/56/73/705735673.db2.gz ZJFXOGHJKLXAJJ-IRXDYDNUSA-N 1 2 312.417 1.831 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@@H]3C[C@H]2CN3c2ccccc2)C1=O ZINC000876499668 705735676 /nfs/dbraw/zinc/73/56/76/705735676.db2.gz ZJFXOGHJKLXAJJ-IRXDYDNUSA-N 1 2 312.417 1.831 20 30 DDEDLO C#CCOCCC(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000862860682 705812711 /nfs/dbraw/zinc/81/27/11/705812711.db2.gz KNNBJWPOTDWHTB-UHFFFAOYSA-N 1 2 304.394 1.476 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CCN([C@H]3CCOC3)CC2)cc1 ZINC000827120130 705933315 /nfs/dbraw/zinc/93/33/15/705933315.db2.gz PUHQOBCQAQVSLJ-KRWDZBQOSA-N 1 2 300.402 1.605 20 30 DDEDLO COC(=O)[C@@]1(C(F)(F)F)CC[N@H+](Cc2cccc(C#N)n2)C1 ZINC000877515503 706129571 /nfs/dbraw/zinc/12/95/71/706129571.db2.gz HDFUFVKDVYUHLU-CYBMUJFWSA-N 1 2 313.279 1.881 20 30 DDEDLO COC(=O)[C@@]1(C(F)(F)F)CC[N@@H+](Cc2cccc(C#N)n2)C1 ZINC000877515503 706129572 /nfs/dbraw/zinc/12/95/72/706129572.db2.gz HDFUFVKDVYUHLU-CYBMUJFWSA-N 1 2 313.279 1.881 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCO[C@@](C)(C(=O)OCC)C2)cc1 ZINC000877704543 706182818 /nfs/dbraw/zinc/18/28/18/706182818.db2.gz QKGQMBIPGGQVMJ-GOSISDBHSA-N 1 2 317.385 1.853 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCO[C@@](C)(C(=O)OCC)C2)cc1 ZINC000877704543 706182822 /nfs/dbraw/zinc/18/28/22/706182822.db2.gz QKGQMBIPGGQVMJ-GOSISDBHSA-N 1 2 317.385 1.853 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)c(C#N)c1 ZINC000872450483 707418021 /nfs/dbraw/zinc/41/80/21/707418021.db2.gz ZXMOBXVOLUTDNH-UHFFFAOYSA-N 1 2 319.430 1.726 20 30 DDEDLO C=CCNC(=S)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000865263816 706391493 /nfs/dbraw/zinc/39/14/93/706391493.db2.gz YMVBUWKZPYPJEL-UHFFFAOYSA-N 1 2 313.467 1.443 20 30 DDEDLO C[C@@H]1C[NH+](C[C@H](O)c2c(F)cccc2F)C[C@@H](C)N1CC#N ZINC000878776382 706496572 /nfs/dbraw/zinc/49/65/72/706496572.db2.gz VNFZMUVGMRVZLI-JMSVASOKSA-N 1 2 309.360 1.916 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@H+](C[C@H]2CCc3ncnn32)C1 ZINC000878887281 706525434 /nfs/dbraw/zinc/52/54/34/706525434.db2.gz KQASIFJFUHGLIG-PBHICJAKSA-N 1 2 308.389 1.718 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@@H+](C[C@H]2CCc3ncnn32)C1 ZINC000878887281 706525437 /nfs/dbraw/zinc/52/54/37/706525437.db2.gz KQASIFJFUHGLIG-PBHICJAKSA-N 1 2 308.389 1.718 20 30 DDEDLO COCCOc1ccc(C#N)cc1NC(=O)Cc1[nH]cc[nH+]1 ZINC000832108068 706843047 /nfs/dbraw/zinc/84/30/47/706843047.db2.gz JNAMOXQOCLRUKY-UHFFFAOYSA-N 1 2 300.318 1.488 20 30 DDEDLO COC(=O)C1(C#N)CCN(C(=O)c2c(C)cc(C)[nH+]c2C)CC1 ZINC000908997048 712911802 /nfs/dbraw/zinc/91/18/02/712911802.db2.gz PFRHLBYTXWLPKU-UHFFFAOYSA-N 1 2 315.373 1.926 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCc2c([nH]c3ccc(OC)cc32)C1 ZINC000880369628 706954715 /nfs/dbraw/zinc/95/47/15/706954715.db2.gz KUAKMPXZIPZRDQ-UHFFFAOYSA-N 1 2 311.385 1.674 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCc2c([nH]c3ccc(OC)cc32)C1 ZINC000880369628 706954717 /nfs/dbraw/zinc/95/47/17/706954717.db2.gz KUAKMPXZIPZRDQ-UHFFFAOYSA-N 1 2 311.385 1.674 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1 ZINC000880484344 706989427 /nfs/dbraw/zinc/98/94/27/706989427.db2.gz VNDPOGTUVXSIHU-UHFFFAOYSA-N 1 2 314.345 1.821 20 30 DDEDLO Cc1cc([N-][NH+]=Cc2sc(N(C)C)nc2Cl)nc(N)[nH+]1 ZINC000872419932 707404282 /nfs/dbraw/zinc/40/42/82/707404282.db2.gz BDKWWYJMWGLMNQ-UHFFFAOYSA-N 1 2 311.802 1.989 20 30 DDEDLO Cc1csc([C@@H]2CC[N@H+](Cn3cccc(C#N)c3=O)C2)n1 ZINC000872807716 707611166 /nfs/dbraw/zinc/61/11/66/707611166.db2.gz YZSAPYGFWKYARC-CYBMUJFWSA-N 1 2 300.387 1.932 20 30 DDEDLO Cc1csc([C@@H]2CC[N@@H+](Cn3cccc(C#N)c3=O)C2)n1 ZINC000872807716 707611167 /nfs/dbraw/zinc/61/11/67/707611167.db2.gz YZSAPYGFWKYARC-CYBMUJFWSA-N 1 2 300.387 1.932 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)CCc1ccccc1 ZINC000884046359 708116639 /nfs/dbraw/zinc/11/66/39/708116639.db2.gz AVMMAGSIYHEWCC-ZFWWWQNUSA-N 1 2 304.390 1.571 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccccc1OCC ZINC000884067927 708125030 /nfs/dbraw/zinc/12/50/30/708125030.db2.gz WRQAYHCFRSIASC-ZDUSSCGKSA-N 1 2 306.362 1.148 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)CCc1ccccc1OC ZINC000884126690 708151148 /nfs/dbraw/zinc/15/11/48/708151148.db2.gz IBYCGUMITNIHHA-AWEZNQCLSA-N 1 2 320.389 1.143 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCc2ccc(C)cc21 ZINC000884130024 708153150 /nfs/dbraw/zinc/15/31/50/708153150.db2.gz WYNCYIOENSUNRU-GJZGRUSLSA-N 1 2 302.374 1.545 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCC[C@H](SC)C1 ZINC000884145577 708159744 /nfs/dbraw/zinc/15/97/44/708159744.db2.gz NMAREKHMFGCZFF-SRVKXCTJSA-N 1 2 300.424 1.223 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC(C)(C)c1cccc(F)c1 ZINC000884146513 708160376 /nfs/dbraw/zinc/16/03/76/708160376.db2.gz KWKNQLLEZJEFSB-ZDUSSCGKSA-N 1 2 308.353 1.624 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1COc2c1cccc2Cl ZINC000884166252 708168838 /nfs/dbraw/zinc/16/88/38/708168838.db2.gz YCRXPEUDGSBEHJ-NWDGAFQWSA-N 1 2 324.764 1.336 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCS[C@H](C(C)C)C1 ZINC000884169153 708169584 /nfs/dbraw/zinc/16/95/84/708169584.db2.gz XCTBXFKQFFTBDJ-RYUDHWBXSA-N 1 2 300.424 1.033 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)c1csc(CC)n1 ZINC000884220476 708196380 /nfs/dbraw/zinc/19/63/80/708196380.db2.gz UMYAXHNXKIBQLW-UWVGGRQHSA-N 1 2 311.407 1.329 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(OC)c(COC)c1 ZINC000884465573 708307910 /nfs/dbraw/zinc/30/79/10/708307910.db2.gz DUWDNDUVPCUDFO-ZDUSSCGKSA-N 1 2 322.361 1.227 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000885081890 708466310 /nfs/dbraw/zinc/46/63/10/708466310.db2.gz GSCNQCZHMDMUQV-UONOGXRCSA-N 1 2 321.446 1.856 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000885081890 708466312 /nfs/dbraw/zinc/46/63/12/708466312.db2.gz GSCNQCZHMDMUQV-UONOGXRCSA-N 1 2 321.446 1.856 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000885508811 708561923 /nfs/dbraw/zinc/56/19/23/708561923.db2.gz LWTZJILAYNCIJE-YESZJQIVSA-N 1 2 318.442 1.560 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000885508811 708561925 /nfs/dbraw/zinc/56/19/25/708561925.db2.gz LWTZJILAYNCIJE-YESZJQIVSA-N 1 2 318.442 1.560 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)/C=C/c2ccc(F)cc2)C1 ZINC000885508016 708562061 /nfs/dbraw/zinc/56/20/61/708562061.db2.gz BBLDUSRMZLVPHM-ONOODXEBSA-N 1 2 322.405 1.813 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)/C=C/c2ccc(F)cc2)C1 ZINC000885508016 708562063 /nfs/dbraw/zinc/56/20/63/708562063.db2.gz BBLDUSRMZLVPHM-ONOODXEBSA-N 1 2 322.405 1.813 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2cccc(F)c2)C1 ZINC000885511688 708562763 /nfs/dbraw/zinc/56/27/63/708562763.db2.gz LMJYXTCLORJAKP-OAHLLOKOSA-N 1 2 310.394 1.343 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2cccc(F)c2)C1 ZINC000885511688 708562766 /nfs/dbraw/zinc/56/27/66/708562766.db2.gz LMJYXTCLORJAKP-OAHLLOKOSA-N 1 2 310.394 1.343 20 30 DDEDLO Cc1c(C(=O)N[C@H]2CCC[N@H+](CCF)C2)cnn1CCC#N ZINC000898489093 708654864 /nfs/dbraw/zinc/65/48/64/708654864.db2.gz AYAYFJSTKWPHAB-ZDUSSCGKSA-N 1 2 307.373 1.269 20 30 DDEDLO Cc1c(C(=O)N[C@H]2CCC[N@@H+](CCF)C2)cnn1CCC#N ZINC000898489093 708654866 /nfs/dbraw/zinc/65/48/66/708654866.db2.gz AYAYFJSTKWPHAB-ZDUSSCGKSA-N 1 2 307.373 1.269 20 30 DDEDLO COC(=O)[C@H](C[C@H]1CCCO1)[NH2+]C[C@@H](O)CC1(C#N)CCC1 ZINC000886941516 708898584 /nfs/dbraw/zinc/89/85/84/708898584.db2.gz GFBNUCNTTLXUTJ-MJBXVCDLSA-N 1 2 310.394 1.132 20 30 DDEDLO O=[N+]([O-])c1ccc(O)c(/C=N/C[C@@H]2CC[N@@H+]2C2CCOCC2)c1 ZINC000899227233 709027249 /nfs/dbraw/zinc/02/72/49/709027249.db2.gz HPMHWJWTGFBVSR-ADFUCPMPSA-N 1 2 319.361 1.973 20 30 DDEDLO O=[N+]([O-])c1ccc(O)c(/C=N/C[C@@H]2CC[N@H+]2C2CCOCC2)c1 ZINC000899227233 709027253 /nfs/dbraw/zinc/02/72/53/709027253.db2.gz HPMHWJWTGFBVSR-ADFUCPMPSA-N 1 2 319.361 1.973 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CC[C@H]2C2CCCC2)nc1 ZINC000899913029 709235705 /nfs/dbraw/zinc/23/57/05/709235705.db2.gz WZJYSLJVWPHNEV-HNNXBMFYSA-N 1 2 319.430 1.991 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CC[C@H]2C2CCCC2)nc1 ZINC000899913029 709235707 /nfs/dbraw/zinc/23/57/07/709235707.db2.gz WZJYSLJVWPHNEV-HNNXBMFYSA-N 1 2 319.430 1.991 20 30 DDEDLO CS(=O)(=O)NC[C@@H]1CCCC[N@@H+]1Cc1csc(C#N)c1 ZINC000891621560 710250989 /nfs/dbraw/zinc/25/09/89/710250989.db2.gz QQOFULUVMHDGBK-LBPRGKRZSA-N 1 2 313.448 1.523 20 30 DDEDLO CS(=O)(=O)NC[C@@H]1CCCC[N@H+]1Cc1csc(C#N)c1 ZINC000891621560 710250991 /nfs/dbraw/zinc/25/09/91/710250991.db2.gz QQOFULUVMHDGBK-LBPRGKRZSA-N 1 2 313.448 1.523 20 30 DDEDLO COC(=O)[C@H](C)Oc1ccccc1C[NH+]1CCN(CC#N)CC1 ZINC000891901992 710322387 /nfs/dbraw/zinc/32/23/87/710322387.db2.gz LNNSXJJTKFCOSF-AWEZNQCLSA-N 1 2 317.389 1.268 20 30 DDEDLO C#C[C@H]1CCCCN1C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000891989374 710347670 /nfs/dbraw/zinc/34/76/70/710347670.db2.gz BUBJGXFQWZUFLK-HNNXBMFYSA-N 1 2 300.406 1.959 20 30 DDEDLO N#Cc1cccn(C[N@@H+]2CC[C@@H](Cc3nccs3)C2)c1=O ZINC000902649454 710840564 /nfs/dbraw/zinc/84/05/64/710840564.db2.gz MJMUXBAMNGISMB-LBPRGKRZSA-N 1 2 300.387 1.699 20 30 DDEDLO N#Cc1cccn(C[N@H+]2CC[C@@H](Cc3nccs3)C2)c1=O ZINC000902649454 710840567 /nfs/dbraw/zinc/84/05/67/710840567.db2.gz MJMUXBAMNGISMB-LBPRGKRZSA-N 1 2 300.387 1.699 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)cc1Cl ZINC000913451116 713220969 /nfs/dbraw/zinc/22/09/69/713220969.db2.gz JAXLJLXOIACZDN-AWEZNQCLSA-N 1 2 315.764 1.721 20 30 DDEDLO CN(Cc1cc(C#N)cs1)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000894308258 711060790 /nfs/dbraw/zinc/06/07/90/711060790.db2.gz ISRUEDTUBIHZCQ-GFCCVEGCSA-N 1 2 315.402 1.973 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)c3sccc3CC#N)CC[NH2+]2)cn1 ZINC000913475465 713232188 /nfs/dbraw/zinc/23/21/88/713232188.db2.gz UEYNEJDEJHGTFR-CYBMUJFWSA-N 1 2 315.402 1.334 20 30 DDEDLO C/C(=C\C(=O)NCCc1ccc(C#N)cc1)C[NH+]1CCOCC1 ZINC000912292066 711263602 /nfs/dbraw/zinc/26/36/02/711263602.db2.gz ILWICSLNRUHOJW-NTCAYCPXSA-N 1 2 313.401 1.495 20 30 DDEDLO C[N@@H+]1CCN(C(=O)c2ccc(C(C)(C)C#N)cc2)C[C@H]1CO ZINC000913544023 713251982 /nfs/dbraw/zinc/25/19/82/713251982.db2.gz VGHFNPNUQWEJLS-HNNXBMFYSA-N 1 2 301.390 1.236 20 30 DDEDLO C[N@H+]1CCN(C(=O)c2ccc(C(C)(C)C#N)cc2)C[C@H]1CO ZINC000913544023 713251983 /nfs/dbraw/zinc/25/19/83/713251983.db2.gz VGHFNPNUQWEJLS-HNNXBMFYSA-N 1 2 301.390 1.236 20 30 DDEDLO C[C@]1(Br)C[C@@H]1C[NH+]1CCN(C(=O)CC#N)CC1 ZINC000895072864 711397547 /nfs/dbraw/zinc/39/75/47/711397547.db2.gz BHEWPYHPFAQRRP-PWSUYJOCSA-N 1 2 300.200 1.218 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2ccsc2C#N)[C@@H](C)C1 ZINC000904173605 711400451 /nfs/dbraw/zinc/40/04/51/711400451.db2.gz HCERBAFTZAEACP-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2ccsc2C#N)[C@@H](C)C1 ZINC000904173605 711400453 /nfs/dbraw/zinc/40/04/53/711400453.db2.gz HCERBAFTZAEACP-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO CCCn1c2ccccc2nc1C[NH2+][C@H]1CCCN(O)C1=O ZINC000895164512 711438877 /nfs/dbraw/zinc/43/88/77/711438877.db2.gz DAELPIKOZHSBGP-ZDUSSCGKSA-N 1 2 302.378 1.916 20 30 DDEDLO CCO/C=C\[C@@](O)(C[NH2+]Cc1nc(C#N)cs1)C(F)(F)F ZINC000895713139 711585452 /nfs/dbraw/zinc/58/54/52/711585452.db2.gz JPLYRQVDTCBHBZ-DLRQAJBASA-N 1 2 321.324 1.948 20 30 DDEDLO CN(C)c1cc(N2CCC[C@H](Nc3ccc(C#N)cn3)C2)nc[nH+]1 ZINC000895850493 711624960 /nfs/dbraw/zinc/62/49/60/711624960.db2.gz JQFFMQXCHGKZBK-AWEZNQCLSA-N 1 2 323.404 1.890 20 30 DDEDLO CN(C)c1cc(N2CCC[C@H](Nc3ccc(C#N)cn3)C2)[nH+]cn1 ZINC000895850493 711624963 /nfs/dbraw/zinc/62/49/63/711624963.db2.gz JQFFMQXCHGKZBK-AWEZNQCLSA-N 1 2 323.404 1.890 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@H]1CCc2[nH+]c(C3CCCCC3)[nH]c2C1 ZINC000907816116 712630472 /nfs/dbraw/zinc/63/04/72/712630472.db2.gz QLIXNDRRFCDYOS-WFASDCNBSA-N 1 2 303.406 1.978 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@H]1CCc2[nH]c(C3CCCCC3)[nH+]c2C1 ZINC000907816116 712630473 /nfs/dbraw/zinc/63/04/73/712630473.db2.gz QLIXNDRRFCDYOS-WFASDCNBSA-N 1 2 303.406 1.978 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)CNc3cccc[nH+]3)CC2)cn1 ZINC000907851913 712635759 /nfs/dbraw/zinc/63/57/59/712635759.db2.gz DEDSIPUHKWFDHS-UHFFFAOYSA-N 1 2 322.372 1.109 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)/C=C(\C)C[NH+]1CCOCC1)C(=O)OCC ZINC000916709099 713464557 /nfs/dbraw/zinc/46/45/57/713464557.db2.gz DMMSBEFKVISZCZ-ATGUSINASA-N 1 2 324.421 1.279 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+]2CC[S@](=O)[C@@H](C)[C@@H]2C)cc1 ZINC000929678160 713666869 /nfs/dbraw/zinc/66/68/69/713666869.db2.gz BWWSKZSZHUQNSJ-OKHZJLECSA-N 1 2 318.442 1.838 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+]2CC[S@](=O)[C@@H](C)[C@@H]2C)cc1 ZINC000929678160 713666872 /nfs/dbraw/zinc/66/68/72/713666872.db2.gz BWWSKZSZHUQNSJ-OKHZJLECSA-N 1 2 318.442 1.838 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2sccc2Cl)CC1 ZINC000921437426 713771012 /nfs/dbraw/zinc/77/10/12/713771012.db2.gz XEBVTOFPJGXJOV-UHFFFAOYSA-N 1 2 318.851 1.777 20 30 DDEDLO CCOCCOCC[NH+]1CCN(c2snc(C)c2C#N)CC1 ZINC000930516859 713855184 /nfs/dbraw/zinc/85/51/84/713855184.db2.gz GOSSOLVVMRVIBV-UHFFFAOYSA-N 1 2 324.450 1.498 20 30 DDEDLO N#CC1(C(=O)N2CC(Oc3cc[nH+]cc3)C2)CCSCC1 ZINC000931765934 714180903 /nfs/dbraw/zinc/18/09/03/714180903.db2.gz QIHKLTHPMBQGLI-UHFFFAOYSA-N 1 2 303.387 1.708 20 30 DDEDLO Cc1nc([C@H]2CC[N@@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)no1 ZINC000931938369 714216641 /nfs/dbraw/zinc/21/66/41/714216641.db2.gz QNCWZAQVAUGYSS-JQWIXIFHSA-N 1 2 303.366 1.118 20 30 DDEDLO Cc1nc([C@H]2CC[N@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)no1 ZINC000931938369 714216644 /nfs/dbraw/zinc/21/66/44/714216644.db2.gz QNCWZAQVAUGYSS-JQWIXIFHSA-N 1 2 303.366 1.118 20 30 DDEDLO CCOC(=O)[C@@H]1CC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000932640553 714372445 /nfs/dbraw/zinc/37/24/45/714372445.db2.gz ZNMQQBRZIADYLH-GFCCVEGCSA-N 1 2 303.318 1.851 20 30 DDEDLO CCOC(=O)[C@@H]1CC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000932640553 714372448 /nfs/dbraw/zinc/37/24/48/714372448.db2.gz ZNMQQBRZIADYLH-GFCCVEGCSA-N 1 2 303.318 1.851 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000923488588 714374341 /nfs/dbraw/zinc/37/43/41/714374341.db2.gz LFOLVZGEFPMLOK-NSHDSACASA-N 1 2 310.423 1.042 20 30 DDEDLO O=C(NCC#CCO)N[C@H]1c2ccccc2CC[C@H]1n1cc[nH+]c1 ZINC000923775267 714468444 /nfs/dbraw/zinc/46/84/44/714468444.db2.gz DSVPJFRPXTWFCP-SJORKVTESA-N 1 2 324.384 1.407 20 30 DDEDLO CC(C)COC[C@@H](O)C[N@@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933623565 714633391 /nfs/dbraw/zinc/63/33/91/714633391.db2.gz CNSAFKIZICLZOG-ROUUACIJSA-N 1 2 318.417 1.965 20 30 DDEDLO CC(C)COC[C@@H](O)C[N@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933623565 714633394 /nfs/dbraw/zinc/63/33/94/714633394.db2.gz CNSAFKIZICLZOG-ROUUACIJSA-N 1 2 318.417 1.965 20 30 DDEDLO C=CC[C@@H]([NH2+][C@@H](C)C1(C(=O)OCC)CCOCC1)C(=O)OC ZINC000933876941 714690051 /nfs/dbraw/zinc/69/00/51/714690051.db2.gz PFHVUNNXJSRBDM-QWHCGFSZSA-N 1 2 313.394 1.442 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)[C@@H](C)[N@@H+]2CC[C@@H]2CO)cc1 ZINC000934024385 714724581 /nfs/dbraw/zinc/72/45/81/714724581.db2.gz RCNFXUHAJCBUSQ-GDBMZVCRSA-N 1 2 301.390 1.697 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)[C@@H](C)[N@H+]2CC[C@@H]2CO)cc1 ZINC000934024385 714724584 /nfs/dbraw/zinc/72/45/84/714724584.db2.gz RCNFXUHAJCBUSQ-GDBMZVCRSA-N 1 2 301.390 1.697 20 30 DDEDLO CO[C@H]1CC[C@H]1[N@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000934117181 714746154 /nfs/dbraw/zinc/74/61/54/714746154.db2.gz ZNUCRISDPBJMFW-CABCVRRESA-N 1 2 308.403 1.441 20 30 DDEDLO CO[C@H]1CC[C@H]1[N@@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000934117181 714746157 /nfs/dbraw/zinc/74/61/57/714746157.db2.gz ZNUCRISDPBJMFW-CABCVRRESA-N 1 2 308.403 1.441 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CC[C@H]2[C@@H]1CCCN2CCO ZINC000934264482 714780130 /nfs/dbraw/zinc/78/01/30/714780130.db2.gz SYUXDKBDXKAWOA-IRXDYDNUSA-N 1 2 315.417 1.598 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CC[C@H]2[C@@H]1CCCN2CCO ZINC000934264482 714780131 /nfs/dbraw/zinc/78/01/31/714780131.db2.gz SYUXDKBDXKAWOA-IRXDYDNUSA-N 1 2 315.417 1.598 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CC[C@@H]2[C@H]1CCCN2CCO ZINC000934264480 714780250 /nfs/dbraw/zinc/78/02/50/714780250.db2.gz SYUXDKBDXKAWOA-IAGOWNOFSA-N 1 2 315.417 1.598 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CC[C@@H]2[C@H]1CCCN2CCO ZINC000934264480 714780253 /nfs/dbraw/zinc/78/02/53/714780253.db2.gz SYUXDKBDXKAWOA-IAGOWNOFSA-N 1 2 315.417 1.598 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCN(c2ccccc2)[C@@H](C)C1 ZINC000934326297 714795513 /nfs/dbraw/zinc/79/55/13/714795513.db2.gz WISDUPXCUPGHRH-AWEZNQCLSA-N 1 2 316.405 1.209 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCN(c2ccccc2)[C@@H](C)C1 ZINC000934326297 714795515 /nfs/dbraw/zinc/79/55/15/714795515.db2.gz WISDUPXCUPGHRH-AWEZNQCLSA-N 1 2 316.405 1.209 20 30 DDEDLO C=CC[C@@H]1CC[N@H+]1CC(=O)N[C@H](Cc1ccccc1)C(C)=O ZINC000934470053 714828164 /nfs/dbraw/zinc/82/81/64/714828164.db2.gz CBCZJCONAZAPDV-IAGOWNOFSA-N 1 2 300.402 1.953 20 30 DDEDLO C=CC[C@@H]1CC[N@@H+]1CC(=O)N[C@H](Cc1ccccc1)C(C)=O ZINC000934470053 714828166 /nfs/dbraw/zinc/82/81/66/714828166.db2.gz CBCZJCONAZAPDV-IAGOWNOFSA-N 1 2 300.402 1.953 20 30 DDEDLO C[C@@H](CC(C)(C)C#N)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000925944937 714970012 /nfs/dbraw/zinc/97/00/12/714970012.db2.gz LWGGBSJYBATXMO-AWEZNQCLSA-N 1 2 305.426 1.956 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+]([C@@H]3CCN(C(C)=O)C3)CC2)C1 ZINC000956603907 715485230 /nfs/dbraw/zinc/48/52/30/715485230.db2.gz FOQPCWHMMZFMDS-OAHLLOKOSA-N 1 2 305.422 1.108 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000955443537 715776406 /nfs/dbraw/zinc/77/64/06/715776406.db2.gz VQEXHOLWIZYERX-UHFFFAOYSA-N 1 2 324.812 1.400 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)C2C[NH+]([C@H](C)COC)C2)cn1 ZINC000957290269 715818613 /nfs/dbraw/zinc/81/86/13/715818613.db2.gz QHATUMROJIHLQT-CYBMUJFWSA-N 1 2 301.390 1.244 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(-n3ccnc3)cn2)CC1 ZINC000957304269 715824910 /nfs/dbraw/zinc/82/49/10/715824910.db2.gz KHNPBDVEGALEFP-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@@H]2OCCc3ccccc32)CC1 ZINC000957387175 715875741 /nfs/dbraw/zinc/87/57/41/715875741.db2.gz JOSUPNAQLROXLI-SFHVURJKSA-N 1 2 312.413 1.858 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(C)nc2C(C)C)C1 ZINC000957388081 715876638 /nfs/dbraw/zinc/87/66/38/715876638.db2.gz LBCFFXRRZAPGTF-UHFFFAOYSA-N 1 2 302.422 1.713 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc(-n3ccnn3)c2)C1 ZINC000957461544 715910374 /nfs/dbraw/zinc/91/03/74/715910374.db2.gz XTDLPEDSGJEFDC-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@@H]2Cc3ccccc3CO2)CC1 ZINC000957514722 715935721 /nfs/dbraw/zinc/93/57/21/715935721.db2.gz PXYGTTNLVVJXCX-KRWDZBQOSA-N 1 2 300.402 1.848 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)C1 ZINC000938694954 715962539 /nfs/dbraw/zinc/96/25/39/715962539.db2.gz IFIMQMUNALVEIB-AWEZNQCLSA-N 1 2 316.405 1.347 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2c(C)noc2C)C1 ZINC000957862871 716203723 /nfs/dbraw/zinc/20/37/23/716203723.db2.gz CTYMNDDZHLABOP-NWDGAFQWSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2c(C)noc2C)C1 ZINC000957862871 716203725 /nfs/dbraw/zinc/20/37/25/716203725.db2.gz CTYMNDDZHLABOP-NWDGAFQWSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC000939910385 716494722 /nfs/dbraw/zinc/49/47/22/716494722.db2.gz MLWVYYIDWNWKKE-CQSZACIVSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000940707334 716924064 /nfs/dbraw/zinc/92/40/64/716924064.db2.gz VTIVTMJFVOPSPN-MRRJBJDNSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnco1 ZINC000961397704 716932268 /nfs/dbraw/zinc/93/22/68/716932268.db2.gz RSVMIMUIJIPQPQ-JZYVYDRUSA-N 1 2 312.167 1.243 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnco1 ZINC000961397704 716932269 /nfs/dbraw/zinc/93/22/69/716932269.db2.gz RSVMIMUIJIPQPQ-JZYVYDRUSA-N 1 2 312.167 1.243 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3CC[C@@H](C)C3)CC2)C1 ZINC000941527925 717195188 /nfs/dbraw/zinc/19/51/88/717195188.db2.gz DRBLPKRPPQOKQZ-IAGOWNOFSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC(C)(C)C3)CC2)C1 ZINC000941549565 717204936 /nfs/dbraw/zinc/20/49/36/717204936.db2.gz BCJGGQMLROCZHM-HNNXBMFYSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H](C)C3CCCC3)CC2)C1 ZINC000941634413 717238387 /nfs/dbraw/zinc/23/83/87/717238387.db2.gz FUWICSRQWZULAK-OAHLLOKOSA-N 1 2 303.450 1.274 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CCN(CC#N)C[C@H]3C)ccn12 ZINC000942052720 717451846 /nfs/dbraw/zinc/45/18/46/717451846.db2.gz HCDNYKIFWASSEY-DOMZBBRYSA-N 1 2 311.389 1.607 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@@H]([C@@H]2CCCN2CC#N)C1 ZINC000963382506 717769933 /nfs/dbraw/zinc/76/99/33/717769933.db2.gz CEDPGRHEUSCRCT-ZBFHGGJFSA-N 1 2 315.421 1.189 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1c[nH]nc1CC ZINC000948933065 719792032 /nfs/dbraw/zinc/79/20/32/719792032.db2.gz UBOPQCHQXLAFMM-GOSISDBHSA-N 1 2 322.412 1.935 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1c[nH]nc1CC ZINC000948933065 719792038 /nfs/dbraw/zinc/79/20/38/719792038.db2.gz UBOPQCHQXLAFMM-GOSISDBHSA-N 1 2 322.412 1.935 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@H]3CC[C@@H](C)O3)CC2)C1 ZINC000949463442 720105078 /nfs/dbraw/zinc/10/50/78/720105078.db2.gz MWTKTDBWNJNWJQ-HZPDHXFCSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@H]3CC[C@@H](C)O3)CC2)C1 ZINC000949463442 720105081 /nfs/dbraw/zinc/10/50/81/720105081.db2.gz MWTKTDBWNJNWJQ-HZPDHXFCSA-N 1 2 320.433 1.271 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC000969830619 720314997 /nfs/dbraw/zinc/31/49/97/720314997.db2.gz OVQLJZBXBYKNTO-WBMJQRKESA-N 1 2 306.410 1.925 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC000950039274 720463221 /nfs/dbraw/zinc/46/32/21/720463221.db2.gz UWORLJZOBMYRMD-KRWDZBQOSA-N 1 2 319.453 1.641 20 30 DDEDLO C=CCC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC000950330080 720579775 /nfs/dbraw/zinc/57/97/75/720579775.db2.gz DDSCBKHWGJOAJQ-OAHLLOKOSA-N 1 2 302.422 1.938 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2n[nH]c3c2CCC3)C1 ZINC000970035665 720606379 /nfs/dbraw/zinc/60/63/79/720606379.db2.gz OVEWWUITHFKODQ-SNVBAGLBSA-N 1 2 308.813 1.701 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000970038298 720607888 /nfs/dbraw/zinc/60/78/88/720607888.db2.gz PGDFOCWSGFJFHZ-LLVKDONJSA-N 1 2 309.797 1.188 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnc3nccn3c2)C1 ZINC000970158696 720646797 /nfs/dbraw/zinc/64/67/97/720646797.db2.gz SSTZWGQLVNEGRB-LLVKDONJSA-N 1 2 319.796 1.532 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@H]2COCC[N@H+]2CCCC)C1 ZINC000950990551 720866795 /nfs/dbraw/zinc/86/67/95/720866795.db2.gz UTPVMFPPGJEKFE-QGZVFWFLSA-N 1 2 321.465 1.043 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@H]2COCC[N@@H+]2CCCC)C1 ZINC000950990551 720866798 /nfs/dbraw/zinc/86/67/98/720866798.db2.gz UTPVMFPPGJEKFE-QGZVFWFLSA-N 1 2 321.465 1.043 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc(-c3nc[nH]n3)c2)C1 ZINC000951122326 720926082 /nfs/dbraw/zinc/92/60/82/720926082.db2.gz XQRLXLKNKGBXEX-UHFFFAOYSA-N 1 2 323.400 1.641 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000971218205 721185373 /nfs/dbraw/zinc/18/53/73/721185373.db2.gz KGIHTAJGTWXRAY-GXSJLCMTSA-N 1 2 300.200 1.587 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000971218205 721185376 /nfs/dbraw/zinc/18/53/76/721185376.db2.gz KGIHTAJGTWXRAY-GXSJLCMTSA-N 1 2 300.200 1.587 20 30 DDEDLO C[N@H+](Cc1ncc(C2CC2)o1)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971513966 721334400 /nfs/dbraw/zinc/33/44/00/721334400.db2.gz RPIPBYAROCIYFA-OAHLLOKOSA-N 1 2 313.401 1.998 20 30 DDEDLO C[N@@H+](Cc1ncc(C2CC2)o1)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971513966 721334405 /nfs/dbraw/zinc/33/44/05/721334405.db2.gz RPIPBYAROCIYFA-OAHLLOKOSA-N 1 2 313.401 1.998 20 30 DDEDLO C=C(Br)CN1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000952401547 721437883 /nfs/dbraw/zinc/43/78/83/721437883.db2.gz VHFRGSKDJPNKBM-UHFFFAOYSA-N 1 2 313.199 1.005 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc4c(c3)CCC4)C2)C1 ZINC000972676182 735463219 /nfs/dbraw/zinc/46/32/19/735463219.db2.gz DUYZVXGHFHVQGJ-FQEVSTJZSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc4c(c3)CCC4)C2)C1 ZINC000972676182 735463222 /nfs/dbraw/zinc/46/32/22/735463222.db2.gz DUYZVXGHFHVQGJ-FQEVSTJZSA-N 1 2 324.424 1.725 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(CCN(C(=O)Cn3cncc3C)C2)C1 ZINC001041474906 732359916 /nfs/dbraw/zinc/35/99/16/732359916.db2.gz FBBUSYAFLNUQQA-MRXNPFEDSA-N 1 2 322.840 1.868 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(CCN(C(=O)Cn3cncc3C)C2)C1 ZINC001041474906 732359922 /nfs/dbraw/zinc/35/99/22/732359922.db2.gz FBBUSYAFLNUQQA-MRXNPFEDSA-N 1 2 322.840 1.868 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)c(F)c3)[C@H]2C1 ZINC001083204133 733177754 /nfs/dbraw/zinc/17/77/54/733177754.db2.gz ZVSVJWIPAUXAQT-LSDHHAIUSA-N 1 2 322.767 1.638 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)c(F)c3)[C@H]2C1 ZINC001083204133 733177758 /nfs/dbraw/zinc/17/77/58/733177758.db2.gz ZVSVJWIPAUXAQT-LSDHHAIUSA-N 1 2 322.767 1.638 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H](CCCN2C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001021575679 733331899 /nfs/dbraw/zinc/33/18/99/733331899.db2.gz LXKYTJKKWVSQMW-ZIAGYGMSSA-N 1 2 301.394 1.179 20 30 DDEDLO CCc1nnc(C[NH2+]C[C@@H]2C[C@H](C)CCN2C(=O)[C@H](C)C#N)o1 ZINC001087135998 733464655 /nfs/dbraw/zinc/46/46/55/733464655.db2.gz HRPHRILWPLSCTR-UPJWGTAASA-N 1 2 319.409 1.508 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@H]2C[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)no1 ZINC001021989491 733703185 /nfs/dbraw/zinc/70/31/85/733703185.db2.gz ANXLVDBTVGXDKP-FXAINCCUSA-N 1 2 314.349 1.189 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)C2CC(C)(C)C2)C1=O ZINC001038215725 734387601 /nfs/dbraw/zinc/38/76/01/734387601.db2.gz HLEQLNCCIUDYBV-GJZGRUSLSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)C2CC(C)(C)C2)C1=O ZINC001038215725 734387606 /nfs/dbraw/zinc/38/76/06/734387606.db2.gz HLEQLNCCIUDYBV-GJZGRUSLSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCOCC[N@@H+](C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190268859 745167887 /nfs/dbraw/zinc/16/78/87/745167887.db2.gz DLWDWIVGVKFAQY-GHMZBOCLSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@H+](C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190268859 745167891 /nfs/dbraw/zinc/16/78/91/745167891.db2.gz DLWDWIVGVKFAQY-GHMZBOCLSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001190268859 745167895 /nfs/dbraw/zinc/16/78/95/745167895.db2.gz DLWDWIVGVKFAQY-GHMZBOCLSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@H+](C)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001190268859 745167900 /nfs/dbraw/zinc/16/79/00/745167900.db2.gz DLWDWIVGVKFAQY-GHMZBOCLSA-N 1 2 312.307 1.622 20 30 DDEDLO N#CCC[N@@H+](CCCOCC(F)(F)F)CCN1CCOCC1 ZINC001167558951 734716944 /nfs/dbraw/zinc/71/69/44/734716944.db2.gz VCFSWLVPVAHJRE-UHFFFAOYSA-N 1 2 323.359 1.503 20 30 DDEDLO N#CCC[N@H+](CCCOCC(F)(F)F)CCN1CCOCC1 ZINC001167558951 734716945 /nfs/dbraw/zinc/71/69/45/734716945.db2.gz VCFSWLVPVAHJRE-UHFFFAOYSA-N 1 2 323.359 1.503 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001027975378 738894407 /nfs/dbraw/zinc/89/44/07/738894407.db2.gz QWLUNFCATTXMQB-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001027975378 738894412 /nfs/dbraw/zinc/89/44/12/738894412.db2.gz QWLUNFCATTXMQB-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO Cn1nccc1C(=O)N[C@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001006887843 735669835 /nfs/dbraw/zinc/66/98/35/735669835.db2.gz AYYPYVDQQUCZJK-INIZCTEOSA-N 1 2 323.400 1.686 20 30 DDEDLO Cn1nccc1C(=O)N[C@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001006887843 735669836 /nfs/dbraw/zinc/66/98/36/735669836.db2.gz AYYPYVDQQUCZJK-INIZCTEOSA-N 1 2 323.400 1.686 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1snnc1C1CC1 ZINC001024490250 735866859 /nfs/dbraw/zinc/86/68/59/735866859.db2.gz JVXFDVKMLLEVMA-GFCCVEGCSA-N 1 2 304.419 1.633 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1snnc1C1CC1 ZINC001024490250 735866861 /nfs/dbraw/zinc/86/68/61/735866861.db2.gz JVXFDVKMLLEVMA-GFCCVEGCSA-N 1 2 304.419 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cccc(=O)n1C ZINC001024644800 736000823 /nfs/dbraw/zinc/00/08/23/736000823.db2.gz YKFKPCQHCQYUAR-ZDUSSCGKSA-N 1 2 323.824 1.722 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cccc(=O)n1C ZINC001024644800 736000826 /nfs/dbraw/zinc/00/08/26/736000826.db2.gz YKFKPCQHCQYUAR-ZDUSSCGKSA-N 1 2 323.824 1.722 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccn3C(C)C)[C@H]2C1 ZINC001083276930 736264621 /nfs/dbraw/zinc/26/46/21/736264621.db2.gz DDMVJDLCAUXSTA-DLBZAZTESA-N 1 2 315.417 1.618 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccn3C(C)C)[C@H]2C1 ZINC001083276930 736264624 /nfs/dbraw/zinc/26/46/24/736264624.db2.gz DDMVJDLCAUXSTA-DLBZAZTESA-N 1 2 315.417 1.618 20 30 DDEDLO C[C@@H](CNc1[nH+]cnc2c1cnn2C)N(C)C(=O)C#CC1CC1 ZINC001104554962 736288595 /nfs/dbraw/zinc/28/85/95/736288595.db2.gz KWKSKQNYPDCEIU-NSHDSACASA-N 1 2 312.377 1.036 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@@H](NC(=O)C(C)(C)F)C2)C1=O ZINC001006564153 736474572 /nfs/dbraw/zinc/47/45/72/736474572.db2.gz UTUSXACIZMMCES-OLZOCXBDSA-N 1 2 311.401 1.102 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@@H](NC(=O)C(C)(C)F)C2)C1=O ZINC001006564153 736474574 /nfs/dbraw/zinc/47/45/74/736474574.db2.gz UTUSXACIZMMCES-OLZOCXBDSA-N 1 2 311.401 1.102 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104706996 736533582 /nfs/dbraw/zinc/53/35/82/736533582.db2.gz RJAJXAALBAJVKM-GFCCVEGCSA-N 1 2 316.409 1.978 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C(CC)CC)C2)nn1 ZINC001098618587 737563058 /nfs/dbraw/zinc/56/30/58/737563058.db2.gz FQPRMTOGJFDHFY-OAHLLOKOSA-N 1 2 303.410 1.211 20 30 DDEDLO CC(C)C#CC(=O)N1CC(n2cc(C[NH2+]C/C=C\Cl)nn2)C1 ZINC001105233219 737639754 /nfs/dbraw/zinc/63/97/54/737639754.db2.gz NZFIIGBILGFSRG-UTCJRWHESA-N 1 2 321.812 1.163 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C(CCC)CCC)C2)nn1 ZINC001105315278 737822647 /nfs/dbraw/zinc/82/26/47/737822647.db2.gz DZASSQWHYWONCJ-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@]3(C)CCC[C@H]3C)C2)nn1 ZINC001105319006 737838227 /nfs/dbraw/zinc/83/82/27/737838227.db2.gz WJIZIIFJJPYFNB-CXAGYDPISA-N 1 2 315.421 1.211 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H]1CNC(=O)c1ccnnc1 ZINC001028161819 739109086 /nfs/dbraw/zinc/10/90/86/739109086.db2.gz WQKBEWRHLZZRPY-KRWDZBQOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H]1CNC(=O)c1ccnnc1 ZINC001028161819 739109089 /nfs/dbraw/zinc/10/90/89/739109089.db2.gz WQKBEWRHLZZRPY-KRWDZBQOSA-N 1 2 321.384 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(=O)n(CC)n1 ZINC001028208980 739161655 /nfs/dbraw/zinc/16/16/55/739161655.db2.gz ANZOOPSVYMNHGY-GFCCVEGCSA-N 1 2 324.812 1.210 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(=O)n(CC)n1 ZINC001028208980 739161657 /nfs/dbraw/zinc/16/16/57/739161657.db2.gz ANZOOPSVYMNHGY-GFCCVEGCSA-N 1 2 324.812 1.210 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1OC ZINC001211770577 739519599 /nfs/dbraw/zinc/51/95/99/739519599.db2.gz BGTKYVFQWWMWOD-ZIAGYGMSSA-N 1 2 307.419 1.735 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1OC ZINC001211770577 739519601 /nfs/dbraw/zinc/51/96/01/739519601.db2.gz BGTKYVFQWWMWOD-ZIAGYGMSSA-N 1 2 307.419 1.735 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC(C)C)nc2)C1 ZINC001035389354 751459403 /nfs/dbraw/zinc/45/94/03/751459403.db2.gz CEPZLOUTPGPGDY-HNNXBMFYSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC(C)C)nc2)C1 ZINC001035389354 751459406 /nfs/dbraw/zinc/45/94/06/751459406.db2.gz CEPZLOUTPGPGDY-HNNXBMFYSA-N 1 2 319.405 1.485 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C)c(C)n2)C1 ZINC001035452413 751500803 /nfs/dbraw/zinc/50/08/03/751500803.db2.gz PBDNFDNPSHPRTD-HNNXBMFYSA-N 1 2 301.390 1.152 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C)c(C)n2)C1 ZINC001035452413 751500805 /nfs/dbraw/zinc/50/08/05/751500805.db2.gz PBDNFDNPSHPRTD-HNNXBMFYSA-N 1 2 301.390 1.152 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001035454079 751501577 /nfs/dbraw/zinc/50/15/77/751501577.db2.gz QSXVJCIOJSEFLW-OAGGEKHMSA-N 1 2 308.422 1.195 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001035454079 751501580 /nfs/dbraw/zinc/50/15/80/751501580.db2.gz QSXVJCIOJSEFLW-OAGGEKHMSA-N 1 2 308.422 1.195 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc3ncccc3o2)C1 ZINC001035455629 751503624 /nfs/dbraw/zinc/50/36/24/751503624.db2.gz NTEAQTPWAZXSOI-CYBMUJFWSA-N 1 2 315.373 1.835 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc3ncccc3o2)C1 ZINC001035455629 751503628 /nfs/dbraw/zinc/50/36/28/751503628.db2.gz NTEAQTPWAZXSOI-CYBMUJFWSA-N 1 2 315.373 1.835 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCc3cc(F)ccc32)C1 ZINC001035457019 751505416 /nfs/dbraw/zinc/50/54/16/751505416.db2.gz XBLBDRJLBSPSSA-WBVHZDCISA-N 1 2 318.392 1.859 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCc3cc(F)ccc32)C1 ZINC001035457019 751505418 /nfs/dbraw/zinc/50/54/18/751505418.db2.gz XBLBDRJLBSPSSA-WBVHZDCISA-N 1 2 318.392 1.859 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3ccccc23)C1 ZINC001035432495 751509776 /nfs/dbraw/zinc/50/97/76/751509776.db2.gz VRPWPSZNHARKFY-AWEZNQCLSA-N 1 2 311.385 1.622 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3ccccc23)C1 ZINC001035432495 751509780 /nfs/dbraw/zinc/50/97/80/751509780.db2.gz VRPWPSZNHARKFY-AWEZNQCLSA-N 1 2 311.385 1.622 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC)nc2)C1 ZINC001035438015 751515503 /nfs/dbraw/zinc/51/55/03/751515503.db2.gz HLYUZXAKCMHCSK-CQSZACIVSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC)nc2)C1 ZINC001035438015 751515505 /nfs/dbraw/zinc/51/55/05/751515505.db2.gz HLYUZXAKCMHCSK-CQSZACIVSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccn(C(C)C)c2C)C1 ZINC001035487264 751540623 /nfs/dbraw/zinc/54/06/23/751540623.db2.gz JNMSWANBQPBTOZ-OAHLLOKOSA-N 1 2 305.422 1.994 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccn(C(C)C)c2C)C1 ZINC001035487264 751540628 /nfs/dbraw/zinc/54/06/28/751540628.db2.gz JNMSWANBQPBTOZ-OAHLLOKOSA-N 1 2 305.422 1.994 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001035489930 751543932 /nfs/dbraw/zinc/54/39/32/751543932.db2.gz MEMPZKXFDZEWES-HNNXBMFYSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001035489930 751543934 /nfs/dbraw/zinc/54/39/34/751543934.db2.gz MEMPZKXFDZEWES-HNNXBMFYSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(F)ccc2OC)C1 ZINC001035470335 751554601 /nfs/dbraw/zinc/55/46/01/751554601.db2.gz PORCMQNGSQJSJX-AWEZNQCLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(F)ccc2OC)C1 ZINC001035470335 751554605 /nfs/dbraw/zinc/55/46/05/751554605.db2.gz PORCMQNGSQJSJX-AWEZNQCLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001035491934 751574958 /nfs/dbraw/zinc/57/49/58/751574958.db2.gz ZIPLCJBTRVZKPN-AWEZNQCLSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001035491934 751574961 /nfs/dbraw/zinc/57/49/61/751574961.db2.gz ZIPLCJBTRVZKPN-AWEZNQCLSA-N 1 2 300.362 1.180 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)COC3)C1 ZINC001035540228 751586478 /nfs/dbraw/zinc/58/64/78/751586478.db2.gz ZBACNLLLUDCEJF-KRWDZBQOSA-N 1 2 316.401 1.724 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)COC3)C1 ZINC001035540228 751586484 /nfs/dbraw/zinc/58/64/84/751586484.db2.gz ZBACNLLLUDCEJF-KRWDZBQOSA-N 1 2 316.401 1.724 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@@H]2CCCOC2)s1 ZINC001038104495 741267073 /nfs/dbraw/zinc/26/70/73/741267073.db2.gz NEPOHDONDPHJKZ-CHWSQXEVSA-N 1 2 319.430 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@@H]2CCCOC2)s1 ZINC001038104495 741267079 /nfs/dbraw/zinc/26/70/79/741267079.db2.gz NEPOHDONDPHJKZ-CHWSQXEVSA-N 1 2 319.430 1.737 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)[C@H]2CCCOC2)s1 ZINC001038104508 741267555 /nfs/dbraw/zinc/26/75/55/741267555.db2.gz NEPOHDONDPHJKZ-STQMWFEESA-N 1 2 319.430 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@H]2CCCOC2)s1 ZINC001038104508 741267558 /nfs/dbraw/zinc/26/75/58/741267558.db2.gz NEPOHDONDPHJKZ-STQMWFEESA-N 1 2 319.430 1.737 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)[nH]nc2C(C)C)C1 ZINC001035575913 751637216 /nfs/dbraw/zinc/63/72/16/751637216.db2.gz VYRFWMRTZGJEDC-CQSZACIVSA-N 1 2 318.421 1.295 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(C)[nH]nc2C(C)C)C1 ZINC001035575913 751637223 /nfs/dbraw/zinc/63/72/23/751637223.db2.gz VYRFWMRTZGJEDC-CQSZACIVSA-N 1 2 318.421 1.295 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001035575913 751637232 /nfs/dbraw/zinc/63/72/32/751637232.db2.gz VYRFWMRTZGJEDC-CQSZACIVSA-N 1 2 318.421 1.295 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001035575913 751637239 /nfs/dbraw/zinc/63/72/39/751637239.db2.gz VYRFWMRTZGJEDC-CQSZACIVSA-N 1 2 318.421 1.295 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cn(CCC)nc2C)C1 ZINC001035561915 751643854 /nfs/dbraw/zinc/64/38/54/751643854.db2.gz FGBBKSHRSBUKKQ-HNNXBMFYSA-N 1 2 318.421 1.055 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cn(CCC)nc2C)C1 ZINC001035561915 751643855 /nfs/dbraw/zinc/64/38/55/751643855.db2.gz FGBBKSHRSBUKKQ-HNNXBMFYSA-N 1 2 318.421 1.055 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C)nc2OCC)C1 ZINC001035556948 751638061 /nfs/dbraw/zinc/63/80/61/751638061.db2.gz BULFSIRQDUZVCP-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C)nc2OCC)C1 ZINC001035556948 751638066 /nfs/dbraw/zinc/63/80/66/751638066.db2.gz BULFSIRQDUZVCP-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(COC)cc2)C1 ZINC001035600970 751659932 /nfs/dbraw/zinc/65/99/32/751659932.db2.gz UOBOXHNQLSGUNM-INIZCTEOSA-N 1 2 304.390 1.450 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(COC)cc2)C1 ZINC001035600970 751659938 /nfs/dbraw/zinc/65/99/38/751659938.db2.gz UOBOXHNQLSGUNM-INIZCTEOSA-N 1 2 304.390 1.450 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2coc(CCC)n2)C1 ZINC001035578223 751667436 /nfs/dbraw/zinc/66/74/36/751667436.db2.gz VLPUHBHNLSPMLM-CYBMUJFWSA-N 1 2 305.378 1.081 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2coc(CCC)n2)C1 ZINC001035578223 751667439 /nfs/dbraw/zinc/66/74/39/751667439.db2.gz VLPUHBHNLSPMLM-CYBMUJFWSA-N 1 2 305.378 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)[C@H]1C ZINC001088602531 741925024 /nfs/dbraw/zinc/92/50/24/741925024.db2.gz JQRMAKOZLXJBFU-UPJWGTAASA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)[C@H]1C ZINC001088602531 741925026 /nfs/dbraw/zinc/92/50/26/741925026.db2.gz JQRMAKOZLXJBFU-UPJWGTAASA-N 1 2 313.829 1.186 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1OC ZINC001212096603 741988526 /nfs/dbraw/zinc/98/85/26/741988526.db2.gz FTTCNIXIFHERMT-QSWMQRNWSA-N 1 2 319.430 1.901 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1OC ZINC001212096603 741988528 /nfs/dbraw/zinc/98/85/28/741988528.db2.gz FTTCNIXIFHERMT-QSWMQRNWSA-N 1 2 319.430 1.901 20 30 DDEDLO CN(CCN(C)c1ccc(C#N)nc1)C(=O)CCn1cc[nH+]c1 ZINC001105520960 742101684 /nfs/dbraw/zinc/10/16/84/742101684.db2.gz WLVYIJCBSFSYSJ-UHFFFAOYSA-N 1 2 312.377 1.135 20 30 DDEDLO N#CCNCC1([C@H]2CCCCN2C(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001105570700 742121928 /nfs/dbraw/zinc/12/19/28/742121928.db2.gz XVTBVZQHFUJSNZ-CQSZACIVSA-N 1 2 315.421 1.617 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001102031640 742237150 /nfs/dbraw/zinc/23/71/50/742237150.db2.gz UMGFJAJXOOVOKX-CHWSQXEVSA-N 1 2 310.361 1.350 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001102031640 742237154 /nfs/dbraw/zinc/23/71/54/742237154.db2.gz UMGFJAJXOOVOKX-CHWSQXEVSA-N 1 2 310.361 1.350 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2c(C)nn(C)c2C)[C@H]1C ZINC001088777559 742346649 /nfs/dbraw/zinc/34/66/49/742346649.db2.gz GLYISPQREWNSNO-HIFRSBDPSA-N 1 2 324.856 1.911 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2c(C)nn(C)c2C)[C@H]1C ZINC001088777559 742346654 /nfs/dbraw/zinc/34/66/54/742346654.db2.gz GLYISPQREWNSNO-HIFRSBDPSA-N 1 2 324.856 1.911 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(C[NH+](Cc3cncc(F)c3)C2)CC1 ZINC001035674661 751755010 /nfs/dbraw/zinc/75/50/10/751755010.db2.gz ZNLACEVQLMYZCO-CYBMUJFWSA-N 1 2 316.380 1.805 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)Nc2cnc(C#N)c(C(F)(F)F)c2)C1 ZINC001180756155 742914095 /nfs/dbraw/zinc/91/40/95/742914095.db2.gz GOACBWSUXBXWTF-NSHDSACASA-N 1 2 314.267 1.241 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)Nc2cnc(C#N)c(C(F)(F)F)c2)C1 ZINC001180756155 742914098 /nfs/dbraw/zinc/91/40/98/742914098.db2.gz GOACBWSUXBXWTF-NSHDSACASA-N 1 2 314.267 1.241 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCCCCC1 ZINC001121510518 782541233 /nfs/dbraw/zinc/54/12/33/782541233.db2.gz QABZEWKOTFXOGC-UONOGXRCSA-N 1 2 305.426 1.582 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCCCCC1 ZINC001121510518 782541245 /nfs/dbraw/zinc/54/12/45/782541245.db2.gz QABZEWKOTFXOGC-UONOGXRCSA-N 1 2 305.426 1.582 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cnn(CC)n1)CC2 ZINC001035701550 751788732 /nfs/dbraw/zinc/78/87/32/751788732.db2.gz DIVTWXPNWAOOEX-UHFFFAOYSA-N 1 2 323.828 1.589 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001016304363 743123035 /nfs/dbraw/zinc/12/30/35/743123035.db2.gz GTQVVFWGNTVROL-YPMHNXCESA-N 1 2 308.813 1.458 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001016304363 743123045 /nfs/dbraw/zinc/12/30/45/743123045.db2.gz GTQVVFWGNTVROL-YPMHNXCESA-N 1 2 308.813 1.458 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)[C@@H]3C[C@H]3C)CC2)C1 ZINC001105685117 743132813 /nfs/dbraw/zinc/13/28/13/743132813.db2.gz UCXMYGKYJUNPFQ-RHSMWYFYSA-N 1 2 322.449 1.537 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(N(C)C)nc2)C1 ZINC001108045835 743198307 /nfs/dbraw/zinc/19/83/07/743198307.db2.gz BZMFPMLKYKKOPQ-QGZVFWFLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(N(C)C)nc2)C1 ZINC001108045835 743198312 /nfs/dbraw/zinc/19/83/12/743198312.db2.gz BZMFPMLKYKKOPQ-QGZVFWFLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001181476893 743221582 /nfs/dbraw/zinc/22/15/82/743221582.db2.gz WBVQVNVELGZTQY-WCQYABFASA-N 1 2 308.382 1.050 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001077104214 743245217 /nfs/dbraw/zinc/24/52/17/743245217.db2.gz SYFHEEFGUKHURA-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061107250 743256111 /nfs/dbraw/zinc/25/61/11/743256111.db2.gz IYTTVFMPWVGVJL-ZIAGYGMSSA-N 1 2 324.388 1.758 20 30 DDEDLO C=C(C)CCC(=O)NCC1(Nc2nc(N(C)C)nc(C)[nH+]2)CC1 ZINC001110248552 743372582 /nfs/dbraw/zinc/37/25/82/743372582.db2.gz YCSQQKPPVZNCNM-UHFFFAOYSA-N 1 2 318.425 1.663 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)CSC)CC2)C1 ZINC001105695691 743493589 /nfs/dbraw/zinc/49/35/89/743493589.db2.gz MXDQSBGBAXTSMO-ZDUSSCGKSA-N 1 2 312.479 1.863 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(CCCC)no1 ZINC001127051662 743676332 /nfs/dbraw/zinc/67/63/32/743676332.db2.gz KXMGVMWGPYFSSO-OLZOCXBDSA-N 1 2 322.409 1.217 20 30 DDEDLO CC(C)C[C@H](NC(=O)[C@H]1CC[N@@H+]1Cc1ccccc1)C(=O)NO ZINC001183755935 743907990 /nfs/dbraw/zinc/90/79/90/743907990.db2.gz MXPSYIYRTUGFLT-LSDHHAIUSA-N 1 2 319.405 1.297 20 30 DDEDLO CC(C)C[C@H](NC(=O)[C@H]1CC[N@H+]1Cc1ccccc1)C(=O)NO ZINC001183755935 743907995 /nfs/dbraw/zinc/90/79/95/743907995.db2.gz MXPSYIYRTUGFLT-LSDHHAIUSA-N 1 2 319.405 1.297 20 30 DDEDLO CC1(C)CO[C@@H](C[NH+]2CC(NC(=O)c3c[nH]c(C#N)c3)C2)C1 ZINC001030235309 743969687 /nfs/dbraw/zinc/96/96/87/743969687.db2.gz UIBKQBWNGUEUJG-CQSZACIVSA-N 1 2 302.378 1.115 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCCO[C@H]2c2nccn2C)C1 ZINC001030466919 744180282 /nfs/dbraw/zinc/18/02/82/744180282.db2.gz XMJDOISSKPJNDP-HUUCEWRRSA-N 1 2 318.421 1.264 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCCC ZINC001110368811 744282372 /nfs/dbraw/zinc/28/23/72/744282372.db2.gz NOKQXBYAXHQRBQ-KFWWJZLASA-N 1 2 307.438 1.590 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCCC ZINC001110368811 744282373 /nfs/dbraw/zinc/28/23/73/744282373.db2.gz NOKQXBYAXHQRBQ-KFWWJZLASA-N 1 2 307.438 1.590 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](O)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001106051375 744298634 /nfs/dbraw/zinc/29/86/34/744298634.db2.gz FLMPTKSKZRUVPP-CYBMUJFWSA-N 1 2 318.421 1.764 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C3CC(C)C3)C2)nn1 ZINC001185915238 744313509 /nfs/dbraw/zinc/31/35/09/744313509.db2.gz AIQQFSPFOBYDSH-ZBCRRDGASA-N 1 2 315.421 1.211 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2[C@@H](C)c1ncc(C)o1 ZINC001110388887 744497240 /nfs/dbraw/zinc/49/72/40/744497240.db2.gz JFRZDBWFXIIDMW-YJNKXOJESA-N 1 2 319.405 1.968 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2[C@@H](C)c1ncc(C)o1 ZINC001110388887 744497242 /nfs/dbraw/zinc/49/72/42/744497242.db2.gz JFRZDBWFXIIDMW-YJNKXOJESA-N 1 2 319.405 1.968 20 30 DDEDLO CCc1cnc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)o1 ZINC001187803501 744609555 /nfs/dbraw/zinc/60/95/55/744609555.db2.gz COVUUCSAPXOKAW-GOEBONIOSA-N 1 2 315.417 1.929 20 30 DDEDLO CCc1cnc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)o1 ZINC001187803501 744609557 /nfs/dbraw/zinc/60/95/57/744609557.db2.gz COVUUCSAPXOKAW-GOEBONIOSA-N 1 2 315.417 1.929 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H](C)COC)C1 ZINC001189311683 744869649 /nfs/dbraw/zinc/86/96/49/744869649.db2.gz VKPDQALFWYGKFE-ZYHUDNBSSA-N 1 2 319.243 1.710 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@H](C)COC)C1 ZINC001189311683 744869652 /nfs/dbraw/zinc/86/96/52/744869652.db2.gz VKPDQALFWYGKFE-ZYHUDNBSSA-N 1 2 319.243 1.710 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)s2)C1 ZINC001189380615 744880163 /nfs/dbraw/zinc/88/01/63/744880163.db2.gz RKKKJGDITWXZOX-CYBMUJFWSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)s2)C1 ZINC001189380615 744880164 /nfs/dbraw/zinc/88/01/64/744880164.db2.gz RKKKJGDITWXZOX-CYBMUJFWSA-N 1 2 309.435 1.687 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2nccs2)C1 ZINC001189918991 745035329 /nfs/dbraw/zinc/03/53/29/745035329.db2.gz XYAQXOHQWWTHAX-DOMZBBRYSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2nccs2)C1 ZINC001189918991 745035339 /nfs/dbraw/zinc/03/53/39/745035339.db2.gz XYAQXOHQWWTHAX-DOMZBBRYSA-N 1 2 309.435 1.503 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001189926520 745038758 /nfs/dbraw/zinc/03/87/58/745038758.db2.gz PKPRKRKOXOPBDN-KRWDZBQOSA-N 1 2 314.433 1.666 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001189926520 745038765 /nfs/dbraw/zinc/03/87/65/745038765.db2.gz PKPRKRKOXOPBDN-KRWDZBQOSA-N 1 2 314.433 1.666 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190054329 745091446 /nfs/dbraw/zinc/09/14/46/745091446.db2.gz PUIMKZCSMASDKE-UONOGXRCSA-N 1 2 318.421 1.885 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190054329 745091452 /nfs/dbraw/zinc/09/14/52/745091452.db2.gz PUIMKZCSMASDKE-UONOGXRCSA-N 1 2 318.421 1.885 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)CC=C(Cl)Cl)C1 ZINC001190104149 745100666 /nfs/dbraw/zinc/10/06/66/745100666.db2.gz DGPPXNKIQCLRPP-NWDGAFQWSA-N 1 2 319.232 1.876 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)CC=C(Cl)Cl)C1 ZINC001190104149 745100669 /nfs/dbraw/zinc/10/06/69/745100669.db2.gz DGPPXNKIQCLRPP-NWDGAFQWSA-N 1 2 319.232 1.876 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2ccccc2NC(C)=O)C1 ZINC001031119871 745417006 /nfs/dbraw/zinc/41/70/06/745417006.db2.gz KGFFYNHSKWORMX-UHFFFAOYSA-N 1 2 301.390 1.564 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)[C@@]3(F)CCOC3)C2)s1 ZINC001015611288 745839118 /nfs/dbraw/zinc/83/91/18/745839118.db2.gz ICHVGBHRPULIQR-IAQYHMDHSA-N 1 2 323.393 1.439 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)[C@@]3(F)CCOC3)C2)s1 ZINC001015611288 745839129 /nfs/dbraw/zinc/83/91/29/745839129.db2.gz ICHVGBHRPULIQR-IAQYHMDHSA-N 1 2 323.393 1.439 20 30 DDEDLO CC(C)(C)[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)o1 ZINC001192691601 745863850 /nfs/dbraw/zinc/86/38/50/745863850.db2.gz UKHPNSTUYVKBRN-AWEZNQCLSA-N 1 2 305.378 1.628 20 30 DDEDLO COc1ccc(C#N)c(S(=O)(=O)N2C[C@@H](C)[N@@H+](C)[C@@H](C)C2)c1 ZINC001193667331 746171218 /nfs/dbraw/zinc/17/12/18/746171218.db2.gz FZKIBMMFLZHCOC-TXEJJXNPSA-N 1 2 323.418 1.280 20 30 DDEDLO COc1ccc(C#N)c(S(=O)(=O)N2C[C@@H](C)[N@H+](C)[C@@H](C)C2)c1 ZINC001193667331 746171222 /nfs/dbraw/zinc/17/12/22/746171222.db2.gz FZKIBMMFLZHCOC-TXEJJXNPSA-N 1 2 323.418 1.280 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@@H]1CCCN(CC#N)[C@@H]1C ZINC000993486429 746188749 /nfs/dbraw/zinc/18/87/49/746188749.db2.gz FUAGCHVWTBAJHD-TZMCWYRMSA-N 1 2 302.378 1.125 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001194407609 746369927 /nfs/dbraw/zinc/36/99/27/746369927.db2.gz MIGXYSUSWMKPCG-ZFWWWQNUSA-N 1 2 321.421 1.915 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001194407609 746369933 /nfs/dbraw/zinc/36/99/33/746369933.db2.gz MIGXYSUSWMKPCG-ZFWWWQNUSA-N 1 2 321.421 1.915 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cncc(OC)n2)[C@@H]1C ZINC000993936614 746383146 /nfs/dbraw/zinc/38/31/46/746383146.db2.gz GHVGCOOMDYPLQD-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cncc(OC)n2)[C@@H]1C ZINC000993936614 746383151 /nfs/dbraw/zinc/38/31/51/746383151.db2.gz GHVGCOOMDYPLQD-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO COC[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC001195241059 746553568 /nfs/dbraw/zinc/55/35/68/746553568.db2.gz ZMAWLXBJFWOFLG-OAHLLOKOSA-N 1 2 315.417 1.875 20 30 DDEDLO COC[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC001195241059 746553569 /nfs/dbraw/zinc/55/35/69/746553569.db2.gz ZMAWLXBJFWOFLG-OAHLLOKOSA-N 1 2 315.417 1.875 20 30 DDEDLO CC(C)CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195321243 746564005 /nfs/dbraw/zinc/56/40/05/746564005.db2.gz GHZKFXFWSIXRDD-CABCVRRESA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195321243 746564006 /nfs/dbraw/zinc/56/40/06/746564006.db2.gz GHZKFXFWSIXRDD-CABCVRRESA-N 1 2 322.453 1.231 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC(=O)NC)C2 ZINC001110469727 746595040 /nfs/dbraw/zinc/59/50/40/746595040.db2.gz PINNNNRVYWKFGF-UPJWGTAASA-N 1 2 313.829 1.377 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC(=O)NC)C2 ZINC001110469727 746595043 /nfs/dbraw/zinc/59/50/43/746595043.db2.gz PINNNNRVYWKFGF-UPJWGTAASA-N 1 2 313.829 1.377 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C(F)=C(C)C)CC1 ZINC001195472300 746617859 /nfs/dbraw/zinc/61/78/59/746617859.db2.gz BCRBFTDXWIVPMR-CYBMUJFWSA-N 1 2 311.401 1.475 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C(F)=C(C)C)CC1 ZINC001195472300 746617863 /nfs/dbraw/zinc/61/78/63/746617863.db2.gz BCRBFTDXWIVPMR-CYBMUJFWSA-N 1 2 311.401 1.475 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@H]1O ZINC001195567543 746655526 /nfs/dbraw/zinc/65/55/26/746655526.db2.gz PFSDSRKBQPMMSG-FVQBIDKESA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@H]1O ZINC001195567543 746655527 /nfs/dbraw/zinc/65/55/27/746655527.db2.gz PFSDSRKBQPMMSG-FVQBIDKESA-N 1 2 318.421 1.049 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(C(N)=O)cs2)[C@@H]1C ZINC000994471840 746678457 /nfs/dbraw/zinc/67/84/57/746678457.db2.gz BMIDHMGGRVQBTK-CMPLNLGQSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(C(N)=O)cs2)[C@@H]1C ZINC000994471840 746678458 /nfs/dbraw/zinc/67/84/58/746678458.db2.gz BMIDHMGGRVQBTK-CMPLNLGQSA-N 1 2 305.403 1.063 20 30 DDEDLO Cc1cc[nH+]c(N2CCN(C(=O)c3ccc(C#N)cc3O)CC2)c1 ZINC001195742016 746697335 /nfs/dbraw/zinc/69/73/35/746697335.db2.gz ULRGMKDCUZZDKS-UHFFFAOYSA-N 1 2 322.368 1.930 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1C ZINC001121530879 782553147 /nfs/dbraw/zinc/55/31/47/782553147.db2.gz KMZFWYIEYAJUOR-KGLIPLIRSA-N 1 2 303.410 1.200 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2C[N@H+]3CCCC[C@@H]3CO2)n1C ZINC001121530879 782553159 /nfs/dbraw/zinc/55/31/59/782553159.db2.gz KMZFWYIEYAJUOR-KGLIPLIRSA-N 1 2 303.410 1.200 20 30 DDEDLO CC1(C)C[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CCN(CC#N)C1 ZINC001089410113 746824043 /nfs/dbraw/zinc/82/40/43/746824043.db2.gz NMRTWRJTYFFZIF-MGPQQGTHSA-N 1 2 315.421 1.644 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccn[nH]3)C2)s1 ZINC001031557772 747029158 /nfs/dbraw/zinc/02/91/58/747029158.db2.gz GMPODSPLTNLVMW-UHFFFAOYSA-N 1 2 301.375 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001007459419 752151375 /nfs/dbraw/zinc/15/13/75/752151375.db2.gz IGILWRYCKHXCKU-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001007459419 752151382 /nfs/dbraw/zinc/15/13/82/752151382.db2.gz IGILWRYCKHXCKU-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(C(C)C)no2)CC1 ZINC001197332275 747139656 /nfs/dbraw/zinc/13/96/56/747139656.db2.gz SYYYUZVDSZSBFW-UHFFFAOYSA-N 1 2 319.405 1.596 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(C(C)C)no2)CC1 ZINC001197332275 747139659 /nfs/dbraw/zinc/13/96/59/747139659.db2.gz SYYYUZVDSZSBFW-UHFFFAOYSA-N 1 2 319.405 1.596 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)[C@@H]2CCCCO2)C1 ZINC001031618041 747204033 /nfs/dbraw/zinc/20/40/33/747204033.db2.gz XTACAMUNDLZHNH-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC)Cc2ccccc2C)C1 ZINC001197792838 747266083 /nfs/dbraw/zinc/26/60/83/747266083.db2.gz RHZCQXWFSGWPQX-KBAYOESNSA-N 1 2 314.429 1.358 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC)Cc2ccccc2C)C1 ZINC001197792838 747266090 /nfs/dbraw/zinc/26/60/90/747266090.db2.gz RHZCQXWFSGWPQX-KBAYOESNSA-N 1 2 314.429 1.358 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(OC)c2OC)C1 ZINC001044226999 747363934 /nfs/dbraw/zinc/36/39/34/747363934.db2.gz MZIZPKMZHIHCFI-UHFFFAOYSA-N 1 2 302.374 1.483 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)CSCC#N)[C@@H](C)C2)cc[nH+]1 ZINC001110502286 747371685 /nfs/dbraw/zinc/37/16/85/747371685.db2.gz ODBVIBKDXJXGEJ-WCQYABFASA-N 1 2 319.434 1.833 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001031682422 747373242 /nfs/dbraw/zinc/37/32/42/747373242.db2.gz KBVWGHYEESKLRH-LSDHHAIUSA-N 1 2 305.809 1.986 20 30 DDEDLO C=CCCC(=O)N(C)[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001078876512 747422378 /nfs/dbraw/zinc/42/23/78/747422378.db2.gz YCSWFWUHEWEDNL-HNNXBMFYSA-N 1 2 318.421 1.689 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(-c3ccc(=O)[nH]n3)cc2)CC1 ZINC001198335483 747455467 /nfs/dbraw/zinc/45/54/67/747455467.db2.gz HNBMDMMPTAULRV-UHFFFAOYSA-N 1 2 324.384 1.793 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cncc(F)c2)CC1 ZINC001198342769 747458804 /nfs/dbraw/zinc/45/88/04/747458804.db2.gz AHYJKDHJQIHKCN-AWEZNQCLSA-N 1 2 321.396 1.846 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cncc(F)c2)CC1 ZINC001198342769 747458808 /nfs/dbraw/zinc/45/88/08/747458808.db2.gz AHYJKDHJQIHKCN-AWEZNQCLSA-N 1 2 321.396 1.846 20 30 DDEDLO C#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1snnc1CC ZINC001089666742 747617574 /nfs/dbraw/zinc/61/75/74/747617574.db2.gz VMBYEUGXYAJASR-GFCCVEGCSA-N 1 2 320.462 1.954 20 30 DDEDLO C#CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1snnc1CC ZINC001089666742 747617577 /nfs/dbraw/zinc/61/75/77/747617577.db2.gz VMBYEUGXYAJASR-GFCCVEGCSA-N 1 2 320.462 1.954 20 30 DDEDLO C=C(C)C[NH2+][C@@H](CNC(=O)c1ncn[nH]1)c1ccccc1OC ZINC001198940724 747654977 /nfs/dbraw/zinc/65/49/77/747654977.db2.gz SGWOIOTZNMZDDL-ZDUSSCGKSA-N 1 2 315.377 1.450 20 30 DDEDLO C=C(C)C[NH2+][C@@H](CNC(=O)c1nc[nH]n1)c1ccccc1OC ZINC001198940724 747654981 /nfs/dbraw/zinc/65/49/81/747654981.db2.gz SGWOIOTZNMZDDL-ZDUSSCGKSA-N 1 2 315.377 1.450 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCCn3nccc32)C1 ZINC001031781968 747827944 /nfs/dbraw/zinc/82/79/44/747827944.db2.gz SIQSUVNHZHMVRS-ZDUSSCGKSA-N 1 2 308.813 1.561 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001031838826 747987215 /nfs/dbraw/zinc/98/72/15/747987215.db2.gz QTAMEIJLPGLULH-LSDHHAIUSA-N 1 2 312.841 1.850 20 30 DDEDLO C#CCC[NH+]1CC(CNC(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC001031850876 748021699 /nfs/dbraw/zinc/02/16/99/748021699.db2.gz BLXGBYYJMLBGIA-UHFFFAOYSA-N 1 2 314.311 1.422 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@@H](C[NH2+][C@H](C)c2nnc(C)o2)C1 ZINC001200101168 748123125 /nfs/dbraw/zinc/12/31/25/748123125.db2.gz RXPIPZBYWBWKSY-OCCSQVGLSA-N 1 2 322.409 1.612 20 30 DDEDLO Cc1c[nH]nc1C(=O)N(C)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033079113 748212211 /nfs/dbraw/zinc/21/22/11/748212211.db2.gz MRKOXEAUEVHCIC-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1c[nH]nc1C(=O)N(C)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033079113 748212218 /nfs/dbraw/zinc/21/22/18/748212218.db2.gz MRKOXEAUEVHCIC-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO C=C(C)CCC(=O)N1CCCO[C@@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001200627306 748294931 /nfs/dbraw/zinc/29/49/31/748294931.db2.gz IKSKMGBGQXPTQI-AWEZNQCLSA-N 1 2 322.409 1.441 20 30 DDEDLO C=CC[NH+]1CCN(C(=S)NCc2ccc3c(c2)OCO3)CC1 ZINC001200725244 748330277 /nfs/dbraw/zinc/33/02/77/748330277.db2.gz FIHIFHROTGSGFA-UHFFFAOYSA-N 1 2 319.430 1.593 20 30 DDEDLO Cc1nnsc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004335458 748335665 /nfs/dbraw/zinc/33/56/65/748335665.db2.gz CDXHAJQJTLKKPM-ZDUSSCGKSA-N 1 2 319.434 1.687 20 30 DDEDLO Cc1nnsc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004335458 748335677 /nfs/dbraw/zinc/33/56/77/748335677.db2.gz CDXHAJQJTLKKPM-ZDUSSCGKSA-N 1 2 319.434 1.687 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cnc(-c3ccoc3)s2)[C@@H](O)C1 ZINC001083839310 748461993 /nfs/dbraw/zinc/46/19/93/748461993.db2.gz RBCYKHWRBFMTBU-NEPJUHHUSA-N 1 2 319.386 1.364 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cnc(-c3ccoc3)s2)[C@@H](O)C1 ZINC001083839310 748461997 /nfs/dbraw/zinc/46/19/97/748461997.db2.gz RBCYKHWRBFMTBU-NEPJUHHUSA-N 1 2 319.386 1.364 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(CCCC)CC2)[C@@H](n2ccnn2)C1 ZINC001129233112 752273660 /nfs/dbraw/zinc/27/36/60/752273660.db2.gz CJCMAATWNQQJMA-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(CCCC)CC2)[C@@H](n2ccnn2)C1 ZINC001129233112 752273662 /nfs/dbraw/zinc/27/36/62/752273662.db2.gz CJCMAATWNQQJMA-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO C=CCOCC(=O)NCC[NH2+]Cc1nc(-c2ccccc2)no1 ZINC001124767856 748475952 /nfs/dbraw/zinc/47/59/52/748475952.db2.gz MDRWUAQWVXPTDH-UHFFFAOYSA-N 1 2 316.361 1.145 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001032057837 748522940 /nfs/dbraw/zinc/52/29/40/748522940.db2.gz XUIAROICQYKYJY-UHFFFAOYSA-N 1 2 322.412 1.740 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(C)C(=O)c2nc[nH]c2C(F)(F)F)C1 ZINC001033150862 748615907 /nfs/dbraw/zinc/61/59/07/748615907.db2.gz AGAXGIQQIUKENG-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(C)C(=O)c2nc[nH]c2C(F)(F)F)C1 ZINC001033150862 748615910 /nfs/dbraw/zinc/61/59/10/748615910.db2.gz AGAXGIQQIUKENG-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(C)C(=O)c2snnc2C2CC2)C1 ZINC001033164295 748692212 /nfs/dbraw/zinc/69/22/12/748692212.db2.gz CRDFIZIAIFGSDX-LBPRGKRZSA-N 1 2 304.419 1.585 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(C)C(=O)c2snnc2C2CC2)C1 ZINC001033164295 748692217 /nfs/dbraw/zinc/69/22/17/748692217.db2.gz CRDFIZIAIFGSDX-LBPRGKRZSA-N 1 2 304.419 1.585 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)c(C)cn2)C1 ZINC001108089743 748775873 /nfs/dbraw/zinc/77/58/73/748775873.db2.gz LIDHJKHMNVIXHY-QGZVFWFLSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)c(C)cn2)C1 ZINC001108089743 748775874 /nfs/dbraw/zinc/77/58/74/748775874.db2.gz LIDHJKHMNVIXHY-QGZVFWFLSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC ZINC001110591962 748804638 /nfs/dbraw/zinc/80/46/38/748804638.db2.gz IITNQUMUKDNPDO-KFWWJZLASA-N 1 2 305.422 1.222 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC ZINC001110591962 748804649 /nfs/dbraw/zinc/80/46/49/748804649.db2.gz IITNQUMUKDNPDO-KFWWJZLASA-N 1 2 305.422 1.222 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnoc2C2CC2)C1 ZINC001108317580 761889765 /nfs/dbraw/zinc/88/97/65/761889765.db2.gz BTNIUXHZAKYVCN-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnoc2C2CC2)C1 ZINC001108317580 761889769 /nfs/dbraw/zinc/88/97/69/761889769.db2.gz BTNIUXHZAKYVCN-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO Cc1nc(N(C)CCOCCNC(=O)C#CC(C)(C)C)cc[nH+]1 ZINC001115205760 748933333 /nfs/dbraw/zinc/93/33/33/748933333.db2.gz ZNPZOTLQCQSOOL-UHFFFAOYSA-N 1 2 318.421 1.404 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2n[nH]c(C3CC3)c2Cl)C1 ZINC001033232203 749005516 /nfs/dbraw/zinc/00/55/16/749005516.db2.gz SYNTVTPIGNGZAR-LLVKDONJSA-N 1 2 306.797 1.720 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2n[nH]c(C3CC3)c2Cl)C1 ZINC001033232203 749005517 /nfs/dbraw/zinc/00/55/17/749005517.db2.gz SYNTVTPIGNGZAR-LLVKDONJSA-N 1 2 306.797 1.720 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cnccn2)c1 ZINC001032257637 749119595 /nfs/dbraw/zinc/11/95/95/749119595.db2.gz HCBFAALURIPDFQ-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cnccn2)c1 ZINC001032257637 749119598 /nfs/dbraw/zinc/11/95/98/749119598.db2.gz HCBFAALURIPDFQ-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn(CC3CC3)c2)C1 ZINC001108328771 761921933 /nfs/dbraw/zinc/92/19/33/761921933.db2.gz KAXGUOQRAQFGNO-QGZVFWFLSA-N 1 2 318.421 1.300 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn(CC3CC3)c2)C1 ZINC001108328771 761921938 /nfs/dbraw/zinc/92/19/38/761921938.db2.gz KAXGUOQRAQFGNO-QGZVFWFLSA-N 1 2 318.421 1.300 20 30 DDEDLO Cc1cnoc1C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001015937307 749346507 /nfs/dbraw/zinc/34/65/07/749346507.db2.gz BUMKNSFHIKGLSM-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1cnoc1C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001015937307 749346513 /nfs/dbraw/zinc/34/65/13/749346513.db2.gz BUMKNSFHIKGLSM-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)Oc2ccccn2)C1 ZINC001108346417 761948418 /nfs/dbraw/zinc/94/84/18/761948418.db2.gz JXFWZJWKALSXEU-YOEHRIQHSA-N 1 2 319.405 1.242 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)Oc2ccccn2)C1 ZINC001108346417 761948422 /nfs/dbraw/zinc/94/84/22/761948422.db2.gz JXFWZJWKALSXEU-YOEHRIQHSA-N 1 2 319.405 1.242 20 30 DDEDLO C=CCNC(=O)N1CCC2(CC1)c1[nH+]cc(C)n1CCN2C ZINC001202565615 749430503 /nfs/dbraw/zinc/43/05/03/749430503.db2.gz STBFPENDSCXKFR-UHFFFAOYSA-N 1 2 303.410 1.324 20 30 DDEDLO C=CCNC(=O)NCCSCc1csc(C[NH+](C)C)n1 ZINC001202573155 749437961 /nfs/dbraw/zinc/43/79/61/749437961.db2.gz AAABPVNFQGEMMV-UHFFFAOYSA-N 1 2 314.480 1.923 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC[C@H]2CC(C)(C)CO2)C1 ZINC001108366372 761985819 /nfs/dbraw/zinc/98/58/19/761985819.db2.gz TXONKHUAIYVXNU-YJBOKZPZSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CC[C@H]2CC(C)(C)CO2)C1 ZINC001108366372 761985821 /nfs/dbraw/zinc/98/58/21/761985821.db2.gz TXONKHUAIYVXNU-YJBOKZPZSA-N 1 2 324.465 1.975 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc([C@@H](C)OC)s1)C2 ZINC001095417633 749879223 /nfs/dbraw/zinc/87/92/23/749879223.db2.gz XEPQRKLRBUTTNZ-NDBYEHHHSA-N 1 2 319.430 1.819 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc([C@@H](C)OC)s1)C2 ZINC001095417633 749879226 /nfs/dbraw/zinc/87/92/26/749879226.db2.gz XEPQRKLRBUTTNZ-NDBYEHHHSA-N 1 2 319.430 1.819 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cncn1C ZINC001039401417 761999643 /nfs/dbraw/zinc/99/96/43/761999643.db2.gz BFUVCPGSAIARST-OLZOCXBDSA-N 1 2 308.813 1.852 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cncn1C ZINC001039401417 761999647 /nfs/dbraw/zinc/99/96/47/761999647.db2.gz BFUVCPGSAIARST-OLZOCXBDSA-N 1 2 308.813 1.852 20 30 DDEDLO C[C@H](CC(=O)NC[C@]12CCC[C@H]1N(CC#N)CC2)n1cc[nH+]c1 ZINC001107561514 750139758 /nfs/dbraw/zinc/13/97/58/750139758.db2.gz OBOJBCMNYSXRAQ-BFYDXBDKSA-N 1 2 315.421 1.719 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2ccccn2)C1 ZINC001108113777 750366079 /nfs/dbraw/zinc/36/60/79/750366079.db2.gz VADUSXCQSKOYDI-QGZVFWFLSA-N 1 2 303.406 1.407 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2ccccn2)C1 ZINC001108113777 750366088 /nfs/dbraw/zinc/36/60/88/750366088.db2.gz VADUSXCQSKOYDI-QGZVFWFLSA-N 1 2 303.406 1.407 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)COc2cccc(F)c2)C1 ZINC001108392998 762032925 /nfs/dbraw/zinc/03/29/25/762032925.db2.gz FXALWJDCTOMMST-QGZVFWFLSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)COc2cccc(F)c2)C1 ZINC001108392998 762032933 /nfs/dbraw/zinc/03/29/33/762032933.db2.gz FXALWJDCTOMMST-QGZVFWFLSA-N 1 2 322.380 1.598 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)/C=C\c2ccco2)C1 ZINC001107697922 750387360 /nfs/dbraw/zinc/38/73/60/750387360.db2.gz FHMYYTZPUILPAT-ZPUOCTKUSA-N 1 2 302.374 1.523 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)/C=C\c2ccco2)C1 ZINC001107697922 750387367 /nfs/dbraw/zinc/38/73/67/750387367.db2.gz FHMYYTZPUILPAT-ZPUOCTKUSA-N 1 2 302.374 1.523 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC[C@H]2CCOC2)C1 ZINC001107859322 750463682 /nfs/dbraw/zinc/46/36/82/750463682.db2.gz TWEQSMIFVMEAHK-RDJZCZTQSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC[C@H]2CCOC2)C1 ZINC001107859322 750463687 /nfs/dbraw/zinc/46/36/87/750463687.db2.gz TWEQSMIFVMEAHK-RDJZCZTQSA-N 1 2 308.422 1.034 20 30 DDEDLO C=C(C)C[N@@H+]1CCCC[C@H](NC(=O)[C@H]2CCCS2(=O)=O)C1 ZINC001034534413 750530348 /nfs/dbraw/zinc/53/03/48/750530348.db2.gz JQEVMGLDHDGPGL-UONOGXRCSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CCCC[C@H](NC(=O)[C@H]2CCCS2(=O)=O)C1 ZINC001034534413 750530352 /nfs/dbraw/zinc/53/03/52/750530352.db2.gz JQEVMGLDHDGPGL-UONOGXRCSA-N 1 2 314.451 1.110 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(CC(C)C)n1 ZINC001032402868 750585354 /nfs/dbraw/zinc/58/53/54/750585354.db2.gz MHAJGRGAMJPRHC-KBPBESRZSA-N 1 2 300.406 1.530 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(CC(C)C)n1 ZINC001032402868 750585357 /nfs/dbraw/zinc/58/53/57/750585357.db2.gz MHAJGRGAMJPRHC-KBPBESRZSA-N 1 2 300.406 1.530 20 30 DDEDLO CC(C)COCC[N@@H+]1CCO[C@](C)(CNC(=O)C#CC2CC2)C1 ZINC001107932321 750665646 /nfs/dbraw/zinc/66/56/46/750665646.db2.gz JYSZLHDIHFLOHU-GOSISDBHSA-N 1 2 322.449 1.280 20 30 DDEDLO CC(C)COCC[N@H+]1CCO[C@](C)(CNC(=O)C#CC2CC2)C1 ZINC001107932321 750665649 /nfs/dbraw/zinc/66/56/49/750665649.db2.gz JYSZLHDIHFLOHU-GOSISDBHSA-N 1 2 322.449 1.280 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@]23C[C@H]2COC3)c1 ZINC001032414162 750671492 /nfs/dbraw/zinc/67/14/92/750671492.db2.gz MGNMZJAHIUDXCL-DWRORGKVSA-N 1 2 323.396 1.380 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@]23C[C@H]2COC3)c1 ZINC001032414162 750671493 /nfs/dbraw/zinc/67/14/93/750671493.db2.gz MGNMZJAHIUDXCL-DWRORGKVSA-N 1 2 323.396 1.380 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001114528586 750702473 /nfs/dbraw/zinc/70/24/73/750702473.db2.gz YPNFHKIWLFRYFV-PHZGNYQRSA-N 1 2 312.417 1.777 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)cs2)[C@@H](O)C1 ZINC001090249818 750764547 /nfs/dbraw/zinc/76/45/47/750764547.db2.gz SDWTVEXMOCRHGT-NEPJUHHUSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)cs2)[C@@H](O)C1 ZINC001090249818 750764550 /nfs/dbraw/zinc/76/45/50/750764550.db2.gz SDWTVEXMOCRHGT-NEPJUHHUSA-N 1 2 314.838 1.974 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(C(F)F)CC2)C1 ZINC001107944378 750784131 /nfs/dbraw/zinc/78/41/31/750784131.db2.gz SQLDXIIMEDONJE-AWEZNQCLSA-N 1 2 300.349 1.262 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(C(F)F)CC2)C1 ZINC001107944378 750784133 /nfs/dbraw/zinc/78/41/33/750784133.db2.gz SQLDXIIMEDONJE-AWEZNQCLSA-N 1 2 300.349 1.262 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc[nH]c2C(C)C)C1 ZINC001108154228 750848049 /nfs/dbraw/zinc/84/80/49/750848049.db2.gz YFPYNPORKNFSKM-GOSISDBHSA-N 1 2 317.433 1.982 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc[nH]c2C(C)C)C1 ZINC001108154228 750848060 /nfs/dbraw/zinc/84/80/60/750848060.db2.gz YFPYNPORKNFSKM-GOSISDBHSA-N 1 2 317.433 1.982 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@H]2CCCN2C(=O)[C@@H](C)C#N)o1 ZINC001034885524 750982130 /nfs/dbraw/zinc/98/21/30/750982130.db2.gz VODSWXQVTPJLOR-NWDGAFQWSA-N 1 2 305.382 1.433 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C)c1CCC ZINC001032497231 751078745 /nfs/dbraw/zinc/07/87/45/751078745.db2.gz FBNNCDLSHHPIOP-KBPBESRZSA-N 1 2 300.406 1.295 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C)c1CCC ZINC001032497231 751078751 /nfs/dbraw/zinc/07/87/51/751078751.db2.gz FBNNCDLSHHPIOP-KBPBESRZSA-N 1 2 300.406 1.295 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114792984 751084704 /nfs/dbraw/zinc/08/47/04/751084704.db2.gz YGTSTNUUHQESRY-UKTARXLSSA-N 1 2 306.435 1.687 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114792984 751084714 /nfs/dbraw/zinc/08/47/14/751084714.db2.gz YGTSTNUUHQESRY-UKTARXLSSA-N 1 2 306.435 1.687 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(COC)no1 ZINC001035014867 751123680 /nfs/dbraw/zinc/12/36/80/751123680.db2.gz TXZHUPIQAVRHIM-GFCCVEGCSA-N 1 2 322.409 1.509 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCOc2c(F)cccc21 ZINC001032511777 751139178 /nfs/dbraw/zinc/13/91/78/751139178.db2.gz BWURAXHXNUCOOF-KCQAQPDRSA-N 1 2 314.360 1.610 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCOc2c(F)cccc21 ZINC001032511777 751139180 /nfs/dbraw/zinc/13/91/80/751139180.db2.gz BWURAXHXNUCOOF-KCQAQPDRSA-N 1 2 314.360 1.610 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1O[C@@H](C)C2 ZINC001032525478 751192165 /nfs/dbraw/zinc/19/21/65/751192165.db2.gz SFRAOUPNRAMTLS-BPUTZDHNSA-N 1 2 310.397 1.932 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1O[C@@H](C)C2 ZINC001032525478 751192168 /nfs/dbraw/zinc/19/21/68/751192168.db2.gz SFRAOUPNRAMTLS-BPUTZDHNSA-N 1 2 310.397 1.932 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)Cn2ccc(C)n2)C1 ZINC001107969115 751199998 /nfs/dbraw/zinc/19/99/98/751199998.db2.gz LNFQTOYOMNTWAJ-PBHICJAKSA-N 1 2 320.437 1.221 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)Cn2ccc(C)n2)C1 ZINC001107969115 751200001 /nfs/dbraw/zinc/20/00/01/751200001.db2.gz LNFQTOYOMNTWAJ-PBHICJAKSA-N 1 2 320.437 1.221 20 30 DDEDLO CCN(CCCNC(=O)Cc1[nH]cc[nH+]1)c1ncccc1C#N ZINC001095906340 751229521 /nfs/dbraw/zinc/22/95/21/751229521.db2.gz MZKCBZSTGUWFAE-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)C(CC)CC)[C@@H](n2ccnn2)C1 ZINC001129084721 751263396 /nfs/dbraw/zinc/26/33/96/751263396.db2.gz VHDZNEFPRCHTSI-IMJJTQAJSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)C(CC)CC)[C@@H](n2ccnn2)C1 ZINC001129084721 751263399 /nfs/dbraw/zinc/26/33/99/751263399.db2.gz VHDZNEFPRCHTSI-IMJJTQAJSA-N 1 2 317.437 1.325 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1[C@@H]1CCCO1 ZINC001032559754 751328180 /nfs/dbraw/zinc/32/81/80/751328180.db2.gz DNTXVQFDQQIONE-AVGNSLFASA-N 1 2 303.362 1.611 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1[C@@H]1CCCO1 ZINC001032559754 751328188 /nfs/dbraw/zinc/32/81/88/751328188.db2.gz DNTXVQFDQQIONE-AVGNSLFASA-N 1 2 303.362 1.611 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(N2CC=CC2)c1 ZINC001032654374 752608994 /nfs/dbraw/zinc/60/89/94/752608994.db2.gz XAZLCJLIJKOVCO-ROUUACIJSA-N 1 2 307.397 1.595 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(N2CC=CC2)c1 ZINC001032654374 752608996 /nfs/dbraw/zinc/60/89/96/752608996.db2.gz XAZLCJLIJKOVCO-ROUUACIJSA-N 1 2 307.397 1.595 20 30 DDEDLO Cc1c[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccc(C#N)cc2)n1 ZINC001032673319 752683887 /nfs/dbraw/zinc/68/38/87/752683887.db2.gz KPEYFJXPDSSUGO-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1c[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccc(C#N)cc2)n1 ZINC001032673319 752683891 /nfs/dbraw/zinc/68/38/91/752683891.db2.gz KPEYFJXPDSSUGO-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2ccccc2O1 ZINC001032688964 752705952 /nfs/dbraw/zinc/70/59/52/752705952.db2.gz MGIIAMFCTIAFNR-XYJFISCASA-N 1 2 310.397 1.689 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2ccccc2O1 ZINC001032688964 752705957 /nfs/dbraw/zinc/70/59/57/752705957.db2.gz MGIIAMFCTIAFNR-XYJFISCASA-N 1 2 310.397 1.689 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)[C@H](C)C(C)C)CC2 ZINC001128053634 752709870 /nfs/dbraw/zinc/70/98/70/752709870.db2.gz BVKIUDUEYGGBBY-KGLIPLIRSA-N 1 2 317.437 1.114 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cccc2)nc1 ZINC001032688481 752729103 /nfs/dbraw/zinc/72/91/03/752729103.db2.gz AMAUPLDWBNXDGD-IRXDYDNUSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cccc2)nc1 ZINC001032688481 752729107 /nfs/dbraw/zinc/72/91/07/752729107.db2.gz AMAUPLDWBNXDGD-IRXDYDNUSA-N 1 2 320.396 1.794 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001008493852 752764807 /nfs/dbraw/zinc/76/48/07/752764807.db2.gz PTHJMCJEYCDDNI-OLZOCXBDSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001008493852 752764813 /nfs/dbraw/zinc/76/48/13/752764813.db2.gz PTHJMCJEYCDDNI-OLZOCXBDSA-N 1 2 313.829 1.378 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001062109162 752770815 /nfs/dbraw/zinc/77/08/15/752770815.db2.gz KGRJBTGWPQIXMI-CYBMUJFWSA-N 1 2 324.388 1.583 20 30 DDEDLO CN(C[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1)c1ccc(C#N)cn1 ZINC001062138435 752784590 /nfs/dbraw/zinc/78/45/90/752784590.db2.gz GDZYRYNQNSJSBA-CQSZACIVSA-N 1 2 324.388 1.204 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001032709814 752802623 /nfs/dbraw/zinc/80/26/23/752802623.db2.gz LOBRIXISVYKXPI-IHRRRGAJSA-N 1 2 312.417 1.457 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001032709814 752802629 /nfs/dbraw/zinc/80/26/29/752802629.db2.gz LOBRIXISVYKXPI-IHRRRGAJSA-N 1 2 312.417 1.457 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cc(F)ccc2F)C1 ZINC001108165427 753051273 /nfs/dbraw/zinc/05/12/73/753051273.db2.gz FWEWHSDSMNRQFB-KRWDZBQOSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cc(F)ccc2F)C1 ZINC001108165427 753051278 /nfs/dbraw/zinc/05/12/78/753051278.db2.gz FWEWHSDSMNRQFB-KRWDZBQOSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2c(F)cccc2F)C1 ZINC001108165587 753158554 /nfs/dbraw/zinc/15/85/54/753158554.db2.gz KDNFSMIXEWQGTN-QGZVFWFLSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2c(F)cccc2F)C1 ZINC001108165587 753158558 /nfs/dbraw/zinc/15/85/58/753158558.db2.gz KDNFSMIXEWQGTN-QGZVFWFLSA-N 1 2 324.371 1.900 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2csc(C(C)C)n2)C1 ZINC001077846535 753289549 /nfs/dbraw/zinc/28/95/49/753289549.db2.gz UGFKNIQMGKOVEY-DGCLKSJQSA-N 1 2 307.419 1.065 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2csc(C(C)C)n2)C1 ZINC001077846535 753289555 /nfs/dbraw/zinc/28/95/55/753289555.db2.gz UGFKNIQMGKOVEY-DGCLKSJQSA-N 1 2 307.419 1.065 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)CN1c1ncccc1C#N ZINC001039758670 762204855 /nfs/dbraw/zinc/20/48/55/762204855.db2.gz YRFXKUYYURAYCI-UKRRQHHQSA-N 1 2 324.388 1.323 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001107992525 753353482 /nfs/dbraw/zinc/35/34/82/753353482.db2.gz XTSYJHCUWVAPHF-CHWSQXEVSA-N 1 2 324.388 1.329 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3sccc3[nH]2)C1 ZINC001077943386 753472169 /nfs/dbraw/zinc/47/21/69/753472169.db2.gz CQHNVUMQYZUZOF-CHWSQXEVSA-N 1 2 303.387 1.028 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3sccc3[nH]2)C1 ZINC001077943386 753472171 /nfs/dbraw/zinc/47/21/71/753472171.db2.gz CQHNVUMQYZUZOF-CHWSQXEVSA-N 1 2 303.387 1.028 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1COc2ccccc2O1 ZINC001032765904 753565146 /nfs/dbraw/zinc/56/51/46/753565146.db2.gz KNZRBMHJQBMBTI-GRDNDAEWSA-N 1 2 312.369 1.135 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1COc2ccccc2O1 ZINC001032765904 753565148 /nfs/dbraw/zinc/56/51/48/753565148.db2.gz KNZRBMHJQBMBTI-GRDNDAEWSA-N 1 2 312.369 1.135 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001078002480 753618761 /nfs/dbraw/zinc/61/87/61/753618761.db2.gz JUXVGTNYEDFXQJ-HUUCEWRRSA-N 1 2 320.820 1.755 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001078002480 753618763 /nfs/dbraw/zinc/61/87/63/753618763.db2.gz JUXVGTNYEDFXQJ-HUUCEWRRSA-N 1 2 320.820 1.755 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H](CC)C(N)=O ZINC001039820331 762230756 /nfs/dbraw/zinc/23/07/56/762230756.db2.gz DOXNBYVFANKSNG-MJBXVCDLSA-N 1 2 307.438 1.528 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H](CC)C(N)=O ZINC001039820331 762230758 /nfs/dbraw/zinc/23/07/58/762230758.db2.gz DOXNBYVFANKSNG-MJBXVCDLSA-N 1 2 307.438 1.528 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001078036257 753668270 /nfs/dbraw/zinc/66/82/70/753668270.db2.gz MZUYJYMCUYEXPU-LBPRGKRZSA-N 1 2 304.394 1.320 20 30 DDEDLO C#CCCCCC(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001062825857 753744550 /nfs/dbraw/zinc/74/45/50/753744550.db2.gz FCGPZUJKUUXISD-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2CCC(CCCC)CC2)C1 ZINC001078137221 753778312 /nfs/dbraw/zinc/77/83/12/753778312.db2.gz NXAKBQQKSNBXOC-BHUNQDJPSA-N 1 2 306.450 1.778 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2CCC(CCCC)CC2)C1 ZINC001078137221 753778317 /nfs/dbraw/zinc/77/83/17/753778317.db2.gz NXAKBQQKSNBXOC-BHUNQDJPSA-N 1 2 306.450 1.778 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cocn3)C2)cc1F ZINC001010373735 753829688 /nfs/dbraw/zinc/82/96/88/753829688.db2.gz PAUVBJGHODSLNG-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cocn3)C2)cc1F ZINC001010373735 753829696 /nfs/dbraw/zinc/82/96/96/753829696.db2.gz PAUVBJGHODSLNG-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1cscn1 ZINC001010376165 753832098 /nfs/dbraw/zinc/83/20/98/753832098.db2.gz PBDGCDSOACFCEI-HNNXBMFYSA-N 1 2 311.410 1.999 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cscn1 ZINC001010376165 753832106 /nfs/dbraw/zinc/83/21/06/753832106.db2.gz PBDGCDSOACFCEI-HNNXBMFYSA-N 1 2 311.410 1.999 20 30 DDEDLO CCN(C(=O)c1ccc(C#N)[nH]1)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001063101903 753975699 /nfs/dbraw/zinc/97/56/99/753975699.db2.gz AHZTUOYUXDQCHK-AWEZNQCLSA-N 1 2 324.388 1.726 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064209055 754564011 /nfs/dbraw/zinc/56/40/11/754564011.db2.gz OFNJUHYFEMBZAF-STQMWFEESA-N 1 2 318.421 1.566 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064792459 754858665 /nfs/dbraw/zinc/85/86/65/754858665.db2.gz OGNQABOBCHQGEU-STQMWFEESA-N 1 2 324.388 1.710 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001090321031 754886794 /nfs/dbraw/zinc/88/67/94/754886794.db2.gz SLUMMXXEKWUMAI-LSDHHAIUSA-N 1 2 316.405 1.415 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)[C@@H](O)C1 ZINC001083932054 755094215 /nfs/dbraw/zinc/09/42/15/755094215.db2.gz NLIOOPSHUDIYJC-AXFHLTTASA-N 1 2 312.822 1.300 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)[C@@H](O)C1 ZINC001083932054 755094218 /nfs/dbraw/zinc/09/42/18/755094218.db2.gz NLIOOPSHUDIYJC-AXFHLTTASA-N 1 2 312.822 1.300 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001040112445 762390171 /nfs/dbraw/zinc/39/01/71/762390171.db2.gz GGOWZKYEKLCOND-JSGCOSHPSA-N 1 2 324.388 1.710 20 30 DDEDLO Cc1nonc1C[N@H+]1CCC[C@@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC001040121536 762395751 /nfs/dbraw/zinc/39/57/51/762395751.db2.gz SBWHAFMPSDWRHN-MLGOLLRUSA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCC[C@@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC001040121536 762395753 /nfs/dbraw/zinc/39/57/53/762395753.db2.gz SBWHAFMPSDWRHN-MLGOLLRUSA-N 1 2 317.393 1.352 20 30 DDEDLO C=C(C)CCC(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080034045 755692954 /nfs/dbraw/zinc/69/29/54/755692954.db2.gz YNMRBRDMFHVVTO-UHFFFAOYSA-N 1 2 304.394 1.299 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)c3ccc(C#N)[nH]3)C[C@@H]2C)cc[nH+]1 ZINC001067080154 755763194 /nfs/dbraw/zinc/76/31/94/755763194.db2.gz QCDNMPDCXWKVIZ-HZMBPMFUSA-N 1 2 310.361 1.557 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cccnc2OC)C1 ZINC001080379709 755869139 /nfs/dbraw/zinc/86/91/39/755869139.db2.gz MGHNEBMZHOBVST-ZWNOBZJWSA-N 1 2 309.797 1.893 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cccnc2OC)C1 ZINC001080379709 755869142 /nfs/dbraw/zinc/86/91/42/755869142.db2.gz MGHNEBMZHOBVST-ZWNOBZJWSA-N 1 2 309.797 1.893 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cnon1 ZINC001080648459 756035591 /nfs/dbraw/zinc/03/55/91/756035591.db2.gz MKCQVKBLQOMIBP-IAQYHMDHSA-N 1 2 311.345 1.192 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cnon1 ZINC001080648459 756035596 /nfs/dbraw/zinc/03/55/96/756035596.db2.gz MKCQVKBLQOMIBP-IAQYHMDHSA-N 1 2 311.345 1.192 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001014984306 756054729 /nfs/dbraw/zinc/05/47/29/756054729.db2.gz QORHPLQYXGFTCR-CHWSQXEVSA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001014984306 756054735 /nfs/dbraw/zinc/05/47/35/756054735.db2.gz QORHPLQYXGFTCR-CHWSQXEVSA-N 1 2 308.813 1.389 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015460823 756318021 /nfs/dbraw/zinc/31/80/21/756318021.db2.gz WSFQCMBCVIZHET-MRXNPFEDSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015460823 756318025 /nfs/dbraw/zinc/31/80/25/756318025.db2.gz WSFQCMBCVIZHET-MRXNPFEDSA-N 1 2 308.385 1.574 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccccc2C(N)=O)C1 ZINC001015489836 756338076 /nfs/dbraw/zinc/33/80/76/756338076.db2.gz VRBGDIJVAKBHRZ-CYBMUJFWSA-N 1 2 321.808 1.271 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccccc2C(N)=O)C1 ZINC001015489836 756338080 /nfs/dbraw/zinc/33/80/80/756338080.db2.gz VRBGDIJVAKBHRZ-CYBMUJFWSA-N 1 2 321.808 1.271 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3cnn(C)c3C2)C1 ZINC001015613935 756413768 /nfs/dbraw/zinc/41/37/68/756413768.db2.gz MSRBRXSAFVFJLO-TZMCWYRMSA-N 1 2 322.840 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3cnn(C)c3C2)C1 ZINC001015613935 756413771 /nfs/dbraw/zinc/41/37/71/756413771.db2.gz MSRBRXSAFVFJLO-TZMCWYRMSA-N 1 2 322.840 1.468 20 30 DDEDLO Cc1cc(N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@H]2C)c(C#N)cn1 ZINC001040261171 762466040 /nfs/dbraw/zinc/46/60/40/762466040.db2.gz YGXRFEZLBWCVPP-WFASDCNBSA-N 1 2 324.388 1.051 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3cc(C)cn3c2)[C@H](OC)C1 ZINC001081778151 756456079 /nfs/dbraw/zinc/45/60/79/756456079.db2.gz JNQXECDXTCMCMR-IAGOWNOFSA-N 1 2 311.385 1.310 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3cc(C)cn3c2)[C@H](OC)C1 ZINC001081778151 756456082 /nfs/dbraw/zinc/45/60/82/756456082.db2.gz JNQXECDXTCMCMR-IAGOWNOFSA-N 1 2 311.385 1.310 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)cc(C)cc2F)[C@H](OC)C1 ZINC001081925982 756546387 /nfs/dbraw/zinc/54/63/87/756546387.db2.gz NJVAOQGFPCUFAQ-ZIAGYGMSSA-N 1 2 308.328 1.335 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(F)cc(C)cc2F)[C@H](OC)C1 ZINC001081925982 756546389 /nfs/dbraw/zinc/54/63/89/756546389.db2.gz NJVAOQGFPCUFAQ-ZIAGYGMSSA-N 1 2 308.328 1.335 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccnc2C(F)F)[C@H](OC)C1 ZINC001081928407 756548334 /nfs/dbraw/zinc/54/83/34/756548334.db2.gz SORQOHOLGHPJMF-CHWSQXEVSA-N 1 2 323.343 1.472 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccnc2C(F)F)[C@H](OC)C1 ZINC001081928407 756548340 /nfs/dbraw/zinc/54/83/40/756548340.db2.gz SORQOHOLGHPJMF-CHWSQXEVSA-N 1 2 323.343 1.472 20 30 DDEDLO CCn1cnc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)c1 ZINC001016004823 756714163 /nfs/dbraw/zinc/71/41/63/756714163.db2.gz HGKPGGKVNJQBKM-KRWDZBQOSA-N 1 2 322.412 1.759 20 30 DDEDLO CCn1cnc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)c1 ZINC001016004823 756714167 /nfs/dbraw/zinc/71/41/67/756714167.db2.gz HGKPGGKVNJQBKM-KRWDZBQOSA-N 1 2 322.412 1.759 20 30 DDEDLO Cn1ccc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001016253007 756871691 /nfs/dbraw/zinc/87/16/91/756871691.db2.gz KJBCAFKQMDNFNY-INIZCTEOSA-N 1 2 308.385 1.276 20 30 DDEDLO Cn1ccc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001016253007 756871696 /nfs/dbraw/zinc/87/16/96/756871696.db2.gz KJBCAFKQMDNFNY-INIZCTEOSA-N 1 2 308.385 1.276 20 30 DDEDLO CN(c1ncccc1C#N)[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001057059533 762503219 /nfs/dbraw/zinc/50/32/19/762503219.db2.gz UPCQUKUGTYRKGX-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(c1ncccc1C#N)[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001057059533 762503223 /nfs/dbraw/zinc/50/32/23/762503223.db2.gz UPCQUKUGTYRKGX-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001097180710 756953869 /nfs/dbraw/zinc/95/38/69/756953869.db2.gz ZDSQGVJHSRDLTL-BETUJISGSA-N 1 2 310.361 1.368 20 30 DDEDLO CC(C)=CC(=O)N1CCO[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001082988432 757029606 /nfs/dbraw/zinc/02/96/06/757029606.db2.gz ANIHJDDYCXVLMP-RBUKOAKNSA-N 1 2 324.424 1.916 20 30 DDEDLO CC(C)=CC(=O)N1CCO[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001082988432 757029616 /nfs/dbraw/zinc/02/96/16/757029616.db2.gz ANIHJDDYCXVLMP-RBUKOAKNSA-N 1 2 324.424 1.916 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCO[C@@H]3C[N@@H+](C/C=C\Cl)C[C@@H]32)[nH]1 ZINC001083054758 757107026 /nfs/dbraw/zinc/10/70/26/757107026.db2.gz XTGZBPUBAURYLO-ZPDGUQRVSA-N 1 2 320.780 1.164 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCO[C@@H]3C[N@H+](C/C=C\Cl)C[C@@H]32)[nH]1 ZINC001083054758 757107029 /nfs/dbraw/zinc/10/70/29/757107029.db2.gz XTGZBPUBAURYLO-ZPDGUQRVSA-N 1 2 320.780 1.164 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)sn3)[C@H]2C1 ZINC001083064054 757112924 /nfs/dbraw/zinc/11/29/24/757112924.db2.gz PJUQEHHGXQYXDF-UONOGXRCSA-N 1 2 305.403 1.000 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)sn3)[C@H]2C1 ZINC001083064054 757112925 /nfs/dbraw/zinc/11/29/25/757112925.db2.gz PJUQEHHGXQYXDF-UONOGXRCSA-N 1 2 305.403 1.000 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@@H]3CCC(C)(C)CO3)[C@H]2C1 ZINC001083148104 757156126 /nfs/dbraw/zinc/15/61/26/757156126.db2.gz UXECDCMCWSUAEF-HRCADAONSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@@H]3CCC(C)(C)CO3)[C@H]2C1 ZINC001083148104 757156130 /nfs/dbraw/zinc/15/61/30/757156130.db2.gz UXECDCMCWSUAEF-HRCADAONSA-N 1 2 320.433 1.127 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]c3C(C)C)[C@H]2C1 ZINC001083172150 757175161 /nfs/dbraw/zinc/17/51/61/757175161.db2.gz MHGIFIOTJZBUPP-JKSUJKDBSA-N 1 2 315.417 1.687 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]c3C(C)C)[C@H]2C1 ZINC001083172150 757175164 /nfs/dbraw/zinc/17/51/64/757175164.db2.gz MHGIFIOTJZBUPP-JKSUJKDBSA-N 1 2 315.417 1.687 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2)[C@@H](O)C1 ZINC001083984351 757206704 /nfs/dbraw/zinc/20/67/04/757206704.db2.gz MBWIDJQDRCLWOZ-OLZOCXBDSA-N 1 2 312.291 1.114 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2)[C@@H](O)C1 ZINC001083984351 757206708 /nfs/dbraw/zinc/20/67/08/757206708.db2.gz MBWIDJQDRCLWOZ-OLZOCXBDSA-N 1 2 312.291 1.114 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3ccccc3n2CC)[C@@H](O)C1 ZINC001084087585 757276804 /nfs/dbraw/zinc/27/68/04/757276804.db2.gz UQNZNHURHIKRIH-PBHICJAKSA-N 1 2 311.385 1.069 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3ccccc3n2CC)[C@@H](O)C1 ZINC001084087585 757276811 /nfs/dbraw/zinc/27/68/11/757276811.db2.gz UQNZNHURHIKRIH-PBHICJAKSA-N 1 2 311.385 1.069 20 30 DDEDLO O=C(C(F)F)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084141589 757311616 /nfs/dbraw/zinc/31/16/16/757311616.db2.gz QYVAPXCALWVRKW-HUUCEWRRSA-N 1 2 304.340 1.836 20 30 DDEDLO O=C(C(F)F)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084141589 757311627 /nfs/dbraw/zinc/31/16/27/757311627.db2.gz QYVAPXCALWVRKW-HUUCEWRRSA-N 1 2 304.340 1.836 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001084235464 757435639 /nfs/dbraw/zinc/43/56/39/757435639.db2.gz DAWIJCSXMRWIMV-RAIGVLPGSA-N 1 2 304.419 1.644 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001084235464 757435647 /nfs/dbraw/zinc/43/56/47/757435647.db2.gz DAWIJCSXMRWIMV-RAIGVLPGSA-N 1 2 304.419 1.644 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)/C=C(/C)C3CC3)CC2=O)C1 ZINC001108513100 762566605 /nfs/dbraw/zinc/56/66/05/762566605.db2.gz ALHJPAACXFYCFY-OEWWAVFSSA-N 1 2 317.433 1.320 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001052729376 757695277 /nfs/dbraw/zinc/69/52/77/757695277.db2.gz NOBOEDVPTJHQIN-CABCVRRESA-N 1 2 318.421 1.450 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C(N)=O)c[nH]3)[C@@H]2C1 ZINC001084742747 757849490 /nfs/dbraw/zinc/84/94/90/757849490.db2.gz BGIAZHWYROYRBR-ZWNOBZJWSA-N 1 2 322.796 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C(N)=O)c[nH]3)[C@@H]2C1 ZINC001084742747 757849498 /nfs/dbraw/zinc/84/94/98/757849498.db2.gz BGIAZHWYROYRBR-ZWNOBZJWSA-N 1 2 322.796 1.012 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Oc1ccccc1F ZINC001017578464 758046020 /nfs/dbraw/zinc/04/60/20/758046020.db2.gz SHQPONHHSMWKIZ-KFWWJZLASA-N 1 2 316.376 1.901 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Oc1ccccc1F ZINC001017578464 758046023 /nfs/dbraw/zinc/04/60/23/758046023.db2.gz SHQPONHHSMWKIZ-KFWWJZLASA-N 1 2 316.376 1.901 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(Cl)n[nH]c1CC ZINC001017608353 758075342 /nfs/dbraw/zinc/07/53/42/758075342.db2.gz JQOSXNOXDPBZCC-PHIMTYICSA-N 1 2 306.797 1.548 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(Cl)n[nH]c1CC ZINC001017608353 758075353 /nfs/dbraw/zinc/07/53/53/758075353.db2.gz JQOSXNOXDPBZCC-PHIMTYICSA-N 1 2 306.797 1.548 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001017608369 758076897 /nfs/dbraw/zinc/07/68/97/758076897.db2.gz JSXKKFIAXZIYET-TXEJJXNPSA-N 1 2 318.808 1.863 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001017608369 758076911 /nfs/dbraw/zinc/07/69/11/758076911.db2.gz JSXKKFIAXZIYET-TXEJJXNPSA-N 1 2 318.808 1.863 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC[C@H]1CCCO1)CCO2 ZINC001053166665 758223350 /nfs/dbraw/zinc/22/33/50/758223350.db2.gz NGJJFSOAPVTKGK-OAHLLOKOSA-N 1 2 308.422 1.435 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCOC2(C[NH+](CC3=CCCCC3)C2)C1 ZINC001053191365 758248928 /nfs/dbraw/zinc/24/89/28/758248928.db2.gz PSGAMCIHOOIEGC-CQSZACIVSA-N 1 2 303.406 1.560 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncn(C(C)(C)C)n1 ZINC001017945198 758413829 /nfs/dbraw/zinc/41/38/29/758413829.db2.gz JQPCITLGYAQHTF-OKILXGFUSA-N 1 2 315.421 1.345 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncn(C(C)(C)C)n1 ZINC001017945198 758413833 /nfs/dbraw/zinc/41/38/33/758413833.db2.gz JQPCITLGYAQHTF-OKILXGFUSA-N 1 2 315.421 1.345 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCC[C@@H](OC)C1)CCO2 ZINC001053402706 758434883 /nfs/dbraw/zinc/43/48/83/758434883.db2.gz LCOVMVOCURYFJM-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1cscn1)CCO2 ZINC001053459941 758478944 /nfs/dbraw/zinc/47/89/44/758478944.db2.gz HYJSYCVVIOWCNL-UHFFFAOYSA-N 1 2 307.419 1.175 20 30 DDEDLO Cc1nc(NC[C@]2(C)CCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001065398900 758504964 /nfs/dbraw/zinc/50/49/64/758504964.db2.gz ZYLAQOMDILOQBV-KRWDZBQOSA-N 1 2 324.388 1.949 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCO[C@@H](CC)C1)CCO2 ZINC001053500957 758506070 /nfs/dbraw/zinc/50/60/70/758506070.db2.gz GTALBYYOMNVQSM-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001067218101 758516284 /nfs/dbraw/zinc/51/62/84/758516284.db2.gz QWJHBUQHLQKASX-SWLSCSKDSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001067218101 758516291 /nfs/dbraw/zinc/51/62/91/758516291.db2.gz QWJHBUQHLQKASX-SWLSCSKDSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1[nH]cnc1CC)CCO2 ZINC001053520265 758528161 /nfs/dbraw/zinc/52/81/61/758528161.db2.gz MLEMMJMJEGAKCC-UHFFFAOYSA-N 1 2 304.394 1.075 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC[C@@H]1CC[C@H](C)O1)CCO2 ZINC001053539155 758544573 /nfs/dbraw/zinc/54/45/73/758544573.db2.gz OWHVQVZTSSAACC-HOTGVXAUSA-N 1 2 322.449 1.823 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)c1cnn(C)c1 ZINC001018122643 758563470 /nfs/dbraw/zinc/56/34/70/758563470.db2.gz HUBAFXKNVYATOD-GASCZTMLSA-N 1 2 300.406 1.006 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)c1cnn(C)c1 ZINC001018122643 758563476 /nfs/dbraw/zinc/56/34/76/758563476.db2.gz HUBAFXKNVYATOD-GASCZTMLSA-N 1 2 300.406 1.006 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1CCOCC1)O2 ZINC001053558640 758564758 /nfs/dbraw/zinc/56/47/58/758564758.db2.gz HOPXPOIOCGYHGC-HNNXBMFYSA-N 1 2 308.422 1.339 20 30 DDEDLO C[C@@]1(CNc2ccc(C#N)cn2)CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065494679 758579735 /nfs/dbraw/zinc/57/97/35/758579735.db2.gz XOQOJWALZNVFDB-KRWDZBQOSA-N 1 2 324.388 1.570 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncoc1CC)O2 ZINC001053582277 758595480 /nfs/dbraw/zinc/59/54/80/758595480.db2.gz BXXDICDHWRUBIK-GFCCVEGCSA-N 1 2 305.378 1.386 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c[nH]nc1CCC)O2 ZINC001053622356 758641327 /nfs/dbraw/zinc/64/13/27/758641327.db2.gz UUJUNCUPBNLKLD-ZDUSSCGKSA-N 1 2 318.421 1.511 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](OC)C1CCCC1 ZINC001018218762 758641868 /nfs/dbraw/zinc/64/18/68/758641868.db2.gz KBHLXDLXJAHISG-BBWFWOEESA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](OC)C1CCCC1 ZINC001018218762 758641872 /nfs/dbraw/zinc/64/18/72/758641872.db2.gz KBHLXDLXJAHISG-BBWFWOEESA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc2c[nH]nc2c1 ZINC001018227129 758649803 /nfs/dbraw/zinc/64/98/03/758649803.db2.gz DXHBBJBSLKNHNV-IYBDPMFKSA-N 1 2 308.385 1.414 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc2c[nH]nc2c1 ZINC001018227129 758649808 /nfs/dbraw/zinc/64/98/08/758649808.db2.gz DXHBBJBSLKNHNV-IYBDPMFKSA-N 1 2 308.385 1.414 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C3CC3)no1)O2 ZINC001053671238 758682194 /nfs/dbraw/zinc/68/21/94/758682194.db2.gz DUMMGQQOFPBNLU-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(OC)o1)O2 ZINC001053679560 758689145 /nfs/dbraw/zinc/68/91/45/758689145.db2.gz HDRYPVUSNGLQSO-ZDUSSCGKSA-N 1 2 320.389 1.828 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1[C@H]3[C@@H]1[C@@H]1CC[C@H]3C1)O2 ZINC001053703963 758712523 /nfs/dbraw/zinc/71/25/23/758712523.db2.gz RDZVTSMAVQGLPF-NEXGVSGLSA-N 1 2 316.445 1.814 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccncc1F)CO2 ZINC001053768919 758789328 /nfs/dbraw/zinc/78/93/28/758789328.db2.gz MLTYLGYOMMAPPN-LBPRGKRZSA-N 1 2 305.353 1.370 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C1CC(OCC)C1)CO2 ZINC001053788300 758813644 /nfs/dbraw/zinc/81/36/44/758813644.db2.gz KIKRTIIEWJUEAN-SHARSMKWSA-N 1 2 308.422 1.337 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CCCC3(CC3)C1)CO2 ZINC001053799848 758828965 /nfs/dbraw/zinc/82/89/65/758828965.db2.gz CMLKKZURIOKJFY-JKSUJKDBSA-N 1 2 316.445 1.940 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1cncs1)CO2 ZINC001053836522 758867175 /nfs/dbraw/zinc/86/71/75/758867175.db2.gz WXTPTBGASVUJIZ-LBPRGKRZSA-N 1 2 307.419 1.221 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H]1C=CCCC1)CO2 ZINC001053846093 758875538 /nfs/dbraw/zinc/87/55/38/758875538.db2.gz XSGBJKZQCUUOFB-CVEARBPZSA-N 1 2 302.418 1.716 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H]1CC(C)(C)CO1)CO2 ZINC001053869690 758902417 /nfs/dbraw/zinc/90/24/17/758902417.db2.gz QQFCSLYQFQPGBF-GJZGRUSLSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@]13CCC[C@H]1OCC3)CO2 ZINC001053869609 758902666 /nfs/dbraw/zinc/90/26/66/758902666.db2.gz PRJCDQCSWKFBLY-RKVPGOIHSA-N 1 2 320.433 1.481 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1cncc(C)c1)CO2 ZINC001053880608 758914792 /nfs/dbraw/zinc/91/47/92/758914792.db2.gz SJTFVUVCDAJOQL-INIZCTEOSA-N 1 2 315.417 1.468 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)c1cncnc1)CO2 ZINC001053903350 758936477 /nfs/dbraw/zinc/93/64/77/758936477.db2.gz PRYIKCCABJWYKD-DZGCQCFKSA-N 1 2 316.405 1.116 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)CC1CC(C)(C)C1)CO2 ZINC001053904388 758937604 /nfs/dbraw/zinc/93/76/04/758937604.db2.gz MWWQTKFJPUDMAC-OAHLLOKOSA-N 1 2 304.434 1.796 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1occc1Cl)CO2 ZINC001053917915 758952771 /nfs/dbraw/zinc/95/27/71/758952771.db2.gz XUTGPHQCOIHVHF-NSHDSACASA-N 1 2 308.765 1.529 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C)CC(F)(F)C1)CO2 ZINC001053979351 759017604 /nfs/dbraw/zinc/01/76/04/759017604.db2.gz OJGAUYAOOSMSTG-GFCCVEGCSA-N 1 2 314.376 1.957 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)c1ccccc1)CO2 ZINC001053986250 759027784 /nfs/dbraw/zinc/02/77/84/759027784.db2.gz KPLKKQGUUMENDE-NVXWUHKLSA-N 1 2 312.413 1.773 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1[nH]c(C)nc1C ZINC001054052441 759107513 /nfs/dbraw/zinc/10/75/13/759107513.db2.gz XKYZMOADTYNURG-KRWDZBQOSA-N 1 2 322.412 1.816 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1[nH]c(C)nc1C ZINC001054052441 759107519 /nfs/dbraw/zinc/10/75/19/759107519.db2.gz XKYZMOADTYNURG-KRWDZBQOSA-N 1 2 322.412 1.816 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cccnn1 ZINC001054057885 759110791 /nfs/dbraw/zinc/11/07/91/759110791.db2.gz UAZXVTSYRFUFLS-MRXNPFEDSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cccnn1 ZINC001054057885 759110796 /nfs/dbraw/zinc/11/07/96/759110796.db2.gz UAZXVTSYRFUFLS-MRXNPFEDSA-N 1 2 306.369 1.267 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)Cn1cc[nH+]c1 ZINC001069114933 767856978 /nfs/dbraw/zinc/85/69/78/767856978.db2.gz YEEWTDKKRAXJNS-TZMCWYRMSA-N 1 2 310.361 1.251 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534483 759645786 /nfs/dbraw/zinc/64/57/86/759645786.db2.gz LWDHLIKMJJSIAU-JSGCOSHPSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534483 759645792 /nfs/dbraw/zinc/64/57/92/759645792.db2.gz LWDHLIKMJJSIAU-JSGCOSHPSA-N 1 2 324.388 1.584 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(C(C)(C)C)n1 ZINC001085626760 759895277 /nfs/dbraw/zinc/89/52/77/759895277.db2.gz SJQMDNYSVXNXCO-CQSZACIVSA-N 1 2 302.422 1.808 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(C(C)(C)C)n1 ZINC001085626760 759895289 /nfs/dbraw/zinc/89/52/89/759895289.db2.gz SJQMDNYSVXNXCO-CQSZACIVSA-N 1 2 302.422 1.808 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(N(C)C)cc1F ZINC001085639859 759913283 /nfs/dbraw/zinc/91/32/83/759913283.db2.gz XVUBXDHGAHYEGX-AWEZNQCLSA-N 1 2 303.381 1.671 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(N(C)C)cc1F ZINC001085639859 759913288 /nfs/dbraw/zinc/91/32/88/759913288.db2.gz XVUBXDHGAHYEGX-AWEZNQCLSA-N 1 2 303.381 1.671 20 30 DDEDLO C=CCC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCCS1(=O)=O ZINC001085637296 759927404 /nfs/dbraw/zinc/92/74/04/759927404.db2.gz MLWSDXCDQVPTTP-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCCS1(=O)=O ZINC001085637296 759927408 /nfs/dbraw/zinc/92/74/08/759927408.db2.gz MLWSDXCDQVPTTP-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)no1 ZINC001085658088 759944523 /nfs/dbraw/zinc/94/45/23/759944523.db2.gz IWIXPJNNEVBMOS-JTQLQIEISA-N 1 2 315.295 1.863 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)no1 ZINC001085658088 759944532 /nfs/dbraw/zinc/94/45/32/759944532.db2.gz IWIXPJNNEVBMOS-JTQLQIEISA-N 1 2 315.295 1.863 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OC)c(OC)c1C ZINC001085661850 759953611 /nfs/dbraw/zinc/95/36/11/759953611.db2.gz JZEOYCIRDWATHG-AWEZNQCLSA-N 1 2 316.401 1.792 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OC)c(OC)c1C ZINC001085661850 759953619 /nfs/dbraw/zinc/95/36/19/759953619.db2.gz JZEOYCIRDWATHG-AWEZNQCLSA-N 1 2 316.401 1.792 20 30 DDEDLO Cc1nc(NCC[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001066308065 760172510 /nfs/dbraw/zinc/17/25/10/760172510.db2.gz PJMDVCXGPQRAJJ-CYBMUJFWSA-N 1 2 324.388 1.949 20 30 DDEDLO C[C@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C[C@H]1NCC#N ZINC001054941648 760209447 /nfs/dbraw/zinc/20/94/47/760209447.db2.gz NSIISRLHNHTZFD-XJKSGUPXSA-N 1 2 309.373 1.446 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1oc(CC(C)C)nc1C ZINC001085863326 760405201 /nfs/dbraw/zinc/40/52/01/760405201.db2.gz WYTLYEBJMLVHBP-AWEZNQCLSA-N 1 2 303.406 1.961 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1oc(CC(C)C)nc1C ZINC001085863326 760405203 /nfs/dbraw/zinc/40/52/03/760405203.db2.gz WYTLYEBJMLVHBP-AWEZNQCLSA-N 1 2 303.406 1.961 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(C(C)(F)F)CC2)C1 ZINC001108220213 760482031 /nfs/dbraw/zinc/48/20/31/760482031.db2.gz PCTMEYGIPCVLIL-CYBMUJFWSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(C(C)(F)F)CC2)C1 ZINC001108220213 760482032 /nfs/dbraw/zinc/48/20/32/760482032.db2.gz PCTMEYGIPCVLIL-CYBMUJFWSA-N 1 2 302.365 1.815 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001085901818 760495631 /nfs/dbraw/zinc/49/56/31/760495631.db2.gz NPHIMZXKEIGULB-ZIAGYGMSSA-N 1 2 314.433 1.704 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001085901818 760495635 /nfs/dbraw/zinc/49/56/35/760495635.db2.gz NPHIMZXKEIGULB-ZIAGYGMSSA-N 1 2 314.433 1.704 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OC)c(OC)c1 ZINC001085954099 760604279 /nfs/dbraw/zinc/60/42/79/760604279.db2.gz QVULUJKVGYMGPU-CQSZACIVSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OC)c(OC)c1 ZINC001085954099 760604281 /nfs/dbraw/zinc/60/42/81/760604281.db2.gz QVULUJKVGYMGPU-CQSZACIVSA-N 1 2 302.374 1.483 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2nn(CC)nc2C)C1 ZINC001046872325 768000814 /nfs/dbraw/zinc/00/08/14/768000814.db2.gz DBYDNOIQACFMDA-AWEZNQCLSA-N 1 2 311.817 1.553 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2nn(CC)nc2C)C1 ZINC001046872325 768000821 /nfs/dbraw/zinc/00/08/21/768000821.db2.gz DBYDNOIQACFMDA-AWEZNQCLSA-N 1 2 311.817 1.553 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]cnc1C(F)(F)F ZINC001038340502 761024382 /nfs/dbraw/zinc/02/43/82/761024382.db2.gz DBMVDQKZJKALGF-SECBINFHSA-N 1 2 300.284 1.256 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1[nH]cnc1C(F)(F)F ZINC001038340502 761024392 /nfs/dbraw/zinc/02/43/92/761024392.db2.gz DBMVDQKZJKALGF-SECBINFHSA-N 1 2 300.284 1.256 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnc(OCC)cn2)C1 ZINC001046874897 768003945 /nfs/dbraw/zinc/00/39/45/768003945.db2.gz WQDQCPOOJNDAMK-HNNXBMFYSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnc(OCC)cn2)C1 ZINC001046874897 768003952 /nfs/dbraw/zinc/00/39/52/768003952.db2.gz WQDQCPOOJNDAMK-HNNXBMFYSA-N 1 2 324.812 1.822 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C)n([C@@H](C)C2CC2)n1 ZINC001038444186 761123405 /nfs/dbraw/zinc/12/34/05/761123405.db2.gz YUGQKAZUVWNQAX-ZFWWWQNUSA-N 1 2 300.406 1.600 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)n([C@@H](C)C2CC2)n1 ZINC001038444186 761123408 /nfs/dbraw/zinc/12/34/08/761123408.db2.gz YUGQKAZUVWNQAX-ZFWWWQNUSA-N 1 2 300.406 1.600 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)CC(F)F)CC1 ZINC001131369425 768014031 /nfs/dbraw/zinc/01/40/31/768014031.db2.gz GJNPPYTVSOKJMH-GFCCVEGCSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)CC(F)F)CC1 ZINC001131369425 768014037 /nfs/dbraw/zinc/01/40/37/768014037.db2.gz GJNPPYTVSOKJMH-GFCCVEGCSA-N 1 2 317.380 1.114 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cnccc2C)c1 ZINC001038685711 761287871 /nfs/dbraw/zinc/28/78/71/761287871.db2.gz WJNWBQIEJRVLNU-SFHVURJKSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cnccc2C)c1 ZINC001038685711 761287876 /nfs/dbraw/zinc/28/78/76/761287876.db2.gz WJNWBQIEJRVLNU-SFHVURJKSA-N 1 2 320.396 1.771 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001056620276 761295927 /nfs/dbraw/zinc/29/59/27/761295927.db2.gz ZMUPFMNNOCPTRS-CYBMUJFWSA-N 1 2 310.361 1.322 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001069476171 768032501 /nfs/dbraw/zinc/03/25/01/768032501.db2.gz REKDJYYKYABHJL-DZGCQCFKSA-N 1 2 324.388 1.547 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001069483562 768034498 /nfs/dbraw/zinc/03/44/98/768034498.db2.gz KQZYDKIQBBRYDL-TZMCWYRMSA-N 1 2 324.388 1.616 20 30 DDEDLO CCc1nc[nH]c1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038978400 761611006 /nfs/dbraw/zinc/61/10/06/761611006.db2.gz DMZAXQRZXNKBJB-HNNXBMFYSA-N 1 2 323.400 1.848 20 30 DDEDLO CCc1nc[nH]c1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038978400 761611007 /nfs/dbraw/zinc/61/10/07/761611007.db2.gz DMZAXQRZXNKBJB-HNNXBMFYSA-N 1 2 323.400 1.848 20 30 DDEDLO C#CCCCC(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067287181 761747430 /nfs/dbraw/zinc/74/74/30/761747430.db2.gz RFXMZBKPCVOQAL-UHFFFAOYSA-N 1 2 304.394 1.063 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001108589194 762774022 /nfs/dbraw/zinc/77/40/22/762774022.db2.gz YCFIAKRIYODHCI-JSGCOSHPSA-N 1 2 324.388 1.329 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(CCN(C(=O)[C@H]3CCCc4[nH]ncc43)C2)C1 ZINC001041287973 762934927 /nfs/dbraw/zinc/93/49/27/762934927.db2.gz SHGIBWKUWHWESP-KBXCAEBGSA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)[C@H]3CCCc4[nH]ncc43)C2)C1 ZINC001041287973 762934930 /nfs/dbraw/zinc/93/49/30/762934930.db2.gz SHGIBWKUWHWESP-KBXCAEBGSA-N 1 2 312.417 1.387 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@]2(CC[N@@H+](Cc3ncnn3C)C2)C1 ZINC001041543536 763121299 /nfs/dbraw/zinc/12/12/99/763121299.db2.gz JQTBJJFWXPKSBW-QGZVFWFLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@]2(CC[N@H+](Cc3ncnn3C)C2)C1 ZINC001041543536 763121304 /nfs/dbraw/zinc/12/13/04/763121304.db2.gz JQTBJJFWXPKSBW-QGZVFWFLSA-N 1 2 317.437 1.452 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1occc1C)C2 ZINC001108928183 763131907 /nfs/dbraw/zinc/13/19/07/763131907.db2.gz CORHUSUUQVPIHU-ILXRZTDVSA-N 1 2 302.374 1.573 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1occc1C)C2 ZINC001108928183 763131913 /nfs/dbraw/zinc/13/19/13/763131913.db2.gz CORHUSUUQVPIHU-ILXRZTDVSA-N 1 2 302.374 1.573 20 30 DDEDLO CC#CC[N@@H+]1CC[C@]2(CCN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001041825723 763377483 /nfs/dbraw/zinc/37/74/83/763377483.db2.gz SXTCCDYDVVNRPB-SFHVURJKSA-N 1 2 323.400 1.519 20 30 DDEDLO CC#CC[N@H+]1CC[C@]2(CCN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001041825723 763377491 /nfs/dbraw/zinc/37/74/91/763377491.db2.gz SXTCCDYDVVNRPB-SFHVURJKSA-N 1 2 323.400 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(CCN(C(=O)Cn3ccnc3C)C2)C1 ZINC001041832596 763383810 /nfs/dbraw/zinc/38/38/10/763383810.db2.gz CISADOIJLBQYEG-MRXNPFEDSA-N 1 2 322.840 1.868 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(CCN(C(=O)Cn3ccnc3C)C2)C1 ZINC001041832596 763383813 /nfs/dbraw/zinc/38/38/13/763383813.db2.gz CISADOIJLBQYEG-MRXNPFEDSA-N 1 2 322.840 1.868 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cc2c[nH+]cn2C)C1 ZINC001050267683 763391498 /nfs/dbraw/zinc/39/14/98/763391498.db2.gz DZMMDOYGLCYRAU-ZIAGYGMSSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC ZINC001109328856 763581881 /nfs/dbraw/zinc/58/18/81/763581881.db2.gz FGXBEBXJQFCLRH-PMPSAXMXSA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC ZINC001109328856 763581889 /nfs/dbraw/zinc/58/18/89/763581889.db2.gz FGXBEBXJQFCLRH-PMPSAXMXSA-N 1 2 319.449 1.612 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)co1 ZINC001042057650 763602077 /nfs/dbraw/zinc/60/20/77/763602077.db2.gz LTCXNGGXDNDOTA-NVXWUHKLSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)co1 ZINC001042057650 763602078 /nfs/dbraw/zinc/60/20/78/763602078.db2.gz LTCXNGGXDNDOTA-NVXWUHKLSA-N 1 2 313.401 1.819 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CCF)CC1)C2 ZINC001109547939 763804881 /nfs/dbraw/zinc/80/48/81/763804881.db2.gz DIPCLVZVGRGPAF-RDBSUJKOSA-N 1 2 323.412 1.150 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CCF)CC1)C2 ZINC001109547939 763804890 /nfs/dbraw/zinc/80/48/90/763804890.db2.gz DIPCLVZVGRGPAF-RDBSUJKOSA-N 1 2 323.412 1.150 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C)nc1 ZINC001050818568 764126442 /nfs/dbraw/zinc/12/64/42/764126442.db2.gz FRMWGFNJTBETRD-INIZCTEOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C)nc1 ZINC001050818568 764126445 /nfs/dbraw/zinc/12/64/45/764126445.db2.gz FRMWGFNJTBETRD-INIZCTEOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([C@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001050892036 764240507 /nfs/dbraw/zinc/24/05/07/764240507.db2.gz NGQXXQQFYUINPB-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn2c1CCC2 ZINC001050947409 764326582 /nfs/dbraw/zinc/32/65/82/764326582.db2.gz VXVKNEAXWCVNBB-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn2c1CCC2 ZINC001050947409 764326589 /nfs/dbraw/zinc/32/65/89/764326589.db2.gz VXVKNEAXWCVNBB-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn(-c3ccccc3)cn2)C1 ZINC001042756715 764418454 /nfs/dbraw/zinc/41/84/54/764418454.db2.gz UFIDREMZSISKKQ-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCN2C(=O)C(C)(C)C)C1 ZINC001042768742 764429349 /nfs/dbraw/zinc/42/93/49/764429349.db2.gz WVALHIKSJSRKFQ-HNNXBMFYSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051060484 764463047 /nfs/dbraw/zinc/46/30/47/764463047.db2.gz OPFSSDXGVACZON-UONOGXRCSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(-c3ccn(C)c3)n[nH]2)C1 ZINC001042874321 764500367 /nfs/dbraw/zinc/50/03/67/764500367.db2.gz KUQOFTODWNCMJO-UHFFFAOYSA-N 1 2 313.405 1.748 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001042892618 764512928 /nfs/dbraw/zinc/51/29/28/764512928.db2.gz WGVMBLBGSNISBP-ZDUSSCGKSA-N 1 2 300.406 1.300 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CC2=CCCCC2)[C@@H](n2ccnn2)C1 ZINC001069903030 768221021 /nfs/dbraw/zinc/22/10/21/768221021.db2.gz BCUXSCKLMJINMU-CVEARBPZSA-N 1 2 313.405 1.143 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CC2=CCCCC2)[C@@H](n2ccnn2)C1 ZINC001069903030 768221026 /nfs/dbraw/zinc/22/10/26/768221026.db2.gz BCUXSCKLMJINMU-CVEARBPZSA-N 1 2 313.405 1.143 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2ccc3c(c2)NC(=O)C3)C1 ZINC001042953489 764548849 /nfs/dbraw/zinc/54/88/49/764548849.db2.gz OTVHXMRAEXAHPM-UHFFFAOYSA-N 1 2 313.401 1.442 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc(OC)n1 ZINC001051191263 764600198 /nfs/dbraw/zinc/60/01/98/764600198.db2.gz ZAMZQSJLZRWJHM-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc(OC)n1 ZINC001051191263 764600203 /nfs/dbraw/zinc/60/02/03/764600203.db2.gz ZAMZQSJLZRWJHM-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1C1CC1 ZINC001051193337 764603390 /nfs/dbraw/zinc/60/33/90/764603390.db2.gz YZKCDYBTJGWLGR-CYBMUJFWSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1C1CC1 ZINC001051193337 764603395 /nfs/dbraw/zinc/60/33/95/764603395.db2.gz YZKCDYBTJGWLGR-CYBMUJFWSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc2c([nH]1)CCC2 ZINC001051203201 764614542 /nfs/dbraw/zinc/61/45/42/764614542.db2.gz GUMYQBOJTCBBNV-CQSZACIVSA-N 1 2 303.406 1.510 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc2c([nH]1)CCC2 ZINC001051203201 764614548 /nfs/dbraw/zinc/61/45/48/764614548.db2.gz GUMYQBOJTCBBNV-CQSZACIVSA-N 1 2 303.406 1.510 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CC(C)C)nc1 ZINC001051213650 764628188 /nfs/dbraw/zinc/62/81/88/764628188.db2.gz CJTZRVSAAFNLHO-OAHLLOKOSA-N 1 2 301.390 1.150 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CC(C)C)nc1 ZINC001051213650 764628192 /nfs/dbraw/zinc/62/81/92/764628192.db2.gz CJTZRVSAAFNLHO-OAHLLOKOSA-N 1 2 301.390 1.150 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ccoc2CC)[C@@H](n2ccnn2)C1 ZINC001069917357 768228908 /nfs/dbraw/zinc/22/89/08/768228908.db2.gz OGQQGNMKTGHRCP-KGLIPLIRSA-N 1 2 315.377 1.275 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ccoc2CC)[C@@H](n2ccnn2)C1 ZINC001069917357 768228913 /nfs/dbraw/zinc/22/89/13/768228913.db2.gz OGQQGNMKTGHRCP-KGLIPLIRSA-N 1 2 315.377 1.275 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](CCOCC3CC3)CC2)[nH]1 ZINC001112769620 764728705 /nfs/dbraw/zinc/72/87/05/764728705.db2.gz PJPJALMLUFDMNY-UHFFFAOYSA-N 1 2 302.378 1.071 20 30 DDEDLO CC(C)CCOCC[NH+]1CCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC001112771453 764733618 /nfs/dbraw/zinc/73/36/18/764733618.db2.gz UIPCWAKPDGADAP-UHFFFAOYSA-N 1 2 318.421 1.707 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)N[C@H](CC(C)C)C2)C1 ZINC001043183136 764743405 /nfs/dbraw/zinc/74/34/05/764743405.db2.gz HRAIHMSPOCXNSN-HUUCEWRRSA-N 1 2 321.465 1.646 20 30 DDEDLO Cc1ncsc1CC[NH+]1CCN(C(=O)C#CC(C)C)CC1 ZINC001112833239 764826908 /nfs/dbraw/zinc/82/69/08/764826908.db2.gz OGTQAJQHESNLHF-UHFFFAOYSA-N 1 2 305.447 1.798 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](CCc2ccccc2F)CC1 ZINC001112845982 764860783 /nfs/dbraw/zinc/86/07/83/764860783.db2.gz BKUSLWKLFHEAOD-HNNXBMFYSA-N 1 2 318.392 1.551 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2noc3c2CC(C)(C)CC3)C1 ZINC001043450212 764912088 /nfs/dbraw/zinc/91/20/88/764912088.db2.gz ZRKNNVYGIFULIT-UHFFFAOYSA-N 1 2 315.417 1.969 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnc(C3CC3)o2)CC1 ZINC001112974864 765074186 /nfs/dbraw/zinc/07/41/86/765074186.db2.gz PUKCNVGWVVSLDS-UHFFFAOYSA-N 1 2 305.378 1.512 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@@H](C)Nc1ccc(C#N)nc1 ZINC001112993570 765103231 /nfs/dbraw/zinc/10/32/31/765103231.db2.gz JUSQBXFDNOSLNH-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CCCCCC[NH+]1CCN(C(=O)CCS(C)(=O)=O)CC1 ZINC001113025230 765159994 /nfs/dbraw/zinc/15/99/94/765159994.db2.gz JIUJBGHKQRCRQY-UHFFFAOYSA-N 1 2 316.467 1.312 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(C)c(=O)[nH]1)C2 ZINC001095968232 765253052 /nfs/dbraw/zinc/25/30/52/765253052.db2.gz OGIWMGGWSGOVPM-SCVCMEIPSA-N 1 2 310.785 1.213 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(C)c(=O)[nH]1)C2 ZINC001095968232 765253057 /nfs/dbraw/zinc/25/30/57/765253057.db2.gz OGIWMGGWSGOVPM-SCVCMEIPSA-N 1 2 310.785 1.213 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)C1CN(CC#Cc2ccccc2)C1 ZINC001044091218 765293592 /nfs/dbraw/zinc/29/35/92/765293592.db2.gz ZURZBQBMCLETCN-UHFFFAOYSA-N 1 2 308.385 1.147 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)C(F)=C3CCCC3)C2)CC1 ZINC001052013399 765382286 /nfs/dbraw/zinc/38/22/86/765382286.db2.gz XDUGZNSSNQBTJY-HNNXBMFYSA-N 1 2 320.412 1.526 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(C(=O)N(C)C)cc2)C1 ZINC001044198626 765386910 /nfs/dbraw/zinc/38/69/10/765386910.db2.gz VGRFHXFZKJNYCE-UHFFFAOYSA-N 1 2 315.417 1.721 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccsc3C)C2)CC1 ZINC001052024774 765400234 /nfs/dbraw/zinc/40/02/34/765400234.db2.gz MUQFKAKZAJEWPP-OAHLLOKOSA-N 1 2 317.458 1.522 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)CC1 ZINC001052069314 765442326 /nfs/dbraw/zinc/44/23/26/765442326.db2.gz XLRYOCVZYFCTQF-MLHJIOFPSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3CCC(C)(C)C3)C2)CC1 ZINC001052076719 765449182 /nfs/dbraw/zinc/44/91/82/765449182.db2.gz LFMPULWQMSPDKM-DLBZAZTESA-N 1 2 317.477 1.664 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3CCC)C2)CC1 ZINC001052077220 765449250 /nfs/dbraw/zinc/44/92/50/765449250.db2.gz OZYBRXKZZWNTKT-KZNAEPCWSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCN2C(=O)CCC)C1 ZINC001044328206 765485636 /nfs/dbraw/zinc/48/56/36/765485636.db2.gz TYPGJWMXDYIOJF-OAHLLOKOSA-N 1 2 307.438 1.496 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(N(C)C)c(F)c2)C1 ZINC001044338427 765493547 /nfs/dbraw/zinc/49/35/47/765493547.db2.gz ILISDYJKEFQLNH-UHFFFAOYSA-N 1 2 303.381 1.671 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001044339629 765494844 /nfs/dbraw/zinc/49/48/44/765494844.db2.gz SAWJKYZKSGUTFO-UHFFFAOYSA-N 1 2 311.389 1.804 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(=O)[nH]c3c2CCCC3)C1 ZINC001044378545 765521174 /nfs/dbraw/zinc/52/11/74/765521174.db2.gz SYUKFFGDJPIIDL-UHFFFAOYSA-N 1 2 315.417 1.998 20 30 DDEDLO C[C@@H](Nc1nccnc1C#N)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001113351576 765615788 /nfs/dbraw/zinc/61/57/88/765615788.db2.gz CPYVEGLVHLAMBB-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@@H](Nc1nccnc1C#N)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001113351576 765615791 /nfs/dbraw/zinc/61/57/91/765615791.db2.gz CPYVEGLVHLAMBB-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@@H](C)Nc1cnc(C#N)cn1 ZINC001113352517 765617758 /nfs/dbraw/zinc/61/77/58/765617758.db2.gz UEKNXYRCOQJVMT-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@@H](C)Nc1cnc(C#N)cn1 ZINC001113352517 765617761 /nfs/dbraw/zinc/61/77/61/765617761.db2.gz UEKNXYRCOQJVMT-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001057728177 765704511 /nfs/dbraw/zinc/70/45/11/765704511.db2.gz HVWOKPNYODRDHZ-OAHLLOKOSA-N 1 2 324.388 1.065 20 30 DDEDLO Cc1ccc(C#N)c(N(C)[C@H](C)CNC(=O)Cn2cc[nH+]c2)n1 ZINC001113644925 765970395 /nfs/dbraw/zinc/97/03/95/765970395.db2.gz MVYSCWNXCYGXMQ-CYBMUJFWSA-N 1 2 312.377 1.099 20 30 DDEDLO Cn1c[nH+]cc1CN1CCN(C(=O)CC#Cc2ccccc2)CC1 ZINC001113668394 765994625 /nfs/dbraw/zinc/99/46/25/765994625.db2.gz FVSFSOGOVRDHSN-UHFFFAOYSA-N 1 2 322.412 1.506 20 30 DDEDLO Cc1cc(N(C)[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)c(C#N)cn1 ZINC001113695689 766027136 /nfs/dbraw/zinc/02/71/36/766027136.db2.gz IPKKGVKNNSRTJX-LBPRGKRZSA-N 1 2 312.377 1.168 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001058140630 766266664 /nfs/dbraw/zinc/26/66/64/766266664.db2.gz KLENOGWSGHCIPG-ZDUSSCGKSA-N 1 2 310.361 1.384 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccncc1C#N ZINC001067577853 766620691 /nfs/dbraw/zinc/62/06/91/766620691.db2.gz OOUVKOVTGUBUST-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114149208 766701366 /nfs/dbraw/zinc/70/13/66/766701366.db2.gz QVWZKMCADXOGLD-VIKVFOODSA-N 1 2 302.378 1.118 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114149208 766701375 /nfs/dbraw/zinc/70/13/75/766701375.db2.gz QVWZKMCADXOGLD-VIKVFOODSA-N 1 2 302.378 1.118 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001114196389 766758183 /nfs/dbraw/zinc/75/81/83/766758183.db2.gz FPOKCWJLBSZBHY-HALDLXJZSA-N 1 2 301.390 1.587 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001114196389 766758188 /nfs/dbraw/zinc/75/81/88/766758188.db2.gz FPOKCWJLBSZBHY-HALDLXJZSA-N 1 2 301.390 1.587 20 30 DDEDLO C[C@H]1CCN(c2ccncc2C#N)C[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067966916 766843766 /nfs/dbraw/zinc/84/37/66/766843766.db2.gz GZPGXTKMCWAGLP-JSGCOSHPSA-N 1 2 324.388 1.250 20 30 DDEDLO CC(C)(C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C1CCC1 ZINC001046048357 766854648 /nfs/dbraw/zinc/85/46/48/766854648.db2.gz UJPUFOROJFUOMD-MRXNPFEDSA-N 1 2 318.465 1.555 20 30 DDEDLO C[C@@]1(NC(=O)c2ccon2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046170506 767044836 /nfs/dbraw/zinc/04/48/36/767044836.db2.gz ZPPLSYZHXRZNJM-QGZVFWFLSA-N 1 2 310.357 1.941 20 30 DDEDLO C[C@@]1(NC(=O)c2ccon2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046170506 767044845 /nfs/dbraw/zinc/04/48/45/767044845.db2.gz ZPPLSYZHXRZNJM-QGZVFWFLSA-N 1 2 310.357 1.941 20 30 DDEDLO C[C@@H]1[C@@H](Nc2[nH+]cnc3c2cnn3C)CCN1C(=O)C#CC1CC1 ZINC001068841045 767656622 /nfs/dbraw/zinc/65/66/22/767656622.db2.gz NHVULNMVXBJASE-RISCZKNCSA-N 1 2 324.388 1.178 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1c[nH+]cn1C ZINC001068871983 767672208 /nfs/dbraw/zinc/67/22/08/767672208.db2.gz CCCRGTVEVZMMGD-MLGOLLRUSA-N 1 2 324.388 1.331 20 30 DDEDLO C[C@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001068972641 767738114 /nfs/dbraw/zinc/73/81/14/767738114.db2.gz XBQBMBVSHOCVRK-SWLSCSKDSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001068972641 767738118 /nfs/dbraw/zinc/73/81/18/767738118.db2.gz XBQBMBVSHOCVRK-SWLSCSKDSA-N 1 2 324.388 1.710 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@H](C)N(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001069048201 767797937 /nfs/dbraw/zinc/79/79/37/767797937.db2.gz AWYHBICUGBDMMS-IINYFYTJSA-N 1 2 310.361 1.700 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cncc(OC)n2)C1 ZINC001046680834 767798522 /nfs/dbraw/zinc/79/85/22/767798522.db2.gz JTCBBEQQSQQGFV-CQSZACIVSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cncc(OC)n2)C1 ZINC001046680834 767798526 /nfs/dbraw/zinc/79/85/26/767798526.db2.gz JTCBBEQQSQQGFV-CQSZACIVSA-N 1 2 310.785 1.432 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@@H](C)N(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001069064679 767813296 /nfs/dbraw/zinc/81/32/96/767813296.db2.gz COZRHRUMWLLHHX-ZWNOBZJWSA-N 1 2 310.361 1.700 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C)nc2C(C)C)C1 ZINC001047474489 768502863 /nfs/dbraw/zinc/50/28/63/768502863.db2.gz CJXDXHJOICHMTN-HOTGVXAUSA-N 1 2 315.417 1.264 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C)nc2C(C)C)C1 ZINC001047474489 768502866 /nfs/dbraw/zinc/50/28/66/768502866.db2.gz CJXDXHJOICHMTN-HOTGVXAUSA-N 1 2 315.417 1.264 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001131946372 768503440 /nfs/dbraw/zinc/50/34/40/768503440.db2.gz ITINARCHGKWYQY-WFASDCNBSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001131946372 768503445 /nfs/dbraw/zinc/50/34/45/768503445.db2.gz ITINARCHGKWYQY-WFASDCNBSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2ccn(CC)n2)C1 ZINC001131947115 768505984 /nfs/dbraw/zinc/50/59/84/768505984.db2.gz PUAYRWJHIQUECC-HUUCEWRRSA-N 1 2 320.437 1.575 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2ccn(CC)n2)C1 ZINC001131947115 768505988 /nfs/dbraw/zinc/50/59/88/768505988.db2.gz PUAYRWJHIQUECC-HUUCEWRRSA-N 1 2 320.437 1.575 20 30 DDEDLO C=C1CCC(C(=O)N(C)[C@H]2C[N@H+](C/C=C\Cl)C[C@@H]2O)CC1 ZINC001047513934 768534228 /nfs/dbraw/zinc/53/42/28/768534228.db2.gz QWCDPJNPZAUTSG-OXLJIMKRSA-N 1 2 312.841 1.989 20 30 DDEDLO C=C1CCC(C(=O)N(C)[C@H]2C[N@@H+](C/C=C\Cl)C[C@@H]2O)CC1 ZINC001047513934 768534231 /nfs/dbraw/zinc/53/42/31/768534231.db2.gz QWCDPJNPZAUTSG-OXLJIMKRSA-N 1 2 312.841 1.989 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2C[N@H+](Cc3cncs3)C[C@@H]2O)C1 ZINC001047519911 768539121 /nfs/dbraw/zinc/53/91/21/768539121.db2.gz XSOAVXMFVHDZQS-KBPBESRZSA-N 1 2 321.446 1.503 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2C[N@@H+](Cc3cncs3)C[C@@H]2O)C1 ZINC001047519911 768539123 /nfs/dbraw/zinc/53/91/23/768539123.db2.gz XSOAVXMFVHDZQS-KBPBESRZSA-N 1 2 321.446 1.503 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cc(OC)no2)C1 ZINC001132003022 768554818 /nfs/dbraw/zinc/55/48/18/768554818.db2.gz PIEXAOVYNNFEOX-KBPBESRZSA-N 1 2 319.405 1.956 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cc(OC)no2)C1 ZINC001132003022 768554819 /nfs/dbraw/zinc/55/48/19/768554819.db2.gz PIEXAOVYNNFEOX-KBPBESRZSA-N 1 2 319.405 1.956 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132033701 768579188 /nfs/dbraw/zinc/57/91/88/768579188.db2.gz JYCJPLCIRFBMTC-ZFWWWQNUSA-N 1 2 301.390 1.866 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132033701 768579195 /nfs/dbraw/zinc/57/91/95/768579195.db2.gz JYCJPLCIRFBMTC-ZFWWWQNUSA-N 1 2 301.390 1.866 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@@H]2C)on1 ZINC001132045559 768599220 /nfs/dbraw/zinc/59/92/20/768599220.db2.gz GVPBAZJSWSRTRK-GXTWGEPZSA-N 1 2 317.389 1.566 20 30 DDEDLO COc1cc(C[N@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@@H]2C)on1 ZINC001132045559 768599225 /nfs/dbraw/zinc/59/92/25/768599225.db2.gz GVPBAZJSWSRTRK-GXTWGEPZSA-N 1 2 317.389 1.566 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3[nH]ccc3s2)C1 ZINC001047612203 768609635 /nfs/dbraw/zinc/60/96/35/768609635.db2.gz ZWXPMJXJMGMETA-STQMWFEESA-N 1 2 317.414 1.370 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3[nH]ccc3s2)C1 ZINC001047612203 768609639 /nfs/dbraw/zinc/60/96/39/768609639.db2.gz ZWXPMJXJMGMETA-STQMWFEESA-N 1 2 317.414 1.370 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccsn2)CC[C@H]1C ZINC001132125471 768639021 /nfs/dbraw/zinc/63/90/21/768639021.db2.gz VDEVHHZQPPCAEO-NEPJUHHUSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccsn2)CC[C@H]1C ZINC001132125471 768639022 /nfs/dbraw/zinc/63/90/22/768639022.db2.gz VDEVHHZQPPCAEO-NEPJUHHUSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001070888549 768771967 /nfs/dbraw/zinc/77/19/67/768771967.db2.gz WOSSMVWXPQXKMG-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001070888549 768771970 /nfs/dbraw/zinc/77/19/70/768771970.db2.gz WOSSMVWXPQXKMG-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(=O)NCC[N@@H+](CC(=C)C)CC1 ZINC001070985309 768836674 /nfs/dbraw/zinc/83/66/74/768836674.db2.gz KOLMERHGSYOEOY-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(=O)NCC[N@H+](CC(=C)C)CC1 ZINC001070985309 768836688 /nfs/dbraw/zinc/83/66/88/768836688.db2.gz KOLMERHGSYOEOY-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2(C)CCCCC2)CC1 ZINC001070995104 768855660 /nfs/dbraw/zinc/85/56/60/768855660.db2.gz RJOKNMYERMIQEN-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2(C)CCCCC2)CC1 ZINC001070995104 768855671 /nfs/dbraw/zinc/85/56/71/768855671.db2.gz RJOKNMYERMIQEN-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC[C@@H](C)C2)CC1 ZINC001071005808 768870475 /nfs/dbraw/zinc/87/04/75/768870475.db2.gz JDBXBYCGGADTMS-HUUCEWRRSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC[C@@H](C)C2)CC1 ZINC001071005808 768870485 /nfs/dbraw/zinc/87/04/85/768870485.db2.gz JDBXBYCGGADTMS-HUUCEWRRSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001132577384 768998272 /nfs/dbraw/zinc/99/82/72/768998272.db2.gz URCWEKZADCPRKT-GHMZBOCLSA-N 1 2 312.439 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2nnn(C)c2C)CC[C@H]1C ZINC001071404013 769033472 /nfs/dbraw/zinc/03/34/72/769033472.db2.gz HXWHSJBEIZMXCZ-PWSUYJOCSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2nnn(C)c2C)CC[C@H]1C ZINC001071404013 769033482 /nfs/dbraw/zinc/03/34/82/769033482.db2.gz HXWHSJBEIZMXCZ-PWSUYJOCSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC001096300510 769088399 /nfs/dbraw/zinc/08/83/99/769088399.db2.gz WCMHVBZBQZZINO-CABCVRRESA-N 1 2 317.437 1.173 20 30 DDEDLO C=C(C)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)c1cnc[nH]1)C2 ZINC001096354189 769357551 /nfs/dbraw/zinc/35/75/51/769357551.db2.gz WUBUIMUMBBWION-RDBSUJKOSA-N 1 2 302.422 1.985 20 30 DDEDLO C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)c1cnc[nH]1)C2 ZINC001096354189 769357563 /nfs/dbraw/zinc/35/75/63/769357563.db2.gz WUBUIMUMBBWION-RDBSUJKOSA-N 1 2 302.422 1.985 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)CC[C@@H]1C ZINC001071659961 769810855 /nfs/dbraw/zinc/81/08/55/769810855.db2.gz NDLMTECCZIKNDU-UONOGXRCSA-N 1 2 323.396 1.744 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)CC[C@@H]1C ZINC001071659961 769810869 /nfs/dbraw/zinc/81/08/69/769810869.db2.gz NDLMTECCZIKNDU-UONOGXRCSA-N 1 2 323.396 1.744 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2cnon2)C[N@@H+]1CC#Cc1ccccc1 ZINC001071914315 770277863 /nfs/dbraw/zinc/27/78/63/770277863.db2.gz NRMDQGVUSGREBW-GDBMZVCRSA-N 1 2 324.384 1.704 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2cnon2)C[N@H+]1CC#Cc1ccccc1 ZINC001071914315 770277867 /nfs/dbraw/zinc/27/78/67/770277867.db2.gz NRMDQGVUSGREBW-GDBMZVCRSA-N 1 2 324.384 1.704 20 30 DDEDLO C[C@@H](CC(=O)N[C@@H]1CCN(c2ccc(C#N)cn2)C1)n1cc[nH+]c1 ZINC001096488786 770449964 /nfs/dbraw/zinc/44/99/64/770449964.db2.gz STZQDJAQNOVYHT-DZGCQCFKSA-N 1 2 324.388 1.496 20 30 DDEDLO CCn1cc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)nn1 ZINC001049368566 770744078 /nfs/dbraw/zinc/74/40/78/770744078.db2.gz ACTLDOSNMACRCQ-BPLDGKMQSA-N 1 2 316.409 1.023 20 30 DDEDLO CCn1cc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)nn1 ZINC001049368566 770744081 /nfs/dbraw/zinc/74/40/81/770744081.db2.gz ACTLDOSNMACRCQ-BPLDGKMQSA-N 1 2 316.409 1.023 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)co1 ZINC001049368818 770745077 /nfs/dbraw/zinc/74/50/77/770745077.db2.gz BVBMOWDDUFESOX-TUKIKUTGSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)co1 ZINC001049368818 770745081 /nfs/dbraw/zinc/74/50/81/770745081.db2.gz BVBMOWDDUFESOX-TUKIKUTGSA-N 1 2 302.378 1.708 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001072454169 770921715 /nfs/dbraw/zinc/92/17/15/770921715.db2.gz ULMNKWCOPBQIED-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1cn(C)nn1 ZINC001049647697 771049481 /nfs/dbraw/zinc/04/94/81/771049481.db2.gz TUWJLIAWVLECJC-CABCVRRESA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1cn(C)nn1 ZINC001049647697 771049483 /nfs/dbraw/zinc/04/94/83/771049483.db2.gz TUWJLIAWVLECJC-CABCVRRESA-N 1 2 317.437 1.593 20 30 DDEDLO N#Cc1cnccc1N[C@H](CNC(=O)CCc1[nH]cc[nH+]1)C1CC1 ZINC001096646421 771368964 /nfs/dbraw/zinc/36/89/64/771368964.db2.gz NCOIJVBTMXPWDN-OAHLLOKOSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1cccnc1N[C@H](CNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001096683261 771391484 /nfs/dbraw/zinc/39/14/84/771391484.db2.gz JUHBLYKWCPWUHD-CQSZACIVSA-N 1 2 310.361 1.157 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)NC[C@H]1CC[C@@H](NCC#N)C1)C2 ZINC001086737726 771529128 /nfs/dbraw/zinc/52/91/28/771529128.db2.gz VEYMAWGCPKMWTR-BFHYXJOUSA-N 1 2 315.421 1.221 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)NC[C@H]1CC[C@@H](NCC#N)C1)CC2 ZINC001086737726 771529135 /nfs/dbraw/zinc/52/91/35/771529135.db2.gz VEYMAWGCPKMWTR-BFHYXJOUSA-N 1 2 315.421 1.221 20 30 DDEDLO C[C@H](C[C@H](C)NCC#N)NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001135852223 771752860 /nfs/dbraw/zinc/75/28/60/771752860.db2.gz CWHSUPDJTJVBKO-QWHCGFSZSA-N 1 2 312.377 1.277 20 30 DDEDLO COCOc1cncc(NC(=[NH2+])c2ccc(C(=O)OC)cc2)c1 ZINC001170989009 772032343 /nfs/dbraw/zinc/03/23/43/772032343.db2.gz HPUJKCDQBHZELB-UHFFFAOYSA-N 1 2 315.329 1.888 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2nccs2)[C@@H](O)C1 ZINC001090666618 772093760 /nfs/dbraw/zinc/09/37/60/772093760.db2.gz FJIXCWHXWVVIIJ-UWVGGRQHSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2nccs2)[C@@H](O)C1 ZINC001090666618 772093765 /nfs/dbraw/zinc/09/37/65/772093765.db2.gz FJIXCWHXWVVIIJ-UWVGGRQHSA-N 1 2 301.799 1.061 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCCNc1ccc2nc[nH]c2n1 ZINC001171069007 772219379 /nfs/dbraw/zinc/21/93/79/772219379.db2.gz YAHARZDCQIHQCE-NSHDSACASA-N 1 2 303.366 1.597 20 30 DDEDLO CCOC[C@H]1C[N@H+](Cc2cncc(C#N)c2)Cc2nnn(C)c21 ZINC001144080433 772396117 /nfs/dbraw/zinc/39/61/17/772396117.db2.gz LTEQOVWUUOQJSD-CQSZACIVSA-N 1 2 312.377 1.218 20 30 DDEDLO CCOC[C@H]1C[N@@H+](Cc2cncc(C#N)c2)Cc2nnn(C)c21 ZINC001144080433 772396121 /nfs/dbraw/zinc/39/61/21/772396121.db2.gz LTEQOVWUUOQJSD-CQSZACIVSA-N 1 2 312.377 1.218 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091464844 772775883 /nfs/dbraw/zinc/77/58/83/772775883.db2.gz JQTFISCCMWOPCA-MRVWCRGKSA-N 1 2 304.394 1.683 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H](C)CSC)C1 ZINC001149415346 772809107 /nfs/dbraw/zinc/80/91/07/772809107.db2.gz LQMKRELXCJFZEF-DGCLKSJQSA-N 1 2 320.886 1.945 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H](C)CSC)C1 ZINC001149415346 772809110 /nfs/dbraw/zinc/80/91/10/772809110.db2.gz LQMKRELXCJFZEF-DGCLKSJQSA-N 1 2 320.886 1.945 20 30 DDEDLO CCc1nnc(C[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001147499256 773154067 /nfs/dbraw/zinc/15/40/67/773154067.db2.gz RSZXDTCGFVCRGQ-UHFFFAOYSA-N 1 2 316.405 1.326 20 30 DDEDLO CCc1nnc(C[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001147499256 773154070 /nfs/dbraw/zinc/15/40/70/773154070.db2.gz RSZXDTCGFVCRGQ-UHFFFAOYSA-N 1 2 316.405 1.326 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nncn1C)C2 ZINC001147514308 773157332 /nfs/dbraw/zinc/15/73/32/773157332.db2.gz IODPSYXFQXKGFP-CQSZACIVSA-N 1 2 315.421 1.070 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nncn1C)C2 ZINC001147514308 773157335 /nfs/dbraw/zinc/15/73/35/773157335.db2.gz IODPSYXFQXKGFP-CQSZACIVSA-N 1 2 315.421 1.070 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nncn1C)C2 ZINC001147640007 773188322 /nfs/dbraw/zinc/18/83/22/773188322.db2.gz LQIHINGJQFQBRZ-CQSZACIVSA-N 1 2 317.437 1.767 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nncn1C)C2 ZINC001147640007 773188326 /nfs/dbraw/zinc/18/83/26/773188326.db2.gz LQIHINGJQFQBRZ-CQSZACIVSA-N 1 2 317.437 1.767 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nccn1C)C2 ZINC001148137934 773363441 /nfs/dbraw/zinc/36/34/41/773363441.db2.gz JGAIISXRDOQVJF-CABCVRRESA-N 1 2 316.449 1.913 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1C)C2 ZINC001148137934 773363448 /nfs/dbraw/zinc/36/34/48/773363448.db2.gz JGAIISXRDOQVJF-CABCVRRESA-N 1 2 316.449 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001073791864 773412765 /nfs/dbraw/zinc/41/27/65/773412765.db2.gz SIRVLYHDRIVBOH-ZDUSSCGKSA-N 1 2 310.785 1.050 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001073791864 773412771 /nfs/dbraw/zinc/41/27/71/773412771.db2.gz SIRVLYHDRIVBOH-ZDUSSCGKSA-N 1 2 310.785 1.050 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccnc(C)c2)C1 ZINC001073824730 773446694 /nfs/dbraw/zinc/44/66/94/773446694.db2.gz WCXVPGXWIJPVJN-OAHLLOKOSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccnc(C)c2)C1 ZINC001073824730 773446697 /nfs/dbraw/zinc/44/66/97/773446697.db2.gz WCXVPGXWIJPVJN-OAHLLOKOSA-N 1 2 323.824 1.963 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001073922219 773528839 /nfs/dbraw/zinc/52/88/39/773528839.db2.gz XXAONZVYFMKHIC-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001074036094 773599292 /nfs/dbraw/zinc/59/92/92/773599292.db2.gz IXDNHDBIVJERDY-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cscn3)C[C@@H]21 ZINC001074184385 773705545 /nfs/dbraw/zinc/70/55/45/773705545.db2.gz LVLJQEMFOBYHTG-KBPBESRZSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cscn3)C[C@@H]21 ZINC001074184385 773705551 /nfs/dbraw/zinc/70/55/51/773705551.db2.gz LVLJQEMFOBYHTG-KBPBESRZSA-N 1 2 307.419 1.635 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cncc(F)c3)C[C@@H]21 ZINC001074208410 773731399 /nfs/dbraw/zinc/73/13/99/773731399.db2.gz HRVYKHCVNFXLTF-JKSUJKDBSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cncc(F)c3)C[C@@H]21 ZINC001074208410 773731403 /nfs/dbraw/zinc/73/14/03/773731403.db2.gz HRVYKHCVNFXLTF-JKSUJKDBSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(F)c[nH]3)C[C@@H]21 ZINC001074301951 773805444 /nfs/dbraw/zinc/80/54/44/773805444.db2.gz IXDUSGJTGJYSMU-GJZGRUSLSA-N 1 2 305.353 1.092 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(F)c[nH]3)C[C@@H]21 ZINC001074301951 773805450 /nfs/dbraw/zinc/80/54/50/773805450.db2.gz IXDUSGJTGJYSMU-GJZGRUSLSA-N 1 2 305.353 1.092 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCOC)[C@@H]2C1 ZINC001074314264 773816507 /nfs/dbraw/zinc/81/65/07/773816507.db2.gz BVRTYBHUXYQAMX-CABCVRRESA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCOC)[C@@H]2C1 ZINC001074314264 773816512 /nfs/dbraw/zinc/81/65/12/773816512.db2.gz BVRTYBHUXYQAMX-CABCVRRESA-N 1 2 310.438 1.537 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2NC1CC(CNC(=O)C#CC2CC2)C1 ZINC001092216412 774011672 /nfs/dbraw/zinc/01/16/72/774011672.db2.gz SSTILAJNFWCSSC-UHFFFAOYSA-N 1 2 324.388 1.083 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@H]2CCN(C(=O)C#CC3CC3)[C@H]2C)no1 ZINC001074646552 774048901 /nfs/dbraw/zinc/04/89/01/774048901.db2.gz DKTLGKGMHGYCLM-MJVIPROJSA-N 1 2 302.378 1.431 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001075020673 774303013 /nfs/dbraw/zinc/30/30/13/774303013.db2.gz TYTSQNWQSIDOPA-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001075098406 774349092 /nfs/dbraw/zinc/34/90/92/774349092.db2.gz ZWLIEQJPDLHIJU-CHWSQXEVSA-N 1 2 318.421 1.660 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2[C@@H](CC[N@@H+]2Cc2nncs2)C1 ZINC001036713545 774384967 /nfs/dbraw/zinc/38/49/67/774384967.db2.gz KVALGRVWUQLPOT-UONOGXRCSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2[C@@H](CC[N@H+]2Cc2nncs2)C1 ZINC001036713545 774384972 /nfs/dbraw/zinc/38/49/72/774384972.db2.gz KVALGRVWUQLPOT-UONOGXRCSA-N 1 2 316.430 1.374 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@H]1C ZINC001075211466 774425338 /nfs/dbraw/zinc/42/53/38/774425338.db2.gz WAYHXHLNQRBBAA-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CCCCC)C2)nn1 ZINC001098615444 774623088 /nfs/dbraw/zinc/62/30/88/774623088.db2.gz SHVZYOSTEZMWOA-HNNXBMFYSA-N 1 2 303.410 1.355 20 30 DDEDLO C=CCCC(=O)NCC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098890558 774707708 /nfs/dbraw/zinc/70/77/08/774707708.db2.gz ZVKAYAMVKLOXLW-LLVKDONJSA-N 1 2 302.382 1.636 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccc(C)nc3)CC2)C1 ZINC001093506640 774750930 /nfs/dbraw/zinc/75/09/30/774750930.db2.gz BGWCJBFOWSCPFC-UHFFFAOYSA-N 1 2 315.417 1.881 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3ncc[nH]3)CC2)C1 ZINC001093533907 774788712 /nfs/dbraw/zinc/78/87/12/774788712.db2.gz CYINDDKJVXPVPD-UHFFFAOYSA-N 1 2 304.394 1.291 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)CCNC(=O)Cn2cc[nH+]c2)n1 ZINC001099262895 774923369 /nfs/dbraw/zinc/92/33/69/774923369.db2.gz YNQMQKNTNOSOIZ-ZDUSSCGKSA-N 1 2 312.377 1.465 20 30 DDEDLO CC(C)(C)C(=O)NC[C@H]1CCC(C)(C)C[N@@H+]1CC(=O)NCC#N ZINC001099321493 774946400 /nfs/dbraw/zinc/94/64/00/774946400.db2.gz XTTBDPJGKONIPH-CYBMUJFWSA-N 1 2 322.453 1.279 20 30 DDEDLO CC(C)(C)C(=O)NC[C@H]1CCC(C)(C)C[N@H+]1CC(=O)NCC#N ZINC001099321493 774946402 /nfs/dbraw/zinc/94/64/02/774946402.db2.gz XTTBDPJGKONIPH-CYBMUJFWSA-N 1 2 322.453 1.279 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCNc1nc(C)[nH+]c2c1CCCC2 ZINC001093694008 775002484 /nfs/dbraw/zinc/00/24/84/775002484.db2.gz UAJJCDSKBDMCKT-GFCCVEGCSA-N 1 2 318.421 1.783 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCCCOC)[C@H](O)C1 ZINC001099712001 775169106 /nfs/dbraw/zinc/16/91/06/775169106.db2.gz ITLQZGPYEJJZCC-QWHCGFSZSA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCCCOC)[C@H](O)C1 ZINC001099712001 775169111 /nfs/dbraw/zinc/16/91/11/775169111.db2.gz ITLQZGPYEJJZCC-QWHCGFSZSA-N 1 2 304.818 1.107 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=C)Cl)C[C@@H]1O ZINC001100020874 775582453 /nfs/dbraw/zinc/58/24/53/775582453.db2.gz UOYDBWURWGLGQW-STQMWFEESA-N 1 2 300.830 1.893 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=C)Cl)C[C@@H]1O ZINC001100020874 775582460 /nfs/dbraw/zinc/58/24/60/775582460.db2.gz UOYDBWURWGLGQW-STQMWFEESA-N 1 2 300.830 1.893 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@@]3(C)CC)nn2)C1 ZINC001094332338 775715123 /nfs/dbraw/zinc/71/51/23/775715123.db2.gz RNSHVXPCPQABPK-DOTOQJQBSA-N 1 2 317.437 1.763 20 30 DDEDLO N#CCN1CC[C@@]2(CNC(=O)c3cccc4[nH+]ccn43)CCC[C@@H]12 ZINC001094468513 775871500 /nfs/dbraw/zinc/87/15/00/775871500.db2.gz QWSGNVKUGFUVNC-CRAIPNDOSA-N 1 2 323.400 1.832 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3cccc(C)c3)CC2=O)C1 ZINC001094699453 776210071 /nfs/dbraw/zinc/21/00/71/776210071.db2.gz QLKUEVCAIMMKEL-HNNXBMFYSA-N 1 2 313.401 1.196 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CC3CCCC3)CC2=O)C1 ZINC001094699914 776210155 /nfs/dbraw/zinc/21/01/55/776210155.db2.gz ZBKBQRSRYAJJNS-CQSZACIVSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094755778 776249908 /nfs/dbraw/zinc/24/99/08/776249908.db2.gz FTRFECUOZGNGNF-MRXNPFEDSA-N 1 2 316.409 1.884 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)nc1 ZINC001094781192 776271643 /nfs/dbraw/zinc/27/16/43/776271643.db2.gz DVRIGTYLUNBVHK-ZDUSSCGKSA-N 1 2 324.388 1.715 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3CC4(CC4)C3)CC2=O)C1 ZINC001094784223 776274658 /nfs/dbraw/zinc/27/46/58/776274658.db2.gz DYHOCSGPRLQIJX-AWEZNQCLSA-N 1 2 317.433 1.154 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H]3CC[C@H](C)CC3)CC2=O)C1 ZINC001094807696 776307285 /nfs/dbraw/zinc/30/72/85/776307285.db2.gz DSDMUENXVVFMGJ-KKUMJFAQSA-N 1 2 319.449 1.400 20 30 DDEDLO C#CCC1(C(=O)NCCN(CC)c2cc[nH+]c(C)n2)CCC1 ZINC001100630719 776381355 /nfs/dbraw/zinc/38/13/55/776381355.db2.gz SNSOLXJXWWYKKP-UHFFFAOYSA-N 1 2 300.406 1.921 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001100885339 776668346 /nfs/dbraw/zinc/66/83/46/776668346.db2.gz XJIQEJVHJYFVKZ-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1[C@H]1CCc2ccc([N+](=O)[O-])cc2CC1 ZINC001172905850 776917295 /nfs/dbraw/zinc/91/72/95/776917295.db2.gz VHHJWSZZWRHCHV-GOEBONIOSA-N 1 2 300.362 1.640 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H](N2CC[NH2+]C[C@@H]2C#N)C[C@H]1C#N ZINC001172979452 776949463 /nfs/dbraw/zinc/94/94/63/776949463.db2.gz DMKNJARRFOTDNF-RDBSUJKOSA-N 1 2 319.409 1.075 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@H]3CN(C(=O)C#CC(C)C)C[C@]3(C)C2)o1 ZINC001101229953 777000481 /nfs/dbraw/zinc/00/04/81/777000481.db2.gz PKPHQUPDIUQKHA-PBHICJAKSA-N 1 2 316.405 1.318 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@H]3CN(C(=O)C#CC(C)C)C[C@]3(C)C2)o1 ZINC001101229953 777000488 /nfs/dbraw/zinc/00/04/88/777000488.db2.gz PKPHQUPDIUQKHA-PBHICJAKSA-N 1 2 316.405 1.318 20 30 DDEDLO C=CCOCC(=O)NCCN(c1nc(C)[nH+]c(C)c1C)C1CC1 ZINC001101302917 777049239 /nfs/dbraw/zinc/04/92/39/777049239.db2.gz MIDLHOXFMZHDMA-UHFFFAOYSA-N 1 2 318.421 1.689 20 30 DDEDLO CC(C)(CNCC#N)C1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001101598307 777288921 /nfs/dbraw/zinc/28/89/21/777288921.db2.gz FNEKSZPCNUDTLR-UHFFFAOYSA-N 1 2 303.410 1.261 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)OCC)c1nccn12 ZINC001101612439 777303139 /nfs/dbraw/zinc/30/31/39/777303139.db2.gz WUVQDGMQPKMUMA-STQMWFEESA-N 1 2 304.394 1.066 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CC[C@@H](C)OC)c1nccn12 ZINC001101623512 777317710 /nfs/dbraw/zinc/31/77/10/777317710.db2.gz DYPIHWFCNATYNI-ZIAGYGMSSA-N 1 2 318.421 1.456 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C(\C)CC)c1nccn12 ZINC001101627808 777322907 /nfs/dbraw/zinc/32/29/07/777322907.db2.gz NOPATAVDABIALC-KADHNRKRSA-N 1 2 300.406 1.997 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001095281100 777409742 /nfs/dbraw/zinc/40/97/42/777409742.db2.gz OCOUZORXGDDKHS-GFCCVEGCSA-N 1 2 314.393 1.732 20 30 DDEDLO Cc1nc(N[C@@H]2CCCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001095287564 777421280 /nfs/dbraw/zinc/42/12/80/777421280.db2.gz ZEOBISZOWIFPFE-GFCCVEGCSA-N 1 2 305.407 1.445 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CCNc1nc(C)ccc1C#N ZINC001101718330 777430535 /nfs/dbraw/zinc/43/05/35/777430535.db2.gz RIEYWRKSGRUUOD-UHFFFAOYSA-N 1 2 312.377 1.406 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001101921976 777681748 /nfs/dbraw/zinc/68/17/48/777681748.db2.gz MPSBRADBOFMGAK-CHWSQXEVSA-N 1 2 306.435 1.526 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001101921976 777681751 /nfs/dbraw/zinc/68/17/51/777681751.db2.gz MPSBRADBOFMGAK-CHWSQXEVSA-N 1 2 306.435 1.526 20 30 DDEDLO C[N@@H+]1CCCn2nc(C(=O)N[C@H]3CC[C@H](C#N)CC3)cc2C1 ZINC001175094490 777690184 /nfs/dbraw/zinc/69/01/84/777690184.db2.gz JUBWUPAUATZKQW-JOCQHMNTSA-N 1 2 301.394 1.531 20 30 DDEDLO C[N@H+]1CCCn2nc(C(=O)N[C@H]3CC[C@H](C#N)CC3)cc2C1 ZINC001175094490 777690188 /nfs/dbraw/zinc/69/01/88/777690188.db2.gz JUBWUPAUATZKQW-JOCQHMNTSA-N 1 2 301.394 1.531 20 30 DDEDLO C[C@@H](CC(=O)N(C)CCNc1ccc(C#N)cn1)n1cc[nH+]c1 ZINC001101975947 777757231 /nfs/dbraw/zinc/75/72/31/777757231.db2.gz VDEZUBBKFBDSKU-ZDUSSCGKSA-N 1 2 312.377 1.671 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCCN(c1cc[nH+]c(C)n1)C(C)C ZINC001102098405 777873942 /nfs/dbraw/zinc/87/39/42/777873942.db2.gz JLRRPPILJJCIGG-QGZVFWFLSA-N 1 2 320.437 1.833 20 30 DDEDLO Cc1nc(N2CC[C@H](CCNC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001102300283 778031757 /nfs/dbraw/zinc/03/17/57/778031757.db2.gz QFDJOWAMFWDCCE-ZDUSSCGKSA-N 1 2 324.388 1.631 20 30 DDEDLO Cc1nc(N2C[C@@H]3C[C@H]2CN3C(=O)C#CC(C)C)c(C)c(C)[nH+]1 ZINC001102548971 778203119 /nfs/dbraw/zinc/20/31/19/778203119.db2.gz XRRGSKLTTASMOP-HOTGVXAUSA-N 1 2 312.417 1.851 20 30 DDEDLO N#Cc1nc(N)c(Nc2ccc[nH+]c2N2CCCC2)nc1C#N ZINC001176750479 778228475 /nfs/dbraw/zinc/22/84/75/778228475.db2.gz USGCUVUSWFMSJC-UHFFFAOYSA-N 1 2 306.333 1.541 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@@H]21 ZINC001176962282 778350829 /nfs/dbraw/zinc/35/08/29/778350829.db2.gz HQOBMYVJJVGUNX-HOTGVXAUSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@@H]21 ZINC001176962282 778350835 /nfs/dbraw/zinc/35/08/35/778350835.db2.gz HQOBMYVJJVGUNX-HOTGVXAUSA-N 1 2 318.421 1.600 20 30 DDEDLO CN(C(=O)CCn1cc[nH+]c1)[C@H]1CCN(c2ncccc2C#N)C1 ZINC001102748005 778365533 /nfs/dbraw/zinc/36/55/33/778365533.db2.gz GECCEVVRMIVYOM-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)COC(C)(C)C)C[C@H]21 ZINC001176989647 778376004 /nfs/dbraw/zinc/37/60/04/778376004.db2.gz VGIKDHGFRJHONW-HUUCEWRRSA-N 1 2 308.422 1.127 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)COC(C)(C)C)C[C@H]21 ZINC001176989647 778376011 /nfs/dbraw/zinc/37/60/11/778376011.db2.gz VGIKDHGFRJHONW-HUUCEWRRSA-N 1 2 308.422 1.127 20 30 DDEDLO C=CCCC(=O)NC[C@@H](CC)Nc1[nH+]cnc2c1cnn2C ZINC001102985845 778529606 /nfs/dbraw/zinc/52/96/06/778529606.db2.gz XTVVKXNHZUJSQC-LLVKDONJSA-N 1 2 302.382 1.636 20 30 DDEDLO CN(CC(=O)Nc1n[nH]c2cccc(C#N)c21)c1cccc[nH+]1 ZINC001177408652 778584707 /nfs/dbraw/zinc/58/47/07/778584707.db2.gz AKDBWVFHDOTWNH-UHFFFAOYSA-N 1 2 306.329 1.904 20 30 DDEDLO CC[C@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1nccnc1C#N ZINC001103056736 778604310 /nfs/dbraw/zinc/60/43/10/778604310.db2.gz SNSPREZKAVIATM-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO N#Cc1cc(CC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)ccn1 ZINC001177675460 778690820 /nfs/dbraw/zinc/69/08/20/778690820.db2.gz ZCDSRRZYSMHZJU-CQSZACIVSA-N 1 2 307.357 1.286 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1nc(C)ccc1C#N ZINC001103334478 778796483 /nfs/dbraw/zinc/79/64/83/778796483.db2.gz DEMBYECHQPJVNJ-ZDUSSCGKSA-N 1 2 312.377 1.534 20 30 DDEDLO C=CCOC(=O)N1CCC(CNC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001178000409 778808551 /nfs/dbraw/zinc/80/85/51/778808551.db2.gz NEPZXAFFWWODOK-UHFFFAOYSA-N 1 2 320.393 1.493 20 30 DDEDLO C=CCCCC(=O)NCC[C@@H]1C[N@@H+](Cc2nnn(C)n2)C[C@H]1C ZINC001103385277 778833619 /nfs/dbraw/zinc/83/36/19/778833619.db2.gz LCFDDSZKINIPMK-ZIAGYGMSSA-N 1 2 320.441 1.141 20 30 DDEDLO C=CCCCC(=O)NCC[C@@H]1C[N@H+](Cc2nnn(C)n2)C[C@H]1C ZINC001103385277 778833630 /nfs/dbraw/zinc/83/36/30/778833630.db2.gz LCFDDSZKINIPMK-ZIAGYGMSSA-N 1 2 320.441 1.141 20 30 DDEDLO COC(=O)c1cc(C#N)cc(NC(=O)[C@@H]2CCc3[nH+]ccn3C2)c1 ZINC001178117897 778881397 /nfs/dbraw/zinc/88/13/97/778881397.db2.gz KRKQYBBAHWOAMA-GFCCVEGCSA-N 1 2 324.340 1.742 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)CNc1ncccc1C#N ZINC001104039445 779264673 /nfs/dbraw/zinc/26/46/73/779264673.db2.gz QMXIVZOMACEAIP-NSHDSACASA-N 1 2 312.377 1.392 20 30 DDEDLO Cc1nc(NC[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001104053364 779274354 /nfs/dbraw/zinc/27/43/54/779274354.db2.gz XWKOKYBIAQXUEO-NSHDSACASA-N 1 2 312.377 1.392 20 30 DDEDLO CO[C@H](C[NH+]1CCN(C(=O)[C@H](C)C#N)CC1)c1ccccc1 ZINC001179897397 779523343 /nfs/dbraw/zinc/52/33/43/779523343.db2.gz VXNZOZYABQVHBT-GDBMZVCRSA-N 1 2 301.390 1.678 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001112421344 779772864 /nfs/dbraw/zinc/77/28/64/779772864.db2.gz INSYSMQUMQUEHG-HIFRSBDPSA-N 1 2 316.405 1.156 20 30 DDEDLO C[C@H](CCCNc1nccnc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114983244 779802355 /nfs/dbraw/zinc/80/23/55/779802355.db2.gz FDMJRXRTGCQDIS-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)N[C@H](C)C[NH+]2CCN(C)CC2)C1 ZINC001118580749 781165206 /nfs/dbraw/zinc/16/52/06/781165206.db2.gz OWVPDOOEBMGHRD-CVEARBPZSA-N 1 2 306.454 1.067 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H](C)[N@H+](C)Cc1nc(C)c(C)o1 ZINC001282391139 836060655 /nfs/dbraw/zinc/06/06/55/836060655.db2.gz LWLOJMYLWIXWRN-SMDDNHRTSA-N 1 2 307.394 1.266 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H](C)[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001282391139 836060667 /nfs/dbraw/zinc/06/06/67/836060667.db2.gz LWLOJMYLWIXWRN-SMDDNHRTSA-N 1 2 307.394 1.266 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](Cc2ccncc2Cl)C1 ZINC001266318878 836111968 /nfs/dbraw/zinc/11/19/68/836111968.db2.gz CHSKLNFJVRLGBQ-AWEZNQCLSA-N 1 2 321.808 1.465 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](Cc2ccncc2Cl)C1 ZINC001266318878 836111972 /nfs/dbraw/zinc/11/19/72/836111972.db2.gz CHSKLNFJVRLGBQ-AWEZNQCLSA-N 1 2 321.808 1.465 20 30 DDEDLO C=C[C@H](C(=O)NC[C@H](C)[NH2+]Cc1nnc(C)o1)c1ccccc1 ZINC001266997320 837163931 /nfs/dbraw/zinc/16/39/31/837163931.db2.gz NVBJGZCCOFHJBB-WFASDCNBSA-N 1 2 314.389 1.942 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC2CC2)C1 ZINC001267628803 838530595 /nfs/dbraw/zinc/53/05/95/838530595.db2.gz CSXUZKVUNXFOLG-INIZCTEOSA-N 1 2 319.449 1.287 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001267628803 838530602 /nfs/dbraw/zinc/53/06/02/838530602.db2.gz CSXUZKVUNXFOLG-INIZCTEOSA-N 1 2 319.449 1.287 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)[C@H]2OCCc3ccccc32)C1 ZINC001267656272 838592234 /nfs/dbraw/zinc/59/22/34/838592234.db2.gz ZZFOIZRFEOMPAH-KRWDZBQOSA-N 1 2 316.401 1.303 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@@H]2CCO[C@@H](CC)C2)C1 ZINC001267664569 838606929 /nfs/dbraw/zinc/60/69/29/838606929.db2.gz MPNQIWOYKJOZCC-ZBFHGGJFSA-N 1 2 310.438 1.585 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)OCCC(C)C ZINC001267716614 838715746 /nfs/dbraw/zinc/71/57/46/838715746.db2.gz ODIHNVRNMRVHCN-ULQDDVLXSA-N 1 2 322.449 1.373 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)OCCC(C)C ZINC001267716614 838715756 /nfs/dbraw/zinc/71/57/56/838715756.db2.gz ODIHNVRNMRVHCN-ULQDDVLXSA-N 1 2 322.449 1.373 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)[C@@H]1CC[N@H+](Cc2cnnn2C)C1 ZINC001267770073 838928626 /nfs/dbraw/zinc/92/86/26/838928626.db2.gz FVTQPUNKMFJEGY-ZIAGYGMSSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2cnnn2C)C1 ZINC001267770073 838928636 /nfs/dbraw/zinc/92/86/36/838928636.db2.gz FVTQPUNKMFJEGY-ZIAGYGMSSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cc(C)co2)C1 ZINC001267790880 838985595 /nfs/dbraw/zinc/98/55/95/838985595.db2.gz NFXOKOMOMSAWAF-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(C)co2)C1 ZINC001267790880 838985603 /nfs/dbraw/zinc/98/56/03/838985603.db2.gz NFXOKOMOMSAWAF-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](CC)[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001267815992 839022830 /nfs/dbraw/zinc/02/28/30/839022830.db2.gz MZUBOMKOIDOCJG-GFCCVEGCSA-N 1 2 322.457 1.625 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001267931636 839214369 /nfs/dbraw/zinc/21/43/69/839214369.db2.gz ZUSKIPLGDXIDRA-GDBMZVCRSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001267931636 839214377 /nfs/dbraw/zinc/21/43/77/839214377.db2.gz ZUSKIPLGDXIDRA-GDBMZVCRSA-N 1 2 319.449 1.141 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001267937828 839224302 /nfs/dbraw/zinc/22/43/02/839224302.db2.gz YUHCCESAEJETCP-GOEBONIOSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001267937828 839224310 /nfs/dbraw/zinc/22/43/10/839224310.db2.gz YUHCCESAEJETCP-GOEBONIOSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)COc2cccc(C)c2)C1 ZINC001268029844 839446284 /nfs/dbraw/zinc/44/62/84/839446284.db2.gz ZXBGUVRQLWNSCT-INIZCTEOSA-N 1 2 304.390 1.377 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)COc2cccc(C)c2)C1 ZINC001268029844 839446292 /nfs/dbraw/zinc/44/62/92/839446292.db2.gz ZXBGUVRQLWNSCT-INIZCTEOSA-N 1 2 304.390 1.377 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CC23CCCC3)C1 ZINC001268228972 839862041 /nfs/dbraw/zinc/86/20/41/839862041.db2.gz MPLMCYATRWMFSG-LSDHHAIUSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CC23CCCC3)C1 ZINC001268228972 839862048 /nfs/dbraw/zinc/86/20/48/839862048.db2.gz MPLMCYATRWMFSG-LSDHHAIUSA-N 1 2 319.449 1.450 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)CCc2ccc(C(N)=O)cc2)C1 ZINC001268343997 840026865 /nfs/dbraw/zinc/02/68/65/840026865.db2.gz ULLQFHPHOLZLMI-UHFFFAOYSA-N 1 2 301.390 1.095 20 30 DDEDLO C[C@@H]([NH2+]C/C=C/CNC(=O)c1cc(C#N)c[nH]1)c1csnn1 ZINC001268519398 840366554 /nfs/dbraw/zinc/36/65/54/840366554.db2.gz CXBOORMAXAKIAN-VMZHVLLKSA-N 1 2 316.390 1.375 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CC[C@H]2[C@@H]1CCN2C(=O)CCS ZINC001268959407 841033516 /nfs/dbraw/zinc/03/35/16/841033516.db2.gz BIBQRTQVVXGFDA-STQMWFEESA-N 1 2 308.451 1.398 20 30 DDEDLO CCn1ccnc1C[N@H+]1CC[C@H]2[C@@H]1CCN2C(=O)CCS ZINC001268959407 841033526 /nfs/dbraw/zinc/03/35/26/841033526.db2.gz BIBQRTQVVXGFDA-STQMWFEESA-N 1 2 308.451 1.398 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@@H](NC(=O)C#CC2CC2)C2CC2)no1 ZINC001269066337 841172095 /nfs/dbraw/zinc/17/20/95/841172095.db2.gz CVXZWFGGTFZDMI-QMTHXVAHSA-N 1 2 302.378 1.337 20 30 DDEDLO CCC(CC)NC(=O)[C@H](C)[N@@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001269126663 841239249 /nfs/dbraw/zinc/23/92/49/841239249.db2.gz WSFXKVLDDUKQIA-GOEBONIOSA-N 1 2 321.465 1.530 20 30 DDEDLO CCC(CC)NC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001269126663 841239255 /nfs/dbraw/zinc/23/92/55/841239255.db2.gz WSFXKVLDDUKQIA-GOEBONIOSA-N 1 2 321.465 1.530 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@H]1CC[N@@H+](Cc2ccn(CC)n2)C1 ZINC001269302984 841492510 /nfs/dbraw/zinc/49/25/10/841492510.db2.gz XGCNLNMXIJGELW-INIZCTEOSA-N 1 2 320.437 1.528 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@H]1CC[N@H+](Cc2ccn(CC)n2)C1 ZINC001269302984 841492514 /nfs/dbraw/zinc/49/25/14/841492514.db2.gz XGCNLNMXIJGELW-INIZCTEOSA-N 1 2 320.437 1.528 20 30 DDEDLO C=CC1(CC(=O)NC[C@H]2CC[N@H+]2[C@@H](C)C(N)=O)CCCCC1 ZINC001269320784 841514797 /nfs/dbraw/zinc/51/47/97/841514797.db2.gz JDVATRYFPOYNAF-UONOGXRCSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CC1(CC(=O)NC[C@H]2CC[N@@H+]2[C@@H](C)C(N)=O)CCCCC1 ZINC001269320784 841514806 /nfs/dbraw/zinc/51/48/06/841514806.db2.gz JDVATRYFPOYNAF-UONOGXRCSA-N 1 2 307.438 1.577 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H](C)Oc1ccccc1 ZINC001269336579 841534827 /nfs/dbraw/zinc/53/48/27/841534827.db2.gz DDICTPZALBDHMS-HZPDHXFCSA-N 1 2 316.401 1.294 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H](C)Oc1ccccc1 ZINC001269336579 841534836 /nfs/dbraw/zinc/53/48/36/841534836.db2.gz DDICTPZALBDHMS-HZPDHXFCSA-N 1 2 316.401 1.294 20 30 DDEDLO C=C[C@@H]1C[C@]1(NC(=O)OC(C)(C)C)C(=O)N1CC2(CC[N@H+]2C)C1 ZINC001269431720 841635154 /nfs/dbraw/zinc/63/51/54/841635154.db2.gz YPHJLFGOWRUWOV-SJKOYZFVSA-N 1 2 321.421 1.372 20 30 DDEDLO C=C[C@@H]1C[C@]1(NC(=O)OC(C)(C)C)C(=O)N1CC2(CC[N@@H+]2C)C1 ZINC001269431720 841635162 /nfs/dbraw/zinc/63/51/62/841635162.db2.gz YPHJLFGOWRUWOV-SJKOYZFVSA-N 1 2 321.421 1.372 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2([NH2+]Cc3cc(C)on3)CC2)c1 ZINC001269489084 841687928 /nfs/dbraw/zinc/68/79/28/841687928.db2.gz GLNNPPFRKDEMHD-UHFFFAOYSA-N 1 2 310.357 1.412 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)[C@@H]4CC4(F)F)C3)C2)cc1C#N ZINC001270661682 842790465 /nfs/dbraw/zinc/79/04/65/842790465.db2.gz LRMZCGZWYRTSFU-ZDUSSCGKSA-N 1 2 320.343 1.196 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@@H+]([C@@H](C)c2nc(C)no2)C1 ZINC001270663859 842792705 /nfs/dbraw/zinc/79/27/05/842792705.db2.gz GAWIWEUOSAMJAI-BLLLJJGKSA-N 1 2 322.409 1.612 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@H+]([C@@H](C)c2nc(C)no2)C1 ZINC001270663859 842792715 /nfs/dbraw/zinc/79/27/15/842792715.db2.gz GAWIWEUOSAMJAI-BLLLJJGKSA-N 1 2 322.409 1.612 20 30 DDEDLO CCc1nc(C[NH2+]C2(CNC(=O)C#CC3CC3)CCCC2)no1 ZINC001270743502 842863390 /nfs/dbraw/zinc/86/33/90/842863390.db2.gz QVUDCSJARCWUQD-UHFFFAOYSA-N 1 2 316.405 1.564 20 30 DDEDLO COC(=O)CO[C@H]1CC[N@H+](Cc2c(F)ccc(C#N)c2F)C1 ZINC001143179329 861399338 /nfs/dbraw/zinc/39/93/38/861399338.db2.gz ZBTZEFQPVGKMRH-NSHDSACASA-N 1 2 310.300 1.600 20 30 DDEDLO COC(=O)CO[C@H]1CC[N@@H+](Cc2c(F)ccc(C#N)c2F)C1 ZINC001143179329 861399357 /nfs/dbraw/zinc/39/93/57/861399357.db2.gz ZBTZEFQPVGKMRH-NSHDSACASA-N 1 2 310.300 1.600 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2C[C@@]2(C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001271212540 843417661 /nfs/dbraw/zinc/41/76/61/843417661.db2.gz UFCUVXMWCMPAAR-PSTGCABASA-N 1 2 323.356 1.281 20 30 DDEDLO C[C@H]1CCCC[C@H]1CC(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001272185260 844683591 /nfs/dbraw/zinc/68/35/91/844683591.db2.gz JQFDCWQEGCHVAA-GJZGRUSLSA-N 1 2 322.453 1.233 20 30 DDEDLO C[C@H]1CCCC[C@H]1CC(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001272185260 844683597 /nfs/dbraw/zinc/68/35/97/844683597.db2.gz JQFDCWQEGCHVAA-GJZGRUSLSA-N 1 2 322.453 1.233 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1COCC[N@@H+]1CC[C@H]1CCOC1 ZINC001326635297 861499366 /nfs/dbraw/zinc/49/93/66/861499366.db2.gz WBSBCEUVLNBNIO-HOTGVXAUSA-N 1 2 322.449 1.280 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1COCC[N@H+]1CC[C@H]1CCOC1 ZINC001326635297 861499379 /nfs/dbraw/zinc/49/93/79/861499379.db2.gz WBSBCEUVLNBNIO-HOTGVXAUSA-N 1 2 322.449 1.280 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2cncc(F)c2)CCCO1 ZINC001149408965 861600586 /nfs/dbraw/zinc/60/05/86/861600586.db2.gz SHPNBAGGTXDOHS-IUODEOHRSA-N 1 2 320.368 1.087 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2cncc(F)c2)CCCO1 ZINC001149408965 861600592 /nfs/dbraw/zinc/60/05/92/861600592.db2.gz SHPNBAGGTXDOHS-IUODEOHRSA-N 1 2 320.368 1.087 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1cc[nH]n1 ZINC001272539680 846289942 /nfs/dbraw/zinc/28/99/42/846289942.db2.gz ZDFXXZMHCUBZHB-INIZCTEOSA-N 1 2 301.394 1.670 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1cc[nH]n1 ZINC001272539680 846289953 /nfs/dbraw/zinc/28/99/53/846289953.db2.gz ZDFXXZMHCUBZHB-INIZCTEOSA-N 1 2 301.394 1.670 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](Cc3ccccc3O)C2)OCC1=O ZINC001272619702 846419163 /nfs/dbraw/zinc/41/91/63/846419163.db2.gz YLRFAVKUJWSCJQ-UHFFFAOYSA-N 1 2 302.374 1.382 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077682154 846966427 /nfs/dbraw/zinc/96/64/27/846966427.db2.gz HBHMNYRNPVJRPD-GXTWGEPZSA-N 1 2 320.437 1.874 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001077722866 847281084 /nfs/dbraw/zinc/28/10/84/847281084.db2.gz GCBAZRPRTMMXBS-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1COCC[N@H+]1CC/C=C\CC ZINC001272851747 847549980 /nfs/dbraw/zinc/54/99/80/847549980.db2.gz UYEASALVLCMRGF-TWIRLKNGSA-N 1 2 310.438 1.487 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1COCC[N@@H+]1CC/C=C\CC ZINC001272851747 847549990 /nfs/dbraw/zinc/54/99/90/847549990.db2.gz UYEASALVLCMRGF-TWIRLKNGSA-N 1 2 310.438 1.487 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3cncc(Cl)c3)C2)OCC1=O ZINC001272856011 847557412 /nfs/dbraw/zinc/55/74/12/847557412.db2.gz KGBWHQZDVJUKGA-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3cncc(Cl)c3)C2)OCC1=O ZINC001272856011 847557420 /nfs/dbraw/zinc/55/74/20/847557420.db2.gz KGBWHQZDVJUKGA-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)Cc1ccccc1OC ZINC001272901206 847611728 /nfs/dbraw/zinc/61/17/28/847611728.db2.gz DVXHHGVPNJEXQU-INIZCTEOSA-N 1 2 316.401 1.078 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)Cc1ccccc1OC ZINC001272901206 847611732 /nfs/dbraw/zinc/61/17/32/847611732.db2.gz DVXHHGVPNJEXQU-INIZCTEOSA-N 1 2 316.401 1.078 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3c(C)[nH]c4ccccc43)C2)OCC1=O ZINC001272987685 847748826 /nfs/dbraw/zinc/74/88/26/847748826.db2.gz HVUTWAGMKOWCBQ-UHFFFAOYSA-N 1 2 323.396 1.523 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H](C)CSC)C2)CC1 ZINC001327083020 861866679 /nfs/dbraw/zinc/86/66/79/861866679.db2.gz QPJJBOREPORFIQ-JKSUJKDBSA-N 1 2 323.506 1.227 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](C[C@@H](F)CC)C[C@@H]1n1ccnn1 ZINC001128939391 848359284 /nfs/dbraw/zinc/35/92/84/848359284.db2.gz ONYWKNVSSADUKP-ZNMIVQPWSA-N 1 2 321.400 1.171 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](C[C@@H](F)CC)C[C@@H]1n1ccnn1 ZINC001128939391 848359287 /nfs/dbraw/zinc/35/92/87/848359287.db2.gz ONYWKNVSSADUKP-ZNMIVQPWSA-N 1 2 321.400 1.171 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C\c1ccco1)CO2 ZINC001327372309 862113658 /nfs/dbraw/zinc/11/36/58/862113658.db2.gz LMUVGXMOVYEVRM-AFNCTOJWSA-N 1 2 300.358 1.276 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC=CCC1)CO2 ZINC001327371531 862113986 /nfs/dbraw/zinc/11/39/86/862113986.db2.gz GWTIUIBOZREPCT-CVEARBPZSA-N 1 2 320.433 1.505 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@@H]1COCCO1)C(C)C ZINC001411190192 850361462 /nfs/dbraw/zinc/36/14/62/850361462.db2.gz DLRFONLNDHGKIW-ZDUSSCGKSA-N 1 2 304.818 1.323 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@@H]1COCCO1)C(C)C ZINC001411190192 850361474 /nfs/dbraw/zinc/36/14/74/850361474.db2.gz DLRFONLNDHGKIW-ZDUSSCGKSA-N 1 2 304.818 1.323 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001155489923 862152502 /nfs/dbraw/zinc/15/25/02/862152502.db2.gz OMKLDHNZCFSZGL-CYBMUJFWSA-N 1 2 307.442 1.744 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001155489923 862152506 /nfs/dbraw/zinc/15/25/06/862152506.db2.gz OMKLDHNZCFSZGL-CYBMUJFWSA-N 1 2 307.442 1.744 20 30 DDEDLO C=CCCC[NH+]1CC2(C1)CN(C(=O)c1nccs1)CCO2 ZINC001273637070 851172496 /nfs/dbraw/zinc/17/24/96/851172496.db2.gz MZYBGYVVMQHOPN-UHFFFAOYSA-N 1 2 307.419 1.636 20 30 DDEDLO C=CCCC[NH+]1CC2(C1)C[C@H](NC(=O)Cn1ccnc1C)CO2 ZINC001273729067 851280524 /nfs/dbraw/zinc/28/05/24/851280524.db2.gz GZOAEDGLLMILIX-HNNXBMFYSA-N 1 2 318.421 1.117 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2CC(=O)c1cccc(O)c1 ZINC001273789133 851344710 /nfs/dbraw/zinc/34/47/10/851344710.db2.gz QJAGMESGXVFDSJ-HZPDHXFCSA-N 1 2 314.385 1.826 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2CC(=O)c1cccc(O)c1 ZINC001273789133 851344715 /nfs/dbraw/zinc/34/47/15/851344715.db2.gz QJAGMESGXVFDSJ-HZPDHXFCSA-N 1 2 314.385 1.826 20 30 DDEDLO C=CCN1C(=O)C[C@@]2(CCC[N@@H+](Cc3ncc(F)cn3)C2)C1=O ZINC001273941270 851531321 /nfs/dbraw/zinc/53/13/21/851531321.db2.gz KFLUXPQEZRARBB-MRXNPFEDSA-N 1 2 318.352 1.143 20 30 DDEDLO C=CCN1C(=O)C[C@@]2(CCC[N@H+](Cc3ncc(F)cn3)C2)C1=O ZINC001273941270 851531326 /nfs/dbraw/zinc/53/13/26/851531326.db2.gz KFLUXPQEZRARBB-MRXNPFEDSA-N 1 2 318.352 1.143 20 30 DDEDLO C=CCN1CC[C@]2(CC[N@H+](Cc3n[nH]c4c3CCC4)C2)C1=O ZINC001274569705 852403646 /nfs/dbraw/zinc/40/36/46/852403646.db2.gz KFGLJRIQFWNWJY-KRWDZBQOSA-N 1 2 300.406 1.509 20 30 DDEDLO C=CCN1CC[C@]2(CC[N@@H+](Cc3n[nH]c4c3CCC4)C2)C1=O ZINC001274569705 852403650 /nfs/dbraw/zinc/40/36/50/852403650.db2.gz KFGLJRIQFWNWJY-KRWDZBQOSA-N 1 2 300.406 1.509 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)CSCC#N)CC[N@@H+]1Cc1ccon1 ZINC001274597445 852426628 /nfs/dbraw/zinc/42/66/28/852426628.db2.gz OJPFXAJVDQXFMH-NEPJUHHUSA-N 1 2 308.407 1.400 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)CSCC#N)CC[N@H+]1Cc1ccon1 ZINC001274597445 852426634 /nfs/dbraw/zinc/42/66/34/852426634.db2.gz OJPFXAJVDQXFMH-NEPJUHHUSA-N 1 2 308.407 1.400 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@@H+](Cc2nc(COC)no2)CC1 ZINC001280708506 852508057 /nfs/dbraw/zinc/50/80/57/852508057.db2.gz KNQGTYDWWIQZSB-CYBMUJFWSA-N 1 2 322.409 1.653 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@H+](Cc2nc(COC)no2)CC1 ZINC001280708506 852508061 /nfs/dbraw/zinc/50/80/61/852508061.db2.gz KNQGTYDWWIQZSB-CYBMUJFWSA-N 1 2 322.409 1.653 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)cc1F ZINC001275096055 852802915 /nfs/dbraw/zinc/80/29/15/852802915.db2.gz UJBAGINRISYUON-UHFFFAOYSA-N 1 2 324.359 1.983 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)[C@H](C)[NH2+]Cc2nc(CC)no2)C1 ZINC001275493646 853222600 /nfs/dbraw/zinc/22/26/00/853222600.db2.gz UISICVGYFBIXOP-NWDGAFQWSA-N 1 2 306.410 1.971 20 30 DDEDLO COCCOCN1CC2(C1)C[NH+](Cc1cc(C#N)ccc1O)C2 ZINC001275550894 853321323 /nfs/dbraw/zinc/32/13/23/853321323.db2.gz PYABLVQLGTVRIK-UHFFFAOYSA-N 1 2 317.389 1.002 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)C[N@H+](C)Cc1c(F)cccc1F ZINC001275561241 853346581 /nfs/dbraw/zinc/34/65/81/853346581.db2.gz YUTDJGFOPAXTOD-QWHCGFSZSA-N 1 2 324.371 1.940 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1c(F)cccc1F ZINC001275561241 853346585 /nfs/dbraw/zinc/34/65/85/853346585.db2.gz YUTDJGFOPAXTOD-QWHCGFSZSA-N 1 2 324.371 1.940 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3CN(Cc4ccc[nH]4)C[C@@H](C2)O3)cc1 ZINC001275829140 853830953 /nfs/dbraw/zinc/83/09/53/853830953.db2.gz SAXOBQXEVMAUOL-KDURUIRLSA-N 1 2 322.412 1.972 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3CN(Cc4ccc[nH]4)C[C@@H](C2)O3)cc1 ZINC001275829140 853830957 /nfs/dbraw/zinc/83/09/57/853830957.db2.gz SAXOBQXEVMAUOL-KDURUIRLSA-N 1 2 322.412 1.972 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[N@H+](C)Cc2cnnn2C)cc1 ZINC001275897554 853916560 /nfs/dbraw/zinc/91/65/60/853916560.db2.gz QBVWTTWEHWNVGU-CYBMUJFWSA-N 1 2 311.389 1.047 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2cnnn2C)cc1 ZINC001275897554 853916564 /nfs/dbraw/zinc/91/65/64/853916564.db2.gz QBVWTTWEHWNVGU-CYBMUJFWSA-N 1 2 311.389 1.047 20 30 DDEDLO Cn1cc(CN2CCC23C[NH+](Cc2ccncc2O)C3)cc1C#N ZINC001275997814 854114238 /nfs/dbraw/zinc/11/42/38/854114238.db2.gz DQUPLTYZWJPKSG-UHFFFAOYSA-N 1 2 323.400 1.458 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nc3c(s1)CCC3)C2 ZINC001095760176 855324734 /nfs/dbraw/zinc/32/47/34/855324734.db2.gz OTNCGWXQJOVHDP-WXHSDQCUSA-N 1 2 301.415 1.600 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nc3c(s1)CCC3)C2 ZINC001095760176 855324744 /nfs/dbraw/zinc/32/47/44/855324744.db2.gz OTNCGWXQJOVHDP-WXHSDQCUSA-N 1 2 301.415 1.600 20 30 DDEDLO COCC#CC(=O)N[C@H](C)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001328084992 862684816 /nfs/dbraw/zinc/68/48/16/862684816.db2.gz UDIBBXBXFNXJFN-NVXWUHKLSA-N 1 2 316.401 1.042 20 30 DDEDLO COCC#CC(=O)N[C@H](C)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001328084992 862684820 /nfs/dbraw/zinc/68/48/20/862684820.db2.gz UDIBBXBXFNXJFN-NVXWUHKLSA-N 1 2 316.401 1.042 20 30 DDEDLO C[N@H+](CC(=O)NCc1ccc(C#N)cc1)Cc1cccc(=O)[nH]1 ZINC001413071287 856344674 /nfs/dbraw/zinc/34/46/74/856344674.db2.gz SCALGXHCAILFRF-UHFFFAOYSA-N 1 2 310.357 1.407 20 30 DDEDLO C[N@@H+](CC(=O)NCc1ccc(C#N)cc1)Cc1cccc(=O)[nH]1 ZINC001413071287 856344679 /nfs/dbraw/zinc/34/46/79/856344679.db2.gz SCALGXHCAILFRF-UHFFFAOYSA-N 1 2 310.357 1.407 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072605652 857505001 /nfs/dbraw/zinc/50/50/01/857505001.db2.gz INJAIIKYNANSEG-KBPBESRZSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCCC(=O)N1CCC(C)(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001073226227 858188547 /nfs/dbraw/zinc/18/85/47/858188547.db2.gz PJIGMKVXGOBWAY-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cc(C)no2)C1 ZINC001073528655 858414580 /nfs/dbraw/zinc/41/45/80/858414580.db2.gz SPHKWQWHVPYDDE-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cc(C)no2)C1 ZINC001073528655 858414583 /nfs/dbraw/zinc/41/45/83/858414583.db2.gz SPHKWQWHVPYDDE-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC(c2c[nH+]cn2C)CC1 ZINC001121956420 858644845 /nfs/dbraw/zinc/64/48/45/858644845.db2.gz AVQPBGQLJIIWAQ-HOCLYGCPSA-N 1 2 318.421 1.900 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1CCN(C)[C@H](C(=O)OC)C1 ZINC001139030449 860259621 /nfs/dbraw/zinc/25/96/21/860259621.db2.gz NLGWVRREMOGTKZ-HNNXBMFYSA-N 1 2 304.390 1.540 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1CCN(C)[C@H](C(=O)OC)C1 ZINC001139030449 860259634 /nfs/dbraw/zinc/25/96/34/860259634.db2.gz NLGWVRREMOGTKZ-HNNXBMFYSA-N 1 2 304.390 1.540 20 30 DDEDLO C#CCN1CC[NH+](Cc2c(O)ccc3c(C)cc(=O)oc32)CC1 ZINC001139130573 860301322 /nfs/dbraw/zinc/30/13/22/860301322.db2.gz FZXRCTJTQDCLTQ-UHFFFAOYSA-N 1 2 312.369 1.558 20 30 DDEDLO N#Cc1c[nH]c2c1cccc2C(=O)N[C@@H](CO)Cc1c[nH]c[nH+]1 ZINC001157173563 863630930 /nfs/dbraw/zinc/63/09/30/863630930.db2.gz DSFNAPXRJMKBPQ-GFCCVEGCSA-N 1 2 309.329 1.096 20 30 DDEDLO N#Cc1c[nH]c2c1cccc2C(=O)N[C@@H](CO)Cc1c[nH+]c[nH]1 ZINC001157173563 863630937 /nfs/dbraw/zinc/63/09/37/863630937.db2.gz DSFNAPXRJMKBPQ-GFCCVEGCSA-N 1 2 309.329 1.096 20 30 DDEDLO C=CC1(CC(=O)NC2(CCO)C[NH+](CCOC)C2)CCCCC1 ZINC001329705337 863800749 /nfs/dbraw/zinc/80/07/49/863800749.db2.gz MZXFADQSBUWVGT-UHFFFAOYSA-N 1 2 324.465 1.712 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cc(C)on1 ZINC001153326959 863826882 /nfs/dbraw/zinc/82/68/82/863826882.db2.gz HOQYQGLYCZISEN-OCCSQVGLSA-N 1 2 307.394 1.348 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cc(C)on1 ZINC001153326959 863826890 /nfs/dbraw/zinc/82/68/90/863826890.db2.gz HOQYQGLYCZISEN-OCCSQVGLSA-N 1 2 307.394 1.348 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H](C)C1C[NH+](Cc2ccc(F)cn2)C1 ZINC001329983149 863991302 /nfs/dbraw/zinc/99/13/02/863991302.db2.gz IGIYQBWUDAGLDV-PXAZEXFGSA-N 1 2 321.396 1.484 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)C1C[NH+](Cc2cnnn2CC)C1 ZINC001329983587 863994723 /nfs/dbraw/zinc/99/47/23/863994723.db2.gz KMHHQNMPWUNOKE-AWEZNQCLSA-N 1 2 317.437 1.428 20 30 DDEDLO N#Cc1cc2c(nc1NCC1([NH+]3CCCC3)COC1)CCOC2 ZINC001157654264 864016300 /nfs/dbraw/zinc/01/63/00/864016300.db2.gz GASHLGIAMISLIC-UHFFFAOYSA-N 1 2 314.389 1.303 20 30 DDEDLO COc1cnc(N2CCN(c3cc(CC#N)cc[nH+]3)CC2)nc1 ZINC001158065424 864402580 /nfs/dbraw/zinc/40/25/80/864402580.db2.gz VCBRKGOFKYPXLT-UHFFFAOYSA-N 1 2 310.361 1.273 20 30 DDEDLO C=CCC[N@@H+](C)C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001332632688 865997685 /nfs/dbraw/zinc/99/76/85/865997685.db2.gz OLFFXSSAZNNYBL-ZJUUUORDSA-N 1 2 300.296 1.214 20 30 DDEDLO C=CCC[N@H+](C)C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001332632688 865997693 /nfs/dbraw/zinc/99/76/93/865997693.db2.gz OLFFXSSAZNNYBL-ZJUUUORDSA-N 1 2 300.296 1.214 20 30 DDEDLO C=CCC[N@@H+](C)C[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001332632688 865997703 /nfs/dbraw/zinc/99/77/03/865997703.db2.gz OLFFXSSAZNNYBL-ZJUUUORDSA-N 1 2 300.296 1.214 20 30 DDEDLO C=CCC[N@H+](C)C[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001332632688 865997717 /nfs/dbraw/zinc/99/77/17/865997717.db2.gz OLFFXSSAZNNYBL-ZJUUUORDSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H](O)C[N@H+](C)[C@H](C)c1nc(C)no1 ZINC001332634602 866004850 /nfs/dbraw/zinc/00/48/50/866004850.db2.gz ZRONCOUQDZWCKO-OCCSQVGLSA-N 1 2 324.425 1.546 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H](O)C[N@@H+](C)[C@H](C)c1nc(C)no1 ZINC001332634602 866004838 /nfs/dbraw/zinc/00/48/38/866004838.db2.gz ZRONCOUQDZWCKO-OCCSQVGLSA-N 1 2 324.425 1.546 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc2cc(C)on2)C1 ZINC001332664249 866041583 /nfs/dbraw/zinc/04/15/83/866041583.db2.gz SXIDBCHMXDVSNH-HNNXBMFYSA-N 1 2 321.421 1.590 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc2cc(C)on2)C1 ZINC001332664249 866041596 /nfs/dbraw/zinc/04/15/96/866041596.db2.gz SXIDBCHMXDVSNH-HNNXBMFYSA-N 1 2 321.421 1.590 20 30 DDEDLO C#CCn1ccc(CN(CCOC)Cc2c(C)cc[nH+]c2N)n1 ZINC001332705956 866083063 /nfs/dbraw/zinc/08/30/63/866083063.db2.gz BPXOYAXZWJKVRW-UHFFFAOYSA-N 1 2 313.405 1.451 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@H+](C)CCN(C)C(=O)C#CC1CC1 ZINC001319999421 866423466 /nfs/dbraw/zinc/42/34/66/866423466.db2.gz GMYPWISUBYSYNR-AWEZNQCLSA-N 1 2 307.438 1.095 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@@H+](C)CCN(C)C(=O)C#CC1CC1 ZINC001319999421 866423472 /nfs/dbraw/zinc/42/34/72/866423472.db2.gz GMYPWISUBYSYNR-AWEZNQCLSA-N 1 2 307.438 1.095 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)NC3(CCCCCC3)C2=O)C1 ZINC001320029861 866435992 /nfs/dbraw/zinc/43/59/92/866435992.db2.gz CVRDHRDJJBNWPF-AWEZNQCLSA-N 1 2 321.421 1.866 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)NC3(CCCCCC3)C2=O)C1 ZINC001320029861 866435995 /nfs/dbraw/zinc/43/59/95/866435995.db2.gz CVRDHRDJJBNWPF-AWEZNQCLSA-N 1 2 321.421 1.866 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)C(C)(C)[C@@H]2CCCCO2)C1 ZINC001323931892 866956573 /nfs/dbraw/zinc/95/65/73/866956573.db2.gz WFXZNPMKJUWCOG-INIZCTEOSA-N 1 2 324.465 1.927 20 30 DDEDLO C[C@@H](C(=O)Nc1n[nH]c(-c2cccc(C#N)c2)n1)n1cc[nH+]c1 ZINC001161914276 867093136 /nfs/dbraw/zinc/09/31/36/867093136.db2.gz OMXFADXXADUDQG-JTQLQIEISA-N 1 2 307.317 1.740 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)C1 ZINC001320899274 867110731 /nfs/dbraw/zinc/11/07/31/867110731.db2.gz RZAXINBIPZTHKF-AWEZNQCLSA-N 1 2 311.426 1.084 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)NCC[N@H+]2CCOC(C)(C)C2)C1 ZINC001320899274 867110738 /nfs/dbraw/zinc/11/07/38/867110738.db2.gz RZAXINBIPZTHKF-AWEZNQCLSA-N 1 2 311.426 1.084 20 30 DDEDLO C=CCn1cc(CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)nn1 ZINC001162480784 867564428 /nfs/dbraw/zinc/56/44/28/867564428.db2.gz SELPVGZYCDSKCT-UHFFFAOYSA-N 1 2 308.345 1.580 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)N[C@@](C)(C2CCCC2)C1=O ZINC001321474016 867613801 /nfs/dbraw/zinc/61/38/01/867613801.db2.gz NADTVYJOPZJAML-KRWDZBQOSA-N 1 2 303.406 1.790 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)N[C@@](C)(C2CCCC2)C1=O ZINC001321474016 867613810 /nfs/dbraw/zinc/61/38/10/867613810.db2.gz NADTVYJOPZJAML-KRWDZBQOSA-N 1 2 303.406 1.790 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+]2CC[S@](=O)CC2(C)C)cc1 ZINC001335894799 868602853 /nfs/dbraw/zinc/60/28/53/868602853.db2.gz YRSODEJHMRYDFT-QFIPXVFZSA-N 1 2 318.442 1.839 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+]2CC[S@](=O)CC2(C)C)cc1 ZINC001335894799 868602857 /nfs/dbraw/zinc/60/28/57/868602857.db2.gz YRSODEJHMRYDFT-QFIPXVFZSA-N 1 2 318.442 1.839 20 30 DDEDLO N#Cc1cnn2cc(C[NH2+][C@H](c3ncccn3)C3CC3)cnc12 ZINC001336855512 869184623 /nfs/dbraw/zinc/18/46/23/869184623.db2.gz ZBEYIHHYDUVVQI-AWEZNQCLSA-N 1 2 305.345 1.632 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)CCc2ccc(C)cc2)C1 ZINC001337553768 869538088 /nfs/dbraw/zinc/53/80/88/869538088.db2.gz DFJQNYWQMUYOLV-QGZVFWFLSA-N 1 2 320.458 1.555 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)CCc2ccc(C)cc2)C1 ZINC001337553768 869538098 /nfs/dbraw/zinc/53/80/98/869538098.db2.gz DFJQNYWQMUYOLV-QGZVFWFLSA-N 1 2 320.458 1.555 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001316976108 870037633 /nfs/dbraw/zinc/03/76/33/870037633.db2.gz JXGLTMRGDLKSMY-CABCVRRESA-N 1 2 300.406 1.696 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CC[C@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001316976108 870037646 /nfs/dbraw/zinc/03/76/46/870037646.db2.gz JXGLTMRGDLKSMY-CABCVRRESA-N 1 2 300.406 1.696 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001297684972 870138562 /nfs/dbraw/zinc/13/85/62/870138562.db2.gz BWOWNOJZPTZPPM-CYBMUJFWSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](CC(C)C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001297851403 870189925 /nfs/dbraw/zinc/18/99/25/870189925.db2.gz MOTPDBRGNDXJIJ-CYBMUJFWSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CCCC(=O)N[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)(C)C ZINC001338833992 870194483 /nfs/dbraw/zinc/19/44/83/870194483.db2.gz KUSJEJNFUZJEHS-CYBMUJFWSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001317068843 870196265 /nfs/dbraw/zinc/19/62/65/870196265.db2.gz BMCDLTMIDGWNFH-ZDUSSCGKSA-N 1 2 322.409 1.476 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1C[C@H]([NH2+]Cc2noc(CCC)n2)C1 ZINC001317077559 870210265 /nfs/dbraw/zinc/21/02/65/870210265.db2.gz OGLYGVVKZDOJIS-MKBNYLNASA-N 1 2 322.409 1.086 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H]([NH2+]Cc2noc(CC)n2)C1 ZINC001317088417 870226442 /nfs/dbraw/zinc/22/64/42/870226442.db2.gz PKMALEUOUORYAG-HAQNSBGRSA-N 1 2 306.410 1.971 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)CCOCC ZINC001338895223 870228569 /nfs/dbraw/zinc/22/85/69/870228569.db2.gz NSPGSPQMHQCQMW-ZIAGYGMSSA-N 1 2 323.441 1.064 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)CCOCC ZINC001338895223 870228582 /nfs/dbraw/zinc/22/85/82/870228582.db2.gz NSPGSPQMHQCQMW-ZIAGYGMSSA-N 1 2 323.441 1.064 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H](CCCN2C(=O)CCCn2cc[nH+]c2)C1 ZINC001317099287 870244378 /nfs/dbraw/zinc/24/43/78/870244378.db2.gz AEILBMGPLJWEMN-JKSUJKDBSA-N 1 2 315.421 1.500 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCC[N@H+](Cc2cnns2)C1 ZINC001317130437 870316241 /nfs/dbraw/zinc/31/62/41/870316241.db2.gz IMXPXKLYCIKFRZ-ZDUSSCGKSA-N 1 2 306.435 1.526 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCC[N@@H+](Cc2cnns2)C1 ZINC001317130437 870316254 /nfs/dbraw/zinc/31/62/54/870316254.db2.gz IMXPXKLYCIKFRZ-ZDUSSCGKSA-N 1 2 306.435 1.526 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[NH2+]CC(F)(F)C(F)F ZINC001166986993 870452238 /nfs/dbraw/zinc/45/22/38/870452238.db2.gz RGWHTGJABSLWPR-ZJUUUORDSA-N 1 2 312.307 1.574 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[NH2+][C@@H](C)c1noc(C)n1 ZINC001166987192 870452543 /nfs/dbraw/zinc/45/25/43/870452543.db2.gz WREZVWAGMIQZNN-UHTWSYAYSA-N 1 2 308.382 1.126 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001298851557 870755203 /nfs/dbraw/zinc/75/52/03/870755203.db2.gz XAJJUWIVPNXOMX-STQMWFEESA-N 1 2 306.410 1.186 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@@H+](C)Cc2ccc(OC)nn2)cc1 ZINC001317459833 870876275 /nfs/dbraw/zinc/87/62/75/870876275.db2.gz TYMFXUXCICSERR-UHFFFAOYSA-N 1 2 324.384 1.328 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@H+](C)Cc2ccc(OC)nn2)cc1 ZINC001317459833 870876288 /nfs/dbraw/zinc/87/62/88/870876288.db2.gz TYMFXUXCICSERR-UHFFFAOYSA-N 1 2 324.384 1.328 20 30 DDEDLO C=CCn1c([C@@H]2C[N@@H+]3CCCC[C@H]3CO2)nnc1N1CCCC1 ZINC001340195774 870950942 /nfs/dbraw/zinc/95/09/42/870950942.db2.gz OTRAIXHWSMMYCM-GJZGRUSLSA-N 1 2 317.437 1.990 20 30 DDEDLO C=CCn1c([C@@H]2C[N@H+]3CCCC[C@H]3CO2)nnc1N1CCCC1 ZINC001340195774 870950943 /nfs/dbraw/zinc/95/09/43/870950943.db2.gz OTRAIXHWSMMYCM-GJZGRUSLSA-N 1 2 317.437 1.990 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CCC)CC1CC1 ZINC001340258088 870992920 /nfs/dbraw/zinc/99/29/20/870992920.db2.gz FRWHWHYKBCRMEF-CABCVRRESA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CCC)CC1CC1 ZINC001340258088 870992928 /nfs/dbraw/zinc/99/29/28/870992928.db2.gz FRWHWHYKBCRMEF-CABCVRRESA-N 1 2 319.453 1.828 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+](Cc2nc(N(C)C)no2)C1 ZINC001317526957 870996684 /nfs/dbraw/zinc/99/66/84/870996684.db2.gz KBRHBZDPBXDMCX-GFCCVEGCSA-N 1 2 321.425 1.286 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+](Cc2nc(N(C)C)no2)C1 ZINC001317526957 870996690 /nfs/dbraw/zinc/99/66/90/870996690.db2.gz KBRHBZDPBXDMCX-GFCCVEGCSA-N 1 2 321.425 1.286 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCC[C@H](O)C3)n2CC)CC1 ZINC001340274274 871000348 /nfs/dbraw/zinc/00/03/48/871000348.db2.gz MYXXLAXRFCPEED-GJZGRUSLSA-N 1 2 317.437 1.072 20 30 DDEDLO C=CCCC(=O)NC1CC[NH+](Cc2nnc(C)n2C2CC2)CC1 ZINC001226527279 882485509 /nfs/dbraw/zinc/48/55/09/882485509.db2.gz GSDIQHFSEJOGHF-UHFFFAOYSA-N 1 2 317.437 1.968 20 30 DDEDLO CC(C)(C)OC(=O)NCC12CCC(CC1)[N@@H+]2CC(=O)NCC#N ZINC001308149633 871475137 /nfs/dbraw/zinc/47/51/37/871475137.db2.gz NBBJXMBFLJCQEL-UHFFFAOYSA-N 1 2 322.409 1.148 20 30 DDEDLO CC(C)(C)OC(=O)NCC12CCC(CC1)[N@H+]2CC(=O)NCC#N ZINC001308149633 871475143 /nfs/dbraw/zinc/47/51/43/871475143.db2.gz NBBJXMBFLJCQEL-UHFFFAOYSA-N 1 2 322.409 1.148 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)CCc1ccsc1 ZINC001317818962 871543692 /nfs/dbraw/zinc/54/36/92/871543692.db2.gz NFNDKZVHBLTYPP-UHFFFAOYSA-N 1 2 320.462 1.280 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2(CC=C)CCC2)C1 ZINC001317943751 871641545 /nfs/dbraw/zinc/64/15/45/871641545.db2.gz SXFZYUWCFNMQDG-OAHLLOKOSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C2(CC=C)CCC2)C1 ZINC001317943751 871641552 /nfs/dbraw/zinc/64/15/52/871641552.db2.gz SXFZYUWCFNMQDG-OAHLLOKOSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H]1CCCNC1=O ZINC001318201516 871825583 /nfs/dbraw/zinc/82/55/83/871825583.db2.gz PPKYMLBRDIFRIM-IHRRRGAJSA-N 1 2 305.422 1.152 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H]1CCCNC1=O ZINC001318201516 871825597 /nfs/dbraw/zinc/82/55/97/871825597.db2.gz PPKYMLBRDIFRIM-IHRRRGAJSA-N 1 2 305.422 1.152 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)C(C)(F)F ZINC001318214110 871835411 /nfs/dbraw/zinc/83/54/11/871835411.db2.gz CVMRPECELVMTAY-AVGNSLFASA-N 1 2 300.349 1.213 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)C(C)(F)F ZINC001318214110 871835422 /nfs/dbraw/zinc/83/54/22/871835422.db2.gz CVMRPECELVMTAY-AVGNSLFASA-N 1 2 300.349 1.213 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)(C)C)C2)sn1 ZINC001318253996 871887912 /nfs/dbraw/zinc/88/79/12/871887912.db2.gz ZNCAFFSSZNRDQJ-LBPRGKRZSA-N 1 2 321.446 1.892 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)(C)C)C2)sn1 ZINC001318253996 871887930 /nfs/dbraw/zinc/88/79/30/871887930.db2.gz ZNCAFFSSZNRDQJ-LBPRGKRZSA-N 1 2 321.446 1.892 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001318326493 871931510 /nfs/dbraw/zinc/93/15/10/871931510.db2.gz PSRDDBXNOIZNBK-GXTWGEPZSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001318326493 871931518 /nfs/dbraw/zinc/93/15/18/871931518.db2.gz PSRDDBXNOIZNBK-GXTWGEPZSA-N 1 2 319.405 1.391 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)CSCC#N)C2)s1 ZINC001206089621 872048616 /nfs/dbraw/zinc/04/86/16/872048616.db2.gz BBSNPJDKFCOIDT-ZYHUDNBSSA-N 1 2 324.475 1.645 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)CSCC#N)C2)s1 ZINC001206089621 872048638 /nfs/dbraw/zinc/04/86/38/872048638.db2.gz BBSNPJDKFCOIDT-ZYHUDNBSSA-N 1 2 324.475 1.645 20 30 DDEDLO C[C@@H]1C[N@@H+](CC=C(Cl)Cl)C[C@H]1NC(=O)CSCC#N ZINC001206096639 872054465 /nfs/dbraw/zinc/05/44/65/872054465.db2.gz XSFFRFXWMQVXFB-NXEZZACHSA-N 1 2 322.261 1.999 20 30 DDEDLO C[C@@H]1C[N@H+](CC=C(Cl)Cl)C[C@H]1NC(=O)CSCC#N ZINC001206096639 872054458 /nfs/dbraw/zinc/05/44/58/872054458.db2.gz XSFFRFXWMQVXFB-NXEZZACHSA-N 1 2 322.261 1.999 20 30 DDEDLO C=CCn1c(N(C)C[C@H](C)CC)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001342386513 872186694 /nfs/dbraw/zinc/18/66/94/872186694.db2.gz VLPBPRLYDFWWKL-CPUCHLNUSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(C)C[C@H](C)CC)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001342386513 872186703 /nfs/dbraw/zinc/18/67/03/872186703.db2.gz VLPBPRLYDFWWKL-CPUCHLNUSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)on2)C[C@H]1C ZINC001206479618 872363845 /nfs/dbraw/zinc/36/38/45/872363845.db2.gz PYUOQCZEKWIAML-XNRPHZJLSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)on2)C[C@H]1C ZINC001206479618 872363856 /nfs/dbraw/zinc/36/38/56/872363856.db2.gz PYUOQCZEKWIAML-XNRPHZJLSA-N 1 2 321.421 1.901 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-c1cc(C#N)cnn1 ZINC001206502242 872388905 /nfs/dbraw/zinc/38/89/05/872388905.db2.gz FEZKDKPJAIPXPG-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001316939345 872419520 /nfs/dbraw/zinc/41/95/20/872419520.db2.gz HJGJXCWJDYYJLD-CYBMUJFWSA-N 1 2 304.394 1.532 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001316939345 872419529 /nfs/dbraw/zinc/41/95/29/872419529.db2.gz HJGJXCWJDYYJLD-CYBMUJFWSA-N 1 2 304.394 1.532 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](NC(=O)C(C)(C)CCC)C1 ZINC001316949125 872449769 /nfs/dbraw/zinc/44/97/69/872449769.db2.gz YUPPWWWLOFAWNN-GJZGRUSLSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](NC(=O)C(C)(C)CCC)C1 ZINC001316949125 872449775 /nfs/dbraw/zinc/44/97/75/872449775.db2.gz YUPPWWWLOFAWNN-GJZGRUSLSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](CC=C(Cl)Cl)C[C@H]1C ZINC001206614248 872477528 /nfs/dbraw/zinc/47/75/28/872477528.db2.gz SNNNIIQRERVBGF-GHMZBOCLSA-N 1 2 307.221 1.945 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](CC=C(Cl)Cl)C[C@H]1C ZINC001206614248 872477531 /nfs/dbraw/zinc/47/75/31/872477531.db2.gz SNNNIIQRERVBGF-GHMZBOCLSA-N 1 2 307.221 1.945 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C)nc2)C1 ZINC001319294644 872539162 /nfs/dbraw/zinc/53/91/62/872539162.db2.gz BHBYKCCFZPWVIP-MRXNPFEDSA-N 1 2 319.405 1.023 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C)nc2)C1 ZINC001319294644 872539171 /nfs/dbraw/zinc/53/91/71/872539171.db2.gz BHBYKCCFZPWVIP-MRXNPFEDSA-N 1 2 319.405 1.023 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1CN(Cc2c[nH+]cn2C)CCO1 ZINC001319319267 872568794 /nfs/dbraw/zinc/56/87/94/872568794.db2.gz PZVPNDUCCSOTAD-GOEBONIOSA-N 1 2 320.437 1.339 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001206913614 872755031 /nfs/dbraw/zinc/75/50/31/872755031.db2.gz RQMVJBBIOSMFEQ-UKRRQHHQSA-N 1 2 303.410 1.038 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001206913614 872755047 /nfs/dbraw/zinc/75/50/47/872755047.db2.gz RQMVJBBIOSMFEQ-UKRRQHHQSA-N 1 2 303.410 1.038 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)o1 ZINC001206948345 872829304 /nfs/dbraw/zinc/82/93/04/872829304.db2.gz GBCFUAGMCCSGIY-UXIGCNINSA-N 1 2 301.390 1.894 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)o1 ZINC001206948345 872829311 /nfs/dbraw/zinc/82/93/11/872829311.db2.gz GBCFUAGMCCSGIY-UXIGCNINSA-N 1 2 301.390 1.894 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@@H]1CCCO1 ZINC001345322433 873354543 /nfs/dbraw/zinc/35/45/43/873354543.db2.gz QLFXIOOXZAKVIZ-LSDHHAIUSA-N 1 2 317.437 1.683 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@@H]1CCCO1 ZINC001345322433 873354550 /nfs/dbraw/zinc/35/45/50/873354550.db2.gz QLFXIOOXZAKVIZ-LSDHHAIUSA-N 1 2 317.437 1.683 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCOCC3CC3)C2)C1 ZINC001276540076 873381139 /nfs/dbraw/zinc/38/11/39/873381139.db2.gz KDSSNFZZYJOLLQ-KRWDZBQOSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCOCC3CC3)C2)C1 ZINC001276540076 873381154 /nfs/dbraw/zinc/38/11/54/873381154.db2.gz KDSSNFZZYJOLLQ-KRWDZBQOSA-N 1 2 308.422 1.292 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C(=O)Nc2ccccc2)C1 ZINC001207859137 873617976 /nfs/dbraw/zinc/61/79/76/873617976.db2.gz JMKGEOGZISBYOE-BXUZGUMPSA-N 1 2 321.808 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C(=O)Nc2ccccc2)C1 ZINC001207859137 873617983 /nfs/dbraw/zinc/61/79/83/873617983.db2.gz JMKGEOGZISBYOE-BXUZGUMPSA-N 1 2 321.808 1.814 20 30 DDEDLO C#CC1(O)CCN(C(=O)NCc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC001346152813 873628125 /nfs/dbraw/zinc/62/81/25/873628125.db2.gz LLYUAKQBIUQKCG-UHFFFAOYSA-N 1 2 324.384 1.542 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)NC)CCCCC1 ZINC001347234758 874066418 /nfs/dbraw/zinc/06/64/18/874066418.db2.gz POADUQSTOVGODV-AWEZNQCLSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)NC)CCCCC1 ZINC001347234758 874066437 /nfs/dbraw/zinc/06/64/37/874066437.db2.gz POADUQSTOVGODV-AWEZNQCLSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2nccn2C)C[C@H]1C ZINC001208508865 874203054 /nfs/dbraw/zinc/20/30/54/874203054.db2.gz JWXFMYMOZOVJPO-CKEIUWERSA-N 1 2 304.438 1.959 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2nccn2C)C[C@H]1C ZINC001208508865 874203068 /nfs/dbraw/zinc/20/30/68/874203068.db2.gz JWXFMYMOZOVJPO-CKEIUWERSA-N 1 2 304.438 1.959 20 30 DDEDLO C[C@@H]1CCCC[N@@H+]1CCCCNc1[nH]c(=O)n(C)c(=O)c1C#N ZINC001347918242 874308076 /nfs/dbraw/zinc/30/80/76/874308076.db2.gz OVUXKZXJYPBLJV-GFCCVEGCSA-N 1 2 319.409 1.012 20 30 DDEDLO C[C@@H]1CCCC[N@H+]1CCCCNc1[nH]c(=O)n(C)c(=O)c1C#N ZINC001347918242 874308088 /nfs/dbraw/zinc/30/80/88/874308088.db2.gz OVUXKZXJYPBLJV-GFCCVEGCSA-N 1 2 319.409 1.012 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+](Cc2nocc2C)CC1 ZINC001227124126 882854605 /nfs/dbraw/zinc/85/46/05/882854605.db2.gz MVZDAZJTIAAPCZ-MRXNPFEDSA-N 1 2 307.394 1.391 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001348992147 874912206 /nfs/dbraw/zinc/91/22/06/874912206.db2.gz UKGUPBSLNULIEO-CYBMUJFWSA-N 1 2 318.421 1.280 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)Oc1ccc(Cl)cc1C(=O)NO ZINC001227174904 882892760 /nfs/dbraw/zinc/89/27/60/882892760.db2.gz IDJFNKSWNKMNRB-JTQLQIEISA-N 1 2 314.769 1.559 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC001210922556 875601098 /nfs/dbraw/zinc/60/10/98/875601098.db2.gz WPHXTWRWFGNVSQ-INIZCTEOSA-N 1 2 312.373 1.115 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2ncc(CC)o2)CC1 ZINC001227299327 882960090 /nfs/dbraw/zinc/96/00/90/882960090.db2.gz PQKONSOSVIHSDV-ZDUSSCGKSA-N 1 2 319.405 1.356 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(F)s2)C1 ZINC001211433500 875808510 /nfs/dbraw/zinc/80/85/10/875808510.db2.gz LWRLJPGVTKBSAC-VXGBXAGGSA-N 1 2 310.394 1.587 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(F)s2)C1 ZINC001211433500 875808516 /nfs/dbraw/zinc/80/85/16/875808516.db2.gz LWRLJPGVTKBSAC-VXGBXAGGSA-N 1 2 310.394 1.587 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213349999 875905040 /nfs/dbraw/zinc/90/50/40/875905040.db2.gz BIWUQBRRAYCAJN-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213349999 875905046 /nfs/dbraw/zinc/90/50/46/875905046.db2.gz BIWUQBRRAYCAJN-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@H]1OC ZINC001213357144 875906691 /nfs/dbraw/zinc/90/66/91/875906691.db2.gz IMHIIRYIWUQOTR-NUEKZKHPSA-N 1 2 318.421 1.709 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@H]1OC ZINC001213357144 875906699 /nfs/dbraw/zinc/90/66/99/875906699.db2.gz IMHIIRYIWUQOTR-NUEKZKHPSA-N 1 2 318.421 1.709 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2csc(C)c2)[C@H](OC)C1 ZINC001213572003 875981481 /nfs/dbraw/zinc/98/14/81/875981481.db2.gz HRGVNBOBKHUBKK-HUUCEWRRSA-N 1 2 322.430 1.135 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2csc(C)c2)[C@H](OC)C1 ZINC001213572003 875981485 /nfs/dbraw/zinc/98/14/85/875981485.db2.gz HRGVNBOBKHUBKK-HUUCEWRRSA-N 1 2 322.430 1.135 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)CC1(O)CCC1 ZINC001379040683 876202532 /nfs/dbraw/zinc/20/25/32/876202532.db2.gz HFDRUAORJMBSQG-UHFFFAOYSA-N 1 2 315.417 1.754 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)CC1(O)CCC1 ZINC001379040683 876202542 /nfs/dbraw/zinc/20/25/42/876202542.db2.gz HFDRUAORJMBSQG-UHFFFAOYSA-N 1 2 315.417 1.754 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001214331529 876286915 /nfs/dbraw/zinc/28/69/15/876286915.db2.gz DMQJTANYYBEMPW-CHWSQXEVSA-N 1 2 322.409 1.161 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1CCC(CO)CC1 ZINC001351657127 876367657 /nfs/dbraw/zinc/36/76/57/876367657.db2.gz RZTWFVUSNBFXBM-UHFFFAOYSA-N 1 2 319.453 1.659 20 30 DDEDLO N#CCOc1ccc(NC(=O)/C=C/C[NH+]2CCOCC2)cc1 ZINC001351742421 876411400 /nfs/dbraw/zinc/41/14/00/876411400.db2.gz MRDQEVBTCMYOES-OWOJBTEDSA-N 1 2 301.346 1.416 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H](C)[NH2+]Cc1nnc(C(F)F)s1 ZINC001379109177 876425442 /nfs/dbraw/zinc/42/54/42/876425442.db2.gz SSFITRBUUGKOCE-SFYZADRCSA-N 1 2 317.365 1.572 20 30 DDEDLO C[C@H](C[NH2+]Cc1nnc(C(F)F)s1)NC(=O)[C@H](C)C#N ZINC001379341490 876867648 /nfs/dbraw/zinc/86/76/48/876867648.db2.gz GDMMGIXFBVGWJJ-RNFRBKRXSA-N 1 2 303.338 1.230 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001353639326 877460393 /nfs/dbraw/zinc/46/03/93/877460393.db2.gz MVVKXERHVJQXKZ-IHRRRGAJSA-N 1 2 304.394 1.249 20 30 DDEDLO C#CCN(C)c1nnc([C@@H](C)CC)n1C[C@@H](C)[NH+]1CCOCC1 ZINC001300431264 877616125 /nfs/dbraw/zinc/61/61/25/877616125.db2.gz VXDLMRDHXBULDY-LSDHHAIUSA-N 1 2 319.453 1.582 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001353879013 877629333 /nfs/dbraw/zinc/62/93/33/877629333.db2.gz JZZGMOOFTDLCAS-CQSZACIVSA-N 1 2 318.421 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@@H]1CCc2nccn2C1 ZINC001379695420 877761955 /nfs/dbraw/zinc/76/19/55/877761955.db2.gz GGMPYEAWMXONBC-QWHCGFSZSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@@H]1CCc2nccn2C1 ZINC001379695420 877761959 /nfs/dbraw/zinc/76/19/59/877761959.db2.gz GGMPYEAWMXONBC-QWHCGFSZSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001355243768 878473924 /nfs/dbraw/zinc/47/39/24/878473924.db2.gz WWEDHVZFCXDPNM-GFCCVEGCSA-N 1 2 320.437 1.874 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001220205437 878817731 /nfs/dbraw/zinc/81/77/31/878817731.db2.gz ACLXDTBOLLLVEB-BMFZPTHFSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001220205437 878817749 /nfs/dbraw/zinc/81/77/49/878817749.db2.gz ACLXDTBOLLLVEB-BMFZPTHFSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCCCN(CC)C(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC001356425578 879046504 /nfs/dbraw/zinc/04/65/04/879046504.db2.gz VYOJZXNGGMWGML-CQSZACIVSA-N 1 2 318.421 1.930 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC(C)C)c2ccccc2)[C@@H](O)C1 ZINC001220504790 879070213 /nfs/dbraw/zinc/07/02/13/879070213.db2.gz RTWXHWYTFMQORP-KURKYZTESA-N 1 2 314.429 1.611 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC(C)C)c2ccccc2)[C@@H](O)C1 ZINC001220504790 879070226 /nfs/dbraw/zinc/07/02/26/879070226.db2.gz RTWXHWYTFMQORP-KURKYZTESA-N 1 2 314.429 1.611 20 30 DDEDLO C=CCOc1ccccc1[C@@H](C)NC(=O)C[C@H]([NH3+])C(=O)OC ZINC001220664006 879168433 /nfs/dbraw/zinc/16/84/33/879168433.db2.gz UJMGAKBAVPESDG-YPMHNXCESA-N 1 2 306.362 1.319 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C=C(CC)CC ZINC001276821022 879702894 /nfs/dbraw/zinc/70/28/94/879702894.db2.gz FAPRYSOUOQFCAN-DZGCQCFKSA-N 1 2 305.422 1.061 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C=C(CC)CC ZINC001276821022 879702900 /nfs/dbraw/zinc/70/29/00/879702900.db2.gz FAPRYSOUOQFCAN-DZGCQCFKSA-N 1 2 305.422 1.061 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2CCC[N@@H+]2C)n1CCCOCC ZINC001357455047 879976427 /nfs/dbraw/zinc/97/64/27/879976427.db2.gz VWNLZJVXZJAERL-OAHLLOKOSA-N 1 2 319.453 1.931 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2CCC[N@H+]2C)n1CCCOCC ZINC001357455047 879976434 /nfs/dbraw/zinc/97/64/34/879976434.db2.gz VWNLZJVXZJAERL-OAHLLOKOSA-N 1 2 319.453 1.931 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C3(C)CCCC3)[C@@H]2C1 ZINC001221720858 880022366 /nfs/dbraw/zinc/02/23/66/880022366.db2.gz KBUBCWKSDFHJMJ-HUUCEWRRSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C3(C)CCCC3)[C@@H]2C1 ZINC001221720858 880022385 /nfs/dbraw/zinc/02/23/85/880022385.db2.gz KBUBCWKSDFHJMJ-HUUCEWRRSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@@H+]([C@@H](C)C(N)=O)C[C@H]32)CCC1 ZINC001221797951 880094251 /nfs/dbraw/zinc/09/42/51/880094251.db2.gz PEFYYMCDSBFJSF-BFHYXJOUSA-N 1 2 305.422 1.139 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@H+]([C@@H](C)C(N)=O)C[C@H]32)CCC1 ZINC001221797951 880094259 /nfs/dbraw/zinc/09/42/59/880094259.db2.gz PEFYYMCDSBFJSF-BFHYXJOUSA-N 1 2 305.422 1.139 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCCn3cncn3)[C@@H]2C1 ZINC001221920790 880150152 /nfs/dbraw/zinc/15/01/52/880150152.db2.gz WNVGYFVEGLXRRJ-ZIAGYGMSSA-N 1 2 323.828 1.344 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCCn3cncn3)[C@@H]2C1 ZINC001221920790 880150167 /nfs/dbraw/zinc/15/01/67/880150167.db2.gz WNVGYFVEGLXRRJ-ZIAGYGMSSA-N 1 2 323.828 1.344 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccnn3C)C[C@H]21 ZINC001222064291 880207186 /nfs/dbraw/zinc/20/71/86/880207186.db2.gz NILGAECYMMDQHA-GDBMZVCRSA-N 1 2 318.421 1.046 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]2CC[N@H+](Cc3ccnn3C)C[C@H]21 ZINC001222064291 880207198 /nfs/dbraw/zinc/20/71/98/880207198.db2.gz NILGAECYMMDQHA-GDBMZVCRSA-N 1 2 318.421 1.046 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C(C)(C)C(F)F ZINC001276922770 881109272 /nfs/dbraw/zinc/10/92/72/881109272.db2.gz ACCWDSHQNHPSAA-MNOVXSKESA-N 1 2 317.380 1.159 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C(C)(C)C(F)F ZINC001276922770 881109276 /nfs/dbraw/zinc/10/92/76/881109276.db2.gz ACCWDSHQNHPSAA-MNOVXSKESA-N 1 2 317.380 1.159 20 30 DDEDLO CC(F)(F)CN1CC[NH+](C[C@@H](O)c2cccc(C#N)c2)CC1 ZINC001414044180 881192827 /nfs/dbraw/zinc/19/28/27/881192827.db2.gz DEGKJADPWMNLHE-OAHLLOKOSA-N 1 2 309.360 1.864 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224165940 881252604 /nfs/dbraw/zinc/25/26/04/881252604.db2.gz VUSMGVHQBXLCPJ-KGLIPLIRSA-N 1 2 319.453 1.602 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC1([NH2+]Cc2ccon2)CC1 ZINC001277233853 883140610 /nfs/dbraw/zinc/14/06/10/883140610.db2.gz PSMYPLSFJZABTN-UHFFFAOYSA-N 1 2 309.369 1.637 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001288056894 912702494 /nfs/dbraw/zinc/70/24/94/912702494.db2.gz RXCIYNJAQZGHIL-STQMWFEESA-N 1 2 318.421 1.566 20 30 DDEDLO C#CC(C#C)Oc1nc(=O)[nH]c2c1CC[N@H+](Cc1ccccc1)C2 ZINC001230185601 884363209 /nfs/dbraw/zinc/36/32/09/884363209.db2.gz HDFYWJFWUPRDBD-UHFFFAOYSA-N 1 2 319.364 1.754 20 30 DDEDLO C#CC(C#C)Oc1nc(=O)[nH]c2c1CC[N@@H+](Cc1ccccc1)C2 ZINC001230185601 884363227 /nfs/dbraw/zinc/36/32/27/884363227.db2.gz HDFYWJFWUPRDBD-UHFFFAOYSA-N 1 2 319.364 1.754 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2nc(C)c(C)o2)CC1 ZINC001230407340 884475903 /nfs/dbraw/zinc/47/59/03/884475903.db2.gz NWOMVCGSKBHFHL-CQSZACIVSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+]([C@H](C)c2nnc(C)[nH]2)CC1 ZINC001230411350 884477828 /nfs/dbraw/zinc/47/78/28/884477828.db2.gz HCXGRDSYARQFQM-GFCCVEGCSA-N 1 2 321.425 1.347 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1ccc(C#N)c(Cl)c1 ZINC001362719540 884479484 /nfs/dbraw/zinc/47/94/84/884479484.db2.gz MTGACTOCZWRYLN-GFCCVEGCSA-N 1 2 304.737 1.409 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CCC1 ZINC001230557534 884580645 /nfs/dbraw/zinc/58/06/45/884580645.db2.gz WCLCPWLAQZQZGO-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1CCC1 ZINC001230557534 884580658 /nfs/dbraw/zinc/58/06/58/884580658.db2.gz WCLCPWLAQZQZGO-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(F)c1 ZINC001231062532 885178325 /nfs/dbraw/zinc/17/83/25/885178325.db2.gz QNGYRSJPEOFJSD-CQSZACIVSA-N 1 2 305.353 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(F)c1 ZINC001231062532 885178328 /nfs/dbraw/zinc/17/83/28/885178328.db2.gz QNGYRSJPEOFJSD-CQSZACIVSA-N 1 2 305.353 1.017 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001288454813 912908108 /nfs/dbraw/zinc/90/81/08/912908108.db2.gz CDTIORJOOMPHRI-ZIAGYGMSSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)C1CC1 ZINC001231121783 885258790 /nfs/dbraw/zinc/25/87/90/885258790.db2.gz IJKHDFDBXQBUEI-HNNXBMFYSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)C1CC1 ZINC001231121783 885258805 /nfs/dbraw/zinc/25/88/05/885258805.db2.gz IJKHDFDBXQBUEI-HNNXBMFYSA-N 1 2 307.438 1.496 20 30 DDEDLO COC(=O)c1cc(C[NH+]2CCN(CCC#N)CC2)ccc1O ZINC001231419840 885651545 /nfs/dbraw/zinc/65/15/45/885651545.db2.gz ULWDGPASGSNPGB-UHFFFAOYSA-N 1 2 303.362 1.210 20 30 DDEDLO N#CC[C@H](CC(=O)N[C@H](CO)Cc1c[nH]c[nH+]1)c1ccccc1 ZINC001363196390 885687830 /nfs/dbraw/zinc/68/78/30/885687830.db2.gz LWYKCROMGYIHDN-ZBFHGGJFSA-N 1 2 312.373 1.517 20 30 DDEDLO N#CC[C@H](CC(=O)N[C@H](CO)Cc1c[nH+]c[nH]1)c1ccccc1 ZINC001363196390 885687841 /nfs/dbraw/zinc/68/78/41/885687841.db2.gz LWYKCROMGYIHDN-ZBFHGGJFSA-N 1 2 312.373 1.517 20 30 DDEDLO COc1cc(C#N)ccc1CN1CC([NH+]2C[C@@H](C)O[C@H](C)C2)C1 ZINC001231621878 885766516 /nfs/dbraw/zinc/76/65/16/885766516.db2.gz KYOJIIIGHGZHDL-ZIAGYGMSSA-N 1 2 315.417 1.860 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ncc(Br)cc2C)CC1 ZINC001232049773 886055536 /nfs/dbraw/zinc/05/55/36/886055536.db2.gz FZOAXNSUAIAGSB-UHFFFAOYSA-N 1 2 308.223 1.903 20 30 DDEDLO C=C(Cl)CN(C)CCN(C)C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001374490440 913038940 /nfs/dbraw/zinc/03/89/40/913038940.db2.gz JPTGRHSLWCAIKV-UHFFFAOYSA-N 1 2 324.856 1.905 20 30 DDEDLO COCCOC[N@@H+]1C[C@H]2C[C@@H](C1)N(c1ccc(C#N)cn1)C2 ZINC001277687543 886493323 /nfs/dbraw/zinc/49/33/23/886493323.db2.gz RJBXGSDDYKYBCV-CABCVRRESA-N 1 2 302.378 1.084 20 30 DDEDLO COCCOC[N@H+]1C[C@H]2C[C@@H](C1)N(c1ccc(C#N)cn1)C2 ZINC001277687543 886493324 /nfs/dbraw/zinc/49/33/24/886493324.db2.gz RJBXGSDDYKYBCV-CABCVRRESA-N 1 2 302.378 1.084 20 30 DDEDLO C=CCOC[C@@H]1c2c(ncn2C)CCN1Cc1cccc(N)[nH+]1 ZINC001233371100 886956211 /nfs/dbraw/zinc/95/62/11/886956211.db2.gz ZHTHPXNRKNILEB-OAHLLOKOSA-N 1 2 313.405 1.699 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)c(C)o1 ZINC001233610045 887147067 /nfs/dbraw/zinc/14/70/67/887147067.db2.gz DIEDLRWGWDEHIH-OAHLLOKOSA-N 1 2 304.390 1.693 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)c(C)o1 ZINC001233610045 887147073 /nfs/dbraw/zinc/14/70/73/887147073.db2.gz DIEDLRWGWDEHIH-OAHLLOKOSA-N 1 2 304.390 1.693 20 30 DDEDLO CCc1occc1C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001233990825 887530956 /nfs/dbraw/zinc/53/09/56/887530956.db2.gz MTMFQNRHKHUHSB-AWEZNQCLSA-N 1 2 304.390 1.638 20 30 DDEDLO CCc1occc1C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001233990825 887530964 /nfs/dbraw/zinc/53/09/64/887530964.db2.gz MTMFQNRHKHUHSB-AWEZNQCLSA-N 1 2 304.390 1.638 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)coc1C ZINC001234004536 887547430 /nfs/dbraw/zinc/54/74/30/887547430.db2.gz XPIOWJXIZQHJLM-OAHLLOKOSA-N 1 2 304.390 1.693 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)coc1C ZINC001234004536 887547441 /nfs/dbraw/zinc/54/74/41/887547441.db2.gz XPIOWJXIZQHJLM-OAHLLOKOSA-N 1 2 304.390 1.693 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cccnc1 ZINC001234214538 887750869 /nfs/dbraw/zinc/75/08/69/887750869.db2.gz CGVVDXMZJNIZQX-HOCLYGCPSA-N 1 2 301.390 1.153 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cccnc1 ZINC001234214538 887750880 /nfs/dbraw/zinc/75/08/80/887750880.db2.gz CGVVDXMZJNIZQX-HOCLYGCPSA-N 1 2 301.390 1.153 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cccnc1 ZINC001234214539 887752364 /nfs/dbraw/zinc/75/23/64/887752364.db2.gz CGVVDXMZJNIZQX-ZBFHGGJFSA-N 1 2 301.390 1.153 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cccnc1 ZINC001234214539 887752375 /nfs/dbraw/zinc/75/23/75/887752375.db2.gz CGVVDXMZJNIZQX-ZBFHGGJFSA-N 1 2 301.390 1.153 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(N)=O ZINC001234509819 888038432 /nfs/dbraw/zinc/03/84/32/888038432.db2.gz QKTYHERSCCVEFT-GXSJLCMTSA-N 1 2 301.818 1.172 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(N)=O ZINC001234509819 888038441 /nfs/dbraw/zinc/03/84/41/888038441.db2.gz QKTYHERSCCVEFT-GXSJLCMTSA-N 1 2 301.818 1.172 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001234538085 888070574 /nfs/dbraw/zinc/07/05/74/888070574.db2.gz VDVXBYNDVHOVTI-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001234538085 888070586 /nfs/dbraw/zinc/07/05/86/888070586.db2.gz VDVXBYNDVHOVTI-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C1(CC(C)C)CC1 ZINC001235190983 888459974 /nfs/dbraw/zinc/45/99/74/888459974.db2.gz DRNBCNUBQHBIDQ-INIZCTEOSA-N 1 2 306.450 1.995 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)C1(CC(C)C)CC1 ZINC001235190983 888459985 /nfs/dbraw/zinc/45/99/85/888459985.db2.gz DRNBCNUBQHBIDQ-INIZCTEOSA-N 1 2 306.450 1.995 20 30 DDEDLO Cc1c(C(=O)N2CCc3cc[nH+]c(N)c3C2)cnn1CCC#N ZINC001364376336 888489890 /nfs/dbraw/zinc/48/98/90/888489890.db2.gz PHHSLDNWATZZBH-UHFFFAOYSA-N 1 2 310.361 1.281 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1nccn1C ZINC001235245275 888497958 /nfs/dbraw/zinc/49/79/58/888497958.db2.gz LWCACGHRMZEWRX-AWEZNQCLSA-N 1 2 308.426 1.339 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1nccn1C ZINC001235245275 888497968 /nfs/dbraw/zinc/49/79/68/888497968.db2.gz LWCACGHRMZEWRX-AWEZNQCLSA-N 1 2 308.426 1.339 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1cnn(CC)n1 ZINC001235247375 888501337 /nfs/dbraw/zinc/50/13/37/888501337.db2.gz WSVRCDKDZPIZRA-AWEZNQCLSA-N 1 2 323.441 1.217 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1cnn(CC)n1 ZINC001235247375 888501356 /nfs/dbraw/zinc/50/13/56/888501356.db2.gz WSVRCDKDZPIZRA-AWEZNQCLSA-N 1 2 323.441 1.217 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](C[C@H](O)c2cccc(C#N)c2)CC1 ZINC001364685546 889193295 /nfs/dbraw/zinc/19/32/95/889193295.db2.gz QABWKASKORMOPX-ROUUACIJSA-N 1 2 312.417 1.757 20 30 DDEDLO COCC(=O)NC1CC[NH+](Cc2cc(C#N)c(F)cc2F)CC1 ZINC001237525962 889680697 /nfs/dbraw/zinc/68/06/97/889680697.db2.gz LCEDNLMULDGJHO-UHFFFAOYSA-N 1 2 323.343 1.563 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CC[C@@H](Oc4cnccn4)C3)cn2c1 ZINC001237612665 889756685 /nfs/dbraw/zinc/75/66/85/889756685.db2.gz ZOHSNJUYAAVXPB-OAHLLOKOSA-N 1 2 320.356 1.649 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CC[C@@H](Oc4cnccn4)C3)cn2c1 ZINC001237612665 889756692 /nfs/dbraw/zinc/75/66/92/889756692.db2.gz ZOHSNJUYAAVXPB-OAHLLOKOSA-N 1 2 320.356 1.649 20 30 DDEDLO N#Cc1cccc(Br)c1NS(=O)(=O)CC[NH3+] ZINC001237676726 889799212 /nfs/dbraw/zinc/79/92/12/889799212.db2.gz RZMZAYBNWJAQOJ-UHFFFAOYSA-N 1 2 304.169 1.021 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cccc(C(N)=O)c2)CC1 ZINC001237701546 889817545 /nfs/dbraw/zinc/81/75/45/889817545.db2.gz KSSYGEYBJOYTRF-UHFFFAOYSA-N 1 2 301.346 1.064 20 30 DDEDLO COc1ncc(-c2ccc(C[NH+]3CCOCC3)nc2)cc1C#N ZINC001239589863 890684419 /nfs/dbraw/zinc/68/44/19/890684419.db2.gz UMUWAVHIRUAEHA-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO O/N=C/c1ccc(-c2cnn(CC[NH+]3CCOCC3)c2)cc1F ZINC001240547045 890979472 /nfs/dbraw/zinc/97/94/72/890979472.db2.gz RETIMIHZZFITLR-YBFXNURJSA-N 1 2 318.352 1.830 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCc2ncccn2)C1 ZINC001365937934 891973720 /nfs/dbraw/zinc/97/37/20/891973720.db2.gz HGSWQBKADUNQPO-ZDUSSCGKSA-N 1 2 308.813 1.742 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCc2ncccn2)C1 ZINC001365937934 891973727 /nfs/dbraw/zinc/97/37/27/891973727.db2.gz HGSWQBKADUNQPO-ZDUSSCGKSA-N 1 2 308.813 1.742 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365969087 892071659 /nfs/dbraw/zinc/07/16/59/892071659.db2.gz GMYIBXKARWGCRQ-RISCZKNCSA-N 1 2 300.337 1.548 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365969087 892071678 /nfs/dbraw/zinc/07/16/78/892071678.db2.gz GMYIBXKARWGCRQ-RISCZKNCSA-N 1 2 300.337 1.548 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COCc2cccnc2)C1 ZINC001366001072 892176996 /nfs/dbraw/zinc/17/69/96/892176996.db2.gz YDSQBKFVEWOJTF-AWEZNQCLSA-N 1 2 309.797 1.541 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COCc2cccnc2)C1 ZINC001366001072 892177003 /nfs/dbraw/zinc/17/70/03/892177003.db2.gz YDSQBKFVEWOJTF-AWEZNQCLSA-N 1 2 309.797 1.541 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]([NH2+]Cc1nnc(C(C)(C)C)o1)C1CC1 ZINC001366026197 892252561 /nfs/dbraw/zinc/25/25/61/892252561.db2.gz HWGQFEQZVMYUNL-ZYHUDNBSSA-N 1 2 319.409 1.511 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]([NH2+]Cc2cnsn2)C[C@H]1C ZINC001278425075 892322702 /nfs/dbraw/zinc/32/27/02/892322702.db2.gz ZAWRBNUGDFNMTE-VXGBXAGGSA-N 1 2 310.423 1.210 20 30 DDEDLO Cc1nc(C[N@H+]2CCCC[C@@H]2CNC(=O)[C@H](C)C#N)oc1C ZINC001366202021 892725682 /nfs/dbraw/zinc/72/56/82/892725682.db2.gz SLIOYKIAEAUWQH-BXUZGUMPSA-N 1 2 304.394 1.922 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCC[C@@H]2CNC(=O)[C@H](C)C#N)oc1C ZINC001366202021 892725694 /nfs/dbraw/zinc/72/56/94/892725694.db2.gz SLIOYKIAEAUWQH-BXUZGUMPSA-N 1 2 304.394 1.922 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)CC(C)(C)C)CCC[N@@H+]1CC(=O)NCC#N ZINC001278498773 893534620 /nfs/dbraw/zinc/53/46/20/893534620.db2.gz AIWHXDDZTWHURU-CHWSQXEVSA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)CC(C)(C)C)CCC[N@H+]1CC(=O)NCC#N ZINC001278498773 893534630 /nfs/dbraw/zinc/53/46/30/893534630.db2.gz AIWHXDDZTWHURU-CHWSQXEVSA-N 1 2 308.426 1.031 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001278510650 893755864 /nfs/dbraw/zinc/75/58/64/893755864.db2.gz NVWGSZWGYYVRPW-ZIAGYGMSSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001278510650 893755879 /nfs/dbraw/zinc/75/58/79/893755879.db2.gz NVWGSZWGYYVRPW-ZIAGYGMSSA-N 1 2 320.437 1.198 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001366638090 894395048 /nfs/dbraw/zinc/39/50/48/894395048.db2.gz HCLBYCURISCRGE-LBPRGKRZSA-N 1 2 310.829 1.705 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001366638090 894395064 /nfs/dbraw/zinc/39/50/64/894395064.db2.gz HCLBYCURISCRGE-LBPRGKRZSA-N 1 2 310.829 1.705 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)CNC(=O)CC(C)(C)C ZINC001366640858 894403422 /nfs/dbraw/zinc/40/34/22/894403422.db2.gz SGKYRMLLHQXALS-UHFFFAOYSA-N 1 2 317.861 1.729 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)CNC(=O)CC(C)(C)C ZINC001366640858 894403427 /nfs/dbraw/zinc/40/34/27/894403427.db2.gz SGKYRMLLHQXALS-UHFFFAOYSA-N 1 2 317.861 1.729 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@@H]1CC[N@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC001366825438 895036323 /nfs/dbraw/zinc/03/63/23/895036323.db2.gz SEMMGQAOCLCCDX-NWDGAFQWSA-N 1 2 316.409 1.170 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@@H]1CC[N@@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC001366825438 895036341 /nfs/dbraw/zinc/03/63/41/895036341.db2.gz SEMMGQAOCLCCDX-NWDGAFQWSA-N 1 2 316.409 1.170 20 30 DDEDLO CCO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001367000155 895531024 /nfs/dbraw/zinc/53/10/24/895531024.db2.gz HDIJXWFLUOUKBL-JQFCIGGWSA-N 1 2 313.401 1.768 20 30 DDEDLO CCO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001367000155 895531036 /nfs/dbraw/zinc/53/10/36/895531036.db2.gz HDIJXWFLUOUKBL-JQFCIGGWSA-N 1 2 313.401 1.768 20 30 DDEDLO C[C@](O)(C[N@@H+]1CCC[C@H](C#N)C1)C(=O)OCc1ccccc1 ZINC001253636389 895950035 /nfs/dbraw/zinc/95/00/35/895950035.db2.gz MZOIZSYXYNTICA-WBVHZDCISA-N 1 2 302.374 1.716 20 30 DDEDLO C[C@](O)(C[N@H+]1CCC[C@H](C#N)C1)C(=O)OCc1ccccc1 ZINC001253636389 895950043 /nfs/dbraw/zinc/95/00/43/895950043.db2.gz MZOIZSYXYNTICA-WBVHZDCISA-N 1 2 302.374 1.716 20 30 DDEDLO Cc1cc(C[N@@H+]2CCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)cs1 ZINC001367303541 896394707 /nfs/dbraw/zinc/39/47/07/896394707.db2.gz WQNGYQOORMGGHD-FZMZJTMJSA-N 1 2 307.419 1.533 20 30 DDEDLO Cc1cc(C[N@H+]2CCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)cs1 ZINC001367303541 896394723 /nfs/dbraw/zinc/39/47/23/896394723.db2.gz WQNGYQOORMGGHD-FZMZJTMJSA-N 1 2 307.419 1.533 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@]1(O)CC[N@H+](Cc2nccn2C)C1 ZINC001278806043 896582403 /nfs/dbraw/zinc/58/24/03/896582403.db2.gz UJYWKJUMKNQPIN-SJORKVTESA-N 1 2 320.437 1.075 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@]1(O)CC[N@@H+](Cc2nccn2C)C1 ZINC001278806043 896582415 /nfs/dbraw/zinc/58/24/15/896582415.db2.gz UJYWKJUMKNQPIN-SJORKVTESA-N 1 2 320.437 1.075 20 30 DDEDLO CC[C@H](CC#N)[N@@H+]1CCOC[C@]2(CC[C@@H](Cn3cccn3)O2)C1 ZINC001255169685 896766000 /nfs/dbraw/zinc/76/60/00/896766000.db2.gz JHNGKZYZDZYUMY-IKGGRYGDSA-N 1 2 318.421 1.825 20 30 DDEDLO CC[C@H](CC#N)[N@H+]1CCOC[C@]2(CC[C@@H](Cn3cccn3)O2)C1 ZINC001255169685 896766010 /nfs/dbraw/zinc/76/60/10/896766010.db2.gz JHNGKZYZDZYUMY-IKGGRYGDSA-N 1 2 318.421 1.825 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cnc2cccnn21 ZINC001367543096 897115456 /nfs/dbraw/zinc/11/54/56/897115456.db2.gz ZNNLKCZOBIFAEN-UHFFFAOYSA-N 1 2 307.785 1.486 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cnc2cccnn21 ZINC001367543096 897115463 /nfs/dbraw/zinc/11/54/63/897115463.db2.gz ZNNLKCZOBIFAEN-UHFFFAOYSA-N 1 2 307.785 1.486 20 30 DDEDLO C=C(C)Cn1nnnc1N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC001262211900 900019911 /nfs/dbraw/zinc/01/99/11/900019911.db2.gz VSYBCODKUTYNCG-UHFFFAOYSA-N 1 2 314.393 1.277 20 30 DDEDLO C[N@H+](CCNC(=O)Cc1cc[nH]n1)Cc1cc(C#N)ccc1F ZINC001390740140 900065776 /nfs/dbraw/zinc/06/57/76/900065776.db2.gz CXYYEFSTPNYOLN-UHFFFAOYSA-N 1 2 315.352 1.211 20 30 DDEDLO C[N@@H+](CCNC(=O)Cc1cc[nH]n1)Cc1cc(C#N)ccc1F ZINC001390740140 900065785 /nfs/dbraw/zinc/06/57/85/900065785.db2.gz CXYYEFSTPNYOLN-UHFFFAOYSA-N 1 2 315.352 1.211 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CC=NO3)n2CC(C)C)CC1 ZINC001263402144 900603633 /nfs/dbraw/zinc/60/36/33/900603633.db2.gz WPHQRUAPVWFXMN-AWEZNQCLSA-N 1 2 316.409 1.137 20 30 DDEDLO CCc1nc(C[NH2+][C@H]2C[C@H](CNC(=O)C#CC(C)C)C2)no1 ZINC001263861562 900778184 /nfs/dbraw/zinc/77/81/84/900778184.db2.gz FJYCSWQJDPHBCX-JOCQHMNTSA-N 1 2 304.394 1.276 20 30 DDEDLO C[C@@H]1CCCN(C(=O)CCCn2cc[nH+]c2)[C@H]1CCNCC#N ZINC001264520811 901167447 /nfs/dbraw/zinc/16/74/47/901167447.db2.gz PVZLCGBZJBUFRV-CVEARBPZSA-N 1 2 317.437 1.794 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2coc(C)n2)[C@@H]1CC ZINC001264604379 901206047 /nfs/dbraw/zinc/20/60/47/901206047.db2.gz ZCFOFHXWXKRLNJ-LSDHHAIUSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2coc(C)n2)[C@@H]1CC ZINC001264604379 901206059 /nfs/dbraw/zinc/20/60/59/901206059.db2.gz ZCFOFHXWXKRLNJ-LSDHHAIUSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CC(C)(C)C2CC2)C1 ZINC001265212439 901713985 /nfs/dbraw/zinc/71/39/85/901713985.db2.gz BRPUJRRVPPKZGK-OAHLLOKOSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CC(C)(C)C2CC2)C1 ZINC001265212439 901713999 /nfs/dbraw/zinc/71/39/99/901713999.db2.gz BRPUJRRVPPKZGK-OAHLLOKOSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001265223617 901738427 /nfs/dbraw/zinc/73/84/27/901738427.db2.gz ZAVWIVVLMYDZFG-AWEZNQCLSA-N 1 2 318.421 1.922 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001265223617 901738446 /nfs/dbraw/zinc/73/84/46/901738446.db2.gz ZAVWIVVLMYDZFG-AWEZNQCLSA-N 1 2 318.421 1.922 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(CC)(CC)CC)C1 ZINC001265229243 901744247 /nfs/dbraw/zinc/74/42/47/901744247.db2.gz STPYJSPJRZMTCY-HNNXBMFYSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(CC)(CC)CC)C1 ZINC001265229243 901744254 /nfs/dbraw/zinc/74/42/54/901744254.db2.gz STPYJSPJRZMTCY-HNNXBMFYSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(Cl)nc2)C1 ZINC001265283389 901820503 /nfs/dbraw/zinc/82/05/03/901820503.db2.gz FAAXCYMGMFOEPJ-GXTWGEPZSA-N 1 2 321.808 1.464 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(Cl)nc2)C1 ZINC001265283389 901820513 /nfs/dbraw/zinc/82/05/13/901820513.db2.gz FAAXCYMGMFOEPJ-GXTWGEPZSA-N 1 2 321.808 1.464 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(=O)c(OC)co2)C1 ZINC001265286070 901827226 /nfs/dbraw/zinc/82/72/26/901827226.db2.gz NAHTYZFEFJLUNQ-CYBMUJFWSA-N 1 2 320.389 1.695 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2cc(=O)c(OC)co2)C1 ZINC001265286070 901827237 /nfs/dbraw/zinc/82/72/37/901827237.db2.gz NAHTYZFEFJLUNQ-CYBMUJFWSA-N 1 2 320.389 1.695 20 30 DDEDLO CCCN(C(=O)[C@@H](C)Cc1c[nH]c[nH+]1)C1CCN(CC#N)CC1 ZINC001265340899 901903126 /nfs/dbraw/zinc/90/31/26/901903126.db2.gz FDKPUEFFNNHQMN-AWEZNQCLSA-N 1 2 317.437 1.815 20 30 DDEDLO CCCN(C(=O)[C@@H](C)Cc1c[nH+]c[nH]1)C1CCN(CC#N)CC1 ZINC001265340899 901903144 /nfs/dbraw/zinc/90/31/44/901903144.db2.gz FDKPUEFFNNHQMN-AWEZNQCLSA-N 1 2 317.437 1.815 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001265399149 901973493 /nfs/dbraw/zinc/97/34/93/901973493.db2.gz PSTWPJGWBWIEGA-ZDUSSCGKSA-N 1 2 303.410 1.381 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](C)Cc2cnn(C)c2)C1 ZINC001391559609 902002294 /nfs/dbraw/zinc/00/22/94/902002294.db2.gz AWQFBDOULWZWJL-RISCZKNCSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)Cc2cnn(C)c2)C1 ZINC001391559609 902002307 /nfs/dbraw/zinc/00/23/07/902002307.db2.gz AWQFBDOULWZWJL-RISCZKNCSA-N 1 2 310.829 1.542 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001265441671 902020487 /nfs/dbraw/zinc/02/04/87/902020487.db2.gz PDMHQSYNZDUOCN-ZDUSSCGKSA-N 1 2 306.435 1.812 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)CN2CCCC2=O)C1 ZINC001391621488 902164357 /nfs/dbraw/zinc/16/43/57/902164357.db2.gz ASIMXRPFLILOIR-ZDUSSCGKSA-N 1 2 313.829 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)CN2CCCC2=O)C1 ZINC001391621488 902164361 /nfs/dbraw/zinc/16/43/61/902164361.db2.gz ASIMXRPFLILOIR-ZDUSSCGKSA-N 1 2 313.829 1.284 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1nc(C)c[nH]1 ZINC001265937187 902625968 /nfs/dbraw/zinc/62/59/68/902625968.db2.gz VRQXYCJRKVYOOF-UHFFFAOYSA-N 1 2 315.215 1.678 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1nc(C)c[nH]1 ZINC001265937187 902625974 /nfs/dbraw/zinc/62/59/74/902625974.db2.gz VRQXYCJRKVYOOF-UHFFFAOYSA-N 1 2 315.215 1.678 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]([NH2+]Cc1nc(C(F)F)no1)C1CC1 ZINC001370143717 902631047 /nfs/dbraw/zinc/63/10/47/902631047.db2.gz ILYOWGSNKHPQGK-APPZFPTMSA-N 1 2 313.308 1.151 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CCC[N@H+](Cc2ccc(F)cn2)C1 ZINC001266218283 903133129 /nfs/dbraw/zinc/13/31/29/903133129.db2.gz NYHGXWIVYGNTAX-CJNGLKHVSA-N 1 2 319.380 1.340 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001266218283 903133136 /nfs/dbraw/zinc/13/31/36/903133136.db2.gz NYHGXWIVYGNTAX-CJNGLKHVSA-N 1 2 319.380 1.340 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2CCC(=C)CC2)C1 ZINC001266237282 903168884 /nfs/dbraw/zinc/16/88/84/903168884.db2.gz SHISMJQSDNLARO-MRXNPFEDSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C2CCC(=C)CC2)C1 ZINC001266237282 903168889 /nfs/dbraw/zinc/16/88/89/903168889.db2.gz SHISMJQSDNLARO-MRXNPFEDSA-N 1 2 319.449 1.616 20 30 DDEDLO C#Cc1ccc(C(=O)NCCN(C)c2nc(C)[nH+]c(C)c2C)cn1 ZINC001316594139 903191371 /nfs/dbraw/zinc/19/13/71/903191371.db2.gz ODJGMOYKXPOUFM-UHFFFAOYSA-N 1 2 323.400 1.644 20 30 DDEDLO CCC[C@H](CC)C(=O)NCC1=CC[N@H+](CC(=O)NCC#N)CC1 ZINC001279660687 903375497 /nfs/dbraw/zinc/37/54/97/903375497.db2.gz GYNHPWOLJFQOMR-HNNXBMFYSA-N 1 2 320.437 1.201 20 30 DDEDLO CCC[C@H](CC)C(=O)NCC1=CC[N@@H+](CC(=O)NCC#N)CC1 ZINC001279660687 903375508 /nfs/dbraw/zinc/37/55/08/903375508.db2.gz GYNHPWOLJFQOMR-HNNXBMFYSA-N 1 2 320.437 1.201 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCCC[C@@H]1C[NH2+]Cc1nc(C)no1 ZINC001280438254 903678270 /nfs/dbraw/zinc/67/82/70/903678270.db2.gz BWICSEPPDSIKTC-CZUORRHYSA-N 1 2 322.409 1.176 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001293891187 914753453 /nfs/dbraw/zinc/75/34/53/914753453.db2.gz KUIGKCCVGCPGMH-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)COc1ccsc1 ZINC001316606162 904070132 /nfs/dbraw/zinc/07/01/32/904070132.db2.gz NARKLNJCWQFDNT-AWEZNQCLSA-N 1 2 322.430 1.309 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)COc1ccsc1 ZINC001316606162 904070140 /nfs/dbraw/zinc/07/01/40/904070140.db2.gz NARKLNJCWQFDNT-AWEZNQCLSA-N 1 2 322.430 1.309 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccs1)CO2 ZINC001280936541 904215244 /nfs/dbraw/zinc/21/52/44/904215244.db2.gz QBYHMLHZTRQPQO-CYBMUJFWSA-N 1 2 322.430 1.524 20 30 DDEDLO C[C@H](CN(C)C(=O)C#CC(C)(C)C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001281001997 904305015 /nfs/dbraw/zinc/30/50/15/904305015.db2.gz UWUXEAAHAJOYDW-CYBMUJFWSA-N 1 2 318.421 1.355 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cncc2[nH]cnc21 ZINC001375059662 914818288 /nfs/dbraw/zinc/81/82/88/914818288.db2.gz XNPKKGXJQRPFNK-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cncc2[nH]cnc21 ZINC001375059662 914818302 /nfs/dbraw/zinc/81/83/02/914818302.db2.gz XNPKKGXJQRPFNK-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO C=CCCC(=O)NC1(C[NH2+][C@@H](C)c2noc(C)n2)CCOCC1 ZINC001281212123 904570337 /nfs/dbraw/zinc/57/03/37/904570337.db2.gz PXPJPXPLXUOJDE-LBPRGKRZSA-N 1 2 322.409 1.660 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC001282018973 905411941 /nfs/dbraw/zinc/41/19/41/905411941.db2.gz BPAJHHXRLYVJIU-CQSZACIVSA-N 1 2 304.394 1.299 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H]1CN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001282050940 905469234 /nfs/dbraw/zinc/46/92/34/905469234.db2.gz BYTVQEIXYFCSRQ-CXAGYDPISA-N 1 2 318.421 1.614 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)C)C(C)(C)C2)cn1 ZINC001282801135 906054958 /nfs/dbraw/zinc/05/49/58/906054958.db2.gz RNNBQVZKZDHLNS-MRXNPFEDSA-N 1 2 314.433 1.771 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)C)C(C)(C)C2)cn1 ZINC001282801135 906054975 /nfs/dbraw/zinc/05/49/75/906054975.db2.gz RNNBQVZKZDHLNS-MRXNPFEDSA-N 1 2 314.433 1.771 20 30 DDEDLO C=CCCC(=O)N1CCO[C@H]([C@@H](C)[NH2+]Cc2nnc(C)o2)C1 ZINC001282904386 906203985 /nfs/dbraw/zinc/20/39/85/906203985.db2.gz JHIKHULSLPBKJK-YPMHNXCESA-N 1 2 308.382 1.050 20 30 DDEDLO CC#CCCCC(=O)NCCNC(=O)c1cccc2[nH+]ccn21 ZINC001283111976 906651026 /nfs/dbraw/zinc/65/10/26/906651026.db2.gz XSGLKXUBLLGSQF-UHFFFAOYSA-N 1 2 312.373 1.374 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](O)(CNC(=O)c2cc(Cl)no2)C1 ZINC001393287096 906652214 /nfs/dbraw/zinc/65/22/14/906652214.db2.gz FBHGOZXIDUCEHJ-LBPRGKRZSA-N 1 2 320.176 1.247 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](O)(CNC(=O)c2cc(Cl)no2)C1 ZINC001393287096 906652222 /nfs/dbraw/zinc/65/22/22/906652222.db2.gz FBHGOZXIDUCEHJ-LBPRGKRZSA-N 1 2 320.176 1.247 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001283342166 907176819 /nfs/dbraw/zinc/17/68/19/907176819.db2.gz HWGIRGOATKSNBO-LSDHHAIUSA-N 1 2 318.421 1.908 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCOCC[N@H+](C)CC=C(Cl)Cl ZINC001283358528 907211905 /nfs/dbraw/zinc/21/19/05/907211905.db2.gz DFENCMNPHYYXNV-JTQLQIEISA-N 1 2 308.209 1.530 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCOCC[N@@H+](C)CC=C(Cl)Cl ZINC001283358528 907211919 /nfs/dbraw/zinc/21/19/19/907211919.db2.gz DFENCMNPHYYXNV-JTQLQIEISA-N 1 2 308.209 1.530 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001283468028 907401173 /nfs/dbraw/zinc/40/11/73/907401173.db2.gz KKIIRGIZLZQWIK-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[NH+](CCCNC(=O)CC(C)(C)C)CC1 ZINC001283814816 908002862 /nfs/dbraw/zinc/00/28/62/908002862.db2.gz CMCVNXSPOOLYJR-CQSZACIVSA-N 1 2 322.453 1.233 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001283846253 908055291 /nfs/dbraw/zinc/05/52/91/908055291.db2.gz AAYXGOJJNIUQSC-UONOGXRCSA-N 1 2 304.394 1.518 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001284022944 908330624 /nfs/dbraw/zinc/33/06/24/908330624.db2.gz ZZTMBDRFKLCIQN-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCCOCC(=O)N[C@]12CCC[C@H]1[N@H+](Cc1ccon1)CC2 ZINC001284067870 908421315 /nfs/dbraw/zinc/42/13/15/908421315.db2.gz CNNJMKBMGZNARI-WBVHZDCISA-N 1 2 319.405 1.881 20 30 DDEDLO C=CCCOCC(=O)N[C@]12CCC[C@H]1[N@@H+](Cc1ccon1)CC2 ZINC001284067870 908421324 /nfs/dbraw/zinc/42/13/24/908421324.db2.gz CNNJMKBMGZNARI-WBVHZDCISA-N 1 2 319.405 1.881 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CC[C@H](CNCC#N)C3)ccn12 ZINC001284173956 908592905 /nfs/dbraw/zinc/59/29/05/908592905.db2.gz MGRDCESOARWWLQ-ZFWWWQNUSA-N 1 2 311.389 1.654 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2CN(C(=O)CCc3c[nH]c[nH+]3)C[C@H]21 ZINC001284177378 908598483 /nfs/dbraw/zinc/59/84/83/908598483.db2.gz XZRDOQHBZQDFJQ-HALDLXJZSA-N 1 2 316.405 1.272 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2CN(C(=O)CCc3c[nH+]c[nH]3)C[C@H]21 ZINC001284177378 908598500 /nfs/dbraw/zinc/59/85/00/908598500.db2.gz XZRDOQHBZQDFJQ-HALDLXJZSA-N 1 2 316.405 1.272 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NC[C@@H](C)NC(=O)C#CC(C)C)c[nH+]1 ZINC001284268749 908751129 /nfs/dbraw/zinc/75/11/29/908751129.db2.gz CCVMFFFZSPMKTH-CYBMUJFWSA-N 1 2 318.421 1.207 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001284283764 908772516 /nfs/dbraw/zinc/77/25/16/908772516.db2.gz SYMBCZPUMAPYEW-CYBMUJFWSA-N 1 2 314.389 1.925 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001284286618 908774083 /nfs/dbraw/zinc/77/40/83/908774083.db2.gz PAKZXEHCFKPTMQ-LBPRGKRZSA-N 1 2 306.410 1.186 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H](O)C[N@H+](C)Cc1coc(C)n1 ZINC001284508938 909109904 /nfs/dbraw/zinc/10/99/04/909109904.db2.gz HOBZGYHGWPHPKE-MRXNPFEDSA-N 1 2 321.421 1.428 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1coc(C)n1 ZINC001284508938 909109910 /nfs/dbraw/zinc/10/99/10/909109910.db2.gz HOBZGYHGWPHPKE-MRXNPFEDSA-N 1 2 321.421 1.428 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@H]23)n1 ZINC001394669799 910222221 /nfs/dbraw/zinc/22/22/21/910222221.db2.gz AHNVGXSJINDQIR-BFQNTYOBSA-N 1 2 317.393 1.405 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@H]23)n1 ZINC001394669799 910222239 /nfs/dbraw/zinc/22/22/39/910222239.db2.gz AHNVGXSJINDQIR-BFQNTYOBSA-N 1 2 317.393 1.405 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001285376311 910440361 /nfs/dbraw/zinc/44/03/61/910440361.db2.gz SCSORQKLKCKUSF-QWHCGFSZSA-N 1 2 306.410 1.708 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001285376311 910440372 /nfs/dbraw/zinc/44/03/72/910440372.db2.gz SCSORQKLKCKUSF-QWHCGFSZSA-N 1 2 306.410 1.708 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)cc1 ZINC001285391708 910456134 /nfs/dbraw/zinc/45/61/34/910456134.db2.gz MYQSDNLXGJPSEX-QWHCGFSZSA-N 1 2 324.384 1.257 20 30 DDEDLO CC(C)C#CC(=O)NCC(C)(C)CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001285568970 910806530 /nfs/dbraw/zinc/80/65/30/910806530.db2.gz DRUWDJBOYVEOHK-UHFFFAOYSA-N 1 2 318.421 1.212 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC/C=C/CNC(=O)Cc1c[nH]c[nH+]1 ZINC001285604367 910860794 /nfs/dbraw/zinc/86/07/94/910860794.db2.gz UDURKSIQPLDDLD-AATRIKPKSA-N 1 2 304.394 1.343 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@](C)(C[NH2+]Cc1noc(C2CC2)n1)C1CC1 ZINC001373873407 911247246 /nfs/dbraw/zinc/24/72/46/911247246.db2.gz IXWDLQKYHBIMHI-QFYYESIMSA-N 1 2 317.393 1.481 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001285874377 911345589 /nfs/dbraw/zinc/34/55/89/911345589.db2.gz HBLIQUGGOSZWTR-KBPBESRZSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC(N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001286018839 911579526 /nfs/dbraw/zinc/57/95/26/911579526.db2.gz HQZPNJNZRMLMEZ-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC(N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001286018839 911579543 /nfs/dbraw/zinc/57/95/43/911579543.db2.gz HQZPNJNZRMLMEZ-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC(N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001286018838 911580012 /nfs/dbraw/zinc/58/00/12/911580012.db2.gz HQZPNJNZRMLMEZ-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC(N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001286018838 911580022 /nfs/dbraw/zinc/58/00/22/911580022.db2.gz HQZPNJNZRMLMEZ-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cc(C(N)=O)cs1 ZINC001375158543 915143295 /nfs/dbraw/zinc/14/32/95/915143295.db2.gz LCMLYDAVIXZIAQ-SECBINFHSA-N 1 2 315.826 1.650 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cc(C(N)=O)cs1 ZINC001375158543 915143308 /nfs/dbraw/zinc/14/33/08/915143308.db2.gz LCMLYDAVIXZIAQ-SECBINFHSA-N 1 2 315.826 1.650 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001295211928 915653937 /nfs/dbraw/zinc/65/39/37/915653937.db2.gz YJTJCQUKQRQQAR-MYZSUADSSA-N 1 2 318.421 1.564 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001295211928 915653948 /nfs/dbraw/zinc/65/39/48/915653948.db2.gz YJTJCQUKQRQQAR-MYZSUADSSA-N 1 2 318.421 1.564 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]1CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001296431829 916413312 /nfs/dbraw/zinc/41/33/12/916413312.db2.gz PDQLWMVQFJMYTI-CABCVRRESA-N 1 2 318.421 1.908 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](NC(=O)COC)[C@H]1CC ZINC001375608126 916460076 /nfs/dbraw/zinc/46/00/76/916460076.db2.gz HCKGYGBJOSTTPT-WDEREUQCSA-N 1 2 305.216 1.511 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](NC(=O)COC)[C@H]1CC ZINC001375608126 916460091 /nfs/dbraw/zinc/46/00/91/916460091.db2.gz HCKGYGBJOSTTPT-WDEREUQCSA-N 1 2 305.216 1.511 20 30 DDEDLO CCc1noc([C@H](C)[NH+]2CCC(C)(NC(=O)[C@H](C)C#N)CC2)n1 ZINC001375774213 916928956 /nfs/dbraw/zinc/92/89/56/916928956.db2.gz WGTYOHRUSISSCO-NEPJUHHUSA-N 1 2 319.409 1.823 20 30 DDEDLO CCc1noc(C[NH2+]C[C@H](NC(=O)[C@H](C)C#N)C2CCCC2)n1 ZINC001375782939 916949920 /nfs/dbraw/zinc/94/99/20/916949920.db2.gz DFAGPDQNSWSYRD-YPMHNXCESA-N 1 2 319.409 1.556 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H](C)CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001376713673 919140467 /nfs/dbraw/zinc/14/04/67/919140467.db2.gz PERZNGMHDABYGU-JTQLQIEISA-N 1 2 316.365 1.301 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1([NH2+]Cc2nnc(C3CC3)o2)CCC1 ZINC001376721399 919168472 /nfs/dbraw/zinc/16/84/72/919168472.db2.gz MKFYUTPZMIGSRC-SNVBAGLBSA-N 1 2 303.366 1.235 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@@H]1CCCc2nn[nH]c21)C1CC1 ZINC001377552502 922310925 /nfs/dbraw/zinc/31/09/25/922310925.db2.gz JMHJEODSFXFECC-GFCCVEGCSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@@H]1CCCc2nn[nH]c21)C1CC1 ZINC001377552502 922310940 /nfs/dbraw/zinc/31/09/40/922310940.db2.gz JMHJEODSFXFECC-GFCCVEGCSA-N 1 2 323.828 1.558 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)c1ccc(C#N)s1 ZINC000451928878 231237613 /nfs/dbraw/zinc/23/76/13/231237613.db2.gz NBTRRYIAMYPAKS-VIFPVBQESA-N 1 2 310.404 1.876 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000452000143 231265431 /nfs/dbraw/zinc/26/54/31/231265431.db2.gz UZTZDELMFORYHN-AWEZNQCLSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@H+](Cc2cccc(F)c2C#N)C1 ZINC000452003754 231265843 /nfs/dbraw/zinc/26/58/43/231265843.db2.gz AAVZWAYBTZFIOS-CYBMUJFWSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cccc(F)c2C#N)C1 ZINC000452003754 231265845 /nfs/dbraw/zinc/26/58/45/231265845.db2.gz AAVZWAYBTZFIOS-CYBMUJFWSA-N 1 2 304.369 1.933 20 30 DDEDLO C[N@H+](Cc1cnccn1)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000083206617 185219288 /nfs/dbraw/zinc/21/92/88/185219288.db2.gz UODYPUPQFSKLJC-ZDUSSCGKSA-N 1 2 313.386 1.647 20 30 DDEDLO C[N@@H+](Cc1cnccn1)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000083206617 185219289 /nfs/dbraw/zinc/21/92/89/185219289.db2.gz UODYPUPQFSKLJC-ZDUSSCGKSA-N 1 2 313.386 1.647 20 30 DDEDLO Cc1nccc(C[N@@H+]2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)n1 ZINC000370682114 282183251 /nfs/dbraw/zinc/18/32/51/282183251.db2.gz DJKBGXCNZXXGNH-UGSOOPFHSA-N 1 2 319.368 1.631 20 30 DDEDLO Cc1nccc(C[N@H+]2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)n1 ZINC000370682114 282183254 /nfs/dbraw/zinc/18/32/54/282183254.db2.gz DJKBGXCNZXXGNH-UGSOOPFHSA-N 1 2 319.368 1.631 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2nccc(C(C)C)n2)CC1 ZINC000185817394 539246836 /nfs/dbraw/zinc/24/68/36/539246836.db2.gz LJTIIXDPDXFIMC-AWEZNQCLSA-N 1 2 317.437 1.413 20 30 DDEDLO C[C@@H](Cn1cncn1)[NH+]=C([O-])N1CCC(n2cc[nH+]c2)CC1 ZINC000329151928 539301480 /nfs/dbraw/zinc/30/14/80/539301480.db2.gz AKIVDGIEMPZLQT-LBPRGKRZSA-N 1 2 303.370 1.114 20 30 DDEDLO C[C@@H](Cn1cncn1)NC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000329151928 539301481 /nfs/dbraw/zinc/30/14/81/539301481.db2.gz AKIVDGIEMPZLQT-LBPRGKRZSA-N 1 2 303.370 1.114 20 30 DDEDLO CC(C)[C@@H](NC(=O)CCc1c[nH+]c[nH]1)C(=O)N1CCOCC1 ZINC000329369986 539303762 /nfs/dbraw/zinc/30/37/62/539303762.db2.gz INDOBNRLERXRTI-CQSZACIVSA-N 1 2 308.382 1.182 20 30 DDEDLO CC(C)[C@@H](NC(=O)CCc1c[nH]c[nH+]1)C(=O)N1CCOCC1 ZINC000329369986 539303763 /nfs/dbraw/zinc/30/37/63/539303763.db2.gz INDOBNRLERXRTI-CQSZACIVSA-N 1 2 308.382 1.182 20 30 DDEDLO CN(Cc1cn2c([nH+]1)CCCC2)S(=O)(=O)CC(C)(C)CC#N ZINC000331316042 530015517 /nfs/dbraw/zinc/01/55/17/530015517.db2.gz JCWIYQFDZJOQER-UHFFFAOYSA-N 1 2 324.450 1.921 20 30 DDEDLO C=CCOc1cccc(NC(=O)C(=O)NCc2c[nH+]cn2C)c1 ZINC000354681782 539451548 /nfs/dbraw/zinc/45/15/48/539451548.db2.gz ASURKWHGCCCFRO-UHFFFAOYSA-N 1 2 314.345 1.240 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCC(=O)Nc1ccccc1CC ZINC000293299524 222757697 /nfs/dbraw/zinc/75/76/97/222757697.db2.gz WKIMMXWGLGOYMS-UHFFFAOYSA-N 1 2 301.390 1.259 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCC(=O)Nc1ccccc1CC ZINC000293299524 222757704 /nfs/dbraw/zinc/75/77/04/222757704.db2.gz WKIMMXWGLGOYMS-UHFFFAOYSA-N 1 2 301.390 1.259 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)C#Cc2ccc(CC)cc2)CC1 ZINC000293636687 222764319 /nfs/dbraw/zinc/76/43/19/222764319.db2.gz JHDLYMFJBSWMCE-UHFFFAOYSA-N 1 2 314.429 1.781 20 30 DDEDLO C=CCOCCCC(=O)N[C@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000616867661 362855331 /nfs/dbraw/zinc/85/53/31/362855331.db2.gz ZREWRUUYPZKPFA-CQSZACIVSA-N 1 2 309.410 1.579 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2C[C@@H](O)C(C)(C)C2)c(C#N)c1C ZINC000279814754 215170479 /nfs/dbraw/zinc/17/04/79/215170479.db2.gz QEHRSMCBNPAEMF-GXFFZTMASA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2C[C@@H](O)C(C)(C)C2)c(C#N)c1C ZINC000279814754 215170483 /nfs/dbraw/zinc/17/04/83/215170483.db2.gz QEHRSMCBNPAEMF-GXFFZTMASA-N 1 2 305.378 1.798 20 30 DDEDLO COCC[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C1CC1 ZINC000148116137 186043024 /nfs/dbraw/zinc/04/30/24/186043024.db2.gz HEKDPYOZQAVSOW-UHFFFAOYSA-N 1 2 308.403 1.443 20 30 DDEDLO COCC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C1CC1 ZINC000148116137 186043026 /nfs/dbraw/zinc/04/30/26/186043026.db2.gz HEKDPYOZQAVSOW-UHFFFAOYSA-N 1 2 308.403 1.443 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)on1 ZINC000017843625 352166386 /nfs/dbraw/zinc/16/63/86/352166386.db2.gz DUANVIKACSNBTO-UHFFFAOYSA-N 1 2 310.357 1.813 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000042748770 352350126 /nfs/dbraw/zinc/35/01/26/352350126.db2.gz CSIFQQJFHKZOHG-CYBMUJFWSA-N 1 2 317.393 1.219 20 30 DDEDLO N#C[C@@H](C(=O)C[NH+]1CCCCC1)c1nc2ccccc2c(=O)[nH]1 ZINC000057878879 352832741 /nfs/dbraw/zinc/83/27/41/352832741.db2.gz SGSHDCJIIRPOLE-ZDUSSCGKSA-N 1 2 310.357 1.998 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000066316374 352988530 /nfs/dbraw/zinc/98/85/30/352988530.db2.gz DVWOYURHMNUZGL-HNNXBMFYSA-N 1 2 321.421 1.917 20 30 DDEDLO CCN(CC)C(=O)C[N@H+](C)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000072824372 353218819 /nfs/dbraw/zinc/21/88/19/353218819.db2.gz QPGHIXBMHDPKOS-CQSZACIVSA-N 1 2 322.453 1.518 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+](C)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000072824372 353218821 /nfs/dbraw/zinc/21/88/21/353218821.db2.gz QPGHIXBMHDPKOS-CQSZACIVSA-N 1 2 322.453 1.518 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC000076669350 353431929 /nfs/dbraw/zinc/43/19/29/353431929.db2.gz AQJQZNXOEWJYPZ-GFCCVEGCSA-N 1 2 310.398 1.005 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC000076669350 353431930 /nfs/dbraw/zinc/43/19/30/353431930.db2.gz AQJQZNXOEWJYPZ-GFCCVEGCSA-N 1 2 310.398 1.005 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)c1 ZINC000088777366 353759321 /nfs/dbraw/zinc/75/93/21/353759321.db2.gz MPHNUFXEKCJQFD-ZDUSSCGKSA-N 1 2 316.386 1.850 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCC(O)(C(F)(F)F)CC1 ZINC000089969894 353786170 /nfs/dbraw/zinc/78/61/70/353786170.db2.gz KJTVTEFCZYNVRF-JTQLQIEISA-N 1 2 307.316 1.136 20 30 DDEDLO CC1(C)C[NH+]=C(N2CCN(c3cnccc3C#N)CC2)S1 ZINC000091736780 353835328 /nfs/dbraw/zinc/83/53/28/353835328.db2.gz CIWWQQCNXFHAEB-UHFFFAOYSA-N 1 2 301.419 1.957 20 30 DDEDLO N#Cc1ncccc1S(=O)(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000277054549 213265535 /nfs/dbraw/zinc/26/55/35/213265535.db2.gz QQRDCZMYXZSUDL-UHFFFAOYSA-N 1 2 317.374 1.176 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)c(C#N)c3)C[C@@H]21 ZINC000284609663 283027503 /nfs/dbraw/zinc/02/75/03/283027503.db2.gz TXFFYKPNRVRCIK-GJZGRUSLSA-N 1 2 303.337 1.242 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)c(C#N)c3)C[C@@H]21 ZINC000284609663 283027506 /nfs/dbraw/zinc/02/75/06/283027506.db2.gz TXFFYKPNRVRCIK-GJZGRUSLSA-N 1 2 303.337 1.242 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)Cc2cn3c([nH+]2)CCCC3)nn1 ZINC000579344432 354718368 /nfs/dbraw/zinc/71/83/68/354718368.db2.gz ADKKRSZBWMWIQH-UHFFFAOYSA-N 1 2 314.393 1.659 20 30 DDEDLO C=CCSCCNC(=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000586813270 354869732 /nfs/dbraw/zinc/86/97/32/354869732.db2.gz RQFCBTGYJRNLKV-CYBMUJFWSA-N 1 2 322.434 1.082 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)c1 ZINC000589534127 354999664 /nfs/dbraw/zinc/99/96/64/354999664.db2.gz IFSXAVMRVIYYMN-HNNXBMFYSA-N 1 2 315.373 1.201 20 30 DDEDLO N#CC1CN(C(=O)[C@H](c2ccccc2)[NH+]2CCSCC2)C1 ZINC000590485077 355104069 /nfs/dbraw/zinc/10/40/69/355104069.db2.gz XAEDWDCABWCQQI-HNNXBMFYSA-N 1 2 301.415 1.758 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000590746461 355161310 /nfs/dbraw/zinc/16/13/10/355161310.db2.gz KYBUAUFJYDUZLN-CYBMUJFWSA-N 1 2 310.423 1.790 20 30 DDEDLO COc1ccc(C)cc1C[NH+]1CCN(c2ncncc2C#N)CC1 ZINC000591888928 355411926 /nfs/dbraw/zinc/41/19/26/355411926.db2.gz ISEDZROFKZFYQX-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO CCC[C@H](NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1 ZINC000592146540 355511967 /nfs/dbraw/zinc/51/19/67/355511967.db2.gz CQUBRQJDLWFAPF-WMZOPIPTSA-N 1 2 315.417 1.994 20 30 DDEDLO CCC[C@H](NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1 ZINC000592146540 355511970 /nfs/dbraw/zinc/51/19/70/355511970.db2.gz CQUBRQJDLWFAPF-WMZOPIPTSA-N 1 2 315.417 1.994 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CC(C)(C)O[C@@H]2COC[C@H]21 ZINC000593117237 355804473 /nfs/dbraw/zinc/80/44/73/355804473.db2.gz PHQVVUABYROEHA-GDBMZVCRSA-N 1 2 302.374 1.945 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CC(C)(C)O[C@@H]2COC[C@H]21 ZINC000593117237 355804476 /nfs/dbraw/zinc/80/44/76/355804476.db2.gz PHQVVUABYROEHA-GDBMZVCRSA-N 1 2 302.374 1.945 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccnc(OCC(F)F)c1 ZINC000593154790 355812674 /nfs/dbraw/zinc/81/26/74/355812674.db2.gz DZRPCAXWLIGHLV-AWEZNQCLSA-N 1 2 312.320 1.299 20 30 DDEDLO Cc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)nn1[C@H](C)C1CC1 ZINC000593154968 355814031 /nfs/dbraw/zinc/81/40/31/355814031.db2.gz RWSBLZSOURCRIK-MLGOLLRUSA-N 1 2 303.410 1.736 20 30 DDEDLO N#Cc1sccc1S(=O)(=O)N1CC[NH+](CC2CC2)CC1 ZINC000593532985 355916357 /nfs/dbraw/zinc/91/63/57/355916357.db2.gz HLWYBZXSTODZFP-UHFFFAOYSA-N 1 2 311.432 1.336 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC000593444323 355886594 /nfs/dbraw/zinc/88/65/94/355886594.db2.gz VNALIUIFWBWMFK-HUUCEWRRSA-N 1 2 303.410 1.527 20 30 DDEDLO C[C@@H](CNC(=O)c1ccc(C#N)nc1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594108796 356115581 /nfs/dbraw/zinc/11/55/81/356115581.db2.gz XFXFDPWJJGABST-RWMBFGLXSA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@@H](CNC(=O)c1ccc(C#N)nc1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594108796 356115589 /nfs/dbraw/zinc/11/55/89/356115589.db2.gz XFXFDPWJJGABST-RWMBFGLXSA-N 1 2 302.378 1.181 20 30 DDEDLO COc1c(C)[nH+]cnc1N1CCN(c2ccccc2C#N)CC1 ZINC000594548462 356248019 /nfs/dbraw/zinc/24/80/19/356248019.db2.gz MJFGIDCIYDXGFI-UHFFFAOYSA-N 1 2 309.373 1.992 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)NCc1nnc(C2CC2)o1)[NH+]1CCOCC1 ZINC000329406673 222974978 /nfs/dbraw/zinc/97/49/78/222974978.db2.gz BZPNSOYFEDOGPY-WDEREUQCSA-N 1 2 323.397 1.060 20 30 DDEDLO C=CCCSCCNC(=O)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000595115905 356384462 /nfs/dbraw/zinc/38/44/62/356384462.db2.gz GWTSMMHCFNTSDX-UHFFFAOYSA-N 1 2 318.402 1.698 20 30 DDEDLO C[C@H](NC(=O)N1CCn2cc[nH+]c2C1)C(=O)N1CCCC[C@@H]1C ZINC000329468004 222982355 /nfs/dbraw/zinc/98/23/55/222982355.db2.gz WPHKKAKNKKESBV-STQMWFEESA-N 1 2 319.409 1.402 20 30 DDEDLO COC(=O)CC[C@H](C(=O)OC)[N@H+](C)Cc1cccc(C#N)c1 ZINC000595289221 356434976 /nfs/dbraw/zinc/43/49/76/356434976.db2.gz QKZYCQQPXSDAAP-CQSZACIVSA-N 1 2 304.346 1.485 20 30 DDEDLO COC(=O)CC[C@H](C(=O)OC)[N@@H+](C)Cc1cccc(C#N)c1 ZINC000595289221 356434980 /nfs/dbraw/zinc/43/49/80/356434980.db2.gz QKZYCQQPXSDAAP-CQSZACIVSA-N 1 2 304.346 1.485 20 30 DDEDLO CCN1CC[C@H]([NH+]2CCN(c3ccsc3C#N)CC2)C1=O ZINC000595635325 356587622 /nfs/dbraw/zinc/58/76/22/356587622.db2.gz GYJIBWJKECOYML-ZDUSSCGKSA-N 1 2 304.419 1.363 20 30 DDEDLO CN(C)S(=O)(=O)c1ccc(C[N@@H+]2CC[C@@](C)(C#N)C2)cc1 ZINC000595842664 356674366 /nfs/dbraw/zinc/67/43/66/356674366.db2.gz GOCVIXRQIBMKLB-HNNXBMFYSA-N 1 2 307.419 1.672 20 30 DDEDLO CN(C)S(=O)(=O)c1ccc(C[N@H+]2CC[C@@](C)(C#N)C2)cc1 ZINC000595842664 356674369 /nfs/dbraw/zinc/67/43/69/356674369.db2.gz GOCVIXRQIBMKLB-HNNXBMFYSA-N 1 2 307.419 1.672 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCOc2ccc(O)cc2C1 ZINC000595856013 356679434 /nfs/dbraw/zinc/67/94/34/356679434.db2.gz HSWOTJWEQNGCKH-GFCCVEGCSA-N 1 2 303.362 1.347 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCOc2ccc(O)cc2C1 ZINC000595856013 356679437 /nfs/dbraw/zinc/67/94/37/356679437.db2.gz HSWOTJWEQNGCKH-GFCCVEGCSA-N 1 2 303.362 1.347 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H]2COC[C@@H]2C1 ZINC000595831362 356668684 /nfs/dbraw/zinc/66/86/84/356668684.db2.gz OEWMVEFOMGLDGI-JYJNAYRXSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@H]2COC[C@@H]2C1 ZINC000595831362 356668687 /nfs/dbraw/zinc/66/86/87/356668687.db2.gz OEWMVEFOMGLDGI-JYJNAYRXSA-N 1 2 300.358 1.741 20 30 DDEDLO Cc1[nH+]c(NCCCCC#N)nc(NCCCCC#N)c1F ZINC000596107690 356774302 /nfs/dbraw/zinc/77/43/02/356774302.db2.gz MTKIVKYZUVNCSF-UHFFFAOYSA-N 1 2 304.373 1.979 20 30 DDEDLO C[N@@H+]1C[C@H](NC(=O)[C@@H]2CCS(=O)(=O)C2)[C@@H](c2ccccc2)C1 ZINC000328951572 283224844 /nfs/dbraw/zinc/22/48/44/283224844.db2.gz XHHPJPSMNHDSAR-KFWWJZLASA-N 1 2 322.430 1.475 20 30 DDEDLO C[N@H+]1C[C@H](NC(=O)[C@@H]2CCS(=O)(=O)C2)[C@@H](c2ccccc2)C1 ZINC000328951572 283224845 /nfs/dbraw/zinc/22/48/45/283224845.db2.gz XHHPJPSMNHDSAR-KFWWJZLASA-N 1 2 322.430 1.475 20 30 DDEDLO N#Cc1cc(N2C[C@@H]3[C@H](C2)OCC[N@@H+]3Cc2ccccc2)ncn1 ZINC000596349026 356861983 /nfs/dbraw/zinc/86/19/83/356861983.db2.gz NRLMQORDLSYSMJ-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO N#Cc1cc(N2C[C@@H]3[C@H](C2)OCC[N@H+]3Cc2ccccc2)ncn1 ZINC000596349026 356861988 /nfs/dbraw/zinc/86/19/88/356861988.db2.gz NRLMQORDLSYSMJ-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO N#Cc1ccc(N2CCN(Cc3c[nH+]c4n3CCC4)CC2)nc1 ZINC000596983441 357034124 /nfs/dbraw/zinc/03/41/24/357034124.db2.gz ZAHKLMPMCRFVJN-UHFFFAOYSA-N 1 2 308.389 1.418 20 30 DDEDLO COCC[N@H+](CC(=O)OC)Cc1ccc(C2(C#N)CC2)cc1 ZINC000597011403 357040010 /nfs/dbraw/zinc/04/00/10/357040010.db2.gz WEYFYPLUQRLIFO-UHFFFAOYSA-N 1 2 302.374 1.863 20 30 DDEDLO COCC[N@@H+](CC(=O)OC)Cc1ccc(C2(C#N)CC2)cc1 ZINC000597011403 357040014 /nfs/dbraw/zinc/04/00/14/357040014.db2.gz WEYFYPLUQRLIFO-UHFFFAOYSA-N 1 2 302.374 1.863 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)C[N@H+](C)C[C@@H]1CCS(=O)(=O)C1 ZINC000329595437 223000551 /nfs/dbraw/zinc/00/05/51/223000551.db2.gz VIXFEERIYKVGFU-RDBSUJKOSA-N 1 2 316.467 1.888 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)C[N@@H+](C)C[C@@H]1CCS(=O)(=O)C1 ZINC000329595437 223000555 /nfs/dbraw/zinc/00/05/55/223000555.db2.gz VIXFEERIYKVGFU-RDBSUJKOSA-N 1 2 316.467 1.888 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N[C@@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000597847671 357357049 /nfs/dbraw/zinc/35/70/49/357357049.db2.gz QEYQKFHOTDXBBJ-ZDUSSCGKSA-N 1 2 315.377 1.418 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2ccc(C#N)c(O)c2)[C@@H](C)CO1 ZINC000598000145 357415196 /nfs/dbraw/zinc/41/51/96/357415196.db2.gz XZHQLVMGSKODKU-RYUDHWBXSA-N 1 2 303.362 1.103 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2ccc(C#N)c(O)c2)[C@@H](C)CO1 ZINC000598000145 357415200 /nfs/dbraw/zinc/41/52/00/357415200.db2.gz XZHQLVMGSKODKU-RYUDHWBXSA-N 1 2 303.362 1.103 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[C@@H](C)[NH+](C)C)cc1C#N ZINC000598000995 357416868 /nfs/dbraw/zinc/41/68/68/357416868.db2.gz WSXUSSIXCZTGLD-SECBINFHSA-N 1 2 308.407 1.451 20 30 DDEDLO CCC(CC)[C@H](C(=O)N1CC[C@@](C)(C#N)C1)[NH+]1CCOCC1 ZINC000598407581 357568394 /nfs/dbraw/zinc/56/83/94/357568394.db2.gz DRWPSRLNBBQENK-WBVHZDCISA-N 1 2 307.438 1.886 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[N@H+](C[C@H](O)CC(C)(C)C#N)CCO1 ZINC000598594705 357645596 /nfs/dbraw/zinc/64/55/96/357645596.db2.gz IERJLLPPEDNFOQ-OLZOCXBDSA-N 1 2 312.410 1.330 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[N@@H+](C[C@H](O)CC(C)(C)C#N)CCO1 ZINC000598594705 357645603 /nfs/dbraw/zinc/64/56/03/357645603.db2.gz IERJLLPPEDNFOQ-OLZOCXBDSA-N 1 2 312.410 1.330 20 30 DDEDLO COc1cccc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)c1Cl ZINC000598836680 357740142 /nfs/dbraw/zinc/74/01/42/357740142.db2.gz ORNGWJTVYODZTB-OAHLLOKOSA-N 1 2 309.797 1.851 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@]1(C)CCO[C@@H]1C1CC1 ZINC000329758810 223025737 /nfs/dbraw/zinc/02/57/37/223025737.db2.gz OCJZOPHOIHRYCR-XNRPHZJLSA-N 1 2 311.426 1.168 20 30 DDEDLO COC(=O)C[N@H+](C[C@H](O)COc1ccccc1C#N)C(C)C ZINC000599315805 357890011 /nfs/dbraw/zinc/89/00/11/357890011.db2.gz UJDQIQOHJYJZLR-AWEZNQCLSA-N 1 2 306.362 1.181 20 30 DDEDLO COC(=O)C[N@@H+](C[C@H](O)COc1ccccc1C#N)C(C)C ZINC000599315805 357890014 /nfs/dbraw/zinc/89/00/14/357890014.db2.gz UJDQIQOHJYJZLR-AWEZNQCLSA-N 1 2 306.362 1.181 20 30 DDEDLO C=CCNC(=O)CNC(=O)NCCC[N@@H+](CC)c1ccccc1 ZINC000180265347 199139167 /nfs/dbraw/zinc/13/91/67/199139167.db2.gz WOUPRWHSOLCLOO-UHFFFAOYSA-N 1 2 318.421 1.504 20 30 DDEDLO C=CCNC(=O)CNC(=O)NCCC[N@H+](CC)c1ccccc1 ZINC000180265347 199139169 /nfs/dbraw/zinc/13/91/69/199139169.db2.gz WOUPRWHSOLCLOO-UHFFFAOYSA-N 1 2 318.421 1.504 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccccc1CC#N ZINC000601535707 358591610 /nfs/dbraw/zinc/59/16/10/358591610.db2.gz QYSYSPYBDNQMMY-CQSZACIVSA-N 1 2 301.390 1.545 20 30 DDEDLO CC1CC(C#N)(C(=O)N2CC[NH+](Cc3ccc(O)cc3)CC2)C1 ZINC000601729016 358666593 /nfs/dbraw/zinc/66/65/93/358666593.db2.gz OYWCLBZLUHYVJO-UHFFFAOYSA-N 1 2 313.401 1.976 20 30 DDEDLO N#C[C@H]1CN(C(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)CCO1 ZINC000602099777 358812936 /nfs/dbraw/zinc/81/29/36/358812936.db2.gz ZOOLUMJRKUTLBX-VHSXEESVSA-N 1 2 302.256 1.128 20 30 DDEDLO CCOC(=O)c1ccc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)nc1 ZINC000602849232 359236815 /nfs/dbraw/zinc/23/68/15/359236815.db2.gz KIBQTYZVVZSYHL-KBPBESRZSA-N 1 2 316.405 1.676 20 30 DDEDLO COC(=O)c1cccc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)n1 ZINC000602854707 359241594 /nfs/dbraw/zinc/24/15/94/359241594.db2.gz QKIORMJYIHLGCJ-STQMWFEESA-N 1 2 302.378 1.286 20 30 DDEDLO COc1ccc(NC(=O)C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)cc1 ZINC000602859381 359244646 /nfs/dbraw/zinc/24/46/46/359244646.db2.gz GGBYHTJPFOJTJS-OKILXGFUSA-N 1 2 316.405 1.552 20 30 DDEDLO COc1ccc(NC(=O)C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)cc1 ZINC000602859381 359244649 /nfs/dbraw/zinc/24/46/49/359244649.db2.gz GGBYHTJPFOJTJS-OKILXGFUSA-N 1 2 316.405 1.552 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)NCCc1ccc(OC(F)F)cc1 ZINC000602863296 359247589 /nfs/dbraw/zinc/24/75/89/359247589.db2.gz MPCKGJXFKDTXOT-NSHDSACASA-N 1 2 311.332 1.838 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)NC[C@@H]1CCN(c2ccccc2)C1 ZINC000602865064 359250285 /nfs/dbraw/zinc/25/02/85/359250285.db2.gz VWYGBEYZBJHYSG-GJZGRUSLSA-N 1 2 300.406 1.521 20 30 DDEDLO C[C@@H](NC(=O)[C@@H](C)[NH+]1CCN(CCC#N)CC1)c1ccccc1 ZINC000602798614 359200734 /nfs/dbraw/zinc/20/07/34/359200734.db2.gz MDMUQWZFMQTZIQ-HZPDHXFCSA-N 1 2 314.433 1.784 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1ccnc(C#N)c1 ZINC000603130029 359422162 /nfs/dbraw/zinc/42/21/62/359422162.db2.gz WQFLJTKCKDCCPZ-NEPJUHHUSA-N 1 2 303.366 1.184 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1ccnc(C#N)c1 ZINC000603130029 359422169 /nfs/dbraw/zinc/42/21/69/359422169.db2.gz WQFLJTKCKDCCPZ-NEPJUHHUSA-N 1 2 303.366 1.184 20 30 DDEDLO COCC[N@H+](C)Cc1cn(Cc2cc(C#N)ccc2F)nn1 ZINC000603194531 359472213 /nfs/dbraw/zinc/47/22/13/359472213.db2.gz SPMUMZKZYVSIHV-UHFFFAOYSA-N 1 2 303.341 1.415 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(Cc2cc(C#N)ccc2F)nn1 ZINC000603194531 359472216 /nfs/dbraw/zinc/47/22/16/359472216.db2.gz SPMUMZKZYVSIHV-UHFFFAOYSA-N 1 2 303.341 1.415 20 30 DDEDLO COC1CC[NH+](Cc2cn(Cc3ccncc3C#N)nn2)CC1 ZINC000603253485 359517844 /nfs/dbraw/zinc/51/78/44/359517844.db2.gz AGVAEWRNRDVDLO-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N2CC(C)(C#N)C2)C1 ZINC000603326485 359570444 /nfs/dbraw/zinc/57/04/44/359570444.db2.gz OHXGFHXYIUVBFB-UHFFFAOYSA-N 1 2 305.403 1.209 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N2CC(C)(C#N)C2)C1 ZINC000603326485 359570445 /nfs/dbraw/zinc/57/04/45/359570445.db2.gz OHXGFHXYIUVBFB-UHFFFAOYSA-N 1 2 305.403 1.209 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[N@@H+](C)C(C)(C)C2)c1C#N ZINC000603376670 359602089 /nfs/dbraw/zinc/60/20/89/359602089.db2.gz WSMCZTFOOPIKGW-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[N@H+](C)C(C)(C)C2)c1C#N ZINC000603376670 359602094 /nfs/dbraw/zinc/60/20/94/359602094.db2.gz WSMCZTFOOPIKGW-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCCN1c1ccc(C#N)cc1 ZINC000609108325 360260216 /nfs/dbraw/zinc/26/02/16/360260216.db2.gz AJJMRCANFHSFSB-PBHICJAKSA-N 1 2 323.400 1.928 20 30 DDEDLO O=C(NC1CCC(C(=O)N2CC[NH+](CCO)CC2)CC1)C1CC1 ZINC000329961865 223053903 /nfs/dbraw/zinc/05/39/03/223053903.db2.gz OVPMDKQBTNVBML-UHFFFAOYSA-N 1 2 323.437 1.048 20 30 DDEDLO N#Cc1nc(C2CC2)oc1N1CC[NH+](CCOCC2CC2)CC1 ZINC000610212540 360391017 /nfs/dbraw/zinc/39/10/17/360391017.db2.gz FASOXYSGYQRZPU-UHFFFAOYSA-N 1 2 316.405 1.972 20 30 DDEDLO C[C@@H](C(=O)NCc1ccccc1F)[NH+]1CCN(CCC#N)CC1 ZINC000611176062 360649393 /nfs/dbraw/zinc/64/93/93/360649393.db2.gz SJLLFICWFURMML-AWEZNQCLSA-N 1 2 318.396 1.362 20 30 DDEDLO Cc1[nH+]ccn1C[C@@H]1CCCN1C(=O)N[C@@H](C)Cn1cncn1 ZINC000330198673 223086409 /nfs/dbraw/zinc/08/64/09/223086409.db2.gz UJSYPRULJALEIX-JSGCOSHPSA-N 1 2 317.397 1.250 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@H+]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC000330624851 223131027 /nfs/dbraw/zinc/13/10/27/223131027.db2.gz RYHSPOKGRWXVIM-GFCCVEGCSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@@H+]2CCOC[C@H]2C)cc1[N+](=O)[O-] ZINC000330624851 223131028 /nfs/dbraw/zinc/13/10/28/223131028.db2.gz RYHSPOKGRWXVIM-GFCCVEGCSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1ccc(C(=O)N[C@H](CO)C[NH+]2CCOCC2)cc1Cl ZINC000330469403 223120088 /nfs/dbraw/zinc/12/00/88/223120088.db2.gz ZEAFIFCQHGLNBE-ZDUSSCGKSA-N 1 2 312.797 1.646 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@H]1[C@H](O)C(F)(F)F)C1CC1 ZINC000331615764 223207497 /nfs/dbraw/zinc/20/74/97/223207497.db2.gz FYZRBKORWTZWQB-WCFLWFBJSA-N 1 2 319.327 1.182 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@H]1[C@H](O)C(F)(F)F)C1CC1 ZINC000331615764 223207498 /nfs/dbraw/zinc/20/74/98/223207498.db2.gz FYZRBKORWTZWQB-WCFLWFBJSA-N 1 2 319.327 1.182 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@H]1CC[N@@H+](C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000612995005 361232175 /nfs/dbraw/zinc/23/21/75/361232175.db2.gz KLNUEASUNIBVOM-MELADBBJSA-N 1 2 312.772 1.887 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@H]1CC[N@H+](C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000612995005 361232177 /nfs/dbraw/zinc/23/21/77/361232177.db2.gz KLNUEASUNIBVOM-MELADBBJSA-N 1 2 312.772 1.887 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000338250709 223257034 /nfs/dbraw/zinc/25/70/34/223257034.db2.gz HWERVNURHPLOFT-KDOFPFPSSA-N 1 2 319.368 1.631 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000338250709 223257035 /nfs/dbraw/zinc/25/70/35/223257035.db2.gz HWERVNURHPLOFT-KDOFPFPSSA-N 1 2 319.368 1.631 20 30 DDEDLO N#Cc1cccc(OCCC[N@@H+]2CCOC[C@]23CCOC3)c1 ZINC000193718944 201110319 /nfs/dbraw/zinc/11/03/19/201110319.db2.gz BUNSISRKUFITRP-QGZVFWFLSA-N 1 2 302.374 1.818 20 30 DDEDLO N#Cc1cccc(OCCC[N@H+]2CCOC[C@]23CCOC3)c1 ZINC000193718944 201110322 /nfs/dbraw/zinc/11/03/22/201110322.db2.gz BUNSISRKUFITRP-QGZVFWFLSA-N 1 2 302.374 1.818 20 30 DDEDLO C[C@@H]1CCNC(=O)[C@H]1[NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000193647024 201094146 /nfs/dbraw/zinc/09/41/46/201094146.db2.gz OAHGJWPJDNBYKX-YGRLFVJLSA-N 1 2 311.345 1.222 20 30 DDEDLO C=C[C@H](N[C@H](Cc1ccccc1)C[NH+]1CCOCC1)C(=O)OC ZINC000619701724 364119997 /nfs/dbraw/zinc/11/99/97/364119997.db2.gz SJAPXRHDGJZOSG-SJORKVTESA-N 1 2 318.417 1.247 20 30 DDEDLO CS(=O)(=O)Nc1cccc2c1CC[N@H+](CC1(C#N)CC1)C2 ZINC000343314803 223319823 /nfs/dbraw/zinc/31/98/23/223319823.db2.gz NXSLHTJMGPACCU-UHFFFAOYSA-N 1 2 305.403 1.720 20 30 DDEDLO CS(=O)(=O)Nc1cccc2c1CC[N@@H+](CC1(C#N)CC1)C2 ZINC000343314803 223319825 /nfs/dbraw/zinc/31/98/25/223319825.db2.gz NXSLHTJMGPACCU-UHFFFAOYSA-N 1 2 305.403 1.720 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1C[C@H](C)O[C@@H](c2ccc(F)cc2)C1 ZINC000266019483 205032033 /nfs/dbraw/zinc/03/20/33/205032033.db2.gz OMLSBEPRINZPTQ-HEHGZKQESA-N 1 2 304.365 1.725 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1C[C@H](C)O[C@@H](c2ccc(F)cc2)C1 ZINC000266019483 205032038 /nfs/dbraw/zinc/03/20/38/205032038.db2.gz OMLSBEPRINZPTQ-HEHGZKQESA-N 1 2 304.365 1.725 20 30 DDEDLO C[C@@H](c1ccccc1)[N@H+](CC(N)=O)CC(=O)NC1(C#N)CCC1 ZINC000346997802 296235514 /nfs/dbraw/zinc/23/55/14/296235514.db2.gz OKFSCTKDSVBYGS-ZDUSSCGKSA-N 1 2 314.389 1.097 20 30 DDEDLO C[C@@H](c1ccccc1)[N@@H+](CC(N)=O)CC(=O)NC1(C#N)CCC1 ZINC000346997802 296235515 /nfs/dbraw/zinc/23/55/15/296235515.db2.gz OKFSCTKDSVBYGS-ZDUSSCGKSA-N 1 2 314.389 1.097 20 30 DDEDLO C=CC[NH+](CC=C)[C@H](C)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000267909476 206332076 /nfs/dbraw/zinc/33/20/76/206332076.db2.gz YYRBVJYPMATXHE-LLVKDONJSA-N 1 2 300.362 1.857 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](Cc3ccccn3)CC2)c1 ZINC000033237200 248183158 /nfs/dbraw/zinc/18/31/58/248183158.db2.gz OFJAPYZZKYKQEU-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO C[C@H](C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1)n1cnc(C#N)n1 ZINC000337076350 249340943 /nfs/dbraw/zinc/34/09/43/249340943.db2.gz ZOOFSZMHCBDLBR-UPJWGTAASA-N 1 2 313.365 1.017 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)N2CCCC2)[N@H+](Cc2ccccc2C#N)C1 ZINC000284518355 218061724 /nfs/dbraw/zinc/06/17/24/218061724.db2.gz IQIYPZUOVSQGCU-IRXDYDNUSA-N 1 2 313.401 1.770 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)N2CCCC2)[N@@H+](Cc2ccccc2C#N)C1 ZINC000284518355 218061728 /nfs/dbraw/zinc/06/17/28/218061728.db2.gz IQIYPZUOVSQGCU-IRXDYDNUSA-N 1 2 313.401 1.770 20 30 DDEDLO C[C@@H](NC(=O)N(C)CC[NH+]1CCOCC1)c1ccc(C#N)cc1 ZINC000105154026 194079067 /nfs/dbraw/zinc/07/90/67/194079067.db2.gz IZCTXMYETGUXLI-CQSZACIVSA-N 1 2 316.405 1.593 20 30 DDEDLO CCS(=O)(=O)CC[N@@H+]1CCCN(c2ccccc2C#N)CC1 ZINC000105792381 194128759 /nfs/dbraw/zinc/12/87/59/194128759.db2.gz LLCGEIOXQFHOBM-UHFFFAOYSA-N 1 2 321.446 1.505 20 30 DDEDLO CCS(=O)(=O)CC[N@H+]1CCCN(c2ccccc2C#N)CC1 ZINC000105792381 194128762 /nfs/dbraw/zinc/12/87/62/194128762.db2.gz LLCGEIOXQFHOBM-UHFFFAOYSA-N 1 2 321.446 1.505 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N2CCOc3cc[nH+]cc32)cc1 ZINC000339157134 250320405 /nfs/dbraw/zinc/32/04/05/250320405.db2.gz WFVDBVPGFQHCRA-UHFFFAOYSA-N 1 2 315.354 1.682 20 30 DDEDLO N#Cc1cc(C(=O)NCC2([NH+]3CCOCC3)CC2)ccc1F ZINC000285116809 218296880 /nfs/dbraw/zinc/29/68/80/218296880.db2.gz XFYHWZVMSCIJJD-UHFFFAOYSA-N 1 2 303.337 1.292 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1cc(F)cc(F)c1 ZINC000060634217 184153524 /nfs/dbraw/zinc/15/35/24/184153524.db2.gz VBLILFCNDFOBMP-UHFFFAOYSA-N 1 2 323.343 1.653 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCCCC[C@@H]1C(=O)OC ZINC000367966307 285037466 /nfs/dbraw/zinc/03/74/66/285037466.db2.gz YODLUCNGKHCVOB-ZIAGYGMSSA-N 1 2 309.410 1.412 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCCCC[C@@H]1C(=O)OC ZINC000367966307 285037468 /nfs/dbraw/zinc/03/74/68/285037468.db2.gz YODLUCNGKHCVOB-ZIAGYGMSSA-N 1 2 309.410 1.412 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCO[C@@]4(CCSC4)C3)cnc12 ZINC000567905223 304254941 /nfs/dbraw/zinc/25/49/41/304254941.db2.gz LLNOCLZDGDQUJZ-HNNXBMFYSA-N 1 2 315.402 1.309 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCO[C@@]4(CCSC4)C3)cnc12 ZINC000567905223 304254943 /nfs/dbraw/zinc/25/49/43/304254943.db2.gz LLNOCLZDGDQUJZ-HNNXBMFYSA-N 1 2 315.402 1.309 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](C(=O)NCc3[nH]cc[nH+]3)C2)c(F)c1 ZINC000286506900 219025988 /nfs/dbraw/zinc/02/59/88/219025988.db2.gz WKQMPIHSCWHBIL-GFCCVEGCSA-N 1 2 313.336 1.563 20 30 DDEDLO N#Cc1cncc(S(=O)(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)c1 ZINC000289531829 221045669 /nfs/dbraw/zinc/04/56/69/221045669.db2.gz SHTPBNAVNZBPJD-GFCCVEGCSA-N 1 2 317.374 1.245 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(C)c2)[C@H](C)C1 ZINC000285329717 285327970 /nfs/dbraw/zinc/32/79/70/285327970.db2.gz NSHWQEZLEDVSIV-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(C)c2)[C@H](C)C1 ZINC000285329717 285327975 /nfs/dbraw/zinc/32/79/75/285327975.db2.gz NSHWQEZLEDVSIV-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+](Cc1ccc(C#N)cc1OC)[C@H](C)CS(C)(=O)=O ZINC000120956982 195271088 /nfs/dbraw/zinc/27/10/88/195271088.db2.gz WJXIVIZUWODAQE-GFCCVEGCSA-N 1 2 310.419 1.822 20 30 DDEDLO CC[N@@H+](Cc1ccc(C#N)cc1OC)[C@H](C)CS(C)(=O)=O ZINC000120956982 195271090 /nfs/dbraw/zinc/27/10/90/195271090.db2.gz WJXIVIZUWODAQE-GFCCVEGCSA-N 1 2 310.419 1.822 20 30 DDEDLO COc1ccc(C(C)=O)c(OC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000414161694 533365968 /nfs/dbraw/zinc/36/59/68/533365968.db2.gz KLUBWUVIVICQBO-ZDUSSCGKSA-N 1 2 306.362 1.483 20 30 DDEDLO COc1ccc(C(C)=O)c(OC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000414161694 533365970 /nfs/dbraw/zinc/36/59/70/533365970.db2.gz KLUBWUVIVICQBO-ZDUSSCGKSA-N 1 2 306.362 1.483 20 30 DDEDLO COc1cccc(N2C[C@@H](Nc3cc(C)[nH+]cc3C#N)CC2=O)c1 ZINC000425228851 533588837 /nfs/dbraw/zinc/58/88/37/533588837.db2.gz QPYUEZZQXGXEIV-AWEZNQCLSA-N 1 2 322.368 1.910 20 30 DDEDLO C[C@@H](O)C[N@H+](CCC(=O)Nc1ccc(C#N)cc1)CC(F)F ZINC000451841841 533655106 /nfs/dbraw/zinc/65/51/06/533655106.db2.gz UGNPKZQYMTUUDP-LLVKDONJSA-N 1 2 311.332 1.835 20 30 DDEDLO C[C@@H](O)C[N@@H+](CCC(=O)Nc1ccc(C#N)cc1)CC(F)F ZINC000451841841 533655118 /nfs/dbraw/zinc/65/51/18/533655118.db2.gz UGNPKZQYMTUUDP-LLVKDONJSA-N 1 2 311.332 1.835 20 30 DDEDLO C[C@@H]1C[C@@H](NS(=O)(=O)c2ccc(C#N)cc2F)c2[nH+]ccn21 ZINC000569071667 304333895 /nfs/dbraw/zinc/33/38/95/304333895.db2.gz HIAAAUHZOGJGLM-BXKDBHETSA-N 1 2 320.349 1.878 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@@H](C(=O)OC)C2(OC)CCC2)CC1 ZINC000459455568 292208467 /nfs/dbraw/zinc/20/84/67/292208467.db2.gz OMLVEXHBOIKZGO-ZDUSSCGKSA-N 1 2 310.394 1.349 20 30 DDEDLO N#CCCCCS(=O)(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000068899558 406668552 /nfs/dbraw/zinc/66/85/52/406668552.db2.gz IVXPWZNZXIKWIB-UHFFFAOYSA-N 1 2 318.402 1.986 20 30 DDEDLO COC(=O)C[C@@H](C)[NH+]1CCN(C(=O)c2cc(C#N)cs2)CC1 ZINC000084190779 407084568 /nfs/dbraw/zinc/08/45/68/407084568.db2.gz HUYRHTAIHNTUON-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)[C@H]1CCC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000050049686 407126500 /nfs/dbraw/zinc/12/65/00/407126500.db2.gz LOTUFWSTAKJDGD-LLVKDONJSA-N 1 2 307.375 1.586 20 30 DDEDLO COC(=O)[C@H]1CCC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000050049686 407126502 /nfs/dbraw/zinc/12/65/02/407126502.db2.gz LOTUFWSTAKJDGD-LLVKDONJSA-N 1 2 307.375 1.586 20 30 DDEDLO C[C@@H]1C[C@@H](C)CN(C(=O)CN2CC[NH+](CCCC#N)CC2)C1 ZINC000052710024 407154803 /nfs/dbraw/zinc/15/48/03/407154803.db2.gz SNVQPTUYVJEFMA-HZPDHXFCSA-N 1 2 306.454 1.412 20 30 DDEDLO C[C@@H]1C[C@@H](C)CN(C(=O)C[NH+]2CCN(CCCC#N)CC2)C1 ZINC000052710024 407154805 /nfs/dbraw/zinc/15/48/05/407154805.db2.gz SNVQPTUYVJEFMA-HZPDHXFCSA-N 1 2 306.454 1.412 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000098072136 407305624 /nfs/dbraw/zinc/30/56/24/407305624.db2.gz DSYFTFOGMNRPKA-CQSZACIVSA-N 1 2 306.362 1.448 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000098072136 407305625 /nfs/dbraw/zinc/30/56/25/407305625.db2.gz DSYFTFOGMNRPKA-CQSZACIVSA-N 1 2 306.362 1.448 20 30 DDEDLO C[C@@H]1CC[C@H](C(N)=O)C[N@@H+]1CC(=O)Nc1sccc1C#N ZINC000104075115 407346755 /nfs/dbraw/zinc/34/67/55/407346755.db2.gz CUXSEGRXGLBLHH-KOLCDFICSA-N 1 2 306.391 1.144 20 30 DDEDLO C[C@@H]1CC[C@H](C(N)=O)C[N@H+]1CC(=O)Nc1sccc1C#N ZINC000104075115 407346756 /nfs/dbraw/zinc/34/67/56/407346756.db2.gz CUXSEGRXGLBLHH-KOLCDFICSA-N 1 2 306.391 1.144 20 30 DDEDLO C=C[C@H](C)NC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000128611671 407555111 /nfs/dbraw/zinc/55/51/11/407555111.db2.gz NPKKEWTYSXUGSB-HNNXBMFYSA-N 1 2 303.406 1.967 20 30 DDEDLO C[C@@H](NC(=O)NCc1ccc(C#N)cc1)[C@@H](C)[NH+]1CCOCC1 ZINC000171617082 407718929 /nfs/dbraw/zinc/71/89/29/407718929.db2.gz QDYUNEDWQAOTHD-ZIAGYGMSSA-N 1 2 316.405 1.467 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@@H](CC(C)C)[NH+]1CCOCC1 ZINC000130161769 407661995 /nfs/dbraw/zinc/66/19/95/407661995.db2.gz ZDORZPAIWBTNQF-CQSZACIVSA-N 1 2 304.456 1.229 20 30 DDEDLO CCOCc1cc(C[NH+]2CCN(CC#N)CC2)ccc1OC ZINC000179357356 407775867 /nfs/dbraw/zinc/77/58/67/407775867.db2.gz CEYPPWQWDRWTBD-UHFFFAOYSA-N 1 2 303.406 1.873 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CCO)CC2)c(Br)c1 ZINC000116942714 407797300 /nfs/dbraw/zinc/79/73/00/407797300.db2.gz AYULWOSVCJHEEE-UHFFFAOYSA-N 1 2 310.195 1.435 20 30 DDEDLO CC(C)(C#N)c1ccccc1CO[NH+]=C(N)CN1CCOCC1 ZINC000134166006 407886722 /nfs/dbraw/zinc/88/67/22/407886722.db2.gz PRZRUZBTOQTEAT-UHFFFAOYSA-N 1 2 316.405 1.609 20 30 DDEDLO CC(C)N1CC[C@@H]([NH+]2CCN(c3ccc(C#N)cn3)CC2)C1=O ZINC000175117939 408056716 /nfs/dbraw/zinc/05/67/16/408056716.db2.gz DDWVYTCVNABPDP-OAHLLOKOSA-N 1 2 313.405 1.085 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@H](CC(N)=O)C1 ZINC000175239233 408086805 /nfs/dbraw/zinc/08/68/05/408086805.db2.gz LJCYKQZEACLNEB-SNVBAGLBSA-N 1 2 306.391 1.146 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@H](CC(N)=O)C1 ZINC000175239233 408086812 /nfs/dbraw/zinc/08/68/12/408086812.db2.gz LJCYKQZEACLNEB-SNVBAGLBSA-N 1 2 306.391 1.146 20 30 DDEDLO C=C(C)COCCNc1[nH+]cnc2c1cnn2-c1ncccn1 ZINC000119817121 408109041 /nfs/dbraw/zinc/10/90/41/408109041.db2.gz BHBUAVUVGYGFMA-UHFFFAOYSA-N 1 2 311.349 1.610 20 30 DDEDLO C[NH+](C)CCCN(CCC#N)C(=O)c1ccc([N+](=O)[O-])c(O)c1 ZINC000137045741 408139218 /nfs/dbraw/zinc/13/92/18/408139218.db2.gz BPWMWLLYYWZJBW-UHFFFAOYSA-N 1 2 320.349 1.608 20 30 DDEDLO C#CC[N@@H+](CN1CC(=O)N(CC)C1=O)[C@@H]1CCc2ccccc21 ZINC000122131717 408254327 /nfs/dbraw/zinc/25/43/27/408254327.db2.gz LHLANCKVOYHUDB-MRXNPFEDSA-N 1 2 311.385 1.851 20 30 DDEDLO C#CC[N@H+](CN1CC(=O)N(CC)C1=O)[C@@H]1CCc2ccccc21 ZINC000122131717 408254333 /nfs/dbraw/zinc/25/43/33/408254333.db2.gz LHLANCKVOYHUDB-MRXNPFEDSA-N 1 2 311.385 1.851 20 30 DDEDLO COC[C@H]([NH2+]CC(=O)N(CCC#N)CCC#N)c1ccco1 ZINC000273523069 408230807 /nfs/dbraw/zinc/23/08/07/408230807.db2.gz QWFRNNNNIAFSHZ-ZDUSSCGKSA-N 1 2 304.350 1.213 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000182972841 408297941 /nfs/dbraw/zinc/29/79/41/408297941.db2.gz VDAJZYODIPYYKO-OAHLLOKOSA-N 1 2 318.421 1.808 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCC[N@H+](CCOc2cccc(C#N)c2)C1 ZINC000274177399 408314390 /nfs/dbraw/zinc/31/43/90/408314390.db2.gz OISHWLPCIYCAAS-OAHLLOKOSA-N 1 2 308.403 1.446 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCC[N@@H+](CCOc2cccc(C#N)c2)C1 ZINC000274177399 408314394 /nfs/dbraw/zinc/31/43/94/408314394.db2.gz OISHWLPCIYCAAS-OAHLLOKOSA-N 1 2 308.403 1.446 20 30 DDEDLO Cn1cc(C[N@@H+]2CCC[C@@H]2C(=O)NCc2ccco2)cc1C#N ZINC000191217705 408386871 /nfs/dbraw/zinc/38/68/71/408386871.db2.gz SCGCNNLREYZWKF-MRXNPFEDSA-N 1 2 312.373 1.771 20 30 DDEDLO Cn1cc(C[N@H+]2CCC[C@@H]2C(=O)NCc2ccco2)cc1C#N ZINC000191217705 408386878 /nfs/dbraw/zinc/38/68/78/408386878.db2.gz SCGCNNLREYZWKF-MRXNPFEDSA-N 1 2 312.373 1.771 20 30 DDEDLO C#CCNC(=O)c1ccc(N[C@H]2CCN(c3cccc[nH+]3)C2)nc1 ZINC000269880631 408458052 /nfs/dbraw/zinc/45/80/52/408458052.db2.gz RTGNEGVTOQNIKU-HNNXBMFYSA-N 1 2 321.384 1.530 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CCO[C@H]([C@H]2CCCO2)C1 ZINC000247373122 408458283 /nfs/dbraw/zinc/45/82/83/408458283.db2.gz PGZQUCPJNKJEJE-SJORKVTESA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CCO[C@H]([C@H]2CCCO2)C1 ZINC000247373122 408458289 /nfs/dbraw/zinc/45/82/89/408458289.db2.gz PGZQUCPJNKJEJE-SJORKVTESA-N 1 2 302.374 1.817 20 30 DDEDLO COc1cc(N2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)ncn1 ZINC000191498148 408434353 /nfs/dbraw/zinc/43/43/53/408434353.db2.gz BIBLJXABSJIJGP-UHFFFAOYSA-N 1 2 312.377 1.018 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)Nc1ccc(C#N)cc1[N+](=O)[O-] ZINC000264397784 408473682 /nfs/dbraw/zinc/47/36/82/408473682.db2.gz LLXYRALYOXYSJH-GFCCVEGCSA-N 1 2 303.366 1.514 20 30 DDEDLO CN(CC[NH+]1CCOCC1)c1nc(C(F)(F)F)ccc1C#N ZINC000265274109 408599234 /nfs/dbraw/zinc/59/92/34/408599234.db2.gz VEMOMTWFDUITES-UHFFFAOYSA-N 1 2 314.311 1.740 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+](CC(=O)N(CC)CC)CC1 ZINC000184934313 408720615 /nfs/dbraw/zinc/72/06/15/408720615.db2.gz VPVFJINZDBTAHS-OAHLLOKOSA-N 1 2 309.454 1.601 20 30 DDEDLO COC[C@@H](C)O[NH+]=C(N)c1ccc(-n2nc(C)cc2C)nc1 ZINC000178309523 408802919 /nfs/dbraw/zinc/80/29/19/408802919.db2.gz JKQGIOAGFAILNZ-GFCCVEGCSA-N 1 2 303.366 1.556 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)cc1O ZINC000193853614 408748294 /nfs/dbraw/zinc/74/82/94/408748294.db2.gz IFGIVMCJCCMQKX-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+](C)[C@H]1CCN(CC=C)C1=O ZINC000281251820 408877323 /nfs/dbraw/zinc/87/73/23/408877323.db2.gz GVPSJOUJPCLZBU-CABCVRRESA-N 1 2 305.422 1.294 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+](C)[C@H]1CCN(CC=C)C1=O ZINC000281251820 408877325 /nfs/dbraw/zinc/87/73/25/408877325.db2.gz GVPSJOUJPCLZBU-CABCVRRESA-N 1 2 305.422 1.294 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN([C@@H](C#N)c3ccccc3)CC2)C1=O ZINC000281420796 408885154 /nfs/dbraw/zinc/88/51/54/408885154.db2.gz BSGPNTKNODHYHU-MSOLQXFVSA-N 1 2 324.428 1.656 20 30 DDEDLO C#CCOc1cccc(NC(=O)[C@H](c2c[nH+]cn2C)N(C)C)c1 ZINC000280882510 408852516 /nfs/dbraw/zinc/85/25/16/408852516.db2.gz GEFPPPUNHCQYEN-INIZCTEOSA-N 1 2 312.373 1.674 20 30 DDEDLO CNc1ccc(C#N)cc1NC(=O)[C@H](c1c[nH+]cn1C)N(C)C ZINC000286016764 408908474 /nfs/dbraw/zinc/90/84/74/408908474.db2.gz RNSYGTUMTGRTSA-HNNXBMFYSA-N 1 2 312.377 1.575 20 30 DDEDLO COCCN1CCC[N@H+](Cc2ccc(OC)c(C#N)c2)CC1=O ZINC000190778724 163158351 /nfs/dbraw/zinc/15/83/51/163158351.db2.gz CMDXDQACYYONAW-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO COCCN1CCC[N@@H+](Cc2ccc(OC)c(C#N)c2)CC1=O ZINC000190778724 163158354 /nfs/dbraw/zinc/15/83/54/163158354.db2.gz CMDXDQACYYONAW-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)[C@](C)(OC)c2ccccc2F)CC1 ZINC000277511485 408954384 /nfs/dbraw/zinc/95/43/84/408954384.db2.gz NYAUYHFCLGIYIE-GOSISDBHSA-N 1 2 318.392 1.855 20 30 DDEDLO C#CCN(C)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000292181073 408995923 /nfs/dbraw/zinc/99/59/23/408995923.db2.gz BMPBFWCUORARGY-UHFFFAOYSA-N 1 2 301.390 1.293 20 30 DDEDLO N#Cc1cccc(/C=C/C(=O)NCC2([NH+]3CCOCC3)CC2)c1 ZINC000278026485 409052568 /nfs/dbraw/zinc/05/25/68/409052568.db2.gz UAZWNUZHJVEPQC-SNAWJCMRSA-N 1 2 311.385 1.552 20 30 DDEDLO Cc1cc(S(=O)(=O)N(C)CCCn2cc[nH+]c2)ccc1C#N ZINC000278031177 409054445 /nfs/dbraw/zinc/05/44/45/409054445.db2.gz PSCAZQQRGKISEI-UHFFFAOYSA-N 1 2 318.402 1.774 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3c[nH]c4ccccc34)n2C)CC1 ZINC000282457368 409055924 /nfs/dbraw/zinc/05/59/24/409055924.db2.gz LHTFZIHXXGCMGE-UHFFFAOYSA-N 1 2 320.400 1.719 20 30 DDEDLO N#Cc1c(N)n[nH]c1CCCNc1cc(N2CCCC2)nc[nH+]1 ZINC000278413447 409082810 /nfs/dbraw/zinc/08/28/10/409082810.db2.gz PVRQAICIXQRMSL-UHFFFAOYSA-N 1 2 312.381 1.298 20 30 DDEDLO N#Cc1c(N)n[nH]c1CCCNc1cc(N2CCCC2)[nH+]cn1 ZINC000278413447 409082811 /nfs/dbraw/zinc/08/28/11/409082811.db2.gz PVRQAICIXQRMSL-UHFFFAOYSA-N 1 2 312.381 1.298 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000278869377 409104382 /nfs/dbraw/zinc/10/43/82/409104382.db2.gz LXZQHUIXQAWZBL-MRXNPFEDSA-N 1 2 319.430 1.558 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000278869377 409104383 /nfs/dbraw/zinc/10/43/83/409104383.db2.gz LXZQHUIXQAWZBL-MRXNPFEDSA-N 1 2 319.430 1.558 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000288648210 409172874 /nfs/dbraw/zinc/17/28/74/409172874.db2.gz UFOLHDGXTAXJPT-UONOGXRCSA-N 1 2 304.394 1.375 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc(C#N)cc3)C[C@H]21 ZINC000284014723 409257082 /nfs/dbraw/zinc/25/70/82/409257082.db2.gz IYZVRSBZGMQQOM-KGVXHEBISA-N 1 2 311.385 1.503 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc(C#N)cc3)C[C@H]21 ZINC000284014723 409257084 /nfs/dbraw/zinc/25/70/84/409257084.db2.gz IYZVRSBZGMQQOM-KGVXHEBISA-N 1 2 311.385 1.503 20 30 DDEDLO CC[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CCCO1 ZINC000289380128 409258114 /nfs/dbraw/zinc/25/81/14/409258114.db2.gz JCVCUDALDPZLHQ-CQSZACIVSA-N 1 2 323.418 1.228 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CCCO1 ZINC000289380128 409258116 /nfs/dbraw/zinc/25/81/16/409258116.db2.gz JCVCUDALDPZLHQ-CQSZACIVSA-N 1 2 323.418 1.228 20 30 DDEDLO Cn1cc(C[N@H+](C)Cc2ccccc2S(N)(=O)=O)cc1C#N ZINC000293886944 409200809 /nfs/dbraw/zinc/20/08/09/409200809.db2.gz KDTIFHNGKMLXJX-UHFFFAOYSA-N 1 2 318.402 1.176 20 30 DDEDLO Cn1cc(C[N@@H+](C)Cc2ccccc2S(N)(=O)=O)cc1C#N ZINC000293886944 409200812 /nfs/dbraw/zinc/20/08/12/409200812.db2.gz KDTIFHNGKMLXJX-UHFFFAOYSA-N 1 2 318.402 1.176 20 30 DDEDLO Cn1cc[nH+]c1CN1C[C@@H](F)C[C@H]1CNc1nccc(C#N)n1 ZINC000295140330 409340031 /nfs/dbraw/zinc/34/00/31/409340031.db2.gz ZKDPGGDTSRXVCC-AAEUAGOBSA-N 1 2 315.356 1.106 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)CO[NH+]=C(N)[C@H]1CCCO1 ZINC000284497288 409344719 /nfs/dbraw/zinc/34/47/19/409344719.db2.gz SPSMBBLKDVJBSD-SMDDNHRTSA-N 1 2 321.377 1.340 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC=C(c2ccnn2C)CC1 ZINC000285472470 409459951 /nfs/dbraw/zinc/45/99/51/409459951.db2.gz CEGQINRSLVQCRM-AWEZNQCLSA-N 1 2 315.421 1.517 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CC=C(c2ccnn2C)CC1 ZINC000285472470 409459954 /nfs/dbraw/zinc/45/99/54/409459954.db2.gz CEGQINRSLVQCRM-AWEZNQCLSA-N 1 2 315.421 1.517 20 30 DDEDLO CO[C@@H]1C[NH+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C[C@H]1OC ZINC000320268276 164041026 /nfs/dbraw/zinc/04/10/26/164041026.db2.gz KYEWAWHGTUEEFY-NILFDRSVSA-N 1 2 303.362 1.231 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000342337227 409576496 /nfs/dbraw/zinc/57/64/96/409576496.db2.gz LCYYGIODIQOJIH-GFCCVEGCSA-N 1 2 324.331 1.663 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000342337227 409576507 /nfs/dbraw/zinc/57/65/07/409576507.db2.gz LCYYGIODIQOJIH-GFCCVEGCSA-N 1 2 324.331 1.663 20 30 DDEDLO N#CCCS(=O)(=O)N1CC[NH+](CCc2cccs2)CC1 ZINC000296805494 409645291 /nfs/dbraw/zinc/64/52/91/409645291.db2.gz UNVPJEYGSUXRFO-UHFFFAOYSA-N 1 2 313.448 1.152 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+](C)C[C@@H]1CCS(=O)(=O)C1 ZINC000354420647 409844635 /nfs/dbraw/zinc/84/46/35/409844635.db2.gz DYBVIWMTPFDDDW-KBPBESRZSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+](C)C[C@@H]1CCS(=O)(=O)C1 ZINC000354420647 409844641 /nfs/dbraw/zinc/84/46/41/409844641.db2.gz DYBVIWMTPFDDDW-KBPBESRZSA-N 1 2 316.467 1.166 20 30 DDEDLO CC(=O)N1CC[N@H+](Cc2cnc3ccc(C#N)cn23)C(C)(C)C1 ZINC000342799930 409912857 /nfs/dbraw/zinc/91/28/57/409912857.db2.gz OGOOVYLJWDDCFU-UHFFFAOYSA-N 1 2 311.389 1.649 20 30 DDEDLO CC(=O)N1CC[N@@H+](Cc2cnc3ccc(C#N)cn23)C(C)(C)C1 ZINC000342799930 409912867 /nfs/dbraw/zinc/91/28/67/409912867.db2.gz OGOOVYLJWDDCFU-UHFFFAOYSA-N 1 2 311.389 1.649 20 30 DDEDLO CC(=O)N1CCN(Cc2c[nH+]c3ccc(C#N)cn23)C(C)(C)C1 ZINC000342799930 409912873 /nfs/dbraw/zinc/91/28/73/409912873.db2.gz OGOOVYLJWDDCFU-UHFFFAOYSA-N 1 2 311.389 1.649 20 30 DDEDLO CC[C@H]1CN(C(=O)c2ccc(C#N)s2)C[C@H]1[NH+]1CCOCC1 ZINC000332107678 409889760 /nfs/dbraw/zinc/88/97/60/409889760.db2.gz IGDKNEBCPFWEAD-GXTWGEPZSA-N 1 2 319.430 1.803 20 30 DDEDLO Nc1nccc([C@H]2CCC[N@H+](CC(=O)NC(=O)NC3CC3)C2)n1 ZINC000328710836 409959878 /nfs/dbraw/zinc/95/98/78/409959878.db2.gz AVYCCGZWDWGDEV-JTQLQIEISA-N 1 2 318.381 1.271 20 30 DDEDLO Nc1nccc([C@H]2CCC[N@@H+](CC(=O)NC(=O)NC3CC3)C2)n1 ZINC000328710836 409959885 /nfs/dbraw/zinc/95/98/85/409959885.db2.gz AVYCCGZWDWGDEV-JTQLQIEISA-N 1 2 318.381 1.271 20 30 DDEDLO Cc1[nH+]ccn1CC[NH+]=C([O-])N1CC[C@H](C2CCOCC2)C1 ZINC000328724631 409964085 /nfs/dbraw/zinc/96/40/85/409964085.db2.gz AZRRURLHPBZTRK-HNNXBMFYSA-N 1 2 306.410 1.854 20 30 DDEDLO C=CCN1CC[C@H]([NH2+]C2(c3noc(C)n3)CCCCC2)C1=O ZINC000328779557 409976952 /nfs/dbraw/zinc/97/69/52/409976952.db2.gz IWYZPTBWHGUXHJ-ZDUSSCGKSA-N 1 2 304.394 1.914 20 30 DDEDLO C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@H+](C)[C@H]1CCNC1=O ZINC000328804209 409981704 /nfs/dbraw/zinc/98/17/04/409981704.db2.gz BRAQNSSEBKKFHP-CWVKQELPSA-N 1 2 320.437 1.966 20 30 DDEDLO C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@@H+](C)[C@H]1CCNC1=O ZINC000328804209 409981709 /nfs/dbraw/zinc/98/17/09/409981709.db2.gz BRAQNSSEBKKFHP-CWVKQELPSA-N 1 2 320.437 1.966 20 30 DDEDLO C[C@]1(n2cc(C[NH2+][C@@H]3CCC[C@@H]3C#N)cn2)CCS(=O)(=O)C1 ZINC000350463773 409948699 /nfs/dbraw/zinc/94/86/99/409948699.db2.gz VXFIBVBQYDEAOW-KFWWJZLASA-N 1 2 322.434 1.199 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NC[C@]1(O)CCSC1)CCC2 ZINC000328658147 409950997 /nfs/dbraw/zinc/95/09/97/409950997.db2.gz QNTOSCFGOZYSJG-SWLSCSKDSA-N 1 2 324.450 1.441 20 30 DDEDLO C[C@@H]1OCC[C@@H]1NC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC000328946534 410016701 /nfs/dbraw/zinc/01/67/01/410016701.db2.gz MHUUCQWLEJBWRX-IHRRRGAJSA-N 1 2 306.410 1.766 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3scnc3C3CC3)C[C@@H]21 ZINC000328961609 410022445 /nfs/dbraw/zinc/02/24/45/410022445.db2.gz KRPYVASPWLYDEB-RYUDHWBXSA-N 1 2 322.434 1.971 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3scnc3C3CC3)C[C@@H]21 ZINC000328961609 410022448 /nfs/dbraw/zinc/02/24/48/410022448.db2.gz KRPYVASPWLYDEB-RYUDHWBXSA-N 1 2 322.434 1.971 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000328981739 410032605 /nfs/dbraw/zinc/03/26/05/410032605.db2.gz DTULVVOSHYFDQD-MCIONIFRSA-N 1 2 308.382 1.065 20 30 DDEDLO C[C@@H]1CCCC[C@H]1NC(=O)C[N@@H+]1CC[C@H]2[C@@H](C1)NC(=O)N2C ZINC000329000348 410045166 /nfs/dbraw/zinc/04/51/66/410045166.db2.gz XIRYEHNXXCNJDT-SYQHCUMBSA-N 1 2 308.426 1.824 20 30 DDEDLO C[C@@H]1CCCC[C@H]1NC(=O)C[N@H+]1CC[C@H]2[C@@H](C1)NC(=O)N2C ZINC000329000348 410045171 /nfs/dbraw/zinc/04/51/71/410045171.db2.gz XIRYEHNXXCNJDT-SYQHCUMBSA-N 1 2 308.426 1.824 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N(C)[C@H](C)CC#N ZINC000354818856 410109327 /nfs/dbraw/zinc/10/93/27/410109327.db2.gz JXIBNVPKHSCHQL-OCCSQVGLSA-N 1 2 319.409 1.244 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N(C)[C@H](C)CC#N ZINC000354818856 410109336 /nfs/dbraw/zinc/10/93/36/410109336.db2.gz JXIBNVPKHSCHQL-OCCSQVGLSA-N 1 2 319.409 1.244 20 30 DDEDLO CCN1CC[NH+]([C@H]2CCN(C(=O)NCc3cc(C)no3)C2)CC1 ZINC000329175109 410143973 /nfs/dbraw/zinc/14/39/73/410143973.db2.gz MEMCNZWDCPMFEI-AWEZNQCLSA-N 1 2 321.425 1.109 20 30 DDEDLO CO[C@@]1(C)C[C@H](NC(=O)N2CCC(C#N)([NH+](C)C)CC2)C1(C)C ZINC000332446282 410165011 /nfs/dbraw/zinc/16/50/11/410165011.db2.gz MGBALHOJWQFDRK-BBRMVZONSA-N 1 2 322.453 1.819 20 30 DDEDLO CC1(C)CN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)CC[C@H]1O ZINC000329245926 410189785 /nfs/dbraw/zinc/18/97/85/410189785.db2.gz ALNWSZXWYFCKLV-QWHCGFSZSA-N 1 2 306.410 1.767 20 30 DDEDLO CCO[C@@H](C)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000298467625 410241762 /nfs/dbraw/zinc/24/17/62/410241762.db2.gz RILUSIXZHKIBPD-AWEZNQCLSA-N 1 2 301.390 1.627 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2ccccc2SCC#N)C[C@@H]1O ZINC000351813328 410256331 /nfs/dbraw/zinc/25/63/31/410256331.db2.gz HCPBTPHATAEGOJ-YPMHNXCESA-N 1 2 305.403 1.553 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2ccccc2SCC#N)C[C@@H]1O ZINC000351813328 410256334 /nfs/dbraw/zinc/25/63/34/410256334.db2.gz HCPBTPHATAEGOJ-YPMHNXCESA-N 1 2 305.403 1.553 20 30 DDEDLO Cc1[nH+]ccn1C[C@H](C)C[NH+]=C([O-])N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000329375026 410262948 /nfs/dbraw/zinc/26/29/48/410262948.db2.gz KOTIHJGKNAAWTJ-MRVWCRGKSA-N 1 2 319.409 1.792 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)CC[C@@H]2CCCCO2)CC1 ZINC000329406365 410283237 /nfs/dbraw/zinc/28/32/37/410283237.db2.gz AXFBGBZGAGOYPD-HNNXBMFYSA-N 1 2 311.426 1.456 20 30 DDEDLO CCC[N@H+](CC(=O)NC1(C#N)CCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000329456417 410309440 /nfs/dbraw/zinc/30/94/40/410309440.db2.gz MYEHADNCHZBYNH-GFCCVEGCSA-N 1 2 313.423 1.288 20 30 DDEDLO CCC[N@@H+](CC(=O)NC1(C#N)CCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000329456417 410309445 /nfs/dbraw/zinc/30/94/45/410309445.db2.gz MYEHADNCHZBYNH-GFCCVEGCSA-N 1 2 313.423 1.288 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000298877322 410436029 /nfs/dbraw/zinc/43/60/29/410436029.db2.gz YUXAENTVMPFPMZ-ZDUSSCGKSA-N 1 2 304.394 1.888 20 30 DDEDLO CC(C)c1nc(NCCn2cnc(C#N)n2)cc(C(C)(C)C)[nH+]1 ZINC000343522362 410509484 /nfs/dbraw/zinc/50/94/84/410509484.db2.gz RJYUGPIVBLWDFU-UHFFFAOYSA-N 1 2 313.409 2.473 20 30 DDEDLO COc1ccccc1N1C(=O)C[C@@H]([NH+]2CCC(C#N)CC2)C1=O ZINC000352272186 410591347 /nfs/dbraw/zinc/59/13/47/410591347.db2.gz VJBZSPHENFGGRB-CQSZACIVSA-N 1 2 313.357 1.563 20 30 DDEDLO C=CCOc1ccc(C(=O)N[C@H](C)C[NH+]2CCN(C)CC2)cc1 ZINC000359092137 410555348 /nfs/dbraw/zinc/55/53/48/410555348.db2.gz DLAJKFCHEZTDBV-OAHLLOKOSA-N 1 2 317.433 1.617 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000334100222 410629854 /nfs/dbraw/zinc/62/98/54/410629854.db2.gz VSJBIGRUHHGGFK-OAGGEKHMSA-N 1 2 323.437 1.226 20 30 DDEDLO Cc1nnc(N2CCC([C@@H](O)c3[nH]cc[nH+]3)CC2)c(C#N)c1C ZINC000302786368 410864081 /nfs/dbraw/zinc/86/40/81/410864081.db2.gz WAYASXLKEWWZQH-CQSZACIVSA-N 1 2 312.377 1.638 20 30 DDEDLO Cc1ccc([C@H](CNc2ccc(C#N)nn2)[NH+]2CCOCC2)o1 ZINC000301857062 410817118 /nfs/dbraw/zinc/81/71/18/410817118.db2.gz ZLWDPSNFJMBFRB-AWEZNQCLSA-N 1 2 313.361 1.735 20 30 DDEDLO CCNC(=O)NC(=O)[C@H](C)[NH2+]C1(c2noc(C)n2)CCCCC1 ZINC000330775317 410893203 /nfs/dbraw/zinc/89/32/03/410893203.db2.gz HMBUGLZEPRMVDF-JTQLQIEISA-N 1 2 323.397 1.566 20 30 DDEDLO COc1cc(C#N)ccc1OCC[NH+]1C[C@@H](C)S(=O)[C@H](C)C1 ZINC000331169666 410993055 /nfs/dbraw/zinc/99/30/55/410993055.db2.gz NQJUXAKTZASIMU-CHWSQXEVSA-N 1 2 322.430 1.787 20 30 DDEDLO C[C@@H]1C[C@@H](C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)CO1 ZINC000331261141 411044963 /nfs/dbraw/zinc/04/49/63/411044963.db2.gz LAZNKDTZZHQBEX-RHSMWYFYSA-N 1 2 313.401 1.627 20 30 DDEDLO C[C@@H]1C[C@H](C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)CO1 ZINC000331261140 411045458 /nfs/dbraw/zinc/04/54/58/411045458.db2.gz LAZNKDTZZHQBEX-PBHICJAKSA-N 1 2 313.401 1.627 20 30 DDEDLO CCOC(=O)C[C@@H]1C[C@@H](OC)C[N@@H+]1Cc1nc(C#N)cs1 ZINC000356433860 411031443 /nfs/dbraw/zinc/03/14/43/411031443.db2.gz VEYAURWNCQWUPT-NWDGAFQWSA-N 1 2 309.391 1.557 20 30 DDEDLO CCOC(=O)C[C@@H]1C[C@@H](OC)C[N@H+]1Cc1nc(C#N)cs1 ZINC000356433860 411031449 /nfs/dbraw/zinc/03/14/49/411031449.db2.gz VEYAURWNCQWUPT-NWDGAFQWSA-N 1 2 309.391 1.557 20 30 DDEDLO C=CCC[C@@H]1NC(=O)N([C@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000642933140 423007044 /nfs/dbraw/zinc/00/70/44/423007044.db2.gz VMMFMAIIELNCGD-STQMWFEESA-N 1 2 300.362 1.547 20 30 DDEDLO CC#CC[C@@H](CO)Nc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000645405477 423022083 /nfs/dbraw/zinc/02/20/83/423022083.db2.gz AQWJTYLCXVTJNH-UONOGXRCSA-N 1 2 304.394 1.014 20 30 DDEDLO CC#CC[C@@H](CO)Nc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000645405477 423022087 /nfs/dbraw/zinc/02/20/87/423022087.db2.gz AQWJTYLCXVTJNH-UONOGXRCSA-N 1 2 304.394 1.014 20 30 DDEDLO Cc1cc(NC(=O)CN2CC[NH+](CC3(CC#N)CC3)CC2)no1 ZINC000602758714 416628191 /nfs/dbraw/zinc/62/81/91/416628191.db2.gz HEIPRSOLBNMHJM-UHFFFAOYSA-N 1 2 317.393 1.233 20 30 DDEDLO C[NH+]1CCC([NH+]2CCC(Nc3ccc(C#N)nn3)CC2)CC1 ZINC000611240223 416663136 /nfs/dbraw/zinc/66/31/36/416663136.db2.gz XATSDFDQJGHXSC-UHFFFAOYSA-N 1 2 300.410 1.319 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CCC[N@H+](CC(=O)N2CCCC2)CC1 ZINC000367216423 287381749 /nfs/dbraw/zinc/38/17/49/287381749.db2.gz DALMTZDHBRTYFR-KRWDZBQOSA-N 1 2 320.437 1.083 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CCC[N@@H+](CC(=O)N2CCCC2)CC1 ZINC000367216423 287381751 /nfs/dbraw/zinc/38/17/51/287381751.db2.gz DALMTZDHBRTYFR-KRWDZBQOSA-N 1 2 320.437 1.083 20 30 DDEDLO C[C@H](c1ccccc1)[C@@H]([NH2+]Cc1nc(C#N)cs1)C(N)=O ZINC000419278827 226101662 /nfs/dbraw/zinc/10/16/62/226101662.db2.gz NQBKFQKBQDWJHL-QMTHXVAHSA-N 1 2 300.387 1.762 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCC(N2CCO[C@@H](C)C2)CC1 ZINC000373920984 418473681 /nfs/dbraw/zinc/47/36/81/418473681.db2.gz KEDJXIOZVPHULX-INIZCTEOSA-N 1 2 323.481 1.596 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CC[NH+]([C@@H]2CCCCNC2=O)CC1 ZINC000374280011 418513973 /nfs/dbraw/zinc/51/39/73/418513973.db2.gz MUTDHUSLSHDDGK-LSDHHAIUSA-N 1 2 322.453 1.337 20 30 DDEDLO CC1(C#N)CCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)CC1 ZINC000366394728 418469304 /nfs/dbraw/zinc/46/93/04/418469304.db2.gz IDUCBEBSJGCUKG-OAHLLOKOSA-N 1 2 305.422 1.782 20 30 DDEDLO CC1(C#N)CCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)CC1 ZINC000366394728 418469307 /nfs/dbraw/zinc/46/93/07/418469307.db2.gz IDUCBEBSJGCUKG-OAHLLOKOSA-N 1 2 305.422 1.782 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1cc(C(=O)OC)ccc1C ZINC000191099493 222097493 /nfs/dbraw/zinc/09/74/93/222097493.db2.gz ZZVZDFYTMQDNJL-UHFFFAOYSA-N 1 2 320.389 1.855 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1cc(C(=O)OC)ccc1C ZINC000191099493 222097494 /nfs/dbraw/zinc/09/74/94/222097494.db2.gz ZZVZDFYTMQDNJL-UHFFFAOYSA-N 1 2 320.389 1.855 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1ccc(C(=O)OC)cc1 ZINC000191146685 222099146 /nfs/dbraw/zinc/09/91/46/222099146.db2.gz AQGNQFMQIONFPN-UHFFFAOYSA-N 1 2 306.362 1.546 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1ccc(C(=O)OC)cc1 ZINC000191146685 222099149 /nfs/dbraw/zinc/09/91/49/222099149.db2.gz AQGNQFMQIONFPN-UHFFFAOYSA-N 1 2 306.362 1.546 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000190721788 222087499 /nfs/dbraw/zinc/08/74/99/222087499.db2.gz WHKUZMVMZMZFPF-CHWSQXEVSA-N 1 2 309.410 1.113 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000190721788 222087502 /nfs/dbraw/zinc/08/75/02/222087502.db2.gz WHKUZMVMZMZFPF-CHWSQXEVSA-N 1 2 309.410 1.113 20 30 DDEDLO C#CC[C@H](Cc1ccccc1)NC(=O)[C@H]1COCC[N@H+]1CC ZINC000367345255 418587799 /nfs/dbraw/zinc/58/77/99/418587799.db2.gz GEVCRXXKUONIAA-IAGOWNOFSA-N 1 2 300.402 1.458 20 30 DDEDLO C#CC[C@H](Cc1ccccc1)NC(=O)[C@H]1COCC[N@@H+]1CC ZINC000367345255 418587804 /nfs/dbraw/zinc/58/78/04/418587804.db2.gz GEVCRXXKUONIAA-IAGOWNOFSA-N 1 2 300.402 1.458 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCCN(C(=O)OCC)CC1 ZINC000194871819 222186004 /nfs/dbraw/zinc/18/60/04/222186004.db2.gz MPOCQBHKJBLDIP-UHFFFAOYSA-N 1 2 309.410 1.351 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCCN(C(=O)OCC)CC1 ZINC000194871819 222186008 /nfs/dbraw/zinc/18/60/08/222186008.db2.gz MPOCQBHKJBLDIP-UHFFFAOYSA-N 1 2 309.410 1.351 20 30 DDEDLO CN1CC[C@@H]([N@H+](C)CC(=O)N(CCC#N)c2ccccc2)C1=O ZINC000265676465 222356291 /nfs/dbraw/zinc/35/62/91/222356291.db2.gz ZKPTVHMQLJUUTL-OAHLLOKOSA-N 1 2 314.389 1.096 20 30 DDEDLO CN1CC[C@@H]([N@@H+](C)CC(=O)N(CCC#N)c2ccccc2)C1=O ZINC000265676465 222356294 /nfs/dbraw/zinc/35/62/94/222356294.db2.gz ZKPTVHMQLJUUTL-OAHLLOKOSA-N 1 2 314.389 1.096 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@](O)(C3CC3)C2)c(C#N)c1C ZINC000377184138 418706723 /nfs/dbraw/zinc/70/67/23/418706723.db2.gz KXKWUMDOUKOFPO-MRXNPFEDSA-N 1 2 303.362 1.553 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@](O)(C3CC3)C2)c(C#N)c1C ZINC000377184138 418706729 /nfs/dbraw/zinc/70/67/29/418706729.db2.gz KXKWUMDOUKOFPO-MRXNPFEDSA-N 1 2 303.362 1.553 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CCCN(Cc2[nH+]ccn2C)CC1 ZINC000377905193 418716860 /nfs/dbraw/zinc/71/68/60/418716860.db2.gz QUERZIUFUHVJSE-AWEZNQCLSA-N 1 2 303.410 1.049 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CCC[N@H+](Cc2nccn2C)CC1 ZINC000377905193 418716862 /nfs/dbraw/zinc/71/68/62/418716862.db2.gz QUERZIUFUHVJSE-AWEZNQCLSA-N 1 2 303.410 1.049 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CCC[N@@H+](Cc2nccn2C)CC1 ZINC000377905193 418716863 /nfs/dbraw/zinc/71/68/63/418716863.db2.gz QUERZIUFUHVJSE-AWEZNQCLSA-N 1 2 303.410 1.049 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC1CCN(c2cc(C)[nH+]cn2)CC1 ZINC000375849574 418689791 /nfs/dbraw/zinc/68/97/91/418689791.db2.gz HNNZPBNXXWIKOH-MRXNPFEDSA-N 1 2 301.394 1.810 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)s1 ZINC000375878918 418689996 /nfs/dbraw/zinc/68/99/96/418689996.db2.gz GVIBUBVKNNWOOF-UHFFFAOYSA-N 1 2 317.418 2.484 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)s1 ZINC000375878918 418689998 /nfs/dbraw/zinc/68/99/98/418689998.db2.gz GVIBUBVKNNWOOF-UHFFFAOYSA-N 1 2 317.418 2.484 20 30 DDEDLO N#Cc1ccc(CN2CCN(Cc3cc[nH+]c(N)c3)CC2=O)cc1 ZINC000376085509 418692356 /nfs/dbraw/zinc/69/23/56/418692356.db2.gz WBUVBEAWJJTMBF-UHFFFAOYSA-N 1 2 321.384 1.380 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)C1(C#N)CCCCC1 ZINC000376226309 418694212 /nfs/dbraw/zinc/69/42/12/418694212.db2.gz GHKDVTOSXMUQDI-LSDHHAIUSA-N 1 2 305.422 1.782 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNS(=O)(=O)c1ccc(C#N)o1)CCC2 ZINC000376392515 418696522 /nfs/dbraw/zinc/69/65/22/418696522.db2.gz UZUCMAQENYZKPI-LLVKDONJSA-N 1 2 320.374 1.512 20 30 DDEDLO C#CCC(CC#C)C(=O)NC1CCN(c2cc(C)[nH+]cn2)CC1 ZINC000376920690 418702506 /nfs/dbraw/zinc/70/25/06/418702506.db2.gz SEWNPSCYLTZMKO-UHFFFAOYSA-N 1 2 310.401 1.533 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000362797852 418760121 /nfs/dbraw/zinc/76/01/21/418760121.db2.gz NCBBWCLKWAOQBJ-GOEBONIOSA-N 1 2 301.390 1.711 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@H](C)C[C@H](CO)C2)c(C#N)c1C ZINC000398859200 418763438 /nfs/dbraw/zinc/76/34/38/418763438.db2.gz RCDJXECRLGMYKA-MFKMUULPSA-N 1 2 305.378 1.657 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@H](C)C[C@H](CO)C2)c(C#N)c1C ZINC000398859200 418763439 /nfs/dbraw/zinc/76/34/39/418763439.db2.gz RCDJXECRLGMYKA-MFKMUULPSA-N 1 2 305.378 1.657 20 30 DDEDLO CCC(=O)N1CCC[N@H+](CC(=O)Nc2ccc(C#N)cc2)CC1 ZINC000363374905 418764980 /nfs/dbraw/zinc/76/49/80/418764980.db2.gz JHFUMLFUPOLIQC-UHFFFAOYSA-N 1 2 314.389 1.441 20 30 DDEDLO CCC(=O)N1CCC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)CC1 ZINC000363374905 418764982 /nfs/dbraw/zinc/76/49/82/418764982.db2.gz JHFUMLFUPOLIQC-UHFFFAOYSA-N 1 2 314.389 1.441 20 30 DDEDLO C=C(Cl)CNC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000363631830 418767969 /nfs/dbraw/zinc/76/79/69/418767969.db2.gz SLPBVQUGOMVJPH-HNNXBMFYSA-N 1 2 323.824 1.939 20 30 DDEDLO C=C(Cl)CNC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000363631830 418767970 /nfs/dbraw/zinc/76/79/70/418767970.db2.gz SLPBVQUGOMVJPH-HNNXBMFYSA-N 1 2 323.824 1.939 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[NH+]1CCN(c2cccc(Cl)c2)CC1 ZINC000361915202 418724732 /nfs/dbraw/zinc/72/47/32/418724732.db2.gz HXTWBAFTAKLBEM-CYBMUJFWSA-N 1 2 320.824 1.738 20 30 DDEDLO CC(C)CC[N@H+](CCC#N)CC(=O)N1CCOC[C@@H]1C1CC1 ZINC000372326407 418855653 /nfs/dbraw/zinc/85/56/53/418855653.db2.gz UMSSDPHTJABUBG-MRXNPFEDSA-N 1 2 307.438 1.886 20 30 DDEDLO CC(C)CC[N@@H+](CCC#N)CC(=O)N1CCOC[C@@H]1C1CC1 ZINC000372326407 418855655 /nfs/dbraw/zinc/85/56/55/418855655.db2.gz UMSSDPHTJABUBG-MRXNPFEDSA-N 1 2 307.438 1.886 20 30 DDEDLO CCN1CC[NH+](CC(C)(C)NC(=O)c2cscc2C#N)CC1 ZINC000411077518 418867540 /nfs/dbraw/zinc/86/75/40/418867540.db2.gz UYEMRFMJQVZAKM-UHFFFAOYSA-N 1 2 320.462 1.766 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CC(O)(c4ccccc4)C3)n2c1 ZINC000365614285 418882715 /nfs/dbraw/zinc/88/27/15/418882715.db2.gz SOPKOLHOVZXDQM-UHFFFAOYSA-N 1 2 304.353 1.909 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000365636207 418886922 /nfs/dbraw/zinc/88/69/22/418886922.db2.gz QQNWIAVCBASXNF-QGZVFWFLSA-N 1 2 322.412 1.839 20 30 DDEDLO N#C[C@H]1CN(C(=O)[C@H]2CCCN(c3cccc[nH+]3)C2)CCO1 ZINC000365133941 418837999 /nfs/dbraw/zinc/83/79/99/418837999.db2.gz MYBYCDWRIALOHT-KBPBESRZSA-N 1 2 300.362 1.049 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCc3ccc(C#N)s3)C[C@@H]21 ZINC000420890051 419343241 /nfs/dbraw/zinc/34/32/41/419343241.db2.gz PTSLUXZFYOFJBP-UONOGXRCSA-N 1 2 320.418 1.234 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCc3ccc(C#N)s3)C[C@@H]21 ZINC000420890051 419343247 /nfs/dbraw/zinc/34/32/47/419343247.db2.gz PTSLUXZFYOFJBP-UONOGXRCSA-N 1 2 320.418 1.234 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2csc(C(=O)OC)c2)C1=O ZINC000411676973 419378781 /nfs/dbraw/zinc/37/87/81/419378781.db2.gz RULXCPKWTHMITH-LBPRGKRZSA-N 1 2 308.403 1.753 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2csc(C(=O)OC)c2)C1=O ZINC000411676973 419378787 /nfs/dbraw/zinc/37/87/87/419378787.db2.gz RULXCPKWTHMITH-LBPRGKRZSA-N 1 2 308.403 1.753 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)N[C@H]2CCn3cc[nH+]c3C2)c1C#N ZINC000427045100 419586211 /nfs/dbraw/zinc/58/62/11/419586211.db2.gz KDJIQMLIJDPJRO-LBPRGKRZSA-N 1 2 323.356 1.133 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@@H](C)c2[nH+]ccn2CC)s1 ZINC000412401028 419753119 /nfs/dbraw/zinc/75/31/19/419753119.db2.gz OSEHIIQAMBKROA-JTQLQIEISA-N 1 2 305.407 2.448 20 30 DDEDLO COc1cc2nc(NCC(C)(C)CC#N)[nH+]c(N)c2cc1OC ZINC000413407116 419780781 /nfs/dbraw/zinc/78/07/81/419780781.db2.gz LIXTUJCZUHRCMG-UHFFFAOYSA-N 1 2 315.377 2.003 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CN1C(=O)CC2(CCCCC2)CC1=O ZINC000414141193 419810308 /nfs/dbraw/zinc/81/03/08/419810308.db2.gz JZCGYRKGACRDPB-AWEZNQCLSA-N 1 2 321.421 1.292 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CN1C(=O)CC2(CCCCC2)CC1=O ZINC000414141193 419810316 /nfs/dbraw/zinc/81/03/16/419810316.db2.gz JZCGYRKGACRDPB-AWEZNQCLSA-N 1 2 321.421 1.292 20 30 DDEDLO CCC[N@H+](CC(N)=O)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000429153747 419977642 /nfs/dbraw/zinc/97/76/42/419977642.db2.gz WNUFOZLQMHIYKN-UHFFFAOYSA-N 1 2 308.769 1.347 20 30 DDEDLO CCC[N@@H+](CC(N)=O)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000429153747 419977646 /nfs/dbraw/zinc/97/76/46/419977646.db2.gz WNUFOZLQMHIYKN-UHFFFAOYSA-N 1 2 308.769 1.347 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1C[C@@H](C)[N@H+](Cc2ccccc2)C[C@@H]1C ZINC000416449372 420376082 /nfs/dbraw/zinc/37/60/82/420376082.db2.gz JOZQJQDMDUWXIP-QLFBSQMISA-N 1 2 321.446 1.823 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1C[C@@H](C)[N@@H+](Cc2ccccc2)C[C@@H]1C ZINC000416449372 420376086 /nfs/dbraw/zinc/37/60/86/420376086.db2.gz JOZQJQDMDUWXIP-QLFBSQMISA-N 1 2 321.446 1.823 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CCC[C@@H](c2[nH+]cc3n2CCCC3)C1 ZINC000416528838 420406636 /nfs/dbraw/zinc/40/66/36/420406636.db2.gz QYFPRTOKKSWNIQ-QWHCGFSZSA-N 1 2 322.434 1.641 20 30 DDEDLO C=C(C)C[N@H+](Cc1ccc(CO)o1)C[C@H](C)S(C)(=O)=O ZINC000450984583 420575746 /nfs/dbraw/zinc/57/57/46/420575746.db2.gz WVGFMLJTNWMDRO-LBPRGKRZSA-N 1 2 301.408 1.583 20 30 DDEDLO C=C(C)C[N@@H+](Cc1ccc(CO)o1)C[C@H](C)S(C)(=O)=O ZINC000450984583 420575751 /nfs/dbraw/zinc/57/57/51/420575751.db2.gz WVGFMLJTNWMDRO-LBPRGKRZSA-N 1 2 301.408 1.583 20 30 DDEDLO N#Cc1cccc(N2CCN(C(=O)C=Cc3c[nH]c[nH+]3)CC2)n1 ZINC000492889089 420699154 /nfs/dbraw/zinc/69/91/54/420699154.db2.gz AHIFQUKEWUGWKE-SNAWJCMRSA-N 1 2 308.345 1.038 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000458985682 420776757 /nfs/dbraw/zinc/77/67/57/420776757.db2.gz IPLBYLCPYISQRU-UHFFFAOYSA-N 1 2 305.426 1.460 20 30 DDEDLO Cc1ncc(C[NH+]2CCN(c3c(C#N)c(C)nn3C)CC2)s1 ZINC000471807393 420951526 /nfs/dbraw/zinc/95/15/26/420951526.db2.gz BHFNZOSYOKRFGQ-UHFFFAOYSA-N 1 2 316.434 1.687 20 30 DDEDLO Cc1cc(CN2CC[NH+](Cc3cnn(C)c3)CC2)ccc1C#N ZINC000487892848 421053491 /nfs/dbraw/zinc/05/34/91/421053491.db2.gz XUWGVWPLNOGWRX-UHFFFAOYSA-N 1 2 309.417 1.918 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@H+](Cc2nc(C#N)cs2)CC12COC2 ZINC000449367764 421000866 /nfs/dbraw/zinc/00/08/66/421000866.db2.gz YPOFXLHEMFMRJW-NSHDSACASA-N 1 2 307.375 1.026 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@@H+](Cc2nc(C#N)cs2)CC12COC2 ZINC000449367764 421000868 /nfs/dbraw/zinc/00/08/68/421000868.db2.gz YPOFXLHEMFMRJW-NSHDSACASA-N 1 2 307.375 1.026 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H](O)C[NH+]2CCOCC2)CCCC1 ZINC000450133052 421149049 /nfs/dbraw/zinc/14/90/49/421149049.db2.gz RDAYVQRWUJUOHC-HNNXBMFYSA-N 1 2 310.438 1.274 20 30 DDEDLO C[C@@]1(CO)CCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000544758638 421230013 /nfs/dbraw/zinc/23/00/13/421230013.db2.gz IPFKEMCABHIHDB-HNNXBMFYSA-N 1 2 308.403 1.179 20 30 DDEDLO C[C@@]1(CO)CCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000544758638 421230016 /nfs/dbraw/zinc/23/00/16/421230016.db2.gz IPFKEMCABHIHDB-HNNXBMFYSA-N 1 2 308.403 1.179 20 30 DDEDLO COC(=O)COc1ccc(C[NH2+]CC2(C#N)CC2)cc1[N+](=O)[O-] ZINC000562391796 421361112 /nfs/dbraw/zinc/36/11/12/421361112.db2.gz STJUPVYPUBZJFY-UHFFFAOYSA-N 1 2 319.317 1.540 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[N@H+]2CC=CCC2)cc1C#N ZINC000527266966 421372974 /nfs/dbraw/zinc/37/29/74/421372974.db2.gz NORALSXPNVSMQM-UHFFFAOYSA-N 1 2 318.402 1.372 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[N@@H+]2CC=CCC2)cc1C#N ZINC000527266966 421372976 /nfs/dbraw/zinc/37/29/76/421372976.db2.gz NORALSXPNVSMQM-UHFFFAOYSA-N 1 2 318.402 1.372 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@H]3CC[C@@H](C2)[S@@]3=O)CCCCC1 ZINC000528780068 421515892 /nfs/dbraw/zinc/51/58/92/421515892.db2.gz ATHNVOVOLUDDLI-ALLJEULLSA-N 1 2 323.462 1.314 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@H]3CC[C@@H](C2)[S@@]3=O)CCCCC1 ZINC000528780068 421515895 /nfs/dbraw/zinc/51/58/95/421515895.db2.gz ATHNVOVOLUDDLI-ALLJEULLSA-N 1 2 323.462 1.314 20 30 DDEDLO COCc1ccc(NC(=O)NCCc2cn(C)c[nH+]2)cc1C#N ZINC000529212329 421528648 /nfs/dbraw/zinc/52/86/48/421528648.db2.gz YSCDIHFUZFSMTN-UHFFFAOYSA-N 1 2 313.361 1.802 20 30 DDEDLO CCC(C#N)(CC)C(=O)NC[C@@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000529345971 421530530 /nfs/dbraw/zinc/53/05/30/421530530.db2.gz BMIUURGBEIXUAO-ZDUSSCGKSA-N 1 2 319.409 1.728 20 30 DDEDLO CCC(C#N)(CC)C(=O)NC[C@@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000529345971 421530532 /nfs/dbraw/zinc/53/05/32/421530532.db2.gz BMIUURGBEIXUAO-ZDUSSCGKSA-N 1 2 319.409 1.728 20 30 DDEDLO CCN(C)C(=O)c1cccc(OC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000566742228 421607789 /nfs/dbraw/zinc/60/77/89/421607789.db2.gz XCELJQHJRHSUAE-OAHLLOKOSA-N 1 2 319.405 1.364 20 30 DDEDLO CCN(C)C(=O)c1cccc(OC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000566742228 421607794 /nfs/dbraw/zinc/60/77/94/421607794.db2.gz XCELJQHJRHSUAE-OAHLLOKOSA-N 1 2 319.405 1.364 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCC[C@H]3C(=O)NC[C@H]32)c1C#N ZINC000532891678 421668377 /nfs/dbraw/zinc/66/83/77/421668377.db2.gz CDXZENZVXWSFTF-UKRRQHHQSA-N 1 2 313.357 1.055 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCC[C@H]3C(=O)NC[C@H]32)c1C#N ZINC000532891678 421668378 /nfs/dbraw/zinc/66/83/78/421668378.db2.gz CDXZENZVXWSFTF-UKRRQHHQSA-N 1 2 313.357 1.055 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CC[C@H](OC(F)F)C2)c1 ZINC000555071402 421669205 /nfs/dbraw/zinc/66/92/05/421669205.db2.gz QMOSTHQUGSPSPD-ZDUSSCGKSA-N 1 2 309.316 1.488 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CC[C@H](OC(F)F)C2)c1 ZINC000555071402 421669208 /nfs/dbraw/zinc/66/92/08/421669208.db2.gz QMOSTHQUGSPSPD-ZDUSSCGKSA-N 1 2 309.316 1.488 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cccc(C(=O)NC2CC2)c1 ZINC000521632928 421795766 /nfs/dbraw/zinc/79/57/66/421795766.db2.gz ZLSFTYBGBKCJMK-KRWDZBQOSA-N 1 2 314.389 1.152 20 30 DDEDLO N#CC[C@@H]1CC[C@H](NC(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000521900328 421805319 /nfs/dbraw/zinc/80/53/19/421805319.db2.gz JUKFSWHAVQHOJT-RYUDHWBXSA-N 1 2 311.345 1.471 20 30 DDEDLO C[C@H](Cn1ncc2ccccc21)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000573210139 421931808 /nfs/dbraw/zinc/93/18/08/421931808.db2.gz CJGUKGYYKDKRLR-DYVFJYSZSA-N 1 2 313.405 1.632 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C1CCC(NC(=O)C2CC2)CC1 ZINC000573568277 421983395 /nfs/dbraw/zinc/98/33/95/421983395.db2.gz UGTXDGXRDFBLRR-KVULBXGLSA-N 1 2 320.437 1.031 20 30 DDEDLO C=CCNC(=O)c1cccc(-c2noc(Cn3cc[nH+]c3)n2)c1 ZINC000574795563 422184017 /nfs/dbraw/zinc/18/40/17/422184017.db2.gz OUTNNWBWCGXVDU-UHFFFAOYSA-N 1 2 309.329 1.897 20 30 DDEDLO C=CCNC(=O)Cn1cc(C[NH2+]Cc2ccc(F)cc2)nn1 ZINC000630610834 422219837 /nfs/dbraw/zinc/21/98/37/422219837.db2.gz RLAXWPXLZNWKMU-UHFFFAOYSA-N 1 2 303.341 1.009 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnc(-c2ncccn2)s1 ZINC000574859097 422196248 /nfs/dbraw/zinc/19/62/48/422196248.db2.gz UBUADTUWWBPGKY-CQSZACIVSA-N 1 2 316.390 1.174 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)CC2(CCCC2)O1 ZINC000592186146 422330959 /nfs/dbraw/zinc/33/09/59/422330959.db2.gz ADQLRGSHVSFCIL-BBRMVZONSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)CC2(CCCC2)O1 ZINC000592186146 422330966 /nfs/dbraw/zinc/33/09/66/422330966.db2.gz ADQLRGSHVSFCIL-BBRMVZONSA-N 1 2 305.422 1.828 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@H+](C)[C@@H]2CCC[C@@H]2C#N)cc1 ZINC000602982378 422441054 /nfs/dbraw/zinc/44/10/54/422441054.db2.gz RQRIDRUMPAVPPX-UKRRQHHQSA-N 1 2 307.419 1.719 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@@H+](C)[C@@H]2CCC[C@@H]2C#N)cc1 ZINC000602982378 422441059 /nfs/dbraw/zinc/44/10/59/422441059.db2.gz RQRIDRUMPAVPPX-UKRRQHHQSA-N 1 2 307.419 1.719 20 30 DDEDLO Cc1c(C#N)c(NCC[N@@H+]2CCO[C@@H](C)C2)nc2ccccc12 ZINC000577696892 422408469 /nfs/dbraw/zinc/40/84/69/422408469.db2.gz RWGQDNGEQHLVKF-ZDUSSCGKSA-N 1 2 310.401 2.548 20 30 DDEDLO Cc1c(C#N)c(NCC[N@H+]2CCO[C@@H](C)C2)nc2ccccc12 ZINC000577696892 422408474 /nfs/dbraw/zinc/40/84/74/422408474.db2.gz RWGQDNGEQHLVKF-ZDUSSCGKSA-N 1 2 310.401 2.548 20 30 DDEDLO CC#CCCCC(=O)N(CC[NH+]1CCOCC1)[C@H]1CCSC1 ZINC000646321391 423450971 /nfs/dbraw/zinc/45/09/71/423450971.db2.gz GRLFAZSPOXQUBV-INIZCTEOSA-N 1 2 324.490 1.846 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[NH+](Cc3ccco3)CC2)nn1 ZINC000648721533 423428285 /nfs/dbraw/zinc/42/82/85/423428285.db2.gz VUEXRYHMSJFJGX-UHFFFAOYSA-N 1 2 301.350 1.015 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000657895737 424538567 /nfs/dbraw/zinc/53/85/67/424538567.db2.gz VMAVKNPBCTZFQF-UHFFFAOYSA-N 1 2 321.446 1.427 20 30 DDEDLO C=CCCNC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000660241709 424607906 /nfs/dbraw/zinc/60/79/06/424607906.db2.gz LQYUBLIFJPXLAA-UHFFFAOYSA-N 1 2 303.406 1.894 20 30 DDEDLO C=CC(C)(C)CNC(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000665022536 424733120 /nfs/dbraw/zinc/73/31/20/424733120.db2.gz BDWNSOXSNCVGOV-UHFFFAOYSA-N 1 2 309.454 1.952 20 30 DDEDLO CC[N@H+](CCOc1ccc(C#N)cc1OC)Cc1cn(C)nn1 ZINC000359644963 266129252 /nfs/dbraw/zinc/12/92/52/266129252.db2.gz GCRKYSHVPGHBCB-UHFFFAOYSA-N 1 2 315.377 1.596 20 30 DDEDLO CC[N@@H+](CCOc1ccc(C#N)cc1OC)Cc1cn(C)nn1 ZINC000359644963 266129257 /nfs/dbraw/zinc/12/92/57/266129257.db2.gz GCRKYSHVPGHBCB-UHFFFAOYSA-N 1 2 315.377 1.596 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2cncc(C#N)c2)C[C@H]1C ZINC000352082402 266156619 /nfs/dbraw/zinc/15/66/19/266156619.db2.gz JDZSJCPZJMQNQB-VXGBXAGGSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2cncc(C#N)c2)C[C@H]1C ZINC000352082402 266156624 /nfs/dbraw/zinc/15/66/24/266156624.db2.gz JDZSJCPZJMQNQB-VXGBXAGGSA-N 1 2 308.407 1.056 20 30 DDEDLO C[C@@H]1C[NH+](CCN(C)C(=O)c2cccc(C#N)c2)C[C@@H](C)O1 ZINC000345390352 267050879 /nfs/dbraw/zinc/05/08/79/267050879.db2.gz CGYSGBCFUCLFPC-ZIAGYGMSSA-N 1 2 301.390 1.739 20 30 DDEDLO C[C@H]1OCC[C@@]12C[N@H+](CC(=O)Nc1ccc(C#N)cc1)CCO2 ZINC000369299696 267247002 /nfs/dbraw/zinc/24/70/02/267247002.db2.gz VNOKCANCGPCUPP-CXAGYDPISA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H]1OCC[C@@]12C[N@@H+](CC(=O)Nc1ccc(C#N)cc1)CCO2 ZINC000369299696 267247005 /nfs/dbraw/zinc/24/70/05/267247005.db2.gz VNOKCANCGPCUPP-CXAGYDPISA-N 1 2 315.373 1.377 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N1CC[NH2+][C@H](c2cccnc2)C1 ZINC000374347917 268280367 /nfs/dbraw/zinc/28/03/67/268280367.db2.gz FIILOWUAHUBZEA-INIZCTEOSA-N 1 2 322.368 1.505 20 30 DDEDLO N#Cc1ccncc1C(=O)NCC[N@@H+]1CCc2sccc2C1 ZINC000342057144 271284913 /nfs/dbraw/zinc/28/49/13/271284913.db2.gz OPADPPOCLSGVHA-UHFFFAOYSA-N 1 2 312.398 1.803 20 30 DDEDLO N#Cc1ccncc1C(=O)NCC[N@H+]1CCc2sccc2C1 ZINC000342057144 271284914 /nfs/dbraw/zinc/28/49/14/271284914.db2.gz OPADPPOCLSGVHA-UHFFFAOYSA-N 1 2 312.398 1.803 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@H]1C ZINC000355440112 277792373 /nfs/dbraw/zinc/79/23/73/277792373.db2.gz LJKITXDWWWWXMN-QXSJWSMHSA-N 1 2 308.422 1.289 20 30 DDEDLO C=CCCS(=O)(=O)N1CCC[C@@H]1C[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC000246965701 277834471 /nfs/dbraw/zinc/83/44/71/277834471.db2.gz ITKONFMWOXZPHP-SOUVJXGZSA-N 1 2 316.467 1.466 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cncc(C#N)c1 ZINC000451928294 288285259 /nfs/dbraw/zinc/28/52/59/288285259.db2.gz DIIDLTBHLOISGY-ZDUSSCGKSA-N 1 2 308.407 1.058 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cncc(C#N)c1 ZINC000451928294 288285260 /nfs/dbraw/zinc/28/52/60/288285260.db2.gz DIIDLTBHLOISGY-ZDUSSCGKSA-N 1 2 308.407 1.058 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccccc2C[NH+]2CCOCC2)[nH]1 ZINC000151953006 294902985 /nfs/dbraw/zinc/90/29/85/294902985.db2.gz LZUOUSHSCZBCEU-UHFFFAOYSA-N 1 2 324.384 1.649 20 30 DDEDLO C[C@@H]1C[C@@H](O)C[C@H](C)[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000249013143 297291184 /nfs/dbraw/zinc/29/11/84/297291184.db2.gz IXNCXSRSWZGQRG-AGGWBTHJSA-N 1 2 322.430 1.566 20 30 DDEDLO C[C@@H]1C[C@@H](O)C[C@H](C)[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000249013143 297291185 /nfs/dbraw/zinc/29/11/85/297291185.db2.gz IXNCXSRSWZGQRG-AGGWBTHJSA-N 1 2 322.430 1.566 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[NH2+]C[C@](C)(F)C1 ZINC000420450081 301075563 /nfs/dbraw/zinc/07/55/63/301075563.db2.gz YDMBCRPDPQBMJV-AWEZNQCLSA-N 1 2 311.382 1.189 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CC[C@@H](N3CCOCC3)C2)cc1 ZINC000330916276 303199779 /nfs/dbraw/zinc/19/97/79/303199779.db2.gz ZPBYLEVQPDNPEN-CVEARBPZSA-N 1 2 314.389 1.541 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CC[C@@H](N3CCOCC3)C2)cc1 ZINC000330916276 303199780 /nfs/dbraw/zinc/19/97/80/303199780.db2.gz ZPBYLEVQPDNPEN-CVEARBPZSA-N 1 2 314.389 1.541 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)N2CC[C@@H]([NH+]3CCOCC3)C2)cc1 ZINC000330916276 303199782 /nfs/dbraw/zinc/19/97/82/303199782.db2.gz ZPBYLEVQPDNPEN-CVEARBPZSA-N 1 2 314.389 1.541 20 30 DDEDLO O=C(N[C@@H]1CCCC12CCOCC2)N1CCn2cc[nH+]c2C1 ZINC000328841192 303509389 /nfs/dbraw/zinc/50/93/89/303509389.db2.gz ZJXVOXBROHVLOX-CYBMUJFWSA-N 1 2 304.394 1.962 20 30 DDEDLO COCC[N@H+](Cc1cccc(C(=O)OC)c1C#N)[C@H]1CCOC1 ZINC000576646355 308329937 /nfs/dbraw/zinc/32/99/37/308329937.db2.gz MKNVLVCLUXKUFR-AWEZNQCLSA-N 1 2 318.373 1.582 20 30 DDEDLO COCC[N@@H+](Cc1cccc(C(=O)OC)c1C#N)[C@H]1CCOC1 ZINC000576646355 308329938 /nfs/dbraw/zinc/32/99/38/308329938.db2.gz MKNVLVCLUXKUFR-AWEZNQCLSA-N 1 2 318.373 1.582 20 30 DDEDLO CC[N@H+](Cc1cccc(C(=O)OC)c1C#N)[C@@H]1CCNC1=O ZINC000577455094 308388749 /nfs/dbraw/zinc/38/87/49/308388749.db2.gz VVKIMYNHFRPQRM-CQSZACIVSA-N 1 2 301.346 1.055 20 30 DDEDLO CC[N@@H+](Cc1cccc(C(=O)OC)c1C#N)[C@@H]1CCNC1=O ZINC000577455094 308388751 /nfs/dbraw/zinc/38/87/51/308388751.db2.gz VVKIMYNHFRPQRM-CQSZACIVSA-N 1 2 301.346 1.055 20 30 DDEDLO N#Cc1cnn(CC(=O)N2CC[NH+](Cc3cccs3)CC2)c1 ZINC000581589145 325879531 /nfs/dbraw/zinc/87/95/31/325879531.db2.gz PEWXVFNGVKWAMG-UHFFFAOYSA-N 1 2 315.402 1.161 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(F)c(C#N)c2)CC1 ZINC000160602888 332836190 /nfs/dbraw/zinc/83/61/90/332836190.db2.gz NFLSFWZOPZONBY-UHFFFAOYSA-N 1 2 316.380 1.117 20 30 DDEDLO COc1cc(N2CC[NH+](Cc3ccc(C#N)cn3)CC2)ncn1 ZINC000583942549 331477299 /nfs/dbraw/zinc/47/72/99/331477299.db2.gz HURCQEWUDJVZCD-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO CO[C@@H](C)c1nc(CNC[C@H](O)C[N@H+](C)CCC#N)cs1 ZINC000562989967 333019866 /nfs/dbraw/zinc/01/98/66/333019866.db2.gz URNZVHQXTLGSTF-AAEUAGOBSA-N 1 2 312.439 1.146 20 30 DDEDLO CO[C@@H](C)c1nc(CNC[C@H](O)C[N@@H+](C)CCC#N)cs1 ZINC000562989967 333019867 /nfs/dbraw/zinc/01/98/67/333019867.db2.gz URNZVHQXTLGSTF-AAEUAGOBSA-N 1 2 312.439 1.146 20 30 DDEDLO C=C1CC[NH+](CC(=O)NCC(=O)Nc2ccc(F)c(F)c2)CC1 ZINC000532553177 336255329 /nfs/dbraw/zinc/25/53/29/336255329.db2.gz HJBGTIAGDHCBIG-UHFFFAOYSA-N 1 2 323.343 1.672 20 30 DDEDLO N#C[C@H](c1cccc(Cl)c1)N1CC[NH+]([C@H]2COC[C@@H]2O)CC1 ZINC000361396476 336755182 /nfs/dbraw/zinc/75/51/82/336755182.db2.gz UJOBXDORCLVKQD-PMPSAXMXSA-N 1 2 321.808 1.282 20 30 DDEDLO N#CCC1CC[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000397154648 337256584 /nfs/dbraw/zinc/25/65/84/337256584.db2.gz PAHUJEKGLILKSC-UHFFFAOYSA-N 1 2 317.414 1.958 20 30 DDEDLO C#CC[C@H]([NH2+]C[C@]12C[C@H]1CN(C(=O)OC(C)(C)C)C2)C(=O)OC ZINC000496545398 340007534 /nfs/dbraw/zinc/00/75/34/340007534.db2.gz GCUCPAILGJVLDX-DCGLDWPTSA-N 1 2 322.405 1.398 20 30 DDEDLO CC[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C[C@H](O)C(F)(F)F ZINC000249457797 341397883 /nfs/dbraw/zinc/39/78/83/341397883.db2.gz OADORIVNRWAGBP-CMPLNLGQSA-N 1 2 307.316 1.040 20 30 DDEDLO CC[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)C[C@H](O)C(F)(F)F ZINC000249457797 341397884 /nfs/dbraw/zinc/39/78/84/341397884.db2.gz OADORIVNRWAGBP-CMPLNLGQSA-N 1 2 307.316 1.040 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000554290350 341448691 /nfs/dbraw/zinc/44/86/91/341448691.db2.gz HOZCNQPDAOMSCY-KRWDZBQOSA-N 1 2 323.400 1.372 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cccc(NC(=O)C2CC2)c1 ZINC000566309290 341553572 /nfs/dbraw/zinc/55/35/72/341553572.db2.gz NHIKNULFAOZJEU-KRWDZBQOSA-N 1 2 314.389 1.609 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000566468650 341558977 /nfs/dbraw/zinc/55/89/77/341558977.db2.gz DSQYYERYGKYUGD-MXWKQRLJSA-N 1 2 317.311 1.916 20 30 DDEDLO CC(=O)Nc1ccc(F)c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000567506216 341611119 /nfs/dbraw/zinc/61/11/19/341611119.db2.gz HOMNAYNJKYKLBI-HNNXBMFYSA-N 1 2 306.341 1.358 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC[C@@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000666848220 485367086 /nfs/dbraw/zinc/36/70/86/485367086.db2.gz LOUZRXDFFMRZKV-FUHWJXTLSA-N 1 2 318.417 1.422 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000555482612 500766630 /nfs/dbraw/zinc/76/66/30/500766630.db2.gz HKFAYUMQVLAHQP-HNNXBMFYSA-N 1 2 319.365 1.357 20 30 DDEDLO Cc1cc(N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c(C#N)c[nH+]1 ZINC000425240323 533903111 /nfs/dbraw/zinc/90/31/11/533903111.db2.gz OSNXNCNJVYRQGH-CYBMUJFWSA-N 1 2 308.407 1.168 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCOCC12CCCC2 ZINC000367176938 534643004 /nfs/dbraw/zinc/64/30/04/534643004.db2.gz SLQWTAPDPWRVMT-UHFFFAOYSA-N 1 2 304.394 1.287 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCOCC12CCCC2 ZINC000367176938 534643008 /nfs/dbraw/zinc/64/30/08/534643008.db2.gz SLQWTAPDPWRVMT-UHFFFAOYSA-N 1 2 304.394 1.287 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N(C)Cc1cccc(OC)c1OC ZINC000339767184 526359434 /nfs/dbraw/zinc/35/94/34/526359434.db2.gz ZVRCIVIAQZBDQK-UHFFFAOYSA-N 1 2 304.390 1.617 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N(C)Cc1cccc(OC)c1OC ZINC000339767184 526359440 /nfs/dbraw/zinc/35/94/40/526359440.db2.gz ZVRCIVIAQZBDQK-UHFFFAOYSA-N 1 2 304.390 1.617 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2c(C)cccc2C)C1 ZINC000330940152 526400979 /nfs/dbraw/zinc/40/09/79/526400979.db2.gz DHSURZICCLGLKZ-UONOGXRCSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2c(C)cccc2C)C1 ZINC000330940152 526400987 /nfs/dbraw/zinc/40/09/87/526400987.db2.gz DHSURZICCLGLKZ-UONOGXRCSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2cccc(C(C)C)c2)C1 ZINC000330947464 526402945 /nfs/dbraw/zinc/40/29/45/526402945.db2.gz LZDDSGOCFJGECL-HNNXBMFYSA-N 1 2 318.421 1.956 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2cccc(C(C)C)c2)C1 ZINC000330947464 526402949 /nfs/dbraw/zinc/40/29/49/526402949.db2.gz LZDDSGOCFJGECL-HNNXBMFYSA-N 1 2 318.421 1.956 20 30 DDEDLO C=CC[NH+]1CCC(NS(=O)(=O)c2sccc2F)CC1 ZINC000338894658 526453491 /nfs/dbraw/zinc/45/34/91/526453491.db2.gz YZQYNMAIPIHIII-UHFFFAOYSA-N 1 2 304.412 1.816 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3ccccc3OC)CC2)C1=O ZINC000337144266 526480748 /nfs/dbraw/zinc/48/07/48/526480748.db2.gz ZNMOJLULMNDQBW-MRXNPFEDSA-N 1 2 315.417 1.604 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(C)C2CCCCC2)C1=O ZINC000337146305 526498826 /nfs/dbraw/zinc/49/88/26/526498826.db2.gz YCNBSTJWGASULS-HNNXBMFYSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(C)C2CCCCC2)C1=O ZINC000337146305 526498833 /nfs/dbraw/zinc/49/88/33/526498833.db2.gz YCNBSTJWGASULS-HNNXBMFYSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2cccc(C#N)c2)C1=O ZINC000337204548 526499177 /nfs/dbraw/zinc/49/91/77/526499177.db2.gz HVDIWPHOPGHOKO-HNNXBMFYSA-N 1 2 312.373 1.215 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2cccc(C#N)c2)C1=O ZINC000337204548 526499178 /nfs/dbraw/zinc/49/91/78/526499178.db2.gz HVDIWPHOPGHOKO-HNNXBMFYSA-N 1 2 312.373 1.215 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(CC)nc2)CC1 ZINC000347666940 526539660 /nfs/dbraw/zinc/53/96/60/526539660.db2.gz GGXSLEAGKHGCTH-UHFFFAOYSA-N 1 2 302.422 1.064 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(CC)nc2)CC1 ZINC000347666940 526539664 /nfs/dbraw/zinc/53/96/64/526539664.db2.gz GGXSLEAGKHGCTH-UHFFFAOYSA-N 1 2 302.422 1.064 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccc(F)cc2C#N)CC1 ZINC000346678010 526540308 /nfs/dbraw/zinc/54/03/08/526540308.db2.gz SWLPHCSLPGAQMH-UHFFFAOYSA-N 1 2 302.353 1.122 20 30 DDEDLO CC(C)(C)[C@@H]1CCN(C([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)C[C@H]1O ZINC000330277081 526668798 /nfs/dbraw/zinc/66/87/98/526668798.db2.gz NTZQLRNIBHBTCY-MGPQQGTHSA-N 1 2 320.437 1.841 20 30 DDEDLO CC(C)(C)[C@@H]1CCN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C[C@H]1O ZINC000330277081 526668801 /nfs/dbraw/zinc/66/88/01/526668801.db2.gz NTZQLRNIBHBTCY-MGPQQGTHSA-N 1 2 320.437 1.841 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CCN(CC(=O)NCC)CC2)c1 ZINC000349920310 526900913 /nfs/dbraw/zinc/90/09/13/526900913.db2.gz HVPYBWDACWTSMB-UHFFFAOYSA-N 1 2 317.433 1.505 20 30 DDEDLO C#CC[N@@H+](CCc1ccc([N+](=O)[O-])cc1)[C@H]1CCS(=O)(=O)C1 ZINC000491096607 526901012 /nfs/dbraw/zinc/90/10/12/526901012.db2.gz UWZMDAXYUZKFHF-HNNXBMFYSA-N 1 2 322.386 1.260 20 30 DDEDLO C#CC[N@H+](CCc1ccc([N+](=O)[O-])cc1)[C@H]1CCS(=O)(=O)C1 ZINC000491096607 526901017 /nfs/dbraw/zinc/90/10/17/526901017.db2.gz UWZMDAXYUZKFHF-HNNXBMFYSA-N 1 2 322.386 1.260 20 30 DDEDLO C#CC[N@@H+](CCc1ncc(C)cc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000491418312 526901876 /nfs/dbraw/zinc/90/18/76/526901876.db2.gz QMZMVIMMUCYMQE-OAHLLOKOSA-N 1 2 306.431 1.363 20 30 DDEDLO C#CC[N@H+](CCc1ncc(C)cc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000491418312 526901880 /nfs/dbraw/zinc/90/18/80/526901880.db2.gz QMZMVIMMUCYMQE-OAHLLOKOSA-N 1 2 306.431 1.363 20 30 DDEDLO C#CC[N@H+](Cc1ccc(CC#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000490933931 526904381 /nfs/dbraw/zinc/90/43/81/526904381.db2.gz GWFKQYYIEOAGFO-INIZCTEOSA-N 1 2 302.399 1.375 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(CC#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000490933931 526904387 /nfs/dbraw/zinc/90/43/87/526904387.db2.gz GWFKQYYIEOAGFO-INIZCTEOSA-N 1 2 302.399 1.375 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)C(C)(C)[C@@H]2c2ccncc2)CC1 ZINC000491474405 526953689 /nfs/dbraw/zinc/95/36/89/526953689.db2.gz MLYQTNCHMHXRNB-INIZCTEOSA-N 1 2 312.417 1.199 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCCC[C@H]1c1cnn(C)c1 ZINC000330502322 526956623 /nfs/dbraw/zinc/95/66/23/526956623.db2.gz BVVBWZZVXMESTE-ZDUSSCGKSA-N 1 2 305.382 1.163 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCCC[C@H]1c1cnn(C)c1 ZINC000330502322 526956626 /nfs/dbraw/zinc/95/66/26/526956626.db2.gz BVVBWZZVXMESTE-ZDUSSCGKSA-N 1 2 305.382 1.163 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2c(C)cccc2Cl)CC1 ZINC000491326499 527003401 /nfs/dbraw/zinc/00/34/01/527003401.db2.gz NRTKNFRDUKQSSI-UHFFFAOYSA-N 1 2 319.836 1.515 20 30 DDEDLO C#CCNC(=O)c1cccc(-c2noc(CCn3cc[nH+]c3)n2)c1 ZINC000491012693 527040669 /nfs/dbraw/zinc/04/06/69/527040669.db2.gz DVUPPEQFWYAOPJ-UHFFFAOYSA-N 1 2 321.340 1.539 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)Cc2ccc(CO)cc2)n1 ZINC000491821277 527191918 /nfs/dbraw/zinc/19/19/18/527191918.db2.gz UZLWFADORVXHGR-UHFFFAOYSA-N 1 2 313.401 1.657 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)Cc2ccc(CO)cc2)n1 ZINC000491821277 527191924 /nfs/dbraw/zinc/19/19/24/527191924.db2.gz UZLWFADORVXHGR-UHFFFAOYSA-N 1 2 313.401 1.657 20 30 DDEDLO C#C[C@@H](CC)NC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000491751385 527238660 /nfs/dbraw/zinc/23/86/60/527238660.db2.gz UIOQFVBDGGYXBD-DLBZAZTESA-N 1 2 315.417 1.771 20 30 DDEDLO C#C[C@@H](NC(=O)C[N@@H+](C)CC(=O)NC(C)C)c1ccc(F)cc1 ZINC000491242074 527266145 /nfs/dbraw/zinc/26/61/45/527266145.db2.gz NZPAQHQGOZILRN-OAHLLOKOSA-N 1 2 319.380 1.073 20 30 DDEDLO C#C[C@@H](NC(=O)C[N@H+](C)CC(=O)NC(C)C)c1ccc(F)cc1 ZINC000491242074 527266150 /nfs/dbraw/zinc/26/61/50/527266150.db2.gz NZPAQHQGOZILRN-OAHLLOKOSA-N 1 2 319.380 1.073 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[NH+](Cc2ccncc2)CC1)C(C)(C)C ZINC000491536286 527271686 /nfs/dbraw/zinc/27/16/86/527271686.db2.gz JAEBZXBPJBMOLH-MRXNPFEDSA-N 1 2 314.433 1.957 20 30 DDEDLO C=CCCC[C@H](C)[NH+]1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000347997158 527275727 /nfs/dbraw/zinc/27/57/27/527275727.db2.gz LXBHNDFEYAFGEZ-LSDHHAIUSA-N 1 2 300.468 1.536 20 30 DDEDLO C=CCn1c(C(C)C)nnc1N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000337839521 527292249 /nfs/dbraw/zinc/29/22/49/527292249.db2.gz DZPFBSQXZIBDQS-CQSZACIVSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCn1c(CC(C)C)nnc1N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000337837422 527312469 /nfs/dbraw/zinc/31/24/69/527312469.db2.gz CVQWEIOBGZAJDF-HNNXBMFYSA-N 1 2 319.453 1.574 20 30 DDEDLO C#C[C@H](CC)NC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000491232001 527354302 /nfs/dbraw/zinc/35/43/02/527354302.db2.gz XEKMBMWJFCWINY-UKRRQHHQSA-N 1 2 316.405 1.518 20 30 DDEDLO CC(C)(Oc1ccc(C#N)cc1)C(=O)N1CCn2cc[nH+]c2C1 ZINC000332594521 527372548 /nfs/dbraw/zinc/37/25/48/527372548.db2.gz MWKGDBHMSCQYKE-UHFFFAOYSA-N 1 2 310.357 1.955 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@@H](O)c1ccc(Br)cc1 ZINC000491427995 527429428 /nfs/dbraw/zinc/42/94/28/527429428.db2.gz MWMMVOKPDDIXOO-UONOGXRCSA-N 1 2 310.191 1.817 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@@H](O)c1ccc(Br)cc1 ZINC000491427995 527429431 /nfs/dbraw/zinc/42/94/31/527429431.db2.gz MWMMVOKPDDIXOO-UONOGXRCSA-N 1 2 310.191 1.817 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCN(CC)[C@@H](c2ncc[nH]2)C1 ZINC000348373002 527609689 /nfs/dbraw/zinc/60/96/89/527609689.db2.gz PJFIOOOAMMJSTG-OAHLLOKOSA-N 1 2 317.437 1.289 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCN(CC)[C@@H](c2ncc[nH]2)C1 ZINC000348373002 527609695 /nfs/dbraw/zinc/60/96/95/527609695.db2.gz PJFIOOOAMMJSTG-OAHLLOKOSA-N 1 2 317.437 1.289 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000330825465 527930301 /nfs/dbraw/zinc/93/03/01/527930301.db2.gz ONDBOCNQAKHDCP-QWHCGFSZSA-N 1 2 321.343 1.430 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000330825465 527930304 /nfs/dbraw/zinc/93/03/04/527930304.db2.gz ONDBOCNQAKHDCP-QWHCGFSZSA-N 1 2 321.343 1.430 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)SCC[NH+]1CCOCC1 ZINC000342445047 527937680 /nfs/dbraw/zinc/93/76/80/527937680.db2.gz RIHIZHZDMJKNNE-ZFWWWQNUSA-N 1 2 313.467 1.495 20 30 DDEDLO CC(C)[C@@H](NS(=O)(=O)c1cncc(C#N)c1)c1[nH]cc[nH+]1 ZINC000451546747 528595497 /nfs/dbraw/zinc/59/54/97/528595497.db2.gz JPWBDWAZQKVGIE-GFCCVEGCSA-N 1 2 305.363 1.352 20 30 DDEDLO CC(C)[C@H]([NH2+]CC(=O)NC1(C#N)CCC1)c1nc(C2CC2)no1 ZINC000346983576 529118882 /nfs/dbraw/zinc/11/88/82/529118882.db2.gz ZRAHCYOLMVTPDU-ZDUSSCGKSA-N 1 2 317.393 1.796 20 30 DDEDLO CCN1CCN(C(=O)c2ccc(C#N)s2)C[C@H]1c1[nH]cc[nH+]1 ZINC000433110086 529134181 /nfs/dbraw/zinc/13/41/81/529134181.db2.gz NVEHDODFIDFBRN-LBPRGKRZSA-N 1 2 315.402 1.862 20 30 DDEDLO CCNC(=O)N1CC[NH+](Cc2ccc(O[C@@H](C)C#N)cc2)CC1 ZINC000121574900 696712580 /nfs/dbraw/zinc/71/25/80/696712580.db2.gz KRLSEUARENCTJF-AWEZNQCLSA-N 1 2 316.405 1.825 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)OC(=O)[C@H]1CCCC[N@@H+]1C ZINC000745081457 699970675 /nfs/dbraw/zinc/97/06/75/699970675.db2.gz ZJLKTTAKGKEYHN-WOSRLPQWSA-N 1 2 309.410 1.457 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)OC(=O)[C@H]1CCCC[N@H+]1C ZINC000745081457 699970676 /nfs/dbraw/zinc/97/06/76/699970676.db2.gz ZJLKTTAKGKEYHN-WOSRLPQWSA-N 1 2 309.410 1.457 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)[C@H]2CCc3cncn3C2)C1 ZINC000971818220 695102191 /nfs/dbraw/zinc/10/21/91/695102191.db2.gz NMGMIGGILOGYOF-ZFWWWQNUSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)[C@H]2CCc3cncn3C2)C1 ZINC000971818220 695102193 /nfs/dbraw/zinc/10/21/93/695102193.db2.gz NMGMIGGILOGYOF-ZFWWWQNUSA-N 1 2 322.840 1.731 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccoc3Cl)C2)C1 ZINC000972352204 695224143 /nfs/dbraw/zinc/22/41/43/695224143.db2.gz MDBUHVJVFIBSLF-INIZCTEOSA-N 1 2 322.792 1.873 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccoc3Cl)C2)C1 ZINC000972352204 695224146 /nfs/dbraw/zinc/22/41/46/695224146.db2.gz MDBUHVJVFIBSLF-INIZCTEOSA-N 1 2 322.792 1.873 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnc(C4CC4)o3)C2)C1 ZINC000972414248 695243458 /nfs/dbraw/zinc/24/34/58/695243458.db2.gz XWOFCSIWQWSGHJ-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnc(C4CC4)o3)C2)C1 ZINC000972414248 695243459 /nfs/dbraw/zinc/24/34/59/695243459.db2.gz XWOFCSIWQWSGHJ-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3OCC[C@H]3CC)C2)C1 ZINC000972420629 695245036 /nfs/dbraw/zinc/24/50/36/695245036.db2.gz ABSYWAOSHSNFHS-RYRKJORJSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3OCC[C@H]3CC)C2)C1 ZINC000972420629 695245037 /nfs/dbraw/zinc/24/50/37/695245037.db2.gz ABSYWAOSHSNFHS-RYRKJORJSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3ccco3)C2)C1 ZINC000972548923 695279191 /nfs/dbraw/zinc/27/91/91/695279191.db2.gz KOZHEGZBJYYYNR-MAUKXSAKSA-N 1 2 316.401 1.710 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3ccco3)C2)C1 ZINC000972548923 695279193 /nfs/dbraw/zinc/27/91/93/695279193.db2.gz KOZHEGZBJYYYNR-MAUKXSAKSA-N 1 2 316.401 1.710 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3cccnc3)C2)C1 ZINC000972638592 695305802 /nfs/dbraw/zinc/30/58/02/695305802.db2.gz MEROFRCJSZIJAI-YJBOKZPZSA-N 1 2 315.417 1.674 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3cccnc3)C2)C1 ZINC000972638592 695305805 /nfs/dbraw/zinc/30/58/05/695305805.db2.gz MEROFRCJSZIJAI-YJBOKZPZSA-N 1 2 315.417 1.674 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(Cl)cc3)C2)C1 ZINC000972647462 695308584 /nfs/dbraw/zinc/30/85/84/695308584.db2.gz RCCREIUFTGQHBB-QGZVFWFLSA-N 1 2 318.804 1.890 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(Cl)cc3)C2)C1 ZINC000972647462 695308586 /nfs/dbraw/zinc/30/85/86/695308586.db2.gz RCCREIUFTGQHBB-QGZVFWFLSA-N 1 2 318.804 1.890 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](OC)C3CCCC3)C2)C1 ZINC000972657136 695311373 /nfs/dbraw/zinc/31/13/73/695311373.db2.gz XYGLHXLXAFZAMT-WMZOPIPTSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](OC)C3CCCC3)C2)C1 ZINC000972657136 695311377 /nfs/dbraw/zinc/31/13/77/695311377.db2.gz XYGLHXLXAFZAMT-WMZOPIPTSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCN(C(=O)C[NH+]1CCN(c2ccccn2)CC1)C(C)C ZINC000746217726 700011652 /nfs/dbraw/zinc/01/16/52/700011652.db2.gz ASZQTEYZYVPKII-UHFFFAOYSA-N 1 2 302.422 1.627 20 30 DDEDLO C[C@H](c1ccc([S@@](C)=O)cc1)[N@H+](C)CC(=O)NCCC#N ZINC000747533297 700074782 /nfs/dbraw/zinc/07/47/82/700074782.db2.gz WVQXRINYOAQSSY-XUSGNXJCSA-N 1 2 307.419 1.447 20 30 DDEDLO C[C@H](c1ccc([S@@](C)=O)cc1)[N@@H+](C)CC(=O)NCCC#N ZINC000747533297 700074785 /nfs/dbraw/zinc/07/47/85/700074785.db2.gz WVQXRINYOAQSSY-XUSGNXJCSA-N 1 2 307.419 1.447 20 30 DDEDLO NC(=[NH+]OCC(=O)N1CCc2ccccc2C1)c1ccccc1 ZINC000072807268 696397060 /nfs/dbraw/zinc/39/70/60/696397060.db2.gz BMOKSUBSSANUIH-UHFFFAOYSA-N 1 2 309.369 1.908 20 30 DDEDLO Cc1cccc(CNC(=O)CO[NH+]=C(N)Cc2cccnc2)c1 ZINC000089506472 696578027 /nfs/dbraw/zinc/57/80/27/696578027.db2.gz MMEAWFVZGAQOGL-UHFFFAOYSA-N 1 2 312.373 1.538 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)N1CCc2ccccc2C1 ZINC000092915876 696598107 /nfs/dbraw/zinc/59/81/07/696598107.db2.gz NEJNPATZJFMQOK-UHFFFAOYSA-N 1 2 324.384 1.498 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+](C)[C@H](C)CS(C)(=O)=O)cc1 ZINC000093384759 696600668 /nfs/dbraw/zinc/60/06/68/696600668.db2.gz NDDGWABXWNVQCU-CQSZACIVSA-N 1 2 309.431 1.954 20 30 DDEDLO C#CCCOc1ccc(C[N@H+](C)[C@H](C)CS(C)(=O)=O)cc1 ZINC000093384759 696600670 /nfs/dbraw/zinc/60/06/70/696600670.db2.gz NDDGWABXWNVQCU-CQSZACIVSA-N 1 2 309.431 1.954 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)/C=C/c2ccc(OC)c(OC)c2)CC1 ZINC000128357805 696778781 /nfs/dbraw/zinc/77/87/81/696778781.db2.gz ZPJDQXJGURNWFJ-SOFGYWHQSA-N 1 2 314.385 1.494 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+]([C@H](C)c2ccsc2)CC1 ZINC000129604647 696790227 /nfs/dbraw/zinc/79/02/27/696790227.db2.gz CWRXRIMZWUACAT-CQSZACIVSA-N 1 2 306.431 1.993 20 30 DDEDLO COCC(=O)N1CCC[N@H+](Cc2cc(C#N)ccc2OC)CC1 ZINC000980583168 696806399 /nfs/dbraw/zinc/80/63/99/696806399.db2.gz CSVAKUVMKSFFEW-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO COCC(=O)N1CCC[N@@H+](Cc2cc(C#N)ccc2OC)CC1 ZINC000980583168 696806401 /nfs/dbraw/zinc/80/64/01/696806401.db2.gz CSVAKUVMKSFFEW-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980785069 696888055 /nfs/dbraw/zinc/88/80/55/696888055.db2.gz DTPJEWPMRGNNKU-INIZCTEOSA-N 1 2 300.402 1.607 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980785069 696888059 /nfs/dbraw/zinc/88/80/59/696888059.db2.gz DTPJEWPMRGNNKU-INIZCTEOSA-N 1 2 300.402 1.607 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)cn1 ZINC000980848871 696916805 /nfs/dbraw/zinc/91/68/05/696916805.db2.gz CPOLCWOADNKTGG-JSGCOSHPSA-N 1 2 301.394 1.540 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)cn1 ZINC000980848871 696916806 /nfs/dbraw/zinc/91/68/06/696916806.db2.gz CPOLCWOADNKTGG-JSGCOSHPSA-N 1 2 301.394 1.540 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2CCCc3nn[nH]c32)CC1 ZINC000981838673 696938586 /nfs/dbraw/zinc/93/85/86/696938586.db2.gz ZDINNTZJNXBXMD-LBPRGKRZSA-N 1 2 323.828 1.511 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2CCCc3nn[nH]c32)CC1 ZINC000981838673 696938588 /nfs/dbraw/zinc/93/85/88/696938588.db2.gz ZDINNTZJNXBXMD-LBPRGKRZSA-N 1 2 323.828 1.511 20 30 DDEDLO CC#CCCON=C(N)c1cccc(C[NH+]2CCOCC2)c1 ZINC000154865546 696938693 /nfs/dbraw/zinc/93/86/93/696938693.db2.gz CNMMKVVRTLKTEF-UHFFFAOYSA-N 1 2 301.390 1.569 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)N2CCC2)CC1 ZINC000981874155 696950675 /nfs/dbraw/zinc/95/06/75/696950675.db2.gz YNGMDXMIEVWGMZ-QGZVFWFLSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)N2CCC2)CC1 ZINC000981874155 696950676 /nfs/dbraw/zinc/95/06/76/696950676.db2.gz YNGMDXMIEVWGMZ-QGZVFWFLSA-N 1 2 307.438 1.355 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCCN(C(=O)c3c[nH]c(C#N)c3)CC2)o1 ZINC000981006070 696977810 /nfs/dbraw/zinc/97/78/10/696977810.db2.gz JFCUEQBNVARGHJ-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO Cc1ncc(C[N@H+]2CCCN(C(=O)c3c[nH]c(C#N)c3)CC2)o1 ZINC000981006070 696977814 /nfs/dbraw/zinc/97/78/14/696977814.db2.gz JFCUEQBNVARGHJ-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC000982004238 696999853 /nfs/dbraw/zinc/99/98/53/696999853.db2.gz VHEVCIWDHUGZFE-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC000982004238 696999856 /nfs/dbraw/zinc/99/98/56/696999856.db2.gz VHEVCIWDHUGZFE-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO N#CCN[C@@H]1CCC[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC000981103894 697005628 /nfs/dbraw/zinc/00/56/28/697005628.db2.gz WPSINLVQDJSOEB-ZIAGYGMSSA-N 1 2 315.421 1.302 20 30 DDEDLO C=CC[N@@H+]1CCCC2(CN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC000982146615 697041915 /nfs/dbraw/zinc/04/19/15/697041915.db2.gz XPNZJTGZICPHGG-UHFFFAOYSA-N 1 2 311.389 1.682 20 30 DDEDLO C=CC[N@H+]1CCCC2(CN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC000982146615 697041917 /nfs/dbraw/zinc/04/19/17/697041917.db2.gz XPNZJTGZICPHGG-UHFFFAOYSA-N 1 2 311.389 1.682 20 30 DDEDLO COCCN(CCC#N)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000162460978 697328627 /nfs/dbraw/zinc/32/86/27/697328627.db2.gz SZPNPWMPGZOMGA-UHFFFAOYSA-N 1 2 305.382 1.850 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@H]1CC1(Cl)Cl ZINC000749114408 700148312 /nfs/dbraw/zinc/14/83/12/700148312.db2.gz AJQAGLFAQRJFOW-GFCCVEGCSA-N 1 2 306.237 1.728 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000183238559 697485241 /nfs/dbraw/zinc/48/52/41/697485241.db2.gz ACABKMGHYJCWBX-AWEZNQCLSA-N 1 2 300.362 1.609 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(C)Cc1ccc([S@](C)=O)cc1 ZINC000749468736 700166346 /nfs/dbraw/zinc/16/63/46/700166346.db2.gz QFJZBSVNHPARKC-AOMKIAJQSA-N 1 2 318.442 1.480 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)Cc1ccc([S@](C)=O)cc1 ZINC000749468736 700166348 /nfs/dbraw/zinc/16/63/48/700166348.db2.gz QFJZBSVNHPARKC-AOMKIAJQSA-N 1 2 318.442 1.480 20 30 DDEDLO COc1ccc(CN2CC[NH+](CC[S@@](C)=O)CC2)cc1C#N ZINC000749523121 700168647 /nfs/dbraw/zinc/16/86/47/700168647.db2.gz QESYVVSIHHJESJ-JOCHJYFZSA-N 1 2 321.446 1.063 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(CC#Cc2ccccc2Cl)CC1 ZINC000749523674 700168652 /nfs/dbraw/zinc/16/86/52/700168652.db2.gz YPESXJFVWZEJCP-OAQYLSRUSA-N 1 2 324.877 1.688 20 30 DDEDLO C[C@@H]1[C@H]([NH2+]Cc2csnn2)CCN1C(=O)c1cc(C#N)c[nH]1 ZINC000986132975 697697768 /nfs/dbraw/zinc/69/77/68/697697768.db2.gz LVEQLNNMQXSJJV-BXKDBHETSA-N 1 2 316.390 1.131 20 30 DDEDLO N#CC1(COC(=O)[C@@H]2C[N@H+](Cc3ccccc3)CCO2)CC1 ZINC000775858533 698045385 /nfs/dbraw/zinc/04/53/85/698045385.db2.gz MNEMDTSBEHNJJN-HNNXBMFYSA-N 1 2 300.358 1.734 20 30 DDEDLO N#CC1(COC(=O)[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)CC1 ZINC000775858533 698045392 /nfs/dbraw/zinc/04/53/92/698045392.db2.gz MNEMDTSBEHNJJN-HNNXBMFYSA-N 1 2 300.358 1.734 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000777527782 698197788 /nfs/dbraw/zinc/19/77/88/698197788.db2.gz BIJFSYJRXXAGQW-HNNXBMFYSA-N 1 2 324.384 1.709 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+](C)[C@@H](C)CS(C)(=O)=O)cc1 ZINC000245737180 698338138 /nfs/dbraw/zinc/33/81/38/698338138.db2.gz LCSXMSAPZRISCI-QWHCGFSZSA-N 1 2 310.419 1.842 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+](C)[C@@H](C)CS(C)(=O)=O)cc1 ZINC000245737180 698338142 /nfs/dbraw/zinc/33/81/42/698338142.db2.gz LCSXMSAPZRISCI-QWHCGFSZSA-N 1 2 310.419 1.842 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(O[C@H](C)C#N)cc2)C[C@@H](C)O1 ZINC000246893718 698356950 /nfs/dbraw/zinc/35/69/50/698356950.db2.gz UDHXVLSYPLIMEY-IOASZLSFSA-N 1 2 318.373 1.740 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(O[C@H](C)C#N)cc2)C[C@@H](C)O1 ZINC000246893718 698356953 /nfs/dbraw/zinc/35/69/53/698356953.db2.gz UDHXVLSYPLIMEY-IOASZLSFSA-N 1 2 318.373 1.740 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[NH+](Cc2ccccc2C)CC1 ZINC000279578964 698538259 /nfs/dbraw/zinc/53/82/59/698538259.db2.gz ZPNBHNQEKREEGB-UHFFFAOYSA-N 1 2 306.431 1.466 20 30 DDEDLO NC(=[NH+]OC[C@@H]1CCCS(=O)(=O)C1)c1ccc(Cl)cc1 ZINC000789589178 699397484 /nfs/dbraw/zinc/39/74/84/699397484.db2.gz QBQRSRQJYMKELB-JTQLQIEISA-N 1 2 316.810 1.802 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3cnn(C)c3)CC2)nc1 ZINC000729923144 699492660 /nfs/dbraw/zinc/49/26/60/699492660.db2.gz MEEPAJZCCHCZEE-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3cnn(C)c3)CC2)nc1 ZINC000729923144 699492661 /nfs/dbraw/zinc/49/26/61/699492661.db2.gz MEEPAJZCCHCZEE-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C#C[C@H](CCC)NC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000792445817 699696329 /nfs/dbraw/zinc/69/63/29/699696329.db2.gz ZKANVQRNDAPTAC-GFCCVEGCSA-N 1 2 302.378 1.313 20 30 DDEDLO CN(C(=O)COC(=O)[C@@H]1CCCC[N@@H+]1C)C1(C#N)CCCCC1 ZINC000741146408 699817368 /nfs/dbraw/zinc/81/73/68/699817368.db2.gz JCKBWRNETPYWSY-AWEZNQCLSA-N 1 2 321.421 1.699 20 30 DDEDLO CN(C(=O)COC(=O)[C@@H]1CCCC[N@H+]1C)C1(C#N)CCCCC1 ZINC000741146408 699817370 /nfs/dbraw/zinc/81/73/70/699817370.db2.gz JCKBWRNETPYWSY-AWEZNQCLSA-N 1 2 321.421 1.699 20 30 DDEDLO Cc1ccccc1CC(=O)N1CC[NH+](CCO[C@@H](C)C#N)CC1 ZINC000801915171 700352845 /nfs/dbraw/zinc/35/28/45/700352845.db2.gz KHZKTARFNRVCLF-INIZCTEOSA-N 1 2 315.417 1.610 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)O[C@@H]1CC[N@H+](CCF)C1 ZINC000802242330 700388502 /nfs/dbraw/zinc/38/85/02/700388502.db2.gz ZXOATVXJEOHRLR-SWLSCSKDSA-N 1 2 306.337 1.913 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)O[C@@H]1CC[N@@H+](CCF)C1 ZINC000802242330 700388505 /nfs/dbraw/zinc/38/85/05/700388505.db2.gz ZXOATVXJEOHRLR-SWLSCSKDSA-N 1 2 306.337 1.913 20 30 DDEDLO N#Cc1c(NCCNc2cccc[nH+]2)cccc1S(N)(=O)=O ZINC000754960336 700540419 /nfs/dbraw/zinc/54/04/19/700540419.db2.gz DUUQUSPAELEKLD-UHFFFAOYSA-N 1 2 317.374 1.125 20 30 DDEDLO C#CC[C@H](NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)c1ccccc1 ZINC000757638824 700667367 /nfs/dbraw/zinc/66/73/67/700667367.db2.gz KBSBBONCUGOQSV-RDJZCZTQSA-N 1 2 315.417 1.771 20 30 DDEDLO C#CC[C@H](NC(=O)NCC[N@H+]1CCO[C@@H](C)C1)c1ccccc1 ZINC000757638824 700667368 /nfs/dbraw/zinc/66/73/68/700667368.db2.gz KBSBBONCUGOQSV-RDJZCZTQSA-N 1 2 315.417 1.771 20 30 DDEDLO C#CCNC(=S)Nc1cccc([C@H](C)[NH+]2CCOCC2)c1 ZINC000758230202 700691852 /nfs/dbraw/zinc/69/18/52/700691852.db2.gz PYUMQCSMNUGHPJ-ZDUSSCGKSA-N 1 2 303.431 1.999 20 30 DDEDLO C#Cc1ccc(C[NH+]2CCN(C(=O)c3cccn3C)CC2)cc1 ZINC000809542458 701667828 /nfs/dbraw/zinc/66/78/28/701667828.db2.gz HIGVXCSGZRNQAN-UHFFFAOYSA-N 1 2 307.397 1.964 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@](C)(O)c1cccc(Cl)c1 ZINC000765783846 701027166 /nfs/dbraw/zinc/02/71/66/701027166.db2.gz GIEIWMMENJECLE-DOTOQJQBSA-N 1 2 320.820 1.761 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@](C)(O)c1cccc(Cl)c1 ZINC000765783846 701027168 /nfs/dbraw/zinc/02/71/68/701027168.db2.gz GIEIWMMENJECLE-DOTOQJQBSA-N 1 2 320.820 1.761 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)c2cccc3[nH+]ccn32)c1 ZINC000770410992 701276988 /nfs/dbraw/zinc/27/69/88/701276988.db2.gz OTIWUOUDDWPPPN-UHFFFAOYSA-N 1 2 318.336 1.684 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C(=O)N(C2CCCCC2)C1=O)C1CC1 ZINC000771640202 701326719 /nfs/dbraw/zinc/32/67/19/701326719.db2.gz DWEGLFKHSSRINN-UHFFFAOYSA-N 1 2 305.378 1.718 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C(=O)N(C2CCCCC2)C1=O)C1CC1 ZINC000771640202 701326720 /nfs/dbraw/zinc/32/67/20/701326720.db2.gz DWEGLFKHSSRINN-UHFFFAOYSA-N 1 2 305.378 1.718 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC([N@H+](C)Cc2ccccc2)C1 ZINC000805104144 701367771 /nfs/dbraw/zinc/36/77/71/701367771.db2.gz SDKCBFDPPRAYJU-UHFFFAOYSA-N 1 2 306.431 1.546 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC([N@@H+](C)Cc2ccccc2)C1 ZINC000805104144 701367772 /nfs/dbraw/zinc/36/77/72/701367772.db2.gz SDKCBFDPPRAYJU-UHFFFAOYSA-N 1 2 306.431 1.546 20 30 DDEDLO C[C@@H](CC#N)N(C)S(=O)(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC000867794223 701753084 /nfs/dbraw/zinc/75/30/84/701753084.db2.gz FXCLVMOGJZDTLR-WFASDCNBSA-N 1 2 322.434 1.241 20 30 DDEDLO C[C@@H](CC#N)N(C)S(=O)(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC000867794223 701753088 /nfs/dbraw/zinc/75/30/88/701753088.db2.gz FXCLVMOGJZDTLR-WFASDCNBSA-N 1 2 322.434 1.241 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@H](C(=O)N(C)C)C1 ZINC000839636237 701761983 /nfs/dbraw/zinc/76/19/83/701761983.db2.gz PIQREQLTPCVUSK-GJZGRUSLSA-N 1 2 315.373 1.182 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@H](C(=O)N(C)C)C1 ZINC000839636237 701761990 /nfs/dbraw/zinc/76/19/90/701761990.db2.gz PIQREQLTPCVUSK-GJZGRUSLSA-N 1 2 315.373 1.182 20 30 DDEDLO COC[C@@]1(C)CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000840103110 701969259 /nfs/dbraw/zinc/96/92/59/701969259.db2.gz AJZMKEHKOVINPC-SFHVURJKSA-N 1 2 315.417 1.915 20 30 DDEDLO COC[C@@]1(C)CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000840103110 701969261 /nfs/dbraw/zinc/96/92/61/701969261.db2.gz AJZMKEHKOVINPC-SFHVURJKSA-N 1 2 315.417 1.915 20 30 DDEDLO CO[C@@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC1(C)C ZINC000840127594 701985043 /nfs/dbraw/zinc/98/50/43/701985043.db2.gz ZVTJREUDKZSTGH-MRXNPFEDSA-N 1 2 315.417 1.913 20 30 DDEDLO CO[C@@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC1(C)C ZINC000840127594 701985051 /nfs/dbraw/zinc/98/50/51/701985051.db2.gz ZVTJREUDKZSTGH-MRXNPFEDSA-N 1 2 315.417 1.913 20 30 DDEDLO Cn1ccnc1[C@@H](O)[C@@H]1CCC[N@H+](Cc2nc(C#N)cs2)C1 ZINC000811467528 701991448 /nfs/dbraw/zinc/99/14/48/701991448.db2.gz NVYYNXKMDVDALH-RISCZKNCSA-N 1 2 317.418 1.694 20 30 DDEDLO Cn1ccnc1[C@@H](O)[C@@H]1CCC[N@@H+](Cc2nc(C#N)cs2)C1 ZINC000811467528 701991453 /nfs/dbraw/zinc/99/14/53/701991453.db2.gz NVYYNXKMDVDALH-RISCZKNCSA-N 1 2 317.418 1.694 20 30 DDEDLO CC[C@@H](O)CNN=Cc1ccc(C=[NH+]NC[C@@H](O)CC)cc1 ZINC000811653107 702022278 /nfs/dbraw/zinc/02/22/78/702022278.db2.gz GNARBQIYOCOFFB-IYBDPMFKSA-N 1 2 306.410 1.075 20 30 DDEDLO CC[C@@H](O)CN[NH+]=Cc1ccc(C=NNC[C@@H](O)CC)cc1 ZINC000811653107 702022283 /nfs/dbraw/zinc/02/22/83/702022283.db2.gz GNARBQIYOCOFFB-IYBDPMFKSA-N 1 2 306.410 1.075 20 30 DDEDLO C=C(C)CN(Cc1cnn(C(C)C)c1)C(=O)[C@@H](O)c1c[nH+]c[nH]1 ZINC000868284166 702041407 /nfs/dbraw/zinc/04/14/07/702041407.db2.gz QKVULPQFELKPGF-HNNXBMFYSA-N 1 2 317.393 1.825 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@@H](CNc3ncncc3C#N)C2)c1 ZINC000866189533 706644037 /nfs/dbraw/zinc/64/40/37/706644037.db2.gz AZLOGAOFWVHIIG-KRWDZBQOSA-N 1 2 323.400 1.392 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@@H](CNc3ncncc3C#N)C2)c1 ZINC000866189533 706644041 /nfs/dbraw/zinc/64/40/41/706644041.db2.gz AZLOGAOFWVHIIG-KRWDZBQOSA-N 1 2 323.400 1.392 20 30 DDEDLO C=C[C@@H](C)ONC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000844284030 703004662 /nfs/dbraw/zinc/00/46/62/703004662.db2.gz MXOIONZFKPLWPC-CQSZACIVSA-N 1 2 319.405 1.824 20 30 DDEDLO C#CC[N@@H+](CC#CC)Cc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000844299938 703008158 /nfs/dbraw/zinc/00/81/58/703008158.db2.gz KMINPFUNYOJESF-UHFFFAOYSA-N 1 2 316.426 1.586 20 30 DDEDLO C#CC[N@H+](CC#CC)Cc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000844299938 703008161 /nfs/dbraw/zinc/00/81/61/703008161.db2.gz KMINPFUNYOJESF-UHFFFAOYSA-N 1 2 316.426 1.586 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000845125958 703113638 /nfs/dbraw/zinc/11/36/38/703113638.db2.gz SFAPXZBDKJTHDN-CQSZACIVSA-N 1 2 319.317 1.230 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000845125958 703113640 /nfs/dbraw/zinc/11/36/40/703113640.db2.gz SFAPXZBDKJTHDN-CQSZACIVSA-N 1 2 319.317 1.230 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC([N@@H+]2CC[C@@H](O)C2)C1 ZINC000846005350 703226003 /nfs/dbraw/zinc/22/60/03/703226003.db2.gz FXRYFNIUDXCMDH-CQSZACIVSA-N 1 2 304.456 1.037 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC([N@H+]2CC[C@@H](O)C2)C1 ZINC000846005350 703226005 /nfs/dbraw/zinc/22/60/05/703226005.db2.gz FXRYFNIUDXCMDH-CQSZACIVSA-N 1 2 304.456 1.037 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000846339927 703267033 /nfs/dbraw/zinc/26/70/33/703267033.db2.gz FNEDDWXJANHYMI-JKSUJKDBSA-N 1 2 324.384 1.509 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](C)C(=O)OCc1ccccc1 ZINC000848256786 703532981 /nfs/dbraw/zinc/53/29/81/703532981.db2.gz LNLUHPAPHSKPCM-ZBFHGGJFSA-N 1 2 314.385 1.332 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)C(=O)OCc1ccccc1 ZINC000848256786 703532982 /nfs/dbraw/zinc/53/29/82/703532982.db2.gz LNLUHPAPHSKPCM-ZBFHGGJFSA-N 1 2 314.385 1.332 20 30 DDEDLO COc1c(N)[nH+]cnc1N1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000848675163 703574522 /nfs/dbraw/zinc/57/45/22/703574522.db2.gz SLTRPAPSQCNRFZ-ZDUSSCGKSA-N 1 2 311.345 1.517 20 30 DDEDLO COc1c(N)nc[nH+]c1N1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000848675163 703574523 /nfs/dbraw/zinc/57/45/23/703574523.db2.gz SLTRPAPSQCNRFZ-ZDUSSCGKSA-N 1 2 311.345 1.517 20 30 DDEDLO C#CCOCC[N@@H+]1CCO[C@@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000851810740 703861485 /nfs/dbraw/zinc/86/14/85/703861485.db2.gz CIOLGJVHNSFMKP-UONOGXRCSA-N 1 2 312.410 1.250 20 30 DDEDLO C#CCOCC[N@H+]1CCO[C@@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000851810740 703861487 /nfs/dbraw/zinc/86/14/87/703861487.db2.gz CIOLGJVHNSFMKP-UONOGXRCSA-N 1 2 312.410 1.250 20 30 DDEDLO C#CCOCC[N@@H+]1CCO[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000851810739 703861704 /nfs/dbraw/zinc/86/17/04/703861704.db2.gz CIOLGJVHNSFMKP-KGLIPLIRSA-N 1 2 312.410 1.250 20 30 DDEDLO C#CCOCC[N@H+]1CCO[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000851810739 703861706 /nfs/dbraw/zinc/86/17/06/703861706.db2.gz CIOLGJVHNSFMKP-KGLIPLIRSA-N 1 2 312.410 1.250 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[NH2+][C@@H](c3cccc(OC)c3)C2)c1 ZINC000870153451 703935451 /nfs/dbraw/zinc/93/54/51/703935451.db2.gz QAUBSTKAKYKMDP-GOSISDBHSA-N 1 2 321.380 1.858 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852331852 704014305 /nfs/dbraw/zinc/01/43/05/704014305.db2.gz MMJJTIIWBYTDFC-FUHWJXTLSA-N 1 2 314.433 1.659 20 30 DDEDLO CCN1C[C@H](C[NH+]2CCN(c3ccsc3C#N)CC2)OC1=O ZINC000852342680 704017722 /nfs/dbraw/zinc/01/77/22/704017722.db2.gz IJICLJURXDMOHU-LBPRGKRZSA-N 1 2 320.418 1.582 20 30 DDEDLO CC(C)Oc1ccc(C#N)cc1NC[C@@H](O)C[NH+]1CCOCC1 ZINC000819424549 704123394 /nfs/dbraw/zinc/12/33/94/704123394.db2.gz WQQCCRLDKWHKDI-OAHLLOKOSA-N 1 2 319.405 1.450 20 30 DDEDLO CSc1nnc(C(C)(C)C)c(=O)n1N=Cc1c[nH+]c(C)n1C ZINC000853287767 704229541 /nfs/dbraw/zinc/22/95/41/704229541.db2.gz SPXVCHVPIWLTEB-UHFFFAOYSA-N 1 2 320.422 1.582 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2=O)cc1 ZINC000831907407 706800995 /nfs/dbraw/zinc/80/09/95/706800995.db2.gz SUFXCEQGYHVARX-CQSZACIVSA-N 1 2 323.356 1.136 20 30 DDEDLO C=CCNC(=O)c1ccccc1NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000820573183 704302548 /nfs/dbraw/zinc/30/25/48/704302548.db2.gz SJUIUJHLVWQECK-CYBMUJFWSA-N 1 2 324.384 2.000 20 30 DDEDLO C#C[C@](C)(CC)NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000854697512 704441545 /nfs/dbraw/zinc/44/15/45/704441545.db2.gz DZFFNTUVFAZWJU-FUHWJXTLSA-N 1 2 300.402 1.806 20 30 DDEDLO C#C[C@](C)(CC)NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000854697512 704441546 /nfs/dbraw/zinc/44/15/46/704441546.db2.gz DZFFNTUVFAZWJU-FUHWJXTLSA-N 1 2 300.402 1.806 20 30 DDEDLO N#Cc1c(Cl)nsc1N1CCC([NH+]2CCOCC2)CC1 ZINC000858630997 704737263 /nfs/dbraw/zinc/73/72/63/704737263.db2.gz RECLMYBDTPIDCL-UHFFFAOYSA-N 1 2 312.826 1.969 20 30 DDEDLO C#CC[C@@H](CCOC)Nc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000858857954 704764997 /nfs/dbraw/zinc/76/49/97/704764997.db2.gz UOLVMMQDTKTEAD-GJZGRUSLSA-N 1 2 318.421 1.526 20 30 DDEDLO C#CC[C@@H](CCOC)Nc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000858857954 704764998 /nfs/dbraw/zinc/76/49/98/704764998.db2.gz UOLVMMQDTKTEAD-GJZGRUSLSA-N 1 2 318.421 1.526 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)N(C)CCCc1[nH+]ccn1CCC ZINC000859035837 704789147 /nfs/dbraw/zinc/78/91/47/704789147.db2.gz KWMMDMXCFKDXFP-HNNXBMFYSA-N 1 2 320.437 1.905 20 30 DDEDLO N#CCCNC(=O)C[NH+]1CCN(c2cccc3[nH]ccc32)CC1 ZINC000859830917 705015687 /nfs/dbraw/zinc/01/56/87/705015687.db2.gz IISFARJBRMTZNK-UHFFFAOYSA-N 1 2 311.389 1.320 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[NH2+][C@@H](c3ccc(F)cc3)C2)nn1 ZINC000874887987 705216462 /nfs/dbraw/zinc/21/64/62/705216462.db2.gz LFCIIZLNIISXHF-CQSZACIVSA-N 1 2 315.352 1.390 20 30 DDEDLO C=CCCCNC(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC000875310815 705348381 /nfs/dbraw/zinc/34/83/81/705348381.db2.gz WGWVUNVMZLWCDF-HNNXBMFYSA-N 1 2 301.390 1.372 20 30 DDEDLO C=CCCCNC(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC000875310815 705348383 /nfs/dbraw/zinc/34/83/83/705348383.db2.gz WGWVUNVMZLWCDF-HNNXBMFYSA-N 1 2 301.390 1.372 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000824447172 705454154 /nfs/dbraw/zinc/45/41/54/705454154.db2.gz CYRAQTIWEHTHAT-VXGBXAGGSA-N 1 2 303.362 1.317 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000824447172 705454156 /nfs/dbraw/zinc/45/41/56/705454156.db2.gz CYRAQTIWEHTHAT-VXGBXAGGSA-N 1 2 303.362 1.317 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000875830517 705526373 /nfs/dbraw/zinc/52/63/73/705526373.db2.gz WWJHVXMTMQAPCM-CYBMUJFWSA-N 1 2 303.410 1.983 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC[N@H+]1C[C@H](C)OC[C@@H]1C ZINC000824948098 705563397 /nfs/dbraw/zinc/56/33/97/705563397.db2.gz FAAJDAJENGVJLZ-KBPBESRZSA-N 1 2 301.390 1.706 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC[N@@H+]1C[C@H](C)OC[C@@H]1C ZINC000824948098 705563400 /nfs/dbraw/zinc/56/34/00/705563400.db2.gz FAAJDAJENGVJLZ-KBPBESRZSA-N 1 2 301.390 1.706 20 30 DDEDLO C#C[C@H](NC(=O)N[C@@H](C)C[NH+]1CCOCC1)c1ccccc1 ZINC000825660698 705710000 /nfs/dbraw/zinc/71/00/00/705710000.db2.gz XCWODPANBQMRNO-HOCLYGCPSA-N 1 2 301.390 1.381 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000826588749 705820553 /nfs/dbraw/zinc/82/05/53/705820553.db2.gz BFUKPRZIWXFZBE-DLBZAZTESA-N 1 2 315.417 1.862 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000826588749 705820556 /nfs/dbraw/zinc/82/05/56/705820556.db2.gz BFUKPRZIWXFZBE-DLBZAZTESA-N 1 2 315.417 1.862 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)n1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000876735144 705847949 /nfs/dbraw/zinc/84/79/49/705847949.db2.gz LFQKJLCHMJXZLO-GFCCVEGCSA-N 1 2 312.295 1.548 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)n1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000876735144 705847954 /nfs/dbraw/zinc/84/79/54/705847954.db2.gz LFQKJLCHMJXZLO-GFCCVEGCSA-N 1 2 312.295 1.548 20 30 DDEDLO Cc1cc(C[C@@H](C)NC(=O)[C@@H]2[NH2+]CCc3cc(C#N)ccc32)n[nH]1 ZINC000876881518 705905663 /nfs/dbraw/zinc/90/56/63/705905663.db2.gz ISGXFZLAYIHPTI-PIGZYNQJSA-N 1 2 323.400 1.524 20 30 DDEDLO N#CCCN1CC[NH+](C[C@H]2CN(C3CCCCC3)C(=O)O2)CC1 ZINC000828103595 706118928 /nfs/dbraw/zinc/11/89/28/706118928.db2.gz SLGMITJFCUUFFA-INIZCTEOSA-N 1 2 320.437 1.671 20 30 DDEDLO C#Cc1cccc(CNC(=O)N[C@@H](C)C[NH+]2CCOCC2)c1 ZINC000836221866 707419466 /nfs/dbraw/zinc/41/94/66/707419466.db2.gz PHTPRHBIZMIUNP-AWEZNQCLSA-N 1 2 301.390 1.188 20 30 DDEDLO C#C[C@H](CO)NC(=O)Nc1cccc([C@H](C)[NH+]2CCOCC2)c1 ZINC000878626930 706449102 /nfs/dbraw/zinc/44/91/02/706449102.db2.gz IXURKTMPGXYJCN-DZGCQCFKSA-N 1 2 317.389 1.195 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@@H+]2CCc3ncncc3C2)cc1 ZINC000880593617 707032231 /nfs/dbraw/zinc/03/22/31/707032231.db2.gz GCFGWGFIUDTSHG-OAHLLOKOSA-N 1 2 310.357 1.146 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@H+]2CCc3ncncc3C2)cc1 ZINC000880593617 707032233 /nfs/dbraw/zinc/03/22/33/707032233.db2.gz GCFGWGFIUDTSHG-OAHLLOKOSA-N 1 2 310.357 1.146 20 30 DDEDLO COC[C@H](C)NC(=S)NN=C1CCCc2[nH+]c(N)ccc21 ZINC000834924189 707148442 /nfs/dbraw/zinc/14/84/42/707148442.db2.gz LSRNDUCENSUOJA-VIFPVBQESA-N 1 2 307.423 1.203 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc(C(=O)OC)cs2)nn1 ZINC000881321195 707195696 /nfs/dbraw/zinc/19/56/96/707195696.db2.gz JBYYSWXWYQRJMB-UHFFFAOYSA-N 1 2 304.375 1.287 20 30 DDEDLO FC(F)CNN=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872353867 707388462 /nfs/dbraw/zinc/38/84/62/707388462.db2.gz HEKJXRZMEXXBLR-UHFFFAOYSA-N 1 2 313.348 1.586 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000883247939 707959999 /nfs/dbraw/zinc/95/99/99/707959999.db2.gz BVILBTJVCKBRGF-CYBMUJFWSA-N 1 2 307.394 1.833 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(OC)c(OC)c1 ZINC000884046700 708116615 /nfs/dbraw/zinc/11/66/15/708116615.db2.gz NVUQPHCQWBKNCP-NSHDSACASA-N 1 2 308.334 1.089 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(OC)c(Cl)c1 ZINC000884047808 708117113 /nfs/dbraw/zinc/11/71/13/708117113.db2.gz MAXWQSPQDJLTIM-NSHDSACASA-N 1 2 312.753 1.734 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@@H](C)Oc2ccccc21 ZINC000884134818 708154986 /nfs/dbraw/zinc/15/49/86/708154986.db2.gz STPMLUMCSCOYNT-NEPJUHHUSA-N 1 2 304.346 1.247 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCOC[C@@H]1c1ccccc1 ZINC000884157569 708164389 /nfs/dbraw/zinc/16/43/89/708164389.db2.gz DFTJURUWFGGAOL-LSDHHAIUSA-N 1 2 318.373 1.033 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(Cc2ccccc2)CCC1 ZINC000884160061 708165964 /nfs/dbraw/zinc/16/59/64/708165964.db2.gz SNUVJINZTOQWII-HNNXBMFYSA-N 1 2 316.401 1.715 20 30 DDEDLO C[C@@H](O)CNc1cc(N2CCC3(CC2)CC(=O)C=CO3)nc[nH+]1 ZINC000896880440 708171456 /nfs/dbraw/zinc/17/14/56/708171456.db2.gz DYBSRYYYDCTBTF-GFCCVEGCSA-N 1 2 318.377 1.111 20 30 DDEDLO C[C@@H](O)CNc1cc(N2CCC3(CC2)CC(=O)C=CO3)[nH+]cn1 ZINC000896880440 708171458 /nfs/dbraw/zinc/17/14/58/708171458.db2.gz DYBSRYYYDCTBTF-GFCCVEGCSA-N 1 2 318.377 1.111 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCO[C@H](CC(C)C)C1 ZINC000884320802 708243207 /nfs/dbraw/zinc/24/32/07/708243207.db2.gz YCFOCBHITPFRCU-MJBXVCDLSA-N 1 2 312.410 1.143 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1 ZINC000897641127 708403829 /nfs/dbraw/zinc/40/38/29/708403829.db2.gz WBJGCJMDXOSKBE-KCXAZCMYSA-N 1 2 318.421 1.887 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1 ZINC000897641127 708403833 /nfs/dbraw/zinc/40/38/33/708403833.db2.gz WBJGCJMDXOSKBE-KCXAZCMYSA-N 1 2 318.421 1.887 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccccc2COC)C1 ZINC000885512618 708563656 /nfs/dbraw/zinc/56/36/56/708563656.db2.gz XEIZMYVRIABPAU-HNNXBMFYSA-N 1 2 322.430 1.209 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccccc2COC)C1 ZINC000885512618 708563658 /nfs/dbraw/zinc/56/36/58/708563658.db2.gz XEIZMYVRIABPAU-HNNXBMFYSA-N 1 2 322.430 1.209 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@]1(c2ccccc2)CCCO1 ZINC000885947931 708668849 /nfs/dbraw/zinc/66/88/49/708668849.db2.gz RMVDNTUGIFTAGD-PKOBYXMFSA-N 1 2 312.413 1.906 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@]1(c2ccccc2)CCCO1 ZINC000885947931 708668850 /nfs/dbraw/zinc/66/88/50/708668850.db2.gz RMVDNTUGIFTAGD-PKOBYXMFSA-N 1 2 312.413 1.906 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@H](CC)[C@@](F)(C(=O)OCC)C1 ZINC000886872259 708875196 /nfs/dbraw/zinc/87/51/96/708875196.db2.gz NKWUKCKSVKKRBP-TYNCELHUSA-N 1 2 300.374 1.290 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@H](CC)[C@@](F)(C(=O)OCC)C1 ZINC000886872259 708875199 /nfs/dbraw/zinc/87/51/99/708875199.db2.gz NKWUKCKSVKKRBP-TYNCELHUSA-N 1 2 300.374 1.290 20 30 DDEDLO C[C@]1(C#N)CN(C(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)CCO1 ZINC000887690838 709104325 /nfs/dbraw/zinc/10/43/25/709104325.db2.gz UZWYNZKZTTYEJG-PWSUYJOCSA-N 1 2 316.283 1.518 20 30 DDEDLO C#CCSCC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000887722231 709111690 /nfs/dbraw/zinc/11/16/90/709111690.db2.gz QYZNQHNNVOKVPN-UHFFFAOYSA-N 1 2 318.442 1.576 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)Nc2ccc(Br)c(C#N)c2)C1 ZINC000887994892 709188379 /nfs/dbraw/zinc/18/83/79/709188379.db2.gz NQUWCFPWMIPROA-GFCCVEGCSA-N 1 2 324.178 1.590 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)Nc2ccc(Br)c(C#N)c2)C1 ZINC000887994892 709188383 /nfs/dbraw/zinc/18/83/83/709188383.db2.gz NQUWCFPWMIPROA-GFCCVEGCSA-N 1 2 324.178 1.590 20 30 DDEDLO C/C(=C\C(=O)N[C@H](C#N)c1ccc(F)cc1)C[NH+]1CCOCC1 ZINC000900073376 709282175 /nfs/dbraw/zinc/28/21/75/709282175.db2.gz LBABLXJJSRIYNO-QSOAKEGCSA-N 1 2 317.364 1.785 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cc(C#N)ccc1F ZINC000888377365 709292100 /nfs/dbraw/zinc/29/21/00/709292100.db2.gz UTCCYNVXFNJTFZ-ZDUSSCGKSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1cc(C#N)ccc1F ZINC000888377365 709292101 /nfs/dbraw/zinc/29/21/01/709292101.db2.gz UTCCYNVXFNJTFZ-ZDUSSCGKSA-N 1 2 320.368 1.930 20 30 DDEDLO Cc1nc(N2CCN(C(=O)Nc3ccc(C#N)cn3)CC2)cc[nH+]1 ZINC000889233589 709465427 /nfs/dbraw/zinc/46/54/27/709465427.db2.gz XTMJYCXBUWVHOQ-UHFFFAOYSA-N 1 2 323.360 1.406 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Nc1ccc(C#N)cn1 ZINC000889235927 709465804 /nfs/dbraw/zinc/46/58/04/709465804.db2.gz PKTFPKAZMBBNDK-OCCSQVGLSA-N 1 2 315.377 1.280 20 30 DDEDLO C=CCn1cc(C(=O)N2CC3(CC[N@@H+]3Cc3ccccc3)C2)nn1 ZINC000910779461 710073698 /nfs/dbraw/zinc/07/36/98/710073698.db2.gz UBNBZZHFVIBJSL-UHFFFAOYSA-N 1 2 323.400 1.565 20 30 DDEDLO C=CCn1cc(C(=O)N2CC3(CC[N@H+]3Cc3ccccc3)C2)nn1 ZINC000910779461 710073700 /nfs/dbraw/zinc/07/37/00/710073700.db2.gz UBNBZZHFVIBJSL-UHFFFAOYSA-N 1 2 323.400 1.565 20 30 DDEDLO CC[C@H](C(=O)NCC#C[C@@H]1CCCCO1)[N@@H+]1CCO[C@H](CC)C1 ZINC000891117902 710098507 /nfs/dbraw/zinc/09/85/07/710098507.db2.gz ZHKFKPCIFPIRNP-IXDOHACOSA-N 1 2 322.449 1.565 20 30 DDEDLO CC[C@H](C(=O)NCC#C[C@@H]1CCCCO1)[N@H+]1CCO[C@H](CC)C1 ZINC000891117902 710098512 /nfs/dbraw/zinc/09/85/12/710098512.db2.gz ZHKFKPCIFPIRNP-IXDOHACOSA-N 1 2 322.449 1.565 20 30 DDEDLO C=CCCC[C@@H](NC(=O)/C=C(/C)C[NH+]1CCOCC1)C(=O)OC ZINC000928323698 713176059 /nfs/dbraw/zinc/17/60/59/713176059.db2.gz RPQWDQWZWQAKCL-IKESIWSLSA-N 1 2 324.421 1.279 20 30 DDEDLO N#Cc1cccc(N2CCN(c3nccn4c[nH+]cc34)CC2)n1 ZINC000893180941 710567465 /nfs/dbraw/zinc/56/74/65/710567465.db2.gz GYYUKYKLLIHJKA-UHFFFAOYSA-N 1 2 305.345 1.323 20 30 DDEDLO COC(=O)c1[nH]c2ccccc2c1C[NH+]1CCN(CC#N)CC1 ZINC000893991573 710913773 /nfs/dbraw/zinc/91/37/73/710913773.db2.gz DQEOXGXDXHQVFI-UHFFFAOYSA-N 1 2 312.373 1.596 20 30 DDEDLO N#Cc1ccc(CCC(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)cc1 ZINC000913444202 713218420 /nfs/dbraw/zinc/21/84/20/713218420.db2.gz UVFZAZWHMKOBSQ-INIZCTEOSA-N 1 2 309.373 1.387 20 30 DDEDLO N#Cc1c(F)cc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)cc1F ZINC000913452787 713221729 /nfs/dbraw/zinc/22/17/29/713221729.db2.gz FTAOKUCSQORQRY-CQSZACIVSA-N 1 2 317.299 1.346 20 30 DDEDLO CCOc1cc(C[NH2+][C@@H]2CCCN(O)C2=O)c(F)cc1OC ZINC000895156405 711434945 /nfs/dbraw/zinc/43/49/45/711434945.db2.gz CDIBCZFHLTUWJI-GFCCVEGCSA-N 1 2 312.341 1.703 20 30 DDEDLO C=CCC1(O)CCN(c2cc(N[C@@H](CC)CO)[nH+]cn2)CC1 ZINC000895229831 711458633 /nfs/dbraw/zinc/45/86/33/711458633.db2.gz KXHNJRHMXCSHBT-ZDUSSCGKSA-N 1 2 306.410 1.567 20 30 DDEDLO C=CCC1(O)CCN(c2cc(N[C@@H](CC)CO)nc[nH+]2)CC1 ZINC000895229831 711458635 /nfs/dbraw/zinc/45/86/35/711458635.db2.gz KXHNJRHMXCSHBT-ZDUSSCGKSA-N 1 2 306.410 1.567 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)c1cccc(C#CCO)c1 ZINC000913756726 713296139 /nfs/dbraw/zinc/29/61/39/713296139.db2.gz FVPFXFMTSPCGNC-IBGZPJMESA-N 1 2 320.392 1.947 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)c1cccc(C#CCO)c1 ZINC000913756726 713296140 /nfs/dbraw/zinc/29/61/40/713296140.db2.gz FVPFXFMTSPCGNC-IBGZPJMESA-N 1 2 320.392 1.947 20 30 DDEDLO C/C(=C\C(=O)NCC1CCC(C#N)CC1)C[NH+]1CCOCC1 ZINC000907991898 712661620 /nfs/dbraw/zinc/66/16/20/712661620.db2.gz BGQOHHJTBGGIRM-GXDHUFHOSA-N 1 2 305.422 1.711 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000908649578 712828730 /nfs/dbraw/zinc/82/87/30/712828730.db2.gz AOPQYHSVTMLBKJ-KBPBESRZSA-N 1 2 301.390 1.972 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+][C@@H](CO)[C@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000916576805 713458943 /nfs/dbraw/zinc/45/89/43/713458943.db2.gz CAYOJPZYVCEKAV-BYCMXARLSA-N 1 2 318.333 1.022 20 30 DDEDLO Cn1cc([C@@H](c2cccc(F)c2)[N@H+](C)C[C@H](O)CC#N)cn1 ZINC000929682569 713667912 /nfs/dbraw/zinc/66/79/12/713667912.db2.gz TWIMHWJRFFQMAP-HZPDHXFCSA-N 1 2 302.353 1.855 20 30 DDEDLO Cn1cc([C@@H](c2cccc(F)c2)[N@@H+](C)C[C@H](O)CC#N)cn1 ZINC000929682569 713667914 /nfs/dbraw/zinc/66/79/14/713667914.db2.gz TWIMHWJRFFQMAP-HZPDHXFCSA-N 1 2 302.353 1.855 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2cccnc2Cl)CC1 ZINC000921439508 713771714 /nfs/dbraw/zinc/77/17/14/713771714.db2.gz BTRNXJGXQFGVSG-UHFFFAOYSA-N 1 2 313.810 1.111 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@H](O)C2(O)CCCC2)C1 ZINC000930923515 713960852 /nfs/dbraw/zinc/96/08/52/713960852.db2.gz MIZOPSHHGXPRHG-DLBZAZTESA-N 1 2 315.417 1.605 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@H](O)C2(O)CCCC2)C1 ZINC000930923515 713960853 /nfs/dbraw/zinc/96/08/53/713960853.db2.gz MIZOPSHHGXPRHG-DLBZAZTESA-N 1 2 315.417 1.605 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@H](O)C2(O)CCC2)C1 ZINC000930924884 713961358 /nfs/dbraw/zinc/96/13/58/713961358.db2.gz XBSWHLADCYIGHA-JKSUJKDBSA-N 1 2 301.390 1.215 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@H](O)C2(O)CCC2)C1 ZINC000930924884 713961361 /nfs/dbraw/zinc/96/13/61/713961361.db2.gz XBSWHLADCYIGHA-JKSUJKDBSA-N 1 2 301.390 1.215 20 30 DDEDLO Cc1ccc(C[NH2+]Cc2cn(C[C@@H]3CCOC3)nn2)cc1C#N ZINC000922760021 714139976 /nfs/dbraw/zinc/13/99/76/714139976.db2.gz NDSNSASEGUFGDC-HNNXBMFYSA-N 1 2 311.389 1.785 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000932054538 714247936 /nfs/dbraw/zinc/24/79/36/714247936.db2.gz JREAYEUIMNOUIC-UONOGXRCSA-N 1 2 301.390 1.706 20 30 DDEDLO CN(C[C@](C)(O)C[N@@H+]1CCC[C@H](C#N)C1)C(=O)OC(C)(C)C ZINC000932154923 714272823 /nfs/dbraw/zinc/27/28/23/714272823.db2.gz RTPZVFRDDYZMOO-CJNGLKHVSA-N 1 2 311.426 1.840 20 30 DDEDLO CN(C[C@](C)(O)C[N@H+]1CCC[C@H](C#N)C1)C(=O)OC(C)(C)C ZINC000932154923 714272826 /nfs/dbraw/zinc/27/28/26/714272826.db2.gz RTPZVFRDDYZMOO-CJNGLKHVSA-N 1 2 311.426 1.840 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1sc2c(c1C(N)=O)CCC2)C(C)C ZINC000932390711 714322042 /nfs/dbraw/zinc/32/20/42/714322042.db2.gz PPKKGLIXRZYVGV-UHFFFAOYSA-N 1 2 319.430 1.618 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1sc2c(c1C(N)=O)CCC2)C(C)C ZINC000932390711 714322043 /nfs/dbraw/zinc/32/20/43/714322043.db2.gz PPKKGLIXRZYVGV-UHFFFAOYSA-N 1 2 319.430 1.618 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(F)(F)C2CCOCC2)C1 ZINC000923563696 714406260 /nfs/dbraw/zinc/40/62/60/714406260.db2.gz KEUCWZRRAQYULN-ZDUSSCGKSA-N 1 2 300.349 1.262 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(F)(F)C2CCOCC2)C1 ZINC000923563696 714406261 /nfs/dbraw/zinc/40/62/61/714406261.db2.gz KEUCWZRRAQYULN-ZDUSSCGKSA-N 1 2 300.349 1.262 20 30 DDEDLO C#CCN1CCC[C@@H](NC(=O)NCCNc2cccc(C)[nH+]2)C1 ZINC000924034162 714512050 /nfs/dbraw/zinc/51/20/50/714512050.db2.gz AYBVBBWHQGXYOZ-OAHLLOKOSA-N 1 2 315.421 1.199 20 30 DDEDLO C#CC[C@H](CO)NC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000924036573 714512357 /nfs/dbraw/zinc/51/23/57/714512357.db2.gz RDDHPQJRUPIVAE-CVEARBPZSA-N 1 2 312.373 1.308 20 30 DDEDLO CC(C)OC[C@@H](O)C[N@@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933625597 714633832 /nfs/dbraw/zinc/63/38/32/714633832.db2.gz QUKVLGRYQNNRIR-IRXDYDNUSA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@@H](O)C[N@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933625597 714633833 /nfs/dbraw/zinc/63/38/33/714633833.db2.gz QUKVLGRYQNNRIR-IRXDYDNUSA-N 1 2 304.390 1.717 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@H+](C[C@@H](O)CC3(O)CCC3)CCO2)cc1 ZINC000933633067 714635005 /nfs/dbraw/zinc/63/50/05/714635005.db2.gz VABDWXQHEVRHEL-DLBZAZTESA-N 1 2 316.401 1.598 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@@H+](C[C@@H](O)CC3(O)CCC3)CCO2)cc1 ZINC000933633067 714635006 /nfs/dbraw/zinc/63/50/06/714635006.db2.gz VABDWXQHEVRHEL-DLBZAZTESA-N 1 2 316.401 1.598 20 30 DDEDLO C#Cc1ccc(NC(=O)NC(C)(C)C[NH+]2CCOCC2)cc1 ZINC000934929485 714931683 /nfs/dbraw/zinc/93/16/83/714931683.db2.gz ZRUGZPRSXPBKDZ-UHFFFAOYSA-N 1 2 301.390 1.900 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+]([C@H]2CCN(C(=O)C3CC3)C2)CC1 ZINC000956598548 715483954 /nfs/dbraw/zinc/48/39/54/715483954.db2.gz FJYUMNJGZDQVJX-HNNXBMFYSA-N 1 2 319.449 1.354 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn3c2C[C@H](C)CC3)C1 ZINC000957333664 715843564 /nfs/dbraw/zinc/84/35/64/715843564.db2.gz DRVXRRFMPDBLSO-CQSZACIVSA-N 1 2 314.433 1.635 20 30 DDEDLO CC(C)n1cc(C[N@H+](C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)nn1 ZINC000960500498 716582710 /nfs/dbraw/zinc/58/27/10/716582710.db2.gz GRILRXMAMRXADC-HIFRSBDPSA-N 1 2 318.425 1.441 20 30 DDEDLO CC(C)n1cc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)nn1 ZINC000960500498 716582714 /nfs/dbraw/zinc/58/27/14/716582714.db2.gz GRILRXMAMRXADC-HIFRSBDPSA-N 1 2 318.425 1.441 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CCN(CC#N)CC2(C)C)c[nH+]1 ZINC000940835203 716982965 /nfs/dbraw/zinc/98/29/65/716982965.db2.gz AAIHNWMSZPRAEU-AWEZNQCLSA-N 1 2 317.437 1.667 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCCC3(C)C)CC2)C1 ZINC000941525384 717193648 /nfs/dbraw/zinc/19/36/48/717193648.db2.gz YWEZYBQVFHIRDO-KRWDZBQOSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@]3(C)C=CCC3)CC2)C1 ZINC000941526111 717194248 /nfs/dbraw/zinc/19/42/48/717194248.db2.gz ZNMZBCKTXLUWSN-GOSISDBHSA-N 1 2 301.434 1.194 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cccc4c3CCC4)CC2)C1 ZINC000941680821 717254235 /nfs/dbraw/zinc/25/42/35/717254235.db2.gz GFRMWCQPUKVMRW-UHFFFAOYSA-N 1 2 323.440 1.251 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CCN(CC#N)C[C@@H]3C)ccn12 ZINC000942052723 717451814 /nfs/dbraw/zinc/45/18/14/717451814.db2.gz HCDNYKIFWASSEY-WFASDCNBSA-N 1 2 311.389 1.607 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cnn(C)n3)C[C@@H]2C)C1 ZINC000942601995 717772790 /nfs/dbraw/zinc/77/27/90/717772790.db2.gz BRJUVAUPLADWGG-DZGCQCFKSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cnn(C)n3)C[C@@H]2C)C1 ZINC000942601995 717772796 /nfs/dbraw/zinc/77/27/96/717772796.db2.gz BRJUVAUPLADWGG-DZGCQCFKSA-N 1 2 317.437 1.498 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000943568843 718144573 /nfs/dbraw/zinc/14/45/73/718144573.db2.gz ACAVRJAHGFHRES-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H]1CN(CC#N)CC[C@@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC000944121979 718258059 /nfs/dbraw/zinc/25/80/59/718258059.db2.gz NSLCXXXUOJPVCJ-ZFWWWQNUSA-N 1 2 324.388 1.231 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccon3)C[C@H]2C)nc1 ZINC000944192929 718269257 /nfs/dbraw/zinc/26/92/57/718269257.db2.gz OZAAADYNTDITMC-CZUORRHYSA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccon3)C[C@H]2C)nc1 ZINC000944192929 718269258 /nfs/dbraw/zinc/26/92/58/718269258.db2.gz OZAAADYNTDITMC-CZUORRHYSA-N 1 2 324.384 1.691 20 30 DDEDLO CN(C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)[C@@H]1CCCN(CC#N)CC1 ZINC000948451581 719505991 /nfs/dbraw/zinc/50/59/91/719505991.db2.gz BNTCPBMPWSVUID-MGPQQGTHSA-N 1 2 301.394 1.350 20 30 DDEDLO CN(C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)[C@@H]1CCCN(CC#N)CC1 ZINC000948451581 719505994 /nfs/dbraw/zinc/50/59/94/719505994.db2.gz BNTCPBMPWSVUID-MGPQQGTHSA-N 1 2 301.394 1.350 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC000968508572 719632070 /nfs/dbraw/zinc/63/20/70/719632070.db2.gz BIVHFPGWSDAPEG-GXFFZTMASA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC000968508572 719632073 /nfs/dbraw/zinc/63/20/73/719632073.db2.gz BIVHFPGWSDAPEG-GXFFZTMASA-N 1 2 324.812 1.678 20 30 DDEDLO CCCCc1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000968627073 719699444 /nfs/dbraw/zinc/69/94/44/719699444.db2.gz LMLMEOYXYOBCES-CQSZACIVSA-N 1 2 316.405 1.516 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000948950847 719798616 /nfs/dbraw/zinc/79/86/16/719798616.db2.gz OYNHXACTCBBADH-XDNAFOTISA-N 1 2 310.397 1.228 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000948950847 719798619 /nfs/dbraw/zinc/79/86/19/719798619.db2.gz OYNHXACTCBBADH-XDNAFOTISA-N 1 2 310.397 1.228 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@]34C[C@H]3CCC4)CC2)C1 ZINC000949420318 720075907 /nfs/dbraw/zinc/07/59/07/720075907.db2.gz YVOASOJGRMXMIT-CRAIPNDOSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@]34C[C@H]3CCC4)CC2)C1 ZINC000949420318 720075914 /nfs/dbraw/zinc/07/59/14/720075914.db2.gz YVOASOJGRMXMIT-CRAIPNDOSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3csc(C)c3)CC2)C1 ZINC000949493597 720120960 /nfs/dbraw/zinc/12/09/60/720120960.db2.gz XOJPAEMELLXNQI-UHFFFAOYSA-N 1 2 318.442 1.997 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3csc(C)c3)CC2)C1 ZINC000949493597 720120964 /nfs/dbraw/zinc/12/09/64/720120964.db2.gz XOJPAEMELLXNQI-UHFFFAOYSA-N 1 2 318.442 1.997 20 30 DDEDLO C=C(C)CC[NH+]1CCN(C(=O)C[C@H]2CCCS2(=O)=O)CC1 ZINC000949494451 720121967 /nfs/dbraw/zinc/12/19/67/720121967.db2.gz OZDDJIURDRRERO-CQSZACIVSA-N 1 2 314.451 1.064 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@@H](C)C3CC3)CC2)C1 ZINC000949531892 720143918 /nfs/dbraw/zinc/14/39/18/720143918.db2.gz SNCAUHUZHBKFAX-OAHLLOKOSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@@H](C)C3CC3)CC2)C1 ZINC000949531892 720143922 /nfs/dbraw/zinc/14/39/22/720143922.db2.gz SNCAUHUZHBKFAX-OAHLLOKOSA-N 1 2 304.434 1.749 20 30 DDEDLO C[C@H](NC(=O)c1ccc(C#N)[nH]1)C1C[NH+](Cc2ccns2)C1 ZINC000969513921 720170231 /nfs/dbraw/zinc/17/02/31/720170231.db2.gz INGGBGHPHILFEP-JTQLQIEISA-N 1 2 315.402 1.593 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2nn(C)cc2Cl)C1 ZINC000969740378 720273332 /nfs/dbraw/zinc/27/33/32/720273332.db2.gz NUMDZGPYNUPGMF-VIFPVBQESA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)N(C(C)(C)C)C2)C1 ZINC000950458305 720641716 /nfs/dbraw/zinc/64/17/16/720641716.db2.gz UNAGMIDCWLNABN-CQSZACIVSA-N 1 2 321.465 1.742 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(-c3ccccc3)nn2)C1 ZINC000950705323 720741704 /nfs/dbraw/zinc/74/17/04/720741704.db2.gz WDGPUDHHGAYERV-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@H](C)C2C[NH+](Cc3ccccc3C#N)C2)n1 ZINC000970555807 720814662 /nfs/dbraw/zinc/81/46/62/720814662.db2.gz YWZMDPOBICJWGW-CYBMUJFWSA-N 1 2 323.400 1.840 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2c3c[nH]nc3CC[C@H]2C)C1 ZINC000950923825 720830739 /nfs/dbraw/zinc/83/07/39/720830739.db2.gz JQMBNZNSYFISSV-MLGOLLRUSA-N 1 2 302.422 1.794 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000951531467 721060675 /nfs/dbraw/zinc/06/06/75/721060675.db2.gz LATHPKOOJNOSFW-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H](C)OC)C1 ZINC000971159519 721146652 /nfs/dbraw/zinc/14/66/52/721146652.db2.gz ZIPHIPCDTOCLCC-WDEREUQCSA-N 1 2 305.216 1.463 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@H](C)OC)C1 ZINC000971159519 721146654 /nfs/dbraw/zinc/14/66/54/721146654.db2.gz ZIPHIPCDTOCLCC-WDEREUQCSA-N 1 2 305.216 1.463 20 30 DDEDLO C=CCCn1cc(C(=O)N(CC)C2C[NH+](C[C@@H](C)OC)C2)nn1 ZINC000952429456 721446894 /nfs/dbraw/zinc/44/68/94/721446894.db2.gz NMUVGBVYISYFBJ-CYBMUJFWSA-N 1 2 321.425 1.035 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC001010457321 732361683 /nfs/dbraw/zinc/36/16/83/732361683.db2.gz KWBOEHHCMDSPLW-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC001010457321 732361690 /nfs/dbraw/zinc/36/16/90/732361690.db2.gz KWBOEHHCMDSPLW-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2C)C[C@H]1C ZINC001208964100 732552797 /nfs/dbraw/zinc/55/27/97/732552797.db2.gz SDUGFKPPVDWQDA-TZMCWYRMSA-N 1 2 305.426 1.354 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2C)C[C@H]1C ZINC001208964100 732552800 /nfs/dbraw/zinc/55/28/00/732552800.db2.gz SDUGFKPPVDWQDA-TZMCWYRMSA-N 1 2 305.426 1.354 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)c1ccccc1 ZINC001083313937 738724344 /nfs/dbraw/zinc/72/43/44/738724344.db2.gz KLXQCGYNALZHKZ-MOPGFXCFSA-N 1 2 320.392 1.513 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)c1ccccc1 ZINC001083313937 738724345 /nfs/dbraw/zinc/72/43/45/738724345.db2.gz KLXQCGYNALZHKZ-MOPGFXCFSA-N 1 2 320.392 1.513 20 30 DDEDLO C[C@H](CCCNc1ccc(C#N)nc1)NC(=O)Cn1cc[nH+]c1 ZINC001114957992 733723429 /nfs/dbraw/zinc/72/34/29/733723429.db2.gz UBOPPJUAWHZXOJ-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001027920058 738809784 /nfs/dbraw/zinc/80/97/84/738809784.db2.gz FOQXGJOVZGWQOR-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001027920058 738809789 /nfs/dbraw/zinc/80/97/89/738809789.db2.gz FOQXGJOVZGWQOR-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO CC(C)(C#N)C(=O)N1Cc2c[nH+]cn2C[C@H](COCC2CC2)C1 ZINC001143398688 734411094 /nfs/dbraw/zinc/41/10/94/734411094.db2.gz XJZKNXNHRFUOFL-CQSZACIVSA-N 1 2 316.405 1.818 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4[nH]c(C)nc4c3)[C@H]2C1 ZINC001083233668 734604314 /nfs/dbraw/zinc/60/43/14/734604314.db2.gz NBIZCNRAPSVANM-DLBZAZTESA-N 1 2 324.384 1.030 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4[nH]c(C)nc4c3)[C@H]2C1 ZINC001083233668 734604316 /nfs/dbraw/zinc/60/43/16/734604316.db2.gz NBIZCNRAPSVANM-DLBZAZTESA-N 1 2 324.384 1.030 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ncoc3C3CC3)[C@H]2C1 ZINC001083240414 734689400 /nfs/dbraw/zinc/68/94/00/734689400.db2.gz GEHFAKSXGPTSLW-QWHCGFSZSA-N 1 2 303.362 1.263 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3ncoc3C3CC3)[C@H]2C1 ZINC001083240414 734689402 /nfs/dbraw/zinc/68/94/02/734689402.db2.gz GEHFAKSXGPTSLW-QWHCGFSZSA-N 1 2 303.362 1.263 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)c(C)c(C)[nH+]1 ZINC001067742477 734743552 /nfs/dbraw/zinc/74/35/52/734743552.db2.gz MKOBQDSOYYUVGC-XHDPSFHLSA-N 1 2 315.421 1.989 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc(OCC)n[nH]1 ZINC001027961621 738871257 /nfs/dbraw/zinc/87/12/57/738871257.db2.gz XEDNWFHMMOFBNA-LLVKDONJSA-N 1 2 312.801 1.755 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(OCC)n[nH]1 ZINC001027961621 738871263 /nfs/dbraw/zinc/87/12/63/738871263.db2.gz XEDNWFHMMOFBNA-LLVKDONJSA-N 1 2 312.801 1.755 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@H+](C)Cc3nocc3C)C2)nc1 ZINC000972755374 735600027 /nfs/dbraw/zinc/60/00/27/735600027.db2.gz FGNQRKICJLUEBD-HNNXBMFYSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@@H+](C)Cc3nocc3C)C2)nc1 ZINC000972755374 735600029 /nfs/dbraw/zinc/60/00/29/735600029.db2.gz FGNQRKICJLUEBD-HNNXBMFYSA-N 1 2 324.384 1.706 20 30 DDEDLO C=CC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001024438476 735827597 /nfs/dbraw/zinc/82/75/97/735827597.db2.gz PABXZOVNLBUBEX-ZIAGYGMSSA-N 1 2 302.422 1.986 20 30 DDEDLO C=CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001024438476 735827601 /nfs/dbraw/zinc/82/76/01/735827601.db2.gz PABXZOVNLBUBEX-ZIAGYGMSSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cn(C)c(=O)cn1 ZINC001024566240 735947263 /nfs/dbraw/zinc/94/72/63/735947263.db2.gz WWENXJXDBVUGRJ-GFCCVEGCSA-N 1 2 324.812 1.117 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cn(C)c(=O)cn1 ZINC001024566240 735947267 /nfs/dbraw/zinc/94/72/67/735947267.db2.gz WWENXJXDBVUGRJ-GFCCVEGCSA-N 1 2 324.812 1.117 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCCCN1CC#N ZINC001024659181 736008524 /nfs/dbraw/zinc/00/85/24/736008524.db2.gz AFEOEKKRKJWLQU-BFHYXJOUSA-N 1 2 301.394 1.018 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H]1CNC(=O)c1cn[nH]n1 ZINC001027991406 738914010 /nfs/dbraw/zinc/91/40/10/738914010.db2.gz BYAIIOXKSFNNRQ-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H]1CNC(=O)c1cn[nH]n1 ZINC001027991406 738914013 /nfs/dbraw/zinc/91/40/13/738914013.db2.gz BYAIIOXKSFNNRQ-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]2[C@@H](NC(=O)c3nnc[nH]3)C(C)(C)[C@H]21 ZINC001087308555 736033124 /nfs/dbraw/zinc/03/31/24/736033124.db2.gz SEXYOOMHPMNSMM-UTUOFQBUSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]2[C@@H](NC(=O)c3ncn[nH]3)C(C)(C)[C@H]21 ZINC001087308555 736033128 /nfs/dbraw/zinc/03/31/28/736033128.db2.gz SEXYOOMHPMNSMM-UTUOFQBUSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]2[C@@H](NC(=O)c3ncn[nH]3)C(C)(C)[C@H]21 ZINC001087308555 736033130 /nfs/dbraw/zinc/03/31/30/736033130.db2.gz SEXYOOMHPMNSMM-UTUOFQBUSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1ccc(OC)nn1 ZINC001024744978 736060466 /nfs/dbraw/zinc/06/04/66/736060466.db2.gz KQJAZOWWZZLQMM-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccc(OC)nn1 ZINC001024744978 736060468 /nfs/dbraw/zinc/06/04/68/736060468.db2.gz KQJAZOWWZZLQMM-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO Cc1nsc(NC[C@@H](C)N(C)C(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001104649675 736488223 /nfs/dbraw/zinc/48/82/23/736488223.db2.gz IXVSFHVJTQFRDI-SECBINFHSA-N 1 2 318.406 1.548 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)c3ccoc3)C2)nn1 ZINC001098611866 737364010 /nfs/dbraw/zinc/36/40/10/737364010.db2.gz TZEJKDVURSQREO-HNNXBMFYSA-N 1 2 313.361 1.071 20 30 DDEDLO CC(C)[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1ncccc1C#N ZINC001105214146 737616271 /nfs/dbraw/zinc/61/62/71/737616271.db2.gz DBFUUMVMXTZEBW-CYBMUJFWSA-N 1 2 312.377 1.472 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)CCCC)C2)nn1 ZINC001105225711 737632574 /nfs/dbraw/zinc/63/25/74/737632574.db2.gz ZXRHZAXFTJBQRC-CYBMUJFWSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nc(CC(C)C)no1 ZINC001125934702 737709028 /nfs/dbraw/zinc/70/90/28/737709028.db2.gz FRDWSSNROZWMRP-UHFFFAOYSA-N 1 2 306.410 1.668 20 30 DDEDLO CC(C)n1cc(C[N@H+](C)[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)nn1 ZINC001027337212 738209289 /nfs/dbraw/zinc/20/92/89/738209289.db2.gz ZZKIGOSVUQWMCV-HIFRSBDPSA-N 1 2 318.425 1.441 20 30 DDEDLO CC(C)n1cc(C[N@@H+](C)[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)nn1 ZINC001027337212 738209292 /nfs/dbraw/zinc/20/92/92/738209292.db2.gz ZZKIGOSVUQWMCV-HIFRSBDPSA-N 1 2 318.425 1.441 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](CC)CCC)C2)nn1 ZINC001105354598 738992251 /nfs/dbraw/zinc/99/22/51/738992251.db2.gz PLRRYRVEGOWARP-CYBMUJFWSA-N 1 2 303.410 1.211 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3ccnc(Cl)c3)C[C@H]21 ZINC001075619604 739217376 /nfs/dbraw/zinc/21/73/76/739217376.db2.gz JLVSDHMKZAJVCX-KWCYVHTRSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3ccnc(Cl)c3)C[C@H]21 ZINC001075619604 739217379 /nfs/dbraw/zinc/21/73/79/739217379.db2.gz JLVSDHMKZAJVCX-KWCYVHTRSA-N 1 2 318.808 1.927 20 30 DDEDLO N#Cc1c(F)cccc1N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001058946530 739286593 /nfs/dbraw/zinc/28/65/93/739286593.db2.gz IVMBZEXISSLAPT-NSHDSACASA-N 1 2 313.336 1.676 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCCO[C@H]2C(C)C)C1 ZINC001035370125 751434564 /nfs/dbraw/zinc/43/45/64/751434564.db2.gz IDBMMGWIZSPWCO-IKGGRYGDSA-N 1 2 324.465 1.831 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCCO[C@H]2C(C)C)C1 ZINC001035370125 751434566 /nfs/dbraw/zinc/43/45/66/751434566.db2.gz IDBMMGWIZSPWCO-IKGGRYGDSA-N 1 2 324.465 1.831 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccnn2CC2CCC2)C1 ZINC001035372321 751435927 /nfs/dbraw/zinc/43/59/27/751435927.db2.gz AJZYXHOLWOJZQA-OAHLLOKOSA-N 1 2 318.421 1.300 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccnn2CC2CCC2)C1 ZINC001035372321 751435934 /nfs/dbraw/zinc/43/59/34/751435934.db2.gz AJZYXHOLWOJZQA-OAHLLOKOSA-N 1 2 318.421 1.300 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3nc(C)oc3c2)C1 ZINC001035403748 751488158 /nfs/dbraw/zinc/48/81/58/751488158.db2.gz HRGZMJXKWMRZMI-AWEZNQCLSA-N 1 2 315.373 1.753 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3nc(C)oc3c2)C1 ZINC001035403748 751488161 /nfs/dbraw/zinc/48/81/61/751488161.db2.gz HRGZMJXKWMRZMI-AWEZNQCLSA-N 1 2 315.373 1.753 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3C/C=C/Cl)c1 ZINC001029260699 740500264 /nfs/dbraw/zinc/50/02/64/740500264.db2.gz TXXCLXHYDKFASW-VRNIZTMPSA-N 1 2 304.781 1.928 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3C/C=C/Cl)c1 ZINC001029260699 740500266 /nfs/dbraw/zinc/50/02/66/740500266.db2.gz TXXCLXHYDKFASW-VRNIZTMPSA-N 1 2 304.781 1.928 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)CCCC2CC2)[C@@H](n2ccnn2)C1 ZINC001129184470 751555722 /nfs/dbraw/zinc/55/57/22/751555722.db2.gz FVNOZPVPBYWXAX-CVEARBPZSA-N 1 2 315.421 1.223 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)CCCC2CC2)[C@@H](n2ccnn2)C1 ZINC001129184470 751555723 /nfs/dbraw/zinc/55/57/23/751555723.db2.gz FVNOZPVPBYWXAX-CVEARBPZSA-N 1 2 315.421 1.223 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)[C@H]1CC ZINC001087572207 740660697 /nfs/dbraw/zinc/66/06/97/740660697.db2.gz HKRUDXFMPPJQGI-UHOFOFEASA-N 1 2 300.406 1.432 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)[C@H]1CC ZINC001087572207 740660699 /nfs/dbraw/zinc/66/06/99/740660699.db2.gz HKRUDXFMPPJQGI-UHOFOFEASA-N 1 2 300.406 1.432 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)[C@@H]2CC)c1 ZINC001087718779 740719834 /nfs/dbraw/zinc/71/98/34/740719834.db2.gz IYBDQWMNDGGIDX-DLBZAZTESA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)[C@@H]2CC)c1 ZINC001087718779 740719835 /nfs/dbraw/zinc/71/98/35/740719835.db2.gz IYBDQWMNDGGIDX-DLBZAZTESA-N 1 2 324.384 1.834 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098709245 740799341 /nfs/dbraw/zinc/79/93/41/740799341.db2.gz DWOUFSXEXCCMNG-OAHLLOKOSA-N 1 2 316.405 1.252 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NC1CC1 ZINC001029453995 740826570 /nfs/dbraw/zinc/82/65/70/740826570.db2.gz PILCSPSUQOLFQO-DAYGRLMNSA-N 1 2 319.449 1.543 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NC1CC1 ZINC001029453995 740826572 /nfs/dbraw/zinc/82/65/72/740826572.db2.gz PILCSPSUQOLFQO-DAYGRLMNSA-N 1 2 319.449 1.543 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@@H](CC)C(N)=O ZINC001029456740 740834957 /nfs/dbraw/zinc/83/49/57/740834957.db2.gz PJYRMNAVTFZQBG-LOUJCGABSA-N 1 2 307.438 1.528 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@@H](CC)C(N)=O ZINC001029456740 740834961 /nfs/dbraw/zinc/83/49/61/740834961.db2.gz PJYRMNAVTFZQBG-LOUJCGABSA-N 1 2 307.438 1.528 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@@H]3C2)o1 ZINC001087911310 740849888 /nfs/dbraw/zinc/84/98/88/740849888.db2.gz QITVDBFVBBFKIR-XQQFMLRXSA-N 1 2 317.393 1.072 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@@H]3C2)o1 ZINC001087911310 740849892 /nfs/dbraw/zinc/84/98/92/740849892.db2.gz QITVDBFVBBFKIR-XQQFMLRXSA-N 1 2 317.393 1.072 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCc4[nH]ncc43)C[C@@H]2C1 ZINC001087967149 740895231 /nfs/dbraw/zinc/89/52/31/740895231.db2.gz LAFOFBLZHRUAKM-QLFBSQMISA-N 1 2 312.417 1.243 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCc4[nH]ncc43)C[C@@H]2C1 ZINC001087967149 740895236 /nfs/dbraw/zinc/89/52/36/740895236.db2.gz LAFOFBLZHRUAKM-QLFBSQMISA-N 1 2 312.417 1.243 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)cc3c[nH]nc32)C1 ZINC001035543472 751590253 /nfs/dbraw/zinc/59/02/53/751590253.db2.gz YCLVXGXLUFXEDR-CQSZACIVSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)cc3c[nH]nc32)C1 ZINC001035543472 751590257 /nfs/dbraw/zinc/59/02/57/751590257.db2.gz YCLVXGXLUFXEDR-CQSZACIVSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CCn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3C/C=C\Cl)nn1 ZINC001029507671 740983898 /nfs/dbraw/zinc/98/38/98/740983898.db2.gz WKDVCQSLCYNUNF-FXWHHLEPSA-N 1 2 321.812 1.505 20 30 DDEDLO C=CCn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3C/C=C\Cl)nn1 ZINC001029507671 740983899 /nfs/dbraw/zinc/98/38/99/740983899.db2.gz WKDVCQSLCYNUNF-FXWHHLEPSA-N 1 2 321.812 1.505 20 30 DDEDLO CCn1c(C)nnc1C[N@H+](C)C[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001029679003 741220312 /nfs/dbraw/zinc/22/03/12/741220312.db2.gz VTGUHONXVAITPU-GXTWGEPZSA-N 1 2 318.425 1.046 20 30 DDEDLO CCn1c(C)nnc1C[N@@H+](C)C[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001029679003 741220314 /nfs/dbraw/zinc/22/03/14/741220314.db2.gz VTGUHONXVAITPU-GXTWGEPZSA-N 1 2 318.425 1.046 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001029798188 741312943 /nfs/dbraw/zinc/31/29/43/741312943.db2.gz GZGCODKBOJWROL-CQSZACIVSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001029798188 741312945 /nfs/dbraw/zinc/31/29/45/741312945.db2.gz GZGCODKBOJWROL-CQSZACIVSA-N 1 2 319.453 1.698 20 30 DDEDLO N#CCN[C@H]1CCCCCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC001088338073 741411290 /nfs/dbraw/zinc/41/12/90/741411290.db2.gz UTMFOYGLYMACBB-CABCVRRESA-N 1 2 315.421 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCc3ccccc3O2)C1 ZINC001035550900 751634684 /nfs/dbraw/zinc/63/46/84/751634684.db2.gz SBOGQKYEUFJBRU-NVXWUHKLSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCc3ccccc3O2)C1 ZINC001035550900 751634690 /nfs/dbraw/zinc/63/46/90/751634690.db2.gz SBOGQKYEUFJBRU-NVXWUHKLSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(COC)CCCC2)C1 ZINC001107981743 751639039 /nfs/dbraw/zinc/63/90/39/751639039.db2.gz DZZYMUQCECSONK-MRXNPFEDSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(COC)CCCC2)C1 ZINC001107981743 751639040 /nfs/dbraw/zinc/63/90/40/751639040.db2.gz DZZYMUQCECSONK-MRXNPFEDSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(COC)CCCCC2)C1 ZINC001107982336 751654584 /nfs/dbraw/zinc/65/45/84/751654584.db2.gz UCKXQBBELRWBBC-QGZVFWFLSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(COC)CCCCC2)C1 ZINC001107982336 751654587 /nfs/dbraw/zinc/65/45/87/751654587.db2.gz UCKXQBBELRWBBC-QGZVFWFLSA-N 1 2 324.465 1.976 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc(N(C)C)cn2)[C@H]1C ZINC001088566262 741842516 /nfs/dbraw/zinc/84/25/16/741842516.db2.gz FRPQXDYWBQFDHR-NEPJUHHUSA-N 1 2 323.828 1.488 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc(N(C)C)cn2)[C@H]1C ZINC001088566262 741842521 /nfs/dbraw/zinc/84/25/21/741842521.db2.gz FRPQXDYWBQFDHR-NEPJUHHUSA-N 1 2 323.828 1.488 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc3n(n2)CCC3)[C@H]1C ZINC001088607983 741964191 /nfs/dbraw/zinc/96/41/91/741964191.db2.gz BZYLGAZNJQAKQF-YPMHNXCESA-N 1 2 308.813 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc3n(n2)CCC3)[C@H]1C ZINC001088607983 741964192 /nfs/dbraw/zinc/96/41/92/741964192.db2.gz BZYLGAZNJQAKQF-YPMHNXCESA-N 1 2 308.813 1.774 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc3[nH]ccc3s2)C1 ZINC001035590334 751684720 /nfs/dbraw/zinc/68/47/20/751684720.db2.gz UGLKAPMMXSMCPC-GFCCVEGCSA-N 1 2 317.414 1.683 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc3[nH]ccc3s2)C1 ZINC001035590334 751684724 /nfs/dbraw/zinc/68/47/24/751684724.db2.gz UGLKAPMMXSMCPC-GFCCVEGCSA-N 1 2 317.414 1.683 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn3ccncc23)[C@H]1C ZINC001088624393 742024815 /nfs/dbraw/zinc/02/48/15/742024815.db2.gz DAHGCSJDXDSBCE-YPMHNXCESA-N 1 2 319.796 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn3ccncc23)[C@H]1C ZINC001088624393 742024819 /nfs/dbraw/zinc/02/48/19/742024819.db2.gz DAHGCSJDXDSBCE-YPMHNXCESA-N 1 2 319.796 1.674 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCN(C)c1[nH+]cnc2c1cnn2C ZINC001105535924 742107077 /nfs/dbraw/zinc/10/70/77/742107077.db2.gz CRSDXUNNUVFOFE-UHFFFAOYSA-N 1 2 316.409 1.470 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C)nc2C)C1 ZINC001035607988 751712157 /nfs/dbraw/zinc/71/21/57/751712157.db2.gz CPRMUADQDGXVSS-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C)nc2C)C1 ZINC001035607988 751712160 /nfs/dbraw/zinc/71/21/60/751712160.db2.gz CPRMUADQDGXVSS-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)cn2CC)C1 ZINC001035610976 751716325 /nfs/dbraw/zinc/71/63/25/751716325.db2.gz TULWTLKNUCFURB-ZDUSSCGKSA-N 1 2 311.813 1.778 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)cn2CC)C1 ZINC001035610976 751716330 /nfs/dbraw/zinc/71/63/30/751716330.db2.gz TULWTLKNUCFURB-ZDUSSCGKSA-N 1 2 311.813 1.778 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@H+](C[C@H](F)CC)C[C@@H]1O ZINC001083526464 742466069 /nfs/dbraw/zinc/46/60/69/742466069.db2.gz TVNNGYBHVMRGPB-NFAWXSAZSA-N 1 2 309.385 1.197 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@@H+](C[C@H](F)CC)C[C@@H]1O ZINC001083526464 742466072 /nfs/dbraw/zinc/46/60/72/742466072.db2.gz TVNNGYBHVMRGPB-NFAWXSAZSA-N 1 2 309.385 1.197 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cccc4n[nH]cc43)[C@@H]2C1 ZINC001076115024 742550743 /nfs/dbraw/zinc/55/07/43/742550743.db2.gz VRIXQGWTELLYFG-SUMWQHHRSA-N 1 2 308.385 1.733 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cccc4n[nH]cc43)[C@@H]2C1 ZINC001076115024 742550746 /nfs/dbraw/zinc/55/07/46/742550746.db2.gz VRIXQGWTELLYFG-SUMWQHHRSA-N 1 2 308.385 1.733 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098661973 742564823 /nfs/dbraw/zinc/56/48/23/742564823.db2.gz WHFLJJGFSFAIII-HNNXBMFYSA-N 1 2 321.400 1.304 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)C3CCC3)CC2)C1 ZINC001105680634 742697097 /nfs/dbraw/zinc/69/70/97/742697097.db2.gz HPDJXPKGXBVEQZ-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[NH+]1CC2(C1)CCN(C(=O)[C@@H]1CCCc3[nH]ncc31)CC2 ZINC001035706329 751792748 /nfs/dbraw/zinc/79/27/48/751792748.db2.gz MQYNZOQTKQYPDN-CQSZACIVSA-N 1 2 314.433 1.940 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2conc2C2CCCC2)C1 ZINC001077117223 743255323 /nfs/dbraw/zinc/25/53/23/743255323.db2.gz SAAORPUPNTZHBK-ZIAGYGMSSA-N 1 2 305.378 1.293 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2conc2C2CCCC2)C1 ZINC001077117223 743255326 /nfs/dbraw/zinc/25/53/26/743255326.db2.gz SAAORPUPNTZHBK-ZIAGYGMSSA-N 1 2 305.378 1.293 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1O ZINC001077139136 743266093 /nfs/dbraw/zinc/26/60/93/743266093.db2.gz YYCKDJCPSWZUES-FAQJLRJSSA-N 1 2 309.797 1.202 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1O ZINC001077139136 743266097 /nfs/dbraw/zinc/26/60/97/743266097.db2.gz YYCKDJCPSWZUES-FAQJLRJSSA-N 1 2 309.797 1.202 20 30 DDEDLO Cc1cnc(C[NH+]2CCC(NC(=O)c3ccc(C#N)[nH]3)CC2)o1 ZINC001002625957 743293982 /nfs/dbraw/zinc/29/39/82/743293982.db2.gz JUDPILPIFCZQIW-UHFFFAOYSA-N 1 2 313.361 1.577 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CCNC(=O)Cn1cc[nH+]c1 ZINC001077186639 743303875 /nfs/dbraw/zinc/30/38/75/743303875.db2.gz ISCOIAADZNBUID-KBPBESRZSA-N 1 2 306.410 1.496 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)CCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001077247622 743349237 /nfs/dbraw/zinc/34/92/37/743349237.db2.gz SQWJMWQCENCVDE-MNOVXSKESA-N 1 2 313.361 1.347 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2ncc(C(C)C)o2)C1 ZINC001182472598 743644544 /nfs/dbraw/zinc/64/45/44/743644544.db2.gz FYAOJZRVIAXGCY-ZIAGYGMSSA-N 1 2 319.405 1.527 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2cnc(CC3CC3)s2)[C@@H](O)C1 ZINC001083663736 743705500 /nfs/dbraw/zinc/70/55/00/743705500.db2.gz LIRFRGJPAVIZQN-OLZOCXBDSA-N 1 2 321.446 1.447 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2cnc(CC3CC3)s2)[C@@H](O)C1 ZINC001083663736 743705505 /nfs/dbraw/zinc/70/55/05/743705505.db2.gz LIRFRGJPAVIZQN-OLZOCXBDSA-N 1 2 321.446 1.447 20 30 DDEDLO C=CCOCC[NH2+]C[C@]1(C)CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001182741563 743714777 /nfs/dbraw/zinc/71/47/77/743714777.db2.gz PUTLLPYYYZADBT-RHSMWYFYSA-N 1 2 320.437 1.229 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001182897843 743748530 /nfs/dbraw/zinc/74/85/30/743748530.db2.gz HISPYKFQJSLVQD-GFCCVEGCSA-N 1 2 306.410 1.925 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](O)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001105797154 743885013 /nfs/dbraw/zinc/88/50/13/743885013.db2.gz VRMFRBRSRWCMHQ-AWEZNQCLSA-N 1 2 316.405 1.355 20 30 DDEDLO C[C@H](CC(=O)NCC1(Nc2ncccc2C#N)CC1)n1cc[nH+]c1 ZINC001110361422 744209708 /nfs/dbraw/zinc/20/97/08/744209708.db2.gz LJUIKYJHVGSFDF-CYBMUJFWSA-N 1 2 324.388 1.862 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C(N)=O)co2)[C@H]1C ZINC001088850102 744211921 /nfs/dbraw/zinc/21/19/21/744211921.db2.gz ACWRKJQTDFOFRK-KOLCDFICSA-N 1 2 311.769 1.324 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C(N)=O)co2)[C@H]1C ZINC001088850102 744211918 /nfs/dbraw/zinc/21/19/18/744211918.db2.gz ACWRKJQTDFOFRK-KOLCDFICSA-N 1 2 311.769 1.324 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CCC[N@H+](CC#Cc3ccccc3)C2)[nH]n1 ZINC001006847934 751910530 /nfs/dbraw/zinc/91/05/30/751910530.db2.gz VDASTYZLOLOZQS-QGZVFWFLSA-N 1 2 322.412 1.964 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)[nH]n1 ZINC001006847934 751910532 /nfs/dbraw/zinc/91/05/32/751910532.db2.gz VDASTYZLOLOZQS-QGZVFWFLSA-N 1 2 322.412 1.964 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)c3ccccc3)C2)nn1 ZINC001185863826 744302288 /nfs/dbraw/zinc/30/22/88/744302288.db2.gz DWZKOKLJVJXICL-KRWDZBQOSA-N 1 2 323.400 1.478 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@H]3C[C@@H]3C)C2)nn1 ZINC001185984035 744317147 /nfs/dbraw/zinc/31/71/47/744317147.db2.gz JCUMAKXSTGFCSH-BPUTZDHNSA-N 1 2 315.421 1.211 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@H](F)CC)C2)nn1 ZINC001185985217 744317414 /nfs/dbraw/zinc/31/74/14/744317414.db2.gz XSPHSCSHDLJIMT-LSDHHAIUSA-N 1 2 321.400 1.303 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCC1(Nc2ncccc2C#N)CC1 ZINC001110376864 744353870 /nfs/dbraw/zinc/35/38/70/744353870.db2.gz MBBKKIRODVJDFE-GFCCVEGCSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCC1(Nc2ncccc2C#N)CC1 ZINC001110376864 744353875 /nfs/dbraw/zinc/35/38/75/744353875.db2.gz MBBKKIRODVJDFE-GFCCVEGCSA-N 1 2 324.388 1.616 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H]2Cc3cc(Cl)ccc3O2)C1 ZINC001030634166 744362172 /nfs/dbraw/zinc/36/21/72/744362172.db2.gz JYPRBKNMMUXHRN-OAHLLOKOSA-N 1 2 304.777 1.467 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2C[C@H]2c2ccc(N(C)C)cc2)C1 ZINC001030715571 744515197 /nfs/dbraw/zinc/51/51/97/744515197.db2.gz NMJCFVSHDINVEL-ZWKOTPCHSA-N 1 2 311.429 1.680 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC001014982546 744521639 /nfs/dbraw/zinc/52/16/39/744521639.db2.gz FQRVYHJLBOXRST-QWHCGFSZSA-N 1 2 308.813 1.389 20 30 DDEDLO CCn1nncc1C[N@H+](C)C[C@@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001089139558 744584688 /nfs/dbraw/zinc/58/46/88/744584688.db2.gz FESJEDKWHPSJJW-KBPBESRZSA-N 1 2 318.425 1.271 20 30 DDEDLO CCn1nncc1C[N@@H+](C)C[C@@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001089139558 744584691 /nfs/dbraw/zinc/58/46/91/744584691.db2.gz FESJEDKWHPSJJW-KBPBESRZSA-N 1 2 318.425 1.271 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001187706547 744594844 /nfs/dbraw/zinc/59/48/44/744594844.db2.gz LDCIWSNUXKTMEU-OWCLPIDISA-N 1 2 312.417 1.874 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001187706547 744594845 /nfs/dbraw/zinc/59/48/45/744594845.db2.gz LDCIWSNUXKTMEU-OWCLPIDISA-N 1 2 312.417 1.874 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001077492742 744614976 /nfs/dbraw/zinc/61/49/76/744614976.db2.gz YYXATDUOIUMWBO-HUUCEWRRSA-N 1 2 306.793 1.365 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001077492742 744614978 /nfs/dbraw/zinc/61/49/78/744614978.db2.gz YYXATDUOIUMWBO-HUUCEWRRSA-N 1 2 306.793 1.365 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cc(Cl)ccc3[nH]2)[C@@H](O)C1 ZINC001083689657 744800550 /nfs/dbraw/zinc/80/05/50/744800550.db2.gz FJNYCNGTAWUZEY-CABCVRRESA-N 1 2 317.776 1.229 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3cc(Cl)ccc3[nH]2)[C@@H](O)C1 ZINC001083689657 744800553 /nfs/dbraw/zinc/80/05/53/744800553.db2.gz FJNYCNGTAWUZEY-CABCVRRESA-N 1 2 317.776 1.229 20 30 DDEDLO C=C1CC(C)(C(=O)NC2C[NH+](CCCN3CCCC3=O)C2)C1 ZINC001030920676 744838845 /nfs/dbraw/zinc/83/88/45/744838845.db2.gz VHDSTQJUQYPQPK-UHFFFAOYSA-N 1 2 305.422 1.156 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2ncccn2)C1 ZINC001189365398 744887357 /nfs/dbraw/zinc/88/73/57/744887357.db2.gz XFFQSQSWNOSCQH-ZIAGYGMSSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2ncccn2)C1 ZINC001189365398 744887360 /nfs/dbraw/zinc/88/73/60/744887360.db2.gz XFFQSQSWNOSCQH-ZIAGYGMSSA-N 1 2 304.394 1.273 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190054325 745091888 /nfs/dbraw/zinc/09/18/88/745091888.db2.gz PUIMKZCSMASDKE-KBPBESRZSA-N 1 2 318.421 1.885 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190054325 745091895 /nfs/dbraw/zinc/09/18/95/745091895.db2.gz PUIMKZCSMASDKE-KBPBESRZSA-N 1 2 318.421 1.885 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001190439599 745220889 /nfs/dbraw/zinc/22/08/89/745220889.db2.gz AXHAHJJHJMRGTD-VNHYZAJKSA-N 1 2 305.378 1.298 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001190439599 745220893 /nfs/dbraw/zinc/22/08/93/745220893.db2.gz AXHAHJJHJMRGTD-VNHYZAJKSA-N 1 2 305.378 1.298 20 30 DDEDLO C#CCOc1ccc(C(=O)NC2C[NH+](C[C@H](C)OC)C2)cc1 ZINC001031065759 745284569 /nfs/dbraw/zinc/28/45/69/745284569.db2.gz NZWFNOMKBPBTFC-ZDUSSCGKSA-N 1 2 302.374 1.148 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001031069184 745297103 /nfs/dbraw/zinc/29/71/03/745297103.db2.gz MGGYQPFXTUAIEG-GFCCVEGCSA-N 1 2 307.419 1.985 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)Cc2cn3cccc(C)c3[nH+]2)C1 ZINC001031102926 745380260 /nfs/dbraw/zinc/38/02/60/745380260.db2.gz SMIZSRZXXAELKM-UHFFFAOYSA-N 1 2 318.808 1.738 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CCNc1ncnc2c1C[N@H+](C)CC2 ZINC001106248291 745457817 /nfs/dbraw/zinc/45/78/17/745457817.db2.gz OKRZSZRBMRWFDZ-CYBMUJFWSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001106248291 745457820 /nfs/dbraw/zinc/45/78/20/745457820.db2.gz OKRZSZRBMRWFDZ-CYBMUJFWSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001191912042 745637920 /nfs/dbraw/zinc/63/79/20/745637920.db2.gz MHVCLQAXBDHCFR-GJZGRUSLSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001191912042 745637923 /nfs/dbraw/zinc/63/79/23/745637923.db2.gz MHVCLQAXBDHCFR-GJZGRUSLSA-N 1 2 319.453 1.933 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)CCNc2cc[nH+]c(C)n2)cn1 ZINC001106401395 745664544 /nfs/dbraw/zinc/66/45/44/745664544.db2.gz RLLLPMMAVDOZLY-LBPRGKRZSA-N 1 2 309.373 1.782 20 30 DDEDLO CC(C)=C(F)C(=O)N[C@@H]1C[N@H+](Cc2cccc(C#N)c2)C[C@H]1O ZINC001192178187 745720681 /nfs/dbraw/zinc/72/06/81/745720681.db2.gz HMGMNFFVLUGFDI-HUUCEWRRSA-N 1 2 317.364 1.483 20 30 DDEDLO CC(C)=C(F)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(C#N)c2)C[C@H]1O ZINC001192178187 745720682 /nfs/dbraw/zinc/72/06/82/745720682.db2.gz HMGMNFFVLUGFDI-HUUCEWRRSA-N 1 2 317.364 1.483 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001192290961 745746401 /nfs/dbraw/zinc/74/64/01/745746401.db2.gz WNBDZPSIKNLPJU-HZPDHXFCSA-N 1 2 319.405 1.146 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001192290961 745746404 /nfs/dbraw/zinc/74/64/04/745746404.db2.gz WNBDZPSIKNLPJU-HZPDHXFCSA-N 1 2 319.405 1.146 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192503613 745798879 /nfs/dbraw/zinc/79/88/79/745798879.db2.gz GNHZLYSBRSOQKV-QZTJIDSGSA-N 1 2 310.397 1.003 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192503613 745798880 /nfs/dbraw/zinc/79/88/80/745798880.db2.gz GNHZLYSBRSOQKV-QZTJIDSGSA-N 1 2 310.397 1.003 20 30 DDEDLO C=CCCC(=O)N(CC)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106695087 745908091 /nfs/dbraw/zinc/90/80/91/745908091.db2.gz PBXAJXVJJBQJHK-UHFFFAOYSA-N 1 2 306.414 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cnn(C)c2N)[C@@H]1C ZINC000993235971 745924408 /nfs/dbraw/zinc/92/44/08/745924408.db2.gz SWXOYWILTAHUST-CMPLNLGQSA-N 1 2 311.817 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cnn(C)c2N)[C@@H]1C ZINC000993235971 745924412 /nfs/dbraw/zinc/92/44/12/745924412.db2.gz SWXOYWILTAHUST-CMPLNLGQSA-N 1 2 311.817 1.338 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cncnc2)[C@@H]1C ZINC000993284018 745941090 /nfs/dbraw/zinc/94/10/90/745941090.db2.gz NEWZMFKODWHXGB-JSGCOSHPSA-N 1 2 308.813 1.741 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cncnc2)[C@@H]1C ZINC000993284018 745941096 /nfs/dbraw/zinc/94/10/96/745941096.db2.gz NEWZMFKODWHXGB-JSGCOSHPSA-N 1 2 308.813 1.741 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2cc(OC)ns2)[C@@H]1C ZINC000993368168 746039342 /nfs/dbraw/zinc/03/93/42/746039342.db2.gz RSYMSISYGHWDSF-RYUDHWBXSA-N 1 2 307.419 1.758 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(OC)ns2)[C@@H]1C ZINC000993368168 746039349 /nfs/dbraw/zinc/03/93/49/746039349.db2.gz RSYMSISYGHWDSF-RYUDHWBXSA-N 1 2 307.419 1.758 20 30 DDEDLO COCCN(C(=O)c1cccc(C#N)c1O)C1CC[NH+](C)CC1 ZINC001193643992 746160778 /nfs/dbraw/zinc/16/07/78/746160778.db2.gz KQACXZYXAUXLSN-UHFFFAOYSA-N 1 2 317.389 1.447 20 30 DDEDLO COc1ccc(C#N)c(S(=O)(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3C)c1 ZINC001193672199 746172629 /nfs/dbraw/zinc/17/26/29/746172629.db2.gz ZFJWYOIQZDAYRK-BETUJISGSA-N 1 2 321.402 1.034 20 30 DDEDLO COc1ccc(C#N)c(S(=O)(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3C)c1 ZINC001193672199 746172634 /nfs/dbraw/zinc/17/26/34/746172634.db2.gz ZFJWYOIQZDAYRK-BETUJISGSA-N 1 2 321.402 1.034 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NC(=O)CC)C1 ZINC000993623241 746259515 /nfs/dbraw/zinc/25/95/15/746259515.db2.gz GRNJYOLJUDMJQZ-CHWSQXEVSA-N 1 2 318.421 1.453 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CCCN1CC#N ZINC000994213604 746488889 /nfs/dbraw/zinc/48/88/89/746488889.db2.gz SCTLVUVDZNOFJA-ZFWWWQNUSA-N 1 2 324.388 1.374 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2ccc(Cl)cc2)[C@@H](O)C1 ZINC001083742811 746512706 /nfs/dbraw/zinc/51/27/06/746512706.db2.gz JHIWHDKYORBLDY-CABCVRRESA-N 1 2 320.820 1.412 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2ccc(Cl)cc2)[C@@H](O)C1 ZINC001083742811 746512707 /nfs/dbraw/zinc/51/27/07/746512707.db2.gz JHIWHDKYORBLDY-CABCVRRESA-N 1 2 320.820 1.412 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)CC)CC1 ZINC001195192245 746541644 /nfs/dbraw/zinc/54/16/44/746541644.db2.gz VIDCCUSDTQEFGN-UHFFFAOYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)NC(C)(C)CC)CC1 ZINC001195192245 746541648 /nfs/dbraw/zinc/54/16/48/746541648.db2.gz VIDCCUSDTQEFGN-UHFFFAOYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C\C(C)(C)C)CC1 ZINC001195356083 746583528 /nfs/dbraw/zinc/58/35/28/746583528.db2.gz LLQQOCHKABTTPW-FPLPWBNLSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C\C(C)(C)C)CC1 ZINC001195356083 746583532 /nfs/dbraw/zinc/58/35/32/746583532.db2.gz LLQQOCHKABTTPW-FPLPWBNLSA-N 1 2 307.438 1.425 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cccnc3)C2)c(O)c1 ZINC001195768684 746692136 /nfs/dbraw/zinc/69/21/36/746692136.db2.gz PMVXVMLFXHTMTR-HNNXBMFYSA-N 1 2 322.368 1.663 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cccnc3)C2)c(O)c1 ZINC001195768684 746692137 /nfs/dbraw/zinc/69/21/37/746692137.db2.gz PMVXVMLFXHTMTR-HNNXBMFYSA-N 1 2 322.368 1.663 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cncc(C)c3)C[C@@H]2O)C1 ZINC001083756312 746718293 /nfs/dbraw/zinc/71/82/93/746718293.db2.gz NLQJYQHQGTVJQF-CVEARBPZSA-N 1 2 315.417 1.408 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cncc(C)c3)C[C@@H]2O)C1 ZINC001083756312 746718298 /nfs/dbraw/zinc/71/82/98/746718298.db2.gz NLQJYQHQGTVJQF-CVEARBPZSA-N 1 2 315.417 1.408 20 30 DDEDLO CC(F)(F)CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001195948674 746747020 /nfs/dbraw/zinc/74/70/20/746747020.db2.gz MPUSBGJLODXPRV-ZIAGYGMSSA-N 1 2 323.343 1.265 20 30 DDEDLO CC(F)(F)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001195948674 746747023 /nfs/dbraw/zinc/74/70/23/746747023.db2.gz MPUSBGJLODXPRV-ZIAGYGMSSA-N 1 2 323.343 1.265 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H]2C[C@H]2c2cc(F)ccc2F)C1 ZINC001031411091 746771005 /nfs/dbraw/zinc/77/10/05/746771005.db2.gz ZHPRFVCUHVUVQN-ZFWWWQNUSA-N 1 2 304.340 1.892 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@H]1O ZINC001196481400 746873102 /nfs/dbraw/zinc/87/31/02/746873102.db2.gz AQAFVRBXGBSZDO-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@H]1O ZINC001196481400 746873110 /nfs/dbraw/zinc/87/31/10/746873110.db2.gz AQAFVRBXGBSZDO-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C[NH+]1CC2(CN(S(=O)(=O)c3cc(Cl)ccc3C#N)C2)C1 ZINC001196675287 746932647 /nfs/dbraw/zinc/93/26/47/746932647.db2.gz DBHZNSCFCZVFES-UHFFFAOYSA-N 1 2 311.794 1.148 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N(C)C1CCC1 ZINC001121533052 782554446 /nfs/dbraw/zinc/55/44/46/782554446.db2.gz ONROAUXOZBIYKE-UHFFFAOYSA-N 1 2 319.453 1.657 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC001196881816 746988606 /nfs/dbraw/zinc/98/86/06/746988606.db2.gz YMVSFOILFITZMN-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC001196881816 746988611 /nfs/dbraw/zinc/98/86/11/746988611.db2.gz YMVSFOILFITZMN-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)[C@H](C)C=C)CC1 ZINC001196854896 746991754 /nfs/dbraw/zinc/99/17/54/746991754.db2.gz VECZWUODBGZRAB-HUUCEWRRSA-N 1 2 307.438 1.281 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)[C@H](C)C=C)CC1 ZINC001196854896 746991756 /nfs/dbraw/zinc/99/17/56/746991756.db2.gz VECZWUODBGZRAB-HUUCEWRRSA-N 1 2 307.438 1.281 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCc1cnn2c1C[N@H+](CC)CC2 ZINC001128424768 747084817 /nfs/dbraw/zinc/08/48/17/747084817.db2.gz GSRIVWDTVKMANP-KRWDZBQOSA-N 1 2 320.437 1.564 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCc1cnn2c1C[N@@H+](CC)CC2 ZINC001128424768 747084821 /nfs/dbraw/zinc/08/48/21/747084821.db2.gz GSRIVWDTVKMANP-KRWDZBQOSA-N 1 2 320.437 1.564 20 30 DDEDLO COc1cccc(CS(=O)(=O)NC2(C#N)CC[NH+](C)CC2)c1 ZINC001197408567 747155673 /nfs/dbraw/zinc/15/56/73/747155673.db2.gz BTJUXZCFQLBKRS-UHFFFAOYSA-N 1 2 323.418 1.103 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2ccon2)CC1 ZINC001003649054 747353853 /nfs/dbraw/zinc/35/38/53/747353853.db2.gz DSLCUISPJZFADP-CABCVRRESA-N 1 2 305.378 1.346 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccs2)[C@H](O)C1 ZINC001090041259 747499036 /nfs/dbraw/zinc/49/90/36/747499036.db2.gz GMCJLSKRYXJFGI-WDEREUQCSA-N 1 2 300.811 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccs2)[C@H](O)C1 ZINC001090041259 747499040 /nfs/dbraw/zinc/49/90/40/747499040.db2.gz GMCJLSKRYXJFGI-WDEREUQCSA-N 1 2 300.811 1.666 20 30 DDEDLO CCN(CCNc1ccc(C#N)cn1)C(=O)CCn1cc[nH+]c1 ZINC001106904506 747581254 /nfs/dbraw/zinc/58/12/54/747581254.db2.gz VEGNWYYTYXDFHR-UHFFFAOYSA-N 1 2 312.377 1.500 20 30 DDEDLO CCOc1nnc(C[NH2+][C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC000998748282 752198834 /nfs/dbraw/zinc/19/88/34/752198834.db2.gz VXNNJZIINSXGAX-WDEREUQCSA-N 1 2 323.422 1.177 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)C(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1O ZINC001198888295 747646845 /nfs/dbraw/zinc/64/68/45/747646845.db2.gz BHFGOUVUYRMIHP-GVDBMIGSSA-N 1 2 320.408 1.552 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)C(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1O ZINC001198888295 747646849 /nfs/dbraw/zinc/64/68/49/747646849.db2.gz BHFGOUVUYRMIHP-GVDBMIGSSA-N 1 2 320.408 1.552 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+]Cc1ncc(C2CC2)o1 ZINC001127605046 747722359 /nfs/dbraw/zinc/72/23/59/747722359.db2.gz QNJJPBPBERCVIQ-KGLIPLIRSA-N 1 2 319.405 1.739 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)CC2OCCCO2)C1 ZINC001033034961 747999055 /nfs/dbraw/zinc/99/90/55/747999055.db2.gz CQQVACBYDWBVSI-LBPRGKRZSA-N 1 2 302.802 1.425 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)CC2OCCCO2)C1 ZINC001033034961 747999059 /nfs/dbraw/zinc/99/90/59/747999059.db2.gz CQQVACBYDWBVSI-LBPRGKRZSA-N 1 2 302.802 1.425 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2c3c[nH]nc3CC[C@H]2C)C1 ZINC001031857383 748036667 /nfs/dbraw/zinc/03/66/67/748036667.db2.gz UASYRQSAXCHCKC-BMIGLBTASA-N 1 2 322.840 1.876 20 30 DDEDLO N#Cc1ccc(N2CC=C(CNC(=O)Cc3[nH]cc[nH+]3)CC2)cn1 ZINC001127678332 748124784 /nfs/dbraw/zinc/12/47/84/748124784.db2.gz NCAFWSKXPCEFAF-UHFFFAOYSA-N 1 2 322.372 1.172 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(CCC)no1 ZINC001124488238 748279179 /nfs/dbraw/zinc/27/91/79/748279179.db2.gz LZPUBSFPEQFMSJ-LBPRGKRZSA-N 1 2 310.398 1.209 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001031995416 748379188 /nfs/dbraw/zinc/37/91/88/748379188.db2.gz MJFXNIHFFFPHTE-LSDHHAIUSA-N 1 2 305.809 1.986 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cn2cc(C3CC3)nn2)C1 ZINC001033144630 748546123 /nfs/dbraw/zinc/54/61/23/748546123.db2.gz ZSIOJLDWJBSLKF-ZDUSSCGKSA-N 1 2 323.828 1.441 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cn2cc(C3CC3)nn2)C1 ZINC001033144630 748546125 /nfs/dbraw/zinc/54/61/25/748546125.db2.gz ZSIOJLDWJBSLKF-ZDUSSCGKSA-N 1 2 323.828 1.441 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001108089292 748780911 /nfs/dbraw/zinc/78/09/11/748780911.db2.gz DDBWYWLFTCUFLO-DGCLKSJQSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001108089292 748780915 /nfs/dbraw/zinc/78/09/15/748780915.db2.gz DDBWYWLFTCUFLO-DGCLKSJQSA-N 1 2 308.344 1.968 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cn3c(n2)COCC3)C1 ZINC001033196944 748850067 /nfs/dbraw/zinc/85/00/67/748850067.db2.gz XEIVPMSATMVKQE-LBPRGKRZSA-N 1 2 324.812 1.312 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cn3c(n2)COCC3)C1 ZINC001033196944 748850073 /nfs/dbraw/zinc/85/00/73/748850073.db2.gz XEIVPMSATMVKQE-LBPRGKRZSA-N 1 2 324.812 1.312 20 30 DDEDLO C[C@@H]([NH2+]Cc1cnsn1)[C@@H]1CCCCN1C(=O)C#CC1CC1 ZINC001004845234 748851332 /nfs/dbraw/zinc/85/13/32/748851332.db2.gz BOZINPBSSPPFBM-DOMZBBRYSA-N 1 2 318.446 1.811 20 30 DDEDLO Cc1cc(N2CC[C@@](C)(NC(=O)[C@@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001110735646 748954087 /nfs/dbraw/zinc/95/40/87/748954087.db2.gz IXDHCBFHMBIOSY-APPDUMDISA-N 1 2 313.405 1.907 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ncnc3[nH]ccc32)C1 ZINC001033234653 749009400 /nfs/dbraw/zinc/00/94/00/749009400.db2.gz LZXZXXRHPGYIRY-LLVKDONJSA-N 1 2 319.796 1.808 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ncnc3[nH]ccc32)C1 ZINC001033234653 749009402 /nfs/dbraw/zinc/00/94/02/749009402.db2.gz LZXZXXRHPGYIRY-LLVKDONJSA-N 1 2 319.796 1.808 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001114336621 749083438 /nfs/dbraw/zinc/08/34/38/749083438.db2.gz SDTVHXMXZGCTKV-IMRBUKKESA-N 1 2 322.840 1.745 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001114336621 749083443 /nfs/dbraw/zinc/08/34/43/749083443.db2.gz SDTVHXMXZGCTKV-IMRBUKKESA-N 1 2 322.840 1.745 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001114352759 749104396 /nfs/dbraw/zinc/10/43/96/749104396.db2.gz GWQAPVCPYVVYIH-TTZDDIAXSA-N 1 2 300.406 1.940 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001114352759 749104405 /nfs/dbraw/zinc/10/44/05/749104405.db2.gz GWQAPVCPYVVYIH-TTZDDIAXSA-N 1 2 300.406 1.940 20 30 DDEDLO CN(C(=O)c1cnco1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033308264 749167634 /nfs/dbraw/zinc/16/76/34/749167634.db2.gz GQGSIKZHPSVDNF-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C(=O)c1cnco1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033308264 749167638 /nfs/dbraw/zinc/16/76/38/749167638.db2.gz GQGSIKZHPSVDNF-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@H](NCC#N)C(C)(C)C2)c[nH+]1 ZINC000995946843 749300241 /nfs/dbraw/zinc/30/02/41/749300241.db2.gz HRCXMFRGIVTVNA-ZDUSSCGKSA-N 1 2 303.410 1.277 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@@H]2CC[N@H+](Cc3cnnn3C)C2)C1 ZINC001033401159 749320638 /nfs/dbraw/zinc/32/06/38/749320638.db2.gz ZCXJEHDAPIKPLQ-CYBMUJFWSA-N 1 2 303.410 1.204 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3cnnn3C)C2)C1 ZINC001033401159 749320642 /nfs/dbraw/zinc/32/06/42/749320642.db2.gz ZCXJEHDAPIKPLQ-CYBMUJFWSA-N 1 2 303.410 1.204 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCC2=CC[N@H+](Cc3ccon3)CC2)c1 ZINC001000562892 761939882 /nfs/dbraw/zinc/93/98/82/761939882.db2.gz KCAARDFBIYSPDX-UHFFFAOYSA-N 1 2 311.345 1.436 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCC2=CC[N@@H+](Cc3ccon3)CC2)c1 ZINC001000562892 761939889 /nfs/dbraw/zinc/93/98/89/761939889.db2.gz KCAARDFBIYSPDX-UHFFFAOYSA-N 1 2 311.345 1.436 20 30 DDEDLO C[C@H](C#N)C(=O)NCc1cn(C2C[NH+](Cc3ccccc3)C2)nn1 ZINC001107146487 749400340 /nfs/dbraw/zinc/40/03/40/749400340.db2.gz LQTGZCDNIOYRBJ-CYBMUJFWSA-N 1 2 324.388 1.111 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](CC)SC)nn2)C1 ZINC001107159779 749435882 /nfs/dbraw/zinc/43/58/82/749435882.db2.gz VNYYJHSEDKNCTM-CYBMUJFWSA-N 1 2 309.439 1.079 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C[C@H](C)CC)nn2)C1 ZINC001107164815 749451277 /nfs/dbraw/zinc/45/12/77/749451277.db2.gz KGDMFBHGGXEXAI-CYBMUJFWSA-N 1 2 305.426 1.763 20 30 DDEDLO CN(C(=O)c1cnon1)[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001033523842 749468842 /nfs/dbraw/zinc/46/88/42/749468842.db2.gz AKJHJPZOMYJAHM-SNVBAGLBSA-N 1 2 317.374 1.349 20 30 DDEDLO CN(C(=O)c1cnon1)[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001033523842 749468845 /nfs/dbraw/zinc/46/88/45/749468845.db2.gz AKJHJPZOMYJAHM-SNVBAGLBSA-N 1 2 317.374 1.349 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001005202700 749507548 /nfs/dbraw/zinc/50/75/48/749507548.db2.gz YQRLTZOJTFTMLW-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C3(C)CCCC3)nn2)C1 ZINC001107189505 749524902 /nfs/dbraw/zinc/52/49/02/749524902.db2.gz BCZRLFYJWDAWBE-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CC(CC)CC)nn2)C1 ZINC001107224869 749650657 /nfs/dbraw/zinc/65/06/57/749650657.db2.gz FYFFJAPFDGQBHP-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)[C@@H](C)CC)nn2)C1 ZINC001107225229 749654273 /nfs/dbraw/zinc/65/42/73/749654273.db2.gz RIBAWDGSWIPXPH-KBPBESRZSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2nccn3cc(C)nc23)C1 ZINC001015963859 749714671 /nfs/dbraw/zinc/71/46/71/749714671.db2.gz PCRPWIJSEFRRCJ-LBPRGKRZSA-N 1 2 319.796 1.594 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2nccn3cc(C)nc23)C1 ZINC001015963859 749714681 /nfs/dbraw/zinc/71/46/81/749714681.db2.gz PCRPWIJSEFRRCJ-LBPRGKRZSA-N 1 2 319.796 1.594 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCCc2ccccn2)C1 ZINC001108357104 761977148 /nfs/dbraw/zinc/97/71/48/761977148.db2.gz XVEHFBWQFKYLTI-GOSISDBHSA-N 1 2 317.433 1.797 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCCc2ccccn2)C1 ZINC001108357104 761977150 /nfs/dbraw/zinc/97/71/50/761977150.db2.gz XVEHFBWQFKYLTI-GOSISDBHSA-N 1 2 317.433 1.797 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2nnn(C)c2C)C1 ZINC001033783953 749880613 /nfs/dbraw/zinc/88/06/13/749880613.db2.gz RCXFGWAUKVPRHE-LBPRGKRZSA-N 1 2 311.817 1.412 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2nnn(C)c2C)C1 ZINC001033783953 749880617 /nfs/dbraw/zinc/88/06/17/749880617.db2.gz RCXFGWAUKVPRHE-LBPRGKRZSA-N 1 2 311.817 1.412 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)S(C)(=O)=O ZINC001039394809 761993907 /nfs/dbraw/zinc/99/39/07/761993907.db2.gz JYYGJVLEUZFHFH-MJBXVCDLSA-N 1 2 314.451 1.061 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)S(C)(=O)=O ZINC001039394809 761993909 /nfs/dbraw/zinc/99/39/09/761993909.db2.gz JYYGJVLEUZFHFH-MJBXVCDLSA-N 1 2 314.451 1.061 20 30 DDEDLO Cc1cc(NC/C=C/CNC(=O)CCn2cc[nH+]c2)c(C#N)cn1 ZINC001107445767 749967633 /nfs/dbraw/zinc/96/76/33/749967633.db2.gz PZUMWQUXDHAVGH-NSCUHMNNSA-N 1 2 324.388 1.055 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]1C[NH2+]Cc1nc(C(F)F)no1 ZINC001045030524 749985248 /nfs/dbraw/zinc/98/52/48/749985248.db2.gz IVFNERBRARVRRZ-NSHDSACASA-N 1 2 324.331 1.501 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(F)ccc2OC)C1 ZINC001108108574 750124436 /nfs/dbraw/zinc/12/44/36/750124436.db2.gz ZGDGGUZNPSIVAK-KRWDZBQOSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(F)ccc2OC)C1 ZINC001108108574 750124440 /nfs/dbraw/zinc/12/44/40/750124440.db2.gz ZGDGGUZNPSIVAK-KRWDZBQOSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2oc(C)nc2C)[C@H](O)C1 ZINC001090161912 750139243 /nfs/dbraw/zinc/13/92/43/750139243.db2.gz HUAWALFRYVAOSE-VXGBXAGGSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2oc(C)nc2C)[C@H](O)C1 ZINC001090161912 750139248 /nfs/dbraw/zinc/13/92/48/750139248.db2.gz HUAWALFRYVAOSE-VXGBXAGGSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2C(C)(C)C2(C)C)[C@H](O)C1 ZINC001090169062 750158496 /nfs/dbraw/zinc/15/84/96/750158496.db2.gz FMVUTLJICSCENK-VXGBXAGGSA-N 1 2 314.857 1.973 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2C(C)(C)C2(C)C)[C@H](O)C1 ZINC001090169062 750158498 /nfs/dbraw/zinc/15/84/98/750158498.db2.gz FMVUTLJICSCENK-VXGBXAGGSA-N 1 2 314.857 1.973 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C1=NC(=O)N(C)C1 ZINC001039429859 762025945 /nfs/dbraw/zinc/02/59/45/762025945.db2.gz FCEIYLIWOXFFNE-OLZOCXBDSA-N 1 2 304.394 1.381 20 30 DDEDLO C=CCC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C1=NC(=O)N(C)C1 ZINC001039429859 762025952 /nfs/dbraw/zinc/02/59/52/762025952.db2.gz FCEIYLIWOXFFNE-OLZOCXBDSA-N 1 2 304.394 1.381 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)C(F)F ZINC001098827431 750321709 /nfs/dbraw/zinc/32/17/09/750321709.db2.gz WDDVPSQGUNXQSF-LLVKDONJSA-N 1 2 317.380 1.161 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)C(F)F ZINC001098827431 750321712 /nfs/dbraw/zinc/32/17/12/750321712.db2.gz WDDVPSQGUNXQSF-LLVKDONJSA-N 1 2 317.380 1.161 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cccs2)C1 ZINC001107694858 750377694 /nfs/dbraw/zinc/37/76/94/750377694.db2.gz ODGLWBYKAQGDDQ-INIZCTEOSA-N 1 2 306.431 1.521 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cccs2)C1 ZINC001107694858 750377706 /nfs/dbraw/zinc/37/77/06/750377706.db2.gz ODGLWBYKAQGDDQ-INIZCTEOSA-N 1 2 306.431 1.521 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CC(C)C)C2 ZINC001111192182 750426776 /nfs/dbraw/zinc/42/67/76/750426776.db2.gz CDYJQERWGCQKOZ-FPCVCCKLSA-N 1 2 319.449 1.140 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CC(C)C)C2 ZINC001111192182 750426780 /nfs/dbraw/zinc/42/67/80/750426780.db2.gz CDYJQERWGCQKOZ-FPCVCCKLSA-N 1 2 319.449 1.140 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccncc2C)[C@@H](O)C1 ZINC001090238181 750689756 /nfs/dbraw/zinc/68/97/56/750689756.db2.gz VKPDWAHGAUCEHN-KGLIPLIRSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccncc2C)[C@@H](O)C1 ZINC001090238181 750689760 /nfs/dbraw/zinc/68/97/60/750689760.db2.gz VKPDWAHGAUCEHN-KGLIPLIRSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001213319897 750706909 /nfs/dbraw/zinc/70/69/09/750706909.db2.gz GLDQQEYYFZJQRF-APHBMKBZSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001213319897 750706914 /nfs/dbraw/zinc/70/69/14/750706914.db2.gz GLDQQEYYFZJQRF-APHBMKBZSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccn(C)n2)on1 ZINC001032421611 750785784 /nfs/dbraw/zinc/78/57/84/750785784.db2.gz RAHPIILAAXUMEP-RYUDHWBXSA-N 1 2 313.361 1.160 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccn(C)n2)on1 ZINC001032421611 750785785 /nfs/dbraw/zinc/78/57/85/750785785.db2.gz RAHPIILAAXUMEP-RYUDHWBXSA-N 1 2 313.361 1.160 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)CCCCC)CC2 ZINC001127943409 750803525 /nfs/dbraw/zinc/80/35/25/750803525.db2.gz CMYTUQXPIUNWIR-CQSZACIVSA-N 1 2 317.437 1.402 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cncn1-c1ccccc1 ZINC001032446795 750850685 /nfs/dbraw/zinc/85/06/85/750850685.db2.gz LHKABKJNZOLFMC-IRXDYDNUSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cncn1-c1ccccc1 ZINC001032446795 750850693 /nfs/dbraw/zinc/85/06/93/750850693.db2.gz LHKABKJNZOLFMC-IRXDYDNUSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC001032474967 750962016 /nfs/dbraw/zinc/96/20/16/750962016.db2.gz CSOCQUYUQGZUPX-ILXRZTDVSA-N 1 2 312.417 1.387 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC001032474967 750962022 /nfs/dbraw/zinc/96/20/22/750962022.db2.gz CSOCQUYUQGZUPX-ILXRZTDVSA-N 1 2 312.417 1.387 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114686114 750988089 /nfs/dbraw/zinc/98/80/89/750988089.db2.gz GQBVOEKTAVAHPO-CIQGVGRVSA-N 1 2 317.437 1.687 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114686114 750988094 /nfs/dbraw/zinc/98/80/94/750988094.db2.gz GQBVOEKTAVAHPO-CIQGVGRVSA-N 1 2 317.437 1.687 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001060863192 751043850 /nfs/dbraw/zinc/04/38/50/751043850.db2.gz GKMFKQBWENBYOV-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114752390 751052623 /nfs/dbraw/zinc/05/26/23/751052623.db2.gz CJBSVVROMAEYIE-HALDLXJZSA-N 1 2 300.406 1.688 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114752390 751052630 /nfs/dbraw/zinc/05/26/30/751052630.db2.gz CJBSVVROMAEYIE-HALDLXJZSA-N 1 2 300.406 1.688 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cnn(C)n4)C[C@H]32)CC1 ZINC001114794866 751089720 /nfs/dbraw/zinc/08/97/20/751089720.db2.gz RNHLLVRWCLATPB-FICVDOATSA-N 1 2 315.421 1.108 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cnn(C)n4)C[C@H]32)CC1 ZINC001114794866 751089726 /nfs/dbraw/zinc/08/97/26/751089726.db2.gz RNHLLVRWCLATPB-FICVDOATSA-N 1 2 315.421 1.108 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]2C[NH2+]Cc2cnsn2)C1 ZINC001035044472 751147625 /nfs/dbraw/zinc/14/76/25/751147625.db2.gz JEDOQVRFHBREGU-CYBMUJFWSA-N 1 2 306.435 1.975 20 30 DDEDLO CC[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083791056 751252789 /nfs/dbraw/zinc/25/27/89/751252789.db2.gz RGMVKXHAQUSEED-TVFCKZIOSA-N 1 2 312.413 1.246 20 30 DDEDLO CC[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083791056 751252793 /nfs/dbraw/zinc/25/27/93/751252793.db2.gz RGMVKXHAQUSEED-TVFCKZIOSA-N 1 2 312.413 1.246 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnn2C(C)C)C1 ZINC001107971823 751274927 /nfs/dbraw/zinc/27/49/27/751274927.db2.gz ZIVGCZODCLOFKZ-QGZVFWFLSA-N 1 2 318.421 1.308 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnn2C(C)C)C1 ZINC001107971823 751274931 /nfs/dbraw/zinc/27/49/31/751274931.db2.gz ZIVGCZODCLOFKZ-QGZVFWFLSA-N 1 2 318.421 1.308 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCOc2ccccc21 ZINC001032558813 751309831 /nfs/dbraw/zinc/30/98/31/751309831.db2.gz JKKLCAWBJUNPQL-PMPSAXMXSA-N 1 2 310.397 1.861 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCOc2ccccc21 ZINC001032558813 751309837 /nfs/dbraw/zinc/30/98/37/751309837.db2.gz JKKLCAWBJUNPQL-PMPSAXMXSA-N 1 2 310.397 1.861 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@H](NC(=O)c3cn[nH]n3)C2)c1 ZINC001007757205 752321560 /nfs/dbraw/zinc/32/15/60/752321560.db2.gz YRUXYFALOQYAHY-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@H](NC(=O)c3cn[nH]n3)C2)c1 ZINC001007757205 752321569 /nfs/dbraw/zinc/32/15/69/752321569.db2.gz YRUXYFALOQYAHY-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@H](NC(=O)c3cnn[nH]3)C2)c1 ZINC001007757205 752321579 /nfs/dbraw/zinc/32/15/79/752321579.db2.gz YRUXYFALOQYAHY-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@H](NC(=O)c3cnn[nH]3)C2)c1 ZINC001007757205 752321588 /nfs/dbraw/zinc/32/15/88/752321588.db2.gz YRUXYFALOQYAHY-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001007785203 752348034 /nfs/dbraw/zinc/34/80/34/752348034.db2.gz IHVISHCUNSHPKL-NSHDSACASA-N 1 2 319.796 1.856 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001007785203 752348043 /nfs/dbraw/zinc/34/80/43/752348043.db2.gz IHVISHCUNSHPKL-NSHDSACASA-N 1 2 319.796 1.856 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001108414827 762128495 /nfs/dbraw/zinc/12/84/95/762128495.db2.gz UYFHVNBDVTWBSG-QGZVFWFLSA-N 1 2 319.405 1.411 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001108414827 762128497 /nfs/dbraw/zinc/12/84/97/762128497.db2.gz UYFHVNBDVTWBSG-QGZVFWFLSA-N 1 2 319.405 1.411 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001008069806 752531971 /nfs/dbraw/zinc/53/19/71/752531971.db2.gz YANXSHSEOOGHEQ-STQMWFEESA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001008069806 752531974 /nfs/dbraw/zinc/53/19/74/752531974.db2.gz YANXSHSEOOGHEQ-STQMWFEESA-N 1 2 313.829 1.236 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1C(F)(F)F ZINC001032648515 752591591 /nfs/dbraw/zinc/59/15/91/752591591.db2.gz AXCBEMCGFOKCRE-QWRGUYRKSA-N 1 2 309.291 1.632 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1C(F)(F)F ZINC001032648515 752591596 /nfs/dbraw/zinc/59/15/96/752591596.db2.gz AXCBEMCGFOKCRE-QWRGUYRKSA-N 1 2 309.291 1.632 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)s2)C1 ZINC001008863184 752950914 /nfs/dbraw/zinc/95/09/14/752950914.db2.gz QLRYXTLPJYVCDS-LLVKDONJSA-N 1 2 305.403 1.065 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)s2)C1 ZINC001008863184 752950919 /nfs/dbraw/zinc/95/09/19/752950919.db2.gz QLRYXTLPJYVCDS-LLVKDONJSA-N 1 2 305.403 1.065 20 30 DDEDLO N#CCN1CCC[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001009071499 753058636 /nfs/dbraw/zinc/05/86/36/753058636.db2.gz DYGLUVQEKBDPAM-OAHLLOKOSA-N 1 2 309.373 1.590 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001056955710 762193020 /nfs/dbraw/zinc/19/30/20/762193020.db2.gz MWVVZXVHAWGHCY-AWEZNQCLSA-N 1 2 324.388 1.265 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC000999901546 753230782 /nfs/dbraw/zinc/23/07/82/753230782.db2.gz VCWWCJYLCIOKNF-GFCCVEGCSA-N 1 2 321.425 1.428 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)n(C)nc1CC ZINC001032722570 753341840 /nfs/dbraw/zinc/34/18/40/753341840.db2.gz YNSHAADUFPUWRH-RYUDHWBXSA-N 1 2 320.824 1.558 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)n(C)nc1CC ZINC001032722570 753341843 /nfs/dbraw/zinc/34/18/43/753341843.db2.gz YNSHAADUFPUWRH-RYUDHWBXSA-N 1 2 320.824 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H](C)N2CCOCC2)C1 ZINC001009765388 753350798 /nfs/dbraw/zinc/35/07/98/753350798.db2.gz ZJCSHHIOFFYMOT-UONOGXRCSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)N2CCOCC2)C1 ZINC001009765388 753350804 /nfs/dbraw/zinc/35/08/04/753350804.db2.gz ZJCSHHIOFFYMOT-UONOGXRCSA-N 1 2 315.845 1.040 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](CNc2ccc(C#N)nc2)C1 ZINC001060902844 753366713 /nfs/dbraw/zinc/36/67/13/753366713.db2.gz OYQQDTMXNKGOPS-ZDUSSCGKSA-N 1 2 324.388 1.488 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(OC)c(F)c2)C1 ZINC001108007546 753490155 /nfs/dbraw/zinc/49/01/55/753490155.db2.gz ZXRBOQIUJWOENK-QGZVFWFLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(OC)c(F)c2)C1 ZINC001108007546 753490165 /nfs/dbraw/zinc/49/01/65/753490165.db2.gz ZXRBOQIUJWOENK-QGZVFWFLSA-N 1 2 322.380 1.841 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)nnn2CC ZINC001032777344 753596891 /nfs/dbraw/zinc/59/68/91/753596891.db2.gz WTLXTQBGJUFIQI-GJZGRUSLSA-N 1 2 323.400 1.373 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)nnn2CC ZINC001032777344 753596899 /nfs/dbraw/zinc/59/68/99/753596899.db2.gz WTLXTQBGJUFIQI-GJZGRUSLSA-N 1 2 323.400 1.373 20 30 DDEDLO CC1(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C[C@@H]2O)CC1 ZINC001090286612 753633951 /nfs/dbraw/zinc/63/39/51/753633951.db2.gz PGUAVUAXOQOPRI-HOTGVXAUSA-N 1 2 313.401 1.410 20 30 DDEDLO CC1(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C[C@@H]2O)CC1 ZINC001090286612 753633953 /nfs/dbraw/zinc/63/39/53/753633953.db2.gz PGUAVUAXOQOPRI-HOTGVXAUSA-N 1 2 313.401 1.410 20 30 DDEDLO Cc1[nH]nc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)c1C ZINC001010397031 753864002 /nfs/dbraw/zinc/86/40/02/753864002.db2.gz MNDNOMZZCBXBLZ-QGZVFWFLSA-N 1 2 322.412 1.882 20 30 DDEDLO Cc1[nH]nc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)c1C ZINC001010397031 753864008 /nfs/dbraw/zinc/86/40/08/753864008.db2.gz MNDNOMZZCBXBLZ-QGZVFWFLSA-N 1 2 322.412 1.882 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001063038032 753931477 /nfs/dbraw/zinc/93/14/77/753931477.db2.gz VLTMJHYLXYXVNS-KFWWJZLASA-N 1 2 316.405 1.413 20 30 DDEDLO Cn1cnc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)c1 ZINC001010565868 754028270 /nfs/dbraw/zinc/02/82/70/754028270.db2.gz IDSIZKKULOKCQT-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cnc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001010565868 754028274 /nfs/dbraw/zinc/02/82/74/754028274.db2.gz IDSIZKKULOKCQT-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cnc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)c1 ZINC001010565869 754028472 /nfs/dbraw/zinc/02/84/72/754028472.db2.gz IDSIZKKULOKCQT-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cnc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001010565869 754028483 /nfs/dbraw/zinc/02/84/83/754028483.db2.gz IDSIZKKULOKCQT-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC#N ZINC001039942030 762278301 /nfs/dbraw/zinc/27/83/01/762278301.db2.gz SWTSUWWEPCTHTO-YUELXQCFSA-N 1 2 301.394 1.177 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC#N ZINC001039942030 762278306 /nfs/dbraw/zinc/27/83/06/762278306.db2.gz SWTSUWWEPCTHTO-YUELXQCFSA-N 1 2 301.394 1.177 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H]2CCCN2C(=O)Cc2c[nH+]c[nH]2)n1 ZINC001063566962 754237777 /nfs/dbraw/zinc/23/77/77/754237777.db2.gz UYJYRWUAYCHFAX-OAHLLOKOSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001078755796 754352976 /nfs/dbraw/zinc/35/29/76/754352976.db2.gz AHUXNTPDNCFATA-OLZOCXBDSA-N 1 2 306.410 1.566 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(F)c(F)c1 ZINC001032816236 754413436 /nfs/dbraw/zinc/41/34/36/754413436.db2.gz ICCJFBYSTPWRNH-STQMWFEESA-N 1 2 320.339 1.652 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(F)c(F)c1 ZINC001032816236 754413441 /nfs/dbraw/zinc/41/34/41/754413441.db2.gz ICCJFBYSTPWRNH-STQMWFEESA-N 1 2 320.339 1.652 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCN(C(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001011849329 754741119 /nfs/dbraw/zinc/74/11/19/754741119.db2.gz OEAZSGASPSKXPE-QGZVFWFLSA-N 1 2 318.421 1.534 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064792456 754858481 /nfs/dbraw/zinc/85/84/81/754858481.db2.gz OGNQABOBCHQGEU-CHWSQXEVSA-N 1 2 324.388 1.710 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(-c3ccoc3)c2)[C@@H](O)C1 ZINC001083928854 755051218 /nfs/dbraw/zinc/05/12/18/755051218.db2.gz DMOMCVQOZKFHIS-SJORKVTESA-N 1 2 310.353 1.355 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(-c3ccoc3)c2)[C@@H](O)C1 ZINC001083928854 755051221 /nfs/dbraw/zinc/05/12/21/755051221.db2.gz DMOMCVQOZKFHIS-SJORKVTESA-N 1 2 310.353 1.355 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3ncc[nH]3)C2)cc1F ZINC001014381514 755708919 /nfs/dbraw/zinc/70/89/19/755708919.db2.gz OQUHRWSMUXLWBH-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3ncc[nH]3)C2)cc1F ZINC001014381514 755708920 /nfs/dbraw/zinc/70/89/20/755708920.db2.gz OQUHRWSMUXLWBH-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)C1CN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001080418567 755907078 /nfs/dbraw/zinc/90/70/78/755907078.db2.gz UMAAZWURPHDRHW-CHWSQXEVSA-N 1 2 317.393 1.053 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC001014982533 756053774 /nfs/dbraw/zinc/05/37/74/756053774.db2.gz FQRVYHJLBOXRST-CHWSQXEVSA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001014998742 756063540 /nfs/dbraw/zinc/06/35/40/756063540.db2.gz DURRUWLRKROMSP-OLZOCXBDSA-N 1 2 308.813 1.389 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001015019774 756076326 /nfs/dbraw/zinc/07/63/26/756076326.db2.gz ROWJHSPVNCIARM-GFCCVEGCSA-N 1 2 315.398 1.417 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001015019774 756076335 /nfs/dbraw/zinc/07/63/35/756076335.db2.gz ROWJHSPVNCIARM-GFCCVEGCSA-N 1 2 315.398 1.417 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001015095028 756120197 /nfs/dbraw/zinc/12/01/97/756120197.db2.gz WXGKVZUUCQIKFJ-LLVKDONJSA-N 1 2 306.797 1.768 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001015095028 756120203 /nfs/dbraw/zinc/12/02/03/756120203.db2.gz WXGKVZUUCQIKFJ-LLVKDONJSA-N 1 2 306.797 1.768 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2nsc3ccccc32)[C@H](OC)C1 ZINC001081723821 756442776 /nfs/dbraw/zinc/44/27/76/756442776.db2.gz ZZEFOTQTFRRSML-CHWSQXEVSA-N 1 2 315.398 1.359 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2nsc3ccccc32)[C@H](OC)C1 ZINC001081723821 756442779 /nfs/dbraw/zinc/44/27/79/756442779.db2.gz ZZEFOTQTFRRSML-CHWSQXEVSA-N 1 2 315.398 1.359 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccnc(OC)c2)C1 ZINC001015714257 756489158 /nfs/dbraw/zinc/48/91/58/756489158.db2.gz ZPUMRZHJSIOBAG-ZDUSSCGKSA-N 1 2 309.797 1.576 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccnc(OC)c2)C1 ZINC001015714257 756489160 /nfs/dbraw/zinc/48/91/60/756489160.db2.gz ZPUMRZHJSIOBAG-ZDUSSCGKSA-N 1 2 309.797 1.576 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1cnon1 ZINC001015875741 756609306 /nfs/dbraw/zinc/60/93/06/756609306.db2.gz BRBLFYDJQHGCMC-CQSZACIVSA-N 1 2 314.320 1.065 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1cnon1 ZINC001015875741 756609310 /nfs/dbraw/zinc/60/93/10/756609310.db2.gz BRBLFYDJQHGCMC-CQSZACIVSA-N 1 2 314.320 1.065 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(F)F)cc2)[C@H](OC)C1 ZINC001082241399 756697604 /nfs/dbraw/zinc/69/76/04/756697604.db2.gz QJQGYZMMONGXMT-ZIAGYGMSSA-N 1 2 308.328 1.686 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(F)F)cc2)[C@H](OC)C1 ZINC001082241399 756697607 /nfs/dbraw/zinc/69/76/07/756697607.db2.gz QJQGYZMMONGXMT-ZIAGYGMSSA-N 1 2 308.328 1.686 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001082320367 756736980 /nfs/dbraw/zinc/73/69/80/756736980.db2.gz MINSELNPAUZZPG-AWEZNQCLSA-N 1 2 304.394 1.416 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2coc(CCC)n2)[C@H](OC)C1 ZINC001082325120 756738947 /nfs/dbraw/zinc/73/89/47/756738947.db2.gz RBTRWSBQAORMQB-TZMCWYRMSA-N 1 2 305.378 1.079 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2coc(CCC)n2)[C@H](OC)C1 ZINC001082325120 756738950 /nfs/dbraw/zinc/73/89/50/756738950.db2.gz RBTRWSBQAORMQB-TZMCWYRMSA-N 1 2 305.378 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C23CC(c4ccccc4)(C2)C3)[C@H](OC)C1 ZINC001082388099 756764560 /nfs/dbraw/zinc/76/45/60/756764560.db2.gz MHYIULVUQWQQRJ-NXMBOHNASA-N 1 2 324.424 1.557 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C23CC(c4ccccc4)(C2)C3)[C@H](OC)C1 ZINC001082388099 756764563 /nfs/dbraw/zinc/76/45/63/756764563.db2.gz MHYIULVUQWQQRJ-NXMBOHNASA-N 1 2 324.424 1.557 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC001082451156 756791683 /nfs/dbraw/zinc/79/16/83/756791683.db2.gz RGGRORZXDDEZDZ-DMDPSCGWSA-N 1 2 317.393 1.118 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncccc2CC)[C@@H](O)C1 ZINC001090373994 756822594 /nfs/dbraw/zinc/82/25/94/756822594.db2.gz KVZHBCBXFCIWEL-KGLIPLIRSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncccc2CC)[C@@H](O)C1 ZINC001090373994 756822601 /nfs/dbraw/zinc/82/26/01/756822601.db2.gz KVZHBCBXFCIWEL-KGLIPLIRSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2cnsn2)C1 ZINC001082751846 756911953 /nfs/dbraw/zinc/91/19/53/756911953.db2.gz BUSZAFIHWIVBFY-ZRJCITRHSA-N 1 2 322.434 1.066 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@@H+](CC(=C)Cl)C[C@H]1O ZINC001099764369 756926425 /nfs/dbraw/zinc/92/64/25/756926425.db2.gz UYYKZBVTAPFXMZ-ZIAGYGMSSA-N 1 2 316.829 1.273 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@H+](CC(=C)Cl)C[C@H]1O ZINC001099764369 756926429 /nfs/dbraw/zinc/92/64/29/756926429.db2.gz UYYKZBVTAPFXMZ-ZIAGYGMSSA-N 1 2 316.829 1.273 20 30 DDEDLO C=CCC[N@H+]1C[C@H]2OCCN(C(=O)C(F)C(F)(F)F)[C@H]2C1 ZINC001083089482 757128862 /nfs/dbraw/zinc/12/88/62/757128862.db2.gz IFPFOGHLPVQJBG-HBNTYKKESA-N 1 2 310.291 1.375 20 30 DDEDLO C=CCC[N@@H+]1C[C@H]2OCCN(C(=O)C(F)C(F)(F)F)[C@H]2C1 ZINC001083089482 757128873 /nfs/dbraw/zinc/12/88/73/757128873.db2.gz IFPFOGHLPVQJBG-HBNTYKKESA-N 1 2 310.291 1.375 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccoc3C3CC3)[C@H]2C1 ZINC001083176483 757180582 /nfs/dbraw/zinc/18/05/82/757180582.db2.gz WDLZOOLIHBLHMA-JKSUJKDBSA-N 1 2 314.385 1.706 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccoc3C3CC3)[C@H]2C1 ZINC001083176483 757180590 /nfs/dbraw/zinc/18/05/90/757180590.db2.gz WDLZOOLIHBLHMA-JKSUJKDBSA-N 1 2 314.385 1.706 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001097486095 757205001 /nfs/dbraw/zinc/20/50/01/757205001.db2.gz WXIQSLQIJBYDQV-WMLDXEAASA-N 1 2 318.421 1.905 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc3ccccc3n2)[C@@H](O)C1 ZINC001083983787 757206673 /nfs/dbraw/zinc/20/66/73/757206673.db2.gz OAZKDURKHDQMFI-SJORKVTESA-N 1 2 309.369 1.033 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3ccccc3n2)[C@@H](O)C1 ZINC001083983787 757206676 /nfs/dbraw/zinc/20/66/76/757206676.db2.gz OAZKDURKHDQMFI-SJORKVTESA-N 1 2 309.369 1.033 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cnc(C(C)(C)C)s2)[C@@H](O)C1 ZINC001084095062 757280728 /nfs/dbraw/zinc/28/07/28/757280728.db2.gz QQVORLUDTQGJES-MNOVXSKESA-N 1 2 309.435 1.402 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cnc(C(C)(C)C)s2)[C@@H](O)C1 ZINC001084095062 757280738 /nfs/dbraw/zinc/28/07/38/757280738.db2.gz QQVORLUDTQGJES-MNOVXSKESA-N 1 2 309.435 1.402 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CO[C@@H]3CCOC3)[C@@H]2C1 ZINC001084339548 757499803 /nfs/dbraw/zinc/49/98/03/757499803.db2.gz DDDFLGZGOCVTPR-MGPQQGTHSA-N 1 2 314.813 1.077 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CO[C@@H]3CCOC3)[C@@H]2C1 ZINC001084339548 757499812 /nfs/dbraw/zinc/49/98/12/757499812.db2.gz DDDFLGZGOCVTPR-MGPQQGTHSA-N 1 2 314.813 1.077 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001052729886 757697365 /nfs/dbraw/zinc/69/73/65/757697365.db2.gz RARRJMKHGVNZIQ-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO Cc1ncsc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001017422434 757919676 /nfs/dbraw/zinc/91/96/76/757919676.db2.gz MYEQRXPQWAANJP-RTXFEEFZSA-N 1 2 304.419 1.786 20 30 DDEDLO Cc1ncsc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001017422434 757919680 /nfs/dbraw/zinc/91/96/80/757919680.db2.gz MYEQRXPQWAANJP-RTXFEEFZSA-N 1 2 304.419 1.786 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3[nH]cnc3C)[C@@H]2C1 ZINC001084799390 757926054 /nfs/dbraw/zinc/92/60/54/757926054.db2.gz HBCOUJGNPYPRPX-TZMCWYRMSA-N 1 2 308.813 1.546 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3[nH]cnc3C)[C@@H]2C1 ZINC001084799390 757926058 /nfs/dbraw/zinc/92/60/58/757926058.db2.gz HBCOUJGNPYPRPX-TZMCWYRMSA-N 1 2 308.813 1.546 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)CC1 ZINC001052957922 758011954 /nfs/dbraw/zinc/01/19/54/758011954.db2.gz AJKKIEWONGGMEI-OAHLLOKOSA-N 1 2 323.400 1.689 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)CC1 ZINC001052957922 758011965 /nfs/dbraw/zinc/01/19/65/758011965.db2.gz AJKKIEWONGGMEI-OAHLLOKOSA-N 1 2 323.400 1.689 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[N@H+](Cc3nccs3)[C@H]2C1 ZINC001084906428 758045584 /nfs/dbraw/zinc/04/55/84/758045584.db2.gz QVIBAGGGKXOHKE-UPJWGTAASA-N 1 2 304.419 1.726 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[N@@H+](Cc3nccs3)[C@H]2C1 ZINC001084906428 758045595 /nfs/dbraw/zinc/04/55/95/758045595.db2.gz QVIBAGGGKXOHKE-UPJWGTAASA-N 1 2 304.419 1.726 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2[nH]cnc21 ZINC001017591968 758059152 /nfs/dbraw/zinc/05/91/52/758059152.db2.gz ZRXVHWUECCMLFM-BETUJISGSA-N 1 2 309.373 1.270 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2[nH]cnc21 ZINC001017591968 758059160 /nfs/dbraw/zinc/05/91/60/758059160.db2.gz ZRXVHWUECCMLFM-BETUJISGSA-N 1 2 309.373 1.270 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CCC3CC3)CC2=O)C1 ZINC001108527455 762607181 /nfs/dbraw/zinc/60/71/81/762607181.db2.gz JKUJNRSWNKHGGU-CQSZACIVSA-N 1 2 305.422 1.154 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001017749298 758204376 /nfs/dbraw/zinc/20/43/76/758204376.db2.gz ZIKGMHUGFHCXMH-YNLUIRMOSA-N 1 2 300.402 1.108 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001017749298 758204383 /nfs/dbraw/zinc/20/43/83/758204383.db2.gz ZIKGMHUGFHCXMH-YNLUIRMOSA-N 1 2 300.402 1.108 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1nc(C)cc1C ZINC001017782103 758233899 /nfs/dbraw/zinc/23/38/99/758233899.db2.gz RYQFJEWJSWEJHI-IYBDPMFKSA-N 1 2 300.406 1.198 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1nc(C)cc1C ZINC001017782103 758233906 /nfs/dbraw/zinc/23/39/06/758233906.db2.gz RYQFJEWJSWEJHI-IYBDPMFKSA-N 1 2 300.406 1.198 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)ccc1OC ZINC001017782882 758235330 /nfs/dbraw/zinc/23/53/30/758235330.db2.gz QFDLBXZYHVILNU-OKILXGFUSA-N 1 2 302.349 1.756 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)ccc1OC ZINC001017782882 758235335 /nfs/dbraw/zinc/23/53/35/758235335.db2.gz QFDLBXZYHVILNU-OKILXGFUSA-N 1 2 302.349 1.756 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccnc1-n1cccn1 ZINC001017786232 758238815 /nfs/dbraw/zinc/23/88/15/758238815.db2.gz RFEBCGZZYOPYCM-GASCZTMLSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccnc1-n1cccn1 ZINC001017786232 758238825 /nfs/dbraw/zinc/23/88/25/758238825.db2.gz RFEBCGZZYOPYCM-GASCZTMLSA-N 1 2 321.384 1.189 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCOC2(C[NH+]([C@H](C)c3ccccc3)C2)C1 ZINC001053187223 758247298 /nfs/dbraw/zinc/24/72/98/758247298.db2.gz HCQFDOKENYASCW-HUUCEWRRSA-N 1 2 313.401 1.820 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](CNC(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001053305395 758358829 /nfs/dbraw/zinc/35/88/29/758358829.db2.gz DUGIPFMQMWGRQA-HUUCEWRRSA-N 1 2 318.421 1.450 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001053312908 758366504 /nfs/dbraw/zinc/36/65/04/758366504.db2.gz QWUMJVMYJGJLKL-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@H]1CC(C)(C)CO1)CCO2 ZINC001053337267 758384619 /nfs/dbraw/zinc/38/46/19/758384619.db2.gz KPWAFFXDOUDWDI-HNNXBMFYSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cccc(OC)c1 ZINC001017986516 758443496 /nfs/dbraw/zinc/44/34/96/758443496.db2.gz XKAMGCAGVIXFMD-GASCZTMLSA-N 1 2 314.385 1.382 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cccc(OC)c1 ZINC001017986516 758443499 /nfs/dbraw/zinc/44/34/99/758443499.db2.gz XKAMGCAGVIXFMD-GASCZTMLSA-N 1 2 314.385 1.382 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1-n1cccn1 ZINC001017995394 758452243 /nfs/dbraw/zinc/45/22/43/758452243.db2.gz KJXPDOHOCLWOBS-IYBDPMFKSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1-n1cccn1 ZINC001017995394 758452247 /nfs/dbraw/zinc/45/22/47/758452247.db2.gz KJXPDOHOCLWOBS-IYBDPMFKSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnnc2ccccc21 ZINC001017995331 758452417 /nfs/dbraw/zinc/45/24/17/758452417.db2.gz GXZFKVALKPDCMD-OKILXGFUSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnnc2ccccc21 ZINC001017995331 758452424 /nfs/dbraw/zinc/45/24/24/758452424.db2.gz GXZFKVALKPDCMD-OKILXGFUSA-N 1 2 306.369 1.552 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ocnc1C1CC1)CCO2 ZINC001053426654 758454150 /nfs/dbraw/zinc/45/41/50/758454150.db2.gz STAXJGJOGRQFKW-UHFFFAOYSA-N 1 2 317.389 1.655 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@H]1CCCC[C@H]1C ZINC001018017195 758479839 /nfs/dbraw/zinc/47/98/39/758479839.db2.gz UCQUIRLYHWOAOR-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@H]1CCCC[C@H]1C ZINC001018017195 758479845 /nfs/dbraw/zinc/47/98/45/758479845.db2.gz UCQUIRLYHWOAOR-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(C)nc1C)CCO2 ZINC001053540641 758545576 /nfs/dbraw/zinc/54/55/76/758545576.db2.gz YQFZMQRNLDDKOM-UHFFFAOYSA-N 1 2 315.417 1.801 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cccn1C)O2 ZINC001053573799 758582444 /nfs/dbraw/zinc/58/24/44/758582444.db2.gz MKYCGCNRWVYCPU-CQSZACIVSA-N 1 2 303.406 1.564 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)C1CC(OCC)C1)O2 ZINC001053595977 758616095 /nfs/dbraw/zinc/61/60/95/758616095.db2.gz ICBAJTNPXMKDHA-SHARSMKWSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccns1)O2 ZINC001053606743 758626659 /nfs/dbraw/zinc/62/66/59/758626659.db2.gz NAUCSYLUZMOETB-LBPRGKRZSA-N 1 2 307.419 1.682 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1OCC[C@H]1CC)O2 ZINC001053613334 758631647 /nfs/dbraw/zinc/63/16/47/758631647.db2.gz AZXUKXGSGKJRBD-OAGGEKHMSA-N 1 2 322.449 1.727 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnn(CC)c1C)O2 ZINC001053684046 758693556 /nfs/dbraw/zinc/69/35/56/758693556.db2.gz KBELXDQNOKALKJ-AWEZNQCLSA-N 1 2 318.421 1.361 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065704808 758705394 /nfs/dbraw/zinc/70/53/94/758705394.db2.gz RTZMECFMTMCKFJ-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COC1CCC(C)CC1 ZINC001018334964 758737758 /nfs/dbraw/zinc/73/77/58/758737758.db2.gz QBQHVWDWLOKJDN-HPZPOOBXSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COC1CCC(C)CC1 ZINC001018334964 758737763 /nfs/dbraw/zinc/73/77/63/758737763.db2.gz QBQHVWDWLOKJDN-HPZPOOBXSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C(F)=C1CCCC1)CO2 ZINC001053775997 758798263 /nfs/dbraw/zinc/79/82/63/758798263.db2.gz MUMNVADBJGVTSG-CQSZACIVSA-N 1 2 306.381 1.767 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1nccn1CC)CO2 ZINC001053788365 758814691 /nfs/dbraw/zinc/81/46/91/758814691.db2.gz KXSGPTKVAVMUES-CYBMUJFWSA-N 1 2 304.394 1.052 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc3occc3[nH]1)CO2 ZINC001053822456 758850460 /nfs/dbraw/zinc/85/04/60/758850460.db2.gz NOCAOOVEDFQPLR-GFCCVEGCSA-N 1 2 313.357 1.357 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CCC(C)(F)F)CC2=O)C1 ZINC001108553366 762666148 /nfs/dbraw/zinc/66/61/48/762666148.db2.gz LDICQPRDOIJSIO-NSHDSACASA-N 1 2 315.364 1.009 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(CCC)n[nH]1)CO2 ZINC001053888622 758921863 /nfs/dbraw/zinc/92/18/63/758921863.db2.gz NYEPXJPFGQMUBW-AWEZNQCLSA-N 1 2 318.421 1.511 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cncc(Cl)c1)CO2 ZINC001053956039 758993491 /nfs/dbraw/zinc/99/34/91/758993491.db2.gz XXZCIKXBVSJTEM-CQSZACIVSA-N 1 2 321.808 1.884 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cn(C(C)C)nn1)CO2 ZINC001053968989 759004977 /nfs/dbraw/zinc/00/49/77/759004977.db2.gz BHAAIRSOCRTKMH-ZDUSSCGKSA-N 1 2 319.409 1.008 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc(OC)c1)CO2 ZINC001053991500 759037020 /nfs/dbraw/zinc/03/70/20/759037020.db2.gz WBHACQGMIQMNRY-HNNXBMFYSA-N 1 2 314.385 1.292 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001054037521 759095586 /nfs/dbraw/zinc/09/55/86/759095586.db2.gz IEKYIIBZVXQKCM-GOSISDBHSA-N 1 2 318.380 1.676 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001054037521 759095593 /nfs/dbraw/zinc/09/55/93/759095593.db2.gz IEKYIIBZVXQKCM-GOSISDBHSA-N 1 2 318.380 1.676 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccncn1 ZINC001054056983 759110563 /nfs/dbraw/zinc/11/05/63/759110563.db2.gz YOTWPZDVKQJLFH-KRWDZBQOSA-N 1 2 320.396 1.657 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccncn1 ZINC001054056983 759110568 /nfs/dbraw/zinc/11/05/68/759110568.db2.gz YOTWPZDVKQJLFH-KRWDZBQOSA-N 1 2 320.396 1.657 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C(C)(C)CCC)CC2=O)C1 ZINC001108568583 762696542 /nfs/dbraw/zinc/69/65/42/762696542.db2.gz XGALJAXTXLLMMN-CQSZACIVSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001099974227 759330903 /nfs/dbraw/zinc/33/09/03/759330903.db2.gz OPAHVKGWRFEENV-UKRRQHHQSA-N 1 2 307.394 1.391 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001099974227 759330913 /nfs/dbraw/zinc/33/09/13/759330913.db2.gz OPAHVKGWRFEENV-UKRRQHHQSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cn(CCC)nn2)C1 ZINC001046735797 767867143 /nfs/dbraw/zinc/86/71/43/767867143.db2.gz VYSNVCDXOLKGDM-AWEZNQCLSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cn(CCC)nn2)C1 ZINC001046735797 767867149 /nfs/dbraw/zinc/86/71/49/767867149.db2.gz VYSNVCDXOLKGDM-AWEZNQCLSA-N 1 2 311.817 1.635 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1cocn1 ZINC001085516629 759607462 /nfs/dbraw/zinc/60/74/62/759607462.db2.gz ZKCHFELKMOAAAY-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1cocn1 ZINC001085516629 759607465 /nfs/dbraw/zinc/60/74/65/759607465.db2.gz ZKCHFELKMOAAAY-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001069138703 767874893 /nfs/dbraw/zinc/87/48/93/767874893.db2.gz YARVEUSNCNAOHB-SMDDNHRTSA-N 1 2 310.361 1.320 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)c2cc(C#N)c[nH]2)ncn1 ZINC001085534262 759644453 /nfs/dbraw/zinc/64/44/53/759644453.db2.gz GSWNOGCSPKCRCI-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)c2cc(C#N)c[nH]2)ncn1 ZINC001085534262 759644459 /nfs/dbraw/zinc/64/44/59/759644459.db2.gz GSWNOGCSPKCRCI-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1CNc1ccc(C#N)cn1 ZINC001054440376 759649741 /nfs/dbraw/zinc/64/97/41/759649741.db2.gz VARBOPWTVZJSIC-TZMCWYRMSA-N 1 2 324.388 1.425 20 30 DDEDLO Cc1nocc1C[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553258 759680415 /nfs/dbraw/zinc/68/04/15/759680415.db2.gz AQXWMSZJLVHUFB-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nocc1C[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553258 759680418 /nfs/dbraw/zinc/68/04/18/759680418.db2.gz AQXWMSZJLVHUFB-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)c2ccc(C#N)[nH]2)on1 ZINC001085552798 759680718 /nfs/dbraw/zinc/68/07/18/759680718.db2.gz OJFHAZUHNXVNHA-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)c2ccc(C#N)[nH]2)on1 ZINC001085552798 759680726 /nfs/dbraw/zinc/68/07/26/759680726.db2.gz OJFHAZUHNXVNHA-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C2CCC2)cnn1C ZINC001085607185 759821670 /nfs/dbraw/zinc/82/16/70/759821670.db2.gz JBJALCFAPUBNKC-CQSZACIVSA-N 1 2 300.406 1.467 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C2CCC2)cnn1C ZINC001085607185 759821681 /nfs/dbraw/zinc/82/16/81/759821681.db2.gz JBJALCFAPUBNKC-CQSZACIVSA-N 1 2 300.406 1.467 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)nc2sccn21 ZINC001085671941 759981378 /nfs/dbraw/zinc/98/13/78/759981378.db2.gz LALDCSAUXWKXGE-LBPRGKRZSA-N 1 2 302.403 1.484 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)nc2sccn21 ZINC001085671941 759981385 /nfs/dbraw/zinc/98/13/85/759981385.db2.gz LALDCSAUXWKXGE-LBPRGKRZSA-N 1 2 302.403 1.484 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CN(C)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001085681024 759995447 /nfs/dbraw/zinc/99/54/47/759995447.db2.gz QVZBUUIPSRQKQA-ZFWWWQNUSA-N 1 2 322.840 1.731 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)n([C@H](C)CC)n1 ZINC001085685242 760010581 /nfs/dbraw/zinc/01/05/81/760010581.db2.gz MPKIXHCSDMSMQR-HIFRSBDPSA-N 1 2 302.422 1.942 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)n([C@H](C)CC)n1 ZINC001085685242 760010592 /nfs/dbraw/zinc/01/05/92/760010592.db2.gz MPKIXHCSDMSMQR-HIFRSBDPSA-N 1 2 302.422 1.942 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncoc1[C@@H]1CCCO1 ZINC001085760684 760185140 /nfs/dbraw/zinc/18/51/40/760185140.db2.gz QIVZASBLKBHPHI-KBPBESRZSA-N 1 2 317.389 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncoc1[C@@H]1CCCO1 ZINC001085760684 760185143 /nfs/dbraw/zinc/18/51/43/760185143.db2.gz QIVZASBLKBHPHI-KBPBESRZSA-N 1 2 317.389 1.696 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncoc1[C@H]1CCCO1 ZINC001085760686 760185360 /nfs/dbraw/zinc/18/53/60/760185360.db2.gz QIVZASBLKBHPHI-UONOGXRCSA-N 1 2 317.389 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncoc1[C@H]1CCCO1 ZINC001085760686 760185370 /nfs/dbraw/zinc/18/53/70/760185370.db2.gz QIVZASBLKBHPHI-UONOGXRCSA-N 1 2 317.389 1.696 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@H]2CC[N@H+]2C[C@@H](F)CC)nn1 ZINC001085860698 760398665 /nfs/dbraw/zinc/39/86/65/760398665.db2.gz GOALPZHKHRSPJV-QWHCGFSZSA-N 1 2 309.389 1.359 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2C[C@@H](F)CC)nn1 ZINC001085860698 760398673 /nfs/dbraw/zinc/39/86/73/760398673.db2.gz GOALPZHKHRSPJV-QWHCGFSZSA-N 1 2 309.389 1.359 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)nn(CCC)c1C ZINC001085915926 760532382 /nfs/dbraw/zinc/53/23/82/760532382.db2.gz NHIJTYBFTHNNFR-OAHLLOKOSA-N 1 2 302.422 1.689 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)nn(CCC)c1C ZINC001085915926 760532390 /nfs/dbraw/zinc/53/23/90/760532390.db2.gz NHIJTYBFTHNNFR-OAHLLOKOSA-N 1 2 302.422 1.689 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cnn(C)c2)cn1 ZINC001085933873 760564924 /nfs/dbraw/zinc/56/49/24/760564924.db2.gz MRZSGNOYVUHAEM-QGZVFWFLSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cnn(C)c2)cn1 ZINC001085933873 760564929 /nfs/dbraw/zinc/56/49/29/760564929.db2.gz MRZSGNOYVUHAEM-QGZVFWFLSA-N 1 2 323.400 1.143 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(N)=O)cs1 ZINC001085988963 760666888 /nfs/dbraw/zinc/66/68/88/760666888.db2.gz FAFNRLKSLYETCE-GFCCVEGCSA-N 1 2 305.403 1.017 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(N)=O)cs1 ZINC001085988963 760666889 /nfs/dbraw/zinc/66/68/89/760666889.db2.gz FAFNRLKSLYETCE-GFCCVEGCSA-N 1 2 305.403 1.017 20 30 DDEDLO C[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1ncc(C#N)cc1Cl ZINC001098005965 760744199 /nfs/dbraw/zinc/74/41/99/760744199.db2.gz AOVYMRADXYIIIA-VIFPVBQESA-N 1 2 318.768 1.489 20 30 DDEDLO Cc1ncsc1C[N@@H+]1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038174920 760889442 /nfs/dbraw/zinc/88/94/42/760889442.db2.gz KQSTZSWRBKRHCD-ZDUSSCGKSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1ncsc1C[N@H+]1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038174920 760889454 /nfs/dbraw/zinc/88/94/54/760889454.db2.gz KQSTZSWRBKRHCD-ZDUSSCGKSA-N 1 2 315.402 1.656 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1conc1C ZINC001038265534 760959209 /nfs/dbraw/zinc/95/92/09/760959209.db2.gz FNHGFFHYIZSVEZ-XHSDSOJGSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1conc1C ZINC001038265534 760959207 /nfs/dbraw/zinc/95/92/07/760959207.db2.gz FNHGFFHYIZSVEZ-XHSDSOJGSA-N 1 2 319.405 1.655 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ccc(C#N)nc1 ZINC001069382903 768003209 /nfs/dbraw/zinc/00/32/09/768003209.db2.gz BHVFCTNASROQLE-IUODEOHRSA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ccncc1C#N ZINC001069384172 768004201 /nfs/dbraw/zinc/00/42/01/768004201.db2.gz TZGVTRPHZQSUMK-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn(-c2ccccc2)nn1 ZINC001038446057 761124932 /nfs/dbraw/zinc/12/49/32/761124932.db2.gz NQSRQDMYJUKKRA-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn(-c2ccccc2)nn1 ZINC001038446057 761124939 /nfs/dbraw/zinc/12/49/39/761124939.db2.gz NQSRQDMYJUKKRA-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1COc2ccc(F)cc2C1 ZINC001038519555 761172385 /nfs/dbraw/zinc/17/23/85/761172385.db2.gz MTQPCCUYIGLMSU-UKRRQHHQSA-N 1 2 302.349 1.201 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1COc2ccc(F)cc2C1 ZINC001038519555 761172389 /nfs/dbraw/zinc/17/23/89/761172389.db2.gz MTQPCCUYIGLMSU-UKRRQHHQSA-N 1 2 302.349 1.201 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001056687761 761344712 /nfs/dbraw/zinc/34/47/12/761344712.db2.gz PWEGTNVSFYGWEC-CYBMUJFWSA-N 1 2 310.361 1.240 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cccnn2)cc1 ZINC001038850040 761473632 /nfs/dbraw/zinc/47/36/32/761473632.db2.gz XSJCDCFIICBLST-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cccnn2)cc1 ZINC001038850040 761473635 /nfs/dbraw/zinc/47/36/35/761473635.db2.gz XSJCDCFIICBLST-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)nc2cc(C)nn21 ZINC001039024600 761662199 /nfs/dbraw/zinc/66/21/99/761662199.db2.gz FHAYORUIUKSWAX-CQSZACIVSA-N 1 2 311.389 1.174 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)nc2cc(C)nn21 ZINC001039024600 761662206 /nfs/dbraw/zinc/66/22/06/761662206.db2.gz FHAYORUIUKSWAX-CQSZACIVSA-N 1 2 311.389 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cnc(C)cn2)CC1 ZINC001000399406 761701039 /nfs/dbraw/zinc/70/10/39/761701039.db2.gz ZOADUTMGSZERGT-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cnc(C)cn2)CC1 ZINC001000399406 761701044 /nfs/dbraw/zinc/70/10/44/761701044.db2.gz ZOADUTMGSZERGT-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(NC(C)=O)ccc1C ZINC001039099022 761738069 /nfs/dbraw/zinc/73/80/69/761738069.db2.gz SLVVZHCFLRLUSD-MRXNPFEDSA-N 1 2 313.401 1.781 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(NC(C)=O)ccc1C ZINC001039099022 761738071 /nfs/dbraw/zinc/73/80/71/761738071.db2.gz SLVVZHCFLRLUSD-MRXNPFEDSA-N 1 2 313.401 1.781 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CC[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001056837397 761755611 /nfs/dbraw/zinc/75/56/11/761755611.db2.gz DNXPXTBNWCLROD-OAHLLOKOSA-N 1 2 324.388 1.332 20 30 DDEDLO N#CCN1CC[C@H]([C@H]2CCCCN2C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001039222794 761856796 /nfs/dbraw/zinc/85/67/96/761856796.db2.gz MAWUGGQENBNPAZ-LSDHHAIUSA-N 1 2 315.421 1.569 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@](C)(CC)CCC)CC2=O)C1 ZINC001108584068 762753229 /nfs/dbraw/zinc/75/32/29/762753229.db2.gz YSALPPUOJJEOSZ-KSSFIOAISA-N 1 2 321.465 1.790 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@]2(CC[N@H+](Cc3cnns3)C2)C1 ZINC001041271919 762923536 /nfs/dbraw/zinc/92/35/36/762923536.db2.gz CSZVDHKCCBTKSC-MRXNPFEDSA-N 1 2 316.430 1.376 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@]2(CC[N@@H+](Cc3cnns3)C2)C1 ZINC001041271919 762923539 /nfs/dbraw/zinc/92/35/39/762923539.db2.gz CSZVDHKCCBTKSC-MRXNPFEDSA-N 1 2 316.430 1.376 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(F)F)C2 ZINC001108860007 763021931 /nfs/dbraw/zinc/02/19/31/763021931.db2.gz YAADNYXDWATFJL-WYUUTHIRSA-N 1 2 315.364 1.054 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(F)F)C2 ZINC001108860007 763021936 /nfs/dbraw/zinc/02/19/36/763021936.db2.gz YAADNYXDWATFJL-WYUUTHIRSA-N 1 2 315.364 1.054 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)c2cn[nH]c2)C1 ZINC001046921619 768116636 /nfs/dbraw/zinc/11/66/36/768116636.db2.gz NHWWSGGEKZOKIG-NSHDSACASA-N 1 2 318.755 1.849 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)c2cn[nH]c2)C1 ZINC001046921619 768116642 /nfs/dbraw/zinc/11/66/42/768116642.db2.gz NHWWSGGEKZOKIG-NSHDSACASA-N 1 2 318.755 1.849 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccoc1Cl)C2 ZINC001109197167 763455074 /nfs/dbraw/zinc/45/50/74/763455074.db2.gz OCOLGKNQRXBAHH-XBFCOCLRSA-N 1 2 322.792 1.918 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccoc1Cl)C2 ZINC001109197167 763455082 /nfs/dbraw/zinc/45/50/82/763455082.db2.gz OCOLGKNQRXBAHH-XBFCOCLRSA-N 1 2 322.792 1.918 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncc(F)c1 ZINC001109251641 763516610 /nfs/dbraw/zinc/51/66/10/763516610.db2.gz DPRXAHUGZGUYKM-IKGGRYGDSA-N 1 2 313.376 1.856 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncc(F)c1 ZINC001109251641 763516615 /nfs/dbraw/zinc/51/66/15/763516615.db2.gz DPRXAHUGZGUYKM-IKGGRYGDSA-N 1 2 313.376 1.856 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CC[C@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001042057461 763600890 /nfs/dbraw/zinc/60/08/90/763600890.db2.gz JTNLTABHQIQXCE-HOTGVXAUSA-N 1 2 312.417 1.256 20 30 DDEDLO Cn1ccnc1C[N@H+]1CC[C@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001042057461 763600893 /nfs/dbraw/zinc/60/08/93/763600893.db2.gz JTNLTABHQIQXCE-HOTGVXAUSA-N 1 2 312.417 1.256 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[NH+]([C@@H](C)c1csnn1)CC2 ZINC001050464657 763628730 /nfs/dbraw/zinc/62/87/30/763628730.db2.gz XUDYAYOFMDIHRF-NEPJUHHUSA-N 1 2 319.434 1.683 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2csc(C)n2)[C@@H](O)C1 ZINC001090501050 768167127 /nfs/dbraw/zinc/16/71/27/768167127.db2.gz UBKCXFKUSYZWKQ-JQWIXIFHSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2csc(C)n2)[C@@H](O)C1 ZINC001090501050 768167129 /nfs/dbraw/zinc/16/71/29/768167129.db2.gz UBKCXFKUSYZWKQ-JQWIXIFHSA-N 1 2 315.826 1.369 20 30 DDEDLO CN(CCCNc1ncccc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001109656885 763919249 /nfs/dbraw/zinc/91/92/49/763919249.db2.gz PIEVXYULRFRRCK-ZIAGYGMSSA-N 1 2 324.388 1.740 20 30 DDEDLO CN(CCCNc1ncccc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001109656885 763919255 /nfs/dbraw/zinc/91/92/55/763919255.db2.gz PIEVXYULRFRRCK-ZIAGYGMSSA-N 1 2 324.388 1.740 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cc[nH]c3C)c2C1 ZINC001069856055 768187978 /nfs/dbraw/zinc/18/79/78/768187978.db2.gz FGOSTMSVIQBCBV-UHFFFAOYSA-N 1 2 313.405 1.841 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cc[nH]c3C)c2C1 ZINC001069856055 768187980 /nfs/dbraw/zinc/18/79/80/768187980.db2.gz FGOSTMSVIQBCBV-UHFFFAOYSA-N 1 2 313.405 1.841 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001057629574 764178142 /nfs/dbraw/zinc/17/81/42/764178142.db2.gz CNSZZJPQIXFPMU-AWEZNQCLSA-N 1 2 324.388 1.712 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnn1C(C)C ZINC001050938510 764307240 /nfs/dbraw/zinc/30/72/40/764307240.db2.gz RQRYBNIEXIOEJT-OAHLLOKOSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnn1C(C)C ZINC001050938510 764307246 /nfs/dbraw/zinc/30/72/46/764307246.db2.gz RQRYBNIEXIOEJT-OAHLLOKOSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C2CC2)cnn1C ZINC001050952634 764339124 /nfs/dbraw/zinc/33/91/24/764339124.db2.gz RTLVYSQYHKLTLB-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C2CC2)cnn1C ZINC001050952634 764339128 /nfs/dbraw/zinc/33/91/28/764339128.db2.gz RTLVYSQYHKLTLB-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncc(OC)c1C ZINC001050986031 764384226 /nfs/dbraw/zinc/38/42/26/764384226.db2.gz IBPCYZYXBJYYPL-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncc(OC)c1C ZINC001050986031 764384231 /nfs/dbraw/zinc/38/42/31/764384231.db2.gz IBPCYZYXBJYYPL-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3CCO[C@H]3C)c2C1 ZINC001069879027 768209248 /nfs/dbraw/zinc/20/92/48/768209248.db2.gz YXEIEUXYYZECEF-ZFWWWQNUSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3CCO[C@H]3C)c2C1 ZINC001069879027 768209252 /nfs/dbraw/zinc/20/92/52/768209252.db2.gz YXEIEUXYYZECEF-ZFWWWQNUSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(N(C)C)nc1 ZINC001050999226 764402812 /nfs/dbraw/zinc/40/28/12/764402812.db2.gz JZWRUNWMEIJIGL-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(N(C)C)nc1 ZINC001050999226 764402815 /nfs/dbraw/zinc/40/28/15/764402815.db2.gz JZWRUNWMEIJIGL-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C2CC2)on1 ZINC001051048289 764450253 /nfs/dbraw/zinc/45/02/53/764450253.db2.gz XCPZLOLZBNPARX-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C2CC2)on1 ZINC001051048289 764450259 /nfs/dbraw/zinc/45/02/59/764450259.db2.gz XCPZLOLZBNPARX-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cccnc1OC ZINC001051091466 764497246 /nfs/dbraw/zinc/49/72/46/764497246.db2.gz IQSVNZIYJZOENC-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccnc1OC ZINC001051091466 764497255 /nfs/dbraw/zinc/49/72/55/764497255.db2.gz IQSVNZIYJZOENC-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C1C[NH+](C[C@H]2CCCCO2)C1 ZINC001042930717 764535561 /nfs/dbraw/zinc/53/55/61/764535561.db2.gz MRPSTBSGPLPKRT-MRXNPFEDSA-N 1 2 317.433 1.999 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCN(Cc2c[nH+]cn2C)CC1 ZINC001112676440 764566169 /nfs/dbraw/zinc/56/61/69/764566169.db2.gz LSBLGNFHSQHSEQ-CQSZACIVSA-N 1 2 306.410 1.046 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nc(C)n1 ZINC001051164771 764568871 /nfs/dbraw/zinc/56/88/71/764568871.db2.gz XMBDHNVWXQUMKN-CQSZACIVSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nc(C)n1 ZINC001051164771 764568875 /nfs/dbraw/zinc/56/88/75/764568875.db2.gz XMBDHNVWXQUMKN-CQSZACIVSA-N 1 2 304.394 1.100 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccnn2CC(F)(F)F)C1 ZINC001042995648 764573598 /nfs/dbraw/zinc/57/35/98/764573598.db2.gz HRHGKLAATPSKEM-UHFFFAOYSA-N 1 2 316.327 1.778 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(C(C)C)c1 ZINC001051205642 764618699 /nfs/dbraw/zinc/61/86/99/764618699.db2.gz GJTDQBTZVZJRSD-OAHLLOKOSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(C(C)C)c1 ZINC001051205642 764618701 /nfs/dbraw/zinc/61/87/01/764618701.db2.gz GJTDQBTZVZJRSD-OAHLLOKOSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnc3n2CCCCC3)C1 ZINC001043065168 764630435 /nfs/dbraw/zinc/63/04/35/764630435.db2.gz LVLQHPZTHCQLHC-UHFFFAOYSA-N 1 2 302.422 1.942 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CCCC)cn1 ZINC001051216945 764632581 /nfs/dbraw/zinc/63/25/81/764632581.db2.gz OKDHDEGDJXYUCS-INIZCTEOSA-N 1 2 301.390 1.294 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CCCC)cn1 ZINC001051216945 764632588 /nfs/dbraw/zinc/63/25/88/764632588.db2.gz OKDHDEGDJXYUCS-INIZCTEOSA-N 1 2 301.390 1.294 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc2n(c1)CCCC2 ZINC001051274606 764698875 /nfs/dbraw/zinc/69/88/75/764698875.db2.gz XZJLCCAHWMZBJI-KRWDZBQOSA-N 1 2 317.433 1.831 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc2n(c1)CCCC2 ZINC001051274606 764698885 /nfs/dbraw/zinc/69/88/85/764698885.db2.gz XZJLCCAHWMZBJI-KRWDZBQOSA-N 1 2 317.433 1.831 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c(C)[nH]cc2NC(C)=O)C1 ZINC001043173868 764737095 /nfs/dbraw/zinc/73/70/95/764737095.db2.gz LMYHDYMDSNNIOO-UHFFFAOYSA-N 1 2 304.394 1.614 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112794693 764775195 /nfs/dbraw/zinc/77/51/95/764775195.db2.gz OQUXHWMGMLBHIH-WMLDXEAASA-N 1 2 318.421 1.539 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112796404 764776543 /nfs/dbraw/zinc/77/65/43/764776543.db2.gz UQUKUXRXLQEEOB-ZFWWWQNUSA-N 1 2 318.421 1.803 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](CCOCCC(C)C)CC1 ZINC001112842116 764863636 /nfs/dbraw/zinc/86/36/36/764863636.db2.gz YAPVXKGYQNXIPE-INIZCTEOSA-N 1 2 310.438 1.232 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051672368 765058435 /nfs/dbraw/zinc/05/84/35/765058435.db2.gz RZEXMJKNIUESSV-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C1CCC(C(=O)N(C)C2C[NH+](CCc3cnn(C)c3)C2)CC1 ZINC001043749876 765076624 /nfs/dbraw/zinc/07/66/24/765076624.db2.gz DQHVXUUNIYMNQR-UHFFFAOYSA-N 1 2 316.449 1.852 20 30 DDEDLO C[C@@H](NC(=O)Cn1cc[nH+]c1)[C@H](C)Nc1cccc(F)c1C#N ZINC001113082256 765236112 /nfs/dbraw/zinc/23/61/12/765236112.db2.gz PWJPUFAWFNIFGL-NWDGAFQWSA-N 1 2 315.352 1.899 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2CC)[C@@H](n2ccnn2)C1 ZINC001070009190 768276398 /nfs/dbraw/zinc/27/63/98/768276398.db2.gz OJZQOAMXMCREHM-SJORKVTESA-N 1 2 323.400 1.129 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2CC)[C@@H](n2ccnn2)C1 ZINC001070009190 768276401 /nfs/dbraw/zinc/27/64/01/768276401.db2.gz OJZQOAMXMCREHM-SJORKVTESA-N 1 2 323.400 1.129 20 30 DDEDLO C[C@@H](NC(=O)Cc1c[nH+]cn1C)[C@@H](C)Nc1ncccc1C#N ZINC001113096330 765255526 /nfs/dbraw/zinc/25/55/26/765255526.db2.gz KADIUCRBLMXDKP-VXGBXAGGSA-N 1 2 312.377 1.235 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccsc3)C2)CC1 ZINC001051975511 765335645 /nfs/dbraw/zinc/33/56/45/765335645.db2.gz SCFOTKYLSVQAOW-MRXNPFEDSA-N 1 2 317.458 1.604 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc[nH]3)C2)CC1 ZINC001051982324 765346926 /nfs/dbraw/zinc/34/69/26/765346926.db2.gz DBIXVWXSUPLVHI-OAHLLOKOSA-N 1 2 302.422 1.423 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ncoc3C)C2)CC1 ZINC001051991050 765355412 /nfs/dbraw/zinc/35/54/12/765355412.db2.gz CFZINMZWYLINMF-AWEZNQCLSA-N 1 2 304.394 1.001 20 30 DDEDLO CC#CCN1CC[NH+]([C@@H]2CCN(C(=O)C(F)=C(C)C)C2)CC1 ZINC001052011357 765380161 /nfs/dbraw/zinc/38/01/61/765380161.db2.gz RCTGBGUCABKWRM-OAHLLOKOSA-N 1 2 307.413 1.492 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)=C3CCC3)C2)CC1 ZINC001052025601 765400784 /nfs/dbraw/zinc/40/07/84/765400784.db2.gz HYZAOTVZFWETRL-GOSISDBHSA-N 1 2 315.461 1.729 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)C2)CC1 ZINC001052054102 765425626 /nfs/dbraw/zinc/42/56/26/765425626.db2.gz YJCJRTUYIRFFAB-GVDBMIGSSA-N 1 2 317.477 1.520 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(C4CC4)CCC3)C2)CC1 ZINC001052058379 765430282 /nfs/dbraw/zinc/43/02/82/765430282.db2.gz WXOPHAAIXOZGIE-QGZVFWFLSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3Cc4ccccc43)C2)CC1 ZINC001052073730 765445435 /nfs/dbraw/zinc/44/54/35/765445435.db2.gz NKRIWDQZAHMKHP-MJGOQNOKSA-N 1 2 323.440 1.178 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(C)CCCCC3)C2)CC1 ZINC001052074484 765446658 /nfs/dbraw/zinc/44/66/58/765446658.db2.gz FBKKSKHGWQFIIP-QGZVFWFLSA-N 1 2 317.477 1.809 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3CC(C)C)C2)CC1 ZINC001052088146 765459930 /nfs/dbraw/zinc/45/99/30/765459930.db2.gz GYOJSDLKDQGQHA-KZNAEPCWSA-N 1 2 317.477 1.520 20 30 DDEDLO CCC(C)(CC)C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052119235 765483175 /nfs/dbraw/zinc/48/31/75/765483175.db2.gz MWLABFTUHDQZQQ-OAHLLOKOSA-N 1 2 306.454 1.555 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccc(F)s3)C2)CC1 ZINC001052123330 765485668 /nfs/dbraw/zinc/48/56/68/765485668.db2.gz FMAFYGNDQQTPNN-ZDUSSCGKSA-N 1 2 321.421 1.353 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(OC)cc2C)CC1 ZINC001113281965 765508731 /nfs/dbraw/zinc/50/87/31/765508731.db2.gz CKQOMVDLCMSGLT-UHFFFAOYSA-N 1 2 318.417 1.964 20 30 DDEDLO Cc1nsc(N[C@@H](C)[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001113314964 765555961 /nfs/dbraw/zinc/55/59/61/765555961.db2.gz WSTVVLKRNMENID-IUCAKERBSA-N 1 2 318.406 1.594 20 30 DDEDLO C=CCOCCCC(=O)NCC1(Nc2cc[nH+]c(C)n2)CC1 ZINC001110091821 765673015 /nfs/dbraw/zinc/67/30/15/765673015.db2.gz TVUOMLSDSXMDIE-UHFFFAOYSA-N 1 2 304.394 1.829 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C(C)C)nn2C)CC1 ZINC001113486804 765749000 /nfs/dbraw/zinc/74/90/00/765749000.db2.gz CNJUOFVIWQXNDX-UHFFFAOYSA-N 1 2 320.437 1.504 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001052382795 765776582 /nfs/dbraw/zinc/77/65/82/765776582.db2.gz SJGWACAWQPUWEH-ZIAGYGMSSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001052382795 765776591 /nfs/dbraw/zinc/77/65/91/765776591.db2.gz SJGWACAWQPUWEH-ZIAGYGMSSA-N 1 2 300.406 1.434 20 30 DDEDLO C[C@H]1C[C@H](NCC#N)CCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001044802654 765823645 /nfs/dbraw/zinc/82/36/45/765823645.db2.gz XHUWBTKCLITCOF-GOEBONIOSA-N 1 2 323.400 1.979 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@H](C)Oc2ccccn2)CC1 ZINC001113546729 765838966 /nfs/dbraw/zinc/83/89/66/765838966.db2.gz IPNSTOQGENYGDP-HNNXBMFYSA-N 1 2 319.405 1.196 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@H](OC)c2ccccc2)CC1 ZINC001113559563 765864278 /nfs/dbraw/zinc/86/42/78/765864278.db2.gz YOWGDSDYGICBOE-QGZVFWFLSA-N 1 2 318.417 1.721 20 30 DDEDLO Cc1nc(N[C@@H]2CC[C@H](CNC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001047264370 768347665 /nfs/dbraw/zinc/34/76/65/768347665.db2.gz VDZOBDLKJVKRNS-QWHCGFSZSA-N 1 2 324.388 1.995 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001114063271 766600152 /nfs/dbraw/zinc/60/01/52/766600152.db2.gz ACERRQKEARMJPM-NYMYIZQJSA-N 1 2 300.362 1.258 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001114063271 766600161 /nfs/dbraw/zinc/60/01/61/766600161.db2.gz ACERRQKEARMJPM-NYMYIZQJSA-N 1 2 300.362 1.258 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccc(C#N)cn1 ZINC001067578527 766620740 /nfs/dbraw/zinc/62/07/40/766620740.db2.gz YQLZJVWQMAAMSN-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001114189179 766737321 /nfs/dbraw/zinc/73/73/21/766737321.db2.gz KELURBQIGORCNF-MXYBEHONSA-N 1 2 307.419 1.017 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001114189179 766737325 /nfs/dbraw/zinc/73/73/25/766737325.db2.gz KELURBQIGORCNF-MXYBEHONSA-N 1 2 307.419 1.017 20 30 DDEDLO N#CCN1CC[C@]2(C1)CCCCN(C(=O)Cn1cc[nH+]c1)C2 ZINC001045987637 766763637 /nfs/dbraw/zinc/76/36/37/766763637.db2.gz XHFIJTUQWGZGRG-INIZCTEOSA-N 1 2 301.394 1.111 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C3C=CC=CC=C3)CC2)C1 ZINC001046034264 766840172 /nfs/dbraw/zinc/84/01/72/766840172.db2.gz QREMZTVQJHTZOU-QGZVFWFLSA-N 1 2 312.417 1.027 20 30 DDEDLO C=CCn1c(N2CC=C(C)CC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001121608196 782589154 /nfs/dbraw/zinc/58/91/54/782589154.db2.gz SOMLNUFXRZYYNZ-WMLDXEAASA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1c(N2CC=C(C)CC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121608196 782589163 /nfs/dbraw/zinc/58/91/63/782589163.db2.gz SOMLNUFXRZYYNZ-WMLDXEAASA-N 1 2 317.437 1.532 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)no1 ZINC001046251494 767298845 /nfs/dbraw/zinc/29/88/45/767298845.db2.gz NYUZEGKGPHTWJA-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)no1 ZINC001046251494 767298848 /nfs/dbraw/zinc/29/88/48/767298848.db2.gz NYUZEGKGPHTWJA-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cn1ccc(C[N@@H+]2CC[C@@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC001046252158 767300928 /nfs/dbraw/zinc/30/09/28/767300928.db2.gz ZPSQEZRIRHBGAU-MRXNPFEDSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1ccc(C[N@H+]2CC[C@@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC001046252158 767300933 /nfs/dbraw/zinc/30/09/33/767300933.db2.gz ZPSQEZRIRHBGAU-MRXNPFEDSA-N 1 2 312.377 1.014 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cn(C3CCC3)nn2)C1 ZINC001046326907 767426801 /nfs/dbraw/zinc/42/68/01/767426801.db2.gz YBORCVXEBDYCPU-HNNXBMFYSA-N 1 2 323.828 1.950 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cn(C3CCC3)nn2)C1 ZINC001046326907 767426811 /nfs/dbraw/zinc/42/68/11/767426811.db2.gz YBORCVXEBDYCPU-HNNXBMFYSA-N 1 2 323.828 1.950 20 30 DDEDLO CC#CC[N@H+]1CC[C@](C)(NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001046386526 767497010 /nfs/dbraw/zinc/49/70/10/767497010.db2.gz GTVQEXCCMJZRSN-HNNXBMFYSA-N 1 2 308.813 1.843 20 30 DDEDLO CC#CC[N@@H+]1CC[C@](C)(NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001046386526 767497012 /nfs/dbraw/zinc/49/70/12/767497012.db2.gz GTVQEXCCMJZRSN-HNNXBMFYSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnc3n2CCOC3)C1 ZINC001046392555 767503416 /nfs/dbraw/zinc/50/34/16/767503416.db2.gz XAUNGXCTKRAFPC-HNNXBMFYSA-N 1 2 324.812 1.360 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnc3n2CCOC3)C1 ZINC001046392555 767503422 /nfs/dbraw/zinc/50/34/22/767503422.db2.gz XAUNGXCTKRAFPC-HNNXBMFYSA-N 1 2 324.812 1.360 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001046472027 767607250 /nfs/dbraw/zinc/60/72/50/767607250.db2.gz AEXNTDWMIWIDMI-AWEZNQCLSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001046472027 767607252 /nfs/dbraw/zinc/60/72/52/767607252.db2.gz AEXNTDWMIWIDMI-AWEZNQCLSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2nonc2C)C1 ZINC001046632450 767757874 /nfs/dbraw/zinc/75/78/74/767757874.db2.gz YLJYVQIHUWVFOY-INWMFGNUSA-N 1 2 320.393 1.050 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2nonc2C)C1 ZINC001046632450 767757877 /nfs/dbraw/zinc/75/78/77/767757877.db2.gz YLJYVQIHUWVFOY-INWMFGNUSA-N 1 2 320.393 1.050 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069078534 767828090 /nfs/dbraw/zinc/82/80/90/767828090.db2.gz HGUNPPVEKNPGDF-IUODEOHRSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+]Cc1nc(CC)no1 ZINC001131870383 768431515 /nfs/dbraw/zinc/43/15/15/768431515.db2.gz RRUBAIHURQHMDF-HNNXBMFYSA-N 1 2 310.398 1.067 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CC(=O)NCC)CC[C@@H]1C ZINC001131980212 768523124 /nfs/dbraw/zinc/52/31/24/768523124.db2.gz JFYKSGYTNFLOTL-RYUDHWBXSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CC(=O)NCC)CC[C@@H]1C ZINC001131980212 768523127 /nfs/dbraw/zinc/52/31/27/768523127.db2.gz JFYKSGYTNFLOTL-RYUDHWBXSA-N 1 2 301.818 1.234 20 30 DDEDLO CC(C)CNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132034498 768580827 /nfs/dbraw/zinc/58/08/27/768580827.db2.gz SBDYOEGXROEGFK-GDBMZVCRSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)CNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132034498 768580828 /nfs/dbraw/zinc/58/08/28/768580828.db2.gz SBDYOEGXROEGFK-GDBMZVCRSA-N 1 2 319.449 1.141 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2cocc2C)CC[C@@H]1C ZINC001132222233 768693668 /nfs/dbraw/zinc/69/36/68/768693668.db2.gz VLGCFAIPYKTPQH-KBPBESRZSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2cocc2C)CC[C@@H]1C ZINC001132222233 768693671 /nfs/dbraw/zinc/69/36/71/768693671.db2.gz VLGCFAIPYKTPQH-KBPBESRZSA-N 1 2 319.405 1.473 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CCC(C)(C)C)C[N@@H+]1CC(=O)NCC#N ZINC001132370639 768790744 /nfs/dbraw/zinc/79/07/44/768790744.db2.gz AUPJUUQKJARICE-ZIAGYGMSSA-N 1 2 322.453 1.422 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CCC(C)(C)C)C[N@H+]1CC(=O)NCC#N ZINC001132370639 768790747 /nfs/dbraw/zinc/79/07/47/768790747.db2.gz AUPJUUQKJARICE-ZIAGYGMSSA-N 1 2 322.453 1.422 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001070981848 768833169 /nfs/dbraw/zinc/83/31/69/768833169.db2.gz QVBKCPBZFPWAGN-RHSMWYFYSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001070981848 768833184 /nfs/dbraw/zinc/83/31/84/768833184.db2.gz QVBKCPBZFPWAGN-RHSMWYFYSA-N 1 2 305.422 1.013 20 30 DDEDLO CCCC(=O)NCC[NH+]1CCN(c2ccc(C#N)c(C)n2)CC1 ZINC001096267672 768842137 /nfs/dbraw/zinc/84/21/37/768842137.db2.gz HKLVEBQYFBUEEQ-UHFFFAOYSA-N 1 2 315.421 1.300 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2CC3(CC3)C2)CC1 ZINC001070998635 768861045 /nfs/dbraw/zinc/86/10/45/768861045.db2.gz LRXAMQATUMRABB-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2CC3(CC3)C2)CC1 ZINC001070998635 768861058 /nfs/dbraw/zinc/86/10/58/768861058.db2.gz LRXAMQATUMRABB-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC[C@H](C)C2)CC1 ZINC001071005834 768870905 /nfs/dbraw/zinc/87/09/05/768870905.db2.gz KJCYFMIRNWOVEA-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC[C@H](C)C2)CC1 ZINC001071005834 768870918 /nfs/dbraw/zinc/87/09/18/768870918.db2.gz KJCYFMIRNWOVEA-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@@]2(C)CC)CC1 ZINC001071013261 768879737 /nfs/dbraw/zinc/87/97/37/768879737.db2.gz WSAZRCRTHMBFOO-CRAIPNDOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@@]2(C)CC)CC1 ZINC001071013261 768879746 /nfs/dbraw/zinc/87/97/46/768879746.db2.gz WSAZRCRTHMBFOO-CRAIPNDOSA-N 1 2 321.465 1.649 20 30 DDEDLO CCCCCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132464470 768882250 /nfs/dbraw/zinc/88/22/50/768882250.db2.gz UHNQFGBXFBRMSZ-CABCVRRESA-N 1 2 322.453 1.566 20 30 DDEDLO CCCCCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132464470 768882262 /nfs/dbraw/zinc/88/22/62/768882262.db2.gz UHNQFGBXFBRMSZ-CABCVRRESA-N 1 2 322.453 1.566 20 30 DDEDLO CC[C@@H](C)C(=O)NCC[NH+]1CCN(c2ncccc2C#N)CC1 ZINC001096274782 768899498 /nfs/dbraw/zinc/89/94/98/768899498.db2.gz IJUJQHOLNYCJGO-CQSZACIVSA-N 1 2 315.421 1.238 20 30 DDEDLO CC[C@H](C)C(=O)NCC[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC001096275216 768903610 /nfs/dbraw/zinc/90/36/10/768903610.db2.gz UCXRQJBOEJQCKH-AWEZNQCLSA-N 1 2 315.421 1.238 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)COC)C[C@H](C)O2 ZINC001071110643 768956789 /nfs/dbraw/zinc/95/67/89/768956789.db2.gz RQBVUDHXNXIUBW-GXTWGEPZSA-N 1 2 302.802 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)COC)C[C@H](C)O2 ZINC001071110643 768956795 /nfs/dbraw/zinc/95/67/95/768956795.db2.gz RQBVUDHXNXIUBW-GXTWGEPZSA-N 1 2 302.802 1.077 20 30 DDEDLO C[C@H]1C[NH+](C2CN(c3ccc4[nH]c(C#N)cc4n3)C2)C[C@H](C)O1 ZINC001158729059 769251498 /nfs/dbraw/zinc/25/14/98/769251498.db2.gz VHZSOHZIEKMOQG-RYUDHWBXSA-N 1 2 311.389 1.732 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccnc(OC)n2)CC[C@@H]1C ZINC001071675205 769846211 /nfs/dbraw/zinc/84/62/11/769846211.db2.gz CSUNTOUYRXECPK-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccnc(OC)n2)CC[C@@H]1C ZINC001071675205 769846228 /nfs/dbraw/zinc/84/62/28/769846228.db2.gz CSUNTOUYRXECPK-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001048974957 770196971 /nfs/dbraw/zinc/19/69/71/770196971.db2.gz LAHCTHLKRRFTHH-OKILXGFUSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001048974957 770196979 /nfs/dbraw/zinc/19/69/79/770196979.db2.gz LAHCTHLKRRFTHH-OKILXGFUSA-N 1 2 317.437 1.308 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001096505843 770608209 /nfs/dbraw/zinc/60/82/09/770608209.db2.gz SBMNXTSWRSZVLI-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnn(CC)n1 ZINC001049455353 770864781 /nfs/dbraw/zinc/86/47/81/770864781.db2.gz CSAIURXCGSJHQR-KBPBESRZSA-N 1 2 323.828 1.729 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnn(CC)n1 ZINC001049455353 770864793 /nfs/dbraw/zinc/86/47/93/770864793.db2.gz CSAIURXCGSJHQR-KBPBESRZSA-N 1 2 323.828 1.729 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001072454563 770921521 /nfs/dbraw/zinc/92/15/21/770921521.db2.gz ZBJSNKDGDVWIGT-KGLIPLIRSA-N 1 2 318.421 1.449 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CCC[C@@H]2[C@H]1CCN2CC#N ZINC001049539921 771003652 /nfs/dbraw/zinc/00/36/52/771003652.db2.gz GEIKSDCLHSSWBK-HUUCEWRRSA-N 1 2 314.389 1.222 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]3[C@H]2CC[N@@H+]3Cc2cnon2)C1 ZINC001049704367 771099266 /nfs/dbraw/zinc/09/92/66/771099266.db2.gz XNFODAPRMHCVFY-LSDHHAIUSA-N 1 2 316.405 1.991 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]3[C@H]2CC[N@H+]3Cc2cnon2)C1 ZINC001049704367 771099268 /nfs/dbraw/zinc/09/92/68/771099268.db2.gz XNFODAPRMHCVFY-LSDHHAIUSA-N 1 2 316.405 1.991 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@@H](Nc1ncccc1C#N)C1CC1 ZINC001096696112 771422312 /nfs/dbraw/zinc/42/23/12/771422312.db2.gz UPPZRBANSQRIBI-OAHLLOKOSA-N 1 2 324.388 1.236 20 30 DDEDLO C=CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCc3[nH]ncc31)C2 ZINC001097078526 771612858 /nfs/dbraw/zinc/61/28/58/771612858.db2.gz XUVFGHARLMRMDL-KOZAUXTDSA-N 1 2 300.406 1.737 20 30 DDEDLO C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCc3[nH]ncc31)C2 ZINC001097078526 771612862 /nfs/dbraw/zinc/61/28/62/771612862.db2.gz XUVFGHARLMRMDL-KOZAUXTDSA-N 1 2 300.406 1.737 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001097167368 771655052 /nfs/dbraw/zinc/65/50/52/771655052.db2.gz MTADEIGJWKTMEZ-OKILXGFUSA-N 1 2 304.394 1.827 20 30 DDEDLO C=C[C@H]1C[C@]1(Nc1ncnc2c1C[N@H+](C)CC2)C(=O)OCC ZINC001170925499 771915323 /nfs/dbraw/zinc/91/53/23/771915323.db2.gz SPFWBIAEYKMPID-MEDUHNTESA-N 1 2 302.378 1.384 20 30 DDEDLO C=C[C@H]1C[C@]1(Nc1ncnc2c1C[N@@H+](C)CC2)C(=O)OCC ZINC001170925499 771915325 /nfs/dbraw/zinc/91/53/25/771915325.db2.gz SPFWBIAEYKMPID-MEDUHNTESA-N 1 2 302.378 1.384 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2occc2C)[C@H](O)C1 ZINC001090551412 771984355 /nfs/dbraw/zinc/98/43/55/771984355.db2.gz YYGVGKWVMNHIIL-QWHCGFSZSA-N 1 2 312.797 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2occc2C)[C@H](O)C1 ZINC001090551412 771984356 /nfs/dbraw/zinc/98/43/56/771984356.db2.gz YYGVGKWVMNHIIL-QWHCGFSZSA-N 1 2 312.797 1.434 20 30 DDEDLO N#Cc1ccnc(NC(=O)[C@@H]2[C@H](O)CC[N@@H+]2Cc2ccccc2)c1 ZINC001143659566 772287183 /nfs/dbraw/zinc/28/71/83/772287183.db2.gz YHWLEHPWGYSMFL-WBVHZDCISA-N 1 2 322.368 1.527 20 30 DDEDLO N#Cc1ccnc(NC(=O)[C@@H]2[C@H](O)CC[N@H+]2Cc2ccccc2)c1 ZINC001143659566 772287188 /nfs/dbraw/zinc/28/71/88/772287188.db2.gz YHWLEHPWGYSMFL-WBVHZDCISA-N 1 2 322.368 1.527 20 30 DDEDLO C#CC(=O)N1CC[C@@H]2C[N@H+](Cc3csc(C)n3)CCO[C@@H]2C1 ZINC001143908244 772336080 /nfs/dbraw/zinc/33/60/80/772336080.db2.gz GYBIMTYVHXVNAN-UKRRQHHQSA-N 1 2 319.430 1.134 20 30 DDEDLO C#CC(=O)N1CC[C@@H]2C[N@@H+](Cc3csc(C)n3)CCO[C@@H]2C1 ZINC001143908244 772336084 /nfs/dbraw/zinc/33/60/84/772336084.db2.gz GYBIMTYVHXVNAN-UKRRQHHQSA-N 1 2 319.430 1.134 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)CSCC#N)C[C@H]2C)cc[nH+]1 ZINC001090952705 772361250 /nfs/dbraw/zinc/36/12/50/772361250.db2.gz SMEBCCJNBLDTRR-ZYHUDNBSSA-N 1 2 305.407 1.301 20 30 DDEDLO O=C(CCS)N1CCC2(CC1)C[N@H+](Cc1ccoc1)CCO2 ZINC001143978515 772366897 /nfs/dbraw/zinc/36/68/97/772366897.db2.gz UQWVNGVCTFZADO-UHFFFAOYSA-N 1 2 324.446 1.793 20 30 DDEDLO O=C(CCS)N1CCC2(CC1)C[N@@H+](Cc1ccoc1)CCO2 ZINC001143978515 772366900 /nfs/dbraw/zinc/36/69/00/772366900.db2.gz UQWVNGVCTFZADO-UHFFFAOYSA-N 1 2 324.446 1.793 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001091363538 772707334 /nfs/dbraw/zinc/70/73/34/772707334.db2.gz QWHCVUKQBFNJBZ-XBXGTLAGSA-N 1 2 324.388 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](Oc2ccnc(CNC(C)=O)c2)C1 ZINC001091396413 772720127 /nfs/dbraw/zinc/72/01/27/772720127.db2.gz GQZXLIQZQUISRT-OAHLLOKOSA-N 1 2 309.797 1.923 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](Oc2ccnc(CNC(C)=O)c2)C1 ZINC001091396413 772720128 /nfs/dbraw/zinc/72/01/28/772720128.db2.gz GQZXLIQZQUISRT-OAHLLOKOSA-N 1 2 309.797 1.923 20 30 DDEDLO C=C[C@@H]1C[C@]1(NC(=O)OC(C)(C)C)C(=O)N(CC)C1C[NH+](C)C1 ZINC001146223505 772827869 /nfs/dbraw/zinc/82/78/69/772827869.db2.gz XOKDUMLEVWWONH-SJKOYZFVSA-N 1 2 323.437 1.618 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C)o1)C2 ZINC001147441150 773147601 /nfs/dbraw/zinc/14/76/01/773147601.db2.gz RZJLQARZEUTFCU-INIZCTEOSA-N 1 2 319.405 1.344 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C)o1)C2 ZINC001147441150 773147604 /nfs/dbraw/zinc/14/76/04/773147604.db2.gz RZJLQARZEUTFCU-INIZCTEOSA-N 1 2 319.405 1.344 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001073802979 773424779 /nfs/dbraw/zinc/42/47/79/773424779.db2.gz NMKNDGGKHBSRDQ-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001073802979 773424783 /nfs/dbraw/zinc/42/47/83/773424783.db2.gz NMKNDGGKHBSRDQ-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[C@@H](C)[N@@H+]1CCC2(CN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001148352012 773445687 /nfs/dbraw/zinc/44/56/87/773445687.db2.gz XONWMWKSFDCMOL-CQSZACIVSA-N 1 2 302.422 1.841 20 30 DDEDLO C=CCC[C@@H](C)[N@H+]1CCC2(CN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001148352012 773445689 /nfs/dbraw/zinc/44/56/89/773445689.db2.gz XONWMWKSFDCMOL-CQSZACIVSA-N 1 2 302.422 1.841 20 30 DDEDLO C[C@@H](C#N)c1cccc(C(=O)NCC2([NH+]3CCCC3)COC2)c1 ZINC001148622326 773555227 /nfs/dbraw/zinc/55/52/27/773555227.db2.gz LFVVLWCHMFKCQR-AWEZNQCLSA-N 1 2 313.401 1.908 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1nccn1C ZINC001073973034 773566240 /nfs/dbraw/zinc/56/62/40/773566240.db2.gz OGPAZISSMRNVAY-UONOGXRCSA-N 1 2 303.410 1.393 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1nccn1C ZINC001073973034 773566247 /nfs/dbraw/zinc/56/62/47/773566247.db2.gz OGPAZISSMRNVAY-UONOGXRCSA-N 1 2 303.410 1.393 20 30 DDEDLO CCC(=O)NC[C@H]1C[N@H+](Cc2cc(C#N)ccc2F)CCCO1 ZINC001148962626 773650070 /nfs/dbraw/zinc/65/00/70/773650070.db2.gz VHYOHSITUIYAQM-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO CCC(=O)NC[C@H]1C[N@@H+](Cc2cc(C#N)ccc2F)CCCO1 ZINC001148962626 773650077 /nfs/dbraw/zinc/65/00/77/773650077.db2.gz VHYOHSITUIYAQM-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3CCCC3)C[C@@H]21 ZINC001074154866 773679645 /nfs/dbraw/zinc/67/96/45/773679645.db2.gz LHCWZJONJKZHAI-IRXDYDNUSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3CCCC3)C[C@@H]21 ZINC001074154866 773679648 /nfs/dbraw/zinc/67/96/48/773679648.db2.gz LHCWZJONJKZHAI-IRXDYDNUSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)oc3C)C[C@H]21 ZINC001074162788 773685279 /nfs/dbraw/zinc/68/52/79/773685279.db2.gz DREJMBKJHCYIJL-SJORKVTESA-N 1 2 316.401 1.835 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)oc3C)C[C@H]21 ZINC001074162788 773685286 /nfs/dbraw/zinc/68/52/86/773685286.db2.gz DREJMBKJHCYIJL-SJORKVTESA-N 1 2 316.401 1.835 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccoc3)C[C@@H]21 ZINC001074216044 773738985 /nfs/dbraw/zinc/73/89/85/773738985.db2.gz SEUNPZMKOMZGBU-HOTGVXAUSA-N 1 2 304.390 1.700 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccoc3)C[C@@H]21 ZINC001074216044 773738987 /nfs/dbraw/zinc/73/89/87/773738987.db2.gz SEUNPZMKOMZGBU-HOTGVXAUSA-N 1 2 304.390 1.700 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccoc3CC)C[C@@H]21 ZINC001074229081 773753926 /nfs/dbraw/zinc/75/39/26/773753926.db2.gz JCVYSWCFISUIOA-RDJZCZTQSA-N 1 2 316.401 1.781 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccoc3CC)C[C@@H]21 ZINC001074229081 773753927 /nfs/dbraw/zinc/75/39/27/773753927.db2.gz JCVYSWCFISUIOA-RDJZCZTQSA-N 1 2 316.401 1.781 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3nccc(C)n3)C[C@@H]21 ZINC001074229547 773754635 /nfs/dbraw/zinc/75/46/35/773754635.db2.gz MHDLTJPCVKHWDR-LSDHHAIUSA-N 1 2 316.405 1.276 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3nccc(C)n3)C[C@@H]21 ZINC001074229547 773754643 /nfs/dbraw/zinc/75/46/43/773754643.db2.gz MHDLTJPCVKHWDR-LSDHHAIUSA-N 1 2 316.405 1.276 20 30 DDEDLO CC(C)=CC[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001074237116 773760236 /nfs/dbraw/zinc/76/02/36/773760236.db2.gz UIYJTAIJVZZUHH-IAGOWNOFSA-N 1 2 302.418 1.668 20 30 DDEDLO CC(C)=CC[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001074237116 773760238 /nfs/dbraw/zinc/76/02/38/773760238.db2.gz UIYJTAIJVZZUHH-IAGOWNOFSA-N 1 2 302.418 1.668 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCOCC3)C[C@@H]21 ZINC001074354114 773849627 /nfs/dbraw/zinc/84/96/27/773849627.db2.gz LAMGGRAGLWPKHN-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCOCC3)C[C@@H]21 ZINC001074354114 773849630 /nfs/dbraw/zinc/84/96/30/773849630.db2.gz LAMGGRAGLWPKHN-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO CCc1cc(N2CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]2C)nc(C)[nH+]1 ZINC001091944588 773885447 /nfs/dbraw/zinc/88/54/47/773885447.db2.gz FPAYJTLCWKXDHF-WDMOLILDSA-N 1 2 301.394 1.591 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092181910 773986941 /nfs/dbraw/zinc/98/69/41/773986941.db2.gz NJHIGDXDLOHTBQ-UONOGXRCSA-N 1 2 318.421 1.443 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001092224066 774015561 /nfs/dbraw/zinc/01/55/61/774015561.db2.gz JQTFISCCMWOPCA-XGNXJENSSA-N 1 2 304.394 1.683 20 30 DDEDLO Cc1ccc(C#N)c(NC2CC(CNC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC001092268838 774036812 /nfs/dbraw/zinc/03/68/12/774036812.db2.gz KNVQLSGHYUEACY-UHFFFAOYSA-N 1 2 324.388 1.534 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@H]2CCN(C(=O)C#CC3CC3)[C@H]2C)no1 ZINC001074646553 774048681 /nfs/dbraw/zinc/04/86/81/774048681.db2.gz DKTLGKGMHGYCLM-SUNKGSAMSA-N 1 2 302.378 1.431 20 30 DDEDLO Cc1cc(N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001093235801 774546054 /nfs/dbraw/zinc/54/60/54/774546054.db2.gz UAPVFDZXNJDQPR-JWFUOXDNSA-N 1 2 311.389 1.373 20 30 DDEDLO C#CCC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3[nH]nnc3c1)C2 ZINC001098374964 774553378 /nfs/dbraw/zinc/55/33/78/774553378.db2.gz FDLLYTGDFNOKQG-WQVCFCJDSA-N 1 2 309.373 1.316 20 30 DDEDLO C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3[nH]nnc3c1)C2 ZINC001098374964 774553382 /nfs/dbraw/zinc/55/33/82/774553382.db2.gz FDLLYTGDFNOKQG-WQVCFCJDSA-N 1 2 309.373 1.316 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3ccnn3C)CC2)C1 ZINC001093516861 774767168 /nfs/dbraw/zinc/76/71/68/774767168.db2.gz PNZQXKOILAFUOE-UHFFFAOYSA-N 1 2 318.421 1.302 20 30 DDEDLO C=CCCC(=O)NCCNc1[nH+]c(C)nc(-n2cccn2)c1C ZINC001093516657 774767387 /nfs/dbraw/zinc/76/73/87/774767387.db2.gz POVUICUOKZOVGC-UHFFFAOYSA-N 1 2 314.393 1.773 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCCO[C@H]3C)CC2)C1 ZINC001093526874 774778693 /nfs/dbraw/zinc/77/86/93/774778693.db2.gz FSCQFFSWRPTFKP-WMLDXEAASA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)CC2)C1 ZINC001093564942 774835227 /nfs/dbraw/zinc/83/52/27/774835227.db2.gz FOXGANATIPIYLZ-FICVDOATSA-N 1 2 304.434 1.910 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cnoc3CC)CC2)C1 ZINC001093578929 774852867 /nfs/dbraw/zinc/85/28/67/774852867.db2.gz JNIYUQDJSRKTQB-UHFFFAOYSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)Cc3ccco3)CC2)C1 ZINC001093584924 774863402 /nfs/dbraw/zinc/86/34/02/774863402.db2.gz MJUYRWWUQJPTOU-UHFFFAOYSA-N 1 2 304.390 1.700 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001099946861 775457860 /nfs/dbraw/zinc/45/78/60/775457860.db2.gz MYFFCJPLGOLDJR-UKRRQHHQSA-N 1 2 321.421 1.637 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001099946861 775457863 /nfs/dbraw/zinc/45/78/63/775457863.db2.gz MYFFCJPLGOLDJR-UKRRQHHQSA-N 1 2 321.421 1.637 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001099977317 775511625 /nfs/dbraw/zinc/51/16/25/775511625.db2.gz XBSQMPWVBWSICC-JKSUJKDBSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001099977317 775511630 /nfs/dbraw/zinc/51/16/30/775511630.db2.gz XBSQMPWVBWSICC-JKSUJKDBSA-N 1 2 318.421 1.193 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCCNc2ccc(C#N)nc2)c[nH+]1 ZINC001094222318 775545137 /nfs/dbraw/zinc/54/51/37/775545137.db2.gz AQCHTAPMIQZWOK-UHFFFAOYSA-N 1 2 312.377 1.422 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)C(C)(F)F)[C@H](O)C1 ZINC001100089733 775665694 /nfs/dbraw/zinc/66/56/94/775665694.db2.gz ISGKKTJPDUPWJR-GHMZBOCLSA-N 1 2 324.799 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)C(C)(F)F)[C@H](O)C1 ZINC001100089733 775665699 /nfs/dbraw/zinc/66/56/99/775665699.db2.gz ISGKKTJPDUPWJR-GHMZBOCLSA-N 1 2 324.799 1.972 20 30 DDEDLO C=CCCC(=O)NCC1CC([NH2+]Cc2nsc(N(C)C)n2)C1 ZINC001100170213 775757989 /nfs/dbraw/zinc/75/79/89/775757989.db2.gz COZJSXVJUZEHPC-UHFFFAOYSA-N 1 2 323.466 1.555 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)CCn2cc[nH+]c2)ccc1C#N ZINC001100251038 775892317 /nfs/dbraw/zinc/89/23/17/775892317.db2.gz YTNIIVNUFVCKMS-UHFFFAOYSA-N 1 2 312.377 1.101 20 30 DDEDLO N#Cc1cnccc1NCCCNC(=O)c1cccc2[nH+]ccn21 ZINC001094667218 776149211 /nfs/dbraw/zinc/14/92/11/776149211.db2.gz JYICZKORCXKPJL-UHFFFAOYSA-N 1 2 320.356 1.255 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3CCC3(C)C)CC2=O)C1 ZINC001094770540 776260211 /nfs/dbraw/zinc/26/02/11/776260211.db2.gz DIEVOVQKJMXIHJ-JSGCOSHPSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(C)(C)C3CC3)CC2=O)C1 ZINC001094772636 776261931 /nfs/dbraw/zinc/26/19/31/776261931.db2.gz ZCMCRWYYNGIMCS-ZDUSSCGKSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3CCC(C)(C)C3)CC2=O)C1 ZINC001094786609 776278610 /nfs/dbraw/zinc/27/86/10/776278610.db2.gz XFVBQHWTAWIROW-KGLIPLIRSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3C[C@H]3CC(C)C)CC2=O)C1 ZINC001094787929 776278631 /nfs/dbraw/zinc/27/86/31/776278631.db2.gz KQBIXHQKUOIWSV-IJEWVQPXSA-N 1 2 319.449 1.256 20 30 DDEDLO CCN(CCNC(=O)Cn1cc[nH+]c1)c1snc(C)c1C#N ZINC001100556402 776302310 /nfs/dbraw/zinc/30/23/10/776302310.db2.gz OROFQIWBLJTLLB-UHFFFAOYSA-N 1 2 318.406 1.162 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3(F)CCCCC3)CC2=O)C1 ZINC001094803324 776302796 /nfs/dbraw/zinc/30/27/96/776302796.db2.gz BPLPUUYDJWSUSY-CYBMUJFWSA-N 1 2 323.412 1.246 20 30 DDEDLO C=CCCCC(=O)NCCN(CC)c1[nH+]cnc2c1cnn2C ZINC001100697445 776448319 /nfs/dbraw/zinc/44/83/19/776448319.db2.gz TUBWSCBNCHKEIH-UHFFFAOYSA-N 1 2 316.409 1.662 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H]1CNC(=O)CCc1[nH]cc[nH+]1 ZINC001100823242 776598406 /nfs/dbraw/zinc/59/84/06/776598406.db2.gz GEYHYFMMRIWWCB-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CNC(=O)Cc2c[nH]c[nH+]2)cn1 ZINC001100910555 776694952 /nfs/dbraw/zinc/69/49/52/776694952.db2.gz MLSJMVAWKKXIPJ-CQSZACIVSA-N 1 2 310.361 1.004 20 30 DDEDLO Cc1nc(N2CC[C@H](CNC(=O)C#CC3CC3)C2)c(C)c(C)[nH+]1 ZINC001100978735 776787984 /nfs/dbraw/zinc/78/79/84/776787984.db2.gz JWJHQJHROIWQMA-MRXNPFEDSA-N 1 2 312.417 1.758 20 30 DDEDLO CCOC(=O)[C@H](CS)[NH2+][C@@H]1CCC[C@@H](C(=O)OC)CC1 ZINC001172763975 776884698 /nfs/dbraw/zinc/88/46/98/776884698.db2.gz BPANNQNOGPLZRH-UTUOFQBUSA-N 1 2 303.424 1.559 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001095081234 777078560 /nfs/dbraw/zinc/07/85/60/777078560.db2.gz IETOBPDBRXGDJL-CYBMUJFWSA-N 1 2 318.425 1.615 20 30 DDEDLO C=CCOC(=O)N1CCC2(C[NH+](Cc3cn(C)cn3)C2)CC1 ZINC001206198787 777160676 /nfs/dbraw/zinc/16/06/76/777160676.db2.gz IPOIHGYEPDXJMX-UHFFFAOYSA-N 1 2 304.394 1.641 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)CCCn1cc[nH+]c1 ZINC001101663235 777361528 /nfs/dbraw/zinc/36/15/28/777361528.db2.gz XITTWSNTCBSJQU-UHFFFAOYSA-N 1 2 312.377 1.500 20 30 DDEDLO N#Cc1ccn(-c2ccc(OCC[NH+]3CCOCC3)cc2)c1N ZINC001174431581 777475845 /nfs/dbraw/zinc/47/58/45/777475845.db2.gz FFOFMZYSSIPRIN-UHFFFAOYSA-N 1 2 312.373 1.642 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N(C)CCNc1cc[nH+]c(C)n1 ZINC001101788067 777517721 /nfs/dbraw/zinc/51/77/21/777517721.db2.gz ODPDUYBKJDZEOS-CQSZACIVSA-N 1 2 319.409 1.126 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101928834 777691174 /nfs/dbraw/zinc/69/11/74/777691174.db2.gz DUEBRQKBGVVFJR-INIZCTEOSA-N 1 2 320.441 1.719 20 30 DDEDLO C=CCOCC(=O)NCCCN(c1cc[nH+]c(C)n1)C(C)C ZINC001102092860 777871768 /nfs/dbraw/zinc/87/17/68/777871768.db2.gz OISCIZIWSSUQHH-UHFFFAOYSA-N 1 2 306.410 1.709 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOC(C)C)[C@H]2C1 ZINC001176954978 778345856 /nfs/dbraw/zinc/34/58/56/778345856.db2.gz LGCLUIOREROKAX-JKSUJKDBSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@H+](CCOC(C)C)[C@H]2C1 ZINC001176954978 778345863 /nfs/dbraw/zinc/34/58/63/778345863.db2.gz LGCLUIOREROKAX-JKSUJKDBSA-N 1 2 310.438 1.679 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1C ZINC001102784360 778397533 /nfs/dbraw/zinc/39/75/33/778397533.db2.gz PWUMKSUBXOAWON-QJPTWQEYSA-N 1 2 307.423 1.272 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1C ZINC001102784360 778397536 /nfs/dbraw/zinc/39/75/36/778397536.db2.gz PWUMKSUBXOAWON-QJPTWQEYSA-N 1 2 307.423 1.272 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CCOC)[C@H]3C2)CCC1 ZINC001177030365 778399909 /nfs/dbraw/zinc/39/99/09/778399909.db2.gz NBCVQSULMKBJIJ-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CCOC)[C@H]3C2)CCC1 ZINC001177030365 778399916 /nfs/dbraw/zinc/39/99/16/778399916.db2.gz NBCVQSULMKBJIJ-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C=C)C[C@H]21 ZINC001177061497 778421946 /nfs/dbraw/zinc/42/19/46/778421946.db2.gz MMRPHAKZBGSFBD-HZPDHXFCSA-N 1 2 322.449 1.703 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C=C)C[C@H]21 ZINC001177061497 778421949 /nfs/dbraw/zinc/42/19/49/778421949.db2.gz MMRPHAKZBGSFBD-HZPDHXFCSA-N 1 2 322.449 1.703 20 30 DDEDLO C#CCCCNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)OC(C)(C)C ZINC001177089462 778441030 /nfs/dbraw/zinc/44/10/30/778441030.db2.gz WOAFSZLPWHRLFI-ZDUSSCGKSA-N 1 2 320.393 1.375 20 30 DDEDLO C#CCCCNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)OC(C)(C)C ZINC001177089462 778441032 /nfs/dbraw/zinc/44/10/32/778441032.db2.gz WOAFSZLPWHRLFI-ZDUSSCGKSA-N 1 2 320.393 1.375 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1C ZINC001102955839 778501931 /nfs/dbraw/zinc/50/19/31/778501931.db2.gz JKIJKJTVYDMANW-ZIAGYGMSSA-N 1 2 320.462 1.772 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1C ZINC001102955839 778501934 /nfs/dbraw/zinc/50/19/34/778501934.db2.gz JKIJKJTVYDMANW-ZIAGYGMSSA-N 1 2 320.462 1.772 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(CCCC)n1 ZINC001177269437 778525307 /nfs/dbraw/zinc/52/53/07/778525307.db2.gz MHBCHLDZTBIVOS-ZDUSSCGKSA-N 1 2 324.425 1.599 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](C)[C@H](CCNC(=O)C(F)C(F)(F)F)C1 ZINC001103011490 778557828 /nfs/dbraw/zinc/55/78/28/778557828.db2.gz GNBMRNVPZNYPRY-IJLUTSLNSA-N 1 2 308.319 1.984 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](C)[C@H](CCNC(=O)C(F)C(F)(F)F)C1 ZINC001103011490 778557832 /nfs/dbraw/zinc/55/78/32/778557832.db2.gz GNBMRNVPZNYPRY-IJLUTSLNSA-N 1 2 308.319 1.984 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](C)[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001103011490 778557836 /nfs/dbraw/zinc/55/78/36/778557836.db2.gz GNBMRNVPZNYPRY-IJLUTSLNSA-N 1 2 308.319 1.984 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](C)[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001103011490 778557837 /nfs/dbraw/zinc/55/78/37/778557837.db2.gz GNBMRNVPZNYPRY-IJLUTSLNSA-N 1 2 308.319 1.984 20 30 DDEDLO Cc1nc(NCC2(NC(=O)CSCC#N)CCCC2)cc[nH+]1 ZINC001103409047 778850278 /nfs/dbraw/zinc/85/02/78/778850278.db2.gz HQGRPLLXOKAGCW-UHFFFAOYSA-N 1 2 319.434 1.883 20 30 DDEDLO N#Cc1ccc(NCC2(NC(=O)Cc3[nH]cc[nH+]3)CCCC2)nc1 ZINC001103440096 778868246 /nfs/dbraw/zinc/86/82/46/778868246.db2.gz LHVRLNVUYOOQLH-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO C[C@@](CNc1ncccc1C#N)(NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001103536346 778931061 /nfs/dbraw/zinc/93/10/61/778931061.db2.gz PEQRNSUOQSUWFT-KRWDZBQOSA-N 1 2 324.388 1.547 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([NH2+]Cc2csnn2)[C@@H]1C ZINC001178279678 778970780 /nfs/dbraw/zinc/97/07/80/778970780.db2.gz XQHFCOMNBUGQIX-SCRDCRAPSA-N 1 2 324.450 1.598 20 30 DDEDLO CCn1ccc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)n1 ZINC001111668331 779427152 /nfs/dbraw/zinc/42/71/52/779427152.db2.gz GXIXRLJPFABOOE-KEYYUXOJSA-N 1 2 315.421 1.533 20 30 DDEDLO CCn1ccc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)n1 ZINC001111668331 779427154 /nfs/dbraw/zinc/42/71/54/779427154.db2.gz GXIXRLJPFABOOE-KEYYUXOJSA-N 1 2 315.421 1.533 20 30 DDEDLO CC(C)C#CC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ccon1)C2 ZINC001111736428 779455498 /nfs/dbraw/zinc/45/54/98/779455498.db2.gz HEQLGLAFTKARQX-YOEHRIQHSA-N 1 2 301.390 1.805 20 30 DDEDLO CC(C)C#CC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ccon1)C2 ZINC001111736428 779455500 /nfs/dbraw/zinc/45/55/00/779455500.db2.gz HEQLGLAFTKARQX-YOEHRIQHSA-N 1 2 301.390 1.805 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)[C@@H]1C ZINC001180253676 779677458 /nfs/dbraw/zinc/67/74/58/779677458.db2.gz HHOIXHAYGLKABQ-AAEUAGOBSA-N 1 2 308.382 1.050 20 30 DDEDLO C[C@H](CCCNc1ncccc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115089605 779869379 /nfs/dbraw/zinc/86/93/79/779869379.db2.gz XYDBAPFGUIICIE-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@H](CCCNc1ccc(C#N)nc1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115089524 779869715 /nfs/dbraw/zinc/86/97/15/779869715.db2.gz WQMJZKZVSZWQEW-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C#CCCCCNC(=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC001117134079 780671333 /nfs/dbraw/zinc/67/13/33/780671333.db2.gz IMOSATYPGAHELB-UHFFFAOYSA-N 1 2 317.389 1.243 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCNC(=O)C(C)C)c1 ZINC001267183843 837488931 /nfs/dbraw/zinc/48/89/31/837488931.db2.gz FHUICHYJBLUKMU-UHFFFAOYSA-N 1 2 301.390 1.310 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCNC(=O)C(C)C)c1 ZINC001267183843 837488940 /nfs/dbraw/zinc/48/89/40/837488940.db2.gz FHUICHYJBLUKMU-UHFFFAOYSA-N 1 2 301.390 1.310 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H]1CCC[C@@H]1CCC ZINC001267204829 837530602 /nfs/dbraw/zinc/53/06/02/837530602.db2.gz MZPNDTQVSCFCOQ-GJZGRUSLSA-N 1 2 307.438 1.000 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)[C@H]1CCC[C@@H]1CCC ZINC001267204829 837530610 /nfs/dbraw/zinc/53/06/10/837530610.db2.gz MZPNDTQVSCFCOQ-GJZGRUSLSA-N 1 2 307.438 1.000 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001267233909 837575932 /nfs/dbraw/zinc/57/59/32/837575932.db2.gz WYQYRVUOFMHKFB-UHFFFAOYSA-N 1 2 321.465 1.553 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001267233909 837575934 /nfs/dbraw/zinc/57/59/34/837575934.db2.gz WYQYRVUOFMHKFB-UHFFFAOYSA-N 1 2 321.465 1.553 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@H+](CC(=O)NCC3CC3)C2)CCC1 ZINC001266339727 836142036 /nfs/dbraw/zinc/14/20/36/836142036.db2.gz WJTMVEUZULXXHU-OAHLLOKOSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NCC3CC3)C2)CCC1 ZINC001266339727 836142040 /nfs/dbraw/zinc/14/20/40/836142040.db2.gz WJTMVEUZULXXHU-OAHLLOKOSA-N 1 2 319.449 1.450 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001267104824 837353221 /nfs/dbraw/zinc/35/32/21/837353221.db2.gz FUIPSUCJSDTYAT-RDJZCZTQSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001267104824 837353231 /nfs/dbraw/zinc/35/32/31/837353231.db2.gz FUIPSUCJSDTYAT-RDJZCZTQSA-N 1 2 321.421 1.733 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[NH2+]Cc1nc(CC2CC2)no1 ZINC001267414856 837998691 /nfs/dbraw/zinc/99/86/91/837998691.db2.gz RVUJQMSAKNRKGV-UHFFFAOYSA-N 1 2 306.410 1.782 20 30 DDEDLO CC(C)c1ccoc1C(=O)N(C)CC[NH+]1CCN(CC#N)CC1 ZINC001267574834 838343072 /nfs/dbraw/zinc/34/30/72/838343072.db2.gz TXDIKXTWIZDTHE-UHFFFAOYSA-N 1 2 318.421 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2(CCC)CC2)C1 ZINC001267629889 838531340 /nfs/dbraw/zinc/53/13/40/838531340.db2.gz QAUFNJCGNVKVOT-AWEZNQCLSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2(CCC)CC2)C1 ZINC001267629889 838531342 /nfs/dbraw/zinc/53/13/42/838531342.db2.gz QAUFNJCGNVKVOT-AWEZNQCLSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H](CC)CCC)C1 ZINC001267631992 838536445 /nfs/dbraw/zinc/53/64/45/838536445.db2.gz HADRGQLAWDWEGD-CABCVRRESA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H](CC)CCC)C1 ZINC001267631992 838536452 /nfs/dbraw/zinc/53/64/52/838536452.db2.gz HADRGQLAWDWEGD-CABCVRRESA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccc(Cl)cc2)C1 ZINC001267732296 838777511 /nfs/dbraw/zinc/77/75/11/838777511.db2.gz MPUULLKQOKIHRT-AWEZNQCLSA-N 1 2 321.808 1.446 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccc(Cl)cc2)C1 ZINC001267732296 838777519 /nfs/dbraw/zinc/77/75/19/838777519.db2.gz MPUULLKQOKIHRT-AWEZNQCLSA-N 1 2 321.808 1.446 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@@H](NC(=O)CSCC#N)C2)cn1 ZINC001267909974 839181435 /nfs/dbraw/zinc/18/14/35/839181435.db2.gz MZQDVNHTZPMUJU-CYBMUJFWSA-N 1 2 319.434 1.122 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@@H](NC(=O)CSCC#N)C2)cn1 ZINC001267909974 839181444 /nfs/dbraw/zinc/18/14/44/839181444.db2.gz MZQDVNHTZPMUJU-CYBMUJFWSA-N 1 2 319.434 1.122 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C2(c3ccccc3)CC2)C1 ZINC001268028661 839440739 /nfs/dbraw/zinc/44/07/39/839440739.db2.gz LGWKFXRJFKSOQV-MRXNPFEDSA-N 1 2 300.402 1.721 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C2(c3ccccc3)CC2)C1 ZINC001268028661 839440746 /nfs/dbraw/zinc/44/07/46/839440746.db2.gz LGWKFXRJFKSOQV-MRXNPFEDSA-N 1 2 300.402 1.721 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]([NH2+][C@H](C)c1noc(C)n1)C(C)C ZINC001268210457 839842097 /nfs/dbraw/zinc/84/20/97/839842097.db2.gz VUKYFUOIHJMUOF-TZMCWYRMSA-N 1 2 306.410 1.973 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](O)C[NH2+]Cc2csnn2)CCCC1 ZINC001268339029 840019978 /nfs/dbraw/zinc/01/99/78/840019978.db2.gz QOWVKGGKYRFJQP-ZDUSSCGKSA-N 1 2 324.450 1.241 20 30 DDEDLO C[C@H]([NH2+]C/C=C/CNC(=O)c1cc(C#N)c[nH]1)c1csnn1 ZINC001268519395 840366458 /nfs/dbraw/zinc/36/64/58/840366458.db2.gz CXBOORMAXAKIAN-PBKGFPTLSA-N 1 2 316.390 1.375 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC(=O)N1CCCC[C@@H]1C ZINC001268929875 841010881 /nfs/dbraw/zinc/01/08/81/841010881.db2.gz OMKHYCLDIOFBIC-JYJNAYRXSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC(=O)N1CCCC[C@@H]1C ZINC001268929875 841010889 /nfs/dbraw/zinc/01/08/89/841010889.db2.gz OMKHYCLDIOFBIC-JYJNAYRXSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)cs2)C1 ZINC001269126620 841237468 /nfs/dbraw/zinc/23/74/68/841237468.db2.gz UVDVIPIEVTWZAW-GFCCVEGCSA-N 1 2 307.419 1.163 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)cs2)C1 ZINC001269126620 841237479 /nfs/dbraw/zinc/23/74/79/841237479.db2.gz UVDVIPIEVTWZAW-GFCCVEGCSA-N 1 2 307.419 1.163 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)[C@@H](C)n1cc[nH+]c1 ZINC001269156784 841277013 /nfs/dbraw/zinc/27/70/13/841277013.db2.gz AHKQSZIGTJWLSE-MGPQQGTHSA-N 1 2 302.378 1.222 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001269156076 841277219 /nfs/dbraw/zinc/27/72/19/841277219.db2.gz LTCSSYTXQKGZIW-GFCCVEGCSA-N 1 2 304.394 1.388 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2cncc(OC)n2)C1 ZINC001269156076 841277233 /nfs/dbraw/zinc/27/72/33/841277233.db2.gz LTCSSYTXQKGZIW-GFCCVEGCSA-N 1 2 304.394 1.388 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCCCC(=O)NC)C1 ZINC001269203025 841336837 /nfs/dbraw/zinc/33/68/37/841336837.db2.gz LOQMZWXFGDPBJK-GFCCVEGCSA-N 1 2 301.818 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCCCC(=O)NC)C1 ZINC001269203025 841336850 /nfs/dbraw/zinc/33/68/50/841336850.db2.gz LOQMZWXFGDPBJK-GFCCVEGCSA-N 1 2 301.818 1.236 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)cn2)C1 ZINC001269202864 841337698 /nfs/dbraw/zinc/33/76/98/841337698.db2.gz DZLKWBIOGDJVJQ-CQSZACIVSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)cn2)C1 ZINC001269202864 841337707 /nfs/dbraw/zinc/33/77/07/841337707.db2.gz DZLKWBIOGDJVJQ-CQSZACIVSA-N 1 2 304.394 1.068 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@@H+]1CC[C@H](N(C)C(=O)C#CC2CC2)C1 ZINC001269241390 841407549 /nfs/dbraw/zinc/40/75/49/841407549.db2.gz UHXZRAOCFLJEQO-HOCLYGCPSA-N 1 2 319.449 1.237 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@H+]1CC[C@H](N(C)C(=O)C#CC2CC2)C1 ZINC001269241390 841407559 /nfs/dbraw/zinc/40/75/59/841407559.db2.gz UHXZRAOCFLJEQO-HOCLYGCPSA-N 1 2 319.449 1.237 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](N(C)C(=O)c2cocc2C)C1 ZINC001269264166 841439591 /nfs/dbraw/zinc/43/95/91/841439591.db2.gz WJCSNXXKIPCXRD-ZDUSSCGKSA-N 1 2 305.378 1.037 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](N(C)C(=O)c2cocc2C)C1 ZINC001269264166 841439596 /nfs/dbraw/zinc/43/95/96/841439596.db2.gz WJCSNXXKIPCXRD-ZDUSSCGKSA-N 1 2 305.378 1.037 20 30 DDEDLO C=CCN1CC[C@@]2(CCCN(C(=O)Cn3cc[nH+]c3)CC2)C1=O ZINC001269298455 841487898 /nfs/dbraw/zinc/48/78/98/841487898.db2.gz KJXKLRVWAHZOFL-KRWDZBQOSA-N 1 2 316.405 1.300 20 30 DDEDLO COCC#CC[NH2+]C[C@](C)(NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001269618589 841832913 /nfs/dbraw/zinc/83/29/13/841832913.db2.gz DWGQIFXLGUEAQW-YPMHNXCESA-N 1 2 324.318 1.411 20 30 DDEDLO COCC#CC[NH2+]C[C@](C)(NC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001269618589 841832923 /nfs/dbraw/zinc/83/29/23/841832923.db2.gz DWGQIFXLGUEAQW-YPMHNXCESA-N 1 2 324.318 1.411 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nnc(C2CC2)o1 ZINC001270016452 842229493 /nfs/dbraw/zinc/22/94/93/842229493.db2.gz SHVPFJKBLQYWDP-CYBMUJFWSA-N 1 2 304.394 1.994 20 30 DDEDLO C#CCN(C(=O)Cn1cc[nH+]c1C)C1CCN(CCF)CC1 ZINC001270363393 842519448 /nfs/dbraw/zinc/51/94/48/842519448.db2.gz DIWJJBRVIMNNRA-UHFFFAOYSA-N 1 2 306.385 1.087 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001270509063 842631005 /nfs/dbraw/zinc/63/10/05/842631005.db2.gz YPEKECRDTUCYLA-YUELXQCFSA-N 1 2 311.389 1.232 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001270509063 842631012 /nfs/dbraw/zinc/63/10/12/842631012.db2.gz YPEKECRDTUCYLA-YUELXQCFSA-N 1 2 311.389 1.232 20 30 DDEDLO C#CCCCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2cn(C)nn2)C1 ZINC001270576701 842684725 /nfs/dbraw/zinc/68/47/25/842684725.db2.gz MPQHCINMMSALBJ-INIZCTEOSA-N 1 2 303.410 1.089 20 30 DDEDLO C#CCCCCC(=O)N[C@@]1(C)CC[N@H+](Cc2cn(C)nn2)C1 ZINC001270576701 842684732 /nfs/dbraw/zinc/68/47/32/842684732.db2.gz MPQHCINMMSALBJ-INIZCTEOSA-N 1 2 303.410 1.089 20 30 DDEDLO C=CCC[C@@H](C)[N@@H+]1CCO[C@@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001270682013 842815087 /nfs/dbraw/zinc/81/50/87/842815087.db2.gz HSJMUGPTMJKAAP-NEPJUHHUSA-N 1 2 310.373 1.334 20 30 DDEDLO C=CCC[C@@H](C)[N@H+]1CCO[C@@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001270682013 842815090 /nfs/dbraw/zinc/81/50/90/842815090.db2.gz HSJMUGPTMJKAAP-NEPJUHHUSA-N 1 2 310.373 1.334 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O ZINC001154751016 861423732 /nfs/dbraw/zinc/42/37/32/861423732.db2.gz IYCFXAMKQYRVMP-ZIAGYGMSSA-N 1 2 318.483 1.460 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O ZINC001154751016 861423741 /nfs/dbraw/zinc/42/37/41/861423741.db2.gz IYCFXAMKQYRVMP-ZIAGYGMSSA-N 1 2 318.483 1.460 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001271749325 843835777 /nfs/dbraw/zinc/83/57/77/843835777.db2.gz BSFJTPHCYVTUMP-BTTYYORXSA-N 1 2 301.394 1.143 20 30 DDEDLO C=CCCCCC[NH+]1CC2(C1)COCC(=O)N2[C@@H](C)C(C)=O ZINC001272699710 846655546 /nfs/dbraw/zinc/65/55/46/846655546.db2.gz NEXQGOATEFWRSG-AWEZNQCLSA-N 1 2 308.422 1.624 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(Cl)c[nH]2)C1 ZINC001107735039 846887237 /nfs/dbraw/zinc/88/72/37/846887237.db2.gz QIDRZXUGIFXFEE-HNNXBMFYSA-N 1 2 309.797 1.512 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(Cl)c[nH]2)C1 ZINC001107735039 846887240 /nfs/dbraw/zinc/88/72/40/846887240.db2.gz QIDRZXUGIFXFEE-HNNXBMFYSA-N 1 2 309.797 1.512 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)Cc2ccoc2)C1 ZINC001149608093 861744808 /nfs/dbraw/zinc/74/48/08/861744808.db2.gz LCGAFDJEEKWILX-CQSZACIVSA-N 1 2 312.797 1.782 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)Cc2ccoc2)C1 ZINC001149608093 861744817 /nfs/dbraw/zinc/74/48/17/861744817.db2.gz LCGAFDJEEKWILX-CQSZACIVSA-N 1 2 312.797 1.782 20 30 DDEDLO Cc1cc(C[N@@H+]2CCO[C@](C)(CNC(=O)[C@@H](C)C#N)C2)cs1 ZINC001107821435 847203365 /nfs/dbraw/zinc/20/33/65/847203365.db2.gz OXAHJCLSMMUJQU-BLLLJJGKSA-N 1 2 321.446 1.923 20 30 DDEDLO Cc1cc(C[N@H+]2CCO[C@](C)(CNC(=O)[C@@H](C)C#N)C2)cs1 ZINC001107821435 847203374 /nfs/dbraw/zinc/20/33/74/847203374.db2.gz OXAHJCLSMMUJQU-BLLLJJGKSA-N 1 2 321.446 1.923 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001077719551 847271165 /nfs/dbraw/zinc/27/11/65/847271165.db2.gz MOTLJEYAKXZANU-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H](C[NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001326987261 861773446 /nfs/dbraw/zinc/77/34/46/861773446.db2.gz AWHPHUSFJKXXOM-JSGCOSHPSA-N 1 2 322.409 1.612 20 30 DDEDLO Cc1csc(C[NH+]2CC3(C2)COCC(=O)N3CCCC#N)c1 ZINC001272872920 847574361 /nfs/dbraw/zinc/57/43/61/847574361.db2.gz XQOIZHCRWPLHGA-UHFFFAOYSA-N 1 2 319.430 1.774 20 30 DDEDLO C=C(Br)C[NH2+]Cc1ccc(S(N)(=O)=O)cc1F ZINC001364576283 847686012 /nfs/dbraw/zinc/68/60/12/847686012.db2.gz RBRIQQGPJDSENV-UHFFFAOYSA-N 1 2 323.187 1.471 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1cnc[nH]1 ZINC001273024270 847799601 /nfs/dbraw/zinc/79/96/01/847799601.db2.gz VVHDFKYSCSHCMI-INIZCTEOSA-N 1 2 301.394 1.670 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1cnc[nH]1 ZINC001273024270 847799613 /nfs/dbraw/zinc/79/96/13/847799613.db2.gz VVHDFKYSCSHCMI-INIZCTEOSA-N 1 2 301.394 1.670 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1c[nH]cn1 ZINC001273024270 847799624 /nfs/dbraw/zinc/79/96/24/847799624.db2.gz VVHDFKYSCSHCMI-INIZCTEOSA-N 1 2 301.394 1.670 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1c[nH]cn1 ZINC001273024270 847799630 /nfs/dbraw/zinc/79/96/30/847799630.db2.gz VVHDFKYSCSHCMI-INIZCTEOSA-N 1 2 301.394 1.670 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1C[N@H+](Cc2ccns2)CCCO1 ZINC001149714681 861843036 /nfs/dbraw/zinc/84/30/36/861843036.db2.gz IOMFJBRSGNTYDU-AWEZNQCLSA-N 1 2 321.446 1.510 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1C[N@@H+](Cc2ccns2)CCCO1 ZINC001149714681 861843046 /nfs/dbraw/zinc/84/30/46/861843046.db2.gz IOMFJBRSGNTYDU-AWEZNQCLSA-N 1 2 321.446 1.510 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001034385185 848440252 /nfs/dbraw/zinc/44/02/52/848440252.db2.gz PHYFZYOHCRVQHE-WFASDCNBSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001034385185 848440256 /nfs/dbraw/zinc/44/02/56/848440256.db2.gz PHYFZYOHCRVQHE-WFASDCNBSA-N 1 2 313.829 1.378 20 30 DDEDLO Cc1nnc(C[NH+]2CCC([C@@H](C)NC(=O)[C@H](C)C#N)CC2)s1 ZINC001411244489 850472454 /nfs/dbraw/zinc/47/24/54/850472454.db2.gz YWINDMUSZUHRPV-GHMZBOCLSA-N 1 2 321.450 1.723 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](CCc3cccs3)C2)OCC1=O ZINC001273533118 851064490 /nfs/dbraw/zinc/06/44/90/851064490.db2.gz ROXYOGALARQKMO-UHFFFAOYSA-N 1 2 306.431 1.780 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCC[N@@H+]2CCS(C)(=O)=O ZINC001273811648 851367637 /nfs/dbraw/zinc/36/76/37/851367637.db2.gz NREWDODRZFKXHZ-OAHLLOKOSA-N 1 2 314.451 1.064 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCC[N@H+]2CCS(C)(=O)=O ZINC001273811648 851367640 /nfs/dbraw/zinc/36/76/40/851367640.db2.gz NREWDODRZFKXHZ-OAHLLOKOSA-N 1 2 314.451 1.064 20 30 DDEDLO CCCCC[C@H](O)C[NH+]1CC2(C1)CN(CCCC#N)C(=O)CO2 ZINC001273878597 851449064 /nfs/dbraw/zinc/44/90/64/851449064.db2.gz PEFBYAOKPFWBBW-HNNXBMFYSA-N 1 2 323.437 1.145 20 30 DDEDLO CC1(C)OC[C@H](CN2C(=O)CC[C@@H]3[C@H]2CC[N@@H+]3CCC#N)O1 ZINC001274031754 851871215 /nfs/dbraw/zinc/87/12/15/851871215.db2.gz ZRFPBHWNQPDYAO-BFHYXJOUSA-N 1 2 307.394 1.117 20 30 DDEDLO CC1(C)OC[C@H](CN2C(=O)CC[C@@H]3[C@H]2CC[N@H+]3CCC#N)O1 ZINC001274031754 851871225 /nfs/dbraw/zinc/87/12/25/851871225.db2.gz ZRFPBHWNQPDYAO-BFHYXJOUSA-N 1 2 307.394 1.117 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)CCc3cn[nH]c3)cc2C1 ZINC001274037430 851874724 /nfs/dbraw/zinc/87/47/24/851874724.db2.gz XHJNOUBSYUMTKX-UHFFFAOYSA-N 1 2 308.385 1.608 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)CCc3cn[nH]c3)cc2C1 ZINC001274037430 851874732 /nfs/dbraw/zinc/87/47/32/851874732.db2.gz XHJNOUBSYUMTKX-UHFFFAOYSA-N 1 2 308.385 1.608 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2c(O)ccc(F)c2F)C1=O ZINC001274148857 851976059 /nfs/dbraw/zinc/97/60/59/851976059.db2.gz ZAEHHRUPRJMZKT-KRWDZBQOSA-N 1 2 320.339 1.871 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2c(O)ccc(F)c2F)C1=O ZINC001274148857 851976060 /nfs/dbraw/zinc/97/60/60/851976060.db2.gz ZAEHHRUPRJMZKT-KRWDZBQOSA-N 1 2 320.339 1.871 20 30 DDEDLO CN1C[C@]2(CC[N@H+](Cc3cc(C#N)c(F)cc3F)C2)OCC1=O ZINC001274313422 852148239 /nfs/dbraw/zinc/14/82/39/852148239.db2.gz ICLDWJHCCRIYQK-INIZCTEOSA-N 1 2 321.327 1.270 20 30 DDEDLO CN1C[C@]2(CC[N@@H+](Cc3cc(C#N)c(F)cc3F)C2)OCC1=O ZINC001274313422 852148241 /nfs/dbraw/zinc/14/82/41/852148241.db2.gz ICLDWJHCCRIYQK-INIZCTEOSA-N 1 2 321.327 1.270 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3cccc4c3CCCC4)C2)OCC1=O ZINC001274654289 852489019 /nfs/dbraw/zinc/48/90/19/852489019.db2.gz CQEGBJDRKDAMEN-UHFFFAOYSA-N 1 2 324.424 1.612 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H](C)C[NH2+][C@@H](C)c2nnc(C)o2)c1 ZINC001274912888 852681738 /nfs/dbraw/zinc/68/17/38/852681738.db2.gz CVQGLZOPXFOLCN-MNOVXSKESA-N 1 2 313.361 1.223 20 30 DDEDLO N#Cc1cncc(CN2CCCC3(C[NH+](Cc4cn[nH]c4)C3)C2)c1 ZINC001275027951 852753656 /nfs/dbraw/zinc/75/36/56/852753656.db2.gz RUTMZGYOLOOEMN-UHFFFAOYSA-N 1 2 322.416 1.774 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@H]3CN(Cc4cn[nH]c4)C[C@@H](C2)O3)c1 ZINC001275290453 852956253 /nfs/dbraw/zinc/95/62/53/852956253.db2.gz MWQMVQOHIPIKFO-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@H]3CN(Cc4cn[nH]c4)C[C@@H](C2)O3)c1 ZINC001275290453 852956260 /nfs/dbraw/zinc/95/62/60/852956260.db2.gz MWQMVQOHIPIKFO-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccc(O)c(C[NH+]2CC3(CN(Cc4cn[nH]c4)C3)C2)c1 ZINC001275548259 853316852 /nfs/dbraw/zinc/31/68/52/853316852.db2.gz RMBCRNYVMMHKDX-UHFFFAOYSA-N 1 2 309.373 1.305 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CCC([N@H+](C)CC(F)F)CC1 ZINC001411843781 853821372 /nfs/dbraw/zinc/82/13/72/853821372.db2.gz CQZZVWQFOMRJFD-UHFFFAOYSA-N 1 2 310.348 1.698 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CCC([N@@H+](C)CC(F)F)CC1 ZINC001411843781 853821378 /nfs/dbraw/zinc/82/13/78/853821378.db2.gz CQZZVWQFOMRJFD-UHFFFAOYSA-N 1 2 310.348 1.698 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)COC2CCCCC2)C1 ZINC001276063033 854692789 /nfs/dbraw/zinc/69/27/89/854692789.db2.gz XKWOTFIZRDOAEP-UHFFFAOYSA-N 1 2 310.438 1.465 20 30 DDEDLO Cc1[nH]c(CNC(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C)[nH+]c1C ZINC001412835306 855549352 /nfs/dbraw/zinc/54/93/52/855549352.db2.gz AZRZDBAWSVQPFA-MRXNPFEDSA-N 1 2 320.393 1.904 20 30 DDEDLO CC#CC[NH+]1CCC(CCOC)(NC(=O)c2[nH]ncc2F)CC1 ZINC001151302928 862719397 /nfs/dbraw/zinc/71/93/97/862719397.db2.gz RGSBODBTOLSIFA-UHFFFAOYSA-N 1 2 322.384 1.173 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2noc3c2CCCC3)C[C@H]1C ZINC001206640943 862747551 /nfs/dbraw/zinc/74/75/51/862747551.db2.gz MHSQNQIKNTUTRS-YRGRVCCFSA-N 1 2 316.405 1.650 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2noc3c2CCCC3)C[C@H]1C ZINC001206640943 862747557 /nfs/dbraw/zinc/74/75/57/862747557.db2.gz MHSQNQIKNTUTRS-YRGRVCCFSA-N 1 2 316.405 1.650 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001156149210 862756180 /nfs/dbraw/zinc/75/61/80/862756180.db2.gz HESADXMBOHNYGZ-CQSZACIVSA-N 1 2 311.389 1.836 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2csc3c2CCCC3)C1 ZINC001047619198 856757729 /nfs/dbraw/zinc/75/77/29/856757729.db2.gz FYTWUJONVGBRLP-GJZGRUSLSA-N 1 2 318.442 1.377 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2csc3c2CCCC3)C1 ZINC001047619198 856757732 /nfs/dbraw/zinc/75/77/32/856757732.db2.gz FYTWUJONVGBRLP-GJZGRUSLSA-N 1 2 318.442 1.377 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2nc(C)c(Cl)c(C)c2C#N)C[NH2+]1 ZINC001156240654 862851606 /nfs/dbraw/zinc/85/16/06/862851606.db2.gz TVHGMYMATWNJFF-ONGXEEELSA-N 1 2 308.769 1.539 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH2+][C@@H](c3ccc(F)cc3)C2)nc1 ZINC001413525528 856932535 /nfs/dbraw/zinc/93/25/35/856932535.db2.gz KPGVWVMKGNHUHI-MRXNPFEDSA-N 1 2 310.332 1.879 20 30 DDEDLO CC(C)c1nc(C[NH2+]CCCNC(=O)c2cc(C#N)c[nH]2)no1 ZINC001156286880 862906720 /nfs/dbraw/zinc/90/67/20/862906720.db2.gz HGXLKASYAAVSQK-UHFFFAOYSA-N 1 2 316.365 1.302 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072801396 857720111 /nfs/dbraw/zinc/72/01/11/857720111.db2.gz QYTLXQVUHPKOPL-JSGCOSHPSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N1C[C@H]2CC[C@@H](C1)O2 ZINC001122529546 858868894 /nfs/dbraw/zinc/86/88/94/858868894.db2.gz GUILBTVNIFWEJG-QLFBSQMISA-N 1 2 317.437 1.989 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N1C[C@H]2CC[C@@H](C1)O2 ZINC001122529546 858868899 /nfs/dbraw/zinc/86/88/99/858868899.db2.gz GUILBTVNIFWEJG-QLFBSQMISA-N 1 2 317.437 1.989 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(C)C[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001124642878 859759702 /nfs/dbraw/zinc/75/97/02/859759702.db2.gz FHRJGDAEAAHSLW-OCCSQVGLSA-N 1 2 306.410 1.619 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)Cc1cccc2nsnc21 ZINC001276985273 881469966 /nfs/dbraw/zinc/46/99/66/881469966.db2.gz AOTJQGXUFIUVNG-GFCCVEGCSA-N 1 2 300.387 1.058 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)Cc1cccc2nsnc21 ZINC001276985273 881469981 /nfs/dbraw/zinc/46/99/81/881469981.db2.gz AOTJQGXUFIUVNG-GFCCVEGCSA-N 1 2 300.387 1.058 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125047258 859879185 /nfs/dbraw/zinc/87/91/85/859879185.db2.gz DQCRJYFHIGBEEG-OAHLLOKOSA-N 1 2 318.421 1.234 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCN(C(C)=O)C[C@@H]2C)cc1OC ZINC001138349396 860067219 /nfs/dbraw/zinc/06/72/19/860067219.db2.gz XSQGXDQKTWAKFL-AWEZNQCLSA-N 1 2 316.401 1.760 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCN(C(C)=O)C[C@@H]2C)cc1OC ZINC001138349396 860067226 /nfs/dbraw/zinc/06/72/26/860067226.db2.gz XSQGXDQKTWAKFL-AWEZNQCLSA-N 1 2 316.401 1.760 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(Cc3ccc(C#N)cc3F)C2)CCO1 ZINC001140139451 860582228 /nfs/dbraw/zinc/58/22/28/860582228.db2.gz SEBCKJPNODZCOV-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO CC1(C)C[N@H+](C2CN(Cc3ccc(C#N)cc3F)C2)CCO1 ZINC001140139451 860582231 /nfs/dbraw/zinc/58/22/31/860582231.db2.gz SEBCKJPNODZCOV-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)s1 ZINC001152370914 863308252 /nfs/dbraw/zinc/30/82/52/863308252.db2.gz TWUCVEDIVDJDEE-GFCCVEGCSA-N 1 2 311.451 1.981 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)s1 ZINC001152370914 863308260 /nfs/dbraw/zinc/30/82/60/863308260.db2.gz TWUCVEDIVDJDEE-GFCCVEGCSA-N 1 2 311.451 1.981 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001328996633 863406672 /nfs/dbraw/zinc/40/66/72/863406672.db2.gz QCNFPBDNUGXDNT-NEPJUHHUSA-N 1 2 324.425 1.553 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc3c(ncnc3Cl)n2C)CC1 ZINC001152920957 863607124 /nfs/dbraw/zinc/60/71/24/863607124.db2.gz MHWAQDQFNFDSES-UHFFFAOYSA-N 1 2 319.796 1.566 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001153135324 863729208 /nfs/dbraw/zinc/72/92/08/863729208.db2.gz QNEQHTKSNVVNES-LLVKDONJSA-N 1 2 320.349 1.127 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)cn1 ZINC001153302023 863812162 /nfs/dbraw/zinc/81/21/62/863812162.db2.gz DFMGOTFGGFDSNH-OAHLLOKOSA-N 1 2 318.421 1.152 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)cn1 ZINC001153302023 863812166 /nfs/dbraw/zinc/81/21/66/863812166.db2.gz DFMGOTFGGFDSNH-OAHLLOKOSA-N 1 2 318.421 1.152 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]1CCCCC[N@@H+]1Cc1cnns1 ZINC001329919169 863943068 /nfs/dbraw/zinc/94/30/68/863943068.db2.gz BYMHWBNDNSEXKZ-AWEZNQCLSA-N 1 2 318.446 1.812 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]1CCCCC[N@H+]1Cc1cnns1 ZINC001329919169 863943077 /nfs/dbraw/zinc/94/30/77/863943077.db2.gz BYMHWBNDNSEXKZ-AWEZNQCLSA-N 1 2 318.446 1.812 20 30 DDEDLO C#CCC1(C(=O)N[C@@H](C)C2C[NH+](CC(=O)NC)C2)CCCCC1 ZINC001330084168 864070758 /nfs/dbraw/zinc/07/07/58/864070758.db2.gz DWJMAULMDPAOJP-AWEZNQCLSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](CCC)C(C)C)C2)C1 ZINC001330161581 864114686 /nfs/dbraw/zinc/11/46/86/864114686.db2.gz XNGLVAAZRMXRKE-AEFFLSMTSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](CCC)C(C)C)C2)C1 ZINC001330161581 864114690 /nfs/dbraw/zinc/11/46/90/864114690.db2.gz XNGLVAAZRMXRKE-AEFFLSMTSA-N 1 2 306.450 1.995 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccsc2)C(C)(C)C1 ZINC001330169525 864120302 /nfs/dbraw/zinc/12/03/02/864120302.db2.gz AHIYXOPZHKFSDZ-ZDUSSCGKSA-N 1 2 321.446 1.491 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccsc2)C(C)(C)C1 ZINC001330169525 864120309 /nfs/dbraw/zinc/12/03/09/864120309.db2.gz AHIYXOPZHKFSDZ-ZDUSSCGKSA-N 1 2 321.446 1.491 20 30 DDEDLO C#CCCCCNC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC001330174478 864125458 /nfs/dbraw/zinc/12/54/58/864125458.db2.gz DMHMSLNEIHZTDU-MRXNPFEDSA-N 1 2 313.401 1.209 20 30 DDEDLO C#CCCCCNC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC001330174478 864125460 /nfs/dbraw/zinc/12/54/60/864125460.db2.gz DMHMSLNEIHZTDU-MRXNPFEDSA-N 1 2 313.401 1.209 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(OC)nn2)CC1(C)C ZINC001330175348 864127422 /nfs/dbraw/zinc/12/74/22/864127422.db2.gz JPPXWBCPONRXCW-CQSZACIVSA-N 1 2 318.421 1.778 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(OC)nn2)CC1(C)C ZINC001330175348 864127430 /nfs/dbraw/zinc/12/74/30/864127430.db2.gz JPPXWBCPONRXCW-CQSZACIVSA-N 1 2 318.421 1.778 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC001153930645 864238883 /nfs/dbraw/zinc/23/88/83/864238883.db2.gz VQCLYHOTXVEYHX-HUUCEWRRSA-N 1 2 305.426 1.820 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)[C@@H]1CCc2[nH+]c(C)[nH]c2C1 ZINC001154083194 864395150 /nfs/dbraw/zinc/39/51/50/864395150.db2.gz LRUBLGWBLNYSAD-DGCLKSJQSA-N 1 2 303.410 1.173 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)[C@@H]1CCc2[nH]c(C)[nH+]c2C1 ZINC001154083194 864395160 /nfs/dbraw/zinc/39/51/60/864395160.db2.gz LRUBLGWBLNYSAD-DGCLKSJQSA-N 1 2 303.410 1.173 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(c3ncnc4[nH]cc(C#N)c43)C2)C[C@@H](C)O1 ZINC001158718387 864850497 /nfs/dbraw/zinc/85/04/97/864850497.db2.gz NXQLMWIINLCALK-GHMZBOCLSA-N 1 2 312.377 1.127 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@H+](Cc2cnon2)CC1 ZINC001159964272 865618587 /nfs/dbraw/zinc/61/85/87/865618587.db2.gz HWQPRFARVFPAHL-UHFFFAOYSA-N 1 2 302.378 1.512 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@@H+](Cc2cnon2)CC1 ZINC001159964272 865618597 /nfs/dbraw/zinc/61/85/97/865618597.db2.gz HWQPRFARVFPAHL-UHFFFAOYSA-N 1 2 302.378 1.512 20 30 DDEDLO C=CCn1cccc1C(=O)NCCC[NH2+]Cc1noc(CC)n1 ZINC001159974474 865623237 /nfs/dbraw/zinc/62/32/37/865623237.db2.gz FEJPSPCNYTXHKZ-UHFFFAOYSA-N 1 2 317.393 1.529 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)CSCC#N)CCC[N@@H+]1Cc1cncn1C ZINC001332160185 865623360 /nfs/dbraw/zinc/62/33/60/865623360.db2.gz QNNFCHTUFXKNDP-JSGCOSHPSA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)CSCC#N)CCC[N@H+]1Cc1cncn1C ZINC001332160185 865623365 /nfs/dbraw/zinc/62/33/65/865623365.db2.gz QNNFCHTUFXKNDP-JSGCOSHPSA-N 1 2 321.450 1.146 20 30 DDEDLO CC(C)C#CC(=O)NCCC1=CC[N@H+](Cc2cnns2)CC1 ZINC001160054669 865656405 /nfs/dbraw/zinc/65/64/05/865656405.db2.gz GLMIQXYEVPTMNM-UHFFFAOYSA-N 1 2 318.446 1.836 20 30 DDEDLO CC(C)C#CC(=O)NCCC1=CC[N@@H+](Cc2cnns2)CC1 ZINC001160054669 865656414 /nfs/dbraw/zinc/65/64/14/865656414.db2.gz GLMIQXYEVPTMNM-UHFFFAOYSA-N 1 2 318.446 1.836 20 30 DDEDLO N#CCNC[C@H]1CC[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001332261696 865705307 /nfs/dbraw/zinc/70/53/07/865705307.db2.gz NMXIQNMXKDVHII-KBPBESRZSA-N 1 2 315.421 1.160 20 30 DDEDLO N#CCN1CC=C(CCNC(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001160485921 865876012 /nfs/dbraw/zinc/87/60/12/865876012.db2.gz KZNNNWBAVPZOGK-UHFFFAOYSA-N 1 2 309.373 1.838 20 30 DDEDLO C=CCCCC(=O)NCCC1=CC[N@H+](Cc2cn(C)nn2)CC1 ZINC001161056758 866330625 /nfs/dbraw/zinc/33/06/25/866330625.db2.gz QGVZEXIQUAFQML-UHFFFAOYSA-N 1 2 317.437 1.810 20 30 DDEDLO C=CCCCC(=O)NCCC1=CC[N@@H+](Cc2cn(C)nn2)CC1 ZINC001161056758 866330639 /nfs/dbraw/zinc/33/06/39/866330639.db2.gz QGVZEXIQUAFQML-UHFFFAOYSA-N 1 2 317.437 1.810 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC001323100930 866338414 /nfs/dbraw/zinc/33/84/14/866338414.db2.gz NDCMXNDNSPKAEG-OLZOCXBDSA-N 1 2 304.394 1.587 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)C[C@]3(CCSC3)C2=O)C1 ZINC001320029397 866436602 /nfs/dbraw/zinc/43/66/02/866436602.db2.gz CMZDWEPRWYCHND-WFASDCNBSA-N 1 2 310.419 1.103 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)C[C@]3(CCSC3)C2=O)C1 ZINC001320029397 866436603 /nfs/dbraw/zinc/43/66/03/866436603.db2.gz CMZDWEPRWYCHND-WFASDCNBSA-N 1 2 310.419 1.103 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CC[N@H+]1Cc1cn(C)nc1C ZINC001323214338 866438128 /nfs/dbraw/zinc/43/81/28/866438128.db2.gz KGPRFZHITCXUAE-MRXNPFEDSA-N 1 2 302.422 1.613 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CC[N@@H+]1Cc1cn(C)nc1C ZINC001323214338 866438130 /nfs/dbraw/zinc/43/81/30/866438130.db2.gz KGPRFZHITCXUAE-MRXNPFEDSA-N 1 2 302.422 1.613 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC001320072533 866455169 /nfs/dbraw/zinc/45/51/69/866455169.db2.gz SFSAPZQUIHJXCB-GJZGRUSLSA-N 1 2 316.405 1.647 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2([NH2+]Cc3nc(C)c(C)o3)CC2)c1 ZINC001323540756 866674497 /nfs/dbraw/zinc/67/44/97/866674497.db2.gz OPJZVAJTDVTYHU-UHFFFAOYSA-N 1 2 324.384 1.720 20 30 DDEDLO C=CCCC(=O)NC1CC(CNC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001333653405 866907036 /nfs/dbraw/zinc/90/70/36/866907036.db2.gz GEGKDYOUBYJUDN-WLYUNCDWSA-N 1 2 318.421 1.811 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C1C[NH+](CCc2ccnn2C)C1 ZINC001323963963 866980674 /nfs/dbraw/zinc/98/06/74/866980674.db2.gz NTEFTBDEWIMMLA-UHFFFAOYSA-N 1 2 304.438 1.708 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001333916645 867152751 /nfs/dbraw/zinc/15/27/51/867152751.db2.gz OIFXKLOWTDMSME-CHWSQXEVSA-N 1 2 306.410 1.495 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001324559855 867355541 /nfs/dbraw/zinc/35/55/41/867355541.db2.gz KCBQDCOMJTXJFR-AEFFLSMTSA-N 1 2 320.481 1.945 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@]1(O)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001325095216 867765084 /nfs/dbraw/zinc/76/50/84/867765084.db2.gz CCNGCBXVIKXGQE-QGZVFWFLSA-N 1 2 321.421 1.638 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@]1(O)CC[N@H+](Cc2cc(C)on2)C1 ZINC001325095216 867765093 /nfs/dbraw/zinc/76/50/93/867765093.db2.gz CCNGCBXVIKXGQE-QGZVFWFLSA-N 1 2 321.421 1.638 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)Cc2sc(C)nc2C)C1 ZINC001325245960 867887690 /nfs/dbraw/zinc/88/76/90/867887690.db2.gz LFWIDMCXAPMIEY-UHFFFAOYSA-N 1 2 309.435 1.041 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@H](CC)Cc2ccccc2)C1 ZINC001325284335 867913065 /nfs/dbraw/zinc/91/30/65/867913065.db2.gz BFJFKVSFPUHHLM-QGZVFWFLSA-N 1 2 316.445 1.994 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@H](c2cccnc2)C(C)C)C1 ZINC001325293039 867918855 /nfs/dbraw/zinc/91/88/55/867918855.db2.gz BKKOJABGLPRXBK-INIZCTEOSA-N 1 2 315.417 1.007 20 30 DDEDLO C=CCn1cnn(C[N@H+]2CC[C@@H](COCCOC)C2)c1=S ZINC001322143190 868001048 /nfs/dbraw/zinc/00/10/48/868001048.db2.gz ZOKIWDGHPWKHOR-CYBMUJFWSA-N 1 2 312.439 1.542 20 30 DDEDLO C=CCn1cnn(C[N@@H+]2CC[C@@H](COCCOC)C2)c1=S ZINC001322143190 868001063 /nfs/dbraw/zinc/00/10/63/868001063.db2.gz ZOKIWDGHPWKHOR-CYBMUJFWSA-N 1 2 312.439 1.542 20 30 DDEDLO CCOC(=O)Cc1cc[nH+]c(N[C@@H](CC(C)C)C(=O)NO)c1 ZINC001163421947 868440660 /nfs/dbraw/zinc/44/06/60/868440660.db2.gz WOEBUQZQXKPXGT-LBPRGKRZSA-N 1 2 309.366 1.519 20 30 DDEDLO N#Cc1cnc(N2CC[NH+](Cc3ccc4c(c3)OCO4)CC2)cn1 ZINC001163894930 868777440 /nfs/dbraw/zinc/77/74/40/868777440.db2.gz FXHPKYWIMJGZBY-UHFFFAOYSA-N 1 2 323.356 1.399 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[NH2+]Cc1nnsc1Cl ZINC001163953426 868821690 /nfs/dbraw/zinc/82/16/90/868821690.db2.gz RBUCTCARKLQKFL-UHFFFAOYSA-N 1 2 302.831 2.000 20 30 DDEDLO Cc1nnc(N(C)C[C@H](C)C#N)n1Cc1ccc(N(C)C)[nH+]c1 ZINC001381602108 882287824 /nfs/dbraw/zinc/28/78/24/882287824.db2.gz GQTIHUAMDASEDY-GFCCVEGCSA-N 1 2 313.409 1.692 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)c1nc(Cl)ncc1Cl ZINC001164641268 869337661 /nfs/dbraw/zinc/33/76/61/869337661.db2.gz JQKQCBRFXLFGRG-ZCFIWIBFSA-N 1 2 308.119 1.630 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001337197711 869376122 /nfs/dbraw/zinc/37/61/22/869376122.db2.gz QAUYEDHURRKGQT-CYBMUJFWSA-N 1 2 320.437 1.908 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001337197711 869376130 /nfs/dbraw/zinc/37/61/30/869376130.db2.gz QAUYEDHURRKGQT-CYBMUJFWSA-N 1 2 320.437 1.908 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001337357375 869450130 /nfs/dbraw/zinc/45/01/30/869450130.db2.gz KCBFHGVOJWSNPU-CHWSQXEVSA-N 1 2 320.437 1.764 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001337357375 869450134 /nfs/dbraw/zinc/45/01/34/869450134.db2.gz KCBFHGVOJWSNPU-CHWSQXEVSA-N 1 2 320.437 1.764 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H](C)NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001337448572 869493797 /nfs/dbraw/zinc/49/37/97/869493797.db2.gz ZPOQIGSQRPLCEV-LBPRGKRZSA-N 1 2 318.421 1.580 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001338282413 869901400 /nfs/dbraw/zinc/90/14/00/869901400.db2.gz JSJJVPSANLHXGJ-CABCVRRESA-N 1 2 316.405 1.182 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@H]2C[C@H]2C1 ZINC001338772717 870158732 /nfs/dbraw/zinc/15/87/32/870158732.db2.gz LYIKZHIFCLWIFY-XUXIUFHCSA-N 1 2 303.410 1.048 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@H]2C[C@H]2C1 ZINC001338772717 870158745 /nfs/dbraw/zinc/15/87/45/870158745.db2.gz LYIKZHIFCLWIFY-XUXIUFHCSA-N 1 2 303.410 1.048 20 30 DDEDLO CN(CC#N)C[C@H]1CCCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC001317046617 870163325 /nfs/dbraw/zinc/16/33/25/870163325.db2.gz YGIDXIBINUIMTQ-CABCVRRESA-N 1 2 315.421 1.139 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C(C)C ZINC001317050898 870167888 /nfs/dbraw/zinc/16/78/88/870167888.db2.gz WYGROHRAXYYBNS-YHUYYLMFSA-N 1 2 321.465 1.644 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C(C)C ZINC001317050898 870167895 /nfs/dbraw/zinc/16/78/95/870167895.db2.gz WYGROHRAXYYBNS-YHUYYLMFSA-N 1 2 321.465 1.644 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001339269277 870432756 /nfs/dbraw/zinc/43/27/56/870432756.db2.gz YQSIOSSYMUFUNT-QWQCLYJRSA-N 1 2 318.421 1.660 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001317204402 870464455 /nfs/dbraw/zinc/46/44/55/870464455.db2.gz PYPHHWGBOTVCGC-LSDHHAIUSA-N 1 2 317.437 1.191 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001317204402 870464458 /nfs/dbraw/zinc/46/44/58/870464458.db2.gz PYPHHWGBOTVCGC-LSDHHAIUSA-N 1 2 317.437 1.191 20 30 DDEDLO N#Cc1cc(F)cc(C[NH+]2CC3(C2)SCC[C@@H]3C(N)=O)c1 ZINC001277126843 882419062 /nfs/dbraw/zinc/41/90/62/882419062.db2.gz QEZGYWGFFNQSNR-CYBMUJFWSA-N 1 2 305.378 1.490 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001298603411 870642795 /nfs/dbraw/zinc/64/27/95/870642795.db2.gz KFVJRXIKBROLJG-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CNC(=O)CCc1[nH]cc[nH+]1 ZINC001298848749 870752874 /nfs/dbraw/zinc/75/28/74/870752874.db2.gz KSAJBDJWDJJNJT-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@]1(C)CCC[C@H]1CC ZINC001317451743 870861956 /nfs/dbraw/zinc/86/19/56/870861956.db2.gz PUXIPNRFIUUHNM-RHSMWYFYSA-N 1 2 309.454 1.553 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@]1(C)CCC[C@H]1CC ZINC001317451743 870861967 /nfs/dbraw/zinc/86/19/67/870861967.db2.gz PUXIPNRFIUUHNM-RHSMWYFYSA-N 1 2 309.454 1.553 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[N@@H+](C)Cc1ccns1 ZINC001317464255 870884384 /nfs/dbraw/zinc/88/43/84/870884384.db2.gz TWLPRCJEKOHIHH-KBPBESRZSA-N 1 2 309.435 1.672 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[N@H+](C)Cc1ccns1 ZINC001317464255 870884388 /nfs/dbraw/zinc/88/43/88/870884388.db2.gz TWLPRCJEKOHIHH-KBPBESRZSA-N 1 2 309.435 1.672 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[C@H]([NH2+]Cc2nncs2)C1)OCC ZINC001317301737 871091852 /nfs/dbraw/zinc/09/18/52/871091852.db2.gz BZWJGUTVHPFVSL-STQMWFEESA-N 1 2 324.450 1.600 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+]([C@H](C)c2nnc(CC)o2)CC1 ZINC001226571743 882516814 /nfs/dbraw/zinc/51/68/14/882516814.db2.gz YMNIFBBTIVQPLA-GFCCVEGCSA-N 1 2 322.409 1.476 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)C1=CCCCCC1 ZINC001317499628 871692710 /nfs/dbraw/zinc/69/27/10/871692710.db2.gz PCTLEGKXONPUSA-OAHLLOKOSA-N 1 2 318.421 1.097 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)C1=CCCCCC1 ZINC001317499628 871692722 /nfs/dbraw/zinc/69/27/22/871692722.db2.gz PCTLEGKXONPUSA-OAHLLOKOSA-N 1 2 318.421 1.097 20 30 DDEDLO C=CCN(c1nnc(Cc2[nH+]ccn2C)n1CCOC)C1CC1 ZINC001341601292 871732219 /nfs/dbraw/zinc/73/22/19/871732219.db2.gz IQQDAMNXGZLVGR-UHFFFAOYSA-N 1 2 316.409 1.404 20 30 DDEDLO COc1nscc1C[NH+]1CCC(NC(=O)[C@H](C)C#N)CC1 ZINC001226639505 882560010 /nfs/dbraw/zinc/56/00/10/882560010.db2.gz DYHIVSDDDXKTMT-SNVBAGLBSA-N 1 2 308.407 1.392 20 30 DDEDLO COCc1noc([C@@H](C)[N@H+](C)CCCNC(=O)C#CC2CC2)n1 ZINC001316824394 871970249 /nfs/dbraw/zinc/97/02/49/871970249.db2.gz YSYKBOUMJRRTPZ-GFCCVEGCSA-N 1 2 320.393 1.129 20 30 DDEDLO COCc1noc([C@@H](C)[N@@H+](C)CCCNC(=O)C#CC2CC2)n1 ZINC001316824394 871970261 /nfs/dbraw/zinc/97/02/61/871970261.db2.gz YSYKBOUMJRRTPZ-GFCCVEGCSA-N 1 2 320.393 1.129 20 30 DDEDLO CC(C)CCCCC(=O)N(C)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318436113 872037362 /nfs/dbraw/zinc/03/73/62/872037362.db2.gz FGBLEWFBLDJUFB-OAHLLOKOSA-N 1 2 322.453 1.375 20 30 DDEDLO CC(C)CCCCC(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318436113 872037379 /nfs/dbraw/zinc/03/73/79/872037379.db2.gz FGBLEWFBLDJUFB-OAHLLOKOSA-N 1 2 322.453 1.375 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N1C[C@@H]2COC[C@@H]2C1 ZINC001342672500 872327310 /nfs/dbraw/zinc/32/73/10/872327310.db2.gz HIQDBXMJJQVEAT-QLFBSQMISA-N 1 2 317.437 1.704 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N1C[C@@H]2COC[C@@H]2C1 ZINC001342672500 872327316 /nfs/dbraw/zinc/32/73/16/872327316.db2.gz HIQDBXMJJQVEAT-QLFBSQMISA-N 1 2 317.437 1.704 20 30 DDEDLO C=CCOCC(=O)N(C)C1CC[NH+]([C@H](C)c2nnc(C)[nH]2)CC1 ZINC001316931765 872404467 /nfs/dbraw/zinc/40/44/67/872404467.db2.gz NTFQSSFQMKGYRU-GFCCVEGCSA-N 1 2 321.425 1.300 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(C)(C)C#N)n2CC)CC1 ZINC001342955616 872449052 /nfs/dbraw/zinc/44/90/52/872449052.db2.gz XMCJGTFSXPMGNR-UHFFFAOYSA-N 1 2 300.410 1.145 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](NC(=O)C(C)(CC)CC)C1 ZINC001316951250 872453651 /nfs/dbraw/zinc/45/36/51/872453651.db2.gz IAWWVJWIODAXOP-HUUCEWRRSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](NC(=O)C(C)(CC)CC)C1 ZINC001316951250 872453658 /nfs/dbraw/zinc/45/36/58/872453658.db2.gz IAWWVJWIODAXOP-HUUCEWRRSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001319307123 872554600 /nfs/dbraw/zinc/55/46/00/872554600.db2.gz DLCSAMQTDBQIPG-CABCVRRESA-N 1 2 312.454 1.688 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001319307123 872554609 /nfs/dbraw/zinc/55/46/09/872554609.db2.gz DLCSAMQTDBQIPG-CABCVRRESA-N 1 2 312.454 1.688 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nc(CC)no2)C[C@H]1C ZINC001206912650 872752085 /nfs/dbraw/zinc/75/20/85/872752085.db2.gz WFIPKGMQRJARBE-HZSPNIEDSA-N 1 2 318.421 1.933 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nc(CC)no2)C[C@H]1C ZINC001206912650 872752092 /nfs/dbraw/zinc/75/20/92/872752092.db2.gz WFIPKGMQRJARBE-HZSPNIEDSA-N 1 2 318.421 1.933 20 30 DDEDLO COCC(=O)N[C@H](C)C1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001381776778 882646995 /nfs/dbraw/zinc/64/69/95/882646995.db2.gz XXTXWZHAOJIMPI-LLVKDONJSA-N 1 2 305.353 1.280 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2csnc2OC)C[C@H]1C ZINC001206913190 872755657 /nfs/dbraw/zinc/75/56/57/872755657.db2.gz AKLKHVWEUGZXNR-TZMCWYRMSA-N 1 2 321.446 1.892 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2csnc2OC)C[C@H]1C ZINC001206913190 872755667 /nfs/dbraw/zinc/75/56/67/872755667.db2.gz AKLKHVWEUGZXNR-TZMCWYRMSA-N 1 2 321.446 1.892 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)OCCCC)C2)C1 ZINC001276532013 873258467 /nfs/dbraw/zinc/25/84/67/873258467.db2.gz HZPXKBXKVIPKNI-RDJZCZTQSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)OCCCC)C2)C1 ZINC001276532013 873258472 /nfs/dbraw/zinc/25/84/72/873258472.db2.gz HZPXKBXKVIPKNI-RDJZCZTQSA-N 1 2 308.422 1.128 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001346102227 873614000 /nfs/dbraw/zinc/61/40/00/873614000.db2.gz LGKSLPQJWPBDIQ-RHSMWYFYSA-N 1 2 319.453 1.612 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC1CC1 ZINC001346102227 873614005 /nfs/dbraw/zinc/61/40/05/873614005.db2.gz LGKSLPQJWPBDIQ-RHSMWYFYSA-N 1 2 319.453 1.612 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2([NH2+]Cc3nc(C)oc3C)CC2)c1 ZINC001277169313 882741826 /nfs/dbraw/zinc/74/18/26/882741826.db2.gz AALSUFJUJXTQOQ-UHFFFAOYSA-N 1 2 324.384 1.720 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001207863856 873621243 /nfs/dbraw/zinc/62/12/43/873621243.db2.gz YYBOOKVMSAHCPU-CQSZACIVSA-N 1 2 318.421 1.906 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)COc2cc(C)on2)C1 ZINC001378151197 874266308 /nfs/dbraw/zinc/26/63/08/874266308.db2.gz SAVWLNPUUONUCZ-LBPRGKRZSA-N 1 2 313.785 1.647 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)COc2cc(C)on2)C1 ZINC001378151197 874266319 /nfs/dbraw/zinc/26/63/19/874266319.db2.gz SAVWLNPUUONUCZ-LBPRGKRZSA-N 1 2 313.785 1.647 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)CCCn2cncn2)C1 ZINC001378192468 874389865 /nfs/dbraw/zinc/38/98/65/874389865.db2.gz GEFFMXQAJWLJMA-ZDUSSCGKSA-N 1 2 311.817 1.344 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)CCCn2cncn2)C1 ZINC001378192468 874389879 /nfs/dbraw/zinc/38/98/79/874389879.db2.gz GEFFMXQAJWLJMA-ZDUSSCGKSA-N 1 2 311.817 1.344 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COC[C@@H]2CCOC2)C1 ZINC001209067809 874654530 /nfs/dbraw/zinc/65/45/30/874654530.db2.gz GHHLPJIVBFJDSA-MRVWCRGKSA-N 1 2 316.829 1.229 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)COC[C@@H]2CCOC2)C1 ZINC001209067809 874654535 /nfs/dbraw/zinc/65/45/35/874654535.db2.gz GHHLPJIVBFJDSA-MRVWCRGKSA-N 1 2 316.829 1.229 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]2CCC(NC(=O)C#CC(C)C)CC2)o1 ZINC001227265115 882941254 /nfs/dbraw/zinc/94/12/54/882941254.db2.gz DMPJKXASCCCRRY-LBPRGKRZSA-N 1 2 304.394 1.679 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nc(C)no2)C[C@H]1C ZINC001211422671 875799981 /nfs/dbraw/zinc/79/99/81/875799981.db2.gz PSEBFDOMHQAAJB-MBNYWOFBSA-N 1 2 322.409 1.468 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nc(C)no2)C[C@H]1C ZINC001211422671 875799991 /nfs/dbraw/zinc/79/99/91/875799991.db2.gz PSEBFDOMHQAAJB-MBNYWOFBSA-N 1 2 322.409 1.468 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C[C@H]2CC=CCC2)[C@H](OC)C1 ZINC001213267096 875865221 /nfs/dbraw/zinc/86/52/21/875865221.db2.gz YOENQBDWNSRGOZ-GVDBMIGSSA-N 1 2 320.433 1.198 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C[C@H]2CC=CCC2)[C@H](OC)C1 ZINC001213267096 875865227 /nfs/dbraw/zinc/86/52/27/875865227.db2.gz YOENQBDWNSRGOZ-GVDBMIGSSA-N 1 2 320.433 1.198 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213497999 875957178 /nfs/dbraw/zinc/95/71/78/875957178.db2.gz RRCOTHMTRQNZKH-HUUCEWRRSA-N 1 2 308.422 1.054 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213497999 875957187 /nfs/dbraw/zinc/95/71/87/875957187.db2.gz RRCOTHMTRQNZKH-HUUCEWRRSA-N 1 2 308.422 1.054 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)Cc2ccccc2)[C@H](OC)C1 ZINC001213681485 876017739 /nfs/dbraw/zinc/01/77/39/876017739.db2.gz LBZTVPXIDPNTCQ-IAGOWNOFSA-N 1 2 314.429 1.704 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)Cc2ccccc2)[C@H](OC)C1 ZINC001213681485 876017764 /nfs/dbraw/zinc/01/77/64/876017764.db2.gz LBZTVPXIDPNTCQ-IAGOWNOFSA-N 1 2 314.429 1.704 20 30 DDEDLO CC[C@@H](CC(C)C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213692031 876025124 /nfs/dbraw/zinc/02/51/24/876025124.db2.gz RTWLZXSAJLBNRI-GVDBMIGSSA-N 1 2 324.465 1.524 20 30 DDEDLO CC[C@@H](CC(C)C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213692031 876025140 /nfs/dbraw/zinc/02/51/40/876025140.db2.gz RTWLZXSAJLBNRI-GVDBMIGSSA-N 1 2 324.465 1.524 20 30 DDEDLO CCc1nnc([C@@H](C)[NH2+]C[C@@H](C)NC(=O)c2ccc(C#N)[nH]2)o1 ZINC001379353309 876899027 /nfs/dbraw/zinc/89/90/27/876899027.db2.gz PWVVYAFFVFEEEE-NXEZZACHSA-N 1 2 316.365 1.301 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@H](CC#N)c1ccccc1 ZINC001362117004 883079831 /nfs/dbraw/zinc/07/98/31/883079831.db2.gz UPMFERDUVKGPDP-WBVHZDCISA-N 1 2 314.433 1.436 20 30 DDEDLO C[N@H+](CCCF)CN1C(=O)N[C@@](C)(c2cccc(C#N)c2)C1=O ZINC001353215028 877192314 /nfs/dbraw/zinc/19/23/14/877192314.db2.gz CNDNZSDDYDOBJZ-INIZCTEOSA-N 1 2 318.352 1.574 20 30 DDEDLO C[N@@H+](CCCF)CN1C(=O)N[C@@](C)(c2cccc(C#N)c2)C1=O ZINC001353215028 877192317 /nfs/dbraw/zinc/19/23/17/877192317.db2.gz CNDNZSDDYDOBJZ-INIZCTEOSA-N 1 2 318.352 1.574 20 30 DDEDLO C=C(C)Cn1nnnc1N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC001354292551 877861887 /nfs/dbraw/zinc/86/18/87/877861887.db2.gz KVUKOBYEERJWIK-UHFFFAOYSA-N 1 2 317.397 1.176 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001354618800 878108858 /nfs/dbraw/zinc/10/88/58/878108858.db2.gz FTJLJUJEAFZLFT-GFCCVEGCSA-N 1 2 306.410 1.186 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219361820 878150268 /nfs/dbraw/zinc/15/02/68/878150268.db2.gz GXIBOORELNWDBP-CABCVRRESA-N 1 2 324.808 1.204 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219361820 878150280 /nfs/dbraw/zinc/15/02/80/878150280.db2.gz GXIBOORELNWDBP-CABCVRRESA-N 1 2 324.808 1.204 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219379228 878161600 /nfs/dbraw/zinc/16/16/00/878161600.db2.gz PAWMEIDXGCKOOE-GDLCADMTSA-N 1 2 307.781 1.161 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219379228 878161611 /nfs/dbraw/zinc/16/16/11/878161611.db2.gz PAWMEIDXGCKOOE-GDLCADMTSA-N 1 2 307.781 1.161 20 30 DDEDLO COc1ccc(C[NH+]2CCN(C(=O)[C@@H](C)C#N)CC2)cc1C ZINC001374263366 912360146 /nfs/dbraw/zinc/36/01/46/912360146.db2.gz KWPQAUNCVQAQQT-AWEZNQCLSA-N 1 2 301.390 1.808 20 30 DDEDLO C=CCCC(=O)NCCN(C)C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001355510435 878588743 /nfs/dbraw/zinc/58/87/43/878588743.db2.gz HCYRJJBIWVKHOO-LBPRGKRZSA-N 1 2 304.394 1.370 20 30 DDEDLO C=C(C)CCC(=O)NCCN(C)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001355649723 878658903 /nfs/dbraw/zinc/65/89/03/878658903.db2.gz QPKLLUZHKNRBLP-UHFFFAOYSA-N 1 2 306.410 1.618 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220293590 878891128 /nfs/dbraw/zinc/89/11/28/878891128.db2.gz MSJDZHHAOSVXTN-YCPHGPKFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220293590 878891135 /nfs/dbraw/zinc/89/11/35/878891135.db2.gz MSJDZHHAOSVXTN-YCPHGPKFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCCN(CC)C(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC001356425560 879045743 /nfs/dbraw/zinc/04/57/43/879045743.db2.gz VYOJZXNGGMWGML-AWEZNQCLSA-N 1 2 318.421 1.930 20 30 DDEDLO Cc1noc([C@H](C)[NH+]2CCC(C)(NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001380296454 879263511 /nfs/dbraw/zinc/26/35/11/879263511.db2.gz BRBLQURJJIFYKO-QWRGUYRKSA-N 1 2 305.382 1.569 20 30 DDEDLO C[C@@H](CC(=O)N1CC(NC(=O)C#CC(C)(C)C)C1)n1cc[nH+]c1 ZINC001356759051 879305041 /nfs/dbraw/zinc/30/50/41/879305041.db2.gz LTMOUWDSJMHGAS-ZDUSSCGKSA-N 1 2 316.405 1.211 20 30 DDEDLO C=CCCCC(=O)NC1CN(C(=O)c2cccc3[nH+]ccn32)C1 ZINC001356788728 879360153 /nfs/dbraw/zinc/36/01/53/879360153.db2.gz VVEODMMRXWHXFT-UHFFFAOYSA-N 1 2 312.373 1.631 20 30 DDEDLO CCCCC[C@H](CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001221035479 879461495 /nfs/dbraw/zinc/46/14/95/879461495.db2.gz GLPXOABSQLZUPH-BBWFWOEESA-N 1 2 324.465 1.404 20 30 DDEDLO CCCCC[C@H](CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001221035479 879461505 /nfs/dbraw/zinc/46/15/05/879461505.db2.gz GLPXOABSQLZUPH-BBWFWOEESA-N 1 2 324.465 1.404 20 30 DDEDLO C=CCCC(=O)N[C@@H](CC)CNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001356898396 879559496 /nfs/dbraw/zinc/55/94/96/879559496.db2.gz JGKWAUIZHZJVIF-KGLIPLIRSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@@H](C)C(C)C)C[C@H]21 ZINC001221425030 879778585 /nfs/dbraw/zinc/77/85/85/879778585.db2.gz WTQVATFJDGGVHP-ARFHVFGLSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@@H](C)C(C)C)C[C@H]21 ZINC001221425030 879778593 /nfs/dbraw/zinc/77/85/93/879778593.db2.gz WTQVATFJDGGVHP-ARFHVFGLSA-N 1 2 321.465 1.646 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+](Cc3ccns3)C[C@H]21 ZINC001221514636 879906902 /nfs/dbraw/zinc/90/69/02/879906902.db2.gz HPZZOAHKLHBQJG-UKRRQHHQSA-N 1 2 303.431 1.979 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccns3)C[C@H]21 ZINC001221514636 879906911 /nfs/dbraw/zinc/90/69/11/879906911.db2.gz HPZZOAHKLHBQJG-UKRRQHHQSA-N 1 2 303.431 1.979 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001222067190 880207463 /nfs/dbraw/zinc/20/74/63/880207463.db2.gz DSIUAJPYJWBCHG-NWDGAFQWSA-N 1 2 310.423 1.210 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001222067312 880209330 /nfs/dbraw/zinc/20/93/30/880209330.db2.gz FXWHQYPWWAOEDS-DGCLKSJQSA-N 1 2 308.382 1.050 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H]1C ZINC001287878940 912543086 /nfs/dbraw/zinc/54/30/86/912543086.db2.gz BGTNIJMHUCOICW-OCCSQVGLSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001358094601 880326893 /nfs/dbraw/zinc/32/68/93/880326893.db2.gz NYADOKHPYOYEJY-VXGBXAGGSA-N 1 2 304.394 1.417 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001287896564 912557599 /nfs/dbraw/zinc/55/75/99/912557599.db2.gz LAMBMXCZPGLEER-QWHCGFSZSA-N 1 2 304.394 1.414 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001287921285 912587570 /nfs/dbraw/zinc/58/75/70/912587570.db2.gz JPCAJBUHPIHYPK-TZMCWYRMSA-N 1 2 316.405 1.170 20 30 DDEDLO CCCn1ncnc1C[NH+]1CCC(CNC(=O)[C@@H](C)C#N)CC1 ZINC001222636960 880616172 /nfs/dbraw/zinc/61/61/72/880616172.db2.gz UYWGCHONJSDNQJ-ZDUSSCGKSA-N 1 2 318.425 1.176 20 30 DDEDLO C#CCCCC(=O)NCC1CC[NH+](Cc2ncnn2CC)CC1 ZINC001222986905 880772520 /nfs/dbraw/zinc/77/25/20/880772520.db2.gz DRJFSPQDGNRLSN-UHFFFAOYSA-N 1 2 317.437 1.430 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)COC/C=C\c1ccccc1 ZINC001276875921 880887979 /nfs/dbraw/zinc/88/79/79/880887979.db2.gz QIFSAJFQEFEDNP-IEODJVTJSA-N 1 2 312.413 1.930 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)COC/C=C\c1ccccc1 ZINC001276875921 880887993 /nfs/dbraw/zinc/88/79/93/880887993.db2.gz QIFSAJFQEFEDNP-IEODJVTJSA-N 1 2 312.413 1.930 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@](C)(NC(=O)Cn2cc[nH+]c2)C1 ZINC001358799237 880934125 /nfs/dbraw/zinc/93/41/25/880934125.db2.gz WZSSLKIQKYCPFZ-KRWDZBQOSA-N 1 2 318.421 1.593 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCCC(F)(F)C1 ZINC001276923107 881110406 /nfs/dbraw/zinc/11/04/06/881110406.db2.gz FZRMKKYIOPEIQX-ZIAGYGMSSA-N 1 2 314.376 1.652 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCCC(F)(F)C1 ZINC001276923107 881110417 /nfs/dbraw/zinc/11/04/17/881110417.db2.gz FZRMKKYIOPEIQX-ZIAGYGMSSA-N 1 2 314.376 1.652 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)CC)n2CC2(O)CC2)CC1 ZINC001359029257 881159480 /nfs/dbraw/zinc/15/94/80/881159480.db2.gz SCRRQKRBFNFNMI-CQSZACIVSA-N 1 2 317.437 1.072 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001287997785 912650688 /nfs/dbraw/zinc/65/06/88/912650688.db2.gz FFWDFXNJGXZQDL-OLZOCXBDSA-N 1 2 304.394 1.414 20 30 DDEDLO N#Cc1c(Cl)cccc1C[NH+]1CC2(C1)CCOC[C@@H]2C(N)=O ZINC001276944294 881206695 /nfs/dbraw/zinc/20/66/95/881206695.db2.gz YSWWVSCAPCOVFT-CYBMUJFWSA-N 1 2 319.792 1.535 20 30 DDEDLO N#Cc1cccc(N2CC3(C2)C[NH+](Cc2cccc(=O)[nH]2)C3)c1 ZINC001276953206 881259186 /nfs/dbraw/zinc/25/91/86/881259186.db2.gz HBALIELWRKQKON-UHFFFAOYSA-N 1 2 306.369 1.981 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cnc(Br)nc3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227755349 883160076 /nfs/dbraw/zinc/16/00/76/883160076.db2.gz DRLFAMJAKOJTQK-FRQULTQSSA-N 1 2 312.167 1.230 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cnc(Br)nc3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227755349 883160081 /nfs/dbraw/zinc/16/00/81/883160081.db2.gz DRLFAMJAKOJTQK-FRQULTQSSA-N 1 2 312.167 1.230 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC(CC[N@H+](C)Cc2ncnn2C)CC1 ZINC001374401422 912729981 /nfs/dbraw/zinc/72/99/81/912729981.db2.gz UGKFMGHGLVRGBR-ZDUSSCGKSA-N 1 2 318.425 1.035 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC(CC[N@@H+](C)Cc2ncnn2C)CC1 ZINC001374401422 912729992 /nfs/dbraw/zinc/72/99/92/912729992.db2.gz UGKFMGHGLVRGBR-ZDUSSCGKSA-N 1 2 318.425 1.035 20 30 DDEDLO CC#CCCCC(=O)NC1CC[NH+](Cc2nonc2C)CC1 ZINC001228717725 883637260 /nfs/dbraw/zinc/63/72/60/883637260.db2.gz IEDINDFMHOQFLU-UHFFFAOYSA-N 1 2 304.394 1.652 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1CC[NH+](CCS(C)(=O)=O)CC1 ZINC001228747412 883650449 /nfs/dbraw/zinc/65/04/49/883650449.db2.gz AMDXOVYWJJFNFZ-CHWSQXEVSA-N 1 2 316.467 1.070 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@]2(NC(=O)COC)CCC[C@H]12 ZINC001382319200 883813461 /nfs/dbraw/zinc/81/34/61/883813461.db2.gz WZUTUUNEZJGQDI-WCQYABFASA-N 1 2 317.227 1.655 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@]2(NC(=O)COC)CCC[C@H]12 ZINC001382319200 883813483 /nfs/dbraw/zinc/81/34/83/883813483.db2.gz WZUTUUNEZJGQDI-WCQYABFASA-N 1 2 317.227 1.655 20 30 DDEDLO C=CCCNC(=S)N1CC2(C1)CC[N@@H+](COCCOC)C2 ZINC001277401486 884063422 /nfs/dbraw/zinc/06/34/22/884063422.db2.gz LFQVYMYHHZHALP-UHFFFAOYSA-N 1 2 313.467 1.065 20 30 DDEDLO C=CCCNC(=S)N1CC2(C1)CC[N@H+](COCCOC)C2 ZINC001277401486 884063440 /nfs/dbraw/zinc/06/34/40/884063440.db2.gz LFQVYMYHHZHALP-UHFFFAOYSA-N 1 2 313.467 1.065 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1ccc(CNC(=O)c2nnc[nH]2)c(F)c1 ZINC001382598003 884321660 /nfs/dbraw/zinc/32/16/60/884321660.db2.gz JDKMSCUIKKLTRW-UHFFFAOYSA-N 1 2 323.759 1.716 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1ccc(CNC(=O)c2ncn[nH]2)c(F)c1 ZINC001382598003 884321679 /nfs/dbraw/zinc/32/16/79/884321679.db2.gz JDKMSCUIKKLTRW-UHFFFAOYSA-N 1 2 323.759 1.716 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1ccc(CNC(=O)c2nc[nH]n2)c(F)c1 ZINC001382598003 884321702 /nfs/dbraw/zinc/32/17/02/884321702.db2.gz JDKMSCUIKKLTRW-UHFFFAOYSA-N 1 2 323.759 1.716 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2ncccn2)CC1 ZINC001230411562 884478473 /nfs/dbraw/zinc/47/84/73/884478473.db2.gz MYWHAAPXMYZTRX-UHFFFAOYSA-N 1 2 304.394 1.150 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+]([C@H](C)c2nc(C)no2)CC1 ZINC001230414791 884480065 /nfs/dbraw/zinc/48/00/65/884480065.db2.gz GLBCFQVLPYIXJR-NEPJUHHUSA-N 1 2 322.409 1.611 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2nc(C)no2)CC1 ZINC001230414794 884481029 /nfs/dbraw/zinc/48/10/29/884481029.db2.gz GLBCFQVLPYIXJR-RYUDHWBXSA-N 1 2 322.409 1.611 20 30 DDEDLO C=CCc1cccc(C[NH+]2CC3(C2)CC[C@H](C(N)=O)O3)c1O ZINC001277456969 884510258 /nfs/dbraw/zinc/51/02/58/884510258.db2.gz KVWZHNSIVKOUOM-CQSZACIVSA-N 1 2 302.374 1.339 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC001230568720 884595427 /nfs/dbraw/zinc/59/54/27/884595427.db2.gz SNCSDJWVPOKUEO-KRWDZBQOSA-N 1 2 318.392 1.746 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccc(F)cc1 ZINC001230568720 884595438 /nfs/dbraw/zinc/59/54/38/884595438.db2.gz SNCSDJWVPOKUEO-KRWDZBQOSA-N 1 2 318.392 1.746 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(Cl)o1 ZINC001230759780 884840372 /nfs/dbraw/zinc/84/03/72/884840372.db2.gz WZDMQTXCRUNLJW-GFCCVEGCSA-N 1 2 310.781 1.729 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(Cl)o1 ZINC001230759780 884840376 /nfs/dbraw/zinc/84/03/76/884840376.db2.gz WZDMQTXCRUNLJW-GFCCVEGCSA-N 1 2 310.781 1.729 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001231102503 885226225 /nfs/dbraw/zinc/22/62/25/885226225.db2.gz JBCJFZIAEIELIC-HIFRSBDPSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001231102503 885226241 /nfs/dbraw/zinc/22/62/41/885226241.db2.gz JBCJFZIAEIELIC-HIFRSBDPSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC(CC)CC ZINC001231121744 885251711 /nfs/dbraw/zinc/25/17/11/885251711.db2.gz HUKFFPRIUGJDTL-HNNXBMFYSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC(CC)CC ZINC001231121744 885251731 /nfs/dbraw/zinc/25/17/31/885251731.db2.gz HUKFFPRIUGJDTL-HNNXBMFYSA-N 1 2 309.454 1.790 20 30 DDEDLO Cc1nsc(C)c1C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231245767 885423857 /nfs/dbraw/zinc/42/38/57/885423857.db2.gz AZZILFKNVTZFIE-GWCFXTLKSA-N 1 2 306.435 1.952 20 30 DDEDLO Cc1nsc(C)c1C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231245767 885423866 /nfs/dbraw/zinc/42/38/66/885423866.db2.gz AZZILFKNVTZFIE-GWCFXTLKSA-N 1 2 306.435 1.952 20 30 DDEDLO CC(C)N(C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C#N)C(C)C ZINC001231246574 885426644 /nfs/dbraw/zinc/42/66/44/885426644.db2.gz HECOGQKHLZMOGL-CABCVRRESA-N 1 2 322.453 1.324 20 30 DDEDLO CC(C)N(C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C#N)C(C)C ZINC001231246574 885426647 /nfs/dbraw/zinc/42/66/47/885426647.db2.gz HECOGQKHLZMOGL-CABCVRRESA-N 1 2 322.453 1.324 20 30 DDEDLO C=C(C)C(=O)Nc1ccc(O[C@@H]2C[C@@H](C(=O)OC)[N@@H+](C)C2)cc1 ZINC001231318002 885500837 /nfs/dbraw/zinc/50/08/37/885500837.db2.gz QJLQAPNPJKZCQL-CABCVRRESA-N 1 2 318.373 1.826 20 30 DDEDLO C=C(C)C(=O)Nc1ccc(O[C@@H]2C[C@@H](C(=O)OC)[N@H+](C)C2)cc1 ZINC001231318002 885500842 /nfs/dbraw/zinc/50/08/42/885500842.db2.gz QJLQAPNPJKZCQL-CABCVRRESA-N 1 2 318.373 1.826 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)c1cnsn1 ZINC001374468551 912964033 /nfs/dbraw/zinc/96/40/33/912964033.db2.gz OFCIOAJTINTOEF-UHFFFAOYSA-N 1 2 315.402 1.614 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)c1cnsn1 ZINC001374468551 912964036 /nfs/dbraw/zinc/96/40/36/912964036.db2.gz OFCIOAJTINTOEF-UHFFFAOYSA-N 1 2 315.402 1.614 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001288535063 912965011 /nfs/dbraw/zinc/96/50/11/912965011.db2.gz IJZNKAWBACKMJH-KBPBESRZSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C1C[NH+](Cc2sc(C)nc2C)C1 ZINC001277585663 885941245 /nfs/dbraw/zinc/94/12/45/885941245.db2.gz HQGKGFINGWDEJG-MRXNPFEDSA-N 1 2 323.462 1.730 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H](NC(C)=O)C2CCCC2)C1 ZINC001277626421 886147228 /nfs/dbraw/zinc/14/72/28/886147228.db2.gz XTQMRJVQUDGDBK-INIZCTEOSA-N 1 2 307.438 1.400 20 30 DDEDLO COCCOC[NH+]1CC2(C1)CCN(c1ccc(C#N)cn1)CC2 ZINC001277687546 886493287 /nfs/dbraw/zinc/49/32/87/886493287.db2.gz RJCFOIOHPYDTGQ-UHFFFAOYSA-N 1 2 316.405 1.476 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H](C)[NH2+]Cc1nc(C(F)F)no1 ZINC001277812542 887391087 /nfs/dbraw/zinc/39/10/87/887391087.db2.gz ZCBPPKGGBBHBKO-SNVBAGLBSA-N 1 2 314.336 1.603 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccnc(-c4ccccn4)n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233990814 887530667 /nfs/dbraw/zinc/53/06/67/887530667.db2.gz AMFMOKQXTFAERS-UCHAVSLVSA-N 1 2 310.357 1.530 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccnc(-c4ccccn4)n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233990814 887530684 /nfs/dbraw/zinc/53/06/84/887530684.db2.gz AMFMOKQXTFAERS-UCHAVSLVSA-N 1 2 310.357 1.530 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)nc(C)c1 ZINC001234517001 888046319 /nfs/dbraw/zinc/04/63/19/888046319.db2.gz HZHLEOXLJYEXQD-KRWDZBQOSA-N 1 2 315.417 1.495 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)nc(C)c1 ZINC001234517001 888046330 /nfs/dbraw/zinc/04/63/30/888046330.db2.gz HZHLEOXLJYEXQD-KRWDZBQOSA-N 1 2 315.417 1.495 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234562628 888100708 /nfs/dbraw/zinc/10/07/08/888100708.db2.gz ICGZLRQLUFMQSY-HUUCEWRRSA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234562628 888100710 /nfs/dbraw/zinc/10/07/10/888100710.db2.gz ICGZLRQLUFMQSY-HUUCEWRRSA-N 1 2 321.465 1.812 20 30 DDEDLO C[C@H]([C@H](C)S(C)(=O)=O)[N@H+](C)C[C@H](O)c1cccc(C#N)c1 ZINC001364347446 888430458 /nfs/dbraw/zinc/43/04/58/888430458.db2.gz QEMJMGLWCNRRJZ-XUJVJEKNSA-N 1 2 310.419 1.345 20 30 DDEDLO C[C@H]([C@H](C)S(C)(=O)=O)[N@@H+](C)C[C@H](O)c1cccc(C#N)c1 ZINC001364347446 888430467 /nfs/dbraw/zinc/43/04/67/888430467.db2.gz QEMJMGLWCNRRJZ-XUJVJEKNSA-N 1 2 310.419 1.345 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)c(F)c1 ZINC001235334650 888564849 /nfs/dbraw/zinc/56/48/49/888564849.db2.gz JAQKHJKVBHIMTF-AWEZNQCLSA-N 1 2 322.355 1.761 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)c(F)c1 ZINC001235334650 888564858 /nfs/dbraw/zinc/56/48/58/888564858.db2.gz JAQKHJKVBHIMTF-AWEZNQCLSA-N 1 2 322.355 1.761 20 30 DDEDLO N#CCC[N@H+]1CC[C@H]2CN(C(=O)NC(=O)c3ccccc3)C[C@H]21 ZINC001277941318 888601455 /nfs/dbraw/zinc/60/14/55/888601455.db2.gz MHARGZGHHYVBLY-LSDHHAIUSA-N 1 2 312.373 1.456 20 30 DDEDLO N#CCC[N@@H+]1CC[C@H]2CN(C(=O)NC(=O)c3ccccc3)C[C@H]21 ZINC001277941318 888601460 /nfs/dbraw/zinc/60/14/60/888601460.db2.gz MHARGZGHHYVBLY-LSDHHAIUSA-N 1 2 312.373 1.456 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001364436197 888632151 /nfs/dbraw/zinc/63/21/51/888632151.db2.gz PWFNAGKWCFHABO-VXGBXAGGSA-N 1 2 305.382 1.135 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001364436197 888632161 /nfs/dbraw/zinc/63/21/61/888632161.db2.gz PWFNAGKWCFHABO-VXGBXAGGSA-N 1 2 305.382 1.135 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC001235683766 888881805 /nfs/dbraw/zinc/88/18/05/888881805.db2.gz PDKDPWBSXSDWOA-UHFFFAOYSA-N 1 2 323.441 1.174 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC001235683766 888881810 /nfs/dbraw/zinc/88/18/10/888881810.db2.gz PDKDPWBSXSDWOA-UHFFFAOYSA-N 1 2 323.441 1.174 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nnc(C)n1C1CC1 ZINC001235698175 888890178 /nfs/dbraw/zinc/89/01/78/888890178.db2.gz JKHIACFRAGQEHV-UHFFFAOYSA-N 1 2 321.425 1.062 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nnc(C)n1C1CC1 ZINC001235698175 888890192 /nfs/dbraw/zinc/89/01/92/888890192.db2.gz JKHIACFRAGQEHV-UHFFFAOYSA-N 1 2 321.425 1.062 20 30 DDEDLO CCNc1cc(CNC(=O)N2CC[C@](O)(CC#N)C2)cc[nH+]1 ZINC001364756266 889348992 /nfs/dbraw/zinc/34/89/92/889348992.db2.gz VUWZTQXNWFNHEQ-OAHLLOKOSA-N 1 2 303.366 1.073 20 30 DDEDLO C#CCN(C(C)=O)C1CC[NH+](Cc2cc3n(n2)CCCC3)CC1 ZINC001278107233 889674770 /nfs/dbraw/zinc/67/47/70/889674770.db2.gz HVEFCHIQPATKIG-UHFFFAOYSA-N 1 2 314.433 1.666 20 30 DDEDLO COc1cc(CN2CC([N@H+]3C[C@@H](C)O[C@@H](C)C3)C2)ccc1C#N ZINC001238416070 890203864 /nfs/dbraw/zinc/20/38/64/890203864.db2.gz HYWQQFOJMVBDRG-OKILXGFUSA-N 1 2 315.417 1.860 20 30 DDEDLO COc1cc(CN2CC([N@@H+]3C[C@@H](C)O[C@@H](C)C3)C2)ccc1C#N ZINC001238416070 890203876 /nfs/dbraw/zinc/20/38/76/890203876.db2.gz HYWQQFOJMVBDRG-OKILXGFUSA-N 1 2 315.417 1.860 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)o1 ZINC001374745175 913775009 /nfs/dbraw/zinc/77/50/09/913775009.db2.gz LWYRUYLFQXLYAX-RKDXNWHRSA-N 1 2 302.338 1.047 20 30 DDEDLO C[N@H+](Cc1cnn2ccc(C#N)cc12)C1CCS(=O)(=O)CC1 ZINC001249113734 893822561 /nfs/dbraw/zinc/82/25/61/893822561.db2.gz NEMLUCAPZGXFNT-UHFFFAOYSA-N 1 2 318.402 1.215 20 30 DDEDLO C[N@@H+](Cc1cnn2ccc(C#N)cc12)C1CCS(=O)(=O)CC1 ZINC001249113734 893822578 /nfs/dbraw/zinc/82/25/78/893822578.db2.gz NEMLUCAPZGXFNT-UHFFFAOYSA-N 1 2 318.402 1.215 20 30 DDEDLO CC(C)C[C@@H](C)N(C)C(=O)C[N@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001366520605 893844536 /nfs/dbraw/zinc/84/45/36/893844536.db2.gz QNXRLFDGGOIONK-UONOGXRCSA-N 1 2 310.442 1.087 20 30 DDEDLO CC(C)C[C@@H](C)N(C)C(=O)C[N@@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001366520605 893844553 /nfs/dbraw/zinc/84/45/53/893844553.db2.gz QNXRLFDGGOIONK-UONOGXRCSA-N 1 2 310.442 1.087 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CSCc2csc(C)n2)CC1 ZINC001251426994 894707275 /nfs/dbraw/zinc/70/72/75/894707275.db2.gz BZLWABCMEHREOD-UHFFFAOYSA-N 1 2 323.487 1.852 20 30 DDEDLO C=C(Cl)CN(CCNC(=O)[C@@H]1CCc2c[nH+]cn2C1)C1CC1 ZINC001366742957 894784625 /nfs/dbraw/zinc/78/46/25/894784625.db2.gz IBSUUFULAPFUAB-CYBMUJFWSA-N 1 2 322.840 1.779 20 30 DDEDLO C#CCOC[C@H](O)CN1CC[C@@H]([NH+]2CCCCC2)C(F)(F)C1 ZINC001251860860 894853986 /nfs/dbraw/zinc/85/39/86/894853986.db2.gz VNTDXSOHIPEVQS-HUUCEWRRSA-N 1 2 316.392 1.193 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH2+]C[C@@H](O)COCCCC)[C@@H](C)CC ZINC001252527742 895247030 /nfs/dbraw/zinc/24/70/30/895247030.db2.gz GCUYFGXWUWFKCM-ZNMIVQPWSA-N 1 2 301.427 1.898 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1C[C@H](F)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC001252588439 895292976 /nfs/dbraw/zinc/29/29/76/895292976.db2.gz AMCXEEWYUMWCKW-AGIUHOORSA-N 1 2 302.390 1.861 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1C[C@H](F)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC001252588439 895292989 /nfs/dbraw/zinc/29/29/89/895292989.db2.gz AMCXEEWYUMWCKW-AGIUHOORSA-N 1 2 302.390 1.861 20 30 DDEDLO C=CCCCCCC[C@@H](O)C[NH+]1CCN(S(C)(=O)=O)CC1 ZINC001253238588 895744917 /nfs/dbraw/zinc/74/49/17/895744917.db2.gz GIELHULLWJAOFR-OAHLLOKOSA-N 1 2 318.483 1.451 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H](NC(=O)[C@@H](C)C#N)CC(C)(C)C2)[nH]1 ZINC001388833498 896011650 /nfs/dbraw/zinc/01/16/50/896011650.db2.gz DEGYSLCTQDBUKQ-AAEUAGOBSA-N 1 2 318.425 1.380 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H](NC(=O)[C@@H](C)C#N)CC(C)(C)C2)[nH]1 ZINC001388833498 896011661 /nfs/dbraw/zinc/01/16/61/896011661.db2.gz DEGYSLCTQDBUKQ-AAEUAGOBSA-N 1 2 318.425 1.380 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@H+]([C@@H]2CC[C@@H](C#N)C2)[C@H](CO)C1 ZINC001254656985 896549535 /nfs/dbraw/zinc/54/95/35/896549535.db2.gz AZOCKKBXWRYCRQ-MCIONIFRSA-N 1 2 309.410 1.592 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@@H+]([C@@H]2CC[C@@H](C#N)C2)[C@H](CO)C1 ZINC001254656985 896549544 /nfs/dbraw/zinc/54/95/44/896549544.db2.gz AZOCKKBXWRYCRQ-MCIONIFRSA-N 1 2 309.410 1.592 20 30 DDEDLO N#C[C@@H]1CC[C@H]([NH2+]CCNC(=O)C(F)(F)Br)C1 ZINC001254653721 896549779 /nfs/dbraw/zinc/54/97/79/896549779.db2.gz PPVFDCOXEVURSY-SFYZADRCSA-N 1 2 310.142 1.372 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1[C@@H]1CCSC1 ZINC001255285101 896827551 /nfs/dbraw/zinc/82/75/51/896827551.db2.gz LROQCTBJCDJZGH-TZMCWYRMSA-N 1 2 308.451 1.905 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1[C@@H]1CCSC1 ZINC001255285101 896827564 /nfs/dbraw/zinc/82/75/64/896827564.db2.gz LROQCTBJCDJZGH-TZMCWYRMSA-N 1 2 308.451 1.905 20 30 DDEDLO CC(C)N(CC[N@H+](C)CC(=O)NCC#N)C(=O)c1ccsc1 ZINC001278894997 897152897 /nfs/dbraw/zinc/15/28/97/897152897.db2.gz YRWVXHUZVSPCKN-UHFFFAOYSA-N 1 2 322.434 1.170 20 30 DDEDLO CC(C)N(CC[N@@H+](C)CC(=O)NCC#N)C(=O)c1ccsc1 ZINC001278894997 897152908 /nfs/dbraw/zinc/15/29/08/897152908.db2.gz YRWVXHUZVSPCKN-UHFFFAOYSA-N 1 2 322.434 1.170 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001367824074 897923510 /nfs/dbraw/zinc/92/35/10/897923510.db2.gz FEEMJYBXFHXYEZ-FZMZJTMJSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001367824074 897923519 /nfs/dbraw/zinc/92/35/19/897923519.db2.gz FEEMJYBXFHXYEZ-FZMZJTMJSA-N 1 2 301.818 1.092 20 30 DDEDLO C[C@@H]1C[NH+](CCc2nnc(C#Cc3cccnc3)o2)C[C@@H](C)O1 ZINC001258045728 898030780 /nfs/dbraw/zinc/03/07/80/898030780.db2.gz DYIADNGUJTVPAB-ZIAGYGMSSA-N 1 2 312.373 1.516 20 30 DDEDLO C#CC[C@H]([NH2+]C1CCC2(CC1)OCC(C)(C)CO2)C(=O)OC ZINC001258520955 898236868 /nfs/dbraw/zinc/23/68/68/898236868.db2.gz RSCBKJYITWAGSL-AWEZNQCLSA-N 1 2 309.406 1.853 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)C[C@H]2CCOC2)s1 ZINC001367961639 898325678 /nfs/dbraw/zinc/32/56/78/898325678.db2.gz DYEWJEUZPYZGSQ-OLZOCXBDSA-N 1 2 319.430 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)C[C@H]2CCOC2)s1 ZINC001367961639 898325689 /nfs/dbraw/zinc/32/56/89/898325689.db2.gz DYEWJEUZPYZGSQ-OLZOCXBDSA-N 1 2 319.430 1.737 20 30 DDEDLO C=C(Br)C[NH2+]C[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001374892953 914266359 /nfs/dbraw/zinc/26/63/59/914266359.db2.gz QARSRARVFPAZEF-NKWVEPMBSA-N 1 2 321.112 1.890 20 30 DDEDLO C=C(Br)C[NH2+]C[C@H](C)NC(=O)[C@@H](F)C(F)(F)F ZINC001374892953 914266372 /nfs/dbraw/zinc/26/63/72/914266372.db2.gz QARSRARVFPAZEF-NKWVEPMBSA-N 1 2 321.112 1.890 20 30 DDEDLO CC[C@@H](CNC(=O)c1cccc2[nH+]ccn21)NC(=O)[C@H](C)C#N ZINC001390556442 899665233 /nfs/dbraw/zinc/66/52/33/899665233.db2.gz SCNIISPVZOMFGH-NEPJUHHUSA-N 1 2 313.361 1.119 20 30 DDEDLO C[C@@H]1CO[C@H](C(=O)NCC[N@H+](C)Cc2ccc(C#N)cc2F)C1 ZINC001390793461 900197590 /nfs/dbraw/zinc/19/75/90/900197590.db2.gz OLQIFOHQEOVJRK-LRDDRELGSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@@H]1CO[C@H](C(=O)NCC[N@@H+](C)Cc2ccc(C#N)cc2F)C1 ZINC001390793461 900197595 /nfs/dbraw/zinc/19/75/95/900197595.db2.gz OLQIFOHQEOVJRK-LRDDRELGSA-N 1 2 319.380 1.670 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001390836532 900316021 /nfs/dbraw/zinc/31/60/21/900316021.db2.gz MBAJTEQOZABYGC-LBPRGKRZSA-N 1 2 301.818 1.045 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001390836532 900316032 /nfs/dbraw/zinc/31/60/32/900316032.db2.gz MBAJTEQOZABYGC-LBPRGKRZSA-N 1 2 301.818 1.045 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC[C@H](CF)C1 ZINC001262947603 900431433 /nfs/dbraw/zinc/43/14/33/900431433.db2.gz OWRSRUNNPASQOC-RDBSUJKOSA-N 1 2 323.416 1.388 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC[C@H](CF)C1 ZINC001262947603 900431444 /nfs/dbraw/zinc/43/14/44/900431444.db2.gz OWRSRUNNPASQOC-RDBSUJKOSA-N 1 2 323.416 1.388 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@H]1CCc2ncncc2C1 ZINC001390907817 900486205 /nfs/dbraw/zinc/48/62/05/900486205.db2.gz FFNZIRCCEJVSJA-ZDUSSCGKSA-N 1 2 322.840 1.772 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@H]1CCc2ncncc2C1 ZINC001390907817 900486213 /nfs/dbraw/zinc/48/62/13/900486213.db2.gz FFNZIRCCEJVSJA-ZDUSSCGKSA-N 1 2 322.840 1.772 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1ccc2nc[nH]c2n1 ZINC001390922466 900520705 /nfs/dbraw/zinc/52/07/05/900520705.db2.gz XFFOPRDSBDCQHK-UHFFFAOYSA-N 1 2 307.785 1.762 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1ccc2nc[nH]c2n1 ZINC001390922466 900520713 /nfs/dbraw/zinc/52/07/13/900520713.db2.gz XFFOPRDSBDCQHK-UHFFFAOYSA-N 1 2 307.785 1.762 20 30 DDEDLO CC[N@H+](CCNC(=O)c1nnc[nH]1)Cc1cc(C#N)ccc1F ZINC001390978894 900622585 /nfs/dbraw/zinc/62/25/85/900622585.db2.gz VMMIZZSESQGIOC-UHFFFAOYSA-N 1 2 316.340 1.067 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1nnc[nH]1)Cc1cc(C#N)ccc1F ZINC001390978894 900622588 /nfs/dbraw/zinc/62/25/88/900622588.db2.gz VMMIZZSESQGIOC-UHFFFAOYSA-N 1 2 316.340 1.067 20 30 DDEDLO O=C(OCC#Cc1csc(C[NH+]2CCOCC2)c1)[C@@H]1C[C@H]1F ZINC001263660891 900664307 /nfs/dbraw/zinc/66/43/07/900664307.db2.gz SJFYNXXKJYLSQA-HUUCEWRRSA-N 1 2 323.389 1.833 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1ccc(NC(N)=O)cc1 ZINC001391019772 900700819 /nfs/dbraw/zinc/70/08/19/900700819.db2.gz WIYIZIJGOUNAKM-UHFFFAOYSA-N 1 2 324.812 1.981 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1ccc(NC(N)=O)cc1 ZINC001391019772 900700832 /nfs/dbraw/zinc/70/08/32/900700832.db2.gz WIYIZIJGOUNAKM-UHFFFAOYSA-N 1 2 324.812 1.981 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001263806826 900719742 /nfs/dbraw/zinc/71/97/42/900719742.db2.gz FPMSBHAAFYXWNQ-GXTWGEPZSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001263806826 900719751 /nfs/dbraw/zinc/71/97/51/900719751.db2.gz FPMSBHAAFYXWNQ-GXTWGEPZSA-N 1 2 307.438 1.398 20 30 DDEDLO CCn1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#CCOC)c(C)n1 ZINC001264372807 901053762 /nfs/dbraw/zinc/05/37/62/901053762.db2.gz MNRZFSNEOFVYKQ-HNNXBMFYSA-N 1 2 318.421 1.008 20 30 DDEDLO CCn1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#CCOC)c(C)n1 ZINC001264372807 901053769 /nfs/dbraw/zinc/05/37/69/901053769.db2.gz MNRZFSNEOFVYKQ-HNNXBMFYSA-N 1 2 318.421 1.008 20 30 DDEDLO CC1(C)[C@H](NC(=O)CCn2cc[nH+]c2)[C@@H]2CCCN(CC#N)[C@@H]21 ZINC001264585627 901197811 /nfs/dbraw/zinc/19/78/11/901197811.db2.gz DTTIJODYPAEIHZ-IMJJTQAJSA-N 1 2 315.421 1.402 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2nonc2C)[C@@H]1CC ZINC001264606128 901212245 /nfs/dbraw/zinc/21/22/45/901212245.db2.gz FKOIWIZDRITZKB-BJJXKVORSA-N 1 2 322.409 1.174 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2nonc2C)[C@@H]1CC ZINC001264606128 901212257 /nfs/dbraw/zinc/21/22/57/901212257.db2.gz FKOIWIZDRITZKB-BJJXKVORSA-N 1 2 322.409 1.174 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCC[N@@H+](C)[C@@H](C)c1nnnn1C ZINC001265041402 901474122 /nfs/dbraw/zinc/47/41/22/901474122.db2.gz XOCPZAZFQAJINP-LBPRGKRZSA-N 1 2 308.430 1.312 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCC[N@H+](C)[C@@H](C)c1nnnn1C ZINC001265041402 901474127 /nfs/dbraw/zinc/47/41/27/901474127.db2.gz XOCPZAZFQAJINP-LBPRGKRZSA-N 1 2 308.430 1.312 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](CC)SC)C1 ZINC001265210193 901711253 /nfs/dbraw/zinc/71/12/53/901711253.db2.gz IDQCPLWWOYKBIN-CHWSQXEVSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](CC)SC)C1 ZINC001265210193 901711265 /nfs/dbraw/zinc/71/12/65/901711265.db2.gz IDQCPLWWOYKBIN-CHWSQXEVSA-N 1 2 313.467 1.011 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1CCC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001265212806 901714029 /nfs/dbraw/zinc/71/40/29/901714029.db2.gz GDNBZQHJITUTKE-MRXNPFEDSA-N 1 2 301.365 1.715 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1CCC[N@H+](Cc2ccc(F)cn2)C1 ZINC001265212806 901714039 /nfs/dbraw/zinc/71/40/39/901714039.db2.gz GDNBZQHJITUTKE-MRXNPFEDSA-N 1 2 301.365 1.715 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NC(C)(C)CC)C1 ZINC001265212897 901714820 /nfs/dbraw/zinc/71/48/20/901714820.db2.gz HYVXIVSRJKHWTR-HNNXBMFYSA-N 1 2 321.465 1.675 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NC(C)(C)CC)C1 ZINC001265212897 901714835 /nfs/dbraw/zinc/71/48/35/901714835.db2.gz HYVXIVSRJKHWTR-HNNXBMFYSA-N 1 2 321.465 1.675 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CCC[N@H+](CC(=O)NC2CC2)C1 ZINC001265224854 901736295 /nfs/dbraw/zinc/73/62/95/901736295.db2.gz LNVPZVNMKRVPHX-YOEHRIQHSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NC2CC2)C1 ZINC001265224854 901736306 /nfs/dbraw/zinc/73/63/06/901736306.db2.gz LNVPZVNMKRVPHX-YOEHRIQHSA-N 1 2 307.438 1.448 20 30 DDEDLO N#CCSCC(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001265271025 901797799 /nfs/dbraw/zinc/79/77/99/901797799.db2.gz QFJKZEWFUPYXJV-NSHDSACASA-N 1 2 320.443 1.567 20 30 DDEDLO N#CCSCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001265271025 901797808 /nfs/dbraw/zinc/79/78/08/901797808.db2.gz QFJKZEWFUPYXJV-NSHDSACASA-N 1 2 320.443 1.567 20 30 DDEDLO Cc1noc(C[NH2+][C@H](CNC(=O)C#CC(C)(C)C)C2CC2)n1 ZINC001265354273 901914564 /nfs/dbraw/zinc/91/45/64/901914564.db2.gz OKXKSGOZHGUOOG-CYBMUJFWSA-N 1 2 304.394 1.412 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC[N@@H+](C)CC(=C)Br ZINC001265834599 902466661 /nfs/dbraw/zinc/46/66/61/902466661.db2.gz USAMAFUUIBPYFN-CYBMUJFWSA-N 1 2 319.243 1.660 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC[N@H+](C)CC(=C)Br ZINC001265834599 902466676 /nfs/dbraw/zinc/46/66/76/902466676.db2.gz USAMAFUUIBPYFN-CYBMUJFWSA-N 1 2 319.243 1.660 20 30 DDEDLO C[C@H](C[N@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1ccn[nH]1 ZINC001375010974 914630382 /nfs/dbraw/zinc/63/03/82/914630382.db2.gz SPTJGZZPBYSQIH-LLVKDONJSA-N 1 2 315.352 1.671 20 30 DDEDLO C[C@H](C[N@@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1ccn[nH]1 ZINC001375010974 914630399 /nfs/dbraw/zinc/63/03/99/914630399.db2.gz SPTJGZZPBYSQIH-LLVKDONJSA-N 1 2 315.352 1.671 20 30 DDEDLO C=CCCCC(=O)N(C)[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001293685902 914633511 /nfs/dbraw/zinc/63/35/11/914633511.db2.gz PPTJXVCPEVIOPH-AWEZNQCLSA-N 1 2 318.421 1.676 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@@H+](C)[C@H](C)c2nnnn2C)C1 ZINC001265921185 902597198 /nfs/dbraw/zinc/59/71/98/902597198.db2.gz JFFPQOWTWHPLNA-GFCCVEGCSA-N 1 2 306.414 1.066 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@H+](C)[C@H](C)c2nnnn2C)C1 ZINC001265921185 902597202 /nfs/dbraw/zinc/59/72/02/902597202.db2.gz JFFPQOWTWHPLNA-GFCCVEGCSA-N 1 2 306.414 1.066 20 30 DDEDLO C#CCCCC(=O)N1CCC(NC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001293765354 914687288 /nfs/dbraw/zinc/68/72/88/914687288.db2.gz SMNQPXMVRNQNPU-UHFFFAOYSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001392292937 903791294 /nfs/dbraw/zinc/79/12/94/903791294.db2.gz HTGMANXGMGNKNU-GFCCVEGCSA-N 1 2 317.861 1.680 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001392292937 903791304 /nfs/dbraw/zinc/79/13/04/903791304.db2.gz HTGMANXGMGNKNU-GFCCVEGCSA-N 1 2 317.861 1.680 20 30 DDEDLO C=C(C)CCC(=O)N1CCOC2(C[NH+](CCCOCC)C2)C1 ZINC001280900481 904169094 /nfs/dbraw/zinc/16/90/94/904169094.db2.gz VURNWDZNQFLGID-UHFFFAOYSA-N 1 2 310.438 1.683 20 30 DDEDLO C=CCCCC(=O)N1CCOC2(C[NH+](CCCCOC)C2)C1 ZINC001280906184 904174171 /nfs/dbraw/zinc/17/41/71/904174171.db2.gz FLPRZTJCFLAKIP-UHFFFAOYSA-N 1 2 310.438 1.683 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)Cc1cnn(C)c1 ZINC001281063322 904367215 /nfs/dbraw/zinc/36/72/15/904367215.db2.gz NZTAPWABVVYZSC-GOSISDBHSA-N 1 2 322.412 1.139 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)Cc1cnn(C)c1 ZINC001281063322 904367224 /nfs/dbraw/zinc/36/72/24/904367224.db2.gz NZTAPWABVVYZSC-GOSISDBHSA-N 1 2 322.412 1.139 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001281170450 904521584 /nfs/dbraw/zinc/52/15/84/904521584.db2.gz KRTXGZAZGGIIFK-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N[C@@]12CCC[C@H]1N(C(=O)Cc1c[nH+]c[nH]1)CC2 ZINC001281185147 904541661 /nfs/dbraw/zinc/54/16/61/904541661.db2.gz QSCLJHRZTNOMTN-RHSMWYFYSA-N 1 2 316.405 1.558 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnon2)CC1(C)C ZINC001281546062 905002271 /nfs/dbraw/zinc/00/22/71/905002271.db2.gz HCDLEHXEMRPHFM-OAHLLOKOSA-N 1 2 318.421 1.980 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnon2)CC1(C)C ZINC001281546062 905002251 /nfs/dbraw/zinc/00/22/51/905002251.db2.gz HCDLEHXEMRPHFM-OAHLLOKOSA-N 1 2 318.421 1.980 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@H](NC(=O)[C@H](C)C#N)C2CC2)n1 ZINC001392775164 905217421 /nfs/dbraw/zinc/21/74/21/905217421.db2.gz WCIKNGQSIJBDQU-PWSUYJOCSA-N 1 2 305.382 1.337 20 30 DDEDLO O=C(C#CC1CC1)NC1CC(C[NH2+]Cc2nnsc2Cl)C1 ZINC001282002835 905398748 /nfs/dbraw/zinc/39/87/48/905398748.db2.gz QRLYLBWFOVQKPA-UHFFFAOYSA-N 1 2 324.837 1.589 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)[C@H](C)[NH2+]Cc2cnsn2)cc1 ZINC001282166153 905591904 /nfs/dbraw/zinc/59/19/04/905591904.db2.gz CXAUQIJLLBNXPM-NWDGAFQWSA-N 1 2 314.414 1.816 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001282545788 905863384 /nfs/dbraw/zinc/86/33/84/905863384.db2.gz UPRJKFYQTLKXNB-UHFFFAOYSA-N 1 2 318.461 1.779 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCCCC[N@@H+]1Cc1ncccn1 ZINC001282660931 905926414 /nfs/dbraw/zinc/92/64/14/905926414.db2.gz FJSCTERBTLRYSI-OAHLLOKOSA-N 1 2 318.421 1.540 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCCCC[N@H+]1Cc1ncccn1 ZINC001282660931 905926421 /nfs/dbraw/zinc/92/64/21/905926421.db2.gz FJSCTERBTLRYSI-OAHLLOKOSA-N 1 2 318.421 1.540 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@H](C)C(C)(C)C)C1 ZINC001282723740 905984873 /nfs/dbraw/zinc/98/48/73/905984873.db2.gz ADCRRPSVGQZMFP-QWHCGFSZSA-N 1 2 309.454 1.407 20 30 DDEDLO C[N@H+](CCNC(=O)CCc1ccon1)Cc1ccccc1C#N ZINC001372038812 906305278 /nfs/dbraw/zinc/30/52/78/906305278.db2.gz HEUZEQHQDDMMEW-UHFFFAOYSA-N 1 2 312.373 1.727 20 30 DDEDLO C[N@@H+](CCNC(=O)CCc1ccon1)Cc1ccccc1C#N ZINC001372038812 906305297 /nfs/dbraw/zinc/30/52/97/906305297.db2.gz HEUZEQHQDDMMEW-UHFFFAOYSA-N 1 2 312.373 1.727 20 30 DDEDLO Cc1nc[nH]c1C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001372251781 906825008 /nfs/dbraw/zinc/82/50/08/906825008.db2.gz BCFGIYNHQUUCEN-UHFFFAOYSA-N 1 2 315.352 1.591 20 30 DDEDLO Cc1nc[nH]c1C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001372251781 906825022 /nfs/dbraw/zinc/82/50/22/906825022.db2.gz BCFGIYNHQUUCEN-UHFFFAOYSA-N 1 2 315.352 1.591 20 30 DDEDLO CC[N@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1ncc(C)s1 ZINC001372314647 907003280 /nfs/dbraw/zinc/00/32/80/907003280.db2.gz BRQAVWXECLRPRB-UHFFFAOYSA-N 1 2 317.418 1.903 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1ncc(C)s1 ZINC001372314647 907003291 /nfs/dbraw/zinc/00/32/91/907003291.db2.gz BRQAVWXECLRPRB-UHFFFAOYSA-N 1 2 317.418 1.903 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](CNC(=O)Cc1c[nH+]cn1C)C1CC1 ZINC001283950607 908229820 /nfs/dbraw/zinc/22/98/20/908229820.db2.gz WMNWRNJUZNDGQQ-AWEZNQCLSA-N 1 2 318.421 1.186 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc(C)s2)[C@H]1C ZINC001284118100 908500546 /nfs/dbraw/zinc/50/05/46/908500546.db2.gz IEFQOIQEPHCXTM-TZMCWYRMSA-N 1 2 321.446 1.684 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc(C)s2)[C@H]1C ZINC001284118100 908500559 /nfs/dbraw/zinc/50/05/59/908500559.db2.gz IEFQOIQEPHCXTM-TZMCWYRMSA-N 1 2 321.446 1.684 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](C)NC(=O)Cc2c[nH+]cn2C)CC1 ZINC001284321345 908825322 /nfs/dbraw/zinc/82/53/22/908825322.db2.gz PZLDXWDFNQBRJW-CYBMUJFWSA-N 1 2 318.421 1.330 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@H]1CC[N@H+](Cc2ncc(Cl)n2C)C1 ZINC001373158121 909108349 /nfs/dbraw/zinc/10/83/49/909108349.db2.gz NVYHMHVJEZPDEM-NEPJUHHUSA-N 1 2 323.828 1.561 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@H]1CC[N@@H+](Cc2ncc(Cl)n2C)C1 ZINC001373158121 909108356 /nfs/dbraw/zinc/10/83/56/909108356.db2.gz NVYHMHVJEZPDEM-NEPJUHHUSA-N 1 2 323.828 1.561 20 30 DDEDLO C=C(C)CCC(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCCC1 ZINC001285118984 910088620 /nfs/dbraw/zinc/08/86/20/910088620.db2.gz WFIOEHDTCSXYTA-UHFFFAOYSA-N 1 2 318.421 1.785 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@](C)(NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001285147259 910118705 /nfs/dbraw/zinc/11/87/05/910118705.db2.gz JDJPWZRYCFDTOU-QGZVFWFLSA-N 1 2 316.405 1.013 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)[C@@H](C)NC(=O)C#CC(C)(C)C ZINC001285370294 910434293 /nfs/dbraw/zinc/43/42/93/910434293.db2.gz UMQFCDCQPIBYEB-NEPJUHHUSA-N 1 2 318.421 1.320 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2CN(C(=O)Cc3[nH]cc[nH+]3)CC[C@H]21 ZINC001285399498 910463944 /nfs/dbraw/zinc/46/39/44/910463944.db2.gz ZYDVTSPDCNGNNF-ZIAGYGMSSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)N(C)C(=O)CCc1[nH+]ccn1C ZINC001285708661 911069009 /nfs/dbraw/zinc/06/90/09/911069009.db2.gz ZJCHXDPYKQZJPY-AWEZNQCLSA-N 1 2 320.437 1.672 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)CNC(=O)OC)CC2 ZINC001374182159 912094801 /nfs/dbraw/zinc/09/48/01/912094801.db2.gz YMOPOFJOLAYJRX-UHFFFAOYSA-N 1 2 315.801 1.019 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](NC(=O)CCc2c[nH+]cn2C)C1 ZINC001295097984 915566068 /nfs/dbraw/zinc/56/60/68/915566068.db2.gz SDTFCADTPHLKKO-JOCQHMNTSA-N 1 2 304.394 1.082 20 30 DDEDLO C=C(C)CCC(=O)N(CC)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001297324777 916890107 /nfs/dbraw/zinc/89/01/07/916890107.db2.gz CFLFGBSJSZWSAJ-OAHLLOKOSA-N 1 2 318.421 1.689 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001376715089 919146797 /nfs/dbraw/zinc/14/67/97/919146797.db2.gz QPBPPWKNNYAHHP-JTQLQIEISA-N 1 2 316.365 1.301 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cn(C)nc1CC)C1CC1 ZINC001377533439 922254192 /nfs/dbraw/zinc/25/41/92/922254192.db2.gz GKKWTLYPZOIQJS-UHFFFAOYSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cn(C)nc1CC)C1CC1 ZINC001377533439 922254201 /nfs/dbraw/zinc/25/42/01/922254201.db2.gz GKKWTLYPZOIQJS-UHFFFAOYSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001377569271 922362301 /nfs/dbraw/zinc/36/23/01/922362301.db2.gz YWVVDLZJFSOXRP-LLVKDONJSA-N 1 2 324.799 1.973 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCc2cn(C)nc2C)C1 ZINC001377819170 923135400 /nfs/dbraw/zinc/13/54/00/923135400.db2.gz HYHYGVDSXCJALL-OAHLLOKOSA-N 1 2 324.856 1.994 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCc2cn(C)nc2C)C1 ZINC001377819170 923135409 /nfs/dbraw/zinc/13/54/09/923135409.db2.gz HYHYGVDSXCJALL-OAHLLOKOSA-N 1 2 324.856 1.994 20 30 DDEDLO C=C(Cl)CN1CC(CNC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001377970475 923551005 /nfs/dbraw/zinc/55/10/05/923551005.db2.gz MHUQTEKRHAJIAG-UHFFFAOYSA-N 1 2 322.840 1.562 20 30 DDEDLO C=CCCOCCCCC(=O)NC[C@@H]1CN2CC[N@@H+]1CCC2 ZINC000614503967 361890246 /nfs/dbraw/zinc/89/02/46/361890246.db2.gz UFYPUEQFNDQGCJ-MRXNPFEDSA-N 1 2 309.454 1.256 20 30 DDEDLO C=CCCOCCCCC(=O)NC[C@@H]1CN2CC[N@H+]1CCC2 ZINC000614503967 361890250 /nfs/dbraw/zinc/89/02/50/361890250.db2.gz UFYPUEQFNDQGCJ-MRXNPFEDSA-N 1 2 309.454 1.256 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CCn1cc[nH+]c1)C1CCSCC1 ZINC000614671803 361974579 /nfs/dbraw/zinc/97/45/79/361974579.db2.gz KIJCVQSOJAVNRN-MRXNPFEDSA-N 1 2 323.462 1.934 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000278739383 214367352 /nfs/dbraw/zinc/36/73/52/214367352.db2.gz DPBHZSCGNWAQFF-RHSMWYFYSA-N 1 2 303.406 1.614 20 30 DDEDLO C[C@H](O)C[N@H+](CCC(=O)Nc1cccc(C#N)c1)CC(F)F ZINC000451830610 231207211 /nfs/dbraw/zinc/20/72/11/231207211.db2.gz JSKGHZNYFZDZTH-NSHDSACASA-N 1 2 311.332 1.835 20 30 DDEDLO C[C@H](O)C[N@@H+](CCC(=O)Nc1cccc(C#N)c1)CC(F)F ZINC000451830610 231207213 /nfs/dbraw/zinc/20/72/13/231207213.db2.gz JSKGHZNYFZDZTH-NSHDSACASA-N 1 2 311.332 1.835 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000452005531 231267611 /nfs/dbraw/zinc/26/76/11/231267611.db2.gz CQKANYZMOOTUOJ-AWEZNQCLSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000452005531 231267614 /nfs/dbraw/zinc/26/76/14/231267614.db2.gz CQKANYZMOOTUOJ-AWEZNQCLSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC000451984901 231258993 /nfs/dbraw/zinc/25/89/93/231258993.db2.gz DIPIJIMBJWSVIZ-AWEZNQCLSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC000451984901 231258996 /nfs/dbraw/zinc/25/89/96/231258996.db2.gz DIPIJIMBJWSVIZ-AWEZNQCLSA-N 1 2 304.369 1.933 20 30 DDEDLO N#Cc1cccc(OCC[N@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000328911206 231384036 /nfs/dbraw/zinc/38/40/36/231384036.db2.gz NZTYAFRBRGGCPQ-ZDUSSCGKSA-N 1 2 300.318 1.013 20 30 DDEDLO N#Cc1cccc(OCC[N@@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000328911206 231384038 /nfs/dbraw/zinc/38/40/38/231384038.db2.gz NZTYAFRBRGGCPQ-ZDUSSCGKSA-N 1 2 300.318 1.013 20 30 DDEDLO CC[C@H](C(=O)NC1(C#N)CCOCC1)[N@@H+]1CCO[C@@H](CC)C1 ZINC000330446457 529603796 /nfs/dbraw/zinc/60/37/96/529603796.db2.gz HMJLJJFWLDSURY-UONOGXRCSA-N 1 2 309.410 1.065 20 30 DDEDLO CC[C@H](C(=O)NC1(C#N)CCOCC1)[N@H+]1CCO[C@@H](CC)C1 ZINC000330446457 529603800 /nfs/dbraw/zinc/60/38/00/529603800.db2.gz HMJLJJFWLDSURY-UONOGXRCSA-N 1 2 309.410 1.065 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(C[C@H](C#N)CCC#N)CC1 ZINC000088616153 185259890 /nfs/dbraw/zinc/25/98/90/185259890.db2.gz FENMCACPPBEKBL-CVEARBPZSA-N 1 2 317.437 1.058 20 30 DDEDLO Cc1ccc2c(c1)CC[C@@H]2NC(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000329116319 539301161 /nfs/dbraw/zinc/30/11/61/539301161.db2.gz UABCDXQNSAIBOX-ZBFHGGJFSA-N 1 2 303.406 1.817 20 30 DDEDLO Cc1ccc2c(c1)CC[C@@H]2NC(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000329116319 539301163 /nfs/dbraw/zinc/30/11/63/539301163.db2.gz UABCDXQNSAIBOX-ZBFHGGJFSA-N 1 2 303.406 1.817 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CC(=O)N[C@H]4CCCC[C@@H]43)n2c1 ZINC000092703151 185334430 /nfs/dbraw/zinc/33/44/30/185334430.db2.gz UHTFNESLVAADJM-GJZGRUSLSA-N 1 2 309.373 1.449 20 30 DDEDLO N#C[C@@H]1CCC[C@H]1NC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000332914579 539314146 /nfs/dbraw/zinc/31/41/46/539314146.db2.gz UJTJUCUHDMEPOX-RRFJBIMHSA-N 1 2 306.410 1.185 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CC(C)(C)[C@@H]2[C@@H]2CCCO2)C1 ZINC000329639496 529788061 /nfs/dbraw/zinc/78/80/61/529788061.db2.gz ZHCRBOVOCALNAU-RDBSUJKOSA-N 1 2 311.426 1.120 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CC(C)(C)[C@@H]2[C@@H]2CCCO2)C1 ZINC000329639496 529788063 /nfs/dbraw/zinc/78/80/63/529788063.db2.gz ZHCRBOVOCALNAU-RDBSUJKOSA-N 1 2 311.426 1.120 20 30 DDEDLO CN(C)c1[nH+]cccc1O[C@@H]1CCN(C(=O)NCCC#N)C1 ZINC000331122394 529814928 /nfs/dbraw/zinc/81/49/28/529814928.db2.gz BTEHYMLTSMGGNC-GFCCVEGCSA-N 1 2 303.366 1.428 20 30 DDEDLO C[N@H+](CC(=O)NCCOc1ccc(F)cc1)CC(C)(C)C#N ZINC000433327219 529834092 /nfs/dbraw/zinc/83/40/92/529834092.db2.gz RNJKBFOLSVYCLO-UHFFFAOYSA-N 1 2 307.369 1.802 20 30 DDEDLO C[N@@H+](CC(=O)NCCOc1ccc(F)cc1)CC(C)(C)C#N ZINC000433327219 529834093 /nfs/dbraw/zinc/83/40/93/529834093.db2.gz RNJKBFOLSVYCLO-UHFFFAOYSA-N 1 2 307.369 1.802 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)NC(=O)CC2 ZINC000414120158 529868502 /nfs/dbraw/zinc/86/85/02/529868502.db2.gz AVXJAIMXUXWFBG-ZDUSSCGKSA-N 1 2 303.362 1.156 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)NC(=O)CC2 ZINC000414120158 529868503 /nfs/dbraw/zinc/86/85/03/529868503.db2.gz AVXJAIMXUXWFBG-ZDUSSCGKSA-N 1 2 303.362 1.156 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)Cn1cnc2ccc(Cl)cc2c1=O ZINC000414108282 529868672 /nfs/dbraw/zinc/86/86/72/529868672.db2.gz LNUROFNVAMFBQE-LBPRGKRZSA-N 1 2 320.780 1.256 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)Cn1cnc2ccc(Cl)cc2c1=O ZINC000414108282 529868673 /nfs/dbraw/zinc/86/86/73/529868673.db2.gz LNUROFNVAMFBQE-LBPRGKRZSA-N 1 2 320.780 1.256 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)c2ccccc2C[NH+]2CCOCC2)C1 ZINC000615824620 362454545 /nfs/dbraw/zinc/45/45/45/362454545.db2.gz KFDXQPFPLDRYLM-QGZVFWFLSA-N 1 2 317.364 1.597 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H](c1[nH+]ccn1C)C(C)(C)CO)OCC ZINC000616864842 362852080 /nfs/dbraw/zinc/85/20/80/362852080.db2.gz MHVFSAFKURDSGQ-ZFWWWQNUSA-N 1 2 323.437 1.967 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000277248528 213393335 /nfs/dbraw/zinc/39/33/35/213393335.db2.gz PPNZCMJHHITBNW-KRWDZBQOSA-N 1 2 300.402 1.807 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000277248528 213393339 /nfs/dbraw/zinc/39/33/39/213393339.db2.gz PPNZCMJHHITBNW-KRWDZBQOSA-N 1 2 300.402 1.807 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2cccc(Cl)c2)CC1 ZINC000022776547 352180373 /nfs/dbraw/zinc/18/03/73/352180373.db2.gz BQCHFCCNXRRARB-UHFFFAOYSA-N 1 2 305.809 1.207 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(Cc2cccc(Cl)c2)CC1 ZINC000022776547 352180375 /nfs/dbraw/zinc/18/03/75/352180375.db2.gz BQCHFCCNXRRARB-UHFFFAOYSA-N 1 2 305.809 1.207 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000023288088 352183269 /nfs/dbraw/zinc/18/32/69/352183269.db2.gz JAFIHIIFAFANLQ-UHFFFAOYSA-N 1 2 312.417 1.298 20 30 DDEDLO N#Cc1cccc(CN2CC[NH+](CC(=O)N3CCCC3)CC2)c1 ZINC000023288088 352183270 /nfs/dbraw/zinc/18/32/70/352183270.db2.gz JAFIHIIFAFANLQ-UHFFFAOYSA-N 1 2 312.417 1.298 20 30 DDEDLO CCCCNC(=O)[C@H](C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000029450293 352239097 /nfs/dbraw/zinc/23/90/97/352239097.db2.gz HPUWAMPXTUNATM-AWEZNQCLSA-N 1 2 315.421 1.380 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+](CC(=O)NCC2CC2)CC1 ZINC000029859392 352246097 /nfs/dbraw/zinc/24/60/97/352246097.db2.gz BOVGNGHVJMCJRO-UHFFFAOYSA-N 1 2 316.380 1.346 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(c2ncccn2)CC1 ZINC000042287067 352333663 /nfs/dbraw/zinc/33/36/63/352333663.db2.gz NNMUTNFHVYMZRX-HNNXBMFYSA-N 1 2 317.437 1.412 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(C(=O)C(C)C)CC1 ZINC000042353620 352335637 /nfs/dbraw/zinc/33/56/37/352335637.db2.gz OSNGFSGWKNEQCV-HNNXBMFYSA-N 1 2 307.438 1.376 20 30 DDEDLO CCOCCNC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000045644121 352418277 /nfs/dbraw/zinc/41/82/77/352418277.db2.gz ABQACFKZOQPUTR-UHFFFAOYSA-N 1 2 316.405 1.422 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N[C@@H]1CCO[C@H](c2c[nH+]cn2C)C1 ZINC000331756072 234085723 /nfs/dbraw/zinc/08/57/23/234085723.db2.gz CEBFLZJEXYUGLE-ABAIWWIYSA-N 1 2 314.345 1.843 20 30 DDEDLO CN(C)c1ccc(CNS(=O)(=O)c2ccc(C#N)cc2)c[nH+]1 ZINC000046812788 352462827 /nfs/dbraw/zinc/46/28/27/352462827.db2.gz HPIOSCOHZWTZNL-UHFFFAOYSA-N 1 2 316.386 1.498 20 30 DDEDLO C[C@@H](NC(=O)N[C@@H](C)C[NH+]1CCOCC1)c1ccc(C#N)cc1 ZINC000049231284 352553269 /nfs/dbraw/zinc/55/32/69/352553269.db2.gz AEQSWFZDIIFXJV-UONOGXRCSA-N 1 2 316.405 1.639 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@@H+]2CC(=O)N[C@@](C)(C#N)C2CC2)on1 ZINC000052008868 352598776 /nfs/dbraw/zinc/59/87/76/352598776.db2.gz PDDVBQXPNUQQCE-BBRMVZONSA-N 1 2 302.378 1.928 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@H+]2CC(=O)N[C@@](C)(C#N)C2CC2)on1 ZINC000052008868 352598777 /nfs/dbraw/zinc/59/87/77/352598777.db2.gz PDDVBQXPNUQQCE-BBRMVZONSA-N 1 2 302.378 1.928 20 30 DDEDLO C=CCN(CC=C)C(=O)CN1CC[NH+](Cc2ccco2)CC1 ZINC000053439949 352656401 /nfs/dbraw/zinc/65/64/01/352656401.db2.gz QZRVFAJNCKPLDJ-UHFFFAOYSA-N 1 2 303.406 1.598 20 30 DDEDLO C=C(C)C[N@@H+](CC)Cc1nnc2n1c1ccccc1c(=O)n2C ZINC000072801677 191231011 /nfs/dbraw/zinc/23/10/11/191231011.db2.gz IPVOPEIIGATPAC-UHFFFAOYSA-N 1 2 311.389 1.979 20 30 DDEDLO C=C(C)C[N@H+](CC)Cc1nnc2n1c1ccccc1c(=O)n2C ZINC000072801677 191231014 /nfs/dbraw/zinc/23/10/14/191231014.db2.gz IPVOPEIIGATPAC-UHFFFAOYSA-N 1 2 311.389 1.979 20 30 DDEDLO CC(C)(C#N)C(=O)NCC[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000057091027 352799802 /nfs/dbraw/zinc/79/98/02/352799802.db2.gz XWCXLKILZZDIGS-UHFFFAOYSA-N 1 2 318.396 1.614 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000064634324 352941279 /nfs/dbraw/zinc/94/12/79/352941279.db2.gz HIWVGKJPAOWCSN-UHFFFAOYSA-N 1 2 315.421 1.382 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000064634324 352941283 /nfs/dbraw/zinc/94/12/83/352941283.db2.gz HIWVGKJPAOWCSN-UHFFFAOYSA-N 1 2 315.421 1.382 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCC[N@@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000065296284 352950081 /nfs/dbraw/zinc/95/00/81/352950081.db2.gz CLUPRNKZRILDOC-WMLDXEAASA-N 1 2 320.437 1.128 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCC[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000065296284 352950083 /nfs/dbraw/zinc/95/00/83/352950083.db2.gz CLUPRNKZRILDOC-WMLDXEAASA-N 1 2 320.437 1.128 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cccc(C[S@](C)=O)c2)CC1 ZINC000068445012 353112651 /nfs/dbraw/zinc/11/26/51/353112651.db2.gz NSXQKMBJIXVMEQ-JOCHJYFZSA-N 1 2 318.442 1.346 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@H](O)COc1ccc(Cl)cc1 ZINC000072689570 353209600 /nfs/dbraw/zinc/20/96/00/353209600.db2.gz SOSIOJQBVSTKAB-AWEZNQCLSA-N 1 2 312.797 1.942 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@H](O)COc1ccc(Cl)cc1 ZINC000072689570 353209602 /nfs/dbraw/zinc/20/96/02/353209602.db2.gz SOSIOJQBVSTKAB-AWEZNQCLSA-N 1 2 312.797 1.942 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([NH+]2CCC(CO)CC2)C1=O ZINC000083185022 353707018 /nfs/dbraw/zinc/70/70/18/353707018.db2.gz MXSPLXSVMLEWIN-CYBMUJFWSA-N 1 2 305.403 1.429 20 30 DDEDLO CCN1CCN(C(=O)NC2CCOCC2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328635200 222880501 /nfs/dbraw/zinc/88/05/01/222880501.db2.gz URCUHLMWIUBJNH-CYBMUJFWSA-N 1 2 307.398 1.181 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)c1ccc(C#N)c(Cl)c1 ZINC000125626840 354046171 /nfs/dbraw/zinc/04/61/71/354046171.db2.gz IWFWQNFPJUDVIQ-UHFFFAOYSA-N 1 2 307.781 1.616 20 30 DDEDLO CC(C)NC(=O)C[N@@H+]1CCCN(C(=O)C2(C#N)CCCC2)CC1 ZINC000139433682 354128665 /nfs/dbraw/zinc/12/86/65/354128665.db2.gz URRSGBZESHYOTM-UHFFFAOYSA-N 1 2 320.437 1.129 20 30 DDEDLO CC(C)NC(=O)C[N@H+]1CCCN(C(=O)C2(C#N)CCCC2)CC1 ZINC000139433682 354128670 /nfs/dbraw/zinc/12/86/70/354128670.db2.gz URRSGBZESHYOTM-UHFFFAOYSA-N 1 2 320.437 1.129 20 30 DDEDLO CCN(CC)C(=O)C[N@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000139994958 354132707 /nfs/dbraw/zinc/13/27/07/354132707.db2.gz WAMKWWHGCGRNEL-UHFFFAOYSA-N 1 2 308.426 1.129 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000139994958 354132710 /nfs/dbraw/zinc/13/27/10/354132710.db2.gz WAMKWWHGCGRNEL-UHFFFAOYSA-N 1 2 308.426 1.129 20 30 DDEDLO CC(C)(C#N)N1CC[NH+]([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000161095139 354241974 /nfs/dbraw/zinc/24/19/74/354241974.db2.gz OSPNYBXHXYKSSM-MRXNPFEDSA-N 1 2 312.417 1.712 20 30 DDEDLO C[C@H]([NH2+][C@@H](C)c1nc(C(C)(C)C)no1)C(=O)N(C)CCC#N ZINC000185189564 354280902 /nfs/dbraw/zinc/28/09/02/354280902.db2.gz FGTJZOKMGLSDTJ-QWRGUYRKSA-N 1 2 307.398 1.778 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC000185190208 354281538 /nfs/dbraw/zinc/28/15/38/354281538.db2.gz SELDENWSHIZWHK-VHSXEESVSA-N 1 2 323.397 1.418 20 30 DDEDLO C[C@H](c1cccc([N+](=O)[O-])c1)[NH+]1CCN(C(=O)CC#N)CC1 ZINC000579123994 354716103 /nfs/dbraw/zinc/71/61/03/354716103.db2.gz BNVUXKPGNZCZCA-GFCCVEGCSA-N 1 2 302.334 1.714 20 30 DDEDLO C=C[C@H]([NH2+]CCc1c[nH]c2ccc([N+](=O)[O-])cc12)C(=O)OC ZINC000589054650 354956953 /nfs/dbraw/zinc/95/69/53/354956953.db2.gz QSCQYHYVXJDDDL-ZDUSSCGKSA-N 1 2 303.318 1.936 20 30 DDEDLO Cc1cc(Br)oc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000589826908 355022726 /nfs/dbraw/zinc/02/27/26/355022726.db2.gz BHFFXLZEFAQGTI-GFCCVEGCSA-N 1 2 314.183 1.924 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)c1cc(C#N)cc(N(C)C)c1)CC2 ZINC000590324814 355070854 /nfs/dbraw/zinc/07/08/54/355070854.db2.gz DOEVXRGPBRJMAE-UHFFFAOYSA-N 1 2 309.373 1.785 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N[C@H](C)c1[nH]cc[nH+]1 ZINC000590565101 355114841 /nfs/dbraw/zinc/11/48/41/355114841.db2.gz NPHPKFOFNNPPNF-SNVBAGLBSA-N 1 2 300.318 1.546 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)C1 ZINC000592149017 355515148 /nfs/dbraw/zinc/51/51/48/355515148.db2.gz GZNKMYGGMDCWOB-NVXWUHKLSA-N 1 2 310.357 1.014 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)C1 ZINC000592149017 355515154 /nfs/dbraw/zinc/51/51/54/355515154.db2.gz GZNKMYGGMDCWOB-NVXWUHKLSA-N 1 2 310.357 1.014 20 30 DDEDLO COC(=O)[C@H](CC(C)C)[N@H+](C)Cc1cnc2c(C#N)cnn2c1 ZINC000592514224 355609216 /nfs/dbraw/zinc/60/92/16/355609216.db2.gz GXUWIWXOQBXHMM-AWEZNQCLSA-N 1 2 315.377 1.620 20 30 DDEDLO COC(=O)[C@H](CC(C)C)[N@@H+](C)Cc1cnc2c(C#N)cnn2c1 ZINC000592514224 355609219 /nfs/dbraw/zinc/60/92/19/355609219.db2.gz GXUWIWXOQBXHMM-AWEZNQCLSA-N 1 2 315.377 1.620 20 30 DDEDLO COC[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000593064978 355784607 /nfs/dbraw/zinc/78/46/07/355784607.db2.gz VACMEMYIWDEARK-NWDGAFQWSA-N 1 2 323.418 1.257 20 30 DDEDLO COC[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000593064978 355784610 /nfs/dbraw/zinc/78/46/10/355784610.db2.gz VACMEMYIWDEARK-NWDGAFQWSA-N 1 2 323.418 1.257 20 30 DDEDLO Cn1cc[nH+]c1CNS(=O)(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000593571345 355929644 /nfs/dbraw/zinc/92/96/44/355929644.db2.gz FRLOFEMKBLXEAE-UHFFFAOYSA-N 1 2 318.402 1.700 20 30 DDEDLO C[C@H](CNC(=O)c1cnccc1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594097698 356112307 /nfs/dbraw/zinc/11/23/07/356112307.db2.gz ASAAZRCSQNQKIQ-UPJWGTAASA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@H](CNC(=O)c1cnccc1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594097698 356112310 /nfs/dbraw/zinc/11/23/10/356112310.db2.gz ASAAZRCSQNQKIQ-UPJWGTAASA-N 1 2 302.378 1.181 20 30 DDEDLO Cc1cc(C(=O)NC[C@H](C)[N@H+]2C[C@@H](C)O[C@@H](C)C2)ncc1C#N ZINC000594099514 356112355 /nfs/dbraw/zinc/11/23/55/356112355.db2.gz QRUCOVCDNPHKIC-MELADBBJSA-N 1 2 316.405 1.489 20 30 DDEDLO Cc1cc(C(=O)NC[C@H](C)[N@@H+]2C[C@@H](C)O[C@@H](C)C2)ncc1C#N ZINC000594099514 356112356 /nfs/dbraw/zinc/11/23/56/356112356.db2.gz QRUCOVCDNPHKIC-MELADBBJSA-N 1 2 316.405 1.489 20 30 DDEDLO CC(C)(C)CN1CCC[C@@H]([NH+]2CCN(C(=O)CC#N)CC2)C1=O ZINC000594001068 356084261 /nfs/dbraw/zinc/08/42/61/356084261.db2.gz IJNJCLWUURQAPU-CQSZACIVSA-N 1 2 320.437 1.081 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)N1Cc2ccc(C#N)cc2C1 ZINC000594218094 356143015 /nfs/dbraw/zinc/14/30/15/356143015.db2.gz DFUPZGNNJJEPBI-CYBMUJFWSA-N 1 2 314.389 1.304 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)N1Cc2ccc(C#N)cc2C1 ZINC000594218094 356143019 /nfs/dbraw/zinc/14/30/19/356143019.db2.gz DFUPZGNNJJEPBI-CYBMUJFWSA-N 1 2 314.389 1.304 20 30 DDEDLO CC[NH+]1CCN(C(=O)[C@@H](C)Sc2ccncc2C#N)CC1 ZINC000594911422 356352300 /nfs/dbraw/zinc/35/23/00/356352300.db2.gz RNMWVBXVDJAPMY-GFCCVEGCSA-N 1 2 304.419 1.598 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](CCO[C@H]3CC3(F)F)CC2)c1 ZINC000595291826 356435689 /nfs/dbraw/zinc/43/56/89/356435689.db2.gz VCQNVWZJWYQMCR-ZDUSSCGKSA-N 1 2 308.332 1.499 20 30 DDEDLO COc1ccc(CN2CC[C@@H]([N@H+](C)C[C@H](C)C#N)C2=O)cc1 ZINC000595302452 356440609 /nfs/dbraw/zinc/44/06/09/356440609.db2.gz UFYSVDYYIVNMJR-CZUORRHYSA-N 1 2 301.390 1.888 20 30 DDEDLO COc1ccc(CN2CC[C@@H]([N@@H+](C)C[C@H](C)C#N)C2=O)cc1 ZINC000595302452 356440614 /nfs/dbraw/zinc/44/06/14/356440614.db2.gz UFYSVDYYIVNMJR-CZUORRHYSA-N 1 2 301.390 1.888 20 30 DDEDLO C[C@@H](NS(=O)(=O)Cc1ccc(C#N)cc1F)c1[nH+]ccn1C ZINC000595382369 356472821 /nfs/dbraw/zinc/47/28/21/356472821.db2.gz GJYQKBHCDVQEEQ-SNVBAGLBSA-N 1 2 322.365 1.611 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCC[C@@H](CC#N)C1)C(=O)OC ZINC000595610487 356577104 /nfs/dbraw/zinc/57/71/04/356577104.db2.gz ZRLJHSKGWWEOSW-YDHLFZDLSA-N 1 2 309.410 1.316 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCC[C@@H](CC#N)C1)C(=O)OC ZINC000595610487 356577107 /nfs/dbraw/zinc/57/71/07/356577107.db2.gz ZRLJHSKGWWEOSW-YDHLFZDLSA-N 1 2 309.410 1.316 20 30 DDEDLO CC(C)(C)OC(=O)[C@@]1([NH2+]CCCSCC#N)CCOC1 ZINC000595745899 356633730 /nfs/dbraw/zinc/63/37/30/356633730.db2.gz WZQKUEHWZMMSRE-CQSZACIVSA-N 1 2 300.424 1.724 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@@H+]1CCOc1cccc(C#N)c1 ZINC000595800836 356656018 /nfs/dbraw/zinc/65/60/18/356656018.db2.gz JEWCGBKHIVSKQB-KRWDZBQOSA-N 1 2 318.373 1.591 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@H+]1CCOc1cccc(C#N)c1 ZINC000595800836 356656021 /nfs/dbraw/zinc/65/60/21/356656021.db2.gz JEWCGBKHIVSKQB-KRWDZBQOSA-N 1 2 318.373 1.591 20 30 DDEDLO COc1ccccc1CN(C)C(=O)C[N@@H+]1CC[C@@](C)(C#N)C1 ZINC000595833619 356669922 /nfs/dbraw/zinc/66/99/22/356669922.db2.gz HTHSRJJVYKBPMA-KRWDZBQOSA-N 1 2 301.390 1.889 20 30 DDEDLO COc1ccccc1CN(C)C(=O)C[N@H+]1CC[C@@](C)(C#N)C1 ZINC000595833619 356669928 /nfs/dbraw/zinc/66/99/28/356669928.db2.gz HTHSRJJVYKBPMA-KRWDZBQOSA-N 1 2 301.390 1.889 20 30 DDEDLO COc1cccc(CNC(=O)[C@H](C)[N@@H+]2CC[C@@](C)(C#N)C2)c1 ZINC000595836211 356671364 /nfs/dbraw/zinc/67/13/64/356671364.db2.gz XOKLOHNNTPPTAN-GUYCJALGSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1cccc(CNC(=O)[C@H](C)[N@H+]2CC[C@@](C)(C#N)C2)c1 ZINC000595836211 356671367 /nfs/dbraw/zinc/67/13/67/356671367.db2.gz XOKLOHNNTPPTAN-GUYCJALGSA-N 1 2 301.390 1.935 20 30 DDEDLO CC(C)(C#N)CC[N@@H+]1CCc2sc(S(N)(=O)=O)cc2C1 ZINC000595885191 356690934 /nfs/dbraw/zinc/69/09/34/356690934.db2.gz PLJQHTHTXJGNDX-UHFFFAOYSA-N 1 2 313.448 1.693 20 30 DDEDLO CC(C)(C#N)CC[N@H+]1CCc2sc(S(N)(=O)=O)cc2C1 ZINC000595885191 356690937 /nfs/dbraw/zinc/69/09/37/356690937.db2.gz PLJQHTHTXJGNDX-UHFFFAOYSA-N 1 2 313.448 1.693 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2cc(C#N)ccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596430681 356877236 /nfs/dbraw/zinc/87/72/36/356877236.db2.gz NIOSDNUWJNWUFJ-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2cc(C#N)ccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596430681 356877239 /nfs/dbraw/zinc/87/72/39/356877239.db2.gz NIOSDNUWJNWUFJ-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@H](O)[C@H]1CCN(c2cc(N3CCC[C@@H](CC#N)C3)[nH+]cn2)C1 ZINC000596325370 356857610 /nfs/dbraw/zinc/85/76/10/356857610.db2.gz KAKXGBWVKOTCOV-KKUMJFAQSA-N 1 2 315.421 1.814 20 30 DDEDLO C[C@H](O)[C@H]1CCN(c2cc(N3CCC[C@@H](CC#N)C3)nc[nH+]2)C1 ZINC000596325370 356857613 /nfs/dbraw/zinc/85/76/13/356857613.db2.gz KAKXGBWVKOTCOV-KKUMJFAQSA-N 1 2 315.421 1.814 20 30 DDEDLO CN(C)c1ccc(CNC(=O)CC2(C#N)CCOCC2)c[nH+]1 ZINC000596781759 356975614 /nfs/dbraw/zinc/97/56/14/356975614.db2.gz WOKRONBLFBCQFC-UHFFFAOYSA-N 1 2 302.378 1.474 20 30 DDEDLO C[C@@H](CC#N)C(=O)NCc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1 ZINC000596806671 356982401 /nfs/dbraw/zinc/98/24/01/356982401.db2.gz PDQHCWBONMZWLR-BFHYXJOUSA-N 1 2 316.405 1.861 20 30 DDEDLO C[C@H](CC#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000596675467 356950059 /nfs/dbraw/zinc/95/00/59/356950059.db2.gz TVOMYQYVAFDITI-GDBMZVCRSA-N 1 2 301.390 1.553 20 30 DDEDLO C[C@H](CC#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000596675467 356950060 /nfs/dbraw/zinc/95/00/60/356950060.db2.gz TVOMYQYVAFDITI-GDBMZVCRSA-N 1 2 301.390 1.553 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)[C@@H]2CCc3[nH+]c[nH]c3C2)cc1F ZINC000597617504 357256838 /nfs/dbraw/zinc/25/68/38/357256838.db2.gz OZJSYBSVCITXHW-SNVBAGLBSA-N 1 2 316.311 1.981 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)[C@@H]2CCc3[nH]c[nH+]c3C2)cc1F ZINC000597617504 357256843 /nfs/dbraw/zinc/25/68/43/357256843.db2.gz OZJSYBSVCITXHW-SNVBAGLBSA-N 1 2 316.311 1.981 20 30 DDEDLO N#CC[C@H]1CCCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000597906517 357378353 /nfs/dbraw/zinc/37/83/53/357378353.db2.gz XCLHCYCWCMIIHI-GDBMZVCRSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CC[C@H]1CCCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000597906517 357378357 /nfs/dbraw/zinc/37/83/57/357378357.db2.gz XCLHCYCWCMIIHI-GDBMZVCRSA-N 1 2 305.422 1.782 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)NCC1(C#N)CCCCC1 ZINC000598301502 357532254 /nfs/dbraw/zinc/53/22/54/357532254.db2.gz MMSLHTPASSWKEX-UHFFFAOYSA-N 1 2 308.426 1.033 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)NCC1(C#N)CCCCC1 ZINC000598301502 357532257 /nfs/dbraw/zinc/53/22/57/357532257.db2.gz MMSLHTPASSWKEX-UHFFFAOYSA-N 1 2 308.426 1.033 20 30 DDEDLO CCN(C(=O)c1cccc(C[NH+]2CCOCC2)c1)[C@@H](C)C#N ZINC000598360626 357551749 /nfs/dbraw/zinc/55/17/49/357551749.db2.gz UEPBVUBDTJXZRM-AWEZNQCLSA-N 1 2 301.390 1.893 20 30 DDEDLO CCOC(=O)[C@@H]1CSCC[N@@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598587659 357642493 /nfs/dbraw/zinc/64/24/93/357642493.db2.gz UQVJGYUTNMGHGL-NEPJUHHUSA-N 1 2 300.424 1.268 20 30 DDEDLO CCOC(=O)[C@@H]1CSCC[N@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598587659 357642494 /nfs/dbraw/zinc/64/24/94/357642494.db2.gz UQVJGYUTNMGHGL-NEPJUHHUSA-N 1 2 300.424 1.268 20 30 DDEDLO Cn1nccc1[C@@H]1c2[nH]c[nH+]c2CCN1Cc1ccncc1C#N ZINC000599446870 357940242 /nfs/dbraw/zinc/94/02/42/357940242.db2.gz ZSGILVKGOHDIFJ-QGZVFWFLSA-N 1 2 319.372 1.558 20 30 DDEDLO Cc1cnc(N2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)s1 ZINC000276727176 213070573 /nfs/dbraw/zinc/07/05/73/213070573.db2.gz GJCCCXNAYGBCEP-UHFFFAOYSA-N 1 2 301.419 1.984 20 30 DDEDLO COC[C@@]1(C)C[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)CCO1 ZINC000599695291 358029815 /nfs/dbraw/zinc/02/98/15/358029815.db2.gz WYUUZQCLRIRNGZ-MRXNPFEDSA-N 1 2 323.437 1.409 20 30 DDEDLO COC[C@@]1(C)C[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)CCO1 ZINC000599695291 358029818 /nfs/dbraw/zinc/02/98/18/358029818.db2.gz WYUUZQCLRIRNGZ-MRXNPFEDSA-N 1 2 323.437 1.409 20 30 DDEDLO Cc1c(Cl)cnc(N[C@@H](CO)C[NH+]2CCOCC2)c1C#N ZINC000601176383 358434619 /nfs/dbraw/zinc/43/46/19/358434619.db2.gz HDGNKOKPYQDZRY-LLVKDONJSA-N 1 2 310.785 1.020 20 30 DDEDLO N#CCCCCCC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000601888792 358728522 /nfs/dbraw/zinc/72/85/22/358728522.db2.gz YEWIBLAJHKCKGH-UHFFFAOYSA-N 1 2 315.421 1.637 20 30 DDEDLO COCCN(CC#N)C(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000602160388 358854577 /nfs/dbraw/zinc/85/45/77/358854577.db2.gz XQBKDFDVWCMLEL-INIZCTEOSA-N 1 2 317.389 1.268 20 30 DDEDLO COCCN(CC#N)C(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000602160388 358854578 /nfs/dbraw/zinc/85/45/78/358854578.db2.gz XQBKDFDVWCMLEL-INIZCTEOSA-N 1 2 317.389 1.268 20 30 DDEDLO Cn1ccnc1[C@H](C1CC1)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000602440346 358978131 /nfs/dbraw/zinc/97/81/31/358978131.db2.gz ISGTVKOGTVGZME-KRWDZBQOSA-N 1 2 322.416 1.960 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1nc(C2CCC2)no1 ZINC000602780454 359186417 /nfs/dbraw/zinc/18/64/17/359186417.db2.gz UVZUFYMICYECOC-UHFFFAOYSA-N 1 2 319.409 1.385 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)N1CC[NH+](CC2(CC#N)CC2)CC1 ZINC000602789509 359192923 /nfs/dbraw/zinc/19/29/23/359192923.db2.gz WKCVVDZJFOTYIN-HNNXBMFYSA-N 1 2 304.438 1.309 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(CC2(CC#N)CC2)CC1 ZINC000602789509 359192928 /nfs/dbraw/zinc/19/29/28/359192928.db2.gz WKCVVDZJFOTYIN-HNNXBMFYSA-N 1 2 304.438 1.309 20 30 DDEDLO COC(=O)c1cc(C[NH+]2CCN(CCC#N)CC2)n(C)c1C ZINC000602747882 359165592 /nfs/dbraw/zinc/16/55/92/359165592.db2.gz HVTFQWVWVJTJBC-UHFFFAOYSA-N 1 2 304.394 1.151 20 30 DDEDLO CC(C)N(C)c1ccc(C[NH+]2CCN(CCC#N)CC2)cn1 ZINC000602748889 359166094 /nfs/dbraw/zinc/16/60/94/359166094.db2.gz VGELMMYDIJWXCJ-UHFFFAOYSA-N 1 2 301.438 1.957 20 30 DDEDLO CS(=O)(=O)Nc1cccc(C[NH+]2CCN(CCC#N)CC2)c1 ZINC000602748857 359166899 /nfs/dbraw/zinc/16/68/99/359166899.db2.gz SXKAYXLGQMRNDK-UHFFFAOYSA-N 1 2 322.434 1.089 20 30 DDEDLO C[C@@H](NC(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1)c1ccccc1 ZINC000602849382 359236393 /nfs/dbraw/zinc/23/63/93/359236393.db2.gz LAUSZSMZVWRLJX-HRCADAONSA-N 1 2 314.433 1.782 20 30 DDEDLO C[C@@H](NC(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1)c1ccccc1 ZINC000602849378 359236994 /nfs/dbraw/zinc/23/69/94/359236994.db2.gz LAUSZSMZVWRLJX-BZUAXINKSA-N 1 2 314.433 1.782 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)Nc2cccc(C#N)c2)C[C@H](C)N1CC#N ZINC000602865023 359249372 /nfs/dbraw/zinc/24/93/72/359249372.db2.gz SAZPGABNDWSKBY-KBPBESRZSA-N 1 2 311.389 1.415 20 30 DDEDLO Cc1nnc(N2CC[NH+](Cc3ccc(F)c(C#N)c3)CC2)n1C ZINC000602945572 359313553 /nfs/dbraw/zinc/31/35/53/359313553.db2.gz YKIDDAYLCAUHCD-UHFFFAOYSA-N 1 2 314.368 1.457 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NCc1nc(C#N)cs1)C(C)(C)CO ZINC000602882580 359267106 /nfs/dbraw/zinc/26/71/06/359267106.db2.gz NMHDXIAWYNMQGD-LBPRGKRZSA-N 1 2 305.407 1.598 20 30 DDEDLO N#CCCN1CC[NH+]([C@H]2CCN(c3ccc(C#N)cc3)C2=O)CC1 ZINC000603015367 359358327 /nfs/dbraw/zinc/35/83/27/359358327.db2.gz AQRMVRYFRGCFFN-KRWDZBQOSA-N 1 2 323.400 1.195 20 30 DDEDLO CO[C@H]1C[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@](C)(CO)C1 ZINC000602963314 359326947 /nfs/dbraw/zinc/32/69/47/359326947.db2.gz VECLEKUJAXQBBU-DOMZBBRYSA-N 1 2 305.334 1.438 20 30 DDEDLO CO[C@H]1C[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@](C)(CO)C1 ZINC000602963314 359326950 /nfs/dbraw/zinc/32/69/50/359326950.db2.gz VECLEKUJAXQBBU-DOMZBBRYSA-N 1 2 305.334 1.438 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+](C)[C@H]2CCC[C@H]2C#N)C1 ZINC000602977095 359335779 /nfs/dbraw/zinc/33/57/79/359335779.db2.gz XWZFFLJRMBGJCO-ZNMIVQPWSA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+](C)[C@H]2CCC[C@H]2C#N)C1 ZINC000602977095 359335782 /nfs/dbraw/zinc/33/57/82/359335782.db2.gz XWZFFLJRMBGJCO-ZNMIVQPWSA-N 1 2 321.421 1.412 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C[C@@H](C)N1CC#N ZINC000603104447 359405750 /nfs/dbraw/zinc/40/57/50/359405750.db2.gz CJWRHNKKHUFSQX-HUUCEWRRSA-N 1 2 323.400 1.931 20 30 DDEDLO Cc1cc[nH+]cc1Cn1cc(CN(C)c2cnc(C#N)cn2)nn1 ZINC000603229196 359499854 /nfs/dbraw/zinc/49/98/54/359499854.db2.gz JKQOCYXQQXDOEP-UHFFFAOYSA-N 1 2 320.360 1.328 20 30 DDEDLO CC[NH+](CC)Cc1ccc(C(=O)Nc2nc(C#N)c(C#N)[nH]2)o1 ZINC000604505595 359758065 /nfs/dbraw/zinc/75/80/65/359758065.db2.gz MSHNPDMSNZWCQW-UHFFFAOYSA-N 1 2 312.333 1.840 20 30 DDEDLO CC(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C#N)c(O)c2)C1 ZINC000188233008 200213552 /nfs/dbraw/zinc/21/35/52/200213552.db2.gz LCANOWZWHGYYIV-OAHLLOKOSA-N 1 2 317.389 1.350 20 30 DDEDLO CC(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(C#N)c(O)c2)C1 ZINC000188233008 200213554 /nfs/dbraw/zinc/21/35/54/200213554.db2.gz LCANOWZWHGYYIV-OAHLLOKOSA-N 1 2 317.389 1.350 20 30 DDEDLO C[C@H](O)C[C@@H]1COCCN1C(=O)NC[C@H]1CCCn2cc[nH+]c21 ZINC000329844312 223036697 /nfs/dbraw/zinc/03/66/97/223036697.db2.gz LIJAIJSRBTVMHO-BFHYXJOUSA-N 1 2 322.409 1.146 20 30 DDEDLO C=CCOc1cccc(C[N@H+]2CCC[C@H](O)[C@@H]2C(=O)OC)c1 ZINC000188774731 200302597 /nfs/dbraw/zinc/30/25/97/200302597.db2.gz LEMGZAKTHJHYOI-JKSUJKDBSA-N 1 2 305.374 1.750 20 30 DDEDLO C=CCOc1cccc(C[N@@H+]2CCC[C@H](O)[C@@H]2C(=O)OC)c1 ZINC000188774731 200302598 /nfs/dbraw/zinc/30/25/98/200302598.db2.gz LEMGZAKTHJHYOI-JKSUJKDBSA-N 1 2 305.374 1.750 20 30 DDEDLO Cc1ncc(C(=O)NCC[N@H+]2CCOC[C@@H]2C)c(C2CC2)n1 ZINC000329851504 223038380 /nfs/dbraw/zinc/03/83/80/223038380.db2.gz TURZYOUFWWCSTR-NSHDSACASA-N 1 2 304.394 1.688 20 30 DDEDLO Cc1ncc(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)c(C2CC2)n1 ZINC000329851504 223038382 /nfs/dbraw/zinc/03/83/82/223038382.db2.gz TURZYOUFWWCSTR-NSHDSACASA-N 1 2 304.394 1.688 20 30 DDEDLO N#CCN(CC(=O)N1CC[NH+](Cc2cccs2)CC1)C1CC1 ZINC000609203454 360272107 /nfs/dbraw/zinc/27/21/07/360272107.db2.gz BRMCXQCGTKTCBW-UHFFFAOYSA-N 1 2 318.446 1.380 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)C(=O)[C@H]1CCCN1c1ccc(C#N)cc1 ZINC000609521800 360317420 /nfs/dbraw/zinc/31/74/20/360317420.db2.gz LRORTAIJAYYAAE-OAHLLOKOSA-N 1 2 309.373 1.909 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(c2cc(C#N)c3ccccc3n2)CC1 ZINC000609890729 360362886 /nfs/dbraw/zinc/36/28/86/360362886.db2.gz WFOPDIBPEAPSHB-UHFFFAOYSA-N 1 2 323.400 1.317 20 30 DDEDLO CS(=O)(=O)C[C@H]([NH2+]Cc1ccnc(C#N)c1)c1ccccc1 ZINC000611404279 360712863 /nfs/dbraw/zinc/71/28/63/360712863.db2.gz WPFLDIOEJJJIKP-INIZCTEOSA-N 1 2 315.398 1.829 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NC(=O)C(=O)NCCc1cn(C)c[nH+]1 ZINC000611950590 360867834 /nfs/dbraw/zinc/86/78/34/360867834.db2.gz WFFIVBPWYAVMQR-UKRRQHHQSA-N 1 2 318.421 1.330 20 30 DDEDLO Cc1nnsc1CNC(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000330266726 223096478 /nfs/dbraw/zinc/09/64/78/223096478.db2.gz QBHACZIGNKRVRZ-JTQLQIEISA-N 1 2 306.395 1.484 20 30 DDEDLO N#CCc1ccc(C[NH+]2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000330806924 223145323 /nfs/dbraw/zinc/14/53/23/223145323.db2.gz ABNGMZXVBAAFRP-QGZVFWFLSA-N 1 2 313.401 1.576 20 30 DDEDLO Cc1nn(C(C)(C)C)cc1C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000330811387 223146032 /nfs/dbraw/zinc/14/60/32/223146032.db2.gz JPUHQMULRKUATJ-GFCCVEGCSA-N 1 2 308.426 1.972 20 30 DDEDLO Cc1nn(C(C)(C)C)cc1C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000330811387 223146034 /nfs/dbraw/zinc/14/60/34/223146034.db2.gz JPUHQMULRKUATJ-GFCCVEGCSA-N 1 2 308.426 1.972 20 30 DDEDLO Cc1ccc([N+](=O)[O-])c(C(=O)NCC[N@H+]2CCOC[C@@H]2C)c1 ZINC000330890445 223151874 /nfs/dbraw/zinc/15/18/74/223151874.db2.gz HJVSPKWSTRGOOL-LBPRGKRZSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1ccc([N+](=O)[O-])c(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)c1 ZINC000330890445 223151875 /nfs/dbraw/zinc/15/18/75/223151875.db2.gz HJVSPKWSTRGOOL-LBPRGKRZSA-N 1 2 307.350 1.929 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CC2(CCC2)[C@H]1[C@H]1CCCO1)C1CC1 ZINC000332862975 223216152 /nfs/dbraw/zinc/21/61/52/223216152.db2.gz FUTOVCHTHRVNCR-DJIMGWMZSA-N 1 2 317.433 1.828 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CC2(CCC2)[C@H]1[C@H]1CCCO1)C1CC1 ZINC000332862975 223216154 /nfs/dbraw/zinc/21/61/54/223216154.db2.gz FUTOVCHTHRVNCR-DJIMGWMZSA-N 1 2 317.433 1.828 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)c1cc(C#N)cc(N(C)C)c1 ZINC000292791779 223247958 /nfs/dbraw/zinc/24/79/58/223247958.db2.gz XXBAZEQBPRAZMJ-ZDUSSCGKSA-N 1 2 316.405 1.075 20 30 DDEDLO C[C@@H]([NH2+][C@H](C)c1nnc2n1CCCCC2)C(=O)N(C)CCC#N ZINC000613062642 361257068 /nfs/dbraw/zinc/25/70/68/361257068.db2.gz IJJGBMPYDFJMSH-CHWSQXEVSA-N 1 2 318.425 1.416 20 30 DDEDLO N#CCCCC[NH+]1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000613784940 361566492 /nfs/dbraw/zinc/56/64/92/361566492.db2.gz PJMLGLHUWFXBGK-UHFFFAOYSA-N 1 2 319.430 1.757 20 30 DDEDLO CCC[N@H+](CCC(=O)Nc1ccc(C#N)cc1)CC(=O)OC ZINC000194648995 201268602 /nfs/dbraw/zinc/26/86/02/201268602.db2.gz BDDNEQVVINGNFQ-UHFFFAOYSA-N 1 2 303.362 1.772 20 30 DDEDLO CCC[N@@H+](CCC(=O)Nc1ccc(C#N)cc1)CC(=O)OC ZINC000194648995 201268605 /nfs/dbraw/zinc/26/86/05/201268605.db2.gz BDDNEQVVINGNFQ-UHFFFAOYSA-N 1 2 303.362 1.772 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+]([C@H](C)C(=O)Nc3ccccc3C#N)C2)[nH]1 ZINC000565996530 304108465 /nfs/dbraw/zinc/10/84/65/304108465.db2.gz HWUAHYROORPVIZ-BXUZGUMPSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+]([C@H](C)C(=O)Nc3ccccc3C#N)C2)[nH]1 ZINC000565996530 304108468 /nfs/dbraw/zinc/10/84/68/304108468.db2.gz HWUAHYROORPVIZ-BXUZGUMPSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@@H+]([C@H](C)C(=O)Nc3ccccc3C#N)C2)n1 ZINC000565996530 304108470 /nfs/dbraw/zinc/10/84/70/304108470.db2.gz HWUAHYROORPVIZ-BXUZGUMPSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@H+]([C@H](C)C(=O)Nc3ccccc3C#N)C2)n1 ZINC000565996530 304108471 /nfs/dbraw/zinc/10/84/71/304108471.db2.gz HWUAHYROORPVIZ-BXUZGUMPSA-N 1 2 324.388 1.801 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCc2nc(C[NH+]3CCCCC3)no2)c1 ZINC000619872574 364170533 /nfs/dbraw/zinc/17/05/33/364170533.db2.gz AJIKRZOSJZYRTD-UHFFFAOYSA-N 1 2 314.349 1.185 20 30 DDEDLO COC(=O)[C@@H]1CCCCC[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000371582290 291310025 /nfs/dbraw/zinc/31/00/25/291310025.db2.gz UUJGGSRKNIYRQA-AWEZNQCLSA-N 1 2 320.393 1.060 20 30 DDEDLO COC(=O)[C@@H]1CCCCC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000371582290 291310027 /nfs/dbraw/zinc/31/00/27/291310027.db2.gz UUJGGSRKNIYRQA-AWEZNQCLSA-N 1 2 320.393 1.060 20 30 DDEDLO C[C@H](C(N)=O)[NH+]1CCN([C@@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348328475 223383160 /nfs/dbraw/zinc/38/31/60/223383160.db2.gz KRHOMYWBNMYIPY-RISCZKNCSA-N 1 2 306.797 1.396 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](C)CC[N@@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000274823435 284174127 /nfs/dbraw/zinc/17/41/27/284174127.db2.gz BXBBAJNPFQFFBC-KCXAZCMYSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](C)CC[N@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000274823435 284174129 /nfs/dbraw/zinc/17/41/29/284174129.db2.gz BXBBAJNPFQFFBC-KCXAZCMYSA-N 1 2 302.374 1.865 20 30 DDEDLO C[N@H+](CCOCCO)Cc1cc(C#N)ccc1Br ZINC000282504071 217046465 /nfs/dbraw/zinc/04/64/65/217046465.db2.gz OBQDFVOICOXMAK-UHFFFAOYSA-N 1 2 313.195 1.761 20 30 DDEDLO C[N@@H+](CCOCCO)Cc1cc(C#N)ccc1Br ZINC000282504071 217046467 /nfs/dbraw/zinc/04/64/67/217046467.db2.gz OBQDFVOICOXMAK-UHFFFAOYSA-N 1 2 313.195 1.761 20 30 DDEDLO C=C1CCN(C(=O)C[NH+]2CCN(c3ccccn3)CC2)CC1 ZINC000266453340 205356757 /nfs/dbraw/zinc/35/67/57/205356757.db2.gz OJAJHVFVERTRLE-UHFFFAOYSA-N 1 2 300.406 1.382 20 30 DDEDLO Cc1cc(N2CCC[C@H](N3CCCS3(=O)=O)C2)c(C#N)c[nH+]1 ZINC000333538525 249016650 /nfs/dbraw/zinc/01/66/50/249016650.db2.gz ZMUNWZLAWLRFJS-AWEZNQCLSA-N 1 2 320.418 1.266 20 30 DDEDLO COC(=O)[C@@H]([NH2+]CCC(=O)Nc1sccc1C#N)C(C)C ZINC000043442233 183364352 /nfs/dbraw/zinc/36/43/52/183364352.db2.gz FTUDEDBZOFKAQI-LBPRGKRZSA-N 1 2 309.391 1.736 20 30 DDEDLO N#Cc1cccc(CS(=O)(=O)NCCCn2cc[nH+]c2)c1 ZINC000119609478 195001755 /nfs/dbraw/zinc/00/17/55/195001755.db2.gz AUYMKLIQZUSZSL-UHFFFAOYSA-N 1 2 304.375 1.264 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@H+](C)[C@@H](C)CC#N)cc1 ZINC000292870935 285186822 /nfs/dbraw/zinc/18/68/22/285186822.db2.gz SKCQCEYDGWQTCC-HOCLYGCPSA-N 1 2 306.406 1.849 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@@H+](C)[C@@H](C)CC#N)cc1 ZINC000292870935 285186827 /nfs/dbraw/zinc/18/68/27/285186827.db2.gz SKCQCEYDGWQTCC-HOCLYGCPSA-N 1 2 306.406 1.849 20 30 DDEDLO COc1cc([N+](=O)[O-])ccc1NC(=O)C[NH2+][C@@H]1CCC[C@H]1C#N ZINC000459457282 533138939 /nfs/dbraw/zinc/13/89/39/533138939.db2.gz DCUMOJSPDLMHEK-CMPLNLGQSA-N 1 2 318.333 1.824 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)N(C)C(=O)[C@H](C)[NH+]1CCN(C)CC1 ZINC000425123120 533179587 /nfs/dbraw/zinc/17/95/87/533179587.db2.gz DGUDRQSWDHYEQR-GJZGRUSLSA-N 1 2 314.433 1.714 20 30 DDEDLO Cc1nsc(N2CC[NH+](CCOCC(F)F)CC2)c1C#N ZINC000289508297 221029119 /nfs/dbraw/zinc/02/91/19/221029119.db2.gz QVGDXNNHBNZFTD-UHFFFAOYSA-N 1 2 316.377 1.727 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)NC[C@@H](C)Cn1cc[nH+]c1 ZINC000414468975 224342183 /nfs/dbraw/zinc/34/21/83/224342183.db2.gz LEMQJLQALRIFOK-GFCCVEGCSA-N 1 2 318.402 1.678 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCC[C@H](S(C)(=O)=O)C1 ZINC000313884684 533269439 /nfs/dbraw/zinc/26/94/39/533269439.db2.gz NZNJFJQQFRCAGH-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCC[C@H](S(C)(=O)=O)C1 ZINC000313884684 533269448 /nfs/dbraw/zinc/26/94/48/533269448.db2.gz NZNJFJQQFRCAGH-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO CS(=O)(=O)[C@H]1CC[N@H+](Cc2cccc(OCCCC#N)c2)C1 ZINC000337422381 533435694 /nfs/dbraw/zinc/43/56/94/533435694.db2.gz XBQCRMXDRMCTST-INIZCTEOSA-N 1 2 322.430 1.988 20 30 DDEDLO CS(=O)(=O)[C@H]1CC[N@@H+](Cc2cccc(OCCCC#N)c2)C1 ZINC000337422381 533435698 /nfs/dbraw/zinc/43/56/98/533435698.db2.gz XBQCRMXDRMCTST-INIZCTEOSA-N 1 2 322.430 1.988 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[S@](=O)CC1(C)C ZINC000331020760 533503125 /nfs/dbraw/zinc/50/31/25/533503125.db2.gz RLOFHWUPGJCXCF-QFIPXVFZSA-N 1 2 322.430 1.789 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[S@](=O)CC1(C)C ZINC000331020760 533503130 /nfs/dbraw/zinc/50/31/30/533503130.db2.gz RLOFHWUPGJCXCF-QFIPXVFZSA-N 1 2 322.430 1.789 20 30 DDEDLO COc1ccc([C@H](C)NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)cc1 ZINC000329924653 286366387 /nfs/dbraw/zinc/36/63/87/286366387.db2.gz VBRBDRDRWJBWSQ-MAZHCROVSA-N 1 2 319.405 1.685 20 30 DDEDLO COc1ccc([C@H](C)NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)cc1 ZINC000329924653 286366388 /nfs/dbraw/zinc/36/63/88/286366388.db2.gz VBRBDRDRWJBWSQ-MAZHCROVSA-N 1 2 319.405 1.685 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2nc(C)cc(C)c2C#N)CC1 ZINC000071953886 406870503 /nfs/dbraw/zinc/87/05/03/406870503.db2.gz MCFPOTRFRCOPNM-UHFFFAOYSA-N 1 2 301.394 1.146 20 30 DDEDLO CN(C)c1[nH+]cccc1CNS(=O)(=O)c1ccccc1C#N ZINC000032437926 406947790 /nfs/dbraw/zinc/94/77/90/406947790.db2.gz MECKGGMGMGPWRH-UHFFFAOYSA-N 1 2 316.386 1.498 20 30 DDEDLO COC(=O)[C@H]1CCC[N@@H+]1CCOc1ccc(C#N)cc1OC ZINC000077250548 406982096 /nfs/dbraw/zinc/98/20/96/406982096.db2.gz KAROCCGYNGBLCZ-CYBMUJFWSA-N 1 2 304.346 1.583 20 30 DDEDLO COC(=O)[C@H]1CCC[N@H+]1CCOc1ccc(C#N)cc1OC ZINC000077250548 406982098 /nfs/dbraw/zinc/98/20/98/406982098.db2.gz KAROCCGYNGBLCZ-CYBMUJFWSA-N 1 2 304.346 1.583 20 30 DDEDLO CCC[N@H+](CCOc1ccc(C#N)cc1OC)CC(=O)OC ZINC000077655071 407000562 /nfs/dbraw/zinc/00/05/62/407000562.db2.gz LAAAZMBMNGKKIZ-UHFFFAOYSA-N 1 2 306.362 1.831 20 30 DDEDLO CCC[N@@H+](CCOc1ccc(C#N)cc1OC)CC(=O)OC ZINC000077655071 407000567 /nfs/dbraw/zinc/00/05/67/407000567.db2.gz LAAAZMBMNGKKIZ-UHFFFAOYSA-N 1 2 306.362 1.831 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000046599802 407062176 /nfs/dbraw/zinc/06/21/76/407062176.db2.gz BBPJIZIDVMYEGH-LLVKDONJSA-N 1 2 320.349 1.427 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000046599802 407062179 /nfs/dbraw/zinc/06/21/79/407062179.db2.gz BBPJIZIDVMYEGH-LLVKDONJSA-N 1 2 320.349 1.427 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@@H+]1CCNC(=O)CC1 ZINC000054259086 407181579 /nfs/dbraw/zinc/18/15/79/407181579.db2.gz XSTBQSBQWZXSQB-JTQLQIEISA-N 1 2 320.780 1.361 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@H+]1CCNC(=O)CC1 ZINC000054259086 407181581 /nfs/dbraw/zinc/18/15/81/407181581.db2.gz XSTBQSBQWZXSQB-JTQLQIEISA-N 1 2 320.780 1.361 20 30 DDEDLO COCC[N@H+](CC(=O)NCC1CCC1)Cc1ccc(C#N)cc1 ZINC000066496980 407252041 /nfs/dbraw/zinc/25/20/41/407252041.db2.gz BCZJVLGOWVPNSE-UHFFFAOYSA-N 1 2 315.417 1.923 20 30 DDEDLO COCC[N@@H+](CC(=O)NCC1CCC1)Cc1ccc(C#N)cc1 ZINC000066496980 407252043 /nfs/dbraw/zinc/25/20/43/407252043.db2.gz BCZJVLGOWVPNSE-UHFFFAOYSA-N 1 2 315.417 1.923 20 30 DDEDLO C=CC[N@H+](CC(=O)N1CCNC1=O)Cc1cccc([N+](=O)[O-])c1 ZINC000063297780 407232538 /nfs/dbraw/zinc/23/25/38/407232538.db2.gz OVVPGUDWVBOOHD-UHFFFAOYSA-N 1 2 318.333 1.135 20 30 DDEDLO C=CC[N@@H+](CC(=O)N1CCNC1=O)Cc1cccc([N+](=O)[O-])c1 ZINC000063297780 407232540 /nfs/dbraw/zinc/23/25/40/407232540.db2.gz OVVPGUDWVBOOHD-UHFFFAOYSA-N 1 2 318.333 1.135 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CCC[C@@H]([NH+](C)C)C2)cc1C#N ZINC000106364446 407359216 /nfs/dbraw/zinc/35/92/16/407359216.db2.gz ONQFOBHIJJVKQI-LLVKDONJSA-N 1 2 320.418 1.135 20 30 DDEDLO C#CCNC(=O)c1ccccc1NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000151778807 407495251 /nfs/dbraw/zinc/49/52/51/407495251.db2.gz PCPFRWKFAJBQQH-ZDUSSCGKSA-N 1 2 322.368 1.447 20 30 DDEDLO C=C(C)COCCNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000151515226 407450012 /nfs/dbraw/zinc/45/00/12/407450012.db2.gz JEBIFMMGUILOJU-HNNXBMFYSA-N 1 2 311.426 1.085 20 30 DDEDLO C[C@@H]1CO[C@@H](CO)C[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000129685481 407623045 /nfs/dbraw/zinc/62/30/45/407623045.db2.gz KPRDBOWWGJZVQV-ZYHUDNBSSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@@H]1CO[C@@H](CO)C[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000129685481 407623052 /nfs/dbraw/zinc/62/30/52/407623052.db2.gz KPRDBOWWGJZVQV-ZYHUDNBSSA-N 1 2 309.391 1.030 20 30 DDEDLO N#CC1(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CCOCC1 ZINC000152608439 407660379 /nfs/dbraw/zinc/66/03/79/407660379.db2.gz WQYPKRJKWHMANJ-ZDUSSCGKSA-N 1 2 300.362 1.097 20 30 DDEDLO C=CCCOCCNC(=O)N[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000130077645 407650024 /nfs/dbraw/zinc/65/00/24/407650024.db2.gz DEZSXUZDENFJCA-OAHLLOKOSA-N 1 2 318.421 1.942 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](CC)CC(=O)Nc1c(F)cccc1F ZINC000179204317 407752523 /nfs/dbraw/zinc/75/25/23/407752523.db2.gz DOVNAFUPDXUBMV-NSHDSACASA-N 1 2 323.343 1.363 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](CC)CC(=O)Nc1c(F)cccc1F ZINC000179204317 407752529 /nfs/dbraw/zinc/75/25/29/407752529.db2.gz DOVNAFUPDXUBMV-NSHDSACASA-N 1 2 323.343 1.363 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000135110008 407975637 /nfs/dbraw/zinc/97/56/37/407975637.db2.gz HKOWWYOKORJPHA-YJBOKZPZSA-N 1 2 321.465 1.744 20 30 DDEDLO CC#CCNC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000135117618 407978677 /nfs/dbraw/zinc/97/86/77/407978677.db2.gz SINUMWREZFFFCE-CYBMUJFWSA-N 1 2 302.378 1.129 20 30 DDEDLO COc1ccc(C[N@H+](CCS(C)(=O)=O)C(C)C)cc1C#N ZINC000137055674 408137438 /nfs/dbraw/zinc/13/74/38/408137438.db2.gz JMZYFIHUSRYXLE-UHFFFAOYSA-N 1 2 310.419 1.822 20 30 DDEDLO COc1ccc(C[N@@H+](CCS(C)(=O)=O)C(C)C)cc1C#N ZINC000137055674 408137447 /nfs/dbraw/zinc/13/74/47/408137447.db2.gz JMZYFIHUSRYXLE-UHFFFAOYSA-N 1 2 310.419 1.822 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)cc1 ZINC000120915933 408145425 /nfs/dbraw/zinc/14/54/25/408145425.db2.gz KKYYFLVKHRUDSI-KRWDZBQOSA-N 1 2 314.385 1.292 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)cc1 ZINC000120915933 408145430 /nfs/dbraw/zinc/14/54/30/408145430.db2.gz KKYYFLVKHRUDSI-KRWDZBQOSA-N 1 2 314.385 1.292 20 30 DDEDLO Cc1ccc(-c2noc([C@H](C)O[NH+]=C(N)CCO)n2)cc1F ZINC000121372968 408195179 /nfs/dbraw/zinc/19/51/79/408195179.db2.gz SKQPMRGLLJCGSI-VIFPVBQESA-N 1 2 308.313 1.916 20 30 DDEDLO CCS(=O)(=O)N(C)C1CC[NH+](C[C@@H](C#N)CCC#N)CC1 ZINC000121246336 408169906 /nfs/dbraw/zinc/16/99/06/408169906.db2.gz UEQHRHLKOMAGBP-CYBMUJFWSA-N 1 2 312.439 1.176 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCO[C@H](C(=O)NC)C2)cc(OC)c1O ZINC000182484361 408175131 /nfs/dbraw/zinc/17/51/31/408175131.db2.gz IRYVTNVSCGHTRA-HNNXBMFYSA-N 1 2 320.389 1.076 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCO[C@H](C(=O)NC)C2)cc(OC)c1O ZINC000182484361 408175135 /nfs/dbraw/zinc/17/51/35/408175135.db2.gz IRYVTNVSCGHTRA-HNNXBMFYSA-N 1 2 320.389 1.076 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@@H](O)C[N@H+](C)C[C@H](C)C#N)c1 ZINC000263680989 408204567 /nfs/dbraw/zinc/20/45/67/408204567.db2.gz JHGDHKSWGUAWGB-HIFRSBDPSA-N 1 2 320.389 1.694 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@@H](O)C[N@@H+](C)C[C@H](C)C#N)c1 ZINC000263680989 408204574 /nfs/dbraw/zinc/20/45/74/408204574.db2.gz JHGDHKSWGUAWGB-HIFRSBDPSA-N 1 2 320.389 1.694 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+][C@H](C)c1nnc2ccccn21 ZINC000182735208 408236497 /nfs/dbraw/zinc/23/64/97/408236497.db2.gz WIVFEROSSGMFQL-GFCCVEGCSA-N 1 2 300.366 1.142 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cnc(C3CC3)s2)CC1 ZINC000122250675 408273703 /nfs/dbraw/zinc/27/37/03/408273703.db2.gz IYGWOTTZCBMNPH-UHFFFAOYSA-N 1 2 320.462 1.440 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CC[C@H]([NH+]3CCOCC3)C2)c1 ZINC000173775946 162364289 /nfs/dbraw/zinc/36/42/89/162364289.db2.gz FOWKOTXBWUGQTN-HNNXBMFYSA-N 1 2 300.362 1.497 20 30 DDEDLO C[C@H]([C@H](C)Nc1nc2c(cc1C#N)CCC2)[NH+]1CCOCC1 ZINC000264319943 408442375 /nfs/dbraw/zinc/44/23/75/408442375.db2.gz VEGKRXBSGSGZKH-QWHCGFSZSA-N 1 2 300.406 1.963 20 30 DDEDLO COc1ccnc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)c1 ZINC000264416366 408482214 /nfs/dbraw/zinc/48/22/14/408482214.db2.gz LANAPZIBRZZZGH-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO COc1cc[nH+]c(CN2CCN(c3ccc(C#N)cn3)CC2)c1 ZINC000264416366 408482219 /nfs/dbraw/zinc/48/22/19/408482219.db2.gz LANAPZIBRZZZGH-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NC(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000192233793 408561634 /nfs/dbraw/zinc/56/16/34/408561634.db2.gz PLZIJHJCWJLFGC-UHFFFAOYSA-N 1 2 303.406 1.346 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NC(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000192233793 408561640 /nfs/dbraw/zinc/56/16/40/408561640.db2.gz PLZIJHJCWJLFGC-UHFFFAOYSA-N 1 2 303.406 1.346 20 30 DDEDLO CC[C@](C)([NH2+]C[C@H](O)COc1ccc(C#N)cc1)C(=O)OC ZINC000265407664 408639789 /nfs/dbraw/zinc/63/97/89/408639789.db2.gz CRPPCNMLMAQDRW-BBRMVZONSA-N 1 2 306.362 1.229 20 30 DDEDLO C#CCC(C)(C)C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000185349202 408798853 /nfs/dbraw/zinc/79/88/53/408798853.db2.gz FJDWKKDAWATPFK-CQSZACIVSA-N 1 2 315.417 1.972 20 30 DDEDLO C=C1CC[NH+](Cc2c(C)nn(CCS(C)(=O)=O)c2C)CC1 ZINC000281301043 408878635 /nfs/dbraw/zinc/87/86/35/408878635.db2.gz NMORNULXJSYMSX-UHFFFAOYSA-N 1 2 311.451 1.697 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc([N+](=O)[O-])cc2F)C1=O ZINC000281375980 408884508 /nfs/dbraw/zinc/88/45/08/408884508.db2.gz VXHQOSZXZXJKIS-AWEZNQCLSA-N 1 2 307.325 1.953 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc([N+](=O)[O-])cc2F)C1=O ZINC000281375980 408884510 /nfs/dbraw/zinc/88/45/10/408884510.db2.gz VXHQOSZXZXJKIS-AWEZNQCLSA-N 1 2 307.325 1.953 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN([C@H](C#N)c3ccccc3)CC2)C1=O ZINC000281420835 408884724 /nfs/dbraw/zinc/88/47/24/408884724.db2.gz BSGPNTKNODHYHU-ZWKOTPCHSA-N 1 2 324.428 1.656 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C#N)ccc3Cl)C[C@H]21 ZINC000285769527 408860078 /nfs/dbraw/zinc/86/00/78/408860078.db2.gz LBCCFGYMTXEQJB-HUUCEWRRSA-N 1 2 319.792 1.757 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C#N)ccc3Cl)C[C@H]21 ZINC000285769527 408860080 /nfs/dbraw/zinc/86/00/80/408860080.db2.gz LBCCFGYMTXEQJB-HUUCEWRRSA-N 1 2 319.792 1.757 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000281475977 408887726 /nfs/dbraw/zinc/88/77/26/408887726.db2.gz UYZZCQHJMAMPIJ-CQSZACIVSA-N 1 2 321.425 1.692 20 30 DDEDLO N#CC1CCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)CC1 ZINC000277327587 408920951 /nfs/dbraw/zinc/92/09/51/408920951.db2.gz DWLHVRUHJIECRS-CQSZACIVSA-N 1 2 301.394 1.568 20 30 DDEDLO N#CC1CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)CC1 ZINC000277327587 408920952 /nfs/dbraw/zinc/92/09/52/408920952.db2.gz DWLHVRUHJIECRS-CQSZACIVSA-N 1 2 301.394 1.568 20 30 DDEDLO C[C@H](C#N)CN(C)S(=O)(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000281755583 408924621 /nfs/dbraw/zinc/92/46/21/408924621.db2.gz JKMGSABXGRKUPM-NEPJUHHUSA-N 1 2 311.411 1.273 20 30 DDEDLO CC#CC(=O)N[C@@H](c1[nH+]ccn1C)c1cc(OC)cc(OC)c1 ZINC000277394348 408931928 /nfs/dbraw/zinc/93/19/28/408931928.db2.gz KNQLIZWKUSGRMV-MRXNPFEDSA-N 1 2 313.357 1.666 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(C#N)cc3)n2C)CC1 ZINC000282098956 408990053 /nfs/dbraw/zinc/99/00/53/408990053.db2.gz CBCOQOWGKSHPDU-UHFFFAOYSA-N 1 2 306.373 1.109 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC000282124115 408995294 /nfs/dbraw/zinc/99/52/94/408995294.db2.gz YAESZVALYHOGLW-CYBMUJFWSA-N 1 2 309.410 1.326 20 30 DDEDLO C#CCN(C)C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000292186670 408997170 /nfs/dbraw/zinc/99/71/70/408997170.db2.gz BSYQSNJIVJGQJQ-INIZCTEOSA-N 1 2 301.390 1.335 20 30 DDEDLO CS(=O)(=O)C[C@H]1CC[N@H+](Cc2cn3ccccc3c2C#N)C1 ZINC000292253095 409010928 /nfs/dbraw/zinc/01/09/28/409010928.db2.gz OZJUTZXYGIFMRV-ZDUSSCGKSA-N 1 2 317.414 1.677 20 30 DDEDLO CS(=O)(=O)C[C@H]1CC[N@@H+](Cc2cn3ccccc3c2C#N)C1 ZINC000292253095 409010929 /nfs/dbraw/zinc/01/09/29/409010929.db2.gz OZJUTZXYGIFMRV-ZDUSSCGKSA-N 1 2 317.414 1.677 20 30 DDEDLO COc1cc(C[N@H+](C)[C@H](C)[C@@H](C)S(C)(=O)=O)ccc1C#N ZINC000282318134 409032614 /nfs/dbraw/zinc/03/26/14/409032614.db2.gz NLCLAABAOVWAHU-VXGBXAGGSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@H](C)[C@@H](C)S(C)(=O)=O)ccc1C#N ZINC000282318134 409032615 /nfs/dbraw/zinc/03/26/15/409032615.db2.gz NLCLAABAOVWAHU-VXGBXAGGSA-N 1 2 310.419 1.820 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)o1 ZINC000287656999 409070982 /nfs/dbraw/zinc/07/09/82/409070982.db2.gz COJCVHWGBZBPGK-JTQLQIEISA-N 1 2 306.347 1.443 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000293322452 409088496 /nfs/dbraw/zinc/08/84/96/409088496.db2.gz MCGHSISZGXQYTG-HNNXBMFYSA-N 1 2 309.406 1.934 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000293322452 409088498 /nfs/dbraw/zinc/08/84/98/409088498.db2.gz MCGHSISZGXQYTG-HNNXBMFYSA-N 1 2 309.406 1.934 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC000293646309 409153173 /nfs/dbraw/zinc/15/31/73/409153173.db2.gz GNXCVJUSEBGFQM-ZDUSSCGKSA-N 1 2 311.345 1.289 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000288648206 409172244 /nfs/dbraw/zinc/17/22/44/409172244.db2.gz UFOLHDGXTAXJPT-KGLIPLIRSA-N 1 2 304.394 1.375 20 30 DDEDLO NC(=[NH+]OCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@H]1CCCO1 ZINC000284025979 409259563 /nfs/dbraw/zinc/25/95/63/409259563.db2.gz IHQIPBLYBHHONV-GFCCVEGCSA-N 1 2 323.305 1.429 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289828275 409288418 /nfs/dbraw/zinc/28/84/18/409288418.db2.gz JPCOUNQLRMQKDU-ZDUSSCGKSA-N 1 2 309.801 1.545 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289828275 409288421 /nfs/dbraw/zinc/28/84/21/409288421.db2.gz JPCOUNQLRMQKDU-ZDUSSCGKSA-N 1 2 309.801 1.545 20 30 DDEDLO COc1ccc(C[N@@H+]2CCO[C@@]3(CCO[C@@H]3C)C2)cc1C#N ZINC000280306835 409288759 /nfs/dbraw/zinc/28/87/59/409288759.db2.gz FVVGJCNYZQFNFQ-DYVFJYSZSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C[N@H+]2CCO[C@@]3(CCO[C@@H]3C)C2)cc1C#N ZINC000280306835 409288763 /nfs/dbraw/zinc/28/87/63/409288763.db2.gz FVVGJCNYZQFNFQ-DYVFJYSZSA-N 1 2 302.374 1.947 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000294406491 409290356 /nfs/dbraw/zinc/29/03/56/409290356.db2.gz CRTNJCGEEFXKGH-OAHLLOKOSA-N 1 2 324.783 1.992 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000294406491 409290359 /nfs/dbraw/zinc/29/03/59/409290359.db2.gz CRTNJCGEEFXKGH-OAHLLOKOSA-N 1 2 324.783 1.992 20 30 DDEDLO CCc1c(C(=O)N(CCC#N)CC[NH+]2CCOCC2)ccn1C ZINC000289967959 409290424 /nfs/dbraw/zinc/29/04/24/409290424.db2.gz FNTNDWSYXIEHST-UHFFFAOYSA-N 1 2 318.421 1.276 20 30 DDEDLO CSCCC[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000289410511 409266427 /nfs/dbraw/zinc/26/64/27/409266427.db2.gz LVBUBFDKZOTUEU-UHFFFAOYSA-N 1 2 313.448 1.412 20 30 DDEDLO CSCCC[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000289410511 409266429 /nfs/dbraw/zinc/26/64/29/409266429.db2.gz LVBUBFDKZOTUEU-UHFFFAOYSA-N 1 2 313.448 1.412 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000284556666 409348377 /nfs/dbraw/zinc/34/83/77/409348377.db2.gz MHMIQSAERABAAT-UHFFFAOYSA-N 1 2 304.394 1.188 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000280544833 409351738 /nfs/dbraw/zinc/35/17/38/409351738.db2.gz DEKOTLATZLSPTN-CYBMUJFWSA-N 1 2 303.362 1.397 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000316495875 164022811 /nfs/dbraw/zinc/02/28/11/164022811.db2.gz YGCBLNKJEOUOBJ-LLVKDONJSA-N 1 2 321.764 1.645 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000316495875 164022813 /nfs/dbraw/zinc/02/28/13/164022813.db2.gz YGCBLNKJEOUOBJ-LLVKDONJSA-N 1 2 321.764 1.645 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1)Cc1ccccc1S(N)(=O)=O ZINC000353879253 409531781 /nfs/dbraw/zinc/53/17/81/409531781.db2.gz GKEHAQUZCDMUEG-UHFFFAOYSA-N 1 2 315.398 1.838 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1)Cc1ccccc1S(N)(=O)=O ZINC000353879253 409531785 /nfs/dbraw/zinc/53/17/85/409531785.db2.gz GKEHAQUZCDMUEG-UHFFFAOYSA-N 1 2 315.398 1.838 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNc2nc3cc(Cl)ccn3c2C#N)C1 ZINC000342228640 409568985 /nfs/dbraw/zinc/56/89/85/409568985.db2.gz UADGTTZGVHDQDY-LBPRGKRZSA-N 1 2 318.812 1.517 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNc2nc3cc(Cl)ccn3c2C#N)C1 ZINC000342228640 409568991 /nfs/dbraw/zinc/56/89/91/409568991.db2.gz UADGTTZGVHDQDY-LBPRGKRZSA-N 1 2 318.812 1.517 20 30 DDEDLO C[C@@H]1C[C@@H](O)C[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000331558629 409632203 /nfs/dbraw/zinc/63/22/03/409632203.db2.gz KCGFCGHCQUNORV-RAIGVLPGSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@@H]1C[C@@H](O)C[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000331558629 409632212 /nfs/dbraw/zinc/63/22/12/409632212.db2.gz KCGFCGHCQUNORV-RAIGVLPGSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@@H](O)C(=O)N1CC[NH+](Cc2ccccc2C(C)(C)C#N)CC1 ZINC000305722350 409761738 /nfs/dbraw/zinc/76/17/38/409761738.db2.gz KILSBVHMJUHVEM-CQSZACIVSA-N 1 2 315.417 1.513 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)Nc1ccc([N+](=O)[O-])cc1C#N ZINC000308662310 409783808 /nfs/dbraw/zinc/78/38/08/409783808.db2.gz FLSBUMZGZGSQJV-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO CC(C)(C(=O)NCCOc1ccc(C#N)cc1)[NH+]1CCOCC1 ZINC000338184148 409786957 /nfs/dbraw/zinc/78/69/57/409786957.db2.gz XMWZMNBONFNOQI-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO N#Cc1cc(NC[C@H]2C[N@H+](Cc3ccccc3)CCO2)ncn1 ZINC000311786599 409796392 /nfs/dbraw/zinc/79/63/92/409796392.db2.gz JQNNHUWFRSPWQS-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1cc(NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)ncn1 ZINC000311786599 409796399 /nfs/dbraw/zinc/79/63/99/409796399.db2.gz JQNNHUWFRSPWQS-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO COC(=O)c1cc(C#N)c(=O)n(Cc2ccc3[nH]c(C)[nH+]c3c2)c1 ZINC000342690302 409815742 /nfs/dbraw/zinc/81/57/42/409815742.db2.gz XMYDEWWMMLAZEI-UHFFFAOYSA-N 1 2 322.324 1.740 20 30 DDEDLO COC(=O)c1cc(C#N)c(=O)n(Cc2ccc3[nH+]c(C)[nH]c3c2)c1 ZINC000342690302 409815752 /nfs/dbraw/zinc/81/57/52/409815752.db2.gz XMYDEWWMMLAZEI-UHFFFAOYSA-N 1 2 322.324 1.740 20 30 DDEDLO CO[C@@H]1C[C@H](NC(=O)N2CC[C@H](c3[nH+]ccn3C)C2)C1(C)C ZINC000328775198 409975326 /nfs/dbraw/zinc/97/53/26/409975326.db2.gz CDHWXWAUIJGZCN-RWMBFGLXSA-N 1 2 306.410 1.937 20 30 DDEDLO Cc1c(CNC(=O)N2CC[C@H](c3[nH+]ccn3C)C2)cnn1C ZINC000328818684 409984655 /nfs/dbraw/zinc/98/46/55/409984655.db2.gz HTPZXRXTSAHMDX-LBPRGKRZSA-N 1 2 302.382 1.366 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)N[C@@H](C#N)C1CCCCC1 ZINC000357347897 409987616 /nfs/dbraw/zinc/98/76/16/409987616.db2.gz MKESHMQIPADVIW-AWEZNQCLSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)N[C@@H](C#N)C1CCCCC1 ZINC000357347897 409987624 /nfs/dbraw/zinc/98/76/24/409987624.db2.gz MKESHMQIPADVIW-AWEZNQCLSA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@H]1CN(C(=O)c2ccc(C#N)s2)C[C@H]1[NH+]1CCOCC1 ZINC000332162107 409937529 /nfs/dbraw/zinc/93/75/29/409937529.db2.gz VRQQBNXJGOZADT-WCQYABFASA-N 1 2 305.403 1.412 20 30 DDEDLO C[N@@H+](CC(N)=O)C[C@@H]1CN(C(=O)N[C@H]2C=CCCC2)CCO1 ZINC000328630708 409940683 /nfs/dbraw/zinc/94/06/83/409940683.db2.gz JPLAGHVGGDCUDF-QWHCGFSZSA-N 1 2 310.398 1.177 20 30 DDEDLO C[N@H+](CC(N)=O)C[C@@H]1CN(C(=O)N[C@H]2C=CCCC2)CCO1 ZINC000328630708 409940687 /nfs/dbraw/zinc/94/06/87/409940687.db2.gz JPLAGHVGGDCUDF-QWHCGFSZSA-N 1 2 310.398 1.177 20 30 DDEDLO C[N@@H+](CC(N)=O)C[C@@H]1CN(C(=O)N[C@@H]2C=CCCC2)CCO1 ZINC000328630707 409942272 /nfs/dbraw/zinc/94/22/72/409942272.db2.gz JPLAGHVGGDCUDF-CHWSQXEVSA-N 1 2 310.398 1.177 20 30 DDEDLO C[N@H+](CC(N)=O)C[C@@H]1CN(C(=O)N[C@@H]2C=CCCC2)CCO1 ZINC000328630707 409942274 /nfs/dbraw/zinc/94/22/74/409942274.db2.gz JPLAGHVGGDCUDF-CHWSQXEVSA-N 1 2 310.398 1.177 20 30 DDEDLO COC[C@@H]1CN(C(=O)NC[C@@H]2CCCn3cc(C)[nH+]c32)CCO1 ZINC000328646271 409946951 /nfs/dbraw/zinc/94/69/51/409946951.db2.gz GTBKTYHDPXAFMS-KBPBESRZSA-N 1 2 322.409 1.330 20 30 DDEDLO C[C@]1(C(=O)NCC[NH+]2CCN(C(=O)C3CCC3)CC2)CCCO1 ZINC000328915174 410009383 /nfs/dbraw/zinc/00/93/83/410009383.db2.gz JLUWSJSIGPDEJR-QGZVFWFLSA-N 1 2 323.437 1.456 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CCCC[C@H]1c1[nH+]ccn1CC ZINC000297817415 410011161 /nfs/dbraw/zinc/01/11/61/410011161.db2.gz PTOCOZLIIIKLFV-AWEZNQCLSA-N 1 2 318.421 1.991 20 30 DDEDLO N#CC1(CS(=O)(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CCC1 ZINC000357436934 410039465 /nfs/dbraw/zinc/03/94/65/410039465.db2.gz QHECWEDRGSFFGF-ZDUSSCGKSA-N 1 2 320.418 1.274 20 30 DDEDLO CN(C)c1noc(C[NH+]=C([O-])N2CCC(n3cc[nH+]c3)CC2)n1 ZINC000328881644 409998755 /nfs/dbraw/zinc/99/87/55/409998755.db2.gz LIHBKCCJAVNRGR-UHFFFAOYSA-N 1 2 319.369 1.083 20 30 DDEDLO Cc1nc2ccc(NC(=O)N[C@H](C)C[NH+]3CCOCC3)cn2n1 ZINC000328883410 410002203 /nfs/dbraw/zinc/00/22/03/410002203.db2.gz MDTXPRABNRJHBS-LLVKDONJSA-N 1 2 318.381 1.084 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCCO1)[N@@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000329070169 410085639 /nfs/dbraw/zinc/08/56/39/410085639.db2.gz NCZNMGNBABCZDB-YCPHGPKFSA-N 1 2 312.410 1.392 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCCO1)[N@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000329070169 410085651 /nfs/dbraw/zinc/08/56/51/410085651.db2.gz NCZNMGNBABCZDB-YCPHGPKFSA-N 1 2 312.410 1.392 20 30 DDEDLO Cc1nnc(NC(=O)[C@@H](c2c[nH+]cn2C)N(C)C)n1C1CC1 ZINC000329092096 410096052 /nfs/dbraw/zinc/09/60/52/410096052.db2.gz ALUIJLUUGJKKSN-GFCCVEGCSA-N 1 2 303.370 1.546 20 30 DDEDLO O=C(N[C@H]1CCS(=O)(=O)C1)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000329134016 410121745 /nfs/dbraw/zinc/12/17/45/410121745.db2.gz BNLIFNKQVAKYOE-WDEREUQCSA-N 1 2 312.395 1.038 20 30 DDEDLO Cc1nc(NC(=O)[C@@H](C(C)C)[N@H+]2C[C@@H](C)O[C@@H](C)C2)nn1C ZINC000329284441 410213555 /nfs/dbraw/zinc/21/35/55/410213555.db2.gz OLPWYUAIHGGWGK-NTZNESFSSA-N 1 2 309.414 1.845 20 30 DDEDLO Cc1nc(NC(=O)[C@@H](C(C)C)[N@@H+]2C[C@@H](C)O[C@@H](C)C2)nn1C ZINC000329284441 410213559 /nfs/dbraw/zinc/21/35/59/410213559.db2.gz OLPWYUAIHGGWGK-NTZNESFSSA-N 1 2 309.414 1.845 20 30 DDEDLO Cc1nnccc1NC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000329240965 410185337 /nfs/dbraw/zinc/18/53/37/410185337.db2.gz NCQLWRYNMYBEJM-WCQYABFASA-N 1 2 305.382 1.126 20 30 DDEDLO C[C@H](Cn1cncn1)NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000329249030 410190264 /nfs/dbraw/zinc/19/02/64/410190264.db2.gz SUCGZKDHNPHALY-TZMCWYRMSA-N 1 2 307.398 1.267 20 30 DDEDLO C[C@H](Cn1cncn1)NC(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000329249030 410190273 /nfs/dbraw/zinc/19/02/73/410190273.db2.gz SUCGZKDHNPHALY-TZMCWYRMSA-N 1 2 307.398 1.267 20 30 DDEDLO CCCc1cnc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)s1 ZINC000329274551 410207322 /nfs/dbraw/zinc/20/73/22/410207322.db2.gz KMZHKKABDYTIQJ-VXGBXAGGSA-N 1 2 310.423 1.656 20 30 DDEDLO CCCc1cnc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)s1 ZINC000329274551 410207328 /nfs/dbraw/zinc/20/73/28/410207328.db2.gz KMZHKKABDYTIQJ-VXGBXAGGSA-N 1 2 310.423 1.656 20 30 DDEDLO C[C@H](NC(=O)NC[C@@H]1CCCn2cc[nH+]c21)C(=O)N1CCCC1 ZINC000329348996 410249584 /nfs/dbraw/zinc/24/95/84/410249584.db2.gz WFJIRKGQWQKDGO-STQMWFEESA-N 1 2 319.409 1.275 20 30 DDEDLO C[C@@H]1Oc2ccccc2[C@@H]1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329353689 410253952 /nfs/dbraw/zinc/25/39/52/410253952.db2.gz YDKNZNZWBULUGV-RUAUBTSSSA-N 1 2 317.389 1.437 20 30 DDEDLO C[C@@H]1Oc2ccccc2[C@@H]1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329353689 410253960 /nfs/dbraw/zinc/25/39/60/410253960.db2.gz YDKNZNZWBULUGV-RUAUBTSSSA-N 1 2 317.389 1.437 20 30 DDEDLO Cc1cc(C)n([C@H](C)CC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)n1 ZINC000329389490 410272417 /nfs/dbraw/zinc/27/24/17/410272417.db2.gz ULRJOKQASMFDBQ-CABCVRRESA-N 1 2 307.442 1.653 20 30 DDEDLO Cc1cc(C)n([C@H](C)CC(=O)NC[C@H]2CN(C)CC[N@H+]2C)n1 ZINC000329389490 410272421 /nfs/dbraw/zinc/27/24/21/410272421.db2.gz ULRJOKQASMFDBQ-CABCVRRESA-N 1 2 307.442 1.653 20 30 DDEDLO CNS(=O)(=O)C1CC[NH+](Cc2cccc(F)c2C#N)CC1 ZINC000339485426 410223917 /nfs/dbraw/zinc/22/39/17/410223917.db2.gz UEMKEENPJCAYJQ-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)C2([NH+]3CCOCC3)CCC2)CC1=O ZINC000329330925 410235759 /nfs/dbraw/zinc/23/57/59/410235759.db2.gz MBIDXWCEIWRHIT-MCIONIFRSA-N 1 2 321.421 1.207 20 30 DDEDLO [O-]C(=[NH+][C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)N1CCn2c[nH+]cc2C1 ZINC000329595944 410376124 /nfs/dbraw/zinc/37/61/24/410376124.db2.gz IJTXZJFNPWTIBL-MJBXVCDLSA-N 1 2 302.378 1.570 20 30 DDEDLO O=C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)N1CCn2c[nH+]cc2C1 ZINC000329595944 410376131 /nfs/dbraw/zinc/37/61/31/410376131.db2.gz IJTXZJFNPWTIBL-MJBXVCDLSA-N 1 2 302.378 1.570 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CCOC[C@@H]1CC(F)F ZINC000329602067 410377819 /nfs/dbraw/zinc/37/78/19/410377819.db2.gz FMJDUWUMOMSZPQ-ZJUUUORDSA-N 1 2 314.336 1.537 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CCOC[C@@H]1CC(F)F ZINC000329602067 410377826 /nfs/dbraw/zinc/37/78/26/410377826.db2.gz FMJDUWUMOMSZPQ-ZJUUUORDSA-N 1 2 314.336 1.537 20 30 DDEDLO CN(Cc1[nH+]ccn1CC(F)(F)F)C(=O)c1cnccc1C#N ZINC000358626610 410501153 /nfs/dbraw/zinc/50/11/53/410501153.db2.gz MBCVTDYIZKVRTO-UHFFFAOYSA-N 1 2 323.278 1.984 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)NCCNc2cccc[nH+]2)cc1 ZINC000352238573 410572472 /nfs/dbraw/zinc/57/24/72/410572472.db2.gz VBDMVXYFUPRFSD-MRXNPFEDSA-N 1 2 319.368 1.864 20 30 DDEDLO CNC(=O)[C@@H]1CCC[C@@H]1[NH+]=C([O-])N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330200688 410585985 /nfs/dbraw/zinc/58/59/85/410585985.db2.gz WUFCESXXYRXQTC-UTUOFQBUSA-N 1 2 305.382 1.457 20 30 DDEDLO CNC(=O)[C@@H]1CCC[C@@H]1NC([O-])=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330200688 410585990 /nfs/dbraw/zinc/58/59/90/410585990.db2.gz WUFCESXXYRXQTC-UTUOFQBUSA-N 1 2 305.382 1.457 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)NCCc1cccc(C#N)c1 ZINC000347621839 410636312 /nfs/dbraw/zinc/63/63/12/410636312.db2.gz HOEMEOQAZRPGDJ-AWEZNQCLSA-N 1 2 316.405 1.121 20 30 DDEDLO CN(C(=O)C[NH+]1CCC(F)(C#N)CC1)C1(C#N)CCCCC1 ZINC000352463849 410659013 /nfs/dbraw/zinc/65/90/13/410659013.db2.gz LGUUXIFCXZRGMY-UHFFFAOYSA-N 1 2 306.385 1.999 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000330464102 410712407 /nfs/dbraw/zinc/71/24/07/410712407.db2.gz UOXVFPYHUGLCFG-MLGOLLRUSA-N 1 2 314.389 1.486 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000330464102 410712414 /nfs/dbraw/zinc/71/24/14/410712414.db2.gz UOXVFPYHUGLCFG-MLGOLLRUSA-N 1 2 314.389 1.486 20 30 DDEDLO C=CCc1ccc(OC[C@H](O)C[NH+]2CC(C)(OC)C2)c(OC)c1 ZINC000353020687 410739120 /nfs/dbraw/zinc/73/91/20/410739120.db2.gz CLOIBKHTIGERRW-OAHLLOKOSA-N 1 2 321.417 1.884 20 30 DDEDLO N#Cc1csc(C[NH2+][C@@H]2CCN(CC(F)(F)F)C2=O)n1 ZINC000352843332 410681017 /nfs/dbraw/zinc/68/10/17/410681017.db2.gz YXJMZNJXVICXQY-MRVPVSSYSA-N 1 2 304.297 1.268 20 30 DDEDLO CC[C@@H](CC#N)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000355805867 410684747 /nfs/dbraw/zinc/68/47/47/410684747.db2.gz LFLWRIZDSOWWQD-GXTWGEPZSA-N 1 2 319.409 1.292 20 30 DDEDLO CC[C@@H](CC#N)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000355805867 410684751 /nfs/dbraw/zinc/68/47/51/410684751.db2.gz LFLWRIZDSOWWQD-GXTWGEPZSA-N 1 2 319.409 1.292 20 30 DDEDLO CCc1nnc(NC[C@@H](C)[NH+]2CCOCC2)c(C#N)c1CC ZINC000301614428 410757375 /nfs/dbraw/zinc/75/73/75/410757375.db2.gz HZTBKCGUDYJMMK-GFCCVEGCSA-N 1 2 303.410 1.606 20 30 DDEDLO N#Cc1ccc(NC[C@@H](c2cccs2)[NH+]2CCOCC2)nn1 ZINC000301828631 410807943 /nfs/dbraw/zinc/80/79/43/410807943.db2.gz GINDPDYOFRKWMF-ZDUSSCGKSA-N 1 2 315.402 1.895 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCOc2cc(O)ccc2C1 ZINC000353164927 410823113 /nfs/dbraw/zinc/82/31/13/410823113.db2.gz HOXMUXGIMYDNCY-GFCCVEGCSA-N 1 2 315.373 1.538 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCOc2cc(O)ccc2C1 ZINC000353164927 410823117 /nfs/dbraw/zinc/82/31/17/410823117.db2.gz HOXMUXGIMYDNCY-GFCCVEGCSA-N 1 2 315.373 1.538 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)no1 ZINC000360159319 411080911 /nfs/dbraw/zinc/08/09/11/411080911.db2.gz QXUVQCWUVWVTPR-MLGOLLRUSA-N 1 2 317.393 1.366 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)no1 ZINC000360159319 411080917 /nfs/dbraw/zinc/08/09/17/411080917.db2.gz QXUVQCWUVWVTPR-MLGOLLRUSA-N 1 2 317.393 1.366 20 30 DDEDLO Cc1c(C[S@@](C)=O)cccc1NC[C@@H](O)C[N@H+](C)CCC#N ZINC000580709749 422949205 /nfs/dbraw/zinc/94/92/05/422949205.db2.gz BETYUXDPNZAJDU-IVZQSRNASA-N 1 2 323.462 1.492 20 30 DDEDLO Cc1c(C[S@@](C)=O)cccc1NC[C@@H](O)C[N@@H+](C)CCC#N ZINC000580709749 422949207 /nfs/dbraw/zinc/94/92/07/422949207.db2.gz BETYUXDPNZAJDU-IVZQSRNASA-N 1 2 323.462 1.492 20 30 DDEDLO Cc1cc(NC(=O)N(C)CC[NH+]2CCOCC2)ncc1C#N ZINC000603116385 416631851 /nfs/dbraw/zinc/63/18/51/416631851.db2.gz LOZAPGJAWAWWQC-UHFFFAOYSA-N 1 2 303.366 1.058 20 30 DDEDLO C=CCC1(C(=O)Nc2ccn(CC[NH+]3CCOCC3)n2)CCC1 ZINC000359597296 418413381 /nfs/dbraw/zinc/41/33/81/418413381.db2.gz RPFKHGWLVQKXGO-UHFFFAOYSA-N 1 2 318.421 1.900 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCCN(C(=O)c3ccoc3)CC2)C1=O ZINC000374113937 418489190 /nfs/dbraw/zinc/48/91/90/418489190.db2.gz MMOIKEHOWCKXCY-OAHLLOKOSA-N 1 2 317.389 1.214 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCCN(C(=O)c3ccoc3)CC2)C1=O ZINC000374113937 418489192 /nfs/dbraw/zinc/48/91/92/418489192.db2.gz MMOIKEHOWCKXCY-OAHLLOKOSA-N 1 2 317.389 1.214 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000366568074 418490294 /nfs/dbraw/zinc/49/02/94/418490294.db2.gz JLONREXXKKRRSC-WBVHZDCISA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000366568074 418490297 /nfs/dbraw/zinc/49/02/97/418490297.db2.gz JLONREXXKKRRSC-WBVHZDCISA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCCN(CC(F)(F)F)CC2)C1=O ZINC000374149902 418496864 /nfs/dbraw/zinc/49/68/64/418496864.db2.gz VOORCVFWDPEWMU-GFCCVEGCSA-N 1 2 305.344 1.343 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCCN(CC(F)(F)F)CC2)C1=O ZINC000374149902 418496866 /nfs/dbraw/zinc/49/68/66/418496866.db2.gz VOORCVFWDPEWMU-GFCCVEGCSA-N 1 2 305.344 1.343 20 30 DDEDLO C=CC[N@@H+](CCOC)[C@@H](C)C(=O)N1CC(=O)Nc2ccccc21 ZINC000191089279 222096136 /nfs/dbraw/zinc/09/61/36/222096136.db2.gz XVMHBKJUWMOBJA-ZDUSSCGKSA-N 1 2 317.389 1.495 20 30 DDEDLO C=CC[N@H+](CCOC)[C@@H](C)C(=O)N1CC(=O)Nc2ccccc21 ZINC000191089279 222096140 /nfs/dbraw/zinc/09/61/40/222096140.db2.gz XVMHBKJUWMOBJA-ZDUSSCGKSA-N 1 2 317.389 1.495 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N(CCC)CC(=O)Nc1ccccc1C ZINC000192229570 222123276 /nfs/dbraw/zinc/12/32/76/222123276.db2.gz IEUFBJKLPHOYHA-UHFFFAOYSA-N 1 2 315.417 1.737 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N(CCC)CC(=O)Nc1ccccc1C ZINC000192229570 222123278 /nfs/dbraw/zinc/12/32/78/222123278.db2.gz IEUFBJKLPHOYHA-UHFFFAOYSA-N 1 2 315.417 1.737 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2nccc3occc32)CC1 ZINC000361157632 418595537 /nfs/dbraw/zinc/59/55/37/418595537.db2.gz AUXZJPAQLAPJRF-UHFFFAOYSA-N 1 2 300.362 1.252 20 30 DDEDLO C[NH+]=C(NCc1c[nH]nc1C)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000261965028 222264478 /nfs/dbraw/zinc/26/44/78/222264478.db2.gz LEVRYHZQNWJCJF-WFASDCNBSA-N 1 2 315.425 1.573 20 30 DDEDLO CC(C)[C@H](C)NC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000330810383 418614056 /nfs/dbraw/zinc/61/40/56/418614056.db2.gz JFKKSSFNDGXAOL-ZDUSSCGKSA-N 1 2 309.414 1.586 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000377224660 418707221 /nfs/dbraw/zinc/70/72/21/418707221.db2.gz VCUSIVNSPQPMOJ-KFWWJZLASA-N 1 2 318.421 1.900 20 30 DDEDLO C=CCN(CC)C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000377473483 418710794 /nfs/dbraw/zinc/71/07/94/418710794.db2.gz QXWLWWPDQDREJN-HNNXBMFYSA-N 1 2 313.467 1.412 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(S(=O)(=O)c2ccccc2C#N)C1 ZINC000378266974 418720510 /nfs/dbraw/zinc/72/05/10/418720510.db2.gz YVXLMRWABVZCAM-CYBMUJFWSA-N 1 2 316.386 1.470 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000368113723 418691620 /nfs/dbraw/zinc/69/16/20/418691620.db2.gz STNTUUJPWPYGRA-NSHDSACASA-N 1 2 311.345 1.969 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000368113723 418691622 /nfs/dbraw/zinc/69/16/22/418691622.db2.gz STNTUUJPWPYGRA-NSHDSACASA-N 1 2 311.345 1.969 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N(C)Cc1[nH+]ccn1C ZINC000361713849 418700699 /nfs/dbraw/zinc/70/06/99/418700699.db2.gz GXLOYRHKRPAENY-UHFFFAOYSA-N 1 2 320.374 1.121 20 30 DDEDLO CCCC(=O)N1CCC[N@H+](CC(=O)N(CC)C[C@@H](C)C#N)CC1 ZINC000361942403 418729112 /nfs/dbraw/zinc/72/91/12/418729112.db2.gz HHBNTPRISLHBQX-HNNXBMFYSA-N 1 2 322.453 1.329 20 30 DDEDLO CCCC(=O)N1CCC[N@@H+](CC(=O)N(CC)C[C@@H](C)C#N)CC1 ZINC000361942403 418729114 /nfs/dbraw/zinc/72/91/14/418729114.db2.gz HHBNTPRISLHBQX-HNNXBMFYSA-N 1 2 322.453 1.329 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)C[C@@H](C2CC2)O1 ZINC000369389508 418733362 /nfs/dbraw/zinc/73/33/62/418733362.db2.gz PSARKOIGDZJWHW-HIFRSBDPSA-N 1 2 304.394 1.142 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)C[C@@H](C2CC2)O1 ZINC000369389508 418733365 /nfs/dbraw/zinc/73/33/65/418733365.db2.gz PSARKOIGDZJWHW-HIFRSBDPSA-N 1 2 304.394 1.142 20 30 DDEDLO N#CCc1ccc(NC(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)cc1 ZINC000370672464 418746819 /nfs/dbraw/zinc/74/68/19/418746819.db2.gz FECINAKWCWRCNE-MRXNPFEDSA-N 1 2 314.389 1.737 20 30 DDEDLO N#CCc1ccc(NC(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)cc1 ZINC000370672464 418746821 /nfs/dbraw/zinc/74/68/21/418746821.db2.gz FECINAKWCWRCNE-MRXNPFEDSA-N 1 2 314.389 1.737 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)Nc2ccc(CC#N)cc2)CCO1 ZINC000362913559 418761268 /nfs/dbraw/zinc/76/12/68/418761268.db2.gz RSAJNWPQQFJPCT-INIZCTEOSA-N 1 2 316.405 1.985 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(CC#N)cc2)CCO1 ZINC000362913559 418761270 /nfs/dbraw/zinc/76/12/70/418761270.db2.gz RSAJNWPQQFJPCT-INIZCTEOSA-N 1 2 316.405 1.985 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)c1cnn(CC[NH+]2CCOCC2)c1 ZINC000371473563 418794094 /nfs/dbraw/zinc/79/40/94/418794094.db2.gz QEJPMIBCXNUEAU-OAHLLOKOSA-N 1 2 320.437 1.690 20 30 DDEDLO Cn1cc([C@@H]2C[N@H+](CCCC#N)CCN2CCCC#N)cn1 ZINC000372348942 418859963 /nfs/dbraw/zinc/85/99/63/418859963.db2.gz NZGYMRGCVSUZCN-INIZCTEOSA-N 1 2 300.410 1.686 20 30 DDEDLO Cn1cc([C@@H]2C[N@@H+](CCCC#N)CCN2CCCC#N)cn1 ZINC000372348942 418859965 /nfs/dbraw/zinc/85/99/65/418859965.db2.gz NZGYMRGCVSUZCN-INIZCTEOSA-N 1 2 300.410 1.686 20 30 DDEDLO C=CCN1CC(=O)N(Cc2ccc(-n3cc[nH+]c3)c(F)c2)C1=O ZINC000372934038 418914225 /nfs/dbraw/zinc/91/42/25/418914225.db2.gz CYSXUAVCTYLJBX-UHFFFAOYSA-N 1 2 314.320 1.962 20 30 DDEDLO C[C@]1(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)C[C@@H]1F ZINC000424551201 228288761 /nfs/dbraw/zinc/28/87/61/228288761.db2.gz ZVXXRJIXFCBRTG-RDJZCZTQSA-N 1 2 301.365 1.951 20 30 DDEDLO COc1ccc2c(c1)OC(C)(C)C[N@@H+](CC(=O)NCC#N)C2 ZINC000421046042 419464563 /nfs/dbraw/zinc/46/45/63/419464563.db2.gz VTZXXLKWVWFDMB-UHFFFAOYSA-N 1 2 303.362 1.308 20 30 DDEDLO COc1ccc2c(c1)OC(C)(C)C[N@H+](CC(=O)NCC#N)C2 ZINC000421046042 419464576 /nfs/dbraw/zinc/46/45/76/419464576.db2.gz VTZXXLKWVWFDMB-UHFFFAOYSA-N 1 2 303.362 1.308 20 30 DDEDLO CC[C@](C)([NH2+]CCC(=O)Nc1sccc1C#N)C(=O)OC ZINC000428299123 419843815 /nfs/dbraw/zinc/84/38/15/419843815.db2.gz HLXHFWZBBNUVCB-AWEZNQCLSA-N 1 2 309.391 1.880 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)NCc2ccc(C#N)s2)CCO1 ZINC000422220825 419886325 /nfs/dbraw/zinc/88/63/25/419886325.db2.gz VTZXWRAYTQYLJQ-LBPRGKRZSA-N 1 2 322.434 1.530 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)NCc2ccc(C#N)s2)CCO1 ZINC000422220825 419886328 /nfs/dbraw/zinc/88/63/28/419886328.db2.gz VTZXWRAYTQYLJQ-LBPRGKRZSA-N 1 2 322.434 1.530 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(c2ccc(C#N)nc2)C1 ZINC000418952916 420029657 /nfs/dbraw/zinc/02/96/57/420029657.db2.gz UCKLZFXTGKFEGQ-QGZVFWFLSA-N 1 2 316.405 1.853 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3cncc(C#N)n3)c[nH+]2)C[C@@H](C)O1 ZINC000420581592 420322972 /nfs/dbraw/zinc/32/29/72/420322972.db2.gz FQJJBJZFCLCVKG-CHWSQXEVSA-N 1 2 324.388 1.969 20 30 DDEDLO COCCO[C@H]1C[C@@H](CO)CN(c2cc(C)[nH+]cc2C#N)C1 ZINC000425261456 420333422 /nfs/dbraw/zinc/33/34/22/420333422.db2.gz QFQNTLJXVFCXOE-HIFRSBDPSA-N 1 2 305.378 1.112 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NCc1cc[nH+]c(N2CCCCCC2)c1 ZINC000416393834 420354230 /nfs/dbraw/zinc/35/42/30/420354230.db2.gz VZPQOKPJBFOGNO-CYBMUJFWSA-N 1 2 322.434 1.793 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CCC[C@@H](n2ccnn2)C1 ZINC000425515631 420405067 /nfs/dbraw/zinc/40/50/67/420405067.db2.gz RNSCWYKINBITHM-CYBMUJFWSA-N 1 2 312.333 1.895 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CCC[C@@H](n2ccnn2)C1 ZINC000425515631 420405071 /nfs/dbraw/zinc/40/50/71/420405071.db2.gz RNSCWYKINBITHM-CYBMUJFWSA-N 1 2 312.333 1.895 20 30 DDEDLO COCCOc1ccc(C#N)cc1NC(=O)C=Cc1c[nH]c[nH+]1 ZINC000492758995 420652978 /nfs/dbraw/zinc/65/29/78/420652978.db2.gz BNYXPVNUIUVNJE-HWKANZROSA-N 1 2 312.329 1.958 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(Cl)cc1C#N ZINC000443091481 420744952 /nfs/dbraw/zinc/74/49/52/420744952.db2.gz DJXJVQGEALCBIF-SNVBAGLBSA-N 1 2 324.793 1.775 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)NCCc1cn(C)c[nH+]1 ZINC000459152908 420815897 /nfs/dbraw/zinc/81/58/97/420815897.db2.gz RFZARSIXNAFYFA-UHFFFAOYSA-N 1 2 302.378 1.996 20 30 DDEDLO C[NH+](C)Cc1nc(C(C)(C)NC(=O)c2ccc(C#N)s2)no1 ZINC000487730470 421046908 /nfs/dbraw/zinc/04/69/08/421046908.db2.gz OCYVKPJALMIFID-UHFFFAOYSA-N 1 2 319.390 1.729 20 30 DDEDLO CS(=O)(=O)c1cccnc1C[NH2+]Cc1ccc(C#N)cc1 ZINC000487153625 421007752 /nfs/dbraw/zinc/00/77/52/421007752.db2.gz GLRTWAZTBODEEQ-UHFFFAOYSA-N 1 2 301.371 1.647 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCOc2ccc(F)cc2C1 ZINC000489153299 421138212 /nfs/dbraw/zinc/13/82/12/421138212.db2.gz DAFZQQLNGACLET-LBPRGKRZSA-N 1 2 305.353 1.781 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCOc2ccc(F)cc2C1 ZINC000489153299 421138217 /nfs/dbraw/zinc/13/82/17/421138217.db2.gz DAFZQQLNGACLET-LBPRGKRZSA-N 1 2 305.353 1.781 20 30 DDEDLO N#Cc1cccc(N2CC[C@H]([NH+]3CCOCC3)C2)c1[N+](=O)[O-] ZINC000450355540 421187514 /nfs/dbraw/zinc/18/75/14/421187514.db2.gz CWLDZRIWXGMMAP-ZDUSSCGKSA-N 1 2 302.334 1.377 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@@H+]([C@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000492191239 421211772 /nfs/dbraw/zinc/21/17/72/421211772.db2.gz ZAIZNZWBLOKEPQ-CHWSQXEVSA-N 1 2 322.409 1.148 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@H+]([C@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000492191239 421211775 /nfs/dbraw/zinc/21/17/75/421211775.db2.gz ZAIZNZWBLOKEPQ-CHWSQXEVSA-N 1 2 322.409 1.148 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)NCC[NH+]1CCOCC1 ZINC000546709963 421305774 /nfs/dbraw/zinc/30/57/74/421305774.db2.gz YIDPEPJWXSXWPT-UHFFFAOYSA-N 1 2 304.350 1.021 20 30 DDEDLO N#Cc1ccc(C(=O)NCCNc2ccc3ccccc3[nH+]2)nc1 ZINC000563492238 421513762 /nfs/dbraw/zinc/51/37/62/421513762.db2.gz NPRVVFJUMFKCRZ-UHFFFAOYSA-N 1 2 317.352 2.343 20 30 DDEDLO C[C@H]1OCC[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)[C@H]1C ZINC000528408467 421485857 /nfs/dbraw/zinc/48/58/57/421485857.db2.gz WNXRFZVFVBWZNX-UONOGXRCSA-N 1 2 312.369 1.395 20 30 DDEDLO C[C@H]1OCC[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)[C@H]1C ZINC000528408467 421485860 /nfs/dbraw/zinc/48/58/60/421485860.db2.gz WNXRFZVFVBWZNX-UONOGXRCSA-N 1 2 312.369 1.395 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@H+](C1CC1)C1COC1 ZINC000528514508 421494983 /nfs/dbraw/zinc/49/49/83/421494983.db2.gz PJSVOACDZKGJBW-UHFFFAOYSA-N 1 2 310.353 1.149 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@@H+](C1CC1)C1COC1 ZINC000528514508 421494985 /nfs/dbraw/zinc/49/49/85/421494985.db2.gz PJSVOACDZKGJBW-UHFFFAOYSA-N 1 2 310.353 1.149 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCOC[C@H]2C2CCC2)CCCCC1 ZINC000567468521 421612454 /nfs/dbraw/zinc/61/24/54/421612454.db2.gz ULVOMFDKFOJCNJ-HNNXBMFYSA-N 1 2 305.422 1.830 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCOC[C@H]2C2CCC2)CCCCC1 ZINC000567468521 421612456 /nfs/dbraw/zinc/61/24/56/421612456.db2.gz ULVOMFDKFOJCNJ-HNNXBMFYSA-N 1 2 305.422 1.830 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccn(-c2cccc(C#N)c2)n1 ZINC000567995457 421616735 /nfs/dbraw/zinc/61/67/35/421616735.db2.gz LJPLTPGIENIZDI-QGZVFWFLSA-N 1 2 322.372 1.318 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC(Oc2cccc(C#N)c2)CC1 ZINC000554496848 421644340 /nfs/dbraw/zinc/64/43/40/421644340.db2.gz JMTROKJSHQFTIR-UHFFFAOYSA-N 1 2 324.384 1.904 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCC[N@H+]2CC=CCC2)c(F)c1 ZINC000530482619 421602337 /nfs/dbraw/zinc/60/23/37/421602337.db2.gz AILWBJFZRUWNJU-UHFFFAOYSA-N 1 2 309.366 1.238 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCC[N@@H+]2CC=CCC2)c(F)c1 ZINC000530482619 421602341 /nfs/dbraw/zinc/60/23/41/421602341.db2.gz AILWBJFZRUWNJU-UHFFFAOYSA-N 1 2 309.366 1.238 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCSC[C@@H]2CCO)c1C#N ZINC000535963709 421715778 /nfs/dbraw/zinc/71/57/78/421715778.db2.gz LSVNAFRMYKJTNV-ZDUSSCGKSA-N 1 2 320.414 1.645 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCSC[C@@H]2CCO)c1C#N ZINC000535963709 421715782 /nfs/dbraw/zinc/71/57/82/421715782.db2.gz LSVNAFRMYKJTNV-ZDUSSCGKSA-N 1 2 320.414 1.645 20 30 DDEDLO CN(CCCc1[nH]nc(N)c1C#N)C(=O)c1ccc2[nH+]ccn2c1 ZINC000539352353 421747958 /nfs/dbraw/zinc/74/79/58/421747958.db2.gz GLJRLKLNPDIDPL-UHFFFAOYSA-N 1 2 323.360 1.216 20 30 DDEDLO C[C@H](C#N)CNC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000541944702 421815826 /nfs/dbraw/zinc/81/58/26/421815826.db2.gz CXIUVXUOEFIAJY-GDBMZVCRSA-N 1 2 316.405 1.346 20 30 DDEDLO C[C@H](C#N)CNC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000541944702 421815828 /nfs/dbraw/zinc/81/58/28/421815828.db2.gz CXIUVXUOEFIAJY-GDBMZVCRSA-N 1 2 316.405 1.346 20 30 DDEDLO CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000581254058 421933181 /nfs/dbraw/zinc/93/31/81/421933181.db2.gz GEZXVVHAEBLQNG-ZDUSSCGKSA-N 1 2 302.334 1.567 20 30 DDEDLO CNC(=O)[C@@H]1CCCC[N@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000581254058 421933187 /nfs/dbraw/zinc/93/31/87/421933187.db2.gz GEZXVVHAEBLQNG-ZDUSSCGKSA-N 1 2 302.334 1.567 20 30 DDEDLO N#CCC[C@@H](C#N)CNC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000582355529 422142953 /nfs/dbraw/zinc/14/29/53/422142953.db2.gz OLZIWROIWFQYOX-ZWKOTPCHSA-N 1 2 312.417 1.921 20 30 DDEDLO N#CCC[C@@H](C#N)CNC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000582355529 422142956 /nfs/dbraw/zinc/14/29/56/422142956.db2.gz OLZIWROIWFQYOX-ZWKOTPCHSA-N 1 2 312.417 1.921 20 30 DDEDLO N#Cc1ccc(F)c(CS(=O)(=O)N2CCn3c[nH+]cc3C2)c1 ZINC000583534885 422179128 /nfs/dbraw/zinc/17/91/28/422179128.db2.gz JWSLMVKYEDUYMK-UHFFFAOYSA-N 1 2 320.349 1.239 20 30 DDEDLO N#Cc1cnnc(N[C@@H](C[NH+]2CCOCC2)c2ccccc2)c1 ZINC000596062529 422362381 /nfs/dbraw/zinc/36/23/81/422362381.db2.gz HRFVXAYUSPERCP-INIZCTEOSA-N 1 2 309.373 1.834 20 30 DDEDLO CCc1nc(C#N)cc(NCc2[nH+]ccn2CC(F)(F)F)n1 ZINC000596109492 422365315 /nfs/dbraw/zinc/36/53/15/422365315.db2.gz AYSHFBZLDDMGAX-UHFFFAOYSA-N 1 2 310.283 2.282 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCNC(=O)[C@@H]2CC2CCCCC2)C1=O ZINC000576217777 422371897 /nfs/dbraw/zinc/37/18/97/422371897.db2.gz LOABPPDEYOCYHQ-CVEARBPZSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCNC(=O)[C@@H]2CC2CCCCC2)C1=O ZINC000576217777 422371901 /nfs/dbraw/zinc/37/19/01/422371901.db2.gz LOABPPDEYOCYHQ-CVEARBPZSA-N 1 2 319.449 1.544 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)Cn1ccnc1C(=O)C1CCCCC1 ZINC000576584417 422376393 /nfs/dbraw/zinc/37/63/93/422376393.db2.gz FXRANIUYIJVXTC-HNNXBMFYSA-N 1 2 318.421 1.852 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)Cn1ccnc1C(=O)C1CCCCC1 ZINC000576584417 422376398 /nfs/dbraw/zinc/37/63/98/422376398.db2.gz FXRANIUYIJVXTC-HNNXBMFYSA-N 1 2 318.421 1.852 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@H+](C)[C@@H]2CCC[C@H]2C#N)cc1 ZINC000602982376 422440864 /nfs/dbraw/zinc/44/08/64/422440864.db2.gz RQRIDRUMPAVPPX-DZGCQCFKSA-N 1 2 307.419 1.719 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@@H+](C)[C@@H]2CCC[C@H]2C#N)cc1 ZINC000602982376 422440871 /nfs/dbraw/zinc/44/08/71/422440871.db2.gz RQRIDRUMPAVPPX-DZGCQCFKSA-N 1 2 307.419 1.719 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)s1 ZINC000622144144 422537837 /nfs/dbraw/zinc/53/78/37/422537837.db2.gz UUWYEQKNLBCRNA-NWDGAFQWSA-N 1 2 324.450 1.580 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)s1 ZINC000622144144 422537842 /nfs/dbraw/zinc/53/78/42/422537842.db2.gz UUWYEQKNLBCRNA-NWDGAFQWSA-N 1 2 324.450 1.580 20 30 DDEDLO CCCn1cc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)cn1 ZINC000179987181 263364064 /nfs/dbraw/zinc/36/40/64/263364064.db2.gz QEVGBEWKHGUVRH-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)NCc2cccs2)nn1 ZINC000640904722 423266143 /nfs/dbraw/zinc/26/61/43/423266143.db2.gz LBWZCJQFANPUHO-GFCCVEGCSA-N 1 2 317.418 1.330 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)Nc2nccs2)nn1 ZINC000640925933 423280055 /nfs/dbraw/zinc/28/00/55/423280055.db2.gz OKRLBOCUJNRHAJ-SNVBAGLBSA-N 1 2 304.379 1.047 20 30 DDEDLO Cc1cc(NC(=O)NCC#CCO)c[nH+]c1N1CCCC[C@H]1C ZINC000639931515 423944342 /nfs/dbraw/zinc/94/43/42/423944342.db2.gz AVSCMMSSMXEDLP-CQSZACIVSA-N 1 2 316.405 1.886 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccccc2OC)CC1 ZINC000645074869 424141791 /nfs/dbraw/zinc/14/17/91/424141791.db2.gz QENXDDHQRDWDJQ-UHFFFAOYSA-N 1 2 304.390 1.656 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)NCC[N@@H+]1CCO[C@H](CC)C1 ZINC000655064510 424181281 /nfs/dbraw/zinc/18/12/81/424181281.db2.gz LPFOOBYIEZOXLL-BZUAXINKSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)NCC[N@H+]1CCO[C@H](CC)C1 ZINC000655064510 424181289 /nfs/dbraw/zinc/18/12/89/424181289.db2.gz LPFOOBYIEZOXLL-BZUAXINKSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000665153894 424751120 /nfs/dbraw/zinc/75/11/20/424751120.db2.gz JHTJSFSAHXHEMS-OAHLLOKOSA-N 1 2 316.405 1.201 20 30 DDEDLO CCCNC(=O)C[NH+]1CCC(NCc2nc(C#N)cs2)CC1 ZINC000352837293 266057774 /nfs/dbraw/zinc/05/77/74/266057774.db2.gz ZADUVOJCYHTCCD-UHFFFAOYSA-N 1 2 321.450 1.095 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000361720260 266803071 /nfs/dbraw/zinc/80/30/71/266803071.db2.gz GWALHRHQEWSWAF-LLVKDONJSA-N 1 2 320.374 1.130 20 30 DDEDLO C[C@@H](c1nnnn1C)[N@@H+]1CCCN(c2ccc(C#N)cc2)CC1 ZINC000372733810 267006556 /nfs/dbraw/zinc/00/65/56/267006556.db2.gz AVFAYBFSIXTUGQ-ZDUSSCGKSA-N 1 2 311.393 1.355 20 30 DDEDLO C[C@@H](c1nnnn1C)[N@H+]1CCCN(c2ccc(C#N)cc2)CC1 ZINC000372733810 267006559 /nfs/dbraw/zinc/00/65/59/267006559.db2.gz AVFAYBFSIXTUGQ-ZDUSSCGKSA-N 1 2 311.393 1.355 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(Cl)c(C#N)c1 ZINC000348295732 267046624 /nfs/dbraw/zinc/04/66/24/267046624.db2.gz UMRBVDHBBXQIDE-SNVBAGLBSA-N 1 2 313.810 1.536 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(Cl)c(C#N)c1 ZINC000348295732 267046627 /nfs/dbraw/zinc/04/66/27/267046627.db2.gz UMRBVDHBBXQIDE-SNVBAGLBSA-N 1 2 313.810 1.536 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1C(=O)c1cscc1C#N ZINC000356813999 267109239 /nfs/dbraw/zinc/10/92/39/267109239.db2.gz WJYCQOPYIXBSTD-BXUZGUMPSA-N 1 2 305.403 1.555 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)N1C(=O)N[C@H](Cc2c[nH+]c[nH]2)C1=O ZINC000359020641 267207204 /nfs/dbraw/zinc/20/72/04/267207204.db2.gz YACCVSMJRVQXGB-QMTHXVAHSA-N 1 2 309.329 1.505 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)N1C(=O)N[C@H](Cc2c[nH]c[nH+]2)C1=O ZINC000359020641 267207205 /nfs/dbraw/zinc/20/72/05/267207205.db2.gz YACCVSMJRVQXGB-QMTHXVAHSA-N 1 2 309.329 1.505 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(Cl)c(C#N)c1 ZINC000348295731 267227800 /nfs/dbraw/zinc/22/78/00/267227800.db2.gz UMRBVDHBBXQIDE-JTQLQIEISA-N 1 2 313.810 1.536 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(Cl)c(C#N)c1 ZINC000348295731 267227803 /nfs/dbraw/zinc/22/78/03/267227803.db2.gz UMRBVDHBBXQIDE-JTQLQIEISA-N 1 2 313.810 1.536 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000356103797 267275793 /nfs/dbraw/zinc/27/57/93/267275793.db2.gz LXGJBDYZJMYMTK-XJKSGUPXSA-N 1 2 313.401 1.802 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CSc1ccc(C#N)cc1 ZINC000515062961 267282068 /nfs/dbraw/zinc/28/20/68/267282068.db2.gz OFMNNWJYLKTIKG-OAHLLOKOSA-N 1 2 302.403 1.610 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[NH2+]C[C@@H]1C(F)F ZINC000367468961 267394334 /nfs/dbraw/zinc/39/43/34/267394334.db2.gz GDWOLFGQTFUJKX-LLVKDONJSA-N 1 2 315.345 1.094 20 30 DDEDLO N#Cc1ccc(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)c(F)c1 ZINC000354019668 268063168 /nfs/dbraw/zinc/06/31/68/268063168.db2.gz ZSGPBHQKDHKUDE-LBPRGKRZSA-N 1 2 320.286 1.805 20 30 DDEDLO N#Cc1ccc(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)c(F)c1 ZINC000354019668 268063170 /nfs/dbraw/zinc/06/31/70/268063170.db2.gz ZSGPBHQKDHKUDE-LBPRGKRZSA-N 1 2 320.286 1.805 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+]Cc2ccccc2[N+](=O)[O-])C(N)=O)cc1 ZINC000360059497 268214738 /nfs/dbraw/zinc/21/47/38/268214738.db2.gz HJYKADWEROIPQV-HNNXBMFYSA-N 1 2 310.313 1.783 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000353470631 268257818 /nfs/dbraw/zinc/25/78/18/268257818.db2.gz IUGJHLWJDZDKMC-AWEZNQCLSA-N 1 2 317.364 1.173 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)c1 ZINC000374211207 268262745 /nfs/dbraw/zinc/26/27/45/268262745.db2.gz JRJCUSOOBFKXNG-UHFFFAOYSA-N 1 2 323.356 1.626 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)c1 ZINC000374211207 268262747 /nfs/dbraw/zinc/26/27/47/268262747.db2.gz JRJCUSOOBFKXNG-UHFFFAOYSA-N 1 2 323.356 1.626 20 30 DDEDLO N#Cc1csc(C(=O)N[C@H]2CCCC[C@H]2[NH+]2CCOCC2)c1 ZINC000371985431 268319043 /nfs/dbraw/zinc/31/90/43/268319043.db2.gz FYHOUOUROIUWHY-UONOGXRCSA-N 1 2 319.430 1.993 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(F)cc3C#N)C[C@H]21 ZINC000329950409 277122460 /nfs/dbraw/zinc/12/24/60/277122460.db2.gz HTUUKNCZYDCDBG-ZIAGYGMSSA-N 1 2 304.325 1.244 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(F)cc3C#N)C[C@H]21 ZINC000329950409 277122462 /nfs/dbraw/zinc/12/24/62/277122462.db2.gz HTUUKNCZYDCDBG-ZIAGYGMSSA-N 1 2 304.325 1.244 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CCCC[C@H]1c1[nH+]ccn1CC ZINC000297917520 277840514 /nfs/dbraw/zinc/84/05/14/277840514.db2.gz XMLLBXNNMOTONK-ZDUSSCGKSA-N 1 2 304.394 1.601 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459433286 279316785 /nfs/dbraw/zinc/31/67/85/279316785.db2.gz GLBUABXVMQCEQC-CYBMUJFWSA-N 1 2 318.377 1.619 20 30 DDEDLO Cn1c[nH+]cc1CN1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000369520546 279392125 /nfs/dbraw/zinc/39/21/25/279392125.db2.gz RHTLTXYBVFDWLH-SJKOYZFVSA-N 1 2 307.357 1.266 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)[C@@]1(C#N)CC12CCCC2 ZINC000333118265 297265456 /nfs/dbraw/zinc/26/54/56/297265456.db2.gz UNLKPZPVJIASGL-RKVPGOIHSA-N 1 2 317.433 1.782 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](CC(=O)NC2CCCC2)CC1 ZINC000555650526 307846961 /nfs/dbraw/zinc/84/69/61/307846961.db2.gz KNTAKFIDMWCCOJ-UHFFFAOYSA-N 1 2 313.405 1.134 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000558872167 307897366 /nfs/dbraw/zinc/89/73/66/307897366.db2.gz GZXGHHBVXKXJAL-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO CN(Cc1cn2c([nH+]1)CCCC2)Cc1cnc2c(C#N)cnn2c1 ZINC000569693978 308146075 /nfs/dbraw/zinc/14/60/75/308146075.db2.gz DCEBIVBZCNJKMQ-UHFFFAOYSA-N 1 2 321.388 1.766 20 30 DDEDLO Cc1nc(C[NH2+][C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)[nH]c1C ZINC000570345766 308167254 /nfs/dbraw/zinc/16/72/54/308167254.db2.gz VFHRCNDKTUQEGX-INIZCTEOSA-N 1 2 323.400 1.789 20 30 DDEDLO Cc1[nH]c(CN[C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)[nH+]c1C ZINC000570345766 308167256 /nfs/dbraw/zinc/16/72/56/308167256.db2.gz VFHRCNDKTUQEGX-INIZCTEOSA-N 1 2 323.400 1.789 20 30 DDEDLO N#Cc1cccc(CCNC(=O)N[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000570887850 308183647 /nfs/dbraw/zinc/18/36/47/308183647.db2.gz LIXOREHBLJTILT-HNNXBMFYSA-N 1 2 309.373 1.611 20 30 DDEDLO COc1cc(OC)c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1OC ZINC000582038108 333179327 /nfs/dbraw/zinc/17/93/27/333179327.db2.gz DCLVHHITAREPGH-INIZCTEOSA-N 1 2 321.377 1.286 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCc1ccc2c(c1)OCCO2 ZINC000582097863 333216190 /nfs/dbraw/zinc/21/61/90/333216190.db2.gz SKFAZRFIBJOTBS-KRWDZBQOSA-N 1 2 317.389 1.350 20 30 DDEDLO C#CC[C@H]([NH2+][C@H]1CCC[C@H](NC(=O)OC(C)(C)C)C1)C(=O)OC ZINC000497294430 333232328 /nfs/dbraw/zinc/23/23/28/333232328.db2.gz QWYJUDPVJAALKM-IHRRRGAJSA-N 1 2 324.421 1.977 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000563366229 333597237 /nfs/dbraw/zinc/59/72/37/333597237.db2.gz PKZGQLYOKNWPRF-SNVBAGLBSA-N 1 2 306.322 1.824 20 30 DDEDLO Cc1ccc(C(=O)NCC(=O)NCC#N)cc1Oc1cc[nH+]cc1 ZINC000344180544 335227945 /nfs/dbraw/zinc/22/79/45/335227945.db2.gz PSBFYGBXTQHLGC-UHFFFAOYSA-N 1 2 324.340 1.552 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)CCC(=O)c2cccc(F)c2)CC1 ZINC000535765318 335760703 /nfs/dbraw/zinc/76/07/03/335760703.db2.gz QIZXOLASAWDWSC-UHFFFAOYSA-N 1 2 317.364 1.893 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)COc1ccc(F)cc1Cl ZINC000584564993 337361954 /nfs/dbraw/zinc/36/19/54/337361954.db2.gz NHUZSNSLRCANGK-AWEZNQCLSA-N 1 2 313.760 1.818 20 30 DDEDLO COC(=O)c1cc(C(=O)NCC[N@@H+]2CCOCC2(C)C)c[nH]1 ZINC000330474678 533717052 /nfs/dbraw/zinc/71/70/52/533717052.db2.gz RZNSHZYSBFZKLC-UHFFFAOYSA-N 1 2 309.366 1.217 20 30 DDEDLO COC(=O)c1cc(C(=O)NCC[N@H+]2CCOCC2(C)C)c[nH]1 ZINC000330474678 533717059 /nfs/dbraw/zinc/71/70/59/533717059.db2.gz RZNSHZYSBFZKLC-UHFFFAOYSA-N 1 2 309.366 1.217 20 30 DDEDLO CC(C)(C)OC(=O)N[C@H](CCCC#N)C(=O)NCc1[nH]cc[nH+]1 ZINC000496230472 340001069 /nfs/dbraw/zinc/00/10/69/340001069.db2.gz VRJYHVCABOXHHL-LLVKDONJSA-N 1 2 321.381 1.613 20 30 DDEDLO COC(=O)Nc1cccc(OC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000496570173 340008065 /nfs/dbraw/zinc/00/80/65/340008065.db2.gz ZEXOIBRYBRLNKC-ZDUSSCGKSA-N 1 2 307.350 1.450 20 30 DDEDLO COC(=O)Nc1cccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000496570173 340008066 /nfs/dbraw/zinc/00/80/66/340008066.db2.gz ZEXOIBRYBRLNKC-ZDUSSCGKSA-N 1 2 307.350 1.450 20 30 DDEDLO COC(=O)CCCc1nc(C[NH+]2CCC(CC#N)CC2)no1 ZINC000543808666 341060061 /nfs/dbraw/zinc/06/00/61/341060061.db2.gz UXSVFIPRJFJFLD-UHFFFAOYSA-N 1 2 306.366 1.691 20 30 DDEDLO CC(C)n1ncc2cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cnc21 ZINC000565719021 341536084 /nfs/dbraw/zinc/53/60/84/341536084.db2.gz HUKBMHIXQJFSMT-MRXNPFEDSA-N 1 2 314.393 1.586 20 30 DDEDLO Cn1cc([C@H]([NH2+]C[C@H](C#N)CCC#N)C2(CO)CCC2)cn1 ZINC000576040500 341821998 /nfs/dbraw/zinc/82/19/98/341821998.db2.gz IFHVXGHXSUTJIL-ZFWWWQNUSA-N 1 2 301.394 1.657 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000666248265 485143069 /nfs/dbraw/zinc/14/30/69/485143069.db2.gz UONPFBVMAWRDBW-CQSZACIVSA-N 1 2 304.394 1.235 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)C(=O)N[C@@H](C)Cn2cc[nH+]c2)C1 ZINC000668569631 485219127 /nfs/dbraw/zinc/21/91/27/485219127.db2.gz DGGJIUHDEBTJTA-UONOGXRCSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)C(=O)NCCCCn2cc[nH+]c2)C1 ZINC000668612154 485239934 /nfs/dbraw/zinc/23/99/34/485239934.db2.gz OFRRQPUPPGMKOH-HNNXBMFYSA-N 1 2 318.421 1.594 20 30 DDEDLO C=C[C@H](O)C(=O)NC[C@@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000666848664 485367292 /nfs/dbraw/zinc/36/72/92/485367292.db2.gz UISVBXDKXSOYBA-HOTGVXAUSA-N 1 2 304.390 1.031 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCC(S(C)(=O)=O)CC1 ZINC000675505679 485961388 /nfs/dbraw/zinc/96/13/88/485961388.db2.gz QYJDBQNHKLXMSX-CYBMUJFWSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)c2ccc(OC)cc2)CC1 ZINC000677475675 486475462 /nfs/dbraw/zinc/47/54/62/486475462.db2.gz DYBQLOMVUPSCTQ-MRXNPFEDSA-N 1 2 304.390 1.390 20 30 DDEDLO C[C@@H](C[NH+]1CCC(C#N)(c2ccccn2)CC1)CS(C)(=O)=O ZINC000376737068 533808617 /nfs/dbraw/zinc/80/86/17/533808617.db2.gz OFROLPMFLNUOOD-AWEZNQCLSA-N 1 2 321.446 1.619 20 30 DDEDLO C[C@@H](c1ccccc1)[C@H]([NH2+]Cc1nc(C#N)cs1)C(N)=O ZINC000419278818 534121758 /nfs/dbraw/zinc/12/17/58/534121758.db2.gz NQBKFQKBQDWJHL-HZMBPMFUSA-N 1 2 300.387 1.762 20 30 DDEDLO Cc1nc(N2CCN(C(=O)Nc3ccnnc3C)CC2)cc[nH+]1 ZINC000330105094 534755831 /nfs/dbraw/zinc/75/58/31/534755831.db2.gz ICMPAIBDZXIVBE-UHFFFAOYSA-N 1 2 313.365 1.251 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)NC[C@@H]2CCCO2)CCO1 ZINC000330317633 534813694 /nfs/dbraw/zinc/81/36/94/534813694.db2.gz BUYDOHQLMUAMCL-DYEKYZERSA-N 1 2 308.382 1.323 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N(C)Cc1ccc(OC)c(OC)c1 ZINC000339751140 526358854 /nfs/dbraw/zinc/35/88/54/526358854.db2.gz BTYSMCCJJNNUHZ-UHFFFAOYSA-N 1 2 304.390 1.617 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N(C)Cc1ccc(OC)c(OC)c1 ZINC000339751140 526358860 /nfs/dbraw/zinc/35/88/60/526358860.db2.gz BTYSMCCJJNNUHZ-UHFFFAOYSA-N 1 2 304.390 1.617 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C)c2C)C1 ZINC000330941173 526400845 /nfs/dbraw/zinc/40/08/45/526400845.db2.gz FIGGJOPXMODLDU-CYBMUJFWSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C)c2C)C1 ZINC000330941173 526400848 /nfs/dbraw/zinc/40/08/48/526400848.db2.gz FIGGJOPXMODLDU-CYBMUJFWSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)[C@H]1CN(c2nc(C3CC3)[nH+]c3c2CCC3)CCO1 ZINC000329719102 526406942 /nfs/dbraw/zinc/40/69/42/526406942.db2.gz ZXAWYHSLRJWALS-CYBMUJFWSA-N 1 2 302.378 1.634 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000424761209 526434793 /nfs/dbraw/zinc/43/47/93/526434793.db2.gz MRSAZLUDABMYHP-CYBMUJFWSA-N 1 2 318.421 1.630 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(C#N)cc2Cl)CC1 ZINC000490818749 526952206 /nfs/dbraw/zinc/95/22/06/526952206.db2.gz AMUHATIISBJRIT-UHFFFAOYSA-N 1 2 316.792 1.401 20 30 DDEDLO CC(C)(CC(=O)N(CCC#N)CC[NH+]1CCOCC1)C1CC1 ZINC000339586544 526962287 /nfs/dbraw/zinc/96/22/87/526962287.db2.gz KIFZJEALFPMIAL-UHFFFAOYSA-N 1 2 307.438 1.887 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nc(CC(C)C)no2)CC1 ZINC000491154979 526972110 /nfs/dbraw/zinc/97/21/10/526972110.db2.gz NTUVIIXUHPJVKJ-UHFFFAOYSA-N 1 2 304.394 1.230 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2ncc(C(C)(C)C)o2)CC1 ZINC000491066781 526972167 /nfs/dbraw/zinc/97/21/67/526972167.db2.gz FBMNKOJSZVFOFD-UHFFFAOYSA-N 1 2 303.406 1.934 20 30 DDEDLO CC(=O)Nc1ccc(SC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000414102670 527025316 /nfs/dbraw/zinc/02/53/16/527025316.db2.gz VWEWJDXTPKXFRM-AWEZNQCLSA-N 1 2 307.419 1.943 20 30 DDEDLO CC(=O)Nc1ccc(SC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000414102670 527025320 /nfs/dbraw/zinc/02/53/20/527025320.db2.gz VWEWJDXTPKXFRM-AWEZNQCLSA-N 1 2 307.419 1.943 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCC([C@](C)(O)C(F)(F)F)CC1 ZINC000491013144 527025295 /nfs/dbraw/zinc/02/52/95/527025295.db2.gz INGGLAFQVIFXTQ-GWCFXTLKSA-N 1 2 306.328 1.150 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000341703361 527065412 /nfs/dbraw/zinc/06/54/12/527065412.db2.gz LSIQRFQMSJLNNU-OAHLLOKOSA-N 1 2 318.421 1.666 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1CC(=O)N(Cc2ccccc2C#N)C1=O ZINC000491359840 527214891 /nfs/dbraw/zinc/21/48/91/527214891.db2.gz BKJMHPQXQAUKCI-CYBMUJFWSA-N 1 2 310.357 1.233 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1CC(=O)N(Cc2ccccc2C#N)C1=O ZINC000491359840 527214896 /nfs/dbraw/zinc/21/48/96/527214896.db2.gz BKJMHPQXQAUKCI-CYBMUJFWSA-N 1 2 310.357 1.233 20 30 DDEDLO C#C[C@@H](C)N(C)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000491707749 527216451 /nfs/dbraw/zinc/21/64/51/527216451.db2.gz NVWOBUMKBWSIPH-CYBMUJFWSA-N 1 2 303.387 1.574 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1CCNC(=O)[C@H]1CC ZINC000349740198 527262036 /nfs/dbraw/zinc/26/20/36/527262036.db2.gz AMUFGOCHOFPPCK-JKSUJKDBSA-N 1 2 318.417 1.365 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1CCNC(=O)[C@H]1CC ZINC000349740198 527262047 /nfs/dbraw/zinc/26/20/47/527262047.db2.gz AMUFGOCHOFPPCK-JKSUJKDBSA-N 1 2 318.417 1.365 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000342572626 527302101 /nfs/dbraw/zinc/30/21/01/527302101.db2.gz QTYVGKJYPZGVLG-UHFFFAOYSA-N 1 2 318.299 1.096 20 30 DDEDLO C=CCCN(C)C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000342380416 527308825 /nfs/dbraw/zinc/30/88/25/527308825.db2.gz DOYXBBLGTUHBNH-HNNXBMFYSA-N 1 2 313.467 1.412 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1CC(=O)N(Cc2ccccc2C#N)C1=O ZINC000491359841 527333070 /nfs/dbraw/zinc/33/30/70/527333070.db2.gz BKJMHPQXQAUKCI-ZDUSSCGKSA-N 1 2 310.357 1.233 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1CC(=O)N(Cc2ccccc2C#N)C1=O ZINC000491359841 527333071 /nfs/dbraw/zinc/33/30/71/527333071.db2.gz BKJMHPQXQAUKCI-ZDUSSCGKSA-N 1 2 310.357 1.233 20 30 DDEDLO C=CCn1c([C@H](C)CC)nnc1N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000337920751 527357136 /nfs/dbraw/zinc/35/71/36/527357136.db2.gz YUHFWQAXSAPIMD-HUUCEWRRSA-N 1 2 319.453 1.889 20 30 DDEDLO C=C[C@@H](CC(=O)N[C@H](CO)C[NH+]1CCOCC1)c1ccccc1 ZINC000339215999 527423862 /nfs/dbraw/zinc/42/38/62/527423862.db2.gz NMRKNCQHQKVUBA-RDJZCZTQSA-N 1 2 318.417 1.156 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@@H](O)COc1cccc2ccccc21 ZINC000491638956 527428622 /nfs/dbraw/zinc/42/86/22/527428622.db2.gz WKYBAQUSFUTOPN-DLBZAZTESA-N 1 2 311.381 1.914 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@@H](O)COc1cccc2ccccc21 ZINC000491638956 527428625 /nfs/dbraw/zinc/42/86/25/527428625.db2.gz WKYBAQUSFUTOPN-DLBZAZTESA-N 1 2 311.381 1.914 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)NCC[C@H]2CCOC2)CC1 ZINC000329686357 527500285 /nfs/dbraw/zinc/50/02/85/527500285.db2.gz AHEUSILHVMYQDP-LBPRGKRZSA-N 1 2 312.414 1.258 20 30 DDEDLO C=CCCc1ccccc1NC(=O)C(=O)NCCn1cc[nH+]c1 ZINC000337621163 527500695 /nfs/dbraw/zinc/50/06/95/527500695.db2.gz GGESZWAUXRYTDP-UHFFFAOYSA-N 1 2 312.373 1.757 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCN(CC)[C@H](c2ncc[nH]2)C1 ZINC000348372984 527609496 /nfs/dbraw/zinc/60/94/96/527609496.db2.gz PJFIOOOAMMJSTG-HNNXBMFYSA-N 1 2 317.437 1.289 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCN(CC)[C@H](c2ncc[nH]2)C1 ZINC000348372984 527609503 /nfs/dbraw/zinc/60/95/03/527609503.db2.gz PJFIOOOAMMJSTG-HNNXBMFYSA-N 1 2 317.437 1.289 20 30 DDEDLO CC(C)[C@@H]1CN(CC#CC[N@@H+]2CCO[C@H](C(C)C)C2)CCO1 ZINC000341906057 528745352 /nfs/dbraw/zinc/74/53/52/528745352.db2.gz UHIKRQMXKVBFLQ-ROUUACIJSA-N 1 2 308.466 1.703 20 30 DDEDLO CC(C)[C@@H]1CN(CC#CC[N@H+]2CCO[C@H](C(C)C)C2)CCO1 ZINC000341906057 528745356 /nfs/dbraw/zinc/74/53/56/528745356.db2.gz UHIKRQMXKVBFLQ-ROUUACIJSA-N 1 2 308.466 1.703 20 30 DDEDLO CCC1(CNC([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC000330196281 529032601 /nfs/dbraw/zinc/03/26/01/529032601.db2.gz QAECQWVEQYYILJ-CYBMUJFWSA-N 1 2 306.410 1.908 20 30 DDEDLO CCC1(C[NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC000330196281 529032606 /nfs/dbraw/zinc/03/26/06/529032606.db2.gz QAECQWVEQYYILJ-CYBMUJFWSA-N 1 2 306.410 1.908 20 30 DDEDLO CCN1CCN(C(=O)c2sccc2C#N)C[C@H]1c1[nH]cc[nH+]1 ZINC000433475073 529135733 /nfs/dbraw/zinc/13/57/33/529135733.db2.gz OHPDSYSJXWNRLH-LBPRGKRZSA-N 1 2 315.402 1.862 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC000972083484 695152869 /nfs/dbraw/zinc/15/28/69/695152869.db2.gz ZBBRWZVQGGYVRN-CYBMUJFWSA-N 1 2 305.426 1.543 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC000972083484 695152870 /nfs/dbraw/zinc/15/28/70/695152870.db2.gz ZBBRWZVQGGYVRN-CYBMUJFWSA-N 1 2 305.426 1.543 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)/C=C(\C)C3CC3)C2)C1 ZINC000972282198 695203671 /nfs/dbraw/zinc/20/36/71/695203671.db2.gz PCAPGUCLPUHRRJ-NKAIQICCSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)/C=C(\C)C3CC3)C2)C1 ZINC000972282198 695203673 /nfs/dbraw/zinc/20/36/73/695203673.db2.gz PCAPGUCLPUHRRJ-NKAIQICCSA-N 1 2 302.418 1.669 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389224 695237800 /nfs/dbraw/zinc/23/78/00/695237800.db2.gz IRZYLBWZMPAMCB-YPMHNXCESA-N 1 2 324.318 1.766 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389224 695237802 /nfs/dbraw/zinc/23/78/02/695237802.db2.gz IRZYLBWZMPAMCB-YPMHNXCESA-N 1 2 324.318 1.766 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(F)F)C2)C1 ZINC000972542365 695277411 /nfs/dbraw/zinc/27/74/11/695277411.db2.gz KQQFTZKKNSPJAK-OAHLLOKOSA-N 1 2 302.365 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(F)F)C2)C1 ZINC000972542365 695277414 /nfs/dbraw/zinc/27/74/14/695277414.db2.gz KQQFTZKKNSPJAK-OAHLLOKOSA-N 1 2 302.365 1.767 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccc(F)c3)C2)C1 ZINC000972660358 695311916 /nfs/dbraw/zinc/31/19/16/695311916.db2.gz AUNBAZJAIFNGTL-SFHVURJKSA-N 1 2 318.392 1.858 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccc(F)c3)C2)C1 ZINC000972660358 695311917 /nfs/dbraw/zinc/31/19/17/695311917.db2.gz AUNBAZJAIFNGTL-SFHVURJKSA-N 1 2 318.392 1.858 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3csc(C)n3)C2)C1 ZINC000972666604 695313376 /nfs/dbraw/zinc/31/33/76/695313376.db2.gz FTQFGVQFSWSIPU-INIZCTEOSA-N 1 2 321.446 1.483 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3csc(C)n3)C2)C1 ZINC000972666604 695313378 /nfs/dbraw/zinc/31/33/78/695313378.db2.gz FTQFGVQFSWSIPU-INIZCTEOSA-N 1 2 321.446 1.483 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C)C(C)(C)C3(C)C)C2)C1 ZINC000972699829 695318759 /nfs/dbraw/zinc/31/87/59/695318759.db2.gz PKXNNUSOWCUZQO-IBGZPJMESA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C)C(C)(C)C3(C)C)C2)C1 ZINC000972699829 695318762 /nfs/dbraw/zinc/31/87/62/695318762.db2.gz PKXNNUSOWCUZQO-IBGZPJMESA-N 1 2 318.461 1.995 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@@H+](C)Cc3ccn(C)n3)C2)cn1 ZINC000972756700 695329928 /nfs/dbraw/zinc/32/99/28/695329928.db2.gz NVUWISSSRXSVNX-KRWDZBQOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@H+](C)Cc3ccn(C)n3)C2)cn1 ZINC000972756700 695329929 /nfs/dbraw/zinc/32/99/29/695329929.db2.gz NVUWISSSRXSVNX-KRWDZBQOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#CCC[N@@H+]1C[C@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)C(C)(C)C1 ZINC000974603714 695694729 /nfs/dbraw/zinc/69/47/29/695694729.db2.gz NTKKCXWFZJTAJD-CABCVRRESA-N 1 2 314.433 1.680 20 30 DDEDLO C#CCC[N@H+]1C[C@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)C(C)(C)C1 ZINC000974603714 695694730 /nfs/dbraw/zinc/69/47/30/695694730.db2.gz NTKKCXWFZJTAJD-CABCVRRESA-N 1 2 314.433 1.680 20 30 DDEDLO C#CCC[N@@H+]1C[C@H](NC(=O)[C@H]2CCCc3cn[nH]c32)C(C)(C)C1 ZINC000974603718 695694779 /nfs/dbraw/zinc/69/47/79/695694779.db2.gz NTKKCXWFZJTAJD-GJZGRUSLSA-N 1 2 314.433 1.680 20 30 DDEDLO C#CCC[N@H+]1C[C@H](NC(=O)[C@H]2CCCc3cn[nH]c32)C(C)(C)C1 ZINC000974603718 695694780 /nfs/dbraw/zinc/69/47/80/695694780.db2.gz NTKKCXWFZJTAJD-GJZGRUSLSA-N 1 2 314.433 1.680 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cn(C)nc2C)C(C)(C)C1 ZINC000974675704 695709497 /nfs/dbraw/zinc/70/94/97/695709497.db2.gz PTEGPKZWPOVZFZ-CQSZACIVSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cn(C)nc2C)C(C)(C)C1 ZINC000974675704 695709499 /nfs/dbraw/zinc/70/94/99/695709499.db2.gz PTEGPKZWPOVZFZ-CQSZACIVSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2c(C)cnn2C)C(C)(C)C1 ZINC000974933596 695762447 /nfs/dbraw/zinc/76/24/47/695762447.db2.gz FLHJARGBTOEVKO-GFCCVEGCSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2c(C)cnn2C)C(C)(C)C1 ZINC000974933596 695762449 /nfs/dbraw/zinc/76/24/49/695762449.db2.gz FLHJARGBTOEVKO-GFCCVEGCSA-N 1 2 310.829 1.921 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H](C(C)C)[NH+]2CCOCC2)c1 ZINC000798156599 700044969 /nfs/dbraw/zinc/04/49/69/700044969.db2.gz PWKACDORYIEABZ-MRXNPFEDSA-N 1 2 301.390 1.150 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(=O)[nH]c2)C(C)(C)C1 ZINC000977587866 696224550 /nfs/dbraw/zinc/22/45/50/696224550.db2.gz QJMXAYDPVRCMQR-LBPRGKRZSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(=O)[nH]c2)C(C)(C)C1 ZINC000977587866 696224551 /nfs/dbraw/zinc/22/45/51/696224551.db2.gz QJMXAYDPVRCMQR-LBPRGKRZSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3c[nH]c(C)cc3=O)[C@@H]2C1 ZINC000978932196 696477259 /nfs/dbraw/zinc/47/72/59/696477259.db2.gz MABUSFCTPBKZRP-DEZZGGKRSA-N 1 2 321.808 1.343 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3c[nH]c(C)cc3=O)[C@@H]2C1 ZINC000978932196 696477260 /nfs/dbraw/zinc/47/72/60/696477260.db2.gz MABUSFCTPBKZRP-DEZZGGKRSA-N 1 2 321.808 1.343 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000799077120 700114769 /nfs/dbraw/zinc/11/47/69/700114769.db2.gz ZLRAXEWGMVRFJJ-HZPDHXFCSA-N 1 2 310.438 1.014 20 30 DDEDLO CC1(C)NC(=O)N(CCCO[NH+]=C(N)Cc2ccccc2)C1=O ZINC000153093951 696909534 /nfs/dbraw/zinc/90/95/34/696909534.db2.gz FCSVFLFAJZNXTD-UHFFFAOYSA-N 1 2 318.377 1.238 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)cn1 ZINC000980848870 696917044 /nfs/dbraw/zinc/91/70/44/696917044.db2.gz CPOLCWOADNKTGG-GXTWGEPZSA-N 1 2 301.394 1.540 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)cn1 ZINC000980848870 696917046 /nfs/dbraw/zinc/91/70/46/696917046.db2.gz CPOLCWOADNKTGG-GXTWGEPZSA-N 1 2 301.394 1.540 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2cn3cc(C)nc3s2)CC1 ZINC000981330287 697067422 /nfs/dbraw/zinc/06/74/22/697067422.db2.gz BTXYGYMDPLXVJD-UHFFFAOYSA-N 1 2 316.430 1.875 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2cn3cc(C)nc3s2)CC1 ZINC000981330287 697067425 /nfs/dbraw/zinc/06/74/25/697067425.db2.gz BTXYGYMDPLXVJD-UHFFFAOYSA-N 1 2 316.430 1.875 20 30 DDEDLO Cc1ccc(C(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)cc1C#N ZINC000799377232 700139061 /nfs/dbraw/zinc/13/90/61/700139061.db2.gz JCIHIYXAWHHOCV-AWEZNQCLSA-N 1 2 301.390 1.659 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC([N@H+](C)[C@@H](C)c2csnn2)CC1 ZINC000985339679 697494434 /nfs/dbraw/zinc/49/44/34/697494434.db2.gz YFRZFBQPNMPOBH-QWRGUYRKSA-N 1 2 307.423 1.682 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC([N@@H+](C)[C@@H](C)c2csnn2)CC1 ZINC000985339679 697494435 /nfs/dbraw/zinc/49/44/35/697494435.db2.gz YFRZFBQPNMPOBH-QWRGUYRKSA-N 1 2 307.423 1.682 20 30 DDEDLO C#CC[N@@H+](C)C1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC000985566576 697531618 /nfs/dbraw/zinc/53/16/18/697531618.db2.gz QKEVZWJVWDSBPR-UHFFFAOYSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CC[N@H+](C)C1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC000985566576 697531619 /nfs/dbraw/zinc/53/16/19/697531619.db2.gz QKEVZWJVWDSBPR-UHFFFAOYSA-N 1 2 323.400 1.641 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C1CCN(C(=O)C2=NC(=O)N(C)C2)CC1 ZINC000985629774 697546095 /nfs/dbraw/zinc/54/60/95/697546095.db2.gz NQPNABBUHDRPBA-UHFFFAOYSA-N 1 2 312.801 1.415 20 30 DDEDLO C=C(Cl)C[N@H+](C)C1CCN(C(=O)C2=NC(=O)N(C)C2)CC1 ZINC000985629774 697546098 /nfs/dbraw/zinc/54/60/98/697546098.db2.gz NQPNABBUHDRPBA-UHFFFAOYSA-N 1 2 312.801 1.415 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N2CCC[C@H](n3cc[nH+]c3)C2)c1 ZINC000749689310 700177674 /nfs/dbraw/zinc/17/76/74/700177674.db2.gz FLYODOAIKBZBNI-INIZCTEOSA-N 1 2 322.368 1.667 20 30 DDEDLO CN(CCCc1[nH]nc(N)c1C#N)C(=O)c1cccc2[nH+]ccn21 ZINC000775550371 698007981 /nfs/dbraw/zinc/00/79/81/698007981.db2.gz AISJDSKGDSLYNB-UHFFFAOYSA-N 1 2 323.360 1.216 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2nc3ccccc3cc2C#N)CC1 ZINC000750016122 700198896 /nfs/dbraw/zinc/19/88/96/700198896.db2.gz JVKCUMLBZUYAFW-UHFFFAOYSA-N 1 2 323.400 1.682 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(C)n([C@H](C)CC)n2)CC1 ZINC000778257219 698254426 /nfs/dbraw/zinc/25/44/26/698254426.db2.gz UCXPFNUJEJVPAK-CQSZACIVSA-N 1 2 302.422 1.944 20 30 DDEDLO C[C@@H]1C[C@H]([NH2+]Cc2nnc(C3CC3)o2)CN1C(=O)C#CC1CC1 ZINC000988827327 698478232 /nfs/dbraw/zinc/47/82/32/698478232.db2.gz RVHLGLRJWVVRRP-RISCZKNCSA-N 1 2 314.389 1.439 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)cc1 ZINC000780926581 698572298 /nfs/dbraw/zinc/57/22/98/698572298.db2.gz JVBIHUHATWXJJL-CABCVRRESA-N 1 2 310.357 1.721 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3cncn3C)CC2)nc1 ZINC000989505082 698649265 /nfs/dbraw/zinc/64/92/65/698649265.db2.gz FMWPXLXGCPOFKI-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3cncn3C)CC2)nc1 ZINC000989505082 698649267 /nfs/dbraw/zinc/64/92/67/698649267.db2.gz FMWPXLXGCPOFKI-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCCN(Cc3c[nH+]cn3C)CC2)nc1 ZINC000989505082 698649270 /nfs/dbraw/zinc/64/92/70/698649270.db2.gz FMWPXLXGCPOFKI-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)N1CC[NH+](CC[S@](C)=O)CC1 ZINC000782184353 698694386 /nfs/dbraw/zinc/69/43/86/698694386.db2.gz CYOVBMWIEYYCTK-QKKBWIMNSA-N 1 2 305.447 1.615 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)N1CC[NH+](CC[S@@](C)=O)CC1 ZINC000782184352 698694557 /nfs/dbraw/zinc/69/45/57/698694557.db2.gz CYOVBMWIEYYCTK-LHSJRXKWSA-N 1 2 305.447 1.615 20 30 DDEDLO C[C@H]1C[C@@H](NCC#N)CN1C(=O)C[N@@H+]1CCc2sccc2C1 ZINC000989826058 698783821 /nfs/dbraw/zinc/78/38/21/698783821.db2.gz LRHWQDNTOQQGSN-GXTWGEPZSA-N 1 2 318.446 1.209 20 30 DDEDLO C[C@H]1C[C@@H](NCC#N)CN1C(=O)C[N@H+]1CCc2sccc2C1 ZINC000989826058 698783823 /nfs/dbraw/zinc/78/38/23/698783823.db2.gz LRHWQDNTOQQGSN-GXTWGEPZSA-N 1 2 318.446 1.209 20 30 DDEDLO NC(=NOCCC1CC1)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000783555208 698852486 /nfs/dbraw/zinc/85/24/86/698852486.db2.gz SGPPSPYAMOHYPY-UHFFFAOYSA-N 1 2 303.406 1.956 20 30 DDEDLO CC[N@@H+]1CCCC[C@H]1C(=O)O[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000787715327 699233702 /nfs/dbraw/zinc/23/37/02/699233702.db2.gz UOTPFEIAEFOSPN-STQMWFEESA-N 1 2 307.394 1.355 20 30 DDEDLO CC[N@H+]1CCCC[C@H]1C(=O)O[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000787715327 699233704 /nfs/dbraw/zinc/23/37/04/699233704.db2.gz UOTPFEIAEFOSPN-STQMWFEESA-N 1 2 307.394 1.355 20 30 DDEDLO CN(C)S(=O)(=O)CCCO[NH+]=C(N)c1ccc(Cl)cc1 ZINC000729674529 699484585 /nfs/dbraw/zinc/48/45/85/699484585.db2.gz SFCWMOTVXKLUFB-UHFFFAOYSA-N 1 2 319.814 1.258 20 30 DDEDLO C=CC[C@H](NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)c1ccncc1 ZINC000734666528 699658506 /nfs/dbraw/zinc/65/85/06/699658506.db2.gz ZDFBFIRYJKYDER-HOCLYGCPSA-N 1 2 318.421 1.719 20 30 DDEDLO C=CC[C@H](NC(=O)NCC[N@H+]1CCO[C@@H](C)C1)c1ccncc1 ZINC000734666528 699658507 /nfs/dbraw/zinc/65/85/07/699658507.db2.gz ZDFBFIRYJKYDER-HOCLYGCPSA-N 1 2 318.421 1.719 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[NH2+][C@@H](C)c1nnnn1C1CC1 ZINC000792470332 699696827 /nfs/dbraw/zinc/69/68/27/699696827.db2.gz QVHCTJALBQVQJD-PGUXBMHVSA-N 1 2 319.413 1.102 20 30 DDEDLO C#CCSCCNc1nc(NCCO)c2ccccc2[nH+]1 ZINC000739898702 699782226 /nfs/dbraw/zinc/78/22/26/699782226.db2.gz AIVJDFDXVCFUFD-UHFFFAOYSA-N 1 2 302.403 1.812 20 30 DDEDLO CN(C)c1cc(C[NH+]2CCN(c3cnccc3C#N)CC2)ccn1 ZINC000795049702 699843980 /nfs/dbraw/zinc/84/39/80/699843980.db2.gz HUIUEJFAWHWEKC-UHFFFAOYSA-N 1 2 322.416 1.736 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000801910561 700352378 /nfs/dbraw/zinc/35/23/78/700352378.db2.gz UPWSCPAGKJGYAI-OAHLLOKOSA-N 1 2 316.405 1.171 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000756022687 700599684 /nfs/dbraw/zinc/59/96/84/700599684.db2.gz LYZAIUWCHSJCIZ-DFBGVHRSSA-N 1 2 316.357 1.513 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000756022687 700599687 /nfs/dbraw/zinc/59/96/87/700599687.db2.gz LYZAIUWCHSJCIZ-DFBGVHRSSA-N 1 2 316.357 1.513 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@](C)(C(=O)OC)C1 ZINC000759785662 700772601 /nfs/dbraw/zinc/77/26/01/700772601.db2.gz SMXAMQGEIFARBL-RHSMWYFYSA-N 1 2 316.357 1.657 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@](C)(C(=O)OC)C1 ZINC000759785662 700772603 /nfs/dbraw/zinc/77/26/03/700772603.db2.gz SMXAMQGEIFARBL-RHSMWYFYSA-N 1 2 316.357 1.657 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000762189608 700884291 /nfs/dbraw/zinc/88/42/91/700884291.db2.gz CZXQVKFNCLYYMZ-HNNXBMFYSA-N 1 2 323.352 1.827 20 30 DDEDLO C=CCCOC(=O)CCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000766286598 701045420 /nfs/dbraw/zinc/04/54/20/701045420.db2.gz KQONUHSSJZIDLA-UHFFFAOYSA-N 1 2 317.389 1.630 20 30 DDEDLO O=S(=O)(NN=Cc1cccc2[nH+]ccn21)c1ccc(F)cc1 ZINC000771099388 701309848 /nfs/dbraw/zinc/30/98/48/701309848.db2.gz PVRXILRKUOPRKM-UHFFFAOYSA-N 1 2 318.333 1.786 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2C(=O)OCCn2cc[nH+]c2)cc1 ZINC000771953914 701338196 /nfs/dbraw/zinc/33/81/96/701338196.db2.gz WBTQYSAPRMPJQK-INIZCTEOSA-N 1 2 310.357 1.967 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@H+]1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000810068308 701719270 /nfs/dbraw/zinc/71/92/70/701719270.db2.gz MLUNSBFHLVKDRU-RYUDHWBXSA-N 1 2 316.327 1.820 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@@H+]1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000810068308 701719274 /nfs/dbraw/zinc/71/92/74/701719274.db2.gz MLUNSBFHLVKDRU-RYUDHWBXSA-N 1 2 316.327 1.820 20 30 DDEDLO C=CCN1CCN(C[N@@H+](C)Cc2ccc3c(c2)OCCO3)C1=O ZINC000839949908 701873329 /nfs/dbraw/zinc/87/33/29/701873329.db2.gz LBMNNNQYEVXLEA-UHFFFAOYSA-N 1 2 317.389 1.771 20 30 DDEDLO C=CCN1CCN(C[N@H+](C)Cc2ccc3c(c2)OCCO3)C1=O ZINC000839949908 701873336 /nfs/dbraw/zinc/87/33/36/701873336.db2.gz LBMNNNQYEVXLEA-UHFFFAOYSA-N 1 2 317.389 1.771 20 30 DDEDLO C=CCC(F)(F)C(=O)NC[C@@H](C(C)C)[NH+]1CCN(C)CC1 ZINC000815591676 701901270 /nfs/dbraw/zinc/90/12/70/701901270.db2.gz NUCYYPCJUFGYAY-ZDUSSCGKSA-N 1 2 303.397 1.586 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCC[C@@H]2c2cn(C)nc2C)C1=O ZINC000840024548 701920111 /nfs/dbraw/zinc/92/01/11/701920111.db2.gz VVBKDYRSKNOEOJ-OAHLLOKOSA-N 1 2 303.410 1.747 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCC[C@@H]2c2cn(C)nc2C)C1=O ZINC000840024548 701920114 /nfs/dbraw/zinc/92/01/14/701920114.db2.gz VVBKDYRSKNOEOJ-OAHLLOKOSA-N 1 2 303.410 1.747 20 30 DDEDLO Cc1oc(-n2cccc2)c(C#N)c1C(=O)NCc1c[nH+]cn1C ZINC000811589062 702013260 /nfs/dbraw/zinc/01/32/60/702013260.db2.gz GVGGLIVEYRXATM-UHFFFAOYSA-N 1 2 309.329 1.914 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@]1(C)OCCc2sccc21 ZINC000812265508 702132489 /nfs/dbraw/zinc/13/24/89/702132489.db2.gz IZHJEAAGNKQTPJ-WMLDXEAASA-N 1 2 318.442 1.750 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@]1(C)OCCc2sccc21 ZINC000812265508 702132493 /nfs/dbraw/zinc/13/24/93/702132493.db2.gz IZHJEAAGNKQTPJ-WMLDXEAASA-N 1 2 318.442 1.750 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000817161524 702294312 /nfs/dbraw/zinc/29/43/12/702294312.db2.gz BNBJDNVJHMXLRR-UHFFFAOYSA-N 1 2 311.407 1.098 20 30 DDEDLO C[C@H]([NH2+]CCS(=O)(=O)CC1CC1)c1cccc(C#N)c1O ZINC000866305030 706669902 /nfs/dbraw/zinc/66/99/02/706669902.db2.gz CLORVINQPOYNIS-NSHDSACASA-N 1 2 308.403 1.739 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)C1(S(C)(=O)=O)CC1)c1cccc(C#N)c1O ZINC000866357468 706680713 /nfs/dbraw/zinc/68/07/13/706680713.db2.gz HVVLYFMZXFXNRG-MNOVXSKESA-N 1 2 308.403 1.880 20 30 DDEDLO COCc1noc([C@H](C)[N@H+](C)C[C@@H](O)c2cccc(C#N)c2)n1 ZINC000844372389 703021936 /nfs/dbraw/zinc/02/19/36/703021936.db2.gz XYIPWYPSEFWSPE-SMDDNHRTSA-N 1 2 316.361 1.814 20 30 DDEDLO COCc1noc([C@H](C)[N@@H+](C)C[C@@H](O)c2cccc(C#N)c2)n1 ZINC000844372389 703021938 /nfs/dbraw/zinc/02/19/38/703021938.db2.gz XYIPWYPSEFWSPE-SMDDNHRTSA-N 1 2 316.361 1.814 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(N(C)C(=O)OCC)CC2)nn1 ZINC000846795688 703332705 /nfs/dbraw/zinc/33/27/05/703332705.db2.gz WWCSUACBEXCOFU-UHFFFAOYSA-N 1 2 321.425 1.907 20 30 DDEDLO C=CCCn1cc(C[N@H+](C)C[C@H](O)c2cccc(C#N)c2)nn1 ZINC000847010742 703365221 /nfs/dbraw/zinc/36/52/21/703365221.db2.gz VMOIZRQQPHQXOH-KRWDZBQOSA-N 1 2 311.389 1.891 20 30 DDEDLO C=CCCn1cc(C[N@@H+](C)C[C@H](O)c2cccc(C#N)c2)nn1 ZINC000847010742 703365222 /nfs/dbraw/zinc/36/52/22/703365222.db2.gz VMOIZRQQPHQXOH-KRWDZBQOSA-N 1 2 311.389 1.891 20 30 DDEDLO C#CCNC(=O)CC[NH2+][C@H](C(=O)OCC)C1CCCCCC1 ZINC000847078226 703374973 /nfs/dbraw/zinc/37/49/73/703374973.db2.gz GZQGQUSPCXWRAP-INIZCTEOSA-N 1 2 308.422 1.618 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CN2C(=O)NC(C3CC3)(C3CC3)C2=O)C1 ZINC000848492334 703557216 /nfs/dbraw/zinc/55/72/16/703557216.db2.gz ATAQMZXALKHKIL-GFCCVEGCSA-N 1 2 301.390 1.400 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CN2C(=O)NC(C3CC3)(C3CC3)C2=O)C1 ZINC000848492334 703557218 /nfs/dbraw/zinc/55/72/18/703557218.db2.gz ATAQMZXALKHKIL-GFCCVEGCSA-N 1 2 301.390 1.400 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+]([C@@H](C)C(=O)N2CCC(C)CC2)CC1 ZINC000831687660 706753763 /nfs/dbraw/zinc/75/37/63/706753763.db2.gz VDKHBPBAHWXADB-AWEZNQCLSA-N 1 2 321.421 1.412 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)Nc2cccc(C)c2)CC1 ZINC000851835553 703867158 /nfs/dbraw/zinc/86/71/58/703867158.db2.gz ABMSARZQPOAAQL-UHFFFAOYSA-N 1 2 301.390 1.794 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCC2=C(CCC(=O)N2)C1 ZINC000852298527 704001571 /nfs/dbraw/zinc/00/15/71/704001571.db2.gz BDGVMSKZUCCAFX-GFCCVEGCSA-N 1 2 324.384 1.755 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCC2=C(CCC(=O)N2)C1 ZINC000852298527 704001576 /nfs/dbraw/zinc/00/15/76/704001576.db2.gz BDGVMSKZUCCAFX-GFCCVEGCSA-N 1 2 324.384 1.755 20 30 DDEDLO CSC[C@H](O)C[NH2+][C@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000819230268 704091242 /nfs/dbraw/zinc/09/12/42/704091242.db2.gz UDXJTDNFCQDIQH-TUAOUCFPSA-N 1 2 315.439 1.059 20 30 DDEDLO C=CCNC(=S)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000853047660 704188278 /nfs/dbraw/zinc/18/82/78/704188278.db2.gz FODLYKMAQQKMKO-INIZCTEOSA-N 1 2 318.490 1.453 20 30 DDEDLO C=CCNC(=S)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000853047660 704188279 /nfs/dbraw/zinc/18/82/79/704188279.db2.gz FODLYKMAQQKMKO-INIZCTEOSA-N 1 2 318.490 1.453 20 30 DDEDLO COC(=O)Cc1cc[nH+]c(NCCNc2ncccc2C#N)c1 ZINC000853230153 704221603 /nfs/dbraw/zinc/22/16/03/704221603.db2.gz AWDFFFZTTFFCGX-UHFFFAOYSA-N 1 2 311.345 1.588 20 30 DDEDLO C#Cc1cccnc1NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000853228210 704221616 /nfs/dbraw/zinc/22/16/16/704221616.db2.gz GVRVGWDKRBFIPY-INIZCTEOSA-N 1 2 303.431 1.683 20 30 DDEDLO C=CCC1(C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CCOCC1 ZINC000821152015 704376765 /nfs/dbraw/zinc/37/67/65/704376765.db2.gz RDRXQXBEHXFLJV-GASCZTMLSA-N 1 2 309.406 1.766 20 30 DDEDLO C=CCC1(C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CCOCC1 ZINC000821152015 704376767 /nfs/dbraw/zinc/37/67/67/704376767.db2.gz RDRXQXBEHXFLJV-GASCZTMLSA-N 1 2 309.406 1.766 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2C(=O)NC2=NO[C@H](C)C2)cc1 ZINC000821395306 704403603 /nfs/dbraw/zinc/40/36/03/704403603.db2.gz TZAUNURJXWPYPD-RISCZKNCSA-N 1 2 303.362 1.508 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2C(=O)NC2=NO[C@H](C)C2)cc1 ZINC000821395306 704403604 /nfs/dbraw/zinc/40/36/04/704403604.db2.gz TZAUNURJXWPYPD-RISCZKNCSA-N 1 2 303.362 1.508 20 30 DDEDLO C#CCN1C(=O)C[C@H]([N@@H+]2CC[C@@H](c3c(F)cccc3F)C2)C1=O ZINC000821771631 704441112 /nfs/dbraw/zinc/44/11/12/704441112.db2.gz IHDXPSUZZWQSAO-RISCZKNCSA-N 1 2 318.323 1.515 20 30 DDEDLO C#CCN1C(=O)C[C@H]([N@H+]2CC[C@@H](c3c(F)cccc3F)C2)C1=O ZINC000821771631 704441113 /nfs/dbraw/zinc/44/11/13/704441113.db2.gz IHDXPSUZZWQSAO-RISCZKNCSA-N 1 2 318.323 1.515 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)cc1C#N ZINC000855407156 704482841 /nfs/dbraw/zinc/48/28/41/704482841.db2.gz JGNGLVGUYQRWKC-KBPBESRZSA-N 1 2 301.390 1.706 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)cc1C#N ZINC000855407156 704482842 /nfs/dbraw/zinc/48/28/42/704482842.db2.gz JGNGLVGUYQRWKC-KBPBESRZSA-N 1 2 301.390 1.706 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000855498208 704488389 /nfs/dbraw/zinc/48/83/89/704488389.db2.gz QRVWXGLXJCYATL-CYBMUJFWSA-N 1 2 305.378 1.638 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000856327914 704523224 /nfs/dbraw/zinc/52/32/24/704523224.db2.gz GLLOGNPQHWDNAK-GOSISDBHSA-N 1 2 313.445 1.722 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000856327914 704523225 /nfs/dbraw/zinc/52/32/25/704523225.db2.gz GLLOGNPQHWDNAK-GOSISDBHSA-N 1 2 313.445 1.722 20 30 DDEDLO C=CC(C)(C)CCCNC(=O)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000875337281 705356487 /nfs/dbraw/zinc/35/64/87/705356487.db2.gz NEAMXPZFLVPTGI-ZDUSSCGKSA-N 1 2 318.421 1.423 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)o2)C1 ZINC000827077411 705923076 /nfs/dbraw/zinc/92/30/76/705923076.db2.gz VJVVHIFYPIXWJP-KGLIPLIRSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)o2)C1 ZINC000827077411 705923080 /nfs/dbraw/zinc/92/30/80/705923080.db2.gz VJVVHIFYPIXWJP-KGLIPLIRSA-N 1 2 305.378 1.054 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000827087753 705926202 /nfs/dbraw/zinc/92/62/02/705926202.db2.gz AMPCIDDCKIUSRE-WMLDXEAASA-N 1 2 310.438 1.321 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000827087753 705926204 /nfs/dbraw/zinc/92/62/04/705926204.db2.gz AMPCIDDCKIUSRE-WMLDXEAASA-N 1 2 310.438 1.321 20 30 DDEDLO C#Cc1cnc(NC[C@H]2C[N@H+](Cc3ccccc3)CCO2)nc1 ZINC000827294876 705969891 /nfs/dbraw/zinc/96/98/91/705969891.db2.gz UNICCUTUGJNVCK-KRWDZBQOSA-N 1 2 308.385 1.771 20 30 DDEDLO C#Cc1cnc(NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)nc1 ZINC000827294876 705969896 /nfs/dbraw/zinc/96/98/96/705969896.db2.gz UNICCUTUGJNVCK-KRWDZBQOSA-N 1 2 308.385 1.771 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCCN(C(=O)c2ccccc2)CC1 ZINC000877930720 706243794 /nfs/dbraw/zinc/24/37/94/706243794.db2.gz QTEKDWAHVJECSZ-MRXNPFEDSA-N 1 2 316.401 1.952 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCCN(C(=O)c2ccccc2)CC1 ZINC000877930720 706243796 /nfs/dbraw/zinc/24/37/96/706243796.db2.gz QTEKDWAHVJECSZ-MRXNPFEDSA-N 1 2 316.401 1.952 20 30 DDEDLO N#C[C@]1(C(=O)NCc2ccc(-n3cc[nH+]c3)cc2)CCCOC1 ZINC000865028377 706328854 /nfs/dbraw/zinc/32/88/54/706328854.db2.gz IABOEJJIOCOLFX-QGZVFWFLSA-N 1 2 310.357 1.809 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)[C@H]1CCc3c[nH+]cn3C1)C2 ZINC000829485836 706343146 /nfs/dbraw/zinc/34/31/46/706343146.db2.gz KTIHPEUYRUCFLN-HOCLYGCPSA-N 1 2 306.369 1.601 20 30 DDEDLO N#CCCNC(=O)C[N@H+]1CCCN(c2ccccc2Cl)CC1 ZINC000829798517 706398156 /nfs/dbraw/zinc/39/81/56/706398156.db2.gz YXSRVGXPGDEBQU-UHFFFAOYSA-N 1 2 320.824 1.882 20 30 DDEDLO N#CCCNC(=O)C[N@@H+]1CCCN(c2ccccc2Cl)CC1 ZINC000829798517 706398160 /nfs/dbraw/zinc/39/81/60/706398160.db2.gz YXSRVGXPGDEBQU-UHFFFAOYSA-N 1 2 320.824 1.882 20 30 DDEDLO Cc1ccc(NC2CC[NH+](CCS(C)(=O)=O)CC2)c(C#N)n1 ZINC000881962002 707445652 /nfs/dbraw/zinc/44/56/52/707445652.db2.gz MJJNYLGKFRSVJX-UHFFFAOYSA-N 1 2 322.434 1.183 20 30 DDEDLO COc1c(C)c[nH+]c(CNS(=O)(=O)CC2(CC#N)CC2)c1C ZINC000830307903 706493519 /nfs/dbraw/zinc/49/35/19/706493519.db2.gz WTWCNJMMHBCZEE-UHFFFAOYSA-N 1 2 323.418 1.820 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)NC[C@H]2c3ccccc3C[N@H+]2C)n1 ZINC000878875521 706522770 /nfs/dbraw/zinc/52/27/70/706522770.db2.gz IPBIURPAICAIGY-AWEZNQCLSA-N 1 2 310.361 1.600 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)NC[C@H]2c3ccccc3C[N@@H+]2C)n1 ZINC000878875521 706522772 /nfs/dbraw/zinc/52/27/72/706522772.db2.gz IPBIURPAICAIGY-AWEZNQCLSA-N 1 2 310.361 1.600 20 30 DDEDLO Cn1ccn2c(C[NH2+]C(C)(C)c3cccc(C#N)c3)nnc2c1=O ZINC000878887303 706525478 /nfs/dbraw/zinc/52/54/78/706525478.db2.gz DPJMRAKXAUBDGN-UHFFFAOYSA-N 1 2 322.372 1.325 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2cc(F)cc(C#N)c2)[C@@H](C)C[N@@H+]1C ZINC000867043221 706880689 /nfs/dbraw/zinc/88/06/89/706880689.db2.gz XQDKCNSXFXRBGJ-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2cc(F)cc(C#N)c2)[C@@H](C)C[N@H+]1C ZINC000867043221 706880692 /nfs/dbraw/zinc/88/06/92/706880692.db2.gz XQDKCNSXFXRBGJ-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@H+]1CC[C@H](CF)C1 ZINC000880296372 706931485 /nfs/dbraw/zinc/93/14/85/706931485.db2.gz AAFCPGYNLAYBOV-GFCCVEGCSA-N 1 2 300.337 1.711 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@@H+]1CC[C@H](CF)C1 ZINC000880296372 706931486 /nfs/dbraw/zinc/93/14/86/706931486.db2.gz AAFCPGYNLAYBOV-GFCCVEGCSA-N 1 2 300.337 1.711 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](C)c1nc(Br)cs1 ZINC000834737432 707108504 /nfs/dbraw/zinc/10/85/04/707108504.db2.gz RMNCDVAJHFCDLI-SSDOTTSWSA-N 1 2 304.213 1.858 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCN(C)c2ncccc2C1 ZINC000872317975 707380487 /nfs/dbraw/zinc/38/04/87/707380487.db2.gz DSXUDLQVFIIXGR-CQSZACIVSA-N 1 2 315.421 1.342 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCN(C)c2ncccc2C1 ZINC000872317975 707380491 /nfs/dbraw/zinc/38/04/91/707380491.db2.gz DSXUDLQVFIIXGR-CQSZACIVSA-N 1 2 315.421 1.342 20 30 DDEDLO N#CCc1ccccc1CC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000836866873 707535585 /nfs/dbraw/zinc/53/55/85/707535585.db2.gz SDTHYGDHLLLPBL-CALCHBBNSA-N 1 2 313.401 1.275 20 30 DDEDLO N#CCc1ccccc1CC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000836866873 707535587 /nfs/dbraw/zinc/53/55/87/707535587.db2.gz SDTHYGDHLLLPBL-CALCHBBNSA-N 1 2 313.401 1.275 20 30 DDEDLO C#C[C@](C)(CC)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000882227599 707548408 /nfs/dbraw/zinc/54/84/08/707548408.db2.gz NLFBOVZYJVFHBK-MRXNPFEDSA-N 1 2 306.431 1.755 20 30 DDEDLO C#C[C@](C)(CC)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000882227599 707548410 /nfs/dbraw/zinc/54/84/10/707548410.db2.gz NLFBOVZYJVFHBK-MRXNPFEDSA-N 1 2 306.431 1.755 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000883214057 707955459 /nfs/dbraw/zinc/95/54/59/707955459.db2.gz WRARPAIFJNBUSD-HNNXBMFYSA-N 1 2 314.389 1.999 20 30 DDEDLO COC(=O)c1cc(C[NH2+]Cc2nc(C#N)cs2)n(C)c1C ZINC000883344390 707980061 /nfs/dbraw/zinc/98/00/61/707980061.db2.gz YYEGKSGEKNIYOF-UHFFFAOYSA-N 1 2 304.375 1.738 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1ccccc1OC ZINC000884052361 708118632 /nfs/dbraw/zinc/11/86/32/708118632.db2.gz MMINMUNXZXWVLD-ZDUSSCGKSA-N 1 2 306.362 1.100 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1cccc(Cl)c1 ZINC000884057081 708120814 /nfs/dbraw/zinc/12/08/14/708120814.db2.gz UORXXHSLFLVLSY-ZDUSSCGKSA-N 1 2 310.781 1.445 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCCc2cc(OC)ccc21 ZINC000884063197 708123159 /nfs/dbraw/zinc/12/31/59/708123159.db2.gz PRYNTEYTIXZSIT-AWEZNQCLSA-N 1 2 318.373 1.421 20 30 DDEDLO C=CCC1(O)CCN(C(=O)NCCCCn2cc[nH+]c2)CC1 ZINC000884086822 708132961 /nfs/dbraw/zinc/13/29/61/708132961.db2.gz JAGUWHHFSXCOAY-UHFFFAOYSA-N 1 2 306.410 1.776 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1ccc(C)cc1C ZINC000884097370 708137222 /nfs/dbraw/zinc/13/72/22/708137222.db2.gz CREOYKJXARMFFL-HNNXBMFYSA-N 1 2 304.390 1.409 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCO[C@@H](c2cccs2)C1 ZINC000884109375 708143496 /nfs/dbraw/zinc/14/34/96/708143496.db2.gz CONUVXHFTPOQMW-NWDGAFQWSA-N 1 2 324.402 1.095 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc([C@@H](C)OC)c1 ZINC000884119723 708147321 /nfs/dbraw/zinc/14/73/21/708147321.db2.gz UOGPOHFILHQUTM-RISCZKNCSA-N 1 2 306.362 1.779 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)C[C@H](C)c1ccccc1 ZINC000884122561 708149164 /nfs/dbraw/zinc/14/91/64/708149164.db2.gz UXDXNGLCELRBEM-ZFWWWQNUSA-N 1 2 304.390 1.695 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1c(C)cccc1C ZINC000884140026 708157327 /nfs/dbraw/zinc/15/73/27/708157327.db2.gz IPBGESIHTMRUDZ-HNNXBMFYSA-N 1 2 304.390 1.409 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1C[C@H]1Cc1ccccc1 ZINC000884155278 708163640 /nfs/dbraw/zinc/16/36/40/708163640.db2.gz YWPXLALNKGJKLQ-ILXRZTDVSA-N 1 2 302.374 1.180 20 30 DDEDLO Cc1c(C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)cnn1CCC#N ZINC000896885878 708172679 /nfs/dbraw/zinc/17/26/79/708172679.db2.gz XCVXEJPZPKAOHP-UHFFFAOYSA-N 1 2 312.377 1.848 20 30 DDEDLO Cc1c(C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)cnn1CCC#N ZINC000896885878 708172681 /nfs/dbraw/zinc/17/26/81/708172681.db2.gz XCVXEJPZPKAOHP-UHFFFAOYSA-N 1 2 312.377 1.848 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)N(C)c1ccccc1 ZINC000884189145 708179443 /nfs/dbraw/zinc/17/94/43/708179443.db2.gz XLYFBBDFTXVFRZ-ZFWWWQNUSA-N 1 2 319.405 1.074 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cnn(C2CCCC2)c1C ZINC000884322406 708244183 /nfs/dbraw/zinc/24/41/83/708244183.db2.gz MDFZJYODSXYCGM-ZDUSSCGKSA-N 1 2 320.393 1.692 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC[C@@H]1CC1(Cl)Cl ZINC000884425214 708291826 /nfs/dbraw/zinc/29/18/26/708291826.db2.gz AZDZHBKPDVJROK-BDAKNGLRSA-N 1 2 309.193 1.133 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1N[C@H]1CC[C@@H](O)[C@@H](O)CC1 ZINC000884625485 708343612 /nfs/dbraw/zinc/34/36/12/708343612.db2.gz KUJUPNPLRVEDRD-QDMKHBRRSA-N 1 2 304.390 1.950 20 30 DDEDLO N#CCOc1ccccc1C(=O)N[C@@H]1CCC[N@@H+](CCF)C1 ZINC000898489443 708655004 /nfs/dbraw/zinc/65/50/04/708655004.db2.gz CFXHEDCUUKBOFQ-CYBMUJFWSA-N 1 2 305.353 1.753 20 30 DDEDLO N#CCOc1ccccc1C(=O)N[C@@H]1CCC[N@H+](CCF)C1 ZINC000898489443 708655005 /nfs/dbraw/zinc/65/50/05/708655005.db2.gz CFXHEDCUUKBOFQ-CYBMUJFWSA-N 1 2 305.353 1.753 20 30 DDEDLO C[C@]1(C#N)CN(C(=O)Cc2c[nH+]cn2Cc2ccccc2)CCO1 ZINC000887685266 709102182 /nfs/dbraw/zinc/10/21/82/709102182.db2.gz ZWZOODCZDMFJTA-SFHVURJKSA-N 1 2 324.384 1.615 20 30 DDEDLO C=CC[C@@H]1CCN1C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000890558863 709914317 /nfs/dbraw/zinc/91/43/17/709914317.db2.gz IDYAMXHYYIILRE-OAHLLOKOSA-N 1 2 302.422 1.809 20 30 DDEDLO N#Cc1ccsc1NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000901298555 710001104 /nfs/dbraw/zinc/00/11/04/710001104.db2.gz GEFSCLVKHSRBPN-OLZOCXBDSA-N 1 2 321.402 1.438 20 30 DDEDLO Cn1cncc1/C=C1/CSC/C(=C/c2c[nH+]cn2C)C1=O ZINC000901438015 710039927 /nfs/dbraw/zinc/03/99/27/710039927.db2.gz GEJXPSKVUXFJME-LPPGRIHKSA-N 1 2 300.387 1.937 20 30 DDEDLO Cc1cc(C#N)ccc1N1CC[NH+](CC(=O)NC(C)C)CC1 ZINC000891553381 710234033 /nfs/dbraw/zinc/23/40/33/710234033.db2.gz LJOZPYSAIGBSDX-UHFFFAOYSA-N 1 2 300.406 1.513 20 30 DDEDLO N#Cc1ccc(C2(C(=O)N3CC[NH2+][C@H](c4cn[nH]c4)C3)CC2)cc1 ZINC000913450782 713221225 /nfs/dbraw/zinc/22/12/25/713221225.db2.gz FXWNMXCTCIZFRA-INIZCTEOSA-N 1 2 321.384 1.486 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)c3ccccc3CC#N)CC[NH2+]2)cn1 ZINC000913473606 713231612 /nfs/dbraw/zinc/23/16/12/713231612.db2.gz BNVSDANQPDXQFW-INIZCTEOSA-N 1 2 309.373 1.273 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)COc1ccc(C#N)cc1 ZINC000928636885 713244478 /nfs/dbraw/zinc/24/44/78/713244478.db2.gz XKIYKRDKPPETES-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000928645213 713248334 /nfs/dbraw/zinc/24/83/34/713248334.db2.gz DDBMLPFXUBSVII-LBPRGKRZSA-N 1 2 323.343 1.677 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(-c2cccc(C)c2F)no1 ZINC000904113867 711385584 /nfs/dbraw/zinc/38/55/84/711385584.db2.gz FZMKBDFVBMGFQJ-NSHDSACASA-N 1 2 305.309 1.783 20 30 DDEDLO C=CCCC[C@@H]1NC(=O)N(CC(C)(C)[NH+]2CCOCC2)C1=O ZINC000904129765 711388181 /nfs/dbraw/zinc/38/81/81/711388181.db2.gz KOWLOEHNGZJKRV-ZDUSSCGKSA-N 1 2 309.410 1.374 20 30 DDEDLO C[N@@H+]1CCN(C(=O)c2ccccc2SCC#N)C[C@H]1CO ZINC000913556531 713258726 /nfs/dbraw/zinc/25/87/26/713258726.db2.gz DFGZOSBOYWJAIL-LBPRGKRZSA-N 1 2 305.403 1.051 20 30 DDEDLO C[N@H+]1CCN(C(=O)c2ccccc2SCC#N)C[C@H]1CO ZINC000913556531 713258728 /nfs/dbraw/zinc/25/87/28/713258728.db2.gz DFGZOSBOYWJAIL-LBPRGKRZSA-N 1 2 305.403 1.051 20 30 DDEDLO COC1CC[NH+](Cc2cn(Cc3cncc(C#N)c3)nn2)CC1 ZINC000905835616 712160575 /nfs/dbraw/zinc/16/05/75/712160575.db2.gz AORWSPBPONVUDW-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C[C@@H](CC(=O)NCc1ccc(C(=O)NO)cc1)n1cc[nH+]c1 ZINC000907813577 712630110 /nfs/dbraw/zinc/63/01/10/712630110.db2.gz YTMZBSOIZGBDEG-NSHDSACASA-N 1 2 302.334 1.270 20 30 DDEDLO C#CCC1(NC(=O)N[C@@H](C)C[NH+]2CCOCC2)CCCCC1 ZINC000914084623 713340875 /nfs/dbraw/zinc/34/08/75/713340875.db2.gz LDDYWSSJGQBNJJ-HNNXBMFYSA-N 1 2 307.438 1.733 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)CN1CC[NH+](CC)CC1 ZINC000908653826 712830014 /nfs/dbraw/zinc/83/00/14/712830014.db2.gz WOFPIYZXOLTOJZ-HNNXBMFYSA-N 1 2 307.438 1.150 20 30 DDEDLO C(=NN1CCOCC1)c1ccc(C=[NH+]N2CCOCC2)cc1 ZINC000916290004 713449833 /nfs/dbraw/zinc/44/98/33/713449833.db2.gz SROCISPOLULELM-UHFFFAOYSA-N 1 2 302.378 1.019 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000930040584 713741628 /nfs/dbraw/zinc/74/16/28/713741628.db2.gz UXAIDJSPSMSQIF-GFCCVEGCSA-N 1 2 318.377 1.409 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000930040584 713741630 /nfs/dbraw/zinc/74/16/30/713741630.db2.gz UXAIDJSPSMSQIF-GFCCVEGCSA-N 1 2 318.377 1.409 20 30 DDEDLO C/C(=C/C(=O)Nc1cccc(CC#N)n1)C[NH+]1CCOCC1 ZINC000922156515 713976989 /nfs/dbraw/zinc/97/69/89/713976989.db2.gz MEZJZZYYUOALQK-QBFSEMIESA-N 1 2 300.362 1.365 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CC[C@H](c2n[nH]cc2C(=O)OCC)C1 ZINC000931011678 713981963 /nfs/dbraw/zinc/98/19/63/713981963.db2.gz MKDRIFNRQLOMMF-AAEUAGOBSA-N 1 2 321.377 1.493 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CC[C@H](c2n[nH]cc2C(=O)OCC)C1 ZINC000931011678 713981965 /nfs/dbraw/zinc/98/19/65/713981965.db2.gz MKDRIFNRQLOMMF-AAEUAGOBSA-N 1 2 321.377 1.493 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[NH+]1CCC(c2ncco2)CC1 ZINC000931451033 714101275 /nfs/dbraw/zinc/10/12/75/714101275.db2.gz NFRYELRPBIDBHC-MRXNPFEDSA-N 1 2 304.394 1.908 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000932052713 714246968 /nfs/dbraw/zinc/24/69/68/714246968.db2.gz QULLVOIMOCVKNQ-OLZOCXBDSA-N 1 2 317.389 1.406 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@@H+]2CCC[C@@H](C#N)C2)cc1 ZINC000932155149 714273053 /nfs/dbraw/zinc/27/30/53/714273053.db2.gz XKZWMTLBEVPPAY-IRXDYDNUSA-N 1 2 318.417 1.851 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@H+]2CCC[C@@H](C#N)C2)cc1 ZINC000932155149 714273056 /nfs/dbraw/zinc/27/30/56/714273056.db2.gz XKZWMTLBEVPPAY-IRXDYDNUSA-N 1 2 318.417 1.851 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N[C@@H](CC#N)C(F)(F)F)[NH+]1CCOCC1 ZINC000932919051 714437590 /nfs/dbraw/zinc/43/75/90/714437590.db2.gz MXSVEMRMNAEGHB-AXFHLTTASA-N 1 2 322.331 1.239 20 30 DDEDLO N#C[C@@]12C[N@@H+](C[C@@H]3CC4CCC3CC4)C[C@H]1CS(=O)(=O)C2 ZINC000933113844 714516905 /nfs/dbraw/zinc/51/69/05/714516905.db2.gz ATQUVPOGEQQYPE-XJCPWDRGSA-N 1 2 308.447 1.683 20 30 DDEDLO N#C[C@@]12C[N@H+](C[C@@H]3CC4CCC3CC4)C[C@H]1CS(=O)(=O)C2 ZINC000933113844 714516907 /nfs/dbraw/zinc/51/69/07/714516907.db2.gz ATQUVPOGEQQYPE-XJCPWDRGSA-N 1 2 308.447 1.683 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](CCCN3CCOC3=O)CCO2)cc1 ZINC000933623905 714633344 /nfs/dbraw/zinc/63/33/44/714633344.db2.gz FTXDWUYOHMWZMQ-INIZCTEOSA-N 1 2 315.373 1.774 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](CCCN3CCOC3=O)CCO2)cc1 ZINC000933623905 714633348 /nfs/dbraw/zinc/63/33/48/714633348.db2.gz FTXDWUYOHMWZMQ-INIZCTEOSA-N 1 2 315.373 1.774 20 30 DDEDLO Cc1cccc(N(CCC#N)C(=O)C[N@@H+]2CC[C@@H]2CO)c1C ZINC000934025948 714725087 /nfs/dbraw/zinc/72/50/87/714725087.db2.gz IJEZEJQMVQWSFP-OAHLLOKOSA-N 1 2 301.390 1.617 20 30 DDEDLO Cc1cccc(N(CCC#N)C(=O)C[N@H+]2CC[C@@H]2CO)c1C ZINC000934025948 714725089 /nfs/dbraw/zinc/72/50/89/714725089.db2.gz IJEZEJQMVQWSFP-OAHLLOKOSA-N 1 2 301.390 1.617 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)N(C)c1ccccc1C(=O)OC ZINC000934510654 714839229 /nfs/dbraw/zinc/83/92/29/714839229.db2.gz ZMNXCPGFYCCLTJ-CQSZACIVSA-N 1 2 314.385 1.924 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)N(C)c1ccccc1C(=O)OC ZINC000934510654 714839231 /nfs/dbraw/zinc/83/92/31/714839231.db2.gz ZMNXCPGFYCCLTJ-CQSZACIVSA-N 1 2 314.385 1.924 20 30 DDEDLO C#Cc1ccc(NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)cc1 ZINC000934931125 714932274 /nfs/dbraw/zinc/93/22/74/714932274.db2.gz HGGXBJARLQRQED-QGZVFWFLSA-N 1 2 313.401 1.996 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000935090226 714973257 /nfs/dbraw/zinc/97/32/57/714973257.db2.gz OAMRWLBRLOCAME-CYBMUJFWSA-N 1 2 310.357 1.930 20 30 DDEDLO C=C1CCC(CNC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CC1 ZINC000926547301 715085077 /nfs/dbraw/zinc/08/50/77/715085077.db2.gz BTMVMYGCIPNBNM-INIZCTEOSA-N 1 2 307.438 1.849 20 30 DDEDLO C=C1CCC(C(=O)N2CC[NH+]([C@H]3CCN(C(C)=O)C3)CC2)CC1 ZINC000956606266 715486377 /nfs/dbraw/zinc/48/63/77/715486377.db2.gz QKIVUQADKMLSRU-KRWDZBQOSA-N 1 2 319.449 1.498 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccnc2OCC)C1 ZINC000957345685 715850431 /nfs/dbraw/zinc/85/04/31/715850431.db2.gz UDSDRJDQHYYMFQ-UHFFFAOYSA-N 1 2 301.390 1.650 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2ccc(OCC)cc2)CC1 ZINC000957555742 715952101 /nfs/dbraw/zinc/95/21/01/715952101.db2.gz PZOWMPFZTFRCEG-UHFFFAOYSA-N 1 2 300.402 1.795 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@H]2Oc3ccccc3O[C@@H]2C)CC1 ZINC000957621421 715971771 /nfs/dbraw/zinc/97/17/71/715971771.db2.gz GHVQSHVPXCHUAF-PBHICJAKSA-N 1 2 316.401 1.935 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000939485279 716281530 /nfs/dbraw/zinc/28/15/30/716281530.db2.gz HUJRFNKUUSRIPD-YOEHRIQHSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2csc(C)n2)C1 ZINC000958341260 716483288 /nfs/dbraw/zinc/48/32/88/716483288.db2.gz VMOTVXIOONHNGQ-CMPLNLGQSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2csc(C)n2)C1 ZINC000958341260 716483293 /nfs/dbraw/zinc/48/32/93/716483293.db2.gz VMOTVXIOONHNGQ-CMPLNLGQSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2occc2CC)C1 ZINC000958374342 716509779 /nfs/dbraw/zinc/50/97/79/716509779.db2.gz MFNFFLVHELIPNJ-STQMWFEESA-N 1 2 312.797 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2occc2CC)C1 ZINC000958374342 716509782 /nfs/dbraw/zinc/50/97/82/716509782.db2.gz MFNFFLVHELIPNJ-STQMWFEESA-N 1 2 312.797 1.617 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1cnnn1C ZINC000960826140 716705364 /nfs/dbraw/zinc/70/53/64/716705364.db2.gz JYNZVNXKZITNAN-YOEHRIQHSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cnnn1C ZINC000960826140 716705369 /nfs/dbraw/zinc/70/53/69/716705369.db2.gz JYNZVNXKZITNAN-YOEHRIQHSA-N 1 2 319.453 1.840 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C(C)(C)C(C)C)CC2)C1 ZINC000941422883 717174311 /nfs/dbraw/zinc/17/43/11/717174311.db2.gz RGLLQBYEWUSPMJ-UHFFFAOYSA-N 1 2 305.466 1.520 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3CC[C@H](C)C3)CC2)C1 ZINC000941524702 717193727 /nfs/dbraw/zinc/19/37/27/717193727.db2.gz UGWMJYBDKDTEAZ-JKSUJKDBSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CC3CC(C)(C)C3)CC2)C1 ZINC000941532504 717196407 /nfs/dbraw/zinc/19/64/07/717196407.db2.gz ZJAWXBHVJRMGRH-UHFFFAOYSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3occc3Cl)CC2)C1 ZINC000941554163 717207905 /nfs/dbraw/zinc/20/79/05/717207905.db2.gz YTQJHFDFRNOMKI-UHFFFAOYSA-N 1 2 307.781 1.008 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H](C)C(C)(F)F)CC2)C1 ZINC000941568284 717213584 /nfs/dbraw/zinc/21/35/84/717213584.db2.gz FRBQDRAWXWZPPV-CYBMUJFWSA-N 1 2 313.392 1.129 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@@H]2C1 ZINC000961852521 717226202 /nfs/dbraw/zinc/22/62/02/717226202.db2.gz UUIHWUARDWKKLR-OKILXGFUSA-N 1 2 316.405 1.012 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc(C)c(C)s3)CC2)C1 ZINC000941660057 717247036 /nfs/dbraw/zinc/24/70/36/717247036.db2.gz DXUPPWWTXBBGDV-UHFFFAOYSA-N 1 2 317.458 1.440 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ncccn2)CC[C@@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC000941930762 717392746 /nfs/dbraw/zinc/39/27/46/717392746.db2.gz VDTQPKMDKUHOSB-JSGCOSHPSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ncccn2)CC[C@@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC000941930762 717392749 /nfs/dbraw/zinc/39/27/49/717392749.db2.gz VDTQPKMDKUHOSB-JSGCOSHPSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1cn[nH]n1 ZINC000942215445 717554222 /nfs/dbraw/zinc/55/42/22/717554222.db2.gz DKASUTBHMKGBAG-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1cn[nH]n1 ZINC000942215445 717554225 /nfs/dbraw/zinc/55/42/25/717554225.db2.gz DKASUTBHMKGBAG-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1cnn[nH]1 ZINC000942215445 717554228 /nfs/dbraw/zinc/55/42/28/717554228.db2.gz DKASUTBHMKGBAG-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1cnn[nH]1 ZINC000942215445 717554232 /nfs/dbraw/zinc/55/42/32/717554232.db2.gz DKASUTBHMKGBAG-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC000963341539 717750768 /nfs/dbraw/zinc/75/07/68/717750768.db2.gz YISLQLXSJDLQML-JKSUJKDBSA-N 1 2 315.421 1.500 20 30 DDEDLO C[C@@H]1CN(CC#N)CC[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC000944121978 718257997 /nfs/dbraw/zinc/25/79/97/718257997.db2.gz NSLCXXXUOJPVCJ-UKRRQHHQSA-N 1 2 324.388 1.231 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)C[C@@H]2C)cn1 ZINC000944214999 718273298 /nfs/dbraw/zinc/27/32/98/718273298.db2.gz PKJRMJFXCWFQHJ-GUYCJALGSA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)C[C@@H]2C)cn1 ZINC000944214999 718273300 /nfs/dbraw/zinc/27/33/00/718273300.db2.gz PKJRMJFXCWFQHJ-GUYCJALGSA-N 1 2 324.384 1.691 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2ncc(C(C)(C)C)o2)C1 ZINC000967730148 718993640 /nfs/dbraw/zinc/99/36/40/718993640.db2.gz WJSPZKDSYFGVGH-NWDGAFQWSA-N 1 2 304.394 1.822 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CCN1CC#N ZINC000947922436 719307853 /nfs/dbraw/zinc/30/78/53/719307853.db2.gz XTXVSUZNGYXLSC-KBPBESRZSA-N 1 2 324.388 1.374 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2scnc2COC)C1 ZINC000968406086 719565057 /nfs/dbraw/zinc/56/50/57/719565057.db2.gz WZONVAUSCZAQQH-OLZOCXBDSA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2scnc2COC)C1 ZINC000968406086 719565061 /nfs/dbraw/zinc/56/50/61/719565061.db2.gz WZONVAUSCZAQQH-OLZOCXBDSA-N 1 2 321.446 1.753 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1nnc[nH]1 ZINC000968426368 719577617 /nfs/dbraw/zinc/57/76/17/719577617.db2.gz FTNNHYMIYFHDLR-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1nnc[nH]1 ZINC000968426368 719577620 /nfs/dbraw/zinc/57/76/20/719577620.db2.gz FTNNHYMIYFHDLR-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1ncn[nH]1 ZINC000968426368 719577621 /nfs/dbraw/zinc/57/76/21/719577621.db2.gz FTNNHYMIYFHDLR-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1ncn[nH]1 ZINC000968426368 719577622 /nfs/dbraw/zinc/57/76/22/719577622.db2.gz FTNNHYMIYFHDLR-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO N#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000968920018 719869205 /nfs/dbraw/zinc/86/92/05/719869205.db2.gz NPIOUYARYXMSIU-LSDHHAIUSA-N 1 2 315.421 1.330 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3oc(C)cc3C)CC2)C1 ZINC000949332824 720022715 /nfs/dbraw/zinc/02/27/15/720022715.db2.gz UKGWKPCWCDTQMY-UHFFFAOYSA-N 1 2 316.401 1.837 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3oc(C)cc3C)CC2)C1 ZINC000949332824 720022717 /nfs/dbraw/zinc/02/27/17/720022717.db2.gz UKGWKPCWCDTQMY-UHFFFAOYSA-N 1 2 316.401 1.837 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2csc(-c3ncc[nH]3)n2)CC1 ZINC000949380335 720050527 /nfs/dbraw/zinc/05/05/27/720050527.db2.gz FJKOQHPTMBZCEZ-UHFFFAOYSA-N 1 2 317.418 1.867 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)Cc3ccco3)CC2)C1 ZINC000949499205 720124766 /nfs/dbraw/zinc/12/47/66/720124766.db2.gz OIEVORMAWFTOLH-UHFFFAOYSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)Cc3ccco3)CC2)C1 ZINC000949499205 720124771 /nfs/dbraw/zinc/12/47/71/720124771.db2.gz OIEVORMAWFTOLH-UHFFFAOYSA-N 1 2 302.374 1.149 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC000969788019 720293180 /nfs/dbraw/zinc/29/31/80/720293180.db2.gz HUASBKCGDBFOMO-RYUDHWBXSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC000969820871 720308638 /nfs/dbraw/zinc/30/86/38/720308638.db2.gz MILSSORKCJFHAO-LLVKDONJSA-N 1 2 323.824 1.890 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([NH2+]Cc3nnc(C4CC4)o3)C2)C1 ZINC000969879397 720545468 /nfs/dbraw/zinc/54/54/68/720545468.db2.gz VUFWNBPBIVWLON-CYBMUJFWSA-N 1 2 316.405 1.994 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(C3CCC3)cnn2C)C1 ZINC000950374111 720602077 /nfs/dbraw/zinc/60/20/77/720602077.db2.gz RTXVPNPPQJTLME-UHFFFAOYSA-N 1 2 314.433 1.857 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnn(C)c2CC)C1 ZINC000970043598 720609215 /nfs/dbraw/zinc/60/92/15/720609215.db2.gz CAWPULCFHPLKRI-NSHDSACASA-N 1 2 310.829 1.785 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)N(CC(C)C)C2)C1 ZINC000950463034 720644173 /nfs/dbraw/zinc/64/41/73/720644173.db2.gz XYOXJPUCUCGGIB-AWEZNQCLSA-N 1 2 307.438 1.210 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc3[nH]c(C)nc3c2)C1 ZINC000950484736 720653311 /nfs/dbraw/zinc/65/33/11/720653311.db2.gz VXNSPZDZUJMTFW-UHFFFAOYSA-N 1 2 313.405 1.989 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H](C)C2C[NH+](CC(=C)Cl)C2)c1 ZINC000970399807 720746604 /nfs/dbraw/zinc/74/66/04/720746604.db2.gz VAZUYOWDTNHZSQ-LBPRGKRZSA-N 1 2 303.793 1.866 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc3c(n2)OCCO3)C1 ZINC000950720988 720750290 /nfs/dbraw/zinc/75/02/90/720750290.db2.gz MLSDMYWHZKZWIE-UHFFFAOYSA-N 1 2 317.389 1.575 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000950917419 720827293 /nfs/dbraw/zinc/82/72/93/720827293.db2.gz WLCCTJHARDOGEA-CQSZACIVSA-N 1 2 322.368 1.307 20 30 DDEDLO C=CCN1CC(N(CC)C(=O)[C@@H]2COCC[N@@H+]2CC2CCC2)C1 ZINC000950988570 720866388 /nfs/dbraw/zinc/86/63/88/720866388.db2.gz AECSZGBDKWTOJJ-KRWDZBQOSA-N 1 2 321.465 1.206 20 30 DDEDLO C=CCN1CC(N(CC)C(=O)[C@@H]2COCC[N@H+]2CC2CCC2)C1 ZINC000950988570 720866395 /nfs/dbraw/zinc/86/63/95/720866395.db2.gz AECSZGBDKWTOJJ-KRWDZBQOSA-N 1 2 321.465 1.206 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC000970724543 720910462 /nfs/dbraw/zinc/91/04/62/720910462.db2.gz FMDVWRUXPCMZNP-RYUDHWBXSA-N 1 2 322.840 1.704 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2conc2COC)C1 ZINC000970726050 720910807 /nfs/dbraw/zinc/91/08/07/720910807.db2.gz OGYVRZSONXBEQV-JTQLQIEISA-N 1 2 313.785 1.624 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2nnn(CC)c2CC)C1 ZINC000951733401 721158281 /nfs/dbraw/zinc/15/82/81/721158281.db2.gz RUWBQSUGBHHWLM-UHFFFAOYSA-N 1 2 303.410 1.030 20 30 DDEDLO C=CC[NH+]1CCN(c2ncnc(Cl)c2[C@@H](C)C(=O)OC)CC1 ZINC001165034664 721873405 /nfs/dbraw/zinc/87/34/05/721873405.db2.gz MFMPAGLEAHNCNH-LLVKDONJSA-N 1 2 324.812 1.715 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C4CC4)cn3)[C@H]2C1 ZINC001083262542 735338447 /nfs/dbraw/zinc/33/84/47/735338447.db2.gz ZRNHQAUTOJDEMS-DLBZAZTESA-N 1 2 311.385 1.117 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C4CC4)cn3)[C@H]2C1 ZINC001083262542 735338449 /nfs/dbraw/zinc/33/84/49/735338449.db2.gz ZRNHQAUTOJDEMS-DLBZAZTESA-N 1 2 311.385 1.117 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCc2cn[nH]c21 ZINC001027885257 738772813 /nfs/dbraw/zinc/77/28/13/738772813.db2.gz CDMJZWIXUMBJFH-LSDHHAIUSA-N 1 2 300.406 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cccc2n[nH]nc21 ZINC001027902529 738790228 /nfs/dbraw/zinc/79/02/28/738790228.db2.gz RPBMZEYUYQDEGH-NSHDSACASA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cccc2n[nH]nc21 ZINC001027902529 738790229 /nfs/dbraw/zinc/79/02/29/738790229.db2.gz RPBMZEYUYQDEGH-NSHDSACASA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc2n(n1)CCC2 ZINC001027923799 738814441 /nfs/dbraw/zinc/81/44/41/738814441.db2.gz HWRNQQGBRAHABE-ZDUSSCGKSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cc2n(n1)CCC2 ZINC001027923799 738814446 /nfs/dbraw/zinc/81/44/46/738814446.db2.gz HWRNQQGBRAHABE-ZDUSSCGKSA-N 1 2 308.813 1.776 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)[C@H]1CC1(F)F ZINC001038230068 734537681 /nfs/dbraw/zinc/53/76/81/734537681.db2.gz RTDLZEHIJIDERV-LSDHHAIUSA-N 1 2 304.340 1.884 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)[C@H]1CC1(F)F ZINC001038230068 734537684 /nfs/dbraw/zinc/53/76/84/734537684.db2.gz RTDLZEHIJIDERV-LSDHHAIUSA-N 1 2 304.340 1.884 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3nc(C4CC4)oc3C)[C@H]2C1 ZINC001083237009 734634862 /nfs/dbraw/zinc/63/48/62/734634862.db2.gz HWBDOKPNSNXCBV-UONOGXRCSA-N 1 2 317.389 1.572 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3nc(C4CC4)oc3C)[C@H]2C1 ZINC001083237009 734634863 /nfs/dbraw/zinc/63/48/63/734634863.db2.gz HWBDOKPNSNXCBV-UONOGXRCSA-N 1 2 317.389 1.572 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1(Nc2cc[nH+]c(C)n2)CCCC1 ZINC001104408417 734721313 /nfs/dbraw/zinc/72/13/13/734721313.db2.gz LUSXBUKESAOEIV-CYBMUJFWSA-N 1 2 316.405 1.664 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@@H]2CC2(F)F)s1 ZINC001038242722 734843095 /nfs/dbraw/zinc/84/30/95/734843095.db2.gz QEXJZACNPLKYBG-SKDRFNHKSA-N 1 2 311.357 1.965 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@@H]2CC2(F)F)s1 ZINC001038242722 734843099 /nfs/dbraw/zinc/84/30/99/734843099.db2.gz QEXJZACNPLKYBG-SKDRFNHKSA-N 1 2 311.357 1.965 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098224535 735109292 /nfs/dbraw/zinc/10/92/92/735109292.db2.gz WOGXKLDPBSINSX-LLVKDONJSA-N 1 2 306.414 1.519 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001023368204 735202994 /nfs/dbraw/zinc/20/29/94/735202994.db2.gz JGSBQSWYPQPTHQ-HUUCEWRRSA-N 1 2 314.433 1.681 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001023368204 735202995 /nfs/dbraw/zinc/20/29/95/735202995.db2.gz JGSBQSWYPQPTHQ-HUUCEWRRSA-N 1 2 314.433 1.681 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001023410470 735215173 /nfs/dbraw/zinc/21/51/73/735215173.db2.gz SPWZRJQUPDANMH-AWEZNQCLSA-N 1 2 323.400 1.547 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001023410470 735215177 /nfs/dbraw/zinc/21/51/77/735215177.db2.gz SPWZRJQUPDANMH-AWEZNQCLSA-N 1 2 323.400 1.547 20 30 DDEDLO CCCCc1noc(C[NH2+]CCNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001125775870 735580611 /nfs/dbraw/zinc/58/06/11/735580611.db2.gz CIKSQXJPRYUBPM-UHFFFAOYSA-N 1 2 316.365 1.132 20 30 DDEDLO C=CC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001024438474 735827736 /nfs/dbraw/zinc/82/77/36/735827736.db2.gz PABXZOVNLBUBEX-KGLIPLIRSA-N 1 2 302.422 1.986 20 30 DDEDLO C=CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001024438474 735827739 /nfs/dbraw/zinc/82/77/39/735827739.db2.gz PABXZOVNLBUBEX-KGLIPLIRSA-N 1 2 302.422 1.986 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4c(c3)CCCC4)[C@H]2C1 ZINC001083266934 735889046 /nfs/dbraw/zinc/88/90/46/735889046.db2.gz ZCRNYXCXLWEUGT-RBUKOAKNSA-N 1 2 324.424 1.724 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4c(c3)CCCC4)[C@H]2C1 ZINC001083266934 735889051 /nfs/dbraw/zinc/88/90/51/735889051.db2.gz ZCRNYXCXLWEUGT-RBUKOAKNSA-N 1 2 324.424 1.724 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024519952 735889239 /nfs/dbraw/zinc/88/92/39/735889239.db2.gz ADQGXQNEQNBKQE-CHWSQXEVSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024519952 735889240 /nfs/dbraw/zinc/88/92/40/735889240.db2.gz ADQGXQNEQNBKQE-CHWSQXEVSA-N 1 2 313.829 1.236 20 30 DDEDLO N#CCN1CCCC[C@H]1CNC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001024740446 736057843 /nfs/dbraw/zinc/05/78/43/736057843.db2.gz VBKBXPYTDFXLOU-KRWDZBQOSA-N 1 2 323.400 1.980 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](C)N(C)C(=O)Cn2cc[nH+]c2)n1 ZINC001104602011 736445300 /nfs/dbraw/zinc/44/53/00/736445300.db2.gz ABRHEDQGEVHOLP-ZDUSSCGKSA-N 1 2 312.377 1.417 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@H]2C[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)no1 ZINC001020085335 736996356 /nfs/dbraw/zinc/99/63/56/736996356.db2.gz OVSZJABJYKBREQ-GGZOMVNGSA-N 1 2 314.349 1.189 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H](CCNc2cc[nH+]c(C)n2)C1 ZINC001111602307 741064210 /nfs/dbraw/zinc/06/42/10/741064210.db2.gz YFOQHXYJGLYEDI-CQSZACIVSA-N 1 2 304.394 1.638 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3CCCC3(C)C)C2)nn1 ZINC001105303710 737792745 /nfs/dbraw/zinc/79/27/45/737792745.db2.gz PWBZBIBNSVYDTM-HNNXBMFYSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105309865 737807340 /nfs/dbraw/zinc/80/73/40/737807340.db2.gz FYWVYYODNZWPLN-LBPRGKRZSA-N 1 2 309.389 1.323 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3CCC(C)(C)C3)C2)nn1 ZINC001105324016 737858594 /nfs/dbraw/zinc/85/85/94/737858594.db2.gz OPBVFZPSEDFQGM-CYBMUJFWSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001125966808 737979148 /nfs/dbraw/zinc/97/91/48/737979148.db2.gz CPZHYVLAJOAUPN-UHFFFAOYSA-N 1 2 316.361 1.729 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(CC)n[nH]3)[C@@H]2C1 ZINC001075560220 738085538 /nfs/dbraw/zinc/08/55/38/738085538.db2.gz JYFYQSDEGCVZSH-SMDDNHRTSA-N 1 2 308.813 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(CC)n[nH]3)[C@@H]2C1 ZINC001075560220 738085543 /nfs/dbraw/zinc/08/55/43/738085543.db2.gz JYFYQSDEGCVZSH-SMDDNHRTSA-N 1 2 308.813 1.871 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn(CCC(C)C)nn1 ZINC001038693584 738086261 /nfs/dbraw/zinc/08/62/61/738086261.db2.gz JEVXLHUUKOCMMD-AWEZNQCLSA-N 1 2 303.410 1.152 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn(CCC(C)C)nn1 ZINC001038693584 738086264 /nfs/dbraw/zinc/08/62/64/738086264.db2.gz JEVXLHUUKOCMMD-AWEZNQCLSA-N 1 2 303.410 1.152 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001105662093 742269682 /nfs/dbraw/zinc/26/96/82/742269682.db2.gz BFVDKVFTPKPIHM-KBPBESRZSA-N 1 2 304.394 1.684 20 30 DDEDLO C[N@H+](Cc1ccon1)[C@H]1CCCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC001027373611 738244587 /nfs/dbraw/zinc/24/45/87/738244587.db2.gz PKJQIYNPNXJRCK-HNNXBMFYSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@@H+](Cc1ccon1)[C@H]1CCCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC001027373611 738244591 /nfs/dbraw/zinc/24/45/91/738244591.db2.gz PKJQIYNPNXJRCK-HNNXBMFYSA-N 1 2 313.361 1.611 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)CCC(=C)C)CC2 ZINC001128011850 751400108 /nfs/dbraw/zinc/40/01/08/751400108.db2.gz FDRWYZJQYMORTK-CQSZACIVSA-N 1 2 315.421 1.178 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001058885050 739030540 /nfs/dbraw/zinc/03/05/40/739030540.db2.gz XZKDLQPLIGMDSS-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1nocc1C ZINC001028147189 739092728 /nfs/dbraw/zinc/09/27/28/739092728.db2.gz QGGGANHCAIWSRM-LZWOXQAQSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1nocc1C ZINC001028147189 739092730 /nfs/dbraw/zinc/09/27/30/739092730.db2.gz QGGGANHCAIWSRM-LZWOXQAQSA-N 1 2 319.405 1.655 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@@H](C)CC(C)C)C2)nn1 ZINC001105359467 739138023 /nfs/dbraw/zinc/13/80/23/739138023.db2.gz IXTCUWUREHNHDB-AWEZNQCLSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccnn2C2CCC2)C1 ZINC001035367975 751432240 /nfs/dbraw/zinc/43/22/40/751432240.db2.gz KKOMHPOTIFJATN-OAHLLOKOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccnn2C2CCC2)C1 ZINC001035367975 751432243 /nfs/dbraw/zinc/43/22/43/751432243.db2.gz KKOMHPOTIFJATN-OAHLLOKOSA-N 1 2 318.421 1.615 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H](C[N@H+](C)Cc2nncs2)C1 ZINC001017072001 751435821 /nfs/dbraw/zinc/43/58/21/751435821.db2.gz QYZARXCJZAIPIB-VXGBXAGGSA-N 1 2 307.423 1.368 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H](C[N@@H+](C)Cc2nncs2)C1 ZINC001017072001 751435827 /nfs/dbraw/zinc/43/58/27/751435827.db2.gz QYZARXCJZAIPIB-VXGBXAGGSA-N 1 2 307.423 1.368 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001035375513 751439396 /nfs/dbraw/zinc/43/93/96/751439396.db2.gz SJQDTWKSXRVBLS-CYBMUJFWSA-N 1 2 306.410 1.225 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001035375513 751439398 /nfs/dbraw/zinc/43/93/98/751439398.db2.gz SJQDTWKSXRVBLS-CYBMUJFWSA-N 1 2 306.410 1.225 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+]Cc1nnc(C(F)F)s1 ZINC001126365526 739462476 /nfs/dbraw/zinc/46/24/76/739462476.db2.gz KNSXKLFTKGFGHO-GFCCVEGCSA-N 1 2 320.365 1.009 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001035389088 751458775 /nfs/dbraw/zinc/45/87/75/751458775.db2.gz LSNDZXJIEQJKPM-CYBMUJFWSA-N 1 2 306.410 1.255 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001035389088 751458779 /nfs/dbraw/zinc/45/87/79/751458779.db2.gz LSNDZXJIEQJKPM-CYBMUJFWSA-N 1 2 306.410 1.255 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2csc(C)c2C)C1 ZINC001035388521 751458998 /nfs/dbraw/zinc/45/89/98/751458998.db2.gz WQUNEVQVJDIVBK-CQSZACIVSA-N 1 2 306.431 1.819 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2csc(C)c2C)C1 ZINC001035388521 751459001 /nfs/dbraw/zinc/45/90/01/751459001.db2.gz WQUNEVQVJDIVBK-CQSZACIVSA-N 1 2 306.431 1.819 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc3c(o2)CCCC3)C1 ZINC001035390878 751460346 /nfs/dbraw/zinc/46/03/46/751460346.db2.gz BMRWOFRUXBNDBG-OAHLLOKOSA-N 1 2 316.401 1.612 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc3c(o2)CCCC3)C1 ZINC001035390878 751460347 /nfs/dbraw/zinc/46/03/47/751460347.db2.gz BMRWOFRUXBNDBG-OAHLLOKOSA-N 1 2 316.401 1.612 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3c2OCCO3)C1 ZINC001035391878 751461288 /nfs/dbraw/zinc/46/12/88/751461288.db2.gz RCUVRDHREUEZHW-CYBMUJFWSA-N 1 2 318.373 1.074 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3c2OCCO3)C1 ZINC001035391878 751461289 /nfs/dbraw/zinc/46/12/89/751461289.db2.gz RCUVRDHREUEZHW-CYBMUJFWSA-N 1 2 318.373 1.074 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001035396907 751466625 /nfs/dbraw/zinc/46/66/25/751466625.db2.gz ZPGLDPDVPCJCLP-QWHCGFSZSA-N 1 2 302.365 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001035396907 751466631 /nfs/dbraw/zinc/46/66/31/751466631.db2.gz ZPGLDPDVPCJCLP-QWHCGFSZSA-N 1 2 302.365 1.815 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2nc(C)sc2C)C1 ZINC001035407985 751490161 /nfs/dbraw/zinc/49/01/61/751490161.db2.gz OPWJUHOXTDXGSY-CYBMUJFWSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2nc(C)sc2C)C1 ZINC001035407985 751490165 /nfs/dbraw/zinc/49/01/65/751490165.db2.gz OPWJUHOXTDXGSY-CYBMUJFWSA-N 1 2 307.419 1.214 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1C[C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001075780619 740450608 /nfs/dbraw/zinc/45/06/08/740450608.db2.gz RMXMMWWVFBSSAZ-VNQPRFMTSA-N 1 2 310.401 1.484 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1C[C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001075780619 740450613 /nfs/dbraw/zinc/45/06/13/740450613.db2.gz RMXMMWWVFBSSAZ-VNQPRFMTSA-N 1 2 310.401 1.484 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cnc(CC(C)C)[nH]3)[C@@H]2C1 ZINC001075790208 740504901 /nfs/dbraw/zinc/50/49/01/740504901.db2.gz WHHFBFPNZKAZLP-DZGCQCFKSA-N 1 2 300.406 1.388 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cnc(CC(C)C)[nH]3)[C@@H]2C1 ZINC001075790208 740504905 /nfs/dbraw/zinc/50/49/05/740504905.db2.gz WHHFBFPNZKAZLP-DZGCQCFKSA-N 1 2 300.406 1.388 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC001035505248 751560952 /nfs/dbraw/zinc/56/09/52/751560952.db2.gz ZXLXUESCPRBSEH-JTQLQIEISA-N 1 2 314.336 1.354 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC001035505248 751560957 /nfs/dbraw/zinc/56/09/57/751560957.db2.gz ZXLXUESCPRBSEH-JTQLQIEISA-N 1 2 314.336 1.354 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2C[N@H+](CC=C(C)C)CCO2)c1 ZINC001035505997 751562719 /nfs/dbraw/zinc/56/27/19/751562719.db2.gz PIGKOBYMUNRFHY-QGZVFWFLSA-N 1 2 313.401 1.460 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2C[N@@H+](CC=C(C)C)CCO2)c1 ZINC001035505997 751562724 /nfs/dbraw/zinc/56/27/24/751562724.db2.gz PIGKOBYMUNRFHY-QGZVFWFLSA-N 1 2 313.401 1.460 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098703770 740655005 /nfs/dbraw/zinc/65/50/05/740655005.db2.gz AXKJQMWUCRYOAV-ZFWWWQNUSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ocnc2C(F)F)C1 ZINC001035512197 751570057 /nfs/dbraw/zinc/57/00/57/751570057.db2.gz UPJMGVWOUPGJAC-JTQLQIEISA-N 1 2 315.320 1.619 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ocnc2C(F)F)C1 ZINC001035512197 751570061 /nfs/dbraw/zinc/57/00/61/751570061.db2.gz UPJMGVWOUPGJAC-JTQLQIEISA-N 1 2 315.320 1.619 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C)nc2OC)C1 ZINC001035541625 751588109 /nfs/dbraw/zinc/58/81/09/751588109.db2.gz JMJJGVLSGAVVRD-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(C)nc2OC)C1 ZINC001035541625 751588113 /nfs/dbraw/zinc/58/81/13/751588113.db2.gz JMJJGVLSGAVVRD-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO CC[C@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC[C@@H]1NCC#N ZINC001037957507 751592191 /nfs/dbraw/zinc/59/21/91/751592191.db2.gz BDEFGLUBXQVWIZ-STQMWFEESA-N 1 2 303.410 1.428 20 30 DDEDLO CSc1nc(C[N@@H+]2CC[C@H](O)[C@](C)(CO)C2)ccc1C#N ZINC001141932335 740951287 /nfs/dbraw/zinc/95/12/87/740951287.db2.gz YFOHWTRFQCWCPB-ZFWWWQNUSA-N 1 2 307.419 1.240 20 30 DDEDLO CSc1nc(C[N@H+]2CC[C@H](O)[C@](C)(CO)C2)ccc1C#N ZINC001141932335 740951289 /nfs/dbraw/zinc/95/12/89/740951289.db2.gz YFOHWTRFQCWCPB-ZFWWWQNUSA-N 1 2 307.419 1.240 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccncc2Cl)C1 ZINC001035531837 751613618 /nfs/dbraw/zinc/61/36/18/751613618.db2.gz FDRAEPJGWQOHEK-LBPRGKRZSA-N 1 2 307.781 1.189 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccncc2Cl)C1 ZINC001035531837 751613622 /nfs/dbraw/zinc/61/36/22/751613622.db2.gz FDRAEPJGWQOHEK-LBPRGKRZSA-N 1 2 307.781 1.189 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2sc(C)cc2OC)C1 ZINC001035531736 751613695 /nfs/dbraw/zinc/61/36/95/751613695.db2.gz WFMSWHNZDIWUFN-ZDUSSCGKSA-N 1 2 322.430 1.519 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2sc(C)cc2OC)C1 ZINC001035531736 751613697 /nfs/dbraw/zinc/61/36/97/751613697.db2.gz WFMSWHNZDIWUFN-ZDUSSCGKSA-N 1 2 322.430 1.519 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001035570491 751624089 /nfs/dbraw/zinc/62/40/89/751624089.db2.gz ANJMRVHFCQVVMB-CYBMUJFWSA-N 1 2 320.437 1.714 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001035570491 751624091 /nfs/dbraw/zinc/62/40/91/751624091.db2.gz ANJMRVHFCQVVMB-CYBMUJFWSA-N 1 2 320.437 1.714 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnoc2C2CC2)C1 ZINC001035564623 751647973 /nfs/dbraw/zinc/64/79/73/751647973.db2.gz YDRNYQNUPRFKCW-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnoc2C2CC2)C1 ZINC001035564623 751647977 /nfs/dbraw/zinc/64/79/77/751647977.db2.gz YDRNYQNUPRFKCW-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn(C)nc2C(C)C)C1 ZINC001035602046 751661219 /nfs/dbraw/zinc/66/12/19/751661219.db2.gz DAEIBYHYVACKTF-CYBMUJFWSA-N 1 2 306.410 1.160 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn(C)nc2C(C)C)C1 ZINC001035602046 751661222 /nfs/dbraw/zinc/66/12/22/751661222.db2.gz DAEIBYHYVACKTF-CYBMUJFWSA-N 1 2 306.410 1.160 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001059867895 741811892 /nfs/dbraw/zinc/81/18/92/741811892.db2.gz YLECBFICIRCHGH-HNNXBMFYSA-N 1 2 318.421 1.594 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(-c2ccccc2)c1 ZINC001038475071 741835982 /nfs/dbraw/zinc/83/59/82/741835982.db2.gz AGXKTNYQHSGUGW-QGZVFWFLSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(-c2ccccc2)c1 ZINC001038475071 741835988 /nfs/dbraw/zinc/83/59/88/741835988.db2.gz AGXKTNYQHSGUGW-QGZVFWFLSA-N 1 2 308.385 1.700 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(OC)cc(OC)c2)C1 ZINC001035587265 751680724 /nfs/dbraw/zinc/68/07/24/751680724.db2.gz PJCXNZGJMFOQBY-MRXNPFEDSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(OC)cc(OC)c2)C1 ZINC001035587265 751680726 /nfs/dbraw/zinc/68/07/26/751680726.db2.gz PJCXNZGJMFOQBY-MRXNPFEDSA-N 1 2 320.389 1.320 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCc3nccn3C2)[C@H]1C ZINC001088678392 742069989 /nfs/dbraw/zinc/06/99/89/742069989.db2.gz SAKFWTXLYFKAMN-MCIONIFRSA-N 1 2 322.840 1.777 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCc3nccn3C2)[C@H]1C ZINC001088678392 742069996 /nfs/dbraw/zinc/06/99/96/742069996.db2.gz SAKFWTXLYFKAMN-MCIONIFRSA-N 1 2 322.840 1.777 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCn3ccnc3C2)[C@H]1C ZINC001088679509 742073154 /nfs/dbraw/zinc/07/31/54/742073154.db2.gz RSXAHWFADPPFJX-MCIONIFRSA-N 1 2 322.840 1.777 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCn3ccnc3C2)[C@H]1C ZINC001088679509 742073158 /nfs/dbraw/zinc/07/31/58/742073158.db2.gz RSXAHWFADPPFJX-MCIONIFRSA-N 1 2 322.840 1.777 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2nc(C(C)(C)C)cs2)[C@@H](O)C1 ZINC001083543244 742565567 /nfs/dbraw/zinc/56/55/67/742565567.db2.gz MZWQFMRUSFSNIC-MNOVXSKESA-N 1 2 309.435 1.402 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2nc(C(C)(C)C)cs2)[C@@H](O)C1 ZINC001083543244 742565570 /nfs/dbraw/zinc/56/55/70/742565570.db2.gz MZWQFMRUSFSNIC-MNOVXSKESA-N 1 2 309.435 1.402 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001126919742 742569316 /nfs/dbraw/zinc/56/93/16/742569316.db2.gz GHMPYIRXJLFTNZ-SEEARECTSA-N 1 2 304.394 1.513 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001076712150 742933603 /nfs/dbraw/zinc/93/36/03/742933603.db2.gz TYRMTTLXMUAMJI-KGLIPLIRSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001076712150 742933606 /nfs/dbraw/zinc/93/36/06/742933606.db2.gz TYRMTTLXMUAMJI-KGLIPLIRSA-N 1 2 320.437 1.956 20 30 DDEDLO Cc1ccnc(C[NH+]2CCC(NC(=O)c3cc(C#N)c[nH]3)CC2)n1 ZINC001002552116 743232985 /nfs/dbraw/zinc/23/29/85/743232985.db2.gz VGCUXFGMHLKMAZ-UHFFFAOYSA-N 1 2 324.388 1.379 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001061136022 743385828 /nfs/dbraw/zinc/38/58/28/743385828.db2.gz JXZYNSJXPWTAHN-ZIAGYGMSSA-N 1 2 324.388 1.758 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2csc(C(F)(F)F)c2)C1 ZINC001077299905 743390789 /nfs/dbraw/zinc/39/07/89/743390789.db2.gz SMXQXFLSKPSLGK-NXEZZACHSA-N 1 2 318.320 1.175 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2csc(C(F)(F)F)c2)C1 ZINC001077299905 743390798 /nfs/dbraw/zinc/39/07/98/743390798.db2.gz SMXQXFLSKPSLGK-NXEZZACHSA-N 1 2 318.320 1.175 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)C(C)(C)C)[C@H](OC)C1 ZINC001212469730 743499290 /nfs/dbraw/zinc/49/92/90/743499290.db2.gz KFMLFWGXDGZECJ-RBSFLKMASA-N 1 2 310.438 1.134 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)C(C)(C)C)[C@H](OC)C1 ZINC001212469730 743499297 /nfs/dbraw/zinc/49/92/97/743499297.db2.gz KFMLFWGXDGZECJ-RBSFLKMASA-N 1 2 310.438 1.134 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)[C@H]2CCOC2)s1 ZINC001038097112 743544738 /nfs/dbraw/zinc/54/47/38/743544738.db2.gz QIORFVCNQLAQOF-RYUDHWBXSA-N 1 2 305.403 1.347 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@H]2CCOC2)s1 ZINC001038097112 743544740 /nfs/dbraw/zinc/54/47/40/743544740.db2.gz QIORFVCNQLAQOF-RYUDHWBXSA-N 1 2 305.403 1.347 20 30 DDEDLO C#CCOCCC(=O)NCC[NH2+][C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001127023660 743597408 /nfs/dbraw/zinc/59/74/08/743597408.db2.gz PUPBJUXWGGCVOQ-ZDUSSCGKSA-N 1 2 321.421 1.779 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001060263328 743755487 /nfs/dbraw/zinc/75/54/87/743755487.db2.gz PUNUCXUVBHYQRY-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO Cc1[nH]c2ccc(C#N)cc2c1CC(=O)NCCc1cn(C)c[nH+]1 ZINC001183890412 743923946 /nfs/dbraw/zinc/92/39/46/743923946.db2.gz YJFFVGITWXEXOM-UHFFFAOYSA-N 1 2 321.384 1.983 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cnn(CC(C)C)c2CC)C1 ZINC001030301852 744034397 /nfs/dbraw/zinc/03/43/97/744034397.db2.gz QVZHTJGZBOVPRY-UHFFFAOYSA-N 1 2 302.422 1.539 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@H](CNCC#N)[C@@H](C)C2)c[nH+]1 ZINC001184651730 744077956 /nfs/dbraw/zinc/07/79/56/744077956.db2.gz HTOMHQRPPQNCAH-DZGCQCFKSA-N 1 2 317.437 1.524 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CCCC[C@H]2n2cccn2)C1 ZINC001030461465 744175966 /nfs/dbraw/zinc/17/59/66/744175966.db2.gz OEBIRJXXOHDUCX-JKSUJKDBSA-N 1 2 302.422 1.991 20 30 DDEDLO Cc1noc(NC(=O)[C@H](CO)[N@H+](C)Cc2ccccc2)c1C#N ZINC001185224109 744183468 /nfs/dbraw/zinc/18/34/68/744183468.db2.gz DSPBKZVQOIASRJ-AWEZNQCLSA-N 1 2 314.345 1.286 20 30 DDEDLO Cc1noc(NC(=O)[C@H](CO)[N@@H+](C)Cc2ccccc2)c1C#N ZINC001185224109 744183469 /nfs/dbraw/zinc/18/34/69/744183469.db2.gz DSPBKZVQOIASRJ-AWEZNQCLSA-N 1 2 314.345 1.286 20 30 DDEDLO C=CCCc1ccc(C(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c(=O)[nH]1 ZINC001185352900 744204791 /nfs/dbraw/zinc/20/47/91/744204791.db2.gz SJZYYZKEVLPISY-CABCVRRESA-N 1 2 317.389 1.061 20 30 DDEDLO C=CCCc1ccc(C(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c(=O)[nH]1 ZINC001185352900 744204793 /nfs/dbraw/zinc/20/47/93/744204793.db2.gz SJZYYZKEVLPISY-CABCVRRESA-N 1 2 317.389 1.061 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]([NH2+]Cc3nncs3)C2)CCC1 ZINC001185469478 744231089 /nfs/dbraw/zinc/23/10/89/744231089.db2.gz XBTFBBRCWMXSHK-GFCCVEGCSA-N 1 2 306.435 1.975 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CCCC)C2)nn1 ZINC001185872278 744297210 /nfs/dbraw/zinc/29/72/10/744297210.db2.gz GXFHJBILORHPBB-INIZCTEOSA-N 1 2 317.437 1.745 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCn3cc(C)nc3C2)C1 ZINC001014936331 744299042 /nfs/dbraw/zinc/29/90/42/744299042.db2.gz RIGJFIUNJTWEAC-ZIAGYGMSSA-N 1 2 322.840 1.697 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCn3cc(C)nc3C2)C1 ZINC001014936331 744299045 /nfs/dbraw/zinc/29/90/45/744299045.db2.gz RIGJFIUNJTWEAC-ZIAGYGMSSA-N 1 2 322.840 1.697 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001185932722 744316552 /nfs/dbraw/zinc/31/65/52/744316552.db2.gz IDXCJRSVVRGZBM-OAHLLOKOSA-N 1 2 321.400 1.160 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@@H](F)C(C)C)C2)nn1 ZINC001185984968 744316879 /nfs/dbraw/zinc/31/68/79/744316879.db2.gz UUHKYFWHAJIPJB-GJZGRUSLSA-N 1 2 321.400 1.159 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)c2ccon2)C1 ZINC001006842492 751908943 /nfs/dbraw/zinc/90/89/43/751908943.db2.gz YULGHLJRUWMZSD-OAHLLOKOSA-N 1 2 310.357 1.941 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)c2ccon2)C1 ZINC001006842492 751908949 /nfs/dbraw/zinc/90/89/49/751908949.db2.gz YULGHLJRUWMZSD-OAHLLOKOSA-N 1 2 310.357 1.941 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cccnc2N2CCCC2)C1 ZINC001030623562 744341260 /nfs/dbraw/zinc/34/12/60/744341260.db2.gz ZFJOYVJQPAWXPZ-UHFFFAOYSA-N 1 2 300.406 1.672 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cnn3cccc(Cl)c23)C1 ZINC001030649839 744384563 /nfs/dbraw/zinc/38/45/63/744384563.db2.gz HFQGEHCBFLPXMJ-UHFFFAOYSA-N 1 2 304.781 1.978 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)[C@H]1C ZINC001088951131 744398658 /nfs/dbraw/zinc/39/86/58/744398658.db2.gz AIRFMUJZEJTTFG-SCRDCRAPSA-N 1 2 300.406 1.360 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)[C@H]1C ZINC001088951131 744398662 /nfs/dbraw/zinc/39/86/62/744398662.db2.gz AIRFMUJZEJTTFG-SCRDCRAPSA-N 1 2 300.406 1.360 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC(=O)NCC)C2 ZINC001110384155 744444441 /nfs/dbraw/zinc/44/44/41/744444441.db2.gz PZXDSGUXESVZSB-UPJWGTAASA-N 1 2 313.829 1.377 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC(=O)NCC)C2 ZINC001110384155 744444446 /nfs/dbraw/zinc/44/44/46/744444446.db2.gz PZXDSGUXESVZSB-UPJWGTAASA-N 1 2 313.829 1.377 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)C[N@@H+]2CCc3sccc3C2)CCN1CC#N ZINC001089057446 744480892 /nfs/dbraw/zinc/48/08/92/744480892.db2.gz YIXJZAYKZIFLLO-OCCSQVGLSA-N 1 2 318.446 1.209 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)C[N@H+]2CCc3sccc3C2)CCN1CC#N ZINC001089057446 744480894 /nfs/dbraw/zinc/48/08/94/744480894.db2.gz YIXJZAYKZIFLLO-OCCSQVGLSA-N 1 2 318.446 1.209 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)nc2onc(C)c21 ZINC001038565562 744495044 /nfs/dbraw/zinc/49/50/44/744495044.db2.gz IXZYVKOZADONSS-ZDUSSCGKSA-N 1 2 312.373 1.667 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C)nc2onc(C)c21 ZINC001038565562 744495047 /nfs/dbraw/zinc/49/50/47/744495047.db2.gz IXZYVKOZADONSS-ZDUSSCGKSA-N 1 2 312.373 1.667 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001187296586 744543479 /nfs/dbraw/zinc/54/34/79/744543479.db2.gz OYSRQDPFKNEXOS-LSDHHAIUSA-N 1 2 319.405 1.527 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001187296586 744543481 /nfs/dbraw/zinc/54/34/81/744543481.db2.gz OYSRQDPFKNEXOS-LSDHHAIUSA-N 1 2 319.405 1.527 20 30 DDEDLO CCn1cc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)nn1 ZINC001089140221 744585721 /nfs/dbraw/zinc/58/57/21/744585721.db2.gz ZLVLQHHMFZLNKT-HIFRSBDPSA-N 1 2 318.425 1.271 20 30 DDEDLO CCn1cc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)nn1 ZINC001089140221 744585724 /nfs/dbraw/zinc/58/57/24/744585724.db2.gz ZLVLQHHMFZLNKT-HIFRSBDPSA-N 1 2 318.425 1.271 20 30 DDEDLO CCn1ccc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)n1 ZINC001187841452 744616580 /nfs/dbraw/zinc/61/65/80/744616580.db2.gz ZYCWHBURNLPXIM-DOTOQJQBSA-N 1 2 314.433 1.595 20 30 DDEDLO CCn1ccc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)n1 ZINC001187841452 744616583 /nfs/dbraw/zinc/61/65/83/744616583.db2.gz ZYCWHBURNLPXIM-DOTOQJQBSA-N 1 2 314.433 1.595 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2ncc(CC)o2)C1 ZINC001188310587 744687831 /nfs/dbraw/zinc/68/78/31/744687831.db2.gz ZXBXLEYBVRFKPL-QWHCGFSZSA-N 1 2 307.394 1.519 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCN(CC(F)(F)F)C2)C1 ZINC001030847706 744718054 /nfs/dbraw/zinc/71/80/54/744718054.db2.gz ZJGUUMCRWITGOA-LLVKDONJSA-N 1 2 305.344 1.247 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)C[C@@H](C)OC)C1 ZINC001189300590 744862799 /nfs/dbraw/zinc/86/27/99/744862799.db2.gz MZWVXHUOXNWBSC-VXGBXAGGSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)C[C@@H](C)OC)C1 ZINC001189300590 744862800 /nfs/dbraw/zinc/86/28/00/744862800.db2.gz MZWVXHUOXNWBSC-VXGBXAGGSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@H](C)COC)C1 ZINC001189311682 744869664 /nfs/dbraw/zinc/86/96/64/744869664.db2.gz VKPDQALFWYGKFE-PWSUYJOCSA-N 1 2 319.243 1.710 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@H](C)COC)C1 ZINC001189311682 744869666 /nfs/dbraw/zinc/86/96/66/744869666.db2.gz VKPDQALFWYGKFE-PWSUYJOCSA-N 1 2 319.243 1.710 20 30 DDEDLO CCC[NH+]1CCN(S(=O)(=O)c2cc(C#N)ccc2F)CC1 ZINC001190636170 745281723 /nfs/dbraw/zinc/28/17/23/745281723.db2.gz BEOCYWQWWJSZDD-UHFFFAOYSA-N 1 2 311.382 1.414 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001007098556 752015295 /nfs/dbraw/zinc/01/52/95/752015295.db2.gz IYLONLMFMCOKAX-NEPJUHHUSA-N 1 2 300.786 1.273 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001007098556 752015300 /nfs/dbraw/zinc/01/53/00/752015300.db2.gz IYLONLMFMCOKAX-NEPJUHHUSA-N 1 2 300.786 1.273 20 30 DDEDLO CCC[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191897252 745641654 /nfs/dbraw/zinc/64/16/54/745641654.db2.gz DDIHCSNRXHTMIT-NILFDRSVSA-N 1 2 321.446 1.717 20 30 DDEDLO CCC[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191897252 745641658 /nfs/dbraw/zinc/64/16/58/745641658.db2.gz DDIHCSNRXHTMIT-NILFDRSVSA-N 1 2 321.446 1.717 20 30 DDEDLO CC(=O)N1CC[C@H](C[N@@H+](C)Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC001192251777 745726419 /nfs/dbraw/zinc/72/64/19/745726419.db2.gz DFGVHWXXODRATD-CYBMUJFWSA-N 1 2 316.361 1.767 20 30 DDEDLO CC(=O)N1CC[C@H](C[N@H+](C)Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC001192251777 745726423 /nfs/dbraw/zinc/72/64/23/745726423.db2.gz DFGVHWXXODRATD-CYBMUJFWSA-N 1 2 316.361 1.767 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)CSCC#N)[C@@H]2C)cc[nH+]1 ZINC001122941772 745784412 /nfs/dbraw/zinc/78/44/12/745784412.db2.gz OIWANIOOLUJLLY-ZYHUDNBSSA-N 1 2 305.407 1.443 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106548097 745789137 /nfs/dbraw/zinc/78/91/37/745789137.db2.gz QTZIUGSFGHLBSI-GFCCVEGCSA-N 1 2 320.441 1.909 20 30 DDEDLO CC[C@H](C)CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001192510706 745802298 /nfs/dbraw/zinc/80/22/98/745802298.db2.gz PUTRKPSSOVRXMM-NILFDRSVSA-N 1 2 321.446 1.717 20 30 DDEDLO CC[C@H](C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001192510706 745802305 /nfs/dbraw/zinc/80/23/05/745802305.db2.gz PUTRKPSSOVRXMM-NILFDRSVSA-N 1 2 321.446 1.717 20 30 DDEDLO N#Cc1ccc(C(=O)Nc2ccnc(-c3cc(N)cc[nH+]3)c2)o1 ZINC001192677168 745858326 /nfs/dbraw/zinc/85/83/26/745858326.db2.gz JLDWOSMQOOMWGI-UHFFFAOYSA-N 1 2 305.297 1.865 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CCCN1CC#N ZINC000993338557 746010229 /nfs/dbraw/zinc/01/02/29/746010229.db2.gz PNFXPMFYINNNJY-ZFWWWQNUSA-N 1 2 315.421 1.255 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccnc(OC)c2)C[C@H]1O ZINC001193391462 746087790 /nfs/dbraw/zinc/08/77/90/746087790.db2.gz PFHCUZZNCJCBIO-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccnc(OC)c2)C[C@H]1O ZINC001193391462 746087796 /nfs/dbraw/zinc/08/77/96/746087796.db2.gz PFHCUZZNCJCBIO-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2c(C)nn(C)c2F)C1 ZINC001046807090 746119506 /nfs/dbraw/zinc/11/95/06/746119506.db2.gz XWZQHCJPBHXEEM-AWEZNQCLSA-N 1 2 314.792 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2c(C)nn(C)c2F)C1 ZINC001046807090 746119508 /nfs/dbraw/zinc/11/95/08/746119508.db2.gz XWZQHCJPBHXEEM-AWEZNQCLSA-N 1 2 314.792 1.814 20 30 DDEDLO N#Cc1cncc(C(=O)N2CC[C@H]([NH+]3CCCC3)C(F)(F)C2)c1 ZINC001194114933 746278750 /nfs/dbraw/zinc/27/87/50/746278750.db2.gz YYOKEUQQWBHPSR-AWEZNQCLSA-N 1 2 320.343 1.899 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nccs2)C1 ZINC001194396890 746367435 /nfs/dbraw/zinc/36/74/35/746367435.db2.gz LEWFMUJJFAXJSI-OLZOCXBDSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nccs2)C1 ZINC001194396890 746367441 /nfs/dbraw/zinc/36/74/41/746367441.db2.gz LEWFMUJJFAXJSI-OLZOCXBDSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001194409065 746370529 /nfs/dbraw/zinc/37/05/29/746370529.db2.gz PLIWLNKXSPAHTB-IUODEOHRSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001194409065 746370534 /nfs/dbraw/zinc/37/05/34/746370534.db2.gz PLIWLNKXSPAHTB-IUODEOHRSA-N 1 2 307.394 1.607 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCN(C(=O)CSCC#N)CC2)ncn1 ZINC001194918800 746486816 /nfs/dbraw/zinc/48/68/16/746486816.db2.gz AYHOOYAZOMYGON-UHFFFAOYSA-N 1 2 319.434 1.076 20 30 DDEDLO Cc1cc(C[N@H+]2CCCN(C(=O)CSCC#N)CC2)ncn1 ZINC001194918800 746486818 /nfs/dbraw/zinc/48/68/18/746486818.db2.gz AYHOOYAZOMYGON-UHFFFAOYSA-N 1 2 319.434 1.076 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)C(C)C)CC1 ZINC001195191555 746541412 /nfs/dbraw/zinc/54/14/12/746541412.db2.gz KOFVKSZAMPJDPN-HNNXBMFYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)C(C)C)CC1 ZINC001195191555 746541417 /nfs/dbraw/zinc/54/14/17/746541417.db2.gz KOFVKSZAMPJDPN-HNNXBMFYSA-N 1 2 309.454 1.648 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2C[N@@H+]3CCCC[C@H]3CO2)n1C ZINC001121530881 782552950 /nfs/dbraw/zinc/55/29/50/782552950.db2.gz KMZFWYIEYAJUOR-UONOGXRCSA-N 1 2 303.410 1.200 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2C[N@H+]3CCCC[C@H]3CO2)n1C ZINC001121530881 782552958 /nfs/dbraw/zinc/55/29/58/782552958.db2.gz KMZFWYIEYAJUOR-UONOGXRCSA-N 1 2 303.410 1.200 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC001195746511 746698448 /nfs/dbraw/zinc/69/84/48/746698448.db2.gz DUGIRGMUUVAMGZ-UHFFFAOYSA-N 1 2 307.438 1.095 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC001195746511 746698451 /nfs/dbraw/zinc/69/84/51/746698451.db2.gz DUGIRGMUUVAMGZ-UHFFFAOYSA-N 1 2 307.438 1.095 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195791595 746705684 /nfs/dbraw/zinc/70/56/84/746705684.db2.gz GMOGCGBMXZJILQ-UHFFFAOYSA-N 1 2 314.433 1.869 20 30 DDEDLO Cc1nccnc1C[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195791595 746705685 /nfs/dbraw/zinc/70/56/85/746705685.db2.gz GMOGCGBMXZJILQ-UHFFFAOYSA-N 1 2 314.433 1.869 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@@H+](Cc2cncc(F)c2)CC1 ZINC001195824224 746717344 /nfs/dbraw/zinc/71/73/44/746717344.db2.gz NOENJGJRBXASIK-UHFFFAOYSA-N 1 2 319.380 1.295 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@H+](Cc2cncc(F)c2)CC1 ZINC001195824224 746717349 /nfs/dbraw/zinc/71/73/49/746717349.db2.gz NOENJGJRBXASIK-UHFFFAOYSA-N 1 2 319.380 1.295 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2cn3c([nH+]2)CCCC3)CC[C@H]1NCC#N ZINC001036042472 752118521 /nfs/dbraw/zinc/11/85/21/752118521.db2.gz PLUAVRJXWONRDX-DZGCQCFKSA-N 1 2 315.421 1.112 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2c(F)cncc2F)CC1 ZINC001195982585 746746124 /nfs/dbraw/zinc/74/61/24/746746124.db2.gz YTZPQXCPOYUWPQ-UHFFFAOYSA-N 1 2 323.343 1.158 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2c(F)cncc2F)CC1 ZINC001195982585 746746128 /nfs/dbraw/zinc/74/61/28/746746128.db2.gz YTZPQXCPOYUWPQ-UHFFFAOYSA-N 1 2 323.343 1.158 20 30 DDEDLO C[C@H](C[C@H](C)Nc1nccnc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089380754 746758542 /nfs/dbraw/zinc/75/85/42/746758542.db2.gz NNSRMTIYBYBLSD-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(C)cc(C)n2)CC1 ZINC001196381512 746849775 /nfs/dbraw/zinc/84/97/75/746849775.db2.gz AVATZXYNMJTSAK-UHFFFAOYSA-N 1 2 315.417 1.496 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(C)cc(C)n2)CC1 ZINC001196381512 746849777 /nfs/dbraw/zinc/84/97/77/746849777.db2.gz AVATZXYNMJTSAK-UHFFFAOYSA-N 1 2 315.417 1.496 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2cccnc2)C1 ZINC001031512074 746924634 /nfs/dbraw/zinc/92/46/34/746924634.db2.gz CNXKYOHVDLYIMX-UHFFFAOYSA-N 1 2 306.369 1.815 20 30 DDEDLO C[C@@H]1C[C@@H]1C(=O)NCC1C[NH+](Cc2ccc(F)c(C#N)c2)C1 ZINC001031521303 746950555 /nfs/dbraw/zinc/95/05/55/746950555.db2.gz BKLSBJLHZSMPHJ-ABAIWWIYSA-N 1 2 301.365 1.901 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)NCC1C[NH+](Cc2ccc(F)c(C#N)c2)C1 ZINC001031521305 746950628 /nfs/dbraw/zinc/95/06/28/746950628.db2.gz BKLSBJLHZSMPHJ-NHYWBVRUSA-N 1 2 301.365 1.901 20 30 DDEDLO C[C@@H]1C[C@@H]1C(=O)NCC1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001031522337 746952151 /nfs/dbraw/zinc/95/21/51/746952151.db2.gz UCPUTAATFZCLDN-ABAIWWIYSA-N 1 2 301.365 1.901 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)N2CCC2)CC1 ZINC001196853087 746990671 /nfs/dbraw/zinc/99/06/71/746990671.db2.gz GTCGNNNLEYDVMR-LSDHHAIUSA-N 1 2 307.438 1.211 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)N2CCC2)CC1 ZINC001196853087 746990675 /nfs/dbraw/zinc/99/06/75/746990675.db2.gz GTCGNNNLEYDVMR-LSDHHAIUSA-N 1 2 307.438 1.211 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001196901696 747004988 /nfs/dbraw/zinc/00/49/88/747004988.db2.gz RLXBAGOECURCLN-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001196901696 747004992 /nfs/dbraw/zinc/00/49/92/747004992.db2.gz RLXBAGOECURCLN-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001128413681 747029973 /nfs/dbraw/zinc/02/99/73/747029973.db2.gz JVGLJFMRUULOAZ-LBPRGKRZSA-N 1 2 310.398 1.729 20 30 DDEDLO C=CC(C)(C)C(=O)NCc1cnn2c1C[N@H+](C[C@@H](C)OC)CC2 ZINC001128453628 747160325 /nfs/dbraw/zinc/16/03/25/747160325.db2.gz ILYROFGXGKTISK-CYBMUJFWSA-N 1 2 320.437 1.562 20 30 DDEDLO C=CC(C)(C)C(=O)NCc1cnn2c1C[N@@H+](C[C@@H](C)OC)CC2 ZINC001128453628 747160327 /nfs/dbraw/zinc/16/03/27/747160327.db2.gz ILYROFGXGKTISK-CYBMUJFWSA-N 1 2 320.437 1.562 20 30 DDEDLO CC[C@H]1CC[C@H](C(=O)N[C@@H]2C[N@H+](CC#CCOC)C[C@H]2O)CC1 ZINC001197960688 747323627 /nfs/dbraw/zinc/32/36/27/747323627.db2.gz KINMLLTZFSDDBF-QBPKDAKJSA-N 1 2 322.449 1.014 20 30 DDEDLO CC[C@H]1CC[C@H](C(=O)N[C@@H]2C[N@@H+](CC#CCOC)C[C@H]2O)CC1 ZINC001197960688 747323634 /nfs/dbraw/zinc/32/36/34/747323634.db2.gz KINMLLTZFSDDBF-QBPKDAKJSA-N 1 2 322.449 1.014 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c[nH]c(Br)c1 ZINC001038529164 752172768 /nfs/dbraw/zinc/17/27/68/752172768.db2.gz IWHKKZKMMDJQFV-LLVKDONJSA-N 1 2 310.195 1.605 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c[nH]c(Br)c1 ZINC001038529164 752172772 /nfs/dbraw/zinc/17/27/72/752172772.db2.gz IWHKKZKMMDJQFV-LLVKDONJSA-N 1 2 310.195 1.605 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2coc(C)n2)CC1 ZINC001003653566 747352365 /nfs/dbraw/zinc/35/23/65/747352365.db2.gz ZOGBHUXVMXMQCX-CVEARBPZSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ccnn2C(F)F)C1 ZINC001031698062 747411256 /nfs/dbraw/zinc/41/12/56/747411256.db2.gz QZPKPQGLLPUUBU-UHFFFAOYSA-N 1 2 304.728 1.692 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cc(C)no2)CC1 ZINC001198337038 747456640 /nfs/dbraw/zinc/45/66/40/747456640.db2.gz IYKAXARNAWOBGL-AWEZNQCLSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cc(C)no2)CC1 ZINC001198337038 747456644 /nfs/dbraw/zinc/45/66/44/747456644.db2.gz IYKAXARNAWOBGL-AWEZNQCLSA-N 1 2 307.394 1.608 20 30 DDEDLO CN(C(=O)C(F)F)[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001032858251 747478402 /nfs/dbraw/zinc/47/84/02/747478402.db2.gz ZNALBBXGNCOVOU-LBPRGKRZSA-N 1 2 311.307 1.995 20 30 DDEDLO CN(C(=O)C(F)F)[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001032858251 747478405 /nfs/dbraw/zinc/47/84/05/747478405.db2.gz ZNALBBXGNCOVOU-LBPRGKRZSA-N 1 2 311.307 1.995 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+]Cc1nc(C(C)C)no1 ZINC001127604404 747718779 /nfs/dbraw/zinc/71/87/79/747718779.db2.gz DYRBJSAYHYIFIE-OLZOCXBDSA-N 1 2 322.409 1.380 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+][C@@H](C)c1ncc(C)o1 ZINC001127604732 747728661 /nfs/dbraw/zinc/72/86/61/747728661.db2.gz LBDALLVULOFFGM-MJBXVCDLSA-N 1 2 307.394 1.731 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CCCC(C)(C)C2)C1 ZINC001199210982 747743806 /nfs/dbraw/zinc/74/38/06/747743806.db2.gz OFFZVRCEKDMXQO-ARFHVFGLSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CCCC(C)(C)C2)C1 ZINC001199210982 747743809 /nfs/dbraw/zinc/74/38/09/747743809.db2.gz OFFZVRCEKDMXQO-ARFHVFGLSA-N 1 2 322.449 1.014 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)c2ccc(F)cc2)C1 ZINC001199240777 747757678 /nfs/dbraw/zinc/75/76/78/747757678.db2.gz ZGEWXCLFQIJZMO-ARFHVFGLSA-N 1 2 304.365 1.114 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)c2ccc(F)cc2)C1 ZINC001199240777 747757683 /nfs/dbraw/zinc/75/76/83/747757683.db2.gz ZGEWXCLFQIJZMO-ARFHVFGLSA-N 1 2 304.365 1.114 20 30 DDEDLO C#CCC[NH2+]C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200555444 748277250 /nfs/dbraw/zinc/27/72/50/748277250.db2.gz RAFIHJWCOLFOPH-QWRGUYRKSA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[NH2+]C[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCCO1 ZINC001200555444 748277256 /nfs/dbraw/zinc/27/72/56/748277256.db2.gz RAFIHJWCOLFOPH-QWRGUYRKSA-N 1 2 310.291 1.117 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccn3ccnc3c2)C1 ZINC001108070302 748309931 /nfs/dbraw/zinc/30/99/31/748309931.db2.gz QMPGXVZDZAQEAG-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccn3ccnc3c2)C1 ZINC001108070302 748309934 /nfs/dbraw/zinc/30/99/34/748309934.db2.gz QMPGXVZDZAQEAG-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)C[C@H]2CCCO2)CC1 ZINC001004356445 748352004 /nfs/dbraw/zinc/35/20/04/748352004.db2.gz IYTCMRCRNAFUFV-HZPDHXFCSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)C[C@H]2CCCO2)CC1 ZINC001004356445 748352011 /nfs/dbraw/zinc/35/20/11/748352011.db2.gz IYTCMRCRNAFUFV-HZPDHXFCSA-N 1 2 305.422 1.782 20 30 DDEDLO Cc1cnn(CC(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)c1 ZINC001004362055 748362053 /nfs/dbraw/zinc/36/20/53/748362053.db2.gz XELSXAJCUYVVFG-INIZCTEOSA-N 1 2 315.421 1.418 20 30 DDEDLO Cc1cnn(CC(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)c1 ZINC001004362055 748362059 /nfs/dbraw/zinc/36/20/59/748362059.db2.gz XELSXAJCUYVVFG-INIZCTEOSA-N 1 2 315.421 1.418 20 30 DDEDLO CCn1ncc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004429050 748425186 /nfs/dbraw/zinc/42/51/86/748425186.db2.gz KHJDYQBUKWFCLE-HNNXBMFYSA-N 1 2 316.409 1.138 20 30 DDEDLO CCn1ncc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004429050 748425188 /nfs/dbraw/zinc/42/51/88/748425188.db2.gz KHJDYQBUKWFCLE-HNNXBMFYSA-N 1 2 316.409 1.138 20 30 DDEDLO C=CCCCC(=O)N1CCCO[C@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001201284221 748453039 /nfs/dbraw/zinc/45/30/39/748453039.db2.gz QUUWXKGZKNADGZ-CQSZACIVSA-N 1 2 322.409 1.441 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)Cc2cncs2)CC1 ZINC001004466181 748454853 /nfs/dbraw/zinc/45/48/53/748454853.db2.gz SPFCHMNMZZONDR-HNNXBMFYSA-N 1 2 318.446 1.912 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)Cc2cncs2)CC1 ZINC001004466181 748454854 /nfs/dbraw/zinc/45/48/54/748454854.db2.gz SPFCHMNMZZONDR-HNNXBMFYSA-N 1 2 318.446 1.912 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2cscn2)CC1 ZINC001004581045 748565831 /nfs/dbraw/zinc/56/58/31/748565831.db2.gz SNMBHFDZMJFFFM-OAHLLOKOSA-N 1 2 318.446 1.912 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2cscn2)CC1 ZINC001004581045 748565835 /nfs/dbraw/zinc/56/58/35/748565835.db2.gz SNMBHFDZMJFFFM-OAHLLOKOSA-N 1 2 318.446 1.912 20 30 DDEDLO CC(C)CO[C@H](C)c1noc(C[NH2+]CCNC(=O)[C@H](C)C#N)n1 ZINC001124902226 748623421 /nfs/dbraw/zinc/62/34/21/748623421.db2.gz HQIMGUMYBHVDLA-VXGBXAGGSA-N 1 2 323.397 1.169 20 30 DDEDLO C=C(Cl)CN1CCC[C@H](NC(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001007709429 752287934 /nfs/dbraw/zinc/28/79/34/752287934.db2.gz YZYWASJKJSTCOI-KBPBESRZSA-N 1 2 322.840 1.779 20 30 DDEDLO Cc1conc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001032107505 748650031 /nfs/dbraw/zinc/65/00/31/748650031.db2.gz KKSPZNUSCRWTPK-UHFFFAOYSA-N 1 2 309.369 1.696 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+]([C@H](C)c3cnccn3)C2)nc1 ZINC001032134778 748727096 /nfs/dbraw/zinc/72/70/96/748727096.db2.gz BGCJZNOEZRGVHN-CYBMUJFWSA-N 1 2 321.384 1.276 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C2(CCC)CC2)[C@@H](n2ccnn2)C1 ZINC001129238738 752301342 /nfs/dbraw/zinc/30/13/42/752301342.db2.gz IEFGHGKYUBETOC-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C2(CCC)CC2)[C@@H](n2ccnn2)C1 ZINC001129238738 752301348 /nfs/dbraw/zinc/30/13/48/752301348.db2.gz IEFGHGKYUBETOC-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO C#CCN(C(=O)[C@H](C)C#N)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110620353 748835113 /nfs/dbraw/zinc/83/51/13/748835113.db2.gz YJTWBZIBUWPGAY-CYBMUJFWSA-N 1 2 311.389 1.375 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@]2(C)CCCOC2)C1 ZINC001108310139 761900642 /nfs/dbraw/zinc/90/06/42/761900642.db2.gz IXQIAJYVYPETSQ-SJORKVTESA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@]2(C)CCCOC2)C1 ZINC001108310139 761900646 /nfs/dbraw/zinc/90/06/46/761900646.db2.gz IXQIAJYVYPETSQ-SJORKVTESA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(CC)CCOCC2)C1 ZINC001108310422 761902175 /nfs/dbraw/zinc/90/21/75/761902175.db2.gz NXEUNMVGMIEXGZ-KRWDZBQOSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(CC)CCOCC2)C1 ZINC001108310422 761902181 /nfs/dbraw/zinc/90/21/81/761902181.db2.gz NXEUNMVGMIEXGZ-KRWDZBQOSA-N 1 2 322.449 1.424 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2COc3ccccc32)C1 ZINC001032224624 749031843 /nfs/dbraw/zinc/03/18/43/749031843.db2.gz CHOZXYKARYCISU-CQSZACIVSA-N 1 2 306.793 1.963 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001125248343 749069476 /nfs/dbraw/zinc/06/94/76/749069476.db2.gz SRYJNHPFAWISMF-UHFFFAOYSA-N 1 2 324.425 1.556 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1Cc2cc(OC)ccc2C1=O ZINC000863270255 749076019 /nfs/dbraw/zinc/07/60/19/749076019.db2.gz OXXTUCWDPUHKRO-UHFFFAOYSA-N 1 2 302.374 1.580 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1Cc2cc(OC)ccc2C1=O ZINC000863270255 749076021 /nfs/dbraw/zinc/07/60/21/749076021.db2.gz OXXTUCWDPUHKRO-UHFFFAOYSA-N 1 2 302.374 1.580 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+]([C@H](C)c4ncccn4)C[C@H]32)CCC1 ZINC001114396581 749137622 /nfs/dbraw/zinc/13/76/22/749137622.db2.gz BLMYOARTZGWNFC-WCVJEAGWSA-N 1 2 324.428 1.778 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+]([C@H](C)c4ncccn4)C[C@H]32)CCC1 ZINC001114396581 749137624 /nfs/dbraw/zinc/13/76/24/749137624.db2.gz BLMYOARTZGWNFC-WCVJEAGWSA-N 1 2 324.428 1.778 20 30 DDEDLO Cn1cccc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032284253 749181352 /nfs/dbraw/zinc/18/13/52/749181352.db2.gz NIBUDBWVEGMUPV-IRXDYDNUSA-N 1 2 320.396 1.996 20 30 DDEDLO Cn1cccc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032284253 749181358 /nfs/dbraw/zinc/18/13/58/749181358.db2.gz NIBUDBWVEGMUPV-IRXDYDNUSA-N 1 2 320.396 1.996 20 30 DDEDLO Cc1nn[nH]c1C(=O)N(C)[C@H]1CC[N@H+](Cc2cccc(C#N)c2)C1 ZINC001033319132 749185975 /nfs/dbraw/zinc/18/59/75/749185975.db2.gz QJOPRHUXXKCWRU-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1nn[nH]c1C(=O)N(C)[C@H]1CC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC001033319132 749185978 /nfs/dbraw/zinc/18/59/78/749185978.db2.gz QJOPRHUXXKCWRU-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]([NH2+]Cc2nnc(C)o2)C(C)(C)C1 ZINC000996134878 749389741 /nfs/dbraw/zinc/38/97/41/749389741.db2.gz HKGGGJCGIPPPBQ-GFCCVEGCSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)/C=C/C3CC3)nn2)C1 ZINC001107153766 749431656 /nfs/dbraw/zinc/43/16/56/749431656.db2.gz NPQHYAJVCAXIOV-AATRIKPKSA-N 1 2 301.394 1.293 20 30 DDEDLO Cc1cccn2cc(CC(=O)N(C)[C@H]3CCN(CC#N)C3)[nH+]c12 ZINC001033500227 749443171 /nfs/dbraw/zinc/44/31/71/749443171.db2.gz GLQYZBCDPMVEQW-HNNXBMFYSA-N 1 2 311.389 1.242 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)[C@H](C)C=C)nn2)C1 ZINC001107198090 749563156 /nfs/dbraw/zinc/56/31/56/749563156.db2.gz RTKDYHUOYHBIDD-CHWSQXEVSA-N 1 2 303.410 1.395 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@H](C)C#N)C1 ZINC001111660253 749762206 /nfs/dbraw/zinc/76/22/06/749762206.db2.gz ATTPJAYMXQBJLA-KEYYUXOJSA-N 1 2 315.421 1.533 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@H](C)C#N)C1 ZINC001111660253 749762212 /nfs/dbraw/zinc/76/22/12/749762212.db2.gz ATTPJAYMXQBJLA-KEYYUXOJSA-N 1 2 315.421 1.533 20 30 DDEDLO N#Cc1ccc(N2CCC(NC(=O)CCc3[nH]cc[nH+]3)CC2)cn1 ZINC001095412287 749781132 /nfs/dbraw/zinc/78/11/32/749781132.db2.gz MIDBSWGIOPRWOV-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO O=C(C[C@@H]1CCOC1)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#Cc1ccccc1 ZINC001032327300 750131001 /nfs/dbraw/zinc/13/10/01/750131001.db2.gz QSTVJJQUOPZYOI-FHWLQOOXSA-N 1 2 324.424 1.750 20 30 DDEDLO O=C(C[C@@H]1CCOC1)N1C[C@@H]2C[C@H]1C[N@H+]2CC#Cc1ccccc1 ZINC001032327300 750131005 /nfs/dbraw/zinc/13/10/05/750131005.db2.gz QSTVJJQUOPZYOI-FHWLQOOXSA-N 1 2 324.424 1.750 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncccc2C)[C@@H](O)C1 ZINC001090165496 750150236 /nfs/dbraw/zinc/15/02/36/750150236.db2.gz IJHJZNFXBAIJOM-STQMWFEESA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncccc2C)[C@@H](O)C1 ZINC001090165496 750150242 /nfs/dbraw/zinc/15/02/42/750150242.db2.gz IJHJZNFXBAIJOM-STQMWFEESA-N 1 2 309.797 1.308 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001032417853 750719434 /nfs/dbraw/zinc/71/94/34/750719434.db2.gz OUIRXBUHRYPYBF-KBMXLJTQSA-N 1 2 316.376 1.901 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001032417853 750719438 /nfs/dbraw/zinc/71/94/38/750719438.db2.gz OUIRXBUHRYPYBF-KBMXLJTQSA-N 1 2 316.376 1.901 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccncc2C)C1 ZINC001107947599 750792879 /nfs/dbraw/zinc/79/28/79/750792879.db2.gz NINNWDQRIPOESN-GOSISDBHSA-N 1 2 315.417 1.163 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccncc2C)C1 ZINC001107947599 750792880 /nfs/dbraw/zinc/79/28/80/750792880.db2.gz NINNWDQRIPOESN-GOSISDBHSA-N 1 2 315.417 1.163 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(C2CCCC2)n1 ZINC001032428077 750805335 /nfs/dbraw/zinc/80/53/35/750805335.db2.gz HKTWVRSCNFYQHE-HOTGVXAUSA-N 1 2 312.417 1.920 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(C2CCCC2)n1 ZINC001032428077 750805345 /nfs/dbraw/zinc/80/53/45/750805345.db2.gz HKTWVRSCNFYQHE-HOTGVXAUSA-N 1 2 312.417 1.920 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1CC(C)C ZINC001032428071 750805920 /nfs/dbraw/zinc/80/59/20/750805920.db2.gz HDYMQBJIUMEPOK-GJZGRUSLSA-N 1 2 300.406 1.461 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1CC(C)C ZINC001032428071 750805928 /nfs/dbraw/zinc/80/59/28/750805928.db2.gz HDYMQBJIUMEPOK-GJZGRUSLSA-N 1 2 300.406 1.461 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncnc2ccsc21 ZINC001032438299 750833360 /nfs/dbraw/zinc/83/33/60/750833360.db2.gz QZXPATYHIUCHCG-RYUDHWBXSA-N 1 2 312.398 1.613 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncnc2ccsc21 ZINC001032438299 750833366 /nfs/dbraw/zinc/83/33/66/750833366.db2.gz QZXPATYHIUCHCG-RYUDHWBXSA-N 1 2 312.398 1.613 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CC2CC(OCC)C2)C1 ZINC001108154651 750849420 /nfs/dbraw/zinc/84/94/20/750849420.db2.gz CPMKUGOCYRQWCW-VMBOVVBDSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CC2CC(OCC)C2)C1 ZINC001108154651 750849429 /nfs/dbraw/zinc/84/94/29/750849429.db2.gz CPMKUGOCYRQWCW-VMBOVVBDSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(c3cccnc3)CC2)C1 ZINC001108156146 750858951 /nfs/dbraw/zinc/85/89/51/750858951.db2.gz ZMQHNJKIWMPSHJ-QGZVFWFLSA-N 1 2 315.417 1.506 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(c3cccnc3)CC2)C1 ZINC001108156146 750858959 /nfs/dbraw/zinc/85/89/59/750858959.db2.gz ZMQHNJKIWMPSHJ-QGZVFWFLSA-N 1 2 315.417 1.506 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cn(C)nn4)C[C@H]32)CC1 ZINC001114794464 751089869 /nfs/dbraw/zinc/08/98/69/751089869.db2.gz JDOSECDGIGNPLA-FICVDOATSA-N 1 2 315.421 1.108 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cn(C)nn4)C[C@H]32)CC1 ZINC001114794464 751089875 /nfs/dbraw/zinc/08/98/75/751089875.db2.gz JDOSECDGIGNPLA-FICVDOATSA-N 1 2 315.421 1.108 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(F)(F)F)nc1 ZINC001032548970 751269785 /nfs/dbraw/zinc/26/97/85/751269785.db2.gz LNARBOMVBUFOFF-RYUDHWBXSA-N 1 2 309.291 1.632 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(F)(F)F)nc1 ZINC001032548970 751269790 /nfs/dbraw/zinc/26/97/90/751269790.db2.gz LNARBOMVBUFOFF-RYUDHWBXSA-N 1 2 309.291 1.632 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2[nH]c1=O ZINC001032551588 751278387 /nfs/dbraw/zinc/27/83/87/751278387.db2.gz XVGWABKYXRUNQP-KBPBESRZSA-N 1 2 307.353 1.472 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2[nH]c1=O ZINC001032551588 751278391 /nfs/dbraw/zinc/27/83/91/751278391.db2.gz XVGWABKYXRUNQP-KBPBESRZSA-N 1 2 307.353 1.472 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+][C@@H](C)c2csnn2)CCOCC1 ZINC001129117126 751304432 /nfs/dbraw/zinc/30/44/32/751304432.db2.gz SVAAVCKEWXWHNJ-LBPRGKRZSA-N 1 2 322.434 1.125 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cn2)CC[C@H]1NCC#N ZINC001036253246 752307288 /nfs/dbraw/zinc/30/72/88/752307288.db2.gz JTAMXIVQLKWVFK-UKRRQHHQSA-N 1 2 324.388 1.231 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001007749831 752312342 /nfs/dbraw/zinc/31/23/42/752312342.db2.gz ABIBGOJOFSAUTR-ZDUSSCGKSA-N 1 2 309.797 1.332 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001007749831 752312351 /nfs/dbraw/zinc/31/23/51/752312351.db2.gz ABIBGOJOFSAUTR-ZDUSSCGKSA-N 1 2 309.797 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H](C)c2cncnc2)C1 ZINC001008277825 752649001 /nfs/dbraw/zinc/64/90/01/752649001.db2.gz BJOKXCFWEMDCLK-JSGCOSHPSA-N 1 2 308.813 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)c2cncnc2)C1 ZINC001008277825 752649002 /nfs/dbraw/zinc/64/90/02/752649002.db2.gz BJOKXCFWEMDCLK-JSGCOSHPSA-N 1 2 308.813 1.913 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CCC[N@@H+](Cc3cnnn3C)C2)CC1 ZINC001008402521 752709313 /nfs/dbraw/zinc/70/93/13/752709313.db2.gz BMLJVGBWPURJNK-HNNXBMFYSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CCC[N@H+](Cc3cnnn3C)C2)CC1 ZINC001008402521 752709317 /nfs/dbraw/zinc/70/93/17/752709317.db2.gz BMLJVGBWPURJNK-HNNXBMFYSA-N 1 2 317.437 1.642 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c2ccccc2nn1C ZINC001032715032 752911630 /nfs/dbraw/zinc/91/16/30/752911630.db2.gz ZAHQQLIVCCGDBB-KBPBESRZSA-N 1 2 308.385 1.495 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c2ccccc2nn1C ZINC001032715032 752911634 /nfs/dbraw/zinc/91/16/34/752911634.db2.gz ZAHQQLIVCCGDBB-KBPBESRZSA-N 1 2 308.385 1.495 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001008985530 753021201 /nfs/dbraw/zinc/02/12/01/753021201.db2.gz GHPUVPDLRZXOIQ-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001008985530 753021203 /nfs/dbraw/zinc/02/12/03/753021203.db2.gz GHPUVPDLRZXOIQ-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H](NC(=O)c2cnn(-c3ccncc3)c2)C1 ZINC001009017299 753037473 /nfs/dbraw/zinc/03/74/73/753037473.db2.gz WPVAHNRSXXXJTA-HNNXBMFYSA-N 1 2 311.389 1.648 20 30 DDEDLO C=CC[N@H+]1CCC[C@H](NC(=O)c2cnn(-c3ccncc3)c2)C1 ZINC001009017299 753037477 /nfs/dbraw/zinc/03/74/77/753037477.db2.gz WPVAHNRSXXXJTA-HNNXBMFYSA-N 1 2 311.389 1.648 20 30 DDEDLO C=C(Cl)C[NH2+]C1CC(N(C)C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000999817493 753160001 /nfs/dbraw/zinc/16/00/01/753160001.db2.gz HWJZEZXZQRKDBD-UHFFFAOYSA-N 1 2 319.796 1.903 20 30 DDEDLO N#CCN1CCC[C@H](NC(=O)C[N@@H+]2CCc3sccc3C2)C1 ZINC001009603541 753272903 /nfs/dbraw/zinc/27/29/03/753272903.db2.gz PTSZBGRKBJXAIL-AWEZNQCLSA-N 1 2 318.446 1.210 20 30 DDEDLO N#CCN1CCC[C@H](NC(=O)C[N@H+]2CCc3sccc3C2)C1 ZINC001009603541 753272906 /nfs/dbraw/zinc/27/29/06/753272906.db2.gz PTSZBGRKBJXAIL-AWEZNQCLSA-N 1 2 318.446 1.210 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3C[C@H](F)CC)cn1 ZINC001032739455 753415995 /nfs/dbraw/zinc/41/59/95/753415995.db2.gz CXLFBPDVSRVTSH-KBMXLJTQSA-N 1 2 301.365 1.710 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3C[C@H](F)CC)cn1 ZINC001032739455 753415996 /nfs/dbraw/zinc/41/59/96/753415996.db2.gz CXLFBPDVSRVTSH-KBMXLJTQSA-N 1 2 301.365 1.710 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001060914038 753451691 /nfs/dbraw/zinc/45/16/91/753451691.db2.gz PWLJMGHSAFYZTR-GFCCVEGCSA-N 1 2 310.361 1.179 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)COCC2CCCC2)C1 ZINC001108001913 753474099 /nfs/dbraw/zinc/47/40/99/753474099.db2.gz QFEUDVFGIDCAJV-GOSISDBHSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)COCC2CCCC2)C1 ZINC001108001913 753474101 /nfs/dbraw/zinc/47/41/01/753474101.db2.gz QFEUDVFGIDCAJV-GOSISDBHSA-N 1 2 322.449 1.424 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001010033027 753560077 /nfs/dbraw/zinc/56/00/77/753560077.db2.gz SCEAQUMSNPUEKX-QGZVFWFLSA-N 1 2 322.368 1.196 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001010033027 753560081 /nfs/dbraw/zinc/56/00/81/753560081.db2.gz SCEAQUMSNPUEKX-QGZVFWFLSA-N 1 2 322.368 1.196 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H](NC(=O)c3ccc(C)o3)C2)C1=O ZINC001010042005 753568174 /nfs/dbraw/zinc/56/81/74/753568174.db2.gz DXRZVNDSBIBEIK-UONOGXRCSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H](NC(=O)c3ccc(C)o3)C2)C1=O ZINC001010042005 753568177 /nfs/dbraw/zinc/56/81/77/753568177.db2.gz DXRZVNDSBIBEIK-UONOGXRCSA-N 1 2 317.389 1.179 20 30 DDEDLO C=C1CCC(C(=O)NC[C@]2(C)C[N@H+](CC#CC)CCO2)CC1 ZINC001108186314 753647003 /nfs/dbraw/zinc/64/70/03/753647003.db2.gz MSPGWUTZGGDAFD-GOSISDBHSA-N 1 2 304.434 1.963 20 30 DDEDLO C=C1CCC(C(=O)NC[C@]2(C)C[N@@H+](CC#CC)CCO2)CC1 ZINC001108186314 753647006 /nfs/dbraw/zinc/64/70/06/753647006.db2.gz MSPGWUTZGGDAFD-GOSISDBHSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C23CCC(CC2)C3)C1 ZINC001108030189 753751643 /nfs/dbraw/zinc/75/16/43/753751643.db2.gz BNLRFURFSZUYIM-NXYGQSRBSA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C23CCC(CC2)C3)C1 ZINC001108030189 753751644 /nfs/dbraw/zinc/75/16/44/753751644.db2.gz BNLRFURFSZUYIM-NXYGQSRBSA-N 1 2 304.434 1.797 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@@H](NC(=O)c3ccon3)C2)c1 ZINC001010330281 753769474 /nfs/dbraw/zinc/76/94/74/753769474.db2.gz HCNBVJJBGMIHHX-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@@H](NC(=O)c3ccon3)C2)c1 ZINC001010330281 753769479 /nfs/dbraw/zinc/76/94/79/753769479.db2.gz HCNBVJJBGMIHHX-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO C=C1CCC(C(=O)NCC[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001078216768 753849266 /nfs/dbraw/zinc/84/92/66/753849266.db2.gz BPBWQYDIUAOPPU-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)NCC1=CCN(CC#N)CC1)C2 ZINC001000865263 762279963 /nfs/dbraw/zinc/27/99/63/762279963.db2.gz APEDSSMGYADANK-AWEZNQCLSA-N 1 2 313.405 1.095 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)NCC1=CCN(CC#N)CC1)CC2 ZINC001000865263 762279972 /nfs/dbraw/zinc/27/99/72/762279972.db2.gz APEDSSMGYADANK-AWEZNQCLSA-N 1 2 313.405 1.095 20 30 DDEDLO Cc1nc(N2CCC3(C2)CCN(C(=O)[C@@H](C)C#N)CC3)cc[nH+]1 ZINC001063594233 754241964 /nfs/dbraw/zinc/24/19/64/754241964.db2.gz YJXRPCROBSVXOV-ZDUSSCGKSA-N 1 2 313.405 1.764 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)OCCc2ccccc21 ZINC001032814321 754305393 /nfs/dbraw/zinc/30/53/93/754305393.db2.gz IXLLZABCFXYAIY-ZWOKBUDYSA-N 1 2 324.424 1.783 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)OCCc2ccccc21 ZINC001032814321 754305396 /nfs/dbraw/zinc/30/53/96/754305396.db2.gz IXLLZABCFXYAIY-ZWOKBUDYSA-N 1 2 324.424 1.783 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064110479 754518949 /nfs/dbraw/zinc/51/89/49/754518949.db2.gz XMUATWBYTAYETH-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cccc(C)c2O1 ZINC001032823046 754531196 /nfs/dbraw/zinc/53/11/96/754531196.db2.gz DKJDZUKVBFQTNB-YESZJQIVSA-N 1 2 310.397 1.607 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cccc(C)c2O1 ZINC001032823046 754531204 /nfs/dbraw/zinc/53/12/04/754531204.db2.gz DKJDZUKVBFQTNB-YESZJQIVSA-N 1 2 310.397 1.607 20 30 DDEDLO C[C@H]1C[C@H](Nc2ncccc2C#N)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064832245 754874717 /nfs/dbraw/zinc/87/47/17/754874717.db2.gz LNJPQQCAMLBRQT-GXTWGEPZSA-N 1 2 324.388 1.710 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN2C(=O)Cc2c[nH+]c[nH]2)nc1 ZINC001065018322 755022742 /nfs/dbraw/zinc/02/27/42/755022742.db2.gz JSTBSSPSFIOOEL-AWEZNQCLSA-N 1 2 310.361 1.322 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001079852753 755609086 /nfs/dbraw/zinc/60/90/86/755609086.db2.gz SMHSRBQKOHZZEL-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001079852753 755609087 /nfs/dbraw/zinc/60/90/87/755609087.db2.gz SMHSRBQKOHZZEL-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C[C@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)Cc1c[nH+]cn1C ZINC001040155974 762412643 /nfs/dbraw/zinc/41/26/43/762412643.db2.gz SOHAVFNCQFITHJ-WFASDCNBSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001080305676 755815880 /nfs/dbraw/zinc/81/58/80/755815880.db2.gz ZPNVAJCEVVQEKQ-HCKVZZMMSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001080305676 755815885 /nfs/dbraw/zinc/81/58/85/755815885.db2.gz ZPNVAJCEVVQEKQ-HCKVZZMMSA-N 1 2 313.829 1.092 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](NC(=O)c2sccc2CN(C)C)C1 ZINC001014596110 755818854 /nfs/dbraw/zinc/81/88/54/755818854.db2.gz QNNIHGIZGPJKSN-AWEZNQCLSA-N 1 2 305.447 1.637 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](NC(=O)c2sccc2CN(C)C)C1 ZINC001014596110 755818860 /nfs/dbraw/zinc/81/88/60/755818860.db2.gz QNNIHGIZGPJKSN-AWEZNQCLSA-N 1 2 305.447 1.637 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C(N)=O)co2)C1 ZINC001080424125 755889284 /nfs/dbraw/zinc/88/92/84/755889284.db2.gz BFAMYTDRAZXKHD-LDYMZIIASA-N 1 2 311.769 1.181 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C(N)=O)co2)C1 ZINC001080424125 755889290 /nfs/dbraw/zinc/88/92/90/755889290.db2.gz BFAMYTDRAZXKHD-LDYMZIIASA-N 1 2 311.769 1.181 20 30 DDEDLO C[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1cnon1 ZINC001080647107 756035089 /nfs/dbraw/zinc/03/50/89/756035089.db2.gz FSLOJJDKWUGGHL-CZUORRHYSA-N 1 2 310.357 1.171 20 30 DDEDLO C[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1cnon1 ZINC001080647107 756035094 /nfs/dbraw/zinc/03/50/94/756035094.db2.gz FSLOJJDKWUGGHL-CZUORRHYSA-N 1 2 310.357 1.171 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001015456022 756312352 /nfs/dbraw/zinc/31/23/52/756312352.db2.gz COJQTJDCWIHZLV-NSHDSACASA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001015456022 756312358 /nfs/dbraw/zinc/31/23/58/756312358.db2.gz COJQTJDCWIHZLV-NSHDSACASA-N 1 2 315.402 1.656 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3ccns3)C2)c1 ZINC001015679303 756461458 /nfs/dbraw/zinc/46/14/58/756461458.db2.gz YURWWYSVEPUCHN-AWEZNQCLSA-N 1 2 312.398 1.524 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3ccns3)C2)c1 ZINC001015679303 756461460 /nfs/dbraw/zinc/46/14/60/756461460.db2.gz YURWWYSVEPUCHN-AWEZNQCLSA-N 1 2 312.398 1.524 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)cc2F)[C@H](OC)C1 ZINC001081915641 756533751 /nfs/dbraw/zinc/53/37/51/756533751.db2.gz PLEZSONZSXNESI-HUUCEWRRSA-N 1 2 324.783 1.931 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)cc2F)[C@H](OC)C1 ZINC001081915641 756533757 /nfs/dbraw/zinc/53/37/57/756533757.db2.gz PLEZSONZSXNESI-HUUCEWRRSA-N 1 2 324.783 1.931 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001015818918 756569633 /nfs/dbraw/zinc/56/96/33/756569633.db2.gz WQILWHZUISXMSK-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001015818918 756569635 /nfs/dbraw/zinc/56/96/35/756569635.db2.gz WQILWHZUISXMSK-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001015833878 756580474 /nfs/dbraw/zinc/58/04/74/756580474.db2.gz KWLFWCAJVPPTTM-GFCCVEGCSA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001015833878 756580476 /nfs/dbraw/zinc/58/04/76/756580476.db2.gz KWLFWCAJVPPTTM-GFCCVEGCSA-N 1 2 305.769 1.286 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(OCC)c2)[C@H](OC)C1 ZINC001082197411 756672189 /nfs/dbraw/zinc/67/21/89/756672189.db2.gz FJMABEXTBLPYNH-NVXWUHKLSA-N 1 2 316.401 1.456 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)c(OCC)c2)[C@H](OC)C1 ZINC001082197411 756672193 /nfs/dbraw/zinc/67/21/93/756672193.db2.gz FJMABEXTBLPYNH-NVXWUHKLSA-N 1 2 316.401 1.456 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cncc(F)c3)C2)nc1 ZINC001016025058 756729896 /nfs/dbraw/zinc/72/98/96/756729896.db2.gz UFBZNPLODUPZCT-INIZCTEOSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cncc(F)c3)C2)nc1 ZINC001016025058 756729898 /nfs/dbraw/zinc/72/98/98/756729898.db2.gz UFBZNPLODUPZCT-INIZCTEOSA-N 1 2 324.359 1.601 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099738932 756731140 /nfs/dbraw/zinc/73/11/40/756731140.db2.gz USCIRFUJDIVUQO-QWRGUYRKSA-N 1 2 323.220 1.059 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099738932 756731141 /nfs/dbraw/zinc/73/11/41/756731141.db2.gz USCIRFUJDIVUQO-QWRGUYRKSA-N 1 2 323.220 1.059 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3nccnc3C)C2)nc1 ZINC001016028155 756731468 /nfs/dbraw/zinc/73/14/68/756731468.db2.gz VQGWCTTZPAJPHW-OAHLLOKOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3nccnc3C)C2)nc1 ZINC001016028155 756731472 /nfs/dbraw/zinc/73/14/72/756731472.db2.gz VQGWCTTZPAJPHW-OAHLLOKOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3[nH]ccc3s2)[C@H](OC)C1 ZINC001082347840 756742017 /nfs/dbraw/zinc/74/20/17/756742017.db2.gz WHVOTAPLGXBNGR-VXGBXAGGSA-N 1 2 303.387 1.292 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3[nH]ccc3s2)[C@H](OC)C1 ZINC001082347840 756742022 /nfs/dbraw/zinc/74/20/22/756742022.db2.gz WHVOTAPLGXBNGR-VXGBXAGGSA-N 1 2 303.387 1.292 20 30 DDEDLO Cn1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)n1 ZINC001016254650 756874840 /nfs/dbraw/zinc/87/48/40/756874840.db2.gz XBWDNNTUSKSURS-LLVKDONJSA-N 1 2 315.402 1.358 20 30 DDEDLO Cn1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)n1 ZINC001016254650 756874845 /nfs/dbraw/zinc/87/48/45/756874845.db2.gz XBWDNNTUSKSURS-LLVKDONJSA-N 1 2 315.402 1.358 20 30 DDEDLO Cc1nonc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001016413853 757016333 /nfs/dbraw/zinc/01/63/33/757016333.db2.gz GCQOLCSCGDYIFM-OAHLLOKOSA-N 1 2 310.357 1.234 20 30 DDEDLO Cc1nonc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001016413853 757016336 /nfs/dbraw/zinc/01/63/36/757016336.db2.gz GCQOLCSCGDYIFM-OAHLLOKOSA-N 1 2 310.357 1.234 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097310770 757082136 /nfs/dbraw/zinc/08/21/36/757082136.db2.gz BPAKUYLWWNQINM-UKRRQHHQSA-N 1 2 318.421 1.709 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3nc(C(C)C)oc3C)[C@H]2C1 ZINC001083080821 757124977 /nfs/dbraw/zinc/12/49/77/757124977.db2.gz GVDGQAIYYITQAU-UONOGXRCSA-N 1 2 319.405 1.818 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3nc(C(C)C)oc3C)[C@H]2C1 ZINC001083080821 757124987 /nfs/dbraw/zinc/12/49/87/757124987.db2.gz GVDGQAIYYITQAU-UONOGXRCSA-N 1 2 319.405 1.818 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(C)c3F)[C@H]2C1 ZINC001083090670 757130674 /nfs/dbraw/zinc/13/06/74/757130674.db2.gz KEZRYLAUHMGKAW-LSDHHAIUSA-N 1 2 302.349 1.293 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(C)c3F)[C@H]2C1 ZINC001083090670 757130675 /nfs/dbraw/zinc/13/06/75/757130675.db2.gz KEZRYLAUHMGKAW-LSDHHAIUSA-N 1 2 302.349 1.293 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4occc4[nH]3)[C@H]2C1 ZINC001083097535 757134522 /nfs/dbraw/zinc/13/45/22/757134522.db2.gz PBWJWKBPNLMBLR-GOEBONIOSA-N 1 2 313.357 1.309 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4occc4[nH]3)[C@H]2C1 ZINC001083097535 757134526 /nfs/dbraw/zinc/13/45/26/757134526.db2.gz PBWJWKBPNLMBLR-GOEBONIOSA-N 1 2 313.357 1.309 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1csc(=O)[nH]1)C2 ZINC001097678337 757352037 /nfs/dbraw/zinc/35/20/37/757352037.db2.gz AAWDFXXEJCMVNI-KKZNHRDASA-N 1 2 313.810 1.936 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1csc(=O)[nH]1)C2 ZINC001097678337 757352048 /nfs/dbraw/zinc/35/20/48/757352048.db2.gz AAWDFXXEJCMVNI-KKZNHRDASA-N 1 2 313.810 1.936 20 30 DDEDLO O=C(c1cocn1)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084230966 757430568 /nfs/dbraw/zinc/43/05/68/757430568.db2.gz NAHOORLRIOHTEE-SJLPKXTDSA-N 1 2 321.380 1.873 20 30 DDEDLO O=C(c1cocn1)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084230966 757430579 /nfs/dbraw/zinc/43/05/79/757430579.db2.gz NAHOORLRIOHTEE-SJLPKXTDSA-N 1 2 321.380 1.873 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3c[nH]c(C#N)c3)[C@@H]2C1 ZINC001084289235 757444491 /nfs/dbraw/zinc/44/44/91/757444491.db2.gz WCTWXELCCKZCRI-BXUZGUMPSA-N 1 2 304.781 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3c[nH]c(C#N)c3)[C@@H]2C1 ZINC001084289235 757444493 /nfs/dbraw/zinc/44/44/93/757444493.db2.gz WCTWXELCCKZCRI-BXUZGUMPSA-N 1 2 304.781 1.785 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3n[nH]c(C4CC4)c3Cl)[C@@H]2C1 ZINC001084485766 757606389 /nfs/dbraw/zinc/60/63/89/757606389.db2.gz WGJVVTUYNIOIOC-VXGBXAGGSA-N 1 2 318.808 1.720 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3n[nH]c(C4CC4)c3Cl)[C@@H]2C1 ZINC001084485766 757606392 /nfs/dbraw/zinc/60/63/92/757606392.db2.gz WGJVVTUYNIOIOC-VXGBXAGGSA-N 1 2 318.808 1.720 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3nc4cnccc4s3)[C@@H]2C1 ZINC001084504846 757622974 /nfs/dbraw/zinc/62/29/74/757622974.db2.gz GJEHOLXVZXUMGB-DGCLKSJQSA-N 1 2 312.398 1.471 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3nc4cnccc4s3)[C@@H]2C1 ZINC001084504846 757622983 /nfs/dbraw/zinc/62/29/83/757622983.db2.gz GJEHOLXVZXUMGB-DGCLKSJQSA-N 1 2 312.398 1.471 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](Cc3cc(C)n(C)n3)C[C@H]21 ZINC001084578210 757678869 /nfs/dbraw/zinc/67/88/69/757678869.db2.gz PRAZNRRYTYCPNC-GDBMZVCRSA-N 1 2 316.449 1.973 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@H+](Cc3cc(C)n(C)n3)C[C@H]21 ZINC001084578210 757678875 /nfs/dbraw/zinc/67/88/75/757678875.db2.gz PRAZNRRYTYCPNC-GDBMZVCRSA-N 1 2 316.449 1.973 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cnc(-c4ccccc4)[nH]3)[C@@H]2C1 ZINC001084690244 757779156 /nfs/dbraw/zinc/77/91/56/757779156.db2.gz PDYCVXYRJPEKPQ-NVXWUHKLSA-N 1 2 320.396 1.856 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc(-c4ccccc4)[nH]3)[C@@H]2C1 ZINC001084690244 757779160 /nfs/dbraw/zinc/77/91/60/757779160.db2.gz PDYCVXYRJPEKPQ-NVXWUHKLSA-N 1 2 320.396 1.856 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CC[N@H+](Cc2cncs2)C[C@H]1O ZINC001099830339 757918488 /nfs/dbraw/zinc/91/84/88/757918488.db2.gz QBZBUNWNQOTPKZ-ZIAGYGMSSA-N 1 2 321.446 1.244 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CC[N@@H+](Cc2cncs2)C[C@H]1O ZINC001099830339 757918495 /nfs/dbraw/zinc/91/84/95/757918495.db2.gz QBZBUNWNQOTPKZ-ZIAGYGMSSA-N 1 2 321.446 1.244 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C2(C)CC2)C1=O ZINC001017575871 758044250 /nfs/dbraw/zinc/04/42/50/758044250.db2.gz LXFSCHFTHZYROT-ZNMIVQPWSA-N 1 2 317.433 1.249 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C2(C)CC2)C1=O ZINC001017575871 758044262 /nfs/dbraw/zinc/04/42/62/758044262.db2.gz LXFSCHFTHZYROT-ZNMIVQPWSA-N 1 2 317.433 1.249 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2ccc(C)cc12 ZINC001017633774 758101692 /nfs/dbraw/zinc/10/16/92/758101692.db2.gz KALHIDNQJBLLRR-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2ccc(C)cc12 ZINC001017633774 758101696 /nfs/dbraw/zinc/10/16/96/758101696.db2.gz KALHIDNQJBLLRR-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO N#CCN1CCC[C@@H]([C@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001053099313 758149863 /nfs/dbraw/zinc/14/98/63/758149863.db2.gz UXOTUWINGDBJHS-GDBMZVCRSA-N 1 2 315.421 1.569 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1OCCc2ccccc21 ZINC001017784437 758238122 /nfs/dbraw/zinc/23/81/22/758238122.db2.gz HLGWGCAIAGKNAR-JZXOWHBKSA-N 1 2 310.397 1.609 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1OCCc2ccccc21 ZINC001017784437 758238126 /nfs/dbraw/zinc/23/81/26/758238126.db2.gz HLGWGCAIAGKNAR-JZXOWHBKSA-N 1 2 310.397 1.609 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001053269189 758323804 /nfs/dbraw/zinc/32/38/04/758323804.db2.gz WCKAHERFMLCNER-OLZOCXBDSA-N 1 2 318.421 1.375 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001053269591 758324377 /nfs/dbraw/zinc/32/43/77/758324377.db2.gz ZLBAERMQUPESCL-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-n2cccn2)ccn1 ZINC001017931435 758401315 /nfs/dbraw/zinc/40/13/15/758401315.db2.gz OYTYKVUGYCZJJM-IYBDPMFKSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-n2cccn2)ccn1 ZINC001017931435 758401321 /nfs/dbraw/zinc/40/13/21/758401321.db2.gz OYTYKVUGYCZJJM-IYBDPMFKSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(F)c(OC)c1 ZINC001017985905 758441989 /nfs/dbraw/zinc/44/19/89/758441989.db2.gz MJPFZWFCLHETBA-OKILXGFUSA-N 1 2 302.349 1.756 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(F)c(OC)c1 ZINC001017985905 758441992 /nfs/dbraw/zinc/44/19/92/758441992.db2.gz MJPFZWFCLHETBA-OKILXGFUSA-N 1 2 302.349 1.756 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001018013279 758473891 /nfs/dbraw/zinc/47/38/91/758473891.db2.gz BSCKKDMAJYIONJ-HLLBOEOZSA-N 1 2 314.360 1.438 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001018013279 758473896 /nfs/dbraw/zinc/47/38/96/758473896.db2.gz BSCKKDMAJYIONJ-HLLBOEOZSA-N 1 2 314.360 1.438 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCC1CCOCC1)CCO2 ZINC001053457952 758476950 /nfs/dbraw/zinc/47/69/50/758476950.db2.gz FVTZMPDUTPAGPT-UHFFFAOYSA-N 1 2 322.449 1.683 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N1CCOC2(C[NH+](CCC)C2)C1 ZINC001053465736 758482913 /nfs/dbraw/zinc/48/29/13/758482913.db2.gz WRLLRECZTAJTCB-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]ncc1Br ZINC001018070010 758522162 /nfs/dbraw/zinc/52/21/62/758522162.db2.gz YJYLVVWQHWDUHF-AOOOYVTPSA-N 1 2 323.194 1.094 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]ncc1Br ZINC001018070010 758522168 /nfs/dbraw/zinc/52/21/68/758522168.db2.gz YJYLVVWQHWDUHF-AOOOYVTPSA-N 1 2 323.194 1.094 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1NC(=O)c2ccccc21 ZINC001018084967 758536144 /nfs/dbraw/zinc/53/61/44/758536144.db2.gz CRXOPXGVGXSPJY-JKIFEVAISA-N 1 2 323.396 1.170 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1NC(=O)c2ccccc21 ZINC001018084967 758536147 /nfs/dbraw/zinc/53/61/47/758536147.db2.gz CRXOPXGVGXSPJY-JKIFEVAISA-N 1 2 323.396 1.170 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(F)s1)CCO2 ZINC001053548792 758554422 /nfs/dbraw/zinc/55/44/22/758554422.db2.gz YMEHRZWETRRTMZ-UHFFFAOYSA-N 1 2 310.394 1.990 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccccc1O)O2 ZINC001053575562 758585740 /nfs/dbraw/zinc/58/57/40/758585740.db2.gz YVRONKHCAFGHSR-CYBMUJFWSA-N 1 2 302.374 1.541 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCC1(F)F)O2 ZINC001053615665 758633925 /nfs/dbraw/zinc/63/39/25/758633925.db2.gz RCGNYVYOCYZZNA-NEPJUHHUSA-N 1 2 300.349 1.567 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccnnc1C)O2 ZINC001053627504 758644917 /nfs/dbraw/zinc/64/49/17/758644917.db2.gz YXDWKSCPRKZRKO-CQSZACIVSA-N 1 2 316.405 1.324 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCO[C@H]1CC)O2 ZINC001053631862 758648632 /nfs/dbraw/zinc/64/86/32/758648632.db2.gz LACGFRYSQOEBAC-KFWWJZLASA-N 1 2 308.422 1.337 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nc2ccccc21 ZINC001018231115 758653566 /nfs/dbraw/zinc/65/35/66/758653566.db2.gz JGEQNEFBGZWZNC-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nc2ccccc21 ZINC001018231115 758653571 /nfs/dbraw/zinc/65/35/71/758653571.db2.gz JGEQNEFBGZWZNC-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(OC)cs1)O2 ZINC001053640610 758654724 /nfs/dbraw/zinc/65/47/24/758654724.db2.gz CGTBIAILMPOKBT-GFCCVEGCSA-N 1 2 322.430 1.906 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cn(C)nc1Cl)O2 ZINC001053686416 758696070 /nfs/dbraw/zinc/69/60/70/758696070.db2.gz PMVJITTUUGCCNT-LLVKDONJSA-N 1 2 324.812 1.223 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccccc1OC)O2 ZINC001053692331 758701547 /nfs/dbraw/zinc/70/15/47/758701547.db2.gz MMBVWKSCEFJVOO-AWEZNQCLSA-N 1 2 316.401 1.844 20 30 DDEDLO Cc1nc(N[C@H](C)[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001065684802 758703304 /nfs/dbraw/zinc/70/33/04/758703304.db2.gz BYZUPGFUWLMDPA-YPMHNXCESA-N 1 2 324.388 1.948 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnccc1C)CO2 ZINC001053764865 758783156 /nfs/dbraw/zinc/78/31/56/758783156.db2.gz ANWGKDPQGQFOGI-AWEZNQCLSA-N 1 2 301.390 1.539 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1CNc1ccc(C#N)cn1 ZINC001065861195 758865952 /nfs/dbraw/zinc/86/59/52/758865952.db2.gz PXRWWSZJKAPJEI-DZGCQCFKSA-N 1 2 324.388 1.356 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnn(C)c1CC)CO2 ZINC001053861294 758893716 /nfs/dbraw/zinc/89/37/16/758893716.db2.gz GCTJPWZVAAWVOQ-CYBMUJFWSA-N 1 2 318.421 1.132 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@H]2COC3(CN(CC#N)C3)C2)c(C)[nH+]1 ZINC001053875737 758910938 /nfs/dbraw/zinc/91/09/38/758910938.db2.gz LCLKEGHFQMHRLM-CQSZACIVSA-N 1 2 314.389 1.104 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1cncc(C)c1)CO2 ZINC001053880609 758914506 /nfs/dbraw/zinc/91/45/06/758914506.db2.gz SJTFVUVCDAJOQL-MRXNPFEDSA-N 1 2 315.417 1.468 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2COC3(C[NH+](C[C@@H](C)OC)C3)C2)CC1 ZINC001053914029 758948024 /nfs/dbraw/zinc/94/80/24/758948024.db2.gz DTZOOBWIAQFOMD-GDBMZVCRSA-N 1 2 322.449 1.727 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)C1CCCC1)CO2 ZINC001053981143 759020013 /nfs/dbraw/zinc/02/00/13/759020013.db2.gz ZHCAEFXSEIVRRX-ZBFHGGJFSA-N 1 2 304.434 1.796 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccccc1OC)CO2 ZINC001053988884 759032031 /nfs/dbraw/zinc/03/20/31/759032031.db2.gz SUEWLRYNJPVWOI-CQSZACIVSA-N 1 2 314.385 1.292 20 30 DDEDLO C[C@@H](CNC(=O)Cn1cc[nH+]c1)Nc1nc(Cl)c(C#N)s1 ZINC001097921377 759073968 /nfs/dbraw/zinc/07/39/68/759073968.db2.gz HOAPAOFZOLMMDL-QMMMGPOBSA-N 1 2 324.797 1.481 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108560519 762682851 /nfs/dbraw/zinc/68/28/51/762682851.db2.gz VQAMGCUDJANDDO-NSHDSACASA-N 1 2 316.409 1.882 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn(CC)c1 ZINC001054035083 759090874 /nfs/dbraw/zinc/09/08/74/759090874.db2.gz GAFDZTSBBIZBAP-SFHVURJKSA-N 1 2 322.412 1.693 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn(CC)c1 ZINC001054035083 759090880 /nfs/dbraw/zinc/09/08/80/759090880.db2.gz GAFDZTSBBIZBAP-SFHVURJKSA-N 1 2 322.412 1.693 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099960374 759239079 /nfs/dbraw/zinc/23/90/79/759239079.db2.gz TTZMRTGPKHTHJU-STQMWFEESA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099960374 759239081 /nfs/dbraw/zinc/23/90/81/759239081.db2.gz TTZMRTGPKHTHJU-STQMWFEESA-N 1 2 323.462 1.715 20 30 DDEDLO CN(C(=O)c1cc(-n2cc[nH+]c2)ccn1)C1CCN(CC#N)CC1 ZINC001006240674 759242687 /nfs/dbraw/zinc/24/26/87/759242687.db2.gz YJNBHXJDKNAMQD-UHFFFAOYSA-N 1 2 324.388 1.327 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108569684 762697216 /nfs/dbraw/zinc/69/72/16/762697216.db2.gz NMIQKEXRKRJDCZ-KBXCAEBGSA-N 1 2 319.449 1.566 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001018826653 759313220 /nfs/dbraw/zinc/31/32/20/759313220.db2.gz JHPFYBIMLVVYDF-AWEZNQCLSA-N 1 2 316.405 1.372 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cn(C)nc3OC)cc2C1 ZINC001054275779 759379823 /nfs/dbraw/zinc/37/98/23/759379823.db2.gz DDGGBZATYVYLQV-UHFFFAOYSA-N 1 2 324.384 1.308 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cn(C)nc3OC)cc2C1 ZINC001054275779 759379834 /nfs/dbraw/zinc/37/98/34/759379834.db2.gz DDGGBZATYVYLQV-UHFFFAOYSA-N 1 2 324.384 1.308 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@H]3CCOC[C@@H]3C)cc2C1 ZINC001054280391 759386326 /nfs/dbraw/zinc/38/63/26/759386326.db2.gz IJWPMBJBQLDMFJ-KSSFIOAISA-N 1 2 312.413 1.924 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@H]3CCOC[C@@H]3C)cc2C1 ZINC001054280391 759386335 /nfs/dbraw/zinc/38/63/35/759386335.db2.gz IJWPMBJBQLDMFJ-KSSFIOAISA-N 1 2 312.413 1.924 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)n[nH]1 ZINC001085502989 759565350 /nfs/dbraw/zinc/56/53/50/759565350.db2.gz LLWJGRRWPQSQGF-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)n[nH]1 ZINC001085502989 759565355 /nfs/dbraw/zinc/56/53/55/759565355.db2.gz LLWJGRRWPQSQGF-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C2CCC2)cnn1C ZINC001085607184 759820690 /nfs/dbraw/zinc/82/06/90/759820690.db2.gz JBJALCFAPUBNKC-AWEZNQCLSA-N 1 2 300.406 1.467 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C2CCC2)cnn1C ZINC001085607184 759820698 /nfs/dbraw/zinc/82/06/98/759820698.db2.gz JBJALCFAPUBNKC-AWEZNQCLSA-N 1 2 300.406 1.467 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001066285291 759858533 /nfs/dbraw/zinc/85/85/33/759858533.db2.gz NCZKERAGQNQTQT-OAHLLOKOSA-N 1 2 316.405 1.040 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccn(CC(C)C)n1 ZINC001085622988 759865899 /nfs/dbraw/zinc/86/58/99/759865899.db2.gz IJHFLIAJTRBZPM-HNNXBMFYSA-N 1 2 302.422 1.709 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccn(CC(C)C)n1 ZINC001085622988 759865909 /nfs/dbraw/zinc/86/59/09/759865909.db2.gz IJHFLIAJTRBZPM-HNNXBMFYSA-N 1 2 302.422 1.709 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](CC)C(N)=O ZINC001085641749 759917446 /nfs/dbraw/zinc/91/74/46/759917446.db2.gz VZXKVJJJKGEMGI-KBPBESRZSA-N 1 2 318.421 1.084 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](CC)C(N)=O ZINC001085641749 759917448 /nfs/dbraw/zinc/91/74/48/759917448.db2.gz VZXKVJJJKGEMGI-KBPBESRZSA-N 1 2 318.421 1.084 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2CN(C(=O)C#CC3CC3)C[C@H]2C)n1 ZINC001054639714 759932721 /nfs/dbraw/zinc/93/27/21/759932721.db2.gz VFBMVUNOYXSMBX-OCCSQVGLSA-N 1 2 316.405 1.372 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncn(-c2ccccc2)n1 ZINC001085661100 759962221 /nfs/dbraw/zinc/96/22/21/759962221.db2.gz PDJUSTQYSBETJJ-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncn(-c2ccccc2)n1 ZINC001085661100 759962228 /nfs/dbraw/zinc/96/22/28/759962228.db2.gz PDJUSTQYSBETJJ-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccnc(OC)c2)C1 ZINC001108215874 760415983 /nfs/dbraw/zinc/41/59/83/760415983.db2.gz MALQOLIISGJNMZ-QGZVFWFLSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccnc(OC)c2)C1 ZINC001108215874 760415988 /nfs/dbraw/zinc/41/59/88/760415988.db2.gz MALQOLIISGJNMZ-QGZVFWFLSA-N 1 2 319.405 1.026 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(CNC(C)=O)o1 ZINC001085877080 760434892 /nfs/dbraw/zinc/43/48/92/760434892.db2.gz RJCKNGIZGUUIFR-AWEZNQCLSA-N 1 2 317.389 1.085 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(CNC(C)=O)o1 ZINC001085877080 760434897 /nfs/dbraw/zinc/43/48/97/760434897.db2.gz RJCKNGIZGUUIFR-AWEZNQCLSA-N 1 2 317.389 1.085 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-n2cccc2)nc1 ZINC001085878890 760438459 /nfs/dbraw/zinc/43/84/59/760438459.db2.gz PYJOPBIJAMQILO-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-n2cccc2)nc1 ZINC001085878890 760438463 /nfs/dbraw/zinc/43/84/63/760438463.db2.gz PYJOPBIJAMQILO-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@H](C)CNc2nccnc2C#N)c(C)[nH+]1 ZINC001108218686 760450457 /nfs/dbraw/zinc/45/04/57/760450457.db2.gz SSYATMRTMKEAAQ-GFCCVEGCSA-N 1 2 324.388 1.899 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1snnc1C(C)C ZINC001085888580 760464609 /nfs/dbraw/zinc/46/46/09/760464609.db2.gz BQFHTDQKRXRGDI-GFCCVEGCSA-N 1 2 306.435 1.831 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1snnc1C(C)C ZINC001085888580 760464620 /nfs/dbraw/zinc/46/46/20/760464620.db2.gz BQFHTDQKRXRGDI-GFCCVEGCSA-N 1 2 306.435 1.831 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1scc2c1OCCO2 ZINC001085906356 760499585 /nfs/dbraw/zinc/49/95/85/760499585.db2.gz GGZODIKVGYFVMR-NSHDSACASA-N 1 2 306.387 1.299 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1scc2c1OCCO2 ZINC001085906356 760499592 /nfs/dbraw/zinc/49/95/92/760499592.db2.gz GGZODIKVGYFVMR-NSHDSACASA-N 1 2 306.387 1.299 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CN(C)C(=O)c1scc2c1OCCO2 ZINC001085906458 760499865 /nfs/dbraw/zinc/49/98/65/760499865.db2.gz JAZPKBQPMNXEDR-NSHDSACASA-N 1 2 308.403 1.852 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1scc2c1OCCO2 ZINC001085906458 760499870 /nfs/dbraw/zinc/49/98/70/760499870.db2.gz JAZPKBQPMNXEDR-NSHDSACASA-N 1 2 308.403 1.852 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(OC(C)C)c1 ZINC001085921058 760535823 /nfs/dbraw/zinc/53/58/23/760535823.db2.gz SBPRELRNEJUFTO-OAHLLOKOSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(OC(C)C)c1 ZINC001085921058 760535828 /nfs/dbraw/zinc/53/58/28/760535828.db2.gz SBPRELRNEJUFTO-OAHLLOKOSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)nn(CC)c1Cl ZINC001085980754 760650261 /nfs/dbraw/zinc/65/02/61/760650261.db2.gz XEBHITYWXBTXMC-GFCCVEGCSA-N 1 2 308.813 1.644 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)nn(CC)c1Cl ZINC001085980754 760650266 /nfs/dbraw/zinc/65/02/66/760650266.db2.gz XEBHITYWXBTXMC-GFCCVEGCSA-N 1 2 308.813 1.644 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1conc1C ZINC001038265531 760959270 /nfs/dbraw/zinc/95/92/70/760959270.db2.gz FNHGFFHYIZSVEZ-OAGGEKHMSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1conc1C ZINC001038265531 760959272 /nfs/dbraw/zinc/95/92/72/760959272.db2.gz FNHGFFHYIZSVEZ-OAGGEKHMSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001038426732 761108050 /nfs/dbraw/zinc/10/80/50/761108050.db2.gz KSEBUWMYIMKQCL-OLZOCXBDSA-N 1 2 308.813 1.389 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)CCc1[nH+]ccn1C ZINC001108250283 761137473 /nfs/dbraw/zinc/13/74/73/761137473.db2.gz SYBIEPBTFURHGL-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CC[C@@H]2CCCCO2)C1 ZINC001108251471 761153126 /nfs/dbraw/zinc/15/31/26/761153126.db2.gz FUKVLPITLIMLPP-DOTOQJQBSA-N 1 2 310.438 1.729 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CC[C@@H]2CCCCO2)C1 ZINC001108251471 761153128 /nfs/dbraw/zinc/15/31/28/761153128.db2.gz FUKVLPITLIMLPP-DOTOQJQBSA-N 1 2 310.438 1.729 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2conc2C)cc1 ZINC001038581198 761215141 /nfs/dbraw/zinc/21/51/41/761215141.db2.gz GWHNHFSAOANGHK-QGZVFWFLSA-N 1 2 309.369 1.969 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2conc2C)cc1 ZINC001038581198 761215143 /nfs/dbraw/zinc/21/51/43/761215143.db2.gz GWHNHFSAOANGHK-QGZVFWFLSA-N 1 2 309.369 1.969 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2[C@@H](C)C(=O)N(C)C)CC1 ZINC001038667981 761268467 /nfs/dbraw/zinc/26/84/67/761268467.db2.gz QRSDENUZOMQTNT-ZFWWWQNUSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2[C@@H](C)C(=O)N(C)C)CC1 ZINC001038667981 761268472 /nfs/dbraw/zinc/26/84/72/761268472.db2.gz QRSDENUZOMQTNT-ZFWWWQNUSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001038707322 761309203 /nfs/dbraw/zinc/30/92/03/761309203.db2.gz FWKQXKFHPSFVLD-LZWOXQAQSA-N 1 2 319.405 1.573 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001038707322 761309210 /nfs/dbraw/zinc/30/92/10/761309210.db2.gz FWKQXKFHPSFVLD-LZWOXQAQSA-N 1 2 319.405 1.573 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001086415655 761575571 /nfs/dbraw/zinc/57/55/71/761575571.db2.gz AEBARVKWXCDIEF-XYPYZODXSA-N 1 2 308.813 1.749 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cncnc2C)CC1 ZINC001000467736 761771993 /nfs/dbraw/zinc/77/19/93/761771993.db2.gz VAINTNPKYKNJLO-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cncnc2C)CC1 ZINC001000467736 761771997 /nfs/dbraw/zinc/77/19/97/761771997.db2.gz VAINTNPKYKNJLO-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](CC)CCC)CC2=O)C1 ZINC001108585170 762760667 /nfs/dbraw/zinc/76/06/67/762760667.db2.gz PQQDYVYNYHGBBQ-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO Cc1ncc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)CSCC#N)C3)o1 ZINC001108952307 763151273 /nfs/dbraw/zinc/15/12/73/763151273.db2.gz UVQLHWTVACCSQW-XBFCOCLRSA-N 1 2 320.418 1.461 20 30 DDEDLO Cc1ncc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)CSCC#N)C3)o1 ZINC001108952307 763151280 /nfs/dbraw/zinc/15/12/80/763151280.db2.gz UVQLHWTVACCSQW-XBFCOCLRSA-N 1 2 320.418 1.461 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001109102373 763331804 /nfs/dbraw/zinc/33/18/04/763331804.db2.gz RNDNVBYYSTXGBZ-RISCZKNCSA-N 1 2 318.421 1.381 20 30 DDEDLO CN(C(=O)Cc1c[nH+]cn1C)C1CC(Nc2ccc(C#N)nc2)C1 ZINC001069755656 768128456 /nfs/dbraw/zinc/12/84/56/768128456.db2.gz HMUNAZNMZKOOOM-UHFFFAOYSA-N 1 2 324.388 1.331 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H](C)CN(C)c2cc[nH+]c(C)n2)c1 ZINC001109244441 763504896 /nfs/dbraw/zinc/50/48/96/763504896.db2.gz QZMUZCLVBQWWCG-GFCCVEGCSA-N 1 2 309.373 1.416 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001109284730 763545000 /nfs/dbraw/zinc/54/50/00/763545000.db2.gz WUWNQPCOBAXTHG-CFVMTHIKSA-N 1 2 318.421 1.707 20 30 DDEDLO C[C@@H](CN(C)c1ncccc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001109294316 763554077 /nfs/dbraw/zinc/55/40/77/763554077.db2.gz RRSBHBZFTXQMBZ-IACUBPJLSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@@H](CN(C)c1ncccc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001109294316 763554080 /nfs/dbraw/zinc/55/40/80/763554080.db2.gz RRSBHBZFTXQMBZ-IACUBPJLSA-N 1 2 324.388 1.421 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)[C@H](C)C2)cc[nH+]1 ZINC001067383632 763595821 /nfs/dbraw/zinc/59/58/21/763595821.db2.gz JSSVHMUBLLPRGP-RISCZKNCSA-N 1 2 324.388 1.630 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001096140912 768149629 /nfs/dbraw/zinc/14/96/29/768149629.db2.gz OUGTUYWVMYCURR-GFCCVEGCSA-N 1 2 314.393 1.415 20 30 DDEDLO CCc1nnc(C[NH+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)CC2)o1 ZINC001050463696 763626300 /nfs/dbraw/zinc/62/63/00/763626300.db2.gz XRHLMONKNLZIBB-LBPRGKRZSA-N 1 2 317.393 1.216 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]2CCN(C(=O)c3cc(C(F)F)[nH]n3)C[C@H]21 ZINC001042230172 763788500 /nfs/dbraw/zinc/78/85/00/763788500.db2.gz NOYQFWWNXXLPMU-SMDDNHRTSA-N 1 2 322.359 1.907 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]2CCN(C(=O)c3cc(C(F)F)[nH]n3)C[C@H]21 ZINC001042230172 763788504 /nfs/dbraw/zinc/78/85/04/763788504.db2.gz NOYQFWWNXXLPMU-SMDDNHRTSA-N 1 2 322.359 1.907 20 30 DDEDLO CC(C)(C(=O)N1CC[C@H]2CCN(CC#N)[C@H]2C1)c1c[nH+]c[nH]1 ZINC001042314530 763904651 /nfs/dbraw/zinc/90/46/51/763904651.db2.gz RQBGCMKKOMZPKN-OLZOCXBDSA-N 1 2 301.394 1.134 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@H](CNc1ccc(C#N)cn1)C1CC1 ZINC001109875493 764159927 /nfs/dbraw/zinc/15/99/27/764159927.db2.gz KYWAYNABUYSHBX-OAHLLOKOSA-N 1 2 324.388 1.236 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(C)nc1C ZINC001050848224 764172189 /nfs/dbraw/zinc/17/21/89/764172189.db2.gz DUQCMCUCRAXXDK-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(C)nc1C ZINC001050848224 764172191 /nfs/dbraw/zinc/17/21/91/764172191.db2.gz DUQCMCUCRAXXDK-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO C[C@H](F)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001050872236 764214025 /nfs/dbraw/zinc/21/40/25/764214025.db2.gz MDBRWHVFELZVRT-WCQYABFASA-N 1 2 308.357 1.065 20 30 DDEDLO C[C@H](F)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001050872236 764214028 /nfs/dbraw/zinc/21/40/28/764214028.db2.gz MDBRWHVFELZVRT-WCQYABFASA-N 1 2 308.357 1.065 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(F)cn1 ZINC001050886545 764234607 /nfs/dbraw/zinc/23/46/07/764234607.db2.gz UWVPDUJOEORCPZ-CQSZACIVSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(F)cn1 ZINC001050886545 764234615 /nfs/dbraw/zinc/23/46/15/764234615.db2.gz UWVPDUJOEORCPZ-CQSZACIVSA-N 1 2 307.369 1.618 20 30 DDEDLO C[C@H]1CN(c2ncccc2C#N)CC[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067401513 764237445 /nfs/dbraw/zinc/23/74/45/764237445.db2.gz AIFRHTQMZMUZFP-ZFWWWQNUSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCC(F)(F)C1 ZINC001050949932 764332093 /nfs/dbraw/zinc/33/20/93/764332093.db2.gz RMXYLXHQQACRBO-CHWSQXEVSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCC(F)(F)C1 ZINC001050949932 764332102 /nfs/dbraw/zinc/33/21/02/764332102.db2.gz RMXYLXHQQACRBO-CHWSQXEVSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnc(Cl)n1C ZINC001051028149 764433055 /nfs/dbraw/zinc/43/30/55/764433055.db2.gz HZIPCKXOSHMCHN-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnc(Cl)n1C ZINC001051028149 764433062 /nfs/dbraw/zinc/43/30/62/764433062.db2.gz HZIPCKXOSHMCHN-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CC[C@@H](C)F)cc1 ZINC001051059762 764463362 /nfs/dbraw/zinc/46/33/62/764463362.db2.gz CXTQUOHALGQTAB-PBHICJAKSA-N 1 2 318.392 1.847 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CC[C@@H](C)F)cc1 ZINC001051059762 764463364 /nfs/dbraw/zinc/46/33/64/764463364.db2.gz CXTQUOHALGQTAB-PBHICJAKSA-N 1 2 318.392 1.847 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(NC(C)=O)cn2)C1 ZINC001042866996 764495390 /nfs/dbraw/zinc/49/53/90/764495390.db2.gz XILQDMNQKREVKU-UHFFFAOYSA-N 1 2 302.378 1.372 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H](C)n2nnnc2C(C)(C)C)C1 ZINC001042874172 764500192 /nfs/dbraw/zinc/50/01/92/764500192.db2.gz JAJFMKMRQLJUDG-LBPRGKRZSA-N 1 2 320.441 1.250 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C1C[NH+](C[C@@H]2CCCCO2)C1 ZINC001042930716 764535410 /nfs/dbraw/zinc/53/54/10/764535410.db2.gz MRPSTBSGPLPKRT-INIZCTEOSA-N 1 2 317.433 1.999 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncc(Cl)c1 ZINC001051169496 764575127 /nfs/dbraw/zinc/57/51/27/764575127.db2.gz YLELHCWRCIKLAP-AWEZNQCLSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncc(Cl)c1 ZINC001051169496 764575132 /nfs/dbraw/zinc/57/51/32/764575132.db2.gz YLELHCWRCIKLAP-AWEZNQCLSA-N 1 2 309.797 1.742 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn(CC(F)F)nc2C)C1 ZINC001043010121 764581770 /nfs/dbraw/zinc/58/17/70/764581770.db2.gz PFZTYBDKMUSVGP-UHFFFAOYSA-N 1 2 310.348 1.236 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)C(C)(C)n2c[nH+]c(C)c2)C1 ZINC001043062402 764628081 /nfs/dbraw/zinc/62/80/81/764628081.db2.gz GORUMNMMYWRQGW-UHFFFAOYSA-N 1 2 310.829 1.822 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CC[C@@H](C)F)cn1 ZINC001051216737 764631069 /nfs/dbraw/zinc/63/10/69/764631069.db2.gz LOLAYRYGOKHQAI-CZUORRHYSA-N 1 2 319.380 1.242 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CC[C@@H](C)F)cn1 ZINC001051216737 764631073 /nfs/dbraw/zinc/63/10/73/764631073.db2.gz LOLAYRYGOKHQAI-CZUORRHYSA-N 1 2 319.380 1.242 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(OC)cc1 ZINC001051220647 764637100 /nfs/dbraw/zinc/63/71/00/764637100.db2.gz LEMGAJJDXXZEQC-OAHLLOKOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(OC)cc1 ZINC001051220647 764637102 /nfs/dbraw/zinc/63/71/02/764637102.db2.gz LEMGAJJDXXZEQC-OAHLLOKOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCOCCCC(=O)N1CC[NH+](CCOCC(C)C)CC1 ZINC001112755868 764706925 /nfs/dbraw/zinc/70/69/25/764706925.db2.gz QPCIKEOWNVTBIS-UHFFFAOYSA-N 1 2 312.454 1.786 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001043227858 764777989 /nfs/dbraw/zinc/77/79/89/764777989.db2.gz RPJLDMIJNZCQQA-TZMCWYRMSA-N 1 2 310.361 1.109 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112800254 764788458 /nfs/dbraw/zinc/78/84/58/764788458.db2.gz KVRMFHULEWTQHZ-OAHLLOKOSA-N 1 2 316.405 1.252 20 30 DDEDLO Cc1cc(C)n(CC[NH+]2CCN(C(=O)C#CC(C)C)CC2)n1 ZINC001112833026 764826495 /nfs/dbraw/zinc/82/64/95/764826495.db2.gz DKDSENBBXLDSBC-UHFFFAOYSA-N 1 2 302.422 1.304 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ncsc2C(F)(F)F)C1 ZINC001043335313 764842455 /nfs/dbraw/zinc/84/24/55/764842455.db2.gz YCHONYJTRJXYDE-UHFFFAOYSA-N 1 2 317.336 1.941 20 30 DDEDLO Cc1nc(C)n(CC[NH+]2CCN(C(=O)C#CC(C)(C)C)CC2)n1 ZINC001112836844 764845595 /nfs/dbraw/zinc/84/55/95/764845595.db2.gz LDHUNUNFDOKLIM-UHFFFAOYSA-N 1 2 317.437 1.089 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1CCN2C(=O)COC[C@H]2C1 ZINC001139030849 764853577 /nfs/dbraw/zinc/85/35/77/764853577.db2.gz VQYHGOALGYZIJZ-OAHLLOKOSA-N 1 2 302.374 1.294 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1CCN2C(=O)COC[C@H]2C1 ZINC001139030849 764853587 /nfs/dbraw/zinc/85/35/87/764853587.db2.gz VQYHGOALGYZIJZ-OAHLLOKOSA-N 1 2 302.374 1.294 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(C3CC3)cnn2C)CC1 ZINC001112944335 765024262 /nfs/dbraw/zinc/02/42/62/765024262.db2.gz AQGSPZUXOPHFDV-UHFFFAOYSA-N 1 2 318.421 1.258 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051671773 765056588 /nfs/dbraw/zinc/05/65/88/765056588.db2.gz MHSJUWBFOPDWHM-KBPBESRZSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncc(C)cc2C)[C@@H](O)C1 ZINC001090446379 765201350 /nfs/dbraw/zinc/20/13/50/765201350.db2.gz RJZVHZAAGLTDLW-KBPBESRZSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncc(C)cc2C)[C@@H](O)C1 ZINC001090446379 765201353 /nfs/dbraw/zinc/20/13/53/765201353.db2.gz RJZVHZAAGLTDLW-KBPBESRZSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncc(C)cc2C)[C@H](O)C1 ZINC001090446381 765202585 /nfs/dbraw/zinc/20/25/85/765202585.db2.gz RJZVHZAAGLTDLW-UONOGXRCSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncc(C)cc2C)[C@H](O)C1 ZINC001090446381 765202589 /nfs/dbraw/zinc/20/25/89/765202589.db2.gz RJZVHZAAGLTDLW-UONOGXRCSA-N 1 2 323.824 1.616 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccc3nccn32)CC1 ZINC001113095642 765253921 /nfs/dbraw/zinc/25/39/21/765253921.db2.gz XEIARIJMTDLPBF-UHFFFAOYSA-N 1 2 314.389 1.295 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)C1C[NH+](CC(=C)C)C1 ZINC001044163183 765356559 /nfs/dbraw/zinc/35/65/59/765356559.db2.gz MWCAMWNWYRBAGE-UHFFFAOYSA-N 1 2 301.390 1.979 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)CC(F)(F)F)C2)CC1 ZINC001051992575 765358523 /nfs/dbraw/zinc/35/85/23/765358523.db2.gz BBNGDLBGUGMPGJ-ZDUSSCGKSA-N 1 2 317.355 1.181 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2c(C)nn(C(C)C)c2C)C1 ZINC001044166988 765359497 /nfs/dbraw/zinc/35/94/97/765359497.db2.gz GQNUSKJNUUJLQX-UHFFFAOYSA-N 1 2 304.438 1.952 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3C(C)(C)C3(C)C)C2)CC1 ZINC001052005002 765371882 /nfs/dbraw/zinc/37/18/82/765371882.db2.gz SOJMWOUMWWMKNI-OAHLLOKOSA-N 1 2 317.477 1.520 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3=CCCCCC3)C2)CC1 ZINC001052017546 765388031 /nfs/dbraw/zinc/38/80/31/765388031.db2.gz MXEXDUARIVXNJC-GOSISDBHSA-N 1 2 315.461 1.729 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)C3(C4CC4)CCC3)C2)CC1 ZINC001052056929 765429352 /nfs/dbraw/zinc/42/93/52/765429352.db2.gz IMSPJMOCCVOVJK-INIZCTEOSA-N 1 2 316.449 1.309 20 30 DDEDLO CC1(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)CCCCC1 ZINC001052074607 765446642 /nfs/dbraw/zinc/44/66/42/765446642.db2.gz HYWJLANKYPSKIT-MRXNPFEDSA-N 1 2 318.465 1.699 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC001113246447 765468573 /nfs/dbraw/zinc/46/85/73/765468573.db2.gz NFAVTBXNPGTHSK-INIZCTEOSA-N 1 2 319.453 1.641 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)N([C@@H](C)CCC)C2)C1 ZINC001044332767 765488929 /nfs/dbraw/zinc/48/89/29/765488929.db2.gz IWGJCQGDOWJHBT-GJZGRUSLSA-N 1 2 321.465 1.742 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2[nH]c3ccccc3c2OC)C1 ZINC001044346220 765497110 /nfs/dbraw/zinc/49/71/10/765497110.db2.gz CWXGTDPLLPLYDG-UHFFFAOYSA-N 1 2 311.385 1.956 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)C1CN(CC#Cc2ccccc2)C1 ZINC001044365626 765512476 /nfs/dbraw/zinc/51/24/76/765512476.db2.gz XBAOVJVXXIWRHL-UHFFFAOYSA-N 1 2 308.385 1.077 20 30 DDEDLO C[C@@H]1C[C@H](NCC#N)CCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001044605608 765693436 /nfs/dbraw/zinc/69/34/36/765693436.db2.gz BDECOUMNEZRNMS-ZIAGYGMSSA-N 1 2 315.421 1.255 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)C2CCCC2)[C@@H](n2ccnn2)C1 ZINC001070072671 768329694 /nfs/dbraw/zinc/32/96/94/768329694.db2.gz QKWKYUSZWUTFRB-BMFZPTHFSA-N 1 2 315.421 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)C2CCCC2)[C@@H](n2ccnn2)C1 ZINC001070072671 768329701 /nfs/dbraw/zinc/32/97/01/768329701.db2.gz QKWKYUSZWUTFRB-BMFZPTHFSA-N 1 2 315.421 1.079 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113639531 765963941 /nfs/dbraw/zinc/96/39/41/765963941.db2.gz FTDSAHYDWKXHPO-SMDDNHRTSA-N 1 2 320.437 1.934 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]1C[NH2+]Cc1nc(C2CC2)no1 ZINC001045030402 766048453 /nfs/dbraw/zinc/04/84/53/766048453.db2.gz CJSKJJVABVSXGA-AWEZNQCLSA-N 1 2 314.389 1.441 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H](C)N(C)c2cc[nH+]c(C)n2)CCC1 ZINC001113738461 766082538 /nfs/dbraw/zinc/08/25/38/766082538.db2.gz VPVNAPIVWPAVEZ-CYBMUJFWSA-N 1 2 300.406 1.920 20 30 DDEDLO CC(C)CCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001131788348 768357705 /nfs/dbraw/zinc/35/77/05/768357705.db2.gz RSXOHANVFNJAAH-ZIAGYGMSSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(C)CCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001131788348 768357713 /nfs/dbraw/zinc/35/77/13/768357713.db2.gz RSXOHANVFNJAAH-ZIAGYGMSSA-N 1 2 308.426 1.031 20 30 DDEDLO CN(C)c1nc(C[NH2+]CCCNC(=O)C#CC(C)(C)C)ns1 ZINC001157719055 766264686 /nfs/dbraw/zinc/26/46/86/766264686.db2.gz PVVUHHVFBUKYCQ-UHFFFAOYSA-N 1 2 323.466 1.250 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1(C)CC[NH+](Cc2cnon2)CC1 ZINC001045563914 766405509 /nfs/dbraw/zinc/40/55/09/766405509.db2.gz QVQXWMKCGKFUDM-KGLIPLIRSA-N 1 2 320.393 1.131 20 30 DDEDLO C=CCOCC(=O)NC1[C@H]2C[N@@H+](C/C(Cl)=C/Cl)C[C@@H]12 ZINC001114047108 766579579 /nfs/dbraw/zinc/57/95/79/766579579.db2.gz AHOUZCQBSGGNEE-RPZAQGIJSA-N 1 2 305.205 1.554 20 30 DDEDLO C=CCOCC(=O)NC1[C@H]2C[N@H+](C/C(Cl)=C/Cl)C[C@@H]12 ZINC001114047108 766579584 /nfs/dbraw/zinc/57/95/84/766579584.db2.gz AHOUZCQBSGGNEE-RPZAQGIJSA-N 1 2 305.205 1.554 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)s3)C[C@H]21 ZINC001114047499 766580349 /nfs/dbraw/zinc/58/03/49/766580349.db2.gz HADDYJOIHWAVME-PQFRYHKHSA-N 1 2 322.434 1.157 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)s3)C[C@H]21 ZINC001114047499 766580355 /nfs/dbraw/zinc/58/03/55/766580355.db2.gz HADDYJOIHWAVME-PQFRYHKHSA-N 1 2 322.434 1.157 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001114160346 766712833 /nfs/dbraw/zinc/71/28/33/766712833.db2.gz WJOJOOCJQKCRRV-HWWDLCQESA-N 1 2 315.417 1.977 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001114160346 766712836 /nfs/dbraw/zinc/71/28/36/766712836.db2.gz WJOJOOCJQKCRRV-HWWDLCQESA-N 1 2 315.417 1.977 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C3(C4CC4)CCC3)CC2)C1 ZINC001046046645 766853309 /nfs/dbraw/zinc/85/33/09/766853309.db2.gz CJDHPJJGUWKSKL-MRXNPFEDSA-N 1 2 316.449 1.309 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+]Cc1nc(C2CC2)no1 ZINC001129513768 766920680 /nfs/dbraw/zinc/92/06/80/766920680.db2.gz GZHJPSMMOUJYSV-UHFFFAOYSA-N 1 2 315.377 1.454 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H](Nc3cc[nH+]c(C)n3)[C@@H]2C)nc1 ZINC001068875163 767672324 /nfs/dbraw/zinc/67/23/24/767672324.db2.gz BHAGRENFMCDSFD-SWLSCSKDSA-N 1 2 321.384 1.876 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@@H]2C)n1 ZINC001068887565 767682630 /nfs/dbraw/zinc/68/26/30/767682630.db2.gz NWQRKTIRSVMRSO-OCCSQVGLSA-N 1 2 324.388 1.629 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001046617205 767742457 /nfs/dbraw/zinc/74/24/57/767742457.db2.gz QMMDWAVYMQJFAY-ZOWXZIJZSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001046617205 767742461 /nfs/dbraw/zinc/74/24/61/767742461.db2.gz QMMDWAVYMQJFAY-ZOWXZIJZSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCn2cccn2)CC[C@@H]1C ZINC001131820946 768389939 /nfs/dbraw/zinc/38/99/39/768389939.db2.gz LGUVLAZSLINCHC-UONOGXRCSA-N 1 2 310.829 1.995 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCn2cccn2)CC[C@@H]1C ZINC001131820946 768389946 /nfs/dbraw/zinc/38/99/46/768389946.db2.gz LGUVLAZSLINCHC-UONOGXRCSA-N 1 2 310.829 1.995 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CSCC#N)C[N@@H+]1Cc1cncn1C ZINC001131825954 768393983 /nfs/dbraw/zinc/39/39/83/768393983.db2.gz MOYCPBOJULKHHA-OLZOCXBDSA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CSCC#N)C[N@H+]1Cc1cncn1C ZINC001131825954 768393993 /nfs/dbraw/zinc/39/39/93/768393993.db2.gz MOYCPBOJULKHHA-OLZOCXBDSA-N 1 2 321.450 1.146 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2C[N@H+](Cc3cc(C)no3)C[C@@H]2O)C1 ZINC001047516312 768536800 /nfs/dbraw/zinc/53/68/00/768536800.db2.gz XVPTVWHSZAIJNJ-GJZGRUSLSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2C[N@@H+](Cc3cc(C)no3)C[C@@H]2O)C1 ZINC001047516312 768536804 /nfs/dbraw/zinc/53/68/04/768536804.db2.gz XVPTVWHSZAIJNJ-GJZGRUSLSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCCC(=O)NC)CC[C@H]1C ZINC001131985751 768540535 /nfs/dbraw/zinc/54/05/35/768540535.db2.gz ZZLSJGRPJRJTIO-CHWSQXEVSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCCC(=O)NC)CC[C@H]1C ZINC001131985751 768540543 /nfs/dbraw/zinc/54/05/43/768540543.db2.gz ZZLSJGRPJRJTIO-CHWSQXEVSA-N 1 2 315.845 1.624 20 30 DDEDLO CCCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[C@@H]1C ZINC001132033847 768580272 /nfs/dbraw/zinc/58/02/72/768580272.db2.gz MBDRVNFMWAXRTL-GJZGRUSLSA-N 1 2 321.465 1.531 20 30 DDEDLO CCCNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[C@@H]1C ZINC001132033847 768580278 /nfs/dbraw/zinc/58/02/78/768580278.db2.gz MBDRVNFMWAXRTL-GJZGRUSLSA-N 1 2 321.465 1.531 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)C2(C)CC=CC2)C[C@H]1NCC#N ZINC001070685625 768669225 /nfs/dbraw/zinc/66/92/25/768669225.db2.gz KZNMWISHIZNANP-ZIAGYGMSSA-N 1 2 313.405 1.184 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)CCC)CC[C@H]1C ZINC001132253096 768703759 /nfs/dbraw/zinc/70/37/59/768703759.db2.gz OKNWHJYBOWDARM-CABCVRRESA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(C)(C)CCC)CC[C@H]1C ZINC001132253096 768703763 /nfs/dbraw/zinc/70/37/63/768703763.db2.gz OKNWHJYBOWDARM-CABCVRRESA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001070740808 768704792 /nfs/dbraw/zinc/70/47/92/768704792.db2.gz KNDMZIWPFUAEOW-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2cc(C)co2)CC[C@H]1C ZINC001132236161 768707491 /nfs/dbraw/zinc/70/74/91/768707491.db2.gz ZDMIAULFRHJERA-KGLIPLIRSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2cc(C)co2)CC[C@H]1C ZINC001132236161 768707493 /nfs/dbraw/zinc/70/74/93/768707493.db2.gz ZDMIAULFRHJERA-KGLIPLIRSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CCc2ccco2)CC1 ZINC001070938112 768798227 /nfs/dbraw/zinc/79/82/27/768798227.db2.gz DAYRGYZRYQVHML-UHFFFAOYSA-N 1 2 319.405 1.049 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CCc2ccco2)CC1 ZINC001070938112 768798231 /nfs/dbraw/zinc/79/82/31/768798231.db2.gz DAYRGYZRYQVHML-UHFFFAOYSA-N 1 2 319.405 1.049 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001071071594 768929241 /nfs/dbraw/zinc/92/92/41/768929241.db2.gz ROYVUCNEUAUCBJ-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001071071594 768929247 /nfs/dbraw/zinc/92/92/47/768929247.db2.gz ROYVUCNEUAUCBJ-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)c1cc[nH]n1)C[C@@H](C)O2 ZINC001071138784 769004946 /nfs/dbraw/zinc/00/49/46/769004946.db2.gz KNPIEOFYJMURJA-DOMZBBRYSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)c1cc[nH]n1)C[C@@H](C)O2 ZINC001071138784 769004951 /nfs/dbraw/zinc/00/49/51/769004951.db2.gz KNPIEOFYJMURJA-DOMZBBRYSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@@H](C)O2 ZINC001071138784 769004960 /nfs/dbraw/zinc/00/49/60/769004960.db2.gz KNPIEOFYJMURJA-DOMZBBRYSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@@H](C)O2 ZINC001071138784 769004969 /nfs/dbraw/zinc/00/49/69/769004969.db2.gz KNPIEOFYJMURJA-DOMZBBRYSA-N 1 2 324.812 1.468 20 30 DDEDLO C#CCC[N@H+]1CCN([C@@H]2CCCN(C(=O)/C(C)=C\C)C2)C(=O)C1 ZINC001071262475 769208090 /nfs/dbraw/zinc/20/80/90/769208090.db2.gz LKGGNSIKDVPFJX-STJUIISESA-N 1 2 317.433 1.111 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@@H]2CCCN(C(=O)/C(C)=C\C)C2)C(=O)C1 ZINC001071262475 769208095 /nfs/dbraw/zinc/20/80/95/769208095.db2.gz LKGGNSIKDVPFJX-STJUIISESA-N 1 2 317.433 1.111 20 30 DDEDLO C#CCC[N@H+]1CCN([C@H]2CCCN(C(=O)/C(C)=C/C)C2)C(=O)C1 ZINC001071262476 769208672 /nfs/dbraw/zinc/20/86/72/769208672.db2.gz LKGGNSIKDVPFJX-UZPPOTPCSA-N 1 2 317.433 1.111 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@H]2CCCN(C(=O)/C(C)=C/C)C2)C(=O)C1 ZINC001071262476 769208677 /nfs/dbraw/zinc/20/86/77/769208677.db2.gz LKGGNSIKDVPFJX-UZPPOTPCSA-N 1 2 317.433 1.111 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C2=COCCO2)CC[C@H]1C ZINC001071316371 769278847 /nfs/dbraw/zinc/27/88/47/769278847.db2.gz CXIBKLYGWRZPKB-NEPJUHHUSA-N 1 2 300.786 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C2=COCCO2)CC[C@H]1C ZINC001071316371 769278855 /nfs/dbraw/zinc/27/88/55/769278855.db2.gz CXIBKLYGWRZPKB-NEPJUHHUSA-N 1 2 300.786 1.596 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)[C@@H](C)C#N)C2)c2c([nH+]1)CCCC2 ZINC001096339734 769298300 /nfs/dbraw/zinc/29/83/00/769298300.db2.gz VMPOCIUSNRHZIU-WCQYABFASA-N 1 2 313.405 1.518 20 30 DDEDLO C=C1CCC(C(=O)NCC[NH2+]Cc2nc([C@@H](C)OC)no2)CC1 ZINC001133368690 769751577 /nfs/dbraw/zinc/75/15/77/769751577.db2.gz DSGXEMAZEGANAX-GFCCVEGCSA-N 1 2 322.409 1.729 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCN(C)C(=O)CCn1cc[nH+]c1 ZINC001067853228 770158765 /nfs/dbraw/zinc/15/87/65/770158765.db2.gz RBNMCZGVXYWYMB-UHFFFAOYSA-N 1 2 320.437 1.792 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CN1CC#N ZINC001071956986 770347898 /nfs/dbraw/zinc/34/78/98/770347898.db2.gz AIDMDHAMOCRSKU-ZIAGYGMSSA-N 1 2 324.388 1.374 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001071994557 770403489 /nfs/dbraw/zinc/40/34/89/770403489.db2.gz CLCWBVDEWHIMDS-HUUCEWRRSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CN(C(=O)c3[nH]nnc3-c3ccccc3)C[C@H]2C1 ZINC001049237089 770584637 /nfs/dbraw/zinc/58/46/37/770584637.db2.gz XGEBWXGWPBUYAU-GASCZTMLSA-N 1 2 323.400 1.662 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CN(C(=O)c3[nH]nnc3-c3ccccc3)C[C@H]2C1 ZINC001049237089 770584644 /nfs/dbraw/zinc/58/46/44/770584644.db2.gz XGEBWXGWPBUYAU-GASCZTMLSA-N 1 2 323.400 1.662 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@H]1CCN(c2ccncc2C#N)C1 ZINC001096505733 770608267 /nfs/dbraw/zinc/60/82/67/770608267.db2.gz PEBYLMWIPYCUSY-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001072219580 770674854 /nfs/dbraw/zinc/67/48/54/770674854.db2.gz VHLYXLSTEVAJAZ-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@H]4[C@H]3CCN4CC#N)ccn12 ZINC001049477384 770905462 /nfs/dbraw/zinc/90/54/62/770905462.db2.gz GMFKWUOYRGUHBK-JKSUJKDBSA-N 1 2 323.400 1.845 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001072454565 770921720 /nfs/dbraw/zinc/92/17/20/770921720.db2.gz ZBJSNKDGDVWIGT-ZIAGYGMSSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1n[nH]cc1F ZINC001049698030 771094727 /nfs/dbraw/zinc/09/47/27/771094727.db2.gz BCUYLCYIEHOBMC-NWDGAFQWSA-N 1 2 312.776 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1n[nH]cc1F ZINC001049698030 771094730 /nfs/dbraw/zinc/09/47/30/771094730.db2.gz BCUYLCYIEHOBMC-NWDGAFQWSA-N 1 2 312.776 1.980 20 30 DDEDLO C=C(C)CC[NH2+]CCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001170802342 771377691 /nfs/dbraw/zinc/37/76/91/771377691.db2.gz RNPQJJCBHGTBOK-UHFFFAOYSA-N 1 2 316.409 1.486 20 30 DDEDLO C=CCCOCC(=O)NC[C@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096679596 771389611 /nfs/dbraw/zinc/38/96/11/771389611.db2.gz MCEANBLOIQICFK-AWEZNQCLSA-N 1 2 304.394 1.684 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001096932167 771523541 /nfs/dbraw/zinc/52/35/41/771523541.db2.gz LCUSTZJZRNXZRW-AWEZNQCLSA-N 1 2 304.394 1.781 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](NC(=O)CCCn3cc[nH+]c3)C2)cn1 ZINC001097041567 771592659 /nfs/dbraw/zinc/59/26/59/771592659.db2.gz RPAXUZPIQKJLIN-WKILWMFISA-N 1 2 324.388 1.689 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(N)=O)c1ccccc1 ZINC001111443812 771657352 /nfs/dbraw/zinc/65/73/52/771657352.db2.gz XHKGEISKWZHNIR-FPCVCCKLSA-N 1 2 313.401 1.163 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(N)=O)c1ccccc1 ZINC001111443812 771657355 /nfs/dbraw/zinc/65/73/55/771657355.db2.gz XHKGEISKWZHNIR-FPCVCCKLSA-N 1 2 313.401 1.163 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)[nH]c2C)[C@H](O)C1 ZINC001090597886 772026643 /nfs/dbraw/zinc/02/66/43/772026643.db2.gz VRKFQALIYKTXCT-UONOGXRCSA-N 1 2 311.813 1.549 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)[nH]c2C)[C@H](O)C1 ZINC001090597886 772026647 /nfs/dbraw/zinc/02/66/47/772026647.db2.gz VRKFQALIYKTXCT-UONOGXRCSA-N 1 2 311.813 1.549 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)nc2)C[C@H]1NC(=O)CCc1[nH]cc[nH+]1 ZINC001090695506 772118291 /nfs/dbraw/zinc/11/82/91/772118291.db2.gz MHPAVYUBECSEFY-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccccc2C)[C@H](O)C1 ZINC001090796136 772219883 /nfs/dbraw/zinc/21/98/83/772219883.db2.gz NZTQUPCQAMVDAY-HZPDHXFCSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccccc2C)[C@H](O)C1 ZINC001090796136 772219886 /nfs/dbraw/zinc/21/98/86/772219886.db2.gz NZTQUPCQAMVDAY-HZPDHXFCSA-N 1 2 322.836 1.841 20 30 DDEDLO CC(C)[N@H+](C)Cc1nnc2n1CCCN(C(=O)CCS)C2 ZINC001143979491 772367799 /nfs/dbraw/zinc/36/77/99/772367799.db2.gz WWJKDAWZMSNYAC-UHFFFAOYSA-N 1 2 311.455 1.171 20 30 DDEDLO CC(C)[N@@H+](C)Cc1nnc2n1CCCN(C(=O)CCS)C2 ZINC001143979491 772367801 /nfs/dbraw/zinc/36/78/01/772367801.db2.gz WWJKDAWZMSNYAC-UHFFFAOYSA-N 1 2 311.455 1.171 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)cn2)C1 ZINC001144169696 772436640 /nfs/dbraw/zinc/43/66/40/772436640.db2.gz RQDYOCYTVGNKOA-OAHLLOKOSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cn2)C1 ZINC001144169696 772436643 /nfs/dbraw/zinc/43/66/43/772436643.db2.gz RQDYOCYTVGNKOA-OAHLLOKOSA-N 1 2 300.362 1.830 20 30 DDEDLO C#Cc1ccc(C[N@@H+]2CCCn3nnc(CNC(C)=O)c3C2)cc1 ZINC001144571771 772568681 /nfs/dbraw/zinc/56/86/81/772568681.db2.gz HPYLPOPSBXPTEQ-UHFFFAOYSA-N 1 2 323.400 1.301 20 30 DDEDLO C#Cc1ccc(C[N@H+]2CCCn3nnc(CNC(C)=O)c3C2)cc1 ZINC001144571771 772568684 /nfs/dbraw/zinc/56/86/84/772568684.db2.gz HPYLPOPSBXPTEQ-UHFFFAOYSA-N 1 2 323.400 1.301 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@@H]1O ZINC001091168376 772571518 /nfs/dbraw/zinc/57/15/18/772571518.db2.gz JWQUNRIVJPXOMJ-KGLIPLIRSA-N 1 2 318.421 1.280 20 30 DDEDLO NC(=O)c1ccc(C(=[NH2+])Nc2cccc3ccc(=O)oc32)cc1 ZINC001171257299 772747660 /nfs/dbraw/zinc/74/76/60/772747660.db2.gz YOPSGCHIQWBYLD-UHFFFAOYSA-N 1 2 307.309 1.929 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+][C@H](C)c1ncc(C)o1 ZINC001149118123 772810819 /nfs/dbraw/zinc/81/08/19/772810819.db2.gz ZZYPWMMJIDNUNW-CQSZACIVSA-N 1 2 311.385 1.974 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091564496 772883346 /nfs/dbraw/zinc/88/33/46/772883346.db2.gz FXIPTNATSJVTLH-VBQJREDUSA-N 1 2 318.421 1.809 20 30 DDEDLO COCC#CC[N@@H+]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001147591044 773176777 /nfs/dbraw/zinc/17/67/77/773176777.db2.gz VEMXKZCBOAGPOL-LLVKDONJSA-N 1 2 322.302 1.071 20 30 DDEDLO COCC#CC[N@H+]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001147591044 773176780 /nfs/dbraw/zinc/17/67/80/773176780.db2.gz VEMXKZCBOAGPOL-LLVKDONJSA-N 1 2 322.302 1.071 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001073812554 773436414 /nfs/dbraw/zinc/43/64/14/773436414.db2.gz YQBKBBITUDPZQX-GXTWGEPZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccnc(C)c2)C1 ZINC001073824729 773446091 /nfs/dbraw/zinc/44/60/91/773446091.db2.gz WCXVPGXWIJPVJN-HNNXBMFYSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccnc(C)c2)C1 ZINC001073824729 773446095 /nfs/dbraw/zinc/44/60/95/773446095.db2.gz WCXVPGXWIJPVJN-HNNXBMFYSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C[C@@H]21 ZINC001074204918 773726770 /nfs/dbraw/zinc/72/67/70/773726770.db2.gz KDWTWBQGBAQWPX-JKSUJKDBSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C[C@@H]21 ZINC001074204918 773726777 /nfs/dbraw/zinc/72/67/77/773726777.db2.gz KDWTWBQGBAQWPX-JKSUJKDBSA-N 1 2 314.389 1.378 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ncccc3C)C[C@@H]21 ZINC001074208332 773731558 /nfs/dbraw/zinc/73/15/58/773731558.db2.gz GXOYRZIXMBSSTO-HOTGVXAUSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ncccc3C)C[C@@H]21 ZINC001074208332 773731561 /nfs/dbraw/zinc/73/15/61/773731561.db2.gz GXOYRZIXMBSSTO-HOTGVXAUSA-N 1 2 313.401 1.329 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccn(C)c3)C[C@H]21 ZINC001074215267 773736990 /nfs/dbraw/zinc/73/69/90/773736990.db2.gz FSDASSKSACXREK-CVEARBPZSA-N 1 2 303.406 1.517 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccn(C)c3)C[C@H]21 ZINC001074215267 773736993 /nfs/dbraw/zinc/73/69/93/773736993.db2.gz FSDASSKSACXREK-CVEARBPZSA-N 1 2 303.406 1.517 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3=CCOCC3)C[C@H]21 ZINC001074223427 773749151 /nfs/dbraw/zinc/74/91/51/773749151.db2.gz ZFQQHNHEQZKGHE-CVEARBPZSA-N 1 2 306.406 1.211 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3=CCOCC3)C[C@H]21 ZINC001074223427 773749155 /nfs/dbraw/zinc/74/91/55/773749155.db2.gz ZFQQHNHEQZKGHE-CVEARBPZSA-N 1 2 306.406 1.211 20 30 DDEDLO C[C@H]1CN(O)C(=O)[C@H]1Nc1nc(N(C)C)c2ccccc2[nH+]1 ZINC001171363305 773774032 /nfs/dbraw/zinc/77/40/32/773774032.db2.gz XMPQNFQVTZVDHL-CABZTGNLSA-N 1 2 301.350 1.344 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@H]2OCC[N@@H+](CC)[C@@H]2C1 ZINC001074269928 773782881 /nfs/dbraw/zinc/78/28/81/773782881.db2.gz ZVRKIUBLUPSQMX-HZPDHXFCSA-N 1 2 303.406 1.609 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@H]2OCC[N@H+](CC)[C@@H]2C1 ZINC001074269928 773782883 /nfs/dbraw/zinc/78/28/83/773782883.db2.gz ZVRKIUBLUPSQMX-HZPDHXFCSA-N 1 2 303.406 1.609 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(F)F)C[C@H]21 ZINC001074329991 773827618 /nfs/dbraw/zinc/82/76/18/773827618.db2.gz TUFHILNCGGOSPN-CHWSQXEVSA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(F)F)C[C@H]21 ZINC001074329991 773827623 /nfs/dbraw/zinc/82/76/23/773827623.db2.gz TUFHILNCGGOSPN-CHWSQXEVSA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(Cl)CN1CCO[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C[C@H]21 ZINC001074346891 773843943 /nfs/dbraw/zinc/84/39/43/773843943.db2.gz VZQLWAXHDKOKON-KGLIPLIRSA-N 1 2 324.812 1.006 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc[nH]c1C)c1nccn12 ZINC001092360467 774074818 /nfs/dbraw/zinc/07/48/18/774074818.db2.gz WTPXUTIYBYGNIU-AWEZNQCLSA-N 1 2 311.389 1.591 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccccc1)c1nccn12 ZINC001092339369 774089767 /nfs/dbraw/zinc/08/97/67/774089767.db2.gz WLSGNRRGEQAREX-OAHLLOKOSA-N 1 2 308.385 1.955 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@@H](C)C1 ZINC001074886036 774216128 /nfs/dbraw/zinc/21/61/28/774216128.db2.gz BWWJIUOXBVZDNZ-GJZGRUSLSA-N 1 2 318.421 1.735 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001075098408 774348891 /nfs/dbraw/zinc/34/88/91/774348891.db2.gz ZWLIEQJPDLHIJU-QWHCGFSZSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001093246857 774567039 /nfs/dbraw/zinc/56/70/39/774567039.db2.gz BFWBTSKCOJSQLM-UYHMYPTGSA-N 1 2 316.405 1.317 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CCCCC)C2)nn1 ZINC001098615445 774623203 /nfs/dbraw/zinc/62/32/03/774623203.db2.gz SHVZYOSTEZMWOA-OAHLLOKOSA-N 1 2 303.410 1.355 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CCC3CCC3)C2)nn1 ZINC001098653215 774632112 /nfs/dbraw/zinc/63/21/12/774632112.db2.gz QMCHAEDAQLSNFC-INIZCTEOSA-N 1 2 315.421 1.355 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CCC(C)(C)C)C2)nn1 ZINC001098752512 774662167 /nfs/dbraw/zinc/66/21/67/774662167.db2.gz YPMOGUACRNOWKV-HNNXBMFYSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001098835607 774689793 /nfs/dbraw/zinc/68/97/93/774689793.db2.gz BYKHUEKZEOYVHL-IYOUNJFTSA-N 1 2 312.417 1.434 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001098835607 774689797 /nfs/dbraw/zinc/68/97/97/774689797.db2.gz BYKHUEKZEOYVHL-IYOUNJFTSA-N 1 2 312.417 1.434 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cccnc3)CC2)C1 ZINC001093498743 774722746 /nfs/dbraw/zinc/72/27/46/774722746.db2.gz CQOPSJFMVIONBL-UHFFFAOYSA-N 1 2 315.417 1.963 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3nccc(C)n3)CC2)C1 ZINC001093532600 774786516 /nfs/dbraw/zinc/78/65/16/774786516.db2.gz DKAJEKOEIPZGBR-UHFFFAOYSA-N 1 2 316.405 1.276 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3C[C@@H](C)CO3)CC2)C1 ZINC001093553701 774798895 /nfs/dbraw/zinc/79/88/95/774798895.db2.gz TWORLNOCNBZCNW-CZUORRHYSA-N 1 2 308.422 1.289 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC[C@@H](C)Nc1ccc(C#N)cn1 ZINC001099361339 774963707 /nfs/dbraw/zinc/96/37/07/774963707.db2.gz GKAPKTOYFAONKZ-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)cn2)C[C@H]1O ZINC001099973992 775505777 /nfs/dbraw/zinc/50/57/77/775505777.db2.gz LYQSXNYJZBUXIR-JKSUJKDBSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnc(C)cn2)C[C@H]1O ZINC001099973992 775505781 /nfs/dbraw/zinc/50/57/81/775505781.db2.gz LYQSXNYJZBUXIR-JKSUJKDBSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3sccc3C)nn2)C1 ZINC001094268587 775609312 /nfs/dbraw/zinc/60/93/12/775609312.db2.gz BJROKDOQKAYZJA-UHFFFAOYSA-N 1 2 317.418 1.621 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@H]3CC(C)C)nn2)C1 ZINC001094320883 775683730 /nfs/dbraw/zinc/68/37/30/775683730.db2.gz RVRUEMDYNYFYIZ-CZUORRHYSA-N 1 2 317.437 1.619 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3CCCC3(C)C)CC2=O)C1 ZINC001094774514 776264484 /nfs/dbraw/zinc/26/44/84/776264484.db2.gz MVUHDVKSAIUFEI-ZFWWWQNUSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)C3CCCC3)CC2=O)C1 ZINC001094806250 776304559 /nfs/dbraw/zinc/30/45/59/776304559.db2.gz KMJYSPNQKTUUNX-HIFRSBDPSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCN1c1[nH+]cnc2c1cnn2C ZINC001100799673 776561694 /nfs/dbraw/zinc/56/16/94/776561694.db2.gz ZTOAEKHHTLBVMZ-GFCCVEGCSA-N 1 2 314.393 1.415 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1[C@@H]1CCc2ccc([N+](=O)[O-])cc2CC1 ZINC001172905848 776916884 /nfs/dbraw/zinc/91/68/84/776916884.db2.gz VHHJWSZZWRHCHV-GDBMZVCRSA-N 1 2 300.362 1.640 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(N2CCOC[C@@H]2C#N)CC1 ZINC001172893434 776927021 /nfs/dbraw/zinc/92/70/21/776927021.db2.gz BKPOLNRZGZERBQ-AWEZNQCLSA-N 1 2 309.410 1.017 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC2(C[NH+](CC#CC)C2)n2ccnc21 ZINC001101625205 777319669 /nfs/dbraw/zinc/31/96/69/777319669.db2.gz AIUXVSRGALLVPQ-OAHLLOKOSA-N 1 2 310.401 1.282 20 30 DDEDLO CN(CCNc1cccc(F)c1C#N)C(=O)Cc1c[nH+]cn1C ZINC001101695312 777402481 /nfs/dbraw/zinc/40/24/81/777402481.db2.gz WYEBSEIJRISEEP-UHFFFAOYSA-N 1 2 315.352 1.544 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001101826452 777572234 /nfs/dbraw/zinc/57/22/34/777572234.db2.gz PQRPUUWCQPHRNG-CYBMUJFWSA-N 1 2 324.388 1.283 20 30 DDEDLO CN(CCNc1snc(Cl)c1C#N)C(=O)Cc1c[nH+]c[nH]1 ZINC001101975986 777756682 /nfs/dbraw/zinc/75/66/82/777756682.db2.gz WNAGSTGYMVGGIB-UHFFFAOYSA-N 1 2 324.797 1.504 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001102609333 778237725 /nfs/dbraw/zinc/23/77/25/778237725.db2.gz UFDCUDOZDJPXSK-CHWSQXEVSA-N 1 2 324.450 1.315 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001102609333 778237736 /nfs/dbraw/zinc/23/77/36/778237736.db2.gz UFDCUDOZDJPXSK-CHWSQXEVSA-N 1 2 324.450 1.315 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)F)C[C@@H]21 ZINC001176881932 778288855 /nfs/dbraw/zinc/28/88/55/778288855.db2.gz IPNWGOWPJGNNHO-UONOGXRCSA-N 1 2 314.401 1.239 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)F)C[C@@H]21 ZINC001176881932 778288858 /nfs/dbraw/zinc/28/88/58/778288858.db2.gz IPNWGOWPJGNNHO-UONOGXRCSA-N 1 2 314.401 1.239 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001102691594 778317448 /nfs/dbraw/zinc/31/74/48/778317448.db2.gz DFGWLKICDCBLMU-HNNXBMFYSA-N 1 2 318.421 1.642 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102705008 778332250 /nfs/dbraw/zinc/33/22/50/778332250.db2.gz VOYBEIUJAJDGLG-BBRMVZONSA-N 1 2 304.394 1.149 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOCC3CC3)[C@H]2C1 ZINC001176955785 778346748 /nfs/dbraw/zinc/34/67/48/778346748.db2.gz ZGRHXXZWVIKSBM-IRXDYDNUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC3CC3)[C@H]2C1 ZINC001176955785 778346753 /nfs/dbraw/zinc/34/67/53/778346753.db2.gz ZGRHXXZWVIKSBM-IRXDYDNUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CC3)C[C@H]21 ZINC001177012773 778391299 /nfs/dbraw/zinc/39/12/99/778391299.db2.gz MHGOFWLOEXJNPC-HUUCEWRRSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CC3)C[C@H]21 ZINC001177012773 778391308 /nfs/dbraw/zinc/39/13/08/778391308.db2.gz MHGOFWLOEXJNPC-HUUCEWRRSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)COC)C[C@H]21 ZINC001177047383 778408555 /nfs/dbraw/zinc/40/85/55/778408555.db2.gz DQZAQBJHSILKIW-HUUCEWRRSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)COC)C[C@H]21 ZINC001177047383 778408558 /nfs/dbraw/zinc/40/85/58/778408558.db2.gz DQZAQBJHSILKIW-HUUCEWRRSA-N 1 2 310.438 1.537 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)COC)C[C@@H]21 ZINC001177054897 778415762 /nfs/dbraw/zinc/41/57/62/778415762.db2.gz DJWGBKSEVCGESP-HOTGVXAUSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)COC)C[C@@H]21 ZINC001177054897 778415765 /nfs/dbraw/zinc/41/57/65/778415765.db2.gz DJWGBKSEVCGESP-HOTGVXAUSA-N 1 2 322.449 1.374 20 30 DDEDLO C=CCCOCC(=O)NCCC[NH2+]Cc1noc(CC(C)C)n1 ZINC001177264583 778521981 /nfs/dbraw/zinc/52/19/81/778521981.db2.gz PJUCZMGAJIRVKN-UHFFFAOYSA-N 1 2 324.425 1.457 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2c(F)c(F)nc(F)c2F)CC1 ZINC001177478728 778635943 /nfs/dbraw/zinc/63/59/43/778635943.db2.gz RNVAAUHJLRZCIR-UHFFFAOYSA-N 1 2 317.286 1.511 20 30 DDEDLO O=C(C#Cc1ccccn1)N1CC[C@@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001177802347 778742251 /nfs/dbraw/zinc/74/22/51/778742251.db2.gz FWUZFZROKXURGZ-OAHLLOKOSA-N 1 2 319.355 1.765 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@H]2CCN(C(=O)C#CC(C)C)[C@H]2C)no1 ZINC001178653564 779125676 /nfs/dbraw/zinc/12/56/76/779125676.db2.gz XHDAZDPDSLHSKE-DYEKYZERSA-N 1 2 304.394 1.677 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)CNc1ccc(C#N)cn1 ZINC001104039601 779264035 /nfs/dbraw/zinc/26/40/35/779264035.db2.gz VPSSZFDBYHFLAU-NSHDSACASA-N 1 2 312.377 1.392 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H](CCNc2cc[nH+]c(C)n2)C1 ZINC001111615804 779403297 /nfs/dbraw/zinc/40/32/97/779403297.db2.gz DYYSMDHXDKSNGI-HNNXBMFYSA-N 1 2 316.405 1.475 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cscn1)C2 ZINC001111670147 779428998 /nfs/dbraw/zinc/42/89/98/779428998.db2.gz DCOSEYGSQPZCNZ-XUJVJEKNSA-N 1 2 304.419 1.773 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cscn1)C2 ZINC001111670147 779429001 /nfs/dbraw/zinc/42/90/01/779429001.db2.gz DCOSEYGSQPZCNZ-XUJVJEKNSA-N 1 2 304.419 1.773 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111954534 779577512 /nfs/dbraw/zinc/57/75/12/779577512.db2.gz CXGQUXULNSDLBC-CQSZACIVSA-N 1 2 304.394 1.781 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)C[C@@H](C)Nc1ccc(C#N)nc1 ZINC001115801657 780445121 /nfs/dbraw/zinc/44/51/21/780445121.db2.gz MAENFONCWFJOTP-LLVKDONJSA-N 1 2 312.377 1.486 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)NCCCNc2cccc[nH+]2)C1 ZINC001116546058 780522192 /nfs/dbraw/zinc/52/21/92/780522192.db2.gz QJCVISURMMDYEF-AWEZNQCLSA-N 1 2 304.394 1.870 20 30 DDEDLO C#C[C@H]([NH2+]Cc1cn(-c2ccc(F)cc2)nn1)[C@H]1CCCO1 ZINC001118805246 781243208 /nfs/dbraw/zinc/24/32/08/781243208.db2.gz XMCURJRRLJIKJA-JKSUJKDBSA-N 1 2 300.337 1.677 20 30 DDEDLO C[C@H](CC(=O)N1CCC([C@H](C)NCC#N)CC1)n1cc[nH+]c1 ZINC001267208567 837536280 /nfs/dbraw/zinc/53/62/80/837536280.db2.gz SHZGKNVVKHSBFQ-KGLIPLIRSA-N 1 2 303.410 1.574 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CCC[N@H+]1Cc1ncc(C)cn1 ZINC001267266383 837703245 /nfs/dbraw/zinc/70/32/45/837703245.db2.gz IORWRGGHKCDOOU-RHSMWYFYSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CCC[N@@H+]1Cc1ncc(C)cn1 ZINC001267266383 837703248 /nfs/dbraw/zinc/70/32/48/837703248.db2.gz IORWRGGHKCDOOU-RHSMWYFYSA-N 1 2 318.421 1.193 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@H](C)[C@@H](C)C(C)(C)C)CC1 ZINC001266295296 836069810 /nfs/dbraw/zinc/06/98/10/836069810.db2.gz ZWROGVJCBAUZIN-HZPDHXFCSA-N 1 2 307.482 1.672 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cccc(Cl)n2)C1 ZINC001266320099 836114079 /nfs/dbraw/zinc/11/40/79/836114079.db2.gz YRQZBDOTEXHZHF-JSGCOSHPSA-N 1 2 321.808 1.464 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cccc(Cl)n2)C1 ZINC001266320099 836114084 /nfs/dbraw/zinc/11/40/84/836114084.db2.gz YRQZBDOTEXHZHF-JSGCOSHPSA-N 1 2 321.808 1.464 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1coc(C)n1 ZINC001266465398 836300819 /nfs/dbraw/zinc/30/08/19/836300819.db2.gz VMGOPAFORVQVNG-WFASDCNBSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1coc(C)n1 ZINC001266465398 836300833 /nfs/dbraw/zinc/30/08/33/836300833.db2.gz VMGOPAFORVQVNG-WFASDCNBSA-N 1 2 305.378 1.102 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]([NH2+]Cc1noc(C2CC2)n1)C(C)C ZINC001271839035 844149335 /nfs/dbraw/zinc/14/93/35/844149335.db2.gz PTNQVLGTFCDZGB-CQSZACIVSA-N 1 2 318.421 1.837 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](Cc1conc1C)C1CC1 ZINC001266611795 836532535 /nfs/dbraw/zinc/53/25/35/836532535.db2.gz YCRRYRZHNRUPKO-CVEARBPZSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](Cc1conc1C)C1CC1 ZINC001266611795 836532544 /nfs/dbraw/zinc/53/25/44/836532544.db2.gz YCRRYRZHNRUPKO-CVEARBPZSA-N 1 2 319.405 1.655 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@H](C)[NH2+]Cc1nnc(C)o1 ZINC001266988754 837152703 /nfs/dbraw/zinc/15/27/03/837152703.db2.gz CTXLWVXVFGONKL-GFCCVEGCSA-N 1 2 312.373 1.196 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+]Cc2ncc(CC)o2)C1 ZINC001267026906 837214040 /nfs/dbraw/zinc/21/40/40/837214040.db2.gz PEUNMFKSBGOXEC-ZDUSSCGKSA-N 1 2 307.394 1.520 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@@H]2CC[C@@H](C)C2)CC1 ZINC001267574930 838342328 /nfs/dbraw/zinc/34/23/28/838342328.db2.gz XOJKSRPQDUDXPB-IAGOWNOFSA-N 1 2 305.466 1.522 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@H]2CC23CCOCC3)CC1 ZINC001267577594 838348466 /nfs/dbraw/zinc/34/84/66/838348466.db2.gz PQQINTWHZAXYHK-MRXNPFEDSA-N 1 2 321.465 1.065 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](C[N@@H+](C)Cc2ncnn2CC)C1 ZINC001267579455 838353018 /nfs/dbraw/zinc/35/30/18/838353018.db2.gz ZBAZJUWVVSPLPM-CQSZACIVSA-N 1 2 305.426 1.545 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](C[N@H+](C)Cc2ncnn2CC)C1 ZINC001267579455 838353021 /nfs/dbraw/zinc/35/30/21/838353021.db2.gz ZBAZJUWVVSPLPM-CQSZACIVSA-N 1 2 305.426 1.545 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1C[NH+](CCOC[C@H]2CCCO2)C1 ZINC001267647959 838572323 /nfs/dbraw/zinc/57/23/23/838572323.db2.gz NLEDUBHQXOSYKC-OAHLLOKOSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](N(CC)C(=O)c2ccco2)C1 ZINC001267780961 838963780 /nfs/dbraw/zinc/96/37/80/838963780.db2.gz YSVRMNIHPCZKDS-ZDUSSCGKSA-N 1 2 305.378 1.118 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](N(CC)C(=O)c2ccco2)C1 ZINC001267780961 838963789 /nfs/dbraw/zinc/96/37/89/838963789.db2.gz YSVRMNIHPCZKDS-ZDUSSCGKSA-N 1 2 305.378 1.118 20 30 DDEDLO C#CCCCC(=O)N(CC)[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001267783525 838967751 /nfs/dbraw/zinc/96/77/51/838967751.db2.gz JHIKZPJKUYTPEJ-KRWDZBQOSA-N 1 2 316.449 1.955 20 30 DDEDLO C#CCCCC(=O)N(CC)[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001267783525 838967757 /nfs/dbraw/zinc/96/77/57/838967757.db2.gz JHIKZPJKUYTPEJ-KRWDZBQOSA-N 1 2 316.449 1.955 20 30 DDEDLO CCN(C(=O)C#CC(C)C)[C@@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001267783009 838967990 /nfs/dbraw/zinc/96/79/90/838967990.db2.gz DCLANRODMKMBMZ-MRXNPFEDSA-N 1 2 302.422 1.502 20 30 DDEDLO CCN(C(=O)C#CC(C)C)[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001267783009 838968001 /nfs/dbraw/zinc/96/80/01/838968001.db2.gz DCLANRODMKMBMZ-MRXNPFEDSA-N 1 2 302.422 1.502 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](C)Oc2cccnc2)C1 ZINC001268024605 839413096 /nfs/dbraw/zinc/41/30/96/839413096.db2.gz ADXDEEIJLYBWRG-ZBFHGGJFSA-N 1 2 319.405 1.242 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H](C)Oc2cccnc2)C1 ZINC001268024605 839413102 /nfs/dbraw/zinc/41/31/02/839413102.db2.gz ADXDEEIJLYBWRG-ZBFHGGJFSA-N 1 2 319.405 1.242 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](NC(=O)c2ccsn2)C1 ZINC001268202035 839833098 /nfs/dbraw/zinc/83/30/98/839833098.db2.gz LPTWMZBMUJBDGW-RYUDHWBXSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](NC(=O)c2ccsn2)C1 ZINC001268202035 839833110 /nfs/dbraw/zinc/83/31/10/839833110.db2.gz LPTWMZBMUJBDGW-RYUDHWBXSA-N 1 2 322.434 1.028 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[N@@H+](C)Cc2c(C)nnn2CC)C1 ZINC001268249073 839892349 /nfs/dbraw/zinc/89/23/49/839892349.db2.gz PENCFRNBEXDZNM-UHFFFAOYSA-N 1 2 319.453 1.853 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[N@H+](C)Cc2c(C)nnn2CC)C1 ZINC001268249073 839892356 /nfs/dbraw/zinc/89/23/56/839892356.db2.gz PENCFRNBEXDZNM-UHFFFAOYSA-N 1 2 319.453 1.853 20 30 DDEDLO C=CC(C)(C)C(=O)NC1C[NH+](C[C@H](O)COCc2ccco2)C1 ZINC001268437278 840185294 /nfs/dbraw/zinc/18/52/94/840185294.db2.gz ITICGSPEFJCSQI-AWEZNQCLSA-N 1 2 322.405 1.170 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1CN(Cc2cc(OC)cc[nH+]2)C1 ZINC001268447520 840203312 /nfs/dbraw/zinc/20/33/12/840203312.db2.gz ZJUKKZGEDVEDAS-KRWDZBQOSA-N 1 2 303.406 1.993 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC/C=C/C[NH2+]Cc1ncc(C)o1 ZINC001268603211 840560103 /nfs/dbraw/zinc/56/01/03/840560103.db2.gz ROCWSKCMNPBDIR-QNKPMFPBSA-N 1 2 319.405 1.726 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)C[NH2+]Cc2nc(C3CC3)no2)C1 ZINC001268661393 840645214 /nfs/dbraw/zinc/64/52/14/840645214.db2.gz TXTLXRBRADMRDJ-LLVKDONJSA-N 1 2 304.394 1.898 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(OC)nc1 ZINC001268939140 841016791 /nfs/dbraw/zinc/01/67/91/841016791.db2.gz CMXCXSATRJXLAB-HOTGVXAUSA-N 1 2 313.401 1.679 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(OC)nc1 ZINC001268939140 841016805 /nfs/dbraw/zinc/01/68/05/841016805.db2.gz CMXCXSATRJXLAB-HOTGVXAUSA-N 1 2 313.401 1.679 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1CCC1 ZINC001268935364 841026812 /nfs/dbraw/zinc/02/68/12/841026812.db2.gz VIYSSJUWYUCHTF-QEJZJMRPSA-N 1 2 305.422 1.152 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1CCC1 ZINC001268935364 841026825 /nfs/dbraw/zinc/02/68/25/841026825.db2.gz VIYSSJUWYUCHTF-QEJZJMRPSA-N 1 2 305.422 1.152 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@H]1CCC[C@H]1CC ZINC001272105692 844618314 /nfs/dbraw/zinc/61/83/14/844618314.db2.gz NNPFAWFVIXJYAJ-CABCVRRESA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@H]1CCC[C@H]1CC ZINC001272105692 844618319 /nfs/dbraw/zinc/61/83/19/844618319.db2.gz NNPFAWFVIXJYAJ-CABCVRRESA-N 1 2 309.454 1.505 20 30 DDEDLO C#CCCCCC(=O)N(C)[C@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001269234745 841393227 /nfs/dbraw/zinc/39/32/27/841393227.db2.gz SFZWDFTZVSKJDA-INIZCTEOSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001269234745 841393235 /nfs/dbraw/zinc/39/32/35/841393235.db2.gz SFZWDFTZVSKJDA-INIZCTEOSA-N 1 2 302.422 1.646 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H]1CC[N@@H+]1[C@H]1CCCNC1=O ZINC001269241075 841407759 /nfs/dbraw/zinc/40/77/59/841407759.db2.gz QECZSUNXAYIBTM-GJZGRUSLSA-N 1 2 305.422 1.224 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H]1CC[N@H+]1[C@H]1CCCNC1=O ZINC001269241075 841407769 /nfs/dbraw/zinc/40/77/69/841407769.db2.gz QECZSUNXAYIBTM-GJZGRUSLSA-N 1 2 305.422 1.224 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)cc(F)cc1C ZINC001269272074 841452016 /nfs/dbraw/zinc/45/20/16/841452016.db2.gz HRJBHLAFNMBSQD-MRXNPFEDSA-N 1 2 318.392 1.896 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)cc(F)cc1C ZINC001269272074 841452026 /nfs/dbraw/zinc/45/20/26/841452026.db2.gz HRJBHLAFNMBSQD-MRXNPFEDSA-N 1 2 318.392 1.896 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@]1(c2ccccc2)C[C@H](F)C1 ZINC001269339175 841539370 /nfs/dbraw/zinc/53/93/70/841539370.db2.gz XFRZXWLZIZSCGZ-BQFCYCMXSA-N 1 2 300.377 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@]1(c2ccccc2)C[C@H](F)C1 ZINC001269339175 841539377 /nfs/dbraw/zinc/53/93/77/841539377.db2.gz XFRZXWLZIZSCGZ-BQFCYCMXSA-N 1 2 300.377 1.880 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC1([NH2+]Cc2ncc(C)o2)CC1 ZINC001269505302 841703609 /nfs/dbraw/zinc/70/36/09/841703609.db2.gz BCXSQAXOPKVQOS-ZFWWWQNUSA-N 1 2 319.405 1.703 20 30 DDEDLO C#CCCCC(=O)N(C)C1C[NH+](C[C@@H](O)c2ccccc2)C1 ZINC001269781024 842040857 /nfs/dbraw/zinc/04/08/57/842040857.db2.gz OFJLUKOPZGWTQR-QGZVFWFLSA-N 1 2 300.402 1.666 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)CCc2ccccc2C(N)=O)C1 ZINC001269876256 842124243 /nfs/dbraw/zinc/12/42/43/842124243.db2.gz QMZCMUGYONKNAE-UHFFFAOYSA-N 1 2 301.390 1.047 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)CCC2CCOCC2)C1 ZINC001270683168 842812696 /nfs/dbraw/zinc/81/26/96/842812696.db2.gz RRPOUCOGKXCBPQ-MRXNPFEDSA-N 1 2 310.438 1.586 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)CCC2CCOCC2)C1 ZINC001270683168 842812704 /nfs/dbraw/zinc/81/27/04/842812704.db2.gz RRPOUCOGKXCBPQ-MRXNPFEDSA-N 1 2 310.438 1.586 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)c2ccc(N(C)C)c(F)c2)C1 ZINC001271393776 843554026 /nfs/dbraw/zinc/55/40/26/843554026.db2.gz RPNBKOHFJFFSKZ-UHFFFAOYSA-N 1 2 321.396 1.244 20 30 DDEDLO CC(C)c1nc(C[NH2+]CCCNC(=O)CSCC#N)no1 ZINC001154802441 861469486 /nfs/dbraw/zinc/46/94/86/861469486.db2.gz GLISAXXSPLBJKY-UHFFFAOYSA-N 1 2 311.411 1.046 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1conc1COC ZINC001326666986 861526490 /nfs/dbraw/zinc/52/64/90/861526490.db2.gz JQNLOISJYPWUGU-ZDUSSCGKSA-N 1 2 323.393 1.218 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1conc1COC ZINC001326666986 861526503 /nfs/dbraw/zinc/52/65/03/861526503.db2.gz JQNLOISJYPWUGU-ZDUSSCGKSA-N 1 2 323.393 1.218 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](CC)OC)C1 ZINC001149350373 861557764 /nfs/dbraw/zinc/55/77/64/861557764.db2.gz YQIAHQLOEJAUFK-STQMWFEESA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H](CC)OC)C1 ZINC001149350373 861557768 /nfs/dbraw/zinc/55/77/68/861557768.db2.gz YQIAHQLOEJAUFK-STQMWFEESA-N 1 2 304.818 1.371 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)C1C[NH+](Cc2ccncc2Cl)C1 ZINC001409841027 845887404 /nfs/dbraw/zinc/88/74/04/845887404.db2.gz LDIOMNZYXDENFG-QWRGUYRKSA-N 1 2 306.797 1.831 20 30 DDEDLO Cn1cnc(C[N@@H+]2CCC[C@@]23CCN(CCCC#N)C3=O)c1 ZINC001272731865 846737955 /nfs/dbraw/zinc/73/79/55/846737955.db2.gz VXXZAOUGQJSVSA-INIZCTEOSA-N 1 2 301.394 1.291 20 30 DDEDLO Cn1cnc(C[N@H+]2CCC[C@@]23CCN(CCCC#N)C3=O)c1 ZINC001272731865 846737964 /nfs/dbraw/zinc/73/79/64/846737964.db2.gz VXXZAOUGQJSVSA-INIZCTEOSA-N 1 2 301.394 1.291 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1csc(C)n1)C2 ZINC001272774728 847411458 /nfs/dbraw/zinc/41/14/58/847411458.db2.gz RFVIARQUJBAAKF-INIZCTEOSA-N 1 2 319.430 1.136 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1csc(C)n1)C2 ZINC001272774728 847411461 /nfs/dbraw/zinc/41/14/61/847411461.db2.gz RFVIARQUJBAAKF-INIZCTEOSA-N 1 2 319.430 1.136 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)C(C)(C)CNC(C)=O ZINC001374543710 847440824 /nfs/dbraw/zinc/44/08/24/847440824.db2.gz ABOYGXIGFUAQCM-UHFFFAOYSA-N 1 2 303.834 1.291 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)C(C)(C)CNC(C)=O ZINC001374543710 847440828 /nfs/dbraw/zinc/44/08/28/847440828.db2.gz ABOYGXIGFUAQCM-UHFFFAOYSA-N 1 2 303.834 1.291 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@@H+](Cc3nccn3CC)C2)OCC1=O ZINC001272828057 847515195 /nfs/dbraw/zinc/51/51/95/847515195.db2.gz FRDVYSYTNRRXSU-KRWDZBQOSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@H+](Cc3nccn3CC)C2)OCC1=O ZINC001272828057 847515202 /nfs/dbraw/zinc/51/52/02/847515202.db2.gz FRDVYSYTNRRXSU-KRWDZBQOSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)Cc1ccccc1OC ZINC001272901207 847611422 /nfs/dbraw/zinc/61/14/22/847611422.db2.gz DVXHHGVPNJEXQU-MRXNPFEDSA-N 1 2 316.401 1.078 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)Cc1ccccc1OC ZINC001272901207 847611429 /nfs/dbraw/zinc/61/14/29/847611429.db2.gz DVXHHGVPNJEXQU-MRXNPFEDSA-N 1 2 316.401 1.078 20 30 DDEDLO CCc1noc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC(C)C)CC2)n1 ZINC001327127895 861913480 /nfs/dbraw/zinc/91/34/80/861913480.db2.gz YNHRRBUZANHPAJ-CQSZACIVSA-N 1 2 318.421 1.762 20 30 DDEDLO CCc1noc(C[N@H+]2CCC[C@@H](NC(=O)C#CC(C)C)CC2)n1 ZINC001327127895 861913492 /nfs/dbraw/zinc/91/34/92/861913492.db2.gz YNHRRBUZANHPAJ-CQSZACIVSA-N 1 2 318.421 1.762 20 30 DDEDLO C=CCOCCN1CC[NH+]([C@H]2CCN(C(=O)C(C)C)C2)CC1 ZINC001273366765 849709074 /nfs/dbraw/zinc/70/90/74/849709074.db2.gz YMMXGLPYIXONHK-INIZCTEOSA-N 1 2 309.454 1.064 20 30 DDEDLO C#CCCCC(=O)N[C@H]1COC2(C[NH+](CCOC(C)C)C2)C1 ZINC001327387894 862128012 /nfs/dbraw/zinc/12/80/12/862128012.db2.gz RCROAIYDVKXMOT-OAHLLOKOSA-N 1 2 308.422 1.174 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)CC(C)(C)C1CC1)CO2 ZINC001327387947 862128074 /nfs/dbraw/zinc/12/80/74/862128074.db2.gz RRVMSGGRSWZQGS-OAHLLOKOSA-N 1 2 304.434 1.796 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1C[N@H+](Cc2ccn(C)n2)CCCO1 ZINC001150223308 862146781 /nfs/dbraw/zinc/14/67/81/862146781.db2.gz BACMPPOMVPTFMJ-MRXNPFEDSA-N 1 2 320.437 1.484 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1C[N@@H+](Cc2ccn(C)n2)CCCO1 ZINC001150223308 862146787 /nfs/dbraw/zinc/14/67/87/862146787.db2.gz BACMPPOMVPTFMJ-MRXNPFEDSA-N 1 2 320.437 1.484 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2COC3(C[NH+]([C@H](C)COC)C3)C2)CCC1 ZINC001327408206 862148055 /nfs/dbraw/zinc/14/80/55/862148055.db2.gz RGTAWFOTBOHOQK-HUUCEWRRSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](CCC)OCC)CO2 ZINC001327407492 862148618 /nfs/dbraw/zinc/14/86/18/862148618.db2.gz PHHRNHBIGVLDBO-CABCVRRESA-N 1 2 310.438 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)CCCn1cccn1)CO2 ZINC001327426467 862166782 /nfs/dbraw/zinc/16/67/82/862166782.db2.gz KKRTUGOQHYAKMW-HNNXBMFYSA-N 1 2 318.421 1.199 20 30 DDEDLO CCOC(C)(C)C[NH+]1CC2(C1)CN(CCCC#N)C(=O)CO2 ZINC001273477723 851004913 /nfs/dbraw/zinc/00/49/13/851004913.db2.gz LUWOIBQJCFIROZ-UHFFFAOYSA-N 1 2 309.410 1.018 20 30 DDEDLO CN(CCC[N@H+](C)Cc1nc(C2CC2)no1)C(=O)C#CC1CC1 ZINC001273508001 851040739 /nfs/dbraw/zinc/04/07/39/851040739.db2.gz SBBBCJJCWHTXLR-UHFFFAOYSA-N 1 2 316.405 1.641 20 30 DDEDLO CN(CCC[N@@H+](C)Cc1nc(C2CC2)no1)C(=O)C#CC1CC1 ZINC001273508001 851040747 /nfs/dbraw/zinc/04/07/47/851040747.db2.gz SBBBCJJCWHTXLR-UHFFFAOYSA-N 1 2 316.405 1.641 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CCC[N@H+](C)Cc2ccon2)cn1 ZINC001273577798 851109427 /nfs/dbraw/zinc/10/94/27/851109427.db2.gz FGDODYNXJWCUHS-UHFFFAOYSA-N 1 2 312.373 1.645 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CCC[N@@H+](C)Cc2ccon2)cn1 ZINC001273577798 851109430 /nfs/dbraw/zinc/10/94/30/851109430.db2.gz FGDODYNXJWCUHS-UHFFFAOYSA-N 1 2 312.373 1.645 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCCN(c1cc[nH+]c(OC)c1)C2 ZINC001273585118 851116172 /nfs/dbraw/zinc/11/61/72/851116172.db2.gz LUCKEOJDXBPBRA-KRWDZBQOSA-N 1 2 317.389 1.332 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1(C)CC(F)(F)C1)O2 ZINC001273669319 851204121 /nfs/dbraw/zinc/20/41/21/851204121.db2.gz RGUSEKSECNLXMQ-LBPRGKRZSA-N 1 2 314.376 1.957 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cc(C)cnc2F)C1=O ZINC001274025222 851863890 /nfs/dbraw/zinc/86/38/90/851863890.db2.gz KFFBNEPSAQZUQQ-QGZVFWFLSA-N 1 2 301.365 1.729 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cc(C)cnc2F)C1=O ZINC001274025222 851863897 /nfs/dbraw/zinc/86/38/97/851863897.db2.gz KFFBNEPSAQZUQQ-QGZVFWFLSA-N 1 2 301.365 1.729 20 30 DDEDLO N#CCC[N@H+]1CC[C@@H]2[C@H]1CCC(=O)N2CCc1ccc(O)cc1 ZINC001274030907 851869598 /nfs/dbraw/zinc/86/95/98/851869598.db2.gz BHBOHKQWWMBEHU-IAGOWNOFSA-N 1 2 313.401 1.914 20 30 DDEDLO N#CCC[N@@H+]1CC[C@@H]2[C@H]1CCC(=O)N2CCc1ccc(O)cc1 ZINC001274030907 851869607 /nfs/dbraw/zinc/86/96/07/851869607.db2.gz BHBOHKQWWMBEHU-IAGOWNOFSA-N 1 2 313.401 1.914 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@@H+]2Cc2c(N)ccnc2F)C1=O ZINC001274117582 851945065 /nfs/dbraw/zinc/94/50/65/851945065.db2.gz NTXHRRHHJLAZEL-QGZVFWFLSA-N 1 2 318.396 1.946 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@H+]2Cc2c(N)ccnc2F)C1=O ZINC001274117582 851945073 /nfs/dbraw/zinc/94/50/73/851945073.db2.gz NTXHRRHHJLAZEL-QGZVFWFLSA-N 1 2 318.396 1.946 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3ccccc3C(C)C)C2)OCC1=O ZINC001274217474 852045480 /nfs/dbraw/zinc/04/54/80/852045480.db2.gz SCAOCCMKDJUQHM-UHFFFAOYSA-N 1 2 312.413 1.856 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@]2(CC[N@H+](Cc3nnc[nH]3)C2)C1=O ZINC001274356417 852192886 /nfs/dbraw/zinc/19/28/86/852192886.db2.gz SQINEDVJEQAEQV-GOSISDBHSA-N 1 2 323.400 1.871 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@]2(CC[N@@H+](Cc3nnc[nH]3)C2)C1=O ZINC001274356417 852192894 /nfs/dbraw/zinc/19/28/94/852192894.db2.gz SQINEDVJEQAEQV-GOSISDBHSA-N 1 2 323.400 1.871 20 30 DDEDLO Cc1nn(CCO)c(C)c1C=NNc1cc[nH+]c2ccccc12 ZINC001327643024 862343115 /nfs/dbraw/zinc/34/31/15/862343115.db2.gz OAOITCXBKBURFE-UHFFFAOYSA-N 1 2 309.373 1.908 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1cnsc1)C2 ZINC001274516868 852352909 /nfs/dbraw/zinc/35/29/09/852352909.db2.gz VNCCXHJXAIXASK-HNNXBMFYSA-N 1 2 307.419 1.380 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1cnsc1)C2 ZINC001274516868 852352916 /nfs/dbraw/zinc/35/29/16/852352916.db2.gz VNCCXHJXAIXASK-HNNXBMFYSA-N 1 2 307.419 1.380 20 30 DDEDLO C=CCC[C@@H](O)C[NH+]1CC2(C1)CN(CC1CCCC1)C(=O)CO2 ZINC001274627528 852458945 /nfs/dbraw/zinc/45/89/45/852458945.db2.gz LWESOSGUXYSZST-MRXNPFEDSA-N 1 2 322.449 1.417 20 30 DDEDLO Cn1ccc(C[N@H+](C)C[C@@H]2CCCN2C(=O)C#CC(C)(C)C)n1 ZINC001275107819 852811790 /nfs/dbraw/zinc/81/17/90/852811790.db2.gz GGSZCPMJVXMYBJ-INIZCTEOSA-N 1 2 316.449 1.892 20 30 DDEDLO Cn1ccc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)C#CC(C)(C)C)n1 ZINC001275107819 852811795 /nfs/dbraw/zinc/81/17/95/852811795.db2.gz GGSZCPMJVXMYBJ-INIZCTEOSA-N 1 2 316.449 1.892 20 30 DDEDLO C=CCCC(=O)NC[C@H](NC(=O)Cn1cc[nH+]c1)C1CCCC1 ZINC001299485706 852956511 /nfs/dbraw/zinc/95/65/11/852956511.db2.gz MFMXOFWJUNHMQV-HNNXBMFYSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C(Br)C[NH+]1CCC(CCOC)(NC(C)=O)CC1 ZINC001150847977 862496599 /nfs/dbraw/zinc/49/65/99/862496599.db2.gz AOEVXLHKXVZRMB-UHFFFAOYSA-N 1 2 319.243 1.902 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([C@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001111212959 855187452 /nfs/dbraw/zinc/18/74/52/855187452.db2.gz INUKXCHRHLEKHK-GXTWGEPZSA-N 1 2 304.394 1.637 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nc3cnccc3s1)C2 ZINC001095735140 855235632 /nfs/dbraw/zinc/23/56/32/855235632.db2.gz HHDRCXWQVMVXER-MDZLAQPJSA-N 1 2 312.398 1.660 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nc3cnccc3s1)C2 ZINC001095735140 855235633 /nfs/dbraw/zinc/23/56/33/855235633.db2.gz HHDRCXWQVMVXER-MDZLAQPJSA-N 1 2 312.398 1.660 20 30 DDEDLO CN(CCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)c1ncccc1C#N ZINC001095772156 855336992 /nfs/dbraw/zinc/33/69/92/855336992.db2.gz QUPUVRQFVOCCGV-ZIAGYGMSSA-N 1 2 324.388 1.423 20 30 DDEDLO CN(CCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)c1ncccc1C#N ZINC001095772156 855336994 /nfs/dbraw/zinc/33/69/94/855336994.db2.gz QUPUVRQFVOCCGV-ZIAGYGMSSA-N 1 2 324.388 1.423 20 30 DDEDLO C[C@@H]([NH2+][C@H](C)c1nc(N)nc(N(C)C)n1)c1cccc(C#N)c1 ZINC001413057731 856261895 /nfs/dbraw/zinc/26/18/95/856261895.db2.gz CPCHDEIVKNIHPV-GHMZBOCLSA-N 1 2 311.393 1.803 20 30 DDEDLO CCc1noc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001072544674 857426216 /nfs/dbraw/zinc/42/62/16/857426216.db2.gz TXHWYDIVXAWYCR-VXGBXAGGSA-N 1 2 317.393 1.387 20 30 DDEDLO CCc1noc([C@@H](C)[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001072544674 857426220 /nfs/dbraw/zinc/42/62/20/857426220.db2.gz TXHWYDIVXAWYCR-VXGBXAGGSA-N 1 2 317.393 1.387 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)c1cc(C2CC2)nnc1Cl ZINC001156327559 862938609 /nfs/dbraw/zinc/93/86/09/862938609.db2.gz FXAXDXFPOCCSOT-VIFPVBQESA-N 1 2 313.739 1.854 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072801659 857718669 /nfs/dbraw/zinc/71/86/69/857718669.db2.gz XBUWQNVXBKTKOR-DZGCQCFKSA-N 1 2 316.405 1.109 20 30 DDEDLO CC(C)C#CC(=O)NC1(C)CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001073128543 858124744 /nfs/dbraw/zinc/12/47/44/858124744.db2.gz JPYJWJKTZARSME-UHFFFAOYSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CCn1c(N2CCC[C@H](C)C2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121708062 858578812 /nfs/dbraw/zinc/57/88/12/858578812.db2.gz GTBRXJUHLWXZCX-GRDNDAEWSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N2CCC[C@H](C)C2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001121708062 858578820 /nfs/dbraw/zinc/57/88/20/858578820.db2.gz GTBRXJUHLWXZCX-GRDNDAEWSA-N 1 2 319.453 1.612 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1CC ZINC001121884640 858619188 /nfs/dbraw/zinc/61/91/88/858619188.db2.gz CATYVDWWPFNIAG-ZIAGYGMSSA-N 1 2 303.410 1.293 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2C[N@H+]3CCCC[C@@H]3CO2)n1CC ZINC001121884640 858619191 /nfs/dbraw/zinc/61/91/91/858619191.db2.gz CATYVDWWPFNIAG-ZIAGYGMSSA-N 1 2 303.410 1.293 20 30 DDEDLO C=CCOCC(=O)NCC[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001124773261 859805842 /nfs/dbraw/zinc/80/58/42/859805842.db2.gz PRWNAMDXTNBGQF-LLVKDONJSA-N 1 2 310.398 1.337 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1nc(CCCC)no1 ZINC001125248531 859934018 /nfs/dbraw/zinc/93/40/18/859934018.db2.gz ZXEIDEDVDDWQLB-UHFFFAOYSA-N 1 2 324.425 1.601 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC(NC(=O)C(F)(F)F)CC2)cn1 ZINC001140544677 860654116 /nfs/dbraw/zinc/65/41/16/860654116.db2.gz YQTIJQOHYZOHNY-UHFFFAOYSA-N 1 2 312.295 1.596 20 30 DDEDLO C[C@]1(CO)C[N@H+](Cc2sc(N)c(C#N)c2Cl)CC[C@H]1O ZINC001141107555 860787320 /nfs/dbraw/zinc/78/73/20/860787320.db2.gz YYUGKZOIIBYFKZ-ZWNOBZJWSA-N 1 2 315.826 1.421 20 30 DDEDLO C[C@]1(CO)C[N@@H+](Cc2sc(N)c(C#N)c2Cl)CC[C@H]1O ZINC001141107555 860787325 /nfs/dbraw/zinc/78/73/25/860787325.db2.gz YYUGKZOIIBYFKZ-ZWNOBZJWSA-N 1 2 315.826 1.421 20 30 DDEDLO CSc1nc(C[N@@H+]2CCC[C@]3(CNC(=O)O3)C2)ccc1C#N ZINC001141941712 860975841 /nfs/dbraw/zinc/97/58/41/860975841.db2.gz UTYPJQRSJOLUFI-HNNXBMFYSA-N 1 2 318.402 1.750 20 30 DDEDLO CSc1nc(C[N@H+]2CCC[C@]3(CNC(=O)O3)C2)ccc1C#N ZINC001141941712 860975848 /nfs/dbraw/zinc/97/58/48/860975848.db2.gz UTYPJQRSJOLUFI-HNNXBMFYSA-N 1 2 318.402 1.750 20 30 DDEDLO C=C[C@H](C(=O)NCC[NH2+]Cc1csnn1)c1ccccc1 ZINC001151990615 863095895 /nfs/dbraw/zinc/09/58/95/863095895.db2.gz KNFHNQZODKQNRC-AWEZNQCLSA-N 1 2 302.403 1.714 20 30 DDEDLO C=CC[C@@](C)(NC(=O)c1ccc2[nH+]c(N)[nH]c2c1)C(=O)OC ZINC001156977647 863492689 /nfs/dbraw/zinc/49/26/89/863492689.db2.gz YUVMOQQMJPSOCC-OAHLLOKOSA-N 1 2 302.334 1.383 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@H+](CC)CC(=O)Nc1ccon1 ZINC001153012402 863650569 /nfs/dbraw/zinc/65/05/69/863650569.db2.gz JVBYITSOABEWLL-CYBMUJFWSA-N 1 2 320.393 1.243 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)CC(=O)Nc1ccon1 ZINC001153012402 863650573 /nfs/dbraw/zinc/65/05/73/863650573.db2.gz JVBYITSOABEWLL-CYBMUJFWSA-N 1 2 320.393 1.243 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)o1 ZINC001153012839 863653078 /nfs/dbraw/zinc/65/30/78/863653078.db2.gz VMLIPANBKYRHNH-MLGOLLRUSA-N 1 2 309.410 1.637 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)o1 ZINC001153012839 863653083 /nfs/dbraw/zinc/65/30/83/863653083.db2.gz VMLIPANBKYRHNH-MLGOLLRUSA-N 1 2 309.410 1.637 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](C)Cc1nc(C)c(C)o1 ZINC001329439652 863667807 /nfs/dbraw/zinc/66/78/07/863667807.db2.gz ATBUCPCSGWGEEZ-GFCCVEGCSA-N 1 2 307.394 1.268 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001329439652 863667817 /nfs/dbraw/zinc/66/78/17/863667817.db2.gz ATBUCPCSGWGEEZ-GFCCVEGCSA-N 1 2 307.394 1.268 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1coc(C)n1 ZINC001153306854 863816945 /nfs/dbraw/zinc/81/69/45/863816945.db2.gz VSNOFVZUADZVSA-OLZOCXBDSA-N 1 2 307.394 1.348 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1coc(C)n1 ZINC001153306854 863816951 /nfs/dbraw/zinc/81/69/51/863816951.db2.gz VSNOFVZUADZVSA-OLZOCXBDSA-N 1 2 307.394 1.348 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CCN1Cc1c[nH+]cn1C ZINC001204381774 863842203 /nfs/dbraw/zinc/84/22/03/863842203.db2.gz CZRXFLQQMNMXEL-HNNXBMFYSA-N 1 2 316.409 1.334 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)C2C[NH+](Cc3cnnn3CC)C2)C1 ZINC001330049203 864047658 /nfs/dbraw/zinc/04/76/58/864047658.db2.gz UFIZNYYPNPOGLL-ZDUSSCGKSA-N 1 2 317.437 1.591 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)OCCCC)C2)C1 ZINC001330147199 864104391 /nfs/dbraw/zinc/10/43/91/864104391.db2.gz HBNVVLKZPSUFLA-AEFFLSMTSA-N 1 2 322.449 1.518 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)OCCCC)C2)C1 ZINC001330147199 864104397 /nfs/dbraw/zinc/10/43/97/864104397.db2.gz HBNVVLKZPSUFLA-AEFFLSMTSA-N 1 2 322.449 1.518 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H](NC(=O)C#CC(C)C)C(C)(C)C2)cn1 ZINC001330189290 864139476 /nfs/dbraw/zinc/13/94/76/864139476.db2.gz CATSXYPHSVFNTK-INIZCTEOSA-N 1 2 314.433 1.771 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H](NC(=O)C#CC(C)C)C(C)(C)C2)cn1 ZINC001330189290 864139481 /nfs/dbraw/zinc/13/94/81/864139481.db2.gz CATSXYPHSVFNTK-INIZCTEOSA-N 1 2 314.433 1.771 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(Cl)ccc3C(N)=O)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225393846 881808654 /nfs/dbraw/zinc/80/86/54/881808654.db2.gz JKCRAFTYZUOVEL-LSVRBPJHSA-N 1 2 308.765 1.430 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(Cl)ccc3C(N)=O)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225393846 881808669 /nfs/dbraw/zinc/80/86/69/881808669.db2.gz JKCRAFTYZUOVEL-LSVRBPJHSA-N 1 2 308.765 1.430 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)NCCCNc2cccc[nH+]2)C1 ZINC001331146704 864900247 /nfs/dbraw/zinc/90/02/47/864900247.db2.gz OGPQFGWJBZGYLE-AWEZNQCLSA-N 1 2 316.405 1.424 20 30 DDEDLO C=CCCOCC(=O)N[C@@]12CCC[C@H]1[N@H+](Cc1cnon1)CC2 ZINC001332116778 865590957 /nfs/dbraw/zinc/59/09/57/865590957.db2.gz JJXXLWQNTOAPKR-GDBMZVCRSA-N 1 2 320.393 1.276 20 30 DDEDLO C=CCCOCC(=O)N[C@@]12CCC[C@H]1[N@@H+](Cc1cnon1)CC2 ZINC001332116778 865590963 /nfs/dbraw/zinc/59/09/63/865590963.db2.gz JJXXLWQNTOAPKR-GDBMZVCRSA-N 1 2 320.393 1.276 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C(=O)C[C@@H]1C=CCC1)C(C)C ZINC001332687902 866066786 /nfs/dbraw/zinc/06/67/86/866066786.db2.gz GAFXVBHQUYNETQ-MRXNPFEDSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C(=O)C[C@@H]1C=CCC1)C(C)C ZINC001332687902 866066800 /nfs/dbraw/zinc/06/68/00/866066800.db2.gz GAFXVBHQUYNETQ-MRXNPFEDSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)CC[N@H+](C)Cc1ccncc1Cl ZINC001320000042 866425468 /nfs/dbraw/zinc/42/54/68/866425468.db2.gz OSNCCGUMQQSVPI-ZDUSSCGKSA-N 1 2 323.824 1.664 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)CC[N@@H+](C)Cc1ccncc1Cl ZINC001320000042 866425474 /nfs/dbraw/zinc/42/54/74/866425474.db2.gz OSNCCGUMQQSVPI-ZDUSSCGKSA-N 1 2 323.824 1.664 20 30 DDEDLO C=C(C)CCC(=O)N(C)CC[N@@H+](C)Cc1cc(=O)c(OC)co1 ZINC001320007786 866427649 /nfs/dbraw/zinc/42/76/49/866427649.db2.gz WBADCRPSMHHXOA-UHFFFAOYSA-N 1 2 322.405 1.895 20 30 DDEDLO C=C(C)CCC(=O)N(C)CC[N@H+](C)Cc1cc(=O)c(OC)co1 ZINC001320007786 866427658 /nfs/dbraw/zinc/42/76/58/866427658.db2.gz WBADCRPSMHHXOA-UHFFFAOYSA-N 1 2 322.405 1.895 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2cnccc2c1 ZINC001323336431 866541289 /nfs/dbraw/zinc/54/12/89/866541289.db2.gz PQINNXCVUIHGAL-SFHVURJKSA-N 1 2 323.396 1.689 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2cnccc2c1 ZINC001323336431 866541297 /nfs/dbraw/zinc/54/12/97/866541297.db2.gz PQINNXCVUIHGAL-SFHVURJKSA-N 1 2 323.396 1.689 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@H](CNC(=O)[C@@H]3C[C@@H]3C#N)C2)cc1 ZINC001361612530 882005487 /nfs/dbraw/zinc/00/54/87/882005487.db2.gz OXOMNZZAUUSWAV-BRWVUGGUSA-N 1 2 313.401 1.472 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@H](CNC(=O)[C@@H]3C[C@@H]3C#N)C2)cc1 ZINC001361612530 882005506 /nfs/dbraw/zinc/00/55/06/882005506.db2.gz OXOMNZZAUUSWAV-BRWVUGGUSA-N 1 2 313.401 1.472 20 30 DDEDLO Cc1ccc(C#N)nc1NS(=O)(=O)c1ccc(C[NH3+])cc1 ZINC001161746590 866958005 /nfs/dbraw/zinc/95/80/05/866958005.db2.gz IAOONQXABQVENU-UHFFFAOYSA-N 1 2 302.359 1.521 20 30 DDEDLO C=CCOC(=O)N1CCC2(CCC[N@H+](Cc3cnn[nH]3)C2)CC1 ZINC001203195499 867060492 /nfs/dbraw/zinc/06/04/92/867060492.db2.gz DYUHXBLBACRPEN-UHFFFAOYSA-N 1 2 319.409 1.805 20 30 DDEDLO C=CCOC(=O)N1CCC2(CCC[N@@H+](Cc3cnn[nH]3)C2)CC1 ZINC001203195499 867060506 /nfs/dbraw/zinc/06/05/06/867060506.db2.gz DYUHXBLBACRPEN-UHFFFAOYSA-N 1 2 319.409 1.805 20 30 DDEDLO C=CCOC(=O)N1CCC2(CCC[N@H+](Cc3c[nH]nn3)C2)CC1 ZINC001203195499 867060521 /nfs/dbraw/zinc/06/05/21/867060521.db2.gz DYUHXBLBACRPEN-UHFFFAOYSA-N 1 2 319.409 1.805 20 30 DDEDLO C=CCOC(=O)N1CCC2(CCC[N@@H+](Cc3c[nH]nn3)C2)CC1 ZINC001203195499 867060537 /nfs/dbraw/zinc/06/05/37/867060537.db2.gz DYUHXBLBACRPEN-UHFFFAOYSA-N 1 2 319.409 1.805 20 30 DDEDLO C/C(=C\C(=O)Nc1ccc(OCC#N)cc1)C[NH+]1CCOCC1 ZINC001333995220 867220427 /nfs/dbraw/zinc/22/04/27/867220427.db2.gz UAQWDKRGOWLMIV-WYMLVPIESA-N 1 2 315.373 1.806 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)CCCC3CC3)CC2)C1 ZINC001324561928 867358983 /nfs/dbraw/zinc/35/89/83/867358983.db2.gz ZIWJUYWZOIDBKX-INIZCTEOSA-N 1 2 304.438 1.309 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC/C=C\C[NH2+]Cc1ncc(C)o1 ZINC001321363285 867529193 /nfs/dbraw/zinc/52/91/93/867529193.db2.gz MXJBLBQQRPBQPA-SREVYHEPSA-N 1 2 323.396 1.969 20 30 DDEDLO CCOC(=O)c1cc(C#N)c(C)nc1NC[C@H]1C[N@H+](C)CCO1 ZINC001162681264 867723919 /nfs/dbraw/zinc/72/39/19/867723919.db2.gz YTZSEDITYJTCDZ-ZDUSSCGKSA-N 1 2 318.377 1.181 20 30 DDEDLO CCOC(=O)c1cc(C#N)c(C)nc1NC[C@H]1C[N@@H+](C)CCO1 ZINC001162681264 867723932 /nfs/dbraw/zinc/72/39/32/867723932.db2.gz YTZSEDITYJTCDZ-ZDUSSCGKSA-N 1 2 318.377 1.181 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]([NH3+])CNc1nc2c(cc1C#N)CCCC2 ZINC001162853982 867875662 /nfs/dbraw/zinc/87/56/62/867875662.db2.gz GJEGTJTYDFTAQL-ZDUSSCGKSA-N 1 2 316.405 1.913 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C2(c3cccc(F)c3)CC2)C1 ZINC001325284311 867912331 /nfs/dbraw/zinc/91/23/31/867912331.db2.gz AOVPJFMNGBJLQT-UHFFFAOYSA-N 1 2 316.376 1.044 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2nc(OC)c(Cl)c(C)c2C#N)C[NH2+]1 ZINC001164302047 869104603 /nfs/dbraw/zinc/10/46/03/869104603.db2.gz LDCACKMRYONLDQ-WPRPVWTQSA-N 1 2 324.768 1.239 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C1CC1)N(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001336954703 869234214 /nfs/dbraw/zinc/23/42/14/869234214.db2.gz NMYFLKSMXUOEBS-ZDUSSCGKSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)c1cccc(NC(=O)C(=O)N2CC[NH+](CC)CC2)c1 ZINC001336969336 869238889 /nfs/dbraw/zinc/23/88/89/869238889.db2.gz JPMYKQFFSZDGQQ-UHFFFAOYSA-N 1 2 301.390 1.822 20 30 DDEDLO Cc1cc(N2CC[NH2+]C[C@H]2C#N)nc(-c2ccc(Cl)nc2)n1 ZINC001164661779 869345872 /nfs/dbraw/zinc/34/58/72/869345872.db2.gz NSWAYBQFOTVTBG-GFCCVEGCSA-N 1 2 314.780 1.802 20 30 DDEDLO C=CC[NH+]1CCN(c2ncc(C(=O)OC)cc2[N+](=O)[O-])CC1 ZINC001165031355 869354970 /nfs/dbraw/zinc/35/49/70/869354970.db2.gz FPICCJDKJVLWKJ-UHFFFAOYSA-N 1 2 306.322 1.084 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H](C)CN(C)C(=O)Cn1cc[nH+]c1 ZINC001337272109 869411749 /nfs/dbraw/zinc/41/17/49/869411749.db2.gz HJKOZIOAEVEWGM-CYBMUJFWSA-N 1 2 306.410 1.449 20 30 DDEDLO Cc1cnc(N2CCc3onc(Cn4cc[nH+]c4)c3C2)c(C#N)n1 ZINC001165547709 869638687 /nfs/dbraw/zinc/63/86/87/869638687.db2.gz OICZHIWBAWGYQV-UHFFFAOYSA-N 1 2 321.344 1.452 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H](C)N(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001338155319 869837896 /nfs/dbraw/zinc/83/78/96/869837896.db2.gz PIRSLFSFWNKSPA-WCQYABFASA-N 1 2 304.394 1.270 20 30 DDEDLO CC(C)=C[C@H]1[C@@H](C(=O)NCC[NH+]2CCN(CC#N)CC2)C1(C)C ZINC001316966180 870005619 /nfs/dbraw/zinc/00/56/19/870005619.db2.gz YVFIFVWJMMDYAB-HOTGVXAUSA-N 1 2 318.465 1.482 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(Cc2coc(C)n2)CC1 ZINC001316966908 870009607 /nfs/dbraw/zinc/00/96/07/870009607.db2.gz KORHNCNFENZBAI-UHFFFAOYSA-N 1 2 320.437 1.573 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)CCCC2CCCCC2)CC1 ZINC001316971894 870022294 /nfs/dbraw/zinc/02/22/94/870022294.db2.gz HZGJJPPYCJBWNP-UHFFFAOYSA-N 1 2 320.481 1.994 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1CC[N@@H+](Cc2cnnn2C)C1 ZINC001316979046 870049952 /nfs/dbraw/zinc/04/99/52/870049952.db2.gz HYVLPYIUFXYIAY-CQSZACIVSA-N 1 2 303.410 1.274 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1CC[N@H+](Cc2cnnn2C)C1 ZINC001316979046 870049964 /nfs/dbraw/zinc/04/99/64/870049964.db2.gz HYVLPYIUFXYIAY-CQSZACIVSA-N 1 2 303.410 1.274 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](CC)CNC(=O)Cc1c[nH+]cn1C ZINC001297444422 870052853 /nfs/dbraw/zinc/05/28/53/870052853.db2.gz AMBKBTJURDQEIJ-KGLIPLIRSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)C[C@H]1CC1(C)C ZINC001338775105 870163337 /nfs/dbraw/zinc/16/33/37/870163337.db2.gz UNGQOLVHZNVJNT-RDBSUJKOSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)C[C@H]1CC1(C)C ZINC001338775105 870163342 /nfs/dbraw/zinc/16/33/42/870163342.db2.gz UNGQOLVHZNVJNT-RDBSUJKOSA-N 1 2 319.453 1.684 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ncoc3C)n2CC=C)CC1 ZINC001338790578 870170245 /nfs/dbraw/zinc/17/02/45/870170245.db2.gz KHRUTMBAWQGUTR-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N(C[C@@H](C)O)C1CC1 ZINC001338894706 870229500 /nfs/dbraw/zinc/22/95/00/870229500.db2.gz AJZBANNNAFIEQU-HIFRSBDPSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N(C[C@@H](C)O)C1CC1 ZINC001338894706 870229515 /nfs/dbraw/zinc/22/95/15/870229515.db2.gz AJZBANNNAFIEQU-HIFRSBDPSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001298141109 870307564 /nfs/dbraw/zinc/30/75/64/870307564.db2.gz ABURFAHMXJXVHW-TZMCWYRMSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@@H](C)[C@@H](F)C1 ZINC001339212717 870406117 /nfs/dbraw/zinc/40/61/17/870406117.db2.gz YFNFNXYLSPBLEC-OLZOCXBDSA-N 1 2 318.400 1.973 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(F)(F)CC3CC3)n2C)CC1 ZINC001339462519 870509008 /nfs/dbraw/zinc/50/90/08/870509008.db2.gz OMICKCYZMAOATC-UHFFFAOYSA-N 1 2 309.364 1.462 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H](C)CNC(=O)C#CC(C)(C)C)o1 ZINC001317240756 870521659 /nfs/dbraw/zinc/52/16/59/870521659.db2.gz IHAJFCVTGYFUDV-LBPRGKRZSA-N 1 2 306.410 1.837 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001317297648 870595957 /nfs/dbraw/zinc/59/59/57/870595957.db2.gz FKBGCHHVKHMXBG-LRDDRELGSA-N 1 2 322.409 1.211 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@H+](C)CC(=O)Nc1ccccc1F ZINC001317445295 870851058 /nfs/dbraw/zinc/85/10/58/870851058.db2.gz ZVLAOKJFIHNUOI-UHFFFAOYSA-N 1 2 319.380 1.472 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@@H+](C)CC(=O)Nc1ccccc1F ZINC001317445295 870851077 /nfs/dbraw/zinc/85/10/77/870851077.db2.gz ZVLAOKJFIHNUOI-UHFFFAOYSA-N 1 2 319.380 1.472 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]1CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001299034169 870854042 /nfs/dbraw/zinc/85/40/42/870854042.db2.gz VMYBBOLAZYHMBU-GJZGRUSLSA-N 1 2 318.421 1.908 20 30 DDEDLO C=CCn1cc(C(=O)NCC[N@@H+](C)Cc2ccccc2C#N)nn1 ZINC001317463511 870879653 /nfs/dbraw/zinc/87/96/53/870879653.db2.gz DCTDNTALWUYDSA-UHFFFAOYSA-N 1 2 324.388 1.198 20 30 DDEDLO C=CCn1cc(C(=O)NCC[N@H+](C)Cc2ccccc2C#N)nn1 ZINC001317463511 870879667 /nfs/dbraw/zinc/87/96/67/870879667.db2.gz DCTDNTALWUYDSA-UHFFFAOYSA-N 1 2 324.388 1.198 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@H+]1Cc1coc(C)n1 ZINC001317504300 870938503 /nfs/dbraw/zinc/93/85/03/870938503.db2.gz FOZHZLDIWXQPHU-OAHLLOKOSA-N 1 2 305.378 1.104 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1coc(C)n1 ZINC001317504300 870938516 /nfs/dbraw/zinc/93/85/16/870938516.db2.gz FOZHZLDIWXQPHU-OAHLLOKOSA-N 1 2 305.378 1.104 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CC[N@@H+](Cc3ncnn3C)C2)C1 ZINC001317530392 871004446 /nfs/dbraw/zinc/00/44/46/871004446.db2.gz GJTIYRKPWZRMDF-ZDUSSCGKSA-N 1 2 303.410 1.110 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CC[N@H+](Cc3ncnn3C)C2)C1 ZINC001317530392 871004464 /nfs/dbraw/zinc/00/44/64/871004464.db2.gz GJTIYRKPWZRMDF-ZDUSSCGKSA-N 1 2 303.410 1.110 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC2(CCC2)C1 ZINC001340375459 871066472 /nfs/dbraw/zinc/06/64/72/871066472.db2.gz SIXAVMMZGBZQTC-KGLIPLIRSA-N 1 2 317.437 1.582 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC2(CCC2)C1 ZINC001340375459 871066483 /nfs/dbraw/zinc/06/64/83/871066483.db2.gz SIXAVMMZGBZQTC-KGLIPLIRSA-N 1 2 317.437 1.582 20 30 DDEDLO C#CCOCCC(=O)N(C)CC[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC001317585335 871143215 /nfs/dbraw/zinc/14/32/15/871143215.db2.gz ARYZEWWLRMDIFJ-UHFFFAOYSA-N 1 2 321.421 1.560 20 30 DDEDLO N#Cc1cnn2c1ncc(C#N)c2Nc1ccn2cc[nH+]c2c1 ZINC001204958651 871301798 /nfs/dbraw/zinc/30/17/98/871301798.db2.gz AIGJXGXYYNSWIA-UHFFFAOYSA-N 1 2 300.285 1.864 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CCC[N@@H+](CC(N)=O)C2)CCCCC1 ZINC001317955548 871647848 /nfs/dbraw/zinc/64/78/48/871647848.db2.gz SFIJRJUPFNWMIL-CQSZACIVSA-N 1 2 305.422 1.026 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CCC[N@H+](CC(N)=O)C2)CCCCC1 ZINC001317955548 871647851 /nfs/dbraw/zinc/64/78/51/871647851.db2.gz SFIJRJUPFNWMIL-CQSZACIVSA-N 1 2 305.422 1.026 20 30 DDEDLO C#CCOCCC(=O)NC1C[NH+](Cc2ccc(C3CC3)cc2)C1 ZINC001318024636 871695423 /nfs/dbraw/zinc/69/54/23/871695423.db2.gz MJAMOPKOJNBOSD-UHFFFAOYSA-N 1 2 312.413 1.904 20 30 DDEDLO C=C1CCN(c2nnc([C@@H]3CCC[N@@H+]3C)n2CCOC)CC1 ZINC001341559377 871714258 /nfs/dbraw/zinc/71/42/58/871714258.db2.gz CYPPRCFZKJPUDX-AWEZNQCLSA-N 1 2 305.426 1.848 20 30 DDEDLO C=C1CCN(c2nnc([C@@H]3CCC[N@H+]3C)n2CCOC)CC1 ZINC001341559377 871714276 /nfs/dbraw/zinc/71/42/76/871714276.db2.gz CYPPRCFZKJPUDX-AWEZNQCLSA-N 1 2 305.426 1.848 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CCC(c2c[nH+]cn2C)CC1 ZINC001341778062 871820310 /nfs/dbraw/zinc/82/03/10/871820310.db2.gz QFXDPFRTFPJEEG-HIFRSBDPSA-N 1 2 304.394 1.653 20 30 DDEDLO N#CC1(NC(=O)[C@@]23C[C@@H]2CC[N@@H+]3CCC(F)(F)F)CCC1 ZINC001277275668 883364156 /nfs/dbraw/zinc/36/41/56/883364156.db2.gz OPVOCCZKDCZUBD-GXFFZTMASA-N 1 2 301.312 1.966 20 30 DDEDLO N#CC1(NC(=O)[C@@]23C[C@@H]2CC[N@H+]3CCC(F)(F)F)CCC1 ZINC001277275668 883364147 /nfs/dbraw/zinc/36/41/47/883364147.db2.gz OPVOCCZKDCZUBD-GXFFZTMASA-N 1 2 301.312 1.966 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)CC(=C)C ZINC001342062470 871978621 /nfs/dbraw/zinc/97/86/21/871978621.db2.gz VECGVZVPWAUSKC-KGLIPLIRSA-N 1 2 305.426 1.604 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)CC(=C)C ZINC001342062470 871978636 /nfs/dbraw/zinc/97/86/36/871978636.db2.gz VECGVZVPWAUSKC-KGLIPLIRSA-N 1 2 305.426 1.604 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)CSCC#N)C2)oc1C ZINC001206090433 872048054 /nfs/dbraw/zinc/04/80/54/872048054.db2.gz UOUGVVAIAHLVGD-ZWNOBZJWSA-N 1 2 322.434 1.485 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)CSCC#N)C2)oc1C ZINC001206090433 872048078 /nfs/dbraw/zinc/04/80/78/872048078.db2.gz UOUGVVAIAHLVGD-ZWNOBZJWSA-N 1 2 322.434 1.485 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCCN1C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001316805394 872077498 /nfs/dbraw/zinc/07/74/98/872077498.db2.gz YZIWHRCNKAJEMA-CABCVRRESA-N 1 2 315.421 1.282 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](CC)[NH2+]Cc1cnsn1 ZINC001318574574 872154579 /nfs/dbraw/zinc/15/45/79/872154579.db2.gz DJIHZFCJVJTLSU-UHTWSYAYSA-N 1 2 310.423 1.114 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC(C)C)C2)ccn1 ZINC001316945835 872438052 /nfs/dbraw/zinc/43/80/52/872438052.db2.gz TZNYDRJBQWSXTM-MRXNPFEDSA-N 1 2 315.417 1.830 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H](NC(=O)C#CC(C)C)C2)ccn1 ZINC001316945835 872438062 /nfs/dbraw/zinc/43/80/62/872438062.db2.gz TZNYDRJBQWSXTM-MRXNPFEDSA-N 1 2 315.417 1.830 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(CCCCC)n[nH]2)C1 ZINC001319321520 872572646 /nfs/dbraw/zinc/57/26/46/872572646.db2.gz DIWGHLJLEUEZKX-HNNXBMFYSA-N 1 2 320.437 1.759 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(CCCCC)n[nH]2)C1 ZINC001319321520 872572662 /nfs/dbraw/zinc/57/26/62/872572662.db2.gz DIWGHLJLEUEZKX-HNNXBMFYSA-N 1 2 320.437 1.759 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)C[C@@H](Cc2ccccc2)C1=O ZINC001343496760 872649240 /nfs/dbraw/zinc/64/92/40/872649240.db2.gz PTMGKYIEIPRPJN-QGZVFWFLSA-N 1 2 310.397 1.907 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)C[C@@H](Cc2ccccc2)C1=O ZINC001343496760 872649249 /nfs/dbraw/zinc/64/92/49/872649249.db2.gz PTMGKYIEIPRPJN-QGZVFWFLSA-N 1 2 310.397 1.907 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)o1 ZINC001206948344 872828187 /nfs/dbraw/zinc/82/81/87/872828187.db2.gz GBCFUAGMCCSGIY-OSAQELSMSA-N 1 2 301.390 1.894 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)o1 ZINC001206948344 872828194 /nfs/dbraw/zinc/82/81/94/872828194.db2.gz GBCFUAGMCCSGIY-OSAQELSMSA-N 1 2 301.390 1.894 20 30 DDEDLO C#CCN(CC)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC(C)C ZINC001345132236 873266636 /nfs/dbraw/zinc/26/66/36/873266636.db2.gz LKHHGBWZWVCAIU-YOEHRIQHSA-N 1 2 319.453 1.305 20 30 DDEDLO C#CCN(CC)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC(C)C ZINC001345132236 873266646 /nfs/dbraw/zinc/26/66/46/873266646.db2.gz LKHHGBWZWVCAIU-YOEHRIQHSA-N 1 2 319.453 1.305 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@]2(C1)C[N@H+](CC#CC)CCO2 ZINC001276541420 873413970 /nfs/dbraw/zinc/41/39/70/873413970.db2.gz YZOGLFAVIUDJDJ-GOSISDBHSA-N 1 2 304.434 1.915 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@]2(C1)C[N@@H+](CC#CC)CCO2 ZINC001276541420 873413974 /nfs/dbraw/zinc/41/39/74/873413974.db2.gz YZOGLFAVIUDJDJ-GOSISDBHSA-N 1 2 304.434 1.915 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc(C[NH+]2CCCC2)n1CCOC ZINC001345762027 873506130 /nfs/dbraw/zinc/50/61/30/873506130.db2.gz DXJMGXRPTVILDT-OAHLLOKOSA-N 1 2 317.437 1.512 20 30 DDEDLO C#C[C@H](NC(=O)NC[C@H](C)[NH+]1CCN(C)CC1)c1ccccc1 ZINC001345953631 873571181 /nfs/dbraw/zinc/57/11/81/873571181.db2.gz SWTXSEJWMAWBSE-RDJZCZTQSA-N 1 2 314.433 1.296 20 30 DDEDLO N#CC1(C[NH2+]CCS(=O)(=O)c2ccccc2)CCOCC1 ZINC001346175083 873634915 /nfs/dbraw/zinc/63/49/15/873634915.db2.gz XNZJBLNLBSOYBT-UHFFFAOYSA-N 1 2 308.403 1.370 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2nonc2C)C[C@H]1C ZINC001208312795 873993206 /nfs/dbraw/zinc/99/32/06/873993206.db2.gz RMKANFSDGXQTNE-UKRRQHHQSA-N 1 2 318.421 1.898 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2nonc2C)C[C@H]1C ZINC001208312795 873993218 /nfs/dbraw/zinc/99/32/18/873993218.db2.gz RMKANFSDGXQTNE-UKRRQHHQSA-N 1 2 318.421 1.898 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cnc2n[nH]cc2c1 ZINC001378069731 874069132 /nfs/dbraw/zinc/06/91/32/874069132.db2.gz SUFGZULPJKWXLH-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cnc2n[nH]cc2c1 ZINC001378069731 874069145 /nfs/dbraw/zinc/06/91/45/874069145.db2.gz SUFGZULPJKWXLH-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CCC[C@@H](NCC#N)C1)n1cc[nH+]c1 ZINC001208386337 874095600 /nfs/dbraw/zinc/09/56/00/874095600.db2.gz BLWQQVCSDDSSNV-CABCVRRESA-N 1 2 303.410 1.574 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001208817300 874471681 /nfs/dbraw/zinc/47/16/81/874471681.db2.gz LENNEFHGDLVGOJ-OASPWFOLSA-N 1 2 301.818 1.090 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001208817300 874471685 /nfs/dbraw/zinc/47/16/85/874471685.db2.gz LENNEFHGDLVGOJ-OASPWFOLSA-N 1 2 301.818 1.090 20 30 DDEDLO C=CCN(CC[N@@H+]1CCC[C@@H](S(C)(=O)=O)C1)C(=O)OCC ZINC001209020162 874606830 /nfs/dbraw/zinc/60/68/30/874606830.db2.gz CJWITBLYIFYZKB-CYBMUJFWSA-N 1 2 318.439 1.140 20 30 DDEDLO C=CCN(CC[N@H+]1CCC[C@@H](S(C)(=O)=O)C1)C(=O)OCC ZINC001209020162 874606834 /nfs/dbraw/zinc/60/68/34/874606834.db2.gz CJWITBLYIFYZKB-CYBMUJFWSA-N 1 2 318.439 1.140 20 30 DDEDLO C=CCN(CC[N@@H+]1[C@H]2CC[C@H](C2)[C@H]1C(=O)OC)C(=O)OCC ZINC001209023973 874611923 /nfs/dbraw/zinc/61/19/23/874611923.db2.gz OANNRCKHMPTZQU-RDBSUJKOSA-N 1 2 310.394 1.657 20 30 DDEDLO C=CCN(CC[N@H+]1[C@H]2CC[C@H](C2)[C@H]1C(=O)OC)C(=O)OCC ZINC001209023973 874611927 /nfs/dbraw/zinc/61/19/27/874611927.db2.gz OANNRCKHMPTZQU-RDBSUJKOSA-N 1 2 310.394 1.657 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001378582823 875170913 /nfs/dbraw/zinc/17/09/13/875170913.db2.gz ADPSLILQCXCAEY-ZYHUDNBSSA-N 1 2 316.833 1.012 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)oc1C ZINC001378649676 875327014 /nfs/dbraw/zinc/32/70/14/875327014.db2.gz WMDSLWBMKKBZLV-MFKMUULPSA-N 1 2 304.394 1.778 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)oc1C ZINC001378649676 875327030 /nfs/dbraw/zinc/32/70/30/875327030.db2.gz WMDSLWBMKKBZLV-MFKMUULPSA-N 1 2 304.394 1.778 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+](Cc2nccn2CC)CC1 ZINC001227298734 882960154 /nfs/dbraw/zinc/96/01/54/882960154.db2.gz DYZBDXCBZFPZTE-CQSZACIVSA-N 1 2 318.421 1.022 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1csnn1)C1CCCCC1 ZINC001378883480 875842201 /nfs/dbraw/zinc/84/22/01/875842201.db2.gz KKNXRBQHDJCIAI-FZMZJTMJSA-N 1 2 321.450 1.852 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCCCC(C)C)[C@H](OC)C1 ZINC001213595142 875991915 /nfs/dbraw/zinc/99/19/15/875991915.db2.gz ZZXIHPVUFAWYGF-IAGOWNOFSA-N 1 2 324.465 1.668 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCCCC(C)C)[C@H](OC)C1 ZINC001213595142 875991918 /nfs/dbraw/zinc/99/19/18/875991918.db2.gz ZZXIHPVUFAWYGF-IAGOWNOFSA-N 1 2 324.465 1.668 20 30 DDEDLO CCO[C@H]1C[C@@H]1C(=O)N(C)CC[N@H+](C)Cc1ccccc1C#N ZINC001379022794 876155978 /nfs/dbraw/zinc/15/59/78/876155978.db2.gz DTSOUPGXRBXEBO-IRXDYDNUSA-N 1 2 315.417 1.873 20 30 DDEDLO CCO[C@H]1C[C@@H]1C(=O)N(C)CC[N@@H+](C)Cc1ccccc1C#N ZINC001379022794 876155993 /nfs/dbraw/zinc/15/59/93/876155993.db2.gz DTSOUPGXRBXEBO-IRXDYDNUSA-N 1 2 315.417 1.873 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@@H]2CN(C(=O)CSCC#N)C[C@H]2C)no1 ZINC001214173684 876199817 /nfs/dbraw/zinc/19/98/17/876199817.db2.gz JOFSSUFWEFQMAB-JFGNBEQYSA-N 1 2 323.422 1.132 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001351645490 876362883 /nfs/dbraw/zinc/36/28/83/876362883.db2.gz MLCXBAVNBOCVJR-ZIAGYGMSSA-N 1 2 318.421 1.834 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001351645490 876362899 /nfs/dbraw/zinc/36/28/99/876362899.db2.gz MLCXBAVNBOCVJR-ZIAGYGMSSA-N 1 2 318.421 1.834 20 30 DDEDLO C#CC[C@@H]1CCCN(c2nnc(Cc3[nH+]ccn3C)n2CC)C1 ZINC001352354694 876705283 /nfs/dbraw/zinc/70/52/83/876705283.db2.gz BQMPEZCATHFODK-CQSZACIVSA-N 1 2 312.421 1.862 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CNC(=O)/C(C)=C/CC ZINC001276800590 877846724 /nfs/dbraw/zinc/84/67/24/877846724.db2.gz LCCZIKLYXFZZOA-AHQMPEJBSA-N 1 2 321.465 1.958 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)/C(C)=C/CC ZINC001276800590 877846734 /nfs/dbraw/zinc/84/67/34/877846734.db2.gz LCCZIKLYXFZZOA-AHQMPEJBSA-N 1 2 321.465 1.958 20 30 DDEDLO CC(C)(CNC(=O)c1ccc(C#N)c(Cl)n1)[NH+]1CCOCC1 ZINC001354275657 877849804 /nfs/dbraw/zinc/84/98/04/877849804.db2.gz VOVUYCTZBVUCBP-UHFFFAOYSA-N 1 2 322.796 1.447 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)CNC(=O)CC(C)(C)C ZINC001379789648 878034109 /nfs/dbraw/zinc/03/41/09/878034109.db2.gz JJPAZCZKTCDHKS-LBPRGKRZSA-N 1 2 317.861 1.728 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)CNC(=O)CC(C)(C)C ZINC001379789648 878034128 /nfs/dbraw/zinc/03/41/28/878034128.db2.gz JJPAZCZKTCDHKS-LBPRGKRZSA-N 1 2 317.861 1.728 20 30 DDEDLO CC[C@H](C)CCCCC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001219695090 878457337 /nfs/dbraw/zinc/45/73/37/878457337.db2.gz LMMMEQINRCFDGL-BBWFWOEESA-N 1 2 324.465 1.404 20 30 DDEDLO CC[C@H](C)CCCCC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001219695090 878457349 /nfs/dbraw/zinc/45/73/49/878457349.db2.gz LMMMEQINRCFDGL-BBWFWOEESA-N 1 2 324.465 1.404 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccnc(OC)c2)C[C@@H]1O ZINC001219715882 878474429 /nfs/dbraw/zinc/47/44/29/878474429.db2.gz PFHCUZZNCJCBIO-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccnc(OC)c2)C[C@@H]1O ZINC001219715882 878474441 /nfs/dbraw/zinc/47/44/41/878474441.db2.gz PFHCUZZNCJCBIO-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cccc(OC)n2)C[C@@H]1O ZINC001219715556 878475946 /nfs/dbraw/zinc/47/59/46/878475946.db2.gz DSEIBBODYNAKCR-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(OC)n2)C[C@@H]1O ZINC001219715556 878475953 /nfs/dbraw/zinc/47/59/53/878475953.db2.gz DSEIBBODYNAKCR-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@@H]1O ZINC001219719009 878477600 /nfs/dbraw/zinc/47/76/00/878477600.db2.gz XURXCKNQGRLLLC-CFVMTHIKSA-N 1 2 304.394 1.055 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@@H]1O ZINC001219719009 878477611 /nfs/dbraw/zinc/47/76/11/878477611.db2.gz XURXCKNQGRLLLC-CFVMTHIKSA-N 1 2 304.394 1.055 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C#N)(CC)CC)n2C)CC1 ZINC001355311587 878493795 /nfs/dbraw/zinc/49/37/95/878493795.db2.gz QILFGCMWVPJOTB-UHFFFAOYSA-N 1 2 300.410 1.152 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cn3c(n2)C[C@@H](OC)CC3)CC1 ZINC001472968450 878550133 /nfs/dbraw/zinc/55/01/33/878550133.db2.gz PYSXLTVRPAOABY-AWEZNQCLSA-N 1 2 318.421 1.178 20 30 DDEDLO CO[C@@H](C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(C)C ZINC001380020841 878584520 /nfs/dbraw/zinc/58/45/20/878584520.db2.gz VZDYHUFOMXYMFG-IAGOWNOFSA-N 1 2 315.417 1.920 20 30 DDEDLO CO[C@@H](C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(C)C ZINC001380020841 878584535 /nfs/dbraw/zinc/58/45/35/878584535.db2.gz VZDYHUFOMXYMFG-IAGOWNOFSA-N 1 2 315.417 1.920 20 30 DDEDLO C[C@H]([NH2+]C1(CNC(=O)c2c[nH]c(C#N)c2)CC1)c1csnn1 ZINC001380071897 878720072 /nfs/dbraw/zinc/72/00/72/878720072.db2.gz QSUQSZDNCOAYKR-VIFPVBQESA-N 1 2 316.390 1.351 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220146759 878737871 /nfs/dbraw/zinc/73/78/71/878737871.db2.gz PUSYIVHCDQPLHM-CVEARBPZSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220146759 878737886 /nfs/dbraw/zinc/73/78/86/878737886.db2.gz PUSYIVHCDQPLHM-CVEARBPZSA-N 1 2 319.405 1.228 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@@H]1O ZINC001220184632 878791906 /nfs/dbraw/zinc/79/19/06/878791906.db2.gz NHSXMTONKVHGQN-CVEARBPZSA-N 1 2 319.405 1.092 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@@H]1O ZINC001220184632 878791922 /nfs/dbraw/zinc/79/19/22/878791922.db2.gz NHSXMTONKVHGQN-CVEARBPZSA-N 1 2 319.405 1.092 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220203259 878817364 /nfs/dbraw/zinc/81/73/64/878817364.db2.gz AYCKBKYYWHBPPH-CFVMTHIKSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220203259 878817370 /nfs/dbraw/zinc/81/73/70/878817370.db2.gz AYCKBKYYWHBPPH-CFVMTHIKSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220205866 878820822 /nfs/dbraw/zinc/82/08/22/878820822.db2.gz PFSDSRKBQPMMSG-IMJJTQAJSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220205866 878820831 /nfs/dbraw/zinc/82/08/31/878820831.db2.gz PFSDSRKBQPMMSG-IMJJTQAJSA-N 1 2 318.421 1.049 20 30 DDEDLO CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001220251203 878852253 /nfs/dbraw/zinc/85/22/53/878852253.db2.gz NQOUGHQAXRGRGP-KGLIPLIRSA-N 1 2 321.446 1.717 20 30 DDEDLO CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001220251203 878852262 /nfs/dbraw/zinc/85/22/62/878852262.db2.gz NQOUGHQAXRGRGP-KGLIPLIRSA-N 1 2 321.446 1.717 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CC2CCCCCC2)[C@@H](O)C1 ZINC001220426116 879003203 /nfs/dbraw/zinc/00/32/03/879003203.db2.gz UVYZQCNOBIXJFT-SJORKVTESA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CC2CCCCCC2)[C@@H](O)C1 ZINC001220426116 879003222 /nfs/dbraw/zinc/00/32/22/879003222.db2.gz UVYZQCNOBIXJFT-SJORKVTESA-N 1 2 322.449 1.158 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)Cc2cccc(Cl)c2)[C@@H](O)C1 ZINC001221193021 879560505 /nfs/dbraw/zinc/56/05/05/879560505.db2.gz WJNNOHTVBJYEAU-WQVCFCJDSA-N 1 2 320.820 1.313 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)Cc2cccc(Cl)c2)[C@@H](O)C1 ZINC001221193021 879560520 /nfs/dbraw/zinc/56/05/20/879560520.db2.gz WJNNOHTVBJYEAU-WQVCFCJDSA-N 1 2 320.820 1.313 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001356988480 879658586 /nfs/dbraw/zinc/65/85/86/879658586.db2.gz QGIBBNSRTQUVIR-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001356988480 879658591 /nfs/dbraw/zinc/65/85/91/879658591.db2.gz QGIBBNSRTQUVIR-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@@H](CC(=O)N(C)CCN(C)C(=O)C#CC1CC1)n1cc[nH+]c1 ZINC001357215738 879863589 /nfs/dbraw/zinc/86/35/89/879863589.db2.gz DXYRZHQLVNRBBB-AWEZNQCLSA-N 1 2 316.405 1.164 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)C(C)(C)C)[C@@H]2C1 ZINC001221645308 879986584 /nfs/dbraw/zinc/98/65/84/879986584.db2.gz GUZQTLVHRWKRER-RBSFLKMASA-N 1 2 321.465 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)C(C)(C)C)[C@@H]2C1 ZINC001221645308 879986593 /nfs/dbraw/zinc/98/65/93/879986593.db2.gz GUZQTLVHRWKRER-RBSFLKMASA-N 1 2 321.465 1.504 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)CC[C@@H](C)CC)[C@@H]2C1 ZINC001221889907 880135745 /nfs/dbraw/zinc/13/57/45/880135745.db2.gz UZGVKQYZENNXGY-ARFHVFGLSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)CC[C@@H](C)CC)[C@@H]2C1 ZINC001221889907 880135749 /nfs/dbraw/zinc/13/57/49/880135749.db2.gz UZGVKQYZENNXGY-ARFHVFGLSA-N 1 2 319.449 1.095 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCCn3cc[nH+]c3)[C@@H]2C1 ZINC001222089553 880233339 /nfs/dbraw/zinc/23/33/39/880233339.db2.gz NQVHASNRPCJFJX-HUUCEWRRSA-N 1 2 322.840 1.949 20 30 DDEDLO CC(C)n1ncnc1C[NH+]1CCC(CNC(=O)[C@H](C)C#N)CC1 ZINC001222636845 880616156 /nfs/dbraw/zinc/61/61/56/880616156.db2.gz RKBJUADMBSFUNY-CYBMUJFWSA-N 1 2 318.425 1.347 20 30 DDEDLO CCc1nnc(C[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)s1 ZINC001222641267 880616876 /nfs/dbraw/zinc/61/68/76/880616876.db2.gz IJVFAAKRSQRHFS-NSHDSACASA-N 1 2 321.450 1.588 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1(CNC(=O)CCn2cc[nH+]c2)CC1 ZINC001358678744 880748010 /nfs/dbraw/zinc/74/80/10/880748010.db2.gz BISFGOHFJVYDGG-ZIAGYGMSSA-N 1 2 318.421 1.496 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@](C)(OC)c1ccccc1F ZINC001276861819 880817104 /nfs/dbraw/zinc/81/71/04/880817104.db2.gz QLTKZHOKACOWNF-CXAGYDPISA-N 1 2 304.365 1.511 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@](C)(OC)c1ccccc1F ZINC001276861819 880817120 /nfs/dbraw/zinc/81/71/20/880817120.db2.gz QLTKZHOKACOWNF-CXAGYDPISA-N 1 2 304.365 1.511 20 30 DDEDLO Cc1noc(C[NH+]2CCC(CNC(=O)C#CC(C)(C)C)CC2)n1 ZINC001223142844 880852785 /nfs/dbraw/zinc/85/27/85/880852785.db2.gz NNROELKTPWBMDA-UHFFFAOYSA-N 1 2 318.421 1.756 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@H](C)C(=O)N(C)C(C)C ZINC001276897984 880997035 /nfs/dbraw/zinc/99/70/35/880997035.db2.gz BDVCBQJJJNQUNN-KGLIPLIRSA-N 1 2 309.454 1.644 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)C(=O)N(C)C(C)C ZINC001276897984 880997043 /nfs/dbraw/zinc/99/70/43/880997043.db2.gz BDVCBQJJJNQUNN-KGLIPLIRSA-N 1 2 309.454 1.644 20 30 DDEDLO CCOc1cccc(C(=O)NC[C@H]2CC[N@@H+]2CC#CCOC)c1 ZINC001276931094 881147858 /nfs/dbraw/zinc/14/78/58/881147858.db2.gz KHRGYAQXCODTGG-MRXNPFEDSA-N 1 2 316.401 1.539 20 30 DDEDLO CCOc1cccc(C(=O)NC[C@H]2CC[N@H+]2CC#CCOC)c1 ZINC001276931094 881147862 /nfs/dbraw/zinc/14/78/62/881147862.db2.gz KHRGYAQXCODTGG-MRXNPFEDSA-N 1 2 316.401 1.539 20 30 DDEDLO N#Cc1cccc(N2C[C@@H]3COC[C@H](C2)N3CCn2cc[nH+]c2)c1 ZINC001276954268 881286874 /nfs/dbraw/zinc/28/68/74/881286874.db2.gz XFBBFUPLVVPTES-HDICACEKSA-N 1 2 323.400 1.344 20 30 DDEDLO CCOc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2CC#CCOC)cc1 ZINC001276963678 881327871 /nfs/dbraw/zinc/32/78/71/881327871.db2.gz LCHIVCBQYRFEDG-INIZCTEOSA-N 1 2 316.401 1.539 20 30 DDEDLO CCOc1ccc(C(=O)NC[C@@H]2CC[N@H+]2CC#CCOC)cc1 ZINC001276963678 881327892 /nfs/dbraw/zinc/32/78/92/881327892.db2.gz LCHIVCBQYRFEDG-INIZCTEOSA-N 1 2 316.401 1.539 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288029868 912676423 /nfs/dbraw/zinc/67/64/23/912676423.db2.gz RLLASLRCXQUWOF-QWHCGFSZSA-N 1 2 304.394 1.320 20 30 DDEDLO CCOC(=O)Cc1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cn1 ZINC001227803266 883182542 /nfs/dbraw/zinc/18/25/42/883182542.db2.gz KTWSXXGNZQKJJI-RCKFOTBSSA-N 1 2 318.373 1.178 20 30 DDEDLO CCOC(=O)Cc1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cn1 ZINC001227803266 883182548 /nfs/dbraw/zinc/18/25/48/883182548.db2.gz KTWSXXGNZQKJJI-RCKFOTBSSA-N 1 2 318.373 1.178 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])c(Cl)n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228934124 883736243 /nfs/dbraw/zinc/73/62/43/883736243.db2.gz MGXCSOQURMMLAY-MOGLMSHVSA-N 1 2 311.725 1.634 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])c(Cl)n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228934124 883736253 /nfs/dbraw/zinc/73/62/53/883736253.db2.gz MGXCSOQURMMLAY-MOGLMSHVSA-N 1 2 311.725 1.634 20 30 DDEDLO [NH2+]=C1CCCN1Cc1[nH]c(=O)nc(O[C@@H]2C=CC(=O)C2)c1Cl ZINC001230094111 884315003 /nfs/dbraw/zinc/31/50/03/884315003.db2.gz ZEIRHOXVAOFYRG-SECBINFHSA-N 1 2 322.752 1.685 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c(F)c1 ZINC001230318656 884427305 /nfs/dbraw/zinc/42/73/05/884427305.db2.gz KMZJKOVRFYMBCW-HJTUNCCVSA-N 1 2 307.321 1.604 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c(F)c1 ZINC001230318656 884427316 /nfs/dbraw/zinc/42/73/16/884427316.db2.gz KMZJKOVRFYMBCW-HJTUNCCVSA-N 1 2 307.321 1.604 20 30 DDEDLO CC[C@H](NC(C)=O)C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230603867 884643721 /nfs/dbraw/zinc/64/37/21/884643721.db2.gz ARRKWLWULSLFEX-HOTGVXAUSA-N 1 2 320.437 1.128 20 30 DDEDLO CC[C@H](NC(C)=O)C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230603867 884643727 /nfs/dbraw/zinc/64/37/27/884643727.db2.gz ARRKWLWULSLFEX-HOTGVXAUSA-N 1 2 320.437 1.128 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770310 884853825 /nfs/dbraw/zinc/85/38/25/884853825.db2.gz MKBGGGZVZPJFRZ-KGLIPLIRSA-N 1 2 307.438 1.093 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770310 884853840 /nfs/dbraw/zinc/85/38/40/884853840.db2.gz MKBGGGZVZPJFRZ-KGLIPLIRSA-N 1 2 307.438 1.093 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C=C1CCCCC1 ZINC001231043709 885158817 /nfs/dbraw/zinc/15/88/17/885158817.db2.gz SNZKUUGSIVPFLO-MRXNPFEDSA-N 1 2 317.433 1.159 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C=C1CCCCC1 ZINC001231043709 885158823 /nfs/dbraw/zinc/15/88/23/885158823.db2.gz SNZKUUGSIVPFLO-MRXNPFEDSA-N 1 2 317.433 1.159 20 30 DDEDLO CCCN(CCC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231248455 885432704 /nfs/dbraw/zinc/43/27/04/885432704.db2.gz WDHIOFWWEZCIRL-LSDHHAIUSA-N 1 2 322.453 1.327 20 30 DDEDLO CCCN(CCC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231248455 885432707 /nfs/dbraw/zinc/43/27/07/885432707.db2.gz WDHIOFWWEZCIRL-LSDHHAIUSA-N 1 2 322.453 1.327 20 30 DDEDLO Cc1nn(C(C)C)cc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231292055 885473738 /nfs/dbraw/zinc/47/37/38/885473738.db2.gz AVGBUZPATYCVKD-CZUORRHYSA-N 1 2 317.437 1.965 20 30 DDEDLO Cc1nn(C(C)C)cc1C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231292055 885473750 /nfs/dbraw/zinc/47/37/50/885473750.db2.gz AVGBUZPATYCVKD-CZUORRHYSA-N 1 2 317.437 1.965 20 30 DDEDLO N#Cc1c(N)sc2c1CC[N@@H+](C[C@H]1CCCS1(=O)=O)C2 ZINC001363338304 886064740 /nfs/dbraw/zinc/06/47/40/886064740.db2.gz YAWLKAGLFPFKDY-SECBINFHSA-N 1 2 311.432 1.137 20 30 DDEDLO N#Cc1c(N)sc2c1CC[N@H+](C[C@H]1CCCS1(=O)=O)C2 ZINC001363338304 886064747 /nfs/dbraw/zinc/06/47/47/886064747.db2.gz YAWLKAGLFPFKDY-SECBINFHSA-N 1 2 311.432 1.137 20 30 DDEDLO N#Cc1ccnc(C[N@H+]2CCCC[C@H]2C(=O)N2CCOCC2)c1 ZINC001232480974 886422810 /nfs/dbraw/zinc/42/28/10/886422810.db2.gz PEJYTJGBVOVBAI-INIZCTEOSA-N 1 2 314.389 1.167 20 30 DDEDLO N#Cc1ccnc(C[N@@H+]2CCCC[C@H]2C(=O)N2CCOCC2)c1 ZINC001232480974 886422818 /nfs/dbraw/zinc/42/28/18/886422818.db2.gz PEJYTJGBVOVBAI-INIZCTEOSA-N 1 2 314.389 1.167 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H](C)NC(=O)CC(C)(C)C)C1 ZINC001277682301 886475196 /nfs/dbraw/zinc/47/51/96/886475196.db2.gz MHNFXLAHUAGPNM-CYBMUJFWSA-N 1 2 309.454 1.646 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)C[C@H](C)NC(=O)[C@@H](C)C#N)c(C)[nH+]1 ZINC001383788053 886518703 /nfs/dbraw/zinc/51/87/03/886518703.db2.gz POCKSCNBBDJEMK-AAEUAGOBSA-N 1 2 316.405 1.743 20 30 DDEDLO C#CC[NH+]1CCN(Cc2c[nH]c3c2cccc3C(=O)OC)CC1 ZINC001232710510 886552256 /nfs/dbraw/zinc/55/22/56/886552256.db2.gz PJNWCXREURNOAB-UHFFFAOYSA-N 1 2 311.385 1.705 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2c1ncc(C#N)cn1 ZINC001277736389 886678817 /nfs/dbraw/zinc/67/88/17/886678817.db2.gz DPVMPIKKTLIOBI-GASCZTMLSA-N 1 2 323.404 1.418 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2c1ncc(C#N)cn1 ZINC001277736389 886678828 /nfs/dbraw/zinc/67/88/28/886678828.db2.gz DPVMPIKKTLIOBI-GASCZTMLSA-N 1 2 323.404 1.418 20 30 DDEDLO C=CCCC[N@@H+]1Cc2ccnn2CC[C@@H]1C(=O)Nc1cn[nH]c1 ZINC001277758131 886773997 /nfs/dbraw/zinc/77/39/97/886773997.db2.gz AXUNOZBWUAKRFD-OAHLLOKOSA-N 1 2 314.393 1.785 20 30 DDEDLO C=CCCC[N@H+]1Cc2ccnn2CC[C@@H]1C(=O)Nc1cn[nH]c1 ZINC001277758131 886774005 /nfs/dbraw/zinc/77/40/05/886774005.db2.gz AXUNOZBWUAKRFD-OAHLLOKOSA-N 1 2 314.393 1.785 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3ccncc3)CC2)c(O)c1 ZINC001233387436 886967878 /nfs/dbraw/zinc/96/78/78/886967878.db2.gz JJBQSMIZJQIKDD-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc[nH]c1C ZINC001233529294 887077552 /nfs/dbraw/zinc/07/75/52/887077552.db2.gz JJXITJHABJQTRZ-UONOGXRCSA-N 1 2 318.421 1.160 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc[nH]c1C ZINC001233529294 887077560 /nfs/dbraw/zinc/07/75/60/887077560.db2.gz JJXITJHABJQTRZ-UONOGXRCSA-N 1 2 318.421 1.160 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NCC=C ZINC001233801004 887335215 /nfs/dbraw/zinc/33/52/15/887335215.db2.gz LOHWSPZYQVBVBF-HZPDHXFCSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCC=C ZINC001233801004 887335229 /nfs/dbraw/zinc/33/52/29/887335229.db2.gz LOHWSPZYQVBVBF-HZPDHXFCSA-N 1 2 319.449 1.403 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC(c3[nH]cc[nH+]3)CC2)nc1 ZINC001363952796 887603125 /nfs/dbraw/zinc/60/31/25/887603125.db2.gz XEIOOJROHNJGFR-UHFFFAOYSA-N 1 2 317.374 1.245 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+](C)CCCNC(=O)CSCC#N)[nH]1 ZINC001234155272 887693793 /nfs/dbraw/zinc/69/37/93/887693793.db2.gz XSQGLISYGREBSI-NSHDSACASA-N 1 2 324.454 1.123 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+](C)CCCNC(=O)CSCC#N)[nH]1 ZINC001234155272 887693800 /nfs/dbraw/zinc/69/38/00/887693800.db2.gz XSQGLISYGREBSI-NSHDSACASA-N 1 2 324.454 1.123 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@]2(CCOC2=O)C1 ZINC001364535760 888871331 /nfs/dbraw/zinc/87/13/31/888871331.db2.gz PBNJDZXCIOLQJI-WMLDXEAASA-N 1 2 314.341 1.411 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@]2(CCOC2=O)C1 ZINC001364535760 888871345 /nfs/dbraw/zinc/87/13/45/888871345.db2.gz PBNJDZXCIOLQJI-WMLDXEAASA-N 1 2 314.341 1.411 20 30 DDEDLO C#CCN1CC[NH+](Cc2ccc(F)c(N3CCOCC3)c2)CC1 ZINC001236961410 889448498 /nfs/dbraw/zinc/44/84/98/889448498.db2.gz RROAOACYAPZNPY-UHFFFAOYSA-N 1 2 317.408 1.413 20 30 DDEDLO N#Cc1cc(CN2CC[C@H]([NH+]3CCOCC3)C2)c(F)cc1F ZINC001237525981 889680065 /nfs/dbraw/zinc/68/00/65/889680065.db2.gz LFKASNDVIQTLPD-AWEZNQCLSA-N 1 2 307.344 1.743 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@H](N3CCOCC3)C2)c(F)cc1F ZINC001237525981 889680075 /nfs/dbraw/zinc/68/00/75/889680075.db2.gz LFKASNDVIQTLPD-AWEZNQCLSA-N 1 2 307.344 1.743 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@H](N3CCOCC3)C2)c(F)cc1F ZINC001237525981 889680082 /nfs/dbraw/zinc/68/00/82/889680082.db2.gz LFKASNDVIQTLPD-AWEZNQCLSA-N 1 2 307.344 1.743 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+]([C@H](C)c2nnc(CC)o2)C1 ZINC001278368590 891701516 /nfs/dbraw/zinc/70/15/16/891701516.db2.gz DCVDDOIPVJWLCL-MLGOLLRUSA-N 1 2 322.409 1.476 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+]([C@H](C)c2nnc(CC)o2)C1 ZINC001278368590 891701528 /nfs/dbraw/zinc/70/15/28/891701528.db2.gz DCVDDOIPVJWLCL-MLGOLLRUSA-N 1 2 322.409 1.476 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]([NH2+]Cc2cnsn2)C[C@@H]1C ZINC001278425073 892321859 /nfs/dbraw/zinc/32/18/59/892321859.db2.gz ZAWRBNUGDFNMTE-NWDGAFQWSA-N 1 2 310.423 1.210 20 30 DDEDLO CCCCCCCCOC(=O)CN[C@@H]1C[NH2+][C@@H](C(=O)OC)C1 ZINC001246309256 892533680 /nfs/dbraw/zinc/53/36/80/892533680.db2.gz NWEZLOHNRMOZLY-UONOGXRCSA-N 1 2 314.426 1.383 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)CNC(=O)c1cc(C#N)c[nH]1)c1csnn1 ZINC001366271425 892973076 /nfs/dbraw/zinc/97/30/76/892973076.db2.gz PNMLBYKSUWRKLO-DTWKUNHWSA-N 1 2 304.379 1.207 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1cnc2c(c1)nnn2C ZINC001366621447 894307963 /nfs/dbraw/zinc/30/79/63/894307963.db2.gz PKDIDFJGWXPFIA-UHFFFAOYSA-N 1 2 322.800 1.168 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1cnc2c(c1)nnn2C ZINC001366621447 894307977 /nfs/dbraw/zinc/30/79/77/894307977.db2.gz PKDIDFJGWXPFIA-UHFFFAOYSA-N 1 2 322.800 1.168 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H](C)OCC ZINC001366662695 894481420 /nfs/dbraw/zinc/48/14/20/894481420.db2.gz UYSBFXNMMJBWRU-NEPJUHHUSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H](C)OCC ZINC001366662695 894481425 /nfs/dbraw/zinc/48/14/25/894481425.db2.gz UYSBFXNMMJBWRU-NEPJUHHUSA-N 1 2 319.243 1.901 20 30 DDEDLO C[C@@](C#N)(NC[C@@H](O)C[NH+]1CCOCC1)c1ccccc1Cl ZINC001251040903 894598520 /nfs/dbraw/zinc/59/85/20/894598520.db2.gz ZHLAOOWBSAAAMU-CJNGLKHVSA-N 1 2 323.824 1.361 20 30 DDEDLO C=CCOC[C@@H](O)C[N@@H+]1Cc2nn(CC)cc2[C@@H](COCC)C1 ZINC001252463538 895172204 /nfs/dbraw/zinc/17/22/04/895172204.db2.gz QERARWGOUYMBIW-CABCVRRESA-N 1 2 323.437 1.402 20 30 DDEDLO C=CCOC[C@@H](O)C[N@H+]1Cc2nn(CC)cc2[C@@H](COCC)C1 ZINC001252463538 895172211 /nfs/dbraw/zinc/17/22/11/895172211.db2.gz QERARWGOUYMBIW-CABCVRRESA-N 1 2 323.437 1.402 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)Cc2ccc(CC)cn2)C1 ZINC001366979137 895481082 /nfs/dbraw/zinc/48/10/82/895481082.db2.gz YNAZBWJILJKJRO-UHFFFAOYSA-N 1 2 307.825 1.987 20 30 DDEDLO CCc1ccc(C[N@@H+]2CCO[C@@H](CNC(=O)[C@H](C)C#N)C2)cc1 ZINC001367292562 896366087 /nfs/dbraw/zinc/36/60/87/896366087.db2.gz CBKXAUPRHLJIBO-PBHICJAKSA-N 1 2 315.417 1.726 20 30 DDEDLO CCc1ccc(C[N@H+]2CCO[C@@H](CNC(=O)[C@H](C)C#N)C2)cc1 ZINC001367292562 896366102 /nfs/dbraw/zinc/36/61/02/896366102.db2.gz CBKXAUPRHLJIBO-PBHICJAKSA-N 1 2 315.417 1.726 20 30 DDEDLO CC(C)(C)OC(=O)N1CC2(C1)CC[C@H](N1CC[NH2+]C[C@@H]1C#N)C2 ZINC001254776274 896600053 /nfs/dbraw/zinc/60/00/53/896600053.db2.gz HKDDKPQFUBNDEQ-KBPBESRZSA-N 1 2 320.437 1.573 20 30 DDEDLO CC(C)(C)OC(=O)N1CC2(C1)CC[C@@H](N1CC[NH2+]C[C@H]1C#N)C2 ZINC001254776277 896600590 /nfs/dbraw/zinc/60/05/90/896600590.db2.gz HKDDKPQFUBNDEQ-ZIAGYGMSSA-N 1 2 320.437 1.573 20 30 DDEDLO CC[C@@H](CC#N)[N@@H+]1CCOC[C@]2(CC[C@@H](Cn3cccn3)O2)C1 ZINC001255169687 896765920 /nfs/dbraw/zinc/76/59/20/896765920.db2.gz JHNGKZYZDZYUMY-ULQDDVLXSA-N 1 2 318.421 1.825 20 30 DDEDLO CC[C@@H](CC#N)[N@H+]1CCOC[C@]2(CC[C@@H](Cn3cccn3)O2)C1 ZINC001255169687 896765927 /nfs/dbraw/zinc/76/59/27/896765927.db2.gz JHNGKZYZDZYUMY-ULQDDVLXSA-N 1 2 318.421 1.825 20 30 DDEDLO O=C(C#CC1CC1)NCC1(O)C[NH+](CCCc2ccsc2)C1 ZINC001278863633 896882630 /nfs/dbraw/zinc/88/26/30/896882630.db2.gz NMTNBACBGMWOPQ-UHFFFAOYSA-N 1 2 318.442 1.257 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001367726864 897600921 /nfs/dbraw/zinc/60/09/21/897600921.db2.gz CACWIRGVZLRDLM-JTQLQIEISA-N 1 2 316.365 1.301 20 30 DDEDLO COc1ncccc1C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H](C)C#N ZINC001390103594 898687988 /nfs/dbraw/zinc/68/79/88/898687988.db2.gz GKPRBBCYXTUKMB-UKRRQHHQSA-N 1 2 316.405 1.721 20 30 DDEDLO COc1ncccc1C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H](C)C#N ZINC001390103594 898687997 /nfs/dbraw/zinc/68/79/97/898687997.db2.gz GKPRBBCYXTUKMB-UKRRQHHQSA-N 1 2 316.405 1.721 20 30 DDEDLO C=CC[C@H](NC(=O)c1ccn2c(C)c[nH+]c2c1)C(=O)OCC ZINC001261549418 899632621 /nfs/dbraw/zinc/63/26/21/899632621.db2.gz TZOVZZLRTXCUKU-ZDUSSCGKSA-N 1 2 301.346 1.880 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](CC)[C@@H](C)O)n2CC=C)CC1 ZINC001262937700 900425040 /nfs/dbraw/zinc/42/50/40/900425040.db2.gz LKAGXCXTSSMHAU-HUUCEWRRSA-N 1 2 317.437 1.094 20 30 DDEDLO C=CCn1c(C[NH+]2CCCC2)nnc1Nc1ccn(CCF)n1 ZINC001262990077 900460744 /nfs/dbraw/zinc/46/07/44/900460744.db2.gz OPTYZNIGEKDRQT-UHFFFAOYSA-N 1 2 319.388 1.970 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N1CC[C@H](COC)C1 ZINC001263287339 900558340 /nfs/dbraw/zinc/55/83/40/900558340.db2.gz FFYITRXUJPQMKX-HNNXBMFYSA-N 1 2 319.453 1.923 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001263807498 900720644 /nfs/dbraw/zinc/72/06/44/900720644.db2.gz LPQIRNHMEIEGOW-XHSDSOJGSA-N 1 2 319.449 1.376 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001263807498 900720654 /nfs/dbraw/zinc/72/06/54/900720654.db2.gz LPQIRNHMEIEGOW-XHSDSOJGSA-N 1 2 319.449 1.376 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccccc2F)[C@H]1C ZINC001264128869 900965340 /nfs/dbraw/zinc/96/53/40/900965340.db2.gz HMFYOLNZFMSFQD-DOMZBBRYSA-N 1 2 319.380 1.321 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccccc2F)[C@H]1C ZINC001264128869 900965349 /nfs/dbraw/zinc/96/53/49/900965349.db2.gz HMFYOLNZFMSFQD-DOMZBBRYSA-N 1 2 319.380 1.321 20 30 DDEDLO CCCCCCC(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001264171751 900994304 /nfs/dbraw/zinc/99/43/04/900994304.db2.gz GPYHZYILEBZAAP-KGLIPLIRSA-N 1 2 308.426 1.176 20 30 DDEDLO CCCCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001264171751 900994310 /nfs/dbraw/zinc/99/43/10/900994310.db2.gz GPYHZYILEBZAAP-KGLIPLIRSA-N 1 2 308.426 1.176 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C(C)(C)CC)C1=O ZINC001264370535 901049651 /nfs/dbraw/zinc/04/96/51/901049651.db2.gz FEEFFSKDXSSYMW-CABCVRRESA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C(C)(C)CC)C1=O ZINC001264370535 901049661 /nfs/dbraw/zinc/04/96/61/901049661.db2.gz FEEFFSKDXSSYMW-CABCVRRESA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCCC(=O)N(C)C1CC[NH+](Cc2nn(CC)nc2C)CC1 ZINC001265189787 901684070 /nfs/dbraw/zinc/68/40/70/901684070.db2.gz WQBMSASABGOMDH-UHFFFAOYSA-N 1 2 319.453 1.995 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C2(CC)CCCC2)C1 ZINC001265228990 901744925 /nfs/dbraw/zinc/74/49/25/901744925.db2.gz NOAPTDJOJFTLLO-OAHLLOKOSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2(CC)CCCC2)C1 ZINC001265228990 901744943 /nfs/dbraw/zinc/74/49/43/901744943.db2.gz NOAPTDJOJFTLLO-OAHLLOKOSA-N 1 2 321.465 1.840 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(CC)(CC)CC)C1 ZINC001265229244 901745809 /nfs/dbraw/zinc/74/58/09/901745809.db2.gz STPYJSPJRZMTCY-OAHLLOKOSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(CC)(CC)CC)C1 ZINC001265229244 901745820 /nfs/dbraw/zinc/74/58/20/901745820.db2.gz STPYJSPJRZMTCY-OAHLLOKOSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C2CCCCCCC2)C1 ZINC001265292414 901839353 /nfs/dbraw/zinc/83/93/53/901839353.db2.gz MGBFXNWMGBXDQA-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C2CCCCCCC2)C1 ZINC001265292414 901839359 /nfs/dbraw/zinc/83/93/59/901839359.db2.gz MGBFXNWMGBXDQA-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO CCc1noc(C[NH2+][C@H](CNC(=O)C#CC2CC2)C2CC2)n1 ZINC001265355148 901918165 /nfs/dbraw/zinc/91/81/65/901918165.db2.gz XYIGGNYGZOUQQJ-CYBMUJFWSA-N 1 2 302.378 1.030 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H](NC(=O)C2(CC)CC2)C1 ZINC001391560544 902004333 /nfs/dbraw/zinc/00/43/33/902004333.db2.gz IKVPPSBZKHTULY-AWEZNQCLSA-N 1 2 320.437 1.129 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@H](NC(=O)C2(CC)CC2)C1 ZINC001391560544 902004341 /nfs/dbraw/zinc/00/43/41/902004341.db2.gz IKVPPSBZKHTULY-AWEZNQCLSA-N 1 2 320.437 1.129 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[NH2+]Cc1ncc(C(C)C)o1 ZINC001265771417 902389901 /nfs/dbraw/zinc/38/99/01/902389901.db2.gz VDEBMIHWVORWER-WBMJQRKESA-N 1 2 309.410 1.719 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)Cc2ccc(C)o2)C1 ZINC001266211065 903117990 /nfs/dbraw/zinc/11/79/90/903117990.db2.gz ALLZXGKXFPBFRR-CQSZACIVSA-N 1 2 319.405 1.013 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)Cc2ccc(C)o2)C1 ZINC001266211065 903117992 /nfs/dbraw/zinc/11/79/92/903117992.db2.gz ALLZXGKXFPBFRR-CQSZACIVSA-N 1 2 319.405 1.013 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001279613168 903352995 /nfs/dbraw/zinc/35/29/95/903352995.db2.gz OWFQSXUXJKXXDD-DZGCQCFKSA-N 1 2 318.446 1.620 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001279613168 903353011 /nfs/dbraw/zinc/35/30/11/903353011.db2.gz OWFQSXUXJKXXDD-DZGCQCFKSA-N 1 2 318.446 1.620 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H](CC)SC ZINC001280402935 903639336 /nfs/dbraw/zinc/63/93/36/903639336.db2.gz PALQQVHAWCTUAL-KBPBESRZSA-N 1 2 300.468 1.911 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H](CC)SC ZINC001280402935 903639341 /nfs/dbraw/zinc/63/93/41/903639341.db2.gz PALQQVHAWCTUAL-KBPBESRZSA-N 1 2 300.468 1.911 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](C)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001280486903 903731075 /nfs/dbraw/zinc/73/10/75/903731075.db2.gz DRHPNRORXAPPBC-QWHCGFSZSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](C)CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001280486903 903731080 /nfs/dbraw/zinc/73/10/80/903731080.db2.gz DRHPNRORXAPPBC-QWHCGFSZSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001280627949 903857954 /nfs/dbraw/zinc/85/79/54/903857954.db2.gz GHKZBVGREBFBOM-CYBMUJFWSA-N 1 2 310.423 1.211 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001280729600 903962527 /nfs/dbraw/zinc/96/25/27/903962527.db2.gz MCQRUZHKQJGWBY-ZIAGYGMSSA-N 1 2 318.421 1.852 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001280729600 903962534 /nfs/dbraw/zinc/96/25/34/903962534.db2.gz MCQRUZHKQJGWBY-ZIAGYGMSSA-N 1 2 318.421 1.852 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001280729597 903962847 /nfs/dbraw/zinc/96/28/47/903962847.db2.gz MCQRUZHKQJGWBY-KBPBESRZSA-N 1 2 318.421 1.852 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001280729597 903962859 /nfs/dbraw/zinc/96/28/59/903962859.db2.gz MCQRUZHKQJGWBY-KBPBESRZSA-N 1 2 318.421 1.852 20 30 DDEDLO C[C@H](CN(C)C(=O)CCc1c[nH]c[nH+]1)NC(=O)C#CC(C)(C)C ZINC001281014761 904320497 /nfs/dbraw/zinc/32/04/97/904320497.db2.gz QLWUJAUATMQLFP-CYBMUJFWSA-N 1 2 318.421 1.355 20 30 DDEDLO C[C@H](CN(C)C(=O)CCc1c[nH+]c[nH]1)NC(=O)C#CC(C)(C)C ZINC001281014761 904320509 /nfs/dbraw/zinc/32/05/09/904320509.db2.gz QLWUJAUATMQLFP-CYBMUJFWSA-N 1 2 318.421 1.355 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C1(COC)CC1 ZINC001281063308 904366887 /nfs/dbraw/zinc/36/68/87/904366887.db2.gz NUFFIXXQGHCBIT-QGZVFWFLSA-N 1 2 312.413 1.589 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C1(COC)CC1 ZINC001281063308 904366899 /nfs/dbraw/zinc/36/68/99/904366899.db2.gz NUFFIXXQGHCBIT-QGZVFWFLSA-N 1 2 312.413 1.589 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001371167135 904397298 /nfs/dbraw/zinc/39/72/98/904397298.db2.gz RATXUQDWBFZQOF-BDAKNGLRSA-N 1 2 302.338 1.047 20 30 DDEDLO C=CCCC(=O)N[C@@]12CCC[C@@H]1N(C(=O)Cc1c[nH+]c[nH]1)CC2 ZINC001281185193 904542241 /nfs/dbraw/zinc/54/22/41/904542241.db2.gz QSCLJHRZTNOMTN-WMLDXEAASA-N 1 2 316.405 1.558 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)n1cnc2ccccc21 ZINC001316610104 904713531 /nfs/dbraw/zinc/71/35/31/904713531.db2.gz ZTGDPTUIQDFPMQ-GJZGRUSLSA-N 1 2 310.401 1.763 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)n1cnc2ccccc21 ZINC001316610104 904713541 /nfs/dbraw/zinc/71/35/41/904713541.db2.gz ZTGDPTUIQDFPMQ-GJZGRUSLSA-N 1 2 310.401 1.763 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1ncnc2[nH]ccc21 ZINC001375069162 914849740 /nfs/dbraw/zinc/84/97/40/914849740.db2.gz ATOMCNQYEFEGBU-JTQLQIEISA-N 1 2 307.785 1.712 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1ncnc2[nH]ccc21 ZINC001375069162 914849761 /nfs/dbraw/zinc/84/97/61/914849761.db2.gz ATOMCNQYEFEGBU-JTQLQIEISA-N 1 2 307.785 1.712 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnn(C)n2)[C@H](C)C1 ZINC001281660434 905137085 /nfs/dbraw/zinc/13/70/85/905137085.db2.gz XHEJDYQCVLBKBO-HUUCEWRRSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnn(C)n2)[C@H](C)C1 ZINC001281660434 905137092 /nfs/dbraw/zinc/13/70/92/905137092.db2.gz XHEJDYQCVLBKBO-HUUCEWRRSA-N 1 2 317.437 1.478 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+](Cc2cnon2)[C@@H](C)C1 ZINC001281682342 905155290 /nfs/dbraw/zinc/15/52/90/905155290.db2.gz IFYKYQPPAHMRCI-STQMWFEESA-N 1 2 308.382 1.131 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2cnon2)[C@@H](C)C1 ZINC001281682342 905155311 /nfs/dbraw/zinc/15/53/11/905155311.db2.gz IFYKYQPPAHMRCI-STQMWFEESA-N 1 2 308.382 1.131 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)Cc1ccon1 ZINC001281777278 905212668 /nfs/dbraw/zinc/21/26/68/905212668.db2.gz UAKZWMVZOPKHFW-KRWDZBQOSA-N 1 2 309.369 1.566 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)Cc1ccon1 ZINC001281777278 905212675 /nfs/dbraw/zinc/21/26/75/905212675.db2.gz UAKZWMVZOPKHFW-KRWDZBQOSA-N 1 2 309.369 1.566 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cnnn1C ZINC001281929778 905320870 /nfs/dbraw/zinc/32/08/70/905320870.db2.gz RXEURPZXJJOXDF-HNNXBMFYSA-N 1 2 317.437 1.432 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1cnnn1C ZINC001281929778 905320887 /nfs/dbraw/zinc/32/08/87/905320887.db2.gz RXEURPZXJJOXDF-HNNXBMFYSA-N 1 2 317.437 1.432 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCCC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001282006239 905402628 /nfs/dbraw/zinc/40/26/28/905402628.db2.gz JVAKHEFJKKMRKV-ZIAGYGMSSA-N 1 2 316.405 1.155 20 30 DDEDLO CC[C@H](OC)C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001371925986 906015023 /nfs/dbraw/zinc/01/50/23/906015023.db2.gz FMOCHSPUERWJBA-HNNXBMFYSA-N 1 2 307.369 1.670 20 30 DDEDLO CC[C@H](OC)C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001371925986 906015039 /nfs/dbraw/zinc/01/50/39/906015039.db2.gz FMOCHSPUERWJBA-HNNXBMFYSA-N 1 2 307.369 1.670 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2C)CC1(C)C ZINC001282822023 906074516 /nfs/dbraw/zinc/07/45/16/906074516.db2.gz QYRCKVPAZOIRPK-CQSZACIVSA-N 1 2 319.453 1.744 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2C)CC1(C)C ZINC001282822023 906074531 /nfs/dbraw/zinc/07/45/31/906074531.db2.gz QYRCKVPAZOIRPK-CQSZACIVSA-N 1 2 319.453 1.744 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@H](NC(=O)[C@H](C)C#N)C2CCCC2)o1 ZINC001393081221 906133100 /nfs/dbraw/zinc/13/31/00/906133100.db2.gz VTIMAOPFHDLHIA-MFKMUULPSA-N 1 2 305.382 1.302 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)c2cccc3[nH+]ccn32)C1 ZINC001283352170 907197991 /nfs/dbraw/zinc/19/79/91/907197991.db2.gz IPSGOADUBMSZGE-CQSZACIVSA-N 1 2 324.384 1.469 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@H+](Cc2ncnn2C(C)(C)C)C1 ZINC001372597242 907725419 /nfs/dbraw/zinc/72/54/19/907725419.db2.gz RSPAQYYAXPVIJN-STQMWFEESA-N 1 2 318.425 1.131 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@@H+](Cc2ncnn2C(C)(C)C)C1 ZINC001372597242 907725432 /nfs/dbraw/zinc/72/54/32/907725432.db2.gz RSPAQYYAXPVIJN-STQMWFEESA-N 1 2 318.425 1.131 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284097905 908471073 /nfs/dbraw/zinc/47/10/73/908471073.db2.gz TYLVWYKRUMBDAR-AEGPPILISA-N 1 2 318.421 1.708 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284097905 908471083 /nfs/dbraw/zinc/47/10/83/908471083.db2.gz TYLVWYKRUMBDAR-AEGPPILISA-N 1 2 318.421 1.708 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]([NH2+]Cc1ccn(C)n1)c1ccccc1 ZINC001373043822 908820011 /nfs/dbraw/zinc/82/00/11/908820011.db2.gz YTJKWQUQJJYTHB-BBRMVZONSA-N 1 2 311.389 1.527 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001284367361 908899380 /nfs/dbraw/zinc/89/93/80/908899380.db2.gz ZHMTZVCHRQDNTO-CYBMUJFWSA-N 1 2 304.394 1.273 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CCC)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001373092428 908943209 /nfs/dbraw/zinc/94/32/09/908943209.db2.gz XZXBRMQPGCEVHM-GFCCVEGCSA-N 1 2 324.812 1.861 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CCC)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001373092428 908943218 /nfs/dbraw/zinc/94/32/18/908943218.db2.gz XZXBRMQPGCEVHM-GFCCVEGCSA-N 1 2 324.812 1.861 20 30 DDEDLO C=CCCC1(C(=O)N(C)CCNC(=O)Cc2c[nH+]cn2C)CC1 ZINC001284557850 909181309 /nfs/dbraw/zinc/18/13/09/909181309.db2.gz OAVMEPWWNLFTTN-UHFFFAOYSA-N 1 2 318.421 1.284 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001284566025 909190411 /nfs/dbraw/zinc/19/04/11/909190411.db2.gz ULWLIQQRSXWYLK-ZDUSSCGKSA-N 1 2 318.421 1.232 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C[NH2+]Cc1nnn(C)n1)C(C)(C)C ZINC001284618050 909262823 /nfs/dbraw/zinc/26/28/23/909262823.db2.gz IPZVAJCLNARFOW-LBPRGKRZSA-N 1 2 308.430 1.187 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCCN1C(=O)Cc1c[nH+]cn1C ZINC001284687412 909356128 /nfs/dbraw/zinc/35/61/28/909356128.db2.gz ASSQHPOHKSYJMU-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO CC#CCCCC(=O)NCCN(C(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001284748103 909437427 /nfs/dbraw/zinc/43/74/27/909437427.db2.gz ZIQOJEOBTWBIHP-UHFFFAOYSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CCC(CC=C)C(=O)NC1CN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001284908233 909707846 /nfs/dbraw/zinc/70/78/46/909707846.db2.gz YPNFWAUFJYMUGR-UHFFFAOYSA-N 1 2 316.405 1.058 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](CNC(=O)CCc1c[nH]c[nH+]1)C(C)C ZINC001285324284 910360198 /nfs/dbraw/zinc/36/01/98/910360198.db2.gz FUVKGHKKLGTLPQ-CQSZACIVSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](CNC(=O)CCc1c[nH+]c[nH]1)C(C)C ZINC001285324284 910360212 /nfs/dbraw/zinc/36/02/12/910360212.db2.gz FUVKGHKKLGTLPQ-CQSZACIVSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)N(C)C(=O)c1cccc2[nH+]ccn21 ZINC001285627499 910912843 /nfs/dbraw/zinc/91/28/43/910912843.db2.gz UNEHANXTBOCKDH-CYBMUJFWSA-N 1 2 314.389 1.877 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001285652070 910976118 /nfs/dbraw/zinc/97/61/18/910976118.db2.gz SBOFFICAWAQNHW-CHWSQXEVSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001285652070 910976128 /nfs/dbraw/zinc/97/61/28/910976128.db2.gz SBOFFICAWAQNHW-CHWSQXEVSA-N 1 2 318.421 1.566 20 30 DDEDLO C#CCCCCCC(=O)N(C)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001286026334 911589775 /nfs/dbraw/zinc/58/97/75/911589775.db2.gz DGDNSNQTIVHJID-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCCCC1 ZINC001395231295 911599426 /nfs/dbraw/zinc/59/94/26/911599426.db2.gz MVTYQVMGMAHQGE-GFCCVEGCSA-N 1 2 317.393 1.047 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CCCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001287323041 912184231 /nfs/dbraw/zinc/18/42/31/912184231.db2.gz KOEUADGBFAGCGF-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1nccn2ccnc12 ZINC001375105938 914976089 /nfs/dbraw/zinc/97/60/89/914976089.db2.gz UWCIYSNBKLHPAF-LLVKDONJSA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1nccn2ccnc12 ZINC001375105938 914976108 /nfs/dbraw/zinc/97/61/08/914976108.db2.gz UWCIYSNBKLHPAF-LLVKDONJSA-N 1 2 307.785 1.532 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCCN(C)C(=O)C#CC(C)(C)C ZINC001294223419 914991012 /nfs/dbraw/zinc/99/10/12/914991012.db2.gz ZXBVDKOXUPWZQY-UHFFFAOYSA-N 1 2 318.421 1.275 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1cc(=O)[nH]c(CNC(=O)[C@@H]2CC23CC3)n1 ZINC001397167016 915325468 /nfs/dbraw/zinc/32/54/68/915325468.db2.gz FQSWXULYLXLWHH-NSHDSACASA-N 1 2 322.796 1.441 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001294786215 915367251 /nfs/dbraw/zinc/36/72/51/915367251.db2.gz ZQQRUTOJWSXCRW-CYBMUJFWSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](NC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001294916843 915460818 /nfs/dbraw/zinc/46/08/18/915460818.db2.gz DYGYEWXDWFCFNL-CQSZACIVSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](NC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001294916843 915460830 /nfs/dbraw/zinc/46/08/30/915460830.db2.gz DYGYEWXDWFCFNL-CQSZACIVSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001295522057 915870256 /nfs/dbraw/zinc/87/02/56/915870256.db2.gz GOCNEWBSNLWBBJ-CYBMUJFWSA-N 1 2 318.421 1.349 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295820146 916070904 /nfs/dbraw/zinc/07/09/04/916070904.db2.gz VHQWCVJYYFBUOJ-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCN(CC)C(=O)Cc1[nH]c[nH+]c1C ZINC001296351861 916352114 /nfs/dbraw/zinc/35/21/14/916352114.db2.gz HCYINBITCLZRNR-UHFFFAOYSA-N 1 2 320.437 1.828 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001296458640 916433117 /nfs/dbraw/zinc/43/31/17/916433117.db2.gz PKEMBQRMRNODOF-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO CCn1nc(C)c(C[NH+]2CCC(N(C)C(=O)[C@@H](C)C#N)CC2)n1 ZINC001376014444 917476458 /nfs/dbraw/zinc/47/64/58/917476458.db2.gz QLEMXJKPWHERRM-LBPRGKRZSA-N 1 2 318.425 1.189 20 30 DDEDLO CO[C@H](C)C(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001376033117 917521810 /nfs/dbraw/zinc/52/18/10/917521810.db2.gz RCUPCPZRHSAIIF-DOMZBBRYSA-N 1 2 319.380 1.813 20 30 DDEDLO CO[C@H](C)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001376033117 917521820 /nfs/dbraw/zinc/52/18/20/917521820.db2.gz RCUPCPZRHSAIIF-DOMZBBRYSA-N 1 2 319.380 1.813 20 30 DDEDLO C[N@H+](CCNC(=O)COCC1CC1)Cc1ccc(C#N)cc1F ZINC001377132917 920424871 /nfs/dbraw/zinc/42/48/71/920424871.db2.gz OOZZWDJAYGDVTI-UHFFFAOYSA-N 1 2 319.380 1.672 20 30 DDEDLO C[N@@H+](CCNC(=O)COCC1CC1)Cc1ccc(C#N)cc1F ZINC001377132917 920424879 /nfs/dbraw/zinc/42/48/79/920424879.db2.gz OOZZWDJAYGDVTI-UHFFFAOYSA-N 1 2 319.380 1.672 20 30 DDEDLO C[N@H+](CCNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Cc1cc(C#N)ccc1F ZINC001377209005 920635353 /nfs/dbraw/zinc/63/53/53/920635353.db2.gz JDVNLVCOCQFRRA-FOLVSLTJSA-N 1 2 317.364 1.138 20 30 DDEDLO C[N@@H+](CCNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Cc1cc(C#N)ccc1F ZINC001377209005 920635360 /nfs/dbraw/zinc/63/53/60/920635360.db2.gz JDVNLVCOCQFRRA-FOLVSLTJSA-N 1 2 317.364 1.138 20 30 DDEDLO C[C@H](O)C[NH+]1CCN(c2ccc(C#N)cc2Br)CC1 ZINC000302472203 230258919 /nfs/dbraw/zinc/25/89/19/230258919.db2.gz WEGPDWBGEQTDGN-NSHDSACASA-N 1 2 324.222 1.824 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NC(=O)NC2CC2)C[C@]2(CCOC2)O1 ZINC000330072604 529385974 /nfs/dbraw/zinc/38/59/74/529385974.db2.gz JTYSUPNUMJORSE-DOMZBBRYSA-N 1 2 311.382 1.289 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NC(=O)NC2CC2)C[C@]2(CCOC2)O1 ZINC000330072604 529385977 /nfs/dbraw/zinc/38/59/77/529385977.db2.gz JTYSUPNUMJORSE-DOMZBBRYSA-N 1 2 311.382 1.289 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@H](C)C(=O)Nc2ncccn2)C[C@]2(CCOC2)O1 ZINC000330087611 529401708 /nfs/dbraw/zinc/40/17/08/529401708.db2.gz TZRZCKNBODKESO-IOASZLSFSA-N 1 2 320.393 1.723 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@H](C)C(=O)Nc2ncccn2)C[C@]2(CCOC2)O1 ZINC000330087611 529401711 /nfs/dbraw/zinc/40/17/11/529401711.db2.gz TZRZCKNBODKESO-IOASZLSFSA-N 1 2 320.393 1.723 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000278739397 214367583 /nfs/dbraw/zinc/36/75/83/214367583.db2.gz DPBHZSCGNWAQFF-YOEHRIQHSA-N 1 2 303.406 1.614 20 30 DDEDLO CC[C@@H](C)n1ncc(NC(=O)N(C)CC[NH+]2CCOCC2)c1C ZINC000331095877 529441392 /nfs/dbraw/zinc/44/13/92/529441392.db2.gz ADKGLTBIMRUVII-CYBMUJFWSA-N 1 2 323.441 1.972 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)CC1(C#N)CCCC1 ZINC000451923715 231233756 /nfs/dbraw/zinc/23/37/56/231233756.db2.gz AVYLVCLSUVNTNF-LBPRGKRZSA-N 1 2 310.423 1.967 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCCC2(C1)OCCO2 ZINC000090563668 185303699 /nfs/dbraw/zinc/30/36/99/185303699.db2.gz HUAMSCOMUNYDMF-ZDUSSCGKSA-N 1 2 315.373 1.724 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCCC2(C1)OCCO2 ZINC000090563668 185303700 /nfs/dbraw/zinc/30/37/00/185303700.db2.gz HUAMSCOMUNYDMF-ZDUSSCGKSA-N 1 2 315.373 1.724 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N[C@H]1CC[C@@H](CC#N)C1)[NH+]1CCOCC1 ZINC000454552264 231595762 /nfs/dbraw/zinc/59/57/62/231595762.db2.gz SZYXAGBUMKZWRB-XGUBFFRZSA-N 1 2 308.426 1.477 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N(C)Cc1cc[nH]n1)CCC2 ZINC000328735116 539298697 /nfs/dbraw/zinc/29/86/97/539298697.db2.gz YWUYJLHLVUDBAS-LBPRGKRZSA-N 1 2 302.382 1.838 20 30 DDEDLO CC1(C)NC(=O)CC[C@H]1NC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000328751021 539298793 /nfs/dbraw/zinc/29/87/93/539298793.db2.gz QTLDCENNGZWYQK-GFCCVEGCSA-N 1 2 324.425 1.252 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)N2CCC3(CCOCC3)CC2)C1 ZINC000328868650 539299521 /nfs/dbraw/zinc/29/95/21/539299521.db2.gz HHNDSMLCZDVQKJ-AWEZNQCLSA-N 1 2 311.426 1.124 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)N2CCC3(CCOCC3)CC2)C1 ZINC000328868650 539299523 /nfs/dbraw/zinc/29/95/23/539299523.db2.gz HHNDSMLCZDVQKJ-AWEZNQCLSA-N 1 2 311.426 1.124 20 30 DDEDLO O=C(NCc1cn2ccccc2[nH+]1)N1CC[C@@]2(CCOC2)C1 ZINC000329273375 539302454 /nfs/dbraw/zinc/30/24/54/539302454.db2.gz APBHBXSGJKOTJJ-MRXNPFEDSA-N 1 2 300.362 1.861 20 30 DDEDLO CCN1CC[NH+]([C@@H]2CCN(C(=O)N[C@H]3CCC[C@H]3C#N)C2)CC1 ZINC000332878051 539313621 /nfs/dbraw/zinc/31/36/21/539313621.db2.gz BFQYNDYFBWZIAY-XHSDSOJGSA-N 1 2 319.453 1.100 20 30 DDEDLO CNC(=O)C[NH+]1CCC(Nc2cc(C#N)ccc2C(C)=O)CC1 ZINC000302124637 529862283 /nfs/dbraw/zinc/86/22/83/529862283.db2.gz VWAKCIUPDHUKDB-UHFFFAOYSA-N 1 2 314.389 1.383 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@H]1O ZINC000279741988 215108447 /nfs/dbraw/zinc/10/84/47/215108447.db2.gz KOQNXNMWLDKNEU-CYBMUJFWSA-N 1 2 307.781 1.853 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@H]1O ZINC000279741988 215108451 /nfs/dbraw/zinc/10/84/51/215108451.db2.gz KOQNXNMWLDKNEU-CYBMUJFWSA-N 1 2 307.781 1.853 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[NH2+][C@@H]2CCC[C@@H]2C#N)c1 ZINC000459479772 233231298 /nfs/dbraw/zinc/23/12/98/233231298.db2.gz OJIPCQDXUCGONU-UKRRQHHQSA-N 1 2 303.387 1.614 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000186046830 186235213 /nfs/dbraw/zinc/23/52/13/186235213.db2.gz OZMNNLIWCRLHRN-NVXWUHKLSA-N 1 2 315.417 1.689 20 30 DDEDLO C=CCC1(C(=O)Nc2cnn(CC[NH+]3CCOCC3)c2)CCC1 ZINC000277246530 213392139 /nfs/dbraw/zinc/39/21/39/213392139.db2.gz LAEFKZFEXZXCMA-UHFFFAOYSA-N 1 2 318.421 1.900 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@H+](C)CC(=O)NC(C)(C)C ZINC000007660104 352126615 /nfs/dbraw/zinc/12/66/15/352126615.db2.gz GVIBDJWKEWEJJN-LRDDRELGSA-N 1 2 310.442 1.276 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@@H+](C)CC(=O)NC(C)(C)C ZINC000007660104 352126618 /nfs/dbraw/zinc/12/66/18/352126618.db2.gz GVIBDJWKEWEJJN-LRDDRELGSA-N 1 2 310.442 1.276 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000029450266 352238992 /nfs/dbraw/zinc/23/89/92/352238992.db2.gz UPFUBQZNZOHYEW-ZIAGYGMSSA-N 1 2 315.421 1.378 20 30 DDEDLO COCC[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)Cc1ccco1 ZINC000026449000 352208795 /nfs/dbraw/zinc/20/87/95/352208795.db2.gz PGPIKMZFIQGIIV-MRXNPFEDSA-N 1 2 305.378 1.536 20 30 DDEDLO COCC[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)Cc1ccco1 ZINC000026449000 352208798 /nfs/dbraw/zinc/20/87/98/352208798.db2.gz PGPIKMZFIQGIIV-MRXNPFEDSA-N 1 2 305.378 1.536 20 30 DDEDLO Cc1cc(Nc2c(C#N)c(=O)n(C)c(=O)n2C)ccc1[NH+](C)C ZINC000029621162 352242721 /nfs/dbraw/zinc/24/27/21/352242721.db2.gz RGRHUTUDSIWRDP-UHFFFAOYSA-N 1 2 313.361 1.074 20 30 DDEDLO Cc1onc(CC(=O)N2CC[C@@H](C)[C@@H](n3cc[nH+]c3)C2)c1C#N ZINC000331756607 234087049 /nfs/dbraw/zinc/08/70/49/234087049.db2.gz MFWXEIAAEIYRDB-ABAIWWIYSA-N 1 2 313.361 1.703 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)NCCCCn1cc[nH+]c1 ZINC000045109569 352403736 /nfs/dbraw/zinc/40/37/36/352403736.db2.gz BLFBRNGEGAUTIV-UHFFFAOYSA-N 1 2 304.375 1.513 20 30 DDEDLO C[C@@H](c1nc(N)nc(N(C)C)n1)[N@H+](C)Cc1ccc(C#N)cc1 ZINC000046528839 352455730 /nfs/dbraw/zinc/45/57/30/352455730.db2.gz HTEZDGSZAHSTLH-NSHDSACASA-N 1 2 311.393 1.584 20 30 DDEDLO C[C@@H](c1nc(N)nc(N(C)C)n1)[N@@H+](C)Cc1ccc(C#N)cc1 ZINC000046528839 352455734 /nfs/dbraw/zinc/45/57/34/352455734.db2.gz HTEZDGSZAHSTLH-NSHDSACASA-N 1 2 311.393 1.584 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)[C@@H](C)Oc1ccccc1C#N ZINC000047151636 352484179 /nfs/dbraw/zinc/48/41/79/352484179.db2.gz FGPWMIJPKCDZBM-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO C=CCNC(=O)NCc1ccc(N2C[C@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000047605571 352504072 /nfs/dbraw/zinc/50/40/72/352504072.db2.gz PZJJCMPFDUSVAA-STQMWFEESA-N 1 2 304.394 1.680 20 30 DDEDLO C#CCN(CC)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000056621617 352779266 /nfs/dbraw/zinc/77/92/66/352779266.db2.gz RNVYETSTLZLOOT-UHFFFAOYSA-N 1 2 302.378 1.083 20 30 DDEDLO C#CCN(CC)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000056643239 352779852 /nfs/dbraw/zinc/77/98/52/352779852.db2.gz UFVUYZOGPZKUNI-QGZVFWFLSA-N 1 2 315.417 1.725 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](Cc1ccco1)C[C@H]1CCCO1 ZINC000066436000 352997059 /nfs/dbraw/zinc/99/70/59/352997059.db2.gz BGYVYCIADIEDAW-CQSZACIVSA-N 1 2 321.377 1.272 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](Cc1ccco1)C[C@H]1CCCO1 ZINC000066436000 352997060 /nfs/dbraw/zinc/99/70/60/352997060.db2.gz BGYVYCIADIEDAW-CQSZACIVSA-N 1 2 321.377 1.272 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)Nc1cccc(OC)c1 ZINC000072690865 353209617 /nfs/dbraw/zinc/20/96/17/353209617.db2.gz YWQGENVTVPUMQY-UHFFFAOYSA-N 1 2 305.378 1.886 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)Nc1cccc(OC)c1 ZINC000072690865 353209618 /nfs/dbraw/zinc/20/96/18/353209618.db2.gz YWQGENVTVPUMQY-UHFFFAOYSA-N 1 2 305.378 1.886 20 30 DDEDLO COC(=O)C[N@H+](Cc1cnc2c(C#N)cnn2c1)C1CCCC1 ZINC000564989591 304033571 /nfs/dbraw/zinc/03/35/71/304033571.db2.gz SGVSNZGIQCWHMX-UHFFFAOYSA-N 1 2 313.361 1.519 20 30 DDEDLO COC(=O)C[N@@H+](Cc1cnc2c(C#N)cnn2c1)C1CCCC1 ZINC000564989591 304033572 /nfs/dbraw/zinc/03/35/72/304033572.db2.gz SGVSNZGIQCWHMX-UHFFFAOYSA-N 1 2 313.361 1.519 20 30 DDEDLO N#CCNC(=O)C[N@H+]1C[C@H](C(F)(F)F)[C@H](C(F)(F)F)C1 ZINC000076182437 353403926 /nfs/dbraw/zinc/40/39/26/353403926.db2.gz OQDNDUUJMGGXAY-KNVOCYPGSA-N 1 2 303.206 1.299 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1C[C@H](C(F)(F)F)[C@H](C(F)(F)F)C1 ZINC000076182437 353403930 /nfs/dbraw/zinc/40/39/30/353403930.db2.gz OQDNDUUJMGGXAY-KNVOCYPGSA-N 1 2 303.206 1.299 20 30 DDEDLO CC(C)Oc1ccc(C#N)cc1NC(=O)[C@@H]1C[N@H+](C)CCO1 ZINC000174744297 198379074 /nfs/dbraw/zinc/37/90/74/198379074.db2.gz UOAHGMJZEFKSOL-HNNXBMFYSA-N 1 2 303.362 1.615 20 30 DDEDLO CC(C)Oc1ccc(C#N)cc1NC(=O)[C@@H]1C[N@@H+](C)CCO1 ZINC000174744297 198379077 /nfs/dbraw/zinc/37/90/77/198379077.db2.gz UOAHGMJZEFKSOL-HNNXBMFYSA-N 1 2 303.362 1.615 20 30 DDEDLO C[N@H+](CCC(=O)Nc1ccc(C#N)cc1)Cc1nnc2n1CCC2 ZINC000079915582 353581974 /nfs/dbraw/zinc/58/19/74/353581974.db2.gz FRHWTCGNOVKDEA-UHFFFAOYSA-N 1 2 324.388 1.557 20 30 DDEDLO C[N@@H+](CCC(=O)Nc1ccc(C#N)cc1)Cc1nnc2n1CCC2 ZINC000079915582 353581978 /nfs/dbraw/zinc/58/19/78/353581978.db2.gz FRHWTCGNOVKDEA-UHFFFAOYSA-N 1 2 324.388 1.557 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@@](O)(C(F)(F)F)C2)CCCCC1 ZINC000081050667 353649408 /nfs/dbraw/zinc/64/94/08/353649408.db2.gz QIGJEQHSNMWFCR-ZDUSSCGKSA-N 1 2 319.327 1.328 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@@](O)(C(F)(F)F)C2)CCCCC1 ZINC000081050667 353649411 /nfs/dbraw/zinc/64/94/11/353649411.db2.gz QIGJEQHSNMWFCR-ZDUSSCGKSA-N 1 2 319.327 1.328 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1cnc2c(c1)NC(=O)CO2 ZINC000329089771 222930878 /nfs/dbraw/zinc/93/08/78/222930878.db2.gz IPODTRONTLFJNS-SNVBAGLBSA-N 1 2 320.349 1.087 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1cnc2c(c1)NC(=O)CO2 ZINC000329089771 222930880 /nfs/dbraw/zinc/93/08/80/222930880.db2.gz IPODTRONTLFJNS-SNVBAGLBSA-N 1 2 320.349 1.087 20 30 DDEDLO CCOc1ncc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1Cl ZINC000589826906 355022165 /nfs/dbraw/zinc/02/21/65/355022165.db2.gz AZXNJNUVOCGCSZ-AWEZNQCLSA-N 1 2 310.785 1.707 20 30 DDEDLO N#CCCN1CC[NH+]([C@H]2CCN(c3ccc(F)cc3)C2=O)CC1 ZINC000591020607 355234299 /nfs/dbraw/zinc/23/42/99/355234299.db2.gz RYZCCYNFADRHBY-INIZCTEOSA-N 1 2 316.380 1.462 20 30 DDEDLO CC(C)CN(CC(F)(F)F)C(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148799 355514942 /nfs/dbraw/zinc/51/49/42/355514942.db2.gz CCYIBKNCSROEOR-ZDUSSCGKSA-N 1 2 321.343 1.384 20 30 DDEDLO CC(C)CN(CC(F)(F)F)C(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148799 355514946 /nfs/dbraw/zinc/51/49/46/355514946.db2.gz CCYIBKNCSROEOR-ZDUSSCGKSA-N 1 2 321.343 1.384 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)NC23CC4CC(CC(C4)C2)C3)C1 ZINC000592149178 355515913 /nfs/dbraw/zinc/51/59/13/355515913.db2.gz KEWMDLOADOHRDW-AXBMVZGFSA-N 1 2 317.433 1.422 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)NC23CC4CC(CC(C4)C2)C3)C1 ZINC000592149178 355515915 /nfs/dbraw/zinc/51/59/15/355515915.db2.gz KEWMDLOADOHRDW-AXBMVZGFSA-N 1 2 317.433 1.422 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)[C@H](CC)CO1 ZINC000593058478 355782424 /nfs/dbraw/zinc/78/24/24/355782424.db2.gz MOWZOEBJKLNFNU-HUUCEWRRSA-N 1 2 306.410 1.532 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)[C@H](CC)CO1 ZINC000593058478 355782427 /nfs/dbraw/zinc/78/24/27/355782427.db2.gz MOWZOEBJKLNFNU-HUUCEWRRSA-N 1 2 306.410 1.532 20 30 DDEDLO Cc1[nH]nc2nc(C)cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c12 ZINC000593154395 355812999 /nfs/dbraw/zinc/81/29/99/355812999.db2.gz JLGMVLHTZHZDEA-OAHLLOKOSA-N 1 2 300.366 1.148 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccn(-c2cccc(F)c2)n1 ZINC000593155259 355813869 /nfs/dbraw/zinc/81/38/69/355813869.db2.gz GPJZXYDHKJFBLB-MRXNPFEDSA-N 1 2 315.352 1.585 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N1Cc2cccc(C#N)c2C1 ZINC000593306293 355850662 /nfs/dbraw/zinc/85/06/62/355850662.db2.gz CAZAGODFWOASDE-CYBMUJFWSA-N 1 2 314.389 1.304 20 30 DDEDLO CC[C@H](C#N)N(C)C(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000594087619 356109087 /nfs/dbraw/zinc/10/90/87/356109087.db2.gz BGTMAZUNIALPLL-HZPDHXFCSA-N 1 2 301.390 1.648 20 30 DDEDLO CC[C@H](C#N)N(C)C(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000594087619 356109091 /nfs/dbraw/zinc/10/90/91/356109091.db2.gz BGTMAZUNIALPLL-HZPDHXFCSA-N 1 2 301.390 1.648 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000594281121 356162905 /nfs/dbraw/zinc/16/29/05/356162905.db2.gz BTMPNCRTORUDKM-LSDHHAIUSA-N 1 2 315.417 1.574 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000594281121 356162907 /nfs/dbraw/zinc/16/29/07/356162907.db2.gz BTMPNCRTORUDKM-LSDHHAIUSA-N 1 2 315.417 1.574 20 30 DDEDLO N#Cc1ncc(C(F)(F)F)cc1-n1cc([C@@H]2COCC[NH2+]2)nn1 ZINC000594645135 356271602 /nfs/dbraw/zinc/27/16/02/356271602.db2.gz KNMYWAXRSSNQIE-NSHDSACASA-N 1 2 324.266 1.214 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3ncccc3C#N)CC2)sn1 ZINC000595287731 356433811 /nfs/dbraw/zinc/43/38/11/356433811.db2.gz GPKVCANBIREOSY-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO CO[C@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000081513150 192277432 /nfs/dbraw/zinc/27/74/32/192277432.db2.gz YXTBLCDRHOXTAW-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO CO[C@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000081513150 192277434 /nfs/dbraw/zinc/27/74/34/192277434.db2.gz YXTBLCDRHOXTAW-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO C[N@H+](CCC(=O)Nc1cccc(C#N)c1)Cc1n[nH]c(=O)o1 ZINC000595546825 356543594 /nfs/dbraw/zinc/54/35/94/356543594.db2.gz IJMKWOUABBYCIE-UHFFFAOYSA-N 1 2 301.306 1.107 20 30 DDEDLO C[N@@H+](CCC(=O)Nc1cccc(C#N)c1)Cc1n[nH]c(=O)o1 ZINC000595546825 356543598 /nfs/dbraw/zinc/54/35/98/356543598.db2.gz IJMKWOUABBYCIE-UHFFFAOYSA-N 1 2 301.306 1.107 20 30 DDEDLO Cc1cc(N2CC[C@@H]([N@@H+]3CCC[C@H](CC#N)C3)C2=O)n(C)n1 ZINC000595614685 356578429 /nfs/dbraw/zinc/57/84/29/356578429.db2.gz MMZGOGVJFNTFQY-ZIAGYGMSSA-N 1 2 301.394 1.460 20 30 DDEDLO Cc1cc(N2CC[C@@H]([N@H+]3CCC[C@H](CC#N)C3)C2=O)n(C)n1 ZINC000595614685 356578433 /nfs/dbraw/zinc/57/84/33/356578433.db2.gz MMZGOGVJFNTFQY-ZIAGYGMSSA-N 1 2 301.394 1.460 20 30 DDEDLO C[N@@H+](Cc1nnc(-c2cccc(C#N)c2)o1)C[C@@H]1COCCO1 ZINC000595743954 356631283 /nfs/dbraw/zinc/63/12/83/356631283.db2.gz TVTCMFMPIIZIMQ-CQSZACIVSA-N 1 2 314.345 1.455 20 30 DDEDLO C[N@H+](Cc1nnc(-c2cccc(C#N)c2)o1)C[C@@H]1COCCO1 ZINC000595743954 356631288 /nfs/dbraw/zinc/63/12/88/356631288.db2.gz TVTCMFMPIIZIMQ-CQSZACIVSA-N 1 2 314.345 1.455 20 30 DDEDLO COC(=O)[C@@](C)(CCF)[NH2+]C[C@@H](O)COc1ccc(C#N)cc1 ZINC000595776546 356648189 /nfs/dbraw/zinc/64/81/89/356648189.db2.gz YBCSMGSXMREEEP-CZUORRHYSA-N 1 2 324.352 1.179 20 30 DDEDLO COC(=O)[C@@](C)(CCF)[NH2+]CCC(=O)Nc1ccc(C#N)cc1 ZINC000595776106 356648227 /nfs/dbraw/zinc/64/82/27/356648227.db2.gz PCWFXRXJFRHRSA-MRXNPFEDSA-N 1 2 321.352 1.768 20 30 DDEDLO COc1ccccc1CNC(=O)[C@H](C)[N@@H+]1CC[C@@](C)(C#N)C1 ZINC000595834971 356669811 /nfs/dbraw/zinc/66/98/11/356669811.db2.gz ODWVRKOPLCHGCW-GUYCJALGSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccccc1CNC(=O)[C@H](C)[N@H+]1CC[C@@](C)(C#N)C1 ZINC000595834971 356669815 /nfs/dbraw/zinc/66/98/15/356669815.db2.gz ODWVRKOPLCHGCW-GUYCJALGSA-N 1 2 301.390 1.935 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@@H+]2CC[C@@](C)(C#N)C2)C1 ZINC000595838976 356673121 /nfs/dbraw/zinc/67/31/21/356673121.db2.gz FRDAYZLSMCRPQH-CPUCHLNUSA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@H+]2CC[C@@](C)(C#N)C2)C1 ZINC000595838976 356673123 /nfs/dbraw/zinc/67/31/23/356673123.db2.gz FRDAYZLSMCRPQH-CPUCHLNUSA-N 1 2 321.421 1.412 20 30 DDEDLO C[C@@]1(C#N)CC[N@H+](CCN(Cc2ccccc2)S(C)(=O)=O)C1 ZINC000595843682 356674240 /nfs/dbraw/zinc/67/42/40/356674240.db2.gz MHAFBCOASJMOFV-INIZCTEOSA-N 1 2 321.446 1.684 20 30 DDEDLO C[C@@]1(C#N)CC[N@@H+](CCN(Cc2ccccc2)S(C)(=O)=O)C1 ZINC000595843682 356674243 /nfs/dbraw/zinc/67/42/43/356674243.db2.gz MHAFBCOASJMOFV-INIZCTEOSA-N 1 2 321.446 1.684 20 30 DDEDLO N#CC1(NC(=O)C[NH2+][C@H](CO)c2ccc(F)cc2F)CCC1 ZINC000595868099 356684508 /nfs/dbraw/zinc/68/45/08/356684508.db2.gz SJRBEYOSWFGLSY-CYBMUJFWSA-N 1 2 309.316 1.150 20 30 DDEDLO Cc1cc(N2CC[C@@](C)(O)C2)c(C#N)c(N2CC[C@](C)(O)C2)[nH+]1 ZINC000596151557 356792583 /nfs/dbraw/zinc/79/25/83/356792583.db2.gz RXUMXVGXPNTPLV-SJORKVTESA-N 1 2 316.405 1.184 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000596591146 356927424 /nfs/dbraw/zinc/92/74/24/356927424.db2.gz HAPPCNJJQOTAJF-JSGCOSHPSA-N 1 2 316.405 1.865 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000596591146 356927426 /nfs/dbraw/zinc/92/74/26/356927426.db2.gz HAPPCNJJQOTAJF-JSGCOSHPSA-N 1 2 316.405 1.865 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1Cc2c[nH]nc2CC(C)(C)C1)C1CC1 ZINC000596599741 356930452 /nfs/dbraw/zinc/93/04/52/356930452.db2.gz XUAOVMSNFWHMPS-KRWDZBQOSA-N 1 2 315.421 1.602 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1Cc2c[nH]nc2CC(C)(C)C1)C1CC1 ZINC000596599741 356930458 /nfs/dbraw/zinc/93/04/58/356930458.db2.gz XUAOVMSNFWHMPS-KRWDZBQOSA-N 1 2 315.421 1.602 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000596611784 356932379 /nfs/dbraw/zinc/93/23/79/356932379.db2.gz IVOGPBZJXCYPGB-QPSCCSFWSA-N 1 2 308.426 1.477 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000596611784 356932383 /nfs/dbraw/zinc/93/23/83/356932383.db2.gz IVOGPBZJXCYPGB-QPSCCSFWSA-N 1 2 308.426 1.477 20 30 DDEDLO N#Cc1cc(F)ccc1NC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000596785265 356977162 /nfs/dbraw/zinc/97/71/62/356977162.db2.gz SXBKBUWGHLHCQU-AWEZNQCLSA-N 1 2 318.352 1.636 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)ccc1F ZINC000597707863 357294680 /nfs/dbraw/zinc/29/46/80/357294680.db2.gz UDGCNZNYLZLALL-HNNXBMFYSA-N 1 2 317.364 1.634 20 30 DDEDLO N#Cc1ccc(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)nc1 ZINC000598343317 357545279 /nfs/dbraw/zinc/54/52/79/357545279.db2.gz UHSIZKWHMSYMGY-UHFFFAOYSA-N 1 2 314.389 1.138 20 30 DDEDLO CC(C)OCc1nc([C@@H](C)[NH2+]C[C@@H](O)CC(C)(C)C#N)no1 ZINC000598616260 357655520 /nfs/dbraw/zinc/65/55/20/357655520.db2.gz LIMQLPGJUUHCAO-NEPJUHHUSA-N 1 2 310.398 1.946 20 30 DDEDLO Cc1csc([C@H](C)CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)n1 ZINC000329679085 223012532 /nfs/dbraw/zinc/01/25/32/223012532.db2.gz QQXQDVCBJMGVLQ-RTXFEEFZSA-N 1 2 324.450 1.484 20 30 DDEDLO Cc1csc([C@H](C)CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)n1 ZINC000329679085 223012535 /nfs/dbraw/zinc/01/25/35/223012535.db2.gz QQXQDVCBJMGVLQ-RTXFEEFZSA-N 1 2 324.450 1.484 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C3=NCC(C)(C)S3)CC2)cc1C#N ZINC000276780053 213104269 /nfs/dbraw/zinc/10/42/69/213104269.db2.gz QLCWBXLLEKWOJT-UHFFFAOYSA-N 1 2 317.462 1.896 20 30 DDEDLO C[C@H](CNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C)c1nccs1 ZINC000329683032 223013344 /nfs/dbraw/zinc/01/33/44/223013344.db2.gz STWWTWVIXVMZIS-UTUOFQBUSA-N 1 2 310.423 1.175 20 30 DDEDLO C[C@H](CNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C)c1nccs1 ZINC000329683032 223013347 /nfs/dbraw/zinc/01/33/47/223013347.db2.gz STWWTWVIXVMZIS-UTUOFQBUSA-N 1 2 310.423 1.175 20 30 DDEDLO Cc1noc([C@@H]2CCCN(C(=O)NCc3c[nH+]cn3C)C2)n1 ZINC000329700882 223016249 /nfs/dbraw/zinc/01/62/49/223016249.db2.gz HDFIIVXUMCBEIR-LLVKDONJSA-N 1 2 304.354 1.405 20 30 DDEDLO N#Cc1cnc(NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)cn1 ZINC000599184089 357842591 /nfs/dbraw/zinc/84/25/91/357842591.db2.gz YEBKMZQKWJOUSL-HNNXBMFYSA-N 1 2 310.361 1.056 20 30 DDEDLO N#Cc1cnc(NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)cn1 ZINC000599184089 357842593 /nfs/dbraw/zinc/84/25/93/357842593.db2.gz YEBKMZQKWJOUSL-HNNXBMFYSA-N 1 2 310.361 1.056 20 30 DDEDLO C[N@@H+](CC(=O)OC(C)(C)C)C[C@H](O)COc1ccccc1C#N ZINC000599282573 357874254 /nfs/dbraw/zinc/87/42/54/357874254.db2.gz SZJDHODGRVOSRA-AWEZNQCLSA-N 1 2 320.389 1.571 20 30 DDEDLO C[N@H+](CC(=O)OC(C)(C)C)C[C@H](O)COc1ccccc1C#N ZINC000599282573 357874257 /nfs/dbraw/zinc/87/42/57/357874257.db2.gz SZJDHODGRVOSRA-AWEZNQCLSA-N 1 2 320.389 1.571 20 30 DDEDLO CCC[C@](C)([NH2+]C[C@@H](O)CC1(C#N)CCOCC1)C(=O)OC ZINC000599302993 357883756 /nfs/dbraw/zinc/88/37/56/357883756.db2.gz LIVRUTLVMLITCD-ZFWWWQNUSA-N 1 2 312.410 1.379 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000599642873 358003549 /nfs/dbraw/zinc/00/35/49/358003549.db2.gz WVQVUAFHDDUVMH-JSGCOSHPSA-N 1 2 317.393 1.327 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000599642873 358003551 /nfs/dbraw/zinc/00/35/51/358003551.db2.gz WVQVUAFHDDUVMH-JSGCOSHPSA-N 1 2 317.393 1.327 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)C2(C#N)CC3(CC3)C2)C[C@H]1C ZINC000601404811 358537660 /nfs/dbraw/zinc/53/76/60/358537660.db2.gz GTTGLLQXGFRVTI-CYBMUJFWSA-N 1 2 319.405 1.166 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)C2(C#N)CC3(CC3)C2)C[C@H]1C ZINC000601404811 358537664 /nfs/dbraw/zinc/53/76/64/358537664.db2.gz GTTGLLQXGFRVTI-CYBMUJFWSA-N 1 2 319.405 1.166 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@@H]1CCn2cc(C)[nH+]c2C1)C(=O)OC ZINC000601787939 358696323 /nfs/dbraw/zinc/69/63/23/358696323.db2.gz MKUAVLDOTIXRAE-OLZOCXBDSA-N 1 2 305.378 1.378 20 30 DDEDLO CC(C)C[C@@H](C(=O)NCC1CCN(CC#N)CC1)n1cc[nH+]c1 ZINC000602105926 358816943 /nfs/dbraw/zinc/81/69/43/358816943.db2.gz BNWPNGJMOHVHNR-INIZCTEOSA-N 1 2 317.437 1.822 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000185434125 199852815 /nfs/dbraw/zinc/85/28/15/199852815.db2.gz WIKWZJMNIBXTGQ-MLGOLLRUSA-N 1 2 314.364 1.376 20 30 DDEDLO COCCN(CCC#N)C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000602092645 358809481 /nfs/dbraw/zinc/80/94/81/358809481.db2.gz YQOCQTSTCLWORP-NSHDSACASA-N 1 2 318.299 1.765 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)c1C ZINC000602435887 358974571 /nfs/dbraw/zinc/97/45/71/358974571.db2.gz LRGXJGFPRHPDFM-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO N#CC1(C[NH2+]Cc2ccc(S(N)(=O)=O)cc2F)CCCC1 ZINC000602700492 359133641 /nfs/dbraw/zinc/13/36/41/359133641.db2.gz SZVHMSSASKLCLM-UHFFFAOYSA-N 1 2 311.382 1.647 20 30 DDEDLO Cc1cc(C(=O)N2CC[N@H+](CC3(CC#N)CC3)[C@H](C)C2)[nH]n1 ZINC000602841164 359230614 /nfs/dbraw/zinc/23/06/14/359230614.db2.gz KVIMRVRSVBNOBS-CYBMUJFWSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1cc(C(=O)N2CC[N@@H+](CC3(CC#N)CC3)[C@H](C)C2)[nH]n1 ZINC000602841164 359230616 /nfs/dbraw/zinc/23/06/16/359230616.db2.gz KVIMRVRSVBNOBS-CYBMUJFWSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1cc(C(=O)N2CC[N@H+](CC3(CC#N)CC3)[C@H](C)C2)n[nH]1 ZINC000602841164 359230620 /nfs/dbraw/zinc/23/06/20/359230620.db2.gz KVIMRVRSVBNOBS-CYBMUJFWSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1cc(C(=O)N2CC[N@@H+](CC3(CC#N)CC3)[C@H](C)C2)n[nH]1 ZINC000602841164 359230622 /nfs/dbraw/zinc/23/06/22/359230622.db2.gz KVIMRVRSVBNOBS-CYBMUJFWSA-N 1 2 301.394 1.558 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](CC(=O)Nc3ccccn3)C2)nc1 ZINC000602841905 359230973 /nfs/dbraw/zinc/23/09/73/359230973.db2.gz UBVLKHDSMLIXQI-CQSZACIVSA-N 1 2 323.356 1.440 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](CC(=O)Nc3ccccn3)C2)nc1 ZINC000602841905 359230975 /nfs/dbraw/zinc/23/09/75/359230975.db2.gz UBVLKHDSMLIXQI-CQSZACIVSA-N 1 2 323.356 1.440 20 30 DDEDLO CCN(CC(F)(F)F)C(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602848629 359235094 /nfs/dbraw/zinc/23/50/94/359235094.db2.gz HOUDKFQFVRUBQR-TXEJJXNPSA-N 1 2 320.359 1.315 20 30 DDEDLO CCN(CC(F)(F)F)C(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602848629 359235098 /nfs/dbraw/zinc/23/50/98/359235098.db2.gz HOUDKFQFVRUBQR-TXEJJXNPSA-N 1 2 320.359 1.315 20 30 DDEDLO C[C@@H]1C[NH+](Cc2cc(=O)[nH]c(C3CC3)n2)C[C@@H](C)N1CC#N ZINC000602850591 359237833 /nfs/dbraw/zinc/23/78/33/359237833.db2.gz QUYDXQOPSBXKQL-VXGBXAGGSA-N 1 2 301.394 1.478 20 30 DDEDLO COC(=O)c1cc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)c(C)o1 ZINC000602855919 359241898 /nfs/dbraw/zinc/24/18/98/359241898.db2.gz JKHLURDTMONBQZ-TXEJJXNPSA-N 1 2 305.378 1.793 20 30 DDEDLO COC(=O)c1cc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)c(C)o1 ZINC000602855919 359241901 /nfs/dbraw/zinc/24/19/01/359241901.db2.gz JKHLURDTMONBQZ-TXEJJXNPSA-N 1 2 305.378 1.793 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N(C)Cc2ccccc2)C[C@H](C)N1CC#N ZINC000602856012 359242177 /nfs/dbraw/zinc/24/21/77/359242177.db2.gz XTUSDMOZCFDJDQ-IYBDPMFKSA-N 1 2 314.433 1.563 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N(C)Cc2ccccc2)C[C@H](C)N1CC#N ZINC000602856012 359242180 /nfs/dbraw/zinc/24/21/80/359242180.db2.gz XTUSDMOZCFDJDQ-IYBDPMFKSA-N 1 2 314.433 1.563 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)N2CCc3ccccc32)C[C@H](C)N1CC#N ZINC000602857484 359243391 /nfs/dbraw/zinc/24/33/91/359243391.db2.gz RSSXBEWDNQTEII-GJZGRUSLSA-N 1 2 312.417 1.494 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)N(C)CCCOc1ccc(F)cc1 ZINC000602865466 359250437 /nfs/dbraw/zinc/25/04/37/359250437.db2.gz YFDXNRAJAXQSTK-CYBMUJFWSA-N 1 2 307.369 1.945 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@H](C)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000602867804 359251368 /nfs/dbraw/zinc/25/13/68/359251368.db2.gz DZBWJAMIRFTBIP-CHWSQXEVSA-N 1 2 317.389 1.397 20 30 DDEDLO C[C@@H](CC#N)[NH2+][C@@H](C)C(=O)N1CCN(c2ccccn2)CC1 ZINC000602867552 359252056 /nfs/dbraw/zinc/25/20/56/359252056.db2.gz DXZFEJMWAOUXKO-KBPBESRZSA-N 1 2 301.394 1.010 20 30 DDEDLO CCN(C#N)CC[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000602800961 359201471 /nfs/dbraw/zinc/20/14/71/359201471.db2.gz IYZKWYYRGFMRFM-QGZVFWFLSA-N 1 2 315.396 1.811 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C(=O)OC(C)(C)C)C2CC2)C1=O ZINC000602828146 359221150 /nfs/dbraw/zinc/22/11/50/359221150.db2.gz RAAAUDVUSLIARP-ZIAGYGMSSA-N 1 2 308.422 1.825 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C(=O)OC(C)(C)C)C2CC2)C1=O ZINC000602828146 359221155 /nfs/dbraw/zinc/22/11/55/359221155.db2.gz RAAAUDVUSLIARP-ZIAGYGMSSA-N 1 2 308.422 1.825 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000602947144 359314438 /nfs/dbraw/zinc/31/44/38/359314438.db2.gz XBLCMJLIWXSHHA-YOEHRIQHSA-N 1 2 318.373 1.719 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000602947144 359314442 /nfs/dbraw/zinc/31/44/42/359314442.db2.gz XBLCMJLIWXSHHA-YOEHRIQHSA-N 1 2 318.373 1.719 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@H+](C)[C@H]1CCC[C@H]1C#N ZINC000602974099 359333891 /nfs/dbraw/zinc/33/38/91/359333891.db2.gz JURCMNZZKXUNEX-KKUMJFAQSA-N 1 2 321.421 1.555 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@@H+](C)[C@H]1CCC[C@H]1C#N ZINC000602974099 359333898 /nfs/dbraw/zinc/33/38/98/359333898.db2.gz JURCMNZZKXUNEX-KKUMJFAQSA-N 1 2 321.421 1.555 20 30 DDEDLO C[N@H+](CCO)Cc1cn(Cc2ccccc2C(C)(C)C#N)nn1 ZINC000603250171 359514594 /nfs/dbraw/zinc/51/45/94/359514594.db2.gz KHPQYDNQBKBSKY-UHFFFAOYSA-N 1 2 313.405 1.552 20 30 DDEDLO C[N@@H+](CCO)Cc1cn(Cc2ccccc2C(C)(C)C#N)nn1 ZINC000603250171 359514598 /nfs/dbraw/zinc/51/45/98/359514598.db2.gz KHPQYDNQBKBSKY-UHFFFAOYSA-N 1 2 313.405 1.552 20 30 DDEDLO Cc1ncoc1C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000329955121 223054059 /nfs/dbraw/zinc/05/40/59/223054059.db2.gz KLFXRCKBHXAVQO-AWEZNQCLSA-N 1 2 311.407 1.496 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000609538061 360321416 /nfs/dbraw/zinc/32/14/16/360321416.db2.gz KRHAPVQQKLOLML-ZACQAIPSSA-N 1 2 320.481 1.657 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609538245 360322120 /nfs/dbraw/zinc/32/21/20/360322120.db2.gz PCXROJPEQABEBN-HOTGVXAUSA-N 1 2 319.453 1.160 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CC(c2nc3ccccc3[nH]2)C1 ZINC000610847500 360552394 /nfs/dbraw/zinc/55/23/94/360552394.db2.gz YDQPFXPOMRYWNW-UHFFFAOYSA-N 1 2 311.389 1.724 20 30 DDEDLO Cc1ccccc1NC(=O)[C@@H](C)[NH+]1CCN(CCC#N)CC1 ZINC000611175244 360647896 /nfs/dbraw/zinc/64/78/96/360647896.db2.gz VVOYOCPGCQYBCO-OAHLLOKOSA-N 1 2 300.406 1.853 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)N[C@@H]3CCCSC3)CC2)cn1 ZINC000330082331 223072456 /nfs/dbraw/zinc/07/24/56/223072456.db2.gz ASFJRCLWEKJPRH-CQSZACIVSA-N 1 2 323.466 1.347 20 30 DDEDLO C[C@H](c1ccccc1)N(C)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611175435 360648240 /nfs/dbraw/zinc/64/82/40/360648240.db2.gz CGOJHAPGWYDWOT-MRXNPFEDSA-N 1 2 314.433 1.737 20 30 DDEDLO CC[C@H]1CCCCN1C(=O)[C@@H](C)[NH+]1CCN(CCC#N)CC1 ZINC000611176007 360649112 /nfs/dbraw/zinc/64/91/12/360649112.db2.gz ROVQORSBSUYIOY-CVEARBPZSA-N 1 2 306.454 1.697 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CC[C@H](C(F)F)C2)c1 ZINC000611179324 360651523 /nfs/dbraw/zinc/65/15/23/360651523.db2.gz APQREOQPIVMDTP-LBPRGKRZSA-N 1 2 314.357 1.919 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CC[C@H](C(F)F)C2)c1 ZINC000611179324 360651528 /nfs/dbraw/zinc/65/15/28/360651528.db2.gz APQREOQPIVMDTP-LBPRGKRZSA-N 1 2 314.357 1.919 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000611205126 360659000 /nfs/dbraw/zinc/65/90/00/360659000.db2.gz ZIDXGJDNZOHTMZ-ZDUSSCGKSA-N 1 2 308.426 1.128 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000611205126 360659007 /nfs/dbraw/zinc/65/90/07/360659007.db2.gz ZIDXGJDNZOHTMZ-ZDUSSCGKSA-N 1 2 308.426 1.128 20 30 DDEDLO C=C[C@H](O)C(=O)N(CC)CC1CCN(c2cccc[nH+]2)CC1 ZINC000611645076 360782930 /nfs/dbraw/zinc/78/29/30/360782930.db2.gz UGZCXLLNUMZYGC-HNNXBMFYSA-N 1 2 303.406 1.693 20 30 DDEDLO C[C@H](CCNC(=O)NCc1cn2c([nH+]1)CCCC2)[S@](C)=O ZINC000331113367 223186539 /nfs/dbraw/zinc/18/65/39/223186539.db2.gz KFXYAJHAMVNZQV-FIKIJFGZSA-N 1 2 312.439 1.380 20 30 DDEDLO N#C[C@@H]1CCC[C@H]1[NH2+][C@@H]1CCN(c2cccc([N+](=O)[O-])c2)C1=O ZINC000332111000 223211066 /nfs/dbraw/zinc/21/10/66/223211066.db2.gz JEMAQXWVMJCWEL-NILFDRSVSA-N 1 2 314.345 1.982 20 30 DDEDLO C=CCOC[C@H]([NH2+]C[C@H]1CCOc2ccccc21)C(=O)OC ZINC000619509438 364044143 /nfs/dbraw/zinc/04/41/43/364044143.db2.gz RDLOMGWBEGVMJI-HIFRSBDPSA-N 1 2 305.374 1.887 20 30 DDEDLO Cn1cc(C[N@@H+]2CCCN(C(=O)c3cc(C#N)ccn3)CC2)cn1 ZINC000619877431 364170254 /nfs/dbraw/zinc/17/02/54/364170254.db2.gz ZVESXUQOENXAGI-UHFFFAOYSA-N 1 2 324.388 1.035 20 30 DDEDLO Cn1cc(C[N@H+]2CCCN(C(=O)c3cc(C#N)ccn3)CC2)cn1 ZINC000619877431 364170258 /nfs/dbraw/zinc/17/02/58/364170258.db2.gz ZVESXUQOENXAGI-UHFFFAOYSA-N 1 2 324.388 1.035 20 30 DDEDLO C=CC[N@@H+](CCS(=O)(=O)NC)Cc1ccc(Cl)s1 ZINC000621243118 364743915 /nfs/dbraw/zinc/74/39/15/364743915.db2.gz LTUPXQZCHDWXHT-UHFFFAOYSA-N 1 2 308.856 1.939 20 30 DDEDLO C=CC[N@H+](CCS(=O)(=O)NC)Cc1ccc(Cl)s1 ZINC000621243118 364743921 /nfs/dbraw/zinc/74/39/21/364743921.db2.gz LTUPXQZCHDWXHT-UHFFFAOYSA-N 1 2 308.856 1.939 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2ccc(O[C@@H](C)C#N)cc2)C[C@@H](C)O1 ZINC000092013360 193142173 /nfs/dbraw/zinc/14/21/73/193142173.db2.gz UDHXVLSYPLIMEY-WOSRLPQWSA-N 1 2 318.373 1.740 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2ccc(O[C@@H](C)C#N)cc2)C[C@@H](C)O1 ZINC000092013360 193142174 /nfs/dbraw/zinc/14/21/74/193142174.db2.gz UDHXVLSYPLIMEY-WOSRLPQWSA-N 1 2 318.373 1.740 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(Cc2cn[nH]c2)CC1 ZINC000625480173 366955685 /nfs/dbraw/zinc/95/56/85/366955685.db2.gz UHRRKUQZALVAOO-OAHLLOKOSA-N 1 2 319.453 1.340 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)N[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000367320060 223594042 /nfs/dbraw/zinc/59/40/42/223594042.db2.gz PPMXXVFREXTZOY-KBPBESRZSA-N 1 2 301.394 1.851 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)N(CC(=O)OCC)c1ccccc1 ZINC000267282051 205951975 /nfs/dbraw/zinc/95/19/75/205951975.db2.gz IDSZYKDLEQBHHC-UHFFFAOYSA-N 1 2 302.374 1.538 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)N(CC(=O)OCC)c1ccccc1 ZINC000267282051 205951977 /nfs/dbraw/zinc/95/19/77/205951977.db2.gz IDSZYKDLEQBHHC-UHFFFAOYSA-N 1 2 302.374 1.538 20 30 DDEDLO N#CC1(c2ccccn2)CC[NH+](CC(=O)N2CCCCC2)CC1 ZINC000376594820 223696324 /nfs/dbraw/zinc/69/63/24/223696324.db2.gz HYSLSDWNAHLMLT-UHFFFAOYSA-N 1 2 312.417 1.951 20 30 DDEDLO Cc1c(Cl)cccc1OCC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000563816071 335024141 /nfs/dbraw/zinc/02/41/41/335024141.db2.gz ZQFGXICHOBOCIA-OAHLLOKOSA-N 1 2 309.797 1.987 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C2\CC[C@@H](C)C2)CC1 ZINC000267897998 206325721 /nfs/dbraw/zinc/32/57/21/206325721.db2.gz YVXIUEMCIYZLKV-WTNDLDAUSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C2\CC[C@@H](C)C2)CC1 ZINC000267897998 206325724 /nfs/dbraw/zinc/32/57/24/206325724.db2.gz YVXIUEMCIYZLKV-WTNDLDAUSA-N 1 2 317.433 1.017 20 30 DDEDLO Cc1cc(N2CCC[C@@H](N3CCCS3(=O)=O)C2)c(C#N)c[nH+]1 ZINC000333538527 249016424 /nfs/dbraw/zinc/01/64/24/249016424.db2.gz ZMUNWZLAWLRFJS-CQSZACIVSA-N 1 2 320.418 1.266 20 30 DDEDLO C=C(Br)C[N@H+]1CCCN(Cc2noc(C)n2)CC1 ZINC000105916868 194168134 /nfs/dbraw/zinc/16/81/34/194168134.db2.gz KKPSDYHQQOQECG-UHFFFAOYSA-N 1 2 315.215 1.794 20 30 DDEDLO C=C(Br)C[N@@H+]1CCCN(Cc2noc(C)n2)CC1 ZINC000105916868 194168137 /nfs/dbraw/zinc/16/81/37/194168137.db2.gz KKPSDYHQQOQECG-UHFFFAOYSA-N 1 2 315.215 1.794 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(C#N)cc3)C[C@H]21 ZINC000285300009 218371512 /nfs/dbraw/zinc/37/15/12/218371512.db2.gz RLGMTKNHSJHSIL-HUUCEWRRSA-N 1 2 300.362 1.495 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(C#N)cc3)C[C@H]21 ZINC000285300009 218371514 /nfs/dbraw/zinc/37/15/14/218371514.db2.gz RLGMTKNHSJHSIL-HUUCEWRRSA-N 1 2 300.362 1.495 20 30 DDEDLO C=C(C)CNC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000156212451 197095643 /nfs/dbraw/zinc/09/56/43/197095643.db2.gz REYJWFXTSMRPJS-MRXNPFEDSA-N 1 2 303.406 1.935 20 30 DDEDLO Cc1nsc(N2CC[NH+](CCN3CCCC3=O)CC2)c1C#N ZINC000289509574 221028206 /nfs/dbraw/zinc/02/82/06/221028206.db2.gz QWMHIRNMTZLBPE-UHFFFAOYSA-N 1 2 319.434 1.068 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1Cc1ccc(C#N)cc1F ZINC000289839105 221260178 /nfs/dbraw/zinc/26/01/78/221260178.db2.gz OZKSNDUBCUVVHO-CXAGYDPISA-N 1 2 303.381 1.992 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NCC(F)(F)F)[N@H+](C)CC(C)(C)C#N ZINC000433325911 533228428 /nfs/dbraw/zinc/22/84/28/533228428.db2.gz LKWQRULFYPEANB-QMMMGPOBSA-N 1 2 308.304 1.245 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NCC(F)(F)F)[N@@H+](C)CC(C)(C)C#N ZINC000433325911 533228429 /nfs/dbraw/zinc/22/84/29/533228429.db2.gz LKWQRULFYPEANB-QMMMGPOBSA-N 1 2 308.304 1.245 20 30 DDEDLO CC[N@H+](C[C@H](O)c1ccc(C#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000122002409 195389063 /nfs/dbraw/zinc/38/90/63/195389063.db2.gz FLTVITWHDOMRPB-CABCVRRESA-N 1 2 308.403 1.101 20 30 DDEDLO CC[N@@H+](C[C@H](O)c1ccc(C#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000122002409 195389065 /nfs/dbraw/zinc/38/90/65/195389065.db2.gz FLTVITWHDOMRPB-CABCVRRESA-N 1 2 308.403 1.101 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCCC[C@H](S(C)(=O)=O)C1 ZINC000444801960 533269497 /nfs/dbraw/zinc/26/94/97/533269497.db2.gz LQLIGZJZJFQHKI-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCCC[C@H](S(C)(=O)=O)C1 ZINC000444801960 533269501 /nfs/dbraw/zinc/26/95/01/533269501.db2.gz LQLIGZJZJFQHKI-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NCC2([NH+]3CCOCC3)CC2)c1 ZINC000579679640 422810967 /nfs/dbraw/zinc/81/09/67/422810967.db2.gz BVDULMMTJILKPF-UHFFFAOYSA-N 1 2 314.389 1.853 20 30 DDEDLO COc1cc(C)ccc1NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C ZINC000330730719 533673258 /nfs/dbraw/zinc/67/32/58/533673258.db2.gz IHQDBTXGIZGPRR-ZDUSSCGKSA-N 1 2 306.410 1.575 20 30 DDEDLO COc1cc(C)ccc1NC(=O)NC[C@H]1CN(C)CC[N@H+]1C ZINC000330730719 533673263 /nfs/dbraw/zinc/67/32/63/533673263.db2.gz IHQDBTXGIZGPRR-ZDUSSCGKSA-N 1 2 306.410 1.575 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)s1 ZINC000128695841 407563524 /nfs/dbraw/zinc/56/35/24/407563524.db2.gz PZVOSCJCORSJFI-NEPJUHHUSA-N 1 2 324.450 1.580 20 30 DDEDLO C#CCCOc1ccc(C[NH+]2CCN(C(=O)NC)CC2)cc1 ZINC000271192592 407565446 /nfs/dbraw/zinc/56/54/46/407565446.db2.gz QLNUBQGJQUEXSA-UHFFFAOYSA-N 1 2 301.390 1.546 20 30 DDEDLO CC[C@@](C)(CO)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000570292658 304405422 /nfs/dbraw/zinc/40/54/22/304405422.db2.gz UCZZXUUWKWEGQT-SFHVURJKSA-N 1 2 315.417 1.611 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000070432147 406815743 /nfs/dbraw/zinc/81/57/43/406815743.db2.gz QSAHGSQCJUTRJY-LBPRGKRZSA-N 1 2 304.375 1.430 20 30 DDEDLO CC(=O)N[C@H]1CCCN(C(=O)C[N@@H+](CCC#N)CCC(C)C)C1 ZINC000072692099 406884355 /nfs/dbraw/zinc/88/43/55/406884355.db2.gz FMUXIQCXVSBELR-INIZCTEOSA-N 1 2 322.453 1.375 20 30 DDEDLO CC(=O)N[C@H]1CCCN(C(=O)C[N@H+](CCC#N)CCC(C)C)C1 ZINC000072692099 406884356 /nfs/dbraw/zinc/88/43/56/406884356.db2.gz FMUXIQCXVSBELR-INIZCTEOSA-N 1 2 322.453 1.375 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH+]1CCN(c2ccccc2)CC1 ZINC000024235487 406892886 /nfs/dbraw/zinc/89/28/86/406892886.db2.gz UMLCKBBPODAXIE-CQSZACIVSA-N 1 2 316.405 1.209 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1cccc(OC(F)F)c1 ZINC000078640116 407037958 /nfs/dbraw/zinc/03/79/58/407037958.db2.gz ONYWGMWHOHOCDR-UHFFFAOYSA-N 1 2 315.320 1.409 20 30 DDEDLO N#Cc1cccnc1N1CCC[N@H+](Cc2nc(C3CC3)no2)CC1 ZINC000064632849 407238752 /nfs/dbraw/zinc/23/87/52/407238752.db2.gz AIZHAGBPSMFXHR-UHFFFAOYSA-N 1 2 324.388 1.926 20 30 DDEDLO N#Cc1cccnc1N1CCC[N@@H+](Cc2nc(C3CC3)no2)CC1 ZINC000064632849 407238754 /nfs/dbraw/zinc/23/87/54/407238754.db2.gz AIZHAGBPSMFXHR-UHFFFAOYSA-N 1 2 324.388 1.926 20 30 DDEDLO C=CCNc1nnc(SCC(=O)N2CC[NH+](C)CC2)s1 ZINC000098068584 407304962 /nfs/dbraw/zinc/30/49/62/407304962.db2.gz JTZDBOQTFXEPTA-UHFFFAOYSA-N 1 2 313.452 1.002 20 30 DDEDLO CC[N@@H+](CC(=O)NCCN1CCc2ccccc21)C[C@@H](C)C#N ZINC000098134475 407306354 /nfs/dbraw/zinc/30/63/54/407306354.db2.gz YQEMKWHVJMASEA-HNNXBMFYSA-N 1 2 314.433 1.647 20 30 DDEDLO CC[N@H+](CC(=O)NCCN1CCc2ccccc21)C[C@@H](C)C#N ZINC000098134475 407306356 /nfs/dbraw/zinc/30/63/56/407306356.db2.gz YQEMKWHVJMASEA-HNNXBMFYSA-N 1 2 314.433 1.647 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2cccc(OCC)n2)CC1 ZINC000106873683 407364095 /nfs/dbraw/zinc/36/40/95/407364095.db2.gz APTLKYPLPKNZMR-AWEZNQCLSA-N 1 2 318.421 1.293 20 30 DDEDLO CC(C)C[C@H](CNC(=O)c1ccc(C#N)cn1)[NH+]1CCOCC1 ZINC000107544314 407376234 /nfs/dbraw/zinc/37/62/34/407376234.db2.gz IOFIHPSEBGHVLY-OAHLLOKOSA-N 1 2 316.405 1.430 20 30 DDEDLO CCNC(=O)N1CC[NH+](Cc2cc(C#N)ccc2OC)CC1 ZINC000127011958 407426019 /nfs/dbraw/zinc/42/60/19/407426019.db2.gz GMVHQCLOCWJWQE-UHFFFAOYSA-N 1 2 302.378 1.414 20 30 DDEDLO C=CCCOCCNC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000127174696 407429973 /nfs/dbraw/zinc/42/99/73/407429973.db2.gz MHRZTZOBZQWHIN-UHFFFAOYSA-N 1 2 304.394 1.506 20 30 DDEDLO CC#CCN1CC[NH+](Cc2cc(OC)c(OC)c(OC)c2)CC1 ZINC000178469983 407464470 /nfs/dbraw/zinc/46/44/70/407464470.db2.gz ZNYNWWJUQKSQRC-UHFFFAOYSA-N 1 2 318.417 1.853 20 30 DDEDLO CCOCCO[NH+]=C(N)c1ccc(-n2nc(C)cc2C)nc1 ZINC000127819576 407468017 /nfs/dbraw/zinc/46/80/17/407468017.db2.gz GEQGZRCBBZZHSC-UHFFFAOYSA-N 1 2 303.366 1.558 20 30 DDEDLO C#C[C@@H](C)NC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000185981386 407557598 /nfs/dbraw/zinc/55/75/98/407557598.db2.gz OCKFUCVEIJUAMW-CQSZACIVSA-N 1 2 301.390 1.340 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1ccc(C#N)cc1 ZINC000170875200 407541226 /nfs/dbraw/zinc/54/12/26/407541226.db2.gz MFRGOUPDKSXWMZ-UHFFFAOYSA-N 1 2 302.378 1.791 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1ccc(C#N)cc1 ZINC000170875200 407541232 /nfs/dbraw/zinc/54/12/32/407541232.db2.gz MFRGOUPDKSXWMZ-UHFFFAOYSA-N 1 2 302.378 1.791 20 30 DDEDLO C=CC[N@@H+](Cc1nnc2n1c1ccsc1c(=O)n2C)C1CC1 ZINC000271535289 407719650 /nfs/dbraw/zinc/71/96/50/407719650.db2.gz XYHNXBIJYRTJCA-UHFFFAOYSA-N 1 2 315.402 1.793 20 30 DDEDLO C=CC[N@H+](Cc1nnc2n1c1ccsc1c(=O)n2C)C1CC1 ZINC000271535289 407719655 /nfs/dbraw/zinc/71/96/55/407719655.db2.gz XYHNXBIJYRTJCA-UHFFFAOYSA-N 1 2 315.402 1.793 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)NCc1ccc(C#N)cc1)[NH+]1CCOCC1 ZINC000171617076 407720971 /nfs/dbraw/zinc/72/09/71/407720971.db2.gz QDYUNEDWQAOTHD-UONOGXRCSA-N 1 2 316.405 1.467 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@@](C)(c3ccccc3)CC2=O)CC1 ZINC000186663093 407734998 /nfs/dbraw/zinc/73/49/98/407734998.db2.gz KNQKABJZVFSYOJ-IBGZPJMESA-N 1 2 311.429 1.385 20 30 DDEDLO COc1ccc(C[N@H+](C)[C@@H](C)[C@@H](C)S(C)(=O)=O)cc1C#N ZINC000135079276 407971089 /nfs/dbraw/zinc/97/10/89/407971089.db2.gz BFHRFCQPKJLHBR-NWDGAFQWSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1ccc(C[N@@H+](C)[C@@H](C)[C@@H](C)S(C)(=O)=O)cc1C#N ZINC000135079276 407971094 /nfs/dbraw/zinc/97/10/94/407971094.db2.gz BFHRFCQPKJLHBR-NWDGAFQWSA-N 1 2 310.419 1.820 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1CCOCC(F)F ZINC000189543280 408047585 /nfs/dbraw/zinc/04/75/85/408047585.db2.gz HOVDIQPWUXIRFE-NSHDSACASA-N 1 2 311.336 1.140 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1CCOCC(F)F ZINC000189543280 408047589 /nfs/dbraw/zinc/04/75/89/408047589.db2.gz HOVDIQPWUXIRFE-NSHDSACASA-N 1 2 311.336 1.140 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[N@H+](CCC#N)CC1CC1 ZINC000119106773 407997079 /nfs/dbraw/zinc/99/70/79/407997079.db2.gz RPWCTAAWGYWDPB-UHFFFAOYSA-N 1 2 317.437 1.691 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[N@@H+](CCC#N)CC1CC1 ZINC000119106773 407997084 /nfs/dbraw/zinc/99/70/84/407997084.db2.gz RPWCTAAWGYWDPB-UHFFFAOYSA-N 1 2 317.437 1.691 20 30 DDEDLO CNS(=O)(=O)C1CC[NH+](Cc2cc(F)ccc2C#N)CC1 ZINC000268322252 407998660 /nfs/dbraw/zinc/99/86/60/407998660.db2.gz UFXJWUYIVDCKIE-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@@H]1CCCO1 ZINC000147420568 408189937 /nfs/dbraw/zinc/18/99/37/408189937.db2.gz NISDYTNPBSFRBO-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@@H]1CCCO1 ZINC000147420568 408189944 /nfs/dbraw/zinc/18/99/44/408189944.db2.gz NISDYTNPBSFRBO-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@@H](O)C[N@H+](C)C[C@@H](C)C#N)c1 ZINC000263680993 408203339 /nfs/dbraw/zinc/20/33/39/408203339.db2.gz JHGDHKSWGUAWGB-ZFWWWQNUSA-N 1 2 320.389 1.694 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@@H](O)C[N@@H+](C)C[C@@H](C)C#N)c1 ZINC000263680993 408203346 /nfs/dbraw/zinc/20/33/46/408203346.db2.gz JHGDHKSWGUAWGB-ZFWWWQNUSA-N 1 2 320.389 1.694 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](N(C)C(=O)OC(C)(C)C)C1 ZINC000263697794 408209742 /nfs/dbraw/zinc/20/97/42/408209742.db2.gz MPZSPRTYRUDDHZ-ZDUSSCGKSA-N 1 2 311.426 1.620 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](N(C)C(=O)OC(C)(C)C)C1 ZINC000263697794 408209746 /nfs/dbraw/zinc/20/97/46/408209746.db2.gz MPZSPRTYRUDDHZ-ZDUSSCGKSA-N 1 2 311.426 1.620 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+][C@@H](C)c1nnc2ccccn21 ZINC000182735186 408235419 /nfs/dbraw/zinc/23/54/19/408235419.db2.gz WIVFEROSSGMFQL-LBPRGKRZSA-N 1 2 300.366 1.142 20 30 DDEDLO CCC(C)(C)NC(=O)[C@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158141952 408328697 /nfs/dbraw/zinc/32/86/97/408328697.db2.gz MNRZAUPYHGJMLE-NSHDSACASA-N 1 2 319.405 1.952 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2[nH]nc(C(C)C)c2[N+](=O)[O-])CC1 ZINC000269447175 408313352 /nfs/dbraw/zinc/31/33/52/408313352.db2.gz QOGJOIYEDAJYMH-UHFFFAOYSA-N 1 2 319.365 1.222 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2n[nH]c(C(C)C)c2[N+](=O)[O-])CC1 ZINC000269447175 408313355 /nfs/dbraw/zinc/31/33/55/408313355.db2.gz QOGJOIYEDAJYMH-UHFFFAOYSA-N 1 2 319.365 1.222 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1cccc(C(=O)NC2CC2)c1)C1CC1 ZINC000274248849 408338948 /nfs/dbraw/zinc/33/89/48/408338948.db2.gz ZEJZTTGIRLZFIA-UHFFFAOYSA-N 1 2 311.385 1.615 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1cccc(C(=O)NC2CC2)c1)C1CC1 ZINC000274248849 408338952 /nfs/dbraw/zinc/33/89/52/408338952.db2.gz ZEJZTTGIRLZFIA-UHFFFAOYSA-N 1 2 311.385 1.615 20 30 DDEDLO COc1cccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)n1 ZINC000176366844 408348938 /nfs/dbraw/zinc/34/89/38/408348938.db2.gz IZJNKUHHAOJNCO-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO COc1cccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)n1 ZINC000176366844 408348944 /nfs/dbraw/zinc/34/89/44/408348944.db2.gz IZJNKUHHAOJNCO-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@@H+]3CCC4(C3)OCCO4)o2)cc1 ZINC000173327202 162334275 /nfs/dbraw/zinc/33/42/75/162334275.db2.gz LIALDZDJRSBNQB-UHFFFAOYSA-N 1 2 312.329 1.557 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@H+]3CCC4(C3)OCCO4)o2)cc1 ZINC000173327202 162334282 /nfs/dbraw/zinc/33/42/82/162334282.db2.gz LIALDZDJRSBNQB-UHFFFAOYSA-N 1 2 312.329 1.557 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)[nH]1 ZINC000269668371 408385024 /nfs/dbraw/zinc/38/50/24/408385024.db2.gz AHRFDBHQGCXQHJ-UHFFFAOYSA-N 1 2 319.368 1.716 20 30 DDEDLO CS(=O)(=O)N1CCC[N@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000176801599 408447425 /nfs/dbraw/zinc/44/74/25/408447425.db2.gz KMIFJPSHDVRGMA-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO CS(=O)(=O)N1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000176801599 408447429 /nfs/dbraw/zinc/44/74/29/408447429.db2.gz KMIFJPSHDVRGMA-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO COC(=O)C1([N@H+](C)CC(=O)N[C@](C)(C#N)C(C)C)CCCC1 ZINC000159266940 408397323 /nfs/dbraw/zinc/39/73/23/408397323.db2.gz WEJJRJXIMMOKLV-OAHLLOKOSA-N 1 2 309.410 1.458 20 30 DDEDLO COC(=O)C1([N@@H+](C)CC(=O)N[C@](C)(C#N)C(C)C)CCCC1 ZINC000159266940 408397330 /nfs/dbraw/zinc/39/73/30/408397330.db2.gz WEJJRJXIMMOKLV-OAHLLOKOSA-N 1 2 309.410 1.458 20 30 DDEDLO C[C@@H]1CCC[C@@H](CO)[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000269704346 408397372 /nfs/dbraw/zinc/39/73/72/408397372.db2.gz NEFMYUWAFDWWEY-HIFRSBDPSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@@H]1CCC[C@@H](CO)[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000269704346 408397379 /nfs/dbraw/zinc/39/73/79/408397379.db2.gz NEFMYUWAFDWWEY-HIFRSBDPSA-N 1 2 322.430 1.567 20 30 DDEDLO C#CC[NH+]1CCN(c2ccc3nnc(-c4ccccc4)n3n2)CC1 ZINC000264290786 408430343 /nfs/dbraw/zinc/43/03/43/408430343.db2.gz KAQDGUQUHAPJRJ-UHFFFAOYSA-N 1 2 318.384 1.547 20 30 DDEDLO CS(=O)(=O)CC[N@H+](Cc1ccc(OCC#N)cc1)C1CC1 ZINC000269899522 408461936 /nfs/dbraw/zinc/46/19/36/408461936.db2.gz WMYRROJLCIDJHU-UHFFFAOYSA-N 1 2 308.403 1.598 20 30 DDEDLO CS(=O)(=O)CC[N@@H+](Cc1ccc(OCC#N)cc1)C1CC1 ZINC000269899522 408461942 /nfs/dbraw/zinc/46/19/42/408461942.db2.gz WMYRROJLCIDJHU-UHFFFAOYSA-N 1 2 308.403 1.598 20 30 DDEDLO C#CCCNC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000270502941 408554569 /nfs/dbraw/zinc/55/45/69/408554569.db2.gz IHOBLTGTYFJKKM-UHFFFAOYSA-N 1 2 310.357 1.529 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCC(C(=O)N2CCC[C@@H](C)C2)CC1 ZINC000192233155 408561306 /nfs/dbraw/zinc/56/13/06/408561306.db2.gz BQWYYWOJJQYHFN-OAHLLOKOSA-N 1 2 319.449 1.049 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCC(C(=O)N2CCC[C@@H](C)C2)CC1 ZINC000192233155 408561314 /nfs/dbraw/zinc/56/13/14/408561314.db2.gz BQWYYWOJJQYHFN-OAHLLOKOSA-N 1 2 319.449 1.049 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)[C@H](C)C[S@@](C)=O)c(C#N)c1C ZINC000248500392 408579217 /nfs/dbraw/zinc/57/92/17/408579217.db2.gz SQBSLTFZMKXPHT-AOUSDQRYSA-N 1 2 311.407 1.405 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)[C@H](C)C[S@@](C)=O)c(C#N)c1C ZINC000248500392 408579220 /nfs/dbraw/zinc/57/92/20/408579220.db2.gz SQBSLTFZMKXPHT-AOUSDQRYSA-N 1 2 311.407 1.405 20 30 DDEDLO COC(=O)[C@]1(C)CCC[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000275093876 408579337 /nfs/dbraw/zinc/57/93/37/408579337.db2.gz IPGSDBXITJODPF-KRWDZBQOSA-N 1 2 315.373 1.202 20 30 DDEDLO COC(=O)[C@]1(C)CCC[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000275093876 408579342 /nfs/dbraw/zinc/57/93/42/408579342.db2.gz IPGSDBXITJODPF-KRWDZBQOSA-N 1 2 315.373 1.202 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000275675517 408643067 /nfs/dbraw/zinc/64/30/67/408643067.db2.gz GPRUTTJANFTWBQ-GOSISDBHSA-N 1 2 317.433 1.955 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)[C@H]1CCN(C)C1=O ZINC000265670208 408741668 /nfs/dbraw/zinc/74/16/68/408741668.db2.gz WUQVUSLVORIYJY-FZMZJTMJSA-N 1 2 300.362 1.048 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)[C@H]1CCN(C)C1=O ZINC000265670208 408741677 /nfs/dbraw/zinc/74/16/77/408741677.db2.gz WUQVUSLVORIYJY-FZMZJTMJSA-N 1 2 300.362 1.048 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)Nc2cc(C#N)ccc2NC2CC2)C1 ZINC000285836380 408874358 /nfs/dbraw/zinc/87/43/58/408874358.db2.gz GDYOIVZRWDBAIF-OAHLLOKOSA-N 1 2 300.362 1.402 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)Nc2cc(C#N)ccc2NC2CC2)C1 ZINC000285836380 408874361 /nfs/dbraw/zinc/87/43/61/408874361.db2.gz GDYOIVZRWDBAIF-OAHLLOKOSA-N 1 2 300.362 1.402 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2c(C)nc3sc(C)nn23)C1=O ZINC000281223428 408875808 /nfs/dbraw/zinc/87/58/08/408875808.db2.gz KOBUVEFRGHZNGH-GFCCVEGCSA-N 1 2 319.434 1.626 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2c(C)nc3sc(C)nn23)C1=O ZINC000281223428 408875810 /nfs/dbraw/zinc/87/58/10/408875810.db2.gz KOBUVEFRGHZNGH-GFCCVEGCSA-N 1 2 319.434 1.626 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCC(F)(F)[C@@H](CO)C2)c1 ZINC000291640580 408899825 /nfs/dbraw/zinc/89/98/25/408899825.db2.gz DSEPIDILMOOQOT-GFCCVEGCSA-N 1 2 309.316 1.446 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCC(F)(F)[C@@H](CO)C2)c1 ZINC000291640580 408899829 /nfs/dbraw/zinc/89/98/29/408899829.db2.gz DSEPIDILMOOQOT-GFCCVEGCSA-N 1 2 309.316 1.446 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CCN(C(=O)C=Cc2c[nH]c[nH+]2)CC1 ZINC000277281104 408911832 /nfs/dbraw/zinc/91/18/32/408911832.db2.gz CFGSYIWDWHSZBJ-LXXRFIIISA-N 1 2 321.384 1.832 20 30 DDEDLO CC(C)O[C@@H](CO[NH+]=C(N)CN1CCOCC1)c1ccccc1 ZINC000291825319 408928630 /nfs/dbraw/zinc/92/86/30/408928630.db2.gz FIFMTAOGLPYXGC-INIZCTEOSA-N 1 2 321.421 1.774 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCO[C@H](Cc3ccccc3)C2)C1=O ZINC000282025773 408974877 /nfs/dbraw/zinc/97/48/77/408974877.db2.gz POACGYGYTKEWAN-SJORKVTESA-N 1 2 300.402 1.717 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCO[C@H](Cc3ccccc3)C2)C1=O ZINC000282025773 408974879 /nfs/dbraw/zinc/97/48/79/408974879.db2.gz POACGYGYTKEWAN-SJORKVTESA-N 1 2 300.402 1.717 20 30 DDEDLO CS(=O)(=O)CC1CC[NH+](CCOc2cccc(C#N)c2)CC1 ZINC000292167775 408993242 /nfs/dbraw/zinc/99/32/42/408993242.db2.gz PHLRDRYIWLNSCS-UHFFFAOYSA-N 1 2 322.430 1.694 20 30 DDEDLO CC(C)CNC(=O)C[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292375445 409027669 /nfs/dbraw/zinc/02/76/69/409027669.db2.gz YWCGMTOOFKMHFA-CQSZACIVSA-N 1 2 302.378 1.179 20 30 DDEDLO CC(C)CNC(=O)C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292375445 409027670 /nfs/dbraw/zinc/02/76/70/409027670.db2.gz YWCGMTOOFKMHFA-CQSZACIVSA-N 1 2 302.378 1.179 20 30 DDEDLO C=CCC(F)(F)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000292943561 409046644 /nfs/dbraw/zinc/04/66/44/409046644.db2.gz BISUMGBAAAMHGH-UHFFFAOYSA-N 1 2 311.332 1.746 20 30 DDEDLO C#CCN(CC(=O)Nc1nc(C[NH+]2CCCC2)cs1)C1CC1 ZINC000293039530 409049424 /nfs/dbraw/zinc/04/94/24/409049424.db2.gz DXVYIQOUJOIHPJ-UHFFFAOYSA-N 1 2 318.446 1.775 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](CCOC)Cc1ccccn1 ZINC000287546685 409052851 /nfs/dbraw/zinc/05/28/51/409052851.db2.gz WFGMJCVORLLVAZ-UHFFFAOYSA-N 1 2 305.422 1.955 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](CCOC)Cc1ccccn1 ZINC000287546685 409052853 /nfs/dbraw/zinc/05/28/53/409052853.db2.gz WFGMJCVORLLVAZ-UHFFFAOYSA-N 1 2 305.422 1.955 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@H+](C)C[C@H](C)C#N)cc1 ZINC000293160043 409060212 /nfs/dbraw/zinc/06/02/12/409060212.db2.gz RLACPHKZOXDBBG-GDBMZVCRSA-N 1 2 306.406 1.707 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@@H+](C)C[C@H](C)C#N)cc1 ZINC000293160043 409060213 /nfs/dbraw/zinc/06/02/13/409060213.db2.gz RLACPHKZOXDBBG-GDBMZVCRSA-N 1 2 306.406 1.707 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CC(=O)NCC2(C)C)cc1OC ZINC000282826300 409064772 /nfs/dbraw/zinc/06/47/72/409064772.db2.gz UNBGETIMRKGNKU-UHFFFAOYSA-N 1 2 304.390 1.970 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CC(=O)NCC2(C)C)cc1OC ZINC000282826300 409064774 /nfs/dbraw/zinc/06/47/74/409064774.db2.gz UNBGETIMRKGNKU-UHFFFAOYSA-N 1 2 304.390 1.970 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)NCc3ccc(C#N)cc3)C[C@H]21 ZINC000283820290 409223687 /nfs/dbraw/zinc/22/36/87/409223687.db2.gz CRJKHFSMUIUQJU-CVEARBPZSA-N 1 2 314.389 1.173 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)NCc3ccc(C#N)cc3)C[C@H]21 ZINC000283820290 409223688 /nfs/dbraw/zinc/22/36/88/409223688.db2.gz CRJKHFSMUIUQJU-CVEARBPZSA-N 1 2 314.389 1.173 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@H](C)C(=O)N(C)CCC#N)n1 ZINC000279657639 409246608 /nfs/dbraw/zinc/24/66/08/409246608.db2.gz CJWLMIDYHMPBIA-CQSZACIVSA-N 1 2 301.394 1.099 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@H](C)C(=O)N(C)CCC#N)n1 ZINC000279657639 409246609 /nfs/dbraw/zinc/24/66/09/409246609.db2.gz CJWLMIDYHMPBIA-CQSZACIVSA-N 1 2 301.394 1.099 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)N(C)Cc1cccc(F)c1 ZINC000284022753 409259129 /nfs/dbraw/zinc/25/91/29/409259129.db2.gz IEAVGHYXMQKXMB-SMDDNHRTSA-N 1 2 323.368 1.640 20 30 DDEDLO CN(CC[N@H+](C)C1CC[NH+](C)CC1)Cc1cc(C#N)n(C)c1 ZINC000289388444 409260051 /nfs/dbraw/zinc/26/00/51/409260051.db2.gz DOPNJAKDUHVVRA-UHFFFAOYSA-N 1 2 303.454 1.355 20 30 DDEDLO CN(CC[N@@H+](C)C1CC[NH+](C)CC1)Cc1cc(C#N)n(C)c1 ZINC000289388444 409260054 /nfs/dbraw/zinc/26/00/54/409260054.db2.gz DOPNJAKDUHVVRA-UHFFFAOYSA-N 1 2 303.454 1.355 20 30 DDEDLO O=c1nc([C@@H]2CCC[N@@H+](CC#Cc3cccc(Cl)c3)C2)[nH][nH]1 ZINC000289386401 409260245 /nfs/dbraw/zinc/26/02/45/409260245.db2.gz JQLIZDLJOYNFMZ-CYBMUJFWSA-N 1 2 316.792 1.983 20 30 DDEDLO O=c1nc([C@@H]2CCC[N@H+](CC#Cc3cccc(Cl)c3)C2)[nH][nH]1 ZINC000289386401 409260246 /nfs/dbraw/zinc/26/02/46/409260246.db2.gz JQLIZDLJOYNFMZ-CYBMUJFWSA-N 1 2 316.792 1.983 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ncccc3C#N)CC2)nn1 ZINC000283714174 409201558 /nfs/dbraw/zinc/20/15/58/409201558.db2.gz ZVNMDLORDJEXOC-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO C#CCO[C@@H](C)C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000294470989 409291747 /nfs/dbraw/zinc/29/17/47/409291747.db2.gz NIEWQRDQXDJJGE-AWEZNQCLSA-N 1 2 302.374 1.496 20 30 DDEDLO C=CCn1c([C@H]2CCCO2)nnc1N(C)CC[NH+]1CCOCC1 ZINC000295987667 409391506 /nfs/dbraw/zinc/39/15/06/409391506.db2.gz PFIIXIIRQVEAHB-CQSZACIVSA-N 1 2 321.425 1.084 20 30 DDEDLO NC(=[NH+]O[C@@H]1CCCN(c2cccc(F)c2)C1=O)[C@@H]1CCCO1 ZINC000284596254 409350603 /nfs/dbraw/zinc/35/06/03/409350603.db2.gz YFJURZTXEWKJME-UONOGXRCSA-N 1 2 321.352 1.789 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCCO3)n2CC)CC1 ZINC000296068696 409419182 /nfs/dbraw/zinc/41/91/82/409419182.db2.gz WLDYLZYHXPTBGK-CQSZACIVSA-N 1 2 303.410 1.295 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNc2nc3cc(Cl)ccn3c2C#N)C1 ZINC000342228600 409567331 /nfs/dbraw/zinc/56/73/31/409567331.db2.gz UADGTTZGVHDQDY-GFCCVEGCSA-N 1 2 318.812 1.517 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNc2nc3cc(Cl)ccn3c2C#N)C1 ZINC000342228600 409567335 /nfs/dbraw/zinc/56/73/35/409567335.db2.gz UADGTTZGVHDQDY-GFCCVEGCSA-N 1 2 318.812 1.517 20 30 DDEDLO C#CCNC(=O)CC[NH+]1CC(OC(=O)Nc2cccc(F)c2)C1 ZINC000296375399 409518287 /nfs/dbraw/zinc/51/82/87/409518287.db2.gz VXTLIJHEBZFXOQ-UHFFFAOYSA-N 1 2 319.336 1.198 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@@H+]1Cc1ccc(C#N)cc1F ZINC000354058423 409614745 /nfs/dbraw/zinc/61/47/45/409614745.db2.gz HLCOFEOKMKQIQQ-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@H+]1Cc1ccc(C#N)cc1F ZINC000354058423 409614748 /nfs/dbraw/zinc/61/47/48/409614748.db2.gz HLCOFEOKMKQIQQ-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@H+](C)[C@@H](C)[C@@H]2C)cc1C#N ZINC000356981721 409765673 /nfs/dbraw/zinc/76/56/73/409765673.db2.gz RRMWJBWSWSQTFS-UWVGGRQHSA-N 1 2 320.418 1.545 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@@H+](C)[C@@H](C)[C@@H]2C)cc1C#N ZINC000356981721 409765676 /nfs/dbraw/zinc/76/56/76/409765676.db2.gz RRMWJBWSWSQTFS-UWVGGRQHSA-N 1 2 320.418 1.545 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+]([C@H](C)c3cccnc3)CC2)c1C#N ZINC000349859180 409912760 /nfs/dbraw/zinc/91/27/60/409912760.db2.gz YYGKJUXZRKSMEB-CQSZACIVSA-N 1 2 310.405 1.878 20 30 DDEDLO CCN1CCN(C(=O)NC[C@@H]2CCOC2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328619140 409938676 /nfs/dbraw/zinc/93/86/76/409938676.db2.gz FOFQIRDNOBOYCT-QWHCGFSZSA-N 1 2 307.398 1.039 20 30 DDEDLO C[C@H](CNC(=O)N1CCC[C@@H]1[C@H]1CCCO1)[NH+]1CCOCC1 ZINC000328853003 409992413 /nfs/dbraw/zinc/99/24/13/409992413.db2.gz BWLAQIRPTXWWHP-RBSFLKMASA-N 1 2 311.426 1.265 20 30 DDEDLO Cn1cc(OCC(=O)Nc2nc3c(s2)C[N@H+](C)CC3)cn1 ZINC000328640046 409946688 /nfs/dbraw/zinc/94/66/88/409946688.db2.gz CISTZQLBTXCJAJ-UHFFFAOYSA-N 1 2 307.379 1.532 20 30 DDEDLO Cn1cc(OCC(=O)Nc2nc3c(s2)C[N@@H+](C)CC3)cn1 ZINC000328640046 409946697 /nfs/dbraw/zinc/94/66/97/409946697.db2.gz CISTZQLBTXCJAJ-UHFFFAOYSA-N 1 2 307.379 1.532 20 30 DDEDLO Cc1noc(C2CC2)c1NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000328946811 410016012 /nfs/dbraw/zinc/01/60/12/410016012.db2.gz BFSHOQLZBCVMEY-STQMWFEESA-N 1 2 320.393 1.811 20 30 DDEDLO Cc1noc(C2CC2)c1NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000328946811 410016017 /nfs/dbraw/zinc/01/60/17/410016017.db2.gz BFSHOQLZBCVMEY-STQMWFEESA-N 1 2 320.393 1.811 20 30 DDEDLO CCc1csc(NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)n1 ZINC000328958395 410019294 /nfs/dbraw/zinc/01/92/94/410019294.db2.gz JUAWLAMHWSHZHY-RYUDHWBXSA-N 1 2 310.423 1.656 20 30 DDEDLO CCc1csc(NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)n1 ZINC000328958395 410019297 /nfs/dbraw/zinc/01/92/97/410019297.db2.gz JUAWLAMHWSHZHY-RYUDHWBXSA-N 1 2 310.423 1.656 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1cnc2n[nH]nc2c1)[NH+]1CCOCC1 ZINC000329096089 410100780 /nfs/dbraw/zinc/10/07/80/410100780.db2.gz BOFBNLZLJNXBMJ-ZDUSSCGKSA-N 1 2 318.381 1.014 20 30 DDEDLO C[C@@]1(NC(=O)NCCc2cn3c([nH+]2)CCCC3)CCCOC1 ZINC000329157520 410133651 /nfs/dbraw/zinc/13/36/51/410133651.db2.gz WIGXQUINYOLIGC-MRXNPFEDSA-N 1 2 306.410 1.835 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2SCC(=O)NC1(C#N)CCC1 ZINC000329224260 410174553 /nfs/dbraw/zinc/17/45/53/410174553.db2.gz ZJXJZRXGNSMNTQ-UHFFFAOYSA-N 1 2 302.363 1.858 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)NC[C@@H]1CCCSC1 ZINC000329242357 410185360 /nfs/dbraw/zinc/18/53/60/410185360.db2.gz AETRPBHFCHPVEA-ZDUSSCGKSA-N 1 2 315.483 1.744 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)NC[C@@H]1CCCSC1 ZINC000329242357 410185365 /nfs/dbraw/zinc/18/53/65/410185365.db2.gz AETRPBHFCHPVEA-ZDUSSCGKSA-N 1 2 315.483 1.744 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)CN2CCC[C@H]2c2[nH]cc[nH+]2)C1 ZINC000329238484 410185818 /nfs/dbraw/zinc/18/58/18/410185818.db2.gz LEYSSSYLIYYHPB-KGLIPLIRSA-N 1 2 319.409 1.514 20 30 DDEDLO CN1CC[N@H+](C)C[C@H]1CNC(=O)c1cc2[nH]cnc2cc1F ZINC000329349569 410248273 /nfs/dbraw/zinc/24/82/73/410248273.db2.gz DARKWYHBMSNCJH-SNVBAGLBSA-N 1 2 305.357 1.252 20 30 DDEDLO CN1CC[N@@H+](C)C[C@H]1CNC(=O)c1cc2[nH]cnc2cc1F ZINC000329349569 410248277 /nfs/dbraw/zinc/24/82/77/410248277.db2.gz DARKWYHBMSNCJH-SNVBAGLBSA-N 1 2 305.357 1.252 20 30 DDEDLO C=C(C)COCCNC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000354986912 410223616 /nfs/dbraw/zinc/22/36/16/410223616.db2.gz YLWMPALTXFALJX-UHFFFAOYSA-N 1 2 319.405 1.236 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)c1 ZINC000343204865 410235206 /nfs/dbraw/zinc/23/52/06/410235206.db2.gz NARFTDCXOCGVIJ-CYBMUJFWSA-N 1 2 309.373 1.635 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)c1 ZINC000343204865 410235212 /nfs/dbraw/zinc/23/52/12/410235212.db2.gz NARFTDCXOCGVIJ-CYBMUJFWSA-N 1 2 309.373 1.635 20 30 DDEDLO C[C@H]1CN(c2ccc(CNC(=O)C3(C)COC3)c[nH+]2)CCO1 ZINC000329444759 410301873 /nfs/dbraw/zinc/30/18/73/410301873.db2.gz KTQUKHBPQRGSGM-LBPRGKRZSA-N 1 2 305.378 1.800 20 30 DDEDLO O=C(Nc1ccn2cc[nH+]c2c1)N1CCC(O)(C(F)F)CC1 ZINC000329446165 410303448 /nfs/dbraw/zinc/30/34/48/410303448.db2.gz CNEBRTZSAMAGJN-UHFFFAOYSA-N 1 2 310.304 1.972 20 30 DDEDLO C[C@@H]1C[C@H](CCNC(=O)N(C)CC[NH+]2CCOCC2)CCO1 ZINC000329557380 410357135 /nfs/dbraw/zinc/35/71/35/410357135.db2.gz LCLXJSYQXQOMBV-HUUCEWRRSA-N 1 2 313.442 1.370 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)CC2(CCOCC2)O1 ZINC000333149310 410387217 /nfs/dbraw/zinc/38/72/17/410387217.db2.gz ANZUYDBRWZYGQP-CZUORRHYSA-N 1 2 321.421 1.065 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)CC2(CCOCC2)O1 ZINC000333149310 410387220 /nfs/dbraw/zinc/38/72/20/410387220.db2.gz ANZUYDBRWZYGQP-CZUORRHYSA-N 1 2 321.421 1.065 20 30 DDEDLO COCC1(C#N)CCN(C(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)CC1 ZINC000358285627 410435054 /nfs/dbraw/zinc/43/50/54/410435054.db2.gz INWNFFDGYGAXEX-LBPRGKRZSA-N 1 2 317.393 1.229 20 30 DDEDLO COCC1(C#N)CCN(C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)CC1 ZINC000358285627 410435060 /nfs/dbraw/zinc/43/50/60/410435060.db2.gz INWNFFDGYGAXEX-LBPRGKRZSA-N 1 2 317.393 1.229 20 30 DDEDLO C=CC[N@@H+](Cc1ccc2c(c1)nnn2C)[C@H]1CCS(=O)(=O)C1 ZINC000352551365 410665713 /nfs/dbraw/zinc/66/57/13/410665713.db2.gz XBIULPZYEGOUCE-ZDUSSCGKSA-N 1 2 320.418 1.143 20 30 DDEDLO C=CC[N@H+](Cc1ccc2c(c1)nnn2C)[C@H]1CCS(=O)(=O)C1 ZINC000352551365 410665718 /nfs/dbraw/zinc/66/57/18/410665718.db2.gz XBIULPZYEGOUCE-ZDUSSCGKSA-N 1 2 320.418 1.143 20 30 DDEDLO CC(C)(C#N)CC[N@H+](C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000336837366 410636502 /nfs/dbraw/zinc/63/65/02/410636502.db2.gz DPQIGDLFVKMFJP-UONOGXRCSA-N 1 2 314.451 1.594 20 30 DDEDLO CC(C)(C#N)CC[N@@H+](C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000336837366 410636507 /nfs/dbraw/zinc/63/65/07/410636507.db2.gz DPQIGDLFVKMFJP-UONOGXRCSA-N 1 2 314.451 1.594 20 30 DDEDLO CN(Cc1[nH+]ccn1CC(F)(F)F)C(=O)c1cc(C#N)ccn1 ZINC000352933106 410691278 /nfs/dbraw/zinc/69/12/78/410691278.db2.gz DEPADOGWJDPHGW-UHFFFAOYSA-N 1 2 323.278 1.984 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(CC#N)cc1 ZINC000355891777 410720761 /nfs/dbraw/zinc/72/07/61/410720761.db2.gz OGQMARVNNRCXPR-ZDUSSCGKSA-N 1 2 302.378 1.595 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(CC#N)cc1 ZINC000355891777 410720764 /nfs/dbraw/zinc/72/07/64/410720764.db2.gz OGQMARVNNRCXPR-ZDUSSCGKSA-N 1 2 302.378 1.595 20 30 DDEDLO C=C(C)C[N@@H+](CCNS(=O)(=O)CC)Cc1ccc(CO)o1 ZINC000352799733 410679644 /nfs/dbraw/zinc/67/96/44/410679644.db2.gz GEQLZBLSBSFKIA-UHFFFAOYSA-N 1 2 316.423 1.089 20 30 DDEDLO C=C(C)C[N@H+](CCNS(=O)(=O)CC)Cc1ccc(CO)o1 ZINC000352799733 410679646 /nfs/dbraw/zinc/67/96/46/410679646.db2.gz GEQLZBLSBSFKIA-UHFFFAOYSA-N 1 2 316.423 1.089 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1Cc1cccc(C#N)c1F ZINC000352872790 410683908 /nfs/dbraw/zinc/68/39/08/410683908.db2.gz WTTOAUGAICCGNZ-BBRMVZONSA-N 1 2 303.381 1.992 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)c2[nH+]cnc3c2cnn3C)c1 ZINC000301748094 410788238 /nfs/dbraw/zinc/78/82/38/410788238.db2.gz RRDIBCRKUNKYFN-UHFFFAOYSA-N 1 2 320.356 1.420 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CC[NH2+][C@H](c3ccncc3)C2)cc1 ZINC000337574568 410871760 /nfs/dbraw/zinc/87/17/60/410871760.db2.gz FMCGHTHKQHJMHJ-KRWDZBQOSA-N 1 2 306.369 1.669 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[NH+]1CCC(O)(C(F)F)CC1 ZINC000331181470 410999780 /nfs/dbraw/zinc/99/97/80/410999780.db2.gz ZINPEXBJVLEGJT-NSHDSACASA-N 1 2 323.343 1.977 20 30 DDEDLO CC(C)C[C@@H]1COCC[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000356511019 411064476 /nfs/dbraw/zinc/06/44/76/411064476.db2.gz CTSZDICPRVAOIV-QGZVFWFLSA-N 1 2 315.417 1.921 20 30 DDEDLO CC(C)C[C@@H]1COCC[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000356511019 411064479 /nfs/dbraw/zinc/06/44/79/411064479.db2.gz CTSZDICPRVAOIV-QGZVFWFLSA-N 1 2 315.417 1.921 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]CC(=O)N(CCC#N)CCC#N)s1 ZINC000353582951 411072391 /nfs/dbraw/zinc/07/23/91/411072391.db2.gz VMABDTHYEOMVNE-JTQLQIEISA-N 1 2 306.395 1.153 20 30 DDEDLO CCc1cc(NC(=O)N(CCC#N)CC[NH+]2CCOCC2)no1 ZINC000610559565 416657956 /nfs/dbraw/zinc/65/79/56/416657956.db2.gz APAMFEKRUWOYHH-UHFFFAOYSA-N 1 2 321.381 1.317 20 30 DDEDLO COc1cc(C[N@@H+]2CCO[C@@H]([C@H]3CCCO3)C2)ccc1C#N ZINC000374207957 418505932 /nfs/dbraw/zinc/50/59/32/418505932.db2.gz YGOZOOVRAJOSED-NVXWUHKLSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C[N@H+]2CCO[C@@H]([C@H]3CCCO3)C2)ccc1C#N ZINC000374207957 418505936 /nfs/dbraw/zinc/50/59/36/418505936.db2.gz YGOZOOVRAJOSED-NVXWUHKLSA-N 1 2 302.374 1.947 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)o1)[C@@H]1CC(=O)N(Cc2ccccc2)C1=O ZINC000374255210 418510176 /nfs/dbraw/zinc/51/01/76/418510176.db2.gz ISHYNKYIFIXYIL-MRXNPFEDSA-N 1 2 323.352 1.911 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)o1)[C@@H]1CC(=O)N(Cc2ccccc2)C1=O ZINC000374255210 418510179 /nfs/dbraw/zinc/51/01/79/418510179.db2.gz ISHYNKYIFIXYIL-MRXNPFEDSA-N 1 2 323.352 1.911 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@](F)(c2ccccc2)C1 ZINC000367025547 418553537 /nfs/dbraw/zinc/55/35/37/418553537.db2.gz BHPDFNQIYMNWQH-MRXNPFEDSA-N 1 2 305.353 1.569 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@](F)(c2ccccc2)C1 ZINC000367025547 418553540 /nfs/dbraw/zinc/55/35/40/418553540.db2.gz BHPDFNQIYMNWQH-MRXNPFEDSA-N 1 2 305.353 1.569 20 30 DDEDLO C[N@@H+]1Cc2cccc(NS(=O)(=O)c3ccc(C#N)nc3)c2C1 ZINC000367055008 418554932 /nfs/dbraw/zinc/55/49/32/418554932.db2.gz MMEYNSRRTIEDAY-UHFFFAOYSA-N 1 2 314.370 1.699 20 30 DDEDLO C[N@H+]1Cc2cccc(NS(=O)(=O)c3ccc(C#N)nc3)c2C1 ZINC000367055008 418554934 /nfs/dbraw/zinc/55/49/34/418554934.db2.gz MMEYNSRRTIEDAY-UHFFFAOYSA-N 1 2 314.370 1.699 20 30 DDEDLO C=CCN(CCOC)C(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000361076019 418578662 /nfs/dbraw/zinc/57/86/62/418578662.db2.gz MAVVQPRDERAFAY-UHFFFAOYSA-N 1 2 318.417 1.793 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000191077998 222095037 /nfs/dbraw/zinc/09/50/37/222095037.db2.gz FJCNXDURLDAXQW-UHFFFAOYSA-N 1 2 307.350 1.976 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000191077998 222095039 /nfs/dbraw/zinc/09/50/39/222095039.db2.gz FJCNXDURLDAXQW-UHFFFAOYSA-N 1 2 307.350 1.976 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000245728617 222218233 /nfs/dbraw/zinc/21/82/33/222218233.db2.gz YDOOOHLZYONFBH-NVXWUHKLSA-N 1 2 322.355 1.866 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000245728617 222218237 /nfs/dbraw/zinc/21/82/37/222218237.db2.gz YDOOOHLZYONFBH-NVXWUHKLSA-N 1 2 322.355 1.866 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1 ZINC000245798869 222218290 /nfs/dbraw/zinc/21/82/90/222218290.db2.gz YXOMTIMKDBQLJX-DGCLKSJQSA-N 1 2 303.410 1.552 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1 ZINC000245798869 222218292 /nfs/dbraw/zinc/21/82/92/222218292.db2.gz YXOMTIMKDBQLJX-DGCLKSJQSA-N 1 2 303.410 1.552 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H](Oc2ccccc2Cl)C1 ZINC000246788809 222224919 /nfs/dbraw/zinc/22/49/19/222224919.db2.gz SCRQYWNPDJCLEH-QWHCGFSZSA-N 1 2 306.793 1.931 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](Oc2ccccc2Cl)C1 ZINC000246788809 222224923 /nfs/dbraw/zinc/22/49/23/222224923.db2.gz SCRQYWNPDJCLEH-QWHCGFSZSA-N 1 2 306.793 1.931 20 30 DDEDLO COC(=O)c1cccc(OCC(N)=[NH+]OCc2cc(C)on2)c1 ZINC000267021423 222366342 /nfs/dbraw/zinc/36/63/42/222366342.db2.gz RFXFNRWABIZDJT-UHFFFAOYSA-N 1 2 319.317 1.637 20 30 DDEDLO CCn1cc(C[N@@H+](CC#Cc2ccc(F)cc2)CCO)cn1 ZINC000361358371 418633877 /nfs/dbraw/zinc/63/38/77/418633877.db2.gz WYTBZAYTUKYGBC-UHFFFAOYSA-N 1 2 301.365 1.888 20 30 DDEDLO CCn1cc(C[N@H+](CC#Cc2ccc(F)cc2)CCO)cn1 ZINC000361358371 418633881 /nfs/dbraw/zinc/63/38/81/418633881.db2.gz WYTBZAYTUKYGBC-UHFFFAOYSA-N 1 2 301.365 1.888 20 30 DDEDLO Cn1cc(C[NH+]2CCN(Cc3cscn3)CC2)cc1C#N ZINC000361556482 418676209 /nfs/dbraw/zinc/67/62/09/418676209.db2.gz HSEYHQRTVYJOSE-UHFFFAOYSA-N 1 2 301.419 1.671 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)c1C#N ZINC000361601085 418690523 /nfs/dbraw/zinc/69/05/23/418690523.db2.gz IOQJILQMSABSGK-UHFFFAOYSA-N 1 2 323.404 1.133 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@H](C(=O)N1CCN(CC#N)CC1)C2 ZINC000376056636 418692311 /nfs/dbraw/zinc/69/23/11/418692311.db2.gz OGHQUTCSZPALJP-ZDUSSCGKSA-N 1 2 315.421 1.306 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@@H](C(=O)N1CCN(CC#N)CC1)CC2 ZINC000376056636 418692313 /nfs/dbraw/zinc/69/23/13/418692313.db2.gz OGHQUTCSZPALJP-ZDUSSCGKSA-N 1 2 315.421 1.306 20 30 DDEDLO COCCCNC(=O)C[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000369208165 418730224 /nfs/dbraw/zinc/73/02/24/418730224.db2.gz VLNJMVJCXLLVOI-UHFFFAOYSA-N 1 2 316.405 1.091 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000362585900 418756595 /nfs/dbraw/zinc/75/65/95/418756595.db2.gz FUYFXMPQGOSTII-BDJLRTHQSA-N 1 2 308.426 1.030 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000362585900 418756598 /nfs/dbraw/zinc/75/65/98/418756598.db2.gz FUYFXMPQGOSTII-BDJLRTHQSA-N 1 2 308.426 1.030 20 30 DDEDLO CC(C)(CCC#N)CNc1cnn(CC[NH+]2CCOCC2)c1 ZINC000364305383 418775949 /nfs/dbraw/zinc/77/59/49/418775949.db2.gz KMUROFOMXRHFDH-UHFFFAOYSA-N 1 2 305.426 1.957 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)CNc1cccc(C#N)c1 ZINC000426437645 419472997 /nfs/dbraw/zinc/47/29/97/419472997.db2.gz OMDWQBUHWHNTSN-UHFFFAOYSA-N 1 2 316.405 1.197 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)CNc1cccc(C#N)c1 ZINC000426437645 419473008 /nfs/dbraw/zinc/47/30/08/419473008.db2.gz OMDWQBUHWHNTSN-UHFFFAOYSA-N 1 2 316.405 1.197 20 30 DDEDLO COCCO[C@@H]1C[C@H](CO)C[N@H+](Cc2cccc(F)c2C#N)C1 ZINC000428938688 419949978 /nfs/dbraw/zinc/94/99/78/419949978.db2.gz JUHNZIVBEXANAS-DZGCQCFKSA-N 1 2 322.380 1.543 20 30 DDEDLO COCCO[C@@H]1C[C@H](CO)C[N@@H+](Cc2cccc(F)c2C#N)C1 ZINC000428938688 419949981 /nfs/dbraw/zinc/94/99/81/419949981.db2.gz JUHNZIVBEXANAS-DZGCQCFKSA-N 1 2 322.380 1.543 20 30 DDEDLO C=CCC1(C(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@@H]2C)CCOCC1 ZINC000424830219 420322076 /nfs/dbraw/zinc/32/20/76/420322076.db2.gz HNAVVRZARAGWSZ-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO Cc1cc(CNc2cc(C)[nH+]cc2C#N)nc(N2CCCC2)n1 ZINC000425255759 420330882 /nfs/dbraw/zinc/33/08/82/420330882.db2.gz AEQFIZVXCAQTGF-UHFFFAOYSA-N 1 2 308.389 1.994 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC[NH+]1CCOCC1)Cc1ccco1 ZINC000456991490 420583391 /nfs/dbraw/zinc/58/33/91/420583391.db2.gz MQEKJTNXFIUKJP-KRWDZBQOSA-N 1 2 322.405 1.268 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N(C[C@H](C)C#N)C2CC2)c(C)[nH+]1 ZINC000441928796 420665407 /nfs/dbraw/zinc/66/54/07/420665407.db2.gz OLZABELLRCTUOF-SNVBAGLBSA-N 1 2 300.362 1.788 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(C#N)c(F)c1 ZINC000454643723 420901135 /nfs/dbraw/zinc/90/11/35/420901135.db2.gz GVBKOUNECUUHSR-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(C#N)c(F)c1 ZINC000454643723 420901139 /nfs/dbraw/zinc/90/11/39/420901139.db2.gz GVBKOUNECUUHSR-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@H](NC(=O)N(CCC#N)CC[NH+]1CCOCC1)C(C)(F)F ZINC000455780217 421061328 /nfs/dbraw/zinc/06/13/28/421061328.db2.gz INWCXLYKGRFOBH-LBPRGKRZSA-N 1 2 318.368 1.288 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N[C@@H](C)C[NH+]2CCOCC2)c1 ZINC000456000976 421086864 /nfs/dbraw/zinc/08/68/64/421086864.db2.gz LQQQFIUWSNDGJX-ZDUSSCGKSA-N 1 2 302.378 1.709 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000449869202 421100700 /nfs/dbraw/zinc/10/07/00/421100700.db2.gz KJZISOPSNMNBPN-OIISXLGYSA-N 1 2 315.417 1.878 20 30 DDEDLO Cc1ccc(C(=O)C2CC[NH+](CC(=O)NCC#N)CC2)c(C)c1 ZINC000561309148 421322506 /nfs/dbraw/zinc/32/25/06/421322506.db2.gz VFRDYJIMVIPKKH-UHFFFAOYSA-N 1 2 313.401 1.838 20 30 DDEDLO COc1cc(CNc2nc(N)c3ccccc3[nH+]2)ccc1C#N ZINC000562238680 421344621 /nfs/dbraw/zinc/34/46/21/421344621.db2.gz YWWLOPFNVRSRHL-UHFFFAOYSA-N 1 2 305.341 2.126 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000550721670 421522933 /nfs/dbraw/zinc/52/29/33/421522933.db2.gz OHHQKXSSAKZIAV-STQMWFEESA-N 1 2 302.378 1.101 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2cnccc2C#N)CC1 ZINC000563401057 421502563 /nfs/dbraw/zinc/50/25/63/421502563.db2.gz FVDYZUMWQAZJGU-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2cnccc2C#N)CC1 ZINC000563401057 421502566 /nfs/dbraw/zinc/50/25/66/421502566.db2.gz FVDYZUMWQAZJGU-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2ncccc2C#N)CC1 ZINC000563970148 421562477 /nfs/dbraw/zinc/56/24/77/421562477.db2.gz IKQLVIKQTRNATE-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2ncccc2C#N)CC1 ZINC000563970148 421562479 /nfs/dbraw/zinc/56/24/79/421562479.db2.gz IKQLVIKQTRNATE-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO CCN1CCN(Cc2nc(C#N)cs2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000564537155 421591356 /nfs/dbraw/zinc/59/13/56/421591356.db2.gz QGZKLFHCPSJWEX-GFCCVEGCSA-N 1 2 302.407 1.617 20 30 DDEDLO COCC[C@H]1COCC[N@@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000517034343 421592057 /nfs/dbraw/zinc/59/20/57/421592057.db2.gz VSIJIGLJCRAAOS-AWEZNQCLSA-N 1 2 305.334 1.704 20 30 DDEDLO COCC[C@H]1COCC[N@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000517034343 421592060 /nfs/dbraw/zinc/59/20/60/421592060.db2.gz VSIJIGLJCRAAOS-AWEZNQCLSA-N 1 2 305.334 1.704 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)n1 ZINC000563809759 421543633 /nfs/dbraw/zinc/54/36/33/421543633.db2.gz UMBDJVGMKVUCQO-UHFFFAOYSA-N 1 2 324.344 1.021 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC[C@@H](Nc2cc(C#N)ccn2)C1=O ZINC000553162239 421604477 /nfs/dbraw/zinc/60/44/77/421604477.db2.gz JXGNKPIKZSNCGV-CYBMUJFWSA-N 1 2 310.361 1.290 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000517556520 421617063 /nfs/dbraw/zinc/61/70/63/421617063.db2.gz MEUQRTXNKGYGCK-CABCVRRESA-N 1 2 316.405 1.415 20 30 DDEDLO C[C@@H](C(=O)N1CC[C@H]([NH+]2CCOCC2)C1)c1cccc(C#N)c1 ZINC000360327316 269823160 /nfs/dbraw/zinc/82/31/60/269823160.db2.gz KUAXMTCQXQOYTK-PBHICJAKSA-N 1 2 313.401 1.595 20 30 DDEDLO C[C@@H](c1nccs1)[NH+]1CCN(c2ccnc(C#N)n2)CC1 ZINC000572662770 421809456 /nfs/dbraw/zinc/80/94/56/421809456.db2.gz UFTYMFQXCSZZSC-NSHDSACASA-N 1 2 300.391 1.688 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CN(C(=O)C(C)(C)C=C)CC[N@H+]1C ZINC000572250237 421773159 /nfs/dbraw/zinc/77/31/59/421773159.db2.gz KPCZIPOTWVUGHV-CQSZACIVSA-N 1 2 321.465 1.670 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CN(C(=O)C(C)(C)C=C)CC[N@@H+]1C ZINC000572250237 421773162 /nfs/dbraw/zinc/77/31/62/421773162.db2.gz KPCZIPOTWVUGHV-CQSZACIVSA-N 1 2 321.465 1.670 20 30 DDEDLO CC1(C)C[NH+](CC(=O)Nc2ccccc2-n2cnc(C#N)n2)C1 ZINC000521368452 421783330 /nfs/dbraw/zinc/78/33/30/421783330.db2.gz JYFVAJFMISCLMG-UHFFFAOYSA-N 1 2 310.361 1.419 20 30 DDEDLO N#CCc1ccc(CS(=O)(=O)NCCCn2cc[nH+]c2)cc1 ZINC000573087264 421914094 /nfs/dbraw/zinc/91/40/94/421914094.db2.gz ZFISMZPGTCJJDY-UHFFFAOYSA-N 1 2 318.402 1.459 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C1CCC(NC(=O)C2CC2)CC1 ZINC000573568278 421983159 /nfs/dbraw/zinc/98/31/59/421983159.db2.gz UGTXDGXRDFBLRR-MQBCKMQZSA-N 1 2 320.437 1.031 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)C1 ZINC000633694796 422056313 /nfs/dbraw/zinc/05/63/13/422056313.db2.gz QRQIPOWEYFBENA-INIZCTEOSA-N 1 2 315.417 1.755 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)C1 ZINC000633694796 422056316 /nfs/dbraw/zinc/05/63/16/422056316.db2.gz QRQIPOWEYFBENA-INIZCTEOSA-N 1 2 315.417 1.755 20 30 DDEDLO CC#CCNC(=O)c1ccc(NCC[NH+]2CCOCC2)c(F)c1 ZINC000632196468 422154804 /nfs/dbraw/zinc/15/48/04/422154804.db2.gz ZPWHGQACIHWIDK-UHFFFAOYSA-N 1 2 319.380 1.323 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1csc(C(C)(C)C)n1 ZINC000574952044 422220729 /nfs/dbraw/zinc/22/07/29/422220729.db2.gz FYQPADIDNKGZKB-OAHLLOKOSA-N 1 2 308.451 1.943 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C[NH+]2CCN(c3ccccn3)CC2)C1 ZINC000628488064 422194681 /nfs/dbraw/zinc/19/46/81/422194681.db2.gz RBOIICZOEJDFMD-INIZCTEOSA-N 1 2 314.433 1.628 20 30 DDEDLO C=CCN(C)C(=O)c1ccc(NCC[NH+]2CCOCC2)c(F)c1 ZINC000632569729 422443243 /nfs/dbraw/zinc/44/32/43/422443243.db2.gz AKYRWDPEVNZSTE-UHFFFAOYSA-N 1 2 321.396 1.828 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000577615132 422393028 /nfs/dbraw/zinc/39/30/28/422393028.db2.gz BZDMGWWPGNJVJR-AWEZNQCLSA-N 1 2 305.334 1.704 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])[C@H]1CCOC1 ZINC000577615132 422393033 /nfs/dbraw/zinc/39/30/33/422393033.db2.gz BZDMGWWPGNJVJR-AWEZNQCLSA-N 1 2 305.334 1.704 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)Cn1c2ccccc2[nH+]c1NCCO ZINC000598705040 422399647 /nfs/dbraw/zinc/39/96/47/422399647.db2.gz XNUDIBDKBGVEJH-GFCCVEGCSA-N 1 2 302.378 1.741 20 30 DDEDLO Cc1cc(NCCNC(=O)NCCCCC#N)nc(C(C)C)[nH+]1 ZINC000598983917 422400755 /nfs/dbraw/zinc/40/07/55/422400755.db2.gz ZGSQHURDPLDHSC-UHFFFAOYSA-N 1 2 318.425 2.313 20 30 DDEDLO C=CCN(Cc1cc2c(c[nH+]1)OCCO2)[C@@H]1CCS(=O)(=O)C1 ZINC000637963042 422513147 /nfs/dbraw/zinc/51/31/47/422513147.db2.gz RTPQQTXUZXOXEY-CYBMUJFWSA-N 1 2 324.402 1.028 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N2c3ccccc3C[C@H]2C)nn1 ZINC000640760582 423169609 /nfs/dbraw/zinc/16/96/09/423169609.db2.gz HTZDGEYVHKYTAX-CQSZACIVSA-N 1 2 323.400 1.369 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N[C@@H]2CCC[C@H](C)[C@H]2C)nn1 ZINC000640765030 423172935 /nfs/dbraw/zinc/17/29/35/423172935.db2.gz PDXQLNKVFHSDIM-SQWLQELKSA-N 1 2 317.437 1.332 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)N2[C@H](C)CCC[C@@H]2C)nn1 ZINC000640827667 423217385 /nfs/dbraw/zinc/21/73/85/423217385.db2.gz BDEVCMIYAHYALY-ZNMIVQPWSA-N 1 2 317.437 1.742 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@]2(CO)CCOc3ccccc32)nn1 ZINC000653661457 423569759 /nfs/dbraw/zinc/56/97/59/423569759.db2.gz SMFBVETVTNQWEL-QGZVFWFLSA-N 1 2 314.389 1.614 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(OCCCOC)CC2)nn1 ZINC000653559073 423529283 /nfs/dbraw/zinc/52/92/83/423529283.db2.gz FEMHBNUDBZUQRR-UHFFFAOYSA-N 1 2 308.426 1.872 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@@H](C)OC3(CCOCC3)C2)nn1 ZINC000653650180 423564843 /nfs/dbraw/zinc/56/48/43/423564843.db2.gz RXXUOGNOEOHRDI-CQSZACIVSA-N 1 2 306.410 1.624 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@@H](C)OC3(CCOCC3)C2)nn1 ZINC000653650180 423564848 /nfs/dbraw/zinc/56/48/48/423564848.db2.gz RXXUOGNOEOHRDI-CQSZACIVSA-N 1 2 306.410 1.624 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@H](c3cc(=O)[nH]c(=O)[nH]3)C2)nn1 ZINC000639714572 423681128 /nfs/dbraw/zinc/68/11/28/423681128.db2.gz VWTVMIYERIADDX-NSHDSACASA-N 1 2 316.365 1.045 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@H](c3cc(=O)[nH]c(=O)[nH]3)C2)nn1 ZINC000639714572 423681134 /nfs/dbraw/zinc/68/11/34/423681134.db2.gz VWTVMIYERIADDX-NSHDSACASA-N 1 2 316.365 1.045 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000661547796 423882847 /nfs/dbraw/zinc/88/28/47/423882847.db2.gz UDPGMKGOMFKUNF-CQSZACIVSA-N 1 2 304.394 1.418 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1nc2cc(S(=O)(=O)CC)ccc2o1 ZINC000662166678 424452848 /nfs/dbraw/zinc/45/28/48/424452848.db2.gz CVLMUKNPEONPDE-SNVBAGLBSA-N 1 2 310.375 1.258 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C ZINC000660638579 424737646 /nfs/dbraw/zinc/73/76/46/424737646.db2.gz NCLRGWCXTMSDBP-OAGGEKHMSA-N 1 2 308.470 1.666 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C ZINC000660638579 424737648 /nfs/dbraw/zinc/73/76/48/424737648.db2.gz NCLRGWCXTMSDBP-OAGGEKHMSA-N 1 2 308.470 1.666 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccccc2C#N)C[C@@H]1C ZINC000345506214 266159325 /nfs/dbraw/zinc/15/93/25/266159325.db2.gz LNQLIKMBLVHOTJ-STQMWFEESA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccccc2C#N)C[C@@H]1C ZINC000345506214 266159329 /nfs/dbraw/zinc/15/93/29/266159329.db2.gz LNQLIKMBLVHOTJ-STQMWFEESA-N 1 2 307.419 1.661 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@H+](C)CC(=O)Nc1cccc(C#N)c1 ZINC000362606614 267131026 /nfs/dbraw/zinc/13/10/26/267131026.db2.gz PZXGXSFUKSPHBU-GFCCVEGCSA-N 1 2 316.405 1.732 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@@H+](C)CC(=O)Nc1cccc(C#N)c1 ZINC000362606614 267131030 /nfs/dbraw/zinc/13/10/30/267131030.db2.gz PZXGXSFUKSPHBU-GFCCVEGCSA-N 1 2 316.405 1.732 20 30 DDEDLO Cc1cc(C#N)cc(N2CC[NH+]([C@@H]3CCCCNC3=O)CC2)n1 ZINC000376447494 267303238 /nfs/dbraw/zinc/30/32/38/267303238.db2.gz KEIRBMNGBNHAQG-OAHLLOKOSA-N 1 2 313.405 1.052 20 30 DDEDLO N#Cc1ccccc1OCC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000372529210 268280364 /nfs/dbraw/zinc/28/03/64/268280364.db2.gz OBXGOMYWWFJSTB-UHFFFAOYSA-N 1 2 324.384 1.829 20 30 DDEDLO COc1cc(N2CC[NH+](CC#Cc3ccccc3)CC2)ncn1 ZINC000192188629 274096732 /nfs/dbraw/zinc/09/67/32/274096732.db2.gz GBKJYAWWTBPTBJ-UHFFFAOYSA-N 1 2 308.385 1.659 20 30 DDEDLO CN1C[C@@H]2C[N@H+](CC#Cc3ccc(Cl)cc3)CCN2C1=O ZINC000365850757 277198013 /nfs/dbraw/zinc/19/80/13/277198013.db2.gz TUZOMNTZDCAOMX-OAHLLOKOSA-N 1 2 303.793 1.743 20 30 DDEDLO CN1C[C@@H]2C[N@@H+](CC#Cc3ccc(Cl)cc3)CCN2C1=O ZINC000365850757 277198015 /nfs/dbraw/zinc/19/80/15/277198015.db2.gz TUZOMNTZDCAOMX-OAHLLOKOSA-N 1 2 303.793 1.743 20 30 DDEDLO C#C[C@@H](C)NC(=O)[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1c[nH+]cn1C ZINC000284590394 277701177 /nfs/dbraw/zinc/70/11/77/277701177.db2.gz AWESLJCYEBEWQY-AXAPSJFSSA-N 1 2 314.389 1.000 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CCC[C@@H]1[C@@H]1CCCO1 ZINC000329609374 295384132 /nfs/dbraw/zinc/38/41/32/295384132.db2.gz NKBRYTHFGOSGEK-DFBGVHRSSA-N 1 2 304.394 1.824 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CCC[C@@H]1[C@@H]1CCCO1 ZINC000329609374 295384134 /nfs/dbraw/zinc/38/41/34/295384134.db2.gz NKBRYTHFGOSGEK-DFBGVHRSSA-N 1 2 304.394 1.824 20 30 DDEDLO N#Cc1ccc(C(=O)NCC2([NH+]3CCOCC3)CC2)cc1Cl ZINC000573745213 304611543 /nfs/dbraw/zinc/61/15/43/304611543.db2.gz QSNLJERDUYCULQ-UHFFFAOYSA-N 1 2 319.792 1.806 20 30 DDEDLO CC[C@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000374564596 301818975 /nfs/dbraw/zinc/81/89/75/301818975.db2.gz CDMRSMAAPZYIIW-UONOGXRCSA-N 1 2 315.421 1.378 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CC[C@@H]4COC(=O)N[C@H]4C3)n2c1 ZINC000375995151 302021213 /nfs/dbraw/zinc/02/12/13/302021213.db2.gz PMWIMDQIWOMCSK-OCCSQVGLSA-N 1 2 311.345 1.136 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CC[C@@H]4COC(=O)N[C@H]4C3)n2c1 ZINC000375995151 302021215 /nfs/dbraw/zinc/02/12/15/302021215.db2.gz PMWIMDQIWOMCSK-OCCSQVGLSA-N 1 2 311.345 1.136 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000547967871 307751139 /nfs/dbraw/zinc/75/11/39/307751139.db2.gz SELKZGINXCBTFL-GFCCVEGCSA-N 1 2 302.359 1.048 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)[N@@H+]2Cc2ccc(C#N)cn2)O1 ZINC000565160838 308021934 /nfs/dbraw/zinc/02/19/34/308021934.db2.gz DGFZHMDBUITRRJ-MWNCTCPHSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)[N@H+]2Cc2ccc(C#N)cn2)O1 ZINC000565160838 308021936 /nfs/dbraw/zinc/02/19/36/308021936.db2.gz DGFZHMDBUITRRJ-MWNCTCPHSA-N 1 2 315.373 1.637 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CC[NH+]([C@@H](C)c2cccc(F)c2)CC1 ZINC000091689739 332080143 /nfs/dbraw/zinc/08/01/43/332080143.db2.gz SAGIPNXBWHLLRF-GJZGRUSLSA-N 1 2 317.408 1.642 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN([C@@H](C)c2cccc(F)c2)CC1 ZINC000091689739 332080145 /nfs/dbraw/zinc/08/01/45/332080145.db2.gz SAGIPNXBWHLLRF-GJZGRUSLSA-N 1 2 317.408 1.642 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](O)C[N@@H+]1Cc1ccc(N(C)CCC#N)cc1 ZINC000093103255 332134339 /nfs/dbraw/zinc/13/43/39/332134339.db2.gz OCPSYWOSZLYMQP-CVEARBPZSA-N 1 2 317.389 1.145 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](O)C[N@H+]1Cc1ccc(N(C)CCC#N)cc1 ZINC000093103255 332134341 /nfs/dbraw/zinc/13/43/41/332134341.db2.gz OCPSYWOSZLYMQP-CVEARBPZSA-N 1 2 317.389 1.145 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccn(C2CCCCC2)n1 ZINC000566309400 332330909 /nfs/dbraw/zinc/33/09/09/332330909.db2.gz PCXWSJZHUFEPSS-MRXNPFEDSA-N 1 2 303.410 1.962 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000560490456 332345413 /nfs/dbraw/zinc/34/54/13/332345413.db2.gz SWFBZVOXBYUCMP-CQSZACIVSA-N 1 2 303.406 1.351 20 30 DDEDLO CC(=O)Nc1ccc(C)c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000580198224 333000487 /nfs/dbraw/zinc/00/04/87/333000487.db2.gz WVTGCXNWZHZNAW-INIZCTEOSA-N 1 2 302.378 1.527 20 30 DDEDLO CC1(C)COCC[N@@H+]1CC/N=C/c1cc([N+](=O)[O-])ccc1O ZINC000170839470 333040747 /nfs/dbraw/zinc/04/07/47/333040747.db2.gz NTXSADGUMXWXNP-MHWRWJLKSA-N 1 2 307.350 1.830 20 30 DDEDLO CC1(C)COCC[N@H+]1CC/N=C/c1cc([N+](=O)[O-])ccc1O ZINC000170839470 333040748 /nfs/dbraw/zinc/04/07/48/333040748.db2.gz NTXSADGUMXWXNP-MHWRWJLKSA-N 1 2 307.350 1.830 20 30 DDEDLO C[N@@H+]1CCN(C(=O)OC(C)(C)C)C[C@@H]1CNC(=O)CCCC#N ZINC000497310172 333234957 /nfs/dbraw/zinc/23/49/57/333234957.db2.gz CBYVQWHSAOMACV-ZDUSSCGKSA-N 1 2 324.425 1.348 20 30 DDEDLO C[N@H+]1CCN(C(=O)OC(C)(C)C)C[C@@H]1CNC(=O)CCCC#N ZINC000497310172 333234959 /nfs/dbraw/zinc/23/49/59/333234959.db2.gz CBYVQWHSAOMACV-ZDUSSCGKSA-N 1 2 324.425 1.348 20 30 DDEDLO N#CC1(NC(=O)CN2CC[NH+](Cc3ccsc3)CC2)CCC1 ZINC000525886218 335141054 /nfs/dbraw/zinc/14/10/54/335141054.db2.gz HDINYRJNMSEDHO-UHFFFAOYSA-N 1 2 318.446 1.428 20 30 DDEDLO CC(C)(C#N)CC[NH+]1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000157634530 338442343 /nfs/dbraw/zinc/44/23/43/338442343.db2.gz AELRVRZKJQVGKL-UHFFFAOYSA-N 1 2 322.434 1.328 20 30 DDEDLO C=CCNC(=O)C[NH+]1C[C@H](C)N(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000112998488 334731399 /nfs/dbraw/zinc/73/13/99/334731399.db2.gz FFCIWPHNYUTGLD-STQMWFEESA-N 1 2 311.426 1.618 20 30 DDEDLO CC#CCCNC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000351624482 336052572 /nfs/dbraw/zinc/05/25/72/336052572.db2.gz YCXXKNGGIHBTMK-UHFFFAOYSA-N 1 2 302.378 1.131 20 30 DDEDLO Cc1nc(N[C@@H](C)CN(C)C(=O)Cc2c[nH+]c[nH]2)ccc1C#N ZINC001120712834 782102627 /nfs/dbraw/zinc/10/26/27/782102627.db2.gz TUWDKEUUWARSLK-NSHDSACASA-N 1 2 312.377 1.486 20 30 DDEDLO Cc1csc(C2([NH2+]CC(=O)NC3(C#N)CCC3)CCC2)n1 ZINC000525893507 336376244 /nfs/dbraw/zinc/37/62/44/336376244.db2.gz SURIWSUDJHOYCL-UHFFFAOYSA-N 1 2 304.419 1.983 20 30 DDEDLO C(#CC[N@@H+]1CCO[C@H]2CCC[C@H]21)CN1CCO[C@@H]2CCC[C@@H]21 ZINC000375351650 337008888 /nfs/dbraw/zinc/00/88/88/337008888.db2.gz DUPJETGRAFIRDR-FZDBZEDMSA-N 1 2 304.434 1.496 20 30 DDEDLO C(#CC[N@H+]1CCO[C@H]2CCC[C@H]21)CN1CCO[C@@H]2CCC[C@@H]21 ZINC000375351650 337008889 /nfs/dbraw/zinc/00/88/89/337008889.db2.gz DUPJETGRAFIRDR-FZDBZEDMSA-N 1 2 304.434 1.496 20 30 DDEDLO C(#CC[N@@H+]1CCO[C@@H]2CCC[C@@H]21)CN1CCO[C@H]2CCC[C@H]21 ZINC000375351650 337008890 /nfs/dbraw/zinc/00/88/90/337008890.db2.gz DUPJETGRAFIRDR-FZDBZEDMSA-N 1 2 304.434 1.496 20 30 DDEDLO C(#CC[N@H+]1CCO[C@@H]2CCC[C@@H]21)CN1CCO[C@H]2CCC[C@H]21 ZINC000375351650 337008891 /nfs/dbraw/zinc/00/88/91/337008891.db2.gz DUPJETGRAFIRDR-FZDBZEDMSA-N 1 2 304.434 1.496 20 30 DDEDLO COCCOc1cccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000572125163 337591793 /nfs/dbraw/zinc/59/17/93/337591793.db2.gz QZAJJBCTCNLTLQ-MRXNPFEDSA-N 1 2 305.378 1.285 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cccc(C(C)=O)c2)CC1 ZINC000128273269 340864896 /nfs/dbraw/zinc/86/48/96/340864896.db2.gz MEMDLTNFYWKMTG-UHFFFAOYSA-N 1 2 300.358 1.045 20 30 DDEDLO CC[N@@H+](Cc1ccc(S(=O)(=O)N(C)C)cc1)C[C@H](C)C#N ZINC000130935861 341054565 /nfs/dbraw/zinc/05/45/65/341054565.db2.gz WAHCVPVKZYHUBY-CYBMUJFWSA-N 1 2 309.435 1.918 20 30 DDEDLO CC[N@H+](Cc1ccc(S(=O)(=O)N(C)C)cc1)C[C@H](C)C#N ZINC000130935861 341054566 /nfs/dbraw/zinc/05/45/66/341054566.db2.gz WAHCVPVKZYHUBY-CYBMUJFWSA-N 1 2 309.435 1.918 20 30 DDEDLO Cc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)nn1-c1ccccc1 ZINC000555480954 341483461 /nfs/dbraw/zinc/48/34/61/341483461.db2.gz ZOZSYXBXPFQZGM-KRWDZBQOSA-N 1 2 311.389 1.754 20 30 DDEDLO COc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc2c1OCCO2 ZINC000555482550 341483517 /nfs/dbraw/zinc/48/35/17/341483517.db2.gz COKUPUAOTWHXFD-MRXNPFEDSA-N 1 2 319.361 1.040 20 30 DDEDLO C=CC[C@@H](C)NC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000153037327 341521568 /nfs/dbraw/zinc/52/15/68/341521568.db2.gz ZFJCALBWGZGMAR-CYBMUJFWSA-N 1 2 324.446 1.716 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N[C@@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000665028341 484865844 /nfs/dbraw/zinc/86/58/44/484865844.db2.gz CQODHHKYPQRACA-AGIUHOORSA-N 1 2 322.409 1.122 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CC(=O)NCC(=O)c2cccs2)C1 ZINC000661151387 484946793 /nfs/dbraw/zinc/94/67/93/484946793.db2.gz BRWIOBXHJVZFFL-LBPRGKRZSA-N 1 2 305.403 1.673 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CC(=O)NCC(=O)c2cccs2)C1 ZINC000661151387 484946796 /nfs/dbraw/zinc/94/67/96/484946796.db2.gz BRWIOBXHJVZFFL-LBPRGKRZSA-N 1 2 305.403 1.673 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NCc1ccc(N2CCC(C)CC2)[nH+]c1 ZINC000666549336 485268803 /nfs/dbraw/zinc/26/88/03/485268803.db2.gz TZMBDXYOYSAISX-QGZVFWFLSA-N 1 2 303.406 1.871 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[N@H+](CCO)C(C)(C)C2)s1 ZINC000682050716 485472875 /nfs/dbraw/zinc/47/28/75/485472875.db2.gz XWPRJHCOAHMRRZ-UHFFFAOYSA-N 1 2 324.450 1.270 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[N@@H+](CCO)C(C)(C)C2)s1 ZINC000682050716 485472879 /nfs/dbraw/zinc/47/28/79/485472879.db2.gz XWPRJHCOAHMRRZ-UHFFFAOYSA-N 1 2 324.450 1.270 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)c(OC)c1 ZINC000329918876 534023258 /nfs/dbraw/zinc/02/32/58/534023258.db2.gz GDQUMMDTUWHBOC-ZFWWWQNUSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)c(OC)c1 ZINC000329918876 534023266 /nfs/dbraw/zinc/02/32/66/534023266.db2.gz GDQUMMDTUWHBOC-ZFWWWQNUSA-N 1 2 321.377 1.264 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)[C@H](C#N)CCc1ccccc1 ZINC000433221426 534064940 /nfs/dbraw/zinc/06/49/40/534064940.db2.gz UBURJGVYDZENFP-WBVHZDCISA-N 1 2 315.417 1.596 20 30 DDEDLO Cc1cccc(C(=O)NCC[N@H+]2CCOC[C@@H]2C)c1[N+](=O)[O-] ZINC000330628124 534570105 /nfs/dbraw/zinc/57/01/05/534570105.db2.gz YKINJSLITIYHEE-LBPRGKRZSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1cccc(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)c1[N+](=O)[O-] ZINC000330628124 534570110 /nfs/dbraw/zinc/57/01/10/534570110.db2.gz YKINJSLITIYHEE-LBPRGKRZSA-N 1 2 307.350 1.929 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C ZINC000356516276 534789898 /nfs/dbraw/zinc/78/98/98/534789898.db2.gz SQWVPJUBBGUJKR-OAHLLOKOSA-N 1 2 306.366 1.298 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)N[C@H]2CCCOC2)CCO1 ZINC000329708195 534814308 /nfs/dbraw/zinc/81/43/08/534814308.db2.gz MBBJWXYVFXPLKU-DYEKYZERSA-N 1 2 308.382 1.323 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N(CC)C[C@@H]1COc2ccccc2O1 ZINC000339755129 526358828 /nfs/dbraw/zinc/35/88/28/526358828.db2.gz WKDDULUTJDCDAU-OAHLLOKOSA-N 1 2 316.401 1.630 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N(CC)C[C@@H]1COc2ccccc2O1 ZINC000339755129 526358835 /nfs/dbraw/zinc/35/88/35/526358835.db2.gz WKDDULUTJDCDAU-OAHLLOKOSA-N 1 2 316.401 1.630 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2cccc(C)c2)C1 ZINC000330958894 526402849 /nfs/dbraw/zinc/40/28/49/526402849.db2.gz WUBLEEFKRHMEJR-TZMCWYRMSA-N 1 2 304.394 1.530 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2cccc(C)c2)C1 ZINC000330958894 526402852 /nfs/dbraw/zinc/40/28/52/526402852.db2.gz WUBLEEFKRHMEJR-TZMCWYRMSA-N 1 2 304.394 1.530 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(CC)c2ccccc2)C1=O ZINC000337235268 526467344 /nfs/dbraw/zinc/46/73/44/526467344.db2.gz XLDMXGVCOSYANX-MRXNPFEDSA-N 1 2 315.417 1.758 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(CC)c2ccccc2)C1=O ZINC000337235268 526467345 /nfs/dbraw/zinc/46/73/45/526467345.db2.gz XLDMXGVCOSYANX-MRXNPFEDSA-N 1 2 315.417 1.758 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(CC(F)F)[C@H](CC)C2)C1=O ZINC000337184978 526479743 /nfs/dbraw/zinc/47/97/43/526479743.db2.gz ONZDFZIZWPVDGC-CHWSQXEVSA-N 1 2 301.381 1.435 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(CC(F)F)[C@H](CC)C2)C1=O ZINC000337184978 526479747 /nfs/dbraw/zinc/47/97/47/526479747.db2.gz ONZDFZIZWPVDGC-CHWSQXEVSA-N 1 2 301.381 1.435 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)c2cccc(-n3cc[nH+]c3)c2)C1=O ZINC000337080964 526498164 /nfs/dbraw/zinc/49/81/64/526498164.db2.gz WASMNKFKTPKJTM-INIZCTEOSA-N 1 2 324.384 1.731 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](CCCC)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000337153599 526504940 /nfs/dbraw/zinc/50/49/40/526504940.db2.gz MCCIOFGHEQCJPP-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](CCCC)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000337153599 526504946 /nfs/dbraw/zinc/50/49/46/526504946.db2.gz MCCIOFGHEQCJPP-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](CCc2cccc(F)c2F)CC1 ZINC000342693862 526536249 /nfs/dbraw/zinc/53/62/49/526536249.db2.gz NNCLGPPRCQTCBG-UHFFFAOYSA-N 1 2 323.387 1.427 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(CCc2cccc(F)c2F)CC1 ZINC000342693862 526536255 /nfs/dbraw/zinc/53/62/55/526536255.db2.gz NNCLGPPRCQTCBG-UHFFFAOYSA-N 1 2 323.387 1.427 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2nccc(C(C)(C)C)n2)CC1 ZINC000338639520 526540415 /nfs/dbraw/zinc/54/04/15/526540415.db2.gz DKYJMMUKUAWEDI-UHFFFAOYSA-N 1 2 317.437 1.198 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@H](C)c2c(F)cccc2F)CC1 ZINC000338346322 526541200 /nfs/dbraw/zinc/54/12/00/526541200.db2.gz GBYCQSXEYOAYPW-CYBMUJFWSA-N 1 2 323.387 1.946 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)c2c(C)[nH]nc2C(C)C)CC1 ZINC000330510520 526625317 /nfs/dbraw/zinc/62/53/17/526625317.db2.gz IIQTYOVRPHQAQQ-UHFFFAOYSA-N 1 2 321.425 1.310 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH2+][C@H](C)c1ncc(Br)cn1 ZINC000431129925 526628523 /nfs/dbraw/zinc/62/85/23/526628523.db2.gz VYTJTRUZHXCFSO-RKDXNWHRSA-N 1 2 313.199 1.580 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CNc3cccc(-n4cnnn4)c3)n2c1 ZINC000157931127 526693875 /nfs/dbraw/zinc/69/38/75/526693875.db2.gz RAYWBTCCEAPYSQ-UHFFFAOYSA-N 1 2 316.328 1.794 20 30 DDEDLO C#CCN(C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C1CC1 ZINC000491646492 526778542 /nfs/dbraw/zinc/77/85/42/526778542.db2.gz SZQHDOIGJPKLDA-HNNXBMFYSA-N 1 2 316.405 1.107 20 30 DDEDLO C#CCN(C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C1CC1 ZINC000491646492 526778546 /nfs/dbraw/zinc/77/85/46/526778546.db2.gz SZQHDOIGJPKLDA-HNNXBMFYSA-N 1 2 316.405 1.107 20 30 DDEDLO C#CC[N@@H+](CC[C@@H](O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000491180858 526900808 /nfs/dbraw/zinc/90/08/08/526900808.db2.gz ODRAENLYTBGUQY-HZPDHXFCSA-N 1 2 307.415 1.232 20 30 DDEDLO C#CC[N@H+](CC[C@@H](O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000491180858 526900811 /nfs/dbraw/zinc/90/08/11/526900811.db2.gz ODRAENLYTBGUQY-HZPDHXFCSA-N 1 2 307.415 1.232 20 30 DDEDLO C#CC[N@H+](C[C@@H]1C[C@@H]1c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000490876295 526902728 /nfs/dbraw/zinc/90/27/28/526902728.db2.gz TUHXHTSTANBXAR-YESZJQIVSA-N 1 2 303.427 1.912 20 30 DDEDLO C#CC[N@@H+](C[C@@H]1C[C@@H]1c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000490876295 526902731 /nfs/dbraw/zinc/90/27/31/526902731.db2.gz TUHXHTSTANBXAR-YESZJQIVSA-N 1 2 303.427 1.912 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCc2nc(C(C)(C)C)n[nH]2)CC1 ZINC000491710439 526935524 /nfs/dbraw/zinc/93/55/24/526935524.db2.gz SKFQKBLWDJAIHN-UHFFFAOYSA-N 1 2 304.394 1.491 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCc2nnc(C(C)(C)C)[nH]2)CC1 ZINC000491710439 526935531 /nfs/dbraw/zinc/93/55/31/526935531.db2.gz SKFQKBLWDJAIHN-UHFFFAOYSA-N 1 2 304.394 1.491 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCc2n[nH]c(C(C)(C)C)n2)CC1 ZINC000491710439 526935532 /nfs/dbraw/zinc/93/55/32/526935532.db2.gz SKFQKBLWDJAIHN-UHFFFAOYSA-N 1 2 304.394 1.491 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN2CCSc3ccccc32)CC1 ZINC000490840966 526950744 /nfs/dbraw/zinc/95/07/44/526950744.db2.gz RBLFXGRNNVUGMZ-UHFFFAOYSA-N 1 2 315.442 1.376 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000342089754 526962529 /nfs/dbraw/zinc/96/25/29/526962529.db2.gz BYXJQJINVCCZKG-CQSZACIVSA-N 1 2 317.389 1.239 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000342089754 526962533 /nfs/dbraw/zinc/96/25/33/526962533.db2.gz BYXJQJINVCCZKG-CQSZACIVSA-N 1 2 317.389 1.239 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2csc([C@H](C)OC)n2)CC1 ZINC000491203343 526970063 /nfs/dbraw/zinc/97/00/63/526970063.db2.gz VEJQJKNZSFXGQC-LBPRGKRZSA-N 1 2 321.446 1.812 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@@H]2CCc3ccc(F)cc32)CC1 ZINC000491636107 527003893 /nfs/dbraw/zinc/00/38/93/527003893.db2.gz UMUZSKUJEKBBAD-QGZVFWFLSA-N 1 2 315.392 1.180 20 30 DDEDLO C=CCC1(C(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)CCOCC1 ZINC000424861492 527039093 /nfs/dbraw/zinc/03/90/93/527039093.db2.gz XFQALVZCGCEQDH-HNNXBMFYSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCc1cn2c(cccc2C)[nH+]1 ZINC000341817649 527065470 /nfs/dbraw/zinc/06/54/70/527065470.db2.gz FZEVZRDJAVYHHI-CYBMUJFWSA-N 1 2 302.378 1.627 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@@H]2CCN(C(C)(C)C)C2=O)n1 ZINC000491728190 527184826 /nfs/dbraw/zinc/18/48/26/527184826.db2.gz AMINTLPXEAOFLM-OAHLLOKOSA-N 1 2 302.422 1.738 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@@H]2CCN(C(C)(C)C)C2=O)n1 ZINC000491728190 527184833 /nfs/dbraw/zinc/18/48/33/527184833.db2.gz AMINTLPXEAOFLM-OAHLLOKOSA-N 1 2 302.422 1.738 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1ccc(N2CCCCC2)nc1 ZINC000491288580 527212944 /nfs/dbraw/zinc/21/29/44/527212944.db2.gz STHJEXVOCSTLLM-CQSZACIVSA-N 1 2 300.406 1.964 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1ccc(N2CCCCC2)nc1 ZINC000491288580 527212949 /nfs/dbraw/zinc/21/29/49/527212949.db2.gz STHJEXVOCSTLLM-CQSZACIVSA-N 1 2 300.406 1.964 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000491452279 527237567 /nfs/dbraw/zinc/23/75/67/527237567.db2.gz KGCXDJIKKPWMLI-AWEZNQCLSA-N 1 2 302.378 1.129 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)N[C@H]1CCCc2ccccc21 ZINC000491264311 527309765 /nfs/dbraw/zinc/30/97/65/527309765.db2.gz FTTPFYZUIGJYHZ-AEFFLSMTSA-N 1 2 312.413 1.904 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)N[C@H]1CCCc2ccccc21 ZINC000491264311 527309768 /nfs/dbraw/zinc/30/97/68/527309768.db2.gz FTTPFYZUIGJYHZ-AEFFLSMTSA-N 1 2 312.413 1.904 20 30 DDEDLO C#C[C@H](CC)NC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000491625444 527354224 /nfs/dbraw/zinc/35/42/24/527354224.db2.gz HUYDVYBOVFBBOQ-CQSZACIVSA-N 1 2 302.378 1.129 20 30 DDEDLO C#C[C@H](CC)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000491452663 527357581 /nfs/dbraw/zinc/35/75/81/527357581.db2.gz MVEGPYYZLWAZPQ-TZMCWYRMSA-N 1 2 304.394 1.011 20 30 DDEDLO C#C[C@H](CC)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000491452663 527357588 /nfs/dbraw/zinc/35/75/88/527357588.db2.gz MVEGPYYZLWAZPQ-TZMCWYRMSA-N 1 2 304.394 1.011 20 30 DDEDLO C=CCN(C(=O)C[N@H+]1[C@H](C)CC[C@@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000330917801 527534740 /nfs/dbraw/zinc/53/47/40/527534740.db2.gz WOASOMSKYXTZBL-MJBXVCDLSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCN(C(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000330917801 527534745 /nfs/dbraw/zinc/53/47/45/527534745.db2.gz WOASOMSKYXTZBL-MJBXVCDLSA-N 1 2 314.451 1.061 20 30 DDEDLO CC1(C)C[S@@](=O)CC[N@@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000330967912 527772160 /nfs/dbraw/zinc/77/21/60/527772160.db2.gz AJCAGEYJFYJNSQ-QFIPXVFZSA-N 1 2 319.430 1.730 20 30 DDEDLO CC1(C)C[S@@](=O)CC[N@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000330967912 527772163 /nfs/dbraw/zinc/77/21/63/527772163.db2.gz AJCAGEYJFYJNSQ-QFIPXVFZSA-N 1 2 319.430 1.730 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000330825467 527927046 /nfs/dbraw/zinc/92/70/46/527927046.db2.gz ONDBOCNQAKHDCP-STQMWFEESA-N 1 2 321.343 1.430 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000330825467 527927052 /nfs/dbraw/zinc/92/70/52/527927052.db2.gz ONDBOCNQAKHDCP-STQMWFEESA-N 1 2 321.343 1.430 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)c1cn([C@H]2CCOC2)nn1 ZINC000330112288 528727492 /nfs/dbraw/zinc/72/74/92/528727492.db2.gz RQALMUXVALTOIX-LBPRGKRZSA-N 1 2 318.381 1.597 20 30 DDEDLO CC(C)N(C[C@H](C)O)C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000331002292 528926709 /nfs/dbraw/zinc/92/67/09/528926709.db2.gz VPVPEXIOLAIHMB-ZDUSSCGKSA-N 1 2 301.431 1.102 20 30 DDEDLO CC(C)N(C[C@H](C)O)C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000331002292 528926714 /nfs/dbraw/zinc/92/67/14/528926714.db2.gz VPVPEXIOLAIHMB-ZDUSSCGKSA-N 1 2 301.431 1.102 20 30 DDEDLO CCN1CCN(C(=O)c2ccc(CC#N)cc2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000433108570 529134258 /nfs/dbraw/zinc/13/42/58/529134258.db2.gz HOTFQFWNWLIKEZ-MRXNPFEDSA-N 1 2 323.400 1.995 20 30 DDEDLO CCN1CC[N@H+](CCCOc2cccc(C#N)c2)[C@@H](C)C1=O ZINC000495191223 529145525 /nfs/dbraw/zinc/14/55/25/529145525.db2.gz JJKBDLNKPNEUIL-AWEZNQCLSA-N 1 2 301.390 1.880 20 30 DDEDLO CCN1CC[N@@H+](CCCOc2cccc(C#N)c2)[C@@H](C)C1=O ZINC000495191223 529145527 /nfs/dbraw/zinc/14/55/27/529145527.db2.gz JJKBDLNKPNEUIL-AWEZNQCLSA-N 1 2 301.390 1.880 20 30 DDEDLO CC[C@@H](C(=O)NC1(C#N)CCOCC1)[N@@H+]1CCO[C@H](CC)C1 ZINC000330446455 529280843 /nfs/dbraw/zinc/28/08/43/529280843.db2.gz HMJLJJFWLDSURY-KGLIPLIRSA-N 1 2 309.410 1.065 20 30 DDEDLO CC[C@@H](C(=O)NC1(C#N)CCOCC1)[N@H+]1CCO[C@H](CC)C1 ZINC000330446455 529280844 /nfs/dbraw/zinc/28/08/44/529280844.db2.gz HMJLJJFWLDSURY-KGLIPLIRSA-N 1 2 309.410 1.065 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)c1C ZINC000330104535 529291049 /nfs/dbraw/zinc/29/10/49/529291049.db2.gz HPUZFIARNWEOPE-GXTWGEPZSA-N 1 2 322.457 1.734 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)c1C ZINC000330104535 529291050 /nfs/dbraw/zinc/29/10/50/529291050.db2.gz HPUZFIARNWEOPE-GXTWGEPZSA-N 1 2 322.457 1.734 20 30 DDEDLO C=CCN(Cc1cccc(-c2nn[nH]n2)c1)C(=O)Cn1cc[nH+]c1 ZINC000819743749 599698210 /nfs/dbraw/zinc/69/82/10/599698210.db2.gz PRGDSSDTXIAWLF-UHFFFAOYSA-N 1 2 323.360 1.278 20 30 DDEDLO C#CC[N@@H+](CC)Cc1nnc(-c2cccc(-c3nn[nH]n3)c2)o1 ZINC000819702273 606073837 /nfs/dbraw/zinc/07/38/37/606073837.db2.gz WIMBTWDZFXQCAJ-UHFFFAOYSA-N 1 2 309.333 1.372 20 30 DDEDLO C#CC[N@H+](CC)Cc1nnc(-c2cccc(-c3nn[nH]n3)c2)o1 ZINC000819702273 606073843 /nfs/dbraw/zinc/07/38/43/606073843.db2.gz WIMBTWDZFXQCAJ-UHFFFAOYSA-N 1 2 309.333 1.372 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@]3(C)CC=CCC3)C2)C1 ZINC000972396792 695239552 /nfs/dbraw/zinc/23/95/52/695239552.db2.gz LUJLLKXTVIBHPB-ROUUACIJSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@]3(C)CC=CCC3)C2)C1 ZINC000972396792 695239553 /nfs/dbraw/zinc/23/95/53/695239553.db2.gz LUJLLKXTVIBHPB-ROUUACIJSA-N 1 2 302.418 1.669 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)COC3CCCCC3)C2)C1 ZINC000972443749 695252825 /nfs/dbraw/zinc/25/28/25/695252825.db2.gz MANFJFWUECILMJ-SFHVURJKSA-N 1 2 322.449 1.825 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)COC3CCCCC3)C2)C1 ZINC000972443749 695252826 /nfs/dbraw/zinc/25/28/26/695252826.db2.gz MANFJFWUECILMJ-SFHVURJKSA-N 1 2 322.449 1.825 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CC[C@@H]4C[C@@H]4CC3)C2)C1 ZINC000972479740 695260493 /nfs/dbraw/zinc/26/04/93/695260493.db2.gz ZGDIZEITVXVRAI-BMFAXAFESA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CC[C@@H]4C[C@@H]4CC3)C2)C1 ZINC000972479740 695260495 /nfs/dbraw/zinc/26/04/95/695260495.db2.gz ZGDIZEITVXVRAI-BMFAXAFESA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C(F)(F)F)C2)C1 ZINC000972493592 695264851 /nfs/dbraw/zinc/26/48/51/695264851.db2.gz CWPLAFHZTWJAIR-AAEUAGOBSA-N 1 2 304.312 1.121 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C(F)(F)F)C2)C1 ZINC000972493592 695264852 /nfs/dbraw/zinc/26/48/52/695264852.db2.gz CWPLAFHZTWJAIR-AAEUAGOBSA-N 1 2 304.312 1.121 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CCC(OC)CC3)C2)C1 ZINC000972523942 695272809 /nfs/dbraw/zinc/27/28/09/695272809.db2.gz MNLFWYAYZUOVSJ-LEOMRAHMSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CCC(OC)CC3)C2)C1 ZINC000972523942 695272812 /nfs/dbraw/zinc/27/28/12/695272812.db2.gz MNLFWYAYZUOVSJ-LEOMRAHMSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3cccs3)C2)C1 ZINC000972547867 695278692 /nfs/dbraw/zinc/27/86/92/695278692.db2.gz DWSZVGAUFHNDIU-YOEHRIQHSA-N 1 2 318.442 1.788 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3cccs3)C2)C1 ZINC000972547867 695278694 /nfs/dbraw/zinc/27/86/94/695278694.db2.gz DWSZVGAUFHNDIU-YOEHRIQHSA-N 1 2 318.442 1.788 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C(C)(F)F)C2)C1 ZINC000972556401 695281584 /nfs/dbraw/zinc/28/15/84/695281584.db2.gz GJFHZMFWSXFKNX-DOMZBBRYSA-N 1 2 300.349 1.214 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C(C)(F)F)C2)C1 ZINC000972556401 695281586 /nfs/dbraw/zinc/28/15/86/695281586.db2.gz GJFHZMFWSXFKNX-DOMZBBRYSA-N 1 2 300.349 1.214 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)Cc3ccco3)C2)C1 ZINC000972593566 695293285 /nfs/dbraw/zinc/29/32/85/695293285.db2.gz NICGFHFQOJMGDX-MAUKXSAKSA-N 1 2 316.401 1.395 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)Cc3ccco3)C2)C1 ZINC000972593566 695293286 /nfs/dbraw/zinc/29/32/86/695293286.db2.gz NICGFHFQOJMGDX-MAUKXSAKSA-N 1 2 316.401 1.395 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(CC)CCOCC3)C2)C1 ZINC000972615777 695299777 /nfs/dbraw/zinc/29/97/77/695299777.db2.gz SFGQFTWEMZEXRW-GOSISDBHSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(CC)CCOCC3)C2)C1 ZINC000972615777 695299778 /nfs/dbraw/zinc/29/97/78/695299778.db2.gz SFGQFTWEMZEXRW-GOSISDBHSA-N 1 2 322.449 1.683 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(CC)cc3)C2)C1 ZINC000972662946 695313102 /nfs/dbraw/zinc/31/31/02/695313102.db2.gz WBXKTJKIATTYMR-LJQANCHMSA-N 1 2 312.413 1.799 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(CC)cc3)C2)C1 ZINC000972662946 695313104 /nfs/dbraw/zinc/31/31/04/695313104.db2.gz WBXKTJKIATTYMR-LJQANCHMSA-N 1 2 312.413 1.799 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccn3CC)C2)C1 ZINC000972675499 695315417 /nfs/dbraw/zinc/31/54/17/695315417.db2.gz YVUKXFQAQHEFPS-KRWDZBQOSA-N 1 2 301.390 1.058 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccn3CC)C2)C1 ZINC000972675499 695315418 /nfs/dbraw/zinc/31/54/18/695315418.db2.gz YVUKXFQAQHEFPS-KRWDZBQOSA-N 1 2 301.390 1.058 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@H+](C)Cc3cc(C)on3)C2)nc1 ZINC000972754350 695329289 /nfs/dbraw/zinc/32/92/89/695329289.db2.gz XJTHGFKEWSNVAP-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@@H+](C)Cc3cc(C)on3)C2)nc1 ZINC000972754350 695329290 /nfs/dbraw/zinc/32/92/90/695329290.db2.gz XJTHGFKEWSNVAP-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc(C)ncn2)C(C)(C)C1 ZINC000973050089 695405200 /nfs/dbraw/zinc/40/52/00/695405200.db2.gz GLDMUSWYHOBEDM-CYBMUJFWSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc(C)ncn2)C(C)(C)C1 ZINC000973050089 695405201 /nfs/dbraw/zinc/40/52/01/695405201.db2.gz GLDMUSWYHOBEDM-CYBMUJFWSA-N 1 2 308.813 1.978 20 30 DDEDLO COc1ccc(CNC(=O)N[C@@H](C)Cn2cc[nH+]c2)cc1C#N ZINC000746533369 700029197 /nfs/dbraw/zinc/02/91/97/700029197.db2.gz MJKLPBPFAVVYRU-LBPRGKRZSA-N 1 2 313.361 1.651 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C(C)(C)C1 ZINC000974845181 695747066 /nfs/dbraw/zinc/74/70/66/695747066.db2.gz KJGITAYZGQLHAX-DXUDUQDWSA-N 1 2 324.852 1.989 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C(C)(C)C1 ZINC000974845181 695747067 /nfs/dbraw/zinc/74/70/67/695747067.db2.gz KJGITAYZGQLHAX-DXUDUQDWSA-N 1 2 324.852 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C(C)(C)C1 ZINC000977390885 696141304 /nfs/dbraw/zinc/14/13/04/696141304.db2.gz ROFWJNHDAOZDEZ-GFCCVEGCSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C(C)(C)C1 ZINC000977390885 696141307 /nfs/dbraw/zinc/14/13/07/696141307.db2.gz ROFWJNHDAOZDEZ-GFCCVEGCSA-N 1 2 324.812 1.306 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000798386527 700060900 /nfs/dbraw/zinc/06/09/00/700060900.db2.gz TTYPNHQQEYZHDN-HNNXBMFYSA-N 1 2 312.373 1.446 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)Cc2cccc(C(N)=O)c2)c1 ZINC000052130797 696252526 /nfs/dbraw/zinc/25/25/26/696252526.db2.gz WSMRCJWKEBUXJG-UHFFFAOYSA-N 1 2 321.380 1.837 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)Cc2cccc(C(N)=O)c2)c1 ZINC000052130797 696252528 /nfs/dbraw/zinc/25/25/28/696252528.db2.gz WSMRCJWKEBUXJG-UHFFFAOYSA-N 1 2 321.380 1.837 20 30 DDEDLO N#CCN1C[C@@H]2[C@@H](CNC(=O)c3ccc(-n4cc[nH+]c4)cc3)[C@@H]2C1 ZINC000978534989 696405257 /nfs/dbraw/zinc/40/52/57/696405257.db2.gz PAWQJXGFUOSHRX-OSYLJGHBSA-N 1 2 321.384 1.303 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1CC[NH+](CCS(C)(=O)=O)CC1 ZINC000135035235 696844065 /nfs/dbraw/zinc/84/40/65/696844065.db2.gz QXVHOEXVDYOERC-OAHLLOKOSA-N 1 2 316.467 1.214 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2conc2C(F)(F)F)CC1 ZINC000981626345 696847440 /nfs/dbraw/zinc/84/74/40/696847440.db2.gz NCYQHCMFLNLNAE-UHFFFAOYSA-N 1 2 315.295 1.865 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2conc2C(F)(F)F)CC1 ZINC000981626345 696847443 /nfs/dbraw/zinc/84/74/43/696847443.db2.gz NCYQHCMFLNLNAE-UHFFFAOYSA-N 1 2 315.295 1.865 20 30 DDEDLO O=C(c1ccon1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980773066 696885291 /nfs/dbraw/zinc/88/52/91/696885291.db2.gz GSMNDSFHBQQDIK-UHFFFAOYSA-N 1 2 309.369 1.874 20 30 DDEDLO O=C(c1ccon1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980773066 696885294 /nfs/dbraw/zinc/88/52/94/696885294.db2.gz GSMNDSFHBQQDIK-UHFFFAOYSA-N 1 2 309.369 1.874 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CCC[N@@H+](CCF)CC2)cc1 ZINC000982110924 697032297 /nfs/dbraw/zinc/03/22/97/697032297.db2.gz HSLMOLHPQITHNX-UHFFFAOYSA-N 1 2 304.365 1.816 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CCC[N@H+](CCF)CC2)cc1 ZINC000982110924 697032299 /nfs/dbraw/zinc/03/22/99/697032299.db2.gz HSLMOLHPQITHNX-UHFFFAOYSA-N 1 2 304.365 1.816 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000984465888 697388310 /nfs/dbraw/zinc/38/83/10/697388310.db2.gz NHWDYUWNRVVDAY-CQSZACIVSA-N 1 2 318.421 1.614 20 30 DDEDLO Cc1ccc(C(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)cc1C#N ZINC000799612914 700153267 /nfs/dbraw/zinc/15/32/67/700153267.db2.gz GSIJPPWXFDKCCX-UONOGXRCSA-N 1 2 301.390 1.706 20 30 DDEDLO C[C@H]1Cc2ccccc2N1C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000179920896 697442892 /nfs/dbraw/zinc/44/28/92/697442892.db2.gz XZEYZTYZIWANTF-ZDUSSCGKSA-N 1 2 324.384 1.891 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)[C@H]2C)n1 ZINC000986251856 697753172 /nfs/dbraw/zinc/75/31/72/697753172.db2.gz AYPPNVMFPLAXRA-GXTWGEPZSA-N 1 2 316.405 1.685 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1)[C@@H]1CCCO1 ZINC000776225128 698083775 /nfs/dbraw/zinc/08/37/75/698083775.db2.gz CCGPECHWIJXFAB-VGWMRTNUSA-N 1 2 316.405 1.656 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)[C@@H]1CCCO1 ZINC000776227331 698084084 /nfs/dbraw/zinc/08/40/84/698084084.db2.gz JMZYMPNXCJPUGE-ILXRZTDVSA-N 1 2 302.378 1.410 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)Cc1ccccc1CC#N ZINC000777380569 698184425 /nfs/dbraw/zinc/18/44/25/698184425.db2.gz JEUAOFNFJJZPOR-UHFFFAOYSA-N 1 2 301.390 1.086 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CCC[C@@H](C(=O)OCC)C2)c1 ZINC000780290219 698503553 /nfs/dbraw/zinc/50/35/53/698503553.db2.gz UHKCDOLGLIXUKW-OAHLLOKOSA-N 1 2 314.385 1.882 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@@H](C(=O)OCC)C2)c1 ZINC000780290219 698503556 /nfs/dbraw/zinc/50/35/56/698503556.db2.gz UHKCDOLGLIXUKW-OAHLLOKOSA-N 1 2 314.385 1.882 20 30 DDEDLO C[C@H](C(=O)Nc1sccc1C(N)=O)[NH+]1CCC(CC#N)CC1 ZINC000782086956 698682376 /nfs/dbraw/zinc/68/23/76/698682376.db2.gz AAKYVIZZZLWLIB-SNVBAGLBSA-N 1 2 320.418 1.800 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)OC(=O)CNc1ccc(C#N)cc1 ZINC000782258105 698699819 /nfs/dbraw/zinc/69/98/19/698699819.db2.gz GADMXSQONPLTDN-CYBMUJFWSA-N 1 2 303.362 1.234 20 30 DDEDLO C=C(C)CC[N@@H+]1CCCN(C(=O)[C@H]2CCCS2(=O)=O)CC1 ZINC000989699751 698732701 /nfs/dbraw/zinc/73/27/01/698732701.db2.gz UQDWKERIDUMCFK-CQSZACIVSA-N 1 2 314.451 1.064 20 30 DDEDLO C=C(C)CC[N@H+]1CCCN(C(=O)[C@H]2CCCS2(=O)=O)CC1 ZINC000989699751 698732705 /nfs/dbraw/zinc/73/27/05/698732705.db2.gz UQDWKERIDUMCFK-CQSZACIVSA-N 1 2 314.451 1.064 20 30 DDEDLO CN(CN1C[C@H](c2c[nH+]cn2C)CC1=O)c1ccccc1C#N ZINC000783991540 698900103 /nfs/dbraw/zinc/90/01/03/698900103.db2.gz OOTSTBSJKMUKNH-CQSZACIVSA-N 1 2 309.373 1.702 20 30 DDEDLO C#CC[C@@H](CO)[NH2+]Cc1c(Cl)cccc1S(C)(=O)=O ZINC000717821591 699291333 /nfs/dbraw/zinc/29/13/33/699291333.db2.gz DBOKGAOMFUURTR-JTQLQIEISA-N 1 2 301.795 1.217 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1)[C@H]1CCCO1 ZINC000789206210 699370007 /nfs/dbraw/zinc/37/00/07/699370007.db2.gz LDHYLBGWASMMMV-BZUAXINKSA-N 1 2 315.373 1.425 20 30 DDEDLO C#CCNC(=O)CN1CCC(c2[nH+]cc3n2CCCC3)CC1 ZINC000789357807 699381432 /nfs/dbraw/zinc/38/14/32/699381432.db2.gz OWGINNBDOZUERC-UHFFFAOYSA-N 1 2 300.406 1.148 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCC(=O)Nc1ccc(Cl)cc1 ZINC000730105447 699501574 /nfs/dbraw/zinc/50/15/74/699501574.db2.gz IMCWSTIAFAFMQG-AWEZNQCLSA-N 1 2 319.792 1.492 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCC(=O)Nc1ccc(Cl)cc1 ZINC000730105447 699501575 /nfs/dbraw/zinc/50/15/75/699501575.db2.gz IMCWSTIAFAFMQG-AWEZNQCLSA-N 1 2 319.792 1.492 20 30 DDEDLO C[C@H]1CN(c2ccccc2C=[NH+]NC(=S)N(C)C)CCO1 ZINC000731558212 699530654 /nfs/dbraw/zinc/53/06/54/699530654.db2.gz MRGVDERVZPZODN-LBPRGKRZSA-N 1 2 306.435 1.682 20 30 DDEDLO C#CCNC(=O)COC(=O)[C@H](c1ccccc1)[NH+](CC)CC ZINC000732350227 699556627 /nfs/dbraw/zinc/55/66/27/699556627.db2.gz ZNTSZJSEXFBUDK-INIZCTEOSA-N 1 2 302.374 1.362 20 30 DDEDLO C=C(CO)C(=O)N(CC)CC1CCN(c2cccc[nH+]2)CC1 ZINC000791376511 699628617 /nfs/dbraw/zinc/62/86/17/699628617.db2.gz WGXSNZAOVZHVAY-UHFFFAOYSA-N 1 2 303.406 1.695 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)OCc2cc[nH+]c(N)c2)cc1 ZINC000792272604 699688956 /nfs/dbraw/zinc/68/89/56/699688956.db2.gz QGMBXCFBSDPUFM-HNNXBMFYSA-N 1 2 306.325 1.961 20 30 DDEDLO CN(C(=O)COC(=O)[C@H]1CCCC[N@@H+]1C)C1(C#N)CCCCC1 ZINC000741146409 699817129 /nfs/dbraw/zinc/81/71/29/699817129.db2.gz JCKBWRNETPYWSY-CQSZACIVSA-N 1 2 321.421 1.699 20 30 DDEDLO CN(C(=O)COC(=O)[C@H]1CCCC[N@H+]1C)C1(C#N)CCCCC1 ZINC000741146409 699817132 /nfs/dbraw/zinc/81/71/32/699817132.db2.gz JCKBWRNETPYWSY-CQSZACIVSA-N 1 2 321.421 1.699 20 30 DDEDLO CN(CCCn1cc[nH+]c1)Cn1nc(CC#N)n(C)c1=S ZINC000794631795 699820026 /nfs/dbraw/zinc/82/00/26/699820026.db2.gz DDXORUSOQQEPET-UHFFFAOYSA-N 1 2 305.411 1.193 20 30 DDEDLO N#Cc1c(F)cccc1S(=O)(=O)NCCNc1cccc[nH+]1 ZINC000751495839 700295017 /nfs/dbraw/zinc/29/50/17/700295017.db2.gz BEYPBFNCBBPOIM-UHFFFAOYSA-N 1 2 320.349 1.483 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](Cc2ccnc(N(C)C)c2)CC1 ZINC000754075008 700480856 /nfs/dbraw/zinc/48/08/56/700480856.db2.gz LUEFYHMBYQKIDC-MRXNPFEDSA-N 1 2 301.438 1.813 20 30 DDEDLO C#CCNC(=S)NCc1ccccc1C[NH+]1CCOCC1 ZINC000758185486 700687710 /nfs/dbraw/zinc/68/77/10/700687710.db2.gz SHAOUKGZZQJZPV-UHFFFAOYSA-N 1 2 303.431 1.116 20 30 DDEDLO C#CCCCCNC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000758609252 700715908 /nfs/dbraw/zinc/71/59/08/700715908.db2.gz FZTORSNFZRNDSS-UHFFFAOYSA-N 1 2 319.409 1.140 20 30 DDEDLO C=C(C)CN(CC)C(=O)COC(=O)C[N@H+](C)[C@H]1CCSC1 ZINC000772046047 701341391 /nfs/dbraw/zinc/34/13/91/701341391.db2.gz FYRRYOQBGXIGTD-ZDUSSCGKSA-N 1 2 314.451 1.392 20 30 DDEDLO C=C(C)CN(CC)C(=O)COC(=O)C[N@@H+](C)[C@H]1CCSC1 ZINC000772046047 701341392 /nfs/dbraw/zinc/34/13/92/701341392.db2.gz FYRRYOQBGXIGTD-ZDUSSCGKSA-N 1 2 314.451 1.392 20 30 DDEDLO CCOC(=O)c1cnc(N=NCc2ccc(N)[nH+]c2)nc1C ZINC000807980842 701493068 /nfs/dbraw/zinc/49/30/68/701493068.db2.gz ZPXSJZQWKDUQSB-UHFFFAOYSA-N 1 2 300.322 1.385 20 30 DDEDLO O=C1C=C([NH2+]Nc2nc(-c3cccnc3)no2)[C@H]2CCCCN12 ZINC000814949455 701775280 /nfs/dbraw/zinc/77/52/80/701775280.db2.gz LJKTVOHPDJAZOW-GFCCVEGCSA-N 1 2 312.333 1.684 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000815062348 701790808 /nfs/dbraw/zinc/79/08/08/701790808.db2.gz JVWJQUBVLAIHMN-UONOGXRCSA-N 1 2 314.426 1.803 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000815062348 701790813 /nfs/dbraw/zinc/79/08/13/701790813.db2.gz JVWJQUBVLAIHMN-UONOGXRCSA-N 1 2 314.426 1.803 20 30 DDEDLO CN(C)c1[nH+]cc(CN=Nc2ncnc3ccsc32)n1C ZINC000811645680 702020046 /nfs/dbraw/zinc/02/00/46/702020046.db2.gz VWLIJMLNIBLSEY-UHFFFAOYSA-N 1 2 301.379 1.937 20 30 DDEDLO C#CCC(C)(C)C(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000816563502 702113421 /nfs/dbraw/zinc/11/34/21/702113421.db2.gz AGQGBWBKSOCKMF-CYBMUJFWSA-N 1 2 303.406 1.207 20 30 DDEDLO CON(C)C(=O)C1CC[NH+](Cc2ccc(F)cc2C#N)CC1 ZINC000812872837 702256142 /nfs/dbraw/zinc/25/61/42/702256142.db2.gz ZMVYYRYLQGZMGP-UHFFFAOYSA-N 1 2 305.353 1.929 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](C[C@H]2CN(C3CC3)C(=O)O2)CC1 ZINC000844310294 703010415 /nfs/dbraw/zinc/01/04/15/703010415.db2.gz QQOPPIUEDCQXIC-GJZGRUSLSA-N 1 2 306.410 1.135 20 30 DDEDLO CC[C@H](C#N)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000845756806 703197529 /nfs/dbraw/zinc/19/75/29/703197529.db2.gz MOMQVXJLMZFPTO-CHWSQXEVSA-N 1 2 324.425 1.203 20 30 DDEDLO CC[C@H](C#N)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000845756806 703197531 /nfs/dbraw/zinc/19/75/31/703197531.db2.gz MOMQVXJLMZFPTO-CHWSQXEVSA-N 1 2 324.425 1.203 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585044 706728224 /nfs/dbraw/zinc/72/82/24/706728224.db2.gz QFRGRKMZQZSSBA-CABCVRRESA-N 1 2 314.433 1.983 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CC(=O)Nc2nnc(-c3ccco3)o2)C1 ZINC000847021372 703366977 /nfs/dbraw/zinc/36/69/77/703366977.db2.gz KLHNWVSMNRMNDR-LLVKDONJSA-N 1 2 300.318 1.613 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CC(=O)Nc2nnc(-c3ccco3)o2)C1 ZINC000847021372 703366980 /nfs/dbraw/zinc/36/69/80/703366980.db2.gz KLHNWVSMNRMNDR-LLVKDONJSA-N 1 2 300.318 1.613 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)CCO[C@H]2CC2(F)F)n1 ZINC000851996221 703900317 /nfs/dbraw/zinc/90/03/17/703900317.db2.gz KSEQQUNCVPDABS-AWEZNQCLSA-N 1 2 313.348 1.389 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)CCO[C@H]2CC2(F)F)n1 ZINC000851996221 703900319 /nfs/dbraw/zinc/90/03/19/703900319.db2.gz KSEQQUNCVPDABS-AWEZNQCLSA-N 1 2 313.348 1.389 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000870157816 703937507 /nfs/dbraw/zinc/93/75/07/703937507.db2.gz PBWHQGZFZONUTF-GOSISDBHSA-N 1 2 318.417 1.667 20 30 DDEDLO CCN1C[C@@H](C[NH+]2CCN(c3ccsc3C#N)CC2)OC1=O ZINC000852342678 704017668 /nfs/dbraw/zinc/01/76/68/704017668.db2.gz IJICLJURXDMOHU-GFCCVEGCSA-N 1 2 320.418 1.582 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)NCC(=O)c1cccs1 ZINC000852750746 704113833 /nfs/dbraw/zinc/11/38/33/704113833.db2.gz DVPHLZXSGSIEFU-UHFFFAOYSA-N 1 2 308.403 1.019 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)NCC(=O)c1cccs1 ZINC000852750746 704113836 /nfs/dbraw/zinc/11/38/36/704113836.db2.gz DVPHLZXSGSIEFU-UHFFFAOYSA-N 1 2 308.403 1.019 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc(O[C@@H]2CCOC2)cc1 ZINC000819448301 704126843 /nfs/dbraw/zinc/12/68/43/704126843.db2.gz VXYRNXUYKNCCJX-DOTOQJQBSA-N 1 2 319.405 1.473 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc(O[C@@H]2CCOC2)cc1 ZINC000819448301 704126844 /nfs/dbraw/zinc/12/68/44/704126844.db2.gz VXYRNXUYKNCCJX-DOTOQJQBSA-N 1 2 319.405 1.473 20 30 DDEDLO N#Cc1c2c(cn(C[N@@H+]3CCC[C@H](n4ccnn4)C3)c1=O)CCC2 ZINC000819897626 704183504 /nfs/dbraw/zinc/18/35/04/704183504.db2.gz SGZTYFCNFFGLEA-AWEZNQCLSA-N 1 2 324.388 1.095 20 30 DDEDLO N#Cc1c2c(cn(C[N@H+]3CCC[C@H](n4ccnn4)C3)c1=O)CCC2 ZINC000819897626 704183506 /nfs/dbraw/zinc/18/35/06/704183506.db2.gz SGZTYFCNFFGLEA-AWEZNQCLSA-N 1 2 324.388 1.095 20 30 DDEDLO Cc1cc(C)n(C2N=NC(=S)N2N=Cc2c[nH+]c(C)n2C)n1 ZINC000853284700 704229504 /nfs/dbraw/zinc/22/95/04/704229504.db2.gz IAGIXPDZEYEFMZ-UHFFFAOYSA-N 1 2 316.394 1.293 20 30 DDEDLO C#CCCN(CCOC)C(=O)NCCCNc1cccc[nH+]1 ZINC000853399654 704238274 /nfs/dbraw/zinc/23/82/74/704238274.db2.gz VMSILJMWOFMOGZ-UHFFFAOYSA-N 1 2 304.394 1.565 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C#N)ccc1F ZINC000854424175 704394937 /nfs/dbraw/zinc/39/49/37/704394937.db2.gz PRUDOZOENQRSLR-TZMCWYRMSA-N 1 2 317.364 1.633 20 30 DDEDLO C#C[C@@](C)(CC)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000854703722 704442187 /nfs/dbraw/zinc/44/21/87/704442187.db2.gz CMIQNLXTKVGKCR-YOEHRIQHSA-N 1 2 318.421 1.401 20 30 DDEDLO C#C[C@@](C)(CC)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000854703722 704442188 /nfs/dbraw/zinc/44/21/88/704442188.db2.gz CMIQNLXTKVGKCR-YOEHRIQHSA-N 1 2 318.421 1.401 20 30 DDEDLO Cc1ccc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)cc1C#N ZINC000854725995 704444577 /nfs/dbraw/zinc/44/45/77/704444577.db2.gz FDEBWQBNKNNBOL-QGZVFWFLSA-N 1 2 313.401 1.804 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H](CC)[N@@H+]1CCO[C@@H](CC)C1)C1CCOCC1 ZINC000856099505 704513431 /nfs/dbraw/zinc/51/34/31/704513431.db2.gz CEUUFFKZUSMNKZ-YESZJQIVSA-N 1 2 322.449 1.420 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H](CC)[N@H+]1CCO[C@@H](CC)C1)C1CCOCC1 ZINC000856099505 704513432 /nfs/dbraw/zinc/51/34/32/704513432.db2.gz CEUUFFKZUSMNKZ-YESZJQIVSA-N 1 2 322.449 1.420 20 30 DDEDLO Cn1cc(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)c(Cl)n1 ZINC000857048525 704549005 /nfs/dbraw/zinc/54/90/05/704549005.db2.gz JPWRPCUYODXYCU-ZDUSSCGKSA-N 1 2 317.780 1.993 20 30 DDEDLO Cn1cc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)c(Cl)n1 ZINC000857048525 704549006 /nfs/dbraw/zinc/54/90/06/704549006.db2.gz JPWRPCUYODXYCU-ZDUSSCGKSA-N 1 2 317.780 1.993 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C)c(C#N)c1 ZINC000874709016 705143318 /nfs/dbraw/zinc/14/33/18/705143318.db2.gz VNWZTVOWGPWHKU-MRXNPFEDSA-N 1 2 301.390 1.707 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(C)c(C#N)c1 ZINC000874709016 705143319 /nfs/dbraw/zinc/14/33/19/705143319.db2.gz VNWZTVOWGPWHKU-MRXNPFEDSA-N 1 2 301.390 1.707 20 30 DDEDLO NS(=O)(=O)c1ccc(N[NH2+]C=C2CC2(F)F)c([N+](=O)[O-])c1 ZINC000834915799 707147540 /nfs/dbraw/zinc/14/75/40/707147540.db2.gz MLOPIPXDKRPNHA-LURJTMIESA-N 1 2 320.277 1.295 20 30 DDEDLO C=CCONC(=O)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000875649147 705462748 /nfs/dbraw/zinc/46/27/48/705462748.db2.gz CLSQGAWHJPKDIN-INIZCTEOSA-N 1 2 319.405 1.613 20 30 DDEDLO C=CCONC(=O)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000875649147 705462750 /nfs/dbraw/zinc/46/27/50/705462750.db2.gz CLSQGAWHJPKDIN-INIZCTEOSA-N 1 2 319.405 1.613 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@H+]2CCOC[C@@H]2CC)c1 ZINC000875652388 705463894 /nfs/dbraw/zinc/46/38/94/705463894.db2.gz CEBCAMYIWFYTMF-KRWDZBQOSA-N 1 2 315.417 1.578 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@@H+]2CCOC[C@@H]2CC)c1 ZINC000875652388 705463897 /nfs/dbraw/zinc/46/38/97/705463897.db2.gz CEBCAMYIWFYTMF-KRWDZBQOSA-N 1 2 315.417 1.578 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cc(OC)cc(OC)c2)CC1 ZINC000824861943 705543969 /nfs/dbraw/zinc/54/39/69/705543969.db2.gz UCNWAXLINGLURL-UHFFFAOYSA-N 1 2 318.373 1.983 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CC[C@](O)(CC#N)C1 ZINC000876204211 705656337 /nfs/dbraw/zinc/65/63/37/705656337.db2.gz KQMPEXFWINGTBJ-NVXWUHKLSA-N 1 2 314.389 1.233 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CC[C@](O)(CC#N)C1 ZINC000876204211 705656340 /nfs/dbraw/zinc/65/63/40/705656340.db2.gz KQMPEXFWINGTBJ-NVXWUHKLSA-N 1 2 314.389 1.233 20 30 DDEDLO O=S1(=O)CCC[C@@H](NN=C2CCN(c3cccc[nH+]3)CC2)C1 ZINC000863129496 705864063 /nfs/dbraw/zinc/86/40/63/705864063.db2.gz MDBYMICLDXFFMF-CQSZACIVSA-N 1 2 322.434 1.205 20 30 DDEDLO C#CC[C@H]1NC(=O)N(CCNc2cc(C)[nH+]c(C(C)C)n2)C1=O ZINC000863525848 705948771 /nfs/dbraw/zinc/94/87/71/705948771.db2.gz SIIMHIULZAIQBL-GFCCVEGCSA-N 1 2 315.377 1.264 20 30 DDEDLO C[C@H]([NH2+]Cc1cc(C#N)cnc1Cl)C(=O)NCc1ccco1 ZINC000877149991 705998017 /nfs/dbraw/zinc/99/80/17/705998017.db2.gz JCAGMCGQVUJWMS-JTQLQIEISA-N 1 2 318.764 1.994 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000878251623 706339061 /nfs/dbraw/zinc/33/90/61/706339061.db2.gz PBGCYJARMGTJBZ-AAEUAGOBSA-N 1 2 320.349 1.594 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000878251623 706339063 /nfs/dbraw/zinc/33/90/63/706339063.db2.gz PBGCYJARMGTJBZ-AAEUAGOBSA-N 1 2 320.349 1.594 20 30 DDEDLO N#C[C@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1ccc(Cl)cc1 ZINC000865199671 706379851 /nfs/dbraw/zinc/37/98/51/706379851.db2.gz URHWBZMNSUPLSY-YLSAJCSVSA-N 1 2 319.792 1.909 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000829898000 706415956 /nfs/dbraw/zinc/41/59/56/706415956.db2.gz RMKZDCJYRSICSU-CYBMUJFWSA-N 1 2 320.820 1.853 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[N@H+]1CCc2c(Cl)cccc2C1 ZINC000829898000 706415959 /nfs/dbraw/zinc/41/59/59/706415959.db2.gz RMKZDCJYRSICSU-CYBMUJFWSA-N 1 2 320.820 1.853 20 30 DDEDLO N#Cc1cc(C(F)(F)F)cc(NCC[NH+]2CCOCC2)n1 ZINC000865400778 706421705 /nfs/dbraw/zinc/42/17/05/706421705.db2.gz QQKZQPCATIUFQO-UHFFFAOYSA-N 1 2 300.284 1.716 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)cc1OC ZINC000830163353 706467374 /nfs/dbraw/zinc/46/73/74/706467374.db2.gz XJTPLRSHVRBFMR-AWEZNQCLSA-N 1 2 317.389 1.407 20 30 DDEDLO C#CC[N@@H+](Cc1cc(-c2cnn(C)c2C)no1)C1CSC1 ZINC000878910592 706530289 /nfs/dbraw/zinc/53/02/89/706530289.db2.gz YJOYPQONTVILFD-UHFFFAOYSA-N 1 2 302.403 1.934 20 30 DDEDLO C#CC[N@H+](Cc1cc(-c2cnn(C)c2C)no1)C1CSC1 ZINC000878910592 706530293 /nfs/dbraw/zinc/53/02/93/706530293.db2.gz YJOYPQONTVILFD-UHFFFAOYSA-N 1 2 302.403 1.934 20 30 DDEDLO CCCS(=O)(=O)CC[NH+]1CCC(c2ccc(C#N)cn2)CC1 ZINC000879026419 706566394 /nfs/dbraw/zinc/56/63/94/706566394.db2.gz GRXMKEAPVMRTPO-UHFFFAOYSA-N 1 2 321.446 1.957 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)C#Cc1cccs1 ZINC000832383363 706901656 /nfs/dbraw/zinc/90/16/56/706901656.db2.gz AXEMRMLXOLHYOK-ZIAGYGMSSA-N 1 2 304.415 1.421 20 30 DDEDLO CO[C@@H]1COCC[C@@H]1[N@H+](C)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000880434774 706975223 /nfs/dbraw/zinc/97/52/23/706975223.db2.gz GLKNCZDBHPUDHK-LSDHHAIUSA-N 1 2 305.334 1.702 20 30 DDEDLO CO[C@@H]1COCC[C@@H]1[N@@H+](C)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000880434774 706975224 /nfs/dbraw/zinc/97/52/24/706975224.db2.gz GLKNCZDBHPUDHK-LSDHHAIUSA-N 1 2 305.334 1.702 20 30 DDEDLO C#CCC1(O)CC[NH+](CCS(=O)(=O)c2ccccc2)CC1 ZINC000880476930 706985899 /nfs/dbraw/zinc/98/58/99/706985899.db2.gz JQCGJTKFOWEXHA-UHFFFAOYSA-N 1 2 307.415 1.311 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1cc(C#N)ccc1F ZINC000834709661 707105476 /nfs/dbraw/zinc/10/54/76/707105476.db2.gz UYCPFUMFYIHJAU-GFCCVEGCSA-N 1 2 305.353 1.490 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(c3ccc(C)cc3)C2=O)nn1 ZINC000881314540 707193155 /nfs/dbraw/zinc/19/31/55/707193155.db2.gz WOKYDDWDZWYSBH-QGZVFWFLSA-N 1 2 323.400 1.677 20 30 DDEDLO CC(C)CNN=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872361440 707390567 /nfs/dbraw/zinc/39/05/67/707390567.db2.gz BEYOGJCHLWZDAY-UHFFFAOYSA-N 1 2 305.422 1.977 20 30 DDEDLO C#CCC1(O)CCN(C(=O)c2ccc(Cn3cc[nH+]c3)cc2)CC1 ZINC000882522343 707679898 /nfs/dbraw/zinc/67/98/98/707679898.db2.gz PZNNDNZMAJXVQS-UHFFFAOYSA-N 1 2 323.396 1.922 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000882903368 707845661 /nfs/dbraw/zinc/84/56/61/707845661.db2.gz BAQNKYRLBOMNGN-RDJZCZTQSA-N 1 2 318.417 1.713 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000882903368 707845666 /nfs/dbraw/zinc/84/56/66/707845666.db2.gz BAQNKYRLBOMNGN-RDJZCZTQSA-N 1 2 318.417 1.713 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000838503533 707934684 /nfs/dbraw/zinc/93/46/84/707934684.db2.gz WNYHCHHYMBLBNM-HNNXBMFYSA-N 1 2 312.373 1.229 20 30 DDEDLO C=CCC[C@H]([NH2+]Cc1nnc2c(=O)n(C)ccn12)c1ccco1 ZINC000883336151 707978715 /nfs/dbraw/zinc/97/87/15/707978715.db2.gz HUZHABPIWDMXCN-LBPRGKRZSA-N 1 2 313.361 1.818 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCCOc2ccccc21 ZINC000884113232 708145345 /nfs/dbraw/zinc/14/53/45/708145345.db2.gz KJWSQQHJLZHMSB-KBPBESRZSA-N 1 2 318.373 1.463 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@H](C2CCCCC2)C1 ZINC000884405067 708282774 /nfs/dbraw/zinc/28/27/74/708282774.db2.gz GTOJMHCZYTVQEG-GJZGRUSLSA-N 1 2 308.422 1.862 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)N[C@@H](CC(C)C)C2=O)CC1 ZINC000884478995 708311645 /nfs/dbraw/zinc/31/16/45/708311645.db2.gz RWEISVBCZUUTDG-ZDUSSCGKSA-N 1 2 309.410 1.313 20 30 DDEDLO C=CCC1(O)CCN(c2cc(N3CCOCC3)nc[nH+]2)CC1 ZINC000884723252 708366166 /nfs/dbraw/zinc/36/61/66/708366166.db2.gz DVDVCOUZVISJIT-UHFFFAOYSA-N 1 2 304.394 1.221 20 30 DDEDLO C=CCC1(O)CCN(c2cc(N3CCOCC3)[nH+]cn2)CC1 ZINC000884723252 708366170 /nfs/dbraw/zinc/36/61/70/708366170.db2.gz DVDVCOUZVISJIT-UHFFFAOYSA-N 1 2 304.394 1.221 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@H+](C)C[C@H](O)CC1(C#N)CCC1 ZINC000886043773 708687809 /nfs/dbraw/zinc/68/78/09/708687809.db2.gz JNKPZCVDIYHQSU-KGLIPLIRSA-N 1 2 312.410 1.330 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@@H+](C)C[C@H](O)CC1(C#N)CCC1 ZINC000886043773 708687810 /nfs/dbraw/zinc/68/78/10/708687810.db2.gz JNKPZCVDIYHQSU-KGLIPLIRSA-N 1 2 312.410 1.330 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@]1(CO)CNC(=O)OC(C)(C)C ZINC000886291210 708736468 /nfs/dbraw/zinc/73/64/68/708736468.db2.gz ZVBFZZNMYUAOPG-OAHLLOKOSA-N 1 2 300.399 1.151 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@]1(CO)CNC(=O)OC(C)(C)C ZINC000886291210 708736471 /nfs/dbraw/zinc/73/64/71/708736471.db2.gz ZVBFZZNMYUAOPG-OAHLLOKOSA-N 1 2 300.399 1.151 20 30 DDEDLO C=CCC1(O)CC[NH+](CC(=O)c2cc(C(=O)OC)[nH]c2C)CC1 ZINC000886780645 708843523 /nfs/dbraw/zinc/84/35/23/708843523.db2.gz VEJZAQODHGYGCD-UHFFFAOYSA-N 1 2 320.389 1.695 20 30 DDEDLO C=C1CCC(C(=O)N2CC[NH+](CCc3cnccn3)CC2)CC1 ZINC000899173815 709009857 /nfs/dbraw/zinc/00/98/57/709009857.db2.gz VDHYSQJJMXLECB-UHFFFAOYSA-N 1 2 314.433 1.910 20 30 DDEDLO C[C@H]1CCN(C(=O)c2cccc(C#CCO)c2)C[C@@H]1n1cc[nH+]c1 ZINC000901042338 709896081 /nfs/dbraw/zinc/89/60/81/709896081.db2.gz OXTRFERCEVFCBC-YJBOKZPZSA-N 1 2 323.396 1.950 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1noc(C(F)(F)F)n1 ZINC000910751887 710064154 /nfs/dbraw/zinc/06/41/54/710064154.db2.gz QSKWBQOTPVJBAJ-IUCAKERBSA-N 1 2 316.283 1.363 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1noc(C(F)(F)F)n1 ZINC000910751887 710064159 /nfs/dbraw/zinc/06/41/59/710064159.db2.gz QSKWBQOTPVJBAJ-IUCAKERBSA-N 1 2 316.283 1.363 20 30 DDEDLO O=C(NCC#C[C@@H]1CCCCO1)c1cc(-n2cc[nH+]c2)ccn1 ZINC000891124425 710101421 /nfs/dbraw/zinc/10/14/21/710101421.db2.gz JULLDFLJWSJBRP-HNNXBMFYSA-N 1 2 310.357 1.570 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccnn1C1CCC1 ZINC000891394904 710183635 /nfs/dbraw/zinc/18/36/35/710183635.db2.gz JKIWSQBEIOPXRD-UHFFFAOYSA-N 1 2 317.437 1.656 20 30 DDEDLO COc1c[nH]c(CO)c(C[N@H+](CCC#N)CCC(C)C)c1=O ZINC000902114812 710620653 /nfs/dbraw/zinc/62/06/53/710620653.db2.gz SLXFTYKUHBVTTC-UHFFFAOYSA-N 1 2 307.394 1.638 20 30 DDEDLO COc1c[nH]c(CO)c(C[N@@H+](CCC#N)CCC(C)C)c1=O ZINC000902114812 710620654 /nfs/dbraw/zinc/62/06/54/710620654.db2.gz SLXFTYKUHBVTTC-UHFFFAOYSA-N 1 2 307.394 1.638 20 30 DDEDLO C=CCn1nnn(CN2CCc3c([nH+]cn3CC)C2)c1=S ZINC000902551116 710791988 /nfs/dbraw/zinc/79/19/88/710791988.db2.gz PDYNAVIYCLLBCL-UHFFFAOYSA-N 1 2 305.411 1.227 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[NH2+][C@@H](c2cnn(C)c2)C1 ZINC000913462753 713226725 /nfs/dbraw/zinc/22/67/25/713226725.db2.gz NYDWDSBKZHMAFW-GDBMZVCRSA-N 1 2 306.410 1.122 20 30 DDEDLO C#CCN(C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F)C1CSC1 ZINC000912362305 711292209 /nfs/dbraw/zinc/29/22/09/711292209.db2.gz RWSJZEDZXKMBNK-NSHDSACASA-N 1 2 317.336 1.954 20 30 DDEDLO C[C@]1(Br)C[C@H]1C[NH+]1CCN(C(=O)CC#N)CC1 ZINC000895072862 711397709 /nfs/dbraw/zinc/39/77/09/711397709.db2.gz BHEWPYHPFAQRRP-JQWIXIFHSA-N 1 2 300.200 1.218 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)c(OC)c1 ZINC000928652328 713252182 /nfs/dbraw/zinc/25/21/82/713252182.db2.gz YZCCSHVTTNEXJS-HNNXBMFYSA-N 1 2 317.389 1.407 20 30 DDEDLO C[N@@H+]1CCN(C(=O)c2ccc(C(C)(C)C#N)cc2)C[C@@H]1CO ZINC000913544024 713251920 /nfs/dbraw/zinc/25/19/20/713251920.db2.gz VGHFNPNUQWEJLS-OAHLLOKOSA-N 1 2 301.390 1.236 20 30 DDEDLO C[N@H+]1CCN(C(=O)c2ccc(C(C)(C)C#N)cc2)C[C@@H]1CO ZINC000913544024 713251921 /nfs/dbraw/zinc/25/19/21/713251921.db2.gz VGHFNPNUQWEJLS-OAHLLOKOSA-N 1 2 301.390 1.236 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)Nc1ccc(C(=O)OC)nc1C#N ZINC000895802205 711609824 /nfs/dbraw/zinc/60/98/24/711609824.db2.gz OYVBUGLHVBRJMZ-GFCCVEGCSA-N 1 2 318.377 1.263 20 30 DDEDLO N#CC1(C(=O)NCCn2c[nH+]cc2-c2ccccc2)CCOCC1 ZINC000913710456 713287976 /nfs/dbraw/zinc/28/79/76/713287976.db2.gz PXWBAHVOKPYURY-UHFFFAOYSA-N 1 2 324.384 1.987 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1cc(=O)c2ccccc2[nH]1 ZINC000913747953 713294754 /nfs/dbraw/zinc/29/47/54/713294754.db2.gz RNLMQESLNCQFQS-INIZCTEOSA-N 1 2 309.369 1.242 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1cc(=O)c2ccccc2[nH]1 ZINC000913747953 713294755 /nfs/dbraw/zinc/29/47/55/713294755.db2.gz RNLMQESLNCQFQS-INIZCTEOSA-N 1 2 309.369 1.242 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000907834675 712633086 /nfs/dbraw/zinc/63/30/86/712633086.db2.gz AJRFFIBMMPTVPG-MMIPBJDJSA-N 1 2 319.449 1.886 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)Cc2csc(C#N)c2)[C@H](C)C1 ZINC000915551422 713423173 /nfs/dbraw/zinc/42/31/73/713423173.db2.gz XWYSNGPQUQTYLY-LLVKDONJSA-N 1 2 313.448 1.476 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)Cc2csc(C#N)c2)[C@H](C)C1 ZINC000915551422 713423174 /nfs/dbraw/zinc/42/31/74/713423174.db2.gz XWYSNGPQUQTYLY-LLVKDONJSA-N 1 2 313.448 1.476 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCCN(C(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC000928722019 713471483 /nfs/dbraw/zinc/47/14/83/713471483.db2.gz ZJWOLVSLCJATHK-AWEZNQCLSA-N 1 2 324.388 1.712 20 30 DDEDLO Cc1cc(CN(CCC#N)CC[NH+]2CCOCC2)c(C)nn1 ZINC000929773880 713687617 /nfs/dbraw/zinc/68/76/17/713687617.db2.gz DOMXJGNQNNASIB-UHFFFAOYSA-N 1 2 303.410 1.141 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1[C@H](C)C(=O)N[C@H](C)[C@H]1C ZINC000930829598 713930457 /nfs/dbraw/zinc/93/04/57/713930457.db2.gz ADLWOOAIBIYVKJ-JHJVBQTASA-N 1 2 317.389 1.543 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1[C@H](C)C(=O)N[C@H](C)[C@H]1C ZINC000930829598 713930460 /nfs/dbraw/zinc/93/04/60/713930460.db2.gz ADLWOOAIBIYVKJ-JHJVBQTASA-N 1 2 317.389 1.543 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2cc(OC)cc(OC)c2)CC1 ZINC000931146054 714015644 /nfs/dbraw/zinc/01/56/44/714015644.db2.gz SDBIADGPRMTEJE-UHFFFAOYSA-N 1 2 318.373 1.102 20 30 DDEDLO CN(C[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N)C(=O)OC(C)(C)C ZINC000931975544 714223729 /nfs/dbraw/zinc/22/37/29/714223729.db2.gz JIMIIRDAHOUMFT-ZDUSSCGKSA-N 1 2 324.425 1.348 20 30 DDEDLO CN(C[C@@H]1CCCC[N@H+]1CC(=O)NCC#N)C(=O)OC(C)(C)C ZINC000931975544 714223731 /nfs/dbraw/zinc/22/37/31/714223731.db2.gz JIMIIRDAHOUMFT-ZDUSSCGKSA-N 1 2 324.425 1.348 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cc(F)cc(C#N)c1 ZINC000932103921 714259594 /nfs/dbraw/zinc/25/95/94/714259594.db2.gz SXCIJXAVNQFCHS-LBPRGKRZSA-N 1 2 320.368 1.882 20 30 DDEDLO NS(=O)(=O)C[C@@H]1CC[N@H+](CC#Cc2ccccc2Cl)C1 ZINC000932951326 714443109 /nfs/dbraw/zinc/44/31/09/714443109.db2.gz XHWYPAYCIWUBJX-GFCCVEGCSA-N 1 2 312.822 1.302 20 30 DDEDLO NS(=O)(=O)C[C@@H]1CC[N@@H+](CC#Cc2ccccc2Cl)C1 ZINC000932951326 714443110 /nfs/dbraw/zinc/44/31/10/714443110.db2.gz XHWYPAYCIWUBJX-GFCCVEGCSA-N 1 2 312.822 1.302 20 30 DDEDLO C#CCCCCCOC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000923772238 714467540 /nfs/dbraw/zinc/46/75/40/714467540.db2.gz POSHLXSMUCQMKR-CVEARBPZSA-N 1 2 309.406 1.603 20 30 DDEDLO COC(=O)CCN1CC[C@@H]([N@H+](C)Cc2cc(C#N)cs2)C1=O ZINC000933770580 714668893 /nfs/dbraw/zinc/66/88/93/714668893.db2.gz LRIOMEWSLSGJEL-CYBMUJFWSA-N 1 2 321.402 1.216 20 30 DDEDLO COC(=O)CCN1CC[C@@H]([N@@H+](C)Cc2cc(C#N)cs2)C1=O ZINC000933770580 714668895 /nfs/dbraw/zinc/66/88/95/714668895.db2.gz LRIOMEWSLSGJEL-CYBMUJFWSA-N 1 2 321.402 1.216 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC(N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC000954662804 715460676 /nfs/dbraw/zinc/46/06/76/715460676.db2.gz OXKYQFIHZWGZGX-UHFFFAOYSA-N 1 2 324.812 1.331 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2CN(C(=O)CCc3c[nH]c[nH+]3)C2)C1 ZINC000955256959 715705756 /nfs/dbraw/zinc/70/57/56/715705756.db2.gz AAESAVWQWVIKNB-UHFFFAOYSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2CN(C(=O)CCc3c[nH+]c[nH]3)C2)C1 ZINC000955256959 715705762 /nfs/dbraw/zinc/70/57/62/715705762.db2.gz AAESAVWQWVIKNB-UHFFFAOYSA-N 1 2 316.405 1.368 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3[nH]c(=O)oc3c2)C1 ZINC000957428065 715899409 /nfs/dbraw/zinc/89/94/09/715899409.db2.gz XWBZZBAYGNWBLF-UHFFFAOYSA-N 1 2 313.357 1.703 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000939008672 716083353 /nfs/dbraw/zinc/08/33/53/716083353.db2.gz LNQNKUHYWIJLRY-LBPRGKRZSA-N 1 2 304.394 1.224 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H](C)[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000939507932 716296617 /nfs/dbraw/zinc/29/66/17/716296617.db2.gz LFQYOMOBPWEGAX-CHWSQXEVSA-N 1 2 316.405 1.272 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@@H]2CCCCN2C(=O)C#CC2CC2)no1 ZINC000960008683 716386337 /nfs/dbraw/zinc/38/63/37/716386337.db2.gz UKYOVFUQLWSWOG-WFASDCNBSA-N 1 2 316.405 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2conc2CC)C1 ZINC000958383625 716516416 /nfs/dbraw/zinc/51/64/16/716516416.db2.gz BFZDEMONZSZQPX-MFKMUULPSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2conc2CC)C1 ZINC000958383625 716516418 /nfs/dbraw/zinc/51/64/18/716516418.db2.gz BFZDEMONZSZQPX-MFKMUULPSA-N 1 2 313.785 1.012 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cc(C#N)c[nH]2)co1 ZINC000958504257 716599146 /nfs/dbraw/zinc/59/91/46/716599146.db2.gz BBGWQZDCXGJSNL-NHAGDIPZSA-N 1 2 311.345 1.043 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cc(C#N)c[nH]2)co1 ZINC000958504257 716599149 /nfs/dbraw/zinc/59/91/49/716599149.db2.gz BBGWQZDCXGJSNL-NHAGDIPZSA-N 1 2 311.345 1.043 20 30 DDEDLO N#CCN1CCC[C@H](C2CCN(C(=O)Cn3cc[nH+]c3)CC2)C1 ZINC000961438114 716946965 /nfs/dbraw/zinc/94/69/65/716946965.db2.gz GKHIWXKEHMEFTD-INIZCTEOSA-N 1 2 315.421 1.357 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000940844293 716987282 /nfs/dbraw/zinc/98/72/82/716987282.db2.gz GTUDLEJAPZFDDS-KGLIPLIRSA-N 1 2 315.421 1.186 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C(C)(C)C1 ZINC000941211717 717131140 /nfs/dbraw/zinc/13/11/40/717131140.db2.gz XZKSIZYWRCOVDF-OAHLLOKOSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C(C)(C)C1 ZINC000941211717 717131141 /nfs/dbraw/zinc/13/11/41/717131141.db2.gz XZKSIZYWRCOVDF-OAHLLOKOSA-N 1 2 311.389 1.421 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)CCC3CCC3)CC2)C1 ZINC000941366816 717163255 /nfs/dbraw/zinc/16/32/55/717163255.db2.gz URUHHJAGLNULIH-UHFFFAOYSA-N 1 2 303.450 1.418 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)C2=COCCO2)C1 ZINC000964710375 717388544 /nfs/dbraw/zinc/38/85/44/717388544.db2.gz HPVGCEHSXKJYIX-PWSUYJOCSA-N 1 2 300.786 1.454 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)C2=COCCO2)C1 ZINC000964710375 717388549 /nfs/dbraw/zinc/38/85/49/717388549.db2.gz HPVGCEHSXKJYIX-PWSUYJOCSA-N 1 2 300.786 1.454 20 30 DDEDLO C[C@H]1C[N@H+](CC#Cc2ccccc2)CC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000942214927 717553663 /nfs/dbraw/zinc/55/36/63/717553663.db2.gz BJQARIRJGNTEQH-HOCLYGCPSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000942214927 717553667 /nfs/dbraw/zinc/55/36/67/717553667.db2.gz BJQARIRJGNTEQH-HOCLYGCPSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@H]1CCN(CC#N)C[C@H]1NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000966236526 718478663 /nfs/dbraw/zinc/47/86/63/718478663.db2.gz QFVKFAFGLORWBF-DZGCQCFKSA-N 1 2 315.421 1.112 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1cn[nH]n1 ZINC000967187737 718787433 /nfs/dbraw/zinc/78/74/33/718787433.db2.gz WVRKBFWJAKOOTI-WBMJQRKESA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1cn[nH]n1 ZINC000967187737 718787436 /nfs/dbraw/zinc/78/74/36/718787436.db2.gz WVRKBFWJAKOOTI-WBMJQRKESA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1cnn[nH]1 ZINC000967187737 718787439 /nfs/dbraw/zinc/78/74/39/718787439.db2.gz WVRKBFWJAKOOTI-WBMJQRKESA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1cnn[nH]1 ZINC000967187737 718787443 /nfs/dbraw/zinc/78/74/43/718787443.db2.gz WVRKBFWJAKOOTI-WBMJQRKESA-N 1 2 324.388 1.317 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1coc(C)n1 ZINC000948912768 719782793 /nfs/dbraw/zinc/78/27/93/719782793.db2.gz JVFRKJOFVHGULY-QGZVFWFLSA-N 1 2 309.369 1.945 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1coc(C)n1 ZINC000948912768 719782796 /nfs/dbraw/zinc/78/27/96/719782796.db2.gz JVFRKJOFVHGULY-QGZVFWFLSA-N 1 2 309.369 1.945 20 30 DDEDLO C=CC[NH+]1CCC2(CC1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC000949095385 719905709 /nfs/dbraw/zinc/90/57/09/719905709.db2.gz JPQUYBSBCAXUBV-LLVKDONJSA-N 1 2 324.318 1.766 20 30 DDEDLO C=CC[NH+]1CCC2(CC1)CN(C(=O)[C@@H](F)C(F)(F)F)CCO2 ZINC000949095385 719905716 /nfs/dbraw/zinc/90/57/16/719905716.db2.gz JPQUYBSBCAXUBV-LLVKDONJSA-N 1 2 324.318 1.766 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@@H](C)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969649659 720234304 /nfs/dbraw/zinc/23/43/04/720234304.db2.gz NUGHZCBWTVEQLY-ZDUSSCGKSA-N 1 2 323.400 1.840 20 30 DDEDLO C=CC[NH+]1CC([C@@H](C)NC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC000969819637 720308035 /nfs/dbraw/zinc/30/80/35/720308035.db2.gz ZMDJQSSAAIPNDX-GFCCVEGCSA-N 1 2 311.389 1.708 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC000969830926 720314582 /nfs/dbraw/zinc/31/45/82/720314582.db2.gz WILBPAOBCYWNAH-WBMJQRKESA-N 1 2 321.425 1.428 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnn(C)c2Cl)C1 ZINC000969971652 720581818 /nfs/dbraw/zinc/58/18/18/720581818.db2.gz YOQIAYHXOUXTDV-VIFPVBQESA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ncccc2OC)C1 ZINC000970798347 720939641 /nfs/dbraw/zinc/93/96/41/720939641.db2.gz RWFWDXFZFJEBKK-NSHDSACASA-N 1 2 309.797 1.893 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC000970807501 720943356 /nfs/dbraw/zinc/94/33/56/720943356.db2.gz VHRYKKIIQUWDMR-YPMHNXCESA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC000970932750 720995163 /nfs/dbraw/zinc/99/51/63/720995163.db2.gz XIRRZDVZCNLSQY-NEPJUHHUSA-N 1 2 313.829 1.044 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3cnccc3n2)C1 ZINC000952361264 721421906 /nfs/dbraw/zinc/42/19/06/721421906.db2.gz JYSLZOBCRBEOAX-UHFFFAOYSA-N 1 2 308.385 1.799 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cc(F)ccc2OC)CC1 ZINC000952370296 721424639 /nfs/dbraw/zinc/42/46/39/721424639.db2.gz DBMDEUXCXQZPRE-UHFFFAOYSA-N 1 2 304.365 1.544 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@H]2Cc3cc(F)ccc3O2)C1 ZINC000952390507 721433696 /nfs/dbraw/zinc/43/36/96/721433696.db2.gz XNKYWQYZKADCCR-KRWDZBQOSA-N 1 2 316.376 1.685 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)OC(=O)/C=C\c1ccccc1C#N ZINC000588428904 734406394 /nfs/dbraw/zinc/40/63/94/734406394.db2.gz NSWIVFCABOTPKA-AFNCTOJWSA-N 1 2 300.358 1.835 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001038141224 734971535 /nfs/dbraw/zinc/97/15/35/734971535.db2.gz TYCXNIZBFDJDSE-ZDUSSCGKSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001038141224 734971537 /nfs/dbraw/zinc/97/15/37/734971537.db2.gz TYCXNIZBFDJDSE-ZDUSSCGKSA-N 1 2 313.361 1.496 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)nc2)CC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067440948 735014752 /nfs/dbraw/zinc/01/47/52/735014752.db2.gz RLULAUSEWWESEL-WBMJQRKESA-N 1 2 324.388 1.250 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3C[C@@H]3OCCCC)n2C)CC1 ZINC001121350666 782467830 /nfs/dbraw/zinc/46/78/30/782467830.db2.gz JIWZMZFIBKSJHL-GJZGRUSLSA-N 1 2 317.437 1.243 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3Cc4ccccc4C3)C2)C1 ZINC000972571111 735254865 /nfs/dbraw/zinc/25/48/65/735254865.db2.gz IDSMSHSFIRMFSD-FQEVSTJZSA-N 1 2 324.424 1.338 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3Cc4ccccc4C3)C2)C1 ZINC000972571111 735254868 /nfs/dbraw/zinc/25/48/68/735254868.db2.gz IDSMSHSFIRMFSD-FQEVSTJZSA-N 1 2 324.424 1.338 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c(C)c3)[C@H]2C1 ZINC001083264679 735564344 /nfs/dbraw/zinc/56/43/44/735564344.db2.gz KWOOPPAKUATFFQ-ZWKOTPCHSA-N 1 2 312.413 1.852 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c(C)c3)[C@H]2C1 ZINC001083264679 735564346 /nfs/dbraw/zinc/56/43/46/735564346.db2.gz KWOOPPAKUATFFQ-ZWKOTPCHSA-N 1 2 312.413 1.852 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H]1CCN(C(C)C)C1=O ZINC001032562892 751347403 /nfs/dbraw/zinc/34/74/03/751347403.db2.gz HZINRJUVJGUKRY-KKUMJFAQSA-N 1 2 319.449 1.493 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H]1CCN(C(C)C)C1=O ZINC001032562892 751347410 /nfs/dbraw/zinc/34/74/10/751347410.db2.gz HZINRJUVJGUKRY-KKUMJFAQSA-N 1 2 319.449 1.493 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@@H]2CCCC[N@H+]2CC(=C)Cl)nn1 ZINC001024695509 736024981 /nfs/dbraw/zinc/02/49/81/736024981.db2.gz ABCHSDPEPWLZCR-ZDUSSCGKSA-N 1 2 323.828 1.801 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@@H]2CCCC[N@@H+]2CC(=C)Cl)nn1 ZINC001024695509 736024987 /nfs/dbraw/zinc/02/49/87/736024987.db2.gz ABCHSDPEPWLZCR-ZDUSSCGKSA-N 1 2 323.828 1.801 20 30 DDEDLO C=CCN(CCOC)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1C ZINC001121427573 782505338 /nfs/dbraw/zinc/50/53/38/782505338.db2.gz KDADYACKOPMCDU-AWEZNQCLSA-N 1 2 323.441 1.236 20 30 DDEDLO C=CCN(CCOC)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1C ZINC001121427573 782505343 /nfs/dbraw/zinc/50/53/43/782505343.db2.gz KDADYACKOPMCDU-AWEZNQCLSA-N 1 2 323.441 1.236 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)N(C)C(=O)Cc1c[nH+]cn1C ZINC001104625199 736463973 /nfs/dbraw/zinc/46/39/73/736463973.db2.gz ZOEFTQWRAKAYTN-GFCCVEGCSA-N 1 2 312.377 1.188 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@@H](C)CC)C2)nn1 ZINC001105227380 737633643 /nfs/dbraw/zinc/63/36/43/737633643.db2.gz HYSGHDWPJPWFNI-ZDUSSCGKSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001125938545 737765474 /nfs/dbraw/zinc/76/54/74/737765474.db2.gz FBGNXTLYBMCRNS-UHFFFAOYSA-N 1 2 304.394 1.422 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001125946127 737868031 /nfs/dbraw/zinc/86/80/31/737868031.db2.gz RZZGKCSRWSTEAR-CYBMUJFWSA-N 1 2 322.409 1.567 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@@H](N(C)CC#N)C3)ccn12 ZINC001027411019 738271118 /nfs/dbraw/zinc/27/11/18/738271118.db2.gz KLTLJBRGWCXSDB-OAHLLOKOSA-N 1 2 311.389 1.703 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N1CCC[C@@H](N(C)CC#N)C1)C2 ZINC001027472125 738326113 /nfs/dbraw/zinc/32/61/13/738326113.db2.gz ZBSLBZVZMSGQBE-UONOGXRCSA-N 1 2 315.421 1.269 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N1CCC[C@@H](N(C)CC#N)C1)CC2 ZINC001027472125 738326119 /nfs/dbraw/zinc/32/61/19/738326119.db2.gz ZBSLBZVZMSGQBE-UONOGXRCSA-N 1 2 315.421 1.269 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001058884606 739027296 /nfs/dbraw/zinc/02/72/96/739027296.db2.gz BITNEBVULRYIKF-ZDUSSCGKSA-N 1 2 310.361 1.322 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccnn2[C@H](C)CC)C1 ZINC001035385841 751455515 /nfs/dbraw/zinc/45/55/15/751455515.db2.gz FXAHMTCYKPDOKH-HUUCEWRRSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccnn2[C@H](C)CC)C1 ZINC001035385841 751455519 /nfs/dbraw/zinc/45/55/19/751455519.db2.gz FXAHMTCYKPDOKH-HUUCEWRRSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(OC)c(C)c2)C1 ZINC001035377936 751474152 /nfs/dbraw/zinc/47/41/52/751474152.db2.gz KKQXWZMQRHSFSL-INIZCTEOSA-N 1 2 318.417 1.929 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(OC)c(C)c2)C1 ZINC001035377936 751474157 /nfs/dbraw/zinc/47/41/57/751474157.db2.gz KKQXWZMQRHSFSL-INIZCTEOSA-N 1 2 318.417 1.929 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C(C)(CC)CC)C2)nn1 ZINC001105374431 739750528 /nfs/dbraw/zinc/75/05/28/739750528.db2.gz ZTLVULUWTLARMF-UHFFFAOYSA-N 1 2 303.410 1.211 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccnn2C(F)F)C1 ZINC001035380042 751477330 /nfs/dbraw/zinc/47/73/30/751477330.db2.gz DPJQDFKOMBFTCN-LLVKDONJSA-N 1 2 314.336 1.285 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccnn2C(F)F)C1 ZINC001035380042 751477333 /nfs/dbraw/zinc/47/73/33/751477333.db2.gz DPJQDFKOMBFTCN-LLVKDONJSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn(C)c3ccccc23)C1 ZINC001035432445 751509699 /nfs/dbraw/zinc/50/96/99/751509699.db2.gz UIVAWUDOVICVHL-AWEZNQCLSA-N 1 2 313.401 1.795 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn(C)c3ccccc23)C1 ZINC001035432445 751509703 /nfs/dbraw/zinc/50/97/03/751509703.db2.gz UIVAWUDOVICVHL-AWEZNQCLSA-N 1 2 313.401 1.795 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C)c(OC)c2)C1 ZINC001035436976 751514042 /nfs/dbraw/zinc/51/40/42/751514042.db2.gz BJTKPQDRMBPUJC-HNNXBMFYSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C)c(OC)c2)C1 ZINC001035436976 751514048 /nfs/dbraw/zinc/51/40/48/751514048.db2.gz BJTKPQDRMBPUJC-HNNXBMFYSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@]2(C)c2ccccc2)C1 ZINC001035449636 751526973 /nfs/dbraw/zinc/52/69/73/751526973.db2.gz NBOHGYYYRZFKNS-JENIJYKNSA-N 1 2 314.429 1.967 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@]2(C)c2ccccc2)C1 ZINC001035449636 751526977 /nfs/dbraw/zinc/52/69/77/751526977.db2.gz NBOHGYYYRZFKNS-JENIJYKNSA-N 1 2 314.429 1.967 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)noc2C2CC2)C1 ZINC001035447032 751523830 /nfs/dbraw/zinc/52/38/30/751523830.db2.gz POEBQVGXFDFFEC-CQSZACIVSA-N 1 2 319.405 1.867 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)noc2C2CC2)C1 ZINC001035447032 751523834 /nfs/dbraw/zinc/52/38/34/751523834.db2.gz POEBQVGXFDFFEC-CQSZACIVSA-N 1 2 319.405 1.867 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)nn(C)c2C)C1 ZINC001035464644 751549450 /nfs/dbraw/zinc/54/94/50/751549450.db2.gz CHNPMMWAUROMHN-AWEZNQCLSA-N 1 2 306.410 1.044 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)nn(C)c2C)C1 ZINC001035464644 751549452 /nfs/dbraw/zinc/54/94/52/751549452.db2.gz CHNPMMWAUROMHN-AWEZNQCLSA-N 1 2 306.410 1.044 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001059318119 740613217 /nfs/dbraw/zinc/61/32/17/740613217.db2.gz VKDXOLBOYWCWJO-ZDUSSCGKSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3ccc(F)cc32)C1 ZINC001035492303 751574448 /nfs/dbraw/zinc/57/44/48/751574448.db2.gz XBNXKFZFLOMBBZ-GDBMZVCRSA-N 1 2 304.365 1.468 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3ccc(F)cc32)C1 ZINC001035492303 751574454 /nfs/dbraw/zinc/57/44/54/751574454.db2.gz XBNXKFZFLOMBBZ-GDBMZVCRSA-N 1 2 304.365 1.468 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1OC ZINC001211880389 740772796 /nfs/dbraw/zinc/77/27/96/740772796.db2.gz SYCZGFLEHSKKFV-NILFDRSVSA-N 1 2 321.446 1.981 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1OC ZINC001211880389 740772798 /nfs/dbraw/zinc/77/27/98/740772798.db2.gz SYCZGFLEHSKKFV-NILFDRSVSA-N 1 2 321.446 1.981 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn(CC)nc2C(C)C)C1 ZINC001035523922 751601859 /nfs/dbraw/zinc/60/18/59/751601859.db2.gz YBKATLAORGTAEY-AWEZNQCLSA-N 1 2 320.437 1.643 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn(CC)nc2C(C)C)C1 ZINC001035523922 751601863 /nfs/dbraw/zinc/60/18/63/751601863.db2.gz YBKATLAORGTAEY-AWEZNQCLSA-N 1 2 320.437 1.643 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H]3C[C@H]3CCC)C2)nn1 ZINC001098722515 741091114 /nfs/dbraw/zinc/09/11/14/741091114.db2.gz NRPAEUZYBSQPDF-VNQPRFMTSA-N 1 2 315.421 1.211 20 30 DDEDLO O=C(Cc1ccoc1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083424555 741178332 /nfs/dbraw/zinc/17/83/32/741178332.db2.gz XMFAXPTYNLXATH-MSOLQXFVSA-N 1 2 324.380 1.035 20 30 DDEDLO O=C(Cc1ccoc1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083424555 741178334 /nfs/dbraw/zinc/17/83/34/741178334.db2.gz XMFAXPTYNLXATH-MSOLQXFVSA-N 1 2 324.380 1.035 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn([C@@H](C)CC)c2C)C1 ZINC001035572926 751627822 /nfs/dbraw/zinc/62/78/22/751627822.db2.gz GCPZSNIYVVBRGI-ZFWWWQNUSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnn([C@@H](C)CC)c2C)C1 ZINC001035572926 751627827 /nfs/dbraw/zinc/62/78/27/751627827.db2.gz GCPZSNIYVVBRGI-ZFWWWQNUSA-N 1 2 320.437 1.779 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001035575077 751629315 /nfs/dbraw/zinc/62/93/15/751629315.db2.gz PHZAXZYKDVKMJN-CQSZACIVSA-N 1 2 303.406 1.510 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001035575077 751629319 /nfs/dbraw/zinc/62/93/19/751629319.db2.gz PHZAXZYKDVKMJN-CQSZACIVSA-N 1 2 303.406 1.510 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ncoc2C(C)(C)C)C1 ZINC001035574682 751629521 /nfs/dbraw/zinc/62/95/21/751629521.db2.gz NNGWWSUNETVEDQ-CYBMUJFWSA-N 1 2 319.405 1.426 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ncoc2C(C)(C)C)C1 ZINC001035574682 751629524 /nfs/dbraw/zinc/62/95/24/751629524.db2.gz NNGWWSUNETVEDQ-CYBMUJFWSA-N 1 2 319.405 1.426 20 30 DDEDLO C=CCCOCC(=O)N(C)CCN(C)c1nc(C)[nH+]c(C)c1C ZINC001105423769 741470922 /nfs/dbraw/zinc/47/09/22/741470922.db2.gz IGQXHXUSCULVEN-UHFFFAOYSA-N 1 2 320.437 1.889 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn3c2C[C@@H](C)CC3)C1 ZINC001035597196 751653731 /nfs/dbraw/zinc/65/37/31/751653731.db2.gz WBCCMBZRRSPNOG-KBPBESRZSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnn3c2C[C@@H](C)CC3)C1 ZINC001035597196 751653736 /nfs/dbraw/zinc/65/37/36/751653736.db2.gz WBCCMBZRRSPNOG-KBPBESRZSA-N 1 2 318.421 1.082 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2cnccn2)cc1 ZINC001038020808 751678220 /nfs/dbraw/zinc/67/82/20/751678220.db2.gz LAACHHZDDVMFKX-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cnccn2)cc1 ZINC001038020808 751678227 /nfs/dbraw/zinc/67/82/27/751678227.db2.gz LAACHHZDDVMFKX-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2nc3cccnc3s2)[C@H]1C ZINC001088624453 742024548 /nfs/dbraw/zinc/02/45/48/742024548.db2.gz HRWCMMDJXRMJHM-MNOVXSKESA-N 1 2 300.387 1.517 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2nc3cccnc3s2)[C@H]1C ZINC001088624453 742024552 /nfs/dbraw/zinc/02/45/52/742024552.db2.gz HRWCMMDJXRMJHM-MNOVXSKESA-N 1 2 300.387 1.517 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(N(C)C)ccn2)C1 ZINC001035619367 751690048 /nfs/dbraw/zinc/69/00/48/751690048.db2.gz JHJMTOOQAZWFNN-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(N(C)C)ccn2)C1 ZINC001035619367 751690056 /nfs/dbraw/zinc/69/00/56/751690056.db2.gz JHJMTOOQAZWFNN-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H](CCNc2cc[nH+]c(C)n2)C1 ZINC001111616338 742061740 /nfs/dbraw/zinc/06/17/40/742061740.db2.gz QLKHVJAUODPETB-UKRRQHHQSA-N 1 2 316.405 1.474 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]1CNC(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001038480042 742131716 /nfs/dbraw/zinc/13/17/16/742131716.db2.gz UUWVCLVNKOLGJL-ZDUSSCGKSA-N 1 2 311.385 1.908 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccn(C)n3)[C@@H]2C)cc1 ZINC001088787186 742363359 /nfs/dbraw/zinc/36/33/59/742363359.db2.gz UVUYONXLYPKVOQ-KDOFPFPSSA-N 1 2 322.412 1.794 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccn(C)n3)[C@@H]2C)cc1 ZINC001088787186 742363365 /nfs/dbraw/zinc/36/33/65/742363365.db2.gz UVUYONXLYPKVOQ-KDOFPFPSSA-N 1 2 322.412 1.794 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1O ZINC001083526579 742466331 /nfs/dbraw/zinc/46/63/31/742466331.db2.gz YYCKDJCPSWZUES-HRLNJXSSSA-N 1 2 309.797 1.202 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1O ZINC001083526579 742466333 /nfs/dbraw/zinc/46/63/33/742466333.db2.gz YYCKDJCPSWZUES-HRLNJXSSSA-N 1 2 309.797 1.202 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@]12CCOc1ccccc12 ZINC001038501230 742625503 /nfs/dbraw/zinc/62/55/03/742625503.db2.gz GWFPXMAHUAYDIY-QOKNQOGYSA-N 1 2 310.397 1.551 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@]12CCOc1ccccc12 ZINC001038501230 742625506 /nfs/dbraw/zinc/62/55/06/742625506.db2.gz GWFPXMAHUAYDIY-QOKNQOGYSA-N 1 2 310.397 1.551 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCCCCC1 ZINC001121510520 782541385 /nfs/dbraw/zinc/54/13/85/782541385.db2.gz QABZEWKOTFXOGC-ZIAGYGMSSA-N 1 2 305.426 1.582 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCCCCC1 ZINC001121510520 782541397 /nfs/dbraw/zinc/54/13/97/782541397.db2.gz QABZEWKOTFXOGC-ZIAGYGMSSA-N 1 2 305.426 1.582 20 30 DDEDLO Cc1cnc(C[NH+]2CCC(NC(=O)c3cc(C#N)c[nH]3)CC2)o1 ZINC001002552088 743233022 /nfs/dbraw/zinc/23/30/22/743233022.db2.gz UJWWLIWNHAERHX-UHFFFAOYSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001077148195 743275799 /nfs/dbraw/zinc/27/57/99/743275799.db2.gz BVRDOXKYMSYGPT-ZIAGYGMSSA-N 1 2 308.447 1.908 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001077148195 743275803 /nfs/dbraw/zinc/27/58/03/743275803.db2.gz BVRDOXKYMSYGPT-ZIAGYGMSSA-N 1 2 308.447 1.908 20 30 DDEDLO N#Cc1cc(C(=O)NC2CC[NH+](Cc3cnns3)CC2)c[nH]1 ZINC001002649662 743297992 /nfs/dbraw/zinc/29/79/92/743297992.db2.gz VVUSKBJUECUNSA-UHFFFAOYSA-N 1 2 316.390 1.132 20 30 DDEDLO C[C@H](c1ncccn1)[NH+]1CCC(NC(=O)c2c[nH]c(C#N)c2)CC1 ZINC001002647762 743308016 /nfs/dbraw/zinc/30/80/16/743308016.db2.gz FPRLMDNAKPGIIM-GFCCVEGCSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)CCNC(=O)c1cccc2[nH+]ccn21 ZINC001077357469 743424324 /nfs/dbraw/zinc/42/43/24/743424324.db2.gz WLIHHRGRPIYUOA-NEPJUHHUSA-N 1 2 313.361 1.119 20 30 DDEDLO CC(C)OCC[NH+]1CC(OC2CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC001105700113 743572796 /nfs/dbraw/zinc/57/27/96/743572796.db2.gz VNWLANOGEMXVLE-CQSZACIVSA-N 1 2 323.437 1.263 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001108053966 743633398 /nfs/dbraw/zinc/63/33/98/743633398.db2.gz WRXDIBLUYYDFGM-SFHVURJKSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001108053966 743633400 /nfs/dbraw/zinc/63/34/00/743633400.db2.gz WRXDIBLUYYDFGM-SFHVURJKSA-N 1 2 315.417 1.966 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)Cc2c[nH]c3cccc(C#N)c23)C1 ZINC001182582710 743669829 /nfs/dbraw/zinc/66/98/29/743669829.db2.gz FNWDHLYMIOCSBK-AWEZNQCLSA-N 1 2 312.373 1.029 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)Cc2c[nH]c3cccc(C#N)c23)C1 ZINC001182582710 743669831 /nfs/dbraw/zinc/66/98/31/743669831.db2.gz FNWDHLYMIOCSBK-AWEZNQCLSA-N 1 2 312.373 1.029 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C3(COC)CC3)CC2)C1 ZINC001105717647 743755547 /nfs/dbraw/zinc/75/55/47/743755547.db2.gz VVUPBKQWSCFSGX-UHFFFAOYSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCc3ccccc3[C@H]2O)CC1 ZINC001183390100 743829896 /nfs/dbraw/zinc/82/98/96/743829896.db2.gz LBRRQRSTTHGAKJ-DLBZAZTESA-N 1 2 300.402 1.613 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001077454457 743859574 /nfs/dbraw/zinc/85/95/74/743859574.db2.gz UCJYLQHTGADILA-HUUCEWRRSA-N 1 2 306.450 1.633 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001077454457 743859579 /nfs/dbraw/zinc/85/95/79/743859579.db2.gz UCJYLQHTGADILA-HUUCEWRRSA-N 1 2 306.450 1.633 20 30 DDEDLO C[C@@H]1CN(C(=O)CCCn2cc[nH+]c2)C[C@@]1(C)CNCC#N ZINC001183584935 743864248 /nfs/dbraw/zinc/86/42/48/743864248.db2.gz XBUDJEKWYUEKPZ-GDBMZVCRSA-N 1 2 303.410 1.261 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCC[C@H]1C(=O)N1CC(CO)C1 ZINC001183830831 743914745 /nfs/dbraw/zinc/91/47/45/743914745.db2.gz IYAXFUVFAPHNDK-KRWDZBQOSA-N 1 2 313.401 1.363 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCC[C@H]1C(=O)N1CC(CO)C1 ZINC001183830831 743914747 /nfs/dbraw/zinc/91/47/47/743914747.db2.gz IYAXFUVFAPHNDK-KRWDZBQOSA-N 1 2 313.401 1.363 20 30 DDEDLO N#Cc1cc(N)c(NC(=O)CCc2ccc(N)[nH+]c2)cc1C#N ZINC001183934245 743929765 /nfs/dbraw/zinc/92/97/65/743929765.db2.gz HPUBLRRKIYHJCX-UHFFFAOYSA-N 1 2 306.329 1.561 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ncn(-c2ccccc2)n1 ZINC001038391269 743998773 /nfs/dbraw/zinc/99/87/73/743998773.db2.gz XUUZUIFJOARNJE-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ncn(-c2ccccc2)n1 ZINC001038391269 743998774 /nfs/dbraw/zinc/99/87/74/743998774.db2.gz XUUZUIFJOARNJE-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCOc3ccccc3O2)CC1 ZINC001184705988 744084155 /nfs/dbraw/zinc/08/41/55/744084155.db2.gz CPHFXUVTSDQFAE-INIZCTEOSA-N 1 2 302.374 1.547 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NC[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001105992012 744172238 /nfs/dbraw/zinc/17/22/38/744172238.db2.gz WFRQHCBDQYHUCF-LLVKDONJSA-N 1 2 312.801 1.453 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001185296954 744200787 /nfs/dbraw/zinc/20/07/87/744200787.db2.gz VWEJUIZXUIMTFX-CYBMUJFWSA-N 1 2 304.394 1.994 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2ccc3c(c2)OC(F)(F)O3)CC1 ZINC001185486075 744235388 /nfs/dbraw/zinc/23/53/88/744235388.db2.gz ZDMCHVXCLQNNHG-UHFFFAOYSA-N 1 2 324.327 1.881 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@H](C)CC)C2)nn1 ZINC001185872159 744297098 /nfs/dbraw/zinc/29/70/98/744297098.db2.gz FZGIOYSSLCICAM-HIFRSBDPSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001185907564 744306702 /nfs/dbraw/zinc/30/67/02/744306702.db2.gz NFEXMPMTDQMJMA-MRXNPFEDSA-N 1 2 315.421 1.521 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C3(CC)CC3)C2)nn1 ZINC001186001402 744324684 /nfs/dbraw/zinc/32/46/84/744324684.db2.gz VXOQAFYNISBISP-OAHLLOKOSA-N 1 2 315.421 1.355 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)C1 ZINC001077478087 744333480 /nfs/dbraw/zinc/33/34/80/744333480.db2.gz WQUHVTFASIXPII-GHMZBOCLSA-N 1 2 316.188 1.120 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)C1 ZINC001077478087 744333485 /nfs/dbraw/zinc/33/34/85/744333485.db2.gz WQUHVTFASIXPII-GHMZBOCLSA-N 1 2 316.188 1.120 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)[C@H]1C ZINC001088977957 744422386 /nfs/dbraw/zinc/42/23/86/744422386.db2.gz VLDXOGLKVISQSA-DYEKYZERSA-N 1 2 322.840 1.846 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)[C@H]1C ZINC001088977957 744422388 /nfs/dbraw/zinc/42/23/88/744422388.db2.gz VLDXOGLKVISQSA-DYEKYZERSA-N 1 2 322.840 1.846 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C3CCCCCC3)CC2)C1 ZINC001046012573 744609433 /nfs/dbraw/zinc/60/94/33/744609433.db2.gz QPUAWMCCVQVFFN-KRWDZBQOSA-N 1 2 318.465 1.699 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)c(C)o1 ZINC001187840866 744616279 /nfs/dbraw/zinc/61/62/79/744616279.db2.gz MYVJWSWUDQZZHV-DOTOQJQBSA-N 1 2 315.417 1.984 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)c(C)o1 ZINC001187840866 744616282 /nfs/dbraw/zinc/61/62/82/744616282.db2.gz MYVJWSWUDQZZHV-DOTOQJQBSA-N 1 2 315.417 1.984 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@H]3CCCc4[nH+]c[nH]c43)CCC[C@@H]12 ZINC000992165276 744650581 /nfs/dbraw/zinc/65/05/81/744650581.db2.gz DQSJIUAWZUGQPY-DXCKQFNASA-N 1 2 313.405 1.466 20 30 DDEDLO CC(C)(C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C(F)F ZINC001046059326 744693456 /nfs/dbraw/zinc/69/34/56/744693456.db2.gz FBHURIWUWCYSPA-LBPRGKRZSA-N 1 2 314.380 1.020 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(CC)no2)C1 ZINC001188302146 744693622 /nfs/dbraw/zinc/69/36/22/744693622.db2.gz UJHBJVBLFWNTLT-CHWSQXEVSA-N 1 2 322.409 1.476 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)cs1 ZINC001188982988 744803287 /nfs/dbraw/zinc/80/32/87/744803287.db2.gz OZIQAGDASIPJRD-CYBMUJFWSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)cs1 ZINC001188982988 744803290 /nfs/dbraw/zinc/80/32/90/744803290.db2.gz OZIQAGDASIPJRD-CYBMUJFWSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1nc(N[C@H](C)C[C@@H](C)NC(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001089281504 744905435 /nfs/dbraw/zinc/90/54/35/744905435.db2.gz UEYCUVDRLCJZRM-GHMZBOCLSA-N 1 2 312.377 1.994 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)s2)C1 ZINC001189917637 745033083 /nfs/dbraw/zinc/03/30/83/745033083.db2.gz IWJYCFZZZYQOSN-CZUORRHYSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)s2)C1 ZINC001189917637 745033089 /nfs/dbraw/zinc/03/30/89/745033089.db2.gz IWJYCFZZZYQOSN-CZUORRHYSA-N 1 2 323.462 1.811 20 30 DDEDLO C#CCCCC(=O)N(C)CCOCCNc1cc[nH+]c(C)n1 ZINC001127279835 745197820 /nfs/dbraw/zinc/19/78/20/745197820.db2.gz FZHXBXKKFDZQME-UHFFFAOYSA-N 1 2 304.394 1.475 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CC[C@@H](N(C)CC#N)C1)n1cc[nH+]c1 ZINC001190816153 745338537 /nfs/dbraw/zinc/33/85/37/745338537.db2.gz CBVNCZROOWYASY-CABCVRRESA-N 1 2 303.410 1.527 20 30 DDEDLO CCC(=CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N)CC ZINC001110442526 745656548 /nfs/dbraw/zinc/65/65/48/745656548.db2.gz QDBOFLFKQUYBGC-KFWWJZLASA-N 1 2 318.421 1.094 20 30 DDEDLO CCC(=CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N)CC ZINC001110442526 745656551 /nfs/dbraw/zinc/65/65/51/745656551.db2.gz QDBOFLFKQUYBGC-KFWWJZLASA-N 1 2 318.421 1.094 20 30 DDEDLO Cc1nc(N[C@@H]2CC[C@@H]3CN(C(=O)C#CC4CC4)C[C@@H]23)cc[nH+]1 ZINC001060527691 745777357 /nfs/dbraw/zinc/77/73/57/745777357.db2.gz ANGCPQUYXWTDQL-BZUAXINKSA-N 1 2 310.401 1.847 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)=C(C)C)C2 ZINC001110447431 745793761 /nfs/dbraw/zinc/79/37/61/745793761.db2.gz CWJQDRJQZLZADG-UPJWGTAASA-N 1 2 309.385 1.274 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)=C(C)C)C2 ZINC001110447431 745793763 /nfs/dbraw/zinc/79/37/63/745793763.db2.gz CWJQDRJQZLZADG-UPJWGTAASA-N 1 2 309.385 1.274 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007138431 752033474 /nfs/dbraw/zinc/03/34/74/752033474.db2.gz CTPAKMAHPJSPNM-TZMCWYRMSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CCC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007138431 752033481 /nfs/dbraw/zinc/03/34/81/752033481.db2.gz CTPAKMAHPJSPNM-TZMCWYRMSA-N 1 2 324.388 1.632 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2ccnn2C)[C@@H]1C ZINC000993252907 745926912 /nfs/dbraw/zinc/92/69/12/745926912.db2.gz CQJGBGCOGGAHLG-GXTWGEPZSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2ccnn2C)[C@@H]1C ZINC000993252907 745926915 /nfs/dbraw/zinc/92/69/15/745926915.db2.gz CQJGBGCOGGAHLG-GXTWGEPZSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2c(C)nn(C(C)C)c2C)C1 ZINC001031233187 745954283 /nfs/dbraw/zinc/95/42/83/745954283.db2.gz ZPJILFFDEBAANI-UHFFFAOYSA-N 1 2 304.438 2.000 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001193400163 746091621 /nfs/dbraw/zinc/09/16/21/746091621.db2.gz JZLFZBLSPLTBBX-IAGOWNOFSA-N 1 2 313.401 1.576 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001193400163 746091625 /nfs/dbraw/zinc/09/16/25/746091625.db2.gz JZLFZBLSPLTBBX-IAGOWNOFSA-N 1 2 313.401 1.576 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cn[nH]c(=O)c2)[C@H]1C ZINC000993469024 746164429 /nfs/dbraw/zinc/16/44/29/746164429.db2.gz WLCOJEFRQUVMPQ-ZYHUDNBSSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cn[nH]c(=O)c2)[C@H]1C ZINC000993469024 746164433 /nfs/dbraw/zinc/16/44/33/746164433.db2.gz WLCOJEFRQUVMPQ-ZYHUDNBSSA-N 1 2 310.785 1.517 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2sc3nccn3c2C)[C@@H]1C ZINC000993453479 746169757 /nfs/dbraw/zinc/16/97/57/746169757.db2.gz QHDXZMBESPXWCF-AAEUAGOBSA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2sc3nccn3c2C)[C@@H]1C ZINC000993453479 746169760 /nfs/dbraw/zinc/16/97/60/746169760.db2.gz QHDXZMBESPXWCF-AAEUAGOBSA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)[C@H]1C ZINC000993560974 746220059 /nfs/dbraw/zinc/22/00/59/746220059.db2.gz YGYNACKKEYLAOQ-UKRRQHHQSA-N 1 2 323.396 1.744 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)[C@H]1C ZINC000993560974 746220061 /nfs/dbraw/zinc/22/00/61/746220061.db2.gz YGYNACKKEYLAOQ-UKRRQHHQSA-N 1 2 323.396 1.744 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1CCC[N@H+](CCF)[C@H]1C ZINC000994242205 746509274 /nfs/dbraw/zinc/50/92/74/746509274.db2.gz PUQORXLAINBZLF-DZGCQCFKSA-N 1 2 319.380 1.646 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1CCC[N@@H+](CCF)[C@H]1C ZINC000994242205 746509279 /nfs/dbraw/zinc/50/92/79/746509279.db2.gz PUQORXLAINBZLF-DZGCQCFKSA-N 1 2 319.380 1.646 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2conc2C)CC1 ZINC001195174194 746544917 /nfs/dbraw/zinc/54/49/17/746544917.db2.gz JBNYSFSEJSLHBC-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2conc2C)CC1 ZINC001195174194 746544919 /nfs/dbraw/zinc/54/49/19/746544919.db2.gz JBNYSFSEJSLHBC-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1O ZINC001195261721 746558702 /nfs/dbraw/zinc/55/87/02/746558702.db2.gz FZWXGQVXUXMPHR-CHWSQXEVSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1O ZINC001195261721 746558704 /nfs/dbraw/zinc/55/87/04/746558704.db2.gz FZWXGQVXUXMPHR-CHWSQXEVSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+]([C@@H](C)c2cnccn2)CC1 ZINC001195284345 746568573 /nfs/dbraw/zinc/56/85/73/746568573.db2.gz VGFKKRZRBZDTMG-AWEZNQCLSA-N 1 2 304.394 1.275 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+]([C@@H](C)c2cnccn2)CC1 ZINC001195284345 746568576 /nfs/dbraw/zinc/56/85/76/746568576.db2.gz VGFKKRZRBZDTMG-AWEZNQCLSA-N 1 2 304.394 1.275 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1O ZINC001195286817 746569261 /nfs/dbraw/zinc/56/92/61/746569261.db2.gz LRHCLMQZJFVEIH-ZIAGYGMSSA-N 1 2 307.394 1.111 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1O ZINC001195286817 746569262 /nfs/dbraw/zinc/56/92/62/746569262.db2.gz LRHCLMQZJFVEIH-ZIAGYGMSSA-N 1 2 307.394 1.111 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195313782 746574626 /nfs/dbraw/zinc/57/46/26/746574626.db2.gz DAKYVMVTVFJTHW-HNNXBMFYSA-N 1 2 320.437 1.105 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195313782 746574627 /nfs/dbraw/zinc/57/46/27/746574627.db2.gz DAKYVMVTVFJTHW-HNNXBMFYSA-N 1 2 320.437 1.105 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1O ZINC001195554488 746630747 /nfs/dbraw/zinc/63/07/47/746630747.db2.gz JRVSLICVACMMNN-NWANDNLSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1O ZINC001195554488 746630749 /nfs/dbraw/zinc/63/07/49/746630749.db2.gz JRVSLICVACMMNN-NWANDNLSSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](Cc2cnc(C)nc2)CC1 ZINC001195675039 746668907 /nfs/dbraw/zinc/66/89/07/746668907.db2.gz QEAGUUJQQWYAJJ-UHFFFAOYSA-N 1 2 300.406 1.623 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](Cc2cnc(C)nc2)CC1 ZINC001195675039 746668909 /nfs/dbraw/zinc/66/89/09/746668909.db2.gz QEAGUUJQQWYAJJ-UHFFFAOYSA-N 1 2 300.406 1.623 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1O ZINC001195733041 746679238 /nfs/dbraw/zinc/67/92/38/746679238.db2.gz WPDAWCWFPIFOHF-HUUCEWRRSA-N 1 2 307.394 1.255 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1O ZINC001195733041 746679239 /nfs/dbraw/zinc/67/92/39/746679239.db2.gz WPDAWCWFPIFOHF-HUUCEWRRSA-N 1 2 307.394 1.255 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(OC)nc2)C[C@H]1O ZINC001195732560 746679382 /nfs/dbraw/zinc/67/93/82/746679382.db2.gz BLBHGDLTKCTXRZ-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(OC)nc2)C[C@H]1O ZINC001195732560 746679384 /nfs/dbraw/zinc/67/93/84/746679384.db2.gz BLBHGDLTKCTXRZ-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2CC[C@H]3C[C@H]32)CC1 ZINC001196349672 746840681 /nfs/dbraw/zinc/84/06/81/746840681.db2.gz MWLNUERGDUBRMO-HRCADAONSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2CC[C@H]3C[C@H]32)CC1 ZINC001196349672 746840687 /nfs/dbraw/zinc/84/06/87/746840687.db2.gz MWLNUERGDUBRMO-HRCADAONSA-N 1 2 319.449 1.259 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCCCNC(N)=O)CC1 ZINC001196437930 746860768 /nfs/dbraw/zinc/86/07/68/746860768.db2.gz JIVVVGYDCIWNAA-UHFFFAOYSA-N 1 2 316.833 1.112 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCCCNC(N)=O)CC1 ZINC001196437930 746860775 /nfs/dbraw/zinc/86/07/75/746860775.db2.gz JIVVVGYDCIWNAA-UHFFFAOYSA-N 1 2 316.833 1.112 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cccc3n[nH]nc32)C1 ZINC001007407300 752131482 /nfs/dbraw/zinc/13/14/82/752131482.db2.gz JRNHPJVPHRTTCD-NSHDSACASA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cccc3n[nH]nc32)C1 ZINC001007407300 752131485 /nfs/dbraw/zinc/13/14/85/752131485.db2.gz JRNHPJVPHRTTCD-NSHDSACASA-N 1 2 319.796 1.905 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC2CCCC2)C(C)C)C1 ZINC001196623585 746917598 /nfs/dbraw/zinc/91/75/98/746917598.db2.gz KCPSLKBRGOGCNA-GVDBMIGSSA-N 1 2 306.450 1.633 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC2CCCC2)C(C)C)C1 ZINC001196623585 746917602 /nfs/dbraw/zinc/91/76/02/746917602.db2.gz KCPSLKBRGOGCNA-GVDBMIGSSA-N 1 2 306.450 1.633 20 30 DDEDLO CN(c1ccc(C#N)nc1)[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061228204 746918738 /nfs/dbraw/zinc/91/87/38/746918738.db2.gz UTWZRMHLOHCMIF-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO N#Cc1ccc(Cl)cc1S(=O)(=O)N1CC[N@@H+]2CC[C@H]2C1 ZINC001196676751 746932690 /nfs/dbraw/zinc/93/26/90/746932690.db2.gz VVUPSZYGTVZGFN-LBPRGKRZSA-N 1 2 311.794 1.290 20 30 DDEDLO N#Cc1ccc(Cl)cc1S(=O)(=O)N1CC[N@H+]2CC[C@H]2C1 ZINC001196676751 746932695 /nfs/dbraw/zinc/93/26/95/746932695.db2.gz VVUPSZYGTVZGFN-LBPRGKRZSA-N 1 2 311.794 1.290 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)NCC1C[NH+](Cc2ccc(C#N)cc2F)C1 ZINC001031521404 746951784 /nfs/dbraw/zinc/95/17/84/746951784.db2.gz DFOMBUORABGVHD-NHYWBVRUSA-N 1 2 301.365 1.901 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC001196900247 747004439 /nfs/dbraw/zinc/00/44/39/747004439.db2.gz BVTIMSYPJGATJD-OAHLLOKOSA-N 1 2 309.454 1.648 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC001196900247 747004442 /nfs/dbraw/zinc/00/44/42/747004442.db2.gz BVTIMSYPJGATJD-OAHLLOKOSA-N 1 2 309.454 1.648 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)c3ccon3)C2)ccc1F ZINC001031561638 747042107 /nfs/dbraw/zinc/04/21/07/747042107.db2.gz XMYMPKDJKDCOKQ-UHFFFAOYSA-N 1 2 314.320 1.547 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(C(C)C)CC2)CC1 ZINC001197092384 747048216 /nfs/dbraw/zinc/04/82/16/747048216.db2.gz LIPCMEKCAFDBMX-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(C(C)C)CC2)CC1 ZINC001197092384 747048223 /nfs/dbraw/zinc/04/82/23/747048223.db2.gz LIPCMEKCAFDBMX-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)[C@H]3CCOC3)C2)ccc1F ZINC001031569237 747068223 /nfs/dbraw/zinc/06/82/23/747068223.db2.gz AADXESBPHVYOPP-AWEZNQCLSA-N 1 2 317.364 1.282 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)[C@H]3CCOC3)C2)c(F)c1 ZINC001031571179 747068513 /nfs/dbraw/zinc/06/85/13/747068513.db2.gz GYXGIWLZJSNRNV-HNNXBMFYSA-N 1 2 317.364 1.282 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1ccncc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089489455 747079119 /nfs/dbraw/zinc/07/91/19/747079119.db2.gz HYJOUIOFZUTKAA-RYUDHWBXSA-N 1 2 312.377 1.036 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncn[nH]2)CC(C)(C)C1 ZINC001089518192 747148185 /nfs/dbraw/zinc/14/81/85/747148185.db2.gz BQRDTRFINIJARK-LLVKDONJSA-N 1 2 311.817 1.778 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2nc[nH]n2)CC(C)(C)C1 ZINC001089518192 747148188 /nfs/dbraw/zinc/14/81/88/747148188.db2.gz BQRDTRFINIJARK-LLVKDONJSA-N 1 2 311.817 1.778 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2nc[nH]n2)CC(C)(C)C1 ZINC001089518192 747148191 /nfs/dbraw/zinc/14/81/91/747148191.db2.gz BQRDTRFINIJARK-LLVKDONJSA-N 1 2 311.817 1.778 20 30 DDEDLO Cn1cnc(C(=O)NCC2C[NH+](Cc3ccc(C#N)s3)C2)c1 ZINC001031613725 747191199 /nfs/dbraw/zinc/19/11/99/747191199.db2.gz UPHICXFTNQQUFA-UHFFFAOYSA-N 1 2 315.402 1.215 20 30 DDEDLO C[C@@H](c1cnccn1)[NH+]1CC(CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001031622756 747228692 /nfs/dbraw/zinc/22/86/92/747228692.db2.gz GPLKJAAPSAJLFL-NSHDSACASA-N 1 2 310.361 1.099 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cccnc2)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212253835 747391922 /nfs/dbraw/zinc/39/19/22/747391922.db2.gz JRSULGNDKYHHFH-HZPDHXFCSA-N 1 2 315.417 1.447 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cccnc2)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212253835 747391929 /nfs/dbraw/zinc/39/19/29/747391929.db2.gz JRSULGNDKYHHFH-HZPDHXFCSA-N 1 2 315.417 1.447 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cc(C)ns3)C[C@@H]2O)C1 ZINC001083763360 747498943 /nfs/dbraw/zinc/49/89/43/747498943.db2.gz HADGXKUPDBIQLY-KGLIPLIRSA-N 1 2 321.446 1.469 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cc(C)ns3)C[C@@H]2O)C1 ZINC001083763360 747498947 /nfs/dbraw/zinc/49/89/47/747498947.db2.gz HADGXKUPDBIQLY-KGLIPLIRSA-N 1 2 321.446 1.469 20 30 DDEDLO C=CCCC(=O)NC[C@H]([NH2+][C@@H](C)C(N)=O)c1ccccc1OC ZINC001198597195 747543295 /nfs/dbraw/zinc/54/32/95/747543295.db2.gz XZXIGDPBXJUHAB-JSGCOSHPSA-N 1 2 319.405 1.282 20 30 DDEDLO CCCCc1noc(C[NH2+][C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000998745349 752191478 /nfs/dbraw/zinc/19/14/78/752191478.db2.gz HCXHQTPOGOZRGM-QWHCGFSZSA-N 1 2 319.409 1.652 20 30 DDEDLO Cc1nc(N2CC=C(CNC(=O)[C@@H](C)C#N)CC2)c(C)c(C)[nH+]1 ZINC001127582585 747678972 /nfs/dbraw/zinc/67/89/72/747678972.db2.gz QEDOIQWEOXXZFZ-NSHDSACASA-N 1 2 313.405 1.814 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[N@@H+]3CCc4ccccc4[C@H]3C2)[nH]1 ZINC001199681085 747950707 /nfs/dbraw/zinc/95/07/07/747950707.db2.gz ZXUMRMXEECLLNF-QGZVFWFLSA-N 1 2 306.369 1.942 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[N@H+]3CCc4ccccc4[C@H]3C2)[nH]1 ZINC001199681085 747950711 /nfs/dbraw/zinc/95/07/11/747950711.db2.gz ZXUMRMXEECLLNF-QGZVFWFLSA-N 1 2 306.369 1.942 20 30 DDEDLO N#Cc1ccc(O)c(NC(=S)NCCC[NH+]2CCOCC2)c1 ZINC001199949934 748050007 /nfs/dbraw/zinc/05/00/07/748050007.db2.gz KUJFFPUDBPWTOV-UHFFFAOYSA-N 1 2 320.418 1.273 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007166360 748064817 /nfs/dbraw/zinc/06/48/17/748064817.db2.gz UBUVGSMUJCZVPT-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1nccnc1C[N@H+]1CCC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007166360 748064821 /nfs/dbraw/zinc/06/48/21/748064821.db2.gz UBUVGSMUJCZVPT-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO CN(C(=O)c1cnnn1C)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033057548 748104179 /nfs/dbraw/zinc/10/41/79/748104179.db2.gz LDYMRQBZAVHNJS-OAHLLOKOSA-N 1 2 324.388 1.033 20 30 DDEDLO CN(C(=O)c1cnnn1C)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033057548 748104187 /nfs/dbraw/zinc/10/41/87/748104187.db2.gz LDYMRQBZAVHNJS-OAHLLOKOSA-N 1 2 324.388 1.033 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc(OC)ncn2)C1 ZINC001033083464 748220096 /nfs/dbraw/zinc/22/00/96/748220096.db2.gz XCUMVQLYRPVPRZ-NSHDSACASA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(OC)ncn2)C1 ZINC001033083464 748220097 /nfs/dbraw/zinc/22/00/97/748220097.db2.gz XCUMVQLYRPVPRZ-NSHDSACASA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H](C)c2ccnn2C)C1 ZINC001033090118 748240598 /nfs/dbraw/zinc/24/05/98/748240598.db2.gz FRYICMKGSSLSRK-STQMWFEESA-N 1 2 310.829 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H](C)c2ccnn2C)C1 ZINC001033090118 748240603 /nfs/dbraw/zinc/24/06/03/748240603.db2.gz FRYICMKGSSLSRK-STQMWFEESA-N 1 2 310.829 1.809 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)C2=COCCC2)CC1 ZINC001004361159 748364976 /nfs/dbraw/zinc/36/49/76/748364976.db2.gz UUAJEWCXUSHWFU-MRXNPFEDSA-N 1 2 303.406 1.907 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)C2=COCCC2)CC1 ZINC001004361159 748364982 /nfs/dbraw/zinc/36/49/82/748364982.db2.gz UUAJEWCXUSHWFU-MRXNPFEDSA-N 1 2 303.406 1.907 20 30 DDEDLO Cc1nc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)c[nH]1 ZINC001004394049 748397281 /nfs/dbraw/zinc/39/72/81/748397281.db2.gz FPBSWFKMGXTIGC-HNNXBMFYSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1nc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)c[nH]1 ZINC001004394049 748397286 /nfs/dbraw/zinc/39/72/86/748397286.db2.gz FPBSWFKMGXTIGC-HNNXBMFYSA-N 1 2 301.394 1.558 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001212349018 748583592 /nfs/dbraw/zinc/58/35/92/748583592.db2.gz HERGCQRYKNZBTK-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001212349018 748583595 /nfs/dbraw/zinc/58/35/95/748583595.db2.gz HERGCQRYKNZBTK-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(C)C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC001033148123 748587401 /nfs/dbraw/zinc/58/74/01/748587401.db2.gz XAUBPEDNUXDUNE-VIFPVBQESA-N 1 2 302.300 1.761 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(C)C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC001033148123 748587403 /nfs/dbraw/zinc/58/74/03/748587403.db2.gz XAUBPEDNUXDUNE-VIFPVBQESA-N 1 2 302.300 1.761 20 30 DDEDLO Cn1ccc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004618027 748593939 /nfs/dbraw/zinc/59/39/39/748593939.db2.gz VSSHNTPNZSDRQY-OAHLLOKOSA-N 1 2 301.394 1.260 20 30 DDEDLO Cn1ccc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004618027 748593944 /nfs/dbraw/zinc/59/39/44/748593944.db2.gz VSSHNTPNZSDRQY-OAHLLOKOSA-N 1 2 301.394 1.260 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCC[C@H]([NH2+]Cc3cnsn3)C2)c1 ZINC000998848069 752286206 /nfs/dbraw/zinc/28/62/06/752286206.db2.gz DSZVIUVJEZNCJE-NSHDSACASA-N 1 2 316.390 1.132 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc3nc[nH]c3n2)C1 ZINC001033180536 748822013 /nfs/dbraw/zinc/82/20/13/748822013.db2.gz UMYOWKGYCPPBQX-LLVKDONJSA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc3nc[nH]c3n2)C1 ZINC001033180536 748822020 /nfs/dbraw/zinc/82/20/20/748822020.db2.gz UMYOWKGYCPPBQX-LLVKDONJSA-N 1 2 319.796 1.857 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]([C@@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001039255452 761894236 /nfs/dbraw/zinc/89/42/36/761894236.db2.gz AKNGCTCYDYYDPI-CABCVRRESA-N 1 2 315.421 1.074 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]([C@@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001039255452 761894245 /nfs/dbraw/zinc/89/42/45/761894245.db2.gz AKNGCTCYDYYDPI-CABCVRRESA-N 1 2 315.421 1.074 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C(C)(C)C1 ZINC000995549459 748913556 /nfs/dbraw/zinc/91/35/56/748913556.db2.gz BNXBAMFTHCKYOV-CMPLNLGQSA-N 1 2 317.393 1.433 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1snnc1C ZINC001153306834 748951562 /nfs/dbraw/zinc/95/15/62/748951562.db2.gz UUYDJTMAQDYHMY-YPMHNXCESA-N 1 2 324.450 1.211 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1snnc1C ZINC001153306834 748951567 /nfs/dbraw/zinc/95/15/67/748951567.db2.gz UUYDJTMAQDYHMY-YPMHNXCESA-N 1 2 324.450 1.211 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110728724 748954705 /nfs/dbraw/zinc/95/47/05/748954705.db2.gz UYTFIAIIQSMNOS-SUMWQHHRSA-N 1 2 318.421 1.851 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC[C@H]2CCCOC2)C1 ZINC001108312994 761908527 /nfs/dbraw/zinc/90/85/27/761908527.db2.gz VHFSXSGAKCMZGO-WBVHZDCISA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CC[C@H]2CCCOC2)C1 ZINC001108312994 761908529 /nfs/dbraw/zinc/90/85/29/761908529.db2.gz VHFSXSGAKCMZGO-WBVHZDCISA-N 1 2 310.438 1.586 20 30 DDEDLO N#CCNC1C[C@H]2CCC[C@@H](C1)N2C(=O)c1cccc2[nH+]ccn21 ZINC001039285676 761911537 /nfs/dbraw/zinc/91/15/37/761911537.db2.gz ZOHWXLAKGVRDTD-GOOCMWNKSA-N 1 2 323.400 1.973 20 30 DDEDLO C[C@]1(NC(=O)CCc2c[nH]c[nH+]2)CCN(c2ncccc2C#N)C1 ZINC001110819037 749041442 /nfs/dbraw/zinc/04/14/42/749041442.db2.gz DLVUCAJWTJCHCO-KRWDZBQOSA-N 1 2 324.388 1.394 20 30 DDEDLO C[C@]1(NC(=O)CCc2c[nH+]c[nH]2)CCN(c2ncccc2C#N)C1 ZINC001110819037 749041446 /nfs/dbraw/zinc/04/14/46/749041446.db2.gz DLVUCAJWTJCHCO-KRWDZBQOSA-N 1 2 324.388 1.394 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](OC)C2CCC2)C1 ZINC001108100977 749112137 /nfs/dbraw/zinc/11/21/37/749112137.db2.gz BURCLCOJOMPYEP-WBVHZDCISA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](OC)C2CCC2)C1 ZINC001108100977 749112141 /nfs/dbraw/zinc/11/21/41/749112141.db2.gz BURCLCOJOMPYEP-WBVHZDCISA-N 1 2 308.422 1.032 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)n2cccn2)C1 ZINC001108332380 761927066 /nfs/dbraw/zinc/92/70/66/761927066.db2.gz CESCPJIJHROYJB-ZBFHGGJFSA-N 1 2 306.410 1.227 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)n2cccn2)C1 ZINC001108332380 761927072 /nfs/dbraw/zinc/92/70/72/761927072.db2.gz CESCPJIJHROYJB-ZBFHGGJFSA-N 1 2 306.410 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc(=O)n(CC)n2)C1 ZINC001033508792 749431923 /nfs/dbraw/zinc/43/19/23/749431923.db2.gz ATTVHTHFLVJWHC-LBPRGKRZSA-N 1 2 324.812 1.162 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(=O)n(CC)n2)C1 ZINC001033508792 749431925 /nfs/dbraw/zinc/43/19/25/749431925.db2.gz ATTVHTHFLVJWHC-LBPRGKRZSA-N 1 2 324.812 1.162 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CC3(C)CC3)nn2)C1 ZINC001107187904 749522096 /nfs/dbraw/zinc/52/20/96/749522096.db2.gz NZIKVOWZRRKFQD-UHFFFAOYSA-N 1 2 303.410 1.517 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CC[C@H](C)CC)nn2)C1 ZINC001107222254 749642996 /nfs/dbraw/zinc/64/29/96/749642996.db2.gz LGLFUNKJYDZZDF-CQSZACIVSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cncnc2CC)C1 ZINC001033664406 749673060 /nfs/dbraw/zinc/67/30/60/749673060.db2.gz ATSUIBOXIDMNET-GFCCVEGCSA-N 1 2 308.813 1.938 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cncnc2CC)C1 ZINC001033664406 749673063 /nfs/dbraw/zinc/67/30/63/749673063.db2.gz ATSUIBOXIDMNET-GFCCVEGCSA-N 1 2 308.813 1.938 20 30 DDEDLO N#Cc1c(F)cccc1NC/C=C\CNC(=O)Cn1cc[nH+]c1 ZINC001107240284 749682315 /nfs/dbraw/zinc/68/23/15/749682315.db2.gz JGAKKZHBYQZSHC-UPHRSURJSA-N 1 2 313.336 1.678 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001033831878 749953122 /nfs/dbraw/zinc/95/31/22/749953122.db2.gz BICLHYNRILGGJL-HZPDHXFCSA-N 1 2 314.433 1.776 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001033831878 749953124 /nfs/dbraw/zinc/95/31/24/749953124.db2.gz BICLHYNRILGGJL-HZPDHXFCSA-N 1 2 314.433 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001033882280 750048532 /nfs/dbraw/zinc/04/85/32/750048532.db2.gz PYWQYNRABDBHSS-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001033882280 750048541 /nfs/dbraw/zinc/04/85/41/750048541.db2.gz PYWQYNRABDBHSS-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)COCc2ccccc2)C1 ZINC001108391220 762013309 /nfs/dbraw/zinc/01/33/09/762013309.db2.gz SEGKQAGFQPUING-SFHVURJKSA-N 1 2 318.417 1.596 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)COCc2ccccc2)C1 ZINC001108391220 762013318 /nfs/dbraw/zinc/01/33/18/762013318.db2.gz SEGKQAGFQPUING-SFHVURJKSA-N 1 2 318.417 1.596 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001033940926 750187266 /nfs/dbraw/zinc/18/72/66/750187266.db2.gz LOQVKZJDKLAZRY-NSHDSACASA-N 1 2 312.801 1.415 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001033940926 750187269 /nfs/dbraw/zinc/18/72/69/750187269.db2.gz LOQVKZJDKLAZRY-NSHDSACASA-N 1 2 312.801 1.415 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccccc2OC)C1 ZINC001108381982 762016934 /nfs/dbraw/zinc/01/69/34/762016934.db2.gz FESFFWAPBCHQNX-GOSISDBHSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccccc2OC)C1 ZINC001108381982 762016940 /nfs/dbraw/zinc/01/69/40/762016940.db2.gz FESFFWAPBCHQNX-GOSISDBHSA-N 1 2 318.417 1.631 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C=C)CC)C2 ZINC001110934600 750241285 /nfs/dbraw/zinc/24/12/85/750241285.db2.gz JEUNSUJOJKPRSF-JJXSEGSLSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C=C)CC)C2 ZINC001110934600 750241287 /nfs/dbraw/zinc/24/12/87/750241287.db2.gz JEUNSUJOJKPRSF-JJXSEGSLSA-N 1 2 317.433 1.060 20 30 DDEDLO CCCC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001110969953 750263939 /nfs/dbraw/zinc/26/39/39/750263939.db2.gz HHWXDRCBEPVQBP-MCIONIFRSA-N 1 2 320.437 1.174 20 30 DDEDLO CCCC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001110969953 750263940 /nfs/dbraw/zinc/26/39/40/750263940.db2.gz HHWXDRCBEPVQBP-MCIONIFRSA-N 1 2 320.437 1.174 20 30 DDEDLO C=CCC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)S(C)(=O)=O)C2 ZINC001111092594 750359880 /nfs/dbraw/zinc/35/98/80/750359880.db2.gz XVEXYDKNMYRUSB-UPJWGTAASA-N 1 2 314.451 1.107 20 30 DDEDLO C=CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)S(C)(=O)=O)C2 ZINC001111092594 750359884 /nfs/dbraw/zinc/35/98/84/750359884.db2.gz XVEXYDKNMYRUSB-UPJWGTAASA-N 1 2 314.451 1.107 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H](NC(=O)c2cnn(-c3ccncc3)c2)C1 ZINC001034468723 750446186 /nfs/dbraw/zinc/44/61/86/750446186.db2.gz TVZLXVJCNFTUOZ-INIZCTEOSA-N 1 2 323.400 1.485 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H](NC(=O)c2cnn(-c3ccncc3)c2)C1 ZINC001034468723 750446191 /nfs/dbraw/zinc/44/61/91/750446191.db2.gz TVZLXVJCNFTUOZ-INIZCTEOSA-N 1 2 323.400 1.485 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1cncn1 ZINC001039466753 762057248 /nfs/dbraw/zinc/05/72/48/762057248.db2.gz CLJKMUWPXQFZJK-OLZOCXBDSA-N 1 2 309.801 1.096 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1cncn1 ZINC001039466753 762057260 /nfs/dbraw/zinc/05/72/60/762057260.db2.gz CLJKMUWPXQFZJK-OLZOCXBDSA-N 1 2 309.801 1.096 20 30 DDEDLO C[C@@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C1CCN(CC#N)CC1 ZINC000997185376 750678917 /nfs/dbraw/zinc/67/89/17/750678917.db2.gz GCNCMVPLWZNYEB-UKRRQHHQSA-N 1 2 315.421 1.186 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001032440372 750841144 /nfs/dbraw/zinc/84/11/44/750841144.db2.gz HSKWYENUQRFEMI-QWRGUYRKSA-N 1 2 304.781 1.472 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001032440372 750841153 /nfs/dbraw/zinc/84/11/53/750841153.db2.gz HSKWYENUQRFEMI-QWRGUYRKSA-N 1 2 304.781 1.472 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2nc(Cl)cs2)C1 ZINC001108160531 750896636 /nfs/dbraw/zinc/89/66/36/750896636.db2.gz NECKUQXNPXZNHE-CYBMUJFWSA-N 1 2 315.826 1.803 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2nc(Cl)cs2)C1 ZINC001108160531 750896645 /nfs/dbraw/zinc/89/66/45/750896645.db2.gz NECKUQXNPXZNHE-CYBMUJFWSA-N 1 2 315.826 1.803 20 30 DDEDLO C=CC[N@H+]1CC=C(CNC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001000685670 762089418 /nfs/dbraw/zinc/08/94/18/762089418.db2.gz KVNLBQXSJPYFAO-CQSZACIVSA-N 1 2 300.406 1.764 20 30 DDEDLO C=CC[N@@H+]1CC=C(CNC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001000685670 762089426 /nfs/dbraw/zinc/08/94/26/762089426.db2.gz KVNLBQXSJPYFAO-CQSZACIVSA-N 1 2 300.406 1.764 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114729650 751034701 /nfs/dbraw/zinc/03/47/01/751034701.db2.gz WGNUKUWAUJVQKW-APNQJHFZSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114729650 751034703 /nfs/dbraw/zinc/03/47/03/751034703.db2.gz WGNUKUWAUJVQKW-APNQJHFZSA-N 1 2 318.421 1.781 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001107967986 751135101 /nfs/dbraw/zinc/13/51/01/751135101.db2.gz ZMIIUHNZBONDAV-ROUUACIJSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001107967986 751135103 /nfs/dbraw/zinc/13/51/03/751135103.db2.gz ZMIIUHNZBONDAV-ROUUACIJSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)ccnc1OCC ZINC001032539249 751224787 /nfs/dbraw/zinc/22/47/87/751224787.db2.gz NJAFVYGYJQLLKI-GJZGRUSLSA-N 1 2 313.401 1.711 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)ccnc1OCC ZINC001032539249 751224795 /nfs/dbraw/zinc/22/47/95/751224795.db2.gz NJAFVYGYJQLLKI-GJZGRUSLSA-N 1 2 313.401 1.711 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(=O)c2ccccc12 ZINC001032555425 751293693 /nfs/dbraw/zinc/29/36/93/751293693.db2.gz MZLQHWNRPGOHNC-KBPBESRZSA-N 1 2 322.364 1.715 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(=O)c2ccccc12 ZINC001032555425 751293695 /nfs/dbraw/zinc/29/36/95/751293695.db2.gz MZLQHWNRPGOHNC-KBPBESRZSA-N 1 2 322.364 1.715 20 30 DDEDLO C=C1CCC(C(=O)NC2CN(C(=O)CCn3cc[nH+]c3)C2)CC1 ZINC000999112237 752537928 /nfs/dbraw/zinc/53/79/28/752537928.db2.gz CYPOXUNAFQXAIX-UHFFFAOYSA-N 1 2 316.405 1.347 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999118218 752542937 /nfs/dbraw/zinc/54/29/37/752542937.db2.gz RSCXEBPXSSEYFL-SMDDNHRTSA-N 1 2 302.378 1.433 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3cncn3C)C2)cc1 ZINC001008148249 752579523 /nfs/dbraw/zinc/57/95/23/752579523.db2.gz LYLSYBGUYOVLNT-KRWDZBQOSA-N 1 2 322.412 1.796 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3cncn3C)C2)cc1 ZINC001008148249 752579526 /nfs/dbraw/zinc/57/95/26/752579526.db2.gz LYLSYBGUYOVLNT-KRWDZBQOSA-N 1 2 322.412 1.796 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)C1 ZINC000999263836 752772610 /nfs/dbraw/zinc/77/26/10/752772610.db2.gz REOMXRGQBFAZOC-CHWSQXEVSA-N 1 2 314.389 1.197 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)C1 ZINC000999263836 752772613 /nfs/dbraw/zinc/77/26/13/752772613.db2.gz REOMXRGQBFAZOC-CHWSQXEVSA-N 1 2 314.389 1.197 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC000999355640 752839675 /nfs/dbraw/zinc/83/96/75/752839675.db2.gz ONIMJVMTUKVFLE-LBPRGKRZSA-N 1 2 316.405 1.369 20 30 DDEDLO Cc1ccc(C#N)c(N2C[C@@H]3C[C@H]2CN3C(=O)Cc2[nH]cc[nH+]2)n1 ZINC001062524267 753076060 /nfs/dbraw/zinc/07/60/60/753076060.db2.gz UGLYZYRHQMUZCP-KBPBESRZSA-N 1 2 322.372 1.017 20 30 DDEDLO Cc1ccc(C#N)c(N(C)[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001056956169 762194041 /nfs/dbraw/zinc/19/40/41/762194041.db2.gz SZRXRXSQCHPNCM-CQSZACIVSA-N 1 2 324.388 1.265 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001107993238 753354382 /nfs/dbraw/zinc/35/43/82/753354382.db2.gz KUNCHUZBIFISQL-KRWDZBQOSA-N 1 2 319.405 1.094 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001107993238 753354387 /nfs/dbraw/zinc/35/43/87/753354387.db2.gz KUNCHUZBIFISQL-KRWDZBQOSA-N 1 2 319.405 1.094 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001032733152 753385135 /nfs/dbraw/zinc/38/51/35/753385135.db2.gz MDLJBMXLBVFWRQ-RYUDHWBXSA-N 1 2 308.813 1.725 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)c(C)c2)C1 ZINC001108172397 753388450 /nfs/dbraw/zinc/38/84/50/753388450.db2.gz BXGXLZYGQPWPKX-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)c(C)c2)C1 ZINC001108172397 753388454 /nfs/dbraw/zinc/38/84/54/753388454.db2.gz BXGXLZYGQPWPKX-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@@H]2CCCNC2=O)CC1 ZINC001000799532 762210765 /nfs/dbraw/zinc/21/07/65/762210765.db2.gz YONWPCBGYHJRPP-CYBMUJFWSA-N 1 2 311.813 1.013 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@@H]2CCCNC2=O)CC1 ZINC001000799532 762210766 /nfs/dbraw/zinc/21/07/66/762210766.db2.gz YONWPCBGYHJRPP-CYBMUJFWSA-N 1 2 311.813 1.013 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2ccccc2CO1 ZINC001032760001 753520897 /nfs/dbraw/zinc/52/08/97/753520897.db2.gz JLOZUVVYNSRJCR-BZSNNMDCSA-N 1 2 310.397 1.436 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2ccccc2CO1 ZINC001032760001 753520900 /nfs/dbraw/zinc/52/09/00/753520900.db2.gz JLOZUVVYNSRJCR-BZSNNMDCSA-N 1 2 310.397 1.436 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CCC)c(C)s2)C1 ZINC001078145555 753789719 /nfs/dbraw/zinc/78/97/19/753789719.db2.gz RXYZKZFJNKPHSX-ZIAGYGMSSA-N 1 2 308.447 1.970 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CCC)c(C)s2)C1 ZINC001078145555 753789727 /nfs/dbraw/zinc/78/97/27/753789727.db2.gz RXYZKZFJNKPHSX-ZIAGYGMSSA-N 1 2 308.447 1.970 20 30 DDEDLO Cc1nn(C)cc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001010378701 753835898 /nfs/dbraw/zinc/83/58/98/753835898.db2.gz RUNCYDVHWOZCSC-KRWDZBQOSA-N 1 2 322.412 1.584 20 30 DDEDLO Cc1nn(C)cc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001010378701 753835907 /nfs/dbraw/zinc/83/59/07/753835907.db2.gz RUNCYDVHWOZCSC-KRWDZBQOSA-N 1 2 322.412 1.584 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)[nH]1 ZINC001010380576 753837660 /nfs/dbraw/zinc/83/76/60/753837660.db2.gz XMVAHXHMFJENEW-MRXNPFEDSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)[nH]1 ZINC001010380576 753837668 /nfs/dbraw/zinc/83/76/68/753837668.db2.gz XMVAHXHMFJENEW-MRXNPFEDSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1nc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)co1 ZINC001010689141 754100942 /nfs/dbraw/zinc/10/09/42/754100942.db2.gz AWDUPWNUHBUVHG-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1nc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)co1 ZINC001010689141 754100944 /nfs/dbraw/zinc/10/09/44/754100944.db2.gz AWDUPWNUHBUVHG-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CCCN1C(=O)CCn1cc[nH+]c1 ZINC001063537540 754221786 /nfs/dbraw/zinc/22/17/86/754221786.db2.gz ZCNVZZXNEVRFCL-OAHLLOKOSA-N 1 2 324.388 1.065 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC001011145976 754371835 /nfs/dbraw/zinc/37/18/35/754371835.db2.gz KEHSGHCYWHKVGX-AWEZNQCLSA-N 1 2 318.421 1.717 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]c(=O)n(C)c2c1 ZINC001032821934 754536513 /nfs/dbraw/zinc/53/65/13/754536513.db2.gz AEHAIBKNTJFOEX-KBPBESRZSA-N 1 2 324.384 1.201 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]c(=O)n(C)c2c1 ZINC001032821934 754536517 /nfs/dbraw/zinc/53/65/17/754536517.db2.gz AEHAIBKNTJFOEX-KBPBESRZSA-N 1 2 324.384 1.201 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064832401 754874641 /nfs/dbraw/zinc/87/46/41/754874641.db2.gz MZTALSXLXNCCTB-TZMCWYRMSA-N 1 2 324.388 1.710 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)[nH]1 ZINC001040125695 762398611 /nfs/dbraw/zinc/39/86/11/762398611.db2.gz HNEBNITYSCRGPQ-BLLLJJGKSA-N 1 2 316.409 1.087 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)[nH]1 ZINC001040125695 762398615 /nfs/dbraw/zinc/39/86/15/762398615.db2.gz HNEBNITYSCRGPQ-BLLLJJGKSA-N 1 2 316.409 1.087 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ncccn1 ZINC001080651506 756021731 /nfs/dbraw/zinc/02/17/31/756021731.db2.gz PWMFLTTZGUNHPV-CZUORRHYSA-N 1 2 321.384 1.599 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ncccn1 ZINC001080651506 756021736 /nfs/dbraw/zinc/02/17/36/756021736.db2.gz PWMFLTTZGUNHPV-CZUORRHYSA-N 1 2 321.384 1.599 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnn(C)c2CCC)C1 ZINC001015086769 756114595 /nfs/dbraw/zinc/11/45/95/756114595.db2.gz HNNPPNMSTNKCOK-GFCCVEGCSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnn(C)c2CCC)C1 ZINC001015086769 756114600 /nfs/dbraw/zinc/11/46/00/756114600.db2.gz HNNPPNMSTNKCOK-GFCCVEGCSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cncc(OC)n3)C2)C1 ZINC001015676413 756458684 /nfs/dbraw/zinc/45/86/84/756458684.db2.gz LLZJJLJVZJOFDH-CYBMUJFWSA-N 1 2 316.405 1.532 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cncc(OC)n3)C2)C1 ZINC001015676413 756458686 /nfs/dbraw/zinc/45/86/86/756458686.db2.gz LLZJJLJVZJOFDH-CYBMUJFWSA-N 1 2 316.405 1.532 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001015721451 756494320 /nfs/dbraw/zinc/49/43/20/756494320.db2.gz JNWBHQMLZGPSQE-OAGGEKHMSA-N 1 2 319.380 1.502 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C1 ZINC001015721451 756494322 /nfs/dbraw/zinc/49/43/22/756494322.db2.gz JNWBHQMLZGPSQE-OAGGEKHMSA-N 1 2 319.380 1.502 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cn2ncc3ccccc32)C1 ZINC001015866125 756599908 /nfs/dbraw/zinc/59/99/08/756599908.db2.gz MDQKHNWQNWGKTH-CQSZACIVSA-N 1 2 318.808 1.979 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cn2ncc3ccccc32)C1 ZINC001015866125 756599913 /nfs/dbraw/zinc/59/99/13/756599913.db2.gz MDQKHNWQNWGKTH-CQSZACIVSA-N 1 2 318.808 1.979 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2)[C@H](OC)C1 ZINC001082093859 756627294 /nfs/dbraw/zinc/62/72/94/756627294.db2.gz NDCTWGDNYKNDBS-HUUCEWRRSA-N 1 2 306.793 1.711 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2)[C@H](OC)C1 ZINC001082093859 756627297 /nfs/dbraw/zinc/62/72/97/756627297.db2.gz NDCTWGDNYKNDBS-HUUCEWRRSA-N 1 2 306.793 1.711 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cncs3)C2)cn1 ZINC001016035770 756734978 /nfs/dbraw/zinc/73/49/78/756734978.db2.gz ZPDSQKMBLXFTTP-AWEZNQCLSA-N 1 2 312.398 1.524 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cncs3)C2)cn1 ZINC001016035770 756734981 /nfs/dbraw/zinc/73/49/81/756734981.db2.gz ZPDSQKMBLXFTTP-AWEZNQCLSA-N 1 2 312.398 1.524 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067139852 756804413 /nfs/dbraw/zinc/80/44/13/756804413.db2.gz PNRICGDWPQMGTK-RISCZKNCSA-N 1 2 310.361 1.178 20 30 DDEDLO CCCC(=O)N1CCO[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001082979763 757011636 /nfs/dbraw/zinc/01/16/36/757011636.db2.gz SZGWJWKLBJDPKL-ZWKOTPCHSA-N 1 2 312.413 1.750 20 30 DDEDLO CCCC(=O)N1CCO[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001082979763 757011645 /nfs/dbraw/zinc/01/16/45/757011645.db2.gz SZGWJWKLBJDPKL-ZWKOTPCHSA-N 1 2 312.413 1.750 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc(CC)c1Cl)C2 ZINC001097240643 757012369 /nfs/dbraw/zinc/01/23/69/757012369.db2.gz WZMJURPNEMELLW-JLLWLGSASA-N 1 2 306.797 1.594 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc(CC)c1Cl)C2 ZINC001097240643 757012408 /nfs/dbraw/zinc/01/24/08/757012408.db2.gz WZMJURPNEMELLW-JLLWLGSASA-N 1 2 306.797 1.594 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@H]1C[C@@H](Nc2ncccc2C#N)C1 ZINC001097265606 757031025 /nfs/dbraw/zinc/03/10/25/757031025.db2.gz DSXBCVZBSUVAND-OKILXGFUSA-N 1 2 324.388 1.379 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(OC)cs3)[C@H]2C1 ZINC001083161322 757163688 /nfs/dbraw/zinc/16/36/88/757163688.db2.gz WYHMDMQSCBPKFT-UONOGXRCSA-N 1 2 320.414 1.305 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(OC)cs3)[C@H]2C1 ZINC001083161322 757163692 /nfs/dbraw/zinc/16/36/92/757163692.db2.gz WYHMDMQSCBPKFT-UONOGXRCSA-N 1 2 320.414 1.305 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c(Cl)c3)[C@H]2C1 ZINC001083182565 757191523 /nfs/dbraw/zinc/19/15/23/757191523.db2.gz LDHAMUYHSRWOES-JKSUJKDBSA-N 1 2 318.804 1.807 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c(Cl)c3)[C@H]2C1 ZINC001083182565 757191530 /nfs/dbraw/zinc/19/15/30/757191530.db2.gz LDHAMUYHSRWOES-JKSUJKDBSA-N 1 2 318.804 1.807 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(F)cc2Cl)[C@@H](O)C1 ZINC001084020948 757227127 /nfs/dbraw/zinc/22/71/27/757227127.db2.gz BJGSTJVEAXXFLT-KGLIPLIRSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(F)cc2Cl)[C@@H](O)C1 ZINC001084020948 757227131 /nfs/dbraw/zinc/22/71/31/757227131.db2.gz BJGSTJVEAXXFLT-KGLIPLIRSA-N 1 2 310.756 1.277 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2ccccc2C)[C@@H](O)C1 ZINC001084121862 757275046 /nfs/dbraw/zinc/27/50/46/757275046.db2.gz YDWYRYGUFSWTJJ-CVEARBPZSA-N 1 2 300.402 1.067 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2ccccc2C)[C@@H](O)C1 ZINC001084121862 757275050 /nfs/dbraw/zinc/27/50/50/757275050.db2.gz YDWYRYGUFSWTJJ-CVEARBPZSA-N 1 2 300.402 1.067 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3occc3Br)[C@@H]2C1 ZINC001084725634 757823849 /nfs/dbraw/zinc/82/38/49/757823849.db2.gz KHYWEXVYZFTUEJ-ZYHUDNBSSA-N 1 2 323.190 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3occc3Br)[C@@H]2C1 ZINC001084725634 757823861 /nfs/dbraw/zinc/82/38/61/757823861.db2.gz KHYWEXVYZFTUEJ-ZYHUDNBSSA-N 1 2 323.190 1.822 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncn1-c1ccccc1 ZINC001017621317 758090099 /nfs/dbraw/zinc/09/00/99/758090099.db2.gz LQLZXIHRXMLWHV-CALCHBBNSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncn1-c1ccccc1 ZINC001017621317 758090106 /nfs/dbraw/zinc/09/01/06/758090106.db2.gz LQLZXIHRXMLWHV-CALCHBBNSA-N 1 2 320.396 1.794 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@H](Nc3cc[nH+]c(C)n3)[C@@H](C)C2)c1 ZINC001067197603 758102308 /nfs/dbraw/zinc/10/23/08/758102308.db2.gz MALZHPFJZZUYKO-LRDDRELGSA-N 1 2 321.384 1.734 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2nccn2C1 ZINC001017681548 758149676 /nfs/dbraw/zinc/14/96/76/758149676.db2.gz PIMJMZGABVTMQK-HRCADAONSA-N 1 2 312.417 1.144 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2nccn2C1 ZINC001017681548 758149683 /nfs/dbraw/zinc/14/96/83/758149683.db2.gz PIMJMZGABVTMQK-HRCADAONSA-N 1 2 312.417 1.144 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C=C(CC)CC)CC2=O)C1 ZINC001108533501 762608905 /nfs/dbraw/zinc/60/89/05/762608905.db2.gz WOYYLNQAKQMGPZ-AWEZNQCLSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc3occc3[nH]1)CCO2 ZINC001053276601 758332275 /nfs/dbraw/zinc/33/22/75/758332275.db2.gz BFNLYIJOTRLVHB-UHFFFAOYSA-N 1 2 315.373 1.864 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@]2(C)CCN(c3cc[nH+]c(C)n3)C2)c1 ZINC001065324916 758458336 /nfs/dbraw/zinc/45/83/36/758458336.db2.gz OHKNASFZIOCOFU-SFHVURJKSA-N 1 2 321.384 1.560 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCO[C@@H](CC)C1)CCO2 ZINC001053500958 758506235 /nfs/dbraw/zinc/50/62/35/758506235.db2.gz GTALBYYOMNVQSM-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccccc1COC ZINC001018054431 758510001 /nfs/dbraw/zinc/51/00/01/758510001.db2.gz JMMOGNNISWUOJP-HDICACEKSA-N 1 2 312.413 1.684 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccccc1COC ZINC001018054431 758510011 /nfs/dbraw/zinc/51/00/11/758510011.db2.gz JMMOGNNISWUOJP-HDICACEKSA-N 1 2 312.413 1.684 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnns1)O2 ZINC001053574772 758584656 /nfs/dbraw/zinc/58/46/56/758584656.db2.gz JCSOCUGRRDUIJZ-LLVKDONJSA-N 1 2 308.407 1.077 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(OC)c1OC ZINC001018214093 758638420 /nfs/dbraw/zinc/63/84/20/758638420.db2.gz YDBKFCSBAOWAJW-OKILXGFUSA-N 1 2 314.385 1.626 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(OC)c1OC ZINC001018214093 758638427 /nfs/dbraw/zinc/63/84/27/758638427.db2.gz YDBKFCSBAOWAJW-OKILXGFUSA-N 1 2 314.385 1.626 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnn(C)c1CC)O2 ZINC001053622507 758641372 /nfs/dbraw/zinc/64/13/72/758641372.db2.gz YINZKFSJEXGVMK-CYBMUJFWSA-N 1 2 318.421 1.132 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cn(C(C)C)cn1)O2 ZINC001053638789 758653999 /nfs/dbraw/zinc/65/39/99/758653999.db2.gz WUUXTYFEUILPIM-CQSZACIVSA-N 1 2 318.421 1.613 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(C)c(C)c1 ZINC001018258752 758674595 /nfs/dbraw/zinc/67/45/95/758674595.db2.gz OKNLROWVXGZUTG-CALCHBBNSA-N 1 2 312.413 1.991 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(C)c(C)c1 ZINC001018258752 758674598 /nfs/dbraw/zinc/67/45/98/758674598.db2.gz OKNLROWVXGZUTG-CALCHBBNSA-N 1 2 312.413 1.991 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC001065674743 758692413 /nfs/dbraw/zinc/69/24/13/758692413.db2.gz HZYQRPCVJDODBP-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC3CC3)C2)CC1 ZINC001065681929 758699815 /nfs/dbraw/zinc/69/98/15/758699815.db2.gz ZPLLRCHAEWKUPL-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CCC)C2)CC1 ZINC001065680734 758700170 /nfs/dbraw/zinc/70/01/70/758700170.db2.gz NAZNQPUCEVTVDJ-INIZCTEOSA-N 1 2 321.465 1.888 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CCC)CC2)C1 ZINC001065704365 758705547 /nfs/dbraw/zinc/70/55/47/758705547.db2.gz LUKRMYYERWFKQB-INIZCTEOSA-N 1 2 321.465 1.888 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)C3(C)CC3)C2)CC1 ZINC001065706132 758706958 /nfs/dbraw/zinc/70/69/58/758706958.db2.gz HFNDMLIQBGWRNG-HNNXBMFYSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc(C)n1)CO2 ZINC001053741118 758755731 /nfs/dbraw/zinc/75/57/31/758755731.db2.gz AEZIJFHJGMCALC-CQSZACIVSA-N 1 2 301.390 1.539 20 30 DDEDLO Cc1nc(NC[C@@H]2CN(C(=O)c3ccc(C#N)[nH]3)C[C@@H]2C)cc[nH+]1 ZINC001065841509 758852972 /nfs/dbraw/zinc/85/29/72/758852972.db2.gz ATPDQUOQVUBJMY-WCQYABFASA-N 1 2 324.388 1.805 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCC(F)(F)C1)CO2 ZINC001053825319 758856174 /nfs/dbraw/zinc/85/61/74/758856174.db2.gz OUBGYRBKYNKEBU-CHWSQXEVSA-N 1 2 314.376 1.957 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1conc1C(C)C)CO2 ZINC001053828590 758859628 /nfs/dbraw/zinc/85/96/28/758859628.db2.gz WKKPTOCASZWUMA-ZDUSSCGKSA-N 1 2 317.389 1.394 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cn(C(C)C)cn1)CO2 ZINC001053882421 758917028 /nfs/dbraw/zinc/91/70/28/758917028.db2.gz ZMFCGKFPLYXTSG-CQSZACIVSA-N 1 2 318.421 1.613 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(OC)cs1)CO2 ZINC001053889454 758923389 /nfs/dbraw/zinc/92/33/89/758923389.db2.gz JNZUBVSTBLVBOD-GFCCVEGCSA-N 1 2 322.430 1.906 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc[nH]c1C1CC1)CO2 ZINC001053908266 758941347 /nfs/dbraw/zinc/94/13/47/758941347.db2.gz OLGDPPYGUGXYAW-AWEZNQCLSA-N 1 2 313.401 1.489 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(F)c(C)c1)CO2 ZINC001053909556 758944106 /nfs/dbraw/zinc/94/41/06/758944106.db2.gz NAWUUDJZYZHAOA-HNNXBMFYSA-N 1 2 316.376 1.731 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2COC3(C[NH+](C[C@@H](C)OC)C3)C2)CC1 ZINC001053914032 758947257 /nfs/dbraw/zinc/94/72/57/758947257.db2.gz DTZOOBWIAQFOMD-ZBFHGGJFSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ocnc1CC)CO2 ZINC001053921009 758956438 /nfs/dbraw/zinc/95/64/38/758956438.db2.gz WXBSLPOLDXCBLM-LBPRGKRZSA-N 1 2 305.378 1.386 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1occc1C)CO2 ZINC001053921140 758956650 /nfs/dbraw/zinc/95/66/50/758956650.db2.gz YXYOSSRCZUPBCV-CQSZACIVSA-N 1 2 302.374 1.113 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C(C)C)n[nH]1)CO2 ZINC001053932139 758968438 /nfs/dbraw/zinc/96/84/38/758968438.db2.gz MURMTEJIYDHOPA-ZDUSSCGKSA-N 1 2 316.405 1.130 20 30 DDEDLO Cc1nc(N2C[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@@H]3C2)c(C)c(C)[nH+]1 ZINC001065975330 758969864 /nfs/dbraw/zinc/96/98/64/758969864.db2.gz ZGYJYPZVUHTSDP-KMUNFCNLSA-N 1 2 313.405 1.456 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)nc(C)n1)CO2 ZINC001053955772 758992058 /nfs/dbraw/zinc/99/20/58/758992058.db2.gz ROGLFKFYNZMEAX-AWEZNQCLSA-N 1 2 316.405 1.243 20 30 DDEDLO CC(C)CCc1noc(C[NH2+][C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001018617161 759037694 /nfs/dbraw/zinc/03/76/94/759037694.db2.gz FUNPAUJLJXQHPT-STQMWFEESA-N 1 2 319.409 1.508 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CCC=CCC1)CO2 ZINC001054009824 759057991 /nfs/dbraw/zinc/05/79/91/759057991.db2.gz FOZQOSUUMOKVSB-MRXNPFEDSA-N 1 2 302.418 1.716 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccnn1C ZINC001054035990 759091535 /nfs/dbraw/zinc/09/15/35/759091535.db2.gz ZIEGPEVLTGPFNC-INIZCTEOSA-N 1 2 308.385 1.210 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccnn1C ZINC001054035990 759091543 /nfs/dbraw/zinc/09/15/43/759091543.db2.gz ZIEGPEVLTGPFNC-INIZCTEOSA-N 1 2 308.385 1.210 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CC(C)=C(C)C)CC2=O)C1 ZINC001108564226 762691287 /nfs/dbraw/zinc/69/12/87/762691287.db2.gz FNAFFJOYFCTZLP-HNNXBMFYSA-N 1 2 319.449 1.710 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108567837 762694396 /nfs/dbraw/zinc/69/43/96/762694396.db2.gz CHFMCAFHJVCNJY-CQSZACIVSA-N 1 2 305.422 1.320 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3c[nH]c(C#N)c3)cc2C1 ZINC001054261342 759362154 /nfs/dbraw/zinc/36/21/54/759362154.db2.gz ZCJNIOKGHPILJO-UHFFFAOYSA-N 1 2 304.353 1.765 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3c[nH]c(C#N)c3)cc2C1 ZINC001054261342 759362164 /nfs/dbraw/zinc/36/21/64/759362164.db2.gz ZCJNIOKGHPILJO-UHFFFAOYSA-N 1 2 304.353 1.765 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnnc(C)c3)cc2C1 ZINC001054262957 759363761 /nfs/dbraw/zinc/36/37/61/759363761.db2.gz YUXZTNYXGLKDEL-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnnc(C)c3)cc2C1 ZINC001054262957 759363767 /nfs/dbraw/zinc/36/37/67/759363767.db2.gz YUXZTNYXGLKDEL-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C2CCC2)C1=O ZINC001085451923 759410155 /nfs/dbraw/zinc/41/01/55/759410155.db2.gz CUMKYBWBXCSYGC-HUUCEWRRSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C2CCC2)C1=O ZINC001085451923 759410159 /nfs/dbraw/zinc/41/01/59/759410159.db2.gz CUMKYBWBXCSYGC-HUUCEWRRSA-N 1 2 305.422 1.106 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001069137953 767873909 /nfs/dbraw/zinc/87/39/09/767873909.db2.gz RUNPVKUMTLDEAU-DGCLKSJQSA-N 1 2 310.361 1.320 20 30 DDEDLO Cc1ncoc1C[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553612 759682381 /nfs/dbraw/zinc/68/23/81/759682381.db2.gz QWNLKRIHHCSSBK-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553612 759682387 /nfs/dbraw/zinc/68/23/87/759682387.db2.gz QWNLKRIHHCSSBK-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(Cn2cccn2)o1 ZINC001085611411 759832552 /nfs/dbraw/zinc/83/25/52/759832552.db2.gz RDFQHMWDGORTHG-CQSZACIVSA-N 1 2 312.373 1.304 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(Cn2cccn2)o1 ZINC001085611411 759832555 /nfs/dbraw/zinc/83/25/55/759832555.db2.gz RDFQHMWDGORTHG-CQSZACIVSA-N 1 2 312.373 1.304 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c2c(nn1C)CCCC2 ZINC001085618146 759861016 /nfs/dbraw/zinc/86/10/16/759861016.db2.gz GMXRVCMZDXYODX-CYBMUJFWSA-N 1 2 300.406 1.078 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c2c(nn1C)CCCC2 ZINC001085618146 759861025 /nfs/dbraw/zinc/86/10/25/759861025.db2.gz GMXRVCMZDXYODX-CYBMUJFWSA-N 1 2 300.406 1.078 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]ncc1C(F)(F)F ZINC001085637229 759905558 /nfs/dbraw/zinc/90/55/58/759905558.db2.gz KTJNJFBLRGRZFB-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]ncc1C(F)(F)F ZINC001085637229 759905562 /nfs/dbraw/zinc/90/55/62/759905562.db2.gz KTJNJFBLRGRZFB-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1sc2nccn2c1C ZINC001085645428 759925568 /nfs/dbraw/zinc/92/55/68/759925568.db2.gz ULODGGOPHHYHKV-ZDUSSCGKSA-N 1 2 316.430 1.874 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1sc2nccn2c1C ZINC001085645428 759925573 /nfs/dbraw/zinc/92/55/73/759925573.db2.gz ULODGGOPHHYHKV-ZDUSSCGKSA-N 1 2 316.430 1.874 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1coc(C(F)(F)F)n1 ZINC001085698451 760051890 /nfs/dbraw/zinc/05/18/90/760051890.db2.gz NKGJLEKIODKBFA-JTQLQIEISA-N 1 2 315.295 1.863 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1coc(C(F)(F)F)n1 ZINC001085698451 760051901 /nfs/dbraw/zinc/05/19/01/760051901.db2.gz NKGJLEKIODKBFA-JTQLQIEISA-N 1 2 315.295 1.863 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2cc(OC)ccn21 ZINC001085722711 760119611 /nfs/dbraw/zinc/11/96/11/760119611.db2.gz FPGOAAHQTSNENJ-CYBMUJFWSA-N 1 2 312.373 1.122 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2cc(OC)ccn21 ZINC001085722711 760119613 /nfs/dbraw/zinc/11/96/13/760119613.db2.gz FPGOAAHQTSNENJ-CYBMUJFWSA-N 1 2 312.373 1.122 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ocnc1C(F)(F)F ZINC001085742120 760141673 /nfs/dbraw/zinc/14/16/73/760141673.db2.gz JNDNHORWTWBVDI-SNVBAGLBSA-N 1 2 315.295 1.863 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ocnc1C(F)(F)F ZINC001085742120 760141677 /nfs/dbraw/zinc/14/16/77/760141677.db2.gz JNDNHORWTWBVDI-SNVBAGLBSA-N 1 2 315.295 1.863 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001054977130 760252201 /nfs/dbraw/zinc/25/22/01/760252201.db2.gz URMPYVYLULCBTQ-VXGBXAGGSA-N 1 2 321.425 1.284 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1sc(N(C)C)nc1C ZINC001085857361 760376436 /nfs/dbraw/zinc/37/64/36/760376436.db2.gz WICFANKSCZBJKD-ZDUSSCGKSA-N 1 2 320.462 1.687 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1sc(N(C)C)nc1C ZINC001085857361 760376448 /nfs/dbraw/zinc/37/64/48/760376448.db2.gz WICFANKSCZBJKD-ZDUSSCGKSA-N 1 2 320.462 1.687 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(CCC)c1C1CC1 ZINC001085916129 760522642 /nfs/dbraw/zinc/52/26/42/760522642.db2.gz RUWNKBXOVRGVBL-HNNXBMFYSA-N 1 2 314.433 1.950 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(CCC)c1C1CC1 ZINC001085916129 760522647 /nfs/dbraw/zinc/52/26/47/760522647.db2.gz RUWNKBXOVRGVBL-HNNXBMFYSA-N 1 2 314.433 1.950 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OC)cc1OC ZINC001085943260 760573103 /nfs/dbraw/zinc/57/31/03/760573103.db2.gz CZBACZWILNYIEG-CYBMUJFWSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OC)cc1OC ZINC001085943260 760573106 /nfs/dbraw/zinc/57/31/06/760573106.db2.gz CZBACZWILNYIEG-CYBMUJFWSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(Br)o1 ZINC001085940625 760576978 /nfs/dbraw/zinc/57/69/78/760576978.db2.gz KZTLTVWKXGLXRM-SNVBAGLBSA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(Br)o1 ZINC001085940625 760576982 /nfs/dbraw/zinc/57/69/82/760576982.db2.gz KZTLTVWKXGLXRM-SNVBAGLBSA-N 1 2 311.179 1.822 20 30 DDEDLO CCc1nocc1C[N@@H+]1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038175468 760889978 /nfs/dbraw/zinc/88/99/78/760889978.db2.gz PJWKUUZIHPWOIB-AWEZNQCLSA-N 1 2 313.361 1.441 20 30 DDEDLO CCc1nocc1C[N@H+]1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038175468 760889991 /nfs/dbraw/zinc/88/99/91/760889991.db2.gz PJWKUUZIHPWOIB-AWEZNQCLSA-N 1 2 313.361 1.441 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2[C@@H](C)C(=O)N(C)C)CC1 ZINC001038667975 761267940 /nfs/dbraw/zinc/26/79/40/761267940.db2.gz QRSDENUZOMQTNT-DZGCQCFKSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2[C@@H](C)C(=O)N(C)C)CC1 ZINC001038667975 761267945 /nfs/dbraw/zinc/26/79/45/761267945.db2.gz QRSDENUZOMQTNT-DZGCQCFKSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCCC(=O)NC1(CC)CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001069455057 768026996 /nfs/dbraw/zinc/02/69/96/768026996.db2.gz YTCQOTDZLUFRAH-UHFFFAOYSA-N 1 2 318.421 1.737 20 30 DDEDLO CCn1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)nn1 ZINC001038855175 761479307 /nfs/dbraw/zinc/47/93/07/761479307.db2.gz XMANDFRPTQEYPZ-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)nn1 ZINC001038855175 761479310 /nfs/dbraw/zinc/47/93/10/761479310.db2.gz XMANDFRPTQEYPZ-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1coc(C2CCOCC2)n1 ZINC001038888783 761514469 /nfs/dbraw/zinc/51/44/69/761514469.db2.gz GJHAXBIVIDCWDU-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CNC(=O)c1coc(C2CCOCC2)n1 ZINC001038888783 761514472 /nfs/dbraw/zinc/51/44/72/761514472.db2.gz GJHAXBIVIDCWDU-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cn(C)nc2C)nc1 ZINC001038940920 761574119 /nfs/dbraw/zinc/57/41/19/761574119.db2.gz CIRNCUKIWJARKD-INIZCTEOSA-N 1 2 323.400 1.109 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cn(C)nc2C)nc1 ZINC001038940920 761574127 /nfs/dbraw/zinc/57/41/27/761574127.db2.gz CIRNCUKIWJARKD-INIZCTEOSA-N 1 2 323.400 1.109 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ncccc2C)nc1 ZINC001038942698 761575905 /nfs/dbraw/zinc/57/59/05/761575905.db2.gz JVIHJXGMNTWIAZ-INIZCTEOSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ncccc2C)nc1 ZINC001038942698 761575908 /nfs/dbraw/zinc/57/59/08/761575908.db2.gz JVIHJXGMNTWIAZ-INIZCTEOSA-N 1 2 320.396 1.771 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001039065559 761704640 /nfs/dbraw/zinc/70/46/40/761704640.db2.gz QEJSQOYLWHABFS-AWEZNQCLSA-N 1 2 309.373 1.299 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001039065559 761704642 /nfs/dbraw/zinc/70/46/42/761704642.db2.gz QEJSQOYLWHABFS-AWEZNQCLSA-N 1 2 309.373 1.299 20 30 DDEDLO CCCCCCC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncn[nH]2)C1 ZINC001108287140 761774894 /nfs/dbraw/zinc/77/48/94/761774894.db2.gz MEMNGHHCWOMKQC-INIZCTEOSA-N 1 2 323.441 1.596 20 30 DDEDLO CCCCCCC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncn[nH]2)C1 ZINC001108287140 761774895 /nfs/dbraw/zinc/77/48/95/761774895.db2.gz MEMNGHHCWOMKQC-INIZCTEOSA-N 1 2 323.441 1.596 20 30 DDEDLO CCCCCCC[N@@H+]1CCO[C@@](C)(CNC(=O)c2nc[nH]n2)C1 ZINC001108287140 761774903 /nfs/dbraw/zinc/77/49/03/761774903.db2.gz MEMNGHHCWOMKQC-INIZCTEOSA-N 1 2 323.441 1.596 20 30 DDEDLO CCCCCCC[N@H+]1CCO[C@@](C)(CNC(=O)c2nc[nH]n2)C1 ZINC001108287140 761774907 /nfs/dbraw/zinc/77/49/07/761774907.db2.gz MEMNGHHCWOMKQC-INIZCTEOSA-N 1 2 323.441 1.596 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CC[C@@H](C)CC)CC2=O)C1 ZINC001108580222 762741084 /nfs/dbraw/zinc/74/10/84/762741084.db2.gz CVYCZBXDDPLZCC-LSDHHAIUSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@](C)(CC)CCC)CC2=O)C1 ZINC001108584069 762753595 /nfs/dbraw/zinc/75/35/95/762753595.db2.gz YSALPPUOJJEOSZ-RDTXWAMCSA-N 1 2 321.465 1.790 20 30 DDEDLO C#CC[N@H+]1CC=C(CNC(=O)c2[nH]nc3c2CCCCC3)CC1 ZINC001001442419 762868998 /nfs/dbraw/zinc/86/89/98/762868998.db2.gz ICJXFOBUQFSTTI-UHFFFAOYSA-N 1 2 312.417 1.674 20 30 DDEDLO C#CC[N@@H+]1CC=C(CNC(=O)c2[nH]nc3c2CCCCC3)CC1 ZINC001001442419 762869004 /nfs/dbraw/zinc/86/90/04/762869004.db2.gz ICJXFOBUQFSTTI-UHFFFAOYSA-N 1 2 312.417 1.674 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(CCN(C(=O)[C@@H]3CCCc4[nH]ncc43)C2)C1 ZINC001041287977 762934698 /nfs/dbraw/zinc/93/46/98/762934698.db2.gz SHGIBWKUWHWESP-KDOFPFPSSA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(CCN(C(=O)[C@@H]3CCCc4[nH]ncc43)C2)C1 ZINC001041287977 762934700 /nfs/dbraw/zinc/93/47/00/762934700.db2.gz SHGIBWKUWHWESP-KDOFPFPSSA-N 1 2 312.417 1.387 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC(=O)NCC[N@@H+](CC(=C)C)CC1 ZINC001131377672 768103331 /nfs/dbraw/zinc/10/33/31/768103331.db2.gz ZPFIBCUUBWIKIJ-INIZCTEOSA-N 1 2 321.465 1.815 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC(=O)NCC[N@H+](CC(=C)C)CC1 ZINC001131377672 768103335 /nfs/dbraw/zinc/10/33/35/768103335.db2.gz ZPFIBCUUBWIKIJ-INIZCTEOSA-N 1 2 321.465 1.815 20 30 DDEDLO C=CCOCCCC(=O)N[C@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001108908013 763099720 /nfs/dbraw/zinc/09/97/20/763099720.db2.gz JRCVOTPZUVOOEP-CYBMUJFWSA-N 1 2 306.410 1.709 20 30 DDEDLO Cc1nsc(N(C)C[C@H](C)NC(=O)Cn2cc[nH+]c2)c1C#N ZINC001109015039 763231512 /nfs/dbraw/zinc/23/15/12/763231512.db2.gz SXMJUXBFVIOIDU-JTQLQIEISA-N 1 2 318.406 1.161 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CN(C)c1ccc(C#N)cn1 ZINC001109044192 763260857 /nfs/dbraw/zinc/26/08/57/763260857.db2.gz OVXBAQXOYLSCOE-NSHDSACASA-N 1 2 312.377 1.168 20 30 DDEDLO CN(CCCN(C)C(=O)CCc1c[nH]c[nH+]1)C(=O)C#CC1CC1 ZINC001067380817 763532712 /nfs/dbraw/zinc/53/27/12/763532712.db2.gz VIRPFOWZATUSBV-UHFFFAOYSA-N 1 2 316.405 1.063 20 30 DDEDLO CN(CCCN(C)C(=O)CCc1c[nH+]c[nH]1)C(=O)C#CC1CC1 ZINC001067380817 763532719 /nfs/dbraw/zinc/53/27/19/763532719.db2.gz VIRPFOWZATUSBV-UHFFFAOYSA-N 1 2 316.405 1.063 20 30 DDEDLO CN(CCCN(C)C(=O)Cn1cc[nH+]c1)C(=O)C#CC(C)(C)C ZINC001067382683 763585142 /nfs/dbraw/zinc/58/51/42/763585142.db2.gz TUBQEVOKTLYVRL-UHFFFAOYSA-N 1 2 318.421 1.240 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC1(C)C)C2 ZINC001109628543 763891741 /nfs/dbraw/zinc/89/17/41/763891741.db2.gz SIAGDNNEJDCYGC-KBXIAJHMSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC1(C)C)C2 ZINC001109628543 763891748 /nfs/dbraw/zinc/89/17/48/763891748.db2.gz SIAGDNNEJDCYGC-KBXIAJHMSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CC)CC1)C2 ZINC001109677298 763930950 /nfs/dbraw/zinc/93/09/50/763930950.db2.gz OTJIFJDEMHILLX-RDBSUJKOSA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CC)CC1)C2 ZINC001109677298 763930951 /nfs/dbraw/zinc/93/09/51/763930951.db2.gz OTJIFJDEMHILLX-RDBSUJKOSA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCOCC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]ncc1F)C2 ZINC001109685349 763944128 /nfs/dbraw/zinc/94/41/28/763944128.db2.gz YYBOGVGPYMGPJU-WXHSDQCUSA-N 1 2 308.357 1.087 20 30 DDEDLO C=CCOCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]ncc1F)C2 ZINC001109685349 763944131 /nfs/dbraw/zinc/94/41/31/763944131.db2.gz YYBOGVGPYMGPJU-WXHSDQCUSA-N 1 2 308.357 1.087 20 30 DDEDLO CC(C)C(=O)N(C)C1C[NH+](Cc2ccc(OCC#N)cc2)C1 ZINC001042487789 764103403 /nfs/dbraw/zinc/10/34/03/764103403.db2.gz HPCSKJWADNILEJ-UHFFFAOYSA-N 1 2 301.390 1.888 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ncoc1CC ZINC001050850716 764177401 /nfs/dbraw/zinc/17/74/01/764177401.db2.gz NVOZDUXHEIBZGE-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncoc1CC ZINC001050850716 764177409 /nfs/dbraw/zinc/17/74/09/764177409.db2.gz NVOZDUXHEIBZGE-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1onc(C)c1C ZINC001050974125 764369236 /nfs/dbraw/zinc/36/92/36/764369236.db2.gz NVLXPJGUGJANLK-AWEZNQCLSA-N 1 2 307.394 1.688 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1onc(C)c1C ZINC001050974125 764369242 /nfs/dbraw/zinc/36/92/42/764369242.db2.gz NVLXPJGUGJANLK-AWEZNQCLSA-N 1 2 307.394 1.688 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccnc1C1CC1 ZINC001051003888 764407618 /nfs/dbraw/zinc/40/76/18/764407618.db2.gz RUDAVRMGEWFXCM-OAHLLOKOSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccnc1C1CC1 ZINC001051003888 764407625 /nfs/dbraw/zinc/40/76/25/764407625.db2.gz RUDAVRMGEWFXCM-OAHLLOKOSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051060544 764464620 /nfs/dbraw/zinc/46/46/20/764464620.db2.gz OYRCDKANFVGIJE-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051060547 764464635 /nfs/dbraw/zinc/46/46/35/764464635.db2.gz OYRCDKANFVGIJE-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CNC(=O)c3ccccc32)C1 ZINC001042943009 764541351 /nfs/dbraw/zinc/54/13/51/764541351.db2.gz ATOWEJFXAPXOFE-MRXNPFEDSA-N 1 2 313.401 1.232 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1nc(CC)oc1C ZINC001051160146 764565574 /nfs/dbraw/zinc/56/55/74/764565574.db2.gz QCPUZFSMRXDURZ-AWEZNQCLSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nc(CC)oc1C ZINC001051160146 764565584 /nfs/dbraw/zinc/56/55/84/764565584.db2.gz QCPUZFSMRXDURZ-AWEZNQCLSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1COCC[N@@H+]1CCCF ZINC001051164662 764568564 /nfs/dbraw/zinc/56/85/64/764568564.db2.gz QWWRIEYJKJINLL-ILXRZTDVSA-N 1 2 314.401 1.144 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1COCC[N@H+]1CCCF ZINC001051164662 764568572 /nfs/dbraw/zinc/56/85/72/764568572.db2.gz QWWRIEYJKJINLL-ILXRZTDVSA-N 1 2 314.401 1.144 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnc(C)nc1C ZINC001051171889 764576799 /nfs/dbraw/zinc/57/67/99/764576799.db2.gz CSQYFJVUXNEGFC-AWEZNQCLSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnc(C)nc1C ZINC001051171889 764576792 /nfs/dbraw/zinc/57/67/92/764576792.db2.gz CSQYFJVUXNEGFC-AWEZNQCLSA-N 1 2 304.394 1.100 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(CC)c1C ZINC001051183019 764588688 /nfs/dbraw/zinc/58/86/88/764588688.db2.gz HIJMPHIYSQZJGU-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(CC)c1C ZINC001051183019 764588691 /nfs/dbraw/zinc/58/86/91/764588691.db2.gz HIJMPHIYSQZJGU-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1coc(CC2CC2)n1 ZINC001051187309 764594900 /nfs/dbraw/zinc/59/49/00/764594900.db2.gz VGSPOHHZLUAPJZ-CQSZACIVSA-N 1 2 319.405 1.634 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1coc(CC2CC2)n1 ZINC001051187309 764594905 /nfs/dbraw/zinc/59/49/05/764594905.db2.gz VGSPOHHZLUAPJZ-CQSZACIVSA-N 1 2 319.405 1.634 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](CCc2ccccc2F)CC1 ZINC001112845983 764860362 /nfs/dbraw/zinc/86/03/62/764860362.db2.gz BKUSLWKLFHEAOD-OAHLLOKOSA-N 1 2 318.392 1.551 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2c3c[nH]nc3CC[C@H]2C)C1 ZINC001043387322 764878569 /nfs/dbraw/zinc/87/85/69/764878569.db2.gz XFMSBOKMBJORKW-WBMJQRKESA-N 1 2 300.406 1.242 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001112997283 765118029 /nfs/dbraw/zinc/11/80/29/765118029.db2.gz WWBLYTMRKSBWQF-GHMZBOCLSA-N 1 2 302.382 1.635 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c[nH]nc2C(C)C)CC1 ZINC001113059177 765207482 /nfs/dbraw/zinc/20/74/82/765207482.db2.gz RMVPPSOUUDFXHV-UHFFFAOYSA-N 1 2 306.410 1.494 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cc(C)on3)C2)CC1 ZINC001051977772 765338810 /nfs/dbraw/zinc/33/88/10/765338810.db2.gz FVXUBTPJDMXGRO-AWEZNQCLSA-N 1 2 304.394 1.001 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3CC=CCC3)C2)CC1 ZINC001051981056 765344220 /nfs/dbraw/zinc/34/42/20/765344220.db2.gz MUNUXERQKWCKLY-QZTJIDSGSA-N 1 2 315.461 1.585 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3occc3C)C2)CC1 ZINC001051983047 765347600 /nfs/dbraw/zinc/34/76/00/765347600.db2.gz KVULIOXUFVCNRF-OAHLLOKOSA-N 1 2 303.406 1.606 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccc(C)nc3)C2)CC1 ZINC001051985697 765350290 /nfs/dbraw/zinc/35/02/90/765350290.db2.gz CNNAACHTHYBSOA-KRWDZBQOSA-N 1 2 314.433 1.408 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccc(CC)o3)C2)CC1 ZINC001052044050 765416723 /nfs/dbraw/zinc/41/67/23/765416723.db2.gz BSLOPYXWZRPHHA-HNNXBMFYSA-N 1 2 317.433 1.860 20 30 DDEDLO CC1(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)CCCC1 ZINC001052059531 765431380 /nfs/dbraw/zinc/43/13/80/765431380.db2.gz KCJGSOOEZSXBKC-HNNXBMFYSA-N 1 2 304.438 1.309 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3C[C@H]3CC)C2)CC1 ZINC001052087819 765459476 /nfs/dbraw/zinc/45/94/76/765459476.db2.gz CBKBWAZWRKVNRB-IXDOHACOSA-N 1 2 305.466 1.827 20 30 DDEDLO Cc1cc(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)cs1 ZINC001052098210 765469070 /nfs/dbraw/zinc/46/90/70/765469070.db2.gz FNJOPQYYAGOWIL-HNNXBMFYSA-N 1 2 318.446 1.412 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccn(C(C)C)n2)CC1 ZINC001113392461 765648143 /nfs/dbraw/zinc/64/81/43/765648143.db2.gz DPWIHHPWNJZQOT-UHFFFAOYSA-N 1 2 306.410 1.424 20 30 DDEDLO C#CC[NH2+][C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)[C@@H](C)C1 ZINC001044704126 765764340 /nfs/dbraw/zinc/76/43/40/765764340.db2.gz YEFGIRHRBSCYFK-XJKSGUPXSA-N 1 2 323.400 1.688 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCCN(C(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001057771516 765901014 /nfs/dbraw/zinc/90/10/14/765901014.db2.gz HOLLMLLZMVZJMB-INIZCTEOSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCCN(C(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001057771516 765901019 /nfs/dbraw/zinc/90/10/19/765901019.db2.gz HOLLMLLZMVZJMB-INIZCTEOSA-N 1 2 324.388 1.712 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[C@H]2C[NH2+]Cc2nnn(C)n2)CC1 ZINC001045182223 766139466 /nfs/dbraw/zinc/13/94/66/766139466.db2.gz PCGGJZQCHQQMOE-AWEZNQCLSA-N 1 2 318.425 1.037 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)N(C)c1ncccc1C#N ZINC001113936577 766411637 /nfs/dbraw/zinc/41/16/37/766411637.db2.gz LLSLFIIOWIJHEC-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)N(C)c1ncccc1C#N ZINC001113936577 766411642 /nfs/dbraw/zinc/41/16/42/766411642.db2.gz LLSLFIIOWIJHEC-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO Cc1nc(N2CC[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001114018960 766541337 /nfs/dbraw/zinc/54/13/37/766541337.db2.gz NCFZEYTUVJXKCL-UKRRQHHQSA-N 1 2 300.406 1.775 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccc(C#N)nc1 ZINC001067549716 766609826 /nfs/dbraw/zinc/60/98/26/766609826.db2.gz JYWNFSIKOCKUGV-OLZOCXBDSA-N 1 2 324.388 1.393 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C3CCC=CCC3)CC2)C1 ZINC001046084857 766896349 /nfs/dbraw/zinc/89/63/49/766896349.db2.gz WNTBYJOKXOBNAV-KRWDZBQOSA-N 1 2 316.449 1.475 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N1C[C@@H]2COC[C@@H]2C1 ZINC001121612081 782591413 /nfs/dbraw/zinc/59/14/13/782591413.db2.gz CFYLQLLVYJOPKX-ZNMIVQPWSA-N 1 2 317.437 1.704 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1C[C@@H]2COC[C@@H]2C1 ZINC001121612081 782591421 /nfs/dbraw/zinc/59/14/21/782591421.db2.gz CFYLQLLVYJOPKX-ZNMIVQPWSA-N 1 2 317.437 1.704 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cncc3[nH]cnc32)C1 ZINC001046335803 767436316 /nfs/dbraw/zinc/43/63/16/767436316.db2.gz NOWXTVBGAXHVRU-HNNXBMFYSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cncc3[nH]cnc32)C1 ZINC001046335803 767436322 /nfs/dbraw/zinc/43/63/22/767436322.db2.gz NOWXTVBGAXHVRU-HNNXBMFYSA-N 1 2 319.796 1.905 20 30 DDEDLO C#CC[N@H+]1CC[C@](C)(NC(=O)c2nc3cccnc3s2)C1 ZINC001046377700 767487304 /nfs/dbraw/zinc/48/73/04/767487304.db2.gz DAGSTMICQOCTPJ-HNNXBMFYSA-N 1 2 300.387 1.519 20 30 DDEDLO C#CC[N@@H+]1CC[C@](C)(NC(=O)c2nc3cccnc3s2)C1 ZINC001046377700 767487309 /nfs/dbraw/zinc/48/73/09/767487309.db2.gz DAGSTMICQOCTPJ-HNNXBMFYSA-N 1 2 300.387 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001046434964 767563384 /nfs/dbraw/zinc/56/33/84/767563384.db2.gz VHBAJULHLGHSEA-BBRMVZONSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001046434964 767563388 /nfs/dbraw/zinc/56/33/88/767563388.db2.gz VHBAJULHLGHSEA-BBRMVZONSA-N 1 2 322.840 1.779 20 30 DDEDLO C[C@]1(NC(=O)c2cn[nH]n2)CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001046439551 767571292 /nfs/dbraw/zinc/57/12/92/767571292.db2.gz VNSDSAHXDPROSW-AWEZNQCLSA-N 1 2 316.390 1.132 20 30 DDEDLO C[C@]1(NC(=O)c2cnn[nH]2)CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001046439551 767571301 /nfs/dbraw/zinc/57/13/01/767571301.db2.gz VNSDSAHXDPROSW-AWEZNQCLSA-N 1 2 316.390 1.132 20 30 DDEDLO C[C@]1(NC(=O)c2cnn[nH]2)CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001046439551 767571304 /nfs/dbraw/zinc/57/13/04/767571304.db2.gz VNSDSAHXDPROSW-AWEZNQCLSA-N 1 2 316.390 1.132 20 30 DDEDLO C[C@@]1(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCN(CC#N)C1 ZINC001046513077 767641453 /nfs/dbraw/zinc/64/14/53/767641453.db2.gz RMBIZQXNXDACCK-QGZVFWFLSA-N 1 2 309.373 1.590 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2scnc2C)CC[C@@H]1C ZINC001131825553 768391477 /nfs/dbraw/zinc/39/14/77/768391477.db2.gz KCKVZYKNFRDDPX-GXTWGEPZSA-N 1 2 321.446 1.684 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2scnc2C)CC[C@@H]1C ZINC001131825553 768391484 /nfs/dbraw/zinc/39/14/84/768391484.db2.gz KCKVZYKNFRDDPX-GXTWGEPZSA-N 1 2 321.446 1.684 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+][C@@H](C)c1csnn1 ZINC001131869530 768430338 /nfs/dbraw/zinc/43/03/38/768430338.db2.gz FOIJCFFVKGELKD-SMDDNHRTSA-N 1 2 312.439 1.534 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCC(=O)NCC)CC[C@@H]1C ZINC001131903875 768467997 /nfs/dbraw/zinc/46/79/97/768467997.db2.gz LCLMQVSSNNFWJO-STQMWFEESA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCC(=O)NCC)CC[C@@H]1C ZINC001131903875 768468001 /nfs/dbraw/zinc/46/80/01/768468001.db2.gz LCLMQVSSNNFWJO-STQMWFEESA-N 1 2 315.845 1.624 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3occc3s2)C1 ZINC001047526035 768544572 /nfs/dbraw/zinc/54/45/72/768544572.db2.gz GNHCZDSNWWNOBF-RYUDHWBXSA-N 1 2 318.398 1.635 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3occc3s2)C1 ZINC001047526035 768544576 /nfs/dbraw/zinc/54/45/76/768544576.db2.gz GNHCZDSNWWNOBF-RYUDHWBXSA-N 1 2 318.398 1.635 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001132002522 768553628 /nfs/dbraw/zinc/55/36/28/768553628.db2.gz KNKVBZXNPLICAC-CABCVRRESA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001132002522 768553631 /nfs/dbraw/zinc/55/36/31/768553631.db2.gz KNKVBZXNPLICAC-CABCVRRESA-N 1 2 302.422 1.693 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@H]2C)cn1 ZINC001132034689 768580344 /nfs/dbraw/zinc/58/03/44/768580344.db2.gz UMFNFULRLNZTMS-GDBMZVCRSA-N 1 2 312.417 1.668 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@H]2C)cn1 ZINC001132034689 768580349 /nfs/dbraw/zinc/58/03/49/768580349.db2.gz UMFNFULRLNZTMS-GDBMZVCRSA-N 1 2 312.417 1.668 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cc(C)on2)C1 ZINC001132041889 768583050 /nfs/dbraw/zinc/58/30/50/768583050.db2.gz MGGYMWSWLYSIFG-UKRRQHHQSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cc(C)on2)C1 ZINC001132041889 768583054 /nfs/dbraw/zinc/58/30/54/768583054.db2.gz MGGYMWSWLYSIFG-UKRRQHHQSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccsc2C(F)F)C1 ZINC001047612181 768609792 /nfs/dbraw/zinc/60/97/92/768609792.db2.gz YUVNKXSTXCBFIZ-QWRGUYRKSA-N 1 2 314.357 1.436 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccsc2C(F)F)C1 ZINC001047612181 768609795 /nfs/dbraw/zinc/60/97/95/768609795.db2.gz YUVNKXSTXCBFIZ-QWRGUYRKSA-N 1 2 314.357 1.436 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070685537 768669270 /nfs/dbraw/zinc/66/92/70/768669270.db2.gz GXVGBRHKXKBZLF-CPUCHLNUSA-N 1 2 315.421 1.430 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CCS(C)(=O)=O)C1 ZINC001132217597 768689425 /nfs/dbraw/zinc/68/94/25/768689425.db2.gz DPIIBRLZSPVAEX-CHWSQXEVSA-N 1 2 316.467 1.212 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](CCS(C)(=O)=O)C1 ZINC001132217597 768689428 /nfs/dbraw/zinc/68/94/28/768689428.db2.gz DPIIBRLZSPVAEX-CHWSQXEVSA-N 1 2 316.467 1.212 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC(C)C)C1 ZINC001132227697 768698351 /nfs/dbraw/zinc/69/83/51/768698351.db2.gz HTNXJYMHKPVAPZ-HUUCEWRRSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC(C)C)C1 ZINC001132227697 768698359 /nfs/dbraw/zinc/69/83/59/768698359.db2.gz HTNXJYMHKPVAPZ-HUUCEWRRSA-N 1 2 323.481 1.940 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(C)(C)CC)CC[C@@H]1C ZINC001132256270 768712865 /nfs/dbraw/zinc/71/28/65/768712865.db2.gz LSSKASZNMZIOMN-UONOGXRCSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(C)(C)CC)CC[C@@H]1C ZINC001132256270 768712867 /nfs/dbraw/zinc/71/28/67/768712867.db2.gz LSSKASZNMZIOMN-UONOGXRCSA-N 1 2 307.438 1.141 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2(C3CC3)CC2)CC1 ZINC001070972797 768822792 /nfs/dbraw/zinc/82/27/92/768822792.db2.gz MLCTZLHIGOEJBA-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2(C3CC3)CC2)CC1 ZINC001070972797 768822809 /nfs/dbraw/zinc/82/28/09/768822809.db2.gz MLCTZLHIGOEJBA-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2(C)CCC2)CC1 ZINC001070987283 768840509 /nfs/dbraw/zinc/84/05/09/768840509.db2.gz YDIWYPKDJABDDZ-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2(C)CCC2)CC1 ZINC001070987283 768840524 /nfs/dbraw/zinc/84/05/24/768840524.db2.gz YDIWYPKDJABDDZ-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC[C@@H](C)C2)CC1 ZINC001071005833 768869127 /nfs/dbraw/zinc/86/91/27/768869127.db2.gz KJCYFMIRNWOVEA-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC[C@@H](C)C2)CC1 ZINC001071005833 768869139 /nfs/dbraw/zinc/86/91/39/768869139.db2.gz KJCYFMIRNWOVEA-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001132569831 768993271 /nfs/dbraw/zinc/99/32/71/768993271.db2.gz ZHEYWYYCIUYXCM-IUCAKERBSA-N 1 2 302.325 1.671 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001132605159 769007329 /nfs/dbraw/zinc/00/73/29/769007329.db2.gz CIALXEVXRDYHNQ-UHFFFAOYSA-N 1 2 310.423 1.336 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[NH2+][C@H](C)c1nc(COC)no1 ZINC001132660205 769070041 /nfs/dbraw/zinc/07/00/41/769070041.db2.gz KLATWXCEMPXEKX-VXGBXAGGSA-N 1 2 310.398 1.585 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001071268899 769213687 /nfs/dbraw/zinc/21/36/87/769213687.db2.gz DLBYECJOPCGKDR-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001071268899 769213690 /nfs/dbraw/zinc/21/36/90/769213690.db2.gz DLBYECJOPCGKDR-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2C[C@@H](NC(C)=O)CC[C@H]2C)c1 ZINC001071286618 769234781 /nfs/dbraw/zinc/23/47/81/769234781.db2.gz XGFBWAIYKVAFDU-DYVFJYSZSA-N 1 2 313.401 1.595 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2C[C@@H](NC(C)=O)CC[C@H]2C)c1 ZINC001071286618 769234787 /nfs/dbraw/zinc/23/47/87/769234787.db2.gz XGFBWAIYKVAFDU-DYVFJYSZSA-N 1 2 313.401 1.595 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2nc3cccnc3s2)CC[C@@H]1C ZINC001071572373 769647573 /nfs/dbraw/zinc/64/75/73/769647573.db2.gz UYUUXAUQLYLSIS-RYUDHWBXSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2nc3cccnc3s2)CC[C@@H]1C ZINC001071572373 769647580 /nfs/dbraw/zinc/64/75/80/769647580.db2.gz UYUUXAUQLYLSIS-RYUDHWBXSA-N 1 2 314.414 1.907 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)CC[C@@H]1C ZINC001071588747 769671592 /nfs/dbraw/zinc/67/15/92/769671592.db2.gz KCQIYWRGXCREHE-GXSJLCMTSA-N 1 2 312.801 1.759 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)CC[C@@H]1C ZINC001071588747 769671598 /nfs/dbraw/zinc/67/15/98/769671598.db2.gz KCQIYWRGXCREHE-GXSJLCMTSA-N 1 2 312.801 1.759 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[NH2+][C@@H](C)c1nc(COC)no1 ZINC001133380452 769762250 /nfs/dbraw/zinc/76/22/50/769762250.db2.gz GNFGTEYAEHPYRM-XHDPSFHLSA-N 1 2 310.398 1.585 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn3cc[nH]c13)C2 ZINC001096430499 769980092 /nfs/dbraw/zinc/98/00/92/769980092.db2.gz XOKBSRINYMUXTG-WXHSDQCUSA-N 1 2 319.796 1.750 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn3cc[nH]c13)C2 ZINC001096430499 769980097 /nfs/dbraw/zinc/98/00/97/769980097.db2.gz XOKBSRINYMUXTG-WXHSDQCUSA-N 1 2 319.796 1.750 20 30 DDEDLO C[C@H](CC(=O)N[C@@H]1CCN(c2ccc(C#N)cn2)C1)n1cc[nH+]c1 ZINC001096488788 770450943 /nfs/dbraw/zinc/45/09/43/770450943.db2.gz STZQDJAQNOVYHT-UKRRQHHQSA-N 1 2 324.388 1.496 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001096492514 770473291 /nfs/dbraw/zinc/47/32/91/770473291.db2.gz VZJDWLNDIJESPF-GXTWGEPZSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001096492514 770473299 /nfs/dbraw/zinc/47/32/99/770473299.db2.gz VZJDWLNDIJESPF-GXTWGEPZSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cn2ccccc2=O)CC[C@H]1C ZINC001072061616 770502283 /nfs/dbraw/zinc/50/22/83/770502283.db2.gz GUQJAQZVPHRQBV-KGLIPLIRSA-N 1 2 323.824 1.570 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cn2ccccc2=O)CC[C@H]1C ZINC001072061616 770502286 /nfs/dbraw/zinc/50/22/86/770502286.db2.gz GUQJAQZVPHRQBV-KGLIPLIRSA-N 1 2 323.824 1.570 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1nccn1C ZINC001049380421 770759041 /nfs/dbraw/zinc/75/90/41/770759041.db2.gz FAEMBLVPQUAECP-MCIONIFRSA-N 1 2 301.394 1.145 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1nccn1C ZINC001049380421 770759047 /nfs/dbraw/zinc/75/90/47/770759047.db2.gz FAEMBLVPQUAECP-MCIONIFRSA-N 1 2 301.394 1.145 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)n1 ZINC001049382188 770761821 /nfs/dbraw/zinc/76/18/21/770761821.db2.gz NCSJGNHQFTZGQY-RAIGVLPGSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)n1 ZINC001049382188 770761827 /nfs/dbraw/zinc/76/18/27/770761827.db2.gz NCSJGNHQFTZGQY-RAIGVLPGSA-N 1 2 303.366 1.103 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@H]1C ZINC001072425968 770875479 /nfs/dbraw/zinc/87/54/79/770875479.db2.gz BEXZQYGBDKCHGG-UKRRQHHQSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(Br)C[N@H+]1CCC2(CN(C(=O)CSC)C2)C1 ZINC001072443349 770903425 /nfs/dbraw/zinc/90/34/25/770903425.db2.gz NMUAAVBETUOORX-UHFFFAOYSA-N 1 2 319.268 1.792 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC2(CN(C(=O)CSC)C2)C1 ZINC001072443349 770903431 /nfs/dbraw/zinc/90/34/31/770903431.db2.gz NMUAAVBETUOORX-UHFFFAOYSA-N 1 2 319.268 1.792 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCC[C@@H]2[C@H]1CCN2CC#N ZINC001049734934 771121153 /nfs/dbraw/zinc/12/11/53/771121153.db2.gz GXZJCSGKJGPENB-KBUPBQIOSA-N 1 2 313.405 1.112 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1nonc1C ZINC001049927376 771271730 /nfs/dbraw/zinc/27/17/30/771271730.db2.gz JZKGPEYBRGZVIR-NWDGAFQWSA-N 1 2 310.785 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1nonc1C ZINC001049927376 771271736 /nfs/dbraw/zinc/27/17/36/771271736.db2.gz JZKGPEYBRGZVIR-NWDGAFQWSA-N 1 2 310.785 1.809 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+][C@H](C)c1nc(CC)no1 ZINC001135147839 771353015 /nfs/dbraw/zinc/35/30/15/771353015.db2.gz PTYBAMRORGOCLZ-DYEKYZERSA-N 1 2 322.409 1.380 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001096935778 771525965 /nfs/dbraw/zinc/52/59/65/771525965.db2.gz RYXXYTRUDILIRZ-LBPRGKRZSA-N 1 2 312.377 1.036 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001097022028 771583718 /nfs/dbraw/zinc/58/37/18/771583718.db2.gz MTADEIGJWKTMEZ-HDJSIYSDSA-N 1 2 304.394 1.827 20 30 DDEDLO C#CCC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCc3cn[nH]c31)C2 ZINC001097076609 771609453 /nfs/dbraw/zinc/60/94/53/771609453.db2.gz PQEIUVMNDNPOTB-FPCVCCKLSA-N 1 2 312.417 1.574 20 30 DDEDLO C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCc3cn[nH]c31)C2 ZINC001097076609 771609454 /nfs/dbraw/zinc/60/94/54/771609454.db2.gz PQEIUVMNDNPOTB-FPCVCCKLSA-N 1 2 312.417 1.574 20 30 DDEDLO C[C@@H](C[C@H](C)NC(=O)c1cc(-n2cc[nH+]c2)ccn1)NCC#N ZINC001135852224 771753064 /nfs/dbraw/zinc/75/30/64/771753064.db2.gz CWHSUPDJTJVBKO-STQMWFEESA-N 1 2 312.377 1.277 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC2=CCOCC2)[C@H](O)C1 ZINC001090544799 771981239 /nfs/dbraw/zinc/98/12/39/771981239.db2.gz XJIHXUJDTQBHFB-UONOGXRCSA-N 1 2 314.813 1.027 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC2=CCOCC2)[C@H](O)C1 ZINC001090544799 771981243 /nfs/dbraw/zinc/98/12/43/771981243.db2.gz XJIHXUJDTQBHFB-UONOGXRCSA-N 1 2 314.813 1.027 20 30 DDEDLO C=CCOCC(=O)N1CCCO[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001090566898 771993688 /nfs/dbraw/zinc/99/36/88/771993688.db2.gz DBUDNVXFVPCFBX-CQSZACIVSA-N 1 2 320.393 1.017 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccccc2C)[C@@H](O)C1 ZINC001090796134 772219649 /nfs/dbraw/zinc/21/96/49/772219649.db2.gz NZTQUPCQAMVDAY-HOTGVXAUSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccccc2C)[C@@H](O)C1 ZINC001090796134 772219655 /nfs/dbraw/zinc/21/96/55/772219655.db2.gz NZTQUPCQAMVDAY-HOTGVXAUSA-N 1 2 322.836 1.841 20 30 DDEDLO CCOC[C@@H]1C[N@H+](Cc2cncc(C#N)c2)Cc2nnn(C)c21 ZINC001144080432 772396362 /nfs/dbraw/zinc/39/63/62/772396362.db2.gz LTEQOVWUUOQJSD-AWEZNQCLSA-N 1 2 312.377 1.218 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](Cc2cncc(C#N)c2)Cc2nnn(C)c21 ZINC001144080432 772396366 /nfs/dbraw/zinc/39/63/66/772396366.db2.gz LTEQOVWUUOQJSD-AWEZNQCLSA-N 1 2 312.377 1.218 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2ccn(CC)n2)CCCO1 ZINC001149362492 772455805 /nfs/dbraw/zinc/45/58/05/772455805.db2.gz RNRKEYQTODSZOJ-MRXNPFEDSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2ccn(CC)n2)CCCO1 ZINC001149362492 772455806 /nfs/dbraw/zinc/45/58/06/772455806.db2.gz RNRKEYQTODSZOJ-MRXNPFEDSA-N 1 2 320.437 1.576 20 30 DDEDLO COC(=O)C[C@H]1C[N@@H+]([C@@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171225278 772628739 /nfs/dbraw/zinc/62/87/39/772628739.db2.gz ZNZXTVRDUWKPAJ-BBRMVZONSA-N 1 2 302.374 1.753 20 30 DDEDLO COC(=O)C[C@H]1C[N@H+]([C@@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171225278 772628742 /nfs/dbraw/zinc/62/87/42/772628742.db2.gz ZNZXTVRDUWKPAJ-BBRMVZONSA-N 1 2 302.374 1.753 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091368893 772709036 /nfs/dbraw/zinc/70/90/36/772709036.db2.gz DXJJVPFWPPGWAY-FPMFFAJLSA-N 1 2 302.378 1.130 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C[C@H](C)OC)C1 ZINC001149417101 772818667 /nfs/dbraw/zinc/81/86/67/772818667.db2.gz XVSDVFMVHIFUGT-STQMWFEESA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C[C@H](C)OC)C1 ZINC001149417101 772818671 /nfs/dbraw/zinc/81/86/71/772818671.db2.gz XVSDVFMVHIFUGT-STQMWFEESA-N 1 2 304.818 1.371 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nc(C)no1)C2 ZINC001147461926 773141624 /nfs/dbraw/zinc/14/16/24/773141624.db2.gz HSQVOHKPANFULJ-ZDUSSCGKSA-N 1 2 316.405 1.777 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nc(C)no1)C2 ZINC001147461926 773141626 /nfs/dbraw/zinc/14/16/26/773141626.db2.gz HSQVOHKPANFULJ-ZDUSSCGKSA-N 1 2 316.405 1.777 20 30 DDEDLO C[C@]12CN(C(=O)C#CC3CC3)C[C@H]1C[N@H+](Cc1ncccn1)C2 ZINC001091659999 773236891 /nfs/dbraw/zinc/23/68/91/773236891.db2.gz YOVIPEUFJPAEJX-QAPCUYQASA-N 1 2 310.401 1.170 20 30 DDEDLO C[C@]12CN(C(=O)C#CC3CC3)C[C@H]1C[N@@H+](Cc1ncccn1)C2 ZINC001091659999 773236895 /nfs/dbraw/zinc/23/68/95/773236895.db2.gz YOVIPEUFJPAEJX-QAPCUYQASA-N 1 2 310.401 1.170 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CN(C(=O)[C@H]3CCCc4[nH]ncc43)C[C@]2(C)C1 ZINC001091672126 773327841 /nfs/dbraw/zinc/32/78/41/773327841.db2.gz ICUSCNBTHGXFRA-GLJUWKHASA-N 1 2 312.417 1.243 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CN(C(=O)[C@H]3CCCc4[nH]ncc43)C[C@]2(C)C1 ZINC001091672126 773327848 /nfs/dbraw/zinc/32/78/48/773327848.db2.gz ICUSCNBTHGXFRA-GLJUWKHASA-N 1 2 312.417 1.243 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2nccs2)C1 ZINC001073834732 773454269 /nfs/dbraw/zinc/45/42/69/773454269.db2.gz IAXZLWTWCQHTLW-NSHDSACASA-N 1 2 315.826 1.716 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2nccs2)C1 ZINC001073834732 773454270 /nfs/dbraw/zinc/45/42/70/773454270.db2.gz IAXZLWTWCQHTLW-NSHDSACASA-N 1 2 315.826 1.716 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2nccs2)C1 ZINC001073834731 773454842 /nfs/dbraw/zinc/45/48/42/773454842.db2.gz IAXZLWTWCQHTLW-LLVKDONJSA-N 1 2 315.826 1.716 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2nccs2)C1 ZINC001073834731 773454846 /nfs/dbraw/zinc/45/48/46/773454846.db2.gz IAXZLWTWCQHTLW-LLVKDONJSA-N 1 2 315.826 1.716 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C)no1)C2 ZINC001148887296 773639577 /nfs/dbraw/zinc/63/95/77/773639577.db2.gz IYNCUCIXXNFAGQ-UHFFFAOYSA-N 1 2 320.393 1.005 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@H+](Cc1nc(C)no1)C2 ZINC001148887296 773639578 /nfs/dbraw/zinc/63/95/78/773639578.db2.gz IYNCUCIXXNFAGQ-UHFFFAOYSA-N 1 2 320.393 1.005 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3cccn3C)C[C@H]21 ZINC001074169821 773692130 /nfs/dbraw/zinc/69/21/30/773692130.db2.gz CZHASQIFGMBQAM-CVEARBPZSA-N 1 2 303.406 1.517 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccn3C)C[C@H]21 ZINC001074169821 773692136 /nfs/dbraw/zinc/69/21/36/773692136.db2.gz CZHASQIFGMBQAM-CVEARBPZSA-N 1 2 303.406 1.517 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccoc3)C[C@H]21 ZINC001074209432 773733006 /nfs/dbraw/zinc/73/30/06/773733006.db2.gz XVNJBCGNRRYYRD-IAGOWNOFSA-N 1 2 316.401 1.537 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccoc3)C[C@H]21 ZINC001074209432 773733011 /nfs/dbraw/zinc/73/30/11/773733011.db2.gz XVNJBCGNRRYYRD-IAGOWNOFSA-N 1 2 316.401 1.537 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCc3ccon3)C[C@H]21 ZINC001074297071 773802089 /nfs/dbraw/zinc/80/20/89/773802089.db2.gz KNDNJYWVOZMOQS-CVEARBPZSA-N 1 2 319.405 1.485 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCc3ccon3)C[C@H]21 ZINC001074297071 773802095 /nfs/dbraw/zinc/80/20/95/773802095.db2.gz KNDNJYWVOZMOQS-CVEARBPZSA-N 1 2 319.405 1.485 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(F)c[nH]3)C[C@@H]21 ZINC001074301953 773805073 /nfs/dbraw/zinc/80/50/73/773805073.db2.gz IXDUSGJTGJYSMU-LSDHHAIUSA-N 1 2 305.353 1.092 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(F)c[nH]3)C[C@@H]21 ZINC001074301953 773805082 /nfs/dbraw/zinc/80/50/82/773805082.db2.gz IXDUSGJTGJYSMU-LSDHHAIUSA-N 1 2 305.353 1.092 20 30 DDEDLO Cc1nc(NC2(CNC(=O)CCc3[nH]cc[nH+]3)CC2)ccc1C#N ZINC001110124270 773831741 /nfs/dbraw/zinc/83/17/41/773831741.db2.gz IRCYIEIIUPOXJB-UHFFFAOYSA-N 1 2 324.388 1.678 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3nc(C)oc3C)C[C@@H]21 ZINC001074366622 773859092 /nfs/dbraw/zinc/85/90/92/773859092.db2.gz XCOCTIACCFTNLX-GJZGRUSLSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3nc(C)oc3C)C[C@@H]21 ZINC001074366622 773859094 /nfs/dbraw/zinc/85/90/94/773859094.db2.gz XCOCTIACCFTNLX-GJZGRUSLSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001074886128 774216489 /nfs/dbraw/zinc/21/64/89/774216489.db2.gz DFSWFPYEZKYPDL-KGLIPLIRSA-N 1 2 304.394 1.345 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001074977343 774280517 /nfs/dbraw/zinc/28/05/17/774280517.db2.gz MMZUXMPYRIWLIB-UONOGXRCSA-N 1 2 316.405 1.107 20 30 DDEDLO C[C@H](CNC(=O)C[C@@H](C)n1cc[nH+]c1)Nc1ccc(C#N)nn1 ZINC001098303489 774377710 /nfs/dbraw/zinc/37/77/10/774377710.db2.gz UIZMLQRDLPTOKW-VXGBXAGGSA-N 1 2 313.365 1.113 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001098849193 774693894 /nfs/dbraw/zinc/69/38/94/774693894.db2.gz SKLFWFDAXGTBMQ-UGSOOPFHSA-N 1 2 323.400 1.566 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001098849193 774693896 /nfs/dbraw/zinc/69/38/96/774693896.db2.gz SKLFWFDAXGTBMQ-UGSOOPFHSA-N 1 2 323.400 1.566 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cc(C)nn3C)CC2)C1 ZINC001093513282 774761955 /nfs/dbraw/zinc/76/19/55/774761955.db2.gz MCXDSMDAUHRAFQ-UHFFFAOYSA-N 1 2 318.421 1.220 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CC[C@H](OC)C3)CC2)C1 ZINC001093548880 774825553 /nfs/dbraw/zinc/82/55/53/774825553.db2.gz MSSIZISXALSIBH-ZBFHGGJFSA-N 1 2 322.449 1.679 20 30 DDEDLO C[NH+](C)[C@H](C(=O)NCCNc1ccc(C#N)cn1)c1cccnc1 ZINC001093681045 774974772 /nfs/dbraw/zinc/97/47/72/774974772.db2.gz NVPJYUPYOLNFDO-INIZCTEOSA-N 1 2 324.388 1.179 20 30 DDEDLO N#Cc1cnc(NCCNC(=O)Cn2cc[nH+]c2)c(Cl)c1 ZINC001093702223 775022512 /nfs/dbraw/zinc/02/25/12/775022512.db2.gz RETGGVCJAVWZAI-UHFFFAOYSA-N 1 2 304.741 1.031 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)sc2C)C[C@@H]1O ZINC001099726042 775189856 /nfs/dbraw/zinc/18/98/56/775189856.db2.gz CVGBJFDFEKRFEC-HIFRSBDPSA-N 1 2 323.462 1.778 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)sc2C)C[C@@H]1O ZINC001099726042 775189861 /nfs/dbraw/zinc/18/98/61/775189861.db2.gz CVGBJFDFEKRFEC-HIFRSBDPSA-N 1 2 323.462 1.778 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@]3(C)CC)nn2)C1 ZINC001094331563 775713670 /nfs/dbraw/zinc/71/36/70/775713670.db2.gz BQLGOAFMQFQHMA-ZBFHGGJFSA-N 1 2 303.410 1.373 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC[C@@H](C)C3)nn2)C1 ZINC001094324627 775752250 /nfs/dbraw/zinc/75/22/50/775752250.db2.gz YROJAIYYECPBAL-CHWSQXEVSA-N 1 2 303.410 1.373 20 30 DDEDLO CN(CCNC(=O)[C@H]1CCCc2[nH+]c[nH]c21)c1ccc(C#N)nc1 ZINC001100330393 776007988 /nfs/dbraw/zinc/00/79/88/776007988.db2.gz OYFCCRXGLDXKAH-AWEZNQCLSA-N 1 2 324.388 1.349 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC001094594756 776090020 /nfs/dbraw/zinc/09/00/20/776090020.db2.gz OGEQVPGTDUHAIO-AWEZNQCLSA-N 1 2 318.421 1.865 20 30 DDEDLO N#Cc1cnc(NCCCNC(=O)c2cccc3[nH+]ccn32)cn1 ZINC001094667336 776148839 /nfs/dbraw/zinc/14/88/39/776148839.db2.gz LZSKWLHAJWARFJ-UHFFFAOYSA-N 1 2 321.344 1.228 20 30 DDEDLO CCN(CCNC(=O)Cc1[nH]cc[nH+]1)c1cc(C)ncc1C#N ZINC001100589815 776338063 /nfs/dbraw/zinc/33/80/63/776338063.db2.gz TWAPOGAAZRCTSH-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001100859428 776642534 /nfs/dbraw/zinc/64/25/34/776642534.db2.gz SARYFMKTZHZOKY-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1cnc2ccnn2c1Nc1ccc(C[NH+]2CC(O)C2)cc1 ZINC001212665401 776799693 /nfs/dbraw/zinc/79/96/93/776799693.db2.gz MMJZNPMKPIXFNH-UHFFFAOYSA-N 1 2 320.356 1.521 20 30 DDEDLO CCOC(=O)[C@H](CS)[NH2+][C@@H]1CCC[C@H](C(=O)OC)CC1 ZINC001172763974 776884428 /nfs/dbraw/zinc/88/44/28/776884428.db2.gz BPANNQNOGPLZRH-TUAOUCFPSA-N 1 2 303.424 1.559 20 30 DDEDLO COC(=O)[C@H](CS)[NH2+][C@H]1CCc2ccc([N+](=O)[O-])cc2CC1 ZINC001172899216 776915076 /nfs/dbraw/zinc/91/50/76/776915076.db2.gz MHRMWWAGLMFAFL-JSGCOSHPSA-N 1 2 324.402 1.903 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095116643 777125068 /nfs/dbraw/zinc/12/50/68/777125068.db2.gz XRHYJDIZFGTGEU-XJKSGUPXSA-N 1 2 304.394 1.149 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)CCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001101585889 777277914 /nfs/dbraw/zinc/27/79/14/777277914.db2.gz NNZXVRDULYCSDI-QGZVFWFLSA-N 1 2 318.421 1.860 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C\c1ccco1)c1nccn12 ZINC001101603073 777293360 /nfs/dbraw/zinc/29/33/60/777293360.db2.gz XJWJLKWZVHLJCB-YVACAVLKSA-N 1 2 324.384 1.947 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC3(C[NH+](CC=C)C3)n3ccnc32)CCC1 ZINC001101630720 777325785 /nfs/dbraw/zinc/32/57/85/777325785.db2.gz LYNHBHMJOYBCPM-HNNXBMFYSA-N 1 2 324.428 1.835 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101912607 777671332 /nfs/dbraw/zinc/67/13/32/777671332.db2.gz SDMDWFNCMHANIF-VXGBXAGGSA-N 1 2 316.409 1.692 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@H+]([C@@H](C)c2noc(C)n2)C[C@H]1C ZINC001101919688 777678571 /nfs/dbraw/zinc/67/85/71/777678571.db2.gz XHYRRPZXBKBIII-VNHYZAJKSA-N 1 2 318.421 1.927 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@@H+]([C@@H](C)c2noc(C)n2)C[C@H]1C ZINC001101919688 777678576 /nfs/dbraw/zinc/67/85/76/777678576.db2.gz XHYRRPZXBKBIII-VNHYZAJKSA-N 1 2 318.421 1.927 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCNc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001101942449 777707440 /nfs/dbraw/zinc/70/74/40/777707440.db2.gz KNSUZHFLAJDINF-UHFFFAOYSA-N 1 2 318.425 1.473 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](CCNC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001102398447 778116260 /nfs/dbraw/zinc/11/62/60/778116260.db2.gz SRBGXBLNKBDNCJ-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO C#Cc1ccc(NC(=O)[C@@H](Cc2c[nH]c[nH+]2)NC(C)=O)cc1F ZINC001176367777 778136742 /nfs/dbraw/zinc/13/67/42/778136742.db2.gz VOMWKXBLSSMUSW-OAHLLOKOSA-N 1 2 314.320 1.216 20 30 DDEDLO C#Cc1ccc(NC(=O)[C@@H](Cc2c[nH+]c[nH]2)NC(C)=O)cc1F ZINC001176367777 778136747 /nfs/dbraw/zinc/13/67/47/778136747.db2.gz VOMWKXBLSSMUSW-OAHLLOKOSA-N 1 2 314.320 1.216 20 30 DDEDLO C=CCCC(=O)N(C)[C@H]1CCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001102683722 778306126 /nfs/dbraw/zinc/30/61/26/778306126.db2.gz JGWNIZJOWSDZJI-LBPRGKRZSA-N 1 2 314.393 1.367 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CSCCC)C[C@@H]21 ZINC001176929692 778318161 /nfs/dbraw/zinc/31/81/61/778318161.db2.gz KVQIQAHWFQXYCU-LSDHHAIUSA-N 1 2 310.463 1.455 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CSCCC)C[C@@H]21 ZINC001176929692 778318169 /nfs/dbraw/zinc/31/81/69/778318169.db2.gz KVQIQAHWFQXYCU-LSDHHAIUSA-N 1 2 310.463 1.455 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@H]21 ZINC001176962281 778351251 /nfs/dbraw/zinc/35/12/51/778351251.db2.gz HQOBMYVJJVGUNX-CVEARBPZSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@H]21 ZINC001176962281 778351256 /nfs/dbraw/zinc/35/12/56/778351256.db2.gz HQOBMYVJJVGUNX-CVEARBPZSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C=C3CCC3)C[C@H]21 ZINC001176978894 778365015 /nfs/dbraw/zinc/36/50/15/778365015.db2.gz XSLKGPGRADYPBW-IAGOWNOFSA-N 1 2 320.433 1.601 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C=C3CCC3)C[C@H]21 ZINC001176978894 778365017 /nfs/dbraw/zinc/36/50/17/778365017.db2.gz XSLKGPGRADYPBW-IAGOWNOFSA-N 1 2 320.433 1.601 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC(F)F)C[C@H]21 ZINC001177050173 778413298 /nfs/dbraw/zinc/41/32/98/778413298.db2.gz YGYDRHQSGWTNNX-CHWSQXEVSA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCC(F)F)C[C@H]21 ZINC001177050173 778413303 /nfs/dbraw/zinc/41/33/03/778413303.db2.gz YGYDRHQSGWTNNX-CHWSQXEVSA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)COC)C[C@H]21 ZINC001177054910 778415920 /nfs/dbraw/zinc/41/59/20/778415920.db2.gz DONUJNGSIXERFJ-HZPDHXFCSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)COC)C[C@H]21 ZINC001177054910 778415923 /nfs/dbraw/zinc/41/59/23/778415923.db2.gz DONUJNGSIXERFJ-HZPDHXFCSA-N 1 2 324.465 1.927 20 30 DDEDLO C[C@H](C#N)N(C)C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)OC(C)(C)C ZINC001177086259 778440198 /nfs/dbraw/zinc/44/01/98/778440198.db2.gz GYXYKRJIQTYARE-PWSUYJOCSA-N 1 2 321.381 1.216 20 30 DDEDLO C[C@H](C#N)N(C)C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)OC(C)(C)C ZINC001177086259 778440202 /nfs/dbraw/zinc/44/02/02/778440202.db2.gz GYXYKRJIQTYARE-PWSUYJOCSA-N 1 2 321.381 1.216 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3OCC[N@@H+](CCOCC)[C@@H]3C2)C1 ZINC001177089169 778441509 /nfs/dbraw/zinc/44/15/09/778441509.db2.gz QHMGEWMWKYRWDI-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3OCC[N@H+](CCOCC)[C@@H]3C2)C1 ZINC001177089169 778441512 /nfs/dbraw/zinc/44/15/12/778441512.db2.gz QHMGEWMWKYRWDI-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102856019 778452451 /nfs/dbraw/zinc/45/24/51/778452451.db2.gz LLIBIMPVQGLYIN-CQSZACIVSA-N 1 2 304.394 1.463 20 30 DDEDLO CC[C@H](CNC(=O)Cn1cc[nH+]c1)Nc1ncc(C#N)cc1F ZINC001103117073 778648847 /nfs/dbraw/zinc/64/88/47/778648847.db2.gz CVZHDLQOEGXAFO-GFCCVEGCSA-N 1 2 316.340 1.296 20 30 DDEDLO Cc1[nH+]c[nH]c1CSCCNC(=O)C#Cc1ccccn1 ZINC001177805990 778744133 /nfs/dbraw/zinc/74/41/33/778744133.db2.gz PXJNDVGMWIDWKN-UHFFFAOYSA-N 1 2 300.387 1.514 20 30 DDEDLO N#Cc1cccnc1NCC1(NC(=O)Cn2cc[nH+]c2)CCCC1 ZINC001103432760 778863615 /nfs/dbraw/zinc/86/36/15/778863615.db2.gz DBDUZHDGFOWEIW-UHFFFAOYSA-N 1 2 324.388 1.691 20 30 DDEDLO N#Cc1ccnnc1NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001178988018 779252298 /nfs/dbraw/zinc/25/22/98/779252298.db2.gz MBHBMIOTRZMPFK-HNNXBMFYSA-N 1 2 323.356 1.188 20 30 DDEDLO N#Cc1ccnnc1NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001178988018 779252302 /nfs/dbraw/zinc/25/23/02/779252302.db2.gz MBHBMIOTRZMPFK-HNNXBMFYSA-N 1 2 323.356 1.188 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)CNc2cc[nH+]c(C)n2)cn1 ZINC001104028070 779253319 /nfs/dbraw/zinc/25/33/19/779253319.db2.gz NJZIMISMONAAPT-GFCCVEGCSA-N 1 2 309.373 1.639 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCCCCCCNCC#N ZINC001179629841 779442228 /nfs/dbraw/zinc/44/22/28/779442228.db2.gz BXHMBHRBCXDNIK-ZIAGYGMSSA-N 1 2 303.410 1.313 20 30 DDEDLO C#CCOCCC(=O)N1C[C@@H](C)[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001112326762 779719512 /nfs/dbraw/zinc/71/95/12/779719512.db2.gz FKQPNKLMCCUXOD-OCCSQVGLSA-N 1 2 302.378 1.084 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001112421345 779772784 /nfs/dbraw/zinc/77/27/84/779772784.db2.gz INSYSMQUMQUEHG-UKRRQHHQSA-N 1 2 316.405 1.156 20 30 DDEDLO C[C@H](CCCNc1ccc(C#N)nn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114983118 779802160 /nfs/dbraw/zinc/80/21/60/779802160.db2.gz CFHMZOQWSYUFOW-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001115332352 780046459 /nfs/dbraw/zinc/04/64/59/780046459.db2.gz NEMJCFXDOJANGW-UYHMYPTGSA-N 1 2 319.405 1.375 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001115332352 780046465 /nfs/dbraw/zinc/04/64/65/780046465.db2.gz NEMJCFXDOJANGW-UYHMYPTGSA-N 1 2 319.405 1.375 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001115333281 780047536 /nfs/dbraw/zinc/04/75/36/780047536.db2.gz VWTNISATTKQWSK-ARLBYUKCSA-N 1 2 318.421 1.041 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001115333281 780047543 /nfs/dbraw/zinc/04/75/43/780047543.db2.gz VWTNISATTKQWSK-ARLBYUKCSA-N 1 2 318.421 1.041 20 30 DDEDLO C=C[C@H](COC)NC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC001116650210 780538957 /nfs/dbraw/zinc/53/89/57/780538957.db2.gz NNHYTNPXSNKIBG-GFCCVEGCSA-N 1 2 316.317 1.711 20 30 DDEDLO C#CCC1(NC(=O)NCC[N@H+]2CCOC[C@H]2C)CCCCC1 ZINC001119673802 781558274 /nfs/dbraw/zinc/55/82/74/781558274.db2.gz WXAFQMCQTSQORB-OAHLLOKOSA-N 1 2 307.438 1.733 20 30 DDEDLO C#CCC1(NC(=O)NCC[N@@H+]2CCOC[C@H]2C)CCCCC1 ZINC001119673802 781558281 /nfs/dbraw/zinc/55/82/81/781558281.db2.gz WXAFQMCQTSQORB-OAHLLOKOSA-N 1 2 307.438 1.733 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@H+](CC)[C@H](C)c1ncc(C)o1 ZINC001267240482 837587308 /nfs/dbraw/zinc/58/73/08/837587308.db2.gz NCTQBADKCXLHMH-CZUORRHYSA-N 1 2 309.410 1.809 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@@H+](CC)[C@H](C)c1ncc(C)o1 ZINC001267240482 837587303 /nfs/dbraw/zinc/58/73/03/837587303.db2.gz NCTQBADKCXLHMH-CZUORRHYSA-N 1 2 309.410 1.809 20 30 DDEDLO CC#CC[NH+]1CCN(CCCNC(=O)c2c(C)noc2C)CC1 ZINC001266256676 835994680 /nfs/dbraw/zinc/99/46/80/835994680.db2.gz DZBVOSOLLYPZLS-UHFFFAOYSA-N 1 2 318.421 1.052 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)C2C[C@H](C)C[C@@H](C)C2)CC1 ZINC001266297161 836072433 /nfs/dbraw/zinc/07/24/33/836072433.db2.gz LEWQWDVTWUZSSL-IAGOWNOFSA-N 1 2 319.493 1.816 20 30 DDEDLO CCOCC(=O)N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001266302079 836081500 /nfs/dbraw/zinc/08/15/00/836081500.db2.gz IKPKQQGMZDMAAE-MRXNPFEDSA-N 1 2 304.365 1.404 20 30 DDEDLO CCOCC(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001266302079 836081512 /nfs/dbraw/zinc/08/15/12/836081512.db2.gz IKPKQQGMZDMAAE-MRXNPFEDSA-N 1 2 304.365 1.404 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]([NH2+]Cc2nsc(N(C)C)n2)C1 ZINC001266580603 836493116 /nfs/dbraw/zinc/49/31/16/836493116.db2.gz CGHSIVCIVQSVMD-XYPYZODXSA-N 1 2 309.439 1.307 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cccnc1 ZINC001267405652 838001722 /nfs/dbraw/zinc/00/17/22/838001722.db2.gz OPKPYFBWTOIOOE-OIISXLGYSA-N 1 2 313.401 1.295 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cccnc1 ZINC001267405652 838001726 /nfs/dbraw/zinc/00/17/26/838001726.db2.gz OPKPYFBWTOIOOE-OIISXLGYSA-N 1 2 313.401 1.295 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnc(C)o1 ZINC001267530247 838256498 /nfs/dbraw/zinc/25/64/98/838256498.db2.gz OBUTWSNPFXQSPY-YUELXQCFSA-N 1 2 317.389 1.197 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnc(C)o1 ZINC001267530247 838256504 /nfs/dbraw/zinc/25/65/04/838256504.db2.gz OBUTWSNPFXQSPY-YUELXQCFSA-N 1 2 317.389 1.197 20 30 DDEDLO CC(C)C[C@H](C(=O)NCC[C@@H]1CCN(CC#N)C1)n1cc[nH+]c1 ZINC001267558114 838302740 /nfs/dbraw/zinc/30/27/40/838302740.db2.gz SHDUCQYVQXBNAE-HZPDHXFCSA-N 1 2 317.437 1.822 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@@H]1CCCCC1(C)C ZINC001267618674 838505129 /nfs/dbraw/zinc/50/51/29/838505129.db2.gz QNJIEDCPQJVWRS-INIZCTEOSA-N 1 2 320.481 1.802 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CCC[N@H+](Cc2conc2C)C1 ZINC001267625973 838521237 /nfs/dbraw/zinc/52/12/37/838521237.db2.gz JPTCDIXAJYCILI-HIFRSBDPSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CCC[N@@H+](Cc2conc2C)C1 ZINC001267625973 838521242 /nfs/dbraw/zinc/52/12/42/838521242.db2.gz JPTCDIXAJYCILI-HIFRSBDPSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](NC(=O)c2cc(C)co2)C1 ZINC001267629517 838530186 /nfs/dbraw/zinc/53/01/86/838530186.db2.gz YDDVOCNZXPZJQT-KGLIPLIRSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](NC(=O)c2cc(C)co2)C1 ZINC001267629517 838530193 /nfs/dbraw/zinc/53/01/93/838530193.db2.gz YDDVOCNZXPZJQT-KGLIPLIRSA-N 1 2 319.405 1.473 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccn2c1 ZINC001267713676 838702236 /nfs/dbraw/zinc/70/22/36/838702236.db2.gz SNDNLWCIJHDOSI-ROUUACIJSA-N 1 2 323.396 1.488 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccn2c1 ZINC001267713676 838702244 /nfs/dbraw/zinc/70/22/44/838702244.db2.gz SNDNLWCIJHDOSI-ROUUACIJSA-N 1 2 323.396 1.488 20 30 DDEDLO CCN(CCNC(=O)[C@H](C)C#N)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001408791435 838796678 /nfs/dbraw/zinc/79/66/78/838796678.db2.gz JPHPAPBITGXDLI-LLVKDONJSA-N 1 2 313.361 1.301 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001267746903 838831480 /nfs/dbraw/zinc/83/14/80/838831480.db2.gz BRKPDZQJTKQNIU-OAHLLOKOSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001267746903 838831491 /nfs/dbraw/zinc/83/14/91/838831491.db2.gz BRKPDZQJTKQNIU-OAHLLOKOSA-N 1 2 321.465 1.838 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001267761852 838893542 /nfs/dbraw/zinc/89/35/42/838893542.db2.gz LKGITUXDCIKULA-ZIAGYGMSSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001267761852 838893554 /nfs/dbraw/zinc/89/35/54/838893554.db2.gz LKGITUXDCIKULA-ZIAGYGMSSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H](C)C[NH2+][C@@H](C)c1nc(CC)no1 ZINC001268058439 839625935 /nfs/dbraw/zinc/62/59/35/839625935.db2.gz CBBXYOFJGWCTMO-OLZOCXBDSA-N 1 2 306.410 1.933 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)Cc1ccccc1C ZINC001268255566 839902462 /nfs/dbraw/zinc/90/24/62/839902462.db2.gz BDXQJEZMIYAIPL-UHFFFAOYSA-N 1 2 317.433 1.230 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)Cc1ccccc1C ZINC001268255566 839902467 /nfs/dbraw/zinc/90/24/67/839902467.db2.gz BDXQJEZMIYAIPL-UHFFFAOYSA-N 1 2 317.433 1.230 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001272072277 844547371 /nfs/dbraw/zinc/54/73/71/844547371.db2.gz SOHSVUMBIBGVGW-YSVLISHTSA-N 1 2 319.405 1.425 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001272072277 844547376 /nfs/dbraw/zinc/54/73/76/844547376.db2.gz SOHSVUMBIBGVGW-YSVLISHTSA-N 1 2 319.405 1.425 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)C[N@@H+](C)Cc1cc(OC)no1 ZINC001268747203 840751621 /nfs/dbraw/zinc/75/16/21/840751621.db2.gz UTKIHKXHFGTCAN-YUTCNCBUSA-N 1 2 323.393 1.211 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)C[N@H+](C)Cc1cc(OC)no1 ZINC001268747203 840751629 /nfs/dbraw/zinc/75/16/29/840751629.db2.gz UTKIHKXHFGTCAN-YUTCNCBUSA-N 1 2 323.393 1.211 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(C)CC(F)(F)C1 ZINC001268978827 841078445 /nfs/dbraw/zinc/07/84/45/841078445.db2.gz KZRUWVGPNISEMW-STQMWFEESA-N 1 2 312.360 1.357 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(C)CC(F)(F)C1 ZINC001268978827 841078459 /nfs/dbraw/zinc/07/84/59/841078459.db2.gz KZRUWVGPNISEMW-STQMWFEESA-N 1 2 312.360 1.357 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)c2ccc(C)s2)C1 ZINC001268996362 841096988 /nfs/dbraw/zinc/09/69/88/841096988.db2.gz KMKPSIDHXNHSIE-OLZOCXBDSA-N 1 2 321.446 1.551 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)c2ccc(C)s2)C1 ZINC001268996362 841096995 /nfs/dbraw/zinc/09/69/95/841096995.db2.gz KMKPSIDHXNHSIE-OLZOCXBDSA-N 1 2 321.446 1.551 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccc(CC)s2)C1 ZINC001269042005 841139181 /nfs/dbraw/zinc/13/91/81/841139181.db2.gz JBMDUAJJTMIUOA-LBPRGKRZSA-N 1 2 321.446 1.417 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccc(CC)s2)C1 ZINC001269042005 841139187 /nfs/dbraw/zinc/13/91/87/841139187.db2.gz JBMDUAJJTMIUOA-LBPRGKRZSA-N 1 2 321.446 1.417 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@@H]1CCN(C2CCC2)C1=O ZINC001269223210 841375627 /nfs/dbraw/zinc/37/56/27/841375627.db2.gz MHTXGWRJHVPQLY-HZPDHXFCSA-N 1 2 317.433 1.134 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+]1[C@@H]1CCN(C2CCC2)C1=O ZINC001269223210 841375636 /nfs/dbraw/zinc/37/56/36/841375636.db2.gz MHTXGWRJHVPQLY-HZPDHXFCSA-N 1 2 317.433 1.134 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)C1CC[NH+](Cc2nncs2)CC1 ZINC001279119608 841390879 /nfs/dbraw/zinc/39/08/79/841390879.db2.gz HDIOODGGOLUHBF-LBPRGKRZSA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)C2(C)CCCC2)C1=O ZINC001269266749 841443379 /nfs/dbraw/zinc/44/33/79/841443379.db2.gz JDEVDGAFTDEVOJ-GJZGRUSLSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)C2(C)CCCC2)C1=O ZINC001269266749 841443386 /nfs/dbraw/zinc/44/33/86/841443386.db2.gz JDEVDGAFTDEVOJ-GJZGRUSLSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)CCC2(C)CC2)C1=O ZINC001269326449 841520414 /nfs/dbraw/zinc/52/04/14/841520414.db2.gz KFCKGILXIZLCAL-LSDHHAIUSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)CCC2(C)CC2)C1=O ZINC001269326449 841520420 /nfs/dbraw/zinc/52/04/20/841520420.db2.gz KFCKGILXIZLCAL-LSDHHAIUSA-N 1 2 319.449 1.544 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@H]1CC[N@H+]1Cc1ccnn1C ZINC001269338615 841538140 /nfs/dbraw/zinc/53/81/40/841538140.db2.gz REQLFHDNSCXQIW-KRWDZBQOSA-N 1 2 322.412 1.335 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@H]1CC[N@@H+]1Cc1ccnn1C ZINC001269338615 841538148 /nfs/dbraw/zinc/53/81/48/841538148.db2.gz REQLFHDNSCXQIW-KRWDZBQOSA-N 1 2 322.412 1.335 20 30 DDEDLO CCn1cc(Cl)cc1C(=O)NC[C@@H]1CC[N@@H+]1CC#CCOC ZINC001269343011 841543601 /nfs/dbraw/zinc/54/36/01/841543601.db2.gz GXDRELZHNZUHGA-AWEZNQCLSA-N 1 2 323.824 1.615 20 30 DDEDLO CCn1cc(Cl)cc1C(=O)NC[C@@H]1CC[N@H+]1CC#CCOC ZINC001269343011 841543610 /nfs/dbraw/zinc/54/36/10/841543610.db2.gz GXDRELZHNZUHGA-AWEZNQCLSA-N 1 2 323.824 1.615 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](CC)[NH2+]Cc2csnn2)cc1 ZINC001269448055 841651611 /nfs/dbraw/zinc/65/16/11/841651611.db2.gz ISUSMQVKRMTTDT-CQSZACIVSA-N 1 2 314.414 1.818 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001284530475 841726722 /nfs/dbraw/zinc/72/67/22/841726722.db2.gz YRSIQFZCPXTXBW-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001284530475 841726731 /nfs/dbraw/zinc/72/67/31/841726731.db2.gz YRSIQFZCPXTXBW-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@](C)(C[NH2+]Cc1nnn(C)n1)C1CC1 ZINC001269620126 841836007 /nfs/dbraw/zinc/83/60/07/841836007.db2.gz ZKRINHIOIWKVNH-MRXNPFEDSA-N 1 2 320.441 1.187 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H](CC)C(N)=O ZINC001269659804 841910487 /nfs/dbraw/zinc/91/04/87/841910487.db2.gz CZCOYWJMUWLWEN-QLFBSQMISA-N 1 2 305.422 1.119 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H](CC)C(N)=O ZINC001269659804 841910493 /nfs/dbraw/zinc/91/04/93/841910493.db2.gz CZCOYWJMUWLWEN-QLFBSQMISA-N 1 2 305.422 1.119 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C1C[NH+](CCOC[C@@H]2CCCO2)C1 ZINC001269855245 842109479 /nfs/dbraw/zinc/10/94/79/842109479.db2.gz FJGZNYIOMATKFY-INIZCTEOSA-N 1 2 324.465 1.927 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]1C[N@H+](CCOCC2CCC2)CCO1 ZINC001270649164 842772905 /nfs/dbraw/zinc/77/29/05/842772905.db2.gz FAEUQQCKNLZMMB-QGZVFWFLSA-N 1 2 320.433 1.034 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]1C[N@@H+](CCOCC2CCC2)CCO1 ZINC001270649164 842772909 /nfs/dbraw/zinc/77/29/09/842772909.db2.gz FAEUQQCKNLZMMB-QGZVFWFLSA-N 1 2 320.433 1.034 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)CCC[N@@H+](Cc2cn(CC)nn2)C1 ZINC001270941545 843069819 /nfs/dbraw/zinc/06/98/19/843069819.db2.gz VIEOKXHNUZJWCJ-KRWDZBQOSA-N 1 2 319.453 1.983 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)CCC[N@H+](Cc2cn(CC)nn2)C1 ZINC001270941545 843069829 /nfs/dbraw/zinc/06/98/29/843069829.db2.gz VIEOKXHNUZJWCJ-KRWDZBQOSA-N 1 2 319.453 1.983 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@]1(C)CCC[N@@H+](Cc2nncn2C)C1 ZINC001270984299 843108244 /nfs/dbraw/zinc/10/82/44/843108244.db2.gz ZOYMARUNZTZDBO-KRWDZBQOSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@]1(C)CCC[N@H+](Cc2nncn2C)C1 ZINC001270984299 843108249 /nfs/dbraw/zinc/10/82/49/843108249.db2.gz ZOYMARUNZTZDBO-KRWDZBQOSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CCSCC(=O)N1CC2(C1)C[NH+](Cc1cccc(=O)[nH]1)C2 ZINC001271194748 843400704 /nfs/dbraw/zinc/40/07/04/843400704.db2.gz PMMGVQFIVHTMFM-UHFFFAOYSA-N 1 2 319.430 1.351 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccc(Cl)c(F)c2)C1 ZINC001271360763 843521426 /nfs/dbraw/zinc/52/14/26/843521426.db2.gz BDVDOTCKIFVABT-UHFFFAOYSA-N 1 2 310.756 1.279 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H](C)CNC(=O)c2cc(C#N)c[nH]2)c(C)o1 ZINC001409525163 845247833 /nfs/dbraw/zinc/24/78/33/845247833.db2.gz ODZFREFLRKTLFU-SNVBAGLBSA-N 1 2 315.377 1.742 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H](C)CNC(=O)c2cc(C#N)c[nH]2)c(C)o1 ZINC001409525163 845247837 /nfs/dbraw/zinc/24/78/37/845247837.db2.gz ODZFREFLRKTLFU-SNVBAGLBSA-N 1 2 315.377 1.742 20 30 DDEDLO Cn1cc(Cl)c(C[NH2+]C2(CNC(=O)C#CC3CC3)CCC2)n1 ZINC001326816829 861628227 /nfs/dbraw/zinc/62/82/27/861628227.db2.gz MZYBOESSZYBYCC-UHFFFAOYSA-N 1 2 320.824 1.615 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1cnc(Cl)s1 ZINC001272725154 846723232 /nfs/dbraw/zinc/72/32/32/846723232.db2.gz WZMUPIMFTWNZQP-VXGBXAGGSA-N 1 2 309.822 1.995 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cnc(Cl)s1 ZINC001272725154 846723236 /nfs/dbraw/zinc/72/32/36/846723236.db2.gz WZMUPIMFTWNZQP-VXGBXAGGSA-N 1 2 309.822 1.995 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H](C)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001107731183 846891681 /nfs/dbraw/zinc/89/16/81/846891681.db2.gz MZYQDVPDMKLXGN-SJKOYZFVSA-N 1 2 318.421 1.906 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077682185 846967104 /nfs/dbraw/zinc/96/71/04/846967104.db2.gz INSUEHJPKWKSLE-STQMWFEESA-N 1 2 320.437 1.874 20 30 DDEDLO C=CCN1C(=O)C[C@]2(CCC[N@H+](Cc3csnn3)C2)C1=O ZINC001272781039 847419750 /nfs/dbraw/zinc/41/97/50/847419750.db2.gz UKAVGHYKVZEGAQ-AWEZNQCLSA-N 1 2 306.391 1.065 20 30 DDEDLO C=CCN1C(=O)C[C@]2(CCC[N@@H+](Cc3csnn3)C2)C1=O ZINC001272781039 847419755 /nfs/dbraw/zinc/41/97/55/847419755.db2.gz UKAVGHYKVZEGAQ-AWEZNQCLSA-N 1 2 306.391 1.065 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1nccn1CC ZINC001272826480 847511948 /nfs/dbraw/zinc/51/19/48/847511948.db2.gz INETYKRQJJEUHC-KRWDZBQOSA-N 1 2 300.406 1.493 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1nccn1CC ZINC001272826480 847511951 /nfs/dbraw/zinc/51/19/51/847511951.db2.gz INETYKRQJJEUHC-KRWDZBQOSA-N 1 2 300.406 1.493 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1ccc(C#N)cc1)C2 ZINC001272910771 847622717 /nfs/dbraw/zinc/62/27/17/847622717.db2.gz GIQVMSDEHZLHSW-UHFFFAOYSA-N 1 2 311.385 1.548 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](CCc1cccc(F)c1)C2 ZINC001273133696 847978212 /nfs/dbraw/zinc/97/82/12/847978212.db2.gz FAWLRQXVCVWRBW-UHFFFAOYSA-N 1 2 318.392 1.858 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001034294762 848276171 /nfs/dbraw/zinc/27/61/71/848276171.db2.gz XQASIDFZUYVQRB-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001034294762 848276174 /nfs/dbraw/zinc/27/61/74/848276174.db2.gz XQASIDFZUYVQRB-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001034304869 848294622 /nfs/dbraw/zinc/29/46/22/848294622.db2.gz ZKGPAEHOOUHOKI-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001034304869 848294627 /nfs/dbraw/zinc/29/46/27/848294627.db2.gz ZKGPAEHOOUHOKI-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc(CCC)s1)C2 ZINC001273340931 849587509 /nfs/dbraw/zinc/58/75/09/849587509.db2.gz KUJMRKSXSZDVCW-UHFFFAOYSA-N 1 2 318.442 1.747 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1ccc(Cl)nn1 ZINC001273349310 849612019 /nfs/dbraw/zinc/61/20/19/849612019.db2.gz QFNZPDDOEJWZHX-INIZCTEOSA-N 1 2 318.808 1.720 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1ccc(Cl)nn1 ZINC001273349310 849612023 /nfs/dbraw/zinc/61/20/23/849612023.db2.gz QFNZPDDOEJWZHX-INIZCTEOSA-N 1 2 318.808 1.720 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cnc(SC)nc2)C1=O ZINC001273384189 849772933 /nfs/dbraw/zinc/77/29/33/849772933.db2.gz NEZRRQCYYWQSRB-MRXNPFEDSA-N 1 2 316.430 1.399 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cnc(SC)nc2)C1=O ZINC001273384189 849772940 /nfs/dbraw/zinc/77/29/40/849772940.db2.gz NEZRRQCYYWQSRB-MRXNPFEDSA-N 1 2 316.430 1.399 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@](C)(O)C1CC1)CO2 ZINC001327385500 862126075 /nfs/dbraw/zinc/12/60/75/862126075.db2.gz BTVATOZTCLGAKK-GDBMZVCRSA-N 1 2 308.422 1.073 20 30 DDEDLO C#Cc1ccc(C[NH+]2CC3(C2)COCC(=O)N3[C@@H](C)CC)cc1 ZINC001273671779 851208912 /nfs/dbraw/zinc/20/89/12/851208912.db2.gz QWEDDKAAUOJWHQ-HNNXBMFYSA-N 1 2 312.413 1.880 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)CC[C@@H]1CCOC1)CO2 ZINC001273696834 851237422 /nfs/dbraw/zinc/23/74/22/851237422.db2.gz QBZPIFRLIQIADW-CABCVRRESA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)C1CCC1)CO2 ZINC001273703732 851244998 /nfs/dbraw/zinc/24/49/98/851244998.db2.gz FHBGGYKRAXYDII-GOEBONIOSA-N 1 2 322.449 1.585 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1COC2(C[NH+](CCOCC3CC3)C2)C1 ZINC001273704859 851246926 /nfs/dbraw/zinc/24/69/26/851246926.db2.gz PMJOEQQTAFJPEJ-INIZCTEOSA-N 1 2 322.449 1.729 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H](C)COC ZINC001327505735 862224560 /nfs/dbraw/zinc/22/45/60/862224560.db2.gz DPZQWQMTFWJACL-YOEHRIQHSA-N 1 2 300.402 1.445 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H](C)COC ZINC001327505735 862224576 /nfs/dbraw/zinc/22/45/76/862224576.db2.gz DPZQWQMTFWJACL-YOEHRIQHSA-N 1 2 300.402 1.445 20 30 DDEDLO N#Cc1cnccc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc[nH]1 ZINC001273745676 851293358 /nfs/dbraw/zinc/29/33/58/851293358.db2.gz FFXXTMJCKFNOSE-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1cnccc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc[nH]1 ZINC001273745676 851293363 /nfs/dbraw/zinc/29/33/63/851293363.db2.gz FFXXTMJCKFNOSE-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](CC(C)(C)OC)C[C@@]2(F)C1=O ZINC001273809787 851370969 /nfs/dbraw/zinc/37/09/69/851370969.db2.gz SUFRLVLHOYYMKD-LSDHHAIUSA-N 1 2 302.365 1.562 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](CC(C)(C)OC)C[C@@]2(F)C1=O ZINC001273809787 851370981 /nfs/dbraw/zinc/37/09/81/851370981.db2.gz SUFRLVLHOYYMKD-LSDHHAIUSA-N 1 2 302.365 1.562 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@](CO)([NH2+]Cc3ccon3)C2)C1 ZINC001273911570 851491284 /nfs/dbraw/zinc/49/12/84/851491284.db2.gz OUGYYKQPCJUWGA-QGZVFWFLSA-N 1 2 319.405 1.474 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)Cc2ccco2)C1 ZINC001150480708 862264396 /nfs/dbraw/zinc/26/43/96/862264396.db2.gz PFHJGLMPHPTANJ-AWEZNQCLSA-N 1 2 312.797 1.782 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)Cc2ccco2)C1 ZINC001150480708 862264413 /nfs/dbraw/zinc/26/44/13/862264413.db2.gz PFHJGLMPHPTANJ-AWEZNQCLSA-N 1 2 312.797 1.782 20 30 DDEDLO N#Cc1c[nH]c2cc(C(=O)N3CC[NH2+][C@@H](c4cn[nH]c4)C3)ccc12 ZINC001150607307 862349741 /nfs/dbraw/zinc/34/97/41/862349741.db2.gz LYDIZBKMKTVMDC-MRXNPFEDSA-N 1 2 320.356 1.549 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2coc(C)n2)[C@H](C)C1 ZINC001274611594 852441283 /nfs/dbraw/zinc/44/12/83/852441283.db2.gz LZCMKUMNORWHPM-OCCSQVGLSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2coc(C)n2)[C@H](C)C1 ZINC001274611594 852441291 /nfs/dbraw/zinc/44/12/91/852441291.db2.gz LZCMKUMNORWHPM-OCCSQVGLSA-N 1 2 307.394 1.655 20 30 DDEDLO C#CCNC(=O)[C@H]1CC12CC[NH+](Cc1cc(C#N)ccc1O)CC2 ZINC001274665803 852501808 /nfs/dbraw/zinc/50/18/08/852501808.db2.gz CIXAMZKJEOGOFW-MRXNPFEDSA-N 1 2 323.396 1.615 20 30 DDEDLO Cn1ccc(C[N@H+](C)C[C@H]2CCCN2C(=O)C#CC(C)(C)C)n1 ZINC001275107820 852811774 /nfs/dbraw/zinc/81/17/74/852811774.db2.gz GGSZCPMJVXMYBJ-MRXNPFEDSA-N 1 2 316.449 1.892 20 30 DDEDLO Cn1ccc(C[N@@H+](C)C[C@H]2CCCN2C(=O)C#CC(C)(C)C)n1 ZINC001275107820 852811781 /nfs/dbraw/zinc/81/17/81/852811781.db2.gz GGSZCPMJVXMYBJ-MRXNPFEDSA-N 1 2 316.449 1.892 20 30 DDEDLO C[C@@H](C[NH2+]Cc1nnc(C(F)F)s1)NC(=O)C#CC1CC1 ZINC001275149588 852840438 /nfs/dbraw/zinc/84/04/38/852840438.db2.gz FALZELLCJXSAFM-QMMMGPOBSA-N 1 2 314.361 1.483 20 30 DDEDLO N#Cc1ccc(CN2C[C@@H]3C[N@@H+](Cc4ccc[nH]4)C[C@H](C2)O3)cn1 ZINC001275294932 852959771 /nfs/dbraw/zinc/95/97/71/852959771.db2.gz CDTWBFUFAXDEHH-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccc(CN2C[C@@H]3C[N@H+](Cc4ccc[nH]4)C[C@H](C2)O3)cn1 ZINC001275294932 852959775 /nfs/dbraw/zinc/95/97/75/852959775.db2.gz CDTWBFUFAXDEHH-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)[N@H+](C)CC(=O)Nc1cc(C)no1 ZINC001275842909 853856271 /nfs/dbraw/zinc/85/62/71/853856271.db2.gz DGVAEZJMNNLVPK-CYBMUJFWSA-N 1 2 322.409 1.714 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)[N@@H+](C)CC(=O)Nc1cc(C)no1 ZINC001275842909 853856277 /nfs/dbraw/zinc/85/62/77/853856277.db2.gz DGVAEZJMNNLVPK-CYBMUJFWSA-N 1 2 322.409 1.714 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@H+](C)Cc1nc(C)oc1C ZINC001275937037 853988735 /nfs/dbraw/zinc/98/87/35/853988735.db2.gz ZFJKAORKWYJHBH-XFJVYGCCSA-N 1 2 321.421 1.819 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@@H+](C)Cc1nc(C)oc1C ZINC001275937037 853988744 /nfs/dbraw/zinc/98/87/44/853988744.db2.gz ZFJKAORKWYJHBH-XFJVYGCCSA-N 1 2 321.421 1.819 20 30 DDEDLO C=CCCCC(=O)NC1(CCO)C[NH+](C[C@@H]2CCCCO2)C1 ZINC001276075642 854708814 /nfs/dbraw/zinc/70/88/14/854708814.db2.gz MZLAVYAWDAMAFK-HNNXBMFYSA-N 1 2 310.438 1.465 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([C@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001111223932 855196117 /nfs/dbraw/zinc/19/61/17/855196117.db2.gz UCKNFVXYRYSJQP-DZGCQCFKSA-N 1 2 316.405 1.474 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(c2cnc(C#N)c(C#N)n2)CC1 ZINC001412871029 855740667 /nfs/dbraw/zinc/74/06/67/855740667.db2.gz UBJZVHZXHXVXBY-UHFFFAOYSA-N 1 2 323.360 1.147 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072602876 857501992 /nfs/dbraw/zinc/50/19/92/857501992.db2.gz MNTLBWAHBZQPQI-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](NC(=O)CCc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001072631484 857534614 /nfs/dbraw/zinc/53/46/14/857534614.db2.gz AFFSWTNHFQJVRV-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](NC(=O)CCc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001072631484 857534623 /nfs/dbraw/zinc/53/46/23/857534623.db2.gz AFFSWTNHFQJVRV-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccncc2Cl)C[C@H]1C ZINC001206641474 862951549 /nfs/dbraw/zinc/95/15/49/862951549.db2.gz UFRKIXNQRFHUBE-JTNHKYCSSA-N 1 2 306.797 1.831 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccncc2Cl)C[C@H]1C ZINC001206641474 862951563 /nfs/dbraw/zinc/95/15/63/862951563.db2.gz UFRKIXNQRFHUBE-JTNHKYCSSA-N 1 2 306.797 1.831 20 30 DDEDLO C=C(C)CCC(=O)N1CCC(C)(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001073070753 858066970 /nfs/dbraw/zinc/06/69/70/858066970.db2.gz QBPLZEPGYDYJHC-UHFFFAOYSA-N 1 2 318.421 1.737 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cccn2C)C1 ZINC001073536613 858421519 /nfs/dbraw/zinc/42/15/19/858421519.db2.gz BZEBZGJZBAGAIQ-CYBMUJFWSA-N 1 2 311.813 1.598 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cccn2C)C1 ZINC001073536613 858421523 /nfs/dbraw/zinc/42/15/23/858421523.db2.gz BZEBZGJZBAGAIQ-CYBMUJFWSA-N 1 2 311.813 1.598 20 30 DDEDLO C=CCn1c(N2CCC[C@H](C)C2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121708063 858578966 /nfs/dbraw/zinc/57/89/66/858578966.db2.gz GTBRXJUHLWXZCX-JJRVBVJISA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N2CCC[C@H](C)C2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121708063 858578974 /nfs/dbraw/zinc/57/89/74/858578974.db2.gz GTBRXJUHLWXZCX-JJRVBVJISA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)C[C@H]1CCOC1 ZINC001121714758 858580270 /nfs/dbraw/zinc/58/02/70/858580270.db2.gz DDMWIGZMTAYZAS-CYBMUJFWSA-N 1 2 316.409 1.261 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC001328540973 863046431 /nfs/dbraw/zinc/04/64/31/863046431.db2.gz FKLUTRJUTMEUQE-HNNXBMFYSA-N 1 2 301.390 1.324 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC001328540973 863046440 /nfs/dbraw/zinc/04/64/40/863046440.db2.gz FKLUTRJUTMEUQE-HNNXBMFYSA-N 1 2 301.390 1.324 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC(c2nnc3n2CC[NH2+]C3)CC1 ZINC001121937646 858639603 /nfs/dbraw/zinc/63/96/03/858639603.db2.gz SHXIGNNDLLHGKQ-ZDUSSCGKSA-N 1 2 317.437 1.690 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001124561189 859737175 /nfs/dbraw/zinc/73/71/75/859737175.db2.gz BGOLGUVBPKPKEV-CYBMUJFWSA-N 1 2 306.410 1.619 20 30 DDEDLO N#Cc1ccc(CC(=O)NCc2ccccc2-n2cc[nH+]c2)cn1 ZINC001137905809 859989492 /nfs/dbraw/zinc/98/94/92/859989492.db2.gz FBHDKWHGYXDNIE-UHFFFAOYSA-N 1 2 317.352 1.998 20 30 DDEDLO C=CCc1cccc(C[N@@H+]2CCO[C@@H](C(=O)OCC)C2)c1O ZINC001138628501 860147193 /nfs/dbraw/zinc/14/71/93/860147193.db2.gz VTNKIHNUKPOEMZ-OAHLLOKOSA-N 1 2 305.374 1.885 20 30 DDEDLO C=CCc1cccc(C[N@H+]2CCO[C@@H](C(=O)OCC)C2)c1O ZINC001138628501 860147202 /nfs/dbraw/zinc/14/72/02/860147202.db2.gz VTNKIHNUKPOEMZ-OAHLLOKOSA-N 1 2 305.374 1.885 20 30 DDEDLO N#C[C@@H]1CCN(Cc2cccc(OCC[NH+]3CCOCC3)c2)C1 ZINC001139762945 860475231 /nfs/dbraw/zinc/47/52/31/860475231.db2.gz BRKODEYJKYHYMI-KRWDZBQOSA-N 1 2 315.417 1.743 20 30 DDEDLO CN(C)C(=O)N1CC[NH+](Cc2c[nH]c3ccc(C#N)cc23)CC1 ZINC001140112046 860576540 /nfs/dbraw/zinc/57/65/40/860576540.db2.gz PPYWLQSNWUFDQA-UHFFFAOYSA-N 1 2 311.389 1.839 20 30 DDEDLO CCn1cc2c(n1)C[N@@H+](Cc1ccc(C#N)nc1)C[C@H]2COC ZINC001140541243 860653087 /nfs/dbraw/zinc/65/30/87/860653087.db2.gz ASZYQJIYNZHGHI-AWEZNQCLSA-N 1 2 311.389 1.915 20 30 DDEDLO CCn1cc2c(n1)C[N@H+](Cc1ccc(C#N)nc1)C[C@H]2COC ZINC001140541243 860653089 /nfs/dbraw/zinc/65/30/89/860653089.db2.gz ASZYQJIYNZHGHI-AWEZNQCLSA-N 1 2 311.389 1.915 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1C[NH2+][C@@H](C)c1noc(C)n1 ZINC001328604770 863102321 /nfs/dbraw/zinc/10/23/21/863102321.db2.gz LDRAWDUDLVEDER-JSGCOSHPSA-N 1 2 322.409 1.612 20 30 DDEDLO COCC#CC(=O)N1CCC(c2[nH+]cc3n2CCCC3)CC1 ZINC001329279279 863574118 /nfs/dbraw/zinc/57/41/18/863574118.db2.gz UXNJSFQSXVHVKU-UHFFFAOYSA-N 1 2 301.390 1.575 20 30 DDEDLO C#CCCCC(=O)NCCC[NH2+]Cc1nsc(N(C)C)n1 ZINC001157164519 863627412 /nfs/dbraw/zinc/62/74/12/863627412.db2.gz QIRSKBVOZIJRNH-UHFFFAOYSA-N 1 2 309.439 1.004 20 30 DDEDLO C#CC[N@@H+](CCS(=O)(=O)CC(=O)OC(C)(C)C)CC1CC1 ZINC001329518179 863708316 /nfs/dbraw/zinc/70/83/16/863708316.db2.gz QZJBWUQGBVNZII-UHFFFAOYSA-N 1 2 315.435 1.088 20 30 DDEDLO C#CC[N@H+](CCS(=O)(=O)CC(=O)OC(C)(C)C)CC1CC1 ZINC001329518179 863708320 /nfs/dbraw/zinc/70/83/20/863708320.db2.gz QZJBWUQGBVNZII-UHFFFAOYSA-N 1 2 315.435 1.088 20 30 DDEDLO COc1cc(N2CCN(c3ncc(C)nc3C#N)CC2)cc[nH+]1 ZINC001158099296 864427169 /nfs/dbraw/zinc/42/71/69/864427169.db2.gz YEUFKKWDIXFNNH-UHFFFAOYSA-N 1 2 310.361 1.387 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CNC(=O)Cn2ccnc2C)CC1 ZINC001225435916 881832780 /nfs/dbraw/zinc/83/27/80/881832780.db2.gz PUXWFSVNHTUINP-UHFFFAOYSA-N 1 2 310.829 1.772 20 30 DDEDLO COCCOCCOCCOCCNc1cc(CC#N)cc[nH+]1 ZINC001159415650 865279389 /nfs/dbraw/zinc/27/93/89/865279389.db2.gz QVZBPOMWMGYOAJ-UHFFFAOYSA-N 1 2 323.393 1.256 20 30 DDEDLO CC#CCCNC(=O)C(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC001331854613 865388400 /nfs/dbraw/zinc/38/84/00/865388400.db2.gz LNCLEFOTVIZCDJ-MRXNPFEDSA-N 1 2 324.384 1.270 20 30 DDEDLO C=CCCC(=O)N1C[C@H]([NH2+]Cc2nnc(C3CC3)o2)C[C@@H]1C ZINC001331956537 865458284 /nfs/dbraw/zinc/45/82/84/865458284.db2.gz FJSVEUBCYFATFR-WCQYABFASA-N 1 2 304.394 1.992 20 30 DDEDLO C[C@H](CNC(=O)c1ccon1)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001381493846 881928739 /nfs/dbraw/zinc/92/87/39/881928739.db2.gz WYIDEACZZZFJGH-LLVKDONJSA-N 1 2 316.336 1.936 20 30 DDEDLO C[C@H](CNC(=O)c1ccon1)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001381493846 881928744 /nfs/dbraw/zinc/92/87/44/881928744.db2.gz WYIDEACZZZFJGH-LLVKDONJSA-N 1 2 316.336 1.936 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@H](NC(=O)C#CC2CC2)C2CC2)o1 ZINC001322999978 866256782 /nfs/dbraw/zinc/25/67/82/866256782.db2.gz LCHQOZXMWDSRNN-AWEZNQCLSA-N 1 2 316.405 1.591 20 30 DDEDLO C=CCCCC(=O)NCCC1=CC[N@H+](Cc2cnn(C)n2)CC1 ZINC001161056285 866328042 /nfs/dbraw/zinc/32/80/42/866328042.db2.gz BQMVRSHSHGQUKS-UHFFFAOYSA-N 1 2 317.437 1.810 20 30 DDEDLO C=CCCCC(=O)NCCC1=CC[N@@H+](Cc2cnn(C)n2)CC1 ZINC001161056285 866328056 /nfs/dbraw/zinc/32/80/56/866328056.db2.gz BQMVRSHSHGQUKS-UHFFFAOYSA-N 1 2 317.437 1.810 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1occ2c1CCC2 ZINC001320028851 866434017 /nfs/dbraw/zinc/43/40/17/866434017.db2.gz FCQIMVBDEGBIRQ-UHFFFAOYSA-N 1 2 319.405 1.074 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1occ2c1CCC2 ZINC001320028851 866434025 /nfs/dbraw/zinc/43/40/25/866434025.db2.gz FCQIMVBDEGBIRQ-UHFFFAOYSA-N 1 2 319.405 1.074 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1CC[C@H]1CNC(=O)C#CC1CC1 ZINC001323228213 866450479 /nfs/dbraw/zinc/45/04/79/866450479.db2.gz WKDPEZXUBIYYSW-AWEZNQCLSA-N 1 2 301.390 1.649 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1CC[C@H]1CNC(=O)C#CC1CC1 ZINC001323228213 866450483 /nfs/dbraw/zinc/45/04/83/866450483.db2.gz WKDPEZXUBIYYSW-AWEZNQCLSA-N 1 2 301.390 1.649 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)CCC2 ZINC001323349782 866554778 /nfs/dbraw/zinc/55/47/78/866554778.db2.gz AWZYHBPHEQHVTG-SFHVURJKSA-N 1 2 312.413 1.629 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)CCC2 ZINC001323349782 866554785 /nfs/dbraw/zinc/55/47/85/866554785.db2.gz AWZYHBPHEQHVTG-SFHVURJKSA-N 1 2 312.413 1.629 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)C1(CCOC)CCCC1 ZINC001323372069 866567602 /nfs/dbraw/zinc/56/76/02/866567602.db2.gz PWFAZVJFRNHTMJ-MRXNPFEDSA-N 1 2 322.449 1.424 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)C1(CCOC)CCCC1 ZINC001323372069 866567616 /nfs/dbraw/zinc/56/76/16/866567616.db2.gz PWFAZVJFRNHTMJ-MRXNPFEDSA-N 1 2 322.449 1.424 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(Br)ccn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225737606 882003755 /nfs/dbraw/zinc/00/37/55/882003755.db2.gz GAORAPRVMMQXIC-NJFCNUMCSA-N 1 2 311.179 1.835 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(Br)ccn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225737606 882003777 /nfs/dbraw/zinc/00/37/77/882003777.db2.gz GAORAPRVMMQXIC-NJFCNUMCSA-N 1 2 311.179 1.835 20 30 DDEDLO CC#CCCNC(=O)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC001323628082 866731882 /nfs/dbraw/zinc/73/18/82/866731882.db2.gz BBPQUJPCOZSWAA-UHFFFAOYSA-N 1 2 310.357 1.018 20 30 DDEDLO C#CCN(C(=O)CCC)C1CC[NH+](Cc2nnc(CC)o2)CC1 ZINC001324364866 867230159 /nfs/dbraw/zinc/23/01/59/867230159.db2.gz MAJBUUGEYOQCJV-UHFFFAOYSA-N 1 2 318.421 1.858 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH+]cn1C ZINC001334444919 867573971 /nfs/dbraw/zinc/57/39/71/867573971.db2.gz SNVWVPSNUWRAHI-LBPRGKRZSA-N 1 2 306.410 1.138 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(F)cc2)C1 ZINC001324900546 867613647 /nfs/dbraw/zinc/61/36/47/867613647.db2.gz OBDOGOYWYOJQBX-CQSZACIVSA-N 1 2 313.394 1.876 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(F)cc2)C1 ZINC001324900546 867613649 /nfs/dbraw/zinc/61/36/49/867613649.db2.gz OBDOGOYWYOJQBX-CQSZACIVSA-N 1 2 313.394 1.876 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2cnc(C#N)c(C(F)(F)F)c2)C[NH2+]1 ZINC001164308513 869111315 /nfs/dbraw/zinc/11/13/15/869111315.db2.gz NLSZWKRMLKOALB-WPRPVWTQSA-N 1 2 314.267 1.287 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)CN(c3ccccc3)C2=O)C1 ZINC001337636143 869576136 /nfs/dbraw/zinc/57/61/36/869576136.db2.gz KNNIMGYCPIMVOG-OAHLLOKOSA-N 1 2 315.373 1.690 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)CN(c3ccccc3)C2=O)C1 ZINC001337636143 869576140 /nfs/dbraw/zinc/57/61/40/869576140.db2.gz KNNIMGYCPIMVOG-OAHLLOKOSA-N 1 2 315.373 1.690 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)NC(C3CC3)(C3CC3)C2=O)C1 ZINC001337636156 869576340 /nfs/dbraw/zinc/57/63/40/869576340.db2.gz KYXAIDKGQPPBBO-CQSZACIVSA-N 1 2 319.405 1.331 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)NC(C3CC3)(C3CC3)C2=O)C1 ZINC001337636156 869576345 /nfs/dbraw/zinc/57/63/45/869576345.db2.gz KYXAIDKGQPPBBO-CQSZACIVSA-N 1 2 319.405 1.331 20 30 DDEDLO C=C1CCC(C(=O)NCCC[NH2+]Cc2noc(CC)n2)CC1 ZINC001165900538 869756659 /nfs/dbraw/zinc/75/66/59/869756659.db2.gz HXEWJJCHPKWZBA-UHFFFAOYSA-N 1 2 306.410 1.974 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001316983986 870063761 /nfs/dbraw/zinc/06/37/61/870063761.db2.gz BNPLPUXILRHDGG-OAHLLOKOSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001316983986 870063773 /nfs/dbraw/zinc/06/37/73/870063773.db2.gz BNPLPUXILRHDGG-OAHLLOKOSA-N 1 2 302.422 1.694 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001297678620 870136466 /nfs/dbraw/zinc/13/64/66/870136466.db2.gz GBIRWDFQYLSNEU-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001297678620 870136471 /nfs/dbraw/zinc/13/64/71/870136471.db2.gz GBIRWDFQYLSNEU-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N(C)CCC(=O)NC ZINC001338749717 870148057 /nfs/dbraw/zinc/14/80/57/870148057.db2.gz SMMWWKXVLIVZHB-ZDUSSCGKSA-N 1 2 320.441 1.193 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N(C)CCC(=O)NC ZINC001338749717 870148066 /nfs/dbraw/zinc/14/80/66/870148066.db2.gz SMMWWKXVLIVZHB-ZDUSSCGKSA-N 1 2 320.441 1.193 20 30 DDEDLO C=CCOCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1O ZINC001317044555 870157927 /nfs/dbraw/zinc/15/79/27/870157927.db2.gz HLDQBVXSKZEIKE-OKILXGFUSA-N 1 2 317.389 1.279 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1O ZINC001317044555 870157932 /nfs/dbraw/zinc/15/79/32/870157932.db2.gz HLDQBVXSKZEIKE-OKILXGFUSA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)COC[C@@H]1CCCO1 ZINC001381633426 882390112 /nfs/dbraw/zinc/39/01/12/882390112.db2.gz AXPRVILJEBYAFW-OLZOCXBDSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)COC[C@@H]1CCCO1 ZINC001381633426 882390098 /nfs/dbraw/zinc/39/00/98/882390098.db2.gz AXPRVILJEBYAFW-OLZOCXBDSA-N 1 2 304.818 1.371 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCC[C@@H](OC)C1 ZINC001339210806 870406563 /nfs/dbraw/zinc/40/65/63/870406563.db2.gz MIDKLRFVRADMJS-CYBMUJFWSA-N 1 2 316.409 1.404 20 30 DDEDLO C=CCOCC[N@@H+](C)CCNC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC001317455805 870869005 /nfs/dbraw/zinc/86/90/05/870869005.db2.gz UOBYPUDJQCHTSI-XJKSGUPXSA-N 1 2 320.437 1.326 20 30 DDEDLO C=CCOCC[N@H+](C)CCNC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC001317455805 870869014 /nfs/dbraw/zinc/86/90/14/870869014.db2.gz UOBYPUDJQCHTSI-XJKSGUPXSA-N 1 2 320.437 1.326 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@H+]1Cc1cc(OC)no1 ZINC001317497181 870920053 /nfs/dbraw/zinc/92/00/53/870920053.db2.gz AGQFRGACJNBVKS-CYBMUJFWSA-N 1 2 305.378 1.567 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1cc(OC)no1 ZINC001317497181 870920061 /nfs/dbraw/zinc/92/00/61/870920061.db2.gz AGQFRGACJNBVKS-CYBMUJFWSA-N 1 2 305.378 1.567 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCC[N@H+]1Cc1cn(CC)nn1 ZINC001317499243 870922108 /nfs/dbraw/zinc/92/21/08/870922108.db2.gz ZYUPGJDILGCFBZ-MRXNPFEDSA-N 1 2 317.437 1.572 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1cn(CC)nn1 ZINC001317499243 870922119 /nfs/dbraw/zinc/92/21/19/870922119.db2.gz ZYUPGJDILGCFBZ-MRXNPFEDSA-N 1 2 317.437 1.572 20 30 DDEDLO N#Cc1c[nH]nc1NC(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC001299775986 870937313 /nfs/dbraw/zinc/93/73/13/870937313.db2.gz HKOXSPSFEPDASH-UHFFFAOYSA-N 1 2 321.344 1.842 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+](Cc2nc(N(C)C)no2)C1 ZINC001317526960 870994728 /nfs/dbraw/zinc/99/47/28/870994728.db2.gz KBRHBZDPBXDMCX-LBPRGKRZSA-N 1 2 321.425 1.286 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+](Cc2nc(N(C)C)no2)C1 ZINC001317526960 870994735 /nfs/dbraw/zinc/99/47/35/870994735.db2.gz KBRHBZDPBXDMCX-LBPRGKRZSA-N 1 2 321.425 1.286 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NC(C)(C)C ZINC001317730781 871448602 /nfs/dbraw/zinc/44/86/02/871448602.db2.gz WLLOACHEGPKMFI-OKILXGFUSA-N 1 2 307.438 1.543 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NC(C)(C)C ZINC001317730781 871448608 /nfs/dbraw/zinc/44/86/08/871448608.db2.gz WLLOACHEGPKMFI-OKILXGFUSA-N 1 2 307.438 1.543 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)CC ZINC001317735313 871453134 /nfs/dbraw/zinc/45/31/34/871453134.db2.gz RIJGGCYUNUBJCW-GASCZTMLSA-N 1 2 307.438 1.496 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(C)CC ZINC001317735313 871453143 /nfs/dbraw/zinc/45/31/43/871453143.db2.gz RIJGGCYUNUBJCW-GASCZTMLSA-N 1 2 307.438 1.496 20 30 DDEDLO CCCN(C(=O)[C@@H]1CCn2c[nH+]cc2C1)[C@H]1CCN(CC#N)C1 ZINC001317762324 871475692 /nfs/dbraw/zinc/47/56/92/871475692.db2.gz WRZJSQFXXYBDRR-CABCVRRESA-N 1 2 315.421 1.282 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+](Cc2nccc(C)n2)CC1 ZINC001226589745 882525393 /nfs/dbraw/zinc/52/53/93/882525393.db2.gz YSUOUTRINGKWLY-UHFFFAOYSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)Cc2ccc(C)o2)CC1 ZINC001317817262 871542598 /nfs/dbraw/zinc/54/25/98/871542598.db2.gz ZFXMWGOGRIBTPT-UHFFFAOYSA-N 1 2 305.422 1.393 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C[C@@H](C)CC(C)C)C1 ZINC001317949851 871648224 /nfs/dbraw/zinc/64/82/24/871648224.db2.gz NWUBXNDIFRBVMI-JKSUJKDBSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C[C@@H](C)CC(C)C)C1 ZINC001317949851 871648230 /nfs/dbraw/zinc/64/82/30/871648230.db2.gz NWUBXNDIFRBVMI-JKSUJKDBSA-N 1 2 321.465 1.389 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CCC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001317969335 871659420 /nfs/dbraw/zinc/65/94/20/871659420.db2.gz UTWXYCKKKHDBPY-YOEHRIQHSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CCC[N@H+](Cc2nc(C)oc2C)C1 ZINC001317969335 871659429 /nfs/dbraw/zinc/65/94/29/871659429.db2.gz UTWXYCKKKHDBPY-YOEHRIQHSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1C[NH+]([C@@H](C)c2cccc(OC)c2)C1 ZINC001318018911 871692451 /nfs/dbraw/zinc/69/24/51/871692451.db2.gz UOBJINZXEWTPKK-UGSOOPFHSA-N 1 2 318.417 1.884 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)CCCOc2cccc(C)c2)C1 ZINC001318086004 871735760 /nfs/dbraw/zinc/73/57/60/871735760.db2.gz MRGIJXKKRXJHSP-UHFFFAOYSA-N 1 2 300.402 1.978 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccco2)C1 ZINC001318232031 871856027 /nfs/dbraw/zinc/85/60/27/871856027.db2.gz DTCNDGCGACOOTK-AWEZNQCLSA-N 1 2 317.389 1.284 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H](NC(=O)c2ccco2)C1 ZINC001318232031 871856039 /nfs/dbraw/zinc/85/60/39/871856039.db2.gz DTCNDGCGACOOTK-AWEZNQCLSA-N 1 2 317.389 1.284 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N(C)CCC(=O)NC ZINC001341903683 871888999 /nfs/dbraw/zinc/88/89/99/871888999.db2.gz MIKWPKCTRFKXIJ-CYBMUJFWSA-N 1 2 320.441 1.193 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N(C)CCC(=O)NC ZINC001341903683 871889020 /nfs/dbraw/zinc/88/90/20/871889020.db2.gz MIKWPKCTRFKXIJ-CYBMUJFWSA-N 1 2 320.441 1.193 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001315842672 871925651 /nfs/dbraw/zinc/92/56/51/871925651.db2.gz IXUGWOBKPZVXRT-INIZCTEOSA-N 1 2 322.368 1.145 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001315842672 871925662 /nfs/dbraw/zinc/92/56/62/871925662.db2.gz IXUGWOBKPZVXRT-INIZCTEOSA-N 1 2 322.368 1.145 20 30 DDEDLO CN(C(=O)CSCC#N)C1CC[NH+](Cc2ncccn2)CC1 ZINC001316929818 872402327 /nfs/dbraw/zinc/40/23/27/872402327.db2.gz WZEIVTGTHDRJSR-UHFFFAOYSA-N 1 2 319.434 1.156 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2noc3c2COCC3)C[C@H]1C ZINC001206536884 872422819 /nfs/dbraw/zinc/42/28/19/872422819.db2.gz CKKDTMJFHYLSDP-TZMCWYRMSA-N 1 2 319.405 1.650 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2noc3c2COCC3)C[C@H]1C ZINC001206536884 872422822 /nfs/dbraw/zinc/42/28/22/872422822.db2.gz CKKDTMJFHYLSDP-TZMCWYRMSA-N 1 2 319.405 1.650 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](CC(=O)NC(C)(C)C)C2)C1 ZINC001316948744 872446573 /nfs/dbraw/zinc/44/65/73/872446573.db2.gz VJCJTNNDNLLIFU-AWEZNQCLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](CC(=O)NC(C)(C)C)C2)C1 ZINC001316948744 872446591 /nfs/dbraw/zinc/44/65/91/872446591.db2.gz VJCJTNNDNLLIFU-AWEZNQCLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1Nc1cnn(C)c1 ZINC001343415935 872615353 /nfs/dbraw/zinc/61/53/53/872615353.db2.gz GARQDDHRDPVLPW-UHFFFAOYSA-N 1 2 301.398 1.927 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)N[C@@H](Cc2ccccc2)C1=O ZINC001319563536 872694109 /nfs/dbraw/zinc/69/41/09/872694109.db2.gz SNJKDKMSIMATPE-INIZCTEOSA-N 1 2 311.385 1.452 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)N[C@@H](Cc2ccccc2)C1=O ZINC001319563536 872694116 /nfs/dbraw/zinc/69/41/16/872694116.db2.gz SNJKDKMSIMATPE-INIZCTEOSA-N 1 2 311.385 1.452 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@@H+](Cc2cscn2)C[C@H]1C ZINC001206957552 872846192 /nfs/dbraw/zinc/84/61/92/872846192.db2.gz DLMXDXLQXCWPIF-TZMCWYRMSA-N 1 2 307.419 1.120 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@H+](Cc2cscn2)C[C@H]1C ZINC001206957552 872846201 /nfs/dbraw/zinc/84/62/01/872846201.db2.gz DLMXDXLQXCWPIF-TZMCWYRMSA-N 1 2 307.419 1.120 20 30 DDEDLO C#CCOCC[N@@H+](C)[C@H](C)C(=O)c1[nH]c(C)c(C(=O)OC)c1C ZINC001345029531 873230255 /nfs/dbraw/zinc/23/02/55/873230255.db2.gz HSAPHHNZHPMVFH-CYBMUJFWSA-N 1 2 320.389 1.571 20 30 DDEDLO C#CCOCC[N@H+](C)[C@H](C)C(=O)c1[nH]c(C)c(C(=O)OC)c1C ZINC001345029531 873230268 /nfs/dbraw/zinc/23/02/68/873230268.db2.gz HSAPHHNZHPMVFH-CYBMUJFWSA-N 1 2 320.389 1.571 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)C(=O)NCc2c[nH+]c(C)cc2C)C1 ZINC001345397613 873387778 /nfs/dbraw/zinc/38/77/78/873387778.db2.gz MSZLIMALCIWSKX-OAHLLOKOSA-N 1 2 317.389 1.118 20 30 DDEDLO C#CCCCCC(=O)NC1CC[NH+]([C@@H](C)c2nncn2C)CC1 ZINC001226951894 882739893 /nfs/dbraw/zinc/73/98/93/882739893.db2.gz MBULBRKJECAVHR-AWEZNQCLSA-N 1 2 317.437 1.650 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001207860146 873616908 /nfs/dbraw/zinc/61/69/08/873616908.db2.gz HWKGICPQDIWUJH-CQSZACIVSA-N 1 2 318.421 1.906 20 30 DDEDLO CON=Cc1ccc(C(=O)NCc2coc(C[NH+](C)C)n2)cc1 ZINC001347456001 874147065 /nfs/dbraw/zinc/14/70/65/874147065.db2.gz NIMBPLCEUADEBM-UHFFFAOYSA-N 1 2 316.361 1.647 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)[nH]2)CC1 ZINC001227084839 882817020 /nfs/dbraw/zinc/81/70/20/882817020.db2.gz LVZVHHZVYVVSJP-LBPRGKRZSA-N 1 2 303.410 1.558 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@H]1C[N@H+](CC(=O)NCC#N)CC1(C)C ZINC001276600888 874575108 /nfs/dbraw/zinc/57/51/08/874575108.db2.gz JHXNNFUATVOZRJ-AWEZNQCLSA-N 1 2 320.437 1.199 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@H]1C[N@@H+](CC(=O)NCC#N)CC1(C)C ZINC001276600888 874575117 /nfs/dbraw/zinc/57/51/17/874575117.db2.gz JHXNNFUATVOZRJ-AWEZNQCLSA-N 1 2 320.437 1.199 20 30 DDEDLO C=CCN(CC[N@@H+]1CC[C@](O)(CF)[C@@H](F)C1)C(=O)OCC ZINC001209017083 874604820 /nfs/dbraw/zinc/60/48/20/874604820.db2.gz KCRJETRUWQDFJL-JSGCOSHPSA-N 1 2 306.353 1.375 20 30 DDEDLO C=CCN(CC[N@H+]1CC[C@](O)(CF)[C@@H](F)C1)C(=O)OCC ZINC001209017083 874604825 /nfs/dbraw/zinc/60/48/25/874604825.db2.gz KCRJETRUWQDFJL-JSGCOSHPSA-N 1 2 306.353 1.375 20 30 DDEDLO C[N@@H+]1CCO[C@@H](COc2ccc(Cl)cc2C(=O)NO)C1 ZINC001227175931 882894207 /nfs/dbraw/zinc/89/42/07/882894207.db2.gz CXWHBRRVCQGPTL-SNVBAGLBSA-N 1 2 300.742 1.168 20 30 DDEDLO C[N@H+]1CCO[C@@H](COc2ccc(Cl)cc2C(=O)NO)C1 ZINC001227175931 882894227 /nfs/dbraw/zinc/89/42/27/882894227.db2.gz CXWHBRRVCQGPTL-SNVBAGLBSA-N 1 2 300.742 1.168 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2ccn(CC)n2)CC1 ZINC001227342704 882977253 /nfs/dbraw/zinc/97/72/53/882977253.db2.gz VLXJQCMUGCGNJB-AWEZNQCLSA-N 1 2 318.421 1.022 20 30 DDEDLO N#Cc1cnccc1Nc1cccc(OCC[NH+]2CCOCC2)c1 ZINC001214013588 876130338 /nfs/dbraw/zinc/13/03/38/876130338.db2.gz XQAVPPYFZDQZRB-UHFFFAOYSA-N 1 2 324.384 1.830 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nncs3)C[C@H]21 ZINC001276707793 876151909 /nfs/dbraw/zinc/15/19/09/876151909.db2.gz JWLQQHHLYNXSJP-SYQHCUMBSA-N 1 2 320.462 1.934 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nncs3)C[C@H]21 ZINC001276707793 876151914 /nfs/dbraw/zinc/15/19/14/876151914.db2.gz JWLQQHHLYNXSJP-SYQHCUMBSA-N 1 2 320.462 1.934 20 30 DDEDLO N#C[C@H]1COCCN1C(=O)[C@H]1CC[C@@H](Nc2cccc[nH+]2)CC1 ZINC001362088452 883005706 /nfs/dbraw/zinc/00/57/06/883005706.db2.gz HVOHPBISFKDSBF-ZNMIVQPWSA-N 1 2 314.389 1.803 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)CCOC1CCOCC1 ZINC001379123457 876463550 /nfs/dbraw/zinc/46/35/50/876463550.db2.gz ZRKNOBFEPBNZCP-UHFFFAOYSA-N 1 2 318.845 1.715 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)CCOC1CCOCC1 ZINC001379123457 876463558 /nfs/dbraw/zinc/46/35/58/876463558.db2.gz ZRKNOBFEPBNZCP-UHFFFAOYSA-N 1 2 318.845 1.715 20 30 DDEDLO C=CCn1c(N2C[C@H](C)[C@H](C)C2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001352062117 876579909 /nfs/dbraw/zinc/57/99/09/876579909.db2.gz DNEFXNMCJLYNTM-OEUWWYETSA-N 1 2 319.453 1.468 20 30 DDEDLO C=CCn1c(N2C[C@H](C)[C@H](C)C2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001352062117 876579923 /nfs/dbraw/zinc/57/99/23/876579923.db2.gz DNEFXNMCJLYNTM-OEUWWYETSA-N 1 2 319.453 1.468 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cnoc3C)C[C@@H]21 ZINC001217759024 877315106 /nfs/dbraw/zinc/31/51/06/877315106.db2.gz YCELHJFQDPPXPW-JKSUJKDBSA-N 1 2 317.389 1.198 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cnoc3C)C[C@@H]21 ZINC001217759024 877315128 /nfs/dbraw/zinc/31/51/28/877315128.db2.gz YCELHJFQDPPXPW-JKSUJKDBSA-N 1 2 317.389 1.198 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001353606822 877446581 /nfs/dbraw/zinc/44/65/81/877446581.db2.gz HAXHZVYTPDRWLZ-HDJSIYSDSA-N 1 2 324.384 1.599 20 30 DDEDLO COC(=O)c1scc(C#N)c1NC(=O)c1cnc(C[NH3+])s1 ZINC001218606031 877605065 /nfs/dbraw/zinc/60/50/65/877605065.db2.gz YZRRXXCYPUUWJH-UHFFFAOYSA-N 1 2 322.371 1.574 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@H](C)NC(=O)c2cc(C#N)c[nH]2)nn1C ZINC001379647055 877636924 /nfs/dbraw/zinc/63/69/24/877636924.db2.gz HADNPRJYIVMYFQ-NSHDSACASA-N 1 2 314.393 1.179 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@H](C)NC(=O)c2cc(C#N)c[nH]2)nn1C ZINC001379647055 877636926 /nfs/dbraw/zinc/63/69/26/877636926.db2.gz HADNPRJYIVMYFQ-NSHDSACASA-N 1 2 314.393 1.179 20 30 DDEDLO CCCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219185682 877991772 /nfs/dbraw/zinc/99/17/72/877991772.db2.gz BLROEBRJEJEZPO-MSOLQXFVSA-N 1 2 314.429 1.780 20 30 DDEDLO CCCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219185682 877991779 /nfs/dbraw/zinc/99/17/79/877991779.db2.gz BLROEBRJEJEZPO-MSOLQXFVSA-N 1 2 314.429 1.780 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1C ZINC001355734231 878694058 /nfs/dbraw/zinc/69/40/58/878694058.db2.gz ORTJILGYUBUCSM-HUUCEWRRSA-N 1 2 317.437 1.919 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@H]2C[N@H+]3CCCC[C@@H]3CO2)n1C ZINC001355734231 878694064 /nfs/dbraw/zinc/69/40/64/878694064.db2.gz ORTJILGYUBUCSM-HUUCEWRRSA-N 1 2 317.437 1.919 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCNC(=O)CCc1[nH+]ccn1C ZINC001355815819 878731147 /nfs/dbraw/zinc/73/11/47/878731147.db2.gz OTVOYBJQFCEONC-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@@H]1O ZINC001220203546 878816631 /nfs/dbraw/zinc/81/66/31/878816631.db2.gz JRVSLICVACMMNN-YUELXQCFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@@H]1O ZINC001220203546 878816641 /nfs/dbraw/zinc/81/66/41/878816641.db2.gz JRVSLICVACMMNN-YUELXQCFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001287710682 912412897 /nfs/dbraw/zinc/41/28/97/912412897.db2.gz RTDFAELGZODYMW-SJKOYZFVSA-N 1 2 320.437 1.826 20 30 DDEDLO COC(=O)c1ccc(C(=[NH2+])NC(O)=C2C[C@@H](C)CCN2)cc1 ZINC001220577065 879108397 /nfs/dbraw/zinc/10/83/97/879108397.db2.gz BRVKJPOQTDOYPO-GXFFZTMASA-N 1 2 303.362 1.093 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001220622679 879138265 /nfs/dbraw/zinc/13/82/65/879138265.db2.gz APQVGUVRICZJDM-HLLBOEOZSA-N 1 2 310.438 1.014 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001220622679 879138269 /nfs/dbraw/zinc/13/82/69/879138269.db2.gz APQVGUVRICZJDM-HLLBOEOZSA-N 1 2 310.438 1.014 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001356739085 879259518 /nfs/dbraw/zinc/25/95/18/879259518.db2.gz PKAAZAOJVFSUMY-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)C(=O)N[C@@H]1C[N@@H+](CCF)C[C@@H]1O ZINC001221032682 879458395 /nfs/dbraw/zinc/45/83/95/879458395.db2.gz BHFGOUVUYRMIHP-ZACQAIPSSA-N 1 2 320.408 1.552 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)C(=O)N[C@@H]1C[N@H+](CCF)C[C@@H]1O ZINC001221032682 879458406 /nfs/dbraw/zinc/45/84/06/879458406.db2.gz BHFGOUVUYRMIHP-ZACQAIPSSA-N 1 2 320.408 1.552 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](CC)NC(=O)Cc1[nH]cc[nH+]1 ZINC001356921173 879588928 /nfs/dbraw/zinc/58/89/28/879588928.db2.gz HUENRQBFBPQMGZ-BLLLJJGKSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCCn3cccn3)[C@@H]2C1 ZINC001222029474 880189703 /nfs/dbraw/zinc/18/97/03/880189703.db2.gz WVDXEBCIYAJPAJ-HUUCEWRRSA-N 1 2 322.840 1.949 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCCn3cccn3)[C@@H]2C1 ZINC001222029474 880189708 /nfs/dbraw/zinc/18/97/08/880189708.db2.gz WVDXEBCIYAJPAJ-HUUCEWRRSA-N 1 2 322.840 1.949 20 30 DDEDLO C=C1CCC(C(=O)NC/C=C/CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001357931929 880256332 /nfs/dbraw/zinc/25/63/32/880256332.db2.gz IANYUFFIFQREMG-NSCUHMNNSA-N 1 2 316.405 1.418 20 30 DDEDLO C=C1CC(C)(C(=O)NC/C=C\CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001358024049 880297026 /nfs/dbraw/zinc/29/70/26/880297026.db2.gz NPWPFTIVTALIFX-ARJAWSKDSA-N 1 2 302.378 1.097 20 30 DDEDLO Cn1c[nH+]cc1CO[C@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC001222595290 880585633 /nfs/dbraw/zinc/58/56/33/880585633.db2.gz VZPFKPALQCHEKL-YPMHNXCESA-N 1 2 306.366 1.696 20 30 DDEDLO CC(C)c1nnc(C[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)[nH]1 ZINC001222641509 880616768 /nfs/dbraw/zinc/61/67/68/880616768.db2.gz NYUGFMMZRLMKBR-GFCCVEGCSA-N 1 2 318.425 1.416 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CC1(C)C ZINC001276835335 880681042 /nfs/dbraw/zinc/68/10/42/880681042.db2.gz QTXVGHQAGJOUOO-CABCVRRESA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CC1(C)C ZINC001276835335 880681047 /nfs/dbraw/zinc/68/10/47/880681047.db2.gz QTXVGHQAGJOUOO-CABCVRRESA-N 1 2 319.449 1.424 20 30 DDEDLO CC(C)[C@H](CCN(C)C(=O)[C@@H](C)C#N)NC(=O)Cn1cc[nH+]c1 ZINC001381040941 880908645 /nfs/dbraw/zinc/90/86/45/880908645.db2.gz UICAGLLAXUHFQS-KBPBESRZSA-N 1 2 319.409 1.032 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@](C)(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001358809149 880948510 /nfs/dbraw/zinc/94/85/10/880948510.db2.gz KPFMDARVCLNCHM-KRWDZBQOSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001276915978 881078658 /nfs/dbraw/zinc/07/86/58/881078658.db2.gz HOXWQKBQXBQLBN-RHSMWYFYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001276915978 881078677 /nfs/dbraw/zinc/07/86/77/881078677.db2.gz HOXWQKBQXBQLBN-RHSMWYFYSA-N 1 2 307.438 1.402 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)cccc1C ZINC001276932766 881154321 /nfs/dbraw/zinc/15/43/21/881154321.db2.gz SSQFSQOZOVZIEH-MRXNPFEDSA-N 1 2 300.402 1.757 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)cccc1C ZINC001276932766 881154334 /nfs/dbraw/zinc/15/43/34/881154334.db2.gz SSQFSQOZOVZIEH-MRXNPFEDSA-N 1 2 300.402 1.757 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncccc3Br)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227920952 883233436 /nfs/dbraw/zinc/23/34/36/883233436.db2.gz HGZPHVLVRUKUIG-ZQLNZSQWSA-N 1 2 311.179 1.835 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncccc3Br)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227920952 883233416 /nfs/dbraw/zinc/23/34/16/883233416.db2.gz HGZPHVLVRUKUIG-ZQLNZSQWSA-N 1 2 311.179 1.835 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cnc(C(F)(F)F)nc3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227962664 883257174 /nfs/dbraw/zinc/25/71/74/883257174.db2.gz LQZBBTMQNDNGHP-FRQULTQSSA-N 1 2 301.268 1.487 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cnc(C(F)(F)F)nc3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227962664 883257186 /nfs/dbraw/zinc/25/71/86/883257186.db2.gz LQZBBTMQNDNGHP-FRQULTQSSA-N 1 2 301.268 1.487 20 30 DDEDLO COC(=O)c1cccc(OC)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001228163514 883372071 /nfs/dbraw/zinc/37/20/71/883372071.db2.gz JTAMGOLCFKXTQI-SHFISYCGSA-N 1 2 319.357 1.473 20 30 DDEDLO COC(=O)c1cccc(OC)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001228163514 883372087 /nfs/dbraw/zinc/37/20/87/883372087.db2.gz JTAMGOLCFKXTQI-SHFISYCGSA-N 1 2 319.357 1.473 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC1CC[NH+](Cc2ccon2)CC1 ZINC001228467593 883511231 /nfs/dbraw/zinc/51/12/31/883511231.db2.gz VVAXCQCINLSEJT-KRWDZBQOSA-N 1 2 321.421 1.984 20 30 DDEDLO N#CC1(NC(=O)[C@@]23C[C@@H]2CC[N@H+]3Cc2ncccc2O)CCC1 ZINC001277318977 883600617 /nfs/dbraw/zinc/60/06/17/883600617.db2.gz XQYMKCBYEPWCRA-YVEFUNNKSA-N 1 2 312.373 1.314 20 30 DDEDLO N#CC1(NC(=O)[C@@]23C[C@@H]2CC[N@@H+]3Cc2ncccc2O)CCC1 ZINC001277318977 883600621 /nfs/dbraw/zinc/60/06/21/883600621.db2.gz XQYMKCBYEPWCRA-YVEFUNNKSA-N 1 2 312.373 1.314 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H](C)C#N)NC(=O)c1cccc2[nH+]ccn21 ZINC001382196994 883618520 /nfs/dbraw/zinc/61/85/20/883618520.db2.gz JFLUSHXWNNVTEE-NWDGAFQWSA-N 1 2 313.361 1.071 20 30 DDEDLO CC#CCCCC(=O)NC1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001228718596 883639300 /nfs/dbraw/zinc/63/93/00/883639300.db2.gz QVFMHAWBOGEJSS-UHFFFAOYSA-N 1 2 302.422 1.694 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001228750189 883653266 /nfs/dbraw/zinc/65/32/66/883653266.db2.gz XEIBQAORUXOKOK-CHWSQXEVSA-N 1 2 305.426 1.354 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCN(CC#N)C1CCCCCC1 ZINC001277382285 883952914 /nfs/dbraw/zinc/95/29/14/883952914.db2.gz IZDMNDNWLRSPLQ-UHFFFAOYSA-N 1 2 317.437 1.925 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2nccc(C)n2)CC1 ZINC001230411549 884477530 /nfs/dbraw/zinc/47/75/30/884477530.db2.gz LYIBKJJWFTYOFF-UHFFFAOYSA-N 1 2 318.421 1.458 20 30 DDEDLO CC(=O)CCCC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230507360 884527667 /nfs/dbraw/zinc/52/76/67/884527667.db2.gz DSEYKEVTNKYRQN-MRXNPFEDSA-N 1 2 305.422 1.972 20 30 DDEDLO CC(=O)CCCC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230507360 884527683 /nfs/dbraw/zinc/52/76/83/884527683.db2.gz DSEYKEVTNKYRQN-MRXNPFEDSA-N 1 2 305.422 1.972 20 30 DDEDLO N#CCSCC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230519297 884534055 /nfs/dbraw/zinc/53/40/55/884534055.db2.gz QBRQBDVQRPIPTJ-AWEZNQCLSA-N 1 2 306.435 1.470 20 30 DDEDLO N#CCSCC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230519297 884534063 /nfs/dbraw/zinc/53/40/63/884534063.db2.gz QBRQBDVQRPIPTJ-AWEZNQCLSA-N 1 2 306.435 1.470 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001231094981 885214082 /nfs/dbraw/zinc/21/40/82/885214082.db2.gz LCWZACYMJSJKAV-GOEBONIOSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001231094981 885214102 /nfs/dbraw/zinc/21/41/02/885214102.db2.gz LCWZACYMJSJKAV-GOEBONIOSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CC(=C)C ZINC001231122312 885258965 /nfs/dbraw/zinc/25/89/65/885258965.db2.gz LARCTHVASUAUKE-INIZCTEOSA-N 1 2 321.465 1.910 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CC(=C)C ZINC001231122312 885258986 /nfs/dbraw/zinc/25/89/86/885258986.db2.gz LARCTHVASUAUKE-INIZCTEOSA-N 1 2 321.465 1.910 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1C[C@H](C)CS(C)(=O)=O ZINC001231179194 885333871 /nfs/dbraw/zinc/33/38/71/885333871.db2.gz QYEAAYWULUWDQB-UONOGXRCSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1C[C@H](C)CS(C)(=O)=O ZINC001231179194 885333853 /nfs/dbraw/zinc/33/38/53/885333853.db2.gz QYEAAYWULUWDQB-UONOGXRCSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)/C=C\C(C)(C)C ZINC001231281236 885466077 /nfs/dbraw/zinc/46/60/77/885466077.db2.gz GMSDBIBRKZMALC-LEJCZOIISA-N 1 2 307.438 1.424 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C\C(C)(C)C ZINC001231281236 885466084 /nfs/dbraw/zinc/46/60/84/885466084.db2.gz GMSDBIBRKZMALC-LEJCZOIISA-N 1 2 307.438 1.424 20 30 DDEDLO Cn1[nH]c([C@H]2CCC[N@@H+]2Cc2ccc(OCC#N)cc2)nc1=N ZINC001363222317 885750316 /nfs/dbraw/zinc/75/03/16/885750316.db2.gz DVXYSVMMZAZNLR-CQSZACIVSA-N 1 2 312.377 1.467 20 30 DDEDLO Cn1[nH]c([C@H]2CCC[N@H+]2Cc2ccc(OCC#N)cc2)nc1=N ZINC001363222317 885750327 /nfs/dbraw/zinc/75/03/27/885750327.db2.gz DVXYSVMMZAZNLR-CQSZACIVSA-N 1 2 312.377 1.467 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C1C[NH+](CCOc2ccccc2)C1 ZINC001277586271 885944049 /nfs/dbraw/zinc/94/40/49/885944049.db2.gz NWVAXEZBQDGIKD-SFHVURJKSA-N 1 2 318.417 1.535 20 30 DDEDLO C#CCCOc1ccc(C(=O)N(C)C2C[NH+](CCC#C)C2)cc1 ZINC001277593525 885979051 /nfs/dbraw/zinc/97/90/51/885979051.db2.gz IHXJRFUSLLGRHT-UHFFFAOYSA-N 1 2 310.397 1.868 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)Cc2c(F)cccc2F)C1 ZINC001277651413 886266819 /nfs/dbraw/zinc/26/68/19/886266819.db2.gz CVAOZNDMMWGEGH-UHFFFAOYSA-N 1 2 324.371 1.853 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ncc(O)cn3)CC2)s1 ZINC001232846880 886632259 /nfs/dbraw/zinc/63/22/59/886632259.db2.gz LRZPPDPUWZVACV-UHFFFAOYSA-N 1 2 301.375 1.438 20 30 DDEDLO Cc1cc(O)cc(C[NH+]2CCN(c3nccnc3C#N)CC2)c1 ZINC001232882849 886649079 /nfs/dbraw/zinc/64/90/79/886649079.db2.gz CAALZYWXWJQFCK-UHFFFAOYSA-N 1 2 309.373 1.685 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1ncnc2[nH]ccc21 ZINC001374512222 913108900 /nfs/dbraw/zinc/10/89/00/913108900.db2.gz GBZOSIRZIBYOCH-UHFFFAOYSA-N 1 2 307.785 1.666 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1ncnc2[nH]ccc21 ZINC001374512222 913108906 /nfs/dbraw/zinc/10/89/06/913108906.db2.gz GBZOSIRZIBYOCH-UHFFFAOYSA-N 1 2 307.785 1.666 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[C@H]1C[NH2+]Cc1cnsn1 ZINC001277814190 887407430 /nfs/dbraw/zinc/40/74/30/887407430.db2.gz NIWBVBMEUBPBOH-OCCSQVGLSA-N 1 2 324.450 1.600 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1C ZINC001233979294 887522456 /nfs/dbraw/zinc/52/24/56/887522456.db2.gz ZADPKXBDPNUFBV-HOCLYGCPSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1C ZINC001233979294 887522464 /nfs/dbraw/zinc/52/24/64/887522464.db2.gz ZADPKXBDPNUFBV-HOCLYGCPSA-N 1 2 307.394 1.343 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)N(C)C ZINC001234516441 888043915 /nfs/dbraw/zinc/04/39/15/888043915.db2.gz CSGVBIQPILLIOD-ZBFHGGJFSA-N 1 2 321.465 1.764 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)N(C)C ZINC001234516441 888043926 /nfs/dbraw/zinc/04/39/26/888043926.db2.gz CSGVBIQPILLIOD-ZBFHGGJFSA-N 1 2 321.465 1.764 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234545985 888078809 /nfs/dbraw/zinc/07/88/09/888078809.db2.gz JHCBEKROYZDHBS-CABCVRRESA-N 1 2 323.481 1.892 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234545985 888078819 /nfs/dbraw/zinc/07/88/19/888078819.db2.gz JHCBEKROYZDHBS-CABCVRRESA-N 1 2 323.481 1.892 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234563778 888102635 /nfs/dbraw/zinc/10/26/35/888102635.db2.gz XQXDGDBWHLLBPO-LSDHHAIUSA-N 1 2 321.465 1.339 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234563778 888102645 /nfs/dbraw/zinc/10/26/45/888102645.db2.gz XQXDGDBWHLLBPO-LSDHHAIUSA-N 1 2 321.465 1.339 20 30 DDEDLO COC(=O)c1ccc(NCc2[nH]c3c([nH+]2)CCCC3)c(C#N)n1 ZINC001364247814 888215496 /nfs/dbraw/zinc/21/54/96/888215496.db2.gz NNYLAILLAOPXJR-UHFFFAOYSA-N 1 2 311.345 1.954 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cccnc1N(C)C ZINC001374555652 913263457 /nfs/dbraw/zinc/26/34/57/913263457.db2.gz LZOCVHFYTAUAIG-UHFFFAOYSA-N 1 2 310.829 1.904 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cccnc1N(C)C ZINC001374555652 913263473 /nfs/dbraw/zinc/26/34/73/913263473.db2.gz LZOCVHFYTAUAIG-UHFFFAOYSA-N 1 2 310.829 1.904 20 30 DDEDLO C[NH+]1CCN(c2ccc(-c3cc4[nH]cnc4c(C#N)n3)cn2)CC1 ZINC001235966750 889036009 /nfs/dbraw/zinc/03/60/09/889036009.db2.gz QQUUVMNZIUOOSU-UHFFFAOYSA-N 1 2 319.372 1.643 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001289969898 913304001 /nfs/dbraw/zinc/30/40/01/913304001.db2.gz SUBYHAOZLPKAAU-AWEZNQCLSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)COCCC)CC1 ZINC001281799621 889386071 /nfs/dbraw/zinc/38/60/71/889386071.db2.gz YJEFYBQEPSROIV-UHFFFAOYSA-N 1 2 304.818 1.109 20 30 DDEDLO COC(=O)CO[C@H]1CC[N@H+](Cc2cc(C#N)c(F)cc2F)C1 ZINC001237528864 889679920 /nfs/dbraw/zinc/67/99/20/889679920.db2.gz CQGVCZSNVGHUSF-LBPRGKRZSA-N 1 2 310.300 1.600 20 30 DDEDLO COC(=O)CO[C@H]1CC[N@@H+](Cc2cc(C#N)c(F)cc2F)C1 ZINC001237528864 889679926 /nfs/dbraw/zinc/67/99/26/889679926.db2.gz CQGVCZSNVGHUSF-LBPRGKRZSA-N 1 2 310.300 1.600 20 30 DDEDLO C=CCN1CCN(C)C2(CC[NH+](Cc3nc(C)co3)CC2)C1=O ZINC001237639939 889773488 /nfs/dbraw/zinc/77/34/88/889773488.db2.gz QSNUKUIBGJOLLF-UHFFFAOYSA-N 1 2 318.421 1.278 20 30 DDEDLO Cc1ncsc1CCC[N@H+](CCC#N)CCN1CCOCC1 ZINC001365286149 890506536 /nfs/dbraw/zinc/50/65/36/890506536.db2.gz JBKITIOQECRUBJ-UHFFFAOYSA-N 1 2 322.478 1.932 20 30 DDEDLO Cc1ncsc1CCC[N@@H+](CCC#N)CCN1CCOCC1 ZINC001365286149 890506543 /nfs/dbraw/zinc/50/65/43/890506543.db2.gz JBKITIOQECRUBJ-UHFFFAOYSA-N 1 2 322.478 1.932 20 30 DDEDLO Cc1ncsc1CCCN(CCC#N)CC[NH+]1CCOCC1 ZINC001365286149 890506550 /nfs/dbraw/zinc/50/65/50/890506550.db2.gz JBKITIOQECRUBJ-UHFFFAOYSA-N 1 2 322.478 1.932 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC(NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001374680522 913564684 /nfs/dbraw/zinc/56/46/84/913564684.db2.gz PYYOPTNXNNOUBN-UHFFFAOYSA-N 1 2 324.812 1.448 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC(NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001374680522 913564695 /nfs/dbraw/zinc/56/46/95/913564695.db2.gz PYYOPTNXNNOUBN-UHFFFAOYSA-N 1 2 324.812 1.448 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCCc2nc(C)no2)C1 ZINC001366001778 892180869 /nfs/dbraw/zinc/18/08/69/892180869.db2.gz FMSHSNAIKGTEPS-GFCCVEGCSA-N 1 2 312.801 1.644 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCCc2nc(C)no2)C1 ZINC001366001778 892180882 /nfs/dbraw/zinc/18/08/82/892180882.db2.gz FMSHSNAIKGTEPS-GFCCVEGCSA-N 1 2 312.801 1.644 20 30 DDEDLO C=CCOC(=O)c1ccccc1NC[C@H](O)C[NH+]1CCOCC1 ZINC001251028825 894581305 /nfs/dbraw/zinc/58/13/05/894581305.db2.gz PXSVARSNUSUGOE-AWEZNQCLSA-N 1 2 320.389 1.134 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(CCCC)CC1)CO2 ZINC001280968261 894993638 /nfs/dbraw/zinc/99/36/38/894993638.db2.gz KRMAVBLXNNOBBW-OAHLLOKOSA-N 1 2 304.434 1.940 20 30 DDEDLO CCN(CCNC(=O)c1cc2c[nH+]ccc2[nH]1)C(=O)[C@@H](C)C#N ZINC001388331454 895005674 /nfs/dbraw/zinc/00/56/74/895005674.db2.gz MSMCGOSKYOHPAV-NSHDSACASA-N 1 2 313.361 1.301 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCc2c(nnn2C)[C@H]1COCC=C ZINC001252595588 895298666 /nfs/dbraw/zinc/29/86/66/895298666.db2.gz JCYWPPKCUHTTQA-UKRRQHHQSA-N 1 2 306.410 1.244 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCc2c(nnn2C)[C@H]1COCC=C ZINC001252595588 895298672 /nfs/dbraw/zinc/29/86/72/895298672.db2.gz JCYWPPKCUHTTQA-UKRRQHHQSA-N 1 2 306.410 1.244 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCn2ccccc2=O)C1 ZINC001367009827 895561601 /nfs/dbraw/zinc/56/16/01/895561601.db2.gz GAXSLWXLKNTEED-ZDUSSCGKSA-N 1 2 309.797 1.181 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCn2ccccc2=O)C1 ZINC001367009827 895561613 /nfs/dbraw/zinc/56/16/13/895561613.db2.gz GAXSLWXLKNTEED-ZDUSSCGKSA-N 1 2 309.797 1.181 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@@H+]2CC[C@@H](C#N)C2)cc1 ZINC001253051099 895619781 /nfs/dbraw/zinc/61/97/81/895619781.db2.gz DPOUPGIHUQDPHI-HOTGVXAUSA-N 1 2 304.390 1.461 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@H+]2CC[C@@H](C#N)C2)cc1 ZINC001253051099 895619783 /nfs/dbraw/zinc/61/97/83/895619783.db2.gz DPOUPGIHUQDPHI-HOTGVXAUSA-N 1 2 304.390 1.461 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccc(CC(C)C)s2)C1 ZINC001278877520 897070723 /nfs/dbraw/zinc/07/07/23/897070723.db2.gz LZCIGPKHCWMYKT-UHFFFAOYSA-N 1 2 320.458 1.746 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)C[C@H]2CC[C@H](C3CC3)O2)C1 ZINC001278891815 897136051 /nfs/dbraw/zinc/13/60/51/897136051.db2.gz QWWAZGWHFMZZOQ-HUUCEWRRSA-N 1 2 308.422 1.073 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C[C@H](C=C)c2ccccc2)C1 ZINC001278932748 897401175 /nfs/dbraw/zinc/40/11/75/897401175.db2.gz ZWPZCSCEZKCVEC-INIZCTEOSA-N 1 2 312.413 1.533 20 30 DDEDLO CC(C)(C)[C@H](CNCC#N)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001278972609 897619646 /nfs/dbraw/zinc/61/96/46/897619646.db2.gz FMDHOQNPPLVARP-OCCSQVGLSA-N 1 2 303.410 1.090 20 30 DDEDLO COc1cc(C[C@@H](C)N2CC[NH2+]C[C@@H]2C#N)cc(OC)c1OC ZINC001258867268 898342195 /nfs/dbraw/zinc/34/21/95/898342195.db2.gz OIBAXLRAUNYTNM-OCCSQVGLSA-N 1 2 319.405 1.441 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(F)c1)[N@@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001390739397 900063070 /nfs/dbraw/zinc/06/30/70/900063070.db2.gz AJFGJVOQIOPGHL-NWDGAFQWSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(F)c1)[N@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001390739397 900063077 /nfs/dbraw/zinc/06/30/77/900063077.db2.gz AJFGJVOQIOPGHL-NWDGAFQWSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@H+](C)[C@@H](C)c1nc(-c2ccco2)no1 ZINC001390739608 900063153 /nfs/dbraw/zinc/06/31/53/900063153.db2.gz BWQQLQKGVINSFY-QWRGUYRKSA-N 1 2 317.349 1.598 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@@H+](C)[C@@H](C)c1nc(-c2ccco2)no1 ZINC001390739608 900063160 /nfs/dbraw/zinc/06/31/60/900063160.db2.gz BWQQLQKGVINSFY-QWRGUYRKSA-N 1 2 317.349 1.598 20 30 DDEDLO O=C(OCC#Cc1csc(C[NH+]2CCOCC2)c1)[C@H]1C[C@@H]1F ZINC001263660890 900664245 /nfs/dbraw/zinc/66/42/45/900664245.db2.gz SJFYNXXKJYLSQA-GJZGRUSLSA-N 1 2 323.389 1.833 20 30 DDEDLO C#C[C@H](NC(=O)NCc1cc[nH+]c(NCC)c1)[C@H]1CCCO1 ZINC001263725246 900685317 /nfs/dbraw/zinc/68/53/17/900685317.db2.gz YQKNJEOSWIZALC-UONOGXRCSA-N 1 2 302.378 1.493 20 30 DDEDLO C#CCOc1ccc(C(=O)NCc2coc(C[NH+](C)C)n2)cc1 ZINC001263955725 900839566 /nfs/dbraw/zinc/83/95/66/900839566.db2.gz BFBAMHNEAMTITB-UHFFFAOYSA-N 1 2 313.357 1.678 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001264366869 901041908 /nfs/dbraw/zinc/04/19/08/901041908.db2.gz MYCALAWIMDKNOA-XLAORIBOSA-N 1 2 318.461 1.995 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001264366869 901041917 /nfs/dbraw/zinc/04/19/17/901041917.db2.gz MYCALAWIMDKNOA-XLAORIBOSA-N 1 2 318.461 1.995 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[N@H+](Cc1cnon1)C1CC1 ZINC001265176467 901666718 /nfs/dbraw/zinc/66/67/18/901666718.db2.gz YXPZIYBKCPJBAN-CABCVRRESA-N 1 2 320.393 1.131 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[N@@H+](Cc1cnon1)C1CC1 ZINC001265176467 901666722 /nfs/dbraw/zinc/66/67/22/901666722.db2.gz YXPZIYBKCPJBAN-CABCVRRESA-N 1 2 320.393 1.131 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C2(CCCC)CC2)C1 ZINC001265225482 901741316 /nfs/dbraw/zinc/74/13/16/901741316.db2.gz YPLFDSTUNSKCMX-OAHLLOKOSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2(CCCC)CC2)C1 ZINC001265225482 901741320 /nfs/dbraw/zinc/74/13/20/901741320.db2.gz YPLFDSTUNSKCMX-OAHLLOKOSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@H]2CCCC(F)(F)C2)CC1 ZINC001265264486 901786989 /nfs/dbraw/zinc/78/69/89/901786989.db2.gz RMDBREPHYPVAIT-AWEZNQCLSA-N 1 2 313.392 1.179 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC001265283893 901820035 /nfs/dbraw/zinc/82/00/35/901820035.db2.gz JNUFRMBIODTROD-HUUCEWRRSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC001265283893 901820045 /nfs/dbraw/zinc/82/00/45/901820045.db2.gz JNUFRMBIODTROD-HUUCEWRRSA-N 1 2 319.449 1.450 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265282479 901821372 /nfs/dbraw/zinc/82/13/72/901821372.db2.gz UMCFMKBLKZSUKF-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265282479 901821378 /nfs/dbraw/zinc/82/13/78/901821378.db2.gz UMCFMKBLKZSUKF-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001265387436 901954907 /nfs/dbraw/zinc/95/49/07/901954907.db2.gz ZPCGIFNNYFYBFE-CQSZACIVSA-N 1 2 305.426 1.750 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001265387436 901954911 /nfs/dbraw/zinc/95/49/11/901954911.db2.gz ZPCGIFNNYFYBFE-CQSZACIVSA-N 1 2 305.426 1.750 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1nccs1 ZINC001265645375 902244332 /nfs/dbraw/zinc/24/43/32/902244332.db2.gz NYCFIBCYAGDMMQ-CQSZACIVSA-N 1 2 321.446 1.654 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCCC[N@H+]1Cc1nccs1 ZINC001265645375 902244347 /nfs/dbraw/zinc/24/43/47/902244347.db2.gz NYCFIBCYAGDMMQ-CQSZACIVSA-N 1 2 321.446 1.654 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([N@@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001266094511 902927154 /nfs/dbraw/zinc/92/71/54/902927154.db2.gz VPCOSSLZVJUFDK-GJZGRUSLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([N@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001266094511 902927159 /nfs/dbraw/zinc/92/71/59/902927159.db2.gz VPCOSSLZVJUFDK-GJZGRUSLSA-N 1 2 318.421 1.663 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2ccc(F)cn2)C1 ZINC001266218284 903133686 /nfs/dbraw/zinc/13/36/86/903133686.db2.gz NYHGXWIVYGNTAX-CZUORRHYSA-N 1 2 319.380 1.340 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001266218284 903133696 /nfs/dbraw/zinc/13/36/96/903133696.db2.gz NYHGXWIVYGNTAX-CZUORRHYSA-N 1 2 319.380 1.340 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H](C)C(CC)CC)C1 ZINC001266225196 903145827 /nfs/dbraw/zinc/14/58/27/903145827.db2.gz JWPZKDBVGLCZTB-ZBFHGGJFSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)C(CC)CC)C1 ZINC001266225196 903145833 /nfs/dbraw/zinc/14/58/33/903145833.db2.gz JWPZKDBVGLCZTB-ZBFHGGJFSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@]23C[C@H]2CCCC3)C1 ZINC001266240128 903176058 /nfs/dbraw/zinc/17/60/58/903176058.db2.gz OYKLGHAEOJCVSQ-RVKKMQEKSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@]23C[C@H]2CCCC3)C1 ZINC001266240128 903176063 /nfs/dbraw/zinc/17/60/63/903176063.db2.gz OYKLGHAEOJCVSQ-RVKKMQEKSA-N 1 2 319.449 1.450 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC(C)C ZINC001316600420 903474014 /nfs/dbraw/zinc/47/40/14/903474014.db2.gz JRCJYABLLPUQTF-AWEZNQCLSA-N 1 2 309.454 1.646 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC(C)C ZINC001316600420 903474023 /nfs/dbraw/zinc/47/40/23/903474023.db2.gz JRCJYABLLPUQTF-AWEZNQCLSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[NH+]([C@H](C)c1nnnn1C)CC2 ZINC001280383331 903623559 /nfs/dbraw/zinc/62/35/59/903623559.db2.gz SQTANSSIPFCWJV-CYBMUJFWSA-N 1 2 318.425 1.162 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001280399762 903636938 /nfs/dbraw/zinc/63/69/38/903636938.db2.gz QSESFEADYSAWGA-AWEZNQCLSA-N 1 2 302.378 1.283 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001280399762 903636940 /nfs/dbraw/zinc/63/69/40/903636940.db2.gz QSESFEADYSAWGA-AWEZNQCLSA-N 1 2 302.378 1.283 20 30 DDEDLO CCOCC(=O)N(C)CC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001392256080 903668158 /nfs/dbraw/zinc/66/81/58/903668158.db2.gz WJAOCTQXKHTKLA-UHFFFAOYSA-N 1 2 307.369 1.624 20 30 DDEDLO CCOCC(=O)N(C)CC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001392256080 903668165 /nfs/dbraw/zinc/66/81/65/903668165.db2.gz WJAOCTQXKHTKLA-UHFFFAOYSA-N 1 2 307.369 1.624 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](CNC(=O)CCc2nnc[nH]2)C1 ZINC001370738439 903674644 /nfs/dbraw/zinc/67/46/44/903674644.db2.gz BICQCIZTXAPYIO-LBPRGKRZSA-N 1 2 311.817 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](CNC(=O)CCc2nnc[nH]2)C1 ZINC001370738439 903674647 /nfs/dbraw/zinc/67/46/47/903674647.db2.gz BICQCIZTXAPYIO-LBPRGKRZSA-N 1 2 311.817 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](CNC(=O)CCc2nc[nH]n2)C1 ZINC001370738439 903674652 /nfs/dbraw/zinc/67/46/52/903674652.db2.gz BICQCIZTXAPYIO-LBPRGKRZSA-N 1 2 311.817 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](CNC(=O)CCc2nc[nH]n2)C1 ZINC001370738439 903674657 /nfs/dbraw/zinc/67/46/57/903674657.db2.gz BICQCIZTXAPYIO-LBPRGKRZSA-N 1 2 311.817 1.318 20 30 DDEDLO C#CCCCCC(=O)NCC1([NH2+]Cc2csnn2)CCC1 ZINC001280474820 903718966 /nfs/dbraw/zinc/71/89/66/903718966.db2.gz CWZLFSXSOOZNAH-UHFFFAOYSA-N 1 2 306.435 1.860 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001280509036 903747563 /nfs/dbraw/zinc/74/75/63/903747563.db2.gz INBPYWZSYADFEK-AWEZNQCLSA-N 1 2 320.437 1.839 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001293902677 914759899 /nfs/dbraw/zinc/75/98/99/914759899.db2.gz BMUJLJCYQVIRNT-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001280729598 903962408 /nfs/dbraw/zinc/96/24/08/903962408.db2.gz MCQRUZHKQJGWBY-KGLIPLIRSA-N 1 2 318.421 1.852 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001280729598 903962414 /nfs/dbraw/zinc/96/24/14/903962414.db2.gz MCQRUZHKQJGWBY-KGLIPLIRSA-N 1 2 318.421 1.852 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CCC2(C[NH+](CCOC)C2)O1 ZINC001280929915 904201397 /nfs/dbraw/zinc/20/13/97/904201397.db2.gz MAXYLMKDKBFWEH-CQSZACIVSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1CC ZINC001282259981 905651293 /nfs/dbraw/zinc/65/12/93/905651293.db2.gz YPAXIZQYCFJBQV-LSDHHAIUSA-N 1 2 318.421 1.804 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C(C)(C)C2CCOCC2)C1 ZINC001282527589 905851588 /nfs/dbraw/zinc/85/15/88/905851588.db2.gz OIXUVLDGZQSMRK-UHFFFAOYSA-N 1 2 322.449 1.016 20 30 DDEDLO C=CCC[NH+]1CC(CCO)(NC(=O)c2csc(Cl)n2)C1 ZINC001282539495 905859851 /nfs/dbraw/zinc/85/98/51/905859851.db2.gz KLUBULSLCDIOMP-UHFFFAOYSA-N 1 2 315.826 1.539 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C1C[NH+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001282734961 905997566 /nfs/dbraw/zinc/99/75/66/905997566.db2.gz URSHDCBFPIDEPS-OLZOCXBDSA-N 1 2 321.465 1.550 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)C2C[NH+](Cc3cnnn3C)C2)C1 ZINC001282743348 906009254 /nfs/dbraw/zinc/00/92/54/906009254.db2.gz FMWOVXXKGINROJ-LBPRGKRZSA-N 1 2 303.410 1.108 20 30 DDEDLO COc1cc(C[N@H+](C)CCNC(=O)c2cc(C#N)c[nH]2)sn1 ZINC001371943979 906065114 /nfs/dbraw/zinc/06/51/14/906065114.db2.gz VALKNYVPGIXNFI-UHFFFAOYSA-N 1 2 319.390 1.213 20 30 DDEDLO COc1cc(C[N@@H+](C)CCNC(=O)c2cc(C#N)c[nH]2)sn1 ZINC001371943979 906065131 /nfs/dbraw/zinc/06/51/31/906065131.db2.gz VALKNYVPGIXNFI-UHFFFAOYSA-N 1 2 319.390 1.213 20 30 DDEDLO C[C@H](C#N)C(=O)NCCOCC[N@H+](C)CC=C(Cl)Cl ZINC001283358529 907211753 /nfs/dbraw/zinc/21/17/53/907211753.db2.gz DFENCMNPHYYXNV-SNVBAGLBSA-N 1 2 308.209 1.530 20 30 DDEDLO C[C@H](C#N)C(=O)NCCOCC[N@@H+](C)CC=C(Cl)Cl ZINC001283358529 907211772 /nfs/dbraw/zinc/21/17/72/907211772.db2.gz DFENCMNPHYYXNV-SNVBAGLBSA-N 1 2 308.209 1.530 20 30 DDEDLO C[C@H](CN(C)C(=O)CSCC#N)[NH2+]Cc1nnc(C2CC2)o1 ZINC001283572313 907621867 /nfs/dbraw/zinc/62/18/67/907621867.db2.gz MEAIWEKXOXCJFR-SNVBAGLBSA-N 1 2 323.422 1.140 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](CO)[NH2+][C@H](C)c1ncc(C)o1 ZINC001283791946 907966760 /nfs/dbraw/zinc/96/67/60/907966760.db2.gz INKGCJJOXMHEJU-CHWSQXEVSA-N 1 2 309.410 1.713 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001283954462 908233582 /nfs/dbraw/zinc/23/35/82/908233582.db2.gz RHFOMXCRIKVZKG-GFCCVEGCSA-N 1 2 304.394 1.175 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001284139102 908537890 /nfs/dbraw/zinc/53/78/90/908537890.db2.gz ODSDAHQCAFRMKU-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H](C)CNC(=O)C#CC3CC3)ccn12 ZINC001284233156 908702464 /nfs/dbraw/zinc/70/24/64/908702464.db2.gz KSXMIYQGMHCTIT-GFCCVEGCSA-N 1 2 324.384 1.291 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001284251620 908731107 /nfs/dbraw/zinc/73/11/07/908731107.db2.gz UDWFMROPEQZBEM-STQMWFEESA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001284251620 908731112 /nfs/dbraw/zinc/73/11/12/908731112.db2.gz UDWFMROPEQZBEM-STQMWFEESA-N 1 2 320.437 1.812 20 30 DDEDLO C[C@H](CNC(=O)CCc1[nH+]ccn1C)NC(=O)C#CC(C)(C)C ZINC001284301578 908795936 /nfs/dbraw/zinc/79/59/36/908795936.db2.gz AIDNGJMDKQQQJC-CYBMUJFWSA-N 1 2 318.421 1.023 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001284362846 908894231 /nfs/dbraw/zinc/89/42/31/908894231.db2.gz ZIRDVGYGVWXINA-OAHLLOKOSA-N 1 2 316.405 1.041 20 30 DDEDLO CC#CCCCC(=O)N(C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001284562091 909186375 /nfs/dbraw/zinc/18/63/75/909186375.db2.gz NVWUDMQOYSCCNS-UHFFFAOYSA-N 1 2 304.394 1.029 20 30 DDEDLO CCc1nc(C[NH2+]C[C@H](NC(=O)C#CC2CC2)C(C)(C)C)no1 ZINC001284586604 909219525 /nfs/dbraw/zinc/21/95/25/909219525.db2.gz OKUXHUYWGGIKFY-ZDUSSCGKSA-N 1 2 318.421 1.666 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@H](C)CN(C)C(=O)c2cc(C#N)c[nH]2)n1 ZINC001394467601 909715100 /nfs/dbraw/zinc/71/51/00/909715100.db2.gz WFOBYUSTZYOMSD-NXEZZACHSA-N 1 2 316.365 1.389 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1CN(C(=O)CCn2cc[nH+]c2)C1 ZINC001284987718 909893374 /nfs/dbraw/zinc/89/33/74/909893374.db2.gz ADTSADGJCYVGIA-CQSZACIVSA-N 1 2 318.421 1.450 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)Cc1c[nH+]c[nH]1 ZINC001285007846 909931916 /nfs/dbraw/zinc/93/19/16/909931916.db2.gz VFMKDWZWOVAFGY-QEJZJMRPSA-N 1 2 316.405 1.366 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H](OCC)[C@@H]2CCOC2)C1 ZINC001373528508 910096333 /nfs/dbraw/zinc/09/63/33/910096333.db2.gz WSQCZYLDAZKXNK-ZIAGYGMSSA-N 1 2 316.829 1.229 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001285681781 911029282 /nfs/dbraw/zinc/02/92/82/911029282.db2.gz BGOOMFDAZMLOOY-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001285762003 911166054 /nfs/dbraw/zinc/16/60/54/911166054.db2.gz UKVURPRBJOAETK-QWHCGFSZSA-N 1 2 318.421 1.279 20 30 DDEDLO C=C(C)CCC(=O)N[C@]1(C)CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001286090978 911679330 /nfs/dbraw/zinc/67/93/30/911679330.db2.gz KPBZVNORMRRQKF-QGZVFWFLSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@](C)(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001286110940 911707001 /nfs/dbraw/zinc/70/70/01/911707001.db2.gz XGYJZYOCISFYFJ-GUYCJALGSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)C)n2[C@@H]2C[C@H]2CF)CC1 ZINC001286470443 911991301 /nfs/dbraw/zinc/99/13/01/911991301.db2.gz YTMBIEUSHUBGNY-UONOGXRCSA-N 1 2 319.428 1.861 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001287281737 912156890 /nfs/dbraw/zinc/15/68/90/912156890.db2.gz PABWXDHSQAODFE-LBPRGKRZSA-N 1 2 320.437 1.874 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001295047751 915530675 /nfs/dbraw/zinc/53/06/75/915530675.db2.gz YUZGCIMHCJKHLF-BFHYXJOUSA-N 1 2 316.405 1.587 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001295047751 915530686 /nfs/dbraw/zinc/53/06/86/915530686.db2.gz YUZGCIMHCJKHLF-BFHYXJOUSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001295728558 916021503 /nfs/dbraw/zinc/02/15/03/916021503.db2.gz MFHGHBORYDTJHG-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001295728558 916021521 /nfs/dbraw/zinc/02/15/21/916021521.db2.gz MFHGHBORYDTJHG-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001375994612 917434479 /nfs/dbraw/zinc/43/44/79/917434479.db2.gz VKONMWSYISCOPL-ONGXEEELSA-N 1 2 305.756 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001375994612 917434467 /nfs/dbraw/zinc/43/44/67/917434467.db2.gz VKONMWSYISCOPL-ONGXEEELSA-N 1 2 305.756 1.972 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)Cc1ccn(C)n1 ZINC001376573364 918788381 /nfs/dbraw/zinc/78/83/81/918788381.db2.gz NYWFOMPNGKBUPI-CQSZACIVSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)Cc1ccn(C)n1 ZINC001376573364 918788385 /nfs/dbraw/zinc/78/83/85/918788385.db2.gz NYWFOMPNGKBUPI-CQSZACIVSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H](C)NC(C)=O ZINC001376572720 918788551 /nfs/dbraw/zinc/78/85/51/918788551.db2.gz XVCOZVYUXHOQPL-WCQYABFASA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H](C)NC(C)=O ZINC001376572720 918788562 /nfs/dbraw/zinc/78/85/62/918788562.db2.gz XVCOZVYUXHOQPL-WCQYABFASA-N 1 2 301.818 1.234 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)o1 ZINC001377321780 921042947 /nfs/dbraw/zinc/04/29/47/921042947.db2.gz QAGOICNTXHZYFQ-NWDGAFQWSA-N 1 2 319.409 1.508 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)o1 ZINC001377321780 921042958 /nfs/dbraw/zinc/04/29/58/921042958.db2.gz QAGOICNTXHZYFQ-NWDGAFQWSA-N 1 2 319.409 1.508 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H](C)Cc2cnn(C)c2)C1 ZINC001378008729 923676992 /nfs/dbraw/zinc/67/69/92/923676992.db2.gz RISZXSBGZWHSTP-NSHDSACASA-N 1 2 310.829 1.399 20 30 DDEDLO C[C@@H]1C[NH+]=C(N2CCN(C(=O)C#Cc3ccccc3)CC2)S1 ZINC000081839298 192330426 /nfs/dbraw/zinc/33/04/26/192330426.db2.gz MDWKZZLZXMXFBK-CQSZACIVSA-N 1 2 313.426 1.674 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)NCCc2cn3ccccc3[nH+]2)c1 ZINC000614293980 361793036 /nfs/dbraw/zinc/79/30/36/361793036.db2.gz HBJQOBCIKMVRKT-UHFFFAOYSA-N 1 2 306.325 1.884 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)NCc1cccc(C#N)c1 ZINC000278675737 214318626 /nfs/dbraw/zinc/31/86/26/214318626.db2.gz BRTXCDMTTHULSS-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO CO[C@@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@@H]1C ZINC000252039160 282208443 /nfs/dbraw/zinc/20/84/43/282208443.db2.gz ZCGMZSZCXQJNJF-XJKSGUPXSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@@H]1C ZINC000252039160 282208444 /nfs/dbraw/zinc/20/84/44/282208444.db2.gz ZCGMZSZCXQJNJF-XJKSGUPXSA-N 1 2 322.430 1.689 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)Cn1c(C)[nH+]c2ccccc21 ZINC000272829312 210229734 /nfs/dbraw/zinc/22/97/34/210229734.db2.gz HKYHIGMNTGUUOA-UHFFFAOYSA-N 1 2 321.402 1.062 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1cn2cc(Cl)ccc2n1 ZINC000272825577 210225958 /nfs/dbraw/zinc/22/59/58/210225958.db2.gz JULWFHKXXSJDLO-UHFFFAOYSA-N 1 2 313.810 1.678 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc4c(c3)OCCO4)C[C@@H]21 ZINC000329918393 529790909 /nfs/dbraw/zinc/79/09/09/529790909.db2.gz REOOBGWYZINNBD-WFASDCNBSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc4c(c3)OCCO4)C[C@@H]21 ZINC000329918393 529790910 /nfs/dbraw/zinc/79/09/10/529790910.db2.gz REOOBGWYZINNBD-WFASDCNBSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3nc(C4CC4)cs3)C[C@@H]21 ZINC000329922055 529790970 /nfs/dbraw/zinc/79/09/70/529790970.db2.gz GCEPNHCXWVKLAH-RYUDHWBXSA-N 1 2 308.407 1.581 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3nc(C4CC4)cs3)C[C@@H]21 ZINC000329922055 529790972 /nfs/dbraw/zinc/79/09/72/529790972.db2.gz GCEPNHCXWVKLAH-RYUDHWBXSA-N 1 2 308.407 1.581 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)N=c3[nH]c4ccccc4s3)C[C@H]21 ZINC000329896807 529791084 /nfs/dbraw/zinc/79/10/84/529791084.db2.gz CRKRJGWZTFAHSJ-NEPJUHHUSA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)N=c3[nH]c4ccccc4s3)C[C@H]21 ZINC000329896807 529791086 /nfs/dbraw/zinc/79/10/86/529791086.db2.gz CRKRJGWZTFAHSJ-NEPJUHHUSA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cccc(N2CCCC2=O)c1 ZINC000414134863 529868301 /nfs/dbraw/zinc/86/83/01/529868301.db2.gz HPAFQAUQAYKNQB-OAHLLOKOSA-N 1 2 317.389 1.399 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cccc(N2CCCC2=O)c1 ZINC000414134863 529868303 /nfs/dbraw/zinc/86/83/03/529868303.db2.gz HPAFQAUQAYKNQB-OAHLLOKOSA-N 1 2 317.389 1.399 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)Cn1ccnc1C(=O)c1cccs1 ZINC000414121517 529868601 /nfs/dbraw/zinc/86/86/01/529868601.db2.gz HGMRWLYAFCKSNX-LBPRGKRZSA-N 1 2 318.402 1.382 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)Cn1ccnc1C(=O)c1cccs1 ZINC000414121517 529868602 /nfs/dbraw/zinc/86/86/02/529868602.db2.gz HGMRWLYAFCKSNX-LBPRGKRZSA-N 1 2 318.402 1.382 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](Cc3ccco3)CC2)ncc1C#N ZINC000457393796 232047933 /nfs/dbraw/zinc/04/79/33/232047933.db2.gz DPWYTVUONUTROA-UHFFFAOYSA-N 1 2 310.357 1.813 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCC[C@@](F)(CO)C1 ZINC000287093447 219308750 /nfs/dbraw/zinc/30/87/50/219308750.db2.gz WAEKVPMLMXRVHC-LRDDRELGSA-N 1 2 305.353 1.682 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCC[C@@](F)(CO)C1 ZINC000287093447 219308752 /nfs/dbraw/zinc/30/87/52/219308752.db2.gz WAEKVPMLMXRVHC-LRDDRELGSA-N 1 2 305.353 1.682 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000029532104 352240587 /nfs/dbraw/zinc/24/05/87/352240587.db2.gz KJYPKBYQSDQCSO-CYBMUJFWSA-N 1 2 318.396 1.734 20 30 DDEDLO C[N@H+](CC(=O)NC(C)(C)C)CC(=O)NC1(C#N)CCCCC1 ZINC000026885081 352212856 /nfs/dbraw/zinc/21/28/56/352212856.db2.gz GDEDQMRSTIHCRR-UHFFFAOYSA-N 1 2 308.426 1.176 20 30 DDEDLO C[N@@H+](CC(=O)NC(C)(C)C)CC(=O)NC1(C#N)CCCCC1 ZINC000026885081 352212858 /nfs/dbraw/zinc/21/28/58/352212858.db2.gz GDEDQMRSTIHCRR-UHFFFAOYSA-N 1 2 308.426 1.176 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)CN1CC[NH+](Cc2ccco2)CC1 ZINC000053813763 352668164 /nfs/dbraw/zinc/66/81/64/352668164.db2.gz FRSJACWPJWPTKG-QGZVFWFLSA-N 1 2 318.421 1.452 20 30 DDEDLO CS(=O)(=O)NC1CC[NH+](Cc2ccc(C#N)cc2F)CC1 ZINC000054146462 352680032 /nfs/dbraw/zinc/68/00/32/352680032.db2.gz HXUZBOBZLZIBEF-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@H+](C)C[C@@H](C)C#N)cc1 ZINC000066469659 352998921 /nfs/dbraw/zinc/99/89/21/352998921.db2.gz ZULHQEBVUBTYNC-JSGCOSHPSA-N 1 2 306.362 1.304 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@@H+](C)C[C@@H](C)C#N)cc1 ZINC000066469659 352998924 /nfs/dbraw/zinc/99/89/24/352998924.db2.gz ZULHQEBVUBTYNC-JSGCOSHPSA-N 1 2 306.362 1.304 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000073647705 353264293 /nfs/dbraw/zinc/26/42/93/353264293.db2.gz KRFSCNKCXDTZGV-CQSZACIVSA-N 1 2 315.421 1.332 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000076708321 353434626 /nfs/dbraw/zinc/43/46/26/353434626.db2.gz QAICBFKWGAMHNP-ZFWWWQNUSA-N 1 2 320.437 1.318 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000076708321 353434628 /nfs/dbraw/zinc/43/46/28/353434628.db2.gz QAICBFKWGAMHNP-ZFWWWQNUSA-N 1 2 320.437 1.318 20 30 DDEDLO N#CCC[N@@H+](CC(=O)N1CCO[C@H]2CCCC[C@@H]21)CC1CC1 ZINC000081045067 353648643 /nfs/dbraw/zinc/64/86/43/353648643.db2.gz ZITINPVOBAIQEW-HOTGVXAUSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CCC[N@H+](CC(=O)N1CCO[C@H]2CCCC[C@@H]21)CC1CC1 ZINC000081045067 353648644 /nfs/dbraw/zinc/64/86/44/353648644.db2.gz ZITINPVOBAIQEW-HOTGVXAUSA-N 1 2 305.422 1.782 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CC[C@](O)(C(F)(F)F)C1 ZINC000081068543 353649662 /nfs/dbraw/zinc/64/96/62/353649662.db2.gz XLHMXHRXXGXCEQ-KGYLQXTDSA-N 1 2 321.343 1.428 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CC[C@](O)(C(F)(F)F)C1 ZINC000081068543 353649666 /nfs/dbraw/zinc/64/96/66/353649666.db2.gz XLHMXHRXXGXCEQ-KGYLQXTDSA-N 1 2 321.343 1.428 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H](O)c1ccccc1F ZINC000081531753 353681027 /nfs/dbraw/zinc/68/10/27/353681027.db2.gz XRFPLZXQJQFVSR-QGZVFWFLSA-N 1 2 321.396 1.407 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000397628897 354654551 /nfs/dbraw/zinc/65/45/51/354654551.db2.gz UXMRKBVAECXZDI-CQSZACIVSA-N 1 2 317.414 1.958 20 30 DDEDLO N#CC[C@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000397628897 354654555 /nfs/dbraw/zinc/65/45/55/354654555.db2.gz UXMRKBVAECXZDI-CQSZACIVSA-N 1 2 317.414 1.958 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C[C@H]1CSc2ccccc2O1 ZINC000579360150 354718306 /nfs/dbraw/zinc/71/83/06/354718306.db2.gz COOLVRLHFPRRBD-BLLLJJGKSA-N 1 2 319.430 1.890 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)N1CC(C#N)C1 ZINC000590124781 355052114 /nfs/dbraw/zinc/05/21/14/355052114.db2.gz CYPHKXQHPTVKPH-UHFFFAOYSA-N 1 2 301.350 1.214 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(n2cc(C(=O)OCC)cn2)CC1 ZINC000590747429 355162327 /nfs/dbraw/zinc/16/23/27/355162327.db2.gz UYDJGHNTDLGRRJ-CQSZACIVSA-N 1 2 321.377 1.424 20 30 DDEDLO C=CCOCC(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(OC)c1 ZINC000591118556 355250485 /nfs/dbraw/zinc/25/04/85/355250485.db2.gz GEPWBGBKZHFMHY-MRXNPFEDSA-N 1 2 315.373 1.837 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC000591648024 355351166 /nfs/dbraw/zinc/35/11/66/355351166.db2.gz ZIOSWEQARZKHBW-MRXNPFEDSA-N 1 2 305.353 1.424 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC000591648024 355351168 /nfs/dbraw/zinc/35/11/68/355351168.db2.gz ZIOSWEQARZKHBW-MRXNPFEDSA-N 1 2 305.353 1.424 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)[N@@H+]2Cc2ccncc2C#N)O1 ZINC000592119898 355505357 /nfs/dbraw/zinc/50/53/57/355505357.db2.gz BGVJHTXVJVWTIF-MWNCTCPHSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)[N@H+]2Cc2ccncc2C#N)O1 ZINC000592119898 355505360 /nfs/dbraw/zinc/50/53/60/355505360.db2.gz BGVJHTXVJVWTIF-MWNCTCPHSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](O)C[N@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000592208702 355539447 /nfs/dbraw/zinc/53/94/47/355539447.db2.gz UVOFSUYWAWHNRK-GXTWGEPZSA-N 1 2 308.765 1.567 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](O)C[N@@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000592208702 355539449 /nfs/dbraw/zinc/53/94/49/355539449.db2.gz UVOFSUYWAWHNRK-GXTWGEPZSA-N 1 2 308.765 1.567 20 30 DDEDLO CC(C)N(C(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1 ZINC000592146349 355511594 /nfs/dbraw/zinc/51/15/94/355511594.db2.gz BWCHXKIXCPIYMD-KRWDZBQOSA-N 1 2 301.390 1.778 20 30 DDEDLO CC(C)N(C(=O)C[N@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1 ZINC000592146349 355511600 /nfs/dbraw/zinc/51/16/00/355511600.db2.gz BWCHXKIXCPIYMD-KRWDZBQOSA-N 1 2 301.390 1.778 20 30 DDEDLO CC(C)n1nccc1NC(=O)[C@@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146792 355512147 /nfs/dbraw/zinc/51/21/47/355512147.db2.gz KLVBCQHFQSDCPD-DOMZBBRYSA-N 1 2 305.382 1.141 20 30 DDEDLO CC(C)n1nccc1NC(=O)[C@@H](C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146792 355512149 /nfs/dbraw/zinc/51/21/49/355512149.db2.gz KLVBCQHFQSDCPD-DOMZBBRYSA-N 1 2 305.382 1.141 20 30 DDEDLO C[C@H](NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1)c1ccc(F)cc1 ZINC000592147281 355512981 /nfs/dbraw/zinc/51/29/81/355512981.db2.gz NEYPBYGMPNYILF-BLLLJJGKSA-N 1 2 305.353 1.353 20 30 DDEDLO C[C@H](NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1)c1ccc(F)cc1 ZINC000592147281 355512984 /nfs/dbraw/zinc/51/29/84/355512984.db2.gz NEYPBYGMPNYILF-BLLLJJGKSA-N 1 2 305.353 1.353 20 30 DDEDLO C[C@H](NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1)c1ccccc1Cl ZINC000592147914 355514074 /nfs/dbraw/zinc/51/40/74/355514074.db2.gz PMUNGKZMBOJPRD-BLLLJJGKSA-N 1 2 321.808 1.868 20 30 DDEDLO C[C@H](NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1)c1ccccc1Cl ZINC000592147914 355514077 /nfs/dbraw/zinc/51/40/77/355514077.db2.gz PMUNGKZMBOJPRD-BLLLJJGKSA-N 1 2 321.808 1.868 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCc2cccc(C(=O)OC)c2C1 ZINC000592164030 355523129 /nfs/dbraw/zinc/52/31/29/355523129.db2.gz XMIJBZDORHHQPU-LBPRGKRZSA-N 1 2 302.374 1.522 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCc2cccc(C(=O)OC)c2C1 ZINC000592164030 355523131 /nfs/dbraw/zinc/52/31/31/355523131.db2.gz XMIJBZDORHHQPU-LBPRGKRZSA-N 1 2 302.374 1.522 20 30 DDEDLO N#Cc1ccc2c(c1)CN(c1cc(N3CCOCC3)[nH+]cn1)C2 ZINC000594602335 356262062 /nfs/dbraw/zinc/26/20/62/356262062.db2.gz DXDZGPGBKGEUPM-UHFFFAOYSA-N 1 2 307.357 1.705 20 30 DDEDLO N#Cc1ccc2c(c1)CN(c1cc(N3CCOCC3)nc[nH+]1)C2 ZINC000594602335 356262066 /nfs/dbraw/zinc/26/20/66/356262066.db2.gz DXDZGPGBKGEUPM-UHFFFAOYSA-N 1 2 307.357 1.705 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)NCC1(C#N)CCCCC1 ZINC000595443238 356494769 /nfs/dbraw/zinc/49/47/69/356494769.db2.gz DOJGPTPZCZTQDO-AWEZNQCLSA-N 1 2 308.426 1.480 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@H]1CCCO1 ZINC000081513073 192276296 /nfs/dbraw/zinc/27/62/96/192276296.db2.gz LQERDBHNRQVBAV-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@H]1CCCO1 ZINC000081513073 192276299 /nfs/dbraw/zinc/27/62/99/192276299.db2.gz LQERDBHNRQVBAV-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2ncns2)CC1 ZINC000595516831 356528840 /nfs/dbraw/zinc/52/88/40/356528840.db2.gz UYOCTISCMVFPCV-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO Cc1cc(=O)[nH]c(C(C)(C)[NH2+]CC(=O)N[C@](C)(C#N)C(C)C)n1 ZINC000595517263 356528962 /nfs/dbraw/zinc/52/89/62/356528962.db2.gz DHXUKGQGPSNDKD-MRXNPFEDSA-N 1 2 319.409 1.370 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+](C)Cc1n[nH]c(=O)o1 ZINC000595547401 356543026 /nfs/dbraw/zinc/54/30/26/356543026.db2.gz NQPZZRZPFRGNFG-UHFFFAOYSA-N 1 2 304.306 1.166 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+](C)Cc1n[nH]c(=O)o1 ZINC000595547401 356543029 /nfs/dbraw/zinc/54/30/29/356543029.db2.gz NQPZZRZPFRGNFG-UHFFFAOYSA-N 1 2 304.306 1.166 20 30 DDEDLO O=C(C#Cc1ccccc1)NCc1ccnc(-n2cc[nH+]c2)c1 ZINC000081597409 192298832 /nfs/dbraw/zinc/29/88/32/192298832.db2.gz DOWXUJFMCYGEPD-UHFFFAOYSA-N 1 2 302.337 1.935 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)N(C)Cc2c[nH+]c[nH]2)c(C#N)c1C ZINC000595594210 356567483 /nfs/dbraw/zinc/56/74/83/356567483.db2.gz IQYQBBXKCRLZCV-SNVBAGLBSA-N 1 2 301.350 1.950 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)N(C)Cc2c[nH]c[nH+]2)c(C#N)c1C ZINC000595594210 356567488 /nfs/dbraw/zinc/56/74/88/356567488.db2.gz IQYQBBXKCRLZCV-SNVBAGLBSA-N 1 2 301.350 1.950 20 30 DDEDLO CN(C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2cc(F)ccc2C#N)C1 ZINC000595826321 356665834 /nfs/dbraw/zinc/66/58/34/356665834.db2.gz MTVABIJGEGFFJV-OAHLLOKOSA-N 1 2 304.369 1.885 20 30 DDEDLO CN(C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cc(F)ccc2C#N)C1 ZINC000595826321 356665837 /nfs/dbraw/zinc/66/58/37/356665837.db2.gz MTVABIJGEGFFJV-OAHLLOKOSA-N 1 2 304.369 1.885 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2nc3c(cc2C#N)CCC3)[C@@H](C)CO1 ZINC000596433324 356879091 /nfs/dbraw/zinc/87/90/91/356879091.db2.gz UDABXBVGSSZADR-QWHCGFSZSA-N 1 2 300.406 1.963 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2nc3c(cc2C#N)CCC3)[C@@H](C)CO1 ZINC000596433324 356879094 /nfs/dbraw/zinc/87/90/94/356879094.db2.gz UDABXBVGSSZADR-QWHCGFSZSA-N 1 2 300.406 1.963 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1ccc(CC#N)cc1 ZINC000596659530 356945074 /nfs/dbraw/zinc/94/50/74/356945074.db2.gz LEVPMAQRNJCQJB-KGLIPLIRSA-N 1 2 316.405 1.983 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1ccc(CC#N)cc1 ZINC000596659530 356945079 /nfs/dbraw/zinc/94/50/79/356945079.db2.gz LEVPMAQRNJCQJB-KGLIPLIRSA-N 1 2 316.405 1.983 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)CC1 ZINC000597050614 357049119 /nfs/dbraw/zinc/04/91/19/357049119.db2.gz XLQLXZBKRGFGNB-RBSFLKMASA-N 1 2 315.421 1.216 20 30 DDEDLO N#Cc1c(F)cc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cc1F ZINC000597375637 357154936 /nfs/dbraw/zinc/15/49/36/357154936.db2.gz GVRJVENTUCMRBM-GFCCVEGCSA-N 1 2 321.327 1.383 20 30 DDEDLO N#Cc1csc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)c1 ZINC000597706453 357293964 /nfs/dbraw/zinc/29/39/64/357293964.db2.gz GIYXUYFOQACOPV-ZDUSSCGKSA-N 1 2 305.403 1.557 20 30 DDEDLO N#CCc1ccc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)cc1 ZINC000597708312 357295724 /nfs/dbraw/zinc/29/57/24/357295724.db2.gz YFQQGBIOMQGLQS-KRWDZBQOSA-N 1 2 313.401 1.689 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCN(c2ccsc2C#N)CC1 ZINC000597939586 357390875 /nfs/dbraw/zinc/39/08/75/357390875.db2.gz NESCLNZXTXQXPB-UHFFFAOYSA-N 1 2 315.402 1.245 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@@H+]1CCNC(=O)[C@H]1c1cccs1 ZINC000598598754 357648876 /nfs/dbraw/zinc/64/88/76/357648876.db2.gz UUNXGUUWYGTHNK-DGCLKSJQSA-N 1 2 307.419 1.522 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@H+]1CCNC(=O)[C@H]1c1cccs1 ZINC000598598754 357648882 /nfs/dbraw/zinc/64/88/82/357648882.db2.gz UUNXGUUWYGTHNK-DGCLKSJQSA-N 1 2 307.419 1.522 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)N[C@H]3CC=CCC3)CC2)cn1 ZINC000329641379 223007204 /nfs/dbraw/zinc/00/72/04/223007204.db2.gz ZTDFBPOCPXTRLV-HNNXBMFYSA-N 1 2 303.410 1.560 20 30 DDEDLO C[C@@H]1c2nncn2CC[N@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000276778872 213103832 /nfs/dbraw/zinc/10/38/32/213103832.db2.gz ITLCQWXJXZJMHX-GFCCVEGCSA-N 1 2 310.361 1.555 20 30 DDEDLO C[C@@H]1c2nncn2CC[N@@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000276778872 213103836 /nfs/dbraw/zinc/10/38/36/213103836.db2.gz ITLCQWXJXZJMHX-GFCCVEGCSA-N 1 2 310.361 1.555 20 30 DDEDLO CC(C)[C@H](Oc1ccccc1C#N)C(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000598944719 357768605 /nfs/dbraw/zinc/76/86/05/357768605.db2.gz UDFSNCZNXAGYKR-YOEHRIQHSA-N 1 2 324.384 1.899 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)N2CCc3c(CC#N)cccc3C2)c1 ZINC000599073917 357808320 /nfs/dbraw/zinc/80/83/20/357808320.db2.gz MOUZJQMCKSBXFO-UHFFFAOYSA-N 1 2 323.400 1.797 20 30 DDEDLO CC(C)OC(=O)[C@@H](C)[N@H+](C)C[C@@H](O)COc1ccccc1C#N ZINC000599311218 357887847 /nfs/dbraw/zinc/88/78/47/357887847.db2.gz HRLREOOJCKKOTG-UKRRQHHQSA-N 1 2 320.389 1.570 20 30 DDEDLO CC(C)OC(=O)[C@@H](C)[N@@H+](C)C[C@@H](O)COc1ccccc1C#N ZINC000599311218 357887852 /nfs/dbraw/zinc/88/78/52/357887852.db2.gz HRLREOOJCKKOTG-UKRRQHHQSA-N 1 2 320.389 1.570 20 30 DDEDLO COC(=O)C1([NH2+]C[C@H](O)COc2ccccc2C#N)CCCC1 ZINC000599342686 357901897 /nfs/dbraw/zinc/90/18/97/357901897.db2.gz KVCZMOINJQVVIW-AWEZNQCLSA-N 1 2 318.373 1.373 20 30 DDEDLO COC(=O)[C@]1([NH2+]CCC(=O)N(C)CCC#N)CCCC(C)(C)C1 ZINC000599560265 357973937 /nfs/dbraw/zinc/97/39/37/357973937.db2.gz ZXCCEPFEIIIIFZ-KRWDZBQOSA-N 1 2 323.437 1.850 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000599642868 358003849 /nfs/dbraw/zinc/00/38/49/358003849.db2.gz WVQVUAFHDDUVMH-GXTWGEPZSA-N 1 2 317.393 1.327 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000599642868 358003850 /nfs/dbraw/zinc/00/38/50/358003850.db2.gz WVQVUAFHDDUVMH-GXTWGEPZSA-N 1 2 317.393 1.327 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@@H+](C)[C@H]2C[C@@H](C(N)=O)C2)c1C#N ZINC000599643614 358003995 /nfs/dbraw/zinc/00/39/95/358003995.db2.gz ALFABSFGTBCYPM-OTVXOJSOSA-N 1 2 323.400 1.748 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@H+](C)[C@H]2C[C@@H](C(N)=O)C2)c1C#N ZINC000599643614 358004000 /nfs/dbraw/zinc/00/40/00/358004000.db2.gz ALFABSFGTBCYPM-OTVXOJSOSA-N 1 2 323.400 1.748 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@@H+]1CCO[C@H](CCF)C1 ZINC000599634386 357999814 /nfs/dbraw/zinc/99/98/14/357999814.db2.gz JOZAUUNZVYGWPT-HUUCEWRRSA-N 1 2 308.353 1.358 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@H+]1CCO[C@H](CCF)C1 ZINC000599634386 357999817 /nfs/dbraw/zinc/99/98/17/357999817.db2.gz JOZAUUNZVYGWPT-HUUCEWRRSA-N 1 2 308.353 1.358 20 30 DDEDLO Cc1ncc(C[NH+]2CCN(c3c(C#N)c(C)nn3C)CC2)o1 ZINC000600152448 358138987 /nfs/dbraw/zinc/13/89/87/358138987.db2.gz UICCUOUSGKRJRZ-UHFFFAOYSA-N 1 2 300.366 1.219 20 30 DDEDLO C[C@H](C#N)C[NH2+]Cc1c[nH]nc1CN1C(=O)c2ccccc2C1=O ZINC000600146532 358137595 /nfs/dbraw/zinc/13/75/95/358137595.db2.gz YEVKKTXWDSYHRG-LLVKDONJSA-N 1 2 323.356 1.455 20 30 DDEDLO Cc1c(C#N)c(=O)n(Cc2[nH+]ccn2C(F)F)c(=O)n1C1CC1 ZINC000601093179 358400246 /nfs/dbraw/zinc/40/02/46/358400246.db2.gz WOOHDIBZZXHRMC-UHFFFAOYSA-N 1 2 321.287 1.165 20 30 DDEDLO CC(C)(CNS(=O)(=O)c1ccc(F)c(C#N)c1)n1cc[nH+]c1 ZINC000601526953 358589143 /nfs/dbraw/zinc/58/91/43/358589143.db2.gz POCCPGVMOFJYQC-UHFFFAOYSA-N 1 2 322.365 1.607 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)c2cc(-n3cc[nH+]c3)ccc2O)C1 ZINC000602140697 358841324 /nfs/dbraw/zinc/84/13/24/358841324.db2.gz LVDYKXREKYIGGV-HNNXBMFYSA-N 1 2 300.293 1.656 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC000602197664 358878756 /nfs/dbraw/zinc/87/87/56/358878756.db2.gz HIZDRXSBCHVHEF-UHFFFAOYSA-N 1 2 323.400 1.292 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@H]1COc2cc(F)ccc2C1 ZINC000602333144 358934706 /nfs/dbraw/zinc/93/47/06/358934706.db2.gz LSIHKPHYOHOTJC-WBMJQRKESA-N 1 2 305.353 1.337 20 30 DDEDLO C[N@H+](Cc1ccc(Cl)c(S(N)(=O)=O)c1)CC(C)(C)C#N ZINC000602674068 359117817 /nfs/dbraw/zinc/11/78/17/359117817.db2.gz GVOSJJFCDCRYSW-UHFFFAOYSA-N 1 2 315.826 1.969 20 30 DDEDLO C[N@@H+](Cc1ccc(Cl)c(S(N)(=O)=O)c1)CC(C)(C)C#N ZINC000602674068 359117819 /nfs/dbraw/zinc/11/78/19/359117819.db2.gz GVOSJJFCDCRYSW-UHFFFAOYSA-N 1 2 315.826 1.969 20 30 DDEDLO N#CCC1(C[NH+]2CCN(CC(=O)N3CCCCC3)CC2)CC1 ZINC000602760033 359171432 /nfs/dbraw/zinc/17/14/32/359171432.db2.gz VDBDBGLCVBVIFO-UHFFFAOYSA-N 1 2 304.438 1.310 20 30 DDEDLO N#CCC1(CN2CC[NH+](CC(=O)N3CCCCC3)CC2)CC1 ZINC000602760033 359171434 /nfs/dbraw/zinc/17/14/34/359171434.db2.gz VDBDBGLCVBVIFO-UHFFFAOYSA-N 1 2 304.438 1.310 20 30 DDEDLO C[C@@H]1C[NH+]([C@@H]2CCN(CC(F)(F)F)C2=O)C[C@@H](C)N1CC#N ZINC000602855533 359241028 /nfs/dbraw/zinc/24/10/28/359241028.db2.gz HVQWMHBUBQUWCG-IJLUTSLNSA-N 1 2 318.343 1.068 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](C)Cc1c(C)nn(CC)c1C ZINC000602924037 359296042 /nfs/dbraw/zinc/29/60/42/359296042.db2.gz AHKQFBWWYCAFHS-CYBMUJFWSA-N 1 2 319.453 1.960 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)Cc1c(C)nn(CC)c1C ZINC000602924037 359296046 /nfs/dbraw/zinc/29/60/46/359296046.db2.gz AHKQFBWWYCAFHS-CYBMUJFWSA-N 1 2 319.453 1.960 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@@H+]1Cc1ccc(C#N)cc1OC ZINC000602934711 359304764 /nfs/dbraw/zinc/30/47/64/359304764.db2.gz HVGSCIXURMGYIF-YOEHRIQHSA-N 1 2 318.373 1.719 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@H+]1Cc1ccc(C#N)cc1OC ZINC000602934711 359304767 /nfs/dbraw/zinc/30/47/67/359304767.db2.gz HVGSCIXURMGYIF-YOEHRIQHSA-N 1 2 318.373 1.719 20 30 DDEDLO N#Cc1cc(NC(=O)NCCc2cn3c([nH+]2)CCCC3)ccn1 ZINC000603139107 359427752 /nfs/dbraw/zinc/42/77/52/359427752.db2.gz NHLKJDIOLDSJBU-UHFFFAOYSA-N 1 2 310.361 1.850 20 30 DDEDLO COCC[N@H+](C)Cc1cn(Cc2ccc(OC)c(C#N)c2)nn1 ZINC000603229782 359499445 /nfs/dbraw/zinc/49/94/45/359499445.db2.gz OPHPPLABLHLPEA-UHFFFAOYSA-N 1 2 315.377 1.285 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(Cc2ccc(OC)c(C#N)c2)nn1 ZINC000603229782 359499450 /nfs/dbraw/zinc/49/94/50/359499450.db2.gz OPHPPLABLHLPEA-UHFFFAOYSA-N 1 2 315.377 1.285 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc([N+](=O)[O-])c(OC)c2)nn1 ZINC000603244265 359511261 /nfs/dbraw/zinc/51/12/61/359511261.db2.gz QZRMVZUMOFWSSF-UHFFFAOYSA-N 1 2 315.333 1.356 20 30 DDEDLO C[C@H](C#N)CN(C)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603274321 359533764 /nfs/dbraw/zinc/53/37/64/359533764.db2.gz UVPPAJBQGNBOFJ-GFCCVEGCSA-N 1 2 307.419 1.455 20 30 DDEDLO C[C@H](C#N)CN(C)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603274321 359533770 /nfs/dbraw/zinc/53/37/70/359533770.db2.gz UVPPAJBQGNBOFJ-GFCCVEGCSA-N 1 2 307.419 1.455 20 30 DDEDLO CCC(CC)CN(CCC#N)S(=O)(=O)CCn1cc[nH+]c1 ZINC000603282751 359539881 /nfs/dbraw/zinc/53/98/81/359539881.db2.gz YZTOZPKQGDXZNA-UHFFFAOYSA-N 1 2 312.439 1.865 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)NCC2(C#N)CCC2)C1 ZINC000603359098 359591002 /nfs/dbraw/zinc/59/10/02/359591002.db2.gz NFERVLNVYRGUSP-UHFFFAOYSA-N 1 2 319.430 1.647 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)NCC2(C#N)CCC2)C1 ZINC000603359098 359591005 /nfs/dbraw/zinc/59/10/05/359591005.db2.gz NFERVLNVYRGUSP-UHFFFAOYSA-N 1 2 319.430 1.647 20 30 DDEDLO CC(C)CN1CC[N@H+](C[C@@H](O)c2ccc(C#N)cc2)CC1=O ZINC000187074763 200057494 /nfs/dbraw/zinc/05/74/94/200057494.db2.gz BYZYOANSXNIQHW-MRXNPFEDSA-N 1 2 301.390 1.392 20 30 DDEDLO CC(C)CN1CC[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)CC1=O ZINC000187074763 200057496 /nfs/dbraw/zinc/05/74/96/200057496.db2.gz BYZYOANSXNIQHW-MRXNPFEDSA-N 1 2 301.390 1.392 20 30 DDEDLO CCCN1CC[N@H+](C[C@@H](O)c2ccc(C#N)cc2)C(C)(C)C1=O ZINC000187863911 200167999 /nfs/dbraw/zinc/16/79/99/200167999.db2.gz UWXDZDGRPKWYPD-MRXNPFEDSA-N 1 2 315.417 1.924 20 30 DDEDLO CCCN1CC[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)C(C)(C)C1=O ZINC000187863911 200168001 /nfs/dbraw/zinc/16/80/01/200168001.db2.gz UWXDZDGRPKWYPD-MRXNPFEDSA-N 1 2 315.417 1.924 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N(C)CC[N@@H+]1CCOC(C)(C)C1 ZINC000281074704 216063243 /nfs/dbraw/zinc/06/32/43/216063243.db2.gz OOBCZNGQEYCCMP-UHFFFAOYSA-N 1 2 305.378 1.643 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N(C)CC[N@H+]1CCOC(C)(C)C1 ZINC000281074704 216063245 /nfs/dbraw/zinc/06/32/45/216063245.db2.gz OOBCZNGQEYCCMP-UHFFFAOYSA-N 1 2 305.378 1.643 20 30 DDEDLO [O-]C(NC[C@@H]1CCc2[nH+]ccn2C1)=[NH+][C@@H]1CCc2c[nH]nc2C1 ZINC000329926710 223048338 /nfs/dbraw/zinc/04/83/38/223048338.db2.gz WKBVXQHXDPQSBQ-WCQYABFASA-N 1 2 314.393 1.230 20 30 DDEDLO O=C(NC[C@@H]1CCc2[nH+]ccn2C1)N[C@@H]1CCc2c[nH]nc2C1 ZINC000329926710 223048341 /nfs/dbraw/zinc/04/83/41/223048341.db2.gz WKBVXQHXDPQSBQ-WCQYABFASA-N 1 2 314.393 1.230 20 30 DDEDLO [O-]C(N[C@@H]1CCc2c[nH]nc2C1)=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000329926710 223048344 /nfs/dbraw/zinc/04/83/44/223048344.db2.gz WKBVXQHXDPQSBQ-WCQYABFASA-N 1 2 314.393 1.230 20 30 DDEDLO N#CCN1CCC(NC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)CC1 ZINC000609340330 360297472 /nfs/dbraw/zinc/29/74/72/360297472.db2.gz PFPXIPSFPOPNGU-OAHLLOKOSA-N 1 2 316.409 1.218 20 30 DDEDLO CC[C@@H]1CCCCN1C(=O)C[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609486607 360313534 /nfs/dbraw/zinc/31/35/34/360313534.db2.gz NOJRCBXROVGCIU-SJORKVTESA-N 1 2 320.481 1.943 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)N(C(C)C)C(C)C)CC1 ZINC000609485945 360313754 /nfs/dbraw/zinc/31/37/54/360313754.db2.gz ANZGGYDPQMFNKM-MRXNPFEDSA-N 1 2 308.470 1.798 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)[C@H]1CCO[C@@H]1c1cccnc1 ZINC000330058527 223069195 /nfs/dbraw/zinc/06/91/95/223069195.db2.gz ZCPDXBPNVSLAFP-VNQPRFMTSA-N 1 2 319.405 1.836 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)[C@H]1CCO[C@@H]1c1cccnc1 ZINC000330058527 223069199 /nfs/dbraw/zinc/06/91/99/223069199.db2.gz ZCPDXBPNVSLAFP-VNQPRFMTSA-N 1 2 319.405 1.836 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)NCc1ccc(C#N)cc1F)CC2 ZINC000611847705 360839983 /nfs/dbraw/zinc/83/99/83/360839983.db2.gz GFTCTNMRKQJZNK-UHFFFAOYSA-N 1 2 313.336 1.928 20 30 DDEDLO Cc1cc([C@H](C)NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)c(C)o1 ZINC000330546564 223126751 /nfs/dbraw/zinc/12/67/51/223126751.db2.gz ACFDOEGPZQTLJE-GXTWGEPZSA-N 1 2 308.426 1.707 20 30 DDEDLO Cc1cc([C@H](C)NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)c(C)o1 ZINC000330546564 223126755 /nfs/dbraw/zinc/12/67/55/223126755.db2.gz ACFDOEGPZQTLJE-GXTWGEPZSA-N 1 2 308.426 1.707 20 30 DDEDLO C=C[C@](C)(O)C(=O)NCC[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000613832889 361594299 /nfs/dbraw/zinc/59/42/99/361594299.db2.gz NRKCXCJXOOIJDA-INIZCTEOSA-N 1 2 308.809 1.751 20 30 DDEDLO C=C[C@](C)(O)C(=O)NCC[N@H+]1CCc2c(Cl)cccc2C1 ZINC000613832889 361594307 /nfs/dbraw/zinc/59/43/07/361594307.db2.gz NRKCXCJXOOIJDA-INIZCTEOSA-N 1 2 308.809 1.751 20 30 DDEDLO C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000619494482 364036641 /nfs/dbraw/zinc/03/66/41/364036641.db2.gz CXFIUROUTKCPKM-STQMWFEESA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000619494482 364036644 /nfs/dbraw/zinc/03/66/44/364036644.db2.gz CXFIUROUTKCPKM-STQMWFEESA-N 1 2 307.419 1.466 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H](n3cc[nH+]c3)C2)s1 ZINC000619547493 364055949 /nfs/dbraw/zinc/05/59/49/364055949.db2.gz LHWQXYBIXMEUPF-NSHDSACASA-N 1 2 322.415 1.842 20 30 DDEDLO C=C[C@@H]([NH2+]C1CCN(C(=O)c2ccccc2)CC1)C(=O)OC ZINC000619699484 364119031 /nfs/dbraw/zinc/11/90/31/364119031.db2.gz BQBIDVBRGKTCJP-OAHLLOKOSA-N 1 2 302.374 1.608 20 30 DDEDLO C=C[C@H]([NH2+]CCCCn1cc(Br)cn1)C(=O)OC ZINC000619704358 364122428 /nfs/dbraw/zinc/12/24/28/364122428.db2.gz GSIGDRXSSIWKCX-NSHDSACASA-N 1 2 316.199 1.743 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)COC1CCCC1 ZINC000343063210 223316541 /nfs/dbraw/zinc/31/65/41/223316541.db2.gz GQBAMLFLLHLTOV-UHFFFAOYSA-N 1 2 309.410 1.020 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCOC4(CCOCC4)C3)n2c1 ZINC000091895113 193124204 /nfs/dbraw/zinc/12/42/04/193124204.db2.gz BJGYKLYXWAOQLR-UHFFFAOYSA-N 1 2 312.373 1.587 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCOC4(CCOCC4)C3)n2c1 ZINC000091895113 193124206 /nfs/dbraw/zinc/12/42/06/193124206.db2.gz BJGYKLYXWAOQLR-UHFFFAOYSA-N 1 2 312.373 1.587 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCCN(CC(F)(F)F)CC2)CCC1 ZINC000346840457 223361925 /nfs/dbraw/zinc/36/19/25/223361925.db2.gz SRJZPEJOYVSCAV-UHFFFAOYSA-N 1 2 318.343 1.119 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCCN(CC(F)(F)F)CC2)CCC1 ZINC000346840457 223361927 /nfs/dbraw/zinc/36/19/27/223361927.db2.gz SRJZPEJOYVSCAV-UHFFFAOYSA-N 1 2 318.343 1.119 20 30 DDEDLO COC(=O)[C@@H]1[C@@H](O)CCC[N@@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000249778793 284201725 /nfs/dbraw/zinc/20/17/25/284201725.db2.gz KXSLHMNHAYVTDG-RCBQFDQVSA-N 1 2 318.373 1.476 20 30 DDEDLO COC(=O)[C@@H]1[C@@H](O)CCC[N@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000249778793 284201726 /nfs/dbraw/zinc/20/17/26/284201726.db2.gz KXSLHMNHAYVTDG-RCBQFDQVSA-N 1 2 318.373 1.476 20 30 DDEDLO C#CCCNC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000264952204 204299254 /nfs/dbraw/zinc/29/92/54/204299254.db2.gz KZRRKSRVAOHNTC-INIZCTEOSA-N 1 2 301.390 1.382 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CC(=O)N3CCCCC3)CC2)cn1 ZINC000267383670 206027560 /nfs/dbraw/zinc/02/75/60/206027560.db2.gz MADAJMKKSHZBOB-UHFFFAOYSA-N 1 2 313.405 1.088 20 30 DDEDLO C[C@H]1C[C@H](NS(=O)(=O)c2ccc(F)cc2C#N)c2[nH+]ccn21 ZINC000579555793 422786722 /nfs/dbraw/zinc/78/67/22/422786722.db2.gz JQTKRBQJTRDHEE-CABZTGNLSA-N 1 2 320.349 1.878 20 30 DDEDLO CC(C)(C(=O)N1CC[NH+](CCO)CC1)c1cc(F)cc(C#N)c1 ZINC000285224904 218340859 /nfs/dbraw/zinc/34/08/59/218340859.db2.gz QHKDTMGFYBCOGM-UHFFFAOYSA-N 1 2 319.380 1.111 20 30 DDEDLO CO[C@H]1C[C@@H]([N@H+](C)CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000285296965 218370584 /nfs/dbraw/zinc/37/05/84/218370584.db2.gz GXFYKBVZGLMJBT-OKILXGFUSA-N 1 2 308.403 1.441 20 30 DDEDLO CO[C@H]1C[C@@H]([N@@H+](C)CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000285296965 218370585 /nfs/dbraw/zinc/37/05/85/218370585.db2.gz GXFYKBVZGLMJBT-OKILXGFUSA-N 1 2 308.403 1.441 20 30 DDEDLO CC(C)(C)C[N@H+](CCC#N)CC(=O)NC(=O)NCc1ccco1 ZINC000109812843 194290401 /nfs/dbraw/zinc/29/04/01/194290401.db2.gz POVTZCPQVKFODZ-UHFFFAOYSA-N 1 2 320.393 1.867 20 30 DDEDLO CC(C)(C)C[N@@H+](CCC#N)CC(=O)NC(=O)NCc1ccco1 ZINC000109812843 194290402 /nfs/dbraw/zinc/29/04/02/194290402.db2.gz POVTZCPQVKFODZ-UHFFFAOYSA-N 1 2 320.393 1.867 20 30 DDEDLO N#CCCCCS(=O)(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000159324426 197345862 /nfs/dbraw/zinc/34/58/62/197345862.db2.gz VESCQEGETFLBNV-CYBMUJFWSA-N 1 2 308.407 1.274 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2csc(NC3CC3)n2)CC1 ZINC000269218314 207336625 /nfs/dbraw/zinc/33/66/25/207336625.db2.gz UJBODWOYNUVACI-UHFFFAOYSA-N 1 2 304.419 1.499 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C[C@@H](C#N)CCC#N)CC1 ZINC000157926091 197227424 /nfs/dbraw/zinc/22/74/24/197227424.db2.gz PUHBNUAIVLTADH-OAHLLOKOSA-N 1 2 301.394 1.853 20 30 DDEDLO C[C@H]1C[NH+]=C(N2CCN(C(=O)c3ccc(C#N)[nH]3)CC2)S1 ZINC000156276768 197100871 /nfs/dbraw/zinc/10/08/71/197100871.db2.gz ALWKMEMRIRGRII-JTQLQIEISA-N 1 2 303.391 1.135 20 30 DDEDLO C=CCSCCNC(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000271618891 209064767 /nfs/dbraw/zinc/06/47/67/209064767.db2.gz IARHMDPIONSUGR-UHFFFAOYSA-N 1 2 318.402 1.617 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C1CCN(c2ccc(C#N)cc2)CC1)[C@@H](C)O ZINC000289753657 221202014 /nfs/dbraw/zinc/20/20/14/221202014.db2.gz QAKCHRCEOPLCEZ-WBMJQRKESA-N 1 2 317.389 1.039 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)c2csnc2C)C1 ZINC000330604297 533503965 /nfs/dbraw/zinc/50/39/65/533503965.db2.gz LIPOYKXDSIAYOW-NSHDSACASA-N 1 2 311.407 1.641 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNC(=O)c2csnc2C)C1 ZINC000330604297 533503969 /nfs/dbraw/zinc/50/39/69/533503969.db2.gz LIPOYKXDSIAYOW-NSHDSACASA-N 1 2 311.407 1.641 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(Cc2csc(C)n2)CC1 ZINC000073368616 406890442 /nfs/dbraw/zinc/89/04/42/406890442.db2.gz BACIYYJQFZBQPT-LBPRGKRZSA-N 1 2 308.451 1.260 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](Cc3ccncc3)CC2)c1 ZINC000028692787 406918211 /nfs/dbraw/zinc/91/82/11/406918211.db2.gz KCFOTRFEQFCYHZ-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(C(=O)NC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000066874768 407262896 /nfs/dbraw/zinc/26/28/96/407262896.db2.gz HTPCHJUPQNUPJN-OAHLLOKOSA-N 1 2 322.430 1.221 20 30 DDEDLO C=CC[N@H+](Cc1ccc(C(=O)NC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000066874768 407262898 /nfs/dbraw/zinc/26/28/98/407262898.db2.gz HTPCHJUPQNUPJN-OAHLLOKOSA-N 1 2 322.430 1.221 20 30 DDEDLO C=CCN(C(=O)C[NH+]1CCN(c2ccccn2)CC1)C1CC1 ZINC000271404206 407670259 /nfs/dbraw/zinc/67/02/59/407670259.db2.gz NGEQIXDAQPEPCD-UHFFFAOYSA-N 1 2 300.406 1.381 20 30 DDEDLO CC(C)N1CC[C@H]([NH+]2CCN(c3cc(C#N)ccn3)CC2)C1=O ZINC000266982112 407701580 /nfs/dbraw/zinc/70/15/80/407701580.db2.gz APBOVKWLVUNQNC-HNNXBMFYSA-N 1 2 313.405 1.085 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)C[N@H+]1CCOc1cccc(C#N)c1 ZINC000116282556 407739926 /nfs/dbraw/zinc/73/99/26/407739926.db2.gz CBDOOMZSERKQAD-CABCVRRESA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)C[N@@H+]1CCOc1cccc(C#N)c1 ZINC000116282556 407739928 /nfs/dbraw/zinc/73/99/28/407739928.db2.gz CBDOOMZSERKQAD-CABCVRRESA-N 1 2 304.346 1.199 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(Cc2ccc(Cl)nc2)CC1 ZINC000116306721 407741277 /nfs/dbraw/zinc/74/12/77/407741277.db2.gz WUGYPIQTTYIMHF-ZDUSSCGKSA-N 1 2 322.840 1.543 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCCN(CC(F)F)CC2)cc1 ZINC000180563392 407901777 /nfs/dbraw/zinc/90/17/77/407901777.db2.gz RCKPRRKMHBZVEB-UHFFFAOYSA-N 1 2 322.359 1.770 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCCN(CC(F)F)CC2)cc1 ZINC000180563392 407901785 /nfs/dbraw/zinc/90/17/85/407901785.db2.gz RCKPRRKMHBZVEB-UHFFFAOYSA-N 1 2 322.359 1.770 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000134975161 407959429 /nfs/dbraw/zinc/95/94/29/407959429.db2.gz SYPOOONUUVJMMN-HZPDHXFCSA-N 1 2 312.479 1.913 20 30 DDEDLO C[C@H](C(=O)N(C)C)[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000153860553 407921448 /nfs/dbraw/zinc/92/14/48/407921448.db2.gz YRFQBFNEZJXVLN-GDBMZVCRSA-N 1 2 300.406 1.346 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](CC(N)=O)[C@H](C)c1ccccc1 ZINC000268163748 407922573 /nfs/dbraw/zinc/92/25/73/407922573.db2.gz JXTXQXNFVUGVHF-OAHLLOKOSA-N 1 2 315.417 1.736 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](CC(N)=O)[C@H](C)c1ccccc1 ZINC000268163748 407922577 /nfs/dbraw/zinc/92/25/77/407922577.db2.gz JXTXQXNFVUGVHF-OAHLLOKOSA-N 1 2 315.417 1.736 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1ccc(C#N)cc1)C[C@H](O)C(F)(F)F ZINC000189469705 408028698 /nfs/dbraw/zinc/02/86/98/408028698.db2.gz GKZBBGSCTRIHOJ-LBPRGKRZSA-N 1 2 315.295 1.742 20 30 DDEDLO CC[N@H+](CC(=O)Nc1ccc(C#N)cc1)C[C@H](O)C(F)(F)F ZINC000189469705 408028701 /nfs/dbraw/zinc/02/87/01/408028701.db2.gz GKZBBGSCTRIHOJ-LBPRGKRZSA-N 1 2 315.295 1.742 20 30 DDEDLO COC(=O)Cn1cc(CC[N@H+](CCC#N)Cc2ccco2)nn1 ZINC000154250479 408001745 /nfs/dbraw/zinc/00/17/45/408001745.db2.gz IFIHQEBWNYQPSG-UHFFFAOYSA-N 1 2 317.349 1.002 20 30 DDEDLO COC(=O)Cn1cc(CC[N@@H+](CCC#N)Cc2ccco2)nn1 ZINC000154250479 408001753 /nfs/dbraw/zinc/00/17/53/408001753.db2.gz IFIHQEBWNYQPSG-UHFFFAOYSA-N 1 2 317.349 1.002 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)[C@H]2CCCCNC2=O)c(C#N)c1C ZINC000154947947 408108829 /nfs/dbraw/zinc/10/88/29/408108829.db2.gz BSTCWVWCDCVUQU-ZDUSSCGKSA-N 1 2 318.377 1.307 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)[C@H]2CCCCNC2=O)c(C#N)c1C ZINC000154947947 408108836 /nfs/dbraw/zinc/10/88/36/408108836.db2.gz BSTCWVWCDCVUQU-ZDUSSCGKSA-N 1 2 318.377 1.307 20 30 DDEDLO C[C@](C#N)(NC(=O)C[NH2+][C@@H](CO)c1c(F)cccc1F)C1CC1 ZINC000273095820 408055263 /nfs/dbraw/zinc/05/52/63/408055263.db2.gz KVPBSVYOWSQLIE-XJKSGUPXSA-N 1 2 323.343 1.396 20 30 DDEDLO N#CCCCNC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000273097374 408055976 /nfs/dbraw/zinc/05/59/76/408055976.db2.gz DPJWNXYOMDTFFR-MRXNPFEDSA-N 1 2 316.405 1.663 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)Nc3ccon3)CC2)cc1 ZINC000273409782 408187396 /nfs/dbraw/zinc/18/73/96/408187396.db2.gz OCWBYZYNDFDUCT-UHFFFAOYSA-N 1 2 311.345 1.896 20 30 DDEDLO CCCCC[N@H+](CC(N)=O)CC(=O)NC1(C#N)CCCCC1 ZINC000183057884 408317952 /nfs/dbraw/zinc/31/79/52/408317952.db2.gz LTGVSUVKOIPRGP-UHFFFAOYSA-N 1 2 308.426 1.307 20 30 DDEDLO CCCCC[N@@H+](CC(N)=O)CC(=O)NC1(C#N)CCCCC1 ZINC000183057884 408317955 /nfs/dbraw/zinc/31/79/55/408317955.db2.gz LTGVSUVKOIPRGP-UHFFFAOYSA-N 1 2 308.426 1.307 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCCC[C@@H]2[C@@H](C)O)c(C#N)c1C ZINC000269422607 408302420 /nfs/dbraw/zinc/30/24/20/408302420.db2.gz JFELLPCFOHTMRU-BXUZGUMPSA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCCC[C@@H]2[C@@H](C)O)c(C#N)c1C ZINC000269422607 408302424 /nfs/dbraw/zinc/30/24/24/408302424.db2.gz JFELLPCFOHTMRU-BXUZGUMPSA-N 1 2 305.378 1.942 20 30 DDEDLO COc1cccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)n1 ZINC000176366829 408350253 /nfs/dbraw/zinc/35/02/53/408350253.db2.gz IZJNKUHHAOJNCO-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO COc1cccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)n1 ZINC000176366829 408350259 /nfs/dbraw/zinc/35/02/59/408350259.db2.gz IZJNKUHHAOJNCO-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO C[C@H](CNC(=O)Cc1cccc(OCC#N)c1)Cn1cc[nH+]c1 ZINC000270481077 408546641 /nfs/dbraw/zinc/54/66/41/408546641.db2.gz IZEWJFNWLXCAKO-CQSZACIVSA-N 1 2 312.373 1.780 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C ZINC000265492792 408671690 /nfs/dbraw/zinc/67/16/90/408671690.db2.gz CMFZDSDYQCJEHW-AWEZNQCLSA-N 1 2 301.394 1.234 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NC[C@H]1CN(C)CC[N@H+]1C ZINC000265492792 408671696 /nfs/dbraw/zinc/67/16/96/408671696.db2.gz CMFZDSDYQCJEHW-AWEZNQCLSA-N 1 2 301.394 1.234 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@@H](O)C[N@H+](C)[C@@H](C)CC#N ZINC000161952183 408655833 /nfs/dbraw/zinc/65/58/33/408655833.db2.gz LDBFYSJRCLKQGD-WFASDCNBSA-N 1 2 320.389 1.871 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@@H](O)C[N@@H+](C)[C@@H](C)CC#N ZINC000161952183 408655839 /nfs/dbraw/zinc/65/58/39/408655839.db2.gz LDBFYSJRCLKQGD-WFASDCNBSA-N 1 2 320.389 1.871 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H]1CO ZINC000248940430 408665093 /nfs/dbraw/zinc/66/50/93/408665093.db2.gz NYJLNOIDXQASGF-DOMZBBRYSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H]1CO ZINC000248940430 408665098 /nfs/dbraw/zinc/66/50/98/408665098.db2.gz NYJLNOIDXQASGF-DOMZBBRYSA-N 1 2 308.403 1.035 20 30 DDEDLO C#CC(C)(C)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000265612319 408713679 /nfs/dbraw/zinc/71/36/79/408713679.db2.gz XJSPVXHGRVWTCT-CQSZACIVSA-N 1 2 317.408 1.864 20 30 DDEDLO C=CCn1c(=O)[nH]nc1SCc1ccc(-n2cc[nH+]c2)nc1 ZINC000163564569 408783081 /nfs/dbraw/zinc/78/30/81/408783081.db2.gz QKNUPHHVNSTZDO-UHFFFAOYSA-N 1 2 314.374 1.630 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@H](C)c1nc(Cc2ccccc2)no1 ZINC000185383850 408803025 /nfs/dbraw/zinc/80/30/25/408803025.db2.gz AEVFODZXPPYKSR-QWHCGFSZSA-N 1 2 312.373 1.449 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@H+](CC(=O)N(CC)C[C@H](C)C#N)C1 ZINC000249733385 408758534 /nfs/dbraw/zinc/75/85/34/408758534.db2.gz VBNCBZRYZBZDGP-ZIAGYGMSSA-N 1 2 324.425 1.205 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N(CC)C[C@H](C)C#N)C1 ZINC000249733385 408758538 /nfs/dbraw/zinc/75/85/38/408758538.db2.gz VBNCBZRYZBZDGP-ZIAGYGMSSA-N 1 2 324.425 1.205 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000285645040 408834741 /nfs/dbraw/zinc/83/47/41/408834741.db2.gz VHGBMKSMNHEHRL-UHFFFAOYSA-N 1 2 300.362 1.341 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCc2cc[nH]c(=O)c2C1 ZINC000277021308 408904830 /nfs/dbraw/zinc/90/48/30/408904830.db2.gz ZVNIPJSLNUSMLO-MRXNPFEDSA-N 1 2 302.378 1.200 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCc2cc[nH]c(=O)c2C1 ZINC000277021308 408904832 /nfs/dbraw/zinc/90/48/32/408904832.db2.gz ZVNIPJSLNUSMLO-MRXNPFEDSA-N 1 2 302.378 1.200 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3ncc(C)s3)CC2)C1=O ZINC000282084425 408988185 /nfs/dbraw/zinc/98/81/85/408988185.db2.gz UVHKAIQMTFYOPC-CYBMUJFWSA-N 1 2 306.435 1.360 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@@](C)(C(N)=O)c2cccc(Cl)c2)C1=O ZINC000282144982 408999791 /nfs/dbraw/zinc/99/97/91/408999791.db2.gz ZXBHLQAVTOFJBX-CZUORRHYSA-N 1 2 321.808 1.417 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H](n2cc(CO)nn2)C1 ZINC000292830320 409043310 /nfs/dbraw/zinc/04/33/10/409043310.db2.gz AMWOYOHXPGTVQN-NSHDSACASA-N 1 2 301.188 1.316 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H](n2cc(CO)nn2)C1 ZINC000292830320 409043311 /nfs/dbraw/zinc/04/33/11/409043311.db2.gz AMWOYOHXPGTVQN-NSHDSACASA-N 1 2 301.188 1.316 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1ccccc1C(=O)NC(C)C ZINC000292993289 409047596 /nfs/dbraw/zinc/04/75/96/409047596.db2.gz CIAOYXKJZGBKMW-UHFFFAOYSA-N 1 2 301.390 1.718 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1ccccc1C(=O)NC(C)C ZINC000292993289 409047601 /nfs/dbraw/zinc/04/76/01/409047601.db2.gz CIAOYXKJZGBKMW-UHFFFAOYSA-N 1 2 301.390 1.718 20 30 DDEDLO Cc1ccc(CC(=O)N2CC[NH+](CCOCCC#N)CC2)cc1 ZINC000293082820 409050087 /nfs/dbraw/zinc/05/00/87/409050087.db2.gz MNECELAQAKYOPX-UHFFFAOYSA-N 1 2 315.417 1.612 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCCO[C@H]2CCCCO2)cc1OC ZINC000282482512 409055891 /nfs/dbraw/zinc/05/58/91/409055891.db2.gz SXIHECKIZUVHIH-HNNXBMFYSA-N 1 2 324.377 1.884 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](Oc2ncccc2F)C1 ZINC000278832114 409097842 /nfs/dbraw/zinc/09/78/42/409097842.db2.gz JTOGEEJEENSQFF-OLZOCXBDSA-N 1 2 305.353 1.202 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](Oc2ncccc2F)C1 ZINC000278832114 409097846 /nfs/dbraw/zinc/09/78/46/409097846.db2.gz JTOGEEJEENSQFF-OLZOCXBDSA-N 1 2 305.353 1.202 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N(C)[C@H](C)C[NH+]2CCOCC2)cc1 ZINC000279027590 409133878 /nfs/dbraw/zinc/13/38/78/409133878.db2.gz AGZHYKOVBXCFEV-CRRKTRLDSA-N 1 2 319.405 1.460 20 30 DDEDLO CN(CC[NH+]1CCOCC1)c1nc2cc(Cl)ccn2c1C#N ZINC000287995544 409128583 /nfs/dbraw/zinc/12/85/83/409128583.db2.gz RVCDMJBSYBRVOO-UHFFFAOYSA-N 1 2 319.796 1.628 20 30 DDEDLO CN(C[C@@H]1C[C@H](F)C[N@@H+]1Cc1cnn(C)c1)C(=O)C(C)(C)C#N ZINC000279460427 409219147 /nfs/dbraw/zinc/21/91/47/409219147.db2.gz CZGFKOOCZWRDPG-KBPBESRZSA-N 1 2 321.400 1.341 20 30 DDEDLO CN(C[C@@H]1C[C@H](F)C[N@H+]1Cc1cnn(C)c1)C(=O)C(C)(C)C#N ZINC000279460427 409219149 /nfs/dbraw/zinc/21/91/49/409219149.db2.gz CZGFKOOCZWRDPG-KBPBESRZSA-N 1 2 321.400 1.341 20 30 DDEDLO CCN(C(=O)[C@H](C)O[NH+]=C(N)[C@H]1CCCO1)c1ccccc1 ZINC000284013546 409257940 /nfs/dbraw/zinc/25/79/40/409257940.db2.gz HTZAVCSUUFQLEB-GXTWGEPZSA-N 1 2 305.378 1.896 20 30 DDEDLO COc1ccccc1CNC(=O)[C@@H](C)O[NH+]=C(N)[C@@H]1CCCO1 ZINC000284028230 409259698 /nfs/dbraw/zinc/25/96/98/409259698.db2.gz ILLRNLGAVVHSHA-RISCZKNCSA-N 1 2 321.377 1.168 20 30 DDEDLO CN(C)[C@@H](C(=O)N(C)Cc1ccc(C#N)cc1)c1c[nH+]cn1C ZINC000280667146 409400522 /nfs/dbraw/zinc/40/05/22/409400522.db2.gz BDUKBRYKHFYGRM-MRXNPFEDSA-N 1 2 311.389 1.553 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC000314555934 164015739 /nfs/dbraw/zinc/01/57/39/164015739.db2.gz LGRXZEKAIYBJQB-CYBMUJFWSA-N 1 2 310.394 1.954 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC000314555934 164015740 /nfs/dbraw/zinc/01/57/40/164015740.db2.gz LGRXZEKAIYBJQB-CYBMUJFWSA-N 1 2 310.394 1.954 20 30 DDEDLO C=C[C@H](CO)NC(=O)Nc1ccccc1OCCn1cc[nH+]c1 ZINC000290624182 409465827 /nfs/dbraw/zinc/46/58/27/409465827.db2.gz ZXIDVEGBXNTVMQ-CYBMUJFWSA-N 1 2 316.361 1.631 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@H+]2CCOCC2(C)C)cc1 ZINC000296446821 409559548 /nfs/dbraw/zinc/55/95/48/409559548.db2.gz OTGSIZALYJIBSX-UHFFFAOYSA-N 1 2 315.417 1.578 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@@H+]2CCOCC2(C)C)cc1 ZINC000296446821 409559555 /nfs/dbraw/zinc/55/95/55/409559555.db2.gz OTGSIZALYJIBSX-UHFFFAOYSA-N 1 2 315.417 1.578 20 30 DDEDLO COc1cc[nH+]cc1CNC(=O)C(=O)Nc1cccc(C)c1C#N ZINC000342347966 409587790 /nfs/dbraw/zinc/58/77/90/409587790.db2.gz RNWZDYDVTMQJKK-UHFFFAOYSA-N 1 2 324.340 1.525 20 30 DDEDLO Cn1ncc(C(=O)N2CCC[C@@H]2c2[nH]c3c([nH+]2)CCCC3)c1C#N ZINC000345222228 409668869 /nfs/dbraw/zinc/66/88/69/409668869.db2.gz SCAQWWAJMPFQRH-CQSZACIVSA-N 1 2 324.388 1.871 20 30 DDEDLO C[C@H](CNC(=O)C[C@H]1CCCCC(=O)N1)[NH+]1CCN(C)CC1 ZINC000328684391 409954010 /nfs/dbraw/zinc/95/40/10/409954010.db2.gz WTEJLWDHMBSHMH-ZIAGYGMSSA-N 1 2 310.442 1.868 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1coc(C2CCOCC2)n1 ZINC000328688879 409956751 /nfs/dbraw/zinc/95/67/51/409956751.db2.gz QDYLIEOAYCREEM-GFCCVEGCSA-N 1 2 323.393 1.594 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1coc(C2CCOCC2)n1 ZINC000328688879 409956758 /nfs/dbraw/zinc/95/67/58/409956758.db2.gz QDYLIEOAYCREEM-GFCCVEGCSA-N 1 2 323.393 1.594 20 30 DDEDLO Cc1[nH]c(=O)c(C#N)c(C)c1CCC(=O)N(C)Cc1[nH]cc[nH+]1 ZINC000346415450 409960957 /nfs/dbraw/zinc/96/09/57/409960957.db2.gz XRZFYZHLPKTBIJ-UHFFFAOYSA-N 1 2 313.361 1.590 20 30 DDEDLO CC#CCN(C)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000297741013 409981299 /nfs/dbraw/zinc/98/12/99/409981299.db2.gz JSQBFYMZKYUSMP-QGZVFWFLSA-N 1 2 315.417 1.552 20 30 DDEDLO CC#CCN(C)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000297741013 409981305 /nfs/dbraw/zinc/98/13/05/409981305.db2.gz JSQBFYMZKYUSMP-QGZVFWFLSA-N 1 2 315.417 1.552 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N[C@@H]1CCO[C@@H]1C1CC1 ZINC000328817373 409986415 /nfs/dbraw/zinc/98/64/15/409986415.db2.gz DEEOOKMWDQFXOK-UKRRQHHQSA-N 1 2 304.394 1.791 20 30 DDEDLO C[C@@H]1NC(=O)CC[C@@H]1NC(=O)NC[C@H]1CCc2[nH+]ccn2C1 ZINC000328616533 409938799 /nfs/dbraw/zinc/93/87/99/409938799.db2.gz SPHSKKCUXQJLEP-TUAOUCFPSA-N 1 2 305.382 1.457 20 30 DDEDLO C[C@@H]1NC(=O)CC[C@@H]1[NH+]=C([O-])NC[C@H]1CCc2[nH+]ccn2C1 ZINC000328616533 409938802 /nfs/dbraw/zinc/93/88/02/409938802.db2.gz SPHSKKCUXQJLEP-TUAOUCFPSA-N 1 2 305.382 1.457 20 30 DDEDLO C[C@@H]1NC(=O)CC[C@@H]1NC([O-])=[NH+]C[C@H]1CCc2[nH+]ccn2C1 ZINC000328616533 409938809 /nfs/dbraw/zinc/93/88/09/409938809.db2.gz SPHSKKCUXQJLEP-TUAOUCFPSA-N 1 2 305.382 1.457 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC([O-])=[NH+][C@@H](C)Cn1cccn1)CCC2 ZINC000328659784 409950618 /nfs/dbraw/zinc/95/06/18/409950618.db2.gz UEJYMSLJFACNQR-KBPBESRZSA-N 1 2 316.409 1.858 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](C[NH+]=C([O-])N[C@@H](C)Cn1cccn1)CCC2 ZINC000328659784 409950624 /nfs/dbraw/zinc/95/06/24/409950624.db2.gz UEJYMSLJFACNQR-KBPBESRZSA-N 1 2 316.409 1.858 20 30 DDEDLO O=C(NCC[NH+]1CCN(C(=O)C2CCC2)CC1)[C@@H]1C[C@H]1C1CC1 ZINC000328939225 410017753 /nfs/dbraw/zinc/01/77/53/410017753.db2.gz RVWKUOFTFFJJSW-JKSUJKDBSA-N 1 2 319.449 1.933 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000342917817 409997615 /nfs/dbraw/zinc/99/76/15/409997615.db2.gz WBVPZSLHRJMGHE-UHFFFAOYSA-N 1 2 312.373 1.523 20 30 DDEDLO CC(=O)NC1(C(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)CCCCC1 ZINC000328892480 410003059 /nfs/dbraw/zinc/00/30/59/410003059.db2.gz TYGJISJPXZIBOK-GJZGRUSLSA-N 1 2 323.437 1.597 20 30 DDEDLO CC(=O)NC1(C(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)CCCCC1 ZINC000328892480 410003064 /nfs/dbraw/zinc/00/30/64/410003064.db2.gz TYGJISJPXZIBOK-GJZGRUSLSA-N 1 2 323.437 1.597 20 30 DDEDLO Cc1cc(NC(=O)N[C@@H]2CCOC3(CCOCC3)C2)cc[nH+]1 ZINC000329028948 410060182 /nfs/dbraw/zinc/06/01/82/410060182.db2.gz WMBCNRUKCSALGW-CQSZACIVSA-N 1 2 305.378 1.866 20 30 DDEDLO Cn1nccc1NC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000332332878 410074637 /nfs/dbraw/zinc/07/46/37/410074637.db2.gz ZFAKKJOAMGEDJP-UHFFFAOYSA-N 1 2 324.388 1.641 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N(C)[C@@H](C)CC#N ZINC000354818854 410108250 /nfs/dbraw/zinc/10/82/50/410108250.db2.gz JXIBNVPKHSCHQL-GXTWGEPZSA-N 1 2 319.409 1.244 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N(C)[C@@H](C)CC#N ZINC000354818854 410108255 /nfs/dbraw/zinc/10/82/55/410108255.db2.gz JXIBNVPKHSCHQL-GXTWGEPZSA-N 1 2 319.409 1.244 20 30 DDEDLO C=C[C@@H](CO)Nc1cc(C)[nH+]c(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000357602402 410126775 /nfs/dbraw/zinc/12/67/75/410126775.db2.gz MAENWHPYFIRCDE-LBPRGKRZSA-N 1 2 300.318 1.741 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H](OCC[NH+]3CCOCC3)C2)CCC1 ZINC000357783816 410246571 /nfs/dbraw/zinc/24/65/71/410246571.db2.gz ZZMXHRVLWPYCFQ-INIZCTEOSA-N 1 2 322.449 1.683 20 30 DDEDLO C[C@H](NC(=O)NCC1CCSCC1)[C@H](C)[NH+]1CCOCC1 ZINC000329382350 410267970 /nfs/dbraw/zinc/26/79/70/410267970.db2.gz OJGUPLBSCDLJIB-STQMWFEESA-N 1 2 315.483 1.742 20 30 DDEDLO C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)C2([NH+]3CCOCC3)CCC2)CC1=O ZINC000329330926 410235867 /nfs/dbraw/zinc/23/58/67/410235867.db2.gz MBIDXWCEIWRHIT-RDBSUJKOSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@@H]1OCC[C@]1(C)NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000329496705 410329401 /nfs/dbraw/zinc/32/94/01/410329401.db2.gz DVDKSGWYYGBXLQ-LRDDRELGSA-N 1 2 306.410 1.833 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCN2CCOC[C@@H]2C1 ZINC000329429780 410293658 /nfs/dbraw/zinc/29/36/58/410293658.db2.gz KBVMWAHVPQPOHP-HIFRSBDPSA-N 1 2 314.389 1.551 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCN2CCOC[C@@H]2C1 ZINC000329429780 410293663 /nfs/dbraw/zinc/29/36/63/410293663.db2.gz KBVMWAHVPQPOHP-HIFRSBDPSA-N 1 2 314.389 1.551 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2cccc3c2OCO3)CCO1 ZINC000329431931 410295514 /nfs/dbraw/zinc/29/55/14/410295514.db2.gz HTCSKYNBEUIIRO-UHFFFAOYSA-N 1 2 321.377 1.852 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2cccc3c2OCO3)CCO1 ZINC000329431931 410295521 /nfs/dbraw/zinc/29/55/21/410295521.db2.gz HTCSKYNBEUIIRO-UHFFFAOYSA-N 1 2 321.377 1.852 20 30 DDEDLO CC[C@@H](C(=O)OC)N1CC[NH+](CC#Cc2ccccc2)CC1 ZINC000352666143 410674322 /nfs/dbraw/zinc/67/43/22/410674322.db2.gz GOPFMEAZAHQKBJ-KRWDZBQOSA-N 1 2 300.402 1.607 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)C(=O)Nc1sccc1C#N ZINC000340126584 410689996 /nfs/dbraw/zinc/68/99/96/410689996.db2.gz GKZXVEHVCWYFTE-UHFFFAOYSA-N 1 2 317.374 1.303 20 30 DDEDLO CS(=O)(=O)c1ccc(C[NH2+]Cc2nc(C#N)cs2)s1 ZINC000352862796 410681740 /nfs/dbraw/zinc/68/17/40/410681740.db2.gz QMBLSNLMDHDNKU-UHFFFAOYSA-N 1 2 313.429 1.770 20 30 DDEDLO C[N@@H+](CC(=O)NCc1cccc(C#N)c1)C[C@@H](O)C(F)(F)F ZINC000341149299 410897401 /nfs/dbraw/zinc/89/74/01/410897401.db2.gz VNRWCJBNZSCTML-GFCCVEGCSA-N 1 2 315.295 1.029 20 30 DDEDLO C[N@H+](CC(=O)NCc1cccc(C#N)c1)C[C@@H](O)C(F)(F)F ZINC000341149299 410897407 /nfs/dbraw/zinc/89/74/07/410897407.db2.gz VNRWCJBNZSCTML-GFCCVEGCSA-N 1 2 315.295 1.029 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356222942 410906233 /nfs/dbraw/zinc/90/62/33/410906233.db2.gz ODZNEXQSTVDOHB-CQSZACIVSA-N 1 2 317.437 1.564 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356222942 410906242 /nfs/dbraw/zinc/90/62/42/410906242.db2.gz ODZNEXQSTVDOHB-CQSZACIVSA-N 1 2 317.437 1.564 20 30 DDEDLO N#CC[C@H](CC(=O)NCC[NH+]1CCOCC1)c1ccccc1 ZINC000353350148 410936576 /nfs/dbraw/zinc/93/65/76/410936576.db2.gz LFQIKCUAVFFOOX-MRXNPFEDSA-N 1 2 301.390 1.522 20 30 DDEDLO CO[C@@H]1C[NH+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C[C@H]1OC ZINC000331106741 410951972 /nfs/dbraw/zinc/95/19/72/410951972.db2.gz IXXIAPIVKKVMGQ-ZLKJLUDKSA-N 1 2 321.377 1.441 20 30 DDEDLO CN(C)c1[nH+]cccc1N(C)S(=O)(=O)c1ccc(C#N)o1 ZINC000344208973 411030305 /nfs/dbraw/zinc/03/03/05/411030305.db2.gz HXNDYDMNQFTLQF-UHFFFAOYSA-N 1 2 306.347 1.437 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)s1 ZINC000353648601 411099042 /nfs/dbraw/zinc/09/90/42/411099042.db2.gz BLUITCHPARJOAL-BHDSKKPTSA-N 1 2 309.439 1.940 20 30 DDEDLO C[C@@H](CC#N)[N@H+](C)C[C@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000123721100 221553573 /nfs/dbraw/zinc/55/35/73/221553573.db2.gz JSRQBFARSSUCGH-JSGCOSHPSA-N 1 2 316.361 1.098 20 30 DDEDLO C[C@@H](CC#N)[N@@H+](C)C[C@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000123721100 221553576 /nfs/dbraw/zinc/55/35/76/221553576.db2.gz JSRQBFARSSUCGH-JSGCOSHPSA-N 1 2 316.361 1.098 20 30 DDEDLO C=C(CNC(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1)c1ccccc1 ZINC000366229218 418446300 /nfs/dbraw/zinc/44/63/00/418446300.db2.gz YUILJQXCFPMPFR-QGZVFWFLSA-N 1 2 315.417 1.862 20 30 DDEDLO C=C(CNC(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1)c1ccccc1 ZINC000366229218 418446302 /nfs/dbraw/zinc/44/63/02/418446302.db2.gz YUILJQXCFPMPFR-QGZVFWFLSA-N 1 2 315.417 1.862 20 30 DDEDLO N#CCCCC(=O)N1C[C@@H]2OCC[N@H+](Cc3ccccc3)[C@H]2C1 ZINC000360583137 418470752 /nfs/dbraw/zinc/47/07/52/418470752.db2.gz ODZVOHHAMJJJQH-IRXDYDNUSA-N 1 2 313.401 1.792 20 30 DDEDLO N#CCCCC(=O)N1C[C@@H]2OCC[N@@H+](Cc3ccccc3)[C@H]2C1 ZINC000360583137 418470753 /nfs/dbraw/zinc/47/07/53/418470753.db2.gz ODZVOHHAMJJJQH-IRXDYDNUSA-N 1 2 313.401 1.792 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC(N3CC[N@@H+](C)CC3=O)CC2)n1 ZINC000374855511 418570679 /nfs/dbraw/zinc/57/06/79/418570679.db2.gz OCRKFFGRXCRXAT-UHFFFAOYSA-N 1 2 313.405 1.005 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC(N3CC[N@H+](C)CC3=O)CC2)n1 ZINC000374855511 418570681 /nfs/dbraw/zinc/57/06/81/418570681.db2.gz OCRKFFGRXCRXAT-UHFFFAOYSA-N 1 2 313.405 1.005 20 30 DDEDLO CC(C)(C#N)c1ccc(NC(=O)NCC[NH+]2CCOCC2)cc1 ZINC000193058141 222142821 /nfs/dbraw/zinc/14/28/21/222142821.db2.gz YNJPJLLTFDPOIV-UHFFFAOYSA-N 1 2 316.405 1.941 20 30 DDEDLO C#CCNC(=O)N[C@@H](c1ccc(Cl)cc1)[C@@H]1C[N@H+](C)CCO1 ZINC000247855829 222232598 /nfs/dbraw/zinc/23/25/98/222232598.db2.gz YATSEWAOMPHCEH-GJZGRUSLSA-N 1 2 321.808 1.644 20 30 DDEDLO C#CCNC(=O)N[C@@H](c1ccc(Cl)cc1)[C@@H]1C[N@@H+](C)CCO1 ZINC000247855829 222232602 /nfs/dbraw/zinc/23/26/02/222232602.db2.gz YATSEWAOMPHCEH-GJZGRUSLSA-N 1 2 321.808 1.644 20 30 DDEDLO CC[N@H+]1CCC[C@H]1CNC(=[NH2+])NCc1cn2c([nH+]1)CCCC2 ZINC000264234582 222329522 /nfs/dbraw/zinc/32/95/22/222329522.db2.gz DBCSDMKCHSJNSC-AWEZNQCLSA-N 1 2 304.442 1.108 20 30 DDEDLO CCc1cc(N)nc(S[C@@H](C)C(=O)NC2(C#N)CCC2)[nH+]1 ZINC000331915028 418614730 /nfs/dbraw/zinc/61/47/30/418614730.db2.gz UDROWVNBIOUVGC-VIFPVBQESA-N 1 2 305.407 1.664 20 30 DDEDLO CN1CC[C@H]([N@H+](C)CC(=O)N(CCC#N)c2ccccc2)C1=O ZINC000265676462 222356239 /nfs/dbraw/zinc/35/62/39/222356239.db2.gz ZKPTVHMQLJUUTL-HNNXBMFYSA-N 1 2 314.389 1.096 20 30 DDEDLO CN1CC[C@H]([N@@H+](C)CC(=O)N(CCC#N)c2ccccc2)C1=O ZINC000265676462 222356244 /nfs/dbraw/zinc/35/62/44/222356244.db2.gz ZKPTVHMQLJUUTL-HNNXBMFYSA-N 1 2 314.389 1.096 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCC(=O)N2C[C@H](C)C[C@@H](C)C2)c1 ZINC000266209780 222360497 /nfs/dbraw/zinc/36/04/97/222360497.db2.gz NQJBWVITDRUSNG-CHWSQXEVSA-N 1 2 319.405 1.837 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCC[C@H]1c1nccs1 ZINC000377387839 418709992 /nfs/dbraw/zinc/70/99/92/418709992.db2.gz BWIZMZOYUNNXGR-MNOVXSKESA-N 1 2 308.407 1.680 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCC[C@H]1c1nccs1 ZINC000377387839 418709995 /nfs/dbraw/zinc/70/99/95/418709995.db2.gz BWIZMZOYUNNXGR-MNOVXSKESA-N 1 2 308.407 1.680 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CCOC[C@H]1CC(=O)OC ZINC000377870082 418716014 /nfs/dbraw/zinc/71/60/14/418716014.db2.gz SEYULMWMIJIBBB-OAHLLOKOSA-N 1 2 303.358 1.463 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CCOC[C@H]1CC(=O)OC ZINC000377870082 418716016 /nfs/dbraw/zinc/71/60/16/418716016.db2.gz SEYULMWMIJIBBB-OAHLLOKOSA-N 1 2 303.358 1.463 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@@H]2c2nncn2CC(C)C)C1=O ZINC000375606834 418661870 /nfs/dbraw/zinc/66/18/70/418661870.db2.gz JXDMVJBITFEIFT-CABCVRRESA-N 1 2 317.437 1.858 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@@H]2c2nncn2CC(C)C)C1=O ZINC000375606834 418661872 /nfs/dbraw/zinc/66/18/72/418661872.db2.gz JXDMVJBITFEIFT-CABCVRRESA-N 1 2 317.437 1.858 20 30 DDEDLO Cc1ccc(C[N@H+](CCO)CC(=O)N(CCC#N)CCC#N)o1 ZINC000361512549 418667174 /nfs/dbraw/zinc/66/71/74/418667174.db2.gz ZRWRGNBNQFBUOD-UHFFFAOYSA-N 1 2 318.377 1.038 20 30 DDEDLO Cc1ccc(C[N@@H+](CCO)CC(=O)N(CCC#N)CCC#N)o1 ZINC000361512549 418667177 /nfs/dbraw/zinc/66/71/77/418667177.db2.gz ZRWRGNBNQFBUOD-UHFFFAOYSA-N 1 2 318.377 1.038 20 30 DDEDLO C=CCCOCCNC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000376302109 418695520 /nfs/dbraw/zinc/69/55/20/418695520.db2.gz UKCVHFFLBIESKN-LSDHHAIUSA-N 1 2 311.426 1.084 20 30 DDEDLO C=CCOc1cccc(C[N@@H+]2CCN3C(=O)N(C)C(=O)[C@H]3C2)c1 ZINC000369416693 418732967 /nfs/dbraw/zinc/73/29/67/418732967.db2.gz ILAQZXGNUCVQIU-OAHLLOKOSA-N 1 2 315.373 1.330 20 30 DDEDLO C=CCOc1cccc(C[N@H+]2CCN3C(=O)N(C)C(=O)[C@H]3C2)c1 ZINC000369416693 418732968 /nfs/dbraw/zinc/73/29/68/418732968.db2.gz ILAQZXGNUCVQIU-OAHLLOKOSA-N 1 2 315.373 1.330 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CC[NH+](C2(C(N)=O)CCCC2)CC1 ZINC000370011613 418739939 /nfs/dbraw/zinc/73/99/39/418739939.db2.gz RYJHZLKHFPANEN-CQSZACIVSA-N 1 2 322.453 1.466 20 30 DDEDLO COc1ccc(C[N@@H+]2CCN3C(=O)N(C)C[C@H]3C2)cc1C#N ZINC000370085202 418741010 /nfs/dbraw/zinc/74/10/10/418741010.db2.gz SSCHBSJDCMUQEB-AWEZNQCLSA-N 1 2 300.362 1.118 20 30 DDEDLO COc1ccc(C[N@H+]2CCN3C(=O)N(C)C[C@H]3C2)cc1C#N ZINC000370085202 418741013 /nfs/dbraw/zinc/74/10/13/418741013.db2.gz SSCHBSJDCMUQEB-AWEZNQCLSA-N 1 2 300.362 1.118 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CC[C@@H](OC)C[C@H]2C(=O)OC)cc1 ZINC000370368945 418743686 /nfs/dbraw/zinc/74/36/86/418743686.db2.gz YMFRJUUNOJCYKC-SJORKVTESA-N 1 2 317.385 1.851 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CC[C@@H](OC)C[C@H]2C(=O)OC)cc1 ZINC000370368945 418743687 /nfs/dbraw/zinc/74/36/87/418743687.db2.gz YMFRJUUNOJCYKC-SJORKVTESA-N 1 2 317.385 1.851 20 30 DDEDLO C=C[C@@H](C)NC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000362630231 418757563 /nfs/dbraw/zinc/75/75/63/418757563.db2.gz GRGYAENTFMZLSL-WBVHZDCISA-N 1 2 317.433 1.804 20 30 DDEDLO C#C[C@@H](C)NC(=O)N1CCC[C@@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000378491975 418724201 /nfs/dbraw/zinc/72/42/01/418724201.db2.gz SNXGPLIRTWUZKQ-TZMCWYRMSA-N 1 2 319.409 1.396 20 30 DDEDLO C#C[C@@H](C)NC(=O)N1CCC[C@@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000378491975 418724202 /nfs/dbraw/zinc/72/42/02/418724202.db2.gz SNXGPLIRTWUZKQ-TZMCWYRMSA-N 1 2 319.409 1.396 20 30 DDEDLO N#CC1(C[N@H+]2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)CC1 ZINC000408174844 418790882 /nfs/dbraw/zinc/79/08/82/418790882.db2.gz VLJNKHYQXCZRHR-AWEZNQCLSA-N 1 2 311.451 1.617 20 30 DDEDLO N#CC1(C[N@@H+]2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)CC1 ZINC000408174844 418790885 /nfs/dbraw/zinc/79/08/85/418790885.db2.gz VLJNKHYQXCZRHR-AWEZNQCLSA-N 1 2 311.451 1.617 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@@H](C(=O)N1CCO[C@@H](C#N)C1)C2 ZINC000364633373 418795486 /nfs/dbraw/zinc/79/54/86/418795486.db2.gz WIBAKPTYIITKNS-NEPJUHHUSA-N 1 2 302.378 1.389 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@H](C(=O)N1CCO[C@@H](C#N)C1)CC2 ZINC000364633373 418795491 /nfs/dbraw/zinc/79/54/91/418795491.db2.gz WIBAKPTYIITKNS-NEPJUHHUSA-N 1 2 302.378 1.389 20 30 DDEDLO COC(=O)[C@H]1CCCCC[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000371582293 418802948 /nfs/dbraw/zinc/80/29/48/418802948.db2.gz UUJGGSRKNIYRQA-CQSZACIVSA-N 1 2 320.393 1.060 20 30 DDEDLO COC(=O)[C@H]1CCCCC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000371582293 418802951 /nfs/dbraw/zinc/80/29/51/418802951.db2.gz UUJGGSRKNIYRQA-CQSZACIVSA-N 1 2 320.393 1.060 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H](Oc2ccc[nH+]c2N(C)C)C1 ZINC000364716839 418803727 /nfs/dbraw/zinc/80/37/27/418803727.db2.gz NSYSVQBIPNVDCJ-AWEZNQCLSA-N 1 2 317.389 1.167 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC000371853267 418822461 /nfs/dbraw/zinc/82/24/61/418822461.db2.gz QBVKTLGOUGXJEM-SECBINFHSA-N 1 2 315.171 1.220 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC000371853267 418822464 /nfs/dbraw/zinc/82/24/64/418822464.db2.gz QBVKTLGOUGXJEM-SECBINFHSA-N 1 2 315.171 1.220 20 30 DDEDLO C[C@@H](CCC#N)N1CC[NH+]([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000411234880 418883297 /nfs/dbraw/zinc/88/32/97/418883297.db2.gz GFOGUKDNGRXNLO-GJZGRUSLSA-N 1 2 306.454 1.744 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)N2CCC[C@H]2[C@@H](C#N)c2ccccc2)C1 ZINC000365725824 418899964 /nfs/dbraw/zinc/89/99/64/418899964.db2.gz QARKWUOMCPXGRB-ULQDDVLXSA-N 1 2 313.401 1.615 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)N2CCC[C@H]2[C@@H](C#N)c2ccccc2)C1 ZINC000365725824 418899967 /nfs/dbraw/zinc/89/99/67/418899967.db2.gz QARKWUOMCPXGRB-ULQDDVLXSA-N 1 2 313.401 1.615 20 30 DDEDLO C=CCN(CC=C)C(=O)CN(C)Cc1cn2c([nH+]1)CCCC2 ZINC000372996575 418919673 /nfs/dbraw/zinc/91/96/73/418919673.db2.gz LMSXSPRSFZEJHN-UHFFFAOYSA-N 1 2 302.422 1.852 20 30 DDEDLO N#Cc1ncn(-c2ccccc2NC(=O)CCc2c[nH+]c[nH]2)n1 ZINC000426810846 419552494 /nfs/dbraw/zinc/55/24/94/419552494.db2.gz QONDLYYGOVEZEJ-UHFFFAOYSA-N 1 2 307.317 1.433 20 30 DDEDLO N#Cc1ncn(-c2ccccc2NC(=O)CCc2c[nH]c[nH+]2)n1 ZINC000426810846 419552502 /nfs/dbraw/zinc/55/25/02/419552502.db2.gz QONDLYYGOVEZEJ-UHFFFAOYSA-N 1 2 307.317 1.433 20 30 DDEDLO N#Cc1c(F)cccc1C(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000426844292 419558579 /nfs/dbraw/zinc/55/85/79/419558579.db2.gz YPEFCEAOGZXTAC-UHFFFAOYSA-N 1 2 313.336 1.378 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NC[C@H](C)[N@@H+]1CCc2sccc2C1 ZINC000416368759 420344651 /nfs/dbraw/zinc/34/46/51/420344651.db2.gz IFQGBMZDZVYMIN-QWRGUYRKSA-N 1 2 313.448 1.326 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NC[C@H](C)[N@H+]1CCc2sccc2C1 ZINC000416368759 420344653 /nfs/dbraw/zinc/34/46/53/420344653.db2.gz IFQGBMZDZVYMIN-QWRGUYRKSA-N 1 2 313.448 1.326 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N(CC1CC1)C[C@H]1C[N@H+](C)CCO1 ZINC000450907087 420556110 /nfs/dbraw/zinc/55/61/10/420556110.db2.gz JSYCXVHSXKKHKC-OAHLLOKOSA-N 1 2 316.405 1.080 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N(CC1CC1)C[C@H]1C[N@@H+](C)CCO1 ZINC000450907087 420556113 /nfs/dbraw/zinc/55/61/13/420556113.db2.gz JSYCXVHSXKKHKC-OAHLLOKOSA-N 1 2 316.405 1.080 20 30 DDEDLO C[C@H](C[N@H+](C)CCOCc1ccc(C#N)cc1)S(C)(=O)=O ZINC000451034221 420584542 /nfs/dbraw/zinc/58/45/42/420584542.db2.gz BUIVXEGSWFIDBX-CYBMUJFWSA-N 1 2 310.419 1.440 20 30 DDEDLO C[C@H](C[N@@H+](C)CCOCc1ccc(C#N)cc1)S(C)(=O)=O ZINC000451034221 420584545 /nfs/dbraw/zinc/58/45/45/420584545.db2.gz BUIVXEGSWFIDBX-CYBMUJFWSA-N 1 2 310.419 1.440 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)NCC1CCC(C#N)CC1 ZINC000441016299 420627685 /nfs/dbraw/zinc/62/76/85/420627685.db2.gz UFOZNOSASJALGQ-UHFFFAOYSA-N 1 2 322.453 1.726 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)NCC1CCC(C#N)CC1 ZINC000441016299 420627690 /nfs/dbraw/zinc/62/76/90/420627690.db2.gz UFOZNOSASJALGQ-UHFFFAOYSA-N 1 2 322.453 1.726 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CC[C@H](N2CCCC2=O)C1 ZINC000459381576 420833645 /nfs/dbraw/zinc/83/36/45/420833645.db2.gz CMFXZEUAGSQBEY-ZDUSSCGKSA-N 1 2 314.345 1.663 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CC[C@H](N2CCCC2=O)C1 ZINC000459381576 420833649 /nfs/dbraw/zinc/83/36/49/420833649.db2.gz CMFXZEUAGSQBEY-ZDUSSCGKSA-N 1 2 314.345 1.663 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)[C@]2(C#N)C[C@H]2C)CC1 ZINC000448903348 420912180 /nfs/dbraw/zinc/91/21/80/420912180.db2.gz YGJMTBMPSZRRGE-KDOFPFPSSA-N 1 2 313.401 1.889 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1ccc(C#N)s1)[NH+]1CCN(C)CC1 ZINC000487691514 421044976 /nfs/dbraw/zinc/04/49/76/421044976.db2.gz RIEMLLIMVZJKLS-AWEZNQCLSA-N 1 2 320.462 1.622 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(c2ccc(C#N)c(C)n2)CC1 ZINC000487920439 421056776 /nfs/dbraw/zinc/05/67/76/421056776.db2.gz POJXIJMPECBGDV-ZDUSSCGKSA-N 1 2 315.421 1.298 20 30 DDEDLO C=C(C)CCNC(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000455311670 420995162 /nfs/dbraw/zinc/99/51/62/420995162.db2.gz NZFYEGIRENOCIA-HNNXBMFYSA-N 1 2 322.453 1.291 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)c1ncc(C#N)cc1Cl ZINC000449845909 421095017 /nfs/dbraw/zinc/09/50/17/421095017.db2.gz TXLSAMSWOXEZBC-UHFFFAOYSA-N 1 2 322.796 1.447 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)c1ncc(C#N)cc1Cl ZINC000449845909 421095020 /nfs/dbraw/zinc/09/50/20/421095020.db2.gz TXLSAMSWOXEZBC-UHFFFAOYSA-N 1 2 322.796 1.447 20 30 DDEDLO CN(C[C@H](O)C[N@H+](CC#N)C1CCCC1)C(=O)OC(C)(C)C ZINC000496047264 421124229 /nfs/dbraw/zinc/12/42/29/421124229.db2.gz GFALLKMEPUEJKK-AWEZNQCLSA-N 1 2 311.426 1.982 20 30 DDEDLO CN(C[C@H](O)C[N@@H+](CC#N)C1CCCC1)C(=O)OC(C)(C)C ZINC000496047264 421124232 /nfs/dbraw/zinc/12/42/32/421124232.db2.gz GFALLKMEPUEJKK-AWEZNQCLSA-N 1 2 311.426 1.982 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)Nc2cccc(CC#N)c2)CCO1 ZINC000455911228 421076777 /nfs/dbraw/zinc/07/67/77/421076777.db2.gz VNFZMMAHOHVSCJ-MRXNPFEDSA-N 1 2 316.405 1.985 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)Nc2cccc(CC#N)c2)CCO1 ZINC000455911228 421076781 /nfs/dbraw/zinc/07/67/81/421076781.db2.gz VNFZMMAHOHVSCJ-MRXNPFEDSA-N 1 2 316.405 1.985 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCC[C@H](C[NH+]2CCOCC2)C1 ZINC000489271890 421143329 /nfs/dbraw/zinc/14/33/29/421143329.db2.gz SHMVRXRLXROFRG-OAHLLOKOSA-N 1 2 316.467 1.327 20 30 DDEDLO Cc1ncc(S(=O)(=O)N(C)CCCn2cc[nH+]c2)cc1C#N ZINC000489696177 421170983 /nfs/dbraw/zinc/17/09/83/421170983.db2.gz NEKDMTYWFQIIKY-UHFFFAOYSA-N 1 2 319.390 1.169 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000523058395 421231471 /nfs/dbraw/zinc/23/14/71/421231471.db2.gz JBPAQYVBFBDBIU-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000523058395 421231473 /nfs/dbraw/zinc/23/14/73/421231473.db2.gz JBPAQYVBFBDBIU-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCOC3)n2C(C)C)CC1 ZINC000491497637 421198654 /nfs/dbraw/zinc/19/86/54/421198654.db2.gz YYTURTKNICQYKY-CQSZACIVSA-N 1 2 303.410 1.118 20 30 DDEDLO CC[C@H]1C[N@H+](CCNc2cccc(C#N)c2[N+](=O)[O-])CCO1 ZINC000450485036 421202477 /nfs/dbraw/zinc/20/24/77/421202477.db2.gz NVGRENNRSPHCSC-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNc2cccc(C#N)c2[N+](=O)[O-])CCO1 ZINC000450485036 421202479 /nfs/dbraw/zinc/20/24/79/421202479.db2.gz NVGRENNRSPHCSC-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO Cc1ccc(N[C@H]2CCCN(Cc3[nH+]ccn3C)C2=O)nc1C#N ZINC000526052952 421327178 /nfs/dbraw/zinc/32/71/78/421327178.db2.gz NKDULUJRBAYEFM-ZDUSSCGKSA-N 1 2 324.388 1.598 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCC([C@H](O)C(F)(F)F)CC2)CCC1 ZINC000527377604 421383337 /nfs/dbraw/zinc/38/33/37/421383337.db2.gz CBUWDADQSHVGCA-LBPRGKRZSA-N 1 2 319.327 1.184 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)n1 ZINC000563106511 421457802 /nfs/dbraw/zinc/45/78/02/421457802.db2.gz VULKXRMTCCJGBO-LLVKDONJSA-N 1 2 324.344 1.021 20 30 DDEDLO Cc1nc(N)c(CNC(=O)[C@@H](C)Oc2ccccc2C#N)c[nH+]1 ZINC000563192713 421469562 /nfs/dbraw/zinc/46/95/62/421469562.db2.gz FUCURMKQKZFQHP-SNVBAGLBSA-N 1 2 311.345 1.323 20 30 DDEDLO N#Cc1cc(C(=O)NCC2CC[NH+](CC(F)F)CC2)ccn1 ZINC000563896886 421553762 /nfs/dbraw/zinc/55/37/62/421553762.db2.gz JQVTZKPTNTWGQD-UHFFFAOYSA-N 1 2 308.332 1.660 20 30 DDEDLO Cn1cc(Br)cc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000563626930 421530276 /nfs/dbraw/zinc/53/02/76/421530276.db2.gz VZBYEIVHUNZMGU-GFCCVEGCSA-N 1 2 313.199 1.361 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C(=O)OCC ZINC000567967785 421616940 /nfs/dbraw/zinc/61/69/40/421616940.db2.gz UCLRAMIACKIITQ-OCCSQVGLSA-N 1 2 305.378 1.460 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)N1CCN(Cc2ccco2)CC1 ZINC000570292698 421636971 /nfs/dbraw/zinc/63/69/71/421636971.db2.gz UNPKLEMPLQCIDT-AWEZNQCLSA-N 1 2 304.394 1.206 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc(-c2cncnc2)cc1 ZINC000566125628 421603082 /nfs/dbraw/zinc/60/30/82/421603082.db2.gz PLPPJHWKGFIRRD-QGZVFWFLSA-N 1 2 311.389 1.762 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc(-c2cncnc2)cc1 ZINC000566125628 421603084 /nfs/dbraw/zinc/60/30/84/421603084.db2.gz PLPPJHWKGFIRRD-QGZVFWFLSA-N 1 2 311.389 1.762 20 30 DDEDLO C=CCn1cc(CNc2cc(N3CCSCC3)nc[nH+]2)nn1 ZINC000520221325 421740683 /nfs/dbraw/zinc/74/06/83/421740683.db2.gz LHTDOWBLQKDREC-UHFFFAOYSA-N 1 2 317.422 1.419 20 30 DDEDLO C=CCn1cc(CNc2cc(N3CCSCC3)[nH+]cn2)nn1 ZINC000520221325 421740687 /nfs/dbraw/zinc/74/06/87/421740687.db2.gz LHTDOWBLQKDREC-UHFFFAOYSA-N 1 2 317.422 1.419 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1S(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000571794388 421744682 /nfs/dbraw/zinc/74/46/82/421744682.db2.gz OVTYGDPBFRJMGW-ZDUSSCGKSA-N 1 2 307.419 1.218 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000571794388 421744683 /nfs/dbraw/zinc/74/46/83/421744683.db2.gz OVTYGDPBFRJMGW-ZDUSSCGKSA-N 1 2 307.419 1.218 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)c1C#N ZINC000541125199 421787376 /nfs/dbraw/zinc/78/73/76/421787376.db2.gz UGAHYWVGZUQYFZ-UHFFFAOYSA-N 1 2 302.359 1.309 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000572834234 421868928 /nfs/dbraw/zinc/86/89/28/421868928.db2.gz XHGAMGCSKJEMDX-CABCVRRESA-N 1 2 321.446 1.919 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000573432814 421965553 /nfs/dbraw/zinc/96/55/53/421965553.db2.gz YQMNVHUCSHKDHV-UHFFFAOYSA-N 1 2 307.398 1.151 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000573432814 421965556 /nfs/dbraw/zinc/96/55/56/421965556.db2.gz YQMNVHUCSHKDHV-UHFFFAOYSA-N 1 2 307.398 1.151 20 30 DDEDLO N#Cc1ccc(CN2CCCC[C@@H]2C[NH+]2CCOCC2)nc1 ZINC000581615888 422014925 /nfs/dbraw/zinc/01/49/25/422014925.db2.gz WJKJTESUXXEKQZ-QGZVFWFLSA-N 1 2 300.406 1.640 20 30 DDEDLO Cc1ccc(NC[C@@H]2CC(=O)N(C)[C@H]2c2[nH+]ccn2C)nc1C#N ZINC000575078593 422255016 /nfs/dbraw/zinc/25/50/16/422255016.db2.gz QXUZOSNOJHCKJR-BLLLJJGKSA-N 1 2 324.388 1.627 20 30 DDEDLO C[N@@H+]([C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O)[C@@H]1CCC[C@H]1C#N ZINC000602982519 422440317 /nfs/dbraw/zinc/44/03/17/422440317.db2.gz UIAKKRNGUWCMSQ-BFHYXJOUSA-N 1 2 321.421 1.553 20 30 DDEDLO C[N@H+]([C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O)[C@@H]1CCC[C@H]1C#N ZINC000602982519 422440320 /nfs/dbraw/zinc/44/03/20/422440320.db2.gz UIAKKRNGUWCMSQ-BFHYXJOUSA-N 1 2 321.421 1.553 20 30 DDEDLO C=CCN([C@H](c1[nH+]ccn1C)C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000637963114 422513711 /nfs/dbraw/zinc/51/37/11/422513711.db2.gz TZBFTXHYZJVVSJ-KGLIPLIRSA-N 1 2 309.435 1.546 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@H]1SCCc2ccccc21 ZINC000577928128 422455301 /nfs/dbraw/zinc/45/53/01/422455301.db2.gz CKJCLHXACOGNJG-GOEBONIOSA-N 1 2 303.431 1.977 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000636005652 422556378 /nfs/dbraw/zinc/55/63/78/422556378.db2.gz MGTPDJKXNCPQNH-GFCCVEGCSA-N 1 2 301.346 1.635 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000636005871 422556758 /nfs/dbraw/zinc/55/67/58/422556758.db2.gz QMZDFEDUIXVYIF-GHMZBOCLSA-N 1 2 305.300 1.820 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCOc2ccccc2C(C)=O)nn1 ZINC000640836633 423222427 /nfs/dbraw/zinc/22/24/27/423222427.db2.gz AMIFQWWZSOGMTD-UHFFFAOYSA-N 1 2 312.373 1.673 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)n1cc(Cn2cc[nH+]c2)nn1 ZINC000640923491 423279447 /nfs/dbraw/zinc/27/94/47/423279447.db2.gz JNPGUMHAOIDAIC-ZDUSSCGKSA-N 1 2 302.382 1.509 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(C)[C@H](C)CC(C)C)nn1 ZINC000640972647 423307216 /nfs/dbraw/zinc/30/72/16/423307216.db2.gz KYKGQYXMCMVUGG-CQSZACIVSA-N 1 2 305.426 1.284 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000646261059 423424533 /nfs/dbraw/zinc/42/45/33/423424533.db2.gz AQRISEPCIROCBT-HNNXBMFYSA-N 1 2 312.373 1.983 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2csc([C@@H](C)OCC)n2)nn1 ZINC000641064709 423364688 /nfs/dbraw/zinc/36/46/88/423364688.db2.gz SMHKBESUYURGDP-GFCCVEGCSA-N 1 2 319.434 1.993 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)c2c[nH]c3ncccc23)nn1 ZINC000641134208 423411619 /nfs/dbraw/zinc/41/16/19/423411619.db2.gz KYNBTKYIHSOKKB-LBPRGKRZSA-N 1 2 322.372 1.711 20 30 DDEDLO N#Cc1ccc(C(=O)CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)cc1 ZINC000356172396 269956837 /nfs/dbraw/zinc/95/68/37/269956837.db2.gz ZKZWPRKXDCQABM-CQSZACIVSA-N 1 2 308.341 1.316 20 30 DDEDLO C=CCNS(=O)(=O)c1ccccc1N[C@H](C)Cn1cc[nH+]c1 ZINC000641287286 423527416 /nfs/dbraw/zinc/52/74/16/423527416.db2.gz KPFUQGGENRFCJR-CYBMUJFWSA-N 1 2 320.418 1.848 20 30 DDEDLO C=CCN(Cc1cccs1)S(=O)(=O)CCn1cc[nH+]c1 ZINC000641262353 423511626 /nfs/dbraw/zinc/51/16/26/423511626.db2.gz FXDZCERXMIWCCW-UHFFFAOYSA-N 1 2 311.432 1.963 20 30 DDEDLO C[C@H]1CCC[N@H+](Cc2csc(NC(=O)NCC#CCO)n2)C1 ZINC000639465414 423512665 /nfs/dbraw/zinc/51/26/65/423512665.db2.gz OZHUZFBMJREPON-LBPRGKRZSA-N 1 2 322.434 1.492 20 30 DDEDLO C[C@H]1CCC[N@@H+](Cc2csc(NC(=O)NCC#CCO)n2)C1 ZINC000639465414 423512667 /nfs/dbraw/zinc/51/26/67/423512667.db2.gz OZHUZFBMJREPON-LBPRGKRZSA-N 1 2 322.434 1.492 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)c2ccccc2OC)CC1 ZINC000649225365 423688651 /nfs/dbraw/zinc/68/86/51/423688651.db2.gz INYZVSWACXJCMV-OAHLLOKOSA-N 1 2 304.390 1.390 20 30 DDEDLO Clc1ccc(C#CC[N@@H+]2CCO[C@@H](Cn3ccnn3)C2)cc1 ZINC000639856755 423833818 /nfs/dbraw/zinc/83/38/18/423833818.db2.gz WBTBVEGVROVVEF-MRXNPFEDSA-N 1 2 316.792 1.684 20 30 DDEDLO Clc1ccc(C#CC[N@H+]2CCO[C@@H](Cn3ccnn3)C2)cc1 ZINC000639856755 423833831 /nfs/dbraw/zinc/83/38/31/423833831.db2.gz WBTBVEGVROVVEF-MRXNPFEDSA-N 1 2 316.792 1.684 20 30 DDEDLO COC(=O)c1cccc(C[NH+]2CCC(C(=O)OC)CC2)c1C#N ZINC000534490774 269995683 /nfs/dbraw/zinc/99/56/83/269995683.db2.gz OPMAJXQMGKWIHO-UHFFFAOYSA-N 1 2 316.357 1.730 20 30 DDEDLO C=CCN1CC[C@@H](N2CC[NH+](Cc3ccc(O)cc3)CC2)C1=O ZINC000640110457 424185985 /nfs/dbraw/zinc/18/59/85/424185985.db2.gz DWGRXFNXFGMKHI-QGZVFWFLSA-N 1 2 315.417 1.297 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(Cc3ccc(O)cc3)CC2)C1=O ZINC000640110457 424185997 /nfs/dbraw/zinc/18/59/97/424185997.db2.gz DWGRXFNXFGMKHI-QGZVFWFLSA-N 1 2 315.417 1.297 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2cc(=O)n3ccccc3n2)nn1 ZINC000657502207 424383007 /nfs/dbraw/zinc/38/30/07/424383007.db2.gz HOBDDBHWMYPAJN-UHFFFAOYSA-N 1 2 310.361 1.152 20 30 DDEDLO C[C@@H]1C[N@@H+]2CCCC[C@H]2CN1S(=O)(=O)c1cccc(C#N)c1 ZINC000316401659 267067080 /nfs/dbraw/zinc/06/70/80/267067080.db2.gz HFLSWJVRCHHXDN-HIFRSBDPSA-N 1 2 319.430 1.806 20 30 DDEDLO C[C@@H]1C[N@H+]2CCCC[C@H]2CN1S(=O)(=O)c1cccc(C#N)c1 ZINC000316401659 267067081 /nfs/dbraw/zinc/06/70/81/267067081.db2.gz HFLSWJVRCHHXDN-HIFRSBDPSA-N 1 2 319.430 1.806 20 30 DDEDLO C[C@@H]1[C@@H]([NH+]2CCOCC2)CCN1C(=O)c1ccc(C#N)c(O)c1 ZINC000356709160 267105010 /nfs/dbraw/zinc/10/50/10/267105010.db2.gz NQBGXPSFWHRLDW-DOMZBBRYSA-N 1 2 315.373 1.199 20 30 DDEDLO CC[C@H]1C[N@H+](C)CCN1C(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000519020341 267231825 /nfs/dbraw/zinc/23/18/25/267231825.db2.gz CLXBSZVTPDIIBX-NSHDSACASA-N 1 2 320.418 1.547 20 30 DDEDLO CC[C@H]1C[N@@H+](C)CCN1C(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000519020341 267231829 /nfs/dbraw/zinc/23/18/29/267231829.db2.gz CLXBSZVTPDIIBX-NSHDSACASA-N 1 2 320.418 1.547 20 30 DDEDLO CCn1cc(C[N@H+](C)CC(=O)NCc2cccc(C#N)c2)cn1 ZINC000523670422 267251593 /nfs/dbraw/zinc/25/15/93/267251593.db2.gz KYOOQPPUMYKZQT-UHFFFAOYSA-N 1 2 311.389 1.523 20 30 DDEDLO CCn1cc(C[N@@H+](C)CC(=O)NCc2cccc(C#N)c2)cn1 ZINC000523670422 267251597 /nfs/dbraw/zinc/25/15/97/267251597.db2.gz KYOOQPPUMYKZQT-UHFFFAOYSA-N 1 2 311.389 1.523 20 30 DDEDLO C[C@@H]1CCN(Cc2c[nH+]c3ccc(C#N)cn23)CC[S@@]1=O ZINC000514906665 267740639 /nfs/dbraw/zinc/74/06/39/267740639.db2.gz XJGRQVLIJDBACR-XUSGNXJCSA-N 1 2 302.403 1.549 20 30 DDEDLO Cn1cc[nH+]c1C[C@H]1CCCN(C(=O)c2cnn(C)c2C#N)C1 ZINC000356450892 267824672 /nfs/dbraw/zinc/82/46/72/267824672.db2.gz CGHUQDGPUYLOHF-GFCCVEGCSA-N 1 2 312.377 1.120 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(c2cc(C)nc(C#N)c2)CC1 ZINC000341746351 271198227 /nfs/dbraw/zinc/19/82/27/271198227.db2.gz VVFFZNSGGZXAEG-ZDUSSCGKSA-N 1 2 315.421 1.298 20 30 DDEDLO N#Cc1cccc([C@@H]2CN(CC[NH+]3CCOCC3)CCO2)c1 ZINC000341799436 271215948 /nfs/dbraw/zinc/21/59/48/271215948.db2.gz OALVPJMZLVMFFR-KRWDZBQOSA-N 1 2 301.390 1.264 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](CCN3CCOCC3)CCO2)c1 ZINC000341799436 271215953 /nfs/dbraw/zinc/21/59/53/271215953.db2.gz OALVPJMZLVMFFR-KRWDZBQOSA-N 1 2 301.390 1.264 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](CCN3CCOCC3)CCO2)c1 ZINC000341799436 271215957 /nfs/dbraw/zinc/21/59/57/271215957.db2.gz OALVPJMZLVMFFR-KRWDZBQOSA-N 1 2 301.390 1.264 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@@H]1C ZINC000459429402 277394785 /nfs/dbraw/zinc/39/47/85/277394785.db2.gz KJNOPFGLOYXUBT-PWSUYJOCSA-N 1 2 303.318 1.850 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@@H]1C ZINC000459429402 277394787 /nfs/dbraw/zinc/39/47/87/277394787.db2.gz KJNOPFGLOYXUBT-PWSUYJOCSA-N 1 2 303.318 1.850 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)CN(C)CC[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000195314790 279223253 /nfs/dbraw/zinc/22/32/53/279223253.db2.gz BFJKMVJPWUSNMS-OAGGEKHMSA-N 1 2 324.469 1.036 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)CN(C)CC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000195314790 279223254 /nfs/dbraw/zinc/22/32/54/279223254.db2.gz BFJKMVJPWUSNMS-OAGGEKHMSA-N 1 2 324.469 1.036 20 30 DDEDLO CC(C)[C@H](C(=O)N[C@@H]1CCn2cc[nH+]c2C1)N1CCCC1=O ZINC000330316478 280133632 /nfs/dbraw/zinc/13/36/32/280133632.db2.gz PYZASLRBHPFIMI-IUODEOHRSA-N 1 2 304.394 1.801 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)C1(C#N)CCCCC1 ZINC000376226308 288521822 /nfs/dbraw/zinc/52/18/22/288521822.db2.gz GHKDVTOSXMUQDI-HUUCEWRRSA-N 1 2 305.422 1.782 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)[nH]1 ZINC000412024908 293373145 /nfs/dbraw/zinc/37/31/45/293373145.db2.gz GCPUKGRRKDGOFQ-KFNAQCHYSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)[nH]1 ZINC000412024908 293373148 /nfs/dbraw/zinc/37/31/48/293373148.db2.gz GCPUKGRRKDGOFQ-KFNAQCHYSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000412024908 293373149 /nfs/dbraw/zinc/37/31/49/293373149.db2.gz GCPUKGRRKDGOFQ-KFNAQCHYSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000412024908 293373152 /nfs/dbraw/zinc/37/31/52/293373152.db2.gz GCPUKGRRKDGOFQ-KFNAQCHYSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000412024908 293373155 /nfs/dbraw/zinc/37/31/55/293373155.db2.gz GCPUKGRRKDGOFQ-KFNAQCHYSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000412024908 293373158 /nfs/dbraw/zinc/37/31/58/293373158.db2.gz GCPUKGRRKDGOFQ-KFNAQCHYSA-N 1 2 318.425 1.345 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1ccc(F)cc1C#N ZINC000350869884 297063269 /nfs/dbraw/zinc/06/32/69/297063269.db2.gz LWMNEJZIQNFSJR-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1ccc(F)cc1C#N ZINC000350869884 297063271 /nfs/dbraw/zinc/06/32/71/297063271.db2.gz LWMNEJZIQNFSJR-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO O=C(N[C@@H]1CCc2[nH+]c[nH]c2C1)N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000329748122 295389159 /nfs/dbraw/zinc/38/91/59/295389159.db2.gz SSBIAYUIPGUXNE-QKCSRTOESA-N 1 2 317.393 1.764 20 30 DDEDLO O=C(N[C@@H]1CCc2[nH]c[nH+]c2C1)N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000329748122 295389161 /nfs/dbraw/zinc/38/91/61/295389161.db2.gz SSBIAYUIPGUXNE-QKCSRTOESA-N 1 2 317.393 1.764 20 30 DDEDLO C[N@H+](CCCc1[nH]nc(N)c1C#N)Cc1noc(C(C)(C)C)n1 ZINC000162702599 298201248 /nfs/dbraw/zinc/20/12/48/298201248.db2.gz HGNYBGMEPDQAOI-UHFFFAOYSA-N 1 2 317.397 1.609 20 30 DDEDLO C[N@@H+](CCCc1[nH]nc(N)c1C#N)Cc1noc(C(C)(C)C)n1 ZINC000162702599 298201251 /nfs/dbraw/zinc/20/12/51/298201251.db2.gz HGNYBGMEPDQAOI-UHFFFAOYSA-N 1 2 317.397 1.609 20 30 DDEDLO CN(C)c1cccc(C[NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)n1 ZINC000163128569 298206289 /nfs/dbraw/zinc/20/62/89/298206289.db2.gz UVMANHFXXGGWOC-INIZCTEOSA-N 1 2 309.373 1.335 20 30 DDEDLO Cc1c[nH+]c(CN2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)n1C ZINC000342810456 302343108 /nfs/dbraw/zinc/34/31/08/302343108.db2.gz VUPXWOHGWBFPAZ-ACJLOTCBSA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1ccc2ncc(C#N)c(NCC[N@@H+]3CCO[C@@H](C)C3)c2c1 ZINC000570109852 308158888 /nfs/dbraw/zinc/15/88/88/308158888.db2.gz WMNAWBJFDHSARG-AWEZNQCLSA-N 1 2 310.401 1.969 20 30 DDEDLO Cc1ccc2ncc(C#N)c(NCC[N@H+]3CCO[C@@H](C)C3)c2c1 ZINC000570109852 308158889 /nfs/dbraw/zinc/15/88/89/308158889.db2.gz WMNAWBJFDHSARG-AWEZNQCLSA-N 1 2 310.401 1.969 20 30 DDEDLO CC(C)(C)N1CC[C@H]([NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)C1=O ZINC000571882121 308205484 /nfs/dbraw/zinc/20/54/84/308205484.db2.gz OVNCAGFSFHKOKU-UONOGXRCSA-N 1 2 314.389 1.074 20 30 DDEDLO CCOc1ccc(OCC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000573272413 331957548 /nfs/dbraw/zinc/95/75/48/331957548.db2.gz QNCLHZURLKRFJB-MRXNPFEDSA-N 1 2 305.378 1.424 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCO[C@]2(CCSC2)C1 ZINC000563071179 333305407 /nfs/dbraw/zinc/30/54/07/333305407.db2.gz WFTUSVWDVFVBPO-LSDHHAIUSA-N 1 2 311.451 1.249 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCO[C@]2(CCSC2)C1 ZINC000563071179 333305408 /nfs/dbraw/zinc/30/54/08/333305408.db2.gz WFTUSVWDVFVBPO-LSDHHAIUSA-N 1 2 311.451 1.249 20 30 DDEDLO C=C(C)Cn1c(CC(C)C)nnc1N(C)CC[NH+]1CCOCC1 ZINC000338845610 333500100 /nfs/dbraw/zinc/50/01/00/333500100.db2.gz BJJSQJYADGGVRT-UHFFFAOYSA-N 1 2 321.469 1.821 20 30 DDEDLO Cc1cccc([C@H](CNC(=O)[C@H](C)C#N)[NH+]2CCOCC2)c1 ZINC000345841825 335354917 /nfs/dbraw/zinc/35/49/17/335354917.db2.gz KJLJYAIXDKJYHL-ZBFHGGJFSA-N 1 2 301.390 1.644 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCc2ccc(O)cc2C1 ZINC000532732126 336268553 /nfs/dbraw/zinc/26/85/53/336268553.db2.gz LQWCTOFQHIXKTA-LLVKDONJSA-N 1 2 303.362 1.151 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCc2ccc(O)cc2C1 ZINC000532732126 336268554 /nfs/dbraw/zinc/26/85/54/336268554.db2.gz LQWCTOFQHIXKTA-LLVKDONJSA-N 1 2 303.362 1.151 20 30 DDEDLO CC#CC(=O)N1CC[NH+]([C@H]2CCN(C(=O)OC(C)(C)C)C2)CC1 ZINC000495907906 339994581 /nfs/dbraw/zinc/99/45/81/339994581.db2.gz XZYPTEGXVSAJID-AWEZNQCLSA-N 1 2 321.421 1.163 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)CN1C[C@@H](C(=O)OC)OC1=O ZINC000496280044 340002075 /nfs/dbraw/zinc/00/20/75/340002075.db2.gz AZHWOLQDVHWXGV-ZDUSSCGKSA-N 1 2 308.334 1.261 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)CN1C[C@@H](C(=O)OC)OC1=O ZINC000496280044 340002076 /nfs/dbraw/zinc/00/20/76/340002076.db2.gz AZHWOLQDVHWXGV-ZDUSSCGKSA-N 1 2 308.334 1.261 20 30 DDEDLO C[C@@H](CNC(=O)OC(C)(C)C)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000496504476 340006784 /nfs/dbraw/zinc/00/67/84/340006784.db2.gz IUPISWKFBXJNRQ-NHYWBVRUSA-N 1 2 312.414 1.107 20 30 DDEDLO C[N@@H+]1CCN(C(=O)OC(C)(C)C)C[C@H]1CNC(=O)C(C)(C)C#N ZINC000496585903 340008372 /nfs/dbraw/zinc/00/83/72/340008372.db2.gz ITXSQKTUOJCIKE-GFCCVEGCSA-N 1 2 324.425 1.203 20 30 DDEDLO C[N@H+]1CCN(C(=O)OC(C)(C)C)C[C@H]1CNC(=O)C(C)(C)C#N ZINC000496585903 340008373 /nfs/dbraw/zinc/00/83/73/340008373.db2.gz ITXSQKTUOJCIKE-GFCCVEGCSA-N 1 2 324.425 1.203 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@H](C)C(=O)NC2(C#N)CCCCC2)o1 ZINC000542615414 341015121 /nfs/dbraw/zinc/01/51/21/341015121.db2.gz DQIIPRSVDQRZIM-GHMZBOCLSA-N 1 2 305.382 1.760 20 30 DDEDLO CC1(C#N)CC[NH+]([C@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)CC1 ZINC000543716963 341055021 /nfs/dbraw/zinc/05/50/21/341055021.db2.gz SFSUFIUJKSKFEK-HNNXBMFYSA-N 1 2 322.368 1.816 20 30 DDEDLO C=CCOCCCNC(=O)C(=O)Nc1ccn2cc(C)[nH+]c2c1 ZINC000658620923 484421359 /nfs/dbraw/zinc/42/13/59/484421359.db2.gz WRELKZTZHBBBDX-UHFFFAOYSA-N 1 2 316.361 1.290 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000680386832 486172127 /nfs/dbraw/zinc/17/21/27/486172127.db2.gz XSWGZGIKOQAXDC-CQSZACIVSA-N 1 2 302.378 1.421 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@H+]1CC[C@@](O)(Cn2ccnn2)C1 ZINC000585802570 501030564 /nfs/dbraw/zinc/03/05/64/501030564.db2.gz BMUPSTYNUSMHDA-HNNXBMFYSA-N 1 2 317.780 1.440 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@@H+]1CC[C@@](O)(Cn2ccnn2)C1 ZINC000585802570 501030569 /nfs/dbraw/zinc/03/05/69/501030569.db2.gz BMUPSTYNUSMHDA-HNNXBMFYSA-N 1 2 317.780 1.440 20 30 DDEDLO CSC[C@](C)(C#N)NC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000345088745 533821310 /nfs/dbraw/zinc/82/13/10/533821310.db2.gz CDWRDYDCRBEYDF-IOASZLSFSA-N 1 2 318.446 1.915 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2cc(F)cc(F)c2)C1 ZINC000330948919 526400944 /nfs/dbraw/zinc/40/09/44/526400944.db2.gz NBDIYRGPGDBLSE-LLVKDONJSA-N 1 2 312.320 1.111 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2cc(F)cc(F)c2)C1 ZINC000330948919 526400949 /nfs/dbraw/zinc/40/09/49/526400949.db2.gz NBDIYRGPGDBLSE-LLVKDONJSA-N 1 2 312.320 1.111 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C(C)C)c2)C1 ZINC000330947465 526401462 /nfs/dbraw/zinc/40/14/62/526401462.db2.gz LZDDSGOCFJGECL-OAHLLOKOSA-N 1 2 318.421 1.956 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C(C)C)c2)C1 ZINC000330947465 526401467 /nfs/dbraw/zinc/40/14/67/526401467.db2.gz LZDDSGOCFJGECL-OAHLLOKOSA-N 1 2 318.421 1.956 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2ccc(C)c(C)c2)C1 ZINC000330952752 526401745 /nfs/dbraw/zinc/40/17/45/526401745.db2.gz QZECXHOXWCAXAE-DZGCQCFKSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2ccc(C)c(C)c2)C1 ZINC000330952752 526401751 /nfs/dbraw/zinc/40/17/51/526401751.db2.gz QZECXHOXWCAXAE-DZGCQCFKSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)NCCC2CCCCC2)C1 ZINC000330947480 526402764 /nfs/dbraw/zinc/40/27/64/526402764.db2.gz MAOSRJZFPJDHIQ-AWEZNQCLSA-N 1 2 310.442 1.281 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)NCCC2CCCCC2)C1 ZINC000330947480 526402769 /nfs/dbraw/zinc/40/27/69/526402769.db2.gz MAOSRJZFPJDHIQ-AWEZNQCLSA-N 1 2 310.442 1.281 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccc(C)cc2C)C1 ZINC000330951359 526403155 /nfs/dbraw/zinc/40/31/55/526403155.db2.gz PSSYKKGGGQVPBE-ZDUSSCGKSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C)cc2C)C1 ZINC000330951359 526403162 /nfs/dbraw/zinc/40/31/62/526403162.db2.gz PSSYKKGGGQVPBE-ZDUSSCGKSA-N 1 2 304.394 1.450 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCO[C@H]([C@H](C)O)C2)cc1OC ZINC000414599585 526869261 /nfs/dbraw/zinc/86/92/61/526869261.db2.gz ZUJYNZZIFCRDTP-GUYCJALGSA-N 1 2 307.390 1.842 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCO[C@H]([C@H](C)O)C2)cc1OC ZINC000414599585 526869265 /nfs/dbraw/zinc/86/92/65/526869265.db2.gz ZUJYNZZIFCRDTP-GUYCJALGSA-N 1 2 307.390 1.842 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCO[C@H]([C@@H](C)O)C2)cc1OC ZINC000414599584 526869574 /nfs/dbraw/zinc/86/95/74/526869574.db2.gz ZUJYNZZIFCRDTP-DYVFJYSZSA-N 1 2 307.390 1.842 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCO[C@H]([C@@H](C)O)C2)cc1OC ZINC000414599584 526869578 /nfs/dbraw/zinc/86/95/78/526869578.db2.gz ZUJYNZZIFCRDTP-DYVFJYSZSA-N 1 2 307.390 1.842 20 30 DDEDLO C#CC[N@@H+](CCCCCn1cc(C)cn1)[C@@H]1CCS(=O)(=O)C1 ZINC000490851322 526900779 /nfs/dbraw/zinc/90/07/79/526900779.db2.gz GRBFATXWNDHBDY-MRXNPFEDSA-N 1 2 323.462 1.484 20 30 DDEDLO C#CC[N@H+](CCCCCn1cc(C)cn1)[C@@H]1CCS(=O)(=O)C1 ZINC000490851322 526900784 /nfs/dbraw/zinc/90/07/84/526900784.db2.gz GRBFATXWNDHBDY-MRXNPFEDSA-N 1 2 323.462 1.484 20 30 DDEDLO C#CC[N@@H+](C[C@H](Cc1ccccc1)OC)[C@H]1CCS(=O)(=O)C1 ZINC000491255970 526902984 /nfs/dbraw/zinc/90/29/84/526902984.db2.gz YUPCGHOYDRBIRZ-IRXDYDNUSA-N 1 2 321.442 1.366 20 30 DDEDLO C#CC[N@H+](C[C@H](Cc1ccccc1)OC)[C@H]1CCS(=O)(=O)C1 ZINC000491255970 526902986 /nfs/dbraw/zinc/90/29/86/526902986.db2.gz YUPCGHOYDRBIRZ-IRXDYDNUSA-N 1 2 321.442 1.366 20 30 DDEDLO C#CC[N@@H+](Cn1cc(S(=O)(=O)N(C)C)cn1)C1CCCCC1 ZINC000490669632 526913369 /nfs/dbraw/zinc/91/33/69/526913369.db2.gz ICHCLYMYVIWQNL-UHFFFAOYSA-N 1 2 324.450 1.359 20 30 DDEDLO C#CC[N@H+](Cn1cc(S(=O)(=O)N(C)C)cn1)C1CCCCC1 ZINC000490669632 526913376 /nfs/dbraw/zinc/91/33/76/526913376.db2.gz ICHCLYMYVIWQNL-UHFFFAOYSA-N 1 2 324.450 1.359 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000348784705 526933524 /nfs/dbraw/zinc/93/35/24/526933524.db2.gz OUAVGWSOJAMCBT-GOEBONIOSA-N 1 2 307.415 1.870 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000348784705 526933529 /nfs/dbraw/zinc/93/35/29/526933529.db2.gz OUAVGWSOJAMCBT-GOEBONIOSA-N 1 2 307.415 1.870 20 30 DDEDLO C#CCN1CCN(C(=O)C[NH2+]C2(C(F)F)CCCCC2)CC1 ZINC000491180139 526950348 /nfs/dbraw/zinc/95/03/48/526950348.db2.gz KCCJMGXAEUXNBB-UHFFFAOYSA-N 1 2 313.392 1.321 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2c(F)cccc2Cl)CC1 ZINC000491453978 526951433 /nfs/dbraw/zinc/95/14/33/526951433.db2.gz UXCTVRXOAMKVHM-UHFFFAOYSA-N 1 2 310.756 1.635 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000342089750 526962719 /nfs/dbraw/zinc/96/27/19/526962719.db2.gz BYXJQJINVCCZKG-AWEZNQCLSA-N 1 2 317.389 1.239 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000342089750 526962725 /nfs/dbraw/zinc/96/27/25/526962725.db2.gz BYXJQJINVCCZKG-AWEZNQCLSA-N 1 2 317.389 1.239 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](CC(=O)OCc2ccccc2)CC1 ZINC000491068269 526968476 /nfs/dbraw/zinc/96/84/76/526968476.db2.gz NLDPFNAAJQQSSM-UHFFFAOYSA-N 1 2 314.385 1.191 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nc(CCCC)no2)CC1 ZINC000491657068 526971944 /nfs/dbraw/zinc/97/19/44/526971944.db2.gz INHLYKJPZUDNFO-UHFFFAOYSA-N 1 2 304.394 1.374 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(Cc2csc(C(C)C)n2)CC1 ZINC000491071318 527002849 /nfs/dbraw/zinc/00/28/49/527002849.db2.gz JRIBJPYGAYOYCK-UHFFFAOYSA-N 1 2 320.462 1.134 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@@H](C)c2ccc(F)cc2)CC1 ZINC000491072762 527003809 /nfs/dbraw/zinc/00/38/09/527003809.db2.gz VPHXUTRIGLZANR-AWEZNQCLSA-N 1 2 303.381 1.254 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCc1cn2c(cccc2C)[nH+]1 ZINC000341817651 527173494 /nfs/dbraw/zinc/17/34/94/527173494.db2.gz FZEVZRDJAVYHHI-ZDUSSCGKSA-N 1 2 302.378 1.627 20 30 DDEDLO CC(=O)c1ccc(C#N)cc1N1C[C@@H](C)[C@@H]([NH+]2CCOCC2)C1 ZINC000302104523 527230333 /nfs/dbraw/zinc/23/03/33/527230333.db2.gz MVAXRAQPTYKEQQ-ACJLOTCBSA-N 1 2 313.401 1.918 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCO[C@@H]([C@H](C)O)C2)cc(OC)c1O ZINC000414598436 527237498 /nfs/dbraw/zinc/23/74/98/527237498.db2.gz YHCOTOMKZGUUMC-BLLLJJGKSA-N 1 2 307.390 1.711 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCO[C@@H]([C@H](C)O)C2)cc(OC)c1O ZINC000414598436 527237505 /nfs/dbraw/zinc/23/75/05/527237505.db2.gz YHCOTOMKZGUUMC-BLLLJJGKSA-N 1 2 307.390 1.711 20 30 DDEDLO C#C[C@@H](CC)NC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000491096977 527239589 /nfs/dbraw/zinc/23/95/89/527239589.db2.gz YIVXLZBWCAMTEZ-ZDUSSCGKSA-N 1 2 302.378 1.760 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000339988911 527302692 /nfs/dbraw/zinc/30/26/92/527302692.db2.gz YYKJETDPQQVKFT-UHFFFAOYSA-N 1 2 320.780 1.638 20 30 DDEDLO C=CCC[NH+]1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000338547487 527326610 /nfs/dbraw/zinc/32/66/10/527326610.db2.gz VIGHURMZCVRTIG-UHFFFAOYSA-N 1 2 301.456 1.301 20 30 DDEDLO C#C[C@H](CCC)NC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000491795998 527365281 /nfs/dbraw/zinc/36/52/81/527365281.db2.gz YHRMVNGTGOIOAU-CYBMUJFWSA-N 1 2 310.357 1.729 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccccc2N2CCOCC2)nn1 ZINC000424168478 527372388 /nfs/dbraw/zinc/37/23/88/527372388.db2.gz FXXWWDOMBWBOQX-UHFFFAOYSA-N 1 2 313.405 1.591 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc(OC)c(OC)cc2OC)nn1 ZINC000424170684 527373019 /nfs/dbraw/zinc/37/30/19/527373019.db2.gz JYWVAYYTLHCQDT-UHFFFAOYSA-N 1 2 318.377 1.780 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000491778339 527428037 /nfs/dbraw/zinc/42/80/37/527428037.db2.gz INHFYQVLFVUXEL-ZDUSSCGKSA-N 1 2 317.345 1.566 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000491778339 527428039 /nfs/dbraw/zinc/42/80/39/527428039.db2.gz INHFYQVLFVUXEL-ZDUSSCGKSA-N 1 2 317.345 1.566 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCOC[C@@H]1CC1CCC1 ZINC000339770494 527930516 /nfs/dbraw/zinc/93/05/16/527930516.db2.gz FRTUNUUNISHDGQ-RDJZCZTQSA-N 1 2 307.438 1.932 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCOC[C@@H]1CC1CCC1 ZINC000339770494 527930519 /nfs/dbraw/zinc/93/05/19/527930519.db2.gz FRTUNUUNISHDGQ-RDJZCZTQSA-N 1 2 307.438 1.932 20 30 DDEDLO CC(C)CO[C@@H]1CCN(C([O-])=[NH+][C@H]2CCc3[nH]c[nH+]c3C2)C1 ZINC000329748276 528123672 /nfs/dbraw/zinc/12/36/72/528123672.db2.gz ZWPOCEJHZRTAMM-QWHCGFSZSA-N 1 2 306.410 1.928 20 30 DDEDLO CC(C)CO[C@@H]1CCN(C([O-])=[NH+][C@H]2CCc3[nH+]c[nH]c3C2)C1 ZINC000329748276 528123676 /nfs/dbraw/zinc/12/36/76/528123676.db2.gz ZWPOCEJHZRTAMM-QWHCGFSZSA-N 1 2 306.410 1.928 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCC[C@@H]1[C@@H](O)C(F)(F)F ZINC000340193419 528356309 /nfs/dbraw/zinc/35/63/09/528356309.db2.gz WECOSROIEHOUCP-DGCLKSJQSA-N 1 2 321.343 1.526 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCC[C@@H]1[C@@H](O)C(F)(F)F ZINC000340193419 528356316 /nfs/dbraw/zinc/35/63/16/528356316.db2.gz WECOSROIEHOUCP-DGCLKSJQSA-N 1 2 321.343 1.526 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000369215730 529156516 /nfs/dbraw/zinc/15/65/16/529156516.db2.gz RINAJJJNGYOGFU-AWEZNQCLSA-N 1 2 300.406 1.853 20 30 DDEDLO C#CCNC(=O)[C@H](C)OC(=O)[C@H](c1ccccc1)[NH+](CC)CC ZINC000744995995 699968413 /nfs/dbraw/zinc/96/84/13/699968413.db2.gz IRDJARYUKXJDNZ-HOCLYGCPSA-N 1 2 316.401 1.751 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)O[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000745087745 699971373 /nfs/dbraw/zinc/97/13/73/699971373.db2.gz RQVSMOWXIBXOGO-CABCVRRESA-N 1 2 315.373 1.502 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)O[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000745087745 699971374 /nfs/dbraw/zinc/97/13/74/699971374.db2.gz RQVSMOWXIBXOGO-CABCVRRESA-N 1 2 315.373 1.502 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCC3CCCC3)C2)C1 ZINC000972240346 695186631 /nfs/dbraw/zinc/18/66/31/695186631.db2.gz SLWJOPZYPQZBEK-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCC3CCCC3)C2)C1 ZINC000972240346 695186633 /nfs/dbraw/zinc/18/66/33/695186633.db2.gz SLWJOPZYPQZBEK-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3CCOCC3)C2)C1 ZINC000972308660 695212947 /nfs/dbraw/zinc/21/29/47/695212947.db2.gz OUNQJCUXKVEVJJ-QGZVFWFLSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3CCOCC3)C2)C1 ZINC000972308660 695212950 /nfs/dbraw/zinc/21/29/50/695212950.db2.gz OUNQJCUXKVEVJJ-QGZVFWFLSA-N 1 2 308.422 1.292 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)C1 ZINC000972320854 695215434 /nfs/dbraw/zinc/21/54/34/695215434.db2.gz RDPKDOVCCRDANX-MUQADHOPSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)C1 ZINC000972320854 695215436 /nfs/dbraw/zinc/21/54/36/695215436.db2.gz RDPKDOVCCRDANX-MUQADHOPSA-N 1 2 302.418 1.359 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccoc3)C2)C1 ZINC000972351619 695223855 /nfs/dbraw/zinc/22/38/55/695223855.db2.gz HCBXOQOKQILQLJ-QGZVFWFLSA-N 1 2 302.374 1.149 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccoc3)C2)C1 ZINC000972351619 695223858 /nfs/dbraw/zinc/22/38/58/695223858.db2.gz HCBXOQOKQILQLJ-QGZVFWFLSA-N 1 2 302.374 1.149 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(C)ccn3C)C2)C1 ZINC000972358651 695227537 /nfs/dbraw/zinc/22/75/37/695227537.db2.gz SZEDNSUEPOUFJK-GOSISDBHSA-N 1 2 315.417 1.274 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(C)ccn3C)C2)C1 ZINC000972358651 695227538 /nfs/dbraw/zinc/22/75/38/695227538.db2.gz SZEDNSUEPOUFJK-GOSISDBHSA-N 1 2 315.417 1.274 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)coc3C)C2)C1 ZINC000972368098 695231290 /nfs/dbraw/zinc/23/12/90/695231290.db2.gz PKPRGHOXKUPXFT-KRWDZBQOSA-N 1 2 304.390 1.999 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)coc3C)C2)C1 ZINC000972368098 695231291 /nfs/dbraw/zinc/23/12/91/695231291.db2.gz PKPRGHOXKUPXFT-KRWDZBQOSA-N 1 2 304.390 1.999 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)C1 ZINC000972474630 695259097 /nfs/dbraw/zinc/25/90/97/695259097.db2.gz KOFWSQKAUDJSKG-NBMJBFSESA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)C1 ZINC000972474630 695259099 /nfs/dbraw/zinc/25/90/99/695259099.db2.gz KOFWSQKAUDJSKG-NBMJBFSESA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@]34C[C@H]3CCC4)C2)C1 ZINC000972476172 695259740 /nfs/dbraw/zinc/25/97/40/695259740.db2.gz VMDKJFDRTHLZHG-BPQIPLTHSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@]34C[C@H]3CCC4)C2)C1 ZINC000972476172 695259741 /nfs/dbraw/zinc/25/97/41/695259741.db2.gz VMDKJFDRTHLZHG-BPQIPLTHSA-N 1 2 302.418 1.503 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c[nH]cc3C3CC3)C2)C1 ZINC000972621684 695301502 /nfs/dbraw/zinc/30/15/02/695301502.db2.gz NWGISXJOKQOKBZ-SFHVURJKSA-N 1 2 315.417 1.995 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c[nH]cc3C3CC3)C2)C1 ZINC000972621684 695301505 /nfs/dbraw/zinc/30/15/05/695301505.db2.gz NWGISXJOKQOKBZ-SFHVURJKSA-N 1 2 315.417 1.995 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3CCCOC3)C2)C1 ZINC000972683024 695316301 /nfs/dbraw/zinc/31/63/01/695316301.db2.gz KYZLOPQYKIYWGC-DOTOQJQBSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3CCCOC3)C2)C1 ZINC000972683024 695316302 /nfs/dbraw/zinc/31/63/02/695316302.db2.gz KYZLOPQYKIYWGC-DOTOQJQBSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)nsc3C)C2)C1 ZINC000972693255 695317655 /nfs/dbraw/zinc/31/76/55/695317655.db2.gz XMMNVTDIDAFTIW-INIZCTEOSA-N 1 2 321.446 1.863 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)nsc3C)C2)C1 ZINC000972693255 695317657 /nfs/dbraw/zinc/31/76/57/695317657.db2.gz XMMNVTDIDAFTIW-INIZCTEOSA-N 1 2 321.446 1.863 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ccn[nH]1 ZINC000972826291 695340517 /nfs/dbraw/zinc/34/05/17/695340517.db2.gz XVYKKTRWXMZUBX-MRXNPFEDSA-N 1 2 323.400 1.922 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ccn[nH]1 ZINC000972826291 695340519 /nfs/dbraw/zinc/34/05/19/695340519.db2.gz XVYKKTRWXMZUBX-MRXNPFEDSA-N 1 2 323.400 1.922 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc(=O)n(C)o2)C(C)(C)C1 ZINC000974500393 695680965 /nfs/dbraw/zinc/68/09/65/695680965.db2.gz RXCHKTWMMQUGLN-LLVKDONJSA-N 1 2 313.785 1.171 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc(=O)n(C)o2)C(C)(C)C1 ZINC000974500393 695680967 /nfs/dbraw/zinc/68/09/67/695680967.db2.gz RXCHKTWMMQUGLN-LLVKDONJSA-N 1 2 313.785 1.171 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CN(C(=O)Cc3c[nH+]c[nH]3)[C@@H]2C1 ZINC000975423899 695841478 /nfs/dbraw/zinc/84/14/78/695841478.db2.gz DZDFKTLZQAOKLP-TZMCWYRMSA-N 1 2 316.405 1.224 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000798430115 700065118 /nfs/dbraw/zinc/06/51/18/700065118.db2.gz AUTZBPJQJRGFAC-OAHLLOKOSA-N 1 2 314.389 1.259 20 30 DDEDLO Cc1ccccc1CCNC(=O)CO[NH+]=C(N)c1ccccc1 ZINC000042853532 696151621 /nfs/dbraw/zinc/15/16/21/696151621.db2.gz KTHZEJREUBWXHR-UHFFFAOYSA-N 1 2 311.385 1.991 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C(C)(C)C1 ZINC000977574344 696218811 /nfs/dbraw/zinc/21/88/11/696218811.db2.gz UBJUVLGPMSPTFM-OAHLLOKOSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C(C)(C)C1 ZINC000977574344 696218814 /nfs/dbraw/zinc/21/88/14/696218814.db2.gz UBJUVLGPMSPTFM-OAHLLOKOSA-N 1 2 311.389 1.421 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)N2CCCC[C@H]2C)cc1 ZINC000054230179 696274121 /nfs/dbraw/zinc/27/41/21/696274121.db2.gz COPKXKPMVCHFAJ-GFCCVEGCSA-N 1 2 305.378 1.733 20 30 DDEDLO COCC(=O)N1CCC[N@H+](CC#Cc2ccc(Cl)cc2)CC1 ZINC000980583683 696806468 /nfs/dbraw/zinc/80/64/68/696806468.db2.gz PCRRYLUJWCTIGM-UHFFFAOYSA-N 1 2 320.820 1.872 20 30 DDEDLO COCC(=O)N1CCC[N@@H+](CC#Cc2ccc(Cl)cc2)CC1 ZINC000980583683 696806471 /nfs/dbraw/zinc/80/64/71/696806471.db2.gz PCRRYLUJWCTIGM-UHFFFAOYSA-N 1 2 320.820 1.872 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[NH+]2CCN(C(=O)N(C)C)CC2)cc1 ZINC000133574228 696828099 /nfs/dbraw/zinc/82/80/99/696828099.db2.gz NAEMUZOYBZLVBJ-AWEZNQCLSA-N 1 2 316.405 1.777 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cc(C#N)ccc2F)CC1 ZINC000980785549 696888074 /nfs/dbraw/zinc/88/80/74/696888074.db2.gz HOIFIAAMXWNOIN-ZDUSSCGKSA-N 1 2 319.380 1.767 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cc(C#N)ccc2F)CC1 ZINC000980785549 696888079 /nfs/dbraw/zinc/88/80/79/696888079.db2.gz HOIFIAAMXWNOIN-ZDUSSCGKSA-N 1 2 319.380 1.767 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000980848167 696916657 /nfs/dbraw/zinc/91/66/57/696916657.db2.gz QUXAEFOOEDAYGB-LSDHHAIUSA-N 1 2 320.437 1.081 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000980848167 696916658 /nfs/dbraw/zinc/91/66/58/696916658.db2.gz QUXAEFOOEDAYGB-LSDHHAIUSA-N 1 2 320.437 1.081 20 30 DDEDLO C=CC[N@H+]1CCCN(C(=O)[C@H]2C[C@H](C)Cc3c[nH]nc32)CC1 ZINC000981814478 696928175 /nfs/dbraw/zinc/92/81/75/696928175.db2.gz GWMPJIAXTWLOHF-HIFRSBDPSA-N 1 2 302.422 1.796 20 30 DDEDLO C=CC[N@@H+]1CCCN(C(=O)[C@H]2C[C@H](C)Cc3c[nH]nc32)CC1 ZINC000981814478 696928179 /nfs/dbraw/zinc/92/81/79/696928179.db2.gz GWMPJIAXTWLOHF-HIFRSBDPSA-N 1 2 302.422 1.796 20 30 DDEDLO COCCc1noc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)n1 ZINC000158156698 696986938 /nfs/dbraw/zinc/98/69/38/696986938.db2.gz QOIGFLKBPBAZIM-UHFFFAOYSA-N 1 2 318.333 1.031 20 30 DDEDLO C=CCC[N@@H+]1CCCN(C(=O)[C@@H]2CCCCS2(=O)=O)CC1 ZINC000981322250 697065836 /nfs/dbraw/zinc/06/58/36/697065836.db2.gz MUSWIIAFGDWFOS-AWEZNQCLSA-N 1 2 314.451 1.064 20 30 DDEDLO C=CCC[N@H+]1CCCN(C(=O)[C@@H]2CCCCS2(=O)=O)CC1 ZINC000981322250 697065839 /nfs/dbraw/zinc/06/58/39/697065839.db2.gz MUSWIIAFGDWFOS-AWEZNQCLSA-N 1 2 314.451 1.064 20 30 DDEDLO COC(=O)/C(C)=C\C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000748813548 700135748 /nfs/dbraw/zinc/13/57/48/700135748.db2.gz MWTBQQHORWOWRA-ACAGNQJTSA-N 1 2 300.362 1.195 20 30 DDEDLO Cn1cc(-c2cc(CO[NH+]=C(N)Cc3cccnc3)on2)cn1 ZINC000184440284 697503034 /nfs/dbraw/zinc/50/30/34/697503034.db2.gz WNNWBPAEQWKPMF-UHFFFAOYSA-N 1 2 312.333 1.502 20 30 DDEDLO C#C[C@H](NC(=O)NCCCNc1cccc[nH+]1)[C@@H]1CCCO1 ZINC000773312397 697742457 /nfs/dbraw/zinc/74/24/57/697742457.db2.gz VZNYBZQXZALEDW-KBPBESRZSA-N 1 2 302.378 1.364 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)NCCCCC#N)c(N(C)C)[nH+]1 ZINC000750234751 700210518 /nfs/dbraw/zinc/21/05/18/700210518.db2.gz WPBPVZGOSNNCMM-UHFFFAOYSA-N 1 2 303.366 1.205 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000779213637 698402010 /nfs/dbraw/zinc/40/20/10/698402010.db2.gz LXMQNNDGWIDPDF-CYBMUJFWSA-N 1 2 309.325 1.095 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=Cc2cnn(Cc3ccccc3Cl)c2)N1 ZINC000779792055 698465686 /nfs/dbraw/zinc/46/56/86/698465686.db2.gz OAMDIKMGNBLZOR-LLVKDONJSA-N 1 2 316.796 1.856 20 30 DDEDLO N#Cc1ccc(CNC(=O)N2CCN(c3cccc[nH+]3)CC2)nc1 ZINC000780654507 698544067 /nfs/dbraw/zinc/54/40/67/698544067.db2.gz HXGVDGKAPHJXAR-UHFFFAOYSA-N 1 2 322.372 1.380 20 30 DDEDLO C[C@H]([NH2+]Cc1nnnn1CC1CC1)c1ccc(OCC#N)cc1 ZINC000784264994 698922249 /nfs/dbraw/zinc/92/22/49/698922249.db2.gz GPKYXQQHTZPSSW-LBPRGKRZSA-N 1 2 312.377 1.836 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)OC(=O)COc1ccccc1C#N ZINC000784917543 699047417 /nfs/dbraw/zinc/04/74/17/699047417.db2.gz FMJTXEHINXASOW-CYBMUJFWSA-N 1 2 304.346 1.201 20 30 DDEDLO CCCNC(=S)N[NH+]=Cc1ccc(N2CCOCC2)c(F)c1 ZINC000727253288 699405949 /nfs/dbraw/zinc/40/59/49/699405949.db2.gz FRHPRVIBNFHGDI-UHFFFAOYSA-N 1 2 324.425 1.870 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(C(=O)OC)c1 ZINC000732055133 699544820 /nfs/dbraw/zinc/54/48/20/699544820.db2.gz YHKJNSNIWYJRBY-AWEZNQCLSA-N 1 2 304.321 1.648 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(C(=O)OC)c1 ZINC000732055133 699544822 /nfs/dbraw/zinc/54/48/22/699544822.db2.gz YHKJNSNIWYJRBY-AWEZNQCLSA-N 1 2 304.321 1.648 20 30 DDEDLO C=CC1CC[NH+](Cn2nc(N3CCOCC3)n(C)c2=S)CC1 ZINC000732181495 699549019 /nfs/dbraw/zinc/54/90/19/699549019.db2.gz WHPDYRHGDGHFPP-UHFFFAOYSA-N 1 2 323.466 1.643 20 30 DDEDLO C=CCn1nnn(CN2CCC[C@H](n3cc[nH+]c3)C2)c1=S ZINC000738159930 699754350 /nfs/dbraw/zinc/75/43/50/699754350.db2.gz UYNTYJSONMGMIL-LBPRGKRZSA-N 1 2 305.411 1.486 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](CN3CCSC3=S)C2)nc1 ZINC000793606961 699767152 /nfs/dbraw/zinc/76/71/52/699767152.db2.gz MQBYYGAQSAWQJH-LBPRGKRZSA-N 1 2 320.443 1.698 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](CN3CCSC3=S)C2)nc1 ZINC000793606961 699767153 /nfs/dbraw/zinc/76/71/53/699767153.db2.gz MQBYYGAQSAWQJH-LBPRGKRZSA-N 1 2 320.443 1.698 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+]([C@@H](C(=O)OC)c2ccc(C#N)cc2)C1 ZINC000739914815 699782871 /nfs/dbraw/zinc/78/28/71/699782871.db2.gz LDYNYUDTVDBWFL-LSDHHAIUSA-N 1 2 315.373 1.230 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+]([C@@H](C(=O)OC)c2ccc(C#N)cc2)C1 ZINC000739914815 699782872 /nfs/dbraw/zinc/78/28/72/699782872.db2.gz LDYNYUDTVDBWFL-LSDHHAIUSA-N 1 2 315.373 1.230 20 30 DDEDLO C[C@H](C#N)OCCOC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000801843152 700345000 /nfs/dbraw/zinc/34/50/00/700345000.db2.gz ZSSZKXSDILBBPK-GDBMZVCRSA-N 1 2 318.373 1.359 20 30 DDEDLO C[C@H](C#N)OCCOC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000801843152 700345002 /nfs/dbraw/zinc/34/50/02/700345002.db2.gz ZSSZKXSDILBBPK-GDBMZVCRSA-N 1 2 318.373 1.359 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)/C=C(\C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000752349042 700357714 /nfs/dbraw/zinc/35/77/14/700357714.db2.gz ICIGBNBMOQWMKO-BUHFOSPRSA-N 1 2 313.357 1.776 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1csc([C@@H](C)OC)n1 ZINC000752514723 700370064 /nfs/dbraw/zinc/37/00/64/700370064.db2.gz KZRVHVLADUDUAY-YPMHNXCESA-N 1 2 307.419 1.564 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1csc([C@@H](C)OC)n1 ZINC000752514723 700370069 /nfs/dbraw/zinc/37/00/69/700370069.db2.gz KZRVHVLADUDUAY-YPMHNXCESA-N 1 2 307.419 1.564 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2[nH]c(N(C)C)nc2c1 ZINC000802621070 700413389 /nfs/dbraw/zinc/41/33/89/700413389.db2.gz VOCURSRPHLUBHS-HNNXBMFYSA-N 1 2 311.389 1.665 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2[nH]c(N(C)C)nc2c1 ZINC000802621070 700413392 /nfs/dbraw/zinc/41/33/92/700413392.db2.gz VOCURSRPHLUBHS-HNNXBMFYSA-N 1 2 311.389 1.665 20 30 DDEDLO CCCC(=O)NC[C@H]1CCC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758213738 700689927 /nfs/dbraw/zinc/68/99/27/700689927.db2.gz DRZVFOJRZOEFLB-CQSZACIVSA-N 1 2 316.405 1.306 20 30 DDEDLO CCCC(=O)NC[C@H]1CCC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758213738 700689928 /nfs/dbraw/zinc/68/99/28/700689928.db2.gz DRZVFOJRZOEFLB-CQSZACIVSA-N 1 2 316.405 1.306 20 30 DDEDLO CC(C)N1C(=O)C[N@H+](C[C@@H](O)c2ccc(C#N)cc2)CC1(C)C ZINC000763241479 700930867 /nfs/dbraw/zinc/93/08/67/700930867.db2.gz NLSRKHFNSSFECF-MRXNPFEDSA-N 1 2 315.417 1.923 20 30 DDEDLO CC(C)N1C(=O)C[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)CC1(C)C ZINC000763241479 700930869 /nfs/dbraw/zinc/93/08/69/700930869.db2.gz NLSRKHFNSSFECF-MRXNPFEDSA-N 1 2 315.417 1.923 20 30 DDEDLO C[C@@H]1CC(NC(=O)c2ccc(-n3cc[nH+]c3)c([N+](=O)[O-])c2)=NO1 ZINC000763258016 700932162 /nfs/dbraw/zinc/93/21/62/700932162.db2.gz GEFCNFFUHCIVRP-SECBINFHSA-N 1 2 315.289 1.633 20 30 DDEDLO N#CCOc1ccc(CC(=O)N[C@H]2CCc3c[nH+]cn3C2)cc1 ZINC000804334026 701179206 /nfs/dbraw/zinc/17/92/06/701179206.db2.gz WETXBQYWKJOBNA-AWEZNQCLSA-N 1 2 310.357 1.459 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@H+](C)Cc3ncc(C)o3)C2)nc1 ZINC000768944053 701217801 /nfs/dbraw/zinc/21/78/01/701217801.db2.gz DCLGIUWCIYDNAU-HNNXBMFYSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@@H+](C)Cc3ncc(C)o3)C2)nc1 ZINC000768944053 701217802 /nfs/dbraw/zinc/21/78/02/701217802.db2.gz DCLGIUWCIYDNAU-HNNXBMFYSA-N 1 2 324.384 1.706 20 30 DDEDLO CS[C@H]1CC[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000830884011 706596960 /nfs/dbraw/zinc/59/69/60/706596960.db2.gz KAYNHZZWSXQJDM-LBPRGKRZSA-N 1 2 314.414 1.857 20 30 DDEDLO CS[C@H]1CC[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000830884011 706596961 /nfs/dbraw/zinc/59/69/61/706596961.db2.gz KAYNHZZWSXQJDM-LBPRGKRZSA-N 1 2 314.414 1.857 20 30 DDEDLO C=CCN(C(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21)C(C)C ZINC000807677835 701483596 /nfs/dbraw/zinc/48/35/96/701483596.db2.gz ZCUZQOMAAWMJMU-MRXNPFEDSA-N 1 2 315.417 1.712 20 30 DDEDLO C=CCN(C(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21)C(C)C ZINC000807677835 701483598 /nfs/dbraw/zinc/48/35/98/701483598.db2.gz ZCUZQOMAAWMJMU-MRXNPFEDSA-N 1 2 315.417 1.712 20 30 DDEDLO C[C@@H](C#N)CN(C)S(=O)(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC000867793743 701753156 /nfs/dbraw/zinc/75/31/56/701753156.db2.gz BEWOBINOGDNGKT-WFASDCNBSA-N 1 2 322.434 1.099 20 30 DDEDLO C[C@@H](C#N)CN(C)S(=O)(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC000867793743 701753159 /nfs/dbraw/zinc/75/31/59/701753159.db2.gz BEWOBINOGDNGKT-WFASDCNBSA-N 1 2 322.434 1.099 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CC=C(c3ccnn3C)CC2)C1=O ZINC000840081760 701957763 /nfs/dbraw/zinc/95/77/63/701957763.db2.gz WRXOMILFOUCEPC-UHFFFAOYSA-N 1 2 301.394 1.390 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CC=C(c3ccnn3C)CC2)C1=O ZINC000840081760 701957766 /nfs/dbraw/zinc/95/77/66/701957766.db2.gz WRXOMILFOUCEPC-UHFFFAOYSA-N 1 2 301.394 1.390 20 30 DDEDLO C#CCCCNC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000868322379 702064618 /nfs/dbraw/zinc/06/46/18/702064618.db2.gz FFTFVVFSKDIZJW-UHFFFAOYSA-N 1 2 318.446 1.847 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(Nc2ccncc2C#N)CC1 ZINC000866169468 706639055 /nfs/dbraw/zinc/63/90/55/706639055.db2.gz JCVUZLLZJRDIKS-UHFFFAOYSA-N 1 2 316.405 1.593 20 30 DDEDLO C[C@H]([NH2+][C@@H](C)C1(S(C)(=O)=O)CC1)c1cccc(C#N)c1O ZINC000866357469 706680781 /nfs/dbraw/zinc/68/07/81/706680781.db2.gz HVVLYFMZXFXNRG-QWRGUYRKSA-N 1 2 308.403 1.880 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCCN(CCCO)C1)c1cccc(C#N)c1O ZINC000866365471 706682148 /nfs/dbraw/zinc/68/21/48/706682148.db2.gz HHCBLMZIWCNTMC-ZFWWWQNUSA-N 1 2 303.406 1.761 20 30 DDEDLO C#CC[C@@H]1CCC[N@@H+](Cn2nc(C(=O)OC)cc2C(=O)OC)C1 ZINC000842629707 702745933 /nfs/dbraw/zinc/74/59/33/702745933.db2.gz QEXRIMAAYTVETR-GFCCVEGCSA-N 1 2 319.361 1.149 20 30 DDEDLO C#CC[C@@H]1CCC[N@H+](Cn2nc(C(=O)OC)cc2C(=O)OC)C1 ZINC000842629707 702745935 /nfs/dbraw/zinc/74/59/35/702745935.db2.gz QEXRIMAAYTVETR-GFCCVEGCSA-N 1 2 319.361 1.149 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](C[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000879586418 706728886 /nfs/dbraw/zinc/72/88/86/706728886.db2.gz LPTOTBWTHSLRRO-OAHLLOKOSA-N 1 2 319.430 1.115 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](Cc2nnc3n2c2ccccc2c(=O)n3C)C1 ZINC000847030165 703368740 /nfs/dbraw/zinc/36/87/40/703368740.db2.gz OZRUCHSAZWCZMY-CYBMUJFWSA-N 1 2 321.384 1.426 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](Cc2nnc3n2c2ccccc2c(=O)n3C)C1 ZINC000847030165 703368741 /nfs/dbraw/zinc/36/87/41/703368741.db2.gz OZRUCHSAZWCZMY-CYBMUJFWSA-N 1 2 321.384 1.426 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N(C)C[C@H](O)c1cccc(C#N)c1 ZINC000848239172 703530558 /nfs/dbraw/zinc/53/05/58/703530558.db2.gz BLKGYNRNYBQWRE-WBMJQRKESA-N 1 2 312.373 1.652 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N(C)C[C@H](O)c1cccc(C#N)c1 ZINC000848239172 703530559 /nfs/dbraw/zinc/53/05/59/703530559.db2.gz BLKGYNRNYBQWRE-WBMJQRKESA-N 1 2 312.373 1.652 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=Cc2nnn(-c3ccccc3)c2C2CC2)N1 ZINC000848408408 703547328 /nfs/dbraw/zinc/54/73/28/703547328.db2.gz MMIUQFRAXNGZES-NSHDSACASA-N 1 2 309.377 1.416 20 30 DDEDLO C=C(Cl)C[C@@H](NC(=O)[C@H](C)Cc1c[nH]c[nH+]1)C(=O)OCC ZINC000870034656 703898364 /nfs/dbraw/zinc/89/83/64/703898364.db2.gz GZPPDPMZTUOMCI-BXKDBHETSA-N 1 2 313.785 1.779 20 30 DDEDLO C=C(Cl)C[C@@H](NC(=O)[C@H](C)Cc1c[nH+]c[nH]1)C(=O)OCC ZINC000870034656 703898367 /nfs/dbraw/zinc/89/83/67/703898367.db2.gz GZPPDPMZTUOMCI-BXKDBHETSA-N 1 2 313.785 1.779 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC2=C(CCC(=O)N2)C1 ZINC000852301547 704003359 /nfs/dbraw/zinc/00/33/59/704003359.db2.gz OBFVJJWGJILWNN-LBPRGKRZSA-N 1 2 324.384 1.755 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC2=C(CCC(=O)N2)C1 ZINC000852301547 704003365 /nfs/dbraw/zinc/00/33/65/704003365.db2.gz OBFVJJWGJILWNN-LBPRGKRZSA-N 1 2 324.384 1.755 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+](CC(=O)Nc2ccccc2C(N)=O)C1 ZINC000852319056 704011879 /nfs/dbraw/zinc/01/18/79/704011879.db2.gz FYMZAJRUIRNBMI-GFCCVEGCSA-N 1 2 300.362 1.350 20 30 DDEDLO N#CC[C@H]1CCC[N@H+](CC(=O)Nc2ccccc2C(N)=O)C1 ZINC000852319056 704011880 /nfs/dbraw/zinc/01/18/80/704011880.db2.gz FYMZAJRUIRNBMI-GFCCVEGCSA-N 1 2 300.362 1.350 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(C)(C)C)nn1 ZINC000819152138 704076648 /nfs/dbraw/zinc/07/66/48/704076648.db2.gz MJGZSENNODYJAQ-AWEZNQCLSA-N 1 2 316.409 1.895 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000879778202 706789855 /nfs/dbraw/zinc/78/98/55/706789855.db2.gz HOWNTZSASLZUSN-UHFFFAOYSA-N 1 2 324.465 1.975 20 30 DDEDLO C[N@H+](CC(=O)Nc1nc2ccc(C#N)cc2[nH]1)[C@H]1CCSC1 ZINC000871072436 704213211 /nfs/dbraw/zinc/21/32/11/704213211.db2.gz LSZGPROGOJSITR-NSHDSACASA-N 1 2 315.402 1.810 20 30 DDEDLO C[N@@H+](CC(=O)Nc1nc2ccc(C#N)cc2[nH]1)[C@H]1CCSC1 ZINC000871072436 704213213 /nfs/dbraw/zinc/21/32/13/704213213.db2.gz LSZGPROGOJSITR-NSHDSACASA-N 1 2 315.402 1.810 20 30 DDEDLO C#CC[C@H](CO)NC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000820059546 704213493 /nfs/dbraw/zinc/21/34/93/704213493.db2.gz IINMHCDNVLWKSP-SNVBAGLBSA-N 1 2 307.300 1.123 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+](CN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000853525266 704259723 /nfs/dbraw/zinc/25/97/23/704259723.db2.gz COAROYXVSRUAQZ-CYBMUJFWSA-N 1 2 304.394 1.824 20 30 DDEDLO N#CC[C@H]1CCC[N@H+](CN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000853525266 704259726 /nfs/dbraw/zinc/25/97/26/704259726.db2.gz COAROYXVSRUAQZ-CYBMUJFWSA-N 1 2 304.394 1.824 20 30 DDEDLO C#C[C@H](C)NC(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000820436777 704279401 /nfs/dbraw/zinc/27/94/01/704279401.db2.gz ZUJJUHIVEAOHIF-AWEZNQCLSA-N 1 2 301.390 1.544 20 30 DDEDLO C=CCN(CCc1c[nH+]cn1C)S(=O)(=O)c1cccs1 ZINC000853642780 704292014 /nfs/dbraw/zinc/29/20/14/704292014.db2.gz LOUNTZXZRURCFD-UHFFFAOYSA-N 1 2 311.432 1.901 20 30 DDEDLO Cn1c[nH+]cc1CCN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC000853663193 704295203 /nfs/dbraw/zinc/29/52/03/704295203.db2.gz VQOZHBGLTHDGRV-QGZVFWFLSA-N 1 2 323.356 1.301 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000858133192 704669247 /nfs/dbraw/zinc/66/92/47/704669247.db2.gz RBDNHZAOQGZAPH-AWEZNQCLSA-N 1 2 318.421 1.490 20 30 DDEDLO C#CC[C@@H](CCOC)Nc1nc(NCCO)c2ccccc2[nH+]1 ZINC000858855173 704764691 /nfs/dbraw/zinc/76/46/91/704764691.db2.gz IKJVMMNSQMSAPR-ZDUSSCGKSA-N 1 2 314.389 1.874 20 30 DDEDLO C#CC[C@@H](COC)Nc1cc(N[C@@H](CC#C)COC)[nH+]cn1 ZINC000858860283 704765262 /nfs/dbraw/zinc/76/52/62/704765262.db2.gz KSNGRVJPLYHVEU-KBPBESRZSA-N 1 2 302.378 1.377 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000859025975 704786894 /nfs/dbraw/zinc/78/68/94/704786894.db2.gz ZNKKNVGLQLJHSH-AWEZNQCLSA-N 1 2 300.362 1.562 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000859028992 704787351 /nfs/dbraw/zinc/78/73/51/704787351.db2.gz WFRSQEDGGBEETQ-AWEZNQCLSA-N 1 2 321.446 1.614 20 30 DDEDLO C=CCCCCCNC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000824274007 705415529 /nfs/dbraw/zinc/41/55/29/705415529.db2.gz CYLRJHRWBFGCOD-CVEARBPZSA-N 1 2 310.438 1.729 20 30 DDEDLO C=C[C@H](C)ONC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000875700472 705482367 /nfs/dbraw/zinc/48/23/67/705482367.db2.gz JVCROXBPZGMQAX-LBPRGKRZSA-N 1 2 322.434 1.940 20 30 DDEDLO C#CC1CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)CC1 ZINC000826714767 705855514 /nfs/dbraw/zinc/85/55/14/705855514.db2.gz JCPMRJCFWSGOCU-OAHLLOKOSA-N 1 2 300.406 1.535 20 30 DDEDLO C#CC1CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)CC1 ZINC000826714767 705855517 /nfs/dbraw/zinc/85/55/17/705855517.db2.gz JCPMRJCFWSGOCU-OAHLLOKOSA-N 1 2 300.406 1.535 20 30 DDEDLO COC(=O)C1(C[N@@H+]2CCO[C@H](c3cccc(C#N)c3)C2)CC1 ZINC000828563957 706200311 /nfs/dbraw/zinc/20/03/11/706200311.db2.gz KDUIZYVJYAUIIR-HNNXBMFYSA-N 1 2 300.358 1.885 20 30 DDEDLO COC(=O)C1(C[N@H+]2CCO[C@H](c3cccc(C#N)c3)C2)CC1 ZINC000828563957 706200313 /nfs/dbraw/zinc/20/03/13/706200313.db2.gz KDUIZYVJYAUIIR-HNNXBMFYSA-N 1 2 300.358 1.885 20 30 DDEDLO CSCCNC(=S)NN=C1CCC[N@@H+]2CCSC[C@@H]12 ZINC000872361642 707390789 /nfs/dbraw/zinc/39/07/89/707390789.db2.gz KUBRWRYINASXMG-NSHDSACASA-N 1 2 318.537 1.381 20 30 DDEDLO CSCCNC(=S)NN=C1CCC[N@H+]2CCSC[C@@H]12 ZINC000872361642 707390793 /nfs/dbraw/zinc/39/07/93/707390793.db2.gz KUBRWRYINASXMG-NSHDSACASA-N 1 2 318.537 1.381 20 30 DDEDLO N#C[C@@]1(C(=O)NCc2ccccc2Cn2cc[nH+]c2)CCCOC1 ZINC000864674303 706230912 /nfs/dbraw/zinc/23/09/12/706230912.db2.gz YCQVENLLVUNWMN-SFHVURJKSA-N 1 2 324.384 1.868 20 30 DDEDLO C#Cc1cccc(CNc2cc(N3CCN(C)C(=O)C3)nc[nH+]2)c1 ZINC000829463187 706338321 /nfs/dbraw/zinc/33/83/21/706338321.db2.gz HYOZMAMAYOSOME-UHFFFAOYSA-N 1 2 321.384 1.348 20 30 DDEDLO C#Cc1cccc(CNc2cc(N3CCN(C)C(=O)C3)[nH+]cn2)c1 ZINC000829463187 706338323 /nfs/dbraw/zinc/33/83/23/706338323.db2.gz HYOZMAMAYOSOME-UHFFFAOYSA-N 1 2 321.384 1.348 20 30 DDEDLO C#CC[NH+]1CCN(c2cc(CCC(=O)OCC)nc(C)n2)CC1 ZINC000865426804 706428375 /nfs/dbraw/zinc/42/83/75/706428375.db2.gz GEONVABRXSSQBI-UHFFFAOYSA-N 1 2 316.405 1.036 20 30 DDEDLO CN(CC[NH+]1CCOCC1)c1cc(C(F)(F)F)cc(C#N)n1 ZINC000865502170 706447898 /nfs/dbraw/zinc/44/78/98/706447898.db2.gz HRGCVECVFIIJDK-UHFFFAOYSA-N 1 2 314.311 1.740 20 30 DDEDLO COc1ccc(NC(=O)N(C)CC[NH+]2CCOCC2)cc1C#N ZINC000880875682 707088243 /nfs/dbraw/zinc/08/82/43/707088243.db2.gz ADKIMPMGZXAGPI-UHFFFAOYSA-N 1 2 318.377 1.363 20 30 DDEDLO C#CCNC(=O)c1ccccc1NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000836492322 707472798 /nfs/dbraw/zinc/47/27/98/707472798.db2.gz FVIINPZIQJDPJX-CYBMUJFWSA-N 1 2 322.368 1.447 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000837547169 707677701 /nfs/dbraw/zinc/67/77/01/707677701.db2.gz FGJPQIAYEZMDNM-CQSZACIVSA-N 1 2 319.317 1.230 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000837547169 707677704 /nfs/dbraw/zinc/67/77/04/707677704.db2.gz FGJPQIAYEZMDNM-CQSZACIVSA-N 1 2 319.317 1.230 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(c2ncccc2[N+](=O)[O-])CC1 ZINC000839032426 708019055 /nfs/dbraw/zinc/01/90/55/708019055.db2.gz OXTZNGMZROEDDL-GFCCVEGCSA-N 1 2 305.338 1.040 20 30 DDEDLO C=C(Br)Cn1cc(C[NH+]2CCC(O)CC2)nn1 ZINC000883990779 708094064 /nfs/dbraw/zinc/09/40/64/708094064.db2.gz GBOAGCOACMWEOI-UHFFFAOYSA-N 1 2 301.188 1.143 20 30 DDEDLO C#CCNC(=O)c1ccc(N2CCc3c([nH+]cn3CC)C2)nc1 ZINC000896660407 708110703 /nfs/dbraw/zinc/11/07/03/708110703.db2.gz YYDMKUPHJFWMMM-UHFFFAOYSA-N 1 2 309.373 1.224 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(OCC)c(F)c1 ZINC000884097751 708137829 /nfs/dbraw/zinc/13/78/29/708137829.db2.gz PNNZJEKEWNADMT-LBPRGKRZSA-N 1 2 310.325 1.610 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](Cc1ccccc1)C1CC1 ZINC000884148906 708160690 /nfs/dbraw/zinc/16/06/90/708160690.db2.gz WGDXCNKCYKPZRP-HOTGVXAUSA-N 1 2 316.401 1.571 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC(C)(C)[C@@H]1CCCCO1 ZINC000884172922 708171594 /nfs/dbraw/zinc/17/15/94/708171594.db2.gz HFQPCKOJYKDIBT-STQMWFEESA-N 1 2 312.410 1.145 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(N2CCCC2)cn1 ZINC000884190870 708180184 /nfs/dbraw/zinc/18/01/84/708180184.db2.gz PGNFXFANTKONOW-ZDUSSCGKSA-N 1 2 318.377 1.067 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC2CC1(c1ccccc1)C2 ZINC000884421166 708291017 /nfs/dbraw/zinc/29/10/17/708291017.db2.gz NETRJYJBBYJTFB-DBQDNQAGSA-N 1 2 314.385 1.581 20 30 DDEDLO OCCn1ncc2c1CCCC2=NNc1cc[nH+]c2ccccc12 ZINC000884428952 708293622 /nfs/dbraw/zinc/29/36/22/708293622.db2.gz SMHDRGDRBFHMIT-UHFFFAOYSA-N 1 2 321.384 1.998 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000885081342 708465771 /nfs/dbraw/zinc/46/57/71/708465771.db2.gz OYGHZJTWDHTFTC-UONOGXRCSA-N 1 2 321.446 1.856 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000885081342 708465772 /nfs/dbraw/zinc/46/57/72/708465772.db2.gz OYGHZJTWDHTFTC-UONOGXRCSA-N 1 2 321.446 1.856 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(COC)c2)C1 ZINC000885511248 708562327 /nfs/dbraw/zinc/56/23/27/708562327.db2.gz DIPMFQGYYGMABU-OAHLLOKOSA-N 1 2 322.430 1.209 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(COC)c2)C1 ZINC000885511248 708562329 /nfs/dbraw/zinc/56/23/29/708562329.db2.gz DIPMFQGYYGMABU-OAHLLOKOSA-N 1 2 322.430 1.209 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)[C@@H](C#N)CCc1ccccc1 ZINC000912613899 713051034 /nfs/dbraw/zinc/05/10/34/713051034.db2.gz IDQXEQZHODNZQH-CABCVRRESA-N 1 2 312.373 1.342 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)[C@@H]1C[C@H]1c1cccc(C#N)c1 ZINC000898890900 708910126 /nfs/dbraw/zinc/91/01/26/708910126.db2.gz DMZDMDNOZZCWSZ-ZNMIVQPWSA-N 1 2 310.357 1.245 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1Cc2cc(C(=O)OCC)nn2C[C@H]1C ZINC000887118007 708961295 /nfs/dbraw/zinc/96/12/95/708961295.db2.gz FXBDJIGCFVTNPK-OCCSQVGLSA-N 1 2 307.394 1.591 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1Cc2cc(C(=O)OCC)nn2C[C@H]1C ZINC000887118007 708961296 /nfs/dbraw/zinc/96/12/96/708961296.db2.gz FXBDJIGCFVTNPK-OCCSQVGLSA-N 1 2 307.394 1.591 20 30 DDEDLO Cc1c(C(=O)N2CCN(c3cccc[nH+]3)CC2)cnn1CCC#N ZINC000887621704 709083756 /nfs/dbraw/zinc/08/37/56/709083756.db2.gz UQWFDAFEABPFRY-UHFFFAOYSA-N 1 2 324.388 1.463 20 30 DDEDLO CCC(CC)[C@H](C(=O)N1CCO[C@@](C)(C#N)C1)[NH+]1CCOCC1 ZINC000887676774 709098970 /nfs/dbraw/zinc/09/89/70/709098970.db2.gz KAKIEFPQFJFJQP-WBVHZDCISA-N 1 2 323.437 1.264 20 30 DDEDLO COC(=O)c1cc2n(n1)CC/C(=C\c1[nH]c(C)c(C)[nH+]1)C2=O ZINC000901561768 710075741 /nfs/dbraw/zinc/07/57/41/710075741.db2.gz YFSHINOIPSCCMB-UXBLZVDNSA-N 1 2 300.318 1.680 20 30 DDEDLO CCC(CC)[C@H](C(=O)N1CCC[C@@H](C#N)C1)[NH+]1CCOCC1 ZINC000928220154 713154134 /nfs/dbraw/zinc/15/41/34/713154134.db2.gz FTICHMACZOHBHI-GOEBONIOSA-N 1 2 307.438 1.886 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)[C@@]1(C#N)CC12CCCC2 ZINC000928649888 713250770 /nfs/dbraw/zinc/25/07/70/713250770.db2.gz ZQVUIRLKAKERHA-PBHICJAKSA-N 1 2 305.422 1.687 20 30 DDEDLO C[N@@H+]1CCN(C(=O)C(C)(C)c2cc(F)cc(C#N)c2)C[C@@H]1CO ZINC000913543354 713251214 /nfs/dbraw/zinc/25/12/14/713251214.db2.gz GCDQATJGEVFGNW-OAHLLOKOSA-N 1 2 319.380 1.110 20 30 DDEDLO C[N@H+]1CCN(C(=O)C(C)(C)c2cc(F)cc(C#N)c2)C[C@@H]1CO ZINC000913543354 713251216 /nfs/dbraw/zinc/25/12/16/713251216.db2.gz GCDQATJGEVFGNW-OAHLLOKOSA-N 1 2 319.380 1.110 20 30 DDEDLO C=CCSc1ccccc1C(=O)N1CC[N@@H+](C)[C@H](CO)C1 ZINC000913545176 713252557 /nfs/dbraw/zinc/25/25/57/713252557.db2.gz WMZCLRKIPREFOT-ZDUSSCGKSA-N 1 2 306.431 1.713 20 30 DDEDLO C=CCSc1ccccc1C(=O)N1CC[N@H+](C)[C@H](CO)C1 ZINC000913545176 713252559 /nfs/dbraw/zinc/25/25/59/713252559.db2.gz WMZCLRKIPREFOT-ZDUSSCGKSA-N 1 2 306.431 1.713 20 30 DDEDLO Cc1c(Cl)cnc(N[C@H](C)[C@@H]2CN(C)CC[N@@H+]2C)c1C#N ZINC000895244956 711463499 /nfs/dbraw/zinc/46/34/99/711463499.db2.gz BGUFMXALOQOOMP-RISCZKNCSA-N 1 2 307.829 1.961 20 30 DDEDLO Cc1c(Cl)cnc(N[C@H](C)[C@@H]2CN(C)CC[N@H+]2C)c1C#N ZINC000895244956 711463502 /nfs/dbraw/zinc/46/35/02/711463502.db2.gz BGUFMXALOQOOMP-RISCZKNCSA-N 1 2 307.829 1.961 20 30 DDEDLO C#CCN1CCC[C@@H]([NH2+]Cc2ncc(Br)cc2O)C1 ZINC000896042790 711667821 /nfs/dbraw/zinc/66/78/21/711667821.db2.gz GWPMXBXQHXWFSA-GFCCVEGCSA-N 1 2 324.222 1.737 20 30 DDEDLO CC(C)(C#N)CS(=O)(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000913900346 713316894 /nfs/dbraw/zinc/31/68/94/713316894.db2.gz RTHQNHGWTKFTRO-UHFFFAOYSA-N 1 2 310.423 1.231 20 30 DDEDLO C#C[C@H](C)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000907493101 712585405 /nfs/dbraw/zinc/58/54/05/712585405.db2.gz UYNYHYDWXOWKBQ-WFASDCNBSA-N 1 2 316.405 1.155 20 30 DDEDLO C#C[C@H](C)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000907493101 712585406 /nfs/dbraw/zinc/58/54/06/712585406.db2.gz UYNYHYDWXOWKBQ-WFASDCNBSA-N 1 2 316.405 1.155 20 30 DDEDLO C[C@H](CC(=O)NCc1ccc(C(=O)NO)cc1)n1cc[nH+]c1 ZINC000907813576 712630132 /nfs/dbraw/zinc/63/01/32/712630132.db2.gz YTMZBSOIZGBDEG-LLVKDONJSA-N 1 2 302.334 1.270 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccsc1C#N ZINC000908145327 712697825 /nfs/dbraw/zinc/69/78/25/712697825.db2.gz MMOAMQHQHQNFDH-NWDGAFQWSA-N 1 2 305.403 1.555 20 30 DDEDLO C#C[C@@H]1CCCCN1S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000908279985 712727299 /nfs/dbraw/zinc/72/72/99/712727299.db2.gz GJIKTVSHOWWYFP-OAHLLOKOSA-N 1 2 318.442 1.851 20 30 DDEDLO C#C[C@@H]1CCCCN1S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000908279985 712727301 /nfs/dbraw/zinc/72/73/01/712727301.db2.gz GJIKTVSHOWWYFP-OAHLLOKOSA-N 1 2 318.442 1.851 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)C[C@H]1C(C)(C)C ZINC000919590186 713618324 /nfs/dbraw/zinc/61/83/24/713618324.db2.gz CJSOAOXTDUKBOH-HNNXBMFYSA-N 1 2 321.446 1.909 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)C[C@H]1C(C)(C)C ZINC000919590186 713618325 /nfs/dbraw/zinc/61/83/25/713618325.db2.gz CJSOAOXTDUKBOH-HNNXBMFYSA-N 1 2 321.446 1.909 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C)C(=O)Nc2ccccc2OC)CC1 ZINC000931143889 714014539 /nfs/dbraw/zinc/01/45/39/714014539.db2.gz MJHRRUCRLHLUTI-ZDUSSCGKSA-N 1 2 302.374 1.482 20 30 DDEDLO Cc1nsc(NC(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)c1C#N ZINC000931343003 714072853 /nfs/dbraw/zinc/07/28/53/714072853.db2.gz NDOPLHJPBINIBS-JTQLQIEISA-N 1 2 323.422 1.508 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)Nc2ccc(C#N)cn2)n1 ZINC000932111960 714262286 /nfs/dbraw/zinc/26/22/86/714262286.db2.gz TYLHSKCHOYFJKW-UHFFFAOYSA-N 1 2 316.390 1.793 20 30 DDEDLO COC(=O)/C=C1\SCC(=O)N1CCC[N@@H+]1CCC[C@H](C#N)C1 ZINC000932151910 714272618 /nfs/dbraw/zinc/27/26/18/714272618.db2.gz OLSCOIHLSQRKJC-UGMNDJHYSA-N 1 2 323.418 1.202 20 30 DDEDLO COC(=O)/C=C1\SCC(=O)N1CCC[N@H+]1CCC[C@H](C#N)C1 ZINC000932151910 714272620 /nfs/dbraw/zinc/27/26/20/714272620.db2.gz OLSCOIHLSQRKJC-UGMNDJHYSA-N 1 2 323.418 1.202 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1CCCC[C@@H]1C#N ZINC000923253173 714285363 /nfs/dbraw/zinc/28/53/63/714285363.db2.gz TXCIWPBDHFQZSO-RBSFLKMASA-N 1 2 308.426 1.479 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2cc(C#N)ccc2C)CC1 ZINC000932486021 714343194 /nfs/dbraw/zinc/34/31/94/714343194.db2.gz LFDWBOZRHUUDMF-UHFFFAOYSA-N 1 2 301.390 1.661 20 30 DDEDLO CCOC(=O)[C@H]1CC[N@H+](Cc2cccc(C(=O)OC)c2C#N)C1 ZINC000932646603 714374807 /nfs/dbraw/zinc/37/48/07/714374807.db2.gz SLNGRXOSNMMRNR-ZDUSSCGKSA-N 1 2 316.357 1.730 20 30 DDEDLO CCOC(=O)[C@H]1CC[N@@H+](Cc2cccc(C(=O)OC)c2C#N)C1 ZINC000932646603 714374810 /nfs/dbraw/zinc/37/48/10/714374810.db2.gz SLNGRXOSNMMRNR-ZDUSSCGKSA-N 1 2 316.357 1.730 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)N[C@@H](CC#N)C(F)(F)F)[C@@H](C)CO1 ZINC000932894003 714432307 /nfs/dbraw/zinc/43/23/07/714432307.db2.gz QZTGFWFKXFFZIP-AXFHLTTASA-N 1 2 322.331 1.239 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)N[C@@H](CC#N)C(F)(F)F)[C@@H](C)CO1 ZINC000932894003 714432308 /nfs/dbraw/zinc/43/23/08/714432308.db2.gz QZTGFWFKXFFZIP-AXFHLTTASA-N 1 2 322.331 1.239 20 30 DDEDLO CCn1c[nH+]c2c1CCN([C@@H](C(N)=O)c1ccc(C#N)cc1)C2 ZINC000933258397 714548439 /nfs/dbraw/zinc/54/84/39/714548439.db2.gz QTQHTGZFYZBKQF-MRXNPFEDSA-N 1 2 309.373 1.359 20 30 DDEDLO CCOC(=O)CN(C)C(=O)C[N@H+](C)Cc1cc(C#N)cs1 ZINC000933779112 714669655 /nfs/dbraw/zinc/66/96/55/714669655.db2.gz XRPHXOVIPVNNDP-UHFFFAOYSA-N 1 2 309.391 1.073 20 30 DDEDLO CCOC(=O)CN(C)C(=O)C[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933779112 714669658 /nfs/dbraw/zinc/66/96/58/714669658.db2.gz XRPHXOVIPVNNDP-UHFFFAOYSA-N 1 2 309.391 1.073 20 30 DDEDLO C=CC[C@H]1CC[N@H+]1CC(=O)N[C@H](Cc1ccccc1)C(C)=O ZINC000934470052 714828121 /nfs/dbraw/zinc/82/81/21/714828121.db2.gz CBCZJCONAZAPDV-DLBZAZTESA-N 1 2 300.402 1.953 20 30 DDEDLO C=CC[C@H]1CC[N@@H+]1CC(=O)N[C@H](Cc1ccccc1)C(C)=O ZINC000934470052 714828123 /nfs/dbraw/zinc/82/81/23/714828123.db2.gz CBCZJCONAZAPDV-DLBZAZTESA-N 1 2 300.402 1.953 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)NC(=O)NCc1cccs1 ZINC000934511495 714839621 /nfs/dbraw/zinc/83/96/21/714839621.db2.gz POIZBNPKOABHDZ-GFCCVEGCSA-N 1 2 305.403 1.562 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)NC(=O)NCc1cccs1 ZINC000934511495 714839622 /nfs/dbraw/zinc/83/96/22/714839622.db2.gz POIZBNPKOABHDZ-GFCCVEGCSA-N 1 2 305.403 1.562 20 30 DDEDLO C=CCN(C(=O)N[C@H](CC)C[NH+]1CCOCC1)[C@H](C)COC ZINC000925607285 714898124 /nfs/dbraw/zinc/89/81/24/714898124.db2.gz UDVJHMQZIPYGEX-HUUCEWRRSA-N 1 2 313.442 1.330 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC(C)(C)[NH+]2CCOCC2)cc1 ZINC000934937813 714933650 /nfs/dbraw/zinc/93/36/50/714933650.db2.gz RIGGWVXJKQGTMQ-UHFFFAOYSA-N 1 2 301.390 1.900 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCCN2C(=O)C(C)C)C1 ZINC000957399444 715882183 /nfs/dbraw/zinc/88/21/83/715882183.db2.gz FOQDVRWMIZKKPZ-MRXNPFEDSA-N 1 2 321.465 1.742 20 30 DDEDLO N#Cc1ccc(CN2CCN(C(=O)Cn3cc[nH+]c3)CC2)s1 ZINC000957900602 716222795 /nfs/dbraw/zinc/22/27/95/716222795.db2.gz XAGKHIIAZJBAQC-UHFFFAOYSA-N 1 2 315.402 1.161 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2coc(CC)n2)C1 ZINC000957997530 716268032 /nfs/dbraw/zinc/26/80/32/716268032.db2.gz ZLFCQHIJFFQAPB-PWSUYJOCSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2coc(CC)n2)C1 ZINC000957997530 716268035 /nfs/dbraw/zinc/26/80/35/716268035.db2.gz ZLFCQHIJFFQAPB-PWSUYJOCSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2ocnc2CC)C1 ZINC000958384103 716516932 /nfs/dbraw/zinc/51/69/32/716516932.db2.gz FEGRYMZYKUVFMM-JQWIXIFHSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2ocnc2CC)C1 ZINC000958384103 716516935 /nfs/dbraw/zinc/51/69/35/716516935.db2.gz FEGRYMZYKUVFMM-JQWIXIFHSA-N 1 2 313.785 1.012 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@@H]([C@@H]2CCN(CC#N)C2)C1 ZINC000961259762 716885935 /nfs/dbraw/zinc/88/59/35/716885935.db2.gz FHHHEDKGEHLZHN-HUUCEWRRSA-N 1 2 315.421 1.047 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cnns4)C[C@H]32)cc1 ZINC000961440533 716947457 /nfs/dbraw/zinc/94/74/57/716947457.db2.gz KCFVBGRPHVARDC-ZSHCYNCHSA-N 1 2 324.409 1.380 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cnns4)C[C@H]32)cc1 ZINC000961440533 716947462 /nfs/dbraw/zinc/94/74/62/716947462.db2.gz KCFVBGRPHVARDC-ZSHCYNCHSA-N 1 2 324.409 1.380 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3c[nH]c4ccccc34)CC2)C1 ZINC000941476125 717184172 /nfs/dbraw/zinc/18/41/72/717184172.db2.gz NDBBPDHRHYDXDA-UHFFFAOYSA-N 1 2 322.412 1.243 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C34CCC(CC3)CC4)CC2)C1 ZINC000941675324 717251332 /nfs/dbraw/zinc/25/13/32/717251332.db2.gz ZDOUWYCVQUAGAU-UHFFFAOYSA-N 1 2 315.461 1.418 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3ncnn3C)C[C@H]2C)C1 ZINC000942602850 717772451 /nfs/dbraw/zinc/77/24/51/717772451.db2.gz OGDLJCMYOIDAQJ-ZIAGYGMSSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3ncnn3C)C[C@H]2C)C1 ZINC000942602850 717772457 /nfs/dbraw/zinc/77/24/57/717772457.db2.gz OGDLJCMYOIDAQJ-ZIAGYGMSSA-N 1 2 317.437 1.498 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1cn[nH]n1 ZINC000967155378 718778220 /nfs/dbraw/zinc/77/82/20/718778220.db2.gz JTYHXAPHCAVFTR-BLLLJJGKSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1cn[nH]n1 ZINC000967155378 718778224 /nfs/dbraw/zinc/77/82/24/718778224.db2.gz JTYHXAPHCAVFTR-BLLLJJGKSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC000967155378 718778228 /nfs/dbraw/zinc/77/82/28/718778228.db2.gz JTYHXAPHCAVFTR-BLLLJJGKSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC000967155378 718778231 /nfs/dbraw/zinc/77/82/31/718778231.db2.gz JTYHXAPHCAVFTR-BLLLJJGKSA-N 1 2 324.388 1.317 20 30 DDEDLO C=CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967185681 718786979 /nfs/dbraw/zinc/78/69/79/718786979.db2.gz QNLNDNCSLOCPDQ-WFASDCNBSA-N 1 2 302.422 1.915 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967185681 718786983 /nfs/dbraw/zinc/78/69/83/718786983.db2.gz QNLNDNCSLOCPDQ-WFASDCNBSA-N 1 2 302.422 1.915 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2nc3c(o2)CCCC3)C1 ZINC000967975223 719111037 /nfs/dbraw/zinc/11/10/37/719111037.db2.gz LKPXTIDFPGOIDO-VXGBXAGGSA-N 1 2 302.378 1.404 20 30 DDEDLO CN(C(=O)[C@H]1CCn2cc[nH+]c2C1)[C@H]1CCCN(CC#N)CC1 ZINC000948567019 719552856 /nfs/dbraw/zinc/55/28/56/719552856.db2.gz SOIFCZBQJUVNLG-GJZGRUSLSA-N 1 2 315.421 1.282 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ncccc2NC(C)=O)CC1 ZINC000948669074 719631197 /nfs/dbraw/zinc/63/11/97/719631197.db2.gz WSWAHEDJDVYGPC-UHFFFAOYSA-N 1 2 302.378 1.374 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(C(=O)C#CC2CC2)C1)c1nc(C2CC2)no1 ZINC000968617609 719693949 /nfs/dbraw/zinc/69/39/49/719693949.db2.gz GHYNRLAWYNGNJD-BXUZGUMPSA-N 1 2 314.389 1.612 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC000968627302 719699309 /nfs/dbraw/zinc/69/93/09/719699309.db2.gz SNKUEPRTCBGIJN-CYBMUJFWSA-N 1 2 300.362 1.051 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC000968716628 719754030 /nfs/dbraw/zinc/75/40/30/719754030.db2.gz BWDQONAJVZFCNF-MCIONIFRSA-N 1 2 320.393 1.050 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cc(C)n(C)n1 ZINC000948893680 719770959 /nfs/dbraw/zinc/77/09/59/719770959.db2.gz BVRJOZOLVMNSMD-GOSISDBHSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cc(C)n(C)n1 ZINC000948893680 719770961 /nfs/dbraw/zinc/77/09/61/719770961.db2.gz BVRJOZOLVMNSMD-GOSISDBHSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CCCCO1 ZINC000948910172 719781421 /nfs/dbraw/zinc/78/14/21/719781421.db2.gz KXFSCNHXDPAPEP-ZWKOTPCHSA-N 1 2 312.413 1.904 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CCCCO1 ZINC000948910172 719781424 /nfs/dbraw/zinc/78/14/24/719781424.db2.gz KXFSCNHXDPAPEP-ZWKOTPCHSA-N 1 2 312.413 1.904 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc(-c3cn[nH]c3)cn2)CC1 ZINC000948935563 719793375 /nfs/dbraw/zinc/79/33/75/719793375.db2.gz ORKWCGBQRVFQAC-UHFFFAOYSA-N 1 2 311.389 1.806 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)CC1 ZINC000948952683 719799713 /nfs/dbraw/zinc/79/97/13/719799713.db2.gz DVWYBBPIJCQONH-ZDUSSCGKSA-N 1 2 308.813 1.342 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccn(C)n1 ZINC000948986111 719825156 /nfs/dbraw/zinc/82/51/56/719825156.db2.gz IAVLNKMQEWHHRZ-QGZVFWFLSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccn(C)n1 ZINC000948986111 719825161 /nfs/dbraw/zinc/82/51/61/719825161.db2.gz IAVLNKMQEWHHRZ-QGZVFWFLSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC000968931613 719882756 /nfs/dbraw/zinc/88/27/56/719882756.db2.gz SNMFBLLNFWARDM-CQSZACIVSA-N 1 2 314.433 1.896 20 30 DDEDLO C#CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC000968931613 719882760 /nfs/dbraw/zinc/88/27/60/719882760.db2.gz SNMFBLLNFWARDM-CQSZACIVSA-N 1 2 314.433 1.896 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3c(c2)NC(=O)C3)C1 ZINC000950406082 720619164 /nfs/dbraw/zinc/61/91/64/720619164.db2.gz DUAPHMLCKYLPLG-UHFFFAOYSA-N 1 2 311.385 1.351 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc(OC)n(C)n2)C1 ZINC000970118459 720631997 /nfs/dbraw/zinc/63/19/97/720631997.db2.gz XIKRSEPINRWWAG-JTQLQIEISA-N 1 2 312.801 1.231 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncn3ccc(C)cc23)C1 ZINC000950687037 720735456 /nfs/dbraw/zinc/73/54/56/720735456.db2.gz HSAHKFIEUDXBMK-UHFFFAOYSA-N 1 2 310.401 1.812 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC000970602109 720837806 /nfs/dbraw/zinc/83/78/06/720837806.db2.gz KVYYIGPLOSLRFS-LLVKDONJSA-N 1 2 324.812 1.066 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C2C[NH+](Cc3ccnn3C)C2)nc1 ZINC000970762170 720926676 /nfs/dbraw/zinc/92/66/76/720926676.db2.gz BYTDYDIISNENOI-ZDUSSCGKSA-N 1 2 323.400 1.047 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC000970862019 720961410 /nfs/dbraw/zinc/96/14/10/720961410.db2.gz XMVWZLIXNNBKJR-NSHDSACASA-N 1 2 321.808 1.588 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2OCC[C@@H]2c2ccccc2)CC1 ZINC000951699889 721143528 /nfs/dbraw/zinc/14/35/28/721143528.db2.gz OKPHNJVEASYMKG-IAGOWNOFSA-N 1 2 300.402 1.889 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2ccncc2Cl)C1 ZINC000971217912 721185424 /nfs/dbraw/zinc/18/54/24/721185424.db2.gz HNUBWTLNKMOWRB-DGCLKSJQSA-N 1 2 306.797 1.927 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccncc2Cl)C1 ZINC000971217912 721185429 /nfs/dbraw/zinc/18/54/29/721185429.db2.gz HNUBWTLNKMOWRB-DGCLKSJQSA-N 1 2 306.797 1.927 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)c(C)s1 ZINC000971220081 721186417 /nfs/dbraw/zinc/18/64/17/721186417.db2.gz COAIFVHSRQWICG-GXFFZTMASA-N 1 2 306.435 1.952 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)c(C)s1 ZINC000971220081 721186422 /nfs/dbraw/zinc/18/64/22/721186422.db2.gz COAIFVHSRQWICG-GXFFZTMASA-N 1 2 306.435 1.952 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCC[C@H](C(N)=O)C2)C1 ZINC000952383238 721430400 /nfs/dbraw/zinc/43/04/00/721430400.db2.gz LPVGOEOHRUXPCN-KBPBESRZSA-N 1 2 307.438 1.387 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cc[nH]c2)s1 ZINC001038283775 735311197 /nfs/dbraw/zinc/31/11/97/735311197.db2.gz FUILXBUXJQKJPS-GFCCVEGCSA-N 1 2 300.387 1.952 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cc[nH]c2)s1 ZINC001038283775 735311203 /nfs/dbraw/zinc/31/12/03/735311203.db2.gz FUILXBUXJQKJPS-GFCCVEGCSA-N 1 2 300.387 1.952 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3ccc(Cl)cc3)[C@H]2C1 ZINC001083262690 735367340 /nfs/dbraw/zinc/36/73/40/735367340.db2.gz JBHNMDXESKHFJR-JKSUJKDBSA-N 1 2 318.804 1.427 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3ccc(Cl)cc3)[C@H]2C1 ZINC001083262690 735367343 /nfs/dbraw/zinc/36/73/43/735367343.db2.gz JBHNMDXESKHFJR-JKSUJKDBSA-N 1 2 318.804 1.427 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccncc1C#N ZINC001120877642 782204432 /nfs/dbraw/zinc/20/44/32/782204432.db2.gz BTLMBZKQOBNZTC-MRVWCRGKSA-N 1 2 324.388 1.161 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccncc1C#N ZINC001120877642 782204441 /nfs/dbraw/zinc/20/44/41/782204441.db2.gz BTLMBZKQOBNZTC-MRVWCRGKSA-N 1 2 324.388 1.161 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(F)CCCCC3)C2)C1 ZINC000972626715 735375101 /nfs/dbraw/zinc/37/51/01/735375101.db2.gz FKXVBLWQKKKJFF-KRWDZBQOSA-N 1 2 322.424 1.985 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(F)CCCCC3)C2)C1 ZINC000972626715 735375103 /nfs/dbraw/zinc/37/51/03/735375103.db2.gz FKXVBLWQKKKJFF-KRWDZBQOSA-N 1 2 322.424 1.985 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]([N@H+](C)Cc2cn(C)nn2)C1 ZINC001027560003 738406437 /nfs/dbraw/zinc/40/64/37/738406437.db2.gz NATSHCPQYDQNMU-WBVHZDCISA-N 1 2 319.453 1.840 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]([N@@H+](C)Cc2cn(C)nn2)C1 ZINC001027560003 738406440 /nfs/dbraw/zinc/40/64/40/738406440.db2.gz NATSHCPQYDQNMU-WBVHZDCISA-N 1 2 319.453 1.840 20 30 DDEDLO CC(C)c1noc([C@@H](C)[NH2+]CCNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001125819273 736227939 /nfs/dbraw/zinc/22/79/39/736227939.db2.gz VSZPGVISJQPUFM-SNVBAGLBSA-N 1 2 316.365 1.473 20 30 DDEDLO CCc1nc(C#N)c(C#N)nc1N1CCC(n2cc[nH+]c2)CC1 ZINC001167125495 732706014 /nfs/dbraw/zinc/70/60/14/732706014.db2.gz HWEKKTWFCDEOSN-UHFFFAOYSA-N 1 2 307.361 1.820 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCC[NH2+]Cc2nc(C3CCC3)no2)c1 ZINC001125370675 732922012 /nfs/dbraw/zinc/92/20/12/732922012.db2.gz QUYFYEYXAAGKON-UHFFFAOYSA-N 1 2 314.349 1.056 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CCN(C)c1cc[nH+]c(C)n1)C(C)C ZINC001125488570 733584462 /nfs/dbraw/zinc/58/44/62/733584462.db2.gz VQDVFQNWNARKLF-KRWDZBQOSA-N 1 2 320.437 1.785 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCC[C@@H](NC(=O)c2ccccc2)C1 ZINC001167482429 734402408 /nfs/dbraw/zinc/40/24/08/734402408.db2.gz KNCIORCVHSCOIC-CVEARBPZSA-N 1 2 316.401 1.999 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCC[C@@H](NC(=O)c2ccccc2)C1 ZINC001167482429 734402409 /nfs/dbraw/zinc/40/24/09/734402409.db2.gz KNCIORCVHSCOIC-CVEARBPZSA-N 1 2 316.401 1.999 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001027933925 738833051 /nfs/dbraw/zinc/83/30/51/738833051.db2.gz HKFVUUCWJIYMPB-LLVKDONJSA-N 1 2 310.785 1.222 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001027933925 738833056 /nfs/dbraw/zinc/83/30/56/738833056.db2.gz HKFVUUCWJIYMPB-LLVKDONJSA-N 1 2 310.785 1.222 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)c2ccsc2)C1=O ZINC001038041989 734655268 /nfs/dbraw/zinc/65/52/68/734655268.db2.gz JSEQYVNQNOJREU-KBPBESRZSA-N 1 2 319.430 1.339 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)c2ccsc2)C1=O ZINC001038041989 734655272 /nfs/dbraw/zinc/65/52/72/734655272.db2.gz JSEQYVNQNOJREU-KBPBESRZSA-N 1 2 319.430 1.339 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3oc(CC)nc3C)[C@H]2C1 ZINC001083241002 734696442 /nfs/dbraw/zinc/69/64/42/734696442.db2.gz ICMMUETXFIGCEH-QWHCGFSZSA-N 1 2 305.378 1.257 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3oc(CC)nc3C)[C@H]2C1 ZINC001083241002 734696447 /nfs/dbraw/zinc/69/64/47/734696447.db2.gz ICMMUETXFIGCEH-QWHCGFSZSA-N 1 2 305.378 1.257 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C2=COCCO2)C(C)(C)C1 ZINC000972771179 735607028 /nfs/dbraw/zinc/60/70/28/735607028.db2.gz HSRDCJXTQQDSGP-GFCCVEGCSA-N 1 2 300.786 1.454 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C2=COCCO2)C(C)(C)C1 ZINC000972771179 735607031 /nfs/dbraw/zinc/60/70/31/735607031.db2.gz HSRDCJXTQQDSGP-GFCCVEGCSA-N 1 2 300.786 1.454 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C2=COCCO2)C(C)(C)C1 ZINC000972771180 735607073 /nfs/dbraw/zinc/60/70/73/735607073.db2.gz HSRDCJXTQQDSGP-LBPRGKRZSA-N 1 2 300.786 1.454 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C2=COCCO2)C(C)(C)C1 ZINC000972771180 735607076 /nfs/dbraw/zinc/60/70/76/735607076.db2.gz HSRDCJXTQQDSGP-LBPRGKRZSA-N 1 2 300.786 1.454 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccc(CC#N)c3)n2C)CC1 ZINC001121423015 782503035 /nfs/dbraw/zinc/50/30/35/782503035.db2.gz RGEGVUUKKOOZSQ-UHFFFAOYSA-N 1 2 320.400 1.303 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001018062801 736342027 /nfs/dbraw/zinc/34/20/27/736342027.db2.gz HPZKVUWVKRMPAY-AOOOYVTPSA-N 1 2 312.295 1.351 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001018062801 736342031 /nfs/dbraw/zinc/34/20/31/736342031.db2.gz HPZKVUWVKRMPAY-AOOOYVTPSA-N 1 2 312.295 1.351 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)N(C)C(=O)CCn1cc[nH+]c1 ZINC001104749545 737049551 /nfs/dbraw/zinc/04/95/51/737049551.db2.gz ZIVIVPRDBOTACE-CYBMUJFWSA-N 1 2 312.377 1.499 20 30 DDEDLO Cc1nc(N[C@@H](CNC(=O)c2cc(C#N)c[nH]2)C(C)C)cc[nH+]1 ZINC001105148544 737583258 /nfs/dbraw/zinc/58/32/58/737583258.db2.gz JXLZMZHUGKORRK-AWEZNQCLSA-N 1 2 312.377 1.851 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027335810 738208365 /nfs/dbraw/zinc/20/83/65/738208365.db2.gz KJFTUDHLIUCKPA-JQWIXIFHSA-N 1 2 314.227 1.977 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027335810 738208367 /nfs/dbraw/zinc/20/83/67/738208367.db2.gz KJFTUDHLIUCKPA-JQWIXIFHSA-N 1 2 314.227 1.977 20 30 DDEDLO CN(CCNC(=O)Cc1c[nH]c[nH+]1)c1cccc(F)c1C#N ZINC001100354015 738991164 /nfs/dbraw/zinc/99/11/64/738991164.db2.gz DENPXHRRPISXNZ-UHFFFAOYSA-N 1 2 301.325 1.216 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](C[C@@H](F)CC)C[C@@H]1n1ccnn1 ZINC001129159661 751403363 /nfs/dbraw/zinc/40/33/63/751403363.db2.gz OWBDVUASXFNXEI-MJBXVCDLSA-N 1 2 323.416 1.580 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](C[C@@H](F)CC)C[C@@H]1n1ccnn1 ZINC001129159661 751403367 /nfs/dbraw/zinc/40/33/67/751403367.db2.gz OWBDVUASXFNXEI-MJBXVCDLSA-N 1 2 323.416 1.580 20 30 DDEDLO N#CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001087443966 739295559 /nfs/dbraw/zinc/29/55/59/739295559.db2.gz PMSGLAYHSFQTSN-IAGOWNOFSA-N 1 2 323.400 1.381 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)cn1 ZINC001028345349 739382155 /nfs/dbraw/zinc/38/21/55/739382155.db2.gz DXYGQLMCTHOALW-LBPRGKRZSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)cn1 ZINC001028345349 739382159 /nfs/dbraw/zinc/38/21/59/739382159.db2.gz DXYGQLMCTHOALW-LBPRGKRZSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(C)[C@H](CC(=O)NC[C@]1(C)C[N@H+](CC#CC)CCO1)OCC ZINC001107979867 751471691 /nfs/dbraw/zinc/47/16/91/751471691.db2.gz MGGBUGSXLIGFNT-FUHWJXTLSA-N 1 2 322.449 1.588 20 30 DDEDLO C=C(C)[C@H](CC(=O)NC[C@]1(C)C[N@@H+](CC#CC)CCO1)OCC ZINC001107979867 751471692 /nfs/dbraw/zinc/47/16/92/751471692.db2.gz MGGBUGSXLIGFNT-FUHWJXTLSA-N 1 2 322.449 1.588 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@@H]1CC=CCC1 ZINC001083335948 739709966 /nfs/dbraw/zinc/70/99/66/739709966.db2.gz GUDROZMTBKRRQI-QRVBRYPASA-N 1 2 324.424 1.556 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@@H]1CC=CCC1 ZINC001083335948 739709967 /nfs/dbraw/zinc/70/99/67/739709967.db2.gz GUDROZMTBKRRQI-QRVBRYPASA-N 1 2 324.424 1.556 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(OCC)no2)C1 ZINC001035452955 751502036 /nfs/dbraw/zinc/50/20/36/751502036.db2.gz SNDATBWDRARZDC-LBPRGKRZSA-N 1 2 309.366 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(OCC)no2)C1 ZINC001035452955 751502045 /nfs/dbraw/zinc/50/20/45/751502045.db2.gz SNDATBWDRARZDC-LBPRGKRZSA-N 1 2 309.366 1.080 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3cnccc3o2)C1 ZINC001035431492 751508871 /nfs/dbraw/zinc/50/88/71/751508871.db2.gz HTSSVUDRKOQCGB-AWEZNQCLSA-N 1 2 313.357 1.282 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc3cnccc3o2)C1 ZINC001035431492 751508875 /nfs/dbraw/zinc/50/88/75/751508875.db2.gz HTSSVUDRKOQCGB-AWEZNQCLSA-N 1 2 313.357 1.282 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001035435397 751513080 /nfs/dbraw/zinc/51/30/80/751513080.db2.gz LMIRRUQYVANBKB-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001035435397 751513084 /nfs/dbraw/zinc/51/30/84/751513084.db2.gz LMIRRUQYVANBKB-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2coc(OCC)n2)C1 ZINC001035436672 751514435 /nfs/dbraw/zinc/51/44/35/751514435.db2.gz SMZDBLNZPDRSJQ-GFCCVEGCSA-N 1 2 309.366 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2coc(OCC)n2)C1 ZINC001035436672 751514437 /nfs/dbraw/zinc/51/44/37/751514437.db2.gz SMZDBLNZPDRSJQ-GFCCVEGCSA-N 1 2 309.366 1.080 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3cnccc3c2)C1 ZINC001035447399 751525084 /nfs/dbraw/zinc/52/50/84/751525084.db2.gz HZTLCFAQGDWAPT-OAHLLOKOSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc3cnccc3c2)C1 ZINC001035447399 751525087 /nfs/dbraw/zinc/52/50/87/751525087.db2.gz HZTLCFAQGDWAPT-OAHLLOKOSA-N 1 2 312.373 1.246 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)Nc1ccncc1C#N ZINC001098267231 740406071 /nfs/dbraw/zinc/40/60/71/740406071.db2.gz AECNEEGCLBPGPY-WCQYABFASA-N 1 2 324.388 1.135 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2CCN(C(=O)[C@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001075792114 740514854 /nfs/dbraw/zinc/51/48/54/740514854.db2.gz GDAGHOOSOIUENR-HEHGZKQESA-N 1 2 300.406 1.548 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2CCN(C(=O)[C@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001075792114 740514856 /nfs/dbraw/zinc/51/48/56/740514856.db2.gz GDAGHOOSOIUENR-HEHGZKQESA-N 1 2 300.406 1.548 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129185751 751562400 /nfs/dbraw/zinc/56/24/00/751562400.db2.gz USRGOPXYQMTIJQ-OAGGEKHMSA-N 1 2 315.421 1.245 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129185751 751562405 /nfs/dbraw/zinc/56/24/05/751562405.db2.gz USRGOPXYQMTIJQ-OAGGEKHMSA-N 1 2 315.421 1.245 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)Cc2c[nH+]ccc2OC)CCN1CC#N ZINC001087621646 740680693 /nfs/dbraw/zinc/68/06/93/740680693.db2.gz DBDAREMTDXQVBC-UONOGXRCSA-N 1 2 302.378 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@]2(F)CCOC2)[C@H]1CC ZINC001087700556 740713401 /nfs/dbraw/zinc/71/34/01/740713401.db2.gz PYFJVIRFJSLEHQ-SCRDCRAPSA-N 1 2 304.793 1.837 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@]2(F)CCOC2)[C@H]1CC ZINC001087700556 740713404 /nfs/dbraw/zinc/71/34/04/740713404.db2.gz PYFJVIRFJSLEHQ-SCRDCRAPSA-N 1 2 304.793 1.837 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(OCC)c2)C1 ZINC001035522394 751599568 /nfs/dbraw/zinc/59/95/68/751599568.db2.gz KYBUBTDZARUINR-MRXNPFEDSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc(OCC)c2)C1 ZINC001035522394 751599571 /nfs/dbraw/zinc/59/95/71/751599571.db2.gz KYBUBTDZARUINR-MRXNPFEDSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccc(OC)n2)C1 ZINC001035567057 751619955 /nfs/dbraw/zinc/61/99/55/751619955.db2.gz DAIGAPAJXLEOID-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccc(OC)n2)C1 ZINC001035567057 751619959 /nfs/dbraw/zinc/61/99/59/751619959.db2.gz DAIGAPAJXLEOID-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnoc2C(C)C)C1 ZINC001035568649 751622250 /nfs/dbraw/zinc/62/22/50/751622250.db2.gz WFRZUPKIMHXRHU-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnoc2C(C)C)C1 ZINC001035568649 751622251 /nfs/dbraw/zinc/62/22/51/751622251.db2.gz WFRZUPKIMHXRHU-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001029801643 741318540 /nfs/dbraw/zinc/31/85/40/741318540.db2.gz PQMNEBRRGYSLRI-ZDUSSCGKSA-N 1 2 305.426 1.308 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001029801643 741318542 /nfs/dbraw/zinc/31/85/42/741318542.db2.gz PQMNEBRRGYSLRI-ZDUSSCGKSA-N 1 2 305.426 1.308 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)C)c2)C1 ZINC001035572837 751626312 /nfs/dbraw/zinc/62/63/12/751626312.db2.gz GGANXROTQNDAPL-OAHLLOKOSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)C)c2)C1 ZINC001035572837 751626315 /nfs/dbraw/zinc/62/63/15/751626315.db2.gz GGANXROTQNDAPL-OAHLLOKOSA-N 1 2 306.410 1.471 20 30 DDEDLO N#CCN[C@@H]1CCCCCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001088337925 741410827 /nfs/dbraw/zinc/41/08/27/741410827.db2.gz QQNNLKRDYHRGLN-HUUCEWRRSA-N 1 2 315.421 1.330 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(COC)CCCC2)C1 ZINC001107982253 751651536 /nfs/dbraw/zinc/65/15/36/751651536.db2.gz SEXHLJFWQVAKCX-QGZVFWFLSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2(COC)CCCC2)C1 ZINC001107982253 751651539 /nfs/dbraw/zinc/65/15/39/751651539.db2.gz SEXHLJFWQVAKCX-QGZVFWFLSA-N 1 2 322.449 1.424 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3nccnc3c2)C1 ZINC001035599901 751658722 /nfs/dbraw/zinc/65/87/22/751658722.db2.gz LIENGNNWDFMLKG-CQSZACIVSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3nccnc3c2)C1 ZINC001035599901 751658724 /nfs/dbraw/zinc/65/87/24/751658724.db2.gz LIENGNNWDFMLKG-CQSZACIVSA-N 1 2 312.373 1.246 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N(C)CCNc1cc[nH+]c(C)n1 ZINC001101870327 741978465 /nfs/dbraw/zinc/97/84/65/741978465.db2.gz DDGRYVAKSHCXKF-MRXNPFEDSA-N 1 2 306.410 1.884 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(F)cccc2F)C1 ZINC001035590472 751684959 /nfs/dbraw/zinc/68/49/59/751684959.db2.gz OZPMGAXMACVEQG-GFCCVEGCSA-N 1 2 308.328 1.419 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(F)cccc2F)C1 ZINC001035590472 751684963 /nfs/dbraw/zinc/68/49/63/751684963.db2.gz OZPMGAXMACVEQG-GFCCVEGCSA-N 1 2 308.328 1.419 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc3ncnn32)[C@H]1C ZINC001088712219 742230080 /nfs/dbraw/zinc/23/00/80/742230080.db2.gz XWPKVSHFOWNABZ-NEPJUHHUSA-N 1 2 319.796 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc3ncnn32)[C@H]1C ZINC001088712219 742230082 /nfs/dbraw/zinc/23/00/82/742230082.db2.gz XWPKVSHFOWNABZ-NEPJUHHUSA-N 1 2 319.796 1.674 20 30 DDEDLO CCCCc1noc([C@H](C)[NH2+]CCNC(=O)C#CC(C)C)n1 ZINC001126833840 742329625 /nfs/dbraw/zinc/32/96/25/742329625.db2.gz FIFCFEONGUCYKM-ZDUSSCGKSA-N 1 2 306.410 1.838 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076319958 742663380 /nfs/dbraw/zinc/66/33/80/742663380.db2.gz QMOUQCHZYPAJOS-SUMWQHHRSA-N 1 2 320.437 1.956 20 30 DDEDLO CC1(C)C[C@@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001076885617 743075459 /nfs/dbraw/zinc/07/54/59/743075459.db2.gz HMRXTNRPOIJTFN-MGPQQGTHSA-N 1 2 319.430 1.327 20 30 DDEDLO CC1(C)C[C@@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001076885617 743075469 /nfs/dbraw/zinc/07/54/69/743075469.db2.gz HMRXTNRPOIJTFN-MGPQQGTHSA-N 1 2 319.430 1.327 20 30 DDEDLO C=C[C@H](C(=O)N1CC(NC(=O)Cc2[nH]cc[nH+]2)C1)c1ccccc1 ZINC000998391881 751790218 /nfs/dbraw/zinc/79/02/18/751790218.db2.gz MRXZBASQSVLJSD-HNNXBMFYSA-N 1 2 324.384 1.249 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccccc1)C2 ZINC001110245636 743247741 /nfs/dbraw/zinc/24/77/41/743247741.db2.gz ZIFNRMSQIVJBKW-OAGGEKHMSA-N 1 2 313.401 1.324 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccccc1)C2 ZINC001110245636 743247744 /nfs/dbraw/zinc/24/77/44/743247744.db2.gz ZIFNRMSQIVJBKW-OAGGEKHMSA-N 1 2 313.401 1.324 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001061136230 743389559 /nfs/dbraw/zinc/38/95/59/743389559.db2.gz LWGCLFAJYQTXQW-STQMWFEESA-N 1 2 324.388 1.758 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C[C@@]1(C)CNCC#N ZINC001181952023 743408252 /nfs/dbraw/zinc/40/82/52/743408252.db2.gz OBGLRBVLEGINFN-CKEIUWERSA-N 1 2 315.421 1.043 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc3cnccc3o2)C1 ZINC001108052088 743509838 /nfs/dbraw/zinc/50/98/38/743509838.db2.gz WSYODHBZGJXGAZ-QGZVFWFLSA-N 1 2 315.373 1.835 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc3cnccc3o2)C1 ZINC001108052088 743509845 /nfs/dbraw/zinc/50/98/45/743509845.db2.gz WSYODHBZGJXGAZ-QGZVFWFLSA-N 1 2 315.373 1.835 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1csnn1)CC2 ZINC001035758647 751842187 /nfs/dbraw/zinc/84/21/87/751842187.db2.gz IEALWOROANQKMN-UHFFFAOYSA-N 1 2 312.826 1.829 20 30 DDEDLO C#CCOCCC(=O)NCC[NH2+][C@@H](C)c1nc(CCCC)no1 ZINC001127027044 743612481 /nfs/dbraw/zinc/61/24/81/743612481.db2.gz FQFDKEUFXHSPPB-ZDUSSCGKSA-N 1 2 322.409 1.219 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCc3c2cccc3Cl)[C@@H](O)C1 ZINC001083646585 743669255 /nfs/dbraw/zinc/66/92/55/743669255.db2.gz KTDZLBBOHYMLQB-IMJJTQAJSA-N 1 2 318.804 1.164 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CCc3c2cccc3Cl)[C@@H](O)C1 ZINC001083646585 743669257 /nfs/dbraw/zinc/66/92/57/743669257.db2.gz KTDZLBBOHYMLQB-IMJJTQAJSA-N 1 2 318.804 1.164 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001212146764 743742013 /nfs/dbraw/zinc/74/20/13/743742013.db2.gz XGKJYYFECXSTBV-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001212146764 743742018 /nfs/dbraw/zinc/74/20/18/743742018.db2.gz XGKJYYFECXSTBV-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001105721325 743759260 /nfs/dbraw/zinc/75/92/60/743759260.db2.gz YFYTXUMRRALCCR-CQSZACIVSA-N 1 2 310.438 1.273 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCc3ccccc3[C@@H]2O)CC1 ZINC001183390107 743829574 /nfs/dbraw/zinc/82/95/74/743829574.db2.gz LBRRQRSTTHGAKJ-SJORKVTESA-N 1 2 300.402 1.613 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)c2ccn3c(C)c[nH+]c3c2)C1 ZINC001030311401 744040135 /nfs/dbraw/zinc/04/01/35/744040135.db2.gz PGDNBNDDAQAUHM-UHFFFAOYSA-N 1 2 304.781 1.809 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1ccncn1)CC2 ZINC001035822015 751901043 /nfs/dbraw/zinc/90/10/43/751901043.db2.gz KQDKZKIFLQMGDN-UHFFFAOYSA-N 1 2 306.797 1.767 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001212186928 744257638 /nfs/dbraw/zinc/25/76/38/744257638.db2.gz BXFXRYAMJNZHLI-UKRRQHHQSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001212186928 744257640 /nfs/dbraw/zinc/25/76/40/744257640.db2.gz BXFXRYAMJNZHLI-UKRRQHHQSA-N 1 2 305.378 1.102 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C(C)(C)F)C2)nn1 ZINC001185842523 744298682 /nfs/dbraw/zinc/29/86/82/744298682.db2.gz IKVKLJSBBTVBTK-CQSZACIVSA-N 1 2 321.400 1.303 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@H](C)CCC)C2)nn1 ZINC001185900582 744305630 /nfs/dbraw/zinc/30/56/30/744305630.db2.gz VTZPSSIPSNFUHY-GDBMZVCRSA-N 1 2 317.437 1.601 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)/C(C)=C\C)C2)nn1 ZINC001185915418 744313933 /nfs/dbraw/zinc/31/39/33/744313933.db2.gz FJMNKPZDTLIRCG-HSLRFEFHSA-N 1 2 315.421 1.521 20 30 DDEDLO Cc1cc(N[C@H](CO)CNC(=O)C#CC(C)C)nc(C2CC2)[nH+]1 ZINC001121520159 782547337 /nfs/dbraw/zinc/54/73/37/782547337.db2.gz JGRRHPWHPYFFOY-AWEZNQCLSA-N 1 2 316.405 1.211 20 30 DDEDLO Cc1cc(NC(=O)CO[NH+]=C(N)Cc2ccccc2C)n(C)n1 ZINC000154707919 744361188 /nfs/dbraw/zinc/36/11/88/744361188.db2.gz CDXFGGOXCGPDME-UHFFFAOYSA-N 1 2 315.377 1.507 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccn(C)n2)CC[N@@H+]1Cc1ccccc1C#N ZINC001089039230 744465635 /nfs/dbraw/zinc/46/56/35/744465635.db2.gz BAKWMUGYUVZGKM-CJNGLKHVSA-N 1 2 323.400 1.685 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccn(C)n2)CC[N@H+]1Cc1ccccc1C#N ZINC001089039230 744465637 /nfs/dbraw/zinc/46/56/37/744465637.db2.gz BAKWMUGYUVZGKM-CJNGLKHVSA-N 1 2 323.400 1.685 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1snnc1C ZINC001110390208 744511050 /nfs/dbraw/zinc/51/10/50/744511050.db2.gz CCTDXDUXJJIAPU-UPJWGTAASA-N 1 2 322.434 1.271 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1snnc1C ZINC001110390208 744511052 /nfs/dbraw/zinc/51/10/52/744511052.db2.gz CCTDXDUXJJIAPU-UPJWGTAASA-N 1 2 322.434 1.271 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001187706869 744594858 /nfs/dbraw/zinc/59/48/58/744594858.db2.gz UABWGRFSWDAJDA-GOEBONIOSA-N 1 2 315.421 1.134 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001187706869 744594860 /nfs/dbraw/zinc/59/48/60/744594860.db2.gz UABWGRFSWDAJDA-GOEBONIOSA-N 1 2 315.421 1.134 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001187713543 744595598 /nfs/dbraw/zinc/59/55/98/744595598.db2.gz CRXNGYZHMMLRJG-AEGPPILISA-N 1 2 316.405 1.775 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001187713543 744595600 /nfs/dbraw/zinc/59/56/00/744595600.db2.gz CRXNGYZHMMLRJG-AEGPPILISA-N 1 2 316.405 1.775 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nocc3C)C[C@H]21 ZINC001187874353 744630690 /nfs/dbraw/zinc/63/06/90/744630690.db2.gz SQULTNJDSOCOPT-GOEBONIOSA-N 1 2 317.389 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2C[N@H+](Cc3nocc3C)C[C@H]21 ZINC001187874353 744630692 /nfs/dbraw/zinc/63/06/92/744630692.db2.gz SQULTNJDSOCOPT-GOEBONIOSA-N 1 2 317.389 1.056 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CCCN(CC(F)F)C2)C1 ZINC001030857219 744733682 /nfs/dbraw/zinc/73/36/82/744733682.db2.gz QCPAOSRXOQUOBN-LBPRGKRZSA-N 1 2 301.381 1.340 20 30 DDEDLO N#CC1(NC(=O)c2nnc[nH]2)CC[NH+](Cc2ccccc2)CC1 ZINC001188698958 744750069 /nfs/dbraw/zinc/75/00/69/744750069.db2.gz GHOBDGLADNYMEA-UHFFFAOYSA-N 1 2 310.361 1.093 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)s2)C1 ZINC001189380617 744880680 /nfs/dbraw/zinc/88/06/80/744880680.db2.gz RKKKJGDITWXZOX-ZDUSSCGKSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)s2)C1 ZINC001189380617 744880681 /nfs/dbraw/zinc/88/06/81/744880681.db2.gz RKKKJGDITWXZOX-ZDUSSCGKSA-N 1 2 309.435 1.687 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001190094793 745097750 /nfs/dbraw/zinc/09/77/50/745097750.db2.gz NWCHGOCBEMEZCU-KGLIPLIRSA-N 1 2 319.405 1.308 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001190094793 745097753 /nfs/dbraw/zinc/09/77/53/745097753.db2.gz NWCHGOCBEMEZCU-KGLIPLIRSA-N 1 2 319.405 1.308 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([N@H+](C)CC(=O)Nc2ccon2)C1 ZINC001190435957 745219041 /nfs/dbraw/zinc/21/90/41/745219041.db2.gz MYMOAPWSASEIRM-ZDUSSCGKSA-N 1 2 320.393 1.502 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([N@@H+](C)CC(=O)Nc2ccon2)C1 ZINC001190435957 745219046 /nfs/dbraw/zinc/21/90/46/745219046.db2.gz MYMOAPWSASEIRM-ZDUSSCGKSA-N 1 2 320.393 1.502 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001031069185 745296968 /nfs/dbraw/zinc/29/69/68/745296968.db2.gz MGGYQPFXTUAIEG-LBPRGKRZSA-N 1 2 307.419 1.985 20 30 DDEDLO C=CCC1(S(=O)(=O)N2CCC([NH+]3CCOCC3)CC2)CC1 ZINC001190766647 745323484 /nfs/dbraw/zinc/32/34/84/745323484.db2.gz QGTNSKWRZLMOMO-UHFFFAOYSA-N 1 2 314.451 1.222 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC1C[NH+](C[C@H]2CC(C)(C)CO2)C1 ZINC001031089238 745350861 /nfs/dbraw/zinc/35/08/61/745350861.db2.gz BUFSVAUYENTVKB-PMPSAXMXSA-N 1 2 322.449 1.583 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCCN1CC#N ZINC000993618649 746236147 /nfs/dbraw/zinc/23/61/47/746236147.db2.gz AGKWGNBUMOGUNJ-YOEHRIQHSA-N 1 2 323.400 1.979 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2nccc2C)[C@@H]1C ZINC000993891069 746367541 /nfs/dbraw/zinc/36/75/41/746367541.db2.gz MTRAJZBCXVYSLW-UONOGXRCSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2nccc2C)[C@@H]1C ZINC000993891069 746367547 /nfs/dbraw/zinc/36/75/47/746367547.db2.gz MTRAJZBCXVYSLW-UONOGXRCSA-N 1 2 310.829 1.913 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2snnc2C)C1 ZINC001194408048 746369569 /nfs/dbraw/zinc/36/95/69/746369569.db2.gz ODEKJIFPIMLACU-STQMWFEESA-N 1 2 324.450 1.470 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2snnc2C)C1 ZINC001194408048 746369574 /nfs/dbraw/zinc/36/95/74/746369574.db2.gz ODEKJIFPIMLACU-STQMWFEESA-N 1 2 324.450 1.470 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccnc1 ZINC001032638033 752087370 /nfs/dbraw/zinc/08/73/70/752087370.db2.gz GQGGBNGTPBDXMN-NXOAAHMSSA-N 1 2 313.401 1.458 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccnc1 ZINC001032638033 752087377 /nfs/dbraw/zinc/08/73/77/752087377.db2.gz GQGGBNGTPBDXMN-NXOAAHMSSA-N 1 2 313.401 1.458 20 30 DDEDLO C#CCN1CCC[C@@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)[C@@H]1C ZINC000994119343 746453118 /nfs/dbraw/zinc/45/31/18/746453118.db2.gz WASYNXUCDBIOFS-WMLDXEAASA-N 1 2 323.400 1.483 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)c2ncc[nH]2)C1 ZINC001046937583 746539076 /nfs/dbraw/zinc/53/90/76/746539076.db2.gz JQSCFZKWXNZJGN-SNVBAGLBSA-N 1 2 318.755 1.849 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@H](CNC(=O)c2ncc[nH]2)C1 ZINC001046937583 746539081 /nfs/dbraw/zinc/53/90/81/746539081.db2.gz JQSCFZKWXNZJGN-SNVBAGLBSA-N 1 2 318.755 1.849 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)onc2CC)C[C@H]1O ZINC001195287428 746569141 /nfs/dbraw/zinc/56/91/41/746569141.db2.gz PGQKJXGNQGHMHJ-HUUCEWRRSA-N 1 2 321.421 1.419 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)onc2CC)C[C@H]1O ZINC001195287428 746569144 /nfs/dbraw/zinc/56/91/44/746569144.db2.gz PGQKJXGNQGHMHJ-HUUCEWRRSA-N 1 2 321.421 1.419 20 30 DDEDLO Cc1nnc(C[NH+]2CCC3(CCN(C(=O)[C@@H](C)C#N)C3)CC2)[nH]1 ZINC001040643065 752108565 /nfs/dbraw/zinc/10/85/65/752108565.db2.gz FOUPMUSYMORUOH-LBPRGKRZSA-N 1 2 316.409 1.087 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2[nH]nc(Br)c2C)CC1 ZINC001195597625 746650887 /nfs/dbraw/zinc/65/08/87/746650887.db2.gz FXVYLWZZXUTFLZ-UHFFFAOYSA-N 1 2 313.199 1.424 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](CCOCC(F)(F)F)C[C@H]1O ZINC001195724978 746676853 /nfs/dbraw/zinc/67/68/53/746676853.db2.gz GXELADLWMZAXHA-VXGBXAGGSA-N 1 2 324.343 1.083 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](CCOCC(F)(F)F)C[C@H]1O ZINC001195724978 746676855 /nfs/dbraw/zinc/67/68/55/746676855.db2.gz GXELADLWMZAXHA-VXGBXAGGSA-N 1 2 324.343 1.083 20 30 DDEDLO COc1ccnc(C[N@@H+]2CCCN(C(=O)C#CC(C)C)CC2)c1 ZINC001195747127 746698382 /nfs/dbraw/zinc/69/83/82/746698382.db2.gz KZZZXEBBEYSUEE-UHFFFAOYSA-N 1 2 315.417 1.784 20 30 DDEDLO COc1ccnc(C[N@H+]2CCCN(C(=O)C#CC(C)C)CC2)c1 ZINC001195747127 746698387 /nfs/dbraw/zinc/69/83/87/746698387.db2.gz KZZZXEBBEYSUEE-UHFFFAOYSA-N 1 2 315.417 1.784 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@H]1O ZINC001195923618 746737225 /nfs/dbraw/zinc/73/72/25/746737225.db2.gz HSHOZVLKUASFLX-INMHGKMJSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@H]1O ZINC001195923618 746737230 /nfs/dbraw/zinc/73/72/30/746737230.db2.gz HSHOZVLKUASFLX-INMHGKMJSA-N 1 2 318.421 1.049 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1ccc(C#N)cn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089382018 746760369 /nfs/dbraw/zinc/76/03/69/746760369.db2.gz WWALWIHQEFHVHB-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCc2ccccc2F)C1 ZINC001196123428 746786249 /nfs/dbraw/zinc/78/62/49/746786249.db2.gz RFLJKETYIHBTBZ-IAOVAPTHSA-N 1 2 318.392 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCc2ccccc2F)C1 ZINC001196123428 746786252 /nfs/dbraw/zinc/78/62/52/746786252.db2.gz RFLJKETYIHBTBZ-IAOVAPTHSA-N 1 2 318.392 1.189 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@H]1O ZINC001196468537 746868968 /nfs/dbraw/zinc/86/89/68/746868968.db2.gz JCBPMPSKSIDCSN-HUUCEWRRSA-N 1 2 303.406 1.345 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@H]1O ZINC001196468537 746868972 /nfs/dbraw/zinc/86/89/72/746868972.db2.gz JCBPMPSKSIDCSN-HUUCEWRRSA-N 1 2 303.406 1.345 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2ccncc2)C1 ZINC001031514616 746933280 /nfs/dbraw/zinc/93/32/80/746933280.db2.gz ZNBXYGWTEJYVDT-UHFFFAOYSA-N 1 2 306.369 1.815 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)[C@H]3CCCO3)C2)ccc1F ZINC001031535106 746977640 /nfs/dbraw/zinc/97/76/40/746977640.db2.gz PZCBSFINPAAVEK-MRXNPFEDSA-N 1 2 317.364 1.424 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)on1 ZINC001031538744 746980346 /nfs/dbraw/zinc/98/03/46/746980346.db2.gz FAOHRKYODQFWQL-UHFFFAOYSA-N 1 2 309.369 1.696 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cnnn2CC)CC1 ZINC001196854395 746991763 /nfs/dbraw/zinc/99/17/63/746991763.db2.gz RAIIHTMROVAUKC-HUUCEWRRSA-N 1 2 319.453 1.791 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@H+](Cc2cnnn2CC)CC1 ZINC001196854395 746991766 /nfs/dbraw/zinc/99/17/66/746991766.db2.gz RAIIHTMROVAUKC-HUUCEWRRSA-N 1 2 319.453 1.791 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1ccncc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089489458 747079210 /nfs/dbraw/zinc/07/92/10/747079210.db2.gz HYJOUIOFZUTKAA-VXGBXAGGSA-N 1 2 312.377 1.036 20 30 DDEDLO Cc1cnc([C@@H](C)[NH+]2CC(CNC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001031603576 747154052 /nfs/dbraw/zinc/15/40/52/747154052.db2.gz SUVVWPMEHIIOES-LLVKDONJSA-N 1 2 313.361 1.606 20 30 DDEDLO C=CC1(CC(=O)N2CCC[N@@H+]([C@@H](C)C(N)=O)CC2)CCCCC1 ZINC001197418213 747158787 /nfs/dbraw/zinc/15/87/87/747158787.db2.gz TXMXXQHDHVOLRA-HNNXBMFYSA-N 1 2 321.465 1.921 20 30 DDEDLO C=CC1(CC(=O)N2CCC[N@H+]([C@@H](C)C(N)=O)CC2)CCCCC1 ZINC001197418213 747158789 /nfs/dbraw/zinc/15/87/89/747158789.db2.gz TXMXXQHDHVOLRA-HNNXBMFYSA-N 1 2 321.465 1.921 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nc(CC)no2)CCOCC1 ZINC001149325308 747216358 /nfs/dbraw/zinc/21/63/58/747216358.db2.gz NJEACBSJEWUHOX-UHFFFAOYSA-N 1 2 322.409 1.211 20 30 DDEDLO C=CCCCC(=O)NCc1cnn2c1C[N@H+](CCCOC)CC2 ZINC001128463850 747219407 /nfs/dbraw/zinc/21/94/07/747219407.db2.gz YXSFKQCURXFNMT-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C=CCCCC(=O)NCc1cnn2c1C[N@@H+](CCCOC)CC2 ZINC001128463850 747219409 /nfs/dbraw/zinc/21/94/09/747219409.db2.gz YXSFKQCURXFNMT-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc(OC(=O)CC)c2)CC1 ZINC001198079777 747361668 /nfs/dbraw/zinc/36/16/68/747361668.db2.gz NBSDQUMHDBOOBY-UHFFFAOYSA-N 1 2 302.374 1.946 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2CCC=CCC2)CC1 ZINC001198121998 747389297 /nfs/dbraw/zinc/38/92/97/747389297.db2.gz VEEQSJPAGANPEM-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2CCC=CCC2)CC1 ZINC001198121998 747389302 /nfs/dbraw/zinc/38/93/02/747389302.db2.gz VEEQSJPAGANPEM-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO CCN(c1ccc(C#N)cn1)[C@H](C)CNC(=O)Cn1cc[nH+]c1 ZINC001089666424 747616971 /nfs/dbraw/zinc/61/69/71/747616971.db2.gz JNGWETOKLXYBGH-CYBMUJFWSA-N 1 2 312.377 1.181 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cn2nc(C)c(Cl)c2C)C1 ZINC001044304792 747626935 /nfs/dbraw/zinc/62/69/35/747626935.db2.gz UEEZCIAARDFOEX-UHFFFAOYSA-N 1 2 310.829 1.872 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC)OC2CCCCC2)[C@H](OC)C1 ZINC001212272694 747642090 /nfs/dbraw/zinc/64/20/90/747642090.db2.gz WHJATGQUDIFGKP-BRWVUGGUSA-N 1 2 322.449 1.563 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)OC2CCCCC2)[C@H](OC)C1 ZINC001212272694 747642094 /nfs/dbraw/zinc/64/20/94/747642094.db2.gz WHJATGQUDIFGKP-BRWVUGGUSA-N 1 2 322.449 1.563 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001198924776 747651702 /nfs/dbraw/zinc/65/17/02/747651702.db2.gz JKTVIBCWFJHSTL-HUUCEWRRSA-N 1 2 324.465 1.260 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001198924776 747651709 /nfs/dbraw/zinc/65/17/09/747651709.db2.gz JKTVIBCWFJHSTL-HUUCEWRRSA-N 1 2 324.465 1.260 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1O)c1ccccc1 ZINC001198956123 747660575 /nfs/dbraw/zinc/66/05/75/747660575.db2.gz PRDJXUVNKBJAEN-HXLJHPCKSA-N 1 2 320.820 1.870 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1O)c1ccccc1 ZINC001198956123 747660578 /nfs/dbraw/zinc/66/05/78/747660578.db2.gz PRDJXUVNKBJAEN-HXLJHPCKSA-N 1 2 320.820 1.870 20 30 DDEDLO C=CCCC[N@@H+]1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@H](OC)C1 ZINC001212307115 747821245 /nfs/dbraw/zinc/82/12/45/747821245.db2.gz VFXPUTHZVOQLDA-GMTAPVOTSA-N 1 2 312.307 1.668 20 30 DDEDLO C=CCCC[N@H+]1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@H](OC)C1 ZINC001212307115 747821250 /nfs/dbraw/zinc/82/12/50/747821250.db2.gz VFXPUTHZVOQLDA-GMTAPVOTSA-N 1 2 312.307 1.668 20 30 DDEDLO C=CCCC[N@@H+]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@H](OC)C1 ZINC001212307115 747821257 /nfs/dbraw/zinc/82/12/57/747821257.db2.gz VFXPUTHZVOQLDA-GMTAPVOTSA-N 1 2 312.307 1.668 20 30 DDEDLO C=CCCC[N@H+]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@H](OC)C1 ZINC001212307115 747821264 /nfs/dbraw/zinc/82/12/64/747821264.db2.gz VFXPUTHZVOQLDA-GMTAPVOTSA-N 1 2 312.307 1.668 20 30 DDEDLO Cc1cnc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC(C)C)C3)cn1 ZINC001110538080 747919539 /nfs/dbraw/zinc/91/95/39/747919539.db2.gz MHPOAHIJJBYSHS-ZACQAIPSSA-N 1 2 312.417 1.666 20 30 DDEDLO Cc1cnc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC(C)C)C3)cn1 ZINC001110538080 747919543 /nfs/dbraw/zinc/91/95/43/747919543.db2.gz MHPOAHIJJBYSHS-ZACQAIPSSA-N 1 2 312.417 1.666 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CC[C@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001033019692 747931410 /nfs/dbraw/zinc/93/14/10/747931410.db2.gz CJDLABZHDUNVDD-WFASDCNBSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CC[C@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001033019692 747931424 /nfs/dbraw/zinc/93/14/24/747931424.db2.gz CJDLABZHDUNVDD-WFASDCNBSA-N 1 2 324.388 1.584 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CC(=O)N[C@@H](CC)C2)C1 ZINC001031871339 748079290 /nfs/dbraw/zinc/07/92/90/748079290.db2.gz WXDHMBUDBAAZBL-OLZOCXBDSA-N 1 2 313.829 1.092 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@@H](C[NH2+]Cc2nc(CC)no2)C1 ZINC001200093192 748121007 /nfs/dbraw/zinc/12/10/07/748121007.db2.gz RGOOLTGEIVMDIB-ZDUSSCGKSA-N 1 2 322.409 1.305 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H](C)c2ccnn2C)C1 ZINC001033090117 748239936 /nfs/dbraw/zinc/23/99/36/748239936.db2.gz FRYICMKGSSLSRK-QWHCGFSZSA-N 1 2 310.829 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H](C)c2ccnn2C)C1 ZINC001033090117 748239940 /nfs/dbraw/zinc/23/99/40/748239940.db2.gz FRYICMKGSSLSRK-QWHCGFSZSA-N 1 2 310.829 1.809 20 30 DDEDLO COc1cc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)on1 ZINC001004405708 748404697 /nfs/dbraw/zinc/40/46/97/748404697.db2.gz HOWVVAGZTCTDJN-CYBMUJFWSA-N 1 2 318.377 1.523 20 30 DDEDLO COc1cc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)on1 ZINC001004405708 748404702 /nfs/dbraw/zinc/40/47/02/748404702.db2.gz HOWVVAGZTCTDJN-CYBMUJFWSA-N 1 2 318.377 1.523 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CCn3c[nH+]cc3C2)cc1C#N ZINC001201309686 748473230 /nfs/dbraw/zinc/47/32/30/748473230.db2.gz CFLWQONZJIHQAZ-UHFFFAOYSA-N 1 2 302.359 1.268 20 30 DDEDLO Cc1ccc(S(=O)(=O)NC2(C#N)CC[NH+](C)CC2)cc1C#N ZINC001201334657 748488721 /nfs/dbraw/zinc/48/87/21/748488721.db2.gz DCUZYYZIQIWATE-UHFFFAOYSA-N 1 2 318.402 1.133 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cnc3c(cnn3C)c2)C1 ZINC001032073203 748573773 /nfs/dbraw/zinc/57/37/73/748573773.db2.gz YROQNTCTQKDSSJ-UHFFFAOYSA-N 1 2 319.796 1.382 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC([C@@H]2CCCN2CC#N)CC1 ZINC001004599217 748578273 /nfs/dbraw/zinc/57/82/73/748578273.db2.gz YYXVELIRWYEEBT-INIZCTEOSA-N 1 2 315.421 1.189 20 30 DDEDLO Cn1cncc1CC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004599217 748578276 /nfs/dbraw/zinc/57/82/76/748578276.db2.gz YYXVELIRWYEEBT-INIZCTEOSA-N 1 2 315.421 1.189 20 30 DDEDLO Cn1cncc1CC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004599217 748578282 /nfs/dbraw/zinc/57/82/82/748578282.db2.gz YYXVELIRWYEEBT-INIZCTEOSA-N 1 2 315.421 1.189 20 30 DDEDLO C[C@H]([NH2+]Cc1cnsn1)[C@@H]1CCCCN1C(=O)C#CC1CC1 ZINC001004845241 748851323 /nfs/dbraw/zinc/85/13/23/748851323.db2.gz BOZINPBSSPPFBM-WFASDCNBSA-N 1 2 318.446 1.811 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)CNc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001108096056 748931807 /nfs/dbraw/zinc/93/18/07/748931807.db2.gz CJRUKJYPTUWFND-LLVKDONJSA-N 1 2 318.425 1.519 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@]1(C)CCN(c2ccc(C#N)cn2)C1 ZINC001110773175 748999124 /nfs/dbraw/zinc/99/91/24/748999124.db2.gz CHUDGFMXNDGPHW-KRWDZBQOSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001114318738 749050924 /nfs/dbraw/zinc/05/09/24/749050924.db2.gz FIACDGIZWXXUIF-QLPKVWCKSA-N 1 2 314.433 1.735 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001114318738 749050927 /nfs/dbraw/zinc/05/09/27/749050927.db2.gz FIACDGIZWXXUIF-QLPKVWCKSA-N 1 2 314.433 1.735 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cc[nH]n2)cc1 ZINC001032287948 749198302 /nfs/dbraw/zinc/19/83/02/749198302.db2.gz CZLKUZWCBOFJAK-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cc[nH]n2)cc1 ZINC001032287948 749198306 /nfs/dbraw/zinc/19/83/06/749198306.db2.gz CZLKUZWCBOFJAK-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccn[nH]2)cc1 ZINC001032287948 749198309 /nfs/dbraw/zinc/19/83/09/749198309.db2.gz CZLKUZWCBOFJAK-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccn[nH]2)cc1 ZINC001032287948 749198311 /nfs/dbraw/zinc/19/83/11/749198311.db2.gz CZLKUZWCBOFJAK-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@@H](NCC#N)C(C)(C)C2)c[nH+]1 ZINC000995946839 749300202 /nfs/dbraw/zinc/30/02/02/749300202.db2.gz HRCXMFRGIVTVNA-CYBMUJFWSA-N 1 2 303.410 1.277 20 30 DDEDLO CC1(C)CN(C2C[NH+](Cc3ccc(OCC#N)cc3)C2)CCO1 ZINC001137120402 749344380 /nfs/dbraw/zinc/34/43/80/749344380.db2.gz KALKWZSTWRNZCI-UHFFFAOYSA-N 1 2 315.417 1.884 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(Cc3ccc(OCC#N)cc3)C2)CCO1 ZINC001137120402 749344388 /nfs/dbraw/zinc/34/43/88/749344388.db2.gz KALKWZSTWRNZCI-UHFFFAOYSA-N 1 2 315.417 1.884 20 30 DDEDLO CC1(C)C[N@H+](C2CN(Cc3ccc(OCC#N)cc3)C2)CCO1 ZINC001137120402 749344390 /nfs/dbraw/zinc/34/43/90/749344390.db2.gz KALKWZSTWRNZCI-UHFFFAOYSA-N 1 2 315.417 1.884 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)/C=C(/C)CC)nn2)C1 ZINC001107167982 749474160 /nfs/dbraw/zinc/47/41/60/749474160.db2.gz OVBQYQFCELXCAL-MLPAPPSSSA-N 1 2 303.410 1.683 20 30 DDEDLO CN(C(=O)c1cccnn1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033526870 749478101 /nfs/dbraw/zinc/47/81/01/749478101.db2.gz OLCFHJPWYHMCSJ-INIZCTEOSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C(=O)c1cccnn1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033526870 749478104 /nfs/dbraw/zinc/47/81/04/749478104.db2.gz OLCFHJPWYHMCSJ-INIZCTEOSA-N 1 2 321.384 1.695 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)nn1C ZINC001039349257 761954250 /nfs/dbraw/zinc/95/42/50/761954250.db2.gz RGWKXZPDCJNDPW-MAZHCROVSA-N 1 2 315.421 1.454 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)nn1C ZINC001039349257 761954258 /nfs/dbraw/zinc/95/42/58/761954258.db2.gz RGWKXZPDCJNDPW-MAZHCROVSA-N 1 2 315.421 1.454 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cn(CC3CC3)nn2)C1 ZINC001033535263 749499274 /nfs/dbraw/zinc/49/92/74/749499274.db2.gz CSQPJUNNGCOLLV-ZDUSSCGKSA-N 1 2 323.828 1.587 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cn(CC3CC3)nn2)C1 ZINC001033535263 749499279 /nfs/dbraw/zinc/49/92/79/749499279.db2.gz CSQPJUNNGCOLLV-ZDUSSCGKSA-N 1 2 323.828 1.587 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)COc2ccsc2)C1 ZINC001108345206 761962868 /nfs/dbraw/zinc/96/28/68/761962868.db2.gz YMIZXFATMGWSDM-MRXNPFEDSA-N 1 2 322.430 1.357 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)COc2ccsc2)C1 ZINC001108345206 761962875 /nfs/dbraw/zinc/96/28/75/761962875.db2.gz YMIZXFATMGWSDM-MRXNPFEDSA-N 1 2 322.430 1.357 20 30 DDEDLO N#Cc1cnccc1N1CCC(CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001095363569 749602644 /nfs/dbraw/zinc/60/26/44/749602644.db2.gz GYKPRIOMPMUYML-UHFFFAOYSA-N 1 2 324.388 1.252 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1nccn1C)C2 ZINC001110840931 749746856 /nfs/dbraw/zinc/74/68/56/749746856.db2.gz JJBPGNFALWZMQU-MCIONIFRSA-N 1 2 322.840 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1nccn1C)C2 ZINC001110840931 749746861 /nfs/dbraw/zinc/74/68/61/749746861.db2.gz JJBPGNFALWZMQU-MCIONIFRSA-N 1 2 322.840 1.827 20 30 DDEDLO Cc1nc(NC[C@H](C)NC(=O)C#CC2CC2)c2c([nH+]1)CCCC2 ZINC001108358322 761980992 /nfs/dbraw/zinc/98/09/92/761980992.db2.gz IVBSSLCMGREIQF-LBPRGKRZSA-N 1 2 312.417 1.994 20 30 DDEDLO COc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](C)C#N)cc1C#N ZINC001032319054 749968688 /nfs/dbraw/zinc/96/86/88/749968688.db2.gz HOPKPABWUBWBGE-RCBQFDQVSA-N 1 2 324.384 1.512 20 30 DDEDLO COc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](C)C#N)cc1C#N ZINC001032319054 749968695 /nfs/dbraw/zinc/96/86/95/749968695.db2.gz HOPKPABWUBWBGE-RCBQFDQVSA-N 1 2 324.384 1.512 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](C)C#N)s1 ZINC001032319386 749972354 /nfs/dbraw/zinc/97/23/54/749972354.db2.gz RHGBRWMYRLXDJT-XDTLVQLUSA-N 1 2 304.419 1.705 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](C)C#N)s1 ZINC001032319386 749972357 /nfs/dbraw/zinc/97/23/57/749972357.db2.gz RHGBRWMYRLXDJT-XDTLVQLUSA-N 1 2 304.419 1.705 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccccc1)C(F)C(F)(F)F ZINC001127780249 749985622 /nfs/dbraw/zinc/98/56/22/749985622.db2.gz XTMALLRPOQPQQE-LBPRGKRZSA-N 1 2 302.271 1.644 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccccc1)[C@H](F)C(F)(F)F ZINC001127780249 749985628 /nfs/dbraw/zinc/98/56/28/749985628.db2.gz XTMALLRPOQPQQE-LBPRGKRZSA-N 1 2 302.271 1.644 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CC)C(=O)c2sc3nccn3c2C)C1 ZINC001033861345 750003351 /nfs/dbraw/zinc/00/33/51/750003351.db2.gz HFLHDEUZNWZGBN-ZDUSSCGKSA-N 1 2 316.430 1.874 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2sc3nccn3c2C)C1 ZINC001033861345 750003360 /nfs/dbraw/zinc/00/33/60/750003360.db2.gz HFLHDEUZNWZGBN-ZDUSSCGKSA-N 1 2 316.430 1.874 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C/CNC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001107539627 750102915 /nfs/dbraw/zinc/10/29/15/750102915.db2.gz RAYXFARBMAUSPR-NSCUHMNNSA-N 1 2 310.361 1.312 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(=O)n1C ZINC001039417077 762015082 /nfs/dbraw/zinc/01/50/82/762015082.db2.gz SNLPWEFEHYSQDD-NEPJUHHUSA-N 1 2 324.812 1.557 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(=O)n1C ZINC001039417077 762015092 /nfs/dbraw/zinc/01/50/92/762015092.db2.gz SNLPWEFEHYSQDD-NEPJUHHUSA-N 1 2 324.812 1.557 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)CC=C)C2 ZINC001111072590 750348770 /nfs/dbraw/zinc/34/87/70/750348770.db2.gz NZNYTYQBCRKNNL-KFWWJZLASA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)CC=C)C2 ZINC001111072590 750348781 /nfs/dbraw/zinc/34/87/81/750348781.db2.gz NZNYTYQBCRKNNL-KFWWJZLASA-N 1 2 319.449 1.612 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)n2cccc2)C1 ZINC001107874763 750518204 /nfs/dbraw/zinc/51/82/04/750518204.db2.gz RAXABKCIEJNJHU-WBVHZDCISA-N 1 2 303.406 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)n2cccc2)C1 ZINC001107874763 750518205 /nfs/dbraw/zinc/51/82/05/750518205.db2.gz RAXABKCIEJNJHU-WBVHZDCISA-N 1 2 303.406 1.280 20 30 DDEDLO CC1(Nc2ccc(C#N)cn2)CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001067298003 762047860 /nfs/dbraw/zinc/04/78/60/762047860.db2.gz HSZMRICSWPIDJN-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@@H]2C[C@H]1C[N@H+]2CCO[C@H]1CC1(F)F ZINC001032397250 750566726 /nfs/dbraw/zinc/56/67/26/750566726.db2.gz QLCFMRSTBNAFQL-IHRRRGAJSA-N 1 2 310.344 1.109 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@@H]2C[C@H]1C[N@@H+]2CCO[C@H]1CC1(F)F ZINC001032397250 750566730 /nfs/dbraw/zinc/56/67/30/750566730.db2.gz QLCFMRSTBNAFQL-IHRRRGAJSA-N 1 2 310.344 1.109 20 30 DDEDLO CCN(CCCNC(=O)[C@@H](C)C#N)c1nc(C)[nH+]c(C)c1C ZINC001095848161 750567270 /nfs/dbraw/zinc/56/72/70/750567270.db2.gz FJGJYOJPFIEIML-NSHDSACASA-N 1 2 303.410 1.894 20 30 DDEDLO Cc1nc(N(C)C)nc(N[C@H](C)CN(C)C(=O)C#CC(C)C)[nH+]1 ZINC001115716030 750753276 /nfs/dbraw/zinc/75/32/76/750753276.db2.gz DBHZQDNXCYUUDT-GFCCVEGCSA-N 1 2 318.425 1.164 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)n(C(F)F)n1 ZINC001032425768 750793289 /nfs/dbraw/zinc/79/32/89/750793289.db2.gz JMNQOIWEIKEOIS-RYUDHWBXSA-N 1 2 308.332 1.509 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)n(C(F)F)n1 ZINC001032425768 750793295 /nfs/dbraw/zinc/79/32/95/750793295.db2.gz JMNQOIWEIKEOIS-RYUDHWBXSA-N 1 2 308.332 1.509 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)[C@@H](C)CC)CC2 ZINC001127944829 750809460 /nfs/dbraw/zinc/80/94/60/750809460.db2.gz XNJTXIDKVJIEOM-UONOGXRCSA-N 1 2 317.437 1.258 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc[nH]c2CCC)C1 ZINC001108153394 750839596 /nfs/dbraw/zinc/83/95/96/750839596.db2.gz PACAPRHPBKJBEK-GOSISDBHSA-N 1 2 317.433 1.811 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc[nH]c2CCC)C1 ZINC001108153394 750839603 /nfs/dbraw/zinc/83/96/03/750839603.db2.gz PACAPRHPBKJBEK-GOSISDBHSA-N 1 2 317.433 1.811 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccccn1 ZINC001032440554 750840262 /nfs/dbraw/zinc/84/02/62/750840262.db2.gz ZZBKVMFOYDLGGD-STQMWFEESA-N 1 2 307.357 1.004 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccccn1 ZINC001032440554 750840269 /nfs/dbraw/zinc/84/02/69/750840269.db2.gz ZZBKVMFOYDLGGD-STQMWFEESA-N 1 2 307.357 1.004 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cncc(Cl)c1C ZINC001032458880 750879765 /nfs/dbraw/zinc/87/97/65/750879765.db2.gz RZIMXICGYZSKBY-STQMWFEESA-N 1 2 303.793 1.965 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cncc(Cl)c1C ZINC001032458880 750879773 /nfs/dbraw/zinc/87/97/73/750879773.db2.gz RZIMXICGYZSKBY-STQMWFEESA-N 1 2 303.793 1.965 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CCCN(C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001000685152 762090119 /nfs/dbraw/zinc/09/01/19/762090119.db2.gz BGOKMQNPJCBFJL-NWDGAFQWSA-N 1 2 323.828 1.243 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CCCN(C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001000685152 762090126 /nfs/dbraw/zinc/09/01/26/762090126.db2.gz BGOKMQNPJCBFJL-NWDGAFQWSA-N 1 2 323.828 1.243 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114725964 751027590 /nfs/dbraw/zinc/02/75/90/751027590.db2.gz FDXILKQLEHQMNK-FJZAXULXSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114725964 751027597 /nfs/dbraw/zinc/02/75/97/751027597.db2.gz FDXILKQLEHQMNK-FJZAXULXSA-N 1 2 318.421 1.781 20 30 DDEDLO C=CC[N@H+]1CC=C(CNC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001000685669 762090419 /nfs/dbraw/zinc/09/04/19/762090419.db2.gz KVNLBQXSJPYFAO-AWEZNQCLSA-N 1 2 300.406 1.764 20 30 DDEDLO C=CC[N@@H+]1CC=C(CNC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001000685669 762090430 /nfs/dbraw/zinc/09/04/30/762090430.db2.gz KVNLBQXSJPYFAO-AWEZNQCLSA-N 1 2 300.406 1.764 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(C)no1 ZINC001035053969 751163637 /nfs/dbraw/zinc/16/36/37/751163637.db2.gz VAGDNOOODOSORZ-MCIONIFRSA-N 1 2 320.393 1.050 20 30 DDEDLO C#Cc1ccc(C(=O)NCCCN(CC)c2cc[nH+]c(C)n2)cn1 ZINC001095898913 751195894 /nfs/dbraw/zinc/19/58/94/751195894.db2.gz HIQZAGFGSUWQEB-UHFFFAOYSA-N 1 2 323.400 1.808 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1nc(C(C)C)no1 ZINC001032551802 751279221 /nfs/dbraw/zinc/27/92/21/751279221.db2.gz GXDPCCZKSIMOAK-STQMWFEESA-N 1 2 302.378 1.044 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1nc(C(C)C)no1 ZINC001032551802 751279224 /nfs/dbraw/zinc/27/92/24/751279224.db2.gz GXDPCCZKSIMOAK-STQMWFEESA-N 1 2 302.378 1.044 20 30 DDEDLO Cc1nc(N2C[C@@H]3C[C@H]2CN3C(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001062524280 753076166 /nfs/dbraw/zinc/07/61/66/753076166.db2.gz UOGVDHDLCSQZHE-KBPBESRZSA-N 1 2 322.372 1.017 20 30 DDEDLO Cc1ccc(C#N)c(N2C[C@@H]3C[C@H]2CN3C(=O)Cc2c[nH+]c[nH]2)n1 ZINC001062588702 753140894 /nfs/dbraw/zinc/14/08/94/753140894.db2.gz OUMVVHQDOMMEFX-GJZGRUSLSA-N 1 2 322.372 1.017 20 30 DDEDLO Cc1nc(NC[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001060888273 753168307 /nfs/dbraw/zinc/16/83/07/753168307.db2.gz WZULAXBOXHLLIH-CQSZACIVSA-N 1 2 324.388 1.419 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2c(C)n[nH]c2C)C1 ZINC001009478288 753210628 /nfs/dbraw/zinc/21/06/28/753210628.db2.gz FARXAIFKKYBMPX-CYBMUJFWSA-N 1 2 310.829 1.902 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2c(C)n[nH]c2C)C1 ZINC001009478288 753210633 /nfs/dbraw/zinc/21/06/33/753210633.db2.gz FARXAIFKKYBMPX-CYBMUJFWSA-N 1 2 310.829 1.902 20 30 DDEDLO N#Cc1ccc(NCC2(CCNC(=O)Cn3cc[nH+]c3)CC2)cn1 ZINC001089944406 753262503 /nfs/dbraw/zinc/26/25/03/753262503.db2.gz AKSDYPOPCKLXSI-UHFFFAOYSA-N 1 2 324.388 1.548 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(COC)c(F)c1 ZINC001032753275 753469051 /nfs/dbraw/zinc/46/90/51/753469051.db2.gz MRMNIGJXKLVLON-GJZGRUSLSA-N 1 2 302.349 1.504 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(COC)c(F)c1 ZINC001032753275 753469054 /nfs/dbraw/zinc/46/90/54/753469054.db2.gz MRMNIGJXKLVLON-GJZGRUSLSA-N 1 2 302.349 1.504 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)cc(OC)c2)[C@@H](O)C1 ZINC001083880701 753473948 /nfs/dbraw/zinc/47/39/48/753473948.db2.gz UIMGSDNMSYFGHF-CABCVRRESA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)cc(OC)c2)[C@@H](O)C1 ZINC001083880701 753473952 /nfs/dbraw/zinc/47/39/52/753473952.db2.gz UIMGSDNMSYFGHF-CABCVRRESA-N 1 2 322.792 1.147 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001077970375 753536518 /nfs/dbraw/zinc/53/65/18/753536518.db2.gz OSLPPQPIUQVNHY-NWANDNLSSA-N 1 2 312.369 1.331 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001077970375 753536520 /nfs/dbraw/zinc/53/65/20/753536520.db2.gz OSLPPQPIUQVNHY-NWANDNLSSA-N 1 2 312.369 1.331 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc2c(c1)CCC2 ZINC001032765855 753565107 /nfs/dbraw/zinc/56/51/07/753565107.db2.gz JKKKNBDWJATGTA-IRXDYDNUSA-N 1 2 310.397 1.472 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc2c(c1)CCC2 ZINC001032765855 753565110 /nfs/dbraw/zinc/56/51/10/753565110.db2.gz JKKKNBDWJATGTA-IRXDYDNUSA-N 1 2 310.397 1.472 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cn[nH]c(=O)c2)CC1 ZINC001000814954 762224691 /nfs/dbraw/zinc/22/46/91/762224691.db2.gz ZGTGBUWDBZAIBM-UHFFFAOYSA-N 1 2 308.769 1.297 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cn[nH]c(=O)c2)CC1 ZINC001000814954 762224696 /nfs/dbraw/zinc/22/46/96/762224696.db2.gz ZGTGBUWDBZAIBM-UHFFFAOYSA-N 1 2 308.769 1.297 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@H](NC(=O)[C@@H]3CCCO3)C2)ccc1F ZINC001010063171 753584840 /nfs/dbraw/zinc/58/48/40/753584840.db2.gz VJSNPZMJFLEQIY-HOCLYGCPSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@H](NC(=O)[C@@H]3CCCO3)C2)ccc1F ZINC001010063171 753584844 /nfs/dbraw/zinc/58/48/44/753584844.db2.gz VJSNPZMJFLEQIY-HOCLYGCPSA-N 1 2 317.364 1.567 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(NC(N)=O)c1 ZINC001032775463 753588281 /nfs/dbraw/zinc/58/82/81/753588281.db2.gz NNTDFZKEPQGGID-GJZGRUSLSA-N 1 2 312.373 1.099 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(NC(N)=O)c1 ZINC001032775463 753588290 /nfs/dbraw/zinc/58/82/90/753588290.db2.gz NNTDFZKEPQGGID-GJZGRUSLSA-N 1 2 312.373 1.099 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC001078054365 753685296 /nfs/dbraw/zinc/68/52/96/753685296.db2.gz AWIHMPDEPHGQNY-CHWSQXEVSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC001078054365 753685300 /nfs/dbraw/zinc/68/53/00/753685300.db2.gz AWIHMPDEPHGQNY-CHWSQXEVSA-N 1 2 310.756 1.277 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2cnsn2)C1 ZINC001010331320 753770783 /nfs/dbraw/zinc/77/07/83/753770783.db2.gz SUMLCODRMPYJAH-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2cnsn2)C1 ZINC001010331320 753770786 /nfs/dbraw/zinc/77/07/86/753770786.db2.gz SUMLCODRMPYJAH-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3ccon3)C2)cc1F ZINC001010332935 753772002 /nfs/dbraw/zinc/77/20/02/753772002.db2.gz BZZFQOKIBJJDGF-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3ccon3)C2)cc1F ZINC001010332935 753772006 /nfs/dbraw/zinc/77/20/06/753772006.db2.gz BZZFQOKIBJJDGF-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001060955917 753786290 /nfs/dbraw/zinc/78/62/90/753786290.db2.gz YQRRLGSEPVFYHP-AWEZNQCLSA-N 1 2 324.388 1.500 20 30 DDEDLO Cn1nccc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010362604 753811001 /nfs/dbraw/zinc/81/10/01/753811001.db2.gz UIHGYFLPJDXOIA-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1nccc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010362604 753811005 /nfs/dbraw/zinc/81/10/05/753811005.db2.gz UIHGYFLPJDXOIA-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCOC2)C1 ZINC001010363168 753811178 /nfs/dbraw/zinc/81/11/78/753811178.db2.gz XOSYUTYHOJYFJE-IRXDYDNUSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCOC2)C1 ZINC001010363168 753811185 /nfs/dbraw/zinc/81/11/85/753811185.db2.gz XOSYUTYHOJYFJE-IRXDYDNUSA-N 1 2 313.401 1.675 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001078219351 753852283 /nfs/dbraw/zinc/85/22/83/753852283.db2.gz XSFJJZPLZBQNNH-GUYCJALGSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H](C)C(=O)NC ZINC001039897365 762257129 /nfs/dbraw/zinc/25/71/29/762257129.db2.gz ZQAWKFKZUYRLCJ-BSXFFOKHSA-N 1 2 321.465 1.789 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)NC ZINC001039897365 762257134 /nfs/dbraw/zinc/25/71/34/762257134.db2.gz ZQAWKFKZUYRLCJ-BSXFFOKHSA-N 1 2 321.465 1.789 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001063573961 754232865 /nfs/dbraw/zinc/23/28/65/754232865.db2.gz BZEUVSXLKXVUGZ-OAHLLOKOSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001063573961 754232870 /nfs/dbraw/zinc/23/28/70/754232870.db2.gz BZEUVSXLKXVUGZ-OAHLLOKOSA-N 1 2 324.388 1.712 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001078756693 754355014 /nfs/dbraw/zinc/35/50/14/754355014.db2.gz RXDGIDUFPQWSSK-QWHCGFSZSA-N 1 2 306.410 1.566 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)ccc2Cl)[C@@H](O)C1 ZINC001083805286 754434481 /nfs/dbraw/zinc/43/44/81/754434481.db2.gz IACOJOYLWLVZTC-KGLIPLIRSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)ccc2Cl)[C@@H](O)C1 ZINC001083805286 754434486 /nfs/dbraw/zinc/43/44/86/754434486.db2.gz IACOJOYLWLVZTC-KGLIPLIRSA-N 1 2 310.756 1.277 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCC[C@@H]2NC(=O)Cc2c[nH]c[nH+]2)nc1 ZINC001064140991 754537518 /nfs/dbraw/zinc/53/75/18/754537518.db2.gz PVMQBUUNNFWFBP-HIFRSBDPSA-N 1 2 324.388 1.616 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccn2C2CCCC2)[C@@H](O)C1 ZINC001083896186 754693791 /nfs/dbraw/zinc/69/37/91/754693791.db2.gz ZLKMLSBTPZLOPW-ZBFHGGJFSA-N 1 2 301.390 1.011 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccn2C2CCCC2)[C@@H](O)C1 ZINC001083896186 754693793 /nfs/dbraw/zinc/69/37/93/754693793.db2.gz ZLKMLSBTPZLOPW-ZBFHGGJFSA-N 1 2 301.390 1.011 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CCN(C(=O)[C@H](C)C#N)CC2)c(C)[nH+]1 ZINC001011958989 754786352 /nfs/dbraw/zinc/78/63/52/754786352.db2.gz RRLUMLQFQDQBSZ-GFCCVEGCSA-N 1 2 314.389 1.451 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064832400 754874886 /nfs/dbraw/zinc/87/48/86/754874886.db2.gz MZTALSXLXNCCTB-OCCSQVGLSA-N 1 2 324.388 1.710 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN2C(=O)CCc2[nH]cc[nH+]2)cn1 ZINC001064901565 754940619 /nfs/dbraw/zinc/94/06/19/754940619.db2.gz HINXFMKYAXBQQK-HNNXBMFYSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN2C(=O)Cn2cc[nH+]c2)cn1 ZINC001064927591 754960222 /nfs/dbraw/zinc/96/02/22/754960222.db2.gz JWFQPTDKUCCWJF-HNNXBMFYSA-N 1 2 310.361 1.253 20 30 DDEDLO C=CCCCC(=O)N1CCC(c2nnc3n2CC[NH2+]C3)CC1 ZINC001118285346 755030439 /nfs/dbraw/zinc/03/04/39/755030439.db2.gz UJBCWVPJYYMZLY-UHFFFAOYSA-N 1 2 303.410 1.444 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001040121120 762395775 /nfs/dbraw/zinc/39/57/75/762395775.db2.gz PUMLHDJHMHGYDM-WBMJQRKESA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001040121120 762395779 /nfs/dbraw/zinc/39/57/79/762395779.db2.gz PUMLHDJHMHGYDM-WBMJQRKESA-N 1 2 317.393 1.352 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(C3CCC3)nn2)C1 ZINC001079711565 755541728 /nfs/dbraw/zinc/54/17/28/755541728.db2.gz DGDYIILRFLXXQE-ZWNOBZJWSA-N 1 2 323.828 1.806 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(C3CCC3)nn2)C1 ZINC001079711565 755541736 /nfs/dbraw/zinc/54/17/36/755541736.db2.gz DGDYIILRFLXXQE-ZWNOBZJWSA-N 1 2 323.828 1.806 20 30 DDEDLO C=CC(C)(C)CC(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001079844662 755606521 /nfs/dbraw/zinc/60/65/21/755606521.db2.gz WFCFUMKJZUPWOG-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3cc(C)ncn3)C2)c1 ZINC001015678186 756459769 /nfs/dbraw/zinc/45/97/69/756459769.db2.gz DAOAINFDERJALA-MRXNPFEDSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3cc(C)ncn3)C2)c1 ZINC001015678186 756459770 /nfs/dbraw/zinc/45/97/70/756459770.db2.gz DAOAINFDERJALA-MRXNPFEDSA-N 1 2 321.384 1.166 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001015717272 756491206 /nfs/dbraw/zinc/49/12/06/756491206.db2.gz QNSKNIZEZAWHHJ-SNVBAGLBSA-N 1 2 311.769 1.352 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001015717272 756491208 /nfs/dbraw/zinc/49/12/08/756491208.db2.gz QNSKNIZEZAWHHJ-SNVBAGLBSA-N 1 2 311.769 1.352 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C(C)C)n2)[C@H](OC)C1 ZINC001081901288 756525460 /nfs/dbraw/zinc/52/54/60/756525460.db2.gz XAGKKAIOHUXJDA-HZPDHXFCSA-N 1 2 301.390 1.267 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C(C)C)n2)[C@H](OC)C1 ZINC001081901288 756525464 /nfs/dbraw/zinc/52/54/64/756525464.db2.gz XAGKKAIOHUXJDA-HZPDHXFCSA-N 1 2 301.390 1.267 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC001015783677 756548072 /nfs/dbraw/zinc/54/80/72/756548072.db2.gz SVSCEZUTOSZPCL-GFCCVEGCSA-N 1 2 310.357 1.163 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC001015783677 756548073 /nfs/dbraw/zinc/54/80/73/756548073.db2.gz SVSCEZUTOSZPCL-GFCCVEGCSA-N 1 2 310.357 1.163 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3c(c2)[C@@H](C)CO3)[C@H](OC)C1 ZINC001082046902 756605121 /nfs/dbraw/zinc/60/51/21/756605121.db2.gz MVDVGZWXUIPQDQ-XGWLTEMNSA-N 1 2 314.385 1.245 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3c(c2)[C@@H](C)CO3)[C@H](OC)C1 ZINC001082046902 756605125 /nfs/dbraw/zinc/60/51/25/756605125.db2.gz MVDVGZWXUIPQDQ-XGWLTEMNSA-N 1 2 314.385 1.245 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@H](C)[C@H](Nc2ncccc2C#N)C1 ZINC001067126205 756662488 /nfs/dbraw/zinc/66/24/88/756662488.db2.gz BDRKFBCZIUAHDH-XHDPSFHLSA-N 1 2 324.388 1.486 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccn2C2CCCC2)[C@H](OC)C1 ZINC001082278135 756720863 /nfs/dbraw/zinc/72/08/63/756720863.db2.gz XYEQMOIHMBDDLY-NVXWUHKLSA-N 1 2 315.417 1.665 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccn2C2CCCC2)[C@H](OC)C1 ZINC001082278135 756720866 /nfs/dbraw/zinc/72/08/66/756720866.db2.gz XYEQMOIHMBDDLY-NVXWUHKLSA-N 1 2 315.417 1.665 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ncoc2C(C)C)[C@H](OC)C1 ZINC001082303723 756727883 /nfs/dbraw/zinc/72/78/83/756727883.db2.gz RWGIFJJRHIFFGT-CHWSQXEVSA-N 1 2 305.378 1.250 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ncoc2C(C)C)[C@H](OC)C1 ZINC001082303723 756727885 /nfs/dbraw/zinc/72/78/85/756727885.db2.gz RWGIFJJRHIFFGT-CHWSQXEVSA-N 1 2 305.378 1.250 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)n1 ZINC001082450677 756791470 /nfs/dbraw/zinc/79/14/70/756791470.db2.gz DKAWVXZTOWDBFV-YNEHKIRRSA-N 1 2 319.409 1.364 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](CNC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001097308507 757070832 /nfs/dbraw/zinc/07/08/32/757070832.db2.gz YYYKELGZSAKXRN-OAHLLOKOSA-N 1 2 324.388 1.183 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3cc(C4CC4)no3)C[C@H]21 ZINC001084236717 757438280 /nfs/dbraw/zinc/43/82/80/757438280.db2.gz OPBOCRZIGWCHEH-NORZTCDRSA-N 1 2 314.389 1.744 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3cc(C4CC4)no3)C[C@H]21 ZINC001084236717 757438284 /nfs/dbraw/zinc/43/82/84/757438284.db2.gz OPBOCRZIGWCHEH-NORZTCDRSA-N 1 2 314.389 1.744 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)n3cncn3)[C@@H]2C1 ZINC001084291283 757443611 /nfs/dbraw/zinc/44/36/11/757443611.db2.gz RDANZXXKBKCHCX-JHJVBQTASA-N 1 2 309.801 1.124 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)n3cncn3)[C@@H]2C1 ZINC001084291283 757443623 /nfs/dbraw/zinc/44/36/23/757443623.db2.gz RDANZXXKBKCHCX-JHJVBQTASA-N 1 2 309.801 1.124 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001084345543 757503781 /nfs/dbraw/zinc/50/37/81/757503781.db2.gz GRDKVUZKKZJLJD-JKIFEVAISA-N 1 2 314.433 1.938 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001084345543 757503784 /nfs/dbraw/zinc/50/37/84/757503784.db2.gz GRDKVUZKKZJLJD-JKIFEVAISA-N 1 2 314.433 1.938 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[N@@H+](CC(=O)NC)C[C@H]32)CC1 ZINC001084634480 757723744 /nfs/dbraw/zinc/72/37/44/757723744.db2.gz SNOFMRLPEODUEZ-HUUCEWRRSA-N 1 2 305.422 1.012 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[N@H+](CC(=O)NC)C[C@H]32)CC1 ZINC001084634480 757723754 /nfs/dbraw/zinc/72/37/54/757723754.db2.gz SNOFMRLPEODUEZ-HUUCEWRRSA-N 1 2 305.422 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cccc(=O)n3C)[C@@H]2C1 ZINC001084649235 757735522 /nfs/dbraw/zinc/73/55/22/757735522.db2.gz FYCKMUPZKOCJDM-TZMCWYRMSA-N 1 2 321.808 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cccc(=O)n3C)[C@@H]2C1 ZINC001084649235 757735527 /nfs/dbraw/zinc/73/55/27/757735527.db2.gz FYCKMUPZKOCJDM-TZMCWYRMSA-N 1 2 321.808 1.284 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001017421540 757918755 /nfs/dbraw/zinc/91/87/55/757918755.db2.gz FYTHRMIIRBDAAT-LEWSCRJBSA-N 1 2 302.378 1.626 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001017421540 757918761 /nfs/dbraw/zinc/91/87/61/757918761.db2.gz FYTHRMIIRBDAAT-LEWSCRJBSA-N 1 2 302.378 1.626 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001017555185 758022433 /nfs/dbraw/zinc/02/24/33/758022433.db2.gz KJWISLNWVCBBCF-XHSDSOJGSA-N 1 2 312.417 1.528 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001017555185 758022441 /nfs/dbraw/zinc/02/24/41/758022441.db2.gz KJWISLNWVCBBCF-XHSDSOJGSA-N 1 2 312.417 1.528 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1[nH]nc2c1CCCC2 ZINC001017555088 758022945 /nfs/dbraw/zinc/02/29/45/758022945.db2.gz ISOIGSRSPQOJQV-OKILXGFUSA-N 1 2 312.417 1.139 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1[nH]nc2c1CCCC2 ZINC001017555088 758022958 /nfs/dbraw/zinc/02/29/58/758022958.db2.gz ISOIGSRSPQOJQV-OKILXGFUSA-N 1 2 312.417 1.139 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(OC(C)C)nc1 ZINC001017597899 758065440 /nfs/dbraw/zinc/06/54/40/758065440.db2.gz ZCJDLIKRSMMKRK-IYBDPMFKSA-N 1 2 313.401 1.791 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(OC(C)C)nc1 ZINC001017597899 758065451 /nfs/dbraw/zinc/06/54/51/758065451.db2.gz ZCJDLIKRSMMKRK-IYBDPMFKSA-N 1 2 313.401 1.791 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@H]1C ZINC001053031020 758094574 /nfs/dbraw/zinc/09/45/74/758094574.db2.gz DBECHDQHKURMCX-KGLIPLIRSA-N 1 2 318.421 1.140 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)n1cnc(C)c1 ZINC001017653053 758122542 /nfs/dbraw/zinc/12/25/42/758122542.db2.gz PUFVPUHFCNGFLW-IYBDPMFKSA-N 1 2 314.433 1.625 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)n1cnc(C)c1 ZINC001017653053 758122545 /nfs/dbraw/zinc/12/25/45/758122545.db2.gz PUFVPUHFCNGFLW-IYBDPMFKSA-N 1 2 314.433 1.625 20 30 DDEDLO CC#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001017653053 758122549 /nfs/dbraw/zinc/12/25/49/758122549.db2.gz PUFVPUHFCNGFLW-IYBDPMFKSA-N 1 2 314.433 1.625 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)F)ncn1 ZINC001017660715 758129382 /nfs/dbraw/zinc/12/93/82/758129382.db2.gz NBFZVAMOKIBUMA-PHIMTYICSA-N 1 2 308.332 1.889 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)F)ncn1 ZINC001017660715 758129389 /nfs/dbraw/zinc/12/93/89/758129389.db2.gz NBFZVAMOKIBUMA-PHIMTYICSA-N 1 2 308.332 1.889 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cn[nH]n2)cc1 ZINC001017697073 758161085 /nfs/dbraw/zinc/16/10/85/758161085.db2.gz SYPLIINUGPFTQD-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cn[nH]n2)cc1 ZINC001017697073 758161088 /nfs/dbraw/zinc/16/10/88/758161088.db2.gz SYPLIINUGPFTQD-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2oc(=O)[nH]c21 ZINC001017739324 758197525 /nfs/dbraw/zinc/19/75/25/758197525.db2.gz GOXLQZVJVNCMEC-TXEJJXNPSA-N 1 2 311.341 1.455 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2oc(=O)[nH]c21 ZINC001017739324 758197530 /nfs/dbraw/zinc/19/75/30/758197530.db2.gz GOXLQZVJVNCMEC-TXEJJXNPSA-N 1 2 311.341 1.455 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c(C)noc1C)CCO2 ZINC001053151266 758207259 /nfs/dbraw/zinc/20/72/59/758207259.db2.gz GQKYSLTYXJODGW-UHFFFAOYSA-N 1 2 305.378 1.394 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2n[nH]cc2c1 ZINC001017859589 758305581 /nfs/dbraw/zinc/30/55/81/758305581.db2.gz WSIWBUIOVZOHSZ-IYBDPMFKSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2n[nH]cc2c1 ZINC001017859589 758305589 /nfs/dbraw/zinc/30/55/89/758305589.db2.gz WSIWBUIOVZOHSZ-IYBDPMFKSA-N 1 2 308.385 1.875 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1OCC[C@H]1C(C)C)CCO2 ZINC001053259654 758317422 /nfs/dbraw/zinc/31/74/22/758317422.db2.gz HGMWEHLSQGPPTD-HOTGVXAUSA-N 1 2 322.449 1.537 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1cncc(C)c1)CCO2 ZINC001053358344 758399004 /nfs/dbraw/zinc/39/90/04/758399004.db2.gz OOUWMHIDZOJZIE-UHFFFAOYSA-N 1 2 315.417 1.422 20 30 DDEDLO Cc1nc(N2CC[C@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001065236036 758399407 /nfs/dbraw/zinc/39/94/07/758399407.db2.gz YCCPQNYSLOAUNS-INIZCTEOSA-N 1 2 310.361 1.384 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(OC)ccn1)CCO2 ZINC001053369763 758408434 /nfs/dbraw/zinc/40/84/34/758408434.db2.gz FRLKMNXDGGYWTI-UHFFFAOYSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1Cc3ccccc31)CCO2 ZINC001053402756 758434381 /nfs/dbraw/zinc/43/43/81/758434381.db2.gz MOVMAWIGIYENIB-QGZVFWFLSA-N 1 2 312.413 1.816 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCO[C@H](CC)C1)CCO2 ZINC001053500960 758505759 /nfs/dbraw/zinc/50/57/59/758505759.db2.gz GTALBYYOMNVQSM-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@H](C)n1cccn1)CCO2 ZINC001053501686 758507880 /nfs/dbraw/zinc/50/78/80/758507880.db2.gz WWKITAVDTCQYCF-HNNXBMFYSA-N 1 2 318.421 1.324 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001053507920 758513555 /nfs/dbraw/zinc/51/35/55/758513555.db2.gz JIRDMBDLWPBGHS-QWHCGFSZSA-N 1 2 318.421 1.375 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1snnc1C)O2 ZINC001053571497 758578640 /nfs/dbraw/zinc/57/86/40/758578640.db2.gz HYVPIKGPIJRNHJ-GFCCVEGCSA-N 1 2 322.434 1.386 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(Cl)cn1C)O2 ZINC001053625954 758643698 /nfs/dbraw/zinc/64/36/98/758643698.db2.gz KAHAAKASKAXHQB-ZDUSSCGKSA-N 1 2 323.824 1.828 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@]13CCC[C@H]1OCC3)O2 ZINC001053628638 758646402 /nfs/dbraw/zinc/64/64/02/758646402.db2.gz UFAOEZXYMALRLS-DAYGRLMNSA-N 1 2 320.433 1.481 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccnc1OCC ZINC001018230523 758652180 /nfs/dbraw/zinc/65/21/80/758652180.db2.gz WAGCFPFMAQDWOZ-OKILXGFUSA-N 1 2 301.390 1.955 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccnc1OCC ZINC001018230523 758652182 /nfs/dbraw/zinc/65/21/82/758652182.db2.gz WAGCFPFMAQDWOZ-OKILXGFUSA-N 1 2 301.390 1.955 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)noc1C ZINC001018262061 758676898 /nfs/dbraw/zinc/67/68/98/758676898.db2.gz NNVUIKCVVYJDPM-GASCZTMLSA-N 1 2 301.390 1.532 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)noc1C ZINC001018262061 758676899 /nfs/dbraw/zinc/67/68/99/758676899.db2.gz NNVUIKCVVYJDPM-GASCZTMLSA-N 1 2 301.390 1.532 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnn(C(C)C)c1)O2 ZINC001053687496 758697207 /nfs/dbraw/zinc/69/72/07/758697207.db2.gz ROZZPVWBDUHLHS-OAHLLOKOSA-N 1 2 318.421 1.613 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC)C2)CC1 ZINC001065677710 758697681 /nfs/dbraw/zinc/69/76/81/758697681.db2.gz WGGKIDIAXFSTLP-CVEARBPZSA-N 1 2 321.465 1.744 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC)n(C)n1 ZINC001018347437 758747483 /nfs/dbraw/zinc/74/74/83/758747483.db2.gz WFUWMFFPPCWXJP-GASCZTMLSA-N 1 2 300.406 1.295 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC)n(C)n1 ZINC001018347437 758747490 /nfs/dbraw/zinc/74/74/90/758747490.db2.gz WFUWMFFPPCWXJP-GASCZTMLSA-N 1 2 300.406 1.295 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(=O)/C(C)=C/C)C2)CC1 ZINC001018368457 758764515 /nfs/dbraw/zinc/76/45/15/758764515.db2.gz ZUEQTWWIMGJZHV-UZPPOTPCSA-N 1 2 319.449 1.521 20 30 DDEDLO CC(C)=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C#N)[nH]1)CO2 ZINC001053772968 758795946 /nfs/dbraw/zinc/79/59/46/758795946.db2.gz AIZHOCYAMQZQNF-AWEZNQCLSA-N 1 2 314.389 1.426 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)sn1)CO2 ZINC001053790574 758819101 /nfs/dbraw/zinc/81/91/01/758819101.db2.gz ZJBXSADXCCTWDH-GFCCVEGCSA-N 1 2 307.419 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C1C=CC=CC=C1)CO2 ZINC001053820310 758849920 /nfs/dbraw/zinc/84/99/20/758849920.db2.gz XTDVTVODBQVCJU-INIZCTEOSA-N 1 2 300.402 1.820 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1conc1C(C)C)CO2 ZINC001053827762 758857890 /nfs/dbraw/zinc/85/78/90/758857890.db2.gz HYAWZTCKSJITBE-CYBMUJFWSA-N 1 2 319.405 1.947 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H]1CC=CCC1)CO2 ZINC001053894250 758927342 /nfs/dbraw/zinc/92/73/42/758927342.db2.gz JCPJDSFYCCGWJR-HZPDHXFCSA-N 1 2 302.418 1.716 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(CCC)on1)CO2 ZINC001053970315 759006345 /nfs/dbraw/zinc/00/63/45/759006345.db2.gz JNQUOYMLZODQKL-ZDUSSCGKSA-N 1 2 319.405 1.776 20 30 DDEDLO C[C@H](CNC(=O)Cn1cc[nH+]c1)Nc1nc(C#N)c(Cl)s1 ZINC001097922258 759016669 /nfs/dbraw/zinc/01/66/69/759016669.db2.gz UWTKUHWDLRBKJV-MRVPVSSYSA-N 1 2 324.797 1.481 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)c1cccnc1)CO2 ZINC001053985225 759026340 /nfs/dbraw/zinc/02/63/40/759026340.db2.gz LUPIGCRYNAFSSB-GDBMZVCRSA-N 1 2 313.401 1.168 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ncccc1Cl)CO2 ZINC001053988994 759031803 /nfs/dbraw/zinc/03/18/03/759031803.db2.gz VUCQRRKTYDEYIH-LBPRGKRZSA-N 1 2 321.808 1.884 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cncn1C ZINC001054046186 759102906 /nfs/dbraw/zinc/10/29/06/759102906.db2.gz DWFLLGDVHGHMNG-QGZVFWFLSA-N 1 2 322.412 1.600 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cncn1C ZINC001054046186 759102911 /nfs/dbraw/zinc/10/29/11/759102911.db2.gz DWFLLGDVHGHMNG-QGZVFWFLSA-N 1 2 322.412 1.600 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3(CCCC)CC3)CC2=O)C1 ZINC001108569420 762697234 /nfs/dbraw/zinc/69/72/34/762697234.db2.gz ICKDNSKDCNAHPM-AWEZNQCLSA-N 1 2 319.449 1.544 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccc(CNC(=O)c3[nH]nnc3C)cc2C1 ZINC001054276680 759382040 /nfs/dbraw/zinc/38/20/40/759382040.db2.gz QAJDCONZDKBSBM-UHFFFAOYSA-N 1 2 309.373 1.382 20 30 DDEDLO CC#CC[N@H+]1Cc2ccc(CNC(=O)c3[nH]nnc3C)cc2C1 ZINC001054276680 759382052 /nfs/dbraw/zinc/38/20/52/759382052.db2.gz QAJDCONZDKBSBM-UHFFFAOYSA-N 1 2 309.373 1.382 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001019238056 759707201 /nfs/dbraw/zinc/70/72/01/759707201.db2.gz KUYFVGODZDPREF-LBPRGKRZSA-N 1 2 306.410 1.925 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)CCn1cc[nH+]c1 ZINC001069180639 767895041 /nfs/dbraw/zinc/89/50/41/767895041.db2.gz JVVSYSCFQUYMBN-ZFWWWQNUSA-N 1 2 324.388 1.641 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001066285951 759849952 /nfs/dbraw/zinc/84/99/52/759849952.db2.gz WEUPSLXCOKUNPH-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)n(C)n1 ZINC001085615770 759856059 /nfs/dbraw/zinc/85/60/59/759856059.db2.gz VIMCCOYPXOLXKV-JTQLQIEISA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)n(C)n1 ZINC001085615770 759856063 /nfs/dbraw/zinc/85/60/63/759856063.db2.gz VIMCCOYPXOLXKV-JTQLQIEISA-N 1 2 314.311 1.218 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc2nccnc2c1 ZINC001085643907 759922984 /nfs/dbraw/zinc/92/29/84/759922984.db2.gz ASBCGTFIGJQFQZ-AWEZNQCLSA-N 1 2 309.373 1.194 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc2nccnc2c1 ZINC001085643907 759922988 /nfs/dbraw/zinc/92/29/88/759922988.db2.gz ASBCGTFIGJQFQZ-AWEZNQCLSA-N 1 2 309.373 1.194 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CN(C)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001085675934 759977595 /nfs/dbraw/zinc/97/75/95/759977595.db2.gz DFJICTXZGAUHNK-KBPBESRZSA-N 1 2 322.840 1.731 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@@H+](Cc3ccn(C)n3)C2)cn1 ZINC001046782925 767921928 /nfs/dbraw/zinc/92/19/28/767921928.db2.gz HBWKZWKLAQQXFM-GOSISDBHSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@H+](Cc3ccn(C)n3)C2)cn1 ZINC001046782925 767921932 /nfs/dbraw/zinc/92/19/32/767921932.db2.gz HBWKZWKLAQQXFM-GOSISDBHSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@@H+](Cc3nocc3C)C2)cn1 ZINC001046779371 767917797 /nfs/dbraw/zinc/91/77/97/767917797.db2.gz SELRPBZDXSFUGP-GOSISDBHSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@H+](Cc3nocc3C)C2)cn1 ZINC001046779371 767917799 /nfs/dbraw/zinc/91/77/99/767917799.db2.gz SELRPBZDXSFUGP-GOSISDBHSA-N 1 2 324.384 1.754 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-n2ccnc2)nc1 ZINC001085748222 760150853 /nfs/dbraw/zinc/15/08/53/760150853.db2.gz BHOYHPHXICANOA-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-n2ccnc2)nc1 ZINC001085748222 760150859 /nfs/dbraw/zinc/15/08/59/760150859.db2.gz BHOYHPHXICANOA-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO Cc1n[nH]nc1C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001085753869 760159115 /nfs/dbraw/zinc/15/91/15/760159115.db2.gz SFMPMQULSLMTFW-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1n[nH]nc1C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001085753869 760159118 /nfs/dbraw/zinc/15/91/18/760159118.db2.gz SFMPMQULSLMTFW-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@H]2CCNC2=O)CC1 ZINC001085801058 760271542 /nfs/dbraw/zinc/27/15/42/760271542.db2.gz IXAMAAOYCBXCFK-CABCVRRESA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2[C@H]2CCNC2=O)CC1 ZINC001085801058 760271552 /nfs/dbraw/zinc/27/15/52/760271552.db2.gz IXAMAAOYCBXCFK-CABCVRRESA-N 1 2 305.422 1.154 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098088963 767946204 /nfs/dbraw/zinc/94/62/04/767946204.db2.gz JAMHEWQOGUMHGB-NSHDSACASA-N 1 2 316.409 1.882 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2C[C@H](F)CC)nn1 ZINC001085860697 760399176 /nfs/dbraw/zinc/39/91/76/760399176.db2.gz GOALPZHKHRSPJV-OLZOCXBDSA-N 1 2 309.389 1.359 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C[C@H](F)CC)nn1 ZINC001085860697 760399185 /nfs/dbraw/zinc/39/91/85/760399185.db2.gz GOALPZHKHRSPJV-OLZOCXBDSA-N 1 2 309.389 1.359 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1coc(CC2CC2)n1 ZINC001085899950 760490551 /nfs/dbraw/zinc/49/05/51/760490551.db2.gz RLRYXSMHKUTVNH-CQSZACIVSA-N 1 2 301.390 1.797 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1coc(CC2CC2)n1 ZINC001085899950 760490556 /nfs/dbraw/zinc/49/05/56/760490556.db2.gz RLRYXSMHKUTVNH-CQSZACIVSA-N 1 2 301.390 1.797 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001108221014 760505342 /nfs/dbraw/zinc/50/53/42/760505342.db2.gz RHQKRBWLKMILMM-TZMCWYRMSA-N 1 2 302.365 1.508 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001108221014 760505351 /nfs/dbraw/zinc/50/53/51/760505351.db2.gz RHQKRBWLKMILMM-TZMCWYRMSA-N 1 2 302.365 1.508 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001046855255 767987296 /nfs/dbraw/zinc/98/72/96/767987296.db2.gz ANHACXRDUBDIJR-HIFRSBDPSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001046855255 767987300 /nfs/dbraw/zinc/98/73/00/767987300.db2.gz ANHACXRDUBDIJR-HIFRSBDPSA-N 1 2 313.829 1.330 20 30 DDEDLO Cc1ncsc1C[N@@H+]1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038174917 760890555 /nfs/dbraw/zinc/89/05/55/760890555.db2.gz KQSTZSWRBKRHCD-CYBMUJFWSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1ncsc1C[N@H+]1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038174917 760890566 /nfs/dbraw/zinc/89/05/66/760890566.db2.gz KQSTZSWRBKRHCD-CYBMUJFWSA-N 1 2 315.402 1.656 20 30 DDEDLO C[C@H]1[C@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ncccc1C#N ZINC001069375271 767999382 /nfs/dbraw/zinc/99/93/82/767999382.db2.gz GQWVMEDBAFRWPW-DZGCQCFKSA-N 1 2 324.388 1.323 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001038355447 761042066 /nfs/dbraw/zinc/04/20/66/761042066.db2.gz ZXTSMRCNHJUVOZ-OAHLLOKOSA-N 1 2 314.389 1.975 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001038355447 761042076 /nfs/dbraw/zinc/04/20/76/761042076.db2.gz ZXTSMRCNHJUVOZ-OAHLLOKOSA-N 1 2 314.389 1.975 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)CC(F)F)CC1 ZINC001131369426 768015098 /nfs/dbraw/zinc/01/50/98/768015098.db2.gz GJNPPYTVSOKJMH-LBPRGKRZSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)CC(F)F)CC1 ZINC001131369426 768015105 /nfs/dbraw/zinc/01/51/05/768015105.db2.gz GJNPPYTVSOKJMH-LBPRGKRZSA-N 1 2 317.380 1.114 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn(C)nc1C1CCCC1 ZINC001038688141 761288834 /nfs/dbraw/zinc/28/88/34/761288834.db2.gz SPUFTCGZOBCQJZ-OAHLLOKOSA-N 1 2 314.433 1.905 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn(C)nc1C1CCCC1 ZINC001038688141 761288839 /nfs/dbraw/zinc/28/88/39/761288839.db2.gz SPUFTCGZOBCQJZ-OAHLLOKOSA-N 1 2 314.433 1.905 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CNC(=O)c1nnc2ccccc2c1O ZINC001038764040 761383268 /nfs/dbraw/zinc/38/32/68/761383268.db2.gz JNMAXLGVILBRBZ-GFCCVEGCSA-N 1 2 310.357 1.163 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1nnc2ccccc2c1O ZINC001038764040 761383278 /nfs/dbraw/zinc/38/32/78/761383278.db2.gz JNMAXLGVILBRBZ-GFCCVEGCSA-N 1 2 310.357 1.163 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001069483306 768034302 /nfs/dbraw/zinc/03/43/02/768034302.db2.gz HQMMIQUQQWWCRU-STQMWFEESA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccnc1 ZINC001038806618 761424170 /nfs/dbraw/zinc/42/41/70/761424170.db2.gz AFSQTFMUQJCPJI-IKGGRYGDSA-N 1 2 315.417 1.753 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cccnc1 ZINC001038806618 761424172 /nfs/dbraw/zinc/42/41/72/761424172.db2.gz AFSQTFMUQJCPJI-IKGGRYGDSA-N 1 2 315.417 1.753 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001056790641 761432404 /nfs/dbraw/zinc/43/24/04/761432404.db2.gz OMYSUFWRNXHSDG-CYBMUJFWSA-N 1 2 310.361 1.240 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cncc(C)c2)cn1 ZINC001038940952 761573594 /nfs/dbraw/zinc/57/35/94/761573594.db2.gz HQCWJAKBGHTCGP-GOSISDBHSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cncc(C)c2)cn1 ZINC001038940952 761573605 /nfs/dbraw/zinc/57/36/05/761573605.db2.gz HQCWJAKBGHTCGP-GOSISDBHSA-N 1 2 320.396 1.771 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(F)c(F)c(F)c1F ZINC001038954282 761588248 /nfs/dbraw/zinc/58/82/48/761588248.db2.gz QSJYAPKERJAHBL-MRVPVSSYSA-N 1 2 300.255 1.680 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(F)c(F)c(F)c1F ZINC001038954282 761588252 /nfs/dbraw/zinc/58/82/52/761588252.db2.gz QSJYAPKERJAHBL-MRVPVSSYSA-N 1 2 300.255 1.680 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@]1(C)C[N@H+](CC#CC)CCO1 ZINC001108275127 761594488 /nfs/dbraw/zinc/59/44/88/761594488.db2.gz XZQLTLJHPDZEAL-BQFCYCMXSA-N 1 2 320.433 1.198 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001108275127 761594496 /nfs/dbraw/zinc/59/44/96/761594496.db2.gz XZQLTLJHPDZEAL-BQFCYCMXSA-N 1 2 320.433 1.198 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(OCC2CC2)nc1 ZINC001039047269 761686852 /nfs/dbraw/zinc/68/68/52/761686852.db2.gz COZWQJJYRFPBGK-INIZCTEOSA-N 1 2 313.401 1.698 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(OCC2CC2)nc1 ZINC001039047269 761686856 /nfs/dbraw/zinc/68/68/56/761686856.db2.gz COZWQJJYRFPBGK-INIZCTEOSA-N 1 2 313.401 1.698 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)[nH]c(=O)n2C ZINC001039060607 761700255 /nfs/dbraw/zinc/70/02/55/761700255.db2.gz UHFPMRGCWQNJAE-ZDUSSCGKSA-N 1 2 312.373 1.106 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)[nH]c(=O)n2C ZINC001039060607 761700259 /nfs/dbraw/zinc/70/02/59/761700259.db2.gz UHFPMRGCWQNJAE-ZDUSSCGKSA-N 1 2 312.373 1.106 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001066561532 761798171 /nfs/dbraw/zinc/79/81/71/761798171.db2.gz NPAHQTLTGJYLBW-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CCOCCCC(=O)NCCC[NH2+][C@@H](C)c1noc(C)n1 ZINC001156159913 761831591 /nfs/dbraw/zinc/83/15/91/761831591.db2.gz JTQYBXPOJKRHOG-LBPRGKRZSA-N 1 2 310.398 1.518 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@@H](C=C)CC)CC2=O)C1 ZINC001108567087 762709860 /nfs/dbraw/zinc/70/98/60/762709860.db2.gz VDEGSJVKFVEDNN-UONOGXRCSA-N 1 2 305.422 1.176 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001108589064 762773685 /nfs/dbraw/zinc/77/36/85/762773685.db2.gz WVAVIWVZENOVIS-QWHCGFSZSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@@H](CNc1ccncc1C#N)NC(=O)c1cccc2[nH+]ccn21 ZINC001108602953 762787663 /nfs/dbraw/zinc/78/76/63/762787663.db2.gz JHPYZMIEOFCWJB-LBPRGKRZSA-N 1 2 320.356 1.253 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CC(C)(C)C=C)CC1 ZINC001131362157 768094377 /nfs/dbraw/zinc/09/43/77/768094377.db2.gz LCQLIPHRIZNYBW-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CC(C)(C)C=C)CC1 ZINC001131362157 768094384 /nfs/dbraw/zinc/09/43/84/768094384.db2.gz LCQLIPHRIZNYBW-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC1CCCC1)C2 ZINC001108875062 763053915 /nfs/dbraw/zinc/05/39/15/763053915.db2.gz NZMCWRMKFMANNQ-PMPSAXMXSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC1CCCC1)C2 ZINC001108875062 763053920 /nfs/dbraw/zinc/05/39/20/763053920.db2.gz NZMCWRMKFMANNQ-PMPSAXMXSA-N 1 2 317.433 1.038 20 30 DDEDLO N#Cc1ccc(N2CCCN(C(=O)CCc3c[nH]c[nH+]3)CC2)cn1 ZINC001057250288 763075777 /nfs/dbraw/zinc/07/57/77/763075777.db2.gz SSUAZIYXWMNCAJ-UHFFFAOYSA-N 1 2 324.388 1.348 20 30 DDEDLO N#Cc1ccc(N2CCCN(C(=O)CCc3c[nH+]c[nH]3)CC2)cn1 ZINC001057250288 763075783 /nfs/dbraw/zinc/07/57/83/763075783.db2.gz SSUAZIYXWMNCAJ-UHFFFAOYSA-N 1 2 324.388 1.348 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C2CC2)no1 ZINC001109088302 763308219 /nfs/dbraw/zinc/30/82/19/763308219.db2.gz LGPQFPDRVRFJSJ-RPCMGYBJSA-N 1 2 314.389 1.933 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C2CC2)no1 ZINC001109088302 763308227 /nfs/dbraw/zinc/30/82/27/763308227.db2.gz LGPQFPDRVRFJSJ-RPCMGYBJSA-N 1 2 314.389 1.933 20 30 DDEDLO COc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H](C)C#N)C3)sn1 ZINC001109079741 763315964 /nfs/dbraw/zinc/31/59/64/763315964.db2.gz ADEMXRILUIQNCQ-AAXDQBDMSA-N 1 2 320.418 1.533 20 30 DDEDLO COc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H](C)C#N)C3)sn1 ZINC001109079741 763315974 /nfs/dbraw/zinc/31/59/74/763315974.db2.gz ADEMXRILUIQNCQ-AAXDQBDMSA-N 1 2 320.418 1.533 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccccc1C#N ZINC001109079898 763316496 /nfs/dbraw/zinc/31/64/96/763316496.db2.gz FIYWBWWITYHMID-VZEFYGNVSA-N 1 2 308.385 1.939 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccccc1C#N ZINC001109079898 763316505 /nfs/dbraw/zinc/31/65/05/763316505.db2.gz FIYWBWWITYHMID-VZEFYGNVSA-N 1 2 308.385 1.939 20 30 DDEDLO Cc1ccc(C#N)c(NC2CC(N(C)C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001069751853 768127783 /nfs/dbraw/zinc/12/77/83/768127783.db2.gz QZSISCRWIXONCH-UHFFFAOYSA-N 1 2 324.388 1.560 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2[C@H](C)c1cnccn1 ZINC001109246357 763508209 /nfs/dbraw/zinc/50/82/09/763508209.db2.gz WGJYEABXMJUMBZ-AIANPOQGSA-N 1 2 312.417 1.919 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2[C@H](C)c1cnccn1 ZINC001109246357 763508217 /nfs/dbraw/zinc/50/82/17/763508217.db2.gz WGJYEABXMJUMBZ-AIANPOQGSA-N 1 2 312.417 1.919 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]2CCN(C(=O)Cn3c[nH+]cc3C)C[C@@H]21 ZINC001042155314 763718189 /nfs/dbraw/zinc/71/81/89/763718189.db2.gz CHZFXTOSDMDTAC-CABCVRRESA-N 1 2 322.840 1.867 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccccc1O ZINC001050823875 764136152 /nfs/dbraw/zinc/13/61/52/764136152.db2.gz DCFPEDOJQJRFNB-AWEZNQCLSA-N 1 2 304.390 1.789 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccccc1O ZINC001050823875 764136156 /nfs/dbraw/zinc/13/61/56/764136156.db2.gz DCFPEDOJQJRFNB-AWEZNQCLSA-N 1 2 304.390 1.789 20 30 DDEDLO Cc1nc(NC[C@H](NC(=O)Cn2cc[nH+]c2)C2CC2)ccc1C#N ZINC001109865926 764147531 /nfs/dbraw/zinc/14/75/31/764147531.db2.gz LXRYAJIQXNVSGW-HNNXBMFYSA-N 1 2 324.388 1.465 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(CC)CC)C2 ZINC001109892772 764171814 /nfs/dbraw/zinc/17/18/14/764171814.db2.gz HLGAXWDZXIBIAK-PMPSAXMXSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(CC)CC)C2 ZINC001109892772 764171818 /nfs/dbraw/zinc/17/18/18/764171818.db2.gz HLGAXWDZXIBIAK-PMPSAXMXSA-N 1 2 319.449 1.284 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H](Nc2ncccc2C#N)C1 ZINC001057677160 764303140 /nfs/dbraw/zinc/30/31/40/764303140.db2.gz WGCYAHAYQWOEQS-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1cc(N[C@@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001057684847 764331345 /nfs/dbraw/zinc/33/13/45/764331345.db2.gz ZOUFPJQVDPZLFR-CQSZACIVSA-N 1 2 324.388 1.052 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCC(F)(F)C1 ZINC001050949935 764332385 /nfs/dbraw/zinc/33/23/85/764332385.db2.gz RMXYLXHQQACRBO-STQMWFEESA-N 1 2 302.365 1.815 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCC(F)(F)C1 ZINC001050949935 764332388 /nfs/dbraw/zinc/33/23/88/764332388.db2.gz RMXYLXHQQACRBO-STQMWFEESA-N 1 2 302.365 1.815 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc2n(n1)CCCC2 ZINC001050973313 764368945 /nfs/dbraw/zinc/36/89/45/764368945.db2.gz FVTDJAXWFXSIRF-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc2n(n1)CCCC2 ZINC001050973313 764368948 /nfs/dbraw/zinc/36/89/48/764368948.db2.gz FVTDJAXWFXSIRF-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)N(C(CC)CC)C2)C1 ZINC001042836177 764476184 /nfs/dbraw/zinc/47/61/84/764476184.db2.gz YFLATSZLXULNDC-ZDUSSCGKSA-N 1 2 307.438 1.352 20 30 DDEDLO N#CCSCC(=O)N1CC[NH+](Cc2ccc(CO)cc2)CC1 ZINC001112630324 764498218 /nfs/dbraw/zinc/49/82/18/764498218.db2.gz WQIVMDNAIRBYIQ-UHFFFAOYSA-N 1 2 319.430 1.080 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nn1CC ZINC001051132610 764532657 /nfs/dbraw/zinc/53/26/57/764532657.db2.gz XNKVXVXMHMLTEX-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nn1CC ZINC001051132610 764532662 /nfs/dbraw/zinc/53/26/62/764532662.db2.gz XNKVXVXMHMLTEX-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCO[C@H]1C(=C)C ZINC001051154422 764561451 /nfs/dbraw/zinc/56/14/51/764561451.db2.gz FRQITFRLHXVTJZ-PMPSAXMXSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCO[C@H]1C(=C)C ZINC001051154422 764561459 /nfs/dbraw/zinc/56/14/59/764561459.db2.gz FRQITFRLHXVTJZ-PMPSAXMXSA-N 1 2 308.422 1.361 20 30 DDEDLO CO[C@H](C)CC(=O)N1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC001112681546 764578626 /nfs/dbraw/zinc/57/86/26/764578626.db2.gz ZPLHVRDTXYTHNK-CQSZACIVSA-N 1 2 301.390 1.627 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2ccc(CO)cc2)CC1 ZINC001112708709 764629170 /nfs/dbraw/zinc/62/91/70/764629170.db2.gz UABBUWBOOKIESG-UHFFFAOYSA-N 1 2 304.390 1.026 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc(C)cs2)[C@@H](n2ccnn2)C1 ZINC001069921428 768230495 /nfs/dbraw/zinc/23/04/95/768230495.db2.gz GPPJTOWHYZHULP-OLZOCXBDSA-N 1 2 317.418 1.489 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)cs2)[C@@H](n2ccnn2)C1 ZINC001069921428 768230498 /nfs/dbraw/zinc/23/04/98/768230498.db2.gz GPPJTOWHYZHULP-OLZOCXBDSA-N 1 2 317.418 1.489 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnc3sccn3c2=O)C1 ZINC001043258001 764794299 /nfs/dbraw/zinc/79/42/99/764794299.db2.gz COOXESMGLBQEHS-UHFFFAOYSA-N 1 2 318.402 1.088 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2Cc3cc(Cl)ccc3O2)C1 ZINC001043261109 764796398 /nfs/dbraw/zinc/79/63/98/764796398.db2.gz REFGNVCGHYZAGT-MRXNPFEDSA-N 1 2 318.804 1.809 20 30 DDEDLO Cc1cc(C)n(CC[NH+]2CCN(C(=O)C#CC(C)(C)C)CC2)n1 ZINC001112836510 764844297 /nfs/dbraw/zinc/84/42/97/764844297.db2.gz AFMVHCYJCFCDPH-UHFFFAOYSA-N 1 2 316.449 1.694 20 30 DDEDLO C[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001043427703 764898421 /nfs/dbraw/zinc/89/84/21/764898421.db2.gz ZLJMFLWCCPPJHA-UKRRQHHQSA-N 1 2 324.388 1.499 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](CCc3cnn(C)c3)C2)cc1 ZINC001043505376 764949586 /nfs/dbraw/zinc/94/95/86/764949586.db2.gz OFYRFKQQVOOSGJ-UHFFFAOYSA-N 1 2 322.412 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c[nH]nc2[C@H]2CCCO2)C1 ZINC001043620522 765008889 /nfs/dbraw/zinc/00/88/89/765008889.db2.gz VZJGVJZQRVNUBH-CQSZACIVSA-N 1 2 304.394 1.594 20 30 DDEDLO C[C@@H](Nc1ncc(C#N)cc1F)[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001113081722 765234556 /nfs/dbraw/zinc/23/45/56/765234556.db2.gz IOXLIHFBTBSHGM-WDEREUQCSA-N 1 2 316.340 1.294 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C(C)(C)C2CCOCC2)CC1 ZINC001113083674 765238909 /nfs/dbraw/zinc/23/89/09/765238909.db2.gz MAPZXSOLUSJCGZ-UHFFFAOYSA-N 1 2 324.465 1.786 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(CC)CC)C2)CC1 ZINC001051987089 765352099 /nfs/dbraw/zinc/35/20/99/765352099.db2.gz LYVKQVGLUHJIMX-QGZVFWFLSA-N 1 2 305.466 1.664 20 30 DDEDLO C=CC[NH+]1CCN([C@H]2CCN(C(=O)C(=O)C(C)(C)C)C2)CC1 ZINC001052005031 765372268 /nfs/dbraw/zinc/37/22/68/765372268.db2.gz UMYYTGZSJJEJCB-AWEZNQCLSA-N 1 2 307.438 1.006 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](N2CC[NH+](CC#CC)CC2)C1 ZINC001052077450 765449902 /nfs/dbraw/zinc/44/99/02/765449902.db2.gz RHGWJKGIDRCGMO-HKUYNNGSSA-N 1 2 317.477 1.831 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccc(C=C)cc3)C2)CC1 ZINC001052087074 765458510 /nfs/dbraw/zinc/45/85/10/765458510.db2.gz XXPSJJTZLQSALQ-IBGZPJMESA-N 1 2 323.440 1.795 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccnc2OC)CC1 ZINC001113291845 765522328 /nfs/dbraw/zinc/52/23/28/765522328.db2.gz IMZRKJXYNKFEMA-UHFFFAOYSA-N 1 2 305.378 1.051 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@@H](C)Oc2cccnc2)CC1 ZINC001113332869 765575163 /nfs/dbraw/zinc/57/51/63/765575163.db2.gz HLWLRHFPXVOIMG-OAHLLOKOSA-N 1 2 319.405 1.196 20 30 DDEDLO Cc1cc(N(C)[C@@H](C)CNC(=O)Cn2cc[nH+]c2)c(C#N)cn1 ZINC001113646121 765975262 /nfs/dbraw/zinc/97/52/62/765975262.db2.gz IZYNRJADPJOYEB-ZDUSSCGKSA-N 1 2 312.377 1.099 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C)CCCC2)[C@H](O)C1 ZINC001090470373 766295529 /nfs/dbraw/zinc/29/55/29/766295529.db2.gz WMGFSGNDPAEYGB-CHWSQXEVSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C)CCCC2)[C@H](O)C1 ZINC001090470373 766295531 /nfs/dbraw/zinc/29/55/31/766295531.db2.gz WMGFSGNDPAEYGB-CHWSQXEVSA-N 1 2 300.830 1.871 20 30 DDEDLO Cc1nsc(N(C)[C@@H](C)CNC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001113898305 766364269 /nfs/dbraw/zinc/36/42/69/766364269.db2.gz QFFZYHZWKCMBOH-VIFPVBQESA-N 1 2 318.406 1.230 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001114161177 766712558 /nfs/dbraw/zinc/71/25/58/766712558.db2.gz BQDZJWKBFZVNDA-VQFNDLOPSA-N 1 2 314.433 1.643 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001114161177 766712563 /nfs/dbraw/zinc/71/25/63/766712563.db2.gz BQDZJWKBFZVNDA-VQFNDLOPSA-N 1 2 314.433 1.643 20 30 DDEDLO N#CCN1CC[C@]2(C1)CCCCN(C(=O)CCc1c[nH]c[nH+]1)C2 ZINC001045945457 766727919 /nfs/dbraw/zinc/72/79/19/766727919.db2.gz YBBWLCKWDHYSTI-KRWDZBQOSA-N 1 2 315.421 1.570 20 30 DDEDLO N#CCN1CC[C@]2(C1)CCCCN(C(=O)CCc1c[nH+]c[nH]1)C2 ZINC001045945457 766727926 /nfs/dbraw/zinc/72/79/26/766727926.db2.gz YBBWLCKWDHYSTI-KRWDZBQOSA-N 1 2 315.421 1.570 20 30 DDEDLO C[C@@]1(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)CC=CCC1 ZINC001046032259 766838246 /nfs/dbraw/zinc/83/82/46/766838246.db2.gz HVPAMUPLBAOFHD-FUHWJXTLSA-N 1 2 316.449 1.475 20 30 DDEDLO C[C@@]1(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)CC=CCC1 ZINC001046032260 766838921 /nfs/dbraw/zinc/83/89/21/766838921.db2.gz HVPAMUPLBAOFHD-SJLPKXTDSA-N 1 2 316.449 1.475 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@H](NC(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001068501016 767380453 /nfs/dbraw/zinc/38/04/53/767380453.db2.gz QMNWIPCMDSPXKR-CTYIDZIISA-N 1 2 324.388 1.465 20 30 DDEDLO C[C@@]1(NC(=O)c2ccnnc2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046655558 767776160 /nfs/dbraw/zinc/77/61/60/767776160.db2.gz NJZSTKLRYHUISU-GOSISDBHSA-N 1 2 321.384 1.743 20 30 DDEDLO C[C@@]1(NC(=O)c2ccnnc2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046655558 767776163 /nfs/dbraw/zinc/77/61/63/767776163.db2.gz NJZSTKLRYHUISU-GOSISDBHSA-N 1 2 321.384 1.743 20 30 DDEDLO C#CC[N@H+]1CC[C@](C)(NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001046686032 767804009 /nfs/dbraw/zinc/80/40/09/767804009.db2.gz HJIGASJNKJUMEL-LRDDRELGSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@](C)(NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001046686032 767804017 /nfs/dbraw/zinc/80/40/17/767804017.db2.gz HJIGASJNKJUMEL-LRDDRELGSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@H+]1CC[C@@](C)(NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001046686033 767804386 /nfs/dbraw/zinc/80/43/86/767804386.db2.gz HJIGASJNKJUMEL-MLGOLLRUSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001046686033 767804393 /nfs/dbraw/zinc/80/43/93/767804393.db2.gz HJIGASJNKJUMEL-MLGOLLRUSA-N 1 2 319.430 1.822 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+]Cc1ncc(C2CC2)o1 ZINC001131867451 768443965 /nfs/dbraw/zinc/44/39/65/768443965.db2.gz PSXYNLNZUJVUEI-QGZVFWFLSA-N 1 2 321.421 1.987 20 30 DDEDLO CCn1nncc1C[N@@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132017782 768574876 /nfs/dbraw/zinc/57/48/76/768574876.db2.gz SGISKJQZYMTTMC-CABCVRRESA-N 1 2 317.437 1.427 20 30 DDEDLO CCn1nncc1C[N@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132017782 768574881 /nfs/dbraw/zinc/57/48/81/768574881.db2.gz SGISKJQZYMTTMC-CABCVRRESA-N 1 2 317.437 1.427 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccsn2)CC[C@@H]1C ZINC001132125472 768638326 /nfs/dbraw/zinc/63/83/26/768638326.db2.gz VDEVHHZQPPCAEO-NWDGAFQWSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccsn2)CC[C@@H]1C ZINC001132125472 768638332 /nfs/dbraw/zinc/63/83/32/768638332.db2.gz VDEVHHZQPPCAEO-NWDGAFQWSA-N 1 2 322.434 1.028 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCc2[nH+]ccn2C1)Nc1ccc(C#N)cn1 ZINC001098125341 768645865 /nfs/dbraw/zinc/64/58/65/768645865.db2.gz DFRHZDTUMBUTIH-JSGCOSHPSA-N 1 2 324.388 1.329 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)CC2(C)CC2)C[C@H]1NCC#N ZINC001070669249 768656402 /nfs/dbraw/zinc/65/64/02/768656402.db2.gz GGTHWYXDZANRRV-CHWSQXEVSA-N 1 2 301.394 1.018 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132223866 768695865 /nfs/dbraw/zinc/69/58/65/768695865.db2.gz HQSXISVTVXZBTN-CABCVRRESA-N 1 2 320.437 1.342 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132223866 768695870 /nfs/dbraw/zinc/69/58/70/768695870.db2.gz HQSXISVTVXZBTN-CABCVRRESA-N 1 2 320.437 1.342 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001132301986 768738833 /nfs/dbraw/zinc/73/88/33/768738833.db2.gz KKLZYWLBUSBQTE-LLVKDONJSA-N 1 2 310.398 1.585 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2CCCC2)CC1 ZINC001070931050 768790293 /nfs/dbraw/zinc/79/02/93/768790293.db2.gz BSRWXELDGKVQAG-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2CCCC2)CC1 ZINC001070931050 768790300 /nfs/dbraw/zinc/79/03/00/768790300.db2.gz BSRWXELDGKVQAG-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)c2ccccc2)CC1 ZINC001070933466 768792417 /nfs/dbraw/zinc/79/24/17/768792417.db2.gz NNCMJNNDVOYQAK-UHFFFAOYSA-N 1 2 301.390 1.137 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)c2ccccc2)CC1 ZINC001070933466 768792420 /nfs/dbraw/zinc/79/24/20/768792420.db2.gz NNCMJNNDVOYQAK-UHFFFAOYSA-N 1 2 301.390 1.137 20 30 DDEDLO CCC(CC)CC(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132391464 768813544 /nfs/dbraw/zinc/81/35/44/768813544.db2.gz AYBBAMAAAQPPJD-DZGCQCFKSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(CC)CC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132391464 768813551 /nfs/dbraw/zinc/81/35/51/768813551.db2.gz AYBBAMAAAQPPJD-DZGCQCFKSA-N 1 2 322.453 1.422 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C(C)(F)F)CC1 ZINC001071000321 768863042 /nfs/dbraw/zinc/86/30/42/768863042.db2.gz XTSVRVJEVJYJAF-LBPRGKRZSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C(C)(F)F)CC1 ZINC001071000321 768863057 /nfs/dbraw/zinc/86/30/57/768863057.db2.gz XTSVRVJEVJYJAF-LBPRGKRZSA-N 1 2 317.380 1.114 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[NH2+]Cc1nc(CCOC)no1 ZINC001132649672 769064900 /nfs/dbraw/zinc/06/49/00/769064900.db2.gz AAJKYAYHIFJVQY-LBPRGKRZSA-N 1 2 310.398 1.067 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(c2cc(C)ncn2)CC1 ZINC001096299350 769101423 /nfs/dbraw/zinc/10/14/23/769101423.db2.gz FHAAINWZPAYXMW-UHFFFAOYSA-N 1 2 317.437 1.380 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cn(C)nc2C)CC[C@@H]1C ZINC001071571441 769644842 /nfs/dbraw/zinc/64/48/42/769644842.db2.gz GLPDPWUIIFTASL-SWLSCSKDSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cn(C)nc2C)CC[C@@H]1C ZINC001071571441 769644848 /nfs/dbraw/zinc/64/48/48/769644848.db2.gz GLPDPWUIIFTASL-SWLSCSKDSA-N 1 2 324.856 1.993 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2sc3nccn3c2C)CC[C@H]1C ZINC001071573392 769648556 /nfs/dbraw/zinc/64/85/56/769648556.db2.gz FKMDAWHPNJAHEX-DGCLKSJQSA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2sc3nccn3c2C)CC[C@H]1C ZINC001071573392 769648559 /nfs/dbraw/zinc/64/85/59/769648559.db2.gz FKMDAWHPNJAHEX-DGCLKSJQSA-N 1 2 316.430 1.920 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nc3c2CCC3)CC[C@@H]1C ZINC001071638373 769770955 /nfs/dbraw/zinc/77/09/55/769770955.db2.gz IPMDHMBTMGUTEG-QWHCGFSZSA-N 1 2 300.406 1.504 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2[nH]nc3c2CCC3)CC[C@@H]1C ZINC001071638373 769770962 /nfs/dbraw/zinc/77/09/62/769770962.db2.gz IPMDHMBTMGUTEG-QWHCGFSZSA-N 1 2 300.406 1.504 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[N@H+](Cc4nncn4C)C[C@@H]3C2)C1 ZINC001049045169 770285310 /nfs/dbraw/zinc/28/53/10/770285310.db2.gz XPEYEKAJKURWCE-OKILXGFUSA-N 1 2 315.421 1.062 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[N@@H+](Cc4nncn4C)C[C@@H]3C2)C1 ZINC001049045169 770285318 /nfs/dbraw/zinc/28/53/18/770285318.db2.gz XPEYEKAJKURWCE-OKILXGFUSA-N 1 2 315.421 1.062 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H]2CN(C(=O)[C@H](C)Cc3cnc[nH]3)C[C@H]2C1 ZINC001049106965 770385866 /nfs/dbraw/zinc/38/58/66/770385866.db2.gz PDNMMNMNURDZNJ-BNOWGMLFSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H]2CN(C(=O)[C@H](C)Cc3cnc[nH]3)C[C@H]2C1 ZINC001049106965 770385876 /nfs/dbraw/zinc/38/58/76/770385876.db2.gz PDNMMNMNURDZNJ-BNOWGMLFSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)[nH]c1)C2 ZINC001096476063 770416148 /nfs/dbraw/zinc/41/61/48/770416148.db2.gz WXIRYIJUMHWUAE-AGIUHOORSA-N 1 2 307.781 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)[nH]c1)C2 ZINC001096476063 770416154 /nfs/dbraw/zinc/41/61/54/770416154.db2.gz WXIRYIJUMHWUAE-AGIUHOORSA-N 1 2 307.781 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cccn(C)c2=O)CC[C@H]1C ZINC001072005684 770422979 /nfs/dbraw/zinc/42/29/79/770422979.db2.gz OSVAQFFPHLKPOG-OLZOCXBDSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cccn(C)c2=O)CC[C@H]1C ZINC001072005684 770422982 /nfs/dbraw/zinc/42/29/82/770422982.db2.gz OSVAQFFPHLKPOG-OLZOCXBDSA-N 1 2 323.824 1.721 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2cnc(COC)s2)CC[C@@H]1C ZINC001072109556 770554101 /nfs/dbraw/zinc/55/41/01/770554101.db2.gz IZCPCYCFQPIXDN-NWDGAFQWSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2cnc(COC)s2)CC[C@@H]1C ZINC001072109556 770554103 /nfs/dbraw/zinc/55/41/03/770554103.db2.gz IZCPCYCFQPIXDN-NWDGAFQWSA-N 1 2 307.419 1.505 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001072226158 770681008 /nfs/dbraw/zinc/68/10/08/770681008.db2.gz LPTZVUAGYRRKAY-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001072226158 770681014 /nfs/dbraw/zinc/68/10/14/770681014.db2.gz LPTZVUAGYRRKAY-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1cnns1 ZINC001049374327 770752582 /nfs/dbraw/zinc/75/25/82/770752582.db2.gz YTKKPNOSONPBOE-WXHSDQCUSA-N 1 2 305.407 1.263 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1cnns1 ZINC001049374327 770752591 /nfs/dbraw/zinc/75/25/91/770752591.db2.gz YTKKPNOSONPBOE-WXHSDQCUSA-N 1 2 305.407 1.263 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001072505553 770996661 /nfs/dbraw/zinc/99/66/61/770996661.db2.gz UHQUDDSDDUFRME-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001072505553 770996663 /nfs/dbraw/zinc/99/66/63/770996663.db2.gz UHQUDDSDDUFRME-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCC[NH2+][C@H](C)c1ncc(C)o1 ZINC001134817245 771194637 /nfs/dbraw/zinc/19/46/37/771194637.db2.gz RPRITAUQUVRBDE-TZMCWYRMSA-N 1 2 322.409 1.221 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[NH2+]Cc2ncccn2)cc1 ZINC001134950062 771251989 /nfs/dbraw/zinc/25/19/89/771251989.db2.gz AUKQBTIRPOIABP-UHFFFAOYSA-N 1 2 310.357 1.008 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1nonc1C ZINC001049927375 771272296 /nfs/dbraw/zinc/27/22/96/771272296.db2.gz JZKGPEYBRGZVIR-NEPJUHHUSA-N 1 2 310.785 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1nonc1C ZINC001049927375 771272301 /nfs/dbraw/zinc/27/23/01/771272301.db2.gz JZKGPEYBRGZVIR-NEPJUHHUSA-N 1 2 310.785 1.809 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](Nc2cc[nH+]c(C)n2)C2CC2)cn1 ZINC001096707068 771404828 /nfs/dbraw/zinc/40/48/28/771404828.db2.gz UHPWXNSEWHOSBN-MRXNPFEDSA-N 1 2 321.384 1.782 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ocnc2CC)[C@H](O)C1 ZINC001090562095 771990287 /nfs/dbraw/zinc/99/02/87/771990287.db2.gz HAUWFVZWHSJXTP-NWDGAFQWSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ocnc2CC)[C@H](O)C1 ZINC001090562095 771990292 /nfs/dbraw/zinc/99/02/92/771990292.db2.gz HAUWFVZWHSJXTP-NWDGAFQWSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(OC)o2)[C@H](O)C1 ZINC001090674625 772095937 /nfs/dbraw/zinc/09/59/37/772095937.db2.gz XUZYCIFRBWRXEG-WDEREUQCSA-N 1 2 314.769 1.206 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(OC)o2)[C@H](O)C1 ZINC001090674625 772095941 /nfs/dbraw/zinc/09/59/41/772095941.db2.gz XUZYCIFRBWRXEG-WDEREUQCSA-N 1 2 314.769 1.206 20 30 DDEDLO Cc1cc(N2C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001090686682 772111619 /nfs/dbraw/zinc/11/16/19/772111619.db2.gz VNFZYLILZJXSSJ-MISXGVKJSA-N 1 2 313.405 1.763 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cn2)C[C@H]1NC(=O)CCc1c[nH]c[nH+]1 ZINC001090843964 772256812 /nfs/dbraw/zinc/25/68/12/772256812.db2.gz UJYARYYVFKIQQM-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cn2)C[C@H]1NC(=O)CCc1c[nH+]c[nH]1 ZINC001090843964 772256815 /nfs/dbraw/zinc/25/68/15/772256815.db2.gz UJYARYYVFKIQQM-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@@H](C)[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001090970904 772397527 /nfs/dbraw/zinc/39/75/27/772397527.db2.gz HNMZFIVBUUAKHQ-AXAPSJFSSA-N 1 2 304.394 1.371 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)c3cccnc3)C2)nc1 ZINC001144166559 772435011 /nfs/dbraw/zinc/43/50/11/772435011.db2.gz KSLUISAHCCQHCP-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)c3cccnc3)C2)nc1 ZINC001144166559 772435012 /nfs/dbraw/zinc/43/50/12/772435012.db2.gz KSLUISAHCCQHCP-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO CC(C)NC(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)cn2)C1 ZINC001144166800 772435181 /nfs/dbraw/zinc/43/51/81/772435181.db2.gz WSHHRQJCVWVGSF-HNNXBMFYSA-N 1 2 301.394 1.625 20 30 DDEDLO CC(C)NC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)cn2)C1 ZINC001144166800 772435185 /nfs/dbraw/zinc/43/51/85/772435185.db2.gz WSHHRQJCVWVGSF-HNNXBMFYSA-N 1 2 301.394 1.625 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CNC(=O)c2ccc(C)cc2)CC1 ZINC001144875833 772659872 /nfs/dbraw/zinc/65/98/72/772659872.db2.gz KEDVLMMZQNZMBH-UHFFFAOYSA-N 1 2 301.390 1.055 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H]1C[C@H](Nc2ccc(C#N)cn2)C1 ZINC001091467726 772776002 /nfs/dbraw/zinc/77/60/02/772776002.db2.gz YNQRAQAOGYJXRC-HDJSIYSDSA-N 1 2 324.388 1.236 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+]Cc1nc(C)c(C)o1 ZINC001149117105 772804972 /nfs/dbraw/zinc/80/49/72/772804972.db2.gz AQEBPVNGWAUOCR-UHFFFAOYSA-N 1 2 311.385 1.721 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C)cn1)C2 ZINC001147428269 773131024 /nfs/dbraw/zinc/13/10/24/773131024.db2.gz NOSOMUYMFNZWHN-UHFFFAOYSA-N 1 2 312.417 1.623 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C)cn1)C2 ZINC001147428269 773131028 /nfs/dbraw/zinc/13/10/28/773131028.db2.gz NOSOMUYMFNZWHN-UHFFFAOYSA-N 1 2 312.417 1.623 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1ncccn1)C2 ZINC001147514890 773157272 /nfs/dbraw/zinc/15/72/72/773157272.db2.gz ZJNDNJCHONKJLW-HNNXBMFYSA-N 1 2 312.417 1.731 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1ncccn1)C2 ZINC001147514890 773157275 /nfs/dbraw/zinc/15/72/75/773157275.db2.gz ZJNDNJCHONKJLW-HNNXBMFYSA-N 1 2 312.417 1.731 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(CC)n1)C2 ZINC001148193725 773373876 /nfs/dbraw/zinc/37/38/76/773373876.db2.gz FURZLGKZZZTVAL-UHFFFAOYSA-N 1 2 317.437 1.689 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cnn(CC)n1)C2 ZINC001148193725 773373883 /nfs/dbraw/zinc/37/38/83/773373883.db2.gz FURZLGKZZZTVAL-UHFFFAOYSA-N 1 2 317.437 1.689 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+][C@@H](C)c2nc(CC)no2)cn1 ZINC001148389565 773450470 /nfs/dbraw/zinc/45/04/70/773450470.db2.gz NAHKJDOEFGRURX-NSHDSACASA-N 1 2 313.361 1.089 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nonc1C)C2 ZINC001148874215 773610918 /nfs/dbraw/zinc/61/09/18/773610918.db2.gz NDHWMQOSEBLFHO-CYBMUJFWSA-N 1 2 320.393 1.003 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nonc1C)C2 ZINC001148874215 773610922 /nfs/dbraw/zinc/61/09/22/773610922.db2.gz NDHWMQOSEBLFHO-CYBMUJFWSA-N 1 2 320.393 1.003 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cccs3)C[C@H]21 ZINC001074143793 773669190 /nfs/dbraw/zinc/66/91/90/773669190.db2.gz DUXWZXRXCUTJML-CVEARBPZSA-N 1 2 318.442 1.616 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cccs3)C[C@H]21 ZINC001074143793 773669194 /nfs/dbraw/zinc/66/91/94/773669194.db2.gz DUXWZXRXCUTJML-CVEARBPZSA-N 1 2 318.442 1.616 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cccc(C)c3)C[C@@H]21 ZINC001074151750 773677592 /nfs/dbraw/zinc/67/75/92/773677592.db2.gz SOPHMJLOBSOXSR-ROUUACIJSA-N 1 2 312.413 1.934 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccc(C)c3)C[C@@H]21 ZINC001074151750 773677595 /nfs/dbraw/zinc/67/75/95/773677595.db2.gz SOPHMJLOBSOXSR-ROUUACIJSA-N 1 2 312.413 1.934 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ocnc3C)C[C@@H]21 ZINC001074205641 773728416 /nfs/dbraw/zinc/72/84/16/773728416.db2.gz VFNATJKPGMNGDB-UONOGXRCSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ocnc3C)C[C@@H]21 ZINC001074205641 773728422 /nfs/dbraw/zinc/72/84/22/773728422.db2.gz VFNATJKPGMNGDB-UONOGXRCSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3coc(CC)n3)C[C@@H]21 ZINC001074219484 773742144 /nfs/dbraw/zinc/74/21/44/773742144.db2.gz QGOZAGBVKFDERP-GJZGRUSLSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3coc(CC)n3)C[C@@H]21 ZINC001074219484 773742149 /nfs/dbraw/zinc/74/21/49/773742149.db2.gz QGOZAGBVKFDERP-GJZGRUSLSA-N 1 2 319.405 1.728 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CC(C)(C)C3)C[C@@H]21 ZINC001074233288 773756890 /nfs/dbraw/zinc/75/68/90/773756890.db2.gz NRTRDCDVUFKAEQ-HOTGVXAUSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CC(C)(C)C3)C[C@@H]21 ZINC001074233288 773756892 /nfs/dbraw/zinc/75/68/92/773756892.db2.gz NRTRDCDVUFKAEQ-HOTGVXAUSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C#C)nc3)C[C@H]21 ZINC001074384354 773876386 /nfs/dbraw/zinc/87/63/86/773876386.db2.gz GBSRQULEZDJEET-MSOLQXFVSA-N 1 2 323.396 1.002 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C#C)nc3)C[C@H]21 ZINC001074384354 773876392 /nfs/dbraw/zinc/87/63/92/773876392.db2.gz GBSRQULEZDJEET-MSOLQXFVSA-N 1 2 323.396 1.002 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092174352 773981814 /nfs/dbraw/zinc/98/18/14/773981814.db2.gz YPBFRUCTCBYBJX-ZIAGYGMSSA-N 1 2 318.421 1.443 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CC(C)C1)c1nccn12 ZINC001092357392 774072292 /nfs/dbraw/zinc/07/22/92/774072292.db2.gz SQYIVCSAAXGGSM-JXQTWKCFSA-N 1 2 300.406 1.687 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC1(C)C)c1nccn12 ZINC001092361215 774075533 /nfs/dbraw/zinc/07/55/33/774075533.db2.gz DXTMSHXXZUYHRZ-QWHCGFSZSA-N 1 2 300.406 1.687 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(C)CC=CC1)c1nccn12 ZINC001092393470 774099645 /nfs/dbraw/zinc/09/96/45/774099645.db2.gz JGPLPDUDVRDVHE-HNNXBMFYSA-N 1 2 324.428 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(C)CC(=C)C1)c1nccn12 ZINC001092393035 774099720 /nfs/dbraw/zinc/09/97/20/774099720.db2.gz REQJFYOZLMGYHJ-AWEZNQCLSA-N 1 2 312.417 1.997 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccoc1)c1nccn12 ZINC001092365647 774104895 /nfs/dbraw/zinc/10/48/95/774104895.db2.gz CDSGSMMMWJSPSK-CQSZACIVSA-N 1 2 312.373 1.477 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccncc1C)c1nccn12 ZINC001092365130 774105008 /nfs/dbraw/zinc/10/50/08/774105008.db2.gz CFQPYFZBAXNBKO-OAHLLOKOSA-N 1 2 323.400 1.658 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001075044757 774318818 /nfs/dbraw/zinc/31/88/18/774318818.db2.gz ANOPCLXEUNNHLU-HUUCEWRRSA-N 1 2 318.421 1.735 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001092958104 774325629 /nfs/dbraw/zinc/32/56/29/774325629.db2.gz JLIBEUCYSUKQFV-JHJVBQTASA-N 1 2 301.394 1.037 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2[C@@H](CC[N@@H+]2Cc2nncs2)C1 ZINC001036713543 774385399 /nfs/dbraw/zinc/38/53/99/774385399.db2.gz KVALGRVWUQLPOT-KBPBESRZSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2[C@@H](CC[N@H+]2Cc2nncs2)C1 ZINC001036713543 774385405 /nfs/dbraw/zinc/38/54/05/774385405.db2.gz KVALGRVWUQLPOT-KBPBESRZSA-N 1 2 316.430 1.374 20 30 DDEDLO C[C@@H](CNC(=O)CCc1[nH+]ccn1C)Nc1ncccc1C#N ZINC001098442373 774582747 /nfs/dbraw/zinc/58/27/47/774582747.db2.gz XGSKKYHJZAIHBI-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C(C)(CC)CC)C2)nn1 ZINC001098784566 774673216 /nfs/dbraw/zinc/67/32/16/774673216.db2.gz HVHGMBJANYJNNH-OAHLLOKOSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)Cc3cc[nH]n3)CC2)C1 ZINC001093520736 774771681 /nfs/dbraw/zinc/77/16/81/774771681.db2.gz FHSATPTUJSMBSU-UHFFFAOYSA-N 1 2 318.421 1.220 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccn(C)c3)CC2)C1 ZINC001093526041 774777117 /nfs/dbraw/zinc/77/71/17/774777117.db2.gz KQCVPDODFDVKLK-UHFFFAOYSA-N 1 2 303.406 1.517 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCCCO3)CC2)C1 ZINC001093528319 774779499 /nfs/dbraw/zinc/77/94/99/774779499.db2.gz HDACIAAPSVRWLC-KRWDZBQOSA-N 1 2 322.449 1.823 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3coc(CC)n3)CC2)C1 ZINC001093529060 774780340 /nfs/dbraw/zinc/78/03/40/774780340.db2.gz PSCKCZAJZSPMFY-UHFFFAOYSA-N 1 2 319.405 1.728 20 30 DDEDLO N#Cc1nc(NCCNC(=O)Cn2cc[nH+]c2)sc1Cl ZINC001093702161 775022819 /nfs/dbraw/zinc/02/28/19/775022819.db2.gz PUPYZZWNFLHWJW-UHFFFAOYSA-N 1 2 310.770 1.093 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cccc(Cl)n2)C[C@H]1O ZINC001099726912 775191101 /nfs/dbraw/zinc/19/11/01/775191101.db2.gz KZKSDSFZMQIVCF-ZIAGYGMSSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2cccc(Cl)n2)C[C@H]1O ZINC001099726912 775191106 /nfs/dbraw/zinc/19/11/06/775191106.db2.gz KZKSDSFZMQIVCF-ZIAGYGMSSA-N 1 2 323.824 1.753 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)C)[C@@H](O)C2)n1 ZINC001099821766 775328254 /nfs/dbraw/zinc/32/82/54/775328254.db2.gz XTWZYHCTGNPWPZ-KBPBESRZSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)C)[C@@H](O)C2)n1 ZINC001099821766 775328264 /nfs/dbraw/zinc/32/82/64/775328264.db2.gz XTWZYHCTGNPWPZ-KBPBESRZSA-N 1 2 321.446 1.162 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001099847937 775350198 /nfs/dbraw/zinc/35/01/98/775350198.db2.gz QZUUJSMMPSPIMP-HIFRSBDPSA-N 1 2 321.421 1.637 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001099847937 775350210 /nfs/dbraw/zinc/35/02/10/775350210.db2.gz QZUUJSMMPSPIMP-HIFRSBDPSA-N 1 2 321.421 1.637 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099857907 775360486 /nfs/dbraw/zinc/36/04/86/775360486.db2.gz DUGCOZFZDFBSOB-KGLIPLIRSA-N 1 2 309.435 1.551 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099857907 775360502 /nfs/dbraw/zinc/36/05/02/775360502.db2.gz DUGCOZFZDFBSOB-KGLIPLIRSA-N 1 2 309.435 1.551 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)ccc1C#N ZINC001094111902 775437903 /nfs/dbraw/zinc/43/79/03/775437903.db2.gz BHLUCCZAACCBRH-CYBMUJFWSA-N 1 2 324.388 1.633 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccc(F)cc3)nn2)C1 ZINC001094266982 775605917 /nfs/dbraw/zinc/60/59/17/775605917.db2.gz MQBDCGCZZTZBAF-UHFFFAOYSA-N 1 2 315.352 1.390 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CCC3(C)C)nn2)C1 ZINC001094310867 775664315 /nfs/dbraw/zinc/66/43/15/775664315.db2.gz XRIBPCYHVRKJIU-CQSZACIVSA-N 1 2 303.410 1.373 20 30 DDEDLO C#CCCCC(=O)NCCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094382736 775829404 /nfs/dbraw/zinc/82/94/04/775829404.db2.gz CYCCASQWDKEAJQ-UHFFFAOYSA-N 1 2 315.421 1.186 20 30 DDEDLO C#CCCCC(=O)NCCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094382736 775829408 /nfs/dbraw/zinc/82/94/08/775829408.db2.gz CYCCASQWDKEAJQ-UHFFFAOYSA-N 1 2 315.421 1.186 20 30 DDEDLO C[C@@H](CC(=O)NCCN(C)c1ccc(C#N)nc1)n1cc[nH+]c1 ZINC001100350452 776036524 /nfs/dbraw/zinc/03/65/24/776036524.db2.gz IYWADUJIYJGILI-ZDUSSCGKSA-N 1 2 312.377 1.353 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3c(C)coc3C)CC2=O)C1 ZINC001094733832 776237011 /nfs/dbraw/zinc/23/70/11/776237011.db2.gz ODUUBRPFVZWYNV-ZDUSSCGKSA-N 1 2 317.389 1.097 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094755959 776249827 /nfs/dbraw/zinc/24/98/27/776249827.db2.gz JXXYPNISUYFEKW-MRXNPFEDSA-N 1 2 320.441 1.767 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3(C)CCCC3)CC2=O)C1 ZINC001094767391 776256334 /nfs/dbraw/zinc/25/63/34/776256334.db2.gz BASAAAVGPCJMFR-CYBMUJFWSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C(C)CCC(=O)NCCN(CC)c1[nH+]cnc2c1cnn2C ZINC001100636593 776385017 /nfs/dbraw/zinc/38/50/17/776385017.db2.gz ZHHQIZPWELUNPJ-UHFFFAOYSA-N 1 2 316.409 1.662 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1[C@H]1COC(C)(C)C1 ZINC001172282924 776751841 /nfs/dbraw/zinc/75/18/41/776751841.db2.gz HPIYMRFQOWPDNU-TZMCWYRMSA-N 1 2 306.410 1.484 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1[C@H]1COC(C)(C)C1 ZINC001172282924 776751845 /nfs/dbraw/zinc/75/18/45/776751845.db2.gz HPIYMRFQOWPDNU-TZMCWYRMSA-N 1 2 306.410 1.484 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@@H](CNC(=O)Cn3cc[nH+]c3)C2)n1 ZINC001100989498 776799869 /nfs/dbraw/zinc/79/98/69/776799869.db2.gz AKLCDHGBCBNCJL-AWEZNQCLSA-N 1 2 324.388 1.101 20 30 DDEDLO Cc1nc(NC2CCN(C(=O)CSCC#N)CC2)cc[nH+]1 ZINC001095215056 777249549 /nfs/dbraw/zinc/24/95/49/777249549.db2.gz PTMFVYDGLPBFCD-UHFFFAOYSA-N 1 2 305.407 1.445 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](CCC)OC)c1nccn12 ZINC001101635364 777332580 /nfs/dbraw/zinc/33/25/80/777332580.db2.gz HIGBXLYQQLQXKG-ZIAGYGMSSA-N 1 2 318.421 1.456 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)(C)C(F)F)c1nccn12 ZINC001101643247 777340053 /nfs/dbraw/zinc/34/00/53/777340053.db2.gz ZGOHKRAEPRDFFH-NSHDSACASA-N 1 2 324.375 1.932 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(CF)CC1)c1nccn12 ZINC001101645009 777341938 /nfs/dbraw/zinc/34/19/38/777341938.db2.gz UXEILJQDNRATKS-CYBMUJFWSA-N 1 2 316.380 1.228 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101916006 777674670 /nfs/dbraw/zinc/67/46/70/777674670.db2.gz JCKRFGJVHPKHIH-LBPRGKRZSA-N 1 2 320.441 1.719 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001101960131 777734810 /nfs/dbraw/zinc/73/48/10/777734810.db2.gz BYMCXTQYIMVCAC-HUUCEWRRSA-N 1 2 324.388 1.361 20 30 DDEDLO Cc1nc(N2CC[C@@H](CCNC(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001102301946 778034563 /nfs/dbraw/zinc/03/45/63/778034563.db2.gz MVYSDAIAPMYLBK-IAQYHMDHSA-N 1 2 315.421 1.894 20 30 DDEDLO C=CCOCC[NH2+]CCCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001176351409 778131442 /nfs/dbraw/zinc/13/14/42/778131442.db2.gz SXOOYEBRGDLXFT-AWEZNQCLSA-N 1 2 322.453 1.728 20 30 DDEDLO Cn1nccc1[C@@H]1CCC[N@@H+]1Cc1cc(=O)n2ncc(C#N)c2[nH]1 ZINC001176514170 778169864 /nfs/dbraw/zinc/16/98/64/778169864.db2.gz AYOORIZJGCTSBG-AWEZNQCLSA-N 1 2 323.360 1.377 20 30 DDEDLO Cn1nccc1[C@@H]1CCC[N@H+]1Cc1cc(=O)n2ncc(C#N)c2[nH]1 ZINC001176514170 778169867 /nfs/dbraw/zinc/16/98/67/778169867.db2.gz AYOORIZJGCTSBG-AWEZNQCLSA-N 1 2 323.360 1.377 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCC)C[C@@H]21 ZINC001176917443 778340369 /nfs/dbraw/zinc/34/03/69/778340369.db2.gz IQKHRDRJZNDFJO-JKSUJKDBSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCC)C[C@@H]21 ZINC001176917443 778340376 /nfs/dbraw/zinc/34/03/76/778340376.db2.gz IQKHRDRJZNDFJO-JKSUJKDBSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]2OCC[N@@H+](CC(=C)C)[C@@H]2C1 ZINC001177161178 778468626 /nfs/dbraw/zinc/46/86/26/778468626.db2.gz ABJUOHOYWIOFFY-CVEARBPZSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]2OCC[N@H+](CC(=C)C)[C@@H]2C1 ZINC001177161178 778468631 /nfs/dbraw/zinc/46/86/31/778468631.db2.gz ABJUOHOYWIOFFY-CVEARBPZSA-N 1 2 308.422 1.457 20 30 DDEDLO N#CCNCCCN(CC1CCCC1)C(=O)CCc1[nH]cc[nH+]1 ZINC001177300669 778517586 /nfs/dbraw/zinc/51/75/86/778517586.db2.gz LAURFLKPXIILGE-UHFFFAOYSA-N 1 2 317.437 1.864 20 30 DDEDLO C=CCOCC[NH+]1CC(O)(CN(Cc2ccccc2)C(C)=O)C1 ZINC001177504070 778625795 /nfs/dbraw/zinc/62/57/95/778625795.db2.gz XYRRCDOZWPQKLN-UHFFFAOYSA-N 1 2 318.417 1.284 20 30 DDEDLO COCC[NH+]1CCN(C(=O)[C@@H](C#N)Cc2cccs2)CC1 ZINC001177908154 778779722 /nfs/dbraw/zinc/77/97/22/778779722.db2.gz JIZXIAWMQSFPCK-CYBMUJFWSA-N 1 2 307.419 1.221 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001103891809 779138669 /nfs/dbraw/zinc/13/86/69/779138669.db2.gz OFKIJNNPXPVUHD-NSHDSACASA-N 1 2 306.414 1.376 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001103891808 779138692 /nfs/dbraw/zinc/13/86/92/779138692.db2.gz OFKIJNNPXPVUHD-LLVKDONJSA-N 1 2 306.414 1.376 20 30 DDEDLO Cc1ccc(C#N)c(NC2(CNC(=O)Cn3cc[nH+]c3)CCC2)n1 ZINC001111819431 779496916 /nfs/dbraw/zinc/49/69/16/779496916.db2.gz AQKYDVSTHZYJGQ-UHFFFAOYSA-N 1 2 324.388 1.609 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCC1(Nc2ncccc2C#N)CCC1 ZINC001111825541 779499714 /nfs/dbraw/zinc/49/97/14/779499714.db2.gz LIBDVNYTTYEEEU-UHFFFAOYSA-N 1 2 324.388 1.380 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnon1)C2 ZINC001112018773 779598581 /nfs/dbraw/zinc/59/85/81/779598581.db2.gz NPKBCIQAFFWGMK-XEZPLFJOSA-N 1 2 320.393 1.131 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnon1)C2 ZINC001112018773 779598585 /nfs/dbraw/zinc/59/85/85/779598585.db2.gz NPKBCIQAFFWGMK-XEZPLFJOSA-N 1 2 320.393 1.131 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)[C@@H]1C ZINC001180260322 779681517 /nfs/dbraw/zinc/68/15/17/779681517.db2.gz DZEISLYJNIPYRY-STQMWFEESA-N 1 2 322.409 1.304 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC(C)(Nc2cc[nH+]c(C)n2)CC1 ZINC001112356310 779735303 /nfs/dbraw/zinc/73/53/03/779735303.db2.gz RJJPJBAVLDVPNE-ZDUSSCGKSA-N 1 2 316.405 1.616 20 30 DDEDLO C[C@@H](CCCNc1ccc(C#N)cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115088489 779868682 /nfs/dbraw/zinc/86/86/82/779868682.db2.gz JIDRLSLEPJKTFG-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO N#CCNC1(CNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CCCCC1 ZINC001115725478 780378825 /nfs/dbraw/zinc/37/88/25/780378825.db2.gz YCPRKRCWPRVPRG-CYBMUJFWSA-N 1 2 315.421 1.762 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@H+](Cc2nc(C)no2)C1 ZINC001267300587 837782866 /nfs/dbraw/zinc/78/28/66/837782866.db2.gz PXDUSLAWHBIRGH-AWEZNQCLSA-N 1 2 304.394 1.510 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@@H+](Cc2nc(C)no2)C1 ZINC001267300587 837782870 /nfs/dbraw/zinc/78/28/70/837782870.db2.gz PXDUSLAWHBIRGH-AWEZNQCLSA-N 1 2 304.394 1.510 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@H+](Cc2nc(C)no2)C1 ZINC001267300588 837783531 /nfs/dbraw/zinc/78/35/31/837783531.db2.gz PXDUSLAWHBIRGH-CQSZACIVSA-N 1 2 304.394 1.510 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@@H+](Cc2nc(C)no2)C1 ZINC001267300588 837783536 /nfs/dbraw/zinc/78/35/36/837783536.db2.gz PXDUSLAWHBIRGH-CQSZACIVSA-N 1 2 304.394 1.510 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)C2CCCC2)C1 ZINC001266323319 836120711 /nfs/dbraw/zinc/12/07/11/836120711.db2.gz ZNVGCZRVEJUJQS-OAHLLOKOSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)C2CCCC2)C1 ZINC001266323319 836120715 /nfs/dbraw/zinc/12/07/15/836120715.db2.gz ZNVGCZRVEJUJQS-OAHLLOKOSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CC23CCCC3)C1 ZINC001266350219 836157010 /nfs/dbraw/zinc/15/70/10/836157010.db2.gz RPLNVQQWQOQEKR-UONOGXRCSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H]2CC23CCCC3)C1 ZINC001266350219 836157014 /nfs/dbraw/zinc/15/70/14/836157014.db2.gz RPLNVQQWQOQEKR-UONOGXRCSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001266466010 836303225 /nfs/dbraw/zinc/30/32/25/836303225.db2.gz HGNYGLOZAVODLD-OAHLLOKOSA-N 1 2 319.453 1.842 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001266466010 836303238 /nfs/dbraw/zinc/30/32/38/836303238.db2.gz HGNYGLOZAVODLD-OAHLLOKOSA-N 1 2 319.453 1.842 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001266543361 836443454 /nfs/dbraw/zinc/44/34/54/836443454.db2.gz HFXXUTJCVDFSBK-OLZOCXBDSA-N 1 2 306.410 1.925 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@H](C)C(=O)NCCC ZINC001267422633 838018535 /nfs/dbraw/zinc/01/85/35/838018535.db2.gz FDJIVAJZLXSGDN-OAGGEKHMSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)NCCC ZINC001267422633 838018538 /nfs/dbraw/zinc/01/85/38/838018538.db2.gz FDJIVAJZLXSGDN-OAGGEKHMSA-N 1 2 321.465 1.933 20 30 DDEDLO C#Cc1ccccc1CC(=O)N(C)CC[NH2+]Cc1nc(C)no1 ZINC001267461481 838095048 /nfs/dbraw/zinc/09/50/48/838095048.db2.gz RHERQCHYNXXTQR-UHFFFAOYSA-N 1 2 312.373 1.150 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)Cc2cccc(F)c2)CC1 ZINC001267575613 838344155 /nfs/dbraw/zinc/34/41/55/838344155.db2.gz HHZJNGPXWKYANN-UHFFFAOYSA-N 1 2 317.408 1.077 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001267611764 838458733 /nfs/dbraw/zinc/45/87/33/838458733.db2.gz JFELUPNIOUQBOI-CQSZACIVSA-N 1 2 316.405 1.369 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001267611764 838458737 /nfs/dbraw/zinc/45/87/37/838458737.db2.gz JFELUPNIOUQBOI-CQSZACIVSA-N 1 2 316.405 1.369 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](N(C)C(=O)C#CC(C)(C)C)C2)cn1 ZINC001267761644 838891774 /nfs/dbraw/zinc/89/17/74/838891774.db2.gz JLSVKBMTQWVAAJ-MRXNPFEDSA-N 1 2 314.433 1.867 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](N(C)C(=O)C#CC(C)(C)C)C2)cn1 ZINC001267761644 838891788 /nfs/dbraw/zinc/89/17/88/838891788.db2.gz JLSVKBMTQWVAAJ-MRXNPFEDSA-N 1 2 314.433 1.867 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)Cc2ccc(OCC)cc2)C1 ZINC001268029565 839445128 /nfs/dbraw/zinc/44/51/28/839445128.db2.gz FLINBRMKWQFXGV-QGZVFWFLSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)Cc2ccc(OCC)cc2)C1 ZINC001268029565 839445139 /nfs/dbraw/zinc/44/51/39/839445139.db2.gz FLINBRMKWQFXGV-QGZVFWFLSA-N 1 2 318.417 1.631 20 30 DDEDLO CCc1nc(C[NH2+]CC[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)no1 ZINC001268149880 839756807 /nfs/dbraw/zinc/75/68/07/839756807.db2.gz KFPUZMYRWFRUBM-STQMWFEESA-N 1 2 319.409 1.652 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2ccn(C)n2)C1 ZINC001268217041 839850384 /nfs/dbraw/zinc/85/03/84/839850384.db2.gz YAMYIIVHNDGHOO-HNNXBMFYSA-N 1 2 302.422 1.694 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2ccn(C)n2)C1 ZINC001268217041 839850388 /nfs/dbraw/zinc/85/03/88/839850388.db2.gz YAMYIIVHNDGHOO-HNNXBMFYSA-N 1 2 302.422 1.694 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C2CCC(F)(F)CC2)C1 ZINC001268454034 840223793 /nfs/dbraw/zinc/22/37/93/840223793.db2.gz MVLMGFMWWRWGDA-UHFFFAOYSA-N 1 2 302.365 1.815 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCC(F)(F)F ZINC001268944086 841024074 /nfs/dbraw/zinc/02/40/74/841024074.db2.gz JLYNEVZELUATJZ-SRVKXCTJSA-N 1 2 304.312 1.262 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCC(F)(F)F ZINC001268944086 841024095 /nfs/dbraw/zinc/02/40/95/841024095.db2.gz JLYNEVZELUATJZ-SRVKXCTJSA-N 1 2 304.312 1.262 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COCc1ccccc1C ZINC001268973657 841072619 /nfs/dbraw/zinc/07/26/19/841072619.db2.gz BUXUFOSXWDRDAN-ROUUACIJSA-N 1 2 312.413 1.820 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COCc1ccccc1C ZINC001268973657 841072635 /nfs/dbraw/zinc/07/26/35/841072635.db2.gz BUXUFOSXWDRDAN-ROUUACIJSA-N 1 2 312.413 1.820 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2[C@@H]1CC[N@H+]2COCCOC ZINC001268985074 841086181 /nfs/dbraw/zinc/08/61/81/841086181.db2.gz FXVYVXKOQSRKPG-GJZGRUSLSA-N 1 2 310.438 1.884 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2[C@@H]1CC[N@@H+]2COCCOC ZINC001268985074 841086191 /nfs/dbraw/zinc/08/61/91/841086191.db2.gz FXVYVXKOQSRKPG-GJZGRUSLSA-N 1 2 310.438 1.884 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2noc3c2COCC3)C1 ZINC001269221788 841370314 /nfs/dbraw/zinc/37/03/14/841370314.db2.gz OXAPYCVRTWUKQC-CYBMUJFWSA-N 1 2 319.405 1.746 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2noc3c2COCC3)C1 ZINC001269221788 841370327 /nfs/dbraw/zinc/37/03/27/841370327.db2.gz OXAPYCVRTWUKQC-CYBMUJFWSA-N 1 2 319.405 1.746 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)[C@@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001269234041 841391204 /nfs/dbraw/zinc/39/12/04/841391204.db2.gz NGGQREACLZRLNS-CZUORRHYSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001269234041 841391214 /nfs/dbraw/zinc/39/12/14/841391214.db2.gz NGGQREACLZRLNS-CZUORRHYSA-N 1 2 323.462 1.811 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001269241237 841408207 /nfs/dbraw/zinc/40/82/07/841408207.db2.gz SOMILAUOLIATPG-ZIAGYGMSSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001269241237 841408217 /nfs/dbraw/zinc/40/82/17/841408217.db2.gz SOMILAUOLIATPG-ZIAGYGMSSA-N 1 2 321.446 1.523 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@@H+]1Cc1nccnc1C ZINC001269279366 841462114 /nfs/dbraw/zinc/46/21/14/841462114.db2.gz ZOQIQESPJMUQRH-OAHLLOKOSA-N 1 2 300.406 1.669 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@H+]1Cc1nccnc1C ZINC001269279366 841462120 /nfs/dbraw/zinc/46/21/20/841462120.db2.gz ZOQIQESPJMUQRH-OAHLLOKOSA-N 1 2 300.406 1.669 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1CC2(CCC2)C1 ZINC001269279325 841462977 /nfs/dbraw/zinc/46/29/77/841462977.db2.gz ZEBRVNUHFYCXGX-UKRRQHHQSA-N 1 2 319.449 1.448 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1CC2(CCC2)C1 ZINC001269279325 841462980 /nfs/dbraw/zinc/46/29/80/841462980.db2.gz ZEBRVNUHFYCXGX-UKRRQHHQSA-N 1 2 319.449 1.448 20 30 DDEDLO C=CCC[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C(C)(C)S(C)(=O)=O ZINC001269310558 841506138 /nfs/dbraw/zinc/50/61/38/841506138.db2.gz MZSRQKRJIRYAAP-CHWSQXEVSA-N 1 2 316.467 1.355 20 30 DDEDLO C=CCC[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C(C)(C)S(C)(=O)=O ZINC001269310558 841506142 /nfs/dbraw/zinc/50/61/42/841506142.db2.gz MZSRQKRJIRYAAP-CHWSQXEVSA-N 1 2 316.467 1.355 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H](C)C[NH2+]Cc1nsc(N(C)C)n1 ZINC001270402503 842548510 /nfs/dbraw/zinc/54/85/10/842548510.db2.gz VQXQOGDXQVDBAV-GFCCVEGCSA-N 1 2 323.466 1.105 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1C[N@H+](CCc2ccnn2C)CCO1 ZINC001270674059 842805971 /nfs/dbraw/zinc/80/59/71/842805971.db2.gz KCDOVDABSNQQGF-INIZCTEOSA-N 1 2 320.437 1.136 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1C[N@@H+](CCc2ccnn2C)CCO1 ZINC001270674059 842805978 /nfs/dbraw/zinc/80/59/78/842805978.db2.gz KCDOVDABSNQQGF-INIZCTEOSA-N 1 2 320.437 1.136 20 30 DDEDLO N#Cc1nccnc1N1CCN(Cc2ccc3[nH+]ccn3c2)CC1 ZINC001143026937 861347093 /nfs/dbraw/zinc/34/70/93/861347093.db2.gz GWQWATYGGJLPMN-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2ccc3nccn3c2)CC1 ZINC001143026937 861347100 /nfs/dbraw/zinc/34/71/00/861347100.db2.gz GWQWATYGGJLPMN-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)C[C@@H](C)OC)CC1 ZINC001226510391 842994326 /nfs/dbraw/zinc/99/43/26/842994326.db2.gz IYNADQZQDCFKRZ-LLVKDONJSA-N 1 2 319.243 1.901 20 30 DDEDLO C#CCCCC(=O)NCC1(O)C[NH+](Cc2cc(C)ccc2C)C1 ZINC001271276372 843462428 /nfs/dbraw/zinc/46/24/28/843462428.db2.gz PQWOPPULIFXHLZ-UHFFFAOYSA-N 1 2 314.429 1.770 20 30 DDEDLO CCc1ccccc1C[NH+]1CC(O)(CNC(=O)C#CC2CC2)C1 ZINC001271281592 843467021 /nfs/dbraw/zinc/46/70/21/843467021.db2.gz ASWRWRVSIUZXGV-UHFFFAOYSA-N 1 2 312.413 1.325 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)[C@@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001409531949 845254907 /nfs/dbraw/zinc/25/49/07/845254907.db2.gz GPHXYNBEPHYSJJ-JQWIXIFHSA-N 1 2 315.377 1.994 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)[C@@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001409531949 845254915 /nfs/dbraw/zinc/25/49/15/845254915.db2.gz GPHXYNBEPHYSJJ-JQWIXIFHSA-N 1 2 315.377 1.994 20 30 DDEDLO C#CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C)nn1C ZINC001272348802 846057803 /nfs/dbraw/zinc/05/78/03/846057803.db2.gz WUDLXFCWIKPGPN-GASCZTMLSA-N 1 2 314.433 1.563 20 30 DDEDLO C#CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C)nn1C ZINC001272348802 846057811 /nfs/dbraw/zinc/05/78/11/846057811.db2.gz WUDLXFCWIKPGPN-GASCZTMLSA-N 1 2 314.433 1.563 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3cccc(C)c3C)C2)OCC1=O ZINC001272678707 846578409 /nfs/dbraw/zinc/57/84/09/846578409.db2.gz RRWSYJHZMPGWPH-UHFFFAOYSA-N 1 2 300.402 1.903 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077678233 846955831 /nfs/dbraw/zinc/95/58/31/846955831.db2.gz DUDBBJKATYMRCI-NSHDSACASA-N 1 2 306.410 1.484 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@]1(C)C[N@H+](Cc2ccsc2)CCO1 ZINC001107820780 847221265 /nfs/dbraw/zinc/22/12/65/847221265.db2.gz CLGGTSWXCOYXOA-WFASDCNBSA-N 1 2 307.419 1.615 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@]1(C)C[N@@H+](Cc2ccsc2)CCO1 ZINC001107820780 847221275 /nfs/dbraw/zinc/22/12/75/847221275.db2.gz CLGGTSWXCOYXOA-WFASDCNBSA-N 1 2 307.419 1.615 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@]1(C)C[N@H+](CC#CC)CCO1 ZINC001107839569 847277394 /nfs/dbraw/zinc/27/73/94/847277394.db2.gz PZHWURDRMNKTIH-KRWDZBQOSA-N 1 2 308.422 1.200 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001107839569 847277403 /nfs/dbraw/zinc/27/74/03/847277403.db2.gz PZHWURDRMNKTIH-KRWDZBQOSA-N 1 2 308.422 1.200 20 30 DDEDLO O=c1nc(N[NH+]=Cc2ccccc2N2CCCC2)nc2[nH][nH]cc1-2 ZINC001326995737 861784575 /nfs/dbraw/zinc/78/45/75/861784575.db2.gz LTRUPBJKQHVBTR-UHFFFAOYSA-N 1 2 323.360 1.478 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc2ccc(C)cc21 ZINC001032496756 847412804 /nfs/dbraw/zinc/41/28/04/847412804.db2.gz PPORREJSIMTPPX-KBPBESRZSA-N 1 2 308.385 1.793 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc2ccc(C)cc21 ZINC001032496756 847412812 /nfs/dbraw/zinc/41/28/12/847412812.db2.gz PPORREJSIMTPPX-KBPBESRZSA-N 1 2 308.385 1.793 20 30 DDEDLO C=CCOCCN1CC[NH+]([C@@H]2CCN(C(=O)/C(C)=C/C)C2)CC1 ZINC001327082294 861863623 /nfs/dbraw/zinc/86/36/23/861863623.db2.gz LSCAYCIGOKEDOY-RPDKKYPRSA-N 1 2 321.465 1.374 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCC[C@H](NC(=O)c2cn[nH]n2)C1 ZINC001034285252 848228253 /nfs/dbraw/zinc/22/82/53/848228253.db2.gz LQYBFLHMZMYFLW-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCC[C@H](NC(=O)c2cn[nH]n2)C1 ZINC001034285252 848228262 /nfs/dbraw/zinc/22/82/62/848228262.db2.gz LQYBFLHMZMYFLW-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001034281669 848247854 /nfs/dbraw/zinc/24/78/54/848247854.db2.gz DEWUADMROSMSFS-CQSZACIVSA-N 1 2 314.433 1.896 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001034281669 848247861 /nfs/dbraw/zinc/24/78/61/848247861.db2.gz DEWUADMROSMSFS-CQSZACIVSA-N 1 2 314.433 1.896 20 30 DDEDLO CCc1noc(C[N@@H+]2CCC[C@H](NC(=O)C#CC(C)C)CC2)n1 ZINC001327127894 861913414 /nfs/dbraw/zinc/91/34/14/861913414.db2.gz YNHRRBUZANHPAJ-AWEZNQCLSA-N 1 2 318.421 1.762 20 30 DDEDLO CCc1noc(C[N@H+]2CCC[C@H](NC(=O)C#CC(C)C)CC2)n1 ZINC001327127894 861913431 /nfs/dbraw/zinc/91/34/31/861913431.db2.gz YNHRRBUZANHPAJ-AWEZNQCLSA-N 1 2 318.421 1.762 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3ncc(C)s3)C2)OCC1=O ZINC001273222149 848805958 /nfs/dbraw/zinc/80/59/58/848805958.db2.gz RONCKQIJLPYVHM-HNNXBMFYSA-N 1 2 307.419 1.441 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3ncc(C)s3)C2)OCC1=O ZINC001273222149 848805960 /nfs/dbraw/zinc/80/59/60/848805960.db2.gz RONCKQIJLPYVHM-HNNXBMFYSA-N 1 2 307.419 1.441 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H](C[NH2+][C@@H](C)c2csnn2)C1 ZINC001273237597 848971140 /nfs/dbraw/zinc/97/11/40/848971140.db2.gz RXBFMLRERDQIJG-RYUDHWBXSA-N 1 2 310.423 1.382 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCOCC(C)C)CCO2 ZINC001327308470 862048874 /nfs/dbraw/zinc/04/88/74/862048874.db2.gz ODBALQTWDFNOIP-UHFFFAOYSA-N 1 2 310.438 1.538 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)C1(C)CCOCC1)O2 ZINC001327364204 862104483 /nfs/dbraw/zinc/10/44/83/862104483.db2.gz LOLXBJWQHNIGFN-OAHLLOKOSA-N 1 2 322.449 1.729 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[N@H+](CC)Cc2ccon2)nc1 ZINC001156460669 863067133 /nfs/dbraw/zinc/06/71/33/863067133.db2.gz QYHLBLUQYPGURS-CYBMUJFWSA-N 1 2 312.373 1.691 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2ccon2)nc1 ZINC001156460669 863067144 /nfs/dbraw/zinc/06/71/44/863067144.db2.gz QYHLBLUQYPGURS-CYBMUJFWSA-N 1 2 312.373 1.691 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](F)C(C)C)CO2 ZINC001327406394 862145907 /nfs/dbraw/zinc/14/59/07/862145907.db2.gz MRDSGQJWNLPODA-UONOGXRCSA-N 1 2 314.401 1.143 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)C[C@@H]1CCCCO1)O2 ZINC001273655764 851193217 /nfs/dbraw/zinc/19/32/17/851193217.db2.gz OEYQYQPQSZBAHW-LSDHHAIUSA-N 1 2 308.422 1.481 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)[C@H](C)[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001328996636 863406496 /nfs/dbraw/zinc/40/64/96/863406496.db2.gz QCNFPBDNUGXDNT-RYUDHWBXSA-N 1 2 324.425 1.553 20 30 DDEDLO Cc1cc(CN2C[C@]3(CC2=O)CCCC[N@@H+]3CCC#N)n(C)n1 ZINC001274031958 851872021 /nfs/dbraw/zinc/87/20/21/851872021.db2.gz CVKPJKFGYQLMLE-QGZVFWFLSA-N 1 2 315.421 1.599 20 30 DDEDLO Cc1cc(CN2C[C@]3(CC2=O)CCCC[N@H+]3CCC#N)n(C)n1 ZINC001274031958 851872026 /nfs/dbraw/zinc/87/20/26/851872026.db2.gz CVKPJKFGYQLMLE-QGZVFWFLSA-N 1 2 315.421 1.599 20 30 DDEDLO COCC#CC[N@@H+]1CCOC2(CCN(C(=O)C=C(C)C)CC2)C1 ZINC001274862568 852648007 /nfs/dbraw/zinc/64/80/07/852648007.db2.gz HJZIVLGMRFUDAC-UHFFFAOYSA-N 1 2 320.433 1.296 20 30 DDEDLO COCC#CC[N@H+]1CCOC2(CCN(C(=O)C=C(C)C)CC2)C1 ZINC001274862568 852648012 /nfs/dbraw/zinc/64/80/12/852648012.db2.gz HJZIVLGMRFUDAC-UHFFFAOYSA-N 1 2 320.433 1.296 20 30 DDEDLO Cc1noc(C[NH2+][C@@H]2CN(C(=O)C#CC(C)(C)C)C[C@@H]2C)n1 ZINC001327864176 862525148 /nfs/dbraw/zinc/52/51/48/862525148.db2.gz XHRJUXKRYBUWRN-WCQYABFASA-N 1 2 304.394 1.364 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)CCOc2ccccc2)C1 ZINC001276100568 854764830 /nfs/dbraw/zinc/76/48/30/854764830.db2.gz CWNFNQYUGSDMMD-UHFFFAOYSA-N 1 2 318.417 1.585 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCCN(C)c1cc[nH+]c(C)n1 ZINC001095741459 855266082 /nfs/dbraw/zinc/26/60/82/855266082.db2.gz KEHXOASXJQUOFT-KGLIPLIRSA-N 1 2 304.394 1.319 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)[C@H](C)CNC(=O)C(=O)NCC1CC1 ZINC001156051551 862677684 /nfs/dbraw/zinc/67/76/84/862677684.db2.gz RPWMTXQMAOQUGN-LLVKDONJSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](CC)[C@H](C)CNC(=O)C(=O)NCC1CC1 ZINC001156051551 862677693 /nfs/dbraw/zinc/67/76/93/862677693.db2.gz RPWMTXQMAOQUGN-LLVKDONJSA-N 1 2 301.818 1.092 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cc(C#N)ccc2F)C[C@H]1C ZINC001206640601 862730244 /nfs/dbraw/zinc/73/02/44/862730244.db2.gz IBPSNMOPPNPHNB-XHBSWPGZSA-N 1 2 314.364 1.794 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2F)C[C@H]1C ZINC001206640601 862730248 /nfs/dbraw/zinc/73/02/48/862730248.db2.gz IBPSNMOPPNPHNB-XHBSWPGZSA-N 1 2 314.364 1.794 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)C1C[NH+](Cc2cncc(F)c2)C1 ZINC001276377135 856302452 /nfs/dbraw/zinc/30/24/52/856302452.db2.gz SLRGBRXCDRMOAV-CYBMUJFWSA-N 1 2 303.381 1.817 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072635439 857540755 /nfs/dbraw/zinc/54/07/55/857540755.db2.gz GGRQVERKOWSKQG-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@@H+](Cc2cnn(C)n2)C3)C1 ZINC001072964243 857943274 /nfs/dbraw/zinc/94/32/74/857943274.db2.gz OYFUGEKZTNCALF-UHFFFAOYSA-N 1 2 315.421 1.206 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@H+](Cc2cnn(C)n2)C3)C1 ZINC001072964243 857943281 /nfs/dbraw/zinc/94/32/81/857943281.db2.gz OYFUGEKZTNCALF-UHFFFAOYSA-N 1 2 315.421 1.206 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C2CCOCC2)C1 ZINC001073511195 858398705 /nfs/dbraw/zinc/39/87/05/858398705.db2.gz SOYDSEISPGFDQM-AWEZNQCLSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C2CCOCC2)C1 ZINC001073511195 858398710 /nfs/dbraw/zinc/39/87/10/858398710.db2.gz SOYDSEISPGFDQM-AWEZNQCLSA-N 1 2 316.829 1.373 20 30 DDEDLO CC(C)OCCCNC(=O)[C@@H](C#N)C(=O)[C@@H]1CCC[N@@H+]1C(C)C ZINC001122442729 858815239 /nfs/dbraw/zinc/81/52/39/858815239.db2.gz UXEBRYMYJDZWSF-GJZGRUSLSA-N 1 2 323.437 1.499 20 30 DDEDLO CC(C)OCCCNC(=O)[C@@H](C#N)C(=O)[C@@H]1CCC[N@H+]1C(C)C ZINC001122442729 858815253 /nfs/dbraw/zinc/81/52/53/858815253.db2.gz UXEBRYMYJDZWSF-GJZGRUSLSA-N 1 2 323.437 1.499 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001124654452 859768807 /nfs/dbraw/zinc/76/88/07/859768807.db2.gz PGZBIHVQODSPJE-CQSZACIVSA-N 1 2 304.394 1.210 20 30 DDEDLO C#CCCCCCC(=O)N(C)C[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001124684026 859780239 /nfs/dbraw/zinc/78/02/39/859780239.db2.gz XTDNHKTXIRKXHP-HNNXBMFYSA-N 1 2 318.421 1.600 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001124727458 859796220 /nfs/dbraw/zinc/79/62/20/859796220.db2.gz GUJNQPKCPHPBGK-CZUORRHYSA-N 1 2 306.410 1.619 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H](O)CNc2cc[nH+]c(C)n2)C1 ZINC001124775464 859807088 /nfs/dbraw/zinc/80/70/88/859807088.db2.gz NYDDIXOZAZAGOF-CYBMUJFWSA-N 1 2 304.394 1.373 20 30 DDEDLO COc1ccc(C[N@@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c(C#N)c1 ZINC001137704608 859965246 /nfs/dbraw/zinc/96/52/46/859965246.db2.gz NBTJYASEDOANJI-UHFFFAOYSA-N 1 2 312.329 1.326 20 30 DDEDLO COc1ccc(C[N@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c(C#N)c1 ZINC001137704608 859965252 /nfs/dbraw/zinc/96/52/52/859965252.db2.gz NBTJYASEDOANJI-UHFFFAOYSA-N 1 2 312.329 1.326 20 30 DDEDLO C=CCN1CC[NH+](Cc2ccccc2OCC(=O)OCC)CC1 ZINC001139135205 860302274 /nfs/dbraw/zinc/30/22/74/860302274.db2.gz VUHWGFHFTKQVPZ-UHFFFAOYSA-N 1 2 318.417 1.932 20 30 DDEDLO CC1(C)CN(Cc2cc(C#N)ccc2Cl)CC[N@@H+]1CC(N)=O ZINC001140602252 860659731 /nfs/dbraw/zinc/65/97/31/860659731.db2.gz ICFHHUAFWCBOPN-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO CC1(C)CN(Cc2cc(C#N)ccc2Cl)CC[N@H+]1CC(N)=O ZINC001140602252 860659732 /nfs/dbraw/zinc/65/97/32/860659732.db2.gz ICFHHUAFWCBOPN-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001140693655 860678879 /nfs/dbraw/zinc/67/88/79/860678879.db2.gz HEWREEIWLPJPJZ-LBPRGKRZSA-N 1 2 306.366 1.362 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001140693655 860678882 /nfs/dbraw/zinc/67/88/82/860678882.db2.gz HEWREEIWLPJPJZ-LBPRGKRZSA-N 1 2 306.366 1.362 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1nc(C)no1 ZINC001325899455 860937712 /nfs/dbraw/zinc/93/77/12/860937712.db2.gz LVTNUCQGLYUSFD-LSDHHAIUSA-N 1 2 316.405 1.747 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1nc(C)no1 ZINC001325899455 860937725 /nfs/dbraw/zinc/93/77/25/860937725.db2.gz LVTNUCQGLYUSFD-LSDHHAIUSA-N 1 2 316.405 1.747 20 30 DDEDLO C=C(C)C(=O)OCCNC(=O)C[N@@H+]1CCc2sccc2C1 ZINC001142223812 861077019 /nfs/dbraw/zinc/07/70/19/861077019.db2.gz LDMARCPSWCCHMD-UHFFFAOYSA-N 1 2 308.403 1.342 20 30 DDEDLO C=C(C)C(=O)OCCNC(=O)C[N@H+]1CCc2sccc2C1 ZINC001142223812 861077028 /nfs/dbraw/zinc/07/70/28/861077028.db2.gz LDMARCPSWCCHMD-UHFFFAOYSA-N 1 2 308.403 1.342 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[N@H+](CC)Cc2ccon2)cn1 ZINC001156460493 863068934 /nfs/dbraw/zinc/06/89/34/863068934.db2.gz MPHSXKPTPDZAJG-CYBMUJFWSA-N 1 2 312.373 1.691 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2ccon2)cn1 ZINC001156460493 863068946 /nfs/dbraw/zinc/06/89/46/863068946.db2.gz MPHSXKPTPDZAJG-CYBMUJFWSA-N 1 2 312.373 1.691 20 30 DDEDLO CC[N@H+](Cc1noc2c1COCC2)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152413324 863338069 /nfs/dbraw/zinc/33/80/69/863338069.db2.gz MNISZMDCILGJIM-NWDGAFQWSA-N 1 2 320.393 1.234 20 30 DDEDLO CC[N@@H+](Cc1noc2c1COCC2)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152413324 863338082 /nfs/dbraw/zinc/33/80/82/863338082.db2.gz MNISZMDCILGJIM-NWDGAFQWSA-N 1 2 320.393 1.234 20 30 DDEDLO C#CC[N@@H+](CC)[C@H](C)CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001156901673 863432582 /nfs/dbraw/zinc/43/25/82/863432582.db2.gz SLOQBQWICLKKAS-CYBMUJFWSA-N 1 2 302.422 1.752 20 30 DDEDLO C#CC[N@H+](CC)[C@H](C)CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001156901673 863432586 /nfs/dbraw/zinc/43/25/86/863432586.db2.gz SLOQBQWICLKKAS-CYBMUJFWSA-N 1 2 302.422 1.752 20 30 DDEDLO C#CCCCC(=O)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001157170500 863629679 /nfs/dbraw/zinc/62/96/79/863629679.db2.gz JHGXCAONLMDNRV-UHFFFAOYSA-N 1 2 304.394 1.737 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H](C)CNC(=O)CSCC#N)c(C)o1 ZINC001329384254 863632300 /nfs/dbraw/zinc/63/23/00/863632300.db2.gz CAKLFTLSLGHUQI-JTQLQIEISA-N 1 2 310.423 1.485 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H](C)CNC(=O)CSCC#N)c(C)o1 ZINC001329384254 863632307 /nfs/dbraw/zinc/63/23/07/863632307.db2.gz CAKLFTLSLGHUQI-JTQLQIEISA-N 1 2 310.423 1.485 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncccn1 ZINC001157203325 863651282 /nfs/dbraw/zinc/65/12/82/863651282.db2.gz IMVGLTRHLWBVCM-CQSZACIVSA-N 1 2 306.410 1.396 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncccn1 ZINC001157203325 863651289 /nfs/dbraw/zinc/65/12/89/863651289.db2.gz IMVGLTRHLWBVCM-CQSZACIVSA-N 1 2 306.410 1.396 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)cn1 ZINC001153025291 863660076 /nfs/dbraw/zinc/66/00/76/863660076.db2.gz QXWSKLPNPGEIRZ-OAHLLOKOSA-N 1 2 302.422 1.915 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)cn1 ZINC001153025291 863660080 /nfs/dbraw/zinc/66/00/80/863660080.db2.gz QXWSKLPNPGEIRZ-OAHLLOKOSA-N 1 2 302.422 1.915 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)oc1C ZINC001153306705 863816050 /nfs/dbraw/zinc/81/60/50/863816050.db2.gz OVDWNWAZMZVDRS-TZMCWYRMSA-N 1 2 321.421 1.656 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)oc1C ZINC001153306705 863816055 /nfs/dbraw/zinc/81/60/55/863816055.db2.gz OVDWNWAZMZVDRS-TZMCWYRMSA-N 1 2 321.421 1.656 20 30 DDEDLO CC#CC[N@H+](CC)[C@H](C)CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001153399628 863872109 /nfs/dbraw/zinc/87/21/09/863872109.db2.gz DGNLUYSYSFFFFQ-ZIAGYGMSSA-N 1 2 302.422 1.680 20 30 DDEDLO CC[N@H+](CC#CCOC)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001153464548 863901051 /nfs/dbraw/zinc/90/10/51/863901051.db2.gz RHMXTJITCGTWJY-GHMZBOCLSA-N 1 2 312.307 1.363 20 30 DDEDLO CC[N@@H+](CC#CCOC)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001153464548 863901061 /nfs/dbraw/zinc/90/10/61/863901061.db2.gz RHMXTJITCGTWJY-GHMZBOCLSA-N 1 2 312.307 1.363 20 30 DDEDLO CC[N@H+](CC#CCOC)[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001153464548 863901075 /nfs/dbraw/zinc/90/10/75/863901075.db2.gz RHMXTJITCGTWJY-GHMZBOCLSA-N 1 2 312.307 1.363 20 30 DDEDLO CC[N@@H+](CC#CCOC)[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001153464548 863901089 /nfs/dbraw/zinc/90/10/89/863901089.db2.gz RHMXTJITCGTWJY-GHMZBOCLSA-N 1 2 312.307 1.363 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@@H](C)C(CC)CC)C1 ZINC001330020216 864024760 /nfs/dbraw/zinc/02/47/60/864024760.db2.gz HGEWTDKYDPQTOJ-KBPBESRZSA-N 1 2 321.465 1.245 20 30 DDEDLO CC(C)(C)C#CC(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001157727750 864081291 /nfs/dbraw/zinc/08/12/91/864081291.db2.gz XMPUINKKPUKCRU-UHFFFAOYSA-N 1 2 320.441 1.074 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H](C)C(F)(F)F)C2)C1 ZINC001330160141 864115184 /nfs/dbraw/zinc/11/51/84/864115184.db2.gz LLIBDLGBKDNRBO-OCCSQVGLSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H](C)C(F)(F)F)C2)C1 ZINC001330160141 864115190 /nfs/dbraw/zinc/11/51/90/864115190.db2.gz LLIBDLGBKDNRBO-OCCSQVGLSA-N 1 2 318.339 1.511 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC001330472732 864382048 /nfs/dbraw/zinc/38/20/48/864382048.db2.gz QKVSNVRBTINHKD-OAHLLOKOSA-N 1 2 301.390 1.324 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC001330472732 864382067 /nfs/dbraw/zinc/38/20/67/864382067.db2.gz QKVSNVRBTINHKD-OAHLLOKOSA-N 1 2 301.390 1.324 20 30 DDEDLO C=CCn1cc(C[NH+]2CC3(C[C@H]3C(=O)N3CCCCO3)C2)cn1 ZINC001277035162 881786213 /nfs/dbraw/zinc/78/62/13/881786213.db2.gz JLRKTZIMRWLOHH-HNNXBMFYSA-N 1 2 316.405 1.445 20 30 DDEDLO Cc1ccc(CC#N)c(N2CC([N@H+]3C[C@@H](C)O[C@@H](C)C3)C2)n1 ZINC001158727009 864859766 /nfs/dbraw/zinc/85/97/66/864859766.db2.gz JNPQVENNGGGERQ-OKILXGFUSA-N 1 2 300.406 1.754 20 30 DDEDLO Cc1ccc(CC#N)c(N2CC([N@@H+]3C[C@@H](C)O[C@@H](C)C3)C2)n1 ZINC001158727009 864859778 /nfs/dbraw/zinc/85/97/78/864859778.db2.gz JNPQVENNGGGERQ-OKILXGFUSA-N 1 2 300.406 1.754 20 30 DDEDLO C[C@@H](CN(C)C(=O)CSCC#N)[NH2+][C@@H](C)c1csnn1 ZINC001331385504 865050710 /nfs/dbraw/zinc/05/07/10/865050710.db2.gz FMIPWHMGMRZKJC-UWVGGRQHSA-N 1 2 313.452 1.292 20 30 DDEDLO C=C(C)CCC(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001159032445 865057654 /nfs/dbraw/zinc/05/76/54/865057654.db2.gz FVNAEOJPBWQCCV-UHFFFAOYSA-N 1 2 310.398 1.211 20 30 DDEDLO C=CCCC(=O)NC[C@@H](CO)[NH2+]Cc1csc(Cl)n1 ZINC001331684316 865241103 /nfs/dbraw/zinc/24/11/03/865241103.db2.gz OWWSEDXXLDRTFF-VIFPVBQESA-N 1 2 303.815 1.329 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](c1ccccc1)[C@@H](O)C(C)C ZINC001332679775 866061863 /nfs/dbraw/zinc/06/18/63/866061863.db2.gz KLNOCTZERWQTSF-KSZLIROESA-N 1 2 314.429 1.958 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](c1ccccc1)[C@@H](O)C(C)C ZINC001332679775 866061876 /nfs/dbraw/zinc/06/18/76/866061876.db2.gz KLNOCTZERWQTSF-KSZLIROESA-N 1 2 314.429 1.958 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC1CC[NH+](Cc2nc(C)no2)CC1 ZINC001225625905 881946212 /nfs/dbraw/zinc/94/62/12/881946212.db2.gz QBBHQHNVCZHIDK-GFCCVEGCSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(Cl)[nH]1 ZINC001323303691 866514187 /nfs/dbraw/zinc/51/41/87/866514187.db2.gz UHCJJDXHACSIOZ-WDEREUQCSA-N 1 2 324.812 1.163 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1ccc(Cl)[nH]1 ZINC001323303691 866514204 /nfs/dbraw/zinc/51/42/04/866514204.db2.gz UHCJJDXHACSIOZ-WDEREUQCSA-N 1 2 324.812 1.163 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)COC[C@@H](C)c1ccccc1 ZINC001323339469 866544466 /nfs/dbraw/zinc/54/44/66/866544466.db2.gz HAULGYFZTSNRGF-WBVHZDCISA-N 1 2 300.402 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)COC[C@@H](C)c1ccccc1 ZINC001323339469 866544481 /nfs/dbraw/zinc/54/44/81/866544481.db2.gz HAULGYFZTSNRGF-WBVHZDCISA-N 1 2 300.402 1.630 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1ccc(C(=O)OCC)cc1 ZINC001320282728 866611552 /nfs/dbraw/zinc/61/15/52/866611552.db2.gz XGMLZWSXBHYSOB-UHFFFAOYSA-N 1 2 318.373 1.383 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1ccc(C(=O)OCC)cc1 ZINC001320282728 866611556 /nfs/dbraw/zinc/61/15/56/866611556.db2.gz XGMLZWSXBHYSOB-UHFFFAOYSA-N 1 2 318.373 1.383 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC001323511206 866654237 /nfs/dbraw/zinc/65/42/37/866654237.db2.gz ODLUDVSNBJTXAM-ZDUSSCGKSA-N 1 2 319.405 1.794 20 30 DDEDLO C=CCOCC(=O)N(C)C1C[NH+](Cc2cccc(COC)c2)C1 ZINC001323897518 866934621 /nfs/dbraw/zinc/93/46/21/866934621.db2.gz AMZORRFSQYTGDD-UHFFFAOYSA-N 1 2 318.417 1.678 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001323921498 866951210 /nfs/dbraw/zinc/95/12/10/866951210.db2.gz OLWNTIXQKHEXFI-CQSZACIVSA-N 1 2 318.421 1.175 20 30 DDEDLO C#CCCCC(=O)N(CC)CC[NH2+]Cc1nn(C)cc1Cl ZINC001320722069 866977822 /nfs/dbraw/zinc/97/78/22/866977822.db2.gz JCSWFTQVAKITQI-UHFFFAOYSA-N 1 2 310.829 1.815 20 30 DDEDLO COc1cccc(CNC(=O)CNc2cc(CC#N)cc[nH+]2)c1 ZINC001161875515 867063852 /nfs/dbraw/zinc/06/38/52/867063852.db2.gz RHMFVJFOFFSUIZ-UHFFFAOYSA-N 1 2 310.357 1.885 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnnn2CCCc2cccc(C)[nH+]2)C1 ZINC001334634513 867723062 /nfs/dbraw/zinc/72/30/62/867723062.db2.gz FJOSYKYLTUYUJN-OAHLLOKOSA-N 1 2 310.405 1.859 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC001321837394 867825909 /nfs/dbraw/zinc/82/59/09/867825909.db2.gz BMRSZASKMICKNH-MRXNPFEDSA-N 1 2 315.417 1.589 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]([NH3+])CNc1nc2c(cc1C#N)CCC2 ZINC001162853634 867875320 /nfs/dbraw/zinc/87/53/20/867875320.db2.gz AQMTYZLAHUIMCN-LBPRGKRZSA-N 1 2 302.378 1.523 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cncc3sccc32)C1 ZINC001325263419 867899205 /nfs/dbraw/zinc/89/92/05/867899205.db2.gz HFLHEMMFLQTWLM-UHFFFAOYSA-N 1 2 315.398 1.096 20 30 DDEDLO C=CCO[C@H]1CCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)C1 ZINC001321979534 867914708 /nfs/dbraw/zinc/91/47/08/867914708.db2.gz HYPYBQNYRAZWOJ-GJZGRUSLSA-N 1 2 318.421 1.609 20 30 DDEDLO C=CCO[C@H]1CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC001321979534 867914725 /nfs/dbraw/zinc/91/47/25/867914725.db2.gz HYPYBQNYRAZWOJ-GJZGRUSLSA-N 1 2 318.421 1.609 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)c1cnc2c(Cl)ncnc2c1 ZINC001164651463 869340784 /nfs/dbraw/zinc/34/07/84/869340784.db2.gz IDKCUJNYWYIXHY-SECBINFHSA-N 1 2 324.722 1.525 20 30 DDEDLO C#Cc1ccc(NC(=O)C(=O)N2CC[NH+](CC)CC2)c(Cl)c1 ZINC001337804303 869637414 /nfs/dbraw/zinc/63/74/14/869637414.db2.gz BVORCACRTFNENZ-UHFFFAOYSA-N 1 2 319.792 1.424 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001338281354 869901701 /nfs/dbraw/zinc/90/17/01/869901701.db2.gz CDDMASYQGZIRTG-CABCVRRESA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001316980813 870050872 /nfs/dbraw/zinc/05/08/72/870050872.db2.gz LJOVCPCDVQVTSE-JONQDZQNSA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001316980813 870050887 /nfs/dbraw/zinc/05/08/87/870050887.db2.gz LJOVCPCDVQVTSE-JONQDZQNSA-N 1 2 319.449 1.305 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001316984292 870067158 /nfs/dbraw/zinc/06/71/58/870067158.db2.gz IEECDPTUNCHGPE-UONOGXRCSA-N 1 2 309.454 1.550 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001316984292 870067170 /nfs/dbraw/zinc/06/71/70/870067170.db2.gz IEECDPTUNCHGPE-UONOGXRCSA-N 1 2 309.454 1.550 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC12CC[NH+](Cc1cnon1)CC2 ZINC001316995900 870096871 /nfs/dbraw/zinc/09/68/71/870096871.db2.gz HEWULJKSTBYVMV-HNNXBMFYSA-N 1 2 316.405 1.734 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([NH2+]Cc2ncc(C(C)C)o2)C1 ZINC001317057614 870179028 /nfs/dbraw/zinc/17/90/28/870179028.db2.gz FYAOJZRVIAXGCY-KGLIPLIRSA-N 1 2 319.405 1.527 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCCC(C)(C)C1 ZINC001338893933 870229039 /nfs/dbraw/zinc/22/90/39/870229039.db2.gz CKXDYGBLFBLPOC-KGLIPLIRSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCCC(C)(C)C1 ZINC001338893933 870229052 /nfs/dbraw/zinc/22/90/52/870229052.db2.gz CKXDYGBLFBLPOC-KGLIPLIRSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCCC[C@H]1CC ZINC001338897019 870229935 /nfs/dbraw/zinc/22/99/35/870229935.db2.gz ZUXBXEHTIOKAAW-KFWWJZLASA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCCC[C@H]1CC ZINC001338897019 870229946 /nfs/dbraw/zinc/22/99/46/870229946.db2.gz ZUXBXEHTIOKAAW-KFWWJZLASA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@H](C)[C@@H](F)C1 ZINC001339212719 870406026 /nfs/dbraw/zinc/40/60/26/870406026.db2.gz YFNFNXYLSPBLEC-STQMWFEESA-N 1 2 318.400 1.973 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001298680412 870674443 /nfs/dbraw/zinc/67/44/43/870674443.db2.gz XAJJUWIVPNXOMX-OLZOCXBDSA-N 1 2 306.410 1.186 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCCC2(CC2)C1 ZINC001340376885 871067758 /nfs/dbraw/zinc/06/77/58/871067758.db2.gz YKZJWGBHWLAHRU-KBPBESRZSA-N 1 2 317.437 1.582 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCCC2(CC2)C1 ZINC001340376885 871067774 /nfs/dbraw/zinc/06/77/74/871067774.db2.gz YKZJWGBHWLAHRU-KBPBESRZSA-N 1 2 317.437 1.582 20 30 DDEDLO C#CC[C@@H]1CCCN(c2nnc([C@@H]3C[C@H](O)C[N@@H+]3C)n2CC)C1 ZINC001340400984 871080573 /nfs/dbraw/zinc/08/05/73/871080573.db2.gz OATYSJIZTSAMTE-ILXRZTDVSA-N 1 2 317.437 1.275 20 30 DDEDLO C#CC[C@@H]1CCCN(c2nnc([C@@H]3C[C@H](O)C[N@H+]3C)n2CC)C1 ZINC001340400984 871080587 /nfs/dbraw/zinc/08/05/87/871080587.db2.gz OATYSJIZTSAMTE-ILXRZTDVSA-N 1 2 317.437 1.275 20 30 DDEDLO C=C(C)CN(C)c1nnc(C[NH+]2CCCCC2)n1CCOC ZINC001341124680 871515674 /nfs/dbraw/zinc/51/56/74/871515674.db2.gz VGHSJQCJKNDMGV-UHFFFAOYSA-N 1 2 307.442 1.923 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@@H]1CCC[C@@H](F)C1 ZINC001317818791 871542948 /nfs/dbraw/zinc/54/29/48/871542948.db2.gz ICNAUKVEVKAUCT-HUUCEWRRSA-N 1 2 310.417 1.114 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](C[N@@H+](C)Cc2nnc(C3CC3)[nH]2)C1 ZINC001317829571 871555675 /nfs/dbraw/zinc/55/56/75/871555675.db2.gz BRHLBHAUSSFTGE-ZDUSSCGKSA-N 1 2 317.437 1.929 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](C[N@H+](C)Cc2nnc(C3CC3)[nH]2)C1 ZINC001317829571 871555683 /nfs/dbraw/zinc/55/56/83/871555683.db2.gz BRHLBHAUSSFTGE-ZDUSSCGKSA-N 1 2 317.437 1.929 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001317979939 871669430 /nfs/dbraw/zinc/66/94/30/871669430.db2.gz ZUBRTLFRTUHPHH-HNNXBMFYSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC2CCC2)C1 ZINC001317979939 871669437 /nfs/dbraw/zinc/66/94/37/871669437.db2.gz ZUBRTLFRTUHPHH-HNNXBMFYSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cccs2)C1 ZINC001205801176 871822297 /nfs/dbraw/zinc/82/22/97/871822297.db2.gz NLIUZKGGHWSNJF-VXGBXAGGSA-N 1 2 307.419 1.100 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cccs2)C1 ZINC001205801176 871822308 /nfs/dbraw/zinc/82/23/08/871822308.db2.gz NLIUZKGGHWSNJF-VXGBXAGGSA-N 1 2 307.419 1.100 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@H+](C)Cc1cn(CC2CC2)nn1 ZINC001316822580 871962045 /nfs/dbraw/zinc/96/20/45/871962045.db2.gz RZRKTRRPNBURKJ-UHFFFAOYSA-N 1 2 317.437 1.286 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@@H+](C)Cc1cn(CC2CC2)nn1 ZINC001316822580 871962059 /nfs/dbraw/zinc/96/20/59/871962059.db2.gz RZRKTRRPNBURKJ-UHFFFAOYSA-N 1 2 317.437 1.286 20 30 DDEDLO C[C@H](C#N)C(=O)NC1CC[NH+]([C@H](C)c2nc(C3CC3)no2)CC1 ZINC001226648869 882565011 /nfs/dbraw/zinc/56/50/11/882565011.db2.gz OOCBBANONYXCBC-GHMZBOCLSA-N 1 2 317.393 1.748 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnns2)[C@@H]1CC ZINC001316730831 871983039 /nfs/dbraw/zinc/98/30/39/871983039.db2.gz RZGJWJJFNOTCGF-UONOGXRCSA-N 1 2 306.435 1.811 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnns2)[C@@H]1CC ZINC001316730831 871983050 /nfs/dbraw/zinc/98/30/50/871983050.db2.gz RZGJWJJFNOTCGF-UONOGXRCSA-N 1 2 306.435 1.811 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](N(C)C(=O)c2c(C)coc2C)C1 ZINC001318400688 871998118 /nfs/dbraw/zinc/99/81/18/871998118.db2.gz UKIACFJPCKZADF-AWEZNQCLSA-N 1 2 319.405 1.345 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](N(C)C(=O)c2c(C)coc2C)C1 ZINC001318400688 871998125 /nfs/dbraw/zinc/99/81/25/871998125.db2.gz UKIACFJPCKZADF-AWEZNQCLSA-N 1 2 319.405 1.345 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1NC(=O)C1CCCCCC1 ZINC001206479333 872361704 /nfs/dbraw/zinc/36/17/04/872361704.db2.gz ORRYFATUYAJFIU-UKRRQHHQSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1NC(=O)C1CCCCCC1 ZINC001206479333 872361725 /nfs/dbraw/zinc/36/17/25/872361725.db2.gz ORRYFATUYAJFIU-UKRRQHHQSA-N 1 2 320.437 1.033 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3C[C@H]3C#N)n2CC(C)C)CC1 ZINC001342889762 872426122 /nfs/dbraw/zinc/42/61/22/872426122.db2.gz LOYRCTACFUBZBZ-LSDHHAIUSA-N 1 2 312.421 1.316 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001319319498 872568820 /nfs/dbraw/zinc/56/88/20/872568820.db2.gz URPDNXOQTKOIDN-ARFHVFGLSA-N 1 2 324.465 1.688 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001319319498 872568831 /nfs/dbraw/zinc/56/88/31/872568831.db2.gz URPDNXOQTKOIDN-ARFHVFGLSA-N 1 2 324.465 1.688 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)Cc2cccc(F)c2F)C1 ZINC001319321517 872571536 /nfs/dbraw/zinc/57/15/36/872571536.db2.gz DGLILYRDQKPGDJ-ZDUSSCGKSA-N 1 2 310.344 1.510 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)Cc2cccc(F)c2F)C1 ZINC001319321517 872571546 /nfs/dbraw/zinc/57/15/46/872571546.db2.gz DGLILYRDQKPGDJ-ZDUSSCGKSA-N 1 2 310.344 1.510 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N1CCO[C@H](C#N)C1 ZINC001343457639 872631220 /nfs/dbraw/zinc/63/12/20/872631220.db2.gz QNGXKOBDGYNQNI-KGLIPLIRSA-N 1 2 316.409 1.350 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CCO[C@H](C#N)C1 ZINC001343457639 872631224 /nfs/dbraw/zinc/63/12/24/872631224.db2.gz QNGXKOBDGYNQNI-KGLIPLIRSA-N 1 2 316.409 1.350 20 30 DDEDLO C=CCOCCCC(=O)NC1CC[NH+](Cc2ccon2)CC1 ZINC001226760352 882636083 /nfs/dbraw/zinc/63/60/83/882636083.db2.gz PODQAEORUYCTMC-UHFFFAOYSA-N 1 2 307.394 1.738 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H](C)C[NH2+]Cc1cnsn1 ZINC001319533782 872678405 /nfs/dbraw/zinc/67/84/05/872678405.db2.gz KPNVHRSDAVURMX-RTXFEEFZSA-N 1 2 310.423 1.066 20 30 DDEDLO CCn1nncc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001206951088 872833599 /nfs/dbraw/zinc/83/35/99/872833599.db2.gz WPQGZGVASBRRBE-UKRRQHHQSA-N 1 2 317.437 1.284 20 30 DDEDLO CCn1nncc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001206951088 872833607 /nfs/dbraw/zinc/83/36/07/872833607.db2.gz WPQGZGVASBRRBE-UKRRQHHQSA-N 1 2 317.437 1.284 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nccs2)C[C@H]1C ZINC001206963576 872856457 /nfs/dbraw/zinc/85/64/57/872856457.db2.gz IRGAFPMKVWXWNW-FRRDWIJNSA-N 1 2 307.419 1.118 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nccs2)C[C@H]1C ZINC001206963576 872856481 /nfs/dbraw/zinc/85/64/81/872856481.db2.gz IRGAFPMKVWXWNW-FRRDWIJNSA-N 1 2 307.419 1.118 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@]2(CCN(C(=O)CCCC(C)=O)C2)C1 ZINC001276532366 873260919 /nfs/dbraw/zinc/26/09/19/873260919.db2.gz LBUMCXBKTUYKTL-KRWDZBQOSA-N 1 2 308.422 1.625 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@]2(CCN(C(=O)CCCC(C)=O)C2)C1 ZINC001276532366 873260925 /nfs/dbraw/zinc/26/09/25/873260925.db2.gz LBUMCXBKTUYKTL-KRWDZBQOSA-N 1 2 308.422 1.625 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC001346401249 873735685 /nfs/dbraw/zinc/73/56/85/873735685.db2.gz LQDWQUDBYDZJOZ-UONOGXRCSA-N 1 2 318.421 1.597 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208388845 874095966 /nfs/dbraw/zinc/09/59/66/874095966.db2.gz QJNYMZQRDLCBRR-BFHYXJOUSA-N 1 2 316.467 1.070 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208388845 874095983 /nfs/dbraw/zinc/09/59/83/874095983.db2.gz QJNYMZQRDLCBRR-BFHYXJOUSA-N 1 2 316.467 1.070 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3C[C@@H](C2)N3Cc2ncccc2O)cc1C#N ZINC001276591971 874473593 /nfs/dbraw/zinc/47/35/93/874473593.db2.gz WKVHNPGOTGTAIA-IYBDPMFKSA-N 1 2 323.400 1.456 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3C[C@@H](C2)N3Cc2ncccc2O)cc1C#N ZINC001276591971 874473598 /nfs/dbraw/zinc/47/35/98/874473598.db2.gz WKVHNPGOTGTAIA-IYBDPMFKSA-N 1 2 323.400 1.456 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)CC1(C)C ZINC001276602056 874546330 /nfs/dbraw/zinc/54/63/30/874546330.db2.gz WJXFSCJTIQYTTD-ZIAGYGMSSA-N 1 2 320.437 1.055 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)CC1(C)C ZINC001276602056 874546340 /nfs/dbraw/zinc/54/63/40/874546340.db2.gz WJXFSCJTIQYTTD-ZIAGYGMSSA-N 1 2 320.437 1.055 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)COc2cc(C)on2)C1 ZINC001378536563 875078185 /nfs/dbraw/zinc/07/81/85/875078185.db2.gz UNKNFFXQHZMPBG-LLVKDONJSA-N 1 2 313.785 1.551 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)CCCC(=O)N(C)C)C1 ZINC001378582786 875169390 /nfs/dbraw/zinc/16/93/90/875169390.db2.gz NDENKTZCHZVDHC-LBPRGKRZSA-N 1 2 315.845 1.434 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc(C#N)cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001227290853 882954377 /nfs/dbraw/zinc/95/43/77/882954377.db2.gz DWDNSYGSHXAGMC-HJTUNCCVSA-N 1 2 301.302 1.458 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc(C#N)cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001227290853 882954389 /nfs/dbraw/zinc/95/43/89/882954389.db2.gz DWDNSYGSHXAGMC-HJTUNCCVSA-N 1 2 301.302 1.458 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001211355206 875766933 /nfs/dbraw/zinc/76/69/33/875766933.db2.gz PBQWFAPXUUDXIK-ZDUSSCGKSA-N 1 2 308.382 1.051 20 30 DDEDLO N#Cc1ccc(Nc2cnn(CC[NH+]3CCOCC3)c2)c(N)c1 ZINC001213351718 875904208 /nfs/dbraw/zinc/90/42/08/875904208.db2.gz XQXPHQHHVNJERJ-UHFFFAOYSA-N 1 2 312.377 1.413 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCC(C)(C)C2)[C@H](OC)C1 ZINC001213404035 875924942 /nfs/dbraw/zinc/92/49/42/875924942.db2.gz XGXGXUABBCBKAV-BZUAXINKSA-N 1 2 322.449 1.278 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCC(C)(C)C2)[C@H](OC)C1 ZINC001213404035 875924948 /nfs/dbraw/zinc/92/49/48/875924948.db2.gz XGXGXUABBCBKAV-BZUAXINKSA-N 1 2 322.449 1.278 20 30 DDEDLO CO[C@H](C)C(=O)N(C)CC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001379024103 876159150 /nfs/dbraw/zinc/15/91/50/876159150.db2.gz PSKJUNILSYEGQL-GFCCVEGCSA-N 1 2 307.369 1.622 20 30 DDEDLO CO[C@H](C)C(=O)N(C)CC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001379024103 876159155 /nfs/dbraw/zinc/15/91/55/876159155.db2.gz PSKJUNILSYEGQL-GFCCVEGCSA-N 1 2 307.369 1.622 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001214303806 876269970 /nfs/dbraw/zinc/26/99/70/876269970.db2.gz AKLKNKZGMPLDTG-DGCLKSJQSA-N 1 2 304.394 1.850 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H](C)[NH2+]Cc1nc(CC2CC2)no1 ZINC001379105838 876418461 /nfs/dbraw/zinc/41/84/61/876418461.db2.gz NYBBDXZOZJKQAV-MNOVXSKESA-N 1 2 305.382 1.118 20 30 DDEDLO C=CCn1c([C@H]2CCC[N@H+]2C)nnc1N1CCC[C@@H](C#N)C1 ZINC001351948411 876528902 /nfs/dbraw/zinc/52/89/02/876528902.db2.gz FOZOXTONYQCZFI-UONOGXRCSA-N 1 2 300.410 1.971 20 30 DDEDLO C=CCn1c([C@H]2CCC[N@@H+]2C)nnc1N1CCC[C@@H](C#N)C1 ZINC001351948411 876528907 /nfs/dbraw/zinc/52/89/07/876528907.db2.gz FOZOXTONYQCZFI-UONOGXRCSA-N 1 2 300.410 1.971 20 30 DDEDLO C=CCn1c(N2C[C@H](C)[C@H](C)C2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001352062121 876580215 /nfs/dbraw/zinc/58/02/15/876580215.db2.gz DNEFXNMCJLYNTM-UMPJEAMMSA-N 1 2 319.453 1.468 20 30 DDEDLO C=CCn1c(N2C[C@H](C)[C@H](C)C2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001352062121 876580238 /nfs/dbraw/zinc/58/02/38/876580238.db2.gz DNEFXNMCJLYNTM-UMPJEAMMSA-N 1 2 319.453 1.468 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H](NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001353104624 877122568 /nfs/dbraw/zinc/12/25/68/877122568.db2.gz UFLFJIKDARAMLK-AWEZNQCLSA-N 1 2 316.405 1.013 20 30 DDEDLO C#CCCCCC(=O)N1CCO[C@@H]2C[N@@H+](C[C@H](F)CC)C[C@@H]21 ZINC001217670266 877258540 /nfs/dbraw/zinc/25/85/40/877258540.db2.gz WNXSEAQZZBHGDT-OWCLPIDISA-N 1 2 310.413 1.840 20 30 DDEDLO C#CCCCCC(=O)N1CCO[C@@H]2C[N@H+](C[C@H](F)CC)C[C@@H]21 ZINC001217670266 877258556 /nfs/dbraw/zinc/25/85/56/877258556.db2.gz WNXSEAQZZBHGDT-OWCLPIDISA-N 1 2 310.413 1.840 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001353398300 877302591 /nfs/dbraw/zinc/30/25/91/877302591.db2.gz WWGZYGVBUAQYOI-KBPBESRZSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001353398300 877302610 /nfs/dbraw/zinc/30/26/10/877302610.db2.gz WWGZYGVBUAQYOI-KBPBESRZSA-N 1 2 316.405 1.109 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001353416445 877311249 /nfs/dbraw/zinc/31/12/49/877311249.db2.gz RMYOSGQLKOHGMZ-AWEZNQCLSA-N 1 2 316.405 1.040 20 30 DDEDLO CCCC[C@@H](C)C(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001217794459 877324817 /nfs/dbraw/zinc/32/48/17/877324817.db2.gz IADIDLYLGFMUSU-IXDOHACOSA-N 1 2 322.449 1.374 20 30 DDEDLO CCCC[C@@H](C)C(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001217794459 877324831 /nfs/dbraw/zinc/32/48/31/877324831.db2.gz IADIDLYLGFMUSU-IXDOHACOSA-N 1 2 322.449 1.374 20 30 DDEDLO C=C1O[C@@H](CC)C(=O)C1Oc1ccc(C[C@H]([NH3+])C(N)=O)cc1 ZINC001218354922 877459032 /nfs/dbraw/zinc/45/90/32/877459032.db2.gz YWMYWKURQYKHQJ-STQMWFEESA-N 1 2 304.346 1.030 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001353641281 877460083 /nfs/dbraw/zinc/46/00/83/877460083.db2.gz LBGNUAALJIMQFQ-XUXIUFHCSA-N 1 2 318.421 1.184 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001353808515 877581263 /nfs/dbraw/zinc/58/12/63/877581263.db2.gz LBGNUAALJIMQFQ-XDQVBPFNSA-N 1 2 318.421 1.184 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](CC#Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219102984 877919686 /nfs/dbraw/zinc/91/96/86/877919686.db2.gz KFISFQICDJSWTF-CVEARBPZSA-N 1 2 320.820 1.653 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219102984 877919696 /nfs/dbraw/zinc/91/96/96/877919696.db2.gz KFISFQICDJSWTF-CVEARBPZSA-N 1 2 320.820 1.653 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)cc2)C[C@@H]1O ZINC001219581432 878359890 /nfs/dbraw/zinc/35/98/90/878359890.db2.gz NVXGAGNFDUBFAY-CVEARBPZSA-N 1 2 304.365 1.146 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)cc2)C[C@@H]1O ZINC001219581432 878359906 /nfs/dbraw/zinc/35/99/06/878359906.db2.gz NVXGAGNFDUBFAY-CVEARBPZSA-N 1 2 304.365 1.146 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC[C@H]1CC ZINC001355919047 878777331 /nfs/dbraw/zinc/77/73/31/878777331.db2.gz GVSBTWCYTCNDLW-RDBSUJKOSA-N 1 2 305.426 1.580 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC[C@H]1CC ZINC001355919047 878777353 /nfs/dbraw/zinc/77/73/53/878777353.db2.gz GVSBTWCYTCNDLW-RDBSUJKOSA-N 1 2 305.426 1.580 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220205727 878819785 /nfs/dbraw/zinc/81/97/85/878819785.db2.gz KLWPKCDIQDXIQY-BMFZPTHFSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220205727 878819796 /nfs/dbraw/zinc/81/97/96/878819796.db2.gz KLWPKCDIQDXIQY-BMFZPTHFSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001287678423 912389858 /nfs/dbraw/zinc/38/98/58/912389858.db2.gz GZGAJMZDHQBYEB-ZDUSSCGKSA-N 1 2 320.437 1.528 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001356303069 878978477 /nfs/dbraw/zinc/97/84/77/878978477.db2.gz XHQZNBMQLBWJKT-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)CCC(C)(C)C)[C@@H](O)C1 ZINC001220764523 879239047 /nfs/dbraw/zinc/23/90/47/879239047.db2.gz NVASWXHTVAUHFI-OAGGEKHMSA-N 1 2 324.465 1.260 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)CCC(C)(C)C)[C@@H](O)C1 ZINC001220764523 879239062 /nfs/dbraw/zinc/23/90/62/879239062.db2.gz NVASWXHTVAUHFI-OAGGEKHMSA-N 1 2 324.465 1.260 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCCN1C(=O)CCn1cc[nH+]c1 ZINC001356995930 879671436 /nfs/dbraw/zinc/67/14/36/879671436.db2.gz DXOUUOSRNOKQGH-OAHLLOKOSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](NC(=O)CCc1c[nH]c[nH+]1)C(C)C ZINC001357112023 879802007 /nfs/dbraw/zinc/80/20/07/879802007.db2.gz JSXOXAAQMYXXFH-CQSZACIVSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](NC(=O)CCc1c[nH+]c[nH]1)C(C)C ZINC001357112023 879802027 /nfs/dbraw/zinc/80/20/27/879802027.db2.gz JSXOXAAQMYXXFH-CQSZACIVSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)C(C)(C)NC(C)=O)[C@@H]2C1 ZINC001221873675 880124679 /nfs/dbraw/zinc/12/46/79/880124679.db2.gz BDJUGDSKENLUQJ-CHWSQXEVSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(C)NC(C)=O)[C@@H]2C1 ZINC001221873675 880124697 /nfs/dbraw/zinc/12/46/97/880124697.db2.gz BDJUGDSKENLUQJ-CHWSQXEVSA-N 1 2 313.829 1.186 20 30 DDEDLO O=C(C#CC1CC1)NCC=CCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001357809515 880209328 /nfs/dbraw/zinc/20/93/28/880209328.db2.gz TWSXSSOIESZYSY-UPHRSURJSA-N 1 2 322.368 1.379 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](Cc2nnc(CC)o2)CC1 ZINC001222579797 880574355 /nfs/dbraw/zinc/57/43/55/880574355.db2.gz YFUBUDAIAPMRNP-UHFFFAOYSA-N 1 2 306.410 1.926 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3ncccn3)[C@H]2C1 ZINC001222613984 880601983 /nfs/dbraw/zinc/60/19/83/880601983.db2.gz RWBLVAUNTMUQSV-CVEARBPZSA-N 1 2 312.417 1.703 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3ncccn3)[C@H]2C1 ZINC001222613984 880601990 /nfs/dbraw/zinc/60/19/90/880601990.db2.gz RWBLVAUNTMUQSV-CVEARBPZSA-N 1 2 312.417 1.703 20 30 DDEDLO CC(C)c1noc(C[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001222642175 880617920 /nfs/dbraw/zinc/61/79/20/880617920.db2.gz YVGKSYBWBOPWDM-LBPRGKRZSA-N 1 2 319.409 1.681 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC001358652044 880693112 /nfs/dbraw/zinc/69/31/12/880693112.db2.gz KLAXMYPUIOEIFQ-ZDUSSCGKSA-N 1 2 304.394 1.565 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC001358663236 880722458 /nfs/dbraw/zinc/72/24/58/880722458.db2.gz XXLMFTOWIBFJPT-ZDUSSCGKSA-N 1 2 304.394 1.565 20 30 DDEDLO C#CCCCCC(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001222872406 880728199 /nfs/dbraw/zinc/72/81/99/880728199.db2.gz NGTJVOBSICLDCB-UHFFFAOYSA-N 1 2 317.437 1.337 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1(CNC(=O)CCn2cc[nH+]c2)CC1 ZINC001358678743 880748492 /nfs/dbraw/zinc/74/84/92/880748492.db2.gz BISFGOHFJVYDGG-UONOGXRCSA-N 1 2 318.421 1.496 20 30 DDEDLO C#CCCCC(=O)NCC1CC[NH+]([C@@H](C)c2nnc(C)[nH]2)CC1 ZINC001223012171 880782013 /nfs/dbraw/zinc/78/20/13/880782013.db2.gz FCQHYVCVKCSQOF-ZDUSSCGKSA-N 1 2 317.437 1.806 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001358731329 880823317 /nfs/dbraw/zinc/82/33/17/880823317.db2.gz IELJLJIABOCXKN-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001358731329 880823335 /nfs/dbraw/zinc/82/33/35/880823335.db2.gz IELJLJIABOCXKN-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCC(=O)NC[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C1CCCC1 ZINC001358773016 880886755 /nfs/dbraw/zinc/88/67/55/880886755.db2.gz RPOSSDKDWVWNQG-CQSZACIVSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)N[C@]1(C)CCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001358782552 880900368 /nfs/dbraw/zinc/90/03/68/880900368.db2.gz MDCJRFHNQSXBPA-QGZVFWFLSA-N 1 2 318.421 1.737 20 30 DDEDLO N#Cc1ccnc(C[N@@H+]2CCOC[C@H]2CC2CCOCC2)c1 ZINC001414052139 881202936 /nfs/dbraw/zinc/20/29/36/881202936.db2.gz BWRUFSAQYDIMNP-QGZVFWFLSA-N 1 2 301.390 1.971 20 30 DDEDLO N#Cc1ccnc(C[N@H+]2CCOC[C@H]2CC2CCOCC2)c1 ZINC001414052139 881202953 /nfs/dbraw/zinc/20/29/53/881202953.db2.gz BWRUFSAQYDIMNP-QGZVFWFLSA-N 1 2 301.390 1.971 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001287998859 912654214 /nfs/dbraw/zinc/65/42/14/912654214.db2.gz NHZSXPFGZHIKSU-TZMCWYRMSA-N 1 2 304.394 1.414 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224165511 881249190 /nfs/dbraw/zinc/24/91/90/881249190.db2.gz NXEFLJHCSVWGGU-KBPBESRZSA-N 1 2 319.453 1.602 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H](OC)c1ccccc1 ZINC001276957001 881273952 /nfs/dbraw/zinc/27/39/52/881273952.db2.gz QFUXKKVOHIFUSN-IAGOWNOFSA-N 1 2 316.401 1.214 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H](OC)c1ccccc1 ZINC001276957001 881273970 /nfs/dbraw/zinc/27/39/70/881273970.db2.gz QFUXKKVOHIFUSN-IAGOWNOFSA-N 1 2 316.401 1.214 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](Oc2cnnc(=S)[nH]2)C1 ZINC001228643308 883588552 /nfs/dbraw/zinc/58/85/52/883588552.db2.gz ZVTCDFIXYKYBPB-CYBMUJFWSA-N 1 2 313.386 1.685 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](Oc2cnnc(=S)[nH]2)C1 ZINC001228643308 883588573 /nfs/dbraw/zinc/58/85/73/883588573.db2.gz ZVTCDFIXYKYBPB-CYBMUJFWSA-N 1 2 313.386 1.685 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2cc(C#N)cs2)C[C@@H]1C ZINC001362397494 883718824 /nfs/dbraw/zinc/71/88/24/883718824.db2.gz OZKKDNGCPSKPCI-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2cc(C#N)cs2)C[C@@H]1C ZINC001362397494 883718829 /nfs/dbraw/zinc/71/88/29/883718829.db2.gz OZKKDNGCPSKPCI-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO CCO[C@H](CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2CC#CCOC ZINC001277419664 884158780 /nfs/dbraw/zinc/15/87/80/884158780.db2.gz YDODBRPLRVDSGD-IXDOHACOSA-N 1 2 322.449 1.517 20 30 DDEDLO CCO[C@H](CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2CC#CCOC ZINC001277419664 884158789 /nfs/dbraw/zinc/15/87/89/884158789.db2.gz YDODBRPLRVDSGD-IXDOHACOSA-N 1 2 322.449 1.517 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+]([C@H](C)c2nnc(C)o2)CC1 ZINC001230414742 884480930 /nfs/dbraw/zinc/48/09/30/884480930.db2.gz GCTTWDHVYOBBSN-NEPJUHHUSA-N 1 2 322.409 1.611 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CCC ZINC001230618231 884655933 /nfs/dbraw/zinc/65/59/33/884655933.db2.gz CHRFGHUHTUQDCP-HNNXBMFYSA-N 1 2 309.454 1.744 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CCC ZINC001230618231 884655940 /nfs/dbraw/zinc/65/59/40/884655940.db2.gz CHRFGHUHTUQDCP-HNNXBMFYSA-N 1 2 309.454 1.744 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230629472 884669049 /nfs/dbraw/zinc/66/90/49/884669049.db2.gz OVFTVBSVXNPYTC-ZBFHGGJFSA-N 1 2 305.422 1.804 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230629472 884669051 /nfs/dbraw/zinc/66/90/51/884669051.db2.gz OVFTVBSVXNPYTC-ZBFHGGJFSA-N 1 2 305.422 1.804 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(C)n1 ZINC001230771022 884860121 /nfs/dbraw/zinc/86/01/21/884860121.db2.gz XOPKDARZXUSBTM-HNNXBMFYSA-N 1 2 301.390 1.186 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(C)n1 ZINC001230771022 884860132 /nfs/dbraw/zinc/86/01/32/884860132.db2.gz XOPKDARZXUSBTM-HNNXBMFYSA-N 1 2 301.390 1.186 20 30 DDEDLO CC(C)OCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231054682 885168906 /nfs/dbraw/zinc/16/89/06/885168906.db2.gz XFVYPIWGUKKBIX-SFHVURJKSA-N 1 2 314.429 1.996 20 30 DDEDLO CC(C)OCC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231054682 885168920 /nfs/dbraw/zinc/16/89/20/885168920.db2.gz XFVYPIWGUKKBIX-SFHVURJKSA-N 1 2 314.429 1.996 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1C ZINC001231094621 885215596 /nfs/dbraw/zinc/21/55/96/885215596.db2.gz IAGUMHMGHSISMW-GOEBONIOSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1C ZINC001231094621 885215612 /nfs/dbraw/zinc/21/56/12/885215612.db2.gz IAGUMHMGHSISMW-GOEBONIOSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NC1CCCC1 ZINC001231121299 885250854 /nfs/dbraw/zinc/25/08/54/885250854.db2.gz BJWVDAYPTCGDAN-GDBMZVCRSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CCCC1 ZINC001231121299 885250869 /nfs/dbraw/zinc/25/08/69/885250869.db2.gz BJWVDAYPTCGDAN-GDBMZVCRSA-N 1 2 321.465 1.933 20 30 DDEDLO CC(C)N(C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N)C(C)C ZINC001231246576 885426837 /nfs/dbraw/zinc/42/68/37/885426837.db2.gz HECOGQKHLZMOGL-GJZGRUSLSA-N 1 2 322.453 1.324 20 30 DDEDLO CC(C)N(C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N)C(C)C ZINC001231246576 885426840 /nfs/dbraw/zinc/42/68/40/885426840.db2.gz HECOGQKHLZMOGL-GJZGRUSLSA-N 1 2 322.453 1.324 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(CO)ccc1F ZINC001231293233 885473768 /nfs/dbraw/zinc/47/37/68/885473768.db2.gz KNSGHVFGZVBFRL-IUODEOHRSA-N 1 2 319.380 1.510 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(CO)ccc1F ZINC001231293233 885473775 /nfs/dbraw/zinc/47/37/75/885473775.db2.gz KNSGHVFGZVBFRL-IUODEOHRSA-N 1 2 319.380 1.510 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C1C[NH+](Cc2cccc(C)c2)C1 ZINC001277594156 885984726 /nfs/dbraw/zinc/98/47/26/885984726.db2.gz TXWUNHPRCQXOFW-HNNXBMFYSA-N 1 2 300.402 1.676 20 30 DDEDLO N#Cc1ccc(O)c(C[NH+]2CCN(Cc3ccncc3)CC2)c1 ZINC001232679987 886534365 /nfs/dbraw/zinc/53/43/65/886534365.db2.gz WHZSOBVMFUZLMT-UHFFFAOYSA-N 1 2 308.385 1.977 20 30 DDEDLO CC(C)(C)OC(=O)NCC1C[NH+](Cc2cccc(C#N)n2)C1 ZINC001232922897 886672905 /nfs/dbraw/zinc/67/29/05/886672905.db2.gz NGSSOIIKILNEFC-UHFFFAOYSA-N 1 2 302.378 1.910 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@H](NC(=O)c3ccncc3)C2)n1 ZINC001232927229 886678082 /nfs/dbraw/zinc/67/80/82/886678082.db2.gz UYPGPPCZMHRXEZ-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccncc3)C2)n1 ZINC001232927229 886678087 /nfs/dbraw/zinc/67/80/87/886678087.db2.gz UYPGPPCZMHRXEZ-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO CCOC(=O)C[N@H+](CC)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC001363779024 887198203 /nfs/dbraw/zinc/19/82/03/887198203.db2.gz ORFCBKIBSXPCIZ-OAHLLOKOSA-N 1 2 320.389 1.377 20 30 DDEDLO CCOC(=O)C[N@@H+](CC)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC001363779024 887198214 /nfs/dbraw/zinc/19/82/14/887198214.db2.gz ORFCBKIBSXPCIZ-OAHLLOKOSA-N 1 2 320.389 1.377 20 30 DDEDLO C[C@H](C(=O)N1CCc2c(C#N)c(N)sc2C1)n1cc[nH+]c1 ZINC001363814356 887292736 /nfs/dbraw/zinc/29/27/36/887292736.db2.gz RPKRKLLDTQKTSB-SECBINFHSA-N 1 2 301.375 1.544 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[C@H]1C[NH2+]Cc1cnsn1 ZINC001277814188 887406031 /nfs/dbraw/zinc/40/60/31/887406031.db2.gz NIWBVBMEUBPBOH-JSGCOSHPSA-N 1 2 324.450 1.600 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@H](C)C1CC1 ZINC001233948432 887490572 /nfs/dbraw/zinc/49/05/72/887490572.db2.gz VSJNBUMFHXZXJQ-ZBFHGGJFSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@H](C)C1CC1 ZINC001233948432 887490580 /nfs/dbraw/zinc/49/05/80/887490580.db2.gz VSJNBUMFHXZXJQ-ZBFHGGJFSA-N 1 2 319.449 1.237 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001233976314 887514866 /nfs/dbraw/zinc/51/48/66/887514866.db2.gz DUBRANHJDITDDK-ZBFHGGJFSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001233976314 887514875 /nfs/dbraw/zinc/51/48/75/887514875.db2.gz DUBRANHJDITDDK-ZBFHGGJFSA-N 1 2 307.394 1.343 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001234121273 887666513 /nfs/dbraw/zinc/66/65/13/887666513.db2.gz YJWLUIIYTVINKG-MRXNPFEDSA-N 1 2 319.449 1.191 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001234121273 887666517 /nfs/dbraw/zinc/66/65/17/887666517.db2.gz YJWLUIIYTVINKG-MRXNPFEDSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ocnc1C ZINC001234246585 887788815 /nfs/dbraw/zinc/78/88/15/887788815.db2.gz QMMRFHJGWYVWTF-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ocnc1C ZINC001234246585 887788829 /nfs/dbraw/zinc/78/88/29/887788829.db2.gz QMMRFHJGWYVWTF-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234426745 887960689 /nfs/dbraw/zinc/96/06/89/887960689.db2.gz YEOMYMRWYDJTGP-WDEREUQCSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234426745 887960695 /nfs/dbraw/zinc/96/06/95/887960695.db2.gz YEOMYMRWYDJTGP-WDEREUQCSA-N 1 2 312.307 1.622 20 30 DDEDLO COC(=O)c1c(OC)cccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001234600852 888130063 /nfs/dbraw/zinc/13/00/63/888130063.db2.gz FTTTYCVGYQHYQG-JSHWIKAXSA-N 1 2 319.357 1.473 20 30 DDEDLO COC(=O)c1c(OC)cccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001234600852 888130075 /nfs/dbraw/zinc/13/00/75/888130075.db2.gz FTTTYCVGYQHYQG-JSHWIKAXSA-N 1 2 319.357 1.473 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@H](C)CC ZINC001234603479 888134260 /nfs/dbraw/zinc/13/42/60/888134260.db2.gz CYVOCKLYXLRODQ-HUUCEWRRSA-N 1 2 309.454 1.790 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@H](C)CC ZINC001234603479 888134267 /nfs/dbraw/zinc/13/42/67/888134267.db2.gz CYVOCKLYXLRODQ-HUUCEWRRSA-N 1 2 309.454 1.790 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C)[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001364334476 888406615 /nfs/dbraw/zinc/40/66/15/888406615.db2.gz UIFXKFALGNLTNV-CWRNSKLLSA-N 1 2 317.389 1.460 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C)[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001364334476 888406618 /nfs/dbraw/zinc/40/66/18/888406618.db2.gz UIFXKFALGNLTNV-CWRNSKLLSA-N 1 2 317.389 1.460 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@@H](c3ncccn3)C2)c1 ZINC001364503164 888785711 /nfs/dbraw/zinc/78/57/11/888785711.db2.gz IUQROKWJSQUECK-CQSZACIVSA-N 1 2 307.357 1.776 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CC[C@@H](c3ncccn3)C2)c1 ZINC001364503164 888785722 /nfs/dbraw/zinc/78/57/22/888785722.db2.gz IUQROKWJSQUECK-CQSZACIVSA-N 1 2 307.357 1.776 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCC12CC2 ZINC001235795391 888953836 /nfs/dbraw/zinc/95/38/36/888953836.db2.gz HXVOHCPQXXJHCH-CVEARBPZSA-N 1 2 304.434 1.749 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCC12CC2 ZINC001235795391 888953843 /nfs/dbraw/zinc/95/38/43/888953843.db2.gz HXVOHCPQXXJHCH-CVEARBPZSA-N 1 2 304.434 1.749 20 30 DDEDLO N#CCC1(CS(=O)(=O)NCCc2cn3c([nH+]2)CCCC3)CC1 ZINC001364750538 889333893 /nfs/dbraw/zinc/33/38/93/889333893.db2.gz SEKHLXRDUYPTJI-UHFFFAOYSA-N 1 2 322.434 1.375 20 30 DDEDLO CCn1cc2c(n1)C[N@@H+](Cc1ccnc(C#N)c1)C[C@@H]2COC ZINC001237464832 889649344 /nfs/dbraw/zinc/64/93/44/889649344.db2.gz VCXZVENMJQXBDD-CQSZACIVSA-N 1 2 311.389 1.915 20 30 DDEDLO CCn1cc2c(n1)C[N@H+](Cc1ccnc(C#N)c1)C[C@@H]2COC ZINC001237464832 889649355 /nfs/dbraw/zinc/64/93/55/889649355.db2.gz VCXZVENMJQXBDD-CQSZACIVSA-N 1 2 311.389 1.915 20 30 DDEDLO CNC(=O)NC1CC[NH+](Cc2cc(C#N)c(F)cc2F)CC1 ZINC001237539403 889686246 /nfs/dbraw/zinc/68/62/46/889686246.db2.gz ZNKJFEHSAUEZPD-UHFFFAOYSA-N 1 2 308.332 1.730 20 30 DDEDLO C[N@H+](CC(=O)N1CCOCC1)Cc1ccc(Cl)c(C#N)c1 ZINC001238191999 890128891 /nfs/dbraw/zinc/12/88/91/890128891.db2.gz AUMWYPOOGYOWPN-UHFFFAOYSA-N 1 2 307.781 1.502 20 30 DDEDLO C[N@@H+](CC(=O)N1CCOCC1)Cc1ccc(Cl)c(C#N)c1 ZINC001238191999 890128895 /nfs/dbraw/zinc/12/88/95/890128895.db2.gz AUMWYPOOGYOWPN-UHFFFAOYSA-N 1 2 307.781 1.502 20 30 DDEDLO CC(C)[N@H+](Cc1cn(CC(N)=O)nn1)Cc1ccc(C#N)cc1 ZINC001414122678 891192737 /nfs/dbraw/zinc/19/27/37/891192737.db2.gz WDGHQWONJYEELD-UHFFFAOYSA-N 1 2 312.377 1.046 20 30 DDEDLO CC(C)[N@@H+](Cc1cn(CC(N)=O)nn1)Cc1ccc(C#N)cc1 ZINC001414122678 891192747 /nfs/dbraw/zinc/19/27/47/891192747.db2.gz WDGHQWONJYEELD-UHFFFAOYSA-N 1 2 312.377 1.046 20 30 DDEDLO N#Cc1cncc(-c2ccc(OCC[NH+]3CCOCC3)nc2)c1 ZINC001242275900 891382233 /nfs/dbraw/zinc/38/22/33/891382233.db2.gz GCASTTVTIYXRPA-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO CC(C)C[C@H](C)N(C)C(=O)C[N@H+](C)CCNC(=O)[C@H](C)C#N ZINC001366520602 893844269 /nfs/dbraw/zinc/84/42/69/893844269.db2.gz QNXRLFDGGOIONK-KGLIPLIRSA-N 1 2 310.442 1.087 20 30 DDEDLO CC(C)C[C@H](C)N(C)C(=O)C[N@@H+](C)CCNC(=O)[C@H](C)C#N ZINC001366520602 893844286 /nfs/dbraw/zinc/84/42/86/893844286.db2.gz QNXRLFDGGOIONK-KGLIPLIRSA-N 1 2 310.442 1.087 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H](CC)OC ZINC001366649103 894434502 /nfs/dbraw/zinc/43/45/02/894434502.db2.gz KRQVVKARBSCYLC-VXGBXAGGSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H](CC)OC ZINC001366649103 894434507 /nfs/dbraw/zinc/43/45/07/894434507.db2.gz KRQVVKARBSCYLC-VXGBXAGGSA-N 1 2 319.243 1.901 20 30 DDEDLO C#CCOC[C@H](O)CNc1ccc([NH+]2CCC(O)CC2)cc1 ZINC001251863402 894856228 /nfs/dbraw/zinc/85/62/28/894856228.db2.gz CQOVZVLDXDJQPQ-QGZVFWFLSA-N 1 2 304.390 1.070 20 30 DDEDLO C=CCOC[C@H](O)C[N@H+](Cc1cc[nH]n1)Cc1ccccc1 ZINC001252474328 895192930 /nfs/dbraw/zinc/19/29/30/895192930.db2.gz YWTFFOQADVHPLV-QGZVFWFLSA-N 1 2 301.390 1.975 20 30 DDEDLO C=CCOC[C@H](O)C[N@@H+](Cc1cc[nH]n1)Cc1ccccc1 ZINC001252474328 895192935 /nfs/dbraw/zinc/19/29/35/895192935.db2.gz YWTFFOQADVHPLV-QGZVFWFLSA-N 1 2 301.390 1.975 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1C[C@H](O)CCC ZINC001252779955 895447089 /nfs/dbraw/zinc/44/70/89/895447089.db2.gz KWPCKGISLQDBGM-UKRRQHHQSA-N 1 2 308.426 1.561 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1C[C@H](O)CCC ZINC001252779955 895447091 /nfs/dbraw/zinc/44/70/91/895447091.db2.gz KWPCKGISLQDBGM-UKRRQHHQSA-N 1 2 308.426 1.561 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H](CC)NC(C)=O)C1 ZINC001367060762 895713804 /nfs/dbraw/zinc/71/38/04/895713804.db2.gz XNCOSJHTKVCRAZ-STQMWFEESA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H](CC)NC(C)=O)C1 ZINC001367060762 895713812 /nfs/dbraw/zinc/71/38/12/895713812.db2.gz XNCOSJHTKVCRAZ-STQMWFEESA-N 1 2 301.818 1.186 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCC[N@@H+]([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001254339832 896375776 /nfs/dbraw/zinc/37/57/76/896375776.db2.gz UITAENUYMJJESM-GOEBONIOSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCC[N@H+]([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001254339832 896375794 /nfs/dbraw/zinc/37/57/94/896375794.db2.gz UITAENUYMJJESM-GOEBONIOSA-N 1 2 320.414 1.589 20 30 DDEDLO CC(C)CCc1noc(C[NH2+]C[C@H](C)N(C)C(=O)[C@@H](C)C#N)n1 ZINC001367350982 896524377 /nfs/dbraw/zinc/52/43/77/896524377.db2.gz CMSAJNVEYAVYAS-STQMWFEESA-N 1 2 321.425 1.754 20 30 DDEDLO CC(=O)N(C)Cc1nnc2n1CCC[N@H+]([C@H]1CC[C@@H](C#N)C1)C2 ZINC001254665220 896564441 /nfs/dbraw/zinc/56/44/41/896564441.db2.gz ZPBXGUWCPDUDDY-KGLIPLIRSA-N 1 2 316.409 1.154 20 30 DDEDLO CC(=O)N(C)Cc1nnc2n1CCC[N@@H+]([C@H]1CC[C@@H](C#N)C1)C2 ZINC001254665220 896564447 /nfs/dbraw/zinc/56/44/47/896564447.db2.gz ZPBXGUWCPDUDDY-KGLIPLIRSA-N 1 2 316.409 1.154 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ncn3cc(Cl)ccc23)CC1 ZINC001258297808 898132681 /nfs/dbraw/zinc/13/26/81/898132681.db2.gz SXCDWDOZTUGTPD-UHFFFAOYSA-N 1 2 304.781 1.932 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1[C@H]1CCC(=O)N(Cc2ccccc2)CC1 ZINC001258581489 898254371 /nfs/dbraw/zinc/25/43/71/898254371.db2.gz OSZNCYXZBBEQIT-IRXDYDNUSA-N 1 2 312.417 1.365 20 30 DDEDLO C=C[C@@H](CC(=O)N1CC[NH2+]C[C@@H]1C(=O)OCC)c1ccccc1 ZINC001261501470 899607983 /nfs/dbraw/zinc/60/79/83/899607983.db2.gz BXSIZMRVSFDXAU-GOEBONIOSA-N 1 2 316.401 1.710 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)N2CCC(n3cc[nH+]c3)CC2)C1 ZINC001261805830 899770556 /nfs/dbraw/zinc/77/05/56/899770556.db2.gz URGNPOKZQKLYNJ-CQSZACIVSA-N 1 2 316.405 1.471 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](CF)c1ccc(F)cc1 ZINC001262036563 899905859 /nfs/dbraw/zinc/90/58/59/899905859.db2.gz SKSLELNGBHJKHZ-QWHCGFSZSA-N 1 2 312.316 1.399 20 30 DDEDLO C=CCC[C@@H]1CCCN1C(=O)N[C@H](C)[C@H]1CN(C)CC[N@@H+]1C ZINC001263778580 900705638 /nfs/dbraw/zinc/70/56/38/900705638.db2.gz NNSSQMYMMNGROB-BZUAXINKSA-N 1 2 308.470 1.761 20 30 DDEDLO C=CCC[C@@H]1CCCN1C(=O)N[C@H](C)[C@H]1CN(C)CC[N@H+]1C ZINC001263778580 900705645 /nfs/dbraw/zinc/70/56/45/900705645.db2.gz NNSSQMYMMNGROB-BZUAXINKSA-N 1 2 308.470 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)CC(N)=O)C1 ZINC001263883814 900797294 /nfs/dbraw/zinc/79/72/94/900797294.db2.gz WFJBKIQZVWPAEX-UONOGXRCSA-N 1 2 321.808 1.199 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)CC(N)=O)C1 ZINC001263883814 900797298 /nfs/dbraw/zinc/79/72/98/900797298.db2.gz WFJBKIQZVWPAEX-UONOGXRCSA-N 1 2 321.808 1.199 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1(C)CCCC1 ZINC001264367252 901046904 /nfs/dbraw/zinc/04/69/04/901046904.db2.gz UYTOECQAWFNCIN-GJZGRUSLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1(C)CCCC1 ZINC001264367252 901046912 /nfs/dbraw/zinc/04/69/12/901046912.db2.gz UYTOECQAWFNCIN-GJZGRUSLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)C(C)(C)CC)C1=O ZINC001264370538 901050221 /nfs/dbraw/zinc/05/02/21/901050221.db2.gz FEEFFSKDXSSYMW-LSDHHAIUSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C(C)(C)CC)C1=O ZINC001264370538 901050232 /nfs/dbraw/zinc/05/02/32/901050232.db2.gz FEEFFSKDXSSYMW-LSDHHAIUSA-N 1 2 321.465 1.742 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC2CC2)C1 ZINC001265212818 901712561 /nfs/dbraw/zinc/71/25/61/901712561.db2.gz GIJWBRZCNJHLIR-OAHLLOKOSA-N 1 2 319.449 1.143 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001265212818 901712576 /nfs/dbraw/zinc/71/25/76/901712576.db2.gz GIJWBRZCNJHLIR-OAHLLOKOSA-N 1 2 319.449 1.143 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(OC)cs2)C1 ZINC001265220171 901731450 /nfs/dbraw/zinc/73/14/50/901731450.db2.gz HVZSDAZAUCMKSP-CYBMUJFWSA-N 1 2 322.430 1.601 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(OC)cs2)C1 ZINC001265220171 901731457 /nfs/dbraw/zinc/73/14/57/901731457.db2.gz HVZSDAZAUCMKSP-CYBMUJFWSA-N 1 2 322.430 1.601 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)c2coc3ccccc23)CC1 ZINC001265266513 901788910 /nfs/dbraw/zinc/78/89/10/901788910.db2.gz PSTVKQICQVDALD-UHFFFAOYSA-N 1 2 312.373 1.304 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265299541 901849730 /nfs/dbraw/zinc/84/97/30/901849730.db2.gz QLGJACHPPXOFKX-GJZGRUSLSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265299541 901849735 /nfs/dbraw/zinc/84/97/35/901849735.db2.gz QLGJACHPPXOFKX-GJZGRUSLSA-N 1 2 323.481 1.942 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)SC ZINC001265405416 901979777 /nfs/dbraw/zinc/97/97/77/901979777.db2.gz YNNNCDICCDMFSB-KFWWJZLASA-N 1 2 310.463 1.453 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)SC ZINC001265405416 901979786 /nfs/dbraw/zinc/97/97/86/901979786.db2.gz YNNNCDICCDMFSB-KFWWJZLASA-N 1 2 310.463 1.453 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)OCCOCC ZINC001265415967 901991360 /nfs/dbraw/zinc/99/13/60/901991360.db2.gz IVZMZGDDDJQQOD-OAGGEKHMSA-N 1 2 308.422 1.127 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)OCCOCC ZINC001265415967 901991371 /nfs/dbraw/zinc/99/13/71/901991371.db2.gz IVZMZGDDDJQQOD-OAGGEKHMSA-N 1 2 308.422 1.127 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001265429027 902008300 /nfs/dbraw/zinc/00/83/00/902008300.db2.gz KAGFYXSSKSWMQT-ZDUSSCGKSA-N 1 2 302.378 1.297 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)COC[C@H]2CCCO2)C1 ZINC001391633162 902196605 /nfs/dbraw/zinc/19/66/05/902196605.db2.gz KIEXMRNFNVOAGA-ZIAGYGMSSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)COC[C@H]2CCCO2)C1 ZINC001391633162 902196616 /nfs/dbraw/zinc/19/66/16/902196616.db2.gz KIEXMRNFNVOAGA-ZIAGYGMSSA-N 1 2 316.829 1.467 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001265704970 902317655 /nfs/dbraw/zinc/31/76/55/902317655.db2.gz CKEIGJRYVNRGGQ-ZIAGYGMSSA-N 1 2 319.453 1.744 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001265704970 902317670 /nfs/dbraw/zinc/31/76/70/902317670.db2.gz CKEIGJRYVNRGGQ-ZIAGYGMSSA-N 1 2 319.453 1.744 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001265704968 902318606 /nfs/dbraw/zinc/31/86/06/902318606.db2.gz CKEIGJRYVNRGGQ-KGLIPLIRSA-N 1 2 319.453 1.744 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001265704968 902318611 /nfs/dbraw/zinc/31/86/11/902318611.db2.gz CKEIGJRYVNRGGQ-KGLIPLIRSA-N 1 2 319.453 1.744 20 30 DDEDLO CC#CCCCC(=O)NCCC[N@H+](C)[C@@H](C)c1nnc(C)[nH]1 ZINC001265907014 902574224 /nfs/dbraw/zinc/57/42/24/902574224.db2.gz XDLFGKUJWRCIRC-ZDUSSCGKSA-N 1 2 305.426 1.806 20 30 DDEDLO CC#CCCCC(=O)NCCC[N@@H+](C)[C@@H](C)c1nnc(C)[nH]1 ZINC001265907014 902574230 /nfs/dbraw/zinc/57/42/30/902574230.db2.gz XDLFGKUJWRCIRC-ZDUSSCGKSA-N 1 2 305.426 1.806 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001266204675 903106419 /nfs/dbraw/zinc/10/64/19/903106419.db2.gz FPQYGCPZUCUCPV-STQMWFEESA-N 1 2 319.434 1.375 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001266204675 903106427 /nfs/dbraw/zinc/10/64/27/903106427.db2.gz FPQYGCPZUCUCPV-STQMWFEESA-N 1 2 319.434 1.375 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2CCCCCC2)C1 ZINC001266208887 903114883 /nfs/dbraw/zinc/11/48/83/903114883.db2.gz HBYQGDXQQSHEEM-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C2CCCCCC2)C1 ZINC001266208887 903114890 /nfs/dbraw/zinc/11/48/90/903114890.db2.gz HBYQGDXQQSHEEM-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2cscc2C)C1 ZINC001266212749 903120317 /nfs/dbraw/zinc/12/03/17/903120317.db2.gz QBXWCPNGGKIUIT-CYBMUJFWSA-N 1 2 321.446 1.553 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2cscc2C)C1 ZINC001266212749 903120328 /nfs/dbraw/zinc/12/03/28/903120328.db2.gz QBXWCPNGGKIUIT-CYBMUJFWSA-N 1 2 321.446 1.553 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)=C2CCCC2)C1 ZINC001266213016 903123138 /nfs/dbraw/zinc/12/31/38/903123138.db2.gz UAMHGZRAXWZVAG-MRXNPFEDSA-N 1 2 319.449 1.760 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)=C2CCCC2)C1 ZINC001266213016 903123143 /nfs/dbraw/zinc/12/31/43/903123143.db2.gz UAMHGZRAXWZVAG-MRXNPFEDSA-N 1 2 319.449 1.760 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001266218518 903133032 /nfs/dbraw/zinc/13/30/32/903133032.db2.gz RCWXGYPCJLJKSN-YJBOKZPZSA-N 1 2 317.433 1.063 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001266218518 903133042 /nfs/dbraw/zinc/13/30/42/903133042.db2.gz RCWXGYPCJLJKSN-YJBOKZPZSA-N 1 2 317.433 1.063 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CC[N@H+](C)CC(=O)NCCC(C)(C)C ZINC001392265370 903696950 /nfs/dbraw/zinc/69/69/50/903696950.db2.gz KTDPHKNRZFLFNV-CYBMUJFWSA-N 1 2 310.442 1.089 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CC[N@@H+](C)CC(=O)NCCC(C)(C)C ZINC001392265370 903696956 /nfs/dbraw/zinc/69/69/56/903696956.db2.gz KTDPHKNRZFLFNV-CYBMUJFWSA-N 1 2 310.442 1.089 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H](C)N(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001280525645 903763508 /nfs/dbraw/zinc/76/35/08/903763508.db2.gz RNGIZMMSRYRVRV-QWHCGFSZSA-N 1 2 306.410 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cc(OCC)n[nH]1 ZINC001392287393 903774691 /nfs/dbraw/zinc/77/46/91/903774691.db2.gz ZHMIFHUDSCBNPG-UHFFFAOYSA-N 1 2 300.790 1.565 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cc(OCC)n[nH]1 ZINC001392287393 903774707 /nfs/dbraw/zinc/77/47/07/903774707.db2.gz ZHMIFHUDSCBNPG-UHFFFAOYSA-N 1 2 300.790 1.565 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001293891190 914754744 /nfs/dbraw/zinc/75/47/44/914754744.db2.gz KUIGKCCVGCPGMH-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H](CNC(=O)CCn2cc[nH+]c2)C1 ZINC001280753437 903991888 /nfs/dbraw/zinc/99/18/88/903991888.db2.gz TWUCROGKXDIVOK-SHTZXODSSA-N 1 2 316.405 1.088 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1COC2(C[NH+]([C@@H](C)COC)C2)C1 ZINC001280944961 904224763 /nfs/dbraw/zinc/22/47/63/904224763.db2.gz ONXZJMPSJHSSTP-GOEBONIOSA-N 1 2 322.449 1.749 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@](C)(F)CCCC)CO2 ZINC001280945113 904225197 /nfs/dbraw/zinc/22/51/97/904225197.db2.gz QLOSHOHSXVAQCX-GOEBONIOSA-N 1 2 310.413 1.888 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001281153572 904486270 /nfs/dbraw/zinc/48/62/70/904486270.db2.gz MITCSUYYWBUNIN-DGCLKSJQSA-N 1 2 304.394 1.270 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001282379931 905730681 /nfs/dbraw/zinc/73/06/81/905730681.db2.gz NPOJQCBAWWUYPB-ILXRZTDVSA-N 1 2 318.421 1.591 20 30 DDEDLO C=CCOCC[NH+]1CC(CCO)(NC(=O)c2cccc(F)c2)C1 ZINC001282505403 905832972 /nfs/dbraw/zinc/83/29/72/905832972.db2.gz JPOIKGQMRKZFTJ-UHFFFAOYSA-N 1 2 322.380 1.195 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2c[nH]cc2C2CC2)C1 ZINC001282539960 905861700 /nfs/dbraw/zinc/86/17/00/905861700.db2.gz QQBDOCWJFQOMJZ-UHFFFAOYSA-N 1 2 301.390 1.082 20 30 DDEDLO CS[C@H](C)C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001371920533 905997467 /nfs/dbraw/zinc/99/74/67/905997467.db2.gz FQSHSTGKIAQVJA-LLVKDONJSA-N 1 2 309.410 1.997 20 30 DDEDLO CS[C@H](C)C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001371920533 905997477 /nfs/dbraw/zinc/99/74/77/905997477.db2.gz FQSHSTGKIAQVJA-LLVKDONJSA-N 1 2 309.410 1.997 20 30 DDEDLO C=C[C@H](C(=O)NCCNC(=O)Cc1[nH]cc[nH+]1)c1ccccc1 ZINC001283049293 906533986 /nfs/dbraw/zinc/53/39/86/906533986.db2.gz DBJHRIRKQSDAAD-AWEZNQCLSA-N 1 2 312.373 1.154 20 30 DDEDLO Cc1ccc(C[NH+]2CC(O)(CNC(=O)c3cc(C#N)c[nH]3)C2)cc1 ZINC001393306333 906698144 /nfs/dbraw/zinc/69/81/44/906698144.db2.gz DRVDNTGVQHXINR-UHFFFAOYSA-N 1 2 324.384 1.172 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001283462533 907392481 /nfs/dbraw/zinc/39/24/81/907392481.db2.gz RKBSBRRWORMEDX-HNNXBMFYSA-N 1 2 316.405 1.040 20 30 DDEDLO Cc1nnc(C[N@@H+](C)CCCN(C)C(=O)[C@H](C)C#N)n1C1CC1 ZINC001393613601 907484021 /nfs/dbraw/zinc/48/40/21/907484021.db2.gz QNUYRQAKKGZTTP-GFCCVEGCSA-N 1 2 318.425 1.361 20 30 DDEDLO Cc1nnc(C[N@H+](C)CCCN(C)C(=O)[C@H](C)C#N)n1C1CC1 ZINC001393613601 907484032 /nfs/dbraw/zinc/48/40/32/907484032.db2.gz QNUYRQAKKGZTTP-GFCCVEGCSA-N 1 2 318.425 1.361 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C[NH2+]Cc1nnn(C)n1)C1CC1 ZINC001283532366 907550117 /nfs/dbraw/zinc/55/01/17/907550117.db2.gz NEOMXCGINLTQAN-BBRMVZONSA-N 1 2 320.441 1.139 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCCN(C)C(=O)Cc1c[nH+]cn1C ZINC001283643911 907734479 /nfs/dbraw/zinc/73/44/79/907734479.db2.gz HIMCQAHRGRXSRI-KRWDZBQOSA-N 1 2 320.437 1.530 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001283864979 908093156 /nfs/dbraw/zinc/09/31/56/908093156.db2.gz WTXVQAGJRKKAID-UONOGXRCSA-N 1 2 318.421 1.764 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001283881080 908122793 /nfs/dbraw/zinc/12/27/93/908122793.db2.gz SYOHEORJUMGNCI-ZDUSSCGKSA-N 1 2 316.405 1.027 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C1 ZINC001394297764 909292057 /nfs/dbraw/zinc/29/20/57/909292057.db2.gz ZDJPVWJMVUTTSV-BXKDBHETSA-N 1 2 307.423 1.333 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C1 ZINC001394297764 909292068 /nfs/dbraw/zinc/29/20/68/909292068.db2.gz ZDJPVWJMVUTTSV-BXKDBHETSA-N 1 2 307.423 1.333 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCCN1C(=O)Cc1c[nH+]cn1C ZINC001284679904 909345490 /nfs/dbraw/zinc/34/54/90/909345490.db2.gz HFTFWFASFSFUTF-ZDUSSCGKSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1CNC(=O)Cc1[nH]c[nH+]c1C ZINC001284682377 909349921 /nfs/dbraw/zinc/34/99/21/909349921.db2.gz WRFLENXSNOPNIW-ZDUSSCGKSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]1CNC(=O)CCc1[nH+]ccn1C ZINC001284685824 909352980 /nfs/dbraw/zinc/35/29/80/909352980.db2.gz HFQGQIBXCKSFFV-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO C#CCCCCC(=O)N1CC(NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284909551 909712067 /nfs/dbraw/zinc/71/20/67/909712067.db2.gz CYLYEQISPVUGRV-ZDUSSCGKSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCCC(=O)N1CC(NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284909551 909712081 /nfs/dbraw/zinc/71/20/81/909712081.db2.gz CYLYEQISPVUGRV-ZDUSSCGKSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCCC(=O)N1CC(CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284975056 909861505 /nfs/dbraw/zinc/86/15/05/909861505.db2.gz XVFBLXFZKMHJFI-UHFFFAOYSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCCCC(=O)N1CC(CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284975056 909861516 /nfs/dbraw/zinc/86/15/16/909861516.db2.gz XVFBLXFZKMHJFI-UHFFFAOYSA-N 1 2 304.394 1.273 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@@H]23)nc1 ZINC001394686971 910282416 /nfs/dbraw/zinc/28/24/16/910282416.db2.gz WOSPOGCRKNZFSN-JJRVBVJISA-N 1 2 313.405 1.558 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@@H]23)nc1 ZINC001394686971 910282432 /nfs/dbraw/zinc/28/24/32/910282432.db2.gz WOSPOGCRKNZFSN-JJRVBVJISA-N 1 2 313.405 1.558 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001285773490 911185676 /nfs/dbraw/zinc/18/56/76/911185676.db2.gz QWEVAQSPDXFJMB-SUMWQHHRSA-N 1 2 320.437 1.576 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)[C@@H](C)CNC(=O)[C@@H](C)C#N)c(C)[nH+]1 ZINC001395156036 911481521 /nfs/dbraw/zinc/48/15/21/911481521.db2.gz CXRXPCVJZMBGHO-AAEUAGOBSA-N 1 2 316.405 1.743 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)C(C)(C)C1 ZINC001286233469 911862948 /nfs/dbraw/zinc/86/29/48/911862948.db2.gz RRSYOJRTTKHMFD-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2Cc2csc(C)c2)CC1 ZINC001286472413 911991541 /nfs/dbraw/zinc/99/15/41/911991541.db2.gz HNGSDDXDCVWQQN-UHFFFAOYSA-N 1 2 315.446 1.760 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001294714775 915302008 /nfs/dbraw/zinc/30/20/08/915302008.db2.gz DPQZOVIHCOBNSU-CHWSQXEVSA-N 1 2 316.405 1.513 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccccc2)[C@@H]1C ZINC001397232345 915505940 /nfs/dbraw/zinc/50/59/40/915505940.db2.gz AHLFDWDFFDGBAD-NFAWXSAZSA-N 1 2 314.389 1.364 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccccc2)[C@@H]1C ZINC001397232345 915505955 /nfs/dbraw/zinc/50/59/55/915505955.db2.gz AHLFDWDFFDGBAD-NFAWXSAZSA-N 1 2 314.389 1.364 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001295575519 915904590 /nfs/dbraw/zinc/90/45/90/915904590.db2.gz BIEYTLBEFVOZNW-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1cc(=O)[nH]c(CNC(=O)[C@@H]2C[C@H]2CC)n1 ZINC001375598749 916427567 /nfs/dbraw/zinc/42/75/67/916427567.db2.gz KYLMFQLJANEROH-ZYHUDNBSSA-N 1 2 324.812 1.687 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(CCNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001296619156 916506677 /nfs/dbraw/zinc/50/66/77/916506677.db2.gz UWOUGSSZIWYNGF-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC(NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001297080214 916715975 /nfs/dbraw/zinc/71/59/75/916715975.db2.gz ZAUTUIPUNFSNSE-UHFFFAOYSA-N 1 2 316.405 1.438 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC(NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001297080214 916715994 /nfs/dbraw/zinc/71/59/94/916715994.db2.gz ZAUTUIPUNFSNSE-UHFFFAOYSA-N 1 2 316.405 1.438 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCn2nc(C)cc2C)C1 ZINC001376141075 917787582 /nfs/dbraw/zinc/78/75/82/917787582.db2.gz CVCRYFFQKFILRH-CQSZACIVSA-N 1 2 310.829 1.833 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCn2nc(C)cc2C)C1 ZINC001376141075 917787591 /nfs/dbraw/zinc/78/75/91/917787591.db2.gz CVCRYFFQKFILRH-CQSZACIVSA-N 1 2 310.829 1.833 20 30 DDEDLO Cc1nnsc1C(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001377118534 920375478 /nfs/dbraw/zinc/37/54/78/920375478.db2.gz SWVYXAFOCDZXDM-UHFFFAOYSA-N 1 2 315.402 1.580 20 30 DDEDLO Cc1nnsc1C(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001377118534 920375493 /nfs/dbraw/zinc/37/54/93/920375493.db2.gz SWVYXAFOCDZXDM-UHFFFAOYSA-N 1 2 315.402 1.580 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cn(C)nc1C(F)(F)F ZINC001377229960 920711088 /nfs/dbraw/zinc/71/10/88/920711088.db2.gz SSTZPMLMIAEQHI-UHFFFAOYSA-N 1 2 324.734 1.853 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cn(C)nc1C(F)(F)F ZINC001377229960 920711102 /nfs/dbraw/zinc/71/11/02/920711102.db2.gz SSTZPMLMIAEQHI-UHFFFAOYSA-N 1 2 324.734 1.853 20 30 DDEDLO CCc1nnc([C@H](C)[NH2+]CCN(C)C(=O)c2ccc(C#N)[nH]2)o1 ZINC001377593888 922432058 /nfs/dbraw/zinc/43/20/58/922432058.db2.gz VLLIBTYRHCYNNX-JTQLQIEISA-N 1 2 316.365 1.255 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccccc2)CCN1S(=O)(=O)CCCC#N ZINC000076854974 185047144 /nfs/dbraw/zinc/04/71/44/185047144.db2.gz ACHONUIDWADARB-HNNXBMFYSA-N 1 2 321.446 1.826 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccccc2)CCN1S(=O)(=O)CCCC#N ZINC000076854974 185047145 /nfs/dbraw/zinc/04/71/45/185047145.db2.gz ACHONUIDWADARB-HNNXBMFYSA-N 1 2 321.446 1.826 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)c1 ZINC000614373856 361828749 /nfs/dbraw/zinc/82/87/49/361828749.db2.gz OGCOSLDGKCCYRF-CQSZACIVSA-N 1 2 308.341 1.668 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C[C@]2(CCOC2)O1 ZINC000332963464 529385834 /nfs/dbraw/zinc/38/58/34/529385834.db2.gz OQDQCTMJEIKFMR-PVAVHDDUSA-N 1 2 321.421 1.065 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C[C@]2(CCOC2)O1 ZINC000332963464 529385836 /nfs/dbraw/zinc/38/58/36/529385836.db2.gz OQDQCTMJEIKFMR-PVAVHDDUSA-N 1 2 321.421 1.065 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@](O)(C(F)F)C2)CCCCC1 ZINC000451442157 231097613 /nfs/dbraw/zinc/09/76/13/231097613.db2.gz UKOFSRUYYKQLQM-CQSZACIVSA-N 1 2 301.337 1.031 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@](O)(C(F)F)C2)CCCCC1 ZINC000451442157 231097617 /nfs/dbraw/zinc/09/76/17/231097617.db2.gz UKOFSRUYYKQLQM-CQSZACIVSA-N 1 2 301.337 1.031 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)N1CC[C@@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000451443437 231098240 /nfs/dbraw/zinc/09/82/40/231098240.db2.gz CTJROWPQHZPKKW-CSMYWGQOSA-N 1 2 321.421 1.458 20 30 DDEDLO CO[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@@H](C)C1 ZINC000318431041 231170226 /nfs/dbraw/zinc/17/02/26/231170226.db2.gz PWVYNPGUYKGHCP-ZFWWWQNUSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@@H](C)C1 ZINC000318431041 231170229 /nfs/dbraw/zinc/17/02/29/231170229.db2.gz PWVYNPGUYKGHCP-ZFWWWQNUSA-N 1 2 322.430 1.831 20 30 DDEDLO CC[C@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C)c1ccncc1 ZINC000329949137 529580524 /nfs/dbraw/zinc/58/05/24/529580524.db2.gz ZRIGLBGJXQCWKN-ZNMIVQPWSA-N 1 2 304.394 1.462 20 30 DDEDLO CC[C@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C)c1ccncc1 ZINC000329949137 529580528 /nfs/dbraw/zinc/58/05/28/529580528.db2.gz ZRIGLBGJXQCWKN-ZNMIVQPWSA-N 1 2 304.394 1.462 20 30 DDEDLO CCc1ccc(CNC(=O)C[N@@H+]2CC[C@@H](NC(=O)NC)C2)s1 ZINC000330963450 529647717 /nfs/dbraw/zinc/64/77/17/529647717.db2.gz ZIUCRUBBLAIIJW-LLVKDONJSA-N 1 2 324.450 1.134 20 30 DDEDLO CCc1ccc(CNC(=O)C[N@H+]2CC[C@@H](NC(=O)NC)C2)s1 ZINC000330963450 529647720 /nfs/dbraw/zinc/64/77/20/529647720.db2.gz ZIUCRUBBLAIIJW-LLVKDONJSA-N 1 2 324.450 1.134 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)N1CCC(N2CCCC2=O)CC1 ZINC000329116867 539301169 /nfs/dbraw/zinc/30/11/69/539301169.db2.gz NOVZNMBPAZRHKH-CYBMUJFWSA-N 1 2 319.409 1.272 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)[NH+]=C([O-])N1CCC(N2CCCC2=O)CC1 ZINC000329116867 539301170 /nfs/dbraw/zinc/30/11/70/539301170.db2.gz NOVZNMBPAZRHKH-CYBMUJFWSA-N 1 2 319.409 1.272 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)c2ccccc2-n2cccn2)C1 ZINC000329401351 539304112 /nfs/dbraw/zinc/30/41/12/539304112.db2.gz YYZGZDXTRCPZOA-CQSZACIVSA-N 1 2 313.405 1.423 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)c2ccccc2-n2cccn2)C1 ZINC000329401351 539304114 /nfs/dbraw/zinc/30/41/14/539304114.db2.gz YYZGZDXTRCPZOA-CQSZACIVSA-N 1 2 313.405 1.423 20 30 DDEDLO CCC(CC)[C@H](C(=O)N[C@H]1CCC[C@H]1C#N)[NH+]1CCOCC1 ZINC000331106685 282257498 /nfs/dbraw/zinc/25/74/98/282257498.db2.gz RCEPLHFPJPFIQI-HRCADAONSA-N 1 2 307.438 1.932 20 30 DDEDLO CCc1[nH+]c2ccccc2n1CC(=O)N(CC#N)CCOC ZINC000457179691 529748838 /nfs/dbraw/zinc/74/88/38/529748838.db2.gz FLXBSPBJRGDGPC-UHFFFAOYSA-N 1 2 300.362 1.597 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCC[C@H](OC(F)F)C1 ZINC000615795502 362443930 /nfs/dbraw/zinc/44/39/30/362443930.db2.gz IRLHXUOHKBDRCV-QWRGUYRKSA-N 1 2 301.337 1.641 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@H](OC(F)F)C1 ZINC000615795502 362443937 /nfs/dbraw/zinc/44/39/37/362443937.db2.gz IRLHXUOHKBDRCV-QWRGUYRKSA-N 1 2 301.337 1.641 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)c2cc(=O)[nH]c(C3CC3)c2)C1 ZINC000329946961 530070236 /nfs/dbraw/zinc/07/02/36/530070236.db2.gz YFBKFEWFXYQRAD-ZDUSSCGKSA-N 1 2 304.394 1.215 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)c2cc(=O)[nH]c(C3CC3)c2)C1 ZINC000329946961 530070237 /nfs/dbraw/zinc/07/02/37/530070237.db2.gz YFBKFEWFXYQRAD-ZDUSSCGKSA-N 1 2 304.394 1.215 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)c2cc(=O)[nH]c(C3CC3)c2)C1 ZINC000329946960 530071054 /nfs/dbraw/zinc/07/10/54/530071054.db2.gz YFBKFEWFXYQRAD-CYBMUJFWSA-N 1 2 304.394 1.215 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)c2cc(=O)[nH]c(C3CC3)c2)C1 ZINC000329946960 530071055 /nfs/dbraw/zinc/07/10/55/530071055.db2.gz YFBKFEWFXYQRAD-CYBMUJFWSA-N 1 2 304.394 1.215 20 30 DDEDLO CC(=O)N1CCC[N@H+](CC(=O)Nc2cccc(C#N)c2)CC1 ZINC000027564213 352220003 /nfs/dbraw/zinc/22/00/03/352220003.db2.gz DKWYMDAYEPXVMG-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO CC(=O)N1CCC[N@@H+](CC(=O)Nc2cccc(C#N)c2)CC1 ZINC000027564213 352220005 /nfs/dbraw/zinc/22/00/05/352220005.db2.gz DKWYMDAYEPXVMG-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@@H](C)c1ccc(C#N)cc1 ZINC000049231281 352553297 /nfs/dbraw/zinc/55/32/97/352553297.db2.gz AEQSWFZDIIFXJV-KGLIPLIRSA-N 1 2 316.405 1.639 20 30 DDEDLO Cc1cc([C@H]2CCC[N@@H+]2CC(=O)N(CCC#N)CCC#N)no1 ZINC000054985064 352710825 /nfs/dbraw/zinc/71/08/25/352710825.db2.gz HXLCEARZBIIWCR-OAHLLOKOSA-N 1 2 315.377 1.776 20 30 DDEDLO Cc1cc([C@H]2CCC[N@H+]2CC(=O)N(CCC#N)CCC#N)no1 ZINC000054985064 352710829 /nfs/dbraw/zinc/71/08/29/352710829.db2.gz HXLCEARZBIIWCR-OAHLLOKOSA-N 1 2 315.377 1.776 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000063589779 352916569 /nfs/dbraw/zinc/91/65/69/352916569.db2.gz QMFSXUAHTWSSCK-UHFFFAOYSA-N 1 2 308.403 1.025 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C/c1ccccc1 ZINC000060855738 352881084 /nfs/dbraw/zinc/88/10/84/352881084.db2.gz OMBZLOVJWYZOGR-BQYQJAHWSA-N 1 2 313.401 1.774 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@@H](O)C[N@H+](C)C[C@H](C)C#N ZINC000064796153 352944112 /nfs/dbraw/zinc/94/41/12/352944112.db2.gz WNVCSCVBQRSCEQ-DOMZBBRYSA-N 1 2 320.389 1.729 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@@H](O)C[N@@H+](C)C[C@H](C)C#N ZINC000064796153 352944115 /nfs/dbraw/zinc/94/41/15/352944115.db2.gz WNVCSCVBQRSCEQ-DOMZBBRYSA-N 1 2 320.389 1.729 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N(CCC#N)CCC#N)CC(C)C ZINC000065540403 352954428 /nfs/dbraw/zinc/95/44/28/352954428.db2.gz AHTSGGRVBLCXID-UHFFFAOYSA-N 1 2 322.409 1.163 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N(CCC#N)CCC#N)CC(C)C ZINC000065540403 352954430 /nfs/dbraw/zinc/95/44/30/352954430.db2.gz AHTSGGRVBLCXID-UHFFFAOYSA-N 1 2 322.409 1.163 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCc1ccc(NC(=O)OC)cc1 ZINC000174048176 198285199 /nfs/dbraw/zinc/28/51/99/198285199.db2.gz VTVHVUMYHHNQKJ-UHFFFAOYSA-N 1 2 313.357 1.050 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cn4ccccc4n3)CC2)c1 ZINC000073860724 353274809 /nfs/dbraw/zinc/27/48/09/353274809.db2.gz BFPBSRNQFOWTIK-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#CCNC(=O)C[NH+]1C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C1 ZINC000076182440 353403398 /nfs/dbraw/zinc/40/33/98/353403398.db2.gz OQDNDUUJMGGXAY-RNFRBKRXSA-N 1 2 303.206 1.299 20 30 DDEDLO N#CCNC(=O)C[NH+]1C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C1 ZINC000076182442 353403938 /nfs/dbraw/zinc/40/39/38/353403938.db2.gz OQDNDUUJMGGXAY-BQBZGAKWSA-N 1 2 303.206 1.299 20 30 DDEDLO CC[N@H+](CCC#N)C[C@H](O)COc1ccc(C(=O)OC)cc1 ZINC000081039686 353647962 /nfs/dbraw/zinc/64/79/62/353647962.db2.gz LUEYYGATPCWNOQ-AWEZNQCLSA-N 1 2 306.362 1.448 20 30 DDEDLO CC[N@@H+](CCC#N)C[C@H](O)COc1ccc(C(=O)OC)cc1 ZINC000081039686 353647966 /nfs/dbraw/zinc/64/79/66/353647966.db2.gz LUEYYGATPCWNOQ-AWEZNQCLSA-N 1 2 306.362 1.448 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000081733073 353690138 /nfs/dbraw/zinc/69/01/38/353690138.db2.gz KJGDLFLPMBFPRA-UHFFFAOYSA-N 1 2 311.267 1.586 20 30 DDEDLO C=CCSCCNC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000194634689 354294169 /nfs/dbraw/zinc/29/41/69/354294169.db2.gz APLPMDBIAAAUDI-UHFFFAOYSA-N 1 2 301.456 1.316 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+](CC(N)=O)C2CCCC2)cc1 ZINC000314888526 354489070 /nfs/dbraw/zinc/48/90/70/354489070.db2.gz AICISIYIUDTZHV-UHFFFAOYSA-N 1 2 300.362 1.227 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+](CC(N)=O)C2CCCC2)cc1 ZINC000314888526 354489074 /nfs/dbraw/zinc/48/90/74/354489074.db2.gz AICISIYIUDTZHV-UHFFFAOYSA-N 1 2 300.362 1.227 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000578621077 354710549 /nfs/dbraw/zinc/71/05/49/354710549.db2.gz JDMPMGZKBKWUMT-GJZGRUSLSA-N 1 2 316.405 1.504 20 30 DDEDLO C=CCCn1cc(C(=O)N2CC[NH2+][C@@H](c3ccncc3)C2)nn1 ZINC000579223663 354716831 /nfs/dbraw/zinc/71/68/31/354716831.db2.gz KEGQOPQMKULMCP-CQSZACIVSA-N 1 2 312.377 1.036 20 30 DDEDLO Cc1[nH]c(=O)c(C#N)c(C)c1CCC(=O)NCc1c[nH+]cn1C ZINC000589466210 354993410 /nfs/dbraw/zinc/99/34/10/354993410.db2.gz INMHDDKTLJJOBO-UHFFFAOYSA-N 1 2 313.361 1.258 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H](N2CCn3c[nH+]cc3C2)C1=O ZINC000590599056 355126177 /nfs/dbraw/zinc/12/61/77/355126177.db2.gz FULNVTFJOASXIY-CYBMUJFWSA-N 1 2 313.386 1.437 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](Cc2cc(=O)[nH]c(C3CC3)n2)CC1 ZINC000590954561 355221800 /nfs/dbraw/zinc/22/18/00/355221800.db2.gz NJZWLWLEGCXOMF-HNNXBMFYSA-N 1 2 315.421 1.725 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCN([C@H](C#N)C(C)C)CC2)nc1 ZINC000591020149 355233142 /nfs/dbraw/zinc/23/31/42/355233142.db2.gz FUXTVBAUXOOIPW-MRXNPFEDSA-N 1 2 316.405 1.534 20 30 DDEDLO N#Cc1cnccc1C[N@H+]1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000592080567 355488663 /nfs/dbraw/zinc/48/86/63/355488663.db2.gz YJCWZRBZWABJDU-CQSZACIVSA-N 1 2 324.344 1.943 20 30 DDEDLO N#Cc1cnccc1C[N@@H+]1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000592080567 355488665 /nfs/dbraw/zinc/48/86/65/355488665.db2.gz YJCWZRBZWABJDU-CQSZACIVSA-N 1 2 324.344 1.943 20 30 DDEDLO COC(=O)[C@H]1C[C@H](O)C[N@H+](CC#Cc2ccccc2Cl)C1 ZINC000592197235 355533433 /nfs/dbraw/zinc/53/34/33/355533433.db2.gz OLPKRZWDOGJBJR-KBPBESRZSA-N 1 2 307.777 1.547 20 30 DDEDLO COC(=O)[C@H]1C[C@H](O)C[N@@H+](CC#Cc2ccccc2Cl)C1 ZINC000592197235 355533434 /nfs/dbraw/zinc/53/34/34/355533434.db2.gz OLPKRZWDOGJBJR-KBPBESRZSA-N 1 2 307.777 1.547 20 30 DDEDLO Cc1ccc(F)cc1NC(=O)[C@@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592145933 355512034 /nfs/dbraw/zinc/51/20/34/355512034.db2.gz BLXYFXPVJOLEHV-WBMJQRKESA-N 1 2 305.353 1.812 20 30 DDEDLO Cc1ccc(F)cc1NC(=O)[C@@H](C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592145933 355512036 /nfs/dbraw/zinc/51/20/36/355512036.db2.gz BLXYFXPVJOLEHV-WBMJQRKESA-N 1 2 305.353 1.812 20 30 DDEDLO C[C@@H](C(=O)N1CCCc2ccccc21)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148272 355515159 /nfs/dbraw/zinc/51/51/59/355515159.db2.gz SYJXMNDWONYWCC-KSSFIOAISA-N 1 2 313.401 1.705 20 30 DDEDLO C[C@@H](C(=O)N1CCCc2ccccc21)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148272 355515160 /nfs/dbraw/zinc/51/51/60/355515160.db2.gz SYJXMNDWONYWCC-KSSFIOAISA-N 1 2 313.401 1.705 20 30 DDEDLO Cc1ccccc1C[C@H](C)NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592150752 355517565 /nfs/dbraw/zinc/51/75/65/355517565.db2.gz IMVQYXZXTGSOBS-MAUKXSAKSA-N 1 2 315.417 1.393 20 30 DDEDLO Cc1ccccc1C[C@H](C)NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592150752 355517567 /nfs/dbraw/zinc/51/75/67/355517567.db2.gz IMVQYXZXTGSOBS-MAUKXSAKSA-N 1 2 315.417 1.393 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnn(CC(F)(F)F)c1 ZINC000593154839 355813673 /nfs/dbraw/zinc/81/36/73/355813673.db2.gz LNXFLGRYXHHKGJ-LLVKDONJSA-N 1 2 303.288 1.019 20 30 DDEDLO N#Cc1cccc2c1CN(c1nc(N3CCOCC3)cc[nH+]1)C2 ZINC000593519496 355911411 /nfs/dbraw/zinc/91/14/11/355911411.db2.gz DPGSWYWHKPCIQK-UHFFFAOYSA-N 1 2 307.357 1.705 20 30 DDEDLO C[C@H]1C[C@@H](NS(=O)(=O)c2ccsc2C#N)c2[nH+]ccn21 ZINC000593607190 355941265 /nfs/dbraw/zinc/94/12/65/355941265.db2.gz GFPVIYOIJCSKNB-DTWKUNHWSA-N 1 2 308.388 1.801 20 30 DDEDLO CC[C@@H](C#N)N(C)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000594579725 356254638 /nfs/dbraw/zinc/25/46/38/356254638.db2.gz QSFBJWILJKKOCI-AWEZNQCLSA-N 1 2 318.402 1.854 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CN1C(=O)CC(C2CCCC2)CC1=O ZINC000594716017 356294217 /nfs/dbraw/zinc/29/42/17/356294217.db2.gz GNDDYODIRUMSOV-HNNXBMFYSA-N 1 2 321.421 1.148 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CN1C(=O)CC(C2CCCC2)CC1=O ZINC000594716017 356294221 /nfs/dbraw/zinc/29/42/21/356294221.db2.gz GNDDYODIRUMSOV-HNNXBMFYSA-N 1 2 321.421 1.148 20 30 DDEDLO CC(C)(C)OC(=O)CN1CC[C@H]([N@H+](CCC#N)CC2CC2)C1=O ZINC000595332607 356451470 /nfs/dbraw/zinc/45/14/70/356451470.db2.gz KWRMQOAPSXQEKE-AWEZNQCLSA-N 1 2 321.421 1.555 20 30 DDEDLO CC(C)(C)OC(=O)CN1CC[C@H]([N@@H+](CCC#N)CC2CC2)C1=O ZINC000595332607 356451474 /nfs/dbraw/zinc/45/14/74/356451474.db2.gz KWRMQOAPSXQEKE-AWEZNQCLSA-N 1 2 321.421 1.555 20 30 DDEDLO COc1cc(CN(CCC#N)CC[NH+]2CCOCC2)sn1 ZINC000595335061 356452886 /nfs/dbraw/zinc/45/28/86/356452886.db2.gz UUEWWOXJQKDFMQ-UHFFFAOYSA-N 1 2 310.423 1.200 20 30 DDEDLO N#Cc1cccc(CNC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC000595457585 356500455 /nfs/dbraw/zinc/50/04/55/356500455.db2.gz LNLARBUDOPANKW-INIZCTEOSA-N 1 2 314.389 1.174 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[NH+]1CCC(NC(N)=O)CC1 ZINC000081540725 192286621 /nfs/dbraw/zinc/28/66/21/192286621.db2.gz HXULMVJWDZIRLU-NSHDSACASA-N 1 2 315.377 1.018 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[NH+]1CCC(NC(N)=O)CC1 ZINC000081540728 192286711 /nfs/dbraw/zinc/28/67/11/192286711.db2.gz HXULMVJWDZIRLU-LLVKDONJSA-N 1 2 315.377 1.018 20 30 DDEDLO N#Cc1ccc(CCNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000595588918 356565772 /nfs/dbraw/zinc/56/57/72/356565772.db2.gz KHIUDUXRMBGAJM-OAHLLOKOSA-N 1 2 309.373 1.611 20 30 DDEDLO N#Cc1sccc1N1CC[NH+](CC(=O)N2CCCCC2)CC1 ZINC000595632221 356585380 /nfs/dbraw/zinc/58/53/80/356585380.db2.gz MPUTVKOYDXWAJQ-UHFFFAOYSA-N 1 2 318.446 1.754 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H](C)[C@H]1O ZINC000595744401 356632534 /nfs/dbraw/zinc/63/25/34/356632534.db2.gz XLQGOQCCVBEFCC-VIKVFOODSA-N 1 2 322.430 1.281 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H](C)[C@H]1O ZINC000595744401 356632539 /nfs/dbraw/zinc/63/25/39/356632539.db2.gz XLQGOQCCVBEFCC-VIKVFOODSA-N 1 2 322.430 1.281 20 30 DDEDLO COC(=O)[C@H]1C[C@@H]([N@H+](C)CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000595848762 356676394 /nfs/dbraw/zinc/67/63/94/356676394.db2.gz PVUVMSGIVKEWCX-TXEJJXNPSA-N 1 2 319.361 1.590 20 30 DDEDLO COC(=O)[C@H]1C[C@@H]([N@@H+](C)CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000595848762 356676396 /nfs/dbraw/zinc/67/63/96/356676396.db2.gz PVUVMSGIVKEWCX-TXEJJXNPSA-N 1 2 319.361 1.590 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000595913487 356702935 /nfs/dbraw/zinc/70/29/35/356702935.db2.gz DZVUKFDQUJHQCG-BFVZDQMLSA-N 1 2 319.352 1.131 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000595913487 356702938 /nfs/dbraw/zinc/70/29/38/356702938.db2.gz DZVUKFDQUJHQCG-BFVZDQMLSA-N 1 2 319.352 1.131 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](c2ncc(C(=O)OC)s2)C1 ZINC000595915531 356704324 /nfs/dbraw/zinc/70/43/24/356704324.db2.gz ROHXKYIZGTUTOW-LLVKDONJSA-N 1 2 323.418 1.411 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](c2ncc(C(=O)OC)s2)C1 ZINC000595915531 356704326 /nfs/dbraw/zinc/70/43/26/356704326.db2.gz ROHXKYIZGTUTOW-LLVKDONJSA-N 1 2 323.418 1.411 20 30 DDEDLO CCc1nc(C#N)cc(N2CCN(c3c[nH+]ccc3C)CC2)n1 ZINC000596219499 356819991 /nfs/dbraw/zinc/81/99/91/356819991.db2.gz BISPGHKXJCPFSP-UHFFFAOYSA-N 1 2 308.389 1.941 20 30 DDEDLO COC(=O)c1ccc(NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)c(C#N)n1 ZINC000596434032 356879705 /nfs/dbraw/zinc/87/97/05/356879705.db2.gz WRKLHCLBQSVXOY-VXGBXAGGSA-N 1 2 318.377 1.261 20 30 DDEDLO COC(=O)c1ccc(NCC[N@H+]2C[C@@H](C)OC[C@H]2C)c(C#N)n1 ZINC000596434032 356879707 /nfs/dbraw/zinc/87/97/07/356879707.db2.gz WRKLHCLBQSVXOY-VXGBXAGGSA-N 1 2 318.377 1.261 20 30 DDEDLO COC(=O)c1ccc(NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)c(C#N)n1 ZINC000596434031 356879838 /nfs/dbraw/zinc/87/98/38/356879838.db2.gz WRKLHCLBQSVXOY-RYUDHWBXSA-N 1 2 318.377 1.261 20 30 DDEDLO COC(=O)c1ccc(NCC[N@H+]2C[C@H](C)OC[C@@H]2C)c(C#N)n1 ZINC000596434031 356879840 /nfs/dbraw/zinc/87/98/40/356879840.db2.gz WRKLHCLBQSVXOY-RYUDHWBXSA-N 1 2 318.377 1.261 20 30 DDEDLO C[C@H](Cc1ccco1)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000597024432 357042702 /nfs/dbraw/zinc/04/27/02/357042702.db2.gz JXTWPNVQSKSBLG-OAHLLOKOSA-N 1 2 319.405 1.533 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)c1C#N ZINC000596901797 357010647 /nfs/dbraw/zinc/01/06/47/357010647.db2.gz PMQWRMQYWKWVHZ-VHSXEESVSA-N 1 2 323.422 1.554 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)c1C#N ZINC000596901797 357010650 /nfs/dbraw/zinc/01/06/50/357010650.db2.gz PMQWRMQYWKWVHZ-VHSXEESVSA-N 1 2 323.422 1.554 20 30 DDEDLO Cc1nsc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1C#N ZINC000596903735 357011593 /nfs/dbraw/zinc/01/15/93/357011593.db2.gz FAWNFVKLZGTMOC-LLVKDONJSA-N 1 2 321.406 1.262 20 30 DDEDLO N#CCc1cccc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC000596925094 357015757 /nfs/dbraw/zinc/01/57/57/357015757.db2.gz ARVYQGCCFBDMDJ-INIZCTEOSA-N 1 2 314.389 1.691 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000597219651 357098533 /nfs/dbraw/zinc/09/85/33/357098533.db2.gz FRVZURBXYVTGBA-QGZVFWFLSA-N 1 2 318.373 1.721 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000597219651 357098535 /nfs/dbraw/zinc/09/85/35/357098535.db2.gz FRVZURBXYVTGBA-QGZVFWFLSA-N 1 2 318.373 1.721 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)CC2(C#N)CCCCC2)CC1 ZINC000597319374 357133640 /nfs/dbraw/zinc/13/36/40/357133640.db2.gz NLOGBHQRQTVVHT-UHFFFAOYSA-N 1 2 320.437 1.259 20 30 DDEDLO N#CC1(CC(=O)NCC2([NH+]3CCOCC3)CC2)CCCCC1 ZINC000597569944 357232246 /nfs/dbraw/zinc/23/22/46/357232246.db2.gz BJLSABFQXNNXFT-UHFFFAOYSA-N 1 2 305.422 1.832 20 30 DDEDLO N#Cc1cc(C(=O)NCc2ccc[nH+]c2N2CCCC2)ccn1 ZINC000597944130 357394200 /nfs/dbraw/zinc/39/42/00/357394200.db2.gz RXIQTGGCFAMVNY-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO O=C(CNC(=O)C1CCCCC1)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000329742439 223022301 /nfs/dbraw/zinc/02/23/01/223022301.db2.gz PLVOBVCKPCQGDR-OAHLLOKOSA-N 1 2 323.437 1.456 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)C[C@H](O)COc2ccccc2C#N)n1 ZINC000599454907 357944213 /nfs/dbraw/zinc/94/42/13/357944213.db2.gz YAXFIZURAHVUEA-FZMZJTMJSA-N 1 2 316.361 1.682 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)C[C@H](O)COc2ccccc2C#N)n1 ZINC000599454907 357944218 /nfs/dbraw/zinc/94/42/18/357944218.db2.gz YAXFIZURAHVUEA-FZMZJTMJSA-N 1 2 316.361 1.682 20 30 DDEDLO Cc1cc(NC(=O)C[N@@H+]2CCc3c(CC#N)cccc3C2)n(C)n1 ZINC000599686682 358025375 /nfs/dbraw/zinc/02/53/75/358025375.db2.gz VDYDGOKYGHZJCB-UHFFFAOYSA-N 1 2 323.400 1.791 20 30 DDEDLO Cc1cc(NC(=O)C[N@H+]2CCc3c(CC#N)cccc3C2)n(C)n1 ZINC000599686682 358025377 /nfs/dbraw/zinc/02/53/77/358025377.db2.gz VDYDGOKYGHZJCB-UHFFFAOYSA-N 1 2 323.400 1.791 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000600418379 358215055 /nfs/dbraw/zinc/21/50/55/358215055.db2.gz CQDTZTHXGSXNSH-HNNXBMFYSA-N 1 2 301.390 1.548 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000600418379 358215058 /nfs/dbraw/zinc/21/50/58/358215058.db2.gz CQDTZTHXGSXNSH-HNNXBMFYSA-N 1 2 301.390 1.548 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)C[C@@H](CC#N)c1ccccc1 ZINC000600417832 358215312 /nfs/dbraw/zinc/21/53/12/358215312.db2.gz BRGZKPQEUTZMSW-HZPDHXFCSA-N 1 2 301.390 1.521 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)C[C@@H](CC#N)c1ccccc1 ZINC000600417832 358215316 /nfs/dbraw/zinc/21/53/16/358215316.db2.gz BRGZKPQEUTZMSW-HZPDHXFCSA-N 1 2 301.390 1.521 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)c1cc(-n2cc[nH+]c2)ccn1)C(=O)OC ZINC000601788694 358696395 /nfs/dbraw/zinc/69/63/95/358696395.db2.gz WSXPULTUYCEUPF-CQSZACIVSA-N 1 2 314.345 1.505 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCO[C@H](C(F)F)CC1 ZINC000601975625 358762174 /nfs/dbraw/zinc/76/21/74/358762174.db2.gz LTNIOFWOEIXQDX-SMDDNHRTSA-N 1 2 303.353 1.397 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCO[C@H](C(F)F)CC1 ZINC000601975625 358762176 /nfs/dbraw/zinc/76/21/76/358762176.db2.gz LTNIOFWOEIXQDX-SMDDNHRTSA-N 1 2 303.353 1.397 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCC[C@H]2C#N)c(C)[nH+]1 ZINC000602066415 358798449 /nfs/dbraw/zinc/79/84/49/358798449.db2.gz HJNOTGUKDIKVFC-GXTWGEPZSA-N 1 2 300.362 1.788 20 30 DDEDLO CC[N@@H+]1CCOC[C@@H]1C(=O)N(Cc1ccc(C#N)cc1)C1CC1 ZINC000602239271 358894796 /nfs/dbraw/zinc/89/47/96/358894796.db2.gz UEQAASNNLAIDIJ-QGZVFWFLSA-N 1 2 313.401 1.770 20 30 DDEDLO CC[N@H+]1CCOC[C@@H]1C(=O)N(Cc1ccc(C#N)cc1)C1CC1 ZINC000602239271 358894798 /nfs/dbraw/zinc/89/47/98/358894798.db2.gz UEQAASNNLAIDIJ-QGZVFWFLSA-N 1 2 313.401 1.770 20 30 DDEDLO Cc1ncc([C@@H](C)N(CCC#N)CC[NH+]2CCOCC2)c(C)n1 ZINC000602426202 358968791 /nfs/dbraw/zinc/96/87/91/358968791.db2.gz ZFTPEXBLBUBSES-OAHLLOKOSA-N 1 2 317.437 1.702 20 30 DDEDLO Cn1ccnc1[C@H](C1CC1)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000602617377 359075955 /nfs/dbraw/zinc/07/59/55/359075955.db2.gz OLAPAYMEFATTFH-KRWDZBQOSA-N 1 2 322.416 1.960 20 30 DDEDLO CCc1ccccc1NC(=O)CNC(=O)C[NH2+][C@@H](C)CC#N ZINC000602861657 359245618 /nfs/dbraw/zinc/24/56/18/359245618.db2.gz GYPPGHUJWJMYJZ-LBPRGKRZSA-N 1 2 302.378 1.195 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@@H](C)C(=O)N1CCN(c2ccccn2)CC1 ZINC000602867562 359251491 /nfs/dbraw/zinc/25/14/91/359251491.db2.gz DXZFEJMWAOUXKO-KGLIPLIRSA-N 1 2 301.394 1.010 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccnc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000603126728 359420171 /nfs/dbraw/zinc/42/01/71/359420171.db2.gz BBHWSAQLKDXKII-NEPJUHHUSA-N 1 2 303.366 1.184 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)Nc2cc(F)cc(C#N)c2)C1 ZINC000603069374 359387882 /nfs/dbraw/zinc/38/78/82/359387882.db2.gz BGSQLMCPGBGRAP-CQSZACIVSA-N 1 2 305.357 1.065 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)Nc2cc(F)cc(C#N)c2)C1 ZINC000603069374 359387887 /nfs/dbraw/zinc/38/78/87/359387887.db2.gz BGSQLMCPGBGRAP-CQSZACIVSA-N 1 2 305.357 1.065 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C[C@H](C)N1CC#N ZINC000603095556 359400408 /nfs/dbraw/zinc/40/04/08/359400408.db2.gz LDISTRHVASGFCD-OKILXGFUSA-N 1 2 324.388 1.326 20 30 DDEDLO N#Cc1cc(Cl)ccc1Cn1cc([C@H]2COCC[NH2+]2)nn1 ZINC000603244677 359510835 /nfs/dbraw/zinc/51/08/35/359510835.db2.gz WQDJCAHHPXPJFH-CQSZACIVSA-N 1 2 303.753 1.512 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@H](O)Cn3cc(CCC#N)nn3)c2cc1C ZINC000603263323 359525791 /nfs/dbraw/zinc/52/57/91/359525791.db2.gz GJOLKLMLOGQKJY-HNNXBMFYSA-N 1 2 324.388 1.762 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[NH+]1CCC(C)(CO)CC1 ZINC000186971014 200040997 /nfs/dbraw/zinc/04/09/97/200040997.db2.gz VDTJJYVOAXLKEC-OAHLLOKOSA-N 1 2 302.374 1.867 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000604557781 359768397 /nfs/dbraw/zinc/76/83/97/359768397.db2.gz UDERIFGAUDQSAU-UHFFFAOYSA-N 1 2 312.377 1.479 20 30 DDEDLO CNc1ccc(C#N)cc1NC(=O)[C@@H](C)[NH+]1CCSCC1 ZINC000281112028 216087419 /nfs/dbraw/zinc/08/74/19/216087419.db2.gz HDQYBFIICWUDGW-LLVKDONJSA-N 1 2 304.419 1.976 20 30 DDEDLO C=CCOc1cccc(C[N@H+]2CCC[C@H](O)[C@H]2C(=O)OC)c1 ZINC000188774710 200302464 /nfs/dbraw/zinc/30/24/64/200302464.db2.gz LEMGZAKTHJHYOI-HOTGVXAUSA-N 1 2 305.374 1.750 20 30 DDEDLO C=CCOc1cccc(C[N@@H+]2CCC[C@H](O)[C@H]2C(=O)OC)c1 ZINC000188774710 200302466 /nfs/dbraw/zinc/30/24/66/200302466.db2.gz LEMGZAKTHJHYOI-HOTGVXAUSA-N 1 2 305.374 1.750 20 30 DDEDLO C=CCN(C)C(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000624937299 366626491 /nfs/dbraw/zinc/62/64/91/366626491.db2.gz URVGUYFKIUTXOY-HNNXBMFYSA-N 1 2 304.394 1.110 20 30 DDEDLO C=CCN(C)C(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000624937299 366626495 /nfs/dbraw/zinc/62/64/95/366626495.db2.gz URVGUYFKIUTXOY-HNNXBMFYSA-N 1 2 304.394 1.110 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2c[nH]nc2C1)N1CCC[C@H]1Cn1cc[nH+]c1 ZINC000329861059 223039251 /nfs/dbraw/zinc/03/92/51/223039251.db2.gz PYMIHKQNCCJVJL-KGLIPLIRSA-N 1 2 314.393 1.542 20 30 DDEDLO O=C(N[C@@H]1CCc2c[nH]nc2C1)N1CCC[C@H]1Cn1cc[nH+]c1 ZINC000329861059 223039254 /nfs/dbraw/zinc/03/92/54/223039254.db2.gz PYMIHKQNCCJVJL-KGLIPLIRSA-N 1 2 314.393 1.542 20 30 DDEDLO C[C@H](C(=O)N(C)CC(=O)NC1CC1)[N@@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000329872392 223040793 /nfs/dbraw/zinc/04/07/93/223040793.db2.gz BJBRFONFSQORKP-JMSVASOKSA-N 1 2 323.437 1.452 20 30 DDEDLO C[C@H](C(=O)N(C)CC(=O)NC1CC1)[N@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000329872392 223040794 /nfs/dbraw/zinc/04/07/94/223040794.db2.gz BJBRFONFSQORKP-JMSVASOKSA-N 1 2 323.437 1.452 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2C=CCCC2)CC1 ZINC000189174893 200360607 /nfs/dbraw/zinc/36/06/07/200360607.db2.gz BCJVUUPIOOPILU-MRXNPFEDSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2C=CCCC2)CC1 ZINC000189174893 200360608 /nfs/dbraw/zinc/36/06/08/200360608.db2.gz BCJVUUPIOOPILU-MRXNPFEDSA-N 1 2 317.433 1.017 20 30 DDEDLO Cn1nccc1CC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000329891097 223043227 /nfs/dbraw/zinc/04/32/27/223043227.db2.gz HIQYZNHATDCTBD-HNNXBMFYSA-N 1 2 324.450 1.127 20 30 DDEDLO C[C@H](CC1CC1)NC(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000329965893 223055283 /nfs/dbraw/zinc/05/52/83/223055283.db2.gz RMVPVUJVSUQNSU-OCCSQVGLSA-N 1 2 305.426 1.811 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)N1CC[NH+](CC(=O)N(C)C)CC1 ZINC000609822847 360353215 /nfs/dbraw/zinc/35/32/15/360353215.db2.gz JKZACCBKAUAUMM-CQSZACIVSA-N 1 2 300.406 1.325 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609538236 360321357 /nfs/dbraw/zinc/32/13/57/360321357.db2.gz OXTLPGBSGHGPBK-IAGOWNOFSA-N 1 2 318.465 1.741 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)N2CCCCCC2)CC1 ZINC000609538520 360321373 /nfs/dbraw/zinc/32/13/73/360321373.db2.gz UBYVGSMOXJHHAG-MRXNPFEDSA-N 1 2 306.454 1.555 20 30 DDEDLO N#Cc1nc(C2CC2)oc1N1CC[NH+]([C@@H]2CCCOC2)CC1 ZINC000610569993 360472696 /nfs/dbraw/zinc/47/26/96/360472696.db2.gz MRSRNYRLOALGPV-CYBMUJFWSA-N 1 2 302.378 1.725 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)N[C@H]3CCCSC3)CC2)cn1 ZINC000330082330 223072633 /nfs/dbraw/zinc/07/26/33/223072633.db2.gz ASFJRCLWEKJPRH-AWEZNQCLSA-N 1 2 323.466 1.347 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000611204593 360658784 /nfs/dbraw/zinc/65/87/84/360658784.db2.gz ALQUCPJZGQJIDD-LBPRGKRZSA-N 1 2 320.418 1.135 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000611204593 360658788 /nfs/dbraw/zinc/65/87/88/360658788.db2.gz ALQUCPJZGQJIDD-LBPRGKRZSA-N 1 2 320.418 1.135 20 30 DDEDLO O=C(NCc1nc(C(F)(F)F)n[nH]1)[C@@H]1CCn2c[nH+]cc2C1 ZINC000330163580 223081269 /nfs/dbraw/zinc/08/12/69/223081269.db2.gz VFOGRABYEOVRBL-SSDOTTSWSA-N 1 2 314.271 1.739 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1C[C@H]1c1ccccc1 ZINC000330279976 223099211 /nfs/dbraw/zinc/09/92/11/223099211.db2.gz RVMORDRHZZSYKD-VNQPRFMTSA-N 1 2 303.406 1.767 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000612441520 361017458 /nfs/dbraw/zinc/01/74/58/361017458.db2.gz KSDDKIPDSPXIKW-CQSZACIVSA-N 1 2 300.362 1.421 20 30 DDEDLO Cc1nc(C)c(C(=O)NC(C)(C)C[NH+]2CCOCC2)nc1C ZINC000331346871 223204726 /nfs/dbraw/zinc/20/47/26/223204726.db2.gz MFLNAQMOZWYXQJ-UHFFFAOYSA-N 1 2 306.410 1.817 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(C(=O)NCC2(C(F)(F)F)CC2)CC1 ZINC000332122258 223211142 /nfs/dbraw/zinc/21/11/42/223211142.db2.gz HVPGLZNLHMFDCJ-UHFFFAOYSA-N 1 2 318.343 1.958 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[N@H+](C)CCO1 ZINC000613153913 361296049 /nfs/dbraw/zinc/29/60/49/361296049.db2.gz HTVPLHANYVRKID-SOUVJXGZSA-N 1 2 324.808 1.375 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[N@@H+](C)CCO1 ZINC000613153913 361296053 /nfs/dbraw/zinc/29/60/53/361296053.db2.gz HTVPLHANYVRKID-SOUVJXGZSA-N 1 2 324.808 1.375 20 30 DDEDLO COC[C@H](C(N)=O)[N@H+](C)CC#Cc1ccc(C(F)(F)F)cc1 ZINC000339357841 223268851 /nfs/dbraw/zinc/26/88/51/223268851.db2.gz MZABXQXVZSXOGK-CYBMUJFWSA-N 1 2 314.307 1.489 20 30 DDEDLO COC[C@H](C(N)=O)[N@@H+](C)CC#Cc1ccc(C(F)(F)F)cc1 ZINC000339357841 223268853 /nfs/dbraw/zinc/26/88/53/223268853.db2.gz MZABXQXVZSXOGK-CYBMUJFWSA-N 1 2 314.307 1.489 20 30 DDEDLO CCC[N@H+](CCC(=O)Nc1ccccc1C#N)CC(=O)OC ZINC000194648965 201269228 /nfs/dbraw/zinc/26/92/28/201269228.db2.gz TUIAYNALBHGLMV-UHFFFAOYSA-N 1 2 303.362 1.772 20 30 DDEDLO CCC[N@@H+](CCC(=O)Nc1ccccc1C#N)CC(=O)OC ZINC000194648965 201269231 /nfs/dbraw/zinc/26/92/31/201269231.db2.gz TUIAYNALBHGLMV-UHFFFAOYSA-N 1 2 303.362 1.772 20 30 DDEDLO C[C@]1(C#N)CCCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000619442788 364011192 /nfs/dbraw/zinc/01/11/92/364011192.db2.gz SLLNKVSWSKVHJQ-DOTOQJQBSA-N 1 2 305.422 1.782 20 30 DDEDLO C[C@]1(C#N)CCCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000619442788 364011196 /nfs/dbraw/zinc/01/11/96/364011196.db2.gz SLLNKVSWSKVHJQ-DOTOQJQBSA-N 1 2 305.422 1.782 20 30 DDEDLO COc1cc([N+](=O)[O-])ccc1C[NH+]1CCN(CCC#N)CC1 ZINC000619531779 364050033 /nfs/dbraw/zinc/05/00/33/364050033.db2.gz MDNAAMQFRWTFSK-UHFFFAOYSA-N 1 2 304.350 1.635 20 30 DDEDLO N#CC1(CNC(=O)N2CC[NH+](Cc3cccs3)CC2)CC1 ZINC000619533617 364051445 /nfs/dbraw/zinc/05/14/45/364051445.db2.gz JOWNNMQWLLJXSU-UHFFFAOYSA-N 1 2 304.419 1.879 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@H](c2nnc3n2CCCCC3)C1 ZINC000619716357 364126299 /nfs/dbraw/zinc/12/62/99/364126299.db2.gz MUMLPNBLTPPWRW-UONOGXRCSA-N 1 2 318.421 1.912 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@H](c2nnc3n2CCCCC3)C1 ZINC000619716357 364126302 /nfs/dbraw/zinc/12/63/02/364126302.db2.gz MUMLPNBLTPPWRW-UONOGXRCSA-N 1 2 318.421 1.912 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(c2nnc3ccccn32)CC1 ZINC000619717750 364129682 /nfs/dbraw/zinc/12/96/82/364129682.db2.gz HSULWRQOXSWCRY-ZDUSSCGKSA-N 1 2 300.362 1.636 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)c2ccc(Br)nc2)CC1 ZINC000091447945 193057055 /nfs/dbraw/zinc/05/70/55/193057055.db2.gz YTIQUSXWHZCMNR-UHFFFAOYSA-N 1 2 308.179 1.235 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCN3C(=O)NC[C@@H]3C2)cc1OC ZINC000091864841 193120323 /nfs/dbraw/zinc/12/03/23/193120323.db2.gz KJFYMTZCWLOCRC-CQSZACIVSA-N 1 2 317.389 1.469 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCN3C(=O)NC[C@@H]3C2)cc1OC ZINC000091864841 193120325 /nfs/dbraw/zinc/12/03/25/193120325.db2.gz KJFYMTZCWLOCRC-CQSZACIVSA-N 1 2 317.389 1.469 20 30 DDEDLO C=CC[C@H](C(=O)NCCC[N@@H+]1CCNC(=O)C1)c1ccccc1 ZINC000275322173 212271534 /nfs/dbraw/zinc/27/15/34/212271534.db2.gz LFUAIDJUXOMPMN-INIZCTEOSA-N 1 2 315.417 1.284 20 30 DDEDLO C=CC[C@H](C(=O)NCCC[N@H+]1CCNC(=O)C1)c1ccccc1 ZINC000275322173 212271536 /nfs/dbraw/zinc/27/15/36/212271536.db2.gz LFUAIDJUXOMPMN-INIZCTEOSA-N 1 2 315.417 1.284 20 30 DDEDLO Cc1cc[nH+]c(N2CCN(CC(=O)NC3(C#N)CCC3)CC2)c1 ZINC000347029414 223364667 /nfs/dbraw/zinc/36/46/67/223364667.db2.gz IHWSEMCSHZVZEN-UHFFFAOYSA-N 1 2 313.405 1.075 20 30 DDEDLO C[C@]1(n2cc(C[NH2+][C@@H]3CCC[C@H]3C#N)cn2)CCS(=O)(=O)C1 ZINC000350463775 223399924 /nfs/dbraw/zinc/39/99/24/223399924.db2.gz VXFIBVBQYDEAOW-ZNMIVQPWSA-N 1 2 322.434 1.199 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)NCC[NH+]1CCCCC1 ZINC000265231321 204470018 /nfs/dbraw/zinc/47/00/18/204470018.db2.gz VECUSKAORDFJER-UHFFFAOYSA-N 1 2 315.417 1.984 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(CC[C@H]3CCOC3=O)CC2)cc1 ZINC000268019147 206399607 /nfs/dbraw/zinc/39/96/07/206399607.db2.gz WJHOIRPSRKRIQW-KRWDZBQOSA-N 1 2 313.401 1.629 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCC[N@H+](C[C@H](O)c2ccc(C#N)cc2)C1 ZINC000274166430 211395329 /nfs/dbraw/zinc/39/53/29/211395329.db2.gz NAEBNBHEAACNIN-CABCVRRESA-N 1 2 308.403 1.101 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCC[N@@H+](C[C@H](O)c2ccc(C#N)cc2)C1 ZINC000274166430 211395332 /nfs/dbraw/zinc/39/53/32/211395332.db2.gz NAEBNBHEAACNIN-CABCVRRESA-N 1 2 308.403 1.101 20 30 DDEDLO C[C@H](NC(=O)Nc1ccc(C#N)cc1)[C@H](C)[NH+]1CCOCC1 ZINC000104774688 194037635 /nfs/dbraw/zinc/03/76/35/194037635.db2.gz PKGZUCXWPLSLNS-STQMWFEESA-N 1 2 302.378 1.789 20 30 DDEDLO CCC1(O)CC[NH+](CCS(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000338940734 250209122 /nfs/dbraw/zinc/20/91/22/250209122.db2.gz VJIKVOVCWUHSFV-UHFFFAOYSA-N 1 2 322.430 1.569 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCCC[C@H]1CC(N)=O ZINC000339141902 250311469 /nfs/dbraw/zinc/31/14/69/250311469.db2.gz UNQQZKOXYSENCK-NSHDSACASA-N 1 2 306.391 1.288 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCCC[C@H]1CC(N)=O ZINC000339141902 250311473 /nfs/dbraw/zinc/31/14/73/250311473.db2.gz UNQQZKOXYSENCK-NSHDSACASA-N 1 2 306.391 1.288 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)Cc1ccc(C#N)cc1 ZINC000059208569 184135891 /nfs/dbraw/zinc/13/58/91/184135891.db2.gz MGWHYNZMVHJCFA-UHFFFAOYSA-N 1 2 304.375 1.254 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@H](C)O[C@]2(CCO[C@H]2C)C1 ZINC000369290164 285040239 /nfs/dbraw/zinc/04/02/39/285040239.db2.gz JFBWTVDJMBTJPT-WBTNSWJXSA-N 1 2 323.437 1.263 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@H](C)O[C@]2(CCO[C@H]2C)C1 ZINC000369290164 285040241 /nfs/dbraw/zinc/04/02/41/285040241.db2.gz JFBWTVDJMBTJPT-WBTNSWJXSA-N 1 2 323.437 1.263 20 30 DDEDLO Cc1cc(NC[C@@H](C)[NH+]2CCN(C)CC2)c(C#N)cc1[N+](=O)[O-] ZINC000413118948 224137780 /nfs/dbraw/zinc/13/77/80/224137780.db2.gz VVLGTZQRKSCKDI-CYBMUJFWSA-N 1 2 317.393 1.823 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(c2nnc(C(F)F)o2)CC1 ZINC000330357714 533096527 /nfs/dbraw/zinc/09/65/27/533096527.db2.gz TWYOCRKCWCNYBH-QMMMGPOBSA-N 1 2 315.324 1.637 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2cccnn2)CC1 ZINC000120785426 195236973 /nfs/dbraw/zinc/23/69/73/195236973.db2.gz GKEJBKJIPJPFQL-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO COCc1ncsc1C(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000331360605 533290891 /nfs/dbraw/zinc/29/08/91/533290891.db2.gz YAGQELOKIDRIQL-UHFFFAOYSA-N 1 2 313.423 1.705 20 30 DDEDLO COC(=O)[C@H]1CCCN(C(=O)NCc2[nH+]ccn2CC(C)C)C1 ZINC000330969308 533380465 /nfs/dbraw/zinc/38/04/65/533380465.db2.gz FSTBILWJNJAAIE-ZDUSSCGKSA-N 1 2 322.409 1.838 20 30 DDEDLO CO[C@@H]1C[N@@H+]([C@H](C)C(=O)Nc2ccc(C#N)c(Cl)c2)C[C@H]1O ZINC000451754362 533481518 /nfs/dbraw/zinc/48/15/18/533481518.db2.gz CSFSZJHTBJCVES-OWYVNGRQSA-N 1 2 323.780 1.230 20 30 DDEDLO CO[C@@H]1C[N@H+]([C@H](C)C(=O)Nc2ccc(C#N)c(Cl)c2)C[C@H]1O ZINC000451754362 533481523 /nfs/dbraw/zinc/48/15/23/533481523.db2.gz CSFSZJHTBJCVES-OWYVNGRQSA-N 1 2 323.780 1.230 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)N[C@](C)(C#N)C2CC2)CC1 ZINC000341998238 292208058 /nfs/dbraw/zinc/20/80/58/292208058.db2.gz XHHALNBCKIHJNC-OAHLLOKOSA-N 1 2 304.394 1.047 20 30 DDEDLO COc1ccccc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000152079875 407559535 /nfs/dbraw/zinc/55/95/35/407559535.db2.gz XUQKEQMKVADLOK-UHFFFAOYSA-N 1 2 303.406 1.743 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C(C)(C)C ZINC000152105116 407562027 /nfs/dbraw/zinc/56/20/27/407562027.db2.gz WXBFSBJMHZHTOF-INIZCTEOSA-N 1 2 309.410 1.458 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C(C)(C)C ZINC000152105116 407562029 /nfs/dbraw/zinc/56/20/29/407562029.db2.gz WXBFSBJMHZHTOF-INIZCTEOSA-N 1 2 309.410 1.458 20 30 DDEDLO C=CCNC(=O)C[N@H+](CC(=O)Nc1ccc(F)cc1)C(C)C ZINC000071010979 406830207 /nfs/dbraw/zinc/83/02/07/406830207.db2.gz DDWCNSDBEMDDDP-UHFFFAOYSA-N 1 2 307.369 1.777 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CC(=O)Nc1ccc(F)cc1)C(C)C ZINC000071010979 406830208 /nfs/dbraw/zinc/83/02/08/406830208.db2.gz DDWCNSDBEMDDDP-UHFFFAOYSA-N 1 2 307.369 1.777 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+](CC(N)=O)C(C)C)c(C#N)c1C ZINC000078681831 407040939 /nfs/dbraw/zinc/04/09/39/407040939.db2.gz RZQPNGJUWJOSSU-UHFFFAOYSA-N 1 2 308.407 1.371 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+](CC(N)=O)C(C)C)c(C#N)c1C ZINC000078681831 407040941 /nfs/dbraw/zinc/04/09/41/407040941.db2.gz RZQPNGJUWJOSSU-UHFFFAOYSA-N 1 2 308.407 1.371 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+](CCC(F)(F)F)C[C@H](O)CO ZINC000084867408 407095643 /nfs/dbraw/zinc/09/56/43/407095643.db2.gz VVICHXMDSVTBNL-ZDUSSCGKSA-N 1 2 320.286 1.805 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+](CCC(F)(F)F)C[C@H](O)CO ZINC000084867408 407095645 /nfs/dbraw/zinc/09/56/45/407095645.db2.gz VVICHXMDSVTBNL-ZDUSSCGKSA-N 1 2 320.286 1.805 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000081013450 407073367 /nfs/dbraw/zinc/07/33/67/407073367.db2.gz QUMZKOMPCOCAJX-UHFFFAOYSA-N 1 2 309.373 1.691 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@H](O)c1ccccc1 ZINC000081515439 407077189 /nfs/dbraw/zinc/07/71/89/407077189.db2.gz WMTBHMGLEBHAFG-KRWDZBQOSA-N 1 2 303.406 1.268 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)N[C@@H]2CCCC[C@@H]2C)cs1 ZINC000054391031 407184165 /nfs/dbraw/zinc/18/41/65/407184165.db2.gz AKCYEPRFXINMAZ-GXFFZTMASA-N 1 2 324.450 1.978 20 30 DDEDLO CC1CCC(NC(=O)CN2CC[NH+](CCCC#N)CC2)CC1 ZINC000102930103 407328947 /nfs/dbraw/zinc/32/89/47/407328947.db2.gz SRQPJJYNTOAVKD-UHFFFAOYSA-N 1 2 306.454 1.603 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(-c3ccccc3)ccc2=O)CC1 ZINC000098051316 407305029 /nfs/dbraw/zinc/30/50/29/407305029.db2.gz ZJQMIQWBZAHARR-UHFFFAOYSA-N 1 2 308.385 1.119 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(Cc2cccc(Cl)c2)CC1 ZINC000126721532 407420434 /nfs/dbraw/zinc/42/04/34/407420434.db2.gz XPQFSLUYPMHTML-CQSZACIVSA-N 1 2 319.836 1.596 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CC[NH+](Cc2cccc(Cl)c2)CC1 ZINC000126721532 407420436 /nfs/dbraw/zinc/42/04/36/407420436.db2.gz XPQFSLUYPMHTML-CQSZACIVSA-N 1 2 319.836 1.596 20 30 DDEDLO CCNC(=O)C[N@H+](CC)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000126816114 407422124 /nfs/dbraw/zinc/42/21/24/407422124.db2.gz ALEHVSQJMFDLSR-ZDUSSCGKSA-N 1 2 308.426 1.176 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000126816114 407422126 /nfs/dbraw/zinc/42/21/26/407422126.db2.gz ALEHVSQJMFDLSR-ZDUSSCGKSA-N 1 2 308.426 1.176 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NC[C@@H](C)[NH+]1CCOCC1 ZINC000178563742 407493020 /nfs/dbraw/zinc/49/30/20/407493020.db2.gz CNYRCCQJMPAVPW-GFCCVEGCSA-N 1 2 302.378 1.709 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCC[C@@H](CO)C2)c1 ZINC000128140695 407499293 /nfs/dbraw/zinc/49/92/93/407499293.db2.gz IIDLHPPGIQIYBA-CQSZACIVSA-N 1 2 308.403 1.036 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCC[C@@H](CO)C2)c1 ZINC000128140695 407499301 /nfs/dbraw/zinc/49/93/01/407499301.db2.gz IIDLHPPGIQIYBA-CQSZACIVSA-N 1 2 308.403 1.036 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[S@](=O)[C@@H](C)[C@@H]1C ZINC000265810797 407463506 /nfs/dbraw/zinc/46/35/06/407463506.db2.gz FJGGTQUBEPNVPT-MZFXBISCSA-N 1 2 322.430 1.787 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[S@](=O)[C@@H](C)[C@@H]1C ZINC000265810797 407463514 /nfs/dbraw/zinc/46/35/14/407463514.db2.gz FJGGTQUBEPNVPT-MZFXBISCSA-N 1 2 322.430 1.787 20 30 DDEDLO Cc1cc(C#N)ccc1C[N@@H+]1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000186324432 407637418 /nfs/dbraw/zinc/63/74/18/407637418.db2.gz SHPQAUYJRHDVLW-ZDUSSCGKSA-N 1 2 307.419 1.332 20 30 DDEDLO Cc1cc(C#N)ccc1C[N@H+]1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000186324432 407637422 /nfs/dbraw/zinc/63/74/22/407637422.db2.gz SHPQAUYJRHDVLW-ZDUSSCGKSA-N 1 2 307.419 1.332 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+](CCO)Cc2cccnc2)cc1 ZINC000129977523 407639681 /nfs/dbraw/zinc/63/96/81/407639681.db2.gz OFRIUISFFARNEG-UHFFFAOYSA-N 1 2 310.357 1.386 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+](CCO)Cc2cccnc2)cc1 ZINC000129977523 407639686 /nfs/dbraw/zinc/63/96/86/407639686.db2.gz OFRIUISFFARNEG-UHFFFAOYSA-N 1 2 310.357 1.386 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CC)CC(=O)Nc1c(C)cccc1C ZINC000130092095 407653898 /nfs/dbraw/zinc/65/38/98/407653898.db2.gz AWNKLBAFFSHGJM-UHFFFAOYSA-N 1 2 303.406 1.866 20 30 DDEDLO C=CCNC(=O)C[N@H+](CC)CC(=O)Nc1c(C)cccc1C ZINC000130092095 407653903 /nfs/dbraw/zinc/65/39/03/407653903.db2.gz AWNKLBAFFSHGJM-UHFFFAOYSA-N 1 2 303.406 1.866 20 30 DDEDLO N#Cc1ccc(CC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000178976068 407680592 /nfs/dbraw/zinc/68/05/92/407680592.db2.gz KTVHEDRUYOTXRB-MRXNPFEDSA-N 1 2 306.369 1.891 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2)C1CC1 ZINC000271528775 407717360 /nfs/dbraw/zinc/71/73/60/407717360.db2.gz WXKRKZUHTSITRA-UHFFFAOYSA-N 1 2 319.317 1.912 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2)C1CC1 ZINC000271528775 407717364 /nfs/dbraw/zinc/71/73/64/407717364.db2.gz WXKRKZUHTSITRA-UHFFFAOYSA-N 1 2 319.317 1.912 20 30 DDEDLO COCCCCO[NH+]=C(N)c1ccc(-n2nc(C)cc2C)nc1 ZINC000131631245 407742175 /nfs/dbraw/zinc/74/21/75/407742175.db2.gz PEHJLLKBRCHZKD-UHFFFAOYSA-N 1 2 317.393 1.948 20 30 DDEDLO C=CCC[NH+]1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000132355382 407781767 /nfs/dbraw/zinc/78/17/67/407781767.db2.gz XDKLTRBETJYXQJ-UHFFFAOYSA-N 1 2 322.430 1.772 20 30 DDEDLO C=CCC[NH+]1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000132386884 407782747 /nfs/dbraw/zinc/78/27/47/407782747.db2.gz QSMYQUXBDSZEMX-UHFFFAOYSA-N 1 2 316.373 1.847 20 30 DDEDLO CC[C@H](C#N)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000172115089 407805497 /nfs/dbraw/zinc/80/54/97/407805497.db2.gz TYWKFJVLCVHPIW-UKRRQHHQSA-N 1 2 318.396 1.755 20 30 DDEDLO Cn1cc(C[NH+]2CCN(CC#N)CC2)c(-c2cccs2)n1 ZINC000180009945 407849155 /nfs/dbraw/zinc/84/91/55/407849155.db2.gz ZCNSWSODUMANJX-UHFFFAOYSA-N 1 2 301.419 1.790 20 30 DDEDLO Cc1ccccc1CNC(=O)CO[NH+]=C(N)[C@H](C)OCC(C)C ZINC000174223461 407921776 /nfs/dbraw/zinc/92/17/76/407921776.db2.gz QCURXKVXPAZRLC-AWEZNQCLSA-N 1 2 321.421 1.961 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@@H](NC(=O)C#Cc1ccc3c(c1)OCO3)C2 ZINC000135564081 408030761 /nfs/dbraw/zinc/03/07/61/408030761.db2.gz ZODKDILHVZAPLT-CQSZACIVSA-N 1 2 323.352 1.403 20 30 DDEDLO CC1(C#N)CC[NH+](CCNS(=O)(=O)c2ccccc2)CC1 ZINC000272608275 408003454 /nfs/dbraw/zinc/00/34/54/408003454.db2.gz RVUNRFRITJYGBU-UHFFFAOYSA-N 1 2 307.419 1.591 20 30 DDEDLO COC[C@@H]([NH2+]CC(=O)NCc1ccc(C#N)cc1)c1ccco1 ZINC000273226448 408113149 /nfs/dbraw/zinc/11/31/49/408113149.db2.gz BZLGXKMKSLJMAQ-OAHLLOKOSA-N 1 2 313.357 1.745 20 30 DDEDLO C=CCCS(=O)(=O)N1CCC[C@H]1C[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000119930037 408116751 /nfs/dbraw/zinc/11/67/51/408116751.db2.gz ITKONFMWOXZPHP-ZNMIVQPWSA-N 1 2 316.467 1.466 20 30 DDEDLO C=CCCS(=O)(=O)N1CCC[C@H]1C[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000119930037 408116762 /nfs/dbraw/zinc/11/67/62/408116762.db2.gz ITKONFMWOXZPHP-ZNMIVQPWSA-N 1 2 316.467 1.466 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@H](OC)C[C@H]21 ZINC000246079431 408134071 /nfs/dbraw/zinc/13/40/71/408134071.db2.gz BXWCBQUFPTYOSC-ARFHVFGLSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCO[C@@H]2CC[C@H](OC)C[C@H]21 ZINC000246079431 408134075 /nfs/dbraw/zinc/13/40/75/408134075.db2.gz BXWCBQUFPTYOSC-ARFHVFGLSA-N 1 2 310.438 1.679 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2cccs2)CC1 ZINC000121489555 408223825 /nfs/dbraw/zinc/22/38/25/408223825.db2.gz QLJGZWBIHZWVTJ-UHFFFAOYSA-N 1 2 306.435 1.632 20 30 DDEDLO C=CC[NH+]1CCN(c2ncc(Br)c(OC)n2)CC1 ZINC000175866283 408225202 /nfs/dbraw/zinc/22/52/02/408225202.db2.gz OGOYMVWYGRUGBZ-UHFFFAOYSA-N 1 2 313.199 1.556 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cscn3)CC2)cc1 ZINC000264075699 408360357 /nfs/dbraw/zinc/36/03/57/408360357.db2.gz HCFZNXOXCVYDAU-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2ccc(C)c(F)c2)CC1 ZINC000274441542 408409506 /nfs/dbraw/zinc/40/95/06/408409506.db2.gz ICRXVGUFIVHDQF-UHFFFAOYSA-N 1 2 324.421 1.605 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cc(C#N)n(C)c1 ZINC000191441554 408424822 /nfs/dbraw/zinc/42/48/22/408424822.db2.gz OTGJNPPVIKKNMQ-CYBMUJFWSA-N 1 2 321.388 1.269 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cc(C#N)n(C)c1 ZINC000191441554 408424826 /nfs/dbraw/zinc/42/48/26/408424826.db2.gz OTGJNPPVIKKNMQ-CYBMUJFWSA-N 1 2 321.388 1.269 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cc(F)ccc1C#N ZINC000160629745 408506334 /nfs/dbraw/zinc/50/63/34/408506334.db2.gz MFUWRUPVQUEFRX-UHFFFAOYSA-N 1 2 316.380 1.745 20 30 DDEDLO COC(=O)[C@]1(C)CC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000177462142 408625640 /nfs/dbraw/zinc/62/56/40/408625640.db2.gz DVYBPEFZWOCNAH-MRXNPFEDSA-N 1 2 319.361 1.592 20 30 DDEDLO COC(=O)[C@]1(C)CC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000177462142 408625646 /nfs/dbraw/zinc/62/56/46/408625646.db2.gz DVYBPEFZWOCNAH-MRXNPFEDSA-N 1 2 319.361 1.592 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000633281158 422839375 /nfs/dbraw/zinc/83/93/75/422839375.db2.gz RAGXQLAPZGMDNX-UHFFFAOYSA-N 1 2 317.437 1.559 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC000265474656 408664212 /nfs/dbraw/zinc/66/42/12/408664212.db2.gz QNCTWLCHSKYPAA-UHFFFAOYSA-N 1 2 320.396 1.979 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+](C)[C@@H]2CCN(C)C2=O)c(C#N)c1C ZINC000265647280 408729993 /nfs/dbraw/zinc/72/99/93/408729993.db2.gz MKEKIOIVBVNYEB-GXFFZTMASA-N 1 2 318.377 1.258 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+](C)[C@@H]2CCN(C)C2=O)c(C#N)c1C ZINC000265647280 408729997 /nfs/dbraw/zinc/72/99/97/408729997.db2.gz MKEKIOIVBVNYEB-GXFFZTMASA-N 1 2 318.377 1.258 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(-c3cnn(C)c3)no2)C1=O ZINC000281266114 408877464 /nfs/dbraw/zinc/87/74/64/408877464.db2.gz HTGDQRJXQNYEQD-OAHLLOKOSA-N 1 2 315.377 1.294 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(-c3cnn(C)c3)no2)C1=O ZINC000281266114 408877466 /nfs/dbraw/zinc/87/74/66/408877466.db2.gz HTGDQRJXQNYEQD-OAHLLOKOSA-N 1 2 315.377 1.294 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(F)cc2C(=O)OC)C1=O ZINC000281285233 408878566 /nfs/dbraw/zinc/87/85/66/408878566.db2.gz IZLGRYKUAHJDPV-OAHLLOKOSA-N 1 2 320.364 1.831 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(F)cc2C(=O)OC)C1=O ZINC000281285233 408878570 /nfs/dbraw/zinc/87/85/70/408878570.db2.gz IZLGRYKUAHJDPV-OAHLLOKOSA-N 1 2 320.364 1.831 20 30 DDEDLO CC1(C#N)CN(C(=O)Nc2ccccc2C[NH+]2CCOCC2)C1 ZINC000280913085 408857752 /nfs/dbraw/zinc/85/77/52/408857752.db2.gz FCRRGFYAHFSUNA-UHFFFAOYSA-N 1 2 314.389 1.896 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2c(CC)nn(C)c2CC)C1=O ZINC000281150352 408873282 /nfs/dbraw/zinc/87/32/82/408873282.db2.gz FLIVAWPXZQVUBY-INIZCTEOSA-N 1 2 304.438 1.764 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2c(CC)nn(C)c2CC)C1=O ZINC000281150352 408873286 /nfs/dbraw/zinc/87/32/86/408873286.db2.gz FLIVAWPXZQVUBY-INIZCTEOSA-N 1 2 304.438 1.764 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H]2CCc3ccccc3NC2=O)C1=O ZINC000281527632 408890167 /nfs/dbraw/zinc/89/01/67/408890167.db2.gz ZTMKTMMEXUJDGX-HOTGVXAUSA-N 1 2 313.401 1.659 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H]2CCc3ccccc3NC2=O)C1=O ZINC000281527632 408890170 /nfs/dbraw/zinc/89/01/70/408890170.db2.gz ZTMKTMMEXUJDGX-HOTGVXAUSA-N 1 2 313.401 1.659 20 30 DDEDLO Cc1cnc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)o1 ZINC000291757113 408918792 /nfs/dbraw/zinc/91/87/92/408918792.db2.gz MGFJYYIETIEDFW-UHFFFAOYSA-N 1 2 310.357 1.813 20 30 DDEDLO CS(=O)(=O)CC1CC[NH+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000292220773 409004016 /nfs/dbraw/zinc/00/40/16/409004016.db2.gz UXPLZNZTFKILIP-UHFFFAOYSA-N 1 2 310.394 1.954 20 30 DDEDLO C=CCn1c(CC2CC2)nnc1N(C)CC[NH+]1CCOCC1 ZINC000282256724 409020822 /nfs/dbraw/zinc/02/08/22/409020822.db2.gz LHEDMUFCARYPLE-UHFFFAOYSA-N 1 2 305.426 1.185 20 30 DDEDLO Cc1ccc2[nH+]c(CNS(=O)(=O)N(C)[C@H](C)CC#N)cn2c1 ZINC000282967285 409072051 /nfs/dbraw/zinc/07/20/51/409072051.db2.gz KYSARBLFCJVKCD-GFCCVEGCSA-N 1 2 321.406 1.211 20 30 DDEDLO CC[NH+](CC)Cc1nc(C2(NC(=O)/C=N/OC)CCCC2)no1 ZINC000283048670 409076530 /nfs/dbraw/zinc/07/65/30/409076530.db2.gz NZOBOPZUILWALE-MHWRWJLKSA-N 1 2 323.397 1.429 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000293336534 409092874 /nfs/dbraw/zinc/09/28/74/409092874.db2.gz YLFPKSRBQYNSRN-NSHDSACASA-N 1 2 305.334 1.886 20 30 DDEDLO CC#CC[N@H+](C)[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000293336534 409092876 /nfs/dbraw/zinc/09/28/76/409092876.db2.gz YLFPKSRBQYNSRN-NSHDSACASA-N 1 2 305.334 1.886 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CCCO)Cc1ccccn1 ZINC000287797089 409095435 /nfs/dbraw/zinc/09/54/35/409095435.db2.gz OILLZPYMMNYXNH-HNNXBMFYSA-N 1 2 318.421 1.274 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CCCO)Cc1ccccn1 ZINC000287797089 409095438 /nfs/dbraw/zinc/09/54/38/409095438.db2.gz OILLZPYMMNYXNH-HNNXBMFYSA-N 1 2 318.421 1.274 20 30 DDEDLO COc1ccc(C)cc1NC(=O)CO[NH+]=C(N)[C@H]1CCCO1 ZINC000283758804 409210707 /nfs/dbraw/zinc/21/07/07/409210707.db2.gz ASBTVTUPTRWWFM-CYBMUJFWSA-N 1 2 307.350 1.410 20 30 DDEDLO CCCCCCCCNC(=O)C(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000283810922 409220983 /nfs/dbraw/zinc/22/09/83/409220983.db2.gz IXKHZWAUDZCTIC-CQSZACIVSA-N 1 2 308.426 1.865 20 30 DDEDLO C=CCCCNC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000280152292 409259326 /nfs/dbraw/zinc/25/93/26/409259326.db2.gz RTFMZBPLKYKHEB-UHFFFAOYSA-N 1 2 304.394 1.684 20 30 DDEDLO Cn1nccc1CCN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000284110314 409276987 /nfs/dbraw/zinc/27/69/87/409276987.db2.gz UGPSVYHFJLCLBA-UHFFFAOYSA-N 1 2 309.417 1.652 20 30 DDEDLO C=CCN1CC[C@H](O[NH+]=C(N)Cc2ccc(OC)cc2)C1=O ZINC000289411542 409265905 /nfs/dbraw/zinc/26/59/05/409265905.db2.gz PKVLGXOWEQUVIB-AWEZNQCLSA-N 1 2 303.362 1.313 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(C)[C@@H](Cc3ccccc3)C2)C1=O ZINC000284927105 409373048 /nfs/dbraw/zinc/37/30/48/409373048.db2.gz YBBRBYQMCNEECS-ZWKOTPCHSA-N 1 2 313.445 1.632 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(C)[C@@H](Cc3ccccc3)C2)C1=O ZINC000284927105 409373053 /nfs/dbraw/zinc/37/30/53/409373053.db2.gz YBBRBYQMCNEECS-ZWKOTPCHSA-N 1 2 313.445 1.632 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)C[C@H]1CCCCO1 ZINC000290380632 409379412 /nfs/dbraw/zinc/37/94/12/409379412.db2.gz RTUMRPOKKMJWCQ-CQSZACIVSA-N 1 2 323.418 1.228 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)C[C@H]1CCCCO1 ZINC000290380632 409379416 /nfs/dbraw/zinc/37/94/16/409379416.db2.gz RTUMRPOKKMJWCQ-CQSZACIVSA-N 1 2 323.418 1.228 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)Nc1ccc(F)c(F)c1 ZINC000284440212 409340869 /nfs/dbraw/zinc/34/08/69/409340869.db2.gz PFRWJSHWHQYCPZ-UFBFGSQYSA-N 1 2 313.304 1.760 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)N1c2ccccc2C[C@H]1C ZINC000284403943 409342717 /nfs/dbraw/zinc/34/27/17/409342717.db2.gz NBYINZDNNDCZSG-TYNCELHUSA-N 1 2 317.389 1.821 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@@H]21 ZINC000290273827 409345291 /nfs/dbraw/zinc/34/52/91/409345291.db2.gz WJGCSIWENFYMKY-LSDHHAIUSA-N 1 2 318.352 1.634 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@@H]21 ZINC000290273827 409345297 /nfs/dbraw/zinc/34/52/97/409345297.db2.gz WJGCSIWENFYMKY-LSDHHAIUSA-N 1 2 318.352 1.634 20 30 DDEDLO NC(=[NH+]OCC(=O)N1CCc2ccccc2C1)[C@@H]1CCCO1 ZINC000284593986 409351481 /nfs/dbraw/zinc/35/14/81/409351481.db2.gz YCQUPLIRQDCXGI-AWEZNQCLSA-N 1 2 303.362 1.039 20 30 DDEDLO CCOC(=O)c1[nH]c(C)c(-c2csc(NC(N)=[NH2+])n2)c1C ZINC000295291524 409416241 /nfs/dbraw/zinc/41/62/41/409416241.db2.gz JKSIWKYFDXVDPY-UHFFFAOYSA-N 1 2 307.379 1.837 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC=C(c2ccnn2C)CC1 ZINC000285472473 409458158 /nfs/dbraw/zinc/45/81/58/409458158.db2.gz CEGQINRSLVQCRM-CQSZACIVSA-N 1 2 315.421 1.517 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CC=C(c2ccnn2C)CC1 ZINC000285472473 409458161 /nfs/dbraw/zinc/45/81/61/409458161.db2.gz CEGQINRSLVQCRM-CQSZACIVSA-N 1 2 315.421 1.517 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2cnc(C)c(C#N)c2)C[C@@H]1C ZINC000408362367 164307417 /nfs/dbraw/zinc/30/74/17/164307417.db2.gz NVLYSQFSLGSANE-RYUDHWBXSA-N 1 2 322.434 1.365 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2cnc(C)c(C#N)c2)C[C@@H]1C ZINC000408362367 164307419 /nfs/dbraw/zinc/30/74/19/164307419.db2.gz NVLYSQFSLGSANE-RYUDHWBXSA-N 1 2 322.434 1.365 20 30 DDEDLO C#CC[N@@H+](CC(=O)N(C)CC(=O)NCCC)Cc1ccccc1 ZINC000285580475 409496343 /nfs/dbraw/zinc/49/63/43/409496343.db2.gz IDRZJVBJUXVLSA-UHFFFAOYSA-N 1 2 315.417 1.106 20 30 DDEDLO C#CC[N@H+](CC(=O)N(C)CC(=O)NCCC)Cc1ccccc1 ZINC000285580475 409496346 /nfs/dbraw/zinc/49/63/46/409496346.db2.gz IDRZJVBJUXVLSA-UHFFFAOYSA-N 1 2 315.417 1.106 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[N@H+](C)CC(C)(C)C#N ZINC000354016638 409600657 /nfs/dbraw/zinc/60/06/57/409600657.db2.gz BBUIRPHAFOJJQV-UHFFFAOYSA-N 1 2 305.426 1.547 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[N@@H+](C)CC(C)(C)C#N ZINC000354016638 409600666 /nfs/dbraw/zinc/60/06/66/409600666.db2.gz BBUIRPHAFOJJQV-UHFFFAOYSA-N 1 2 305.426 1.547 20 30 DDEDLO C=C(C)CNC(=O)[C@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000356899393 409703615 /nfs/dbraw/zinc/70/36/15/409703615.db2.gz RVQHYOJIFLGOCM-XJKSGUPXSA-N 1 2 318.421 1.802 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+](CCO)Cc2cccnc2)c1 ZINC000304885245 409651335 /nfs/dbraw/zinc/65/13/35/409651335.db2.gz JDANIBSJCVWDMR-UHFFFAOYSA-N 1 2 310.357 1.386 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+](CCO)Cc2cccnc2)c1 ZINC000304885245 409651344 /nfs/dbraw/zinc/65/13/44/409651344.db2.gz JDANIBSJCVWDMR-UHFFFAOYSA-N 1 2 310.357 1.386 20 30 DDEDLO C=CCOCC(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000354296244 409753065 /nfs/dbraw/zinc/75/30/65/409753065.db2.gz MMKUHTHQRJOUDU-OAHLLOKOSA-N 1 2 303.337 1.967 20 30 DDEDLO Cn1c[nH+]cc1CNS(=O)(=O)c1ccc(Cl)c(C#N)c1 ZINC000349796970 409909779 /nfs/dbraw/zinc/90/97/79/409909779.db2.gz DFZBDDZHDTZQKW-UHFFFAOYSA-N 1 2 310.766 1.424 20 30 DDEDLO Cc1onc(CC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)c1C#N ZINC000354582212 409951525 /nfs/dbraw/zinc/95/15/25/409951525.db2.gz ZLGAYCUDDJCGQK-GFCCVEGCSA-N 1 2 311.345 1.187 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC[C@@H]1CCC2(CCOCC2)[C@H]1O ZINC000328724846 409963220 /nfs/dbraw/zinc/96/32/20/409963220.db2.gz YUGKXDMRNRVKKZ-BBRMVZONSA-N 1 2 321.421 1.877 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(C#N)s2)C[C@H]1[NH+]1CCOCC1 ZINC000332162102 409936995 /nfs/dbraw/zinc/93/69/95/409936995.db2.gz VRQQBNXJGOZADT-DGCLKSJQSA-N 1 2 305.403 1.412 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2sccc2C#N)C1 ZINC000328628016 409940823 /nfs/dbraw/zinc/94/08/23/409940823.db2.gz GUEZBSBXIPAJMK-CQSZACIVSA-N 1 2 319.430 1.803 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2sccc2C#N)C1 ZINC000328628016 409940831 /nfs/dbraw/zinc/94/08/31/409940831.db2.gz GUEZBSBXIPAJMK-CQSZACIVSA-N 1 2 319.430 1.803 20 30 DDEDLO CC(=O)Nc1ccn([C@H]2CC[N@@H+](Cc3nnnn3C(C)C)C2)n1 ZINC000328629860 409941624 /nfs/dbraw/zinc/94/16/24/409941624.db2.gz PFTGXWFMOVUAGU-LBPRGKRZSA-N 1 2 318.385 1.505 20 30 DDEDLO CC(=O)Nc1ccn([C@H]2CC[N@H+](Cc3nnnn3C(C)C)C2)n1 ZINC000328629860 409941632 /nfs/dbraw/zinc/94/16/32/409941632.db2.gz PFTGXWFMOVUAGU-LBPRGKRZSA-N 1 2 318.385 1.505 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)[C@H]1COCCN1C ZINC000328969685 410026577 /nfs/dbraw/zinc/02/65/77/410026577.db2.gz OHMRITSHQOEMBS-CQSZACIVSA-N 1 2 320.393 1.145 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)N[C@@]1(CO)CCOC1 ZINC000329020590 410055561 /nfs/dbraw/zinc/05/55/61/410055561.db2.gz HTGHGYACIZSPIX-XJKCOSOUSA-N 1 2 305.378 1.262 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3cscc3C#N)CC2)cc[nH+]1 ZINC000346656000 410062722 /nfs/dbraw/zinc/06/27/22/410062722.db2.gz JKPCZDQZNMFXOK-UHFFFAOYSA-N 1 2 313.386 1.681 20 30 DDEDLO C=C(C)CN(Cc1ccccc1)C(=O)C(=O)N1CC[NH+](C)CC1 ZINC000298006680 410076165 /nfs/dbraw/zinc/07/61/65/410076165.db2.gz KRQUXRDXRNADMA-UHFFFAOYSA-N 1 2 315.417 1.365 20 30 DDEDLO Cc1nnc(NC(=O)[C@H](c2c[nH+]cn2C)N(C)C)n1C1CC1 ZINC000329092098 410096897 /nfs/dbraw/zinc/09/68/97/410096897.db2.gz ALUIJLUUGJKKSN-LBPRGKRZSA-N 1 2 303.370 1.546 20 30 DDEDLO C=CCCCCNC(=O)N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000351685759 410151830 /nfs/dbraw/zinc/15/18/30/410151830.db2.gz OEHTXUYGKLVRCC-UHFFFAOYSA-N 1 2 322.453 1.292 20 30 DDEDLO N#Cc1c(CN2CCN(Cc3[nH]cc[nH+]3)CC2)cn2ccccc12 ZINC000357731966 410214100 /nfs/dbraw/zinc/21/41/00/410214100.db2.gz BWCQFXYRLYXVRJ-UHFFFAOYSA-N 1 2 320.400 1.852 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)c2ncc(C#N)cc2Cl)C1 ZINC000339472256 410215778 /nfs/dbraw/zinc/21/57/78/410215778.db2.gz MJHNAIZTWLDBKQ-LLVKDONJSA-N 1 2 315.764 1.970 20 30 DDEDLO O=C(NCC[N@H+]1CC=CCC1)[C@H]1CC12CCS(=O)(=O)CC2 ZINC000329261378 410196712 /nfs/dbraw/zinc/19/67/12/410196712.db2.gz AXWJRZVBQILAAX-CYBMUJFWSA-N 1 2 312.435 1.420 20 30 DDEDLO O=C(NCC[N@@H+]1CC=CCC1)[C@H]1CC12CCS(=O)(=O)CC2 ZINC000329261378 410196723 /nfs/dbraw/zinc/19/67/23/410196723.db2.gz AXWJRZVBQILAAX-CYBMUJFWSA-N 1 2 312.435 1.420 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CCC[C@H]2C2CCOCC2)C1 ZINC000329418928 410289163 /nfs/dbraw/zinc/28/91/63/410289163.db2.gz DUOBXABGUXPRNN-CABCVRRESA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CCC[C@H]2C2CCOCC2)C1 ZINC000329418928 410289173 /nfs/dbraw/zinc/28/91/73/410289173.db2.gz DUOBXABGUXPRNN-CABCVRRESA-N 1 2 311.426 1.122 20 30 DDEDLO C#CC[N@H+](Cc1ccccc1)[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000298571545 410292827 /nfs/dbraw/zinc/29/28/27/410292827.db2.gz QLKHJONYLXBAQV-QGZVFWFLSA-N 1 2 308.385 1.661 20 30 DDEDLO C#CC[N@@H+](Cc1ccccc1)[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000298571545 410292832 /nfs/dbraw/zinc/29/28/32/410292832.db2.gz QLKHJONYLXBAQV-QGZVFWFLSA-N 1 2 308.385 1.661 20 30 DDEDLO CC(C)n1cc(C[NH+]2CCC([C@H](O)C(=O)NC3CC3)CC2)nn1 ZINC000329425934 410293758 /nfs/dbraw/zinc/29/37/58/410293758.db2.gz IZLISPNMZWRQQV-HNNXBMFYSA-N 1 2 321.425 1.551 20 30 DDEDLO O=C1NC(=O)N2CC[N@@H+](CC#Cc3cccc(Cl)c3)C[C@@H]12 ZINC000329472006 410316717 /nfs/dbraw/zinc/31/67/17/410316717.db2.gz ZZRUPIFABHCDHU-ZDUSSCGKSA-N 1 2 303.749 1.768 20 30 DDEDLO O=C1NC(=O)N2CC[N@H+](CC#Cc3cccc(Cl)c3)C[C@@H]12 ZINC000329472006 410316724 /nfs/dbraw/zinc/31/67/24/410316724.db2.gz ZZRUPIFABHCDHU-ZDUSSCGKSA-N 1 2 303.749 1.768 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)NCC2CCSCC2)CCO1 ZINC000329565752 410363123 /nfs/dbraw/zinc/36/31/23/410363123.db2.gz SQOCFYRBQRNTEG-UHFFFAOYSA-N 1 2 315.483 1.744 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)NCC2CCSCC2)CCO1 ZINC000329565752 410363127 /nfs/dbraw/zinc/36/31/27/410363127.db2.gz SQOCFYRBQRNTEG-UHFFFAOYSA-N 1 2 315.483 1.744 20 30 DDEDLO CC(C)C[C@@H]1COCCN1C([O-])=[NH+][C@H]1CCc2[nH+]c[nH]c2C1 ZINC000329625543 410391420 /nfs/dbraw/zinc/39/14/20/410391420.db2.gz SVMPSBOWWPAPPF-QWHCGFSZSA-N 1 2 306.410 1.928 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)Nc3cccc(C#N)c3)CC2)cn1 ZINC000358169553 410393779 /nfs/dbraw/zinc/39/37/79/410393779.db2.gz PNYIXKHGAFPIAN-UHFFFAOYSA-N 1 2 324.388 1.641 20 30 DDEDLO C#CC[NH+](CC#C)[C@@H](C)C(=O)NCc1ccc(C(=O)OC)cc1 ZINC000298818369 410412016 /nfs/dbraw/zinc/41/20/16/410412016.db2.gz AUURJHKKSKBCBH-AWEZNQCLSA-N 1 2 312.369 1.046 20 30 DDEDLO C=CCN1C(=O)c2ccc(C(=O)Nc3cc[nH+]cc3O)cc2C1=O ZINC000358348566 410451925 /nfs/dbraw/zinc/45/19/25/410451925.db2.gz GQRDTDAGRGSUAN-UHFFFAOYSA-N 1 2 323.308 1.243 20 30 DDEDLO Cc1cc(Br)cn2cc(CNC(=O)CC#N)[nH+]c12 ZINC000358395412 410461641 /nfs/dbraw/zinc/46/16/41/410461641.db2.gz TXUWQSSLGZOAJY-UHFFFAOYSA-N 1 2 307.151 1.935 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1sc2c(c1C(N)=O)CCC2)C1CC1 ZINC000299267180 410586139 /nfs/dbraw/zinc/58/61/39/410586139.db2.gz KQZZDDARIGIXGV-UHFFFAOYSA-N 1 2 317.414 1.372 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1sc2c(c1C(N)=O)CCC2)C1CC1 ZINC000299267180 410586146 /nfs/dbraw/zinc/58/61/46/410586146.db2.gz KQZZDDARIGIXGV-UHFFFAOYSA-N 1 2 317.414 1.372 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N(C)[C@H]2CCC[N@H+](C)C2)cc1C#N ZINC000359346186 410614538 /nfs/dbraw/zinc/61/45/38/410614538.db2.gz NRGWXNYTARYDMR-NSHDSACASA-N 1 2 320.418 1.547 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N(C)[C@H]2CCC[N@@H+](C)C2)cc1C#N ZINC000359346186 410614548 /nfs/dbraw/zinc/61/45/48/410614548.db2.gz NRGWXNYTARYDMR-NSHDSACASA-N 1 2 320.418 1.547 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCn2cc[nH+]c2C1)N1CC[C@H](O)C12CCCC2 ZINC000330348198 410637928 /nfs/dbraw/zinc/63/79/28/410637928.db2.gz IMVOLIZKAGFRLO-OLZOCXBDSA-N 1 2 304.394 1.491 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@H](O)CN3CCOC[C@H]3C#N)c2cc1C ZINC000336875011 410643054 /nfs/dbraw/zinc/64/30/54/410643054.db2.gz ZSVJIQJAECPZTD-HUUCEWRRSA-N 1 2 314.389 1.238 20 30 DDEDLO N#Cc1ccc(-n2ccc(NC(=O)CCc3[nH]cc[nH+]3)n2)c(F)c1 ZINC000355889060 410717341 /nfs/dbraw/zinc/71/73/41/410717341.db2.gz AQFFIRXVZUUACO-UHFFFAOYSA-N 1 2 324.319 2.177 20 30 DDEDLO COCC1(O)CC[NH+](CC(=O)Nc2oc(C)c(C)c2C#N)CC1 ZINC000330485976 410723223 /nfs/dbraw/zinc/72/32/23/410723223.db2.gz CTMCNOYFPHYJTJ-UHFFFAOYSA-N 1 2 321.377 1.180 20 30 DDEDLO C=CCCCCNC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000355751384 410676544 /nfs/dbraw/zinc/67/65/44/410676544.db2.gz MZBUDZYRRWKVLY-UHFFFAOYSA-N 1 2 303.406 2.000 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)[C@H](C)CN1C ZINC000330603092 410819378 /nfs/dbraw/zinc/81/93/78/410819378.db2.gz XGBAVDUJDZVWMF-VXGBXAGGSA-N 1 2 306.435 1.973 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)[C@H](C)CN1C ZINC000330603092 410819386 /nfs/dbraw/zinc/81/93/86/410819386.db2.gz XGBAVDUJDZVWMF-VXGBXAGGSA-N 1 2 306.435 1.973 20 30 DDEDLO CN(C(=O)C[N@H+](C)Cc1ccnn1C)C1(C#N)CCCCC1 ZINC000348380035 410942198 /nfs/dbraw/zinc/94/21/98/410942198.db2.gz VHQIDVHFASDPPO-UHFFFAOYSA-N 1 2 303.410 1.537 20 30 DDEDLO CN(C(=O)C[N@@H+](C)Cc1ccnn1C)C1(C#N)CCCCC1 ZINC000348380035 410942205 /nfs/dbraw/zinc/94/22/05/410942205.db2.gz VHQIDVHFASDPPO-UHFFFAOYSA-N 1 2 303.410 1.537 20 30 DDEDLO Cc1nc([C@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)no1 ZINC000360159294 411082273 /nfs/dbraw/zinc/08/22/73/411082273.db2.gz QXUVQCWUVWVTPR-LRDDRELGSA-N 1 2 317.393 1.366 20 30 DDEDLO Cc1nc([C@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)no1 ZINC000360159294 411082278 /nfs/dbraw/zinc/08/22/78/411082278.db2.gz QXUVQCWUVWVTPR-LRDDRELGSA-N 1 2 317.393 1.366 20 30 DDEDLO CC[C@@H](C#N)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000353781694 411129189 /nfs/dbraw/zinc/12/91/89/411129189.db2.gz QRHQOEKWESDSOU-ZDUSSCGKSA-N 1 2 304.375 1.512 20 30 DDEDLO Cn1ccnc(N2CC[NH+](Cc3cc(C#N)cs3)CC2)c1=O ZINC000353814397 411132379 /nfs/dbraw/zinc/13/23/79/411132379.db2.gz CJRXQGHCBRLWKZ-UHFFFAOYSA-N 1 2 315.402 1.036 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1CCO[C@@H](CNC(C)=O)C1 ZINC000353698972 411113406 /nfs/dbraw/zinc/11/34/06/411113406.db2.gz ACZNVMCTGNNHJT-INIZCTEOSA-N 1 2 304.390 1.588 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1CCO[C@@H](CNC(C)=O)C1 ZINC000353698972 411113410 /nfs/dbraw/zinc/11/34/10/411113410.db2.gz ACZNVMCTGNNHJT-INIZCTEOSA-N 1 2 304.390 1.588 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(c2sccc2C#N)C1=O)c1ccn(C)n1 ZINC000580166218 422895554 /nfs/dbraw/zinc/89/55/54/422895554.db2.gz LWKFTGYMAMRAFQ-GXFFZTMASA-N 1 2 315.402 1.809 20 30 DDEDLO C#CCC(C)(C)C(=O)N1CCC[C@@H](CN2CC[NH+](C)CC2)C1 ZINC000373348245 418423493 /nfs/dbraw/zinc/42/34/93/418423493.db2.gz OAONKBLFFYUCCH-INIZCTEOSA-N 1 2 305.466 1.522 20 30 DDEDLO CCn1ncnc1C[NH2+]Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000366289102 418452795 /nfs/dbraw/zinc/45/27/95/418452795.db2.gz WJBRUWUYQMWDDF-UHFFFAOYSA-N 1 2 321.388 1.860 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC[C@H]1c1ccccc1F ZINC000374040700 418486277 /nfs/dbraw/zinc/48/62/77/418486277.db2.gz GRRZLILOMMPLTJ-FZMZJTMJSA-N 1 2 305.353 1.973 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@H]1c1ccccc1F ZINC000374040700 418486280 /nfs/dbraw/zinc/48/62/80/418486280.db2.gz GRRZLILOMMPLTJ-FZMZJTMJSA-N 1 2 305.353 1.973 20 30 DDEDLO C[C@H]1COCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000374369262 418523842 /nfs/dbraw/zinc/52/38/42/418523842.db2.gz YHHZMNRGSOWIHR-CYBMUJFWSA-N 1 2 308.403 1.300 20 30 DDEDLO C[C@H]1COCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000374369262 418523845 /nfs/dbraw/zinc/52/38/45/418523845.db2.gz YHHZMNRGSOWIHR-CYBMUJFWSA-N 1 2 308.403 1.300 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@H+](C)Cc1ccc(OCC#N)cc1 ZINC000264963404 418569412 /nfs/dbraw/zinc/56/94/12/418569412.db2.gz ZUPDCJMABJPICY-ZDUSSCGKSA-N 1 2 310.419 1.844 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@@H+](C)Cc1ccc(OCC#N)cc1 ZINC000264963404 418569414 /nfs/dbraw/zinc/56/94/14/418569414.db2.gz ZUPDCJMABJPICY-ZDUSSCGKSA-N 1 2 310.419 1.844 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCSC[C@@H]1c1cnn(C)c1 ZINC000191202799 222098006 /nfs/dbraw/zinc/09/80/06/222098006.db2.gz TUNNMOWHHUNLOG-OAHLLOKOSA-N 1 2 320.462 1.711 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCSC[C@@H]1c1cnn(C)c1 ZINC000191202799 222098008 /nfs/dbraw/zinc/09/80/08/222098008.db2.gz TUNNMOWHHUNLOG-OAHLLOKOSA-N 1 2 320.462 1.711 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCCN(C)C(=O)C2)cc(OC)c1O ZINC000191669619 222107502 /nfs/dbraw/zinc/10/75/02/222107502.db2.gz CAGFJSOGHRKJJJ-UHFFFAOYSA-N 1 2 304.390 1.793 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCCN(C)C(=O)C2)cc(OC)c1O ZINC000191669619 222107507 /nfs/dbraw/zinc/10/75/07/222107507.db2.gz CAGFJSOGHRKJJJ-UHFFFAOYSA-N 1 2 304.390 1.793 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000190721768 222088141 /nfs/dbraw/zinc/08/81/41/222088141.db2.gz WHKUZMVMZMZFPF-QWHCGFSZSA-N 1 2 309.410 1.113 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000190721768 222088144 /nfs/dbraw/zinc/08/81/44/222088144.db2.gz WHKUZMVMZMZFPF-QWHCGFSZSA-N 1 2 309.410 1.113 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)Nc2ccn3cc[nH+]c3c2)C1=O ZINC000290710723 418578415 /nfs/dbraw/zinc/57/84/15/418578415.db2.gz NYFOZUQJHWYCFG-CYBMUJFWSA-N 1 2 313.361 1.585 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NCCc1ccc(OC(F)(F)F)cc1 ZINC000192230691 222124007 /nfs/dbraw/zinc/12/40/07/222124007.db2.gz RKEAISOZTUKWSQ-UHFFFAOYSA-N 1 2 314.307 1.809 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NCCc1ccc(OC(F)(F)F)cc1 ZINC000192230691 222124009 /nfs/dbraw/zinc/12/40/09/222124009.db2.gz RKEAISOZTUKWSQ-UHFFFAOYSA-N 1 2 314.307 1.809 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1cc(C)nn1-c1ccc(F)cc1 ZINC000192231140 222124081 /nfs/dbraw/zinc/12/40/81/222124081.db2.gz YOMQKXXNAUPTSU-UHFFFAOYSA-N 1 2 300.337 1.823 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1cc(C)nn1-c1ccc(F)cc1 ZINC000192231140 222124084 /nfs/dbraw/zinc/12/40/84/222124084.db2.gz YOMQKXXNAUPTSU-UHFFFAOYSA-N 1 2 300.337 1.823 20 30 DDEDLO C=CCN(C)C(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000192543840 222130239 /nfs/dbraw/zinc/13/02/39/222130239.db2.gz XTBPDZZDUYGXBB-UHFFFAOYSA-N 1 2 311.426 1.084 20 30 DDEDLO CC[N@@H+](CC(=O)N1CCN([C@H](C#N)C(C)C)CC1)C[C@H](C)C#N ZINC000245554852 222216543 /nfs/dbraw/zinc/21/65/43/222216543.db2.gz HKSGOHDWTBFWKL-HZPDHXFCSA-N 1 2 319.453 1.160 20 30 DDEDLO CC[N@H+](CC(=O)N1CCN([C@H](C#N)C(C)C)CC1)C[C@H](C)C#N ZINC000245554852 222216550 /nfs/dbraw/zinc/21/65/50/222216550.db2.gz HKSGOHDWTBFWKL-HZPDHXFCSA-N 1 2 319.453 1.160 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@](C)(c2ccccc2)C1 ZINC000246538387 222223365 /nfs/dbraw/zinc/22/33/65/222223365.db2.gz PZNLJUAWGGLUSY-QAPCUYQASA-N 1 2 315.417 1.995 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@](C)(c2ccccc2)C1 ZINC000246538387 222223368 /nfs/dbraw/zinc/22/33/68/222223368.db2.gz PZNLJUAWGGLUSY-QAPCUYQASA-N 1 2 315.417 1.995 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](C)[C@H]1CCCN(c2ccccc2)C1=O ZINC000247266573 222228409 /nfs/dbraw/zinc/22/84/09/222228409.db2.gz QVFURQFHTCTLEH-ZBFHGGJFSA-N 1 2 315.417 1.805 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](C)[C@H]1CCCN(c2ccccc2)C1=O ZINC000247266573 222228412 /nfs/dbraw/zinc/22/84/12/222228412.db2.gz QVFURQFHTCTLEH-ZBFHGGJFSA-N 1 2 315.417 1.805 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@H](O)C[N@@H+](C)[C@H](C)CC#N)cc1 ZINC000247383888 222228868 /nfs/dbraw/zinc/22/88/68/222228868.db2.gz IDILQAJLQXGJCM-IUODEOHRSA-N 1 2 305.378 1.619 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@H](O)C[N@H+](C)[C@H](C)CC#N)cc1 ZINC000247383888 222228870 /nfs/dbraw/zinc/22/88/70/222228870.db2.gz IDILQAJLQXGJCM-IUODEOHRSA-N 1 2 305.378 1.619 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)CCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000248550296 222235747 /nfs/dbraw/zinc/23/57/47/222235747.db2.gz GEQODAOCGQOYHM-DLBZAZTESA-N 1 2 323.458 1.655 20 30 DDEDLO C=CC[N@H+](C[C@H](O)CCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000248550296 222235748 /nfs/dbraw/zinc/23/57/48/222235748.db2.gz GEQODAOCGQOYHM-DLBZAZTESA-N 1 2 323.458 1.655 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCO[C@]2(CCCOC2)C1 ZINC000367542090 418610272 /nfs/dbraw/zinc/61/02/72/418610272.db2.gz XIJXBYAQIBNCMG-QGZVFWFLSA-N 1 2 302.374 1.948 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCO[C@]2(CCCOC2)C1 ZINC000367542090 418610275 /nfs/dbraw/zinc/61/02/75/418610275.db2.gz XIJXBYAQIBNCMG-QGZVFWFLSA-N 1 2 302.374 1.948 20 30 DDEDLO CC[C@H](C)n1nc(NC(=O)NC[C@@H](C)[NH+]2CCOCC2)cc1C ZINC000330698765 418612694 /nfs/dbraw/zinc/61/26/94/418612694.db2.gz WTJMDDWECBMBHJ-GXTWGEPZSA-N 1 2 323.441 2.209 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)COc2cc(C)cc(C)c2)CC1 ZINC000361436024 418651663 /nfs/dbraw/zinc/65/16/63/418651663.db2.gz QFTKNVILIBALBD-UHFFFAOYSA-N 1 2 300.402 1.850 20 30 DDEDLO CC#CCCNC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000361293746 418620150 /nfs/dbraw/zinc/62/01/50/418620150.db2.gz FANNFQQMHHWABD-QGZVFWFLSA-N 1 2 315.417 1.773 20 30 DDEDLO N#C[C@@H]1CSCCN1C(=O)[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000377178616 418706712 /nfs/dbraw/zinc/70/67/12/418706712.db2.gz LMWNKXGXHPCPPR-ZIAGYGMSSA-N 1 2 316.430 1.766 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@@H]2CCc3[nH+]c(C(C)C)[nH]c3C2)c1C#N ZINC000377217983 418706950 /nfs/dbraw/zinc/70/69/50/418706950.db2.gz QEIWMJYBPJGXNP-SNVBAGLBSA-N 1 2 312.377 2.180 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@@H]2CCc3[nH]c(C(C)C)[nH+]c3C2)c1C#N ZINC000377217983 418706952 /nfs/dbraw/zinc/70/69/52/418706952.db2.gz QEIWMJYBPJGXNP-SNVBAGLBSA-N 1 2 312.377 2.180 20 30 DDEDLO Cn1cc[nH+]c1CN1C[C@@H](F)C[C@H]1CNC(=O)CC(C)(C)C#N ZINC000377280713 418708194 /nfs/dbraw/zinc/70/81/94/418708194.db2.gz NFBWJPLNKUGLFU-STQMWFEESA-N 1 2 321.400 1.389 20 30 DDEDLO N#Cc1cccc(CCNC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)c1 ZINC000378078311 418718689 /nfs/dbraw/zinc/71/86/89/418718689.db2.gz XHIWPQKLSKPXAU-CQSZACIVSA-N 1 2 309.373 1.681 20 30 DDEDLO N#Cc1cccc(CCNC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)c1 ZINC000378078311 418718691 /nfs/dbraw/zinc/71/86/91/418718691.db2.gz XHIWPQKLSKPXAU-CQSZACIVSA-N 1 2 309.373 1.681 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2C[C@H]3[C@H](CO)[C@H]3C2)c(C#N)c1C ZINC000368334486 418719214 /nfs/dbraw/zinc/71/92/14/418719214.db2.gz HNTILODRAQLBGA-QQUHWDOBSA-N 1 2 303.362 1.265 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2C[C@H]3[C@H](CO)[C@H]3C2)c(C#N)c1C ZINC000368334486 418719216 /nfs/dbraw/zinc/71/92/16/418719216.db2.gz HNTILODRAQLBGA-QQUHWDOBSA-N 1 2 303.362 1.265 20 30 DDEDLO CC[C@@H](C#N)n1nnc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000376382222 418696847 /nfs/dbraw/zinc/69/68/47/418696847.db2.gz CSWAKFLGYVZHMX-GJZGRUSLSA-N 1 2 312.377 1.721 20 30 DDEDLO CC[C@@H](C#N)n1nnc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000376382222 418696849 /nfs/dbraw/zinc/69/68/49/418696849.db2.gz CSWAKFLGYVZHMX-GJZGRUSLSA-N 1 2 312.377 1.721 20 30 DDEDLO C[C@H]1C[N@H+](CCN2CCC(C#N)(c3ccccn3)CC2)CCO1 ZINC000369208054 418729778 /nfs/dbraw/zinc/72/97/78/418729778.db2.gz UHUQSFYOZMZEOS-INIZCTEOSA-N 1 2 314.433 1.659 20 30 DDEDLO C[C@H]1C[N@@H+](CCN2CCC(C#N)(c3ccccn3)CC2)CCO1 ZINC000369208054 418729779 /nfs/dbraw/zinc/72/97/79/418729779.db2.gz UHUQSFYOZMZEOS-INIZCTEOSA-N 1 2 314.433 1.659 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1C[C@H](C)[N@H+](C)C[C@H]1C ZINC000361961656 418730418 /nfs/dbraw/zinc/73/04/18/418730418.db2.gz LKFSFLCQZMGNEC-NWDGAFQWSA-N 1 2 323.418 1.280 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1C[C@H](C)[N@@H+](C)C[C@H]1C ZINC000361961656 418730420 /nfs/dbraw/zinc/73/04/20/418730420.db2.gz LKFSFLCQZMGNEC-NWDGAFQWSA-N 1 2 323.418 1.280 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCCC[C@H](CO)C1 ZINC000370227792 418742282 /nfs/dbraw/zinc/74/22/82/418742282.db2.gz OGTIZBZUOMMYGF-HOCLYGCPSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCCC[C@H](CO)C1 ZINC000370227792 418742284 /nfs/dbraw/zinc/74/22/84/418742284.db2.gz OGTIZBZUOMMYGF-HOCLYGCPSA-N 1 2 302.374 1.867 20 30 DDEDLO Cc1nccc(C[N@@H+]2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)n1 ZINC000370682107 418747174 /nfs/dbraw/zinc/74/71/74/418747174.db2.gz DJKBGXCNZXXGNH-ACJLOTCBSA-N 1 2 319.368 1.631 20 30 DDEDLO Cc1nccc(C[N@H+]2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)n1 ZINC000370682107 418747176 /nfs/dbraw/zinc/74/71/76/418747176.db2.gz DJKBGXCNZXXGNH-ACJLOTCBSA-N 1 2 319.368 1.631 20 30 DDEDLO NS(=O)(=O)[C@@H]1CCC[N@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000363781192 418769886 /nfs/dbraw/zinc/76/98/86/418769886.db2.gz XKFBGFWZSRYRMA-CQSZACIVSA-N 1 2 312.822 1.444 20 30 DDEDLO NS(=O)(=O)[C@@H]1CCC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000363781192 418769887 /nfs/dbraw/zinc/76/98/87/418769887.db2.gz XKFBGFWZSRYRMA-CQSZACIVSA-N 1 2 312.822 1.444 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCC(c2nnc(C)[nH]2)CC1 ZINC000364013614 418772451 /nfs/dbraw/zinc/77/24/51/418772451.db2.gz GIOVVSBQGWIRER-CYBMUJFWSA-N 1 2 317.437 1.882 20 30 DDEDLO Cc1ccnc(NC[C@]2([NH+]3CCOCC3)CCSC2)c1C#N ZINC000371692885 418810372 /nfs/dbraw/zinc/81/03/72/418810372.db2.gz NLJYFAXRHQPSMV-MRXNPFEDSA-N 1 2 318.446 1.882 20 30 DDEDLO CC(C)NS(=O)(=O)[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC000365262403 418846882 /nfs/dbraw/zinc/84/68/82/418846882.db2.gz AKXQXJGFAFNLTI-MRXNPFEDSA-N 1 2 306.431 1.440 20 30 DDEDLO CC(C)NS(=O)(=O)[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC000365262403 418846883 /nfs/dbraw/zinc/84/68/83/418846883.db2.gz AKXQXJGFAFNLTI-MRXNPFEDSA-N 1 2 306.431 1.440 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000410804619 418847776 /nfs/dbraw/zinc/84/77/76/418847776.db2.gz ALZVZXNUKHHFRS-AWEZNQCLSA-N 1 2 303.362 1.096 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@H](C)C[C@@H](CO)C1 ZINC000420928860 419361908 /nfs/dbraw/zinc/36/19/08/419361908.db2.gz ATGFDMJOFFASDH-XPKDYRNWSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@H](C)C[C@@H](CO)C1 ZINC000420928860 419361910 /nfs/dbraw/zinc/36/19/10/419361910.db2.gz ATGFDMJOFFASDH-XPKDYRNWSA-N 1 2 302.374 1.723 20 30 DDEDLO Cc1cc(NC(=O)NCc2cc(C)[nH+]c(C)c2)nn1CCC#N ZINC000421082144 419482470 /nfs/dbraw/zinc/48/24/70/419482470.db2.gz HSRHNJJHRAIEEP-UHFFFAOYSA-N 1 2 312.377 2.439 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CCO[C@@H]([C@@H](C)O)C1 ZINC000412090671 419650428 /nfs/dbraw/zinc/65/04/28/419650428.db2.gz YWTILHWJUZVVDM-QGPMSJSTSA-N 1 2 321.417 1.236 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CCO[C@@H]([C@@H](C)O)C1 ZINC000412090671 419650433 /nfs/dbraw/zinc/65/04/33/419650433.db2.gz YWTILHWJUZVVDM-QGPMSJSTSA-N 1 2 321.417 1.236 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@]2([NH+]3CCOCC3)CCSC2)o1 ZINC000428059865 419803438 /nfs/dbraw/zinc/80/34/38/419803438.db2.gz CIMQLSUGNCFFCW-OAHLLOKOSA-N 1 2 321.402 1.089 20 30 DDEDLO CCCNc1nnc(SC[C@H](O)C[N@H+](C)CCC#N)s1 ZINC000414091812 419808253 /nfs/dbraw/zinc/80/82/53/419808253.db2.gz DVVISBDELJPNHQ-SNVBAGLBSA-N 1 2 315.468 1.658 20 30 DDEDLO CCCNc1nnc(SC[C@H](O)C[N@@H+](C)CCC#N)s1 ZINC000414091812 419808259 /nfs/dbraw/zinc/80/82/59/419808259.db2.gz DVVISBDELJPNHQ-SNVBAGLBSA-N 1 2 315.468 1.658 20 30 DDEDLO C[C@H]1CN(c2ccc(Cl)c(F)c2)CC[N@@H+]1CC(=O)NCC#N ZINC000429126097 419971995 /nfs/dbraw/zinc/97/19/95/419971995.db2.gz FOMZJABKMOANSB-NSHDSACASA-N 1 2 324.787 1.629 20 30 DDEDLO C[C@H]1CN(c2ccc(Cl)c(F)c2)CC[N@H+]1CC(=O)NCC#N ZINC000429126097 419971999 /nfs/dbraw/zinc/97/19/99/419971999.db2.gz FOMZJABKMOANSB-NSHDSACASA-N 1 2 324.787 1.629 20 30 DDEDLO CCC[N@H+](CC(N)=O)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000429189440 419984467 /nfs/dbraw/zinc/98/44/67/419984467.db2.gz KVYBAFDCOZLHQE-HNNXBMFYSA-N 1 2 314.389 1.251 20 30 DDEDLO CCC[N@@H+](CC(N)=O)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000429189440 419984470 /nfs/dbraw/zinc/98/44/70/419984470.db2.gz KVYBAFDCOZLHQE-HNNXBMFYSA-N 1 2 314.389 1.251 20 30 DDEDLO CN(Cc1cn2c([nH+]1)CCCC2)[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000429550398 420024240 /nfs/dbraw/zinc/02/42/40/420024240.db2.gz RMPNAPBGSNUIOV-QGZVFWFLSA-N 1 2 323.400 1.749 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000437420369 420406455 /nfs/dbraw/zinc/40/64/55/420406455.db2.gz LMCFQKOSDYJCEE-NSHDSACASA-N 1 2 313.361 1.589 20 30 DDEDLO N#CC1(c2ccccn2)CCN(C(=O)C=Cc2c[nH]c[nH+]2)CC1 ZINC000492857350 420688175 /nfs/dbraw/zinc/68/81/75/420688175.db2.gz DLTMZRVYIGIPHL-PLNGDYQASA-N 1 2 307.357 1.902 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CCN(C(=O)/C=C/c2[nH]cc[nH+]2)CC1 ZINC000493105440 420758567 /nfs/dbraw/zinc/75/85/67/420758567.db2.gz LVAVNRJELJJHGK-MOEXGYKKSA-N 1 2 321.384 1.832 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CCN(C(=O)C=Cc2c[nH]c[nH+]2)CC1 ZINC000493424574 420846211 /nfs/dbraw/zinc/84/62/11/420846211.db2.gz CFGSYIWDWHSZBJ-TUYSUELWSA-N 1 2 321.384 1.832 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CC[NH+]([C@H](C)C(=O)NC2CC2)CC1 ZINC000455359304 421003441 /nfs/dbraw/zinc/00/34/41/421003441.db2.gz AORXRPGBXRTXML-CYBMUJFWSA-N 1 2 322.453 1.193 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1cccc(CC#N)c1)[NH+]1CCOCC1 ZINC000455922015 421077460 /nfs/dbraw/zinc/07/74/60/421077460.db2.gz QIBIBTZXQYKTEC-UONOGXRCSA-N 1 2 316.405 1.983 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1CC2(CN(C(=O)OC(C)(C)C)C2)CC1=O ZINC000491514024 421198488 /nfs/dbraw/zinc/19/84/88/421198488.db2.gz GADKNKJGLTYZDQ-ZDUSSCGKSA-N 1 2 321.421 1.367 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1CC2(CN(C(=O)OC(C)(C)C)C2)CC1=O ZINC000491514024 421198491 /nfs/dbraw/zinc/19/84/91/421198491.db2.gz GADKNKJGLTYZDQ-ZDUSSCGKSA-N 1 2 321.421 1.367 20 30 DDEDLO N#Cc1cccc(NCC2([NH+]3CCOCC3)CC2)c1[N+](=O)[O-] ZINC000450485401 421203269 /nfs/dbraw/zinc/20/32/69/421203269.db2.gz NJSZBUJOHDJNRN-UHFFFAOYSA-N 1 2 302.334 1.743 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2ccc(C(F)(F)F)c(C#N)n2)CCO1 ZINC000562532701 421378550 /nfs/dbraw/zinc/37/85/50/421378550.db2.gz JNDLSZBRKXPVDE-SNVBAGLBSA-N 1 2 314.311 2.105 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2ccc(C(F)(F)F)c(C#N)n2)CCO1 ZINC000562532701 421378551 /nfs/dbraw/zinc/37/85/51/421378551.db2.gz JNDLSZBRKXPVDE-SNVBAGLBSA-N 1 2 314.311 2.105 20 30 DDEDLO CCC(C#N)(CC)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000527830645 421447584 /nfs/dbraw/zinc/44/75/84/421447584.db2.gz DTCAWFCSSNDRLP-UHFFFAOYSA-N 1 2 316.405 1.864 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@H]1c1ccc(=O)[nH]n1)C1CC1 ZINC000528790267 421518340 /nfs/dbraw/zinc/51/83/40/421518340.db2.gz KZPXAQVCJCMHGD-XJKSGUPXSA-N 1 2 315.377 1.128 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@H]1c1ccc(=O)[nH]n1)C1CC1 ZINC000528790267 421518342 /nfs/dbraw/zinc/51/83/42/421518342.db2.gz KZPXAQVCJCMHGD-XJKSGUPXSA-N 1 2 315.377 1.128 20 30 DDEDLO N#Cc1cnn2cc(CNc3ccc(N4CCCC4)c[nH+]3)cnc12 ZINC000563154113 421463959 /nfs/dbraw/zinc/46/39/59/421463959.db2.gz GOKWUXHNCMZAEB-UHFFFAOYSA-N 1 2 319.372 2.208 20 30 DDEDLO CCOCc1nc(C)c(C(=O)N[C@](C)(C#N)C[NH+](C)C)s1 ZINC000563220573 421473826 /nfs/dbraw/zinc/47/38/26/421473826.db2.gz RHJZEYKVOOAMRE-CQSZACIVSA-N 1 2 310.423 1.562 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N(C)CC[N@@H+]1CCOC(C)(C)C1 ZINC000552061144 421570064 /nfs/dbraw/zinc/57/00/64/421570064.db2.gz MFOKMBRPIOQMKE-UHFFFAOYSA-N 1 2 316.405 1.445 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N(C)CC[N@H+]1CCOC(C)(C)C1 ZINC000552061144 421570065 /nfs/dbraw/zinc/57/00/65/421570065.db2.gz MFOKMBRPIOQMKE-UHFFFAOYSA-N 1 2 316.405 1.445 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N(C)Cc1cn2c([nH+]1)CCCC2 ZINC000567742929 421614673 /nfs/dbraw/zinc/61/46/73/421614673.db2.gz FCOXLGWIFYRCMX-ZFWWWQNUSA-N 1 2 318.421 1.952 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000569669843 421626781 /nfs/dbraw/zinc/62/67/81/421626781.db2.gz IQHLHHGZVVSSPH-JONQDZQNSA-N 1 2 323.437 1.130 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000569669843 421626784 /nfs/dbraw/zinc/62/67/84/421626784.db2.gz IQHLHHGZVVSSPH-JONQDZQNSA-N 1 2 323.437 1.130 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@H](C#N)CCc2ccccc2)CCO1 ZINC000570361591 421641372 /nfs/dbraw/zinc/64/13/72/421641372.db2.gz DIVPJPFPGJKICF-WBVHZDCISA-N 1 2 315.417 1.596 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@H](C#N)CCc2ccccc2)CCO1 ZINC000570361591 421641374 /nfs/dbraw/zinc/64/13/74/421641374.db2.gz DIVPJPFPGJKICF-WBVHZDCISA-N 1 2 315.417 1.596 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cccc2c1CCCC2=O ZINC000565997513 421601407 /nfs/dbraw/zinc/60/14/07/421601407.db2.gz UKSKYQUOBMOKQN-CYBMUJFWSA-N 1 2 302.374 1.791 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cccc2c1CCCC2=O ZINC000565997513 421601410 /nfs/dbraw/zinc/60/14/10/421601410.db2.gz UKSKYQUOBMOKQN-CYBMUJFWSA-N 1 2 302.374 1.791 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)CCN1CCCC1=O ZINC000534275686 421686841 /nfs/dbraw/zinc/68/68/41/421686841.db2.gz ROBCBOGAVPIQTN-CYBMUJFWSA-N 1 2 314.389 1.439 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)CCN1CCCC1=O ZINC000534275686 421686843 /nfs/dbraw/zinc/68/68/43/421686843.db2.gz ROBCBOGAVPIQTN-CYBMUJFWSA-N 1 2 314.389 1.439 20 30 DDEDLO COc1c(N)[nH+]cnc1N(C)CCOCc1ccc(C#N)cc1 ZINC000519658745 421713585 /nfs/dbraw/zinc/71/35/85/421713585.db2.gz YHMSKQFTRCKKOT-UHFFFAOYSA-N 1 2 313.361 1.592 20 30 DDEDLO COc1c(N)nc[nH+]c1N(C)CCOCc1ccc(C#N)cc1 ZINC000519658745 421713589 /nfs/dbraw/zinc/71/35/89/421713589.db2.gz YHMSKQFTRCKKOT-UHFFFAOYSA-N 1 2 313.361 1.592 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)[C@H](CC)[N@@H+]1CCO[C@H](CC)C1 ZINC000556680466 421720132 /nfs/dbraw/zinc/72/01/32/421720132.db2.gz VPAZMZMTOLEPNH-WCVJEAGWSA-N 1 2 310.438 1.726 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)[C@H](CC)[N@H+]1CCO[C@H](CC)C1 ZINC000556680466 421720135 /nfs/dbraw/zinc/72/01/35/421720135.db2.gz VPAZMZMTOLEPNH-WCVJEAGWSA-N 1 2 310.438 1.726 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000636883818 421882205 /nfs/dbraw/zinc/88/22/05/421882205.db2.gz ROZAVSKDCUJLDE-GFCCVEGCSA-N 1 2 304.394 1.033 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](Cc3ccc(C#N)cn3)CC2)nc1 ZINC000581892405 422064520 /nfs/dbraw/zinc/06/45/20/422064520.db2.gz KRVCBZVQJAJKPQ-UHFFFAOYSA-N 1 2 318.384 1.538 20 30 DDEDLO C=CCOc1c(Cl)cc(C[N@H+]2C[C@@H](O)[C@@H](O)C2)cc1OC ZINC000628178375 422072282 /nfs/dbraw/zinc/07/22/82/422072282.db2.gz NQNJGWOBACDFDM-BETUJISGSA-N 1 2 313.781 1.451 20 30 DDEDLO C=CCOc1c(Cl)cc(C[N@@H+]2C[C@@H](O)[C@@H](O)C2)cc1OC ZINC000628178375 422072287 /nfs/dbraw/zinc/07/22/87/422072287.db2.gz NQNJGWOBACDFDM-BETUJISGSA-N 1 2 313.781 1.451 20 30 DDEDLO C[N@H+](CC#CCN1C(=O)c2ccccc2C1=O)[C@@H]1CCC[C@H]1C#N ZINC000602981918 422440985 /nfs/dbraw/zinc/44/09/85/422440985.db2.gz LLXYUHAWCQEEIC-WMLDXEAASA-N 1 2 321.380 1.910 20 30 DDEDLO C[N@@H+](CC#CCN1C(=O)c2ccccc2C1=O)[C@@H]1CCC[C@H]1C#N ZINC000602981918 422440991 /nfs/dbraw/zinc/44/09/91/422440991.db2.gz LLXYUHAWCQEEIC-WMLDXEAASA-N 1 2 321.380 1.910 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC000597816781 422392199 /nfs/dbraw/zinc/39/21/99/422392199.db2.gz CQBFIHYZKMYVGC-VXGBXAGGSA-N 1 2 320.356 2.219 20 30 DDEDLO C=CCc1cc(CNc2nc(C)[nH+]c(N)n2)cc(OC)c1OC ZINC000631086724 422518259 /nfs/dbraw/zinc/51/82/59/422518259.db2.gz WTTNLSJUFMRVMW-UHFFFAOYSA-N 1 2 315.377 1.542 20 30 DDEDLO C=CCc1cc(CNc2nc(N)nc(C)[nH+]2)cc(OC)c1OC ZINC000631086724 422518263 /nfs/dbraw/zinc/51/82/63/422518263.db2.gz WTTNLSJUFMRVMW-UHFFFAOYSA-N 1 2 315.377 1.542 20 30 DDEDLO C=CCCC(C)(C)CNS(=O)(=O)C[C@H]1C[N@H+](C)CCO1 ZINC000632640941 422490685 /nfs/dbraw/zinc/49/06/85/422490685.db2.gz KIDAFDIIVFENEU-CYBMUJFWSA-N 1 2 304.456 1.229 20 30 DDEDLO C=CCCC(C)(C)CNS(=O)(=O)C[C@H]1C[N@@H+](C)CCO1 ZINC000632640941 422490688 /nfs/dbraw/zinc/49/06/88/422490688.db2.gz KIDAFDIIVFENEU-CYBMUJFWSA-N 1 2 304.456 1.229 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000636005837 422556471 /nfs/dbraw/zinc/55/64/71/422556471.db2.gz PUCVXSUBFORBNC-RDJZCZTQSA-N 1 2 318.417 1.713 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000636005837 422556476 /nfs/dbraw/zinc/55/64/76/422556476.db2.gz PUCVXSUBFORBNC-RDJZCZTQSA-N 1 2 318.417 1.713 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000636111613 422653441 /nfs/dbraw/zinc/65/34/41/422653441.db2.gz NHINHCQWCOIDST-GHMZBOCLSA-N 1 2 303.284 1.267 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[C@@H](C)[C@H](n3cc[nH+]c3)C2)nn1 ZINC000648823793 423480895 /nfs/dbraw/zinc/48/08/95/423480895.db2.gz RMDRZAUOSPGYFW-TZMCWYRMSA-N 1 2 300.366 1.384 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC([C@@H](O)C(F)(F)F)CC2)nn1 ZINC000653570238 423534932 /nfs/dbraw/zinc/53/49/32/423534932.db2.gz GSTXHUQOBBUBAH-CYBMUJFWSA-N 1 2 318.343 1.989 20 30 DDEDLO C=CCCOCC(=O)N(CC[NH+]1CCOCC1)Cc1ccco1 ZINC000654474135 423931108 /nfs/dbraw/zinc/93/11/08/423931108.db2.gz KLWGBMZNZDQFPX-UHFFFAOYSA-N 1 2 322.405 1.533 20 30 DDEDLO CC#CC[C@@H](CO)[NH2+]Cc1c(Cl)cccc1S(C)(=O)=O ZINC000641767305 423959139 /nfs/dbraw/zinc/95/91/39/423959139.db2.gz ILAJRNSHSNWFBW-NSHDSACASA-N 1 2 315.822 1.607 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@H+](Cc3cc(C#N)ccc3F)CCN2C1=O ZINC000369424788 266141684 /nfs/dbraw/zinc/14/16/84/266141684.db2.gz IMAWVUABDOIGSW-AWEZNQCLSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@@H+](Cc3cc(C#N)ccc3F)CCN2C1=O ZINC000369424788 266141686 /nfs/dbraw/zinc/14/16/86/266141686.db2.gz IMAWVUABDOIGSW-AWEZNQCLSA-N 1 2 316.336 1.166 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3ccc(C#N)nc3)CC2)ccn1 ZINC000374566651 266811911 /nfs/dbraw/zinc/81/19/11/266811911.db2.gz RLQNNSNYQDFVFL-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[C@@H]1COCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000374369264 267068543 /nfs/dbraw/zinc/06/85/43/267068543.db2.gz YHHZMNRGSOWIHR-ZDUSSCGKSA-N 1 2 308.403 1.300 20 30 DDEDLO C[C@@H]1COCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000374369264 267068546 /nfs/dbraw/zinc/06/85/46/267068546.db2.gz YHHZMNRGSOWIHR-ZDUSSCGKSA-N 1 2 308.403 1.300 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CC[C@@H](n2cncn2)C1 ZINC000375316153 267141038 /nfs/dbraw/zinc/14/10/38/267141038.db2.gz BXQNGJNIDINRQU-TZMCWYRMSA-N 1 2 310.361 1.424 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CC[C@@H](n2cncn2)C1 ZINC000375316153 267141040 /nfs/dbraw/zinc/14/10/40/267141040.db2.gz BXQNGJNIDINRQU-TZMCWYRMSA-N 1 2 310.361 1.424 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000371307389 267200848 /nfs/dbraw/zinc/20/08/48/267200848.db2.gz DLGNOCHEEQPEAC-AAEUAGOBSA-N 1 2 310.357 1.722 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000371307389 267200852 /nfs/dbraw/zinc/20/08/52/267200852.db2.gz DLGNOCHEEQPEAC-AAEUAGOBSA-N 1 2 310.357 1.722 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3c(C#N)c(C)nn3C)CC2)on1 ZINC000351625605 267323772 /nfs/dbraw/zinc/32/37/72/267323772.db2.gz APXMSVYSNSMSET-UHFFFAOYSA-N 1 2 300.366 1.219 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[NH2+]C[C@H]1C(F)F ZINC000367468962 267394542 /nfs/dbraw/zinc/39/45/42/267394542.db2.gz GDWOLFGQTFUJKX-NSHDSACASA-N 1 2 315.345 1.094 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2C[C@H]3CCCCC[C@H]32)nc1 ZINC000377569231 268188562 /nfs/dbraw/zinc/18/85/62/268188562.db2.gz AMCSZMPXBPUEKG-HUUCEWRRSA-N 1 2 319.430 1.991 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2C[C@H]3CCCCC[C@H]32)nc1 ZINC000377569231 268188564 /nfs/dbraw/zinc/18/85/64/268188564.db2.gz AMCSZMPXBPUEKG-HUUCEWRRSA-N 1 2 319.430 1.991 20 30 DDEDLO Cc1ncc(S(=O)(=O)N2CC[N@@H+]3CCCC[C@H]3C2)cc1C#N ZINC000320058089 271024637 /nfs/dbraw/zinc/02/46/37/271024637.db2.gz ZSKYYHBZLMRIRP-AWEZNQCLSA-N 1 2 320.418 1.121 20 30 DDEDLO Cc1ncc(S(=O)(=O)N2CC[N@H+]3CCCC[C@H]3C2)cc1C#N ZINC000320058089 271024641 /nfs/dbraw/zinc/02/46/41/271024641.db2.gz ZSKYYHBZLMRIRP-AWEZNQCLSA-N 1 2 320.418 1.121 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2ccc(C#N)s2)[C@@H](C)C1 ZINC000341459235 271078289 /nfs/dbraw/zinc/07/82/89/271078289.db2.gz CSYPDMSFJILGNQ-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2ccc(C#N)s2)[C@@H](C)C1 ZINC000341459235 271078292 /nfs/dbraw/zinc/07/82/92/271078292.db2.gz CSYPDMSFJILGNQ-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(F)cc3C#N)C[C@@H]21 ZINC000285872492 277120217 /nfs/dbraw/zinc/12/02/17/277120217.db2.gz RKSFCMWLQUAVGR-LSDHHAIUSA-N 1 2 318.352 1.634 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(F)cc3C#N)C[C@@H]21 ZINC000285872492 277120219 /nfs/dbraw/zinc/12/02/19/277120219.db2.gz RKSFCMWLQUAVGR-LSDHHAIUSA-N 1 2 318.352 1.634 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)NCc1ccc(C#N)cc1 ZINC000413112738 277299100 /nfs/dbraw/zinc/29/91/00/277299100.db2.gz XTNRSSISPFXOKO-UHFFFAOYSA-N 1 2 316.405 1.468 20 30 DDEDLO CC(C)c1cc(C(=O)NC(C)(C)C[NH+]2CCOCC2)nn1C ZINC000331330756 278005565 /nfs/dbraw/zinc/00/55/65/278005565.db2.gz HKCPOQLJVJGEFG-UHFFFAOYSA-N 1 2 308.426 1.959 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1CCCOCC1 ZINC000329541170 288711145 /nfs/dbraw/zinc/71/11/45/288711145.db2.gz NGMHNTDDZZNPNM-ILXRZTDVSA-N 1 2 311.426 1.265 20 30 DDEDLO N#C[C@@H]1N(CC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CCC[C@]12CCCCO2 ZINC000447919117 303164575 /nfs/dbraw/zinc/16/45/75/303164575.db2.gz UEJJUHIWEWCYKW-XWTMOSNGSA-N 1 2 319.449 1.777 20 30 DDEDLO N#C[C@@H]1N(CC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CCC[C@]12CCCCO2 ZINC000447919117 303164577 /nfs/dbraw/zinc/16/45/77/303164577.db2.gz UEJJUHIWEWCYKW-XWTMOSNGSA-N 1 2 319.449 1.777 20 30 DDEDLO N#Cc1ncccc1S(=O)(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000276939908 303217601 /nfs/dbraw/zinc/21/76/01/303217601.db2.gz ANIKRCFSOWVLOD-GFCCVEGCSA-N 1 2 317.374 1.592 20 30 DDEDLO O=C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCCC2)N1CCn2cc[nH+]c2C1 ZINC000328824253 303513875 /nfs/dbraw/zinc/51/38/75/303513875.db2.gz IOJUWFAFFREGTI-NWANDNLSSA-N 1 2 316.405 1.960 20 30 DDEDLO Cc1csc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1C#N ZINC000575427721 308277163 /nfs/dbraw/zinc/27/71/63/308277163.db2.gz ZGIHDTCRYCRPNO-TXEJJXNPSA-N 1 2 320.418 1.913 20 30 DDEDLO Cc1csc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1C#N ZINC000575427721 308277164 /nfs/dbraw/zinc/27/71/64/308277164.db2.gz ZGIHDTCRYCRPNO-TXEJJXNPSA-N 1 2 320.418 1.913 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCCCn2cc[nH+]c2)cc1F ZINC000576411440 308313158 /nfs/dbraw/zinc/31/31/58/308313158.db2.gz XMHAQXNGENJNLR-UHFFFAOYSA-N 1 2 322.365 1.653 20 30 DDEDLO CC/C=C/CCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000578621948 308473375 /nfs/dbraw/zinc/47/33/75/308473375.db2.gz XOFFTILTTBSEFZ-ONEGZZNKSA-N 1 2 301.390 1.908 20 30 DDEDLO CCNc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1[N+](=O)[O-] ZINC000570635853 332788297 /nfs/dbraw/zinc/78/82/97/332788297.db2.gz XYAAVEJKNHAREN-OAHLLOKOSA-N 1 2 319.365 1.600 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1ccccc1C(F)(F)F ZINC000111181757 332301973 /nfs/dbraw/zinc/30/19/73/332301973.db2.gz WETVIENGAOFWEE-UHFFFAOYSA-N 1 2 317.311 1.826 20 30 DDEDLO CO[C@H](C)c1nc(C)c(C(=O)N[C@](C)(C#N)C[NH+](C)C)s1 ZINC000580332784 333329151 /nfs/dbraw/zinc/32/91/51/333329151.db2.gz DGIQUZATAJQUHF-QMTHXVAHSA-N 1 2 310.423 1.733 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NCc1ccc[nH+]c1N(C)C ZINC000340232583 334153598 /nfs/dbraw/zinc/15/35/98/334153598.db2.gz XXJCZWCEVHQGCW-UHFFFAOYSA-N 1 2 304.394 1.188 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)C(=O)NCc1[nH+]ccn1C ZINC000342963892 334591749 /nfs/dbraw/zinc/59/17/49/334591749.db2.gz BMTNHFUDAMMDOL-UHFFFAOYSA-N 1 2 312.373 1.251 20 30 DDEDLO C[C@@H](CCC#N)N1CC[NH+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000351616723 336050614 /nfs/dbraw/zinc/05/06/14/336050614.db2.gz UULORDVWMBMQGP-HOTGVXAUSA-N 1 2 306.454 1.697 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@@H]1CC(=O)Nc2cc(F)ccc21 ZINC000582939974 337219896 /nfs/dbraw/zinc/21/98/96/337219896.db2.gz DUKBFUXWSBARFY-MLGOLLRUSA-N 1 2 318.352 1.212 20 30 DDEDLO COc1cccc(CCC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1OC ZINC000583148736 337246294 /nfs/dbraw/zinc/24/62/94/337246294.db2.gz NYJSYJUDSJBSRA-KRWDZBQOSA-N 1 2 319.405 1.596 20 30 DDEDLO C[C@@H]([NH2+]CCS(=O)(=O)c1ccc(C#N)cc1)c1csnn1 ZINC000398260516 337310539 /nfs/dbraw/zinc/31/05/39/337310539.db2.gz AHEUHKOGCNCYRD-SNVBAGLBSA-N 1 2 322.415 1.534 20 30 DDEDLO CC(=O)N[C@@H](CC(=O)N[C@](C)(C#N)C[NH+](C)C)c1ccccc1 ZINC000514941930 337974670 /nfs/dbraw/zinc/97/46/70/337974670.db2.gz LNBRYKXRSLFVPD-DOTOQJQBSA-N 1 2 316.405 1.214 20 30 DDEDLO C#CC[C@H]([NH2+][C@@H](C)C1CN(C(=O)OC(C)(C)C)C1)C(=O)OC ZINC000496539653 340007418 /nfs/dbraw/zinc/00/74/18/340007418.db2.gz LSQMYIJJJLXLMB-AAEUAGOBSA-N 1 2 310.394 1.396 20 30 DDEDLO CC1(C#N)CC[NH+]([C@@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)CC1 ZINC000543716964 341055040 /nfs/dbraw/zinc/05/50/40/341055040.db2.gz SFSUFIUJKSKFEK-OAHLLOKOSA-N 1 2 322.368 1.816 20 30 DDEDLO N#CCC1CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)CC1 ZINC000543791282 341058983 /nfs/dbraw/zinc/05/89/83/341058983.db2.gz BWRZBTAFCNYIOK-OAHLLOKOSA-N 1 2 315.421 1.815 20 30 DDEDLO N#CCC1CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)CC1 ZINC000543791282 341058984 /nfs/dbraw/zinc/05/89/84/341058984.db2.gz BWRZBTAFCNYIOK-OAHLLOKOSA-N 1 2 315.421 1.815 20 30 DDEDLO CC(=O)N[C@H](CC(=O)N[C@](C)(C#N)C[NH+](C)C)c1cccs1 ZINC000566563499 341561817 /nfs/dbraw/zinc/56/18/17/341561817.db2.gz DQTHPQPBDBBPMI-IUODEOHRSA-N 1 2 322.434 1.275 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)nn1 ZINC000577947963 341955314 /nfs/dbraw/zinc/95/53/14/341955314.db2.gz IVWMJWSKOBPWLM-ZDUSSCGKSA-N 1 2 300.366 1.945 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)Nc1cc[nH+]cc1N(C)C ZINC000670082753 484750681 /nfs/dbraw/zinc/75/06/81/484750681.db2.gz XAOBDRLUNVAVGN-IUODEOHRSA-N 1 2 304.394 1.672 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NC(=O)C(=O)NCCn1cc[nH+]c1 ZINC000668375676 485138509 /nfs/dbraw/zinc/13/85/09/485138509.db2.gz NZLFZQYAILIMMA-KGLIPLIRSA-N 1 2 304.394 1.250 20 30 DDEDLO C[C@@H]1c2nncn2CCN1C(=O)NC[C@H]1CCCn2cc[nH+]c21 ZINC000330042782 534259447 /nfs/dbraw/zinc/25/94/47/534259447.db2.gz GYVGRZMCJNFORD-VXGBXAGGSA-N 1 2 315.381 1.343 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000347116387 534284504 /nfs/dbraw/zinc/28/45/04/534284504.db2.gz RYWHISQUVRRCAF-GDBMZVCRSA-N 1 2 301.390 1.206 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[NH+]2CCC(CO)CC2)c(C#N)c1C ZINC000298790094 534547871 /nfs/dbraw/zinc/54/78/71/534547871.db2.gz YKYBXNJVXLOOGC-NSHDSACASA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@@H+](CC(=O)NC3(C#N)CCCCC3)C2)n1 ZINC000334052106 534614062 /nfs/dbraw/zinc/61/40/62/534614062.db2.gz SMMKZNXXOZVXSZ-ZDUSSCGKSA-N 1 2 316.409 1.245 20 30 DDEDLO Cc1nnc([C@H]2CC[N@H+](CC(=O)NC3(C#N)CCCCC3)C2)[nH]1 ZINC000334052106 534614044 /nfs/dbraw/zinc/61/40/44/534614044.db2.gz SMMKZNXXOZVXSZ-ZDUSSCGKSA-N 1 2 316.409 1.245 20 30 DDEDLO Cc1nnc([C@H]2CC[N@@H+](CC(=O)NC3(C#N)CCCCC3)C2)[nH]1 ZINC000334052106 534614050 /nfs/dbraw/zinc/61/40/50/534614050.db2.gz SMMKZNXXOZVXSZ-ZDUSSCGKSA-N 1 2 316.409 1.245 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@H+](CC(=O)NC3(C#N)CCCCC3)C2)n1 ZINC000334052106 534614054 /nfs/dbraw/zinc/61/40/54/534614054.db2.gz SMMKZNXXOZVXSZ-ZDUSSCGKSA-N 1 2 316.409 1.245 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000156810785 523526754 /nfs/dbraw/zinc/52/67/54/523526754.db2.gz WZJFRMYICFPIRD-DLBZAZTESA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000156810785 523526764 /nfs/dbraw/zinc/52/67/64/523526764.db2.gz WZJFRMYICFPIRD-DLBZAZTESA-N 1 2 302.374 1.947 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@H+]3CCSC[C@@H]3CO)o2)c1 ZINC000330851898 534802033 /nfs/dbraw/zinc/80/20/33/534802033.db2.gz QHFAMYLJMMKLHF-ZDUSSCGKSA-N 1 2 316.386 1.518 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@@H+]3CCSC[C@@H]3CO)o2)c1 ZINC000330851898 534802038 /nfs/dbraw/zinc/80/20/38/534802038.db2.gz QHFAMYLJMMKLHF-ZDUSSCGKSA-N 1 2 316.386 1.518 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000339765742 526357593 /nfs/dbraw/zinc/35/75/93/526357593.db2.gz JNCYWZMPCQGXME-UHFFFAOYSA-N 1 2 317.345 1.061 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000339765742 526357597 /nfs/dbraw/zinc/35/75/97/526357597.db2.gz JNCYWZMPCQGXME-UHFFFAOYSA-N 1 2 317.345 1.061 20 30 DDEDLO CNC(=O)Cc1noc(C[N@@H+]2CCOC[C@@H](C3CCC3)C2)n1 ZINC000330264360 526399713 /nfs/dbraw/zinc/39/97/13/526399713.db2.gz XVKGFWCMBYATQY-LBPRGKRZSA-N 1 2 308.382 1.447 20 30 DDEDLO CNC(=O)Cc1noc(C[N@H+]2CCOC[C@@H](C3CCC3)C2)n1 ZINC000330264360 526399720 /nfs/dbraw/zinc/39/97/20/526399720.db2.gz XVKGFWCMBYATQY-LBPRGKRZSA-N 1 2 308.382 1.447 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2cc(Cl)ccc2C)C1 ZINC000330956597 526400209 /nfs/dbraw/zinc/40/02/09/526400209.db2.gz UUKQIMCRMIUCNL-GFCCVEGCSA-N 1 2 324.812 1.795 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2cc(Cl)ccc2C)C1 ZINC000330956597 526400212 /nfs/dbraw/zinc/40/02/12/526400212.db2.gz UUKQIMCRMIUCNL-GFCCVEGCSA-N 1 2 324.812 1.795 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccc(C)c(C)c2)C1 ZINC000330962611 526402271 /nfs/dbraw/zinc/40/22/71/526402271.db2.gz YSFPCPXEZMBOQB-AWEZNQCLSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C)c(C)c2)C1 ZINC000330962611 526402275 /nfs/dbraw/zinc/40/22/75/526402275.db2.gz YSFPCPXEZMBOQB-AWEZNQCLSA-N 1 2 304.394 1.450 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N2C[C@H](C)C[C@H](C)C2)C1=O ZINC000337235751 526469877 /nfs/dbraw/zinc/46/98/77/526469877.db2.gz XVKPQLLIQPYQKA-QLFBSQMISA-N 1 2 307.438 1.210 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N2C[C@H](C)C[C@H](C)C2)C1=O ZINC000337235751 526469881 /nfs/dbraw/zinc/46/98/81/526469881.db2.gz XVKPQLLIQPYQKA-QLFBSQMISA-N 1 2 307.438 1.210 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc([N+](=O)[O-])c(OC)c2)C1=O ZINC000337221117 526471539 /nfs/dbraw/zinc/47/15/39/526471539.db2.gz DUXHZUHNZGUDEH-CQSZACIVSA-N 1 2 319.361 1.822 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc([N+](=O)[O-])c(OC)c2)C1=O ZINC000337221117 526471543 /nfs/dbraw/zinc/47/15/43/526471543.db2.gz DUXHZUHNZGUDEH-CQSZACIVSA-N 1 2 319.361 1.822 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NC(C2CC2)C2CC2)C1=O ZINC000337203740 526498879 /nfs/dbraw/zinc/49/88/79/526498879.db2.gz GUHHYXFJQAMWPN-AWEZNQCLSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NC(C2CC2)C2CC2)C1=O ZINC000337203740 526498885 /nfs/dbraw/zinc/49/88/85/526498885.db2.gz GUHHYXFJQAMWPN-AWEZNQCLSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CCNC(=O)C[N@H+](Cc1cccs1)[C@H]1C=C[C@@H](CO)C1 ZINC000347336060 526528284 /nfs/dbraw/zinc/52/82/84/526528284.db2.gz KGOYEFVVGDUKAI-KGLIPLIRSA-N 1 2 306.431 1.789 20 30 DDEDLO C=CCNC(=O)C[N@@H+](Cc1cccs1)[C@H]1C=C[C@@H](CO)C1 ZINC000347336060 526528290 /nfs/dbraw/zinc/52/82/90/526528290.db2.gz KGOYEFVVGDUKAI-KGLIPLIRSA-N 1 2 306.431 1.789 20 30 DDEDLO C=CCNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000339228644 526637827 /nfs/dbraw/zinc/63/78/27/526637827.db2.gz GARAQYDZGSPNRS-AWEZNQCLSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000339228644 526637830 /nfs/dbraw/zinc/63/78/30/526637830.db2.gz GARAQYDZGSPNRS-AWEZNQCLSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[NH2+][C@@H](c2cccnc2)C1)OCC ZINC000337666593 526809747 /nfs/dbraw/zinc/80/97/47/526809747.db2.gz SXXKVDNIVFNYMN-CVEARBPZSA-N 1 2 303.406 1.926 20 30 DDEDLO C#CC[N@@H+](CC#CC)Cc1ccc(S(=O)(=O)N(C)C)cc1 ZINC000490872490 526864538 /nfs/dbraw/zinc/86/45/38/526864538.db2.gz FUHDGIQGHMFLJS-UHFFFAOYSA-N 1 2 304.415 1.395 20 30 DDEDLO C#CC[N@H+](CC#CC)Cc1ccc(S(=O)(=O)N(C)C)cc1 ZINC000490872490 526864542 /nfs/dbraw/zinc/86/45/42/526864542.db2.gz FUHDGIQGHMFLJS-UHFFFAOYSA-N 1 2 304.415 1.395 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@]1(C#N)CCC[C@@H](C)C1 ZINC000339279042 526894173 /nfs/dbraw/zinc/89/41/73/526894173.db2.gz BQRLTPVTENSHRF-XFJVYGCCSA-N 1 2 317.393 1.046 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@]1(C#N)CCC[C@@H](C)C1 ZINC000339279042 526894176 /nfs/dbraw/zinc/89/41/76/526894176.db2.gz BQRLTPVTENSHRF-XFJVYGCCSA-N 1 2 317.393 1.046 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN2Cc3ccc(Cl)cc3C2)CC1 ZINC000491514550 526950506 /nfs/dbraw/zinc/95/05/06/526950506.db2.gz IQRZPFFSQCXCCP-UHFFFAOYSA-N 1 2 317.820 1.433 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(F)(F)F)n2CC)CC1 ZINC000491628002 526955310 /nfs/dbraw/zinc/95/53/10/526955310.db2.gz NAPCZGUJSGFGEF-UHFFFAOYSA-N 1 2 301.316 1.158 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nc(C(C)(C)C)no2)CC1 ZINC000491203184 526970564 /nfs/dbraw/zinc/97/05/64/526970564.db2.gz TYONAEMZGKIILY-UHFFFAOYSA-N 1 2 304.394 1.329 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000342768116 526987504 /nfs/dbraw/zinc/98/75/04/526987504.db2.gz IOYYIQSUUXCJHD-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C2/CC[C@H](C)C2)CC1 ZINC000490781221 526990220 /nfs/dbraw/zinc/99/02/20/526990220.db2.gz YVXIUEMCIYZLKV-WSTOHFRZSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C2/CC[C@H](C)C2)CC1 ZINC000490781221 526990223 /nfs/dbraw/zinc/99/02/23/526990223.db2.gz YVXIUEMCIYZLKV-WSTOHFRZSA-N 1 2 317.433 1.017 20 30 DDEDLO C#C[C@@H](CC)NC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000491698829 527234508 /nfs/dbraw/zinc/23/45/08/527234508.db2.gz CUFRSCWHSVESII-INIZCTEOSA-N 1 2 315.417 1.804 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000491657902 527236590 /nfs/dbraw/zinc/23/65/90/527236590.db2.gz KPZLHBWUBKVNKT-DLBZAZTESA-N 1 2 315.417 1.771 20 30 DDEDLO C#C[C@@H](NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C)c1ccc(F)cc1 ZINC000491352438 527277363 /nfs/dbraw/zinc/27/73/63/527277363.db2.gz ZNVGINZWTYLZHR-HZPDHXFCSA-N 1 2 318.396 1.045 20 30 DDEDLO C#C[C@@H](NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)c1ccc(F)cc1 ZINC000491352438 527277367 /nfs/dbraw/zinc/27/73/67/527277367.db2.gz ZNVGINZWTYLZHR-HZPDHXFCSA-N 1 2 318.396 1.045 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000491523078 527322379 /nfs/dbraw/zinc/32/23/79/527322379.db2.gz BKINAXSTGVLGPB-ZDUSSCGKSA-N 1 2 302.378 1.081 20 30 DDEDLO C=CCn1c([C@@H](C)CC)nnc1N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000337920752 527349099 /nfs/dbraw/zinc/34/90/99/527349099.db2.gz YUHFWQAXSAPIMD-LSDHHAIUSA-N 1 2 319.453 1.889 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@@H+]2CCO[C@@H](C3CC3)C2)C1 ZINC000330120969 527543092 /nfs/dbraw/zinc/54/30/92/527543092.db2.gz GPBWRZYNGQSPRV-HUUCEWRRSA-N 1 2 309.410 1.065 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@H+]2CCO[C@@H](C3CC3)C2)C1 ZINC000330120969 527543097 /nfs/dbraw/zinc/54/30/97/527543097.db2.gz GPBWRZYNGQSPRV-HUUCEWRRSA-N 1 2 309.410 1.065 20 30 DDEDLO CCN1CN(C(=O)NCc2cn3ccc(C)cc3[nH+]2)CC1=O ZINC000330137609 527905278 /nfs/dbraw/zinc/90/52/78/527905278.db2.gz HYUIUUAHRONANF-UHFFFAOYSA-N 1 2 301.350 1.178 20 30 DDEDLO CC(C)c1nnc(CN2CC[NH+](CC(=O)NC3CC3)CC2)[nH]1 ZINC000330323975 528247087 /nfs/dbraw/zinc/24/70/87/528247087.db2.gz TXYGRHFALDGQJM-UHFFFAOYSA-N 1 2 306.414 1.165 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCc2cc(OC)c(F)cc2C1 ZINC000348793599 528362923 /nfs/dbraw/zinc/36/29/23/528362923.db2.gz HPAYMVABLUBPLT-UHFFFAOYSA-N 1 2 319.380 1.955 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCc2cc(OC)c(F)cc2C1 ZINC000348793599 528362926 /nfs/dbraw/zinc/36/29/26/528362926.db2.gz HPAYMVABLUBPLT-UHFFFAOYSA-N 1 2 319.380 1.955 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000330747840 528409230 /nfs/dbraw/zinc/40/92/30/528409230.db2.gz GRFWORAJEVLHMW-VBQJREDUSA-N 1 2 322.453 1.420 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000330747840 528409234 /nfs/dbraw/zinc/40/92/34/528409234.db2.gz GRFWORAJEVLHMW-VBQJREDUSA-N 1 2 322.453 1.420 20 30 DDEDLO CCNC(=O)C1(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)CCC1 ZINC000330192993 528820989 /nfs/dbraw/zinc/82/09/89/528820989.db2.gz YGZYCKAZIUWHRX-OLZOCXBDSA-N 1 2 324.425 1.062 20 30 DDEDLO CCNC(=O)C1(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)CCC1 ZINC000330192993 528820993 /nfs/dbraw/zinc/82/09/93/528820993.db2.gz YGZYCKAZIUWHRX-OLZOCXBDSA-N 1 2 324.425 1.062 20 30 DDEDLO CCN1CC[NH+](C[C@H](C)CNC(=O)C2CCC(=O)CC2)CC1 ZINC000329795232 529147590 /nfs/dbraw/zinc/14/75/90/529147590.db2.gz CPYLBNWPKOVOTK-CQSZACIVSA-N 1 2 309.454 1.976 20 30 DDEDLO CC[C@H]1COCCN1C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000330795621 529306158 /nfs/dbraw/zinc/30/61/58/529306158.db2.gz DYMNPYNFXLTGLZ-JTQLQIEISA-N 1 2 320.315 1.970 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC([NH2+]CCNC(=O)[O-])CC2)cc1 ZINC000833972282 606008094 /nfs/dbraw/zinc/00/80/94/606008094.db2.gz YPIGBQZTVIPXNN-UHFFFAOYSA-N 1 2 302.378 1.380 20 30 DDEDLO CC(C)Cc1nc(-c2nn[nH]n2)c(N=NC2C[C@H](C)[N@H+](C)C2)o1 ZINC000820108307 606776289 /nfs/dbraw/zinc/77/62/89/606776289.db2.gz IGTPOIFTOCVCQA-VIFPVBQESA-N 1 2 318.385 1.545 20 30 DDEDLO CC(C)Cc1nc(-c2nn[nH]n2)c(N=NC2C[C@H](C)[N@@H+](C)C2)o1 ZINC000820108307 606776290 /nfs/dbraw/zinc/77/62/90/606776290.db2.gz IGTPOIFTOCVCQA-VIFPVBQESA-N 1 2 318.385 1.545 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](C[C@@H](O)c2ccc(-c3nn[nH]n3)cc2)C1 ZINC000824778502 608125667 /nfs/dbraw/zinc/12/56/67/608125667.db2.gz QFUSLEBSBKWDEZ-ZBFHGGJFSA-N 1 2 312.377 1.526 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](C[C@@H](O)c2ccc(-c3nn[nH]n3)cc2)C1 ZINC000824778502 608125668 /nfs/dbraw/zinc/12/56/68/608125668.db2.gz QFUSLEBSBKWDEZ-ZBFHGGJFSA-N 1 2 312.377 1.526 20 30 DDEDLO COC(=O)[C@@H](OC(=O)[C@@H]1CCCC[N@@H+]1C)c1ccc(C#N)cc1 ZINC000745085426 699970958 /nfs/dbraw/zinc/97/09/58/699970958.db2.gz OLZVXTYJMYGGGY-GJZGRUSLSA-N 1 2 316.357 1.800 20 30 DDEDLO COC(=O)[C@@H](OC(=O)[C@@H]1CCCC[N@H+]1C)c1ccc(C#N)cc1 ZINC000745085426 699970959 /nfs/dbraw/zinc/97/09/59/699970959.db2.gz OLZVXTYJMYGGGY-GJZGRUSLSA-N 1 2 316.357 1.800 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cscn3)C2)C1 ZINC000972294110 695208077 /nfs/dbraw/zinc/20/80/77/695208077.db2.gz HWWYIFQYYRHIGI-HNNXBMFYSA-N 1 2 305.403 1.083 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cscn3)C2)C1 ZINC000972294110 695208078 /nfs/dbraw/zinc/20/80/78/695208078.db2.gz HWWYIFQYYRHIGI-HNNXBMFYSA-N 1 2 305.403 1.083 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccnn3CC)C2)C1 ZINC000972356020 695226338 /nfs/dbraw/zinc/22/63/38/695226338.db2.gz UKKQCWOUCGEOFJ-MRXNPFEDSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccnn3CC)C2)C1 ZINC000972356020 695226339 /nfs/dbraw/zinc/22/63/39/695226339.db2.gz UKKQCWOUCGEOFJ-MRXNPFEDSA-N 1 2 304.394 1.006 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3(C)CCCC3)C2)C1 ZINC000972371140 695232869 /nfs/dbraw/zinc/23/28/69/695232869.db2.gz RMQZZIYHLMSDHK-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3(C)CCCC3)C2)C1 ZINC000972371140 695232872 /nfs/dbraw/zinc/23/28/72/695232872.db2.gz RMQZZIYHLMSDHK-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(C)C)C2)C1 ZINC000972394619 695238799 /nfs/dbraw/zinc/23/87/99/695238799.db2.gz MERDEHYYMKKJTJ-SFHVURJKSA-N 1 2 306.450 1.995 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(C)C)C2)C1 ZINC000972394619 695238801 /nfs/dbraw/zinc/23/88/01/695238801.db2.gz MERDEHYYMKKJTJ-SFHVURJKSA-N 1 2 306.450 1.995 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cnccc3C)C2)C1 ZINC000972417153 695243915 /nfs/dbraw/zinc/24/39/15/695243915.db2.gz QQMTUCBNMXXFNW-SFHVURJKSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cnccc3C)C2)C1 ZINC000972417153 695243917 /nfs/dbraw/zinc/24/39/17/695243917.db2.gz QQMTUCBNMXXFNW-SFHVURJKSA-N 1 2 315.417 1.422 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccsn3)C2)C1 ZINC000972429107 695249256 /nfs/dbraw/zinc/24/92/56/695249256.db2.gz WYSLHHQKMQGQHM-HNNXBMFYSA-N 1 2 305.403 1.083 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccsn3)C2)C1 ZINC000972429107 695249258 /nfs/dbraw/zinc/24/92/58/695249258.db2.gz WYSLHHQKMQGQHM-HNNXBMFYSA-N 1 2 305.403 1.083 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ncc(F)cc3F)C2)C1 ZINC000972436520 695250846 /nfs/dbraw/zinc/25/08/46/695250846.db2.gz XRPCDXMOUPMYFF-INIZCTEOSA-N 1 2 323.343 1.463 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ncc(F)cc3F)C2)C1 ZINC000972436520 695250847 /nfs/dbraw/zinc/25/08/47/695250847.db2.gz XRPCDXMOUPMYFF-INIZCTEOSA-N 1 2 323.343 1.463 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3ccncc3)C2)C1 ZINC000972445087 695253655 /nfs/dbraw/zinc/25/36/55/695253655.db2.gz ZIBMIOZESWUXAF-GOSISDBHSA-N 1 2 315.417 1.504 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3ccncc3)C2)C1 ZINC000972445087 695253657 /nfs/dbraw/zinc/25/36/57/695253657.db2.gz ZIBMIOZESWUXAF-GOSISDBHSA-N 1 2 315.417 1.504 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C(F)(F)F)C2)C1 ZINC000972493593 695265046 /nfs/dbraw/zinc/26/50/46/695265046.db2.gz CWPLAFHZTWJAIR-DGCLKSJQSA-N 1 2 304.312 1.121 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C(F)(F)F)C2)C1 ZINC000972493593 695265047 /nfs/dbraw/zinc/26/50/47/695265047.db2.gz CWPLAFHZTWJAIR-DGCLKSJQSA-N 1 2 304.312 1.121 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(CC)c3C)C2)C1 ZINC000972512964 695269481 /nfs/dbraw/zinc/26/94/81/695269481.db2.gz CJSJINUOTHXJGA-SFHVURJKSA-N 1 2 317.433 1.919 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(CC)c3C)C2)C1 ZINC000972512964 695269483 /nfs/dbraw/zinc/26/94/83/695269483.db2.gz CJSJINUOTHXJGA-SFHVURJKSA-N 1 2 317.433 1.919 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CC34CCCC4)C2)C1 ZINC000972624621 695302545 /nfs/dbraw/zinc/30/25/45/695302545.db2.gz RELRTTJGGFNOIV-YJBOKZPZSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CC34CCCC4)C2)C1 ZINC000972624621 695302547 /nfs/dbraw/zinc/30/25/47/695302547.db2.gz RELRTTJGGFNOIV-YJBOKZPZSA-N 1 2 302.418 1.503 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ncoc3C(C)C)C2)C1 ZINC000972632473 695304582 /nfs/dbraw/zinc/30/45/82/695304582.db2.gz STYQHRAHELWALR-KRWDZBQOSA-N 1 2 319.405 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ncoc3C(C)C)C2)C1 ZINC000972632473 695304585 /nfs/dbraw/zinc/30/45/85/695304585.db2.gz STYQHRAHELWALR-KRWDZBQOSA-N 1 2 319.405 1.901 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(CC)cc3)C2)C1 ZINC000972662945 695312891 /nfs/dbraw/zinc/31/28/91/695312891.db2.gz WBXKTJKIATTYMR-IBGZPJMESA-N 1 2 312.413 1.799 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(CC)cc3)C2)C1 ZINC000972662945 695312894 /nfs/dbraw/zinc/31/28/94/695312894.db2.gz WBXKTJKIATTYMR-IBGZPJMESA-N 1 2 312.413 1.799 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CCC=CCC3)C2)C1 ZINC000972676991 695315796 /nfs/dbraw/zinc/31/57/96/695315796.db2.gz MZRGOBUXLYETBJ-GOSISDBHSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CCC=CCC3)C2)C1 ZINC000972676991 695315798 /nfs/dbraw/zinc/31/57/98/695315798.db2.gz MZRGOBUXLYETBJ-GOSISDBHSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C(C)(C)C1 ZINC000974793090 695735672 /nfs/dbraw/zinc/73/56/72/695735672.db2.gz KMSWLOLQGRFEFQ-OAHLLOKOSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C(C)(C)C1 ZINC000974793090 695735673 /nfs/dbraw/zinc/73/56/73/695735673.db2.gz KMSWLOLQGRFEFQ-OAHLLOKOSA-N 1 2 314.433 1.752 20 30 DDEDLO CC1(C)CN(CC#N)C[C@@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000974888575 695753793 /nfs/dbraw/zinc/75/37/93/695753793.db2.gz WSDQFDPFMJSVDS-INIZCTEOSA-N 1 2 323.400 1.836 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1[nH]cc[nH+]1 ZINC000977053759 696041310 /nfs/dbraw/zinc/04/13/10/696041310.db2.gz XRENSSIQZTYCNR-PZNPJNSTSA-N 1 2 316.405 1.128 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000798357324 700058846 /nfs/dbraw/zinc/05/88/46/700058846.db2.gz JTLIIUZYGDJFJG-HNNXBMFYSA-N 1 2 316.405 1.255 20 30 DDEDLO CC1(C)C[N@H+](CC#Cc2ccccc2)C[C@@H]1NC(=O)c1cnon1 ZINC000977381489 696137753 /nfs/dbraw/zinc/13/77/53/696137753.db2.gz KWWZNLJYOSEAIP-INIZCTEOSA-N 1 2 324.384 1.562 20 30 DDEDLO CC1(C)C[N@@H+](CC#Cc2ccccc2)C[C@@H]1NC(=O)c1cnon1 ZINC000977381489 696137754 /nfs/dbraw/zinc/13/77/54/696137754.db2.gz KWWZNLJYOSEAIP-INIZCTEOSA-N 1 2 324.384 1.562 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2[C@H]3C[N@@H+](Cc4cnon4)C[C@H]32)cc1 ZINC000978560285 696411926 /nfs/dbraw/zinc/41/19/26/696411926.db2.gz FBBGKPLVGMTFMI-OSYLJGHBSA-N 1 2 322.368 1.159 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2[C@H]3C[N@H+](Cc4cnon4)C[C@H]32)cc1 ZINC000978560285 696411928 /nfs/dbraw/zinc/41/19/28/696411928.db2.gz FBBGKPLVGMTFMI-OSYLJGHBSA-N 1 2 322.368 1.159 20 30 DDEDLO C[C@H](C(=O)OCc1ccc(-n2cc[nH+]c2)cc1)n1cnc(C#N)n1 ZINC000798748177 700092101 /nfs/dbraw/zinc/09/21/01/700092101.db2.gz SHHLHANJXIDIFB-GFCCVEGCSA-N 1 2 322.328 1.640 20 30 DDEDLO Cc1nnc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)n1C1CC1 ZINC000091600103 696590147 /nfs/dbraw/zinc/59/01/47/696590147.db2.gz VBKZRDDFANSGFE-UHFFFAOYSA-N 1 2 313.361 1.693 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+]Cc2ccc(Cl)nc2)C(N)=O)cc1 ZINC000115597601 696665747 /nfs/dbraw/zinc/66/57/47/696665747.db2.gz KQRUAPOGWSUNFA-AWEZNQCLSA-N 1 2 300.749 1.923 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(CC)CC)CC1 ZINC000132009477 696810411 /nfs/dbraw/zinc/81/04/11/696810411.db2.gz QIDVVZHDLJOBCY-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(CC)CC)CC1 ZINC000132009477 696810412 /nfs/dbraw/zinc/81/04/12/696810412.db2.gz QIDVVZHDLJOBCY-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC000981669680 696867481 /nfs/dbraw/zinc/86/74/81/696867481.db2.gz TXFHKAIKKWATTR-NWDGAFQWSA-N 1 2 317.393 1.523 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC000981669680 696867484 /nfs/dbraw/zinc/86/74/84/696867484.db2.gz TXFHKAIKKWATTR-NWDGAFQWSA-N 1 2 317.393 1.523 20 30 DDEDLO CC(=O)OC1CC[NH+](CC(=O)Nc2cccc(C#N)c2)CC1 ZINC000153397390 696914955 /nfs/dbraw/zinc/91/49/55/696914955.db2.gz BKNNZTCUBHCMNI-UHFFFAOYSA-N 1 2 301.346 1.524 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)cn1 ZINC000980848088 696916469 /nfs/dbraw/zinc/91/64/69/696916469.db2.gz PPAIULNNKPOKJI-CYBMUJFWSA-N 1 2 302.378 1.284 20 30 DDEDLO COc1ccc(C[N@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)cn1 ZINC000980848088 696916472 /nfs/dbraw/zinc/91/64/72/696916472.db2.gz PPAIULNNKPOKJI-CYBMUJFWSA-N 1 2 302.378 1.284 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000980848124 696916717 /nfs/dbraw/zinc/91/67/17/696916717.db2.gz PZQQLVIVKNLIHG-ZDUSSCGKSA-N 1 2 314.364 1.891 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000980848124 696916718 /nfs/dbraw/zinc/91/67/18/696916718.db2.gz PZQQLVIVKNLIHG-ZDUSSCGKSA-N 1 2 314.364 1.891 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC000981887548 696955873 /nfs/dbraw/zinc/95/58/73/696955873.db2.gz JORGNNPGIDBGIO-KRWDZBQOSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC000981887548 696955876 /nfs/dbraw/zinc/95/58/76/696955876.db2.gz JORGNNPGIDBGIO-KRWDZBQOSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cn2cc(Cl)cn2)CC1 ZINC000982049370 697014806 /nfs/dbraw/zinc/01/48/06/697014806.db2.gz UKZNWNYFSYHWLS-UHFFFAOYSA-N 1 2 317.220 1.823 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cn2cc(Cl)cn2)CC1 ZINC000982049370 697014809 /nfs/dbraw/zinc/01/48/09/697014809.db2.gz UKZNWNYFSYHWLS-UHFFFAOYSA-N 1 2 317.220 1.823 20 30 DDEDLO COC[C@H](C)ON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181843365 697465868 /nfs/dbraw/zinc/46/58/68/697465868.db2.gz KUZVYPDPXOSANA-ZFWWWQNUSA-N 1 2 307.394 1.211 20 30 DDEDLO COC[C@H](C)ON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181843365 697465870 /nfs/dbraw/zinc/46/58/70/697465870.db2.gz KUZVYPDPXOSANA-ZFWWWQNUSA-N 1 2 307.394 1.211 20 30 DDEDLO CCc1nnc(C[N@H+](C)C2CCN(C(=O)[C@H](C)C#N)CC2)s1 ZINC000985338979 697494007 /nfs/dbraw/zinc/49/40/07/697494007.db2.gz OMYSUEZOHPGHJO-LLVKDONJSA-N 1 2 321.450 1.683 20 30 DDEDLO CCc1nnc(C[N@@H+](C)C2CCN(C(=O)[C@H](C)C#N)CC2)s1 ZINC000985338979 697494008 /nfs/dbraw/zinc/49/40/08/697494008.db2.gz OMYSUEZOHPGHJO-LLVKDONJSA-N 1 2 321.450 1.683 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)[C@H]2C)o1 ZINC000986251817 697753115 /nfs/dbraw/zinc/75/31/15/697753115.db2.gz AJNIUZNUKBJKGS-JSGCOSHPSA-N 1 2 316.405 1.685 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)CC[C@H]1O ZINC000778251633 698253942 /nfs/dbraw/zinc/25/39/42/698253942.db2.gz ADAACMXHSLKIQW-IUODEOHRSA-N 1 2 312.373 1.123 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)CC[C@H]1O ZINC000778251633 698253945 /nfs/dbraw/zinc/25/39/45/698253945.db2.gz ADAACMXHSLKIQW-IUODEOHRSA-N 1 2 312.373 1.123 20 30 DDEDLO Cn1cc(C[N@@H+]2CCCNC(=O)C2)c(-c2ccc(C#N)cc2)n1 ZINC000779003610 698390025 /nfs/dbraw/zinc/39/00/25/698390025.db2.gz WIBGLGIJNSATMR-UHFFFAOYSA-N 1 2 309.373 1.281 20 30 DDEDLO Cn1cc(C[N@H+]2CCCNC(=O)C2)c(-c2ccc(C#N)cc2)n1 ZINC000779003610 698390030 /nfs/dbraw/zinc/39/00/30/698390030.db2.gz WIBGLGIJNSATMR-UHFFFAOYSA-N 1 2 309.373 1.281 20 30 DDEDLO Cn1ccc(C[NH2+][C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]2C#N)c1 ZINC000779401725 698420264 /nfs/dbraw/zinc/42/02/64/698420264.db2.gz CIFKMSIQZQVBKC-ZIAGYGMSSA-N 1 2 304.394 1.874 20 30 DDEDLO N#Cc1ccc(CNC(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)nc1 ZINC000780652355 698543902 /nfs/dbraw/zinc/54/39/02/698543902.db2.gz ZBQWHPNQCJMYEJ-CYBMUJFWSA-N 1 2 310.361 1.766 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[N@@H+](Cc2ccccc2)C[C@H]1C ZINC000288400951 698563046 /nfs/dbraw/zinc/56/30/46/698563046.db2.gz QKMCVWNQNAORNB-OAHLLOKOSA-N 1 2 306.431 1.546 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[N@H+](Cc2ccccc2)C[C@H]1C ZINC000288400951 698563048 /nfs/dbraw/zinc/56/30/48/698563048.db2.gz QKMCVWNQNAORNB-OAHLLOKOSA-N 1 2 306.431 1.546 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H]([NH2+]Cc3nc(C)no3)C[C@@H]2C)cc1 ZINC000989274495 698574508 /nfs/dbraw/zinc/57/45/08/698574508.db2.gz KSNILPBNTKSDRO-BLLLJJGKSA-N 1 2 324.384 1.752 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2CCN(C(C)=O)C2)CC1 ZINC000989571871 698672080 /nfs/dbraw/zinc/67/20/80/698672080.db2.gz DNGJKJQIUIZQII-CQSZACIVSA-N 1 2 313.829 1.142 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2CCN(C(C)=O)C2)CC1 ZINC000989571871 698672083 /nfs/dbraw/zinc/67/20/83/698672083.db2.gz DNGJKJQIUIZQII-CQSZACIVSA-N 1 2 313.829 1.142 20 30 DDEDLO C#CCC[N@@H+]1CC[C@]2(NC(=O)c3c[nH]cc4ncnc3-4)CCC[C@@H]12 ZINC000990850511 699172782 /nfs/dbraw/zinc/17/27/82/699172782.db2.gz MMYKGIXXWWOFOJ-CRAIPNDOSA-N 1 2 323.400 1.708 20 30 DDEDLO C#CCC[N@H+]1CC[C@]2(NC(=O)c3c[nH]cc4ncnc3-4)CCC[C@@H]12 ZINC000990850511 699172784 /nfs/dbraw/zinc/17/27/84/699172784.db2.gz MMYKGIXXWWOFOJ-CRAIPNDOSA-N 1 2 323.400 1.708 20 30 DDEDLO CCOC(C[NH+]1CCN(c2c(C#N)c(C)nn2C)CC1)OCC ZINC000788275585 699296032 /nfs/dbraw/zinc/29/60/32/699296032.db2.gz NXVYYDIFYFVXFK-UHFFFAOYSA-N 1 2 321.425 1.121 20 30 DDEDLO N#Cc1cccc(OCC(=O)N2CC(Oc3cc[nH+]cc3)C2)c1 ZINC000727763490 699425200 /nfs/dbraw/zinc/42/52/00/699425200.db2.gz YTMQSJNDDILPOV-UHFFFAOYSA-N 1 2 309.325 1.622 20 30 DDEDLO CN(C)C(=S)N[NH+]=Cc1ccc(N2CCOCC2)c(F)c1 ZINC000731562799 699530771 /nfs/dbraw/zinc/53/07/71/699530771.db2.gz LIYSFQGCZUHKNB-UHFFFAOYSA-N 1 2 310.398 1.432 20 30 DDEDLO CNc1cc(N2CCC[C@@H](Nc3ccc(C#N)cn3)C2)nc[nH+]1 ZINC000734842827 699664168 /nfs/dbraw/zinc/66/41/68/699664168.db2.gz YXTBCIPGZZUHOY-CYBMUJFWSA-N 1 2 309.377 1.866 20 30 DDEDLO CNc1cc(N2CCC[C@@H](Nc3ccc(C#N)cn3)C2)[nH+]cn1 ZINC000734842827 699664170 /nfs/dbraw/zinc/66/41/70/699664170.db2.gz YXTBCIPGZZUHOY-CYBMUJFWSA-N 1 2 309.377 1.866 20 30 DDEDLO C[C@@H](C(=O)Nc1cnn(CC#N)c1)[N@@H+]1CCc2ccccc2C1 ZINC000736073493 699717632 /nfs/dbraw/zinc/71/76/32/699717632.db2.gz KBAHFTWWZXYDLS-ZDUSSCGKSA-N 1 2 309.373 1.792 20 30 DDEDLO C[C@@H](C(=O)Nc1cnn(CC#N)c1)[N@H+]1CCc2ccccc2C1 ZINC000736073493 699717635 /nfs/dbraw/zinc/71/76/35/699717635.db2.gz KBAHFTWWZXYDLS-ZDUSSCGKSA-N 1 2 309.373 1.792 20 30 DDEDLO N#Cc1cccc(OCC(=O)NCCCNc2cccc[nH+]2)c1 ZINC000736196055 699720092 /nfs/dbraw/zinc/72/00/92/699720092.db2.gz QPIRULHKWCRRKY-UHFFFAOYSA-N 1 2 310.357 1.950 20 30 DDEDLO CCCCCCCC(=O)N(C)C[C@@H](O)C[NH+]1CCOCC1 ZINC000751429773 700288022 /nfs/dbraw/zinc/28/80/22/700288022.db2.gz FYLIBYRQWQZPLG-OAHLLOKOSA-N 1 2 300.443 1.498 20 30 DDEDLO C[N@H+](CC(=O)[C@H](C#N)C(=O)Nc1ccccn1)[C@H]1CCSC1 ZINC000753951933 700470948 /nfs/dbraw/zinc/47/09/48/700470948.db2.gz ZNCWIZPWYOYHFN-RYUDHWBXSA-N 1 2 318.402 1.166 20 30 DDEDLO C[N@@H+](CC(=O)[C@H](C#N)C(=O)Nc1ccccn1)[C@H]1CCSC1 ZINC000753951933 700470949 /nfs/dbraw/zinc/47/09/49/700470949.db2.gz ZNCWIZPWYOYHFN-RYUDHWBXSA-N 1 2 318.402 1.166 20 30 DDEDLO Cc1ccc(S(=O)(=O)NN=C2C[C@H](C)[N@@H+](C3CC3)C2)cc1 ZINC000753956524 700471710 /nfs/dbraw/zinc/47/17/10/700471710.db2.gz WTALYVJPWMIRBJ-LBPRGKRZSA-N 1 2 307.419 1.886 20 30 DDEDLO Cc1ccc(S(=O)(=O)NN=C2C[C@H](C)[N@H+](C3CC3)C2)cc1 ZINC000753956524 700471711 /nfs/dbraw/zinc/47/17/11/700471711.db2.gz WTALYVJPWMIRBJ-LBPRGKRZSA-N 1 2 307.419 1.886 20 30 DDEDLO C[C@H]1CC(=NNS(=O)(=O)CCc2ccccc2)C[N@@H+]1C1CC1 ZINC000753958797 700471950 /nfs/dbraw/zinc/47/19/50/700471950.db2.gz AXWYLRFMUNVQHT-ZDUSSCGKSA-N 1 2 321.446 1.761 20 30 DDEDLO C[C@H]1CC(=NNS(=O)(=O)CCc2ccccc2)C[N@H+]1C1CC1 ZINC000753958797 700471951 /nfs/dbraw/zinc/47/19/51/700471951.db2.gz AXWYLRFMUNVQHT-ZDUSSCGKSA-N 1 2 321.446 1.761 20 30 DDEDLO Cc1ccn(C[N@@H+]2CCC[C@@]3(CCCOC3)C2)c(=O)c1C#N ZINC000758230934 700691936 /nfs/dbraw/zinc/69/19/36/700691936.db2.gz MDJLXRAOWAQFEU-QGZVFWFLSA-N 1 2 301.390 1.879 20 30 DDEDLO Cc1ccn(C[N@H+]2CCC[C@@]3(CCCOC3)C2)c(=O)c1C#N ZINC000758230934 700691938 /nfs/dbraw/zinc/69/19/38/700691938.db2.gz MDJLXRAOWAQFEU-QGZVFWFLSA-N 1 2 301.390 1.879 20 30 DDEDLO CS(=O)(=O)C1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC000760931879 700829813 /nfs/dbraw/zinc/82/98/13/700829813.db2.gz FMJSDALALBUERY-UHFFFAOYSA-N 1 2 308.403 1.598 20 30 DDEDLO C#CCC(C)(C)C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000767078221 701082188 /nfs/dbraw/zinc/08/21/88/701082188.db2.gz QUOSMCQCWBTLDZ-UHFFFAOYSA-N 1 2 304.394 1.203 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[NH+]2CCC(S(C)(=O)=O)CC2)cc1 ZINC000769485626 701247096 /nfs/dbraw/zinc/24/70/96/701247096.db2.gz WSABCXADDLYDJB-CYBMUJFWSA-N 1 2 322.430 1.986 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1ccccc1C(=O)NCC(C)C ZINC000769962922 701262255 /nfs/dbraw/zinc/26/22/55/701262255.db2.gz LLOXKTVGQVOKRM-AWEZNQCLSA-N 1 2 315.417 1.964 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1ccccc1C(=O)NCC(C)C ZINC000769962922 701262256 /nfs/dbraw/zinc/26/22/56/701262256.db2.gz LLOXKTVGQVOKRM-AWEZNQCLSA-N 1 2 315.417 1.964 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2C(=O)OCCn2cc[nH+]c2)cc1 ZINC000771953917 701338326 /nfs/dbraw/zinc/33/83/26/701338326.db2.gz WBTQYSAPRMPJQK-MRXNPFEDSA-N 1 2 310.357 1.967 20 30 DDEDLO Cc1n[nH]cc1CN(C)CC#CC[N@H+](C)Cc1c[nH]nc1C ZINC000879187980 706610306 /nfs/dbraw/zinc/61/03/06/706610306.db2.gz FFRPCDHDBHVKNP-UHFFFAOYSA-N 1 2 300.410 1.317 20 30 DDEDLO Cc1n[nH]cc1CN(C)CC#CC[N@@H+](C)Cc1c[nH]nc1C ZINC000879187980 706610307 /nfs/dbraw/zinc/61/03/07/706610307.db2.gz FFRPCDHDBHVKNP-UHFFFAOYSA-N 1 2 300.410 1.317 20 30 DDEDLO N#CCCOCCOC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000815213659 701825387 /nfs/dbraw/zinc/82/53/87/701825387.db2.gz LRKXZNYZVQLFCR-INIZCTEOSA-N 1 2 318.373 1.361 20 30 DDEDLO N#CCCOCCOC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000815213659 701825393 /nfs/dbraw/zinc/82/53/93/701825393.db2.gz LRKXZNYZVQLFCR-INIZCTEOSA-N 1 2 318.373 1.361 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CCC[C@@H]2[C@@H]2CCC[C@H]2O)c1=S ZINC000840117342 701977744 /nfs/dbraw/zinc/97/77/44/701977744.db2.gz AVXMYUHKFURBCW-YNEHKIRRSA-N 1 2 309.439 1.578 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CCC[C@@H]2[C@@H]2CCC[C@H]2O)c1=S ZINC000840117342 701977748 /nfs/dbraw/zinc/97/77/48/701977748.db2.gz AVXMYUHKFURBCW-YNEHKIRRSA-N 1 2 309.439 1.578 20 30 DDEDLO C#CC1CC[NH+](Cn2c(=O)oc3ccc([N+](=O)[O-])cc32)CC1 ZINC000842634199 702746771 /nfs/dbraw/zinc/74/67/71/702746771.db2.gz QFUZEHHTWUZPDJ-UHFFFAOYSA-N 1 2 301.302 1.805 20 30 DDEDLO C=CCn1cc(-c2nc([C@@H]([NH3+])CC(=O)OC(C)(C)C)no2)nn1 ZINC000843242082 702848415 /nfs/dbraw/zinc/84/84/15/702848415.db2.gz LSBOBDVZRZZKHR-VIFPVBQESA-N 1 2 320.353 1.246 20 30 DDEDLO COc1ccc(C2CC[NH+](Cn3cccc(C#N)c3=O)CC2)nc1 ZINC000844711364 703061273 /nfs/dbraw/zinc/06/12/73/703061273.db2.gz CKQVOUXNNUMDAO-UHFFFAOYSA-N 1 2 324.384 1.961 20 30 DDEDLO CC(CS(=O)(=O)c1ccccc1)=NNCCCn1cc[nH+]c1 ZINC000848418434 703548145 /nfs/dbraw/zinc/54/81/45/703548145.db2.gz MVQOXLLGAZTADM-UHFFFAOYSA-N 1 2 320.418 1.713 20 30 DDEDLO C#C[C@@H]1CCCN(c2nc(NC)nc(N3CCC[C@@H](C#C)C3)[nH+]2)C1 ZINC000848750881 703583908 /nfs/dbraw/zinc/58/39/08/703583908.db2.gz VDTROSRJPORXHV-HUUCEWRRSA-N 1 2 324.432 1.034 20 30 DDEDLO C=CCOCCCNC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000850649880 703742574 /nfs/dbraw/zinc/74/25/74/703742574.db2.gz YKUMVQSVYMUTIS-UHFFFAOYSA-N 1 2 320.393 1.103 20 30 DDEDLO Cc1nsc(N2CC[NH+](C[C@@H]3COC(C)(C)O3)CC2)c1C#N ZINC000852059948 703914450 /nfs/dbraw/zinc/91/44/50/703914450.db2.gz ADACTARLXDJNLH-GFCCVEGCSA-N 1 2 322.434 1.597 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000870135089 703924564 /nfs/dbraw/zinc/92/45/64/703924564.db2.gz YSVWWYAPOSMCCC-UHFFFAOYSA-N 1 2 302.374 1.076 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH2+][C@H](c2cccc(OC)c2)C1 ZINC000870151704 703934295 /nfs/dbraw/zinc/93/42/95/703934295.db2.gz BORXTEZQZSDXOG-CJNGLKHVSA-N 1 2 302.374 1.206 20 30 DDEDLO C#C[C@@H](NC(=O)NCCNc1cccc[nH+]1)C1CCOCC1 ZINC000852643977 704091212 /nfs/dbraw/zinc/09/12/12/704091212.db2.gz HWUMRWFUWALWBV-CQSZACIVSA-N 1 2 302.378 1.221 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[NH2+][C@@H]2CCn3ccnc32)c1 ZINC000819336968 704109648 /nfs/dbraw/zinc/10/96/48/704109648.db2.gz KKBGPTHVVAXSMT-CQSZACIVSA-N 1 2 316.386 1.263 20 30 DDEDLO CC12CC(C1)C[N@@H+]2CC(=O)[C@H](C#N)c1nc2ccccc2c(=O)[nH]1 ZINC000852787498 704123564 /nfs/dbraw/zinc/12/35/64/704123564.db2.gz XCWRMKXQIZZMMU-HLZQHVJHSA-N 1 2 322.368 1.996 20 30 DDEDLO CC12CC(C1)C[N@H+]2CC(=O)[C@H](C#N)c1nc2ccccc2c(=O)[nH]1 ZINC000852787498 704123566 /nfs/dbraw/zinc/12/35/66/704123566.db2.gz XCWRMKXQIZZMMU-HLZQHVJHSA-N 1 2 322.368 1.996 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000853621076 704288046 /nfs/dbraw/zinc/28/80/46/704288046.db2.gz OYTFQQIHGRGSLE-JKSUJKDBSA-N 1 2 320.433 1.476 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000853621076 704288048 /nfs/dbraw/zinc/28/80/48/704288048.db2.gz OYTFQQIHGRGSLE-JKSUJKDBSA-N 1 2 320.433 1.476 20 30 DDEDLO CON=Cc1ccc(C(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)cc1 ZINC000855413140 704484223 /nfs/dbraw/zinc/48/42/23/704484223.db2.gz HHGHBISKEHHJGS-UONOGXRCSA-N 1 2 319.405 1.506 20 30 DDEDLO CON=Cc1ccc(C(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)cc1 ZINC000855413140 704484224 /nfs/dbraw/zinc/48/42/24/704484224.db2.gz HHGHBISKEHHJGS-UONOGXRCSA-N 1 2 319.405 1.506 20 30 DDEDLO CO[C@@]1(C(F)(F)F)CC[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000880306052 706935335 /nfs/dbraw/zinc/93/53/35/706935335.db2.gz KMJXZDOUBQTWSV-GWCFXTLKSA-N 1 2 319.327 1.591 20 30 DDEDLO CO[C@@]1(C(F)(F)F)CC[N@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000880306052 706935336 /nfs/dbraw/zinc/93/53/36/706935336.db2.gz KMJXZDOUBQTWSV-GWCFXTLKSA-N 1 2 319.327 1.591 20 30 DDEDLO C=CCN(C(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C)C(C)C ZINC000867364167 706975696 /nfs/dbraw/zinc/97/56/96/706975696.db2.gz MQPRQUDMZFQTPF-INIZCTEOSA-N 1 2 315.417 1.712 20 30 DDEDLO C=CCN(C(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C)C(C)C ZINC000867364167 706975700 /nfs/dbraw/zinc/97/57/00/706975700.db2.gz MQPRQUDMZFQTPF-INIZCTEOSA-N 1 2 315.417 1.712 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000822680103 705005276 /nfs/dbraw/zinc/00/52/76/705005276.db2.gz PDYQKVHTVCEXQB-RDJZCZTQSA-N 1 2 316.401 1.160 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000822680103 705005280 /nfs/dbraw/zinc/00/52/80/705005280.db2.gz PDYQKVHTVCEXQB-RDJZCZTQSA-N 1 2 316.401 1.160 20 30 DDEDLO N#CCc1ccnc(NC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)c1 ZINC000871725666 707177871 /nfs/dbraw/zinc/17/78/71/707177871.db2.gz IECLOQHRBBPAKJ-AWEZNQCLSA-N 1 2 322.372 1.943 20 30 DDEDLO C#CC[C@H](Cc1ccccc1)NC(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000875576062 705435029 /nfs/dbraw/zinc/43/50/29/705435029.db2.gz SSDIUECZHAPBMD-CVEARBPZSA-N 1 2 308.385 1.742 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CCO[C@@H](C#N)C1 ZINC000876207996 705659600 /nfs/dbraw/zinc/65/96/00/705659600.db2.gz JJQYXSUVEWCCJS-ZFWWWQNUSA-N 1 2 300.362 1.107 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CCO[C@@H](C#N)C1 ZINC000876207996 705659603 /nfs/dbraw/zinc/65/96/03/705659603.db2.gz JJQYXSUVEWCCJS-ZFWWWQNUSA-N 1 2 300.362 1.107 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(c2cccc(Cl)c2C#N)CC1 ZINC000827667912 706046194 /nfs/dbraw/zinc/04/61/94/706046194.db2.gz NHGOYJJRASMVAD-HXUWFJFHSA-N 1 2 311.838 1.712 20 30 DDEDLO CN(C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)[C@H]1CCC[C@H]1C#N ZINC000828142242 706126887 /nfs/dbraw/zinc/12/68/87/706126887.db2.gz SMECLBRQQMKXKU-FZKCQIBNSA-N 1 2 321.421 1.017 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+]1C[C@H](CO)OC(C)(C)C1 ZINC000877594911 706153296 /nfs/dbraw/zinc/15/32/96/706153296.db2.gz MJOZAQCPJHUTTF-OAHLLOKOSA-N 1 2 303.406 1.596 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+]1C[C@H](CO)OC(C)(C)C1 ZINC000877594911 706153299 /nfs/dbraw/zinc/15/32/99/706153299.db2.gz MJOZAQCPJHUTTF-OAHLLOKOSA-N 1 2 303.406 1.596 20 30 DDEDLO C[C@H](CO)N(C)N=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872384236 707395799 /nfs/dbraw/zinc/39/57/99/707395799.db2.gz FEJSGKJXBZQELH-OAHLLOKOSA-N 1 2 321.421 1.044 20 30 DDEDLO N#C[C@@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1ccc(Cl)cc1 ZINC000865199669 706379775 /nfs/dbraw/zinc/37/97/75/706379775.db2.gz URHWBZMNSUPLSY-DJYNDVHFSA-N 1 2 319.792 1.909 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)C1(C#N)CCSCC1 ZINC000830162643 706467530 /nfs/dbraw/zinc/46/75/30/706467530.db2.gz NKOYRNUKOSYLDY-ZDUSSCGKSA-N 1 2 311.451 1.250 20 30 DDEDLO CCCCC[C@H](C)NC(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000878776171 706496402 /nfs/dbraw/zinc/49/64/02/706496402.db2.gz IIOIUURGKYIXOK-JYJNAYRXSA-N 1 2 308.470 1.990 20 30 DDEDLO C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000867049531 706881873 /nfs/dbraw/zinc/88/18/73/706881873.db2.gz LNVWXPATUDGHIA-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000867049531 706881876 /nfs/dbraw/zinc/88/18/76/706881876.db2.gz LNVWXPATUDGHIA-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C=CCCN(OCc1ccccc1)C(=O)[C@@H](O)c1c[nH+]c[nH]1 ZINC000867665700 707067910 /nfs/dbraw/zinc/06/79/10/707067910.db2.gz AKGJXGHDEDFSGV-HNNXBMFYSA-N 1 2 301.346 1.980 20 30 DDEDLO N#CCc1cccc(NC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)n1 ZINC000881150326 707137973 /nfs/dbraw/zinc/13/79/73/707137973.db2.gz NVPXOQRPICBAEX-CQSZACIVSA-N 1 2 322.372 1.943 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2cccc(CC#N)n2)CCO1 ZINC000881154192 707139214 /nfs/dbraw/zinc/13/92/14/707139214.db2.gz NTZJOVSXXKRKFD-UHFFFAOYSA-N 1 2 317.393 1.380 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2cccc(CC#N)n2)CCO1 ZINC000881154192 707139215 /nfs/dbraw/zinc/13/92/15/707139215.db2.gz NTZJOVSXXKRKFD-UHFFFAOYSA-N 1 2 317.393 1.380 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc(-n3ccnc3)nc2)nn1 ZINC000881287665 707181414 /nfs/dbraw/zinc/18/14/14/707181414.db2.gz UGFJCDPPAUZYSU-UHFFFAOYSA-N 1 2 307.361 1.020 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@H+](CC(=O)NCC#N)C(C)(C)CC1 ZINC000872293709 707369216 /nfs/dbraw/zinc/36/92/16/707369216.db2.gz HAFBOXOHOVANIU-UHFFFAOYSA-N 1 2 324.425 1.348 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@@H+](CC(=O)NCC#N)C(C)(C)CC1 ZINC000872293709 707369218 /nfs/dbraw/zinc/36/92/18/707369218.db2.gz HAFBOXOHOVANIU-UHFFFAOYSA-N 1 2 324.425 1.348 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2c(C)cccc2C#N)C[C@H]1C ZINC000872468699 707429911 /nfs/dbraw/zinc/42/99/11/707429911.db2.gz XPNIXMMMVWVGHZ-KGLIPLIRSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2c(C)cccc2C#N)C[C@H]1C ZINC000872468699 707429915 /nfs/dbraw/zinc/42/99/15/707429915.db2.gz XPNIXMMMVWVGHZ-KGLIPLIRSA-N 1 2 321.446 1.970 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000838055949 707822392 /nfs/dbraw/zinc/82/23/92/707822392.db2.gz PVRGFPSWSOIELC-ZDUSSCGKSA-N 1 2 307.394 1.833 20 30 DDEDLO N#CCc1ccc(Cn2cc(C[NH+]3CCC(O)CC3)nn2)cc1 ZINC000883991226 708094048 /nfs/dbraw/zinc/09/40/48/708094048.db2.gz VOUKUKLDZVLILT-UHFFFAOYSA-N 1 2 311.389 1.349 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1Cc2ccccc2[C@@H](C)C1 ZINC000884056520 708120804 /nfs/dbraw/zinc/12/08/04/708120804.db2.gz BDNMVPXFOKYNOX-WFASDCNBSA-N 1 2 302.374 1.579 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(Cc1cccs1)C(C)C ZINC000884082597 708131107 /nfs/dbraw/zinc/13/11/07/708131107.db2.gz NLZQTJURWBIPPA-ZDUSSCGKSA-N 1 2 310.419 1.932 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](c1ccccc1)C(C)C ZINC000884090822 708134975 /nfs/dbraw/zinc/13/49/75/708134975.db2.gz HCHJTDDGCNIZJC-HOTGVXAUSA-N 1 2 318.417 1.989 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)cc1 ZINC000896742785 708138088 /nfs/dbraw/zinc/13/80/88/708138088.db2.gz OVTAHRGWRIHCQE-SFHVURJKSA-N 1 2 312.413 1.995 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)c1cccc(OCC)c1 ZINC000884099886 708138447 /nfs/dbraw/zinc/13/84/47/708138447.db2.gz BCAXPXWGXJHVBV-WFASDCNBSA-N 1 2 320.389 1.709 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H]1c1ccc(O)cc1 ZINC000884103019 708140324 /nfs/dbraw/zinc/14/03/24/708140324.db2.gz VLLXAUSMYJGISA-LSDHHAIUSA-N 1 2 318.373 1.502 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1cc(F)cc(F)c1 ZINC000884111165 708144443 /nfs/dbraw/zinc/14/44/43/708144443.db2.gz DIDHZYSCBIKQFB-ZDUSSCGKSA-N 1 2 312.316 1.070 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCS[C@@H](C(C)C)C1 ZINC000884169152 708169599 /nfs/dbraw/zinc/16/95/99/708169599.db2.gz XCTBXFKQFFTBDJ-NWDGAFQWSA-N 1 2 300.424 1.033 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@](C)(CC)c1nccs1 ZINC000884224867 708198614 /nfs/dbraw/zinc/19/86/14/708198614.db2.gz AYBSLUWWHGMGKI-IINYFYTJSA-N 1 2 311.407 1.331 20 30 DDEDLO CC1=CCC[C@@H](C)[C@H]1C[N@@H+]1C[C@@H]2CS(=O)(=O)C[C@]2(C#N)C1 ZINC000897061381 708220082 /nfs/dbraw/zinc/22/00/82/708220082.db2.gz UEXDEKLRCNMAOY-WCVJEAGWSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=CCC[C@@H](C)[C@H]1C[N@H+]1C[C@@H]2CS(=O)(=O)C[C@]2(C#N)C1 ZINC000897061381 708220085 /nfs/dbraw/zinc/22/00/85/708220085.db2.gz UEXDEKLRCNMAOY-WCVJEAGWSA-N 1 2 308.447 1.849 20 30 DDEDLO Cn1nc(C[NH2+]Cc2cnc3c(C#N)cnn3c2)cc1C1CC1 ZINC000897232593 708263594 /nfs/dbraw/zinc/26/35/94/708263594.db2.gz WQFAJSRPGAZCAL-UHFFFAOYSA-N 1 2 307.361 1.502 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000884379021 708271233 /nfs/dbraw/zinc/27/12/33/708271233.db2.gz LSVUQTQVJFYJGL-KKUMJFAQSA-N 1 2 324.421 1.098 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2ccccc2[C@@H](C)C1 ZINC000884387276 708275166 /nfs/dbraw/zinc/27/51/66/708275166.db2.gz OEQNLYCIPBEDBT-BBRMVZONSA-N 1 2 316.401 1.621 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1Cc2cc(F)c(F)cc2C1 ZINC000884391010 708276821 /nfs/dbraw/zinc/27/68/21/708276821.db2.gz NOBVGKDMSRMOSD-ZDUSSCGKSA-N 1 2 310.300 1.254 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@H]1c1ccccc1Cl ZINC000884411556 708285955 /nfs/dbraw/zinc/28/59/55/708285955.db2.gz QZJAUSJPMWUVCM-FPMFFAJLSA-N 1 2 322.792 1.759 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)N[C@H](CC(C)C)C2=O)CC1 ZINC000884478994 708311735 /nfs/dbraw/zinc/31/17/35/708311735.db2.gz RWEISVBCZUUTDG-CYBMUJFWSA-N 1 2 309.410 1.313 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCc2ccc(C#N)cn2)[nH]n1 ZINC000897496591 708351642 /nfs/dbraw/zinc/35/16/42/708351642.db2.gz PEZLSPFGSFBGKH-HNNXBMFYSA-N 1 2 310.361 1.373 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)NCc2ccc(C#N)cn2)[nH]n1 ZINC000897496591 708351646 /nfs/dbraw/zinc/35/16/46/708351646.db2.gz PEZLSPFGSFBGKH-HNNXBMFYSA-N 1 2 310.361 1.373 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(CCC#N)cc2)C[C@H]1C ZINC000885073222 708462934 /nfs/dbraw/zinc/46/29/34/708462934.db2.gz DYTVSEVVBDSAIH-CQSZACIVSA-N 1 2 321.446 1.857 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(CCC#N)cc2)C[C@H]1C ZINC000885073222 708462935 /nfs/dbraw/zinc/46/29/35/708462935.db2.gz DYTVSEVVBDSAIH-CQSZACIVSA-N 1 2 321.446 1.857 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000912612415 713050140 /nfs/dbraw/zinc/05/01/40/713050140.db2.gz NCICPJMNGFHCCY-BRNNPLJLSA-N 1 2 302.378 1.290 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)CSc1ccc(C#N)cc1 ZINC000898883664 708905589 /nfs/dbraw/zinc/90/55/89/708905589.db2.gz KHFFJVSIIREUFW-ZDUSSCGKSA-N 1 2 316.386 1.234 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)C(C)(C)c1ccc(C#N)cc1 ZINC000898896182 708913366 /nfs/dbraw/zinc/91/33/66/708913366.db2.gz NRHYMZHSRJSKOH-AWEZNQCLSA-N 1 2 312.373 1.419 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCc2nc(C#N)cs2)[nH]n1 ZINC000887977232 709184609 /nfs/dbraw/zinc/18/46/09/709184609.db2.gz KMVADSPNSWQFQV-GFCCVEGCSA-N 1 2 316.390 1.435 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)NCc2nc(C#N)cs2)[nH]n1 ZINC000887977232 709184613 /nfs/dbraw/zinc/18/46/13/709184613.db2.gz KMVADSPNSWQFQV-GFCCVEGCSA-N 1 2 316.390 1.435 20 30 DDEDLO C[C@@H](NC(=O)Nc1cc(C#N)ccc1F)[C@@H](C)[NH+]1CCOCC1 ZINC000888356697 709287309 /nfs/dbraw/zinc/28/73/09/709287309.db2.gz SUVSTBLODUYMQX-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)NC2(C#N)CC[NH+](C)CC2)cc1 ZINC000900608079 709675760 /nfs/dbraw/zinc/67/57/60/709675760.db2.gz KSDVMXGMNCLBFI-BQYQJAHWSA-N 1 2 311.385 1.838 20 30 DDEDLO N#Cc1ccc(OC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)cc1 ZINC000901145638 709945804 /nfs/dbraw/zinc/94/58/04/709945804.db2.gz BBXIZWVGOQBQPE-CVEARBPZSA-N 1 2 316.357 1.343 20 30 DDEDLO C=CCCC[C@@H](NC(=O)C(C)(C)n1c[nH+]c(C)c1)C(=O)OC ZINC000928327476 713177043 /nfs/dbraw/zinc/17/70/43/713177043.db2.gz OMVAWAODINBBDZ-CYBMUJFWSA-N 1 2 307.394 1.941 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)c3cc(C#N)cs3)CC[NH2+]2)cn1 ZINC000913469412 713229712 /nfs/dbraw/zinc/22/97/12/713229712.db2.gz ZDGUARXMNWOWPE-LBPRGKRZSA-N 1 2 301.375 1.140 20 30 DDEDLO Cn1nc(Br)nc1C[NH2+]Cc1ccc(C#N)c(F)c1 ZINC000894942466 711331190 /nfs/dbraw/zinc/33/11/90/711331190.db2.gz QGPRLXJBUSJCEH-UHFFFAOYSA-N 1 2 324.157 1.878 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2ccccc2F)no1 ZINC000904079339 711376014 /nfs/dbraw/zinc/37/60/14/711376014.db2.gz BVKPRTNIJSMQPX-LBPRGKRZSA-N 1 2 305.309 1.399 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(-c2ccc(C)cc2F)no1 ZINC000904105728 711383401 /nfs/dbraw/zinc/38/34/01/711383401.db2.gz KYBZRZIHODFCPC-LBPRGKRZSA-N 1 2 305.309 1.783 20 30 DDEDLO Cc1c(Cl)cnc(N[C@@H](C)[C@H]2CN(C)CC[N@@H+]2C)c1C#N ZINC000895244958 711463583 /nfs/dbraw/zinc/46/35/83/711463583.db2.gz BGUFMXALOQOOMP-SMDDNHRTSA-N 1 2 307.829 1.961 20 30 DDEDLO Cc1c(Cl)cnc(N[C@@H](C)[C@H]2CN(C)CC[N@H+]2C)c1C#N ZINC000895244958 711463586 /nfs/dbraw/zinc/46/35/86/711463586.db2.gz BGUFMXALOQOOMP-SMDDNHRTSA-N 1 2 307.829 1.961 20 30 DDEDLO CN(Cc1cc(C#N)cs1)C(=O)NCCc1cn(C)c[nH+]1 ZINC000895291653 711477730 /nfs/dbraw/zinc/47/77/30/711477730.db2.gz OWPKZGUPLFTZHP-UHFFFAOYSA-N 1 2 303.391 1.737 20 30 DDEDLO C=C(C)C[C@@H]([NH2+]C1CCN(CC(=O)OC)CC1)C(=O)OCC ZINC000895598351 711553832 /nfs/dbraw/zinc/55/38/32/711553832.db2.gz RPPBCGMRXZAQNT-CQSZACIVSA-N 1 2 312.410 1.111 20 30 DDEDLO C=C(C)C[C@@H](NC1CC[NH+](CC(=O)OC)CC1)C(=O)OCC ZINC000895598351 711553834 /nfs/dbraw/zinc/55/38/34/711553834.db2.gz RPPBCGMRXZAQNT-CQSZACIVSA-N 1 2 312.410 1.111 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)[C@@]2(C#N)CC3CCC2CC3)CCO1 ZINC000906493428 712339897 /nfs/dbraw/zinc/33/98/97/712339897.db2.gz LKGBNKGPJNREND-JTTJXQCZSA-N 1 2 319.449 1.933 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)[C@@]2(C#N)CC3CCC2CC3)CCO1 ZINC000906493428 712339898 /nfs/dbraw/zinc/33/98/98/712339898.db2.gz LKGBNKGPJNREND-JTTJXQCZSA-N 1 2 319.449 1.933 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)/C=C(/C)C[NH+]1CCOCC1 ZINC000907948814 712653776 /nfs/dbraw/zinc/65/37/76/712653776.db2.gz RMWSTXFLSDUDQK-HRQLYBHBSA-N 1 2 308.422 1.362 20 30 DDEDLO COC(=O)/C=C(/C)C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000929327896 713590145 /nfs/dbraw/zinc/59/01/45/713590145.db2.gz OVSXQXOKECOWNI-LCYFTJDESA-N 1 2 300.362 1.195 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H]1CC2(CN(C(=O)OC(C)(C)C)C2)C(=O)O1 ZINC000929808550 713694729 /nfs/dbraw/zinc/69/47/29/713694729.db2.gz IEODDFLDDMBERA-LBPRGKRZSA-N 1 2 323.393 1.384 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H]1CC2(CN(C(=O)OC(C)(C)C)C2)C(=O)O1 ZINC000929808550 713694730 /nfs/dbraw/zinc/69/47/30/713694730.db2.gz IEODDFLDDMBERA-LBPRGKRZSA-N 1 2 323.393 1.384 20 30 DDEDLO C[N@@H+](Cc1noc2c1COCC2)C[C@@H](O)c1cccc(C#N)c1 ZINC000930844478 713935726 /nfs/dbraw/zinc/93/57/26/713935726.db2.gz BIANIIPZNIMHJI-MRXNPFEDSA-N 1 2 313.357 1.784 20 30 DDEDLO C[N@H+](Cc1noc2c1COCC2)C[C@@H](O)c1cccc(C#N)c1 ZINC000930844478 713935729 /nfs/dbraw/zinc/93/57/29/713935729.db2.gz BIANIIPZNIMHJI-MRXNPFEDSA-N 1 2 313.357 1.784 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1sccc1C#N ZINC000931833963 714193582 /nfs/dbraw/zinc/19/35/82/714193582.db2.gz PFMBCQMVORAHNX-UHFFFAOYSA-N 1 2 308.407 1.852 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000932363825 714318383 /nfs/dbraw/zinc/31/83/83/714318383.db2.gz KSZIMJMBSYRLGH-QEORTHHSSA-N 1 2 315.421 1.960 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000932370303 714319480 /nfs/dbraw/zinc/31/94/80/714319480.db2.gz YFUBAIJJESSULR-ZBFHGGJFSA-N 1 2 301.394 1.572 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(CCC#N)cc1 ZINC000932581994 714362766 /nfs/dbraw/zinc/36/27/66/714362766.db2.gz WAVQSRQWCLTCJK-CQSZACIVSA-N 1 2 316.405 1.985 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C2(c3ccccc3)CC(=O)C2)C1 ZINC000923560202 714403426 /nfs/dbraw/zinc/40/34/26/714403426.db2.gz QCHQBVOWMCUYOG-INIZCTEOSA-N 1 2 310.397 1.501 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C2(c3ccccc3)CC(=O)C2)C1 ZINC000923560202 714403427 /nfs/dbraw/zinc/40/34/27/714403427.db2.gz QCHQBVOWMCUYOG-INIZCTEOSA-N 1 2 310.397 1.501 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2c(C)oc3nc[nH]c(=O)c32)C1 ZINC000923591318 714420433 /nfs/dbraw/zinc/42/04/33/714420433.db2.gz WDKVZDKQAVMHBU-NSHDSACASA-N 1 2 314.345 1.064 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2c(C)oc3nc[nH]c(=O)c32)C1 ZINC000923591318 714420436 /nfs/dbraw/zinc/42/04/36/714420436.db2.gz WDKVZDKQAVMHBU-NSHDSACASA-N 1 2 314.345 1.064 20 30 DDEDLO N#CCC1(COC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)CC1 ZINC000923772329 714467506 /nfs/dbraw/zinc/46/75/06/714467506.db2.gz RYIWVZTVFYZVNU-KGLIPLIRSA-N 1 2 308.378 1.103 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1C[C@@H]1CCOC1 ZINC000933677044 714645963 /nfs/dbraw/zinc/64/59/63/714645963.db2.gz SVTNYFZFBDAJSU-HOTGVXAUSA-N 1 2 310.438 1.538 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1C[C@@H]1CCOC1 ZINC000933677044 714645964 /nfs/dbraw/zinc/64/59/64/714645964.db2.gz SVTNYFZFBDAJSU-HOTGVXAUSA-N 1 2 310.438 1.538 20 30 DDEDLO C#CC1CCN(C(=O)NCc2nc(C[NH+](C)C)cs2)CC1 ZINC000924783056 714663115 /nfs/dbraw/zinc/66/31/15/714663115.db2.gz CYIXYHARPLGQTK-UHFFFAOYSA-N 1 2 306.435 1.760 20 30 DDEDLO CCOCCCNC(=O)[C@@H](C)[N@H+](C)Cc1cc(C#N)cs1 ZINC000933774942 714669210 /nfs/dbraw/zinc/66/92/10/714669210.db2.gz AQVWDKMPVOZBBJ-GFCCVEGCSA-N 1 2 309.435 1.983 20 30 DDEDLO CCOCCCNC(=O)[C@@H](C)[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933774942 714669213 /nfs/dbraw/zinc/66/92/13/714669213.db2.gz AQVWDKMPVOZBBJ-GFCCVEGCSA-N 1 2 309.435 1.983 20 30 DDEDLO C[N@H+](CC(=O)N1CCOC[C@@H]1C1CC1)Cc1cc(C#N)cs1 ZINC000933773702 714669228 /nfs/dbraw/zinc/66/92/28/714669228.db2.gz PWPLBCPSTUDXFV-OAHLLOKOSA-N 1 2 319.430 1.689 20 30 DDEDLO C[N@@H+](CC(=O)N1CCOC[C@@H]1C1CC1)Cc1cc(C#N)cs1 ZINC000933773702 714669232 /nfs/dbraw/zinc/66/92/32/714669232.db2.gz PWPLBCPSTUDXFV-OAHLLOKOSA-N 1 2 319.430 1.689 20 30 DDEDLO COC(=O)[C@@](C)(Cn1cccn1)[NH2+]CC1CCC(C#N)CC1 ZINC000934717067 714887543 /nfs/dbraw/zinc/88/75/43/714887543.db2.gz OIDNMXYFVVZKGG-ZBCRRDGASA-N 1 2 304.394 1.734 20 30 DDEDLO C[C@H](CC(C)(C)C#N)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000925944939 714970030 /nfs/dbraw/zinc/97/00/30/714970030.db2.gz LWGGBSJYBATXMO-CQSZACIVSA-N 1 2 305.426 1.956 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+]([C@H]2CCN(C(C)=O)C2)CC1 ZINC000956604740 715485279 /nfs/dbraw/zinc/48/52/79/715485279.db2.gz GTOINXWMCTYTIQ-RDJZCZTQSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2c(C(F)(F)F)cnn2C)CC1 ZINC000957508505 715932811 /nfs/dbraw/zinc/93/28/11/715932811.db2.gz DBCBAOOOTBLTRJ-UHFFFAOYSA-N 1 2 316.327 1.773 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC000960614347 716631384 /nfs/dbraw/zinc/63/13/84/716631384.db2.gz QTQQLWZENRFFHC-IUODEOHRSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC000960614347 716631387 /nfs/dbraw/zinc/63/13/87/716631387.db2.gz QTQQLWZENRFFHC-IUODEOHRSA-N 1 2 316.405 1.775 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+]([C@@H](C)c4nncn4C)C[C@H]32)C1 ZINC000961730393 717077211 /nfs/dbraw/zinc/07/72/11/717077211.db2.gz IKFXPUPGOXNPNO-IGQOVBAYSA-N 1 2 315.421 1.279 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+]([C@@H](C)c4nncn4C)C[C@H]32)C1 ZINC000961730393 717077214 /nfs/dbraw/zinc/07/72/14/717077214.db2.gz IKFXPUPGOXNPNO-IGQOVBAYSA-N 1 2 315.421 1.279 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cn(CC)nn4)C[C@H]32)C1 ZINC000961736301 717079613 /nfs/dbraw/zinc/07/96/13/717079613.db2.gz WHUXCEYLYFZTKW-FICVDOATSA-N 1 2 315.421 1.201 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cn(CC)nn4)C[C@H]32)C1 ZINC000961736301 717079617 /nfs/dbraw/zinc/07/96/17/717079617.db2.gz WHUXCEYLYFZTKW-FICVDOATSA-N 1 2 315.421 1.201 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000941508573 717189477 /nfs/dbraw/zinc/18/94/77/717189477.db2.gz ZVYKNDJUGRXMCP-UHFFFAOYSA-N 1 2 304.394 1.156 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@]34C[C@@H]3CCCC4)CC2)C1 ZINC000941559087 717209694 /nfs/dbraw/zinc/20/96/94/717209694.db2.gz LNIGPRYNFHXCOB-LPHOPBHVSA-N 1 2 315.461 1.418 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2cncc3nc[nH]c32)[C@@H](C)C1 ZINC000942063664 717459714 /nfs/dbraw/zinc/45/97/14/717459714.db2.gz MLOQBGAMNURQGC-GXTWGEPZSA-N 1 2 311.389 1.421 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2cncc3nc[nH]c32)[C@@H](C)C1 ZINC000942063664 717459718 /nfs/dbraw/zinc/45/97/18/717459718.db2.gz MLOQBGAMNURQGC-GXTWGEPZSA-N 1 2 311.389 1.421 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965992309 717851966 /nfs/dbraw/zinc/85/19/66/717851966.db2.gz ZZGFIOXCUSBTHQ-CABZTGNLSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965992309 717851971 /nfs/dbraw/zinc/85/19/71/717851971.db2.gz ZZGFIOXCUSBTHQ-CABZTGNLSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC000967943525 719097264 /nfs/dbraw/zinc/09/72/64/719097264.db2.gz JVDHAPREQIUPQS-CABZTGNLSA-N 1 2 324.812 1.683 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)[C@@H](C)C2)c1 ZINC000947710741 719250563 /nfs/dbraw/zinc/25/05/63/719250563.db2.gz PAQJSQURBBBAKY-BBRMVZONSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)[C@@H](C)C2)c1 ZINC000947710741 719250566 /nfs/dbraw/zinc/25/05/66/719250566.db2.gz PAQJSQURBBBAKY-BBRMVZONSA-N 1 2 324.384 1.834 20 30 DDEDLO CN(C(=O)[C@H]1CCCc2[nH+]c[nH]c21)[C@@H]1CCCN(CC#N)CC1 ZINC000948767693 719696312 /nfs/dbraw/zinc/69/63/12/719696312.db2.gz ZIDOQVFMJQMURH-KGLIPLIRSA-N 1 2 315.421 1.666 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cncc3ccccc32)CC1 ZINC000948854782 719747610 /nfs/dbraw/zinc/74/76/10/719747610.db2.gz SEMFJFQKXFTEML-UHFFFAOYSA-N 1 2 307.397 1.945 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cccn1C ZINC000948890799 719769787 /nfs/dbraw/zinc/76/97/87/719769787.db2.gz SPJCLWAGPFGJDL-GOSISDBHSA-N 1 2 307.397 1.988 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cccn1C ZINC000948890799 719769793 /nfs/dbraw/zinc/76/97/93/719769793.db2.gz SPJCLWAGPFGJDL-GOSISDBHSA-N 1 2 307.397 1.988 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn(C)cn1 ZINC000948906320 719777967 /nfs/dbraw/zinc/77/79/67/719777967.db2.gz LGUHKCXJJREJIN-GOSISDBHSA-N 1 2 322.412 1.773 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn(C)cn1 ZINC000948906320 719777970 /nfs/dbraw/zinc/77/79/70/719777970.db2.gz LGUHKCXJJREJIN-GOSISDBHSA-N 1 2 322.412 1.773 20 30 DDEDLO C=C(C)C[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn[nH]n1 ZINC000948932543 719791966 /nfs/dbraw/zinc/79/19/66/719791966.db2.gz KMUQUPCNCQSUQA-INIZCTEOSA-N 1 2 311.389 1.710 20 30 DDEDLO C=C(C)C[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn[nH]n1 ZINC000948932543 719791970 /nfs/dbraw/zinc/79/19/70/719791970.db2.gz KMUQUPCNCQSUQA-INIZCTEOSA-N 1 2 311.389 1.710 20 30 DDEDLO C=C(C)C[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn[nH]1 ZINC000948932543 719791972 /nfs/dbraw/zinc/79/19/72/719791972.db2.gz KMUQUPCNCQSUQA-INIZCTEOSA-N 1 2 311.389 1.710 20 30 DDEDLO C=C(C)C[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn[nH]1 ZINC000948932543 719791975 /nfs/dbraw/zinc/79/19/75/719791975.db2.gz KMUQUPCNCQSUQA-INIZCTEOSA-N 1 2 311.389 1.710 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)CC1 ZINC000948952682 719799617 /nfs/dbraw/zinc/79/96/17/719799617.db2.gz DVWYBBPIJCQONH-CYBMUJFWSA-N 1 2 308.813 1.342 20 30 DDEDLO C=CCC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nc[nH]n1 ZINC000948970268 719815281 /nfs/dbraw/zinc/81/52/81/719815281.db2.gz VPCIGAMETSCHMX-OAHLLOKOSA-N 1 2 311.389 1.710 20 30 DDEDLO C=CCC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nc[nH]n1 ZINC000948970268 719815290 /nfs/dbraw/zinc/81/52/90/719815290.db2.gz VPCIGAMETSCHMX-OAHLLOKOSA-N 1 2 311.389 1.710 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cccc3ncccc23)CC1 ZINC000949269404 719985736 /nfs/dbraw/zinc/98/57/36/719985736.db2.gz QPTYVXDUADBMIW-UHFFFAOYSA-N 1 2 307.397 1.945 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CCCC34CC4)CC2)C1 ZINC000949395092 720060347 /nfs/dbraw/zinc/06/03/47/720060347.db2.gz MRGFXZGQNMAXRH-MRXNPFEDSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CCCC34CC4)CC2)C1 ZINC000949395092 720060352 /nfs/dbraw/zinc/06/03/52/720060352.db2.gz MRGFXZGQNMAXRH-MRXNPFEDSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@]3(C)CCO[C@@H]3C)CC2)C1 ZINC000949426942 720080204 /nfs/dbraw/zinc/08/02/04/720080204.db2.gz BZWCGCATHDWMTB-NVXWUHKLSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@]3(C)CCO[C@@H]3C)CC2)C1 ZINC000949426942 720080215 /nfs/dbraw/zinc/08/02/15/720080215.db2.gz BZWCGCATHDWMTB-NVXWUHKLSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@]3(C)CCCOC3)CC2)C1 ZINC000949499650 720125920 /nfs/dbraw/zinc/12/59/20/720125920.db2.gz WUIUZVQOQJVKSH-KRWDZBQOSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@]3(C)CCCOC3)CC2)C1 ZINC000949499650 720125924 /nfs/dbraw/zinc/12/59/24/720125924.db2.gz WUIUZVQOQJVKSH-KRWDZBQOSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@H](C)C3CC3)CC2)C1 ZINC000949531890 720143433 /nfs/dbraw/zinc/14/34/33/720143433.db2.gz SNCAUHUZHBKFAX-HNNXBMFYSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@H](C)C3CC3)CC2)C1 ZINC000949531890 720143434 /nfs/dbraw/zinc/14/34/34/720143434.db2.gz SNCAUHUZHBKFAX-HNNXBMFYSA-N 1 2 304.434 1.749 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nn(C)cc2Cl)C1 ZINC000969584252 720200607 /nfs/dbraw/zinc/20/06/07/720200607.db2.gz GNVFVERSEFQJMB-LLVKDONJSA-N 1 2 310.829 1.976 20 30 DDEDLO C#CCN1CC([C@H](C)NC(=O)c2sccc2C[NH+](C)C)C1 ZINC000969769518 720284846 /nfs/dbraw/zinc/28/48/46/720284846.db2.gz POTBTLSGGDOAIK-LBPRGKRZSA-N 1 2 305.447 1.493 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC000969846421 720532025 /nfs/dbraw/zinc/53/20/25/720532025.db2.gz VSJGLZCQVWJBEB-VIFPVBQESA-N 1 2 320.784 1.156 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnc3n2CCOC3)C1 ZINC000969885218 720548214 /nfs/dbraw/zinc/54/82/14/720548214.db2.gz WJMMINHEQAWUHA-LLVKDONJSA-N 1 2 324.812 1.216 20 30 DDEDLO C=C(Cl)CN1CC([C@@H](C)NC(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC000969996363 720591201 /nfs/dbraw/zinc/59/12/01/720591201.db2.gz IIGDPSMMVFVPKM-OLZOCXBDSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC000950453354 720639646 /nfs/dbraw/zinc/63/96/46/720639646.db2.gz UHRLDVHPCFCCHP-AWEZNQCLSA-N 1 2 307.438 1.352 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cc3n(n2)CCCC3)C1 ZINC000950582224 720694077 /nfs/dbraw/zinc/69/40/77/720694077.db2.gz WFCGMJCKCRMQAN-UHFFFAOYSA-N 1 2 302.422 1.942 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](CCc2ccns2)CC1 ZINC000950597906 720699382 /nfs/dbraw/zinc/69/93/82/720699382.db2.gz ZDKZAQQJKXOCDP-CABCVRRESA-N 1 2 321.446 1.421 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnn3c2OCCC3)C1 ZINC000950759539 720767074 /nfs/dbraw/zinc/76/70/74/720767074.db2.gz GMHZJCPMPZQIGX-UHFFFAOYSA-N 1 2 304.394 1.388 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000950891401 720816130 /nfs/dbraw/zinc/81/61/30/720816130.db2.gz IFXVFUQJXYLHOL-UHFFFAOYSA-N 1 2 300.362 1.602 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCc3nc(C)nn3C2)C1 ZINC000950965577 720855581 /nfs/dbraw/zinc/85/55/81/720855581.db2.gz GSWGXOMJWCAMNV-AWEZNQCLSA-N 1 2 317.437 1.258 20 30 DDEDLO C[C@@H](NC(=O)c1cccnn1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970634694 720862295 /nfs/dbraw/zinc/86/22/95/720862295.db2.gz OEOREAJUOMURQS-CYBMUJFWSA-N 1 2 321.384 1.599 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC000970798362 720939751 /nfs/dbraw/zinc/93/97/51/720939751.db2.gz SFWZQDMZKZIAFD-GXTWGEPZSA-N 1 2 319.836 1.985 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC000970815606 720945901 /nfs/dbraw/zinc/94/59/01/720945901.db2.gz GOPSWJSKCQLFOR-WCQYABFASA-N 1 2 313.829 1.186 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971218749 721186287 /nfs/dbraw/zinc/18/62/87/721186287.db2.gz RXAGBRVCCFOELZ-MLGOLLRUSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971218749 721186289 /nfs/dbraw/zinc/18/62/89/721186289.db2.gz RXAGBRVCCFOELZ-MLGOLLRUSA-N 1 2 314.364 1.890 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CCN2C(=O)Cn2cc[nH+]c2)CC1 ZINC000951965277 721257714 /nfs/dbraw/zinc/25/77/14/721257714.db2.gz FBLLLJQUDXAJFT-HNNXBMFYSA-N 1 2 316.405 1.347 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)nc1 ZINC000971375807 721264435 /nfs/dbraw/zinc/26/44/35/721264435.db2.gz DBBKGSGRATZPJT-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)nc1 ZINC000971375807 721264440 /nfs/dbraw/zinc/26/44/40/721264440.db2.gz DBBKGSGRATZPJT-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)oc1C ZINC000971513288 721333631 /nfs/dbraw/zinc/33/36/31/721333631.db2.gz LMSIKCQBGSGZFA-OAHLLOKOSA-N 1 2 301.390 1.738 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)oc1C ZINC000971513288 721333633 /nfs/dbraw/zinc/33/36/33/721333633.db2.gz LMSIKCQBGSGZFA-OAHLLOKOSA-N 1 2 301.390 1.738 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001023744665 735431149 /nfs/dbraw/zinc/43/11/49/735431149.db2.gz RZVJUQJMYHIERO-CYBMUJFWSA-N 1 2 311.389 1.423 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001023744665 735431152 /nfs/dbraw/zinc/43/11/52/735431152.db2.gz RZVJUQJMYHIERO-CYBMUJFWSA-N 1 2 311.389 1.423 20 30 DDEDLO Cn1ccc(C[N@@H+]2CCC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001027812429 738685796 /nfs/dbraw/zinc/68/57/96/738685796.db2.gz FTGQDOKOCBBDIR-AWEZNQCLSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1ccc(C[N@H+]2CCC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001027812429 738685797 /nfs/dbraw/zinc/68/57/97/738685797.db2.gz FTGQDOKOCBBDIR-AWEZNQCLSA-N 1 2 312.377 1.014 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)co1 ZINC001027835656 738713756 /nfs/dbraw/zinc/71/37/56/738713756.db2.gz IGGLNVAATRXNHL-OAHLLOKOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(C[N@H+]2CCC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)co1 ZINC001027835656 738713759 /nfs/dbraw/zinc/71/37/59/738713759.db2.gz IGGLNVAATRXNHL-OAHLLOKOSA-N 1 2 313.361 1.577 20 30 DDEDLO C#CCC[NH2+]C1CC2(CC(NC(=O)C(F)C(F)(F)F)C2)C1 ZINC001121145202 782379078 /nfs/dbraw/zinc/37/90/78/782379078.db2.gz UYGJZVCMLWACDD-XPOXDWOYSA-N 1 2 306.303 1.927 20 30 DDEDLO C#CCC[NH2+]C1CC2(CC(NC(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001121145202 782379083 /nfs/dbraw/zinc/37/90/83/782379083.db2.gz UYGJZVCMLWACDD-XPOXDWOYSA-N 1 2 306.303 1.927 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1CNC(=O)c1cnc([C@H](C)OC)s1 ZINC001027885479 738773496 /nfs/dbraw/zinc/77/34/96/738773496.db2.gz FKUZMULZMPCZCE-RYUDHWBXSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1cnc([C@H](C)OC)s1 ZINC001027885479 738773497 /nfs/dbraw/zinc/77/34/97/738773497.db2.gz FKUZMULZMPCZCE-RYUDHWBXSA-N 1 2 307.419 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc2[nH]cnc2c1 ZINC001027908708 738794365 /nfs/dbraw/zinc/79/43/65/738794365.db2.gz DERJLVMRCREELR-GFCCVEGCSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnc2[nH]cnc2c1 ZINC001027908708 738794367 /nfs/dbraw/zinc/79/43/67/738794367.db2.gz DERJLVMRCREELR-GFCCVEGCSA-N 1 2 319.796 1.905 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3sc(CC)nc3C)[C@H]2C1 ZINC001083222514 734401211 /nfs/dbraw/zinc/40/12/11/734401211.db2.gz XJTLSVULUXODQC-QWHCGFSZSA-N 1 2 321.446 1.725 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3sc(CC)nc3C)[C@H]2C1 ZINC001083222514 734401213 /nfs/dbraw/zinc/40/12/13/734401213.db2.gz XJTLSVULUXODQC-QWHCGFSZSA-N 1 2 321.446 1.725 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc3[nH]ccc32)C1 ZINC000891607379 734424418 /nfs/dbraw/zinc/42/44/18/734424418.db2.gz SMIMBMXXZXGVEF-CYBMUJFWSA-N 1 2 324.384 1.320 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc3[nH]ccc32)C1 ZINC000891607379 734424420 /nfs/dbraw/zinc/42/44/20/734424420.db2.gz SMIMBMXXZXGVEF-CYBMUJFWSA-N 1 2 324.384 1.320 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)[C@H]1CC1(F)F ZINC001038230067 734537666 /nfs/dbraw/zinc/53/76/66/734537666.db2.gz RTDLZEHIJIDERV-HUUCEWRRSA-N 1 2 304.340 1.884 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)[C@H]1CC1(F)F ZINC001038230067 734537668 /nfs/dbraw/zinc/53/76/68/734537668.db2.gz RTDLZEHIJIDERV-HUUCEWRRSA-N 1 2 304.340 1.884 20 30 DDEDLO C#CCOCCC(=O)N(CCN(C)c1cc[nH+]c(C)n1)C(C)C ZINC001125583753 734700144 /nfs/dbraw/zinc/70/01/44/734700144.db2.gz LJXSPRILXCWTFM-UHFFFAOYSA-N 1 2 318.421 1.498 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3CCCCO3)C2)C1 ZINC000972334311 734794734 /nfs/dbraw/zinc/79/47/34/734794734.db2.gz WQHBXIFFOMFISA-SJLPKXTDSA-N 1 2 320.433 1.272 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3CCCCO3)C2)C1 ZINC000972334311 734794737 /nfs/dbraw/zinc/79/47/37/734794737.db2.gz WQHBXIFFOMFISA-SJLPKXTDSA-N 1 2 320.433 1.272 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCCC1 ZINC001104433957 734870124 /nfs/dbraw/zinc/87/01/24/734870124.db2.gz HFOAXYMHKRUEBW-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccccc3Cl)[C@H]2C1 ZINC001083255135 734919351 /nfs/dbraw/zinc/91/93/51/734919351.db2.gz GCJNDENKVDPUDT-LSDHHAIUSA-N 1 2 304.777 1.498 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccccc3Cl)[C@H]2C1 ZINC001083255135 734919354 /nfs/dbraw/zinc/91/93/54/734919354.db2.gz GCJNDENKVDPUDT-LSDHHAIUSA-N 1 2 304.777 1.498 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1csc([C@@H]2CCCO2)n1 ZINC001038253365 735015909 /nfs/dbraw/zinc/01/59/09/735015909.db2.gz NOAHGXRUSINJSY-JSGCOSHPSA-N 1 2 319.430 1.822 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1csc([C@@H]2CCCO2)n1 ZINC001038253365 735015915 /nfs/dbraw/zinc/01/59/15/735015915.db2.gz NOAHGXRUSINJSY-JSGCOSHPSA-N 1 2 319.430 1.822 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cncs1 ZINC001038267268 735145255 /nfs/dbraw/zinc/14/52/55/735145255.db2.gz QZMUWRVSKWXDII-CFVMTHIKSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cncs1 ZINC001038267268 735145256 /nfs/dbraw/zinc/14/52/56/735145256.db2.gz QZMUWRVSKWXDII-CFVMTHIKSA-N 1 2 321.446 1.815 20 30 DDEDLO N#Cc1ccc(C(=O)NCC[NH2+]Cc2nc(C3CCC3)no2)[nH]1 ZINC001125782752 735677551 /nfs/dbraw/zinc/67/75/51/735677551.db2.gz IZCFJZXABYXCTL-UHFFFAOYSA-N 1 2 314.349 1.056 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC001126383246 739662592 /nfs/dbraw/zinc/66/25/92/739662592.db2.gz HAQSWGMUOPQEFU-INIZCTEOSA-N 1 2 309.410 1.505 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@@H](C)CNc1ccc(C#N)nc1 ZINC001104644097 736482007 /nfs/dbraw/zinc/48/20/07/736482007.db2.gz KSINTFKZEBVXEF-NSHDSACASA-N 1 2 312.377 1.486 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C2CCCCC2)n[nH]1 ZINC001038345555 737070417 /nfs/dbraw/zinc/07/04/17/737070417.db2.gz BDJIIXJXPBBUAR-CQSZACIVSA-N 1 2 300.406 1.895 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C2CCCCC2)n[nH]1 ZINC001038345555 737070420 /nfs/dbraw/zinc/07/04/20/737070420.db2.gz BDJIIXJXPBBUAR-CQSZACIVSA-N 1 2 300.406 1.895 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001007970005 737324680 /nfs/dbraw/zinc/32/46/80/737324680.db2.gz UTRATHVYURYFIP-ZDUSSCGKSA-N 1 2 309.797 1.663 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001007970005 737324682 /nfs/dbraw/zinc/32/46/82/737324682.db2.gz UTRATHVYURYFIP-ZDUSSCGKSA-N 1 2 309.797 1.663 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)CSCC#N)C[C@@H]2C)cc[nH+]1 ZINC001105027561 737467311 /nfs/dbraw/zinc/46/73/11/737467311.db2.gz ASNYDWBKBJYBIY-WCQYABFASA-N 1 2 319.434 1.691 20 30 DDEDLO Cc1nc(N2CCC[C@H]2CNC(=O)[C@@H](C)C#N)c(C)c(C)[nH+]1 ZINC001100809463 737523236 /nfs/dbraw/zinc/52/32/36/737523236.db2.gz DAWSRLOTJFDZIY-HZMBPMFUSA-N 1 2 301.394 1.647 20 30 DDEDLO CC(C)(C)c1cnc(C[NH2+]CCNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001125977655 738039002 /nfs/dbraw/zinc/03/90/02/738039002.db2.gz CKHVSKWIWNCUSY-UHFFFAOYSA-N 1 2 315.377 1.691 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001009274167 738153066 /nfs/dbraw/zinc/15/30/66/738153066.db2.gz NKAYPKLRZJXPAL-KBPBESRZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001009274167 738153067 /nfs/dbraw/zinc/15/30/67/738153067.db2.gz NKAYPKLRZJXPAL-KBPBESRZSA-N 1 2 313.829 1.330 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405157 738268903 /nfs/dbraw/zinc/26/89/03/738268903.db2.gz CSEQJFCFCMPTHK-AWEZNQCLSA-N 1 2 318.446 1.683 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405157 738268906 /nfs/dbraw/zinc/26/89/06/738268906.db2.gz CSEQJFCFCMPTHK-AWEZNQCLSA-N 1 2 318.446 1.683 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)CCC(C)(C)C)C2)nn1 ZINC001105347866 738931594 /nfs/dbraw/zinc/93/15/94/738931594.db2.gz OWMJNAAQFRZCLM-UHFFFAOYSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](C[C@H](F)CC)C[C@@H]1n1ccnn1 ZINC001129159660 751403398 /nfs/dbraw/zinc/40/33/98/751403398.db2.gz OWBDVUASXFNXEI-MCIONIFRSA-N 1 2 323.416 1.580 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](C[C@H](F)CC)C[C@@H]1n1ccnn1 ZINC001129159660 751403401 /nfs/dbraw/zinc/40/34/01/751403401.db2.gz OWBDVUASXFNXEI-MCIONIFRSA-N 1 2 323.416 1.580 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)c3ccccc3)C2)nn1 ZINC001105365476 739383674 /nfs/dbraw/zinc/38/36/74/739383674.db2.gz VPBJNVCWFGLRQU-CQSZACIVSA-N 1 2 323.400 1.188 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C(C)(C)C(C)C)C2)nn1 ZINC001098679119 739571781 /nfs/dbraw/zinc/57/17/81/739571781.db2.gz RPMUEVVYBFQHMQ-OAHLLOKOSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2n[nH]c(CC)c2Cl)C1 ZINC001035398235 751467668 /nfs/dbraw/zinc/46/76/68/751467668.db2.gz OSRKGNPVYFHGDQ-LLVKDONJSA-N 1 2 324.812 1.079 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2n[nH]c(CC)c2Cl)C1 ZINC001035398235 751467670 /nfs/dbraw/zinc/46/76/70/751467670.db2.gz OSRKGNPVYFHGDQ-LLVKDONJSA-N 1 2 324.812 1.079 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3cc(C)cn3c2)C1 ZINC001035398051 751468263 /nfs/dbraw/zinc/46/82/63/751468263.db2.gz IWACXKHOVAXYHJ-QGZVFWFLSA-N 1 2 313.401 1.864 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3cc(C)cn3c2)C1 ZINC001035398051 751468264 /nfs/dbraw/zinc/46/82/64/751468264.db2.gz IWACXKHOVAXYHJ-QGZVFWFLSA-N 1 2 313.401 1.864 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)c3ccoc3)[C@@H](O)C2)cc1 ZINC001083338018 739711704 /nfs/dbraw/zinc/71/17/04/739711704.db2.gz SOSIMOOLTNSDKV-CVEARBPZSA-N 1 2 311.341 1.126 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)c3ccoc3)[C@@H](O)C2)cc1 ZINC001083338018 739711708 /nfs/dbraw/zinc/71/17/08/739711708.db2.gz SOSIMOOLTNSDKV-CVEARBPZSA-N 1 2 311.341 1.126 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001083392952 739761793 /nfs/dbraw/zinc/76/17/93/739761793.db2.gz FLHMZOXVUNDKHB-LFDJNIOPSA-N 1 2 324.424 1.246 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001083392952 739761797 /nfs/dbraw/zinc/76/17/97/739761797.db2.gz FLHMZOXVUNDKHB-LFDJNIOPSA-N 1 2 324.424 1.246 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn3c(n2)CC[C@@H](C)C3)C1 ZINC001035418958 751477442 /nfs/dbraw/zinc/47/74/42/751477442.db2.gz DYCAWZCYETTZNL-ZIAGYGMSSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn3c(n2)CC[C@@H](C)C3)C1 ZINC001035418958 751477443 /nfs/dbraw/zinc/47/74/43/751477443.db2.gz DYCAWZCYETTZNL-ZIAGYGMSSA-N 1 2 318.421 1.082 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001035420388 751479235 /nfs/dbraw/zinc/47/92/35/751479235.db2.gz NWBBDKOBTPCBAC-HUUCEWRRSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001035420388 751479242 /nfs/dbraw/zinc/47/92/42/751479242.db2.gz NWBBDKOBTPCBAC-HUUCEWRRSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccncc2)on1 ZINC001032594838 751479504 /nfs/dbraw/zinc/47/95/04/751479504.db2.gz SPZHCVWKKOIIQM-KBPBESRZSA-N 1 2 310.357 1.821 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccncc2)on1 ZINC001032594838 751479511 /nfs/dbraw/zinc/47/95/11/751479511.db2.gz SPZHCVWKKOIIQM-KBPBESRZSA-N 1 2 310.357 1.821 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001035432981 751510502 /nfs/dbraw/zinc/51/05/02/751510502.db2.gz UBHLZLPTIXSBOS-INIZCTEOSA-N 1 2 313.401 1.413 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001035432981 751510506 /nfs/dbraw/zinc/51/05/06/751510506.db2.gz UBHLZLPTIXSBOS-INIZCTEOSA-N 1 2 313.401 1.413 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001035433854 751510739 /nfs/dbraw/zinc/51/07/39/751510739.db2.gz VRAZHSFPZHWJCK-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001035433854 751510742 /nfs/dbraw/zinc/51/07/42/751510742.db2.gz VRAZHSFPZHWJCK-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)cc(OC)c2C)C1 ZINC001035434470 751511665 /nfs/dbraw/zinc/51/16/65/751511665.db2.gz ZUBTUVIGRNNYBO-OAHLLOKOSA-N 1 2 318.417 1.929 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)cc(OC)c2C)C1 ZINC001035434470 751511667 /nfs/dbraw/zinc/51/16/67/751511667.db2.gz ZUBTUVIGRNNYBO-OAHLLOKOSA-N 1 2 318.417 1.929 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)cc2F)C1 ZINC001035436359 751514158 /nfs/dbraw/zinc/51/41/58/751514158.db2.gz QRMYXOQVQIGUEG-AWEZNQCLSA-N 1 2 320.364 1.288 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)cc2F)C1 ZINC001035436359 751514162 /nfs/dbraw/zinc/51/41/62/751514162.db2.gz QRMYXOQVQIGUEG-AWEZNQCLSA-N 1 2 320.364 1.288 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](CNC(=O)c2c[nH+]ccc2N(C)C)C1 ZINC001028934205 740187057 /nfs/dbraw/zinc/18/70/57/740187057.db2.gz ZMMBFBMXCUVKAO-ZDUSSCGKSA-N 1 2 322.840 1.952 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3ccncc3n2)C1 ZINC001035448269 751525268 /nfs/dbraw/zinc/52/52/68/751525268.db2.gz BVHMKKGUDHUWAG-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3ccncc3n2)C1 ZINC001035448269 751525269 /nfs/dbraw/zinc/52/52/69/751525269.db2.gz BVHMKKGUDHUWAG-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO CCn1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)cn1 ZINC001038102230 740372861 /nfs/dbraw/zinc/37/28/61/740372861.db2.gz FEOUAIDITVWPND-KRWDZBQOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)cn1 ZINC001038102230 740372866 /nfs/dbraw/zinc/37/28/66/740372866.db2.gz FEOUAIDITVWPND-KRWDZBQOSA-N 1 2 323.400 1.779 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3(C)CCC3)C2)nn1 ZINC001098698494 740448572 /nfs/dbraw/zinc/44/85/72/740448572.db2.gz UVNXDBMPJDJACG-OAHLLOKOSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2occ3c2CCC3)C1 ZINC001035461816 751547492 /nfs/dbraw/zinc/54/74/92/751547492.db2.gz RVJLPVJXKXOEIW-CQSZACIVSA-N 1 2 304.390 1.775 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2occ3c2CCC3)C1 ZINC001035461816 751547496 /nfs/dbraw/zinc/54/74/96/751547496.db2.gz RVJLPVJXKXOEIW-CQSZACIVSA-N 1 2 304.390 1.775 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001035465336 751550853 /nfs/dbraw/zinc/55/08/53/751550853.db2.gz GCGYUMGMVRZQLE-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001035465336 751550855 /nfs/dbraw/zinc/55/08/55/751550855.db2.gz GCGYUMGMVRZQLE-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@]3(C)C=CCC3)C2)nn1 ZINC001098700289 740530252 /nfs/dbraw/zinc/53/02/52/740530252.db2.gz IBJBIQWDVBEZAE-WBVHZDCISA-N 1 2 313.405 1.131 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@H]4CC[C@@H](C3)N4CC#N)ccn12 ZINC001029308064 740553844 /nfs/dbraw/zinc/55/38/44/740553844.db2.gz UIZRIIMGQAXKSA-GASCZTMLSA-N 1 2 309.373 1.455 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ncsc2C2CC2)C1 ZINC001035506628 751561915 /nfs/dbraw/zinc/56/19/15/751561915.db2.gz VHMUYSWVJGLMMW-ZDUSSCGKSA-N 1 2 319.430 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ncsc2C2CC2)C1 ZINC001035506628 751561920 /nfs/dbraw/zinc/56/19/20/751561920.db2.gz VHMUYSWVJGLMMW-ZDUSSCGKSA-N 1 2 319.430 1.474 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1ccon1 ZINC001087545605 740625242 /nfs/dbraw/zinc/62/52/42/740625242.db2.gz SQBWJMVZNDCEKG-DZGCQCFKSA-N 1 2 313.361 1.657 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1ccon1 ZINC001087545605 740625244 /nfs/dbraw/zinc/62/52/44/740625244.db2.gz SQBWJMVZNDCEKG-DZGCQCFKSA-N 1 2 313.361 1.657 20 30 DDEDLO C=CC[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)[C@H]1CC ZINC001087572665 740659674 /nfs/dbraw/zinc/65/96/74/740659674.db2.gz AOZYIQJLIHPWEQ-QLFBSQMISA-N 1 2 302.422 1.985 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)[C@H]1CC ZINC001087572665 740659675 /nfs/dbraw/zinc/65/96/75/740659675.db2.gz AOZYIQJLIHPWEQ-QLFBSQMISA-N 1 2 302.422 1.985 20 30 DDEDLO N#CCN1CC[C@@H]2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@@H]2C1 ZINC001088013617 740946600 /nfs/dbraw/zinc/94/66/00/740946600.db2.gz MDRZXBBTUHKLQM-OCCSQVGLSA-N 1 2 309.373 1.480 20 30 DDEDLO CC[C@@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC[C@@H]1NCC#N ZINC001037957502 751592259 /nfs/dbraw/zinc/59/22/59/751592259.db2.gz BDEFGLUBXQVWIZ-OLZOCXBDSA-N 1 2 303.410 1.428 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@]2(C1)CCC[N@H+](Cc1cnon1)C2 ZINC001040125397 741374091 /nfs/dbraw/zinc/37/40/91/741374091.db2.gz DXRCLFGGSMLXAW-DOMZBBRYSA-N 1 2 303.366 1.044 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@]2(C1)CCC[N@@H+](Cc1cnon1)C2 ZINC001040125397 741374092 /nfs/dbraw/zinc/37/40/92/741374092.db2.gz DXRCLFGGSMLXAW-DOMZBBRYSA-N 1 2 303.366 1.044 20 30 DDEDLO N#CCN[C@@H]1CCCCCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC001088338075 741411270 /nfs/dbraw/zinc/41/12/70/741411270.db2.gz UTMFOYGLYMACBB-HUUCEWRRSA-N 1 2 315.421 1.330 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H](C)C(C)C)C2)nn1 ZINC001098740376 741551542 /nfs/dbraw/zinc/55/15/42/741551542.db2.gz QAEGFOXECDDTJX-DZGCQCFKSA-N 1 2 303.410 1.066 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3nsnc32)C1 ZINC001035565307 751647664 /nfs/dbraw/zinc/64/76/64/751647664.db2.gz ISECFLMVZQMVEO-NSHDSACASA-N 1 2 318.402 1.308 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3nsnc32)C1 ZINC001035565307 751647670 /nfs/dbraw/zinc/64/76/70/751647670.db2.gz ISECFLMVZQMVEO-NSHDSACASA-N 1 2 318.402 1.308 20 30 DDEDLO N#Cc1c(F)cccc1N[C@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001059844951 741790070 /nfs/dbraw/zinc/79/00/70/741790070.db2.gz JNKARQOJARLQPO-PHIMTYICSA-N 1 2 313.336 1.722 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1C[C@@H](NC(=O)[C@@H](C)C#N)[C@H](OC)C1 ZINC001212039840 741950202 /nfs/dbraw/zinc/95/02/02/741950202.db2.gz VELCJOXYJUVLIZ-COLVAYQJSA-N 1 2 320.393 1.021 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1C[C@@H](NC(=O)[C@@H](C)C#N)[C@H](OC)C1 ZINC001212039840 741950204 /nfs/dbraw/zinc/95/02/04/741950204.db2.gz VELCJOXYJUVLIZ-COLVAYQJSA-N 1 2 320.393 1.021 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212039560 741950332 /nfs/dbraw/zinc/95/03/32/741950332.db2.gz OBZKSHCHUKRPQK-KCPJHIHWSA-N 1 2 321.808 1.815 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212039560 741950335 /nfs/dbraw/zinc/95/03/35/741950335.db2.gz OBZKSHCHUKRPQK-KCPJHIHWSA-N 1 2 321.808 1.815 20 30 DDEDLO CC(C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)=C1CCC1 ZINC001083451708 741992617 /nfs/dbraw/zinc/99/26/17/741992617.db2.gz BFTBRJSYDGTVND-MOPGFXCFSA-N 1 2 324.424 1.700 20 30 DDEDLO CC(C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)=C1CCC1 ZINC001083451708 741992620 /nfs/dbraw/zinc/99/26/20/741992620.db2.gz BFTBRJSYDGTVND-MOPGFXCFSA-N 1 2 324.424 1.700 20 30 DDEDLO N#CCNCC1([C@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)CCC1 ZINC001105566229 742122806 /nfs/dbraw/zinc/12/28/06/742122806.db2.gz HDUZIPSTLQOAFG-OAHLLOKOSA-N 1 2 315.421 1.617 20 30 DDEDLO Cn1cncc1C[N@@H+]1CCC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007060811 742162411 /nfs/dbraw/zinc/16/24/11/742162411.db2.gz YCACXCZJRNIWAN-CYBMUJFWSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1cncc1C[N@H+]1CCC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007060811 742162413 /nfs/dbraw/zinc/16/24/13/742162413.db2.gz YCACXCZJRNIWAN-CYBMUJFWSA-N 1 2 312.377 1.014 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2sc3nccn3c2C)[C@@H](O)C1 ZINC001083531262 742480753 /nfs/dbraw/zinc/48/07/53/742480753.db2.gz FUPKOCJETXIEIB-NEPJUHHUSA-N 1 2 320.418 1.055 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2sc3nccn3c2C)[C@@H](O)C1 ZINC001083531262 742480757 /nfs/dbraw/zinc/48/07/57/742480757.db2.gz FUPKOCJETXIEIB-NEPJUHHUSA-N 1 2 320.418 1.055 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccc(Cl)cc2)C[C@H]1O)C1CC1 ZINC001076663208 742862174 /nfs/dbraw/zinc/86/21/74/742862174.db2.gz BGKGEOXHTWUGEH-HZPDHXFCSA-N 1 2 318.804 1.263 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccc(Cl)cc2)C[C@H]1O)C1CC1 ZINC001076663208 742862180 /nfs/dbraw/zinc/86/21/80/742862180.db2.gz BGKGEOXHTWUGEH-HZPDHXFCSA-N 1 2 318.804 1.263 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)COC2CCCCC2)C1 ZINC001108041979 742985717 /nfs/dbraw/zinc/98/57/17/742985717.db2.gz GTKSKGQZBZPYAE-KRWDZBQOSA-N 1 2 310.438 1.729 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)COC2CCCCC2)C1 ZINC001108041979 742985721 /nfs/dbraw/zinc/98/57/21/742985721.db2.gz GTKSKGQZBZPYAE-KRWDZBQOSA-N 1 2 310.438 1.729 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001076794710 743000495 /nfs/dbraw/zinc/00/04/95/743000495.db2.gz OKHKRHYRKXFFOT-AWEZNQCLSA-N 1 2 320.437 1.720 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1nnc(C)o1)CC2 ZINC001035698011 751785739 /nfs/dbraw/zinc/78/57/39/751785739.db2.gz NVYKIJBKSPANDH-UHFFFAOYSA-N 1 2 324.812 1.597 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@H]2CCOC2)CC1 ZINC001002418203 743129119 /nfs/dbraw/zinc/12/91/19/743129119.db2.gz RRLRUFQFIRLYNN-NSHDSACASA-N 1 2 317.227 1.512 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCCC1(C)C ZINC001121509905 782541985 /nfs/dbraw/zinc/54/19/85/782541985.db2.gz KAAAYTGDMFUIRV-STQMWFEESA-N 1 2 305.426 1.580 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCCC1(C)C ZINC001121509905 782541992 /nfs/dbraw/zinc/54/19/92/782541992.db2.gz KAAAYTGDMFUIRV-STQMWFEESA-N 1 2 305.426 1.580 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC2CC[NH+](Cc3ncccn3)CC2)c1 ZINC001002551067 743232943 /nfs/dbraw/zinc/23/29/43/743232943.db2.gz KGCVWYLTPKYCEL-UHFFFAOYSA-N 1 2 310.361 1.071 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(OCC)s2)C1 ZINC001181543619 743233244 /nfs/dbraw/zinc/23/32/44/743233244.db2.gz SXASJMDCSYKZBL-LLVKDONJSA-N 1 2 310.423 1.594 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(C(C)C)no2)C1 ZINC001181600099 743261295 /nfs/dbraw/zinc/26/12/95/743261295.db2.gz VQWAIQGKEWFMGU-CHWSQXEVSA-N 1 2 322.409 1.647 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCc1cnn2c1C[N@H+](CC)CC2 ZINC001128245278 743362860 /nfs/dbraw/zinc/36/28/60/743362860.db2.gz KFKGJOAGRAMRGJ-ZDUSSCGKSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCc1cnn2c1C[N@@H+](CC)CC2 ZINC001128245278 743362862 /nfs/dbraw/zinc/36/28/62/743362862.db2.gz KFKGJOAGRAMRGJ-ZDUSSCGKSA-N 1 2 306.410 1.316 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001061136229 743389297 /nfs/dbraw/zinc/38/92/97/743389297.db2.gz LWGCLFAJYQTXQW-QWHCGFSZSA-N 1 2 324.388 1.758 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H](C)COC)CC2)C1 ZINC001105698059 743557063 /nfs/dbraw/zinc/55/70/63/743557063.db2.gz DLXIAJUZGNLLJX-CQSZACIVSA-N 1 2 310.438 1.537 20 30 DDEDLO Cc1ncncc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038085813 743599109 /nfs/dbraw/zinc/59/91/09/743599109.db2.gz IKQUSZXRPARNLL-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ncncc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038085813 743599114 /nfs/dbraw/zinc/59/91/14/743599114.db2.gz IKQUSZXRPARNLL-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO CN(C(=O)CCn1cc[nH+]c1)C1CC(Nc2ncccc2C#N)C1 ZINC001127047472 743675183 /nfs/dbraw/zinc/67/51/83/743675183.db2.gz NAPDTSCLRXHNNA-UHFFFAOYSA-N 1 2 324.388 1.641 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001182898271 743748357 /nfs/dbraw/zinc/74/83/57/743748357.db2.gz UJJKQXCWVAJWOV-GFCCVEGCSA-N 1 2 321.425 1.428 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](O)CNc1cc(C)[nH+]c(C(C)C)n1 ZINC001105747364 743779391 /nfs/dbraw/zinc/77/93/91/743779391.db2.gz FYQKGYVRMCOCQL-ZDUSSCGKSA-N 1 2 322.409 1.000 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1cn[nH]c1 ZINC001006799090 751882288 /nfs/dbraw/zinc/88/22/88/751882288.db2.gz ODVNAVSDGMYHSQ-KRWDZBQOSA-N 1 2 308.385 1.656 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1cn[nH]c1 ZINC001006799090 751882298 /nfs/dbraw/zinc/88/22/98/751882298.db2.gz ODVNAVSDGMYHSQ-KRWDZBQOSA-N 1 2 308.385 1.656 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001184890735 744115534 /nfs/dbraw/zinc/11/55/34/744115534.db2.gz XDTYGTRVKZKKKO-CQSZACIVSA-N 1 2 304.394 1.652 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc3c(c2)NC(=O)CO3)C1 ZINC001030474104 744186322 /nfs/dbraw/zinc/18/63/22/744186322.db2.gz PDRIJOYXLRMJRO-UHFFFAOYSA-N 1 2 301.346 1.008 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C(C)C)C2)nn1 ZINC001185873690 744297979 /nfs/dbraw/zinc/29/79/79/744297979.db2.gz WMFRIVAXSCHWSD-OAHLLOKOSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C(C)(C)CC)C2)nn1 ZINC001185993402 744318851 /nfs/dbraw/zinc/31/88/51/744318851.db2.gz XIEKBIKCSXRQIC-HNNXBMFYSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2sc(COC)nc2C)[C@H]1C ZINC001089008021 744445627 /nfs/dbraw/zinc/44/56/27/744445627.db2.gz JZEFDIAJSCLBHF-NEPJUHHUSA-N 1 2 307.419 1.424 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2sc(COC)nc2C)[C@H]1C ZINC001089008021 744445629 /nfs/dbraw/zinc/44/56/29/744445629.db2.gz JZEFDIAJSCLBHF-NEPJUHHUSA-N 1 2 307.419 1.424 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001187239389 744522618 /nfs/dbraw/zinc/52/26/18/744522618.db2.gz ATIIPLKPCONCDZ-GOEBONIOSA-N 1 2 302.422 1.902 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001187239389 744522620 /nfs/dbraw/zinc/52/26/20/744522620.db2.gz ATIIPLKPCONCDZ-GOEBONIOSA-N 1 2 302.422 1.902 20 30 DDEDLO COc1cccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H](C)C#N)C3)n1 ZINC001110394784 744575332 /nfs/dbraw/zinc/57/53/32/744575332.db2.gz LPDSANBLHUICLX-NGFQHRJXSA-N 1 2 314.389 1.471 20 30 DDEDLO COc1cccc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H](C)C#N)C3)n1 ZINC001110394784 744575334 /nfs/dbraw/zinc/57/53/34/744575334.db2.gz LPDSANBLHUICLX-NGFQHRJXSA-N 1 2 314.389 1.471 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)nc1 ZINC001089139431 744584491 /nfs/dbraw/zinc/58/44/91/744584491.db2.gz ABMYMJDOABVWJX-CABCVRRESA-N 1 2 315.421 1.758 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)nc1 ZINC001089139431 744584495 /nfs/dbraw/zinc/58/44/95/744584495.db2.gz ABMYMJDOABVWJX-CABCVRRESA-N 1 2 315.421 1.758 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001187713544 744595608 /nfs/dbraw/zinc/59/56/08/744595608.db2.gz CRXNGYZHMMLRJG-VHDGCEQUSA-N 1 2 316.405 1.775 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001187713544 744595609 /nfs/dbraw/zinc/59/56/09/744595609.db2.gz CRXNGYZHMMLRJG-VHDGCEQUSA-N 1 2 316.405 1.775 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCCN(C(C)(C)C)C2=O)C1 ZINC001030825014 744681045 /nfs/dbraw/zinc/68/10/45/744681045.db2.gz ZLGOKGBQDZDAND-AWEZNQCLSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1C ZINC001121280164 744731059 /nfs/dbraw/zinc/73/10/59/744731059.db2.gz YIXRRSQDVFPOSY-CZUORRHYSA-N 1 2 307.442 1.519 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1C ZINC001121280164 744731062 /nfs/dbraw/zinc/73/10/62/744731062.db2.gz YIXRRSQDVFPOSY-CZUORRHYSA-N 1 2 307.442 1.519 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)oc1C ZINC001188957758 744798849 /nfs/dbraw/zinc/79/88/49/744798849.db2.gz AIMPGZFNHBWLND-ZDUSSCGKSA-N 1 2 322.434 1.581 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)oc1C ZINC001188957758 744798852 /nfs/dbraw/zinc/79/88/52/744798852.db2.gz AIMPGZFNHBWLND-ZDUSSCGKSA-N 1 2 322.434 1.581 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188982712 744803036 /nfs/dbraw/zinc/80/30/36/744803036.db2.gz LQKAIPFTAKWZTL-JQWIXIFHSA-N 1 2 323.422 1.229 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188982712 744803037 /nfs/dbraw/zinc/80/30/37/744803037.db2.gz LQKAIPFTAKWZTL-JQWIXIFHSA-N 1 2 323.422 1.229 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2snnc2C)C1 ZINC001189381333 744880569 /nfs/dbraw/zinc/88/05/69/744880569.db2.gz XBLXEBASLLLIGU-GFCCVEGCSA-N 1 2 310.423 1.082 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2snnc2C)C1 ZINC001189381333 744880573 /nfs/dbraw/zinc/88/05/73/744880573.db2.gz XBLXEBASLLLIGU-GFCCVEGCSA-N 1 2 310.423 1.082 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)c(C)s2)C1 ZINC001189363608 744886238 /nfs/dbraw/zinc/88/62/38/744886238.db2.gz NOCGWXCWWLMJSI-CQSZACIVSA-N 1 2 323.462 1.995 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)c(C)s2)C1 ZINC001189363608 744886242 /nfs/dbraw/zinc/88/62/42/744886242.db2.gz NOCGWXCWWLMJSI-CQSZACIVSA-N 1 2 323.462 1.995 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@@H]12 ZINC000992467707 744898131 /nfs/dbraw/zinc/89/81/31/744898131.db2.gz VMNLHYQLNBOMLU-AEFFLSMTSA-N 1 2 323.400 1.708 20 30 DDEDLO CC#CC[N@H+]1CC[C@@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@@H]12 ZINC000992467707 744898133 /nfs/dbraw/zinc/89/81/33/744898133.db2.gz VMNLHYQLNBOMLU-AEFFLSMTSA-N 1 2 323.400 1.708 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001189910506 745026253 /nfs/dbraw/zinc/02/62/53/745026253.db2.gz PQYOQBIABOOTMS-AWEZNQCLSA-N 1 2 303.410 1.134 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001189910506 745026256 /nfs/dbraw/zinc/02/62/56/745026256.db2.gz PQYOQBIABOOTMS-AWEZNQCLSA-N 1 2 303.410 1.134 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2snnc2C)C1 ZINC001189916943 745032146 /nfs/dbraw/zinc/03/21/46/745032146.db2.gz BJTHGHGNJOCCCK-DOMZBBRYSA-N 1 2 324.450 1.206 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2snnc2C)C1 ZINC001189916943 745032153 /nfs/dbraw/zinc/03/21/53/745032153.db2.gz BJTHGHGNJOCCCK-DOMZBBRYSA-N 1 2 324.450 1.206 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nccs2)C1 ZINC001190094005 745097193 /nfs/dbraw/zinc/09/71/93/745097193.db2.gz GHMVBOUHLXCZKD-OLZOCXBDSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nccs2)C1 ZINC001190094005 745097200 /nfs/dbraw/zinc/09/72/00/745097200.db2.gz GHMVBOUHLXCZKD-OLZOCXBDSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001190114515 745120018 /nfs/dbraw/zinc/12/00/18/745120018.db2.gz QKNSQEHGCGMZRM-HNNXBMFYSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001190114515 745120022 /nfs/dbraw/zinc/12/00/22/745120022.db2.gz QKNSQEHGCGMZRM-HNNXBMFYSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CC[C@H]([NH+](C)C/C=C/Cl)C1 ZINC001190724970 745307296 /nfs/dbraw/zinc/30/72/96/745307296.db2.gz OIGYCMXLSPENQD-QKNOVVFDSA-N 1 2 309.841 1.369 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H]([NH+](C)C/C=C/Cl)C1 ZINC001190724970 745307302 /nfs/dbraw/zinc/30/73/02/745307302.db2.gz OIGYCMXLSPENQD-QKNOVVFDSA-N 1 2 309.841 1.369 20 30 DDEDLO C#CCN1CCC[C@H]1C(=O)N1CC[C@H]([N@@H+](C)C/C=C/Cl)C1 ZINC001190724970 745307311 /nfs/dbraw/zinc/30/73/11/745307311.db2.gz OIGYCMXLSPENQD-QKNOVVFDSA-N 1 2 309.841 1.369 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@H]1O ZINC001192503886 745798747 /nfs/dbraw/zinc/79/87/47/745798747.db2.gz QDSWWTOCXLQLJO-HZPDHXFCSA-N 1 2 320.820 1.805 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@H]1O ZINC001192503886 745798751 /nfs/dbraw/zinc/79/87/51/745798751.db2.gz QDSWWTOCXLQLJO-HZPDHXFCSA-N 1 2 320.820 1.805 20 30 DDEDLO CC#CCN1CCC[C@H](NC(=O)c2occc2C[NH+](C)C)[C@@H]1C ZINC000993704159 746297416 /nfs/dbraw/zinc/29/74/16/746297416.db2.gz PVCJOODBBIEPQX-HOCLYGCPSA-N 1 2 317.433 1.947 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](Cc3cnnn3C)[C@@H]2C)C1 ZINC000993793513 746316305 /nfs/dbraw/zinc/31/63/05/746316305.db2.gz ULOLZXFJTJGIJU-HIFRSBDPSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](Cc3cnnn3C)[C@@H]2C)C1 ZINC000993793513 746316309 /nfs/dbraw/zinc/31/63/09/746316309.db2.gz ULOLZXFJTJGIJU-HIFRSBDPSA-N 1 2 317.437 1.641 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001194396447 746366386 /nfs/dbraw/zinc/36/63/86/746366386.db2.gz CYQUDUHQUJNITB-HOCLYGCPSA-N 1 2 320.437 1.352 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001194396447 746366389 /nfs/dbraw/zinc/36/63/89/746366389.db2.gz CYQUDUHQUJNITB-HOCLYGCPSA-N 1 2 320.437 1.352 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2scnc2COC)[C@H]1C ZINC000993957182 746391707 /nfs/dbraw/zinc/39/17/07/746391707.db2.gz BMNNDZUJRJPHLL-OLZOCXBDSA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2scnc2COC)[C@H]1C ZINC000993957182 746391710 /nfs/dbraw/zinc/39/17/10/746391710.db2.gz BMNNDZUJRJPHLL-OLZOCXBDSA-N 1 2 321.446 1.896 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001195533398 746639111 /nfs/dbraw/zinc/63/91/11/746639111.db2.gz ZWICYQMFGHYFMB-APHBMKBZSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001195533398 746639116 /nfs/dbraw/zinc/63/91/16/746639116.db2.gz ZWICYQMFGHYFMB-APHBMKBZSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001195567161 746655024 /nfs/dbraw/zinc/65/50/24/746655024.db2.gz KLWPKCDIQDXIQY-FVQBIDKESA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001195567161 746655028 /nfs/dbraw/zinc/65/50/28/746655028.db2.gz KLWPKCDIQDXIQY-FVQBIDKESA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001195567162 746655102 /nfs/dbraw/zinc/65/51/02/746655102.db2.gz KLWPKCDIQDXIQY-NUEKZKHPSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001195567162 746655105 /nfs/dbraw/zinc/65/51/05/746655105.db2.gz KLWPKCDIQDXIQY-NUEKZKHPSA-N 1 2 318.421 1.049 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cc(C)no2)CC1 ZINC001195791695 746705912 /nfs/dbraw/zinc/70/59/12/746705912.db2.gz JBYYXIHHHRMVNG-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cc(C)no2)CC1 ZINC001195791695 746705917 /nfs/dbraw/zinc/70/59/17/746705917.db2.gz JBYYXIHHHRMVNG-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO C=C(CC)C[NH+]1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001195874449 746727327 /nfs/dbraw/zinc/72/73/27/746727327.db2.gz UEKTVYUHLRWNJG-UHFFFAOYSA-N 1 2 323.462 1.605 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1ccncc1 ZINC001031514174 746932239 /nfs/dbraw/zinc/93/22/39/746932239.db2.gz FVVWKIJQUURICP-UHFFFAOYSA-N 1 2 305.381 1.795 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001196847186 746979130 /nfs/dbraw/zinc/97/91/30/746979130.db2.gz VZVFGMQCTQICJL-UHFFFAOYSA-N 1 2 307.438 1.241 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001196847186 746979134 /nfs/dbraw/zinc/97/91/34/746979134.db2.gz VZVFGMQCTQICJL-UHFFFAOYSA-N 1 2 307.438 1.241 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC001196853262 746991211 /nfs/dbraw/zinc/99/12/11/746991211.db2.gz JHKGEJLCMKWMPV-KBPBESRZSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC001196853262 746991214 /nfs/dbraw/zinc/99/12/14/746991214.db2.gz JHKGEJLCMKWMPV-KBPBESRZSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001196855044 746991924 /nfs/dbraw/zinc/99/19/24/746991924.db2.gz XLPOOSWVZQCILK-LSDHHAIUSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001196855044 746991927 /nfs/dbraw/zinc/99/19/27/746991927.db2.gz XLPOOSWVZQCILK-LSDHHAIUSA-N 1 2 309.454 1.505 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccon3)C2)s1 ZINC001031561498 747040361 /nfs/dbraw/zinc/04/03/61/747040361.db2.gz ORKPBBSMWXVYAK-UHFFFAOYSA-N 1 2 302.359 1.470 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2(C(C)C)CC2)CC1 ZINC001197082500 747057930 /nfs/dbraw/zinc/05/79/30/747057930.db2.gz GJAZIDFEDATRSU-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(C(C)C)CC2)CC1 ZINC001197082500 747057935 /nfs/dbraw/zinc/05/79/35/747057935.db2.gz GJAZIDFEDATRSU-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@H](NC(=O)C3CC3)[C@H](O)C2)c1 ZINC001089995229 747098518 /nfs/dbraw/zinc/09/85/18/747098518.db2.gz ZZJRHHSDIBAVPU-JKSUJKDBSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@H](NC(=O)C3CC3)[C@H](O)C2)c1 ZINC001089995229 747098522 /nfs/dbraw/zinc/09/85/22/747098522.db2.gz ZZJRHHSDIBAVPU-JKSUJKDBSA-N 1 2 317.364 1.159 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)CC(C)(C)C)CC1 ZINC001197361639 747137747 /nfs/dbraw/zinc/13/77/47/747137747.db2.gz RCDJNRCOSHPMEC-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)CC(C)(C)C)CC1 ZINC001197361639 747137749 /nfs/dbraw/zinc/13/77/49/747137749.db2.gz RCDJNRCOSHPMEC-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO C[C@H](c1cnccn1)[NH+]1CC(CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001031620477 747210834 /nfs/dbraw/zinc/21/08/34/747210834.db2.gz KBELGCJUHRQWAW-LLVKDONJSA-N 1 2 310.361 1.099 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CN(c2cc[nH+]c(C)n2)CCCO1 ZINC001089556668 747214091 /nfs/dbraw/zinc/21/40/91/747214091.db2.gz JLJUALLDKUTTBM-HNNXBMFYSA-N 1 2 318.421 1.853 20 30 DDEDLO N#Cc1cc(C(=O)NCC2C[NH+](Cc3cncc(F)c3)C2)c[nH]1 ZINC001031622472 747217849 /nfs/dbraw/zinc/21/78/49/747217849.db2.gz MHWOSOVGIKYFTB-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2C[C@@]2(C)CC)CC1 ZINC001197628815 747218761 /nfs/dbraw/zinc/21/87/61/747218761.db2.gz DNWCXNBNPBQNBF-RHSMWYFYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2C[C@@]2(C)CC)CC1 ZINC001197628815 747218764 /nfs/dbraw/zinc/21/87/64/747218764.db2.gz DNWCXNBNPBQNBF-RHSMWYFYSA-N 1 2 307.438 1.259 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)CSCC#N)[C@H](C)C2)cc[nH+]1 ZINC001110502288 747371627 /nfs/dbraw/zinc/37/16/27/747371627.db2.gz ODBVIBKDXJXGEJ-YPMHNXCESA-N 1 2 319.434 1.833 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccccc2C)[C@@H](O)C1 ZINC001090032841 747406729 /nfs/dbraw/zinc/40/67/29/747406729.db2.gz UJUYFRPEHSDGIA-GJZGRUSLSA-N 1 2 308.809 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccccc2C)[C@@H](O)C1 ZINC001090032841 747406734 /nfs/dbraw/zinc/40/67/34/747406734.db2.gz UJUYFRPEHSDGIA-GJZGRUSLSA-N 1 2 308.809 1.913 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+](CC(=O)NC)CC2)CCCCC1 ZINC001198204129 747407492 /nfs/dbraw/zinc/40/74/92/747407492.db2.gz BLGMPQOJHVCPCA-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+](CC(=O)NC)CC2)CCCCC1 ZINC001198204129 747407493 /nfs/dbraw/zinc/40/74/93/747407493.db2.gz BLGMPQOJHVCPCA-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2cn(CCC)nn2)CC1 ZINC001003861593 747641792 /nfs/dbraw/zinc/64/17/92/747641792.db2.gz XPWZBLXKCWCIAR-UHFFFAOYSA-N 1 2 311.817 1.635 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cn(Cc3ccncc3)cn2)CC1 ZINC001199417343 747827178 /nfs/dbraw/zinc/82/71/78/747827178.db2.gz DBBGUBDDBNEJSG-UHFFFAOYSA-N 1 2 311.389 1.270 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2cccc(Cl)c2)[C@@H](O)C1 ZINC001083821457 747902749 /nfs/dbraw/zinc/90/27/49/747902749.db2.gz KZOWOCJXMWQPAW-CABCVRRESA-N 1 2 320.820 1.412 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2cccc(Cl)c2)[C@@H](O)C1 ZINC001083821457 747902755 /nfs/dbraw/zinc/90/27/55/747902755.db2.gz KZOWOCJXMWQPAW-CABCVRRESA-N 1 2 320.820 1.412 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(Cl)n(C)n2)C1 ZINC001108062524 747918596 /nfs/dbraw/zinc/91/85/96/747918596.db2.gz CRORWKRYCIJIIN-AWEZNQCLSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(Cl)n(C)n2)C1 ZINC001108062524 747918600 /nfs/dbraw/zinc/91/86/00/747918600.db2.gz CRORWKRYCIJIIN-AWEZNQCLSA-N 1 2 312.801 1.080 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)CCCC=C)CC2 ZINC001128039150 752224157 /nfs/dbraw/zinc/22/41/57/752224157.db2.gz GPKCZYJCVQOQLG-AWEZNQCLSA-N 1 2 315.421 1.178 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cnco3)C2)s1 ZINC001031883908 748117402 /nfs/dbraw/zinc/11/74/02/748117402.db2.gz FXWMABAWJLKCPC-UHFFFAOYSA-N 1 2 302.359 1.470 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001200084692 748131101 /nfs/dbraw/zinc/13/11/01/748131101.db2.gz YHXGIXFXNRLUNN-ZDUSSCGKSA-N 1 2 308.382 1.051 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)C1 ZINC001031922777 748219148 /nfs/dbraw/zinc/21/91/48/748219148.db2.gz JNUJQFBKYUVLHS-IINYFYTJSA-N 1 2 322.840 1.876 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(C(C)C)no1 ZINC001124489404 748281182 /nfs/dbraw/zinc/28/11/82/748281182.db2.gz ZQGXYOGVLJVRJD-LBPRGKRZSA-N 1 2 310.398 1.380 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(CC)no1 ZINC001124496145 748285932 /nfs/dbraw/zinc/28/59/32/748285932.db2.gz WWJMXDBMLFQZJG-NWDGAFQWSA-N 1 2 310.398 1.380 20 30 DDEDLO C=CCOCC[NH2+]C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001200670870 748308132 /nfs/dbraw/zinc/30/81/32/748308132.db2.gz OANCHLSRQLJXDT-NSHDSACASA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCOCC[NH2+]C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001200670870 748308140 /nfs/dbraw/zinc/30/81/40/748308140.db2.gz OANCHLSRQLJXDT-NSHDSACASA-N 1 2 312.307 1.670 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3nccnc3C#N)CC2)cc1 ZINC001203956322 748331962 /nfs/dbraw/zinc/33/19/62/748331962.db2.gz RAHAECNJCMMJKW-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)C[C@@H]2CCCO2)CC1 ZINC001004356449 748352256 /nfs/dbraw/zinc/35/22/56/748352256.db2.gz IYTCMRCRNAFUFV-JKSUJKDBSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)C[C@@H]2CCCO2)CC1 ZINC001004356449 748352264 /nfs/dbraw/zinc/35/22/64/748352264.db2.gz IYTCMRCRNAFUFV-JKSUJKDBSA-N 1 2 305.422 1.782 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)nn1C ZINC001004374049 748380002 /nfs/dbraw/zinc/38/00/02/748380002.db2.gz ZEEJYXGIHOMBMN-INIZCTEOSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)nn1C ZINC001004374049 748380009 /nfs/dbraw/zinc/38/00/09/748380009.db2.gz ZEEJYXGIHOMBMN-INIZCTEOSA-N 1 2 315.421 1.569 20 30 DDEDLO CO[C@H](C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1)C1CC1 ZINC001004423587 748418205 /nfs/dbraw/zinc/41/82/05/748418205.db2.gz CDYGIKWNPXQKOY-HOTGVXAUSA-N 1 2 305.422 1.638 20 30 DDEDLO CO[C@H](C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1)C1CC1 ZINC001004423587 748418210 /nfs/dbraw/zinc/41/82/10/748418210.db2.gz CDYGIKWNPXQKOY-HOTGVXAUSA-N 1 2 305.422 1.638 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@@H](C)[N@H+](C)[C@@H](C)C2)cc1C#N ZINC001201310676 748474568 /nfs/dbraw/zinc/47/45/68/748474568.db2.gz WNOBLMVXTPNNOG-BETUJISGSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@@H](C)[N@@H+](C)[C@@H](C)C2)cc1C#N ZINC001201310676 748474572 /nfs/dbraw/zinc/47/45/72/748474572.db2.gz WNOBLMVXTPNNOG-BETUJISGSA-N 1 2 307.419 1.580 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2nc3cccnc3s2)C1 ZINC001033151734 748623783 /nfs/dbraw/zinc/62/37/83/748623783.db2.gz IVRZELDBEXWMAI-LLVKDONJSA-N 1 2 300.387 1.471 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2nc3cccnc3s2)C1 ZINC001033151734 748623790 /nfs/dbraw/zinc/62/37/90/748623790.db2.gz IVRZELDBEXWMAI-LLVKDONJSA-N 1 2 300.387 1.471 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)c(C)cn2)C1 ZINC001108089742 748776314 /nfs/dbraw/zinc/77/63/14/748776314.db2.gz LIDHJKHMNVIXHY-KRWDZBQOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)c(C)cn2)C1 ZINC001108089742 748776319 /nfs/dbraw/zinc/77/63/19/748776319.db2.gz LIDHJKHMNVIXHY-KRWDZBQOSA-N 1 2 303.406 1.705 20 30 DDEDLO Cn1ccc(C(=O)NCC2C[NH+](Cc3ccc(C#N)s3)C2)n1 ZINC001032184142 748779443 /nfs/dbraw/zinc/77/94/43/748779443.db2.gz BXNRZTRXSPKOET-UHFFFAOYSA-N 1 2 315.402 1.215 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1CC ZINC001110608590 748821515 /nfs/dbraw/zinc/82/15/15/748821515.db2.gz WCHLWNUTQPIJKV-BMFZPTHFSA-N 1 2 317.437 1.876 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1CC ZINC001110608590 748821517 /nfs/dbraw/zinc/82/15/17/748821517.db2.gz WCHLWNUTQPIJKV-BMFZPTHFSA-N 1 2 317.437 1.876 20 30 DDEDLO C#CCN(C(=O)CCCF)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110628299 748842671 /nfs/dbraw/zinc/84/26/71/748842671.db2.gz VPFRWZLDGNSOTM-UHFFFAOYSA-N 1 2 318.396 1.965 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]([NH2+]Cc2nnc(C3CC3)o2)C(C)(C)C1 ZINC000995549466 748913491 /nfs/dbraw/zinc/91/34/91/748913491.db2.gz BNXBAMFTHCKYOV-PWSUYJOCSA-N 1 2 317.393 1.433 20 30 DDEDLO Cc1nc(N2CC[C@@](C)(NC(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001110735659 748953981 /nfs/dbraw/zinc/95/39/81/748953981.db2.gz JIJKTXYVZXFYJN-MGPLVRAMSA-N 1 2 301.394 1.647 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@]1(C)CCN(c2ccncc2C#N)C1 ZINC001110771843 748998436 /nfs/dbraw/zinc/99/84/36/748998436.db2.gz KFNKRNNTLPRFTI-QGZVFWFLSA-N 1 2 324.388 1.014 20 30 DDEDLO Cc1cc(N2CC[C@](C)(NC(=O)Cc3c[nH]c[nH+]3)C2)c(C#N)cn1 ZINC001110817995 749040051 /nfs/dbraw/zinc/04/00/51/749040051.db2.gz PXHGYQPAEWGSNA-KRWDZBQOSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](OC)C(F)(F)F)C1 ZINC001108326565 761918079 /nfs/dbraw/zinc/91/80/79/761918079.db2.gz AJQBCASJEMYBKL-YPMHNXCESA-N 1 2 324.343 1.347 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](OC)C(F)(F)F)C1 ZINC001108326565 761918084 /nfs/dbraw/zinc/91/80/84/761918084.db2.gz AJQBCASJEMYBKL-YPMHNXCESA-N 1 2 324.343 1.347 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001033299510 749152896 /nfs/dbraw/zinc/15/28/96/749152896.db2.gz RVNMVRTTYDFPAH-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001033299510 749152899 /nfs/dbraw/zinc/15/28/99/749152899.db2.gz RVNMVRTTYDFPAH-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001108329652 761923529 /nfs/dbraw/zinc/92/35/29/761923529.db2.gz SJYIDUQSBDMNSB-SFHVURJKSA-N 1 2 317.433 1.900 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001108329652 761923535 /nfs/dbraw/zinc/92/35/35/761923535.db2.gz SJYIDUQSBDMNSB-SFHVURJKSA-N 1 2 317.433 1.900 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CCCC(C)=O)nn2)C1 ZINC001107125262 749171105 /nfs/dbraw/zinc/17/11/05/749171105.db2.gz QNTOKRSUXJLSOG-UHFFFAOYSA-N 1 2 319.409 1.086 20 30 DDEDLO Cc1nn[nH]c1C(=O)N(C)[C@@H]1CC[N@H+](Cc2cccc(C#N)c2)C1 ZINC001033319133 749186044 /nfs/dbraw/zinc/18/60/44/749186044.db2.gz QJOPRHUXXKCWRU-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1nn[nH]c1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC001033319133 749186045 /nfs/dbraw/zinc/18/60/45/749186045.db2.gz QJOPRHUXXKCWRU-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001033322536 749188687 /nfs/dbraw/zinc/18/86/87/749188687.db2.gz NAACUGAGXDAOFA-GFCCVEGCSA-N 1 2 324.812 1.698 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001033322536 749188690 /nfs/dbraw/zinc/18/86/90/749188690.db2.gz NAACUGAGXDAOFA-GFCCVEGCSA-N 1 2 324.812 1.698 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(C)[nH]c2=O)C1 ZINC001033460097 749382114 /nfs/dbraw/zinc/38/21/14/749382114.db2.gz KWHDFSYEGXXWMN-GFCCVEGCSA-N 1 2 309.797 1.994 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(C)[nH]c2=O)C1 ZINC001033460097 749382124 /nfs/dbraw/zinc/38/21/24/749382124.db2.gz KWHDFSYEGXXWMN-GFCCVEGCSA-N 1 2 309.797 1.994 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2nnc3ccccc3c2O)C1 ZINC001033470419 749396581 /nfs/dbraw/zinc/39/65/81/749396581.db2.gz OKWAJHVFFRVCCL-LBPRGKRZSA-N 1 2 310.357 1.115 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2nnc3ccccc3c2O)C1 ZINC001033470419 749396587 /nfs/dbraw/zinc/39/65/87/749396587.db2.gz OKWAJHVFFRVCCL-LBPRGKRZSA-N 1 2 310.357 1.115 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc3nncn3c2)C1 ZINC001033497548 749440849 /nfs/dbraw/zinc/44/08/49/749440849.db2.gz BYXMAPTVDMMWFJ-ZDUSSCGKSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc3nncn3c2)C1 ZINC001033497548 749440854 /nfs/dbraw/zinc/44/08/54/749440854.db2.gz BYXMAPTVDMMWFJ-ZDUSSCGKSA-N 1 2 319.796 1.628 20 30 DDEDLO CC(C)=CC[NH+]1CC(n2cc(CNC(=O)C#CC3CC3)nn2)C1 ZINC001107170798 749464924 /nfs/dbraw/zinc/46/49/24/749464924.db2.gz CNCOLLLSHIAHND-UHFFFAOYSA-N 1 2 313.405 1.131 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](CCC)OCC)nn2)C1 ZINC001107196612 749550663 /nfs/dbraw/zinc/55/06/63/749550663.db2.gz MUTZRXZJWNVCML-OAHLLOKOSA-N 1 2 321.425 1.142 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@]3(C)C=CCC3)nn2)C1 ZINC001107196666 749557977 /nfs/dbraw/zinc/55/79/77/749557977.db2.gz PNRFRXACUOCYMA-KRWDZBQOSA-N 1 2 315.421 1.683 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1C ZINC001038604674 749615390 /nfs/dbraw/zinc/61/53/90/749615390.db2.gz FNKQJWVKZMDRRQ-DAXOMENPSA-N 1 2 313.401 1.913 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1C ZINC001038604674 749615395 /nfs/dbraw/zinc/61/53/95/749615395.db2.gz FNKQJWVKZMDRRQ-DAXOMENPSA-N 1 2 313.401 1.913 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(CC(C)C)[nH]1 ZINC001039374869 761975787 /nfs/dbraw/zinc/97/57/87/761975787.db2.gz KBPQOXIDEXDGMZ-CABCVRRESA-N 1 2 314.433 1.920 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(CC(C)C)[nH]1 ZINC001039374869 761975792 /nfs/dbraw/zinc/97/57/92/761975792.db2.gz KBPQOXIDEXDGMZ-CABCVRRESA-N 1 2 314.433 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033808722 749917041 /nfs/dbraw/zinc/91/70/41/749917041.db2.gz FPXBAXIHGMIBGS-LLVKDONJSA-N 1 2 313.785 1.267 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033808722 749917045 /nfs/dbraw/zinc/91/70/45/749917045.db2.gz FPXBAXIHGMIBGS-LLVKDONJSA-N 1 2 313.785 1.267 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2[nH]nc3ccccc32)C1 ZINC001108376123 761998154 /nfs/dbraw/zinc/99/81/54/761998154.db2.gz CGDLAHZKWIDWOY-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2[nH]nc3ccccc32)C1 ZINC001108376123 761998156 /nfs/dbraw/zinc/99/81/56/761998156.db2.gz CGDLAHZKWIDWOY-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(Br)C[NH2+]CCNC(=O)C(F)C(F)(F)F ZINC001127772971 749947937 /nfs/dbraw/zinc/94/79/37/749947937.db2.gz MRHITSAICQQJCD-LURJTMIESA-N 1 2 307.085 1.501 20 30 DDEDLO C=C(Br)C[NH2+]CCNC(=O)[C@H](F)C(F)(F)F ZINC001127772971 749947944 /nfs/dbraw/zinc/94/79/44/749947944.db2.gz MRHITSAICQQJCD-LURJTMIESA-N 1 2 307.085 1.501 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001033831877 749950390 /nfs/dbraw/zinc/95/03/90/749950390.db2.gz BICLHYNRILGGJL-HOTGVXAUSA-N 1 2 314.433 1.776 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001033831877 749950394 /nfs/dbraw/zinc/95/03/94/749950394.db2.gz BICLHYNRILGGJL-HOTGVXAUSA-N 1 2 314.433 1.776 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001033837913 749962244 /nfs/dbraw/zinc/96/22/44/749962244.db2.gz VCKNQNUGBNPPCU-GJZGRUSLSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001033837913 749962248 /nfs/dbraw/zinc/96/22/48/749962248.db2.gz VCKNQNUGBNPPCU-GJZGRUSLSA-N 1 2 300.406 1.386 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc3n1CCC3)C2 ZINC001095555709 750037843 /nfs/dbraw/zinc/03/78/43/750037843.db2.gz ZNEHGTISERWMTF-AGIUHOORSA-N 1 2 320.824 1.917 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc3n1CCC3)C2 ZINC001095555709 750037849 /nfs/dbraw/zinc/03/78/49/750037849.db2.gz ZNEHGTISERWMTF-AGIUHOORSA-N 1 2 320.824 1.917 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(CC)C(=O)c2snnc2CC)C1 ZINC001033889566 750038363 /nfs/dbraw/zinc/03/83/63/750038363.db2.gz DLVYGAKBDBVMQY-LBPRGKRZSA-N 1 2 306.435 1.660 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2snnc2CC)C1 ZINC001033889566 750038367 /nfs/dbraw/zinc/03/83/67/750038367.db2.gz DLVYGAKBDBVMQY-LBPRGKRZSA-N 1 2 306.435 1.660 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncoc2CC)[C@H](O)C1 ZINC001090151584 750105624 /nfs/dbraw/zinc/10/56/24/750105624.db2.gz SIBIYIAJSKYQPC-GHMZBOCLSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncoc2CC)[C@H](O)C1 ZINC001090151584 750105630 /nfs/dbraw/zinc/10/56/30/750105630.db2.gz SIBIYIAJSKYQPC-GHMZBOCLSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001033924323 750111165 /nfs/dbraw/zinc/11/11/65/750111165.db2.gz WVAXZBIKXQHDCK-QWHCGFSZSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001033924323 750111170 /nfs/dbraw/zinc/11/11/70/750111170.db2.gz WVAXZBIKXQHDCK-QWHCGFSZSA-N 1 2 313.829 1.140 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(=O)c3ccccc3[nH]1)C2 ZINC001095713545 750162101 /nfs/dbraw/zinc/16/21/01/750162101.db2.gz YJMPHVGZJPRLQU-PVUWLOKVSA-N 1 2 321.380 1.496 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC/C=C/CNc1ccc(C#N)nc1 ZINC001107602544 750224290 /nfs/dbraw/zinc/22/42/90/750224290.db2.gz LWVIXESSVKCGJP-NSCUHMNNSA-N 1 2 324.388 1.404 20 30 DDEDLO Cc1nc(N2CCC[C@](C)(CNC(=O)[C@H](C)C#N)C2)cc[nH+]1 ZINC001110927995 750233181 /nfs/dbraw/zinc/23/31/81/750233181.db2.gz KCAQUAKYQCCAMV-MLGOLLRUSA-N 1 2 301.394 1.667 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2csc(C)n2)C1 ZINC001108385450 762022143 /nfs/dbraw/zinc/02/21/43/762022143.db2.gz HVHSRZHDLSEXRC-INIZCTEOSA-N 1 2 321.446 1.224 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2csc(C)n2)C1 ZINC001108385450 762022149 /nfs/dbraw/zinc/02/21/49/762022149.db2.gz HVHSRZHDLSEXRC-INIZCTEOSA-N 1 2 321.446 1.224 20 30 DDEDLO C#CCCCC(=O)NCC1(Nc2cc[nH+]c(C)n2)CCOCC1 ZINC001110982690 750278248 /nfs/dbraw/zinc/27/82/48/750278248.db2.gz ODDMUMKNKWRTOE-UHFFFAOYSA-N 1 2 316.405 1.666 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@@H](NC(=O)c3ncn[nH]3)C2)c1 ZINC001034443228 750439418 /nfs/dbraw/zinc/43/94/18/750439418.db2.gz CJYWMYXQZGZUJK-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@@H](NC(=O)c3ncn[nH]3)C2)c1 ZINC001034443228 750439420 /nfs/dbraw/zinc/43/94/20/750439420.db2.gz CJYWMYXQZGZUJK-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@@H](NC(=O)c3nc[nH]n3)C2)c1 ZINC001034443228 750439422 /nfs/dbraw/zinc/43/94/22/750439422.db2.gz CJYWMYXQZGZUJK-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@@H](NC(=O)c3nc[nH]n3)C2)c1 ZINC001034443228 750439426 /nfs/dbraw/zinc/43/94/26/750439426.db2.gz CJYWMYXQZGZUJK-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)C(F)(F)F)C1 ZINC001108131982 750621244 /nfs/dbraw/zinc/62/12/44/750621244.db2.gz GOKHDYAKFZDWLY-AAEUAGOBSA-N 1 2 306.328 1.415 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)C(F)(F)F)C1 ZINC001108131982 750621248 /nfs/dbraw/zinc/62/12/48/750621248.db2.gz GOKHDYAKFZDWLY-AAEUAGOBSA-N 1 2 306.328 1.415 20 30 DDEDLO C[C@]1(CNC(=O)C#CC2CC2)C[N@H+](Cc2ccsc2)CCO1 ZINC001107933099 750668564 /nfs/dbraw/zinc/66/85/64/750668564.db2.gz YLBPEAMGUMRBKD-KRWDZBQOSA-N 1 2 318.442 1.869 20 30 DDEDLO C[C@]1(CNC(=O)C#CC2CC2)C[N@@H+](Cc2ccsc2)CCO1 ZINC001107933099 750668565 /nfs/dbraw/zinc/66/85/65/750668565.db2.gz YLBPEAMGUMRBKD-KRWDZBQOSA-N 1 2 318.442 1.869 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(c3ccccn3)CC2)C1 ZINC001107943676 750763530 /nfs/dbraw/zinc/76/35/30/750763530.db2.gz DNBPKDVNJYWAHL-KRWDZBQOSA-N 1 2 315.417 1.506 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(c3ccccn3)CC2)C1 ZINC001107943676 750763535 /nfs/dbraw/zinc/76/35/35/750763535.db2.gz DNBPKDVNJYWAHL-KRWDZBQOSA-N 1 2 315.417 1.506 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](OCC)C2CC2)C1 ZINC001107947575 750797169 /nfs/dbraw/zinc/79/71/69/750797169.db2.gz MSFDIMNDKXIYPE-RDJZCZTQSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](OCC)C2CC2)C1 ZINC001107947575 750797172 /nfs/dbraw/zinc/79/71/72/750797172.db2.gz MSFDIMNDKXIYPE-RDJZCZTQSA-N 1 2 308.422 1.032 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001107948482 750804233 /nfs/dbraw/zinc/80/42/33/750804233.db2.gz VGYYBKAFCCGZAQ-CHWSQXEVSA-N 1 2 316.405 1.617 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc(F)c2C)C1 ZINC001107957681 750912203 /nfs/dbraw/zinc/91/22/03/750912203.db2.gz JTEDDZZXVGLZME-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc(F)c2C)C1 ZINC001107957681 750912208 /nfs/dbraw/zinc/91/22/08/750912208.db2.gz JTEDDZZXVGLZME-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCc3cccnc32)C1 ZINC001108163820 750920640 /nfs/dbraw/zinc/92/06/40/750920640.db2.gz PPQAYQFSSYBILE-MAUKXSAKSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCc3cccnc32)C1 ZINC001108163820 750920646 /nfs/dbraw/zinc/92/06/46/750920646.db2.gz PPQAYQFSSYBILE-MAUKXSAKSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCCn2cccn2)C1 ZINC001108406124 762082462 /nfs/dbraw/zinc/08/24/62/762082462.db2.gz KTBHYYGTRYGDOY-INIZCTEOSA-N 1 2 306.410 1.056 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCCn2cccn2)C1 ZINC001108406124 762082469 /nfs/dbraw/zinc/08/24/69/762082469.db2.gz KTBHYYGTRYGDOY-INIZCTEOSA-N 1 2 306.410 1.056 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001060862977 751042741 /nfs/dbraw/zinc/04/27/41/751042741.db2.gz CSRWJVSFJIELMB-AWEZNQCLSA-N 1 2 324.388 1.570 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccn4C)C[C@H]32)CC1 ZINC001114776436 751073640 /nfs/dbraw/zinc/07/36/40/751073640.db2.gz HSUYMQZVZMJWEK-FOLVSLTJSA-N 1 2 314.433 1.713 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccn4C)C[C@H]32)CC1 ZINC001114776436 751073648 /nfs/dbraw/zinc/07/36/48/751073648.db2.gz HSUYMQZVZMJWEK-FOLVSLTJSA-N 1 2 314.433 1.713 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nncc2ccccc21 ZINC001032514149 751153470 /nfs/dbraw/zinc/15/34/70/751153470.db2.gz KQLQJPIGORIEBN-GJZGRUSLSA-N 1 2 306.369 1.552 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nncc2ccccc21 ZINC001032514149 751153473 /nfs/dbraw/zinc/15/34/73/751153473.db2.gz KQLQJPIGORIEBN-GJZGRUSLSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccc(N(C)C)cc1 ZINC001032532972 751212425 /nfs/dbraw/zinc/21/24/25/751212425.db2.gz OGTOXINTADCNBR-CADBVGFASA-N 1 2 323.440 1.774 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccc(N(C)C)cc1 ZINC001032532972 751212428 /nfs/dbraw/zinc/21/24/28/751212428.db2.gz OGTOXINTADCNBR-CADBVGFASA-N 1 2 323.440 1.774 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)cn2ccnc12 ZINC001032538400 751223577 /nfs/dbraw/zinc/22/35/77/751223577.db2.gz PEMPXQPPLLBWPT-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)cn2ccnc12 ZINC001032538400 751223578 /nfs/dbraw/zinc/22/35/78/751223578.db2.gz PEMPXQPPLLBWPT-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO Cc1nc(NCC2(CCNC(=O)c3c[nH]c(C#N)c3)CC2)cc[nH+]1 ZINC001089923318 751283337 /nfs/dbraw/zinc/28/33/37/751283337.db2.gz FYYIJJTUSDMQMA-UHFFFAOYSA-N 1 2 324.388 1.997 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)nsc2C)C1 ZINC001108427518 762134756 /nfs/dbraw/zinc/13/47/56/762134756.db2.gz ZXHROBSHKNMIPS-OAHLLOKOSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)nsc2C)C1 ZINC001108427518 762134757 /nfs/dbraw/zinc/13/47/57/762134757.db2.gz ZXHROBSHKNMIPS-OAHLLOKOSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(N(C)C)cn2)C1 ZINC001108427395 762135135 /nfs/dbraw/zinc/13/51/35/762135135.db2.gz YVBPOJSVCPUKHI-QGZVFWFLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(N(C)C)cn2)C1 ZINC001108427395 762135142 /nfs/dbraw/zinc/13/51/42/762135142.db2.gz YVBPOJSVCPUKHI-QGZVFWFLSA-N 1 2 318.421 1.154 20 30 DDEDLO N#CCN1CCC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001008087671 752543035 /nfs/dbraw/zinc/54/30/35/752543035.db2.gz JDLZWMLWRQKTPZ-OAHLLOKOSA-N 1 2 309.373 1.590 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC001008242942 752630941 /nfs/dbraw/zinc/63/09/41/752630941.db2.gz RMSOHNPFYASHHM-VIFPVBQESA-N 1 2 301.799 1.795 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC001008242942 752630945 /nfs/dbraw/zinc/63/09/45/752630945.db2.gz RMSOHNPFYASHHM-VIFPVBQESA-N 1 2 301.799 1.795 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CCC[N@@H+](Cc3conc3C)C2)c1 ZINC001008467600 752747862 /nfs/dbraw/zinc/74/78/62/752747862.db2.gz FBYBOHULYKXWSN-KRWDZBQOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CCC[N@H+](Cc3conc3C)C2)c1 ZINC001008467600 752747867 /nfs/dbraw/zinc/74/78/67/752747867.db2.gz FBYBOHULYKXWSN-KRWDZBQOSA-N 1 2 324.384 1.754 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1ccnnc1 ZINC001008640859 752836251 /nfs/dbraw/zinc/83/62/51/752836251.db2.gz LGYMPTDCMUFYDH-GOSISDBHSA-N 1 2 320.396 1.723 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1ccnnc1 ZINC001008640859 752836259 /nfs/dbraw/zinc/83/62/59/752836259.db2.gz LGYMPTDCMUFYDH-GOSISDBHSA-N 1 2 320.396 1.723 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001108432816 762182463 /nfs/dbraw/zinc/18/24/63/762182463.db2.gz QKLFGADJWONUMN-FFZGVQJCSA-N 1 2 304.434 1.672 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001108432816 762182467 /nfs/dbraw/zinc/18/24/67/762182467.db2.gz QKLFGADJWONUMN-FFZGVQJCSA-N 1 2 304.434 1.672 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2c(C)n[nH]c2C)C1 ZINC001009478291 753210465 /nfs/dbraw/zinc/21/04/65/753210465.db2.gz FARXAIFKKYBMPX-ZDUSSCGKSA-N 1 2 310.829 1.902 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2c(C)n[nH]c2C)C1 ZINC001009478291 753210467 /nfs/dbraw/zinc/21/04/67/753210467.db2.gz FARXAIFKKYBMPX-ZDUSSCGKSA-N 1 2 310.829 1.902 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001009650478 753297393 /nfs/dbraw/zinc/29/73/93/753297393.db2.gz OATDZRQMQGHKIM-AWEZNQCLSA-N 1 2 311.389 1.852 20 30 DDEDLO C=CC[N@H+]1CCC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001009650478 753297397 /nfs/dbraw/zinc/29/73/97/753297397.db2.gz OATDZRQMQGHKIM-AWEZNQCLSA-N 1 2 311.389 1.852 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H](CNc3cc[nH+]c(C)n3)C2)nc1 ZINC001060898733 753348701 /nfs/dbraw/zinc/34/87/01/753348701.db2.gz XGWKTDOTRIPKBR-HNNXBMFYSA-N 1 2 321.384 1.736 20 30 DDEDLO Cc1nc[nH]c1C(=O)N[C@@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001009765406 753350850 /nfs/dbraw/zinc/35/08/50/753350850.db2.gz ZYCMCFSOLOGKPL-MRXNPFEDSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1nc[nH]c1C(=O)N[C@@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001009765406 753350858 /nfs/dbraw/zinc/35/08/58/753350858.db2.gz ZYCMCFSOLOGKPL-MRXNPFEDSA-N 1 2 323.400 1.984 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CC)Oc1ccccc1F ZINC001032731393 753382038 /nfs/dbraw/zinc/38/20/38/753382038.db2.gz JHJOEFHXQDPBNL-DZKIICNBSA-N 1 2 316.376 1.901 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CC)Oc1ccccc1F ZINC001032731393 753382041 /nfs/dbraw/zinc/38/20/41/753382041.db2.gz JHJOEFHXQDPBNL-DZKIICNBSA-N 1 2 316.376 1.901 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCC2(F)F)C1 ZINC001108016997 753520907 /nfs/dbraw/zinc/52/09/07/753520907.db2.gz LUHUKZMROFMGMI-JSGCOSHPSA-N 1 2 300.349 1.262 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCC2(F)F)C1 ZINC001108016997 753520909 /nfs/dbraw/zinc/52/09/09/753520909.db2.gz LUHUKZMROFMGMI-JSGCOSHPSA-N 1 2 300.349 1.262 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)ccc1OC ZINC001032775501 753588978 /nfs/dbraw/zinc/58/89/78/753588978.db2.gz PCBNCGPPSDIMGL-KBPBESRZSA-N 1 2 314.385 1.626 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)ccc1OC ZINC001032775501 753588979 /nfs/dbraw/zinc/58/89/79/753588979.db2.gz PCBNCGPPSDIMGL-KBPBESRZSA-N 1 2 314.385 1.626 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccnc2OC)C1 ZINC001108181625 753592552 /nfs/dbraw/zinc/59/25/52/753592552.db2.gz YPUIZMHDEIRGRV-MRXNPFEDSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccnc2OC)C1 ZINC001108181625 753592556 /nfs/dbraw/zinc/59/25/56/753592556.db2.gz YPUIZMHDEIRGRV-MRXNPFEDSA-N 1 2 305.378 1.097 20 30 DDEDLO Cc1nc(NC[C@H]2CCCN2C(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001063465306 754182507 /nfs/dbraw/zinc/18/25/07/754182507.db2.gz LPEAAEUHWCAIFR-CYBMUJFWSA-N 1 2 310.361 1.702 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC001001314389 754242620 /nfs/dbraw/zinc/24/26/20/754242620.db2.gz KTCRPCXXQBPLNG-UHFFFAOYSA-N 1 2 322.796 1.228 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC001001314389 754242628 /nfs/dbraw/zinc/24/26/28/754242628.db2.gz KTCRPCXXQBPLNG-UHFFFAOYSA-N 1 2 322.796 1.228 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001061017002 754442000 /nfs/dbraw/zinc/44/20/00/754442000.db2.gz GFMZZRJBHVJIBV-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001061017002 754442003 /nfs/dbraw/zinc/44/20/03/754442003.db2.gz GFMZZRJBHVJIBV-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO C[C@H](CC(=O)NC1(CNC(=O)[C@@H](C)C#N)CCC1)n1cc[nH+]c1 ZINC001064309436 754606817 /nfs/dbraw/zinc/60/68/17/754606817.db2.gz WIWNSFKRWMOMQW-QWHCGFSZSA-N 1 2 317.393 1.149 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCCC[NH2+]Cc2nnsc2Cl)c1 ZINC001156281896 762321992 /nfs/dbraw/zinc/32/19/92/762321992.db2.gz IERZSVQTAIJIHB-UHFFFAOYSA-N 1 2 324.797 1.301 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064833265 754875707 /nfs/dbraw/zinc/87/57/07/754875707.db2.gz XAGVNJZBKJXRNR-OLZOCXBDSA-N 1 2 324.388 1.710 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001079474318 755368890 /nfs/dbraw/zinc/36/88/90/755368890.db2.gz VMUOBTJOPLQJRE-CQSZACIVSA-N 1 2 318.421 1.860 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1c[nH+]cn1C ZINC001040155516 762413164 /nfs/dbraw/zinc/41/31/64/762413164.db2.gz MOQFJYKSABNLPM-WFASDCNBSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001014472283 755749601 /nfs/dbraw/zinc/74/96/01/755749601.db2.gz VFQMGAPYIAWUIL-IMJJTQAJSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001014472283 755749603 /nfs/dbraw/zinc/74/96/03/755749603.db2.gz VFQMGAPYIAWUIL-IMJJTQAJSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001080308743 755818311 /nfs/dbraw/zinc/81/83/11/755818311.db2.gz OQJZPGYNHUXTQV-CQSZACIVSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080353818 755866952 /nfs/dbraw/zinc/86/69/52/755866952.db2.gz NBYQQBVYUBBFGC-STQMWFEESA-N 1 2 318.421 1.470 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2scnc2C(F)(F)F)C1 ZINC001014765879 755928498 /nfs/dbraw/zinc/92/84/98/755928498.db2.gz BCRRMXWDQATXGP-QMMMGPOBSA-N 1 2 303.309 1.599 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2scnc2C(F)(F)F)C1 ZINC001014765879 755928500 /nfs/dbraw/zinc/92/85/00/755928500.db2.gz BCRRMXWDQATXGP-QMMMGPOBSA-N 1 2 303.309 1.599 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001015168030 756156097 /nfs/dbraw/zinc/15/60/97/756156097.db2.gz RXIHPDUISKFIIC-ZIAGYGMSSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001015168030 756156100 /nfs/dbraw/zinc/15/61/00/756156100.db2.gz RXIHPDUISKFIIC-ZIAGYGMSSA-N 1 2 313.829 1.188 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1NC(=O)C(C)C ZINC001081376208 756318317 /nfs/dbraw/zinc/31/83/17/756318317.db2.gz KYPZSXOEZCJNHN-HZPDHXFCSA-N 1 2 319.380 1.669 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1NC(=O)C(C)C ZINC001081376208 756318322 /nfs/dbraw/zinc/31/83/22/756318322.db2.gz KYPZSXOEZCJNHN-HZPDHXFCSA-N 1 2 319.380 1.669 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099728283 756442924 /nfs/dbraw/zinc/44/29/24/756442924.db2.gz WHJKIXZJQQEQPC-QWHCGFSZSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099728283 756442928 /nfs/dbraw/zinc/44/29/28/756442928.db2.gz WHJKIXZJQQEQPC-QWHCGFSZSA-N 1 2 309.435 1.469 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(Cl)n[nH]c2C2CC2)[C@H](OC)C1 ZINC001081786883 756458395 /nfs/dbraw/zinc/45/83/95/756458395.db2.gz SAWRPFXHKPWCTA-GHMZBOCLSA-N 1 2 322.796 1.003 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(Cl)n[nH]c2C2CC2)[C@H](OC)C1 ZINC001081786883 756458400 /nfs/dbraw/zinc/45/84/00/756458400.db2.gz SAWRPFXHKPWCTA-GHMZBOCLSA-N 1 2 322.796 1.003 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2OCC=C)[C@H](OC)C1 ZINC001081865137 756498217 /nfs/dbraw/zinc/49/82/17/756498217.db2.gz YUTXRMHBBBPWKC-NVXWUHKLSA-N 1 2 314.385 1.314 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2OCC=C)[C@H](OC)C1 ZINC001081865137 756498222 /nfs/dbraw/zinc/49/82/22/756498222.db2.gz YUTXRMHBBBPWKC-NVXWUHKLSA-N 1 2 314.385 1.314 20 30 DDEDLO Cc1ccnn1CC(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015777991 756542018 /nfs/dbraw/zinc/54/20/18/756542018.db2.gz VPHAYOCSOWHSSZ-QGZVFWFLSA-N 1 2 323.400 1.454 20 30 DDEDLO Cc1ccnn1CC(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015777991 756542024 /nfs/dbraw/zinc/54/20/24/756542024.db2.gz VPHAYOCSOWHSSZ-QGZVFWFLSA-N 1 2 323.400 1.454 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(C)(C)C)on2)[C@H](OC)C1 ZINC001081933475 756551414 /nfs/dbraw/zinc/55/14/14/756551414.db2.gz FCQXCSWXIVOQTM-CHWSQXEVSA-N 1 2 305.378 1.034 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(C)(C)C)on2)[C@H](OC)C1 ZINC001081933475 756551416 /nfs/dbraw/zinc/55/14/16/756551416.db2.gz FCQXCSWXIVOQTM-CHWSQXEVSA-N 1 2 305.378 1.034 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2noc3c2CCCC3)[C@H](OC)C1 ZINC001082167290 756658295 /nfs/dbraw/zinc/65/82/95/756658295.db2.gz KKZIDQDFNUTOOZ-UKRRQHHQSA-N 1 2 317.389 1.006 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2noc3c2CCCC3)[C@H](OC)C1 ZINC001082167290 756658298 /nfs/dbraw/zinc/65/82/98/756658298.db2.gz KKZIDQDFNUTOOZ-UKRRQHHQSA-N 1 2 317.389 1.006 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(OCC)c2)[C@H](OC)C1 ZINC001082178003 756663097 /nfs/dbraw/zinc/66/30/97/756663097.db2.gz KSPQSZGYOMBFJI-HZPDHXFCSA-N 1 2 302.374 1.148 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(OCC)c2)[C@H](OC)C1 ZINC001082178003 756663100 /nfs/dbraw/zinc/66/31/00/756663100.db2.gz KSPQSZGYOMBFJI-HZPDHXFCSA-N 1 2 302.374 1.148 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@H](C)[C@H](Nc2ccc(C#N)nc2)C1 ZINC001067127238 756670267 /nfs/dbraw/zinc/67/02/67/756670267.db2.gz MLSSAXCFMWPMFR-MEDUHNTESA-N 1 2 324.388 1.486 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(COC)c(F)c2)[C@H](OC)C1 ZINC001082344234 756741217 /nfs/dbraw/zinc/74/12/17/756741217.db2.gz BNIZCJOYNQRBGG-HZPDHXFCSA-N 1 2 320.364 1.034 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(COC)c(F)c2)[C@H](OC)C1 ZINC001082344234 756741218 /nfs/dbraw/zinc/74/12/18/756741218.db2.gz BNIZCJOYNQRBGG-HZPDHXFCSA-N 1 2 320.364 1.034 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H](C)C2CCOCC2)C1 ZINC001016431560 757039272 /nfs/dbraw/zinc/03/92/72/757039272.db2.gz HOCMRKKRHJDFSZ-TZMCWYRMSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H](C)C2CCOCC2)C1 ZINC001016431560 757039279 /nfs/dbraw/zinc/03/92/79/757039279.db2.gz HOCMRKKRHJDFSZ-TZMCWYRMSA-N 1 2 300.830 1.992 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@H]3OCCN(C(=O)[C@H](C)C#N)[C@H]3C2)s1 ZINC001083026305 757067166 /nfs/dbraw/zinc/06/71/66/757067166.db2.gz VYVYPJPMGHYSFF-DDTOSNHZSA-N 1 2 320.418 1.023 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@H]3OCCN(C(=O)[C@H](C)C#N)[C@H]3C2)s1 ZINC001083026305 757067174 /nfs/dbraw/zinc/06/71/74/757067174.db2.gz VYVYPJPMGHYSFF-DDTOSNHZSA-N 1 2 320.418 1.023 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)nc(C)c3)[C@H]2C1 ZINC001083094422 757133289 /nfs/dbraw/zinc/13/32/89/757133289.db2.gz CLADNYGZYKGFPH-DLBZAZTESA-N 1 2 313.401 1.247 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)nc(C)c3)[C@H]2C1 ZINC001083094422 757133295 /nfs/dbraw/zinc/13/32/95/757133295.db2.gz CLADNYGZYKGFPH-DLBZAZTESA-N 1 2 313.401 1.247 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)sc3C)[C@H]2C1 ZINC001083134339 757142489 /nfs/dbraw/zinc/14/24/89/757142489.db2.gz ULQSALVUWZBODQ-JKSUJKDBSA-N 1 2 318.442 1.913 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)sc3C)[C@H]2C1 ZINC001083134339 757142491 /nfs/dbraw/zinc/14/24/91/757142491.db2.gz ULQSALVUWZBODQ-JKSUJKDBSA-N 1 2 318.442 1.913 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@@H]4CCCC[C@H]34)[C@H]2C1 ZINC001083151552 757160561 /nfs/dbraw/zinc/16/05/61/757160561.db2.gz FFKGKVVQPBSBFE-ZOFXXKQRSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@@H]4CCCC[C@H]34)[C@H]2C1 ZINC001083151552 757160563 /nfs/dbraw/zinc/16/05/63/757160563.db2.gz FFKGKVVQPBSBFE-ZOFXXKQRSA-N 1 2 302.418 1.358 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CC34CCN(CC#N)CC4)ccn12 ZINC001016624479 757214263 /nfs/dbraw/zinc/21/42/63/757214263.db2.gz JBBROSCAMHFXEX-HNNXBMFYSA-N 1 2 323.400 1.751 20 30 DDEDLO COc1ccc(C(=NO)Nc2ccc(Cn3cc[nH+]c3)cn2)nc1 ZINC001169599481 762530892 /nfs/dbraw/zinc/53/08/92/762530892.db2.gz BIGPKRQZSRJCSV-UHFFFAOYSA-N 1 2 324.344 1.978 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)[C@@H](O)C1 ZINC001084002984 757241898 /nfs/dbraw/zinc/24/18/98/757241898.db2.gz NLEYCGHRKBOISB-KGLIPLIRSA-N 1 2 310.756 1.196 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)[C@@H](O)C1 ZINC001084002984 757241902 /nfs/dbraw/zinc/24/19/02/757241902.db2.gz NLEYCGHRKBOISB-KGLIPLIRSA-N 1 2 310.756 1.196 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H](CC)CCCC)CC2=O)C1 ZINC001108509047 762532580 /nfs/dbraw/zinc/53/25/80/762532580.db2.gz DMAHKIXSQXVJEM-CABCVRRESA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sc(C(C)C)nc2C)[C@@H](O)C1 ZINC001084054404 757261654 /nfs/dbraw/zinc/26/16/54/757261654.db2.gz DLPXECHBVLBQSF-NEPJUHHUSA-N 1 2 309.435 1.536 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sc(C(C)C)nc2C)[C@@H](O)C1 ZINC001084054404 757261664 /nfs/dbraw/zinc/26/16/64/757261664.db2.gz DLPXECHBVLBQSF-NEPJUHHUSA-N 1 2 309.435 1.536 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H]2CN(C(=O)c3cn[nH]c3)[C@@H]2C1 ZINC001084206474 757396688 /nfs/dbraw/zinc/39/66/88/757396688.db2.gz DGLCQWWVOITIQE-NVXWUHKLSA-N 1 2 321.384 1.628 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H]2CN(C(=O)c3cn[nH]c3)[C@@H]2C1 ZINC001084206474 757396701 /nfs/dbraw/zinc/39/67/01/757396701.db2.gz DGLCQWWVOITIQE-NVXWUHKLSA-N 1 2 321.384 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)/C=C\c2ccc[nH]2)[C@H](O)C1 ZINC001099799493 757511684 /nfs/dbraw/zinc/51/16/84/757511684.db2.gz OAORSZMCNZCVFY-OQOSRZTISA-N 1 2 309.797 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)/C=C\c2ccc[nH]2)[C@H](O)C1 ZINC001099799493 757511688 /nfs/dbraw/zinc/51/16/88/757511688.db2.gz OAORSZMCNZCVFY-OQOSRZTISA-N 1 2 309.797 1.332 20 30 DDEDLO CCC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](Nc2ccc(C#N)nc2)C1 ZINC001052711125 757676211 /nfs/dbraw/zinc/67/62/11/757676211.db2.gz GXAPFMIBOSWKIE-HUUCEWRRSA-N 1 2 324.388 1.503 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3nc(Cl)cs3)[C@@H]2C1 ZINC001084607923 757704697 /nfs/dbraw/zinc/70/46/97/757704697.db2.gz OAHVPWOPXCOFPM-GHMZBOCLSA-N 1 2 309.822 1.966 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3nc(Cl)cs3)[C@@H]2C1 ZINC001084607923 757704701 /nfs/dbraw/zinc/70/47/01/757704701.db2.gz OAHVPWOPXCOFPM-GHMZBOCLSA-N 1 2 309.822 1.966 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cnc(COC)s3)[C@@H]2C1 ZINC001084871241 758004877 /nfs/dbraw/zinc/00/48/77/758004877.db2.gz ZZMRYIVVEOHBLT-VXGBXAGGSA-N 1 2 305.403 1.069 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc(COC)s3)[C@@H]2C1 ZINC001084871241 758004882 /nfs/dbraw/zinc/00/48/82/758004882.db2.gz ZZMRYIVVEOHBLT-VXGBXAGGSA-N 1 2 305.403 1.069 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C2CCCC2)n1 ZINC001017590348 758057580 /nfs/dbraw/zinc/05/75/80/758057580.db2.gz UFVCQAIOTZLWTQ-IYBDPMFKSA-N 1 2 312.417 1.920 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C2CCCC2)n1 ZINC001017590348 758057592 /nfs/dbraw/zinc/05/75/92/758057592.db2.gz UFVCQAIOTZLWTQ-IYBDPMFKSA-N 1 2 312.417 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)C(C)C)[C@@H](O)C1 ZINC001099852833 758088792 /nfs/dbraw/zinc/08/87/92/758088792.db2.gz FVVGWGSQYAPKIC-STQMWFEESA-N 1 2 302.846 1.973 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)C(C)C)[C@@H](O)C1 ZINC001099852833 758088803 /nfs/dbraw/zinc/08/88/03/758088803.db2.gz FVVGWGSQYAPKIC-STQMWFEESA-N 1 2 302.846 1.973 20 30 DDEDLO C=CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C[NH+](C)C)on1 ZINC001017691288 758156850 /nfs/dbraw/zinc/15/68/50/758156850.db2.gz OANXVYFXEXQYTR-BETUJISGSA-N 1 2 304.394 1.211 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(-c2ccccc2)nn1 ZINC001017698249 758162568 /nfs/dbraw/zinc/16/25/68/758162568.db2.gz LPOUJMRCYKTTFQ-IYBDPMFKSA-N 1 2 323.400 1.742 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(-c2ccccc2)nn1 ZINC001017698249 758162573 /nfs/dbraw/zinc/16/25/73/758162573.db2.gz LPOUJMRCYKTTFQ-IYBDPMFKSA-N 1 2 323.400 1.742 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c2ccc(C)cc21 ZINC001017704769 758168324 /nfs/dbraw/zinc/16/83/24/758168324.db2.gz NSFPUPCYQARFTR-OKILXGFUSA-N 1 2 308.385 1.793 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c2ccc(C)cc21 ZINC001017704769 758168333 /nfs/dbraw/zinc/16/83/33/758168333.db2.gz NSFPUPCYQARFTR-OKILXGFUSA-N 1 2 308.385 1.793 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nccn21 ZINC001017732439 758191565 /nfs/dbraw/zinc/19/15/65/758191565.db2.gz UKCCOUUBRXAFBT-GASCZTMLSA-N 1 2 308.385 1.646 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nccn21 ZINC001017732439 758191570 /nfs/dbraw/zinc/19/15/70/758191570.db2.gz UKCCOUUBRXAFBT-GASCZTMLSA-N 1 2 308.385 1.646 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@H]2C)n1 ZINC001067208397 758259606 /nfs/dbraw/zinc/25/96/06/758259606.db2.gz RZAOWRKRAXDWSG-ABAIWWIYSA-N 1 2 324.388 1.486 20 30 DDEDLO C=C(Cl)CN1CC2(C1)CN(C(=O)CCc1c[nH]c[nH+]1)CCO2 ZINC001053281673 758336474 /nfs/dbraw/zinc/33/64/74/758336474.db2.gz RLXNCOHWIIZHML-UHFFFAOYSA-N 1 2 324.812 1.008 20 30 DDEDLO C=C(Cl)CN1CC2(C1)CN(C(=O)CCc1c[nH+]c[nH]1)CCO2 ZINC001053281673 758336482 /nfs/dbraw/zinc/33/64/82/758336482.db2.gz RLXNCOHWIIZHML-UHFFFAOYSA-N 1 2 324.812 1.008 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCO[C@H]1C(C)C)CCO2 ZINC001053281990 758337294 /nfs/dbraw/zinc/33/72/94/758337294.db2.gz FGFWYGRKFPOFIK-CVEARBPZSA-N 1 2 322.449 1.537 20 30 DDEDLO C=CCN1CC2(C1)CN(C(=O)c1cccc3[nH+]ccn31)CCO2 ZINC001053339200 758386225 /nfs/dbraw/zinc/38/62/25/758386225.db2.gz XRCCLWREZBNQQB-UHFFFAOYSA-N 1 2 312.373 1.047 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnnc(C)c1)O2 ZINC001053596333 758618101 /nfs/dbraw/zinc/61/81/01/758618101.db2.gz QRMOWCPXILBZDK-HNNXBMFYSA-N 1 2 316.405 1.324 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(F)cn1)O2 ZINC001053610668 758628746 /nfs/dbraw/zinc/62/87/46/758628746.db2.gz XBJQQUXSEHDIDE-AWEZNQCLSA-N 1 2 319.380 1.760 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccnnc1C)O2 ZINC001053627503 758645041 /nfs/dbraw/zinc/64/50/41/758645041.db2.gz YXDWKSCPRKZRKO-AWEZNQCLSA-N 1 2 316.405 1.324 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2n[nH]nc2c1 ZINC001018254462 758671391 /nfs/dbraw/zinc/67/13/91/758671391.db2.gz QFIBLESERWNOTH-OKILXGFUSA-N 1 2 309.373 1.270 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2n[nH]nc2c1 ZINC001018254462 758671397 /nfs/dbraw/zinc/67/13/97/758671397.db2.gz QFIBLESERWNOTH-OKILXGFUSA-N 1 2 309.373 1.270 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC)C2)CC1 ZINC001065676589 758695603 /nfs/dbraw/zinc/69/56/03/758695603.db2.gz ZJDYPQAMGSECED-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)C3CC3)CC2)C1 ZINC001065703260 758703930 /nfs/dbraw/zinc/70/39/30/758703930.db2.gz AVQLZZXSJVENMA-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)n1cccc1)CO2 ZINC001053777187 758799842 /nfs/dbraw/zinc/79/98/42/758799842.db2.gz JCDHDWPXFSRSNC-CABCVRRESA-N 1 2 301.390 1.032 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1COC2(C[NH+](CC(=C)C)C2)C1 ZINC001053927978 758963676 /nfs/dbraw/zinc/96/36/76/758963676.db2.gz OVWQEJBQXFLPBO-ZNMIVQPWSA-N 1 2 306.406 1.113 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccnc(C)c1)CO2 ZINC001053960167 758996714 /nfs/dbraw/zinc/99/67/14/758996714.db2.gz QJBFOFLKWUZMMW-HNNXBMFYSA-N 1 2 301.390 1.539 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccccc1OC)CO2 ZINC001053988715 759032432 /nfs/dbraw/zinc/03/24/32/759032432.db2.gz OLBORFFDROXZFF-AWEZNQCLSA-N 1 2 316.401 1.844 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1[nH]nc3ccccc31)CO2 ZINC001053998559 759044350 /nfs/dbraw/zinc/04/43/50/759044350.db2.gz NIQDPHSVEHLRKB-CYBMUJFWSA-N 1 2 324.384 1.159 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccc(F)cc1)CO2 ZINC001054000304 759047483 /nfs/dbraw/zinc/04/74/83/759047483.db2.gz XXAPMPYIDZSXBO-MRXNPFEDSA-N 1 2 316.376 1.351 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)onc1CC)CO2 ZINC001054005072 759052570 /nfs/dbraw/zinc/05/25/70/759052570.db2.gz DZUZOYPHUYSCTB-ZDUSSCGKSA-N 1 2 317.389 1.142 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)nsc1C)CO2 ZINC001054019594 759069026 /nfs/dbraw/zinc/06/90/26/759069026.db2.gz ZBKWRDSUKWSYED-ZDUSSCGKSA-N 1 2 319.430 1.356 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](C)N(C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001069117731 767858503 /nfs/dbraw/zinc/85/85/03/767858503.db2.gz ZXGUMUREHKEWIU-DOMZBBRYSA-N 1 2 324.388 1.560 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)[C@@H]1CCOC1 ZINC001085507294 759572467 /nfs/dbraw/zinc/57/24/67/759572467.db2.gz DOZPJMBLKVVRPX-MSOLQXFVSA-N 1 2 312.413 1.607 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)[C@@H]1CCOC1 ZINC001085507294 759572471 /nfs/dbraw/zinc/57/24/71/759572471.db2.gz DOZPJMBLKVVRPX-MSOLQXFVSA-N 1 2 312.413 1.607 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)c2cc(C#N)c[nH]2)on1 ZINC001085534844 759647797 /nfs/dbraw/zinc/64/77/97/759647797.db2.gz URBFXGAXTWUXCE-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)c2cc(C#N)c[nH]2)on1 ZINC001085534844 759647799 /nfs/dbraw/zinc/64/77/99/759647799.db2.gz URBFXGAXTWUXCE-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+]Cc3cnsn3)C2)cc1 ZINC001019224511 759697518 /nfs/dbraw/zinc/69/75/18/759697518.db2.gz URVJIHQCNRQEBM-AWEZNQCLSA-N 1 2 312.398 1.524 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnn1-c1ccccc1 ZINC001085676382 759976288 /nfs/dbraw/zinc/97/62/88/759976288.db2.gz OJNGQEBWENNDSW-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnn1-c1ccccc1 ZINC001085676382 759976292 /nfs/dbraw/zinc/97/62/92/759976292.db2.gz OJNGQEBWENNDSW-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C[C@H](CNC(=O)Cc1c[nH+]cn1C)Nc1cccc(F)c1C#N ZINC001097956061 759987296 /nfs/dbraw/zinc/98/72/96/759987296.db2.gz YVXRDRRBILVRRO-LLVKDONJSA-N 1 2 315.352 1.590 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)nnc1CC ZINC001085679541 759991923 /nfs/dbraw/zinc/99/19/23/759991923.db2.gz LPOUSCQSUVEGOB-AWEZNQCLSA-N 1 2 300.406 1.517 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)nnc1CC ZINC001085679541 759991927 /nfs/dbraw/zinc/99/19/27/759991927.db2.gz LPOUSCQSUVEGOB-AWEZNQCLSA-N 1 2 300.406 1.517 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(-n2ccnc2)n1 ZINC001085685029 760012225 /nfs/dbraw/zinc/01/22/25/760012225.db2.gz HMJPKDFKCSGTML-HNNXBMFYSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(-n2ccnc2)n1 ZINC001085685029 760012237 /nfs/dbraw/zinc/01/22/37/760012237.db2.gz HMJPKDFKCSGTML-HNNXBMFYSA-N 1 2 323.400 1.437 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@H](Nc3cc[nH+]c(C)n3)C[C@H]2C)c1 ZINC001069199438 767911050 /nfs/dbraw/zinc/91/10/50/767911050.db2.gz FZNVVCUBCJSWSE-MLGOLLRUSA-N 1 2 321.384 1.876 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3nnc(C)o3)C2)cc1 ZINC001054962467 760236914 /nfs/dbraw/zinc/23/69/14/760236914.db2.gz SDPOZEKXYBZXIG-MLGOLLRUSA-N 1 2 324.384 1.610 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001054975604 760249052 /nfs/dbraw/zinc/24/90/52/760249052.db2.gz DQYLSHMWKVJPRO-NEPJUHHUSA-N 1 2 306.410 1.781 20 30 DDEDLO C=CCCC(=O)N1CCC(CC)(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001069238620 767933890 /nfs/dbraw/zinc/93/38/90/767933890.db2.gz LPHHTHRKTFAJCW-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)noc1C(F)(F)F ZINC001085843032 760345343 /nfs/dbraw/zinc/34/53/43/760345343.db2.gz BKQSTZYLWXNOSD-JTQLQIEISA-N 1 2 315.295 1.781 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)noc1C(F)(F)F ZINC001085843032 760345354 /nfs/dbraw/zinc/34/53/54/760345354.db2.gz BKQSTZYLWXNOSD-JTQLQIEISA-N 1 2 315.295 1.781 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(F)cccc1NC(N)=O ZINC001085845846 760358873 /nfs/dbraw/zinc/35/88/73/760358873.db2.gz XAGOAFNCLYJHPL-LLVKDONJSA-N 1 2 318.352 1.096 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(F)cccc1NC(N)=O ZINC001085845846 760358884 /nfs/dbraw/zinc/35/88/84/760358884.db2.gz XAGOAFNCLYJHPL-LLVKDONJSA-N 1 2 318.352 1.096 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(-c2ccccn2)c1 ZINC001085852619 760367814 /nfs/dbraw/zinc/36/78/14/760367814.db2.gz BRKLSCDTODNDHH-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(-c2ccccn2)c1 ZINC001085852619 760367826 /nfs/dbraw/zinc/36/78/26/760367826.db2.gz BRKLSCDTODNDHH-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1NC(=O)CC ZINC001085880061 760440904 /nfs/dbraw/zinc/44/09/04/760440904.db2.gz QWUQBXMIPXRWAX-CQSZACIVSA-N 1 2 313.401 1.815 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1NC(=O)CC ZINC001085880061 760440908 /nfs/dbraw/zinc/44/09/08/760440908.db2.gz QWUQBXMIPXRWAX-CQSZACIVSA-N 1 2 313.401 1.815 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1cnon1 ZINC001085884066 760460210 /nfs/dbraw/zinc/46/02/10/760460210.db2.gz TXRCSMKSEJHUMW-AWEZNQCLSA-N 1 2 311.345 1.288 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1cnon1 ZINC001085884066 760460214 /nfs/dbraw/zinc/46/02/14/760460214.db2.gz TXRCSMKSEJHUMW-AWEZNQCLSA-N 1 2 311.345 1.288 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1scc2c1OCCO2 ZINC001085906461 760500460 /nfs/dbraw/zinc/50/04/60/760500460.db2.gz JCLXFMHDKOXEOL-GFCCVEGCSA-N 1 2 320.414 1.689 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1scc2c1OCCO2 ZINC001085906461 760500462 /nfs/dbraw/zinc/50/04/62/760500462.db2.gz JCLXFMHDKOXEOL-GFCCVEGCSA-N 1 2 320.414 1.689 20 30 DDEDLO N#Cc1ccc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)Cc2c[nH]c[nH+]2)C3)cn1 ZINC001055455866 760503157 /nfs/dbraw/zinc/50/31/57/760503157.db2.gz JHIGKBYJOUPURM-BMFZPTHFSA-N 1 2 322.372 1.145 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CCN2Cc2c[nH+]cn2C)cn1 ZINC001085933704 760564105 /nfs/dbraw/zinc/56/41/05/760564105.db2.gz ILWKYQJDTCHUQV-INIZCTEOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]c2ccccc2c1OC ZINC001085992078 760671472 /nfs/dbraw/zinc/67/14/72/760671472.db2.gz BUXNXZHFPZGSEO-CYBMUJFWSA-N 1 2 311.385 1.956 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]c2ccccc2c1OC ZINC001085992078 760671474 /nfs/dbraw/zinc/67/14/74/760671474.db2.gz BUXNXZHFPZGSEO-CYBMUJFWSA-N 1 2 311.385 1.956 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001108233563 760756034 /nfs/dbraw/zinc/75/60/34/760756034.db2.gz XQQJPTYREZMRFT-RKVPGOIHSA-N 1 2 316.401 1.614 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001108233563 760756039 /nfs/dbraw/zinc/75/60/39/760756039.db2.gz XQQJPTYREZMRFT-RKVPGOIHSA-N 1 2 316.401 1.614 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@H]1CCN2C(=O)Cn1cc[nH+]c1 ZINC001056298332 761101669 /nfs/dbraw/zinc/10/16/69/761101669.db2.gz PXCBTVGFUZMCAE-HUUCEWRRSA-N 1 2 316.405 1.441 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1csc(-c2ncc[nH]2)n1 ZINC001038548897 761191463 /nfs/dbraw/zinc/19/14/63/761191463.db2.gz PKKJLCKFWXHQQF-SNVBAGLBSA-N 1 2 303.391 1.523 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CNC(=O)c1csc(-c2ncc[nH]2)n1 ZINC001038548897 761191467 /nfs/dbraw/zinc/19/14/67/761191467.db2.gz PKKJLCKFWXHQQF-SNVBAGLBSA-N 1 2 303.391 1.523 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1noc2c1CC(C)(C)CC2 ZINC001038550170 761191607 /nfs/dbraw/zinc/19/16/07/761191607.db2.gz BUVSHRYDJNLYJY-GFCCVEGCSA-N 1 2 301.390 1.627 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1noc2c1CC(C)(C)CC2 ZINC001038550170 761191611 /nfs/dbraw/zinc/19/16/11/761191611.db2.gz BUVSHRYDJNLYJY-GFCCVEGCSA-N 1 2 301.390 1.627 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(F)ccc(F)c1OC ZINC001038588008 761219732 /nfs/dbraw/zinc/21/97/32/761219732.db2.gz GQAHVIJEOAUSPP-LLVKDONJSA-N 1 2 308.328 1.801 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(F)ccc(F)c1OC ZINC001038588008 761219734 /nfs/dbraw/zinc/21/97/34/761219734.db2.gz GQAHVIJEOAUSPP-LLVKDONJSA-N 1 2 308.328 1.801 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccnc1 ZINC001038707504 761310607 /nfs/dbraw/zinc/31/06/07/761310607.db2.gz GLGASDYSHAPYDF-XHSDSOJGSA-N 1 2 301.390 1.363 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccnc1 ZINC001038707504 761310617 /nfs/dbraw/zinc/31/06/17/761310617.db2.gz GLGASDYSHAPYDF-XHSDSOJGSA-N 1 2 301.390 1.363 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1conc1CC ZINC001038713340 761316900 /nfs/dbraw/zinc/31/69/00/761316900.db2.gz AGLSETWFAAIFBA-FMKPAKJESA-N 1 2 319.405 1.519 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1conc1CC ZINC001038713340 761316907 /nfs/dbraw/zinc/31/69/07/761316907.db2.gz AGLSETWFAAIFBA-FMKPAKJESA-N 1 2 319.405 1.519 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)[C@@H]2C1 ZINC001056707211 761357398 /nfs/dbraw/zinc/35/73/98/761357398.db2.gz DEDGALRJBGYMTA-UONOGXRCSA-N 1 2 316.405 1.368 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnccc1C(F)(F)F ZINC001038757505 761371868 /nfs/dbraw/zinc/37/18/68/761371868.db2.gz YNPFCBBTKGUNKL-LLVKDONJSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnccc1C(F)(F)F ZINC001038757505 761371877 /nfs/dbraw/zinc/37/18/77/761371877.db2.gz YNPFCBBTKGUNKL-LLVKDONJSA-N 1 2 311.307 1.928 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)n1 ZINC001056743119 761384063 /nfs/dbraw/zinc/38/40/63/761384063.db2.gz KJOZBJWXLIDGQB-OAHLLOKOSA-N 1 2 324.388 1.561 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1occc1Br ZINC001038814772 761432200 /nfs/dbraw/zinc/43/22/00/761432200.db2.gz MCCKJQKQZDKWDB-SNVBAGLBSA-N 1 2 311.179 1.870 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1occc1Br ZINC001038814772 761432202 /nfs/dbraw/zinc/43/22/02/761432202.db2.gz MCCKJQKQZDKWDB-SNVBAGLBSA-N 1 2 311.179 1.870 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001056790624 761432804 /nfs/dbraw/zinc/43/28/04/761432804.db2.gz NSIOBJHUFHWFKS-CYBMUJFWSA-N 1 2 310.361 1.240 20 30 DDEDLO N#CCN1CC[C@@H]([C@@H]2CCCCN2C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001039222791 761856621 /nfs/dbraw/zinc/85/66/21/761856621.db2.gz MAWUGGQENBNPAZ-CABCVRRESA-N 1 2 315.421 1.569 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@]2(CC[N@H+](Cc3nncs3)C2)C1 ZINC001041136983 762831714 /nfs/dbraw/zinc/83/17/14/762831714.db2.gz QDMVUBMTXVVLQK-BXUZGUMPSA-N 1 2 305.407 1.122 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@]2(CC[N@@H+](Cc3nncs3)C2)C1 ZINC001041136983 762831721 /nfs/dbraw/zinc/83/17/21/762831721.db2.gz QDMVUBMTXVVLQK-BXUZGUMPSA-N 1 2 305.407 1.122 20 30 DDEDLO C[C@@H](CNc1nc(Cl)c(C#N)s1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001108718743 762875419 /nfs/dbraw/zinc/87/54/19/762875419.db2.gz RQSRMRRGVDBCTN-ZETCQYMHSA-N 1 2 324.797 1.551 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2nn(CC)nc2C)CC1 ZINC001001499808 762916943 /nfs/dbraw/zinc/91/69/43/762916943.db2.gz GULDBBCGCURNRG-UHFFFAOYSA-N 1 2 323.828 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2nn(CC)nc2C)CC1 ZINC001001499808 762916947 /nfs/dbraw/zinc/91/69/47/762916947.db2.gz GULDBBCGCURNRG-UHFFFAOYSA-N 1 2 323.828 1.721 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@]2(CC[N@H+](Cc3cscn3)C2)C1 ZINC001041265374 762918863 /nfs/dbraw/zinc/91/88/63/762918863.db2.gz GVBYWFLUTZGIGJ-QGZVFWFLSA-N 1 2 315.442 1.981 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@]2(CC[N@@H+](Cc3cscn3)C2)C1 ZINC001041265374 762918864 /nfs/dbraw/zinc/91/88/64/762918864.db2.gz GVBYWFLUTZGIGJ-QGZVFWFLSA-N 1 2 315.442 1.981 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(CCN(C(=O)c3cc(C(F)F)[nH]n3)C2)C1 ZINC001041665774 763206973 /nfs/dbraw/zinc/20/69/73/763206973.db2.gz VUYPAKNLWZIARJ-HNNXBMFYSA-N 1 2 308.332 1.519 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(CCN(C(=O)c3cc(C(F)F)[nH]n3)C2)C1 ZINC001041665774 763206981 /nfs/dbraw/zinc/20/69/81/763206981.db2.gz VUYPAKNLWZIARJ-HNNXBMFYSA-N 1 2 308.332 1.519 20 30 DDEDLO CC#CC[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)c2ncccc2O)C1 ZINC001046932536 768129344 /nfs/dbraw/zinc/12/93/44/768129344.db2.gz OPUZIJCAOXOLDL-LBPRGKRZSA-N 1 2 323.343 1.498 20 30 DDEDLO CC#CC[N@H+]1CCC(F)(F)[C@@H](CNC(=O)c2ncccc2O)C1 ZINC001046932536 768129346 /nfs/dbraw/zinc/12/93/46/768129346.db2.gz OPUZIJCAOXOLDL-LBPRGKRZSA-N 1 2 323.343 1.498 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)C1CC(Nc2ncccc2C#N)C1 ZINC001069764505 768132554 /nfs/dbraw/zinc/13/25/54/768132554.db2.gz VKMNGLOTPBUTIZ-UHFFFAOYSA-N 1 2 310.361 1.320 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC(Nc2ccncc2C#N)CC1 ZINC001057351914 763492502 /nfs/dbraw/zinc/49/25/02/763492502.db2.gz MKWOMRFJJISLMZ-UHFFFAOYSA-N 1 2 324.388 1.052 20 30 DDEDLO Cc1cc(N(C)C[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)c(C#N)cn1 ZINC001109265244 763528106 /nfs/dbraw/zinc/52/81/06/763528106.db2.gz NYTNQZZYXCVIMT-GFCCVEGCSA-N 1 2 312.377 1.168 20 30 DDEDLO Cc1nc(NC2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)ccc1C#N ZINC001057433518 763687000 /nfs/dbraw/zinc/68/70/00/763687000.db2.gz LFHOZTGEBQYKEB-UHFFFAOYSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(Cl)CN1CC[C@H]2CCN(C(=O)Cn3c[nH+]cc3C)C[C@@H]21 ZINC001042155315 763718960 /nfs/dbraw/zinc/71/89/60/763718960.db2.gz CHZFXTOSDMDTAC-GJZGRUSLSA-N 1 2 322.840 1.867 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](COC)OC)C2 ZINC001109696376 763958906 /nfs/dbraw/zinc/95/89/06/763958906.db2.gz ZFHXQHUISHOSDF-VOAKCMCISA-N 1 2 302.802 1.122 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](COC)OC)C2 ZINC001109696376 763958912 /nfs/dbraw/zinc/95/89/12/763958912.db2.gz ZFHXQHUISHOSDF-VOAKCMCISA-N 1 2 302.802 1.122 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109819175 764086169 /nfs/dbraw/zinc/08/61/69/764086169.db2.gz YZERHNSNERTWOA-BBRMVZONSA-N 1 2 304.394 1.419 20 30 DDEDLO C[C@@H]1CC[C@H](C[NH+]2CC(N(C)C(=O)c3cc(C#N)c[nH]3)C2)O1 ZINC001042589461 764241476 /nfs/dbraw/zinc/24/14/76/764241476.db2.gz GQOYRPJOLZXVRW-BXUZGUMPSA-N 1 2 302.378 1.210 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(CC)ccn1 ZINC001050934699 764303013 /nfs/dbraw/zinc/30/30/13/764303013.db2.gz SJWIZGCFGLGGGV-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(CC)ccn1 ZINC001050934699 764303023 /nfs/dbraw/zinc/30/30/23/764303023.db2.gz SJWIZGCFGLGGGV-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(C)c1Cl ZINC001050987957 764386528 /nfs/dbraw/zinc/38/65/28/764386528.db2.gz YZUIHZQQCQCCKR-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(C)c1Cl ZINC001050987957 764386536 /nfs/dbraw/zinc/38/65/36/764386536.db2.gz YZUIHZQQCQCCKR-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc2nccn21 ZINC001051027485 764432933 /nfs/dbraw/zinc/43/29/33/764432933.db2.gz VSUDRQSTKKRBGU-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc2nccn21 ZINC001051027485 764432939 /nfs/dbraw/zinc/43/29/39/764432939.db2.gz VSUDRQSTKKRBGU-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)cnn1C ZINC001051068769 764472236 /nfs/dbraw/zinc/47/22/36/764472236.db2.gz XYOFGHUQSKHLLL-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)cnn1C ZINC001051068769 764472240 /nfs/dbraw/zinc/47/22/40/764472240.db2.gz XYOFGHUQSKHLLL-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccncc1C#N ZINC001051069499 764473395 /nfs/dbraw/zinc/47/33/95/764473395.db2.gz YWEGOTYUTFSNJT-RISCZKNCSA-N 1 2 310.361 1.002 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2ccc(OC)c(C)c2)CC1 ZINC001112708787 764629593 /nfs/dbraw/zinc/62/95/93/764629593.db2.gz XRTBHLVBADOFPF-UHFFFAOYSA-N 1 2 318.417 1.850 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cncnc1C(C)C ZINC001051248046 764672053 /nfs/dbraw/zinc/67/20/53/764672053.db2.gz VFIKXVBRTVMNCJ-CQSZACIVSA-N 1 2 318.421 1.607 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncnc1C(C)C ZINC001051248046 764672054 /nfs/dbraw/zinc/67/20/54/764672054.db2.gz VFIKXVBRTVMNCJ-CQSZACIVSA-N 1 2 318.421 1.607 20 30 DDEDLO CCCCOCC[NH+]1CCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC001112771272 764733837 /nfs/dbraw/zinc/73/38/37/764733837.db2.gz NYNITBKYRDCWNN-UHFFFAOYSA-N 1 2 304.394 1.461 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(CC#N)C3)c[nH+]1 ZINC001047095248 768242196 /nfs/dbraw/zinc/24/21/96/768242196.db2.gz BFDJLXMXMZUOLX-ZNMIVQPWSA-N 1 2 315.421 1.419 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](C[C@@H](O)CC(F)(F)F)CC1 ZINC001112809889 764792338 /nfs/dbraw/zinc/79/23/38/764792338.db2.gz WBYYETPVQOALSL-LBPRGKRZSA-N 1 2 306.328 1.247 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C(C)(C)c2cccnc2)CC1 ZINC001113054244 765199637 /nfs/dbraw/zinc/19/96/37/765199637.db2.gz ZZFNLVVATKOPLM-UHFFFAOYSA-N 1 2 317.433 1.706 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC001043980192 765203312 /nfs/dbraw/zinc/20/33/12/765203312.db2.gz KBDZPDSWGABEEU-UHFFFAOYSA-N 1 2 300.362 1.601 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2noc3c2CCCCC3)C1 ZINC001043990483 765212836 /nfs/dbraw/zinc/21/28/36/765212836.db2.gz JPBVFHNAIPLEKO-UHFFFAOYSA-N 1 2 301.390 1.723 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2CC(Nc3cc[nH+]c(C)n3)C2)cn1 ZINC001051969280 765327094 /nfs/dbraw/zinc/32/70/94/765327094.db2.gz LYOAVFSJDIWBGE-UHFFFAOYSA-N 1 2 321.384 1.782 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3CC=CCC3)C2)CC1 ZINC001051981055 765343520 /nfs/dbraw/zinc/34/35/20/765343520.db2.gz MUNUXERQKWCKLY-MSOLQXFVSA-N 1 2 315.461 1.585 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001113155650 765346549 /nfs/dbraw/zinc/34/65/49/765346549.db2.gz QTXIXBATYCJANQ-VXGBXAGGSA-N 1 2 312.377 1.533 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-c3cnn(C)c3)o2)C1 ZINC001044154411 765347771 /nfs/dbraw/zinc/34/77/71/765347771.db2.gz DQDRNZBXVVAFPB-UHFFFAOYSA-N 1 2 312.373 1.460 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(-n3cccc3)ccn2)C1 ZINC001044160227 765353947 /nfs/dbraw/zinc/35/39/47/765353947.db2.gz WURJDSLTVBWLCV-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccc(F)nc3)C2)CC1 ZINC001051996662 765362213 /nfs/dbraw/zinc/36/22/13/765362213.db2.gz RAIMRNWHMOMTEI-HNNXBMFYSA-N 1 2 318.396 1.239 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccnc(F)c3)C2)CC1 ZINC001051997035 765363964 /nfs/dbraw/zinc/36/39/64/765363964.db2.gz YMWVQHZUXDEAAJ-HNNXBMFYSA-N 1 2 318.396 1.239 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3c(C)coc3C)C2)CC1 ZINC001052023385 765398478 /nfs/dbraw/zinc/39/84/78/765398478.db2.gz SOICYYMUDVJYGW-INIZCTEOSA-N 1 2 315.417 1.362 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3c(C)coc3C)C2)CC1 ZINC001052023385 765398481 /nfs/dbraw/zinc/39/84/81/765398481.db2.gz SOICYYMUDVJYGW-INIZCTEOSA-N 1 2 315.417 1.362 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)C1CN(Cc2ccc(C#N)cc2)C1 ZINC001044233317 765414187 /nfs/dbraw/zinc/41/41/87/765414187.db2.gz XJPNKRAULQHCIX-UHFFFAOYSA-N 1 2 323.400 1.475 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H](C)C(C)(C)C)C2)CC1 ZINC001052042977 765415658 /nfs/dbraw/zinc/41/56/58/765415658.db2.gz LRNSELKCARTIDL-SJORKVTESA-N 1 2 319.493 1.910 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3C)C2)CC1 ZINC001052062192 765434026 /nfs/dbraw/zinc/43/40/26/765434026.db2.gz GDVSFHZVJKCIGR-BPLDGKMQSA-N 1 2 311.857 1.613 20 30 DDEDLO CC(C)(C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1)C1CC1 ZINC001052062559 765434601 /nfs/dbraw/zinc/43/46/01/765434601.db2.gz LDJQPKPPNJKTRN-OAHLLOKOSA-N 1 2 304.438 1.165 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2Oc3ccccc3O[C@H]2C)C1 ZINC001044279736 765444559 /nfs/dbraw/zinc/44/45/59/765444559.db2.gz GDQAFXSMCAISMG-SUMWQHHRSA-N 1 2 316.401 1.934 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc(OC)o3)C2)CC1 ZINC001052101007 765470555 /nfs/dbraw/zinc/47/05/55/765470555.db2.gz LCHQGIRDUVDJHQ-CQSZACIVSA-N 1 2 319.405 1.306 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)Cc3ccco3)C2)CC1 ZINC001052098852 765470847 /nfs/dbraw/zinc/47/08/47/765470847.db2.gz CSHRSZIRHVSFRM-MRXNPFEDSA-N 1 2 315.417 1.064 20 30 DDEDLO CCC1(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)CCCC1 ZINC001052102815 765472983 /nfs/dbraw/zinc/47/29/83/765472983.db2.gz IMZBCNGKAPXSQO-INIZCTEOSA-N 1 2 318.465 1.699 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccnc(C3CC3)n2)CC1 ZINC001113393781 765648834 /nfs/dbraw/zinc/64/88/34/765648834.db2.gz UNRPNFQBHRCTNH-UHFFFAOYSA-N 1 2 316.405 1.314 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2nc(C)ncc2Cl)CC1 ZINC001113404037 765657825 /nfs/dbraw/zinc/65/78/25/765657825.db2.gz YPVPDSBVQHSUOL-UHFFFAOYSA-N 1 2 324.812 1.399 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](C)NC(=O)C2CCCCC2)CC1 ZINC001113673549 766010132 /nfs/dbraw/zinc/01/01/32/766010132.db2.gz WVHWWTVSKCSTPJ-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO N#CCN1CCC([C@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC001047262709 768346727 /nfs/dbraw/zinc/34/67/27/768346727.db2.gz UIQSZLMPMKKPFQ-HNNXBMFYSA-N 1 2 315.421 1.426 20 30 DDEDLO COCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001113759669 766096377 /nfs/dbraw/zinc/09/63/77/766096377.db2.gz KESJNFPVMQIMEZ-QLPKVWCKSA-N 1 2 317.364 1.280 20 30 DDEDLO COCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001113759669 766096385 /nfs/dbraw/zinc/09/63/85/766096385.db2.gz KESJNFPVMQIMEZ-QLPKVWCKSA-N 1 2 317.364 1.280 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC1CCN(c2ccc(C#N)nc2)CC1 ZINC001057888220 766118577 /nfs/dbraw/zinc/11/85/77/766118577.db2.gz FTJUIYNNOXVSSJ-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nc(COC)no3)C[C@H]21 ZINC001114025277 766573575 /nfs/dbraw/zinc/57/35/75/766573575.db2.gz JVMXVAAHOLSZPZ-UUIJZJDISA-N 1 2 320.393 1.290 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nc(COC)no3)C[C@H]21 ZINC001114025277 766573581 /nfs/dbraw/zinc/57/35/81/766573581.db2.gz JVMXVAAHOLSZPZ-UUIJZJDISA-N 1 2 320.393 1.290 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@]2(C1)CCCCN(C(=O)Cc1ncn[nH]1)C2 ZINC001045979195 766757637 /nfs/dbraw/zinc/75/76/37/766757637.db2.gz RIFMIPTWVYGTRS-QGZVFWFLSA-N 1 2 315.421 1.075 20 30 DDEDLO CC#CC[N@H+]1CC[C@@]2(C1)CCCCN(C(=O)Cc1ncn[nH]1)C2 ZINC001045979195 766757640 /nfs/dbraw/zinc/75/76/40/766757640.db2.gz RIFMIPTWVYGTRS-QGZVFWFLSA-N 1 2 315.421 1.075 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)/C=C\c3ccco3)CC2)C1 ZINC001045995563 766777789 /nfs/dbraw/zinc/77/77/89/766777789.db2.gz NONOUBMJIWKQGT-ABCZVMIZSA-N 1 2 314.389 1.035 20 30 DDEDLO Cc1nc(N2CC[C@H](C)[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001067942506 766828792 /nfs/dbraw/zinc/82/87/92/766828792.db2.gz UJAYDAYEAKKXCJ-XHDPSFHLSA-N 1 2 324.388 1.630 20 30 DDEDLO Cn1ccc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)n1 ZINC001114260496 766831441 /nfs/dbraw/zinc/83/14/41/766831441.db2.gz ORMRAMDQKSDJDF-FOLVSLTJSA-N 1 2 300.406 1.016 20 30 DDEDLO Cn1ccc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)n1 ZINC001114260496 766831447 /nfs/dbraw/zinc/83/14/47/766831447.db2.gz ORMRAMDQKSDJDF-FOLVSLTJSA-N 1 2 300.406 1.016 20 30 DDEDLO Cc1sccc1C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046028873 766834772 /nfs/dbraw/zinc/83/47/72/766834772.db2.gz IFLPSIZACYHWAJ-CQSZACIVSA-N 1 2 318.446 1.412 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)[C@H]3CCCC34CC4)CC2)C1 ZINC001046038022 766842578 /nfs/dbraw/zinc/84/25/78/766842578.db2.gz GAHOAEGPQFIMOQ-HZPDHXFCSA-N 1 2 316.449 1.309 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+][C@@H](C)c1csnn1 ZINC001129502991 766908160 /nfs/dbraw/zinc/90/81/60/766908160.db2.gz URLBJNTZNAZCMW-NSHDSACASA-N 1 2 305.407 1.606 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@@H](C)N(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001068306438 767082871 /nfs/dbraw/zinc/08/28/71/767082871.db2.gz OLJZIQCRDZKSHU-BXUZGUMPSA-N 1 2 324.388 1.948 20 30 DDEDLO Cn1cnc(C(=O)N[C@]2(C)CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001046285798 767363817 /nfs/dbraw/zinc/36/38/17/767363817.db2.gz BHZJPBGNWCBBHT-QGZVFWFLSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cnc(C(=O)N[C@]2(C)CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001046285798 767363825 /nfs/dbraw/zinc/36/38/25/767363825.db2.gz BHZJPBGNWCBBHT-QGZVFWFLSA-N 1 2 324.388 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001046467505 767601845 /nfs/dbraw/zinc/60/18/45/767601845.db2.gz IOIFXAHKESYCAN-CQSZACIVSA-N 1 2 311.769 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001046467505 767601849 /nfs/dbraw/zinc/60/18/49/767601849.db2.gz IOIFXAHKESYCAN-CQSZACIVSA-N 1 2 311.769 1.325 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)Cn3cc[nH+]c3)[C@@H]2C)ccc1C#N ZINC001068866130 767669898 /nfs/dbraw/zinc/66/98/98/767669898.db2.gz YYTSXOXFIYWADS-HIFRSBDPSA-N 1 2 324.388 1.560 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001046575372 767703400 /nfs/dbraw/zinc/70/34/00/767703400.db2.gz PJFUILUHZWTAAT-IAQYHMDHSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001046575372 767703406 /nfs/dbraw/zinc/70/34/06/767703406.db2.gz PJFUILUHZWTAAT-IAQYHMDHSA-N 1 2 323.828 1.558 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069079159 767828621 /nfs/dbraw/zinc/82/86/21/767828621.db2.gz LVUPNVUVFRGGFA-OCCSQVGLSA-N 1 2 324.388 1.710 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)CCCC(C)=O)CC[C@@H]1C ZINC001131803051 768379766 /nfs/dbraw/zinc/37/97/66/768379766.db2.gz OMPRNJRJLMAHSB-ZFWWWQNUSA-N 1 2 323.437 1.017 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)CCCC(C)=O)CC[C@@H]1C ZINC001131803051 768379773 /nfs/dbraw/zinc/37/97/73/768379773.db2.gz OMPRNJRJLMAHSB-ZFWWWQNUSA-N 1 2 323.437 1.017 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCn2ccnn2)CC[C@H]1C ZINC001131992527 768545298 /nfs/dbraw/zinc/54/52/98/768545298.db2.gz MORBWFRAZZBVDC-CHWSQXEVSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCn2ccnn2)CC[C@H]1C ZINC001131992527 768545301 /nfs/dbraw/zinc/54/53/01/768545301.db2.gz MORBWFRAZZBVDC-CHWSQXEVSA-N 1 2 311.817 1.390 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2nccn2C)C1 ZINC001132002630 768554666 /nfs/dbraw/zinc/55/46/66/768554666.db2.gz LUACRLGHKLADIW-GJZGRUSLSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2nccn2C)C1 ZINC001132002630 768554670 /nfs/dbraw/zinc/55/46/70/768554670.db2.gz LUACRLGHKLADIW-GJZGRUSLSA-N 1 2 302.422 1.693 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001070537879 768560686 /nfs/dbraw/zinc/56/06/86/768560686.db2.gz SJVWEKLYRNXQJK-CQSZACIVSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001070537879 768560689 /nfs/dbraw/zinc/56/06/89/768560689.db2.gz SJVWEKLYRNXQJK-CQSZACIVSA-N 1 2 304.394 1.416 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)C2C=CC=CC=C2)C[C@H]1NCC#N ZINC001070653440 768646693 /nfs/dbraw/zinc/64/66/93/768646693.db2.gz RBOBZJWKWJDALY-HZPDHXFCSA-N 1 2 323.400 1.126 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132234195 768688271 /nfs/dbraw/zinc/68/82/71/768688271.db2.gz BHCYFHDTRYPWOJ-GJZGRUSLSA-N 1 2 317.437 1.478 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132234195 768688274 /nfs/dbraw/zinc/68/82/74/768688274.db2.gz BHCYFHDTRYPWOJ-GJZGRUSLSA-N 1 2 317.437 1.478 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132218715 768690353 /nfs/dbraw/zinc/69/03/53/768690353.db2.gz UYOYRFZNGSEWBX-UONOGXRCSA-N 1 2 319.453 1.887 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132218715 768690356 /nfs/dbraw/zinc/69/03/56/768690356.db2.gz UYOYRFZNGSEWBX-UONOGXRCSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)CC2CC2)C1 ZINC001070902259 768777771 /nfs/dbraw/zinc/77/77/71/768777771.db2.gz ZNWUTYMSOKHUKN-ZNMIVQPWSA-N 1 2 319.405 1.078 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)CC2CC2)C1 ZINC001070902259 768777773 /nfs/dbraw/zinc/77/77/73/768777773.db2.gz ZNWUTYMSOKHUKN-ZNMIVQPWSA-N 1 2 319.405 1.078 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001132569526 768992042 /nfs/dbraw/zinc/99/20/42/768992042.db2.gz TWHACKFPFRLWTN-NEPJUHHUSA-N 1 2 318.377 1.994 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001071132859 768992661 /nfs/dbraw/zinc/99/26/61/768992661.db2.gz IRIKMJMQYHUYGT-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001132591042 769012949 /nfs/dbraw/zinc/01/29/49/769012949.db2.gz FPLHMDYEAAPDPI-UHFFFAOYSA-N 1 2 306.410 1.767 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001071141432 769013915 /nfs/dbraw/zinc/01/39/15/769013915.db2.gz FLRFJMFIXPFNFR-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001132663583 769073817 /nfs/dbraw/zinc/07/38/17/769073817.db2.gz YRIYJPVMHWNNCI-QWHCGFSZSA-N 1 2 324.425 1.975 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@H](C)[C@@H](CNCC#N)C2)c[nH+]1 ZINC001132920863 769323455 /nfs/dbraw/zinc/32/34/55/769323455.db2.gz VGYACCSVLWTBHA-ZFWWWQNUSA-N 1 2 317.437 1.524 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@@H]1C ZINC001071499074 769520313 /nfs/dbraw/zinc/52/03/13/769520313.db2.gz XVDAIIXQGIFYDK-CMPLNLGQSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@@H]1C ZINC001071499074 769520321 /nfs/dbraw/zinc/52/03/21/769520321.db2.gz XVDAIIXQGIFYDK-CMPLNLGQSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccn(C)c(=O)c2)CC[C@H]1C ZINC001071613089 769721931 /nfs/dbraw/zinc/72/19/31/769721931.db2.gz RKCWWZBGTQSAET-OCCSQVGLSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccn(C)c(=O)c2)CC[C@H]1C ZINC001071613089 769721943 /nfs/dbraw/zinc/72/19/43/769721943.db2.gz RKCWWZBGTQSAET-OCCSQVGLSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cn(C)c(=O)cn2)CC[C@@H]1C ZINC001071694341 769896892 /nfs/dbraw/zinc/89/68/92/769896892.db2.gz FRRVYPHNTAAFML-RYUDHWBXSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cn(C)c(=O)cn2)CC[C@@H]1C ZINC001071694341 769896904 /nfs/dbraw/zinc/89/69/04/769896904.db2.gz FRRVYPHNTAAFML-RYUDHWBXSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)CCc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001072215505 770671624 /nfs/dbraw/zinc/67/16/24/770671624.db2.gz UNNFCYZRUIZHHC-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@@H](C[C@H](C)NC(=O)Cc1cn2c([nH+]1)CCCC2)NCC#N ZINC001134403112 770989399 /nfs/dbraw/zinc/98/93/99/770989399.db2.gz KJXNYOQJFBDKRT-STQMWFEESA-N 1 2 303.410 1.158 20 30 DDEDLO Cc1cc(NCCN(CCO)C(=O)[C@H](C)C#N)nc(C(C)C)[nH+]1 ZINC001111436159 771416853 /nfs/dbraw/zinc/41/68/53/771416853.db2.gz UFKQZVZEONDQJJ-GFCCVEGCSA-N 1 2 319.409 1.301 20 30 DDEDLO C=C(CCC(=O)OC)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC001135497508 771544388 /nfs/dbraw/zinc/54/43/88/771544388.db2.gz IWCVDBKNRDFYBN-UHFFFAOYSA-N 1 2 303.362 1.240 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccccc1)c1cccc2nc[nH]c21 ZINC001135626506 771613490 /nfs/dbraw/zinc/61/34/90/771613490.db2.gz QPDRFOZLIQKRCT-UHFFFAOYSA-N 1 2 318.380 1.934 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncccc2F)[C@@H](O)C1 ZINC001090676700 772104284 /nfs/dbraw/zinc/10/42/84/772104284.db2.gz RSXJLGJEULSNRN-RYUDHWBXSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncccc2F)[C@@H](O)C1 ZINC001090676700 772104288 /nfs/dbraw/zinc/10/42/88/772104288.db2.gz RSXJLGJEULSNRN-RYUDHWBXSA-N 1 2 313.760 1.138 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@H]1OC ZINC001090869887 772285767 /nfs/dbraw/zinc/28/57/67/772285767.db2.gz FJGLJCGIGSQONP-HUUCEWRRSA-N 1 2 318.421 1.688 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nnc(C)[nH]1)C2 ZINC001147187682 773062257 /nfs/dbraw/zinc/06/22/57/773062257.db2.gz NAIZOXPQFOELSB-LBPRGKRZSA-N 1 2 303.410 1.675 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nnc(C)[nH]1)C2 ZINC001147187682 773062261 /nfs/dbraw/zinc/06/22/61/773062261.db2.gz NAIZOXPQFOELSB-LBPRGKRZSA-N 1 2 303.410 1.675 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001073805549 773428401 /nfs/dbraw/zinc/42/84/01/773428401.db2.gz JIRXCSGKSPRHBR-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1conc1C[N@@H+](C)C[C@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001073970707 773564171 /nfs/dbraw/zinc/56/41/71/773564171.db2.gz VSWIEAHBFBKSGA-TZMCWYRMSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1conc1C[N@H+](C)C[C@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001073970707 773564178 /nfs/dbraw/zinc/56/41/78/773564178.db2.gz VSWIEAHBFBKSGA-TZMCWYRMSA-N 1 2 304.394 1.956 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@H+](Cc1nccs1)C2 ZINC001148893539 773627439 /nfs/dbraw/zinc/62/74/39/773627439.db2.gz NAWWTYDWOAFYCX-UHFFFAOYSA-N 1 2 321.446 1.770 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccs1)C2 ZINC001148893539 773627441 /nfs/dbraw/zinc/62/74/41/773627441.db2.gz NAWWTYDWOAFYCX-UHFFFAOYSA-N 1 2 321.446 1.770 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC(F)(F)F)C[C@H]21 ZINC001074184913 773706108 /nfs/dbraw/zinc/70/61/08/773706108.db2.gz UDDPMMBNSYPOEV-NEPJUHHUSA-N 1 2 304.312 1.264 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC(F)(F)F)C[C@H]21 ZINC001074184913 773706111 /nfs/dbraw/zinc/70/61/11/773706111.db2.gz UDDPMMBNSYPOEV-NEPJUHHUSA-N 1 2 304.312 1.264 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cncc(C)c3)C[C@H]21 ZINC001074199820 773723396 /nfs/dbraw/zinc/72/33/96/773723396.db2.gz JCQXFCIVXXYCAZ-IAGOWNOFSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cncc(C)c3)C[C@H]21 ZINC001074199820 773723399 /nfs/dbraw/zinc/72/33/99/773723399.db2.gz JCQXFCIVXXYCAZ-IAGOWNOFSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cscc3C)C[C@H]21 ZINC001074205667 773728516 /nfs/dbraw/zinc/72/85/16/773728516.db2.gz VRDOFAAEBOKSMZ-CVEARBPZSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cscc3C)C[C@H]21 ZINC001074205667 773728519 /nfs/dbraw/zinc/72/85/19/773728519.db2.gz VRDOFAAEBOKSMZ-CVEARBPZSA-N 1 2 318.442 1.995 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC3OCCCO3)C[C@H]21 ZINC001074222807 773747956 /nfs/dbraw/zinc/74/79/56/773747956.db2.gz NKMANOOLOWSMLH-CABCVRRESA-N 1 2 324.421 1.017 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC3OCCCO3)C[C@H]21 ZINC001074222807 773747960 /nfs/dbraw/zinc/74/79/60/773747960.db2.gz NKMANOOLOWSMLH-CABCVRRESA-N 1 2 324.421 1.017 20 30 DDEDLO C[C@H](CNC(=O)C[C@@H](C)n1cc[nH+]c1)Nc1ccc(C#N)nc1 ZINC001098302209 773756152 /nfs/dbraw/zinc/75/61/52/773756152.db2.gz GHOWVVYKAHTRDR-CHWSQXEVSA-N 1 2 312.377 1.718 20 30 DDEDLO C[C@@]12CN(CC#N)C[C@@H]1CN(C(=O)c1cc3c[nH+]ccc3[nH]1)C2 ZINC001091786252 773805528 /nfs/dbraw/zinc/80/55/28/773805528.db2.gz XNKFMUFMVQLUDP-DYVFJYSZSA-N 1 2 309.373 1.480 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3coc(OC)n3)C[C@H]21 ZINC001074304664 773808705 /nfs/dbraw/zinc/80/87/05/773808705.db2.gz OLUVXOGMVPJGIW-ZIAGYGMSSA-N 1 2 321.377 1.175 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3coc(OC)n3)C[C@H]21 ZINC001074304664 773808708 /nfs/dbraw/zinc/80/87/08/773808708.db2.gz OLUVXOGMVPJGIW-ZIAGYGMSSA-N 1 2 321.377 1.175 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ocnc3CC)C[C@H]21 ZINC001074335977 773832834 /nfs/dbraw/zinc/83/28/34/773832834.db2.gz HXYVYQBBRGWMLR-CABCVRRESA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ocnc3CC)C[C@H]21 ZINC001074335977 773832838 /nfs/dbraw/zinc/83/28/38/773832838.db2.gz HXYVYQBBRGWMLR-CABCVRRESA-N 1 2 319.405 1.728 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccc[nH]3)C[C@@H]21 ZINC001074413371 773908163 /nfs/dbraw/zinc/90/81/63/773908163.db2.gz SEANJYGPGGQWIX-HOTGVXAUSA-N 1 2 303.406 1.435 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccc[nH]3)C[C@@H]21 ZINC001074413371 773908168 /nfs/dbraw/zinc/90/81/68/773908168.db2.gz SEANJYGPGGQWIX-HOTGVXAUSA-N 1 2 303.406 1.435 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H]1C=CCC1)c1nccn12 ZINC001092348061 774067459 /nfs/dbraw/zinc/06/74/59/774067459.db2.gz NTHVFTCZVUVUAQ-CABCVRRESA-N 1 2 312.417 1.997 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)CC1CCC1)c1nccn12 ZINC001092359247 774074140 /nfs/dbraw/zinc/07/41/40/774074140.db2.gz PUIYLOHRPJFRAL-HNNXBMFYSA-N 1 2 312.417 1.669 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C3CC3)CC1)c1nccn12 ZINC001092376186 774108518 /nfs/dbraw/zinc/10/85/18/774108518.db2.gz RSWSSYJSRZLVDD-OAHLLOKOSA-N 1 2 324.428 1.669 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001075045980 774319130 /nfs/dbraw/zinc/31/91/30/774319130.db2.gz QLUXXSRKCRYHJI-GJZGRUSLSA-N 1 2 318.421 1.735 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@H]1C ZINC001075210699 774425179 /nfs/dbraw/zinc/42/51/79/774425179.db2.gz FPKVBAWXIPPESE-ZIAGYGMSSA-N 1 2 316.405 1.107 20 30 DDEDLO N#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001098903425 774712882 /nfs/dbraw/zinc/71/28/82/774712882.db2.gz NMJFFJPFTXJBPV-GUYCJALGSA-N 1 2 309.373 1.671 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC(C)(C)C[N@@H+]1Cc1cnnn1C ZINC001098982349 774754913 /nfs/dbraw/zinc/75/49/13/774754913.db2.gz KEHQYWVABQYVQH-OLZOCXBDSA-N 1 2 318.425 1.082 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC(C)(C)C[N@H+]1Cc1cnnn1C ZINC001098982349 774754914 /nfs/dbraw/zinc/75/49/14/774754914.db2.gz KEHQYWVABQYVQH-OLZOCXBDSA-N 1 2 318.425 1.082 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3C[C@@H]3OCC)CC2)C1 ZINC001093511010 774758113 /nfs/dbraw/zinc/75/81/13/774758113.db2.gz MNUMZMUAXBJHGH-CVEARBPZSA-N 1 2 308.422 1.289 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ncoc3CC)CC2)C1 ZINC001093518954 774770018 /nfs/dbraw/zinc/77/00/18/774770018.db2.gz FCFSRJIQTROKKO-UHFFFAOYSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3nccn3CC)CC2)C1 ZINC001093530981 774783973 /nfs/dbraw/zinc/78/39/73/774783973.db2.gz KAZJJLBIXOLUSQ-UHFFFAOYSA-N 1 2 318.421 1.394 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCC3(F)F)CC2)C1 ZINC001093545770 774821094 /nfs/dbraw/zinc/82/10/94/774821094.db2.gz QOBRWKDIYKGKSC-AWEZNQCLSA-N 1 2 314.376 1.910 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3c[nH]nc3CC)CC2)C1 ZINC001093548742 774825327 /nfs/dbraw/zinc/82/53/27/774825327.db2.gz CZTAVOSTUQMXBX-UHFFFAOYSA-N 1 2 318.421 1.464 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001093572255 774845188 /nfs/dbraw/zinc/84/51/88/774845188.db2.gz NZWIOYNTZPYBCP-INIZCTEOSA-N 1 2 304.394 1.518 20 30 DDEDLO C#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)[C@@H]3C[C@@H]3C)c2)nn1 ZINC001099600720 775059618 /nfs/dbraw/zinc/05/96/18/775059618.db2.gz ZZECFZQOSKCKPH-SUMWQHHRSA-N 1 2 323.400 1.262 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)/C=C\c2ccco2)[C@H](O)C1 ZINC001099642601 775090379 /nfs/dbraw/zinc/09/03/79/775090379.db2.gz SQAMRBVIAFMFCA-OQOSRZTISA-N 1 2 310.781 1.597 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)/C=C\c2ccco2)[C@H](O)C1 ZINC001099642601 775090384 /nfs/dbraw/zinc/09/03/84/775090384.db2.gz SQAMRBVIAFMFCA-OQOSRZTISA-N 1 2 310.781 1.597 20 30 DDEDLO CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099686734 775140183 /nfs/dbraw/zinc/14/01/83/775140183.db2.gz JURZRKWPYKHXOD-IAGOWNOFSA-N 1 2 315.417 1.800 20 30 DDEDLO CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099686734 775140190 /nfs/dbraw/zinc/14/01/90/775140190.db2.gz JURZRKWPYKHXOD-IAGOWNOFSA-N 1 2 315.417 1.800 20 30 DDEDLO C=CCC(CC=C)C(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001093896310 775230559 /nfs/dbraw/zinc/23/05/59/775230559.db2.gz PWVDACPMGJWDLU-UHFFFAOYSA-N 1 2 318.425 1.543 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)n1 ZINC001094188976 775549944 /nfs/dbraw/zinc/54/99/44/775549944.db2.gz XPQWGPFMAQJDIA-NSHDSACASA-N 1 2 312.377 1.392 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)n1 ZINC001094188976 775549954 /nfs/dbraw/zinc/54/99/54/775549954.db2.gz XPQWGPFMAQJDIA-NSHDSACASA-N 1 2 312.377 1.392 20 30 DDEDLO N#Cc1cncc(NCCNC(=O)c2cc3c[nH+]ccc3[nH]2)n1 ZINC001094235507 775571360 /nfs/dbraw/zinc/57/13/60/775571360.db2.gz BGQLDMYVSSOXFT-UHFFFAOYSA-N 1 2 307.317 1.066 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC=CCC3)nn2)C1 ZINC001094273961 775621489 /nfs/dbraw/zinc/62/14/89/775621489.db2.gz AXZOSSKGYGIHIW-CYBMUJFWSA-N 1 2 301.394 1.293 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3cc[nH]c3C)nn2)C1 ZINC001094281218 775638168 /nfs/dbraw/zinc/63/81/68/775638168.db2.gz OAPWICFXNMEOLO-UHFFFAOYSA-N 1 2 314.393 1.277 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cc(C)cs3)nn2)C1 ZINC001094288884 775689517 /nfs/dbraw/zinc/68/95/17/775689517.db2.gz YQJJCQVTNAEGSP-UHFFFAOYSA-N 1 2 317.418 1.621 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC34CCC4)nn2)C1 ZINC001094323797 775750645 /nfs/dbraw/zinc/75/06/45/775750645.db2.gz DHCFIQYOOUTNOD-AWEZNQCLSA-N 1 2 301.394 1.127 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CC[C@@H](C)C3)nn2)C1 ZINC001094324628 775752355 /nfs/dbraw/zinc/75/23/55/775752355.db2.gz YROJAIYYECPBAL-OLZOCXBDSA-N 1 2 303.410 1.373 20 30 DDEDLO CN(CCNC(=O)Cc1c[nH]c[nH+]1)c1nc(C#N)c(Cl)s1 ZINC001100353971 776042577 /nfs/dbraw/zinc/04/25/77/776042577.db2.gz AVUDVLQNLDANDJ-UHFFFAOYSA-N 1 2 324.797 1.186 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3ccc(F)cc3)CC2=O)C1 ZINC001094690358 776198889 /nfs/dbraw/zinc/19/88/89/776198889.db2.gz RVRGIAMNTXSLRO-CQSZACIVSA-N 1 2 317.364 1.027 20 30 DDEDLO C=C1CC(C)(C(=O)NCCCNc2[nH+]cnc3c2cnn3C)C1 ZINC001094761745 776219722 /nfs/dbraw/zinc/21/97/22/776219722.db2.gz LLVYLERFOKDSSC-UHFFFAOYSA-N 1 2 314.393 1.638 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3CCC=CCC3)CC2=O)C1 ZINC001094817453 776298883 /nfs/dbraw/zinc/29/88/83/776298883.db2.gz NDLNRIGOMWTIHU-HNNXBMFYSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3ccc(C)cc3)CC2=O)C1 ZINC001094809343 776308936 /nfs/dbraw/zinc/30/89/36/776308936.db2.gz WXPSUHRDILBFNQ-OAHLLOKOSA-N 1 2 313.401 1.196 20 30 DDEDLO C#Cc1ccc(C(=O)NCCN(CC)c2cc[nH+]c(C)n2)nc1 ZINC001100582526 776327773 /nfs/dbraw/zinc/32/77/73/776327773.db2.gz PMKAZXPOYNAGLO-UHFFFAOYSA-N 1 2 309.373 1.418 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H]([NH+]2CCN(CCC#N)CC2)CC1=O ZINC001171711049 776416443 /nfs/dbraw/zinc/41/64/43/776416443.db2.gz QDRVRRPWBAYLTJ-CYBMUJFWSA-N 1 2 322.409 1.054 20 30 DDEDLO CCCC[N@@H+]1CCOC[C@H]1C(=O)N[C@@H](C)CCCCNCC#N ZINC001171918403 776518265 /nfs/dbraw/zinc/51/82/65/776518265.db2.gz NXUCOCDBDZENPD-HOTGVXAUSA-N 1 2 324.469 1.275 20 30 DDEDLO CCCC[N@H+]1CCOC[C@H]1C(=O)N[C@@H](C)CCCCNCC#N ZINC001171918403 776518269 /nfs/dbraw/zinc/51/82/69/776518269.db2.gz NXUCOCDBDZENPD-HOTGVXAUSA-N 1 2 324.469 1.275 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC[C@H]2CNC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001100910110 776695391 /nfs/dbraw/zinc/69/53/91/776695391.db2.gz AUUAJZVAVQIKIQ-HNNXBMFYSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1nsc(NC2(CNC(=O)Cn3cc[nH+]c3)CC2)c1C#N ZINC001110169186 777122980 /nfs/dbraw/zinc/12/29/80/777122980.db2.gz JQYXXPGBSUDSGC-UHFFFAOYSA-N 1 2 316.390 1.281 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095124669 777149175 /nfs/dbraw/zinc/14/91/75/777149175.db2.gz WFSFOBVUGYRENQ-GXTWGEPZSA-N 1 2 304.394 1.413 20 30 DDEDLO C=CCCC(=O)N1CCCN(c2[nH+]cnc3c2cnn3C)CC1 ZINC001095164686 777189010 /nfs/dbraw/zinc/18/90/10/777189010.db2.gz ILQITDVCUFHMMZ-UHFFFAOYSA-N 1 2 314.393 1.368 20 30 DDEDLO C#CCCCC(=O)N(C)CCNc1ncnc2c1C[N@H+](C)CC2 ZINC001101584538 777274864 /nfs/dbraw/zinc/27/48/64/777274864.db2.gz GYPDGCWWOJNFAC-UHFFFAOYSA-N 1 2 315.421 1.138 20 30 DDEDLO C#CCCCC(=O)N(C)CCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001101584538 777274866 /nfs/dbraw/zinc/27/48/66/777274866.db2.gz GYPDGCWWOJNFAC-UHFFFAOYSA-N 1 2 315.421 1.138 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C(CC)CC)c1nccn12 ZINC001101612030 777303502 /nfs/dbraw/zinc/30/35/02/777303502.db2.gz NZDYQEFBVCULQM-HNNXBMFYSA-N 1 2 314.433 1.915 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H](C)CC)c1nccn12 ZINC001101625237 777320012 /nfs/dbraw/zinc/32/00/12/777320012.db2.gz BGVFSQJFQGJKJT-GJZGRUSLSA-N 1 2 314.433 1.915 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(CF)CC1)c1nccn12 ZINC001101642814 777339826 /nfs/dbraw/zinc/33/98/26/777339826.db2.gz MSHYHTTXVYPQDA-GFCCVEGCSA-N 1 2 304.369 1.391 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1CC2(C[NH+](CC=C)C2)n2ccnc21 ZINC001101654090 777347848 /nfs/dbraw/zinc/34/78/48/777347848.db2.gz KLRQUSXRMJTDRU-KBPBESRZSA-N 1 2 316.405 1.232 20 30 DDEDLO Cc1nsc(NCCN(C)C(=O)Cc2[nH]c[nH+]c2C)c1C#N ZINC001101718046 777429444 /nfs/dbraw/zinc/42/94/44/777429444.db2.gz JOQBJHRIGAOMAC-UHFFFAOYSA-N 1 2 318.406 1.468 20 30 DDEDLO N#Cc1sccc1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001174489425 777482744 /nfs/dbraw/zinc/48/27/44/777482744.db2.gz DPWQBEOOTPAWQY-UHFFFAOYSA-N 1 2 303.391 1.892 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCOCC(C)C)C[C@H]21 ZINC001176930708 778319244 /nfs/dbraw/zinc/31/92/44/778319244.db2.gz SYKBAGNQZMJFKP-IAGOWNOFSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCOCC(C)C)C[C@H]21 ZINC001176930708 778319253 /nfs/dbraw/zinc/31/92/53/778319253.db2.gz SYKBAGNQZMJFKP-IAGOWNOFSA-N 1 2 322.449 1.374 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOC(C)C)[C@@H]2C1 ZINC001176954975 778345495 /nfs/dbraw/zinc/34/54/95/778345495.db2.gz LGCLUIOREROKAX-CVEARBPZSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOC(C)C)[C@@H]2C1 ZINC001176954975 778345504 /nfs/dbraw/zinc/34/55/04/778345504.db2.gz LGCLUIOREROKAX-CVEARBPZSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C\CC)C[C@H]21 ZINC001176944623 778372490 /nfs/dbraw/zinc/37/24/90/778372490.db2.gz ZYUAVCANOMDERG-FHASGNSBSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C\CC)C[C@H]21 ZINC001176944623 778372498 /nfs/dbraw/zinc/37/24/98/778372498.db2.gz ZYUAVCANOMDERG-FHASGNSBSA-N 1 2 322.449 1.847 20 30 DDEDLO C[C@](CNc1ncccc1C#N)(NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001103544983 778936300 /nfs/dbraw/zinc/93/63/00/778936300.db2.gz LWYMQFWSMPCDQU-QGZVFWFLSA-N 1 2 324.388 1.616 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+][C@H](C)c2noc(C)n2)[C@@H]1C ZINC001178582262 779092236 /nfs/dbraw/zinc/09/22/36/779092236.db2.gz UIQPJTOOCHNFDT-DYEKYZERSA-N 1 2 304.394 1.822 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](C)CNC(=O)Cn2cc[nH+]c2)n1 ZINC001104002457 779236091 /nfs/dbraw/zinc/23/60/91/779236091.db2.gz SCFYXYPAPAGYSR-GFCCVEGCSA-N 1 2 312.377 1.323 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ncnn1C)C2 ZINC001111730277 779453368 /nfs/dbraw/zinc/45/33/68/779453368.db2.gz DJHHVMANYTXMNY-YOEHRIQHSA-N 1 2 315.421 1.089 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ncnn1C)C2 ZINC001111730277 779453373 /nfs/dbraw/zinc/45/33/73/779453373.db2.gz DJHHVMANYTXMNY-YOEHRIQHSA-N 1 2 315.421 1.089 20 30 DDEDLO Cc1nonc1C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)C#CC2CC2)C1 ZINC001111740788 779458366 /nfs/dbraw/zinc/45/83/66/779458366.db2.gz NSPYRQHCOUCYKH-YOEHRIQHSA-N 1 2 314.389 1.262 20 30 DDEDLO Cc1nonc1C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)C#CC2CC2)C1 ZINC001111740788 779458369 /nfs/dbraw/zinc/45/83/69/779458369.db2.gz NSPYRQHCOUCYKH-YOEHRIQHSA-N 1 2 314.389 1.262 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCc2cc(OC)cc(OC)c2)CC1 ZINC001180133824 779618106 /nfs/dbraw/zinc/61/81/06/779618106.db2.gz DRAQSZJVNJIUOE-UHFFFAOYSA-N 1 2 318.417 1.967 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(F)F)C2 ZINC001110235723 779693416 /nfs/dbraw/zinc/69/34/16/779693416.db2.gz YAADNYXDWATFJL-KKOKHZNYSA-N 1 2 315.364 1.054 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(F)F)C2 ZINC001110235723 779693419 /nfs/dbraw/zinc/69/34/19/779693419.db2.gz YAADNYXDWATFJL-KKOKHZNYSA-N 1 2 315.364 1.054 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2COc3ccc(OC)cc3C2)CC1 ZINC001180358542 779726399 /nfs/dbraw/zinc/72/63/99/779726399.db2.gz JSDMSZYKJNNADY-HNNXBMFYSA-N 1 2 316.401 1.577 20 30 DDEDLO C=CCSCC(=O)Nc1[nH+]cnc2[nH]cc(C(=O)OC)c21 ZINC001180555456 779830610 /nfs/dbraw/zinc/83/06/10/779830610.db2.gz RZTKQHZDZIPOOV-UHFFFAOYSA-N 1 2 306.347 1.602 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H](Nc1cc[nH+]c(C)n1)C(C)C ZINC001115295485 780013499 /nfs/dbraw/zinc/01/34/99/780013499.db2.gz ZNZFFIVCXSONAE-CQSZACIVSA-N 1 2 306.410 1.930 20 30 DDEDLO N#CCNC1(CNC(=O)c2cccc3[nH+]ccn32)CCCCC1 ZINC001115627890 780285929 /nfs/dbraw/zinc/28/59/29/780285929.db2.gz XFBIGWLHVQBRPC-UHFFFAOYSA-N 1 2 311.389 1.880 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H](C)Nc2cc[nH+]c(C)n2)nc1 ZINC001115791121 780435550 /nfs/dbraw/zinc/43/55/50/780435550.db2.gz JDJCLINRXOABBJ-LBPRGKRZSA-N 1 2 309.373 1.734 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H](C)Nc2cc[nH+]c(C)n2)cn1 ZINC001115792999 780436377 /nfs/dbraw/zinc/43/63/77/780436377.db2.gz NGRKTZLZNVBLNY-GFCCVEGCSA-N 1 2 309.373 1.734 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)C(C)(C)[C@@H]2c2cnn(C)c2)C1 ZINC001117487897 780809542 /nfs/dbraw/zinc/80/95/42/780809542.db2.gz LHBGRNQZNRQODV-CABCVRRESA-N 1 2 318.421 1.564 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)C(C)(C)[C@@H]2c2cnn(C)c2)C1 ZINC001117487897 780809552 /nfs/dbraw/zinc/80/95/52/780809552.db2.gz LHBGRNQZNRQODV-CABCVRRESA-N 1 2 318.421 1.564 20 30 DDEDLO CCc1nc(C[N@@H+]2CCC[C@H]2CCCNC(=O)[C@H](C)C#N)no1 ZINC001267162798 837442077 /nfs/dbraw/zinc/44/20/77/837442077.db2.gz JBXUENJVLYHVEW-CHWSQXEVSA-N 1 2 319.409 1.652 20 30 DDEDLO CCc1nc(C[N@H+]2CCC[C@H]2CCCNC(=O)[C@H](C)C#N)no1 ZINC001267162798 837442087 /nfs/dbraw/zinc/44/20/87/837442087.db2.gz JBXUENJVLYHVEW-CHWSQXEVSA-N 1 2 319.409 1.652 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@@H+](CC)[C@@H](C)c1ncc(C)o1 ZINC001267240480 837586901 /nfs/dbraw/zinc/58/69/01/837586901.db2.gz NCTQBADKCXLHMH-BBRMVZONSA-N 1 2 309.410 1.809 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@H+](CC)[C@@H](C)c1ncc(C)o1 ZINC001267240480 837586909 /nfs/dbraw/zinc/58/69/09/837586909.db2.gz NCTQBADKCXLHMH-BBRMVZONSA-N 1 2 309.410 1.809 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H]1CNC(=O)CSC(C)C ZINC001267261216 837688352 /nfs/dbraw/zinc/68/83/52/837688352.db2.gz MXKHBDBYNAVMSN-ZDUSSCGKSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)CSC(C)C ZINC001267261216 837688362 /nfs/dbraw/zinc/68/83/62/837688362.db2.gz MXKHBDBYNAVMSN-ZDUSSCGKSA-N 1 2 313.467 1.011 20 30 DDEDLO O=C(CC#Cc1ccccc1)NC[C@@H]1CC[N@H+](Cc2cnon2)C1 ZINC001267310507 837799574 /nfs/dbraw/zinc/79/95/74/837799574.db2.gz XERLNBPWHDHEJP-INIZCTEOSA-N 1 2 324.384 1.450 20 30 DDEDLO O=C(CC#Cc1ccccc1)NC[C@@H]1CC[N@@H+](Cc2cnon2)C1 ZINC001267310507 837799586 /nfs/dbraw/zinc/79/95/86/837799586.db2.gz XERLNBPWHDHEJP-INIZCTEOSA-N 1 2 324.384 1.450 20 30 DDEDLO C[C@H](C#N)C(=O)NCCCN1CC[NH+](Cc2ccsc2)CC1 ZINC001266259520 835999626 /nfs/dbraw/zinc/99/96/26/835999626.db2.gz GTLMEENQUPSCLS-CQSZACIVSA-N 1 2 320.462 1.532 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1[nH]cnc1C ZINC001280423761 844116559 /nfs/dbraw/zinc/11/65/59/844116559.db2.gz BBWUOURYBHEADV-OAHLLOKOSA-N 1 2 320.437 1.895 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1[nH]cnc1C ZINC001280423761 844116566 /nfs/dbraw/zinc/11/65/66/844116566.db2.gz BBWUOURYBHEADV-OAHLLOKOSA-N 1 2 320.437 1.895 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001266311289 836100063 /nfs/dbraw/zinc/10/00/63/836100063.db2.gz VPJFMMJIDMUKAD-AWEZNQCLSA-N 1 2 306.410 1.093 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001266311289 836100071 /nfs/dbraw/zinc/10/00/71/836100071.db2.gz VPJFMMJIDMUKAD-AWEZNQCLSA-N 1 2 306.410 1.093 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@]2(C)CC=CCC2)C1 ZINC001266321553 836116355 /nfs/dbraw/zinc/11/63/55/836116355.db2.gz RBXIVCPVOCWKAT-RHSMWYFYSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@]2(C)CC=CCC2)C1 ZINC001266321553 836116358 /nfs/dbraw/zinc/11/63/58/836116358.db2.gz RBXIVCPVOCWKAT-RHSMWYFYSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@H](C)C(C)(C)C)C1 ZINC001282723741 836216034 /nfs/dbraw/zinc/21/60/34/836216034.db2.gz ADCRRPSVGQZMFP-STQMWFEESA-N 1 2 309.454 1.407 20 30 DDEDLO C#CCC1(C(=O)NC[C@H]2CCC[N@H+]2CC(N)=O)CCCCC1 ZINC001266495481 836345736 /nfs/dbraw/zinc/34/57/36/836345736.db2.gz NHTKWNCBBCNMME-CQSZACIVSA-N 1 2 305.422 1.026 20 30 DDEDLO C#CCC1(C(=O)NC[C@H]2CCC[N@@H+]2CC(N)=O)CCCCC1 ZINC001266495481 836345744 /nfs/dbraw/zinc/34/57/44/836345744.db2.gz NHTKWNCBBCNMME-CQSZACIVSA-N 1 2 305.422 1.026 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[N@H+](Cc3nnc(C)s3)C[C@@H]2C1 ZINC001271845002 844153396 /nfs/dbraw/zinc/15/33/96/844153396.db2.gz GAYJZUULTLOAGD-OKILXGFUSA-N 1 2 318.446 1.540 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[N@@H+](Cc3nnc(C)s3)C[C@@H]2C1 ZINC001271845002 844153407 /nfs/dbraw/zinc/15/34/07/844153407.db2.gz GAYJZUULTLOAGD-OKILXGFUSA-N 1 2 318.446 1.540 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+]2CC[C@@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001266511924 836387597 /nfs/dbraw/zinc/38/75/97/836387597.db2.gz UUGISXWEKPHJPI-OCCSQVGLSA-N 1 2 315.421 1.280 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+]2CC[C@@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001266511924 836387609 /nfs/dbraw/zinc/38/76/09/836387609.db2.gz UUGISXWEKPHJPI-OCCSQVGLSA-N 1 2 315.421 1.280 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CC[NH2+]Cc1nnc(C(F)(F)F)s1 ZINC001266659798 836608889 /nfs/dbraw/zinc/60/88/89/836608889.db2.gz HDZQVMMSFVEIMO-ZETCQYMHSA-N 1 2 321.328 1.265 20 30 DDEDLO C#CC[N@H+]1CCC[C@@](CO)(NC(=O)c2sc(C)cc2C)C1 ZINC001279490710 836732434 /nfs/dbraw/zinc/73/24/34/836732434.db2.gz OYZKQFMDDQBIRT-MRXNPFEDSA-N 1 2 306.431 1.555 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@](CO)(NC(=O)c2sc(C)cc2C)C1 ZINC001279490710 836732442 /nfs/dbraw/zinc/73/24/42/836732442.db2.gz OYZKQFMDDQBIRT-MRXNPFEDSA-N 1 2 306.431 1.555 20 30 DDEDLO CC[C@@H](C)CC(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001266840672 836911434 /nfs/dbraw/zinc/91/14/34/836911434.db2.gz YYUZUAHKMWAQGY-ZIAGYGMSSA-N 1 2 308.426 1.033 20 30 DDEDLO CC[C@@H](C)CC(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001266840672 836911440 /nfs/dbraw/zinc/91/14/40/836911440.db2.gz YYUZUAHKMWAQGY-ZIAGYGMSSA-N 1 2 308.426 1.033 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCCC[C@@H]2CNC(=O)C#CC2CC2)cn1 ZINC001266842494 836914394 /nfs/dbraw/zinc/91/43/94/836914394.db2.gz ORGLDDMVRGXSAA-QGZVFWFLSA-N 1 2 312.417 1.669 20 30 DDEDLO Cc1ncc(C[N@H+]2CCCC[C@@H]2CNC(=O)C#CC2CC2)cn1 ZINC001266842494 836914400 /nfs/dbraw/zinc/91/44/00/836914400.db2.gz ORGLDDMVRGXSAA-QGZVFWFLSA-N 1 2 312.417 1.669 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCC[N@H+](Cc2nnc(C)[nH]2)C1 ZINC001266895143 837015178 /nfs/dbraw/zinc/01/51/78/837015178.db2.gz ZCQBFTOMMNLECC-GXTWGEPZSA-N 1 2 305.426 1.796 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCC[N@@H+](Cc2nnc(C)[nH]2)C1 ZINC001266895143 837015185 /nfs/dbraw/zinc/01/51/85/837015185.db2.gz ZCQBFTOMMNLECC-GXTWGEPZSA-N 1 2 305.426 1.796 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@H](C)[NH2+]Cc1nnc(C)o1 ZINC001266988756 837152390 /nfs/dbraw/zinc/15/23/90/837152390.db2.gz CTXLWVXVFGONKL-LBPRGKRZSA-N 1 2 312.373 1.196 20 30 DDEDLO N#CCN[C@@H](CNC(=O)[C@H]1CCn2cc[nH+]c2C1)c1ccccc1 ZINC001267362029 837913791 /nfs/dbraw/zinc/91/37/91/837913791.db2.gz NHSXHEKFDMWULX-HOTGVXAUSA-N 1 2 323.400 1.416 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)c2ccc(F)c(C)c2)CC1 ZINC001267574493 838341302 /nfs/dbraw/zinc/34/13/02/838341302.db2.gz JDSDHAXCWFPXFI-UHFFFAOYSA-N 1 2 317.408 1.457 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)CCc2cc(F)ccc2F)C1 ZINC001267671980 838617357 /nfs/dbraw/zinc/61/73/57/838617357.db2.gz NKUSRPZRBJQAEU-UHFFFAOYSA-N 1 2 324.371 1.900 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CC(CNC(=O)[C@@H](F)CC)C1 ZINC001267687344 838640783 /nfs/dbraw/zinc/64/07/83/838640783.db2.gz CGBSPBLCLNNSGU-AWEZNQCLSA-N 1 2 313.417 1.207 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cc3n(n2)CCC3)C1 ZINC001267740611 838807795 /nfs/dbraw/zinc/80/77/95/838807795.db2.gz MSDUUWZVEZMTCZ-CQSZACIVSA-N 1 2 300.406 1.323 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc3n(n2)CCC3)C1 ZINC001267740611 838807807 /nfs/dbraw/zinc/80/78/07/838807807.db2.gz MSDUUWZVEZMTCZ-CQSZACIVSA-N 1 2 300.406 1.323 20 30 DDEDLO C=CCOCCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2ccon2)C1 ZINC001267758796 838876456 /nfs/dbraw/zinc/87/64/56/838876456.db2.gz FDUGNPCGMKFACZ-OAHLLOKOSA-N 1 2 307.394 1.690 20 30 DDEDLO C=CCOCCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccon2)C1 ZINC001267758796 838876474 /nfs/dbraw/zinc/87/64/74/838876474.db2.gz FDUGNPCGMKFACZ-OAHLLOKOSA-N 1 2 307.394 1.690 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)[C@H]1CC[N@H+](Cc2ncc(C)o2)C1 ZINC001267786170 838975730 /nfs/dbraw/zinc/97/57/30/838975730.db2.gz ZICRMNGXOQWIFL-WMLDXEAASA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)[C@H]1CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001267786170 838975735 /nfs/dbraw/zinc/97/57/35/838975735.db2.gz ZICRMNGXOQWIFL-WMLDXEAASA-N 1 2 321.421 1.733 20 30 DDEDLO CC[C@@H]1CCC[C@@]1(C)C(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001268241399 839880315 /nfs/dbraw/zinc/88/03/15/839880315.db2.gz ZWCWTEBOTFLVMK-RHSMWYFYSA-N 1 2 322.453 1.233 20 30 DDEDLO CC[C@@H]1CCC[C@@]1(C)C(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001268241399 839880326 /nfs/dbraw/zinc/88/03/26/839880326.db2.gz ZWCWTEBOTFLVMK-RHSMWYFYSA-N 1 2 322.453 1.233 20 30 DDEDLO CCc1noc([C@H](C)[NH2+]C[C@H](C)NC(=O)C#CC(C)(C)C)n1 ZINC001268685418 840665472 /nfs/dbraw/zinc/66/54/72/840665472.db2.gz BOECFOYXFCEPBC-RYUDHWBXSA-N 1 2 306.410 1.837 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@@H]1CCCCN(C)C1=O ZINC001268752101 840755159 /nfs/dbraw/zinc/75/51/59/840755159.db2.gz MOLXQEAWMDWEEB-STQMWFEESA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@@H]1CCCCN(C)C1=O ZINC001268752101 840755169 /nfs/dbraw/zinc/75/51/69/840755169.db2.gz MOLXQEAWMDWEEB-STQMWFEESA-N 1 2 315.845 1.434 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1C[NH+](CC(=O)NC(C)(C)CC)C1 ZINC001268874758 840929910 /nfs/dbraw/zinc/92/99/10/840929910.db2.gz XHAFTXDUFNFXTB-SFHVURJKSA-N 1 2 323.481 1.942 20 30 DDEDLO N#CCSCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCc1ccns1 ZINC001268926341 841004969 /nfs/dbraw/zinc/00/49/69/841004969.db2.gz AZIPCUCHXXPROP-RYUDHWBXSA-N 1 2 322.459 1.227 20 30 DDEDLO N#CCSCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCc1ccns1 ZINC001268926341 841004976 /nfs/dbraw/zinc/00/49/76/841004976.db2.gz AZIPCUCHXXPROP-RYUDHWBXSA-N 1 2 322.459 1.227 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCn1cc(Cl)cn1 ZINC001268929465 841009363 /nfs/dbraw/zinc/00/93/63/841009363.db2.gz APZOALXVPUOZQH-KBPBESRZSA-N 1 2 308.813 1.788 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCn1cc(Cl)cn1 ZINC001268929465 841009370 /nfs/dbraw/zinc/00/93/70/841009370.db2.gz APZOALXVPUOZQH-KBPBESRZSA-N 1 2 308.813 1.788 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2[nH]ccc21 ZINC001268969985 841066999 /nfs/dbraw/zinc/06/69/99/841066999.db2.gz RWOIPCJHYIKTFJ-GJZGRUSLSA-N 1 2 323.396 1.716 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2[nH]ccc21 ZINC001268969985 841067004 /nfs/dbraw/zinc/06/70/04/841067004.db2.gz RWOIPCJHYIKTFJ-GJZGRUSLSA-N 1 2 323.396 1.716 20 30 DDEDLO C=CC[C@@H](C)C(=O)N1CC2(C1)C[NH+](Cc1cccc(=O)[nH]1)C2 ZINC001268967952 841070816 /nfs/dbraw/zinc/07/08/16/841070816.db2.gz HIPOPCNBELYFIZ-CYBMUJFWSA-N 1 2 301.390 1.644 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2C[N@H+](COCCOC)C[C@H]21 ZINC001268985594 841087095 /nfs/dbraw/zinc/08/70/95/841087095.db2.gz UGPJMXSVLGAREJ-LSDHHAIUSA-N 1 2 310.438 1.742 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2C[N@@H+](COCCOC)C[C@H]21 ZINC001268985594 841087082 /nfs/dbraw/zinc/08/70/82/841087082.db2.gz UGPJMXSVLGAREJ-LSDHHAIUSA-N 1 2 310.438 1.742 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001269009858 841105806 /nfs/dbraw/zinc/10/58/06/841105806.db2.gz PBPTVPYLJCTKHW-KRWDZBQOSA-N 1 2 316.376 1.570 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001269009858 841105808 /nfs/dbraw/zinc/10/58/08/841105808.db2.gz PBPTVPYLJCTKHW-KRWDZBQOSA-N 1 2 316.376 1.570 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H]1CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001269233088 841397125 /nfs/dbraw/zinc/39/71/25/841397125.db2.gz DIVBJIJJMASXTB-CABCVRRESA-N 1 2 300.406 1.874 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H]1CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001269233088 841397137 /nfs/dbraw/zinc/39/71/37/841397137.db2.gz DIVBJIJJMASXTB-CABCVRRESA-N 1 2 300.406 1.874 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)C1(NC(C)=O)CCCCC1 ZINC001269255645 841431228 /nfs/dbraw/zinc/43/12/28/841431228.db2.gz RQOJLJPVJQOUMJ-OAHLLOKOSA-N 1 2 305.422 1.039 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)C1(NC(C)=O)CCCCC1 ZINC001269255645 841431233 /nfs/dbraw/zinc/43/12/33/841431233.db2.gz RQOJLJPVJQOUMJ-OAHLLOKOSA-N 1 2 305.422 1.039 20 30 DDEDLO C=CC1(CC(=O)NC[C@@H]2CC[N@H+]2[C@@H](C)C(N)=O)CCCCC1 ZINC001269320782 841514659 /nfs/dbraw/zinc/51/46/59/841514659.db2.gz JDVATRYFPOYNAF-KBPBESRZSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CC1(CC(=O)NC[C@@H]2CC[N@@H+]2[C@@H](C)C(N)=O)CCCCC1 ZINC001269320782 841514670 /nfs/dbraw/zinc/51/46/70/841514670.db2.gz JDVATRYFPOYNAF-KBPBESRZSA-N 1 2 307.438 1.577 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H](C)n1cnc2ccccc21 ZINC001269327059 841522667 /nfs/dbraw/zinc/52/26/67/841522667.db2.gz ROJNRUKRMDVRNX-CABCVRRESA-N 1 2 310.401 1.811 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H](C)n1cnc2ccccc21 ZINC001269327059 841522672 /nfs/dbraw/zinc/52/26/72/841522672.db2.gz ROJNRUKRMDVRNX-CABCVRRESA-N 1 2 310.401 1.811 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)COc1ccc(F)c(F)c1 ZINC001269356738 841561491 /nfs/dbraw/zinc/56/14/91/841561491.db2.gz UQQMYHVOVAZWBO-LBPRGKRZSA-N 1 2 308.328 1.557 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)COc1ccc(F)c(F)c1 ZINC001269356738 841561494 /nfs/dbraw/zinc/56/14/94/841561494.db2.gz UQQMYHVOVAZWBO-LBPRGKRZSA-N 1 2 308.328 1.557 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1([NH2+][C@@H](C)c2ncccn2)CC1 ZINC001269401295 841608491 /nfs/dbraw/zinc/60/84/91/841608491.db2.gz AMYJMSGBCHVEOW-WFASDCNBSA-N 1 2 304.394 1.103 20 30 DDEDLO CC[C@@H](CNC(=O)C#CC1CC1)[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001269402089 841609939 /nfs/dbraw/zinc/60/99/39/841609939.db2.gz ITSAVJRXQLHSIW-ZDUSSCGKSA-N 1 2 318.421 1.765 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCCN2C(=O)Cc1c[nH+]c[nH]1 ZINC001269568760 841775316 /nfs/dbraw/zinc/77/53/16/841775316.db2.gz DBZQIPWRKXUXSB-KRWDZBQOSA-N 1 2 316.405 1.512 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)cc1 ZINC001269744120 841997962 /nfs/dbraw/zinc/99/79/62/841997962.db2.gz ULOHQLXREHCFNA-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)cc1 ZINC001269744120 841997970 /nfs/dbraw/zinc/99/79/70/841997970.db2.gz ULOHQLXREHCFNA-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO C#CCN(C(=O)[C@@H](C)C#N)C1CC[NH+](Cc2ncccn2)CC1 ZINC001270295454 842462516 /nfs/dbraw/zinc/46/25/16/842462516.db2.gz AJHTYCVIIGZLPR-AWEZNQCLSA-N 1 2 311.389 1.062 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)CCOCC(F)(F)F)C1 ZINC001270659223 842786568 /nfs/dbraw/zinc/78/65/68/842786568.db2.gz VXMRCDPHTFTIJD-LBPRGKRZSA-N 1 2 324.343 1.349 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)CCOCC(F)(F)F)C1 ZINC001270659223 842786576 /nfs/dbraw/zinc/78/65/76/842786576.db2.gz VXMRCDPHTFTIJD-LBPRGKRZSA-N 1 2 324.343 1.349 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC[C@@]1(C)CCCN(CC#N)C1 ZINC001270973801 843100774 /nfs/dbraw/zinc/10/07/74/843100774.db2.gz GVRJGVFCHPIMPX-MRXNPFEDSA-N 1 2 303.410 1.095 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCN(C(=O)CCC#N)CC2)cc1 ZINC001143197007 861409570 /nfs/dbraw/zinc/40/95/70/861409570.db2.gz LTSGKWQCJNYVNB-UHFFFAOYSA-N 1 2 315.373 1.421 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1COCC[N@@H+]1CCc1ccccc1 ZINC001280406180 843702668 /nfs/dbraw/zinc/70/26/68/843702668.db2.gz KIOKEPSKNCXIQA-SFHVURJKSA-N 1 2 312.413 1.460 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1COCC[N@H+]1CCc1ccccc1 ZINC001280406180 843702670 /nfs/dbraw/zinc/70/26/70/843702670.db2.gz KIOKEPSKNCXIQA-SFHVURJKSA-N 1 2 312.413 1.460 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccncn1 ZINC001326658384 861516826 /nfs/dbraw/zinc/51/68/26/861516826.db2.gz ITLVIXWSKJAMPS-OAHLLOKOSA-N 1 2 318.421 1.654 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccncn1 ZINC001326658384 861516829 /nfs/dbraw/zinc/51/68/29/861516829.db2.gz ITLVIXWSKJAMPS-OAHLLOKOSA-N 1 2 318.421 1.654 20 30 DDEDLO CC[C@H](C)N1C(=O)COCC12C[NH+](Cc1cccc(C#N)c1)C2 ZINC001272646107 846459745 /nfs/dbraw/zinc/45/97/45/846459745.db2.gz LXSDNEBNVPKJCY-AWEZNQCLSA-N 1 2 313.401 1.770 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001409914264 846589895 /nfs/dbraw/zinc/58/98/95/846589895.db2.gz LPUVUBWYGNRKAK-GWCFXTLKSA-N 1 2 301.818 1.090 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001299254935 846782699 /nfs/dbraw/zinc/78/26/99/846782699.db2.gz UDERMQGNFITZSF-RYUDHWBXSA-N 1 2 304.394 1.175 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)C[N@H+](CCOCC(F)F)CCO1 ZINC001107802143 847130892 /nfs/dbraw/zinc/13/08/92/847130892.db2.gz KAIFCESSMYDEAP-OAHLLOKOSA-N 1 2 320.380 1.441 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)C[N@@H+](CCOCC(F)F)CCO1 ZINC001107802143 847130897 /nfs/dbraw/zinc/13/08/97/847130897.db2.gz KAIFCESSMYDEAP-OAHLLOKOSA-N 1 2 320.380 1.441 20 30 DDEDLO CCCCN1C(=O)COCC12C[NH+](Cc1ccc(C#N)cc1)C2 ZINC001272907524 847618000 /nfs/dbraw/zinc/61/80/00/847618000.db2.gz HIIOFWTZEJBRDD-UHFFFAOYSA-N 1 2 313.401 1.772 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](C[C@@H](C)c3ccccc3)C[C@@]2(F)C1=O ZINC001273079255 847877290 /nfs/dbraw/zinc/87/72/90/847877290.db2.gz ORAAAVBPWVCYQW-FHLIZLRMSA-N 1 2 318.367 1.998 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](C[C@@H](C)c3ccccc3)C[C@@]2(F)C1=O ZINC001273079255 847877296 /nfs/dbraw/zinc/87/72/96/847877296.db2.gz ORAAAVBPWVCYQW-FHLIZLRMSA-N 1 2 318.367 1.998 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001079874964 848709627 /nfs/dbraw/zinc/70/96/27/848709627.db2.gz NYTHISDJQBTLAM-ZYHUDNBSSA-N 1 2 308.813 1.699 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001079874964 848709633 /nfs/dbraw/zinc/70/96/33/848709633.db2.gz NYTHISDJQBTLAM-ZYHUDNBSSA-N 1 2 308.813 1.699 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2Cc2cn3ccncc3n2)C1=O ZINC001273208863 848777276 /nfs/dbraw/zinc/77/72/76/848777276.db2.gz WWQZFAAKABNBES-QGZVFWFLSA-N 1 2 311.389 1.482 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2Cc2cn3ccncc3n2)C1=O ZINC001273208863 848777280 /nfs/dbraw/zinc/77/72/80/848777280.db2.gz WWQZFAAKABNBES-QGZVFWFLSA-N 1 2 311.389 1.482 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cnc(OC)s2)C1=O ZINC001273220749 848803072 /nfs/dbraw/zinc/80/30/72/848803072.db2.gz AQSRYXZYFLUGDT-OAHLLOKOSA-N 1 2 305.403 1.352 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cnc(OC)s2)C1=O ZINC001273220749 848803074 /nfs/dbraw/zinc/80/30/74/848803074.db2.gz AQSRYXZYFLUGDT-OAHLLOKOSA-N 1 2 305.403 1.352 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C2(COC)CC2)C1 ZINC001149965909 861995317 /nfs/dbraw/zinc/99/53/17/861995317.db2.gz DBACAJFZXDYCEV-CYBMUJFWSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C2(COC)CC2)C1 ZINC001149965909 861995327 /nfs/dbraw/zinc/99/53/27/861995327.db2.gz DBACAJFZXDYCEV-CYBMUJFWSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1cccc(F)c1O)C2 ZINC001273303437 849373046 /nfs/dbraw/zinc/37/30/46/849373046.db2.gz BAOCEOSSPPSYHH-UHFFFAOYSA-N 1 2 320.364 1.521 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc(CCC)cc1)C2 ZINC001273363537 849682753 /nfs/dbraw/zinc/68/27/53/849682753.db2.gz MXUSLCATOBPKMT-UHFFFAOYSA-N 1 2 312.413 1.686 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H](OCC)C(=C)C)CO2 ZINC001327396075 862137732 /nfs/dbraw/zinc/13/77/32/862137732.db2.gz DNQLSOISNUVWHM-CVEARBPZSA-N 1 2 322.449 1.893 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1COC2(C[NH+](CCOCC)C2)C1 ZINC001327405265 862144226 /nfs/dbraw/zinc/14/42/26/862144226.db2.gz HNDUGWOAKMNASP-GOEBONIOSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](F)C(C)C)CO2 ZINC001327406392 862145830 /nfs/dbraw/zinc/14/58/30/862145830.db2.gz MRDSGQJWNLPODA-KGLIPLIRSA-N 1 2 314.401 1.143 20 30 DDEDLO C=CCCC[N@H+]1C[C@]2(F)CN(COCCOC)C(=O)[C@]2(F)C1 ZINC001273487904 851021629 /nfs/dbraw/zinc/02/16/29/851021629.db2.gz UYWWQKHQCQVYIC-LSDHHAIUSA-N 1 2 318.364 1.148 20 30 DDEDLO C=CCCC[N@@H+]1C[C@]2(F)CN(COCCOC)C(=O)[C@]2(F)C1 ZINC001273487904 851021637 /nfs/dbraw/zinc/02/16/37/851021637.db2.gz UYWWQKHQCQVYIC-LSDHHAIUSA-N 1 2 318.364 1.148 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H](C)C(F)(F)F)O2 ZINC001273662309 851197967 /nfs/dbraw/zinc/19/79/67/851197967.db2.gz OCESQUSVFGLBHP-WDEREUQCSA-N 1 2 306.328 1.720 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CCC2(C[NH+](CCOCC)C2)O1 ZINC001273661946 851198415 /nfs/dbraw/zinc/19/84/15/851198415.db2.gz KIEZCGPFMPDKNF-HNNXBMFYSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cocc1C)CO2 ZINC001273712803 851255545 /nfs/dbraw/zinc/25/55/45/851255545.db2.gz IKUDSARBGDJPHT-CQSZACIVSA-N 1 2 320.389 1.364 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](Cc3cccc(C)c3O)C[C@@]2(F)C1=O ZINC001273769743 851321425 /nfs/dbraw/zinc/32/14/25/851321425.db2.gz LMDVDMBOHIPTPL-DLBZAZTESA-N 1 2 320.339 1.408 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](Cc3cccc(C)c3O)C[C@@]2(F)C1=O ZINC001273769743 851321435 /nfs/dbraw/zinc/32/14/35/851321435.db2.gz LMDVDMBOHIPTPL-DLBZAZTESA-N 1 2 320.339 1.408 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@H+]2CCS(=O)(=O)CC)C1=O ZINC001273838046 851406448 /nfs/dbraw/zinc/40/64/48/851406448.db2.gz JMZSXRQCPPACHZ-HNNXBMFYSA-N 1 2 314.451 1.064 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@@H+]2CCS(=O)(=O)CC)C1=O ZINC001273838046 851406458 /nfs/dbraw/zinc/40/64/58/851406458.db2.gz JMZSXRQCPPACHZ-HNNXBMFYSA-N 1 2 314.451 1.064 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](C[C@H](O)CCCCC)C2)OCC1=O ZINC001273878793 851448957 /nfs/dbraw/zinc/44/89/57/851448957.db2.gz RWXDJVOWYBNBLR-OAHLLOKOSA-N 1 2 310.438 1.417 20 30 DDEDLO C#CCNC(=O)[C@H]1CC12CC[NH+](Cc1nnc(C)s1)CC2 ZINC001274522475 852360999 /nfs/dbraw/zinc/36/09/99/852360999.db2.gz VNCYNKIINURPPE-GFCCVEGCSA-N 1 2 304.419 1.198 20 30 DDEDLO C[N@@H+]1CCC12CN(C(=O)c1cc(Br)cc(C#N)c1)C2 ZINC001275512989 853258989 /nfs/dbraw/zinc/25/89/89/853258989.db2.gz INBAKLYWPSUWTI-UHFFFAOYSA-N 1 2 320.190 1.851 20 30 DDEDLO C[N@H+]1CCC12CN(C(=O)c1cc(Br)cc(C#N)c1)C2 ZINC001275512989 853258993 /nfs/dbraw/zinc/25/89/93/853258993.db2.gz INBAKLYWPSUWTI-UHFFFAOYSA-N 1 2 320.190 1.851 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@H]2CCCCC2(F)F)C1 ZINC001276069907 854702540 /nfs/dbraw/zinc/70/25/40/854702540.db2.gz UEZQNYQOTVHIOS-CYBMUJFWSA-N 1 2 314.376 1.388 20 30 DDEDLO CCCC[C@@H](C[NH2+]CC#CCOC)NC(=O)c1[nH]nc(C)c1C ZINC001276250026 855049374 /nfs/dbraw/zinc/04/93/74/855049374.db2.gz JWLCNJAQDFGQGC-HNNXBMFYSA-N 1 2 320.437 1.555 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([C@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001111223935 855196324 /nfs/dbraw/zinc/19/63/24/855196324.db2.gz UCKNFVXYRYSJQP-ZFWWWQNUSA-N 1 2 316.405 1.474 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072800997 857717178 /nfs/dbraw/zinc/71/71/78/857717178.db2.gz JIMCDUGLWJQLAX-YPMHNXCESA-N 1 2 304.394 1.128 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccn(C)c2)C1 ZINC001073568468 858447377 /nfs/dbraw/zinc/44/73/77/858447377.db2.gz UASQSDWZTPQTGW-CQSZACIVSA-N 1 2 311.813 1.598 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccn(C)c2)C1 ZINC001073568468 858447379 /nfs/dbraw/zinc/44/73/79/858447379.db2.gz UASQSDWZTPQTGW-CQSZACIVSA-N 1 2 311.813 1.598 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)OC(C)(C)C)n2CC)CC1 ZINC001121707276 858577616 /nfs/dbraw/zinc/57/76/16/858577616.db2.gz SNMNRUDXGFCDQV-CQSZACIVSA-N 1 2 319.453 1.929 20 30 DDEDLO C=CCn1c(N2CC=CCC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121707632 858578033 /nfs/dbraw/zinc/57/80/33/858578033.db2.gz AJKHXFOKCPKXNN-CJNGLKHVSA-N 1 2 303.410 1.142 20 30 DDEDLO C=CCn1c(N2CC=CCC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121707632 858578036 /nfs/dbraw/zinc/57/80/36/858578036.db2.gz AJKHXFOKCPKXNN-CJNGLKHVSA-N 1 2 303.410 1.142 20 30 DDEDLO C=CCn1c(N2CCC[C@H](C)C2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121708064 858578931 /nfs/dbraw/zinc/57/89/31/858578931.db2.gz GTBRXJUHLWXZCX-VBQJREDUSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N2CCC[C@H](C)C2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121708064 858578941 /nfs/dbraw/zinc/57/89/41/858578941.db2.gz GTBRXJUHLWXZCX-VBQJREDUSA-N 1 2 319.453 1.612 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](CO)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001122157990 858699011 /nfs/dbraw/zinc/69/90/11/858699011.db2.gz DHSKCPMFCGRXOA-CQSZACIVSA-N 1 2 318.421 1.908 20 30 DDEDLO C#CCCC[N@@H+]1CCc2n[nH]c(C(=O)N3CCCCO3)c2C1 ZINC001276981117 881449169 /nfs/dbraw/zinc/44/91/69/881449169.db2.gz PNOBXAFLNRXQNK-UHFFFAOYSA-N 1 2 302.378 1.349 20 30 DDEDLO C#CCCC[N@H+]1CCc2n[nH]c(C(=O)N3CCCCO3)c2C1 ZINC001276981117 881449183 /nfs/dbraw/zinc/44/91/83/881449183.db2.gz PNOBXAFLNRXQNK-UHFFFAOYSA-N 1 2 302.378 1.349 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CC(N3CCOC(C)(C)C3)C2)cc1 ZINC001138557357 860128676 /nfs/dbraw/zinc/12/86/76/860128676.db2.gz IHATZUCLEUUCKW-UHFFFAOYSA-N 1 2 314.429 1.994 20 30 DDEDLO C#CCOc1ccc(CN2CC([N@H+]3CCOC(C)(C)C3)C2)cc1 ZINC001138557357 860128678 /nfs/dbraw/zinc/12/86/78/860128678.db2.gz IHATZUCLEUUCKW-UHFFFAOYSA-N 1 2 314.429 1.994 20 30 DDEDLO C#CCOc1ccc(CN2CC([N@@H+]3CCOC(C)(C)C3)C2)cc1 ZINC001138557357 860128683 /nfs/dbraw/zinc/12/86/83/860128683.db2.gz IHATZUCLEUUCKW-UHFFFAOYSA-N 1 2 314.429 1.994 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001139531091 860427739 /nfs/dbraw/zinc/42/77/39/860427739.db2.gz KYTMLHAEBZGWDB-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001139531091 860427742 /nfs/dbraw/zinc/42/77/42/860427742.db2.gz KYTMLHAEBZGWDB-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO C=CCn1cc(C[N@@H+]2Cc3ncn(C)c3[C@@H](COC)C2)cn1 ZINC001139769516 860476194 /nfs/dbraw/zinc/47/61/94/860476194.db2.gz GXFWUHPDOUAARD-CQSZACIVSA-N 1 2 301.394 1.548 20 30 DDEDLO C=CCn1cc(C[N@H+]2Cc3ncn(C)c3[C@@H](COC)C2)cn1 ZINC001139769516 860476197 /nfs/dbraw/zinc/47/61/97/860476197.db2.gz GXFWUHPDOUAARD-CQSZACIVSA-N 1 2 301.394 1.548 20 30 DDEDLO CCOC(=O)C1(C#N)CC[NH+](Cc2c(C)nn(C)c2C)CC1 ZINC001203063513 860692742 /nfs/dbraw/zinc/69/27/42/860692742.db2.gz JVDAXUYFEDVZFB-UHFFFAOYSA-N 1 2 304.394 1.706 20 30 DDEDLO C[C@@]1(CO)C[N@H+](Cc2sc(N)c(C#N)c2Cl)CC[C@H]1O ZINC001141107554 860787997 /nfs/dbraw/zinc/78/79/97/860787997.db2.gz YYUGKZOIIBYFKZ-MFKMUULPSA-N 1 2 315.826 1.421 20 30 DDEDLO C[C@@]1(CO)C[N@@H+](Cc2sc(N)c(C#N)c2Cl)CC[C@H]1O ZINC001141107554 860788002 /nfs/dbraw/zinc/78/80/02/860788002.db2.gz YYUGKZOIIBYFKZ-MFKMUULPSA-N 1 2 315.826 1.421 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(OC3CCOCC3)cc2)CC1 ZINC001141414472 860848837 /nfs/dbraw/zinc/84/88/37/860848837.db2.gz HSNQQJANJKANHC-UHFFFAOYSA-N 1 2 314.429 1.995 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1ncnn1CC ZINC001325877767 860914149 /nfs/dbraw/zinc/91/41/49/860914149.db2.gz CVWXBVAKRFRSCV-LSDHHAIUSA-N 1 2 317.437 1.830 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1ncnn1CC ZINC001325877767 860914153 /nfs/dbraw/zinc/91/41/53/860914153.db2.gz CVWXBVAKRFRSCV-LSDHHAIUSA-N 1 2 317.437 1.830 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)c1cc2cc(C#N)ccc2[nH]1 ZINC001156461426 863072102 /nfs/dbraw/zinc/07/21/02/863072102.db2.gz NCZGSHDYMMSICL-UHFFFAOYSA-N 1 2 323.356 1.812 20 30 DDEDLO C=C[C@@H](CC(=O)NCC[NH2+]Cc1cnon1)c1ccccc1 ZINC001151947214 863072042 /nfs/dbraw/zinc/07/20/42/863072042.db2.gz UOLNGFNLSUIGBW-ZDUSSCGKSA-N 1 2 300.362 1.635 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1cccc2c(C#N)c[nH]c21 ZINC001157173972 863633093 /nfs/dbraw/zinc/63/30/93/863633093.db2.gz TZABJZBTKJPHAO-LBPRGKRZSA-N 1 2 312.373 1.490 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1cccc2c(C#N)c[nH]c21 ZINC001157173972 863633099 /nfs/dbraw/zinc/63/30/99/863633099.db2.gz TZABJZBTKJPHAO-LBPRGKRZSA-N 1 2 312.373 1.490 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@H+](C)Cc1snnc1C ZINC001329522456 863710744 /nfs/dbraw/zinc/71/07/44/863710744.db2.gz GZUWUSBLULQVKW-GFCCVEGCSA-N 1 2 308.451 1.977 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@@H+](C)Cc1snnc1C ZINC001329522456 863710746 /nfs/dbraw/zinc/71/07/46/863710746.db2.gz GZUWUSBLULQVKW-GFCCVEGCSA-N 1 2 308.451 1.977 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001153159070 863738655 /nfs/dbraw/zinc/73/86/55/863738655.db2.gz HHHIOZBWYOSQTO-LLVKDONJSA-N 1 2 310.398 1.164 20 30 DDEDLO CC(C)(C)c1nc(C[NH2+]CCCNC(=O)C#CC2CC2)no1 ZINC001157699569 864059817 /nfs/dbraw/zinc/05/98/17/864059817.db2.gz VAPDUDAJHQYYPQ-UHFFFAOYSA-N 1 2 304.394 1.376 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H](C)[N@H+](CC)Cc2ncccn2)CCC1 ZINC001153776664 864091811 /nfs/dbraw/zinc/09/18/11/864091811.db2.gz OZSOMHQPDQLVQB-OAHLLOKOSA-N 1 2 314.433 1.997 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2ncccn2)CCC1 ZINC001153776664 864091823 /nfs/dbraw/zinc/09/18/23/864091823.db2.gz OZSOMHQPDQLVQB-OAHLLOKOSA-N 1 2 314.433 1.997 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2cc(OC)no2)CC1(C)C ZINC001330185561 864136775 /nfs/dbraw/zinc/13/67/75/864136775.db2.gz UIUMKSDWRHPVCH-CYBMUJFWSA-N 1 2 323.393 1.212 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2cc(OC)no2)CC1(C)C ZINC001330185561 864136779 /nfs/dbraw/zinc/13/67/79/864136779.db2.gz UIUMKSDWRHPVCH-CYBMUJFWSA-N 1 2 323.393 1.212 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ccon1 ZINC001157875960 864211836 /nfs/dbraw/zinc/21/18/36/864211836.db2.gz FVXIEVGJBSVQSK-WBVHZDCISA-N 1 2 321.421 1.815 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ccon1 ZINC001157875960 864211846 /nfs/dbraw/zinc/21/18/46/864211846.db2.gz FVXIEVGJBSVQSK-WBVHZDCISA-N 1 2 321.421 1.815 20 30 DDEDLO C#CC[N@@H+](C)C[C@H]1CCCCN1C(=O)c1cccc2nn[nH]c21 ZINC001158005263 864351973 /nfs/dbraw/zinc/35/19/73/864351973.db2.gz LIXNRPMWLVATOE-CYBMUJFWSA-N 1 2 311.389 1.518 20 30 DDEDLO C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)c1cccc2nn[nH]c21 ZINC001158005263 864351985 /nfs/dbraw/zinc/35/19/85/864351985.db2.gz LIXNRPMWLVATOE-CYBMUJFWSA-N 1 2 311.389 1.518 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2nc(C)c(Cl)c(C)c2C#N)C[NH2+]1 ZINC001158254503 864515936 /nfs/dbraw/zinc/51/59/36/864515936.db2.gz TVHGMYMATWNJFF-KOLCDFICSA-N 1 2 308.769 1.539 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(c3ncnc4[nH]cc(C#N)c43)C2)C[C@H](C)O1 ZINC001158718389 864850589 /nfs/dbraw/zinc/85/05/89/864850589.db2.gz NXQLMWIINLCALK-PHIMTYICSA-N 1 2 312.377 1.127 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(c3ncnc4[nH]cc(C#N)c43)C2)C[C@H](C)O1 ZINC001158718389 864850600 /nfs/dbraw/zinc/85/06/00/864850600.db2.gz NXQLMWIINLCALK-PHIMTYICSA-N 1 2 312.377 1.127 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2ccc(N3CCCC3)cc2)CC1 ZINC001331526391 865137187 /nfs/dbraw/zinc/13/71/87/865137187.db2.gz XGJXCNQPCRTUDS-UHFFFAOYSA-N 1 2 312.417 1.845 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCCNc1nccc(NC2CC2)n1 ZINC001159918877 865596353 /nfs/dbraw/zinc/59/63/53/865596353.db2.gz JCUHLACDTAFDRR-ZDUSSCGKSA-N 1 2 319.409 1.690 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1([NH2+][C@H](C)c2ncc(C)o2)CC1 ZINC001277055385 881911493 /nfs/dbraw/zinc/91/14/93/881911493.db2.gz OWPAFIZRPKGALA-CHWSQXEVSA-N 1 2 305.378 1.321 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+]1Cc1cc(CO)ccc1F ZINC001323198784 866423743 /nfs/dbraw/zinc/42/37/43/866423743.db2.gz KBYLHUXMGQLEAW-OAHLLOKOSA-N 1 2 306.381 1.975 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+]1Cc1cc(CO)ccc1F ZINC001323198784 866423746 /nfs/dbraw/zinc/42/37/46/866423746.db2.gz KBYLHUXMGQLEAW-OAHLLOKOSA-N 1 2 306.381 1.975 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@@H]1CNC(=O)c1snnc1C1CC1 ZINC001323245503 866468092 /nfs/dbraw/zinc/46/80/92/866468092.db2.gz GEJXLXQOKAUYEK-GFCCVEGCSA-N 1 2 322.434 1.422 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@@H]1CNC(=O)c1snnc1C1CC1 ZINC001323245503 866468100 /nfs/dbraw/zinc/46/81/00/866468100.db2.gz GEJXLXQOKAUYEK-GFCCVEGCSA-N 1 2 322.434 1.422 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H](C)Oc1cccc(C)c1 ZINC001323349700 866554930 /nfs/dbraw/zinc/55/49/30/866554930.db2.gz AQWKKBSBEAPGIE-HOTGVXAUSA-N 1 2 300.402 1.976 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H](C)Oc1cccc(C)c1 ZINC001323349700 866554938 /nfs/dbraw/zinc/55/49/38/866554938.db2.gz AQWKKBSBEAPGIE-HOTGVXAUSA-N 1 2 300.402 1.976 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)CC[NH2+][C@H](C)c1nc(C)no1 ZINC001320722551 866980118 /nfs/dbraw/zinc/98/01/18/866980118.db2.gz NWBFYVSPMIFDAM-IAQYHMDHSA-N 1 2 310.398 1.204 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](Cc2cn3ccnc3s2)CC1 ZINC001333823227 867048164 /nfs/dbraw/zinc/04/81/64/867048164.db2.gz QKUKWEDRONWXCF-UHFFFAOYSA-N 1 2 324.413 1.985 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNC(F)(F)c1nc(Cl)ccc1C#N ZINC001161950998 867133721 /nfs/dbraw/zinc/13/37/21/867133721.db2.gz YRDUYGCKIQRLGJ-MRVPVSSYSA-N 1 2 318.711 1.136 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccnc(C(F)(F)F)n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225835147 882061670 /nfs/dbraw/zinc/06/16/70/882061670.db2.gz IDTDKEOBBSYIDX-ZTEPNEHBSA-N 1 2 301.268 1.487 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccnc(C(F)(F)F)n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225835147 882061685 /nfs/dbraw/zinc/06/16/85/882061685.db2.gz IDTDKEOBBSYIDX-ZTEPNEHBSA-N 1 2 301.268 1.487 20 30 DDEDLO C#CC[NH+]1CCC(CNC(=O)c2[nH]nc(C)c2C)(COC)CC1 ZINC001162176111 867319085 /nfs/dbraw/zinc/31/90/85/867319085.db2.gz KCVRLHYETKBJBE-UHFFFAOYSA-N 1 2 318.421 1.118 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@]1(C)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001324609179 867403362 /nfs/dbraw/zinc/40/33/62/867403362.db2.gz URVIYZKGRJDQBU-JKSUJKDBSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@]1(C)CC[N@H+](Cc2cc(C)on2)C1 ZINC001324609179 867403368 /nfs/dbraw/zinc/40/33/68/867403368.db2.gz URVIYZKGRJDQBU-JKSUJKDBSA-N 1 2 307.394 1.391 20 30 DDEDLO Cc1cc(CNC(=O)C(=O)N2CCC[C@H](C#N)C2)cc(C)[nH+]1 ZINC001334310948 867475075 /nfs/dbraw/zinc/47/50/75/867475075.db2.gz ZOZRJISBHNJCRD-CYBMUJFWSA-N 1 2 300.362 1.077 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC/C=C\C[NH2+]Cc1nocc1C ZINC001321362623 867526912 /nfs/dbraw/zinc/52/69/12/867526912.db2.gz BSCGTDMAKFIJPN-SREVYHEPSA-N 1 2 323.396 1.969 20 30 DDEDLO N#Cc1ccc2cc[nH+]c(NCCN3CCCS3(=O)=O)c2c1 ZINC001162778877 867815990 /nfs/dbraw/zinc/81/59/90/867815990.db2.gz FWERRGXKWWLSBH-UHFFFAOYSA-N 1 2 316.386 1.554 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]([NH3+])CNc1ccc2[nH]c(C#N)cc2n1 ZINC001162854010 867877168 /nfs/dbraw/zinc/87/71/68/867877168.db2.gz HOOINJMFWFZIBI-JTQLQIEISA-N 1 2 301.350 1.515 20 30 DDEDLO CSc1nc2[nH]ccc2c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)n1 ZINC001226024723 882167974 /nfs/dbraw/zinc/16/79/74/882167974.db2.gz RRHJCEUVPRGFAU-ZQLNZSQWSA-N 1 2 318.402 1.671 20 30 DDEDLO CSc1nc2[nH]ccc2c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)n1 ZINC001226024723 882167977 /nfs/dbraw/zinc/16/79/77/882167977.db2.gz RRHJCEUVPRGFAU-ZQLNZSQWSA-N 1 2 318.402 1.671 20 30 DDEDLO C#Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)cc1 ZINC001336589436 869034340 /nfs/dbraw/zinc/03/43/40/869034340.db2.gz BZXVDTBBPXHOON-MRXNPFEDSA-N 1 2 322.368 1.667 20 30 DDEDLO CC#CCCCC(=O)NCCC[NH2+]Cc1noc(C2CC2)n1 ZINC001164268454 869075295 /nfs/dbraw/zinc/07/52/95/869075295.db2.gz HAQRDGNKLIVLHX-UHFFFAOYSA-N 1 2 304.394 1.737 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001164324206 869129379 /nfs/dbraw/zinc/12/93/79/869129379.db2.gz QVEMIEBIXNRAGW-CYBMUJFWSA-N 1 2 324.425 1.457 20 30 DDEDLO C#CCOCCNC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001337706685 869602572 /nfs/dbraw/zinc/60/25/72/869602572.db2.gz FBZSLIGNRYLMIJ-INIZCTEOSA-N 1 2 301.390 1.202 20 30 DDEDLO C#CCOCCNC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001337706685 869602576 /nfs/dbraw/zinc/60/25/76/869602576.db2.gz FBZSLIGNRYLMIJ-INIZCTEOSA-N 1 2 301.390 1.202 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001165929531 869770477 /nfs/dbraw/zinc/77/04/77/869770477.db2.gz LQRBDXOUNWGIEL-MRXNPFEDSA-N 1 2 324.425 1.457 20 30 DDEDLO CC[C@@H](CNC(=O)C#CC(C)C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001297391148 870028959 /nfs/dbraw/zinc/02/89/59/870028959.db2.gz IVBZHYXDCDYZEL-ZDUSSCGKSA-N 1 2 304.394 1.013 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C1 ZINC001316976299 870037795 /nfs/dbraw/zinc/03/77/95/870037795.db2.gz LOWXQNIWCIEHLU-ZBFHGGJFSA-N 1 2 307.369 1.238 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001316976299 870037804 /nfs/dbraw/zinc/03/78/04/870037804.db2.gz LOWXQNIWCIEHLU-ZBFHGGJFSA-N 1 2 307.369 1.238 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@H](CNC(=O)C#CC(C)(C)C)C2CC2)no1 ZINC001317011523 870117754 /nfs/dbraw/zinc/11/77/54/870117754.db2.gz BLOSTLOFQMTRPQ-BXUZGUMPSA-N 1 2 318.421 1.973 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)/C=C(/C)CC ZINC001317043382 870156317 /nfs/dbraw/zinc/15/63/17/870156317.db2.gz RFFLQPAWNNANKT-MBFUGGCFSA-N 1 2 317.433 1.156 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)/C=C(/C)CC ZINC001317043382 870156323 /nfs/dbraw/zinc/15/63/23/870156323.db2.gz RFFLQPAWNNANKT-MBFUGGCFSA-N 1 2 317.433 1.156 20 30 DDEDLO C[C@@H](CC(=O)N1CCC[C@@H](CN(C)CC#N)C1)n1cc[nH+]c1 ZINC001317044380 870158114 /nfs/dbraw/zinc/15/81/14/870158114.db2.gz QWHWSBMDOQHURK-GJZGRUSLSA-N 1 2 303.410 1.528 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[C@H]1NC(=O)CCc1c[nH]c[nH+]1 ZINC001298129334 870301864 /nfs/dbraw/zinc/30/18/64/870301864.db2.gz RFYCCHYIRKGPTD-DZGCQCFKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[C@H]1NC(=O)CCc1c[nH+]c[nH]1 ZINC001298129334 870301888 /nfs/dbraw/zinc/30/18/88/870301888.db2.gz RFYCCHYIRKGPTD-DZGCQCFKSA-N 1 2 318.421 1.710 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H]([C@@H](C)NC(=O)c2[nH]ncc2F)C1 ZINC001317211218 870478349 /nfs/dbraw/zinc/47/83/49/870478349.db2.gz XIWJCVHUSPXJQN-OLZOCXBDSA-N 1 2 322.384 1.029 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H]([C@@H](C)NC(=O)c2[nH]ncc2F)C1 ZINC001317211218 870478356 /nfs/dbraw/zinc/47/83/56/870478356.db2.gz XIWJCVHUSPXJQN-OLZOCXBDSA-N 1 2 322.384 1.029 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC/C=C\CNC(=O)Cc1c[nH+]cn1C ZINC001298481903 870572392 /nfs/dbraw/zinc/57/23/92/870572392.db2.gz CXFVCBNJSWYPOE-LAYDCRAFSA-N 1 2 318.421 1.209 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)NC(=O)c1cccc2[nH+]ccn21 ZINC001298647047 870657448 /nfs/dbraw/zinc/65/74/48/870657448.db2.gz RVYIEMIUVQMXNQ-CYBMUJFWSA-N 1 2 312.373 1.372 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001317376339 870736881 /nfs/dbraw/zinc/73/68/81/870736881.db2.gz PKJOUWKVSOPVEK-INIZCTEOSA-N 1 2 319.405 1.446 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001317376339 870736885 /nfs/dbraw/zinc/73/68/85/870736885.db2.gz PKJOUWKVSOPVEK-INIZCTEOSA-N 1 2 319.405 1.446 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nccn2C)CC1 ZINC001226455260 882440506 /nfs/dbraw/zinc/44/05/06/882440506.db2.gz DMEWUKGJBFSLML-AWEZNQCLSA-N 1 2 320.437 1.482 20 30 DDEDLO C[C@@H](CCNC(=O)c1c[nH]c(C#N)c1)[NH2+]CC(F)(F)C(F)F ZINC001317388866 870753544 /nfs/dbraw/zinc/75/35/44/870753544.db2.gz IPFXYRMCDWPBHI-QMMMGPOBSA-N 1 2 320.290 1.885 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)[C@@H]1CCSC1 ZINC001339880092 870755693 /nfs/dbraw/zinc/75/56/93/870755693.db2.gz KMMOXVYRSUSWJQ-GFCCVEGCSA-N 1 2 318.450 1.730 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@@]3(C)C[C@H](O)C[N@@H+]3C)n2CC)C1 ZINC001340022114 870846653 /nfs/dbraw/zinc/84/66/53/870846653.db2.gz WVRRZLZCLFQBQX-JKIFEVAISA-N 1 2 317.437 1.059 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@@]3(C)C[C@H](O)C[N@H+]3C)n2CC)C1 ZINC001340022114 870846658 /nfs/dbraw/zinc/84/66/58/870846658.db2.gz WVRRZLZCLFQBQX-JKIFEVAISA-N 1 2 317.437 1.059 20 30 DDEDLO C=CCCOCCNC(=O)N1CCC(c2c[nH+]cn2C)CC1 ZINC001340032676 870851319 /nfs/dbraw/zinc/85/13/19/870851319.db2.gz DVGGYDYTZNYTQA-UHFFFAOYSA-N 1 2 306.410 1.902 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCSC[C@@H]1C ZINC001340375700 871066431 /nfs/dbraw/zinc/06/64/31/871066431.db2.gz OPAHCMHWEADUFX-XQQFMLRXSA-N 1 2 323.466 1.143 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCSC[C@@H]1C ZINC001340375700 871066438 /nfs/dbraw/zinc/06/64/38/871066438.db2.gz OPAHCMHWEADUFX-XQQFMLRXSA-N 1 2 323.466 1.143 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)/C=C\CC)n2CC)CC1 ZINC001340829470 871376095 /nfs/dbraw/zinc/37/60/95/871376095.db2.gz KXWQPPAIEOKACR-WBTMPAOCSA-N 1 2 303.410 1.053 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2C[N@@H+]3CCCC[C@H]3CO2)n1CC ZINC001341208728 871559755 /nfs/dbraw/zinc/55/97/55/871559755.db2.gz BGQASRZGNULEQZ-LSDHHAIUSA-N 1 2 317.437 1.683 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2C[N@H+]3CCCC[C@H]3CO2)n1CC ZINC001341208728 871559777 /nfs/dbraw/zinc/55/97/77/871559777.db2.gz BGQASRZGNULEQZ-LSDHHAIUSA-N 1 2 317.437 1.683 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(OC)o2)C1 ZINC001317947835 871642887 /nfs/dbraw/zinc/64/28/87/871642887.db2.gz FLSKTUQIIAETMA-CYBMUJFWSA-N 1 2 306.362 1.132 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(OC)o2)C1 ZINC001317947835 871642904 /nfs/dbraw/zinc/64/29/04/871642904.db2.gz FLSKTUQIIAETMA-CYBMUJFWSA-N 1 2 306.362 1.132 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H](C)C2CCCC2)C1 ZINC001317950151 871647469 /nfs/dbraw/zinc/64/74/69/871647469.db2.gz UUIKJHHWUDCTFN-GDBMZVCRSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)C2CCCC2)C1 ZINC001317950151 871647473 /nfs/dbraw/zinc/64/74/73/871647473.db2.gz UUIKJHHWUDCTFN-GDBMZVCRSA-N 1 2 319.449 1.143 20 30 DDEDLO C=C(C)Cn1c(N2CC=CCC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001341739603 871800363 /nfs/dbraw/zinc/80/03/63/871800363.db2.gz LFNQBYDUXRTFQY-WMLDXEAASA-N 1 2 317.437 1.532 20 30 DDEDLO C=C(C)Cn1c(N2CC=CCC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001341739603 871800383 /nfs/dbraw/zinc/80/03/83/871800383.db2.gz LFNQBYDUXRTFQY-WMLDXEAASA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nnc(C)o2)C[C@H]1C ZINC001206614665 872478377 /nfs/dbraw/zinc/47/83/77/872478377.db2.gz ZSVIFWYTZSAYRJ-NTZNESFSSA-N 1 2 308.382 1.078 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nnc(C)o2)C[C@H]1C ZINC001206614665 872478398 /nfs/dbraw/zinc/47/83/98/872478398.db2.gz ZSVIFWYTZSAYRJ-NTZNESFSSA-N 1 2 308.382 1.078 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)Cc2ccc(OC)cc2)C1 ZINC001319330742 872582543 /nfs/dbraw/zinc/58/25/43/872582543.db2.gz UHBQFHVQNGMWRJ-QGZVFWFLSA-N 1 2 318.417 1.631 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)Cc2ccc(OC)cc2)C1 ZINC001319330742 872582556 /nfs/dbraw/zinc/58/25/56/872582556.db2.gz UHBQFHVQNGMWRJ-QGZVFWFLSA-N 1 2 318.417 1.631 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001206914544 872759130 /nfs/dbraw/zinc/75/91/30/872759130.db2.gz JDTGILNDMUCOBK-KFNAQCHYSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001206914544 872759138 /nfs/dbraw/zinc/75/91/38/872759138.db2.gz JDTGILNDMUCOBK-KFNAQCHYSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2ncccn2)C[C@H]1C ZINC001206957520 872845634 /nfs/dbraw/zinc/84/56/34/872845634.db2.gz BXWGSXNTCRFUMP-RBSFLKMASA-N 1 2 316.405 1.014 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2ncccn2)C[C@H]1C ZINC001206957520 872845649 /nfs/dbraw/zinc/84/56/49/872845649.db2.gz BXWGSXNTCRFUMP-RBSFLKMASA-N 1 2 316.405 1.014 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@]2(CC)CCNC2=O)C1 ZINC001207611737 873417119 /nfs/dbraw/zinc/41/71/19/873417119.db2.gz XLKAQZYNRZWFIP-HCKVZZMMSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@]2(CC)CCNC2=O)C1 ZINC001207611737 873417115 /nfs/dbraw/zinc/41/71/15/873417115.db2.gz XLKAQZYNRZWFIP-HCKVZZMMSA-N 1 2 313.829 1.092 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001207683402 873473996 /nfs/dbraw/zinc/47/39/96/873473996.db2.gz ARRVATJEZYTLTQ-CYBMUJFWSA-N 1 2 322.409 1.476 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001346102226 873613908 /nfs/dbraw/zinc/61/39/08/873613908.db2.gz LGKSLPQJWPBDIQ-PBHICJAKSA-N 1 2 319.453 1.612 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC1CC1 ZINC001346102226 873613910 /nfs/dbraw/zinc/61/39/10/873613910.db2.gz LGKSLPQJWPBDIQ-PBHICJAKSA-N 1 2 319.453 1.612 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H]([NH2+][C@H](C)c2nc(C)no2)C1 ZINC001208028453 873769309 /nfs/dbraw/zinc/76/93/09/873769309.db2.gz FBGTZAHVLJVOBI-OCCSQVGLSA-N 1 2 320.393 1.060 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)n(C)n2)C[C@H]1C ZINC001208371209 874074179 /nfs/dbraw/zinc/07/41/79/874074179.db2.gz KBVFUIKZZQFCOD-RHSMWYFYSA-N 1 2 316.449 1.859 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)n(C)n2)C[C@H]1C ZINC001208371209 874074196 /nfs/dbraw/zinc/07/41/96/874074196.db2.gz KBVFUIKZZQFCOD-RHSMWYFYSA-N 1 2 316.449 1.859 20 30 DDEDLO Cc1cc2c(ncnc2O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)s1 ZINC001227055306 882798789 /nfs/dbraw/zinc/79/87/89/882798789.db2.gz IHILTZAXUYCZLP-DERRMCRCSA-N 1 2 303.387 1.991 20 30 DDEDLO Cc1cc2c(ncnc2O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)s1 ZINC001227055306 882798793 /nfs/dbraw/zinc/79/87/93/882798793.db2.gz IHILTZAXUYCZLP-DERRMCRCSA-N 1 2 303.387 1.991 20 30 DDEDLO C[C@@H](CCC[NH2+]Cc1noc(C2CC2)n1)NC(=O)C#CC1CC1 ZINC001277186642 882894057 /nfs/dbraw/zinc/89/40/57/882894057.db2.gz JRAHDQJLRMQPBL-LBPRGKRZSA-N 1 2 316.405 1.735 20 30 DDEDLO C=C1CC(C)(C(=O)NCCNC(=O)c2cccc3[nH+]ccn32)C1 ZINC001349317688 875108389 /nfs/dbraw/zinc/10/83/89/875108389.db2.gz ATKDOLPCFRTJDO-UHFFFAOYSA-N 1 2 312.373 1.537 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)CCCC(=O)N(C)C)C1 ZINC001378582784 875171184 /nfs/dbraw/zinc/17/11/84/875171184.db2.gz NDENKTZCHZVDHC-GFCCVEGCSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)COCc2cccnc2)C1 ZINC001378583128 875171304 /nfs/dbraw/zinc/17/13/04/875171304.db2.gz BFFBODPYJDRIJH-CYBMUJFWSA-N 1 2 323.824 1.787 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NC[C@@H](CO)[C@@H]1CCOC1 ZINC001350480469 875714515 /nfs/dbraw/zinc/71/45/15/875714515.db2.gz SLNSDQWMFZKPBQ-CABCVRRESA-N 1 2 304.390 1.931 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)CCC1 ZINC001350608470 875784103 /nfs/dbraw/zinc/78/41/03/875784103.db2.gz OFMFONJZBJFHGW-CYBMUJFWSA-N 1 2 316.405 1.416 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001211420505 875799891 /nfs/dbraw/zinc/79/98/91/875799891.db2.gz IIWKFHNOABQYIS-TZMCWYRMSA-N 1 2 323.462 1.981 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001211420505 875799896 /nfs/dbraw/zinc/79/98/96/875799896.db2.gz IIWKFHNOABQYIS-TZMCWYRMSA-N 1 2 323.462 1.981 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001211425212 875801487 /nfs/dbraw/zinc/80/14/87/875801487.db2.gz IYUWSPZRGBCRQB-KWCYVHTRSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001211425212 875801493 /nfs/dbraw/zinc/80/14/93/875801493.db2.gz IYUWSPZRGBCRQB-KWCYVHTRSA-N 1 2 307.394 1.511 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)c1 ZINC001277199147 882968162 /nfs/dbraw/zinc/96/81/62/882968162.db2.gz MAWVQIHXONSRNZ-UHFFFAOYSA-N 1 2 322.372 1.631 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213258402 875863211 /nfs/dbraw/zinc/86/32/11/875863211.db2.gz OKIPQNHCJROCML-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213258402 875863220 /nfs/dbraw/zinc/86/32/20/875863220.db2.gz OKIPQNHCJROCML-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001351109719 876063002 /nfs/dbraw/zinc/06/30/02/876063002.db2.gz VSTOZLGSRYZFHR-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N1CCCCC1 ZINC001351722753 876401067 /nfs/dbraw/zinc/40/10/67/876401067.db2.gz HNAPJFQLZRJCGG-UHFFFAOYSA-N 1 2 319.453 1.659 20 30 DDEDLO C#CCC1(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3nnc(C)o3)C2)CCC1 ZINC001214830132 876474206 /nfs/dbraw/zinc/47/42/06/876474206.db2.gz RWPFKQIEAKRDCD-TZMCWYRMSA-N 1 2 316.405 1.508 20 30 DDEDLO CCC(CC)C(=O)NC[C@@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001379368083 876933525 /nfs/dbraw/zinc/93/35/25/876933525.db2.gz SWZZCGRJRFHRTD-INIZCTEOSA-N 1 2 321.396 1.700 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001352834631 876961564 /nfs/dbraw/zinc/96/15/64/876961564.db2.gz LACANMRLFSMEPD-KBPBESRZSA-N 1 2 304.394 1.518 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001352834638 876961761 /nfs/dbraw/zinc/96/17/61/876961761.db2.gz LACANMRLFSMEPD-ZIAGYGMSSA-N 1 2 304.394 1.518 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](OCC)C3CCCC3)[C@H]2C1 ZINC001218388578 877470784 /nfs/dbraw/zinc/47/07/84/877470784.db2.gz HJHMXJIKTHETEO-BBWFWOEESA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](OCC)C3CCCC3)[C@H]2C1 ZINC001218388578 877470788 /nfs/dbraw/zinc/47/07/88/877470788.db2.gz HJHMXJIKTHETEO-BBWFWOEESA-N 1 2 320.433 1.127 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2OCCN(C(=O)CC(C)=C(C)C)[C@H]2C1 ZINC001218462519 877491158 /nfs/dbraw/zinc/49/11/58/877491158.db2.gz ONCYHGWGOCGOSL-DLBZAZTESA-N 1 2 320.433 1.294 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2OCCN(C(=O)CC(C)=C(C)C)[C@H]2C1 ZINC001218462519 877491172 /nfs/dbraw/zinc/49/11/72/877491172.db2.gz ONCYHGWGOCGOSL-DLBZAZTESA-N 1 2 320.433 1.294 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001353796349 877572142 /nfs/dbraw/zinc/57/21/42/877572142.db2.gz JRLRMZYGALJOFP-AEGPPILISA-N 1 2 318.421 1.708 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001353796349 877572156 /nfs/dbraw/zinc/57/21/56/877572156.db2.gz JRLRMZYGALJOFP-AEGPPILISA-N 1 2 318.421 1.708 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)CCC3CC3)[C@@H](O)C2)s1 ZINC001219399331 878179296 /nfs/dbraw/zinc/17/92/96/878179296.db2.gz ULPLLXMYBXMZSN-CABCVRRESA-N 1 2 319.430 1.471 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)CCC3CC3)[C@@H](O)C2)s1 ZINC001219399331 878179300 /nfs/dbraw/zinc/17/93/00/878179300.db2.gz ULPLLXMYBXMZSN-CABCVRRESA-N 1 2 319.430 1.471 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@@H]1O ZINC001219481896 878266658 /nfs/dbraw/zinc/26/66/58/878266658.db2.gz BFOATQWRHDBYFD-SJORKVTESA-N 1 2 318.392 1.681 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@@H]1O ZINC001219481896 878266677 /nfs/dbraw/zinc/26/66/77/878266677.db2.gz BFOATQWRHDBYFD-SJORKVTESA-N 1 2 318.392 1.681 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001355227131 878470283 /nfs/dbraw/zinc/47/02/83/878470283.db2.gz OYQYOJAAKCSGLE-UONOGXRCSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1O ZINC001220076157 878691066 /nfs/dbraw/zinc/69/10/66/878691066.db2.gz RZJHCGQUVRKFNE-BOACSIHPSA-N 1 2 316.829 1.129 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1O ZINC001220076157 878691069 /nfs/dbraw/zinc/69/10/69/878691069.db2.gz RZJHCGQUVRKFNE-BOACSIHPSA-N 1 2 316.829 1.129 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CN(C)C(=O)Cc1c[nH+]ccc1OC ZINC001287649736 912373623 /nfs/dbraw/zinc/37/36/23/912373623.db2.gz XACRBROLCYALPR-ZDUSSCGKSA-N 1 2 319.405 1.562 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(C)CCNC(=O)CCn1cc[nH+]c1 ZINC001355765367 878707566 /nfs/dbraw/zinc/70/75/66/878707566.db2.gz GVDBGDDJKCKBPB-KGLIPLIRSA-N 1 2 306.410 1.306 20 30 DDEDLO O=C(CCCF)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001220160897 878762531 /nfs/dbraw/zinc/76/25/31/878762531.db2.gz MIMIJWDGRNMUSV-CVEARBPZSA-N 1 2 322.355 1.088 20 30 DDEDLO O=C(CCCF)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001220160897 878762542 /nfs/dbraw/zinc/76/25/42/878762542.db2.gz MIMIJWDGRNMUSV-CVEARBPZSA-N 1 2 322.355 1.088 20 30 DDEDLO C#CCN(CC#CC)c1nnc(C[NH+]2CCCC2)n1CC(=C)C ZINC001355967754 878800550 /nfs/dbraw/zinc/80/05/50/878800550.db2.gz NPSLARWZPOFHKN-UHFFFAOYSA-N 1 2 311.433 1.913 20 30 DDEDLO O=C(C[C@H]1CCOC1)NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001276811549 878835592 /nfs/dbraw/zinc/83/55/92/878835592.db2.gz XFSQAPVRVBRRPF-MSOLQXFVSA-N 1 2 312.413 1.655 20 30 DDEDLO O=C(C[C@H]1CCOC1)NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001276811549 878835603 /nfs/dbraw/zinc/83/56/03/878835603.db2.gz XFSQAPVRVBRRPF-MSOLQXFVSA-N 1 2 312.413 1.655 20 30 DDEDLO C=C1CC(C)(C(=O)N(CCNC(=O)Cn2cc[nH+]c2)C2CC2)C1 ZINC001356634401 879143742 /nfs/dbraw/zinc/14/37/42/879143742.db2.gz SUZDNOONZBKCHP-UHFFFAOYSA-N 1 2 316.405 1.347 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCN(C)C(=O)/C(C)=C\C)CC1 ZINC001356699078 879200194 /nfs/dbraw/zinc/20/01/94/879200194.db2.gz SYSRRVDZVFAUIV-SOFYXZRVSA-N 1 2 319.449 1.359 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C3CCCC3)[C@@H]2C1 ZINC001221217942 879581035 /nfs/dbraw/zinc/58/10/35/879581035.db2.gz WUMNQFIBVJDOGD-HUUCEWRRSA-N 1 2 305.422 1.012 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C3CCCC3)[C@@H]2C1 ZINC001221217942 879581049 /nfs/dbraw/zinc/58/10/49/879581049.db2.gz WUMNQFIBVJDOGD-HUUCEWRRSA-N 1 2 305.422 1.012 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](CNC(=O)Cc1[nH]cc[nH+]1)C(C)C ZINC001357114794 879803947 /nfs/dbraw/zinc/80/39/47/879803947.db2.gz AZSPLJOZINRRRF-GFCCVEGCSA-N 1 2 306.410 1.421 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](O)(CNC(=O)c2cccs2)C1 ZINC001380516012 879832220 /nfs/dbraw/zinc/83/22/20/879832220.db2.gz VQXBZIMYCGRLNN-CYBMUJFWSA-N 1 2 300.811 1.667 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](O)(CNC(=O)c2cccs2)C1 ZINC001380516012 879832237 /nfs/dbraw/zinc/83/22/37/879832237.db2.gz VQXBZIMYCGRLNN-CYBMUJFWSA-N 1 2 300.811 1.667 20 30 DDEDLO C[C@H](CC(=O)N(C)CCN(C)C(=O)C#CC1CC1)n1cc[nH+]c1 ZINC001357215739 879862587 /nfs/dbraw/zinc/86/25/87/879862587.db2.gz DXYRZHQLVNRBBB-CQSZACIVSA-N 1 2 316.405 1.164 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](O)(CNC(=O)[C@H]2C[C@@H]2C)C1 ZINC001380547876 879916830 /nfs/dbraw/zinc/91/68/30/879916830.db2.gz BPGJGVYLCFCJOX-XHVZSJERSA-N 1 2 317.227 1.104 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](O)(CNC(=O)[C@H]2C[C@@H]2C)C1 ZINC001380547876 879916847 /nfs/dbraw/zinc/91/68/47/879916847.db2.gz BPGJGVYLCFCJOX-XHVZSJERSA-N 1 2 317.227 1.104 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC(C)(C)CN(C)C(=O)[C@@H](C)C#N ZINC001380589895 879997561 /nfs/dbraw/zinc/99/75/61/879997561.db2.gz QCSHYBOBSJHNOO-NSHDSACASA-N 1 2 319.409 1.021 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@]3(C)C=CCC3)[C@@H]2C1 ZINC001221740215 880041193 /nfs/dbraw/zinc/04/11/93/880041193.db2.gz ONMCMUDWUUACSU-IIDMSEBBSA-N 1 2 317.433 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@]3(C)C=CCC3)[C@@H]2C1 ZINC001221740215 880041208 /nfs/dbraw/zinc/04/12/08/880041208.db2.gz ONMCMUDWUUACSU-IIDMSEBBSA-N 1 2 317.433 1.178 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCC)C[C@H]21 ZINC001221754606 880057622 /nfs/dbraw/zinc/05/76/22/880057622.db2.gz QZEZHTRKWNGZQS-HZPDHXFCSA-N 1 2 319.449 1.239 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCC)C[C@H]21 ZINC001221754606 880057634 /nfs/dbraw/zinc/05/76/34/880057634.db2.gz QZEZHTRKWNGZQS-HZPDHXFCSA-N 1 2 319.449 1.239 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001222072907 880220161 /nfs/dbraw/zinc/22/01/61/880220161.db2.gz MWQOXNOOMGFHCN-QWHCGFSZSA-N 1 2 322.409 1.304 20 30 DDEDLO C=C(C)CCC(=O)NC/C=C/CNC(=O)Cc1c[nH+]cn1C ZINC001357879127 880238175 /nfs/dbraw/zinc/23/81/75/880238175.db2.gz TVMXEPCSLJOVCC-SNAWJCMRSA-N 1 2 304.394 1.108 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](Cc2nc(COC)no2)CC1 ZINC001222579048 880572936 /nfs/dbraw/zinc/57/29/36/880572936.db2.gz NKVOQQXOVIAGBM-UHFFFAOYSA-N 1 2 322.409 1.510 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](Cc2ncnn2CCC)CC1 ZINC001222578941 880574032 /nfs/dbraw/zinc/57/40/32/880574032.db2.gz LPLDRGQBQASWTE-UHFFFAOYSA-N 1 2 319.453 1.983 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCC[N@H+](C)[C@@H](C)c1nc(C2CC2)no1 ZINC001380946763 880715528 /nfs/dbraw/zinc/71/55/28/880715528.db2.gz GFODIGFJLVHSDU-RYUDHWBXSA-N 1 2 319.409 1.948 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCC[N@@H+](C)[C@@H](C)c1nc(C2CC2)no1 ZINC001380946763 880715538 /nfs/dbraw/zinc/71/55/38/880715538.db2.gz GFODIGFJLVHSDU-RYUDHWBXSA-N 1 2 319.409 1.948 20 30 DDEDLO C#CCCCC(=O)NCC1CC[NH+](Cc2nnc(C)s2)CC1 ZINC001222987002 880773483 /nfs/dbraw/zinc/77/34/83/880773483.db2.gz FXDAVYGOASCWDR-UHFFFAOYSA-N 1 2 320.462 1.978 20 30 DDEDLO C#CCCCC(=O)NCC1CC[NH+](Cc2nc(CC)no2)CC1 ZINC001223012033 880781609 /nfs/dbraw/zinc/78/16/09/880781609.db2.gz AEJXOQIAXAEGCA-UHFFFAOYSA-N 1 2 318.421 1.764 20 30 DDEDLO C=CCCC(=O)N(C)C1CN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001358722170 880802003 /nfs/dbraw/zinc/80/20/03/880802003.db2.gz UDFFWMJZYWMJLL-CHWSQXEVSA-N 1 2 302.378 1.149 20 30 DDEDLO C=CCCC(=O)N(C)C1CN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001358722170 880802021 /nfs/dbraw/zinc/80/20/21/880802021.db2.gz UDFFWMJZYWMJLL-CHWSQXEVSA-N 1 2 302.378 1.149 20 30 DDEDLO CCc1nnc(C[NH+]2CCC(CNC(=O)C#CC(C)C)CC2)o1 ZINC001223114714 880836448 /nfs/dbraw/zinc/83/64/48/880836448.db2.gz QCFSGHBHHYDZGD-UHFFFAOYSA-N 1 2 318.421 1.620 20 30 DDEDLO C#CCN(C(C)=O)C1CCN(C(=O)c2cccc3[nH+]ccn32)CC1 ZINC001358754191 880870783 /nfs/dbraw/zinc/87/07/83/880870783.db2.gz QVJVBFWOVPATFC-UHFFFAOYSA-N 1 2 324.384 1.421 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@H+]1Cc1ccnn1C ZINC001276897955 880997417 /nfs/dbraw/zinc/99/74/17/880997417.db2.gz AQQKLZKNPORGNJ-HNNXBMFYSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@@H+]1Cc1ccnn1C ZINC001276897955 880997420 /nfs/dbraw/zinc/99/74/20/880997420.db2.gz AQQKLZKNPORGNJ-HNNXBMFYSA-N 1 2 302.422 1.694 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@H]1CCN(C2CCC2)C1=O ZINC001276915569 881077436 /nfs/dbraw/zinc/07/74/36/881077436.db2.gz CRPZLPRCYUYARD-HOTGVXAUSA-N 1 2 319.449 1.687 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@H]1CCN(C2CCC2)C1=O ZINC001276915569 881077451 /nfs/dbraw/zinc/07/74/51/881077451.db2.gz CRPZLPRCYUYARD-HOTGVXAUSA-N 1 2 319.449 1.687 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)C2(C)CC(=C)C2)C1=O ZINC001276923528 881109514 /nfs/dbraw/zinc/10/95/14/881109514.db2.gz JQSUDYBEECCYMK-LSDHHAIUSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)C2(C)CC(=C)C2)C1=O ZINC001276923528 881109517 /nfs/dbraw/zinc/10/95/17/881109517.db2.gz JQSUDYBEECCYMK-LSDHHAIUSA-N 1 2 317.433 1.320 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccn3)n2[C@@H]2C[C@H]2C)CC1 ZINC001359033526 881162799 /nfs/dbraw/zinc/16/27/99/881162799.db2.gz FUSMREVXHXFAOG-GDBMZVCRSA-N 1 2 322.416 1.676 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC1CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001224165006 881249288 /nfs/dbraw/zinc/24/92/88/881249288.db2.gz DVFMZGJIBDMTJG-OLZOCXBDSA-N 1 2 319.453 1.900 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001288056892 912701197 /nfs/dbraw/zinc/70/11/97/912701197.db2.gz RXCIYNJAQZGHIL-OLZOCXBDSA-N 1 2 318.421 1.566 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)nc1C ZINC001228705145 883631460 /nfs/dbraw/zinc/63/14/60/883631460.db2.gz HWMATCJHVUTTOV-HJTUNCCVSA-N 1 2 304.346 1.168 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)nc1C ZINC001228705145 883631467 /nfs/dbraw/zinc/63/14/67/883631467.db2.gz HWMATCJHVUTTOV-HJTUNCCVSA-N 1 2 304.346 1.168 20 30 DDEDLO N#CC1(NC(=O)[C@H]2[C@@H]3CC[C@@H](C3)[C@H]2[NH2+]Cc2ccn[nH]2)CCC1 ZINC001277341695 883732657 /nfs/dbraw/zinc/73/26/57/883732657.db2.gz KLTGMZPDHYJUSC-PAPYEOQZSA-N 1 2 313.405 1.476 20 30 DDEDLO C=CCCCNC(=S)N1CC2(C1)C[NH+](Cc1ccc[nH]1)C2 ZINC001277402767 884075508 /nfs/dbraw/zinc/07/55/08/884075508.db2.gz QTPNRIGADOWLGH-UHFFFAOYSA-N 1 2 304.463 1.973 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2nc(C)no2)CC1 ZINC001230414792 884479899 /nfs/dbraw/zinc/47/98/99/884479899.db2.gz GLBCFQVLPYIXJR-NWDGAFQWSA-N 1 2 322.409 1.611 20 30 DDEDLO CC[C@H](OC)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231103818 885227896 /nfs/dbraw/zinc/22/78/96/885227896.db2.gz SPELVCTYGXQVCE-MSOLQXFVSA-N 1 2 314.429 1.996 20 30 DDEDLO CC[C@H](OC)C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231103818 885227917 /nfs/dbraw/zinc/22/79/17/885227917.db2.gz SPELVCTYGXQVCE-MSOLQXFVSA-N 1 2 314.429 1.996 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC1CCCC1 ZINC001231122806 885255425 /nfs/dbraw/zinc/25/54/25/885255425.db2.gz OGFFKKRBVMSWDK-OAHLLOKOSA-N 1 2 307.438 1.544 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC1CCCC1 ZINC001231122806 885255436 /nfs/dbraw/zinc/25/54/36/885255436.db2.gz OGFFKKRBVMSWDK-OAHLLOKOSA-N 1 2 307.438 1.544 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231246123 885426957 /nfs/dbraw/zinc/42/69/57/885426957.db2.gz CWIHESGJXBNBLK-YPMHNXCESA-N 1 2 304.394 1.738 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231246123 885426964 /nfs/dbraw/zinc/42/69/64/885426964.db2.gz CWIHESGJXBNBLK-YPMHNXCESA-N 1 2 304.394 1.738 20 30 DDEDLO CCc1ocnc1C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001231357714 885546955 /nfs/dbraw/zinc/54/69/55/885546955.db2.gz ANUNANSRSFCHJX-ZDUSSCGKSA-N 1 2 305.378 1.033 20 30 DDEDLO CCc1ocnc1C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001231357714 885546962 /nfs/dbraw/zinc/54/69/62/885546962.db2.gz ANUNANSRSFCHJX-ZDUSSCGKSA-N 1 2 305.378 1.033 20 30 DDEDLO C=CCCC[N@H+]1Cc2ccnn2CC[C@@H]1C(=O)NCC(F)F ZINC001277758498 886777316 /nfs/dbraw/zinc/77/73/16/886777316.db2.gz NPOMWNYENLZLOU-CYBMUJFWSA-N 1 2 312.364 1.805 20 30 DDEDLO C=CCCC[N@@H+]1Cc2ccnn2CC[C@@H]1C(=O)NCC(F)F ZINC001277758498 886777321 /nfs/dbraw/zinc/77/73/21/886777321.db2.gz NPOMWNYENLZLOU-CYBMUJFWSA-N 1 2 312.364 1.805 20 30 DDEDLO C#CC[NH+]1CCN(Cc2nc(C)sc2Br)CC1 ZINC001233155313 886816788 /nfs/dbraw/zinc/81/67/88/886816788.db2.gz AINNXMMEFIPWBX-UHFFFAOYSA-N 1 2 314.252 1.965 20 30 DDEDLO N#Cc1ccc(CN2CCN(CC[NH+]3CCCC3)CC2)c(O)c1 ZINC001233386916 886967261 /nfs/dbraw/zinc/96/72/61/886967261.db2.gz NKBQQQCLBVZMFM-UHFFFAOYSA-N 1 2 314.433 1.477 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(CC[NH+]3CCCC3)CC2)c([O-])c1 ZINC001233386916 886967268 /nfs/dbraw/zinc/96/72/68/886967268.db2.gz NKBQQQCLBVZMFM-UHFFFAOYSA-N 1 2 314.433 1.477 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C(F)=C1CCCC1 ZINC001233683532 887222692 /nfs/dbraw/zinc/22/26/92/887222692.db2.gz RJHXOMYRCKJRDX-HNNXBMFYSA-N 1 2 308.397 1.967 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)C(F)=C1CCCC1 ZINC001233683532 887222707 /nfs/dbraw/zinc/22/27/07/887222707.db2.gz RJHXOMYRCKJRDX-HNNXBMFYSA-N 1 2 308.397 1.967 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1cnn(C)c1 ZINC001233785445 887324298 /nfs/dbraw/zinc/32/42/98/887324298.db2.gz ZLKIIJAOMUXXHH-UHFFFAOYSA-N 1 2 315.215 1.380 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1cnn(C)c1 ZINC001233785445 887324307 /nfs/dbraw/zinc/32/43/07/887324307.db2.gz ZLKIIJAOMUXXHH-UHFFFAOYSA-N 1 2 315.215 1.380 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]1C[NH2+]Cc1nc(C2CC2)no1 ZINC001277822066 887466200 /nfs/dbraw/zinc/46/62/00/887466200.db2.gz DPQZUGDSNQSHQA-ZDUSSCGKSA-N 1 2 320.393 1.230 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cncs1 ZINC001233979352 887520557 /nfs/dbraw/zinc/52/05/57/887520557.db2.gz ZUYSLNCLIVACSV-DOMZBBRYSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cncs1 ZINC001233979352 887520567 /nfs/dbraw/zinc/52/05/67/887520567.db2.gz ZUYSLNCLIVACSV-DOMZBBRYSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1C ZINC001233979295 887520618 /nfs/dbraw/zinc/52/06/18/887520618.db2.gz ZADPKXBDPNUFBV-ZBFHGGJFSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1C ZINC001233979295 887520622 /nfs/dbraw/zinc/52/06/22/887520622.db2.gz ZADPKXBDPNUFBV-ZBFHGGJFSA-N 1 2 307.394 1.343 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)c1cnccn1 ZINC001234247143 887792667 /nfs/dbraw/zinc/79/26/67/887792667.db2.gz XXKIRVNRUFPAEF-HUUCEWRRSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)c1cnccn1 ZINC001234247143 887792682 /nfs/dbraw/zinc/79/26/82/887792682.db2.gz XXKIRVNRUFPAEF-HUUCEWRRSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]1C[NH2+]Cc1nc(COC)no1 ZINC001277845688 887846749 /nfs/dbraw/zinc/84/67/49/887846749.db2.gz MVPPWYOTFDSJJA-ZDUSSCGKSA-N 1 2 320.393 1.100 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001234391769 887931577 /nfs/dbraw/zinc/93/15/77/887931577.db2.gz CZEVVAPEKCELQV-BBWFWOEESA-N 1 2 320.433 1.150 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001234391769 887931583 /nfs/dbraw/zinc/93/15/83/887931583.db2.gz CZEVVAPEKCELQV-BBWFWOEESA-N 1 2 320.433 1.150 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCC(=C)C ZINC001234624300 888150308 /nfs/dbraw/zinc/15/03/08/888150308.db2.gz ASZURLJNCSCXAY-GJZGRUSLSA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCC(=C)C ZINC001234624300 888150315 /nfs/dbraw/zinc/15/03/15/888150315.db2.gz ASZURLJNCSCXAY-GJZGRUSLSA-N 1 2 307.438 1.566 20 30 DDEDLO C[N@@H+]1CCN(C(=O)c2cccc(SCC#N)c2)[C@@H](CO)C1 ZINC001364226628 888176537 /nfs/dbraw/zinc/17/65/37/888176537.db2.gz PYMJPCCEMRUQJZ-CYBMUJFWSA-N 1 2 305.403 1.051 20 30 DDEDLO C[N@H+]1CCN(C(=O)c2cccc(SCC#N)c2)[C@@H](CO)C1 ZINC001364226628 888176544 /nfs/dbraw/zinc/17/65/44/888176544.db2.gz PYMJPCCEMRUQJZ-CYBMUJFWSA-N 1 2 305.403 1.051 20 30 DDEDLO COc1cc(OC)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cc1OC ZINC001234701428 888186268 /nfs/dbraw/zinc/18/62/68/888186268.db2.gz UEKQWULDKRIOAK-IXDOLIHFSA-N 1 2 321.373 1.704 20 30 DDEDLO COc1cc(OC)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cc1OC ZINC001234701428 888186271 /nfs/dbraw/zinc/18/62/71/888186271.db2.gz UEKQWULDKRIOAK-IXDOLIHFSA-N 1 2 321.373 1.704 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)[C@@]1(C)CCOC1 ZINC001374547225 913224416 /nfs/dbraw/zinc/22/44/16/913224416.db2.gz TZXWPTWLMMZMMM-SFHVURJKSA-N 1 2 315.417 1.875 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)[C@@]1(C)CCOC1 ZINC001374547225 913224435 /nfs/dbraw/zinc/22/44/35/913224435.db2.gz TZXWPTWLMMZMMM-SFHVURJKSA-N 1 2 315.417 1.875 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1nccn1C ZINC001235245277 888497628 /nfs/dbraw/zinc/49/76/28/888497628.db2.gz LWCACGHRMZEWRX-CQSZACIVSA-N 1 2 308.426 1.339 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1nccn1C ZINC001235245277 888497633 /nfs/dbraw/zinc/49/76/33/888497633.db2.gz LWCACGHRMZEWRX-CQSZACIVSA-N 1 2 308.426 1.339 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@@H](C)COC ZINC001235651112 888838474 /nfs/dbraw/zinc/83/84/74/888838474.db2.gz RPTUQMVUYVSRMU-JTQLQIEISA-N 1 2 307.232 1.616 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@@H](C)COC ZINC001235651112 888838489 /nfs/dbraw/zinc/83/84/89/888838489.db2.gz RPTUQMVUYVSRMU-JTQLQIEISA-N 1 2 307.232 1.616 20 30 DDEDLO CC(C)(C)OC(=O)NCC1C[NH+](Cc2ccnc(C#N)c2)C1 ZINC001237462300 889646610 /nfs/dbraw/zinc/64/66/10/889646610.db2.gz IRMGKDFDLLUQMS-UHFFFAOYSA-N 1 2 302.378 1.910 20 30 DDEDLO CN(C)C(=O)[C@H]1CCSC12C[NH+](Cc1ccccc1C#N)C2 ZINC001278239496 890242619 /nfs/dbraw/zinc/24/26/19/890242619.db2.gz SHUBCKHVRGWOSZ-OAHLLOKOSA-N 1 2 315.442 1.954 20 30 DDEDLO CC[C@@H](OC)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001365979341 892115566 /nfs/dbraw/zinc/11/55/66/892115566.db2.gz WNTQKLWNAHSXRP-HZPDHXFCSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H](OC)C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001365979341 892115569 /nfs/dbraw/zinc/11/55/69/892115569.db2.gz WNTQKLWNAHSXRP-HZPDHXFCSA-N 1 2 301.390 1.674 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H](C)C#N ZINC001366206871 892738787 /nfs/dbraw/zinc/73/87/87/892738787.db2.gz RHHRLBFDUSSASM-HIFRSBDPSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H](C)C#N ZINC001366206871 892738792 /nfs/dbraw/zinc/73/87/92/892738792.db2.gz RHHRLBFDUSSASM-HIFRSBDPSA-N 1 2 322.453 1.422 20 30 DDEDLO N#Cc1ccn2ncc(C[NH+]3CCN(c4cnccn4)CC3)c2c1 ZINC001249082286 893808253 /nfs/dbraw/zinc/80/82/53/893808253.db2.gz NPBXAYQRGSNILB-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](CC(=O)NCCC)[C@H]2C)C1 ZINC001278522282 894065851 /nfs/dbraw/zinc/06/58/51/894065851.db2.gz WAEJAIIJICTMSM-LSDHHAIUSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](CC(=O)NCCC)[C@H]2C)C1 ZINC001278522282 894065864 /nfs/dbraw/zinc/06/58/64/894065864.db2.gz WAEJAIIJICTMSM-LSDHHAIUSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cnc2c(cnn2C)c1 ZINC001366574858 894112042 /nfs/dbraw/zinc/11/20/42/894112042.db2.gz FJMOSIHXSZMGIO-UHFFFAOYSA-N 1 2 307.785 1.382 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cnc2c(cnn2C)c1 ZINC001366574858 894112057 /nfs/dbraw/zinc/11/20/57/894112057.db2.gz FJMOSIHXSZMGIO-UHFFFAOYSA-N 1 2 307.785 1.382 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H](CC)OC ZINC001366649099 894435025 /nfs/dbraw/zinc/43/50/25/894435025.db2.gz KRQVVKARBSCYLC-NWDGAFQWSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H](CC)OC ZINC001366649099 894435031 /nfs/dbraw/zinc/43/50/31/894435031.db2.gz KRQVVKARBSCYLC-NWDGAFQWSA-N 1 2 319.243 1.901 20 30 DDEDLO CO[C@@H](C)C(=O)NCC[N@H+](Cc1ccccc1C#N)C1CC1 ZINC001366732041 894744984 /nfs/dbraw/zinc/74/49/84/894744984.db2.gz JGKITEGBHSAKFS-ZDUSSCGKSA-N 1 2 301.390 1.674 20 30 DDEDLO CO[C@@H](C)C(=O)NCC[N@@H+](Cc1ccccc1C#N)C1CC1 ZINC001366732041 894745004 /nfs/dbraw/zinc/74/50/04/894745004.db2.gz JGKITEGBHSAKFS-ZDUSSCGKSA-N 1 2 301.390 1.674 20 30 DDEDLO C=CCOC[C@H]1C[N@@H+](C[C@H](C)O)Cc2nnn(CC3CC3)c21 ZINC001251960010 894917665 /nfs/dbraw/zinc/91/76/65/894917665.db2.gz KQBHOWAGNBJNOH-GXTWGEPZSA-N 1 2 306.410 1.171 20 30 DDEDLO C=CCOC[C@H]1C[N@H+](C[C@H](C)O)Cc2nnn(CC3CC3)c21 ZINC001251960010 894917678 /nfs/dbraw/zinc/91/76/78/894917678.db2.gz KQBHOWAGNBJNOH-GXTWGEPZSA-N 1 2 306.410 1.171 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@H]2CN(C(=O)C#CC(C)C)CC2(C)C)n1 ZINC001278640557 894934356 /nfs/dbraw/zinc/93/43/56/894934356.db2.gz SWNMNGGGQKZQJR-OCCSQVGLSA-N 1 2 318.421 1.925 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1C[C@H](O)CCCC ZINC001252086700 895004812 /nfs/dbraw/zinc/00/48/12/895004812.db2.gz QMGOARSPDQDARJ-GDBMZVCRSA-N 1 2 322.453 1.951 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1C[C@H](O)CCCC ZINC001252086700 895004832 /nfs/dbraw/zinc/00/48/32/895004832.db2.gz QMGOARSPDQDARJ-GDBMZVCRSA-N 1 2 322.453 1.951 20 30 DDEDLO C=CCOC[C@@H](O)C[N@@H+]1Cc2nn(CC)cc2[C@H](COCC)C1 ZINC001252463539 895172791 /nfs/dbraw/zinc/17/27/91/895172791.db2.gz QERARWGOUYMBIW-GJZGRUSLSA-N 1 2 323.437 1.402 20 30 DDEDLO C=CCOC[C@@H](O)C[N@H+]1Cc2nn(CC)cc2[C@H](COCC)C1 ZINC001252463539 895172799 /nfs/dbraw/zinc/17/27/99/895172799.db2.gz QERARWGOUYMBIW-GJZGRUSLSA-N 1 2 323.437 1.402 20 30 DDEDLO C=CCOC[C@@H](O)C[NH2+][C@H](C(=O)OCc1ccccc1)C(C)C ZINC001252487578 895205113 /nfs/dbraw/zinc/20/51/13/895205113.db2.gz WDJILNRXAIYDHU-IRXDYDNUSA-N 1 2 321.417 1.908 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1CCOC[C@@H]1CNC(=O)OC(C)(C)C ZINC001252557241 895278946 /nfs/dbraw/zinc/27/89/46/895278946.db2.gz IQXZPBXJZFBHPV-WFASDCNBSA-N 1 2 300.399 1.149 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1CCOC[C@@H]1CNC(=O)OC(C)(C)C ZINC001252557241 895278957 /nfs/dbraw/zinc/27/89/57/895278957.db2.gz IQXZPBXJZFBHPV-WFASDCNBSA-N 1 2 300.399 1.149 20 30 DDEDLO CCC(=O)NCC[NH+]1CCN(Cc2cc(C#N)ccc2F)CC1 ZINC001389398406 897122177 /nfs/dbraw/zinc/12/21/77/897122177.db2.gz GNGFRTFPCSMZTH-UHFFFAOYSA-N 1 2 318.396 1.341 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1c(C)nn(C)c1F ZINC001367547592 897132380 /nfs/dbraw/zinc/13/23/80/897132380.db2.gz PSQYOUQQECUZSQ-UHFFFAOYSA-N 1 2 302.781 1.624 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1c(C)nn(C)c1F ZINC001367547592 897132387 /nfs/dbraw/zinc/13/23/87/897132387.db2.gz PSQYOUQQECUZSQ-UHFFFAOYSA-N 1 2 302.781 1.624 20 30 DDEDLO CCOC(=O)N1CCC([N@@H+]2CCC3SC(=O)C=C3C2)CC1 ZINC001256241792 897334219 /nfs/dbraw/zinc/33/42/19/897334219.db2.gz YCTQGYWVAIPOIF-CYBMUJFWSA-N 1 2 310.419 1.881 20 30 DDEDLO CCOC(=O)N1CCC([N@H+]2CCC3SC(=O)C=C3C2)CC1 ZINC001256241792 897334235 /nfs/dbraw/zinc/33/42/35/897334235.db2.gz YCTQGYWVAIPOIF-CYBMUJFWSA-N 1 2 310.419 1.881 20 30 DDEDLO CCOC(=O)N1CCC([N@@H+]2CC[C@H]3SC(=O)C=C3C2)CC1 ZINC001256241792 897334250 /nfs/dbraw/zinc/33/42/50/897334250.db2.gz YCTQGYWVAIPOIF-CYBMUJFWSA-N 1 2 310.419 1.881 20 30 DDEDLO CCOC(=O)N1CCC([N@H+]2CC[C@H]3SC(=O)C=C3C2)CC1 ZINC001256241792 897334264 /nfs/dbraw/zinc/33/42/64/897334264.db2.gz YCTQGYWVAIPOIF-CYBMUJFWSA-N 1 2 310.419 1.881 20 30 DDEDLO C#CC[C@H]([NH2+][C@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1)C(=O)OC ZINC001256455292 897443846 /nfs/dbraw/zinc/44/38/46/897443846.db2.gz ATCASGGHMIAIFW-IHRRRGAJSA-N 1 2 324.421 1.929 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001256532053 897465680 /nfs/dbraw/zinc/46/56/80/897465680.db2.gz GWVOLJUCWHUDPD-IAGOWNOFSA-N 1 2 315.417 1.327 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001256532053 897465686 /nfs/dbraw/zinc/46/56/86/897465686.db2.gz GWVOLJUCWHUDPD-IAGOWNOFSA-N 1 2 315.417 1.327 20 30 DDEDLO CCOC(=O)CC1CCC([NH2+][C@@H](CS)C(=O)OC)CC1 ZINC001258023930 898022803 /nfs/dbraw/zinc/02/28/03/898022803.db2.gz LNMFFMORWBUDTA-MCIGGMRASA-N 1 2 303.424 1.559 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)COC[C@H]2CCCO2)C1 ZINC001368169825 898884282 /nfs/dbraw/zinc/88/42/82/898884282.db2.gz HIHMRKLSFYCMCR-UKRRQHHQSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)COC[C@H]2CCCO2)C1 ZINC001368169825 898884285 /nfs/dbraw/zinc/88/42/85/898884285.db2.gz HIHMRKLSFYCMCR-UKRRQHHQSA-N 1 2 316.829 1.515 20 30 DDEDLO CC[N@H+](CCNC(=O)[C@@H](C)C#N)Cc1cc(F)ccc1C#N ZINC001390891093 900451180 /nfs/dbraw/zinc/45/11/80/900451180.db2.gz VRRDXYWGTWFTRC-LBPRGKRZSA-N 1 2 302.353 1.795 20 30 DDEDLO CC[N@@H+](CCNC(=O)[C@@H](C)C#N)Cc1cc(F)ccc1C#N ZINC001390891093 900451189 /nfs/dbraw/zinc/45/11/89/900451189.db2.gz VRRDXYWGTWFTRC-LBPRGKRZSA-N 1 2 302.353 1.795 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCCC(C)C ZINC001263812439 900726567 /nfs/dbraw/zinc/72/65/67/900726567.db2.gz NJJRHOVPSZLHTM-CVEARBPZSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCCC(C)C ZINC001263812439 900726575 /nfs/dbraw/zinc/72/65/75/900726575.db2.gz NJJRHOVPSZLHTM-CVEARBPZSA-N 1 2 321.465 1.483 20 30 DDEDLO CC#CC[N@H+](C)CCOCCN(C)C(=O)C(F)C(F)(F)F ZINC001264106204 900938360 /nfs/dbraw/zinc/93/83/60/900938360.db2.gz SBZCDQYJGBRKDI-LLVKDONJSA-N 1 2 312.307 1.317 20 30 DDEDLO CC#CC[N@@H+](C)CCOCCN(C)C(=O)C(F)C(F)(F)F ZINC001264106204 900938368 /nfs/dbraw/zinc/93/83/68/900938368.db2.gz SBZCDQYJGBRKDI-LLVKDONJSA-N 1 2 312.307 1.317 20 30 DDEDLO CC#CC[N@H+](C)CCOCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001264106204 900938380 /nfs/dbraw/zinc/93/83/80/900938380.db2.gz SBZCDQYJGBRKDI-LLVKDONJSA-N 1 2 312.307 1.317 20 30 DDEDLO CC#CC[N@@H+](C)CCOCCN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001264106204 900938392 /nfs/dbraw/zinc/93/83/92/900938392.db2.gz SBZCDQYJGBRKDI-LLVKDONJSA-N 1 2 312.307 1.317 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001265224304 901739434 /nfs/dbraw/zinc/73/94/34/901739434.db2.gz AFWNELTZUSHPCN-VXGBXAGGSA-N 1 2 317.380 1.161 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001265224304 901739442 /nfs/dbraw/zinc/73/94/42/901739442.db2.gz AFWNELTZUSHPCN-VXGBXAGGSA-N 1 2 317.380 1.161 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1C[NH+](Cc2cn(C)nc2C(F)F)C1 ZINC001391477349 901817310 /nfs/dbraw/zinc/81/73/10/901817310.db2.gz QSWINDXFRSNFFP-VIFPVBQESA-N 1 2 311.336 1.065 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265299543 901850569 /nfs/dbraw/zinc/85/05/69/901850569.db2.gz QLGJACHPPXOFKX-LSDHHAIUSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265299543 901850581 /nfs/dbraw/zinc/85/05/81/901850581.db2.gz QLGJACHPPXOFKX-LSDHHAIUSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001265312799 901871949 /nfs/dbraw/zinc/87/19/49/901871949.db2.gz VRDMQHIVOUVNLB-GJZGRUSLSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001265312799 901871963 /nfs/dbraw/zinc/87/19/63/901871963.db2.gz VRDMQHIVOUVNLB-GJZGRUSLSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H]([NH2+]Cc2nncs2)C1 ZINC001265432664 902009122 /nfs/dbraw/zinc/00/91/22/902009122.db2.gz WKVBGZYACPXTJL-ZDUSSCGKSA-N 1 2 306.435 1.997 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CCC[N@H+](Cc2ncnn2C)C1 ZINC001265591697 902173103 /nfs/dbraw/zinc/17/31/03/902173103.db2.gz ZZNQVVQMZASCJR-WMLDXEAASA-N 1 2 319.453 1.746 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CCC[N@@H+](Cc2ncnn2C)C1 ZINC001265591697 902173120 /nfs/dbraw/zinc/17/31/20/902173120.db2.gz ZZNQVVQMZASCJR-WMLDXEAASA-N 1 2 319.453 1.746 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[NH2+]Cc1ncc(C(C)C)o1 ZINC001265771416 902390950 /nfs/dbraw/zinc/39/09/50/902390950.db2.gz VDEBMIHWVORWER-MLGOLLRUSA-N 1 2 309.410 1.719 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCC[N@@H+](C)Cc1nc(C)no1 ZINC001265936643 902622975 /nfs/dbraw/zinc/62/29/75/902622975.db2.gz BPZYWDXRHYLJSS-ZFWWWQNUSA-N 1 2 322.409 1.297 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCC[N@H+](C)Cc1nc(C)no1 ZINC001265936643 902622982 /nfs/dbraw/zinc/62/29/82/902622982.db2.gz BPZYWDXRHYLJSS-ZFWWWQNUSA-N 1 2 322.409 1.297 20 30 DDEDLO C=C(C)CCC(=O)NCCC[C@@H]1CCC[N@H+]1Cc1nnn(C)n1 ZINC001266220223 903134921 /nfs/dbraw/zinc/13/49/21/903134921.db2.gz PYHQJWDYHSYKKH-CQSZACIVSA-N 1 2 320.441 1.427 20 30 DDEDLO C=C(C)CCC(=O)NCCC[C@@H]1CCC[N@@H+]1Cc1nnn(C)n1 ZINC001266220223 903134930 /nfs/dbraw/zinc/13/49/30/903134930.db2.gz PYHQJWDYHSYKKH-CQSZACIVSA-N 1 2 320.441 1.427 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2CC=C(CNC(=O)C#CC(C)C)CC2)n1 ZINC001279543858 903317822 /nfs/dbraw/zinc/31/78/22/903317822.db2.gz BNFFZPBLYCZVMY-CYBMUJFWSA-N 1 2 316.405 1.847 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2CC=C(CNC(=O)C#CC(C)C)CC2)n1 ZINC001279543858 903317845 /nfs/dbraw/zinc/31/78/45/903317845.db2.gz BNFFZPBLYCZVMY-CYBMUJFWSA-N 1 2 316.405 1.847 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H](C)CC(F)(F)F ZINC001280411661 903646964 /nfs/dbraw/zinc/64/69/64/903646964.db2.gz CEAXMIHXIMCBOY-VXGBXAGGSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H](C)CC(F)(F)F ZINC001280411661 903646974 /nfs/dbraw/zinc/64/69/74/903646974.db2.gz CEAXMIHXIMCBOY-VXGBXAGGSA-N 1 2 308.344 1.968 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1c(Cl)cnn1C ZINC001375038354 914737059 /nfs/dbraw/zinc/73/70/59/914737059.db2.gz MXZKUSUDZQRBPD-VIFPVBQESA-N 1 2 305.209 1.876 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1c(Cl)cnn1C ZINC001375038354 914737064 /nfs/dbraw/zinc/73/70/64/914737064.db2.gz MXZKUSUDZQRBPD-VIFPVBQESA-N 1 2 305.209 1.876 20 30 DDEDLO C=C1CCC(C(=O)N(C)[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001280529491 903768567 /nfs/dbraw/zinc/76/85/67/903768567.db2.gz IJSQFGIEQQKBNM-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001280617127 903839703 /nfs/dbraw/zinc/83/97/03/903839703.db2.gz MYTMXBNQPOCPFM-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001280617127 903839713 /nfs/dbraw/zinc/83/97/13/903839713.db2.gz MYTMXBNQPOCPFM-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H]1CN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001282050941 905470069 /nfs/dbraw/zinc/47/00/69/905470069.db2.gz BYTVQEIXYFCSRQ-DYVFJYSZSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1CC ZINC001282247978 905644225 /nfs/dbraw/zinc/64/42/25/905644225.db2.gz JVFDAHFFJAIFOM-UONOGXRCSA-N 1 2 304.394 1.414 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](C)[N@H+](C)CC(=O)Nc1ccon1 ZINC001282456350 905800174 /nfs/dbraw/zinc/80/01/74/905800174.db2.gz ZMHPAIJTIROPEH-BLLLJJGKSA-N 1 2 322.409 1.652 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](C)[N@@H+](C)CC(=O)Nc1ccon1 ZINC001282456350 905800183 /nfs/dbraw/zinc/80/01/83/905800183.db2.gz ZMHPAIJTIROPEH-BLLLJJGKSA-N 1 2 322.409 1.652 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccncc1Cl ZINC001377379607 921180432 /nfs/dbraw/zinc/18/04/32/921180432.db2.gz IQOJZXKLXYOKET-AAEUAGOBSA-N 1 2 306.797 1.975 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC[N@H+]1Cc1ccncc1Cl ZINC001377379607 921180437 /nfs/dbraw/zinc/18/04/37/921180437.db2.gz IQOJZXKLXYOKET-AAEUAGOBSA-N 1 2 306.797 1.975 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C1C[NH+](Cc2cncc(F)c2)C1 ZINC001282707264 905968890 /nfs/dbraw/zinc/96/88/90/905968890.db2.gz UFBUGEQWKMYZBJ-ZDUSSCGKSA-N 1 2 303.381 1.961 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)C2C[NH+](CC(=O)NCC3CC3)C2)C1 ZINC001282743847 906012047 /nfs/dbraw/zinc/01/20/47/906012047.db2.gz IYLHZOJRHLLPLT-ZDUSSCGKSA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCCCC(=O)N1CCN(C(=O)CCCn2cc[nH+]c2)CC1 ZINC001282868830 906128595 /nfs/dbraw/zinc/12/85/95/906128595.db2.gz KUKRPWRNZNOCCR-UHFFFAOYSA-N 1 2 318.421 1.690 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@]1(C)CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001393107885 906215979 /nfs/dbraw/zinc/21/59/79/906215979.db2.gz SXDJJOJEGDJUKS-YVEFUNNKSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@]1(C)CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001393107885 906215997 /nfs/dbraw/zinc/21/59/97/906215997.db2.gz SXDJJOJEGDJUKS-YVEFUNNKSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@H](CN(C)C(=O)C#CC1CC1)[NH2+]Cc1nc(C2CCC2)no1 ZINC001283607488 907669227 /nfs/dbraw/zinc/66/92/27/907669227.db2.gz DNSWTCOFGFNEEI-GFCCVEGCSA-N 1 2 316.405 1.687 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@H+](Cc2nocc2C)[C@H]1C ZINC001284128100 908519121 /nfs/dbraw/zinc/51/91/21/908519121.db2.gz JHQRRGWEFLPZBN-LSDHHAIUSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@@H+](Cc2nocc2C)[C@H]1C ZINC001284128100 908519131 /nfs/dbraw/zinc/51/91/31/908519131.db2.gz JHQRRGWEFLPZBN-LSDHHAIUSA-N 1 2 319.405 1.492 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001284316554 908818236 /nfs/dbraw/zinc/81/82/36/908818236.db2.gz LVDRGVLOSWLKIO-LBPRGKRZSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001284679265 909346102 /nfs/dbraw/zinc/34/61/02/909346102.db2.gz FAMKGDZJOQGWIM-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001284840811 909556213 /nfs/dbraw/zinc/55/62/13/909556213.db2.gz OAAPWJPBNSJDMW-HNNXBMFYSA-N 1 2 318.421 1.546 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H](C)C#N)C1 ZINC001373666799 910557108 /nfs/dbraw/zinc/55/71/08/910557108.db2.gz HLPTVGHSMHFVPO-CMPLNLGQSA-N 1 2 323.828 1.574 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H](C)C#N)C1 ZINC001373666799 910557119 /nfs/dbraw/zinc/55/71/19/910557119.db2.gz HLPTVGHSMHFVPO-CMPLNLGQSA-N 1 2 323.828 1.574 20 30 DDEDLO C[C@H](CNC(=O)Cc1c[nH]c[nH+]1)NC(=O)CC#Cc1ccccc1 ZINC001285653488 910975841 /nfs/dbraw/zinc/97/58/41/910975841.db2.gz MPLPKYQVWJKLEO-CQSZACIVSA-N 1 2 324.384 1.015 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001285666699 911004465 /nfs/dbraw/zinc/00/44/65/911004465.db2.gz SNQVSYVUOOTATF-GFCCVEGCSA-N 1 2 320.437 1.760 20 30 DDEDLO C=C(C)CCC(=O)N[C@@]1(C)CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001286090976 911678970 /nfs/dbraw/zinc/67/89/70/911678970.db2.gz KPBZVNORMRRQKF-KRWDZBQOSA-N 1 2 318.421 1.426 20 30 DDEDLO C#CCN(C)c1nnc(COCC)n1Cc1c[nH+]ccc1OC ZINC001286382125 911950550 /nfs/dbraw/zinc/95/05/50/911950550.db2.gz UROJMXWFXXNSLG-UHFFFAOYSA-N 1 2 315.377 1.336 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)CNC(=O)C(C)(C)C ZINC001375157239 915137070 /nfs/dbraw/zinc/13/70/70/915137070.db2.gz SYQXALRQOCDGJO-NSHDSACASA-N 1 2 303.834 1.338 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)CNC(=O)C(C)(C)C ZINC001375157239 915137080 /nfs/dbraw/zinc/13/70/80/915137080.db2.gz SYQXALRQOCDGJO-NSHDSACASA-N 1 2 303.834 1.338 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001295895267 916115142 /nfs/dbraw/zinc/11/51/42/916115142.db2.gz VJPQYCZOGQCWHV-CYBMUJFWSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001295895267 916115150 /nfs/dbraw/zinc/11/51/50/916115150.db2.gz VJPQYCZOGQCWHV-CYBMUJFWSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001296128662 916247106 /nfs/dbraw/zinc/24/71/06/916247106.db2.gz MECQREYIFOMOKN-MGPQQGTHSA-N 1 2 318.421 1.690 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001296128662 916247122 /nfs/dbraw/zinc/24/71/22/916247122.db2.gz MECQREYIFOMOKN-MGPQQGTHSA-N 1 2 318.421 1.690 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001296465028 916435166 /nfs/dbraw/zinc/43/51/66/916435166.db2.gz OLBXEVUQFHZSNX-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO CCCN(C(=O)[C@@H](C)C#N)C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001376176133 917879857 /nfs/dbraw/zinc/87/98/57/917879857.db2.gz BGDZMYQYDLWCHQ-AWEZNQCLSA-N 1 2 317.437 1.783 20 30 DDEDLO CCn1nc(C)c(C[N@@H+]2CCC[C@@H](CNC(=O)[C@H](C)C#N)C2)n1 ZINC001376534349 918710520 /nfs/dbraw/zinc/71/05/20/918710520.db2.gz NDFSSGGJUKWNBT-OCCSQVGLSA-N 1 2 318.425 1.094 20 30 DDEDLO CCn1nc(C)c(C[N@H+]2CCC[C@@H](CNC(=O)[C@H](C)C#N)C2)n1 ZINC001376534349 918710528 /nfs/dbraw/zinc/71/05/28/918710528.db2.gz NDFSSGGJUKWNBT-OCCSQVGLSA-N 1 2 318.425 1.094 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1csc(NC(C)=O)n1 ZINC001377186908 920573485 /nfs/dbraw/zinc/57/34/85/920573485.db2.gz BICHXLHHVCIIQW-UHFFFAOYSA-N 1 2 316.814 1.516 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1csc(NC(C)=O)n1 ZINC001377186908 920573492 /nfs/dbraw/zinc/57/34/92/920573492.db2.gz BICHXLHHVCIIQW-UHFFFAOYSA-N 1 2 316.814 1.516 20 30 DDEDLO CC(C)CC[C@H](C)NC(=O)C[NH+]1CC(CNC(=O)[C@H](C)C#N)C1 ZINC001377960102 923522327 /nfs/dbraw/zinc/52/23/27/923522327.db2.gz KUXFEDIFAPZRAU-KGLIPLIRSA-N 1 2 322.453 1.135 20 30 DDEDLO CC(C)CC[C@@H](C)NC(=O)C[NH+]1CC(CNC(=O)[C@@H](C)C#N)C1 ZINC001377960105 923523999 /nfs/dbraw/zinc/52/39/99/923523999.db2.gz KUXFEDIFAPZRAU-UONOGXRCSA-N 1 2 322.453 1.135 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)NCC#Cc2ccccc2)CCO1 ZINC000494180106 529391967 /nfs/dbraw/zinc/39/19/67/529391967.db2.gz RKCDPEPLWUAFIX-QGZVFWFLSA-N 1 2 315.417 1.448 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)NCC#Cc2ccccc2)CCO1 ZINC000494180106 529391969 /nfs/dbraw/zinc/39/19/69/529391969.db2.gz RKCDPEPLWUAFIX-QGZVFWFLSA-N 1 2 315.417 1.448 20 30 DDEDLO C[C@H](O)C[N@H+](CCC(=O)Nc1ccc(C#N)cc1)CC(F)F ZINC000451841843 231209239 /nfs/dbraw/zinc/20/92/39/231209239.db2.gz UGNPKZQYMTUUDP-NSHDSACASA-N 1 2 311.332 1.835 20 30 DDEDLO C[C@H](O)C[N@@H+](CCC(=O)Nc1ccc(C#N)cc1)CC(F)F ZINC000451841843 231209242 /nfs/dbraw/zinc/20/92/42/231209242.db2.gz UGNPKZQYMTUUDP-NSHDSACASA-N 1 2 311.332 1.835 20 30 DDEDLO N#Cc1ccc(CNC(=O)NCCCn2cc[nH+]c2)cc1F ZINC000457388035 232046547 /nfs/dbraw/zinc/04/65/47/232046547.db2.gz OXNOJUDJFCAKOI-UHFFFAOYSA-N 1 2 301.325 1.783 20 30 DDEDLO CCN1OC[C@@H]([N@@H+]2CCCN(c3ccccc3C#N)CC2)C1=O ZINC000616015883 362521808 /nfs/dbraw/zinc/52/18/08/362521808.db2.gz NMDWFQFFSAAMTC-MRXNPFEDSA-N 1 2 314.389 1.233 20 30 DDEDLO CCN1OC[C@@H]([N@H+]2CCCN(c3ccccc3C#N)CC2)C1=O ZINC000616015883 362521811 /nfs/dbraw/zinc/52/18/11/362521811.db2.gz NMDWFQFFSAAMTC-MRXNPFEDSA-N 1 2 314.389 1.233 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)CCn2ccc([N+](=O)[O-])n2)n1 ZINC000279786558 215145225 /nfs/dbraw/zinc/14/52/25/215145225.db2.gz UZHHHPOWYNXFCC-UHFFFAOYSA-N 1 2 302.338 1.143 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)CCn2ccc([N+](=O)[O-])n2)n1 ZINC000279786558 215145227 /nfs/dbraw/zinc/14/52/27/215145227.db2.gz UZHHHPOWYNXFCC-UHFFFAOYSA-N 1 2 302.338 1.143 20 30 DDEDLO C[NH+]1CCN(CCCCNc2ccc([N+](=O)[O-])cc2C#N)CC1 ZINC000029619309 352242268 /nfs/dbraw/zinc/24/22/68/352242268.db2.gz TZRYJWXVPYMYDC-UHFFFAOYSA-N 1 2 317.393 1.906 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cnsn3)CC2)cc1 ZINC000047609354 352503748 /nfs/dbraw/zinc/50/37/48/352503748.db2.gz OPZTWATUQWPOBV-UHFFFAOYSA-N 1 2 313.386 1.368 20 30 DDEDLO C[N@H+](CC(=O)NCC(=O)NC(C)(C)C)Cc1cccc(C#N)c1 ZINC000052580561 352618585 /nfs/dbraw/zinc/61/85/85/352618585.db2.gz RTWVIONOTUEVFF-UHFFFAOYSA-N 1 2 316.405 1.021 20 30 DDEDLO C[N@@H+](CC(=O)NCC(=O)NC(C)(C)C)Cc1cccc(C#N)c1 ZINC000052580561 352618588 /nfs/dbraw/zinc/61/85/88/352618588.db2.gz RTWVIONOTUEVFF-UHFFFAOYSA-N 1 2 316.405 1.021 20 30 DDEDLO C=CC[N@@H+](CC(=O)N(C)CC(=O)NCCC)Cc1cccs1 ZINC000050274368 352565035 /nfs/dbraw/zinc/56/50/35/352565035.db2.gz DBGKIJOJIPGDBS-UHFFFAOYSA-N 1 2 323.462 1.721 20 30 DDEDLO C=CC[N@H+](CC(=O)N(C)CC(=O)NCCC)Cc1cccs1 ZINC000050274368 352565039 /nfs/dbraw/zinc/56/50/39/352565039.db2.gz DBGKIJOJIPGDBS-UHFFFAOYSA-N 1 2 323.462 1.721 20 30 DDEDLO COc1ccccc1C[N@@H+](CC(=O)NCC#N)C[C@@H]1CCCO1 ZINC000066657021 353011508 /nfs/dbraw/zinc/01/15/08/353011508.db2.gz ZPEUKVMGVJHCAQ-HNNXBMFYSA-N 1 2 317.389 1.316 20 30 DDEDLO COc1ccccc1C[N@H+](CC(=O)NCC#N)C[C@@H]1CCCO1 ZINC000066657021 353011511 /nfs/dbraw/zinc/01/15/11/353011511.db2.gz ZPEUKVMGVJHCAQ-HNNXBMFYSA-N 1 2 317.389 1.316 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CSc2ccc(OC)cc2)CC1 ZINC000068445316 353112777 /nfs/dbraw/zinc/11/27/77/353112777.db2.gz XXBTUDJVIYUZQS-UHFFFAOYSA-N 1 2 318.442 1.955 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC(c3[nH]cc[nH+]3)CC2)s1 ZINC000331961314 234322770 /nfs/dbraw/zinc/32/27/70/234322770.db2.gz SIKJBKLXAOWSGD-UHFFFAOYSA-N 1 2 322.415 1.911 20 30 DDEDLO C#CC[NH+]1CCN(c2ccc([N+](=O)[O-])c(OC(F)F)c2)CC1 ZINC000089593229 353775701 /nfs/dbraw/zinc/77/57/01/353775701.db2.gz QTWRUNWCIZCIKV-UHFFFAOYSA-N 1 2 311.288 1.951 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)Nc1ccccc1C#N ZINC000103427890 353929536 /nfs/dbraw/zinc/92/95/36/353929536.db2.gz YEMJSFALDGGYMA-UHFFFAOYSA-N 1 2 300.362 1.749 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)Nc1ccccc1C#N ZINC000103427890 353929540 /nfs/dbraw/zinc/92/95/40/353929540.db2.gz YEMJSFALDGGYMA-UHFFFAOYSA-N 1 2 300.362 1.749 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(Br)cn1 ZINC000579718018 354723066 /nfs/dbraw/zinc/72/30/66/354723066.db2.gz NSYYKYFRKSTQFB-LBPRGKRZSA-N 1 2 311.183 1.418 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnc(-c2ccco2)s1 ZINC000580868666 354728085 /nfs/dbraw/zinc/72/80/85/354728085.db2.gz FYSRDDHFPAULOY-CQSZACIVSA-N 1 2 304.375 1.977 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H](NC(=O)C(=O)NCCCCC#N)C1 ZINC000588052463 354897888 /nfs/dbraw/zinc/89/78/88/354897888.db2.gz QIHIESNCILSOML-HNNXBMFYSA-N 1 2 314.389 1.099 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H](NC(=O)C(=O)NCCCCC#N)C1 ZINC000588052463 354897891 /nfs/dbraw/zinc/89/78/91/354897891.db2.gz QIHIESNCILSOML-HNNXBMFYSA-N 1 2 314.389 1.099 20 30 DDEDLO N#Cc1cccc(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)n1 ZINC000589267072 354980212 /nfs/dbraw/zinc/98/02/12/354980212.db2.gz FMILUUCFITXGDK-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1cccc(Cn2cccn2)c1 ZINC000591773491 355382635 /nfs/dbraw/zinc/38/26/35/355382635.db2.gz AFGHNVBUEBWPES-QGZVFWFLSA-N 1 2 313.405 1.550 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1cccc(Cn2cccn2)c1 ZINC000591773491 355382638 /nfs/dbraw/zinc/38/26/38/355382638.db2.gz AFGHNVBUEBWPES-QGZVFWFLSA-N 1 2 313.405 1.550 20 30 DDEDLO Cc1cc(-n2cccn2)ccc1NC[C@H](O)C[N@H+](C)CCC#N ZINC000591774939 355382729 /nfs/dbraw/zinc/38/27/29/355382729.db2.gz YYILTIBHZYPJIJ-INIZCTEOSA-N 1 2 313.405 1.799 20 30 DDEDLO Cc1cc(-n2cccn2)ccc1NC[C@H](O)C[N@@H+](C)CCC#N ZINC000591774939 355382733 /nfs/dbraw/zinc/38/27/33/355382733.db2.gz YYILTIBHZYPJIJ-INIZCTEOSA-N 1 2 313.405 1.799 20 30 DDEDLO CCC(=O)N1CC[N@H+](CCC(=O)Nc2ccc(C#N)cc2)C1 ZINC000591852505 355395342 /nfs/dbraw/zinc/39/53/42/355395342.db2.gz PGFDKWXGEWDGSV-UHFFFAOYSA-N 1 2 300.362 1.399 20 30 DDEDLO CCC(=O)N1CC[N@@H+](CCC(=O)Nc2ccc(C#N)cc2)C1 ZINC000591852505 355395346 /nfs/dbraw/zinc/39/53/46/355395346.db2.gz PGFDKWXGEWDGSV-UHFFFAOYSA-N 1 2 300.362 1.399 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(Cc2ccncc2C#N)CC1 ZINC000592072083 355483405 /nfs/dbraw/zinc/48/34/05/355483405.db2.gz ACYMQWKVMTWJTH-UHFFFAOYSA-N 1 2 311.389 1.876 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](O)C[N@H+](Cc2cn3ccccc3c2C#N)C1 ZINC000592197567 355533438 /nfs/dbraw/zinc/53/34/38/355533438.db2.gz DYYQFWJCLQRTGF-GXTWGEPZSA-N 1 2 313.357 1.167 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](O)C[N@@H+](Cc2cn3ccccc3c2C#N)C1 ZINC000592197567 355533440 /nfs/dbraw/zinc/53/34/40/355533440.db2.gz DYYQFWJCLQRTGF-GXTWGEPZSA-N 1 2 313.357 1.167 20 30 DDEDLO Cc1ccc([C@@H](C)NC(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)cc1C ZINC000592148201 355514333 /nfs/dbraw/zinc/51/43/33/355514333.db2.gz RWKMGOKQUKYERY-CRAIPNDOSA-N 1 2 315.417 1.831 20 30 DDEDLO Cc1ccc([C@@H](C)NC(=O)C[N@H+]2CC[C@](O)(CC#N)C2)cc1C ZINC000592148201 355514335 /nfs/dbraw/zinc/51/43/35/355514335.db2.gz RWKMGOKQUKYERY-CRAIPNDOSA-N 1 2 315.417 1.831 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000592150194 355516335 /nfs/dbraw/zinc/51/63/35/355516335.db2.gz ASKXRGVGRCLDFR-NVXWUHKLSA-N 1 2 317.364 1.672 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000592150194 355516339 /nfs/dbraw/zinc/51/63/39/355516339.db2.gz ASKXRGVGRCLDFR-NVXWUHKLSA-N 1 2 317.364 1.672 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1[N+](=O)[O-])[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149427 355516589 /nfs/dbraw/zinc/51/65/89/355516589.db2.gz OWLZFFQIEJXUGV-IAQYHMDHSA-N 1 2 318.333 1.272 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1[N+](=O)[O-])[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149427 355516594 /nfs/dbraw/zinc/51/65/94/355516594.db2.gz OWLZFFQIEJXUGV-IAQYHMDHSA-N 1 2 318.333 1.272 20 30 DDEDLO CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)[C@H](C)C(=O)OCC ZINC000429717367 283105518 /nfs/dbraw/zinc/10/55/18/283105518.db2.gz LQLPYLHHQNKEKV-CZUORRHYSA-N 1 2 311.426 1.704 20 30 DDEDLO CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)[C@H](C)C(=O)OCC ZINC000429717367 283105519 /nfs/dbraw/zinc/10/55/19/283105519.db2.gz LQLPYLHHQNKEKV-CZUORRHYSA-N 1 2 311.426 1.704 20 30 DDEDLO COC(=O)[C@@H](C)[N@H+](Cc1cnc2c(C#N)cnn2c1)C1CCC1 ZINC000592509540 355607279 /nfs/dbraw/zinc/60/72/79/355607279.db2.gz OLHAJFBZNXDANF-LLVKDONJSA-N 1 2 313.361 1.517 20 30 DDEDLO COC(=O)[C@@H](C)[N@@H+](Cc1cnc2c(C#N)cnn2c1)C1CCC1 ZINC000592509540 355607284 /nfs/dbraw/zinc/60/72/84/355607284.db2.gz OLHAJFBZNXDANF-LLVKDONJSA-N 1 2 313.361 1.517 20 30 DDEDLO CC[C@@H]1COC(C)(C)C[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000593056366 355781580 /nfs/dbraw/zinc/78/15/80/355781580.db2.gz HCAQCQVRFHLDFZ-CQSZACIVSA-N 1 2 306.410 1.532 20 30 DDEDLO CC[C@@H]1COC(C)(C)C[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000593056366 355781585 /nfs/dbraw/zinc/78/15/85/355781585.db2.gz HCAQCQVRFHLDFZ-CQSZACIVSA-N 1 2 306.410 1.532 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@H]1CCCOC1 ZINC000593069923 355786348 /nfs/dbraw/zinc/78/63/48/355786348.db2.gz LTEXHLNOPVCHGH-AWEZNQCLSA-N 1 2 323.418 1.228 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@H]1CCCOC1 ZINC000593069923 355786351 /nfs/dbraw/zinc/78/63/51/355786351.db2.gz LTEXHLNOPVCHGH-AWEZNQCLSA-N 1 2 323.418 1.228 20 30 DDEDLO C[C@@H](CO)C1CC[NH+](CC(=O)Nc2sccc2C#N)CC1 ZINC000593081329 355789473 /nfs/dbraw/zinc/78/94/73/355789473.db2.gz CJUJAYNOHTZHPI-NSHDSACASA-N 1 2 307.419 1.899 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)C2(CCC2)CO1 ZINC000593092570 355793130 /nfs/dbraw/zinc/79/31/30/355793130.db2.gz PGJYIHFLRFDKSY-AWEZNQCLSA-N 1 2 304.394 1.286 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)C2(CCC2)CO1 ZINC000593092570 355793132 /nfs/dbraw/zinc/79/31/32/355793132.db2.gz PGJYIHFLRFDKSY-AWEZNQCLSA-N 1 2 304.394 1.286 20 30 DDEDLO N#CCCN(C(=O)C[N@@H+]1C[C@@H]2C[C@H]1C[S@]2=O)c1ccccc1 ZINC000593097057 355794833 /nfs/dbraw/zinc/79/48/33/355794833.db2.gz AZKAIZBFXSUNBL-AYSMAOOMSA-N 1 2 317.414 1.138 20 30 DDEDLO N#CCCN(C(=O)C[N@H+]1C[C@@H]2C[C@H]1C[S@]2=O)c1ccccc1 ZINC000593097057 355794837 /nfs/dbraw/zinc/79/48/37/355794837.db2.gz AZKAIZBFXSUNBL-AYSMAOOMSA-N 1 2 317.414 1.138 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1nscc1Br ZINC000593153422 355812627 /nfs/dbraw/zinc/81/26/27/355812627.db2.gz OMNVQMPVQBHCSC-SNVBAGLBSA-N 1 2 317.212 1.479 20 30 DDEDLO C[C@@H](COCC(=O)N[C@](C)(C#N)C[NH+](C)C)c1ccccc1 ZINC000593153135 355812640 /nfs/dbraw/zinc/81/26/40/355812640.db2.gz BVKYMDYVBSVTQX-WMLDXEAASA-N 1 2 303.406 1.767 20 30 DDEDLO COc1cc([N+](=O)[O-])ccc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000593153289 355812704 /nfs/dbraw/zinc/81/27/04/355812704.db2.gz SDWIXOPYWCRRHQ-CQSZACIVSA-N 1 2 306.322 1.177 20 30 DDEDLO C[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)[C@H]1OCCc2sccc21 ZINC000593153063 355813048 /nfs/dbraw/zinc/81/30/48/355813048.db2.gz GWOCKUBXZKYLRV-PEYYIBSZSA-N 1 2 321.446 1.958 20 30 DDEDLO N#CCCCCC(=O)N1CC[NH+](CC(=O)N2CCCCC2)CC1 ZINC000594219053 356142833 /nfs/dbraw/zinc/14/28/33/356142833.db2.gz IJOCOAHPQPWZFS-UHFFFAOYSA-N 1 2 320.437 1.227 20 30 DDEDLO N#CC1(CS(=O)(=O)NCc2ccc[nH+]c2N2CCCC2)CC1 ZINC000594803187 356322607 /nfs/dbraw/zinc/32/26/07/356322607.db2.gz LBSLUTDJPBKNKL-UHFFFAOYSA-N 1 2 320.418 1.405 20 30 DDEDLO C[C@@H](NS(=O)(=O)Cc1ccc(C#N)cc1)c1[nH+]ccn1C ZINC000595384557 356473597 /nfs/dbraw/zinc/47/35/97/356473597.db2.gz XPMVCLGYFRGKLB-LLVKDONJSA-N 1 2 304.375 1.472 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CCC[C@@H](CC#N)C2)c[nH+]1 ZINC000595451172 356497180 /nfs/dbraw/zinc/49/71/80/356497180.db2.gz WUYXMODQPXHUSP-ZDUSSCGKSA-N 1 2 301.394 1.983 20 30 DDEDLO C[C@@H](NC(=O)C[N@@H+]1CCC[C@H](CC#N)C1)C(=O)N1CCCCC1 ZINC000595612591 356577078 /nfs/dbraw/zinc/57/70/78/356577078.db2.gz YGEUVRVBPCYELP-HUUCEWRRSA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@@H](NC(=O)C[N@H+]1CCC[C@H](CC#N)C1)C(=O)N1CCCCC1 ZINC000595612591 356577081 /nfs/dbraw/zinc/57/70/81/356577081.db2.gz YGEUVRVBPCYELP-HUUCEWRRSA-N 1 2 320.437 1.129 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)CC1=CCCOC1 ZINC000595746897 356633888 /nfs/dbraw/zinc/63/38/88/356633888.db2.gz FDZYCTYJXPCKKM-UHFFFAOYSA-N 1 2 321.402 1.005 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)CC1=CCCOC1 ZINC000595746897 356633890 /nfs/dbraw/zinc/63/38/90/356633890.db2.gz FDZYCTYJXPCKKM-UHFFFAOYSA-N 1 2 321.402 1.005 20 30 DDEDLO C[C@@H](O)[C@H]1CCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000595767440 356644255 /nfs/dbraw/zinc/64/42/55/356644255.db2.gz XJHUSTGZCQPAQO-IUODEOHRSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@@H](O)[C@H]1CCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000595767440 356644256 /nfs/dbraw/zinc/64/42/56/356644256.db2.gz XJHUSTGZCQPAQO-IUODEOHRSA-N 1 2 308.403 1.177 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H]2COC[C@@]2(C)C1 ZINC000595755639 356637643 /nfs/dbraw/zinc/63/76/43/356637643.db2.gz BWCSPHMTFRPCKC-HLLBOEOZSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@@H]2COC[C@@]2(C)C1 ZINC000595755639 356637645 /nfs/dbraw/zinc/63/76/45/356637645.db2.gz BWCSPHMTFRPCKC-HLLBOEOZSA-N 1 2 300.358 1.741 20 30 DDEDLO Cc1ccncc1Cn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000596287063 356845284 /nfs/dbraw/zinc/84/52/84/356845284.db2.gz SBDCGJTXHNPZGP-UHFFFAOYSA-N 1 2 324.384 1.304 20 30 DDEDLO N#Cc1ccc(CCNc2cc(N3CCOCC3)nc[nH+]2)cc1 ZINC000596321089 356856911 /nfs/dbraw/zinc/85/69/11/356856911.db2.gz SPLWVOKDRODTSC-UHFFFAOYSA-N 1 2 309.373 1.839 20 30 DDEDLO N#Cc1ccc(CCNc2cc(N3CCOCC3)[nH+]cn2)cc1 ZINC000596321089 356856917 /nfs/dbraw/zinc/85/69/17/356856917.db2.gz SPLWVOKDRODTSC-UHFFFAOYSA-N 1 2 309.373 1.839 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3ccnn3CC3CC3)CC2)nc1 ZINC000596983354 357034385 /nfs/dbraw/zinc/03/43/85/357034385.db2.gz WSSVTMOHSVCTPU-UHFFFAOYSA-N 1 2 322.416 1.882 20 30 DDEDLO CN(C)C(=O)COc1ccccc1C[N@@H+]1CC[C@@](C)(C#N)C1 ZINC000597229583 357102453 /nfs/dbraw/zinc/10/24/53/357102453.db2.gz MREDXSRGWUJXIG-KRWDZBQOSA-N 1 2 301.390 1.889 20 30 DDEDLO CN(C)C(=O)COc1ccccc1C[N@H+]1CC[C@@](C)(C#N)C1 ZINC000597229583 357102457 /nfs/dbraw/zinc/10/24/57/357102457.db2.gz MREDXSRGWUJXIG-KRWDZBQOSA-N 1 2 301.390 1.889 20 30 DDEDLO N#CC1(CC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)CCOCC1 ZINC000597306459 357129594 /nfs/dbraw/zinc/12/95/94/357129594.db2.gz JHAVVBYBNNXSRV-OAHLLOKOSA-N 1 2 321.421 1.020 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1ccnc(C#N)c1)C(C)(C)CO ZINC000597849052 357356817 /nfs/dbraw/zinc/35/68/17/357356817.db2.gz ZFGMQFMXTTYCAC-CYBMUJFWSA-N 1 2 313.361 1.176 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1cc(O)cc(C#N)c1 ZINC000597992828 357409780 /nfs/dbraw/zinc/40/97/80/357409780.db2.gz DDHBVZMYCXPIPF-NEPJUHHUSA-N 1 2 303.362 1.103 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1cc(O)cc(C#N)c1 ZINC000597992828 357409782 /nfs/dbraw/zinc/40/97/82/357409782.db2.gz DDHBVZMYCXPIPF-NEPJUHHUSA-N 1 2 303.362 1.103 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)COc2ccccc2C#N)[C@@H](C)CO1 ZINC000597999853 357415405 /nfs/dbraw/zinc/41/54/05/357415405.db2.gz SPKWSTKJIADBTC-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)COc2ccccc2C#N)[C@@H](C)CO1 ZINC000597999853 357415408 /nfs/dbraw/zinc/41/54/08/357415408.db2.gz SPKWSTKJIADBTC-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO Cc1noc([C@H]2CCCN(C(=O)NCc3c[nH+]cn3C)C2)n1 ZINC000329700883 223016488 /nfs/dbraw/zinc/01/64/88/223016488.db2.gz HDFIIVXUMCBEIR-NSHDSACASA-N 1 2 304.354 1.405 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CCn2c[nH+]cc2C1 ZINC000598950832 357774254 /nfs/dbraw/zinc/77/42/54/357774254.db2.gz UEUHOJJDRWLRPP-GXTWGEPZSA-N 1 2 310.357 1.653 20 30 DDEDLO C[C@@H](CC(=O)N(CCC#N)CC[NH+]1CCOCC1)C(F)(F)F ZINC000599230804 357856990 /nfs/dbraw/zinc/85/69/90/357856990.db2.gz WUQLDCPTHOHAON-LBPRGKRZSA-N 1 2 321.343 1.649 20 30 DDEDLO N#Cc1ccnc(NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)c1 ZINC000599183103 357841875 /nfs/dbraw/zinc/84/18/75/357841875.db2.gz GUOSMLBZFLFGQS-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ccnc(NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)c1 ZINC000599183103 357841879 /nfs/dbraw/zinc/84/18/79/357841879.db2.gz GUOSMLBZFLFGQS-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO Cc1nc(N2CCc3c(CC#N)cccc3C2)nc(N(C)C)[nH+]1 ZINC000599195164 357846999 /nfs/dbraw/zinc/84/69/99/357846999.db2.gz PLURZBAWWUAFFH-UHFFFAOYSA-N 1 2 308.389 1.875 20 30 DDEDLO Cc1nc(N(C)C)nc(N2CCc3c(CC#N)cccc3C2)[nH+]1 ZINC000599195164 357847002 /nfs/dbraw/zinc/84/70/02/357847002.db2.gz PLURZBAWWUAFFH-UHFFFAOYSA-N 1 2 308.389 1.875 20 30 DDEDLO C[N@@H+](CC(=O)OC(C)(C)C)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000599280326 357872883 /nfs/dbraw/zinc/87/28/83/357872883.db2.gz AUUMCGOBKGRZKJ-ZDUSSCGKSA-N 1 2 312.410 1.331 20 30 DDEDLO C[N@H+](CC(=O)OC(C)(C)C)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000599280326 357872886 /nfs/dbraw/zinc/87/28/86/357872886.db2.gz AUUMCGOBKGRZKJ-ZDUSSCGKSA-N 1 2 312.410 1.331 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C[C@@H](O)COc1ccccc1C#N)CC2 ZINC000599357604 357907206 /nfs/dbraw/zinc/90/72/06/357907206.db2.gz UIHYCFCFCCUDOI-OAHLLOKOSA-N 1 2 312.373 1.319 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2C(=O)N2CCc3[nH]c[nH+]c3C2)cc1 ZINC000599953391 358089614 /nfs/dbraw/zinc/08/96/14/358089614.db2.gz YFNXXCXYODIWJM-QGZVFWFLSA-N 1 2 321.384 1.835 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2C(=O)N2CCc3[nH+]c[nH]c3C2)cc1 ZINC000599953391 358089618 /nfs/dbraw/zinc/08/96/18/358089618.db2.gz YFNXXCXYODIWJM-QGZVFWFLSA-N 1 2 321.384 1.835 20 30 DDEDLO N#CCCCOc1cccc(C[N@@H+]2CCO[C@@H](CC(N)=O)C2)c1 ZINC000600208591 358157636 /nfs/dbraw/zinc/15/76/36/358157636.db2.gz NLCMTWXCMVPVCS-INIZCTEOSA-N 1 2 317.389 1.445 20 30 DDEDLO N#CCCCOc1cccc(C[N@H+]2CCO[C@@H](CC(N)=O)C2)c1 ZINC000600208591 358157639 /nfs/dbraw/zinc/15/76/39/358157639.db2.gz NLCMTWXCMVPVCS-INIZCTEOSA-N 1 2 317.389 1.445 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H](C#N)CCc1ccccc1 ZINC000600420947 358215925 /nfs/dbraw/zinc/21/59/25/358215925.db2.gz MBVAIWVMDKSJFF-HOTGVXAUSA-N 1 2 301.390 1.206 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)[C@H](C#N)CCc1ccccc1 ZINC000600420947 358215926 /nfs/dbraw/zinc/21/59/26/358215926.db2.gz MBVAIWVMDKSJFF-HOTGVXAUSA-N 1 2 301.390 1.206 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2ccccc2CC#N)CC1 ZINC000601431495 358550535 /nfs/dbraw/zinc/55/05/35/358550535.db2.gz OCKAHROMSASUAB-AWEZNQCLSA-N 1 2 321.446 1.857 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3ccccc3CC#N)CC2)cc[nH+]1 ZINC000601439105 358553718 /nfs/dbraw/zinc/55/37/18/358553718.db2.gz GOSRDUVFOZDBNF-UHFFFAOYSA-N 1 2 321.384 1.814 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccccc1CC#N ZINC000601383005 358527840 /nfs/dbraw/zinc/52/78/40/358527840.db2.gz BQEKUGWXYQUGCP-QWHCGFSZSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccccc1CC#N ZINC000601383005 358527842 /nfs/dbraw/zinc/52/78/42/358527842.db2.gz BQEKUGWXYQUGCP-QWHCGFSZSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1ccccc1CC#N ZINC000601667072 358645584 /nfs/dbraw/zinc/64/55/84/358645584.db2.gz QAAIHHNWMDADLT-KGLIPLIRSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1ccccc1CC#N ZINC000601667072 358645585 /nfs/dbraw/zinc/64/55/85/358645585.db2.gz QAAIHHNWMDADLT-KGLIPLIRSA-N 1 2 301.390 1.592 20 30 DDEDLO COc1cc(CNC(=O)N[C@@H]2Cc3c[nH+]cn3C2)ccc1C#N ZINC000602317066 358927863 /nfs/dbraw/zinc/92/78/63/358927863.db2.gz VAIHQUBEYYRUAU-CYBMUJFWSA-N 1 2 311.345 1.187 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C)Cc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC000602447667 358983161 /nfs/dbraw/zinc/98/31/61/358983161.db2.gz ODXSAMVPHUQYQU-VIFPVBQESA-N 1 2 301.799 1.579 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C)Cc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC000602447667 358983166 /nfs/dbraw/zinc/98/31/66/358983166.db2.gz ODXSAMVPHUQYQU-VIFPVBQESA-N 1 2 301.799 1.579 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)[NH+]1CCN(CC(F)(F)CO)CC1 ZINC000602875110 359258756 /nfs/dbraw/zinc/25/87/56/359258756.db2.gz LKFMZXOYMSDGEN-CYBMUJFWSA-N 1 2 309.360 1.864 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cc(O)ccc2[N+](=O)[O-])C[C@H](C)N1CC#N ZINC000602850912 359237742 /nfs/dbraw/zinc/23/77/42/359237742.db2.gz TUXLLAPXQXFALF-TXEJJXNPSA-N 1 2 304.350 1.719 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cc(O)ccc2[N+](=O)[O-])C[C@H](C)N1CC#N ZINC000602850912 359237745 /nfs/dbraw/zinc/23/77/45/359237745.db2.gz TUXLLAPXQXFALF-TXEJJXNPSA-N 1 2 304.350 1.719 20 30 DDEDLO Cc1ccc(NC(=O)CN(C)C(=O)C[NH2+][C@@H](C)CC#N)cc1 ZINC000602868605 359253099 /nfs/dbraw/zinc/25/30/99/359253099.db2.gz JUOIYOIZAINXCW-ZDUSSCGKSA-N 1 2 302.378 1.284 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC=C(c2cnn(C)c2)C1 ZINC000602886907 359270753 /nfs/dbraw/zinc/27/07/53/359270753.db2.gz KNJSLDWGPVONIG-AWEZNQCLSA-N 1 2 315.421 1.517 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC=C(c2cnn(C)c2)C1 ZINC000602886907 359270757 /nfs/dbraw/zinc/27/07/57/359270757.db2.gz KNJSLDWGPVONIG-AWEZNQCLSA-N 1 2 315.421 1.517 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NCc1ccco1)[C@H]1CCC[C@@H]1C#N ZINC000602974719 359334019 /nfs/dbraw/zinc/33/40/19/359334019.db2.gz SHANFVANYMRPAA-YPMHNXCESA-N 1 2 304.350 1.229 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NCc1ccco1)[C@H]1CCC[C@@H]1C#N ZINC000602974719 359334022 /nfs/dbraw/zinc/33/40/22/359334022.db2.gz SHANFVANYMRPAA-YPMHNXCESA-N 1 2 304.350 1.229 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@@H+](C)[C@H]3CCC[C@H]3C#N)sc2n1 ZINC000602976070 359335834 /nfs/dbraw/zinc/33/58/34/359335834.db2.gz KPFQQNARWYXIIV-QWRGUYRKSA-N 1 2 303.391 1.584 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@H+](C)[C@H]3CCC[C@H]3C#N)sc2n1 ZINC000602976070 359335838 /nfs/dbraw/zinc/33/58/38/359335838.db2.gz KPFQQNARWYXIIV-QWRGUYRKSA-N 1 2 303.391 1.584 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@H+](C)[C@@H]2CCC[C@H]2C#N)CCCCC1 ZINC000602980248 359337278 /nfs/dbraw/zinc/33/72/78/359337278.db2.gz NTNLJSDIICKNNB-UONOGXRCSA-N 1 2 321.421 1.603 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@@H+](C)[C@@H]2CCC[C@H]2C#N)CCCCC1 ZINC000602980248 359337282 /nfs/dbraw/zinc/33/72/82/359337282.db2.gz NTNLJSDIICKNNB-UONOGXRCSA-N 1 2 321.421 1.603 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cc(F)cc(C#N)c2)[C@@H](C)CO1 ZINC000603063809 359384497 /nfs/dbraw/zinc/38/44/97/359384497.db2.gz CYCOGXRKCDLPQK-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cc(F)cc(C#N)c2)[C@@H](C)CO1 ZINC000603063809 359384499 /nfs/dbraw/zinc/38/44/99/359384499.db2.gz CYCOGXRKCDLPQK-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO N#Cc1ccc(Cn2cc([C@@H]3COCC[NH2+]3)nn2)c(Cl)c1 ZINC000603226963 359497039 /nfs/dbraw/zinc/49/70/39/359497039.db2.gz OFQNIYCDRXNRMT-AWEZNQCLSA-N 1 2 303.753 1.512 20 30 DDEDLO N#CC1(Cn2cc(C[NH+]3CCN(c4ccccc4)CC3)nn2)CC1 ZINC000603226490 359497716 /nfs/dbraw/zinc/49/77/16/359497716.db2.gz BTYPOOIWLNLYHK-UHFFFAOYSA-N 1 2 322.416 1.904 20 30 DDEDLO COc1cc(C#N)ccc1Cn1cc[nH+]c1CN1CCOCC1 ZINC000603419933 359629702 /nfs/dbraw/zinc/62/97/02/359629702.db2.gz CODMESQTWYZLIE-UHFFFAOYSA-N 1 2 312.373 1.644 20 30 DDEDLO COCC[C@@H]1CCCCN(C(=O)NC[C@H]2C[N@H+](C)CCO2)C1 ZINC000329812400 223032667 /nfs/dbraw/zinc/03/26/67/223032667.db2.gz CITKRQJJPSWLOI-GJZGRUSLSA-N 1 2 313.442 1.370 20 30 DDEDLO COCC[C@@H]1CCCCN(C(=O)NC[C@H]2C[N@@H+](C)CCO2)C1 ZINC000329812400 223032669 /nfs/dbraw/zinc/03/26/69/223032669.db2.gz CITKRQJJPSWLOI-GJZGRUSLSA-N 1 2 313.442 1.370 20 30 DDEDLO N#Cc1ccc(CNC(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)cc1 ZINC000609602194 360331700 /nfs/dbraw/zinc/33/17/00/360331700.db2.gz GQANVFAJNTUCMH-UHFFFAOYSA-N 1 2 324.388 1.309 20 30 DDEDLO Cn1cc(N2CC[NH+](CC(=O)NC3CCCCC3)CC2)cn1 ZINC000329979089 223057071 /nfs/dbraw/zinc/05/70/71/223057071.db2.gz IXESKAYSAOYPNS-UHFFFAOYSA-N 1 2 305.426 1.831 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000610447882 360439643 /nfs/dbraw/zinc/43/96/43/360439643.db2.gz NNFKHXXFOZZNFD-HOTGVXAUSA-N 1 2 306.454 1.553 20 30 DDEDLO N#C[C@@H](c1ccccc1)[C@H]1CCC[N@H+]1CCN1C(=O)CCC1=O ZINC000610604316 360479787 /nfs/dbraw/zinc/47/97/87/360479787.db2.gz OLEUZGZLKVYNDO-JKSUJKDBSA-N 1 2 311.385 1.907 20 30 DDEDLO N#C[C@@H](c1ccccc1)[C@H]1CCC[N@@H+]1CCN1C(=O)CCC1=O ZINC000610604316 360479789 /nfs/dbraw/zinc/47/97/89/360479789.db2.gz OLEUZGZLKVYNDO-JKSUJKDBSA-N 1 2 311.385 1.907 20 30 DDEDLO COc1cc(C(=O)NC[C@H]2CCCn3cc(C)[nH+]c32)nn1C ZINC000330036246 223067134 /nfs/dbraw/zinc/06/71/34/223067134.db2.gz YDWRYTUEIRHAPD-LLVKDONJSA-N 1 2 303.366 1.816 20 30 DDEDLO Cc1ccccc1CNC(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611175885 360649350 /nfs/dbraw/zinc/64/93/50/360649350.db2.gz OKQBVZUJFAALDO-UHFFFAOYSA-N 1 2 300.406 1.143 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)N(CCC#N)CCC#N)cn1 ZINC000611140006 360634964 /nfs/dbraw/zinc/63/49/64/360634964.db2.gz GNSRUOCYAIZFCY-UHFFFAOYSA-N 1 2 315.377 1.178 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)N(CCC#N)CCC#N)cn1 ZINC000611140006 360634967 /nfs/dbraw/zinc/63/49/67/360634967.db2.gz GNSRUOCYAIZFCY-UHFFFAOYSA-N 1 2 315.377 1.178 20 30 DDEDLO COCC[N@H+](CCC#N)Cc1ccc(C(=O)NC2CC2)cc1 ZINC000611268682 360683827 /nfs/dbraw/zinc/68/38/27/360683827.db2.gz AFJSYAFBWNGTQJ-UHFFFAOYSA-N 1 2 301.390 1.941 20 30 DDEDLO COCC[N@@H+](CCC#N)Cc1ccc(C(=O)NC2CC2)cc1 ZINC000611268682 360683829 /nfs/dbraw/zinc/68/38/29/360683829.db2.gz AFJSYAFBWNGTQJ-UHFFFAOYSA-N 1 2 301.390 1.941 20 30 DDEDLO COC(=O)c1ccc(F)c(C[NH+]2CCN(CCC#N)CC2)c1 ZINC000611574275 360764951 /nfs/dbraw/zinc/76/49/51/360764951.db2.gz YWFFAJQFPKYZKY-UHFFFAOYSA-N 1 2 305.353 1.644 20 30 DDEDLO Cc1cn2c([nH+]1)CN([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC2 ZINC000611801964 360829256 /nfs/dbraw/zinc/82/92/56/360829256.db2.gz LTNPBTVKRLGOBM-CJNGLKHVSA-N 1 2 303.410 1.450 20 30 DDEDLO C[C@H](C#N)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000330447016 223117539 /nfs/dbraw/zinc/11/75/39/223117539.db2.gz HTBDAOUVGUDYEW-RISCZKNCSA-N 1 2 317.393 1.046 20 30 DDEDLO C[C@H](C#N)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000330447016 223117544 /nfs/dbraw/zinc/11/75/44/223117544.db2.gz HTBDAOUVGUDYEW-RISCZKNCSA-N 1 2 317.393 1.046 20 30 DDEDLO Cc1ccc(C(N)=O)cc1NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C ZINC000330840993 223147977 /nfs/dbraw/zinc/14/79/77/223147977.db2.gz NEVGWZYOYIXDEA-CYBMUJFWSA-N 1 2 319.409 1.450 20 30 DDEDLO Cc1ccc(C(N)=O)cc1NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C ZINC000330840993 223147978 /nfs/dbraw/zinc/14/79/78/223147978.db2.gz NEVGWZYOYIXDEA-CYBMUJFWSA-N 1 2 319.409 1.450 20 30 DDEDLO Cc1cc(C)c(NC(=O)NC[C@H]2CCS(=O)(=O)C2)c(C)[nH+]1 ZINC000331227209 223194805 /nfs/dbraw/zinc/19/48/05/223194805.db2.gz DHJBWXCBOBIUNO-GFCCVEGCSA-N 1 2 311.407 1.767 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000612901946 361188055 /nfs/dbraw/zinc/18/80/55/361188055.db2.gz MNIBLMPZGSVUCF-HUUCEWRRSA-N 1 2 316.405 1.247 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@@H](C(N)=O)c2ccc(F)cc2)CC1 ZINC000341993471 223299996 /nfs/dbraw/zinc/29/99/96/223299996.db2.gz QSOCTLIIEXZJAB-CQSZACIVSA-N 1 2 305.353 1.604 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@H](C)C(=O)Nc2ncccn2)CC1 ZINC000341983989 223298366 /nfs/dbraw/zinc/29/83/66/223298366.db2.gz GPKMUQTVRLYCED-GFCCVEGCSA-N 1 2 303.366 1.056 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000370521020 283733055 /nfs/dbraw/zinc/73/30/55/283733055.db2.gz CIQOOEAOOAGHDS-AWEZNQCLSA-N 1 2 323.352 1.896 20 30 DDEDLO Cc1[nH+]c2cc(NC(=O)[C@@H](C)n3cnc(C#N)n3)ccc2n1C ZINC000619595017 364075254 /nfs/dbraw/zinc/07/52/54/364075254.db2.gz XXZJUDRHEBBYIC-SECBINFHSA-N 1 2 309.333 1.545 20 30 DDEDLO C=C[C@H]([NH2+]C[C@H]1CC(=O)N(Cc2ccccc2)C1)C(=O)OC ZINC000619703239 364120958 /nfs/dbraw/zinc/12/09/58/364120958.db2.gz IGTRPYUZJHOMKQ-CABCVRRESA-N 1 2 302.374 1.352 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(NC(=O)c2ccc(F)cc2)CC1 ZINC000619716117 364126650 /nfs/dbraw/zinc/12/66/50/364126650.db2.gz RVKJBVGYDUOYLH-HNNXBMFYSA-N 1 2 320.364 1.748 20 30 DDEDLO N#Cc1ccc(CNC(=O)NCC[NH+]2CCOCC2)cc1Cl ZINC000619743863 364137518 /nfs/dbraw/zinc/13/75/18/364137518.db2.gz PPPFVJMHYIIXCK-UHFFFAOYSA-N 1 2 322.796 1.343 20 30 DDEDLO Cc1c[nH+]c(CN2CCN(Cc3ccccc3)[C@H](C#N)C2)n1C ZINC000342774641 223313535 /nfs/dbraw/zinc/31/35/35/223313535.db2.gz FVBOGZJYIKEBIU-QGZVFWFLSA-N 1 2 309.417 1.939 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cc(C#N)cs1 ZINC000091936873 193129012 /nfs/dbraw/zinc/12/90/12/193129012.db2.gz GXRYKMBZWCHICW-LBPRGKRZSA-N 1 2 324.413 1.992 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cc(C#N)cs1 ZINC000091936873 193129014 /nfs/dbraw/zinc/12/90/14/193129014.db2.gz GXRYKMBZWCHICW-LBPRGKRZSA-N 1 2 324.413 1.992 20 30 DDEDLO COC[C@H]([NH2+][C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)c1ccco1 ZINC000347614832 223373259 /nfs/dbraw/zinc/37/32/59/223373259.db2.gz IAJAQGAHQCDEKZ-HEHGZKQESA-N 1 2 307.394 2.000 20 30 DDEDLO Cn1c(Sc2ccc(C#N)cn2)nnc1[C@@H]1CCC[NH2+]C1 ZINC000265439340 204614568 /nfs/dbraw/zinc/61/45/68/204614568.db2.gz HUIFSRIFFPOIOG-LLVKDONJSA-N 1 2 300.391 1.700 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000267606888 206171156 /nfs/dbraw/zinc/17/11/56/206171156.db2.gz NDMVLYYDWQMFJA-NWDGAFQWSA-N 1 2 319.386 1.220 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000267606888 206171161 /nfs/dbraw/zinc/17/11/61/206171161.db2.gz NDMVLYYDWQMFJA-NWDGAFQWSA-N 1 2 319.386 1.220 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(c3nc(N)ns3)CC2)c1 ZINC000267996167 206386500 /nfs/dbraw/zinc/38/65/00/206386500.db2.gz ZNDDIOYZDREQRT-UHFFFAOYSA-N 1 2 300.391 1.314 20 30 DDEDLO C[C@@H](NC(=O)Nc1cccc(C#N)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000104774550 194037648 /nfs/dbraw/zinc/03/76/48/194037648.db2.gz JYWPCOSQUXAKEZ-CHWSQXEVSA-N 1 2 302.378 1.789 20 30 DDEDLO N#Cc1ccc(C(=O)Nc2ccccc2C[NH+]2CCOCC2)[nH]1 ZINC000159766575 197362151 /nfs/dbraw/zinc/36/21/51/197362151.db2.gz JCBQMWYYPZBTPX-UHFFFAOYSA-N 1 2 310.357 1.971 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)Cc2cccc(C#N)c2)[C@H](C)C1 ZINC000285358184 218396245 /nfs/dbraw/zinc/39/62/45/218396245.db2.gz QBMYQICGOHALOL-CYBMUJFWSA-N 1 2 307.419 1.414 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)Cc2cccc(C#N)c2)[C@H](C)C1 ZINC000285358184 218396248 /nfs/dbraw/zinc/39/62/48/218396248.db2.gz QBMYQICGOHALOL-CYBMUJFWSA-N 1 2 307.419 1.414 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(F)c(C#N)c2)CC1(C)C ZINC000110752049 194356833 /nfs/dbraw/zinc/35/68/33/194356833.db2.gz ZNEJLYNJQFNIHK-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(F)c(C#N)c2)CC1(C)C ZINC000110752049 194356835 /nfs/dbraw/zinc/35/68/35/194356835.db2.gz ZNEJLYNJQFNIHK-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO COc1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)cc1[N+](=O)[O-] ZINC000414121527 533018192 /nfs/dbraw/zinc/01/81/92/533018192.db2.gz HJALFSJPVDFKTM-NSHDSACASA-N 1 2 309.322 1.189 20 30 DDEDLO COc1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc1[N+](=O)[O-] ZINC000414121527 533018201 /nfs/dbraw/zinc/01/82/01/533018201.db2.gz HJALFSJPVDFKTM-NSHDSACASA-N 1 2 309.322 1.189 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(c3cc(NC4CC4)[nH+]cn3)C2)nc1 ZINC000413294465 224171222 /nfs/dbraw/zinc/17/12/22/224171222.db2.gz BEYSDHLZXZGIJE-CQSZACIVSA-N 1 2 322.372 1.975 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(c3cc(NC4CC4)nc[nH+]3)C2)nc1 ZINC000413294465 224171224 /nfs/dbraw/zinc/17/12/24/224171224.db2.gz BEYSDHLZXZGIJE-CQSZACIVSA-N 1 2 322.372 1.975 20 30 DDEDLO C[C@H]1CC[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@H]1O ZINC000305756729 533637212 /nfs/dbraw/zinc/63/72/12/533637212.db2.gz DIHHWSVJSCDTTJ-IINYFYTJSA-N 1 2 307.781 1.853 20 30 DDEDLO C[C@H]1CC[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@H]1O ZINC000305756729 533637223 /nfs/dbraw/zinc/63/72/23/533637223.db2.gz DIHHWSVJSCDTTJ-IINYFYTJSA-N 1 2 307.781 1.853 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)N[C@@](C)(C#N)C2CC2)CC1 ZINC000341998236 292207759 /nfs/dbraw/zinc/20/77/59/292207759.db2.gz XHHALNBCKIHJNC-HNNXBMFYSA-N 1 2 304.394 1.047 20 30 DDEDLO N#Cc1ccc(CNC(=O)NCCCn2cc[nH+]c2)c(F)c1 ZINC000170934733 407561281 /nfs/dbraw/zinc/56/12/81/407561281.db2.gz LCMZRTASZVEBMZ-UHFFFAOYSA-N 1 2 301.325 1.783 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)s1 ZINC000128695150 407564884 /nfs/dbraw/zinc/56/48/84/407564884.db2.gz PZVOSCJCORSJFI-NWDGAFQWSA-N 1 2 324.450 1.580 20 30 DDEDLO CC(C)C(=O)N1CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000069609447 406741616 /nfs/dbraw/zinc/74/16/16/406741616.db2.gz AUDNPPDPEQRZSU-QGZVFWFLSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)C(=O)N1CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000069609447 406741620 /nfs/dbraw/zinc/74/16/20/406741620.db2.gz AUDNPPDPEQRZSU-QGZVFWFLSA-N 1 2 322.453 1.231 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCOC2(CCCCC2)C1)C1CC1 ZINC000075831996 406941505 /nfs/dbraw/zinc/94/15/05/406941505.db2.gz BXCJIEHRYVIAKS-INIZCTEOSA-N 1 2 305.422 1.830 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCOC2(CCCCC2)C1)C1CC1 ZINC000075831996 406941508 /nfs/dbraw/zinc/94/15/08/406941508.db2.gz BXCJIEHRYVIAKS-INIZCTEOSA-N 1 2 305.422 1.830 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CC[NH+](CC2CC2)CC1 ZINC000048441207 407097995 /nfs/dbraw/zinc/09/79/95/407097995.db2.gz HXIUWYFBOKJVGL-UHFFFAOYSA-N 1 2 305.403 1.275 20 30 DDEDLO C[C@H](CNC(=O)N(C)Cc1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000046262100 407058739 /nfs/dbraw/zinc/05/87/39/407058739.db2.gz XQOJJUXVDWRMFC-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO C=CC[N@H+](Cc1cccc2c[nH]nc21)[C@H]1CCS(=O)(=O)C1 ZINC000092361220 407188037 /nfs/dbraw/zinc/18/80/37/407188037.db2.gz LAYFTXHTPBVHNS-AWEZNQCLSA-N 1 2 305.403 1.738 20 30 DDEDLO C=CC[N@@H+](Cc1cccc2c[nH]nc21)[C@H]1CCS(=O)(=O)C1 ZINC000092361220 407188040 /nfs/dbraw/zinc/18/80/40/407188040.db2.gz LAYFTXHTPBVHNS-AWEZNQCLSA-N 1 2 305.403 1.738 20 30 DDEDLO CC[N@@H+](CC(=O)NCc1cccc(COC)c1)C[C@@H](C)C#N ZINC000066424798 407250884 /nfs/dbraw/zinc/25/08/84/407250884.db2.gz CIWNIFFWTAYDEW-AWEZNQCLSA-N 1 2 303.406 1.931 20 30 DDEDLO CC[N@H+](CC(=O)NCc1cccc(COC)c1)C[C@@H](C)C#N ZINC000066424798 407250886 /nfs/dbraw/zinc/25/08/86/407250886.db2.gz CIWNIFFWTAYDEW-AWEZNQCLSA-N 1 2 303.406 1.931 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)N2CCC(C)CC2)cs1 ZINC000063456896 407233338 /nfs/dbraw/zinc/23/33/38/407233338.db2.gz ITCMXOLLXDKEHQ-UHFFFAOYSA-N 1 2 310.423 1.541 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)NCC[NH+]1CCOCC1 ZINC000124872419 407372300 /nfs/dbraw/zinc/37/23/00/407372300.db2.gz DBHDETARBRPHHC-UHFFFAOYSA-N 1 2 309.435 1.778 20 30 DDEDLO N#CC1(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)CCOCC1 ZINC000109139118 407395050 /nfs/dbraw/zinc/39/50/50/407395050.db2.gz IHCHMSWSAXINAD-UHFFFAOYSA-N 1 2 314.389 1.618 20 30 DDEDLO N#CC1(C(=O)NC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)CCC1 ZINC000128221848 407509223 /nfs/dbraw/zinc/50/92/23/407509223.db2.gz DEVUMXYNXWGODX-MRXNPFEDSA-N 1 2 313.401 1.697 20 30 DDEDLO N#CC1(C(=O)NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)CCC1 ZINC000128221848 407509231 /nfs/dbraw/zinc/50/92/31/407509231.db2.gz DEVUMXYNXWGODX-MRXNPFEDSA-N 1 2 313.401 1.697 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@H+](CCOc1ccccc1C#N)C1CC1 ZINC000113261151 407485008 /nfs/dbraw/zinc/48/50/08/407485008.db2.gz LZNDUCXMHFPAOG-ZDUSSCGKSA-N 1 2 322.430 1.835 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@@H+](CCOc1ccccc1C#N)C1CC1 ZINC000113261151 407485015 /nfs/dbraw/zinc/48/50/15/407485015.db2.gz LZNDUCXMHFPAOG-ZDUSSCGKSA-N 1 2 322.430 1.835 20 30 DDEDLO COC(=O)c1cc(C[N@H+](C)[C@H](C)CC#N)cc(C(=O)OC)c1 ZINC000270985249 407451160 /nfs/dbraw/zinc/45/11/60/407451160.db2.gz DBGZIAYIFGKEMW-LLVKDONJSA-N 1 2 304.346 1.994 20 30 DDEDLO COC(=O)c1cc(C[N@@H+](C)[C@H](C)CC#N)cc(C(=O)OC)c1 ZINC000270985249 407451163 /nfs/dbraw/zinc/45/11/63/407451163.db2.gz DBGZIAYIFGKEMW-LLVKDONJSA-N 1 2 304.346 1.994 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)Cc1ccc([S@](C)=O)cc1 ZINC000178421554 407451206 /nfs/dbraw/zinc/45/12/06/407451206.db2.gz KAGFSLFQXOXNPO-QFIPXVFZSA-N 1 2 321.446 1.618 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)Cc1ccc([S@](C)=O)cc1 ZINC000178421554 407451213 /nfs/dbraw/zinc/45/12/13/407451213.db2.gz KAGFSLFQXOXNPO-QFIPXVFZSA-N 1 2 321.446 1.618 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000170646452 407463191 /nfs/dbraw/zinc/46/31/91/407463191.db2.gz XZDWYROOHUNIDW-CABCVRRESA-N 1 2 314.433 1.983 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2c(F)cc(C#N)cc2F)CC1 ZINC000152047250 407552872 /nfs/dbraw/zinc/55/28/72/407552872.db2.gz AKINOFDMCLCWAN-UHFFFAOYSA-N 1 2 309.316 1.241 20 30 DDEDLO Cc1nc(CN2CC[NH+](Cc3ccc(C#N)cc3F)CC2)no1 ZINC000114464793 407574932 /nfs/dbraw/zinc/57/49/32/407574932.db2.gz YOGCJVJSKGWFPV-UHFFFAOYSA-N 1 2 315.352 1.707 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1CC[C@H](O)C1 ZINC000114470491 407578992 /nfs/dbraw/zinc/57/89/92/407578992.db2.gz PEFCSKCBEUHNPO-UWVGGRQHSA-N 1 2 305.403 1.502 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1CC[C@H](O)C1 ZINC000114470491 407578996 /nfs/dbraw/zinc/57/89/96/407578996.db2.gz PEFCSKCBEUHNPO-UWVGGRQHSA-N 1 2 305.403 1.502 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)NCc1cccc(C#N)c1 ZINC000171099868 407596672 /nfs/dbraw/zinc/59/66/72/407596672.db2.gz NKJFAQPHQFRRHS-UHFFFAOYSA-N 1 2 316.405 1.468 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)NCc1cccc(C#N)c1 ZINC000171099868 407596676 /nfs/dbraw/zinc/59/66/76/407596676.db2.gz NKJFAQPHQFRRHS-UHFFFAOYSA-N 1 2 316.405 1.468 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1ccccc1SCC(N)=O ZINC000266959822 407694006 /nfs/dbraw/zinc/69/40/06/407694006.db2.gz WQCCCVNNVLHEQM-UHFFFAOYSA-N 1 2 305.403 1.158 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1ccccc1SCC(N)=O ZINC000266959822 407694012 /nfs/dbraw/zinc/69/40/12/407694012.db2.gz WQCCCVNNVLHEQM-UHFFFAOYSA-N 1 2 305.403 1.158 20 30 DDEDLO Cc1cc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)ccc1O ZINC000272348930 407878762 /nfs/dbraw/zinc/87/87/62/407878762.db2.gz ARQMNWZFIIIJMH-UHFFFAOYSA-N 1 2 317.389 1.389 20 30 DDEDLO C=C(C)C[N@@H+](C)Cc1nnc2n1c1ccc(C)cc1c(=O)n2C ZINC000181331946 407983421 /nfs/dbraw/zinc/98/34/21/407983421.db2.gz WHWHJXABJMOXDP-UHFFFAOYSA-N 1 2 311.389 1.898 20 30 DDEDLO C=C(C)C[N@H+](C)Cc1nnc2n1c1ccc(C)cc1c(=O)n2C ZINC000181331946 407983426 /nfs/dbraw/zinc/98/34/26/407983426.db2.gz WHWHJXABJMOXDP-UHFFFAOYSA-N 1 2 311.389 1.898 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCC[C@@H](C)C2)CC1 ZINC000119092676 407992638 /nfs/dbraw/zinc/99/26/38/407992638.db2.gz AHNVNVQYYMBLPQ-CVEARBPZSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCC[C@@H](C)C2)CC1 ZINC000119092676 407992643 /nfs/dbraw/zinc/99/26/43/407992643.db2.gz AHNVNVQYYMBLPQ-CVEARBPZSA-N 1 2 319.449 1.096 20 30 DDEDLO COC(=O)[C@H]1[C@@H](O)CCC[N@@H+]1CCCOc1cccc(C#N)c1 ZINC000189609940 408063271 /nfs/dbraw/zinc/06/32/71/408063271.db2.gz UNGQIVDDIYSCON-JKSUJKDBSA-N 1 2 318.373 1.325 20 30 DDEDLO COC(=O)[C@H]1[C@@H](O)CCC[N@H+]1CCCOc1cccc(C#N)c1 ZINC000189609940 408063276 /nfs/dbraw/zinc/06/32/76/408063276.db2.gz UNGQIVDDIYSCON-JKSUJKDBSA-N 1 2 318.373 1.325 20 30 DDEDLO CNC(=O)CCC[N@H+](C)[C@@H](C(=O)OC)c1cccc(C#N)c1 ZINC000154681481 408069316 /nfs/dbraw/zinc/06/93/16/408069316.db2.gz CDYCXGPEKDALPR-OAHLLOKOSA-N 1 2 303.362 1.230 20 30 DDEDLO CNC(=O)CCC[N@@H+](C)[C@@H](C(=O)OC)c1cccc(C#N)c1 ZINC000154681481 408069322 /nfs/dbraw/zinc/06/93/22/408069322.db2.gz CDYCXGPEKDALPR-OAHLLOKOSA-N 1 2 303.362 1.230 20 30 DDEDLO COCCOc1ccc(C#N)cc1NC(=O)NCc1[nH]cc[nH+]1 ZINC000273132318 408073085 /nfs/dbraw/zinc/07/30/85/408073085.db2.gz GMGHMCPDNFVUTF-UHFFFAOYSA-N 1 2 315.333 1.628 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[NH+](CCc2ccccc2)CC1 ZINC000119460811 408073087 /nfs/dbraw/zinc/07/30/87/408073087.db2.gz CQAZDRORERLBMT-UHFFFAOYSA-N 1 2 308.447 1.753 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(-n3cccn3)cc2)CC1 ZINC000154767433 408083523 /nfs/dbraw/zinc/08/35/23/408083523.db2.gz GXEIPIRMCSSSKK-UHFFFAOYSA-N 1 2 323.400 1.062 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)c1ccc(C#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000268649449 408137785 /nfs/dbraw/zinc/13/77/85/408137785.db2.gz JHWUNVYOHWAFKH-JKSUJKDBSA-N 1 2 320.414 1.267 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)c1ccc(C#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000268649449 408137791 /nfs/dbraw/zinc/13/77/91/408137791.db2.gz JHWUNVYOHWAFKH-JKSUJKDBSA-N 1 2 320.414 1.267 20 30 DDEDLO CCC[C@@](C)(O)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000269286712 408253283 /nfs/dbraw/zinc/25/32/83/408253283.db2.gz CPKQVFUZBQADGV-GOSISDBHSA-N 1 2 315.417 1.754 20 30 DDEDLO CN(C)C(=O)C[N@H+](C)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000182632270 408212566 /nfs/dbraw/zinc/21/25/66/408212566.db2.gz VPFIITMUTJTXIX-OAHLLOKOSA-N 1 2 314.389 1.074 20 30 DDEDLO CN(C)C(=O)C[N@@H+](C)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000182632270 408212571 /nfs/dbraw/zinc/21/25/71/408212571.db2.gz VPFIITMUTJTXIX-OAHLLOKOSA-N 1 2 314.389 1.074 20 30 DDEDLO C=CC[C@@H](CO)Nc1nc(N[C@H](CO)CC=C)c(Cl)c[nH+]1 ZINC000182666021 408218450 /nfs/dbraw/zinc/21/84/50/408218450.db2.gz XEQODLPEQQGCPP-QWRGUYRKSA-N 1 2 312.801 1.828 20 30 DDEDLO CCCCc1noc(CN(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000176264810 408326401 /nfs/dbraw/zinc/32/64/01/408326401.db2.gz CWUUMOLCHPSLCD-UHFFFAOYSA-N 1 2 321.425 1.460 20 30 DDEDLO C#CC[N@@H+](CCCSCC(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000157181176 408292104 /nfs/dbraw/zinc/29/21/04/408292104.db2.gz CZGSIGPQMYRBOM-AWEZNQCLSA-N 1 2 303.493 1.888 20 30 DDEDLO C#CC[N@H+](CCCSCC(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000157181176 408292111 /nfs/dbraw/zinc/29/21/11/408292111.db2.gz CZGSIGPQMYRBOM-AWEZNQCLSA-N 1 2 303.493 1.888 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@H]21 ZINC000158383750 408336761 /nfs/dbraw/zinc/33/67/61/408336761.db2.gz SNQOQVICQDGCLG-BZUAXINKSA-N 1 2 308.422 1.455 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@H]21 ZINC000158383750 408336764 /nfs/dbraw/zinc/33/67/64/408336764.db2.gz SNQOQVICQDGCLG-BZUAXINKSA-N 1 2 308.422 1.455 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cc(C#N)n(C)c2)CCN1CC(F)(F)F ZINC000191452157 408427987 /nfs/dbraw/zinc/42/79/87/408427987.db2.gz TZAOVVNUPXDIRM-LLVKDONJSA-N 1 2 300.328 1.965 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cc(C#N)n(C)c2)CCN1CC(F)(F)F ZINC000191452157 408427992 /nfs/dbraw/zinc/42/79/92/408427992.db2.gz TZAOVVNUPXDIRM-LLVKDONJSA-N 1 2 300.328 1.965 20 30 DDEDLO CO[C@@H]1CCC[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000177044909 408505144 /nfs/dbraw/zinc/50/51/44/408505144.db2.gz HBJGTXMCVUQYGF-CHWSQXEVSA-N 1 2 305.403 1.836 20 30 DDEDLO CO[C@@H]1CCC[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000177044909 408505148 /nfs/dbraw/zinc/50/51/48/408505148.db2.gz HBJGTXMCVUQYGF-CHWSQXEVSA-N 1 2 305.403 1.836 20 30 DDEDLO C#CCCCC(=O)NCC[NH+]1CCN(C(=O)OC(C)(C)C)CC1 ZINC000191955648 408512869 /nfs/dbraw/zinc/51/28/69/408512869.db2.gz ZXERJUGZZOKNHK-UHFFFAOYSA-N 1 2 323.437 1.459 20 30 DDEDLO COc1ccnc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)c1 ZINC000264396571 408474092 /nfs/dbraw/zinc/47/40/92/408474092.db2.gz GSGXZSPZHAIHNC-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO COc1cc[nH+]c(CN2CCN(c3cc(C#N)ccn3)CC2)c1 ZINC000264396571 408474095 /nfs/dbraw/zinc/47/40/95/408474095.db2.gz GSGXZSPZHAIHNC-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCC[C@@H]1c1ccc(OC)cc1OC ZINC000192238581 408562805 /nfs/dbraw/zinc/56/28/05/408562805.db2.gz MNFYFVFQXKGAKV-MRXNPFEDSA-N 1 2 316.401 1.932 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCC[C@@H]1c1ccc(OC)cc1OC ZINC000192238581 408562808 /nfs/dbraw/zinc/56/28/08/408562808.db2.gz MNFYFVFQXKGAKV-MRXNPFEDSA-N 1 2 316.401 1.932 20 30 DDEDLO N#Cc1ccc(N2CCN(c3nc[nH+]c(N)c3Cl)CC2)nc1 ZINC000192284141 408567658 /nfs/dbraw/zinc/56/76/58/408567658.db2.gz RDPVPXHJIHPPEM-UHFFFAOYSA-N 1 2 315.768 1.305 20 30 DDEDLO N#Cc1ccc(N2CCN(c3[nH+]cnc(N)c3Cl)CC2)nc1 ZINC000192284141 408567662 /nfs/dbraw/zinc/56/76/62/408567662.db2.gz RDPVPXHJIHPPEM-UHFFFAOYSA-N 1 2 315.768 1.305 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000161392700 408597297 /nfs/dbraw/zinc/59/72/97/408597297.db2.gz DQJRWSRGJOKZOP-MRXNPFEDSA-N 1 2 315.348 1.805 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+](CC(=O)N(CC)CC)CC1 ZINC000184934295 408719929 /nfs/dbraw/zinc/71/99/29/408719929.db2.gz VPVFJINZDBTAHS-HNNXBMFYSA-N 1 2 309.454 1.601 20 30 DDEDLO C#C[C@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000185351913 408799666 /nfs/dbraw/zinc/79/96/66/408799666.db2.gz KOIJATLLAMZVDC-UONOGXRCSA-N 1 2 303.381 1.474 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@@H+](Cc3ccc(Cl)cc3C#N)CC[C@@H]21 ZINC000285840482 408874538 /nfs/dbraw/zinc/87/45/38/408874538.db2.gz PVOOLTHXLAQOMD-KGLIPLIRSA-N 1 2 304.781 1.810 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@H+](Cc3ccc(Cl)cc3C#N)CC[C@@H]21 ZINC000285840482 408874540 /nfs/dbraw/zinc/87/45/40/408874540.db2.gz PVOOLTHXLAQOMD-KGLIPLIRSA-N 1 2 304.781 1.810 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3ccn(C)c3)CC2)c1C#N ZINC000291471057 408870026 /nfs/dbraw/zinc/87/00/26/408870026.db2.gz DVIDGANENHVUPC-UHFFFAOYSA-N 1 2 301.419 1.984 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCCC[C@@H]1c1ccnn1C ZINC000281514534 408888820 /nfs/dbraw/zinc/88/88/20/408888820.db2.gz VDXALNVVRJZJJW-GOEBONIOSA-N 1 2 317.437 1.955 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCCC[C@@H]1c1ccnn1C ZINC000281514534 408888824 /nfs/dbraw/zinc/88/88/24/408888824.db2.gz VDXALNVVRJZJJW-GOEBONIOSA-N 1 2 317.437 1.955 20 30 DDEDLO C[C@@](O)(C[NH+]1CCN(c2ccccc2C#N)CC1)C(F)(F)F ZINC000281734172 408919663 /nfs/dbraw/zinc/91/96/63/408919663.db2.gz DTHAFQDOAUHZMM-CQSZACIVSA-N 1 2 313.323 1.994 20 30 DDEDLO C[C@@]([NH2+]CC(=O)Nc1cccc(C#N)c1)(C(N)=O)c1ccccc1 ZINC000195226760 163313295 /nfs/dbraw/zinc/31/32/95/163313295.db2.gz GCFPVDLLFCGJCF-SFHVURJKSA-N 1 2 322.368 1.487 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H](CS(C)(=O)=O)C2)cc1C#N ZINC000292215548 409002988 /nfs/dbraw/zinc/00/29/88/409002988.db2.gz MSBXSNXIWVCBPH-CYBMUJFWSA-N 1 2 308.403 1.433 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H](CS(C)(=O)=O)C2)cc1C#N ZINC000292215548 409002990 /nfs/dbraw/zinc/00/29/90/409002990.db2.gz MSBXSNXIWVCBPH-CYBMUJFWSA-N 1 2 308.403 1.433 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)N(C)CCCn1cc[nH+]c1 ZINC000281903013 408951697 /nfs/dbraw/zinc/95/16/97/408951697.db2.gz XLMVFIUFTQZQLJ-HUUCEWRRSA-N 1 2 306.410 1.896 20 30 DDEDLO COCC(C)(C)[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000292042238 408968186 /nfs/dbraw/zinc/96/81/86/408968186.db2.gz AOKIPSXAUBRFJU-UHFFFAOYSA-N 1 2 311.407 1.084 20 30 DDEDLO COCC(C)(C)[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000292042238 408968188 /nfs/dbraw/zinc/96/81/88/408968188.db2.gz AOKIPSXAUBRFJU-UHFFFAOYSA-N 1 2 311.407 1.084 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2cccc(F)c2)C1=O ZINC000282024034 408973713 /nfs/dbraw/zinc/97/37/13/408973713.db2.gz PLFOAFDLFYILHK-AWEZNQCLSA-N 1 2 305.353 1.483 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2cccc(F)c2)C1=O ZINC000282024034 408973716 /nfs/dbraw/zinc/97/37/16/408973716.db2.gz PLFOAFDLFYILHK-AWEZNQCLSA-N 1 2 305.353 1.483 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(Cc3cccc(O)c3)CC2)C1=O ZINC000282134214 408998123 /nfs/dbraw/zinc/99/81/23/408998123.db2.gz ZBRLSOQGGNKANO-QGZVFWFLSA-N 1 2 315.417 1.297 20 30 DDEDLO C=CCN1CC[C@@H](N2CC[NH+](Cc3cccc(O)c3)CC2)C1=O ZINC000282134214 408998126 /nfs/dbraw/zinc/99/81/26/408998126.db2.gz ZBRLSOQGGNKANO-QGZVFWFLSA-N 1 2 315.417 1.297 20 30 DDEDLO COc1cc(C[N@@H+]2CCNC(=O)[C@H]2CC(C)C)ccc1C#N ZINC000282249280 409019378 /nfs/dbraw/zinc/01/93/78/409019378.db2.gz JLWFYACHYWXZCA-OAHLLOKOSA-N 1 2 301.390 1.913 20 30 DDEDLO COc1cc(C[N@H+]2CCNC(=O)[C@H]2CC(C)C)ccc1C#N ZINC000282249280 409019380 /nfs/dbraw/zinc/01/93/80/409019380.db2.gz JLWFYACHYWXZCA-OAHLLOKOSA-N 1 2 301.390 1.913 20 30 DDEDLO C=C[C@H](CO)NC(=O)NCc1ccc(N2CCCCCC2)[nH+]c1 ZINC000292514305 409033934 /nfs/dbraw/zinc/03/39/34/409033934.db2.gz RQOOIOSEMJHDRH-OAHLLOKOSA-N 1 2 318.421 1.808 20 30 DDEDLO CO/N=C/C(=O)N(Cc1ccccc1)[C@@H](C)C[NH+]1CCOCC1 ZINC000283212720 409113143 /nfs/dbraw/zinc/11/31/43/409113143.db2.gz XOJMHBHQGXAPKB-BRFSQIRFSA-N 1 2 319.405 1.368 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)C#Cc1ccccc1 ZINC000279071912 409142916 /nfs/dbraw/zinc/14/29/16/409142916.db2.gz FHWFEKODARIXOZ-UHFFFAOYSA-N 1 2 300.402 1.607 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)C#Cc1ccccc1 ZINC000279071912 409142917 /nfs/dbraw/zinc/14/29/17/409142917.db2.gz FHWFEKODARIXOZ-UHFFFAOYSA-N 1 2 300.402 1.607 20 30 DDEDLO C#CCNc1nc(N2CCC[C@H]2C)nc(N2CCC[C@@H]2C)[nH+]1 ZINC000288314942 409161320 /nfs/dbraw/zinc/16/13/20/409161320.db2.gz ISBGAUWUEVNEHI-BETUJISGSA-N 1 2 300.410 1.894 20 30 DDEDLO C#CCNc1nc(N2CCC[C@@H]2C)nc(N2CCC[C@H]2C)[nH+]1 ZINC000288314942 409161323 /nfs/dbraw/zinc/16/13/23/409161323.db2.gz ISBGAUWUEVNEHI-BETUJISGSA-N 1 2 300.410 1.894 20 30 DDEDLO CO/N=C/C(=O)NCc1ccc(N2CCc3ccccc3C2)[nH+]c1 ZINC000283828845 409224174 /nfs/dbraw/zinc/22/41/74/409224174.db2.gz ZNUCBRUDFVAHRO-CIAFOILYSA-N 1 2 324.384 1.893 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)[C@@H]3CC3(F)F)CC2)cc1 ZINC000294191837 409257424 /nfs/dbraw/zinc/25/74/24/409257424.db2.gz BAWHTACUCNGWPU-AWEZNQCLSA-N 1 2 305.328 1.858 20 30 DDEDLO CO[C@H](CN(CCC#N)CC[NH+]1CCOCC1)c1ccccc1 ZINC000284228823 409299876 /nfs/dbraw/zinc/29/98/76/409299876.db2.gz BKQCNKSZCQIYBK-GOSISDBHSA-N 1 2 317.433 1.922 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000280636619 409388133 /nfs/dbraw/zinc/38/81/33/409388133.db2.gz XVEXFPGSEMQOAU-CXAGYDPISA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000280636619 409388139 /nfs/dbraw/zinc/38/81/39/409388139.db2.gz XVEXFPGSEMQOAU-CXAGYDPISA-N 1 2 302.374 1.947 20 30 DDEDLO CCS[C@H](C)c1noc(CO[NH+]=C(N)[C@H]2CCCO2)n1 ZINC000284471938 409346355 /nfs/dbraw/zinc/34/63/55/409346355.db2.gz RHEACWRAHNJUNG-RKDXNWHRSA-N 1 2 300.384 1.852 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3cccc(C#N)c3)C[C@H]21 ZINC000285379092 409416235 /nfs/dbraw/zinc/41/62/35/409416235.db2.gz XTHDUFXAOZNPCO-HUUCEWRRSA-N 1 2 300.362 1.495 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3cccc(C#N)c3)C[C@H]21 ZINC000285379092 409416240 /nfs/dbraw/zinc/41/62/40/409416240.db2.gz XTHDUFXAOZNPCO-HUUCEWRRSA-N 1 2 300.362 1.495 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(C(=O)OC)cn2)C1=O ZINC000285373647 409416397 /nfs/dbraw/zinc/41/63/97/409416397.db2.gz RJHCTIHFTGGCIF-AWEZNQCLSA-N 1 2 303.362 1.087 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(C(=O)OC)cn2)C1=O ZINC000285373647 409416402 /nfs/dbraw/zinc/41/64/02/409416402.db2.gz RJHCTIHFTGGCIF-AWEZNQCLSA-N 1 2 303.362 1.087 20 30 DDEDLO COc1ccc(C[N@@H+]2CCO[C@@]3(CCCOC3)C2)cc1C#N ZINC000285403070 409433085 /nfs/dbraw/zinc/43/30/85/409433085.db2.gz UFBBXHXIBYYJFR-KRWDZBQOSA-N 1 2 302.374 1.948 20 30 DDEDLO COc1ccc(C[N@H+]2CCO[C@@]3(CCCOC3)C2)cc1C#N ZINC000285403070 409433090 /nfs/dbraw/zinc/43/30/90/409433090.db2.gz UFBBXHXIBYYJFR-KRWDZBQOSA-N 1 2 302.374 1.948 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000349106824 409696115 /nfs/dbraw/zinc/69/61/15/409696115.db2.gz ZURVAUOVGDMPOI-ZDUSSCGKSA-N 1 2 310.361 1.023 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000349106824 409696120 /nfs/dbraw/zinc/69/61/20/409696120.db2.gz ZURVAUOVGDMPOI-ZDUSSCGKSA-N 1 2 310.361 1.023 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1C[C@@H](C)[C@@H]([NH+]2CCOCC2)C1 ZINC000346128739 409803559 /nfs/dbraw/zinc/80/35/59/409803559.db2.gz XSORLDYSHGPBPX-UGUYLWEFSA-N 1 2 308.422 1.147 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000349357307 409809254 /nfs/dbraw/zinc/80/92/54/409809254.db2.gz ICCWCGTVAGPMOR-MRXNPFEDSA-N 1 2 301.390 1.219 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)N[C@H](C#N)C1CCCCC1 ZINC000357347942 409986399 /nfs/dbraw/zinc/98/63/99/409986399.db2.gz MKESHMQIPADVIW-CQSZACIVSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)N[C@H](C#N)C1CCCCC1 ZINC000357347942 409986404 /nfs/dbraw/zinc/98/64/04/409986404.db2.gz MKESHMQIPADVIW-CQSZACIVSA-N 1 2 308.426 1.031 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@@H](N3CCCC3=O)C2)CCCCC1 ZINC000328834353 409989088 /nfs/dbraw/zinc/98/90/88/409989088.db2.gz LRWWMQPXPGCGKW-CQSZACIVSA-N 1 2 318.421 1.866 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@@H](N3CCCC3=O)C2)CCCCC1 ZINC000328834353 409989091 /nfs/dbraw/zinc/98/90/91/409989091.db2.gz LRWWMQPXPGCGKW-CQSZACIVSA-N 1 2 318.421 1.866 20 30 DDEDLO CCN1CCN(C(=O)Nc2cnn(C)c2)C[C@H]1c1[nH]cc[nH+]1 ZINC000328623750 409936072 /nfs/dbraw/zinc/93/60/72/409936072.db2.gz JLHVGVIWZUTLAA-LBPRGKRZSA-N 1 2 303.370 1.068 20 30 DDEDLO Cc1[nH]c2ccc(NS(=O)(=O)c3cncc(C#N)c3)cc2[nH+]1 ZINC000357400011 410014717 /nfs/dbraw/zinc/01/47/17/410014717.db2.gz LXQGAEVUUWIEQG-UHFFFAOYSA-N 1 2 313.342 1.939 20 30 DDEDLO CCc1csc(NC(=O)N2CC[C@H]3OCC[N@H+](C)[C@@H]3C2)n1 ZINC000328958396 410021450 /nfs/dbraw/zinc/02/14/50/410021450.db2.gz JUAWLAMHWSHZHY-VXGBXAGGSA-N 1 2 310.423 1.656 20 30 DDEDLO CCc1csc(NC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@@H]3C2)n1 ZINC000328958396 410021457 /nfs/dbraw/zinc/02/14/57/410021457.db2.gz JUAWLAMHWSHZHY-VXGBXAGGSA-N 1 2 310.423 1.656 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)CNc1ccc(C#N)cc1 ZINC000342955871 410025436 /nfs/dbraw/zinc/02/54/36/410025436.db2.gz MAFHWFPUFJGJPE-UHFFFAOYSA-N 1 2 316.405 1.197 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)CNc1ccc(C#N)cc1 ZINC000342955871 410025438 /nfs/dbraw/zinc/02/54/38/410025438.db2.gz MAFHWFPUFJGJPE-UHFFFAOYSA-N 1 2 316.405 1.197 20 30 DDEDLO CN1CCOC[C@@H]1C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000328897656 410005865 /nfs/dbraw/zinc/00/58/65/410005865.db2.gz CUHJEYNPYBQQEK-CQSZACIVSA-N 1 2 304.394 1.469 20 30 DDEDLO Cc1noc([C@@H]2CC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)n1 ZINC000329027949 410061231 /nfs/dbraw/zinc/06/12/31/410061231.db2.gz KJCROKWOUKUQHC-IAQYHMDHSA-N 1 2 303.366 1.816 20 30 DDEDLO Cc1noc([C@@H]2CC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)n1 ZINC000329027949 410061233 /nfs/dbraw/zinc/06/12/33/410061233.db2.gz KJCROKWOUKUQHC-IAQYHMDHSA-N 1 2 303.366 1.816 20 30 DDEDLO C[C@]1(NC(=O)NCCc2cn3c([nH+]2)CCCC3)CCCOC1 ZINC000329157519 410134609 /nfs/dbraw/zinc/13/46/09/410134609.db2.gz WIGXQUINYOLIGC-INIZCTEOSA-N 1 2 306.410 1.835 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)c2sccc2C#N)C1 ZINC000354906977 410163392 /nfs/dbraw/zinc/16/33/92/410163392.db2.gz WBDPXNQDHXCMSB-NSHDSACASA-N 1 2 321.402 1.235 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNC(=O)c2sccc2C#N)C1 ZINC000354906977 410163399 /nfs/dbraw/zinc/16/33/99/410163399.db2.gz WBDPXNQDHXCMSB-NSHDSACASA-N 1 2 321.402 1.235 20 30 DDEDLO CC[C@@H]1CN(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)CCCO1 ZINC000329283602 410209980 /nfs/dbraw/zinc/20/99/80/410209980.db2.gz FUBVIAOEAYSCGU-RBSFLKMASA-N 1 2 313.442 1.511 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[NH+]3CCC2CC3)cc1C#N ZINC000329352029 410248382 /nfs/dbraw/zinc/24/83/82/410248382.db2.gz UJRSBHWWQIHYBE-UHFFFAOYSA-N 1 2 318.402 1.301 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000357837423 410287521 /nfs/dbraw/zinc/28/75/21/410287521.db2.gz SSTUHQUJODTPFW-CYBMUJFWSA-N 1 2 304.369 1.224 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H](N2CCn3cc[nH+]c3C2)C1=O ZINC000333070358 410339005 /nfs/dbraw/zinc/33/90/05/410339005.db2.gz UWHBVWQPMXGQIT-GFCCVEGCSA-N 1 2 313.386 1.437 20 30 DDEDLO O=C(NC[C@H]1CCCCO1)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000329544041 410353148 /nfs/dbraw/zinc/35/31/48/410353148.db2.gz FTHQKBGOITZKJR-LSDHHAIUSA-N 1 2 311.426 1.266 20 30 DDEDLO O=C(NC[C@H]1CCCn2cc[nH+]c21)N1CCOCC2(CC2)C1 ZINC000329420938 410288668 /nfs/dbraw/zinc/28/86/68/410288668.db2.gz DOFGYKDPNRRLFO-CYBMUJFWSA-N 1 2 304.394 1.787 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12)[C@H](C)[NH+]1CCOCC1 ZINC000329442770 410299720 /nfs/dbraw/zinc/29/97/20/410299720.db2.gz ASNJYXSPDPDUQG-YYFQZIEXSA-N 1 2 312.410 1.104 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)NC[C@@H]1CCCCS1(=O)=O ZINC000329563684 410359535 /nfs/dbraw/zinc/35/95/35/410359535.db2.gz NPOYVUMIJBWMIG-QWHCGFSZSA-N 1 2 302.440 1.785 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)NC[C@@H]1CCCCS1(=O)=O ZINC000329563684 410359542 /nfs/dbraw/zinc/35/95/42/410359542.db2.gz NPOYVUMIJBWMIG-QWHCGFSZSA-N 1 2 302.440 1.785 20 30 DDEDLO C#CC[NH+](CC#C)[C@H](C)C(=O)NC(=O)Nc1ccccc1F ZINC000299386796 410588250 /nfs/dbraw/zinc/58/82/50/410588250.db2.gz TTXJJLKCIGHBSY-GFCCVEGCSA-N 1 2 301.321 1.431 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCc1ccco1 ZINC000299575967 410599390 /nfs/dbraw/zinc/59/93/90/410599390.db2.gz XYURWEGAOPTWKB-UHFFFAOYSA-N 1 2 305.378 1.287 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC000299641139 410602340 /nfs/dbraw/zinc/60/23/40/410602340.db2.gz VIWSRWVYJISDMU-KRWDZBQOSA-N 1 2 323.400 1.682 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)NCCCCC#N)CC1 ZINC000358984766 410542096 /nfs/dbraw/zinc/54/20/96/410542096.db2.gz DRRAYOUBCFENQI-UHFFFAOYSA-N 1 2 301.394 1.916 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000352757475 410676296 /nfs/dbraw/zinc/67/62/96/410676296.db2.gz JSGSIZIZUPHZOP-CQSZACIVSA-N 1 2 315.377 1.633 20 30 DDEDLO CN1CC[C@H]([N@H+](C)Cc2ccc(C#N)cc2OC(F)F)C1=O ZINC000352699469 410675063 /nfs/dbraw/zinc/67/50/63/410675063.db2.gz RDWMBNVCKPXZGP-LBPRGKRZSA-N 1 2 309.316 1.822 20 30 DDEDLO CN1CC[C@H]([N@@H+](C)Cc2ccc(C#N)cc2OC(F)F)C1=O ZINC000352699469 410675069 /nfs/dbraw/zinc/67/50/69/410675069.db2.gz RDWMBNVCKPXZGP-LBPRGKRZSA-N 1 2 309.316 1.822 20 30 DDEDLO N#Cc1csc(C[N@H+]2CCC[C@@H](C(=O)NCC3CC3)C2)n1 ZINC000356057639 410801728 /nfs/dbraw/zinc/80/17/28/410801728.db2.gz DXKTZPCZAVMGIG-GFCCVEGCSA-N 1 2 304.419 1.753 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CCC[C@@H](C(=O)NCC3CC3)C2)n1 ZINC000356057639 410801740 /nfs/dbraw/zinc/80/17/40/410801740.db2.gz DXKTZPCZAVMGIG-GFCCVEGCSA-N 1 2 304.419 1.753 20 30 DDEDLO COC(=O)[C@@H]([NH2+]CCC(=O)Nc1cccc(C#N)c1)C1CC1 ZINC000348216001 410860641 /nfs/dbraw/zinc/86/06/41/410860641.db2.gz CIYWSAMHPMWHFS-HNNXBMFYSA-N 1 2 301.346 1.428 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)c2cnn(C)c2C#N)CC1 ZINC000356161519 410874082 /nfs/dbraw/zinc/87/40/82/410874082.db2.gz ULLOKJVJEFPKTN-CYBMUJFWSA-N 1 2 324.388 1.206 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ccc(C#N)nn3)c[nH+]2)C[C@@H](C)O1 ZINC000301873337 410820524 /nfs/dbraw/zinc/82/05/24/410820524.db2.gz DOYNSUQTXZKLSC-CHWSQXEVSA-N 1 2 324.388 1.969 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N(CC)C[C@@H](C)C#N)C[C@@]2(CCOC2)O1 ZINC000331487080 287186705 /nfs/dbraw/zinc/18/67/05/287186705.db2.gz AKJHZGABKRUXMV-ZMSDIMECSA-N 1 2 323.437 1.264 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N(CC)C[C@@H](C)C#N)C[C@@]2(CCOC2)O1 ZINC000331487080 287186707 /nfs/dbraw/zinc/18/67/07/287186707.db2.gz AKJHZGABKRUXMV-ZMSDIMECSA-N 1 2 323.437 1.264 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)[C@@H](C)c1cccc(C#N)c1 ZINC000360008487 410998146 /nfs/dbraw/zinc/99/81/46/410998146.db2.gz VOPBGCQSFPIYJQ-KBPBESRZSA-N 1 2 301.390 1.499 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)s1 ZINC000128695588 221579180 /nfs/dbraw/zinc/57/91/80/221579180.db2.gz PZVOSCJCORSJFI-RYUDHWBXSA-N 1 2 324.450 1.580 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)C[C@@H](C2CC2)O1 ZINC000373506827 418433966 /nfs/dbraw/zinc/43/39/66/418433966.db2.gz GCPOXPNXYGDXMC-HIFRSBDPSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C[C@@H](C2CC2)O1 ZINC000373506827 418433968 /nfs/dbraw/zinc/43/39/68/418433968.db2.gz GCPOXPNXYGDXMC-HIFRSBDPSA-N 1 2 305.422 1.828 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000366201459 418443114 /nfs/dbraw/zinc/44/31/14/418443114.db2.gz IKNWRCYUIBERSX-GJZGRUSLSA-N 1 2 319.368 1.637 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000366201459 418443116 /nfs/dbraw/zinc/44/31/16/418443116.db2.gz IKNWRCYUIBERSX-GJZGRUSLSA-N 1 2 319.368 1.637 20 30 DDEDLO N#CCC1(CNC(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)CC1 ZINC000374022632 418485511 /nfs/dbraw/zinc/48/55/11/418485511.db2.gz KDJSIHWSWPVRDT-CQSZACIVSA-N 1 2 300.362 1.938 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCC[C@@H](O)[C@@H]2C(=O)OC)cc1 ZINC000188783317 222026274 /nfs/dbraw/zinc/02/62/74/222026274.db2.gz TXQFEZZWTGGXPV-IAGOWNOFSA-N 1 2 317.385 1.587 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCC[C@@H](O)[C@@H]2C(=O)OC)cc1 ZINC000188783317 222026277 /nfs/dbraw/zinc/02/62/77/222026277.db2.gz TXQFEZZWTGGXPV-IAGOWNOFSA-N 1 2 317.385 1.587 20 30 DDEDLO C[C@@H]1CN(C(=O)CSc2ccc(C#N)cc2)CC[N@@H+]1CCO ZINC000188800243 222027700 /nfs/dbraw/zinc/02/77/00/222027700.db2.gz WOGAXSPTDUFCGT-CYBMUJFWSA-N 1 2 319.430 1.175 20 30 DDEDLO C[C@@H]1CN(C(=O)CSc2ccc(C#N)cc2)CC[N@H+]1CCO ZINC000188800243 222027703 /nfs/dbraw/zinc/02/77/03/222027703.db2.gz WOGAXSPTDUFCGT-CYBMUJFWSA-N 1 2 319.430 1.175 20 30 DDEDLO COCCN1CCC[N@H+](Cc2ccc(C#N)c(OC)c2)CC1=O ZINC000367038107 418553826 /nfs/dbraw/zinc/55/38/26/418553826.db2.gz WXRRLIHKUOETAT-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO COCCN1CCC[N@@H+](Cc2ccc(C#N)c(OC)c2)CC1=O ZINC000367038107 418553828 /nfs/dbraw/zinc/55/38/28/418553828.db2.gz WXRRLIHKUOETAT-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO COC(=O)[C@]1(C(F)(F)F)CC[N@H+](C[C@@H](C#N)CCC#N)C1 ZINC000367233076 418575069 /nfs/dbraw/zinc/57/50/69/418575069.db2.gz ZCGNIMOETHGDDS-PWSUYJOCSA-N 1 2 303.284 1.857 20 30 DDEDLO COC(=O)[C@]1(C(F)(F)F)CC[N@@H+](C[C@@H](C#N)CCC#N)C1 ZINC000367233076 418575071 /nfs/dbraw/zinc/57/50/71/418575071.db2.gz ZCGNIMOETHGDDS-PWSUYJOCSA-N 1 2 303.284 1.857 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCCN(C)C(=O)C2)cc1OC ZINC000191667772 222105845 /nfs/dbraw/zinc/10/58/45/222105845.db2.gz WGPRRRJPGOMZBY-UHFFFAOYSA-N 1 2 304.390 1.924 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCCN(C)C(=O)C2)cc1OC ZINC000191667772 222105849 /nfs/dbraw/zinc/10/58/49/222105849.db2.gz WGPRRRJPGOMZBY-UHFFFAOYSA-N 1 2 304.390 1.924 20 30 DDEDLO C#C[C@H](C)NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000190294099 222074462 /nfs/dbraw/zinc/07/44/62/222074462.db2.gz ODGXIIDSAHFPSO-JTQLQIEISA-N 1 2 310.357 1.527 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N[C@H](C)C[NH+]2CCN(C)CC2)cc1 ZINC000264461220 222332125 /nfs/dbraw/zinc/33/21/25/222332125.db2.gz RSWVDWRKRIYAEG-CRRKTRLDSA-N 1 2 318.421 1.033 20 30 DDEDLO Cc1nc(NCc2cccc(C(=O)N(CC#N)CC#N)c2)cc[nH+]1 ZINC000348734650 418640695 /nfs/dbraw/zinc/64/06/95/418640695.db2.gz JUXWPYVQJCXQPA-UHFFFAOYSA-N 1 2 320.356 1.886 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CC[N@H+](C)[C@H](c2ccccc2Cl)C1 ZINC000377523742 418710308 /nfs/dbraw/zinc/71/03/08/418710308.db2.gz KVVJHZXCQSSPFN-CJNGLKHVSA-N 1 2 319.836 1.766 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CC[N@@H+](C)[C@H](c2ccccc2Cl)C1 ZINC000377523742 418710310 /nfs/dbraw/zinc/71/03/10/418710310.db2.gz KVVJHZXCQSSPFN-CJNGLKHVSA-N 1 2 319.836 1.766 20 30 DDEDLO Cn1c[nH+]c2c1CCN(C(=O)C(=O)Nc1ccc(CC#N)cc1)C2 ZINC000375974342 418691471 /nfs/dbraw/zinc/69/14/71/418691471.db2.gz NAPBCIJWFUESFR-UHFFFAOYSA-N 1 2 323.356 1.010 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC[N@H+](C)[C@H](C)[C@H]1C ZINC000361971752 418732577 /nfs/dbraw/zinc/73/25/77/418732577.db2.gz DQJOYWJPHHBKFF-VXGBXAGGSA-N 1 2 323.418 1.280 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC[N@@H+](C)[C@H](C)[C@H]1C ZINC000361971752 418732579 /nfs/dbraw/zinc/73/25/79/418732579.db2.gz DQJOYWJPHHBKFF-VXGBXAGGSA-N 1 2 323.418 1.280 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(S(=O)(=O)CCCCC#N)C1 ZINC000369367569 418733282 /nfs/dbraw/zinc/73/32/82/418733282.db2.gz AQHAJNFXPPHLAX-CYBMUJFWSA-N 1 2 310.423 1.623 20 30 DDEDLO N#C[C@H]1C[N@@H+](CCn2cccn2)C[C@@]12C(=O)Nc1ccccc12 ZINC000369522824 418734148 /nfs/dbraw/zinc/73/41/48/418734148.db2.gz ZOOMKGYVKRKMFD-SUMWQHHRSA-N 1 2 307.357 1.229 20 30 DDEDLO N#C[C@H]1C[N@H+](CCn2cccn2)C[C@@]12C(=O)Nc1ccccc12 ZINC000369522824 418734150 /nfs/dbraw/zinc/73/41/50/418734150.db2.gz ZOOMKGYVKRKMFD-SUMWQHHRSA-N 1 2 307.357 1.229 20 30 DDEDLO N#C[C@@H]1C[N@@H+](CCn2cccn2)C[C@]12C(=O)Nc1ccccc12 ZINC000369522822 418734209 /nfs/dbraw/zinc/73/42/09/418734209.db2.gz ZOOMKGYVKRKMFD-DYVFJYSZSA-N 1 2 307.357 1.229 20 30 DDEDLO N#C[C@@H]1C[N@H+](CCn2cccn2)C[C@]12C(=O)Nc1ccccc12 ZINC000369522822 418734211 /nfs/dbraw/zinc/73/42/11/418734211.db2.gz ZOOMKGYVKRKMFD-DYVFJYSZSA-N 1 2 307.357 1.229 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCCC[C@@H](CO)C1 ZINC000370227793 418742276 /nfs/dbraw/zinc/74/22/76/418742276.db2.gz OGTIZBZUOMMYGF-ZBFHGGJFSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCCC[C@@H](CO)C1 ZINC000370227793 418742278 /nfs/dbraw/zinc/74/22/78/418742278.db2.gz OGTIZBZUOMMYGF-ZBFHGGJFSA-N 1 2 302.374 1.867 20 30 DDEDLO CCc1nnc(N2CC[NH+]([C@H]3CCOC3)CC2)c(C#N)c1CC ZINC000371109657 418762820 /nfs/dbraw/zinc/76/28/20/418762820.db2.gz WTZBKWMNIYEWBZ-ZDUSSCGKSA-N 1 2 315.421 1.384 20 30 DDEDLO C=CC[C@@H](C)NC(=O)NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000363811689 418769999 /nfs/dbraw/zinc/76/99/99/418769999.db2.gz CLBOYMNDHMIMBK-GDBMZVCRSA-N 1 2 311.426 1.132 20 30 DDEDLO N#C[C@]1(C(=O)N2CC[NH+](C3CC3)CC2)CCc2ccccc2C1 ZINC000378449406 418723320 /nfs/dbraw/zinc/72/33/20/418723320.db2.gz FWTRJNBKAAQITE-IBGZPJMESA-N 1 2 309.413 1.992 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)nc2)C[C@H]1C ZINC000408043133 418783918 /nfs/dbraw/zinc/78/39/18/418783918.db2.gz VKEORPBVKWWROJ-NEPJUHHUSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)nc2)C[C@H]1C ZINC000408043133 418783919 /nfs/dbraw/zinc/78/39/19/418783919.db2.gz VKEORPBVKWWROJ-NEPJUHHUSA-N 1 2 308.407 1.056 20 30 DDEDLO CC(C)(C)NC(=O)C[N@@H+]1CCCN(C(=O)C2(C#N)CCC2)CC1 ZINC000365438267 418861032 /nfs/dbraw/zinc/86/10/32/418861032.db2.gz PEJKSLJJBDELIQ-UHFFFAOYSA-N 1 2 320.437 1.129 20 30 DDEDLO CC(C)(C)NC(=O)C[N@H+]1CCCN(C(=O)C2(C#N)CCC2)CC1 ZINC000365438267 418861035 /nfs/dbraw/zinc/86/10/35/418861035.db2.gz PEJKSLJJBDELIQ-UHFFFAOYSA-N 1 2 320.437 1.129 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](O)[C@H]([N@H+](CC)Cc2nnc(C)o2)C1 ZINC000372567057 418885217 /nfs/dbraw/zinc/88/52/17/418885217.db2.gz FIDZQXSYQLJUAS-ZIAGYGMSSA-N 1 2 322.409 1.128 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](O)[C@H]([N@@H+](CC)Cc2nnc(C)o2)C1 ZINC000372567057 418885220 /nfs/dbraw/zinc/88/52/20/418885220.db2.gz FIDZQXSYQLJUAS-ZIAGYGMSSA-N 1 2 322.409 1.128 20 30 DDEDLO C#CC[C@H](C)NC(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC000365671619 418892634 /nfs/dbraw/zinc/89/26/34/418892634.db2.gz OHOSZBZMXRQDSQ-LBPRGKRZSA-N 1 2 306.435 1.819 20 30 DDEDLO N#CCC1(O)C[NH+](C[C@H](O)Cc2ccccc2C(F)(F)F)C1 ZINC000424144783 228236051 /nfs/dbraw/zinc/23/60/51/228236051.db2.gz YBDXAOFNDGLFSZ-GFCCVEGCSA-N 1 2 314.307 1.569 20 30 DDEDLO N#CC1(F)CCN(C(=O)NC[C@H]2CC[NH2+]CC2(F)F)CC1 ZINC000424471924 228279251 /nfs/dbraw/zinc/27/92/51/228279251.db2.gz UBEZSTZOYXZDTG-SNVBAGLBSA-N 1 2 304.316 1.268 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)N(C)C1(C#N)CCCCC1)c1csnn1 ZINC000412027319 419570080 /nfs/dbraw/zinc/57/00/80/419570080.db2.gz OVZAOXFEBBETPK-LLVKDONJSA-N 1 2 307.423 1.874 20 30 DDEDLO C[C@H](c1ccsc1)[NH+]1CCN(S(=O)(=O)[C@@H](C)C#N)CC1 ZINC000416466531 420382588 /nfs/dbraw/zinc/38/25/88/420382588.db2.gz QNSXFUCSIGCQDP-NWDGAFQWSA-N 1 2 313.448 1.669 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCC[C@@H](O)[C@@H]2C(=O)OC)cc1 ZINC000438552452 420459815 /nfs/dbraw/zinc/45/98/15/420459815.db2.gz IBVMFYMDFSXRLC-HZPDHXFCSA-N 1 2 305.374 1.750 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCC[C@@H](O)[C@@H]2C(=O)OC)cc1 ZINC000438552452 420459817 /nfs/dbraw/zinc/45/98/17/420459817.db2.gz IBVMFYMDFSXRLC-HZPDHXFCSA-N 1 2 305.374 1.750 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(C)Cc2ccccc2)nn1 ZINC000640756657 423165352 /nfs/dbraw/zinc/16/53/52/423165352.db2.gz HDTQQLYNZIYIIY-UHFFFAOYSA-N 1 2 311.389 1.050 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](C[C@H]3COCCO3)CC2)c(Cl)c1 ZINC000450864861 420547381 /nfs/dbraw/zinc/54/73/81/420547381.db2.gz UZOJYBMKZMVSMN-AWEZNQCLSA-N 1 2 321.808 1.749 20 30 DDEDLO CN(C)C(=O)COC[C@@H]1C[N@H+](CC2(C#N)CCC2)CC(C)(C)O1 ZINC000450939777 420564802 /nfs/dbraw/zinc/56/48/02/420564802.db2.gz KUCUFOGSFNALKH-AWEZNQCLSA-N 1 2 323.437 1.264 20 30 DDEDLO CN(C)C(=O)COC[C@@H]1C[N@@H+](CC2(C#N)CCC2)CC(C)(C)O1 ZINC000450939777 420564806 /nfs/dbraw/zinc/56/48/06/420564806.db2.gz KUCUFOGSFNALKH-AWEZNQCLSA-N 1 2 323.437 1.264 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+]1Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000441215365 420641379 /nfs/dbraw/zinc/64/13/79/420641379.db2.gz BZTPSXSNZJZFKH-CYBMUJFWSA-N 1 2 311.345 1.319 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+]1Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000441215365 420641384 /nfs/dbraw/zinc/64/13/84/420641384.db2.gz BZTPSXSNZJZFKH-CYBMUJFWSA-N 1 2 311.345 1.319 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)C=Cc3c[nH]cn3)CC2)cc1 ZINC000492858512 420689809 /nfs/dbraw/zinc/68/98/09/420689809.db2.gz LNWCASKDKNMGBK-WAYWQWQTSA-N 1 2 321.384 1.639 20 30 DDEDLO COC(=O)c1ccc(/C=C/C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000493289713 420810203 /nfs/dbraw/zinc/81/02/03/420810203.db2.gz HWCVCYIDMDPJTK-JEJOPICUSA-N 1 2 315.373 1.446 20 30 DDEDLO C=CCCCNC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000447984083 420830381 /nfs/dbraw/zinc/83/03/81/420830381.db2.gz WEBUGMPPGGTPNW-OAHLLOKOSA-N 1 2 313.467 1.460 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000448588217 420865374 /nfs/dbraw/zinc/86/53/74/420865374.db2.gz ABAIOVMQLNGCJH-UHFFFAOYSA-N 1 2 314.414 1.916 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)C2CN(C(=O)OC(C)(C)C)C2)C1=O ZINC000493578383 420886170 /nfs/dbraw/zinc/88/61/70/420886170.db2.gz GMKCKNREKGIXRF-ZDUSSCGKSA-N 1 2 309.410 1.324 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)C2CN(C(=O)OC(C)(C)C)C2)C1=O ZINC000493578383 420886174 /nfs/dbraw/zinc/88/61/74/420886174.db2.gz GMKCKNREKGIXRF-ZDUSSCGKSA-N 1 2 309.410 1.324 20 30 DDEDLO CCn1nnc(C)c1C[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000448993075 420931015 /nfs/dbraw/zinc/93/10/15/420931015.db2.gz MAGKWBBPVYVOSG-KRWDZBQOSA-N 1 2 324.432 1.989 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cc(F)cc(OC)c2)CC1 ZINC000449007178 420933790 /nfs/dbraw/zinc/93/37/90/420933790.db2.gz BZQHGMGFLGILJQ-UHFFFAOYSA-N 1 2 321.396 1.254 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cc(F)cc(OC)c2)CC1 ZINC000449007178 420933794 /nfs/dbraw/zinc/93/37/94/420933794.db2.gz BZQHGMGFLGILJQ-UHFFFAOYSA-N 1 2 321.396 1.254 20 30 DDEDLO CCn1nnc(C)c1C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000449011149 420935148 /nfs/dbraw/zinc/93/51/48/420935148.db2.gz OMVODDRIMXBIPS-UHFFFAOYSA-N 1 2 311.393 1.195 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000455438868 421015513 /nfs/dbraw/zinc/01/55/13/421015513.db2.gz WJHLDIUOFLSVPM-CVEARBPZSA-N 1 2 319.405 1.840 20 30 DDEDLO N#Cc1ccc(NC(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)cc1F ZINC000455453607 421017007 /nfs/dbraw/zinc/01/70/07/421017007.db2.gz BPMPJCCUYMJWIK-AWEZNQCLSA-N 1 2 318.352 1.682 20 30 DDEDLO N#Cc1ccc(NC(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)cc1F ZINC000455453607 421017010 /nfs/dbraw/zinc/01/70/10/421017010.db2.gz BPMPJCCUYMJWIK-AWEZNQCLSA-N 1 2 318.352 1.682 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)N[C@@]2(CCc3ccccc3C2)C1=O ZINC000491325893 421196598 /nfs/dbraw/zinc/19/65/98/421196598.db2.gz HZBFUSPYISZZGU-SCLBCKFNSA-N 1 2 311.385 1.377 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)N[C@@]2(CCc3ccccc3C2)C1=O ZINC000491325893 421196599 /nfs/dbraw/zinc/19/65/99/421196599.db2.gz HZBFUSPYISZZGU-SCLBCKFNSA-N 1 2 311.385 1.377 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@H]2CCc3ccc(F)cc32)CC1 ZINC000491636101 421200304 /nfs/dbraw/zinc/20/03/04/421200304.db2.gz UMUZSKUJEKBBAD-KRWDZBQOSA-N 1 2 315.392 1.180 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)c2ncc(C#N)cc2C)CCO1 ZINC000560456870 421266881 /nfs/dbraw/zinc/26/68/81/421266881.db2.gz IUGPTOCDCZQRAT-AWEZNQCLSA-N 1 2 302.378 1.102 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)c2ncc(C#N)cc2C)CCO1 ZINC000560456870 421266885 /nfs/dbraw/zinc/26/68/85/421266885.db2.gz IUGPTOCDCZQRAT-AWEZNQCLSA-N 1 2 302.378 1.102 20 30 DDEDLO Cc1c(C[N@@H+](C)CC(=O)N(C)C2(C#N)CCCCC2)cnn1C ZINC000562943181 421427837 /nfs/dbraw/zinc/42/78/37/421427837.db2.gz CZGGYLNZZQPXEX-UHFFFAOYSA-N 1 2 317.437 1.845 20 30 DDEDLO Cc1c(C[N@H+](C)CC(=O)N(C)C2(C#N)CCCCC2)cnn1C ZINC000562943181 421427840 /nfs/dbraw/zinc/42/78/40/421427840.db2.gz CZGGYLNZZQPXEX-UHFFFAOYSA-N 1 2 317.437 1.845 20 30 DDEDLO CO[C@H]1C[C@@H]([N@H+](C)CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000510099323 421431074 /nfs/dbraw/zinc/43/10/74/421431074.db2.gz MPMVMXKGONNSPS-OKILXGFUSA-N 1 2 308.403 1.441 20 30 DDEDLO CO[C@H]1C[C@@H]([N@@H+](C)CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000510099323 421431079 /nfs/dbraw/zinc/43/10/79/421431079.db2.gz MPMVMXKGONNSPS-OKILXGFUSA-N 1 2 308.403 1.441 20 30 DDEDLO N#Cc1ccc(CC(=O)NCc2ccc(N3CCCC3)[nH+]c2)cn1 ZINC000527834217 421448050 /nfs/dbraw/zinc/44/80/50/421448050.db2.gz TZHGWTUFKJIKJX-UHFFFAOYSA-N 1 2 321.384 1.807 20 30 DDEDLO CS(=O)(=O)C[C@H]1CC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000563215157 421473524 /nfs/dbraw/zinc/47/35/24/421473524.db2.gz DTKRFZPLVUZDCA-LBPRGKRZSA-N 1 2 323.374 1.333 20 30 DDEDLO CS(=O)(=O)C[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000563215157 421473528 /nfs/dbraw/zinc/47/35/28/421473528.db2.gz DTKRFZPLVUZDCA-LBPRGKRZSA-N 1 2 323.374 1.333 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000515032677 421474375 /nfs/dbraw/zinc/47/43/75/421474375.db2.gz MYMXHMPJBIJCFK-IPYPFGDCSA-N 1 2 304.394 1.478 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1cc(Cl)cc2c1OCC2 ZINC000516973855 421585894 /nfs/dbraw/zinc/58/58/94/421585894.db2.gz IXZNTDCHPHNIOK-INIZCTEOSA-N 1 2 321.808 1.777 20 30 DDEDLO CCOC(=O)c1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000565050339 421595565 /nfs/dbraw/zinc/59/55/65/421595565.db2.gz ABBNIQWQCPRKQF-INIZCTEOSA-N 1 2 303.362 1.437 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccn(-c2cccc(C#N)c2)n1 ZINC000567995456 421616307 /nfs/dbraw/zinc/61/63/07/421616307.db2.gz LJPLTPGIENIZDI-KRWDZBQOSA-N 1 2 322.372 1.318 20 30 DDEDLO CN(C)C(=O)C(C)(C)[NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000533385939 421672217 /nfs/dbraw/zinc/67/22/17/421672217.db2.gz AZIUGCIQFKLZOB-UHFFFAOYSA-N 1 2 313.361 1.565 20 30 DDEDLO CC(C)N(C(=O)[C@H](C)[NH+]1CCN(C(=O)CC#N)CC1)C(C)C ZINC000572659778 421809717 /nfs/dbraw/zinc/80/97/17/421809717.db2.gz PZZBEAYJJLRJAE-AWEZNQCLSA-N 1 2 308.426 1.078 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000541944704 421815489 /nfs/dbraw/zinc/81/54/89/421815489.db2.gz CXIUVXUOEFIAJY-HOCLYGCPSA-N 1 2 316.405 1.346 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000541944704 421815490 /nfs/dbraw/zinc/81/54/90/421815490.db2.gz CXIUVXUOEFIAJY-HOCLYGCPSA-N 1 2 316.405 1.346 20 30 DDEDLO CC[N@H+](Cc1nnc(-c2cccc(C#N)c2)o1)[C@H]1CCNC1=O ZINC000574511080 422122548 /nfs/dbraw/zinc/12/25/48/422122548.db2.gz DKCSFYLNAJWBMD-ZDUSSCGKSA-N 1 2 311.345 1.319 20 30 DDEDLO CC[N@@H+](Cc1nnc(-c2cccc(C#N)c2)o1)[C@H]1CCNC1=O ZINC000574511080 422122554 /nfs/dbraw/zinc/12/25/54/422122554.db2.gz DKCSFYLNAJWBMD-ZDUSSCGKSA-N 1 2 311.345 1.319 20 30 DDEDLO C=CCC1(CNS(=O)(=O)C[C@H]2C[N@H+](C)CCO2)CCCC1 ZINC000632418965 422325746 /nfs/dbraw/zinc/32/57/46/422325746.db2.gz SNNWSTZLNCJFCZ-CQSZACIVSA-N 1 2 316.467 1.373 20 30 DDEDLO C=CCC1(CNS(=O)(=O)C[C@H]2C[N@@H+](C)CCO2)CCCC1 ZINC000632418965 422325749 /nfs/dbraw/zinc/32/57/49/422325749.db2.gz SNNWSTZLNCJFCZ-CQSZACIVSA-N 1 2 316.467 1.373 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC2(CC[N@@H+]2Cc2ccccc2)C1 ZINC000632492387 422387934 /nfs/dbraw/zinc/38/79/34/422387934.db2.gz FPNZFXFECUNOME-UHFFFAOYSA-N 1 2 306.431 1.853 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC2(CC[N@H+]2Cc2ccccc2)C1 ZINC000632492387 422387940 /nfs/dbraw/zinc/38/79/40/422387940.db2.gz FPNZFXFECUNOME-UHFFFAOYSA-N 1 2 306.431 1.853 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)Nc1cc(C)n(CCC#N)n1)CC2 ZINC000611821711 422479411 /nfs/dbraw/zinc/47/94/11/422479411.db2.gz UJPLRRGJBCXCBM-UHFFFAOYSA-N 1 2 313.365 1.658 20 30 DDEDLO C=CCCn1cc(CNc2nc(N(C)C)nc(C(C)C)[nH+]2)nn1 ZINC000631312988 422678595 /nfs/dbraw/zinc/67/85/95/422678595.db2.gz HHVNEOKHJNNZLY-UHFFFAOYSA-N 1 2 316.413 1.841 20 30 DDEDLO C=CCCn1cc(CNc2nc(C(C)C)[nH+]c(N(C)C)n2)nn1 ZINC000631312988 422678599 /nfs/dbraw/zinc/67/85/99/422678599.db2.gz HHVNEOKHJNNZLY-UHFFFAOYSA-N 1 2 316.413 1.841 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N2CCCc3ccccc32)nn1 ZINC000640762523 423171080 /nfs/dbraw/zinc/17/10/80/423171080.db2.gz ZPWIPXLEAMPQSS-UHFFFAOYSA-N 1 2 323.400 1.370 20 30 DDEDLO Cc1cc(C(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)c(C)n1C1CC1 ZINC000646031261 423300512 /nfs/dbraw/zinc/30/05/12/423300512.db2.gz VGECOARHMXVYBN-QGZVFWFLSA-N 1 2 301.390 1.973 20 30 DDEDLO Cc1cc(C(=O)C[N@H+]2CC[C@](O)(CC#N)C2)c(C)n1C1CC1 ZINC000646031261 423300518 /nfs/dbraw/zinc/30/05/18/423300518.db2.gz VGECOARHMXVYBN-QGZVFWFLSA-N 1 2 301.390 1.973 20 30 DDEDLO C=CCOCCN1CCNC(=O)[C@@H]1c1c(C)[nH+]c2ccccn21 ZINC000645087210 424151086 /nfs/dbraw/zinc/15/10/86/424151086.db2.gz CVUDKRWKMUPPSK-INIZCTEOSA-N 1 2 314.389 1.318 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2cccc(S(C)(=O)=O)c2)nn1 ZINC000657481842 424372255 /nfs/dbraw/zinc/37/22/55/424372255.db2.gz XZCVHJWIPCDUJH-UHFFFAOYSA-N 1 2 320.418 1.548 20 30 DDEDLO C=CCCCS(=O)(=O)NC[C@H](C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000655638887 424607554 /nfs/dbraw/zinc/60/75/54/424607554.db2.gz ILZHZVVAUAJVSF-MELADBBJSA-N 1 2 304.456 1.370 20 30 DDEDLO C=CCCCS(=O)(=O)NC[C@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000655638887 424607559 /nfs/dbraw/zinc/60/75/59/424607559.db2.gz ILZHZVVAUAJVSF-MELADBBJSA-N 1 2 304.456 1.370 20 30 DDEDLO CC[C@@H](C(=O)OC)N1CC[NH+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000352668205 266352108 /nfs/dbraw/zinc/35/21/08/266352108.db2.gz QGPFVQPTVZYIJD-INIZCTEOSA-N 1 2 319.380 1.767 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](C)CCN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000357048419 267103818 /nfs/dbraw/zinc/10/38/18/267103818.db2.gz WGPRBCIQATZTPL-ZIAGYGMSSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](C)CCN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000357048419 267103820 /nfs/dbraw/zinc/10/38/20/267103820.db2.gz WGPRBCIQATZTPL-ZIAGYGMSSA-N 1 2 321.446 1.356 20 30 DDEDLO CCOC[C@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)CCO1 ZINC000529664252 267143776 /nfs/dbraw/zinc/14/37/76/267143776.db2.gz BYBVUPCAFJQPCV-OAHLLOKOSA-N 1 2 300.362 1.443 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000338116848 267276282 /nfs/dbraw/zinc/27/62/82/267276282.db2.gz GQJJMHOPFSIDGU-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000338116848 267276286 /nfs/dbraw/zinc/27/62/86/267276286.db2.gz GQJJMHOPFSIDGU-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CCC3(CCC3)CC2)nc1 ZINC000367362747 268187518 /nfs/dbraw/zinc/18/75/18/268187518.db2.gz ZGVKWLFMJUWDIV-UHFFFAOYSA-N 1 2 319.430 1.993 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2[nH+]ccn2CC(F)(F)F)nc1 ZINC000520760077 268200586 /nfs/dbraw/zinc/20/05/86/268200586.db2.gz LIFUMZYRFOBNKD-UHFFFAOYSA-N 1 2 309.251 1.642 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)N2CC[NH+](Cc3ccco3)CC2)cc1 ZINC000360350292 268212732 /nfs/dbraw/zinc/21/27/32/268212732.db2.gz BAGSPXPBOZENNV-QGZVFWFLSA-N 1 2 324.384 1.495 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cccnn3)CC2)cc1 ZINC000527688467 268221309 /nfs/dbraw/zinc/22/13/09/268221309.db2.gz YXIYLTKFZCIRIW-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C#N)c(O)c1 ZINC000342277578 271364132 /nfs/dbraw/zinc/36/41/32/271364132.db2.gz DTMLAXFXWYZTFA-WFASDCNBSA-N 1 2 315.373 1.199 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(c2cc(C)nc(C#N)c2)CC1 ZINC000342374277 271394706 /nfs/dbraw/zinc/39/47/06/271394706.db2.gz STJQSKUXGBUMEY-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(c2cc(C)nc(C#N)c2)CC1 ZINC000342374277 271394712 /nfs/dbraw/zinc/39/47/12/271394712.db2.gz STJQSKUXGBUMEY-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO N#Cc1ccc(N2C(=O)C[C@H]([NH+]3CCC(C#N)CC3)C2=O)cc1 ZINC000331683371 279518594 /nfs/dbraw/zinc/51/85/94/279518594.db2.gz QOWHYPRLGHIGJB-HNNXBMFYSA-N 1 2 308.341 1.426 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CC[C@H](O)C12CCCC2 ZINC000330286238 295384766 /nfs/dbraw/zinc/38/47/66/295384766.db2.gz AHJKTNJEVRGHLP-RISCZKNCSA-N 1 2 304.394 1.560 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CC[C@H](O)C12CCCC2 ZINC000330286238 295384767 /nfs/dbraw/zinc/38/47/67/295384767.db2.gz AHJKTNJEVRGHLP-RISCZKNCSA-N 1 2 304.394 1.560 20 30 DDEDLO N#Cc1cccnc1N1CCCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC000364482029 307046226 /nfs/dbraw/zinc/04/62/26/307046226.db2.gz JMUDHINUZOSVIZ-UHFFFAOYSA-N 1 2 324.388 1.279 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](Cc3cnc4cnccn34)CC2)n1 ZINC000546258798 307727473 /nfs/dbraw/zinc/72/74/73/307727473.db2.gz FYLQBLUPWFRSJE-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C[C@]1(C(N)=O)CCCC[N@@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000567392590 308079742 /nfs/dbraw/zinc/07/97/42/308079742.db2.gz WWEMZYRPDIMYPV-OAHLLOKOSA-N 1 2 302.334 1.696 20 30 DDEDLO C[C@]1(C(N)=O)CCCC[N@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000567392590 308079744 /nfs/dbraw/zinc/07/97/44/308079744.db2.gz WWEMZYRPDIMYPV-OAHLLOKOSA-N 1 2 302.334 1.696 20 30 DDEDLO C[C@@H]1C[C@H](NS(=O)(=O)c2ccc(F)cc2C#N)c2[nH+]ccn21 ZINC000579555795 308539561 /nfs/dbraw/zinc/53/95/61/308539561.db2.gz JQTKRBQJTRDHEE-SKDRFNHKSA-N 1 2 320.349 1.878 20 30 DDEDLO CN(C)c1nc2cc(NC[C@@H](O)C[N@H+](C)CCC#N)ccc2o1 ZINC000569594634 332188455 /nfs/dbraw/zinc/18/84/55/332188455.db2.gz UGBUMOZZMVRGFY-CYBMUJFWSA-N 1 2 317.393 1.512 20 30 DDEDLO CN(C)c1nc2cc(NC[C@@H](O)C[N@@H+](C)CCC#N)ccc2o1 ZINC000569594634 332188456 /nfs/dbraw/zinc/18/84/56/332188456.db2.gz UGBUMOZZMVRGFY-CYBMUJFWSA-N 1 2 317.393 1.512 20 30 DDEDLO CO[C@H](C)c1nc(CNC[C@H](O)C[N@H+](C)CCC#N)cs1 ZINC000562989970 333019873 /nfs/dbraw/zinc/01/98/73/333019873.db2.gz URNZVHQXTLGSTF-YPMHNXCESA-N 1 2 312.439 1.146 20 30 DDEDLO CO[C@H](C)c1nc(CNC[C@H](O)C[N@@H+](C)CCC#N)cs1 ZINC000562989970 333019874 /nfs/dbraw/zinc/01/98/74/333019874.db2.gz URNZVHQXTLGSTF-YPMHNXCESA-N 1 2 312.439 1.146 20 30 DDEDLO N#C[C@@H]1C[N@H+]([C@H]2CCCCNC2=O)C[C@]12C(=O)Nc1ccccc12 ZINC000340724786 334383408 /nfs/dbraw/zinc/38/34/08/334383408.db2.gz BOJFUVLWPLTNKU-MRAWALMUSA-N 1 2 324.384 1.001 20 30 DDEDLO N#C[C@@H]1C[N@@H+]([C@H]2CCCCNC2=O)C[C@]12C(=O)Nc1ccccc12 ZINC000340724786 334383410 /nfs/dbraw/zinc/38/34/10/334383410.db2.gz BOJFUVLWPLTNKU-MRAWALMUSA-N 1 2 324.384 1.001 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccn(-c2ccc(F)cc2)n1 ZINC000519266194 335826329 /nfs/dbraw/zinc/82/63/29/335826329.db2.gz FGFXHWVIDPFBGN-MRXNPFEDSA-N 1 2 315.352 1.585 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C)C1CC1 ZINC000526823134 336272848 /nfs/dbraw/zinc/27/28/48/336272848.db2.gz LOTIVBXOVPEZBN-UHFFFAOYSA-N 1 2 312.373 1.997 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2nc3c(cccc3F)o2)CC1 ZINC000362564890 336804251 /nfs/dbraw/zinc/80/42/51/336804251.db2.gz OUIOCFRIUZOOIA-UHFFFAOYSA-N 1 2 318.352 1.391 20 30 DDEDLO CNC(=O)OC[C@H]1CCCC[N@@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000496607022 340008803 /nfs/dbraw/zinc/00/88/03/340008803.db2.gz UTLXCBLNZCIHTH-CZUORRHYSA-N 1 2 324.425 1.251 20 30 DDEDLO CNC(=O)OC[C@H]1CCCC[N@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000496607022 340008804 /nfs/dbraw/zinc/00/88/04/340008804.db2.gz UTLXCBLNZCIHTH-CZUORRHYSA-N 1 2 324.425 1.251 20 30 DDEDLO C[N@@H+]1CCN(C(=O)CCCC#N)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000496681749 340010453 /nfs/dbraw/zinc/01/04/53/340010453.db2.gz DEQOJKVNGXWGHL-ZDUSSCGKSA-N 1 2 324.425 1.348 20 30 DDEDLO C[N@H+]1CCN(C(=O)CCCC#N)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000496681749 340010454 /nfs/dbraw/zinc/01/04/54/340010454.db2.gz DEQOJKVNGXWGHL-ZDUSSCGKSA-N 1 2 324.425 1.348 20 30 DDEDLO CN(CC#Cc1ccccc1Cl)C[C@@H](O)C[NH+]1CCOCC1 ZINC000135135311 341232077 /nfs/dbraw/zinc/23/20/77/341232077.db2.gz ADDHZSQOTRATIJ-MRXNPFEDSA-N 1 2 322.836 1.316 20 30 DDEDLO C[C@@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)c1ccc2c(c1)OCO2 ZINC000574457463 341759214 /nfs/dbraw/zinc/75/92/14/341759214.db2.gz PSMJZQPQSHNANP-BDJLRTHQSA-N 1 2 303.362 1.479 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1nc(CC(=O)OC)cs1 ZINC000580581873 341881388 /nfs/dbraw/zinc/88/13/88/341881388.db2.gz OQPDOQRCBLYRKK-SECBINFHSA-N 1 2 310.379 1.079 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@H](C)Nc2cc[nH+]c(C)n2)nn1 ZINC001120759910 782127200 /nfs/dbraw/zinc/12/72/00/782127200.db2.gz VOKWLMOMWFYZGF-NSHDSACASA-N 1 2 315.381 1.135 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3ncncc3C#N)c[nH+]2)CCO1 ZINC000564239729 484335195 /nfs/dbraw/zinc/33/51/95/484335195.db2.gz LQIXEFKLXNDFKF-LBPRGKRZSA-N 1 2 310.361 1.002 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000666138277 485097119 /nfs/dbraw/zinc/09/71/19/485097119.db2.gz RYLDNAMAAZACBD-HNNXBMFYSA-N 1 2 318.421 1.349 20 30 DDEDLO C=CCOCCNC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000679586564 485932009 /nfs/dbraw/zinc/93/20/09/485932009.db2.gz RIMUQYIYDIEBRC-UHFFFAOYSA-N 1 2 304.390 1.451 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(c2nnc(C(F)(F)F)s2)CC1 ZINC000681601543 486468361 /nfs/dbraw/zinc/46/83/61/486468361.db2.gz LCNYJHYIBDPQMH-SECBINFHSA-N 1 2 322.356 1.616 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000431704332 533730769 /nfs/dbraw/zinc/73/07/69/533730769.db2.gz WNLZYXBZDDLTKV-UHFFFAOYSA-N 1 2 324.388 1.940 20 30 DDEDLO CSC[C@](C)(C#N)NC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@@H]1C ZINC000345088750 533820588 /nfs/dbraw/zinc/82/05/88/533820588.db2.gz CDWRDYDCRBEYDF-ZENOOKHLSA-N 1 2 318.446 1.915 20 30 DDEDLO C[C@@H](SCCC#N)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000413017343 533988934 /nfs/dbraw/zinc/98/89/34/533988934.db2.gz NKJMCYBHGCVLCT-CYBMUJFWSA-N 1 2 304.419 1.766 20 30 DDEDLO Cc1sc(NC(=O)C[NH2+]C2(C(=O)N(C)C)CC2)c(C#N)c1C ZINC000411913596 534612182 /nfs/dbraw/zinc/61/21/82/534612182.db2.gz OPOTZEANWHLZLE-UHFFFAOYSA-N 1 2 320.418 1.386 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000341433327 534625745 /nfs/dbraw/zinc/62/57/45/534625745.db2.gz XWAMHZZNHTXCBT-UHFFFAOYSA-N 1 2 304.375 1.800 20 30 DDEDLO Cc1[nH+]c[nH]c1CNC(=O)[C@H]1CSCN1C(=O)C1CCC1 ZINC000330033655 534717930 /nfs/dbraw/zinc/71/79/30/534717930.db2.gz AKITWGBFIPXDED-GFCCVEGCSA-N 1 2 308.407 1.876 20 30 DDEDLO Cn1cc(NC(=O)NC[C@H]2C[N@H+](C)CCO2)c(C(F)(F)F)n1 ZINC000331318079 534737715 /nfs/dbraw/zinc/73/77/15/534737715.db2.gz GXQNXLZCXGMEGU-QMMMGPOBSA-N 1 2 321.303 1.095 20 30 DDEDLO Cn1cc(NC(=O)NC[C@H]2C[N@@H+](C)CCO2)c(C(F)(F)F)n1 ZINC000331318079 534737724 /nfs/dbraw/zinc/73/77/24/534737724.db2.gz GXQNXLZCXGMEGU-QMMMGPOBSA-N 1 2 321.303 1.095 20 30 DDEDLO Cc1csc(C(=O)NC[C@H](O)C[NH+]2CCOCC2)c1Cl ZINC000331073850 534767671 /nfs/dbraw/zinc/76/76/71/534767671.db2.gz GEQCNWZHPZRFEE-JTQLQIEISA-N 1 2 318.826 1.708 20 30 DDEDLO N#Cc1cnn(CC(=O)N2CC[NH+](Cc3ccsc3)CC2)c1 ZINC000331845548 534827403 /nfs/dbraw/zinc/82/74/03/534827403.db2.gz NCKNKLZQZQZBJT-UHFFFAOYSA-N 1 2 315.402 1.161 20 30 DDEDLO C=CCN(CCOC)C(=O)[C@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000346667814 526295151 /nfs/dbraw/zinc/29/51/51/526295151.db2.gz GUXKIFOVVKTYTI-CABCVRRESA-N 1 2 303.406 1.815 20 30 DDEDLO C=CC[N@@H+](CN1C[C@@H](NC(=O)OC(C)(C)C)CC1=O)C1CC1 ZINC000495221728 526331194 /nfs/dbraw/zinc/33/11/94/526331194.db2.gz NTXWEAOIOUISDY-LBPRGKRZSA-N 1 2 309.410 1.720 20 30 DDEDLO C=CC[N@H+](CN1C[C@@H](NC(=O)OC(C)(C)C)CC1=O)C1CC1 ZINC000495221728 526331203 /nfs/dbraw/zinc/33/12/03/526331203.db2.gz NTXWEAOIOUISDY-LBPRGKRZSA-N 1 2 309.410 1.720 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC[S@@](=O)c2ccccc2)C1=O ZINC000337217528 526468740 /nfs/dbraw/zinc/46/87/40/526468740.db2.gz ILJDVFRBIROQBJ-QVKFZJNVSA-N 1 2 306.431 1.513 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC[S@@](=O)c2ccccc2)C1=O ZINC000337217528 526468747 /nfs/dbraw/zinc/46/87/47/526468747.db2.gz ILJDVFRBIROQBJ-QVKFZJNVSA-N 1 2 306.431 1.513 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C)c(C(=O)OC)o2)C1=O ZINC000337237622 526470652 /nfs/dbraw/zinc/47/06/52/526470652.db2.gz YRYZABXHEFZWLY-CYBMUJFWSA-N 1 2 306.362 1.593 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C)c(C(=O)OC)o2)C1=O ZINC000337237622 526470654 /nfs/dbraw/zinc/47/06/54/526470654.db2.gz YRYZABXHEFZWLY-CYBMUJFWSA-N 1 2 306.362 1.593 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH2+][C@H](CO)c1cccc(Cl)c1F ZINC000337230116 526627590 /nfs/dbraw/zinc/62/75/90/526627590.db2.gz SNKWNECGFCEMFM-BXKDBHETSA-N 1 2 300.761 1.793 20 30 DDEDLO C=CCOCC(=O)Nc1cc(C[NH+]2CCOCC2)ccc1C ZINC000348461062 526718959 /nfs/dbraw/zinc/71/89/59/526718959.db2.gz AUBFTWQYIICSNW-UHFFFAOYSA-N 1 2 304.390 1.968 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1)OCC ZINC000433104247 526808228 /nfs/dbraw/zinc/80/82/28/526808228.db2.gz LHIBYXXYVWFNFQ-GJZGRUSLSA-N 1 2 320.437 1.986 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](C)Cc1csc([C@H](C)OCC)n1 ZINC000491707347 526973797 /nfs/dbraw/zinc/97/37/97/526973797.db2.gz XXUMEXYIBRPRPG-LBPRGKRZSA-N 1 2 309.435 1.812 20 30 DDEDLO C#CCNC(=O)CC[N@H+](C)Cc1csc([C@H](C)OCC)n1 ZINC000491707347 526973800 /nfs/dbraw/zinc/97/38/00/526973800.db2.gz XXUMEXYIBRPRPG-LBPRGKRZSA-N 1 2 309.435 1.812 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCC[C@H]1c1nnc(-c2ccccc2)[nH]1 ZINC000491166581 526978649 /nfs/dbraw/zinc/97/86/49/526978649.db2.gz SKLWLIJKZVHMSI-HNNXBMFYSA-N 1 2 323.400 1.748 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCC[C@H]1c1nnc(-c2ccccc2)[nH]1 ZINC000491166581 526978657 /nfs/dbraw/zinc/97/86/57/526978657.db2.gz SKLWLIJKZVHMSI-HNNXBMFYSA-N 1 2 323.400 1.748 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000491166581 526978661 /nfs/dbraw/zinc/97/86/61/526978661.db2.gz SKLWLIJKZVHMSI-HNNXBMFYSA-N 1 2 323.400 1.748 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000491166581 526978665 /nfs/dbraw/zinc/97/86/65/526978665.db2.gz SKLWLIJKZVHMSI-HNNXBMFYSA-N 1 2 323.400 1.748 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@]2(C)CC=CCC2)CC1 ZINC000491774927 526993756 /nfs/dbraw/zinc/99/37/56/526993756.db2.gz URHNHTFYPNSIOS-SFHVURJKSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@]2(C)CC=CCC2)CC1 ZINC000491774927 526993761 /nfs/dbraw/zinc/99/37/61/526993761.db2.gz URHNHTFYPNSIOS-SFHVURJKSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@@H](C)c2ccccc2F)CC1 ZINC000491071730 527003921 /nfs/dbraw/zinc/00/39/21/527003921.db2.gz NAMYOFJGMJLLQF-AWEZNQCLSA-N 1 2 303.381 1.254 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000341379061 527148911 /nfs/dbraw/zinc/14/89/11/527148911.db2.gz OVPJSGSIPVRTTD-AWEZNQCLSA-N 1 2 305.426 1.602 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000341226216 527197990 /nfs/dbraw/zinc/19/79/90/527197990.db2.gz JHLIVHQIZXWMHY-CQSZACIVSA-N 1 2 300.362 1.609 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000491796001 527248397 /nfs/dbraw/zinc/24/83/97/527248397.db2.gz YHRMVNGTGOIOAU-ZDUSSCGKSA-N 1 2 310.357 1.729 20 30 DDEDLO C#C[C@@H](NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C(C)C ZINC000491811774 527285911 /nfs/dbraw/zinc/28/59/11/527285911.db2.gz FLXJNOGTZMAVFO-CABCVRRESA-N 1 2 318.421 1.257 20 30 DDEDLO C#C[C@@H](NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C(C)C ZINC000491811774 527285915 /nfs/dbraw/zinc/28/59/15/527285915.db2.gz FLXJNOGTZMAVFO-CABCVRRESA-N 1 2 318.421 1.257 20 30 DDEDLO CC(C)([NH2+]CC(=O)NCC#N)c1nc(C(F)(F)F)cs1 ZINC000348516507 527290131 /nfs/dbraw/zinc/29/01/31/527290131.db2.gz QSASJCWAKRTDKJ-UHFFFAOYSA-N 1 2 306.313 1.626 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000491713803 527309999 /nfs/dbraw/zinc/30/99/99/527309999.db2.gz KZQXWFHYBPPFOD-CYBMUJFWSA-N 1 2 317.345 1.566 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000491713803 527310005 /nfs/dbraw/zinc/31/00/05/527310005.db2.gz KZQXWFHYBPPFOD-CYBMUJFWSA-N 1 2 317.345 1.566 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@H](O)COc1ccc2ccccc2c1 ZINC000491759864 527311286 /nfs/dbraw/zinc/31/12/86/527311286.db2.gz OEFLWWIWFLKGAB-QZTJIDSGSA-N 1 2 311.381 1.914 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@H](O)COc1ccc2ccccc2c1 ZINC000491759864 527311291 /nfs/dbraw/zinc/31/12/91/527311291.db2.gz OEFLWWIWFLKGAB-QZTJIDSGSA-N 1 2 311.381 1.914 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000491776670 527322517 /nfs/dbraw/zinc/32/25/17/527322517.db2.gz YOEUIYIXNCVLMT-UONOGXRCSA-N 1 2 316.405 1.470 20 30 DDEDLO C=CCn1c(N2CC[C@H]([NH+]3CCOCC3)C2)nnc1C(C)(C)C ZINC000337809117 527330397 /nfs/dbraw/zinc/33/03/97/527330397.db2.gz KAMSIGMVLMSRLP-AWEZNQCLSA-N 1 2 319.453 1.673 20 30 DDEDLO C#C[C@H](CC)NC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000491680699 527353448 /nfs/dbraw/zinc/35/34/48/527353448.db2.gz JQVISKZVVAHMJT-KGLIPLIRSA-N 1 2 321.446 1.832 20 30 DDEDLO C#C[C@H](CCC)NC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000491780961 527374057 /nfs/dbraw/zinc/37/40/57/527374057.db2.gz FNKWWZVBQNKXHF-OAHLLOKOSA-N 1 2 301.390 1.446 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000491681898 527422845 /nfs/dbraw/zinc/42/28/45/527422845.db2.gz ORBDCMUPRXYPGK-AWEZNQCLSA-N 1 2 311.345 1.192 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000457941554 527430761 /nfs/dbraw/zinc/43/07/61/527430761.db2.gz IOGBGRVOXNFWGS-ZDUSSCGKSA-N 1 2 302.378 1.769 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000334104162 527505383 /nfs/dbraw/zinc/50/53/83/527505383.db2.gz XJMQCFGOQGPPBH-XGUBFFRZSA-N 1 2 304.394 1.819 20 30 DDEDLO CCC[N@H+](CC(=O)OC(C)(C)C)[C@H](C)C(=O)N(C)CCC#N ZINC000349243406 527901274 /nfs/dbraw/zinc/90/12/74/527901274.db2.gz MPVDNIAVFKGGDK-CYBMUJFWSA-N 1 2 311.426 1.801 20 30 DDEDLO CCC[N@@H+](CC(=O)OC(C)(C)C)[C@H](C)C(=O)N(C)CCC#N ZINC000349243406 527901281 /nfs/dbraw/zinc/90/12/81/527901281.db2.gz MPVDNIAVFKGGDK-CYBMUJFWSA-N 1 2 311.426 1.801 20 30 DDEDLO CCC(=O)N[C@H]1CCCN(C([O-])=[NH+]CCCn2cc[nH+]c2C)C1 ZINC000330443599 528416136 /nfs/dbraw/zinc/41/61/36/528416136.db2.gz QDAKYVCMASHDBJ-AWEZNQCLSA-N 1 2 321.425 1.486 20 30 DDEDLO CCN1CCN(C(=O)NC[C@H](C)SC)C[C@@H]1c1[nH]cc[nH+]1 ZINC000331053302 529107908 /nfs/dbraw/zinc/10/79/08/529107908.db2.gz ZKRSIARQKDGWRJ-NWDGAFQWSA-N 1 2 311.455 1.754 20 30 DDEDLO CCN1CCN(C(=O)NCC(C)(C)CC#N)C[C@H]1c1[nH]cc[nH+]1 ZINC000459212047 529126308 /nfs/dbraw/zinc/12/63/08/529126308.db2.gz AEDDHEZUAXTJRM-ZDUSSCGKSA-N 1 2 318.425 1.738 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1ccc(-c2nn[nH]n2)c(Cl)c1 ZINC000819701682 606075150 /nfs/dbraw/zinc/07/51/50/606075150.db2.gz LCEFKWVKHJKKPC-UHFFFAOYSA-N 1 2 318.768 1.414 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1ccc(-c2nn[nH]n2)c(Cl)c1 ZINC000819701682 606075152 /nfs/dbraw/zinc/07/51/52/606075152.db2.gz LCEFKWVKHJKKPC-UHFFFAOYSA-N 1 2 318.768 1.414 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1cc(-c2nn[nH]n2)cs1 ZINC000823850759 607923049 /nfs/dbraw/zinc/92/30/49/607923049.db2.gz SEVSCPHRPJKGKM-NSHDSACASA-N 1 2 317.374 1.183 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1cc(-c2nn[nH]n2)cs1 ZINC000823850759 607923050 /nfs/dbraw/zinc/92/30/50/607923050.db2.gz SEVSCPHRPJKGKM-NSHDSACASA-N 1 2 317.374 1.183 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](C[C@H](O)c2ccc(-c3nn[nH]n3)cc2)C1 ZINC000824778500 608125718 /nfs/dbraw/zinc/12/57/18/608125718.db2.gz QFUSLEBSBKWDEZ-GOEBONIOSA-N 1 2 312.377 1.526 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](C[C@H](O)c2ccc(-c3nn[nH]n3)cc2)C1 ZINC000824778500 608125719 /nfs/dbraw/zinc/12/57/19/608125719.db2.gz QFUSLEBSBKWDEZ-GOEBONIOSA-N 1 2 312.377 1.526 20 30 DDEDLO C=CC[N@@H+](C)[C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000972064351 695149914 /nfs/dbraw/zinc/14/99/14/695149914.db2.gz SKUUZANDEXYIPK-OAHLLOKOSA-N 1 2 311.389 1.804 20 30 DDEDLO C=CC[N@H+](C)[C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000972064351 695149918 /nfs/dbraw/zinc/14/99/18/695149918.db2.gz SKUUZANDEXYIPK-OAHLLOKOSA-N 1 2 311.389 1.804 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccco3)C2)C1 ZINC000972252642 695191303 /nfs/dbraw/zinc/19/13/03/695191303.db2.gz IHVDFXXALDSZTO-KRWDZBQOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccco3)C2)C1 ZINC000972252642 695191306 /nfs/dbraw/zinc/19/13/06/695191306.db2.gz IHVDFXXALDSZTO-KRWDZBQOSA-N 1 2 304.390 1.702 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3scnc3C)C2)C1 ZINC000972274395 695200850 /nfs/dbraw/zinc/20/08/50/695200850.db2.gz DNMKZGIXWXFYRT-INIZCTEOSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3scnc3C)C2)C1 ZINC000972274395 695200851 /nfs/dbraw/zinc/20/08/51/695200851.db2.gz DNMKZGIXWXFYRT-INIZCTEOSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)/C=C/c3ccc[nH]3)C2)C1 ZINC000972358027 695227082 /nfs/dbraw/zinc/22/70/82/695227082.db2.gz PDQRUFLYSHEWTK-IPLHWJFFSA-N 1 2 313.401 1.355 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)/C=C/c3ccc[nH]3)C2)C1 ZINC000972358027 695227083 /nfs/dbraw/zinc/22/70/83/695227083.db2.gz PDQRUFLYSHEWTK-IPLHWJFFSA-N 1 2 313.401 1.355 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3[nH]c(C)cc3C)C2)C1 ZINC000972436755 695251387 /nfs/dbraw/zinc/25/13/87/695251387.db2.gz DDRJRFBJLLQWLX-KRWDZBQOSA-N 1 2 301.390 1.182 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3[nH]c(C)cc3C)C2)C1 ZINC000972436755 695251389 /nfs/dbraw/zinc/25/13/89/695251389.db2.gz DDRJRFBJLLQWLX-KRWDZBQOSA-N 1 2 301.390 1.182 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3cccnc3)C2)C1 ZINC000972454954 695255188 /nfs/dbraw/zinc/25/51/88/695255188.db2.gz ZHGCKFBETMPVCS-GOSISDBHSA-N 1 2 315.417 1.504 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3cccnc3)C2)C1 ZINC000972454954 695255190 /nfs/dbraw/zinc/25/51/90/695255190.db2.gz ZHGCKFBETMPVCS-GOSISDBHSA-N 1 2 315.417 1.504 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C4CC4)CCC3)C2)C1 ZINC000972485262 695261420 /nfs/dbraw/zinc/26/14/20/695261420.db2.gz UNBQAGSCTRPWHK-KRWDZBQOSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C4CC4)CCC3)C2)C1 ZINC000972485262 695261423 /nfs/dbraw/zinc/26/14/23/695261423.db2.gz UNBQAGSCTRPWHK-KRWDZBQOSA-N 1 2 302.418 1.503 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(OC)cs3)C2)C1 ZINC000972493346 695264631 /nfs/dbraw/zinc/26/46/31/695264631.db2.gz XLVUZGWRYZAYJD-MRXNPFEDSA-N 1 2 322.430 1.860 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(OC)cs3)C2)C1 ZINC000972493346 695264633 /nfs/dbraw/zinc/26/46/33/695264633.db2.gz XLVUZGWRYZAYJD-MRXNPFEDSA-N 1 2 322.430 1.860 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cocc3C)C2)C1 ZINC000972506571 695267519 /nfs/dbraw/zinc/26/75/19/695267519.db2.gz LJIJJDWJZJZOOL-KRWDZBQOSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cocc3C)C2)C1 ZINC000972506571 695267520 /nfs/dbraw/zinc/26/75/20/695267520.db2.gz LJIJJDWJZJZOOL-KRWDZBQOSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)C1 ZINC000972513029 695269443 /nfs/dbraw/zinc/26/94/43/695269443.db2.gz CXFOIXLGTFGFHG-MUQADHOPSA-N 1 2 302.418 1.359 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)C1 ZINC000972513029 695269444 /nfs/dbraw/zinc/26/94/44/695269444.db2.gz CXFOIXLGTFGFHG-MUQADHOPSA-N 1 2 302.418 1.359 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3Cc4ccccc43)C2)C1 ZINC000972526337 695273012 /nfs/dbraw/zinc/27/30/12/695273012.db2.gz JGCIFTLCSIALIY-UYAOXDASSA-N 1 2 324.424 1.653 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3Cc4ccccc43)C2)C1 ZINC000972526337 695273014 /nfs/dbraw/zinc/27/30/14/695273014.db2.gz JGCIFTLCSIALIY-UYAOXDASSA-N 1 2 324.424 1.653 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)Cc3ccco3)C2)C1 ZINC000972593576 695293266 /nfs/dbraw/zinc/29/32/66/695293266.db2.gz NICGFHFQOJMGDX-YJBOKZPZSA-N 1 2 316.401 1.395 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)Cc3ccco3)C2)C1 ZINC000972593576 695293267 /nfs/dbraw/zinc/29/32/67/695293267.db2.gz NICGFHFQOJMGDX-YJBOKZPZSA-N 1 2 316.401 1.395 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(CCC)on3)C2)C1 ZINC000972622503 695302113 /nfs/dbraw/zinc/30/21/13/695302113.db2.gz WHKADGMAWDVJKB-QGZVFWFLSA-N 1 2 319.405 1.730 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(CCC)on3)C2)C1 ZINC000972622503 695302116 /nfs/dbraw/zinc/30/21/16/695302116.db2.gz WHKADGMAWDVJKB-QGZVFWFLSA-N 1 2 319.405 1.730 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CC)on3)C2)C1 ZINC000972640032 695306680 /nfs/dbraw/zinc/30/66/80/695306680.db2.gz DKFWWMKALLAVJB-KRWDZBQOSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CC)on3)C2)C1 ZINC000972640032 695306682 /nfs/dbraw/zinc/30/66/82/695306682.db2.gz DKFWWMKALLAVJB-KRWDZBQOSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H](C)C3CC3)C2)C1 ZINC000972693352 695317622 /nfs/dbraw/zinc/31/76/22/695317622.db2.gz CGSAOHNUFCZVJK-YJBOKZPZSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H](C)C3CC3)C2)C1 ZINC000972693352 695317624 /nfs/dbraw/zinc/31/76/24/695317624.db2.gz CGSAOHNUFCZVJK-YJBOKZPZSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CCN1C[C@@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)C(C)(C)C1 ZINC000977387831 696140377 /nfs/dbraw/zinc/14/03/77/696140377.db2.gz LHEQOJARMPDWPI-MRXNPFEDSA-N 1 2 323.400 1.341 20 30 DDEDLO C=C(Br)CNC(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000105196514 696621861 /nfs/dbraw/zinc/62/18/61/696621861.db2.gz WJNCSXYPUYYXPR-MNOVXSKESA-N 1 2 320.231 1.303 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCc2cncnc2)CC1 ZINC000981623008 696845637 /nfs/dbraw/zinc/84/56/37/696845637.db2.gz LESACMKCMSOKGD-UHFFFAOYSA-N 1 2 308.813 1.696 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCc2cncnc2)CC1 ZINC000981623008 696845638 /nfs/dbraw/zinc/84/56/38/696845638.db2.gz LESACMKCMSOKGD-UHFFFAOYSA-N 1 2 308.813 1.696 20 30 DDEDLO Cc1nc(C[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)co1 ZINC000981671828 696868485 /nfs/dbraw/zinc/86/84/85/696868485.db2.gz JWVKYXGAYDWSMA-LBPRGKRZSA-N 1 2 302.378 1.567 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)co1 ZINC000981671828 696868489 /nfs/dbraw/zinc/86/84/89/696868489.db2.gz JWVKYXGAYDWSMA-LBPRGKRZSA-N 1 2 302.378 1.567 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3ccnn3C)CC2)cc1 ZINC000981731091 696894282 /nfs/dbraw/zinc/89/42/82/696894282.db2.gz AMNMCSJEWRFMDU-UHFFFAOYSA-N 1 2 322.412 1.750 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3ccnn3C)CC2)cc1 ZINC000981731091 696894285 /nfs/dbraw/zinc/89/42/85/696894285.db2.gz AMNMCSJEWRFMDU-UHFFFAOYSA-N 1 2 322.412 1.750 20 30 DDEDLO C#CCOCCC(=O)Nc1cc(C[NH+]2CCOCC2)ccc1C ZINC000152743900 696904271 /nfs/dbraw/zinc/90/42/71/696904271.db2.gz KWQSLEWKBMORPK-UHFFFAOYSA-N 1 2 316.401 1.806 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)c2ccnnc2)CC1 ZINC000982020766 697005120 /nfs/dbraw/zinc/00/51/20/697005120.db2.gz GPWILUOFTHRWNM-UHFFFAOYSA-N 1 2 321.384 1.696 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)c2ccnnc2)CC1 ZINC000982020766 697005122 /nfs/dbraw/zinc/00/51/22/697005122.db2.gz GPWILUOFTHRWNM-UHFFFAOYSA-N 1 2 321.384 1.696 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC2(CN(C(=O)CCc3cn[nH]n3)C2)C1 ZINC000982022411 697005953 /nfs/dbraw/zinc/00/59/53/697005953.db2.gz VULVWSVBYMMKDE-UHFFFAOYSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC2(CN(C(=O)CCc3cn[nH]n3)C2)C1 ZINC000982022411 697005956 /nfs/dbraw/zinc/00/59/56/697005956.db2.gz VULVWSVBYMMKDE-UHFFFAOYSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC2(CN(C(=O)CCc3c[nH]nn3)C2)C1 ZINC000982022411 697005959 /nfs/dbraw/zinc/00/59/59/697005959.db2.gz VULVWSVBYMMKDE-UHFFFAOYSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC2(CN(C(=O)CCc3c[nH]nn3)C2)C1 ZINC000982022411 697005962 /nfs/dbraw/zinc/00/59/62/697005962.db2.gz VULVWSVBYMMKDE-UHFFFAOYSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H](C)Cc2cnn(C)c2)CC1 ZINC000983091203 697210284 /nfs/dbraw/zinc/21/02/84/697210284.db2.gz ORHDXHNMQRTPDN-CYBMUJFWSA-N 1 2 324.856 1.886 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H](C)Cc2cnn(C)c2)CC1 ZINC000983091203 697210285 /nfs/dbraw/zinc/21/02/85/697210285.db2.gz ORHDXHNMQRTPDN-CYBMUJFWSA-N 1 2 324.856 1.886 20 30 DDEDLO N#Cc1c(Cl)nsc1N1CC[NH+](C[C@@H]2CCCO2)CC1 ZINC000749256982 700153734 /nfs/dbraw/zinc/15/37/34/700153734.db2.gz ARRZWVONZTZCMF-JTQLQIEISA-N 1 2 312.826 1.969 20 30 DDEDLO CC(C)OCCON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181744339 697464656 /nfs/dbraw/zinc/46/46/56/697464656.db2.gz AHVGLNNHTHXKGS-MRXNPFEDSA-N 1 2 321.421 1.601 20 30 DDEDLO CC(C)OCCON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181744339 697464657 /nfs/dbraw/zinc/46/46/57/697464657.db2.gz AHVGLNNHTHXKGS-MRXNPFEDSA-N 1 2 321.421 1.601 20 30 DDEDLO N#Cc1ccccc1N1CCC(NC(=O)CCn2cc[nH+]c2)CC1 ZINC000749531800 700169022 /nfs/dbraw/zinc/16/90/22/700169022.db2.gz ZBHUUCWSVHLYDC-UHFFFAOYSA-N 1 2 323.400 1.930 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@H+]2CC(=O)N(C)[C@H](C)C2)c1O ZINC000799957081 700177659 /nfs/dbraw/zinc/17/76/59/700177659.db2.gz ZKZLSXIMEGNFGM-GFCCVEGCSA-N 1 2 304.390 1.792 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@@H+]2CC(=O)N(C)[C@H](C)C2)c1O ZINC000799957081 700177663 /nfs/dbraw/zinc/17/76/63/700177663.db2.gz ZKZLSXIMEGNFGM-GFCCVEGCSA-N 1 2 304.390 1.792 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C=[NH+]OCCC(F)(F)F ZINC000777460863 698192583 /nfs/dbraw/zinc/19/25/83/698192583.db2.gz YZHGHYVTWOLRIX-UHFFFAOYSA-N 1 2 320.315 1.868 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@H](CN(C)C(=O)OC(C)(C)C)C1 ZINC000800337643 700216745 /nfs/dbraw/zinc/21/67/45/700216745.db2.gz CGSLBQVOZKZBMY-CHWSQXEVSA-N 1 2 312.410 1.903 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@H](CN(C)C(=O)OC(C)(C)C)C1 ZINC000800337643 700216747 /nfs/dbraw/zinc/21/67/47/700216747.db2.gz CGSLBQVOZKZBMY-CHWSQXEVSA-N 1 2 312.410 1.903 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=Cc2cn(-c3ccc(F)cc3Cl)nn2)N1 ZINC000779805975 698469486 /nfs/dbraw/zinc/46/94/86/698469486.db2.gz XGYVHYXUCHAYIM-MRVPVSSYSA-N 1 2 321.747 1.331 20 30 DDEDLO C[C@@H]1C[C@@H]([NH2+]Cc2nn(C)cc2Cl)CN1C(=O)C#CC1CC1 ZINC000988811180 698473258 /nfs/dbraw/zinc/47/32/58/698473258.db2.gz ITGKXGUSQVEWBQ-DGCLKSJQSA-N 1 2 320.824 1.566 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)c2cccn(C)c2=O)CC1 ZINC000989508668 698650402 /nfs/dbraw/zinc/65/04/02/698650402.db2.gz PWRAPZKSIYGPOW-UHFFFAOYSA-N 1 2 309.797 1.286 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)c2cccn(C)c2=O)CC1 ZINC000989508668 698650407 /nfs/dbraw/zinc/65/04/07/698650407.db2.gz PWRAPZKSIYGPOW-UHFFFAOYSA-N 1 2 309.797 1.286 20 30 DDEDLO C[C@@](O)(C[NH+]1CCC(C#N)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000305846410 698659276 /nfs/dbraw/zinc/65/92/76/698659276.db2.gz SSHIPTDYBHWLMR-MRXNPFEDSA-N 1 2 322.430 1.533 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)[C@@H](C(C)C)[NH+]1CCCCC1 ZINC000783618075 698859417 /nfs/dbraw/zinc/85/94/17/698859417.db2.gz KZQMVIIMGLXIIC-CQSZACIVSA-N 1 2 316.467 1.214 20 30 DDEDLO CC(C)CN(CCC#N)CN1C[C@@H](c2c[nH+]cn2C)CC1=O ZINC000783973938 698897609 /nfs/dbraw/zinc/89/76/09/698897609.db2.gz AUSJHGZMGQTORM-AWEZNQCLSA-N 1 2 303.410 1.565 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](C[C@@H](O)c2ccccc2)CC1 ZINC000729921950 699492392 /nfs/dbraw/zinc/49/23/92/699492392.db2.gz BVKPOGFDEXGQNG-MRXNPFEDSA-N 1 2 304.390 1.067 20 30 DDEDLO COc1ccccc1-c1ncc(C=NNC2=[NH+]C[C@H](C)N2)cn1 ZINC000793039080 699729756 /nfs/dbraw/zinc/72/97/56/699729756.db2.gz JGFLERFQCLUFHZ-NSHDSACASA-N 1 2 310.361 1.423 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(O[C@@H]2CCOC2)cc1 ZINC000739787600 699779486 /nfs/dbraw/zinc/77/94/86/699779486.db2.gz IHLVPDNPIOWUPD-SJORKVTESA-N 1 2 314.385 1.890 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(O[C@@H]2CCOC2)cc1 ZINC000739787600 699779488 /nfs/dbraw/zinc/77/94/88/699779488.db2.gz IHLVPDNPIOWUPD-SJORKVTESA-N 1 2 314.385 1.890 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CCC[C@H]([C@H]3CCOC3)C2)c1=S ZINC000794635407 699820606 /nfs/dbraw/zinc/82/06/06/699820606.db2.gz VMXUQIBDTKWSDW-STQMWFEESA-N 1 2 321.450 1.723 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CCC[C@H]([C@H]3CCOC3)C2)c1=S ZINC000794635407 699820609 /nfs/dbraw/zinc/82/06/09/699820609.db2.gz VMXUQIBDTKWSDW-STQMWFEESA-N 1 2 321.450 1.723 20 30 DDEDLO COCCN(C)[NH+]=Cc1c(C)nn2c1[nH]c1ccccc1c2=O ZINC000794968580 699838360 /nfs/dbraw/zinc/83/83/60/699838360.db2.gz RPNDRYHSRUYVAE-UHFFFAOYSA-N 1 2 313.361 1.396 20 30 DDEDLO C#CCNC(=O)c1ccc(N2CCC[C@H](n3cc[nH+]c3)C2)nc1 ZINC000742400463 699877380 /nfs/dbraw/zinc/87/73/80/699877380.db2.gz BBXXEACVBKUSEG-HNNXBMFYSA-N 1 2 309.373 1.483 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(C)c(C(=O)N(C)C)c1 ZINC000751432710 700287910 /nfs/dbraw/zinc/28/79/10/700287910.db2.gz NVONAPLJPBWDSM-INIZCTEOSA-N 1 2 313.401 1.733 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(C)c(C(=O)N(C)C)c1 ZINC000751432710 700287911 /nfs/dbraw/zinc/28/79/11/700287911.db2.gz NVONAPLJPBWDSM-INIZCTEOSA-N 1 2 313.401 1.733 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1nnc([C@H](C)CC)[nH]1 ZINC000754430067 700501742 /nfs/dbraw/zinc/50/17/42/700501742.db2.gz PYFHJODTBZEARN-AGIUHOORSA-N 1 2 303.410 1.593 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1nnc([C@H](C)CC)[nH]1 ZINC000754430067 700501745 /nfs/dbraw/zinc/50/17/45/700501745.db2.gz PYFHJODTBZEARN-AGIUHOORSA-N 1 2 303.410 1.593 20 30 DDEDLO Cn1c[nH+]cc1CN[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000758035349 700681754 /nfs/dbraw/zinc/68/17/54/700681754.db2.gz LHJSCMMAMXUUDR-DGCLKSJQSA-N 1 2 305.382 1.269 20 30 DDEDLO C=CCN1CC(=O)N(Cc2ccccc2Cn2cc[nH+]c2)C1=O ZINC000760976744 700832832 /nfs/dbraw/zinc/83/28/32/700832832.db2.gz ORZTYVACWNVXKA-UHFFFAOYSA-N 1 2 310.357 1.882 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+]2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000764678517 700981752 /nfs/dbraw/zinc/98/17/52/700981752.db2.gz MMMXFJVLVXNKPX-BBRMVZONSA-N 1 2 322.430 1.986 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+]2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000764678517 700981753 /nfs/dbraw/zinc/98/17/53/700981753.db2.gz MMMXFJVLVXNKPX-BBRMVZONSA-N 1 2 322.430 1.986 20 30 DDEDLO COc1cc(C#N)ccc1CN1CC[NH+](CC[S@@](C)=O)CC1 ZINC000766920852 701071347 /nfs/dbraw/zinc/07/13/47/701071347.db2.gz RTXKNNAFSICJLH-JOCHJYFZSA-N 1 2 321.446 1.063 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)OCc2ccccc2)CC1 ZINC000767119811 701085087 /nfs/dbraw/zinc/08/50/87/701085087.db2.gz PADXOIHIKMEBCB-INIZCTEOSA-N 1 2 304.390 1.878 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc2c1CCN2C(C)=O ZINC000769626567 701250766 /nfs/dbraw/zinc/25/07/66/701250766.db2.gz WDPPJDBNGYTHJX-KRWDZBQOSA-N 1 2 311.385 1.632 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc2c1CCN2C(C)=O ZINC000769626567 701250768 /nfs/dbraw/zinc/25/07/68/701250768.db2.gz WDPPJDBNGYTHJX-KRWDZBQOSA-N 1 2 311.385 1.632 20 30 DDEDLO CC(C)[N@H+]1CC(=NNc2nc(=O)c3cnn(C)c3[nH]2)[C@@H](C)C1 ZINC000769819456 701258032 /nfs/dbraw/zinc/25/80/32/701258032.db2.gz RYDCJJLADYWDSW-VIFPVBQESA-N 1 2 303.370 1.197 20 30 DDEDLO CC(C)[N@@H+]1CC(=NNc2nc(=O)c3cnn(C)c3[nH]2)[C@@H](C)C1 ZINC000769819456 701258033 /nfs/dbraw/zinc/25/80/33/701258033.db2.gz RYDCJJLADYWDSW-VIFPVBQESA-N 1 2 303.370 1.197 20 30 DDEDLO Cn1cc[nH+]c1C([O-])=C(C#N)C(=O)C1CC[NH+](C2CC2)CC1 ZINC000805898173 701415475 /nfs/dbraw/zinc/41/54/75/701415475.db2.gz BYTZYAZHRNPPBP-ZDUSSCGKSA-N 1 2 300.362 1.186 20 30 DDEDLO C=CCN(C(=O)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)C(C)C ZINC000807695164 701484210 /nfs/dbraw/zinc/48/42/10/701484210.db2.gz RGRXAALIXHCFFM-CQSZACIVSA-N 1 2 316.405 1.200 20 30 DDEDLO CC(=O)NCC[C@H]1CCC[N@H+](Cn2ccc(C)c(C#N)c2=O)C1 ZINC000808217550 701508735 /nfs/dbraw/zinc/50/87/35/701508735.db2.gz JZWBYXWEPQBHCQ-OAHLLOKOSA-N 1 2 316.405 1.224 20 30 DDEDLO CC(=O)NCC[C@H]1CCC[N@@H+](Cn2ccc(C)c(C#N)c2=O)C1 ZINC000808217550 701508736 /nfs/dbraw/zinc/50/87/36/701508736.db2.gz JZWBYXWEPQBHCQ-OAHLLOKOSA-N 1 2 316.405 1.224 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000808753818 701535237 /nfs/dbraw/zinc/53/52/37/701535237.db2.gz DTEFMOQVMZOTGS-CYBMUJFWSA-N 1 2 317.451 1.783 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000808753818 701535238 /nfs/dbraw/zinc/53/52/38/701535238.db2.gz DTEFMOQVMZOTGS-CYBMUJFWSA-N 1 2 317.451 1.783 20 30 DDEDLO C#CCCOC(=O)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000867792075 701751536 /nfs/dbraw/zinc/75/15/36/701751536.db2.gz MDVLRHWGWNQJTQ-QGZVFWFLSA-N 1 2 316.401 1.945 20 30 DDEDLO C#CCCOC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000867792075 701751541 /nfs/dbraw/zinc/75/15/41/701751541.db2.gz MDVLRHWGWNQJTQ-QGZVFWFLSA-N 1 2 316.401 1.945 20 30 DDEDLO C#CCCCCNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000810525923 701783166 /nfs/dbraw/zinc/78/31/66/701783166.db2.gz ZZEMQCDMQOOCFD-HNNXBMFYSA-N 1 2 318.421 1.403 20 30 DDEDLO C#CCCCCNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000810525923 701783170 /nfs/dbraw/zinc/78/31/70/701783170.db2.gz ZZEMQCDMQOOCFD-HNNXBMFYSA-N 1 2 318.421 1.403 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000811187117 701931198 /nfs/dbraw/zinc/93/11/98/701931198.db2.gz KATNZDQRJFZGCC-GASCZTMLSA-N 1 2 302.330 1.284 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000811187117 701931206 /nfs/dbraw/zinc/93/12/06/701931206.db2.gz KATNZDQRJFZGCC-GASCZTMLSA-N 1 2 302.330 1.284 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)N[C@@H]2Cc3ccc(C#N)cc3C2)c1 ZINC000868693467 702269893 /nfs/dbraw/zinc/26/98/93/702269893.db2.gz OUWUGLZSUZIRMY-MRXNPFEDSA-N 1 2 309.373 1.301 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC(F)(F)[C@@H](C(=O)OCC)C2)C1=O ZINC000879368480 706664470 /nfs/dbraw/zinc/66/44/70/706664470.db2.gz QDQMXGFMRJPHKQ-NEPJUHHUSA-N 1 2 316.348 1.294 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC(F)(F)[C@@H](C(=O)OCC)C2)C1=O ZINC000879368480 706664473 /nfs/dbraw/zinc/66/44/73/706664473.db2.gz QDQMXGFMRJPHKQ-NEPJUHHUSA-N 1 2 316.348 1.294 20 30 DDEDLO CCOC(=O)c1cnc(N=NCc2c[nH+]cn2CC)nc1C ZINC000841630416 702513621 /nfs/dbraw/zinc/51/36/21/702513621.db2.gz DXNAWYVNLRYELL-UHFFFAOYSA-N 1 2 302.338 1.624 20 30 DDEDLO C[C@H](O)CN(C)[NH+]=Cc1c(Cl)cccc1N1CC[NH+](C)CC1 ZINC000841656516 702519717 /nfs/dbraw/zinc/51/97/17/702519717.db2.gz VSSOYXNMHPWNHQ-ZDUSSCGKSA-N 1 2 324.856 1.738 20 30 DDEDLO N#C[C@@H](C(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)Nc1ccccn1 ZINC000845446929 703158077 /nfs/dbraw/zinc/15/80/77/703158077.db2.gz WSVIKXUHPLKHRE-ZDUSSCGKSA-N 1 2 323.356 1.504 20 30 DDEDLO C=CCCOC(=O)[C@H](C)OC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845589030 703178888 /nfs/dbraw/zinc/17/88/88/703178888.db2.gz HUZGPMHVOSABOM-LBPRGKRZSA-N 1 2 306.362 1.813 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+](C[C@@H](O)C(F)(F)F)CC1 ZINC000879589124 706729591 /nfs/dbraw/zinc/72/95/91/706729591.db2.gz ANAYDYHZOQLTGZ-CYBMUJFWSA-N 1 2 317.286 1.743 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](c1ccccc1F)[C@@H](C)O ZINC000846359413 703269013 /nfs/dbraw/zinc/26/90/13/703269013.db2.gz ISFBTCHWKVHOSC-KCXAZCMYSA-N 1 2 304.365 1.461 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](c1ccccc1F)[C@@H](C)O ZINC000846359413 703269015 /nfs/dbraw/zinc/26/90/15/703269015.db2.gz ISFBTCHWKVHOSC-KCXAZCMYSA-N 1 2 304.365 1.461 20 30 DDEDLO C=C[C@@H](C)ONC(=O)CC[NH+]1CCN(c2ccccn2)CC1 ZINC000846545935 703294938 /nfs/dbraw/zinc/29/49/38/703294938.db2.gz KJNBJGSDJVLBFN-CQSZACIVSA-N 1 2 304.394 1.216 20 30 DDEDLO C=C(C[NH+](C)C)C(=O)Nc1cc(-c2n[nH]c(=O)[nH]2)ccc1C ZINC000848030663 703497302 /nfs/dbraw/zinc/49/73/02/703497302.db2.gz SXWKHHSHIPJBPD-UHFFFAOYSA-N 1 2 301.350 1.542 20 30 DDEDLO COc1cc2c(cc1C=NNCCCn1cc[nH+]c1)OCO2 ZINC000848418881 703548448 /nfs/dbraw/zinc/54/84/48/703548448.db2.gz QYMOCAWXDHFBNE-UHFFFAOYSA-N 1 2 302.334 1.634 20 30 DDEDLO C[NH+]=C(NCc1ccco1)NCc1nnc([C@H]2CCCO2)[nH]1 ZINC000849049970 703615387 /nfs/dbraw/zinc/61/53/87/703615387.db2.gz XVSKNCSRJMFHAO-LLVKDONJSA-N 1 2 304.354 1.114 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2ccc(CC)cc2)CC1 ZINC000851716882 703835542 /nfs/dbraw/zinc/83/55/42/703835542.db2.gz NKLADWFLNYIUOG-UHFFFAOYSA-N 1 2 300.402 1.657 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCN(c2ccc(C#N)cc2)CC1 ZINC000870095123 703915125 /nfs/dbraw/zinc/91/51/25/703915125.db2.gz KSPRXRARWBTBTI-UHFFFAOYSA-N 1 2 323.400 1.573 20 30 DDEDLO COc1cccc([C@H]2CN(C(=O)c3ccnc(C#N)c3)CC[NH2+]2)c1 ZINC000870151887 703934604 /nfs/dbraw/zinc/93/46/04/703934604.db2.gz DKODUXQGNIDQTF-QGZVFWFLSA-N 1 2 322.368 1.749 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000870158640 703938267 /nfs/dbraw/zinc/93/82/67/703938267.db2.gz NAVUFPAOECIMHG-HNNXBMFYSA-N 1 2 316.401 1.378 20 30 DDEDLO COc1cccc(C[NH+]2CCN(C(=O)C#CC(C)C)CC2)c1 ZINC000870159022 703938355 /nfs/dbraw/zinc/93/83/55/703938355.db2.gz SNZRABGDDMGOPA-UHFFFAOYSA-N 1 2 300.402 1.999 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000852263403 703991041 /nfs/dbraw/zinc/99/10/41/703991041.db2.gz RNBPSVVNCIBPHX-DOTOQJQBSA-N 1 2 314.433 1.124 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000852263403 703991043 /nfs/dbraw/zinc/99/10/43/703991043.db2.gz RNBPSVVNCIBPHX-DOTOQJQBSA-N 1 2 314.433 1.124 20 30 DDEDLO N#CCCNC(=O)C[NH2+][C@@H](CO)c1cccc(Cl)c1Cl ZINC000852676215 704097960 /nfs/dbraw/zinc/09/79/60/704097960.db2.gz HHSSDHKMPSXJOW-NSHDSACASA-N 1 2 316.188 1.646 20 30 DDEDLO C#CCC[C@@H](O)CNc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000853569578 704273154 /nfs/dbraw/zinc/27/31/54/704273154.db2.gz ZKCQTMVJTHVALM-CYBMUJFWSA-N 1 2 304.394 1.014 20 30 DDEDLO C#CCC[C@@H](O)CNc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000853569578 704273155 /nfs/dbraw/zinc/27/31/55/704273155.db2.gz ZKCQTMVJTHVALM-CYBMUJFWSA-N 1 2 304.394 1.014 20 30 DDEDLO C=CCC(C)(C)CNC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000820500046 704290857 /nfs/dbraw/zinc/29/08/57/704290857.db2.gz AFDFYYKBLFGOID-CABCVRRESA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCNC(=O)c1ccccc1NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000820573185 704302571 /nfs/dbraw/zinc/30/25/71/704302571.db2.gz SJUIUJHLVWQECK-ZDUSSCGKSA-N 1 2 324.384 2.000 20 30 DDEDLO CCCCCCCS(=O)(=O)N1C[C@@H](C)[N@H+](CCO)[C@@H](C)C1 ZINC000832317917 706890560 /nfs/dbraw/zinc/89/05/60/706890560.db2.gz RACXEIDSVRAOHH-GASCZTMLSA-N 1 2 320.499 1.674 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000858857278 704765091 /nfs/dbraw/zinc/76/50/91/704765091.db2.gz RBICSRCCTZHBCE-CABCVRRESA-N 1 2 318.421 1.668 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000858857278 704765093 /nfs/dbraw/zinc/76/50/93/704765093.db2.gz RBICSRCCTZHBCE-CABCVRRESA-N 1 2 318.421 1.668 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC(n2cc[nH+]c2)CC1)C1CCOCC1 ZINC000823185937 705165113 /nfs/dbraw/zinc/16/51/13/705165113.db2.gz HCYQQOZMSPDPFQ-MRXNPFEDSA-N 1 2 316.405 1.658 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2c[nH]nc2C(C)(C)C)CC1 ZINC000824867475 705545834 /nfs/dbraw/zinc/54/58/34/705545834.db2.gz QCAMAKJKODAQLE-UHFFFAOYSA-N 1 2 304.394 1.986 20 30 DDEDLO C#C[C@H](NC(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1)c1ccccc1 ZINC000826046078 705763317 /nfs/dbraw/zinc/76/33/17/705763317.db2.gz HZSGQBZAISLUTD-VYDXJSESSA-N 1 2 315.417 1.769 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000827087754 705925972 /nfs/dbraw/zinc/92/59/72/705925972.db2.gz AMPCIDDCKIUSRE-YOEHRIQHSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000827087754 705925973 /nfs/dbraw/zinc/92/59/73/705925973.db2.gz AMPCIDDCKIUSRE-YOEHRIQHSA-N 1 2 310.438 1.321 20 30 DDEDLO C#CCN(CC(=O)N1CC(n2cc[nH+]c2)C1)C(=O)OC(C)(C)C ZINC000827769531 706064796 /nfs/dbraw/zinc/06/47/96/706064796.db2.gz OFIPUBZJZNNKMG-UHFFFAOYSA-N 1 2 318.377 1.137 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc2c(C#N)c[nH]c2c1 ZINC000864879935 706290538 /nfs/dbraw/zinc/29/05/38/706290538.db2.gz SQSCJIVGRQVECL-LBPRGKRZSA-N 1 2 312.373 1.490 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@H+]2CCOC[C@H]2CC)c1 ZINC000878118792 706299418 /nfs/dbraw/zinc/29/94/18/706299418.db2.gz SLVGSXRBIIKCHR-MRXNPFEDSA-N 1 2 301.390 1.900 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@@H+]2CCOC[C@H]2CC)c1 ZINC000878118792 706299421 /nfs/dbraw/zinc/29/94/21/706299421.db2.gz SLVGSXRBIIKCHR-MRXNPFEDSA-N 1 2 301.390 1.900 20 30 DDEDLO N#C[C@@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1ccc(Cl)cc1 ZINC000865199668 706379806 /nfs/dbraw/zinc/37/98/06/706379806.db2.gz URHWBZMNSUPLSY-BGTMTDRBSA-N 1 2 319.792 1.909 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(c2ccccc2Cl)CC1 ZINC000829798448 706398279 /nfs/dbraw/zinc/39/82/79/706398279.db2.gz WYRSORFEXUIHCR-UHFFFAOYSA-N 1 2 305.809 1.602 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(c2ccccc2Cl)CC1 ZINC000829798448 706398281 /nfs/dbraw/zinc/39/82/81/706398281.db2.gz WYRSORFEXUIHCR-UHFFFAOYSA-N 1 2 305.809 1.602 20 30 DDEDLO COc1ccc(N2CCN(C(=O)C[NH2+][C@H](C)CC#N)CC2)cc1 ZINC000878817205 706507462 /nfs/dbraw/zinc/50/74/62/706507462.db2.gz PISUGEUZZXAUMB-CQSZACIVSA-N 1 2 316.405 1.236 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[NH+]1CCC(Oc2ncc(C)cn2)CC1 ZINC000878844500 706515086 /nfs/dbraw/zinc/51/50/86/706515086.db2.gz BTYGEMKEGLRVAZ-AWEZNQCLSA-N 1 2 305.378 1.746 20 30 DDEDLO C#CCC1(O)CCN(C(=O)Nc2ccc(C)[nH+]c2N(C)C)CC1 ZINC000879002849 706559861 /nfs/dbraw/zinc/55/98/61/706559861.db2.gz OSGNWDFBIZGOQB-UHFFFAOYSA-N 1 2 316.405 1.838 20 30 DDEDLO CCCCCCCS(=O)(=O)N1C[C@@H](C)[N@@H+](CCO)[C@@H](C)C1 ZINC000832317917 706890557 /nfs/dbraw/zinc/89/05/57/706890557.db2.gz RACXEIDSVRAOHH-GASCZTMLSA-N 1 2 320.499 1.674 20 30 DDEDLO C#CCC1(O)CC[NH+]([C@@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000880479133 706986699 /nfs/dbraw/zinc/98/66/99/706986699.db2.gz KMSPCSPNYVVLAT-AWEZNQCLSA-N 1 2 311.389 1.574 20 30 DDEDLO C#CCC1(O)CCN(C(=O)c2cccc(Cn3cc[nH+]c3)c2)CC1 ZINC000882521983 707680042 /nfs/dbraw/zinc/68/00/42/707680042.db2.gz AFIYQXYSQJXLPK-UHFFFAOYSA-N 1 2 323.396 1.922 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000837915522 707780049 /nfs/dbraw/zinc/78/00/49/707780049.db2.gz QRCRLGZXVTURPL-OAHLLOKOSA-N 1 2 310.357 1.416 20 30 DDEDLO C#CCCS(=O)(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882837363 707811377 /nfs/dbraw/zinc/81/13/77/707811377.db2.gz FSWHFVQJURBECG-HNNXBMFYSA-N 1 2 303.387 1.567 20 30 DDEDLO C#CC1(F)CN(C(=O)NCc2ccccc2Cn2cc[nH+]c2)C1 ZINC000883088702 707922425 /nfs/dbraw/zinc/92/24/25/707922425.db2.gz OCAZHCIWYRHONX-UHFFFAOYSA-N 1 2 312.348 1.798 20 30 DDEDLO CC(=O)OC1CC[NH+](Cc2c(C#N)cccc2[N+](=O)[O-])CC1 ZINC000838900133 707999178 /nfs/dbraw/zinc/99/91/78/707999178.db2.gz BHEUAJULUBENRT-UHFFFAOYSA-N 1 2 303.318 1.994 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCSc1ccc(C)cc1 ZINC000884058977 708121078 /nfs/dbraw/zinc/12/10/78/708121078.db2.gz BADVZMFFUXOCDB-AWEZNQCLSA-N 1 2 322.430 1.650 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)COc1ccc(C)cc1 ZINC000884098519 708137719 /nfs/dbraw/zinc/13/77/19/708137719.db2.gz MWZXZXIUUBMZFI-ZFWWWQNUSA-N 1 2 320.389 1.325 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc([C@H](C)OC)c1 ZINC000884119722 708147406 /nfs/dbraw/zinc/14/74/06/708147406.db2.gz UOGPOHFILHQUTM-FZMZJTMJSA-N 1 2 306.362 1.779 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CCC)C[C@H]1CCCCO1 ZINC000884127360 708151143 /nfs/dbraw/zinc/15/11/43/708151143.db2.gz BOTFIBFDYWMKIS-KGLIPLIRSA-N 1 2 312.410 1.241 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1C[C@H](OCC)C12CCCC2 ZINC000884145398 708159785 /nfs/dbraw/zinc/15/97/85/708159785.db2.gz JEMGIJKEKVSAAK-IHRRRGAJSA-N 1 2 324.421 1.287 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](Cc1ccccc1)C1CC1 ZINC000884148907 708161081 /nfs/dbraw/zinc/16/10/81/708161081.db2.gz WGDXCNKCYKPZRP-JKSUJKDBSA-N 1 2 316.401 1.571 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H](CC)Cc1ccccc1 ZINC000884155499 708163620 /nfs/dbraw/zinc/16/36/20/708163620.db2.gz DHTKZKUUJQUMQN-HOTGVXAUSA-N 1 2 318.417 1.913 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(CC(C)(C)C)nn1C ZINC000884175483 708172955 /nfs/dbraw/zinc/17/29/55/708172955.db2.gz VHQFJDVJCDCNRG-LBPRGKRZSA-N 1 2 322.409 1.394 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc(N2CCCC2)c1 ZINC000884194695 708182157 /nfs/dbraw/zinc/18/21/57/708182157.db2.gz PRASSBZACJGPHU-HNNXBMFYSA-N 1 2 317.389 1.672 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1C[C@]1(F)c1ccccc1 ZINC000884369510 708266988 /nfs/dbraw/zinc/26/69/88/708266988.db2.gz GPCDNTVCOOYTBA-XEZPLFJOSA-N 1 2 306.337 1.187 20 30 DDEDLO C=CCCCN(CC)c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000897399484 708316154 /nfs/dbraw/zinc/31/61/54/708316154.db2.gz NZNDZYBPXKCBIX-UHFFFAOYSA-N 1 2 303.410 1.548 20 30 DDEDLO C=CCCCN(CC)c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000897399484 708316156 /nfs/dbraw/zinc/31/61/56/708316156.db2.gz NZNDZYBPXKCBIX-UHFFFAOYSA-N 1 2 303.410 1.548 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](Nc2ccc(S(=O)(=O)CC)cc2)C1 ZINC000884611597 708338038 /nfs/dbraw/zinc/33/80/38/708338038.db2.gz PLXVXKIRFADZBV-OAHLLOKOSA-N 1 2 306.431 1.990 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](Nc2ccc(S(=O)(=O)CC)cc2)C1 ZINC000884611597 708338040 /nfs/dbraw/zinc/33/80/40/708338040.db2.gz PLXVXKIRFADZBV-OAHLLOKOSA-N 1 2 306.431 1.990 20 30 DDEDLO C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000885081888 708465788 /nfs/dbraw/zinc/46/57/88/708465788.db2.gz GSCNQCZHMDMUQV-KBPBESRZSA-N 1 2 321.446 1.856 20 30 DDEDLO C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000885081888 708465790 /nfs/dbraw/zinc/46/57/90/708465790.db2.gz GSCNQCZHMDMUQV-KBPBESRZSA-N 1 2 321.446 1.856 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1C[N@H+](C[C@H](O)CC2(C#N)CCC2)CCO1 ZINC000886030779 708685213 /nfs/dbraw/zinc/68/52/13/708685213.db2.gz UNCDUZKBPIQDPS-ZIAGYGMSSA-N 1 2 324.421 1.474 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1C[N@@H+](C[C@H](O)CC2(C#N)CCC2)CCO1 ZINC000886030779 708685214 /nfs/dbraw/zinc/68/52/14/708685214.db2.gz UNCDUZKBPIQDPS-ZIAGYGMSSA-N 1 2 324.421 1.474 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)C[C@H](CC#N)c1ccccc1 ZINC000898893482 708911355 /nfs/dbraw/zinc/91/13/55/708911355.db2.gz CYMNUQRKHORSNA-LSDHHAIUSA-N 1 2 312.373 1.657 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1cc(C#N)ccc1F ZINC000888356279 709286780 /nfs/dbraw/zinc/28/67/80/709286780.db2.gz LZHXKGOZKSQRAN-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1cc(C#N)ccc1F ZINC000888356279 709286784 /nfs/dbraw/zinc/28/67/84/709286784.db2.gz LZHXKGOZKSQRAN-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2cc(C#N)ccc2F)[C@@H](C)CO1 ZINC000888374093 709290863 /nfs/dbraw/zinc/29/08/63/709290863.db2.gz ZOOZWZCLUJMKFF-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2cc(C#N)ccc2F)[C@@H](C)CO1 ZINC000888374093 709290865 /nfs/dbraw/zinc/29/08/65/709290865.db2.gz ZOOZWZCLUJMKFF-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)c1cccc(C#CCO)c1 ZINC000900134828 709302894 /nfs/dbraw/zinc/30/28/94/709302894.db2.gz KGDMPTUJTKTIKA-UHFFFAOYSA-N 1 2 323.396 1.536 20 30 DDEDLO Cc1cc(C#N)ccc1N1CC[NH+]([C@H](C)C(=O)NC2CC2)CC1 ZINC000891652864 710257818 /nfs/dbraw/zinc/25/78/18/710257818.db2.gz FPMBUYWXKQQOJM-CQSZACIVSA-N 1 2 312.417 1.656 20 30 DDEDLO C=CCCC[C@@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C(=O)OC ZINC000928319147 713174325 /nfs/dbraw/zinc/17/43/25/713174325.db2.gz HVWLCZGCSYGFLU-GXTWGEPZSA-N 1 2 305.378 1.460 20 30 DDEDLO COc1ccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)o1 ZINC000892223123 710398087 /nfs/dbraw/zinc/39/80/87/710398087.db2.gz FKZIUQQEXBYBAT-LBPRGKRZSA-N 1 2 313.361 1.661 20 30 DDEDLO COc1ccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)o1 ZINC000892223123 710398088 /nfs/dbraw/zinc/39/80/88/710398088.db2.gz FKZIUQQEXBYBAT-LBPRGKRZSA-N 1 2 313.361 1.661 20 30 DDEDLO Cc1nn(CCC#N)c(C)c1OCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000902911238 710955404 /nfs/dbraw/zinc/95/54/04/710955404.db2.gz XQWZGIYOKZZONG-GASCZTMLSA-N 1 2 304.394 1.656 20 30 DDEDLO Cc1nn(CCC#N)c(C)c1OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000902911238 710955406 /nfs/dbraw/zinc/95/54/06/710955406.db2.gz XQWZGIYOKZZONG-GASCZTMLSA-N 1 2 304.394 1.656 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@H](Nc3ncccn3)C2)c1 ZINC000903027169 711002991 /nfs/dbraw/zinc/00/29/91/711002991.db2.gz OPWRQFKDWHJLJO-HNNXBMFYSA-N 1 2 322.372 1.473 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CC[C@H](Nc3ncccn3)C2)c1 ZINC000903027169 711002996 /nfs/dbraw/zinc/00/29/96/711002996.db2.gz OPWRQFKDWHJLJO-HNNXBMFYSA-N 1 2 322.372 1.473 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)/C=C/c1ccccc1C#N ZINC000928643513 713247325 /nfs/dbraw/zinc/24/73/25/713247325.db2.gz IWXGQSFEXYYHKI-IWAYRVGRSA-N 1 2 313.401 1.798 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)[C@]1(C#N)CC12CCCC2 ZINC000928649892 713250780 /nfs/dbraw/zinc/25/07/80/713250780.db2.gz ZQVUIRLKAKERHA-WMLDXEAASA-N 1 2 305.422 1.687 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2ncc(Br)cc2O)CC1 ZINC000895539225 711537528 /nfs/dbraw/zinc/53/75/28/711537528.db2.gz VBNCGKCEKDTSDF-UHFFFAOYSA-N 1 2 311.179 1.510 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2n[nH]c3c2C[C@H](C)CC3)CC1 ZINC000895887120 711638289 /nfs/dbraw/zinc/63/82/89/711638289.db2.gz ZFIQEQZADCYCHQ-CYBMUJFWSA-N 1 2 300.406 1.316 20 30 DDEDLO C#CCN(C(=O)C1CC[NH+](Cc2nccn2C)CC1)C(C)(C)C ZINC000906268826 712278107 /nfs/dbraw/zinc/27/81/07/712278107.db2.gz XFTNHFWBUIIPAB-UHFFFAOYSA-N 1 2 316.449 1.892 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC(n3cc[nH+]c3)CC2)nc1 ZINC000906891210 712429515 /nfs/dbraw/zinc/42/95/15/712429515.db2.gz UNELVZWRDRWVCK-UHFFFAOYSA-N 1 2 317.374 1.176 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cc(C)c1C#N ZINC000906977134 712454722 /nfs/dbraw/zinc/45/47/22/712454722.db2.gz RGTGHDIQDNRPJW-INIZCTEOSA-N 1 2 313.401 1.722 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC000907343432 712548509 /nfs/dbraw/zinc/54/85/09/712548509.db2.gz IFTVIBMHFAKONO-UHFFFAOYSA-N 1 2 309.435 1.148 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2ccc(C#N)c(C)c2)C[C@@H]1C ZINC000919579473 713616584 /nfs/dbraw/zinc/61/65/84/713616584.db2.gz FOXPBSRUUHYJQW-OKILXGFUSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2ccc(C#N)c(C)c2)C[C@@H]1C ZINC000919579473 713616586 /nfs/dbraw/zinc/61/65/86/713616586.db2.gz FOXPBSRUUHYJQW-OKILXGFUSA-N 1 2 321.446 1.970 20 30 DDEDLO C[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000921412615 713761302 /nfs/dbraw/zinc/76/13/02/713761302.db2.gz RPPGNHUFZPLSFO-CYBMUJFWSA-N 1 2 307.419 1.470 20 30 DDEDLO C[N@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000921412615 713761303 /nfs/dbraw/zinc/76/13/03/713761303.db2.gz RPPGNHUFZPLSFO-CYBMUJFWSA-N 1 2 307.419 1.470 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2nc(-c3ccc(F)cc3)no2)CC1 ZINC000931143395 714014615 /nfs/dbraw/zinc/01/46/15/714014615.db2.gz JDBBKXVTPLQWRY-UHFFFAOYSA-N 1 2 301.321 1.836 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@H]2CS(N)(=O)=O)c1F ZINC000931230774 714043024 /nfs/dbraw/zinc/04/30/24/714043024.db2.gz MYOLYTGLXBCMJW-ZDUSSCGKSA-N 1 2 311.382 1.340 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@H]2CS(N)(=O)=O)c1F ZINC000931230774 714043027 /nfs/dbraw/zinc/04/30/27/714043027.db2.gz MYOLYTGLXBCMJW-ZDUSSCGKSA-N 1 2 311.382 1.340 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](C2OCCO2)C1 ZINC000932002143 714230167 /nfs/dbraw/zinc/23/01/67/714230167.db2.gz DTLJRNIZLWHNQW-HUUCEWRRSA-N 1 2 316.357 1.467 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](C2OCCO2)C1 ZINC000932002143 714230168 /nfs/dbraw/zinc/23/01/68/714230168.db2.gz DTLJRNIZLWHNQW-HUUCEWRRSA-N 1 2 316.357 1.467 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)/C=C/C(=O)c2ccc(C)cc2)C1 ZINC000923551168 714397872 /nfs/dbraw/zinc/39/78/72/714397872.db2.gz KCLMGDRZUNMJKC-SXSDINLZSA-N 1 2 310.397 1.948 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)/C=C/C(=O)c2ccc(C)cc2)C1 ZINC000923551168 714397875 /nfs/dbraw/zinc/39/78/75/714397875.db2.gz KCLMGDRZUNMJKC-SXSDINLZSA-N 1 2 310.397 1.948 20 30 DDEDLO C=CCCC[C@@H]1NC(=O)N([C@H](C)[C@@H](C)[NH+]2CCOCC2)C1=O ZINC000924596386 714615424 /nfs/dbraw/zinc/61/54/24/714615424.db2.gz ZEHQKTCZIHKCNT-MCIONIFRSA-N 1 2 309.410 1.372 20 30 DDEDLO CCOC(=O)COCC[N@@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933629335 714634384 /nfs/dbraw/zinc/63/43/84/714634384.db2.gz ODSUKAAVBZBYMW-INIZCTEOSA-N 1 2 318.373 1.511 20 30 DDEDLO CCOC(=O)COCC[N@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933629335 714634387 /nfs/dbraw/zinc/63/43/87/714634387.db2.gz ODSUKAAVBZBYMW-INIZCTEOSA-N 1 2 318.373 1.511 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@H+](C)Cc1cc(C#N)cs1 ZINC000933775685 714669506 /nfs/dbraw/zinc/66/95/06/714669506.db2.gz LFSXGXXYTOHNQK-SECBINFHSA-N 1 2 306.391 1.428 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933775685 714669507 /nfs/dbraw/zinc/66/95/07/714669507.db2.gz LFSXGXXYTOHNQK-SECBINFHSA-N 1 2 306.391 1.428 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCC[C@H]2C2OCCO2)c1 ZINC000933909172 714699350 /nfs/dbraw/zinc/69/93/50/714699350.db2.gz LWCOEVOBWVUMER-HNNXBMFYSA-N 1 2 315.373 1.012 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCC[C@H]2C2OCCO2)c1 ZINC000933909172 714699354 /nfs/dbraw/zinc/69/93/54/714699354.db2.gz LWCOEVOBWVUMER-HNNXBMFYSA-N 1 2 315.373 1.012 20 30 DDEDLO CC[C@H]([NH2+][C@@H]1CCc2nnnn2CC1)c1cccc(C#N)c1O ZINC000926608297 715096328 /nfs/dbraw/zinc/09/63/28/715096328.db2.gz YKWVNSUARZLWJR-OCCSQVGLSA-N 1 2 312.377 1.696 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)C1(C#N)CCSCC1 ZINC000935931806 715138491 /nfs/dbraw/zinc/13/84/91/715138491.db2.gz FDAYIXAFIKMENQ-KBPBESRZSA-N 1 2 323.462 1.345 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+]([C@H]2CCN(C(=O)C(C)C)C2)CC1 ZINC000956599716 715484162 /nfs/dbraw/zinc/48/41/62/715484162.db2.gz QOLQGINQBVBINA-HNNXBMFYSA-N 1 2 321.465 1.600 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC000938317007 715797774 /nfs/dbraw/zinc/79/77/74/715797774.db2.gz MWNSGHGMETVJLM-GUYCJALGSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](NC(=O)Cc3c[nH+]cn3C)C2)C1 ZINC000938317363 715798026 /nfs/dbraw/zinc/79/80/26/715798026.db2.gz RSGPIIGGMCCLHH-ZDUSSCGKSA-N 1 2 316.405 1.036 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2n[nH]c3c2CCCCC3)C1 ZINC000957380598 715871240 /nfs/dbraw/zinc/87/12/40/715871240.db2.gz HSSYUFZYLSKTAZ-UHFFFAOYSA-N 1 2 314.433 1.848 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCCN2C(=O)C(C)C)C1 ZINC000957406035 715885845 /nfs/dbraw/zinc/88/58/45/715885845.db2.gz SHLGKIUMSLDLOF-OAHLLOKOSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC000957620296 715970965 /nfs/dbraw/zinc/97/09/65/715970965.db2.gz CQFVILPWVNFWPF-TZMCWYRMSA-N 1 2 318.421 1.138 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2csnc2C)[C@H](O)C1 ZINC000957983049 716262387 /nfs/dbraw/zinc/26/23/87/716262387.db2.gz QGMUXJHWNBWKIC-ZYHUDNBSSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2csnc2C)[C@H](O)C1 ZINC000957983049 716262384 /nfs/dbraw/zinc/26/23/84/716262384.db2.gz QGMUXJHWNBWKIC-ZYHUDNBSSA-N 1 2 315.826 1.227 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)n1 ZINC000959925998 716358340 /nfs/dbraw/zinc/35/83/40/716358340.db2.gz QADVMALYLXBUFG-OLZOCXBDSA-N 1 2 319.409 1.823 20 30 DDEDLO C[C@@H]1CN(C(=O)C[N@@H+]2CCc3sccc3C2)C[C@H]1NCC#N ZINC000939817785 716442334 /nfs/dbraw/zinc/44/23/34/716442334.db2.gz YADVIPFERNXWOV-TZMCWYRMSA-N 1 2 318.446 1.066 20 30 DDEDLO C[C@@H]1CN(C(=O)C[N@H+]2CCc3sccc3C2)C[C@H]1NCC#N ZINC000939817785 716442337 /nfs/dbraw/zinc/44/23/37/716442337.db2.gz YADVIPFERNXWOV-TZMCWYRMSA-N 1 2 318.446 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2occc2Cl)C1 ZINC000958379878 716514438 /nfs/dbraw/zinc/51/44/38/716514438.db2.gz VVXRADPEYCCCHU-ONGXEEELSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2occc2Cl)C1 ZINC000958379878 716514442 /nfs/dbraw/zinc/51/44/42/716514442.db2.gz VVXRADPEYCCCHU-ONGXEEELSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]2C[N@@H+](C)Cc2cnnn2C)C1 ZINC000960846816 716712844 /nfs/dbraw/zinc/71/28/44/716712844.db2.gz MFKMUTLJBYUYDM-CQSZACIVSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]2C[N@H+](C)Cc2cnnn2C)C1 ZINC000960846816 716712846 /nfs/dbraw/zinc/71/28/46/716712846.db2.gz MFKMUTLJBYUYDM-CQSZACIVSA-N 1 2 317.437 1.594 20 30 DDEDLO N#CCN1CC[C@@H]([C@@H]2CCCN(C(=O)CCn3cc[nH+]c3)C2)C1 ZINC000961221526 716867388 /nfs/dbraw/zinc/86/73/88/716867388.db2.gz WCZMEVNNWOTFCI-HZPDHXFCSA-N 1 2 315.421 1.357 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000941305814 717151078 /nfs/dbraw/zinc/15/10/78/717151078.db2.gz DTTZPIRLRJBUCI-CJNGLKHVSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC000941394808 717168914 /nfs/dbraw/zinc/16/89/14/717168914.db2.gz OPIWCBYTLUGGHS-UHFFFAOYSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC000941394808 717168915 /nfs/dbraw/zinc/16/89/15/717168915.db2.gz OPIWCBYTLUGGHS-UHFFFAOYSA-N 1 2 318.421 1.615 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@@]3(CC)C(C)C)CC2)C1 ZINC000941623111 717233693 /nfs/dbraw/zinc/23/36/93/717233693.db2.gz ULHVRBFKYQDPDE-HKUYNNGSSA-N 1 2 317.477 1.520 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc4c(c3)CCC4)CC2)C1 ZINC000941665280 717247722 /nfs/dbraw/zinc/24/77/22/717247722.db2.gz QRBVBLOECJZSHZ-UHFFFAOYSA-N 1 2 323.440 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2n[nH]c(C)n2)[C@@H](C)C1 ZINC000942034177 717441068 /nfs/dbraw/zinc/44/10/68/717441068.db2.gz TXJCSJOORSNHIM-CABZTGNLSA-N 1 2 311.817 1.235 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2n[nH]c(C)n2)[C@@H](C)C1 ZINC000942034177 717441075 /nfs/dbraw/zinc/44/10/75/717441075.db2.gz TXJCSJOORSNHIM-CABZTGNLSA-N 1 2 311.817 1.235 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(C)nn2C)C1 ZINC000965421829 717646029 /nfs/dbraw/zinc/64/60/29/717646029.db2.gz YMQYKDFXACHZSB-GWCFXTLKSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(C)nn2C)C1 ZINC000965421829 717646032 /nfs/dbraw/zinc/64/60/32/717646032.db2.gz YMQYKDFXACHZSB-GWCFXTLKSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC000965832866 717775506 /nfs/dbraw/zinc/77/55/06/717775506.db2.gz YFKLRDAQOUCOBF-CABZTGNLSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC000965832866 717775509 /nfs/dbraw/zinc/77/55/09/717775509.db2.gz YFKLRDAQOUCOBF-CABZTGNLSA-N 1 2 311.817 1.316 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1ncccn1 ZINC000947205400 719073357 /nfs/dbraw/zinc/07/33/57/719073357.db2.gz PVXHVHYTUVSUCL-GXTWGEPZSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1ncccn1 ZINC000947205400 719073363 /nfs/dbraw/zinc/07/33/63/719073363.db2.gz PVXHVHYTUVSUCL-GXTWGEPZSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cn2ccc(C)n2)C1 ZINC000968339084 719518149 /nfs/dbraw/zinc/51/81/49/719518149.db2.gz AVFFNKKTBDJMRP-BXUZGUMPSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cn2ccc(C)n2)C1 ZINC000968339084 719518154 /nfs/dbraw/zinc/51/81/54/719518154.db2.gz AVFFNKKTBDJMRP-BXUZGUMPSA-N 1 2 310.829 1.771 20 30 DDEDLO CN(C(=O)[C@H]1CCn2c[nH+]cc2C1)[C@@H]1CCCN(CC#N)CC1 ZINC000948561980 719548835 /nfs/dbraw/zinc/54/88/35/719548835.db2.gz OWNQPMXOCQRKKE-LSDHHAIUSA-N 1 2 315.421 1.282 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cc2nonc2C)C1 ZINC000968493912 719622411 /nfs/dbraw/zinc/62/24/11/719622411.db2.gz ZKVBZMJVEMCALQ-TVQRCGJNSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2nonc2C)C1 ZINC000968493912 719622412 /nfs/dbraw/zinc/62/24/12/719622412.db2.gz ZKVBZMJVEMCALQ-TVQRCGJNSA-N 1 2 312.801 1.500 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cc(C)ncn1 ZINC000948910507 719781057 /nfs/dbraw/zinc/78/10/57/719781057.db2.gz UARQHIKNQDOHIB-GOSISDBHSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cc(C)ncn1 ZINC000948910507 719781062 /nfs/dbraw/zinc/78/10/62/719781062.db2.gz UARQHIKNQDOHIB-GOSISDBHSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cc(C)ncn1 ZINC000948910508 719781297 /nfs/dbraw/zinc/78/12/97/719781297.db2.gz UARQHIKNQDOHIB-SFHVURJKSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cc(C)ncn1 ZINC000948910508 719781298 /nfs/dbraw/zinc/78/12/98/719781298.db2.gz UARQHIKNQDOHIB-SFHVURJKSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC000948910079 719781370 /nfs/dbraw/zinc/78/13/70/719781370.db2.gz IHMWXQWUWTZGKL-GOSISDBHSA-N 1 2 318.380 1.849 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC000948910079 719781373 /nfs/dbraw/zinc/78/13/73/719781373.db2.gz IHMWXQWUWTZGKL-GOSISDBHSA-N 1 2 318.380 1.849 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc3c(cc[nH]c3=O)n2)CC1 ZINC000948987814 719826459 /nfs/dbraw/zinc/82/64/59/719826459.db2.gz IEJPXANSMIIUES-UHFFFAOYSA-N 1 2 312.373 1.669 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CC(=O)N[C@@H](CC(C)C)C2)CC1 ZINC000949026051 719851588 /nfs/dbraw/zinc/85/15/88/719851588.db2.gz UEQVIAWMUOIROF-CABCVRRESA-N 1 2 307.438 1.258 20 30 DDEDLO CC[C@@H](F)C[N@@H+]1CCOC2(CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000949320481 720015648 /nfs/dbraw/zinc/01/56/48/720015648.db2.gz NUZXWZGBNIRMDM-ZIAGYGMSSA-N 1 2 311.401 1.588 20 30 DDEDLO CC[C@@H](F)C[N@H+]1CCOC2(CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000949320481 720015650 /nfs/dbraw/zinc/01/56/50/720015650.db2.gz NUZXWZGBNIRMDM-ZIAGYGMSSA-N 1 2 311.401 1.588 20 30 DDEDLO O=C(C#CC1CC1)N1CCC2(CC1)C[N@H+](C/C=C\Cl)CCO2 ZINC000949358458 720038713 /nfs/dbraw/zinc/03/87/13/720038713.db2.gz VWXPSSXRGYCHPD-QPIMQUGISA-N 1 2 322.836 1.846 20 30 DDEDLO O=C(C#CC1CC1)N1CCC2(CC1)C[N@@H+](C/C=C\Cl)CCO2 ZINC000949358458 720038715 /nfs/dbraw/zinc/03/87/15/720038715.db2.gz VWXPSSXRGYCHPD-QPIMQUGISA-N 1 2 322.836 1.846 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3(C4CC4)CCC3)CC2)C1 ZINC000949423824 720078832 /nfs/dbraw/zinc/07/88/32/720078832.db2.gz QEGSUZPSZWEFNP-UHFFFAOYSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3(C4CC4)CCC3)CC2)C1 ZINC000949423824 720078840 /nfs/dbraw/zinc/07/88/40/720078840.db2.gz QEGSUZPSZWEFNP-UHFFFAOYSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@H]3CCCOC3)CC2)C1 ZINC000949529406 720142474 /nfs/dbraw/zinc/14/24/74/720142474.db2.gz RXHCQZYJQKUNSK-MRXNPFEDSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@H]3CCCOC3)CC2)C1 ZINC000949529406 720142478 /nfs/dbraw/zinc/14/24/78/720142478.db2.gz RXHCQZYJQKUNSK-MRXNPFEDSA-N 1 2 320.433 1.130 20 30 DDEDLO Cc1cnc(C[NH+]2CC([C@H](C)NC(=O)c3ccc(C#N)[nH]3)C2)cn1 ZINC000969506383 720165496 /nfs/dbraw/zinc/16/54/96/720165496.db2.gz QPQFWBXWLFAJOZ-LBPRGKRZSA-N 1 2 324.388 1.235 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnn3ccncc23)C1 ZINC000969851340 720533963 /nfs/dbraw/zinc/53/39/63/720533963.db2.gz GUVMWPISNXHORU-NSHDSACASA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000969983304 720585247 /nfs/dbraw/zinc/58/52/47/720585247.db2.gz AUZZJDTXTWXFIM-LLVKDONJSA-N 1 2 309.797 1.188 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000970038303 720607485 /nfs/dbraw/zinc/60/74/85/720607485.db2.gz PGDFOCWSGFJFHZ-NSHDSACASA-N 1 2 309.797 1.188 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc(Cl)n(C)n2)C1 ZINC000970114453 720630949 /nfs/dbraw/zinc/63/09/49/720630949.db2.gz WEJMKWWGIIEHAU-VIFPVBQESA-N 1 2 317.220 1.876 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn3ccc(C)cc23)C1 ZINC000950552967 720680435 /nfs/dbraw/zinc/68/04/35/720680435.db2.gz NAMMPZCJLPXUNC-UHFFFAOYSA-N 1 2 310.401 1.812 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2nc(OC)cc(OC)n2)C1 ZINC000950627563 720711638 /nfs/dbraw/zinc/71/16/38/720711638.db2.gz CRSQYTIYSKFQKH-UHFFFAOYSA-N 1 2 320.393 1.216 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnc3cccnn32)C1 ZINC000970541878 720804616 /nfs/dbraw/zinc/80/46/16/720804616.db2.gz XDWSQSGXBBQOTC-NSHDSACASA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnc(C)nc2C)C1 ZINC000970602151 720838495 /nfs/dbraw/zinc/83/84/95/720838495.db2.gz MIDLEJKRVCQWQZ-SNVBAGLBSA-N 1 2 308.813 1.896 20 30 DDEDLO COCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000970911122 720983264 /nfs/dbraw/zinc/98/32/64/720983264.db2.gz SOCFSLVIWVSEAC-OAHLLOKOSA-N 1 2 305.353 1.376 20 30 DDEDLO COCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000970911122 720983265 /nfs/dbraw/zinc/98/32/65/720983265.db2.gz SOCFSLVIWVSEAC-OAHLLOKOSA-N 1 2 305.353 1.376 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCOC2)C1 ZINC000971178358 721158079 /nfs/dbraw/zinc/15/80/79/721158079.db2.gz VLNULKDXQZYYJJ-VXGBXAGGSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCOC2)C1 ZINC000971178358 721158082 /nfs/dbraw/zinc/15/80/82/721158082.db2.gz VLNULKDXQZYYJJ-VXGBXAGGSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC)C1C[NH+](CCn2cccn2)C1 ZINC000951785396 721182697 /nfs/dbraw/zinc/18/26/97/721182697.db2.gz LRGFDHLCZALONM-CVEARBPZSA-N 1 2 318.421 1.007 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2noc3c2CCCC3)C1 ZINC000971218360 721185059 /nfs/dbraw/zinc/18/50/59/721185059.db2.gz MNDSOHDCIWZSGE-CHWSQXEVSA-N 1 2 316.405 1.746 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2noc3c2CCCC3)C1 ZINC000971218360 721185061 /nfs/dbraw/zinc/18/50/61/721185061.db2.gz MNDSOHDCIWZSGE-CHWSQXEVSA-N 1 2 316.405 1.746 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC000952151151 721335238 /nfs/dbraw/zinc/33/52/38/721335238.db2.gz DOVNRQTUUKSOSC-ZDUSSCGKSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC000952378188 721428320 /nfs/dbraw/zinc/42/83/20/721428320.db2.gz CITFNSRDMGFLHZ-UHFFFAOYSA-N 1 2 314.389 1.991 20 30 DDEDLO C=CCCn1cc(C(=O)N(CC)C2C[NH+](C[C@H](C)OC)C2)nn1 ZINC000952429457 721447097 /nfs/dbraw/zinc/44/70/97/721447097.db2.gz NMUVGBVYISYFBJ-ZDUSSCGKSA-N 1 2 321.425 1.035 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3C[C@@H]3c3ccccc3)C2)C1 ZINC000972586039 735296810 /nfs/dbraw/zinc/29/68/10/735296810.db2.gz UNPKTYWKZIIMEE-WSTZPKSXSA-N 1 2 324.424 1.727 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3C[C@@H]3c3ccccc3)C2)C1 ZINC000972586039 735296813 /nfs/dbraw/zinc/29/68/13/735296813.db2.gz UNPKTYWKZIIMEE-WSTZPKSXSA-N 1 2 324.424 1.727 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2CC([NH2+]Cc3noc(CC)n3)C2)C1 ZINC001100661167 735475320 /nfs/dbraw/zinc/47/53/20/735475320.db2.gz GHCOJGBSWNGJSZ-UHFFFAOYSA-N 1 2 318.421 1.973 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001010460645 732367972 /nfs/dbraw/zinc/36/79/72/732367972.db2.gz BJDDOIDFKIXFGX-NSHDSACASA-N 1 2 316.390 1.051 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001010460645 732367977 /nfs/dbraw/zinc/36/79/77/732367977.db2.gz BJDDOIDFKIXFGX-NSHDSACASA-N 1 2 316.390 1.051 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(P(C)(C)=O)cc1 ZINC001039041717 732486720 /nfs/dbraw/zinc/48/67/20/732486720.db2.gz AKNQUYVIJGLVKO-CQSZACIVSA-N 1 2 306.346 1.925 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(P(C)(C)=O)cc1 ZINC001039041717 732486721 /nfs/dbraw/zinc/48/67/21/732486721.db2.gz AKNQUYVIJGLVKO-CQSZACIVSA-N 1 2 306.346 1.925 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2CC)C[C@H]1C ZINC001208964152 732552979 /nfs/dbraw/zinc/55/29/79/732552979.db2.gz UFELSWLETOBXPR-UKRRQHHQSA-N 1 2 319.453 1.837 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2CC)C[C@H]1C ZINC001208964152 732552981 /nfs/dbraw/zinc/55/29/81/732552981.db2.gz UFELSWLETOBXPR-UKRRQHHQSA-N 1 2 319.453 1.837 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2CCO[C@H]2CC2(F)F)c[nH]1 ZINC001038173596 732639140 /nfs/dbraw/zinc/63/91/40/732639140.db2.gz AUTJXSKAGSYGNJ-STQMWFEESA-N 1 2 324.331 1.115 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2CCO[C@H]2CC2(F)F)c[nH]1 ZINC001038173596 732639141 /nfs/dbraw/zinc/63/91/41/732639141.db2.gz AUTJXSKAGSYGNJ-STQMWFEESA-N 1 2 324.331 1.115 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2C[N@H+](Cc3ncccn3)CC[C@H]21 ZINC001021584967 733342514 /nfs/dbraw/zinc/34/25/14/733342514.db2.gz NGXMQNAEZOANAG-IAGOWNOFSA-N 1 2 324.428 1.703 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2C[N@@H+](Cc3ncccn3)CC[C@H]21 ZINC001021584967 733342517 /nfs/dbraw/zinc/34/25/17/733342517.db2.gz NGXMQNAEZOANAG-IAGOWNOFSA-N 1 2 324.428 1.703 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CCC[C@@H]2CN(CC#N)CC[C@H]21 ZINC001021640519 733393664 /nfs/dbraw/zinc/39/36/64/733393664.db2.gz WGKPLFMMJHSJGC-HUUCEWRRSA-N 1 2 315.421 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C)c3cccnc3)[C@H]2C1 ZINC001083214323 733551578 /nfs/dbraw/zinc/55/15/78/733551578.db2.gz SXAXTKXPFPWFQY-HYVNUMGLSA-N 1 2 313.401 1.120 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C)c3cccnc3)[C@H]2C1 ZINC001083214323 733551580 /nfs/dbraw/zinc/55/15/80/733551580.db2.gz SXAXTKXPFPWFQY-HYVNUMGLSA-N 1 2 313.401 1.120 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001022081388 734377287 /nfs/dbraw/zinc/37/72/87/734377287.db2.gz DRHHQYRPABNZCD-TUAOUCFPSA-N 1 2 308.813 1.819 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1nc(C)c(C)[nH]c1=O ZINC001027963916 738873152 /nfs/dbraw/zinc/87/31/52/738873152.db2.gz GQIOIXFJHWRVFR-GFCCVEGCSA-N 1 2 324.812 1.746 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1nc(C)c(C)[nH]c1=O ZINC001027963916 738873156 /nfs/dbraw/zinc/87/31/56/738873156.db2.gz GQIOIXFJHWRVFR-GFCCVEGCSA-N 1 2 324.812 1.746 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001023383975 735205109 /nfs/dbraw/zinc/20/51/09/735205109.db2.gz BXVNGBYJTNADKS-ZDUSSCGKSA-N 1 2 311.389 1.423 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001023383975 735205110 /nfs/dbraw/zinc/20/51/10/735205110.db2.gz BXVNGBYJTNADKS-ZDUSSCGKSA-N 1 2 311.389 1.423 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024391448 735809412 /nfs/dbraw/zinc/80/94/12/735809412.db2.gz VYTLEGXQKJPULO-OLZOCXBDSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024391448 735809417 /nfs/dbraw/zinc/80/94/17/735809417.db2.gz VYTLEGXQKJPULO-OLZOCXBDSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1c[nH]c(=O)cn1 ZINC001024623486 735988752 /nfs/dbraw/zinc/98/87/52/735988752.db2.gz FBWASILMFAVZDI-LLVKDONJSA-N 1 2 310.785 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1c[nH]c(=O)cn1 ZINC001024623486 735988754 /nfs/dbraw/zinc/98/87/54/735988754.db2.gz FBWASILMFAVZDI-LLVKDONJSA-N 1 2 310.785 1.107 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1scnc1COC ZINC001024697355 736027024 /nfs/dbraw/zinc/02/70/24/736027024.db2.gz WUHZHZMZABKBJK-CYBMUJFWSA-N 1 2 321.446 1.897 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1scnc1COC ZINC001024697355 736027027 /nfs/dbraw/zinc/02/70/27/736027027.db2.gz WUHZHZMZABKBJK-CYBMUJFWSA-N 1 2 321.446 1.897 20 30 DDEDLO C=CC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001024755540 736069934 /nfs/dbraw/zinc/06/99/34/736069934.db2.gz JWANUOYWPKBILJ-CHWSQXEVSA-N 1 2 303.410 1.066 20 30 DDEDLO C=CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001024755540 736069938 /nfs/dbraw/zinc/06/99/38/736069938.db2.gz JWANUOYWPKBILJ-CHWSQXEVSA-N 1 2 303.410 1.066 20 30 DDEDLO C=CC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001024755540 736069941 /nfs/dbraw/zinc/06/99/41/736069941.db2.gz JWANUOYWPKBILJ-CHWSQXEVSA-N 1 2 303.410 1.066 20 30 DDEDLO C=CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001024755540 736069946 /nfs/dbraw/zinc/06/99/46/736069946.db2.gz JWANUOYWPKBILJ-CHWSQXEVSA-N 1 2 303.410 1.066 20 30 DDEDLO C#CCC[N@H+]1CCC[C@@H]1CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001027995260 738918864 /nfs/dbraw/zinc/91/88/64/738918864.db2.gz JVXZZIPNFXIJBO-CQSZACIVSA-N 1 2 323.396 1.746 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001027995260 738918867 /nfs/dbraw/zinc/91/88/67/738918867.db2.gz JVXZZIPNFXIJBO-CQSZACIVSA-N 1 2 323.396 1.746 20 30 DDEDLO C[C@H](NC(=O)c1cccc2[nH+]ccn21)[C@@H]1CCCN(CC#N)C1 ZINC001025481744 736715800 /nfs/dbraw/zinc/71/58/00/736715800.db2.gz KBRLEFIWNJXQJH-UONOGXRCSA-N 1 2 311.389 1.688 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001104871164 737314125 /nfs/dbraw/zinc/31/41/25/737314125.db2.gz KWMWRDAXSFAMGG-NILFDRSVSA-N 1 2 324.388 1.739 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001104871164 737314126 /nfs/dbraw/zinc/31/41/26/737314126.db2.gz KWMWRDAXSFAMGG-NILFDRSVSA-N 1 2 324.388 1.739 20 30 DDEDLO C=CCCC(=O)NC[C@@H](Nc1nc(N(C)C)nc(C)[nH+]1)C(C)C ZINC001105135335 737568772 /nfs/dbraw/zinc/56/87/72/737568772.db2.gz RPTSKYWBKGTIHJ-CYBMUJFWSA-N 1 2 320.441 1.765 20 30 DDEDLO C=C(C)CCC(=O)N1CC(n2cc(C[NH2+]C/C=C/Cl)nn2)C1 ZINC001105254022 737666161 /nfs/dbraw/zinc/66/61/61/737666161.db2.gz MLIYTOIPNJUPMN-ZZXKWVIFSA-N 1 2 323.828 1.860 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@](C)(CC)CCC)C2)nn1 ZINC001105351780 738985657 /nfs/dbraw/zinc/98/56/57/738985657.db2.gz IUTVRKLVFSGSRX-QGZVFWFLSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@@H]3CC[C@H](C)C3)C2)nn1 ZINC001105303995 737789618 /nfs/dbraw/zinc/78/96/18/737789618.db2.gz XUOJPUVACSFEGV-UONOGXRCSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1nccs1 ZINC001028143033 739085702 /nfs/dbraw/zinc/08/57/02/739085702.db2.gz WRHMOOLQDYKTKI-MJBXVCDLSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1nccs1 ZINC001028143033 739085703 /nfs/dbraw/zinc/08/57/03/739085703.db2.gz WRHMOOLQDYKTKI-MJBXVCDLSA-N 1 2 321.446 1.815 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(C)c2F)C1 ZINC001035375902 751430984 /nfs/dbraw/zinc/43/09/84/751430984.db2.gz SWCQKQBWYUKRLC-CQSZACIVSA-N 1 2 304.365 1.588 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccc(C)c2F)C1 ZINC001035375902 751430986 /nfs/dbraw/zinc/43/09/86/751430986.db2.gz SWCQKQBWYUKRLC-CQSZACIVSA-N 1 2 304.365 1.588 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)n(C)n2)C1 ZINC001035391513 751461510 /nfs/dbraw/zinc/46/15/10/751461510.db2.gz ORRISUHNDQJEFG-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)n(C)n2)C1 ZINC001035391513 751461514 /nfs/dbraw/zinc/46/15/14/751461514.db2.gz ORRISUHNDQJEFG-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](N(C)C(=O)Cn2cc[nH+]c2)CC1 ZINC001078993094 751464058 /nfs/dbraw/zinc/46/40/58/751464058.db2.gz OFLORSJSSAGLIO-HNNXBMFYSA-N 1 2 318.421 1.689 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O)C1CC1 ZINC001083389771 739756963 /nfs/dbraw/zinc/75/69/63/739756963.db2.gz PUADFDQGLWYYOC-KMUNFCNLSA-N 1 2 319.430 1.327 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O)C1CC1 ZINC001083389771 739756965 /nfs/dbraw/zinc/75/69/65/739756965.db2.gz PUADFDQGLWYYOC-KMUNFCNLSA-N 1 2 319.430 1.327 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001059079550 739886807 /nfs/dbraw/zinc/88/68/07/739886807.db2.gz OMYSUFWRNXHSDG-ZDUSSCGKSA-N 1 2 310.361 1.240 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001035409928 751492048 /nfs/dbraw/zinc/49/20/48/751492048.db2.gz NCBDZCSTNJATSI-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001035409928 751492051 /nfs/dbraw/zinc/49/20/51/751492051.db2.gz NCBDZCSTNJATSI-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C)c2Cl)C1 ZINC001035417503 751499259 /nfs/dbraw/zinc/49/92/59/751499259.db2.gz UGLBRHZYEPEMNT-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C)c2Cl)C1 ZINC001035417503 751499263 /nfs/dbraw/zinc/49/92/63/751499263.db2.gz UGLBRHZYEPEMNT-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001107980750 751508231 /nfs/dbraw/zinc/50/82/31/751508231.db2.gz XRYSJJXXVFASKU-QGZVFWFLSA-N 1 2 320.437 1.645 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001107980750 751508238 /nfs/dbraw/zinc/50/82/38/751508238.db2.gz XRYSJJXXVFASKU-QGZVFWFLSA-N 1 2 320.437 1.645 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001035433256 751511058 /nfs/dbraw/zinc/51/10/58/751511058.db2.gz WIDMWQVWZFDHSD-MRXNPFEDSA-N 1 2 315.417 1.966 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001035433256 751511063 /nfs/dbraw/zinc/51/10/63/751511063.db2.gz WIDMWQVWZFDHSD-MRXNPFEDSA-N 1 2 315.417 1.966 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc3ccccc32)C1 ZINC001035435037 751512699 /nfs/dbraw/zinc/51/26/99/751512699.db2.gz LTRUHBHAALCRJA-HNNXBMFYSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccnc3ccccc32)C1 ZINC001035435037 751512700 /nfs/dbraw/zinc/51/27/00/751512700.db2.gz LTRUHBHAALCRJA-HNNXBMFYSA-N 1 2 323.396 1.689 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001035464922 751551015 /nfs/dbraw/zinc/55/10/15/751551015.db2.gz AZSIKYOWOKPJIF-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001035464922 751551017 /nfs/dbraw/zinc/55/10/17/751551017.db2.gz AZSIKYOWOKPJIF-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@H](Nc3cc[nH+]c(C)n3)C2)nc1 ZINC001059263455 740509321 /nfs/dbraw/zinc/50/93/21/740509321.db2.gz WHDAVXLDLDVREP-HDJSIYSDSA-N 1 2 307.357 1.534 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@H](C)Nc1cc[nH+]c(C)n1 ZINC001098174179 740581414 /nfs/dbraw/zinc/58/14/14/740581414.db2.gz VECHLIRHPHTQCM-LRDDRELGSA-N 1 2 306.410 1.930 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3(CC)CCC3)C2)nn1 ZINC001098703573 740644792 /nfs/dbraw/zinc/64/47/92/740644792.db2.gz VTUWLPKZZVJTBJ-HNNXBMFYSA-N 1 2 315.421 1.355 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCC3)[C@H]1CC ZINC001087637747 740687482 /nfs/dbraw/zinc/68/74/82/740687482.db2.gz IVOXHFZMIDZUGR-LSDHHAIUSA-N 1 2 300.406 1.504 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCC3)[C@H]1CC ZINC001087637747 740687484 /nfs/dbraw/zinc/68/74/84/740687484.db2.gz IVOXHFZMIDZUGR-LSDHHAIUSA-N 1 2 300.406 1.504 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)COC3)C1 ZINC001035540229 751586899 /nfs/dbraw/zinc/58/68/99/751586899.db2.gz ZBACNLLLUDCEJF-QGZVFWFLSA-N 1 2 316.401 1.724 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)COC3)C1 ZINC001035540229 751586902 /nfs/dbraw/zinc/58/69/02/751586902.db2.gz ZBACNLLLUDCEJF-QGZVFWFLSA-N 1 2 316.401 1.724 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)cc3c[nH]nc32)C1 ZINC001035543470 751590317 /nfs/dbraw/zinc/59/03/17/751590317.db2.gz YCLVXGXLUFXEDR-AWEZNQCLSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)cc3c[nH]nc32)C1 ZINC001035543470 751590321 /nfs/dbraw/zinc/59/03/21/751590321.db2.gz YCLVXGXLUFXEDR-AWEZNQCLSA-N 1 2 314.389 1.488 20 30 DDEDLO CC[C@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC[C@H]1NCC#N ZINC001037957505 751592317 /nfs/dbraw/zinc/59/23/17/751592317.db2.gz BDEFGLUBXQVWIZ-QWHCGFSZSA-N 1 2 303.410 1.428 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncoc2C(C)C)C1 ZINC001035566988 751619442 /nfs/dbraw/zinc/61/94/42/751619442.db2.gz LFTWDXHSVSIBIM-CYBMUJFWSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncoc2C(C)C)C1 ZINC001035566988 751619443 /nfs/dbraw/zinc/61/94/43/751619443.db2.gz LFTWDXHSVSIBIM-CYBMUJFWSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001035561175 751643858 /nfs/dbraw/zinc/64/38/58/751643858.db2.gz YUJGYZAMNNHCQP-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001035561175 751643859 /nfs/dbraw/zinc/64/38/59/751643859.db2.gz YUJGYZAMNNHCQP-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1C[C@H](Nc2ccc(C#N)c(C)n2)C1 ZINC001059689374 741548808 /nfs/dbraw/zinc/54/88/08/741548808.db2.gz MCASPMIADPPGOC-OKILXGFUSA-N 1 2 324.388 1.595 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001059697709 741583858 /nfs/dbraw/zinc/58/38/58/741583858.db2.gz ZLWVZOALGBUJPB-BETUJISGSA-N 1 2 310.361 1.287 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn3c(cccc3C)n2)C1 ZINC001035598356 751656643 /nfs/dbraw/zinc/65/66/43/751656643.db2.gz WNXITNQKPYMWLD-CQSZACIVSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn3c(cccc3C)n2)C1 ZINC001035598356 751656646 /nfs/dbraw/zinc/65/66/46/751656646.db2.gz WNXITNQKPYMWLD-CQSZACIVSA-N 1 2 314.389 1.259 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001059867896 741811351 /nfs/dbraw/zinc/81/13/51/741811351.db2.gz YLECBFICIRCHGH-OAHLLOKOSA-N 1 2 318.421 1.594 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001035592519 751687879 /nfs/dbraw/zinc/68/78/79/751687879.db2.gz MDTNKEVCGSVZSR-MRXNPFEDSA-N 1 2 313.401 1.413 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001035592519 751687884 /nfs/dbraw/zinc/68/78/84/751687884.db2.gz MDTNKEVCGSVZSR-MRXNPFEDSA-N 1 2 313.401 1.413 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001126822609 742305182 /nfs/dbraw/zinc/30/51/82/742305182.db2.gz DLTDSMRVXMJQCP-UHFFFAOYSA-N 1 2 302.334 1.195 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3n[nH]c(C4CC4)c3Cl)[C@@H]2C1 ZINC001075989837 742317729 /nfs/dbraw/zinc/31/77/29/742317729.db2.gz ALOOPHRRFGEQPY-NWDGAFQWSA-N 1 2 318.808 1.720 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3n[nH]c(C4CC4)c3Cl)[C@@H]2C1 ZINC001075989837 742317734 /nfs/dbraw/zinc/31/77/34/742317734.db2.gz ALOOPHRRFGEQPY-NWDGAFQWSA-N 1 2 318.808 1.720 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@H+](Cc3ccc(F)c(C#N)c3)C[C@@H]2O)CC1 ZINC001083479515 742332908 /nfs/dbraw/zinc/33/29/08/742332908.db2.gz QAESAKZFKJUQRK-CABCVRRESA-N 1 2 317.364 1.159 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccc(F)c(C#N)c3)C[C@@H]2O)CC1 ZINC001083479515 742332912 /nfs/dbraw/zinc/33/29/12/742332912.db2.gz QAESAKZFKJUQRK-CABCVRRESA-N 1 2 317.364 1.159 20 30 DDEDLO Cc1[nH]nc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)c1C ZINC001038117083 742341650 /nfs/dbraw/zinc/34/16/50/742341650.db2.gz NJKUUVJKWGOTDU-MRXNPFEDSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1[nH]nc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)c1C ZINC001038117083 742341655 /nfs/dbraw/zinc/34/16/55/742341655.db2.gz NJKUUVJKWGOTDU-MRXNPFEDSA-N 1 2 323.400 1.903 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cncc3ccccc32)C1 ZINC001035614701 751720822 /nfs/dbraw/zinc/72/08/22/751720822.db2.gz QGROOGDEGHZXLZ-HNNXBMFYSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cncc3ccccc32)C1 ZINC001035614701 751720825 /nfs/dbraw/zinc/72/08/25/751720825.db2.gz QGROOGDEGHZXLZ-HNNXBMFYSA-N 1 2 311.385 1.851 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3[nH]nc4ccccc43)[C@@H]2C1 ZINC001076524031 742768904 /nfs/dbraw/zinc/76/89/04/742768904.db2.gz VUTOMZPVSPQESZ-XJKSGUPXSA-N 1 2 308.385 1.733 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCN(C(=O)c3[nH]nc4ccccc43)[C@@H]2C1 ZINC001076524031 742768910 /nfs/dbraw/zinc/76/89/10/742768910.db2.gz VUTOMZPVSPQESZ-XJKSGUPXSA-N 1 2 308.385 1.733 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)Nc2c(C#N)cccc2C(F)(F)F)C1 ZINC001180763174 742927211 /nfs/dbraw/zinc/92/72/11/742927211.db2.gz XRUGMYNAUJCIRR-NSHDSACASA-N 1 2 313.279 1.846 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)Nc2c(C#N)cccc2C(F)(F)F)C1 ZINC001180763174 742927216 /nfs/dbraw/zinc/92/72/16/742927216.db2.gz XRUGMYNAUJCIRR-NSHDSACASA-N 1 2 313.279 1.846 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(C[NH+](Cc3ccn(C)n3)C2)CC1 ZINC001035672089 751778296 /nfs/dbraw/zinc/77/82/96/751778296.db2.gz DRLNMJIKAKEZLW-CYBMUJFWSA-N 1 2 301.394 1.004 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCOCC(F)(F)F)C1 ZINC001108041916 742976529 /nfs/dbraw/zinc/97/65/29/742976529.db2.gz DYOPDQWYNDZAFM-ZDUSSCGKSA-N 1 2 324.343 1.349 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCOCC(F)(F)F)C1 ZINC001108041916 742976533 /nfs/dbraw/zinc/97/65/33/742976533.db2.gz DYOPDQWYNDZAFM-ZDUSSCGKSA-N 1 2 324.343 1.349 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)COC2CCCCC2)C1 ZINC001108041980 742985951 /nfs/dbraw/zinc/98/59/51/742985951.db2.gz GTKSKGQZBZPYAE-QGZVFWFLSA-N 1 2 310.438 1.729 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)COC2CCCCC2)C1 ZINC001108041980 742985956 /nfs/dbraw/zinc/98/59/56/742985956.db2.gz GTKSKGQZBZPYAE-QGZVFWFLSA-N 1 2 310.438 1.729 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CCCc2c3ncn(C)c3ccc21 ZINC001181224468 743120164 /nfs/dbraw/zinc/12/01/64/743120164.db2.gz ZZDVAABYSDQNDI-KRWDZBQOSA-N 1 2 322.412 1.950 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCCc2c3ncn(C)c3ccc21 ZINC001181224468 743120168 /nfs/dbraw/zinc/12/01/68/743120168.db2.gz ZZDVAABYSDQNDI-KRWDZBQOSA-N 1 2 322.412 1.950 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(F)CC1 ZINC001121509819 782541655 /nfs/dbraw/zinc/54/16/55/782541655.db2.gz JPFZFCGQXKGCPD-OLZOCXBDSA-N 1 2 309.389 1.140 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(F)CC1 ZINC001121509819 782541659 /nfs/dbraw/zinc/54/16/59/782541659.db2.gz JPFZFCGQXKGCPD-OLZOCXBDSA-N 1 2 309.389 1.140 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2ncc(CC)o2)C1 ZINC001181476421 743221922 /nfs/dbraw/zinc/22/19/22/743221922.db2.gz QJGIBZMISQAFPW-UONOGXRCSA-N 1 2 321.421 1.909 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2nc3cccnc3s2)C1 ZINC001077139992 743267134 /nfs/dbraw/zinc/26/71/34/743267134.db2.gz SFVAEVJQBJMPDD-VXGBXAGGSA-N 1 2 318.402 1.042 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2nc3cccnc3s2)C1 ZINC001077139992 743267139 /nfs/dbraw/zinc/26/71/39/743267139.db2.gz SFVAEVJQBJMPDD-VXGBXAGGSA-N 1 2 318.402 1.042 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H](C)SC)c2C1 ZINC001128228375 743335568 /nfs/dbraw/zinc/33/55/68/743335568.db2.gz GCPNEENLAOCTFU-LBPRGKRZSA-N 1 2 308.451 1.643 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H](C)SC)c2C1 ZINC001128228375 743335578 /nfs/dbraw/zinc/33/55/78/743335578.db2.gz GCPNEENLAOCTFU-LBPRGKRZSA-N 1 2 308.451 1.643 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC(OC2C[NH+](CC=C)C2)CC1 ZINC001105696112 743496925 /nfs/dbraw/zinc/49/69/25/743496925.db2.gz WKXKNENMRAOUBK-HNNXBMFYSA-N 1 2 322.449 1.845 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]c3ccccc3c2Cl)[C@@H](O)C1 ZINC001083600904 743520424 /nfs/dbraw/zinc/52/04/24/743520424.db2.gz UFORCEJCRIZEPJ-OLZOCXBDSA-N 1 2 317.776 1.229 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2[nH]c3ccccc3c2Cl)[C@@H](O)C1 ZINC001083600904 743520429 /nfs/dbraw/zinc/52/04/29/743520429.db2.gz UFORCEJCRIZEPJ-OLZOCXBDSA-N 1 2 317.776 1.229 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@@H]2CCOC2)s1 ZINC001038097113 743544811 /nfs/dbraw/zinc/54/48/11/743544811.db2.gz QIORFVCNQLAQOF-VXGBXAGGSA-N 1 2 305.403 1.347 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@@H]2CCOC2)s1 ZINC001038097113 743544820 /nfs/dbraw/zinc/54/48/20/743544820.db2.gz QIORFVCNQLAQOF-VXGBXAGGSA-N 1 2 305.403 1.347 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCc1cnn2c1C[N@H+](C(C)C)CC2 ZINC001128326808 743598557 /nfs/dbraw/zinc/59/85/57/743598557.db2.gz CGJXYPTWRXXMDD-INIZCTEOSA-N 1 2 306.410 1.050 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCc1cnn2c1C[N@@H+](C(C)C)CC2 ZINC001128326808 743598563 /nfs/dbraw/zinc/59/85/63/743598563.db2.gz CGJXYPTWRXXMDD-INIZCTEOSA-N 1 2 306.410 1.050 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@](C)(O)C=C)CC2)C1 ZINC001105706701 743604033 /nfs/dbraw/zinc/60/40/33/743604033.db2.gz ZEJHFTKTHIGIIY-QGZVFWFLSA-N 1 2 308.422 1.191 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1cccn1)C2 ZINC001110296215 743626569 /nfs/dbraw/zinc/62/65/69/743626569.db2.gz BPNZTFADEQYGNM-MCIONIFRSA-N 1 2 308.813 1.747 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1cccn1)C2 ZINC001110296215 743626572 /nfs/dbraw/zinc/62/65/72/743626572.db2.gz BPNZTFADEQYGNM-MCIONIFRSA-N 1 2 308.813 1.747 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C(C)(C)Oc2ccc(O)cc2)CC1 ZINC001183603789 743868591 /nfs/dbraw/zinc/86/85/91/743868591.db2.gz MIBZZMKZVXSBRE-UHFFFAOYSA-N 1 2 304.390 1.880 20 30 DDEDLO CCN(CC[NH+]1CCOCC1)C(=O)Nc1ccc(C#N)cc1 ZINC001184738814 744094372 /nfs/dbraw/zinc/09/43/72/744094372.db2.gz GQPOZGGRMQOVID-UHFFFAOYSA-N 1 2 302.378 1.744 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1ccn[nH]1 ZINC001006807184 751888120 /nfs/dbraw/zinc/88/81/20/751888120.db2.gz PEUIIMBIUQSNGW-MRXNPFEDSA-N 1 2 308.385 1.656 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1ccn[nH]1 ZINC001006807184 751888131 /nfs/dbraw/zinc/88/81/31/751888131.db2.gz PEUIIMBIUQSNGW-MRXNPFEDSA-N 1 2 308.385 1.656 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001185071427 744155629 /nfs/dbraw/zinc/15/56/29/744155629.db2.gz WEZNKTVPWDBMOH-QWHCGFSZSA-N 1 2 321.425 1.428 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCCC[C@H]2n2cccn2)C1 ZINC001030461464 744175786 /nfs/dbraw/zinc/17/57/86/744175786.db2.gz OEBIRJXXOHDUCX-HZPDHXFCSA-N 1 2 302.422 1.991 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc([C@H](C)OC)no2)C1 ZINC001185296070 744200162 /nfs/dbraw/zinc/20/01/62/744200162.db2.gz GBIJTCGHZRWEAM-QWHCGFSZSA-N 1 2 322.409 1.824 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]([NH2+]Cc3nnc(C)o3)C2)CC1 ZINC001185439644 744223031 /nfs/dbraw/zinc/22/30/31/744223031.db2.gz UFBWHWGPZKJHPY-CYBMUJFWSA-N 1 2 304.394 1.815 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2scnc2CC)C1 ZINC001107986144 751904551 /nfs/dbraw/zinc/90/45/51/751904551.db2.gz ZYXUTJNQZIWXED-OAHLLOKOSA-N 1 2 309.435 1.712 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2scnc2CC)C1 ZINC001107986144 751904558 /nfs/dbraw/zinc/90/45/58/751904558.db2.gz ZYXUTJNQZIWXED-OAHLLOKOSA-N 1 2 309.435 1.712 20 30 DDEDLO C[C@@H]1CN(C(=O)CCCn2cc[nH+]c2)CC[C@H]1CNCC#N ZINC001185790329 744286498 /nfs/dbraw/zinc/28/64/98/744286498.db2.gz ZPTJXXLJMYGXKN-CABCVRRESA-N 1 2 303.410 1.261 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)[C@H]1C ZINC001089007197 744445758 /nfs/dbraw/zinc/44/57/58/744445758.db2.gz TWYKXEXJZCBYKG-XBFCOCLRSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)[C@H]1C ZINC001089007197 744445759 /nfs/dbraw/zinc/44/57/59/744445759.db2.gz TWYKXEXJZCBYKG-XBFCOCLRSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2cn3cc(F)ccc3n2)C1 ZINC001030753094 744571238 /nfs/dbraw/zinc/57/12/38/744571238.db2.gz KMRAGOLKOZGXRL-UHFFFAOYSA-N 1 2 302.353 1.392 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001015019775 744604970 /nfs/dbraw/zinc/60/49/70/744604970.db2.gz ROWJHSPVNCIARM-LBPRGKRZSA-N 1 2 315.398 1.417 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001015019775 744604972 /nfs/dbraw/zinc/60/49/72/744604972.db2.gz ROWJHSPVNCIARM-LBPRGKRZSA-N 1 2 315.398 1.417 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2c(C)nc(C(C)C)[nH]c2=O)C1 ZINC001030776133 744608508 /nfs/dbraw/zinc/60/85/08/744608508.db2.gz QQGMZSJYHSRHKI-UHFFFAOYSA-N 1 2 304.394 1.604 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nnc(C)o2)C1 ZINC001188294668 744680275 /nfs/dbraw/zinc/68/02/75/744680275.db2.gz ZTISLBLMCVIKJV-DGCLKSJQSA-N 1 2 308.382 1.222 20 30 DDEDLO C=C1CCC(C(=O)NC2C[NH+](CCc3cnn(C)c3)C2)CC1 ZINC001030900979 744800237 /nfs/dbraw/zinc/80/02/37/744800237.db2.gz YVKGXXVFIJLCGZ-UHFFFAOYSA-N 1 2 302.422 1.509 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CCC)C2 ZINC001110405601 744848522 /nfs/dbraw/zinc/84/85/22/744848522.db2.gz GBNLIWKDXOZAFO-TUVASFSCSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CCC)C2 ZINC001110405601 744848524 /nfs/dbraw/zinc/84/85/24/744848524.db2.gz GBNLIWKDXOZAFO-TUVASFSCSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2ncc(C)o2)C1 ZINC001189379981 744880172 /nfs/dbraw/zinc/88/01/72/744880172.db2.gz MRSNTPHARZWKRQ-KGLIPLIRSA-N 1 2 307.394 1.779 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2ncc(C)o2)C1 ZINC001189379981 744880173 /nfs/dbraw/zinc/88/01/73/744880173.db2.gz MRSNTPHARZWKRQ-KGLIPLIRSA-N 1 2 307.394 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(NC(=O)c3nonc3C)CCC[C@@H]12 ZINC000992542218 744954393 /nfs/dbraw/zinc/95/43/93/744954393.db2.gz ZVLBXNMIYVNOBX-RISCZKNCSA-N 1 2 310.785 1.857 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(NC(=O)c3nonc3C)CCC[C@@H]12 ZINC000992542218 744954398 /nfs/dbraw/zinc/95/43/98/744954398.db2.gz ZVLBXNMIYVNOBX-RISCZKNCSA-N 1 2 310.785 1.857 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189910393 745026125 /nfs/dbraw/zinc/02/61/25/745026125.db2.gz OEZRQUBLTKMJPV-INIZCTEOSA-N 1 2 302.422 1.565 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189910393 745026132 /nfs/dbraw/zinc/02/61/32/745026132.db2.gz OEZRQUBLTKMJPV-INIZCTEOSA-N 1 2 302.422 1.565 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nocc2C)C1 ZINC001190106269 745102231 /nfs/dbraw/zinc/10/22/31/745102231.db2.gz SSEGVWWMMWSLRI-KBPBESRZSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001190106269 745102233 /nfs/dbraw/zinc/10/22/33/745102233.db2.gz SSEGVWWMMWSLRI-KBPBESRZSA-N 1 2 305.378 1.054 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2cc(C)cn2)[C@@H]1C ZINC000993080083 745487769 /nfs/dbraw/zinc/48/77/69/745487769.db2.gz NFWWLMFDDPBRJV-KBPBESRZSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2cc(C)cn2)[C@@H]1C ZINC000993080083 745487773 /nfs/dbraw/zinc/48/77/73/745487773.db2.gz NFWWLMFDDPBRJV-KBPBESRZSA-N 1 2 310.829 1.913 20 30 DDEDLO C=CCn1c(N(C)CC[NH+]2CCOCC2)nnc1C(C)(F)F ZINC001121527221 782550486 /nfs/dbraw/zinc/55/04/86/782550486.db2.gz NYSVABXOUHYBMY-UHFFFAOYSA-N 1 2 315.368 1.344 20 30 DDEDLO C[C@@H](CCNc1ccncc1C#N)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106309897 745592705 /nfs/dbraw/zinc/59/27/05/745592705.db2.gz MCMLRACUVFVSRH-LBPRGKRZSA-N 1 2 312.377 1.038 20 30 DDEDLO Cc1nsc(NCC[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001106598854 745823598 /nfs/dbraw/zinc/82/35/98/745823598.db2.gz RYQCULUAYVMMCP-VIFPVBQESA-N 1 2 318.406 1.596 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2nccc2C)[C@H]1C ZINC000993891070 746367883 /nfs/dbraw/zinc/36/78/83/746367883.db2.gz MTRAJZBCXVYSLW-ZIAGYGMSSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2nccc2C)[C@H]1C ZINC000993891070 746367890 /nfs/dbraw/zinc/36/78/90/746367890.db2.gz MTRAJZBCXVYSLW-ZIAGYGMSSA-N 1 2 310.829 1.913 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC1C[NH+](CCOC2CCC2)C1 ZINC001031314071 746531179 /nfs/dbraw/zinc/53/11/79/746531179.db2.gz ILVVADVPGOKCNG-UHFFFAOYSA-N 1 2 312.413 1.580 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)C2CC2)CC1 ZINC001195231527 746546608 /nfs/dbraw/zinc/54/66/08/746546608.db2.gz BWHJBWKMCRAXJH-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)C2CC2)CC1 ZINC001195231527 746546612 /nfs/dbraw/zinc/54/66/12/746546612.db2.gz BWHJBWKMCRAXJH-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccn1 ZINC001032638418 752104673 /nfs/dbraw/zinc/10/46/73/752104673.db2.gz XKWPOXHPXCWJKN-NXOAAHMSSA-N 1 2 313.401 1.458 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccn1 ZINC001032638418 752104675 /nfs/dbraw/zinc/10/46/75/752104675.db2.gz XKWPOXHPXCWJKN-NXOAAHMSSA-N 1 2 313.401 1.458 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CC(C)(C)C2CC2)CC1 ZINC001195717977 746684899 /nfs/dbraw/zinc/68/48/99/746684899.db2.gz UQZNYYWKQCFWGU-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CC(C)(C)C2CC2)CC1 ZINC001195717977 746684902 /nfs/dbraw/zinc/68/49/02/746684902.db2.gz UQZNYYWKQCFWGU-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1)Nc1ccc(C#N)nn1 ZINC001089380084 746757258 /nfs/dbraw/zinc/75/72/58/746757258.db2.gz HCVACKFCYOOBGX-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccccn3)C2)c(F)c1 ZINC001031516004 746937167 /nfs/dbraw/zinc/93/71/67/746937167.db2.gz ZTFAIEBJJJKBCO-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](Cc2cc(OC)no2)CC1 ZINC001196846684 746979318 /nfs/dbraw/zinc/97/93/18/746979318.db2.gz IKNMDXMWEUTXSJ-UHFFFAOYSA-N 1 2 319.405 1.911 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](Cc2cc(OC)no2)CC1 ZINC001196846684 746979324 /nfs/dbraw/zinc/97/93/24/746979324.db2.gz IKNMDXMWEUTXSJ-UHFFFAOYSA-N 1 2 319.405 1.911 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC2(C)C)CC1 ZINC001196824801 746983449 /nfs/dbraw/zinc/98/34/49/746983449.db2.gz RDDBKFSBCUHDAR-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC2(C)C)CC1 ZINC001196824801 746983456 /nfs/dbraw/zinc/98/34/56/746983456.db2.gz RDDBKFSBCUHDAR-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC001196853076 746991269 /nfs/dbraw/zinc/99/12/69/746991269.db2.gz GRKBINYTJQDSTR-GJZGRUSLSA-N 1 2 309.454 1.504 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC001196853076 746991275 /nfs/dbraw/zinc/99/12/75/746991275.db2.gz GRKBINYTJQDSTR-GJZGRUSLSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001197291591 747131814 /nfs/dbraw/zinc/13/18/14/747131814.db2.gz HIVHXTLGIHTUMJ-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001197291591 747131815 /nfs/dbraw/zinc/13/18/15/747131815.db2.gz HIVHXTLGIHTUMJ-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO Cn1ccc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)c1 ZINC001031608495 747177270 /nfs/dbraw/zinc/17/72/70/747177270.db2.gz LPIYKPYKFPJDQX-UHFFFAOYSA-N 1 2 307.397 1.738 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCCC(=O)NCC)CC1 ZINC001197861783 747302272 /nfs/dbraw/zinc/30/22/72/747302272.db2.gz FIHAFZUFRCCLON-UHFFFAOYSA-N 1 2 315.845 1.580 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCCC(=O)NCC)CC1 ZINC001197861783 747302278 /nfs/dbraw/zinc/30/22/78/747302278.db2.gz FIHAFZUFRCCLON-UHFFFAOYSA-N 1 2 315.845 1.580 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+]([C@@H](C)c3cnccn3)C[C@@H]2O)C1 ZINC001083762735 747452821 /nfs/dbraw/zinc/45/28/21/747452821.db2.gz ORYOYAKWYLHVAY-CFVMTHIKSA-N 1 2 316.405 1.055 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+]([C@@H](C)c3cnccn3)C[C@@H]2O)C1 ZINC001083762735 747452826 /nfs/dbraw/zinc/45/28/26/747452826.db2.gz ORYOYAKWYLHVAY-CFVMTHIKSA-N 1 2 316.405 1.055 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](CNC(=O)CC)c1ccccc1OC ZINC001198445023 747506668 /nfs/dbraw/zinc/50/66/68/747506668.db2.gz XINQMGWJEZEDTA-AWEZNQCLSA-N 1 2 319.405 1.154 20 30 DDEDLO CN(C(=O)c1cc[nH]n1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001032925154 747670807 /nfs/dbraw/zinc/67/08/07/747670807.db2.gz MRNINERTPLRWDP-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C(=O)c1cc[nH]n1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001032925154 747670811 /nfs/dbraw/zinc/67/08/11/747670811.db2.gz MRNINERTPLRWDP-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C(=O)c1ccn[nH]1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001032925154 747670816 /nfs/dbraw/zinc/67/08/16/747670816.db2.gz MRNINERTPLRWDP-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C(=O)c1ccn[nH]1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001032925154 747670818 /nfs/dbraw/zinc/67/08/18/747670818.db2.gz MRNINERTPLRWDP-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO CCC[C@H](CC(C)C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001199252618 747764167 /nfs/dbraw/zinc/76/41/67/747764167.db2.gz FBVJFAPQVIMAIN-BRWVUGGUSA-N 1 2 324.465 1.260 20 30 DDEDLO CCC[C@H](CC(C)C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001199252618 747764170 /nfs/dbraw/zinc/76/41/70/747764170.db2.gz FBVJFAPQVIMAIN-BRWVUGGUSA-N 1 2 324.465 1.260 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)cc2)C[C@H]1O ZINC001199311701 747785733 /nfs/dbraw/zinc/78/57/33/747785733.db2.gz BNWUORYEQVTJFJ-APHBMKBZSA-N 1 2 322.380 1.078 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)cc2)C[C@H]1O ZINC001199311701 747785736 /nfs/dbraw/zinc/78/57/36/747785736.db2.gz BNWUORYEQVTJFJ-APHBMKBZSA-N 1 2 322.380 1.078 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001032992053 747871421 /nfs/dbraw/zinc/87/14/21/747871421.db2.gz NXTWYDWDAXHVPA-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001032992053 747871429 /nfs/dbraw/zinc/87/14/29/747871429.db2.gz NXTWYDWDAXHVPA-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001033012662 747906713 /nfs/dbraw/zinc/90/67/13/747906713.db2.gz XYBYAKXSMFBLSI-OCCSQVGLSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CC[C@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001033012662 747906716 /nfs/dbraw/zinc/90/67/16/747906716.db2.gz XYBYAKXSMFBLSI-OCCSQVGLSA-N 1 2 324.388 1.584 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001033082036 748217798 /nfs/dbraw/zinc/21/77/98/748217798.db2.gz HOFROQDXENCNJK-KGLIPLIRSA-N 1 2 302.422 1.938 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001033082036 748217804 /nfs/dbraw/zinc/21/78/04/748217804.db2.gz HOFROQDXENCNJK-KGLIPLIRSA-N 1 2 302.422 1.938 20 30 DDEDLO C=C(C)CCC(=O)N1CCCO[C@H](C[NH2+]Cc2csnn2)C1 ZINC001200628103 748296084 /nfs/dbraw/zinc/29/60/84/748296084.db2.gz ROOVQKMIQUHWEG-CQSZACIVSA-N 1 2 324.450 1.602 20 30 DDEDLO C=CCOCC[NH2+]C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001200670869 748307517 /nfs/dbraw/zinc/30/75/17/748307517.db2.gz OANCHLSRQLJXDT-LLVKDONJSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCOCC[NH2+]C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001200670869 748307527 /nfs/dbraw/zinc/30/75/27/748307527.db2.gz OANCHLSRQLJXDT-LLVKDONJSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)cncc2C)C1 ZINC001108070040 748310390 /nfs/dbraw/zinc/31/03/90/748310390.db2.gz MOTGEICMMDQCGH-QGZVFWFLSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)cncc2C)C1 ZINC001108070040 748310398 /nfs/dbraw/zinc/31/03/98/748310398.db2.gz MOTGEICMMDQCGH-QGZVFWFLSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cccn3nnnc23)C1 ZINC001033115285 748413522 /nfs/dbraw/zinc/41/35/22/748413522.db2.gz QRFIPMSVANEIPZ-NSHDSACASA-N 1 2 320.784 1.023 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cccn3nnnc23)C1 ZINC001033115285 748413524 /nfs/dbraw/zinc/41/35/24/748413524.db2.gz QRFIPMSVANEIPZ-NSHDSACASA-N 1 2 320.784 1.023 20 30 DDEDLO Cc1c[nH]nc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004429761 748425592 /nfs/dbraw/zinc/42/55/92/748425592.db2.gz ORLFHJKZULOJBP-CQSZACIVSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1c[nH]nc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004429761 748425596 /nfs/dbraw/zinc/42/55/96/748425596.db2.gz ORLFHJKZULOJBP-CQSZACIVSA-N 1 2 301.394 1.558 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(C)(C)C=C)C2 ZINC001110598679 748812456 /nfs/dbraw/zinc/81/24/56/748812456.db2.gz HQOGCCRQSYWVAV-KFWWJZLASA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(C)(C)C=C)C2 ZINC001110598679 748812463 /nfs/dbraw/zinc/81/24/63/748812463.db2.gz HQOGCCRQSYWVAV-KFWWJZLASA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCN(C(=O)CCOC)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110616195 748829878 /nfs/dbraw/zinc/82/98/78/748829878.db2.gz YILNCOAWPHAWMY-UHFFFAOYSA-N 1 2 316.405 1.252 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(=O)n(C)c2)C1 ZINC001033216823 748956238 /nfs/dbraw/zinc/95/62/38/748956238.db2.gz KBSSHHVBNWVYPI-CYBMUJFWSA-N 1 2 309.797 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(=O)n(C)c2)C1 ZINC001033216823 748956244 /nfs/dbraw/zinc/95/62/44/748956244.db2.gz KBSSHHVBNWVYPI-CYBMUJFWSA-N 1 2 309.797 1.284 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001108100169 749117463 /nfs/dbraw/zinc/11/74/63/749117463.db2.gz GNRYIMIWJOYWMT-YPMHNXCESA-N 1 2 324.388 1.713 20 30 DDEDLO Cn1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccc(C#N)c2)cn1 ZINC001032270606 749148337 /nfs/dbraw/zinc/14/83/37/749148337.db2.gz LPRQCZVIYICPGG-IRXDYDNUSA-N 1 2 321.384 1.391 20 30 DDEDLO Cn1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccc(C#N)c2)cn1 ZINC001032270606 749148341 /nfs/dbraw/zinc/14/83/41/749148341.db2.gz LPRQCZVIYICPGG-IRXDYDNUSA-N 1 2 321.384 1.391 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CCCCC)nn2)C1 ZINC001107127060 749181574 /nfs/dbraw/zinc/18/15/74/749181574.db2.gz VCFQTZFHDKBCDW-UHFFFAOYSA-N 1 2 303.410 1.355 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cn(C)nc2OC)C1 ZINC001033325179 749191802 /nfs/dbraw/zinc/19/18/02/749191802.db2.gz MAAOQKGUYHNFAV-LLVKDONJSA-N 1 2 312.801 1.328 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cn(C)nc2OC)C1 ZINC001033325179 749191807 /nfs/dbraw/zinc/19/18/07/749191807.db2.gz MAAOQKGUYHNFAV-LLVKDONJSA-N 1 2 312.801 1.328 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C(CC)CC)nn2)C1 ZINC001107131879 749205135 /nfs/dbraw/zinc/20/51/35/749205135.db2.gz CDZPPRPAVNYVRJ-UHFFFAOYSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CCCC(=O)NCc1cn(C2C[NH+](CC=C(C)C)C2)nn1 ZINC001107140622 749372198 /nfs/dbraw/zinc/37/21/98/749372198.db2.gz NDRKIJWFHREAIC-UHFFFAOYSA-N 1 2 303.410 1.683 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C#CC(C)(C)C)nn2)C1 ZINC001107171354 749466833 /nfs/dbraw/zinc/46/68/33/749466833.db2.gz USVFSZNKCBINHU-UHFFFAOYSA-N 1 2 315.421 1.377 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107197848 749560437 /nfs/dbraw/zinc/56/04/37/749560437.db2.gz KDNKDSMQLBBIAD-UONOGXRCSA-N 1 2 317.437 1.785 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CCC3(C)CC3)nn2)C1 ZINC001107226533 749641423 /nfs/dbraw/zinc/64/14/23/749641423.db2.gz WBFHJTRTOSMGLA-UHFFFAOYSA-N 1 2 303.410 1.517 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CCCOC(C)C)nn2)C1 ZINC001107242612 749669425 /nfs/dbraw/zinc/66/94/25/749669425.db2.gz WLYCBBHCAXUVDP-UHFFFAOYSA-N 1 2 321.425 1.142 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001033676913 749702574 /nfs/dbraw/zinc/70/25/74/749702574.db2.gz XQFASTKFXKGJSF-JSGCOSHPSA-N 1 2 322.840 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001033676913 749702578 /nfs/dbraw/zinc/70/25/78/749702578.db2.gz XQFASTKFXKGJSF-JSGCOSHPSA-N 1 2 322.840 1.800 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)ns1 ZINC001039376817 761977542 /nfs/dbraw/zinc/97/75/42/761977542.db2.gz NCLVQWJQQRLWQZ-NEPJUHHUSA-N 1 2 305.403 1.464 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)ns1 ZINC001039376817 761977547 /nfs/dbraw/zinc/97/75/47/761977547.db2.gz NCLVQWJQQRLWQZ-NEPJUHHUSA-N 1 2 305.403 1.464 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC[C@@H]2CC(C)(C)CO2)C1 ZINC001108366371 761985184 /nfs/dbraw/zinc/98/51/84/761985184.db2.gz TXONKHUAIYVXNU-QAPCUYQASA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CC[C@@H]2CC(C)(C)CO2)C1 ZINC001108366371 761985193 /nfs/dbraw/zinc/98/51/93/761985193.db2.gz TXONKHUAIYVXNU-QAPCUYQASA-N 1 2 324.465 1.975 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)Cn3cc[nH+]c3)CC2)cn1 ZINC001066753389 749935905 /nfs/dbraw/zinc/93/59/05/749935905.db2.gz FIJYLEUNMYFECB-AWEZNQCLSA-N 1 2 324.388 1.325 20 30 DDEDLO Cc1cc(N(C)CCCNC(=O)Cc2[nH]cc[nH+]2)c(C#N)cn1 ZINC001095617363 750084102 /nfs/dbraw/zinc/08/41/02/750084102.db2.gz DMHDASHNZOFAMG-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)noc2CC)C1 ZINC001108389090 762009603 /nfs/dbraw/zinc/00/96/03/762009603.db2.gz HAXZOVOOYNBFON-INIZCTEOSA-N 1 2 307.394 1.552 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)noc2CC)C1 ZINC001108389090 762009615 /nfs/dbraw/zinc/00/96/15/762009615.db2.gz HAXZOVOOYNBFON-INIZCTEOSA-N 1 2 307.394 1.552 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2c(C)n[nH]c2C)C1 ZINC001108390523 762012199 /nfs/dbraw/zinc/01/21/99/762012199.db2.gz FSPUVIKUOVKPID-KRWDZBQOSA-N 1 2 320.437 1.352 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2c(C)n[nH]c2C)C1 ZINC001108390523 762012210 /nfs/dbraw/zinc/01/22/10/762012210.db2.gz FSPUVIKUOVKPID-KRWDZBQOSA-N 1 2 320.437 1.352 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001110934083 750240269 /nfs/dbraw/zinc/24/02/69/750240269.db2.gz YAFTZCAJRCWCTD-FPCVCCKLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001110934083 750240273 /nfs/dbraw/zinc/24/02/73/750240273.db2.gz YAFTZCAJRCWCTD-FPCVCCKLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2sc(C)nc2C)C1 ZINC001108385460 762022116 /nfs/dbraw/zinc/02/21/16/762022116.db2.gz HZIYJURIJQDAFM-HNNXBMFYSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2sc(C)nc2C)C1 ZINC001108385460 762022125 /nfs/dbraw/zinc/02/21/25/762022125.db2.gz HZIYJURIJQDAFM-HNNXBMFYSA-N 1 2 309.435 1.767 20 30 DDEDLO Cc1nc(NC2(CNC(=O)[C@@H](C)C#N)CCOCC2)cc[nH+]1 ZINC001110977582 750274096 /nfs/dbraw/zinc/27/40/96/750274096.db2.gz ROOOLXHFCWPGGG-NSHDSACASA-N 1 2 303.366 1.022 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C(N)=O)CC1 ZINC001039429631 762025094 /nfs/dbraw/zinc/02/50/94/762025094.db2.gz XZVBKEIBJIPSEZ-NEPJUHHUSA-N 1 2 311.813 1.070 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C(N)=O)CC1 ZINC001039429631 762025105 /nfs/dbraw/zinc/02/51/05/762025105.db2.gz XZVBKEIBJIPSEZ-NEPJUHHUSA-N 1 2 311.813 1.070 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)C(F)F ZINC001098827432 750321359 /nfs/dbraw/zinc/32/13/59/750321359.db2.gz WDDVPSQGUNXQSF-NSHDSACASA-N 1 2 317.380 1.161 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)C(F)F ZINC001098827432 750321366 /nfs/dbraw/zinc/32/13/66/750321366.db2.gz WDDVPSQGUNXQSF-NSHDSACASA-N 1 2 317.380 1.161 20 30 DDEDLO C[C@@]1(CNC(=O)C2CC2)C[N@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107675335 750355638 /nfs/dbraw/zinc/35/56/38/750355638.db2.gz KUPXBTBHCQBRAW-GOSISDBHSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@@]1(CNC(=O)C2CC2)C[N@@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107675335 750355645 /nfs/dbraw/zinc/35/56/45/750355645.db2.gz KUPXBTBHCQBRAW-GOSISDBHSA-N 1 2 313.401 1.675 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc(F)c2)C1 ZINC001107707245 750410912 /nfs/dbraw/zinc/41/09/12/750410912.db2.gz FPIPBLWAFAWULG-QGZVFWFLSA-N 1 2 304.365 1.670 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc(F)c2)C1 ZINC001107707245 750410915 /nfs/dbraw/zinc/41/09/15/750410915.db2.gz FPIPBLWAFAWULG-QGZVFWFLSA-N 1 2 304.365 1.670 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C[C@H](C)CC)[C@@H](n2ccnn2)C1 ZINC001128953851 750482768 /nfs/dbraw/zinc/48/27/68/750482768.db2.gz XVNVNPVHAQCURD-KFWWJZLASA-N 1 2 303.410 1.079 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C[C@H](C)CC)[C@@H](n2ccnn2)C1 ZINC001128953851 750482770 /nfs/dbraw/zinc/48/27/70/750482770.db2.gz XVNVNPVHAQCURD-KFWWJZLASA-N 1 2 303.410 1.079 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C)ccn2C)[C@H](O)C1 ZINC001090219359 750497497 /nfs/dbraw/zinc/49/74/97/750497497.db2.gz OQGVZJVTABDYDT-CHWSQXEVSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)ccn2C)[C@H](O)C1 ZINC001090219359 750497500 /nfs/dbraw/zinc/49/75/00/750497500.db2.gz OQGVZJVTABDYDT-CHWSQXEVSA-N 1 2 311.813 1.251 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001108136849 750661899 /nfs/dbraw/zinc/66/18/99/750661899.db2.gz DZKWXPAKFLEEIF-CHWSQXEVSA-N 1 2 312.377 1.718 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nn1)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001108137103 750672489 /nfs/dbraw/zinc/67/24/89/750672489.db2.gz MHZMKRHOBNKADA-VXGBXAGGSA-N 1 2 313.365 1.113 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(C)nc2)C1 ZINC001108399606 762060730 /nfs/dbraw/zinc/06/07/30/762060730.db2.gz SQRSGSUJIHNMFB-GOSISDBHSA-N 1 2 315.417 1.163 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(C)nc2)C1 ZINC001108399606 762060739 /nfs/dbraw/zinc/06/07/39/762060739.db2.gz SQRSGSUJIHNMFB-GOSISDBHSA-N 1 2 315.417 1.163 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)[C@H](C)CCC)CC2 ZINC001127965462 750868755 /nfs/dbraw/zinc/86/87/55/750868755.db2.gz UXDVZGDKOMIIDC-ZIAGYGMSSA-N 1 2 317.437 1.258 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001032471859 750950573 /nfs/dbraw/zinc/95/05/73/750950573.db2.gz AMMFOYIFVADETJ-KBPBESRZSA-N 1 2 322.840 1.964 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@H](C)N(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001114668049 750959127 /nfs/dbraw/zinc/95/91/27/750959127.db2.gz YNRDRTCPWCSRHQ-WCQYABFASA-N 1 2 319.434 1.691 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCn2cc(C)nc2C1 ZINC001032475438 750962581 /nfs/dbraw/zinc/96/25/81/750962581.db2.gz QIHASQWCHSSCPX-PMPSAXMXSA-N 1 2 312.417 1.062 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCn2cc(C)nc2C1 ZINC001032475438 750962583 /nfs/dbraw/zinc/96/25/83/750962583.db2.gz QIHASQWCHSSCPX-PMPSAXMXSA-N 1 2 312.417 1.062 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)n3CC)C[C@H]21 ZINC001114672060 750972500 /nfs/dbraw/zinc/97/25/00/750972500.db2.gz MRXVKJMRMJORKS-NHAGDIPZSA-N 1 2 317.437 1.365 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)n3CC)C[C@H]21 ZINC001114672060 750972503 /nfs/dbraw/zinc/97/25/03/750972503.db2.gz MRXVKJMRMJORKS-NHAGDIPZSA-N 1 2 317.437 1.365 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)c1c(C)noc1C ZINC001032483946 750993967 /nfs/dbraw/zinc/99/39/67/750993967.db2.gz ZEDGJLYIYRVNOG-UGFHNGPFSA-N 1 2 301.390 1.703 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)c1c(C)noc1C ZINC001032483946 750993969 /nfs/dbraw/zinc/99/39/69/750993969.db2.gz ZEDGJLYIYRVNOG-UGFHNGPFSA-N 1 2 301.390 1.703 20 30 DDEDLO C=CC[NH+]1CCC([C@H](C)NC(=O)c2cncc3nc[nH]c32)CC1 ZINC000997604945 751149764 /nfs/dbraw/zinc/14/97/64/751149764.db2.gz MYBHHWZLLPKZKP-LBPRGKRZSA-N 1 2 313.405 1.974 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2ncc(C3CC3)o2)CCC1 ZINC001129120734 751295653 /nfs/dbraw/zinc/29/56/53/751295653.db2.gz AYMSOWUELRUVCZ-UHFFFAOYSA-N 1 2 301.390 1.951 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3cnnn3C)C2)cc1 ZINC001008151242 752581053 /nfs/dbraw/zinc/58/10/53/752581053.db2.gz ZQAUZDSLYZXDKM-INIZCTEOSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3cnnn3C)C2)cc1 ZINC001008151242 752581060 /nfs/dbraw/zinc/58/10/60/752581060.db2.gz ZQAUZDSLYZXDKM-INIZCTEOSA-N 1 2 323.400 1.191 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001008167082 752589200 /nfs/dbraw/zinc/58/92/00/752589200.db2.gz DSTPVLLZFAJJRL-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001008167082 752589209 /nfs/dbraw/zinc/58/92/09/752589209.db2.gz DSTPVLLZFAJJRL-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](CC(=O)N3CCC3)C2)C1 ZINC001008437193 752727913 /nfs/dbraw/zinc/72/79/13/752727913.db2.gz JFYYMXJIIBNYPL-AWEZNQCLSA-N 1 2 305.422 1.156 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](CC(=O)N3CCC3)C2)C1 ZINC001008437193 752727918 /nfs/dbraw/zinc/72/79/18/752727918.db2.gz JFYYMXJIIBNYPL-AWEZNQCLSA-N 1 2 305.422 1.156 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCCCCOCC)C1 ZINC001108432192 762159764 /nfs/dbraw/zinc/15/97/64/762159764.db2.gz DPCZSEXIICSNNP-KRWDZBQOSA-N 1 2 312.454 1.976 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCCCCOCC)C1 ZINC001108432192 762159768 /nfs/dbraw/zinc/15/97/68/762159768.db2.gz DPCZSEXIICSNNP-KRWDZBQOSA-N 1 2 312.454 1.976 20 30 DDEDLO CN(C[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1)c1ccc(C#N)cn1 ZINC001062181486 752814015 /nfs/dbraw/zinc/81/40/15/752814015.db2.gz DGDGWNRFGIGFNY-AWEZNQCLSA-N 1 2 324.388 1.204 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001108165380 752961936 /nfs/dbraw/zinc/96/19/36/752961936.db2.gz FIMBCEFAFRYARX-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001108165380 752961940 /nfs/dbraw/zinc/96/19/40/752961940.db2.gz FIMBCEFAFRYARX-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001008985532 753021218 /nfs/dbraw/zinc/02/12/18/753021218.db2.gz GHPUVPDLRZXOIQ-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001008985532 753021221 /nfs/dbraw/zinc/02/12/21/753021221.db2.gz GHPUVPDLRZXOIQ-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC000999897452 753210813 /nfs/dbraw/zinc/21/08/13/753210813.db2.gz CZHCCMNFVBRGCE-GFCCVEGCSA-N 1 2 322.409 1.509 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2CCC(OC)CC2)C1 ZINC001108167854 753264864 /nfs/dbraw/zinc/26/48/64/753264864.db2.gz RTYPXWAQQIGBJQ-VMBOVVBDSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2CCC(OC)CC2)C1 ZINC001108167854 753264872 /nfs/dbraw/zinc/26/48/72/753264872.db2.gz RTYPXWAQQIGBJQ-VMBOVVBDSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001009620327 753282531 /nfs/dbraw/zinc/28/25/31/753282531.db2.gz RXLVWLCQBFGIOV-CHWSQXEVSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001009620327 753282535 /nfs/dbraw/zinc/28/25/35/753282535.db2.gz RXLVWLCQBFGIOV-CHWSQXEVSA-N 1 2 323.828 1.174 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[NH2+]Cc1nc(CCC)no1 ZINC001128085307 753304174 /nfs/dbraw/zinc/30/41/74/753304174.db2.gz SGCOALZOJJLYGF-UHFFFAOYSA-N 1 2 306.410 1.996 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc(COC)s2)C1 ZINC001009743827 753335861 /nfs/dbraw/zinc/33/58/61/753335861.db2.gz MSADLHZKTKBBLQ-GFCCVEGCSA-N 1 2 307.419 1.507 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc(COC)s2)C1 ZINC001009743827 753335865 /nfs/dbraw/zinc/33/58/65/753335865.db2.gz MSADLHZKTKBBLQ-GFCCVEGCSA-N 1 2 307.419 1.507 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001062687737 753385686 /nfs/dbraw/zinc/38/56/86/753385686.db2.gz MJJUMMPZXPBFBP-UHFFFAOYSA-N 1 2 304.394 1.382 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2ccccn2)C1 ZINC001009892776 753438040 /nfs/dbraw/zinc/43/80/40/753438040.db2.gz VUFLEIXMZZDYJQ-MRXNPFEDSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2ccccn2)C1 ZINC001009892776 753438045 /nfs/dbraw/zinc/43/80/45/753438045.db2.gz VUFLEIXMZZDYJQ-MRXNPFEDSA-N 1 2 306.369 1.958 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)CC(F)(F)F)C1 ZINC001108181027 753583010 /nfs/dbraw/zinc/58/30/10/753583010.db2.gz RHDWOOJQVZQOHR-GXTWGEPZSA-N 1 2 320.355 1.805 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)CC(F)(F)F)C1 ZINC001108181027 753583014 /nfs/dbraw/zinc/58/30/14/753583014.db2.gz RHDWOOJQVZQOHR-GXTWGEPZSA-N 1 2 320.355 1.805 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ccc(C#N)cn1 ZINC001039819580 762229862 /nfs/dbraw/zinc/22/98/62/762229862.db2.gz VVZOLEGIMKWTMV-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CCCC1(C(=O)NC[C@]2(C)C[N@H+](CC#CC)CCO2)CC1 ZINC001108187081 753652105 /nfs/dbraw/zinc/65/21/05/753652105.db2.gz YDIDLMNYCIITMS-QGZVFWFLSA-N 1 2 304.434 1.963 20 30 DDEDLO C=CCCC1(C(=O)NC[C@]2(C)C[N@@H+](CC#CC)CCO2)CC1 ZINC001108187081 753652109 /nfs/dbraw/zinc/65/21/09/753652109.db2.gz YDIDLMNYCIITMS-QGZVFWFLSA-N 1 2 304.434 1.963 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H](C)C(=O)NC ZINC001039823532 762231711 /nfs/dbraw/zinc/23/17/11/762231711.db2.gz SDELFOVDAMBJDU-KFWWJZLASA-N 1 2 321.465 1.789 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)NC ZINC001039823532 762231714 /nfs/dbraw/zinc/23/17/14/762231714.db2.gz SDELFOVDAMBJDU-KFWWJZLASA-N 1 2 321.465 1.789 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H](C)C(=O)N(C)C ZINC001039821845 762231824 /nfs/dbraw/zinc/23/18/24/762231824.db2.gz VIBDEKJKYXAMAQ-KFWWJZLASA-N 1 2 321.465 1.741 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)N(C)C ZINC001039821845 762231828 /nfs/dbraw/zinc/23/18/28/762231828.db2.gz VIBDEKJKYXAMAQ-KFWWJZLASA-N 1 2 321.465 1.741 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001078089163 753724228 /nfs/dbraw/zinc/72/42/28/753724228.db2.gz NLEYCGHRKBOISB-ZIAGYGMSSA-N 1 2 310.756 1.196 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001078089163 753724231 /nfs/dbraw/zinc/72/42/31/753724231.db2.gz NLEYCGHRKBOISB-ZIAGYGMSSA-N 1 2 310.756 1.196 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@H](NC(=O)c3ccon3)C2)ccc1F ZINC001010286520 753734387 /nfs/dbraw/zinc/73/43/87/753734387.db2.gz NFGYAYFSCTYJBU-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3ccon3)C2)ccc1F ZINC001010286520 753734392 /nfs/dbraw/zinc/73/43/92/753734392.db2.gz NFGYAYFSCTYJBU-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@H](C)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001078140494 753784430 /nfs/dbraw/zinc/78/44/30/753784430.db2.gz MPNBPZJCMTUTBF-MNOVXSKESA-N 1 2 313.361 1.347 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2cccc(Cl)c2)C1 ZINC001078206267 753861549 /nfs/dbraw/zinc/86/15/49/753861549.db2.gz VNVKZRWIGTZCQZ-KCPJHIHWSA-N 1 2 306.793 1.238 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2cccc(Cl)c2)C1 ZINC001078206267 753861559 /nfs/dbraw/zinc/86/15/59/753861559.db2.gz VNVKZRWIGTZCQZ-KCPJHIHWSA-N 1 2 306.793 1.238 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)c(OC)cc1C ZINC001032796878 753965715 /nfs/dbraw/zinc/96/57/15/753965715.db2.gz VUNZZBRISUZCKM-KBPBESRZSA-N 1 2 314.385 1.544 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)c(OC)cc1C ZINC001032796878 753965719 /nfs/dbraw/zinc/96/57/19/753965719.db2.gz VUNZZBRISUZCKM-KBPBESRZSA-N 1 2 314.385 1.544 20 30 DDEDLO N#Cc1cnccc1N1CCCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001063197772 754038437 /nfs/dbraw/zinc/03/84/37/754038437.db2.gz DHAHUCUGZANGPV-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001063381274 754119428 /nfs/dbraw/zinc/11/94/28/754119428.db2.gz GVNDTTTZIMUYIV-HDJSIYSDSA-N 1 2 324.388 1.758 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N(C)C)c(F)c1 ZINC001032803982 754121663 /nfs/dbraw/zinc/12/16/63/754121663.db2.gz SBSPRKHXQXZLGM-KBPBESRZSA-N 1 2 301.365 1.424 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N(C)C)c(F)c1 ZINC001032803982 754121667 /nfs/dbraw/zinc/12/16/67/754121667.db2.gz SBSPRKHXQXZLGM-KBPBESRZSA-N 1 2 301.365 1.424 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001078522174 754174607 /nfs/dbraw/zinc/17/46/07/754174607.db2.gz GSMXKYPSFBRSHB-BFHYXJOUSA-N 1 2 318.421 1.501 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063515765 754212003 /nfs/dbraw/zinc/21/20/03/754212003.db2.gz ILOWLIFECNXISP-UHFFFAOYSA-N 1 2 304.394 1.395 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(COCC)CC2)C1 ZINC001108035766 754213819 /nfs/dbraw/zinc/21/38/19/754213819.db2.gz JNXBEHJAYYUKFW-MRXNPFEDSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2(COCC)CC2)C1 ZINC001108035766 754213826 /nfs/dbraw/zinc/21/38/26/754213826.db2.gz JNXBEHJAYYUKFW-MRXNPFEDSA-N 1 2 308.422 1.034 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)CC(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129301541 754229871 /nfs/dbraw/zinc/22/98/71/754229871.db2.gz UQZFQDADUSBYJN-KFWWJZLASA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)CC(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129301541 754229876 /nfs/dbraw/zinc/22/98/76/754229876.db2.gz UQZFQDADUSBYJN-KFWWJZLASA-N 1 2 317.437 1.325 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001078753821 754350600 /nfs/dbraw/zinc/35/06/00/754350600.db2.gz IVCFSLNJYMDONM-LRDDRELGSA-N 1 2 306.410 1.566 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001061017001 754441773 /nfs/dbraw/zinc/44/17/73/754441773.db2.gz GFMZZRJBHVJIBV-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001061017001 754441778 /nfs/dbraw/zinc/44/17/78/754441778.db2.gz GFMZZRJBHVJIBV-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064704549 754782852 /nfs/dbraw/zinc/78/28/52/754782852.db2.gz FIXKDIMJKZISFQ-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ncccc2C#N)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064785401 754846940 /nfs/dbraw/zinc/84/69/40/754846940.db2.gz NCSKZGUBCCUFGR-ZFWWWQNUSA-N 1 2 324.388 1.641 20 30 DDEDLO C=CCCCC(=O)N1CC(N(CC)C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001079694913 755531796 /nfs/dbraw/zinc/53/17/96/755531796.db2.gz RMQUQWWTUBTRFS-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001079782691 755583856 /nfs/dbraw/zinc/58/38/56/755583856.db2.gz FJPYAWYMKFJIGB-BXKDBHETSA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001079782691 755583859 /nfs/dbraw/zinc/58/38/59/755583859.db2.gz FJPYAWYMKFJIGB-BXKDBHETSA-N 1 2 319.796 1.761 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC001014714160 755903399 /nfs/dbraw/zinc/90/33/99/755903399.db2.gz MZWULNIEYNUJTF-GFCCVEGCSA-N 1 2 302.403 1.532 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC001014714160 755903402 /nfs/dbraw/zinc/90/34/02/755903402.db2.gz MZWULNIEYNUJTF-GFCCVEGCSA-N 1 2 302.403 1.532 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080631640 756013681 /nfs/dbraw/zinc/01/36/81/756013681.db2.gz ABHGHVWFDOBSSI-OLZOCXBDSA-N 1 2 318.421 1.470 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2snnc2C(C)C)C1 ZINC001080655026 756024910 /nfs/dbraw/zinc/02/49/10/756024910.db2.gz UYBXJEGSLCRYBD-VXGBXAGGSA-N 1 2 306.435 1.735 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2snnc2C(C)C)C1 ZINC001080655026 756024917 /nfs/dbraw/zinc/02/49/17/756024917.db2.gz UYBXJEGSLCRYBD-VXGBXAGGSA-N 1 2 306.435 1.735 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)c1c2c(nnc1Cl)CCC2 ZINC001156327606 762446644 /nfs/dbraw/zinc/44/66/44/762446644.db2.gz HNHYFABVYBZJRS-QMMMGPOBSA-N 1 2 313.739 1.465 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(F)CCCC2)[C@@H](O)C1 ZINC001090370345 756434573 /nfs/dbraw/zinc/43/45/73/756434573.db2.gz CDENWJBXAREYEO-NEPJUHHUSA-N 1 2 304.793 1.573 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(F)CCCC2)[C@@H](O)C1 ZINC001090370345 756434577 /nfs/dbraw/zinc/43/45/77/756434577.db2.gz CDENWJBXAREYEO-NEPJUHHUSA-N 1 2 304.793 1.573 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@@H+](Cc3cnnn3C)C2)CC1 ZINC001015659456 756442694 /nfs/dbraw/zinc/44/26/94/756442694.db2.gz AYTRYJMCYMMPKB-AWEZNQCLSA-N 1 2 303.410 1.252 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@H+](Cc3cnnn3C)C2)CC1 ZINC001015659456 756442696 /nfs/dbraw/zinc/44/26/96/756442696.db2.gz AYTRYJMCYMMPKB-AWEZNQCLSA-N 1 2 303.410 1.252 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3cncc(F)c3)C2)c1 ZINC001015679331 756461475 /nfs/dbraw/zinc/46/14/75/756461475.db2.gz ZITRKOYUODVAIN-KRWDZBQOSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3cncc(F)c3)C2)c1 ZINC001015679331 756461477 /nfs/dbraw/zinc/46/14/77/756461477.db2.gz ZITRKOYUODVAIN-KRWDZBQOSA-N 1 2 324.359 1.601 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2onc3c2CCCC3)[C@H](OC)C1 ZINC001081943275 756555849 /nfs/dbraw/zinc/55/58/49/756555849.db2.gz DGADKYYGQVQLSZ-HUUCEWRRSA-N 1 2 317.389 1.006 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2onc3c2CCCC3)[C@H](OC)C1 ZINC001081943275 756555853 /nfs/dbraw/zinc/55/58/53/756555853.db2.gz DGADKYYGQVQLSZ-HUUCEWRRSA-N 1 2 317.389 1.006 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc3c2O[C@@H](C)C3)[C@H](OC)C1 ZINC001081956057 756562963 /nfs/dbraw/zinc/56/29/63/756562963.db2.gz ICDQVNIDWPJBCC-APHBMKBZSA-N 1 2 314.385 1.072 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc3c2O[C@@H](C)C3)[C@H](OC)C1 ZINC001081956057 756562965 /nfs/dbraw/zinc/56/29/65/756562965.db2.gz ICDQVNIDWPJBCC-APHBMKBZSA-N 1 2 314.385 1.072 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001015812223 756567341 /nfs/dbraw/zinc/56/73/41/756567341.db2.gz ANPHBHDXCXDGTK-CHWSQXEVSA-N 1 2 319.430 1.822 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001015812223 756567344 /nfs/dbraw/zinc/56/73/44/756567344.db2.gz ANPHBHDXCXDGTK-CHWSQXEVSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3ncccc3c2)[C@H](OC)C1 ZINC001082092606 756626584 /nfs/dbraw/zinc/62/65/84/756626584.db2.gz HKUXNQZDWCOHKJ-IAGOWNOFSA-N 1 2 309.369 1.297 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3ncccc3c2)[C@H](OC)C1 ZINC001082092606 756626586 /nfs/dbraw/zinc/62/65/86/756626586.db2.gz HKUXNQZDWCOHKJ-IAGOWNOFSA-N 1 2 309.369 1.297 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2cccc(F)c2)[C@H](OC)C1 ZINC001082126736 756642144 /nfs/dbraw/zinc/64/21/44/756642144.db2.gz QHESEUSXVQAJLQ-HZPDHXFCSA-N 1 2 318.392 1.552 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2cccc(F)c2)[C@H](OC)C1 ZINC001082126736 756642148 /nfs/dbraw/zinc/64/21/48/756642148.db2.gz QHESEUSXVQAJLQ-HZPDHXFCSA-N 1 2 318.392 1.552 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C3CC3)nc2C)[C@H](OC)C1 ZINC001082147884 756653625 /nfs/dbraw/zinc/65/36/25/756653625.db2.gz XZYYEBMVLBHLIH-IAGOWNOFSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C3CC3)nc2C)[C@H](OC)C1 ZINC001082147884 756653629 /nfs/dbraw/zinc/65/36/29/756653629.db2.gz XZYYEBMVLBHLIH-IAGOWNOFSA-N 1 2 313.401 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CN2CCCCCC2=O)C1 ZINC001016203408 756829172 /nfs/dbraw/zinc/82/91/72/756829172.db2.gz SPPHGYUMOVXIRV-ZDUSSCGKSA-N 1 2 313.829 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CN2CCCCCC2=O)C1 ZINC001016203408 756829175 /nfs/dbraw/zinc/82/91/75/756829175.db2.gz SPPHGYUMOVXIRV-ZDUSSCGKSA-N 1 2 313.829 1.332 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001097244199 757015113 /nfs/dbraw/zinc/01/51/13/757015113.db2.gz XUYNVFJNZGPUBP-TXEJJXNPSA-N 1 2 318.425 1.517 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cnc(C4CC4)o3)[C@H]2C1 ZINC001083108369 757139919 /nfs/dbraw/zinc/13/99/19/757139919.db2.gz PAHKMZFGWHBYEI-GXTWGEPZSA-N 1 2 303.362 1.263 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cnc(C4CC4)o3)[C@H]2C1 ZINC001083108369 757139924 /nfs/dbraw/zinc/13/99/24/757139924.db2.gz PAHKMZFGWHBYEI-GXTWGEPZSA-N 1 2 303.362 1.263 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCCCC3(F)F)[C@H]2C1 ZINC001083153854 757162687 /nfs/dbraw/zinc/16/26/87/757162687.db2.gz SOWKDCKSOMPRNQ-MELADBBJSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCCCC3(F)F)[C@H]2C1 ZINC001083153854 757162691 /nfs/dbraw/zinc/16/26/91/757162691.db2.gz SOWKDCKSOMPRNQ-MELADBBJSA-N 1 2 312.360 1.357 20 30 DDEDLO CCc1nc(N2CCCC[C@H]2CNC(=O)[C@H](C)C#N)cc(C)[nH+]1 ZINC001097417296 757163513 /nfs/dbraw/zinc/16/35/13/757163513.db2.gz KHLOEHVLPNLPGG-OCCSQVGLSA-N 1 2 315.421 1.982 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCCC3)[C@@H](O)C1 ZINC001084026266 757231880 /nfs/dbraw/zinc/23/18/80/757231880.db2.gz PMEGIASQKCBDTP-OLZOCXBDSA-N 1 2 306.431 1.588 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCCC3)[C@@H](O)C1 ZINC001084026266 757231884 /nfs/dbraw/zinc/23/18/84/757231884.db2.gz PMEGIASQKCBDTP-OLZOCXBDSA-N 1 2 306.431 1.588 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001097715967 757370211 /nfs/dbraw/zinc/37/02/11/757370211.db2.gz NYUOFIPECNLVAL-LBPRGKRZSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001097715967 757370225 /nfs/dbraw/zinc/37/02/25/757370225.db2.gz NYUOFIPECNLVAL-LBPRGKRZSA-N 1 2 303.410 1.347 20 30 DDEDLO N#CCN1CCC2(C[C@@H]2NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001016823907 757384066 /nfs/dbraw/zinc/38/40/66/757384066.db2.gz PSBPHIQZXYNTBE-OCCSQVGLSA-N 1 2 313.405 1.324 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H]1CC12CCN(CC#N)CC2 ZINC001016836856 757395212 /nfs/dbraw/zinc/39/52/12/757395212.db2.gz OQEVFIVGJFDCIC-NFAWXSAZSA-N 1 2 313.405 1.018 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1cc(Cl)nc2[nH]cc(C#N)c21 ZINC001169652202 762573903 /nfs/dbraw/zinc/57/39/03/762573903.db2.gz FMQQEKPDVBFKBD-SNVBAGLBSA-N 1 2 305.769 1.830 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1cc(Cl)nc2[nH]cc(C#N)c21 ZINC001169652202 762573907 /nfs/dbraw/zinc/57/39/07/762573907.db2.gz FMQQEKPDVBFKBD-SNVBAGLBSA-N 1 2 305.769 1.830 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1cc(Br)cc(C#N)n1 ZINC001169660604 762584234 /nfs/dbraw/zinc/58/42/34/762584234.db2.gz ODLYWHXXAOMRIQ-LLVKDONJSA-N 1 2 311.183 1.458 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1cc(Br)cc(C#N)n1 ZINC001169660604 762584240 /nfs/dbraw/zinc/58/42/40/762584240.db2.gz ODLYWHXXAOMRIQ-LLVKDONJSA-N 1 2 311.183 1.458 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(F)cc1F ZINC001017571162 758040992 /nfs/dbraw/zinc/04/09/92/758040992.db2.gz OWBOGJUKJMYVTA-GASCZTMLSA-N 1 2 304.340 1.816 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(F)cc1F ZINC001017571162 758040998 /nfs/dbraw/zinc/04/09/98/758040998.db2.gz OWBOGJUKJMYVTA-GASCZTMLSA-N 1 2 304.340 1.816 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cc(C)ccn12 ZINC001017578223 758046306 /nfs/dbraw/zinc/04/63/06/758046306.db2.gz OGMDDRGPNGTAFW-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cc(C)ccn12 ZINC001017578223 758046313 /nfs/dbraw/zinc/04/63/13/758046313.db2.gz OGMDDRGPNGTAFW-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncnc2ccsc21 ZINC001017608788 758077363 /nfs/dbraw/zinc/07/73/63/758077363.db2.gz SZDHVZFPZBCKNL-TXEJJXNPSA-N 1 2 312.398 1.613 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncnc2ccsc21 ZINC001017608788 758077372 /nfs/dbraw/zinc/07/73/72/758077372.db2.gz SZDHVZFPZBCKNL-TXEJJXNPSA-N 1 2 312.398 1.613 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(-c2ccccc2)c1 ZINC001017717726 758177999 /nfs/dbraw/zinc/17/79/99/758177999.db2.gz RYNCLZHMLCKCTI-HDICACEKSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(-c2ccccc2)c1 ZINC001017717726 758178004 /nfs/dbraw/zinc/17/80/04/758178004.db2.gz RYNCLZHMLCKCTI-HDICACEKSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ncc2cc(C)cnc21 ZINC001017740123 758197823 /nfs/dbraw/zinc/19/78/23/758197823.db2.gz XXVPWVHKIHCZMW-IYBDPMFKSA-N 1 2 323.400 1.048 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ncc2cc(C)cnc21 ZINC001017740123 758197826 /nfs/dbraw/zinc/19/78/26/758197826.db2.gz XXVPWVHKIHCZMW-IYBDPMFKSA-N 1 2 323.400 1.048 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1Nc1ncccc1C#N ZINC001067208060 758251299 /nfs/dbraw/zinc/25/12/99/758251299.db2.gz QLYKXYJAMWOUAJ-RISCZKNCSA-N 1 2 310.361 1.178 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)c1ccnn1C)CCO2 ZINC001053259631 758316482 /nfs/dbraw/zinc/31/64/82/758316482.db2.gz GPGHGULLUYDZCV-CQSZACIVSA-N 1 2 318.421 1.013 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1OC[C@@H]3CCC[C@@H]31)CCO2 ZINC001053346130 758391280 /nfs/dbraw/zinc/39/12/80/758391280.db2.gz DNPJQDVSYABEQM-HRCADAONSA-N 1 2 320.433 1.291 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N2CC=CC2)cc1 ZINC001017934807 758405422 /nfs/dbraw/zinc/40/54/22/758405422.db2.gz MVWUUQOYSCGLSC-KDURUIRLSA-N 1 2 321.424 1.985 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N2CC=CC2)cc1 ZINC001017934807 758405426 /nfs/dbraw/zinc/40/54/26/758405426.db2.gz MVWUUQOYSCGLSC-KDURUIRLSA-N 1 2 321.424 1.985 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)C[C@H](C)O2 ZINC001018065318 758517736 /nfs/dbraw/zinc/51/77/36/758517736.db2.gz QDYUAUOOWHZCNN-RRQGHBQHSA-N 1 2 310.397 1.932 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)C[C@H](C)O2 ZINC001018065318 758517742 /nfs/dbraw/zinc/51/77/42/758517742.db2.gz QDYUAUOOWHZCNN-RRQGHBQHSA-N 1 2 310.397 1.932 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cccc(OC)c1)CCO2 ZINC001053517328 758524299 /nfs/dbraw/zinc/52/42/99/758524299.db2.gz OMOZYEJXKFKXSQ-UHFFFAOYSA-N 1 2 316.401 1.798 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)C(C)C)C2)CC1 ZINC001065686973 758682354 /nfs/dbraw/zinc/68/23/54/758682354.db2.gz VQGXXNFPTHHXEX-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C3CC3)C2)CC1 ZINC001065676962 758696781 /nfs/dbraw/zinc/69/67/81/758696781.db2.gz MEPSRFBHXWKISK-OAHLLOKOSA-N 1 2 305.422 1.108 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC(C)C)C2)CC1 ZINC001065683145 758701779 /nfs/dbraw/zinc/70/17/79/758701779.db2.gz UYGOVFKTVZSBPL-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1[nH]cnc1C)O2 ZINC001053705070 758713266 /nfs/dbraw/zinc/71/32/66/758713266.db2.gz VPQKEARWMYHIJU-CYBMUJFWSA-N 1 2 304.394 1.257 20 30 DDEDLO C[C@@H](Nc1ncccc1C#N)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065721233 758721093 /nfs/dbraw/zinc/72/10/93/758721093.db2.gz AWJNVZCUUPIQHL-UKRRQHHQSA-N 1 2 324.388 1.499 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c[nH]c2ncccc12 ZINC001018315660 758723616 /nfs/dbraw/zinc/72/36/16/758723616.db2.gz CJEZXDJJQKEIGJ-GASCZTMLSA-N 1 2 308.385 1.414 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c[nH]c2ncccc12 ZINC001018315660 758723627 /nfs/dbraw/zinc/72/36/27/758723627.db2.gz CJEZXDJJQKEIGJ-GASCZTMLSA-N 1 2 308.385 1.414 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065711181 758729264 /nfs/dbraw/zinc/72/92/64/758729264.db2.gz IYQHIKAWYGXOPO-HZPDHXFCSA-N 1 2 321.465 1.744 20 30 DDEDLO CCCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001065712406 758730865 /nfs/dbraw/zinc/73/08/65/758730865.db2.gz XKRPWIGCMWGFAD-GJZGRUSLSA-N 1 2 320.437 1.081 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(OCC)cn1 ZINC001018346036 758746149 /nfs/dbraw/zinc/74/61/49/758746149.db2.gz FCEVMYOTLCBLOS-OKILXGFUSA-N 1 2 314.389 1.187 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(OCC)cn1 ZINC001018346036 758746152 /nfs/dbraw/zinc/74/61/52/758746152.db2.gz FCEVMYOTLCBLOS-OKILXGFUSA-N 1 2 314.389 1.187 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2[nH]c(=O)oc21 ZINC001018351424 758750574 /nfs/dbraw/zinc/75/05/74/758750574.db2.gz GKFRDRZQERIQAI-TXEJJXNPSA-N 1 2 311.341 1.455 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2[nH]c(=O)oc21 ZINC001018351424 758750583 /nfs/dbraw/zinc/75/05/83/758750583.db2.gz GKFRDRZQERIQAI-TXEJJXNPSA-N 1 2 311.341 1.455 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C1CCOCC1 ZINC001018352743 758751596 /nfs/dbraw/zinc/75/15/96/758751596.db2.gz GAVNUYGETRTWQK-BHYGNILZSA-N 1 2 304.434 1.748 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C1CCOCC1 ZINC001018352743 758751599 /nfs/dbraw/zinc/75/15/99/758751599.db2.gz GAVNUYGETRTWQK-BHYGNILZSA-N 1 2 304.434 1.748 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc3occc3[nH]1)CO2 ZINC001053822164 758850919 /nfs/dbraw/zinc/85/09/19/758850919.db2.gz HRALYXYVTGZNFX-GFCCVEGCSA-N 1 2 315.373 1.910 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc3cc[nH]c3n1)CO2 ZINC001053841735 758872088 /nfs/dbraw/zinc/87/20/88/758872088.db2.gz PSWLRVRBHZXSIY-CQSZACIVSA-N 1 2 324.384 1.159 20 30 DDEDLO C=CCOc1ccc(C(=O)N[C@H]2COC3(C[NH+](CC)C3)C2)cc1 ZINC001053851309 758884534 /nfs/dbraw/zinc/88/45/34/758884534.db2.gz PPOKBKMANOINEF-OAHLLOKOSA-N 1 2 316.401 1.844 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc3[nH]ccc3n1)CO2 ZINC001053870249 758903822 /nfs/dbraw/zinc/90/38/22/758903822.db2.gz GMMSOJSQQAGCDM-ZDUSSCGKSA-N 1 2 324.384 1.159 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1CNc1ccc(C#N)cn1 ZINC001065911710 758904910 /nfs/dbraw/zinc/90/49/10/758904910.db2.gz WNUVLEAQMFOLKA-GXTWGEPZSA-N 1 2 324.388 1.425 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1occ3c1CCC3)CO2 ZINC001053883648 758918232 /nfs/dbraw/zinc/91/82/32/758918232.db2.gz XYVQGPQDJNNGGL-CQSZACIVSA-N 1 2 314.385 1.365 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2COC3(C[NH+](CCCF)C3)C2)cc1 ZINC001053889174 758923287 /nfs/dbraw/zinc/92/32/87/758923287.db2.gz CNNSIXFKOGZGAA-INIZCTEOSA-N 1 2 316.376 1.601 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc3n[nH]cc3c1)CO2 ZINC001053908347 758942581 /nfs/dbraw/zinc/94/25/81/758942581.db2.gz QNWYOZASHAHADE-OAHLLOKOSA-N 1 2 324.384 1.159 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1[nH]c(C)nc1C)CO2 ZINC001053929207 758965820 /nfs/dbraw/zinc/96/58/20/758965820.db2.gz UEQYQNBOXRMRSY-CYBMUJFWSA-N 1 2 304.394 1.176 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)c1cccnc1)CO2 ZINC001053985798 759027502 /nfs/dbraw/zinc/02/75/02/759027502.db2.gz ZNSBGTBUKJPXKW-HOCLYGCPSA-N 1 2 315.417 1.721 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)nsc1C)CO2 ZINC001054018797 759067790 /nfs/dbraw/zinc/06/77/90/759067790.db2.gz IBBOKRQVCHYIMN-ZDUSSCGKSA-N 1 2 321.446 1.909 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccon1 ZINC001054034604 759090572 /nfs/dbraw/zinc/09/05/72/759090572.db2.gz TZZSJQQYZHRLNR-MRXNPFEDSA-N 1 2 309.369 1.855 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccon1 ZINC001054034604 759090578 /nfs/dbraw/zinc/09/05/78/759090578.db2.gz TZZSJQQYZHRLNR-MRXNPFEDSA-N 1 2 309.369 1.855 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cocn1 ZINC001054035913 759092431 /nfs/dbraw/zinc/09/24/31/759092431.db2.gz XQHRACSFRKIGTD-INIZCTEOSA-N 1 2 309.369 1.855 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cocn1 ZINC001054035913 759092436 /nfs/dbraw/zinc/09/24/36/759092436.db2.gz XQHRACSFRKIGTD-INIZCTEOSA-N 1 2 309.369 1.855 20 30 DDEDLO N#CCN1CC[C@]2(C1)CCCN(C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C2 ZINC001054147410 759226268 /nfs/dbraw/zinc/22/62/68/759226268.db2.gz QOFJKEGZWVPZMR-CPUCHLNUSA-N 1 2 313.405 1.351 20 30 DDEDLO N#CCN1CC[C@]2(C1)CCCN(C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C2 ZINC001054147410 759226275 /nfs/dbraw/zinc/22/62/75/759226275.db2.gz QOFJKEGZWVPZMR-CPUCHLNUSA-N 1 2 313.405 1.351 20 30 DDEDLO N#CCSCC(=O)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001154814757 759314256 /nfs/dbraw/zinc/31/42/56/759314256.db2.gz OLYZHJWAJJVYMO-UHFFFAOYSA-N 1 2 323.422 1.190 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@]2(CCN(CC#N)C2)C1 ZINC001054233721 759325855 /nfs/dbraw/zinc/32/58/55/759325855.db2.gz QUCAKDVAOWKORT-INIZCTEOSA-N 1 2 301.394 1.099 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccnc(OC)n3)cc2C1 ZINC001054273437 759379417 /nfs/dbraw/zinc/37/94/17/759379417.db2.gz KORKKHKGHVNBHC-UHFFFAOYSA-N 1 2 322.368 1.364 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccnc(OC)n3)cc2C1 ZINC001054273437 759379426 /nfs/dbraw/zinc/37/94/26/759379426.db2.gz KORKKHKGHVNBHC-UHFFFAOYSA-N 1 2 322.368 1.364 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n[nH]1 ZINC001085502370 759561973 /nfs/dbraw/zinc/56/19/73/759561973.db2.gz WZIYAJRGVLVYRI-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)n[nH]1 ZINC001085502370 759561983 /nfs/dbraw/zinc/56/19/83/759561983.db2.gz WZIYAJRGVLVYRI-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1ncoc1C[N@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556451 759701194 /nfs/dbraw/zinc/70/11/94/759701194.db2.gz QQJLONULHJECQT-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556451 759701200 /nfs/dbraw/zinc/70/12/00/759701200.db2.gz QQJLONULHJECQT-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001019332715 759812777 /nfs/dbraw/zinc/81/27/77/759812777.db2.gz WILBPAOBCYWNAH-LRDDRELGSA-N 1 2 321.425 1.428 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)nc2ccnn2c1C ZINC001085679939 759991702 /nfs/dbraw/zinc/99/17/02/759991702.db2.gz UQZDKFQBIPOXAP-AWEZNQCLSA-N 1 2 311.389 1.126 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)nc2ccnn2c1C ZINC001085679939 759991704 /nfs/dbraw/zinc/99/17/04/759991704.db2.gz UQZDKFQBIPOXAP-AWEZNQCLSA-N 1 2 311.389 1.126 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001069213764 767918864 /nfs/dbraw/zinc/91/88/64/767918864.db2.gz DOWVNEBTYXBOKV-IAQYHMDHSA-N 1 2 310.361 1.320 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C(F)(F)F)nc1 ZINC001085751104 760167859 /nfs/dbraw/zinc/16/78/59/760167859.db2.gz XSFKJUOHTAPYOM-GFCCVEGCSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C(F)(F)F)nc1 ZINC001085751104 760167860 /nfs/dbraw/zinc/16/78/60/760167860.db2.gz XSFKJUOHTAPYOM-GFCCVEGCSA-N 1 2 311.307 1.880 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CCN2Cc2c[nH+]cn2C)cc1 ZINC001085756815 760176868 /nfs/dbraw/zinc/17/68/68/760176868.db2.gz RARXLMQLSNTZRL-QGZVFWFLSA-N 1 2 322.412 1.748 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]([NH2+]Cc2nc(C)no2)[C@@H](C)C1 ZINC001054970348 760243701 /nfs/dbraw/zinc/24/37/01/760243701.db2.gz BNDQIUCPQBXAKT-AAEUAGOBSA-N 1 2 306.410 1.917 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(-c2ncon2)c1 ZINC001085816125 760284434 /nfs/dbraw/zinc/28/44/34/760284434.db2.gz NTUXLFPLWDFYPF-OAHLLOKOSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(-c2ncon2)c1 ZINC001085816125 760284440 /nfs/dbraw/zinc/28/44/40/760284440.db2.gz NTUXLFPLWDFYPF-OAHLLOKOSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]nc(C)c1C(F)(F)F ZINC001085816264 760293215 /nfs/dbraw/zinc/29/32/15/760293215.db2.gz RKYGQNBQOJCDOE-JTQLQIEISA-N 1 2 314.311 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]nc(C)c1C(F)(F)F ZINC001085816264 760293217 /nfs/dbraw/zinc/29/32/17/760293217.db2.gz RKYGQNBQOJCDOE-JTQLQIEISA-N 1 2 314.311 1.516 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)Nc1nc(C)ccc1C#N ZINC001097975668 760327712 /nfs/dbraw/zinc/32/77/12/760327712.db2.gz YUIQUKJTGOEVLX-LLVKDONJSA-N 1 2 312.377 1.453 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C(N)=O)s1 ZINC001085872154 760422774 /nfs/dbraw/zinc/42/27/74/760422774.db2.gz PTUQBWBEZHXMFG-LLVKDONJSA-N 1 2 305.403 1.017 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C(N)=O)s1 ZINC001085872154 760422776 /nfs/dbraw/zinc/42/27/76/760422776.db2.gz PTUQBWBEZHXMFG-LLVKDONJSA-N 1 2 305.403 1.017 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(C(F)(F)F)n1 ZINC001085886704 760471220 /nfs/dbraw/zinc/47/12/20/760471220.db2.gz HPWDBOPHACNGOH-LLVKDONJSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(C(F)(F)F)n1 ZINC001085886704 760471224 /nfs/dbraw/zinc/47/12/24/760471224.db2.gz HPWDBOPHACNGOH-LLVKDONJSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(OC(C)C)n1 ZINC001085898951 760488635 /nfs/dbraw/zinc/48/86/35/760488635.db2.gz QMXIBCAWTGWIRD-AWEZNQCLSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(OC(C)C)n1 ZINC001085898951 760488641 /nfs/dbraw/zinc/48/86/41/760488641.db2.gz QMXIBCAWTGWIRD-AWEZNQCLSA-N 1 2 301.390 1.648 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2conc2C)nc1 ZINC001085929855 760557812 /nfs/dbraw/zinc/55/78/12/760557812.db2.gz IEHAZEWGLABVGN-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2conc2C)nc1 ZINC001085929855 760557816 /nfs/dbraw/zinc/55/78/16/760557816.db2.gz IEHAZEWGLABVGN-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO Cc1ccc(C#N)c(N2CCN(C(=O)CCc3c[nH]c[nH+]3)CC2)n1 ZINC001056059936 760939880 /nfs/dbraw/zinc/93/98/80/760939880.db2.gz HUKSZZTYTKZXLJ-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO Cc1ccc(C#N)c(N2CCN(C(=O)CCc3c[nH+]c[nH]3)CC2)n1 ZINC001056059936 760939885 /nfs/dbraw/zinc/93/98/85/760939885.db2.gz HUKSZZTYTKZXLJ-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)c1cnc[nH]c1=O ZINC001038383321 761069652 /nfs/dbraw/zinc/06/96/52/761069652.db2.gz BAOUQUIAZSHWAX-HNNXBMFYSA-N 1 2 322.368 1.038 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)c1cnc[nH]c1=O ZINC001038383321 761069662 /nfs/dbraw/zinc/06/96/62/761069662.db2.gz BAOUQUIAZSHWAX-HNNXBMFYSA-N 1 2 322.368 1.038 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@@H]1CCN2C(=O)Cn1cc[nH+]c1 ZINC001056298330 761101678 /nfs/dbraw/zinc/10/16/78/761101678.db2.gz PXCBTVGFUZMCAE-GJZGRUSLSA-N 1 2 316.405 1.441 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2(CC(C)C)CC2)CC1 ZINC001131364145 768011120 /nfs/dbraw/zinc/01/11/20/768011120.db2.gz FYXAYZOKNKQVFR-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2(CC(C)C)CC2)CC1 ZINC001131364145 768011127 /nfs/dbraw/zinc/01/11/27/768011127.db2.gz FYXAYZOKNKQVFR-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cnoc2C)cc1 ZINC001038590665 761220004 /nfs/dbraw/zinc/22/00/04/761220004.db2.gz ZDVNJBZWXGWXMP-QGZVFWFLSA-N 1 2 309.369 1.969 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cnoc2C)cc1 ZINC001038590665 761220008 /nfs/dbraw/zinc/22/00/08/761220008.db2.gz ZDVNJBZWXGWXMP-QGZVFWFLSA-N 1 2 309.369 1.969 20 30 DDEDLO Cc1ncc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n1C ZINC001038631716 761241280 /nfs/dbraw/zinc/24/12/80/761241280.db2.gz BDLLWSPDGREFHQ-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1ncc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)n1C ZINC001038631716 761241283 /nfs/dbraw/zinc/24/12/83/761241283.db2.gz BDLLWSPDGREFHQ-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1nocc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038667948 761268089 /nfs/dbraw/zinc/26/80/89/761268089.db2.gz XKVRPEYYFFRGMB-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1nocc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038667948 761268094 /nfs/dbraw/zinc/26/80/94/761268094.db2.gz XKVRPEYYFFRGMB-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ncccc1OCCC ZINC001038669179 761269564 /nfs/dbraw/zinc/26/95/64/761269564.db2.gz GDLIVVFHRPAJLQ-CQSZACIVSA-N 1 2 301.390 1.698 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ncccc1OCCC ZINC001038669179 761269567 /nfs/dbraw/zinc/26/95/67/761269567.db2.gz GDLIVVFHRPAJLQ-CQSZACIVSA-N 1 2 301.390 1.698 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001038714505 761318939 /nfs/dbraw/zinc/31/89/39/761318939.db2.gz QLZRSROOUCTQEM-CFVMTHIKSA-N 1 2 305.378 1.265 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001038714505 761318950 /nfs/dbraw/zinc/31/89/50/761318950.db2.gz QLZRSROOUCTQEM-CFVMTHIKSA-N 1 2 305.378 1.265 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1c[nH]nc1-c1ccsc1 ZINC001038868662 761490924 /nfs/dbraw/zinc/49/09/24/761490924.db2.gz SVFXBHSYENGZKN-LBPRGKRZSA-N 1 2 300.387 1.576 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1c[nH]nc1-c1ccsc1 ZINC001038868662 761490927 /nfs/dbraw/zinc/49/09/27/761490927.db2.gz SVFXBHSYENGZKN-LBPRGKRZSA-N 1 2 300.387 1.576 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnc(C(F)(F)F)c1 ZINC001038879222 761504200 /nfs/dbraw/zinc/50/42/00/761504200.db2.gz JTADUXXURMWEEZ-LBPRGKRZSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnc(C(F)(F)F)c1 ZINC001038879222 761504203 /nfs/dbraw/zinc/50/42/03/761504203.db2.gz JTADUXXURMWEEZ-LBPRGKRZSA-N 1 2 311.307 1.928 20 30 DDEDLO CCn1cnc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)c1 ZINC001038920774 761546009 /nfs/dbraw/zinc/54/60/09/761546009.db2.gz GSAZYRWVXRFSJP-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1cnc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)c1 ZINC001038920774 761546010 /nfs/dbraw/zinc/54/60/10/761546010.db2.gz GSAZYRWVXRFSJP-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCCO[C@H]2C(=C)C)C1 ZINC001108271516 761560091 /nfs/dbraw/zinc/56/00/91/761560091.db2.gz YGNTVFFKZFTTEN-BQFCYCMXSA-N 1 2 322.449 1.751 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCCO[C@H]2C(=C)C)C1 ZINC001108271516 761560100 /nfs/dbraw/zinc/56/01/00/761560100.db2.gz YGNTVFFKZFTTEN-BQFCYCMXSA-N 1 2 322.449 1.751 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(F)ccc(OC)c1F ZINC001038933535 761563995 /nfs/dbraw/zinc/56/39/95/761563995.db2.gz KLCVFTAPOOMSCR-NSHDSACASA-N 1 2 308.328 1.801 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(F)ccc(OC)c1F ZINC001038933535 761564004 /nfs/dbraw/zinc/56/40/04/761564004.db2.gz KLCVFTAPOOMSCR-NSHDSACASA-N 1 2 308.328 1.801 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(F)c(F)c(F)c1F ZINC001038954296 761587892 /nfs/dbraw/zinc/58/78/92/761587892.db2.gz QSJYAPKERJAHBL-QMMMGPOBSA-N 1 2 300.255 1.680 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(F)c(F)c(F)c1F ZINC001038954296 761587895 /nfs/dbraw/zinc/58/78/95/761587895.db2.gz QSJYAPKERJAHBL-QMMMGPOBSA-N 1 2 300.255 1.680 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(NC(N)=O)cc1 ZINC001038997261 761628333 /nfs/dbraw/zinc/62/83/33/761628333.db2.gz QDUZSRJBMJZLHV-CQSZACIVSA-N 1 2 300.362 1.005 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(NC(N)=O)cc1 ZINC001038997261 761628336 /nfs/dbraw/zinc/62/83/36/761628336.db2.gz QDUZSRJBMJZLHV-CQSZACIVSA-N 1 2 300.362 1.005 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001039065560 761704199 /nfs/dbraw/zinc/70/41/99/761704199.db2.gz QEJSQOYLWHABFS-CQSZACIVSA-N 1 2 309.373 1.299 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001039065560 761704205 /nfs/dbraw/zinc/70/42/05/761704205.db2.gz QEJSQOYLWHABFS-CQSZACIVSA-N 1 2 309.373 1.299 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2snnc2C)CC1 ZINC001000428307 761733087 /nfs/dbraw/zinc/73/30/87/761733087.db2.gz DQKBEPDNILDCMT-UHFFFAOYSA-N 1 2 312.826 1.961 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2snnc2C)CC1 ZINC001000428307 761733094 /nfs/dbraw/zinc/73/30/94/761733094.db2.gz DQKBEPDNILDCMT-UHFFFAOYSA-N 1 2 312.826 1.961 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cnn(C)c2C)CC1 ZINC001000446053 761748822 /nfs/dbraw/zinc/74/88/22/761748822.db2.gz QZIJCZUXMUWSGH-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cnn(C)c2C)CC1 ZINC001000446053 761748825 /nfs/dbraw/zinc/74/88/25/761748825.db2.gz QZIJCZUXMUWSGH-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO N#CCN1CC[C@@H]([C@H]2CCCCN2C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001039211701 761843827 /nfs/dbraw/zinc/84/38/27/761843827.db2.gz UBXMNXPFNJWYTK-GDBMZVCRSA-N 1 2 315.421 1.569 20 30 DDEDLO N#CCN1CC[C@@H]([C@H]2CCCCN2C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001039211701 761843837 /nfs/dbraw/zinc/84/38/37/761843837.db2.gz UBXMNXPFNJWYTK-GDBMZVCRSA-N 1 2 315.421 1.569 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001108588471 762773122 /nfs/dbraw/zinc/77/31/22/762773122.db2.gz KHJCLTVIMKOSMZ-STQMWFEESA-N 1 2 324.388 1.329 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001041147629 762839849 /nfs/dbraw/zinc/83/98/49/762839849.db2.gz UECZZMHELZZELG-MLGOLLRUSA-N 1 2 317.393 1.216 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001041147629 762839857 /nfs/dbraw/zinc/83/98/57/762839857.db2.gz UECZZMHELZZELG-MLGOLLRUSA-N 1 2 317.393 1.216 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(CCN(C(=O)CCc3nc[nH]n3)C2)C1 ZINC001041183716 762863913 /nfs/dbraw/zinc/86/39/13/762863913.db2.gz ZQPSGDUQSAHBCT-HNNXBMFYSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(CCN(C(=O)CCc3nc[nH]n3)C2)C1 ZINC001041183716 762863918 /nfs/dbraw/zinc/86/39/18/762863918.db2.gz ZQPSGDUQSAHBCT-HNNXBMFYSA-N 1 2 323.828 1.414 20 30 DDEDLO Cc1nsc(N(C)C[C@@H](C)NC(=O)Cn2cc[nH+]c2)c1C#N ZINC001109015040 763231848 /nfs/dbraw/zinc/23/18/48/763231848.db2.gz SXMJUXBFVIOIDU-SNVBAGLBSA-N 1 2 318.406 1.161 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CCCC1 ZINC001109045820 763261561 /nfs/dbraw/zinc/26/15/61/763261561.db2.gz DCDJYHLJLQQAGE-PMPSAXMXSA-N 1 2 319.449 1.733 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CCCC1 ZINC001109045820 763261564 /nfs/dbraw/zinc/26/15/64/763261564.db2.gz DCDJYHLJLQQAGE-PMPSAXMXSA-N 1 2 319.449 1.733 20 30 DDEDLO CCC(=CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N)CC ZINC001109148476 763385185 /nfs/dbraw/zinc/38/51/85/763385185.db2.gz QDBOFLFKQUYBGC-ILXRZTDVSA-N 1 2 318.421 1.094 20 30 DDEDLO CCC(=CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N)CC ZINC001109148476 763385194 /nfs/dbraw/zinc/38/51/94/763385194.db2.gz QDBOFLFKQUYBGC-ILXRZTDVSA-N 1 2 318.421 1.094 20 30 DDEDLO N#Cc1cccnc1NC1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001057341779 763476747 /nfs/dbraw/zinc/47/67/47/763476747.db2.gz QYULBDTZCORLIZ-UHFFFAOYSA-N 1 2 310.361 1.253 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CN(c2ccc(C#N)cn2)C[C@H]1C ZINC001042114026 763667163 /nfs/dbraw/zinc/66/71/63/763667163.db2.gz UHXBOWQXRKFMJK-IAQYHMDHSA-N 1 2 324.388 1.168 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@H](NC(=O)Cc3[nH]cc[nH+]3)[C@H]2C)n1 ZINC001050521215 763702079 /nfs/dbraw/zinc/70/20/79/763702079.db2.gz YUPDVSFITYSSDJ-OCCSQVGLSA-N 1 2 324.388 1.311 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3[C@@H](C)C(N)=O)CCC1 ZINC001109680466 763940075 /nfs/dbraw/zinc/94/00/75/763940075.db2.gz KTOFPSNJSVCTKB-CRWXNKLISA-N 1 2 305.422 1.328 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3[C@@H](C)C(N)=O)CCC1 ZINC001109680466 763940084 /nfs/dbraw/zinc/94/00/84/763940084.db2.gz KTOFPSNJSVCTKB-CRWXNKLISA-N 1 2 305.422 1.328 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CC13CCC3)C2 ZINC001109764841 764009734 /nfs/dbraw/zinc/00/97/34/764009734.db2.gz MHFHOGIPJSIIOY-KBXIAJHMSA-N 1 2 317.433 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CC13CCC3)C2 ZINC001109764841 764009747 /nfs/dbraw/zinc/00/97/47/764009747.db2.gz MHFHOGIPJSIIOY-KBXIAJHMSA-N 1 2 317.433 1.200 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cncs3)c2C1 ZINC001069854360 768184308 /nfs/dbraw/zinc/18/43/08/768184308.db2.gz FQETZZJSBQKGDS-UHFFFAOYSA-N 1 2 317.418 1.661 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cncs3)c2C1 ZINC001069854360 768184311 /nfs/dbraw/zinc/18/43/11/768184311.db2.gz FQETZZJSBQKGDS-UHFFFAOYSA-N 1 2 317.418 1.661 20 30 DDEDLO CCC(CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109892639 764172437 /nfs/dbraw/zinc/17/24/37/764172437.db2.gz AVMJPJMLMNRVQE-ILXRZTDVSA-N 1 2 320.437 1.174 20 30 DDEDLO CCC(CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109892639 764172444 /nfs/dbraw/zinc/17/24/44/764172444.db2.gz AVMJPJMLMNRVQE-ILXRZTDVSA-N 1 2 320.437 1.174 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnccc1C ZINC001050855987 764189626 /nfs/dbraw/zinc/18/96/26/764189626.db2.gz AXEAWIXEJDXMEB-OAHLLOKOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnccc1C ZINC001050855987 764189633 /nfs/dbraw/zinc/18/96/33/764189633.db2.gz AXEAWIXEJDXMEB-OAHLLOKOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)nnn1CC ZINC001050957851 764349903 /nfs/dbraw/zinc/34/99/03/764349903.db2.gz MJJHOVZPNJEHPF-CQSZACIVSA-N 1 2 321.425 1.003 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)nnn1CC ZINC001050957851 764349905 /nfs/dbraw/zinc/34/99/05/764349905.db2.gz MJJHOVZPNJEHPF-CQSZACIVSA-N 1 2 321.425 1.003 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncc(C)cc1OC ZINC001050958803 764352366 /nfs/dbraw/zinc/35/23/66/764352366.db2.gz WDNVOYACDXJTLU-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncc(C)cc1OC ZINC001050958803 764352370 /nfs/dbraw/zinc/35/23/70/764352370.db2.gz WDNVOYACDXJTLU-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1nc(C)sc1C ZINC001050979514 764376962 /nfs/dbraw/zinc/37/69/62/764376962.db2.gz WIOYUAWKAHEAOF-CYBMUJFWSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1nc(C)sc1C ZINC001050979514 764376968 /nfs/dbraw/zinc/37/69/68/764376968.db2.gz WIOYUAWKAHEAOF-CYBMUJFWSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc2cccn2cn1 ZINC001050984594 764384141 /nfs/dbraw/zinc/38/41/41/764384141.db2.gz ZKZYYPQNCVPHKU-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc2cccn2cn1 ZINC001050984594 764384148 /nfs/dbraw/zinc/38/41/48/764384148.db2.gz ZKZYYPQNCVPHKU-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccnn2CC2CCC2)C1 ZINC001042727247 764395952 /nfs/dbraw/zinc/39/59/52/764395952.db2.gz JMCPYEYCWHFIEC-UHFFFAOYSA-N 1 2 300.406 1.463 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc(COC)c1 ZINC001051007462 764410385 /nfs/dbraw/zinc/41/03/85/764410385.db2.gz JTAUFQXPVLCFNT-QGZVFWFLSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc(COC)c1 ZINC001051007462 764410390 /nfs/dbraw/zinc/41/03/90/764410390.db2.gz JTAUFQXPVLCFNT-QGZVFWFLSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1nccc2occc21 ZINC001051032826 764439217 /nfs/dbraw/zinc/43/92/17/764439217.db2.gz AFWOVFVYGHDFNS-CYBMUJFWSA-N 1 2 315.373 1.835 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1nccc2occc21 ZINC001051032826 764439221 /nfs/dbraw/zinc/43/92/21/764439221.db2.gz AFWOVFVYGHDFNS-CYBMUJFWSA-N 1 2 315.373 1.835 20 30 DDEDLO C=CCCC(=O)NC1(CO)CCN(c2cc[nH+]c(C)n2)CC1 ZINC001112651677 764526542 /nfs/dbraw/zinc/52/65/42/764526542.db2.gz VTVICTLKGILDGD-UHFFFAOYSA-N 1 2 304.394 1.199 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(CCC)c1 ZINC001051143892 764548049 /nfs/dbraw/zinc/54/80/49/764548049.db2.gz LYODILFHZYDQOS-MRXNPFEDSA-N 1 2 320.437 1.690 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(CCC)c1 ZINC001051143892 764548052 /nfs/dbraw/zinc/54/80/52/764548052.db2.gz LYODILFHZYDQOS-MRXNPFEDSA-N 1 2 320.437 1.690 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCCO[C@H]2c2nccn2C)C1 ZINC001042972398 764561027 /nfs/dbraw/zinc/56/10/27/764561027.db2.gz XNSYGAZPCLGHBG-HUUCEWRRSA-N 1 2 318.421 1.216 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nn(CC)c1C ZINC001051169326 764575084 /nfs/dbraw/zinc/57/50/84/764575084.db2.gz WRCSESQKPLFIDK-HNNXBMFYSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nn(CC)c1C ZINC001051169326 764575090 /nfs/dbraw/zinc/57/50/90/764575090.db2.gz WRCSESQKPLFIDK-HNNXBMFYSA-N 1 2 320.437 1.527 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnc(C(F)(F)F)nc2)C1 ZINC001043021904 764592015 /nfs/dbraw/zinc/59/20/15/764592015.db2.gz IHBVTALWCSANNU-UHFFFAOYSA-N 1 2 314.311 1.828 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc2c([nH]1)CCCC2 ZINC001051198888 764608137 /nfs/dbraw/zinc/60/81/37/764608137.db2.gz ZYPKKAYIHOMZMN-OAHLLOKOSA-N 1 2 317.433 1.900 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc2c([nH]1)CCCC2 ZINC001051198888 764608140 /nfs/dbraw/zinc/60/81/40/764608140.db2.gz ZYPKKAYIHOMZMN-OAHLLOKOSA-N 1 2 317.433 1.900 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2ccc(C)nc2C)CC1 ZINC001112843012 764867177 /nfs/dbraw/zinc/86/71/77/764867177.db2.gz KANXKCGOUXFMCT-UHFFFAOYSA-N 1 2 315.417 1.383 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccnc3[nH]c(CC)nc32)C1 ZINC001043445969 764909981 /nfs/dbraw/zinc/90/99/81/764909981.db2.gz TVWNBYRDHGIGAP-UHFFFAOYSA-N 1 2 311.389 1.300 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@H]3CCCCO3)C2)cc1 ZINC001043505667 764949031 /nfs/dbraw/zinc/94/90/31/764949031.db2.gz AKUWJBLAUKDQOA-GOSISDBHSA-N 1 2 312.413 1.993 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[NH+](CCOCC2CC2)CC1 ZINC001112970098 765063074 /nfs/dbraw/zinc/06/30/74/765063074.db2.gz RXJHQIQZDLRJHP-UHFFFAOYSA-N 1 2 317.433 1.859 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCO[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001051752778 765125512 /nfs/dbraw/zinc/12/55/12/765125512.db2.gz PSCSMGFYDVHTDU-CYBMUJFWSA-N 1 2 324.450 1.457 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2oc(CC(C)C)nc2C)C1 ZINC001044025402 765241225 /nfs/dbraw/zinc/24/12/25/765241225.db2.gz RBERLOHQJYYRPE-UHFFFAOYSA-N 1 2 303.406 1.961 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131716037 768281442 /nfs/dbraw/zinc/28/14/42/768281442.db2.gz BNEJNXLKLKXCRA-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131716037 768281446 /nfs/dbraw/zinc/28/14/46/768281446.db2.gz BNEJNXLKLKXCRA-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cncc(C(F)(F)F)c2)C1 ZINC001044136592 765334834 /nfs/dbraw/zinc/33/48/34/765334834.db2.gz IAINTHHCOSSKKA-UHFFFAOYSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)CC3CCCC3)C2)CC1 ZINC001051976069 765337355 /nfs/dbraw/zinc/33/73/55/765337355.db2.gz ZAVYUTBGTOQAKY-SFHVURJKSA-N 1 2 317.477 1.809 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3CC=CCC3)C2)CC1 ZINC001051981461 765345189 /nfs/dbraw/zinc/34/51/89/765345189.db2.gz PZOPGUUIGZLIMM-QZTJIDSGSA-N 1 2 315.461 1.585 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)CCC3CC3)C2)CC1 ZINC001052000073 765366325 /nfs/dbraw/zinc/36/63/25/765366325.db2.gz KZXZIFKLWIHIAJ-QGZVFWFLSA-N 1 2 305.466 1.971 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C[C@H]3CCOC3)C2)CC1 ZINC001052003381 765369201 /nfs/dbraw/zinc/36/92/01/765369201.db2.gz SQXNDRRJDXOKTF-SJORKVTESA-N 1 2 321.465 1.208 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)c3[nH]ccc3C)C2)CC1 ZINC001052022209 765396106 /nfs/dbraw/zinc/39/61/06/765396106.db2.gz JSMKSMZKADDUHR-INIZCTEOSA-N 1 2 314.433 1.179 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cccnc3C)C2)CC1 ZINC001052073986 765444916 /nfs/dbraw/zinc/44/49/16/765444916.db2.gz RASWMJBPZOXSKC-INIZCTEOSA-N 1 2 314.433 1.408 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3C3CC3)C2)CC1 ZINC001052096763 765468359 /nfs/dbraw/zinc/46/83/59/765468359.db2.gz ORGXLUHQQHGRIX-FGTMMUONSA-N 1 2 317.477 1.827 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(N(CC)CC)nc2)C1 ZINC001044363209 765510014 /nfs/dbraw/zinc/51/00/14/765510014.db2.gz IUMQUUCVRKCWKE-UHFFFAOYSA-N 1 2 314.433 1.707 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H](C)c2c(C)nn(C)c2C)C1 ZINC001044369548 765514929 /nfs/dbraw/zinc/51/49/29/765514929.db2.gz OBCMFAMSVPVQGU-LBPRGKRZSA-N 1 2 304.438 1.859 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1ccc(C#N)nn1 ZINC001113352627 765618424 /nfs/dbraw/zinc/61/84/24/765618424.db2.gz VUQZPLMSZONQHE-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1ccc(C#N)nn1 ZINC001113352627 765618431 /nfs/dbraw/zinc/61/84/31/765618431.db2.gz VUQZPLMSZONQHE-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ncccc2Cl)CC1 ZINC001113561620 765866495 /nfs/dbraw/zinc/86/64/95/765866495.db2.gz KADXDGWBSCVERW-UHFFFAOYSA-N 1 2 309.797 1.695 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@@H]2CCCN2C(=O)[C@H](C)C#N)n1 ZINC001044963239 765973866 /nfs/dbraw/zinc/97/38/66/765973866.db2.gz YWQTZZGRMMUAQB-NEPJUHHUSA-N 1 2 305.382 1.433 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001058189249 766296583 /nfs/dbraw/zinc/29/65/83/766296583.db2.gz AHRRGJUFKHKRCX-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)s3)C[C@H]21 ZINC001114025252 766572490 /nfs/dbraw/zinc/57/24/90/766572490.db2.gz JOMROKHHTYMGER-PQFRYHKHSA-N 1 2 306.435 1.920 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)s3)C[C@H]21 ZINC001114025252 766572496 /nfs/dbraw/zinc/57/24/96/766572496.db2.gz JOMROKHHTYMGER-PQFRYHKHSA-N 1 2 306.435 1.920 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccn(C(C)C)n3)C[C@H]21 ZINC001114051061 766584622 /nfs/dbraw/zinc/58/46/22/766584622.db2.gz NVJADQUUWYXZAW-QLPKVWCKSA-N 1 2 318.421 1.213 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccn(C(C)C)n3)C[C@H]21 ZINC001114051061 766584627 /nfs/dbraw/zinc/58/46/27/766584627.db2.gz NVJADQUUWYXZAW-QLPKVWCKSA-N 1 2 318.421 1.213 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067717015 766648205 /nfs/dbraw/zinc/64/82/05/766648205.db2.gz ZSENKFIYPSBYCU-OAHLLOKOSA-N 1 2 320.437 1.792 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001114194115 766754894 /nfs/dbraw/zinc/75/48/94/766754894.db2.gz LNMRNGSEIZARLD-OSRSDYAFSA-N 1 2 319.405 1.111 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001114194115 766754900 /nfs/dbraw/zinc/75/49/00/766754900.db2.gz LNMRNGSEIZARLD-OSRSDYAFSA-N 1 2 319.405 1.111 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](CC(=C)Cl)C[C@H]2O)cc1 ZINC001090483879 767464354 /nfs/dbraw/zinc/46/43/54/767464354.db2.gz UYCRVHBKUWQWHD-JKSUJKDBSA-N 1 2 318.804 1.585 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](CC(=C)Cl)C[C@H]2O)cc1 ZINC001090483879 767464357 /nfs/dbraw/zinc/46/43/57/767464357.db2.gz UYCRVHBKUWQWHD-JKSUJKDBSA-N 1 2 318.804 1.585 20 30 DDEDLO C#CC[N@H+]1CC[C@@](C)(NC(=O)c2nc3cccnc3s2)C1 ZINC001046377701 767488038 /nfs/dbraw/zinc/48/80/38/767488038.db2.gz DAGSTMICQOCTPJ-OAHLLOKOSA-N 1 2 300.387 1.519 20 30 DDEDLO C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2nc3cccnc3s2)C1 ZINC001046377701 767488041 /nfs/dbraw/zinc/48/80/41/767488041.db2.gz DAGSTMICQOCTPJ-OAHLLOKOSA-N 1 2 300.387 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnn3ccncc23)C1 ZINC001046378937 767489167 /nfs/dbraw/zinc/48/91/67/767489167.db2.gz UGLPCRGFWNAVNJ-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnn3ccncc23)C1 ZINC001046378937 767489170 /nfs/dbraw/zinc/48/91/70/767489170.db2.gz UGLPCRGFWNAVNJ-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)[C@H]2C)ccc1C#N ZINC001068866129 767669584 /nfs/dbraw/zinc/66/95/84/767669584.db2.gz YYTSXOXFIYWADS-DZGCQCFKSA-N 1 2 324.388 1.560 20 30 DDEDLO C[C@H]1[C@H](Nc2ccncc2C#N)CCN1C(=O)CCn1cc[nH+]c1 ZINC001068929212 767705637 /nfs/dbraw/zinc/70/56/37/767705637.db2.gz ZGZGICPRIUTLSM-DZGCQCFKSA-N 1 2 324.388 1.063 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](C)N(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001069073190 767821734 /nfs/dbraw/zinc/82/17/34/767821734.db2.gz HZLGLTLEISPXHO-MFKMUULPSA-N 1 2 310.361 1.700 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096177605 768381311 /nfs/dbraw/zinc/38/13/11/768381311.db2.gz UZFVKEVIKYZKLN-CJNGLKHVSA-N 1 2 304.394 1.197 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CN(C)C(C)=O)CC[C@H]1C ZINC001131830804 768410932 /nfs/dbraw/zinc/41/09/32/768410932.db2.gz VEVGXXPKDXPULC-YPMHNXCESA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CN(C)C(C)=O)CC[C@H]1C ZINC001131830804 768410935 /nfs/dbraw/zinc/41/09/35/768410935.db2.gz VEVGXXPKDXPULC-YPMHNXCESA-N 1 2 301.818 1.186 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+][C@@H](C)c1nnc(C)o1 ZINC001131870783 768431995 /nfs/dbraw/zinc/43/19/95/768431995.db2.gz ZSCXRSOVPKYYDP-NHYWBVRUSA-N 1 2 310.398 1.374 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2nc(C)oc2C)C1 ZINC001131945757 768503011 /nfs/dbraw/zinc/50/30/11/768503011.db2.gz ADRIRIYSLDTYOB-DOMZBBRYSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2nc(C)oc2C)C1 ZINC001131945757 768503013 /nfs/dbraw/zinc/50/30/13/768503013.db2.gz ADRIRIYSLDTYOB-DOMZBBRYSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2ccn(CC)n2)C1 ZINC001131947116 768506141 /nfs/dbraw/zinc/50/61/41/768506141.db2.gz PUAYRWJHIQUECC-LSDHHAIUSA-N 1 2 320.437 1.575 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2ccn(CC)n2)C1 ZINC001131947116 768506145 /nfs/dbraw/zinc/50/61/45/768506145.db2.gz PUAYRWJHIQUECC-LSDHHAIUSA-N 1 2 320.437 1.575 20 30 DDEDLO CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC(C)(C)C)CC[C@H]1C ZINC001132033545 768579038 /nfs/dbraw/zinc/57/90/38/768579038.db2.gz HVUBUHPGHHBCOB-ZIAGYGMSSA-N 1 2 307.438 1.141 20 30 DDEDLO CCNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC(C)(C)C)CC[C@H]1C ZINC001132033545 768579044 /nfs/dbraw/zinc/57/90/44/768579044.db2.gz HVUBUHPGHHBCOB-ZIAGYGMSSA-N 1 2 307.438 1.141 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001096219344 768592565 /nfs/dbraw/zinc/59/25/65/768592565.db2.gz XPWNXSPKPBLLEB-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)CC(C)(C)C)C[C@H]1NCC#N ZINC001070622471 768626072 /nfs/dbraw/zinc/62/60/72/768626072.db2.gz JNFWMUPEHJUWEN-CHWSQXEVSA-N 1 2 303.410 1.264 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)c2ccco2)CC1 ZINC001070929232 768788634 /nfs/dbraw/zinc/78/86/34/768788634.db2.gz YCIRHKLFMGCXJE-UHFFFAOYSA-N 1 2 305.378 1.120 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)c2ccco2)CC1 ZINC001070929232 768788637 /nfs/dbraw/zinc/78/86/37/768788637.db2.gz YCIRHKLFMGCXJE-UHFFFAOYSA-N 1 2 305.378 1.120 20 30 DDEDLO CC(C)CC(=O)NCC[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC001096261917 768808478 /nfs/dbraw/zinc/80/84/78/768808478.db2.gz OSKLRPSENBDKJE-UHFFFAOYSA-N 1 2 315.421 1.238 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@]2(C)C=CCC2)CC1 ZINC001070988556 768843096 /nfs/dbraw/zinc/84/30/96/768843096.db2.gz SPQDBOQJTHUEGM-SFHVURJKSA-N 1 2 319.449 1.569 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@]2(C)C=CCC2)CC1 ZINC001070988556 768843107 /nfs/dbraw/zinc/84/31/07/768843107.db2.gz SPQDBOQJTHUEGM-SFHVURJKSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@@H]2C(C)C)CC1 ZINC001071011576 768875983 /nfs/dbraw/zinc/87/59/83/768875983.db2.gz LIZJWLSETCTAOC-HUUCEWRRSA-N 1 2 307.438 1.115 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@@H]2C(C)C)CC1 ZINC001071011576 768875993 /nfs/dbraw/zinc/87/59/93/768875993.db2.gz LIZJWLSETCTAOC-HUUCEWRRSA-N 1 2 307.438 1.115 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001071016648 768884913 /nfs/dbraw/zinc/88/49/13/768884913.db2.gz QWACUBAIFAOPNM-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001132660382 769071831 /nfs/dbraw/zinc/07/18/31/769071831.db2.gz MJMCDUVASXOXLX-GFCCVEGCSA-N 1 2 306.410 1.830 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001132660384 769072119 /nfs/dbraw/zinc/07/21/19/769072119.db2.gz MJMCDUVASXOXLX-LBPRGKRZSA-N 1 2 306.410 1.830 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001096337941 769257707 /nfs/dbraw/zinc/25/77/07/769257707.db2.gz GZLOCUVJFHINDG-GXTWGEPZSA-N 1 2 304.394 1.461 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2ccnn2C)CC[C@H]1C ZINC001071466904 769479899 /nfs/dbraw/zinc/47/98/99/769479899.db2.gz IPGCKNMMOWFWRW-OLZOCXBDSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2ccnn2C)CC[C@H]1C ZINC001071466904 769479902 /nfs/dbraw/zinc/47/99/02/769479902.db2.gz IPGCKNMMOWFWRW-OLZOCXBDSA-N 1 2 310.829 1.684 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@H]1CC[C@@H](C)N(CC#N)C1 ZINC001071606046 769703926 /nfs/dbraw/zinc/70/39/26/769703926.db2.gz FJPSWHAZKRWKKP-OCCSQVGLSA-N 1 2 302.378 1.125 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCC3)CC[C@@H]1C ZINC001071638374 769770904 /nfs/dbraw/zinc/77/09/04/769770904.db2.gz IPMDHMBTMGUTEG-STQMWFEESA-N 1 2 300.406 1.504 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCC3)CC[C@@H]1C ZINC001071638374 769770910 /nfs/dbraw/zinc/77/09/10/769770910.db2.gz IPMDHMBTMGUTEG-STQMWFEESA-N 1 2 300.406 1.504 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cn2ccccc2=O)CC[C@@H]1C ZINC001072061615 770501271 /nfs/dbraw/zinc/50/12/71/770501271.db2.gz GUQJAQZVPHRQBV-KBPBESRZSA-N 1 2 323.824 1.570 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cn2ccccc2=O)CC[C@@H]1C ZINC001072061615 770501279 /nfs/dbraw/zinc/50/12/79/770501279.db2.gz GUQJAQZVPHRQBV-KBPBESRZSA-N 1 2 323.824 1.570 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)CCc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001096498143 770509384 /nfs/dbraw/zinc/50/93/84/770509384.db2.gz ZLNAJHQUAYRPBF-OAHLLOKOSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)CCc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001096498143 770509387 /nfs/dbraw/zinc/50/93/87/770509387.db2.gz ZLNAJHQUAYRPBF-OAHLLOKOSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)COC ZINC001049260969 770617953 /nfs/dbraw/zinc/61/79/53/770617953.db2.gz QDKDKRMZYYAUDE-NEPJUHHUSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)COC ZINC001049260969 770617959 /nfs/dbraw/zinc/61/79/59/770617959.db2.gz QDKDKRMZYYAUDE-NEPJUHHUSA-N 1 2 317.227 1.607 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1nncn1C ZINC001049637346 771041697 /nfs/dbraw/zinc/04/16/97/771041697.db2.gz XOPBDQOQWXNWOI-UONOGXRCSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1nncn1C ZINC001049637346 771041701 /nfs/dbraw/zinc/04/17/01/771041701.db2.gz XOPBDQOQWXNWOI-UONOGXRCSA-N 1 2 317.437 1.593 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)CCc1cn[nH]n1 ZINC001049740314 771124325 /nfs/dbraw/zinc/12/43/25/771124325.db2.gz KGTOCJRITRFVCR-KGLIPLIRSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)CCc1cn[nH]n1 ZINC001049740314 771124327 /nfs/dbraw/zinc/12/43/27/771124327.db2.gz KGTOCJRITRFVCR-KGLIPLIRSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)CCc1c[nH]nn1 ZINC001049740314 771124328 /nfs/dbraw/zinc/12/43/28/771124328.db2.gz KGTOCJRITRFVCR-KGLIPLIRSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)CCc1c[nH]nn1 ZINC001049740314 771124330 /nfs/dbraw/zinc/12/43/30/771124330.db2.gz KGTOCJRITRFVCR-KGLIPLIRSA-N 1 2 323.828 1.555 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[NH2+]Cc2nccc(C)n2)cc1 ZINC001134950131 771251819 /nfs/dbraw/zinc/25/18/19/771251819.db2.gz DMOYGDFWNAVRNX-UHFFFAOYSA-N 1 2 324.384 1.317 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](Nc1ncccc1C#N)C1CC1 ZINC001096706844 771404621 /nfs/dbraw/zinc/40/46/21/771404621.db2.gz REAFJPWKNXAEPY-OAHLLOKOSA-N 1 2 324.388 1.534 20 30 DDEDLO N#Cc1cccnc1N[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001096838100 771478176 /nfs/dbraw/zinc/47/81/76/771478176.db2.gz XPCRAZKTQUAWNS-HNNXBMFYSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cccnc1N[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001096838100 771478180 /nfs/dbraw/zinc/47/81/80/771478180.db2.gz XPCRAZKTQUAWNS-HNNXBMFYSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001096869576 771490378 /nfs/dbraw/zinc/49/03/78/771490378.db2.gz GLOYNWXDBINXAY-HNNXBMFYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001096922664 771515800 /nfs/dbraw/zinc/51/58/00/771515800.db2.gz NYPPZNHVSMEHPW-JSGCOSHPSA-N 1 2 304.394 1.779 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3nocc3C)C[C@@H]2O)C1 ZINC001090555746 771987147 /nfs/dbraw/zinc/98/71/47/771987147.db2.gz PCFISIXUSCJCMY-ZFWWWQNUSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3nocc3C)C[C@@H]2O)C1 ZINC001090555746 771987151 /nfs/dbraw/zinc/98/71/51/771987151.db2.gz PCFISIXUSCJCMY-ZFWWWQNUSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccc(C)nc2)[C@H](O)C1 ZINC001090812690 772233523 /nfs/dbraw/zinc/23/35/23/772233523.db2.gz IHKTXVHROKBUCX-HUUCEWRRSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccc(C)nc2)[C@H](O)C1 ZINC001090812690 772233528 /nfs/dbraw/zinc/23/35/28/772233528.db2.gz IHKTXVHROKBUCX-HUUCEWRRSA-N 1 2 323.824 1.236 20 30 DDEDLO CCOC[C@@H]1C[N@H+](Cc2cncc(C#N)c2)Cc2c1cnn2C ZINC001144074928 772392962 /nfs/dbraw/zinc/39/29/62/772392962.db2.gz HZRMUDOKSXCDAL-HNNXBMFYSA-N 1 2 311.389 1.823 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](Cc2cncc(C#N)c2)Cc2c1cnn2C ZINC001144074928 772392964 /nfs/dbraw/zinc/39/29/64/772392964.db2.gz HZRMUDOKSXCDAL-HNNXBMFYSA-N 1 2 311.389 1.823 20 30 DDEDLO Cc1nc(N[C@@H]2CCCC[C@H]2NC(=O)CSCC#N)cc[nH+]1 ZINC001091238813 772635133 /nfs/dbraw/zinc/63/51/33/772635133.db2.gz KJHWVYOMPSHJSK-CHWSQXEVSA-N 1 2 319.434 1.881 20 30 DDEDLO C=C(Cl)C[NH2+]CCNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001146578408 772897303 /nfs/dbraw/zinc/89/73/03/772897303.db2.gz NEGRMQGCCOLWIE-UHFFFAOYSA-N 1 2 305.769 1.544 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CC[C@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001091639957 773180083 /nfs/dbraw/zinc/18/00/83/773180083.db2.gz YJONGXOUOYJDKO-QWHCGFSZSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001073922218 773528997 /nfs/dbraw/zinc/52/89/97/773528997.db2.gz XXAONZVYFMKHIC-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO CCn1nncc1C[N@H+](C)C[C@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001073972787 773566103 /nfs/dbraw/zinc/56/61/03/773566103.db2.gz FESJEDKWHPSJJW-ZIAGYGMSSA-N 1 2 318.425 1.271 20 30 DDEDLO CCn1nncc1C[N@@H+](C)C[C@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001073972787 773566108 /nfs/dbraw/zinc/56/61/08/773566108.db2.gz FESJEDKWHPSJJW-ZIAGYGMSSA-N 1 2 318.425 1.271 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001074103681 773633333 /nfs/dbraw/zinc/63/33/33/773633333.db2.gz HCRMSQVLOBISTD-KGLIPLIRSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074150539 773674999 /nfs/dbraw/zinc/67/49/99/773674999.db2.gz YREGZBLROLTZRQ-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cncs3)C[C@H]21 ZINC001074271644 773783804 /nfs/dbraw/zinc/78/38/04/773783804.db2.gz PKSUXNNZIIUJFM-HUUCEWRRSA-N 1 2 319.430 1.011 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cncs3)C[C@H]21 ZINC001074271644 773783806 /nfs/dbraw/zinc/78/38/06/773783806.db2.gz PKSUXNNZIIUJFM-HUUCEWRRSA-N 1 2 319.430 1.011 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C(=C)C)C[C@@H]21 ZINC001074315289 773816001 /nfs/dbraw/zinc/81/60/01/773816001.db2.gz SXZUEKWMEVISMI-HOTGVXAUSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C(=C)C)C[C@@H]21 ZINC001074315289 773816006 /nfs/dbraw/zinc/81/60/06/773816006.db2.gz SXZUEKWMEVISMI-HOTGVXAUSA-N 1 2 304.434 1.914 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ocnc3CC)C[C@@H]21 ZINC001074335978 773832799 /nfs/dbraw/zinc/83/27/99/773832799.db2.gz HXYVYQBBRGWMLR-GJZGRUSLSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ocnc3CC)C[C@@H]21 ZINC001074335978 773832802 /nfs/dbraw/zinc/83/28/02/773832802.db2.gz HXYVYQBBRGWMLR-GJZGRUSLSA-N 1 2 319.405 1.728 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cscn3)C[C@@H]21 ZINC001074353880 773849559 /nfs/dbraw/zinc/84/95/59/773849559.db2.gz IANVPDQXHSXMFO-GJZGRUSLSA-N 1 2 321.446 1.563 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cscn3)C[C@@H]21 ZINC001074353880 773849562 /nfs/dbraw/zinc/84/95/62/773849562.db2.gz IANVPDQXHSXMFO-GJZGRUSLSA-N 1 2 321.446 1.563 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)no1)c1nccn12 ZINC001092343675 774093287 /nfs/dbraw/zinc/09/32/87/774093287.db2.gz JZXNISBHIVQDCN-GFCCVEGCSA-N 1 2 313.361 1.251 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@H]1CC)c1nccn12 ZINC001092396787 774101630 /nfs/dbraw/zinc/10/16/30/774101630.db2.gz MRXJLTMAANPIOO-MCIONIFRSA-N 1 2 300.406 1.687 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC1(F)F)c1nccn12 ZINC001092367924 774106471 /nfs/dbraw/zinc/10/64/71/774106471.db2.gz DXKAZROJYIMTEM-MNOVXSKESA-N 1 2 308.332 1.296 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@H](C)C1 ZINC001074886037 774216309 /nfs/dbraw/zinc/21/63/09/774216309.db2.gz BWWJIUOXBVZDNZ-HUUCEWRRSA-N 1 2 318.421 1.735 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001092857421 774294208 /nfs/dbraw/zinc/29/42/08/774294208.db2.gz COIAMWWMKWPXJC-GDBMZVCRSA-N 1 2 323.400 1.694 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001092958105 774325514 /nfs/dbraw/zinc/32/55/14/774325514.db2.gz JLIBEUCYSUKQFV-UPJWGTAASA-N 1 2 301.394 1.037 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001075097220 774347748 /nfs/dbraw/zinc/34/77/48/774347748.db2.gz MPHDCBYQRGQIKV-UONOGXRCSA-N 1 2 318.421 1.591 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2[C@H](CC[N@@H+]2Cc2nncs2)C1 ZINC001036713546 774385026 /nfs/dbraw/zinc/38/50/26/774385026.db2.gz KVALGRVWUQLPOT-ZIAGYGMSSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2[C@H](CC[N@H+]2Cc2nncs2)C1 ZINC001036713546 774385033 /nfs/dbraw/zinc/38/50/33/774385033.db2.gz KVALGRVWUQLPOT-ZIAGYGMSSA-N 1 2 316.430 1.374 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001093102285 774394820 /nfs/dbraw/zinc/39/48/20/774394820.db2.gz BDDFLIXFGDBWSF-ZIAGYGMSSA-N 1 2 324.388 1.089 20 30 DDEDLO Cc1nsc(N[C@H](C)CNC(=O)CCc2c[nH]c[nH+]2)c1C#N ZINC001098344436 774543656 /nfs/dbraw/zinc/54/36/56/774543656.db2.gz MUKBLKUSZPYNIA-SECBINFHSA-N 1 2 318.406 1.596 20 30 DDEDLO Cc1nsc(N[C@H](C)CNC(=O)CCc2c[nH+]c[nH]2)c1C#N ZINC001098344436 774543658 /nfs/dbraw/zinc/54/36/58/774543658.db2.gz MUKBLKUSZPYNIA-SECBINFHSA-N 1 2 318.406 1.596 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001098835817 774689501 /nfs/dbraw/zinc/68/95/01/774689501.db2.gz JZECIUQJJZRVRQ-DAYGRLMNSA-N 1 2 314.433 1.986 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001098835817 774689503 /nfs/dbraw/zinc/68/95/03/774689503.db2.gz JZECIUQJJZRVRQ-DAYGRLMNSA-N 1 2 314.433 1.986 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3csnn3)CC2)C1 ZINC001093557236 774882050 /nfs/dbraw/zinc/88/20/50/774882050.db2.gz PSCGIAVKBXLVPR-UHFFFAOYSA-N 1 2 322.434 1.420 20 30 DDEDLO C[C@@H](CCNC(=O)Cc1c[nH+]cn1C)Nc1ccc(C#N)nc1 ZINC001099313343 774942877 /nfs/dbraw/zinc/94/28/77/774942877.db2.gz AOWXDLAVSQGCSH-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCSCC)[C@@H](O)C1 ZINC001099707277 775162865 /nfs/dbraw/zinc/16/28/65/775162865.db2.gz PKFXIZVLFJURFW-NEPJUHHUSA-N 1 2 306.859 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCSCC)[C@@H](O)C1 ZINC001099707277 775162869 /nfs/dbraw/zinc/16/28/69/775162869.db2.gz PKFXIZVLFJURFW-NEPJUHHUSA-N 1 2 306.859 1.434 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C3CC3)no2)C[C@H]1O ZINC001099729114 775196462 /nfs/dbraw/zinc/19/64/62/775196462.db2.gz CGVBHELFJVWTTO-GDBMZVCRSA-N 1 2 319.405 1.570 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C3CC3)no2)C[C@H]1O ZINC001099729114 775196470 /nfs/dbraw/zinc/19/64/70/775196470.db2.gz CGVBHELFJVWTTO-GDBMZVCRSA-N 1 2 319.405 1.570 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1cnc(C#N)cn1 ZINC001099765630 775245873 /nfs/dbraw/zinc/24/58/73/775245873.db2.gz YNBCQSHSYSWKQE-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1cnc(C#N)cn1 ZINC001099765630 775245876 /nfs/dbraw/zinc/24/58/76/775245876.db2.gz YNBCQSHSYSWKQE-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001099858908 775362485 /nfs/dbraw/zinc/36/24/85/775362485.db2.gz SWWQBYWDGSYMAP-HZPDHXFCSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001099858908 775362491 /nfs/dbraw/zinc/36/24/91/775362491.db2.gz SWWQBYWDGSYMAP-HZPDHXFCSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094045599 775368138 /nfs/dbraw/zinc/36/81/38/775368138.db2.gz CLBTWWGISWQUGL-CHWSQXEVSA-N 1 2 317.437 1.451 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094045599 775368149 /nfs/dbraw/zinc/36/81/49/775368149.db2.gz CLBTWWGISWQUGL-CHWSQXEVSA-N 1 2 317.437 1.451 20 30 DDEDLO N#Cc1c(Cl)nsc1NCCNC(=O)CCc1c[nH]c[nH+]1 ZINC001094224994 775593914 /nfs/dbraw/zinc/59/39/14/775593914.db2.gz KWNLXTYKHDEUES-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO N#Cc1c(Cl)nsc1NCCNC(=O)CCc1c[nH+]c[nH]1 ZINC001094224994 775593918 /nfs/dbraw/zinc/59/39/18/775593918.db2.gz KWNLXTYKHDEUES-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3oc(C)cc3C)nn2)C1 ZINC001094281035 775638902 /nfs/dbraw/zinc/63/89/02/775638902.db2.gz HIYBDKHZFAPZKH-UHFFFAOYSA-N 1 2 315.377 1.461 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CCC[C@@H]3CC)nn2)C1 ZINC001094316655 775674879 /nfs/dbraw/zinc/67/48/79/775674879.db2.gz MSBCKCQRZAVTHO-BBRMVZONSA-N 1 2 317.437 1.763 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3CC4(CC4)C3)nn2)C1 ZINC001094318453 775678516 /nfs/dbraw/zinc/67/85/16/775678516.db2.gz NKDRJOCTXVAIPC-UHFFFAOYSA-N 1 2 315.421 1.517 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3CC4(CC4)C3)nn2)C1 ZINC001094318723 775678833 /nfs/dbraw/zinc/67/88/33/775678833.db2.gz WKFQTXCNOLLBBK-UHFFFAOYSA-N 1 2 301.394 1.127 20 30 DDEDLO Cc1nsc(NCCCNC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001094549395 776058505 /nfs/dbraw/zinc/05/85/05/776058505.db2.gz JZANWXFNVQQJMY-UHFFFAOYSA-N 1 2 304.379 1.207 20 30 DDEDLO Cc1nc(NCCCNC(=O)CCn2cc[nH+]c2)ccc1C#N ZINC001094689438 776199543 /nfs/dbraw/zinc/19/95/43/776199543.db2.gz MDHYKSVLGNEITM-UHFFFAOYSA-N 1 2 312.377 1.467 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@H]3CC=CCC3)CC2=O)C1 ZINC001094700704 776210887 /nfs/dbraw/zinc/21/08/87/776210887.db2.gz FYLVRUXVEKRTRO-LSDHHAIUSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@]3(C)CC=CCC3)CC2=O)C1 ZINC001094738546 776240767 /nfs/dbraw/zinc/24/07/67/776240767.db2.gz KXKVWHJVFBQMPL-KDOFPFPSSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3CC4(CCC4)C3)CC2=O)C1 ZINC001094770530 776259762 /nfs/dbraw/zinc/25/97/62/776259762.db2.gz DDFNEULUEDOPLT-AWEZNQCLSA-N 1 2 317.433 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@H]3CCC3(C)C)CC2=O)C1 ZINC001094770998 776259923 /nfs/dbraw/zinc/25/99/23/776259923.db2.gz GGXWEXIVKZVJTL-UKRRQHHQSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3C[C@H]3C3CCC3)CC2=O)C1 ZINC001094796800 776290938 /nfs/dbraw/zinc/29/09/38/776290938.db2.gz DQAARCVZHCQRBZ-CWRNSKLLSA-N 1 2 317.433 1.010 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094810560 776293857 /nfs/dbraw/zinc/29/38/57/776293857.db2.gz RCZFRBNQDFSJAZ-UHFFFAOYSA-N 1 2 316.409 1.884 20 30 DDEDLO N#Cc1c(Cl)nsc1NCCCNC(=O)Cc1c[nH]c[nH+]1 ZINC001094809105 776309447 /nfs/dbraw/zinc/30/94/47/776309447.db2.gz XTEQWQPMUGMIJT-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO Cc1nc(N2CCC[C@@H]2CNC(=O)C#CC2CC2)c(C)c(C)[nH+]1 ZINC001100828552 776606522 /nfs/dbraw/zinc/60/65/22/776606522.db2.gz OKOIKWBRSVEJEO-MRXNPFEDSA-N 1 2 312.417 1.900 20 30 DDEDLO Cc1nc(N2CCC[C@@H]2CNC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001100843585 776624549 /nfs/dbraw/zinc/62/45/49/776624549.db2.gz LGRINOOMYMSSIC-OAHLLOKOSA-N 1 2 324.388 1.243 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(N2C[C@@H](F)C[C@H]2C#N)CC1 ZINC001172894642 776927738 /nfs/dbraw/zinc/92/77/38/776927738.db2.gz UUZJQSFANVWKDK-JSGCOSHPSA-N 1 2 311.401 1.728 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@@]2(C)C1 ZINC001101167443 776959891 /nfs/dbraw/zinc/95/98/91/776959891.db2.gz FACZMEHPVGANRZ-MRRJBJDNSA-N 1 2 317.437 1.921 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@@]2(C)C1 ZINC001101167443 776959893 /nfs/dbraw/zinc/95/98/93/776959893.db2.gz FACZMEHPVGANRZ-MRRJBJDNSA-N 1 2 317.437 1.921 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@H]3CN(C(=O)C#CC(C)C)C[C@]3(C)C2)[nH]1 ZINC001101230021 777001109 /nfs/dbraw/zinc/00/11/09/777001109.db2.gz SFUWLVHITFIEAU-PBHICJAKSA-N 1 2 315.421 1.053 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@H]3CN(C(=O)C#CC(C)C)C[C@]3(C)C2)[nH]1 ZINC001101230021 777001111 /nfs/dbraw/zinc/00/11/11/777001111.db2.gz SFUWLVHITFIEAU-PBHICJAKSA-N 1 2 315.421 1.053 20 30 DDEDLO CCOC(=O)c1nnc2n1CC[N@H+](C1CCC(CC#N)CC1)C2 ZINC001173339780 777116951 /nfs/dbraw/zinc/11/69/51/777116951.db2.gz UGNPNNOVXRJOEM-UHFFFAOYSA-N 1 2 317.393 1.743 20 30 DDEDLO CCOC(=O)c1nnc2n1CC[N@@H+](C1CCC(CC#N)CC1)C2 ZINC001173339780 777116956 /nfs/dbraw/zinc/11/69/56/777116956.db2.gz UGNPNNOVXRJOEM-UHFFFAOYSA-N 1 2 317.393 1.743 20 30 DDEDLO Cc1nsc(NCCN(C)C(=O)CCc2[nH]cc[nH+]2)c1C#N ZINC001101567421 777258535 /nfs/dbraw/zinc/25/85/35/777258535.db2.gz KLOPAXITBLMYEZ-UHFFFAOYSA-N 1 2 318.406 1.549 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H](C)CC)c1nccn12 ZINC001101625239 777319614 /nfs/dbraw/zinc/31/96/14/777319614.db2.gz BGVFSQJFQGJKJT-LSDHHAIUSA-N 1 2 314.433 1.915 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101913386 777672801 /nfs/dbraw/zinc/67/28/01/777672801.db2.gz HWPDAJKGYOWDOR-VXGBXAGGSA-N 1 2 320.441 1.575 20 30 DDEDLO C#CCOCCC(=O)NCC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102355590 778091703 /nfs/dbraw/zinc/09/17/03/778091703.db2.gz INBFUXHWXHRMGZ-OAHLLOKOSA-N 1 2 316.405 1.158 20 30 DDEDLO CN(C(=O)CCc1[nH]cc[nH+]1)[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001102695817 778322458 /nfs/dbraw/zinc/32/24/58/778322458.db2.gz NPKXDJDGDFTHIN-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO CC[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccncc1C#N ZINC001103363905 778820505 /nfs/dbraw/zinc/82/05/05/778820505.db2.gz CYPBCVYLWPKQQA-BFHYXJOUSA-N 1 2 324.388 1.209 20 30 DDEDLO CC[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccncc1C#N ZINC001103363905 778820516 /nfs/dbraw/zinc/82/05/16/778820516.db2.gz CYPBCVYLWPKQQA-BFHYXJOUSA-N 1 2 324.388 1.209 20 30 DDEDLO C=C[C@@H]1C[C@]1(NC(=O)[C@H]1CCc2[nH+]ccn2C1)C(=O)OCC ZINC001178118688 778881353 /nfs/dbraw/zinc/88/13/53/778881353.db2.gz DAZCZDZIORFGTR-HWWQOWPSSA-N 1 2 303.362 1.070 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)CCCn1cc[nH+]c1 ZINC001103774802 779039769 /nfs/dbraw/zinc/03/97/69/779039769.db2.gz RXMJIVMTFGIIJK-HUUCEWRRSA-N 1 2 303.410 1.261 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2CCN(C(=O)C#CC(C)C)[C@H]2C)o1 ZINC001178649012 779123383 /nfs/dbraw/zinc/12/33/83/779123383.db2.gz SDPMXZHDUJWZCY-STQMWFEESA-N 1 2 304.394 1.370 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nncn1C)C2 ZINC001111729877 779451875 /nfs/dbraw/zinc/45/18/75/779451875.db2.gz IZWSOTWOFYZLPQ-YOEHRIQHSA-N 1 2 315.421 1.089 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nncn1C)C2 ZINC001111729877 779451877 /nfs/dbraw/zinc/45/18/77/779451877.db2.gz IZWSOTWOFYZLPQ-YOEHRIQHSA-N 1 2 315.421 1.089 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(CC1)C[N@H+](Cc1ccoc1)CCO2 ZINC001179889569 779516779 /nfs/dbraw/zinc/51/67/79/779516779.db2.gz JWZHYHIKAKTRAM-CQSZACIVSA-N 1 2 317.389 1.633 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(CC1)C[N@@H+](Cc1ccoc1)CCO2 ZINC001179889569 779516781 /nfs/dbraw/zinc/51/67/81/779516781.db2.gz JWZHYHIKAKTRAM-CQSZACIVSA-N 1 2 317.389 1.633 20 30 DDEDLO CO[C@@H](C[NH+]1CCN(C(=O)[C@H](C)C#N)CC1)c1ccccc1 ZINC001179897400 779523074 /nfs/dbraw/zinc/52/30/74/779523074.db2.gz VXNZOZYABQVHBT-ZBFHGGJFSA-N 1 2 301.390 1.678 20 30 DDEDLO C=C[C@@H](COC)NC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC001116650211 780539204 /nfs/dbraw/zinc/53/92/04/780539204.db2.gz NNHYTNPXSNKIBG-LBPRGKRZSA-N 1 2 316.317 1.711 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001117932418 780954639 /nfs/dbraw/zinc/95/46/39/780954639.db2.gz BJDUGOHSVHYSJR-ZDUSSCGKSA-N 1 2 314.345 1.076 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001279605517 837536258 /nfs/dbraw/zinc/53/62/58/837536258.db2.gz FZHUOAKKTPVUFQ-UONOGXRCSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001279605517 837536263 /nfs/dbraw/zinc/53/62/63/837536263.db2.gz FZHUOAKKTPVUFQ-UONOGXRCSA-N 1 2 321.446 1.769 20 30 DDEDLO C[N@H+](CCNC(=O)C#CC1CC1)CC(=O)Nc1ccc(F)cc1 ZINC001266273744 836024882 /nfs/dbraw/zinc/02/48/82/836024882.db2.gz ZXTKJRCRDDVCKY-UHFFFAOYSA-N 1 2 317.364 1.226 20 30 DDEDLO C[N@@H+](CCNC(=O)C#CC1CC1)CC(=O)Nc1ccc(F)cc1 ZINC001266273744 836024894 /nfs/dbraw/zinc/02/48/94/836024894.db2.gz ZXTKJRCRDDVCKY-UHFFFAOYSA-N 1 2 317.364 1.226 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)[C@H]2C[C@H](C)C[C@H](C)C2)CC1 ZINC001266297165 836072860 /nfs/dbraw/zinc/07/28/60/836072860.db2.gz LEWQWDVTWUZSSL-PIIMJCKOSA-N 1 2 319.493 1.816 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@H](C)c1ccsc1 ZINC001266323536 836120796 /nfs/dbraw/zinc/12/07/96/836120796.db2.gz GBXTWBHAKIFTJH-GFCCVEGCSA-N 1 2 309.435 1.202 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H](C)c1ccsc1 ZINC001266323536 836120793 /nfs/dbraw/zinc/12/07/93/836120793.db2.gz GBXTWBHAKIFTJH-GFCCVEGCSA-N 1 2 309.435 1.202 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H](C)C2CCCC2)C1 ZINC001266353475 836161478 /nfs/dbraw/zinc/16/14/78/836161478.db2.gz WADGQTTWPUKJAW-HIFRSBDPSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)C2CCCC2)C1 ZINC001266353475 836161482 /nfs/dbraw/zinc/16/14/82/836161482.db2.gz WADGQTTWPUKJAW-HIFRSBDPSA-N 1 2 307.438 1.305 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001266660930 836611007 /nfs/dbraw/zinc/61/10/07/836611007.db2.gz WVWJILOVPJSOFI-JTQLQIEISA-N 1 2 303.322 1.037 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1C[C@@H]([NH2+]Cc2noc(CCC)n2)C1 ZINC001266705470 836697379 /nfs/dbraw/zinc/69/73/79/836697379.db2.gz JAQCBEJAYGYAOL-OKILXGFUSA-N 1 2 318.421 1.953 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)C[C@H]2C=CCCC2)CC1 ZINC001267607686 838436990 /nfs/dbraw/zinc/43/69/90/838436990.db2.gz QYTDMDRGLAIQJN-KRWDZBQOSA-N 1 2 305.466 1.995 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1C[NH+](CC(=O)NCC(C)C)C1 ZINC001267691342 838646828 /nfs/dbraw/zinc/64/68/28/838646828.db2.gz OUJBCSXSIBAAMD-KRWDZBQOSA-N 1 2 309.454 1.409 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1nccnc1C ZINC001267712037 838698813 /nfs/dbraw/zinc/69/88/13/838698813.db2.gz KNKSAVHSUKSAHV-GJZGRUSLSA-N 1 2 300.406 1.926 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1nccnc1C ZINC001267712037 838698815 /nfs/dbraw/zinc/69/88/15/838698815.db2.gz KNKSAVHSUKSAHV-GJZGRUSLSA-N 1 2 300.406 1.926 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)[C@H]1CC[N@H+](Cc2cnnn2C)C1 ZINC001267770068 838929078 /nfs/dbraw/zinc/92/90/78/838929078.db2.gz FVTQPUNKMFJEGY-KBPBESRZSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)[C@H]1CC[N@@H+](Cc2cnnn2C)C1 ZINC001267770068 838929081 /nfs/dbraw/zinc/92/90/81/838929081.db2.gz FVTQPUNKMFJEGY-KBPBESRZSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C[C@H](C)c2c(C)noc2C)C1 ZINC001268024255 839411637 /nfs/dbraw/zinc/41/16/37/839411637.db2.gz ATHVFUHCZUEUKI-WFASDCNBSA-N 1 2 321.421 1.788 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C[C@H](C)c2c(C)noc2C)C1 ZINC001268024255 839411641 /nfs/dbraw/zinc/41/16/41/839411641.db2.gz ATHVFUHCZUEUKI-WFASDCNBSA-N 1 2 321.421 1.788 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H](C)C[NH2+][C@H](C)c1nc(CC)no1 ZINC001268058438 839626039 /nfs/dbraw/zinc/62/60/39/839626039.db2.gz CBBXYOFJGWCTMO-CHWSQXEVSA-N 1 2 306.410 1.933 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H](C)C[NH2+]Cc2nnc(C)o2)cn1 ZINC001268092773 839676611 /nfs/dbraw/zinc/67/66/11/839676611.db2.gz FEJQGRFZLYQWPT-NSHDSACASA-N 1 2 313.361 1.005 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)Cc2c[nH+]ccc2OC)CC1 ZINC001299190657 844464427 /nfs/dbraw/zinc/46/44/27/844464427.db2.gz YXMDFRCHWJFAMU-UHFFFAOYSA-N 1 2 317.389 1.364 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1)C1CCCC1 ZINC001268229523 839861860 /nfs/dbraw/zinc/86/18/60/839861860.db2.gz UEPQCJFBSGUIIV-HIFRSBDPSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1)C1CCCC1 ZINC001268229523 839861867 /nfs/dbraw/zinc/86/18/67/839861867.db2.gz UEPQCJFBSGUIIV-HIFRSBDPSA-N 1 2 320.437 1.033 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C1(C)C(C)(C)C1(C)C ZINC001268259007 839907862 /nfs/dbraw/zinc/90/78/62/839907862.db2.gz JAXZAHYGQNCHJB-UHFFFAOYSA-N 1 2 321.465 1.198 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)C1(C)C(C)(C)C1(C)C ZINC001268259007 839907867 /nfs/dbraw/zinc/90/78/67/839907867.db2.gz JAXZAHYGQNCHJB-UHFFFAOYSA-N 1 2 321.465 1.198 20 30 DDEDLO C#Cc1cncc(C(=O)NC/C=C\C[NH2+]Cc2cc(C)on2)c1 ZINC001268591552 840505267 /nfs/dbraw/zinc/50/52/67/840505267.db2.gz SOYVDXXOYIAWDS-PLNGDYQASA-N 1 2 310.357 1.435 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC1C[NH+](Cc2cncs2)C1 ZINC001268703072 840689713 /nfs/dbraw/zinc/68/97/13/840689713.db2.gz IMQJHUNNJQAANH-LBPRGKRZSA-N 1 2 309.435 1.672 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC1C[NH+](Cc2ccnn2C)C1 ZINC001268833042 840877647 /nfs/dbraw/zinc/87/76/47/840877647.db2.gz BTEDVQBYBUNZCL-UHFFFAOYSA-N 1 2 302.422 1.737 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCCC[C@H]1CC ZINC001269204415 841338821 /nfs/dbraw/zinc/33/88/21/841338821.db2.gz CODMGZKHGZXLSU-CVEARBPZSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCCC[C@H]1CC ZINC001269204415 841338833 /nfs/dbraw/zinc/33/88/33/841338833.db2.gz CODMGZKHGZXLSU-CVEARBPZSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](N(C)C(=O)c2cccs2)C1 ZINC001269209026 841351088 /nfs/dbraw/zinc/35/10/88/841351088.db2.gz SHMLGMKEKBINNL-QWHCGFSZSA-N 1 2 321.446 1.585 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H](N(C)C(=O)c2cccs2)C1 ZINC001269209026 841351077 /nfs/dbraw/zinc/35/10/77/841351077.db2.gz SHMLGMKEKBINNL-QWHCGFSZSA-N 1 2 321.446 1.585 20 30 DDEDLO CCCCCCC[N@@H+]1CC[C@H]1CNC(=O)C1CS(=O)(=O)C1 ZINC001269240564 841405576 /nfs/dbraw/zinc/40/55/76/841405576.db2.gz MIAXRHXVSLUIEC-AWEZNQCLSA-N 1 2 316.467 1.192 20 30 DDEDLO CCCCCCC[N@H+]1CC[C@H]1CNC(=O)C1CS(=O)(=O)C1 ZINC001269240564 841405581 /nfs/dbraw/zinc/40/55/81/841405581.db2.gz MIAXRHXVSLUIEC-AWEZNQCLSA-N 1 2 316.467 1.192 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(C(C)C)nc1 ZINC001269249837 841422174 /nfs/dbraw/zinc/42/21/74/841422174.db2.gz VGPHTSIRHPLROU-MRXNPFEDSA-N 1 2 315.417 1.659 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C(C)C)nc1 ZINC001269249837 841422182 /nfs/dbraw/zinc/42/21/82/841422182.db2.gz VGPHTSIRHPLROU-MRXNPFEDSA-N 1 2 315.417 1.659 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)C1(C(F)F)CCCC1 ZINC001269279153 841458376 /nfs/dbraw/zinc/45/83/76/841458376.db2.gz WFTLXGIIDWZSSY-CYBMUJFWSA-N 1 2 314.376 1.652 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)C1(C(F)F)CCCC1 ZINC001269279153 841458382 /nfs/dbraw/zinc/45/83/82/841458382.db2.gz WFTLXGIIDWZSSY-CYBMUJFWSA-N 1 2 314.376 1.652 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@@H]1CCN(C(C)(C)C)C1=O ZINC001269287822 841469036 /nfs/dbraw/zinc/46/90/36/841469036.db2.gz XSOCQRBJZIYMNM-LSDHHAIUSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H]1CCN(C(C)(C)C)C1=O ZINC001269287822 841469043 /nfs/dbraw/zinc/46/90/43/841469043.db2.gz XSOCQRBJZIYMNM-LSDHHAIUSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@H+]2[C@H]2CCN(CC)C2=O)CCC1 ZINC001269285818 841470002 /nfs/dbraw/zinc/47/00/02/841470002.db2.gz KJFFTVDQQSHUFG-CABCVRRESA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@@H+]2[C@H]2CCN(CC)C2=O)CCC1 ZINC001269285818 841470008 /nfs/dbraw/zinc/47/00/08/841470008.db2.gz KJFFTVDQQSHUFG-CABCVRRESA-N 1 2 319.449 1.544 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CC[N@H+]2CC(=O)N(C)C2CC2)C1 ZINC001269291351 841476525 /nfs/dbraw/zinc/47/65/25/841476525.db2.gz IUOVYROJVHICGT-CQSZACIVSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CC[N@@H+]2CC(=O)N(C)C2CC2)C1 ZINC001269291351 841476530 /nfs/dbraw/zinc/47/65/30/841476530.db2.gz IUOVYROJVHICGT-CQSZACIVSA-N 1 2 305.422 1.154 20 30 DDEDLO COC[C@@H](C)CC(=O)NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001269325794 841524754 /nfs/dbraw/zinc/52/47/54/841524754.db2.gz BWWCYEOZSZFUPO-WMZOPIPTSA-N 1 2 314.429 1.901 20 30 DDEDLO COC[C@@H](C)CC(=O)NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001269325794 841524762 /nfs/dbraw/zinc/52/47/62/841524762.db2.gz BWWCYEOZSZFUPO-WMZOPIPTSA-N 1 2 314.429 1.901 20 30 DDEDLO CCc1nnc([C@H](C)[NH2+]C2(CNC(=O)CSCC#N)CC2)o1 ZINC001269380060 841581546 /nfs/dbraw/zinc/58/15/46/841581546.db2.gz VIHHQGGYIZLVMM-JTQLQIEISA-N 1 2 323.422 1.188 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)Cc2ncc[nH]2)CC1 ZINC001269633574 841878058 /nfs/dbraw/zinc/87/80/58/841878058.db2.gz ZNFIWRDLTBFRMF-UHFFFAOYSA-N 1 2 301.394 1.179 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)Cc2ncc[nH]2)CC1 ZINC001269633574 841878072 /nfs/dbraw/zinc/87/80/72/841878072.db2.gz ZNFIWRDLTBFRMF-UHFFFAOYSA-N 1 2 301.394 1.179 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cccc(CC)n2)C1 ZINC001269801618 842058739 /nfs/dbraw/zinc/05/87/39/842058739.db2.gz ZXRUBUXEXKTEPY-UHFFFAOYSA-N 1 2 303.406 1.603 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@@H]2CCC[C@@H](OC)C2)C1 ZINC001269840003 842098106 /nfs/dbraw/zinc/09/81/06/842098106.db2.gz VAORKPFKPULQJV-GDBMZVCRSA-N 1 2 310.438 1.537 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)C4CC=CC4)C3)C2)cc1C#N ZINC001270079230 842275467 /nfs/dbraw/zinc/27/54/67/842275467.db2.gz GBCBIRKJEAGVDP-UHFFFAOYSA-N 1 2 310.401 1.507 20 30 DDEDLO O=C(C#Cc1ccccn1)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cn[nH]c1 ZINC001270346191 842502169 /nfs/dbraw/zinc/50/21/69/842502169.db2.gz BPAQZQGIICCDET-CALCHBBNSA-N 1 2 321.384 1.032 20 30 DDEDLO O=C(C#Cc1ccccn1)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cn[nH]c1 ZINC001270346191 842502175 /nfs/dbraw/zinc/50/21/75/842502175.db2.gz BPAQZQGIICCDET-CALCHBBNSA-N 1 2 321.384 1.032 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@]1(C)CC[N@H+](Cc2cc(C)on2)C1 ZINC001270585157 842689052 /nfs/dbraw/zinc/68/90/52/842689052.db2.gz XZYSMAMLZMOLAA-CJNGLKHVSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@]1(C)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001270585157 842689061 /nfs/dbraw/zinc/68/90/61/842689061.db2.gz XZYSMAMLZMOLAA-CJNGLKHVSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CCC[C@H](C)[N@@H+]1CCO[C@@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001270682016 842814921 /nfs/dbraw/zinc/81/49/21/842814921.db2.gz HSJMUGPTMJKAAP-RYUDHWBXSA-N 1 2 310.373 1.334 20 30 DDEDLO C=CCC[C@H](C)[N@H+]1CCO[C@@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001270682016 842814929 /nfs/dbraw/zinc/81/49/29/842814929.db2.gz HSJMUGPTMJKAAP-RYUDHWBXSA-N 1 2 310.373 1.334 20 30 DDEDLO CC#CC(=O)N1C[C@@H]2C[N@@H+](CCCC(F)(F)F)C[C@H](C1)O2 ZINC001270713016 842841046 /nfs/dbraw/zinc/84/10/46/842841046.db2.gz NRXGGJPZSTVPCO-TXEJJXNPSA-N 1 2 304.312 1.264 20 30 DDEDLO CC#CC(=O)N1C[C@@H]2C[N@H+](CCCC(F)(F)F)C[C@H](C1)O2 ZINC001270713016 842841054 /nfs/dbraw/zinc/84/10/54/842841054.db2.gz NRXGGJPZSTVPCO-TXEJJXNPSA-N 1 2 304.312 1.264 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C2(CNC(=O)C#CC3CC3)CCCC2)no1 ZINC001270743003 842865620 /nfs/dbraw/zinc/86/56/20/842865620.db2.gz GBZCIAQMVUXDAI-LBPRGKRZSA-N 1 2 316.405 1.871 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC[C@]1(C)CCCN(CC#N)C1 ZINC001271007044 843129753 /nfs/dbraw/zinc/12/97/53/843129753.db2.gz HONQZJNCMKKQHQ-INIZCTEOSA-N 1 2 303.410 1.095 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@]1(O)CC[N@@H+](Cc2nonc2C)C1 ZINC001271191937 843400802 /nfs/dbraw/zinc/40/08/02/843400802.db2.gz IPJKUZQBSILCGD-INIZCTEOSA-N 1 2 322.409 1.033 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@]1(O)CC[N@H+](Cc2nonc2C)C1 ZINC001271191937 843400811 /nfs/dbraw/zinc/40/08/11/843400811.db2.gz IPJKUZQBSILCGD-INIZCTEOSA-N 1 2 322.409 1.033 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[C@]21C(=O)Nc1cccc2[nH+]ccn21 ZINC001271212411 843417058 /nfs/dbraw/zinc/41/70/58/843417058.db2.gz RABHTNPVNHKDLI-BWACUDIHSA-N 1 2 323.356 1.423 20 30 DDEDLO Cc1ncsc1C[NH+]1CC2(CN(C(=O)CCCC#N)C2)C1 ZINC001271252524 843446931 /nfs/dbraw/zinc/44/69/31/843446931.db2.gz IKXLKEGNTQJFCJ-UHFFFAOYSA-N 1 2 304.419 1.790 20 30 DDEDLO C[C@H](F)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001271671360 843774403 /nfs/dbraw/zinc/77/44/03/843774403.db2.gz ICEILKUZAURERR-FPMFFAJLSA-N 1 2 304.369 1.430 20 30 DDEDLO C[C@H](F)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001271671360 843774412 /nfs/dbraw/zinc/77/44/12/843774412.db2.gz ICEILKUZAURERR-FPMFFAJLSA-N 1 2 304.369 1.430 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1CNC(=O)c1cc2cn[nH]c2cc1OC ZINC001154798102 861467254 /nfs/dbraw/zinc/46/72/54/861467254.db2.gz CONUIXBZBGMUBR-ZDUSSCGKSA-N 1 2 314.389 1.952 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1cc2cn[nH]c2cc1OC ZINC001154798102 861467262 /nfs/dbraw/zinc/46/72/62/861467262.db2.gz CONUIXBZBGMUBR-ZDUSSCGKSA-N 1 2 314.389 1.952 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)C#N)[N@H+](C)Cc1nc(-c2ccoc2)no1 ZINC001409506412 845210467 /nfs/dbraw/zinc/21/04/67/845210467.db2.gz KBOTXNPYLZBLBS-WDEREUQCSA-N 1 2 317.349 1.426 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)C#N)[N@@H+](C)Cc1nc(-c2ccoc2)no1 ZINC001409506412 845210483 /nfs/dbraw/zinc/21/04/83/845210483.db2.gz KBOTXNPYLZBLBS-WDEREUQCSA-N 1 2 317.349 1.426 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CCCCOC)C1 ZINC001149355440 861563007 /nfs/dbraw/zinc/56/30/07/861563007.db2.gz KHOYPBXVYLFGQU-AWEZNQCLSA-N 1 2 318.845 1.763 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CCCCOC)C1 ZINC001149355440 861563021 /nfs/dbraw/zinc/56/30/21/861563021.db2.gz KHOYPBXVYLFGQU-AWEZNQCLSA-N 1 2 318.845 1.763 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001409914453 846591920 /nfs/dbraw/zinc/59/19/20/846591920.db2.gz MNWYOCYEFUOUDY-AAEUAGOBSA-N 1 2 313.829 1.234 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)C)cn2)C1 ZINC001077678015 846935456 /nfs/dbraw/zinc/93/54/56/846935456.db2.gz NGPPIPIPWWYDEN-HZPDHXFCSA-N 1 2 301.390 1.003 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)C)cn2)C1 ZINC001077678015 846935461 /nfs/dbraw/zinc/93/54/61/846935461.db2.gz NGPPIPIPWWYDEN-HZPDHXFCSA-N 1 2 301.390 1.003 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnc(F)c2)C1 ZINC001107796054 847097577 /nfs/dbraw/zinc/09/75/77/847097577.db2.gz SKSIZTLOATXLHZ-MRXNPFEDSA-N 1 2 305.353 1.065 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnc(F)c2)C1 ZINC001107796054 847097580 /nfs/dbraw/zinc/09/75/80/847097580.db2.gz SKSIZTLOATXLHZ-MRXNPFEDSA-N 1 2 305.353 1.065 20 30 DDEDLO C=CCOCCCC(=O)NC[C@]1(C)C[N@H+](CC#CC)CCO1 ZINC001107839570 847278867 /nfs/dbraw/zinc/27/88/67/847278867.db2.gz PZHWURDRMNKTIH-QGZVFWFLSA-N 1 2 308.422 1.200 20 30 DDEDLO C=CCOCCCC(=O)NC[C@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001107839570 847278876 /nfs/dbraw/zinc/27/88/76/847278876.db2.gz PZHWURDRMNKTIH-QGZVFWFLSA-N 1 2 308.422 1.200 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncnc1 ZINC001272852101 847553339 /nfs/dbraw/zinc/55/33/39/847553339.db2.gz YEOPFKFNNZLLFC-MRXNPFEDSA-N 1 2 318.421 1.654 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cncnc1 ZINC001272852101 847553344 /nfs/dbraw/zinc/55/33/44/847553344.db2.gz YEOPFKFNNZLLFC-MRXNPFEDSA-N 1 2 318.421 1.654 20 30 DDEDLO C#CCN1C[C@]2(CC[N@@H+](Cc3c(F)cccc3F)C2)OCC1=O ZINC001272974742 847727402 /nfs/dbraw/zinc/72/74/02/847727402.db2.gz VIHSZEGVBWNYEM-QGZVFWFLSA-N 1 2 320.339 1.401 20 30 DDEDLO C#CCN1C[C@]2(CC[N@H+](Cc3c(F)cccc3F)C2)OCC1=O ZINC001272974742 847727403 /nfs/dbraw/zinc/72/74/03/847727403.db2.gz VIHSZEGVBWNYEM-QGZVFWFLSA-N 1 2 320.339 1.401 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cncc(F)c2)[C@@H](O)C1 ZINC001090192436 848057781 /nfs/dbraw/zinc/05/77/81/848057781.db2.gz QWUZULPKMITTDG-OLZOCXBDSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cncc(F)c2)[C@@H](O)C1 ZINC001090192436 848057785 /nfs/dbraw/zinc/05/77/85/848057785.db2.gz QWUZULPKMITTDG-OLZOCXBDSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001061732024 848083335 /nfs/dbraw/zinc/08/33/35/848083335.db2.gz BXLAYXYMAFJMGB-KFWWJZLASA-N 1 2 316.405 1.319 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)CSCC#N)[C@H]2C)cc[nH+]1 ZINC001090202863 848254247 /nfs/dbraw/zinc/25/42/47/848254247.db2.gz OIWANIOOLUJLLY-JQWIXIFHSA-N 1 2 305.407 1.443 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1ncc(C)s1)C2 ZINC001273221792 848806320 /nfs/dbraw/zinc/80/63/20/848806320.db2.gz OBFTZNRQQKLKRG-INIZCTEOSA-N 1 2 321.446 1.688 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1ncc(C)s1)C2 ZINC001273221792 848806328 /nfs/dbraw/zinc/80/63/28/848806328.db2.gz OBFTZNRQQKLKRG-INIZCTEOSA-N 1 2 321.446 1.688 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2Cc2ccnc(SC)n2)C1=O ZINC001273281351 849326590 /nfs/dbraw/zinc/32/65/90/849326590.db2.gz FOKOZQPZCZXVPP-INIZCTEOSA-N 1 2 318.446 1.951 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2Cc2ccnc(SC)n2)C1=O ZINC001273281351 849326600 /nfs/dbraw/zinc/32/66/00/849326600.db2.gz FOKOZQPZCZXVPP-INIZCTEOSA-N 1 2 318.446 1.951 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)COC(C)C)C2)CC1 ZINC001273375987 849739653 /nfs/dbraw/zinc/73/96/53/849739653.db2.gz UERRWAGEZQBYQW-MRXNPFEDSA-N 1 2 309.454 1.206 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cc(C)cc(OC)c1)C2 ZINC001273415947 850122004 /nfs/dbraw/zinc/12/20/04/850122004.db2.gz VWLMNHQZWGOJES-UHFFFAOYSA-N 1 2 316.401 1.603 20 30 DDEDLO C#CCCC[N@@H+]1C[C@]2(F)CN(Cc3cnoc3)C(=O)[C@]2(F)C1 ZINC001273541550 851077021 /nfs/dbraw/zinc/07/70/21/851077021.db2.gz DRDOAIHMAPZXBK-LSDHHAIUSA-N 1 2 309.316 1.162 20 30 DDEDLO C#CCCC[N@H+]1C[C@]2(F)CN(Cc3cnoc3)C(=O)[C@]2(F)C1 ZINC001273541550 851077033 /nfs/dbraw/zinc/07/70/33/851077033.db2.gz DRDOAIHMAPZXBK-LSDHHAIUSA-N 1 2 309.316 1.162 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1COC2(C[NH+]([C@@H](C)COC)C2)C1 ZINC001273695117 851234338 /nfs/dbraw/zinc/23/43/38/851234338.db2.gz CMVKNOXNFDUUJI-GJZGRUSLSA-N 1 2 308.422 1.174 20 30 DDEDLO C=CCN1c2ccccc2C2(CC[NH+](CC(=O)OC)CC2)C1=O ZINC001273931721 851523468 /nfs/dbraw/zinc/52/34/68/851523468.db2.gz SEMMKRIPHSCNBQ-UHFFFAOYSA-N 1 2 314.385 1.726 20 30 DDEDLO CCn1ncc(C[NH2+]C/C=C/CNC(=O)C#CC(C)(C)C)n1 ZINC001274015022 851850065 /nfs/dbraw/zinc/85/00/65/851850065.db2.gz GREDGVDJPXYCEH-VOTSOKGWSA-N 1 2 303.410 1.110 20 30 DDEDLO C=CCCC(=O)N1CCC(C)(NC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001299441019 852847347 /nfs/dbraw/zinc/84/73/47/852847347.db2.gz GYBNUYHJAKTWLA-UHFFFAOYSA-N 1 2 318.421 1.724 20 30 DDEDLO Cc1ccc(CN2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)c(C#N)c1 ZINC001275616728 853441037 /nfs/dbraw/zinc/44/10/37/853441037.db2.gz MYMUTNXCZOLXBD-UHFFFAOYSA-N 1 2 307.401 1.908 20 30 DDEDLO COc1cc(C[N@H+](C)[C@@H](C)CNC(=O)C#CC(C)C)sn1 ZINC001275819176 853811882 /nfs/dbraw/zinc/81/18/82/853811882.db2.gz MVZVMTORPRWWPF-LBPRGKRZSA-N 1 2 309.435 1.748 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@@H](C)CNC(=O)C#CC(C)C)sn1 ZINC001275819176 853811888 /nfs/dbraw/zinc/81/18/88/853811888.db2.gz MVZVMTORPRWWPF-LBPRGKRZSA-N 1 2 309.435 1.748 20 30 DDEDLO CCCC[C@H](CNCC#N)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001276256186 855067564 /nfs/dbraw/zinc/06/75/64/855067564.db2.gz VGSXHJIPVNPTCT-ZIAGYGMSSA-N 1 2 303.410 1.234 20 30 DDEDLO Cc1nc(NC[C@@H]2CN(C(=O)C#CC(C)C)C[C@@H]2C)cc[nH+]1 ZINC001111245734 855217088 /nfs/dbraw/zinc/21/70/88/855217088.db2.gz NUVBLYHYEHNUGR-DZGCQCFKSA-N 1 2 300.406 1.951 20 30 DDEDLO C=CCOCC(=O)N1C[C@H](C)[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111245152 855217841 /nfs/dbraw/zinc/21/78/41/855217841.db2.gz DMUURFQNQMKIHS-GXTWGEPZSA-N 1 2 304.394 1.494 20 30 DDEDLO C[C@@H]([NH2+]Cc1nc(C#N)cs1)c1cccc(S(N)(=O)=O)c1 ZINC001412983918 855863866 /nfs/dbraw/zinc/86/38/66/855863866.db2.gz URRJPXQJDPYZEV-SECBINFHSA-N 1 2 322.415 1.513 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](OCC)C2CC2)C1 ZINC001206981375 872880199 /nfs/dbraw/zinc/88/01/99/872880199.db2.gz IGMZMVJGECAKEZ-HONMWMINSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](OCC)C2CC2)C1 ZINC001206981375 872880205 /nfs/dbraw/zinc/88/02/05/872880205.db2.gz IGMZMVJGECAKEZ-HONMWMINSA-N 1 2 300.830 1.991 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)C)C[C@@H]2C)n1 ZINC001328238304 862798358 /nfs/dbraw/zinc/79/83/58/862798358.db2.gz DRBUKSYBQINYBH-JSGCOSHPSA-N 1 2 304.394 1.507 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)C)C[C@@H]2C)n1 ZINC001328238304 862798363 /nfs/dbraw/zinc/79/83/63/862798363.db2.gz DRBUKSYBQINYBH-JSGCOSHPSA-N 1 2 304.394 1.507 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](O)C[N@@H+]1Cc1ccc(C2(C#N)CC2)cc1 ZINC001413254443 856588799 /nfs/dbraw/zinc/58/87/99/856588799.db2.gz ISRLLBBGGJDBMY-HUUCEWRRSA-N 1 2 300.358 1.350 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](O)C[N@H+]1Cc1ccc(C2(C#N)CC2)cc1 ZINC001413254443 856588801 /nfs/dbraw/zinc/58/88/01/856588801.db2.gz ISRLLBBGGJDBMY-HUUCEWRRSA-N 1 2 300.358 1.350 20 30 DDEDLO C=C(Br)C[N@H+]1CCC2(CN(C(=O)[C@@H](C)OC)C2)C1 ZINC001072526418 857401036 /nfs/dbraw/zinc/40/10/36/857401036.db2.gz XDTDURXKNCNYBG-LLVKDONJSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC2(CN(C(=O)[C@@H](C)OC)C2)C1 ZINC001072526418 857401046 /nfs/dbraw/zinc/40/10/46/857401046.db2.gz XDTDURXKNCNYBG-LLVKDONJSA-N 1 2 317.227 1.464 20 30 DDEDLO CCc1cnc(C[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001072559506 857448234 /nfs/dbraw/zinc/44/82/34/857448234.db2.gz FSKFLTLQGJCFRO-LBPRGKRZSA-N 1 2 302.378 1.431 20 30 DDEDLO CCc1cnc(C[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001072559506 857448239 /nfs/dbraw/zinc/44/82/39/857448239.db2.gz FSKFLTLQGJCFRO-LBPRGKRZSA-N 1 2 302.378 1.431 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)cs1 ZINC001072712684 857631802 /nfs/dbraw/zinc/63/18/02/857631802.db2.gz SHJRQAJCGYYNLH-UHFFFAOYSA-N 1 2 315.442 1.899 20 30 DDEDLO Cc1nc(C[N@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)cs1 ZINC001072712684 857631804 /nfs/dbraw/zinc/63/18/04/857631804.db2.gz SHJRQAJCGYYNLH-UHFFFAOYSA-N 1 2 315.442 1.899 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072801275 857720175 /nfs/dbraw/zinc/72/01/75/857720175.db2.gz OZXTYBWLCIGFGZ-OCCSQVGLSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)CC1(C)C ZINC001073341890 858280984 /nfs/dbraw/zinc/28/09/84/858280984.db2.gz PORLYVNFIBZYNK-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCn1c(N2CCC[C@H](C)C2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121708065 858578996 /nfs/dbraw/zinc/57/89/96/858578996.db2.gz GTBRXJUHLWXZCX-ZQIUZPCESA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N2CCC[C@H](C)C2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001121708065 858579005 /nfs/dbraw/zinc/57/90/05/858579005.db2.gz GTBRXJUHLWXZCX-ZQIUZPCESA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N(CC)CC2CC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121709479 858579153 /nfs/dbraw/zinc/57/91/53/858579153.db2.gz UKPXEKSNIFLWIJ-PBHICJAKSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N(CC)CC2CC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121709479 858579159 /nfs/dbraw/zinc/57/91/59/858579159.db2.gz UKPXEKSNIFLWIJ-PBHICJAKSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCN(c1nnc(C[N@@H+]2CCC[C@H](O)C2)n1CC)C1CC1 ZINC001121850769 858612078 /nfs/dbraw/zinc/61/20/78/858612078.db2.gz BCZRWYWNIJXZIR-AWEZNQCLSA-N 1 2 305.426 1.410 20 30 DDEDLO C=CCN(c1nnc(C[N@H+]2CCC[C@H](O)C2)n1CC)C1CC1 ZINC001121850769 858612080 /nfs/dbraw/zinc/61/20/80/858612080.db2.gz BCZRWYWNIJXZIR-AWEZNQCLSA-N 1 2 305.426 1.410 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1Nc1cnn(C)c1 ZINC001122490710 858849048 /nfs/dbraw/zinc/84/90/48/858849048.db2.gz RFXSXTRFWMHIEU-UHFFFAOYSA-N 1 2 312.381 1.656 20 30 DDEDLO C=CCC1(NC(=O)NC[C@@H](C)[NH+]2CCN(C)CC2)CCCC1 ZINC001123887043 859457109 /nfs/dbraw/zinc/45/71/09/859457109.db2.gz UNVZEEBAUURYFJ-OAHLLOKOSA-N 1 2 308.470 1.810 20 30 DDEDLO O=C1C=C2C[N@@H+](Cc3ccc(-c4nn[nH]n4)cc3)CC[C@H]2S1 ZINC001139090482 860278771 /nfs/dbraw/zinc/27/87/71/860278771.db2.gz HXFFEELKYABRFH-CYBMUJFWSA-N 1 2 313.386 1.641 20 30 DDEDLO CCOC(=O)C1(C#N)CC[NH+](Cc2ccc(N(C)C)nc2)CC1 ZINC001139458372 860409135 /nfs/dbraw/zinc/40/91/35/860409135.db2.gz SWJKHSBOGNQEQV-UHFFFAOYSA-N 1 2 316.405 1.816 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2cnccc2OC)C1 ZINC001139610348 860440580 /nfs/dbraw/zinc/44/05/80/860440580.db2.gz DBYCIHLKDPSBRN-AWEZNQCLSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2cnccc2OC)C1 ZINC001139610348 860440584 /nfs/dbraw/zinc/44/05/84/860440584.db2.gz DBYCIHLKDPSBRN-AWEZNQCLSA-N 1 2 305.378 1.967 20 30 DDEDLO C[N@H+](CC(=O)N1CCOCC1)Cc1cc(F)c(C#N)c(F)c1 ZINC001140751464 860692806 /nfs/dbraw/zinc/69/28/06/860692806.db2.gz XRLVFENXSCPSLD-UHFFFAOYSA-N 1 2 309.316 1.127 20 30 DDEDLO C[N@@H+](CC(=O)N1CCOCC1)Cc1cc(F)c(C#N)c(F)c1 ZINC001140751464 860692810 /nfs/dbraw/zinc/69/28/10/860692810.db2.gz XRLVFENXSCPSLD-UHFFFAOYSA-N 1 2 309.316 1.127 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CC[C@H]([C@@H](C)O)C3)[nH+]cn2)C1 ZINC001328640530 863125785 /nfs/dbraw/zinc/12/57/85/863125785.db2.gz GNVWTHYDWSMCSD-QLFBSQMISA-N 1 2 318.421 1.465 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CC[C@H]([C@@H](C)O)C3)nc[nH+]2)C1 ZINC001328640530 863125792 /nfs/dbraw/zinc/12/57/92/863125792.db2.gz GNVWTHYDWSMCSD-QLFBSQMISA-N 1 2 318.421 1.465 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CC[C@@H]([C@H](C)O)C3)[nH+]cn2)C1 ZINC001328641019 863125817 /nfs/dbraw/zinc/12/58/17/863125817.db2.gz GNVWTHYDWSMCSD-RRFJBIMHSA-N 1 2 318.421 1.465 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CC[C@@H]([C@H](C)O)C3)nc[nH+]2)C1 ZINC001328641019 863125824 /nfs/dbraw/zinc/12/58/24/863125824.db2.gz GNVWTHYDWSMCSD-RRFJBIMHSA-N 1 2 318.421 1.465 20 30 DDEDLO C=CCOc1ccc(CC(=O)NCC[NH2+]Cc2cnon2)cc1 ZINC001152769493 863529747 /nfs/dbraw/zinc/52/97/47/863529747.db2.gz HJSCANBWLUZROE-UHFFFAOYSA-N 1 2 316.361 1.083 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nonc1C ZINC001153012431 863650781 /nfs/dbraw/zinc/65/07/81/863650781.db2.gz KVBYNGHHDFIJMC-ABAIWWIYSA-N 1 2 310.398 1.032 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nonc1C ZINC001153012431 863650785 /nfs/dbraw/zinc/65/07/85/863650785.db2.gz KVBYNGHHDFIJMC-ABAIWWIYSA-N 1 2 310.398 1.032 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+][C@H](C)c1nc(CCCC)no1 ZINC001153091153 863704109 /nfs/dbraw/zinc/70/41/09/863704109.db2.gz GPCIORSIPMOGHP-CYBMUJFWSA-N 1 2 324.425 1.772 20 30 DDEDLO C[C@@H](CC(=O)NC[C@@H]1CCCCCN1CC#N)n1cc[nH+]c1 ZINC001329935659 863954352 /nfs/dbraw/zinc/95/43/52/863954352.db2.gz GCWCKCAWDFHLKG-GJZGRUSLSA-N 1 2 303.410 1.719 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)C1C[NH+](CC(=O)NCCC)C1 ZINC001330002023 864012269 /nfs/dbraw/zinc/01/22/69/864012269.db2.gz ZPSXKIMRHWGAMR-CYBMUJFWSA-N 1 2 309.454 1.551 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3(COC)CC3)C2)C1 ZINC001330161966 864114099 /nfs/dbraw/zinc/11/40/99/864114099.db2.gz SARGEEUBIXMLPE-SFHVURJKSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3(COC)CC3)C2)C1 ZINC001330161966 864114105 /nfs/dbraw/zinc/11/41/05/864114105.db2.gz SARGEEUBIXMLPE-SFHVURJKSA-N 1 2 320.433 1.130 20 30 DDEDLO CCN(CC)c1cc(N2CCC(C#N)(C(=O)OC)CC2)nc[nH+]1 ZINC001158689645 864815895 /nfs/dbraw/zinc/81/58/95/864815895.db2.gz HYAQGANEWBCNKF-UHFFFAOYSA-N 1 2 317.393 1.606 20 30 DDEDLO CCN(CC)c1cc(N2CCC(C#N)(C(=O)OC)CC2)[nH+]cn1 ZINC001158689645 864815909 /nfs/dbraw/zinc/81/59/09/864815909.db2.gz HYAQGANEWBCNKF-UHFFFAOYSA-N 1 2 317.393 1.606 20 30 DDEDLO COC(=O)c1[nH]c(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)cc1C ZINC001331567489 865157715 /nfs/dbraw/zinc/15/77/15/865157715.db2.gz WCPMXDQWSWEYBB-STQMWFEESA-N 1 2 304.394 1.528 20 30 DDEDLO N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)CCCn3cc[nH+]c3)C[C@H]21 ZINC001332160256 865623478 /nfs/dbraw/zinc/62/34/78/865623478.db2.gz RAGZLEGMROXSIT-BZUAXINKSA-N 1 2 315.421 1.404 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1nc(C)ccc1CC#N ZINC001160694899 866038673 /nfs/dbraw/zinc/03/86/73/866038673.db2.gz BOQFVIGCQFECIV-AWEZNQCLSA-N 1 2 313.361 1.386 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC1CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001225630200 881945373 /nfs/dbraw/zinc/94/53/73/881945373.db2.gz AHABNKAKZDBMOB-LBPRGKRZSA-N 1 2 321.425 1.032 20 30 DDEDLO Cc1nnc(C[NH+]2CCC([C@@H](C)NC(=O)C#CC(C)C)CC2)[nH]1 ZINC001332948531 866281468 /nfs/dbraw/zinc/28/14/68/866281468.db2.gz QXLUNXPOHJSUJK-CYBMUJFWSA-N 1 2 317.437 1.489 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1=CCCCCC1 ZINC001323215563 866438269 /nfs/dbraw/zinc/43/82/69/866438269.db2.gz XXOMZAFJQJNQKV-GOEBONIOSA-N 1 2 319.449 1.758 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1=CCCCCC1 ZINC001323215563 866438270 /nfs/dbraw/zinc/43/82/70/866438270.db2.gz XXOMZAFJQJNQKV-GOEBONIOSA-N 1 2 319.449 1.758 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@@]1(C)CC=C(C)CC1 ZINC001320086164 866460067 /nfs/dbraw/zinc/46/00/67/866460067.db2.gz XXUQVDHRFFKFPP-SFHVURJKSA-N 1 2 319.449 1.263 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@@]1(C)CC=C(C)CC1 ZINC001320086164 866460072 /nfs/dbraw/zinc/46/00/72/866460072.db2.gz XXUQVDHRFFKFPP-SFHVURJKSA-N 1 2 319.449 1.263 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)CCc1ccsc1 ZINC001323246260 866468433 /nfs/dbraw/zinc/46/84/33/866468433.db2.gz LDNVAIXGFUSURE-OAHLLOKOSA-N 1 2 306.431 1.521 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)CCc1ccsc1 ZINC001323246260 866468444 /nfs/dbraw/zinc/46/84/44/866468444.db2.gz LDNVAIXGFUSURE-OAHLLOKOSA-N 1 2 306.431 1.521 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)CCC(C)(F)F ZINC001323261828 866483181 /nfs/dbraw/zinc/48/31/81/866483181.db2.gz KWQXQIDQPSCVKT-NEPJUHHUSA-N 1 2 317.380 1.303 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)CCC(C)(F)F ZINC001323261828 866483192 /nfs/dbraw/zinc/48/31/92/866483192.db2.gz KWQXQIDQPSCVKT-NEPJUHHUSA-N 1 2 317.380 1.303 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1CC ZINC001323307584 866517575 /nfs/dbraw/zinc/51/75/75/866517575.db2.gz FAPZYQRLEIYCSQ-BZUAXINKSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1CC ZINC001323307584 866517578 /nfs/dbraw/zinc/51/75/78/866517578.db2.gz FAPZYQRLEIYCSQ-BZUAXINKSA-N 1 2 321.465 1.648 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@H](CC)Oc1ccccc1F ZINC001323337265 866544711 /nfs/dbraw/zinc/54/47/11/866544711.db2.gz WKHXQKBMXGWAHV-ZFWWWQNUSA-N 1 2 304.365 1.807 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H](CC)Oc1ccccc1F ZINC001323337265 866544719 /nfs/dbraw/zinc/54/47/19/866544719.db2.gz WKHXQKBMXGWAHV-ZFWWWQNUSA-N 1 2 304.365 1.807 20 30 DDEDLO Cc1cc(NS(=O)(=O)c2ccc(C[NH3+])cc2)nc(C)c1C#N ZINC001161748027 866959197 /nfs/dbraw/zinc/95/91/97/866959197.db2.gz DFEKXAXWYNOPBR-UHFFFAOYSA-N 1 2 316.386 1.830 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1CC(NC(=O)Cn2cc[nH+]c2)C1 ZINC001333728042 866968062 /nfs/dbraw/zinc/96/80/62/866968062.db2.gz JWYDAASWLCVBKX-KVULBXGLSA-N 1 2 318.421 1.496 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@@]23CCO[C@@H]2CCCC3)C1 ZINC001323953394 866973338 /nfs/dbraw/zinc/97/33/38/866973338.db2.gz BZQDPTUSYYSXOV-AEFFLSMTSA-N 1 2 322.449 1.681 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNC(F)(F)c1cc(C#N)cc(Cl)n1 ZINC001161950378 867133431 /nfs/dbraw/zinc/13/34/31/867133431.db2.gz NUSLJYCKIPRROL-MRVPVSSYSA-N 1 2 318.711 1.136 20 30 DDEDLO C#CCN(C(C)=O)C1CC[NH+]([C@@H](C)c2nnc(CC)o2)CC1 ZINC001324352794 867219849 /nfs/dbraw/zinc/21/98/49/867219849.db2.gz KKDYDDPMDOTLRX-LBPRGKRZSA-N 1 2 304.394 1.639 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001324559857 867355574 /nfs/dbraw/zinc/35/55/74/867355574.db2.gz KCBQDCOMJTXJFR-SJLPKXTDSA-N 1 2 320.481 1.945 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001324559856 867356025 /nfs/dbraw/zinc/35/60/25/867356025.db2.gz KCBQDCOMJTXJFR-FUHWJXTLSA-N 1 2 320.481 1.945 20 30 DDEDLO C=CCCCC(=O)N[C@]1(C)CC[N@@H+](Cc2cn(CC)nn2)C1 ZINC001324645097 867423452 /nfs/dbraw/zinc/42/34/52/867423452.db2.gz AUQOGBLMNZPAJI-MRXNPFEDSA-N 1 2 305.426 1.735 20 30 DDEDLO C=CCCCC(=O)N[C@]1(C)CC[N@H+](Cc2cn(CC)nn2)C1 ZINC001324645097 867423460 /nfs/dbraw/zinc/42/34/60/867423460.db2.gz AUQOGBLMNZPAJI-MRXNPFEDSA-N 1 2 305.426 1.735 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC/C=C\C[NH2+][C@H](C)c1nc(C)no1 ZINC001321252024 867438115 /nfs/dbraw/zinc/43/81/15/867438115.db2.gz MHLUNUXFWTYUBL-GTIGFWQJSA-N 1 2 320.393 1.292 20 30 DDEDLO C=CCOCC(=O)NC[C@]1(C)CCC[N@H+](Cc2ncccn2)C1 ZINC001324921089 867627687 /nfs/dbraw/zinc/62/76/87/867627687.db2.gz KWSSFWYSANDGLI-KRWDZBQOSA-N 1 2 318.421 1.398 20 30 DDEDLO C=CCOCC(=O)NC[C@]1(C)CCC[N@@H+](Cc2ncccn2)C1 ZINC001324921089 867627695 /nfs/dbraw/zinc/62/76/95/867627695.db2.gz KWSSFWYSANDGLI-KRWDZBQOSA-N 1 2 318.421 1.398 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)CCC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001324922681 867628809 /nfs/dbraw/zinc/62/88/09/867628809.db2.gz SHCDYRRQPWTTCH-QGZVFWFLSA-N 1 2 319.453 1.983 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)CCC[N@H+](Cc2cnn(CC)n2)C1 ZINC001324922681 867628817 /nfs/dbraw/zinc/62/88/17/867628817.db2.gz SHCDYRRQPWTTCH-QGZVFWFLSA-N 1 2 319.453 1.983 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@]1(O)CC[N@H+](Cc2nonc2C)C1 ZINC001325122682 867790140 /nfs/dbraw/zinc/79/01/40/867790140.db2.gz HHXLRNDFJBNQEH-XJKSGUPXSA-N 1 2 322.409 1.033 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@]1(O)CC[N@@H+](Cc2nonc2C)C1 ZINC001325122682 867790143 /nfs/dbraw/zinc/79/01/43/867790143.db2.gz HHXLRNDFJBNQEH-XJKSGUPXSA-N 1 2 322.409 1.033 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)C[N@H+](C)Cc1cc2n(n1)CCC2 ZINC001322222215 868059436 /nfs/dbraw/zinc/05/94/36/868059436.db2.gz DMEHOPAVXWUAEL-HNNXBMFYSA-N 1 2 316.449 1.959 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)C[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC001322222215 868059447 /nfs/dbraw/zinc/05/94/47/868059447.db2.gz DMEHOPAVXWUAEL-HNNXBMFYSA-N 1 2 316.449 1.959 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC001322880244 868441852 /nfs/dbraw/zinc/44/18/52/868441852.db2.gz OIYJYNIVDGAQOE-OLZOCXBDSA-N 1 2 304.394 1.207 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H](CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001335651601 868452985 /nfs/dbraw/zinc/45/29/85/868452985.db2.gz BJOVMSXLCDTOLL-HDJSIYSDSA-N 1 2 316.405 1.075 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCC[C@@H](C)NC(=O)C#CC1CC1 ZINC001336060936 868706125 /nfs/dbraw/zinc/70/61/25/868706125.db2.gz LRSXAZGUVLDUFP-GFCCVEGCSA-N 1 2 316.405 1.075 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)[C@@H]1C ZINC001337903138 869679577 /nfs/dbraw/zinc/67/95/77/869679577.db2.gz ALVASCPBOBDGRZ-JSGCOSHPSA-N 1 2 304.394 1.034 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@@H](C)N(C(=O)C#CC(C)C)C1 ZINC001338088013 869792794 /nfs/dbraw/zinc/79/27/94/869792794.db2.gz GTCNHFUHYLAIEQ-OCCSQVGLSA-N 1 2 316.405 1.026 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H](C)N(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001338108340 869809599 /nfs/dbraw/zinc/80/95/99/869809599.db2.gz OFTMXDCESKQYFM-DZGCQCFKSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H](C)N(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001338108340 869809603 /nfs/dbraw/zinc/80/96/03/869809603.db2.gz OFTMXDCESKQYFM-DZGCQCFKSA-N 1 2 318.421 1.804 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1C[C@H](C)N(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001338151170 869836943 /nfs/dbraw/zinc/83/69/43/869836943.db2.gz DVXWJBNJILHWAP-ZFWWWQNUSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C[C@@H](COC)NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC001338164838 869842903 /nfs/dbraw/zinc/84/29/03/869842903.db2.gz MACKNGPVPGSHHH-AWEZNQCLSA-N 1 2 300.362 1.873 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)CNC(=O)c1ccccc1 ZINC001381619738 882346186 /nfs/dbraw/zinc/34/61/86/882346186.db2.gz GNAFGGFBPXKRRM-CYBMUJFWSA-N 1 2 323.824 1.605 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)CNC(=O)c1ccccc1 ZINC001381619738 882346204 /nfs/dbraw/zinc/34/62/04/882346204.db2.gz GNAFGGFBPXKRRM-CYBMUJFWSA-N 1 2 323.824 1.605 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[NH2+]Cc2noc(C3CC3)n2)C1 ZINC001166230627 869915058 /nfs/dbraw/zinc/91/50/58/869915058.db2.gz DDRYDAIGZLVFPU-UHFFFAOYSA-N 1 2 304.394 1.899 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)c2cccc(C3CC3)c2)CC1 ZINC001316967619 870009057 /nfs/dbraw/zinc/00/90/57/870009057.db2.gz GYCPFDQKIWAKBL-UHFFFAOYSA-N 1 2 312.417 1.435 20 30 DDEDLO CC(C)OCC(=O)N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001316970441 870018305 /nfs/dbraw/zinc/01/83/05/870018305.db2.gz CMKWMHKUBWSWLP-QGZVFWFLSA-N 1 2 318.392 1.793 20 30 DDEDLO CC(C)OCC(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001316970441 870018323 /nfs/dbraw/zinc/01/83/23/870018323.db2.gz CMKWMHKUBWSWLP-QGZVFWFLSA-N 1 2 318.392 1.793 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001316979582 870049581 /nfs/dbraw/zinc/04/95/81/870049581.db2.gz KHYIKGDDJVGOQL-AWEZNQCLSA-N 1 2 304.394 1.532 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001316979582 870049594 /nfs/dbraw/zinc/04/95/94/870049594.db2.gz KHYIKGDDJVGOQL-AWEZNQCLSA-N 1 2 304.394 1.532 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)CC#C)n2CC=C)CC1 ZINC001338690864 870116527 /nfs/dbraw/zinc/11/65/27/870116527.db2.gz NTTXXCDXYPRTLY-UHFFFAOYSA-N 1 2 311.433 1.520 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC=CCC1 ZINC001317044693 870158054 /nfs/dbraw/zinc/15/80/54/870158054.db2.gz URYYMDWVWSFYCS-YESZJQIVSA-N 1 2 302.418 1.668 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC=CCC1 ZINC001317044693 870158058 /nfs/dbraw/zinc/15/80/58/870158058.db2.gz URYYMDWVWSFYCS-YESZJQIVSA-N 1 2 302.418 1.668 20 30 DDEDLO C=CCn1c(N(C)CC(=C)C)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001339069205 870329661 /nfs/dbraw/zinc/32/96/61/870329661.db2.gz MKJNPNNPHDTMQO-CJNGLKHVSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCn1c(N(C)CC(=C)C)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001339069205 870329676 /nfs/dbraw/zinc/32/96/76/870329676.db2.gz MKJNPNNPHDTMQO-CJNGLKHVSA-N 1 2 305.426 1.388 20 30 DDEDLO CN(C(=O)C#CC(C)(C)C)C1CC(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001339190275 870397108 /nfs/dbraw/zinc/39/71/08/870397108.db2.gz KQNAJHKEMINWAH-UHFFFAOYSA-N 1 2 316.405 1.107 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H](C)CNC(=O)C#CC(C)(C)C)o1 ZINC001317240754 870521521 /nfs/dbraw/zinc/52/15/21/870521521.db2.gz IHAJFCVTGYFUDV-GFCCVEGCSA-N 1 2 306.410 1.837 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C1C[NH+](CC(=O)NC2CCCC2)C1 ZINC001276414336 870674612 /nfs/dbraw/zinc/67/46/12/870674612.db2.gz OQNKMIGOCGOSDN-ZDUSSCGKSA-N 1 2 321.465 1.694 20 30 DDEDLO COc1ccc([N+](=O)[O-])c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001226447404 882435453 /nfs/dbraw/zinc/43/54/53/882435453.db2.gz RWHODZHSOIWFTN-HJTUNCCVSA-N 1 2 306.318 1.595 20 30 DDEDLO COc1ccc([N+](=O)[O-])c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001226447404 882435468 /nfs/dbraw/zinc/43/54/68/882435468.db2.gz RWHODZHSOIWFTN-HJTUNCCVSA-N 1 2 306.318 1.595 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]([N@H+](C)Cc2nccn2C)C1 ZINC001317372971 870726785 /nfs/dbraw/zinc/72/67/85/870726785.db2.gz IGMGUZJBYKCJJF-HNNXBMFYSA-N 1 2 302.422 1.502 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]([N@@H+](C)Cc2nccn2C)C1 ZINC001317372971 870726797 /nfs/dbraw/zinc/72/67/97/870726797.db2.gz IGMGUZJBYKCJJF-HNNXBMFYSA-N 1 2 302.422 1.502 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)[C@@H]2C)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001339858250 870737778 /nfs/dbraw/zinc/73/77/78/870737778.db2.gz ZGJVUCNTKHIRHQ-SZOQZIPDSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)[C@@H]2C)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001339858250 870737788 /nfs/dbraw/zinc/73/77/88/870737788.db2.gz ZGJVUCNTKHIRHQ-SZOQZIPDSA-N 1 2 319.453 1.610 20 30 DDEDLO CCOC(=O)C1(C#N)CC[NH+](Cc2ccc(O)c(O)c2)CC1 ZINC001203880302 870816194 /nfs/dbraw/zinc/81/61/94/870816194.db2.gz NTYRZMGMMVOIRQ-UHFFFAOYSA-N 1 2 304.346 1.767 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001299054704 870867558 /nfs/dbraw/zinc/86/75/58/870867558.db2.gz DAIGJBNBDRDMKM-KGLIPLIRSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@H+](C)CCCS(C)(=O)=O)CC1 ZINC001317458527 870874224 /nfs/dbraw/zinc/87/42/24/870874224.db2.gz BOGONHYOUPVWNW-UHFFFAOYSA-N 1 2 316.467 1.216 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@@H+](C)CCCS(C)(=O)=O)CC1 ZINC001317458527 870874231 /nfs/dbraw/zinc/87/42/31/870874231.db2.gz BOGONHYOUPVWNW-UHFFFAOYSA-N 1 2 316.467 1.216 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC=C(C)CC1 ZINC001340257962 870992247 /nfs/dbraw/zinc/99/22/47/870992247.db2.gz CMDTXQRDYPLTDL-ZIAGYGMSSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC=C(C)CC1 ZINC001340257962 870992265 /nfs/dbraw/zinc/99/22/65/870992265.db2.gz CMDTXQRDYPLTDL-ZIAGYGMSSA-N 1 2 303.410 1.358 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](CCC)Cc1ncccn1 ZINC001317545096 871037121 /nfs/dbraw/zinc/03/71/21/871037121.db2.gz FPNBMMAWLODMNR-CABCVRRESA-N 1 2 318.421 1.396 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](CCC)Cc1ncccn1 ZINC001317545096 871037141 /nfs/dbraw/zinc/03/71/41/871037141.db2.gz FPNBMMAWLODMNR-CABCVRRESA-N 1 2 318.421 1.396 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC=C ZINC001340569687 871201894 /nfs/dbraw/zinc/20/18/94/871201894.db2.gz DDYRUHMMWJZRFV-WMLDXEAASA-N 1 2 317.437 1.554 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC=C ZINC001340569687 871201915 /nfs/dbraw/zinc/20/19/15/871201915.db2.gz DDYRUHMMWJZRFV-WMLDXEAASA-N 1 2 317.437 1.554 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1nnn(C)n1 ZINC001226533390 882491972 /nfs/dbraw/zinc/49/19/72/882491972.db2.gz WJGABAMDFQKDOU-CHWSQXEVSA-N 1 2 306.414 1.083 20 30 DDEDLO C#CC[N@@H+](CC)Cc1c(CNC(=O)OC(C)(C)C)cnn1C ZINC001204898582 871280839 /nfs/dbraw/zinc/28/08/39/871280839.db2.gz JCCQDELQGKJHKJ-UHFFFAOYSA-N 1 2 306.410 1.900 20 30 DDEDLO C#CC[N@H+](CC)Cc1c(CNC(=O)OC(C)(C)C)cnn1C ZINC001204898582 871280855 /nfs/dbraw/zinc/28/08/55/871280855.db2.gz JCCQDELQGKJHKJ-UHFFFAOYSA-N 1 2 306.410 1.900 20 30 DDEDLO COC(=O)N1CCC[N@@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC001340785941 871350769 /nfs/dbraw/zinc/35/07/69/871350769.db2.gz KYJNBMQYGJMBHG-CZUORRHYSA-N 1 2 324.425 1.203 20 30 DDEDLO COC(=O)N1CCC[N@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC001340785941 871350785 /nfs/dbraw/zinc/35/07/85/871350785.db2.gz KYJNBMQYGJMBHG-CZUORRHYSA-N 1 2 324.425 1.203 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)/C=C/CC)n2CC)CC1 ZINC001340829468 871375007 /nfs/dbraw/zinc/37/50/07/871375007.db2.gz KXWQPPAIEOKACR-HSBSLETESA-N 1 2 303.410 1.053 20 30 DDEDLO C=CCOc1ccc(C[NH2+][C@H](C)c2nnnn2C)cc1OC ZINC001308545106 871508419 /nfs/dbraw/zinc/50/84/19/871508419.db2.gz ZMCGVKCWZMAMJA-LLVKDONJSA-N 1 2 303.366 1.634 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)SC)n2CCOC)CC1 ZINC001341246701 871575614 /nfs/dbraw/zinc/57/56/14/871575614.db2.gz KHKPKBPUHYPRQR-ZDUSSCGKSA-N 1 2 323.466 1.104 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001317849384 871588994 /nfs/dbraw/zinc/58/89/94/871588994.db2.gz UPOQADILYHFTTO-CABCVRRESA-N 1 2 319.453 1.698 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001317849384 871589014 /nfs/dbraw/zinc/58/90/14/871589014.db2.gz UPOQADILYHFTTO-CABCVRRESA-N 1 2 319.453 1.698 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+]([C@H](C)c2ncccn2)CC1 ZINC001226599537 882531218 /nfs/dbraw/zinc/53/12/18/882531218.db2.gz YFFYSYUUZSSKCS-CYBMUJFWSA-N 1 2 304.394 1.321 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CCC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001317969334 871659373 /nfs/dbraw/zinc/65/93/73/871659373.db2.gz UTWXYCKKKHDBPY-WMLDXEAASA-N 1 2 321.421 1.699 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CCC[N@H+](Cc2nc(C)oc2C)C1 ZINC001317969334 871659377 /nfs/dbraw/zinc/65/93/77/871659377.db2.gz UTWXYCKKKHDBPY-WMLDXEAASA-N 1 2 321.421 1.699 20 30 DDEDLO CCc1cc(C(=O)N[C@H]2CCC[N@H+](CC#CCOC)C2)no1 ZINC001317987953 871674519 /nfs/dbraw/zinc/67/45/19/871674519.db2.gz PIIHEFUUMXPHGQ-ZDUSSCGKSA-N 1 2 305.378 1.081 20 30 DDEDLO CCc1cc(C(=O)N[C@H]2CCC[N@@H+](CC#CCOC)C2)no1 ZINC001317987953 871674523 /nfs/dbraw/zinc/67/45/23/871674523.db2.gz PIIHEFUUMXPHGQ-ZDUSSCGKSA-N 1 2 305.378 1.081 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)[C@H](C)n2cc[nH+]c2)c1 ZINC001317999037 871678435 /nfs/dbraw/zinc/67/84/35/871678435.db2.gz QFNWWRAWNWUCJG-ZDUSSCGKSA-N 1 2 310.357 1.523 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1C[NH+](CC(=O)N(CC)CCC#N)C1 ZINC001318140096 871776293 /nfs/dbraw/zinc/77/62/93/871776293.db2.gz MRLWENJFIHJSIX-UHFFFAOYSA-N 1 2 320.437 1.009 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001318214952 871835659 /nfs/dbraw/zinc/83/56/59/871835659.db2.gz KBLZZPHLNQKEHT-CAOSSQGBSA-N 1 2 314.385 1.318 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001318214952 871835666 /nfs/dbraw/zinc/83/56/66/871835666.db2.gz KBLZZPHLNQKEHT-CAOSSQGBSA-N 1 2 314.385 1.318 20 30 DDEDLO C[C@@H](C(=O)NC1CCCC1)[N@@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001318253571 871877643 /nfs/dbraw/zinc/87/76/43/871877643.db2.gz SXDHVAFZDWGEOD-BBRMVZONSA-N 1 2 317.433 1.038 20 30 DDEDLO C[C@@H](C(=O)NC1CCCC1)[N@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001318253571 871877665 /nfs/dbraw/zinc/87/76/65/871877665.db2.gz SXDHVAFZDWGEOD-BBRMVZONSA-N 1 2 317.433 1.038 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2csc(C)c2C)C1 ZINC001318333517 871938852 /nfs/dbraw/zinc/93/88/52/871938852.db2.gz CYCICJRUZASBEP-CYBMUJFWSA-N 1 2 321.446 1.471 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2csc(C)c2C)C1 ZINC001318333517 871938865 /nfs/dbraw/zinc/93/88/65/871938865.db2.gz CYCICJRUZASBEP-CYBMUJFWSA-N 1 2 321.446 1.471 20 30 DDEDLO CCc1noc([C@@H](C)[NH+]2CCC(NC(=O)[C@H](C)C#N)CC2)n1 ZINC001226648011 882564539 /nfs/dbraw/zinc/56/45/39/882564539.db2.gz GIVKBVLUWKJIIP-GHMZBOCLSA-N 1 2 305.382 1.433 20 30 DDEDLO C=CCn1c([C@@H](C)O)nnc1N1CCN(c2cccc[nH+]2)CC1 ZINC001342120073 872017431 /nfs/dbraw/zinc/01/74/31/872017431.db2.gz YRMOEFFFROCGSA-CYBMUJFWSA-N 1 2 314.393 1.239 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@H]1CC[N@@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001318456922 872067155 /nfs/dbraw/zinc/06/71/55/872067155.db2.gz FGKSEYSWNGVUQT-JSGCOSHPSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@H]1CC[N@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001318456922 872067176 /nfs/dbraw/zinc/06/71/76/872067176.db2.gz FGKSEYSWNGVUQT-JSGCOSHPSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CC[C@H](NC(=O)NCC[N@H+]1CCOC[C@@H]1C)c1ccncc1 ZINC001318521550 872128778 /nfs/dbraw/zinc/12/87/78/872128778.db2.gz BZIJHVKVCPNWLH-HOCLYGCPSA-N 1 2 318.421 1.719 20 30 DDEDLO C=CC[C@H](NC(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1ccncc1 ZINC001318521550 872128788 /nfs/dbraw/zinc/12/87/88/872128788.db2.gz BZIJHVKVCPNWLH-HOCLYGCPSA-N 1 2 318.421 1.719 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001342589405 872281563 /nfs/dbraw/zinc/28/15/63/872281563.db2.gz JAZGPPPDILXKCM-SUYBPPKGSA-N 1 2 318.421 1.518 20 30 DDEDLO CCc1nc(C)c(C(=O)N[C@@H]2CCC[N@H+](CC#CCOC)C2)o1 ZINC001316955670 872462766 /nfs/dbraw/zinc/46/27/66/872462766.db2.gz KVJPYAPKCKADSC-CQSZACIVSA-N 1 2 319.405 1.389 20 30 DDEDLO CCc1nc(C)c(C(=O)N[C@@H]2CCC[N@@H+](CC#CCOC)C2)o1 ZINC001316955670 872462781 /nfs/dbraw/zinc/46/27/81/872462781.db2.gz KVJPYAPKCKADSC-CQSZACIVSA-N 1 2 319.405 1.389 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)C[C@H](C)n2cccn2)C1 ZINC001319331287 872581614 /nfs/dbraw/zinc/58/16/14/872581614.db2.gz XLYCBYIHZMPPHY-LSDHHAIUSA-N 1 2 306.410 1.227 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)C[C@H](C)n2cccn2)C1 ZINC001319331287 872581626 /nfs/dbraw/zinc/58/16/26/872581626.db2.gz XLYCBYIHZMPPHY-LSDHHAIUSA-N 1 2 306.410 1.227 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@@H](CC(C)C)NC(=O)C#CC(C)C)o1 ZINC001319608428 872716412 /nfs/dbraw/zinc/71/64/12/872716412.db2.gz HHMYJEXLCOCIKZ-CQSZACIVSA-N 1 2 306.410 1.658 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@H+](CC=C(Cl)Cl)C[C@H]1C ZINC001206957772 872845869 /nfs/dbraw/zinc/84/58/69/872845869.db2.gz METWIAJPAMAXOQ-VXGBXAGGSA-N 1 2 319.232 1.782 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@@H+](CC=C(Cl)Cl)C[C@H]1C ZINC001206957772 872845862 /nfs/dbraw/zinc/84/58/62/872845862.db2.gz METWIAJPAMAXOQ-VXGBXAGGSA-N 1 2 319.232 1.782 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001206960115 872848828 /nfs/dbraw/zinc/84/88/28/872848828.db2.gz TWDHPFBJJOMDJK-UKRRQHHQSA-N 1 2 319.405 1.213 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001206960115 872848837 /nfs/dbraw/zinc/84/88/37/872848837.db2.gz TWDHPFBJJOMDJK-UKRRQHHQSA-N 1 2 319.405 1.213 20 30 DDEDLO Cn1cc(C[N@@H+]2CC3CC2(C(=O)NCc2ccco2)C3)cc1C#N ZINC001207105355 873018368 /nfs/dbraw/zinc/01/83/68/873018368.db2.gz UVAYDOUQLPVFTM-UHFFFAOYSA-N 1 2 324.384 1.771 20 30 DDEDLO Cn1cc(C[N@H+]2CC3CC2(C(=O)NCc2ccco2)C3)cc1C#N ZINC001207105355 873018387 /nfs/dbraw/zinc/01/83/87/873018387.db2.gz UVAYDOUQLPVFTM-UHFFFAOYSA-N 1 2 324.384 1.771 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2nonc2C)C[C@H]1C ZINC001207158244 873083592 /nfs/dbraw/zinc/08/35/92/873083592.db2.gz MQZIJXXMRZPTTM-DGCLKSJQSA-N 1 2 306.410 1.917 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2nonc2C)C[C@H]1C ZINC001207158244 873083610 /nfs/dbraw/zinc/08/36/10/873083610.db2.gz MQZIJXXMRZPTTM-DGCLKSJQSA-N 1 2 306.410 1.917 20 30 DDEDLO C=CCN(C(=O)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1)C1CC1 ZINC001344968544 873200766 /nfs/dbraw/zinc/20/07/66/873200766.db2.gz BEHUIZYKKBNPMI-ZFWWWQNUSA-N 1 2 316.405 1.470 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2CCCN(C(=O)C#CC(C)(C)C)C2)o1 ZINC001208011107 873747036 /nfs/dbraw/zinc/74/70/36/873747036.db2.gz VTNWUUXMSIDICP-ZDUSSCGKSA-N 1 2 318.421 1.762 20 30 DDEDLO C=CCNC(=O)N1CCC[C@]2(C1)C[N@H+](Cc1ccc[nH]1)CCO2 ZINC001277173766 882772680 /nfs/dbraw/zinc/77/26/80/882772680.db2.gz IPLAPABXHGNNFZ-QGZVFWFLSA-N 1 2 318.421 1.577 20 30 DDEDLO C=CCNC(=O)N1CCC[C@]2(C1)C[N@@H+](Cc1ccc[nH]1)CCO2 ZINC001277173766 882772686 /nfs/dbraw/zinc/77/26/86/882772686.db2.gz IPLAPABXHGNNFZ-QGZVFWFLSA-N 1 2 318.421 1.577 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2CCC[N@@H+]2C)n1CCCOCC ZINC001346975865 873957408 /nfs/dbraw/zinc/95/74/08/873957408.db2.gz FYIDOWOQNYKNMY-LSDHHAIUSA-N 1 2 319.453 1.929 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2CCC[N@H+]2C)n1CCCOCC ZINC001346975865 873957424 /nfs/dbraw/zinc/95/74/24/873957424.db2.gz FYIDOWOQNYKNMY-LSDHHAIUSA-N 1 2 319.453 1.929 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208388846 874096620 /nfs/dbraw/zinc/09/66/20/874096620.db2.gz QJNYMZQRDLCBRR-MGPQQGTHSA-N 1 2 316.467 1.070 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208388846 874096632 /nfs/dbraw/zinc/09/66/32/874096632.db2.gz QJNYMZQRDLCBRR-MGPQQGTHSA-N 1 2 316.467 1.070 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2COC[C@H](C1)[N@@H+]2CCc1ccc(C#N)cc1 ZINC001208927418 874531736 /nfs/dbraw/zinc/53/17/36/874531736.db2.gz HILRKZLFSMQJFI-OSYLJGHBSA-N 1 2 314.385 1.753 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2COC[C@H](C1)[N@H+]2CCc1ccc(C#N)cc1 ZINC001208927418 874531745 /nfs/dbraw/zinc/53/17/45/874531745.db2.gz HILRKZLFSMQJFI-OSYLJGHBSA-N 1 2 314.385 1.753 20 30 DDEDLO CCCCCCC(=O)N[C@H]1C[N@H+](CC(=O)NCC#N)CC1(C)C ZINC001276624359 874730404 /nfs/dbraw/zinc/73/04/04/874730404.db2.gz JNDAHRXTCZGIED-AWEZNQCLSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCCCCC(=O)N[C@H]1C[N@@H+](CC(=O)NCC#N)CC1(C)C ZINC001276624359 874730411 /nfs/dbraw/zinc/73/04/11/874730411.db2.gz JNDAHRXTCZGIED-AWEZNQCLSA-N 1 2 322.453 1.423 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)cn1 ZINC001378643538 875308628 /nfs/dbraw/zinc/30/86/28/875308628.db2.gz OEKPLVBBUCNGBO-RISCZKNCSA-N 1 2 301.394 1.271 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)cn1 ZINC001378643538 875308645 /nfs/dbraw/zinc/30/86/45/875308645.db2.gz OEKPLVBBUCNGBO-RISCZKNCSA-N 1 2 301.394 1.271 20 30 DDEDLO C=CCC1(C(=O)NCCCNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001350382686 875656441 /nfs/dbraw/zinc/65/64/41/875656441.db2.gz CBOJSNOFTXAQEP-UHFFFAOYSA-N 1 2 304.394 1.321 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+]([C@H](C)c2nc(C)no2)CC1 ZINC001227307912 882966058 /nfs/dbraw/zinc/96/60/58/882966058.db2.gz MCJXBQWSIWNDMD-VXGBXAGGSA-N 1 2 320.393 1.058 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213270983 875869728 /nfs/dbraw/zinc/86/97/28/875869728.db2.gz BPUFBTHANUOEPC-ZIAGYGMSSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213270983 875869733 /nfs/dbraw/zinc/86/97/33/875869733.db2.gz BPUFBTHANUOEPC-ZIAGYGMSSA-N 1 2 307.394 1.511 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2CC3(CCC3)C2)[C@H](OC)C1 ZINC001213294831 875881695 /nfs/dbraw/zinc/88/16/95/875881695.db2.gz HGJHGHQJYQIGRI-HZPDHXFCSA-N 1 2 320.433 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2CC3(CCC3)C2)[C@H](OC)C1 ZINC001213294831 875881699 /nfs/dbraw/zinc/88/16/99/875881699.db2.gz HGJHGHQJYQIGRI-HZPDHXFCSA-N 1 2 320.433 1.032 20 30 DDEDLO CC#CCCCC(=O)N(C)CCCNC(=O)Cc1c[nH]c[nH+]1 ZINC001351958198 876534324 /nfs/dbraw/zinc/53/43/24/876534324.db2.gz LSNAYJDNCJIKFQ-UHFFFAOYSA-N 1 2 304.394 1.111 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@H](CC#N)c1ccccc1 ZINC001362116996 883081079 /nfs/dbraw/zinc/08/10/79/883081079.db2.gz UPMFERDUVKGPDP-NVXWUHKLSA-N 1 2 314.433 1.436 20 30 DDEDLO C#CCN(Cc1ccon1)C1CC[NH+](Cc2ccon2)CC1 ZINC001352935582 877023066 /nfs/dbraw/zinc/02/30/66/877023066.db2.gz RBTXYLWRAGRRLN-UHFFFAOYSA-N 1 2 300.362 1.762 20 30 DDEDLO C#CC[N@H+](Cc1ccon1)C1CCN(Cc2ccon2)CC1 ZINC001352935582 877023078 /nfs/dbraw/zinc/02/30/78/877023078.db2.gz RBTXYLWRAGRRLN-UHFFFAOYSA-N 1 2 300.362 1.762 20 30 DDEDLO C#CC[N@@H+](Cc1ccon1)C1CCN(Cc2ccon2)CC1 ZINC001352935582 877023092 /nfs/dbraw/zinc/02/30/92/877023092.db2.gz RBTXYLWRAGRRLN-UHFFFAOYSA-N 1 2 300.362 1.762 20 30 DDEDLO N#Cc1ccc(NCC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)nc1 ZINC001362119011 883088102 /nfs/dbraw/zinc/08/81/02/883088102.db2.gz QEOIQOCSVHIRLY-AWEZNQCLSA-N 1 2 310.361 1.425 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cc(C)no3)C[C@@H]21 ZINC001217752952 877309108 /nfs/dbraw/zinc/30/91/08/877309108.db2.gz PDOZXMFPESPEBN-JKSUJKDBSA-N 1 2 317.389 1.198 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cc(C)no3)C[C@@H]21 ZINC001217752952 877309124 /nfs/dbraw/zinc/30/91/24/877309124.db2.gz PDOZXMFPESPEBN-JKSUJKDBSA-N 1 2 317.389 1.198 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001354886529 878296808 /nfs/dbraw/zinc/29/68/08/878296808.db2.gz YILIYWCPGFGHRZ-CQSZACIVSA-N 1 2 304.394 1.204 20 30 DDEDLO CC/C(C)=C\C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219576180 878350681 /nfs/dbraw/zinc/35/06/81/878350681.db2.gz JIUMDMJISYMLBC-MTLXSTRDSA-N 1 2 312.413 1.556 20 30 DDEDLO CC/C(C)=C\C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219576180 878350693 /nfs/dbraw/zinc/35/06/93/878350693.db2.gz JIUMDMJISYMLBC-MTLXSTRDSA-N 1 2 312.413 1.556 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[N@H+]1[C@H](C)c1cnc(C)cn1 ZINC001276809642 878639853 /nfs/dbraw/zinc/63/98/53/878639853.db2.gz LSANKUQCTUTZGN-ZIAGYGMSSA-N 1 2 304.394 1.239 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[N@@H+]1[C@H](C)c1cnc(C)cn1 ZINC001276809642 878639861 /nfs/dbraw/zinc/63/98/61/878639861.db2.gz LSANKUQCTUTZGN-ZIAGYGMSSA-N 1 2 304.394 1.239 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1O ZINC001220076160 878693148 /nfs/dbraw/zinc/69/31/48/878693148.db2.gz RZJHCGQUVRKFNE-WPHRSPPPSA-N 1 2 316.829 1.129 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1O ZINC001220076160 878693155 /nfs/dbraw/zinc/69/31/55/878693155.db2.gz RZJHCGQUVRKFNE-WPHRSPPPSA-N 1 2 316.829 1.129 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220123391 878717294 /nfs/dbraw/zinc/71/72/94/878717294.db2.gz CXWJUAWAUPDKLN-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220123391 878717300 /nfs/dbraw/zinc/71/73/00/878717300.db2.gz CXWJUAWAUPDKLN-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@@H]1O ZINC001220141094 878729390 /nfs/dbraw/zinc/72/93/90/878729390.db2.gz INZLVGNOHZQRNH-CABCVRRESA-N 1 2 306.381 1.699 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@@H]1O ZINC001220141094 878729399 /nfs/dbraw/zinc/72/93/99/878729399.db2.gz INZLVGNOHZQRNH-CABCVRRESA-N 1 2 306.381 1.699 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220293559 878891359 /nfs/dbraw/zinc/89/13/59/878891359.db2.gz LRCCLVNKCDFDLZ-KEYYUXOJSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220293559 878891365 /nfs/dbraw/zinc/89/13/65/878891365.db2.gz LRCCLVNKCDFDLZ-KEYYUXOJSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CCCC(=O)N(CCNC(=O)Cc1[nH]c[nH+]c1C)C1CC1 ZINC001356637355 879144098 /nfs/dbraw/zinc/14/40/98/879144098.db2.gz AVWAERWALYBJAP-UHFFFAOYSA-N 1 2 304.394 1.334 20 30 DDEDLO CCc1nnc(C[NH+]2CCC(C)(NC(=O)[C@@H](C)C#N)CC2)o1 ZINC001380298384 879268538 /nfs/dbraw/zinc/26/85/38/879268538.db2.gz KSCKLYHARMQPFU-NSHDSACASA-N 1 2 305.382 1.262 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1O)c1ccccc1 ZINC001221044911 879469255 /nfs/dbraw/zinc/46/92/55/879469255.db2.gz PRDJXUVNKBJAEN-DFYOVEGZSA-N 1 2 320.820 1.870 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1O)c1ccccc1 ZINC001221044911 879469262 /nfs/dbraw/zinc/46/92/62/879469262.db2.gz PRDJXUVNKBJAEN-DFYOVEGZSA-N 1 2 320.820 1.870 20 30 DDEDLO C=CCC[C@H](C(=O)N[C@@H]1C[N@@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221199040 879564556 /nfs/dbraw/zinc/56/45/56/879564556.db2.gz NZIYOIUMKXLCCE-BBWFWOEESA-N 1 2 320.408 1.867 20 30 DDEDLO C=CCC[C@H](C(=O)N[C@@H]1C[N@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221199040 879564565 /nfs/dbraw/zinc/56/45/65/879564565.db2.gz NZIYOIUMKXLCCE-BBWFWOEESA-N 1 2 320.408 1.867 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3csnc3C)[C@@H]2C1 ZINC001221484545 879871405 /nfs/dbraw/zinc/87/14/05/879871405.db2.gz VSKWPHPTJMGMOE-UKRRQHHQSA-N 1 2 319.430 1.248 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3csnc3C)[C@@H]2C1 ZINC001221484545 879871428 /nfs/dbraw/zinc/87/14/28/879871428.db2.gz VSKWPHPTJMGMOE-UKRRQHHQSA-N 1 2 319.430 1.248 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001221561913 879943422 /nfs/dbraw/zinc/94/34/22/879943422.db2.gz BOEXUFDJZJLIPW-IJLUTSLNSA-N 1 2 324.318 1.622 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001221561913 879943428 /nfs/dbraw/zinc/94/34/28/879943428.db2.gz BOEXUFDJZJLIPW-IJLUTSLNSA-N 1 2 324.318 1.622 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCC)C[C@H]21 ZINC001221730792 880032925 /nfs/dbraw/zinc/03/29/25/880032925.db2.gz SRADGUFOBWCRGR-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCC)C[C@H]21 ZINC001221730792 880032943 /nfs/dbraw/zinc/03/29/43/880032943.db2.gz SRADGUFOBWCRGR-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO N#Cc1ccccc1C[NH2+]C1(CNC(=O)c2ncn[nH]2)CCC1 ZINC001380882784 880600332 /nfs/dbraw/zinc/60/03/32/880600332.db2.gz WDBCQSFRLOKKAR-UHFFFAOYSA-N 1 2 310.361 1.119 20 30 DDEDLO N#Cc1ccccc1C[NH2+]C1(CNC(=O)c2nc[nH]n2)CCC1 ZINC001380882784 880600339 /nfs/dbraw/zinc/60/03/39/880600339.db2.gz WDBCQSFRLOKKAR-UHFFFAOYSA-N 1 2 310.361 1.119 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001287921283 912588339 /nfs/dbraw/zinc/58/83/39/912588339.db2.gz JPCAJBUHPIHYPK-JSGCOSHPSA-N 1 2 316.405 1.170 20 30 DDEDLO C#CCCCC(=O)NCC1(NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC001358658161 880710924 /nfs/dbraw/zinc/71/09/24/880710924.db2.gz JBQKOWAUABBKGB-CYBMUJFWSA-N 1 2 316.405 1.157 20 30 DDEDLO C#CCCCC(=O)NCC1(NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC001358658161 880710932 /nfs/dbraw/zinc/71/09/32/880710932.db2.gz JBQKOWAUABBKGB-CYBMUJFWSA-N 1 2 316.405 1.157 20 30 DDEDLO N#CCN1C[C@@H]2CC[C@@H](NC(=O)CCCn3cc[nH+]c3)C[C@H]2C1 ZINC001276841212 880712064 /nfs/dbraw/zinc/71/20/64/880712064.db2.gz VRRQJWMZSMYDQL-HRCADAONSA-N 1 2 315.421 1.404 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)C(C)(C)C(C)C)C1=O ZINC001276859503 880777451 /nfs/dbraw/zinc/77/74/51/880777451.db2.gz BNHQTDYMJJSHBR-GJZGRUSLSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)C(C)(C)C(C)C)C1=O ZINC001276859503 880777457 /nfs/dbraw/zinc/77/74/57/880777457.db2.gz BNHQTDYMJJSHBR-GJZGRUSLSA-N 1 2 321.465 1.646 20 30 DDEDLO Cn1ncnc1C[NH+]1CCC(CNC(=O)C#CC(C)(C)C)CC1 ZINC001223142929 880852006 /nfs/dbraw/zinc/85/20/06/880852006.db2.gz ORTLKUCKCVUXFC-UHFFFAOYSA-N 1 2 317.437 1.193 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H](C)N(C(=O)CCn2cc[nH+]c2)C1 ZINC001287943475 912614226 /nfs/dbraw/zinc/61/42/26/912614226.db2.gz PWGSIJORTFPLDB-GJZGRUSLSA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCCC(=O)N[C@@]1(C)CCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001358782551 880901069 /nfs/dbraw/zinc/90/10/69/880901069.db2.gz MDCJRFHNQSXBPA-KRWDZBQOSA-N 1 2 318.421 1.737 20 30 DDEDLO C=C(C)CCC(=O)NCC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001223362340 880930883 /nfs/dbraw/zinc/93/08/83/880930883.db2.gz MQXZEVGHZSHVPU-UHFFFAOYSA-N 1 2 319.453 1.983 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCCC[C@@H]1C ZINC001276901472 881010765 /nfs/dbraw/zinc/01/07/65/881010765.db2.gz UDVMUOLEQSFGES-LSDHHAIUSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCCC[C@@H]1C ZINC001276901472 881010780 /nfs/dbraw/zinc/01/07/80/881010780.db2.gz UDVMUOLEQSFGES-LSDHHAIUSA-N 1 2 321.465 1.790 20 30 DDEDLO N#Cc1c(Cl)cccc1C[NH+]1CC2(C1)CCOC[C@H]2C(N)=O ZINC001276944295 881206423 /nfs/dbraw/zinc/20/64/23/881206423.db2.gz YSWWVSCAPCOVFT-ZDUSSCGKSA-N 1 2 319.792 1.535 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OCc2ccc(C#N)cc2OC)C[N@@H+]1C ZINC001224192660 881266849 /nfs/dbraw/zinc/26/68/49/881266849.db2.gz RLEWZYVXJWWRPD-KGLIPLIRSA-N 1 2 304.346 1.329 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OCc2ccc(C#N)cc2OC)C[N@H+]1C ZINC001224192660 881266864 /nfs/dbraw/zinc/26/68/64/881266864.db2.gz RLEWZYVXJWWRPD-KGLIPLIRSA-N 1 2 304.346 1.329 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H](C)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001382195632 883615824 /nfs/dbraw/zinc/61/58/24/883615824.db2.gz FAWLVRKBCFZHBB-QWRGUYRKSA-N 1 2 313.361 1.299 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001228809740 883681438 /nfs/dbraw/zinc/68/14/38/883681438.db2.gz KXTLYUHLXJRUCD-AWEZNQCLSA-N 1 2 319.453 1.981 20 30 DDEDLO CC[N@@H+]1CC[C@H]1C(=O)Nc1nn(-c2ccc(C#N)cn2)cc1C ZINC001362498458 883946722 /nfs/dbraw/zinc/94/67/22/883946722.db2.gz UXPSTPBNKWKQQY-ZDUSSCGKSA-N 1 2 310.361 1.480 20 30 DDEDLO CC[N@H+]1CC[C@H]1C(=O)Nc1nn(-c2ccc(C#N)cn2)cc1C ZINC001362498458 883946732 /nfs/dbraw/zinc/94/67/32/883946732.db2.gz UXPSTPBNKWKQQY-ZDUSSCGKSA-N 1 2 310.361 1.480 20 30 DDEDLO N#CCC1(O)CN(C(=O)c2c[nH+]ccc2Nc2ccccc2)C1 ZINC001362625382 884253978 /nfs/dbraw/zinc/25/39/78/884253978.db2.gz HSCVPFXXXJPUAZ-UHFFFAOYSA-N 1 2 308.341 1.348 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+]([C@H](C)c2nnc(C)o2)CC1 ZINC001230411267 884478680 /nfs/dbraw/zinc/47/86/80/884478680.db2.gz BKWWDUKCWONABY-GFCCVEGCSA-N 1 2 322.409 1.612 20 30 DDEDLO C=CCCC(=O)NC1CC(N(C)C(=O)CCCn2cc[nH+]c2)C1 ZINC001288325661 912835003 /nfs/dbraw/zinc/83/50/03/912835003.db2.gz OAAQGOKWDKNGMX-UHFFFAOYSA-N 1 2 318.421 1.735 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1CCCCC1 ZINC001230636961 884679746 /nfs/dbraw/zinc/67/97/46/884679746.db2.gz CONJWHGURIUQBL-ZBFHGGJFSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1CCCCC1 ZINC001230636961 884679751 /nfs/dbraw/zinc/67/97/51/884679751.db2.gz CONJWHGURIUQBL-ZBFHGGJFSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccsc1 ZINC001230699176 884764572 /nfs/dbraw/zinc/76/45/72/884764572.db2.gz VMQZHARBGZMGEQ-TZMCWYRMSA-N 1 2 319.430 1.032 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccsc1 ZINC001230699176 884764588 /nfs/dbraw/zinc/76/45/88/884764588.db2.gz VMQZHARBGZMGEQ-TZMCWYRMSA-N 1 2 319.430 1.032 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)C ZINC001230802891 884897325 /nfs/dbraw/zinc/89/73/25/884897325.db2.gz PTBZROMMTHNOEK-OAHLLOKOSA-N 1 2 307.438 1.376 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)C ZINC001230802891 884897338 /nfs/dbraw/zinc/89/73/38/884897338.db2.gz PTBZROMMTHNOEK-OAHLLOKOSA-N 1 2 307.438 1.376 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230935087 885056369 /nfs/dbraw/zinc/05/63/69/885056369.db2.gz BAJFGRSTVOYOPA-IUODEOHRSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230935087 885056389 /nfs/dbraw/zinc/05/63/89/885056389.db2.gz BAJFGRSTVOYOPA-IUODEOHRSA-N 1 2 319.380 1.765 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC1CCCCC1 ZINC001231112253 885240521 /nfs/dbraw/zinc/24/05/21/885240521.db2.gz AQCCQLVSKKIPJY-MRXNPFEDSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC1CCCCC1 ZINC001231112253 885240534 /nfs/dbraw/zinc/24/05/34/885240534.db2.gz AQCCQLVSKKIPJY-MRXNPFEDSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H]1CCN(CC=C)C1=O ZINC001231171213 885321473 /nfs/dbraw/zinc/32/14/73/885321473.db2.gz ZEQLHMXBKJLAIA-HUUCEWRRSA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H]1CCN(CC=C)C1=O ZINC001231171213 885321497 /nfs/dbraw/zinc/32/14/97/885321497.db2.gz ZEQLHMXBKJLAIA-HUUCEWRRSA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C/C(C)(C)C ZINC001231253966 885436564 /nfs/dbraw/zinc/43/65/64/885436564.db2.gz DCQZFTDKEKZAQZ-AZHOBDGFSA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C/C(C)(C)C ZINC001231253966 885436582 /nfs/dbraw/zinc/43/65/82/885436582.db2.gz DCQZFTDKEKZAQZ-AZHOBDGFSA-N 1 2 321.465 1.812 20 30 DDEDLO C#Cc1cccc(CN2CC[NH+](CCN3CCOCC3)CC2)c1 ZINC001231659586 885787546 /nfs/dbraw/zinc/78/75/46/885787546.db2.gz PCTSZMHWYRNRLO-UHFFFAOYSA-N 1 2 313.445 1.118 20 30 DDEDLO C#Cc1cccc(C[NH+]2CCN(CCN3CCOCC3)CC2)c1 ZINC001231659586 885787560 /nfs/dbraw/zinc/78/75/60/885787560.db2.gz PCTSZMHWYRNRLO-UHFFFAOYSA-N 1 2 313.445 1.118 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C1C[NH+](Cc2sc(C)nc2C)C1 ZINC001277585662 885941784 /nfs/dbraw/zinc/94/17/84/885941784.db2.gz HQGKGFINGWDEJG-INIZCTEOSA-N 1 2 323.462 1.730 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(C#N)c(Cl)c2)CCCO1 ZINC001232117653 886106359 /nfs/dbraw/zinc/10/63/59/886106359.db2.gz CWLRWUHQJHUTBS-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(C#N)c(Cl)c2)CCCO1 ZINC001232117653 886106367 /nfs/dbraw/zinc/10/63/67/886106367.db2.gz CWLRWUHQJHUTBS-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C1C[NH+](C[C@@H]2CCc3ncnn32)C1 ZINC001277636687 886184881 /nfs/dbraw/zinc/18/48/81/886184881.db2.gz MMINZBCVHBBHKS-ZDUSSCGKSA-N 1 2 317.437 1.510 20 30 DDEDLO C=CCCC(=O)NCCC1=CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001288695776 913038565 /nfs/dbraw/zinc/03/85/65/913038565.db2.gz KURUVJAROZCVMG-UHFFFAOYSA-N 1 2 316.405 1.583 20 30 DDEDLO COC(=O)CO[C@H]1CC[N@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC001232608551 886495818 /nfs/dbraw/zinc/49/58/18/886495818.db2.gz MUBUQSKYEDGZNF-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)CO[C@H]1CC[N@@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC001232608551 886495828 /nfs/dbraw/zinc/49/58/28/886495828.db2.gz MUBUQSKYEDGZNF-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO C=CCCC[N@@H+]1Cc2ccnn2CC[C@H]1C(=O)Nc1cn[nH]c1 ZINC001277758130 886773770 /nfs/dbraw/zinc/77/37/70/886773770.db2.gz AXUNOZBWUAKRFD-HNNXBMFYSA-N 1 2 314.393 1.785 20 30 DDEDLO C=CCCC[N@H+]1Cc2ccnn2CC[C@H]1C(=O)Nc1cn[nH]c1 ZINC001277758130 886773782 /nfs/dbraw/zinc/77/37/82/886773782.db2.gz AXUNOZBWUAKRFD-HNNXBMFYSA-N 1 2 314.393 1.785 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1csnc1C ZINC001233705180 887240152 /nfs/dbraw/zinc/24/01/52/887240152.db2.gz AQBCSNWQMBJSFJ-ZDUSSCGKSA-N 1 2 307.419 1.248 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1csnc1C ZINC001233705180 887240166 /nfs/dbraw/zinc/24/01/66/887240166.db2.gz AQBCSNWQMBJSFJ-ZDUSSCGKSA-N 1 2 307.419 1.248 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001233801874 887337165 /nfs/dbraw/zinc/33/71/65/887337165.db2.gz SGVSUJZQDSVNHP-HOCLYGCPSA-N 1 2 319.449 1.380 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001233801874 887337182 /nfs/dbraw/zinc/33/71/82/887337182.db2.gz SGVSUJZQDSVNHP-HOCLYGCPSA-N 1 2 319.449 1.380 20 30 DDEDLO CS(=O)(=O)c1ccc(C[NH2+]Cc2ccc(C#N)c(F)c2)o1 ZINC001363914872 887530572 /nfs/dbraw/zinc/53/05/72/887530572.db2.gz HHPKOHHLXUPTLU-UHFFFAOYSA-N 1 2 308.334 1.984 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1cccn1C ZINC001234028271 887566266 /nfs/dbraw/zinc/56/62/66/887566266.db2.gz WHPKIBHTGHVKLK-UHFFFAOYSA-N 1 2 314.227 1.985 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1cccn1C ZINC001234028271 887566271 /nfs/dbraw/zinc/56/62/71/887566271.db2.gz WHPKIBHTGHVKLK-UHFFFAOYSA-N 1 2 314.227 1.985 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C(/C)CC ZINC001234130614 887675038 /nfs/dbraw/zinc/67/50/38/887675038.db2.gz NPJUPDLIBYJROW-WJAHIIFUSA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C(/C)CC ZINC001234130614 887675042 /nfs/dbraw/zinc/67/50/42/887675042.db2.gz NPJUPDLIBYJROW-WJAHIIFUSA-N 1 2 307.438 1.566 20 30 DDEDLO CCO[C@@H](C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC)C(C)C ZINC001234247357 887791477 /nfs/dbraw/zinc/79/14/77/887791477.db2.gz ZYHCZOFUPHOMBH-JKSUJKDBSA-N 1 2 310.438 1.230 20 30 DDEDLO CCO[C@@H](C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC)C(C)C ZINC001234247357 887791493 /nfs/dbraw/zinc/79/14/93/887791493.db2.gz ZYHCZOFUPHOMBH-JKSUJKDBSA-N 1 2 310.438 1.230 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)ns1 ZINC001234267112 887806053 /nfs/dbraw/zinc/80/60/53/887806053.db2.gz WKEVESHPBITVTK-UONOGXRCSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)ns1 ZINC001234267112 887806069 /nfs/dbraw/zinc/80/60/69/887806069.db2.gz WKEVESHPBITVTK-UONOGXRCSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234544754 888078529 /nfs/dbraw/zinc/07/85/29/888078529.db2.gz CUEPROAGYZFXDD-CABCVRRESA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234544754 888078546 /nfs/dbraw/zinc/07/85/46/888078546.db2.gz CUEPROAGYZFXDD-CABCVRRESA-N 1 2 321.465 1.483 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCCC ZINC001234626621 888152320 /nfs/dbraw/zinc/15/23/20/888152320.db2.gz VRUUECTZVRDBDD-CABCVRRESA-N 1 2 309.454 1.790 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCCC ZINC001234626621 888152322 /nfs/dbraw/zinc/15/23/22/888152322.db2.gz VRUUECTZVRDBDD-CABCVRRESA-N 1 2 309.454 1.790 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@@H]1CCOC1 ZINC001235227556 888489466 /nfs/dbraw/zinc/48/94/66/888489466.db2.gz FKSRRIVIZBJPEZ-LLVKDONJSA-N 1 2 305.216 1.370 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@@H]1CCOC1 ZINC001235227556 888489472 /nfs/dbraw/zinc/48/94/72/888489472.db2.gz FKSRRIVIZBJPEZ-LLVKDONJSA-N 1 2 305.216 1.370 20 30 DDEDLO N#CCCC[NH+]1CC2(CCN2C(=O)NC(=O)c2ccccc2)C1 ZINC001277944979 888637466 /nfs/dbraw/zinc/63/74/66/888637466.db2.gz IBGKQRLCNKSBNU-UHFFFAOYSA-N 1 2 312.373 1.600 20 30 DDEDLO CC#CCCCC(=O)NC1(C)CC[NH+](Cc2cnn(C)n2)CC1 ZINC001278072589 889562092 /nfs/dbraw/zinc/56/20/92/889562092.db2.gz XXEFUOBPQKOGLB-UHFFFAOYSA-N 1 2 317.437 1.479 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC2(C[C@@H]2C(=O)Nc2nnco2)C1 ZINC001278239673 890242240 /nfs/dbraw/zinc/24/22/40/890242240.db2.gz DLWALCOLMHMODK-CYBMUJFWSA-N 1 2 309.329 1.402 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)[C@@H]1C ZINC001278245034 890254818 /nfs/dbraw/zinc/25/48/18/890254818.db2.gz YBTUEVRTQBPGJW-GXTWGEPZSA-N 1 2 304.394 1.651 20 30 DDEDLO CON(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001278293938 890605889 /nfs/dbraw/zinc/60/58/89/890605889.db2.gz WCHVDZMAZLITGI-OKILXGFUSA-N 1 2 317.393 1.159 20 30 DDEDLO CON(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001278293938 890605895 /nfs/dbraw/zinc/60/58/95/890605895.db2.gz WCHVDZMAZLITGI-OKILXGFUSA-N 1 2 317.393 1.159 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)[C@@H]1C ZINC001290594471 913468536 /nfs/dbraw/zinc/46/85/36/913468536.db2.gz TWPWGHQIIFAPJX-SYQHCUMBSA-N 1 2 316.405 1.585 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)[C@@H]1C ZINC001290594471 913468550 /nfs/dbraw/zinc/46/85/50/913468550.db2.gz TWPWGHQIIFAPJX-SYQHCUMBSA-N 1 2 316.405 1.585 20 30 DDEDLO N#Cc1ncc(-c2ccccc2C[NH+]2CCOCC2)nc1C#N ZINC001241989829 891324600 /nfs/dbraw/zinc/32/46/00/891324600.db2.gz MENQCFAYXNPPCU-UHFFFAOYSA-N 1 2 305.341 1.719 20 30 DDEDLO C[NH+]1CCN(C(=O)c2ccnc(-c3ccc(N)c(C#N)c3)c2)CC1 ZINC001244109454 891801081 /nfs/dbraw/zinc/80/10/81/891801081.db2.gz SYVUQRAIGQMJJF-UHFFFAOYSA-N 1 2 321.384 1.590 20 30 DDEDLO C[C@H]1C[C@@H](C(=O)NCC[N@H+](C)Cc2ccc(C#N)cc2F)CO1 ZINC001366544410 893959036 /nfs/dbraw/zinc/95/90/36/893959036.db2.gz HEZLTTXHKJTYDX-SWLSCSKDSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@H]1C[C@@H](C(=O)NCC[N@@H+](C)Cc2ccc(C#N)cc2F)CO1 ZINC001366544410 893959042 /nfs/dbraw/zinc/95/90/42/893959042.db2.gz HEZLTTXHKJTYDX-SWLSCSKDSA-N 1 2 319.380 1.670 20 30 DDEDLO CC[C@@H](OC)C(=O)NCC1C[NH+](Cc2ccc(C#N)cc2F)C1 ZINC001366963454 895443107 /nfs/dbraw/zinc/44/31/07/895443107.db2.gz NLVMDCVSYYUXTL-MRXNPFEDSA-N 1 2 319.380 1.670 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](C[C@@H](O)c2ccc(F)cc2)CC1 ZINC001252849175 895493239 /nfs/dbraw/zinc/49/32/39/895493239.db2.gz ZUXOYZQGJWSPOM-OAHLLOKOSA-N 1 2 308.353 1.799 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1C[N@H+](CCF)CCC1(F)F ZINC001278740383 896087461 /nfs/dbraw/zinc/08/74/61/896087461.db2.gz FFQRDIAUCPYVBD-DGCLKSJQSA-N 1 2 308.344 1.356 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1C[N@@H+](CCF)CCC1(F)F ZINC001278740383 896087470 /nfs/dbraw/zinc/08/74/70/896087470.db2.gz FFQRDIAUCPYVBD-DGCLKSJQSA-N 1 2 308.344 1.356 20 30 DDEDLO C=C[C@@H](COC)NC(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC001255019218 896699237 /nfs/dbraw/zinc/69/92/37/896699237.db2.gz ONAIPIVWYRIAKY-ZBFHGGJFSA-N 1 2 318.421 1.671 20 30 DDEDLO CC[C@H](CC#N)[N@@H+]1CCOC[C@]2(CC[C@H](Cn3cccn3)O2)C1 ZINC001255169688 896765810 /nfs/dbraw/zinc/76/58/10/896765810.db2.gz JHNGKZYZDZYUMY-ZACQAIPSSA-N 1 2 318.421 1.825 20 30 DDEDLO CC[C@H](CC#N)[N@H+]1CCOC[C@]2(CC[C@H](Cn3cccn3)O2)C1 ZINC001255169688 896765815 /nfs/dbraw/zinc/76/58/15/896765815.db2.gz JHNGKZYZDZYUMY-ZACQAIPSSA-N 1 2 318.421 1.825 20 30 DDEDLO CC[C@@H](CC#N)N1CCC2(CC1)C(=O)N(CC(C)C)CC[N@H+]2C ZINC001255168651 896766168 /nfs/dbraw/zinc/76/61/68/896766168.db2.gz UVBYETRDGJIRAW-INIZCTEOSA-N 1 2 320.481 1.943 20 30 DDEDLO CC[C@@H](CC#N)N1CCC2(CC1)C(=O)N(CC(C)C)CC[N@@H+]2C ZINC001255168651 896766175 /nfs/dbraw/zinc/76/61/75/896766175.db2.gz UVBYETRDGJIRAW-INIZCTEOSA-N 1 2 320.481 1.943 20 30 DDEDLO CCn1ccc(C[N@H+](C)CCN(C)C(=O)c2ccc(C#N)[nH]2)n1 ZINC001367505611 896957464 /nfs/dbraw/zinc/95/74/64/896957464.db2.gz DWERFAVZXLWFNX-UHFFFAOYSA-N 1 2 314.393 1.307 20 30 DDEDLO CCn1ccc(C[N@@H+](C)CCN(C)C(=O)c2ccc(C#N)[nH]2)n1 ZINC001367505611 896957474 /nfs/dbraw/zinc/95/74/74/896957474.db2.gz DWERFAVZXLWFNX-UHFFFAOYSA-N 1 2 314.393 1.307 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)Cn1ccc(C)cc1=O ZINC001367535654 897082800 /nfs/dbraw/zinc/08/28/00/897082800.db2.gz NHTYIUPWMBNTMS-UHFFFAOYSA-N 1 2 311.813 1.299 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)Cn1ccc(C)cc1=O ZINC001367535654 897082813 /nfs/dbraw/zinc/08/28/13/897082813.db2.gz NHTYIUPWMBNTMS-UHFFFAOYSA-N 1 2 311.813 1.299 20 30 DDEDLO C=C(C)CCC(=O)N(CC[N@H+](C)Cc1nc(=O)n(C)[nH]1)C(C)C ZINC001278908126 897263115 /nfs/dbraw/zinc/26/31/15/897263115.db2.gz MUKBBEUDTJFHQZ-UHFFFAOYSA-N 1 2 323.441 1.134 20 30 DDEDLO C=C(C)CCC(=O)N(CC[N@@H+](C)Cc1nc(=O)n(C)[nH]1)C(C)C ZINC001278908126 897263126 /nfs/dbraw/zinc/26/31/26/897263126.db2.gz MUKBBEUDTJFHQZ-UHFFFAOYSA-N 1 2 323.441 1.134 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC[N@H+](C)Cc1ccn(C)n1)C(C)C ZINC001278908206 897264623 /nfs/dbraw/zinc/26/46/23/897264623.db2.gz NWKQTLOFVNYMFI-HNNXBMFYSA-N 1 2 320.437 1.127 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC[N@@H+](C)Cc1ccn(C)n1)C(C)C ZINC001278908206 897264636 /nfs/dbraw/zinc/26/46/36/897264636.db2.gz NWKQTLOFVNYMFI-HNNXBMFYSA-N 1 2 320.437 1.127 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)C[NH2+]Cc1ncn(-c2ccccc2)n1 ZINC001367724964 897592146 /nfs/dbraw/zinc/59/21/46/897592146.db2.gz KNGBAZRBAGNHEV-STQMWFEESA-N 1 2 312.377 1.021 20 30 DDEDLO COC(=O)[C@@H](CO)[NH2+]C1CCC(c2ccc(C#N)cc2)CC1 ZINC001257007666 897669878 /nfs/dbraw/zinc/66/98/78/897669878.db2.gz BZOFICKLKGDVHP-UYSNPLJNSA-N 1 2 302.374 1.708 20 30 DDEDLO CS(=O)(=O)c1ccc(-c2noc([C@H]([NH3+])CCCCC#N)n2)o1 ZINC001261554650 899634772 /nfs/dbraw/zinc/63/47/72/899634772.db2.gz JESVNCSQRGMHPI-SECBINFHSA-N 1 2 324.362 1.817 20 30 DDEDLO Cc1cccc(NC(=O)[C@H](C)[N@@H+](C)CCNC(=O)[C@@H](C)C#N)c1 ZINC001390740240 900067020 /nfs/dbraw/zinc/06/70/20/900067020.db2.gz DGCUEOVSFJQYOL-KBPBESRZSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1cccc(NC(=O)[C@H](C)[N@H+](C)CCNC(=O)[C@@H](C)C#N)c1 ZINC001390740240 900067030 /nfs/dbraw/zinc/06/70/30/900067030.db2.gz DGCUEOVSFJQYOL-KBPBESRZSA-N 1 2 316.405 1.530 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)c1ccc(C)o1)C2 ZINC001264188436 901007503 /nfs/dbraw/zinc/00/75/03/901007503.db2.gz IIXXXLZGABUMEY-UHFFFAOYSA-N 1 2 315.398 1.966 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)C=C1CCC1)C2 ZINC001264189708 901008676 /nfs/dbraw/zinc/00/86/76/901008676.db2.gz HLJWXPXBLOIJTC-UHFFFAOYSA-N 1 2 301.415 1.861 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2CC(=O)N(C)CC)CCC1 ZINC001264370747 901050614 /nfs/dbraw/zinc/05/06/14/901050614.db2.gz JICQUHYCJXZQQF-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC(=O)N(C)CC)CCC1 ZINC001264370747 901050625 /nfs/dbraw/zinc/05/06/25/901050625.db2.gz JICQUHYCJXZQQF-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO CC[C@@H](C)CC(=O)NC[C@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001369319793 901056119 /nfs/dbraw/zinc/05/61/19/901056119.db2.gz AIIVXBGTJAMYLJ-MLGOLLRUSA-N 1 2 321.396 1.700 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(F)cc(F)c1 ZINC001264378826 901064828 /nfs/dbraw/zinc/06/48/28/901064828.db2.gz RRPOJHLKGRDSOD-MRXNPFEDSA-N 1 2 322.355 1.761 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(F)cc(F)c1 ZINC001264378826 901064836 /nfs/dbraw/zinc/06/48/36/901064836.db2.gz RRPOJHLKGRDSOD-MRXNPFEDSA-N 1 2 322.355 1.761 20 30 DDEDLO C=C(C)C[NH+]1CCN(CCNC(=O)C(F)C(F)(F)F)CC1 ZINC001265259052 901782156 /nfs/dbraw/zinc/78/21/56/901782156.db2.gz WWJBPOAACDJPBN-NSHDSACASA-N 1 2 311.323 1.197 20 30 DDEDLO C=C(C)C[NH+]1CCN(CCNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001265259052 901782165 /nfs/dbraw/zinc/78/21/65/901782165.db2.gz WWJBPOAACDJPBN-NSHDSACASA-N 1 2 311.323 1.197 20 30 DDEDLO COC[C@H](C)C(=O)NCC1C[NH+](Cc2ccc(C#N)cc2F)C1 ZINC001391474773 901812662 /nfs/dbraw/zinc/81/26/62/901812662.db2.gz NLGYQGVUQYADGO-LBPRGKRZSA-N 1 2 319.380 1.528 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001265301584 901854443 /nfs/dbraw/zinc/85/44/43/901854443.db2.gz NPKLWCYTOBACJR-ZDUSSCGKSA-N 1 2 304.394 1.532 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cncc(OC)n2)C1 ZINC001265301584 901854453 /nfs/dbraw/zinc/85/44/53/901854453.db2.gz NPKLWCYTOBACJR-ZDUSSCGKSA-N 1 2 304.394 1.532 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC(C)C ZINC001265401451 901971975 /nfs/dbraw/zinc/97/19/75/901971975.db2.gz VHZGHNCVMJGVCK-OAGGEKHMSA-N 1 2 319.449 1.236 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC(C)C ZINC001265401451 901971983 /nfs/dbraw/zinc/97/19/83/901971983.db2.gz VHZGHNCVMJGVCK-OAGGEKHMSA-N 1 2 319.449 1.236 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H](NC(=O)C2(CC)CC2)C1 ZINC001391592207 902090817 /nfs/dbraw/zinc/09/08/17/902090817.db2.gz IKVPPSBZKHTULY-CQSZACIVSA-N 1 2 320.437 1.129 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H](NC(=O)C2(CC)CC2)C1 ZINC001391592207 902090830 /nfs/dbraw/zinc/09/08/30/902090830.db2.gz IKVPPSBZKHTULY-CQSZACIVSA-N 1 2 320.437 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)CCn2cncn2)C1 ZINC001391628198 902185064 /nfs/dbraw/zinc/18/50/64/902185064.db2.gz NLJAVORKYLTDRZ-CYBMUJFWSA-N 1 2 311.817 1.344 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)CCn2cncn2)C1 ZINC001391628198 902185071 /nfs/dbraw/zinc/18/50/71/902185071.db2.gz NLJAVORKYLTDRZ-CYBMUJFWSA-N 1 2 311.817 1.344 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCCC[N@H+]1Cc1ccc(F)cn1 ZINC001265641272 902237089 /nfs/dbraw/zinc/23/70/89/902237089.db2.gz SSZOTOSUEAMURT-MRXNPFEDSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1ccc(F)cn1 ZINC001265641272 902237100 /nfs/dbraw/zinc/23/71/00/902237100.db2.gz SSZOTOSUEAMURT-MRXNPFEDSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCCC[N@H+]1CCS(C)(=O)=O ZINC001265651993 902254576 /nfs/dbraw/zinc/25/45/76/902254576.db2.gz QMVSNEXHNMNAQK-AWEZNQCLSA-N 1 2 316.467 1.358 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCCC[N@@H+]1CCS(C)(=O)=O ZINC001265651993 902254591 /nfs/dbraw/zinc/25/45/91/902254591.db2.gz QMVSNEXHNMNAQK-AWEZNQCLSA-N 1 2 316.467 1.358 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)CNC(=O)CSCC#N)c1nc(C2CC2)no1 ZINC001265755372 902371003 /nfs/dbraw/zinc/37/10/03/902371003.db2.gz WVNPSVNRNMGQKJ-VHSXEESVSA-N 1 2 323.422 1.359 20 30 DDEDLO CCCCc1noc(C[NH2+][C@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001265903544 902568299 /nfs/dbraw/zinc/56/82/99/902568299.db2.gz UZYGYKTYKGMJMI-AWEZNQCLSA-N 1 2 318.421 1.762 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1CCCNC(=O)c1cncc2nc[nH]c21 ZINC001266214793 903125827 /nfs/dbraw/zinc/12/58/27/903125827.db2.gz FZADQRNPZUQXHX-CYBMUJFWSA-N 1 2 311.389 1.566 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1CCCNC(=O)c1cncc2nc[nH]c21 ZINC001266214793 903125831 /nfs/dbraw/zinc/12/58/31/903125831.db2.gz FZADQRNPZUQXHX-CYBMUJFWSA-N 1 2 311.389 1.566 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC(C)C ZINC001316600421 903474948 /nfs/dbraw/zinc/47/49/48/903474948.db2.gz JRCJYABLLPUQTF-CQSZACIVSA-N 1 2 309.454 1.646 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC(C)C ZINC001316600421 903474957 /nfs/dbraw/zinc/47/49/57/903474957.db2.gz JRCJYABLLPUQTF-CQSZACIVSA-N 1 2 309.454 1.646 20 30 DDEDLO CCc1cnc(C[NH2+]C2(CNC(=O)C#CC3CC3)CCC2)o1 ZINC001280477889 903721933 /nfs/dbraw/zinc/72/19/33/903721933.db2.gz TURTUHKFBNKLFJ-UHFFFAOYSA-N 1 2 301.390 1.779 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H](C)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001280487258 903730047 /nfs/dbraw/zinc/73/00/47/903730047.db2.gz MIMHOPPBNUHCNY-KGLIPLIRSA-N 1 2 318.421 1.211 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H](C)CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001280487258 903730057 /nfs/dbraw/zinc/73/00/57/903730057.db2.gz MIMHOPPBNUHCNY-KGLIPLIRSA-N 1 2 318.421 1.211 20 30 DDEDLO Cn1cnnc1C[N@@H+]1CCC[C@H](NC(=O)C#CC(C)(C)C)CC1 ZINC001280734583 903969521 /nfs/dbraw/zinc/96/95/21/903969521.db2.gz XAXXISNXXMJTMY-AWEZNQCLSA-N 1 2 317.437 1.335 20 30 DDEDLO Cn1cnnc1C[N@H+]1CCC[C@H](NC(=O)C#CC(C)(C)C)CC1 ZINC001280734583 903969526 /nfs/dbraw/zinc/96/95/26/903969526.db2.gz XAXXISNXXMJTMY-AWEZNQCLSA-N 1 2 317.437 1.335 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)COc1ccsc1 ZINC001316606169 904070076 /nfs/dbraw/zinc/07/00/76/904070076.db2.gz NARKLNJCWQFDNT-CQSZACIVSA-N 1 2 322.430 1.309 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)COc1ccsc1 ZINC001316606169 904070087 /nfs/dbraw/zinc/07/00/87/904070087.db2.gz NARKLNJCWQFDNT-CQSZACIVSA-N 1 2 322.430 1.309 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC1(OC)CCC1)CCO2 ZINC001280899818 904165898 /nfs/dbraw/zinc/16/58/98/904165898.db2.gz XYYVPIPCRVKEBK-UHFFFAOYSA-N 1 2 308.422 1.435 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1COC2(C[NH+]([C@H](C)COC)C2)C1 ZINC001280969970 904256140 /nfs/dbraw/zinc/25/61/40/904256140.db2.gz VUWHMWOKJWSTLO-HUUCEWRRSA-N 1 2 308.422 1.174 20 30 DDEDLO C=CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)Cn1cc[nH+]c1C ZINC001281069460 904372891 /nfs/dbraw/zinc/37/28/91/904372891.db2.gz BAEKYKBZGVZMIO-SFHVURJKSA-N 1 2 324.428 1.921 20 30 DDEDLO C=CCN1Cc2ccccc2C[C@H]1CNC(=O)Cn1cc[nH+]c1C ZINC001281069460 904372900 /nfs/dbraw/zinc/37/29/00/904372900.db2.gz BAEKYKBZGVZMIO-SFHVURJKSA-N 1 2 324.428 1.921 20 30 DDEDLO C=C(Br)C[NH2+][C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001371191645 904450333 /nfs/dbraw/zinc/45/03/33/904450333.db2.gz VVIJSLNCBWZDAM-BQBZGAKWSA-N 1 2 321.112 1.890 20 30 DDEDLO C=C(Br)C[NH2+][C@@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001371191645 904450337 /nfs/dbraw/zinc/45/03/37/904450337.db2.gz VVIJSLNCBWZDAM-BQBZGAKWSA-N 1 2 321.112 1.890 20 30 DDEDLO C=C(Br)C[NH2+][C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001371191662 904450678 /nfs/dbraw/zinc/45/06/78/904450678.db2.gz VVIJSLNCBWZDAM-RNFRBKRXSA-N 1 2 321.112 1.890 20 30 DDEDLO C=C(Br)C[NH2+][C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001371191662 904450684 /nfs/dbraw/zinc/45/06/84/904450684.db2.gz VVIJSLNCBWZDAM-RNFRBKRXSA-N 1 2 321.112 1.890 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C(C)(C)C ZINC001281324013 904679668 /nfs/dbraw/zinc/67/96/68/904679668.db2.gz ZQXMJJCVXZOEDM-CQSZACIVSA-N 1 2 320.437 1.956 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H](C)OC ZINC001281777031 905213212 /nfs/dbraw/zinc/21/32/12/905213212.db2.gz RPDIDFXJOMQJSE-PBHICJAKSA-N 1 2 300.402 1.760 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H](C)OC ZINC001281777031 905213230 /nfs/dbraw/zinc/21/32/30/905213230.db2.gz RPDIDFXJOMQJSE-PBHICJAKSA-N 1 2 300.402 1.760 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CCCC[C@H]1CNCC#N ZINC001281868448 905279504 /nfs/dbraw/zinc/27/95/04/905279504.db2.gz NWOUNCCEBPZZMH-AWEZNQCLSA-N 1 2 302.378 1.127 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CCC[C@@H]1CN(C)CC#N)n1cc[nH+]c1 ZINC001281943351 905340603 /nfs/dbraw/zinc/34/06/03/905340603.db2.gz ZLAZSAKKYBXMTJ-HZPDHXFCSA-N 1 2 317.437 1.917 20 30 DDEDLO C=CCCCC(=O)NC1(CCO)C[NH+](C[C@@H]2CC(C)(C)CO2)C1 ZINC001282529691 905855546 /nfs/dbraw/zinc/85/55/46/905855546.db2.gz LDRTUQRWBIIPJO-HNNXBMFYSA-N 1 2 324.465 1.711 20 30 DDEDLO C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)CS(=O)(=O)CCC ZINC001282677547 905938230 /nfs/dbraw/zinc/93/82/30/905938230.db2.gz BNEIJMMPMGUTQD-CQSZACIVSA-N 1 2 316.467 1.358 20 30 DDEDLO C=CC[N@H+]1CCCCC[C@@H]1CNC(=O)CS(=O)(=O)CCC ZINC001282677547 905938214 /nfs/dbraw/zinc/93/82/14/905938214.db2.gz BNEIJMMPMGUTQD-CQSZACIVSA-N 1 2 316.467 1.358 20 30 DDEDLO Cc1nc(C[NH+]2CC([C@H](C)NC(=O)C#CC3CC3)C2)c(C)o1 ZINC001282707172 905968600 /nfs/dbraw/zinc/96/86/00/905968600.db2.gz SCGSTNCZEZXSOP-NSHDSACASA-N 1 2 301.390 1.641 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)COCCCC)C2)C1 ZINC001282775554 906023906 /nfs/dbraw/zinc/02/39/06/906023906.db2.gz UNLQOTFJVYPWDM-KRWDZBQOSA-N 1 2 308.422 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)COCCCC)C2)C1 ZINC001282775554 906023923 /nfs/dbraw/zinc/02/39/23/906023923.db2.gz UNLQOTFJVYPWDM-KRWDZBQOSA-N 1 2 308.422 1.130 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)OCCCC)C2)C1 ZINC001282774787 906026586 /nfs/dbraw/zinc/02/65/86/906026586.db2.gz KPQYCIDEEOVOFR-WBVHZDCISA-N 1 2 310.438 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)OCCCC)C2)C1 ZINC001282774787 906026603 /nfs/dbraw/zinc/02/66/03/906026603.db2.gz KPQYCIDEEOVOFR-WBVHZDCISA-N 1 2 310.438 1.681 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001283036927 906510213 /nfs/dbraw/zinc/51/02/13/906510213.db2.gz SSKOKBOMBMXHNN-CYBMUJFWSA-N 1 2 318.421 1.280 20 30 DDEDLO Cc1c[nH]c(C(=O)NCC[N@@H+](C)Cc2cc(C#N)ccc2F)n1 ZINC001372143647 906568339 /nfs/dbraw/zinc/56/83/39/906568339.db2.gz KNOYTMMUAZXLHY-UHFFFAOYSA-N 1 2 315.352 1.591 20 30 DDEDLO Cc1c[nH]c(C(=O)NCC[N@H+](C)Cc2cc(C#N)ccc2F)n1 ZINC001372143647 906568348 /nfs/dbraw/zinc/56/83/48/906568348.db2.gz KNOYTMMUAZXLHY-UHFFFAOYSA-N 1 2 315.352 1.591 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]CCC[C@H](C)NC(=O)C#CC(C)C)no1 ZINC001283163564 906773016 /nfs/dbraw/zinc/77/30/16/906773016.db2.gz DYZJBTQWLCLFQS-STQMWFEESA-N 1 2 306.410 1.973 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1COCC[N@@H+]1CCCC1CCOCC1 ZINC001393496878 907142511 /nfs/dbraw/zinc/14/25/11/907142511.db2.gz FZKORYDFKLJXBC-GOEBONIOSA-N 1 2 323.437 1.170 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1COCC[N@H+]1CCCC1CCOCC1 ZINC001393496878 907142525 /nfs/dbraw/zinc/14/25/25/907142525.db2.gz FZKORYDFKLJXBC-GOEBONIOSA-N 1 2 323.437 1.170 20 30 DDEDLO CC(C)[C@H](C)C(=O)N(CC[N@H+](C)CC(=O)NCC#N)C(C)C ZINC001332732016 907964453 /nfs/dbraw/zinc/96/44/53/907964453.db2.gz GPWQHNNNDABIHC-AWEZNQCLSA-N 1 2 310.442 1.087 20 30 DDEDLO CC(C)[C@H](C)C(=O)N(CC[N@@H+](C)CC(=O)NCC#N)C(C)C ZINC001332732016 907964462 /nfs/dbraw/zinc/96/44/62/907964462.db2.gz GPWQHNNNDABIHC-AWEZNQCLSA-N 1 2 310.442 1.087 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001283846254 908054464 /nfs/dbraw/zinc/05/44/64/908054464.db2.gz AAYXGOJJNIUQSC-ZIAGYGMSSA-N 1 2 304.394 1.518 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@H]1CCCN1C(=O)COCC ZINC001393862036 908103378 /nfs/dbraw/zinc/10/33/78/908103378.db2.gz AZBSZWONSSCDAA-GFCCVEGCSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@H]1CCCN1C(=O)COCC ZINC001393862036 908103384 /nfs/dbraw/zinc/10/33/84/908103384.db2.gz AZBSZWONSSCDAA-GFCCVEGCSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001284059606 908410797 /nfs/dbraw/zinc/41/07/97/908410797.db2.gz QFQYBXXHJPUMQA-IHRRRGAJSA-N 1 2 304.394 1.249 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1nc2ncc(C)cn2n1 ZINC001394079342 908728455 /nfs/dbraw/zinc/72/84/55/908728455.db2.gz MOGVJQDZJIQKRW-NSHDSACASA-N 1 2 322.800 1.235 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1nc2ncc(C)cn2n1 ZINC001394079342 908728436 /nfs/dbraw/zinc/72/84/36/908728436.db2.gz MOGVJQDZJIQKRW-NSHDSACASA-N 1 2 322.800 1.235 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001284250660 908728536 /nfs/dbraw/zinc/72/85/36/908728536.db2.gz NBCMFJJIXOQMQE-OLZOCXBDSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001284250660 908728547 /nfs/dbraw/zinc/72/85/47/908728547.db2.gz NBCMFJJIXOQMQE-OLZOCXBDSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@@H]1CC(=O)N(CCC)C1 ZINC001394118312 908862863 /nfs/dbraw/zinc/86/28/63/908862863.db2.gz QKOIQSFLXLDJPY-QWHCGFSZSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@@H]1CC(=O)N(CCC)C1 ZINC001394118312 908862879 /nfs/dbraw/zinc/86/28/79/908862879.db2.gz QKOIQSFLXLDJPY-QWHCGFSZSA-N 1 2 315.845 1.434 20 30 DDEDLO C[C@H](C#N)C(=O)NC1(CCO)C[NH+]([C@@H](C)c2ccccc2)C1 ZINC001394146006 908967929 /nfs/dbraw/zinc/96/79/29/908967929.db2.gz FRYSOBCNJIYSRE-KGLIPLIRSA-N 1 2 301.390 1.460 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)C(C)(C)F)C(C)C ZINC001284528270 909146418 /nfs/dbraw/zinc/14/64/18/909146418.db2.gz VIVJOXXBIOYZMW-UHFFFAOYSA-N 1 2 301.406 1.206 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)C(C)(C)F)C(C)C ZINC001284528270 909146428 /nfs/dbraw/zinc/14/64/28/909146428.db2.gz VIVJOXXBIOYZMW-UHFFFAOYSA-N 1 2 301.406 1.206 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(C)C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001284584792 909215507 /nfs/dbraw/zinc/21/55/07/909215507.db2.gz YJRSEWTWQWVTCH-CQSZACIVSA-N 1 2 318.421 1.765 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C2CC(N(C)C(=O)C#CC(C)C)C2)no1 ZINC001284762002 909456319 /nfs/dbraw/zinc/45/63/19/909456319.db2.gz ONJUYJQCZXXPCH-LMWSTFAQSA-N 1 2 304.394 1.677 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](CC)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001285084281 910046760 /nfs/dbraw/zinc/04/67/60/910046760.db2.gz ZFJTXOOFNUNORZ-STQMWFEESA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](CC)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001285084281 910046766 /nfs/dbraw/zinc/04/67/66/910046766.db2.gz ZFJTXOOFNUNORZ-STQMWFEESA-N 1 2 320.437 1.812 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC/C=C\CNC(=O)Cn1cc[nH+]c1 ZINC001285583646 910826350 /nfs/dbraw/zinc/82/63/50/910826350.db2.gz BMAQITVNXLEBAE-MWWKQMNYSA-N 1 2 304.394 1.274 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001285745403 911141403 /nfs/dbraw/zinc/14/14/03/911141403.db2.gz MBPGJXABVMMQHR-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]1CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001285873043 911344593 /nfs/dbraw/zinc/34/45/93/911344593.db2.gz PAYOMEPCIBCWNC-DZGCQCFKSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001285874504 911345281 /nfs/dbraw/zinc/34/52/81/911345281.db2.gz BGYOXGWXQXPISW-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO CCCC[C@@H](CNC(=O)[C@H](C)C#N)NC(=O)Cc1[nH]c[nH+]c1C ZINC001395242113 911622294 /nfs/dbraw/zinc/62/22/94/911622294.db2.gz BOXAKKSGAPZKJM-YPMHNXCESA-N 1 2 319.409 1.212 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@](C)(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286108806 911704593 /nfs/dbraw/zinc/70/45/93/911704593.db2.gz WUMZXJOVDFPUEW-DYVFJYSZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001295270264 915688436 /nfs/dbraw/zinc/68/84/36/915688436.db2.gz CZVONIGXBCLTCQ-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001295298193 915691437 /nfs/dbraw/zinc/69/14/37/915691437.db2.gz RDJBRZNGLNPAQL-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001295356382 915737131 /nfs/dbraw/zinc/73/71/31/915737131.db2.gz VOMKBANGBYFGHA-MXOJCPGVSA-N 1 2 314.389 1.052 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001295356382 915737145 /nfs/dbraw/zinc/73/71/45/915737145.db2.gz VOMKBANGBYFGHA-MXOJCPGVSA-N 1 2 314.389 1.052 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cc(Br)n[nH]1 ZINC001377165275 920513129 /nfs/dbraw/zinc/51/31/29/920513129.db2.gz LAFFEJYSSRDUBX-UHFFFAOYSA-N 1 2 321.606 1.586 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cc(Br)n[nH]1 ZINC001377165275 920513141 /nfs/dbraw/zinc/51/31/41/920513141.db2.gz LAFFEJYSSRDUBX-UHFFFAOYSA-N 1 2 321.606 1.586 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)C[C@@H]1CCCC(=O)N1)C1CC1 ZINC001377534592 922255025 /nfs/dbraw/zinc/25/50/25/922255025.db2.gz IBWZHZMXDNUOQS-LBPRGKRZSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)C[C@@H]1CCCC(=O)N1)C1CC1 ZINC001377534592 922255035 /nfs/dbraw/zinc/25/50/35/922255035.db2.gz IBWZHZMXDNUOQS-LBPRGKRZSA-N 1 2 313.829 1.378 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000181279378 199275281 /nfs/dbraw/zinc/27/52/81/199275281.db2.gz ARMHFGGCIOJTKK-UHFFFAOYSA-N 1 2 324.384 1.853 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NC[C@@H]2CCCO2)C[C@@]2(CCOC2)O1 ZINC000330085583 529385236 /nfs/dbraw/zinc/38/52/36/529385236.db2.gz CXZIALZKTVPNCF-IJEWVQPXSA-N 1 2 312.410 1.392 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NC[C@@H]2CCCO2)C[C@@]2(CCOC2)O1 ZINC000330085583 529385237 /nfs/dbraw/zinc/38/52/37/529385237.db2.gz CXZIALZKTVPNCF-IJEWVQPXSA-N 1 2 312.410 1.392 20 30 DDEDLO CO[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C[C@@H]1C ZINC000451576023 231129782 /nfs/dbraw/zinc/12/97/82/231129782.db2.gz TYABDNNGXRWWGJ-JSGCOSHPSA-N 1 2 323.418 1.084 20 30 DDEDLO CO[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C[C@@H]1C ZINC000451576023 231129785 /nfs/dbraw/zinc/12/97/85/231129785.db2.gz TYABDNNGXRWWGJ-JSGCOSHPSA-N 1 2 323.418 1.084 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2cccc(CC)c2)C1=O ZINC000281208812 539283005 /nfs/dbraw/zinc/28/30/05/539283005.db2.gz CYZAUXUBUIQZKD-MRXNPFEDSA-N 1 2 315.417 1.906 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2cccc(CC)c2)C1=O ZINC000281208812 539283007 /nfs/dbraw/zinc/28/30/07/539283007.db2.gz CYZAUXUBUIQZKD-MRXNPFEDSA-N 1 2 315.417 1.906 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)N1CC2(C1)CCCOC2 ZINC000329227356 539301936 /nfs/dbraw/zinc/30/19/36/539301936.db2.gz BCTPIILRELJTHT-UHFFFAOYSA-N 1 2 318.421 1.788 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)NCC1CCSCC1 ZINC000329383677 539303864 /nfs/dbraw/zinc/30/38/64/539303864.db2.gz OZJZUNHHSXLYQM-LBPRGKRZSA-N 1 2 301.456 1.354 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C([O-])=[NH+]c3nc4ccsc4s3)C[C@H]21 ZINC000329932302 529786021 /nfs/dbraw/zinc/78/60/21/529786021.db2.gz PKLFJRDPTXUPPL-NXEZZACHSA-N 1 2 324.431 1.918 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C([O-])=[NH+]c3nc4ccsc4s3)C[C@H]21 ZINC000329932302 529786023 /nfs/dbraw/zinc/78/60/23/529786023.db2.gz PKLFJRDPTXUPPL-NXEZZACHSA-N 1 2 324.431 1.918 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1sccc1C#N ZINC000331318685 529909709 /nfs/dbraw/zinc/90/97/09/529909709.db2.gz BDZOAPMFRRDPAZ-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1sccc1C#N ZINC000331318685 529909710 /nfs/dbraw/zinc/90/97/10/529909710.db2.gz BDZOAPMFRRDPAZ-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@@H](O)CN3CC[C@](F)(C#N)C3)c2cc1C ZINC000615637281 362376531 /nfs/dbraw/zinc/37/65/31/362376531.db2.gz HFTXQMAIQYXLGC-YOEHRIQHSA-N 1 2 316.380 1.952 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1ccc(C#N)nc1)[NH+]1CCOCC1 ZINC000115015265 185656723 /nfs/dbraw/zinc/65/67/23/185656723.db2.gz WIBZUNKMYDZAQY-HNNXBMFYSA-N 1 2 302.378 1.040 20 30 DDEDLO CN1CC[C@H]([NH+]2CCN(c3cccc(F)c3C#N)CC2)C1=O ZINC000172018940 198026993 /nfs/dbraw/zinc/02/69/93/198026993.db2.gz DPMQSTVDPYSXHZ-HNNXBMFYSA-N 1 2 302.353 1.050 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)CC(=O)NC(C)(C)C ZINC000007660081 352126174 /nfs/dbraw/zinc/12/61/74/352126174.db2.gz VLXNOWKCDVRPJN-CYBMUJFWSA-N 1 2 322.453 1.564 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)CC(=O)NC(C)(C)C ZINC000007660081 352126176 /nfs/dbraw/zinc/12/61/76/352126176.db2.gz VLXNOWKCDVRPJN-CYBMUJFWSA-N 1 2 322.453 1.564 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2ccc(Cl)cc2)CC1 ZINC000022779950 352180600 /nfs/dbraw/zinc/18/06/00/352180600.db2.gz YXLACMXHTWAWSG-UHFFFAOYSA-N 1 2 305.809 1.207 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000022779950 352180602 /nfs/dbraw/zinc/18/06/02/352180602.db2.gz YXLACMXHTWAWSG-UHFFFAOYSA-N 1 2 305.809 1.207 20 30 DDEDLO CCC(C)(C)NC(=O)C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000029446467 352238930 /nfs/dbraw/zinc/23/89/30/352238930.db2.gz HDKKHIDBMGHIAP-UHFFFAOYSA-N 1 2 315.421 1.380 20 30 DDEDLO CC(=O)N1CCC[N@@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)CC1 ZINC000027564729 352219276 /nfs/dbraw/zinc/21/92/76/352219276.db2.gz KLXPVDCYAYMWQM-ZDUSSCGKSA-N 1 2 314.389 1.439 20 30 DDEDLO CC(=O)N1CCC[N@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)CC1 ZINC000027564729 352219279 /nfs/dbraw/zinc/21/92/79/352219279.db2.gz KLXPVDCYAYMWQM-ZDUSSCGKSA-N 1 2 314.389 1.439 20 30 DDEDLO N#CCSCC(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000032938817 352285222 /nfs/dbraw/zinc/28/52/22/352285222.db2.gz SGIIZEKGQNGAJP-UHFFFAOYSA-N 1 2 300.387 1.804 20 30 DDEDLO N#CCCC[NH+]1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000038271303 352297874 /nfs/dbraw/zinc/29/78/74/352297874.db2.gz PGWJDAZSTABMOG-UHFFFAOYSA-N 1 2 307.419 1.438 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(c2ncccn2)CC1 ZINC000042287066 352334018 /nfs/dbraw/zinc/33/40/18/352334018.db2.gz NNMUTNFHVYMZRX-OAHLLOKOSA-N 1 2 317.437 1.412 20 30 DDEDLO C=CCN(C(=O)c1ccc(-n2cc[nH+]c2)nn1)[C@@H](C)COC ZINC000617578597 363191834 /nfs/dbraw/zinc/19/18/34/363191834.db2.gz NKBGVXQNONREJK-LBPRGKRZSA-N 1 2 301.350 1.325 20 30 DDEDLO CCCNC(=O)[C@H](C)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000052660806 352622115 /nfs/dbraw/zinc/62/21/15/352622115.db2.gz QPZXRZJWAIURLW-HNNXBMFYSA-N 1 2 314.433 1.591 20 30 DDEDLO CCCNC(=O)[C@H](C)[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000052660806 352622119 /nfs/dbraw/zinc/62/21/19/352622119.db2.gz QPZXRZJWAIURLW-HNNXBMFYSA-N 1 2 314.433 1.591 20 30 DDEDLO Cc1cc([C@H]2CCC[N@@H+]2CC(=O)N(CCC#N)CCC#N)on1 ZINC000054989673 352711236 /nfs/dbraw/zinc/71/12/36/352711236.db2.gz FTROEXLBZDTRIK-CQSZACIVSA-N 1 2 315.377 1.776 20 30 DDEDLO Cc1cc([C@H]2CCC[N@H+]2CC(=O)N(CCC#N)CCC#N)on1 ZINC000054989673 352711238 /nfs/dbraw/zinc/71/12/38/352711238.db2.gz FTROEXLBZDTRIK-CQSZACIVSA-N 1 2 315.377 1.776 20 30 DDEDLO CC(C)[C@H](CNc1ncc([N+](=O)[O-])cc1C#N)[NH+]1CCOCC1 ZINC000068127258 353090768 /nfs/dbraw/zinc/09/07/68/353090768.db2.gz XGEJUYYMAJPUDS-AWEZNQCLSA-N 1 2 319.365 1.630 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@H+](CC)CCC#N)CC1 ZINC000081513767 353679943 /nfs/dbraw/zinc/67/99/43/353679943.db2.gz BXQPOCNTKUHJOK-CYBMUJFWSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@@H+](CC)CCC#N)CC1 ZINC000081513767 353679946 /nfs/dbraw/zinc/67/99/46/353679946.db2.gz BXQPOCNTKUHJOK-CYBMUJFWSA-N 1 2 309.410 1.412 20 30 DDEDLO C#CC[NH+]1CCN(c2nccc(OCc3ccccc3)n2)CC1 ZINC000089588228 353775466 /nfs/dbraw/zinc/77/54/66/353775466.db2.gz KBZALBJFWNSUNV-UHFFFAOYSA-N 1 2 308.385 1.811 20 30 DDEDLO C[C@H]1CO[C@@H](CO)C[N@@H+]1Cc1cccc(OCCCC#N)c1 ZINC000092041712 353841337 /nfs/dbraw/zinc/84/13/37/353841337.db2.gz WOQNYQFOCQAXAP-WMLDXEAASA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@H]1CO[C@@H](CO)C[N@H+]1Cc1cccc(OCCCC#N)c1 ZINC000092041712 353841341 /nfs/dbraw/zinc/84/13/41/353841341.db2.gz WOQNYQFOCQAXAP-WMLDXEAASA-N 1 2 304.390 1.951 20 30 DDEDLO CN(C)c1ccc(C[NH+]2CCN(c3ncccc3C#N)CC2)cn1 ZINC000122965891 354030138 /nfs/dbraw/zinc/03/01/38/354030138.db2.gz JXXBXSJMIHHHNE-UHFFFAOYSA-N 1 2 322.416 1.736 20 30 DDEDLO C[C@H](C(=O)NC1CC1)N1CC[NH+](Cc2cc(C#N)cs2)CC1 ZINC000123363433 354031215 /nfs/dbraw/zinc/03/12/15/354031215.db2.gz MSBPYKLDSSDIRE-GFCCVEGCSA-N 1 2 318.446 1.404 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(Cc2cc(C#N)cs2)CC1 ZINC000123363433 354031218 /nfs/dbraw/zinc/03/12/18/354031218.db2.gz MSBPYKLDSSDIRE-GFCCVEGCSA-N 1 2 318.446 1.404 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000182372767 354271422 /nfs/dbraw/zinc/27/14/22/354271422.db2.gz GHQAWYJAKQULKG-AWEZNQCLSA-N 1 2 316.405 1.478 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000315328080 354492249 /nfs/dbraw/zinc/49/22/49/354492249.db2.gz MLOINBZZIXRNLF-LBPRGKRZSA-N 1 2 302.378 1.295 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000315328080 354492252 /nfs/dbraw/zinc/49/22/52/354492252.db2.gz MLOINBZZIXRNLF-LBPRGKRZSA-N 1 2 302.378 1.295 20 30 DDEDLO CO[C@]1(CO)CCC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000401812776 354667211 /nfs/dbraw/zinc/66/72/11/354667211.db2.gz VLNDFQOCLKOAMY-CQSZACIVSA-N 1 2 309.391 1.032 20 30 DDEDLO CO[C@]1(CO)CCC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000401812776 354667214 /nfs/dbraw/zinc/66/72/14/354667214.db2.gz VLNDFQOCLKOAMY-CQSZACIVSA-N 1 2 309.391 1.032 20 30 DDEDLO Cc1c(C[N@H+](C)CC(=O)NC2(C#N)CCCCC2)cnn1C ZINC000581766066 354737355 /nfs/dbraw/zinc/73/73/55/354737355.db2.gz WOLHMVBZTGEARV-UHFFFAOYSA-N 1 2 303.410 1.503 20 30 DDEDLO Cc1c(C[N@@H+](C)CC(=O)NC2(C#N)CCCCC2)cnn1C ZINC000581766066 354737358 /nfs/dbraw/zinc/73/73/58/354737358.db2.gz WOLHMVBZTGEARV-UHFFFAOYSA-N 1 2 303.410 1.503 20 30 DDEDLO N#Cc1cnc(C(=O)N[C@@H]2CCc3c[nH+]cn3C2)c(Cl)c1 ZINC000587057049 354879210 /nfs/dbraw/zinc/87/92/10/354879210.db2.gz QDNHQHGJWDIRSW-SNVBAGLBSA-N 1 2 301.737 1.548 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)CCCCCC#N)CC1 ZINC000588349482 354917249 /nfs/dbraw/zinc/91/72/49/354917249.db2.gz DOSKPDHVSIBQSP-UHFFFAOYSA-N 1 2 303.410 1.538 20 30 DDEDLO N#Cc1cnn(-c2ccccc2NC(=O)CCn2cc[nH+]c2)c1N ZINC000590545829 355110089 /nfs/dbraw/zinc/11/00/89/355110089.db2.gz XMDSDHZWAWHEHV-UHFFFAOYSA-N 1 2 321.344 1.551 20 30 DDEDLO N#Cc1ccc2c(c1)N(C(=O)CCCn1cc[nH+]c1)CC(=O)N2 ZINC000591008569 355231090 /nfs/dbraw/zinc/23/10/90/355231090.db2.gz SMRPETLPVVILBY-UHFFFAOYSA-N 1 2 309.329 1.520 20 30 DDEDLO C=CCCOCC(=O)N1CCCN(Cc2[nH+]ccn2CC)CC1 ZINC000591872941 355402543 /nfs/dbraw/zinc/40/25/43/355402543.db2.gz HHKLKDGCYAVGPC-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO CCN1C(=O)N=NC1C1CC[NH+](Cc2ccncc2C#N)CC1 ZINC000592084774 355489916 /nfs/dbraw/zinc/48/99/16/355489916.db2.gz UFYZDZIHDNFWPA-UHFFFAOYSA-N 1 2 312.377 1.650 20 30 DDEDLO Cc1cccc(C)c1NC(=O)[C@@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146798 355511878 /nfs/dbraw/zinc/51/18/78/355511878.db2.gz KNXSOCPRXSDLRW-PBHICJAKSA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1cccc(C)c1NC(=O)[C@@H](C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146798 355511881 /nfs/dbraw/zinc/51/18/81/355511881.db2.gz KNXSOCPRXSDLRW-PBHICJAKSA-N 1 2 301.390 1.981 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148045 355514315 /nfs/dbraw/zinc/51/43/15/355514315.db2.gz QPQOARLYSSZEGI-BBRMVZONSA-N 1 2 304.394 1.068 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148045 355514317 /nfs/dbraw/zinc/51/43/17/355514317.db2.gz QPQOARLYSSZEGI-BBRMVZONSA-N 1 2 304.394 1.068 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)Nc2ccnn2C2CCCC2)C1 ZINC000592148814 355515063 /nfs/dbraw/zinc/51/50/63/355515063.db2.gz CIRQUBLCNAKAHK-INIZCTEOSA-N 1 2 317.393 1.287 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)Nc2ccnn2C2CCCC2)C1 ZINC000592148814 355515065 /nfs/dbraw/zinc/51/50/65/355515065.db2.gz CIRQUBLCNAKAHK-INIZCTEOSA-N 1 2 317.393 1.287 20 30 DDEDLO COC(=O)c1ccc(Cl)c(C[N@@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592150705 355517421 /nfs/dbraw/zinc/51/74/21/355517421.db2.gz GLZXJHGXFYVQAW-OAHLLOKOSA-N 1 2 308.765 1.977 20 30 DDEDLO COC(=O)c1ccc(Cl)c(C[N@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592150705 355517424 /nfs/dbraw/zinc/51/74/24/355517424.db2.gz GLZXJHGXFYVQAW-OAHLLOKOSA-N 1 2 308.765 1.977 20 30 DDEDLO N#CC[C@@]1(O)CCN(C(=O)c2cccc(Cn3cc[nH+]c3)c2)C1 ZINC000592843011 355712179 /nfs/dbraw/zinc/71/21/79/355712179.db2.gz NNVLDCNNHYFNKX-QGZVFWFLSA-N 1 2 310.357 1.422 20 30 DDEDLO N#CC[C@@H]1CCCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC000595084794 356380201 /nfs/dbraw/zinc/38/02/01/356380201.db2.gz JSLIAIYPJYKWHY-LBPRGKRZSA-N 1 2 311.345 1.425 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C(=O)Nc1ccn2cc(C)[nH+]c2c1 ZINC000595004472 356370807 /nfs/dbraw/zinc/37/08/07/356370807.db2.gz SMOVGNIMOYXNQX-NSHDSACASA-N 1 2 313.361 1.589 20 30 DDEDLO C[C@@]1(C#N)CCN(C(=O)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000595204309 356406633 /nfs/dbraw/zinc/40/66/33/356406633.db2.gz ICDNZQIBZJEAON-KRWDZBQOSA-N 1 2 323.356 1.573 20 30 DDEDLO Cc1cc(CN(CCC#N)CC[NH+]2CCOCC2)nc(C)n1 ZINC000595334965 356453178 /nfs/dbraw/zinc/45/31/78/356453178.db2.gz UAEQZRUMMSMZCV-UHFFFAOYSA-N 1 2 303.410 1.141 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)NC(=O)NC[C@H]1COCC[N@H+]1C ZINC000624210173 366240273 /nfs/dbraw/zinc/24/02/73/366240273.db2.gz FICZRMKOZZDPKD-SJORKVTESA-N 1 2 317.433 1.804 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)NC(=O)NC[C@H]1COCC[N@@H+]1C ZINC000624210173 366240280 /nfs/dbraw/zinc/24/02/80/366240280.db2.gz FICZRMKOZZDPKD-SJORKVTESA-N 1 2 317.433 1.804 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CCC(CO)CC2)cc1 ZINC000081512569 192276411 /nfs/dbraw/zinc/27/64/11/192276411.db2.gz HMDSBIFICBQARI-UHFFFAOYSA-N 1 2 308.403 1.036 20 30 DDEDLO CCN(CCC(=O)N1CCN(c2cccc[nH+]2)CC1)[C@H](C)C#N ZINC000595813834 356661019 /nfs/dbraw/zinc/66/10/19/356661019.db2.gz PAIAAJFXUAFCOC-OAHLLOKOSA-N 1 2 315.421 1.354 20 30 DDEDLO Cc1ccc(C#N)nc1N1CC[NH+]([C@@H](C)C(=O)NC2CC2)CC1 ZINC000596066205 356759674 /nfs/dbraw/zinc/75/96/74/356759674.db2.gz ZXLYIEHOKQEXOQ-ZDUSSCGKSA-N 1 2 313.405 1.051 20 30 DDEDLO C=CCN1CC[C@H](N(C)c2cc(CC(=O)OC)cc[nH+]2)C1=O ZINC000596224604 356822492 /nfs/dbraw/zinc/82/24/92/356822492.db2.gz IBBUQMCTBWWYCL-ZDUSSCGKSA-N 1 2 303.362 1.020 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2ncc(C#N)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596431592 356877861 /nfs/dbraw/zinc/87/78/61/356877861.db2.gz PJOYAWWPROSVGE-WDEREUQCSA-N 1 2 305.338 1.383 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2ncc(C#N)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596431592 356877863 /nfs/dbraw/zinc/87/78/63/356877863.db2.gz PJOYAWWPROSVGE-WDEREUQCSA-N 1 2 305.338 1.383 20 30 DDEDLO CCc1nnc(NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)c(C#N)c1CC ZINC000596433438 356878766 /nfs/dbraw/zinc/87/87/66/356878766.db2.gz VOAQBVPSADPKKI-STQMWFEESA-N 1 2 317.437 1.994 20 30 DDEDLO CCc1nnc(NCC[N@H+]2C[C@H](C)OC[C@@H]2C)c(C#N)c1CC ZINC000596433438 356878768 /nfs/dbraw/zinc/87/87/68/356878768.db2.gz VOAQBVPSADPKKI-STQMWFEESA-N 1 2 317.437 1.994 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)CC1(C#N)CCOCC1 ZINC000597291034 357123569 /nfs/dbraw/zinc/12/35/69/357123569.db2.gz USAIVULJGSUYIR-UHFFFAOYSA-N 1 2 304.394 1.866 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1ccc(C#N)cc1)C(C)(C)CO ZINC000597845924 357355997 /nfs/dbraw/zinc/35/59/97/357355997.db2.gz JIBWAVGSUARNTJ-AWEZNQCLSA-N 1 2 312.373 1.781 20 30 DDEDLO C[C@H](CC#N)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000597933855 357387530 /nfs/dbraw/zinc/38/75/30/357387530.db2.gz RJTDWVCQRHLLSR-OIISXLGYSA-N 1 2 313.401 1.648 20 30 DDEDLO C[C@H](CC#N)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000597933855 357387536 /nfs/dbraw/zinc/38/75/36/357387536.db2.gz RJTDWVCQRHLLSR-OIISXLGYSA-N 1 2 313.401 1.648 20 30 DDEDLO CN(C(=O)c1cc(C#N)ccn1)C(C)(C)C[NH+]1CCOCC1 ZINC000598845076 357742377 /nfs/dbraw/zinc/74/23/77/357742377.db2.gz QATPPICSMHCHTR-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@H](O)COc2ccccc2C#N)no1 ZINC000599264288 357866912 /nfs/dbraw/zinc/86/69/12/357866912.db2.gz ACLMBVRTOHILJG-HNNXBMFYSA-N 1 2 301.346 1.726 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@H](O)COc2ccccc2C#N)no1 ZINC000599264288 357866915 /nfs/dbraw/zinc/86/69/15/357866915.db2.gz ACLMBVRTOHILJG-HNNXBMFYSA-N 1 2 301.346 1.726 20 30 DDEDLO CCOC(=O)[C@H](C)[N@H+](C)C[C@H](O)COc1ccccc1C#N ZINC000599326411 357894461 /nfs/dbraw/zinc/89/44/61/357894461.db2.gz LVOKLCIUXZYGBQ-JSGCOSHPSA-N 1 2 306.362 1.181 20 30 DDEDLO CCOC(=O)[C@H](C)[N@@H+](C)C[C@H](O)COc1ccccc1C#N ZINC000599326411 357894465 /nfs/dbraw/zinc/89/44/65/357894465.db2.gz LVOKLCIUXZYGBQ-JSGCOSHPSA-N 1 2 306.362 1.181 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CCN2CCc3[nH+]c[nH]c3C2)c1 ZINC000599421612 357929002 /nfs/dbraw/zinc/92/90/02/357929002.db2.gz GBNXHHDTLJHKLO-UHFFFAOYSA-N 1 2 316.386 1.113 20 30 DDEDLO COC(=O)[C@](C)(CCF)[NH2+]C[C@@H](O)COc1ccccc1C#N ZINC000599469252 357947819 /nfs/dbraw/zinc/94/78/19/357947819.db2.gz UBNYSNWGUQRLJW-CJNGLKHVSA-N 1 2 324.352 1.179 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC1(C)COC1 ZINC000599571567 357979754 /nfs/dbraw/zinc/97/97/54/357979754.db2.gz LSQYWSFTXBJUQN-UHFFFAOYSA-N 1 2 308.403 1.300 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC1(C)COC1 ZINC000599571567 357979757 /nfs/dbraw/zinc/97/97/57/357979757.db2.gz LSQYWSFTXBJUQN-UHFFFAOYSA-N 1 2 308.403 1.300 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1cccc(C#N)c1[N+](=O)[O-] ZINC000450531016 291130639 /nfs/dbraw/zinc/13/06/39/291130639.db2.gz REDAMYHLXUORBL-JSGCOSHPSA-N 1 2 316.361 1.766 20 30 DDEDLO CC[C@H]1C(=O)NCC[N@H+]1Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000180068413 199114245 /nfs/dbraw/zinc/11/42/45/199114245.db2.gz QARHHWNHMPEZGR-INIZCTEOSA-N 1 2 323.400 1.669 20 30 DDEDLO CC[C@H]1C(=O)NCC[N@@H+]1Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000180068413 199114247 /nfs/dbraw/zinc/11/42/47/199114247.db2.gz QARHHWNHMPEZGR-INIZCTEOSA-N 1 2 323.400 1.669 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[NH2+]Cc1noc(C2CC2)n1 ZINC000600730003 358299717 /nfs/dbraw/zinc/29/97/17/358299717.db2.gz CLEFOMIGENCLSJ-CYBMUJFWSA-N 1 2 314.345 1.348 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2cc(C#N)cs2)[C@H](C)C1 ZINC000180878400 199222960 /nfs/dbraw/zinc/22/29/60/199222960.db2.gz IEWNGLJAOBAOBE-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2cc(C#N)cs2)[C@H](C)C1 ZINC000180878400 199222962 /nfs/dbraw/zinc/22/29/62/199222962.db2.gz IEWNGLJAOBAOBE-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO N#C[C@H]1COCCN1C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000602106693 358816263 /nfs/dbraw/zinc/81/62/63/358816263.db2.gz FLGZBCDZAIPWDI-UWVGGRQHSA-N 1 2 302.256 1.128 20 30 DDEDLO N#Cc1ccncc1C(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC000602199760 358879029 /nfs/dbraw/zinc/87/90/29/358879029.db2.gz RCXGJQOWRYHQIG-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO CC[N@@H+]1CCOC[C@@H]1C(=O)N(C)[C@@H](C)c1cccc(C#N)c1 ZINC000602350021 358942717 /nfs/dbraw/zinc/94/27/17/358942717.db2.gz YBKCIMAPHPJDQL-XJKSGUPXSA-N 1 2 301.390 1.798 20 30 DDEDLO CC[N@H+]1CCOC[C@@H]1C(=O)N(C)[C@@H](C)c1cccc(C#N)c1 ZINC000602350021 358942724 /nfs/dbraw/zinc/94/27/24/358942724.db2.gz YBKCIMAPHPJDQL-XJKSGUPXSA-N 1 2 301.390 1.798 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(c3ncccc3[N+](=O)[O-])CC2)n1 ZINC000602687318 359126018 /nfs/dbraw/zinc/12/60/18/359126018.db2.gz KQLFVBZZUWOTII-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO Cn1c2cc(C[NH+]3CCN(CCC#N)CC3)ccc2oc1=O ZINC000602750734 359168642 /nfs/dbraw/zinc/16/86/42/359168642.db2.gz BKERLQOVDDGYCC-UHFFFAOYSA-N 1 2 300.362 1.163 20 30 DDEDLO C[C@H]1C[NH+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@H](C)N1CC#N ZINC000602850035 359238189 /nfs/dbraw/zinc/23/81/89/359238189.db2.gz OBGMAFRBTVYLKM-STQMWFEESA-N 1 2 313.361 1.885 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)Nc2ccc(C#N)cc2)C[C@H](C)N1CC#N ZINC000602865522 359250562 /nfs/dbraw/zinc/25/05/62/359250562.db2.gz TZEHSIRVVQQSSZ-KBPBESRZSA-N 1 2 311.389 1.415 20 30 DDEDLO COc1ccccc1NC(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602867648 359251531 /nfs/dbraw/zinc/25/15/31/359251531.db2.gz ZVONWNTVNKSTCY-ZIAGYGMSSA-N 1 2 316.405 1.552 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@@H](C)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000602867806 359252367 /nfs/dbraw/zinc/25/23/67/359252367.db2.gz DZBWJAMIRFTBIP-OLZOCXBDSA-N 1 2 317.389 1.397 20 30 DDEDLO CNC(=O)NC[C@H]1COCC[N@@H+]1CCC1(C#N)CCCCC1 ZINC000602999551 359347465 /nfs/dbraw/zinc/34/74/65/359347465.db2.gz KJXXCPBOWYBIKP-AWEZNQCLSA-N 1 2 308.426 1.480 20 30 DDEDLO CNC(=O)NC[C@H]1COCC[N@H+]1CCC1(C#N)CCCCC1 ZINC000602999551 359347471 /nfs/dbraw/zinc/34/74/71/359347471.db2.gz KJXXCPBOWYBIKP-AWEZNQCLSA-N 1 2 308.426 1.480 20 30 DDEDLO C[C@]1(CNC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)CCOC1 ZINC000329819054 223034125 /nfs/dbraw/zinc/03/41/25/223034125.db2.gz XMFGZGIREFGRAG-GDBMZVCRSA-N 1 2 311.426 1.124 20 30 DDEDLO C=CC[N@@H+](Cc1cccc(N(C)C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000607724353 360049701 /nfs/dbraw/zinc/04/97/01/360049701.db2.gz JVABRSFYHJFOBL-MRXNPFEDSA-N 1 2 308.447 1.928 20 30 DDEDLO C=CC[N@H+](Cc1cccc(N(C)C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000607724353 360049706 /nfs/dbraw/zinc/04/97/06/360049706.db2.gz JVABRSFYHJFOBL-MRXNPFEDSA-N 1 2 308.447 1.928 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1C[C@@H]2OCCN(C)[C@H]2C1 ZINC000329966448 223054617 /nfs/dbraw/zinc/05/46/17/223054617.db2.gz RWBBITAZIYOPHU-KCXAZCMYSA-N 1 2 314.389 1.550 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1C[C@@H]2OCCN(C)[C@H]2C1 ZINC000329966448 223054620 /nfs/dbraw/zinc/05/46/20/223054620.db2.gz RWBBITAZIYOPHU-KCXAZCMYSA-N 1 2 314.389 1.550 20 30 DDEDLO C[C@H]1CCC[C@](C#N)(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)C1 ZINC000609741664 360347362 /nfs/dbraw/zinc/34/73/62/360347362.db2.gz WLEYUSGHZQFPNB-LRDDRELGSA-N 1 2 310.361 1.865 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)N3CCO[C@H](C#N)C3)[nH+]c12 ZINC000610462163 360443052 /nfs/dbraw/zinc/44/30/52/360443052.db2.gz VHUONMJCGQJSTG-CQSZACIVSA-N 1 2 313.361 1.119 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N[C@@H](C)c1cccc(C#N)c1 ZINC000610385242 360424390 /nfs/dbraw/zinc/42/43/90/360424390.db2.gz PKOPVGOERBGGBP-NSHDSACASA-N 1 2 324.340 1.778 20 30 DDEDLO CCN(C1CC[NH+](Cc2ccnc(C#N)c2)CC1)S(C)(=O)=O ZINC000610994553 360595668 /nfs/dbraw/zinc/59/56/68/360595668.db2.gz GVGZYBWLMCFZFT-UHFFFAOYSA-N 1 2 322.434 1.199 20 30 DDEDLO C[C@@H](C(=O)NCCc1cccs1)[NH+]1CCN(CCC#N)CC1 ZINC000611173807 360646884 /nfs/dbraw/zinc/64/68/84/360646884.db2.gz LUIUEBGAITUENA-AWEZNQCLSA-N 1 2 320.462 1.327 20 30 DDEDLO COc1ccc([N+](=O)[O-])cc1C[NH+]1CCN(CCC#N)CC1 ZINC000611174576 360647589 /nfs/dbraw/zinc/64/75/89/360647589.db2.gz HXEDXEKYHKOSSH-UHFFFAOYSA-N 1 2 304.350 1.635 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N1CCC[C@@](C)(C#N)C1 ZINC000612270665 360955636 /nfs/dbraw/zinc/95/56/36/360955636.db2.gz QOLGYRLUXFQNFU-HNNXBMFYSA-N 1 2 302.334 1.181 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000612347427 360979600 /nfs/dbraw/zinc/97/96/00/360979600.db2.gz OMKDRZDKPGOWCR-VNQPRFMTSA-N 1 2 318.421 1.764 20 30 DDEDLO Cc1cccc(NC(=O)NC(=O)C[N@@H+]2C[C@@H](F)C[C@H]2CO)c1C ZINC000330566224 223127212 /nfs/dbraw/zinc/12/72/12/223127212.db2.gz MDWNPZYWGGDGDB-STQMWFEESA-N 1 2 323.368 1.370 20 30 DDEDLO Cc1cccc(NC(=O)NC(=O)C[N@H+]2C[C@@H](F)C[C@H]2CO)c1C ZINC000330566224 223127216 /nfs/dbraw/zinc/12/72/16/223127216.db2.gz MDWNPZYWGGDGDB-STQMWFEESA-N 1 2 323.368 1.370 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)N[C@@H]1CCCOC1 ZINC000330643973 223133917 /nfs/dbraw/zinc/13/39/17/223133917.db2.gz FPDBNUWSSJTYET-SECBINFHSA-N 1 2 306.288 1.628 20 30 DDEDLO C[C@@]1(O)CCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)C1 ZINC000331087175 223185172 /nfs/dbraw/zinc/18/51/72/223185172.db2.gz QGKQFTGQGLIBIC-LLVKDONJSA-N 1 2 306.288 1.316 20 30 DDEDLO N#CCCOCC[N@@H+]1C[C@@H](C#N)[C@]2(C1)C(=O)Nc1ccccc12 ZINC000339052683 223266659 /nfs/dbraw/zinc/26/66/59/223266659.db2.gz OKEPGWSJNUOEFM-DYVFJYSZSA-N 1 2 310.357 1.262 20 30 DDEDLO N#CCCOCC[N@H+]1C[C@@H](C#N)[C@]2(C1)C(=O)Nc1ccccc12 ZINC000339052683 223266661 /nfs/dbraw/zinc/26/66/61/223266661.db2.gz OKEPGWSJNUOEFM-DYVFJYSZSA-N 1 2 310.357 1.262 20 30 DDEDLO C=C[C@](C)(O)C(=O)N[C@H]1CC[N@@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000613137424 361286332 /nfs/dbraw/zinc/28/63/32/361286332.db2.gz BBGSKVCJWLWPPM-DZKIICNBSA-N 1 2 310.344 1.763 20 30 DDEDLO C=C[C@](C)(O)C(=O)N[C@H]1CC[N@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000613137424 361286338 /nfs/dbraw/zinc/28/63/38/361286338.db2.gz BBGSKVCJWLWPPM-DZKIICNBSA-N 1 2 310.344 1.763 20 30 DDEDLO CCS(=O)(=O)C1CC[NH+](CCOc2cccc(C#N)c2)CC1 ZINC000193257568 201014850 /nfs/dbraw/zinc/01/48/50/201014850.db2.gz LGUOSEIYAABZCN-UHFFFAOYSA-N 1 2 322.430 1.836 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@H](C(N)=O)c2ccc(F)cc2)CC1 ZINC000341992903 223299773 /nfs/dbraw/zinc/29/97/73/223299773.db2.gz QSOCTLIIEXZJAB-AWEZNQCLSA-N 1 2 305.353 1.604 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@H](c2nnc3n2CCCCC3)C1 ZINC000619716355 364126773 /nfs/dbraw/zinc/12/67/73/364126773.db2.gz MUMLPNBLTPPWRW-KBPBESRZSA-N 1 2 318.421 1.912 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@H](c2nnc3n2CCCCC3)C1 ZINC000619716355 364126778 /nfs/dbraw/zinc/12/67/78/364126778.db2.gz MUMLPNBLTPPWRW-KBPBESRZSA-N 1 2 318.421 1.912 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(C(=O)N2CCC[C@H](C)C2)CC1 ZINC000619716479 364128330 /nfs/dbraw/zinc/12/83/30/364128330.db2.gz PGVOFEPUSUZWRN-ZFWWWQNUSA-N 1 2 308.422 1.685 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@@H](CN(CC)CC(F)(F)F)C1 ZINC000619718217 364129561 /nfs/dbraw/zinc/12/95/61/364129561.db2.gz FBZRPBGVRDCSRP-NWDGAFQWSA-N 1 2 308.344 1.920 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@@H](CN(CC)CC(F)(F)F)C1 ZINC000619718217 364129565 /nfs/dbraw/zinc/12/95/65/364129565.db2.gz FBZRPBGVRDCSRP-NWDGAFQWSA-N 1 2 308.344 1.920 20 30 DDEDLO N#CC1(CCC[NH+]2CCC(c3n[nH]c(=O)[nH]3)CC2)CCOCC1 ZINC000343761667 223326867 /nfs/dbraw/zinc/32/68/67/223326867.db2.gz DYEXETLYFDBRPY-UHFFFAOYSA-N 1 2 319.409 1.790 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCCN(CC(F)F)CC1 ZINC000346513357 223358025 /nfs/dbraw/zinc/35/80/25/223358025.db2.gz AVCCQHCKJOGMIP-GFCCVEGCSA-N 1 2 314.380 1.210 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCCN(CC(F)F)CC1 ZINC000346513357 223358028 /nfs/dbraw/zinc/35/80/28/223358028.db2.gz AVCCQHCKJOGMIP-GFCCVEGCSA-N 1 2 314.380 1.210 20 30 DDEDLO N#CCC[N@H+](CCCc1ccncc1)CCN1CCOCC1 ZINC000347299665 223369676 /nfs/dbraw/zinc/36/96/76/223369676.db2.gz QZPPTLNCMDSVNB-UHFFFAOYSA-N 1 2 302.422 1.562 20 30 DDEDLO N#CCC[N@@H+](CCCc1ccncc1)CCN1CCOCC1 ZINC000347299665 223369677 /nfs/dbraw/zinc/36/96/77/223369677.db2.gz QZPPTLNCMDSVNB-UHFFFAOYSA-N 1 2 302.422 1.562 20 30 DDEDLO N#CCCN(CCCc1ccncc1)CC[NH+]1CCOCC1 ZINC000347299665 223369679 /nfs/dbraw/zinc/36/96/79/223369679.db2.gz QZPPTLNCMDSVNB-UHFFFAOYSA-N 1 2 302.422 1.562 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1nncn1C ZINC000275191018 212185619 /nfs/dbraw/zinc/18/56/19/212185619.db2.gz OWOHHSZONQGHGA-DOMZBBRYSA-N 1 2 324.388 1.533 20 30 DDEDLO C[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1O ZINC000093344722 193235614 /nfs/dbraw/zinc/23/56/14/193235614.db2.gz WCOAVYCBWUSCBW-WFASDCNBSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1O ZINC000093344722 193235616 /nfs/dbraw/zinc/23/56/16/193235616.db2.gz WCOAVYCBWUSCBW-WFASDCNBSA-N 1 2 308.403 1.035 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+](CC)[C@@H](C)CS(C)(=O)=O ZINC000093391023 193243624 /nfs/dbraw/zinc/24/36/24/193243624.db2.gz DYWYXCJNGHLUPM-AWEZNQCLSA-N 1 2 309.431 1.954 20 30 DDEDLO C#CCOc1ccccc1C[N@H+](CC)[C@@H](C)CS(C)(=O)=O ZINC000093391023 193243626 /nfs/dbraw/zinc/24/36/26/193243626.db2.gz DYWYXCJNGHLUPM-AWEZNQCLSA-N 1 2 309.431 1.954 20 30 DDEDLO Cc1nc(-c2nc([C@@H]3C[N@@H+](C4CC4)CCO3)no2)ccc1C#N ZINC000274006372 211263487 /nfs/dbraw/zinc/26/34/87/211263487.db2.gz YSEHQEYBLIOSRK-AWEZNQCLSA-N 1 2 311.345 1.847 20 30 DDEDLO Cc1nc(-c2nc([C@@H]3C[N@H+](C4CC4)CCO3)no2)ccc1C#N ZINC000274006372 211263491 /nfs/dbraw/zinc/26/34/91/211263491.db2.gz YSEHQEYBLIOSRK-AWEZNQCLSA-N 1 2 311.345 1.847 20 30 DDEDLO CC(C)CN1C[C@H]2C[N@H+](Cc3ccc(C#N)cn3)CCN2C1=O ZINC000567363617 304215283 /nfs/dbraw/zinc/21/52/83/304215283.db2.gz NADXKJOHMLXECW-MRXNPFEDSA-N 1 2 313.405 1.531 20 30 DDEDLO CC(C)CN1C[C@H]2C[N@@H+](Cc3ccc(C#N)cn3)CCN2C1=O ZINC000567363617 304215284 /nfs/dbraw/zinc/21/52/84/304215284.db2.gz NADXKJOHMLXECW-MRXNPFEDSA-N 1 2 313.405 1.531 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000284830415 218197232 /nfs/dbraw/zinc/19/72/32/218197232.db2.gz OYDYUMJSOILNOT-BBWFWOEESA-N 1 2 316.401 1.929 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000284830415 218197235 /nfs/dbraw/zinc/19/72/35/218197235.db2.gz OYDYUMJSOILNOT-BBWFWOEESA-N 1 2 316.401 1.929 20 30 DDEDLO N#Cc1cccc(OCC[N@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000109765747 194282922 /nfs/dbraw/zinc/28/29/22/194282922.db2.gz AZDRNWBSKOPGAM-OAHLLOKOSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1cccc(OCC[N@@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000109765747 194282925 /nfs/dbraw/zinc/28/29/25/194282925.db2.gz AZDRNWBSKOPGAM-OAHLLOKOSA-N 1 2 320.414 1.589 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000120269234 195131426 /nfs/dbraw/zinc/13/14/26/195131426.db2.gz OLAIBELPYCKSER-GFCCVEGCSA-N 1 2 321.402 1.976 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000120269234 195131427 /nfs/dbraw/zinc/13/14/27/195131427.db2.gz OLAIBELPYCKSER-GFCCVEGCSA-N 1 2 321.402 1.976 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC[C@H](C)C2)CC1 ZINC000119092971 221524792 /nfs/dbraw/zinc/52/47/92/221524792.db2.gz AHNVNVQYYMBLPQ-JKSUJKDBSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC[C@H](C)C2)CC1 ZINC000119092971 221524793 /nfs/dbraw/zinc/52/47/93/221524793.db2.gz AHNVNVQYYMBLPQ-JKSUJKDBSA-N 1 2 319.449 1.096 20 30 DDEDLO COC(=O)C1([NH2+]CCOc2cccc(C#N)c2)CCOCC1 ZINC000451758556 533252379 /nfs/dbraw/zinc/25/23/79/533252379.db2.gz QDMRIMDOZYMJTA-UHFFFAOYSA-N 1 2 304.346 1.249 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)cc2Br)C[C@H]1O ZINC000451757445 533478255 /nfs/dbraw/zinc/47/82/55/533478255.db2.gz ILSAKXTWQOYMRI-CHWSQXEVSA-N 1 2 311.179 1.512 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2Br)C[C@H]1O ZINC000451757445 533478259 /nfs/dbraw/zinc/47/82/59/533478259.db2.gz ILSAKXTWQOYMRI-CHWSQXEVSA-N 1 2 311.179 1.512 20 30 DDEDLO C=CCOc1ccccc1C[NH+]1CCN(C(=O)COC)CC1 ZINC000128690685 407563058 /nfs/dbraw/zinc/56/30/58/407563058.db2.gz JZKXWVIZNVOIFU-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO Cc1ccccc1OCCCO[NH+]=C(N)CN1CCOCC1 ZINC000078639959 407037304 /nfs/dbraw/zinc/03/73/04/407037304.db2.gz CSJSNBQWYMRCLI-UHFFFAOYSA-N 1 2 307.394 1.385 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)CC(=O)Nc1ccccc1 ZINC000078720604 407042428 /nfs/dbraw/zinc/04/24/28/407042428.db2.gz MWVVTOCRMGTMHR-UHFFFAOYSA-N 1 2 316.405 1.173 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)[C@H]1CCCN(c2ccccc2)C1=O ZINC000078754227 407044355 /nfs/dbraw/zinc/04/43/55/407044355.db2.gz DWCSIPMPGRWNQP-HNNXBMFYSA-N 1 2 301.390 1.416 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)[C@H]1CCCN(c2ccccc2)C1=O ZINC000078754227 407044357 /nfs/dbraw/zinc/04/43/57/407044357.db2.gz DWCSIPMPGRWNQP-HNNXBMFYSA-N 1 2 301.390 1.416 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000051225987 407137264 /nfs/dbraw/zinc/13/72/64/407137264.db2.gz JHXQNZGBOCJSBB-OAHLLOKOSA-N 1 2 315.421 1.212 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(CC(F)F)CC1 ZINC000057912257 407218515 /nfs/dbraw/zinc/21/85/15/407218515.db2.gz DZVGSPWYIAASQC-CYBMUJFWSA-N 1 2 303.397 1.682 20 30 DDEDLO N#Cc1ccccc1N1CC[NH+](Cc2cn3cccnc3n2)CC1 ZINC000101554551 407311570 /nfs/dbraw/zinc/31/15/70/407311570.db2.gz HINYFMULLRNYBK-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#C[C@@H](NC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1)C1CC1 ZINC000108829387 407391290 /nfs/dbraw/zinc/39/12/90/407391290.db2.gz RWVMXEFPUMPFFA-GFCCVEGCSA-N 1 2 311.301 1.812 20 30 DDEDLO C[C@H]1CC[C@@H](CC(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000126596050 407417026 /nfs/dbraw/zinc/41/70/26/407417026.db2.gz WKNIDSLVMKYZTM-JKSUJKDBSA-N 1 2 307.438 1.887 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](C)Cc1cc(Br)cn1C ZINC000151559955 407456337 /nfs/dbraw/zinc/45/63/37/407456337.db2.gz YHAJMEWTBPCENO-JTQLQIEISA-N 1 2 312.211 1.357 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](C)Cc1cc(Br)cn1C ZINC000151559955 407456340 /nfs/dbraw/zinc/45/63/40/407456340.db2.gz YHAJMEWTBPCENO-JTQLQIEISA-N 1 2 312.211 1.357 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](C)Cc1cc(Br)cn1C ZINC000151560007 407457089 /nfs/dbraw/zinc/45/70/89/407457089.db2.gz YHAJMEWTBPCENO-SNVBAGLBSA-N 1 2 312.211 1.357 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](C)Cc1cc(Br)cn1C ZINC000151560007 407457093 /nfs/dbraw/zinc/45/70/93/407457093.db2.gz YHAJMEWTBPCENO-SNVBAGLBSA-N 1 2 312.211 1.357 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)CSc1ccc(C#N)cc1 ZINC000171281346 407648679 /nfs/dbraw/zinc/64/86/79/407648679.db2.gz JLZPMNQMGPHWFW-CYBMUJFWSA-N 1 2 319.430 1.487 20 30 DDEDLO Cc1cc(NC(=O)NCCNc2cccc[nH+]2)nn1CCC#N ZINC000272154215 407795762 /nfs/dbraw/zinc/79/57/62/407795762.db2.gz YCNVXGQQNMCDCD-UHFFFAOYSA-N 1 2 313.365 1.734 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)c1ccc(C2(C#N)CC2)cc1 ZINC000180232560 407866458 /nfs/dbraw/zinc/86/64/58/407866458.db2.gz HCAIMETYBAFYMP-UHFFFAOYSA-N 1 2 313.401 1.646 20 30 DDEDLO CC(C)CC[N@H+](CCC#N)CC(=O)N(C)Cc1cnn(C)c1 ZINC000173541891 407888324 /nfs/dbraw/zinc/88/83/24/407888324.db2.gz VNISEXYNCPYAEM-UHFFFAOYSA-N 1 2 305.426 1.640 20 30 DDEDLO CC(C)CC[N@@H+](CCC#N)CC(=O)N(C)Cc1cnn(C)c1 ZINC000173541891 407888328 /nfs/dbraw/zinc/88/83/28/407888328.db2.gz VNISEXYNCPYAEM-UHFFFAOYSA-N 1 2 305.426 1.640 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCC[C@H](O)[C@@H]2C(=O)OC)cc1 ZINC000188790459 407980212 /nfs/dbraw/zinc/98/02/12/407980212.db2.gz JDVMIHGZHGIEGB-JKSUJKDBSA-N 1 2 303.358 1.197 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCC[C@H](O)[C@@H]2C(=O)OC)cc1 ZINC000188790459 407980221 /nfs/dbraw/zinc/98/02/21/407980221.db2.gz JDVMIHGZHGIEGB-JKSUJKDBSA-N 1 2 303.358 1.197 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+](CC(=O)N(CC)CC)CC1 ZINC000268148050 407914284 /nfs/dbraw/zinc/91/42/84/407914284.db2.gz JTRHBGXEWMJNHM-UHFFFAOYSA-N 1 2 321.465 1.767 20 30 DDEDLO C[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H]1CO ZINC000189697070 408083382 /nfs/dbraw/zinc/08/33/82/408083382.db2.gz MJLUNAHLRYZOAM-SWLSCSKDSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H]1CO ZINC000189697070 408083389 /nfs/dbraw/zinc/08/33/89/408083389.db2.gz MJLUNAHLRYZOAM-SWLSCSKDSA-N 1 2 308.403 1.035 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc(C#N)s1 ZINC000154796133 408085160 /nfs/dbraw/zinc/08/51/60/408085160.db2.gz BOWKLPCOZVDQPI-UHFFFAOYSA-N 1 2 304.419 1.668 20 30 DDEDLO CC(C)OCCN1CC[NH+](C[C@H](O)c2ccc(C#N)cc2)CC1 ZINC000268605523 408116401 /nfs/dbraw/zinc/11/64/01/408116401.db2.gz JSZAKGNRAHMTTF-SFHVURJKSA-N 1 2 317.433 1.634 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)CCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000182520913 408184884 /nfs/dbraw/zinc/18/48/84/408184884.db2.gz GEQODAOCGQOYHM-SJORKVTESA-N 1 2 323.458 1.655 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)CCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000182520913 408184889 /nfs/dbraw/zinc/18/48/89/408184889.db2.gz GEQODAOCGQOYHM-SJORKVTESA-N 1 2 323.458 1.655 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000263708998 408216383 /nfs/dbraw/zinc/21/63/83/408216383.db2.gz MSQIVZNTSLATPN-UHFFFAOYSA-N 1 2 324.428 1.881 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@](C)(C(=O)OC)C1 ZINC000246319847 408220566 /nfs/dbraw/zinc/22/05/66/408220566.db2.gz DCRNVVMSZSTVMH-RHSMWYFYSA-N 1 2 316.357 1.657 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@](C)(C(=O)OC)C1 ZINC000246319847 408220573 /nfs/dbraw/zinc/22/05/73/408220573.db2.gz DCRNVVMSZSTVMH-RHSMWYFYSA-N 1 2 316.357 1.657 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)c2cccc(SCC#N)c2)C1 ZINC000176034116 408272082 /nfs/dbraw/zinc/27/20/82/408272082.db2.gz YEQIMLVLXKHLRP-CYBMUJFWSA-N 1 2 305.403 1.363 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)c2cccc(SCC#N)c2)C1 ZINC000176034116 408272085 /nfs/dbraw/zinc/27/20/85/408272085.db2.gz YEQIMLVLXKHLRP-CYBMUJFWSA-N 1 2 305.403 1.363 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCCC[C@H]2CCO)c(C#N)c1C ZINC000269407117 408297788 /nfs/dbraw/zinc/29/77/88/408297788.db2.gz DRAWXJYNTPFMHH-ZDUSSCGKSA-N 1 2 305.378 1.944 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCCC[C@H]2CCO)c(C#N)c1C ZINC000269407117 408297790 /nfs/dbraw/zinc/29/77/90/408297790.db2.gz DRAWXJYNTPFMHH-ZDUSSCGKSA-N 1 2 305.378 1.944 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000176156092 408298370 /nfs/dbraw/zinc/29/83/70/408298370.db2.gz BJMMAUUZKGBDKP-NVXWUHKLSA-N 1 2 314.433 1.784 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)C(=O)NC1(C#N)CCCCC1)c1nncn1C ZINC000273987720 408300748 /nfs/dbraw/zinc/30/07/48/408300748.db2.gz JJYCUHNCNFXWSW-NEPJUHHUSA-N 1 2 304.398 1.197 20 30 DDEDLO CCOC(=O)N1CCC(NC(=O)C[N@H+](CC)C[C@H](C)C#N)CC1 ZINC000157619188 408310446 /nfs/dbraw/zinc/31/04/46/408310446.db2.gz BQLLKRPLKHSPGF-CYBMUJFWSA-N 1 2 324.425 1.205 20 30 DDEDLO CCOC(=O)N1CCC(NC(=O)C[N@@H+](CC)C[C@H](C)C#N)CC1 ZINC000157619188 408310452 /nfs/dbraw/zinc/31/04/52/408310452.db2.gz BQLLKRPLKHSPGF-CYBMUJFWSA-N 1 2 324.425 1.205 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)Cc3ccccc3)CC2)cc1C#N ZINC000191217536 408389091 /nfs/dbraw/zinc/38/90/91/408389091.db2.gz FCKFPQVIXGQIFB-UHFFFAOYSA-N 1 2 322.412 1.784 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)Cc1ccccn1)[NH+]1CCSCC1 ZINC000269651298 408377644 /nfs/dbraw/zinc/37/76/44/408377644.db2.gz CCMNWKVTEOCWMI-CQSZACIVSA-N 1 2 318.446 1.761 20 30 DDEDLO CCCOC(=O)[C@@H](C)[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000159591500 408419407 /nfs/dbraw/zinc/41/94/07/408419407.db2.gz HAFYBOSMXHMJSE-CQSZACIVSA-N 1 2 316.405 1.807 20 30 DDEDLO CCCOC(=O)[C@@H](C)[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000159591500 408419415 /nfs/dbraw/zinc/41/94/15/408419415.db2.gz HAFYBOSMXHMJSE-CQSZACIVSA-N 1 2 316.405 1.807 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)c1ccccc1F)[C@H]1CCS(=O)(=O)C1 ZINC000264572155 408537875 /nfs/dbraw/zinc/53/78/75/408537875.db2.gz SAIXOXPAULJUJZ-WFASDCNBSA-N 1 2 313.394 1.534 20 30 DDEDLO C=CC[N@H+](C[C@H](O)c1ccccc1F)[C@H]1CCS(=O)(=O)C1 ZINC000264572155 408537877 /nfs/dbraw/zinc/53/78/77/408537877.db2.gz SAIXOXPAULJUJZ-WFASDCNBSA-N 1 2 313.394 1.534 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)c1ccc(F)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000264572313 408538505 /nfs/dbraw/zinc/53/85/05/408538505.db2.gz SFWPJERHCJZJCV-GJZGRUSLSA-N 1 2 313.394 1.534 20 30 DDEDLO C=CC[N@H+](C[C@H](O)c1ccc(F)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000264572313 408538510 /nfs/dbraw/zinc/53/85/10/408538510.db2.gz SFWPJERHCJZJCV-GJZGRUSLSA-N 1 2 313.394 1.534 20 30 DDEDLO CN1CC[C@@H]([N@H+](C)CC(=O)Nc2cc(Cl)ccc2C#N)C1=O ZINC000265643745 408726500 /nfs/dbraw/zinc/72/65/00/408726500.db2.gz KSLJGJUXLIEIPG-CYBMUJFWSA-N 1 2 320.780 1.313 20 30 DDEDLO CN1CC[C@@H]([N@@H+](C)CC(=O)Nc2cc(Cl)ccc2C#N)C1=O ZINC000265643745 408726506 /nfs/dbraw/zinc/72/65/06/408726506.db2.gz KSLJGJUXLIEIPG-CYBMUJFWSA-N 1 2 320.780 1.313 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN([C@@H](C)c3nccs3)CC2)C1=O ZINC000281410060 408884805 /nfs/dbraw/zinc/88/48/05/408884805.db2.gz RDIJLGLGHZXPHT-KBPBESRZSA-N 1 2 320.462 1.609 20 30 DDEDLO C[C@H]([NH2+]CC(=O)N(CCC#N)CCC#N)c1c(F)cncc1F ZINC000285735972 408853687 /nfs/dbraw/zinc/85/36/87/408853687.db2.gz QCQSDNRWIWAGBT-NSHDSACASA-N 1 2 321.331 1.666 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)Cc2cn3ccccc3[nH+]2)C1=O ZINC000281414729 408885573 /nfs/dbraw/zinc/88/55/73/408885573.db2.gz PTMAGRIMAANJAB-AWEZNQCLSA-N 1 2 312.373 1.122 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1C[C@H](C)[S@@](=O)[C@@H](CC)C1 ZINC000285832946 408872743 /nfs/dbraw/zinc/87/27/43/408872743.db2.gz HHDDORKKLDBIFO-BOLFOIPXSA-N 1 2 312.479 1.807 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1C[C@H](C)[S@@](=O)[C@@H](CC)C1 ZINC000285832946 408872745 /nfs/dbraw/zinc/87/27/45/408872745.db2.gz HHDDORKKLDBIFO-BOLFOIPXSA-N 1 2 312.479 1.807 20 30 DDEDLO COc1ncccc1C[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000193293488 163252922 /nfs/dbraw/zinc/25/29/22/163252922.db2.gz LHJLXHKZEKGMOP-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1CC#Cc1ccc(F)cc1 ZINC000286234387 408946741 /nfs/dbraw/zinc/94/67/41/408946741.db2.gz ZHKFQZCHBVVRIN-MAUKXSAKSA-N 1 2 302.393 1.972 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)[C@@](C)(OC)c2ccccc2F)CC1 ZINC000277511504 408954912 /nfs/dbraw/zinc/95/49/12/408954912.db2.gz NYAUYHFCLGIYIE-SFHVURJKSA-N 1 2 318.392 1.855 20 30 DDEDLO CCS(=O)(=O)C1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC000277878826 409028346 /nfs/dbraw/zinc/02/83/46/409028346.db2.gz VWWSOZQLMXAEJL-UHFFFAOYSA-N 1 2 322.430 1.988 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000292485239 409031070 /nfs/dbraw/zinc/03/10/70/409031070.db2.gz QGXKVEMQLRTRHO-ZBFHGGJFSA-N 1 2 301.390 1.208 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000292485239 409031072 /nfs/dbraw/zinc/03/10/72/409031072.db2.gz QGXKVEMQLRTRHO-ZBFHGGJFSA-N 1 2 301.390 1.208 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[NH+](Cc2ccccn2)CC1)C(C)C ZINC000282756999 409063116 /nfs/dbraw/zinc/06/31/16/409063116.db2.gz MYHJAXDYHTVZCV-MRXNPFEDSA-N 1 2 300.406 1.567 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1cc(-n2cnnn2)ccc1Cl ZINC000293346453 409094386 /nfs/dbraw/zinc/09/43/86/409094386.db2.gz YZINTVOHBWHFPC-UHFFFAOYSA-N 1 2 318.768 1.209 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1cc(-n2cnnn2)ccc1Cl ZINC000293346453 409094390 /nfs/dbraw/zinc/09/43/90/409094390.db2.gz YZINTVOHBWHFPC-UHFFFAOYSA-N 1 2 318.768 1.209 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@@H+]([C@@H]1CC[C@@H](C#N)C1)C2 ZINC000293979429 409218145 /nfs/dbraw/zinc/21/81/45/409218145.db2.gz DOTSDFHTPQRLEL-TZMCWYRMSA-N 1 2 319.430 1.645 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@H+]([C@@H]1CC[C@@H](C#N)C1)C2 ZINC000293979429 409218147 /nfs/dbraw/zinc/21/81/47/409218147.db2.gz DOTSDFHTPQRLEL-TZMCWYRMSA-N 1 2 319.430 1.645 20 30 DDEDLO COc1ccc(NC(=O)[C@H](C)O[NH+]=C(N)[C@@H]2CCCO2)cc1 ZINC000283872713 409232493 /nfs/dbraw/zinc/23/24/93/409232493.db2.gz CPBZTCDIKICFPW-GWCFXTLKSA-N 1 2 307.350 1.490 20 30 DDEDLO Cc1ccccc1C[NH+]1CCN(S(=O)(=O)CCC#N)CC1 ZINC000289266267 409240110 /nfs/dbraw/zinc/24/01/10/409240110.db2.gz YXDUYOXPIVLBHM-UHFFFAOYSA-N 1 2 307.419 1.356 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@H](C)C(=O)NC2CCCC2)n1 ZINC000279711777 409246921 /nfs/dbraw/zinc/24/69/21/409246921.db2.gz KJLFQKOVKIMCMF-CQSZACIVSA-N 1 2 302.422 1.786 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@H](C)C(=O)NC2CCCC2)n1 ZINC000279711777 409246923 /nfs/dbraw/zinc/24/69/23/409246923.db2.gz KJLFQKOVKIMCMF-CQSZACIVSA-N 1 2 302.422 1.786 20 30 DDEDLO NC(=[NH+]OCC(=O)NCc1ccc(Cl)cc1)[C@H]1CCCO1 ZINC000283961468 409248672 /nfs/dbraw/zinc/24/86/72/409248672.db2.gz GBPKFINKTPLPMC-GFCCVEGCSA-N 1 2 311.769 1.424 20 30 DDEDLO C[C@@H](CNC(=O)[C@H](C#N)Cc1ccc(C#N)cc1)Cn1cc[nH+]c1 ZINC000280174737 409262887 /nfs/dbraw/zinc/26/28/87/409262887.db2.gz COALVLYLEBSBFB-YOEHRIQHSA-N 1 2 321.384 1.890 20 30 DDEDLO C#CC[N@H+](C)CCCNC(=O)c1cc(F)c(F)c(O)c1F ZINC000293926631 409207391 /nfs/dbraw/zinc/20/73/91/409207391.db2.gz HLCNZOWOSBJMGZ-UHFFFAOYSA-N 1 2 300.280 1.494 20 30 DDEDLO C#CC[N@@H+](C)CCCNC(=O)c1cc(F)c(F)c(O)c1F ZINC000293926631 409207394 /nfs/dbraw/zinc/20/73/94/409207394.db2.gz HLCNZOWOSBJMGZ-UHFFFAOYSA-N 1 2 300.280 1.494 20 30 DDEDLO NC(=[NH+]OCc1nnc2n1CCOC2)c1cccc(Cl)c1 ZINC000280724270 409426915 /nfs/dbraw/zinc/42/69/15/409426915.db2.gz JEDOYGWYZQKGTH-UHFFFAOYSA-N 1 2 307.741 1.299 20 30 DDEDLO CN(C)S(=O)(=O)[C@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC000285505711 409471356 /nfs/dbraw/zinc/47/13/56/409471356.db2.gz FPPOKPPTOWNUBD-HNNXBMFYSA-N 1 2 307.419 1.414 20 30 DDEDLO CN(C)S(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC000285505711 409471360 /nfs/dbraw/zinc/47/13/60/409471360.db2.gz FPPOKPPTOWNUBD-HNNXBMFYSA-N 1 2 307.419 1.414 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C ZINC000356780493 409599970 /nfs/dbraw/zinc/59/99/70/409599970.db2.gz PATCTRPTUYESJN-HNNXBMFYSA-N 1 2 306.366 1.298 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2ncc(F)cn2)CC1 ZINC000354023638 409602057 /nfs/dbraw/zinc/60/20/57/409602057.db2.gz DDPBCGVBJCFTMV-UHFFFAOYSA-N 1 2 321.400 1.162 20 30 DDEDLO C=CCN(C)C(=O)[C@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000356903370 409706177 /nfs/dbraw/zinc/70/61/77/409706177.db2.gz NAKWDQUNCCAUGB-XJKSGUPXSA-N 1 2 318.421 1.754 20 30 DDEDLO COc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)ccn1 ZINC000342858570 409953233 /nfs/dbraw/zinc/95/32/33/409953233.db2.gz AWBSLZWPDRICIH-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO COc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)ccn1 ZINC000342858570 409953237 /nfs/dbraw/zinc/95/32/37/409953237.db2.gz AWBSLZWPDRICIH-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO CN(C[C@H]1CCCCO1)C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000328751417 409968269 /nfs/dbraw/zinc/96/82/69/409968269.db2.gz RAIQUOPVZSBIKZ-CQSZACIVSA-N 1 2 313.442 1.512 20 30 DDEDLO CN(C[C@H]1CCCCO1)C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000328751417 409968277 /nfs/dbraw/zinc/96/82/77/409968277.db2.gz RAIQUOPVZSBIKZ-CQSZACIVSA-N 1 2 313.442 1.512 20 30 DDEDLO CC(C)(C(=O)NC1CCN(C(=O)C2CC2)CC1)[NH+]1CCOCC1 ZINC000328764252 409971775 /nfs/dbraw/zinc/97/17/75/409971775.db2.gz ZXGZBUJHRYHYPK-UHFFFAOYSA-N 1 2 323.437 1.455 20 30 DDEDLO CC(=O)N1CCN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)[C@H](C)C1 ZINC000328607442 409932645 /nfs/dbraw/zinc/93/26/45/409932645.db2.gz LERGZBXHZKJDBB-OCCSQVGLSA-N 1 2 319.409 1.227 20 30 DDEDLO CCN1CCN(C(=O)NC[C@@H]2CCCCO2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328636656 409940626 /nfs/dbraw/zinc/94/06/26/409940626.db2.gz VQCXAJTYGXWETE-UONOGXRCSA-N 1 2 321.425 1.571 20 30 DDEDLO CN1CCOC[C@H]1C(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000328915763 410007613 /nfs/dbraw/zinc/00/76/13/410007613.db2.gz ACFVJMPNSBGOAD-HNNXBMFYSA-N 1 2 318.421 1.859 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)CNC(=O)C3CCCCC3)C[C@H]21 ZINC000328916219 410008130 /nfs/dbraw/zinc/00/81/30/410008130.db2.gz RERDOIPEZYWKKR-HUUCEWRRSA-N 1 2 323.437 1.455 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)CNC(=O)C3CCCCC3)C[C@H]21 ZINC000328916219 410008138 /nfs/dbraw/zinc/00/81/38/410008138.db2.gz RERDOIPEZYWKKR-HUUCEWRRSA-N 1 2 323.437 1.455 20 30 DDEDLO Cc1onc(CC(=O)NCc2cccc(-n3cc[nH+]c3)c2)c1C#N ZINC000354726426 410044005 /nfs/dbraw/zinc/04/40/05/410044005.db2.gz IVTCZKVYAGIFLE-UHFFFAOYSA-N 1 2 321.340 1.899 20 30 DDEDLO CC[C@H](NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C)c1c(C)noc1C ZINC000328865723 409996234 /nfs/dbraw/zinc/99/62/34/409996234.db2.gz NMBYNADIGVERIO-KBPBESRZSA-N 1 2 323.441 1.492 20 30 DDEDLO CC[C@H](NC(=O)NC[C@H]1CN(C)CC[N@H+]1C)c1c(C)noc1C ZINC000328865723 409996242 /nfs/dbraw/zinc/99/62/42/409996242.db2.gz NMBYNADIGVERIO-KBPBESRZSA-N 1 2 323.441 1.492 20 30 DDEDLO CSc1cc(CNC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)ccn1 ZINC000329109387 410106534 /nfs/dbraw/zinc/10/65/34/410106534.db2.gz FWVLNNQVOZAVQL-STQMWFEESA-N 1 2 322.434 1.232 20 30 DDEDLO CSc1cc(CNC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)ccn1 ZINC000329109387 410106536 /nfs/dbraw/zinc/10/65/36/410106536.db2.gz FWVLNNQVOZAVQL-STQMWFEESA-N 1 2 322.434 1.232 20 30 DDEDLO Cc1cc(NC(=O)CN2CC[NH+](Cc3cnn(C)c3)CC2)on1 ZINC000329142650 410129672 /nfs/dbraw/zinc/12/96/72/410129672.db2.gz LLRZXPTZHLNIJC-UHFFFAOYSA-N 1 2 318.381 1.122 20 30 DDEDLO CN(C([O-])=[NH+][C@H]1CCc2[nH+]c(C(C)(C)C)cn2C1)C1CC(O)C1 ZINC000329515528 410338243 /nfs/dbraw/zinc/33/82/43/410338243.db2.gz MHMQUTRZZUIFHT-HIFPTAJRSA-N 1 2 320.437 1.865 20 30 DDEDLO O=C1NC(=O)N2CC[N@@H+](CC#Cc3cccc(Cl)c3)C[C@H]12 ZINC000329472004 410316336 /nfs/dbraw/zinc/31/63/36/410316336.db2.gz ZZRUPIFABHCDHU-CYBMUJFWSA-N 1 2 303.749 1.768 20 30 DDEDLO O=C1NC(=O)N2CC[N@H+](CC#Cc3cccc(Cl)c3)C[C@H]12 ZINC000329472004 410316341 /nfs/dbraw/zinc/31/63/41/410316341.db2.gz ZZRUPIFABHCDHU-CYBMUJFWSA-N 1 2 303.749 1.768 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCCc1cscn1 ZINC000329574799 410365826 /nfs/dbraw/zinc/36/58/26/410365826.db2.gz VKSPHLCUYXHOMO-TZMCWYRMSA-N 1 2 324.450 1.395 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)[C@@]1(C#N)CC12CCCC2 ZINC000333266278 410453168 /nfs/dbraw/zinc/45/31/68/410453168.db2.gz BTOQGVNWCKWAPZ-YOEHRIQHSA-N 1 2 305.422 1.640 20 30 DDEDLO C=CCc1ccc(OC[C@H](O)C[NH+]2CC(OC)C2)c(OC)c1 ZINC000358451982 410472437 /nfs/dbraw/zinc/47/24/37/410472437.db2.gz HMSYOZUFLVINQM-CQSZACIVSA-N 1 2 307.390 1.494 20 30 DDEDLO C=CCN(CCOC)C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000358640132 410505698 /nfs/dbraw/zinc/50/56/98/410505698.db2.gz XYEIPJOUBAVOJD-UHFFFAOYSA-N 1 2 320.315 1.789 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)NCC(C)(C)C#N ZINC000355585233 410592768 /nfs/dbraw/zinc/59/27/68/410592768.db2.gz ILBHXBWNXQWULW-CYBMUJFWSA-N 1 2 319.409 1.149 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)NCC(C)(C)C#N ZINC000355585233 410592774 /nfs/dbraw/zinc/59/27/74/410592774.db2.gz ILBHXBWNXQWULW-CYBMUJFWSA-N 1 2 319.409 1.149 20 30 DDEDLO CCn1nccc1C[N@H+](CCO)Cc1ccc(OC)c(C#N)c1 ZINC000355493331 410547280 /nfs/dbraw/zinc/54/72/80/410547280.db2.gz ALIYCJAZTFRTAU-UHFFFAOYSA-N 1 2 314.389 1.778 20 30 DDEDLO CCn1nccc1C[N@@H+](CCO)Cc1ccc(OC)c(C#N)c1 ZINC000355493331 410547285 /nfs/dbraw/zinc/54/72/85/410547285.db2.gz ALIYCJAZTFRTAU-UHFFFAOYSA-N 1 2 314.389 1.778 20 30 DDEDLO CCn1nccc1C[N@H+](C)CC(=O)NCc1cccc(C#N)c1 ZINC000352355360 410644062 /nfs/dbraw/zinc/64/40/62/410644062.db2.gz BXXWMNCZZGTRNI-UHFFFAOYSA-N 1 2 311.389 1.523 20 30 DDEDLO CCn1nccc1C[N@@H+](C)CC(=O)NCc1cccc(C#N)c1 ZINC000352355360 410644067 /nfs/dbraw/zinc/64/40/67/410644067.db2.gz BXXWMNCZZGTRNI-UHFFFAOYSA-N 1 2 311.389 1.523 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NC[C@@H](c1ccco1)[NH+]1CCCCC1 ZINC000352412720 410653908 /nfs/dbraw/zinc/65/39/08/410653908.db2.gz IFLRUESEDLDAQO-OLZOCXBDSA-N 1 2 311.407 1.638 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1[C@H]1CCCC[C@@H]1O ZINC000330545234 410765429 /nfs/dbraw/zinc/76/54/29/410765429.db2.gz BRQXDEPCSSPYGF-ZACQAIPSSA-N 1 2 324.465 1.663 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1[C@H]1CCCC[C@@H]1O ZINC000330545234 410765434 /nfs/dbraw/zinc/76/54/34/410765434.db2.gz BRQXDEPCSSPYGF-ZACQAIPSSA-N 1 2 324.465 1.663 20 30 DDEDLO CC(C)OCCOC[C@H](O)C[N@H+](C)Cc1nc(C#N)cs1 ZINC000356050743 410799088 /nfs/dbraw/zinc/79/90/88/410799088.db2.gz GIPFOIMUALUGEO-CYBMUJFWSA-N 1 2 313.423 1.249 20 30 DDEDLO CC(C)OCCOC[C@H](O)C[N@@H+](C)Cc1nc(C#N)cs1 ZINC000356050743 410799093 /nfs/dbraw/zinc/79/90/93/410799093.db2.gz GIPFOIMUALUGEO-CYBMUJFWSA-N 1 2 313.423 1.249 20 30 DDEDLO C[C@H]1C[N@H+](C)[C@@H](C)CN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000330584866 410800958 /nfs/dbraw/zinc/80/09/58/410800958.db2.gz LDCKHSXHDFDVAO-KBPBESRZSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@H]1C[N@@H+](C)[C@@H](C)CN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000330584866 410800962 /nfs/dbraw/zinc/80/09/62/410800962.db2.gz LDCKHSXHDFDVAO-KBPBESRZSA-N 1 2 321.446 1.356 20 30 DDEDLO Cc1c[nH+]c(CNc2ccn(-c3ccc(C#N)cc3F)n2)n1C ZINC000341295114 410988296 /nfs/dbraw/zinc/98/82/96/410988296.db2.gz VLSCXFVDIHKYMC-UHFFFAOYSA-N 1 2 310.336 2.537 20 30 DDEDLO CNC(=O)[C@@H]([NH2+]Cc1nnc(-c2cccc(C#N)c2)o1)C(C)C ZINC000341867225 411074310 /nfs/dbraw/zinc/07/43/10/411074310.db2.gz BEFRBWBKRDYPOA-AWEZNQCLSA-N 1 2 313.361 1.468 20 30 DDEDLO N#CCCCCS(=O)(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000360061623 411026512 /nfs/dbraw/zinc/02/65/12/411026512.db2.gz SLBGOQLEQMMNJC-UHFFFAOYSA-N 1 2 318.402 1.986 20 30 DDEDLO CCN1CCN(C(=O)NCCCCC#N)C[C@H]1c1[nH]cc[nH+]1 ZINC000353832055 411134245 /nfs/dbraw/zinc/13/42/45/411134245.db2.gz ZTDIHAMQCBNDGP-ZDUSSCGKSA-N 1 2 304.398 1.492 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(CC(=O)N3CCCC3)CC2)o1 ZINC000129291437 196036138 /nfs/dbraw/zinc/03/61/38/196036138.db2.gz NKIOSKMGUHIJBV-UHFFFAOYSA-N 1 2 316.405 1.281 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(CC(=O)N3CCCC3)CC2)o1 ZINC000129291437 196036139 /nfs/dbraw/zinc/03/61/39/196036139.db2.gz NKIOSKMGUHIJBV-UHFFFAOYSA-N 1 2 316.405 1.281 20 30 DDEDLO C=CCN(c1ccccc1)S(=O)(=O)C[C@H]1C[N@H+](C)CCO1 ZINC000631649238 422867308 /nfs/dbraw/zinc/86/73/08/422867308.db2.gz XNTWTBDHWPQPJL-OAHLLOKOSA-N 1 2 310.419 1.339 20 30 DDEDLO C=CCN(c1ccccc1)S(=O)(=O)C[C@H]1C[N@@H+](C)CCO1 ZINC000631649238 422867311 /nfs/dbraw/zinc/86/73/11/422867311.db2.gz XNTWTBDHWPQPJL-OAHLLOKOSA-N 1 2 310.419 1.339 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](OCC[NH+]3CCOCC3)C2)C1 ZINC000631801176 422927794 /nfs/dbraw/zinc/92/77/94/422927794.db2.gz YKOCURVEIMTVPO-HNNXBMFYSA-N 1 2 308.422 1.292 20 30 DDEDLO Cc1c(C[S@@](C)=O)cccc1NC[C@H](O)C[N@H+](C)CCC#N ZINC000580709751 422949368 /nfs/dbraw/zinc/94/93/68/422949368.db2.gz BETYUXDPNZAJDU-OYHNWAKOSA-N 1 2 323.462 1.492 20 30 DDEDLO Cc1c(C[S@@](C)=O)cccc1NC[C@H](O)C[N@@H+](C)CCC#N ZINC000580709751 422949369 /nfs/dbraw/zinc/94/93/69/422949369.db2.gz BETYUXDPNZAJDU-OYHNWAKOSA-N 1 2 323.462 1.492 20 30 DDEDLO Cc1cc(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)nn1CCC#N ZINC000535767329 416530556 /nfs/dbraw/zinc/53/05/56/416530556.db2.gz IUULEXUKHAPAKI-UHFFFAOYSA-N 1 2 322.332 1.333 20 30 DDEDLO N#C[C@H]1C[N@@H+](Cc2ccnc(N)c2)C[C@@]12c1ccccc1NC2=O ZINC000373278273 418417661 /nfs/dbraw/zinc/41/76/61/418417661.db2.gz MZBWNODLJJFOTQ-UGSOOPFHSA-N 1 2 319.368 1.509 20 30 DDEDLO N#C[C@H]1C[N@H+](Cc2ccnc(N)c2)C[C@@]12c1ccccc1NC2=O ZINC000373278273 418417663 /nfs/dbraw/zinc/41/76/63/418417663.db2.gz MZBWNODLJJFOTQ-UGSOOPFHSA-N 1 2 319.368 1.509 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CC[C@@H](CS(C)(=O)=O)C1 ZINC000366422255 418473090 /nfs/dbraw/zinc/47/30/90/418473090.db2.gz SJRPDVMGCOIXIE-ZIAGYGMSSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@@H](CS(C)(=O)=O)C1 ZINC000366422255 418473092 /nfs/dbraw/zinc/47/30/92/418473092.db2.gz SJRPDVMGCOIXIE-ZIAGYGMSSA-N 1 2 316.467 1.166 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CC[NH2+][C@@H](c3cccnc3)C2)cc1 ZINC000374373771 418523869 /nfs/dbraw/zinc/52/38/69/418523869.db2.gz JKXMBDJOUOQZDN-GOSISDBHSA-N 1 2 321.380 1.880 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000373907335 418470536 /nfs/dbraw/zinc/47/05/36/418470536.db2.gz XZDLEMBCXNSCRK-AWEZNQCLSA-N 1 2 317.389 1.787 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)C[C@H]1C=CCCC1 ZINC000188856161 222029273 /nfs/dbraw/zinc/02/92/73/222029273.db2.gz FFXOVDDWBDVKST-INIZCTEOSA-N 1 2 305.422 1.807 20 30 DDEDLO CN(C)c1noc(C2CC[NH+](C[C@@H](C#N)CCC#N)CC2)n1 ZINC000374879739 418573438 /nfs/dbraw/zinc/57/34/38/418573438.db2.gz RPNMWOLERMBHAD-GFCCVEGCSA-N 1 2 302.382 1.759 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000191100877 222096828 /nfs/dbraw/zinc/09/68/28/222096828.db2.gz YNAYCXBOGOPYEG-UHFFFAOYSA-N 1 2 319.361 1.618 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000191100877 222096831 /nfs/dbraw/zinc/09/68/31/222096831.db2.gz YNAYCXBOGOPYEG-UHFFFAOYSA-N 1 2 319.361 1.618 20 30 DDEDLO C#CC[N@@H+](C)[C@@H](C)C(=O)Nc1cc(NC(C)=O)ccc1OC ZINC000192239209 222124147 /nfs/dbraw/zinc/12/41/47/222124147.db2.gz SCLBVPZCYFDYGD-NSHDSACASA-N 1 2 303.362 1.546 20 30 DDEDLO C#CC[N@H+](C)[C@@H](C)C(=O)Nc1cc(NC(C)=O)ccc1OC ZINC000192239209 222124150 /nfs/dbraw/zinc/12/41/50/222124150.db2.gz SCLBVPZCYFDYGD-NSHDSACASA-N 1 2 303.362 1.546 20 30 DDEDLO C=CCOC[C@@H](NCc1cn2cc(Cl)ccc2[nH+]1)C(=O)OC ZINC000361107934 418585250 /nfs/dbraw/zinc/58/52/50/418585250.db2.gz BEMAKKSLGQXUSP-CYBMUJFWSA-N 1 2 323.780 1.822 20 30 DDEDLO C=CC[C@@H](C)NC(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000361117027 418586534 /nfs/dbraw/zinc/58/65/34/418586534.db2.gz XICIVJFOIUHEEY-CHWSQXEVSA-N 1 2 319.409 1.175 20 30 DDEDLO COC(=O)C[C@H]1C[N@H+](Cc2ccc(O[C@@H](C)C#N)cc2)CCO1 ZINC000245751296 222218732 /nfs/dbraw/zinc/21/87/32/222218732.db2.gz NXGQEDRXYZVRFE-BBRMVZONSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)C[C@H]1C[N@@H+](Cc2ccc(O[C@@H](C)C#N)cc2)CCO1 ZINC000245751296 222218733 /nfs/dbraw/zinc/21/87/33/222218733.db2.gz NXGQEDRXYZVRFE-BBRMVZONSA-N 1 2 318.373 1.741 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@H]21 ZINC000247155938 222226846 /nfs/dbraw/zinc/22/68/46/222226846.db2.gz XMKQOUZOQXHCNB-KLHDSHLOSA-N 1 2 323.437 1.263 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@H]21 ZINC000247155938 222226848 /nfs/dbraw/zinc/22/68/48/222226848.db2.gz XMKQOUZOQXHCNB-KLHDSHLOSA-N 1 2 323.437 1.263 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](C)[C@H]1CCCN(c2ccccc2)C1=O ZINC000247266558 222228171 /nfs/dbraw/zinc/22/81/71/222228171.db2.gz QVFURQFHTCTLEH-HOCLYGCPSA-N 1 2 315.417 1.805 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](C)[C@H]1CCCN(c2ccccc2)C1=O ZINC000247266558 222228172 /nfs/dbraw/zinc/22/81/72/222228172.db2.gz QVFURQFHTCTLEH-HOCLYGCPSA-N 1 2 315.417 1.805 20 30 DDEDLO CC[N@H+](CCC#N)C[C@H](O)COc1c(OC)cccc1OC ZINC000266899990 222365008 /nfs/dbraw/zinc/36/50/08/222365008.db2.gz GDQLEINLTBBERS-ZDUSSCGKSA-N 1 2 308.378 1.679 20 30 DDEDLO CC[N@@H+](CCC#N)C[C@H](O)COc1c(OC)cccc1OC ZINC000266899990 222365011 /nfs/dbraw/zinc/36/50/11/222365011.db2.gz GDQLEINLTBBERS-ZDUSSCGKSA-N 1 2 308.378 1.679 20 30 DDEDLO C#C[C@H](NC(=O)N1CCCN(c2cccc[nH+]2)CC1)C(C)C ZINC000377283896 418708086 /nfs/dbraw/zinc/70/80/86/418708086.db2.gz VYMMPCFQYWBHAN-HNNXBMFYSA-N 1 2 300.406 1.961 20 30 DDEDLO N#Cc1cc(F)c(S(=O)(=O)N2CCn3c[nH+]cc3C2)c(F)c1 ZINC000377778029 418715089 /nfs/dbraw/zinc/71/50/89/418715089.db2.gz OARZCKNBMZMOAQ-UHFFFAOYSA-N 1 2 324.312 1.237 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2C[C@H]3[C@H](CO)[C@H]3C2)c(C#N)c1C ZINC000368334484 418719133 /nfs/dbraw/zinc/71/91/33/418719133.db2.gz HNTILODRAQLBGA-OLRMPSLUSA-N 1 2 303.362 1.265 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2C[C@H]3[C@H](CO)[C@H]3C2)c(C#N)c1C ZINC000368334484 418719136 /nfs/dbraw/zinc/71/91/36/418719136.db2.gz HNTILODRAQLBGA-OLRMPSLUSA-N 1 2 303.362 1.265 20 30 DDEDLO Cc1ccc(C[N@H+](CCO)CC(=O)Nc2sccc2C#N)o1 ZINC000361530595 418672110 /nfs/dbraw/zinc/67/21/10/418672110.db2.gz ZFWALTCMSGNBPG-UHFFFAOYSA-N 1 2 319.386 1.954 20 30 DDEDLO Cc1ccc(C[N@@H+](CCO)CC(=O)Nc2sccc2C#N)o1 ZINC000361530595 418672112 /nfs/dbraw/zinc/67/21/12/418672112.db2.gz ZFWALTCMSGNBPG-UHFFFAOYSA-N 1 2 319.386 1.954 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H](c2noc(C)n2)C1 ZINC000375761450 418681352 /nfs/dbraw/zinc/68/13/52/418681352.db2.gz RTFBOOVBKGULFT-TZMCWYRMSA-N 1 2 319.409 1.566 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H](c2noc(C)n2)C1 ZINC000375761450 418681354 /nfs/dbraw/zinc/68/13/54/418681354.db2.gz RTFBOOVBKGULFT-TZMCWYRMSA-N 1 2 319.409 1.566 20 30 DDEDLO N#Cc1cc(F)ccc1S(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC000368082317 418686737 /nfs/dbraw/zinc/68/67/37/418686737.db2.gz VEMYTPYJOZHXQF-UHFFFAOYSA-N 1 2 306.322 1.098 20 30 DDEDLO C=CCCOCCNC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000376302106 418695488 /nfs/dbraw/zinc/69/54/88/418695488.db2.gz UKCVHFFLBIESKN-CABCVRRESA-N 1 2 311.426 1.084 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC000376951258 418702975 /nfs/dbraw/zinc/70/29/75/418702975.db2.gz UVURJLZEQYUPKL-HNNXBMFYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC000376951258 418702977 /nfs/dbraw/zinc/70/29/77/418702977.db2.gz UVURJLZEQYUPKL-HNNXBMFYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3cc(C4CC4)[nH]n3)CC2)C1=O ZINC000377101585 418705041 /nfs/dbraw/zinc/70/50/41/418705041.db2.gz AZEMMRXNRONZGE-OAHLLOKOSA-N 1 2 315.421 1.196 20 30 DDEDLO COc1ccc(CN2CCC[C@@H]([NH+]3CCOCC3)C2)cc1C#N ZINC000382445228 418730700 /nfs/dbraw/zinc/73/07/00/418730700.db2.gz AIQYRXVRFBHOJF-QGZVFWFLSA-N 1 2 315.417 1.863 20 30 DDEDLO Cn1c[nH+]c2c1CCN(S(=O)(=O)c1ccc(C#N)cc1)C2 ZINC000370256287 418742122 /nfs/dbraw/zinc/74/21/22/418742122.db2.gz MFVUEOLKJKWQIB-UHFFFAOYSA-N 1 2 302.359 1.039 20 30 DDEDLO C=C[C@@H]1CCCCN1c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000362852846 418760278 /nfs/dbraw/zinc/76/02/78/418760278.db2.gz JMGPYZRCOWEOJI-CYBMUJFWSA-N 1 2 301.394 1.300 20 30 DDEDLO C=C[C@@H]1CCCCN1c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000362852846 418760280 /nfs/dbraw/zinc/76/02/80/418760280.db2.gz JMGPYZRCOWEOJI-CYBMUJFWSA-N 1 2 301.394 1.300 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)C(=O)Nc1ccc(C#N)cc1)CC2 ZINC000363665828 418768839 /nfs/dbraw/zinc/76/88/39/418768839.db2.gz PNGPTUPHKIZTPF-UHFFFAOYSA-N 1 2 309.329 1.044 20 30 DDEDLO CCOC(=O)[C@H]1CCCCC[N@@H+]1CC(=O)N(CC)C[C@@H](C)C#N ZINC000363852856 418770187 /nfs/dbraw/zinc/77/01/87/418770187.db2.gz OQBLGRYZICJIGV-LSDHHAIUSA-N 1 2 323.437 1.802 20 30 DDEDLO CCOC(=O)[C@H]1CCCCC[N@H+]1CC(=O)N(CC)C[C@@H](C)C#N ZINC000363852856 418770189 /nfs/dbraw/zinc/77/01/89/418770189.db2.gz OQBLGRYZICJIGV-LSDHHAIUSA-N 1 2 323.437 1.802 20 30 DDEDLO C=CCCCCNC(=O)c1cnn(CC[NH+]2CCOCC2)c1 ZINC000364285902 418775600 /nfs/dbraw/zinc/77/56/00/418775600.db2.gz FDOGWKSKIDPWDL-UHFFFAOYSA-N 1 2 306.410 1.301 20 30 DDEDLO CCc1nc(C[NH+]2C[C@H]3CN(c4ccncc4C#N)C[C@@H]3C2)no1 ZINC000364312513 418776021 /nfs/dbraw/zinc/77/60/21/418776021.db2.gz FSTKJGKHSQBIRF-KBPBESRZSA-N 1 2 324.388 1.467 20 30 DDEDLO N#CCCN(Cc1ccccn1)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000368878135 418726764 /nfs/dbraw/zinc/72/67/64/418726764.db2.gz BWONKZHXSDAYRW-AWEZNQCLSA-N 1 2 309.373 1.783 20 30 DDEDLO N#CCCCC[N@H+]1CCNC(=O)[C@H]1Cc1nc2ccccc2o1 ZINC000372337044 418858244 /nfs/dbraw/zinc/85/82/44/418858244.db2.gz LEBQEAVLVUMANB-CQSZACIVSA-N 1 2 312.373 1.865 20 30 DDEDLO N#CCCCC[N@@H+]1CCNC(=O)[C@H]1Cc1nc2ccccc2o1 ZINC000372337044 418858248 /nfs/dbraw/zinc/85/82/48/418858248.db2.gz LEBQEAVLVUMANB-CQSZACIVSA-N 1 2 312.373 1.865 20 30 DDEDLO CC[C@@](C)([NH2+]CCC(=O)Nc1cccc(C#N)c1)C(=O)OC ZINC000411451213 418905732 /nfs/dbraw/zinc/90/57/32/418905732.db2.gz ZAKXJAJMRDFXEG-MRXNPFEDSA-N 1 2 303.362 1.818 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@H](CO)C[C@H](C)C1 ZINC000420928856 419361780 /nfs/dbraw/zinc/36/17/80/419361780.db2.gz ATGFDMJOFFASDH-BJJXKVORSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@H](CO)C[C@H](C)C1 ZINC000420928856 419361782 /nfs/dbraw/zinc/36/17/82/419361782.db2.gz ATGFDMJOFFASDH-BJJXKVORSA-N 1 2 302.374 1.723 20 30 DDEDLO Cc1cc(NC2CCN(S(=O)(=O)C3CC3)CC2)c(C#N)c[nH+]1 ZINC000425230083 228395011 /nfs/dbraw/zinc/39/50/11/228395011.db2.gz CJVWLJIRYIXJJC-UHFFFAOYSA-N 1 2 320.418 1.052 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](C(=O)N(C)C)C1 ZINC000411962267 419527034 /nfs/dbraw/zinc/52/70/34/419527034.db2.gz ZLMBJHAVWNXBLZ-HUUCEWRRSA-N 1 2 315.373 1.182 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](C(=O)N(C)C)C1 ZINC000411962267 419527045 /nfs/dbraw/zinc/52/70/45/419527045.db2.gz ZLMBJHAVWNXBLZ-HUUCEWRRSA-N 1 2 315.373 1.182 20 30 DDEDLO COCCN(Cc1ccnn1C)Cc1c[nH+]c2ccc(C#N)cn12 ZINC000426508322 419495616 /nfs/dbraw/zinc/49/56/16/419495616.db2.gz HXWJOSFZNOBWRV-UHFFFAOYSA-N 1 2 324.388 1.588 20 30 DDEDLO COCC[N@H+](Cc1ccnn1C)Cc1cnc2ccc(C#N)cn12 ZINC000426508322 419495627 /nfs/dbraw/zinc/49/56/27/419495627.db2.gz HXWJOSFZNOBWRV-UHFFFAOYSA-N 1 2 324.388 1.588 20 30 DDEDLO COCC[N@@H+](Cc1ccnn1C)Cc1cnc2ccc(C#N)cn12 ZINC000426508322 419495633 /nfs/dbraw/zinc/49/56/33/419495633.db2.gz HXWJOSFZNOBWRV-UHFFFAOYSA-N 1 2 324.388 1.588 20 30 DDEDLO C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)C1 ZINC000420434112 420283765 /nfs/dbraw/zinc/28/37/65/420283765.db2.gz DPYMDRAFPGSWSN-CYBMUJFWSA-N 1 2 315.345 1.019 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc(C(=O)OC)c(CC)o2)nn1 ZINC000425350506 420362049 /nfs/dbraw/zinc/36/20/49/420362049.db2.gz HXNMUFIFQASWQB-UHFFFAOYSA-N 1 2 304.350 1.696 20 30 DDEDLO CC[C@@H]1CN(S(=O)(=O)[C@H](C)C#N)CC[N@@H+]1Cc1ccccc1 ZINC000416461462 420381211 /nfs/dbraw/zinc/38/12/11/420381211.db2.gz ZHDIXBHQIXVACR-GDBMZVCRSA-N 1 2 321.446 1.825 20 30 DDEDLO CC[C@@H]1CN(S(=O)(=O)[C@H](C)C#N)CC[N@H+]1Cc1ccccc1 ZINC000416461462 420381213 /nfs/dbraw/zinc/38/12/13/420381213.db2.gz ZHDIXBHQIXVACR-GDBMZVCRSA-N 1 2 321.446 1.825 20 30 DDEDLO C[C@@H](NC(=O)NCC1CCC(C#N)CC1)[C@H](C)[NH+]1CCOCC1 ZINC000440982251 420624711 /nfs/dbraw/zinc/62/47/11/420624711.db2.gz APCSJNSGMBQDKK-QVOMUQBLSA-N 1 2 322.453 1.725 20 30 DDEDLO C[C@H](C#N)CN(C)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000442896190 420727920 /nfs/dbraw/zinc/72/79/20/420727920.db2.gz BOXSXAQALTTZAT-CYBMUJFWSA-N 1 2 318.402 1.712 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)/C=C\c2cccc(C#N)c2)CCO1 ZINC000493384488 420835265 /nfs/dbraw/zinc/83/52/65/420835265.db2.gz KNYFPBFCBRRQPM-JTGQJZMRSA-N 1 2 313.401 1.798 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)/C=C\c2cccc(C#N)c2)CCO1 ZINC000493384488 420835273 /nfs/dbraw/zinc/83/52/73/420835273.db2.gz KNYFPBFCBRRQPM-JTGQJZMRSA-N 1 2 313.401 1.798 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)C[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000448836929 420903716 /nfs/dbraw/zinc/90/37/16/420903716.db2.gz QLKPDLRENTWPHG-PMPSAXMXSA-N 1 2 305.422 1.497 20 30 DDEDLO CC[C@@H]1CCC[C@@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000448837588 420903763 /nfs/dbraw/zinc/90/37/63/420903763.db2.gz UPVPGOWAOFYHNT-CVEARBPZSA-N 1 2 307.438 1.887 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C/c1ccccc1-n1cccn1 ZINC000493704457 420919112 /nfs/dbraw/zinc/91/91/12/420919112.db2.gz HMWJFANATATEKB-BBVFFXRHSA-N 1 2 323.400 1.846 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cccc(C)c2F)CC1 ZINC000449010584 420936250 /nfs/dbraw/zinc/93/62/50/420936250.db2.gz SYDPBKLBDWUIAK-UHFFFAOYSA-N 1 2 305.397 1.554 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC000449746553 421073464 /nfs/dbraw/zinc/07/34/64/421073464.db2.gz SLXPJXDDGYVTRQ-AWEZNQCLSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC000449746553 421073466 /nfs/dbraw/zinc/07/34/66/421073466.db2.gz SLXPJXDDGYVTRQ-AWEZNQCLSA-N 1 2 304.369 1.933 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCOc2ccc(F)cc2C1 ZINC000489153298 421138169 /nfs/dbraw/zinc/13/81/69/421138169.db2.gz DAFZQQLNGACLET-GFCCVEGCSA-N 1 2 305.353 1.781 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCOc2ccc(F)cc2C1 ZINC000489153298 421138173 /nfs/dbraw/zinc/13/81/73/421138173.db2.gz DAFZQQLNGACLET-GFCCVEGCSA-N 1 2 305.353 1.781 20 30 DDEDLO C=CCCCS(=O)(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@H]1C ZINC000489902343 421179094 /nfs/dbraw/zinc/17/90/94/421179094.db2.gz UTHSZCLGPOPPPH-ZIAGYGMSSA-N 1 2 302.440 1.077 20 30 DDEDLO C[C@@H](C[NH+]1CCN(c2ccccc2C#N)CC1)S(C)(=O)=O ZINC000450334273 421184733 /nfs/dbraw/zinc/18/47/33/421184733.db2.gz RZWCKHQYYSPENX-ZDUSSCGKSA-N 1 2 307.419 1.113 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCO[C@@H](C3CCCCC3)C2)CCC1 ZINC000527128001 421359063 /nfs/dbraw/zinc/35/90/63/421359063.db2.gz OHHLNSPGKQLUMB-OAHLLOKOSA-N 1 2 305.422 1.830 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCO[C@@H](C3CCCCC3)C2)CCC1 ZINC000527128001 421359066 /nfs/dbraw/zinc/35/90/66/421359066.db2.gz OHHLNSPGKQLUMB-OAHLLOKOSA-N 1 2 305.422 1.830 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C#N)c(Cl)c1 ZINC000548318121 421422409 /nfs/dbraw/zinc/42/24/09/421422409.db2.gz VBOGZFKEOLMNFG-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(C#N)c(Cl)c1 ZINC000548318121 421422412 /nfs/dbraw/zinc/42/24/12/421422412.db2.gz VBOGZFKEOLMNFG-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO N#Cc1cc(NC[C@H](c2ccccc2)[NH+]2CCOCC2)ncn1 ZINC000527384300 421385171 /nfs/dbraw/zinc/38/51/71/421385171.db2.gz PNIRATWHULOQDJ-MRXNPFEDSA-N 1 2 309.373 1.834 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@H](O)CC2(O)CCC2)C1 ZINC000528555015 421499166 /nfs/dbraw/zinc/49/91/66/421499166.db2.gz UGROUMLBTATJLR-NVXWUHKLSA-N 1 2 315.417 1.605 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@H](O)CC2(O)CCC2)C1 ZINC000528555015 421499170 /nfs/dbraw/zinc/49/91/70/421499170.db2.gz UGROUMLBTATJLR-NVXWUHKLSA-N 1 2 315.417 1.605 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)Nc1nc2c(s1)C[N@H+](C)CC2 ZINC000549531172 421502749 /nfs/dbraw/zinc/50/27/49/421502749.db2.gz UBPPYYOWIKPUNC-UHFFFAOYSA-N 1 2 313.386 1.958 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)Nc1nc2c(s1)C[N@@H+](C)CC2 ZINC000549531172 421502752 /nfs/dbraw/zinc/50/27/52/421502752.db2.gz UBPPYYOWIKPUNC-UHFFFAOYSA-N 1 2 313.386 1.958 20 30 DDEDLO C[C@@H]1[C@@H](C)[S@@](=O)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000551582697 421535223 /nfs/dbraw/zinc/53/52/23/421535223.db2.gz AZBZZOJNTJWGDX-ZSMADZGOSA-N 1 2 302.403 1.547 20 30 DDEDLO COC(=O)CC1(CC(=O)N[C@](C)(C#N)C[NH+](C)C)CCCC1 ZINC000566309337 421604660 /nfs/dbraw/zinc/60/46/60/421604660.db2.gz NXTPUPIKNNKDIX-OAHLLOKOSA-N 1 2 309.410 1.460 20 30 DDEDLO CCN(C)C(=O)c1cccc(OC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000566742226 421608036 /nfs/dbraw/zinc/60/80/36/421608036.db2.gz XCELJQHJRHSUAE-HNNXBMFYSA-N 1 2 319.405 1.364 20 30 DDEDLO CCN(C)C(=O)c1cccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000566742226 421608038 /nfs/dbraw/zinc/60/80/38/421608038.db2.gz XCELJQHJRHSUAE-HNNXBMFYSA-N 1 2 319.405 1.364 20 30 DDEDLO C[C@@H]1C[N@@H+](CCSc2nc(N)c(C#N)cc2C#N)C[C@H](C)O1 ZINC000566984864 421609717 /nfs/dbraw/zinc/60/97/17/421609717.db2.gz VVSYNSQNLBFWQW-PHIMTYICSA-N 1 2 317.418 1.608 20 30 DDEDLO C[C@@H]1C[N@H+](CCSc2nc(N)c(C#N)cc2C#N)C[C@H](C)O1 ZINC000566984864 421609719 /nfs/dbraw/zinc/60/97/19/421609719.db2.gz VVSYNSQNLBFWQW-PHIMTYICSA-N 1 2 317.418 1.608 20 30 DDEDLO C[C@H]([NH2+]C[C@@H](O)COc1ccc(CC#N)cc1)c1csnn1 ZINC000567694279 421614275 /nfs/dbraw/zinc/61/42/75/421614275.db2.gz NNAYPRWDQDGGDB-WCQYABFASA-N 1 2 318.402 1.695 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N[C@H]2CCCC[C@@H]2C)C1=O ZINC000532205720 421651525 /nfs/dbraw/zinc/65/15/25/421651525.db2.gz GDSLBFKWWHOFOC-FZKCQIBNSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N[C@H]2CCCC[C@@H]2C)C1=O ZINC000532205720 421651527 /nfs/dbraw/zinc/65/15/27/421651527.db2.gz GDSLBFKWWHOFOC-FZKCQIBNSA-N 1 2 321.465 1.789 20 30 DDEDLO Cc1[nH]ncc1C[NH2+]C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000571039735 421682162 /nfs/dbraw/zinc/68/21/62/421682162.db2.gz IAMKRWLXJYEQAB-OAHLLOKOSA-N 1 2 300.362 1.314 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+][C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000558634180 421816543 /nfs/dbraw/zinc/81/65/43/421816543.db2.gz WZDAIISOCOUOPW-CHWSQXEVSA-N 1 2 300.337 1.964 20 30 DDEDLO C=CCn1cc(C[NH2+]CCS(=O)(=O)c2ccc(F)cc2)nn1 ZINC000572595511 421804080 /nfs/dbraw/zinc/80/40/80/421804080.db2.gz KHYIUDITXQHLHH-UHFFFAOYSA-N 1 2 324.381 1.167 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000583449724 422168032 /nfs/dbraw/zinc/16/80/32/422168032.db2.gz UPEICPACIYPMLR-SECBINFHSA-N 1 2 320.305 1.544 20 30 DDEDLO C=CCNC(=O)Cn1cc(Cn2c(C)[nH+]c3ccccc32)nn1 ZINC000630609473 422218781 /nfs/dbraw/zinc/21/87/81/422218781.db2.gz QXNXMHRMJJYOLB-UHFFFAOYSA-N 1 2 310.361 1.287 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000628576123 422238018 /nfs/dbraw/zinc/23/80/18/422238018.db2.gz QPBIDNLJTSLBTC-YOEHRIQHSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000628576123 422238024 /nfs/dbraw/zinc/23/80/24/422238024.db2.gz QPBIDNLJTSLBTC-YOEHRIQHSA-N 1 2 310.438 1.321 20 30 DDEDLO C[NH+](C)[C@@H](CNc1cc(C#N)cnn1)c1c(F)cccc1F ZINC000596128701 422367022 /nfs/dbraw/zinc/36/70/22/422367022.db2.gz JZTJGXYBQCLPNW-ZDUSSCGKSA-N 1 2 303.316 2.341 20 30 DDEDLO CC(=O)N1CCC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])CC1 ZINC000577638387 422400072 /nfs/dbraw/zinc/40/00/72/422400072.db2.gz UMTUSEFVOQYZAA-UHFFFAOYSA-N 1 2 302.334 1.521 20 30 DDEDLO CC(=O)N1CCC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])CC1 ZINC000577638387 422400075 /nfs/dbraw/zinc/40/00/75/422400075.db2.gz UMTUSEFVOQYZAA-UHFFFAOYSA-N 1 2 302.334 1.521 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)s1 ZINC000622144145 422537927 /nfs/dbraw/zinc/53/79/27/422537927.db2.gz UUWYEQKNLBCRNA-RYUDHWBXSA-N 1 2 324.450 1.580 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)s1 ZINC000622144145 422537929 /nfs/dbraw/zinc/53/79/29/422537929.db2.gz UUWYEQKNLBCRNA-RYUDHWBXSA-N 1 2 324.450 1.580 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCc2cnc(N)nc2C1 ZINC000623295018 422540626 /nfs/dbraw/zinc/54/06/26/422540626.db2.gz VIUTZANSTADFEG-GFCCVEGCSA-N 1 2 303.410 1.230 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCc2cnc(N)nc2C1 ZINC000623295018 422540629 /nfs/dbraw/zinc/54/06/29/422540629.db2.gz VIUTZANSTADFEG-GFCCVEGCSA-N 1 2 303.410 1.230 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc(-c3ccsc3)no2)nn1 ZINC000640878975 423252613 /nfs/dbraw/zinc/25/26/13/423252613.db2.gz OFNHUGIAHDJZMU-UHFFFAOYSA-N 1 2 314.374 1.551 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)c2nc3ccccc3c(=O)[nH]2)nn1 ZINC000640844534 423226817 /nfs/dbraw/zinc/22/68/17/423226817.db2.gz IVBCSYSACZRQIU-GFCCVEGCSA-N 1 2 322.372 1.649 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nnc(-c3ccccc3)n2C)nn1 ZINC000641150080 423422879 /nfs/dbraw/zinc/42/28/79/423422879.db2.gz UILWDTPJNBIPAO-UHFFFAOYSA-N 1 2 321.388 1.235 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)COc2ccc(C)cc2)nn1 ZINC000641195176 423467429 /nfs/dbraw/zinc/46/74/29/423467429.db2.gz URWIMWWIQQTANE-INIZCTEOSA-N 1 2 314.389 1.139 20 30 DDEDLO C=CCN(C(=O)NCC[N@@H+]1CCOCC1(C)C)[C@H](C)COC ZINC000663970707 424308455 /nfs/dbraw/zinc/30/84/55/424308455.db2.gz QWRDRWINXKDWSO-CQSZACIVSA-N 1 2 313.442 1.330 20 30 DDEDLO C=CCN(C(=O)NCC[N@H+]1CCOCC1(C)C)[C@H](C)COC ZINC000663970707 424308462 /nfs/dbraw/zinc/30/84/62/424308462.db2.gz QWRDRWINXKDWSO-CQSZACIVSA-N 1 2 313.442 1.330 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2c(CC)nn(C)c2OC)nn1 ZINC000657425564 424343537 /nfs/dbraw/zinc/34/35/37/424343537.db2.gz LNEMMNWUGOSOAJ-UHFFFAOYSA-N 1 2 304.398 1.449 20 30 DDEDLO C[C@@H](c1cnn(C)c1)[N@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC000348925256 267006079 /nfs/dbraw/zinc/00/60/79/267006079.db2.gz BVBDHMWVBZKPID-ZDUSSCGKSA-N 1 2 311.389 1.601 20 30 DDEDLO C[C@@H](c1cnn(C)c1)[N@@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC000348925256 267006082 /nfs/dbraw/zinc/00/60/82/267006082.db2.gz BVBDHMWVBZKPID-ZDUSSCGKSA-N 1 2 311.389 1.601 20 30 DDEDLO C[C@@H]1CC[C@H](C[N@@H+]2CCN(Cc3ccc(C#N)cc3)C(=O)C2)O1 ZINC000376412224 267028845 /nfs/dbraw/zinc/02/88/45/267028845.db2.gz RNYLPKAAODIRSB-RHSMWYFYSA-N 1 2 313.401 1.770 20 30 DDEDLO C[C@@H]1CC[C@H](C[N@H+]2CCN(Cc3ccc(C#N)cc3)C(=O)C2)O1 ZINC000376412224 267028847 /nfs/dbraw/zinc/02/88/47/267028847.db2.gz RNYLPKAAODIRSB-RHSMWYFYSA-N 1 2 313.401 1.770 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](CCC(=O)Nc2sccc2C#N)CCN1C ZINC000357010267 267108084 /nfs/dbraw/zinc/10/80/84/267108084.db2.gz WXVPLGPONZRFPS-NEPJUHHUSA-N 1 2 306.435 1.973 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](CCC(=O)Nc2sccc2C#N)CCN1C ZINC000357010267 267108087 /nfs/dbraw/zinc/10/80/87/267108087.db2.gz WXVPLGPONZRFPS-NEPJUHHUSA-N 1 2 306.435 1.973 20 30 DDEDLO C[C@H]([NH2+]CC(=O)Nc1ccc(C#N)c(Cl)c1)c1nncn1C ZINC000358834359 267182577 /nfs/dbraw/zinc/18/25/77/267182577.db2.gz XKTVCBSKIJMCIB-VIFPVBQESA-N 1 2 318.768 1.629 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@]2([NH+]3CCOCC3)CCCOC2)n1 ZINC000371385584 267377647 /nfs/dbraw/zinc/37/76/47/267377647.db2.gz RNYMYEABNZJBLR-KRWDZBQOSA-N 1 2 316.405 1.555 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC(c3n[nH]c(=O)[nH]3)CC2)cc1F ZINC000377600649 268065692 /nfs/dbraw/zinc/06/56/92/268065692.db2.gz ILMAZUNTBGMOJW-UHFFFAOYSA-N 1 2 301.325 1.901 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCc3cc[nH]c(=O)c3C2)cc1 ZINC000365191053 268141015 /nfs/dbraw/zinc/14/10/15/268141015.db2.gz JQMDFQQYRHODJX-UHFFFAOYSA-N 1 2 308.341 1.656 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCc3cc[nH]c(=O)c3C2)cc1 ZINC000365191053 268141018 /nfs/dbraw/zinc/14/10/18/268141018.db2.gz JQMDFQQYRHODJX-UHFFFAOYSA-N 1 2 308.341 1.656 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@@H+]2CCC[C@@H]2c2ncon2)cc1 ZINC000377498303 268177467 /nfs/dbraw/zinc/17/74/67/268177467.db2.gz PHUCDMATCQUHDL-DZGCQCFKSA-N 1 2 314.345 1.518 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCC[C@@H]2c2ncon2)cc1 ZINC000377498303 268177468 /nfs/dbraw/zinc/17/74/68/268177468.db2.gz PHUCDMATCQUHDL-DZGCQCFKSA-N 1 2 314.345 1.518 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CC3CCC2CC3)nc1 ZINC000367984154 268187097 /nfs/dbraw/zinc/18/70/97/268187097.db2.gz UUWCJWZTDNVNTN-UHFFFAOYSA-N 1 2 305.403 1.601 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CC3CCC2CC3)nc1 ZINC000367984154 268187099 /nfs/dbraw/zinc/18/70/99/268187099.db2.gz UUWCJWZTDNVNTN-UHFFFAOYSA-N 1 2 305.403 1.601 20 30 DDEDLO CC[C@H](CC(F)F)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000457508725 287833406 /nfs/dbraw/zinc/83/34/06/287833406.db2.gz QLAWFTXMZAGSEF-CYBMUJFWSA-N 1 2 317.380 1.742 20 30 DDEDLO C=C[C@@H](CO)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000358463949 277897894 /nfs/dbraw/zinc/89/78/94/277897894.db2.gz IWYRADKIFHGMDW-UONOGXRCSA-N 1 2 318.352 1.495 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C(N)=O)cc1)[NH+]1CCC(C)(C#N)CC1 ZINC000272569032 278156616 /nfs/dbraw/zinc/15/66/16/278156616.db2.gz MTQPOQVAZOFFKW-GFCCVEGCSA-N 1 2 314.389 1.738 20 30 DDEDLO C[C@@H]1CN(CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H](C)[NH+]1C ZINC000319470774 288181139 /nfs/dbraw/zinc/18/11/39/288181139.db2.gz WADHOYKDHHBAJK-ZIAGYGMSSA-N 1 2 321.446 1.356 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@]2(CCO[C@@H]2C)C1 ZINC000408279740 280102428 /nfs/dbraw/zinc/10/24/28/280102428.db2.gz BWYMHQHBFRCXGW-SRABZTEZSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@@]2(CCO[C@@H]2C)C1 ZINC000408279740 280102432 /nfs/dbraw/zinc/10/24/32/280102432.db2.gz BWYMHQHBFRCXGW-SRABZTEZSA-N 1 2 323.437 1.309 20 30 DDEDLO Cc1nc(NC(=O)[C@H](C)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)sc1C ZINC000329944300 293307685 /nfs/dbraw/zinc/30/76/85/293307685.db2.gz FDVKQOUOEYIAMD-DRZSPHRISA-N 1 2 324.450 1.751 20 30 DDEDLO Cc1nc(NC(=O)[C@H](C)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)sc1C ZINC000329944300 293307687 /nfs/dbraw/zinc/30/76/87/293307687.db2.gz FDVKQOUOEYIAMD-DRZSPHRISA-N 1 2 324.450 1.751 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCCC[C@@H]2CC(N)=O)c(C#N)c1C ZINC000154934505 294036361 /nfs/dbraw/zinc/03/63/61/294036361.db2.gz CSAZJOYKBUBYQB-GFCCVEGCSA-N 1 2 318.377 1.437 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCCC[C@@H]2CC(N)=O)c(C#N)c1C ZINC000154934505 294036363 /nfs/dbraw/zinc/03/63/63/294036363.db2.gz CSAZJOYKBUBYQB-GFCCVEGCSA-N 1 2 318.377 1.437 20 30 DDEDLO CCCc1nc(C[N@H+](C)CCCc2[nH]nc(N)c2C#N)no1 ZINC000162709938 298201487 /nfs/dbraw/zinc/20/14/87/298201487.db2.gz VFFGDJMHHCPYMQ-UHFFFAOYSA-N 1 2 303.370 1.264 20 30 DDEDLO CCCc1nc(C[N@@H+](C)CCCc2[nH]nc(N)c2C#N)no1 ZINC000162709938 298201488 /nfs/dbraw/zinc/20/14/88/298201488.db2.gz VFFGDJMHHCPYMQ-UHFFFAOYSA-N 1 2 303.370 1.264 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1nccc(C#N)c1[N+](=O)[O-] ZINC000286426505 300426278 /nfs/dbraw/zinc/42/62/78/300426278.db2.gz RLRGZPZSVZZKAE-WCQYABFASA-N 1 2 317.349 1.161 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1ccoc1C1CC1 ZINC000281654137 303153166 /nfs/dbraw/zinc/15/31/66/303153166.db2.gz PVOAITPHJSRTOE-UHFFFAOYSA-N 1 2 317.389 1.845 20 30 DDEDLO N#Cc1cccnc1-n1cc(NC(=O)CCn2cc[nH+]c2)cn1 ZINC000373517035 307189825 /nfs/dbraw/zinc/18/98/25/307189825.db2.gz RSECUXIASZDIOS-UHFFFAOYSA-N 1 2 307.317 1.364 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ccc([N+](=O)[O-])nc3)CC2)o1 ZINC000536637773 307666634 /nfs/dbraw/zinc/66/66/34/307666634.db2.gz LSYUKUGOGUMRDP-UHFFFAOYSA-N 1 2 313.317 1.777 20 30 DDEDLO N#Cc1ccc(C(=O)NCC2CC[NH+](CC(F)F)CC2)nc1 ZINC000552374207 307820713 /nfs/dbraw/zinc/82/07/13/307820713.db2.gz JGAQEPFQMIVHCL-UHFFFAOYSA-N 1 2 308.332 1.660 20 30 DDEDLO C[C@H]1CCNC(=O)[C@@H]1[NH2+]Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000562457016 307939163 /nfs/dbraw/zinc/93/91/63/307939163.db2.gz JWXSKUHSRTZPHC-IINYFYTJSA-N 1 2 311.345 1.222 20 30 DDEDLO C[C@H]([NH2+]CCS(=O)(=O)c1ccc(C#N)cc1)c1ccn(C)n1 ZINC000567694425 308091255 /nfs/dbraw/zinc/09/12/55/308091255.db2.gz SQPXJBOUZVRJAF-LBPRGKRZSA-N 1 2 318.402 1.416 20 30 DDEDLO COC(=O)c1cc(C(C)(C)[NH2+]Cc2ccc(C#N)cn2)no1 ZINC000574411865 308270572 /nfs/dbraw/zinc/27/05/72/308270572.db2.gz VBXSUDFFVMUNSS-UHFFFAOYSA-N 1 2 300.318 1.753 20 30 DDEDLO NC(Cc1ccccc1Cl)=[NH+]OCc1nnnn1C1CC1 ZINC000058497767 331798648 /nfs/dbraw/zinc/79/86/48/331798648.db2.gz XSTYNIFJLUJMNL-UHFFFAOYSA-N 1 2 306.757 1.693 20 30 DDEDLO Cc1ccc([C@H]2COCC[N@H+]2CCC(=O)N(C)CCC#N)o1 ZINC000092797192 332131061 /nfs/dbraw/zinc/13/10/61/332131061.db2.gz JUVHATNHAWJGFX-CQSZACIVSA-N 1 2 305.378 1.724 20 30 DDEDLO Cc1ccc([C@H]2COCC[N@@H+]2CCC(=O)N(C)CCC#N)o1 ZINC000092797192 332131062 /nfs/dbraw/zinc/13/10/62/332131062.db2.gz JUVHATNHAWJGFX-CQSZACIVSA-N 1 2 305.378 1.724 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2ccccc2OCC#N)CC1 ZINC000110922262 332297897 /nfs/dbraw/zinc/29/78/97/332297897.db2.gz OUGNPCAIBFYVSJ-UHFFFAOYSA-N 1 2 317.389 1.383 20 30 DDEDLO COc1ccc(Cl)cc1CO[NH+]=C(N)CN1CCOCC1 ZINC000111182071 332301850 /nfs/dbraw/zinc/30/18/50/332301850.db2.gz GGCAWPDXZOOVJU-UHFFFAOYSA-N 1 2 313.785 1.470 20 30 DDEDLO COc1ccc([N+](=O)[O-])c(OC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000564983933 332371819 /nfs/dbraw/zinc/37/18/19/332371819.db2.gz JYLGQTRAWKHOMQ-LLVKDONJSA-N 1 2 309.322 1.189 20 30 DDEDLO COc1ccc([N+](=O)[O-])c(OC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000564983933 332371820 /nfs/dbraw/zinc/37/18/20/332371820.db2.gz JYLGQTRAWKHOMQ-LLVKDONJSA-N 1 2 309.322 1.189 20 30 DDEDLO C#CC[C@H]([NH2+][C@H]1CCC[C@@H](NC(=O)OC(C)(C)C)C1)C(=O)OC ZINC000497294435 333232308 /nfs/dbraw/zinc/23/23/08/333232308.db2.gz QWYJUDPVJAALKM-MJBXVCDLSA-N 1 2 324.421 1.977 20 30 DDEDLO C[C@H](C#N)C[N@H+](C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000495676367 333359125 /nfs/dbraw/zinc/35/91/25/333359125.db2.gz GWYOOFFCCVESNI-CQSZACIVSA-N 1 2 310.442 1.631 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000495676367 333359126 /nfs/dbraw/zinc/35/91/26/333359126.db2.gz GWYOOFFCCVESNI-CQSZACIVSA-N 1 2 310.442 1.631 20 30 DDEDLO C[C@H](C#N)CN(C)CC[NH+]1CCN(C(=O)OC(C)(C)C)CC1 ZINC000495676367 333359127 /nfs/dbraw/zinc/35/91/27/333359127.db2.gz GWYOOFFCCVESNI-CQSZACIVSA-N 1 2 310.442 1.631 20 30 DDEDLO C=CCN1CC[C@@H](N2CC[NH+](Cc3ccsc3)CC2)C1=O ZINC000535260233 334383370 /nfs/dbraw/zinc/38/33/70/334383370.db2.gz HLQMTPJSOLXDQR-OAHLLOKOSA-N 1 2 305.447 1.653 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(Cc3ccsc3)CC2)C1=O ZINC000535260233 334383371 /nfs/dbraw/zinc/38/33/71/334383371.db2.gz HLQMTPJSOLXDQR-OAHLLOKOSA-N 1 2 305.447 1.653 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)CSCC#N)c[nH+]2)CCO1 ZINC000044552717 337811376 /nfs/dbraw/zinc/81/13/76/337811376.db2.gz ATYRPFDNABYSKP-GFCCVEGCSA-N 1 2 320.418 1.180 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cccc([C@@H](C)OC)c2)CC1 ZINC000085275856 338007987 /nfs/dbraw/zinc/00/79/87/338007987.db2.gz PZUWLCRDTAYJGI-OAHLLOKOSA-N 1 2 315.417 1.583 20 30 DDEDLO COC(=O)Nc1cccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000496521812 340007160 /nfs/dbraw/zinc/00/71/60/340007160.db2.gz WUPLTCFVWRFBNQ-HNNXBMFYSA-N 1 2 304.350 1.439 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCCC[C@H]1COC(=O)N1CCCCC1 ZINC000496646415 340009656 /nfs/dbraw/zinc/00/96/56/340009656.db2.gz DFCCJOTWRDWWKD-AWEZNQCLSA-N 1 2 322.409 1.103 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCCC[C@H]1COC(=O)N1CCCCC1 ZINC000496646415 340009657 /nfs/dbraw/zinc/00/96/57/340009657.db2.gz DFCCJOTWRDWWKD-AWEZNQCLSA-N 1 2 322.409 1.103 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCC[C@@H](NC(=O)OCC)C2)C1=O ZINC000496680779 340010427 /nfs/dbraw/zinc/01/04/27/340010427.db2.gz AXOJDWMUAJROGS-CYBMUJFWSA-N 1 2 310.398 1.078 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCC[C@@H](NC(=O)OCC)C2)C1=O ZINC000496680779 340010428 /nfs/dbraw/zinc/01/04/28/340010428.db2.gz AXOJDWMUAJROGS-CYBMUJFWSA-N 1 2 310.398 1.078 20 30 DDEDLO C=C(C)CNC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000156743334 341625779 /nfs/dbraw/zinc/62/57/79/341625779.db2.gz DACGXBDXPXFRLB-CYBMUJFWSA-N 1 2 309.435 1.997 20 30 DDEDLO CCOc1ccccc1OCC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000580686123 341897098 /nfs/dbraw/zinc/89/70/98/341897098.db2.gz XTXHCQNVTSQILA-MRXNPFEDSA-N 1 2 305.378 1.424 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@H](C[NH+]1CCOCC1)c1ccc(C)cc1 ZINC000665528339 484910139 /nfs/dbraw/zinc/91/01/39/484910139.db2.gz YEKBKMZTUABGJJ-CVEARBPZSA-N 1 2 304.390 1.031 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000684796477 486431402 /nfs/dbraw/zinc/43/14/02/486431402.db2.gz SVEUQDFRAVTQMF-HUUCEWRRSA-N 1 2 323.437 1.132 20 30 DDEDLO C[C@@H](O)[C@@H]1C[N@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000414561872 533822023 /nfs/dbraw/zinc/82/20/23/533822023.db2.gz ANVDGDMHIUATGF-PBHICJAKSA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@@H](O)[C@@H]1C[N@@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000414561872 533822030 /nfs/dbraw/zinc/82/20/30/533822030.db2.gz ANVDGDMHIUATGF-PBHICJAKSA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[N@H+](C)CCO1 ZINC000346177312 534284579 /nfs/dbraw/zinc/28/45/79/534284579.db2.gz KGTUGYWHNQOWQY-BYCMXARLSA-N 1 2 321.808 1.988 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[N@@H+](C)CCO1 ZINC000346177312 534284583 /nfs/dbraw/zinc/28/45/83/534284583.db2.gz KGTUGYWHNQOWQY-BYCMXARLSA-N 1 2 321.808 1.988 20 30 DDEDLO Cc1noc(C2CC2)c1NC(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000329802970 534385762 /nfs/dbraw/zinc/38/57/62/534385762.db2.gz KWIFEHAMTVVJOS-CYBMUJFWSA-N 1 2 320.393 1.812 20 30 DDEDLO Cc1cnc(C(=O)NC2CCN(c3[nH+]ccn3C)CC2)c(O)c1 ZINC000330094036 534522000 /nfs/dbraw/zinc/52/20/00/534522000.db2.gz SGWMTSZBBSBGJF-UHFFFAOYSA-N 1 2 315.377 1.803 20 30 DDEDLO Cc1ocnc1CNC(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000329998678 534588193 /nfs/dbraw/zinc/58/81/93/534588193.db2.gz STAAUOAGHYBKAN-ZDUSSCGKSA-N 1 2 318.381 1.119 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)C[N@H+]1CCCOc1cccc(C#N)c1 ZINC000156355911 523991741 /nfs/dbraw/zinc/99/17/41/523991741.db2.gz GXGDIICGIRPFIG-JKSUJKDBSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)C[N@@H+]1CCCOc1cccc(C#N)c1 ZINC000156355911 523991747 /nfs/dbraw/zinc/99/17/47/523991747.db2.gz GXGDIICGIRPFIG-JKSUJKDBSA-N 1 2 318.373 1.589 20 30 DDEDLO Cc1ncsc1CNC(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000329720956 534787616 /nfs/dbraw/zinc/78/76/16/534787616.db2.gz DRZXEXICWSLBLE-LBPRGKRZSA-N 1 2 310.423 1.272 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000339758105 526360622 /nfs/dbraw/zinc/36/06/22/526360622.db2.gz TVOBHLHBWAJHOO-NSHDSACASA-N 1 2 305.334 1.886 20 30 DDEDLO CC#CC[N@H+](C)[C@@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000339758105 526360627 /nfs/dbraw/zinc/36/06/27/526360627.db2.gz TVOBHLHBWAJHOO-NSHDSACASA-N 1 2 305.334 1.886 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(CC(F)(F)F)[C@@H](C)C2)C1=O ZINC000337187486 526479721 /nfs/dbraw/zinc/47/97/21/526479721.db2.gz QCTSHCYXWTVPDY-NWDGAFQWSA-N 1 2 305.344 1.342 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(CC(F)(F)F)[C@@H](C)C2)C1=O ZINC000337187486 526479723 /nfs/dbraw/zinc/47/97/23/526479723.db2.gz QCTSHCYXWTVPDY-NWDGAFQWSA-N 1 2 305.344 1.342 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000451845714 526587030 /nfs/dbraw/zinc/58/70/30/526587030.db2.gz VESQCLJYMNQLQV-CQSZACIVSA-N 1 2 303.362 1.818 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000451845714 526587039 /nfs/dbraw/zinc/58/70/39/526587039.db2.gz VESQCLJYMNQLQV-CQSZACIVSA-N 1 2 303.362 1.818 20 30 DDEDLO C=CCNC(=O)c1ccc(NC(=O)[C@H]2CC(F)(F)C[NH2+]2)cc1 ZINC000368138000 526651322 /nfs/dbraw/zinc/65/13/22/526651322.db2.gz CFQXGKQHHBHJGK-GFCCVEGCSA-N 1 2 309.316 1.538 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[NH2+][C@H](c2cccnc2)C1)OCC ZINC000337666596 526809049 /nfs/dbraw/zinc/80/90/49/526809049.db2.gz SXXKVDNIVFNYMN-HOTGVXAUSA-N 1 2 303.406 1.926 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccccc2OCCC)CC1 ZINC000490800531 526951652 /nfs/dbraw/zinc/95/16/52/526951652.db2.gz TWBCBSWJEPLASG-UHFFFAOYSA-N 1 2 315.417 1.665 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccccc2OC(F)F)CC1 ZINC000491615843 526951856 /nfs/dbraw/zinc/95/18/56/526951856.db2.gz HEGXPHTZUCTZRP-UHFFFAOYSA-N 1 2 323.343 1.477 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@]3(C)CCCO3)n2C2CC2)CC1 ZINC000491742351 526957549 /nfs/dbraw/zinc/95/75/49/526957549.db2.gz GOOSZENTPQWCQJ-KRWDZBQOSA-N 1 2 315.421 1.394 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(C)C2CCC2)CC1 ZINC000491255013 526990754 /nfs/dbraw/zinc/99/07/54/526990754.db2.gz UYGWUJXFRKMLSN-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)C2CCC2)CC1 ZINC000491255013 526990758 /nfs/dbraw/zinc/99/07/58/526990758.db2.gz UYGWUJXFRKMLSN-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(Cc2cccc(F)c2Cl)CC1 ZINC000491122456 527002647 /nfs/dbraw/zinc/00/26/47/527002647.db2.gz WGYABPXHPPBXPF-UHFFFAOYSA-N 1 2 323.799 1.346 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CC[C@H]([NH+]2CCN(CC)CC2)C1 ZINC000341430141 527041800 /nfs/dbraw/zinc/04/18/00/527041800.db2.gz IXQZICCXZSBDGQ-CVEARBPZSA-N 1 2 308.470 1.763 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)Cn1c(CC)[nH+]c2ccccc21 ZINC000342171742 527060827 /nfs/dbraw/zinc/06/08/27/527060827.db2.gz ALEOFVJEFBUGOU-CYBMUJFWSA-N 1 2 301.390 1.900 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1ccc(CC#N)cc1 ZINC000416845837 527087078 /nfs/dbraw/zinc/08/70/78/527087078.db2.gz VSJVSXMMUAEYLP-UHFFFAOYSA-N 1 2 316.405 1.985 20 30 DDEDLO C=CCCC1(C(=O)NC[C@H]2CCC[N@@H+](CC(=O)OC)C2)CC1 ZINC000412368713 527091135 /nfs/dbraw/zinc/09/11/35/527091135.db2.gz NEEIJISQTMZZMF-CQSZACIVSA-N 1 2 308.422 1.734 20 30 DDEDLO C=CCCC1(C(=O)NC[C@H]2CCC[N@H+](CC(=O)OC)C2)CC1 ZINC000412368713 527091137 /nfs/dbraw/zinc/09/11/37/527091137.db2.gz NEEIJISQTMZZMF-CQSZACIVSA-N 1 2 308.422 1.734 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000491473737 527203320 /nfs/dbraw/zinc/20/33/20/527203320.db2.gz HGEWSNWUEDCPTK-WBVHZDCISA-N 1 2 315.417 1.551 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000491473737 527203322 /nfs/dbraw/zinc/20/33/22/527203322.db2.gz HGEWSNWUEDCPTK-WBVHZDCISA-N 1 2 315.417 1.551 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)Cc1csc(-c2c(C)c(C)nn(C)c2=O)n1 ZINC000491642150 527214148 /nfs/dbraw/zinc/21/41/48/527214148.db2.gz VVBBDWWIORBKCY-SNVBAGLBSA-N 1 2 316.430 1.974 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)Cc1csc(-c2c(C)c(C)nn(C)c2=O)n1 ZINC000491642150 527214151 /nfs/dbraw/zinc/21/41/51/527214151.db2.gz VVBBDWWIORBKCY-SNVBAGLBSA-N 1 2 316.430 1.974 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000491749685 527250703 /nfs/dbraw/zinc/25/07/03/527250703.db2.gz ZPDXUHLEPJBIDA-HNNXBMFYSA-N 1 2 300.406 1.122 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@H](O)COc1cccc2ccccc21 ZINC000491638961 527310994 /nfs/dbraw/zinc/31/09/94/527310994.db2.gz WKYBAQUSFUTOPN-IAGOWNOFSA-N 1 2 311.381 1.914 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@H](O)COc1cccc2ccccc21 ZINC000491638961 527311000 /nfs/dbraw/zinc/31/10/00/527311000.db2.gz WKYBAQUSFUTOPN-IAGOWNOFSA-N 1 2 311.381 1.914 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1cc(C)ccc1-c1n[nH]c(C)n1 ZINC000491727314 527330906 /nfs/dbraw/zinc/33/09/06/527330906.db2.gz HCVPRSXFQJEDKO-LBPRGKRZSA-N 1 2 311.389 1.981 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1cc(C)ccc1-c1n[nH]c(C)n1 ZINC000491727314 527330912 /nfs/dbraw/zinc/33/09/12/527330912.db2.gz HCVPRSXFQJEDKO-LBPRGKRZSA-N 1 2 311.389 1.981 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000457931042 527469673 /nfs/dbraw/zinc/46/96/73/527469673.db2.gz AEJUECRIKDRTFZ-OAHLLOKOSA-N 1 2 314.389 1.999 20 30 DDEDLO CC(C)(C#N)CNC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000350112568 527480034 /nfs/dbraw/zinc/48/00/34/527480034.db2.gz ITCXANBZCREWMN-UHFFFAOYSA-N 1 2 317.393 1.267 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000346153092 527634090 /nfs/dbraw/zinc/63/40/90/527634090.db2.gz PWVRRXUNLVHXCS-WMZOPIPTSA-N 1 2 318.417 1.503 20 30 DDEDLO CCCCCCCCOCC(=O)N[C@@H]1C[NH2+][C@@H](C(=O)OC)C1 ZINC000366154119 528130692 /nfs/dbraw/zinc/13/06/92/528130692.db2.gz BVDGFJZOYHFXMH-UONOGXRCSA-N 1 2 314.426 1.383 20 30 DDEDLO CCCN1CCC[N@@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1=O ZINC000332012918 528218404 /nfs/dbraw/zinc/21/84/04/528218404.db2.gz PVUWYRTZTNTWJL-YOEHRIQHSA-N 1 2 322.453 1.374 20 30 DDEDLO CCCN1CCC[N@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1=O ZINC000332012918 528218410 /nfs/dbraw/zinc/21/84/10/528218410.db2.gz PVUWYRTZTNTWJL-YOEHRIQHSA-N 1 2 322.453 1.374 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000490578629 529104547 /nfs/dbraw/zinc/10/45/47/529104547.db2.gz DWYYHEJLHQZRBJ-XJKSGUPXSA-N 1 2 324.425 1.251 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000490578629 529104554 /nfs/dbraw/zinc/10/45/54/529104554.db2.gz DWYYHEJLHQZRBJ-XJKSGUPXSA-N 1 2 324.425 1.251 20 30 DDEDLO CC1(C)C[N@H+](CCNc2c(C#N)cccc2[N+](=O)[O-])CCO1 ZINC000425225355 529119786 /nfs/dbraw/zinc/11/97/86/529119786.db2.gz PETZPWRVMUGVTB-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO CC1(C)C[N@@H+](CCNc2c(C#N)cccc2[N+](=O)[O-])CCO1 ZINC000425225355 529119791 /nfs/dbraw/zinc/11/97/91/529119791.db2.gz PETZPWRVMUGVTB-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO CCN1CCN(C(=O)c2cccc(C#N)c2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328620597 529135230 /nfs/dbraw/zinc/13/52/30/529135230.db2.gz HBWFMQJGOVUEJI-OAHLLOKOSA-N 1 2 309.373 1.800 20 30 DDEDLO CC[C@@H](O)CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000331315416 529215077 /nfs/dbraw/zinc/21/50/77/529215077.db2.gz INJIULMPNXJHLH-MRXNPFEDSA-N 1 2 301.390 1.363 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)NC[C@@H]1C[N@H+](C)CCO1)C(F)(F)F ZINC000331317379 529249781 /nfs/dbraw/zinc/24/97/81/529249781.db2.gz GEQSVDNDPWLJSG-AXFHLTTASA-N 1 2 311.348 1.798 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)NC[C@@H]1C[N@@H+](C)CCO1)C(F)(F)F ZINC000331317379 529249782 /nfs/dbraw/zinc/24/97/82/529249782.db2.gz GEQSVDNDPWLJSG-AXFHLTTASA-N 1 2 311.348 1.798 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CC[N@@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000833760029 604989009 /nfs/dbraw/zinc/98/90/09/604989009.db2.gz KOGMVVNOHNPSHP-CABCVRRESA-N 1 2 310.357 1.907 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000833760029 604989010 /nfs/dbraw/zinc/98/90/10/604989010.db2.gz KOGMVVNOHNPSHP-CABCVRRESA-N 1 2 310.357 1.907 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3C=CCC3)C2)C1 ZINC000972247690 695189400 /nfs/dbraw/zinc/18/94/00/695189400.db2.gz GHWWKSKUPPNWCY-AEFFLSMTSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3C=CCC3)C2)C1 ZINC000972247690 695189402 /nfs/dbraw/zinc/18/94/02/695189402.db2.gz GHWWKSKUPPNWCY-AEFFLSMTSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3occc3C)C2)C1 ZINC000972260745 695194402 /nfs/dbraw/zinc/19/44/02/695194402.db2.gz COVWUPYZPOGIGN-KRWDZBQOSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3occc3C)C2)C1 ZINC000972260745 695194404 /nfs/dbraw/zinc/19/44/04/695194404.db2.gz COVWUPYZPOGIGN-KRWDZBQOSA-N 1 2 302.374 1.528 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)C1 ZINC000972319186 695215250 /nfs/dbraw/zinc/21/52/50/695215250.db2.gz KFTKOYBPRRCXKY-MUQADHOPSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)C1 ZINC000972319186 695215252 /nfs/dbraw/zinc/21/52/52/695215252.db2.gz KFTKOYBPRRCXKY-MUQADHOPSA-N 1 2 304.434 1.912 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)cn3)C2)C1 ZINC000972355307 695226019 /nfs/dbraw/zinc/22/60/19/695226019.db2.gz KTRVKPZUFPFDSG-QGZVFWFLSA-N 1 2 317.364 1.161 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)cn3)C2)C1 ZINC000972355307 695226021 /nfs/dbraw/zinc/22/60/21/695226021.db2.gz KTRVKPZUFPFDSG-QGZVFWFLSA-N 1 2 317.364 1.161 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(Cl)cnn3C)C2)C1 ZINC000972380134 695235039 /nfs/dbraw/zinc/23/50/39/695235039.db2.gz FGLJBCVNSAZADX-HNNXBMFYSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(Cl)cnn3C)C2)C1 ZINC000972380134 695235040 /nfs/dbraw/zinc/23/50/40/695235040.db2.gz FGLJBCVNSAZADX-HNNXBMFYSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(F)cncc3F)C2)C1 ZINC000972400572 695240424 /nfs/dbraw/zinc/24/04/24/695240424.db2.gz YHJIYUHRWPFAGS-INIZCTEOSA-N 1 2 323.343 1.463 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(F)cncc3F)C2)C1 ZINC000972400572 695240425 /nfs/dbraw/zinc/24/04/25/695240425.db2.gz YHJIYUHRWPFAGS-INIZCTEOSA-N 1 2 323.343 1.463 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccc(CC)n3)C2)C1 ZINC000972413279 695243040 /nfs/dbraw/zinc/24/30/40/695243040.db2.gz HJNPNHFFWDUXHA-GOSISDBHSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccc(CC)n3)C2)C1 ZINC000972413279 695243043 /nfs/dbraw/zinc/24/30/43/695243043.db2.gz HJNPNHFFWDUXHA-GOSISDBHSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3onc(C)c3C)C2)C1 ZINC000972428668 695248485 /nfs/dbraw/zinc/24/84/85/695248485.db2.gz MOUVLAOEPVSKLU-INIZCTEOSA-N 1 2 305.378 1.394 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3onc(C)c3C)C2)C1 ZINC000972428668 695248488 /nfs/dbraw/zinc/24/84/88/695248488.db2.gz MOUVLAOEPVSKLU-INIZCTEOSA-N 1 2 305.378 1.394 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)COC3CCCCC3)C2)C1 ZINC000972444015 695253140 /nfs/dbraw/zinc/25/31/40/695253140.db2.gz OCMFWCKTYIOPLM-GOSISDBHSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)COC3CCCCC3)C2)C1 ZINC000972444015 695253141 /nfs/dbraw/zinc/25/31/41/695253141.db2.gz OCMFWCKTYIOPLM-GOSISDBHSA-N 1 2 320.433 1.272 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3occ4c3CCC4)C2)C1 ZINC000972482979 695260938 /nfs/dbraw/zinc/26/09/38/695260938.db2.gz FGWKJQVWHJSWER-SFHVURJKSA-N 1 2 316.401 1.871 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3occ4c3CCC4)C2)C1 ZINC000972482979 695260940 /nfs/dbraw/zinc/26/09/40/695260940.db2.gz FGWKJQVWHJSWER-SFHVURJKSA-N 1 2 316.401 1.871 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCCC3(C)C)C2)C1 ZINC000972512092 695268886 /nfs/dbraw/zinc/26/88/86/695268886.db2.gz PGUXJCXCNGLIBO-QAPCUYQASA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCCC3(C)C)C2)C1 ZINC000972512092 695268888 /nfs/dbraw/zinc/26/88/88/695268888.db2.gz PGUXJCXCNGLIBO-QAPCUYQASA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3Cc4ccccc43)C2)C1 ZINC000972526335 695273084 /nfs/dbraw/zinc/27/30/84/695273084.db2.gz JGCIFTLCSIALIY-ICSRJNTNSA-N 1 2 324.424 1.653 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3Cc4ccccc43)C2)C1 ZINC000972526335 695273086 /nfs/dbraw/zinc/27/30/86/695273086.db2.gz JGCIFTLCSIALIY-ICSRJNTNSA-N 1 2 324.424 1.653 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)[nH]c3C)C2)C1 ZINC000972568929 695286032 /nfs/dbraw/zinc/28/60/32/695286032.db2.gz DYAUUNJIJFRLSQ-SFHVURJKSA-N 1 2 315.417 1.572 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)[nH]c3C)C2)C1 ZINC000972568929 695286033 /nfs/dbraw/zinc/28/60/33/695286033.db2.gz DYAUUNJIJFRLSQ-SFHVURJKSA-N 1 2 315.417 1.572 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnn(CCC)c3)C2)C1 ZINC000972578185 695288048 /nfs/dbraw/zinc/28/80/48/695288048.db2.gz QKSPDMKHBVUJQB-QGZVFWFLSA-N 1 2 318.421 1.396 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnn(CCC)c3)C2)C1 ZINC000972578185 695288049 /nfs/dbraw/zinc/28/80/49/695288049.db2.gz QKSPDMKHBVUJQB-QGZVFWFLSA-N 1 2 318.421 1.396 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC000972695651 695318470 /nfs/dbraw/zinc/31/84/70/695318470.db2.gz DUQSSRXOPLFTFL-YPMHNXCESA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC000972695651 695318473 /nfs/dbraw/zinc/31/84/73/695318473.db2.gz DUQSSRXOPLFTFL-YPMHNXCESA-N 1 2 313.829 1.140 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H](NC(=O)Cc3[nH]c[nH+]c3C)C2)C1 ZINC000973488479 695482373 /nfs/dbraw/zinc/48/23/73/695482373.db2.gz ATXHKBRHDYTBOC-JOCQHMNTSA-N 1 2 316.405 1.380 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000798363986 700059314 /nfs/dbraw/zinc/05/93/14/700059314.db2.gz MNJNLQWFTKKAPD-HNNXBMFYSA-N 1 2 316.405 1.124 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCc2nsc(N(C)C)n2)ccc1F ZINC000089736766 696579175 /nfs/dbraw/zinc/57/91/75/696579175.db2.gz FLCMRTDMPVMULE-UHFFFAOYSA-N 1 2 309.370 1.889 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccnc(C3CCCC3)n2)CC1 ZINC000132167923 696812353 /nfs/dbraw/zinc/81/23/53/696812353.db2.gz QTNAILHRHIIBGH-UHFFFAOYSA-N 1 2 312.417 1.915 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@@H+](Cc3cnc(C)o3)CC2)c1 ZINC000981923715 696970027 /nfs/dbraw/zinc/97/00/27/696970027.db2.gz XRWPVXBLOQYVNX-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@H+](Cc3cnc(C)o3)CC2)c1 ZINC000981923715 696970029 /nfs/dbraw/zinc/97/00/29/696970029.db2.gz XRWPVXBLOQYVNX-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC[N@@H+](C/C=C/Cl)CC2)nn1 ZINC000982142623 697040927 /nfs/dbraw/zinc/04/09/27/697040927.db2.gz GNPJCNIFLOYKAA-GQCTYLIASA-N 1 2 323.828 1.755 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC[N@H+](C/C=C/Cl)CC2)nn1 ZINC000982142623 697040929 /nfs/dbraw/zinc/04/09/29/697040929.db2.gz GNPJCNIFLOYKAA-GQCTYLIASA-N 1 2 323.828 1.755 20 30 DDEDLO Cn1ncc(C(=O)N2CCC[N@H+](CC#Cc3ccccc3)CC2)n1 ZINC000982205164 697058502 /nfs/dbraw/zinc/05/85/02/697058502.db2.gz GZZDXEQIENUKOV-UHFFFAOYSA-N 1 2 323.400 1.015 20 30 DDEDLO Cn1ncc(C(=O)N2CCC[N@@H+](CC#Cc3ccccc3)CC2)n1 ZINC000982205164 697058503 /nfs/dbraw/zinc/05/85/03/697058503.db2.gz GZZDXEQIENUKOV-UHFFFAOYSA-N 1 2 323.400 1.015 20 30 DDEDLO N#CCN1CCCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000983552942 697278373 /nfs/dbraw/zinc/27/83/73/697278373.db2.gz FNLBVHHLYIXFCA-UHFFFAOYSA-N 1 2 309.373 1.544 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CCCCCCC)CC1 ZINC000799766908 700165170 /nfs/dbraw/zinc/16/51/70/700165170.db2.gz YTCNHVDMADKOAZ-UHFFFAOYSA-N 1 2 300.468 1.928 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@H+](CCO)C(C)(C)C1 ZINC000799816140 700169899 /nfs/dbraw/zinc/16/98/99/700169899.db2.gz KKMCRXMRFHLGIW-UHFFFAOYSA-N 1 2 320.499 1.675 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@@H+](CCO)C(C)(C)C1 ZINC000799816140 700169902 /nfs/dbraw/zinc/16/99/02/700169902.db2.gz KKMCRXMRFHLGIW-UHFFFAOYSA-N 1 2 320.499 1.675 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)C(=O)Nc2ccc(Cl)cc2)CC1 ZINC000749655895 700175055 /nfs/dbraw/zinc/17/50/55/700175055.db2.gz BIWRNNXCYHMTOD-UHFFFAOYSA-N 1 2 319.792 1.446 20 30 DDEDLO C#C[C@H](NC(=O)NCCCCNc1cccc[nH+]1)[C@H]1CCCO1 ZINC000773566126 697782555 /nfs/dbraw/zinc/78/25/55/697782555.db2.gz YKUPTQFOURFEEE-LSDHHAIUSA-N 1 2 316.405 1.754 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1csc(-c2cnn(C)c2)n1)[C@@H]1CCCO1 ZINC000775786679 698032569 /nfs/dbraw/zinc/03/25/69/698032569.db2.gz ZVBATPKMFNAUCU-KGLIPLIRSA-N 1 2 302.403 1.814 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@@H]([S@@](=O)CC)C1 ZINC000750130058 700204266 /nfs/dbraw/zinc/20/42/66/700204266.db2.gz IBUOXHQLYOGANG-MBIULKOWSA-N 1 2 310.463 1.280 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@@H]([S@@](=O)CC)C1 ZINC000750130058 700204270 /nfs/dbraw/zinc/20/42/70/700204270.db2.gz IBUOXHQLYOGANG-MBIULKOWSA-N 1 2 310.463 1.280 20 30 DDEDLO C=CCn1nnn(CN2CC[C@H](C)[C@H](n3cc[nH+]c3)C2)c1=S ZINC000246614250 698352547 /nfs/dbraw/zinc/35/25/47/698352547.db2.gz NIONROQEXZTCRH-QWHCGFSZSA-N 1 2 319.438 1.732 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(CNC(=O)OC(C)(C)C)CC1 ZINC000800356884 700218006 /nfs/dbraw/zinc/21/80/06/700218006.db2.gz OQOQMPZTCXFDDL-CYBMUJFWSA-N 1 2 312.410 1.951 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)NCc2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000750612596 700234619 /nfs/dbraw/zinc/23/46/19/700234619.db2.gz GZWAMJIALCXDNZ-UHFFFAOYSA-N 1 2 321.344 1.799 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000780996015 698581289 /nfs/dbraw/zinc/58/12/89/698581289.db2.gz XHOJQRVEGNWVNH-NVXWUHKLSA-N 1 2 322.368 1.382 20 30 DDEDLO N#Cc1ccc(CNC(=O)c2cc(-n3cc[nH+]c3)ccn2)nc1 ZINC000782025088 698675109 /nfs/dbraw/zinc/67/51/09/698675109.db2.gz DMBQKVSHXLNSBD-UHFFFAOYSA-N 1 2 304.313 1.464 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1nccn1Cc1ccccc1 ZINC000782967909 698791306 /nfs/dbraw/zinc/79/13/06/698791306.db2.gz DXVSEIWMNGNFOQ-UHFFFAOYSA-N 1 2 319.430 1.622 20 30 DDEDLO N#Cc1ccc(C(=O)NCC(=O)OCCCn2cc[nH+]c2)cc1 ZINC000783398226 698838802 /nfs/dbraw/zinc/83/88/02/698838802.db2.gz ZIQONHLAKNRFFF-UHFFFAOYSA-N 1 2 312.329 1.118 20 30 DDEDLO C#CCOCCNC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000784544385 698946716 /nfs/dbraw/zinc/94/67/16/698946716.db2.gz GWLADDVJQRYFLZ-UHFFFAOYSA-N 1 2 307.419 1.225 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000425566068 699152146 /nfs/dbraw/zinc/15/21/46/699152146.db2.gz PQXOOTAJFJEMHU-KKUMJFAQSA-N 1 2 318.421 1.900 20 30 DDEDLO C=C(C)COCCNC(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000444148623 699173902 /nfs/dbraw/zinc/17/39/02/699173902.db2.gz YUUBSKPNMCZTJQ-CQSZACIVSA-N 1 2 306.410 1.902 20 30 DDEDLO Nc1nc(Cl)c(C=[NH+]NC2CCCC2)c(N2CCOCC2)n1 ZINC000727651709 699420524 /nfs/dbraw/zinc/42/05/24/699420524.db2.gz MRXUQLZASPGTLN-UHFFFAOYSA-N 1 2 324.816 1.415 20 30 DDEDLO C#Cc1cccc(NC(=S)NCC[N@H+]2CCOC[C@@H]2C)c1 ZINC000729160843 699472181 /nfs/dbraw/zinc/47/21/81/699472181.db2.gz GNZAIRGCDPFCFP-ZDUSSCGKSA-N 1 2 303.431 1.675 20 30 DDEDLO C#Cc1cccc(NC(=S)NCC[N@@H+]2CCOC[C@@H]2C)c1 ZINC000729160843 699472183 /nfs/dbraw/zinc/47/21/83/699472183.db2.gz GNZAIRGCDPFCFP-ZDUSSCGKSA-N 1 2 303.431 1.675 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@]2(C)[C@H](C1)C2(Cl)Cl ZINC000792910155 699721987 /nfs/dbraw/zinc/72/19/87/699721987.db2.gz YSTGFOIAHBKTOM-JOYOIKCWSA-N 1 2 320.220 1.514 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@]2(C)[C@H](C1)C2(Cl)Cl ZINC000792910155 699721989 /nfs/dbraw/zinc/72/19/89/699721989.db2.gz YSTGFOIAHBKTOM-JOYOIKCWSA-N 1 2 320.220 1.514 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2csc(C(F)(F)F)n2)CC1 ZINC000793327829 699750550 /nfs/dbraw/zinc/75/05/50/699750550.db2.gz IISRFZQRRGPIKN-UHFFFAOYSA-N 1 2 317.336 1.943 20 30 DDEDLO N#Cc1c(=O)n(C[N@@H+]2CC[C@H](n3cncn3)C2)cc2c1CCCC2 ZINC000793518831 699762734 /nfs/dbraw/zinc/76/27/34/699762734.db2.gz WVOPQEBGIDXOEW-AWEZNQCLSA-N 1 2 324.388 1.095 20 30 DDEDLO N#Cc1c(=O)n(C[N@H+]2CC[C@H](n3cncn3)C2)cc2c1CCCC2 ZINC000793518831 699762737 /nfs/dbraw/zinc/76/27/37/699762737.db2.gz WVOPQEBGIDXOEW-AWEZNQCLSA-N 1 2 324.388 1.095 20 30 DDEDLO CO[C@@H]1C[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)CC[C@H]1C ZINC000793516266 699762859 /nfs/dbraw/zinc/76/28/59/699762859.db2.gz MORDYHNAROLASG-CXAGYDPISA-N 1 2 315.417 1.913 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)CC[C@H]1C ZINC000793516266 699762861 /nfs/dbraw/zinc/76/28/61/699762861.db2.gz MORDYHNAROLASG-CXAGYDPISA-N 1 2 315.417 1.913 20 30 DDEDLO Cc1nc2[nH]ccc2c(NCCNC(=O)C2N=CC=CC2=O)[nH+]1 ZINC000751225770 700274130 /nfs/dbraw/zinc/27/41/30/700274130.db2.gz NALFPEJFPJJHMS-NTCAYCPXSA-N 1 2 312.333 1.205 20 30 DDEDLO N#Cc1ccc(NC(=O)COC(=O)CCc2ccc(N)[nH+]c2)cc1 ZINC000751459183 700291787 /nfs/dbraw/zinc/29/17/87/700291787.db2.gz SGXSNGYRGOTGFG-UHFFFAOYSA-N 1 2 324.340 1.650 20 30 DDEDLO CC1=CC[N@H+](CN2C(=O)N[C@@](C)(c3cccc(C#N)c3)C2=O)CC1 ZINC000755768722 700582963 /nfs/dbraw/zinc/58/29/63/700582963.db2.gz QWWZBQOTZKCOJY-SFHVURJKSA-N 1 2 324.384 1.935 20 30 DDEDLO CC1=CC[N@@H+](CN2C(=O)N[C@@](C)(c3cccc(C#N)c3)C2=O)CC1 ZINC000755768722 700582965 /nfs/dbraw/zinc/58/29/65/700582965.db2.gz QWWZBQOTZKCOJY-SFHVURJKSA-N 1 2 324.384 1.935 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1ccc([S@@](C)=O)cc1 ZINC000756540600 700626359 /nfs/dbraw/zinc/62/63/59/700626359.db2.gz BNTBMZYFSWSPKU-SPLOXXLWSA-N 1 2 305.399 1.678 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1ccc([S@@](C)=O)cc1 ZINC000756540600 700626361 /nfs/dbraw/zinc/62/63/61/700626361.db2.gz BNTBMZYFSWSPKU-SPLOXXLWSA-N 1 2 305.399 1.678 20 30 DDEDLO COCCOCCO[NH+]=C(N)c1ccc(Br)cc1 ZINC000758771602 700722421 /nfs/dbraw/zinc/72/24/21/700722421.db2.gz JPQQFRWVZGFGAW-UHFFFAOYSA-N 1 2 317.183 1.749 20 30 DDEDLO N#Cc1ccc([C@H](O)C[NH+]2CCN(C[C@H]3CCCO3)CC2)cc1 ZINC000763399693 700936874 /nfs/dbraw/zinc/93/68/74/700936874.db2.gz YGVZUIHJWHPSHX-QZTJIDSGSA-N 1 2 315.417 1.388 20 30 DDEDLO N#Cc1c2c(cn(CN3CCC(n4cc[nH+]c4)CC3)c1=O)CCC2 ZINC000766637100 701057901 /nfs/dbraw/zinc/05/79/01/701057901.db2.gz MWWYRSCHRKPAEQ-UHFFFAOYSA-N 1 2 323.400 1.700 20 30 DDEDLO CO[C@H]1C[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC[C@H]1C ZINC000766635154 701058013 /nfs/dbraw/zinc/05/80/13/701058013.db2.gz VICFJAXBNPYVMO-WBMJQRKESA-N 1 2 301.390 1.523 20 30 DDEDLO CO[C@H]1C[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC[C@H]1C ZINC000766635154 701058014 /nfs/dbraw/zinc/05/80/14/701058014.db2.gz VICFJAXBNPYVMO-WBMJQRKESA-N 1 2 301.390 1.523 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCC#Cc2cccc(Cl)c2)C1 ZINC000805469509 701383453 /nfs/dbraw/zinc/38/34/53/701383453.db2.gz GNVHGNGETKONPN-HNNXBMFYSA-N 1 2 307.777 1.955 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCC#Cc2cccc(Cl)c2)C1 ZINC000805469509 701383454 /nfs/dbraw/zinc/38/34/54/701383454.db2.gz GNVHGNGETKONPN-HNNXBMFYSA-N 1 2 307.777 1.955 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCCCOc2cccc(C#N)c2)C1 ZINC000805474842 701384384 /nfs/dbraw/zinc/38/43/84/701384384.db2.gz HKKGJKVXGBQVHV-INIZCTEOSA-N 1 2 318.373 1.591 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCCCOc2cccc(C#N)c2)C1 ZINC000805474842 701384385 /nfs/dbraw/zinc/38/43/85/701384385.db2.gz HKKGJKVXGBQVHV-INIZCTEOSA-N 1 2 318.373 1.591 20 30 DDEDLO COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc(C)c(C#N)c1 ZINC000807282491 701472774 /nfs/dbraw/zinc/47/27/74/701472774.db2.gz MFUKQEOUKYBJFB-CQSZACIVSA-N 1 2 312.329 1.035 20 30 DDEDLO N#CCOc1cc(F)ccc1NC(=O)N1CCn2c[nH+]cc2C1 ZINC000810196785 701742115 /nfs/dbraw/zinc/74/21/15/701742115.db2.gz QDSXNRSHJDODKQ-UHFFFAOYSA-N 1 2 315.308 1.972 20 30 DDEDLO C[C@H](C#N)CN(C)S(=O)(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC000867793740 701752428 /nfs/dbraw/zinc/75/24/28/701752428.db2.gz BEWOBINOGDNGKT-DOMZBBRYSA-N 1 2 322.434 1.099 20 30 DDEDLO C[C@H](C#N)CN(C)S(=O)(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC000867793740 701752434 /nfs/dbraw/zinc/75/24/34/701752434.db2.gz BEWOBINOGDNGKT-DOMZBBRYSA-N 1 2 322.434 1.099 20 30 DDEDLO C=C1CCC(CNC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)CC1 ZINC000830977504 706614284 /nfs/dbraw/zinc/61/42/84/706614284.db2.gz LRWLXXZCAVBXMB-SJORKVTESA-N 1 2 322.449 1.729 20 30 DDEDLO C=CCOc1ccc(CNC(=O)/C=C/C[NH+]2CCOCC2)cc1 ZINC000868248882 702021419 /nfs/dbraw/zinc/02/14/19/702021419.db2.gz XRHWYSAZBVWAEL-ONEGZZNKSA-N 1 2 316.401 1.756 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)[C@@H](O)c1c[nH+]c[nH]1 ZINC000866234542 706656345 /nfs/dbraw/zinc/65/63/45/706656345.db2.gz NHHOAEFLMJWESS-KBMXLJTQSA-N 1 2 310.357 1.741 20 30 DDEDLO Cc1cc(NC(=O)C[N@H+](C)C[C@@H](O)c2cccc(C#N)c2)no1 ZINC000841492777 702467549 /nfs/dbraw/zinc/46/75/49/702467549.db2.gz ORQGMZPKENOXQK-CQSZACIVSA-N 1 2 314.345 1.459 20 30 DDEDLO Cc1cc(NC(=O)C[N@@H+](C)C[C@@H](O)c2cccc(C#N)c2)no1 ZINC000841492777 702467554 /nfs/dbraw/zinc/46/75/54/702467554.db2.gz ORQGMZPKENOXQK-CQSZACIVSA-N 1 2 314.345 1.459 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(OC(=O)NC)c1 ZINC000814163354 702488426 /nfs/dbraw/zinc/48/84/26/702488426.db2.gz SMSVLPWLLUJROO-AWEZNQCLSA-N 1 2 301.346 1.441 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(OC(=O)NC)c1 ZINC000814163354 702488428 /nfs/dbraw/zinc/48/84/28/702488428.db2.gz SMSVLPWLLUJROO-AWEZNQCLSA-N 1 2 301.346 1.441 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)NCCNc1cccc[nH+]1)C2 ZINC000869190336 702503820 /nfs/dbraw/zinc/50/38/20/702503820.db2.gz QLBZIDKASGKPQX-INIZCTEOSA-N 1 2 321.384 1.832 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCCN(CCCO)C1)c1cccc(C#N)c1O ZINC000866365468 706682012 /nfs/dbraw/zinc/68/20/12/706682012.db2.gz HHCBLMZIWCNTMC-DZGCQCFKSA-N 1 2 303.406 1.761 20 30 DDEDLO O=C(C=NOCC[N@H+]1C[C@H]2CC[C@@H](C1)O2)Nc1cccc(F)c1 ZINC000842019565 702656339 /nfs/dbraw/zinc/65/63/39/702656339.db2.gz QGALYCCLOWIKIN-GASCZTMLSA-N 1 2 321.352 1.630 20 30 DDEDLO O=C(C=NOCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2)Nc1cccc(F)c1 ZINC000842019565 702656344 /nfs/dbraw/zinc/65/63/44/702656344.db2.gz QGALYCCLOWIKIN-GASCZTMLSA-N 1 2 321.352 1.630 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ccnc(F)c2)CC1 ZINC000831447785 706708555 /nfs/dbraw/zinc/70/85/55/706708555.db2.gz VLOTYEPYYJLPMH-ZDUSSCGKSA-N 1 2 319.384 1.103 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000844004498 702953847 /nfs/dbraw/zinc/95/38/47/702953847.db2.gz BXRQJPPRCWYHDO-DOTOQJQBSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000844004498 702953848 /nfs/dbraw/zinc/95/38/48/702953848.db2.gz BXRQJPPRCWYHDO-DOTOQJQBSA-N 1 2 304.434 1.892 20 30 DDEDLO C=C[C@H](C)ONC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000844565572 703044639 /nfs/dbraw/zinc/04/46/39/703044639.db2.gz ZFGOIVIRBKIDBN-NSHDSACASA-N 1 2 306.366 1.854 20 30 DDEDLO C=C(C)CONC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000844564842 703044704 /nfs/dbraw/zinc/04/47/04/703044704.db2.gz ICMVPYOROZLULE-UHFFFAOYSA-N 1 2 306.366 1.856 20 30 DDEDLO C[C@H](CC(=O)OCC(=O)Nc1sccc1C#N)n1cc[nH+]c1 ZINC000845278574 703137775 /nfs/dbraw/zinc/13/77/75/703137775.db2.gz UQZRCGVCSQTUHV-SNVBAGLBSA-N 1 2 318.358 1.949 20 30 DDEDLO C[C@H](CC(=O)O[C@@H](C(N)=O)c1ccc(C#N)cc1)n1cc[nH+]c1 ZINC000845280701 703138113 /nfs/dbraw/zinc/13/81/13/703138113.db2.gz CSWANCOCTCXSFE-IAQYHMDHSA-N 1 2 312.329 1.476 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(C#N)c(F)c1 ZINC000879598960 706733589 /nfs/dbraw/zinc/73/35/89/706733589.db2.gz HLXASSLLNKKWKF-AWEZNQCLSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(C#N)c(F)c1 ZINC000879598960 706733592 /nfs/dbraw/zinc/73/35/92/706733592.db2.gz HLXASSLLNKKWKF-AWEZNQCLSA-N 1 2 320.368 1.930 20 30 DDEDLO CN(C)C(=O)CCC[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000846839396 703340356 /nfs/dbraw/zinc/34/03/56/703340356.db2.gz DUXCCQRHYRJBCO-MRXNPFEDSA-N 1 2 301.390 1.800 20 30 DDEDLO CN(C)C(=O)CCC[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000846839396 703340359 /nfs/dbraw/zinc/34/03/59/703340359.db2.gz DUXCCQRHYRJBCO-MRXNPFEDSA-N 1 2 301.390 1.800 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000846988055 703362178 /nfs/dbraw/zinc/36/21/78/703362178.db2.gz QEOFXFZVYFDGAJ-CQSZACIVSA-N 1 2 316.405 1.744 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852331850 704014474 /nfs/dbraw/zinc/01/44/74/704014474.db2.gz MMJJTIIWBYTDFC-AEFFLSMTSA-N 1 2 314.433 1.659 20 30 DDEDLO C[C@H]1C[N@H+](CCN2CCC[C@@](C#N)(c3ccccn3)C2)CCO1 ZINC000852332767 704014957 /nfs/dbraw/zinc/01/49/57/704014957.db2.gz UUFUEHTWGUUYQN-WMZOPIPTSA-N 1 2 314.433 1.659 20 30 DDEDLO C[C@H]1C[N@@H+](CCN2CCC[C@@](C#N)(c3ccccn3)C2)CCO1 ZINC000852332767 704014963 /nfs/dbraw/zinc/01/49/63/704014963.db2.gz UUFUEHTWGUUYQN-WMZOPIPTSA-N 1 2 314.433 1.659 20 30 DDEDLO Cc1[nH+]cc(C=NN(Cc2ccccc2)S(C)(=O)=O)n1C ZINC000853348381 704235926 /nfs/dbraw/zinc/23/59/26/704235926.db2.gz CORSBYCKYOWFGD-UHFFFAOYSA-N 1 2 306.391 1.524 20 30 DDEDLO CC1(O)CCN(c2cc(NCc3ccc(C#N)cn3)[nH+]cn2)CC1 ZINC000853507805 704253347 /nfs/dbraw/zinc/25/33/47/704253347.db2.gz AMVNFANLZNIQPR-UHFFFAOYSA-N 1 2 324.388 1.707 20 30 DDEDLO CC1(O)CCN(c2cc(NCc3ccc(C#N)cn3)nc[nH+]2)CC1 ZINC000853507805 704253349 /nfs/dbraw/zinc/25/33/49/704253349.db2.gz AMVNFANLZNIQPR-UHFFFAOYSA-N 1 2 324.388 1.707 20 30 DDEDLO Cn1cncc1[C@H]1CC(=O)N(C[N@@H+]2CCC[C@H](CC#N)C2)C1 ZINC000853524816 704259828 /nfs/dbraw/zinc/25/98/28/704259828.db2.gz QPNATFKXMZPMNP-KGLIPLIRSA-N 1 2 301.394 1.319 20 30 DDEDLO Cn1cncc1[C@H]1CC(=O)N(C[N@H+]2CCC[C@H](CC#N)C2)C1 ZINC000853524816 704259829 /nfs/dbraw/zinc/25/98/29/704259829.db2.gz QPNATFKXMZPMNP-KGLIPLIRSA-N 1 2 301.394 1.319 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1Cc2cc(F)c(F)cc2C1=O ZINC000853621059 704288067 /nfs/dbraw/zinc/28/80/67/704288067.db2.gz OQOCUNJVIITRCB-UHFFFAOYSA-N 1 2 308.328 1.850 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1Cc2cc(F)c(F)cc2C1=O ZINC000853621059 704288068 /nfs/dbraw/zinc/28/80/68/704288068.db2.gz OQOCUNJVIITRCB-UHFFFAOYSA-N 1 2 308.328 1.850 20 30 DDEDLO C#CCOCCOC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000853899211 704332324 /nfs/dbraw/zinc/33/23/24/704332324.db2.gz LDHIOWFHEXEYFO-MRXNPFEDSA-N 1 2 303.358 1.080 20 30 DDEDLO C#CCOCCOC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000853899211 704332326 /nfs/dbraw/zinc/33/23/26/704332326.db2.gz LDHIOWFHEXEYFO-MRXNPFEDSA-N 1 2 303.358 1.080 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@](C#N)(c2ccccn2)C1 ZINC000855302258 704478121 /nfs/dbraw/zinc/47/81/21/704478121.db2.gz CCIYHIRBVTYCHZ-QGZVFWFLSA-N 1 2 309.373 1.740 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC000855302665 704478193 /nfs/dbraw/zinc/47/81/93/704478193.db2.gz KMTSRHDGBATZQN-KRWDZBQOSA-N 1 2 309.373 1.821 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC000855302665 704478194 /nfs/dbraw/zinc/47/81/94/704478194.db2.gz KMTSRHDGBATZQN-KRWDZBQOSA-N 1 2 309.373 1.821 20 30 DDEDLO N#CCOc1cccc(CC(=O)N[C@@H]2CCn3c[nH+]cc3C2)c1 ZINC000857949349 704648406 /nfs/dbraw/zinc/64/84/06/704648406.db2.gz ZUEVHRZMOCJVBD-CQSZACIVSA-N 1 2 310.357 1.459 20 30 DDEDLO C#CCOCCNC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000821882378 704834402 /nfs/dbraw/zinc/83/44/02/704834402.db2.gz ZASSAASLFCUQHC-MRXNPFEDSA-N 1 2 302.374 1.036 20 30 DDEDLO C#CCOCCNC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000821882378 704834408 /nfs/dbraw/zinc/83/44/08/704834408.db2.gz ZASSAASLFCUQHC-MRXNPFEDSA-N 1 2 302.374 1.036 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)COc1ccc(C#N)cc1 ZINC000874699164 705132526 /nfs/dbraw/zinc/13/25/26/705132526.db2.gz POAZGOPXURDCEX-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)COc1ccc(C#N)cc1 ZINC000874699164 705132527 /nfs/dbraw/zinc/13/25/27/705132527.db2.gz POAZGOPXURDCEX-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)Cc2ccc(C#N)nc2)C1 ZINC000861350225 705439392 /nfs/dbraw/zinc/43/93/92/705439392.db2.gz YPLLHXJLTDZWLO-CQSZACIVSA-N 1 2 309.373 1.635 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000875920173 705559988 /nfs/dbraw/zinc/55/99/88/705559988.db2.gz WWSVRMSWBXHIKQ-CABCVRRESA-N 1 2 304.394 1.658 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000824965361 705568697 /nfs/dbraw/zinc/56/86/97/705568697.db2.gz MKYUDIFKAFZOSI-ZACQAIPSSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCN(C)C(=O)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000876194938 705653659 /nfs/dbraw/zinc/65/36/59/705653659.db2.gz CHZNSCDFFDNQNT-KRWDZBQOSA-N 1 2 315.417 1.470 20 30 DDEDLO C#CCN(C)C(=O)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000876194938 705653662 /nfs/dbraw/zinc/65/36/62/705653662.db2.gz CHZNSCDFFDNQNT-KRWDZBQOSA-N 1 2 315.417 1.470 20 30 DDEDLO COc1cc(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)ccc1C#N ZINC000826571259 705817336 /nfs/dbraw/zinc/81/73/36/705817336.db2.gz FVAXLMAJKCRGFM-OLZOCXBDSA-N 1 2 317.389 1.406 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000826603230 705823402 /nfs/dbraw/zinc/82/34/02/705823402.db2.gz CTEVNSJBJGWMMB-KRWDZBQOSA-N 1 2 318.417 1.515 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000826603230 705823405 /nfs/dbraw/zinc/82/34/05/705823405.db2.gz CTEVNSJBJGWMMB-KRWDZBQOSA-N 1 2 318.417 1.515 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@H+](Cc3ccc(C#N)c(F)c3)C2)C1 ZINC000877712820 706186083 /nfs/dbraw/zinc/18/60/83/706186083.db2.gz USMBQBGAWKEZJG-MRXNPFEDSA-N 1 2 317.320 1.591 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@@H+](Cc3ccc(C#N)c(F)c3)C2)C1 ZINC000877712820 706186085 /nfs/dbraw/zinc/18/60/85/706186085.db2.gz USMBQBGAWKEZJG-MRXNPFEDSA-N 1 2 317.320 1.591 20 30 DDEDLO COC[C@H](C)NC(=S)NN=C1CCC[N@@H+]2CCSC[C@H]12 ZINC000872331745 707384631 /nfs/dbraw/zinc/38/46/31/707384631.db2.gz TXOJVBQUBBOOFO-CMPLNLGQSA-N 1 2 316.496 1.053 20 30 DDEDLO COC[C@H](C)NC(=S)NN=C1CCC[N@H+]2CCSC[C@H]12 ZINC000872331745 707384635 /nfs/dbraw/zinc/38/46/35/707384635.db2.gz TXOJVBQUBBOOFO-CMPLNLGQSA-N 1 2 316.496 1.053 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@H+](CC(N)=O)C(C)C)c1ccccc1 ZINC000877923252 706241998 /nfs/dbraw/zinc/24/19/98/706241998.db2.gz MKMRKLNQPJPGQI-QGZVFWFLSA-N 1 2 301.390 1.623 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@@H+](CC(N)=O)C(C)C)c1ccccc1 ZINC000877923252 706241999 /nfs/dbraw/zinc/24/19/99/706241999.db2.gz MKMRKLNQPJPGQI-QGZVFWFLSA-N 1 2 301.390 1.623 20 30 DDEDLO C#C[C@H](CO)NC(=O)Nc1nc(C[N@H+]2CCC[C@H](C)C2)cs1 ZINC000878011977 706263649 /nfs/dbraw/zinc/26/36/49/706263649.db2.gz DTUVKKPEQOFOND-NWDGAFQWSA-N 1 2 322.434 1.491 20 30 DDEDLO C#C[C@H](CO)NC(=O)Nc1nc(C[N@@H+]2CCC[C@H](C)C2)cs1 ZINC000878011977 706263651 /nfs/dbraw/zinc/26/36/51/706263651.db2.gz DTUVKKPEQOFOND-NWDGAFQWSA-N 1 2 322.434 1.491 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccc3c(C#N)c[nH]c3c2)CCO1 ZINC000865092327 706347182 /nfs/dbraw/zinc/34/71/82/706347182.db2.gz OCGJMLJQVHGYJR-GFCCVEGCSA-N 1 2 312.373 1.490 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccc3c(C#N)c[nH]c3c2)CCO1 ZINC000865092327 706347183 /nfs/dbraw/zinc/34/71/83/706347183.db2.gz OCGJMLJQVHGYJR-GFCCVEGCSA-N 1 2 312.373 1.490 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+](C)CCN(C)C(=O)OC(C)(C)C ZINC000878335138 706363833 /nfs/dbraw/zinc/36/38/33/706363833.db2.gz JQIFTDXNUHPOGJ-LBPRGKRZSA-N 1 2 300.399 1.903 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+](C)CCN(C)C(=O)OC(C)(C)C ZINC000878335138 706363837 /nfs/dbraw/zinc/36/38/37/706363837.db2.gz JQIFTDXNUHPOGJ-LBPRGKRZSA-N 1 2 300.399 1.903 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CCC2CCCCC2)CC1 ZINC000830194936 706472471 /nfs/dbraw/zinc/47/24/71/706472471.db2.gz DUXIQVDMQUZRPP-UHFFFAOYSA-N 1 2 312.479 1.928 20 30 DDEDLO N#Cc1cccc(NC(=O)C[NH+]2CCC([C@H](F)C(N)=O)CC2)c1 ZINC000879046224 706571753 /nfs/dbraw/zinc/57/17/53/706571753.db2.gz SPEDJGMMMXMXOS-HNNXBMFYSA-N 1 2 318.352 1.032 20 30 DDEDLO C#CCC1(O)CC[NH+]([C@@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000880478579 706986760 /nfs/dbraw/zinc/98/67/60/706986760.db2.gz BRJZZJPPBVMEJE-MRXNPFEDSA-N 1 2 316.376 1.781 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)Nc2nc3c(s2)CCC3)CC1 ZINC000880480982 706987805 /nfs/dbraw/zinc/98/78/05/706987805.db2.gz JQOXMBQMUSPWIF-UHFFFAOYSA-N 1 2 319.430 1.421 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)c2ccc(Cl)c(F)c2)nn1 ZINC000881417292 707248553 /nfs/dbraw/zinc/24/85/53/707248553.db2.gz LAILJVCPMSIMQR-OAHLLOKOSA-N 1 2 322.771 1.917 20 30 DDEDLO C=CCCCCOC(=O)[C@@H]1CC(=O)N(CC[NH+]2CCOCC2)C1 ZINC000837682332 707715307 /nfs/dbraw/zinc/71/53/07/707715307.db2.gz KSEOMERQNHITAU-OAHLLOKOSA-N 1 2 324.421 1.067 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[NH+](CCCOC(C)(C)C)CC1 ZINC000882734932 707766791 /nfs/dbraw/zinc/76/67/91/707766791.db2.gz PGMMCARBXGRVEB-UHFFFAOYSA-N 1 2 318.483 1.715 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@@H+]2CCO[C@H](C)C2)cc1 ZINC000838113283 707844465 /nfs/dbraw/zinc/84/44/65/707844465.db2.gz NZBQPOCNPBOZBI-CQSZACIVSA-N 1 2 301.390 1.188 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@H+]2CCO[C@H](C)C2)cc1 ZINC000838113283 707844467 /nfs/dbraw/zinc/84/44/67/707844467.db2.gz NZBQPOCNPBOZBI-CQSZACIVSA-N 1 2 301.390 1.188 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000883191863 707951725 /nfs/dbraw/zinc/95/17/25/707951725.db2.gz LMMALUWXBWYYGV-OAHLLOKOSA-N 1 2 314.389 1.999 20 30 DDEDLO CNC(=O)C1([NH2+]Cc2c(C#N)cccc2[N+](=O)[O-])CCCC1 ZINC000838896041 707998507 /nfs/dbraw/zinc/99/85/07/707998507.db2.gz WSRGPMRDWCAEEE-UHFFFAOYSA-N 1 2 302.334 1.615 20 30 DDEDLO C#CC[C@H]([NH2+][C@@H]1CC[C@@H](C(F)(F)C(=O)OCC)C1)C(=O)OC ZINC000839167562 708032632 /nfs/dbraw/zinc/03/26/32/708032632.db2.gz FOELAJFJKDLXMJ-UTUOFQBUSA-N 1 2 317.332 1.508 20 30 DDEDLO C#C[C@@H]1COCCN1CCCCCC[N@@H+]1CCOC[C@H]1C#C ZINC000839199077 708035937 /nfs/dbraw/zinc/03/59/37/708035937.db2.gz BBYFZIUHVHAXFO-QZTJIDSGSA-N 1 2 304.434 1.215 20 30 DDEDLO C#C[C@@H]1COCCN1CCCCCC[N@H+]1CCOC[C@H]1C#C ZINC000839199077 708035939 /nfs/dbraw/zinc/03/59/39/708035939.db2.gz BBYFZIUHVHAXFO-QZTJIDSGSA-N 1 2 304.434 1.215 20 30 DDEDLO C=c1[nH]c(=O)[nH]c(=O)c1=C(C)[NH2+]Nc1ccc([N+](=O)[O-])cc1 ZINC000839381609 708045303 /nfs/dbraw/zinc/04/53/03/708045303.db2.gz KVAVXZCCCUKMJJ-UHFFFAOYSA-N 1 2 303.278 1.941 20 30 DDEDLO N#Cc1ccc(F)cc1Cn1cc(C[NH+]2CCC(O)CC2)nn1 ZINC000883992588 708094718 /nfs/dbraw/zinc/09/47/18/708094718.db2.gz WAVAFFVCKVMTOR-UHFFFAOYSA-N 1 2 315.352 1.294 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(OC)cc(OC)c1 ZINC000884046427 708116676 /nfs/dbraw/zinc/11/66/76/708116676.db2.gz DKUANSOOMCBQTE-ZDUSSCGKSA-N 1 2 308.334 1.089 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1nc2c(cccc2C)s1 ZINC000884051058 708118249 /nfs/dbraw/zinc/11/82/49/708118249.db2.gz UKRMXMXYYQPGCR-JTQLQIEISA-N 1 2 319.386 1.990 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccnn1[C@@H](C)C1CC1 ZINC000884055800 708120421 /nfs/dbraw/zinc/12/04/21/708120421.db2.gz ZTKNKGVPVLLNMI-JQWIXIFHSA-N 1 2 306.366 1.239 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1C[C@@H](OCC)C12CCCC2 ZINC000884145399 708159712 /nfs/dbraw/zinc/15/97/12/708159712.db2.gz JEMGIJKEKVSAAK-MELADBBJSA-N 1 2 324.421 1.287 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc2c(c1)CCCN2C ZINC000884202473 708186982 /nfs/dbraw/zinc/18/69/82/708186982.db2.gz ZHRLASUSSLVRAA-AWEZNQCLSA-N 1 2 317.389 1.454 20 30 DDEDLO N#Cc1c2ccccc2[nH]c1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000896964609 708194050 /nfs/dbraw/zinc/19/40/50/708194050.db2.gz VZWBFPZEJPUFOR-CYBMUJFWSA-N 1 2 324.384 1.586 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](c1ccccn1)C1CCC1 ZINC000884432513 708294826 /nfs/dbraw/zinc/29/48/26/708294826.db2.gz HFLVNNOOJBRLEL-BBRMVZONSA-N 1 2 317.389 1.486 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCC(=O)N(CC)c1ccccc1 ZINC000927683210 713030989 /nfs/dbraw/zinc/03/09/89/713030989.db2.gz BBPXWUQSFLJUAU-INIZCTEOSA-N 1 2 313.401 1.253 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCC(=O)N(CC)c1ccccc1 ZINC000927683210 713030992 /nfs/dbraw/zinc/03/09/92/713030992.db2.gz BBPXWUQSFLJUAU-INIZCTEOSA-N 1 2 313.401 1.253 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)C[C@@H]2C(C)(C)C2(F)F)C1 ZINC000885509609 708562603 /nfs/dbraw/zinc/56/26/03/708562603.db2.gz UUGQJYVOBLSUPM-NWDGAFQWSA-N 1 2 320.405 1.295 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)C[C@@H]2C(C)(C)C2(F)F)C1 ZINC000885509609 708562605 /nfs/dbraw/zinc/56/26/05/708562605.db2.gz UUGQJYVOBLSUPM-NWDGAFQWSA-N 1 2 320.405 1.295 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cc(F)ccc2C)C1 ZINC000885513762 708563507 /nfs/dbraw/zinc/56/35/07/708563507.db2.gz FFZQSKYYYIHIMP-AWEZNQCLSA-N 1 2 310.394 1.510 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cc(F)ccc2C)C1 ZINC000885513762 708563510 /nfs/dbraw/zinc/56/35/10/708563510.db2.gz FFZQSKYYYIHIMP-AWEZNQCLSA-N 1 2 310.394 1.510 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@H+](CCC(C)(C)C#N)[C@@H](CO)C1 ZINC000886351306 708747083 /nfs/dbraw/zinc/74/70/83/708747083.db2.gz BZZAFHMWBGVYHE-CYBMUJFWSA-N 1 2 311.426 1.840 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@@H+](CCC(C)(C)C#N)[C@@H](CO)C1 ZINC000886351306 708747084 /nfs/dbraw/zinc/74/70/84/708747084.db2.gz BZZAFHMWBGVYHE-CYBMUJFWSA-N 1 2 311.426 1.840 20 30 DDEDLO CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000886468678 708765027 /nfs/dbraw/zinc/76/50/27/708765027.db2.gz MQIMOUSMHPLQSP-MRXNPFEDSA-N 1 2 321.446 1.608 20 30 DDEDLO CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000886468678 708765028 /nfs/dbraw/zinc/76/50/28/708765028.db2.gz MQIMOUSMHPLQSP-MRXNPFEDSA-N 1 2 321.446 1.608 20 30 DDEDLO CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000886470184 708765526 /nfs/dbraw/zinc/76/55/26/708765526.db2.gz CNBDZQRENNUGHX-LBPRGKRZSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000886470184 708765528 /nfs/dbraw/zinc/76/55/28/708765528.db2.gz CNBDZQRENNUGHX-LBPRGKRZSA-N 1 2 311.382 1.412 20 30 DDEDLO C=CCC1(O)CC[NH+](Cc2nc3ccccc3c(=O)n2C)CC1 ZINC000886771131 708841896 /nfs/dbraw/zinc/84/18/96/708841896.db2.gz COZSRXIMOZJXGE-UHFFFAOYSA-N 1 2 313.401 1.837 20 30 DDEDLO C#CCCOc1ccc(C(=O)N[C@H](CO)c2c[nH+]cn2C)cc1 ZINC000898890738 708910440 /nfs/dbraw/zinc/91/04/40/708910440.db2.gz BYYPRNQGRIGCJA-OAHLLOKOSA-N 1 2 313.357 1.286 20 30 DDEDLO C=CC[C@H]([NH2+]CC1(N2CCOCC2)CCCCC1)C(=O)OC ZINC000887309054 709013743 /nfs/dbraw/zinc/01/37/43/709013743.db2.gz KKHUSUQMEXYFKX-HNNXBMFYSA-N 1 2 310.438 1.729 20 30 DDEDLO C=C(C[NH+](C)C)C(=O)Nc1nccc(Br)c1O ZINC000913025119 713146194 /nfs/dbraw/zinc/14/61/94/713146194.db2.gz JBALEUULVZVVMM-UHFFFAOYSA-N 1 2 300.156 1.606 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H](C#N)[C@H]([NH2+]Cc2cc[nH]c(=O)c2)C1 ZINC000893576640 710719981 /nfs/dbraw/zinc/71/99/81/710719981.db2.gz RWXFSDPTAQEPBW-CHWSQXEVSA-N 1 2 318.377 1.636 20 30 DDEDLO O=C1[C@H]2CCC[C@H]2CN1C[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000902610807 710815124 /nfs/dbraw/zinc/81/51/24/710815124.db2.gz ZBUMGNPNYZYWPZ-ZFWWWQNUSA-N 1 2 304.390 1.540 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1cn(C)nn1 ZINC000902945899 710969757 /nfs/dbraw/zinc/96/97/57/710969757.db2.gz XDJGVWSALHQJIQ-IUODEOHRSA-N 1 2 324.388 1.533 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)N(C)C[C@H]1C[N@H+](C)CCO1 ZINC000913507080 713240612 /nfs/dbraw/zinc/24/06/12/713240612.db2.gz KMLSYFOWQTZRAV-MRXNPFEDSA-N 1 2 315.417 1.507 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)N(C)C[C@H]1C[N@@H+](C)CCO1 ZINC000913507080 713240613 /nfs/dbraw/zinc/24/06/13/713240613.db2.gz KMLSYFOWQTZRAV-MRXNPFEDSA-N 1 2 315.417 1.507 20 30 DDEDLO Cc1nc([C@H]2CC[N@H+](Cc3cnc4c(C#N)cnn4c3)C2)n[nH]1 ZINC000895007299 711363868 /nfs/dbraw/zinc/36/38/68/711363868.db2.gz BJKFLCYPBZWZKL-LBPRGKRZSA-N 1 2 308.349 1.017 20 30 DDEDLO Cc1nc([C@H]2CC[N@@H+](Cc3cnc4c(C#N)cnn4c3)C2)n[nH]1 ZINC000895007299 711363871 /nfs/dbraw/zinc/36/38/71/711363871.db2.gz BJKFLCYPBZWZKL-LBPRGKRZSA-N 1 2 308.349 1.017 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2ncc(Br)cc2O)CCCN1O ZINC000895197339 711450409 /nfs/dbraw/zinc/45/04/09/711450409.db2.gz QZJAYGSTVXXBKM-QMMMGPOBSA-N 1 2 316.155 1.020 20 30 DDEDLO C=C(C)C[C@@H]([NH2+]CC1CN(C(=O)OC(C)(C)C)C1)C(=O)OC ZINC000895442602 711513703 /nfs/dbraw/zinc/51/37/03/711513703.db2.gz DCWMUUDZQCOKRT-CYBMUJFWSA-N 1 2 312.410 1.951 20 30 DDEDLO C#CC[C@H](CO)[NH2+]Cc1nc2ccccn2c1Br ZINC000895490064 711525759 /nfs/dbraw/zinc/52/57/59/711525759.db2.gz KUQAHTLXWFZLIJ-SNVBAGLBSA-N 1 2 308.179 1.571 20 30 DDEDLO C=C(C)C[C@@H]([NH2+]C1CCN(c2cnccn2)CC1)C(=O)OCC ZINC000895596804 711553951 /nfs/dbraw/zinc/55/39/51/711553951.db2.gz BYNILCUKNXRSQS-OAHLLOKOSA-N 1 2 318.421 1.933 20 30 DDEDLO C#CCSCC(=O)NCC[NH+]1CCN(c2ccccc2)CC1 ZINC000895669513 711573539 /nfs/dbraw/zinc/57/35/39/711573539.db2.gz CGNGEYSAYJGSQY-UHFFFAOYSA-N 1 2 317.458 1.291 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H](C#N)[C@H]([NH2+]C[C@@](C)(O)C(F)F)C1 ZINC000905211453 711969525 /nfs/dbraw/zinc/96/95/25/711969525.db2.gz DVXMSOSLHZUBHA-IMSIIYSGSA-N 1 2 319.352 1.351 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)c(C)nn1 ZINC000929269853 713579844 /nfs/dbraw/zinc/57/98/44/713579844.db2.gz POWGKQKRFOZTPS-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO CC(C)CC[N@H+](CCC#N)C[C@@H]1CN=C(c2cnn(C)c2)O1 ZINC000929778396 713688122 /nfs/dbraw/zinc/68/81/22/713688122.db2.gz IRRNSJCTIYVAHQ-HNNXBMFYSA-N 1 2 303.410 1.827 20 30 DDEDLO CC(C)CC[N@@H+](CCC#N)C[C@@H]1CN=C(c2cnn(C)c2)O1 ZINC000929778396 713688125 /nfs/dbraw/zinc/68/81/25/713688125.db2.gz IRRNSJCTIYVAHQ-HNNXBMFYSA-N 1 2 303.410 1.827 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1[C@H](C)C(=O)N[C@H](C)[C@@H]1C ZINC000930829594 713930631 /nfs/dbraw/zinc/93/06/31/713930631.db2.gz ADLWOOAIBIYVKJ-FRRDWIJNSA-N 1 2 317.389 1.543 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1[C@H](C)C(=O)N[C@H](C)[C@@H]1C ZINC000930829594 713930632 /nfs/dbraw/zinc/93/06/32/713930632.db2.gz ADLWOOAIBIYVKJ-FRRDWIJNSA-N 1 2 317.389 1.543 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2c(C)cc(C#N)cc2C)C[C@H](C)O1 ZINC000930857299 713938582 /nfs/dbraw/zinc/93/85/82/713938582.db2.gz WOKGOCMSMQRNMZ-BBRMVZONSA-N 1 2 302.374 1.937 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2c(C)cc(C#N)cc2C)C[C@H](C)O1 ZINC000930857299 713938584 /nfs/dbraw/zinc/93/85/84/713938584.db2.gz WOKGOCMSMQRNMZ-BBRMVZONSA-N 1 2 302.374 1.937 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCCC[C@@H]1CS(N)(=O)=O ZINC000931228652 714042496 /nfs/dbraw/zinc/04/24/96/714042496.db2.gz DAVFIKKLOAJZSO-CQSZACIVSA-N 1 2 323.418 1.210 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCCC[C@@H]1CS(N)(=O)=O ZINC000931228652 714042499 /nfs/dbraw/zinc/04/24/99/714042499.db2.gz DAVFIKKLOAJZSO-CQSZACIVSA-N 1 2 323.418 1.210 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H]1c1ccnn1C ZINC000932269267 714296603 /nfs/dbraw/zinc/29/66/03/714296603.db2.gz INTNNVQIXHTYFY-HIFRSBDPSA-N 1 2 303.410 1.565 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@H]1c1ccnn1C ZINC000932269267 714296605 /nfs/dbraw/zinc/29/66/05/714296605.db2.gz INTNNVQIXHTYFY-HIFRSBDPSA-N 1 2 303.410 1.565 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCC(=O)c3ccccc32)C1 ZINC000923561759 714404712 /nfs/dbraw/zinc/40/47/12/714404712.db2.gz LIFJBKABAVBNDD-RHSMWYFYSA-N 1 2 310.397 1.961 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCC(=O)c3ccccc32)C1 ZINC000923561759 714404714 /nfs/dbraw/zinc/40/47/14/714404714.db2.gz LIFJBKABAVBNDD-RHSMWYFYSA-N 1 2 310.397 1.961 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cnc(C3OCCO3)s2)C1 ZINC000923561729 714404752 /nfs/dbraw/zinc/40/47/52/714404752.db2.gz KJAGKBUPXBWQJA-NSHDSACASA-N 1 2 321.402 1.016 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc(C3OCCO3)s2)C1 ZINC000923561729 714404755 /nfs/dbraw/zinc/40/47/55/714404755.db2.gz KJAGKBUPXBWQJA-NSHDSACASA-N 1 2 321.402 1.016 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cnc(NCC=C)s2)C1 ZINC000923595861 714423423 /nfs/dbraw/zinc/42/34/23/714423423.db2.gz BHRVJZJTTVMDNL-LBPRGKRZSA-N 1 2 304.419 1.568 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc(NCC=C)s2)C1 ZINC000923595861 714423424 /nfs/dbraw/zinc/42/34/24/714423424.db2.gz BHRVJZJTTVMDNL-LBPRGKRZSA-N 1 2 304.419 1.568 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cnc(CC3CC3)s2)C1 ZINC000923596913 714423688 /nfs/dbraw/zinc/42/36/88/714423688.db2.gz UQZMJBZSRADVBA-ZDUSSCGKSA-N 1 2 303.431 1.923 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc(CC3CC3)s2)C1 ZINC000923596913 714423689 /nfs/dbraw/zinc/42/36/89/714423689.db2.gz UQZMJBZSRADVBA-ZDUSSCGKSA-N 1 2 303.431 1.923 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@H]1C[C@H]1CCOC1 ZINC000933677047 714645696 /nfs/dbraw/zinc/64/56/96/714645696.db2.gz SVTNYFZFBDAJSU-HZPDHXFCSA-N 1 2 310.438 1.538 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@H]1C[C@H]1CCOC1 ZINC000933677047 714645700 /nfs/dbraw/zinc/64/57/00/714645700.db2.gz SVTNYFZFBDAJSU-HZPDHXFCSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOC[C@@H]1C[C@@H]1CCOC1 ZINC000933677636 714646437 /nfs/dbraw/zinc/64/64/37/714646437.db2.gz WZZBXHRCGSZUEN-HOTGVXAUSA-N 1 2 308.422 1.314 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOC[C@@H]1C[C@@H]1CCOC1 ZINC000933677636 714646439 /nfs/dbraw/zinc/64/64/39/714646439.db2.gz WZZBXHRCGSZUEN-HOTGVXAUSA-N 1 2 308.422 1.314 20 30 DDEDLO C[C@H](C(=O)NC[C@H]1CCCO1)[N@H+](C)Cc1cc(C#N)cs1 ZINC000933776133 714669423 /nfs/dbraw/zinc/66/94/23/714669423.db2.gz UADZBERIUOKVFG-DGCLKSJQSA-N 1 2 307.419 1.735 20 30 DDEDLO C[C@H](C(=O)NC[C@H]1CCCO1)[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933776133 714669426 /nfs/dbraw/zinc/66/94/26/714669426.db2.gz UADZBERIUOKVFG-DGCLKSJQSA-N 1 2 307.419 1.735 20 30 DDEDLO Cn1cc(C[N@@H+]2CC[C@H](O)[C@@H](F)C2)c(-c2ccc(C#N)cc2)n1 ZINC000934571072 714854125 /nfs/dbraw/zinc/85/41/25/714854125.db2.gz ONDAIJCWBIEMCL-HOTGVXAUSA-N 1 2 314.364 1.863 20 30 DDEDLO Cn1cc(C[N@H+]2CC[C@H](O)[C@@H](F)C2)c(-c2ccc(C#N)cc2)n1 ZINC000934571072 714854126 /nfs/dbraw/zinc/85/41/26/714854126.db2.gz ONDAIJCWBIEMCL-HOTGVXAUSA-N 1 2 314.364 1.863 20 30 DDEDLO CC[C@@H]([NH2+]CC1(S(C)(=O)=O)CC1)c1cccc(C#N)c1O ZINC000926331345 715049412 /nfs/dbraw/zinc/04/94/12/715049412.db2.gz HMVWULAQPKAVBR-CYBMUJFWSA-N 1 2 308.403 1.882 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2C(=O)CCn1cc[nH+]c1 ZINC000937535424 715396510 /nfs/dbraw/zinc/39/65/10/715396510.db2.gz KMJZLPRAHQCHMU-KBPBESRZSA-N 1 2 316.405 1.297 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2COc3ccccc3O2)C1 ZINC000957350217 715853469 /nfs/dbraw/zinc/85/34/69/715853469.db2.gz DXPFHEUWYATBPH-QGZVFWFLSA-N 1 2 316.401 1.935 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(N(C)C)cn2)C1 ZINC000957435537 715902870 /nfs/dbraw/zinc/90/28/70/715902870.db2.gz CLKHRDAAHJHVGQ-UHFFFAOYSA-N 1 2 300.406 1.317 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000939010358 716084199 /nfs/dbraw/zinc/08/41/99/716084199.db2.gz UGSHYTWLRPMMCQ-ZDUSSCGKSA-N 1 2 304.394 1.224 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccnc1 ZINC000958034471 716287433 /nfs/dbraw/zinc/28/74/33/716287433.db2.gz VKRGXCDPSOLWNZ-ITGUQSILSA-N 1 2 322.206 1.650 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccnc1 ZINC000958034471 716287434 /nfs/dbraw/zinc/28/74/34/716287434.db2.gz VKRGXCDPSOLWNZ-ITGUQSILSA-N 1 2 322.206 1.650 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ccc(CC)o2)C1 ZINC000958187341 716384070 /nfs/dbraw/zinc/38/40/70/716384070.db2.gz OSOJSMTXCQLJJO-WCQYABFASA-N 1 2 312.797 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ccc(CC)o2)C1 ZINC000958187341 716384077 /nfs/dbraw/zinc/38/40/77/716384077.db2.gz OSOJSMTXCQLJJO-WCQYABFASA-N 1 2 312.797 1.617 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1cc2n(n1)CCC2 ZINC000960499622 716583089 /nfs/dbraw/zinc/58/30/89/716583089.db2.gz FTHJCKFGWBDTEV-BBRMVZONSA-N 1 2 315.421 1.412 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC000960499622 716583092 /nfs/dbraw/zinc/58/30/92/716583092.db2.gz FTHJCKFGWBDTEV-BBRMVZONSA-N 1 2 315.421 1.412 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC1(F)F ZINC000958800838 716750467 /nfs/dbraw/zinc/75/04/67/716750467.db2.gz LIVUSYLBEGVLIK-QCLAVDOMSA-N 1 2 321.165 1.597 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC1(F)F ZINC000958800838 716750470 /nfs/dbraw/zinc/75/04/70/716750470.db2.gz LIVUSYLBEGVLIK-QCLAVDOMSA-N 1 2 321.165 1.597 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cscn4)C[C@H]32)cc1 ZINC000961427725 716942689 /nfs/dbraw/zinc/94/26/89/716942689.db2.gz BUHXXLWWYOGXKW-FVQHAEBGSA-N 1 2 323.421 1.985 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cscn4)C[C@H]32)cc1 ZINC000961427725 716942693 /nfs/dbraw/zinc/94/26/93/716942693.db2.gz BUHXXLWWYOGXKW-FVQHAEBGSA-N 1 2 323.421 1.985 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3sccc3F)CC2)C1 ZINC000941401033 717170529 /nfs/dbraw/zinc/17/05/29/717170529.db2.gz OBFHYVYEKONRJM-UHFFFAOYSA-N 1 2 321.421 1.353 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3occc3CC)CC2)C1 ZINC000941553543 717207068 /nfs/dbraw/zinc/20/70/68/717207068.db2.gz ONOIJMYMHNKOHD-UHFFFAOYSA-N 1 2 315.417 1.307 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CCN(CC#N)C[C@@H]3C)ccn12 ZINC000942052722 717452271 /nfs/dbraw/zinc/45/22/71/717452271.db2.gz HCDNYKIFWASSEY-SWLSCSKDSA-N 1 2 311.389 1.607 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)CN2CCCC2=O)C1 ZINC000964969542 717493930 /nfs/dbraw/zinc/49/39/30/717493930.db2.gz UMDCITPATYYLKC-AAEUAGOBSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)CN2CCCC2=O)C1 ZINC000964969542 717493933 /nfs/dbraw/zinc/49/39/33/717493933.db2.gz UMDCITPATYYLKC-AAEUAGOBSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(C(=O)Cc3[nH]c[nH+]c3C)C2)C1 ZINC000942359956 717636164 /nfs/dbraw/zinc/63/61/64/717636164.db2.gz NIDNWIJWIVJTGL-CYBMUJFWSA-N 1 2 316.405 1.334 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)nn2C)C1 ZINC000965421831 717645862 /nfs/dbraw/zinc/64/58/62/717645862.db2.gz YMQYKDFXACHZSB-MFKMUULPSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)nn2C)C1 ZINC000965421831 717645866 /nfs/dbraw/zinc/64/58/66/717645866.db2.gz YMQYKDFXACHZSB-MFKMUULPSA-N 1 2 310.829 1.921 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn(C)nn1 ZINC000948916197 719784072 /nfs/dbraw/zinc/78/40/72/719784072.db2.gz PUZMVLKEJAECAO-KRWDZBQOSA-N 1 2 323.400 1.168 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn(C)nn1 ZINC000948916197 719784076 /nfs/dbraw/zinc/78/40/76/719784076.db2.gz PUZMVLKEJAECAO-KRWDZBQOSA-N 1 2 323.400 1.168 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cccc(F)c3)CC2)C1 ZINC000949285419 719994062 /nfs/dbraw/zinc/99/40/62/719994062.db2.gz DFMVSZTUDACMMI-UHFFFAOYSA-N 1 2 316.376 1.766 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cccc(F)c3)CC2)C1 ZINC000949285419 719994066 /nfs/dbraw/zinc/99/40/66/719994066.db2.gz DFMVSZTUDACMMI-UHFFFAOYSA-N 1 2 316.376 1.766 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3oc(C)nc3C)CC2)C1 ZINC000949326558 720018154 /nfs/dbraw/zinc/01/81/54/720018154.db2.gz YVEDBSBXVXKXQT-UHFFFAOYSA-N 1 2 317.389 1.232 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3oc(C)nc3C)CC2)C1 ZINC000949326558 720018157 /nfs/dbraw/zinc/01/81/57/720018157.db2.gz YVEDBSBXVXKXQT-UHFFFAOYSA-N 1 2 317.389 1.232 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC000969570574 720195814 /nfs/dbraw/zinc/19/58/14/720195814.db2.gz UEYGDKWKNQUIQF-GFCCVEGCSA-N 1 2 322.409 1.509 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc(C)nnc2C)C1 ZINC000969748883 720276481 /nfs/dbraw/zinc/27/64/81/720276481.db2.gz JQPIEPRJPHBDQA-NSHDSACASA-N 1 2 308.813 1.896 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC000969791238 720294179 /nfs/dbraw/zinc/29/41/79/720294179.db2.gz CKJGROLGTYZJPR-VIFPVBQESA-N 1 2 320.784 1.156 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cn3cccnc3n2)C1 ZINC000970047631 720610779 /nfs/dbraw/zinc/61/07/79/720610779.db2.gz NYDRLPOJFIWZMJ-NSHDSACASA-N 1 2 319.796 1.532 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn(-c3cccnc3)c2)C1 ZINC000950493332 720656782 /nfs/dbraw/zinc/65/67/82/720656782.db2.gz SCEBSMXHDYQJAA-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3ccccc3n2)C1 ZINC000950512370 720666035 /nfs/dbraw/zinc/66/60/35/720666035.db2.gz FLLMWLXMVDVDFC-UHFFFAOYSA-N 1 2 308.385 1.799 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(C)nc2C(F)F)C1 ZINC000950524940 720670590 /nfs/dbraw/zinc/67/05/90/720670590.db2.gz PDDIIJNMQWRJCR-UHFFFAOYSA-N 1 2 310.348 1.527 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cc3n(n2)CCCO3)C1 ZINC000950839274 720792370 /nfs/dbraw/zinc/79/23/70/720792370.db2.gz YYYDIORDGLJADC-UHFFFAOYSA-N 1 2 304.394 1.388 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2onc3c2CCCC3)C1 ZINC000950879464 720808560 /nfs/dbraw/zinc/80/85/60/720808560.db2.gz DRYIPBXNHXNHCQ-UHFFFAOYSA-N 1 2 301.390 1.723 20 30 DDEDLO C=CCC[NH+]1CC(N(CC)C(=O)c2cc(=O)c(OC)c[nH]2)C1 ZINC000950886909 720813506 /nfs/dbraw/zinc/81/35/06/720813506.db2.gz UQJNKRSZRPGPBC-UHFFFAOYSA-N 1 2 305.378 1.106 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2c3c[nH]nc3CC[C@@H]2C)C1 ZINC000950923824 720830456 /nfs/dbraw/zinc/83/04/56/720830456.db2.gz JQMBNZNSYFISSV-LRDDRELGSA-N 1 2 302.422 1.794 20 30 DDEDLO CC#CC[NH+]1CC([C@@H](C)NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC000970666735 720876850 /nfs/dbraw/zinc/87/68/50/720876850.db2.gz BJCNNUFFUPCASB-CHWSQXEVSA-N 1 2 314.433 1.608 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2ccccc2NC(C)=O)CC1 ZINC000952306727 721400077 /nfs/dbraw/zinc/40/00/77/721400077.db2.gz DRFFIBVLELPZRY-UHFFFAOYSA-N 1 2 313.401 1.355 20 30 DDEDLO C=CCn1cc(C(=O)N(CC)C2C[NH+](CC=C(C)C)C2)nn1 ZINC000952425018 721445622 /nfs/dbraw/zinc/44/56/22/721445622.db2.gz BOTSDBYWWJNZRR-UHFFFAOYSA-N 1 2 303.410 1.577 20 30 DDEDLO C=CC[NH+]1CCN(c2nccc(C(=O)OC)c2[N+](=O)[O-])CC1 ZINC001165031688 721867857 /nfs/dbraw/zinc/86/78/57/721867857.db2.gz QHUVFDOSEWILGA-UHFFFAOYSA-N 1 2 306.322 1.084 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCCN(C(=O)C#CC3CC3)C2)cc[nH+]1 ZINC001058690873 737200307 /nfs/dbraw/zinc/20/03/07/737200307.db2.gz WJBYCCMTCZSZCD-MRXNPFEDSA-N 1 2 312.417 1.873 20 30 DDEDLO C=CCCC(=O)NC[C@@H](Nc1[nH+]cnc2c1cnn2C)C(C)C ZINC001105134431 737568185 /nfs/dbraw/zinc/56/81/85/737568185.db2.gz ATWVAJGDTDAMFB-CYBMUJFWSA-N 1 2 316.409 1.882 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)C2CCOCC2)C1 ZINC001009879219 738518810 /nfs/dbraw/zinc/51/88/10/738518810.db2.gz ABBLNPFYRUMAGJ-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)C2CCOCC2)C1 ZINC001009879219 738518811 /nfs/dbraw/zinc/51/88/11/738518811.db2.gz ABBLNPFYRUMAGJ-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCN(C)c2ccc(C#N)nn2)c(C)[nH+]1 ZINC001100417902 732629569 /nfs/dbraw/zinc/62/95/69/732629569.db2.gz PRUULHJJOIPXSL-UHFFFAOYSA-N 1 2 324.388 1.535 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH]c[nH+]1)CNc1ccc(C#N)cn1 ZINC001104246501 732861615 /nfs/dbraw/zinc/86/16/15/732861615.db2.gz VKTUJYMHMPZBBV-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH+]c[nH]1)CNc1ccc(C#N)cn1 ZINC001104246501 732861618 /nfs/dbraw/zinc/86/16/18/732861618.db2.gz VKTUJYMHMPZBBV-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccncc3Cl)[C@H]2C1 ZINC001083203279 733165815 /nfs/dbraw/zinc/16/58/15/733165815.db2.gz KFXRMTYTXNXHRW-LSDHHAIUSA-N 1 2 319.792 1.284 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccncc3Cl)[C@H]2C1 ZINC001083203279 733165817 /nfs/dbraw/zinc/16/58/17/733165817.db2.gz KFXRMTYTXNXHRW-LSDHHAIUSA-N 1 2 319.792 1.284 20 30 DDEDLO C[C@H](CCCNc1ncccc1C#N)NC(=O)Cn1cc[nH+]c1 ZINC001114957220 733199760 /nfs/dbraw/zinc/19/97/60/733199760.db2.gz JDYHOCJWWIHBAI-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H]1CNC(=O)c1cncnc1 ZINC001027838687 738718222 /nfs/dbraw/zinc/71/82/22/738718222.db2.gz FYNDRKFBCTUQPO-KRWDZBQOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H]1CNC(=O)c1cncnc1 ZINC001027838687 738718224 /nfs/dbraw/zinc/71/82/24/738718224.db2.gz FYNDRKFBCTUQPO-KRWDZBQOSA-N 1 2 321.384 1.743 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cn2c(n1)CCC2 ZINC001027890470 738778743 /nfs/dbraw/zinc/77/87/43/738778743.db2.gz APBYJGIAPQYVHP-LBPRGKRZSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cn2c(n1)CCC2 ZINC001027890470 738778748 /nfs/dbraw/zinc/77/87/48/738778748.db2.gz APBYJGIAPQYVHP-LBPRGKRZSA-N 1 2 308.813 1.776 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@H]3CCc4ccccc43)[C@H]2C1 ZINC001083228577 734518315 /nfs/dbraw/zinc/51/83/15/734518315.db2.gz JGQYUIGUHMQTKT-NZSAHSFTSA-N 1 2 324.424 1.651 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@H]3CCc4ccccc43)[C@H]2C1 ZINC001083228577 734518318 /nfs/dbraw/zinc/51/83/18/734518318.db2.gz JGQYUIGUHMQTKT-NZSAHSFTSA-N 1 2 324.424 1.651 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4ccncc4c3)[C@H]2C1 ZINC001083241550 734699769 /nfs/dbraw/zinc/69/97/69/734699769.db2.gz MPPBLXRWKCTZEV-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4ccncc4c3)[C@H]2C1 ZINC001083241550 734699770 /nfs/dbraw/zinc/69/97/70/734699770.db2.gz MPPBLXRWKCTZEV-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnc2n1CCOC2 ZINC001027946648 738846360 /nfs/dbraw/zinc/84/63/60/738846360.db2.gz VPHDQBSRFGZBDX-LBPRGKRZSA-N 1 2 324.812 1.360 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnc2n1CCOC2 ZINC001027946648 738846368 /nfs/dbraw/zinc/84/63/68/738846368.db2.gz VPHDQBSRFGZBDX-LBPRGKRZSA-N 1 2 324.812 1.360 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3cccnc3)[C@H]2C1 ZINC001083251388 734840071 /nfs/dbraw/zinc/84/00/71/734840071.db2.gz OCJPUTPGQVVISY-BHYGNILZSA-N 1 2 313.401 1.120 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3cccnc3)[C@H]2C1 ZINC001083251388 734840073 /nfs/dbraw/zinc/84/00/73/734840073.db2.gz OCJPUTPGQVVISY-BHYGNILZSA-N 1 2 313.401 1.120 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cc(OC)ncn1 ZINC001024439545 735828132 /nfs/dbraw/zinc/82/81/32/735828132.db2.gz BOIZBLFHBXTQFB-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cc(OC)ncn1 ZINC001024439545 735828135 /nfs/dbraw/zinc/82/81/35/735828135.db2.gz BOIZBLFHBXTQFB-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001024490182 735867019 /nfs/dbraw/zinc/86/70/19/735867019.db2.gz IZRMUHWOBRDNEX-MJBXVCDLSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001024490182 735867024 /nfs/dbraw/zinc/86/70/24/735867024.db2.gz IZRMUHWOBRDNEX-MJBXVCDLSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCN(C)C1=O ZINC001024605541 735975386 /nfs/dbraw/zinc/97/53/86/735975386.db2.gz XOMGNSGVQOXVQK-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCN(C)C1=O ZINC001024605541 735975388 /nfs/dbraw/zinc/97/53/88/735975388.db2.gz XOMGNSGVQOXVQK-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H]1CNC(=O)c1snnc1C(C)C ZINC001024727894 736053372 /nfs/dbraw/zinc/05/33/72/736053372.db2.gz QZCFUXPDMGBOHF-LBPRGKRZSA-N 1 2 306.435 1.879 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1snnc1C(C)C ZINC001024727894 736053376 /nfs/dbraw/zinc/05/33/76/736053376.db2.gz QZCFUXPDMGBOHF-LBPRGKRZSA-N 1 2 306.435 1.879 20 30 DDEDLO C#CCN1CCCC[C@@H]1CNC(=O)c1c[nH+]ccc1N(C)C ZINC001024764374 736075339 /nfs/dbraw/zinc/07/53/39/736075339.db2.gz QAHWSYDVJHTMSY-CQSZACIVSA-N 1 2 300.406 1.365 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)c3cccc(C)c3)C2)nn1 ZINC001098604083 736826369 /nfs/dbraw/zinc/82/63/69/736826369.db2.gz GNPUWKXFFHQUIA-QGZVFWFLSA-N 1 2 323.400 1.397 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3csc(C4CC4)n3)[C@H]2C1 ZINC001083290375 737119433 /nfs/dbraw/zinc/11/94/33/737119433.db2.gz XAJUOEZZBLTYNJ-UONOGXRCSA-N 1 2 319.430 1.732 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3csc(C4CC4)n3)[C@H]2C1 ZINC001083290375 737119435 /nfs/dbraw/zinc/11/94/35/737119435.db2.gz XAJUOEZZBLTYNJ-UONOGXRCSA-N 1 2 319.430 1.732 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C(CC)(CC)CC)C2)nn1 ZINC001105349773 738977935 /nfs/dbraw/zinc/97/79/35/738977935.db2.gz QVCCOUHTNVWVRS-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001125935162 737710041 /nfs/dbraw/zinc/71/00/41/737710041.db2.gz UJMTUKJWBNZNNG-UHFFFAOYSA-N 1 2 306.410 1.767 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@H]3CC[C@@H](C)C3)C2)nn1 ZINC001105303994 737789421 /nfs/dbraw/zinc/78/94/21/737789421.db2.gz XUOJPUVACSFEGV-KGLIPLIRSA-N 1 2 315.421 1.211 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)CCCC(C)C)C2)nn1 ZINC001105334190 737934255 /nfs/dbraw/zinc/93/42/55/737934255.db2.gz YVNRTLWGWSEPCO-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO CC(C)c1noc([C@@H](C)[NH2+]CCNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001125978117 738039281 /nfs/dbraw/zinc/03/92/81/738039281.db2.gz LTYNVUCVWVAQJZ-SNVBAGLBSA-N 1 2 316.365 1.473 20 30 DDEDLO CC(C)c1cnc(C[NH2+]CCNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001125994761 738106561 /nfs/dbraw/zinc/10/65/61/738106561.db2.gz JEKQBAHXPSZGQU-UHFFFAOYSA-N 1 2 301.350 1.517 20 30 DDEDLO O=C(CC1CC1)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001083307373 738320230 /nfs/dbraw/zinc/32/02/30/738320230.db2.gz BIDCKVJMGAXRIC-SJORKVTESA-N 1 2 316.376 1.139 20 30 DDEDLO O=C(CC1CC1)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001083307373 738320233 /nfs/dbraw/zinc/32/02/33/738320233.db2.gz BIDCKVJMGAXRIC-SJORKVTESA-N 1 2 316.376 1.139 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc2nnnn2c1 ZINC001028027524 738947483 /nfs/dbraw/zinc/94/74/83/738947483.db2.gz ZBDMUUVTIDPUKI-GFCCVEGCSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc2nnnn2c1 ZINC001028027524 738947484 /nfs/dbraw/zinc/94/74/84/738947484.db2.gz ZBDMUUVTIDPUKI-GFCCVEGCSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001028134733 739078057 /nfs/dbraw/zinc/07/80/57/739078057.db2.gz FAFGOMTYLLOUBH-FRRDWIJNSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001028134733 739078062 /nfs/dbraw/zinc/07/80/62/739078062.db2.gz FAFGOMTYLLOUBH-FRRDWIJNSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1ccn(C)n1 ZINC001028143106 739085275 /nfs/dbraw/zinc/08/52/75/739085275.db2.gz YHTXHSJNYYBEGD-OAGGEKHMSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1ccn(C)n1 ZINC001028143106 739085278 /nfs/dbraw/zinc/08/52/78/739085278.db2.gz YHTXHSJNYYBEGD-OAGGEKHMSA-N 1 2 318.421 1.092 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1CNC(=O)c1cnc([C@@H]2CCCO2)s1 ZINC001028188202 739138268 /nfs/dbraw/zinc/13/82/68/739138268.db2.gz WSQFUMGJPWMXPY-OLZOCXBDSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc([C@@H]2CCCO2)s1 ZINC001028188202 739138271 /nfs/dbraw/zinc/13/82/71/739138271.db2.gz WSQFUMGJPWMXPY-OLZOCXBDSA-N 1 2 319.430 1.822 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnn(CC)c3)[C@@H]2C1 ZINC001075623357 739239090 /nfs/dbraw/zinc/23/90/90/739239090.db2.gz MNMYXPBDVWHSJF-GXTWGEPZSA-N 1 2 308.813 1.802 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnn(CC)c3)[C@@H]2C1 ZINC001075623357 739239091 /nfs/dbraw/zinc/23/90/91/739239091.db2.gz MNMYXPBDVWHSJF-GXTWGEPZSA-N 1 2 308.813 1.802 20 30 DDEDLO Cn1cnc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)c1 ZINC001038164196 739247646 /nfs/dbraw/zinc/24/76/46/739247646.db2.gz QGWVGHKTEATZMR-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cnc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)c1 ZINC001038164196 739247649 /nfs/dbraw/zinc/24/76/49/739247649.db2.gz QGWVGHKTEATZMR-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001035369463 751433634 /nfs/dbraw/zinc/43/36/34/751433634.db2.gz CMVARHHYEFOQBH-CABCVRRESA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001035369463 751433640 /nfs/dbraw/zinc/43/36/40/751433640.db2.gz CMVARHHYEFOQBH-CABCVRRESA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCN1C(C)=O ZINC001028337458 739374673 /nfs/dbraw/zinc/37/46/73/739374673.db2.gz MOPBOBQFAIQYMD-UONOGXRCSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCN1C(C)=O ZINC001028337458 739374675 /nfs/dbraw/zinc/37/46/75/739374675.db2.gz MOPBOBQFAIQYMD-UONOGXRCSA-N 1 2 313.829 1.330 20 30 DDEDLO Cc1nc(NCCC[C@H](C)NC(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001114892918 751461189 /nfs/dbraw/zinc/46/11/89/751461189.db2.gz APGFXSWZFYZJKQ-NSHDSACASA-N 1 2 312.377 1.995 20 30 DDEDLO Cc1cc(N(C)CCN(C)C(=O)[C@H](C)C#N)nc(C(C)C)[nH+]1 ZINC001105371971 739706859 /nfs/dbraw/zinc/70/68/59/739706859.db2.gz NVBXEPYDGVNARO-GFCCVEGCSA-N 1 2 303.410 1.963 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccsc2COC)C1 ZINC001035416678 751498473 /nfs/dbraw/zinc/49/84/73/751498473.db2.gz MDEQWZNTTFEIHB-GFCCVEGCSA-N 1 2 310.419 1.511 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccsc2COC)C1 ZINC001035416678 751498477 /nfs/dbraw/zinc/49/84/77/751498477.db2.gz MDEQWZNTTFEIHB-GFCCVEGCSA-N 1 2 310.419 1.511 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098697193 740404559 /nfs/dbraw/zinc/40/45/59/740404559.db2.gz DCFLJNMMNRIOPR-ZDUSSCGKSA-N 1 2 309.389 1.323 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(OC)ncn3)[C@@H]2C1 ZINC001075798652 740550998 /nfs/dbraw/zinc/55/09/98/740550998.db2.gz JKBWTFRSXDUIBG-WCQYABFASA-N 1 2 322.796 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(OC)ncn3)[C@@H]2C1 ZINC001075798652 740551000 /nfs/dbraw/zinc/55/10/00/740551000.db2.gz JKBWTFRSXDUIBG-WCQYABFASA-N 1 2 322.796 1.384 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CCNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001059314389 740608168 /nfs/dbraw/zinc/60/81/68/740608168.db2.gz TUXWJRIEGHVWJA-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C(C)C)cn2)C1 ZINC001035506800 751563314 /nfs/dbraw/zinc/56/33/14/751563314.db2.gz XLIMSGPDCJLOMV-HNNXBMFYSA-N 1 2 303.406 1.822 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C(C)C)cn2)C1 ZINC001035506800 751563316 /nfs/dbraw/zinc/56/33/16/751563316.db2.gz XLIMSGPDCJLOMV-HNNXBMFYSA-N 1 2 303.406 1.822 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098710494 740869017 /nfs/dbraw/zinc/86/90/17/740869017.db2.gz DOUQHDCSQROGCX-CQSZACIVSA-N 1 2 309.389 1.467 20 30 DDEDLO C[N@@H+](Cc1nncs1)C[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001017128254 751589974 /nfs/dbraw/zinc/58/99/74/751589974.db2.gz SDNYRWMSMYXTHI-CQSZACIVSA-N 1 2 318.446 1.622 20 30 DDEDLO C[N@H+](Cc1nncs1)C[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001017128254 751589979 /nfs/dbraw/zinc/58/99/79/751589979.db2.gz SDNYRWMSMYXTHI-CQSZACIVSA-N 1 2 318.446 1.622 20 30 DDEDLO CCc1nc(C[NH2+][C@@H]2CCCCCN(C(=O)[C@H](C)C#N)C2)no1 ZINC001088279821 741318159 /nfs/dbraw/zinc/31/81/59/741318159.db2.gz BEYVSDIOSRVLAL-CHWSQXEVSA-N 1 2 319.409 1.652 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc4n(n3)CCC4)[C@@H]2C1 ZINC001075862515 741335481 /nfs/dbraw/zinc/33/54/81/741335481.db2.gz QSPUXIHOKVBJLX-SWLSCSKDSA-N 1 2 320.824 1.728 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc4n(n3)CCC4)[C@@H]2C1 ZINC001075862515 741335486 /nfs/dbraw/zinc/33/54/86/741335486.db2.gz QSPUXIHOKVBJLX-SWLSCSKDSA-N 1 2 320.824 1.728 20 30 DDEDLO O=C(C#CC1CC1)N1CCCCC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001088310984 741368880 /nfs/dbraw/zinc/36/88/80/741368880.db2.gz KOHZJGULZZPHHY-CQSZACIVSA-N 1 2 318.446 1.812 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098740934 741575985 /nfs/dbraw/zinc/57/59/85/741575985.db2.gz WJXNMLOIMCSHLI-CQSZACIVSA-N 1 2 323.416 1.713 20 30 DDEDLO C=CCCCC(=O)NCC[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001059867035 741810897 /nfs/dbraw/zinc/81/08/97/741810897.db2.gz IOIIKPQLADVGGS-HNNXBMFYSA-N 1 2 318.421 1.594 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CC23CCOCC3)C1 ZINC001035627191 751699433 /nfs/dbraw/zinc/69/94/33/751699433.db2.gz KBBDIDPZRMCQKP-HUUCEWRRSA-N 1 2 308.422 1.196 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2CC23CCOCC3)C1 ZINC001035627191 751699434 /nfs/dbraw/zinc/69/94/34/751699434.db2.gz KBBDIDPZRMCQKP-HUUCEWRRSA-N 1 2 308.422 1.196 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2c(F)c(F)cc(F)c2F)C1 ZINC001014534625 742224612 /nfs/dbraw/zinc/22/46/12/742224612.db2.gz VKFBPIFTNUMFJA-QMMMGPOBSA-N 1 2 300.255 1.680 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2c(F)c(F)cc(F)c2F)C1 ZINC001014534625 742224616 /nfs/dbraw/zinc/22/46/16/742224616.db2.gz VKFBPIFTNUMFJA-QMMMGPOBSA-N 1 2 300.255 1.680 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014612625 742302792 /nfs/dbraw/zinc/30/27/92/742302792.db2.gz UHFRGQFARCOOKY-STQMWFEESA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014612625 742302796 /nfs/dbraw/zinc/30/27/96/742302796.db2.gz UHFRGQFARCOOKY-STQMWFEESA-N 1 2 313.829 1.186 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076319749 742662938 /nfs/dbraw/zinc/66/29/38/742662938.db2.gz HMDJNOZWECHKGT-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnn(C)c3OC)[C@@H]2C1 ZINC001076507246 742756010 /nfs/dbraw/zinc/75/60/10/742756010.db2.gz ZGRJJJIKESHDMP-WCQYABFASA-N 1 2 324.812 1.328 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnn(C)c3OC)[C@@H]2C1 ZINC001076507246 742756012 /nfs/dbraw/zinc/75/60/12/742756012.db2.gz ZGRJJJIKESHDMP-WCQYABFASA-N 1 2 324.812 1.328 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccco1)C2 ZINC001110239094 742917795 /nfs/dbraw/zinc/91/77/95/742917795.db2.gz OAACIHCXRLNUPJ-DGAVXFQQSA-N 1 2 317.389 1.305 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccco1)C2 ZINC001110239094 742917801 /nfs/dbraw/zinc/91/78/01/742917801.db2.gz OAACIHCXRLNUPJ-DGAVXFQQSA-N 1 2 317.389 1.305 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(CC)no2)C1 ZINC001181599959 743260438 /nfs/dbraw/zinc/26/04/38/743260438.db2.gz UQFCJOSKUZKZEM-NWDGAFQWSA-N 1 2 308.382 1.086 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nnc(CC)o2)C1 ZINC001181617388 743274447 /nfs/dbraw/zinc/27/44/47/743274447.db2.gz BGNGBGLDAIIEBV-VXGBXAGGSA-N 1 2 308.382 1.086 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCc1cnn2c1C[N@H+](C(C)C)CC2 ZINC001128245373 743363140 /nfs/dbraw/zinc/36/31/40/743363140.db2.gz NPKGCJHVDKLGKF-AWEZNQCLSA-N 1 2 320.437 1.705 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCc1cnn2c1C[N@@H+](C(C)C)CC2 ZINC001128245373 743363147 /nfs/dbraw/zinc/36/31/47/743363147.db2.gz NPKGCJHVDKLGKF-AWEZNQCLSA-N 1 2 320.437 1.705 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[NH2+]Cc1nc(CCOC)no1 ZINC001128242000 743367737 /nfs/dbraw/zinc/36/77/37/743367737.db2.gz IBHIYWANKMSBAR-UHFFFAOYSA-N 1 2 310.398 1.067 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc3ccccc3c2C)C1 ZINC001077408526 743451413 /nfs/dbraw/zinc/45/14/13/743451413.db2.gz FPZREMXXXXMNAF-QZTJIDSGSA-N 1 2 323.396 1.341 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc3ccccc3c2C)C1 ZINC001077408526 743451415 /nfs/dbraw/zinc/45/14/15/743451415.db2.gz FPZREMXXXXMNAF-QZTJIDSGSA-N 1 2 323.396 1.341 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001061175233 743529699 /nfs/dbraw/zinc/52/96/99/743529699.db2.gz GYZVJPFQRIZZMR-NHYWBVRUSA-N 1 2 315.421 1.989 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2C[NH+](CCc3cnn(C)c3)C2)C1 ZINC001043812057 743565150 /nfs/dbraw/zinc/56/51/50/743565150.db2.gz VTTMSXRHWAZRHI-UHFFFAOYSA-N 1 2 302.422 1.462 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2ccc[nH]2)s1 ZINC001038050934 743606773 /nfs/dbraw/zinc/60/67/73/743606773.db2.gz GXMQZTLNFYJSDO-LLVKDONJSA-N 1 2 300.387 1.952 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2ccc[nH]2)s1 ZINC001038050934 743606778 /nfs/dbraw/zinc/60/67/78/743606778.db2.gz GXMQZTLNFYJSDO-LLVKDONJSA-N 1 2 300.387 1.952 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098672443 743637746 /nfs/dbraw/zinc/63/77/46/743637746.db2.gz FKZHXHNIZPSYJJ-CQSZACIVSA-N 1 2 321.400 1.160 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001077436418 743658301 /nfs/dbraw/zinc/65/83/01/743658301.db2.gz DUAXJTKULMJYMK-CHWSQXEVSA-N 1 2 324.783 1.586 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001077436418 743658303 /nfs/dbraw/zinc/65/83/03/743658303.db2.gz DUAXJTKULMJYMK-CHWSQXEVSA-N 1 2 324.783 1.586 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001212148304 743746760 /nfs/dbraw/zinc/74/67/60/743746760.db2.gz GWXZZXUUTGQTJI-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001212148304 743746762 /nfs/dbraw/zinc/74/67/62/743746762.db2.gz GWXZZXUUTGQTJI-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](CCC)OC)CC2)C1 ZINC001105717117 743755960 /nfs/dbraw/zinc/75/59/60/743755960.db2.gz OOUISSYWMWBMCX-MRXNPFEDSA-N 1 2 310.438 1.679 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([NH2+]Cc3cnsn3)C2)CCC1 ZINC001183185746 743792593 /nfs/dbraw/zinc/79/25/93/743792593.db2.gz SXRLASZRGTYKIN-GFCCVEGCSA-N 1 2 304.419 1.422 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC1C[NH+](C[C@@H]2CCCCO2)C1 ZINC001030332498 744056093 /nfs/dbraw/zinc/05/60/93/744056093.db2.gz MWXYZSIEQCXWBR-XHSDSOJGSA-N 1 2 308.422 1.337 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H](NC(=O)c3ccn[nH]3)C2)s1 ZINC001006804091 751885690 /nfs/dbraw/zinc/88/56/90/751885690.db2.gz HUJAYYPNGYJFRK-NSHDSACASA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H](NC(=O)c3ccn[nH]3)C2)s1 ZINC001006804091 751885703 /nfs/dbraw/zinc/88/57/03/751885703.db2.gz HUJAYYPNGYJFRK-NSHDSACASA-N 1 2 315.402 1.737 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001105991090 744167075 /nfs/dbraw/zinc/16/70/75/744167075.db2.gz YTZPUQJOYVZZHR-CQSZACIVSA-N 1 2 304.394 1.442 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2(C)CCC3(CC2)OCCO3)CC1 ZINC001185689912 744267933 /nfs/dbraw/zinc/26/79/33/744267933.db2.gz DOAKYOGBAHSGHS-UHFFFAOYSA-N 1 2 308.422 1.640 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CC(C)C)C2)nn1 ZINC001185841756 744298774 /nfs/dbraw/zinc/29/87/74/744298774.db2.gz BXNSUHVVKXMDJM-MRXNPFEDSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)/C=C/C3CC3)C2)nn1 ZINC001185915303 744313962 /nfs/dbraw/zinc/31/39/62/744313962.db2.gz BZXHXKRPBUIYKU-WAVCKPEOSA-N 1 2 313.405 1.131 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)/C(C)=C\C)C2)nn1 ZINC001185916650 744314049 /nfs/dbraw/zinc/31/40/49/744314049.db2.gz QYKMGSDXTOHEOI-KSKAUBAUSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001185984023 744317254 /nfs/dbraw/zinc/31/72/54/744317254.db2.gz JBLNOHYUPZYMDK-AWEZNQCLSA-N 1 2 323.416 1.713 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H]2CCN(C(=O)CCOC)[C@@H]2C1 ZINC001186630799 744423667 /nfs/dbraw/zinc/42/36/67/744423667.db2.gz VAMNLHCLHOXOQE-NWDGAFQWSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H]2CCN(C(=O)CCOC)[C@@H]2C1 ZINC001186630799 744423669 /nfs/dbraw/zinc/42/36/69/744423669.db2.gz VAMNLHCLHOXOQE-NWDGAFQWSA-N 1 2 317.227 1.464 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)C[C@@H]2CC[C@H](C(F)(F)F)O2)C1 ZINC001030712613 744509992 /nfs/dbraw/zinc/50/99/92/744509992.db2.gz UKQBHNIXNPNWEC-NWDGAFQWSA-N 1 2 304.312 1.310 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001187319903 744548309 /nfs/dbraw/zinc/54/83/09/744548309.db2.gz FQZRGEXIOYIVQX-GOEBONIOSA-N 1 2 318.421 1.138 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001187319903 744548313 /nfs/dbraw/zinc/54/83/13/744548313.db2.gz FQZRGEXIOYIVQX-GOEBONIOSA-N 1 2 318.421 1.138 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCCO2)C1 ZINC001046120326 744769544 /nfs/dbraw/zinc/76/95/44/744769544.db2.gz AAFKZTFEDAEMRM-YPMHNXCESA-N 1 2 317.227 1.655 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCCO2)C1 ZINC001046120326 744769548 /nfs/dbraw/zinc/76/95/48/744769548.db2.gz AAFKZTFEDAEMRM-YPMHNXCESA-N 1 2 317.227 1.655 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)nn1C ZINC001188958575 744799178 /nfs/dbraw/zinc/79/91/78/744799178.db2.gz HZBTUSKXQTUXAV-AWEZNQCLSA-N 1 2 321.450 1.018 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)nn1C ZINC001188958575 744799180 /nfs/dbraw/zinc/79/91/80/744799180.db2.gz HZBTUSKXQTUXAV-AWEZNQCLSA-N 1 2 321.450 1.018 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)s1 ZINC001188959419 744799548 /nfs/dbraw/zinc/79/95/48/744799548.db2.gz SYSPVNUAVNKZDP-LBPRGKRZSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)s1 ZINC001188959419 744799549 /nfs/dbraw/zinc/79/95/49/744799549.db2.gz SYSPVNUAVNKZDP-LBPRGKRZSA-N 1 2 324.475 1.741 20 30 DDEDLO C=C1CCC(C(=O)NC2C[NH+](C[C@H]3CCc4ncnn43)C2)CC1 ZINC001030903618 744803705 /nfs/dbraw/zinc/80/37/05/744803705.db2.gz NZBMOFNDNDSMNN-OAHLLOKOSA-N 1 2 315.421 1.312 20 30 DDEDLO C=C1CC(C)(C(=O)NC2C[NH+](C[C@H](O)Cc3ccccc3)C2)C1 ZINC001030924108 744844661 /nfs/dbraw/zinc/84/46/61/744844661.db2.gz JLLCLPSCYYZGAN-QGZVFWFLSA-N 1 2 314.429 1.747 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190003930 745077245 /nfs/dbraw/zinc/07/72/45/745077245.db2.gz JZQJSTBXXKOVQQ-JSGCOSHPSA-N 1 2 304.394 1.631 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190003930 745077249 /nfs/dbraw/zinc/07/72/49/745077249.db2.gz JZQJSTBXXKOVQQ-JSGCOSHPSA-N 1 2 304.394 1.631 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001190053487 745092558 /nfs/dbraw/zinc/09/25/58/745092558.db2.gz JDSHMNZLCJTTHX-LSDHHAIUSA-N 1 2 300.406 1.730 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001190053487 745092565 /nfs/dbraw/zinc/09/25/65/745092565.db2.gz JDSHMNZLCJTTHX-LSDHHAIUSA-N 1 2 300.406 1.730 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001190084942 745107348 /nfs/dbraw/zinc/10/73/48/745107348.db2.gz UMZUXQUTAZCGQG-MRXNPFEDSA-N 1 2 316.449 1.985 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001190084942 745107349 /nfs/dbraw/zinc/10/73/49/745107349.db2.gz UMZUXQUTAZCGQG-MRXNPFEDSA-N 1 2 316.449 1.985 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001190354743 745190911 /nfs/dbraw/zinc/19/09/11/745190911.db2.gz OZYYDORTRMMBGV-HNNXBMFYSA-N 1 2 317.437 1.616 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001190354743 745190916 /nfs/dbraw/zinc/19/09/16/745190916.db2.gz OZYYDORTRMMBGV-HNNXBMFYSA-N 1 2 317.437 1.616 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001190439598 745220229 /nfs/dbraw/zinc/22/02/29/745220229.db2.gz AXHAHJJHJMRGTD-KCQAQPDRSA-N 1 2 305.378 1.298 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001190439598 745220234 /nfs/dbraw/zinc/22/02/34/745220234.db2.gz AXHAHJJHJMRGTD-KCQAQPDRSA-N 1 2 305.378 1.298 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1C[C@@H]2CCC[C@H](NCC#N)[C@H]2C1 ZINC000992867177 745251993 /nfs/dbraw/zinc/25/19/93/745251993.db2.gz AGKCBEGSHUNPOT-BPUTZDHNSA-N 1 2 315.421 1.093 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1C[C@@H]2CCC[C@H](NCC#N)[C@@H]2C1 ZINC000992867186 745252026 /nfs/dbraw/zinc/25/20/26/745252026.db2.gz AGKCBEGSHUNPOT-IMJJTQAJSA-N 1 2 315.421 1.093 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](CC#Cc2cccc(Cl)c2)C[C@H]1O ZINC001190684725 745295640 /nfs/dbraw/zinc/29/56/40/745295640.db2.gz KFISFQICDJSWTF-HZPDHXFCSA-N 1 2 320.820 1.653 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2cccc(Cl)c2)C[C@H]1O ZINC001190684725 745295644 /nfs/dbraw/zinc/29/56/44/745295644.db2.gz KFISFQICDJSWTF-HZPDHXFCSA-N 1 2 320.820 1.653 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)cn1 ZINC001007027552 751990315 /nfs/dbraw/zinc/99/03/15/751990315.db2.gz FQZWLJLYDQHONC-HNNXBMFYSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1ncc(C[N@H+]2CCC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)cn1 ZINC001007027552 751990318 /nfs/dbraw/zinc/99/03/18/751990318.db2.gz FQZWLJLYDQHONC-HNNXBMFYSA-N 1 2 324.388 1.379 20 30 DDEDLO CC(C)c1cccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001191855086 745618469 /nfs/dbraw/zinc/61/84/69/745618469.db2.gz WGOVOTLIHDZUME-DAXOMENPSA-N 1 2 316.405 1.026 20 30 DDEDLO CC(C)c1cccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001191855086 745618472 /nfs/dbraw/zinc/61/84/72/745618472.db2.gz WGOVOTLIHDZUME-DAXOMENPSA-N 1 2 316.405 1.026 20 30 DDEDLO C[C@H](CCNc1ncc(C#N)cc1F)NC(=O)Cn1cc[nH+]c1 ZINC001106362502 745630829 /nfs/dbraw/zinc/63/08/29/745630829.db2.gz NEWBPPWMOZDYMW-LLVKDONJSA-N 1 2 316.340 1.296 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@H]1O ZINC001192770642 745886424 /nfs/dbraw/zinc/88/64/24/745886424.db2.gz OZHKRLCANARUEL-HZPDHXFCSA-N 1 2 318.804 1.415 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@H]1O ZINC001192770642 745886430 /nfs/dbraw/zinc/88/64/30/745886430.db2.gz OZHKRLCANARUEL-HZPDHXFCSA-N 1 2 318.804 1.415 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](Cc3ccc(C)cc3)C2)nc1 ZINC001031277497 746121688 /nfs/dbraw/zinc/12/16/88/746121688.db2.gz IZALOZNYFUSIBD-UHFFFAOYSA-N 1 2 305.381 1.986 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(CC)CCC(F)(F)CC2)C1 ZINC001194228363 746313704 /nfs/dbraw/zinc/31/37/04/746313704.db2.gz UMVXNQZNCIHQQF-CHWSQXEVSA-N 1 2 314.376 1.387 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(CC)CCC(F)(F)CC2)C1 ZINC001194228363 746313706 /nfs/dbraw/zinc/31/37/06/746313706.db2.gz UMVXNQZNCIHQQF-CHWSQXEVSA-N 1 2 314.376 1.387 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H]2C=CCC2)CC1 ZINC001194761950 746459825 /nfs/dbraw/zinc/45/98/25/746459825.db2.gz OMQMGWVGOFCPDA-HNNXBMFYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H]2C=CCC2)CC1 ZINC001194761950 746459826 /nfs/dbraw/zinc/45/98/26/746459826.db2.gz OMQMGWVGOFCPDA-HNNXBMFYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195245718 746555454 /nfs/dbraw/zinc/55/54/54/746555454.db2.gz HEQZUBLFYAXVEP-ZIAGYGMSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195245718 746555457 /nfs/dbraw/zinc/55/54/57/746555457.db2.gz HEQZUBLFYAXVEP-ZIAGYGMSSA-N 1 2 323.462 1.715 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2c[nH+]ccc2N(C)C)[C@H]1C ZINC000994270242 746556250 /nfs/dbraw/zinc/55/62/50/746556250.db2.gz QMLJCZWUWAXYJI-UKRRQHHQSA-N 1 2 300.406 1.364 20 30 DDEDLO C#CCN1CCC[C@@H](NC(=O)c2c[nH+]ccc2N(C)C)[C@H]1C ZINC000994270242 746556260 /nfs/dbraw/zinc/55/62/60/746556260.db2.gz QMLJCZWUWAXYJI-UKRRQHHQSA-N 1 2 300.406 1.364 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001195733014 746679523 /nfs/dbraw/zinc/67/95/23/746679523.db2.gz VNVLINUOHSGZEP-HUUCEWRRSA-N 1 2 319.430 1.637 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001195733014 746679525 /nfs/dbraw/zinc/67/95/25/746679525.db2.gz VNVLINUOHSGZEP-HUUCEWRRSA-N 1 2 319.430 1.637 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+]([C@@H](C)C(=O)NCC(C)C)CC1 ZINC001195754315 746686154 /nfs/dbraw/zinc/68/61/54/746686154.db2.gz LJEFSIROEQVMKX-INIZCTEOSA-N 1 2 321.465 1.341 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+]([C@@H](C)C(=O)NCC(C)C)CC1 ZINC001195754315 746686158 /nfs/dbraw/zinc/68/61/58/746686158.db2.gz LJEFSIROEQVMKX-INIZCTEOSA-N 1 2 321.465 1.341 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2ccsc2C)CC1 ZINC001195754428 746686992 /nfs/dbraw/zinc/68/69/92/746686992.db2.gz NJFOPKCAQUTPQU-UHFFFAOYSA-N 1 2 321.446 1.507 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2ccsc2C)CC1 ZINC001195754428 746686997 /nfs/dbraw/zinc/68/69/97/746686997.db2.gz NJFOPKCAQUTPQU-UHFFFAOYSA-N 1 2 321.446 1.507 20 30 DDEDLO Cc1cc(C[N@H+]2CCCN(C(=O)C#CC(C)C)CC2)ncn1 ZINC001195775325 746702054 /nfs/dbraw/zinc/70/20/54/746702054.db2.gz VZIBEMMBONYLFV-UHFFFAOYSA-N 1 2 300.406 1.479 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCN(C(=O)C#CC(C)C)CC2)ncn1 ZINC001195775325 746702058 /nfs/dbraw/zinc/70/20/58/746702058.db2.gz VZIBEMMBONYLFV-UHFFFAOYSA-N 1 2 300.406 1.479 20 30 DDEDLO Cc1ncc(C[N@H+]2CCCN(C(=O)C#CC(C)C)CC2)cn1 ZINC001195775389 746702108 /nfs/dbraw/zinc/70/21/08/746702108.db2.gz ZFTYJUPXSXUUKH-UHFFFAOYSA-N 1 2 300.406 1.479 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCCN(C(=O)C#CC(C)C)CC2)cn1 ZINC001195775389 746702112 /nfs/dbraw/zinc/70/21/12/746702112.db2.gz ZFTYJUPXSXUUKH-UHFFFAOYSA-N 1 2 300.406 1.479 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785557 746704380 /nfs/dbraw/zinc/70/43/80/746704380.db2.gz OQKDUGRDVKVWRB-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785557 746704383 /nfs/dbraw/zinc/70/43/83/746704383.db2.gz OQKDUGRDVKVWRB-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2cnc(COC)s2)[C@H]1C ZINC000994517034 746713922 /nfs/dbraw/zinc/71/39/22/746713922.db2.gz IBKSIFNCTBAYER-OLZOCXBDSA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc(COC)s2)[C@H]1C ZINC000994517034 746713925 /nfs/dbraw/zinc/71/39/25/746713925.db2.gz IBKSIFNCTBAYER-OLZOCXBDSA-N 1 2 321.446 1.896 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2Oc3ccccc3O[C@@H]2C)C1 ZINC001031377139 746714553 /nfs/dbraw/zinc/71/45/53/746714553.db2.gz DYNMEGVZRYEGIX-WBMJQRKESA-N 1 2 302.374 1.591 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1cncc(C#N)n1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089380153 746757537 /nfs/dbraw/zinc/75/75/37/746757537.db2.gz HSHNKAIYPPHNNG-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)OC2CCCCCC2)C1 ZINC001196060800 746764175 /nfs/dbraw/zinc/76/41/75/746764175.db2.gz ALLXTTXWQKRXIM-DJIMGWMZSA-N 1 2 322.449 1.299 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)OC2CCCCCC2)C1 ZINC001196060800 746764179 /nfs/dbraw/zinc/76/41/79/746764179.db2.gz ALLXTTXWQKRXIM-DJIMGWMZSA-N 1 2 322.449 1.299 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2Cc3cc(C)c(C)cc3O2)C1 ZINC001031417220 746777581 /nfs/dbraw/zinc/77/75/81/746777581.db2.gz BMBZLFRDTRHEOW-KRWDZBQOSA-N 1 2 300.402 1.983 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CC(=O)N([C@H](C)CCC)C2)C1 ZINC001031435329 746803543 /nfs/dbraw/zinc/80/35/43/746803543.db2.gz XVWLHISWAFAWGJ-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO CCc1cccnc1C(=O)N1CCC[N@H+](CC#CCOC)CC1 ZINC001196382338 746849812 /nfs/dbraw/zinc/84/98/12/746849812.db2.gz OFVMXJYUMKQZPX-UHFFFAOYSA-N 1 2 315.417 1.442 20 30 DDEDLO CCc1cccnc1C(=O)N1CCC[N@@H+](CC#CCOC)CC1 ZINC001196382338 746849820 /nfs/dbraw/zinc/84/98/20/746849820.db2.gz OFVMXJYUMKQZPX-UHFFFAOYSA-N 1 2 315.417 1.442 20 30 DDEDLO CC(C)[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001196559700 746906303 /nfs/dbraw/zinc/90/63/03/746906303.db2.gz IITNLLDLVCDHJY-CGTJXYLNSA-N 1 2 314.429 1.492 20 30 DDEDLO CC(C)[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001196559700 746906305 /nfs/dbraw/zinc/90/63/05/746906305.db2.gz IITNLLDLVCDHJY-CGTJXYLNSA-N 1 2 314.429 1.492 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cccnc3)C2)cc1F ZINC001031512352 746925762 /nfs/dbraw/zinc/92/57/62/746925762.db2.gz KYJDDYWMVQFKQV-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)NCC1C[NH+](Cc2ccc(C#N)c(F)c2)C1 ZINC001031522105 746951514 /nfs/dbraw/zinc/95/15/14/746951514.db2.gz PWLFBGZSTWSCIW-NHYWBVRUSA-N 1 2 301.365 1.901 20 30 DDEDLO C#CCCCCCC(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001196770684 746968913 /nfs/dbraw/zinc/96/89/13/746968913.db2.gz PKQYJKBBEICWFX-UHFFFAOYSA-N 1 2 321.465 1.631 20 30 DDEDLO C#CCCCCCC(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001196770684 746968920 /nfs/dbraw/zinc/96/89/20/746968920.db2.gz PKQYJKBBEICWFX-UHFFFAOYSA-N 1 2 321.465 1.631 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2csc(C)n2)CC1 ZINC001196910364 747006528 /nfs/dbraw/zinc/00/65/28/747006528.db2.gz NNBNSPUDIQOHGR-UHFFFAOYSA-N 1 2 307.419 1.249 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2csc(C)n2)CC1 ZINC001196910364 747006532 /nfs/dbraw/zinc/00/65/32/747006532.db2.gz NNBNSPUDIQOHGR-UHFFFAOYSA-N 1 2 307.419 1.249 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@](C)(C=C)CC)CC1 ZINC001197036222 747043807 /nfs/dbraw/zinc/04/38/07/747043807.db2.gz CBNHBHUKTJSJQX-YJBOKZPZSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@](C)(C=C)CC)CC1 ZINC001197036222 747043814 /nfs/dbraw/zinc/04/38/14/747043814.db2.gz CBNHBHUKTJSJQX-YJBOKZPZSA-N 1 2 319.449 1.261 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3nonc3C)CC2)cc1 ZINC001003411703 747188993 /nfs/dbraw/zinc/18/89/93/747188993.db2.gz SJTYXNJXVOPABH-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO N#Cc1ccc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)[nH]1 ZINC001031621290 747216638 /nfs/dbraw/zinc/21/66/38/747216638.db2.gz XBDGOSMOSZKYJV-UHFFFAOYSA-N 1 2 319.368 1.620 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cnoc2C(C)C)CC1 ZINC001197669465 747228373 /nfs/dbraw/zinc/22/83/73/747228373.db2.gz PAUMVCKLEJNEGE-UHFFFAOYSA-N 1 2 319.405 1.596 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cnoc2C(C)C)CC1 ZINC001197669465 747228379 /nfs/dbraw/zinc/22/83/79/747228379.db2.gz PAUMVCKLEJNEGE-UHFFFAOYSA-N 1 2 319.405 1.596 20 30 DDEDLO C#Cc1cncc(C(=O)NC2CC[NH+](Cc3nocc3C)CC2)c1 ZINC001003597930 747312109 /nfs/dbraw/zinc/31/21/09/747312109.db2.gz BRNYXPSAIKVEMY-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)NC2CC[NH+](Cc3ccon3)CC2)c1 ZINC001003593710 747316580 /nfs/dbraw/zinc/31/65/80/747316580.db2.gz CGLYQDRWHKMTSW-UHFFFAOYSA-N 1 2 310.357 1.445 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(C)cc2)C1 ZINC001108058961 747415061 /nfs/dbraw/zinc/41/50/61/747415061.db2.gz HOFBNOAEDLVCHW-SFHVURJKSA-N 1 2 302.418 1.931 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(C)cc2)C1 ZINC001108058961 747415064 /nfs/dbraw/zinc/41/50/64/747415064.db2.gz HOFBNOAEDLVCHW-SFHVURJKSA-N 1 2 302.418 1.931 20 30 DDEDLO C=CCn1cc(C(=O)NC2CC[NH+](CC(=C)Cl)CC2)nn1 ZINC001003760149 747447956 /nfs/dbraw/zinc/44/79/56/747447956.db2.gz YCVAYYLKCWUQRO-UHFFFAOYSA-N 1 2 309.801 1.411 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCc2cccc(F)c2)C1 ZINC001198863821 747634134 /nfs/dbraw/zinc/63/41/34/747634134.db2.gz PPRFJBVEZJTQLZ-KBRIMQKVSA-N 1 2 318.392 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCc2cccc(F)c2)C1 ZINC001198863821 747634136 /nfs/dbraw/zinc/63/41/36/747634136.db2.gz PPRFJBVEZJTQLZ-KBRIMQKVSA-N 1 2 318.392 1.189 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2ccns2)CC1 ZINC001004457017 748449317 /nfs/dbraw/zinc/44/93/17/748449317.db2.gz JIHISQLDXYLIFQ-ZDUSSCGKSA-N 1 2 304.419 1.983 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2ccns2)CC1 ZINC001004457017 748449323 /nfs/dbraw/zinc/44/93/23/748449323.db2.gz JIHISQLDXYLIFQ-ZDUSSCGKSA-N 1 2 304.419 1.983 20 30 DDEDLO C=CC[N@H+]1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001089871385 748510570 /nfs/dbraw/zinc/51/05/70/748510570.db2.gz YNXBLWJKAWTJCI-TUUVXOQKSA-N 1 2 300.406 1.307 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001089871385 748510574 /nfs/dbraw/zinc/51/05/74/748510574.db2.gz YNXBLWJKAWTJCI-TUUVXOQKSA-N 1 2 300.406 1.307 20 30 DDEDLO Cc1nccc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004621853 748589241 /nfs/dbraw/zinc/58/92/41/748589241.db2.gz KFRVQARUNJUIKB-MRXNPFEDSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1nccc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004621853 748589244 /nfs/dbraw/zinc/58/92/44/748589244.db2.gz KFRVQARUNJUIKB-MRXNPFEDSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1ccccc1-c1noc(C[NH2+]CCNC(=O)[C@@H](C)C#N)n1 ZINC001124902646 748623626 /nfs/dbraw/zinc/62/36/26/748623626.db2.gz LPEAWGUJPOILEU-LBPRGKRZSA-N 1 2 313.361 1.411 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+]Cc1nnc(C(C)C)o1)OCC ZINC001128528017 748739580 /nfs/dbraw/zinc/73/95/80/748739580.db2.gz HTNFKSWOXXWMBK-ZDUSSCGKSA-N 1 2 324.425 1.770 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC1CC1 ZINC001110607496 748820188 /nfs/dbraw/zinc/82/01/88/748820188.db2.gz XBRFKXOIOOCZEE-OAGGEKHMSA-N 1 2 319.449 1.590 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC1CC1 ZINC001110607496 748820193 /nfs/dbraw/zinc/82/01/93/748820193.db2.gz XBRFKXOIOOCZEE-OAGGEKHMSA-N 1 2 319.449 1.590 20 30 DDEDLO Cc1cc(N(C)[C@H]2CCN(C(=O)[C@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001056871350 761904101 /nfs/dbraw/zinc/90/41/01/761904101.db2.gz JGMIHGOISNBMBZ-RISCZKNCSA-N 1 2 313.405 1.859 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@]1(C)CCN(c2ccc(C#N)cn2)C1 ZINC001110773176 748999008 /nfs/dbraw/zinc/99/90/08/748999008.db2.gz CHUDGFMXNDGPHW-QGZVFWFLSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114353423 749106029 /nfs/dbraw/zinc/10/60/29/749106029.db2.gz RUGYBMRVNWKCDT-FOLVSLTJSA-N 1 2 303.410 1.201 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114353423 749106035 /nfs/dbraw/zinc/10/60/35/749106035.db2.gz RUGYBMRVNWKCDT-FOLVSLTJSA-N 1 2 303.410 1.201 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(C)CC(F)(F)C2)C1 ZINC001108336758 761936633 /nfs/dbraw/zinc/93/66/33/761936633.db2.gz LMMMUHKAHQZBTM-HNNXBMFYSA-N 1 2 314.376 1.652 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(C)CC(F)(F)C2)C1 ZINC001108336758 761936634 /nfs/dbraw/zinc/93/66/34/761936634.db2.gz LMMMUHKAHQZBTM-HNNXBMFYSA-N 1 2 314.376 1.652 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CN(C(=O)C2=NC(=O)N(C)C2)CC1(C)C ZINC000996229320 749440549 /nfs/dbraw/zinc/44/05/49/749440549.db2.gz SFPALFVAXAQQES-NSHDSACASA-N 1 2 312.801 1.318 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001039348787 761954857 /nfs/dbraw/zinc/95/48/57/761954857.db2.gz CVQBCZCRAOXOKU-UHTWSYAYSA-N 1 2 319.434 1.572 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001039348787 761954859 /nfs/dbraw/zinc/95/48/59/761954859.db2.gz CVQBCZCRAOXOKU-UHTWSYAYSA-N 1 2 319.434 1.572 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001033629602 749631698 /nfs/dbraw/zinc/63/16/98/749631698.db2.gz PNCNJDATVXAEMJ-STQMWFEESA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001033629602 749631702 /nfs/dbraw/zinc/63/17/02/749631702.db2.gz PNCNJDATVXAEMJ-STQMWFEESA-N 1 2 313.829 1.283 20 30 DDEDLO Cn1ccc(C[N@H+]2CC=C(CNC(=O)c3c[nH]c(C#N)c3)CC2)n1 ZINC001000589212 761969838 /nfs/dbraw/zinc/96/98/38/761969838.db2.gz VRGYUPLMIDUBPU-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO Cn1ccc(C[N@@H+]2CC=C(CNC(=O)c3c[nH]c(C#N)c3)CC2)n1 ZINC001000589212 761969849 /nfs/dbraw/zinc/96/98/49/761969849.db2.gz VRGYUPLMIDUBPU-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCc2sccc2C1)[C@H]1CCN(CC#N)C1 ZINC001033683031 749711396 /nfs/dbraw/zinc/71/13/96/749711396.db2.gz DSLVFPNWMGLISQ-AWEZNQCLSA-N 1 2 318.446 1.162 20 30 DDEDLO CN(C(=O)C[N@H+]1CCc2sccc2C1)[C@H]1CCN(CC#N)C1 ZINC001033683031 749711401 /nfs/dbraw/zinc/71/14/01/749711401.db2.gz DSLVFPNWMGLISQ-AWEZNQCLSA-N 1 2 318.446 1.162 20 30 DDEDLO C=CCOCC(=O)N1CC[C@](C)(CNc2cc[nH+]c(C)n2)C1 ZINC001110838853 749743392 /nfs/dbraw/zinc/74/33/92/749743392.db2.gz QEVBLAJMIOJFRA-MRXNPFEDSA-N 1 2 304.394 1.638 20 30 DDEDLO C#CCC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCc3cn[nH]c31)C2 ZINC001095417392 749878518 /nfs/dbraw/zinc/87/85/18/749878518.db2.gz PQEIUVMNDNPOTB-WCVJEAGWSA-N 1 2 312.417 1.574 20 30 DDEDLO C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCc3cn[nH]c31)C2 ZINC001095417392 749878524 /nfs/dbraw/zinc/87/85/24/749878524.db2.gz PQEIUVMNDNPOTB-WCVJEAGWSA-N 1 2 312.417 1.574 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](OC)C2CCCC2)C1 ZINC001108369725 761989244 /nfs/dbraw/zinc/98/92/44/761989244.db2.gz YIDWYKWKAOFWMS-DOTOQJQBSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](OC)C2CCCC2)C1 ZINC001108369725 761989253 /nfs/dbraw/zinc/98/92/53/761989253.db2.gz YIDWYKWKAOFWMS-DOTOQJQBSA-N 1 2 310.438 1.585 20 30 DDEDLO N#CCN1CC[C@@]2(CNC(=O)CCc3c[nH]c[nH+]3)CCC[C@@H]12 ZINC001107432659 749950070 /nfs/dbraw/zinc/95/00/70/749950070.db2.gz SWOPTOXLVNUQTO-GDBMZVCRSA-N 1 2 301.394 1.227 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066757838 749950855 /nfs/dbraw/zinc/95/08/55/749950855.db2.gz BCRBVOIOXHVQOI-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cccnc1N1CC=C(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001127773990 749954854 /nfs/dbraw/zinc/95/48/54/749954854.db2.gz CGEACIUIZHKEGN-UHFFFAOYSA-N 1 2 322.372 1.172 20 30 DDEDLO C=CCCC(=O)NCCCN(C)c1ncnc2c1C[N@H+](C)CC2 ZINC001095495981 749970620 /nfs/dbraw/zinc/97/06/20/749970620.db2.gz QLSMGCHFRLJZSW-UHFFFAOYSA-N 1 2 317.437 1.373 20 30 DDEDLO C=CCCC(=O)NCCCN(C)c1ncnc2c1C[N@@H+](C)CC2 ZINC001095495981 749970626 /nfs/dbraw/zinc/97/06/26/749970626.db2.gz QLSMGCHFRLJZSW-UHFFFAOYSA-N 1 2 317.437 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCCNC2=O)C1 ZINC001033863267 750005995 /nfs/dbraw/zinc/00/59/95/750005995.db2.gz UXHQBXNQTXIUMX-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCCNC2=O)C1 ZINC001033863267 750006003 /nfs/dbraw/zinc/00/60/03/750006003.db2.gz UXHQBXNQTXIUMX-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO CCN(C(=O)[C@@H]1CCc2[nH+]c(C)[nH]c2C1)[C@@H]1CCN(CC#N)C1 ZINC001033878005 750017636 /nfs/dbraw/zinc/01/76/36/750017636.db2.gz LNYQQFYBPZMMLE-ZIAGYGMSSA-N 1 2 315.421 1.269 20 30 DDEDLO CCN(C(=O)[C@@H]1CCc2[nH]c(C)[nH+]c2C1)[C@@H]1CCN(CC#N)C1 ZINC001033878005 750017646 /nfs/dbraw/zinc/01/76/46/750017646.db2.gz LNYQQFYBPZMMLE-ZIAGYGMSSA-N 1 2 315.421 1.269 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cnn3ccccc23)C1 ZINC001014767063 750041970 /nfs/dbraw/zinc/04/19/70/750041970.db2.gz LCBFRZBNFLDURP-CQSZACIVSA-N 1 2 318.808 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cnn3ccccc23)C1 ZINC001014767063 750041975 /nfs/dbraw/zinc/04/19/75/750041975.db2.gz LCBFRZBNFLDURP-CQSZACIVSA-N 1 2 318.808 1.820 20 30 DDEDLO C[C@H](CC(=O)NC/C=C/CNc1ncccc1C#N)n1cc[nH+]c1 ZINC001107546212 750111863 /nfs/dbraw/zinc/11/18/63/750111863.db2.gz WRECDTFRTFZCAK-BAABZTOOSA-N 1 2 324.388 1.885 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC1CC1 ZINC001110964460 750258708 /nfs/dbraw/zinc/25/87/08/750258708.db2.gz LVRDXFUKDPQHOA-OAGGEKHMSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC1CC1 ZINC001110964460 750258713 /nfs/dbraw/zinc/25/87/13/750258713.db2.gz LVRDXFUKDPQHOA-OAGGEKHMSA-N 1 2 319.449 1.590 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cn(CC)nn2)C1 ZINC001034006011 750268112 /nfs/dbraw/zinc/26/81/12/750268112.db2.gz YPPZVOUEBRECBM-LBPRGKRZSA-N 1 2 311.817 1.587 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cn(CC)nn2)C1 ZINC001034006011 750268118 /nfs/dbraw/zinc/26/81/18/750268118.db2.gz YPPZVOUEBRECBM-LBPRGKRZSA-N 1 2 311.817 1.587 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnon1)C2 ZINC001111729492 750273505 /nfs/dbraw/zinc/27/35/05/750273505.db2.gz QZKKPXCHUVFDFB-BBRMVZONSA-N 1 2 302.378 1.344 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnon1)C2 ZINC001111729492 750273508 /nfs/dbraw/zinc/27/35/08/750273508.db2.gz QZKKPXCHUVFDFB-BBRMVZONSA-N 1 2 302.378 1.344 20 30 DDEDLO CC(C)CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001111052916 750335026 /nfs/dbraw/zinc/33/50/26/750335026.db2.gz HVLFKWFFJQPMFL-KFWWJZLASA-N 1 2 320.437 1.174 20 30 DDEDLO CC(C)CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001111052916 750335030 /nfs/dbraw/zinc/33/50/30/750335030.db2.gz HVLFKWFFJQPMFL-KFWWJZLASA-N 1 2 320.437 1.174 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)onc2CC)C1 ZINC001108393174 762033442 /nfs/dbraw/zinc/03/34/42/762033442.db2.gz KZRVVRYYPVSRKM-MRXNPFEDSA-N 1 2 307.394 1.552 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)onc2CC)C1 ZINC001108393174 762033450 /nfs/dbraw/zinc/03/34/50/762033450.db2.gz KZRVVRYYPVSRKM-MRXNPFEDSA-N 1 2 307.394 1.552 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2CCCCO2)C1 ZINC001107860595 750469050 /nfs/dbraw/zinc/46/90/50/750469050.db2.gz SJABDZYUTPMILS-DOTOQJQBSA-N 1 2 308.422 1.176 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2CCCCO2)C1 ZINC001107860595 750469053 /nfs/dbraw/zinc/46/90/53/750469053.db2.gz SJABDZYUTPMILS-DOTOQJQBSA-N 1 2 308.422 1.176 20 30 DDEDLO C=C(C)C[N@@H+]1CCCC[C@H](NC(=O)[C@@H]2CCCS2(=O)=O)C1 ZINC001034534411 750530757 /nfs/dbraw/zinc/53/07/57/750530757.db2.gz JQEVMGLDHDGPGL-KBPBESRZSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CCCC[C@H](NC(=O)[C@@H]2CCCS2(=O)=O)C1 ZINC001034534411 750530759 /nfs/dbraw/zinc/53/07/59/750530759.db2.gz JQEVMGLDHDGPGL-KBPBESRZSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)sn2)[C@@H](O)C1 ZINC001090226945 750648696 /nfs/dbraw/zinc/64/86/96/750648696.db2.gz DXXVCCYNYVIZAX-PWSUYJOCSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)sn2)[C@@H](O)C1 ZINC001090226945 750648702 /nfs/dbraw/zinc/64/87/02/750648702.db2.gz DXXVCCYNYVIZAX-PWSUYJOCSA-N 1 2 315.826 1.369 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CCC)C1=O ZINC001017299083 750897660 /nfs/dbraw/zinc/89/76/60/750897660.db2.gz SKZNHFVMYGYKGA-ZNMIVQPWSA-N 1 2 305.422 1.249 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CCC)C1=O ZINC001017299083 750897667 /nfs/dbraw/zinc/89/76/67/750897667.db2.gz SKZNHFVMYGYKGA-ZNMIVQPWSA-N 1 2 305.422 1.249 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114718825 751020362 /nfs/dbraw/zinc/02/03/62/751020362.db2.gz VNWRNLKDASMTCQ-UYHMYPTGSA-N 1 2 315.421 1.414 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114718825 751020368 /nfs/dbraw/zinc/02/03/68/751020368.db2.gz VNWRNLKDASMTCQ-UYHMYPTGSA-N 1 2 315.421 1.414 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)C1CCOCC1 ZINC001032512586 751143673 /nfs/dbraw/zinc/14/36/73/751143673.db2.gz YFUFPBFTWRZATA-HOTGVXAUSA-N 1 2 304.434 1.748 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)C1CCOCC1 ZINC001032512586 751143676 /nfs/dbraw/zinc/14/36/76/751143676.db2.gz YFUFPBFTWRZATA-HOTGVXAUSA-N 1 2 304.434 1.748 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)[nH]nc1C(F)(F)F ZINC001038523024 751187880 /nfs/dbraw/zinc/18/78/80/751187880.db2.gz LYFKEWQCPYWPKE-JTQLQIEISA-N 1 2 314.311 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)[nH]nc1C(F)(F)F ZINC001038523024 751187882 /nfs/dbraw/zinc/18/78/82/751187882.db2.gz LYFKEWQCPYWPKE-JTQLQIEISA-N 1 2 314.311 1.564 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1O[C@H](C)C2 ZINC001032525479 751192141 /nfs/dbraw/zinc/19/21/41/751192141.db2.gz SFRAOUPNRAMTLS-KBMXLJTQSA-N 1 2 310.397 1.932 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1O[C@H](C)C2 ZINC001032525479 751192146 /nfs/dbraw/zinc/19/21/46/751192146.db2.gz SFRAOUPNRAMTLS-KBMXLJTQSA-N 1 2 310.397 1.932 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CCC2(CCN(CC#N)C2)CC1 ZINC001035208851 751308801 /nfs/dbraw/zinc/30/88/01/751308801.db2.gz DQLGPSORZZHZJV-UHFFFAOYSA-N 1 2 315.421 1.191 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(N2CC=CC2)c1 ZINC001032653089 752622637 /nfs/dbraw/zinc/62/26/37/752622637.db2.gz LWLTVPONCKAFCF-OALUTQOASA-N 1 2 321.424 1.985 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(N2CC=CC2)c1 ZINC001032653089 752622645 /nfs/dbraw/zinc/62/26/45/752622645.db2.gz LWLTVPONCKAFCF-OALUTQOASA-N 1 2 321.424 1.985 20 30 DDEDLO Cn1ncc(C(=O)N[C@@H]2CCC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001008860781 752949902 /nfs/dbraw/zinc/94/99/02/752949902.db2.gz BGEYGPXRDDMPBI-MRXNPFEDSA-N 1 2 323.400 1.061 20 30 DDEDLO Cn1ncc(C(=O)N[C@@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001008860781 752949905 /nfs/dbraw/zinc/94/99/05/752949905.db2.gz BGEYGPXRDDMPBI-MRXNPFEDSA-N 1 2 323.400 1.061 20 30 DDEDLO Cc1cc(N(C)[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001056955279 762192143 /nfs/dbraw/zinc/19/21/43/762192143.db2.gz AYLZXKJDHZNBIG-CQSZACIVSA-N 1 2 324.388 1.265 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001009620330 753282062 /nfs/dbraw/zinc/28/20/62/753282062.db2.gz RXLVWLCQBFGIOV-OLZOCXBDSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001009620330 753282068 /nfs/dbraw/zinc/28/20/68/753282068.db2.gz RXLVWLCQBFGIOV-OLZOCXBDSA-N 1 2 323.828 1.174 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1cc[n+]([O-])cc1 ZINC001010034175 753561257 /nfs/dbraw/zinc/56/12/57/753561257.db2.gz VRBQNFNBOBIBSL-SFHVURJKSA-N 1 2 321.380 1.176 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cc[n+]([O-])cc1 ZINC001010034175 753561262 /nfs/dbraw/zinc/56/12/62/753561262.db2.gz VRBQNFNBOBIBSL-SFHVURJKSA-N 1 2 321.380 1.176 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(C(F)(F)F)c2)C1 ZINC001078059759 753691389 /nfs/dbraw/zinc/69/13/89/753691389.db2.gz AQOKAQBWKUPBHN-CHWSQXEVSA-N 1 2 312.291 1.114 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(C(F)(F)F)c2)C1 ZINC001078059759 753691395 /nfs/dbraw/zinc/69/13/95/753691395.db2.gz AQOKAQBWKUPBHN-CHWSQXEVSA-N 1 2 312.291 1.114 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3ccn[nH]3)C2)c(F)c1 ZINC001010265992 753722129 /nfs/dbraw/zinc/72/21/29/753722129.db2.gz FPILIQPHOHXWPG-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3ccn[nH]3)C2)c(F)c1 ZINC001010265992 753722133 /nfs/dbraw/zinc/72/21/33/753722133.db2.gz FPILIQPHOHXWPG-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@H](NC(=O)c3cn[nH]c3)C2)c1 ZINC001010296199 753743956 /nfs/dbraw/zinc/74/39/56/753743956.db2.gz BEONELRAVAJAOH-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@H](NC(=O)c3cn[nH]c3)C2)c1 ZINC001010296199 753743957 /nfs/dbraw/zinc/74/39/57/753743957.db2.gz BEONELRAVAJAOH-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(OC)c(Cl)c2)C1 ZINC001078152036 753795514 /nfs/dbraw/zinc/79/55/14/753795514.db2.gz ZXPOTLFCJJQMMY-ZIAGYGMSSA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(OC)c(Cl)c2)C1 ZINC001078152036 753795515 /nfs/dbraw/zinc/79/55/15/753795515.db2.gz ZXPOTLFCJJQMMY-ZIAGYGMSSA-N 1 2 322.792 1.147 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3cncs3)C2)c1 ZINC001010460484 753941638 /nfs/dbraw/zinc/94/16/38/753941638.db2.gz AMIKULOKICCAFS-NSHDSACASA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3cncs3)C2)c1 ZINC001010460484 753941642 /nfs/dbraw/zinc/94/16/42/753941642.db2.gz AMIKULOKICCAFS-NSHDSACASA-N 1 2 301.375 1.347 20 30 DDEDLO Cn1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)c1 ZINC001010562344 754024720 /nfs/dbraw/zinc/02/47/20/754024720.db2.gz PZFQCRPBOJSIQD-QGZVFWFLSA-N 1 2 308.385 1.901 20 30 DDEDLO Cn1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001010562344 754024725 /nfs/dbraw/zinc/02/47/25/754024725.db2.gz PZFQCRPBOJSIQD-QGZVFWFLSA-N 1 2 308.385 1.901 20 30 DDEDLO N#Cc1cnccc1N1CCCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001063203982 754041296 /nfs/dbraw/zinc/04/12/96/754041296.db2.gz WNLZFDZSVFUFKE-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cccnc1N1CCCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001063235533 754055021 /nfs/dbraw/zinc/05/50/21/754055021.db2.gz QOHMIMUUHKSESZ-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001063480731 754185329 /nfs/dbraw/zinc/18/53/29/754185329.db2.gz JDPNBKJJEXTNBE-CQSZACIVSA-N 1 2 324.388 1.134 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001078753820 754350698 /nfs/dbraw/zinc/35/06/98/754350698.db2.gz IVCFSLNJYMDONM-BLLLJJGKSA-N 1 2 306.410 1.566 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H]3CCC[C@@H](C2)[N@H+]3CC#CC)nc1 ZINC001039979499 762296770 /nfs/dbraw/zinc/29/67/70/762296770.db2.gz YMYKITUTZNUYPF-CALCHBBNSA-N 1 2 307.397 1.765 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H]3CCC[C@@H](C2)[N@@H+]3CC#CC)nc1 ZINC001039979499 762296774 /nfs/dbraw/zinc/29/67/74/762296774.db2.gz YMYKITUTZNUYPF-CALCHBBNSA-N 1 2 307.397 1.765 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064833270 754875779 /nfs/dbraw/zinc/87/57/79/754875779.db2.gz XAGVNJZBKJXRNR-STQMWFEESA-N 1 2 324.388 1.710 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H](C)N(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001013048966 755308431 /nfs/dbraw/zinc/30/84/31/755308431.db2.gz LEPMAALPJQAWTM-UONOGXRCSA-N 1 2 316.405 1.345 20 30 DDEDLO CCN(C(=O)C[C@H](C)n1cc[nH+]c1)C1CN(C(=O)[C@H](C)C#N)C1 ZINC001079791436 755575925 /nfs/dbraw/zinc/57/59/25/755575925.db2.gz GEZCFGMBMDMWAK-OLZOCXBDSA-N 1 2 317.393 1.053 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001079831173 755601105 /nfs/dbraw/zinc/60/11/05/755601105.db2.gz MWJGPRNUOLDNFE-MEBBXXQBSA-N 1 2 323.824 1.890 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001079831173 755601107 /nfs/dbraw/zinc/60/11/07/755601107.db2.gz MWJGPRNUOLDNFE-MEBBXXQBSA-N 1 2 323.824 1.890 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080467412 755929537 /nfs/dbraw/zinc/92/95/37/755929537.db2.gz TTXDHFXYSJEKKY-KRWDZBQOSA-N 1 2 318.421 1.614 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001080698622 756057918 /nfs/dbraw/zinc/05/79/18/756057918.db2.gz BHZDAKWMIOQNDO-XJKCOSOUSA-N 1 2 314.433 1.608 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001080698622 756057923 /nfs/dbraw/zinc/05/79/23/756057923.db2.gz BHZDAKWMIOQNDO-XJKCOSOUSA-N 1 2 314.433 1.608 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001014999354 756063633 /nfs/dbraw/zinc/06/36/33/756063633.db2.gz ILNCLYXYTSIMKR-QWHCGFSZSA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001014999354 756063635 /nfs/dbraw/zinc/06/36/35/756063635.db2.gz ILNCLYXYTSIMKR-QWHCGFSZSA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001080847935 756120994 /nfs/dbraw/zinc/12/09/94/756120994.db2.gz TVTZMAJDKLXRIS-BXKDBHETSA-N 1 2 307.785 1.465 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001080847935 756120995 /nfs/dbraw/zinc/12/09/95/756120995.db2.gz TVTZMAJDKLXRIS-BXKDBHETSA-N 1 2 307.785 1.465 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1NC(=O)C1CC1 ZINC001081254704 756252798 /nfs/dbraw/zinc/25/27/98/756252798.db2.gz DSXSWARKQOZEPJ-HZPDHXFCSA-N 1 2 317.364 1.423 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1NC(=O)C1CC1 ZINC001081254704 756252800 /nfs/dbraw/zinc/25/28/00/756252800.db2.gz DSXSWARKQOZEPJ-HZPDHXFCSA-N 1 2 317.364 1.423 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)C1CCC1 ZINC001081258572 756255065 /nfs/dbraw/zinc/25/50/65/756255065.db2.gz XAJGRUHOPSKEKX-IAGOWNOFSA-N 1 2 313.401 1.674 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)C1CCC1 ZINC001081258572 756255068 /nfs/dbraw/zinc/25/50/68/756255068.db2.gz XAJGRUHOPSKEKX-IAGOWNOFSA-N 1 2 313.401 1.674 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015460822 756317632 /nfs/dbraw/zinc/31/76/32/756317632.db2.gz WSFQCMBCVIZHET-INIZCTEOSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015460822 756317637 /nfs/dbraw/zinc/31/76/37/756317637.db2.gz WSFQCMBCVIZHET-INIZCTEOSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001015479914 756331935 /nfs/dbraw/zinc/33/19/35/756331935.db2.gz PPKQETQNYOGSQN-JTQLQIEISA-N 1 2 316.390 1.051 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001015479914 756331940 /nfs/dbraw/zinc/33/19/40/756331940.db2.gz PPKQETQNYOGSQN-JTQLQIEISA-N 1 2 316.390 1.051 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccnc(Cl)c2)C[C@@H]1O ZINC001099726474 756392039 /nfs/dbraw/zinc/39/20/39/756392039.db2.gz HVEBIGJESRLFNR-KGLIPLIRSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccnc(Cl)c2)C[C@@H]1O ZINC001099726474 756392043 /nfs/dbraw/zinc/39/20/43/756392043.db2.gz HVEBIGJESRLFNR-KGLIPLIRSA-N 1 2 323.824 1.753 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)c(OC)c2)[C@H](OC)C1 ZINC001081916892 756534143 /nfs/dbraw/zinc/53/41/43/756534143.db2.gz IWMUKLRMQIZABQ-GDBMZVCRSA-N 1 2 302.374 1.066 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(OC)c2)[C@H](OC)C1 ZINC001081916892 756534147 /nfs/dbraw/zinc/53/41/47/756534147.db2.gz IWMUKLRMQIZABQ-GDBMZVCRSA-N 1 2 302.374 1.066 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cncs3)C[C@H]2OC)C1 ZINC001082111868 756645460 /nfs/dbraw/zinc/64/54/60/756645460.db2.gz MHDOVEQVRXCOAL-ZIAGYGMSSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cncs3)C[C@H]2OC)C1 ZINC001082111868 756645463 /nfs/dbraw/zinc/64/54/63/756645463.db2.gz MHDOVEQVRXCOAL-ZIAGYGMSSA-N 1 2 321.446 1.815 20 30 DDEDLO C=CCCCC(=O)N1CCCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001082261584 756713224 /nfs/dbraw/zinc/71/32/24/756713224.db2.gz VUGSBJOZKLDSTC-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)s2)[C@H](OC)C1 ZINC001082355675 756748944 /nfs/dbraw/zinc/74/89/44/756748944.db2.gz JDOKLOBQRDLJJO-GHMZBOCLSA-N 1 2 312.822 1.854 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)s2)[C@H](OC)C1 ZINC001082355675 756748947 /nfs/dbraw/zinc/74/89/47/756748947.db2.gz JDOKLOBQRDLJJO-GHMZBOCLSA-N 1 2 312.822 1.854 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)n1 ZINC001082450665 756791640 /nfs/dbraw/zinc/79/16/40/756791640.db2.gz DDCREWPVTMSNAO-QJPTWQEYSA-N 1 2 305.382 1.118 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001016313037 756920609 /nfs/dbraw/zinc/92/06/09/756920609.db2.gz FEAJMTQKNKICKN-GFCCVEGCSA-N 1 2 309.797 1.961 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001016313037 756920611 /nfs/dbraw/zinc/92/06/11/756920611.db2.gz FEAJMTQKNKICKN-GFCCVEGCSA-N 1 2 309.797 1.961 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCO[C@@H]3C[N@@H+](C/C=C/Cl)C[C@@H]32)c1 ZINC001083040576 757089567 /nfs/dbraw/zinc/08/95/67/757089567.db2.gz TZYFCNZETBCXFM-OHVOQOPOSA-N 1 2 320.780 1.164 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCO[C@@H]3C[N@H+](C/C=C/Cl)C[C@@H]32)c1 ZINC001083040576 757089575 /nfs/dbraw/zinc/08/95/75/757089575.db2.gz TZYFCNZETBCXFM-OHVOQOPOSA-N 1 2 320.780 1.164 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCC(C)=C(C)C3)[C@H]2C1 ZINC001083091385 757132210 /nfs/dbraw/zinc/13/22/10/757132210.db2.gz MRIUTCIYOJFVBK-YESZJQIVSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCC(C)=C(C)C3)[C@H]2C1 ZINC001083091385 757132212 /nfs/dbraw/zinc/13/22/12/757132212.db2.gz MRIUTCIYOJFVBK-YESZJQIVSA-N 1 2 302.418 1.668 20 30 DDEDLO O=C(N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccccc1)c1cn[nH]n1 ZINC001097412135 757157190 /nfs/dbraw/zinc/15/71/90/757157190.db2.gz NHVIERHSAYTLGK-INMHGKMJSA-N 1 2 321.384 1.192 20 30 DDEDLO O=C(N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccccc1)c1cn[nH]n1 ZINC001097412135 757157192 /nfs/dbraw/zinc/15/71/92/757157192.db2.gz NHVIERHSAYTLGK-INMHGKMJSA-N 1 2 321.384 1.192 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N1CCO[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001083166627 757171731 /nfs/dbraw/zinc/17/17/31/757171731.db2.gz CUUNRWAKTHWEMV-DSLXNQLJSA-N 1 2 324.424 1.606 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N1CCO[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001083166627 757171736 /nfs/dbraw/zinc/17/17/36/757171736.db2.gz CUUNRWAKTHWEMV-DSLXNQLJSA-N 1 2 324.424 1.606 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3c(s2)CC[C@@H](C)C3)[C@@H](O)C1 ZINC001084046100 757259581 /nfs/dbraw/zinc/25/95/81/757259581.db2.gz RGAGPQMDUJWCRE-BNOWGMLFSA-N 1 2 320.458 1.834 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(s2)CC[C@@H](C)C3)[C@@H](O)C1 ZINC001084046100 757259585 /nfs/dbraw/zinc/25/95/85/757259585.db2.gz RGAGPQMDUJWCRE-BNOWGMLFSA-N 1 2 320.458 1.834 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2cnc(CC(C)C)s2)[C@@H](O)C1 ZINC001084114235 757271010 /nfs/dbraw/zinc/27/10/10/757271010.db2.gz MELKQEOFYSHYEC-OLZOCXBDSA-N 1 2 323.462 1.693 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2cnc(CC(C)C)s2)[C@@H](O)C1 ZINC001084114235 757271018 /nfs/dbraw/zinc/27/10/18/757271018.db2.gz MELKQEOFYSHYEC-OLZOCXBDSA-N 1 2 323.462 1.693 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCCc3ccccc32)[C@@H](O)C1 ZINC001084099384 757283692 /nfs/dbraw/zinc/28/36/92/757283692.db2.gz RQXZUQHROIWVHU-KSZLIROESA-N 1 2 312.413 1.291 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CCCCc3ccccc32)[C@@H](O)C1 ZINC001084099384 757283698 /nfs/dbraw/zinc/28/36/98/757283698.db2.gz RQXZUQHROIWVHU-KSZLIROESA-N 1 2 312.413 1.291 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCCc3ccccc32)[C@@H](O)C1 ZINC001084099385 757284182 /nfs/dbraw/zinc/28/41/82/757284182.db2.gz RQXZUQHROIWVHU-KURKYZTESA-N 1 2 312.413 1.291 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCCc3ccccc32)[C@@H](O)C1 ZINC001084099385 757284190 /nfs/dbraw/zinc/28/41/90/757284190.db2.gz RQXZUQHROIWVHU-KURKYZTESA-N 1 2 312.413 1.291 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3nc(CC)c[nH]3)[C@@H]2C1 ZINC001084421091 757541368 /nfs/dbraw/zinc/54/13/68/757541368.db2.gz ADFURCWOOJMCOP-TZMCWYRMSA-N 1 2 322.840 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3nc(CC)c[nH]3)[C@@H]2C1 ZINC001084421091 757541373 /nfs/dbraw/zinc/54/13/73/757541373.db2.gz ADFURCWOOJMCOP-TZMCWYRMSA-N 1 2 322.840 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cncs3)[C@@H]2C1 ZINC001084429879 757561240 /nfs/dbraw/zinc/56/12/40/757561240.db2.gz RQSITMMFUMNKEK-DGCLKSJQSA-N 1 2 311.838 1.971 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3cncs3)[C@@H]2C1 ZINC001084429879 757561243 /nfs/dbraw/zinc/56/12/43/757561243.db2.gz RQSITMMFUMNKEK-DGCLKSJQSA-N 1 2 311.838 1.971 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3[nH]c(=O)[nH]c3C)[C@@H]2C1 ZINC001084432182 757591428 /nfs/dbraw/zinc/59/14/28/757591428.db2.gz FOPZURPSUHZADA-GHMZBOCLSA-N 1 2 310.785 1.323 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3[nH]c(=O)[nH]c3C)[C@@H]2C1 ZINC001084432182 757591435 /nfs/dbraw/zinc/59/14/35/757591435.db2.gz FOPZURPSUHZADA-GHMZBOCLSA-N 1 2 310.785 1.323 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3ccc(=O)[nH]c3)[C@@H]2C1 ZINC001084526835 757640502 /nfs/dbraw/zinc/64/05/02/757640502.db2.gz MPGAPVJBKGZKHQ-ZIAGYGMSSA-N 1 2 321.808 1.615 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3ccc(=O)[nH]c3)[C@@H]2C1 ZINC001084526835 757640507 /nfs/dbraw/zinc/64/05/07/757640507.db2.gz MPGAPVJBKGZKHQ-ZIAGYGMSSA-N 1 2 321.808 1.615 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1cnc(C#N)c(C(F)(F)F)c1 ZINC001169654469 762576760 /nfs/dbraw/zinc/57/67/60/762576760.db2.gz SJXHZLZVEXKADP-JTQLQIEISA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1cnc(C#N)c(C(F)(F)F)c1 ZINC001169654469 762576766 /nfs/dbraw/zinc/57/67/66/762576766.db2.gz SJXHZLZVEXKADP-JTQLQIEISA-N 1 2 300.284 1.715 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)C)[C@@H](O)C2)c(C)o1 ZINC001099824558 757877613 /nfs/dbraw/zinc/87/76/13/757877613.db2.gz VHMTUXVHZFUZFU-HOCLYGCPSA-N 1 2 319.405 1.002 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)C)[C@@H](O)C2)c(C)o1 ZINC001099824558 757877618 /nfs/dbraw/zinc/87/76/18/757877618.db2.gz VHMTUXVHZFUZFU-HOCLYGCPSA-N 1 2 319.405 1.002 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001052866691 757903273 /nfs/dbraw/zinc/90/32/73/757903273.db2.gz IKIYUOMEWSMMKE-GJZGRUSLSA-N 1 2 318.421 1.450 20 30 DDEDLO Cc1ccncc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001017541245 758009669 /nfs/dbraw/zinc/00/96/69/758009669.db2.gz VNQZUMBMDWAIDB-HDICACEKSA-N 1 2 309.413 1.979 20 30 DDEDLO Cc1ccncc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001017541245 758009681 /nfs/dbraw/zinc/00/96/81/758009681.db2.gz VNQZUMBMDWAIDB-HDICACEKSA-N 1 2 309.413 1.979 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn(CC)n1 ZINC001017567301 758038104 /nfs/dbraw/zinc/03/81/04/758038104.db2.gz GNKAZSAIZJXMOG-IYBDPMFKSA-N 1 2 300.406 1.144 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn(CC)n1 ZINC001017567301 758038118 /nfs/dbraw/zinc/03/81/18/758038118.db2.gz GNKAZSAIZJXMOG-IYBDPMFKSA-N 1 2 300.406 1.144 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)nc1 ZINC001084906830 758048292 /nfs/dbraw/zinc/04/82/92/758048292.db2.gz IWGRSGIURVCRFL-ZNMIVQPWSA-N 1 2 313.405 1.368 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)nc1 ZINC001084906830 758048304 /nfs/dbraw/zinc/04/83/04/758048304.db2.gz IWGRSGIURVCRFL-ZNMIVQPWSA-N 1 2 313.405 1.368 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cn1ccc(C)n1 ZINC001017584670 758051179 /nfs/dbraw/zinc/05/11/79/758051179.db2.gz QGQUAJMQSQXYGX-BHYGNILZSA-N 1 2 314.433 1.526 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cn1ccc(C)n1 ZINC001017584670 758051184 /nfs/dbraw/zinc/05/11/84/758051184.db2.gz QGQUAJMQSQXYGX-BHYGNILZSA-N 1 2 314.433 1.526 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1C1CCCC1 ZINC001017592298 758060461 /nfs/dbraw/zinc/06/04/61/758060461.db2.gz HTUKOYDQJLIOIS-IYBDPMFKSA-N 1 2 312.417 1.920 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1C1CCCC1 ZINC001017592298 758060466 /nfs/dbraw/zinc/06/04/66/758060466.db2.gz HTUKOYDQJLIOIS-IYBDPMFKSA-N 1 2 312.417 1.920 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1csc(NC(C)=O)n1 ZINC001017696975 758161001 /nfs/dbraw/zinc/16/10/01/758161001.db2.gz RAUWEIZETCXCMN-TXEJJXNPSA-N 1 2 320.418 1.576 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1csc(NC(C)=O)n1 ZINC001017696975 758161002 /nfs/dbraw/zinc/16/10/02/758161002.db2.gz RAUWEIZETCXCMN-TXEJJXNPSA-N 1 2 320.418 1.576 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]ccc2n1 ZINC001017732033 758191621 /nfs/dbraw/zinc/19/16/21/758191621.db2.gz MZPUQVHCXBLRDH-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]ccc2n1 ZINC001017732033 758191628 /nfs/dbraw/zinc/19/16/28/758191628.db2.gz MZPUQVHCXBLRDH-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1[C@@H]3CCCC[C@@H]31)CCO2 ZINC001053204886 758261852 /nfs/dbraw/zinc/26/18/52/758261852.db2.gz FRISAJGWPABPEX-ZSHCYNCHSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001053269191 758324032 /nfs/dbraw/zinc/32/40/32/758324032.db2.gz WCKAHERFMLCNER-QWHCGFSZSA-N 1 2 318.421 1.375 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1sc(N(C)C)nc1C ZINC001018033793 758492981 /nfs/dbraw/zinc/49/29/81/758492981.db2.gz DMXWUQYAGVWOMW-BETUJISGSA-N 1 2 320.462 1.992 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1sc(N(C)C)nc1C ZINC001018033793 758492986 /nfs/dbraw/zinc/49/29/86/758492986.db2.gz DMXWUQYAGVWOMW-BETUJISGSA-N 1 2 320.462 1.992 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CCC)[nH]c(=O)c1 ZINC001018037272 758496058 /nfs/dbraw/zinc/49/60/58/758496058.db2.gz FRRWBKKYUPPZFK-IYBDPMFKSA-N 1 2 313.401 1.662 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CCC)[nH]c(=O)c1 ZINC001018037272 758496060 /nfs/dbraw/zinc/49/60/60/758496060.db2.gz FRRWBKKYUPPZFK-IYBDPMFKSA-N 1 2 313.401 1.662 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2ccnc2)nn1 ZINC001018063998 758517986 /nfs/dbraw/zinc/51/79/86/758517986.db2.gz VBUQSYNEGOCHNP-OKILXGFUSA-N 1 2 324.388 1.137 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2ccnc2)nn1 ZINC001018063998 758517994 /nfs/dbraw/zinc/51/79/94/758517994.db2.gz VBUQSYNEGOCHNP-OKILXGFUSA-N 1 2 324.388 1.137 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C(C)(C)C ZINC001018065631 758519138 /nfs/dbraw/zinc/51/91/38/758519138.db2.gz UQLQKXKXVZMQLE-OKILXGFUSA-N 1 2 314.433 1.640 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C(C)(C)C ZINC001018065631 758519145 /nfs/dbraw/zinc/51/91/45/758519145.db2.gz UQLQKXKXVZMQLE-OKILXGFUSA-N 1 2 314.433 1.640 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N(C)C)nn1 ZINC001018185577 758613318 /nfs/dbraw/zinc/61/33/18/758613318.db2.gz PLPGHWQMHRGQJN-BETUJISGSA-N 1 2 301.394 1.017 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N(C)C)nn1 ZINC001018185577 758613322 /nfs/dbraw/zinc/61/33/22/758613322.db2.gz PLPGHWQMHRGQJN-BETUJISGSA-N 1 2 301.394 1.017 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1OC[C@@H]3CCC[C@@H]31)O2 ZINC001053628084 758646437 /nfs/dbraw/zinc/64/64/37/758646437.db2.gz CVLRSDKKELZYSM-XUWVNRHRSA-N 1 2 320.433 1.337 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC3(C[NH+](CC=C)C3)O2)cc1 ZINC001053635368 758651640 /nfs/dbraw/zinc/65/16/40/758651640.db2.gz BFXPQLPDGLLTRC-KRWDZBQOSA-N 1 2 310.397 1.817 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Oc1ccc(F)cc1 ZINC001018250029 758667519 /nfs/dbraw/zinc/66/75/19/758667519.db2.gz WCIFWYPVOSBMRP-BMFZPTHFSA-N 1 2 316.376 1.901 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Oc1ccc(F)cc1 ZINC001018250029 758667524 /nfs/dbraw/zinc/66/75/24/758667524.db2.gz WCIFWYPVOSBMRP-BMFZPTHFSA-N 1 2 316.376 1.901 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cccnc1C)O2 ZINC001053656201 758668820 /nfs/dbraw/zinc/66/88/20/758668820.db2.gz UPNMZTFZZAAJPW-HNNXBMFYSA-N 1 2 315.417 1.929 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccn(C(C)C)n1)O2 ZINC001053673524 758683637 /nfs/dbraw/zinc/68/36/37/758683637.db2.gz VMORLYDIMGQOEG-AWEZNQCLSA-N 1 2 318.421 1.613 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncccn1)O2 ZINC001053677998 758687882 /nfs/dbraw/zinc/68/78/82/758687882.db2.gz MFZCNOMHWURJJY-ZDUSSCGKSA-N 1 2 302.378 1.016 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC)C2)CC1 ZINC001065675196 758694288 /nfs/dbraw/zinc/69/42/88/758694288.db2.gz NOPQZWNECCYXQI-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC)C2)CC1 ZINC001065676200 758695396 /nfs/dbraw/zinc/69/53/96/758695396.db2.gz HFAMFCSITBNVGO-CVEARBPZSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2cccc(F)c2O1 ZINC001018286631 758699330 /nfs/dbraw/zinc/69/93/30/758699330.db2.gz SQZYXDVWQCMPSA-LZWOXQAQSA-N 1 2 314.360 1.438 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2cccc(F)c2O1 ZINC001018286631 758699334 /nfs/dbraw/zinc/69/93/34/758699334.db2.gz SQZYXDVWQCMPSA-LZWOXQAQSA-N 1 2 314.360 1.438 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065703516 758704289 /nfs/dbraw/zinc/70/42/89/758704289.db2.gz DUVHPZLHVMLCEK-KRWDZBQOSA-N 1 2 319.449 1.520 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Oc2ccccc2[C@H]1C ZINC001018342365 758743517 /nfs/dbraw/zinc/74/35/17/758743517.db2.gz OGYSZUVKFJKBCK-BSXFFOKHSA-N 1 2 310.397 1.860 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Oc2ccccc2[C@H]1C ZINC001018342365 758743524 /nfs/dbraw/zinc/74/35/24/758743524.db2.gz OGYSZUVKFJKBCK-BSXFFOKHSA-N 1 2 310.397 1.860 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)C(C)(C)C)CC2=O)C1 ZINC001108553724 762666811 /nfs/dbraw/zinc/66/68/11/762666811.db2.gz UQXOJMKUEGGKJE-STQMWFEESA-N 1 2 307.438 1.256 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccn1CC=C)CO2 ZINC001053829374 758859610 /nfs/dbraw/zinc/85/96/10/758859610.db2.gz DHDDJOVANCNQCB-HNNXBMFYSA-N 1 2 313.401 1.271 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]nc1CCC)CO2 ZINC001053855206 758888059 /nfs/dbraw/zinc/88/80/59/758888059.db2.gz HWDMOUFYDNQGRF-CYBMUJFWSA-N 1 2 318.421 1.511 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cn(C(C)C)cn1)CO2 ZINC001053882420 758917276 /nfs/dbraw/zinc/91/72/76/758917276.db2.gz ZMFCGKFPLYXTSG-AWEZNQCLSA-N 1 2 318.421 1.613 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2COC3(C[NH+](C[C@@H](C)O)C3)C2)CC1 ZINC001053913932 758947826 /nfs/dbraw/zinc/94/78/26/758947826.db2.gz AVVRRZPEKKJCFF-HIFRSBDPSA-N 1 2 308.422 1.073 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1(C)CCCCC1)CO2 ZINC001053915167 758950132 /nfs/dbraw/zinc/95/01/32/758950132.db2.gz FPERJDMBUXUMGY-HNNXBMFYSA-N 1 2 304.434 1.940 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C(C)C)no1)CO2 ZINC001053945644 758981478 /nfs/dbraw/zinc/98/14/78/758981478.db2.gz BYXNOSVSNFDGFP-ZDUSSCGKSA-N 1 2 317.389 1.394 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)c1cccnc1)CO2 ZINC001053985226 759026079 /nfs/dbraw/zinc/02/60/79/759026079.db2.gz LUPIGCRYNAFSSB-GOEBONIOSA-N 1 2 313.401 1.168 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(F)cc(F)c1)CO2 ZINC001054002031 759048773 /nfs/dbraw/zinc/04/87/73/759048773.db2.gz PMLLPWOVIONUCC-OAHLLOKOSA-N 1 2 320.339 1.561 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)onc1CC)CO2 ZINC001054006350 759054047 /nfs/dbraw/zinc/05/40/47/759054047.db2.gz YJNFAMHYFJJRGX-CYBMUJFWSA-N 1 2 319.405 1.695 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)c1cnn(C)c1)CO2 ZINC001054026982 759077997 /nfs/dbraw/zinc/07/79/97/759077997.db2.gz ISTXCFVCRPOJTF-ZFWWWQNUSA-N 1 2 318.421 1.059 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cccnc1 ZINC001054028203 759078588 /nfs/dbraw/zinc/07/85/88/759078588.db2.gz KLNOEYFJHDXLJC-SFHVURJKSA-N 1 2 305.381 1.872 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cccnc1 ZINC001054028203 759078599 /nfs/dbraw/zinc/07/85/99/759078599.db2.gz KLNOEYFJHDXLJC-SFHVURJKSA-N 1 2 305.381 1.872 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cncnc1C ZINC001054032813 759087187 /nfs/dbraw/zinc/08/71/87/759087187.db2.gz IGUZZYQSIQQLNP-QGZVFWFLSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cncnc1C ZINC001054032813 759087199 /nfs/dbraw/zinc/08/71/99/759087199.db2.gz IGUZZYQSIQQLNP-QGZVFWFLSA-N 1 2 320.396 1.575 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@]3(C2)CCCN(C(=O)[C@H](C)C#N)C3)[nH]1 ZINC001054102168 759167155 /nfs/dbraw/zinc/16/71/55/759167155.db2.gz KZBQORHFIIZTNQ-MLGOLLRUSA-N 1 2 316.409 1.087 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@]3(C2)CCCN(C(=O)[C@H](C)C#N)C3)[nH]1 ZINC001054102168 759167162 /nfs/dbraw/zinc/16/71/62/759167162.db2.gz KZBQORHFIIZTNQ-MLGOLLRUSA-N 1 2 316.409 1.087 20 30 DDEDLO C=CCCC1(C(=O)N[C@H]2CC(=O)N(C3C[NH+](CC=C)C3)C2)CC1 ZINC001108569253 762697089 /nfs/dbraw/zinc/69/70/89/762697089.db2.gz DEFHSBSJYMLESB-AWEZNQCLSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)c2ccco2)C1=O ZINC001085457656 759426619 /nfs/dbraw/zinc/42/66/19/759426619.db2.gz PJNHNARFURHIPM-KGLIPLIRSA-N 1 2 317.389 1.213 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)c2ccco2)C1=O ZINC001085457656 759426625 /nfs/dbraw/zinc/42/66/25/759426625.db2.gz PJNHNARFURHIPM-KGLIPLIRSA-N 1 2 317.389 1.213 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](Nc2ncccc2C#N)C[C@H]1C ZINC001069129068 767864814 /nfs/dbraw/zinc/86/48/14/767864814.db2.gz CYRNEPUHUZWHQI-RISCZKNCSA-N 1 2 324.388 1.629 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001069137955 767874128 /nfs/dbraw/zinc/87/41/28/767874128.db2.gz RUNPVKUMTLDEAU-YPMHNXCESA-N 1 2 310.361 1.320 20 30 DDEDLO Cc1oncc1C[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534553 759646231 /nfs/dbraw/zinc/64/62/31/759646231.db2.gz NVKVGFBCIUGPJI-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1oncc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534553 759646235 /nfs/dbraw/zinc/64/62/35/759646235.db2.gz NVKVGFBCIUGPJI-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccncc2C#N)CN1C(=O)CCn1cc[nH+]c1 ZINC001069181217 767896183 /nfs/dbraw/zinc/89/61/83/767896183.db2.gz OPTOBQNXSYPCDZ-UKRRQHHQSA-N 1 2 324.388 1.063 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001046768273 767906303 /nfs/dbraw/zinc/90/63/03/767906303.db2.gz WEXULXORXMMPLY-BLLLJJGKSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001046768273 767906307 /nfs/dbraw/zinc/90/63/07/767906307.db2.gz WEXULXORXMMPLY-BLLLJJGKSA-N 1 2 322.840 1.848 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(-n2ccnc2)n1 ZINC001085684772 760009931 /nfs/dbraw/zinc/00/99/31/760009931.db2.gz BAYPSEUNFHRUFL-CQSZACIVSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(-n2ccnc2)n1 ZINC001085684772 760009942 /nfs/dbraw/zinc/00/99/42/760009942.db2.gz BAYPSEUNFHRUFL-CQSZACIVSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1N(C)C(C)=O ZINC001085751730 760169737 /nfs/dbraw/zinc/16/97/37/760169737.db2.gz NHOLDFHZCNDTMT-HNNXBMFYSA-N 1 2 313.401 1.449 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1N(C)C(C)=O ZINC001085751730 760169743 /nfs/dbraw/zinc/16/97/43/760169743.db2.gz NHOLDFHZCNDTMT-HNNXBMFYSA-N 1 2 313.401 1.449 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@H](C)C(=O)NC)CC1 ZINC001085800917 760270331 /nfs/dbraw/zinc/27/03/31/760270331.db2.gz FKOGDGMPOLUPKN-HIFRSBDPSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@H](C)C(=O)NC)CC1 ZINC001085800917 760270340 /nfs/dbraw/zinc/27/03/40/760270340.db2.gz FKOGDGMPOLUPKN-HIFRSBDPSA-N 1 2 307.438 1.400 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CCN2Cc2c[nH+]cn2C)c1 ZINC001085809695 760277021 /nfs/dbraw/zinc/27/70/21/760277021.db2.gz LSHDATFTLMVFKO-MRXNPFEDSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CCN2Cc2c[nH+]cn2C)c1 ZINC001085809694 760277589 /nfs/dbraw/zinc/27/75/89/760277589.db2.gz LSHDATFTLMVFKO-INIZCTEOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(NC(=O)NC)c1 ZINC001085926196 760543052 /nfs/dbraw/zinc/54/30/52/760543052.db2.gz QWDJUNLQPDCPNG-OAHLLOKOSA-N 1 2 314.389 1.217 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(NC(=O)NC)c1 ZINC001085926196 760543057 /nfs/dbraw/zinc/54/30/57/760543057.db2.gz QWDJUNLQPDCPNG-OAHLLOKOSA-N 1 2 314.389 1.217 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cc(C)no2)nc1 ZINC001085929709 760555680 /nfs/dbraw/zinc/55/56/80/760555680.db2.gz DMPUJEJBTPGQPK-OAHLLOKOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cc(C)no2)nc1 ZINC001085929709 760555687 /nfs/dbraw/zinc/55/56/87/760555687.db2.gz DMPUJEJBTPGQPK-OAHLLOKOSA-N 1 2 324.384 1.706 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc2c(s1)CCOC2 ZINC001085930229 760557830 /nfs/dbraw/zinc/55/78/30/760557830.db2.gz RHPJIRDDHIVIIH-CQSZACIVSA-N 1 2 318.442 1.990 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc2c(s1)CCOC2 ZINC001085930229 760557835 /nfs/dbraw/zinc/55/78/35/760557835.db2.gz RHPJIRDDHIVIIH-CQSZACIVSA-N 1 2 318.442 1.990 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001046840559 767973086 /nfs/dbraw/zinc/97/30/86/767973086.db2.gz GNUKGZLRFNREOO-CQSZACIVSA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001046840559 767973087 /nfs/dbraw/zinc/97/30/87/767973087.db2.gz GNUKGZLRFNREOO-CQSZACIVSA-N 1 2 310.785 1.060 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)cnn1 ZINC001038199027 760913965 /nfs/dbraw/zinc/91/39/65/760913965.db2.gz QFJLOQHJTLOMPN-KRWDZBQOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)cnn1 ZINC001038199027 760913972 /nfs/dbraw/zinc/91/39/72/760913972.db2.gz QFJLOQHJTLOMPN-KRWDZBQOSA-N 1 2 321.384 1.661 20 30 DDEDLO C[C@H]1[C@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ccc(C#N)nc1 ZINC001069374791 767998977 /nfs/dbraw/zinc/99/89/77/767998977.db2.gz AYGDVJPWNIACRG-XJKSGUPXSA-N 1 2 324.388 1.323 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccnc1N1CCCC1 ZINC001038474827 761147122 /nfs/dbraw/zinc/14/71/22/761147122.db2.gz ZISZHPLJYOPOOS-HNNXBMFYSA-N 1 2 312.417 1.509 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccnc1N1CCCC1 ZINC001038474827 761147124 /nfs/dbraw/zinc/14/71/24/761147124.db2.gz ZISZHPLJYOPOOS-HNNXBMFYSA-N 1 2 312.417 1.509 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ccncc1C#N ZINC001069414965 768016249 /nfs/dbraw/zinc/01/62/49/768016249.db2.gz IZFLIGPSKZLVEB-DOMZBBRYSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccnc1C(F)(F)F ZINC001038751539 761363846 /nfs/dbraw/zinc/36/38/46/761363846.db2.gz XZVZKDFIBAPNGD-NSHDSACASA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccnc1C(F)(F)F ZINC001038751539 761363857 /nfs/dbraw/zinc/36/38/57/761363857.db2.gz XZVZKDFIBAPNGD-NSHDSACASA-N 1 2 311.307 1.928 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccccn1 ZINC001038809207 761426551 /nfs/dbraw/zinc/42/65/51/761426551.db2.gz XYPOYDBHKQVFTI-ULQDDVLXSA-N 1 2 315.417 1.753 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccccn1 ZINC001038809207 761426554 /nfs/dbraw/zinc/42/65/54/761426554.db2.gz XYPOYDBHKQVFTI-ULQDDVLXSA-N 1 2 315.417 1.753 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001056807482 761447183 /nfs/dbraw/zinc/44/71/83/761447183.db2.gz IQJMMGVSKZWHTF-OAHLLOKOSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001056807482 761447189 /nfs/dbraw/zinc/44/71/89/761447189.db2.gz IQJMMGVSKZWHTF-OAHLLOKOSA-N 1 2 324.388 1.630 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccccc1NC(=O)CC ZINC001038838243 761457298 /nfs/dbraw/zinc/45/72/98/761457298.db2.gz RMXKKIKXNRZXDF-AWEZNQCLSA-N 1 2 313.401 1.863 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccccc1NC(=O)CC ZINC001038838243 761457300 /nfs/dbraw/zinc/45/73/00/761457300.db2.gz RMXKKIKXNRZXDF-AWEZNQCLSA-N 1 2 313.401 1.863 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(CCC(C)C)c1C ZINC001038894516 761519391 /nfs/dbraw/zinc/51/93/91/761519391.db2.gz DSIKRLFPUFIOAJ-OAHLLOKOSA-N 1 2 302.422 1.675 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(CCC(C)C)c1C ZINC001038894516 761519396 /nfs/dbraw/zinc/51/93/96/761519396.db2.gz DSIKRLFPUFIOAJ-OAHLLOKOSA-N 1 2 302.422 1.675 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H](C)C3CC3)CC2=O)C1 ZINC001108594226 762768443 /nfs/dbraw/zinc/76/84/43/762768443.db2.gz MNTAEALMTINLHW-HIFRSBDPSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(CCN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC001041158516 762847386 /nfs/dbraw/zinc/84/73/86/762847386.db2.gz ARCUCMMUAGEJLG-HNNXBMFYSA-N 1 2 308.813 1.629 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(CCN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC001041158516 762847392 /nfs/dbraw/zinc/84/73/92/762847392.db2.gz ARCUCMMUAGEJLG-HNNXBMFYSA-N 1 2 308.813 1.629 20 30 DDEDLO C[C@@H](CNc1cnc(C#N)cn1)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108721411 762892462 /nfs/dbraw/zinc/89/24/62/762892462.db2.gz GESYSRGEBQLDEK-RYUDHWBXSA-N 1 2 313.365 1.113 20 30 DDEDLO COc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H](C)C#N)C3)sn1 ZINC001109079742 763315286 /nfs/dbraw/zinc/31/52/86/763315286.db2.gz ADEMXRILUIQNCQ-LFSVMHDDSA-N 1 2 320.418 1.533 20 30 DDEDLO COc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H](C)C#N)C3)sn1 ZINC001109079742 763315296 /nfs/dbraw/zinc/31/52/96/763315296.db2.gz ADEMXRILUIQNCQ-LFSVMHDDSA-N 1 2 320.418 1.533 20 30 DDEDLO Cc1nc(N2C[C@@H](C)[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001041826169 763378095 /nfs/dbraw/zinc/37/80/95/763378095.db2.gz HKNLYGXSGGZADH-QMTHXVAHSA-N 1 2 310.361 1.240 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CC1(C)C)C2 ZINC001109153929 763390234 /nfs/dbraw/zinc/39/02/34/763390234.db2.gz IPUZZVLVRYXHCU-RFGFWPKPSA-N 1 2 305.422 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CC1(C)C)C2 ZINC001109153929 763390243 /nfs/dbraw/zinc/39/02/43/763390243.db2.gz IPUZZVLVRYXHCU-RFGFWPKPSA-N 1 2 305.422 1.056 20 30 DDEDLO C[C@H](CN(C)c1ccc(C#N)nc1)NC(=O)CCn1cc[nH+]c1 ZINC001109173262 763426934 /nfs/dbraw/zinc/42/69/34/763426934.db2.gz ZIJQDLAEAQPNSN-CYBMUJFWSA-N 1 2 312.377 1.181 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(C)(C)C ZINC001109245403 763506244 /nfs/dbraw/zinc/50/62/44/763506244.db2.gz PSPKIVMLAXAELG-ILXRZTDVSA-N 1 2 319.449 1.282 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC(C)(C)C ZINC001109245403 763506248 /nfs/dbraw/zinc/50/62/48/763506248.db2.gz PSPKIVMLAXAELG-ILXRZTDVSA-N 1 2 319.449 1.282 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001109246754 763509479 /nfs/dbraw/zinc/50/94/79/763509479.db2.gz NGZMOEPGZYXRDP-BNOWGMLFSA-N 1 2 304.394 1.317 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)o1 ZINC001042057476 763600839 /nfs/dbraw/zinc/60/08/39/763600839.db2.gz KDEXFRBSKVTHEV-CVEARBPZSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)o1 ZINC001042057476 763600841 /nfs/dbraw/zinc/60/08/41/763600841.db2.gz KDEXFRBSKVTHEV-CVEARBPZSA-N 1 2 313.401 1.819 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@H+](Cc3ncccn3)[C@@H]2C1 ZINC001042058783 763603344 /nfs/dbraw/zinc/60/33/44/763603344.db2.gz VSIQXQGJYSHZAZ-JKSUJKDBSA-N 1 2 310.401 1.313 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@@H+](Cc3ncccn3)[C@@H]2C1 ZINC001042058783 763603346 /nfs/dbraw/zinc/60/33/46/763603346.db2.gz VSIQXQGJYSHZAZ-JKSUJKDBSA-N 1 2 310.401 1.313 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@H]21 ZINC001042066508 763610346 /nfs/dbraw/zinc/61/03/46/763610346.db2.gz GHPBXFWSNIOHBH-XJKSGUPXSA-N 1 2 323.400 1.518 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@H]21 ZINC001042066508 763610350 /nfs/dbraw/zinc/61/03/50/763610350.db2.gz GHPBXFWSNIOHBH-XJKSGUPXSA-N 1 2 323.400 1.518 20 30 DDEDLO C#CCCCC(=O)N(C)CCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001109426261 763689447 /nfs/dbraw/zinc/68/94/47/763689447.db2.gz FSHULUWXVVLNRV-UHFFFAOYSA-N 1 2 318.425 1.310 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3cnn(C)n3)[C@@H]2C1 ZINC001042181681 763746202 /nfs/dbraw/zinc/74/62/02/763746202.db2.gz ILXBWDHCHNXHAP-UKRRQHHQSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@H+](Cc3cnn(C)n3)[C@@H]2C1 ZINC001042181681 763746208 /nfs/dbraw/zinc/74/62/08/763746208.db2.gz ILXBWDHCHNXHAP-UKRRQHHQSA-N 1 2 317.437 1.450 20 30 DDEDLO CN(CCCNc1ncccc1C#N)C(=O)Cc1c[nH+]cn1C ZINC001109489818 763751925 /nfs/dbraw/zinc/75/19/25/763751925.db2.gz QWQSMQILLORWJE-UHFFFAOYSA-N 1 2 312.377 1.190 20 30 DDEDLO N#CCN1CCC2(CN(C(=O)c3cc4c[nH+]ccc4[nH]3)C2)CC1 ZINC001050564324 763757655 /nfs/dbraw/zinc/75/76/55/763757655.db2.gz OKFNZWYQIWGALA-UHFFFAOYSA-N 1 2 309.373 1.624 20 30 DDEDLO CN(CCCNc1cccc(F)c1C#N)C(=O)Cc1c[nH+]c[nH]1 ZINC001109633580 763883739 /nfs/dbraw/zinc/88/37/39/763883739.db2.gz JFFMBXHSMMUKSQ-UHFFFAOYSA-N 1 2 315.352 1.924 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001109632981 763897232 /nfs/dbraw/zinc/89/72/32/763897232.db2.gz KCSIOIFTQHJWPC-UKMLZYKCSA-N 1 2 319.449 1.589 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001109632981 763897240 /nfs/dbraw/zinc/89/72/40/763897240.db2.gz KCSIOIFTQHJWPC-UKMLZYKCSA-N 1 2 319.449 1.589 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109656407 763917564 /nfs/dbraw/zinc/91/75/64/763917564.db2.gz SHUHGQCUGALTNT-ILXRZTDVSA-N 1 2 307.438 1.590 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109656407 763917571 /nfs/dbraw/zinc/91/75/71/763917571.db2.gz SHUHGQCUGALTNT-ILXRZTDVSA-N 1 2 307.438 1.590 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3C[C@H]3OCC)c2C1 ZINC001069853904 768184470 /nfs/dbraw/zinc/18/44/70/768184470.db2.gz MUDNNLOKKYUPCT-GOEBONIOSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3C[C@H]3OCC)c2C1 ZINC001069853904 768184471 /nfs/dbraw/zinc/18/44/71/768184471.db2.gz MUDNNLOKKYUPCT-GOEBONIOSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3ccn(C)c3)c2C1 ZINC001069857171 768189100 /nfs/dbraw/zinc/18/91/00/768189100.db2.gz DJMHBMQGPVFMNN-UHFFFAOYSA-N 1 2 313.405 1.543 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3ccn(C)c3)c2C1 ZINC001069857171 768189103 /nfs/dbraw/zinc/18/91/03/768189103.db2.gz DJMHBMQGPVFMNN-UHFFFAOYSA-N 1 2 313.405 1.543 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3CO[C@H](C)C3)c2C1 ZINC001069865264 768197506 /nfs/dbraw/zinc/19/75/06/768197506.db2.gz BKVLKJQDHPJVKG-KGLIPLIRSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3CO[C@H](C)C3)c2C1 ZINC001069865264 768197511 /nfs/dbraw/zinc/19/75/11/768197511.db2.gz BKVLKJQDHPJVKG-KGLIPLIRSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3C[C@H]3CC)c2C1 ZINC001069875254 768205923 /nfs/dbraw/zinc/20/59/23/768205923.db2.gz QZBKLHKZYPRWHG-UKRRQHHQSA-N 1 2 302.422 1.937 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3C[C@H]3CC)c2C1 ZINC001069875254 768205928 /nfs/dbraw/zinc/20/59/28/768205928.db2.gz QZBKLHKZYPRWHG-UKRRQHHQSA-N 1 2 302.422 1.937 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3oncc3C)c2C1 ZINC001069880128 768209847 /nfs/dbraw/zinc/20/98/47/768209847.db2.gz GXKOENWPZDSIEE-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3oncc3C)c2C1 ZINC001069880128 768209851 /nfs/dbraw/zinc/20/98/51/768209851.db2.gz GXKOENWPZDSIEE-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N(C)C1CN(Cc2c[nH+]cn2C)C1 ZINC001042742063 764405672 /nfs/dbraw/zinc/40/56/72/764405672.db2.gz BCYIANRQCPVYCK-CVEARBPZSA-N 1 2 318.421 1.044 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1Cc2ccccc2O1 ZINC001051018591 764421889 /nfs/dbraw/zinc/42/18/89/764421889.db2.gz YAEPTZGKTKHESO-DOTOQJQBSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1Cc2ccccc2O1 ZINC001051018591 764421896 /nfs/dbraw/zinc/42/18/96/764421896.db2.gz YAEPTZGKTKHESO-DOTOQJQBSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncc2ccccn21 ZINC001051023939 764427385 /nfs/dbraw/zinc/42/73/85/764427385.db2.gz XAAWFZYSYAHLPO-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncc2ccccn21 ZINC001051023939 764427387 /nfs/dbraw/zinc/42/73/87/764427387.db2.gz XAAWFZYSYAHLPO-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cccs2)[C@@H](n2ccnn2)C1 ZINC001069892243 768216167 /nfs/dbraw/zinc/21/61/67/768216167.db2.gz PAJDQFKDVIUZLW-NEPJUHHUSA-N 1 2 303.391 1.181 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cccs2)[C@@H](n2ccnn2)C1 ZINC001069892243 768216170 /nfs/dbraw/zinc/21/61/70/768216170.db2.gz PAJDQFKDVIUZLW-NEPJUHHUSA-N 1 2 303.391 1.181 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccn2ccnc12 ZINC001051164322 764569348 /nfs/dbraw/zinc/56/93/48/764569348.db2.gz SSZUXIQYJRJFBO-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccn2ccnc12 ZINC001051164322 764569352 /nfs/dbraw/zinc/56/93/52/764569352.db2.gz SSZUXIQYJRJFBO-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncoc1C(C)C ZINC001051200159 764610140 /nfs/dbraw/zinc/61/01/40/764610140.db2.gz MFBGEMLDALXPAY-ZDUSSCGKSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncoc1C(C)C ZINC001051200159 764610144 /nfs/dbraw/zinc/61/01/44/764610144.db2.gz MFBGEMLDALXPAY-ZDUSSCGKSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc2nccn2c1 ZINC001051201190 764611088 /nfs/dbraw/zinc/61/10/88/764611088.db2.gz IBJMYPSQPGIOBY-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc2nccn2c1 ZINC001051201190 764611096 /nfs/dbraw/zinc/61/10/96/764611096.db2.gz IBJMYPSQPGIOBY-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(Cl)no1 ZINC001051218149 764633583 /nfs/dbraw/zinc/63/35/83/764633583.db2.gz GHKHYZQFJFFEFK-NSHDSACASA-N 1 2 313.785 1.725 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(Cl)no1 ZINC001051218149 764633588 /nfs/dbraw/zinc/63/35/88/764633588.db2.gz GHKHYZQFJFFEFK-NSHDSACASA-N 1 2 313.785 1.725 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ncccc1OC ZINC001051219976 764637181 /nfs/dbraw/zinc/63/71/81/764637181.db2.gz AUVRRFLJOLJUIL-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncccc1OC ZINC001051219976 764637185 /nfs/dbraw/zinc/63/71/85/764637185.db2.gz AUVRRFLJOLJUIL-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C)n([C@@H](C)C3CC3)n2)C1 ZINC001043182157 764743159 /nfs/dbraw/zinc/74/31/59/764743159.db2.gz XFQRSWRGDILUPC-AWEZNQCLSA-N 1 2 314.433 1.942 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnc3cc(OC)ccn23)C1 ZINC001043349460 764851277 /nfs/dbraw/zinc/85/12/77/764851277.db2.gz LXYSUGSFZDDDOL-UHFFFAOYSA-N 1 2 314.389 1.675 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2c3c[nH]nc3CC[C@@H]2C)C1 ZINC001043387316 764878058 /nfs/dbraw/zinc/87/80/58/764878058.db2.gz XFMSBOKMBJORKW-LRDDRELGSA-N 1 2 300.406 1.242 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(C(F)(F)F)nc2)C1 ZINC001043501896 764944597 /nfs/dbraw/zinc/94/45/97/764944597.db2.gz ZTEWMKHDFLXVFH-UHFFFAOYSA-N 1 2 311.307 1.880 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnn3c2nc(C)cc3C)C1 ZINC001043504818 764948092 /nfs/dbraw/zinc/94/80/92/764948092.db2.gz PPYDGDKNZJIAOS-UHFFFAOYSA-N 1 2 313.405 1.678 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc3[nH]ccc3n2)CC1 ZINC001113097519 765258116 /nfs/dbraw/zinc/25/81/16/765258116.db2.gz FWONFBWBHKAHED-UHFFFAOYSA-N 1 2 314.389 1.523 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccnc(C3CCCC3)n2)C1 ZINC001044123132 765323137 /nfs/dbraw/zinc/32/31/37/765323137.db2.gz FHAIDIWEMBSBNT-UHFFFAOYSA-N 1 2 312.417 1.914 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccsc3)C2)CC1 ZINC001051975561 765335779 /nfs/dbraw/zinc/33/57/79/765335779.db2.gz UBNCVZPSVZZYIE-CQSZACIVSA-N 1 2 304.419 1.104 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3occc3C)C2)CC1 ZINC001051983534 765348117 /nfs/dbraw/zinc/34/81/17/765348117.db2.gz QWMAOVAULIIWLP-HNNXBMFYSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3occc3C)C2)CC1 ZINC001051983534 765348124 /nfs/dbraw/zinc/34/81/24/765348124.db2.gz QWMAOVAULIIWLP-HNNXBMFYSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn(CCCC)nc2C)C1 ZINC001044165395 765357371 /nfs/dbraw/zinc/35/73/71/765357371.db2.gz SVTPWTNNLFFWKP-UHFFFAOYSA-N 1 2 302.422 1.771 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)/C=C/C3CC3)C2)CC1 ZINC001052004269 765370783 /nfs/dbraw/zinc/37/07/83/765370783.db2.gz JJUPABQAFVEGQU-LXXRFIIISA-N 1 2 301.434 1.194 20 30 DDEDLO CC#CCN1CC[NH+]([C@H]2CCN(C(=O)c3cocc3C)C2)CC1 ZINC001052064513 765436788 /nfs/dbraw/zinc/43/67/88/765436788.db2.gz FXRKMRRCOOXRKK-INIZCTEOSA-N 1 2 315.417 1.443 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3csc(C)n3)C2)CC1 ZINC001052071509 765444330 /nfs/dbraw/zinc/44/43/30/765444330.db2.gz ULGYSKVFGBGQAB-CQSZACIVSA-N 1 2 320.462 1.470 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc(CNC(C)=O)c2)C1 ZINC001044313632 765475075 /nfs/dbraw/zinc/47/50/75/765475075.db2.gz HGFFXNKHKPBLKL-UHFFFAOYSA-N 1 2 315.417 1.655 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)N([C@H](C)CCC)C2)C1 ZINC001044332768 765489039 /nfs/dbraw/zinc/48/90/39/765489039.db2.gz IWGJCQGDOWJHBT-HUUCEWRRSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001113303078 765535334 /nfs/dbraw/zinc/53/53/34/765535334.db2.gz JXQXLOLJKVCORB-AEFFLSMTSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn(C(F)F)c2)CC1 ZINC001113456656 765705740 /nfs/dbraw/zinc/70/57/40/765705740.db2.gz LHWWVOOXELFVRQ-UHFFFAOYSA-N 1 2 314.336 1.239 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CNC(=O)c2ccc(C)s2)CC1 ZINC001113602394 765931168 /nfs/dbraw/zinc/93/11/68/765931168.db2.gz UZDUWLDSORHXIA-UHFFFAOYSA-N 1 2 307.419 1.117 20 30 DDEDLO C[C@@H](CNC(=O)Cn1cc[nH+]c1)N(C)c1cccc(F)c1C#N ZINC001113644722 765968930 /nfs/dbraw/zinc/96/89/30/765968930.db2.gz IAMINMIIDIOEAF-LBPRGKRZSA-N 1 2 315.352 1.535 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)N(C)c1ccc(C#N)cn1 ZINC001113937045 766412553 /nfs/dbraw/zinc/41/25/53/766412553.db2.gz XPEBIVSSTMAFLB-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)N(C)c1ccc(C#N)cn1 ZINC001113937045 766412558 /nfs/dbraw/zinc/41/25/58/766412558.db2.gz XPEBIVSSTMAFLB-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO CN(C[C@@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1)c1ccc(C#N)cn1 ZINC001067771892 766693520 /nfs/dbraw/zinc/69/35/20/766693520.db2.gz ISOCUVSMZIJZNC-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114199068 766772287 /nfs/dbraw/zinc/77/22/87/766772287.db2.gz NMVPQZJVRPFTJQ-BCUIYNNISA-N 1 2 315.421 1.278 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114199068 766772292 /nfs/dbraw/zinc/77/22/92/766772292.db2.gz NMVPQZJVRPFTJQ-BCUIYNNISA-N 1 2 315.421 1.278 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001067881813 766778543 /nfs/dbraw/zinc/77/85/43/766778543.db2.gz ZBSNKIHPSZSRGF-UHFFFAOYSA-N 1 2 320.437 1.780 20 30 DDEDLO C[C@@H]1CCN(c2ccc(C#N)cn2)C[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067968297 766846014 /nfs/dbraw/zinc/84/60/14/766846014.db2.gz ZOOCCIXUHXBWBL-OCCSQVGLSA-N 1 2 324.388 1.250 20 30 DDEDLO CC(C)(C)C(C)(C)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046049598 766855735 /nfs/dbraw/zinc/85/57/35/766855735.db2.gz SJUQEKGDYVUYCM-OAHLLOKOSA-N 1 2 320.481 1.801 20 30 DDEDLO CC1(C)CC[C@@H]1C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046056895 766866641 /nfs/dbraw/zinc/86/66/41/766866641.db2.gz YTCKLVULVUMRLC-HUUCEWRRSA-N 1 2 304.438 1.165 20 30 DDEDLO Cc1nc(N2C[C@@H](NC(=O)c3c[nH]c(C#N)c3)C(C)(C)C2)cc[nH+]1 ZINC001068103999 766914364 /nfs/dbraw/zinc/91/43/64/766914364.db2.gz YHDQBWHFKQLNOI-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001046248400 767289946 /nfs/dbraw/zinc/28/99/46/767289946.db2.gz OUSOIDYKZIPFIN-YVEFUNNKSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CC[C@@](C)(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001046248400 767289948 /nfs/dbraw/zinc/28/99/48/767289948.db2.gz OUSOIDYKZIPFIN-YVEFUNNKSA-N 1 2 324.388 1.632 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001068595683 767445276 /nfs/dbraw/zinc/44/52/76/767445276.db2.gz NTNBLEVLPFNCEO-MQMHXKEQSA-N 1 2 324.388 1.534 20 30 DDEDLO C#CC[NH+]1CC2(C1)CN(C(=O)CCCC)C[C@H]2c1nnc(C)[nH]1 ZINC001130457391 767540990 /nfs/dbraw/zinc/54/09/90/767540990.db2.gz UOVYHVAYERPMIU-AWEZNQCLSA-N 1 2 315.421 1.164 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC001046449730 767585962 /nfs/dbraw/zinc/58/59/62/767585962.db2.gz KNPAHWOVVQDRIC-OAHLLOKOSA-N 1 2 324.812 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC001046449730 767585965 /nfs/dbraw/zinc/58/59/65/767585965.db2.gz KNPAHWOVVQDRIC-OAHLLOKOSA-N 1 2 324.812 1.071 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3cnnn3C)C2)cc1 ZINC001046530352 767660454 /nfs/dbraw/zinc/66/04/54/767660454.db2.gz GWRSLCIOBPXZSK-SFHVURJKSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@H+](Cc3cnnn3C)C2)cc1 ZINC001046530352 767660455 /nfs/dbraw/zinc/66/04/55/767660455.db2.gz GWRSLCIOBPXZSK-SFHVURJKSA-N 1 2 323.400 1.191 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@@H]2C)ccc1C#N ZINC001068887536 767682703 /nfs/dbraw/zinc/68/27/03/767682703.db2.gz NMUWUOKEBADBSW-TZMCWYRMSA-N 1 2 324.388 1.629 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2ccc(OC)nn2)C1 ZINC001131900223 768464711 /nfs/dbraw/zinc/46/47/11/768464711.db2.gz VHDLVEDECBWTRL-KBPBESRZSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001131900223 768464714 /nfs/dbraw/zinc/46/47/14/768464714.db2.gz VHDLVEDECBWTRL-KBPBESRZSA-N 1 2 318.421 1.921 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)/C=C/C(C)(C)C)C[N@@H+]1CC(=O)NCC#N ZINC001131911810 768475435 /nfs/dbraw/zinc/47/54/35/768475435.db2.gz OBALZIALENFBQK-GODNBWANSA-N 1 2 320.437 1.198 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)/C=C/C(C)(C)C)C[N@H+]1CC(=O)NCC#N ZINC001131911810 768475439 /nfs/dbraw/zinc/47/54/39/768475439.db2.gz OBALZIALENFBQK-GODNBWANSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scnc2C(F)F)C1 ZINC001047453094 768488852 /nfs/dbraw/zinc/48/88/52/768488852.db2.gz CWHGLUIQQMDDGI-IUCAKERBSA-N 1 2 317.361 1.384 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scnc2C(F)F)C1 ZINC001047453094 768488854 /nfs/dbraw/zinc/48/88/54/768488854.db2.gz CWHGLUIQQMDDGI-IUCAKERBSA-N 1 2 317.361 1.384 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001132002525 768553327 /nfs/dbraw/zinc/55/33/27/768553327.db2.gz KNKVBZXNPLICAC-LSDHHAIUSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001132002525 768553331 /nfs/dbraw/zinc/55/33/31/768553331.db2.gz KNKVBZXNPLICAC-LSDHHAIUSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2ncc(C)o2)C1 ZINC001132041335 768583606 /nfs/dbraw/zinc/58/36/06/768583606.db2.gz CCWRYDNSOAHXMG-DZGCQCFKSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2ncc(C)o2)C1 ZINC001132041335 768583611 /nfs/dbraw/zinc/58/36/11/768583611.db2.gz CCWRYDNSOAHXMG-DZGCQCFKSA-N 1 2 319.405 1.492 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@H]2C)cn1 ZINC001132046872 768599844 /nfs/dbraw/zinc/59/98/44/768599844.db2.gz RQOUTBXFFAWNIB-DYVFJYSZSA-N 1 2 312.417 1.668 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@H]2C)cn1 ZINC001132046872 768599848 /nfs/dbraw/zinc/59/98/48/768599848.db2.gz RQOUTBXFFAWNIB-DYVFJYSZSA-N 1 2 312.417 1.668 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCn2cc[nH+]c2C1)Nc1ccc(C#N)nc1 ZINC001098126208 768671610 /nfs/dbraw/zinc/67/16/10/768671610.db2.gz WXWBYCDPBBSNOR-QWHCGFSZSA-N 1 2 324.388 1.329 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132234193 768688372 /nfs/dbraw/zinc/68/83/72/768688372.db2.gz BHCYFHDTRYPWOJ-CABCVRRESA-N 1 2 317.437 1.478 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132234193 768688375 /nfs/dbraw/zinc/68/83/75/768688375.db2.gz BHCYFHDTRYPWOJ-CABCVRRESA-N 1 2 317.437 1.478 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cnnn2CC)C1 ZINC001132219035 768691067 /nfs/dbraw/zinc/69/10/67/768691067.db2.gz ZGDXQCWFZKUHPT-UONOGXRCSA-N 1 2 319.453 1.979 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cnnn2CC)C1 ZINC001132219035 768691071 /nfs/dbraw/zinc/69/10/71/768691071.db2.gz ZGDXQCWFZKUHPT-UONOGXRCSA-N 1 2 319.453 1.979 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)C2CC2)[C@H](O)C1 ZINC001090504332 768728918 /nfs/dbraw/zinc/72/89/18/768728918.db2.gz OPEUAJHRYJBUDO-CHWSQXEVSA-N 1 2 300.830 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)C2CC2)[C@H](O)C1 ZINC001090504332 768728922 /nfs/dbraw/zinc/72/89/22/768728922.db2.gz OPEUAJHRYJBUDO-CHWSQXEVSA-N 1 2 300.830 1.727 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+]Cc1nc(CCC)no1 ZINC001132398625 768804756 /nfs/dbraw/zinc/80/47/56/768804756.db2.gz HPTMSFSLJMSEJC-UHFFFAOYSA-N 1 2 306.410 1.812 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCC2(C)C)CC1 ZINC001070986863 768840593 /nfs/dbraw/zinc/84/05/93/768840593.db2.gz HATSKMCKPHDOHS-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCC2(C)C)CC1 ZINC001070986863 768840604 /nfs/dbraw/zinc/84/06/04/768840604.db2.gz HATSKMCKPHDOHS-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC[C@H](C)C2)CC1 ZINC001070991371 768846127 /nfs/dbraw/zinc/84/61/27/768846127.db2.gz PEYSEHWJZIUEJE-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC[C@H](C)C2)CC1 ZINC001070991371 768846132 /nfs/dbraw/zinc/84/61/32/768846132.db2.gz PEYSEHWJZIUEJE-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@]2(C)CC)CC1 ZINC001071013264 768878865 /nfs/dbraw/zinc/87/88/65/768878865.db2.gz WSAZRCRTHMBFOO-YJBOKZPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@]2(C)CC)CC1 ZINC001071013264 768878880 /nfs/dbraw/zinc/87/88/80/768878880.db2.gz WSAZRCRTHMBFOO-YJBOKZPZSA-N 1 2 321.465 1.649 20 30 DDEDLO CCCCCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132464473 768882137 /nfs/dbraw/zinc/88/21/37/768882137.db2.gz UHNQFGBXFBRMSZ-LSDHHAIUSA-N 1 2 322.453 1.566 20 30 DDEDLO CCCCCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132464473 768882153 /nfs/dbraw/zinc/88/21/53/768882153.db2.gz UHNQFGBXFBRMSZ-LSDHHAIUSA-N 1 2 322.453 1.566 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)COC)C[C@@H](C)O2 ZINC001071110646 768957120 /nfs/dbraw/zinc/95/71/20/768957120.db2.gz RQBVUDHXNXIUBW-TZMCWYRMSA-N 1 2 302.802 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)COC)C[C@@H](C)O2 ZINC001071110646 768957123 /nfs/dbraw/zinc/95/71/23/768957123.db2.gz RQBVUDHXNXIUBW-TZMCWYRMSA-N 1 2 302.802 1.077 20 30 DDEDLO C#CCC[N@H+]1CCN([C@@H]2CCCN(C(=O)/C(C)=C/C)C2)C(=O)C1 ZINC001071262474 769208791 /nfs/dbraw/zinc/20/87/91/769208791.db2.gz LKGGNSIKDVPFJX-HSUWOCHWSA-N 1 2 317.433 1.111 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@@H]2CCCN(C(=O)/C(C)=C/C)C2)C(=O)C1 ZINC001071262474 769208796 /nfs/dbraw/zinc/20/87/96/769208796.db2.gz LKGGNSIKDVPFJX-HSUWOCHWSA-N 1 2 317.433 1.111 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096360533 769380894 /nfs/dbraw/zinc/38/08/94/769380894.db2.gz OEYFQPWTXBFRBF-RHSMWYFYSA-N 1 2 318.421 1.424 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cn3cc(C)nc3s2)CC[C@H]1C ZINC001071568772 769642148 /nfs/dbraw/zinc/64/21/48/769642148.db2.gz SQALKHYHUTWMFE-OLZOCXBDSA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cn3cc(C)nc3s2)CC[C@H]1C ZINC001071568772 769642150 /nfs/dbraw/zinc/64/21/50/769642150.db2.gz SQALKHYHUTWMFE-OLZOCXBDSA-N 1 2 316.430 1.920 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccc(C#N)nc1 ZINC001048731784 769787810 /nfs/dbraw/zinc/78/78/10/769787810.db2.gz KOTYXAMZVIYPQR-JKSUJKDBSA-N 1 2 324.388 1.323 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2snnc2CC)CC[C@@H]1C ZINC001071664001 769816873 /nfs/dbraw/zinc/81/68/73/769816873.db2.gz WMNRMRMAAYNMRQ-RYUDHWBXSA-N 1 2 306.435 1.707 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2snnc2CC)CC[C@@H]1C ZINC001071664001 769816884 /nfs/dbraw/zinc/81/68/84/769816884.db2.gz WMNRMRMAAYNMRQ-RYUDHWBXSA-N 1 2 306.435 1.707 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2scnc2COC)CC[C@H]1C ZINC001071883727 770223064 /nfs/dbraw/zinc/22/30/64/770223064.db2.gz QFWTYCJDEHEHPF-CHWSQXEVSA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2scnc2COC)CC[C@H]1C ZINC001071883727 770223066 /nfs/dbraw/zinc/22/30/66/770223066.db2.gz QFWTYCJDEHEHPF-CHWSQXEVSA-N 1 2 321.446 1.896 20 30 DDEDLO Cc1nc(N2CCC3(C[C@@H]3NC(=O)C#CC(C)C)CC2)cc[nH+]1 ZINC001096520500 770803214 /nfs/dbraw/zinc/80/32/14/770803214.db2.gz QPOMSXPCGSTABK-HNNXBMFYSA-N 1 2 312.417 1.920 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049484006 770913824 /nfs/dbraw/zinc/91/38/24/770913824.db2.gz FEJAIWFIOGPQLQ-IJLUTSLNSA-N 1 2 306.303 1.975 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049484006 770913833 /nfs/dbraw/zinc/91/38/33/770913833.db2.gz FEJAIWFIOGPQLQ-IJLUTSLNSA-N 1 2 306.303 1.975 20 30 DDEDLO Cc1nc(N[C@@H](CNC(=O)c2c[nH]c(C#N)c2)C2CC2)cc[nH+]1 ZINC001096650371 771372079 /nfs/dbraw/zinc/37/20/79/771372079.db2.gz RRAIVJFQQYLEIU-AWEZNQCLSA-N 1 2 310.361 1.605 20 30 DDEDLO Cn1nccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C#N)c[nH]1)C2 ZINC001096928935 771521141 /nfs/dbraw/zinc/52/11/41/771521141.db2.gz PRRNGXUTJXWUER-XPKDYRNWSA-N 1 2 324.388 1.155 20 30 DDEDLO Cn1nccc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C#N)c[nH]1)C2 ZINC001096928935 771521145 /nfs/dbraw/zinc/52/11/45/771521145.db2.gz PRRNGXUTJXWUER-XPKDYRNWSA-N 1 2 324.388 1.155 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](Nc2ncnc3c2C[N@H+](C)CC3)C1 ZINC001097149043 771640505 /nfs/dbraw/zinc/64/05/05/771640505.db2.gz MPTDKPTYSCTNSV-BETUJISGSA-N 1 2 315.421 1.490 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](Nc2ncnc3c2C[N@@H+](C)CC3)C1 ZINC001097149043 771640509 /nfs/dbraw/zinc/64/05/09/771640509.db2.gz MPTDKPTYSCTNSV-BETUJISGSA-N 1 2 315.421 1.490 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)c1cccc(-n2cc[nH+]c2)c1)NCC#N ZINC001135840937 771747179 /nfs/dbraw/zinc/74/71/79/771747179.db2.gz RTBBVWMVIJGARD-KGLIPLIRSA-N 1 2 311.389 1.882 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C)cc2)[C@H](O)C1 ZINC001090755960 772171343 /nfs/dbraw/zinc/17/13/43/772171343.db2.gz ZJZJZVXFVAKIMY-LSDHHAIUSA-N 1 2 308.809 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C)cc2)[C@H](O)C1 ZINC001090755960 772171346 /nfs/dbraw/zinc/17/13/46/772171346.db2.gz ZJZJZVXFVAKIMY-LSDHHAIUSA-N 1 2 308.809 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)[C@H](O)C1 ZINC001090840498 772256379 /nfs/dbraw/zinc/25/63/79/772256379.db2.gz APJAFEFZSJAVQM-RMPHEQRESA-N 1 2 324.852 1.582 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)[C@H](O)C1 ZINC001090840498 772256381 /nfs/dbraw/zinc/25/63/81/772256381.db2.gz APJAFEFZSJAVQM-RMPHEQRESA-N 1 2 324.852 1.582 20 30 DDEDLO CCOC(=O)[C@H]1C[N@@H+]([C@@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171220681 772616962 /nfs/dbraw/zinc/61/69/62/772616962.db2.gz BBDGDVVVHYDBOX-XJKSGUPXSA-N 1 2 302.374 1.753 20 30 DDEDLO CCOC(=O)[C@H]1C[N@H+]([C@@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171220681 772616965 /nfs/dbraw/zinc/61/69/65/772616965.db2.gz BBDGDVVVHYDBOX-XJKSGUPXSA-N 1 2 302.374 1.753 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001091335743 772685743 /nfs/dbraw/zinc/68/57/43/772685743.db2.gz IBTPTYJLYYJJQB-OKILXGFUSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091337579 772692768 /nfs/dbraw/zinc/69/27/68/772692768.db2.gz KATFIYBXSWGPGJ-DVOMOZLQSA-N 1 2 304.394 1.419 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001147374949 773123637 /nfs/dbraw/zinc/12/36/37/773123637.db2.gz XPQPEZSVMUJSGU-UHFFFAOYSA-N 1 2 312.417 1.705 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001147374949 773123640 /nfs/dbraw/zinc/12/36/40/773123640.db2.gz XPQPEZSVMUJSGU-UHFFFAOYSA-N 1 2 312.417 1.705 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1coc(C)n1)C2 ZINC001147535158 773171141 /nfs/dbraw/zinc/17/11/41/773171141.db2.gz VPHATTMIWWAMTJ-ZDUSSCGKSA-N 1 2 317.389 1.056 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1coc(C)n1)C2 ZINC001147535158 773171145 /nfs/dbraw/zinc/17/11/45/773171145.db2.gz VPHATTMIWWAMTJ-ZDUSSCGKSA-N 1 2 317.389 1.056 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001148089358 773360945 /nfs/dbraw/zinc/36/09/45/773360945.db2.gz UGOGBBXISRKAFK-UONOGXRCSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001148089358 773360953 /nfs/dbraw/zinc/36/09/53/773360953.db2.gz UGOGBBXISRKAFK-UONOGXRCSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001148146230 773366875 /nfs/dbraw/zinc/36/68/75/773366875.db2.gz AYGILPIYXNGIJS-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001148146230 773366880 /nfs/dbraw/zinc/36/68/80/773366880.db2.gz AYGILPIYXNGIJS-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccncn2)C1 ZINC001073821584 773444536 /nfs/dbraw/zinc/44/45/36/773444536.db2.gz NCHRPXDECZOSQN-LBPRGKRZSA-N 1 2 310.785 1.050 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccncn2)C1 ZINC001073821584 773444539 /nfs/dbraw/zinc/44/45/39/773444539.db2.gz NCHRPXDECZOSQN-LBPRGKRZSA-N 1 2 310.785 1.050 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001148370909 773459974 /nfs/dbraw/zinc/45/99/74/773459974.db2.gz GREKLGAPRNACCT-UHFFFAOYSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001148370909 773459978 /nfs/dbraw/zinc/45/99/78/773459978.db2.gz GREKLGAPRNACCT-UHFFFAOYSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@H+](Cc1cnns1)C2 ZINC001148893352 773625915 /nfs/dbraw/zinc/62/59/15/773625915.db2.gz DJKIUWLFGFXILF-UHFFFAOYSA-N 1 2 322.434 1.165 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnns1)C2 ZINC001148893352 773625918 /nfs/dbraw/zinc/62/59/18/773625918.db2.gz DJKIUWLFGFXILF-UHFFFAOYSA-N 1 2 322.434 1.165 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074150317 773675030 /nfs/dbraw/zinc/67/50/30/773675030.db2.gz WALWDSGVQFCZSH-KBPBESRZSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CCCCC3)C[C@H]21 ZINC001074150876 773675889 /nfs/dbraw/zinc/67/58/89/773675889.db2.gz FHCKRJUSBFNLDK-SJORKVTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CCCCC3)C[C@H]21 ZINC001074150876 773675890 /nfs/dbraw/zinc/67/58/90/773675890.db2.gz FHCKRJUSBFNLDK-SJORKVTESA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)on3)C[C@H]21 ZINC001074151383 773676791 /nfs/dbraw/zinc/67/67/91/773676791.db2.gz LQEPTCVOFTXODF-HUUCEWRRSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)on3)C[C@H]21 ZINC001074151383 773676793 /nfs/dbraw/zinc/67/67/93/773676793.db2.gz LQEPTCVOFTXODF-HUUCEWRRSA-N 1 2 305.378 1.474 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(F)(F)F)C[C@H]21 ZINC001074185348 773706883 /nfs/dbraw/zinc/70/68/83/773706883.db2.gz ZTIUQYDHBVPENJ-VXGBXAGGSA-N 1 2 306.328 1.817 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC(F)(F)F)C[C@H]21 ZINC001074185348 773706884 /nfs/dbraw/zinc/70/68/84/773706884.db2.gz ZTIUQYDHBVPENJ-VXGBXAGGSA-N 1 2 306.328 1.817 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cc(C)on3)C[C@@H]21 ZINC001074196174 773720232 /nfs/dbraw/zinc/72/02/32/773720232.db2.gz HUGGOKFCRYFLET-JKSUJKDBSA-N 1 2 319.405 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cc(C)on3)C[C@@H]21 ZINC001074196174 773720235 /nfs/dbraw/zinc/72/02/35/773720235.db2.gz HUGGOKFCRYFLET-JKSUJKDBSA-N 1 2 319.405 1.403 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3C)C[C@@H]21 ZINC001074208326 773731205 /nfs/dbraw/zinc/73/12/05/773731205.db2.gz GWCPUXIZIBXTAC-JKSUJKDBSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3C)C[C@@H]21 ZINC001074208326 773731210 /nfs/dbraw/zinc/73/12/10/773731210.db2.gz GWCPUXIZIBXTAC-JKSUJKDBSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C[C@H]21 ZINC001074208635 773732437 /nfs/dbraw/zinc/73/24/37/773732437.db2.gz LEFGSOZLIQWXCB-CVEARBPZSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C[C@H]21 ZINC001074208635 773732438 /nfs/dbraw/zinc/73/24/38/773732438.db2.gz LEFGSOZLIQWXCB-CVEARBPZSA-N 1 2 314.389 1.378 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3coc(C)n3)C[C@@H]21 ZINC001074222506 773746652 /nfs/dbraw/zinc/74/66/52/773746652.db2.gz IPNHHUBPLWGPCY-LSDHHAIUSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3coc(C)n3)C[C@@H]21 ZINC001074222506 773746654 /nfs/dbraw/zinc/74/66/54/773746654.db2.gz IPNHHUBPLWGPCY-LSDHHAIUSA-N 1 2 305.378 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3CC)C[C@H]21 ZINC001074233416 773756549 /nfs/dbraw/zinc/75/65/49/773756549.db2.gz PLHAJHYOJSQUSK-IAGOWNOFSA-N 1 2 315.417 1.516 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3CC)C[C@H]21 ZINC001074233416 773756555 /nfs/dbraw/zinc/75/65/55/773756555.db2.gz PLHAJHYOJSQUSK-IAGOWNOFSA-N 1 2 315.417 1.516 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(F)F)C[C@H]21 ZINC001074329771 773823820 /nfs/dbraw/zinc/82/38/20/773823820.db2.gz PWDUAEZAKWQZQC-CHWSQXEVSA-N 1 2 300.349 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(F)F)C[C@H]21 ZINC001074329771 773823826 /nfs/dbraw/zinc/82/38/26/773823826.db2.gz PWDUAEZAKWQZQC-CHWSQXEVSA-N 1 2 300.349 1.357 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCn3cccc3)C[C@@H]21 ZINC001074369272 773862005 /nfs/dbraw/zinc/86/20/05/773862005.db2.gz SZNTZHIIHSWKPG-IRXDYDNUSA-N 1 2 317.433 1.756 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCn3cccc3)C[C@@H]21 ZINC001074369272 773862009 /nfs/dbraw/zinc/86/20/09/773862009.db2.gz SZNTZHIIHSWKPG-IRXDYDNUSA-N 1 2 317.433 1.756 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#C)nc3)C[C@H]21 ZINC001074384355 773876751 /nfs/dbraw/zinc/87/67/51/773876751.db2.gz GBSRQULEZDJEET-QZTJIDSGSA-N 1 2 323.396 1.002 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#C)nc3)C[C@H]21 ZINC001074384355 773876755 /nfs/dbraw/zinc/87/67/55/773876755.db2.gz GBSRQULEZDJEET-QZTJIDSGSA-N 1 2 323.396 1.002 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(C3CC3)no2)[C@@H]1C ZINC001074570029 774002157 /nfs/dbraw/zinc/00/21/57/774002157.db2.gz FPKGKGFSKVAOIU-SCVCMEIPSA-N 1 2 303.366 1.186 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccn1C)c1nccn12 ZINC001092347798 774066665 /nfs/dbraw/zinc/06/66/65/774066665.db2.gz GDDIXNAOTVTILE-ZDUSSCGKSA-N 1 2 311.389 1.293 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H]1CCCO1)c1nccn12 ZINC001092351571 774069077 /nfs/dbraw/zinc/06/90/77/774069077.db2.gz CLYUOJFUMDFLBF-UONOGXRCSA-N 1 2 316.405 1.210 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)nc2)C[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001092396211 774100679 /nfs/dbraw/zinc/10/06/79/774100679.db2.gz VBRGHSQQNGZTEK-CHWSQXEVSA-N 1 2 324.388 1.108 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC13CC3)c1nccn12 ZINC001092400978 774102152 /nfs/dbraw/zinc/10/21/52/774102152.db2.gz TYXBXIYBEUYTAK-KBPBESRZSA-N 1 2 310.401 1.278 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001074886129 774216324 /nfs/dbraw/zinc/21/63/24/774216324.db2.gz DFSWFPYEZKYPDL-UONOGXRCSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@@H]1C ZINC001075211463 774425456 /nfs/dbraw/zinc/42/54/56/774425456.db2.gz WAYHXHLNQRBBAA-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO CC(=O)N1CC[C@H](n2cc(C[NH2+]CC#Cc3ccccc3)nn2)C1 ZINC001098588748 774615548 /nfs/dbraw/zinc/61/55/48/774615548.db2.gz OHNMZQNQVNFXMK-SFHVURJKSA-N 1 2 323.400 1.213 20 30 DDEDLO Cc1nc(N[C@@H]2CCC[C@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001098598107 774617845 /nfs/dbraw/zinc/61/78/45/774617845.db2.gz VHDXBWMBXDIVFG-OLZOCXBDSA-N 1 2 319.434 1.881 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@]12CCC[C@H]1CN(CC#N)C2 ZINC001098898529 774710679 /nfs/dbraw/zinc/71/06/79/774710679.db2.gz GDVUOYQZDOHDRR-YOEHRIQHSA-N 1 2 314.389 1.127 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cc[nH]n3)CC2)C1 ZINC001093510541 774757089 /nfs/dbraw/zinc/75/70/89/774757089.db2.gz FUNFKEDAOQUSNM-UHFFFAOYSA-N 1 2 304.394 1.291 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3ccn[nH]3)CC2)C1 ZINC001093510541 774757093 /nfs/dbraw/zinc/75/70/93/774757093.db2.gz FUNFKEDAOQUSNM-UHFFFAOYSA-N 1 2 304.394 1.291 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3C[C@H]3OCC)CC2)C1 ZINC001093511013 774758570 /nfs/dbraw/zinc/75/85/70/774758570.db2.gz MNUMZMUAXBJHGH-JKSUJKDBSA-N 1 2 308.422 1.289 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)Cc3ccoc3)CC2)C1 ZINC001093527002 774778848 /nfs/dbraw/zinc/77/88/48/774778848.db2.gz JTRUCTXXIDDWPM-UHFFFAOYSA-N 1 2 304.390 1.700 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)CC(F)F)[C@H](O)C1 ZINC001099876288 775375505 /nfs/dbraw/zinc/37/55/05/775375505.db2.gz ARPIOWPYIRWAMK-GHMZBOCLSA-N 1 2 324.799 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)CC(F)F)[C@H](O)C1 ZINC001099876288 775375513 /nfs/dbraw/zinc/37/55/13/775375513.db2.gz ARPIOWPYIRWAMK-GHMZBOCLSA-N 1 2 324.799 1.972 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001099960047 775483981 /nfs/dbraw/zinc/48/39/81/775483981.db2.gz NGWNHTNTXQHZLO-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001099960047 775483986 /nfs/dbraw/zinc/48/39/86/775483986.db2.gz NGWNHTNTXQHZLO-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099975787 775506445 /nfs/dbraw/zinc/50/64/45/775506445.db2.gz HDBNNLBFHXTHAQ-HOCLYGCPSA-N 1 2 321.421 1.699 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099975787 775506458 /nfs/dbraw/zinc/50/64/58/775506458.db2.gz HDBNNLBFHXTHAQ-HOCLYGCPSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3[C@@H]4CCC[C@@H]43)nn2)C1 ZINC001094282228 775641362 /nfs/dbraw/zinc/64/13/62/775641362.db2.gz KDWZALSPSDDNBK-ZSHCYNCHSA-N 1 2 315.421 1.373 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@]3(C)CC)nn2)C1 ZINC001094332340 775715097 /nfs/dbraw/zinc/71/50/97/775715097.db2.gz RNSHVXPCPQABPK-RDJZCZTQSA-N 1 2 317.437 1.763 20 30 DDEDLO Cc1nc(NCCCNC(=O)CCc2[nH]cc[nH+]2)ccc1C#N ZINC001094407067 775868855 /nfs/dbraw/zinc/86/88/55/775868855.db2.gz ZYBVLUGWGRKIIN-UHFFFAOYSA-N 1 2 312.377 1.536 20 30 DDEDLO C#CCCCC(=O)NCC1CC([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001100285764 775940739 /nfs/dbraw/zinc/94/07/39/775940739.db2.gz SMNGSOYJWPRLNP-UHFFFAOYSA-N 1 2 316.405 1.735 20 30 DDEDLO CN(CCNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)c1ccncc1C#N ZINC001100330139 776005965 /nfs/dbraw/zinc/00/59/65/776005965.db2.gz DZTWNUMJFWREFM-CYBMUJFWSA-N 1 2 324.388 1.349 20 30 DDEDLO C[C@H](CC(=O)NCCCNc1ccncc1C#N)n1cc[nH+]c1 ZINC001094824470 776347324 /nfs/dbraw/zinc/34/73/24/776347324.db2.gz NRDOJVYSRSZSEA-CYBMUJFWSA-N 1 2 312.377 1.141 20 30 DDEDLO N#Cc1cnc(NCCCNC(=O)CCc2c[nH]c[nH+]2)c(F)c1 ZINC001094855668 776535570 /nfs/dbraw/zinc/53/55/70/776535570.db2.gz DDAARTUBFFXDKP-UHFFFAOYSA-N 1 2 316.340 1.366 20 30 DDEDLO N#Cc1cnc(NCCCNC(=O)CCc2c[nH+]c[nH]2)c(F)c1 ZINC001094855668 776535580 /nfs/dbraw/zinc/53/55/80/776535580.db2.gz DDAARTUBFFXDKP-UHFFFAOYSA-N 1 2 316.340 1.366 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2CNC(=O)CCc2[nH]cc[nH+]2)cn1 ZINC001100823518 776598461 /nfs/dbraw/zinc/59/84/61/776598461.db2.gz MFPOMUQMVVTVLY-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO COC1(C)C[NH+]([C@H]2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)C1 ZINC001172981753 776952282 /nfs/dbraw/zinc/95/22/82/776952282.db2.gz YVOHWDSNCSYFRL-STQMWFEESA-N 1 2 309.410 1.999 20 30 DDEDLO C=CCOC1CCC([NH2+][C@@H](CCC(=O)OC)C(=O)OC)CC1 ZINC001173312728 777106074 /nfs/dbraw/zinc/10/60/74/777106074.db2.gz LACRFUITFYWGGH-RUXDESIVSA-N 1 2 313.394 1.585 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](CC)OC)c1nccn12 ZINC001101614073 777305333 /nfs/dbraw/zinc/30/53/33/777305333.db2.gz NUWPHAVESJQUGS-CHWSQXEVSA-N 1 2 304.394 1.066 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C(\C)C1CC1)c1nccn12 ZINC001101614533 777306776 /nfs/dbraw/zinc/30/67/76/777306776.db2.gz XIGCOJLQMNMCAD-DKGMDFAASA-N 1 2 324.428 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)COCCCC)c1nccn12 ZINC001101619545 777312510 /nfs/dbraw/zinc/31/25/10/777312510.db2.gz YLLRHJYMLPLURU-CQSZACIVSA-N 1 2 318.421 1.458 20 30 DDEDLO Cc1coc(Nc2cnn(CC[NH+]3CCOCC3)c2)c1C#N ZINC001174491896 777486521 /nfs/dbraw/zinc/48/65/21/777486521.db2.gz XRGJUEAKVNUDEE-UHFFFAOYSA-N 1 2 301.350 1.732 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001101847642 777599908 /nfs/dbraw/zinc/59/99/08/777599908.db2.gz MIYCALSLCJSNGG-CQSZACIVSA-N 1 2 324.388 1.283 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1CNC(=O)C#CC(C)(C)C ZINC001101955027 777728884 /nfs/dbraw/zinc/72/88/84/777728884.db2.gz PBXPEFJMWKIHSN-CHWSQXEVSA-N 1 2 320.462 1.772 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1CNC(=O)C#CC(C)(C)C ZINC001101955027 777728896 /nfs/dbraw/zinc/72/88/96/777728896.db2.gz PBXPEFJMWKIHSN-CHWSQXEVSA-N 1 2 320.462 1.772 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC1(Nc2ncccc2C#N)CC1 ZINC001110194301 778109495 /nfs/dbraw/zinc/10/94/95/778109495.db2.gz ARRWFEMPGZLIFC-UHFFFAOYSA-N 1 2 310.361 1.288 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H]1C[N@H+](Cc2nncs2)C[C@H]1C ZINC001102610680 778238574 /nfs/dbraw/zinc/23/85/74/778238574.db2.gz NYFHURALLNIBIE-FRRDWIJNSA-N 1 2 324.450 1.313 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H]1C[N@@H+](Cc2nncs2)C[C@H]1C ZINC001102610680 778238580 /nfs/dbraw/zinc/23/85/80/778238580.db2.gz NYFHURALLNIBIE-FRRDWIJNSA-N 1 2 324.450 1.313 20 30 DDEDLO O=C(Cc1c[nH]c[nH+]1)NC1=C(Cl)C(=O)c2ccccc2C1=O ZINC001176836948 778268787 /nfs/dbraw/zinc/26/87/87/778268787.db2.gz SYTHRXDHKINDMN-UHFFFAOYSA-N 1 2 315.716 1.598 20 30 DDEDLO Cc1nc(N2CC[C@@H](N(C)C(=O)CSCC#N)C2)cc[nH+]1 ZINC001102670112 778290113 /nfs/dbraw/zinc/29/01/13/778290113.db2.gz QUNJNFKUOUJUOV-GFCCVEGCSA-N 1 2 305.407 1.079 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CCCC3)C[C@H]21 ZINC001176895022 778327566 /nfs/dbraw/zinc/32/75/66/778327566.db2.gz WFMQQNFOACJUBW-SJORKVTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CCCC3)C[C@H]21 ZINC001176895022 778327574 /nfs/dbraw/zinc/32/75/74/778327574.db2.gz WFMQQNFOACJUBW-SJORKVTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@H]21 ZINC001176962283 778351712 /nfs/dbraw/zinc/35/17/12/778351712.db2.gz HQOBMYVJJVGUNX-HZPDHXFCSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@H]21 ZINC001176962283 778351719 /nfs/dbraw/zinc/35/17/19/778351719.db2.gz HQOBMYVJJVGUNX-HZPDHXFCSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2OCC[N@H+](CCOCC=C)[C@H]2C1 ZINC001177089603 778441264 /nfs/dbraw/zinc/44/12/64/778441264.db2.gz TVDYFQFNUHXEFC-DLBZAZTESA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOCC=C)[C@H]2C1 ZINC001177089603 778441269 /nfs/dbraw/zinc/44/12/69/778441269.db2.gz TVDYFQFNUHXEFC-DLBZAZTESA-N 1 2 322.449 1.847 20 30 DDEDLO CN(CC(=O)N(CCC#N)Cc1cccnc1)c1cccc[nH+]1 ZINC001177409354 778584755 /nfs/dbraw/zinc/58/47/55/778584755.db2.gz MMYIGIWSUYYBBS-UHFFFAOYSA-N 1 2 309.373 1.855 20 30 DDEDLO CC(=O)Nc1ccc(NC(=O)CN(C)c2cccc[nH+]2)cc1C#N ZINC001177413333 778587097 /nfs/dbraw/zinc/58/70/97/778587097.db2.gz QNFQCNIOYCKDLA-UHFFFAOYSA-N 1 2 323.356 1.987 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)nn1 ZINC001103357368 778811639 /nfs/dbraw/zinc/81/16/39/778811639.db2.gz QDZRGYDJHRWFNM-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)nn1 ZINC001103357368 778811646 /nfs/dbraw/zinc/81/16/46/778811646.db2.gz QDZRGYDJHRWFNM-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO N#Cc1cccc2[nH]nc(NC(=O)[C@H]3CCc4[nH+]ccn4C3)c21 ZINC001178101414 778871227 /nfs/dbraw/zinc/87/12/27/778871227.db2.gz XSPBZYAZLPYRSX-NSHDSACASA-N 1 2 306.329 1.832 20 30 DDEDLO C[C@@](CNc1ccc(C#N)nc1)(NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001103545256 778936849 /nfs/dbraw/zinc/93/68/49/778936849.db2.gz SXKGQSGECDDCQG-KRWDZBQOSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001103890556 779136544 /nfs/dbraw/zinc/13/65/44/779136544.db2.gz ZTGUPBOTOYJUST-CYBMUJFWSA-N 1 2 317.437 1.595 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001103890556 779136549 /nfs/dbraw/zinc/13/65/49/779136549.db2.gz ZTGUPBOTOYJUST-CYBMUJFWSA-N 1 2 317.437 1.595 20 30 DDEDLO Cc1cc(NC[C@H](C)CNC(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001103898157 779146188 /nfs/dbraw/zinc/14/61/88/779146188.db2.gz HCPPNBSFRUSXOA-QWRGUYRKSA-N 1 2 301.394 1.986 20 30 DDEDLO C[C@H](CNC(=O)Cc1[nH]cc[nH+]1)CNc1cccc(F)c1C#N ZINC001104053048 779273415 /nfs/dbraw/zinc/27/34/15/779273415.db2.gz PSIQCZSLDWCANH-NSHDSACASA-N 1 2 315.352 1.827 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104188782 779360207 /nfs/dbraw/zinc/36/02/07/779360207.db2.gz QTRHMNUPIZKKQY-GFCCVEGCSA-N 1 2 316.409 1.884 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)no1 ZINC001111660654 779423200 /nfs/dbraw/zinc/42/32/00/779423200.db2.gz REKLYMBSTLDMGV-RBOXIYTFSA-N 1 2 302.378 1.613 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)no1 ZINC001111660654 779423202 /nfs/dbraw/zinc/42/32/02/779423202.db2.gz REKLYMBSTLDMGV-RBOXIYTFSA-N 1 2 302.378 1.613 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnns1)C2 ZINC001111668395 779426959 /nfs/dbraw/zinc/42/69/59/779426959.db2.gz JCZOPSFSZXSUQJ-MJVIPROJSA-N 1 2 305.407 1.168 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnns1)C2 ZINC001111668395 779426964 /nfs/dbraw/zinc/42/69/64/779426964.db2.gz JCZOPSFSZXSUQJ-MJVIPROJSA-N 1 2 305.407 1.168 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nnc(C)[nH]1)C2 ZINC001111859021 779522647 /nfs/dbraw/zinc/52/26/47/779522647.db2.gz KHEDYYPGQGJAAO-YOEHRIQHSA-N 1 2 317.437 1.940 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nnc(C)[nH]1)C2 ZINC001111859021 779522653 /nfs/dbraw/zinc/52/26/53/779522653.db2.gz KHEDYYPGQGJAAO-YOEHRIQHSA-N 1 2 317.437 1.940 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)[C@@H]1C ZINC001180268471 779685554 /nfs/dbraw/zinc/68/55/54/779685554.db2.gz BXKMRQJPNRRBMD-AVGNSLFASA-N 1 2 322.409 1.302 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnon3)C[C@H]21)c1ccccc1 ZINC001115280824 780003351 /nfs/dbraw/zinc/00/33/51/780003351.db2.gz VCYSDHWNEMRBRS-NCOADZHNSA-N 1 2 324.384 1.586 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnon3)C[C@H]21)c1ccccc1 ZINC001115280824 780003359 /nfs/dbraw/zinc/00/33/59/780003359.db2.gz VCYSDHWNEMRBRS-NCOADZHNSA-N 1 2 324.384 1.586 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[C@@H](Nc1cc[nH+]c(C)n1)C(C)C ZINC001115335738 780049143 /nfs/dbraw/zinc/04/91/43/780049143.db2.gz XILKDKMFKMYILJ-UKRRQHHQSA-N 1 2 318.421 1.766 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001115674863 780331791 /nfs/dbraw/zinc/33/17/91/780331791.db2.gz SQJDYNMHQRBWEF-GFCCVEGCSA-N 1 2 314.393 1.426 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@@H]2CCC(C)(C)O2)CC1 ZINC001118853381 781257290 /nfs/dbraw/zinc/25/72/90/781257290.db2.gz JAYJESDNKKGSGK-HNNXBMFYSA-N 1 2 317.437 1.299 20 30 DDEDLO COC(=O)c1cc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)[nH]c1C ZINC001119516157 781490483 /nfs/dbraw/zinc/49/04/83/781490483.db2.gz PSLLTBYUBDIEKY-RYUDHWBXSA-N 1 2 304.394 1.528 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NCC1(NCC#N)CCCCC1 ZINC001120085826 781754140 /nfs/dbraw/zinc/75/41/40/781754140.db2.gz ZGFQGVUMFJTXPC-UHFFFAOYSA-N 1 2 303.410 1.285 20 30 DDEDLO CC1(C)CCC[C@@H]1C(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001267273310 837717689 /nfs/dbraw/zinc/71/76/89/837717689.db2.gz WKAZWXBZAPFGPH-UONOGXRCSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CCC[C@@H]1C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001267273310 837717694 /nfs/dbraw/zinc/71/76/94/837717694.db2.gz WKAZWXBZAPFGPH-UONOGXRCSA-N 1 2 320.437 1.033 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@H]2CCCC[C@@H]2C2CC2)CC1 ZINC001266290332 836063298 /nfs/dbraw/zinc/06/32/98/836063298.db2.gz WFTGESBTGQJLCN-MSOLQXFVSA-N 1 2 317.477 1.570 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[N@H+](C)CC(=O)NC1CCCC1 ZINC001266320395 836114818 /nfs/dbraw/zinc/11/48/18/836114818.db2.gz JQSGYIYFBVXWBT-KRWDZBQOSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[N@@H+](C)CC(=O)NC1CCCC1 ZINC001266320395 836114821 /nfs/dbraw/zinc/11/48/21/836114821.db2.gz JQSGYIYFBVXWBT-KRWDZBQOSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1C[C@@H]([NH2+][C@H](C)c2noc(C)n2)C1 ZINC001266672037 836634452 /nfs/dbraw/zinc/63/44/52/836634452.db2.gz KVKHSLSHRMRAMM-OXHZDVMGSA-N 1 2 322.409 1.657 20 30 DDEDLO C[N@H+](CCOCCNC(=O)C#CC(C)(C)C)Cc1ncccn1 ZINC001283376829 837279255 /nfs/dbraw/zinc/27/92/55/837279255.db2.gz HSLINNQVWXAGBG-UHFFFAOYSA-N 1 2 318.421 1.091 20 30 DDEDLO C[N@@H+](CCOCCNC(=O)C#CC(C)(C)C)Cc1ncccn1 ZINC001283376829 837279265 /nfs/dbraw/zinc/27/92/65/837279265.db2.gz HSLINNQVWXAGBG-UHFFFAOYSA-N 1 2 318.421 1.091 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)NCC=C ZINC001267533126 838261163 /nfs/dbraw/zinc/26/11/63/838261163.db2.gz TXRWSCZZZUUJBG-HRCADAONSA-N 1 2 319.449 1.709 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@@H](C)C(=O)NCC=C ZINC001267533126 838261170 /nfs/dbraw/zinc/26/11/70/838261170.db2.gz TXRWSCZZZUUJBG-HRCADAONSA-N 1 2 319.449 1.709 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@H](C)C(=O)NCC=C ZINC001267533127 838261255 /nfs/dbraw/zinc/26/12/55/838261255.db2.gz TXRWSCZZZUUJBG-OAGGEKHMSA-N 1 2 319.449 1.709 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)NCC=C ZINC001267533127 838261263 /nfs/dbraw/zinc/26/12/63/838261263.db2.gz TXRWSCZZZUUJBG-OAGGEKHMSA-N 1 2 319.449 1.709 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](N(CCC)C(=O)[C@H](F)CC)C1 ZINC001267547314 838284681 /nfs/dbraw/zinc/28/46/81/838284681.db2.gz WMYHKQANMGOPGH-UONOGXRCSA-N 1 2 313.417 1.350 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](N(CCC)C(=O)[C@H](F)CC)C1 ZINC001267547314 838284688 /nfs/dbraw/zinc/28/46/88/838284688.db2.gz WMYHKQANMGOPGH-UONOGXRCSA-N 1 2 313.417 1.350 20 30 DDEDLO C#CC[N@@H+](C)C[C@@H]1CCN(C(=O)c2c(Cl)[nH]nc2C2CC2)C1 ZINC001267653171 838585867 /nfs/dbraw/zinc/58/58/67/838585867.db2.gz MLGSHQZZUADMKD-NSHDSACASA-N 1 2 320.824 1.968 20 30 DDEDLO C#CC[N@H+](C)C[C@@H]1CCN(C(=O)c2c(Cl)[nH]nc2C2CC2)C1 ZINC001267653171 838585872 /nfs/dbraw/zinc/58/58/72/838585872.db2.gz MLGSHQZZUADMKD-NSHDSACASA-N 1 2 320.824 1.968 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1nncn1C ZINC001272024157 844349574 /nfs/dbraw/zinc/34/95/74/844349574.db2.gz MLBPJRKXDLGFJZ-GJZGRUSLSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1nncn1C ZINC001272024157 844349582 /nfs/dbraw/zinc/34/95/82/844349582.db2.gz MLBPJRKXDLGFJZ-GJZGRUSLSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](CCCC)C(N)=O ZINC001267712355 838696421 /nfs/dbraw/zinc/69/64/21/838696421.db2.gz QPLYUVJMARDAFC-SOUVJXGZSA-N 1 2 307.438 1.672 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](CCCC)C(N)=O ZINC001267712355 838696424 /nfs/dbraw/zinc/69/64/24/838696424.db2.gz QPLYUVJMARDAFC-SOUVJXGZSA-N 1 2 307.438 1.672 20 30 DDEDLO CCOCC(=O)N[C@H]1CC[N@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC001267718010 838719016 /nfs/dbraw/zinc/71/90/16/838719016.db2.gz ULRZSNKLHFOFCU-INIZCTEOSA-N 1 2 320.820 1.919 20 30 DDEDLO CCOCC(=O)N[C@H]1CC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC001267718010 838719018 /nfs/dbraw/zinc/71/90/18/838719018.db2.gz ULRZSNKLHFOFCU-INIZCTEOSA-N 1 2 320.820 1.919 20 30 DDEDLO COc1ncc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)C2)c(C)n1 ZINC001267741249 838810287 /nfs/dbraw/zinc/81/02/87/838810287.db2.gz OWSWVBXXWUOZDI-OAHLLOKOSA-N 1 2 316.405 1.144 20 30 DDEDLO COc1ncc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)C2)c(C)n1 ZINC001267741249 838810293 /nfs/dbraw/zinc/81/02/93/838810293.db2.gz OWSWVBXXWUOZDI-OAHLLOKOSA-N 1 2 316.405 1.144 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@H]1CC[N@H+](CC=C(Cl)Cl)C1 ZINC001267762229 838892559 /nfs/dbraw/zinc/89/25/59/838892559.db2.gz PMWXQMYXXKILGM-NEPJUHHUSA-N 1 2 319.232 1.876 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@H]1CC[N@@H+](CC=C(Cl)Cl)C1 ZINC001267762229 838892564 /nfs/dbraw/zinc/89/25/64/838892564.db2.gz PMWXQMYXXKILGM-NEPJUHHUSA-N 1 2 319.232 1.876 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@H]1CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001267781348 838966629 /nfs/dbraw/zinc/96/66/29/838966629.db2.gz GJFDLYYXKMOKOF-CABCVRRESA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@H]1CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001267781348 838966634 /nfs/dbraw/zinc/96/66/34/838966634.db2.gz GJFDLYYXKMOKOF-CABCVRRESA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(C)no1 ZINC001267972293 839301732 /nfs/dbraw/zinc/30/17/32/839301732.db2.gz ZULMTPMIGUFKSY-CYBMUJFWSA-N 1 2 308.382 1.051 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@@H](C)C[NH2+]Cc1nc(C(C)C)no1 ZINC001268064971 839637078 /nfs/dbraw/zinc/63/70/78/839637078.db2.gz XBDYFPUHXLZCQS-QWHCGFSZSA-N 1 2 322.409 1.168 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCOCCOC)C1 ZINC001268153553 839762707 /nfs/dbraw/zinc/76/27/07/839762707.db2.gz NMZJNNKBDSZYQO-CYBMUJFWSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCOCCOC)C1 ZINC001268153553 839762717 /nfs/dbraw/zinc/76/27/17/839762717.db2.gz NMZJNNKBDSZYQO-CYBMUJFWSA-N 1 2 304.818 1.373 20 30 DDEDLO COCc1noc([C@@H](C)[NH2+]C[C@H](C)NC(=O)C#CC(C)(C)C)n1 ZINC001268688798 840674920 /nfs/dbraw/zinc/67/49/20/840674920.db2.gz MDWCGZWVNLHFAP-NWDGAFQWSA-N 1 2 322.409 1.421 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C1 ZINC001269019353 841117886 /nfs/dbraw/zinc/11/78/86/841117886.db2.gz XUIZDVUFGXVPHZ-GOEBONIOSA-N 1 2 307.369 1.238 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001269019353 841117894 /nfs/dbraw/zinc/11/78/94/841117894.db2.gz XUIZDVUFGXVPHZ-GOEBONIOSA-N 1 2 307.369 1.238 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCC(C)(C)C2)C1 ZINC001269060948 841162312 /nfs/dbraw/zinc/16/23/12/841162312.db2.gz COPVEXTUTANGLI-KGLIPLIRSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCC(C)(C)C2)C1 ZINC001269060948 841162321 /nfs/dbraw/zinc/16/23/21/841162321.db2.gz COPVEXTUTANGLI-KGLIPLIRSA-N 1 2 307.438 1.305 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@@H](NC(=O)C#CC(C)(C)C)C2CC2)o1 ZINC001269072034 841172274 /nfs/dbraw/zinc/17/22/74/841172274.db2.gz VZENOOCGOXCWGL-CYBMUJFWSA-N 1 2 304.394 1.412 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@@H]1CCC[C@@H]1CC ZINC001272105695 844618140 /nfs/dbraw/zinc/61/81/40/844618140.db2.gz NNPFAWFVIXJYAJ-LSDHHAIUSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@@H]1CCC[C@@H]1CC ZINC001272105695 844618146 /nfs/dbraw/zinc/61/81/46/844618146.db2.gz NNPFAWFVIXJYAJ-LSDHHAIUSA-N 1 2 309.454 1.505 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001269126471 841238325 /nfs/dbraw/zinc/23/83/25/841238325.db2.gz NSJWSVLAPICXNJ-IAGOWNOFSA-N 1 2 318.392 1.385 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001269126471 841238331 /nfs/dbraw/zinc/23/83/31/841238331.db2.gz NSJWSVLAPICXNJ-IAGOWNOFSA-N 1 2 318.392 1.385 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2ccc(C)cc2C)C1 ZINC001269191939 841326398 /nfs/dbraw/zinc/32/63/98/841326398.db2.gz FTBTWITXPJLSNF-OAHLLOKOSA-N 1 2 315.417 1.410 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)cc2C)C1 ZINC001269191939 841326415 /nfs/dbraw/zinc/32/64/15/841326415.db2.gz FTBTWITXPJLSNF-OAHLLOKOSA-N 1 2 315.417 1.410 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)c1ccc[nH]1 ZINC001269196695 841328377 /nfs/dbraw/zinc/32/83/77/841328377.db2.gz XNYZXRKWNDOVLY-CQSZACIVSA-N 1 2 316.405 1.019 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)c1ccc[nH]1 ZINC001269196695 841328382 /nfs/dbraw/zinc/32/83/82/841328382.db2.gz XNYZXRKWNDOVLY-CQSZACIVSA-N 1 2 316.405 1.019 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(C2CC2)cn1 ZINC001269339118 841539610 /nfs/dbraw/zinc/53/96/10/841539610.db2.gz WFPYQAOKJCSWQM-MRXNPFEDSA-N 1 2 313.401 1.413 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C2CC2)cn1 ZINC001269339118 841539613 /nfs/dbraw/zinc/53/96/13/841539613.db2.gz WFPYQAOKJCSWQM-MRXNPFEDSA-N 1 2 313.401 1.413 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001269352837 841562280 /nfs/dbraw/zinc/56/22/80/841562280.db2.gz XGFQDXDGVUWZKK-CQSZACIVSA-N 1 2 307.394 1.575 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001269352837 841562282 /nfs/dbraw/zinc/56/22/82/841562282.db2.gz XGFQDXDGVUWZKK-CQSZACIVSA-N 1 2 307.394 1.575 20 30 DDEDLO CC#CC[NH2+]C[C@H]1CCCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001270104990 842298510 /nfs/dbraw/zinc/29/85/10/842298510.db2.gz HWVQYOOGPCYODE-MRXNPFEDSA-N 1 2 323.400 1.689 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)[N@H+](Cc1csnn1)C2 ZINC001271407926 843565106 /nfs/dbraw/zinc/56/51/06/843565106.db2.gz NFPMBEGPGHYNQT-OBJOEFQTSA-N 1 2 306.435 1.973 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)[N@@H+](Cc1csnn1)C2 ZINC001271407926 843565115 /nfs/dbraw/zinc/56/51/15/843565115.db2.gz NFPMBEGPGHYNQT-OBJOEFQTSA-N 1 2 306.435 1.973 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc3c[nH]nc3cc2OC)CC1 ZINC001154794371 861461773 /nfs/dbraw/zinc/46/17/73/861461773.db2.gz IOZIYDGPSCTOAP-UHFFFAOYSA-N 1 2 300.362 1.515 20 30 DDEDLO Cc1cccc([C@H](C)[NH+]2CC(CCO)(NC(=O)[C@@H](C)C#N)C2)c1 ZINC001409710479 845607292 /nfs/dbraw/zinc/60/72/92/845607292.db2.gz QKDIJSIRBAZERW-GJZGRUSLSA-N 1 2 315.417 1.769 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccc(F)cn2)CCCO1 ZINC001149407788 861596902 /nfs/dbraw/zinc/59/69/02/861596902.db2.gz ONISSSZOBWRNTP-IUODEOHRSA-N 1 2 320.368 1.087 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccc(F)cn2)CCCO1 ZINC001149407788 861596912 /nfs/dbraw/zinc/59/69/12/861596912.db2.gz ONISSSZOBWRNTP-IUODEOHRSA-N 1 2 320.368 1.087 20 30 DDEDLO C[C@@H](NC(=O)[C@@H](C)C#N)C1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001409852213 845906892 /nfs/dbraw/zinc/90/68/92/845906892.db2.gz SBDWOESTRZHAAC-NWDGAFQWSA-N 1 2 314.364 1.794 20 30 DDEDLO COCC#CC[NH2+]CC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001272441056 846141726 /nfs/dbraw/zinc/14/17/26/846141726.db2.gz RFTMUOKXRALHMS-RYUDHWBXSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[NH2+]CC[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001272441056 846141731 /nfs/dbraw/zinc/14/17/31/846141731.db2.gz RFTMUOKXRALHMS-RYUDHWBXSA-N 1 2 324.318 1.365 20 30 DDEDLO N#CCNCC[C@H]1CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001272511745 846249734 /nfs/dbraw/zinc/24/97/34/846249734.db2.gz FSCOQMOUPANOQS-HNNXBMFYSA-N 1 2 323.400 1.838 20 30 DDEDLO CCNC(=O)C[N@H+](C)Cc1ccc(C#N)c(Br)c1 ZINC001236859085 846654925 /nfs/dbraw/zinc/65/49/25/846654925.db2.gz YETBOXSKIVTCJT-UHFFFAOYSA-N 1 2 310.195 1.889 20 30 DDEDLO CCNC(=O)C[N@@H+](C)Cc1ccc(C#N)c(Br)c1 ZINC001236859085 846654928 /nfs/dbraw/zinc/65/49/28/846654928.db2.gz YETBOXSKIVTCJT-UHFFFAOYSA-N 1 2 310.195 1.889 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032362714 847037508 /nfs/dbraw/zinc/03/75/08/847037508.db2.gz OTQFMJWVYCCVIV-HOTGVXAUSA-N 1 2 324.388 1.027 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032362714 847037520 /nfs/dbraw/zinc/03/75/20/847037520.db2.gz OTQFMJWVYCCVIV-HOTGVXAUSA-N 1 2 324.388 1.027 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)OCC2CC2)C1 ZINC001107790421 847083709 /nfs/dbraw/zinc/08/37/09/847083709.db2.gz FYPHXYLKRIGMLO-YOEHRIQHSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)OCC2CC2)C1 ZINC001107790421 847083715 /nfs/dbraw/zinc/08/37/15/847083715.db2.gz FYPHXYLKRIGMLO-YOEHRIQHSA-N 1 2 308.422 1.032 20 30 DDEDLO C=C(C)CN1C(=O)C[C@]2(CCC[N@H+](Cc3csnn3)C2)C1=O ZINC001272780295 847417809 /nfs/dbraw/zinc/41/78/09/847417809.db2.gz DVXXLUWWXXXVKK-HNNXBMFYSA-N 1 2 320.418 1.455 20 30 DDEDLO C=C(C)CN1C(=O)C[C@]2(CCC[N@@H+](Cc3csnn3)C2)C1=O ZINC001272780295 847417813 /nfs/dbraw/zinc/41/78/13/847417813.db2.gz DVXXLUWWXXXVKK-HNNXBMFYSA-N 1 2 320.418 1.455 20 30 DDEDLO C#CCNC(=O)[C@@H]1CC12CC[NH+](Cc1ncc(F)cc1F)CC2 ZINC001272818918 847499611 /nfs/dbraw/zinc/49/96/11/847499611.db2.gz MUPROHFWBBBZAQ-ZDUSSCGKSA-N 1 2 319.355 1.711 20 30 DDEDLO C=C(C)CCC(=O)N1CCCC[C@@H]1C[NH2+]Cc1nnn(C)n1 ZINC001272968077 847721303 /nfs/dbraw/zinc/72/13/03/847721303.db2.gz QIRVKNNEEADUCS-CYBMUJFWSA-N 1 2 306.414 1.037 20 30 DDEDLO CCn1ncc(C[N@@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@H]23)n1 ZINC001410889243 849921947 /nfs/dbraw/zinc/92/19/47/849921947.db2.gz QQIOZNVQQZUCRA-IVMMDQJWSA-N 1 2 316.409 1.071 20 30 DDEDLO CCn1ncc(C[N@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@H]23)n1 ZINC001410889243 849921951 /nfs/dbraw/zinc/92/19/51/849921951.db2.gz QQIOZNVQQZUCRA-IVMMDQJWSA-N 1 2 316.409 1.071 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[NH2+]CC(F)(F)C(F)F ZINC001155453345 862103572 /nfs/dbraw/zinc/10/35/72/862103572.db2.gz AGILRSBHFGLYEO-SNVBAGLBSA-N 1 2 314.323 1.964 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)CCCC[N@H+]2CCS(=O)(=O)CC ZINC001273831872 851401552 /nfs/dbraw/zinc/40/15/52/851401552.db2.gz ONFIWRCNJSKQET-HNNXBMFYSA-N 1 2 314.451 1.064 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2CCS(=O)(=O)CC ZINC001273831872 851401555 /nfs/dbraw/zinc/40/15/55/851401555.db2.gz ONFIWRCNJSKQET-HNNXBMFYSA-N 1 2 314.451 1.064 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](CCSCC)C[C@@]2(F)C1=O ZINC001274009636 851844789 /nfs/dbraw/zinc/84/47/89/851844789.db2.gz NFUAGLWGRPJQRD-UONOGXRCSA-N 1 2 304.406 1.890 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](CCSCC)C[C@@]2(F)C1=O ZINC001274009636 851844797 /nfs/dbraw/zinc/84/47/97/851844797.db2.gz NFUAGLWGRPJQRD-UONOGXRCSA-N 1 2 304.406 1.890 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(C#N)cc1C)C2 ZINC001274030822 851869246 /nfs/dbraw/zinc/86/92/46/851869246.db2.gz YIUWRVNBLFJIKT-UHFFFAOYSA-N 1 2 311.385 1.466 20 30 DDEDLO CC(C)(C)C(=O)CN1C(=O)COCC12C[NH+](CCCCC#N)C2 ZINC001274040253 851881255 /nfs/dbraw/zinc/88/12/55/851881255.db2.gz JSIFOYXUDYMMON-UHFFFAOYSA-N 1 2 321.421 1.209 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@@H+]2Cc2cncc(O)c2)C1=O ZINC001274284441 852124815 /nfs/dbraw/zinc/12/48/15/852124815.db2.gz XQJWVUVKEQIKDQ-KRWDZBQOSA-N 1 2 301.390 1.930 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@H+]2Cc2cncc(O)c2)C1=O ZINC001274284441 852124818 /nfs/dbraw/zinc/12/48/18/852124818.db2.gz XQJWVUVKEQIKDQ-KRWDZBQOSA-N 1 2 301.390 1.930 20 30 DDEDLO N#CCCCN1C(=O)C[C@]2(CCCN(c3cccc[nH+]3)C2)C1=O ZINC001274740421 852569991 /nfs/dbraw/zinc/56/99/91/852569991.db2.gz WVIVIYRWJAQWIY-KRWDZBQOSA-N 1 2 312.373 1.731 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cc(C)cc(O)c1)C2 ZINC001274760360 852582981 /nfs/dbraw/zinc/58/29/81/852582981.db2.gz UYNQCPZWIMNWNH-UHFFFAOYSA-N 1 2 302.374 1.300 20 30 DDEDLO N#Cc1cncc(CN2C[C@@H]3COC[C@H](C2)[N@@H+]3C2CCCC2)c1 ZINC001275027513 852753700 /nfs/dbraw/zinc/75/37/00/852753700.db2.gz MKIYSERZLVOFCZ-HDICACEKSA-N 1 2 312.417 1.781 20 30 DDEDLO N#Cc1cncc(CN2C[C@@H]3COC[C@H](C2)[N@H+]3C2CCCC2)c1 ZINC001275027513 852753707 /nfs/dbraw/zinc/75/37/07/852753707.db2.gz MKIYSERZLVOFCZ-HDICACEKSA-N 1 2 312.417 1.781 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@H]2C[C@@H](C1)N2Cc1ccc(C#N)nc1 ZINC001275295996 852961987 /nfs/dbraw/zinc/96/19/87/852961987.db2.gz NOQGTCYZXIFDPE-CALCHBBNSA-N 1 2 322.416 1.628 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@H]2C[C@@H](C1)N2Cc1ccc(C#N)nc1 ZINC001275295996 852961993 /nfs/dbraw/zinc/96/19/93/852961993.db2.gz NOQGTCYZXIFDPE-CALCHBBNSA-N 1 2 322.416 1.628 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H](C)[N@H+](CC)Cc1ccon1 ZINC001155757217 862429744 /nfs/dbraw/zinc/42/97/44/862429744.db2.gz BMWFQDZFLTUURM-KBMXLJTQSA-N 1 2 321.421 1.982 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ccon1 ZINC001155757217 862429757 /nfs/dbraw/zinc/42/97/57/862429757.db2.gz BMWFQDZFLTUURM-KBMXLJTQSA-N 1 2 321.421 1.982 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)[C@H](C)COC)CC2 ZINC001444547386 853399846 /nfs/dbraw/zinc/39/98/46/853399846.db2.gz POFPTDORVBHAGM-GFCCVEGCSA-N 1 2 300.830 1.946 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1C[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001280765767 853971770 /nfs/dbraw/zinc/97/17/70/853971770.db2.gz KASXOHGUVGEJAS-HDJSIYSDSA-N 1 2 318.421 1.330 20 30 DDEDLO Cc1nnc2n1CC[N@@H+](CCC(=O)Nc1cccc(C#N)c1)[C@@H]2C ZINC001413110251 856444282 /nfs/dbraw/zinc/44/42/82/856444282.db2.gz XCBGIEJNYOQHHM-GFCCVEGCSA-N 1 2 324.388 1.864 20 30 DDEDLO Cc1nnc2n1CC[N@H+](CCC(=O)Nc1cccc(C#N)c1)[C@@H]2C ZINC001413110251 856444286 /nfs/dbraw/zinc/44/42/86/856444286.db2.gz XCBGIEJNYOQHHM-GFCCVEGCSA-N 1 2 324.388 1.864 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C3CC3)o1)C2 ZINC001072559463 857448769 /nfs/dbraw/zinc/44/87/69/857448769.db2.gz DJZLOFTUSWKXFW-NSHDSACASA-N 1 2 315.377 1.141 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C3CC3)o1)C2 ZINC001072559463 857448772 /nfs/dbraw/zinc/44/87/72/857448772.db2.gz DJZLOFTUSWKXFW-NSHDSACASA-N 1 2 315.377 1.141 20 30 DDEDLO CCc1nnc(C[N@@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)o1 ZINC001072718920 857637943 /nfs/dbraw/zinc/63/79/43/857637943.db2.gz UARNJSAOJUFHAL-UHFFFAOYSA-N 1 2 314.389 1.080 20 30 DDEDLO CCc1nnc(C[N@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)o1 ZINC001072718920 857637950 /nfs/dbraw/zinc/63/79/50/857637950.db2.gz UARNJSAOJUFHAL-UHFFFAOYSA-N 1 2 314.389 1.080 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC4(C3)CCN(CC#N)C4)ccn12 ZINC001072734582 857652667 /nfs/dbraw/zinc/65/26/67/857652667.db2.gz KXSMBDJBJMRCOH-UHFFFAOYSA-N 1 2 309.373 1.314 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC2(CN(C(=O)[C@@H]3C[C@H]3c3c[nH]cn3)C2)C1 ZINC001072828795 857766571 /nfs/dbraw/zinc/76/65/71/857766571.db2.gz SBJYBZMMLRRZAQ-CHWSQXEVSA-N 1 2 320.824 1.800 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC2(CN(C(=O)[C@@H]3C[C@H]3c3c[nH]cn3)C2)C1 ZINC001072828795 857766579 /nfs/dbraw/zinc/76/65/79/857766579.db2.gz SBJYBZMMLRRZAQ-CHWSQXEVSA-N 1 2 320.824 1.800 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H](OC)C1CC1 ZINC001328469069 862992522 /nfs/dbraw/zinc/99/25/22/862992522.db2.gz ONWDEUMZFYONIW-ROUUACIJSA-N 1 2 312.413 1.760 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H](OC)C1CC1 ZINC001328469069 862992529 /nfs/dbraw/zinc/99/25/29/862992529.db2.gz ONWDEUMZFYONIW-ROUUACIJSA-N 1 2 312.413 1.760 20 30 DDEDLO CC#CC[N@@H+]1CCC2(CN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001073422056 858321284 /nfs/dbraw/zinc/32/12/84/858321284.db2.gz ZGAQEKVNGLJJKC-UHFFFAOYSA-N 1 2 309.373 1.129 20 30 DDEDLO CC#CC[N@H+]1CCC2(CN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001073422056 858321291 /nfs/dbraw/zinc/32/12/91/858321291.db2.gz ZGAQEKVNGLJJKC-UHFFFAOYSA-N 1 2 309.373 1.129 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccc(C)nn2)C1 ZINC001073599372 858472918 /nfs/dbraw/zinc/47/29/18/858472918.db2.gz CJWPXZXYPNYMCG-ZDUSSCGKSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccc(C)nn2)C1 ZINC001073599372 858472922 /nfs/dbraw/zinc/47/29/22/858472922.db2.gz CJWPXZXYPNYMCG-ZDUSSCGKSA-N 1 2 324.812 1.358 20 30 DDEDLO C=CCn1c(N(CC)CC(C)C)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121709710 858578612 /nfs/dbraw/zinc/57/86/12/858578612.db2.gz VXJPRXVRMAIIII-PBHICJAKSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(CC)CC(C)C)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121709710 858578615 /nfs/dbraw/zinc/57/86/15/858578615.db2.gz VXJPRXVRMAIIII-PBHICJAKSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(C[NH+]2CCCC2)nnc1N1CC[C@](F)(C#N)C1 ZINC001121716289 858581192 /nfs/dbraw/zinc/58/11/92/858581192.db2.gz GFSFZVMLYFRGIR-HNNXBMFYSA-N 1 2 304.373 1.502 20 30 DDEDLO C#CCN1CCN(c2nnc([C@@H]3CCCC[N@@H+]3C)n2CC)CC1 ZINC001121879763 858618477 /nfs/dbraw/zinc/61/84/77/858618477.db2.gz RBRIHZSILMLYMK-HNNXBMFYSA-N 1 2 316.453 1.210 20 30 DDEDLO C#CCN1CCN(c2nnc([C@@H]3CCCC[N@H+]3C)n2CC)CC1 ZINC001121879763 858618479 /nfs/dbraw/zinc/61/84/79/858618479.db2.gz RBRIHZSILMLYMK-HNNXBMFYSA-N 1 2 316.453 1.210 20 30 DDEDLO CCCCCCC[N@@H+]1CC[C@H]1CN(C)C(=O)CS(C)(=O)=O ZINC001231025206 885143619 /nfs/dbraw/zinc/14/36/19/885143619.db2.gz IKOVWEPPJMGYGY-AWEZNQCLSA-N 1 2 318.483 1.534 20 30 DDEDLO CCCCCCC[N@H+]1CC[C@H]1CN(C)C(=O)CS(C)(=O)=O ZINC001231025206 885143628 /nfs/dbraw/zinc/14/36/28/885143628.db2.gz IKOVWEPPJMGYGY-AWEZNQCLSA-N 1 2 318.483 1.534 20 30 DDEDLO CN(C)C(=O)N1CC[NH+](Cc2c[nH]c3c2cccc3C#N)CC1 ZINC001139702121 860457372 /nfs/dbraw/zinc/45/73/72/860457372.db2.gz WKSUKBSBOHLDNI-UHFFFAOYSA-N 1 2 311.389 1.839 20 30 DDEDLO C[C@@H](C#N)N(C)Cc1cccc(OCC[NH+]2CCOCC2)c1 ZINC001139769172 860476295 /nfs/dbraw/zinc/47/62/95/860476295.db2.gz VNBFSXXGOAAKGC-HNNXBMFYSA-N 1 2 303.406 1.741 20 30 DDEDLO C=C[C@H](CC(=O)NCC[NH2+]Cc1cnon1)c1ccccc1 ZINC001151947213 863074047 /nfs/dbraw/zinc/07/40/47/863074047.db2.gz UOLNGFNLSUIGBW-CYBMUJFWSA-N 1 2 300.362 1.635 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1nccc(C)n1 ZINC001328707233 863160203 /nfs/dbraw/zinc/16/02/03/863160203.db2.gz NHEFNHWCHDAPGG-HNNXBMFYSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1nccc(C)n1 ZINC001328707233 863160213 /nfs/dbraw/zinc/16/02/13/863160213.db2.gz NHEFNHWCHDAPGG-HNNXBMFYSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)o1 ZINC001157203617 863652950 /nfs/dbraw/zinc/65/29/50/863652950.db2.gz XKVAZCMYTUVFRF-CYBMUJFWSA-N 1 2 309.410 1.902 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)o1 ZINC001157203617 863652955 /nfs/dbraw/zinc/65/29/55/863652955.db2.gz XKVAZCMYTUVFRF-CYBMUJFWSA-N 1 2 309.410 1.902 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1C ZINC001157213019 863654280 /nfs/dbraw/zinc/65/42/80/863654280.db2.gz ADBLLOXZCDVYLV-ZIAGYGMSSA-N 1 2 308.426 1.338 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1C ZINC001157213019 863654285 /nfs/dbraw/zinc/65/42/85/863654285.db2.gz ADBLLOXZCDVYLV-ZIAGYGMSSA-N 1 2 308.426 1.338 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)Cc2ccc(F)cc2F)C1 ZINC001329666405 863779617 /nfs/dbraw/zinc/77/96/17/863779617.db2.gz CERCRYSIOQUIQY-UHFFFAOYSA-N 1 2 322.355 1.084 20 30 DDEDLO C=CCC[NH+]1CC(CCO)(NC(=O)c2cc(OC)cs2)C1 ZINC001329681954 863787749 /nfs/dbraw/zinc/78/77/49/863787749.db2.gz OXMQOAAVAULOMB-UHFFFAOYSA-N 1 2 310.419 1.499 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C2CCCCCC2)C1 ZINC001329970262 863980843 /nfs/dbraw/zinc/98/08/43/863980843.db2.gz GEVWSKZJHJSIOH-CQSZACIVSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1C[NH+](Cc2cc3n(n2)CCC3)C1 ZINC001329974431 863988012 /nfs/dbraw/zinc/98/80/12/863988012.db2.gz ZQPPDUDPAGXAGW-CYBMUJFWSA-N 1 2 302.422 1.732 20 30 DDEDLO N#CCCCCNc1nc(N2CCCC2)[nH+]c(N2CCCC2)n1 ZINC001157642113 864003108 /nfs/dbraw/zinc/00/31/08/864003108.db2.gz SMEYYPDOEPNVET-UHFFFAOYSA-N 1 2 315.425 1.600 20 30 DDEDLO Cc1nc(C#N)cnc1N1CC[C@@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001158623902 864764574 /nfs/dbraw/zinc/76/45/74/864764574.db2.gz SNMGNZQTMMRDOK-CYBMUJFWSA-N 1 2 307.348 1.967 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H]([NH2+]Cc2nc(C)no2)C(C)(C)C1 ZINC001332453193 865851594 /nfs/dbraw/zinc/85/15/94/865851594.db2.gz MJFWDJHGFKBGOI-CYBMUJFWSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC001323102746 866340441 /nfs/dbraw/zinc/34/04/41/866340441.db2.gz WSQDXZCHIXYNAW-ZBFHGGJFSA-N 1 2 315.417 1.760 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC001323102746 866340459 /nfs/dbraw/zinc/34/04/59/866340459.db2.gz WSQDXZCHIXYNAW-ZBFHGGJFSA-N 1 2 315.417 1.760 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1=CCCCCC1 ZINC001323215565 866437691 /nfs/dbraw/zinc/43/76/91/866437691.db2.gz XXOMZAFJQJNQKV-ZBFHGGJFSA-N 1 2 319.449 1.758 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C1=CCCCCC1 ZINC001323215565 866437696 /nfs/dbraw/zinc/43/76/96/866437696.db2.gz XXOMZAFJQJNQKV-ZBFHGGJFSA-N 1 2 319.449 1.758 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC001320282442 866610864 /nfs/dbraw/zinc/61/08/64/866610864.db2.gz VJWMDKJHKZCMIO-UHFFFAOYSA-N 1 2 305.334 1.423 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC001320282442 866610874 /nfs/dbraw/zinc/61/08/74/866610874.db2.gz VJWMDKJHKZCMIO-UHFFFAOYSA-N 1 2 305.334 1.423 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC1([NH2+]Cc2nnc(C)[nH]2)CC1 ZINC001323601640 866713704 /nfs/dbraw/zinc/71/37/04/866713704.db2.gz HKELQDISPANFMT-UHFFFAOYSA-N 1 2 323.400 1.076 20 30 DDEDLO C[C@@H]1COCC[C@H]1C(=O)N1CCC([N@H+](CC#N)CC2CC2)CC1 ZINC001323733170 866803228 /nfs/dbraw/zinc/80/32/28/866803228.db2.gz CXJPTOUHUOAYAZ-RHSMWYFYSA-N 1 2 319.449 1.886 20 30 DDEDLO C[C@@H]1COCC[C@H]1C(=O)N1CCC([N@@H+](CC#N)CC2CC2)CC1 ZINC001323733170 866803233 /nfs/dbraw/zinc/80/32/33/866803233.db2.gz CXJPTOUHUOAYAZ-RHSMWYFYSA-N 1 2 319.449 1.886 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001161972738 867151010 /nfs/dbraw/zinc/15/10/10/867151010.db2.gz LCJWYIUKNLKEGE-AWEZNQCLSA-N 1 2 319.405 1.904 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001161972738 867151017 /nfs/dbraw/zinc/15/10/17/867151017.db2.gz LCJWYIUKNLKEGE-AWEZNQCLSA-N 1 2 319.405 1.904 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C/C=C\CNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001321012337 867210730 /nfs/dbraw/zinc/21/07/30/867210730.db2.gz TWTAEEKXXZQQSX-UMBAGQNISA-N 1 2 314.349 1.215 20 30 DDEDLO C/C(=C/C(=O)Nc1ccc(OCC#N)cc1)C[NH+]1CCOCC1 ZINC001333995209 867220262 /nfs/dbraw/zinc/22/02/62/867220262.db2.gz UAQWDKRGOWLMIV-OWBHPGMISA-N 1 2 315.373 1.806 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](C)N(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001334444435 867573394 /nfs/dbraw/zinc/57/33/94/867573394.db2.gz POUKCLFQUVXJJH-ZDUSSCGKSA-N 1 2 320.437 1.908 20 30 DDEDLO C=CCCC(=O)NCC1(C)CC[NH+](Cc2ncnn2C)CC1 ZINC001324852499 867578032 /nfs/dbraw/zinc/57/80/32/867578032.db2.gz MBXAXCLZKGWYQK-UHFFFAOYSA-N 1 2 305.426 1.500 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@]1(O)CC[N@@H+](Cc2nonc2C)C1 ZINC001325097691 867767939 /nfs/dbraw/zinc/76/79/39/867767939.db2.gz NSRLAQMASXUCLF-MRXNPFEDSA-N 1 2 322.409 1.033 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@]1(O)CC[N@H+](Cc2nonc2C)C1 ZINC001325097691 867767949 /nfs/dbraw/zinc/76/79/49/867767949.db2.gz NSRLAQMASXUCLF-MRXNPFEDSA-N 1 2 322.409 1.033 20 30 DDEDLO CS(=O)(=O)CCCC[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC001325186898 867849870 /nfs/dbraw/zinc/84/98/70/867849870.db2.gz FTRBURYTXHWTFA-UHFFFAOYSA-N 1 2 321.446 1.764 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N2CC[NH+](C(C)(C)C)CC2)cc1 ZINC001334838544 867855369 /nfs/dbraw/zinc/85/53/69/867855369.db2.gz YZAAMKTUANQMTP-UHFFFAOYSA-N 1 2 306.431 1.773 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)C/C=C\c2ccc(F)cc2)C1 ZINC001325233332 867879259 /nfs/dbraw/zinc/87/92/59/867879259.db2.gz LROASNXLEDFZLT-ARJAWSKDSA-N 1 2 318.392 1.968 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC001322182643 868032840 /nfs/dbraw/zinc/03/28/40/868032840.db2.gz DOFZISDGMGVDMQ-ZDUSSCGKSA-N 1 2 304.394 1.471 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(NC(C)C)sc2=S)CC1 ZINC001322580692 868260192 /nfs/dbraw/zinc/26/01/92/868260192.db2.gz YBRJWLBJZUDOJW-UHFFFAOYSA-N 1 2 311.480 1.703 20 30 DDEDLO C#CC[C@@H](NC(=O)C(=O)NCCCn1cc[nH+]c1)c1ccccc1 ZINC001322836194 868416353 /nfs/dbraw/zinc/41/63/53/868416353.db2.gz IIUJJDFXSJJWPN-MRXNPFEDSA-N 1 2 324.384 1.270 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)COc1cccc(Cl)n1 ZINC001164651932 869340826 /nfs/dbraw/zinc/34/08/26/869340826.db2.gz MZHJXARPJXBMQQ-SECBINFHSA-N 1 2 302.712 1.504 20 30 DDEDLO Cc1cc(N2CC[NH2+]C[C@H]2C#N)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC001164661802 869345968 /nfs/dbraw/zinc/34/59/68/869345968.db2.gz OXHKRKODVAVBSO-CQSZACIVSA-N 1 2 324.344 1.662 20 30 DDEDLO C=C(C)c1cccc(NC(=O)C(=O)N2CC[NH+](C3CC3)CC2)c1 ZINC001337769280 869623615 /nfs/dbraw/zinc/62/36/15/869623615.db2.gz RIARMULUHIDTBW-UHFFFAOYSA-N 1 2 313.401 1.965 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001338116957 869814159 /nfs/dbraw/zinc/81/41/59/869814159.db2.gz NDRHGVBRKTUSNL-UONOGXRCSA-N 1 2 304.394 1.345 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@@H](NC(C)=O)[C@@H](C)CC ZINC001381622775 882360012 /nfs/dbraw/zinc/36/00/12/882360012.db2.gz WSCUJXRWZSAAON-SUHUHFCYSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@@H](NC(C)=O)[C@@H](C)CC ZINC001381622775 882360025 /nfs/dbraw/zinc/36/00/25/882360025.db2.gz WSCUJXRWZSAAON-SUHUHFCYSA-N 1 2 317.861 1.726 20 30 DDEDLO CC[C@@H](CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1)NC(=O)C#CC(C)C ZINC001297416537 870040645 /nfs/dbraw/zinc/04/06/45/870040645.db2.gz GYMIKYSTACSFTA-KGLIPLIRSA-N 1 2 318.421 1.259 20 30 DDEDLO CC[C@@H](CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1)NC(=O)C#CC(C)C ZINC001297416537 870040650 /nfs/dbraw/zinc/04/06/50/870040650.db2.gz GYMIKYSTACSFTA-KGLIPLIRSA-N 1 2 318.421 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C2(CC)CCCC2)C1 ZINC001316990297 870080869 /nfs/dbraw/zinc/08/08/69/870080869.db2.gz BYZNRXLDDBYSDF-CQSZACIVSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C2(CC)CCCC2)C1 ZINC001316990297 870080878 /nfs/dbraw/zinc/08/08/78/870080878.db2.gz BYZNRXLDDBYSDF-CQSZACIVSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]1CNC(=O)CCc1[nH]cc[nH+]1 ZINC001297675663 870134650 /nfs/dbraw/zinc/13/46/50/870134650.db2.gz UKVGKBASRQCESZ-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)/C=C(\C)CC ZINC001317043384 870155762 /nfs/dbraw/zinc/15/57/62/870155762.db2.gz RFFLQPAWNNANKT-NWJGJRDGSA-N 1 2 317.433 1.156 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)/C=C(\C)CC ZINC001317043384 870155768 /nfs/dbraw/zinc/15/57/68/870155768.db2.gz RFFLQPAWNNANKT-NWJGJRDGSA-N 1 2 317.433 1.156 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)/C=C(\C)CC ZINC001317043380 870156422 /nfs/dbraw/zinc/15/64/22/870156422.db2.gz RFFLQPAWNNANKT-FQKMVKHXSA-N 1 2 317.433 1.156 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)/C=C(\C)CC ZINC001317043380 870156427 /nfs/dbraw/zinc/15/64/27/870156427.db2.gz RFFLQPAWNNANKT-FQKMVKHXSA-N 1 2 317.433 1.156 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@@H](C)C1 ZINC001317050139 870167073 /nfs/dbraw/zinc/16/70/73/870167073.db2.gz NZBMUPZETWHUHD-VVLHAWIVSA-N 1 2 320.433 1.127 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@@H](C)C1 ZINC001317050139 870167074 /nfs/dbraw/zinc/16/70/74/870167074.db2.gz NZBMUPZETWHUHD-VVLHAWIVSA-N 1 2 320.433 1.127 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C)[C@H](C)C1 ZINC001338893732 870226863 /nfs/dbraw/zinc/22/68/63/870226863.db2.gz BTDKHLUYHBOWOX-KBXIAJHMSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C)[C@H](C)C1 ZINC001338893732 870226877 /nfs/dbraw/zinc/22/68/77/870226877.db2.gz BTDKHLUYHBOWOX-KBXIAJHMSA-N 1 2 319.453 1.684 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3csc(C)c3)n2C)CC1 ZINC001339004454 870291163 /nfs/dbraw/zinc/29/11/63/870291163.db2.gz YJUMJASIFVOGKE-UHFFFAOYSA-N 1 2 315.446 1.531 20 30 DDEDLO C#CCCCCC(=O)N(C)C1CC(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001339170380 870385804 /nfs/dbraw/zinc/38/58/04/870385804.db2.gz GGIYDROXUZDKCL-UHFFFAOYSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001298680411 870674732 /nfs/dbraw/zinc/67/47/32/870674732.db2.gz XAJJUWIVPNXOMX-CHWSQXEVSA-N 1 2 306.410 1.186 20 30 DDEDLO C#CC[C@@H]1CCCN(c2nnc([C@H]3C[C@H](O)C[N@@H+]3C)n2CC)C1 ZINC001340400988 871080365 /nfs/dbraw/zinc/08/03/65/871080365.db2.gz OATYSJIZTSAMTE-QLFBSQMISA-N 1 2 317.437 1.275 20 30 DDEDLO C#CC[C@@H]1CCCN(c2nnc([C@H]3C[C@H](O)C[N@H+]3C)n2CC)C1 ZINC001340400988 871080404 /nfs/dbraw/zinc/08/04/04/871080404.db2.gz OATYSJIZTSAMTE-QLFBSQMISA-N 1 2 317.437 1.275 20 30 DDEDLO CCCc1noc(C[NH2+]CCN(C)C(=O)C#CC(C)(C)C)n1 ZINC001317586051 871146640 /nfs/dbraw/zinc/14/66/40/871146640.db2.gz XEJDLRSRPLIUPK-UHFFFAOYSA-N 1 2 306.410 1.620 20 30 DDEDLO CCO[C@@H](C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#CCOC)C(C)C ZINC001317735499 871454644 /nfs/dbraw/zinc/45/46/44/871454644.db2.gz RWURIAYFSRWCBZ-IXDOHACOSA-N 1 2 322.449 1.373 20 30 DDEDLO CCO[C@@H](C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#CCOC)C(C)C ZINC001317735499 871454634 /nfs/dbraw/zinc/45/46/34/871454634.db2.gz RWURIAYFSRWCBZ-IXDOHACOSA-N 1 2 322.449 1.373 20 30 DDEDLO CCO[C@H](C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#CCOC)C(C)C ZINC001317735497 871454994 /nfs/dbraw/zinc/45/49/94/871454994.db2.gz RWURIAYFSRWCBZ-BBWFWOEESA-N 1 2 322.449 1.373 20 30 DDEDLO CCO[C@H](C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#CCOC)C(C)C ZINC001317735497 871455005 /nfs/dbraw/zinc/45/50/05/871455005.db2.gz RWURIAYFSRWCBZ-BBWFWOEESA-N 1 2 322.449 1.373 20 30 DDEDLO CCCN(C(=O)[C@H]1CCn2c[nH+]cc2C1)[C@H]1CCN(CC#N)C1 ZINC001317762325 871475630 /nfs/dbraw/zinc/47/56/30/871475630.db2.gz WRZJSQFXXYBDRR-GJZGRUSLSA-N 1 2 315.421 1.282 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CCC)C(=O)c2scnc2COC)C1 ZINC001317767528 871479766 /nfs/dbraw/zinc/47/97/66/871479766.db2.gz QMFBDURKSSWDRN-CYBMUJFWSA-N 1 2 321.446 1.849 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CCC)C(=O)c2scnc2COC)C1 ZINC001317767528 871479770 /nfs/dbraw/zinc/47/97/70/871479770.db2.gz QMFBDURKSSWDRN-CYBMUJFWSA-N 1 2 321.446 1.849 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+](Cc2csc(CC)n2)CC1 ZINC001226570731 882514113 /nfs/dbraw/zinc/51/41/13/882514113.db2.gz HFPBNQPGVWRFQS-UHFFFAOYSA-N 1 2 323.462 1.989 20 30 DDEDLO CNC(=O)[C@@H](Cc1ccccc1)[NH2+]Cc1nc(C#N)cs1 ZINC001414090474 871497729 /nfs/dbraw/zinc/49/77/29/871497729.db2.gz OQNKUYAPALRYJR-CYBMUJFWSA-N 1 2 300.387 1.462 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1nnc(C(C)(C)C)s1 ZINC001341258082 871581397 /nfs/dbraw/zinc/58/13/97/871581397.db2.gz NWNDXPNZTOMWRS-UHFFFAOYSA-N 1 2 310.423 1.356 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1nnc(C(C)(C)C)s1 ZINC001341258082 871581405 /nfs/dbraw/zinc/58/14/05/871581405.db2.gz NWNDXPNZTOMWRS-UHFFFAOYSA-N 1 2 310.423 1.356 20 30 DDEDLO CC[N@H+](CCNC(=O)C#CC(C)(C)C)Cc1cc(OC)no1 ZINC001317479082 871608942 /nfs/dbraw/zinc/60/89/42/871608942.db2.gz AIIMAUDAVCQDLQ-UHFFFAOYSA-N 1 2 307.394 1.671 20 30 DDEDLO CC[N@@H+](CCNC(=O)C#CC(C)(C)C)Cc1cc(OC)no1 ZINC001317479082 871608949 /nfs/dbraw/zinc/60/89/49/871608949.db2.gz AIIMAUDAVCQDLQ-UHFFFAOYSA-N 1 2 307.394 1.671 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc(C)cs1 ZINC001317497544 871674045 /nfs/dbraw/zinc/67/40/45/871674045.db2.gz CAONJXFZDFWSGG-CYBMUJFWSA-N 1 2 319.430 1.000 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(C)cs1 ZINC001317497544 871674055 /nfs/dbraw/zinc/67/40/55/871674055.db2.gz CAONJXFZDFWSGG-CYBMUJFWSA-N 1 2 319.430 1.000 20 30 DDEDLO N#Cc1csc(CNC(=O)NCCNc2cccc[nH+]2)n1 ZINC001313349168 871790827 /nfs/dbraw/zinc/79/08/27/871790827.db2.gz AIBGQYBJZXBZOF-UHFFFAOYSA-N 1 2 302.363 1.321 20 30 DDEDLO C=C(C)Cn1c(N2CC=CCC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001341739602 871798656 /nfs/dbraw/zinc/79/86/56/871798656.db2.gz LFNQBYDUXRTFQY-RHSMWYFYSA-N 1 2 317.437 1.532 20 30 DDEDLO C=C(C)Cn1c(N2CC=CCC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001341739602 871798678 /nfs/dbraw/zinc/79/86/78/871798678.db2.gz LFNQBYDUXRTFQY-RHSMWYFYSA-N 1 2 317.437 1.532 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001318329113 871934227 /nfs/dbraw/zinc/93/42/27/871934227.db2.gz DXKOILPNSLUFHM-CZUORRHYSA-N 1 2 307.394 1.309 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001318329113 871934243 /nfs/dbraw/zinc/93/42/43/871934243.db2.gz DXKOILPNSLUFHM-CZUORRHYSA-N 1 2 307.394 1.309 20 30 DDEDLO CCc1nc(C[NH+]2CCC(NC(=O)[C@H](C)C#N)CC2)cs1 ZINC001226648623 882564338 /nfs/dbraw/zinc/56/43/38/882564338.db2.gz LRQYDJWOEXQHDK-LLVKDONJSA-N 1 2 306.435 1.946 20 30 DDEDLO C[N@H+](CCCNC(=O)C#CC1CC1)Cc1nc(CC2CC2)no1 ZINC001316823633 871971083 /nfs/dbraw/zinc/97/10/83/871971083.db2.gz NXHCCUGKZGKZCC-UHFFFAOYSA-N 1 2 316.405 1.374 20 30 DDEDLO C[N@@H+](CCCNC(=O)C#CC1CC1)Cc1nc(CC2CC2)no1 ZINC001316823633 871971087 /nfs/dbraw/zinc/97/10/87/871971087.db2.gz NXHCCUGKZGKZCC-UHFFFAOYSA-N 1 2 316.405 1.374 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCCN1C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001316802682 872065718 /nfs/dbraw/zinc/06/57/18/872065718.db2.gz OSGPGPZMPNTRLN-BFHYXJOUSA-N 1 2 301.394 1.350 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCCN1C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001316802682 872065735 /nfs/dbraw/zinc/06/57/35/872065735.db2.gz OSGPGPZMPNTRLN-BFHYXJOUSA-N 1 2 301.394 1.350 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC001342229733 872089334 /nfs/dbraw/zinc/08/93/34/872089334.db2.gz YVJIVKLWNQLUPU-OAHLLOKOSA-N 1 2 320.414 1.609 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC001342229733 872089353 /nfs/dbraw/zinc/08/93/53/872089353.db2.gz YVJIVKLWNQLUPU-OAHLLOKOSA-N 1 2 320.414 1.609 20 30 DDEDLO C[C@@H](c1nc(C2CC2)no1)[N@H+](C)CCCNC(=O)C#CC1CC1 ZINC001316824026 872119459 /nfs/dbraw/zinc/11/94/59/872119459.db2.gz SJWAOCPUYFUMRH-LBPRGKRZSA-N 1 2 316.405 1.860 20 30 DDEDLO C[C@@H](c1nc(C2CC2)no1)[N@@H+](C)CCCNC(=O)C#CC1CC1 ZINC001316824026 872119462 /nfs/dbraw/zinc/11/94/62/872119462.db2.gz SJWAOCPUYFUMRH-LBPRGKRZSA-N 1 2 316.405 1.860 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-c1ccc(C#N)nn1 ZINC001206475654 872359733 /nfs/dbraw/zinc/35/97/33/872359733.db2.gz BSHKIWVZGCFKBJ-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001381796377 882685422 /nfs/dbraw/zinc/68/54/22/882685422.db2.gz QBAOHYHORNGVDG-VXGBXAGGSA-N 1 2 313.829 1.044 20 30 DDEDLO C#CCCCCC(=O)NC1CC[NH+](Cc2cnns2)CC1 ZINC001226952265 882739927 /nfs/dbraw/zinc/73/99/27/882739927.db2.gz VXECBIXAYJGTGQ-UHFFFAOYSA-N 1 2 306.435 1.812 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001207860728 873619305 /nfs/dbraw/zinc/61/93/05/873619305.db2.gz PJTJPISSUALJTR-AWEZNQCLSA-N 1 2 304.394 1.652 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2nccn2C)C[C@H]1C ZINC001208292348 873966355 /nfs/dbraw/zinc/96/63/55/873966355.db2.gz SMDMGEJVUHDQBL-ZIAGYGMSSA-N 1 2 304.438 1.959 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2nccn2C)C[C@H]1C ZINC001208292348 873966360 /nfs/dbraw/zinc/96/63/60/873966360.db2.gz SMDMGEJVUHDQBL-ZIAGYGMSSA-N 1 2 304.438 1.959 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001378059002 874030448 /nfs/dbraw/zinc/03/04/48/874030448.db2.gz UKIVVWQABCPGEH-FZMZJTMJSA-N 1 2 305.353 1.423 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001378059002 874030462 /nfs/dbraw/zinc/03/04/62/874030462.db2.gz UKIVVWQABCPGEH-FZMZJTMJSA-N 1 2 305.353 1.423 20 30 DDEDLO C=C(CC(C)C)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC001348901301 874863762 /nfs/dbraw/zinc/86/37/62/874863762.db2.gz VXAAKYGKMNRLDY-KRWDZBQOSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C(CC(C)C)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@H+]1C ZINC001348901301 874863774 /nfs/dbraw/zinc/86/37/74/874863774.db2.gz VXAAKYGKMNRLDY-KRWDZBQOSA-N 1 2 310.438 1.321 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNC(=O)CCc1c[nH+]cn1C ZINC001349080782 874961218 /nfs/dbraw/zinc/96/12/18/874961218.db2.gz DMBPUNBSMHNZIG-UHFFFAOYSA-N 1 2 306.410 1.187 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001349238763 875053919 /nfs/dbraw/zinc/05/39/19/875053919.db2.gz SLFKZXMLBROZPS-ZDUSSCGKSA-N 1 2 318.421 1.280 20 30 DDEDLO N#Cc1ccnc(CN2C[C@@H]3C[N@@H+](Cc4ccc[nH]4)C[C@H](C2)O3)c1 ZINC001276687130 875474537 /nfs/dbraw/zinc/47/45/37/875474537.db2.gz MZWZJGYJBQVMGJ-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccnc(CN2C[C@@H]3C[N@H+](Cc4ccc[nH]4)C[C@H](C2)O3)c1 ZINC001276687130 875474547 /nfs/dbraw/zinc/47/45/47/875474547.db2.gz MZWZJGYJBQVMGJ-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO Cc1nonc1C[NH+]1CCC(NC(=O)C#CC(C)(C)C)CC1 ZINC001227280524 882949010 /nfs/dbraw/zinc/94/90/10/882949010.db2.gz HTJKZDUURQJEDY-UHFFFAOYSA-N 1 2 304.394 1.508 20 30 DDEDLO Cc1nc(C[NH+]2CCC(NC(=O)C#CC3CC3)CC2)c(C)o1 ZINC001227299457 882959937 /nfs/dbraw/zinc/95/99/37/882959937.db2.gz QWQZJZORBULXLG-UHFFFAOYSA-N 1 2 301.390 1.785 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2ccn(CC)n2)C[C@H]1C ZINC001211420629 875799068 /nfs/dbraw/zinc/79/90/68/875799068.db2.gz NYGBVSBCQLXPIA-GDBMZVCRSA-N 1 2 320.437 1.432 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2ccn(CC)n2)C[C@H]1C ZINC001211420629 875799079 /nfs/dbraw/zinc/79/90/79/875799079.db2.gz NYGBVSBCQLXPIA-GDBMZVCRSA-N 1 2 320.437 1.432 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2coc(C)n2)C[C@H]1C ZINC001211425754 875802169 /nfs/dbraw/zinc/80/21/69/875802169.db2.gz RBNLGEZVLQNABL-LALPHHSUSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2coc(C)n2)C[C@H]1C ZINC001211425754 875802174 /nfs/dbraw/zinc/80/21/74/875802174.db2.gz RBNLGEZVLQNABL-LALPHHSUSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2csc(C)n2)C[C@H]1C ZINC001211427691 875805183 /nfs/dbraw/zinc/80/51/83/875805183.db2.gz MJYBIUBXYYWDCX-LALPHHSUSA-N 1 2 323.462 1.979 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2csc(C)n2)C[C@H]1C ZINC001211427691 875805189 /nfs/dbraw/zinc/80/51/89/875805189.db2.gz MJYBIUBXYYWDCX-LALPHHSUSA-N 1 2 323.462 1.979 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cncn2C)C[C@H]1OC ZINC001213317261 875893776 /nfs/dbraw/zinc/89/37/76/875893776.db2.gz CHAWYZAOJJYRPO-FVQBIDKESA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cncn2C)C[C@H]1OC ZINC001213317261 875893786 /nfs/dbraw/zinc/89/37/86/875893786.db2.gz CHAWYZAOJJYRPO-FVQBIDKESA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1CN(Cc2c[nH+]cn2C)C[C@H]1OC ZINC001213317261 875893794 /nfs/dbraw/zinc/89/37/94/875893794.db2.gz CHAWYZAOJJYRPO-FVQBIDKESA-N 1 2 320.437 1.338 20 30 DDEDLO CCC[C@@H](C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC)C(C)C ZINC001213508739 875958146 /nfs/dbraw/zinc/95/81/46/875958146.db2.gz BZDVGPXTYPUFPI-BRWVUGGUSA-N 1 2 324.465 1.524 20 30 DDEDLO CCC[C@@H](C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC)C(C)C ZINC001213508739 875958156 /nfs/dbraw/zinc/95/81/56/875958156.db2.gz BZDVGPXTYPUFPI-BRWVUGGUSA-N 1 2 324.465 1.524 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCC(C)(C)C)[C@H](OC)C1 ZINC001213606400 875993467 /nfs/dbraw/zinc/99/34/67/875993467.db2.gz ODBYVGIOQBEKIE-HUUCEWRRSA-N 1 2 310.438 1.278 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCC(C)(C)C)[C@H](OC)C1 ZINC001213606400 875993477 /nfs/dbraw/zinc/99/34/77/875993477.db2.gz ODBYVGIOQBEKIE-HUUCEWRRSA-N 1 2 310.438 1.278 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc([C@H](C)OC)no2)C1 ZINC001214301940 876270739 /nfs/dbraw/zinc/27/07/39/876270739.db2.gz PNHNTDYCWAWTCB-FRRDWIJNSA-N 1 2 322.409 1.680 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3c(C)noc3C)C[C@@H]21 ZINC001217476087 877206480 /nfs/dbraw/zinc/20/64/80/877206480.db2.gz UNHYQIWCXGYIMW-JKSUJKDBSA-N 1 2 319.405 1.669 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3c(C)noc3C)C[C@@H]21 ZINC001217476087 877206486 /nfs/dbraw/zinc/20/64/86/877206486.db2.gz UNHYQIWCXGYIMW-JKSUJKDBSA-N 1 2 319.405 1.669 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCC3CCC3)[C@H]2C1 ZINC001217606955 877244749 /nfs/dbraw/zinc/24/47/49/877244749.db2.gz KFORWDASTPGXMD-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2OCCN(C(=O)CCC3CCC3)[C@H]2C1 ZINC001217606955 877244757 /nfs/dbraw/zinc/24/47/57/877244757.db2.gz KFORWDASTPGXMD-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCCC[N@H+]1C[C@H]2OCCN(C(=O)C(F)C(F)(F)F)[C@H]2C1 ZINC001217954870 877360412 /nfs/dbraw/zinc/36/04/12/877360412.db2.gz RJFXZZKOWHYUQL-TUAOUCFPSA-N 1 2 324.318 1.765 20 30 DDEDLO C=CCCC[N@@H+]1C[C@H]2OCCN(C(=O)C(F)C(F)(F)F)[C@H]2C1 ZINC001217954870 877360432 /nfs/dbraw/zinc/36/04/32/877360432.db2.gz RJFXZZKOWHYUQL-TUAOUCFPSA-N 1 2 324.318 1.765 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(CC)n1C[C@@H](C)[NH+]1CCOCC1 ZINC001300312189 877366542 /nfs/dbraw/zinc/36/65/42/877366542.db2.gz XZGUEQKEPDIHIV-ZIAGYGMSSA-N 1 2 305.426 1.019 20 30 DDEDLO N#Cc1ccc(-c2csc(NC(=O)[C@@H]3COC[NH2+]3)n2)s1 ZINC001218784769 877723493 /nfs/dbraw/zinc/72/34/93/877723493.db2.gz MUHIFDIHCVDTKE-QMMMGPOBSA-N 1 2 306.372 1.628 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@@H]1O ZINC001219689630 878451968 /nfs/dbraw/zinc/45/19/68/878451968.db2.gz BCCRXHJMDYKCIO-CABCVRRESA-N 1 2 321.446 1.573 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@@H]1O ZINC001219689630 878451973 /nfs/dbraw/zinc/45/19/73/878451973.db2.gz BCCRXHJMDYKCIO-CABCVRRESA-N 1 2 321.446 1.573 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@H+](C[C@H](F)CC)C[C@@H]1O ZINC001219702832 878465764 /nfs/dbraw/zinc/46/57/64/878465764.db2.gz ISNVXDAWLNWJOR-UTUOFQBUSA-N 1 2 306.809 1.675 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](C[C@H](F)CC)C[C@@H]1O ZINC001219702832 878465772 /nfs/dbraw/zinc/46/57/72/878465772.db2.gz ISNVXDAWLNWJOR-UTUOFQBUSA-N 1 2 306.809 1.675 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](CCOCC(F)(F)F)C[C@@H]1O ZINC001219711458 878470916 /nfs/dbraw/zinc/47/09/16/878470916.db2.gz ZQUYRPYZPQWITJ-NEPJUHHUSA-N 1 2 324.343 1.083 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](CCOCC(F)(F)F)C[C@@H]1O ZINC001219711458 878470919 /nfs/dbraw/zinc/47/09/19/878470919.db2.gz ZQUYRPYZPQWITJ-NEPJUHHUSA-N 1 2 324.343 1.083 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C[C@H](C)CC(C)(C)C)[C@@H](O)C1 ZINC001220415967 878997905 /nfs/dbraw/zinc/99/79/05/878997905.db2.gz DMZOIQZDEOETOT-XHSDSOJGSA-N 1 2 324.465 1.260 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C[C@H](C)CC(C)(C)C)[C@@H](O)C1 ZINC001220415967 878997907 /nfs/dbraw/zinc/99/79/07/878997907.db2.gz DMZOIQZDEOETOT-XHSDSOJGSA-N 1 2 324.465 1.260 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)Cc2ccccc2Cl)[C@@H](O)C1 ZINC001220444481 879023309 /nfs/dbraw/zinc/02/33/09/879023309.db2.gz YJWUYCPBXVHLNM-MAZHCROVSA-N 1 2 320.820 1.313 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)Cc2ccccc2Cl)[C@@H](O)C1 ZINC001220444481 879023321 /nfs/dbraw/zinc/02/33/21/879023321.db2.gz YJWUYCPBXVHLNM-MAZHCROVSA-N 1 2 320.820 1.313 20 30 DDEDLO CCOCC(=O)N(C)C1C[NH+](Cc2ccc(OCC#N)cc2)C1 ZINC001380209049 879053441 /nfs/dbraw/zinc/05/34/41/879053441.db2.gz UOUWDKIBEVMDGQ-UHFFFAOYSA-N 1 2 317.389 1.268 20 30 DDEDLO COc1cccc(C[NH+]2CC(N(C)C(=O)[C@H](C)C#N)C2)c1C ZINC001380220025 879081478 /nfs/dbraw/zinc/08/14/78/879081478.db2.gz HMXSKDZTNLRDDN-GFCCVEGCSA-N 1 2 301.390 1.806 20 30 DDEDLO C=C(C)CCC(=O)N(CCNC(=O)Cc1[nH]c[nH+]c1C)C1CC1 ZINC001356637318 879143674 /nfs/dbraw/zinc/14/36/74/879143674.db2.gz ABIDLONBDHDUSP-UHFFFAOYSA-N 1 2 318.421 1.724 20 30 DDEDLO CC#CCCCC(=O)N1CC(CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001356825010 879433793 /nfs/dbraw/zinc/43/37/93/879433793.db2.gz GEBSBZQHLKTBGE-UHFFFAOYSA-N 1 2 316.405 1.029 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3c(C)noc3C)[C@@H]2C1 ZINC001221254499 879622619 /nfs/dbraw/zinc/62/26/19/879622619.db2.gz SPMZNIWCOUFOKB-HUUCEWRRSA-N 1 2 317.389 1.088 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3c(C)noc3C)[C@@H]2C1 ZINC001221254499 879622629 /nfs/dbraw/zinc/62/26/29/879622629.db2.gz SPMZNIWCOUFOKB-HUUCEWRRSA-N 1 2 317.389 1.088 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C=C(CC)CC ZINC001276821024 879702040 /nfs/dbraw/zinc/70/20/40/879702040.db2.gz FAPRYSOUOQFCAN-UKRRQHHQSA-N 1 2 305.422 1.061 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C=C(CC)CC ZINC001276821024 879702049 /nfs/dbraw/zinc/70/20/49/879702049.db2.gz FAPRYSOUOQFCAN-UKRRQHHQSA-N 1 2 305.422 1.061 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C[C@H](C)CCC)[C@@H]2C1 ZINC001221430900 879787466 /nfs/dbraw/zinc/78/74/66/879787466.db2.gz SQEFFXPRFNILMH-BZUAXINKSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C[C@H](C)CCC)[C@@H]2C1 ZINC001221430900 879787478 /nfs/dbraw/zinc/78/74/78/879787478.db2.gz SQEFFXPRFNILMH-BZUAXINKSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC(C)(C)C)C[C@H]21 ZINC001221429397 879787744 /nfs/dbraw/zinc/78/77/44/879787744.db2.gz VYFOZXYICWFKGF-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC(C)(C)C)C[C@H]21 ZINC001221429397 879787752 /nfs/dbraw/zinc/78/77/52/879787752.db2.gz VYFOZXYICWFKGF-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](CNC(=O)Cn1cc[nH+]c1)C(C)C ZINC001357103909 879791740 /nfs/dbraw/zinc/79/17/40/879791740.db2.gz SUICIGKHSCIOCS-ZDUSSCGKSA-N 1 2 306.410 1.352 20 30 DDEDLO C#CCCCC(=O)N[C@H](CNC(=O)Cc1[nH]c[nH+]c1C)C(C)C ZINC001357108495 879797218 /nfs/dbraw/zinc/79/72/18/879797218.db2.gz LBJYIRRUMKMWPY-OAHLLOKOSA-N 1 2 318.421 1.321 20 30 DDEDLO C[C@H](CNC(=O)C#CC(C)(C)C)NC(=O)CCCn1cc[nH+]c1 ZINC001358240558 880379007 /nfs/dbraw/zinc/37/90/07/880379007.db2.gz LDCZITNEAREQRF-CQSZACIVSA-N 1 2 318.421 1.334 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001358521957 880486084 /nfs/dbraw/zinc/48/60/84/880486084.db2.gz HUMJRLWPPAPSNS-QWHCGFSZSA-N 1 2 304.394 1.033 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001358528198 880492821 /nfs/dbraw/zinc/49/28/21/880492821.db2.gz OOKXKTFRUDAXFK-STQMWFEESA-N 1 2 306.410 1.186 20 30 DDEDLO CCc1nnc([C@H](C)[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC001222659358 880625370 /nfs/dbraw/zinc/62/53/70/880625370.db2.gz WDHMRFLRQLBEPI-RYUDHWBXSA-N 1 2 318.425 1.416 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001380909610 880646222 /nfs/dbraw/zinc/64/62/22/880646222.db2.gz MSLRCPBKBNGRNX-IUCAKERBSA-N 1 2 318.698 1.456 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC001380909610 880646228 /nfs/dbraw/zinc/64/62/28/880646228.db2.gz MSLRCPBKBNGRNX-IUCAKERBSA-N 1 2 318.698 1.456 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001276900883 881007146 /nfs/dbraw/zinc/00/71/46/881007146.db2.gz SMPKQRCJDFMPSU-CABCVRRESA-N 1 2 321.465 1.789 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001276900883 881007161 /nfs/dbraw/zinc/00/71/61/881007161.db2.gz SMPKQRCJDFMPSU-CABCVRRESA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@@H+]2CCNC(=O)C2CC2)CCC1 ZINC001276922878 881109453 /nfs/dbraw/zinc/10/94/53/881109453.db2.gz CSKKYLCNCWSCDE-HNNXBMFYSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@H+]2CCNC(=O)C2CC2)CCC1 ZINC001276922878 881109458 /nfs/dbraw/zinc/10/94/58/881109458.db2.gz CSKKYLCNCWSCDE-HNNXBMFYSA-N 1 2 319.449 1.450 20 30 DDEDLO C#CCN(CC)c1nnc(CC)n1CC[N@@H+]1CCOC[C@H]1C ZINC001359011137 881146371 /nfs/dbraw/zinc/14/63/71/881146371.db2.gz LQTTXPKOEIPIRX-CQSZACIVSA-N 1 2 305.426 1.021 20 30 DDEDLO C#CCN(CC)c1nnc(CC)n1CC[N@H+]1CCOC[C@H]1C ZINC001359011137 881146387 /nfs/dbraw/zinc/14/63/87/881146387.db2.gz LQTTXPKOEIPIRX-CQSZACIVSA-N 1 2 305.426 1.021 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C(C1CC1)C1CC1 ZINC001276956151 881271662 /nfs/dbraw/zinc/27/16/62/881271662.db2.gz LIIASGSYNIEJFG-IUODEOHRSA-N 1 2 319.449 1.304 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C(C1CC1)C1CC1 ZINC001276956151 881271671 /nfs/dbraw/zinc/27/16/71/881271671.db2.gz LIIASGSYNIEJFG-IUODEOHRSA-N 1 2 319.449 1.304 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2=O)cc1 ZINC001362338285 883599943 /nfs/dbraw/zinc/59/99/43/883599943.db2.gz STYKJWTWIMFQBL-OAHLLOKOSA-N 1 2 323.356 1.136 20 30 DDEDLO N#CC1(NC(=O)[C@H]2CC23C[NH+](Cc2ccc(F)nc2)C3)CCC1 ZINC001277458287 884536839 /nfs/dbraw/zinc/53/68/39/884536839.db2.gz PVVYWEBVPOIBIT-CYBMUJFWSA-N 1 2 314.364 1.605 20 30 DDEDLO C=CCCCC(=O)N(C)C1CC(NC(=O)Cn2cc[nH+]c2)C1 ZINC001288341145 912844621 /nfs/dbraw/zinc/84/46/21/912844621.db2.gz YNFPNVSSXSOXRR-UHFFFAOYSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)CC2CC2)C1=O ZINC001230603326 884640940 /nfs/dbraw/zinc/64/09/40/884640940.db2.gz MBUNDHLEQAUPOJ-GJZGRUSLSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CC2CC2)C1=O ZINC001230603326 884640948 /nfs/dbraw/zinc/64/09/48/884640948.db2.gz MBUNDHLEQAUPOJ-GJZGRUSLSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H]1C=CCC1 ZINC001230701364 884765896 /nfs/dbraw/zinc/76/58/96/884765896.db2.gz DUHTWHBGWANOEK-HUUCEWRRSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H]1C=CCC1 ZINC001230701364 884765906 /nfs/dbraw/zinc/76/59/06/884765906.db2.gz DUHTWHBGWANOEK-HUUCEWRRSA-N 1 2 305.422 1.178 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C(C(=O)N4CC=CC4)=NO[C@@H]3C2)c1 ZINC001277479495 885140636 /nfs/dbraw/zinc/14/06/36/885140636.db2.gz YGAVQINPJSHUKI-JKSUJKDBSA-N 1 2 322.368 1.143 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C(C(=O)N4CC=CC4)=NO[C@@H]3C2)c1 ZINC001277479495 885140639 /nfs/dbraw/zinc/14/06/39/885140639.db2.gz YGAVQINPJSHUKI-JKSUJKDBSA-N 1 2 322.368 1.143 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccnc(OC)c1 ZINC001231226857 885402428 /nfs/dbraw/zinc/40/24/28/885402428.db2.gz SDGJLIBNRWEISX-OAHLLOKOSA-N 1 2 319.405 1.326 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccnc(OC)c1 ZINC001231226857 885402442 /nfs/dbraw/zinc/40/24/42/885402442.db2.gz SDGJLIBNRWEISX-OAHLLOKOSA-N 1 2 319.405 1.326 20 30 DDEDLO CC(C)N(C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N)C(C)C ZINC001231246578 885426576 /nfs/dbraw/zinc/42/65/76/885426576.db2.gz HECOGQKHLZMOGL-HUUCEWRRSA-N 1 2 322.453 1.324 20 30 DDEDLO CC(C)N(C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N)C(C)C ZINC001231246578 885426582 /nfs/dbraw/zinc/42/65/82/885426582.db2.gz HECOGQKHLZMOGL-HUUCEWRRSA-N 1 2 322.453 1.324 20 30 DDEDLO N#CC[C@@H](CC(=O)N[C@H](CO)Cc1c[nH]c[nH+]1)c1ccccc1 ZINC001363196382 885688221 /nfs/dbraw/zinc/68/82/21/885688221.db2.gz LWYKCROMGYIHDN-HOCLYGCPSA-N 1 2 312.373 1.517 20 30 DDEDLO N#CC[C@@H](CC(=O)N[C@H](CO)Cc1c[nH+]c[nH]1)c1ccccc1 ZINC001363196382 885688228 /nfs/dbraw/zinc/68/82/28/885688228.db2.gz LWYKCROMGYIHDN-HOCLYGCPSA-N 1 2 312.373 1.517 20 30 DDEDLO Cn1cc(N2C[C@@]3(CC[N@H+](Cc4[nH]ccc4C#N)C3)CC2=O)cn1 ZINC001233045114 886754237 /nfs/dbraw/zinc/75/42/37/886754237.db2.gz SLUACMIVYWTAEV-KRWDZBQOSA-N 1 2 324.388 1.249 20 30 DDEDLO Cn1cc(N2C[C@@]3(CC[N@@H+](Cc4[nH]ccc4C#N)C3)CC2=O)cn1 ZINC001233045114 886754245 /nfs/dbraw/zinc/75/42/45/886754245.db2.gz SLUACMIVYWTAEV-KRWDZBQOSA-N 1 2 324.388 1.249 20 30 DDEDLO CCN(CC)C(=O)NC1CC[NH+](Cc2[nH]ccc2C#N)CC1 ZINC001233048811 886758336 /nfs/dbraw/zinc/75/83/36/886758336.db2.gz NBMYHEISYZOHSE-UHFFFAOYSA-N 1 2 303.410 1.902 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C\C1CC1 ZINC001233497966 887041333 /nfs/dbraw/zinc/04/13/33/887041333.db2.gz AGEJCGISOCWFBT-NPLBLWOUSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C=C\C1CC1 ZINC001233497966 887041348 /nfs/dbraw/zinc/04/13/48/887041348.db2.gz AGEJCGISOCWFBT-NPLBLWOUSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C(C)=C1CCCC1 ZINC001233578640 887122960 /nfs/dbraw/zinc/12/29/60/887122960.db2.gz ATQWWZKPAABETG-INIZCTEOSA-N 1 2 319.449 1.712 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)=C1CCCC1 ZINC001233578640 887122963 /nfs/dbraw/zinc/12/29/63/887122963.db2.gz ATQWWZKPAABETG-INIZCTEOSA-N 1 2 319.449 1.712 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CC ZINC001233763101 887298102 /nfs/dbraw/zinc/29/81/02/887298102.db2.gz WWDIYEPYTXJFNP-INIZCTEOSA-N 1 2 321.465 1.581 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CC ZINC001233763101 887298117 /nfs/dbraw/zinc/29/81/17/887298117.db2.gz WWDIYEPYTXJFNP-INIZCTEOSA-N 1 2 321.465 1.581 20 30 DDEDLO N#Cc1c(N)sc2c1CC[N@@H+](Cc1cnn(CCF)c1)C2 ZINC001363836972 887354605 /nfs/dbraw/zinc/35/46/05/887354605.db2.gz DIQVBVNFKHSRIW-UHFFFAOYSA-N 1 2 305.382 1.926 20 30 DDEDLO N#Cc1c(N)sc2c1CC[N@H+](Cc1cnn(CCF)c1)C2 ZINC001363836972 887354618 /nfs/dbraw/zinc/35/46/18/887354618.db2.gz DIQVBVNFKHSRIW-UHFFFAOYSA-N 1 2 305.382 1.926 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1=CCCCCC1 ZINC001233857136 887395366 /nfs/dbraw/zinc/39/53/66/887395366.db2.gz OPLHKNCQAOSKPW-INIZCTEOSA-N 1 2 317.433 1.159 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1=CCCCCC1 ZINC001233857136 887395379 /nfs/dbraw/zinc/39/53/79/887395379.db2.gz OPLHKNCQAOSKPW-INIZCTEOSA-N 1 2 317.433 1.159 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1coc(C)c1 ZINC001233879056 887419417 /nfs/dbraw/zinc/41/94/17/887419417.db2.gz CUHMAPWUTNJXKG-ZFWWWQNUSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1coc(C)c1 ZINC001233879056 887419428 /nfs/dbraw/zinc/41/94/28/887419428.db2.gz CUHMAPWUTNJXKG-ZFWWWQNUSA-N 1 2 319.405 1.425 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CCOCC(F)(F)F ZINC001233916071 887455488 /nfs/dbraw/zinc/45/54/88/887455488.db2.gz RKTAGLBDBFXOIK-CYBMUJFWSA-N 1 2 320.355 1.902 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CCOCC(F)(F)F ZINC001233916071 887455492 /nfs/dbraw/zinc/45/54/92/887455492.db2.gz RKTAGLBDBFXOIK-CYBMUJFWSA-N 1 2 320.355 1.902 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C1CC1 ZINC001234099734 887640964 /nfs/dbraw/zinc/64/09/64/887640964.db2.gz NQZXDYYHQPGLHE-HNNXBMFYSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C1CC1 ZINC001234099734 887640974 /nfs/dbraw/zinc/64/09/74/887640974.db2.gz NQZXDYYHQPGLHE-HNNXBMFYSA-N 1 2 321.465 1.648 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)cn1 ZINC001234177807 887717022 /nfs/dbraw/zinc/71/70/22/887717022.db2.gz FJFVDNJNBVNZNC-MRXNPFEDSA-N 1 2 313.401 1.536 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)cn1 ZINC001234177807 887717031 /nfs/dbraw/zinc/71/70/31/887717031.db2.gz FJFVDNJNBVNZNC-MRXNPFEDSA-N 1 2 313.401 1.536 20 30 DDEDLO CC(C)N(C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234194511 887736114 /nfs/dbraw/zinc/73/61/14/887736114.db2.gz DONDWLFDCUYSQW-OAHLLOKOSA-N 1 2 321.465 1.435 20 30 DDEDLO CC(C)N(C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234194511 887736122 /nfs/dbraw/zinc/73/61/22/887736122.db2.gz DONDWLFDCUYSQW-OAHLLOKOSA-N 1 2 321.465 1.435 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001234216096 887757228 /nfs/dbraw/zinc/75/72/28/887757228.db2.gz SKZARRAPWUWNKL-KBPBESRZSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001234216096 887757238 /nfs/dbraw/zinc/75/72/38/887757238.db2.gz SKZARRAPWUWNKL-KBPBESRZSA-N 1 2 305.378 1.054 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@H](C)CNC(=O)CCCn1cc[nH+]c1 ZINC001384614614 887773581 /nfs/dbraw/zinc/77/35/81/887773581.db2.gz UOXBSNZOGVPORC-ZIAGYGMSSA-N 1 2 319.409 1.176 20 30 DDEDLO CC(=O)O/N=C(/C)c1ccccc1OC[C@@H]1C[N@H+](C)CCO1 ZINC001234847458 888224341 /nfs/dbraw/zinc/22/43/41/888224341.db2.gz UEEJCLRSKDDGLG-VMOJBDSFSA-N 1 2 306.362 1.683 20 30 DDEDLO CC(=O)O/N=C(/C)c1ccccc1OC[C@@H]1C[N@@H+](C)CCO1 ZINC001234847458 888224357 /nfs/dbraw/zinc/22/43/57/888224357.db2.gz UEEJCLRSKDDGLG-VMOJBDSFSA-N 1 2 306.362 1.683 20 30 DDEDLO C[NH+](C)[C@@H]1CCC[N@H+](Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC1 ZINC001364381503 888501483 /nfs/dbraw/zinc/50/14/83/888501483.db2.gz KPHLNKAYCJUNEK-CQSZACIVSA-N 1 2 314.393 1.223 20 30 DDEDLO C[NH+](C)[C@@H]1CCC[N@@H+](Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC1 ZINC001364381503 888501498 /nfs/dbraw/zinc/50/14/98/888501498.db2.gz KPHLNKAYCJUNEK-CQSZACIVSA-N 1 2 314.393 1.223 20 30 DDEDLO Cc1[nH+]cn(C)c1CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC001235538680 888721999 /nfs/dbraw/zinc/72/19/99/888721999.db2.gz OASAREDRMXBGDM-ZDUSSCGKSA-N 1 2 319.409 1.673 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)[C@@H](C)c1nc(CC)no1 ZINC001235698668 888893763 /nfs/dbraw/zinc/89/37/63/888893763.db2.gz ONDJKAWPCQBUDB-LBPRGKRZSA-N 1 2 310.398 1.334 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)[C@@H](C)c1nc(CC)no1 ZINC001235698668 888893775 /nfs/dbraw/zinc/89/37/75/888893775.db2.gz ONDJKAWPCQBUDB-LBPRGKRZSA-N 1 2 310.398 1.334 20 30 DDEDLO CC[N@H+](Cc1cn(CC(N)=O)nn1)[C@H](C)c1ccc(C#N)cc1 ZINC001364682295 889187255 /nfs/dbraw/zinc/18/72/55/889187255.db2.gz PHRHDOPTFFPBST-GFCCVEGCSA-N 1 2 312.377 1.218 20 30 DDEDLO CC[N@@H+](Cc1cn(CC(N)=O)nn1)[C@H](C)c1ccc(C#N)cc1 ZINC001364682295 889187264 /nfs/dbraw/zinc/18/72/64/889187264.db2.gz PHRHDOPTFFPBST-GFCCVEGCSA-N 1 2 312.377 1.218 20 30 DDEDLO CC1(NC(=O)CSCC#N)CC[NH+](Cc2cscn2)CC1 ZINC001278031000 889434823 /nfs/dbraw/zinc/43/48/23/889434823.db2.gz IJLFUBYYIYGCND-UHFFFAOYSA-N 1 2 324.475 1.871 20 30 DDEDLO N#Cc1cc(C[NH+]2CCC(NC(=O)C(F)(F)F)CC2)ccn1 ZINC001237467487 889651420 /nfs/dbraw/zinc/65/14/20/889651420.db2.gz VTTYVDIPBUPOBT-UHFFFAOYSA-N 1 2 312.295 1.596 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCc2c(C#N)c(N)sc2C1 ZINC001365122121 890144675 /nfs/dbraw/zinc/14/46/75/890144675.db2.gz VYKGJWJWIUIUEC-VIFPVBQESA-N 1 2 315.402 1.689 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCc2c(C#N)c(N)sc2C1 ZINC001365122121 890144681 /nfs/dbraw/zinc/14/46/81/890144681.db2.gz VYKGJWJWIUIUEC-VIFPVBQESA-N 1 2 315.402 1.689 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)[C@H]1C ZINC001278229613 890201921 /nfs/dbraw/zinc/20/19/21/890201921.db2.gz ASBKLHMLDWRPCN-DGCLKSJQSA-N 1 2 304.394 1.992 20 30 DDEDLO C[NH+](C)Cc1nc(CNC(=O)Nc2cc(CC#N)ccn2)co1 ZINC001365248915 890432788 /nfs/dbraw/zinc/43/27/88/890432788.db2.gz IXWBPEYCGGZKKL-UHFFFAOYSA-N 1 2 314.349 1.519 20 30 DDEDLO CCCCCCCOC(=O)N1CC[NH2+]C[C@@H]1C(=O)OCC ZINC001239328289 890602542 /nfs/dbraw/zinc/60/25/42/890602542.db2.gz GVKSMFJWVGTRGB-CYBMUJFWSA-N 1 2 300.399 1.930 20 30 DDEDLO C=C(C)CCC(=O)N1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC001292405503 913662791 /nfs/dbraw/zinc/66/27/91/913662791.db2.gz JVBSGUMDEFHORV-HNNXBMFYSA-N 1 2 318.421 1.861 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H](C)C#N ZINC001366206874 892738490 /nfs/dbraw/zinc/73/84/90/892738490.db2.gz RHHRLBFDUSSASM-UKRRQHHQSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H](C)C#N ZINC001366206874 892738500 /nfs/dbraw/zinc/73/85/00/892738500.db2.gz RHHRLBFDUSSASM-UKRRQHHQSA-N 1 2 322.453 1.422 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)CNC(=O)c1ccoc1C ZINC001366528683 893894860 /nfs/dbraw/zinc/89/48/60/893894860.db2.gz BKHHMXPVVIAACQ-UHFFFAOYSA-N 1 2 313.785 1.118 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)CNC(=O)c1ccoc1C ZINC001366528683 893894879 /nfs/dbraw/zinc/89/48/79/893894879.db2.gz BKHHMXPVVIAACQ-UHFFFAOYSA-N 1 2 313.785 1.118 20 30 DDEDLO C#CC[N@@H+]1CCC(F)(F)[C@H](CNC(=O)CCCC(C)=O)C1 ZINC001278733279 895953149 /nfs/dbraw/zinc/95/31/49/895953149.db2.gz DKLSLMNUFSEWEA-CYBMUJFWSA-N 1 2 300.349 1.452 20 30 DDEDLO C#CC[N@H+]1CCC(F)(F)[C@H](CNC(=O)CCCC(C)=O)C1 ZINC001278733279 895953156 /nfs/dbraw/zinc/95/31/56/895953156.db2.gz DKLSLMNUFSEWEA-CYBMUJFWSA-N 1 2 300.349 1.452 20 30 DDEDLO N#Cc1cccc(O[C@@H]2CCC[N@@H+]([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC001254340745 896374632 /nfs/dbraw/zinc/37/46/32/896374632.db2.gz HEPMNAMEWXGOMP-GDBMZVCRSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1cccc(O[C@@H]2CCC[N@H+]([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC001254340745 896374643 /nfs/dbraw/zinc/37/46/43/896374643.db2.gz HEPMNAMEWXGOMP-GDBMZVCRSA-N 1 2 320.414 1.589 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@H](C)c2c(F)cccc2F)C1 ZINC001278888544 897119168 /nfs/dbraw/zinc/11/91/68/897119168.db2.gz UBEKLGRGIKNAAE-GFCCVEGCSA-N 1 2 322.355 1.255 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NC[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001389419024 897162209 /nfs/dbraw/zinc/16/22/09/897162209.db2.gz SXDQLHCRJMISBD-JTQLQIEISA-N 1 2 312.801 1.283 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@@H](NC(=O)[C@@H](C)C#N)C2CC2)n1 ZINC001367896519 898142616 /nfs/dbraw/zinc/14/26/16/898142616.db2.gz QXHGTSSUVYZEIE-CMPLNLGQSA-N 1 2 305.382 1.166 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1([NH2+]Cc2nnc(C(C)(C)C)[nH]2)CC1 ZINC001367991505 898422984 /nfs/dbraw/zinc/42/29/84/898422984.db2.gz NEBUGBRYRUSOBB-JTQLQIEISA-N 1 2 304.398 1.000 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]([C@@H](C)NC(=O)Cc2nnc[nH]2)C1 ZINC001390163466 898828803 /nfs/dbraw/zinc/82/88/03/898828803.db2.gz RBUROGZOVYNZFK-NEPJUHHUSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]([C@@H](C)NC(=O)Cc2nnc[nH]2)C1 ZINC001390163466 898828818 /nfs/dbraw/zinc/82/88/18/898828818.db2.gz RBUROGZOVYNZFK-NEPJUHHUSA-N 1 2 311.817 1.316 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1C[C@@H]([NH2+]Cc2noc(CC)n2)C1 ZINC001263840319 900754838 /nfs/dbraw/zinc/75/48/38/900754838.db2.gz CJOVDIGVFQPMEG-OKILXGFUSA-N 1 2 318.421 1.810 20 30 DDEDLO C[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)[C@H](CNCC#N)C1 ZINC001264002126 900867183 /nfs/dbraw/zinc/86/71/83/900867183.db2.gz WPDJGWAPUXCCSS-LXTVHRRPSA-N 1 2 315.421 1.264 20 30 DDEDLO Cc1cnc(C[N@@H+](C)CCOCCN(C)C(=O)C#CC2CC2)o1 ZINC001264105951 900937911 /nfs/dbraw/zinc/93/79/11/900937911.db2.gz HSPQTDOHSRRIBC-UHFFFAOYSA-N 1 2 319.405 1.303 20 30 DDEDLO Cc1cnc(C[N@H+](C)CCOCCN(C)C(=O)C#CC2CC2)o1 ZINC001264105951 900937919 /nfs/dbraw/zinc/93/79/19/900937919.db2.gz HSPQTDOHSRRIBC-UHFFFAOYSA-N 1 2 319.405 1.303 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CN(C)C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC001264364992 901039201 /nfs/dbraw/zinc/03/92/01/901039201.db2.gz ORLCIYVARLBHJR-OAHLLOKOSA-N 1 2 320.437 1.094 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC001264364992 901039217 /nfs/dbraw/zinc/03/92/17/901039217.db2.gz ORLCIYVARLBHJR-OAHLLOKOSA-N 1 2 320.437 1.094 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](CC)c1c(C)noc1C ZINC001264374890 901059699 /nfs/dbraw/zinc/05/96/99/901059699.db2.gz PWDSIJAHXMOJEC-HUUCEWRRSA-N 1 2 303.406 1.951 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](CC)c1c(C)noc1C ZINC001264374890 901059704 /nfs/dbraw/zinc/05/97/04/901059704.db2.gz PWDSIJAHXMOJEC-HUUCEWRRSA-N 1 2 303.406 1.951 20 30 DDEDLO CC#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)c1cc[nH]n1)C2 ZINC001264746700 901327074 /nfs/dbraw/zinc/32/70/74/901327074.db2.gz AGUQGMFBNCKBCM-UHFFFAOYSA-N 1 2 315.402 1.178 20 30 DDEDLO CC#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)c1ccn[nH]1)C2 ZINC001264746700 901327083 /nfs/dbraw/zinc/32/70/83/901327083.db2.gz AGUQGMFBNCKBCM-UHFFFAOYSA-N 1 2 315.402 1.178 20 30 DDEDLO CCN(CC#N)CCCNC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001265124362 901598409 /nfs/dbraw/zinc/59/84/09/901598409.db2.gz BTNAFYUJMICNRC-UHFFFAOYSA-N 1 2 311.389 1.838 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2ccccc2F)C1 ZINC001265201624 901696003 /nfs/dbraw/zinc/69/60/03/901696003.db2.gz TUUAAIANWFJWMI-ZDUSSCGKSA-N 1 2 319.380 1.322 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2ccccc2F)C1 ZINC001265201624 901696009 /nfs/dbraw/zinc/69/60/09/901696009.db2.gz TUUAAIANWFJWMI-ZDUSSCGKSA-N 1 2 319.380 1.322 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C)cs2)C1 ZINC001265213025 901715787 /nfs/dbraw/zinc/71/57/87/901715787.db2.gz KRLMVYNHZXTQEO-AWEZNQCLSA-N 1 2 306.431 1.901 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2cc(C)cs2)C1 ZINC001265213025 901715797 /nfs/dbraw/zinc/71/57/97/901715797.db2.gz KRLMVYNHZXTQEO-AWEZNQCLSA-N 1 2 306.431 1.901 20 30 DDEDLO CCc1noc(C)c1C(=O)N[C@H]1CCC[N@H+](CC#CCOC)C1 ZINC001265232656 901752790 /nfs/dbraw/zinc/75/27/90/901752790.db2.gz COVKMCKQLOYOEN-AWEZNQCLSA-N 1 2 319.405 1.389 20 30 DDEDLO CCc1noc(C)c1C(=O)N[C@H]1CCC[N@@H+](CC#CCOC)C1 ZINC001265232656 901752799 /nfs/dbraw/zinc/75/27/99/901752799.db2.gz COVKMCKQLOYOEN-AWEZNQCLSA-N 1 2 319.405 1.389 20 30 DDEDLO CCOc1cccc(C[NH+]2CC(CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001391480664 901824830 /nfs/dbraw/zinc/82/48/30/901824830.db2.gz XVASKKDVBDABKN-LBPRGKRZSA-N 1 2 302.378 1.188 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC(C)C ZINC001265401450 901972409 /nfs/dbraw/zinc/97/24/09/901972409.db2.gz VHZGHNCVMJGVCK-HRCADAONSA-N 1 2 319.449 1.236 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC(C)C ZINC001265401450 901972426 /nfs/dbraw/zinc/97/24/26/901972426.db2.gz VHZGHNCVMJGVCK-HRCADAONSA-N 1 2 319.449 1.236 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC(C)C)NC(C)=O ZINC001265414152 901987867 /nfs/dbraw/zinc/98/78/67/901987867.db2.gz CQFWBAOHQBQDGP-IXDOHACOSA-N 1 2 319.449 1.236 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC(C)C)NC(C)=O ZINC001265414152 901987874 /nfs/dbraw/zinc/98/78/74/901987874.db2.gz CQFWBAOHQBQDGP-IXDOHACOSA-N 1 2 319.449 1.236 20 30 DDEDLO CCc1noc(C[NH2+]C[C@@H]2CCCCN2C(=O)C#CC(C)C)n1 ZINC001265670658 902282530 /nfs/dbraw/zinc/28/25/30/902282530.db2.gz RVFSXAMRQQRMPH-AWEZNQCLSA-N 1 2 318.421 1.762 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+][C@H](C)c2nnc(C)o2)C1 ZINC001265949718 902649915 /nfs/dbraw/zinc/64/99/15/902649915.db2.gz ZTISLBLMCVIKJV-YPMHNXCESA-N 1 2 308.382 1.222 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CCC[N@H+](Cc2cc(C)no2)C1 ZINC001266217824 903132726 /nfs/dbraw/zinc/13/27/26/903132726.db2.gz IAELSGIFLKIGHH-KGLIPLIRSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CCC[N@@H+](Cc2cc(C)no2)C1 ZINC001266217824 903132744 /nfs/dbraw/zinc/13/27/44/903132744.db2.gz IAELSGIFLKIGHH-KGLIPLIRSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CC[N@H+]1CCC[C@](CO)(NC(=O)c2cc3c(s2)CCC3)C1 ZINC001279530953 903309745 /nfs/dbraw/zinc/30/97/45/903309745.db2.gz YABKQGJJBNMIHW-KRWDZBQOSA-N 1 2 318.442 1.427 20 30 DDEDLO C#CC[N@@H+]1CCC[C@](CO)(NC(=O)c2cc3c(s2)CCC3)C1 ZINC001279530953 903309756 /nfs/dbraw/zinc/30/97/56/903309756.db2.gz YABKQGJJBNMIHW-KRWDZBQOSA-N 1 2 318.442 1.427 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[NH+](Cc1nncn1C)CC2 ZINC001280388276 903626321 /nfs/dbraw/zinc/62/63/21/903626321.db2.gz RBPPVKUIYWNXTG-UHFFFAOYSA-N 1 2 317.437 1.596 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)n[nH]1 ZINC001280397043 903633681 /nfs/dbraw/zinc/63/36/81/903633681.db2.gz QIRBXTMGGAUGTR-OAHLLOKOSA-N 1 2 320.437 1.895 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)n[nH]1 ZINC001280397043 903633689 /nfs/dbraw/zinc/63/36/89/903633689.db2.gz QIRBXTMGGAUGTR-OAHLLOKOSA-N 1 2 320.437 1.895 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCC[N@H+](Cc2nnnn2C)CC1 ZINC001280756853 903999490 /nfs/dbraw/zinc/99/94/90/903999490.db2.gz PBPDUUCPCYUBDR-ZIAGYGMSSA-N 1 2 320.441 1.283 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCC[N@@H+](Cc2nnnn2C)CC1 ZINC001280756853 903999500 /nfs/dbraw/zinc/99/95/00/903999500.db2.gz PBPDUUCPCYUBDR-ZIAGYGMSSA-N 1 2 320.441 1.283 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCOC2(C[NH+](CCCCOC)C2)C1 ZINC001280907834 904176723 /nfs/dbraw/zinc/17/67/23/904176723.db2.gz WHKYAEWYACPZKN-UHFFFAOYSA-N 1 2 324.465 1.929 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)Cc1nonc1C ZINC001281775311 905208928 /nfs/dbraw/zinc/20/89/28/905208928.db2.gz APSDPXCQSNODRQ-KRWDZBQOSA-N 1 2 324.384 1.269 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)Cc1nonc1C ZINC001281775311 905208942 /nfs/dbraw/zinc/20/89/42/905208942.db2.gz APSDPXCQSNODRQ-KRWDZBQOSA-N 1 2 324.384 1.269 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H]1CCCN(CC(F)F)C1 ZINC001372099531 906456405 /nfs/dbraw/zinc/45/64/05/906456405.db2.gz YPAMEBAJGWOOEQ-LBPRGKRZSA-N 1 2 323.815 1.764 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H]1CCCN(CC(F)F)C1 ZINC001372099531 906456412 /nfs/dbraw/zinc/45/64/12/906456412.db2.gz YPAMEBAJGWOOEQ-LBPRGKRZSA-N 1 2 323.815 1.764 20 30 DDEDLO C=C1CCC(C(=O)NCCCNC(=O)Cn2cc[nH+]c2)CC1 ZINC001283290347 907062180 /nfs/dbraw/zinc/06/21/80/907062180.db2.gz PLQRTAHJCUMKLU-UHFFFAOYSA-N 1 2 304.394 1.252 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001284129475 908522910 /nfs/dbraw/zinc/52/29/10/908522910.db2.gz QZGNTDXFSPTNTG-ZIAGYGMSSA-N 1 2 322.453 1.277 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001284129475 908522914 /nfs/dbraw/zinc/52/29/14/908522914.db2.gz QZGNTDXFSPTNTG-ZIAGYGMSSA-N 1 2 322.453 1.277 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H](O)C[N@H+](C)Cc1nc(C)oc1C ZINC001284493023 909075768 /nfs/dbraw/zinc/07/57/68/909075768.db2.gz PEZWTFMDGIACCR-OAHLLOKOSA-N 1 2 323.437 1.899 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1nc(C)oc1C ZINC001284493023 909075782 /nfs/dbraw/zinc/07/57/82/909075782.db2.gz PEZWTFMDGIACCR-OAHLLOKOSA-N 1 2 323.437 1.899 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(CC)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001284650959 909297984 /nfs/dbraw/zinc/29/79/84/909297984.db2.gz TUWIAUQZBDLGIO-CYBMUJFWSA-N 1 2 306.410 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)CCc2ccncn2)CC1 ZINC001284920433 909734261 /nfs/dbraw/zinc/73/42/61/909734261.db2.gz GJALZSXNRWCFCU-UHFFFAOYSA-N 1 2 320.824 1.910 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)CCc2ccncn2)CC1 ZINC001284920433 909734271 /nfs/dbraw/zinc/73/42/71/909734271.db2.gz GJALZSXNRWCFCU-UHFFFAOYSA-N 1 2 320.824 1.910 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284935223 909765853 /nfs/dbraw/zinc/76/58/53/909765853.db2.gz YNKULZSSBOUIQX-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284935223 909765861 /nfs/dbraw/zinc/76/58/61/909765861.db2.gz YNKULZSSBOUIQX-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001285348744 910392410 /nfs/dbraw/zinc/39/24/10/910392410.db2.gz ZHMDNTAXVXSVCL-HIFRSBDPSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)N(C)C(=O)CCCn1cc[nH+]c1 ZINC001285776898 911192081 /nfs/dbraw/zinc/19/20/81/911192081.db2.gz VFNHMBZLTKCBIH-AWEZNQCLSA-N 1 2 320.437 1.839 20 30 DDEDLO Cc1cc(C[N@@H+]2CCO[C@H](CNC(=O)[C@@H](C)C#N)C2)c(C)s1 ZINC001374124471 911952397 /nfs/dbraw/zinc/95/23/97/911952397.db2.gz POGLWKHRGHQEKA-XHDPSFHLSA-N 1 2 321.446 1.842 20 30 DDEDLO Cc1cc(C[N@H+]2CCO[C@H](CNC(=O)[C@@H](C)C#N)C2)c(C)s1 ZINC001374124471 911952416 /nfs/dbraw/zinc/95/24/16/911952416.db2.gz POGLWKHRGHQEKA-XHDPSFHLSA-N 1 2 321.446 1.842 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CCCNC(=O)Cn2cc[nH+]c2)C1 ZINC001287323342 912184093 /nfs/dbraw/zinc/18/40/93/912184093.db2.gz MJRZGPCAXYNJFK-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)CO[C@H]1CCOC1 ZINC001377393707 921749344 /nfs/dbraw/zinc/74/93/44/921749344.db2.gz PGRJGJUIVRYWKW-STQMWFEESA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)CO[C@H]1CCOC1 ZINC001377393707 921749353 /nfs/dbraw/zinc/74/93/53/921749353.db2.gz PGRJGJUIVRYWKW-STQMWFEESA-N 1 2 302.802 1.125 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)C(C)C)cc1C#N ZINC001375296205 915504371 /nfs/dbraw/zinc/50/43/71/915504371.db2.gz QPCUBAFTEAWWLM-OAHLLOKOSA-N 1 2 301.390 1.913 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)C(C)C)cc1C#N ZINC001375296205 915504383 /nfs/dbraw/zinc/50/43/83/915504383.db2.gz QPCUBAFTEAWWLM-OAHLLOKOSA-N 1 2 301.390 1.913 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)NC(=O)CCc2[nH]cc[nH+]2)cc1 ZINC001295592761 915918334 /nfs/dbraw/zinc/91/83/34/915918334.db2.gz ZTOTVLIVCMTPQV-ZDUSSCGKSA-N 1 2 324.384 1.258 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001297062683 916702712 /nfs/dbraw/zinc/70/27/12/916702712.db2.gz QKDPFXAVOOPPOP-LBPRGKRZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001297062683 916702724 /nfs/dbraw/zinc/70/27/24/916702724.db2.gz QKDPFXAVOOPPOP-LBPRGKRZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC1(C(=O)N2CC(NC(=O)Cc3c[nH]c[nH+]3)C2)CC1 ZINC001297156053 916765860 /nfs/dbraw/zinc/76/58/60/916765860.db2.gz RKOQARUWAJKKIS-UHFFFAOYSA-N 1 2 302.378 1.026 20 30 DDEDLO C=C(Br)C[NH+]1CCC(N(C)C(=O)COCC)CC1 ZINC001376009379 917465916 /nfs/dbraw/zinc/46/59/16/917465916.db2.gz GVBBUTOUWQAIFD-UHFFFAOYSA-N 1 2 319.243 1.854 20 30 DDEDLO CCc1ccccc1NC(=O)C[N@H+](C)CCNC(=O)[C@H](C)C#N ZINC001377131090 920415151 /nfs/dbraw/zinc/41/51/51/920415151.db2.gz PSHLBXRCUDEMCN-CYBMUJFWSA-N 1 2 316.405 1.395 20 30 DDEDLO CCc1ccccc1NC(=O)C[N@@H+](C)CCNC(=O)[C@H](C)C#N ZINC001377131090 920415160 /nfs/dbraw/zinc/41/51/60/920415160.db2.gz PSHLBXRCUDEMCN-CYBMUJFWSA-N 1 2 316.405 1.395 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)C[C@@H](C)COC)CC1 ZINC001377583523 922402711 /nfs/dbraw/zinc/40/27/11/922402711.db2.gz YQVRJGTXAMSGRM-GFCCVEGCSA-N 1 2 318.845 1.355 20 30 DDEDLO COc1ccccc1CCC[NH+]1CC(NC(=O)[C@H](C)C#N)C1 ZINC001377876432 923304501 /nfs/dbraw/zinc/30/45/01/923304501.db2.gz VNYXAZAJWSGKMU-CYBMUJFWSA-N 1 2 301.390 1.588 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C2(CCOC)CCC2)C1 ZINC001378013944 923697225 /nfs/dbraw/zinc/69/72/25/923697225.db2.gz HPSHKRVILXVVPK-UHFFFAOYSA-N 1 2 300.830 1.994 20 30 DDEDLO CC[C@]1(O)CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000495203184 529441710 /nfs/dbraw/zinc/44/17/10/529441710.db2.gz PFUUSMVBCQYQTK-HNNXBMFYSA-N 1 2 308.403 1.179 20 30 DDEDLO CC[C@]1(O)CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000495203184 529441713 /nfs/dbraw/zinc/44/17/13/529441713.db2.gz PFUUSMVBCQYQTK-HNNXBMFYSA-N 1 2 308.403 1.179 20 30 DDEDLO Cc1ncc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)cc1C#N ZINC000328672802 231300807 /nfs/dbraw/zinc/30/08/07/231300807.db2.gz ONSCIHDNOYKTPQ-UHFFFAOYSA-N 1 2 320.418 1.121 20 30 DDEDLO CC(=O)N1CC(C(=O)NCc2[nH+]ccn2CC(F)(F)F)C1 ZINC000328676738 539298566 /nfs/dbraw/zinc/29/85/66/539298566.db2.gz RUSLHZDIZLBMHP-UHFFFAOYSA-N 1 2 304.272 1.380 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)c2cccc(=O)[nH]2)CC1 ZINC000328762293 539298819 /nfs/dbraw/zinc/29/88/19/539298819.db2.gz ZAWPYJQEEONFNX-NSHDSACASA-N 1 2 318.377 1.052 20 30 DDEDLO O=C(N[C@@H]1CCn2cc[nH+]c2C1)N1CCOCC(F)(F)C1 ZINC000329688210 539306869 /nfs/dbraw/zinc/30/68/69/539306869.db2.gz YYTUCLBVWGHCCL-SNVBAGLBSA-N 1 2 300.309 1.079 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc2c(c1F)CCC2=O ZINC000414126741 529868382 /nfs/dbraw/zinc/86/83/82/529868382.db2.gz PBRNYWZRJIUNDV-NSHDSACASA-N 1 2 306.337 1.540 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc2c(c1F)CCC2=O ZINC000414126741 529868383 /nfs/dbraw/zinc/86/83/83/529868383.db2.gz PBRNYWZRJIUNDV-NSHDSACASA-N 1 2 306.337 1.540 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc(N2CCCC2=O)cc1 ZINC000414118321 529868477 /nfs/dbraw/zinc/86/84/77/529868477.db2.gz AGYVRHRTYKOLHN-OAHLLOKOSA-N 1 2 317.389 1.399 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc(N2CCCC2=O)cc1 ZINC000414118321 529868478 /nfs/dbraw/zinc/86/84/78/529868478.db2.gz AGYVRHRTYKOLHN-OAHLLOKOSA-N 1 2 317.389 1.399 20 30 DDEDLO C=C[C@](C)(O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2[C@@H](C)CO ZINC000616021013 362522959 /nfs/dbraw/zinc/52/29/59/362522959.db2.gz VHTTVPCBGAOLGF-QFYYESIMSA-N 1 2 303.362 1.774 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)C(C)(C)Oc1ccc(C#N)cc1 ZINC000158952093 290750029 /nfs/dbraw/zinc/75/00/29/290750029.db2.gz XBJLMERFILDTQV-UHFFFAOYSA-N 1 2 312.373 1.808 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2OC)CC1 ZINC000115551192 185691807 /nfs/dbraw/zinc/69/18/07/185691807.db2.gz WLLYGVYUDCSPGR-CYBMUJFWSA-N 1 2 321.396 1.647 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCC(C)(C)CC#N ZINC000459226995 233008191 /nfs/dbraw/zinc/00/81/91/233008191.db2.gz AXPWCTNEUNDTPA-ZIAGYGMSSA-N 1 2 308.426 1.431 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CCSC[C@H]1CCO ZINC000459368674 233137128 /nfs/dbraw/zinc/13/71/28/233137128.db2.gz PYRJYMUEDNQDDS-GFCCVEGCSA-N 1 2 307.375 1.766 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CCSC[C@H]1CCO ZINC000459368674 233137132 /nfs/dbraw/zinc/13/71/32/233137132.db2.gz PYRJYMUEDNQDDS-GFCCVEGCSA-N 1 2 307.375 1.766 20 30 DDEDLO C[C@@H](CNC(=O)COc1ccc(C#N)cc1)C[NH+]1CCOCC1 ZINC000150724563 186060306 /nfs/dbraw/zinc/06/03/06/186060306.db2.gz MATLEKLLDMOEQQ-AWEZNQCLSA-N 1 2 317.389 1.022 20 30 DDEDLO C=CCN(Cc1c[nH+]cn1C)S(=O)(=O)c1ccc(OC)cc1 ZINC000267818500 186366223 /nfs/dbraw/zinc/36/62/23/186366223.db2.gz WKFHOJQYZBTXFJ-UHFFFAOYSA-N 1 2 321.402 1.806 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](C)CC(=O)Nc1c(C)cccc1C ZINC000008495388 352135396 /nfs/dbraw/zinc/13/53/96/352135396.db2.gz QYGGVBAUSCAYOF-CQSZACIVSA-N 1 2 303.406 1.864 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](C)CC(=O)Nc1c(C)cccc1C ZINC000008495388 352135398 /nfs/dbraw/zinc/13/53/98/352135398.db2.gz QYGGVBAUSCAYOF-CQSZACIVSA-N 1 2 303.406 1.864 20 30 DDEDLO CN(C)C(=O)CN1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC000029846088 352244987 /nfs/dbraw/zinc/24/49/87/352244987.db2.gz HJTDJGQWGUQJJY-UHFFFAOYSA-N 1 2 300.406 1.154 20 30 DDEDLO CN(C)C(=O)CN1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC000029846088 352244990 /nfs/dbraw/zinc/24/49/90/352244990.db2.gz HJTDJGQWGUQJJY-UHFFFAOYSA-N 1 2 300.406 1.154 20 30 DDEDLO COC(=O)C[C@H]1CCCC[N@@H+]1CC(=O)Nc1sccc1C#N ZINC000053616202 352662643 /nfs/dbraw/zinc/66/26/43/352662643.db2.gz BCDWQCKJCLDGQZ-GFCCVEGCSA-N 1 2 321.402 1.976 20 30 DDEDLO COC(=O)C[C@H]1CCCC[N@H+]1CC(=O)Nc1sccc1C#N ZINC000053616202 352662645 /nfs/dbraw/zinc/66/26/45/352662645.db2.gz BCDWQCKJCLDGQZ-GFCCVEGCSA-N 1 2 321.402 1.976 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2ncccn2)CC1 ZINC000066863173 353019994 /nfs/dbraw/zinc/01/99/94/353019994.db2.gz JCLBRTWOFHCVTC-UHFFFAOYSA-N 1 2 303.410 1.023 20 30 DDEDLO C=C(Br)C[N@@H+]1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000067230389 353039671 /nfs/dbraw/zinc/03/96/71/353039671.db2.gz UWUULOYGTLQWHY-GFCCVEGCSA-N 1 2 317.227 1.608 20 30 DDEDLO C=C(Br)C[N@H+]1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000067230389 353039673 /nfs/dbraw/zinc/03/96/73/353039673.db2.gz UWUULOYGTLQWHY-GFCCVEGCSA-N 1 2 317.227 1.608 20 30 DDEDLO C=CCN(Cc1cn2cccc(C)c2[nH+]1)[C@@H]1CCS(=O)(=O)C1 ZINC000067400000 353046665 /nfs/dbraw/zinc/04/66/65/353046665.db2.gz SVDIQMOJPWCHCF-OAHLLOKOSA-N 1 2 319.430 1.818 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N1CCC[C@H]1c1cccc(OC)c1 ZINC000076185287 353403226 /nfs/dbraw/zinc/40/32/26/353403226.db2.gz XDNBRKLQMWJGPZ-SFHVURJKSA-N 1 2 310.397 1.927 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cn2ccccc2n1 ZINC000078687805 353529087 /nfs/dbraw/zinc/52/90/87/353529087.db2.gz LFNSHITUSVSEOA-UHFFFAOYSA-N 1 2 313.405 1.382 20 30 DDEDLO C=CCOCCCC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000079491091 353564531 /nfs/dbraw/zinc/56/45/31/353564531.db2.gz OEOANOKIEREGOI-UHFFFAOYSA-N 1 2 319.405 1.517 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cccc(CC)c2)CC1 ZINC000081042416 353648796 /nfs/dbraw/zinc/64/87/96/353648796.db2.gz CKVOCNNXEPXKEM-UHFFFAOYSA-N 1 2 306.431 1.579 20 30 DDEDLO CC[C@@](C)(C#N)NC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000081311325 353664002 /nfs/dbraw/zinc/66/40/02/353664002.db2.gz ITBCFRVIRRKMNP-INIZCTEOSA-N 1 2 301.394 1.012 20 30 DDEDLO COCC[C@H](C)O[NH+]=C(N)c1ccc(-n2nc(C)cc2C)nc1 ZINC000088519904 353756477 /nfs/dbraw/zinc/75/64/77/353756477.db2.gz LQPNJBVTLLWRKF-ZDUSSCGKSA-N 1 2 317.393 1.946 20 30 DDEDLO C[NH2+]CCCc1[nH]nc(NC(=O)C2C[C@@H](C)O[C@H](C)C2)c1C#N ZINC000092106431 353845093 /nfs/dbraw/zinc/84/50/93/353845093.db2.gz YMPKUBKYQOZBNH-GHMZBOCLSA-N 1 2 319.409 1.575 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NCC1(O)CCOCC1)CCC2 ZINC000328658275 222883208 /nfs/dbraw/zinc/88/32/08/222883208.db2.gz QTGFTMCIMNAOAX-ZDUSSCGKSA-N 1 2 322.409 1.114 20 30 DDEDLO C=CCNC(=O)CNC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000181728756 354270214 /nfs/dbraw/zinc/27/02/14/354270214.db2.gz NPLQHCDIPZIPAK-UHFFFAOYSA-N 1 2 311.341 1.906 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cc(C(N)=O)ccc3F)C[C@@H]21 ZINC000329926800 283013355 /nfs/dbraw/zinc/01/33/55/283013355.db2.gz KZGSFDIWMIKPCT-QWHCGFSZSA-N 1 2 322.340 1.269 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cc(C(N)=O)ccc3F)C[C@@H]21 ZINC000329926800 283013356 /nfs/dbraw/zinc/01/33/56/283013356.db2.gz KZGSFDIWMIKPCT-QWHCGFSZSA-N 1 2 322.340 1.269 20 30 DDEDLO COC(C)(C)C[N@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000404283423 354676150 /nfs/dbraw/zinc/67/61/50/354676150.db2.gz TURGLZLCTKBKQQ-UHFFFAOYSA-N 1 2 310.419 1.689 20 30 DDEDLO COC(C)(C)C[N@@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000404283423 354676153 /nfs/dbraw/zinc/67/61/53/354676153.db2.gz TURGLZLCTKBKQQ-UHFFFAOYSA-N 1 2 310.419 1.689 20 30 DDEDLO N#Cc1cccc(CCNC(=O)c2ccc(-n3cc[nH+]c3)nn2)c1 ZINC000584852872 354784928 /nfs/dbraw/zinc/78/49/28/354784928.db2.gz HBSRFISQEVPKDZ-UHFFFAOYSA-N 1 2 318.340 1.506 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)N1CCOc2ccccc21 ZINC000585816083 354853169 /nfs/dbraw/zinc/85/31/69/354853169.db2.gz OGLZPEQXWGSVEJ-UHFFFAOYSA-N 1 2 317.389 1.664 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)N1CCOc2ccccc21 ZINC000585816083 354853172 /nfs/dbraw/zinc/85/31/72/354853172.db2.gz OGLZPEQXWGSVEJ-UHFFFAOYSA-N 1 2 317.389 1.664 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ccc(=O)[nH]n3)CC2)s1 ZINC000371335911 307148065 /nfs/dbraw/zinc/14/80/65/307148065.db2.gz MMJDXABZTDRLRI-UHFFFAOYSA-N 1 2 301.375 1.438 20 30 DDEDLO C[C@@H](CNS(=O)(=O)c1cccc(CC#N)c1)Cn1cc[nH+]c1 ZINC000591260795 355272024 /nfs/dbraw/zinc/27/20/24/355272024.db2.gz FAIMUHLNMOQGFD-ZDUSSCGKSA-N 1 2 318.402 1.564 20 30 DDEDLO CCS(=O)(=O)N(C)C1CCN(c2cc(C)[nH+]cc2C#N)CC1 ZINC000591819771 355390298 /nfs/dbraw/zinc/39/02/98/355390298.db2.gz BXKDOPVXNXLPFL-UHFFFAOYSA-N 1 2 322.434 1.512 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@@H]2CCN(C(C)(C)C)C2=O)CC1 ZINC000591845761 355394408 /nfs/dbraw/zinc/39/44/08/355394408.db2.gz WCMPRQTUULBAKK-HUUCEWRRSA-N 1 2 306.454 1.552 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(F)c(F)c1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146328 355512001 /nfs/dbraw/zinc/51/20/01/355512001.db2.gz HHYCWPBYAQVLQW-BMIGLBTASA-N 1 2 309.316 1.642 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(F)c(F)c1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146328 355512004 /nfs/dbraw/zinc/51/20/04/355512004.db2.gz HHYCWPBYAQVLQW-BMIGLBTASA-N 1 2 309.316 1.642 20 30 DDEDLO CC(C)[C@H](NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1 ZINC000592146335 355512061 /nfs/dbraw/zinc/51/20/61/355512061.db2.gz BQZSKXMUMCLKHV-ROUUACIJSA-N 1 2 315.417 1.850 20 30 DDEDLO CC(C)[C@H](NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1 ZINC000592146335 355512064 /nfs/dbraw/zinc/51/20/64/355512064.db2.gz BQZSKXMUMCLKHV-ROUUACIJSA-N 1 2 315.417 1.850 20 30 DDEDLO C[C@@H](NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1Cl ZINC000592147920 355514063 /nfs/dbraw/zinc/51/40/63/355514063.db2.gz PMUNGKZMBOJPRD-WBMJQRKESA-N 1 2 321.808 1.868 20 30 DDEDLO C[C@@H](NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1Cl ZINC000592147920 355514066 /nfs/dbraw/zinc/51/40/66/355514066.db2.gz PMUNGKZMBOJPRD-WBMJQRKESA-N 1 2 321.808 1.868 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](Cc3cc4n(n3)CCC4)C2)nc1 ZINC000593030676 355775457 /nfs/dbraw/zinc/77/54/57/355775457.db2.gz OAUDHUCJRAYFKS-MRXNPFEDSA-N 1 2 309.373 1.749 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](Cc3cc4n(n3)CCC4)C2)nc1 ZINC000593030676 355775458 /nfs/dbraw/zinc/77/54/58/355775458.db2.gz OAUDHUCJRAYFKS-MRXNPFEDSA-N 1 2 309.373 1.749 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)N1Cc2cccc(C#N)c2C1 ZINC000593306294 355850684 /nfs/dbraw/zinc/85/06/84/355850684.db2.gz CAZAGODFWOASDE-ZDUSSCGKSA-N 1 2 314.389 1.304 20 30 DDEDLO C=CCC[C@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)OCC ZINC000593738317 355981833 /nfs/dbraw/zinc/98/18/33/355981833.db2.gz CPZMWNIHAGDPNY-AWEZNQCLSA-N 1 2 319.405 1.776 20 30 DDEDLO N#CCCCCC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000594246557 356151368 /nfs/dbraw/zinc/15/13/68/356151368.db2.gz QPKJEJKXENXBKB-OAHLLOKOSA-N 1 2 311.451 1.394 20 30 DDEDLO N#Cc1ccc(-n2cc([C@@H]3COCC[NH2+]3)nn2)cc1C(F)(F)F ZINC000594657727 356278276 /nfs/dbraw/zinc/27/82/76/356278276.db2.gz SLNAXOKOQGGVMB-ZDUSSCGKSA-N 1 2 323.278 1.819 20 30 DDEDLO CCN1CC[C@H]([NH+]2CCN(c3ccc(C#N)cc3F)CC2)C1=O ZINC000595300990 356440295 /nfs/dbraw/zinc/44/02/95/356440295.db2.gz HCWWWOKJZBHWDA-INIZCTEOSA-N 1 2 316.380 1.440 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@@H](C)[C@H](O)[C@@H](C)C2)c(C#N)c1C ZINC000595748327 356634956 /nfs/dbraw/zinc/63/49/56/356634956.db2.gz FPIJMJKJHDWKON-RTUWITSCSA-N 1 2 305.378 1.655 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@@H](C)[C@H](O)[C@@H](C)C2)c(C#N)c1C ZINC000595748327 356634960 /nfs/dbraw/zinc/63/49/60/356634960.db2.gz FPIJMJKJHDWKON-RTUWITSCSA-N 1 2 305.378 1.655 20 30 DDEDLO N#Cc1cnnc(N2CCC[N@H+](Cc3cscn3)CC2)c1 ZINC000596107414 356774491 /nfs/dbraw/zinc/77/44/91/356774491.db2.gz ICZJRCHNRQFGFQ-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO N#Cc1cnnc(N2CCC[N@@H+](Cc3cscn3)CC2)c1 ZINC000596107414 356774494 /nfs/dbraw/zinc/77/44/94/356774494.db2.gz ICZJRCHNRQFGFQ-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO CO[C@H]1CCN(c2cc(C)[nH+]c(N3CC[C@H](OC)C3)c2C#N)C1 ZINC000596149853 356791671 /nfs/dbraw/zinc/79/16/71/356791671.db2.gz AXAUCWILPBAORD-KBPBESRZSA-N 1 2 316.405 1.712 20 30 DDEDLO N#CC1(CC(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)CCOCC1 ZINC000597579697 357237014 /nfs/dbraw/zinc/23/70/14/357237014.db2.gz FCJGHWRHBBZCFN-OAHLLOKOSA-N 1 2 315.373 1.772 20 30 DDEDLO N#CCCCC(=O)N(CC[NH+]1CCOCC1)[C@@H]1CCSC1 ZINC000597677557 357280976 /nfs/dbraw/zinc/28/09/76/357280976.db2.gz GBPAWBXFMLISQA-CQSZACIVSA-N 1 2 311.451 1.347 20 30 DDEDLO CO[C@@H]1C[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C[C@@H]1OC ZINC000276837046 213124609 /nfs/dbraw/zinc/12/46/09/213124609.db2.gz HHUIBCZTNIPBMG-RWMBFGLXSA-N 1 2 321.402 1.071 20 30 DDEDLO CO[C@@H]1C[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C[C@@H]1OC ZINC000276837046 213124611 /nfs/dbraw/zinc/12/46/11/213124611.db2.gz HHUIBCZTNIPBMG-RWMBFGLXSA-N 1 2 321.402 1.071 20 30 DDEDLO Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)N1CC(CC#N)C1 ZINC000598022627 357430186 /nfs/dbraw/zinc/43/01/86/357430186.db2.gz FJYXPRFBHUWMQA-UHFFFAOYSA-N 1 2 304.300 1.995 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCC[C@@H]1CCO[C@H](C)C1 ZINC000329674433 223012588 /nfs/dbraw/zinc/01/25/88/223012588.db2.gz VKLYOCRBCFRMFN-RBSFLKMASA-N 1 2 313.442 1.416 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCC[C@@H]1CCO[C@@H](C)C1 ZINC000329674432 223012822 /nfs/dbraw/zinc/01/28/22/223012822.db2.gz VKLYOCRBCFRMFN-QLFBSQMISA-N 1 2 313.442 1.416 20 30 DDEDLO C[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1CO ZINC000598649886 357670146 /nfs/dbraw/zinc/67/01/46/357670146.db2.gz ZVQIGKNUEOGESX-ZFWWWQNUSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1CO ZINC000598649886 357670149 /nfs/dbraw/zinc/67/01/49/357670149.db2.gz ZVQIGKNUEOGESX-ZFWWWQNUSA-N 1 2 322.430 1.282 20 30 DDEDLO CCOc1cncc(CN(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000598671670 357680035 /nfs/dbraw/zinc/68/00/35/357680035.db2.gz XHFXJQZGOBXGSY-UHFFFAOYSA-N 1 2 318.421 1.528 20 30 DDEDLO Cc1cc2c(cc1NC(=O)N1C[C@H]3OCC[N@@H+](C)[C@@H]3C1)OCO2 ZINC000329711137 223017988 /nfs/dbraw/zinc/01/79/88/223017988.db2.gz OEXMUCQEZDYQKF-IUODEOHRSA-N 1 2 319.361 1.284 20 30 DDEDLO Cc1cc2c(cc1NC(=O)N1C[C@H]3OCC[N@H+](C)[C@@H]3C1)OCO2 ZINC000329711137 223017990 /nfs/dbraw/zinc/01/79/90/223017990.db2.gz OEXMUCQEZDYQKF-IUODEOHRSA-N 1 2 319.361 1.284 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2C(=O)N[C@H]2Cc3c[nH+]cn3C2)cc1 ZINC000598943310 357767284 /nfs/dbraw/zinc/76/72/84/357767284.db2.gz GTDNMSKNQPNIDX-WMLDXEAASA-N 1 2 321.384 1.465 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N[C@@H]2CCn3c[nH+]cc3C2)c1 ZINC000598947228 357770820 /nfs/dbraw/zinc/77/08/20/357770820.db2.gz KEFRVEXIZFOCQY-CQSZACIVSA-N 1 2 309.373 1.566 20 30 DDEDLO C[C@@H](O)CNc1cc(N2CCc3c(CC#N)cccc3C2)nc[nH+]1 ZINC000599194492 357846291 /nfs/dbraw/zinc/84/62/91/357846291.db2.gz JBXRTKMLDONQAZ-CYBMUJFWSA-N 1 2 323.400 1.898 20 30 DDEDLO C[C@@H](O)CNc1cc(N2CCc3c(CC#N)cccc3C2)[nH+]cn1 ZINC000599194492 357846294 /nfs/dbraw/zinc/84/62/94/357846294.db2.gz JBXRTKMLDONQAZ-CYBMUJFWSA-N 1 2 323.400 1.898 20 30 DDEDLO COc1cncc(C[NH+]2CCC(C#N)(c3ccccn3)CC2)n1 ZINC000599398738 357923080 /nfs/dbraw/zinc/92/30/80/357923080.db2.gz BXRYISXZWSOLIJ-UHFFFAOYSA-N 1 2 309.373 1.938 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCc2c(O)cccc2C1 ZINC000599647014 358005662 /nfs/dbraw/zinc/00/56/62/358005662.db2.gz OEDYFNYQDJAHCK-QGZVFWFLSA-N 1 2 301.390 1.805 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCc2c(O)cccc2C1 ZINC000599647014 358005667 /nfs/dbraw/zinc/00/56/67/358005667.db2.gz OEDYFNYQDJAHCK-QGZVFWFLSA-N 1 2 301.390 1.805 20 30 DDEDLO N#CCc1cccc2c1CC[N@@H+](CC(=O)NCC(F)(F)F)C2 ZINC000599686224 358025290 /nfs/dbraw/zinc/02/52/90/358025290.db2.gz OKGILOOYJUARQP-UHFFFAOYSA-N 1 2 311.307 1.789 20 30 DDEDLO N#CCc1cccc2c1CC[N@H+](CC(=O)NCC(F)(F)F)C2 ZINC000599686224 358025292 /nfs/dbraw/zinc/02/52/92/358025292.db2.gz OKGILOOYJUARQP-UHFFFAOYSA-N 1 2 311.307 1.789 20 30 DDEDLO COCCN(CCC#N)C(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000601035956 358389202 /nfs/dbraw/zinc/38/92/02/358389202.db2.gz MFRHXYSCFOMSTH-UHFFFAOYSA-N 1 2 312.373 1.803 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)c1ccccc1CC#N ZINC000601374461 358522443 /nfs/dbraw/zinc/52/24/43/358522443.db2.gz GLMHKIYQQYNVEZ-UHFFFAOYSA-N 1 2 318.402 1.660 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2ccccc2CC#N)CC1 ZINC000601431496 358550528 /nfs/dbraw/zinc/55/05/28/358550528.db2.gz OCKAHROMSASUAB-CQSZACIVSA-N 1 2 321.446 1.857 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC000601391623 358532184 /nfs/dbraw/zinc/53/21/84/358532184.db2.gz RTVOQTRGSHIBGF-UHFFFAOYSA-N 1 2 320.349 1.448 20 30 DDEDLO Cc1cc(S(=O)(=O)N[C@@H]2CCn3c[nH+]cc3C2)ccc1C#N ZINC000601967268 358757043 /nfs/dbraw/zinc/75/70/43/358757043.db2.gz FMXSATWCFNYZDE-CYBMUJFWSA-N 1 2 316.386 1.357 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCO[C@@H](C(F)F)CC2)cc1 ZINC000601973988 358761322 /nfs/dbraw/zinc/76/13/22/358761322.db2.gz GGKHLLTUMOZZIF-CQSZACIVSA-N 1 2 323.343 1.530 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCO[C@@H](C(F)F)CC2)cc1 ZINC000601973988 358761326 /nfs/dbraw/zinc/76/13/26/358761326.db2.gz GGKHLLTUMOZZIF-CQSZACIVSA-N 1 2 323.343 1.530 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CC[NH+](CCc2cnccn2)CC1 ZINC000602793711 359196687 /nfs/dbraw/zinc/19/66/87/359196687.db2.gz JIPVZXFACWXKGC-SFHVURJKSA-N 1 2 307.401 1.902 20 30 DDEDLO CC(C)CCOCCNC(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856967 359242622 /nfs/dbraw/zinc/24/26/22/359242622.db2.gz OAWVBSUESFMGOP-HOTGVXAUSA-N 1 2 324.469 1.083 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1)[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602857069 359243500 /nfs/dbraw/zinc/24/35/00/359243500.db2.gz OOSOYYVGMKUTDA-SOUVJXGZSA-N 1 2 300.406 1.932 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@H](C)C(=O)N(C)C[C@H]1COc2ccccc2O1 ZINC000602860354 359245699 /nfs/dbraw/zinc/24/56/99/359245699.db2.gz CPXLFUZGWGCDOT-MCIONIFRSA-N 1 2 317.389 1.565 20 30 DDEDLO C[C@@H]1CN(C(=O)Nc2ccc3[nH+]ccn3c2)C[C@@H](C)N1CC#N ZINC000602866715 359251815 /nfs/dbraw/zinc/25/18/15/359251815.db2.gz XBHORLBTWQGQLB-CHWSQXEVSA-N 1 2 312.377 1.784 20 30 DDEDLO COC(=O)c1ccc(CNC(=O)[C@@H](C)[NH2+][C@H](C)CC#N)cc1 ZINC000602870614 359254030 /nfs/dbraw/zinc/25/40/30/359254030.db2.gz UWHGPSFOPMISAE-VXGBXAGGSA-N 1 2 303.362 1.370 20 30 DDEDLO N#Cc1c(Cn2cc([C@@H]3COCC[NH2+]3)nn2)cn2ccccc12 ZINC000603193551 359470825 /nfs/dbraw/zinc/47/08/25/359470825.db2.gz PQAGEEZDCZBBLW-HNNXBMFYSA-N 1 2 308.345 1.112 20 30 DDEDLO C[N@H+](CCO)Cc1cn(CCCOc2cccc(C#N)c2)nn1 ZINC000603241047 359507709 /nfs/dbraw/zinc/50/77/09/359507709.db2.gz DBBSGIPNHPGWPE-UHFFFAOYSA-N 1 2 315.377 1.043 20 30 DDEDLO C[N@@H+](CCO)Cc1cn(CCCOc2cccc(C#N)c2)nn1 ZINC000603241047 359507715 /nfs/dbraw/zinc/50/77/15/359507715.db2.gz DBBSGIPNHPGWPE-UHFFFAOYSA-N 1 2 315.377 1.043 20 30 DDEDLO N#CC1(CCCn2cc[nH+]c2CN2CCOCC2)CCOCC1 ZINC000603420179 359629749 /nfs/dbraw/zinc/62/97/49/359629749.db2.gz HGAMWHAQRFCRSA-UHFFFAOYSA-N 1 2 318.421 1.816 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)CCCCC1 ZINC000604436828 359750542 /nfs/dbraw/zinc/75/05/42/359750542.db2.gz ZFOFPKSHDGHEAR-OAHLLOKOSA-N 1 2 305.422 1.784 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)NCc1ccc(C#N)cc1F ZINC000609240247 360276123 /nfs/dbraw/zinc/27/61/23/360276123.db2.gz WZMPLYUDVMCPAJ-NSHDSACASA-N 1 2 301.325 1.782 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC[C@@H](c3ccncn3)C2)cc1 ZINC000609671879 360342471 /nfs/dbraw/zinc/34/24/71/360342471.db2.gz YBGZRBKCHFNPTK-NVXWUHKLSA-N 1 2 321.384 1.754 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC[C@@H](c3ccncn3)C2)cc1 ZINC000609671879 360342475 /nfs/dbraw/zinc/34/24/75/360342475.db2.gz YBGZRBKCHFNPTK-NVXWUHKLSA-N 1 2 321.384 1.754 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC[S@@](=O)c2ccccc2)CC1 ZINC000609538830 360322101 /nfs/dbraw/zinc/32/21/01/360322101.db2.gz OHRICSMSLFUCQB-HTAPYJJXSA-N 1 2 319.474 1.960 20 30 DDEDLO C[C@H](C(=O)N(C)C)[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000610566300 360472194 /nfs/dbraw/zinc/47/21/94/360472194.db2.gz QAZSVWHOFXXGHJ-CJNGLKHVSA-N 1 2 318.396 1.485 20 30 DDEDLO C[NH+](C)[C@H](CNC(=O)N[C@@H]1CC[S@@](=O)C1)c1ccsc1 ZINC000330009089 223062517 /nfs/dbraw/zinc/06/25/17/223062517.db2.gz FZXACELUCMFYKJ-FKANQGBASA-N 1 2 315.464 1.375 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+](C)CCN1CCCS1(=O)=O ZINC000610967079 360586920 /nfs/dbraw/zinc/58/69/20/360586920.db2.gz XSBBISZPZZVBFA-UHFFFAOYSA-N 1 2 323.418 1.034 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+](C)CCN1CCCS1(=O)=O ZINC000610967079 360586924 /nfs/dbraw/zinc/58/69/24/360586924.db2.gz XSBBISZPZZVBFA-UHFFFAOYSA-N 1 2 323.418 1.034 20 30 DDEDLO C[C@@H]1Cc2ccccc2N1C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611175768 360648664 /nfs/dbraw/zinc/64/86/64/360648664.db2.gz JWVULIKVBUXKBU-OAHLLOKOSA-N 1 2 312.417 1.495 20 30 DDEDLO CCNC(=O)C[N@H+](C)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000611515332 360743240 /nfs/dbraw/zinc/74/32/40/360743240.db2.gz JNFHJBUCEYHXTP-HNNXBMFYSA-N 1 2 314.389 1.122 20 30 DDEDLO CCNC(=O)C[N@@H+](C)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000611515332 360743244 /nfs/dbraw/zinc/74/32/44/360743244.db2.gz JNFHJBUCEYHXTP-HNNXBMFYSA-N 1 2 314.389 1.122 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@@H](OC(F)F)C1)C1CC1 ZINC000611580630 360766424 /nfs/dbraw/zinc/76/64/24/360766424.db2.gz YUMWADZQYDBBRA-BXUZGUMPSA-N 1 2 301.337 1.498 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@@H](OC(F)F)C1)C1CC1 ZINC000611580630 360766426 /nfs/dbraw/zinc/76/64/26/360766426.db2.gz YUMWADZQYDBBRA-BXUZGUMPSA-N 1 2 301.337 1.498 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@@H+]2CC[C@H](C)[C@H](F)C2)CC1 ZINC000611501925 360738294 /nfs/dbraw/zinc/73/82/94/360738294.db2.gz KOVZVDMPUXNHSA-XHSDSOJGSA-N 1 2 324.444 1.359 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@H+]2CC[C@H](C)[C@H](F)C2)CC1 ZINC000611501925 360738297 /nfs/dbraw/zinc/73/82/97/360738297.db2.gz KOVZVDMPUXNHSA-XHSDSOJGSA-N 1 2 324.444 1.359 20 30 DDEDLO [O-]C(N[C@H]1CCOC2(CCC2)C1)=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330162821 223081117 /nfs/dbraw/zinc/08/11/17/223081117.db2.gz AMTZDUICKHGJPA-OLZOCXBDSA-N 1 2 304.394 1.803 20 30 DDEDLO [O-]C(N[C@@H]1CCn2cc[nH+]c2C1)=[NH+][C@H]1CCOC2(CCC2)C1 ZINC000330162821 223081119 /nfs/dbraw/zinc/08/11/19/223081119.db2.gz AMTZDUICKHGJPA-OLZOCXBDSA-N 1 2 304.394 1.803 20 30 DDEDLO [O-]C(N[C@@H]1CCn2cc[nH+]c2C1)=[NH+]C[C@H](O)C1CCCCC1 ZINC000330196597 223085604 /nfs/dbraw/zinc/08/56/04/223085604.db2.gz QNLOSVZSYDWIFI-KGLIPLIRSA-N 1 2 306.410 1.643 20 30 DDEDLO [O-]C(NC[C@H](O)C1CCCCC1)=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330196597 223085606 /nfs/dbraw/zinc/08/56/06/223085606.db2.gz QNLOSVZSYDWIFI-KGLIPLIRSA-N 1 2 306.410 1.643 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)NCC3(C)CCC3)CC2)cn1 ZINC000330229596 223091824 /nfs/dbraw/zinc/09/18/24/223091824.db2.gz DBXKMKLBHOKYAR-UHFFFAOYSA-N 1 2 305.426 1.642 20 30 DDEDLO CN(C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)[C@@H]1CCCC[C@H]1O ZINC000330292199 223100832 /nfs/dbraw/zinc/10/08/32/223100832.db2.gz HBPKWURLVKBOLL-RRFJBIMHSA-N 1 2 318.421 1.810 20 30 DDEDLO O=C(N[C@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[N@@H+]1C1CCCC1 ZINC000330302932 223101132 /nfs/dbraw/zinc/10/11/32/223101132.db2.gz OTNVTMQYRSDOPC-JSGCOSHPSA-N 1 2 314.451 1.927 20 30 DDEDLO O=C(N[C@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[N@H+]1C1CCCC1 ZINC000330302932 223101133 /nfs/dbraw/zinc/10/11/33/223101133.db2.gz OTNVTMQYRSDOPC-JSGCOSHPSA-N 1 2 314.451 1.927 20 30 DDEDLO O=C(CN1CC[NH+]([C@@H]2CCNC2=O)CC1)NCc1ccccc1 ZINC000330311629 223102074 /nfs/dbraw/zinc/10/20/74/223102074.db2.gz VWECRBVRNMQEKA-OAHLLOKOSA-N 1 2 316.405 1.490 20 30 DDEDLO C[C@H](CNC(=O)N[C@@H]1C[C@H]1c1ccccc1)[NH+]1CCOCC1 ZINC000330313414 223102700 /nfs/dbraw/zinc/10/27/00/223102700.db2.gz XEYLJQFRPMDFHB-VNQPRFMTSA-N 1 2 303.406 1.767 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+](Cc2ccccc2Cl)CC1 ZINC000612527865 361053239 /nfs/dbraw/zinc/05/32/39/361053239.db2.gz DLKHGIRSITXAGA-INIZCTEOSA-N 1 2 308.809 1.921 20 30 DDEDLO O=C(N[C@H](CO)C[NH+]1CCOCC1)c1c[nH]c(C(F)(F)F)c1 ZINC000331232784 223195434 /nfs/dbraw/zinc/19/54/34/223195434.db2.gz AXJCLGLRQGQKAF-JTQLQIEISA-N 1 2 321.299 1.031 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CC(C)(C)[C@@H]1[C@H]1CCCO1)C1CC1 ZINC000332874420 223216308 /nfs/dbraw/zinc/21/63/08/223216308.db2.gz VEURKPGOWQGETK-UKPHBRMFSA-N 1 2 305.422 1.684 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CC(C)(C)[C@@H]1[C@H]1CCCO1)C1CC1 ZINC000332874420 223216309 /nfs/dbraw/zinc/21/63/09/223216309.db2.gz VEURKPGOWQGETK-UKPHBRMFSA-N 1 2 305.422 1.684 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(C(C)(C)C#N)cc2)CCO1 ZINC000614164701 361732548 /nfs/dbraw/zinc/73/25/48/361732548.db2.gz RNYKMWURWMBHLC-HNNXBMFYSA-N 1 2 302.374 1.862 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)CCO1 ZINC000614164701 361732552 /nfs/dbraw/zinc/73/25/52/361732552.db2.gz RNYKMWURWMBHLC-HNNXBMFYSA-N 1 2 302.374 1.862 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCc3ccc([N+](=O)[O-])cc3C2)C1=O ZINC000619620784 364090520 /nfs/dbraw/zinc/09/05/20/364090520.db2.gz LGUHEFYZJMBZAN-OAHLLOKOSA-N 1 2 301.346 1.740 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCc3ccc([N+](=O)[O-])cc3C2)C1=O ZINC000619620784 364090524 /nfs/dbraw/zinc/09/05/24/364090524.db2.gz LGUHEFYZJMBZAN-OAHLLOKOSA-N 1 2 301.346 1.740 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H](O)C(C)(C)C2)c(C#N)c1C ZINC000275435191 212330541 /nfs/dbraw/zinc/33/05/41/212330541.db2.gz JMSCWEYHCCXNPC-CYBMUJFWSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H](O)C(C)(C)C2)c(C#N)c1C ZINC000275435191 212330545 /nfs/dbraw/zinc/33/05/45/212330545.db2.gz JMSCWEYHCCXNPC-CYBMUJFWSA-N 1 2 305.378 1.799 20 30 DDEDLO COCC[N@H+](CC(=O)NC1(C#N)CCC1)Cc1ccc(C)o1 ZINC000347059624 223365304 /nfs/dbraw/zinc/36/53/04/223365304.db2.gz SKSZXOFZCMFUHU-UHFFFAOYSA-N 1 2 305.378 1.599 20 30 DDEDLO COCC[N@@H+](CC(=O)NC1(C#N)CCC1)Cc1ccc(C)o1 ZINC000347059624 223365306 /nfs/dbraw/zinc/36/53/06/223365306.db2.gz SKSZXOFZCMFUHU-UHFFFAOYSA-N 1 2 305.378 1.599 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)Cc1ccnn1C ZINC000348364115 223383040 /nfs/dbraw/zinc/38/30/40/223383040.db2.gz FMIRFIVSRLFFLV-CYBMUJFWSA-N 1 2 303.410 1.583 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)Cc1ccnn1C ZINC000348364115 223383041 /nfs/dbraw/zinc/38/30/41/223383041.db2.gz FMIRFIVSRLFFLV-CYBMUJFWSA-N 1 2 303.410 1.583 20 30 DDEDLO N#CCCCCS(=O)(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000159324281 197346159 /nfs/dbraw/zinc/34/61/59/197346159.db2.gz VESCQEGETFLBNV-ZDUSSCGKSA-N 1 2 308.407 1.274 20 30 DDEDLO COc1cc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)cc(OC)c1 ZINC000329923001 532961858 /nfs/dbraw/zinc/96/18/58/532961858.db2.gz IGWITKKRYKQNSG-CABCVRRESA-N 1 2 321.377 1.264 20 30 DDEDLO COc1cc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)cc(OC)c1 ZINC000329923001 532961863 /nfs/dbraw/zinc/96/18/63/532961863.db2.gz IGWITKKRYKQNSG-CABCVRRESA-N 1 2 321.377 1.264 20 30 DDEDLO COc1ccc(OC)c(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c1 ZINC000329916753 532967224 /nfs/dbraw/zinc/96/72/24/532967224.db2.gz DVVLEVHLHKKPOX-HIFRSBDPSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1ccc(OC)c(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c1 ZINC000329916753 532967230 /nfs/dbraw/zinc/96/72/30/532967230.db2.gz DVVLEVHLHKKPOX-HIFRSBDPSA-N 1 2 321.377 1.264 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](CC(=O)N3CCCC3)CC2)s1 ZINC000119649554 195010228 /nfs/dbraw/zinc/01/02/28/195010228.db2.gz BDUKYUFVFLRTNO-UHFFFAOYSA-N 1 2 318.446 1.360 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(CC(=O)N3CCCC3)CC2)s1 ZINC000119649554 195010229 /nfs/dbraw/zinc/01/02/29/195010229.db2.gz BDUKYUFVFLRTNO-UHFFFAOYSA-N 1 2 318.446 1.360 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C ZINC000330699083 533002144 /nfs/dbraw/zinc/00/21/44/533002144.db2.gz MMFNISCXIPFWCT-KBPBESRZSA-N 1 2 320.437 1.506 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)NC[C@H]1CN(C)CC[N@H+]1C ZINC000330699083 533002148 /nfs/dbraw/zinc/00/21/48/533002148.db2.gz MMFNISCXIPFWCT-KBPBESRZSA-N 1 2 320.437 1.506 20 30 DDEDLO COCC(COC)[NH+]1CCN(c2ncc(C#N)cc2Cl)CC1 ZINC000413475327 533101322 /nfs/dbraw/zinc/10/13/22/533101322.db2.gz NYZIJNGZUDNXFF-UHFFFAOYSA-N 1 2 324.812 1.390 20 30 DDEDLO C=C(C)CNC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000156197993 197094995 /nfs/dbraw/zinc/09/49/95/197094995.db2.gz PEQNAAXTZHCWJM-CYBMUJFWSA-N 1 2 304.394 1.682 20 30 DDEDLO O=C(N[C@@H]1CN(c2ccccc2)C1=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000329490662 296428250 /nfs/dbraw/zinc/42/82/50/296428250.db2.gz YVPIYLOBPTVROT-IUODEOHRSA-N 1 2 310.357 1.817 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1Cc1cc(C#N)ccc1F ZINC000289921381 221318303 /nfs/dbraw/zinc/31/83/03/221318303.db2.gz UJIXLNFIKVYTGZ-CXAGYDPISA-N 1 2 303.381 1.992 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cccc(C)c2C#N)[C@@H](C)C1 ZINC000285163651 285329456 /nfs/dbraw/zinc/32/94/56/285329456.db2.gz ASFATQIJDUDDGM-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cccc(C)c2C#N)[C@@H](C)C1 ZINC000285163651 285329457 /nfs/dbraw/zinc/32/94/57/285329457.db2.gz ASFATQIJDUDDGM-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000120950281 195269904 /nfs/dbraw/zinc/26/99/04/195269904.db2.gz LMTDMVZAGHXCDH-KBPBESRZSA-N 1 2 301.390 1.706 20 30 DDEDLO COc1ccc2c(c1)C[N@H+]([C@H](C)C(=O)N(C)CCC#N)CCO2 ZINC000451642286 533262590 /nfs/dbraw/zinc/26/25/90/533262590.db2.gz KWXYXDIQZYWASR-CYBMUJFWSA-N 1 2 317.389 1.650 20 30 DDEDLO COc1ccc2c(c1)C[N@@H+]([C@H](C)C(=O)N(C)CCC#N)CCO2 ZINC000451642286 533262598 /nfs/dbraw/zinc/26/25/98/533262598.db2.gz KWXYXDIQZYWASR-CYBMUJFWSA-N 1 2 317.389 1.650 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CC[C@](O)(C(F)F)C1 ZINC000451440665 533333369 /nfs/dbraw/zinc/33/33/69/533333369.db2.gz XKPXICDHXKHORF-ZUZCIYMTSA-N 1 2 309.316 1.587 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CC[C@](O)(C(F)F)C1 ZINC000451440665 533333371 /nfs/dbraw/zinc/33/33/71/533333371.db2.gz XKPXICDHXKHORF-ZUZCIYMTSA-N 1 2 309.316 1.587 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](CCCO)C1 ZINC000452117886 533366138 /nfs/dbraw/zinc/36/61/38/533366138.db2.gz ISPWCHMQIOLRQD-CJNGLKHVSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](CCCO)C1 ZINC000452117886 533366143 /nfs/dbraw/zinc/36/61/43/533366143.db2.gz ISPWCHMQIOLRQD-CJNGLKHVSA-N 1 2 302.374 1.867 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]3C(=O)NC[C@H]32)c([N+](=O)[O-])c1 ZINC000568638181 304302963 /nfs/dbraw/zinc/30/29/63/304302963.db2.gz PFKMREBPQWFEBJ-TZMCWYRMSA-N 1 2 300.318 1.177 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]3C(=O)NC[C@H]32)c([N+](=O)[O-])c1 ZINC000568638181 304302964 /nfs/dbraw/zinc/30/29/64/304302964.db2.gz PFKMREBPQWFEBJ-TZMCWYRMSA-N 1 2 300.318 1.177 20 30 DDEDLO N#Cc1ccncc1N1CCN(c2cc[nH+]c(C3CC3)n2)CC1 ZINC000568941457 304322354 /nfs/dbraw/zinc/32/23/54/304322354.db2.gz BXCWMMIAWVNHLQ-UHFFFAOYSA-N 1 2 306.373 1.947 20 30 DDEDLO C=CCNC(=O)N1CC[NH+](Cc2ccc(OC)c(F)c2)CC1 ZINC000026518025 406904764 /nfs/dbraw/zinc/90/47/64/406904764.db2.gz CDWODHYYSVSQKS-UHFFFAOYSA-N 1 2 307.369 1.848 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(Cl)nc2)CC1 ZINC000049443110 407120705 /nfs/dbraw/zinc/12/07/05/407120705.db2.gz GSBPAHPIZCYQKU-UHFFFAOYSA-N 1 2 313.810 1.065 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000049443127 407121031 /nfs/dbraw/zinc/12/10/31/407121031.db2.gz LVIMANZBOQRNFP-UHFFFAOYSA-N 1 2 312.822 1.670 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCO[C@H](CC(=O)OC)C2)cc1 ZINC000093458553 407198736 /nfs/dbraw/zinc/19/87/36/407198736.db2.gz ODPBKMJBWSDUGP-QGZVFWFLSA-N 1 2 317.385 1.853 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCO[C@H](CC(=O)OC)C2)cc1 ZINC000093458553 407198738 /nfs/dbraw/zinc/19/87/38/407198738.db2.gz ODPBKMJBWSDUGP-QGZVFWFLSA-N 1 2 317.385 1.853 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccnc(C(C)C)n2)CC1 ZINC000106463302 407359163 /nfs/dbraw/zinc/35/91/63/407359163.db2.gz GWCLSSKMZNTEJB-UHFFFAOYSA-N 1 2 303.410 1.024 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000111270250 407405152 /nfs/dbraw/zinc/40/51/52/407405152.db2.gz RGMQZIFANDHSHR-LLVKDONJSA-N 1 2 306.391 1.016 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000111270250 407405154 /nfs/dbraw/zinc/40/51/54/407405154.db2.gz RGMQZIFANDHSHR-LLVKDONJSA-N 1 2 306.391 1.016 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](C)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000112360255 407427654 /nfs/dbraw/zinc/42/76/54/407427654.db2.gz YXWDAXYKEVPGIF-SWLSCSKDSA-N 1 2 319.380 1.554 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](C)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000112360255 407427655 /nfs/dbraw/zinc/42/76/55/407427655.db2.gz YXWDAXYKEVPGIF-SWLSCSKDSA-N 1 2 319.380 1.554 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CCN(C(=O)C(C)C)CC2)cc1 ZINC000271039282 407481203 /nfs/dbraw/zinc/48/12/03/407481203.db2.gz MWKPGBDWEZDFAW-UHFFFAOYSA-N 1 2 300.402 1.999 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2cnn(-c3ccccc3)c2)CC1 ZINC000186483335 407680610 /nfs/dbraw/zinc/68/06/10/407680610.db2.gz UXXYOWFWDGDQBC-UHFFFAOYSA-N 1 2 309.373 1.430 20 30 DDEDLO N#CCCCCNC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000171450092 407687596 /nfs/dbraw/zinc/68/75/96/407687596.db2.gz HECISWZVVLGLAP-UHFFFAOYSA-N 1 2 301.394 1.603 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)c1 ZINC000267123271 407758603 /nfs/dbraw/zinc/75/86/03/407758603.db2.gz UKPIITUCLDYVIV-UHFFFAOYSA-N 1 2 319.368 1.716 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCSC[C@H]1c1nccs1 ZINC000187065566 407817025 /nfs/dbraw/zinc/81/70/25/407817025.db2.gz QZNCDSIYPAEBSR-LBPRGKRZSA-N 1 2 324.475 1.995 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCSC[C@H]1c1nccs1 ZINC000187065566 407817028 /nfs/dbraw/zinc/81/70/28/407817028.db2.gz QZNCDSIYPAEBSR-LBPRGKRZSA-N 1 2 324.475 1.995 20 30 DDEDLO CO/N=C/c1ccc(C(=O)NC[C@H](C)Cn2cc[nH+]c2)cc1 ZINC000172996558 407857419 /nfs/dbraw/zinc/85/74/19/407857419.db2.gz LFWQICFGJXZBGV-UZPSYVQNSA-N 1 2 300.362 1.930 20 30 DDEDLO N#CC1(CS(=O)(=O)NCc2cc[nH+]c(N3CCCC3)c2)CC1 ZINC000180398343 407884687 /nfs/dbraw/zinc/88/46/87/407884687.db2.gz PDLGQQJWTRMJAJ-UHFFFAOYSA-N 1 2 320.418 1.405 20 30 DDEDLO COc1ccc(CNC(=O)C[N@H+](CCC#N)CC2CC2)cc1 ZINC000174696426 407979474 /nfs/dbraw/zinc/97/94/74/407979474.db2.gz NCXQZLCBBOEXHQ-UHFFFAOYSA-N 1 2 301.390 1.937 20 30 DDEDLO COc1ccc(CNC(=O)C[N@@H+](CCC#N)CC2CC2)cc1 ZINC000174696426 407979479 /nfs/dbraw/zinc/97/94/79/407979479.db2.gz NCXQZLCBBOEXHQ-UHFFFAOYSA-N 1 2 301.390 1.937 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCS[C@H]2COCC[C@@H]21 ZINC000135568327 408035277 /nfs/dbraw/zinc/03/52/77/408035277.db2.gz ULRWZKAXIKHICV-RYUDHWBXSA-N 1 2 323.443 1.765 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCS[C@H]2COCC[C@@H]21 ZINC000135568327 408035284 /nfs/dbraw/zinc/03/52/84/408035284.db2.gz ULRWZKAXIKHICV-RYUDHWBXSA-N 1 2 323.443 1.765 20 30 DDEDLO CC[N@H+](Cc1ccc(OC)c(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000135170400 407986438 /nfs/dbraw/zinc/98/64/38/407986438.db2.gz YASGSULYOYJIHU-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO CC[N@@H+](Cc1ccc(OC)c(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000135170400 407986446 /nfs/dbraw/zinc/98/64/46/407986446.db2.gz YASGSULYOYJIHU-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO C#CC[N@@H+](CCCc1cccc(OC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000119071218 407987175 /nfs/dbraw/zinc/98/71/75/407987175.db2.gz YLZPWMDDARPDKW-INIZCTEOSA-N 1 2 321.442 1.750 20 30 DDEDLO C#CC[N@H+](CCCc1cccc(OC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000119071218 407987177 /nfs/dbraw/zinc/98/71/77/407987177.db2.gz YLZPWMDDARPDKW-INIZCTEOSA-N 1 2 321.442 1.750 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@@H]1C(=O)OC(C)(C)C ZINC000268527571 408081875 /nfs/dbraw/zinc/08/18/75/408081875.db2.gz HLYBLSVAZKLCOG-CQSZACIVSA-N 1 2 308.422 1.993 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@@H]1C(=O)OC(C)(C)C ZINC000268527571 408081882 /nfs/dbraw/zinc/08/18/82/408081882.db2.gz HLYBLSVAZKLCOG-CQSZACIVSA-N 1 2 308.422 1.993 20 30 DDEDLO C=CC[N@@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCCC2 ZINC000121364092 408192677 /nfs/dbraw/zinc/19/26/77/408192677.db2.gz UQVBJBASQJVPPA-UHFFFAOYSA-N 1 2 307.419 1.782 20 30 DDEDLO C=CC[N@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCCC2 ZINC000121364092 408192682 /nfs/dbraw/zinc/19/26/82/408192682.db2.gz UQVBJBASQJVPPA-UHFFFAOYSA-N 1 2 307.419 1.782 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+](CCO)Cc1cccnc1 ZINC000268627568 408126563 /nfs/dbraw/zinc/12/65/63/408126563.db2.gz BEDXDGYMUBRKFT-OAHLLOKOSA-N 1 2 303.406 1.465 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+](CCO)Cc1cccnc1 ZINC000268627568 408126567 /nfs/dbraw/zinc/12/65/67/408126567.db2.gz BEDXDGYMUBRKFT-OAHLLOKOSA-N 1 2 303.406 1.465 20 30 DDEDLO C=C(C)COCCNC(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC000121218851 408164370 /nfs/dbraw/zinc/16/43/70/408164370.db2.gz NSVJRVOHMUJFTG-OAHLLOKOSA-N 1 2 320.437 1.977 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@H+](C)C[C@H](O)c1ccc(C#N)cc1 ZINC000268739912 408183634 /nfs/dbraw/zinc/18/36/34/408183634.db2.gz ZFBUSOUZCRUKQP-WFASDCNBSA-N 1 2 310.419 1.347 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@@H+](C)C[C@H](O)c1ccc(C#N)cc1 ZINC000268739912 408183640 /nfs/dbraw/zinc/18/36/40/408183640.db2.gz ZFBUSOUZCRUKQP-WFASDCNBSA-N 1 2 310.419 1.347 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)[C@@H]2C1 ZINC000246245017 408191489 /nfs/dbraw/zinc/19/14/89/408191489.db2.gz GLQCNEAMKNYPGB-PNBKFKSVSA-N 1 2 321.421 1.063 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)[C@@H]2C1 ZINC000246245017 408191494 /nfs/dbraw/zinc/19/14/94/408191494.db2.gz GLQCNEAMKNYPGB-PNBKFKSVSA-N 1 2 321.421 1.063 20 30 DDEDLO NC(=[NH+]OCC(=O)N1CCCC1)c1cccc(C(F)(F)F)c1 ZINC000122025209 408247226 /nfs/dbraw/zinc/24/72/26/408247226.db2.gz XBTLFUMNWZYTPU-UHFFFAOYSA-N 1 2 315.295 1.965 20 30 DDEDLO CCOCCOCC[N@H+](C)CC(=O)Nc1sccc1C#N ZINC000190278019 408210035 /nfs/dbraw/zinc/21/00/35/408210035.db2.gz XTJHTAICICPRGU-UHFFFAOYSA-N 1 2 311.407 1.543 20 30 DDEDLO CCOCCOCC[N@@H+](C)CC(=O)Nc1sccc1C#N ZINC000190278019 408210042 /nfs/dbraw/zinc/21/00/42/408210042.db2.gz XTJHTAICICPRGU-UHFFFAOYSA-N 1 2 311.407 1.543 20 30 DDEDLO C=CC[C@H](CO)Nc1nc(N[C@H](CO)CC=C)[nH+]cc1Cl ZINC000182666036 408218496 /nfs/dbraw/zinc/21/84/96/408218496.db2.gz XEQODLPEQQGCPP-MNOVXSKESA-N 1 2 312.801 1.828 20 30 DDEDLO C=CC[N@@H+](C)CC(=O)Nc1cc(-n2cnnn2)ccc1Cl ZINC000121561747 408236829 /nfs/dbraw/zinc/23/68/29/408236829.db2.gz KIBWSPKIAAHYFG-UHFFFAOYSA-N 1 2 306.757 1.372 20 30 DDEDLO C=CC[N@H+](C)CC(=O)Nc1cc(-n2cnnn2)ccc1Cl ZINC000121561747 408236839 /nfs/dbraw/zinc/23/68/39/408236839.db2.gz KIBWSPKIAAHYFG-UHFFFAOYSA-N 1 2 306.757 1.372 20 30 DDEDLO C[C@H]1CCN(S(=O)(=O)CCCCC#N)C[C@@H]1n1cc[nH+]c1 ZINC000151257824 408286689 /nfs/dbraw/zinc/28/66/89/408286689.db2.gz VKLRMPXZGAFGFF-KBPBESRZSA-N 1 2 310.423 1.790 20 30 DDEDLO N#Cc1ccc(OC2CC[NH+](Cc3nccc(N)n3)CC2)cc1 ZINC000269709099 408398545 /nfs/dbraw/zinc/39/85/45/408398545.db2.gz PACUGPCQFFPZTH-UHFFFAOYSA-N 1 2 309.373 1.974 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)C[C@H](CO)O1 ZINC000191774747 408487797 /nfs/dbraw/zinc/48/77/97/408487797.db2.gz OMSFLIXQVACYOI-ZYHUDNBSSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)C[C@H](CO)O1 ZINC000191774747 408487798 /nfs/dbraw/zinc/48/77/98/408487798.db2.gz OMSFLIXQVACYOI-ZYHUDNBSSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+](C)Cc1nc2ccccc2n1C ZINC000177199043 408548797 /nfs/dbraw/zinc/54/87/97/408548797.db2.gz NGDPVVNZVWIDDL-CYBMUJFWSA-N 1 2 313.405 1.766 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+](C)Cc1nc2ccccc2n1C ZINC000177199043 408548803 /nfs/dbraw/zinc/54/88/03/408548803.db2.gz NGDPVVNZVWIDDL-CYBMUJFWSA-N 1 2 313.405 1.766 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCC[C@H]1c1cc(OC)ccc1OC ZINC000192227493 408560595 /nfs/dbraw/zinc/56/05/95/408560595.db2.gz YBGRQPUBQGUQME-INIZCTEOSA-N 1 2 316.401 1.932 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCC[C@H]1c1cc(OC)ccc1OC ZINC000192227493 408560600 /nfs/dbraw/zinc/56/06/00/408560600.db2.gz YBGRQPUBQGUQME-INIZCTEOSA-N 1 2 316.401 1.932 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000274873957 408564961 /nfs/dbraw/zinc/56/49/61/408564961.db2.gz ZVVNPJOFYCPVRB-BXUZGUMPSA-N 1 2 300.337 1.970 20 30 DDEDLO CC#CCNC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1F ZINC000270630778 408613262 /nfs/dbraw/zinc/61/32/62/408613262.db2.gz FTJQGUSMLJPQOI-AWEZNQCLSA-N 1 2 300.337 1.971 20 30 DDEDLO COC(=O)[C@]1(C)CC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000177466717 408628768 /nfs/dbraw/zinc/62/87/68/408628768.db2.gz VOGXJSODDOCRJB-CQSZACIVSA-N 1 2 307.375 1.443 20 30 DDEDLO COC(=O)[C@]1(C)CC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000177466717 408628771 /nfs/dbraw/zinc/62/87/71/408628771.db2.gz VOGXJSODDOCRJB-CQSZACIVSA-N 1 2 307.375 1.443 20 30 DDEDLO Cc1ccnc(N(CC2CC2)C[C@@H]2C[N@H+](C)CCO2)c1C#N ZINC000275694511 408648974 /nfs/dbraw/zinc/64/89/74/408648974.db2.gz MSLIGNOMQOJPFO-HNNXBMFYSA-N 1 2 300.406 1.809 20 30 DDEDLO Cc1ccnc(N(CC2CC2)C[C@@H]2C[N@@H+](C)CCO2)c1C#N ZINC000275694511 408648983 /nfs/dbraw/zinc/64/89/83/408648983.db2.gz MSLIGNOMQOJPFO-HNNXBMFYSA-N 1 2 300.406 1.809 20 30 DDEDLO N#Cc1cccnc1-n1cc(NC(=O)NCCn2cc[nH+]c2)cn1 ZINC000184607597 408656099 /nfs/dbraw/zinc/65/60/99/408656099.db2.gz ZIYMLNXPGJLQMB-UHFFFAOYSA-N 1 2 322.332 1.157 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000192903184 408667180 /nfs/dbraw/zinc/66/71/80/408667180.db2.gz XDFDUADXWLRRBV-CQSZACIVSA-N 1 2 300.406 1.595 20 30 DDEDLO COc1ccc(OCCCO[NH+]=C(N)CN2CCOCC2)cc1 ZINC000275789834 408677724 /nfs/dbraw/zinc/67/77/24/408677724.db2.gz YOFRVXZTWNNPTR-UHFFFAOYSA-N 1 2 323.393 1.085 20 30 DDEDLO N#CC1(CS(=O)(=O)NCc2cn3cc(Cl)ccc3[nH+]2)CC1 ZINC000164489173 408798856 /nfs/dbraw/zinc/79/88/56/408798856.db2.gz QIRQPOZEMSMXNS-UHFFFAOYSA-N 1 2 324.793 1.711 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)[C@@H](C)C[S@](C)=O)c(C#N)c1C ZINC000185116438 408761312 /nfs/dbraw/zinc/76/13/12/408761312.db2.gz SQBSLTFZMKXPHT-FPVGNUTFSA-N 1 2 311.407 1.405 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)[C@@H](C)C[S@](C)=O)c(C#N)c1C ZINC000185116438 408761318 /nfs/dbraw/zinc/76/13/18/408761318.db2.gz SQBSLTFZMKXPHT-FPVGNUTFSA-N 1 2 311.407 1.405 20 30 DDEDLO CCCCCNC(=O)[C@@H](C)O[NH+]=C(N)Cc1csc(C)n1 ZINC000178144888 408779962 /nfs/dbraw/zinc/77/99/62/408779962.db2.gz QRYJDZVMQQGVFB-SNVBAGLBSA-N 1 2 312.439 1.978 20 30 DDEDLO C=CCN1CC[C@H](Nc2ccccc2C[NH+]2CCOCC2)C1=O ZINC000281259228 408877400 /nfs/dbraw/zinc/87/74/00/408877400.db2.gz QSYMKRLNUBCZHC-KRWDZBQOSA-N 1 2 315.417 1.718 20 30 DDEDLO COC(=O)[C@@H]([NH2+]CCC(=O)Nc1ccc(C#N)cc1)C1CC1 ZINC000276471621 408864511 /nfs/dbraw/zinc/86/45/11/408864511.db2.gz QODXELSHLFFBPM-HNNXBMFYSA-N 1 2 301.346 1.428 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCC=C(Br)C1 ZINC000281663975 408906346 /nfs/dbraw/zinc/90/63/46/408906346.db2.gz OYGCBSSUUGSBEX-JTQLQIEISA-N 1 2 300.200 1.731 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCC=C(Br)C1 ZINC000281663975 408906349 /nfs/dbraw/zinc/90/63/49/408906349.db2.gz OYGCBSSUUGSBEX-JTQLQIEISA-N 1 2 300.200 1.731 20 30 DDEDLO C[C@@H](CCN1CC[NH+](C)CC1)NC(=O)c1ccc(C#N)c(O)c1 ZINC000188825217 163072139 /nfs/dbraw/zinc/07/21/39/163072139.db2.gz NQHJEVOVZVYRSL-ZDUSSCGKSA-N 1 2 316.405 1.020 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@@H+]1CCOC[C@@]12CCOC2 ZINC000193665461 163264568 /nfs/dbraw/zinc/26/45/68/163264568.db2.gz BPYRCRQJUYDAPS-HNNXBMFYSA-N 1 2 321.402 1.440 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@H+]1CCOC[C@@]12CCOC2 ZINC000193665461 163264569 /nfs/dbraw/zinc/26/45/69/163264569.db2.gz BPYRCRQJUYDAPS-HNNXBMFYSA-N 1 2 321.402 1.440 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NCc2cccs2)C1=O ZINC000282026011 408975036 /nfs/dbraw/zinc/97/50/36/408975036.db2.gz PRBHBWQKYQPPKD-CYBMUJFWSA-N 1 2 307.419 1.083 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NCc2cccs2)C1=O ZINC000282026011 408975039 /nfs/dbraw/zinc/97/50/39/408975039.db2.gz PRBHBWQKYQPPKD-CYBMUJFWSA-N 1 2 307.419 1.083 20 30 DDEDLO CC#CC(=O)NC1(c2noc(C[NH+](CC)CC)n2)CCCC1 ZINC000277796900 409013596 /nfs/dbraw/zinc/01/35/96/409013596.db2.gz VKKRWRBUJXDDMV-UHFFFAOYSA-N 1 2 304.394 1.820 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](C)Cc1nc(C(F)(F)F)cs1 ZINC000292932347 409046830 /nfs/dbraw/zinc/04/68/30/409046830.db2.gz ZCNRVYHMOQRDMV-UHFFFAOYSA-N 1 2 305.325 1.733 20 30 DDEDLO C#CCNC(=O)CC[N@H+](C)Cc1nc(C(F)(F)F)cs1 ZINC000292932347 409046831 /nfs/dbraw/zinc/04/68/31/409046831.db2.gz ZCNRVYHMOQRDMV-UHFFFAOYSA-N 1 2 305.325 1.733 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2nnc3n2CCCCC3)cc1 ZINC000278604829 409085270 /nfs/dbraw/zinc/08/52/70/409085270.db2.gz IFWMULFBJJANSY-UHFFFAOYSA-N 1 2 315.377 1.850 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)c1ccc(C#N)cc1[N+](=O)[O-] ZINC000278871055 409105280 /nfs/dbraw/zinc/10/52/80/409105280.db2.gz NQRWVEYGOSIZTC-LBPRGKRZSA-N 1 2 304.350 1.623 20 30 DDEDLO N#CCCOCC[NH+]1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC000293509939 409128388 /nfs/dbraw/zinc/12/83/88/409128388.db2.gz OFQKCHGIMNQNJT-UHFFFAOYSA-N 1 2 305.353 1.514 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1cccc(CC#N)c1 ZINC000279421988 409212111 /nfs/dbraw/zinc/21/21/11/409212111.db2.gz YBAGRYDHJPRUEF-CQSZACIVSA-N 1 2 301.390 1.545 20 30 DDEDLO NC(=[NH+]OCC(=O)Nc1ccc(F)cc1Cl)[C@H]1CCCO1 ZINC000284000125 409254762 /nfs/dbraw/zinc/25/47/62/409254762.db2.gz HGJAMSNIDYQTEK-LLVKDONJSA-N 1 2 315.732 1.885 20 30 DDEDLO C#CCO[C@@H](C)C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000294603650 409295943 /nfs/dbraw/zinc/29/59/43/409295943.db2.gz RMPAHNRCFCSAHI-HNNXBMFYSA-N 1 2 315.417 1.411 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000285262418 409405919 /nfs/dbraw/zinc/40/59/19/409405919.db2.gz IAAKYLBXELJOFU-RDJZCZTQSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000285262418 409405923 /nfs/dbraw/zinc/40/59/23/409405923.db2.gz IAAKYLBXELJOFU-RDJZCZTQSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCc1ccc(OC[C@H](O)C[NH+]2CC(OCC)C2)c(OC)c1 ZINC000296166285 409452139 /nfs/dbraw/zinc/45/21/39/409452139.db2.gz NIROSJWDCSVEPF-OAHLLOKOSA-N 1 2 321.417 1.884 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@@H]1CCOC1 ZINC000314918091 164017173 /nfs/dbraw/zinc/01/71/73/164017173.db2.gz PCCCYLRWXUEUAO-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@@H]1CCOC1 ZINC000314918091 164017175 /nfs/dbraw/zinc/01/71/75/164017175.db2.gz PCCCYLRWXUEUAO-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO C[C@@H]1CCC[C@H](CO)[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000305824307 409769330 /nfs/dbraw/zinc/76/93/30/409769330.db2.gz BZFLFVYEQXLGFY-UKRRQHHQSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@@H]1CCC[C@H](CO)[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000305824307 409769336 /nfs/dbraw/zinc/76/93/36/409769336.db2.gz BZFLFVYEQXLGFY-UKRRQHHQSA-N 1 2 322.430 1.567 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C(=O)OCC)c(C)[nH]2)C1=O ZINC000297039160 409771623 /nfs/dbraw/zinc/77/16/23/409771623.db2.gz XUXZDWBYXTWPDJ-HNNXBMFYSA-N 1 2 319.405 1.719 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C(=O)OCC)c(C)[nH]2)C1=O ZINC000297039160 409771627 /nfs/dbraw/zinc/77/16/27/409771627.db2.gz XUXZDWBYXTWPDJ-HNNXBMFYSA-N 1 2 319.405 1.719 20 30 DDEDLO N#CCCS(=O)(=O)NCc1cc[nH+]c(N2CCCCCC2)c1 ZINC000296932566 409713497 /nfs/dbraw/zinc/71/34/97/409713497.db2.gz XEKKJSNWXZGLJR-UHFFFAOYSA-N 1 2 322.434 1.795 20 30 DDEDLO C[C@H]1C[N@@H+]2CCCC[C@H]2CN1S(=O)(=O)c1cncc(C#N)c1 ZINC000319802202 409899211 /nfs/dbraw/zinc/89/92/11/409899211.db2.gz YTHRBVGDAOIITB-JSGCOSHPSA-N 1 2 320.418 1.201 20 30 DDEDLO C[C@H]1C[N@H+]2CCCC[C@H]2CN1S(=O)(=O)c1cncc(C#N)c1 ZINC000319802202 409899214 /nfs/dbraw/zinc/89/92/14/409899214.db2.gz YTHRBVGDAOIITB-JSGCOSHPSA-N 1 2 320.418 1.201 20 30 DDEDLO COC[C@@H]1CCN(C(=O)NC[C@@H]2CCCn3cc(C)[nH+]c32)C1 ZINC000328731632 409966771 /nfs/dbraw/zinc/96/67/71/409966771.db2.gz XBDLJRGGCHVQBS-KGLIPLIRSA-N 1 2 306.410 1.951 20 30 DDEDLO O=C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2)N1CCn2cc[nH+]c2C1 ZINC000328824252 409988371 /nfs/dbraw/zinc/98/83/71/409988371.db2.gz IOJUWFAFFREGTI-CFVMTHIKSA-N 1 2 316.405 1.960 20 30 DDEDLO C=CCOCC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000354644190 409990352 /nfs/dbraw/zinc/99/03/52/409990352.db2.gz JMZPYHUQWAUKNF-CYBMUJFWSA-N 1 2 305.378 1.126 20 30 DDEDLO CCN1CCN(C(=O)c2ccc(C#N)cn2)C[C@H]1c1[nH]cc[nH+]1 ZINC000328623449 409938464 /nfs/dbraw/zinc/93/84/64/409938464.db2.gz JEFBVBANYAJGGB-AWEZNQCLSA-N 1 2 310.361 1.195 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@@H]1C=C[C@H](CO)C1)CCC2 ZINC000328663137 409948499 /nfs/dbraw/zinc/94/84/99/409948499.db2.gz XTBWPZZLPCTMMI-MELADBBJSA-N 1 2 304.394 1.510 20 30 DDEDLO Cc1nc(N2CCN(c3cccc(C#N)n3)CC2)c(C)c(C)[nH+]1 ZINC000354705300 410029151 /nfs/dbraw/zinc/02/91/51/410029151.db2.gz IQWHKKNMVNHFFS-UHFFFAOYSA-N 1 2 308.389 1.995 20 30 DDEDLO C=C(C)Cn1c(N(C)CC[NH+]2CCOCC2)nnc1C(C)(C)C ZINC000338845597 410045332 /nfs/dbraw/zinc/04/53/32/410045332.db2.gz AULKCAGTSNMJQV-UHFFFAOYSA-N 1 2 321.469 1.920 20 30 DDEDLO CCc1nc(C)c(NC(=O)N2CC[C@H]3OCC[N@H+](C)[C@@H]3C2)s1 ZINC000329062500 410079326 /nfs/dbraw/zinc/07/93/26/410079326.db2.gz VCTIGLLANDCCMF-VXGBXAGGSA-N 1 2 324.450 1.964 20 30 DDEDLO CCc1nc(C)c(NC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@@H]3C2)s1 ZINC000329062500 410079333 /nfs/dbraw/zinc/07/93/33/410079333.db2.gz VCTIGLLANDCCMF-VXGBXAGGSA-N 1 2 324.450 1.964 20 30 DDEDLO CCN1CCN(C(=O)c2cc(C#N)ccn2)C[C@H]1c1[nH]cc[nH+]1 ZINC000332381967 410113887 /nfs/dbraw/zinc/11/38/87/410113887.db2.gz YZMQKVDKVNXSIZ-AWEZNQCLSA-N 1 2 310.361 1.195 20 30 DDEDLO O=C(N[C@@H]1CN(c2ccccc2)C1=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000329344176 410247435 /nfs/dbraw/zinc/24/74/35/410247435.db2.gz UDVHOQOAEKSRDY-TZMCWYRMSA-N 1 2 310.357 1.817 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)[N@@H+]1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000181597270 287131462 /nfs/dbraw/zinc/13/14/62/287131462.db2.gz ZCNNOYDTKHYZQT-STQMWFEESA-N 1 2 307.419 1.585 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)[N@H+]1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000181597270 287131464 /nfs/dbraw/zinc/13/14/64/287131464.db2.gz ZCNNOYDTKHYZQT-STQMWFEESA-N 1 2 307.419 1.585 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)Cc1c(F)cccc1C#N ZINC000351825684 410266331 /nfs/dbraw/zinc/26/63/31/410266331.db2.gz IXTOLYBQKICEGN-UHFFFAOYSA-N 1 2 305.353 1.030 20 30 DDEDLO Cn1ccc(CCNC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)n1 ZINC000329531178 410347663 /nfs/dbraw/zinc/34/76/63/410347663.db2.gz WIBOFRWYYQNAOH-OAHLLOKOSA-N 1 2 306.410 1.563 20 30 DDEDLO Cn1ccc(CCNC(=O)[C@H]2COCC[N@H+]2C2CCCC2)n1 ZINC000329531178 410347671 /nfs/dbraw/zinc/34/76/71/410347671.db2.gz WIBOFRWYYQNAOH-OAHLLOKOSA-N 1 2 306.410 1.563 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)CCc1ccc(C#N)cc1 ZINC000355071422 410288163 /nfs/dbraw/zinc/28/81/63/410288163.db2.gz OAJIYCRJPBKXNN-AWEZNQCLSA-N 1 2 301.390 1.328 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)CCc1ccc(C#N)cc1 ZINC000355071422 410288165 /nfs/dbraw/zinc/28/81/65/410288165.db2.gz OAJIYCRJPBKXNN-AWEZNQCLSA-N 1 2 301.390 1.328 20 30 DDEDLO COc1ccccc1NC(=O)[C@@H](C)[N@@H+]1CCN2CCOC[C@H]2C1 ZINC000329426979 410291541 /nfs/dbraw/zinc/29/15/41/410291541.db2.gz JJGUTKLIEPJGEX-ZIAGYGMSSA-N 1 2 319.405 1.688 20 30 DDEDLO COc1ccccc1NC(=O)[C@@H](C)[N@H+]1CCN2CCOC[C@H]2C1 ZINC000329426979 410291544 /nfs/dbraw/zinc/29/15/44/410291544.db2.gz JJGUTKLIEPJGEX-ZIAGYGMSSA-N 1 2 319.405 1.688 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)NC[C@@H]1CCCSC1)[NH+]1CCOCC1 ZINC000329469449 410314788 /nfs/dbraw/zinc/31/47/88/410314788.db2.gz YBXOOOIZYOTPSS-MJBXVCDLSA-N 1 2 315.483 1.742 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N[C@@H]1CCCCNC1=O ZINC000329474615 410317866 /nfs/dbraw/zinc/31/78/66/410317866.db2.gz AXEKOADGQFCUHW-NWDGAFQWSA-N 1 2 305.382 1.773 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC[C@@H](O)CC2)cc1 ZINC000339778806 410456120 /nfs/dbraw/zinc/45/61/20/410456120.db2.gz GOTNDWWFPHPKFY-CQSZACIVSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@@H](O)CC2)cc1 ZINC000339778806 410456127 /nfs/dbraw/zinc/45/61/27/410456127.db2.gz GOTNDWWFPHPKFY-CQSZACIVSA-N 1 2 308.403 1.179 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CCCS(=O)(=O)C1)[NH+]1CCCCCC1 ZINC000329937002 410488723 /nfs/dbraw/zinc/48/87/23/410488723.db2.gz DLOAKTISNULZIV-OLZOCXBDSA-N 1 2 302.440 1.785 20 30 DDEDLO CCn1cc[nH+]c1[C@H]1CCCCN1C(=O)Cn1cnc(C#N)n1 ZINC000333219455 410428791 /nfs/dbraw/zinc/42/87/91/410428791.db2.gz DEXWZJURHODZSR-GFCCVEGCSA-N 1 2 313.365 1.120 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000298877319 410435962 /nfs/dbraw/zinc/43/59/62/410435962.db2.gz YUXAENTVMPFPMZ-CYBMUJFWSA-N 1 2 304.394 1.888 20 30 DDEDLO CCN1CC[NH+]([C@@H]2CCN(C(=O)NCCCCC#N)C2)CC1 ZINC000358833230 410534632 /nfs/dbraw/zinc/53/46/32/410534632.db2.gz SQBWOBCOKSIEAY-OAHLLOKOSA-N 1 2 307.442 1.102 20 30 DDEDLO Cc1oc(NC(=O)C[NH2+][C@H](C)c2nncn2C)c(C#N)c1C ZINC000358779424 410530185 /nfs/dbraw/zinc/53/01/85/410530185.db2.gz AVMHUQNIHQAYOR-SECBINFHSA-N 1 2 302.338 1.186 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)Cc2c(F)cccc2C#N)CCO1 ZINC000355558793 410579454 /nfs/dbraw/zinc/57/94/54/410579454.db2.gz SMPSJVKFDKPGNY-AWEZNQCLSA-N 1 2 319.380 1.467 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)Cc2c(F)cccc2C#N)CCO1 ZINC000355558793 410579460 /nfs/dbraw/zinc/57/94/60/410579460.db2.gz SMPSJVKFDKPGNY-AWEZNQCLSA-N 1 2 319.380 1.467 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Cc1cccs1 ZINC000299514068 410594022 /nfs/dbraw/zinc/59/40/22/410594022.db2.gz JOJRJSQUQAKRBC-UHFFFAOYSA-N 1 2 307.419 1.365 20 30 DDEDLO CCn1nccc1CN(CCO)Cc1c[nH+]c2ccc(C#N)cn12 ZINC000355512918 410556933 /nfs/dbraw/zinc/55/69/33/410556933.db2.gz KSZSCGOTPLAZRC-UHFFFAOYSA-N 1 2 324.388 1.417 20 30 DDEDLO CCn1nccc1C[N@H+](CCO)Cc1cnc2ccc(C#N)cn12 ZINC000355512918 410556940 /nfs/dbraw/zinc/55/69/40/410556940.db2.gz KSZSCGOTPLAZRC-UHFFFAOYSA-N 1 2 324.388 1.417 20 30 DDEDLO CCn1nccc1C[N@@H+](CCO)Cc1cnc2ccc(C#N)cn12 ZINC000355512918 410556943 /nfs/dbraw/zinc/55/69/43/410556943.db2.gz KSZSCGOTPLAZRC-UHFFFAOYSA-N 1 2 324.388 1.417 20 30 DDEDLO N#Cc1cc(Cl)ccc1N1CC[NH+](CC(=O)NC2CC2)CC1 ZINC000301199906 410674381 /nfs/dbraw/zinc/67/43/81/410674381.db2.gz PCTOTCSAJNZADY-UHFFFAOYSA-N 1 2 318.808 1.612 20 30 DDEDLO C#CCNC(=O)c1ccc(NCCc2cn3ccccc3[nH+]2)nc1 ZINC000301236431 410682090 /nfs/dbraw/zinc/68/20/90/410682090.db2.gz MEHNYHAWPWIKOA-UHFFFAOYSA-N 1 2 319.368 1.747 20 30 DDEDLO Cn1cc[nH+]c1CNc1cc(C#N)c2cc([N+](=O)[O-])ccc2n1 ZINC000359483742 410685038 /nfs/dbraw/zinc/68/50/38/410685038.db2.gz TZWMYOHLOMEJOM-UHFFFAOYSA-N 1 2 308.301 2.360 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](CC)CC(=O)N1CCCCCC1 ZINC000353169924 410827805 /nfs/dbraw/zinc/82/78/05/410827805.db2.gz KIBSAYCMQKVRCU-UHFFFAOYSA-N 1 2 321.465 1.912 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](CC)CC(=O)N1CCCCCC1 ZINC000353169924 410827809 /nfs/dbraw/zinc/82/78/09/410827809.db2.gz KIBSAYCMQKVRCU-UHFFFAOYSA-N 1 2 321.465 1.912 20 30 DDEDLO C#CCOCCNc1[nH+]cnc2c1c(Br)nn2C ZINC000302131802 410839024 /nfs/dbraw/zinc/83/90/24/410839024.db2.gz GXNIMZDRHYYHEG-UHFFFAOYSA-N 1 2 310.155 1.188 20 30 DDEDLO C[C@H](NC(=O)NCC#Cc1ccccc1)[C@H](C)[NH+]1CCOCC1 ZINC000356164412 410872853 /nfs/dbraw/zinc/87/28/53/410872853.db2.gz KGKSQWHTBKGSMO-HOTGVXAUSA-N 1 2 315.417 1.447 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356222940 410906903 /nfs/dbraw/zinc/90/69/03/410906903.db2.gz ODZNEXQSTVDOHB-AWEZNQCLSA-N 1 2 317.437 1.564 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356222940 410906909 /nfs/dbraw/zinc/90/69/09/410906909.db2.gz ODZNEXQSTVDOHB-AWEZNQCLSA-N 1 2 317.437 1.564 20 30 DDEDLO Cc1nc(C)n(C[C@H]2C[N@H+](Cc3cc(C#N)cs3)CCO2)n1 ZINC000353637794 411094343 /nfs/dbraw/zinc/09/43/43/411094343.db2.gz NSKRMRXDPOBGIM-CQSZACIVSA-N 1 2 317.418 1.729 20 30 DDEDLO Cc1nc(C)n(C[C@H]2C[N@@H+](Cc3cc(C#N)cs3)CCO2)n1 ZINC000353637794 411094345 /nfs/dbraw/zinc/09/43/45/411094345.db2.gz NSKRMRXDPOBGIM-CQSZACIVSA-N 1 2 317.418 1.729 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000356636030 411113607 /nfs/dbraw/zinc/11/36/07/411113607.db2.gz SWDCETNTOMAOCD-LLVKDONJSA-N 1 2 323.343 1.924 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCc2cnc(N)nc2C1 ZINC000623294487 416795109 /nfs/dbraw/zinc/79/51/09/416795109.db2.gz DEJSRIZLDNPBND-LBPRGKRZSA-N 1 2 301.394 1.006 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCc2cnc(N)nc2C1 ZINC000623294487 416795113 /nfs/dbraw/zinc/79/51/13/416795113.db2.gz DEJSRIZLDNPBND-LBPRGKRZSA-N 1 2 301.394 1.006 20 30 DDEDLO C=C(C)CS(=O)(=O)N[C@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000373819533 418463315 /nfs/dbraw/zinc/46/33/15/418463315.db2.gz LPXYQKFMIHNFDB-UONOGXRCSA-N 1 2 302.440 1.125 20 30 DDEDLO N#CCC1(CNC(=O)N2CCCN(c3cccc[nH+]3)CC2)CC1 ZINC000374016349 418484052 /nfs/dbraw/zinc/48/40/52/418484052.db2.gz CDCALEAAGBBSKS-UHFFFAOYSA-N 1 2 313.405 1.997 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@@H](NS(=O)(=O)c1ccc(C#N)cc1)C2 ZINC000374143281 418493861 /nfs/dbraw/zinc/49/38/61/418493861.db2.gz LNFMXYFWVDRJTN-CYBMUJFWSA-N 1 2 316.386 1.357 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)c1cccc(F)c1C#N)[NH+]1CCOCC1 ZINC000189187271 222038713 /nfs/dbraw/zinc/03/87/13/222038713.db2.gz BMWPLCUXAHHGEL-NWDGAFQWSA-N 1 2 305.353 1.536 20 30 DDEDLO CCO[C@H]1C[C@]([NH2+]CCCSCC#N)(C(=O)OC)C1(C)C ZINC000189863751 222059581 /nfs/dbraw/zinc/05/95/81/222059581.db2.gz DKSWCXWBXFDOGK-WFASDCNBSA-N 1 2 314.451 1.970 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000341475045 418625814 /nfs/dbraw/zinc/62/58/14/418625814.db2.gz COOKDGJBIQHLKS-CQSZACIVSA-N 1 2 306.410 1.756 20 30 DDEDLO COc1cc(C#N)ccc1Cn1c2ccccc2[nH+]c1NCCO ZINC000347066837 418638381 /nfs/dbraw/zinc/63/83/81/418638381.db2.gz JPALZBPXKOECDQ-UHFFFAOYSA-N 1 2 322.368 2.369 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@@H]2CCCN(c3cccc[nH+]3)C2)c1C#N ZINC000377237400 418707195 /nfs/dbraw/zinc/70/71/95/418707195.db2.gz WWMIIKVGAYQRMN-GFCCVEGCSA-N 1 2 310.361 1.840 20 30 DDEDLO Cc1ccc(C[N@@H+](CCO)CC(=O)N[C@](C)(C#N)C(C)C)o1 ZINC000361509021 418666397 /nfs/dbraw/zinc/66/63/97/418666397.db2.gz SFITYOOAQHICAP-MRXNPFEDSA-N 1 2 307.394 1.437 20 30 DDEDLO Cc1ccc(C[N@H+](CCO)CC(=O)N[C@](C)(C#N)C(C)C)o1 ZINC000361509021 418666399 /nfs/dbraw/zinc/66/63/99/418666399.db2.gz SFITYOOAQHICAP-MRXNPFEDSA-N 1 2 307.394 1.437 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(c2c(C#N)cccc2C#N)CCN1C ZINC000376021282 418691391 /nfs/dbraw/zinc/69/13/91/418691391.db2.gz KNMGIPYMCTYZOK-OAHLLOKOSA-N 1 2 306.373 1.657 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC000369604153 418735171 /nfs/dbraw/zinc/73/51/71/418735171.db2.gz QYMRIYVPECFWIB-OAHLLOKOSA-N 1 2 324.469 1.583 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC000369604153 418735173 /nfs/dbraw/zinc/73/51/73/418735173.db2.gz QYMRIYVPECFWIB-OAHLLOKOSA-N 1 2 324.469 1.583 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CC[C@@H]([N@H+](C)Cc2ncccn2)C1 ZINC000369639233 418736014 /nfs/dbraw/zinc/73/60/14/418736014.db2.gz OAELVCJRGBZDHE-UONOGXRCSA-N 1 2 301.394 1.104 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000369639233 418736017 /nfs/dbraw/zinc/73/60/17/418736017.db2.gz OAELVCJRGBZDHE-UONOGXRCSA-N 1 2 301.394 1.104 20 30 DDEDLO N#CCC1(CNc2[nH+]cnc3c2cnn3-c2ncccn2)CC1 ZINC000362319498 418752621 /nfs/dbraw/zinc/75/26/21/418752621.db2.gz NSONOXLRQQAAAL-UHFFFAOYSA-N 1 2 306.333 1.711 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+](C)[C@H](C)C(=O)NC(C)(C)C ZINC000362584683 418756345 /nfs/dbraw/zinc/75/63/45/418756345.db2.gz XDJHZWZTGAJHQO-MLGOLLRUSA-N 1 2 310.442 1.276 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+](C)[C@H](C)C(=O)NC(C)(C)C ZINC000362584683 418756346 /nfs/dbraw/zinc/75/63/46/418756346.db2.gz XDJHZWZTGAJHQO-MLGOLLRUSA-N 1 2 310.442 1.276 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCO[C@H](COCC2CC2)C1 ZINC000362585964 418756762 /nfs/dbraw/zinc/75/67/62/418756762.db2.gz GAYVASDYYQRTAR-INIZCTEOSA-N 1 2 308.422 1.314 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCO[C@H](COCC2CC2)C1 ZINC000362585964 418756765 /nfs/dbraw/zinc/75/67/65/418756765.db2.gz GAYVASDYYQRTAR-INIZCTEOSA-N 1 2 308.422 1.314 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N2CCn3cc(C)[nH+]c3C2)c1 ZINC000363666805 418769088 /nfs/dbraw/zinc/76/90/88/418769088.db2.gz VLSLZZGPTKZSDR-UHFFFAOYSA-N 1 2 308.341 1.154 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(OC)cc1 ZINC000364319985 418775699 /nfs/dbraw/zinc/77/56/99/418775699.db2.gz UZXSEVLAXAHFCU-IUODEOHRSA-N 1 2 312.373 1.839 20 30 DDEDLO N#CCOc1ccc(/C=C/C(=O)N[C@@H]2CCc3[nH+]ccn3C2)cc1 ZINC000364499460 418781411 /nfs/dbraw/zinc/78/14/11/418781411.db2.gz DBJPNCAAMPZZGB-WEJQMCOXSA-N 1 2 322.368 1.930 20 30 DDEDLO CCOC(=O)CC[N@@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000361934963 418728264 /nfs/dbraw/zinc/72/82/64/418728264.db2.gz AODYBEOZYQIWLU-UHFFFAOYSA-N 1 2 315.373 1.156 20 30 DDEDLO CCOC(=O)CC[N@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000361934963 418728267 /nfs/dbraw/zinc/72/82/67/418728267.db2.gz AODYBEOZYQIWLU-UHFFFAOYSA-N 1 2 315.373 1.156 20 30 DDEDLO CC(C)[C@@H](C(=O)N[C@@H]1CCC[C@H]1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000408331348 418798429 /nfs/dbraw/zinc/79/84/29/418798429.db2.gz QYIZNQRSTRZCKZ-NPJQDHAYSA-N 1 2 307.438 1.929 20 30 DDEDLO CC(C)[C@@H](C(=O)N[C@@H]1CCC[C@H]1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000408331348 418798431 /nfs/dbraw/zinc/79/84/31/418798431.db2.gz QYIZNQRSTRZCKZ-NPJQDHAYSA-N 1 2 307.438 1.929 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](CC[C@@H]3CCOC3=O)CCO2)c1 ZINC000372344187 418859566 /nfs/dbraw/zinc/85/95/66/418859566.db2.gz FYXXWPSUQIXXDY-ZBFHGGJFSA-N 1 2 300.358 1.885 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](CC[C@@H]3CCOC3=O)CCO2)c1 ZINC000372344187 418859568 /nfs/dbraw/zinc/85/95/68/418859568.db2.gz FYXXWPSUQIXXDY-ZBFHGGJFSA-N 1 2 300.358 1.885 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000411181755 418876840 /nfs/dbraw/zinc/87/68/40/418876840.db2.gz PIMUBVGRVPIPCL-YOEHRIQHSA-N 1 2 324.469 1.668 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000411181755 418876843 /nfs/dbraw/zinc/87/68/43/418876843.db2.gz PIMUBVGRVPIPCL-YOEHRIQHSA-N 1 2 324.469 1.668 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[NH+]1CCN([C@@H](C)CCC#N)CC1 ZINC000411234882 418882586 /nfs/dbraw/zinc/88/25/86/418882586.db2.gz GFOGUKDNGRXNLO-LSDHHAIUSA-N 1 2 306.454 1.744 20 30 DDEDLO C=CCN(CC(=O)OCC)C(=O)C[N@@H+]1CCc2sccc2C1 ZINC000372857929 418910224 /nfs/dbraw/zinc/91/02/24/418910224.db2.gz QHBBPEOEOSCEPX-UHFFFAOYSA-N 1 2 322.430 1.684 20 30 DDEDLO C=CCN(CC(=O)OCC)C(=O)C[N@H+]1CCc2sccc2C1 ZINC000372857929 418910225 /nfs/dbraw/zinc/91/02/25/418910225.db2.gz QHBBPEOEOSCEPX-UHFFFAOYSA-N 1 2 322.430 1.684 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@H]2CCN(c3sccc3C#N)C2=O)n1 ZINC000411519125 418911444 /nfs/dbraw/zinc/91/14/44/418911444.db2.gz LGJOFCZIDLHIOR-KWQFWETISA-N 1 2 317.374 1.767 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@@H]2CCN(c3sccc3C#N)C2=O)n1 ZINC000411519120 418911599 /nfs/dbraw/zinc/91/15/99/418911599.db2.gz LGJOFCZIDLHIOR-GZMMTYOYSA-N 1 2 317.374 1.767 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(Cc2cccc(F)c2C#N)CC1 ZINC000428243954 419834900 /nfs/dbraw/zinc/83/49/00/419834900.db2.gz HYVGUWZCZLAADW-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)c1ccc(C#N)cc1C ZINC000431608890 229046514 /nfs/dbraw/zinc/04/65/14/229046514.db2.gz LLLUULISBICCHH-UHFFFAOYSA-N 1 2 317.370 1.749 20 30 DDEDLO COCCO[C@@H]1C[C@@H](CO)C[N@H+](Cc2cccc(F)c2C#N)C1 ZINC000428938690 419949280 /nfs/dbraw/zinc/94/92/80/419949280.db2.gz JUHNZIVBEXANAS-UKRRQHHQSA-N 1 2 322.380 1.543 20 30 DDEDLO COCCO[C@@H]1C[C@@H](CO)C[N@@H+](Cc2cccc(F)c2C#N)C1 ZINC000428938690 419949286 /nfs/dbraw/zinc/94/92/86/419949286.db2.gz JUHNZIVBEXANAS-UKRRQHHQSA-N 1 2 322.380 1.543 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000429900034 420057489 /nfs/dbraw/zinc/05/74/89/420057489.db2.gz FCMYPCQKMQEDMX-INIZCTEOSA-N 1 2 312.479 1.913 20 30 DDEDLO C[C@]1(F)C[NH2+]CCN(S(=O)(=O)Cc2cccc(C#N)c2)C1 ZINC000420432843 420283044 /nfs/dbraw/zinc/28/30/44/420283044.db2.gz BWJQQRSXBWUOOO-AWEZNQCLSA-N 1 2 311.382 1.021 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+][C@@H](C)c1ncc(C(=O)OC)s1 ZINC000425478175 420395961 /nfs/dbraw/zinc/39/59/61/420395961.db2.gz CABSCCACGYBSLP-JTQLQIEISA-N 1 2 324.406 1.342 20 30 DDEDLO N#Cc1ccc(C[N@H+](CCO)CCN2CCOCC2)c(Cl)c1 ZINC000439442844 420512354 /nfs/dbraw/zinc/51/23/54/420512354.db2.gz SJNQOEFWHWVEJL-UHFFFAOYSA-N 1 2 323.824 1.338 20 30 DDEDLO N#Cc1ccc(C[N@@H+](CCO)CCN2CCOCC2)c(Cl)c1 ZINC000439442844 420512358 /nfs/dbraw/zinc/51/23/58/420512358.db2.gz SJNQOEFWHWVEJL-UHFFFAOYSA-N 1 2 323.824 1.338 20 30 DDEDLO N#Cc1ccc(CN(CCO)CC[NH+]2CCOCC2)c(Cl)c1 ZINC000439442844 420512361 /nfs/dbraw/zinc/51/23/61/420512361.db2.gz SJNQOEFWHWVEJL-UHFFFAOYSA-N 1 2 323.824 1.338 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000458585094 420692473 /nfs/dbraw/zinc/69/24/73/420692473.db2.gz IUYGOWYAWRJJRS-UONOGXRCSA-N 1 2 304.394 1.287 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000458585095 420693046 /nfs/dbraw/zinc/69/30/46/420693046.db2.gz IUYGOWYAWRJJRS-ZIAGYGMSSA-N 1 2 304.394 1.287 20 30 DDEDLO C=CCC[C@H](NC(=O)/C=C/c1[nH+]ccn1CC)C(=O)OCC ZINC000493130737 420765013 /nfs/dbraw/zinc/76/50/13/420765013.db2.gz OKFPLJGBVHFFIN-LXKVQUBZSA-N 1 2 305.378 1.930 20 30 DDEDLO C=CCOc1ccc(CC(=O)NCC[N@H+]2CCOC[C@@H]2C)cc1 ZINC000448917391 420915816 /nfs/dbraw/zinc/91/58/16/420915816.db2.gz ZYNNZJBPMREDAA-HNNXBMFYSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CCOc1ccc(CC(=O)NCC[N@@H+]2CCOC[C@@H]2C)cc1 ZINC000448917391 420915818 /nfs/dbraw/zinc/91/58/18/420915818.db2.gz ZYNNZJBPMREDAA-HNNXBMFYSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CCCCS(=O)(=O)NC[C@@H](C(C)C)[NH+]1CCN(C)CC1 ZINC000489531901 421162556 /nfs/dbraw/zinc/16/25/56/421162556.db2.gz PWWANAGGIPPGNY-HNNXBMFYSA-N 1 2 317.499 1.144 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489713571 421171578 /nfs/dbraw/zinc/17/15/78/421171578.db2.gz XOBNCBSIRCJOPC-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489713571 421171581 /nfs/dbraw/zinc/17/15/81/421171581.db2.gz XOBNCBSIRCJOPC-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C=CCC1(C(=O)N(CCO)CC[NH+]2CCOCC2)CCCC1 ZINC000450255072 421172823 /nfs/dbraw/zinc/17/28/23/421172823.db2.gz LILWKULRLJZZSP-UHFFFAOYSA-N 1 2 310.438 1.276 20 30 DDEDLO C=CCC1(CNC(=O)[C@H](Cc2c[nH+]c[nH]2)NC(C)=O)CCCC1 ZINC000456513491 421177694 /nfs/dbraw/zinc/17/76/94/421177694.db2.gz VPXIWLKXIZCKMP-HNNXBMFYSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCC1(CNC(=O)[C@H](Cc2c[nH]c[nH+]2)NC(C)=O)CCCC1 ZINC000456513491 421177695 /nfs/dbraw/zinc/17/76/95/421177695.db2.gz VPXIWLKXIZCKMP-HNNXBMFYSA-N 1 2 318.421 1.710 20 30 DDEDLO CC1(C)CN(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C(=O)O1 ZINC000497287428 421401321 /nfs/dbraw/zinc/40/13/21/421401321.db2.gz BCLJIIVSDWWDRI-ZDUSSCGKSA-N 1 2 316.361 1.595 20 30 DDEDLO CC1(C)CN(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C(=O)O1 ZINC000497287428 421401322 /nfs/dbraw/zinc/40/13/22/421401322.db2.gz BCLJIIVSDWWDRI-ZDUSSCGKSA-N 1 2 316.361 1.595 20 30 DDEDLO N#Cc1ccc(C2([NH2+]Cc3nccc(N)n3)CCOCC2)cc1 ZINC000528779957 421515837 /nfs/dbraw/zinc/51/58/37/421515837.db2.gz ZRHZUBRLKZUINM-UHFFFAOYSA-N 1 2 309.373 1.726 20 30 DDEDLO CC(C)[N@@H+]1CCO[C@H](c2noc(Cc3ccc(C#N)nc3)n2)C1 ZINC000528157917 421472468 /nfs/dbraw/zinc/47/24/68/421472468.db2.gz OYBGLZVOEIONMC-AWEZNQCLSA-N 1 2 313.361 1.709 20 30 DDEDLO CC(C)[N@H+]1CCO[C@H](c2noc(Cc3ccc(C#N)nc3)n2)C1 ZINC000528157917 421472470 /nfs/dbraw/zinc/47/24/70/421472470.db2.gz OYBGLZVOEIONMC-AWEZNQCLSA-N 1 2 313.361 1.709 20 30 DDEDLO CCO[C@@H](C)c1ncc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)s1 ZINC000563219960 421474330 /nfs/dbraw/zinc/47/43/30/421474330.db2.gz CRACTUKUHFZFJL-HZMBPMFUSA-N 1 2 310.423 1.814 20 30 DDEDLO CNC(=O)NC[C@H]1C[N@@H+]([C@H](C)c2cccc(C#N)c2)CCO1 ZINC000528630609 421503206 /nfs/dbraw/zinc/50/32/06/421503206.db2.gz TXHZRSYALYDILL-DOMZBBRYSA-N 1 2 302.378 1.249 20 30 DDEDLO CNC(=O)NC[C@H]1C[N@H+]([C@H](C)c2cccc(C#N)c2)CCO1 ZINC000528630609 421503208 /nfs/dbraw/zinc/50/32/08/421503208.db2.gz TXHZRSYALYDILL-DOMZBBRYSA-N 1 2 302.378 1.249 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(CC2(C#N)CCC2)c1=O ZINC000565240813 421597205 /nfs/dbraw/zinc/59/72/05/421597205.db2.gz GHPPHZJUYMSAPK-UHFFFAOYSA-N 1 2 312.373 1.246 20 30 DDEDLO COC(=O)c1ccc(CCC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000570727931 421666399 /nfs/dbraw/zinc/66/63/99/421666399.db2.gz VWRODVNJIALIEN-KRWDZBQOSA-N 1 2 317.389 1.366 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNc1ncc2c(c1C#N)CCC2 ZINC000567718969 421614766 /nfs/dbraw/zinc/61/47/66/421614766.db2.gz KLYCAXZPCURWFU-UHFFFAOYSA-N 1 2 300.406 1.965 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNc1ncc2c(c1C#N)CCC2 ZINC000567718969 421614768 /nfs/dbraw/zinc/61/47/68/421614768.db2.gz KLYCAXZPCURWFU-UHFFFAOYSA-N 1 2 300.406 1.965 20 30 DDEDLO CCNc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1[N+](=O)[O-] ZINC000570635852 421659256 /nfs/dbraw/zinc/65/92/56/421659256.db2.gz XYAAVEJKNHAREN-HNNXBMFYSA-N 1 2 319.365 1.600 20 30 DDEDLO C[C@H](c1nccc(N)n1)[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000571175165 421693271 /nfs/dbraw/zinc/69/32/71/421693271.db2.gz BPSSFGJYIHMYGY-YPMHNXCESA-N 1 2 310.361 1.540 20 30 DDEDLO C[C@H](c1nccc(N)n1)[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000571175165 421693273 /nfs/dbraw/zinc/69/32/73/421693273.db2.gz BPSSFGJYIHMYGY-YPMHNXCESA-N 1 2 310.361 1.540 20 30 DDEDLO Cc1nnc(-c2ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc2)o1 ZINC000571332137 421707356 /nfs/dbraw/zinc/70/73/56/421707356.db2.gz VRKNLYKDLPPDMW-MRXNPFEDSA-N 1 2 313.361 1.619 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)[C@@H](CC)[N@@H+]1CCO[C@@H](CC)C1 ZINC000556680465 421720100 /nfs/dbraw/zinc/72/01/00/421720100.db2.gz VPAZMZMTOLEPNH-JJXSEGSLSA-N 1 2 310.438 1.726 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)[C@@H](CC)[N@H+]1CCO[C@@H](CC)C1 ZINC000556680465 421720103 /nfs/dbraw/zinc/72/01/03/421720103.db2.gz VPAZMZMTOLEPNH-JJXSEGSLSA-N 1 2 310.438 1.726 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3ncccc3O)CC2)c1C#N ZINC000558571140 421814175 /nfs/dbraw/zinc/81/41/75/421814175.db2.gz HQKLJMHVTDNCKV-UHFFFAOYSA-N 1 2 315.402 1.746 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[C@H]([N@H+](C)Cc2ncccn2)C1 ZINC000573536645 421978178 /nfs/dbraw/zinc/97/81/78/421978178.db2.gz NUWCZVGVFQKFEF-AWEZNQCLSA-N 1 2 324.388 1.033 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[C@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000573536645 421978181 /nfs/dbraw/zinc/97/81/81/421978181.db2.gz NUWCZVGVFQKFEF-AWEZNQCLSA-N 1 2 324.388 1.033 20 30 DDEDLO CCC[N@H+](CC(=O)N(C)C)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000574760757 422173970 /nfs/dbraw/zinc/17/39/70/422173970.db2.gz ZDYFKJLXWOFTCA-UHFFFAOYSA-N 1 2 304.350 1.767 20 30 DDEDLO CCC[N@@H+](CC(=O)N(C)C)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000574760757 422173975 /nfs/dbraw/zinc/17/39/75/422173975.db2.gz ZDYFKJLXWOFTCA-UHFFFAOYSA-N 1 2 304.350 1.767 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)[nH]1 ZINC000583599095 422192903 /nfs/dbraw/zinc/19/29/03/422192903.db2.gz CCBZCSNUPFZSHH-AAEUAGOBSA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)[nH]1 ZINC000583599095 422192910 /nfs/dbraw/zinc/19/29/10/422192910.db2.gz CCBZCSNUPFZSHH-AAEUAGOBSA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)n1 ZINC000583599095 422192916 /nfs/dbraw/zinc/19/29/16/422192916.db2.gz CCBZCSNUPFZSHH-AAEUAGOBSA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)n1 ZINC000583599095 422192924 /nfs/dbraw/zinc/19/29/24/422192924.db2.gz CCBZCSNUPFZSHH-AAEUAGOBSA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1nc([C@H]2CCC[N@@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)n[nH]1 ZINC000583599095 422192931 /nfs/dbraw/zinc/19/29/31/422192931.db2.gz CCBZCSNUPFZSHH-AAEUAGOBSA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1nc([C@H]2CCC[N@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)n[nH]1 ZINC000583599095 422192935 /nfs/dbraw/zinc/19/29/35/422192935.db2.gz CCBZCSNUPFZSHH-AAEUAGOBSA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000593899901 422342438 /nfs/dbraw/zinc/34/24/38/422342438.db2.gz HITGDXVNGVWELU-LLVKDONJSA-N 1 2 320.356 2.141 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635797349 422353516 /nfs/dbraw/zinc/35/35/16/422353516.db2.gz RZBYEFSOMRNYBP-HOCLYGCPSA-N 1 2 304.390 1.323 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635797349 422353521 /nfs/dbraw/zinc/35/35/21/422353521.db2.gz RZBYEFSOMRNYBP-HOCLYGCPSA-N 1 2 304.390 1.323 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1NCc1ccc[nH+]c1N1CCCC1 ZINC000596354921 422375426 /nfs/dbraw/zinc/37/54/26/422375426.db2.gz AAIVOOZHFMJKMZ-UHFFFAOYSA-N 1 2 324.344 2.469 20 30 DDEDLO COCC[N@H+](CC#Cc1ccccc1)C[C@H](O)C(F)(F)F ZINC000653787670 423608024 /nfs/dbraw/zinc/60/80/24/423608024.db2.gz FSPNQXPJCJLVMH-AWEZNQCLSA-N 1 2 301.308 1.910 20 30 DDEDLO COCC[N@@H+](CC#Cc1ccccc1)C[C@H](O)C(F)(F)F ZINC000653787670 423608028 /nfs/dbraw/zinc/60/80/28/423608028.db2.gz FSPNQXPJCJLVMH-AWEZNQCLSA-N 1 2 301.308 1.910 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000649245828 423697425 /nfs/dbraw/zinc/69/74/25/423697425.db2.gz GHPJQTGWZXWFBH-INIZCTEOSA-N 1 2 322.380 1.286 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CC[NH+](Cc2ccc(OCC)cc2)CC1 ZINC000665772493 423829710 /nfs/dbraw/zinc/82/97/10/423829710.db2.gz MUBDHOMXJXSNSJ-MRXNPFEDSA-N 1 2 304.390 1.276 20 30 DDEDLO C=CCCNC(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000665947677 423958558 /nfs/dbraw/zinc/95/85/58/423958558.db2.gz VTHDPUSZYCEGAY-UHFFFAOYSA-N 1 2 313.405 1.738 20 30 DDEDLO C=CCN(C(=O)NCc1ccc[nH+]c1N(C)C)[C@H](C)COC ZINC000663626173 424016703 /nfs/dbraw/zinc/01/67/03/424016703.db2.gz XJNQMARFKYZAOG-CYBMUJFWSA-N 1 2 306.410 1.880 20 30 DDEDLO C=CCOCCCNC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000661795546 424177932 /nfs/dbraw/zinc/17/79/32/424177932.db2.gz BKGRVFGDZHOUDO-UHFFFAOYSA-N 1 2 318.421 1.502 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)NCC[N@@H+]1CCO[C@@H](CC)C1 ZINC000655064509 424182906 /nfs/dbraw/zinc/18/29/06/424182906.db2.gz LPFOOBYIEZOXLL-ARFHVFGLSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)NCC[N@H+]1CCO[C@@H](CC)C1 ZINC000655064509 424182912 /nfs/dbraw/zinc/18/29/12/424182912.db2.gz LPFOOBYIEZOXLL-ARFHVFGLSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2cccc(C(=O)N(C)C)c2)nn1 ZINC000657301089 424270257 /nfs/dbraw/zinc/27/02/57/424270257.db2.gz ZOLUEZKNCCONIO-UHFFFAOYSA-N 1 2 313.405 1.846 20 30 DDEDLO CCN1CCN(C(=O)c2cscc2C#N)C[C@H]1c1[nH]cc[nH+]1 ZINC000348025340 266148858 /nfs/dbraw/zinc/14/88/58/266148858.db2.gz XWELBXLKSVDGRW-ZDUSSCGKSA-N 1 2 315.402 1.862 20 30 DDEDLO C[C@@H]1COCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000374369698 267068526 /nfs/dbraw/zinc/06/85/26/267068526.db2.gz YZXTVMXQTDPUPT-ZDUSSCGKSA-N 1 2 308.403 1.300 20 30 DDEDLO C[C@@H]1COCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000374369698 267068530 /nfs/dbraw/zinc/06/85/30/267068530.db2.gz YZXTVMXQTDPUPT-ZDUSSCGKSA-N 1 2 308.403 1.300 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+](C)CCNC(=O)N(C)C ZINC000355034899 267139637 /nfs/dbraw/zinc/13/96/37/267139637.db2.gz TWLYAOWGPGLGMB-GFCCVEGCSA-N 1 2 317.393 1.088 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+](C)CCNC(=O)N(C)C ZINC000355034899 267139638 /nfs/dbraw/zinc/13/96/38/267139638.db2.gz TWLYAOWGPGLGMB-GFCCVEGCSA-N 1 2 317.393 1.088 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000355088981 267200034 /nfs/dbraw/zinc/20/00/34/267200034.db2.gz PGPOMQLOPNMINU-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000355088981 267200035 /nfs/dbraw/zinc/20/00/35/267200035.db2.gz PGPOMQLOPNMINU-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO N#Cc1cc(N2CCN(Cc3[nH]cc[nH+]3)CC2)ccc1[N+](=O)[O-] ZINC000361708517 267999999 /nfs/dbraw/zinc/99/99/99/267999999.db2.gz OLJGKHGDKXFREZ-UHFFFAOYSA-N 1 2 312.333 1.512 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cc1 ZINC000366840578 268144782 /nfs/dbraw/zinc/14/47/82/268144782.db2.gz GIWSYHJFKWDQRQ-OAHLLOKOSA-N 1 2 300.362 1.497 20 30 DDEDLO N#Cc1ccc(OCC[N@@H+]2CCOC[C@@H]2[C@@H]2CCCO2)cc1 ZINC000375802427 268172475 /nfs/dbraw/zinc/17/24/75/268172475.db2.gz TZKWYWBXALPVGP-SJORKVTESA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccc(OCC[N@H+]2CCOC[C@@H]2[C@@H]2CCCO2)cc1 ZINC000375802427 268172477 /nfs/dbraw/zinc/17/24/77/268172477.db2.gz TZKWYWBXALPVGP-SJORKVTESA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)s1 ZINC000366646668 268193125 /nfs/dbraw/zinc/19/31/25/268193125.db2.gz HQBAPUHRSZYBNQ-JTQLQIEISA-N 1 2 322.415 1.911 20 30 DDEDLO N#Cc1cccc(CNC(=O)CSCC[NH+]2CCOCC2)c1 ZINC000342148496 268250887 /nfs/dbraw/zinc/25/08/87/268250887.db2.gz LHRVFYDXGBZHLH-UHFFFAOYSA-N 1 2 319.430 1.240 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[NH+]2CCC3(CCO3)CC2)c1 ZINC000368525332 268275493 /nfs/dbraw/zinc/27/54/93/268275493.db2.gz DWJKYYFSQZFINT-UHFFFAOYSA-N 1 2 320.414 1.587 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@@H+]1CCN2C(=O)OC[C@@H]2C1 ZINC000370569222 268307809 /nfs/dbraw/zinc/30/78/09/268307809.db2.gz NEDHDXHKBXKTRD-NSHDSACASA-N 1 2 320.374 1.085 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@H+]1CCN2C(=O)OC[C@@H]2C1 ZINC000370569222 268307813 /nfs/dbraw/zinc/30/78/13/268307813.db2.gz NEDHDXHKBXKTRD-NSHDSACASA-N 1 2 320.374 1.085 20 30 DDEDLO CC(C)c1scnc1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329586398 278117573 /nfs/dbraw/zinc/11/75/73/278117573.db2.gz WTGLVEMGHXGHKG-WDEREUQCSA-N 1 2 310.423 1.827 20 30 DDEDLO CC(C)c1scnc1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329586398 278117575 /nfs/dbraw/zinc/11/75/75/278117575.db2.gz WTGLVEMGHXGHKG-WDEREUQCSA-N 1 2 310.423 1.827 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)CCN2CCCC2=O)c(C#N)c1C ZINC000112995658 281070977 /nfs/dbraw/zinc/07/09/77/281070977.db2.gz DSXCORCUPHUNLV-UHFFFAOYSA-N 1 2 318.377 1.261 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)CCN2CCCC2=O)c(C#N)c1C ZINC000112995658 281070981 /nfs/dbraw/zinc/07/09/81/281070981.db2.gz DSXCORCUPHUNLV-UHFFFAOYSA-N 1 2 318.377 1.261 20 30 DDEDLO N#Cc1ncccc1S(=O)(=O)N1CC[NH+](C2CCCC2)CC1 ZINC000276992979 295001919 /nfs/dbraw/zinc/00/19/19/295001919.db2.gz IQNYYPOGEAUEIM-UHFFFAOYSA-N 1 2 320.418 1.202 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)C[C@]2(CCOC2)O1 ZINC000366578670 300239702 /nfs/dbraw/zinc/23/97/02/300239702.db2.gz VWIYPTZVDOUBLC-YOEHRIQHSA-N 1 2 321.421 1.209 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C[C@]2(CCOC2)O1 ZINC000366578670 300239704 /nfs/dbraw/zinc/23/97/04/300239704.db2.gz VWIYPTZVDOUBLC-YOEHRIQHSA-N 1 2 321.421 1.209 20 30 DDEDLO N#Cc1cncc(N[C@H](C[NH+]2CCOCC2)c2ccccc2)n1 ZINC000575240943 304723470 /nfs/dbraw/zinc/72/34/70/304723470.db2.gz QKLNRWNYJXGIRK-MRXNPFEDSA-N 1 2 309.373 1.834 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(Cc2ccccc2)c1=O ZINC000562228346 303851795 /nfs/dbraw/zinc/85/17/95/303851795.db2.gz WHSKDCXNRUHTFG-UHFFFAOYSA-N 1 2 309.369 1.600 20 30 DDEDLO C[C@H]1CCNC(=O)[C@H]1[NH2+]Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000562457015 307939137 /nfs/dbraw/zinc/93/91/37/307939137.db2.gz JWXSKUHSRTZPHC-HZMBPMFUSA-N 1 2 311.345 1.222 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](C[C@@H](O)CC(F)(F)F)CC1 ZINC000563526787 307973625 /nfs/dbraw/zinc/97/36/25/307973625.db2.gz QAAVXXAZLOQEMG-LBPRGKRZSA-N 1 2 314.311 1.389 20 30 DDEDLO N#CCc1ccc(C[NH+]2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC000528614969 332297215 /nfs/dbraw/zinc/29/72/15/332297215.db2.gz PNJLCWAJQSLVFT-UHFFFAOYSA-N 1 2 319.430 1.362 20 30 DDEDLO CN(C)S(=O)(=O)c1ccccc1C[NH2+]C[C@@H](C#N)CCC#N ZINC000583435869 332405896 /nfs/dbraw/zinc/40/58/96/332405896.db2.gz IEZLBISUVIMUGH-CYBMUJFWSA-N 1 2 320.418 1.470 20 30 DDEDLO N#CCc1ccc(CS(=O)(=O)N2CC(n3cc[nH+]c3)C2)cc1 ZINC000563062562 333226783 /nfs/dbraw/zinc/22/67/83/333226783.db2.gz CJOCVKWHUBOPBS-UHFFFAOYSA-N 1 2 316.386 1.336 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@]2(CCSC2)C1 ZINC000563073572 333325013 /nfs/dbraw/zinc/32/50/13/333325013.db2.gz WWLRBJTWTZBTIS-GOEBONIOSA-N 1 2 310.463 1.783 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@]2(CCSC2)C1 ZINC000563073572 333325014 /nfs/dbraw/zinc/32/50/14/333325014.db2.gz WWLRBJTWTZBTIS-GOEBONIOSA-N 1 2 310.463 1.783 20 30 DDEDLO CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000563220314 333486185 /nfs/dbraw/zinc/48/61/85/333486185.db2.gz KTYMFEOOFHZAOS-OAHLLOKOSA-N 1 2 320.349 1.567 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1cccc(C#N)n1 ZINC000582903755 337213554 /nfs/dbraw/zinc/21/35/54/337213554.db2.gz NNRLIYFYAUVKSY-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1cccc(C#N)n1 ZINC000582903755 337213555 /nfs/dbraw/zinc/21/35/55/337213555.db2.gz NNRLIYFYAUVKSY-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO Cc1ncc(S(=O)(=O)N[C@@H]2C[C@H](C)n3cc[nH+]c32)cc1C#N ZINC000582946055 337220882 /nfs/dbraw/zinc/22/08/82/337220882.db2.gz AZCHZEJZLAKQJB-TVQRCGJNSA-N 1 2 317.374 1.443 20 30 DDEDLO Cn1nccc1[C@@H](CO)[NH2+]Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000583028598 337230795 /nfs/dbraw/zinc/23/07/95/337230795.db2.gz RWQQTUDJPPKTRL-GFCCVEGCSA-N 1 2 301.306 1.023 20 30 DDEDLO CCCOc1cccc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000514941745 337974587 /nfs/dbraw/zinc/97/45/87/337974587.db2.gz HVMJNYUGTQXEED-QGZVFWFLSA-N 1 2 303.406 1.978 20 30 DDEDLO C=CCNC(=O)C[S@@](=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000505765724 340177041 /nfs/dbraw/zinc/17/70/41/340177041.db2.gz HGAFNDAAIAUOTQ-QFIPXVFZSA-N 1 2 317.414 1.482 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CCC(CC#N)CC2)c[nH+]1 ZINC000541035100 340957089 /nfs/dbraw/zinc/95/70/89/340957089.db2.gz LIUATJDBAPAHRQ-UHFFFAOYSA-N 1 2 301.394 1.983 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)c2ccc([N+](=O)[O-])cc2O)CC1 ZINC000547473228 341215963 /nfs/dbraw/zinc/21/59/63/341215963.db2.gz UWIURURGOCXMDY-UHFFFAOYSA-N 1 2 304.306 1.018 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@H]1COc2ccc(Cl)cc2C1 ZINC000564872319 341511898 /nfs/dbraw/zinc/51/18/98/341511898.db2.gz ODZQKUZUFQDGGI-WBMJQRKESA-N 1 2 321.808 1.851 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1csc(C(F)(F)F)n1 ZINC000567475766 341609026 /nfs/dbraw/zinc/60/90/26/341609026.db2.gz WZQSNMMABCODOQ-JTQLQIEISA-N 1 2 306.313 1.736 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+]([C@@H](C)c2cccc(F)c2)CC1 ZINC000668182816 485011144 /nfs/dbraw/zinc/01/11/44/485011144.db2.gz OWEONNAUHVZXDB-GUYCJALGSA-N 1 2 306.381 1.968 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)C(=O)N[C@@H](C)Cn2cc[nH+]c2)C1 ZINC000668569629 485219175 /nfs/dbraw/zinc/21/91/75/485219175.db2.gz DGGJIUHDEBTJTA-KBPBESRZSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCOCCNC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000679503232 485904812 /nfs/dbraw/zinc/90/48/12/485904812.db2.gz ICPDCTQQBKRZBW-UHFFFAOYSA-N 1 2 304.394 1.111 20 30 DDEDLO COCC#CC[NH+]1CCN(Cc2ccc(OC)c(F)c2)CC1 ZINC000677133208 486397876 /nfs/dbraw/zinc/39/78/76/486397876.db2.gz MWNYCNXLFBGGJC-UHFFFAOYSA-N 1 2 306.381 1.602 20 30 DDEDLO COCC#CCN1CC[NH+](Cc2ccc(OC)c(F)c2)CC1 ZINC000677133208 486397879 /nfs/dbraw/zinc/39/78/79/486397879.db2.gz MWNYCNXLFBGGJC-UHFFFAOYSA-N 1 2 306.381 1.602 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ncnc3ccccc32)CC1 ZINC000684622376 486399358 /nfs/dbraw/zinc/39/93/58/486399358.db2.gz QYKXWDFOMKJBIS-UHFFFAOYSA-N 1 2 311.389 1.054 20 30 DDEDLO COC(=O)c1cccnc1SC[C@H](O)C[N@H+](C)CCC#N ZINC000414119966 534296157 /nfs/dbraw/zinc/29/61/57/534296157.db2.gz AAGJTCGPZCWIAW-LLVKDONJSA-N 1 2 309.391 1.167 20 30 DDEDLO COC(=O)c1cccnc1SC[C@H](O)C[N@@H+](C)CCC#N ZINC000414119966 534296159 /nfs/dbraw/zinc/29/61/59/534296159.db2.gz AAGJTCGPZCWIAW-LLVKDONJSA-N 1 2 309.391 1.167 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCOC[C@H](O)C2)c(Br)c1 ZINC000295601066 534780356 /nfs/dbraw/zinc/78/03/56/534780356.db2.gz NCTKMNCSOXBYMZ-GFCCVEGCSA-N 1 2 311.179 1.514 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCOC[C@H](O)C2)c(Br)c1 ZINC000295601066 534780360 /nfs/dbraw/zinc/78/03/60/534780360.db2.gz NCTKMNCSOXBYMZ-GFCCVEGCSA-N 1 2 311.179 1.514 20 30 DDEDLO C=CC[N@H+](Cc1ccc(CC#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000342757998 526334865 /nfs/dbraw/zinc/33/48/65/526334865.db2.gz XAQHUTPBNNQPHQ-MRXNPFEDSA-N 1 2 304.415 1.928 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(CC#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000342757998 526334866 /nfs/dbraw/zinc/33/48/66/526334866.db2.gz XAQHUTPBNNQPHQ-MRXNPFEDSA-N 1 2 304.415 1.928 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2ccc(C)cc2F)C1 ZINC000330944479 526400339 /nfs/dbraw/zinc/40/03/39/526400339.db2.gz IYBRXGNSUOEVIT-NWDGAFQWSA-N 1 2 322.384 1.669 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2ccc(C)cc2F)C1 ZINC000330944479 526400345 /nfs/dbraw/zinc/40/03/45/526400345.db2.gz IYBRXGNSUOEVIT-NWDGAFQWSA-N 1 2 322.384 1.669 20 30 DDEDLO CC(C)(C)OC(=O)NCC[N@H+](CCO)Cc1ccccc1C#N ZINC000495446979 526460146 /nfs/dbraw/zinc/46/01/46/526460146.db2.gz BHCKZHITKNMFNH-UHFFFAOYSA-N 1 2 319.405 1.877 20 30 DDEDLO CC(C)(C)OC(=O)NCC[N@@H+](CCO)Cc1ccccc1C#N ZINC000495446979 526460149 /nfs/dbraw/zinc/46/01/49/526460149.db2.gz BHCKZHITKNMFNH-UHFFFAOYSA-N 1 2 319.405 1.877 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc([N+](=O)[O-])cc2OC)C1=O ZINC000337221531 526470499 /nfs/dbraw/zinc/47/04/99/526470499.db2.gz LGGAYLGHWPNLQD-CQSZACIVSA-N 1 2 319.361 1.822 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc([N+](=O)[O-])cc2OC)C1=O ZINC000337221531 526470502 /nfs/dbraw/zinc/47/05/02/526470502.db2.gz LGGAYLGHWPNLQD-CQSZACIVSA-N 1 2 319.361 1.822 20 30 DDEDLO C#CC[N@H+](Cc1ccc(CC#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000490933945 526904843 /nfs/dbraw/zinc/90/48/43/526904843.db2.gz GWFKQYYIEOAGFO-MRXNPFEDSA-N 1 2 302.399 1.375 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(CC#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000490933945 526904850 /nfs/dbraw/zinc/90/48/50/526904850.db2.gz GWFKQYYIEOAGFO-MRXNPFEDSA-N 1 2 302.399 1.375 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1cccc2c1CC(=O)CC2 ZINC000491816250 526945512 /nfs/dbraw/zinc/94/55/12/526945512.db2.gz MVEBMUIBGZKHJI-HNNXBMFYSA-N 1 2 311.381 1.999 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1cccc2c1CC(=O)CC2 ZINC000491816250 526945518 /nfs/dbraw/zinc/94/55/18/526945518.db2.gz MVEBMUIBGZKHJI-HNNXBMFYSA-N 1 2 311.381 1.999 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C(C)=O)cc2F)CC1 ZINC000490762764 526951896 /nfs/dbraw/zinc/95/18/96/526951896.db2.gz MRTGXQYIJSDVOJ-UHFFFAOYSA-N 1 2 318.348 1.185 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccoc3)n2CC(C)C)CC1 ZINC000491067545 526956100 /nfs/dbraw/zinc/95/61/00/526956100.db2.gz JCUNDQUEPRLPLU-UHFFFAOYSA-N 1 2 313.405 1.949 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000331356694 527081935 /nfs/dbraw/zinc/08/19/35/527081935.db2.gz SGAWOHUKGHSOBM-UHFFFAOYSA-N 1 2 323.349 1.716 20 30 DDEDLO C#CCn1ccc(CN(CCOC)Cc2c[nH+]cn2CC)n1 ZINC000491746963 527191731 /nfs/dbraw/zinc/19/17/31/527191731.db2.gz ZJCZXUXPKVIQPD-UHFFFAOYSA-N 1 2 301.394 1.381 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000491255825 527205919 /nfs/dbraw/zinc/20/59/19/527205919.db2.gz YEIZEOBWEHVQDW-GFCCVEGCSA-N 1 2 302.378 1.712 20 30 DDEDLO C#C[C@@H](NC(=O)c1ccc(-n2cc[nH+]c2)nn1)c1ccc(F)cc1 ZINC000491807198 527288301 /nfs/dbraw/zinc/28/83/01/527288301.db2.gz SLDKFXGATFYROC-CQSZACIVSA-N 1 2 321.315 1.906 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000491625456 527323649 /nfs/dbraw/zinc/32/36/49/527323649.db2.gz HWZSPSJMVQTOGP-RDJZCZTQSA-N 1 2 315.417 1.723 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000491644201 527331312 /nfs/dbraw/zinc/33/13/12/527331312.db2.gz JFKAGSWKFGZGKD-AWEZNQCLSA-N 1 2 301.390 1.345 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000491644201 527331321 /nfs/dbraw/zinc/33/13/21/527331321.db2.gz JFKAGSWKFGZGKD-AWEZNQCLSA-N 1 2 301.390 1.345 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)N[C@]2(CC(C)(C)OC2(C)C)C1=O ZINC000491641815 527333507 /nfs/dbraw/zinc/33/35/07/527333507.db2.gz UAZILCPTXUQXOE-MEDUHNTESA-N 1 2 307.394 1.166 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)N[C@]2(CC(C)(C)OC2(C)C)C1=O ZINC000491641815 527333511 /nfs/dbraw/zinc/33/35/11/527333511.db2.gz UAZILCPTXUQXOE-MEDUHNTESA-N 1 2 307.394 1.166 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C(=O)OCC ZINC000456609354 527442751 /nfs/dbraw/zinc/44/27/51/527442751.db2.gz AKMCWDVVRVCEEO-CHWSQXEVSA-N 1 2 305.378 1.460 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(c2c[nH+]ccc2C)CC1 ZINC000451424540 527484714 /nfs/dbraw/zinc/48/47/14/527484714.db2.gz FCWRROHJGQPUME-ZBFHGGJFSA-N 1 2 301.390 1.630 20 30 DDEDLO C=CCCn1cc(C(=O)NCCCNc2cccc[nH+]2)nn1 ZINC000424684126 527521613 /nfs/dbraw/zinc/52/16/13/527521613.db2.gz REKIOSKGHGYONU-UHFFFAOYSA-N 1 2 300.366 1.481 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000331477689 527936610 /nfs/dbraw/zinc/93/66/10/527936610.db2.gz MVELVMSVLODGHE-LGWLGOQQSA-N 1 2 307.438 1.929 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000331477689 527936612 /nfs/dbraw/zinc/93/66/12/527936612.db2.gz MVELVMSVLODGHE-LGWLGOQQSA-N 1 2 307.438 1.929 20 30 DDEDLO CC(C)N(C[C@H](C)O)C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000331008560 528927020 /nfs/dbraw/zinc/92/70/20/528927020.db2.gz XBFLFYJDGYIMTN-MJBXVCDLSA-N 1 2 301.431 1.101 20 30 DDEDLO CCN1CCN(C(=O)c2cc(C#N)cn2C)C[C@H]1c1[nH]cc[nH+]1 ZINC000328642247 529133827 /nfs/dbraw/zinc/13/38/27/529133827.db2.gz YJFWLWYTNMRGJZ-AWEZNQCLSA-N 1 2 312.377 1.139 20 30 DDEDLO Nc1ncc(C=[NH+]Nc2ccc(-c3nn[nH]n3)c(Cl)c2)cn1 ZINC000826396096 609474594 /nfs/dbraw/zinc/47/45/94/609474594.db2.gz HALHJOBHDQNDDV-UHFFFAOYSA-N 1 2 315.728 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C2CC(OC)C2)C(C)(C)C1 ZINC000974720336 695718398 /nfs/dbraw/zinc/71/83/98/695718398.db2.gz ULLLQHHQJGPANL-WXRRBKDZSA-N 1 2 300.830 1.991 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(C)noc3C)C2)C1 ZINC000972252825 695191548 /nfs/dbraw/zinc/19/15/48/695191548.db2.gz JSRKHRBJLPBYFQ-QGZVFWFLSA-N 1 2 317.389 1.232 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(C)noc3C)C2)C1 ZINC000972252825 695191549 /nfs/dbraw/zinc/19/15/49/695191549.db2.gz JSRKHRBJLPBYFQ-QGZVFWFLSA-N 1 2 317.389 1.232 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccccc3O)C2)C1 ZINC000972270126 695199057 /nfs/dbraw/zinc/19/90/57/695199057.db2.gz YTNOIBRHGGILAK-GOSISDBHSA-N 1 2 314.385 1.332 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccccc3O)C2)C1 ZINC000972270126 695199059 /nfs/dbraw/zinc/19/90/59/695199059.db2.gz YTNOIBRHGGILAK-GOSISDBHSA-N 1 2 314.385 1.332 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CCCCCC3)C2)C1 ZINC000972290861 695206597 /nfs/dbraw/zinc/20/65/97/695206597.db2.gz IJEAOXDGLZNZBJ-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CCCCCC3)C2)C1 ZINC000972290861 695206598 /nfs/dbraw/zinc/20/65/98/695206598.db2.gz IJEAOXDGLZNZBJ-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)n3cccc3)C2)C1 ZINC000972341947 695221882 /nfs/dbraw/zinc/22/18/82/695221882.db2.gz IWAKRDRPWMHYHA-AEFFLSMTSA-N 1 2 315.417 1.376 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)n3cccc3)C2)C1 ZINC000972341947 695221885 /nfs/dbraw/zinc/22/18/85/695221885.db2.gz IWAKRDRPWMHYHA-AEFFLSMTSA-N 1 2 315.417 1.376 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)ccn3C)C2)C1 ZINC000972358652 695227467 /nfs/dbraw/zinc/22/74/67/695227467.db2.gz SZEDNSUEPOUFJK-SFHVURJKSA-N 1 2 315.417 1.274 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)ccn3C)C2)C1 ZINC000972358652 695227469 /nfs/dbraw/zinc/22/74/69/695227469.db2.gz SZEDNSUEPOUFJK-SFHVURJKSA-N 1 2 315.417 1.274 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc4occc4c3)C2)C1 ZINC000972397481 695239712 /nfs/dbraw/zinc/23/97/12/695239712.db2.gz RSGYABYOHKPXNG-IBGZPJMESA-N 1 2 324.380 1.983 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc4occc4c3)C2)C1 ZINC000972397481 695239714 /nfs/dbraw/zinc/23/97/14/695239714.db2.gz RSGYABYOHKPXNG-IBGZPJMESA-N 1 2 324.380 1.983 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cnc(C)o3)C2)C1 ZINC000972405419 695241498 /nfs/dbraw/zinc/24/14/98/695241498.db2.gz OZTGKLWWQRZMHN-MRXNPFEDSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cnc(C)o3)C2)C1 ZINC000972405419 695241499 /nfs/dbraw/zinc/24/14/99/695241499.db2.gz OZTGKLWWQRZMHN-MRXNPFEDSA-N 1 2 305.378 1.015 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cncs3)C2)C1 ZINC000972420253 695245308 /nfs/dbraw/zinc/24/53/08/695245308.db2.gz QOBUZRFIKRXYFQ-INIZCTEOSA-N 1 2 319.430 1.012 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cncs3)C2)C1 ZINC000972420253 695245311 /nfs/dbraw/zinc/24/53/11/695245311.db2.gz QOBUZRFIKRXYFQ-INIZCTEOSA-N 1 2 319.430 1.012 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C4CC4)CCC3)C2)C1 ZINC000972485264 695261727 /nfs/dbraw/zinc/26/17/27/695261727.db2.gz UNBQAGSCTRPWHK-QGZVFWFLSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C4CC4)CCC3)C2)C1 ZINC000972485264 695261729 /nfs/dbraw/zinc/26/17/29/695261729.db2.gz UNBQAGSCTRPWHK-QGZVFWFLSA-N 1 2 302.418 1.503 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCCCO3)C2)C1 ZINC000972578592 695287938 /nfs/dbraw/zinc/28/79/38/695287938.db2.gz STRNAKANQSBJKO-AEFFLSMTSA-N 1 2 322.449 1.825 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCCCO3)C2)C1 ZINC000972578592 695287940 /nfs/dbraw/zinc/28/79/40/695287940.db2.gz STRNAKANQSBJKO-AEFFLSMTSA-N 1 2 322.449 1.825 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCn3cccc3)C2)C1 ZINC000972614067 695299159 /nfs/dbraw/zinc/29/91/59/695299159.db2.gz IBXVBXFFYPTVKH-QGZVFWFLSA-N 1 2 303.406 1.368 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CCn3cccc3)C2)C1 ZINC000972614067 695299160 /nfs/dbraw/zinc/29/91/60/695299160.db2.gz IBXVBXFFYPTVKH-QGZVFWFLSA-N 1 2 303.406 1.368 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3Cl)C2)C1 ZINC000972647790 695308604 /nfs/dbraw/zinc/30/86/04/695308604.db2.gz UHYJPWKBILWMTL-MRXNPFEDSA-N 1 2 321.808 1.838 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3Cl)C2)C1 ZINC000972647790 695308606 /nfs/dbraw/zinc/30/86/06/695308606.db2.gz UHYJPWKBILWMTL-MRXNPFEDSA-N 1 2 321.808 1.838 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccc(C)c3C)C2)C1 ZINC000972661813 695312274 /nfs/dbraw/zinc/31/22/74/695312274.db2.gz KPSXSOPKOZQYNB-LJQANCHMSA-N 1 2 312.413 1.854 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccc(C)c3C)C2)C1 ZINC000972661813 695312276 /nfs/dbraw/zinc/31/22/76/695312276.db2.gz KPSXSOPKOZQYNB-LJQANCHMSA-N 1 2 312.413 1.854 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2nccc(C)n2)C(C)(C)C1 ZINC000974502299 695681500 /nfs/dbraw/zinc/68/15/00/695681500.db2.gz ZFKVCFYIGVSFHT-LBPRGKRZSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2nccc(C)n2)C(C)(C)C1 ZINC000974502299 695681502 /nfs/dbraw/zinc/68/15/02/695681502.db2.gz ZFKVCFYIGVSFHT-LBPRGKRZSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@H]2CCCNC2=O)C(C)(C)C1 ZINC000974696394 695713725 /nfs/dbraw/zinc/71/37/25/695713725.db2.gz YCUZTKHKVPSTAB-NEPJUHHUSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@H]2CCCNC2=O)C(C)(C)C1 ZINC000974696394 695713726 /nfs/dbraw/zinc/71/37/26/695713726.db2.gz YCUZTKHKVPSTAB-NEPJUHHUSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C2CC(OC)C2)C(C)(C)C1 ZINC000974720336 695718397 /nfs/dbraw/zinc/71/83/97/695718397.db2.gz ULLLQHHQJGPANL-WXRRBKDZSA-N 1 2 300.830 1.991 20 30 DDEDLO C#CCCS(=O)(=O)NC[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000798226081 700050949 /nfs/dbraw/zinc/05/09/49/700050949.db2.gz YDJRTLVONFSFEA-OAHLLOKOSA-N 1 2 316.467 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@]2(C)CCOC2)C(C)(C)C1 ZINC000977403643 696146698 /nfs/dbraw/zinc/14/66/98/696146698.db2.gz OIYHSDDDXYJICM-DOMZBBRYSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@]2(C)CCOC2)C(C)(C)C1 ZINC000977403643 696146700 /nfs/dbraw/zinc/14/67/00/696146700.db2.gz OIYHSDDDXYJICM-DOMZBBRYSA-N 1 2 300.830 1.992 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2cnc(COC)s2)C(C)(C)C1 ZINC000977671847 696251022 /nfs/dbraw/zinc/25/10/22/696251022.db2.gz WZUTVZMAXXDOBH-ZDUSSCGKSA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2cnc(COC)s2)C(C)(C)C1 ZINC000977671847 696251025 /nfs/dbraw/zinc/25/10/25/696251025.db2.gz WZUTVZMAXXDOBH-ZDUSSCGKSA-N 1 2 321.446 1.753 20 30 DDEDLO CN(C)c1ccc(CNC(=O)C[NH+]2CCC(C#N)CC2)cc1 ZINC000057906379 696300510 /nfs/dbraw/zinc/30/05/10/696300510.db2.gz IRBYTEDOIJVZJO-UHFFFAOYSA-N 1 2 300.406 1.604 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCc2nnc(C)n2C2CC2)cc1 ZINC000091595389 696590101 /nfs/dbraw/zinc/59/01/01/696590101.db2.gz UVBMOKABVBONNH-UHFFFAOYSA-N 1 2 315.377 1.962 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[NH+]2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000093706535 696601458 /nfs/dbraw/zinc/60/14/58/696601458.db2.gz VQRSXSDEFPSNLU-KGLIPLIRSA-N 1 2 317.389 1.002 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cc2cc(CC)nn2C)CC1 ZINC000981662238 696863916 /nfs/dbraw/zinc/86/39/16/696863916.db2.gz UJIFIJOYVCDGDV-UHFFFAOYSA-N 1 2 324.856 1.812 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cc2cc(CC)nn2C)CC1 ZINC000981662238 696863919 /nfs/dbraw/zinc/86/39/19/696863919.db2.gz UJIFIJOYVCDGDV-UHFFFAOYSA-N 1 2 324.856 1.812 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CCC[N@H+](Cc1nccn1C)C2 ZINC000981670042 696868154 /nfs/dbraw/zinc/86/81/54/696868154.db2.gz GTGXLDNXVXJGAD-CYBMUJFWSA-N 1 2 301.394 1.004 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CCC[N@@H+](Cc1nccn1C)C2 ZINC000981670042 696868156 /nfs/dbraw/zinc/86/81/56/696868156.db2.gz GTGXLDNXVXJGAD-CYBMUJFWSA-N 1 2 301.394 1.004 20 30 DDEDLO Cc1cc(C(=O)N2CCC[N@H+](Cc3cccc(C#N)c3)CC2)n[nH]1 ZINC000980773107 696885308 /nfs/dbraw/zinc/88/53/08/696885308.db2.gz ILCXXRGWBRJRBP-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO Cc1cc(C(=O)N2CCC[N@@H+](Cc3cccc(C#N)c3)CC2)n[nH]1 ZINC000980773107 696885311 /nfs/dbraw/zinc/88/53/11/696885311.db2.gz ILCXXRGWBRJRBP-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCC3(CN(C(=O)C#CC4CC4)C3)C2)o1 ZINC000981759227 696904943 /nfs/dbraw/zinc/90/49/43/696904943.db2.gz OVDCNTJXFQSSBK-UHFFFAOYSA-N 1 2 313.401 1.821 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCC3(CN(C(=O)C#CC4CC4)C3)C2)o1 ZINC000981759227 696904944 /nfs/dbraw/zinc/90/49/44/696904944.db2.gz OVDCNTJXFQSSBK-UHFFFAOYSA-N 1 2 313.401 1.821 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CCC[N@H+](Cc1nccs1)C2 ZINC000981759629 696905252 /nfs/dbraw/zinc/90/52/52/696905252.db2.gz XEKRVKRLDNHWOA-UHFFFAOYSA-N 1 2 315.442 1.981 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CCC[N@@H+](Cc1nccs1)C2 ZINC000981759629 696905255 /nfs/dbraw/zinc/90/52/55/696905255.db2.gz XEKRVKRLDNHWOA-UHFFFAOYSA-N 1 2 315.442 1.981 20 30 DDEDLO COc1cc(C[N@@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)ccn1 ZINC000980848498 696917333 /nfs/dbraw/zinc/91/73/33/696917333.db2.gz YYJXLNQTYWZSDT-CYBMUJFWSA-N 1 2 302.378 1.284 20 30 DDEDLO COc1cc(C[N@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)ccn1 ZINC000980848498 696917336 /nfs/dbraw/zinc/91/73/36/696917336.db2.gz YYJXLNQTYWZSDT-CYBMUJFWSA-N 1 2 302.378 1.284 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)c2cccc(=O)n2C)CC1 ZINC000981926526 696971657 /nfs/dbraw/zinc/97/16/57/696971657.db2.gz JBXYMHAKSGWSPI-UHFFFAOYSA-N 1 2 309.797 1.286 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)c2cccc(=O)n2C)CC1 ZINC000981926526 696971659 /nfs/dbraw/zinc/97/16/59/696971659.db2.gz JBXYMHAKSGWSPI-UHFFFAOYSA-N 1 2 309.797 1.286 20 30 DDEDLO N#CCN[C@@H]1CCC[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC000981103892 697005589 /nfs/dbraw/zinc/00/55/89/697005589.db2.gz WPSINLVQDJSOEB-KGLIPLIRSA-N 1 2 315.421 1.302 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CCCN(C(=O)C#CC3CC3)CC2)cn1 ZINC000981126119 697011462 /nfs/dbraw/zinc/01/14/62/697011462.db2.gz POTTZAFJVSTDEU-HNNXBMFYSA-N 1 2 312.417 1.794 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CCCN(C(=O)C#CC3CC3)CC2)cn1 ZINC000981126119 697011464 /nfs/dbraw/zinc/01/14/64/697011464.db2.gz POTTZAFJVSTDEU-HNNXBMFYSA-N 1 2 312.417 1.794 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCC[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC000981312997 697063513 /nfs/dbraw/zinc/06/35/13/697063513.db2.gz ATUFZZQMXVMQMB-UWVGGRQHSA-N 1 2 300.765 1.932 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cc(C(F)(F)F)no2)CC1 ZINC000981393402 697084073 /nfs/dbraw/zinc/08/40/73/697084073.db2.gz QSKRZSYPKZGIKP-UHFFFAOYSA-N 1 2 301.268 1.475 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cc(C(F)(F)F)no2)CC1 ZINC000981393402 697084076 /nfs/dbraw/zinc/08/40/76/697084076.db2.gz QSKRZSYPKZGIKP-UHFFFAOYSA-N 1 2 301.268 1.475 20 30 DDEDLO CS(=O)(=O)CCNN=C1CCCC[C@@H]1[NH+]1CCCCC1 ZINC000748788219 700135002 /nfs/dbraw/zinc/13/50/02/700135002.db2.gz PJKQQIFVILIILY-AWEZNQCLSA-N 1 2 301.456 1.405 20 30 DDEDLO COC(=O)CCCc1nc(CO[NH+]=C(N)c2ccccc2)no1 ZINC000171891178 697362997 /nfs/dbraw/zinc/36/29/97/697362997.db2.gz VZOZLEGYCGGCQN-UHFFFAOYSA-N 1 2 318.333 1.402 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC000984460949 697387600 /nfs/dbraw/zinc/38/76/00/697387600.db2.gz XQTPDQITRQOPQY-CQSZACIVSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(OC)cc1)CN1CC(=O)N(C)C1=O ZINC000182271176 697472281 /nfs/dbraw/zinc/47/22/81/697472281.db2.gz FNKYIZWOTJVCTC-UHFFFAOYSA-N 1 2 303.362 1.535 20 30 DDEDLO C=CC[N@H+](Cc1ccc(OC)cc1)CN1CC(=O)N(C)C1=O ZINC000182271176 697472283 /nfs/dbraw/zinc/47/22/83/697472283.db2.gz FNKYIZWOTJVCTC-UHFFFAOYSA-N 1 2 303.362 1.535 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C2CCN(C(=O)[C@@H](C)C#N)CC2)s1 ZINC000985331168 697491735 /nfs/dbraw/zinc/49/17/35/697491735.db2.gz DATDSQXLKJIXRN-JTQLQIEISA-N 1 2 307.423 1.429 20 30 DDEDLO Cc1nnc(C[N@H+](C)C2CCN(C(=O)[C@@H](C)C#N)CC2)s1 ZINC000985331168 697491736 /nfs/dbraw/zinc/49/17/36/697491736.db2.gz DATDSQXLKJIXRN-JTQLQIEISA-N 1 2 307.423 1.429 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(CC#Cc2ccc(F)cc2)CC1 ZINC000749334822 700157144 /nfs/dbraw/zinc/15/71/44/700157144.db2.gz GIHARLQCPUONNC-NRFANRHFSA-N 1 2 308.422 1.173 20 30 DDEDLO CCCCCCCS(=O)(=O)NCC[NH+]1CCSCC1 ZINC000799771868 700165665 /nfs/dbraw/zinc/16/56/65/700165665.db2.gz RMIHANGQHGQRAT-UHFFFAOYSA-N 1 2 308.513 1.925 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(C)Cc1ccc([S@@](C)=O)cc1 ZINC000749468740 700166422 /nfs/dbraw/zinc/16/64/22/700166422.db2.gz QFJZBSVNHPARKC-KSFYIVLOSA-N 1 2 318.442 1.480 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)Cc1ccc([S@@](C)=O)cc1 ZINC000749468740 700166425 /nfs/dbraw/zinc/16/64/25/700166425.db2.gz QFJZBSVNHPARKC-KSFYIVLOSA-N 1 2 318.442 1.480 20 30 DDEDLO C[C@@H]1[C@@H]([NH2+]Cc2cnsn2)CCN1C(=O)c1c[nH]c(C#N)c1 ZINC000986185143 697724093 /nfs/dbraw/zinc/72/40/93/697724093.db2.gz NQKAJMGPBNGYDN-RNCFNFMXSA-N 1 2 316.390 1.131 20 30 DDEDLO Cc1cc(-n2c(C)cc(C=NNC3=[NH+]C[C@H](C)N3)c2C)no1 ZINC000779795202 698466366 /nfs/dbraw/zinc/46/63/66/698466366.db2.gz PSJFLTWKXQHQGH-VIFPVBQESA-N 1 2 300.366 1.662 20 30 DDEDLO COc1ccc(C[N@H+](C)Cn2cccc(C#N)c2=O)cc1F ZINC000750607945 700234157 /nfs/dbraw/zinc/23/41/57/700234157.db2.gz LFEMJYWMKCXNRQ-UHFFFAOYSA-N 1 2 301.321 1.957 20 30 DDEDLO COc1ccc(C[N@@H+](C)Cn2cccc(C#N)c2=O)cc1F ZINC000750607945 700234161 /nfs/dbraw/zinc/23/41/61/700234161.db2.gz LFEMJYWMKCXNRQ-UHFFFAOYSA-N 1 2 301.321 1.957 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)N2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000780848118 698562929 /nfs/dbraw/zinc/56/29/29/698562929.db2.gz JZOGJCOCSUACEN-KRWDZBQOSA-N 1 2 322.368 1.335 20 30 DDEDLO COC(C[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1)OC ZINC000781909589 698660647 /nfs/dbraw/zinc/66/06/47/698660647.db2.gz QMYWRCITZZQSTO-HNNXBMFYSA-N 1 2 307.369 1.627 20 30 DDEDLO C[C@@H]1CN(C)C(=O)C[N@@H+]1CCC(=O)Nc1ccccc1C#N ZINC000782597107 698746650 /nfs/dbraw/zinc/74/66/50/698746650.db2.gz PFVYOEQGBJTDPJ-GFCCVEGCSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@@H]1CN(C)C(=O)C[N@H+]1CCC(=O)Nc1ccccc1C#N ZINC000782597107 698746655 /nfs/dbraw/zinc/74/66/55/698746655.db2.gz PFVYOEQGBJTDPJ-GFCCVEGCSA-N 1 2 300.362 1.049 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2CCC(=O)N(C)C2)CC1 ZINC000989744946 698748681 /nfs/dbraw/zinc/74/86/81/698748681.db2.gz IUNDLYZSBMKWPA-CYBMUJFWSA-N 1 2 313.829 1.142 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC(=O)N(C)C2)CC1 ZINC000989744946 698748684 /nfs/dbraw/zinc/74/86/84/698748684.db2.gz IUNDLYZSBMKWPA-CYBMUJFWSA-N 1 2 313.829 1.142 20 30 DDEDLO S=c1[nH][nH]c(=S)n1N=Cc1cc(-n2cc[nH+]c2)cs1 ZINC000783815852 698884522 /nfs/dbraw/zinc/88/45/22/698884522.db2.gz JDKGXRIRCBVFSF-UHFFFAOYSA-N 1 2 308.417 1.985 20 30 DDEDLO C#CCOCCNC(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000784192780 698915403 /nfs/dbraw/zinc/91/54/03/698915403.db2.gz GLMBOBHZKXYAOK-UHFFFAOYSA-N 1 2 316.405 1.521 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(NC(=O)[C@@H]3CCCc4[nH]ncc43)CCC[C@@H]12 ZINC000990686078 699131407 /nfs/dbraw/zinc/13/14/07/699131407.db2.gz NJSQQZGOCLJHRL-MZMPZRCHSA-N 1 2 312.417 1.576 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(NC(=O)[C@@H]3CCCc4[nH]ncc43)CCC[C@@H]12 ZINC000990686078 699131410 /nfs/dbraw/zinc/13/14/10/699131410.db2.gz NJSQQZGOCLJHRL-MZMPZRCHSA-N 1 2 312.417 1.576 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H](O)C[NH+]2CCOCC2)CCCCC1 ZINC000788515063 699320486 /nfs/dbraw/zinc/32/04/86/699320486.db2.gz PUTBQRQJLJGRIR-MRXNPFEDSA-N 1 2 322.449 1.112 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(-c2nncn2C)c1 ZINC000730097212 699500501 /nfs/dbraw/zinc/50/05/01/699500501.db2.gz HSYIFKVIHSUDSK-HNNXBMFYSA-N 1 2 309.373 1.518 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(-c2nncn2C)c1 ZINC000730097212 699500503 /nfs/dbraw/zinc/50/05/03/699500503.db2.gz HSYIFKVIHSUDSK-HNNXBMFYSA-N 1 2 309.373 1.518 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)cn1 ZINC000732127621 699547341 /nfs/dbraw/zinc/54/73/41/699547341.db2.gz LYZOAZLTPUHSMZ-KRWDZBQOSA-N 1 2 313.401 1.390 20 30 DDEDLO C[C@H]1CC(=O)N(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793606506 699767195 /nfs/dbraw/zinc/76/71/95/699767195.db2.gz IVSSRZYRTXLHOL-JSGCOSHPSA-N 1 2 300.362 1.232 20 30 DDEDLO C[C@H]1CC(=O)N(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793606506 699767197 /nfs/dbraw/zinc/76/71/97/699767197.db2.gz IVSSRZYRTXLHOL-JSGCOSHPSA-N 1 2 300.362 1.232 20 30 DDEDLO C[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)NC1(C#N)CCCCC1 ZINC000741146204 699817275 /nfs/dbraw/zinc/81/72/75/699817275.db2.gz DLMYCUHEFGEGTL-CYBMUJFWSA-N 1 2 307.394 1.357 20 30 DDEDLO C[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)NC1(C#N)CCCCC1 ZINC000741146204 699817278 /nfs/dbraw/zinc/81/72/78/699817278.db2.gz DLMYCUHEFGEGTL-CYBMUJFWSA-N 1 2 307.394 1.357 20 30 DDEDLO CCc1ccc(N2CC[NH+](CC(=O)NCCC#N)CC2)cc1 ZINC000743866314 699929359 /nfs/dbraw/zinc/92/93/59/699929359.db2.gz CFJWIQSNQSZFFD-UHFFFAOYSA-N 1 2 300.406 1.401 20 30 DDEDLO COC[C@H]1CCC[N@@H+]1Cc1nc2ccccc2c(=O)n1CC#N ZINC000752324486 700356877 /nfs/dbraw/zinc/35/68/77/700356877.db2.gz FSYIFDAYQGRDRV-CYBMUJFWSA-N 1 2 312.373 1.531 20 30 DDEDLO COC[C@H]1CCC[N@H+]1Cc1nc2ccccc2c(=O)n1CC#N ZINC000752324486 700356879 /nfs/dbraw/zinc/35/68/79/700356879.db2.gz FSYIFDAYQGRDRV-CYBMUJFWSA-N 1 2 312.373 1.531 20 30 DDEDLO Cc1nn(C)cc1[C@@H]1CCC[N@@H+]1Cn1ccc(C)c(C#N)c1=O ZINC000758234090 700692669 /nfs/dbraw/zinc/69/26/69/700692669.db2.gz BTBVUHYYQBELRM-INIZCTEOSA-N 1 2 311.389 1.865 20 30 DDEDLO Cc1nn(C)cc1[C@@H]1CCC[N@H+]1Cn1ccc(C)c(C#N)c1=O ZINC000758234090 700692671 /nfs/dbraw/zinc/69/26/71/700692671.db2.gz BTBVUHYYQBELRM-INIZCTEOSA-N 1 2 311.389 1.865 20 30 DDEDLO N#CCC[C@H](C#N)C[N@H+]1CC[C@@H](N2CC(=O)Nc3ccccc32)C1 ZINC000759898279 700780458 /nfs/dbraw/zinc/78/04/58/700780458.db2.gz KPJWJZSFQIIZOK-HUUCEWRRSA-N 1 2 323.400 1.963 20 30 DDEDLO N#CCC[C@H](C#N)C[N@@H+]1CC[C@@H](N2CC(=O)Nc3ccccc32)C1 ZINC000759898279 700780460 /nfs/dbraw/zinc/78/04/60/700780460.db2.gz KPJWJZSFQIIZOK-HUUCEWRRSA-N 1 2 323.400 1.963 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](CCCN3CCOC3=O)CCO2)c1 ZINC000761759579 700868930 /nfs/dbraw/zinc/86/89/30/700868930.db2.gz YYZLTJOZPJEHNH-MRXNPFEDSA-N 1 2 315.373 1.774 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](CCCN3CCOC3=O)CCO2)c1 ZINC000761759579 700868932 /nfs/dbraw/zinc/86/89/32/700868932.db2.gz YYZLTJOZPJEHNH-MRXNPFEDSA-N 1 2 315.373 1.774 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCOC[C@@H]1CCO ZINC000762335828 700889791 /nfs/dbraw/zinc/88/97/91/700889791.db2.gz ZBHJMKANKHBNKE-AWEZNQCLSA-N 1 2 306.362 1.029 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCOC[C@@H]1CCO ZINC000762335828 700889792 /nfs/dbraw/zinc/88/97/92/700889792.db2.gz ZBHJMKANKHBNKE-AWEZNQCLSA-N 1 2 306.362 1.029 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1ccccc1C(=O)NC1CC1)C1CC1 ZINC000763958024 700955151 /nfs/dbraw/zinc/95/51/51/700955151.db2.gz QDDQRCWPAOMTCV-UHFFFAOYSA-N 1 2 311.385 1.615 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1ccccc1C(=O)NC1CC1)C1CC1 ZINC000763958024 700955153 /nfs/dbraw/zinc/95/51/53/700955153.db2.gz QDDQRCWPAOMTCV-UHFFFAOYSA-N 1 2 311.385 1.615 20 30 DDEDLO COC(=O)C[C@H]1CCC[N@@H+]1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766633772 701057537 /nfs/dbraw/zinc/05/75/37/701057537.db2.gz SHTWATVSCGKKKA-CYBMUJFWSA-N 1 2 315.373 1.194 20 30 DDEDLO COC(=O)C[C@H]1CCC[N@H+]1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766633772 701057539 /nfs/dbraw/zinc/05/75/39/701057539.db2.gz SHTWATVSCGKKKA-CYBMUJFWSA-N 1 2 315.373 1.194 20 30 DDEDLO C[N@H+](CCc1nccs1)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766634726 701057751 /nfs/dbraw/zinc/05/77/51/701057751.db2.gz YTAFJRRHTALNHB-UHFFFAOYSA-N 1 2 314.414 1.797 20 30 DDEDLO C[N@@H+](CCc1nccs1)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766634726 701057752 /nfs/dbraw/zinc/05/77/52/701057752.db2.gz YTAFJRRHTALNHB-UHFFFAOYSA-N 1 2 314.414 1.797 20 30 DDEDLO N#Cc1ccc(OCC(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000767417435 701105491 /nfs/dbraw/zinc/10/54/91/701105491.db2.gz NZJMERATTAGTTC-IYBDPMFKSA-N 1 2 316.357 1.343 20 30 DDEDLO N#Cc1ccc(OCC(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000767417435 701105492 /nfs/dbraw/zinc/10/54/92/701105492.db2.gz NZJMERATTAGTTC-IYBDPMFKSA-N 1 2 316.357 1.343 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2cccc(F)c2C#N)[C@@H](C)C[N@@H+]1C ZINC000768561373 701187168 /nfs/dbraw/zinc/18/71/68/701187168.db2.gz IBHZJQRMSGPRQZ-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2cccc(F)c2C#N)[C@@H](C)C[N@H+]1C ZINC000768561373 701187169 /nfs/dbraw/zinc/18/71/69/701187169.db2.gz IBHZJQRMSGPRQZ-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO Cc1cc(C)c(C#N)c(N2CC[NH+](CC[S@](C)=O)CC2)n1 ZINC000771146951 701311199 /nfs/dbraw/zinc/31/11/99/701311199.db2.gz ODHPGAVVQZPKGI-NRFANRHFSA-N 1 2 306.435 1.071 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2cc(C)n(C)c2C)CC1 ZINC000772122044 701343942 /nfs/dbraw/zinc/34/39/42/701343942.db2.gz POUWCRGIZLQJNB-UHFFFAOYSA-N 1 2 316.401 1.713 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCC#Cc2ccccc2Cl)C1 ZINC000805469720 701383462 /nfs/dbraw/zinc/38/34/62/701383462.db2.gz PBNVZOBBWVQSGJ-HNNXBMFYSA-N 1 2 307.777 1.955 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCC#Cc2ccccc2Cl)C1 ZINC000805469720 701383463 /nfs/dbraw/zinc/38/34/63/701383463.db2.gz PBNVZOBBWVQSGJ-HNNXBMFYSA-N 1 2 307.777 1.955 20 30 DDEDLO C#C[C@H](C)NC(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000806184790 701429052 /nfs/dbraw/zinc/42/90/52/701429052.db2.gz MDTPCXUHRNRTLG-ZDUSSCGKSA-N 1 2 314.389 1.217 20 30 DDEDLO C#Cc1cncc(C(=O)NCCc2cn3cccc(C)c3[nH+]2)c1 ZINC000806985585 701457330 /nfs/dbraw/zinc/45/73/30/701457330.db2.gz CAWGNBIDYVHGCT-UHFFFAOYSA-N 1 2 304.353 1.992 20 30 DDEDLO N#Cc1cnn(C(=O)Cc2c[nH+]cn2Cc2ccccc2)c1N ZINC000810203919 701743060 /nfs/dbraw/zinc/74/30/60/701743060.db2.gz VTNKJHVLPNBOKN-UHFFFAOYSA-N 1 2 306.329 1.465 20 30 DDEDLO Cn1nncc1N[NH+]=Cc1ccc(N2CCOCC2)c(F)c1 ZINC000814982960 701779869 /nfs/dbraw/zinc/77/98/69/701779869.db2.gz WEXLLPDBKQKGJL-UHFFFAOYSA-N 1 2 304.329 1.237 20 30 DDEDLO CC[C@H](C#N)Oc1cc(C[NH2+]Cc2cnn(C)n2)ccc1OC ZINC000815126938 701807595 /nfs/dbraw/zinc/80/75/95/701807595.db2.gz SVLLYIAHLIHHRW-CQSZACIVSA-N 1 2 315.377 1.794 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@H](C)O[C@@]3(CCO[C@@H]3C)C2)C1=O ZINC000840066203 701949312 /nfs/dbraw/zinc/94/93/12/701949312.db2.gz VCRQEOPTJWLEPT-LZWOXQAQSA-N 1 2 309.410 1.136 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@H](C)O[C@@]3(CCO[C@@H]3C)C2)C1=O ZINC000840066203 701949319 /nfs/dbraw/zinc/94/93/19/701949319.db2.gz VCRQEOPTJWLEPT-LZWOXQAQSA-N 1 2 309.410 1.136 20 30 DDEDLO CN(C)S(=O)(=O)c1ccc(N[NH+]=Cc2ccc(N)nc2)cc1 ZINC000812231961 702128999 /nfs/dbraw/zinc/12/89/99/702128999.db2.gz MKBUHYIEWVNLBN-UHFFFAOYSA-N 1 2 319.390 1.360 20 30 DDEDLO C[N@@H+](CC(=O)Nc1nccs1)C[C@H](O)c1cccc(C#N)c1 ZINC000844366019 703020174 /nfs/dbraw/zinc/02/01/74/703020174.db2.gz KSDPORNOGZUUCU-ZDUSSCGKSA-N 1 2 316.386 1.619 20 30 DDEDLO C[N@H+](CC(=O)Nc1nccs1)C[C@H](O)c1cccc(C#N)c1 ZINC000844366019 703020176 /nfs/dbraw/zinc/02/01/76/703020176.db2.gz KSDPORNOGZUUCU-ZDUSSCGKSA-N 1 2 316.386 1.619 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845276123 703137561 /nfs/dbraw/zinc/13/75/61/703137561.db2.gz IGPXMRISIFBGGB-HEHGZKQESA-N 1 2 320.393 1.820 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+](CCN2CCOC2=O)CC1 ZINC000879589229 706729597 /nfs/dbraw/zinc/72/95/97/706729597.db2.gz RHISCHPMBWZTNT-UHFFFAOYSA-N 1 2 318.352 1.272 20 30 DDEDLO C#C[C@H]1CCCN(C(=O)C[NH+]2CCN(c3ccccn3)CC2)C1 ZINC000848285088 703535927 /nfs/dbraw/zinc/53/59/27/703535927.db2.gz QEHKEFFXKINYAA-INIZCTEOSA-N 1 2 312.417 1.075 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](C[C@@H]2COc3ccccc3O2)CC1 ZINC000831687199 706753615 /nfs/dbraw/zinc/75/36/15/706753615.db2.gz KULDJCIJBLEJGP-CYBMUJFWSA-N 1 2 316.357 1.605 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)OCc1cc[nH+]c(N(C)C)c1 ZINC000849962171 703692236 /nfs/dbraw/zinc/69/22/36/703692236.db2.gz POUSHXWOUYUXAK-CQSZACIVSA-N 1 2 305.378 1.662 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH2+][C@@H](c2cccc(OC)c2)C1 ZINC000870149013 703931667 /nfs/dbraw/zinc/93/16/67/703931667.db2.gz ASOLHWVHFIWHLA-MRXNPFEDSA-N 1 2 304.390 1.761 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1ccn2cc[nH+]c2c1)C1CCOCC1 ZINC000852642936 704090776 /nfs/dbraw/zinc/09/07/76/704090776.db2.gz QWOYHGZNSHINCZ-OAHLLOKOSA-N 1 2 312.373 1.562 20 30 DDEDLO COc1cc(C(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)ccc1C#N ZINC000855406077 704482812 /nfs/dbraw/zinc/48/28/12/704482812.db2.gz BMBKYTRKVFNDEU-STQMWFEESA-N 1 2 317.389 1.406 20 30 DDEDLO COc1cc(C(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)ccc1C#N ZINC000855406077 704482813 /nfs/dbraw/zinc/48/28/13/704482813.db2.gz BMBKYTRKVFNDEU-STQMWFEESA-N 1 2 317.389 1.406 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2cc(C#N)ccc2F)[C@@H](C)CO1 ZINC000855408668 704483199 /nfs/dbraw/zinc/48/31/99/704483199.db2.gz XGPCVWQIBOGRGI-NWDGAFQWSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2cc(C#N)ccc2F)[C@@H](C)CO1 ZINC000855408668 704483200 /nfs/dbraw/zinc/48/32/00/704483200.db2.gz XGPCVWQIBOGRGI-NWDGAFQWSA-N 1 2 305.353 1.536 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000855498209 704488360 /nfs/dbraw/zinc/48/83/60/704488360.db2.gz QRVWXGLXJCYATL-ZDUSSCGKSA-N 1 2 305.378 1.638 20 30 DDEDLO C#C[C@@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C1CCOCC1 ZINC000856120385 704515017 /nfs/dbraw/zinc/51/50/17/704515017.db2.gz PAKXUNUKTGTOOR-OAHLLOKOSA-N 1 2 301.390 1.307 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858017365 704659939 /nfs/dbraw/zinc/65/99/39/704659939.db2.gz OHBQYNAMDPOVJN-HNNXBMFYSA-N 1 2 314.389 1.442 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858017365 704659941 /nfs/dbraw/zinc/65/99/41/704659941.db2.gz OHBQYNAMDPOVJN-HNNXBMFYSA-N 1 2 314.389 1.442 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000858133193 704669257 /nfs/dbraw/zinc/66/92/57/704669257.db2.gz RBDNHZAOQGZAPH-CQSZACIVSA-N 1 2 318.421 1.490 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[NH2+][C@@H](c2ccc(F)cc2)C1 ZINC000874892800 705220826 /nfs/dbraw/zinc/22/08/26/705220826.db2.gz LSPLVZOZVKYTHO-OAHLLOKOSA-N 1 2 312.348 1.823 20 30 DDEDLO Cc1cc(F)c(C#N)cc1NC(=O)[C@@H](c1c[nH+]cn1C)N(C)C ZINC000874901800 705222785 /nfs/dbraw/zinc/22/27/85/705222785.db2.gz XLVBSJYISVCQQO-OAHLLOKOSA-N 1 2 315.352 1.981 20 30 DDEDLO C#CCNC(=O)c1ccccc1NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000824171354 705389920 /nfs/dbraw/zinc/38/99/20/705389920.db2.gz NEZODWFVKNXPEN-CYBMUJFWSA-N 1 2 322.368 1.447 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000875635455 705458100 /nfs/dbraw/zinc/45/81/00/705458100.db2.gz CIUYQMFMMHJGGB-KKUMJFAQSA-N 1 2 308.426 1.479 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000875635455 705458102 /nfs/dbraw/zinc/45/81/02/705458102.db2.gz CIUYQMFMMHJGGB-KKUMJFAQSA-N 1 2 308.426 1.479 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@H+]1CCOC[C@H]1CC ZINC000875727108 705490611 /nfs/dbraw/zinc/49/06/11/705490611.db2.gz VXEGYDNVYUGBSR-CQSZACIVSA-N 1 2 315.483 1.706 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@@H+]1CCOC[C@H]1CC ZINC000875727108 705490613 /nfs/dbraw/zinc/49/06/13/705490613.db2.gz VXEGYDNVYUGBSR-CQSZACIVSA-N 1 2 315.483 1.706 20 30 DDEDLO COc1cc(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)ccc1C#N ZINC000825184926 705619300 /nfs/dbraw/zinc/61/93/00/705619300.db2.gz CWTCXPTWDUKNIU-UHFFFAOYSA-N 1 2 317.389 1.407 20 30 DDEDLO COc1cc(C(=O)NCC[N@H+]2CCOC(C)(C)C2)ccc1C#N ZINC000825184926 705619303 /nfs/dbraw/zinc/61/93/03/705619303.db2.gz CWTCXPTWDUKNIU-UHFFFAOYSA-N 1 2 317.389 1.407 20 30 DDEDLO C#C[C@H](NC(=O)N[C@H](C)C[NH+]1CCOCC1)c1ccccc1 ZINC000825660699 705709721 /nfs/dbraw/zinc/70/97/21/705709721.db2.gz XCWODPANBQMRNO-ZBFHGGJFSA-N 1 2 301.390 1.381 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+]1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC000876652746 705803366 /nfs/dbraw/zinc/80/33/66/705803366.db2.gz ROWCVYLYVNLAGS-RDJZCZTQSA-N 1 2 312.417 1.867 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+]1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC000876652746 705803372 /nfs/dbraw/zinc/80/33/72/705803372.db2.gz ROWCVYLYVNLAGS-RDJZCZTQSA-N 1 2 312.417 1.867 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[NH2+]C[C@@H]2C(F)F)c(C#N)c1 ZINC000871806692 707211846 /nfs/dbraw/zinc/21/18/46/707211846.db2.gz GBSMYEZVSRECFC-LLVKDONJSA-N 1 2 315.345 1.094 20 30 DDEDLO N#C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)CCN1Cc1ccccc1 ZINC000827799254 706068917 /nfs/dbraw/zinc/06/89/17/706068917.db2.gz MWRIFYCJVGAONP-HNNXBMFYSA-N 1 2 309.373 1.189 20 30 DDEDLO C#Cc1cccc(CNC(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)c1 ZINC000836314943 707438915 /nfs/dbraw/zinc/43/89/15/707438915.db2.gz ABUQOZHHPBDNBY-GJZGRUSLSA-N 1 2 315.417 1.576 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1C[C@@H](C)[C@H](NC(=O)OC(C)(C)C)C1 ZINC000878518814 706414366 /nfs/dbraw/zinc/41/43/66/706414366.db2.gz ZZWBMSCUVYUBAZ-JHJVBQTASA-N 1 2 312.410 1.949 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1C[C@@H](C)[C@H](NC(=O)OC(C)(C)C)C1 ZINC000878518814 706414369 /nfs/dbraw/zinc/41/43/69/706414369.db2.gz ZZWBMSCUVYUBAZ-JHJVBQTASA-N 1 2 312.410 1.949 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCc2ccc(NC(C)=O)cc2C1 ZINC000880029261 706857555 /nfs/dbraw/zinc/85/75/55/706857555.db2.gz TVPHXOPZTORYHT-GFCCVEGCSA-N 1 2 301.390 1.694 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCc2ccc(NC(C)=O)cc2C1 ZINC000880029261 706857557 /nfs/dbraw/zinc/85/75/57/706857557.db2.gz TVPHXOPZTORYHT-GFCCVEGCSA-N 1 2 301.390 1.694 20 30 DDEDLO N#Cc1ccc(C[N@@H+](CC(N)=O)C2CCOCC2)c(Cl)c1 ZINC000880395900 706963673 /nfs/dbraw/zinc/96/36/73/706963673.db2.gz SIODROQPFUTPEK-UHFFFAOYSA-N 1 2 307.781 1.678 20 30 DDEDLO N#Cc1ccc(C[N@H+](CC(N)=O)C2CCOCC2)c(Cl)c1 ZINC000880395900 706963674 /nfs/dbraw/zinc/96/36/74/706963674.db2.gz SIODROQPFUTPEK-UHFFFAOYSA-N 1 2 307.781 1.678 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)Nc2ccccc2OCC)CC1 ZINC000880479063 706986646 /nfs/dbraw/zinc/98/66/46/706986646.db2.gz OYKYNCDPKYXXSM-UHFFFAOYSA-N 1 2 316.401 1.874 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)N(CCC)CC(F)(F)F)CC1 ZINC000880482344 706988468 /nfs/dbraw/zinc/98/84/68/706988468.db2.gz GBMZXUHCEBGDPQ-UHFFFAOYSA-N 1 2 320.355 1.638 20 30 DDEDLO CN(c1cccc(C#N)c1)[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC000880657068 707049514 /nfs/dbraw/zinc/04/95/14/707049514.db2.gz FNSKMVKKOVMLKP-KRWDZBQOSA-N 1 2 323.400 1.882 20 30 DDEDLO O=C1Nc2cc(O)c(F)cc2C1=NNC1=[NH+][C@@H]2CCCC[C@@H]2N1 ZINC000834966578 707153509 /nfs/dbraw/zinc/15/35/09/707153509.db2.gz QCBBYSYKIQKBPD-AOOOYVTPSA-N 1 2 317.324 1.048 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)c2ccc(F)cc2C)nn1 ZINC000881413679 707245988 /nfs/dbraw/zinc/24/59/88/707245988.db2.gz AYBRSXKRLBVTLP-MRXNPFEDSA-N 1 2 302.353 1.572 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[NH+]([C@@H](C)c2ccccc2)CC1 ZINC000882616730 707719211 /nfs/dbraw/zinc/71/92/11/707719211.db2.gz PIAXIOWQFKNKRN-HNNXBMFYSA-N 1 2 306.431 1.718 20 30 DDEDLO C#CCC1(O)CCN(C(=O)NCCCCn2cc[nH+]c2)CC1 ZINC000883175103 707947392 /nfs/dbraw/zinc/94/73/92/707947392.db2.gz ZCLSZSBUTYEBQW-UHFFFAOYSA-N 1 2 304.394 1.223 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1ccc(OC)c(C)c1 ZINC000884118300 708147023 /nfs/dbraw/zinc/14/70/23/708147023.db2.gz BKSPCULHQUOHIY-AWEZNQCLSA-N 1 2 320.389 1.409 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1CC(c2ccccc2)C1 ZINC000884124721 708149922 /nfs/dbraw/zinc/14/99/22/708149922.db2.gz FHQIDKFOFGSFLQ-NRXISQOPSA-N 1 2 302.374 1.495 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@H](C)Oc2cc(C)ccc21 ZINC000884141331 708157983 /nfs/dbraw/zinc/15/79/83/708157983.db2.gz WNFWKXGHXUHHJM-STQMWFEESA-N 1 2 318.373 1.556 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H]1C[C@@](C)(OC)C1(C)C ZINC000884168569 708169217 /nfs/dbraw/zinc/16/92/17/708169217.db2.gz IMLGBEOWDDZSRL-HWWQOWPSSA-N 1 2 312.410 1.095 20 30 DDEDLO CC1=C[C@H](C)C[C@@H](C[N@@H+]2C[C@@H]3CS(=O)(=O)C[C@]3(C#N)C2)C1 ZINC000897060436 708219647 /nfs/dbraw/zinc/21/96/47/708219647.db2.gz KWZOIPZZYIVOHR-XZDPQHSOSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=C[C@H](C)C[C@@H](C[N@H+]2C[C@@H]3CS(=O)(=O)C[C@]3(C#N)C2)C1 ZINC000897060436 708219650 /nfs/dbraw/zinc/21/96/50/708219650.db2.gz KWZOIPZZYIVOHR-XZDPQHSOSA-N 1 2 308.447 1.849 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)C1CCC(F)CC1 ZINC000884412782 708287012 /nfs/dbraw/zinc/28/70/12/708287012.db2.gz AEVOZRUBBJHMCJ-WTIISPKJSA-N 1 2 300.374 1.466 20 30 DDEDLO C#CC[C@H]1CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000884593613 708334950 /nfs/dbraw/zinc/33/49/50/708334950.db2.gz ORCBGHACTLNXGR-GJZGRUSLSA-N 1 2 300.406 1.535 20 30 DDEDLO C#CC[C@H]1CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000884593613 708334953 /nfs/dbraw/zinc/33/49/53/708334953.db2.gz ORCBGHACTLNXGR-GJZGRUSLSA-N 1 2 300.406 1.535 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)C[C@@H](CC(C)C)OC)C1 ZINC000885508789 708561851 /nfs/dbraw/zinc/56/18/51/708561851.db2.gz LCSUCTDXTXWXBO-LSDHHAIUSA-N 1 2 316.467 1.065 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)C[C@@H](CC(C)C)OC)C1 ZINC000885508789 708561854 /nfs/dbraw/zinc/56/18/54/708561854.db2.gz LCSUCTDXTXWXBO-LSDHHAIUSA-N 1 2 316.467 1.065 20 30 DDEDLO CC(C)CN1CC[N@H+](C[C@H](O)CC2(C#N)CCC2)[C@@H](C)C1=O ZINC000886147721 708705507 /nfs/dbraw/zinc/70/55/07/708705507.db2.gz ZUWCVCWFPZKQQB-LSDHHAIUSA-N 1 2 307.438 1.620 20 30 DDEDLO CC(C)CN1CC[N@@H+](C[C@H](O)CC2(C#N)CCC2)[C@@H](C)C1=O ZINC000886147721 708705508 /nfs/dbraw/zinc/70/55/08/708705508.db2.gz ZUWCVCWFPZKQQB-LSDHHAIUSA-N 1 2 307.438 1.620 20 30 DDEDLO CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(C)c1 ZINC000886470230 708765450 /nfs/dbraw/zinc/76/54/50/708765450.db2.gz FMIVIDNQFNXUBP-CQSZACIVSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(C)c1 ZINC000886470230 708765452 /nfs/dbraw/zinc/76/54/52/708765452.db2.gz FMIVIDNQFNXUBP-CQSZACIVSA-N 1 2 307.419 1.581 20 30 DDEDLO C=CCC1(O)CC[NH+](Cc2cn(C[C@H]3CCOC3)nn2)CC1 ZINC000886780288 708843656 /nfs/dbraw/zinc/84/36/56/708843656.db2.gz HVHXHXMPDBDCQV-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)NCC(C)(C)n2cc[nH+]c2)c1 ZINC000898909215 708920061 /nfs/dbraw/zinc/92/00/61/708920061.db2.gz KSMMVMNHUSIFLC-UHFFFAOYSA-N 1 2 311.389 1.986 20 30 DDEDLO C=C(Br)CNC(=O)/C=C(/C)C[NH+]1CCOCC1 ZINC000900398224 709577558 /nfs/dbraw/zinc/57/75/58/709577558.db2.gz XNMRPCFPBJSWMH-YFHOEESVSA-N 1 2 303.200 1.290 20 30 DDEDLO C/C(=C\C(=O)Nc1ccc(F)c(C#N)c1)C[NH+]1CCOCC1 ZINC000900550186 709647387 /nfs/dbraw/zinc/64/73/87/709647387.db2.gz SKDDOFRHSHBYKW-XYOKQWHBSA-N 1 2 303.337 1.914 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H]2CCC[C@@H](C(F)(F)F)O2)nn1 ZINC000900633004 709688025 /nfs/dbraw/zinc/68/80/25/709688025.db2.gz DTFHTYFNGZDQAF-STQMWFEESA-N 1 2 316.327 1.891 20 30 DDEDLO C#CC[NH+]1CCC(NC(=O)c2cnc3nc(C)ccc3c2O)CC1 ZINC000900667775 709703631 /nfs/dbraw/zinc/70/36/31/709703631.db2.gz SVAAAPFSOGKDKB-UHFFFAOYSA-N 1 2 324.384 1.471 20 30 DDEDLO O=C(N[C@H]1CCN(c2cccc[nH+]2)C1)c1cccc(C#CCO)c1 ZINC000901187737 709960638 /nfs/dbraw/zinc/96/06/38/709960638.db2.gz BRIZVRILWULYRU-KRWDZBQOSA-N 1 2 321.380 1.434 20 30 DDEDLO C=CCCC[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)C(=O)OC ZINC000928318878 713174534 /nfs/dbraw/zinc/17/45/34/713174534.db2.gz GCBABCYGRQDALA-JHJVBQTASA-N 1 2 305.378 1.538 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCC(OC)(OC)c1ccccc1 ZINC000913220529 713181807 /nfs/dbraw/zinc/18/18/07/713181807.db2.gz CTGRJDUMJAZBMM-INIZCTEOSA-N 1 2 316.401 1.346 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCC(OC)(OC)c1ccccc1 ZINC000913220529 713181809 /nfs/dbraw/zinc/18/18/09/713181809.db2.gz CTGRJDUMJAZBMM-INIZCTEOSA-N 1 2 316.401 1.346 20 30 DDEDLO Cc1cc(F)c(C#N)c(N(C)C[C@H](O)C[NH+]2CCOCC2)c1 ZINC000892855884 710513439 /nfs/dbraw/zinc/51/34/39/710513439.db2.gz BZTCGHDMISKKCS-ZDUSSCGKSA-N 1 2 307.369 1.135 20 30 DDEDLO CN(C[C@H](O)C[NH+]1CCOCC1)c1ccc(C#N)cc1Cl ZINC000892857239 710513698 /nfs/dbraw/zinc/51/36/98/710513698.db2.gz ULYMPFHKNHSJPL-ZDUSSCGKSA-N 1 2 309.797 1.341 20 30 DDEDLO C#CCC1(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)CCCCC1 ZINC000913451352 713221038 /nfs/dbraw/zinc/22/10/38/713221038.db2.gz LIBFNJDTANHZDA-OAHLLOKOSA-N 1 2 300.406 1.856 20 30 DDEDLO CN(C)S(=O)(=O)[C@@H]1CCC[N@H+](Cc2csc(C#N)c2)C1 ZINC000894391329 711099683 /nfs/dbraw/zinc/09/96/83/711099683.db2.gz PAGRFCNUKMADCQ-CYBMUJFWSA-N 1 2 313.448 1.476 20 30 DDEDLO CN(C)S(=O)(=O)[C@@H]1CCC[N@@H+](Cc2csc(C#N)c2)C1 ZINC000894391329 711099687 /nfs/dbraw/zinc/09/96/87/711099687.db2.gz PAGRFCNUKMADCQ-CYBMUJFWSA-N 1 2 313.448 1.476 20 30 DDEDLO CO[C@]1(C[NH2+][C@@H](C)c2cccc(C#N)c2O)CCS(=O)(=O)C1 ZINC000903362618 711104130 /nfs/dbraw/zinc/10/41/30/711104130.db2.gz IBJJCYPDETUNSX-NHYWBVRUSA-N 1 2 324.402 1.118 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2cccc(F)c2)no1 ZINC000904079335 711376024 /nfs/dbraw/zinc/37/60/24/711376024.db2.gz BLXGEFHMOIHPNE-LBPRGKRZSA-N 1 2 305.309 1.399 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(-c2cc(F)ccc2C)no1 ZINC000904084471 711376975 /nfs/dbraw/zinc/37/69/75/711376975.db2.gz AXZBCEULPCJBLM-LBPRGKRZSA-N 1 2 305.309 1.783 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)N1CC[N@H+](C)[C@H](CO)C1 ZINC000913546858 713253663 /nfs/dbraw/zinc/25/36/63/713253663.db2.gz ZKFQCXHQJGSGBZ-ZDUSSCGKSA-N 1 2 320.389 1.009 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)N1CC[N@@H+](C)[C@H](CO)C1 ZINC000913546858 713253664 /nfs/dbraw/zinc/25/36/64/713253664.db2.gz ZKFQCXHQJGSGBZ-ZDUSSCGKSA-N 1 2 320.389 1.009 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@@H+](CC(=O)NCC)CC2)CC1 ZINC000895894519 711639623 /nfs/dbraw/zinc/63/96/23/711639623.db2.gz MLJVICLORKRAQQ-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@H+](CC(=O)NCC)CC2)CC1 ZINC000895894519 711639627 /nfs/dbraw/zinc/63/96/27/711639627.db2.gz MLJVICLORKRAQQ-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CCO[C@@](C)(C#N)C1 ZINC000896239342 711695769 /nfs/dbraw/zinc/69/57/69/711695769.db2.gz JMXNNOVRKUFJIH-RDJZCZTQSA-N 1 2 314.389 1.497 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CCO[C@@](C)(C#N)C1 ZINC000896239342 711695770 /nfs/dbraw/zinc/69/57/70/711695770.db2.gz JMXNNOVRKUFJIH-RDJZCZTQSA-N 1 2 314.389 1.497 20 30 DDEDLO Cn1cc(C[NH2+]Cc2cccc(C#N)n2)c(Br)n1 ZINC000896280850 711700082 /nfs/dbraw/zinc/70/00/82/711700082.db2.gz DPHAGALEKBLJFA-UHFFFAOYSA-N 1 2 306.167 1.739 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CON(C(=O)OC(C)(C)C)C2)nn1 ZINC000905064148 711925140 /nfs/dbraw/zinc/92/51/40/711925140.db2.gz MFHACWJMCAPEOX-ZDUSSCGKSA-N 1 2 321.381 1.114 20 30 DDEDLO CCOCc1nc(C)cc(N=NCc2c[nH+]c3n2CCC3)n1 ZINC000905500184 712056200 /nfs/dbraw/zinc/05/62/00/712056200.db2.gz SGRKXBMKBFFWGI-UHFFFAOYSA-N 1 2 300.366 1.910 20 30 DDEDLO C=CCn1c(C)nn(C[N@@H+]2CC[C@H](C3OCCO3)C2)c1=S ZINC000905696551 712122157 /nfs/dbraw/zinc/12/21/57/712122157.db2.gz ZEUZQHNYCSHQHX-LBPRGKRZSA-N 1 2 310.423 1.561 20 30 DDEDLO C=CCn1c(C)nn(C[N@H+]2CC[C@H](C3OCCO3)C2)c1=S ZINC000905696551 712122158 /nfs/dbraw/zinc/12/21/58/712122158.db2.gz ZEUZQHNYCSHQHX-LBPRGKRZSA-N 1 2 310.423 1.561 20 30 DDEDLO N#CC1(C[C@H](O)C[NH2+]CC(F)(F)C2(O)CCCCC2)CC1 ZINC000905713050 712128873 /nfs/dbraw/zinc/12/88/73/712128873.db2.gz NFQLIPLMNSIJOX-LBPRGKRZSA-N 1 2 302.365 1.961 20 30 DDEDLO C#CC[N@H+](CN1C(=O)C(=O)N(Cc2cccs2)C1=O)C(C)C ZINC000905743636 712137017 /nfs/dbraw/zinc/13/70/17/712137017.db2.gz XUHKPQNULJVCFA-UHFFFAOYSA-N 1 2 319.386 1.340 20 30 DDEDLO C#CC[N@@H+](CN1C(=O)C(=O)N(Cc2cccs2)C1=O)C(C)C ZINC000905743636 712137018 /nfs/dbraw/zinc/13/70/18/712137018.db2.gz XUHKPQNULJVCFA-UHFFFAOYSA-N 1 2 319.386 1.340 20 30 DDEDLO N#CC1(C[C@H](O)Cn2c3ccccc3[nH+]c2NCCO)CCC1 ZINC000905873984 712171774 /nfs/dbraw/zinc/17/17/74/712171774.db2.gz HSZNIGVTEHYPTL-ZDUSSCGKSA-N 1 2 314.389 1.885 20 30 DDEDLO N#CC[C@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(F)(F)F ZINC000928800949 713483055 /nfs/dbraw/zinc/48/30/55/713483055.db2.gz QEROOXMTMXPVLD-JTQLQIEISA-N 1 2 300.284 1.723 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000929339766 713592874 /nfs/dbraw/zinc/59/28/74/713592874.db2.gz GIQHQVCIMIOYJL-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2ccc(C)cc2C#N)C[C@@H]1C ZINC000919590171 713618389 /nfs/dbraw/zinc/61/83/89/713618389.db2.gz CCKUQFASROQASB-OKILXGFUSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2ccc(C)cc2C#N)C[C@@H]1C ZINC000919590171 713618391 /nfs/dbraw/zinc/61/83/91/713618391.db2.gz CCKUQFASROQASB-OKILXGFUSA-N 1 2 321.446 1.970 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000929667978 713665088 /nfs/dbraw/zinc/66/50/88/713665088.db2.gz FIGYAWNSMAHFJV-MRXNPFEDSA-N 1 2 301.390 1.512 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000929667978 713665089 /nfs/dbraw/zinc/66/50/89/713665089.db2.gz FIGYAWNSMAHFJV-MRXNPFEDSA-N 1 2 301.390 1.512 20 30 DDEDLO C#CCCCS(=O)(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC000920615236 713682984 /nfs/dbraw/zinc/68/29/84/713682984.db2.gz LHWDYIYCVROVTN-UHFFFAOYSA-N 1 2 307.419 1.701 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)CCO1 ZINC000930190877 713775333 /nfs/dbraw/zinc/77/53/33/713775333.db2.gz YWOXDMOJJSOLOT-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)CCO1 ZINC000930190877 713775335 /nfs/dbraw/zinc/77/53/35/713775335.db2.gz YWOXDMOJJSOLOT-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@@H+]1Cc1c(C)cc(C#N)cc1C ZINC000930487888 713846840 /nfs/dbraw/zinc/84/68/40/713846840.db2.gz JVJIESWKIPIYLS-OAHLLOKOSA-N 1 2 302.374 1.939 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@H+]1Cc1c(C)cc(C#N)cc1C ZINC000930487888 713846844 /nfs/dbraw/zinc/84/68/44/713846844.db2.gz JVJIESWKIPIYLS-OAHLLOKOSA-N 1 2 302.374 1.939 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCCC[C@H]1CS(N)(=O)=O ZINC000931228639 714042338 /nfs/dbraw/zinc/04/23/38/714042338.db2.gz DAVFIKKLOAJZSO-AWEZNQCLSA-N 1 2 323.418 1.210 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCCC[C@H]1CS(N)(=O)=O ZINC000931228639 714042340 /nfs/dbraw/zinc/04/23/40/714042340.db2.gz DAVFIKKLOAJZSO-AWEZNQCLSA-N 1 2 323.418 1.210 20 30 DDEDLO CC1(N2CCOCC2)CC[NH+](Cc2cncc(C#N)c2)CC1 ZINC000931257177 714050065 /nfs/dbraw/zinc/05/00/65/714050065.db2.gz QOZDDHKOQJZTQJ-UHFFFAOYSA-N 1 2 300.406 1.640 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000932054537 714247976 /nfs/dbraw/zinc/24/79/76/714247976.db2.gz JREAYEUIMNOUIC-KGLIPLIRSA-N 1 2 301.390 1.706 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+](CC(=O)Nc2sccc2C#N)C[C@H]1C ZINC000932106316 714260236 /nfs/dbraw/zinc/26/02/36/714260236.db2.gz FCGBEKBVGYJCMK-ZYHUDNBSSA-N 1 2 321.402 1.689 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+](CC(=O)Nc2sccc2C#N)C[C@H]1C ZINC000932106316 714260237 /nfs/dbraw/zinc/26/02/37/714260237.db2.gz FCGBEKBVGYJCMK-ZYHUDNBSSA-N 1 2 321.402 1.689 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc([S@](C)=O)cc2)C1 ZINC000923588710 714419069 /nfs/dbraw/zinc/41/90/69/714419069.db2.gz HVKRHGXQBPELRE-SZNDQCEHSA-N 1 2 304.415 1.251 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc([S@](C)=O)cc2)C1 ZINC000923588710 714419072 /nfs/dbraw/zinc/41/90/72/714419072.db2.gz HVKRHGXQBPELRE-SZNDQCEHSA-N 1 2 304.415 1.251 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)OCC[N@@H+]1CCO[C@H](C)C1 ZINC000923593040 714421346 /nfs/dbraw/zinc/42/13/46/714421346.db2.gz ZJNAJSSLUNJLHV-OAHLLOKOSA-N 1 2 316.401 1.982 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)OCC[N@H+]1CCO[C@H](C)C1 ZINC000923593040 714421347 /nfs/dbraw/zinc/42/13/47/714421347.db2.gz ZJNAJSSLUNJLHV-OAHLLOKOSA-N 1 2 316.401 1.982 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2sc(NC3CC3)nc2C)C1 ZINC000923598068 714424768 /nfs/dbraw/zinc/42/47/68/714424768.db2.gz SKDPLRSVMIEHFY-ZDUSSCGKSA-N 1 2 318.446 1.853 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2sc(NC3CC3)nc2C)C1 ZINC000923598068 714424770 /nfs/dbraw/zinc/42/47/70/714424770.db2.gz SKDPLRSVMIEHFY-ZDUSSCGKSA-N 1 2 318.446 1.853 20 30 DDEDLO CC(C)(C#N)CCOC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000923774578 714468014 /nfs/dbraw/zinc/46/80/14/714468014.db2.gz ZSXBWSVZXQLPFJ-KGLIPLIRSA-N 1 2 310.394 1.349 20 30 DDEDLO CCOC(=O)[C@@](C)(O)C[N@@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933627779 714634183 /nfs/dbraw/zinc/63/41/83/714634183.db2.gz IPOKKJNOZMKIFF-WBVHZDCISA-N 1 2 318.373 1.246 20 30 DDEDLO CCOC(=O)[C@@](C)(O)C[N@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933627779 714634185 /nfs/dbraw/zinc/63/41/85/714634185.db2.gz IPOKKJNOZMKIFF-WBVHZDCISA-N 1 2 318.373 1.246 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1CC[NH+]([C@@H](C)COC)CC1 ZINC000957134911 715763664 /nfs/dbraw/zinc/76/36/64/715763664.db2.gz NVGLTTIHLWSVIV-AWEZNQCLSA-N 1 2 319.405 1.439 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc(C(C)(C)C)nc2)C1 ZINC000957463916 715911846 /nfs/dbraw/zinc/91/18/46/715911846.db2.gz CNKVNENJLRBHNW-UHFFFAOYSA-N 1 2 314.433 1.944 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](N(C)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000939009295 716083554 /nfs/dbraw/zinc/08/35/54/716083554.db2.gz ZXOXJOUSBFKCBS-CYBMUJFWSA-N 1 2 318.421 1.532 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000939485277 716281201 /nfs/dbraw/zinc/28/12/01/716281201.db2.gz HUJRFNKUUSRIPD-RHSMWYFYSA-N 1 2 318.421 1.614 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@H]1CCCN1C(=O)[C@H](C)C#N ZINC000960498269 716581793 /nfs/dbraw/zinc/58/17/93/716581793.db2.gz CNIULOKTEHFSBO-ZYHUDNBSSA-N 1 2 307.423 1.429 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@H]1CCCN1C(=O)[C@H](C)C#N ZINC000960498269 716581795 /nfs/dbraw/zinc/58/17/95/716581795.db2.gz CNIULOKTEHFSBO-ZYHUDNBSSA-N 1 2 307.423 1.429 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+](C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960615661 716632263 /nfs/dbraw/zinc/63/22/63/716632263.db2.gz XTTRNHYVDWGHOT-GOEBONIOSA-N 1 2 312.417 1.874 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+](C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960615661 716632267 /nfs/dbraw/zinc/63/22/67/716632267.db2.gz XTTRNHYVDWGHOT-GOEBONIOSA-N 1 2 312.417 1.874 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cnnn1C ZINC000960788560 716690616 /nfs/dbraw/zinc/69/06/16/716690616.db2.gz RGSIJGXJMOSNML-AWEZNQCLSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1cnnn1C ZINC000960788560 716690617 /nfs/dbraw/zinc/69/06/17/716690617.db2.gz RGSIJGXJMOSNML-AWEZNQCLSA-N 1 2 319.453 1.840 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C=C3CCCCC3)CC2)C1 ZINC000941337161 717156868 /nfs/dbraw/zinc/15/68/68/717156868.db2.gz NNVSUMPCLRCWQE-UHFFFAOYSA-N 1 2 315.461 1.729 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC[C@H](C)C3)CC2)C1 ZINC000941540517 717199909 /nfs/dbraw/zinc/19/99/09/717199909.db2.gz FQBMRXKYMOHVGG-HOTGVXAUSA-N 1 2 303.450 1.274 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000942281550 717598013 /nfs/dbraw/zinc/59/80/13/717598013.db2.gz SPKJKOOWSWPWPA-MLGOLLRUSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)CC1 ZINC000942514185 717711577 /nfs/dbraw/zinc/71/15/77/717711577.db2.gz YOQOYWFYWWSOMZ-CQSZACIVSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000965891152 717800005 /nfs/dbraw/zinc/80/00/05/717800005.db2.gz RIRLRCNEEHJZCS-HZMBPMFUSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000965891152 717800009 /nfs/dbraw/zinc/80/00/09/717800009.db2.gz RIRLRCNEEHJZCS-HZMBPMFUSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC000965891152 717800013 /nfs/dbraw/zinc/80/00/13/717800013.db2.gz RIRLRCNEEHJZCS-HZMBPMFUSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC000965891152 717800016 /nfs/dbraw/zinc/80/00/16/717800016.db2.gz RIRLRCNEEHJZCS-HZMBPMFUSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)CC2OCCCO2)C1 ZINC000965946036 717829134 /nfs/dbraw/zinc/82/91/34/717829134.db2.gz GABPCQNRAXTTTI-WCQYABFASA-N 1 2 316.829 1.719 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)CC2OCCCO2)C1 ZINC000965946036 717829138 /nfs/dbraw/zinc/82/91/38/717829138.db2.gz GABPCQNRAXTTTI-WCQYABFASA-N 1 2 316.829 1.719 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c[nH]c(C)cc2=O)[C@H](C)C1 ZINC000943967815 718234459 /nfs/dbraw/zinc/23/44/59/718234459.db2.gz UMJZYLVELXJNHP-YGRLFVJLSA-N 1 2 323.824 1.876 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c[nH]c(C)cc2=O)[C@H](C)C1 ZINC000943967815 718234461 /nfs/dbraw/zinc/23/44/61/718234461.db2.gz UMJZYLVELXJNHP-YGRLFVJLSA-N 1 2 323.824 1.876 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)C[C@@H]2C)nc1 ZINC000944192927 718269286 /nfs/dbraw/zinc/26/92/86/718269286.db2.gz OZAAADYNTDITMC-BBRMVZONSA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)C[C@@H]2C)nc1 ZINC000944192927 718269287 /nfs/dbraw/zinc/26/92/87/718269287.db2.gz OZAAADYNTDITMC-BBRMVZONSA-N 1 2 324.384 1.691 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CCN1CC#N ZINC000947879103 719297123 /nfs/dbraw/zinc/29/71/23/719297123.db2.gz WFKURNOGFZRRGH-GOEBONIOSA-N 1 2 323.400 1.979 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cn2ccc(C)n2)C1 ZINC000968339086 719518880 /nfs/dbraw/zinc/51/88/80/719518880.db2.gz AVFFNKKTBDJMRP-RISCZKNCSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cn2ccc(C)n2)C1 ZINC000968339086 719518883 /nfs/dbraw/zinc/51/88/83/719518883.db2.gz AVFFNKKTBDJMRP-RISCZKNCSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC000968609768 719690195 /nfs/dbraw/zinc/69/01/95/719690195.db2.gz LAJNSEXLXOJOFS-GWCFXTLKSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC000968609768 719690199 /nfs/dbraw/zinc/69/01/99/719690199.db2.gz LAJNSEXLXOJOFS-GWCFXTLKSA-N 1 2 309.797 1.980 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000948950848 719798378 /nfs/dbraw/zinc/79/83/78/719798378.db2.gz OYNHXACTCBBADH-XWTMOSNGSA-N 1 2 310.397 1.228 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000948950848 719798381 /nfs/dbraw/zinc/79/83/81/719798381.db2.gz OYNHXACTCBBADH-XWTMOSNGSA-N 1 2 310.397 1.228 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccncn1 ZINC000948970341 719816896 /nfs/dbraw/zinc/81/68/96/719816896.db2.gz XOHDJCDQWBEFFL-KRWDZBQOSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccncn1 ZINC000948970341 719816900 /nfs/dbraw/zinc/81/69/00/719816900.db2.gz XOHDJCDQWBEFFL-KRWDZBQOSA-N 1 2 306.369 1.439 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nonc1C ZINC000948990018 719827035 /nfs/dbraw/zinc/82/70/35/719827035.db2.gz WWEMAYQRVNVUAI-MRXNPFEDSA-N 1 2 324.384 1.731 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nonc1C ZINC000948990018 719827041 /nfs/dbraw/zinc/82/70/41/719827041.db2.gz WWEMAYQRVNVUAI-MRXNPFEDSA-N 1 2 324.384 1.731 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCOC2(CC[NH+](Cc3ccon3)CC2)C1 ZINC000949051472 719873398 /nfs/dbraw/zinc/87/33/98/719873398.db2.gz IZGKEYHYTZAVMU-CYBMUJFWSA-N 1 2 318.377 1.028 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cccc(C)n3)CC2)C1 ZINC000949291635 719997127 /nfs/dbraw/zinc/99/71/27/719997127.db2.gz OGDXMZLTBSHIHA-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cccc(C)n3)CC2)C1 ZINC000949291635 719997131 /nfs/dbraw/zinc/99/71/31/719997131.db2.gz OGDXMZLTBSHIHA-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cc(C)c(C)o3)CC2)C1 ZINC000949340563 720027058 /nfs/dbraw/zinc/02/70/58/720027058.db2.gz SSQZANNAXGOCMY-UHFFFAOYSA-N 1 2 316.401 1.837 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cc(C)c(C)o3)CC2)C1 ZINC000949340563 720027063 /nfs/dbraw/zinc/02/70/63/720027063.db2.gz SSQZANNAXGOCMY-UHFFFAOYSA-N 1 2 316.401 1.837 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnoc2-c2cnn(C)c2)CC1 ZINC000949468662 720108889 /nfs/dbraw/zinc/10/88/89/720108889.db2.gz PBTOMRZONHCZOK-UHFFFAOYSA-N 1 2 301.350 1.019 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)Cc3cscn3)CC2)C1 ZINC000949489547 720118993 /nfs/dbraw/zinc/11/89/93/720118993.db2.gz JTINCMFLAICVQR-UHFFFAOYSA-N 1 2 319.430 1.012 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)Cc3cscn3)CC2)C1 ZINC000949489547 720118998 /nfs/dbraw/zinc/11/89/98/720118998.db2.gz JTINCMFLAICVQR-UHFFFAOYSA-N 1 2 319.430 1.012 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3(C)CCOCC3)CC2)C1 ZINC000949495984 720122706 /nfs/dbraw/zinc/12/27/06/720122706.db2.gz KQIYAEIDDNELSV-UHFFFAOYSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3(C)CCOCC3)CC2)C1 ZINC000949495984 720122707 /nfs/dbraw/zinc/12/27/07/720122707.db2.gz KQIYAEIDDNELSV-UHFFFAOYSA-N 1 2 320.433 1.130 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccnc3ccnn32)C1 ZINC000969832775 720525516 /nfs/dbraw/zinc/52/55/16/720525516.db2.gz JHHFEIYXGRIYAC-NSHDSACASA-N 1 2 319.796 1.532 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([NH2+]Cc3nnc(CC)o3)C2)C1 ZINC000969885191 720548223 /nfs/dbraw/zinc/54/82/23/720548223.db2.gz VYXGXFKQEKYULP-GFCCVEGCSA-N 1 2 304.394 1.679 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CCN(C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC000969906667 720556061 /nfs/dbraw/zinc/55/60/61/720556061.db2.gz RAOGROKLCCVMKQ-MRVPVSSYSA-N 1 2 304.728 1.904 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cn(C)nc2CC)C1 ZINC000969913701 720558362 /nfs/dbraw/zinc/55/83/62/720558362.db2.gz TXZUOYNRZOADMJ-LLVKDONJSA-N 1 2 310.829 1.785 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2coc(C(N)=O)c2)C1 ZINC000970211410 720663832 /nfs/dbraw/zinc/66/38/32/720663832.db2.gz XBJANMDDOBLNQX-SECBINFHSA-N 1 2 311.769 1.181 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2c(C)nn(C)c2C)C1 ZINC000970235815 720672995 /nfs/dbraw/zinc/67/29/95/720672995.db2.gz BKCOALXRKIVJMH-SNVBAGLBSA-N 1 2 310.829 1.840 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3c(c2)ncn3C)C1 ZINC000950673385 720730752 /nfs/dbraw/zinc/73/07/52/720730752.db2.gz WIRYLCIUGBFGQY-UHFFFAOYSA-N 1 2 310.401 1.743 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2ccccc2-n2cccn2)CC1 ZINC000950721109 720750301 /nfs/dbraw/zinc/75/03/01/720750301.db2.gz BVUFJNPDAOQSPR-UHFFFAOYSA-N 1 2 308.385 1.653 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000951916740 721235811 /nfs/dbraw/zinc/23/58/11/721235811.db2.gz MPXYIOAOQXUKAT-GUYCJALGSA-N 1 2 318.421 1.662 20 30 DDEDLO N#Cc1ccccc1CN1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000952403395 721438204 /nfs/dbraw/zinc/43/82/04/721438204.db2.gz LIHBJQDXEBEHEF-UHFFFAOYSA-N 1 2 309.373 1.168 20 30 DDEDLO CN(CC#N)[C@@H]1CCCN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001027573527 738421966 /nfs/dbraw/zinc/42/19/66/738421966.db2.gz HQQIKMWPMXFXSG-CHWSQXEVSA-N 1 2 301.394 1.276 20 30 DDEDLO N#Cc1cnccc1N1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c[nH+]c[nH]1 ZINC001058806428 738608293 /nfs/dbraw/zinc/60/82/93/738608293.db2.gz AAGOQNGSGFQALV-GASCZTMLSA-N 1 2 322.372 1.099 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208964164 732553010 /nfs/dbraw/zinc/55/30/10/732553010.db2.gz USHYNIZWADSQFE-CHWSQXEVSA-N 1 2 316.467 1.070 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208964164 732553012 /nfs/dbraw/zinc/55/30/12/732553012.db2.gz USHYNIZWADSQFE-CHWSQXEVSA-N 1 2 316.467 1.070 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)sn1 ZINC001038175230 732653855 /nfs/dbraw/zinc/65/38/55/732653855.db2.gz MZWZIAUITVMFKI-ZDUSSCGKSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)sn1 ZINC001038175230 732653857 /nfs/dbraw/zinc/65/38/57/732653857.db2.gz MZWZIAUITVMFKI-ZDUSSCGKSA-N 1 2 315.402 1.656 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C(C)=C\CC)C2)nn1 ZINC001098629753 738656674 /nfs/dbraw/zinc/65/66/74/738656674.db2.gz NBALCGHRQKAERC-OEWWAVFSSA-N 1 2 301.394 1.131 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]([C@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001039255454 733380526 /nfs/dbraw/zinc/38/05/26/733380526.db2.gz AKNGCTCYDYYDPI-HUUCEWRRSA-N 1 2 315.421 1.074 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]([C@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001039255454 733380530 /nfs/dbraw/zinc/38/05/30/733380530.db2.gz AKNGCTCYDYYDPI-HUUCEWRRSA-N 1 2 315.421 1.074 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(N)=O)CCCCC1 ZINC001111483642 734475282 /nfs/dbraw/zinc/47/52/82/734475282.db2.gz KAGZYWKRQUFYAG-KFWWJZLASA-N 1 2 317.433 1.167 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(N)=O)CCCCC1 ZINC001111483642 734475284 /nfs/dbraw/zinc/47/52/84/734475284.db2.gz KAGZYWKRQUFYAG-KFWWJZLASA-N 1 2 317.433 1.167 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](CNC(=O)c2cn[nH]n2)C1 ZINC001023457818 735248048 /nfs/dbraw/zinc/24/80/48/735248048.db2.gz ZLSGQYKABAQRKV-CYBMUJFWSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001023457818 735248051 /nfs/dbraw/zinc/24/80/51/735248051.db2.gz ZLSGQYKABAQRKV-CYBMUJFWSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001023457818 735248055 /nfs/dbraw/zinc/24/80/55/735248055.db2.gz ZLSGQYKABAQRKV-CYBMUJFWSA-N 1 2 324.388 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001027975381 738894814 /nfs/dbraw/zinc/89/48/14/738894814.db2.gz QWLUNFCATTXMQB-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001027975381 738894820 /nfs/dbraw/zinc/89/48/20/738894820.db2.gz QWLUNFCATTXMQB-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001058562562 735584052 /nfs/dbraw/zinc/58/40/52/735584052.db2.gz NGARWXIJUVLOGY-LLVKDONJSA-N 1 2 313.336 1.358 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001024526991 735904841 /nfs/dbraw/zinc/90/48/41/735904841.db2.gz JXWTZNXTZFKJEZ-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001024526991 735904842 /nfs/dbraw/zinc/90/48/42/735904842.db2.gz JXWTZNXTZFKJEZ-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]2CNC(=O)c2cn[nH]n2)s1 ZINC001027991388 738913535 /nfs/dbraw/zinc/91/35/35/738913535.db2.gz BNURRFLQPDEXIO-JTQLQIEISA-N 1 2 316.390 1.132 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]2CNC(=O)c2cn[nH]n2)s1 ZINC001027991388 738913538 /nfs/dbraw/zinc/91/35/38/738913538.db2.gz BNURRFLQPDEXIO-JTQLQIEISA-N 1 2 316.390 1.132 20 30 DDEDLO COc1ccc(CN2CC[C@@H]([NH+]3CCOCC3)C2)c(C#N)c1 ZINC001137703637 736113215 /nfs/dbraw/zinc/11/32/15/736113215.db2.gz RSXORJYUPYMNMP-MRXNPFEDSA-N 1 2 301.390 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc(C(N)=O)cn1C ZINC001027999863 738922259 /nfs/dbraw/zinc/92/22/59/738922259.db2.gz RNPUTYSCQXFKMG-GFCCVEGCSA-N 1 2 324.812 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(C(N)=O)cn1C ZINC001027999863 738922261 /nfs/dbraw/zinc/92/22/61/738922261.db2.gz RNPUTYSCQXFKMG-GFCCVEGCSA-N 1 2 324.812 1.071 20 30 DDEDLO C[C@@H](CNc1cccc(F)c1C#N)N(C)C(=O)Cn1cc[nH+]c1 ZINC001104604549 736445641 /nfs/dbraw/zinc/44/56/41/736445641.db2.gz QKMXYBATHHNACQ-LBPRGKRZSA-N 1 2 315.352 1.853 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3coc4ccccc34)[C@H]2C1 ZINC001083290077 737118386 /nfs/dbraw/zinc/11/83/86/737118386.db2.gz GQZJQRFAIBZRSS-FUHWJXTLSA-N 1 2 324.380 1.981 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3coc4ccccc34)[C@H]2C1 ZINC001083290077 737118388 /nfs/dbraw/zinc/11/83/88/737118388.db2.gz GQZJQRFAIBZRSS-FUHWJXTLSA-N 1 2 324.380 1.981 20 30 DDEDLO C=CCCCC(=O)N(C)[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104785681 737204848 /nfs/dbraw/zinc/20/48/48/737204848.db2.gz ZAHZWAHCOHOFFK-GFCCVEGCSA-N 1 2 316.409 1.978 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C3CC(C)(C)C3)C2)nn1 ZINC001105224846 737631919 /nfs/dbraw/zinc/63/19/19/737631919.db2.gz FJPOOOFZPUCESU-UHFFFAOYSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nc(CCCC)no1 ZINC001125934585 737708975 /nfs/dbraw/zinc/70/89/75/737708975.db2.gz DUGHKLDQLZGKJK-UHFFFAOYSA-N 1 2 306.410 1.812 20 30 DDEDLO CCn1ccnc1C[N@H+](C)[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027335292 738205526 /nfs/dbraw/zinc/20/55/26/738205526.db2.gz FTFIQMSMSMUETD-UONOGXRCSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027335292 738205527 /nfs/dbraw/zinc/20/55/27/738205527.db2.gz FTFIQMSMSMUETD-UONOGXRCSA-N 1 2 303.410 1.485 20 30 DDEDLO CC(C)n1cc(C[N@H+](C)[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)nn1 ZINC001027337213 738209270 /nfs/dbraw/zinc/20/92/70/738209270.db2.gz ZZKIGOSVUQWMCV-UKRRQHHQSA-N 1 2 318.425 1.441 20 30 DDEDLO CC(C)n1cc(C[N@@H+](C)[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)nn1 ZINC001027337213 738209272 /nfs/dbraw/zinc/20/92/72/738209272.db2.gz ZZKIGOSVUQWMCV-UKRRQHHQSA-N 1 2 318.425 1.441 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027337207 738209435 /nfs/dbraw/zinc/20/94/35/738209435.db2.gz ZUFQBIJTJVOKCB-CMPLNLGQSA-N 1 2 307.423 1.429 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027337207 738209439 /nfs/dbraw/zinc/20/94/39/738209439.db2.gz ZUFQBIJTJVOKCB-CMPLNLGQSA-N 1 2 307.423 1.429 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC001027406106 738265844 /nfs/dbraw/zinc/26/58/44/738265844.db2.gz NBDVGHBZYDXVNL-HNNXBMFYSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC001027406106 738265846 /nfs/dbraw/zinc/26/58/46/738265846.db2.gz NBDVGHBZYDXVNL-HNNXBMFYSA-N 1 2 301.390 1.819 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3C[C@@]3(C)C(C)C)C2)nn1 ZINC001105354535 738989033 /nfs/dbraw/zinc/98/90/33/738989033.db2.gz NQLOQTYCXGQQLM-WBVHZDCISA-N 1 2 315.421 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001028102926 739036919 /nfs/dbraw/zinc/03/69/19/739036919.db2.gz IUHKWCWCNBQSIJ-VXGBXAGGSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001028102926 739036923 /nfs/dbraw/zinc/03/69/23/739036923.db2.gz IUHKWCWCNBQSIJ-VXGBXAGGSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)n(C)n2)C1 ZINC001035362901 751447766 /nfs/dbraw/zinc/44/77/66/751447766.db2.gz XFTUIZJGQJANIZ-AWEZNQCLSA-N 1 2 320.437 1.550 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)n(C)n2)C1 ZINC001035362901 751447772 /nfs/dbraw/zinc/44/77/72/751447772.db2.gz XFTUIZJGQJANIZ-AWEZNQCLSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccnn2[C@@H](C)CC)C1 ZINC001035386372 751456174 /nfs/dbraw/zinc/45/61/74/751456174.db2.gz NCXRLYBHKYVDPY-UONOGXRCSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccnn2[C@@H](C)CC)C1 ZINC001035386372 751456176 /nfs/dbraw/zinc/45/61/76/751456176.db2.gz NCXRLYBHKYVDPY-UONOGXRCSA-N 1 2 306.410 1.471 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C(F)F)nc2)C1 ZINC001035393509 751463036 /nfs/dbraw/zinc/46/30/36/751463036.db2.gz CAQVLBXLCHZBBW-ZDUSSCGKSA-N 1 2 323.343 1.473 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C(F)F)nc2)C1 ZINC001035393509 751463038 /nfs/dbraw/zinc/46/30/38/751463038.db2.gz CAQVLBXLCHZBBW-ZDUSSCGKSA-N 1 2 323.343 1.473 20 30 DDEDLO C=C(Cl)CN1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001035403106 751472017 /nfs/dbraw/zinc/47/20/17/751472017.db2.gz VFHJGVIBKCMWJQ-YNEHKIRRSA-N 1 2 324.812 1.083 20 30 DDEDLO C=C(Cl)CN1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001035403106 751472025 /nfs/dbraw/zinc/47/20/25/751472025.db2.gz VFHJGVIBKCMWJQ-YNEHKIRRSA-N 1 2 324.812 1.083 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c3c[nH]nc3ccc2C)C1 ZINC001035420490 751479192 /nfs/dbraw/zinc/47/91/92/751479192.db2.gz ZHTPKNHXZYJGOU-ZDUSSCGKSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c3c[nH]nc3ccc2C)C1 ZINC001035420490 751479197 /nfs/dbraw/zinc/47/91/97/751479197.db2.gz ZHTPKNHXZYJGOU-ZDUSSCGKSA-N 1 2 314.389 1.488 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCn3nccc32)C1 ZINC001035420387 751479734 /nfs/dbraw/zinc/47/97/34/751479734.db2.gz NWBBDKOBTPCBAC-GJZGRUSLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCn3nccc32)C1 ZINC001035420387 751479738 /nfs/dbraw/zinc/47/97/38/751479738.db2.gz NWBBDKOBTPCBAC-GJZGRUSLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)cc(C)n2)C1 ZINC001035422637 751481806 /nfs/dbraw/zinc/48/18/06/751481806.db2.gz DHHSOINXYPEEOO-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)cc(C)n2)C1 ZINC001035422637 751481808 /nfs/dbraw/zinc/48/18/08/751481808.db2.gz DHHSOINXYPEEOO-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc3ncccc3o2)C1 ZINC001035455630 751503593 /nfs/dbraw/zinc/50/35/93/751503593.db2.gz NTEAQTPWAZXSOI-ZDUSSCGKSA-N 1 2 315.373 1.835 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc3ncccc3o2)C1 ZINC001035455630 751503596 /nfs/dbraw/zinc/50/35/96/751503596.db2.gz NTEAQTPWAZXSOI-ZDUSSCGKSA-N 1 2 315.373 1.835 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3ccc(C)cn32)C1 ZINC001035446907 751524205 /nfs/dbraw/zinc/52/42/05/751524205.db2.gz DRYRXYXQKRAARL-AWEZNQCLSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3ccc(C)cn32)C1 ZINC001035446907 751524210 /nfs/dbraw/zinc/52/42/10/751524210.db2.gz DRYRXYXQKRAARL-AWEZNQCLSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114898682 751529903 /nfs/dbraw/zinc/52/99/03/751529903.db2.gz DZNAGLPJCPJZDK-IMRBUKKESA-N 1 2 304.394 1.527 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114898682 751529906 /nfs/dbraw/zinc/52/99/06/751529906.db2.gz DZNAGLPJCPJZDK-IMRBUKKESA-N 1 2 304.394 1.527 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2c[nH+]cn2C)CC1 ZINC001032603344 751551739 /nfs/dbraw/zinc/55/17/39/751551739.db2.gz XZFYFPLCDAFJNX-HOTGVXAUSA-N 1 2 314.433 1.952 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(OC)ccn2)C1 ZINC001035467750 751552848 /nfs/dbraw/zinc/55/28/48/751552848.db2.gz QOLGOCISLUOKTB-CQSZACIVSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(OC)ccn2)C1 ZINC001035467750 751552853 /nfs/dbraw/zinc/55/28/53/751552853.db2.gz QOLGOCISLUOKTB-CQSZACIVSA-N 1 2 305.378 1.097 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1C[C@@H](Nc2cc(C)ncc2C#N)C1 ZINC001059272260 740529168 /nfs/dbraw/zinc/52/91/68/740529168.db2.gz SZQLLKQCIAQUSS-HDJSIYSDSA-N 1 2 324.388 1.017 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(F)c(COC)c2)C1 ZINC001035500979 751557009 /nfs/dbraw/zinc/55/70/09/751557009.db2.gz XSSRNKUCNLTDMX-OAHLLOKOSA-N 1 2 322.380 1.589 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(F)c(COC)c2)C1 ZINC001035500979 751557010 /nfs/dbraw/zinc/55/70/10/751557010.db2.gz XSSRNKUCNLTDMX-OAHLLOKOSA-N 1 2 322.380 1.589 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)C[C@@H]2C1 ZINC001088102174 741030799 /nfs/dbraw/zinc/03/07/99/741030799.db2.gz SHLRNIUFSRYWDF-NEPJUHHUSA-N 1 2 322.359 1.765 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)C[C@@H]2C1 ZINC001088102174 741030801 /nfs/dbraw/zinc/03/08/01/741030801.db2.gz SHLRNIUFSRYWDF-NEPJUHHUSA-N 1 2 322.359 1.765 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C2Cc3ccccc3C2)C1 ZINC001035528583 751608199 /nfs/dbraw/zinc/60/81/99/751608199.db2.gz HFIKDQIBNXENPH-KRWDZBQOSA-N 1 2 300.402 1.404 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C2Cc3ccccc3C2)C1 ZINC001035528583 751608204 /nfs/dbraw/zinc/60/82/04/751608204.db2.gz HFIKDQIBNXENPH-KRWDZBQOSA-N 1 2 300.402 1.404 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C2CCC(F)(F)CC2)C1 ZINC001035530461 751610653 /nfs/dbraw/zinc/61/06/53/751610653.db2.gz VQRSTGJOEZUHKZ-ZDUSSCGKSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C2CCC(F)(F)CC2)C1 ZINC001035530461 751610657 /nfs/dbraw/zinc/61/06/57/751610657.db2.gz VQRSTGJOEZUHKZ-ZDUSSCGKSA-N 1 2 302.365 1.815 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccncc2Cl)C1 ZINC001035531835 751613394 /nfs/dbraw/zinc/61/33/94/751613394.db2.gz FDRAEPJGWQOHEK-GFCCVEGCSA-N 1 2 307.781 1.189 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccncc2Cl)C1 ZINC001035531835 751613398 /nfs/dbraw/zinc/61/33/98/751613398.db2.gz FDRAEPJGWQOHEK-GFCCVEGCSA-N 1 2 307.781 1.189 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001035560100 751642535 /nfs/dbraw/zinc/64/25/35/751642535.db2.gz PUBKUDWKMUVLDC-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001035560100 751642539 /nfs/dbraw/zinc/64/25/39/751642539.db2.gz PUBKUDWKMUVLDC-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C1CCOCC1 ZINC001038004164 751647474 /nfs/dbraw/zinc/64/74/74/751647474.db2.gz ORILWIMCDGAQIZ-GOSISDBHSA-N 1 2 312.413 1.655 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)C1CCOCC1 ZINC001038004164 751647480 /nfs/dbraw/zinc/64/74/80/751647480.db2.gz ORILWIMCDGAQIZ-GOSISDBHSA-N 1 2 312.413 1.655 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnn3c2C[C@H](C)CC3)C1 ZINC001035597205 751653661 /nfs/dbraw/zinc/65/36/61/751653661.db2.gz WBCCMBZRRSPNOG-ZIAGYGMSSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnn3c2C[C@H](C)CC3)C1 ZINC001035597205 751653664 /nfs/dbraw/zinc/65/36/64/751653664.db2.gz WBCCMBZRRSPNOG-ZIAGYGMSSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)ccc2OC)C1 ZINC001035603272 751662308 /nfs/dbraw/zinc/66/23/08/751662308.db2.gz PSAVZNDEDSAVIG-ZDUSSCGKSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)ccc2OC)C1 ZINC001035603272 751662309 /nfs/dbraw/zinc/66/23/09/751662309.db2.gz PSAVZNDEDSAVIG-ZDUSSCGKSA-N 1 2 324.808 1.965 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)c2cccnc2)c1 ZINC001038011935 751662806 /nfs/dbraw/zinc/66/28/06/751662806.db2.gz VLDVBHSFKFVUQD-KRWDZBQOSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cccnc2)c1 ZINC001038011935 751662814 /nfs/dbraw/zinc/66/28/14/751662814.db2.gz VLDVBHSFKFVUQD-KRWDZBQOSA-N 1 2 306.369 1.958 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2csc(Cl)n2)C1 ZINC001035578687 751667416 /nfs/dbraw/zinc/66/74/16/751667416.db2.gz UDRCXHVWMRIHCI-SNVBAGLBSA-N 1 2 315.826 1.803 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2csc(Cl)n2)C1 ZINC001035578687 751667418 /nfs/dbraw/zinc/66/74/18/751667418.db2.gz UDRCXHVWMRIHCI-SNVBAGLBSA-N 1 2 315.826 1.803 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2coc3c2cccc3C)[C@@H](O)C1 ZINC001083527349 742469349 /nfs/dbraw/zinc/46/93/49/742469349.db2.gz OPHCUUBHWVXGNT-CVEARBPZSA-N 1 2 312.369 1.539 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2coc3c2cccc3C)[C@@H](O)C1 ZINC001083527349 742469351 /nfs/dbraw/zinc/46/93/51/742469351.db2.gz OPHCUUBHWVXGNT-CVEARBPZSA-N 1 2 312.369 1.539 20 30 DDEDLO CN(C(=O)CCc1[nH]cc[nH+]1)C1CC(Nc2ncccc2C#N)C1 ZINC001126919532 742562087 /nfs/dbraw/zinc/56/20/87/742562087.db2.gz AWUVVRNUVDXEPR-UHFFFAOYSA-N 1 2 324.388 1.710 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(Cl)cc2)C[C@H]1O ZINC001076652196 742858795 /nfs/dbraw/zinc/85/87/95/742858795.db2.gz IGHUWRUUZKSSAD-HUUCEWRRSA-N 1 2 306.793 1.263 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(Cl)cc2)C[C@H]1O ZINC001076652196 742858801 /nfs/dbraw/zinc/85/88/01/742858801.db2.gz IGHUWRUUZKSSAD-HUUCEWRRSA-N 1 2 306.793 1.263 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)CC3CC3)C2)cc1F ZINC001076672436 742894216 /nfs/dbraw/zinc/89/42/16/742894216.db2.gz SYKCNZBWFLAAFZ-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)CC3CC3)C2)cc1F ZINC001076672436 742894231 /nfs/dbraw/zinc/89/42/31/742894231.db2.gz SYKCNZBWFLAAFZ-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO Cc1nnsc1C[NH+]1CC2(C1)CCN(C(=O)[C@H](C)C#N)CC2 ZINC001035670684 751776253 /nfs/dbraw/zinc/77/62/53/751776253.db2.gz IOTKABILOIGZGZ-LLVKDONJSA-N 1 2 319.434 1.431 20 30 DDEDLO CC1CC(C(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@H]2O)C1 ZINC001076864538 743061738 /nfs/dbraw/zinc/06/17/38/743061738.db2.gz LNTIAWYLAABODH-XAWIXRBMSA-N 1 2 312.413 1.246 20 30 DDEDLO CC1CC(C(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@H]2O)C1 ZINC001076864538 743061748 /nfs/dbraw/zinc/06/17/48/743061748.db2.gz LNTIAWYLAABODH-XAWIXRBMSA-N 1 2 312.413 1.246 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061107691 743257682 /nfs/dbraw/zinc/25/76/82/743257682.db2.gz NXPWXFODPGJOFL-ZIAGYGMSSA-N 1 2 324.388 1.180 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001077261867 743360541 /nfs/dbraw/zinc/36/05/41/743360541.db2.gz PMKQMZOLBGNZOH-ZIAGYGMSSA-N 1 2 324.783 1.586 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001077261867 743360545 /nfs/dbraw/zinc/36/05/45/743360545.db2.gz PMKQMZOLBGNZOH-ZIAGYGMSSA-N 1 2 324.783 1.586 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2coc3cc(F)ccc23)[C@@H](O)C1 ZINC001083590207 743493733 /nfs/dbraw/zinc/49/37/33/743493733.db2.gz VTQKXDYPIKZCNO-CABCVRRESA-N 1 2 316.332 1.370 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2coc3cc(F)ccc23)[C@@H](O)C1 ZINC001083590207 743493737 /nfs/dbraw/zinc/49/37/37/743493737.db2.gz VTQKXDYPIKZCNO-CABCVRRESA-N 1 2 316.332 1.370 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2Cc3cc(OC)ccc3O2)CC1 ZINC001182353813 743588797 /nfs/dbraw/zinc/58/87/97/743588797.db2.gz VQHCAUXASDVLSH-MRXNPFEDSA-N 1 2 302.374 1.329 20 30 DDEDLO COCC[NH+]1CC(OC2CCN(C(=O)C#CC(C)(C)C)CC2)C1 ZINC001105706970 743605449 /nfs/dbraw/zinc/60/54/49/743605449.db2.gz HJZBRZIKPFPHLU-UHFFFAOYSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[NH2+][C@H](C)c1nnc(CC(C)C)o1 ZINC001127051825 743676749 /nfs/dbraw/zinc/67/67/49/743676749.db2.gz NFXUGOARHAFKJO-OLZOCXBDSA-N 1 2 322.409 1.073 20 30 DDEDLO C=CCCC(=O)NC[C@H](O)CNc1cc(C)[nH+]c(C(C)C)n1 ZINC001105739356 743771335 /nfs/dbraw/zinc/77/13/35/743771335.db2.gz LPCIMLPRNBZBBJ-CYBMUJFWSA-N 1 2 306.410 1.764 20 30 DDEDLO C#C[C@@H](CO)[NH2+]Cc1cn(-c2ccccc2)nc1C(=O)OCC ZINC001183103376 743781003 /nfs/dbraw/zinc/78/10/03/743781003.db2.gz KOBAOUUJIFWFJQ-AWEZNQCLSA-N 1 2 313.357 1.133 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC2C[NH+](Cc3ccc4c(c3)CCC4)C2)c1 ZINC001030192946 743924545 /nfs/dbraw/zinc/92/45/45/743924545.db2.gz SIJDJJKYAGCKJT-UHFFFAOYSA-N 1 2 320.396 1.989 20 30 DDEDLO N#Cc1cc(C(=O)NC2C[NH+](CCCc3ccsc3)C2)c[nH]1 ZINC001030234802 743969577 /nfs/dbraw/zinc/96/95/77/743969577.db2.gz HPDFYXXVOJDIKV-UHFFFAOYSA-N 1 2 314.414 1.995 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@@H](CNCC#N)[C@H](C)C2)c[nH+]1 ZINC001184651731 744077973 /nfs/dbraw/zinc/07/79/73/744077973.db2.gz HTOMHQRPPQNCAH-HIFRSBDPSA-N 1 2 317.437 1.524 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc(F)cc2NC(C)=O)C1 ZINC001030399588 744109037 /nfs/dbraw/zinc/10/90/37/744109037.db2.gz YVQGXXNTNMQBPE-UHFFFAOYSA-N 1 2 305.353 1.774 20 30 DDEDLO C=CCn1cccc1C(=O)NC1C[NH+](C[C@H]2CC[C@@H](C)O2)C1 ZINC001030446267 744164938 /nfs/dbraw/zinc/16/49/38/744164938.db2.gz BKWILNIPEJGBPB-UKRRQHHQSA-N 1 2 303.406 1.656 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc3c(c2)OCC(=O)N3)C1 ZINC001030446140 744166276 /nfs/dbraw/zinc/16/62/76/744166276.db2.gz XLNSJPWDYYZNOX-UHFFFAOYSA-N 1 2 301.346 1.008 20 30 DDEDLO C[C@H](CC(=O)NCC1(Nc2ccncc2C#N)CC1)n1cc[nH+]c1 ZINC001110361435 744209688 /nfs/dbraw/zinc/20/96/88/744209688.db2.gz LYNNRXJDDMZYSQ-CYBMUJFWSA-N 1 2 324.388 1.284 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2COC[C@H]2c2ccccc2)C1 ZINC001030559052 744264765 /nfs/dbraw/zinc/26/47/65/744264765.db2.gz VHDFNJOILLAOQG-IRXDYDNUSA-N 1 2 300.402 1.793 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CC(C)(C)C)C2)nn1 ZINC001185872707 744297648 /nfs/dbraw/zinc/29/76/48/744297648.db2.gz LEAOLJAJLIPVRT-OAHLLOKOSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001185969439 744321860 /nfs/dbraw/zinc/32/18/60/744321860.db2.gz ZRYDOYMMNZXSPK-GFCCVEGCSA-N 1 2 322.409 1.509 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)C(C)C)C2 ZINC001110379981 744385595 /nfs/dbraw/zinc/38/55/95/744385595.db2.gz IGJDLPYWXQKHSU-JJXSEGSLSA-N 1 2 319.449 1.140 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)C(C)C)C2 ZINC001110379981 744385599 /nfs/dbraw/zinc/38/55/99/744385599.db2.gz IGJDLPYWXQKHSU-JJXSEGSLSA-N 1 2 319.449 1.140 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)n1 ZINC001187827631 744624127 /nfs/dbraw/zinc/62/41/27/744624127.db2.gz KCSMDIQXDWHWSA-AEGPPILISA-N 1 2 316.405 1.631 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)n1 ZINC001187827631 744624130 /nfs/dbraw/zinc/62/41/30/744624130.db2.gz KCSMDIQXDWHWSA-AEGPPILISA-N 1 2 316.405 1.631 20 30 DDEDLO CCCCCCCCS(=O)(=O)N1CC[NH+](CCCO)CC1 ZINC001188001024 744645801 /nfs/dbraw/zinc/64/58/01/744645801.db2.gz CRZZHDSBZKWZKH-UHFFFAOYSA-N 1 2 320.499 1.677 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@H]3CCCc4[nH+]c[nH]c43)CCC[C@H]12 ZINC000992165283 744650651 /nfs/dbraw/zinc/65/06/51/744650651.db2.gz DQSJIUAWZUGQPY-RVSPLBMKSA-N 1 2 313.405 1.466 20 30 DDEDLO Cc1nc(N[C@H](C)C[C@@H](C)NC(=O)CSCC#N)cc[nH+]1 ZINC001089244503 744771703 /nfs/dbraw/zinc/77/17/03/744771703.db2.gz PBKMBHZQVXIWJA-GHMZBOCLSA-N 1 2 307.423 1.737 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+](C)[C@H]1CCN(C(=O)CSCC#N)C1 ZINC001188966019 744801719 /nfs/dbraw/zinc/80/17/19/744801719.db2.gz SAIAIBQIDFWXBF-STQMWFEESA-N 1 2 319.434 1.327 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+](C)[C@H]1CCN(C(=O)CSCC#N)C1 ZINC001188966019 744801720 /nfs/dbraw/zinc/80/17/20/744801720.db2.gz SAIAIBQIDFWXBF-STQMWFEESA-N 1 2 319.434 1.327 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(Cc3cc(OC)cc[nH+]3)C2)C1 ZINC001030916842 744830113 /nfs/dbraw/zinc/83/01/13/744830113.db2.gz OAEXLNGOPKSVEM-UHFFFAOYSA-N 1 2 301.390 1.747 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(C)cc2Cl)[C@@H](O)C1 ZINC001083698099 744883835 /nfs/dbraw/zinc/88/38/35/744883835.db2.gz XHEGDZSUNNZQAB-CVEARBPZSA-N 1 2 320.820 1.755 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(C)cc2Cl)[C@@H](O)C1 ZINC001083698099 744883839 /nfs/dbraw/zinc/88/38/39/744883839.db2.gz XHEGDZSUNNZQAB-CVEARBPZSA-N 1 2 320.820 1.755 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001189363590 744886435 /nfs/dbraw/zinc/88/64/35/744886435.db2.gz MZWWVGXQDLKJJF-CQSZACIVSA-N 1 2 307.394 1.527 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001189363590 744886436 /nfs/dbraw/zinc/88/64/36/744886436.db2.gz MZWWVGXQDLKJJF-CQSZACIVSA-N 1 2 307.394 1.527 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001189573353 744926200 /nfs/dbraw/zinc/92/62/00/744926200.db2.gz FDNZJOPLTCHFDX-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001189573353 744926203 /nfs/dbraw/zinc/92/62/03/744926203.db2.gz FDNZJOPLTCHFDX-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001189918580 745033643 /nfs/dbraw/zinc/03/36/43/745033643.db2.gz SDPBCDONANLINS-CXAGYDPISA-N 1 2 321.421 1.597 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001189918580 745033647 /nfs/dbraw/zinc/03/36/47/745033647.db2.gz SDPBCDONANLINS-CXAGYDPISA-N 1 2 321.421 1.597 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)CC=C(Cl)Cl)C1 ZINC001190104150 745100270 /nfs/dbraw/zinc/10/02/70/745100270.db2.gz DGPPXNKIQCLRPP-RYUDHWBXSA-N 1 2 319.232 1.876 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)CC=C(Cl)Cl)C1 ZINC001190104150 745100273 /nfs/dbraw/zinc/10/02/73/745100273.db2.gz DGPPXNKIQCLRPP-RYUDHWBXSA-N 1 2 319.232 1.876 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)cs2)C1 ZINC001190121370 745123386 /nfs/dbraw/zinc/12/33/86/745123386.db2.gz PNCDINWMNKLKHR-CQSZACIVSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)cs2)C1 ZINC001190121370 745123388 /nfs/dbraw/zinc/12/33/88/745123388.db2.gz PNCDINWMNKLKHR-CQSZACIVSA-N 1 2 321.446 1.524 20 30 DDEDLO N#CCN[C@@H]1CCC[C@H]2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@@H]21 ZINC000992755860 745179584 /nfs/dbraw/zinc/17/95/84/745179584.db2.gz XJHYWKZRBLMTLV-DUVNUKRYSA-N 1 2 323.400 1.917 20 30 DDEDLO CC/C(C)=C/C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192709154 745875706 /nfs/dbraw/zinc/87/57/06/745875706.db2.gz JIUMDMJISYMLBC-HRSGCLKMSA-N 1 2 312.413 1.556 20 30 DDEDLO CC/C(C)=C/C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192709154 745875712 /nfs/dbraw/zinc/87/57/12/745875712.db2.gz JIUMDMJISYMLBC-HRSGCLKMSA-N 1 2 312.413 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CN2CCOCC2)[C@@H]1C ZINC000993228318 745921098 /nfs/dbraw/zinc/92/10/98/745921098.db2.gz DJUUBQAGNGWWNR-UONOGXRCSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CN2CCOCC2)[C@@H]1C ZINC000993228318 745921100 /nfs/dbraw/zinc/92/11/00/745921100.db2.gz DJUUBQAGNGWWNR-UONOGXRCSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C2CN(C(C)=O)C2)[C@@H]1C ZINC000993246720 745928583 /nfs/dbraw/zinc/92/85/83/745928583.db2.gz JFEKFBCILBKFKG-SMDDNHRTSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C2CN(C(C)=O)C2)[C@@H]1C ZINC000993246720 745928585 /nfs/dbraw/zinc/92/85/85/745928585.db2.gz JFEKFBCILBKFKG-SMDDNHRTSA-N 1 2 313.829 1.186 20 30 DDEDLO C[C@H](C[C@H](C)Nc1ccc(C#N)nc1)NC(=O)Cn1cc[nH+]c1 ZINC001089343545 745961923 /nfs/dbraw/zinc/96/19/23/745961923.db2.gz DZRABNDGINIINB-QWHCGFSZSA-N 1 2 312.377 1.545 20 30 DDEDLO CCN(CCNc1[nH+]cnc2c1cnn2C)C(=O)C#CC(C)C ZINC001106747645 746023370 /nfs/dbraw/zinc/02/33/70/746023370.db2.gz JTBNNKYZXMGYCP-UHFFFAOYSA-N 1 2 314.393 1.283 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cn(C)nc2C)[C@@H]1C ZINC000993422129 746113137 /nfs/dbraw/zinc/11/31/37/746113137.db2.gz LTXWZOBTGCISEB-ZFWWWQNUSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cn(C)nc2C)[C@@H]1C ZINC000993422129 746113141 /nfs/dbraw/zinc/11/31/41/746113141.db2.gz LTXWZOBTGCISEB-ZFWWWQNUSA-N 1 2 324.856 1.993 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2nc3cccnc3s2)[C@@H]1C ZINC000993428236 746125762 /nfs/dbraw/zinc/12/57/62/746125762.db2.gz VGTSVYVTMRBOIE-NWDGAFQWSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2nc3cccnc3s2)[C@@H]1C ZINC000993428236 746125766 /nfs/dbraw/zinc/12/57/66/746125766.db2.gz VGTSVYVTMRBOIE-NWDGAFQWSA-N 1 2 314.414 1.907 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001194382104 746362666 /nfs/dbraw/zinc/36/26/66/746362666.db2.gz UQFXMVKSROJTIL-OAHLLOKOSA-N 1 2 321.421 1.917 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001194382104 746362671 /nfs/dbraw/zinc/36/26/71/746362671.db2.gz UQFXMVKSROJTIL-OAHLLOKOSA-N 1 2 321.421 1.917 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001194396590 746365955 /nfs/dbraw/zinc/36/59/55/746365955.db2.gz GDCDFOPXUGSNIH-LSDHHAIUSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001194396590 746365957 /nfs/dbraw/zinc/36/59/57/746365957.db2.gz GDCDFOPXUGSNIH-LSDHHAIUSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)c2ccc(C)o2)CC1 ZINC001194733768 746440957 /nfs/dbraw/zinc/44/09/57/746440957.db2.gz ZWDSNVUNADZLNO-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)c2ccc(C)o2)CC1 ZINC001194733768 746440964 /nfs/dbraw/zinc/44/09/64/746440964.db2.gz ZWDSNVUNADZLNO-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+]([C@@H](C)c2cnc(C)cn2)CC1 ZINC001195284049 746568007 /nfs/dbraw/zinc/56/80/07/746568007.db2.gz NQOGREMERPCVMA-HNNXBMFYSA-N 1 2 318.421 1.583 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+]([C@@H](C)c2cnc(C)cn2)CC1 ZINC001195284049 746568009 /nfs/dbraw/zinc/56/80/09/746568009.db2.gz NQOGREMERPCVMA-HNNXBMFYSA-N 1 2 318.421 1.583 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2C[N@@H+]3CCCC[C@H]3CO2)n1C ZINC001121530877 782552871 /nfs/dbraw/zinc/55/28/71/782552871.db2.gz KMZFWYIEYAJUOR-KBPBESRZSA-N 1 2 303.410 1.200 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2C[N@H+]3CCCC[C@H]3CO2)n1C ZINC001121530877 782552876 /nfs/dbraw/zinc/55/28/76/782552876.db2.gz KMZFWYIEYAJUOR-KBPBESRZSA-N 1 2 303.410 1.200 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)N(C)CC2CC2)CC1 ZINC001195645733 746664138 /nfs/dbraw/zinc/66/41/38/746664138.db2.gz YRMUQGLLWQXKGN-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)N(C)CC2CC2)CC1 ZINC001195645733 746664143 /nfs/dbraw/zinc/66/41/43/746664143.db2.gz YRMUQGLLWQXKGN-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCN(C(=O)C#CC(C)(C)C)CC2)cn1 ZINC001195780718 746702938 /nfs/dbraw/zinc/70/29/38/746702938.db2.gz HULFDGUNZVROKA-UHFFFAOYSA-N 1 2 314.433 1.869 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCN(C(=O)C#CC(C)(C)C)CC2)cn1 ZINC001195780718 746702940 /nfs/dbraw/zinc/70/29/40/746702940.db2.gz HULFDGUNZVROKA-UHFFFAOYSA-N 1 2 314.433 1.869 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC001195990599 746761478 /nfs/dbraw/zinc/76/14/78/746761478.db2.gz WPXOWYXTYTZWKH-UHFFFAOYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC001195990599 746761483 /nfs/dbraw/zinc/76/14/83/746761483.db2.gz WPXOWYXTYTZWKH-UHFFFAOYSA-N 1 2 309.454 1.648 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cc(OC)c(OC)cc2C)C1 ZINC001031424614 746787315 /nfs/dbraw/zinc/78/73/15/746787315.db2.gz UPVZNSXEKQMJLY-UHFFFAOYSA-N 1 2 302.374 1.450 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)CN2CCc3sccc3C2)C1 ZINC001031431968 746796593 /nfs/dbraw/zinc/79/65/93/746796593.db2.gz BLQHMLVGDKPUIK-UHFFFAOYSA-N 1 2 305.447 1.483 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)N(C)CC)CC1 ZINC001196852815 746991199 /nfs/dbraw/zinc/99/11/99/746991199.db2.gz DRSQYXBQXHLNFB-GJZGRUSLSA-N 1 2 309.454 1.457 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)N(C)CC)CC1 ZINC001196852815 746991203 /nfs/dbraw/zinc/99/12/03/746991203.db2.gz DRSQYXBQXHLNFB-GJZGRUSLSA-N 1 2 309.454 1.457 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)CC)CC1 ZINC001196993933 747027228 /nfs/dbraw/zinc/02/72/28/747027228.db2.gz WVWJKEZRGFQVPD-CQSZACIVSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C(C)(C)CC)CC1 ZINC001196993933 747027237 /nfs/dbraw/zinc/02/72/37/747027237.db2.gz WVWJKEZRGFQVPD-CQSZACIVSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(C)C(F)F)CC1 ZINC001197082540 747058823 /nfs/dbraw/zinc/05/88/23/747058823.db2.gz HWTDWGIONUBMPS-UHFFFAOYSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)C(F)F)CC1 ZINC001197082540 747058830 /nfs/dbraw/zinc/05/88/30/747058830.db2.gz HWTDWGIONUBMPS-UHFFFAOYSA-N 1 2 317.380 1.114 20 30 DDEDLO Cc1ncc(C(=O)NCC2C[NH+](Cc3ccc(C#N)s3)C2)[nH]1 ZINC001031582263 747099089 /nfs/dbraw/zinc/09/90/89/747099089.db2.gz SUZJJMVHBSMOCJ-UHFFFAOYSA-N 1 2 315.402 1.513 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@]3(F)CCOC3)c2C1 ZINC001128458537 747189779 /nfs/dbraw/zinc/18/97/79/747189779.db2.gz QUPJFAYEQZWUQL-MRXNPFEDSA-N 1 2 322.384 1.020 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@]3(F)CCOC3)c2C1 ZINC001128458537 747189781 /nfs/dbraw/zinc/18/97/81/747189781.db2.gz QUPJFAYEQZWUQL-MRXNPFEDSA-N 1 2 322.384 1.020 20 30 DDEDLO N#Cc1cccc(NC(=S)N[C@H]2CC[N@@H+](C3COC3)C2)c1 ZINC001197726511 747251359 /nfs/dbraw/zinc/25/13/59/747251359.db2.gz MODHOFNXAPZRHF-ZDUSSCGKSA-N 1 2 302.403 1.318 20 30 DDEDLO N#Cc1cccc(NC(=S)N[C@H]2CC[N@H+](C3COC3)C2)c1 ZINC001197726511 747251361 /nfs/dbraw/zinc/25/13/61/747251361.db2.gz MODHOFNXAPZRHF-ZDUSSCGKSA-N 1 2 302.403 1.318 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)c3cc[nH]c3)C2)ccc1F ZINC001031690617 747386999 /nfs/dbraw/zinc/38/69/99/747386999.db2.gz RKJCAORLSQWQRF-UHFFFAOYSA-N 1 2 312.348 1.887 20 30 DDEDLO CN(C(=O)C(F)F)[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001032855580 747470572 /nfs/dbraw/zinc/47/05/72/747470572.db2.gz JZHDKSPZSLAWDO-GFCCVEGCSA-N 1 2 311.307 1.995 20 30 DDEDLO CN(C(=O)C(F)F)[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001032855580 747470577 /nfs/dbraw/zinc/47/05/77/747470577.db2.gz JZHDKSPZSLAWDO-GFCCVEGCSA-N 1 2 311.307 1.995 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2snnc2CC)C1 ZINC001108059870 747487868 /nfs/dbraw/zinc/48/78/68/747487868.db2.gz PAGDSTYPBZPYRS-AWEZNQCLSA-N 1 2 310.423 1.107 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2snnc2CC)C1 ZINC001108059870 747487870 /nfs/dbraw/zinc/48/78/70/747487870.db2.gz PAGDSTYPBZPYRS-AWEZNQCLSA-N 1 2 310.423 1.107 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2nc3ncccn3n2)CC1 ZINC001003818878 747558437 /nfs/dbraw/zinc/55/84/37/747558437.db2.gz IJIVGBUDUNTPJL-UHFFFAOYSA-N 1 2 320.784 1.071 20 30 DDEDLO C#CC[C@@H](CC(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001199505457 747878181 /nfs/dbraw/zinc/87/81/81/747878181.db2.gz IQMRWQFXVXVGFE-GVDBMIGSSA-N 1 2 318.392 1.314 20 30 DDEDLO C#CC[C@@H](CC(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001199505457 747878185 /nfs/dbraw/zinc/87/81/85/747878185.db2.gz IQMRWQFXVXVGFE-GVDBMIGSSA-N 1 2 318.392 1.314 20 30 DDEDLO COc1cc(N2CCN(C(=O)c3ccc(C#N)[nH]3)CC2)cc[nH+]1 ZINC001199680814 747950273 /nfs/dbraw/zinc/95/02/73/747950273.db2.gz MTNMVARUPJLKEL-UHFFFAOYSA-N 1 2 311.345 1.252 20 30 DDEDLO C=C(C)C[NH+]1CC(CNC(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC001031856689 748033059 /nfs/dbraw/zinc/03/30/59/748033059.db2.gz GFQSINCRYWDTJU-LRDDRELGSA-N 1 2 302.422 1.700 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+]([C@H](C)c3cnccn3)C2)cc1 ZINC001031891862 748133971 /nfs/dbraw/zinc/13/39/71/748133971.db2.gz CQIWCMFFXYNZQK-CQSZACIVSA-N 1 2 320.396 1.881 20 30 DDEDLO Cn1nccc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004367529 748367648 /nfs/dbraw/zinc/36/76/48/748367648.db2.gz CVILLTTUIOOCJX-AWEZNQCLSA-N 1 2 301.394 1.260 20 30 DDEDLO Cn1nccc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004367529 748367652 /nfs/dbraw/zinc/36/76/52/748367652.db2.gz CVILLTTUIOOCJX-AWEZNQCLSA-N 1 2 301.394 1.260 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001031993796 748377210 /nfs/dbraw/zinc/37/72/10/748377210.db2.gz YKQKRUMUEIBWGO-UONOGXRCSA-N 1 2 308.813 1.324 20 30 DDEDLO Cc1nn(C)cc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004396380 748397917 /nfs/dbraw/zinc/39/79/17/748397917.db2.gz NSYJUQOZQWVQIE-INIZCTEOSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1nn(C)cc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004396380 748397924 /nfs/dbraw/zinc/39/79/24/748397924.db2.gz NSYJUQOZQWVQIE-INIZCTEOSA-N 1 2 315.421 1.569 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC001004408536 748408715 /nfs/dbraw/zinc/40/87/15/748408715.db2.gz SJPUPNQOQLSSPO-INIZCTEOSA-N 1 2 311.389 1.727 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC001004408536 748408718 /nfs/dbraw/zinc/40/87/18/748408718.db2.gz SJPUPNQOQLSSPO-INIZCTEOSA-N 1 2 311.389 1.727 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108072386 748435185 /nfs/dbraw/zinc/43/51/85/748435185.db2.gz HFFFYSTZPOPPNY-NWDGAFQWSA-N 1 2 320.441 1.765 20 30 DDEDLO CCCC[NH+]1CCN(S(=O)(=O)c2ccc(C)c(C#N)c2)CC1 ZINC001201303008 748471189 /nfs/dbraw/zinc/47/11/89/748471189.db2.gz CQSJEMGWDVEBOH-UHFFFAOYSA-N 1 2 321.446 1.973 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccncn3)C2)s1 ZINC001032060458 748531294 /nfs/dbraw/zinc/53/12/94/748531294.db2.gz TWOFFLPBTVYUGA-UHFFFAOYSA-N 1 2 313.386 1.272 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2COCCN2CC)C1 ZINC001007716017 752290989 /nfs/dbraw/zinc/29/09/89/752290989.db2.gz CGCUBNRRSAACJP-KBPBESRZSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2COCCN2CC)C1 ZINC001007716017 752290993 /nfs/dbraw/zinc/29/09/93/752290993.db2.gz CGCUBNRRSAACJP-KBPBESRZSA-N 1 2 315.845 1.040 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1(C)CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110592367 748805147 /nfs/dbraw/zinc/80/51/47/748805147.db2.gz ABLYVYSWXNFWSY-ZDUSSCGKSA-N 1 2 318.421 1.851 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001032196808 748815546 /nfs/dbraw/zinc/81/55/46/748815546.db2.gz CCHRORBJXULTRG-UHFFFAOYSA-N 1 2 309.797 1.818 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001033183498 748831217 /nfs/dbraw/zinc/83/12/17/748831217.db2.gz ZDCDZOKVAIDLMH-GFCCVEGCSA-N 1 2 324.812 1.286 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001033183498 748831223 /nfs/dbraw/zinc/83/12/23/748831223.db2.gz ZDCDZOKVAIDLMH-GFCCVEGCSA-N 1 2 324.812 1.286 20 30 DDEDLO CC(C)C[C@@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#N)n1cc[nH+]c1 ZINC001110697084 748902422 /nfs/dbraw/zinc/90/24/22/748902422.db2.gz ZFVJOAXDFAEQTI-WCVJEAGWSA-N 1 2 315.421 1.715 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2nccnc2N)CC1 ZINC001000537170 761901848 /nfs/dbraw/zinc/90/18/48/761901848.db2.gz YPZZLNXNEZRDDI-UHFFFAOYSA-N 1 2 307.785 1.173 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2nccnc2N)CC1 ZINC001000537170 761901851 /nfs/dbraw/zinc/90/18/51/761901851.db2.gz YPZZLNXNEZRDDI-UHFFFAOYSA-N 1 2 307.785 1.173 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C(C)(C)C)C2 ZINC001110719838 748939338 /nfs/dbraw/zinc/93/93/38/748939338.db2.gz AJRSDLSNQDSMFY-YJNKXOJESA-N 1 2 321.465 1.692 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C(C)(C)C)C2 ZINC001110719838 748939343 /nfs/dbraw/zinc/93/93/43/748939343.db2.gz AJRSDLSNQDSMFY-YJNKXOJESA-N 1 2 321.465 1.692 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001110728735 748954628 /nfs/dbraw/zinc/95/46/28/748954628.db2.gz VFLYLBDFKSYITD-KRWDZBQOSA-N 1 2 318.421 1.689 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cccc3ncnn32)C1 ZINC001033231571 749003799 /nfs/dbraw/zinc/00/37/99/749003799.db2.gz ACNBRKZOSLMBFR-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cccc3ncnn32)C1 ZINC001033231571 749003802 /nfs/dbraw/zinc/00/38/02/749003802.db2.gz ACNBRKZOSLMBFR-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2COc3ccccc32)C1 ZINC001032224621 749031637 /nfs/dbraw/zinc/03/16/37/749031637.db2.gz CHOZXYKARYCISU-AWEZNQCLSA-N 1 2 306.793 1.963 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001114343194 749093447 /nfs/dbraw/zinc/09/34/47/749093447.db2.gz KFSFVTIULXGXQV-PBOSXPJTSA-N 1 2 304.394 1.842 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001114343194 749093454 /nfs/dbraw/zinc/09/34/54/749093454.db2.gz KFSFVTIULXGXQV-PBOSXPJTSA-N 1 2 304.394 1.842 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114353213 749105298 /nfs/dbraw/zinc/10/52/98/749105298.db2.gz NXPCYSFNMOGZMM-FOCJUVANSA-N 1 2 317.437 1.831 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114353213 749105301 /nfs/dbraw/zinc/10/53/01/749105301.db2.gz NXPCYSFNMOGZMM-FOCJUVANSA-N 1 2 317.437 1.831 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001033274761 749107268 /nfs/dbraw/zinc/10/72/68/749107268.db2.gz BALGQRZNDBRHQD-DEPYFDJDSA-N 1 2 310.825 1.695 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001033274761 749107274 /nfs/dbraw/zinc/10/72/74/749107274.db2.gz BALGQRZNDBRHQD-DEPYFDJDSA-N 1 2 310.825 1.695 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2c(C)nn(C)c2C)C1 ZINC001033310723 749161901 /nfs/dbraw/zinc/16/19/01/749161901.db2.gz ZGQKMXFJNMZWQQ-CYBMUJFWSA-N 1 2 310.829 1.936 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2c(C)nn(C)c2C)C1 ZINC001033310723 749161904 /nfs/dbraw/zinc/16/19/04/749161904.db2.gz ZGQKMXFJNMZWQQ-CYBMUJFWSA-N 1 2 310.829 1.936 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@H]2CC[N@H+](CC(=C)Cl)C2)c1 ZINC001033404222 749322692 /nfs/dbraw/zinc/32/26/92/749322692.db2.gz AMRXQLVVXGAJMH-HNNXBMFYSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@H]2CC[N@@H+](CC(=C)Cl)C2)c1 ZINC001033404222 749322695 /nfs/dbraw/zinc/32/26/95/749322695.db2.gz AMRXQLVVXGAJMH-HNNXBMFYSA-N 1 2 303.793 1.962 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2nccn3ccnc23)C1 ZINC001033431267 749353515 /nfs/dbraw/zinc/35/35/15/749353515.db2.gz GYKMTFANEJUODF-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2nccn3ccnc23)C1 ZINC001033431267 749353524 /nfs/dbraw/zinc/35/35/24/749353524.db2.gz GYKMTFANEJUODF-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2scnc2COC)C1 ZINC001033487518 749414691 /nfs/dbraw/zinc/41/46/91/749414691.db2.gz WEPOEEFVDRMJKK-GFCCVEGCSA-N 1 2 307.419 1.459 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2scnc2COC)C1 ZINC001033487518 749414696 /nfs/dbraw/zinc/41/46/96/749414696.db2.gz WEPOEEFVDRMJKK-GFCCVEGCSA-N 1 2 307.419 1.459 20 30 DDEDLO C#CCCCCC(=O)NCc1cn(C2C[NH+](CC=C)C2)nn1 ZINC001107159141 749435178 /nfs/dbraw/zinc/43/51/78/749435178.db2.gz LGWHTPBXWSOPPY-UHFFFAOYSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@H](C)SC)nn2)C1 ZINC001107160629 749457220 /nfs/dbraw/zinc/45/72/20/749457220.db2.gz AUVNIKAFOXBBKX-NSHDSACASA-N 1 2 309.439 1.079 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)c2cccnc2)C1 ZINC001108348330 761953218 /nfs/dbraw/zinc/95/32/18/761953218.db2.gz YVZWMMQLHJSMBQ-MAUKXSAKSA-N 1 2 315.417 1.416 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)c2cccnc2)C1 ZINC001108348330 761953225 /nfs/dbraw/zinc/95/32/25/761953225.db2.gz YVZWMMQLHJSMBQ-MAUKXSAKSA-N 1 2 315.417 1.416 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CCc1nnc[nH]1 ZINC001039353530 761959465 /nfs/dbraw/zinc/95/94/65/761959465.db2.gz TWSRYEAFJVRCBE-OLZOCXBDSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CCc1nnc[nH]1 ZINC001039353530 761959468 /nfs/dbraw/zinc/95/94/68/761959468.db2.gz TWSRYEAFJVRCBE-OLZOCXBDSA-N 1 2 323.828 1.555 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C/C=C(\C)C=C)nn2)C1 ZINC001107197348 749560401 /nfs/dbraw/zinc/56/04/01/749560401.db2.gz DZSQSNCBBJABQU-AWNIVKPZSA-N 1 2 301.394 1.459 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CCCC=C)nn2)C1 ZINC001107199873 749569057 /nfs/dbraw/zinc/56/90/57/749569057.db2.gz ROCWRNFAEQYSJF-UHFFFAOYSA-N 1 2 301.394 1.131 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001033616775 749612434 /nfs/dbraw/zinc/61/24/34/749612434.db2.gz LPXPCBBOADIPRO-ZIAGYGMSSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001033616775 749612439 /nfs/dbraw/zinc/61/24/39/749612439.db2.gz LPXPCBBOADIPRO-ZIAGYGMSSA-N 1 2 313.829 1.140 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CCCC(C)C)nn2)C1 ZINC001107214407 749619021 /nfs/dbraw/zinc/61/90/21/749619021.db2.gz VGAPIPBNVBXPID-UHFFFAOYSA-N 1 2 305.426 1.763 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC/C=C/CNc1ncccc1C#N ZINC001107262878 749700926 /nfs/dbraw/zinc/70/09/26/749700926.db2.gz KIIZPPUFYVNHBC-NSCUHMNNSA-N 1 2 310.361 1.014 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001033697916 749727385 /nfs/dbraw/zinc/72/73/85/749727385.db2.gz ZVURUYRSIZPJTB-UONOGXRCSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001033697916 749727390 /nfs/dbraw/zinc/72/73/90/749727390.db2.gz ZVURUYRSIZPJTB-UONOGXRCSA-N 1 2 313.829 1.283 20 30 DDEDLO CC(=O)N1CC[C@@H]([NH+]2CCN(c3snc(C)c3C#N)CC2)C1 ZINC001066694274 749760284 /nfs/dbraw/zinc/76/02/84/749760284.db2.gz MENLOXLKDROOFM-CYBMUJFWSA-N 1 2 319.434 1.066 20 30 DDEDLO N#Cc1cccc(C[NH2+]CCNC(=O)C(F)C(F)(F)F)c1 ZINC001127774133 749956653 /nfs/dbraw/zinc/95/66/53/749956653.db2.gz YFEQMJMVMNEORP-LLVKDONJSA-N 1 2 303.259 1.664 20 30 DDEDLO N#Cc1cccc(C[NH2+]CCNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001127774133 749956655 /nfs/dbraw/zinc/95/66/55/749956655.db2.gz YFEQMJMVMNEORP-LLVKDONJSA-N 1 2 303.259 1.664 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc(OC)c2)C1 ZINC001108364376 761996015 /nfs/dbraw/zinc/99/60/15/761996015.db2.gz OWNNITHOPTVLOL-QGZVFWFLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc(OC)c2)C1 ZINC001108364376 761996017 /nfs/dbraw/zinc/99/60/17/761996017.db2.gz OWNNITHOPTVLOL-QGZVFWFLSA-N 1 2 304.390 1.702 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(CC)C(=O)c2cccc3nn[nH]c32)C1 ZINC001033852584 749986774 /nfs/dbraw/zinc/98/67/74/749986774.db2.gz YIUMQKZJHAYGKC-ZDUSSCGKSA-N 1 2 311.389 1.518 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cccc3nn[nH]c32)C1 ZINC001033852584 749986777 /nfs/dbraw/zinc/98/67/77/749986777.db2.gz YIUMQKZJHAYGKC-ZDUSSCGKSA-N 1 2 311.389 1.518 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)cc2F)C1 ZINC001108374055 762004070 /nfs/dbraw/zinc/00/40/70/762004070.db2.gz FLDFSTLBSWSEEE-MRXNPFEDSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)cc2F)C1 ZINC001108374055 762004081 /nfs/dbraw/zinc/00/40/81/762004081.db2.gz FLDFSTLBSWSEEE-MRXNPFEDSA-N 1 2 310.344 1.972 20 30 DDEDLO Cc1cc(N(C)CCCNC(=O)Cn2cc[nH+]c2)c(C#N)cn1 ZINC001095582964 750056749 /nfs/dbraw/zinc/05/67/49/750056749.db2.gz VCQGOYRXIYYKPU-UHFFFAOYSA-N 1 2 312.377 1.101 20 30 DDEDLO N#Cc1c(F)cccc1NC/C=C/CNC(=O)Cc1c[nH]c[nH+]1 ZINC001107539686 750102818 /nfs/dbraw/zinc/10/28/18/750102818.db2.gz SBRPSDLOJVTDAP-OWOJBTEDSA-N 1 2 313.336 1.747 20 30 DDEDLO O=C(C[C@H]1CCOC1)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#Cc1ccccc1 ZINC001032327301 750131075 /nfs/dbraw/zinc/13/10/75/750131075.db2.gz QSTVJJQUOPZYOI-QYZOEREBSA-N 1 2 324.424 1.750 20 30 DDEDLO O=C(C[C@H]1CCOC1)N1C[C@@H]2C[C@H]1C[N@H+]2CC#Cc1ccccc1 ZINC001032327301 750131077 /nfs/dbraw/zinc/13/10/77/750131077.db2.gz QSTVJJQUOPZYOI-QYZOEREBSA-N 1 2 324.424 1.750 20 30 DDEDLO CN(CCCNC(=O)CCn1cc[nH+]c1)c1ncccc1C#N ZINC001095689189 750144550 /nfs/dbraw/zinc/14/45/50/750144550.db2.gz NJUMITKTTWGYGW-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001033936639 750156341 /nfs/dbraw/zinc/15/63/41/750156341.db2.gz XJIVWFJLJBAIAT-CHWSQXEVSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001033936639 750156346 /nfs/dbraw/zinc/15/63/46/750156346.db2.gz XJIVWFJLJBAIAT-CHWSQXEVSA-N 1 2 313.829 1.283 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)co1)C2 ZINC001110918362 750220320 /nfs/dbraw/zinc/22/03/20/750220320.db2.gz NQHLBNUYRBYCQU-MCIONIFRSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)co1)C2 ZINC001110918362 750220326 /nfs/dbraw/zinc/22/03/26/750220326.db2.gz NQHLBNUYRBYCQU-MCIONIFRSA-N 1 2 317.389 1.225 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2ccoc2)C1 ZINC001107857503 750458431 /nfs/dbraw/zinc/45/84/31/750458431.db2.gz VOIBZRZJFCIHDD-KRWDZBQOSA-N 1 2 304.390 1.443 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2ccoc2)C1 ZINC001107857503 750458436 /nfs/dbraw/zinc/45/84/36/750458436.db2.gz VOIBZRZJFCIHDD-KRWDZBQOSA-N 1 2 304.390 1.443 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2CC(F)(F)C2)C1 ZINC001107859408 750463835 /nfs/dbraw/zinc/46/38/35/750463835.db2.gz VJAIKOGKSSUBFC-AWEZNQCLSA-N 1 2 300.349 1.262 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2CC(F)(F)C2)C1 ZINC001107859408 750463839 /nfs/dbraw/zinc/46/38/39/750463839.db2.gz VJAIKOGKSSUBFC-AWEZNQCLSA-N 1 2 300.349 1.262 20 30 DDEDLO Cc1nsc(NC[C@@H](C)NC(=O)Cc2[nH]c[nH+]c2C)c1C#N ZINC001107869144 750495366 /nfs/dbraw/zinc/49/53/66/750495366.db2.gz NLUWYHXCGYVLQO-MRVPVSSYSA-N 1 2 318.406 1.514 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncnc2sccc21 ZINC001032414011 750668986 /nfs/dbraw/zinc/66/89/86/750668986.db2.gz YLPPAXUUTLFMRB-RYUDHWBXSA-N 1 2 312.398 1.613 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncnc2sccc21 ZINC001032414011 750668993 /nfs/dbraw/zinc/66/89/93/750668993.db2.gz YLPPAXUUTLFMRB-RYUDHWBXSA-N 1 2 312.398 1.613 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001114586660 750781266 /nfs/dbraw/zinc/78/12/66/750781266.db2.gz WIEDDXCHNVWDFA-QKDCVEJESA-N 1 2 300.406 1.940 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1nc(C)cc1C(F)F ZINC001032423305 750791234 /nfs/dbraw/zinc/79/12/34/750791234.db2.gz GYNPCYFEMIPUEJ-STQMWFEESA-N 1 2 322.359 1.438 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1nc(C)cc1C(F)F ZINC001032423305 750791236 /nfs/dbraw/zinc/79/12/36/750791236.db2.gz GYNPCYFEMIPUEJ-STQMWFEESA-N 1 2 322.359 1.438 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c2c(nn1C)CCCC2 ZINC001032426103 750798900 /nfs/dbraw/zinc/79/89/00/750798900.db2.gz XBXOGKXJFRCUMV-KBPBESRZSA-N 1 2 312.417 1.221 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c2c(nn1C)CCCC2 ZINC001032426103 750798903 /nfs/dbraw/zinc/79/89/03/750798903.db2.gz XBXOGKXJFRCUMV-KBPBESRZSA-N 1 2 312.417 1.221 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)c2ccc(F)cc12 ZINC001032449996 750864501 /nfs/dbraw/zinc/86/45/01/750864501.db2.gz WSJDQQJKZHXUTD-KBPBESRZSA-N 1 2 311.360 1.849 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)c2ccc(F)cc12 ZINC001032449996 750864512 /nfs/dbraw/zinc/86/45/12/750864512.db2.gz WSJDQQJKZHXUTD-KBPBESRZSA-N 1 2 311.360 1.849 20 30 DDEDLO CC#CC[N@H+]1CC=C(CNC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001000685678 762090344 /nfs/dbraw/zinc/09/03/44/762090344.db2.gz LAKRFCFVYUCYOF-OAHLLOKOSA-N 1 2 312.417 1.601 20 30 DDEDLO CC#CC[N@@H+]1CC=C(CNC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001000685678 762090353 /nfs/dbraw/zinc/09/03/53/762090353.db2.gz LAKRFCFVYUCYOF-OAHLLOKOSA-N 1 2 312.417 1.601 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2cccc(OC)c21 ZINC001032670585 752666691 /nfs/dbraw/zinc/66/66/91/752666691.db2.gz GLDUDLKABRIAQR-HRCADAONSA-N 1 2 310.397 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2cccc(OC)c21 ZINC001032670585 752666696 /nfs/dbraw/zinc/66/66/96/752666696.db2.gz GLDUDLKABRIAQR-HRCADAONSA-N 1 2 310.397 1.643 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cnnc2)cc1 ZINC001032679954 752701634 /nfs/dbraw/zinc/70/16/34/752701634.db2.gz SFJJYZWBORXNOG-IRXDYDNUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cnnc2)cc1 ZINC001032679954 752701637 /nfs/dbraw/zinc/70/16/37/752701637.db2.gz SFJJYZWBORXNOG-IRXDYDNUSA-N 1 2 321.384 1.189 20 30 DDEDLO Cc1cc(/C=N/[S@@](=O)C(C)(C)C)cc(NCCC(N)=O)[nH+]1 ZINC001168956152 762153885 /nfs/dbraw/zinc/15/38/85/762153885.db2.gz HPVBKKBIHPNLIH-XHNXRLJJSA-N 1 2 310.423 1.558 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001062198874 752824521 /nfs/dbraw/zinc/82/45/21/752824521.db2.gz CDOLAODIIUBGSR-OAHLLOKOSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[NH2+]Cc1nc(COC)no1 ZINC001128081156 752885062 /nfs/dbraw/zinc/88/50/62/752885062.db2.gz DLTBGZYSASXEKI-UHFFFAOYSA-N 1 2 308.382 1.190 20 30 DDEDLO Cc1cc(N2C[C@@H]3C[C@H]2CN3C(=O)[C@H](C)C#N)nc(C(C)C)[nH+]1 ZINC001062457335 753015490 /nfs/dbraw/zinc/01/54/90/753015490.db2.gz UFXANRVNSMHAFN-XBFCOCLRSA-N 1 2 313.405 1.858 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2ccc(CC)cc2)C1 ZINC001077846456 753289803 /nfs/dbraw/zinc/28/98/03/753289803.db2.gz QXTGJDJDGYVNPQ-IAOVAPTHSA-N 1 2 300.402 1.147 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2ccc(CC)cc2)C1 ZINC001077846456 753289807 /nfs/dbraw/zinc/28/98/07/753289807.db2.gz QXTGJDJDGYVNPQ-IAOVAPTHSA-N 1 2 300.402 1.147 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2conc2C(C)C)C1 ZINC001107994535 753358588 /nfs/dbraw/zinc/35/85/88/753358588.db2.gz QAQUMBOFMUZVAK-INIZCTEOSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2conc2C(C)C)C1 ZINC001107994535 753358593 /nfs/dbraw/zinc/35/85/93/753358593.db2.gz QAQUMBOFMUZVAK-INIZCTEOSA-N 1 2 307.394 1.805 20 30 DDEDLO Cc1nc(NC[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001060913409 753454321 /nfs/dbraw/zinc/45/43/21/753454321.db2.gz IITBJJPIHVROIT-CYBMUJFWSA-N 1 2 324.388 1.488 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)[C@@H]3CCCO3)C2)cc1F ZINC001010064740 753585933 /nfs/dbraw/zinc/58/59/33/753585933.db2.gz ZVNDGFUOVGWVRH-HOCLYGCPSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)[C@@H]3CCCO3)C2)cc1F ZINC001010064740 753585934 /nfs/dbraw/zinc/58/59/34/753585934.db2.gz ZVNDGFUOVGWVRH-HOCLYGCPSA-N 1 2 317.364 1.567 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CCCCC3)C1 ZINC001078125648 753760029 /nfs/dbraw/zinc/76/00/29/753760029.db2.gz UQVJKIPZIVOWIR-ZIAGYGMSSA-N 1 2 318.442 1.425 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CCCCC3)C1 ZINC001078125648 753760032 /nfs/dbraw/zinc/76/00/32/753760032.db2.gz UQVJKIPZIVOWIR-ZIAGYGMSSA-N 1 2 318.442 1.425 20 30 DDEDLO Cc1c[nH]cc1C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001078173083 753820643 /nfs/dbraw/zinc/82/06/43/753820643.db2.gz GJSYHFPQBJXIHC-QZTJIDSGSA-N 1 2 323.396 1.150 20 30 DDEDLO Cc1c[nH]cc1C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001078173083 753820645 /nfs/dbraw/zinc/82/06/45/753820645.db2.gz GJSYHFPQBJXIHC-QZTJIDSGSA-N 1 2 323.396 1.150 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001010458879 753939483 /nfs/dbraw/zinc/93/94/83/753939483.db2.gz VBFGLMVQXRFJRT-AAEUAGOBSA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001010458879 753939494 /nfs/dbraw/zinc/93/94/94/753939494.db2.gz VBFGLMVQXRFJRT-AAEUAGOBSA-N 1 2 313.361 1.748 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCN1C(=O)CCC ZINC001032798878 754008696 /nfs/dbraw/zinc/00/86/96/754008696.db2.gz KHYYKDFFWSIVQA-JYJNAYRXSA-N 1 2 317.433 1.086 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCN1C(=O)CCC ZINC001032798878 754008703 /nfs/dbraw/zinc/00/87/03/754008703.db2.gz KHYYKDFFWSIVQA-JYJNAYRXSA-N 1 2 317.433 1.086 20 30 DDEDLO N#Cc1cnccc1N1CCCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001063234183 754054182 /nfs/dbraw/zinc/05/41/82/754054182.db2.gz AJHBEEACCGQELC-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCCCC(=O)NC1(CNC(=O)CCn2cc[nH+]c2)CCC1 ZINC001063786723 754347127 /nfs/dbraw/zinc/34/71/27/754347127.db2.gz HRNCCFPJQRMHKJ-UHFFFAOYSA-N 1 2 318.421 1.785 20 30 DDEDLO Cc1nc(NC[C@H]2CCC[C@@H]2NC(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001064070529 754482263 /nfs/dbraw/zinc/48/22/63/754482263.db2.gz MBLMYQBWLVIPNY-DOMZBBRYSA-N 1 2 324.388 1.995 20 30 DDEDLO CC#CCCCC(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001064077652 754486583 /nfs/dbraw/zinc/48/65/83/754486583.db2.gz WJHSCVCOVGKYKC-UHFFFAOYSA-N 1 2 316.405 1.232 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@H]2CCC(=O)NC2)CC1 ZINC001000888855 762305196 /nfs/dbraw/zinc/30/51/96/762305196.db2.gz ZWIWSIFAOWXYJY-ZDUSSCGKSA-N 1 2 311.813 1.013 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@H]2CCC(=O)NC2)CC1 ZINC001000888855 762305199 /nfs/dbraw/zinc/30/51/99/762305199.db2.gz ZWIWSIFAOWXYJY-ZDUSSCGKSA-N 1 2 311.813 1.013 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCC[C@H]2NC(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001064112850 754521306 /nfs/dbraw/zinc/52/13/06/754521306.db2.gz KTOYDYPHCRYORC-IUODEOHRSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001079836458 755603617 /nfs/dbraw/zinc/60/36/17/755603617.db2.gz AXNHYSDXBMYDNT-BXKDBHETSA-N 1 2 311.817 1.409 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001079836458 755603620 /nfs/dbraw/zinc/60/36/20/755603620.db2.gz AXNHYSDXBMYDNT-BXKDBHETSA-N 1 2 311.817 1.409 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc3n[nH]cc3c2)C1 ZINC001079933453 755647518 /nfs/dbraw/zinc/64/75/18/755647518.db2.gz YHILLMNZSIJLCW-NOZJJQNGSA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc3n[nH]cc3c2)C1 ZINC001079933453 755647520 /nfs/dbraw/zinc/64/75/20/755647520.db2.gz YHILLMNZSIJLCW-NOZJJQNGSA-N 1 2 319.796 1.761 20 30 DDEDLO C#CCCCC(=O)N1CC(N(CC)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001079963371 755656282 /nfs/dbraw/zinc/65/62/82/755656282.db2.gz ZQFHVLBVQDYGCZ-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO C#CCCCC(=O)N1CC(N(CC)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001079963371 755656286 /nfs/dbraw/zinc/65/62/86/755656286.db2.gz ZQFHVLBVQDYGCZ-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccnn2C)C1 ZINC001014721181 755906327 /nfs/dbraw/zinc/90/63/27/755906327.db2.gz CMQWDMGJPUKHSJ-CQSZACIVSA-N 1 2 313.405 1.412 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1CC[N@H+](Cc2ccnn2C)C1 ZINC001014721181 755906334 /nfs/dbraw/zinc/90/63/34/755906334.db2.gz CMQWDMGJPUKHSJ-CQSZACIVSA-N 1 2 313.405 1.412 20 30 DDEDLO C[C@@H](CNc1nc(C#N)c(Cl)s1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001108485532 762435169 /nfs/dbraw/zinc/43/51/69/762435169.db2.gz AGTNWILHMSWPMR-ZETCQYMHSA-N 1 2 324.797 1.551 20 30 DDEDLO C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001080696105 756056411 /nfs/dbraw/zinc/05/64/11/756056411.db2.gz NBVPGXPFLRENJC-YWPYICTPSA-N 1 2 300.406 1.218 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001080696105 756056420 /nfs/dbraw/zinc/05/64/20/756056420.db2.gz NBVPGXPFLRENJC-YWPYICTPSA-N 1 2 300.406 1.218 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncc3ccccn32)C1 ZINC001015272083 756201794 /nfs/dbraw/zinc/20/17/94/756201794.db2.gz RQMDKHKYNLDYDW-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncc3ccccn32)C1 ZINC001015272083 756201798 /nfs/dbraw/zinc/20/17/98/756201798.db2.gz RQMDKHKYNLDYDW-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001015490944 756339151 /nfs/dbraw/zinc/33/91/51/756339151.db2.gz BKCYWYHZEXWMPR-OAHLLOKOSA-N 1 2 309.373 1.299 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001015490944 756339156 /nfs/dbraw/zinc/33/91/56/756339156.db2.gz BKCYWYHZEXWMPR-OAHLLOKOSA-N 1 2 309.373 1.299 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(Cl)nc2)C[C@@H]1O ZINC001099727659 756416320 /nfs/dbraw/zinc/41/63/20/756416320.db2.gz RLHKVUWAALVDKF-KGLIPLIRSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(Cl)nc2)C[C@@H]1O ZINC001099727659 756416323 /nfs/dbraw/zinc/41/63/23/756416323.db2.gz RLHKVUWAALVDKF-KGLIPLIRSA-N 1 2 323.824 1.753 20 30 DDEDLO C[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015727012 756499353 /nfs/dbraw/zinc/49/93/53/756499353.db2.gz NSUCUIQRWHLXCG-COXVUDFISA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015727012 756499356 /nfs/dbraw/zinc/49/93/56/756499356.db2.gz NSUCUIQRWHLXCG-COXVUDFISA-N 1 2 313.401 1.674 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)c(C)n2)[C@H](OC)C1 ZINC001081950825 756544669 /nfs/dbraw/zinc/54/46/69/756544669.db2.gz PQFHGADNMXHSOP-HZPDHXFCSA-N 1 2 301.390 1.151 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(C)n2)[C@H](OC)C1 ZINC001081950825 756544673 /nfs/dbraw/zinc/54/46/73/756544673.db2.gz PQFHGADNMXHSOP-HZPDHXFCSA-N 1 2 301.390 1.151 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cnc3ccsc3c2)[C@H](OC)C1 ZINC001082020906 756598755 /nfs/dbraw/zinc/59/87/55/756598755.db2.gz JABMOXFCLSXVTG-ZIAGYGMSSA-N 1 2 315.398 1.359 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cnc3ccsc3c2)[C@H](OC)C1 ZINC001082020906 756598758 /nfs/dbraw/zinc/59/87/58/756598758.db2.gz JABMOXFCLSXVTG-ZIAGYGMSSA-N 1 2 315.398 1.359 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3ccnn3C)C[C@H]2OC)C1 ZINC001082111002 756645767 /nfs/dbraw/zinc/64/57/67/756645767.db2.gz PNTXBOPNFGDOES-HUUCEWRRSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3ccnn3C)C[C@H]2OC)C1 ZINC001082111002 756645771 /nfs/dbraw/zinc/64/57/71/756645771.db2.gz PNTXBOPNFGDOES-HUUCEWRRSA-N 1 2 318.421 1.092 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](CCC)C(C)C)CC2=O)C1 ZINC001108576294 762724955 /nfs/dbraw/zinc/72/49/55/762724955.db2.gz PYRQOAZOKUUHIQ-HOCLYGCPSA-N 1 2 321.465 1.646 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@@H](NC(=O)CCc3[nH]cc[nH+]3)C2)n1 ZINC001097180236 756952739 /nfs/dbraw/zinc/95/27/39/756952739.db2.gz GEWSMLLCWCYEEV-OKILXGFUSA-N 1 2 324.388 1.677 20 30 DDEDLO Cc1ncsc1C[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)C#N)[C@H]2C1 ZINC001083026067 757064325 /nfs/dbraw/zinc/06/43/25/757064325.db2.gz MWORMYAOLFWIMF-WCFLWFBJSA-N 1 2 320.418 1.023 20 30 DDEDLO Cc1ncsc1C[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)C#N)[C@H]2C1 ZINC001083026067 757064337 /nfs/dbraw/zinc/06/43/37/757064337.db2.gz MWORMYAOLFWIMF-WCFLWFBJSA-N 1 2 320.418 1.023 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2csc(=O)[nH]2)CC1 ZINC001001067890 762519061 /nfs/dbraw/zinc/51/90/61/762519061.db2.gz AZBUHZDAXDSHIL-UHFFFAOYSA-N 1 2 313.810 1.963 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2csc(=O)[nH]2)CC1 ZINC001001067890 762519064 /nfs/dbraw/zinc/51/90/64/762519064.db2.gz AZBUHZDAXDSHIL-UHFFFAOYSA-N 1 2 313.810 1.963 20 30 DDEDLO C=CCOC[C@H]1c2c(ncn2C)CC[N@@H+]1[C@@H]1CCN(C(C)=O)C1 ZINC001169546346 762524497 /nfs/dbraw/zinc/52/44/97/762524497.db2.gz RVIQKQKBDIQXJN-ZBFHGGJFSA-N 1 2 318.421 1.143 20 30 DDEDLO C=CCOC[C@H]1c2c(ncn2C)CC[N@H+]1[C@@H]1CCN(C(C)=O)C1 ZINC001169546346 762524501 /nfs/dbraw/zinc/52/45/01/762524501.db2.gz RVIQKQKBDIQXJN-ZBFHGGJFSA-N 1 2 318.421 1.143 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3occ4c3CCC4)[C@H]2C1 ZINC001083153575 757162154 /nfs/dbraw/zinc/16/21/54/757162154.db2.gz GZOKRNDQJZTDJR-LSDHHAIUSA-N 1 2 302.374 1.480 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3occ4c3CCC4)[C@H]2C1 ZINC001083153575 757162159 /nfs/dbraw/zinc/16/21/59/757162159.db2.gz GZOKRNDQJZTDJR-LSDHHAIUSA-N 1 2 302.374 1.480 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)COC(C)(C)C)[C@@H](O)C1 ZINC001099794504 757224831 /nfs/dbraw/zinc/22/48/31/757224831.db2.gz NVNKKZXZBBCCRX-NEPJUHHUSA-N 1 2 304.818 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)COC(C)(C)C)[C@@H](O)C1 ZINC001099794504 757224833 /nfs/dbraw/zinc/22/48/33/757224833.db2.gz NVNKKZXZBBCCRX-NEPJUHHUSA-N 1 2 304.818 1.105 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cnc(-c3ccco3)s2)[C@@H](O)C1 ZINC001083977128 757227569 /nfs/dbraw/zinc/22/75/69/757227569.db2.gz VMUHWDDABRUDAV-MNOVXSKESA-N 1 2 319.386 1.364 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cnc(-c3ccco3)s2)[C@@H](O)C1 ZINC001083977128 757227571 /nfs/dbraw/zinc/22/75/71/757227571.db2.gz VMUHWDDABRUDAV-MNOVXSKESA-N 1 2 319.386 1.364 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[N@H+](Cc3ccc(F)c(C#N)c3)C[C@H]21 ZINC001084139030 757305988 /nfs/dbraw/zinc/30/59/88/757305988.db2.gz BLUKAZKCDMFQTN-CZUORRHYSA-N 1 2 317.364 1.376 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccc(F)c(C#N)c3)C[C@H]21 ZINC001084139030 757305995 /nfs/dbraw/zinc/30/59/95/757305995.db2.gz BLUKAZKCDMFQTN-CZUORRHYSA-N 1 2 317.364 1.376 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001097782739 757504709 /nfs/dbraw/zinc/50/47/09/757504709.db2.gz ORDSYASBXNJYAJ-NSHDSACASA-N 1 2 300.366 1.083 20 30 DDEDLO O=C(c1cn[nH]n1)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084478839 757617033 /nfs/dbraw/zinc/61/70/33/757617033.db2.gz MJGKQOKJPKWWLS-NVXWUHKLSA-N 1 2 321.384 1.003 20 30 DDEDLO O=C(c1cn[nH]n1)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084478839 757617044 /nfs/dbraw/zinc/61/70/44/757617044.db2.gz MJGKQOKJPKWWLS-NVXWUHKLSA-N 1 2 321.384 1.003 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC001001119492 762564714 /nfs/dbraw/zinc/56/47/14/762564714.db2.gz AUHYLYQJQWPBQL-UHFFFAOYSA-N 1 2 310.785 1.240 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC001001119492 762564720 /nfs/dbraw/zinc/56/47/20/762564720.db2.gz AUHYLYQJQWPBQL-UHFFFAOYSA-N 1 2 310.785 1.240 20 30 DDEDLO C[C@@]1(NC(=O)c2cccnn2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046721904 767850833 /nfs/dbraw/zinc/85/08/33/767850833.db2.gz MCLGZNFKJSNJPF-GOSISDBHSA-N 1 2 321.384 1.743 20 30 DDEDLO C[C@@]1(NC(=O)c2cccnn2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046721904 767850841 /nfs/dbraw/zinc/85/08/41/767850841.db2.gz MCLGZNFKJSNJPF-GOSISDBHSA-N 1 2 321.384 1.743 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)Nc1ccc(C#N)cn1 ZINC001098294019 757871439 /nfs/dbraw/zinc/87/14/39/757871439.db2.gz GNNBQBFJQVSEGW-IACUBPJLSA-N 1 2 324.388 1.407 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)C)[C@H](O)C2)c(C)o1 ZINC001099824557 757876163 /nfs/dbraw/zinc/87/61/63/757876163.db2.gz VHMTUXVHZFUZFU-GOEBONIOSA-N 1 2 319.405 1.002 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)C)[C@H](O)C2)c(C)o1 ZINC001099824557 757876168 /nfs/dbraw/zinc/87/61/68/757876168.db2.gz VHMTUXVHZFUZFU-GOEBONIOSA-N 1 2 319.405 1.002 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)o1 ZINC001017421142 757917367 /nfs/dbraw/zinc/91/73/67/757917367.db2.gz CISQHWSVGVHWEN-LEWSCRJBSA-N 1 2 302.378 1.626 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)o1 ZINC001017421142 757917372 /nfs/dbraw/zinc/91/73/72/757917372.db2.gz CISQHWSVGVHWEN-LEWSCRJBSA-N 1 2 302.378 1.626 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001017423477 757921141 /nfs/dbraw/zinc/92/11/41/757921141.db2.gz UWKVDHNIWRUNDE-FPMFFAJLSA-N 1 2 316.405 1.880 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001017423477 757921145 /nfs/dbraw/zinc/92/11/45/757921145.db2.gz UWKVDHNIWRUNDE-FPMFFAJLSA-N 1 2 316.405 1.880 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CCC3CCC3)CC2=O)C1 ZINC001108533198 762609154 /nfs/dbraw/zinc/60/91/54/762609154.db2.gz QMTAMEWBWQPIOC-OAHLLOKOSA-N 1 2 319.449 1.544 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@H]2CCN(CC#N)[C@H]2C1)n1cc[nH+]c1 ZINC001085045912 758179126 /nfs/dbraw/zinc/17/91/26/758179126.db2.gz PKMPIGQTKGUKJS-ZNMIVQPWSA-N 1 2 301.394 1.281 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1snnc1C)CCO2 ZINC001053154471 758209576 /nfs/dbraw/zinc/20/95/76/758209576.db2.gz UVGDPQHDYYGGJS-UHFFFAOYSA-N 1 2 322.434 1.340 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cncc(C)c1)CCO2 ZINC001053203066 758259909 /nfs/dbraw/zinc/25/99/09/758259909.db2.gz QZOKHCUYPFIGFT-UHFFFAOYSA-N 1 2 301.390 1.493 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H](C)Cc2cn[nH]c21 ZINC001017841102 758289273 /nfs/dbraw/zinc/28/92/73/758289273.db2.gz LACPOXAIHQYYLQ-DMRZNYOFSA-N 1 2 312.417 1.384 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H](C)Cc2cn[nH]c21 ZINC001017841102 758289282 /nfs/dbraw/zinc/28/92/82/758289282.db2.gz LACPOXAIHQYYLQ-DMRZNYOFSA-N 1 2 312.417 1.384 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001053269187 758323910 /nfs/dbraw/zinc/32/39/10/758323910.db2.gz WCKAHERFMLCNER-CHWSQXEVSA-N 1 2 318.421 1.375 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C)nc(C)c1)CCO2 ZINC001053274767 758328771 /nfs/dbraw/zinc/32/87/71/758328771.db2.gz IIILJDRHKKPLHO-UHFFFAOYSA-N 1 2 315.417 1.801 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)c(C)c(C)[nH+]1 ZINC001040609675 762620831 /nfs/dbraw/zinc/62/08/31/762620831.db2.gz FKRNUVJGZLDSJT-DLBZAZTESA-N 1 2 324.428 1.852 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccn(CC)c1C)CCO2 ZINC001053390701 758424511 /nfs/dbraw/zinc/42/45/11/758424511.db2.gz ZAKXFQUGMQXURR-UHFFFAOYSA-N 1 2 317.433 1.919 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCc1cc(C)on1)CCO2 ZINC001053423617 758450635 /nfs/dbraw/zinc/45/06/35/758450635.db2.gz JVQHMHUQJZCXGE-UHFFFAOYSA-N 1 2 319.405 1.405 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@H]1CCCC[C@@H]1C ZINC001018017196 758479911 /nfs/dbraw/zinc/47/99/11/758479911.db2.gz UCQUIRLYHWOAOR-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@H]1CCCC[C@@H]1C ZINC001018017196 758479915 /nfs/dbraw/zinc/47/99/15/758479915.db2.gz UCQUIRLYHWOAOR-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnn(CC)c1C)CCO2 ZINC001053481755 758489902 /nfs/dbraw/zinc/48/99/02/758489902.db2.gz PMRLRWLNLHSNIY-UHFFFAOYSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC[C@@H]1CCCOC1)CCO2 ZINC001053487240 758493754 /nfs/dbraw/zinc/49/37/54/758493754.db2.gz HPMCNGIDTZEBHV-INIZCTEOSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCO[C@@H](C)C1)CCO2 ZINC001053487195 758493901 /nfs/dbraw/zinc/49/39/01/758493901.db2.gz DAFUYKDAQBIAKR-GJZGRUSLSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CC)c1C1CC1 ZINC001018151795 758583253 /nfs/dbraw/zinc/58/32/53/758583253.db2.gz GXGAHZURYLOLLG-GASCZTMLSA-N 1 2 312.417 1.702 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CC)c1C1CC1 ZINC001018151795 758583259 /nfs/dbraw/zinc/58/32/59/758583259.db2.gz GXGAHZURYLOLLG-GASCZTMLSA-N 1 2 312.417 1.702 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CCCC)nc1C ZINC001018158522 758588063 /nfs/dbraw/zinc/58/80/63/758588063.db2.gz WQNPTQNTIQHYST-IYBDPMFKSA-N 1 2 314.433 1.914 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CCCC)nc1C ZINC001018158522 758588067 /nfs/dbraw/zinc/58/80/67/758588067.db2.gz WQNPTQNTIQHYST-IYBDPMFKSA-N 1 2 314.433 1.914 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1C(F)F ZINC001018158556 758588106 /nfs/dbraw/zinc/58/81/06/758588106.db2.gz XLCIJJINTHJBBG-TXEJJXNPSA-N 1 2 322.359 1.670 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1C(F)F ZINC001018158556 758588110 /nfs/dbraw/zinc/58/81/10/758588110.db2.gz XLCIJJINTHJBBG-TXEJJXNPSA-N 1 2 322.359 1.670 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(F)nc1)O2 ZINC001053582687 758596128 /nfs/dbraw/zinc/59/61/28/758596128.db2.gz KACUVZRBPNMBNQ-CQSZACIVSA-N 1 2 319.380 1.760 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1nn(C)cc1Cl)O2 ZINC001053601959 758621739 /nfs/dbraw/zinc/62/17/39/758621739.db2.gz UWRXUZSHGQVFQB-NSHDSACASA-N 1 2 324.812 1.223 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1nc(C)oc1C)O2 ZINC001053683186 758692771 /nfs/dbraw/zinc/69/27/71/758692771.db2.gz BVDDKGKVGRJXTA-ZDUSSCGKSA-N 1 2 305.378 1.441 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(CCC)on1)O2 ZINC001053685222 758694765 /nfs/dbraw/zinc/69/47/65/758694765.db2.gz KOCYIROTOVAVDP-CQSZACIVSA-N 1 2 319.405 1.776 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cn(C(C)C)nn1)O2 ZINC001053685761 758696006 /nfs/dbraw/zinc/69/60/06/758696006.db2.gz YVOJAUSERKWWLE-CYBMUJFWSA-N 1 2 319.409 1.008 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1nocc1C)O2 ZINC001053687230 758697593 /nfs/dbraw/zinc/69/75/93/758697593.db2.gz LTKCFGDOHMIPDZ-ZDUSSCGKSA-N 1 2 305.378 1.522 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)C=C(C)C)C2)CC1 ZINC001065682929 758701426 /nfs/dbraw/zinc/70/14/26/758701426.db2.gz PWJOGRVTDDCHNB-INIZCTEOSA-N 1 2 319.449 1.664 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnc(CC)s1)O2 ZINC001053701176 758709009 /nfs/dbraw/zinc/70/90/09/758709009.db2.gz ODDGMXGOQGVIEF-GFCCVEGCSA-N 1 2 321.446 1.855 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnc(C)s1)CO2 ZINC001053758600 758776962 /nfs/dbraw/zinc/77/69/62/758776962.db2.gz VPNUHRDEEIWJAP-GFCCVEGCSA-N 1 2 305.403 1.048 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)nnc1C)CO2 ZINC001053818279 758846464 /nfs/dbraw/zinc/84/64/64/758846464.db2.gz CKCRWBBSAVHAKU-AWEZNQCLSA-N 1 2 316.405 1.243 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)c(C)n1)CO2 ZINC001053870146 758903854 /nfs/dbraw/zinc/90/38/54/758903854.db2.gz DDQQPDIUYLTLEF-OAHLLOKOSA-N 1 2 313.401 1.295 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnc(Cl)n1C)CO2 ZINC001053870235 758904012 /nfs/dbraw/zinc/90/40/12/758904012.db2.gz FXHXJIBEVWTHCV-NSHDSACASA-N 1 2 324.812 1.223 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1cccnc1C)CO2 ZINC001053924258 758960671 /nfs/dbraw/zinc/96/06/71/758960671.db2.gz OYOPQKVOFUZBDJ-MRXNPFEDSA-N 1 2 315.417 1.468 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001065971028 758965890 /nfs/dbraw/zinc/96/58/90/758965890.db2.gz LBJPTYVWLHOCKM-ZDUSSCGKSA-N 1 2 304.394 1.416 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)cc1F)CO2 ZINC001053935055 758970283 /nfs/dbraw/zinc/97/02/83/758970283.db2.gz FWQGKJIMYMEPAV-CQSZACIVSA-N 1 2 316.376 1.731 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc3cc[nH]c3c1)CO2 ZINC001053940954 758977767 /nfs/dbraw/zinc/97/77/67/758977767.db2.gz PBGAUFMOACNLOA-MRXNPFEDSA-N 1 2 323.396 1.764 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC001018618296 759038579 /nfs/dbraw/zinc/03/85/79/759038579.db2.gz NOYRPWYWGLISSS-QWRGUYRKSA-N 1 2 305.382 1.217 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)nsc1C)CO2 ZINC001054018796 759068561 /nfs/dbraw/zinc/06/85/61/759068561.db2.gz IBBOKRQVCHYIMN-CYBMUJFWSA-N 1 2 321.446 1.909 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066147807 759087648 /nfs/dbraw/zinc/08/76/48/759087648.db2.gz MACZXIUKXWQMHL-CQSZACIVSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C)nn1C ZINC001054034845 759090045 /nfs/dbraw/zinc/09/00/45/759090045.db2.gz AWGMJNMEJUVCEF-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C)nn1C ZINC001054034845 759090052 /nfs/dbraw/zinc/09/00/52/759090052.db2.gz AWGMJNMEJUVCEF-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)C1=CCOCC1 ZINC001054038469 759095343 /nfs/dbraw/zinc/09/53/43/759095343.db2.gz CNZXFBMUHLEPKM-SFHVURJKSA-N 1 2 310.397 1.509 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)C1=CCOCC1 ZINC001054038469 759095349 /nfs/dbraw/zinc/09/53/49/759095349.db2.gz CNZXFBMUHLEPKM-SFHVURJKSA-N 1 2 310.397 1.509 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001054041008 759098249 /nfs/dbraw/zinc/09/82/49/759098249.db2.gz DAVORORLVDEVGQ-LNLFQRSKSA-N 1 2 310.397 1.199 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001054041008 759098259 /nfs/dbraw/zinc/09/82/59/759098259.db2.gz DAVORORLVDEVGQ-LNLFQRSKSA-N 1 2 310.397 1.199 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001018748460 759217742 /nfs/dbraw/zinc/21/77/42/759217742.db2.gz ZMNQQKLRBXMFII-CABZTGNLSA-N 1 2 314.349 1.143 20 30 DDEDLO C[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1ncc(C#N)cc1Cl ZINC001098306923 759292686 /nfs/dbraw/zinc/29/26/86/759292686.db2.gz QDDPBQUOSQMNIS-SECBINFHSA-N 1 2 318.768 1.489 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC001018826866 759313725 /nfs/dbraw/zinc/31/37/25/759313725.db2.gz MPXFGRFSNBPMOH-JTQLQIEISA-N 1 2 310.304 1.111 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001018865806 759348968 /nfs/dbraw/zinc/34/89/68/759348968.db2.gz SOSJFAIVBZUOSM-MJBXVCDLSA-N 1 2 320.393 1.050 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3[nH]c(=O)[nH]c3C)cc2C1 ZINC001054269067 759373845 /nfs/dbraw/zinc/37/38/45/759373845.db2.gz JQMOPLGZULDVOV-UHFFFAOYSA-N 1 2 310.357 1.303 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3[nH]c(=O)[nH]c3C)cc2C1 ZINC001054269067 759373858 /nfs/dbraw/zinc/37/38/58/759373858.db2.gz JQMOPLGZULDVOV-UHFFFAOYSA-N 1 2 310.357 1.303 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)Cn1cc[nH+]c1 ZINC001069117409 767858260 /nfs/dbraw/zinc/85/82/60/767858260.db2.gz YDXPDDBQOARWGY-OCCSQVGLSA-N 1 2 310.361 1.251 20 30 DDEDLO Cc1oncc1C[N@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556721 759702453 /nfs/dbraw/zinc/70/24/53/759702453.db2.gz XZBJFGDYLAAOQR-OAHLLOKOSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1oncc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556721 759702460 /nfs/dbraw/zinc/70/24/60/759702460.db2.gz XZBJFGDYLAAOQR-OAHLLOKOSA-N 1 2 313.361 1.529 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH2+][C@H](C)c2nc(COC)no2)C1 ZINC001019237991 759707224 /nfs/dbraw/zinc/70/72/24/759707224.db2.gz HWPMDCQKEGARCP-NEPJUHHUSA-N 1 2 322.409 1.680 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(Cl)cnn1CC ZINC001085616310 759857665 /nfs/dbraw/zinc/85/76/65/759857665.db2.gz JTGHLJBHURWVKW-GFCCVEGCSA-N 1 2 308.813 1.726 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(Cl)cnn1CC ZINC001085616310 759857668 /nfs/dbraw/zinc/85/76/68/759857668.db2.gz JTGHLJBHURWVKW-GFCCVEGCSA-N 1 2 308.813 1.726 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001108206124 760107781 /nfs/dbraw/zinc/10/77/81/760107781.db2.gz DKXZEYCRRJDFIY-INIZCTEOSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001108206124 760107784 /nfs/dbraw/zinc/10/77/84/760107784.db2.gz DKXZEYCRRJDFIY-INIZCTEOSA-N 1 2 305.378 1.102 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2C[C@@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001069214064 767919813 /nfs/dbraw/zinc/91/98/13/767919813.db2.gz HRXQDDIXRRKDEV-IUODEOHRSA-N 1 2 324.388 1.629 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001069215013 767919988 /nfs/dbraw/zinc/91/99/88/767919988.db2.gz NIAXMOFBKUCIOC-IAQYHMDHSA-N 1 2 324.388 1.629 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2CC(=O)NC2CC2)CC1 ZINC001085798179 760250131 /nfs/dbraw/zinc/25/01/31/760250131.db2.gz LACYXNFCMGLSTA-MRXNPFEDSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2CC(=O)NC2CC2)CC1 ZINC001085798179 760250138 /nfs/dbraw/zinc/25/01/38/760250138.db2.gz LACYXNFCMGLSTA-MRXNPFEDSA-N 1 2 319.449 1.544 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cnn(C)c2)c1 ZINC001085809464 760277950 /nfs/dbraw/zinc/27/79/50/760277950.db2.gz FCUXBHDCWCAAMO-KRWDZBQOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cnn(C)c2)c1 ZINC001085809464 760277951 /nfs/dbraw/zinc/27/79/51/760277951.db2.gz FCUXBHDCWCAAMO-KRWDZBQOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@@H+]2C/C=C/Cl)c1 ZINC001085810092 760279040 /nfs/dbraw/zinc/27/90/40/760279040.db2.gz UPUWKJLOCVPBSK-CSPWOOARSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@H+]2C/C=C/Cl)c1 ZINC001085810092 760279043 /nfs/dbraw/zinc/27/90/43/760279043.db2.gz UPUWKJLOCVPBSK-CSPWOOARSA-N 1 2 303.793 1.962 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-n2cccn2)ccn1 ZINC001085812283 760286085 /nfs/dbraw/zinc/28/60/85/760286085.db2.gz AACZELRAYAKLMG-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-n2cccn2)ccn1 ZINC001085812283 760286087 /nfs/dbraw/zinc/28/60/87/760286087.db2.gz AACZELRAYAKLMG-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(-c2ccncc2)on1 ZINC001038642309 760342238 /nfs/dbraw/zinc/34/22/38/760342238.db2.gz HZTXIICQCWXIKO-AWEZNQCLSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(-c2ccncc2)on1 ZINC001038642309 760342252 /nfs/dbraw/zinc/34/22/52/760342252.db2.gz HZTXIICQCWXIKO-AWEZNQCLSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1scnc1COC)C2 ZINC001096128929 767951883 /nfs/dbraw/zinc/95/18/83/767951883.db2.gz IDXFVSWDZPVELP-DYEKYZERSA-N 1 2 319.430 1.648 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1scnc1COC)C2 ZINC001096128929 767951888 /nfs/dbraw/zinc/95/18/88/767951888.db2.gz IDXFVSWDZPVELP-DYEKYZERSA-N 1 2 319.430 1.648 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc(OCC2CC2)cn1 ZINC001085922485 760544546 /nfs/dbraw/zinc/54/45/46/760544546.db2.gz DUYKNXIIDXWSCN-AWEZNQCLSA-N 1 2 314.389 1.045 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc(OCC2CC2)cn1 ZINC001085922485 760544550 /nfs/dbraw/zinc/54/45/50/760544550.db2.gz DUYKNXIIDXWSCN-AWEZNQCLSA-N 1 2 314.389 1.045 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(N(C)C)c(F)c1 ZINC001085989025 760666911 /nfs/dbraw/zinc/66/69/11/760666911.db2.gz GLHFFJGIWJRCKK-AWEZNQCLSA-N 1 2 303.381 1.671 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(N(C)C)c(F)c1 ZINC001085989025 760666912 /nfs/dbraw/zinc/66/69/12/760666912.db2.gz GLHFFJGIWJRCKK-AWEZNQCLSA-N 1 2 303.381 1.671 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ccc(C#N)nc1 ZINC001069374789 767998866 /nfs/dbraw/zinc/99/88/66/767998866.db2.gz AYGDVJPWNIACRG-CZUORRHYSA-N 1 2 324.388 1.323 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C(CC)CC)CC1 ZINC001131369414 768014312 /nfs/dbraw/zinc/01/43/12/768014312.db2.gz FXUREJYVGCHYCK-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C(CC)CC)CC1 ZINC001131369414 768014321 /nfs/dbraw/zinc/01/43/21/768014321.db2.gz FXUREJYVGCHYCK-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn2cccc(Cl)c12 ZINC001038490282 761156925 /nfs/dbraw/zinc/15/69/25/761156925.db2.gz RLXLQCKDBNDHNL-GFCCVEGCSA-N 1 2 316.792 1.815 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn2cccc(Cl)c12 ZINC001038490282 761156930 /nfs/dbraw/zinc/15/69/30/761156930.db2.gz RLXLQCKDBNDHNL-GFCCVEGCSA-N 1 2 316.792 1.815 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1[nH]cc[nH+]1 ZINC001056527933 761213450 /nfs/dbraw/zinc/21/34/50/761213450.db2.gz XVWKMKQSKIWXOY-KBPBESRZSA-N 1 2 316.405 1.510 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1C[C@@H]1C ZINC001038601699 761226552 /nfs/dbraw/zinc/22/65/52/761226552.db2.gz FNKQJWVKZMDRRQ-MAZHCROVSA-N 1 2 313.401 1.913 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@@H]1CNC(=O)[C@H]1C[C@@H]1C ZINC001038601699 761226555 /nfs/dbraw/zinc/22/65/55/761226555.db2.gz FNKQJWVKZMDRRQ-MAZHCROVSA-N 1 2 313.401 1.913 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2[C@H]2CCN(CC)C2=O)CC1 ZINC001038665006 761264914 /nfs/dbraw/zinc/26/49/14/761264914.db2.gz CPBOJCZGQCOSLW-HOTGVXAUSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2[C@H]2CCN(CC)C2=O)CC1 ZINC001038665006 761264919 /nfs/dbraw/zinc/26/49/19/761264919.db2.gz CPBOJCZGQCOSLW-HOTGVXAUSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccccn1 ZINC001038709414 761312539 /nfs/dbraw/zinc/31/25/39/761312539.db2.gz ZUQNCBFSEFEWAQ-OAGGEKHMSA-N 1 2 301.390 1.363 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1ccccn1 ZINC001038709414 761312548 /nfs/dbraw/zinc/31/25/48/761312548.db2.gz ZUQNCBFSEFEWAQ-OAGGEKHMSA-N 1 2 301.390 1.363 20 30 DDEDLO CC(C)(C(=O)N1CC[C@@H](Nc2ccc(C#N)cn2)C1)c1c[nH+]c[nH]1 ZINC001056670655 761329732 /nfs/dbraw/zinc/32/97/32/761329732.db2.gz MMTYWCUCILQHOP-CYBMUJFWSA-N 1 2 324.388 1.667 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001056744862 761386105 /nfs/dbraw/zinc/38/61/05/761386105.db2.gz KNJANDREMAMLPF-LLVKDONJSA-N 1 2 314.393 1.588 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001069483304 768033991 /nfs/dbraw/zinc/03/39/91/768033991.db2.gz HQMMIQUQQWWCRU-OLZOCXBDSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001069511015 768040621 /nfs/dbraw/zinc/04/06/21/768040621.db2.gz SKTOYGSKDARRDH-GXTWGEPZSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]([NH2+]Cc3nc(C)no3)C2)C1 ZINC001000127820 761526288 /nfs/dbraw/zinc/52/62/88/761526288.db2.gz HECBQSMJGAWLTP-ZDUSSCGKSA-N 1 2 304.394 1.815 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cn(C)nc2C)cn1 ZINC001038934197 761565409 /nfs/dbraw/zinc/56/54/09/761565409.db2.gz BHYNOTYOGCTXDW-QGZVFWFLSA-N 1 2 323.400 1.109 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cn(C)nc2C)cn1 ZINC001038934197 761565413 /nfs/dbraw/zinc/56/54/13/761565413.db2.gz BHYNOTYOGCTXDW-QGZVFWFLSA-N 1 2 323.400 1.109 20 30 DDEDLO N#CCN1CC[C@H]([C@@H]2CCCCN2C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001039211704 761844409 /nfs/dbraw/zinc/84/44/09/761844409.db2.gz UBXMNXPFNJWYTK-HOCLYGCPSA-N 1 2 315.421 1.569 20 30 DDEDLO N#CCN1CC[C@H]([C@@H]2CCCCN2C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001039211704 761844418 /nfs/dbraw/zinc/84/44/18/761844418.db2.gz UBXMNXPFNJWYTK-HOCLYGCPSA-N 1 2 315.421 1.569 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn(CC)c2C)C1 ZINC001108299175 761851091 /nfs/dbraw/zinc/85/10/91/761851091.db2.gz IEKZVHDKPOLAPN-MRXNPFEDSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn(CC)c2C)C1 ZINC001108299175 761851103 /nfs/dbraw/zinc/85/11/03/761851103.db2.gz IEKZVHDKPOLAPN-MRXNPFEDSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3(CC)CCC3)CC2=O)C1 ZINC001108566949 762709963 /nfs/dbraw/zinc/70/99/63/762709963.db2.gz ROUVKCJJXMYQRC-CYBMUJFWSA-N 1 2 305.422 1.154 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001108588999 762774307 /nfs/dbraw/zinc/77/43/07/762774307.db2.gz TZHIYOWVTZMOCF-GXTWGEPZSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2(CCC)CC2)CC1 ZINC001131383588 768096175 /nfs/dbraw/zinc/09/61/75/768096175.db2.gz PVSTWPKROFELEU-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2(CCC)CC2)CC1 ZINC001131383588 768096183 /nfs/dbraw/zinc/09/61/83/768096183.db2.gz PVSTWPKROFELEU-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C[C@H](CN(C)c1ccncc1C#N)NC(=O)CCc1[nH]cc[nH+]1 ZINC001108931356 763138307 /nfs/dbraw/zinc/13/83/07/763138307.db2.gz QSQZULSIKAKOAB-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@H](CC(=O)N1CCC[C@H]2CN(CC#N)C[C@H]21)n1cc[nH+]c1 ZINC001050152405 763225622 /nfs/dbraw/zinc/22/56/22/763225622.db2.gz NZDGASLHQGOIGG-QLFBSQMISA-N 1 2 301.394 1.281 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@@]2(CCN(CC#N)C2)C1)n1cc[nH+]c1 ZINC001041717805 763246798 /nfs/dbraw/zinc/24/67/98/763246798.db2.gz TVWDDDBAYWKSPX-GDBMZVCRSA-N 1 2 301.394 1.282 20 30 DDEDLO CC#CC[N@@H+]1CCC(F)(F)[C@H](CNC(=O)c2ncccc2O)C1 ZINC001046932535 768129869 /nfs/dbraw/zinc/12/98/69/768129869.db2.gz OPUZIJCAOXOLDL-GFCCVEGCSA-N 1 2 323.343 1.498 20 30 DDEDLO CC#CC[N@H+]1CCC(F)(F)[C@H](CNC(=O)c2ncccc2O)C1 ZINC001046932535 768129875 /nfs/dbraw/zinc/12/98/75/768129875.db2.gz OPUZIJCAOXOLDL-GFCCVEGCSA-N 1 2 323.343 1.498 20 30 DDEDLO CNC(=O)[C@H](C)Nc1cc(/C=N/[S@@](=O)C(C)(C)C)cc(C)[nH+]1 ZINC001169984822 763453950 /nfs/dbraw/zinc/45/39/50/763453950.db2.gz OWVPNBTUWBRGTM-BJTIUOLXSA-N 1 2 324.450 1.818 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC1CC1 ZINC001109208702 763458336 /nfs/dbraw/zinc/45/83/36/763458336.db2.gz YYVODDBUOUTYGC-PMPSAXMXSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC1CC1 ZINC001109208702 763458343 /nfs/dbraw/zinc/45/83/43/763458343.db2.gz YYVODDBUOUTYGC-PMPSAXMXSA-N 1 2 317.433 1.038 20 30 DDEDLO C[C@H](CN(C)c1cccc(F)c1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001109254907 763518045 /nfs/dbraw/zinc/51/80/45/763518045.db2.gz INYLHHADBXIULW-LLVKDONJSA-N 1 2 315.352 1.604 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@@H]21 ZINC001042066506 763609520 /nfs/dbraw/zinc/60/95/20/763609520.db2.gz GHPBXFWSNIOHBH-CJNGLKHVSA-N 1 2 323.400 1.518 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@@H]21 ZINC001042066506 763609522 /nfs/dbraw/zinc/60/95/22/763609522.db2.gz GHPBXFWSNIOHBH-CJNGLKHVSA-N 1 2 323.400 1.518 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CCCNc1ccc(C#N)nc1 ZINC001109490540 763753144 /nfs/dbraw/zinc/75/31/44/763753144.db2.gz AKWLUPHIEWAIJQ-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sc(CC)nc1C)C2 ZINC001098025526 763777741 /nfs/dbraw/zinc/77/77/41/763777741.db2.gz BGQYCTZPTJNWKG-UPJWGTAASA-N 1 2 303.431 1.982 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sc(CC)nc1C)C2 ZINC001098025526 763777751 /nfs/dbraw/zinc/77/77/51/763777751.db2.gz BGQYCTZPTJNWKG-UPJWGTAASA-N 1 2 303.431 1.982 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCNc1[nH+]cnc2c1cnn2C ZINC001109578854 763835625 /nfs/dbraw/zinc/83/56/25/763835625.db2.gz SEAJVOUWBIYLMI-UHFFFAOYSA-N 1 2 316.409 1.836 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)C ZINC001109658020 763919075 /nfs/dbraw/zinc/91/90/75/763919075.db2.gz NWABWYVHGRKREQ-PMPSAXMXSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)C ZINC001109658020 763919080 /nfs/dbraw/zinc/91/90/80/763919080.db2.gz NWABWYVHGRKREQ-PMPSAXMXSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3C[C@@H]3OCC)c2C1 ZINC001069853906 768183897 /nfs/dbraw/zinc/18/38/97/768183897.db2.gz MUDNNLOKKYUPCT-ZBFHGGJFSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3C[C@@H]3OCC)c2C1 ZINC001069853906 768183904 /nfs/dbraw/zinc/18/39/04/768183904.db2.gz MUDNNLOKKYUPCT-ZBFHGGJFSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1COCCN1c1cc(C)[nH+]c(CC)n1 ZINC001111674057 764071473 /nfs/dbraw/zinc/07/14/73/764071473.db2.gz STPMVCSWKAXONR-CQSZACIVSA-N 1 2 318.421 1.635 20 30 DDEDLO N#Cc1ccc(NC[C@H](NC(=O)Cn2cc[nH+]c2)C2CC2)cn1 ZINC001109866218 764148375 /nfs/dbraw/zinc/14/83/75/764148375.db2.gz VHVKPETZNGZYDQ-HNNXBMFYSA-N 1 2 310.361 1.157 20 30 DDEDLO C[C@@H](F)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001050872235 764213496 /nfs/dbraw/zinc/21/34/96/764213496.db2.gz MDBRWHVFELZVRT-DGCLKSJQSA-N 1 2 308.357 1.065 20 30 DDEDLO C[C@@H](F)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001050872235 764213499 /nfs/dbraw/zinc/21/34/99/764213499.db2.gz MDBRWHVFELZVRT-DGCLKSJQSA-N 1 2 308.357 1.065 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109983970 764263260 /nfs/dbraw/zinc/26/32/60/764263260.db2.gz DVCQQIQWSGLFAM-ILXRZTDVSA-N 1 2 316.405 1.683 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cncc(CC)c2)[C@H](O)C1 ZINC001090436282 764347012 /nfs/dbraw/zinc/34/70/12/764347012.db2.gz PIAYTYDRAVRRGH-HUUCEWRRSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cncc(CC)c2)[C@H](O)C1 ZINC001090436282 764347022 /nfs/dbraw/zinc/34/70/22/764347022.db2.gz PIAYTYDRAVRRGH-HUUCEWRRSA-N 1 2 323.824 1.562 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c2ccccc12 ZINC001051011395 764415016 /nfs/dbraw/zinc/41/50/16/764415016.db2.gz IVZJMAAFOPVDGD-AWEZNQCLSA-N 1 2 311.385 1.622 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c2ccccc12 ZINC001051011395 764415019 /nfs/dbraw/zinc/41/50/19/764415019.db2.gz IVZJMAAFOPVDGD-AWEZNQCLSA-N 1 2 311.385 1.622 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)cncc1C ZINC001051025768 764429870 /nfs/dbraw/zinc/42/98/70/764429870.db2.gz LPQPVIRUIMBAIA-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)cncc1C ZINC001051025768 764429876 /nfs/dbraw/zinc/42/98/76/764429876.db2.gz LPQPVIRUIMBAIA-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)C[C@@H]2COc3ccccc3O2)C1 ZINC001042800223 764454301 /nfs/dbraw/zinc/45/43/01/764454301.db2.gz BNEYLHPLQIDIIU-CQSZACIVSA-N 1 2 302.374 1.545 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccnc1OC ZINC001051092141 764498137 /nfs/dbraw/zinc/49/81/37/764498137.db2.gz QRSHAJLCYZEYRH-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccnc1OC ZINC001051092141 764498141 /nfs/dbraw/zinc/49/81/41/764498141.db2.gz QRSHAJLCYZEYRH-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1conc1CC ZINC001051102293 764506745 /nfs/dbraw/zinc/50/67/45/764506745.db2.gz JPIRXIJNFMCFQV-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1conc1CC ZINC001051102293 764506752 /nfs/dbraw/zinc/50/67/52/764506752.db2.gz JPIRXIJNFMCFQV-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2COCC[N@H+]2CC[C@@H](C)F)c1 ZINC001051105230 764509881 /nfs/dbraw/zinc/50/98/81/764509881.db2.gz DOKMBWFCKQUBHP-CZUORRHYSA-N 1 2 319.380 1.242 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2COCC[N@@H+]2CC[C@@H](C)F)c1 ZINC001051105230 764509883 /nfs/dbraw/zinc/50/98/83/764509883.db2.gz DOKMBWFCKQUBHP-CZUORRHYSA-N 1 2 319.380 1.242 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(=O)[nH]c(C3CC3)c2)C1 ZINC001042892613 764512450 /nfs/dbraw/zinc/51/24/50/764512450.db2.gz WCCHTUXLSIKAMI-UHFFFAOYSA-N 1 2 301.390 1.997 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C1C[NH+](C[C@@H]2CC[C@H](C)O2)C1 ZINC001042930164 764534074 /nfs/dbraw/zinc/53/40/74/764534074.db2.gz CUYZJAIGIHYQGH-HOCLYGCPSA-N 1 2 317.433 1.998 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1csc2cncn21 ZINC001051144925 764549960 /nfs/dbraw/zinc/54/99/60/764549960.db2.gz DZTLZUFRWAJNAJ-LBPRGKRZSA-N 1 2 320.418 1.403 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1csc2cncn21 ZINC001051144925 764549973 /nfs/dbraw/zinc/54/99/73/764549973.db2.gz DZTLZUFRWAJNAJ-LBPRGKRZSA-N 1 2 320.418 1.403 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](CCOCC3CC3)CC2)c[nH]1 ZINC001112774258 764741108 /nfs/dbraw/zinc/74/11/08/764741108.db2.gz OCYKCTHXYHJMCZ-UHFFFAOYSA-N 1 2 302.378 1.071 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)O[C@@]2(CC[N@H+](Cc3ccon3)C2)C1 ZINC001131646056 768239891 /nfs/dbraw/zinc/23/98/91/768239891.db2.gz PAQGSATYHDBWNM-RHSMWYFYSA-N 1 2 319.405 1.833 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)O[C@@]2(CC[N@@H+](Cc3ccon3)C2)C1 ZINC001131646056 768239895 /nfs/dbraw/zinc/23/98/95/768239895.db2.gz PAQGSATYHDBWNM-RHSMWYFYSA-N 1 2 319.405 1.833 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3c(c2)CCC(=O)N3)C1 ZINC001043278416 764803869 /nfs/dbraw/zinc/80/38/69/764803869.db2.gz MWYJYXXPJMNHPE-UHFFFAOYSA-N 1 2 313.401 1.904 20 30 DDEDLO O=C(C#CC1CC1)N1CC[NH+](CCOC[C@H]2CCCCO2)CC1 ZINC001112832133 764824043 /nfs/dbraw/zinc/82/40/43/764824043.db2.gz HOPCIRJJAXMXFN-QGZVFWFLSA-N 1 2 320.433 1.130 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051428665 764870629 /nfs/dbraw/zinc/87/06/29/764870629.db2.gz YUUXOKGKAQPINB-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c[nH]nc2[C@@H]2CCCO2)C1 ZINC001043618054 765007627 /nfs/dbraw/zinc/00/76/27/765007627.db2.gz BMVZLMMBPPZHRB-AWEZNQCLSA-N 1 2 302.378 1.041 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001051754822 765127781 /nfs/dbraw/zinc/12/77/81/765127781.db2.gz BLWXKKJVOIIKPP-GFCCVEGCSA-N 1 2 310.423 1.067 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cncc(N3CCCC3)n2)C1 ZINC001044010180 765229608 /nfs/dbraw/zinc/22/96/08/765229608.db2.gz TVGSAKQFCXWMFR-UHFFFAOYSA-N 1 2 315.421 1.409 20 30 DDEDLO C[C@@H](NC(=O)Cc1c[nH+]cn1C)[C@@H](C)Nc1ccc(C#N)nc1 ZINC001113098556 765264523 /nfs/dbraw/zinc/26/45/23/765264523.db2.gz LFPYVTBXANPARL-VXGBXAGGSA-N 1 2 312.377 1.235 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccccc3F)C2)CC1 ZINC001051972706 765331658 /nfs/dbraw/zinc/33/16/58/765331658.db2.gz PRZJKSGILMTINS-HNNXBMFYSA-N 1 2 315.392 1.291 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccccc3C)C2)CC1 ZINC001051976238 765336716 /nfs/dbraw/zinc/33/67/16/765336716.db2.gz BKPXYLMAIFERSE-QGZVFWFLSA-N 1 2 311.429 1.460 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(CC)c2C2CC2)C1 ZINC001044164155 765358186 /nfs/dbraw/zinc/35/81/86/765358186.db2.gz WTKIPOLAOWWSHK-UHFFFAOYSA-N 1 2 300.406 1.560 20 30 DDEDLO Cc1cc(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)oc1C ZINC001052012995 765382266 /nfs/dbraw/zinc/38/22/66/765382266.db2.gz OYWCUIWEVOZTTR-HNNXBMFYSA-N 1 2 316.405 1.252 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)C3(F)CCCC3)C2)CC1 ZINC001052045140 765418414 /nfs/dbraw/zinc/41/84/14/765418414.db2.gz QGWKJFSBIJVKKN-AWEZNQCLSA-N 1 2 308.401 1.011 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(OC)c(OC)c2)C1 ZINC001044252725 765426669 /nfs/dbraw/zinc/42/66/69/765426669.db2.gz LWFHKJREWSJEDA-UHFFFAOYSA-N 1 2 302.374 1.483 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](N2CC[NH+](CC#CC)CC2)C1 ZINC001052060418 765432254 /nfs/dbraw/zinc/43/22/54/765432254.db2.gz DDKBMEKEDAAKGZ-INIZCTEOSA-N 1 2 303.450 1.440 20 30 DDEDLO CC#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cocc3C)C2)CC1 ZINC001052064516 765437482 /nfs/dbraw/zinc/43/74/82/765437482.db2.gz FXRKMRRCOOXRKK-MRXNPFEDSA-N 1 2 315.417 1.443 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccnc(-n3ccnc3)c2)C1 ZINC001044310219 765472820 /nfs/dbraw/zinc/47/28/20/765472820.db2.gz RDDCOXQFVGEKBE-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)nn1)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001113352626 765617393 /nfs/dbraw/zinc/61/73/93/765617393.db2.gz VUQZPLMSZONQHE-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)nn1)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001113352626 765617398 /nfs/dbraw/zinc/61/73/98/765617398.db2.gz VUQZPLMSZONQHE-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccc(OC)n2)CC1 ZINC001113486818 765748659 /nfs/dbraw/zinc/74/86/59/765748659.db2.gz DDWDJFYFAXPWNK-UHFFFAOYSA-N 1 2 305.378 1.051 20 30 DDEDLO C[C@H]1C[C@H](NCC#N)CCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001044695586 765758024 /nfs/dbraw/zinc/75/80/24/765758024.db2.gz WYISFRVTZAIAKM-GOEBONIOSA-N 1 2 323.400 1.979 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CN(C)C(=O)c2ccsc2)CC1 ZINC001113652662 765974113 /nfs/dbraw/zinc/97/41/13/765974113.db2.gz DPVLWUHCCQOEGL-UHFFFAOYSA-N 1 2 307.419 1.150 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCOc2cccc(OC)c2)CC1 ZINC001113685250 766018503 /nfs/dbraw/zinc/01/85/03/766018503.db2.gz AWWVMNNPBVVKJG-UHFFFAOYSA-N 1 2 304.390 1.794 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001113709154 766048324 /nfs/dbraw/zinc/04/83/24/766048324.db2.gz JEEQPUSRXRFIIC-UHFFFAOYSA-N 1 2 310.438 1.540 20 30 DDEDLO CCc1noc(C[NH2+]C[C@@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC001045034909 766054683 /nfs/dbraw/zinc/05/46/83/766054683.db2.gz YPCJAUYUGIXRHT-ZDUSSCGKSA-N 1 2 302.378 1.126 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113789209 766140297 /nfs/dbraw/zinc/14/02/97/766140297.db2.gz ZJNLYVOIXHVYFQ-GUYCJALGSA-N 1 2 320.437 1.955 20 30 DDEDLO C[C@@H](CNC(=O)CCn1cc[nH+]c1)N(C)c1ccncc1C#N ZINC001113821412 766206809 /nfs/dbraw/zinc/20/68/09/766206809.db2.gz BYQNEXRWBDNGTD-ZDUSSCGKSA-N 1 2 312.377 1.181 20 30 DDEDLO CN(C[C@H]1CCCN1C(=O)Cn1cc[nH+]c1)c1ccncc1C#N ZINC001067765293 766689930 /nfs/dbraw/zinc/68/99/30/766689930.db2.gz ITNKCRVJHOESCC-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO CN(C[C@@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1)c1ncccc1C#N ZINC001067802985 766711719 /nfs/dbraw/zinc/71/17/19/766711719.db2.gz PQCRFPOREBKJNJ-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001114161463 766713906 /nfs/dbraw/zinc/71/39/06/766713906.db2.gz HTCPWVMYEWBONX-QLPKVWCKSA-N 1 2 301.390 1.723 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001114161463 766713911 /nfs/dbraw/zinc/71/39/11/766713911.db2.gz HTCPWVMYEWBONX-QLPKVWCKSA-N 1 2 301.390 1.723 20 30 DDEDLO N#CCN1CC[C@@]2(C1)CCCCN(C(=O)CCc1[nH]cc[nH+]1)C2 ZINC001045935777 766722075 /nfs/dbraw/zinc/72/20/75/766722075.db2.gz SJXRXVOHSUJQBU-QGZVFWFLSA-N 1 2 315.421 1.570 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)[C@H]3CC=CCC3)CC2)C1 ZINC001046003132 766790136 /nfs/dbraw/zinc/79/01/36/766790136.db2.gz WJRROBGEDOGUAM-HOTGVXAUSA-N 1 2 302.422 1.085 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)s1 ZINC001114253086 766821045 /nfs/dbraw/zinc/82/10/45/766821045.db2.gz HSMVOHYGTHTEBF-GCVYGYKWSA-N 1 2 316.430 1.367 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)s1 ZINC001114253086 766821054 /nfs/dbraw/zinc/82/10/54/766821054.db2.gz HSMVOHYGTHTEBF-GCVYGYKWSA-N 1 2 316.430 1.367 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C3(F)CCCC3)CC2)C1 ZINC001046040456 766849542 /nfs/dbraw/zinc/84/95/42/766849542.db2.gz JDDLAADEMHZVSO-AWEZNQCLSA-N 1 2 308.401 1.011 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)[C@@H]3CC34CCCC4)CC2)C1 ZINC001046074876 766888622 /nfs/dbraw/zinc/88/86/22/766888622.db2.gz JFYJFHSBRRXQGV-HOTGVXAUSA-N 1 2 316.449 1.309 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C3(F)CCCCC3)CC2)C1 ZINC001046078759 766893953 /nfs/dbraw/zinc/89/39/53/766893953.db2.gz NADSHQOIVWQEJA-OAHLLOKOSA-N 1 2 322.428 1.401 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+][C@H](C)c1nnc(CC)o1 ZINC001129502839 766907741 /nfs/dbraw/zinc/90/77/41/766907741.db2.gz QDDXUYRIPLUYKQ-GFCCVEGCSA-N 1 2 317.393 1.700 20 30 DDEDLO CN(c1ccc(C#N)cn1)C1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001068734078 767552926 /nfs/dbraw/zinc/55/29/26/767552926.db2.gz IRWMFKSFXWMUQD-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccncc2C#N)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001068829551 767645394 /nfs/dbraw/zinc/64/53/94/767645394.db2.gz NFMIXAGGYCUPDG-OCCSQVGLSA-N 1 2 324.388 1.132 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccoc2C(F)(F)F)C1 ZINC001047395387 768448474 /nfs/dbraw/zinc/44/84/74/768448474.db2.gz HUUDVTDUKQJPSP-QWRGUYRKSA-N 1 2 316.279 1.049 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccoc2C(F)(F)F)C1 ZINC001047395387 768448479 /nfs/dbraw/zinc/44/84/79/768448479.db2.gz HUUDVTDUKQJPSP-QWRGUYRKSA-N 1 2 316.279 1.049 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(CCC)s2)C1 ZINC001047397193 768449608 /nfs/dbraw/zinc/44/96/08/768449608.db2.gz ODSXKLJORKWHGT-GJZGRUSLSA-N 1 2 320.458 1.841 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(CCC)s2)C1 ZINC001047397193 768449613 /nfs/dbraw/zinc/44/96/13/768449613.db2.gz ODSXKLJORKWHGT-GJZGRUSLSA-N 1 2 320.458 1.841 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)COCCOC)CC[C@@H]1C ZINC001131907830 768470627 /nfs/dbraw/zinc/47/06/27/768470627.db2.gz DSBKDLQMPMGTPZ-QWHCGFSZSA-N 1 2 304.818 1.371 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3sccc3s2)C1 ZINC001047427414 768469855 /nfs/dbraw/zinc/46/98/55/768469855.db2.gz QHJVOWCKWDZDEU-QWRGUYRKSA-N 1 2 320.439 1.713 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3sccc3s2)C1 ZINC001047427414 768469860 /nfs/dbraw/zinc/46/98/60/768469860.db2.gz QHJVOWCKWDZDEU-QWRGUYRKSA-N 1 2 320.439 1.713 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)COCCOC)CC[C@@H]1C ZINC001131907830 768470623 /nfs/dbraw/zinc/47/06/23/768470623.db2.gz DSBKDLQMPMGTPZ-QWHCGFSZSA-N 1 2 304.818 1.371 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132021917 768559227 /nfs/dbraw/zinc/55/92/27/768559227.db2.gz ROMGZLDCOLJICL-GXTWGEPZSA-N 1 2 320.462 1.975 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132021917 768559229 /nfs/dbraw/zinc/55/92/29/768559229.db2.gz ROMGZLDCOLJICL-GXTWGEPZSA-N 1 2 320.462 1.975 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001070537813 768560712 /nfs/dbraw/zinc/56/07/12/768560712.db2.gz QIBCKIWAOZIQFU-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO CCn1nncc1C[N@@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132045255 768599313 /nfs/dbraw/zinc/59/93/13/768599313.db2.gz CTZJAUSTBHTZJX-DZGCQCFKSA-N 1 2 315.421 1.181 20 30 DDEDLO CCn1nncc1C[N@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132045255 768599320 /nfs/dbraw/zinc/59/93/20/768599320.db2.gz CTZJAUSTBHTZJX-DZGCQCFKSA-N 1 2 315.421 1.181 20 30 DDEDLO Cc1ccccc1C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070616625 768620586 /nfs/dbraw/zinc/62/05/86/768620586.db2.gz AVDOYNJYJAZCDY-HZPDHXFCSA-N 1 2 323.400 1.450 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCc2[nH+]ccn2C1)Nc1ccc(C#N)cn1 ZINC001098125342 768646438 /nfs/dbraw/zinc/64/64/38/768646438.db2.gz DFRHZDTUMBUTIH-OCCSQVGLSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCc2c[nH+]cn2C1)Nc1ncccc1C#N ZINC001098125753 768658155 /nfs/dbraw/zinc/65/81/55/768658155.db2.gz MIUUEFZXKUPIGU-TZMCWYRMSA-N 1 2 324.388 1.329 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)C2CC2)[C@@H](O)C1 ZINC001090504335 768729020 /nfs/dbraw/zinc/72/90/20/768729020.db2.gz OPEUAJHRYJBUDO-STQMWFEESA-N 1 2 300.830 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)C2CC2)[C@@H](O)C1 ZINC001090504335 768729025 /nfs/dbraw/zinc/72/90/25/768729025.db2.gz OPEUAJHRYJBUDO-STQMWFEESA-N 1 2 300.830 1.727 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001070799013 768736807 /nfs/dbraw/zinc/73/68/07/768736807.db2.gz ITWWRDPPXYRSBG-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001070799013 768736812 /nfs/dbraw/zinc/73/68/12/768736812.db2.gz ITWWRDPPXYRSBG-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001070825304 768745746 /nfs/dbraw/zinc/74/57/46/768745746.db2.gz WHVYKWFETWSKNF-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132332769 768759347 /nfs/dbraw/zinc/75/93/47/768759347.db2.gz WTFXOIFHAQXSDI-ZIAGYGMSSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132332769 768759352 /nfs/dbraw/zinc/75/93/52/768759352.db2.gz WTFXOIFHAQXSDI-ZIAGYGMSSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001132395452 768801559 /nfs/dbraw/zinc/80/15/59/768801559.db2.gz BISUUXRJMJANFQ-LLVKDONJSA-N 1 2 310.398 1.585 20 30 DDEDLO CC(C)CC(=O)NCC[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC001096262133 768824812 /nfs/dbraw/zinc/82/48/12/768824812.db2.gz WVBYORQWZQXKGP-UHFFFAOYSA-N 1 2 315.421 1.238 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C(C)(C)C)CC1 ZINC001070973601 768826182 /nfs/dbraw/zinc/82/61/82/768826182.db2.gz RSKIPQTXHDDYFX-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C(C)(C)C)CC1 ZINC001070973601 768826196 /nfs/dbraw/zinc/82/61/96/768826196.db2.gz RSKIPQTXHDDYFX-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCC[C@H](C)C2)CC1 ZINC001070991369 768846305 /nfs/dbraw/zinc/84/63/05/768846305.db2.gz PEYSEHWJZIUEJE-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCC[C@H](C)C2)CC1 ZINC001070991369 768846316 /nfs/dbraw/zinc/84/63/16/768846316.db2.gz PEYSEHWJZIUEJE-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(C)(CC)CC)CC[C@@H]1C ZINC001132493580 768926817 /nfs/dbraw/zinc/92/68/17/768926817.db2.gz MGPOMFOTHQTKRR-LSDHHAIUSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(C)(CC)CC)CC[C@@H]1C ZINC001132493580 768926822 /nfs/dbraw/zinc/92/68/22/768926822.db2.gz MGPOMFOTHQTKRR-LSDHHAIUSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001096330687 769222998 /nfs/dbraw/zinc/22/29/98/769222998.db2.gz HTTTXKIGLCIQHI-NSHDSACASA-N 1 2 300.366 1.024 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cnn(C)c2C)CC[C@H]1C ZINC001071557968 769620979 /nfs/dbraw/zinc/62/09/79/769620979.db2.gz XSDDVGRXMVQVDW-IUODEOHRSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cnn(C)c2C)CC[C@H]1C ZINC001071557968 769620984 /nfs/dbraw/zinc/62/09/84/769620984.db2.gz XSDDVGRXMVQVDW-IUODEOHRSA-N 1 2 324.856 1.993 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](NC(=O)CCCn3cc[nH+]c3)C2)nc1 ZINC001096395613 769664482 /nfs/dbraw/zinc/66/44/82/769664482.db2.gz NVBZXHFCEPCMPY-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)CCn2cc[nH+]c2)[C@@H](C)C1 ZINC001071775111 770045240 /nfs/dbraw/zinc/04/52/40/770045240.db2.gz XMRHYDJPZVSDJX-KBPBESRZSA-N 1 2 304.394 1.203 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2scnc2COC)CC[C@@H]1C ZINC001071883620 770222918 /nfs/dbraw/zinc/22/29/18/770222918.db2.gz OKYVCUIBIQMVGR-NWDGAFQWSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2scnc2COC)CC[C@@H]1C ZINC001071883620 770222921 /nfs/dbraw/zinc/22/29/21/770222921.db2.gz OKYVCUIBIQMVGR-NWDGAFQWSA-N 1 2 307.419 1.505 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cccn(C)c2=O)CC[C@@H]1C ZINC001072005685 770422427 /nfs/dbraw/zinc/42/24/27/770422427.db2.gz OSVAQFFPHLKPOG-QWHCGFSZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cccn(C)c2=O)CC[C@@H]1C ZINC001072005685 770422433 /nfs/dbraw/zinc/42/24/33/770422433.db2.gz OSVAQFFPHLKPOG-QWHCGFSZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072195039 770649013 /nfs/dbraw/zinc/64/90/13/770649013.db2.gz HGIWTDVROIPLGZ-UONOGXRCSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnsn1 ZINC001049341398 770709930 /nfs/dbraw/zinc/70/99/30/770709930.db2.gz NCOQWIBTKGBLFR-RYUDHWBXSA-N 1 2 312.826 1.970 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnsn1 ZINC001049341398 770709933 /nfs/dbraw/zinc/70/99/33/770709933.db2.gz NCOQWIBTKGBLFR-RYUDHWBXSA-N 1 2 312.826 1.970 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466862 770889541 /nfs/dbraw/zinc/88/95/41/770889541.db2.gz KYWOAEXCAKZWNA-DLBZAZTESA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466862 770889550 /nfs/dbraw/zinc/88/95/50/770889550.db2.gz KYWOAEXCAKZWNA-DLBZAZTESA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049472630 770900519 /nfs/dbraw/zinc/90/05/19/770900519.db2.gz UTAKDKKSSYSEJE-HZPDHXFCSA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049472630 770900521 /nfs/dbraw/zinc/90/05/21/770900521.db2.gz UTAKDKKSSYSEJE-HZPDHXFCSA-N 1 2 313.401 1.962 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+]Cc1ncccn1 ZINC001135058420 771296999 /nfs/dbraw/zinc/29/69/99/771296999.db2.gz PGWZZIVACJDCOG-ZFWWWQNUSA-N 1 2 304.394 1.054 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+]Cc1nc(C)c(C)o1 ZINC001135145804 771350636 /nfs/dbraw/zinc/35/06/36/771350636.db2.gz UPUYEGRKMJJWPU-ZFWWWQNUSA-N 1 2 307.394 1.478 20 30 DDEDLO CCc1cnc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)o1 ZINC001049999659 771353776 /nfs/dbraw/zinc/35/37/76/771353776.db2.gz MBXZQSNATAXIEA-VNHYZAJKSA-N 1 2 316.405 1.819 20 30 DDEDLO CCc1cnc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)o1 ZINC001049999659 771353784 /nfs/dbraw/zinc/35/37/84/771353784.db2.gz MBXZQSNATAXIEA-VNHYZAJKSA-N 1 2 316.405 1.819 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nnc1-c1ccccc1)C2 ZINC001096621085 771356214 /nfs/dbraw/zinc/35/62/14/771356214.db2.gz UHZCWDFOULZDDJ-ILXRZTDVSA-N 1 2 321.384 1.440 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nnc1-c1ccccc1)C2 ZINC001096621085 771356221 /nfs/dbraw/zinc/35/62/21/771356221.db2.gz UHZCWDFOULZDDJ-ILXRZTDVSA-N 1 2 321.384 1.440 20 30 DDEDLO C#CC[NH2+][C@H](C)C[C@@H](C)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001135165480 771362329 /nfs/dbraw/zinc/36/23/29/771362329.db2.gz GJIVAYQESGBGNU-CHWSQXEVSA-N 1 2 311.389 1.591 20 30 DDEDLO N#Cc1cccnc1N[C@@H](CNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001096683260 771390969 /nfs/dbraw/zinc/39/09/69/771390969.db2.gz JUHBLYKWCPWUHD-AWEZNQCLSA-N 1 2 310.361 1.157 20 30 DDEDLO C=CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCc3[nH]ncc31)C2 ZINC001097078525 771612942 /nfs/dbraw/zinc/61/29/42/771612942.db2.gz XUVFGHARLMRMDL-BHTHQVBYSA-N 1 2 300.406 1.737 20 30 DDEDLO C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCc3[nH]ncc31)C2 ZINC001097078525 771612946 /nfs/dbraw/zinc/61/29/46/771612946.db2.gz XUVFGHARLMRMDL-BHTHQVBYSA-N 1 2 300.406 1.737 20 30 DDEDLO COC(=O)c1ccc(C(=[NH2+])Nc2ccccc2-c2nn[nH]n2)cc1 ZINC001170984099 772018559 /nfs/dbraw/zinc/01/85/59/772018559.db2.gz LETJTGZQKCREKM-UHFFFAOYSA-N 1 2 322.328 1.690 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C)CCOCC2)[C@@H](O)C1 ZINC001090642809 772066937 /nfs/dbraw/zinc/06/69/37/772066937.db2.gz ZZEIANYTEUGEBU-STQMWFEESA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C)CCOCC2)[C@@H](O)C1 ZINC001090642809 772066943 /nfs/dbraw/zinc/06/69/43/772066943.db2.gz ZZEIANYTEUGEBU-STQMWFEESA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)nc(C)n2)[C@H](O)C1 ZINC001090644730 772069348 /nfs/dbraw/zinc/06/93/48/772069348.db2.gz QRMAXDDCCIXXSD-GXTWGEPZSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)nc(C)n2)[C@H](O)C1 ZINC001090644730 772069355 /nfs/dbraw/zinc/06/93/55/772069355.db2.gz QRMAXDDCCIXXSD-GXTWGEPZSA-N 1 2 324.812 1.011 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nnc(C)o1)C2 ZINC001147188325 773062872 /nfs/dbraw/zinc/06/28/72/773062872.db2.gz WWJPJCWQIQEBGG-GFCCVEGCSA-N 1 2 304.394 1.940 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nnc(C)o1)C2 ZINC001147188325 773062875 /nfs/dbraw/zinc/06/28/75/773062875.db2.gz WWJPJCWQIQEBGG-GFCCVEGCSA-N 1 2 304.394 1.940 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1CC)C2 ZINC001147440177 773147567 /nfs/dbraw/zinc/14/75/67/773147567.db2.gz LBTWSGQETGAYOA-UHFFFAOYSA-N 1 2 314.433 1.741 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nccn1CC)C2 ZINC001147440177 773147570 /nfs/dbraw/zinc/14/75/70/773147570.db2.gz LBTWSGQETGAYOA-UHFFFAOYSA-N 1 2 314.433 1.741 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001148146306 773366221 /nfs/dbraw/zinc/36/62/21/773366221.db2.gz CZAJVIBNAZJKJW-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001148146306 773366226 /nfs/dbraw/zinc/36/62/26/773366226.db2.gz CZAJVIBNAZJKJW-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001148146490 773366451 /nfs/dbraw/zinc/36/64/51/773366451.db2.gz DZEVFDOCMGVCEK-CYBMUJFWSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001148146490 773366456 /nfs/dbraw/zinc/36/64/56/773366456.db2.gz DZEVFDOCMGVCEK-CYBMUJFWSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nncn1C)C2 ZINC001148199679 773376826 /nfs/dbraw/zinc/37/68/26/773376826.db2.gz PBVUKRGFZUDHNO-CQSZACIVSA-N 1 2 317.437 1.767 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nncn1C)C2 ZINC001148199679 773376833 /nfs/dbraw/zinc/37/68/33/773376833.db2.gz PBVUKRGFZUDHNO-CQSZACIVSA-N 1 2 317.437 1.767 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C)o1)C2 ZINC001148874301 773610593 /nfs/dbraw/zinc/61/05/93/773610593.db2.gz PWKULXQWFNRDJX-AWEZNQCLSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C)o1)C2 ZINC001148874301 773610597 /nfs/dbraw/zinc/61/05/97/773610597.db2.gz PWKULXQWFNRDJX-AWEZNQCLSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)[C@H](C)C1 ZINC001074084037 773622036 /nfs/dbraw/zinc/62/20/36/773622036.db2.gz BLKVPFKWAJXQTG-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3CCOCC3)C[C@@H]21 ZINC001074136502 773661265 /nfs/dbraw/zinc/66/12/65/773661265.db2.gz CUMZDPFBPOFWLL-HOTGVXAUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3CCOCC3)C[C@@H]21 ZINC001074136502 773661267 /nfs/dbraw/zinc/66/12/67/773661267.db2.gz CUMZDPFBPOFWLL-HOTGVXAUSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)n3C)C[C@@H]21 ZINC001074163777 773687067 /nfs/dbraw/zinc/68/70/67/773687067.db2.gz VKVSVYWUZNCAPO-DLBZAZTESA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)n3C)C[C@@H]21 ZINC001074163777 773687073 /nfs/dbraw/zinc/68/70/73/773687073.db2.gz VKVSVYWUZNCAPO-DLBZAZTESA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccoc3C)C[C@H]21 ZINC001074166443 773689459 /nfs/dbraw/zinc/68/94/59/773689459.db2.gz HMKKRTHIGYKEQN-HZPDHXFCSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccoc3C)C[C@H]21 ZINC001074166443 773689463 /nfs/dbraw/zinc/68/94/63/773689463.db2.gz HMKKRTHIGYKEQN-HZPDHXFCSA-N 1 2 302.374 1.527 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cnsn3)C[C@@H]21 ZINC001074178219 773699601 /nfs/dbraw/zinc/69/96/01/773699601.db2.gz OTOKYQOOVWRTNQ-QWHCGFSZSA-N 1 2 308.407 1.030 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cnsn3)C[C@@H]21 ZINC001074178219 773699605 /nfs/dbraw/zinc/69/96/05/773699605.db2.gz OTOKYQOOVWRTNQ-QWHCGFSZSA-N 1 2 308.407 1.030 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)nc3)C[C@H]21 ZINC001074190019 773712261 /nfs/dbraw/zinc/71/22/61/773712261.db2.gz LINCUIPBPLZOLI-CABCVRRESA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)nc3)C[C@H]21 ZINC001074190019 773712266 /nfs/dbraw/zinc/71/22/66/773712266.db2.gz LINCUIPBPLZOLI-CABCVRRESA-N 1 2 317.364 1.159 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3oc(C)nc3C)C[C@@H]21 ZINC001074195192 773718284 /nfs/dbraw/zinc/71/82/84/773718284.db2.gz QGBLRDAQPNBJLX-GJZGRUSLSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3oc(C)nc3C)C[C@@H]21 ZINC001074195192 773718289 /nfs/dbraw/zinc/71/82/89/773718289.db2.gz QGBLRDAQPNBJLX-GJZGRUSLSA-N 1 2 319.405 1.783 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCF)[C@H]3C2)c[nH]1 ZINC001074212464 773735455 /nfs/dbraw/zinc/73/54/55/773735455.db2.gz PTXCZQYXRSWTEV-GJZGRUSLSA-N 1 2 320.368 1.161 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[C@@H]3OCC[N@H+](CCCF)[C@H]3C2)c[nH]1 ZINC001074212464 773735458 /nfs/dbraw/zinc/73/54/58/773735458.db2.gz PTXCZQYXRSWTEV-GJZGRUSLSA-N 1 2 320.368 1.161 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3c(C)ccn3C)C[C@H]21 ZINC001074226347 773751141 /nfs/dbraw/zinc/75/11/41/773751141.db2.gz NYXMCGMQGJQILA-CVEARBPZSA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3c(C)ccn3C)C[C@H]21 ZINC001074226347 773751142 /nfs/dbraw/zinc/75/11/42/773751142.db2.gz NYXMCGMQGJQILA-CVEARBPZSA-N 1 2 317.433 1.825 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@@H]2OCC[N@@H+](CC)[C@@H]2C1 ZINC001074269924 773782461 /nfs/dbraw/zinc/78/24/61/773782461.db2.gz ZVRKIUBLUPSQMX-CVEARBPZSA-N 1 2 303.406 1.609 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@@H]2OCC[N@H+](CC)[C@@H]2C1 ZINC001074269924 773782467 /nfs/dbraw/zinc/78/24/67/773782467.db2.gz ZVRKIUBLUPSQMX-CVEARBPZSA-N 1 2 303.406 1.609 20 30 DDEDLO C#CCN1CCO[C@H]2CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@H]21 ZINC001074279044 773790074 /nfs/dbraw/zinc/79/00/74/773790074.db2.gz FEYVNOGSVBGWDY-SJORKVTESA-N 1 2 324.384 1.111 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC3=CCOCC3)C[C@@H]21 ZINC001074333494 773829852 /nfs/dbraw/zinc/82/98/52/773829852.db2.gz COYOZOZFDVVVSX-IRXDYDNUSA-N 1 2 320.433 1.601 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC3=CCOCC3)C[C@@H]21 ZINC001074333494 773829857 /nfs/dbraw/zinc/82/98/57/773829857.db2.gz COYOZOZFDVVVSX-IRXDYDNUSA-N 1 2 320.433 1.601 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccnc(C)c3)C[C@@H]21 ZINC001074366461 773858738 /nfs/dbraw/zinc/85/87/38/773858738.db2.gz UWLSQRUQPNIXRG-DLBZAZTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccnc(C)c3)C[C@@H]21 ZINC001074366461 773858744 /nfs/dbraw/zinc/85/87/44/773858744.db2.gz UWLSQRUQPNIXRG-DLBZAZTESA-N 1 2 313.401 1.329 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3nocc3C)C[C@@H]21 ZINC001074377516 773870721 /nfs/dbraw/zinc/87/07/21/773870721.db2.gz MPPFVRQYRRWTEU-KBPBESRZSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3nocc3C)C[C@@H]21 ZINC001074377516 773870724 /nfs/dbraw/zinc/87/07/24/773870724.db2.gz MPPFVRQYRRWTEU-KBPBESRZSA-N 1 2 305.378 1.474 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(C3CCC3)no2)[C@@H]1C ZINC001074568344 774000047 /nfs/dbraw/zinc/00/00/47/774000047.db2.gz OFELBKCZLATYIX-GVXVVHGQSA-N 1 2 317.393 1.576 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccnc1)c1nccn12 ZINC001092346357 774065804 /nfs/dbraw/zinc/06/58/04/774065804.db2.gz UHRATOQEZUFEGI-HNNXBMFYSA-N 1 2 321.384 1.187 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccccn1)c1nccn12 ZINC001092342526 774092424 /nfs/dbraw/zinc/09/24/24/774092424.db2.gz OQJMDPMRJCQILE-OAHLLOKOSA-N 1 2 321.384 1.187 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc[nH]c1)c1nccn12 ZINC001092370043 774107530 /nfs/dbraw/zinc/10/75/30/774107530.db2.gz LUISRDWUAXJNEW-AWEZNQCLSA-N 1 2 309.373 1.120 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001074977344 774280804 /nfs/dbraw/zinc/28/08/04/774280804.db2.gz MMZUXMPYRIWLIB-ZIAGYGMSSA-N 1 2 316.405 1.107 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001075046287 774318664 /nfs/dbraw/zinc/31/86/64/774318664.db2.gz TVSCNQWEXOEXLO-CABCVRRESA-N 1 2 316.405 1.038 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001098388089 774558631 /nfs/dbraw/zinc/55/86/31/774558631.db2.gz NJKCMCGTADHCEH-CYZMBNFOSA-N 1 2 310.361 1.397 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001098388089 774558634 /nfs/dbraw/zinc/55/86/34/774558634.db2.gz NJKCMCGTADHCEH-CYZMBNFOSA-N 1 2 310.361 1.397 20 30 DDEDLO N#CCN1CC[C@H]2CN(C(=O)c3cccc4[nH+]ccn43)CC[C@H]21 ZINC001036771317 774562045 /nfs/dbraw/zinc/56/20/45/774562045.db2.gz DUCWTCLSXDDTPD-UONOGXRCSA-N 1 2 309.373 1.394 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C[C@@H](C)CC)C2)nn1 ZINC001098665474 774634973 /nfs/dbraw/zinc/63/49/73/774634973.db2.gz ZBOPBMGWQGDPRB-DZGCQCFKSA-N 1 2 303.410 1.211 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001098680337 774641079 /nfs/dbraw/zinc/64/10/79/774641079.db2.gz DVCWQIUBWDGSGP-MRXNPFEDSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H]3C[C@H]3OCC)CC2)C1 ZINC001093510796 774758423 /nfs/dbraw/zinc/75/84/23/774758423.db2.gz IGZGOHSMDJTWAX-IAGOWNOFSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cnc(C)cn3)CC2)C1 ZINC001093501556 774792867 /nfs/dbraw/zinc/79/28/67/774792867.db2.gz MHWKMKHCERWZES-UHFFFAOYSA-N 1 2 316.405 1.276 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCC[C@@H]3OC)CC2)C1 ZINC001093549100 774826697 /nfs/dbraw/zinc/82/66/97/774826697.db2.gz QDEAALKVBVRXQI-SJORKVTESA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](OC)C(C)C)CC2)C1 ZINC001093569238 774840074 /nfs/dbraw/zinc/84/00/74/774840074.db2.gz YKMPYRZNSHGUPS-INIZCTEOSA-N 1 2 310.438 1.535 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ocnc3CC)CC2)C1 ZINC001093571561 774844200 /nfs/dbraw/zinc/84/42/00/774844200.db2.gz XIJAZJRCULGSHV-UHFFFAOYSA-N 1 2 319.405 1.728 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCCOCC)[C@@H](O)C1 ZINC001099699396 775153248 /nfs/dbraw/zinc/15/32/48/775153248.db2.gz XWRJMZKFIHWGLV-OLZOCXBDSA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCCOCC)[C@@H](O)C1 ZINC001099699396 775153257 /nfs/dbraw/zinc/15/32/57/775153257.db2.gz XWRJMZKFIHWGLV-OLZOCXBDSA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)/C=C/c2ccc[nH]2)[C@@H](O)C1 ZINC001099799490 775294839 /nfs/dbraw/zinc/29/48/39/775294839.db2.gz OAORSZMCNZCVFY-CTXXGXLOSA-N 1 2 309.797 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)/C=C/c2ccc[nH]2)[C@@H](O)C1 ZINC001099799490 775294842 /nfs/dbraw/zinc/29/48/42/775294842.db2.gz OAORSZMCNZCVFY-CTXXGXLOSA-N 1 2 309.797 1.332 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)C#CC2CC2)[C@H](O)C1 ZINC001099827563 775335694 /nfs/dbraw/zinc/33/56/94/775335694.db2.gz XKPSWNYHAZRBLC-ZWKOTPCHSA-N 1 2 323.396 1.023 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)C#CC2CC2)[C@H](O)C1 ZINC001099827563 775335703 /nfs/dbraw/zinc/33/57/03/775335703.db2.gz XKPSWNYHAZRBLC-ZWKOTPCHSA-N 1 2 323.396 1.023 20 30 DDEDLO C#Cc1ccc(C(=O)NCCNc2[nH+]cnc3c2cnn3C)cc1 ZINC001094029498 775355327 /nfs/dbraw/zinc/35/53/27/775355327.db2.gz RMQFAAVVMAEWQK-UHFFFAOYSA-N 1 2 320.356 1.187 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001094047021 775370252 /nfs/dbraw/zinc/37/02/52/775370252.db2.gz HPEMQGFMERGQEI-GHMZBOCLSA-N 1 2 302.382 1.350 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094050507 775373831 /nfs/dbraw/zinc/37/38/31/775373831.db2.gz GXQVEJYVCPXLQR-NSHDSACASA-N 1 2 306.414 1.376 20 30 DDEDLO Cc1cc(NCCNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)c(C#N)cn1 ZINC001094112208 775438017 /nfs/dbraw/zinc/43/80/17/775438017.db2.gz NVAICOKFYPDTRI-CYBMUJFWSA-N 1 2 324.388 1.055 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099946891 775458217 /nfs/dbraw/zinc/45/82/17/775458217.db2.gz NECSNOLCXFSZLC-KBPBESRZSA-N 1 2 323.462 1.797 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099946891 775458222 /nfs/dbraw/zinc/45/82/22/775458222.db2.gz NECSNOLCXFSZLC-KBPBESRZSA-N 1 2 323.462 1.797 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094160748 775504165 /nfs/dbraw/zinc/50/41/65/775504165.db2.gz GEZFYMANGXROQP-UHFFFAOYSA-N 1 2 317.437 1.595 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094160748 775504173 /nfs/dbraw/zinc/50/41/73/775504173.db2.gz GEZFYMANGXROQP-UHFFFAOYSA-N 1 2 317.437 1.595 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(OC)no2)C[C@H]1O ZINC001099975664 775507383 /nfs/dbraw/zinc/50/73/83/775507383.db2.gz FVIRCZBOYNGCJS-ZIAGYGMSSA-N 1 2 323.393 1.091 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(OC)no2)C[C@H]1O ZINC001099975664 775507392 /nfs/dbraw/zinc/50/73/92/775507392.db2.gz FVIRCZBOYNGCJS-ZIAGYGMSSA-N 1 2 323.393 1.091 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)c2ccc(C#N)[nH]2)c(C)c(C)[nH+]1 ZINC001100036039 775601977 /nfs/dbraw/zinc/60/19/77/775601977.db2.gz XXBRSHZNJLBNLF-UHFFFAOYSA-N 1 2 312.377 1.468 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3ccsc3)nn2)C1 ZINC001094272837 775618363 /nfs/dbraw/zinc/61/83/63/775618363.db2.gz FARHWODXEFDBIS-UHFFFAOYSA-N 1 2 317.418 1.702 20 30 DDEDLO Cc1cc(C#N)nc(NCCNC(=O)c2c(C)cc(C)[nH+]c2C)n1 ZINC001094291101 775691708 /nfs/dbraw/zinc/69/17/08/775691708.db2.gz BBXFYLOCUCBEEQ-UHFFFAOYSA-N 1 2 324.388 1.819 20 30 DDEDLO N#Cc1cccnc1NCCCNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001094656576 776175566 /nfs/dbraw/zinc/17/55/66/776175566.db2.gz NPSKNAZQZMFDFA-ZDUSSCGKSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094713333 776181142 /nfs/dbraw/zinc/18/11/42/776181142.db2.gz ZKCPFEJZDPDSIA-NEPJUHHUSA-N 1 2 320.441 1.622 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)C3CC3)CC2=O)C1 ZINC001094719188 776185708 /nfs/dbraw/zinc/18/57/08/776185708.db2.gz BCIBHWQTGIBLQU-OCCSQVGLSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3=CCCCCC3)CC2=O)C1 ZINC001094726769 776228577 /nfs/dbraw/zinc/22/85/77/776228577.db2.gz YWUWRWFIWKILBU-OAHLLOKOSA-N 1 2 317.433 1.464 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3sccc3F)CC2=O)C1 ZINC001094733335 776236569 /nfs/dbraw/zinc/23/65/69/776236569.db2.gz HRVDBSDBPGBGLR-JTQLQIEISA-N 1 2 323.393 1.088 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)C3CCC3)CC2=O)C1 ZINC001094751042 776246488 /nfs/dbraw/zinc/24/64/88/776246488.db2.gz NVBDJFNNKKIGFG-UKRRQHHQSA-N 1 2 319.449 1.400 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCCNc2cnc(C#N)cn2)c(C)[nH+]1 ZINC001094913370 776787405 /nfs/dbraw/zinc/78/74/05/776787405.db2.gz XGLIAYRASXYFDJ-UHFFFAOYSA-N 1 2 324.388 1.901 20 30 DDEDLO COc1ccc([N+](=O)[O-])c(C[C@H](C)N2CC[NH2+]C[C@@H]2C#N)c1F ZINC001173181964 777035474 /nfs/dbraw/zinc/03/54/74/777035474.db2.gz QZCSOGGPUIJEEF-QWRGUYRKSA-N 1 2 322.340 1.471 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101378801 777108575 /nfs/dbraw/zinc/10/85/75/777108575.db2.gz GCNODAOKRXSWRU-DYVFJYSZSA-N 1 2 318.421 1.952 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101378801 777108580 /nfs/dbraw/zinc/10/85/80/777108580.db2.gz GCNODAOKRXSWRU-DYVFJYSZSA-N 1 2 318.421 1.952 20 30 DDEDLO CN(CC#N)C1CC[NH+](CC(=O)OCc2ccccc2)CC1 ZINC001173469003 777212562 /nfs/dbraw/zinc/21/25/62/777212562.db2.gz ANDMYIYMFBYBMJ-UHFFFAOYSA-N 1 2 301.390 1.650 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001095226717 777265284 /nfs/dbraw/zinc/26/52/84/777265284.db2.gz WWRTYXGKVCGATR-INIZCTEOSA-N 1 2 304.394 1.515 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CCCOCC)c1nccn12 ZINC001101612582 777304047 /nfs/dbraw/zinc/30/40/47/777304047.db2.gz ZYPMNHGOBGFUDE-AWEZNQCLSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H](C)SC)c1nccn12 ZINC001101623907 777317848 /nfs/dbraw/zinc/31/78/48/777317848.db2.gz LJHVMDUIHLJXHK-OLZOCXBDSA-N 1 2 320.462 1.783 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C(/C)CC)c1nccn12 ZINC001101627809 777323457 /nfs/dbraw/zinc/32/34/57/777323457.db2.gz NOPATAVDABIALC-RNQWEJQRSA-N 1 2 300.406 1.997 20 30 DDEDLO N#Cc1ccc(Nc2cnn(CC[NH+]3CCOCC3)c2)c(C#N)c1 ZINC001174493402 777488064 /nfs/dbraw/zinc/48/80/64/777488064.db2.gz BFCKZQVIXCWZHX-UHFFFAOYSA-N 1 2 322.372 1.702 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001101848070 777599294 /nfs/dbraw/zinc/59/92/94/777599294.db2.gz UEGHGMKSFXXEOI-AWEZNQCLSA-N 1 2 324.388 1.283 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101978834 777759256 /nfs/dbraw/zinc/75/92/56/777759256.db2.gz GMJMEUVMXTWFAI-UHFFFAOYSA-N 1 2 316.409 1.836 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102356039 778091300 /nfs/dbraw/zinc/09/13/00/778091300.db2.gz UZURNTUZSKDCOG-UKRRQHHQSA-N 1 2 316.405 1.156 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CC(C)C)C[C@H]21 ZINC001176889016 778296372 /nfs/dbraw/zinc/29/63/72/778296372.db2.gz YOSDGLLPRLZJJJ-CVEARBPZSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC(C)C)C[C@H]21 ZINC001176889016 778296376 /nfs/dbraw/zinc/29/63/76/778296376.db2.gz YOSDGLLPRLZJJJ-CVEARBPZSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC(C)C)C[C@@H]21 ZINC001176913838 778337605 /nfs/dbraw/zinc/33/76/05/778337605.db2.gz YVJWTXQVQSAFEY-DLBZAZTESA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC(C)C)C[C@@H]21 ZINC001176913838 778337612 /nfs/dbraw/zinc/33/76/12/778337612.db2.gz YVJWTXQVQSAFEY-DLBZAZTESA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCCN(Cc3c[nH+]cn3C)[C@H]2C1 ZINC001176949689 778343271 /nfs/dbraw/zinc/34/32/71/778343271.db2.gz RDQOUFIOBPSLIO-JKSUJKDBSA-N 1 2 318.421 1.188 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOC(C)C)[C@@H]2C1 ZINC001176954977 778345022 /nfs/dbraw/zinc/34/50/22/778345022.db2.gz LGCLUIOREROKAX-HZPDHXFCSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@H+](CCOC(C)C)[C@@H]2C1 ZINC001176954977 778345028 /nfs/dbraw/zinc/34/50/28/778345028.db2.gz LGCLUIOREROKAX-HZPDHXFCSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC(C)C)[C@H]2C1 ZINC001176955813 778347721 /nfs/dbraw/zinc/34/77/21/778347721.db2.gz ZPNVCZFXGMNHEM-IRXDYDNUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOCC(C)C)[C@H]2C1 ZINC001176955813 778347728 /nfs/dbraw/zinc/34/77/28/778347728.db2.gz ZPNVCZFXGMNHEM-IRXDYDNUSA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)C=C)C[C@H]21 ZINC001177020067 778395267 /nfs/dbraw/zinc/39/52/67/778395267.db2.gz VROVBQUKKRXDTE-HZPDHXFCSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)C=C)C[C@H]21 ZINC001177020067 778395274 /nfs/dbraw/zinc/39/52/74/778395274.db2.gz VROVBQUKKRXDTE-HZPDHXFCSA-N 1 2 304.434 1.914 20 30 DDEDLO CO/N=C(\C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)c1ccco1 ZINC001177350701 778563169 /nfs/dbraw/zinc/56/31/69/778563169.db2.gz VQYUAVCIHDHQKB-SHTJFRFBSA-N 1 2 302.334 1.759 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1[nH]c[nH+]c1C)Nc1ccc(C#N)cn1 ZINC001103147329 778667061 /nfs/dbraw/zinc/66/70/61/778667061.db2.gz OVQPCGYLCYPDFC-ZDUSSCGKSA-N 1 2 312.377 1.534 20 30 DDEDLO CC[C@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1nc(C)ccc1C#N ZINC001103161474 778679468 /nfs/dbraw/zinc/67/94/68/778679468.db2.gz CJTFSHZNGKWQMX-CYBMUJFWSA-N 1 2 312.377 1.534 20 30 DDEDLO O=C(C#Cc1ccccn1)N1CC[C@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001177802345 778742174 /nfs/dbraw/zinc/74/21/74/778742174.db2.gz FWUZFZROKXURGZ-HNNXBMFYSA-N 1 2 319.355 1.765 20 30 DDEDLO C=CCOCC(=O)N[C@](C)(CNc1cc[nH+]c(C)n1)C1CC1 ZINC001103504507 778910882 /nfs/dbraw/zinc/91/08/82/778910882.db2.gz YVAAQQHERLZQIN-MRXNPFEDSA-N 1 2 304.394 1.684 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@](C)(CNc1cc[nH+]c(C)n1)C1CC1 ZINC001103526564 778922834 /nfs/dbraw/zinc/92/28/34/778922834.db2.gz ULEXKQLLBNPYDT-DLBZAZTESA-N 1 2 318.421 1.809 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CNc1[nH+]cnc2c1cnn2C ZINC001103797130 779052819 /nfs/dbraw/zinc/05/28/19/779052819.db2.gz GIPVIUCGCVHMML-GFCCVEGCSA-N 1 2 314.393 1.732 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c[nH+]cn1C)CNc1ncccc1C#N ZINC001104039659 779264531 /nfs/dbraw/zinc/26/45/31/779264531.db2.gz WQZQTTHQJHWLJW-LBPRGKRZSA-N 1 2 312.377 1.094 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)o1 ZINC001111660355 779423185 /nfs/dbraw/zinc/42/31/85/779423185.db2.gz FYHDZOLSFMXPCK-FFSVYQOJSA-N 1 2 302.378 1.613 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)o1 ZINC001111660355 779423187 /nfs/dbraw/zinc/42/31/87/779423187.db2.gz FYHDZOLSFMXPCK-FFSVYQOJSA-N 1 2 302.378 1.613 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)[C@@H]1C ZINC001180268712 779687383 /nfs/dbraw/zinc/68/73/83/779687383.db2.gz GJQXIJKNIUEMCY-XQQFMLRXSA-N 1 2 322.409 1.302 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)[C@@H](CNc1ncccc1C#N)C1CC1 ZINC001115534263 780219555 /nfs/dbraw/zinc/21/95/55/780219555.db2.gz IZKFAJKRGQBBCH-AWEZNQCLSA-N 1 2 324.388 1.568 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)[C@H](CNc1ccc(C#N)cn1)C1CC1 ZINC001115534052 780219855 /nfs/dbraw/zinc/21/98/55/780219855.db2.gz CBSNMSXTZYZJSZ-CQSZACIVSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H]([NH2+]Cc1cnc2c(C#N)cnn2c1)c1nnc2ccccn21 ZINC001118205605 781060526 /nfs/dbraw/zinc/06/05/26/781060526.db2.gz NHUSZAYTRIQZTC-LLVKDONJSA-N 1 2 318.344 1.494 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[N@H+](C)CC(=O)NCC(F)(F)F ZINC001267215819 837548239 /nfs/dbraw/zinc/54/82/39/837548239.db2.gz POGJMHVQNARQGW-CYBMUJFWSA-N 1 2 323.359 1.315 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[N@@H+](C)CC(=O)NCC(F)(F)F ZINC001267215819 837548246 /nfs/dbraw/zinc/54/82/46/837548246.db2.gz POGJMHVQNARQGW-CYBMUJFWSA-N 1 2 323.359 1.315 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@H]1C[C@@H]1C1CCCC1 ZINC001267225211 837561602 /nfs/dbraw/zinc/56/16/02/837561602.db2.gz RJRSTANDVUWTLM-CABCVRRESA-N 1 2 307.438 1.163 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H]1C[C@@H]1C1CCCC1 ZINC001267225211 837561603 /nfs/dbraw/zinc/56/16/03/837561603.db2.gz RJRSTANDVUWTLM-CABCVRRESA-N 1 2 307.438 1.163 20 30 DDEDLO C=C(Cl)C[N@@H+](CCCNC(=O)[C@@H](C)S(C)(=O)=O)C(C)C ZINC001267274391 837720992 /nfs/dbraw/zinc/72/09/92/837720992.db2.gz UZZVZZMDFJDMPC-GFCCVEGCSA-N 1 2 324.874 1.389 20 30 DDEDLO C=C(Cl)C[N@H+](CCCNC(=O)[C@@H](C)S(C)(=O)=O)C(C)C ZINC001267274391 837720999 /nfs/dbraw/zinc/72/09/99/837720999.db2.gz UZZVZZMDFJDMPC-GFCCVEGCSA-N 1 2 324.874 1.389 20 30 DDEDLO [NH3+][C@@H](CC(=O)NCCOC(F)(F)C(F)(F)F)C(F)F ZINC000724751220 837738482 /nfs/dbraw/zinc/73/84/82/837738482.db2.gz JCJOECVQVVWIPJ-BYPYZUCNSA-N 1 2 300.174 1.257 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001266246749 835982096 /nfs/dbraw/zinc/98/20/96/835982096.db2.gz WCYSEUJXPXTJTO-HUUCEWRRSA-N 1 2 322.453 1.279 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001266246749 835982103 /nfs/dbraw/zinc/98/21/03/835982103.db2.gz WCYSEUJXPXTJTO-HUUCEWRRSA-N 1 2 322.453 1.279 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[N@@H+](C)Cc1ccc(OC)nn1 ZINC001266278793 836030997 /nfs/dbraw/zinc/03/09/97/836030997.db2.gz SEJGZTDTMHIAPJ-UHFFFAOYSA-N 1 2 306.410 1.636 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[N@H+](C)Cc1ccc(OC)nn1 ZINC001266278793 836031007 /nfs/dbraw/zinc/03/10/07/836031007.db2.gz SEJGZTDTMHIAPJ-UHFFFAOYSA-N 1 2 306.410 1.636 20 30 DDEDLO C#CCCCC(=O)NCCN1CC[NH+](Cc2ccccn2)CC1 ZINC001266283176 836041557 /nfs/dbraw/zinc/04/15/57/836041557.db2.gz HOWDFAFYTGPMRE-UHFFFAOYSA-N 1 2 314.433 1.119 20 30 DDEDLO C#CCCCC(=O)NCC[NH+]1CCN(Cc2ccccn2)CC1 ZINC001266283176 836041568 /nfs/dbraw/zinc/04/15/68/836041568.db2.gz HOWDFAFYTGPMRE-UHFFFAOYSA-N 1 2 314.433 1.119 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@@H](C)[C@@H](C)C(C)(C)C)CC1 ZINC001266295297 836070160 /nfs/dbraw/zinc/07/01/60/836070160.db2.gz ZWROGVJCBAUZIN-JKSUJKDBSA-N 1 2 307.482 1.672 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@@H+](C)Cc2cncc(OC)n2)cc1 ZINC001266306038 836090772 /nfs/dbraw/zinc/09/07/72/836090772.db2.gz AHGAJQQXZQXEHB-UHFFFAOYSA-N 1 2 324.384 1.328 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@H+](C)Cc2cncc(OC)n2)cc1 ZINC001266306038 836090780 /nfs/dbraw/zinc/09/07/80/836090780.db2.gz AHGAJQQXZQXEHB-UHFFFAOYSA-N 1 2 324.384 1.328 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001266316835 836107641 /nfs/dbraw/zinc/10/76/41/836107641.db2.gz JGWHSHGKALIOPY-SWLSCSKDSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001266316835 836107645 /nfs/dbraw/zinc/10/76/45/836107645.db2.gz JGWHSHGKALIOPY-SWLSCSKDSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H](C)C2CCCC2)C1 ZINC001266353476 836161862 /nfs/dbraw/zinc/16/18/62/836161862.db2.gz WADGQTTWPUKJAW-UKRRQHHQSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H](C)C2CCCC2)C1 ZINC001266353476 836161866 /nfs/dbraw/zinc/16/18/66/836161866.db2.gz WADGQTTWPUKJAW-UKRRQHHQSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001267104821 837353145 /nfs/dbraw/zinc/35/31/45/837353145.db2.gz FUIPSUCJSDTYAT-DOTOQJQBSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001267104821 837353155 /nfs/dbraw/zinc/35/31/55/837353155.db2.gz FUIPSUCJSDTYAT-DOTOQJQBSA-N 1 2 321.421 1.733 20 30 DDEDLO CCCN(C(=O)C(=O)C(C)(C)C)[C@@H]1CC[N@H+](CC#CCOC)C1 ZINC001267454177 838077391 /nfs/dbraw/zinc/07/73/91/838077391.db2.gz LNHIHUSTJVSNPS-OAHLLOKOSA-N 1 2 322.449 1.564 20 30 DDEDLO CCCN(C(=O)C(=O)C(C)(C)C)[C@@H]1CC[N@@H+](CC#CCOC)C1 ZINC001267454177 838077406 /nfs/dbraw/zinc/07/74/06/838077406.db2.gz LNHIHUSTJVSNPS-OAHLLOKOSA-N 1 2 322.449 1.564 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@H](NCC#N)c1ccccc1 ZINC001267523622 838244655 /nfs/dbraw/zinc/24/46/55/838244655.db2.gz VWMVLAWXVXOWOS-BBRMVZONSA-N 1 2 311.389 1.559 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@H](NCC#N)c1ccccc1 ZINC001267523622 838244664 /nfs/dbraw/zinc/24/46/64/838244664.db2.gz VWMVLAWXVXOWOS-BBRMVZONSA-N 1 2 311.389 1.559 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)c2cccnc2C)CC1 ZINC001267574895 838343015 /nfs/dbraw/zinc/34/30/15/838343015.db2.gz WAUAVXNKRQOLLY-UHFFFAOYSA-N 1 2 314.433 1.103 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)C(F)=C2CCCC2)C1 ZINC001267678662 838628973 /nfs/dbraw/zinc/62/89/73/838628973.db2.gz GDJOFZNJIJRYRC-UHFFFAOYSA-N 1 2 309.385 1.134 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)[C@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001267785538 838973553 /nfs/dbraw/zinc/97/35/53/838973553.db2.gz VMVKKKVTPNJFCZ-YOEHRIQHSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)[C@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001267785538 838973562 /nfs/dbraw/zinc/97/35/62/838973562.db2.gz VMVKKKVTPNJFCZ-YOEHRIQHSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NC2CC2)C1 ZINC001267956614 839265248 /nfs/dbraw/zinc/26/52/48/839265248.db2.gz KXDBFMMNNMCNFH-CQSZACIVSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC2CC2)C1 ZINC001267956614 839265254 /nfs/dbraw/zinc/26/52/54/839265254.db2.gz KXDBFMMNNMCNFH-CQSZACIVSA-N 1 2 307.438 1.448 20 30 DDEDLO CC(C)OCC[N@@H+]1CCO[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001268021110 839393297 /nfs/dbraw/zinc/39/32/97/839393297.db2.gz CCCRUWGYXOULID-HNNXBMFYSA-N 1 2 310.438 1.278 20 30 DDEDLO CC(C)OCC[N@H+]1CCO[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001268021110 839393305 /nfs/dbraw/zinc/39/33/05/839393305.db2.gz CCCRUWGYXOULID-HNNXBMFYSA-N 1 2 310.438 1.278 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cccc(COC)c2)C1 ZINC001268331146 840007260 /nfs/dbraw/zinc/00/72/60/840007260.db2.gz BWRYNMUPGPNNTA-UHFFFAOYSA-N 1 2 304.390 1.450 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1ccc(CC)s1 ZINC001272061229 844515671 /nfs/dbraw/zinc/51/56/71/844515671.db2.gz PKRKKVMJSMKQKP-UHFFFAOYSA-N 1 2 321.446 1.064 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1ccc(CC)s1 ZINC001272061229 844515675 /nfs/dbraw/zinc/51/56/75/844515675.db2.gz PKRKKVMJSMKQKP-UHFFFAOYSA-N 1 2 321.446 1.064 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc(C3CC3)nc2C)C1 ZINC001268455092 840225533 /nfs/dbraw/zinc/22/55/33/840225533.db2.gz UWGREYLEHAVLED-UHFFFAOYSA-N 1 2 315.417 1.884 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc3c(c2)CCC3)C1 ZINC001268482467 840279207 /nfs/dbraw/zinc/27/92/07/840279207.db2.gz TVNRKRNNRONMAJ-UHFFFAOYSA-N 1 2 300.402 1.792 20 30 DDEDLO C#CC[N@@H+](C)C[C@H](C)NC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC001268741094 840745177 /nfs/dbraw/zinc/74/51/77/840745177.db2.gz ZOBSJWYJELGEOK-QMMMGPOBSA-N 1 2 302.300 1.420 20 30 DDEDLO C#CC[N@H+](C)C[C@H](C)NC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC001268741094 840745181 /nfs/dbraw/zinc/74/51/81/840745181.db2.gz ZOBSJWYJELGEOK-QMMMGPOBSA-N 1 2 302.300 1.420 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@@]2(C)CCC[C@@H]2C)C1 ZINC001268874221 840928883 /nfs/dbraw/zinc/92/88/83/840928883.db2.gz HIRCOORIMFPFRF-GUYCJALGSA-N 1 2 307.438 1.163 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](C)C(=O)N(CC)CC ZINC001268965082 841052409 /nfs/dbraw/zinc/05/24/09/841052409.db2.gz JCFISKXCQIDKGY-PMPSAXMXSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](C)C(=O)N(CC)CC ZINC001268965082 841052420 /nfs/dbraw/zinc/05/24/20/841052420.db2.gz JCFISKXCQIDKGY-PMPSAXMXSA-N 1 2 321.465 1.885 20 30 DDEDLO O=C(CCS)N1CCC[C@@]2(C1)C[N@H+](Cc1ccc[nH]1)CCO2 ZINC001268959851 841057246 /nfs/dbraw/zinc/05/72/46/841057246.db2.gz NMMUFFNALVHVGF-INIZCTEOSA-N 1 2 323.462 1.528 20 30 DDEDLO O=C(CCS)N1CCC[C@@]2(C1)C[N@@H+](Cc1ccc[nH]1)CCO2 ZINC001268959851 841057253 /nfs/dbraw/zinc/05/72/53/841057253.db2.gz NMMUFFNALVHVGF-INIZCTEOSA-N 1 2 323.462 1.528 20 30 DDEDLO CSCCC(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001268982628 841084051 /nfs/dbraw/zinc/08/40/51/841084051.db2.gz CNCAEWQIKWTHCI-UHFFFAOYSA-N 1 2 318.446 1.294 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@@H](C)CCC)C1 ZINC001269134104 841248159 /nfs/dbraw/zinc/24/81/59/841248159.db2.gz GKSGJIASFQRBJP-LSDHHAIUSA-N 1 2 309.454 1.838 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@@H](C)CCC)C1 ZINC001269134104 841248168 /nfs/dbraw/zinc/24/81/68/841248168.db2.gz GKSGJIASFQRBJP-LSDHHAIUSA-N 1 2 309.454 1.838 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001269239640 841404981 /nfs/dbraw/zinc/40/49/81/841404981.db2.gz CHVIKUWGIBTQHW-LSDHHAIUSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001269239640 841404985 /nfs/dbraw/zinc/40/49/85/841404985.db2.gz CHVIKUWGIBTQHW-LSDHHAIUSA-N 1 2 316.405 1.110 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H](C)Oc1ccccc1 ZINC001269336573 841534380 /nfs/dbraw/zinc/53/43/80/841534380.db2.gz DDICTPZALBDHMS-CVEARBPZSA-N 1 2 316.401 1.294 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H](C)Oc1ccccc1 ZINC001269336573 841534390 /nfs/dbraw/zinc/53/43/90/841534390.db2.gz DDICTPZALBDHMS-CVEARBPZSA-N 1 2 316.401 1.294 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@]1(c2ccccc2)C[C@H](F)C1 ZINC001269339176 841540547 /nfs/dbraw/zinc/54/05/47/841540547.db2.gz XFRZXWLZIZSCGZ-JFIYKMOQSA-N 1 2 300.377 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@]1(c2ccccc2)C[C@H](F)C1 ZINC001269339176 841540556 /nfs/dbraw/zinc/54/05/56/841540556.db2.gz XFRZXWLZIZSCGZ-JFIYKMOQSA-N 1 2 300.377 1.880 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cccc2[nH+]ccn21 ZINC001269485171 841682756 /nfs/dbraw/zinc/68/27/56/841682756.db2.gz LPWGSVLDGIQJCZ-ZIAGYGMSSA-N 1 2 324.384 1.726 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]1C[NH2+]Cc1nnc(C2CC2)o1 ZINC001270034704 842243266 /nfs/dbraw/zinc/24/32/66/842243266.db2.gz PBITVMTZGSGYAG-AWEZNQCLSA-N 1 2 316.405 1.831 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1c[nH]c2cc[nH+]cc12 ZINC001270138304 842325784 /nfs/dbraw/zinc/32/57/84/842325784.db2.gz CEDPUYKSHXRFSQ-HZPDHXFCSA-N 1 2 322.368 1.402 20 30 DDEDLO CC(C)CCCCC(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001270530649 842645153 /nfs/dbraw/zinc/64/51/53/842645153.db2.gz YDSSTMOHPQJJLO-KRWDZBQOSA-N 1 2 320.481 1.945 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)C[C@H]1CCCC(=O)N1 ZINC001422535689 842744118 /nfs/dbraw/zinc/74/41/18/842744118.db2.gz MDAWWHSVOVKFHJ-VXGBXAGGSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)C[C@H]1CCCC(=O)N1 ZINC001422535689 842744125 /nfs/dbraw/zinc/74/41/25/842744125.db2.gz MDAWWHSVOVKFHJ-VXGBXAGGSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)CCc2ccncc2)C1 ZINC001270657392 842783224 /nfs/dbraw/zinc/78/32/24/842783224.db2.gz IJBWUIGDXGUVPO-INIZCTEOSA-N 1 2 303.406 1.407 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)CCc2ccncc2)C1 ZINC001270657392 842783227 /nfs/dbraw/zinc/78/32/27/842783227.db2.gz IJBWUIGDXGUVPO-INIZCTEOSA-N 1 2 303.406 1.407 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1C[N@H+](CCc2ccnn2C)CCO1 ZINC001270674060 842805777 /nfs/dbraw/zinc/80/57/77/842805777.db2.gz KCDOVDABSNQQGF-MRXNPFEDSA-N 1 2 320.437 1.136 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1C[N@@H+](CCc2ccnn2C)CCO1 ZINC001270674060 842805787 /nfs/dbraw/zinc/80/57/87/842805787.db2.gz KCDOVDABSNQQGF-MRXNPFEDSA-N 1 2 320.437 1.136 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCC1(C)CCN(CC#N)CC1 ZINC001270910554 843039508 /nfs/dbraw/zinc/03/95/08/843039508.db2.gz GXZOCXYQRRYAAB-CYBMUJFWSA-N 1 2 303.410 1.330 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCC1(C)CCN(CC#N)CC1 ZINC001270910554 843039520 /nfs/dbraw/zinc/03/95/20/843039520.db2.gz GXZOCXYQRRYAAB-CYBMUJFWSA-N 1 2 303.410 1.330 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CCC3(COC(=O)N3)CC2)c1F ZINC001143174501 861396227 /nfs/dbraw/zinc/39/62/27/861396227.db2.gz RPNPMLCSKHXCGL-UHFFFAOYSA-N 1 2 307.300 1.911 20 30 DDEDLO N#CCCC(=O)N1Cc2c[nH+]cn2C[C@H](COCC2CC2)C1 ZINC001143194297 861406663 /nfs/dbraw/zinc/40/66/63/861406663.db2.gz PQYABQQAEXSKPV-CQSZACIVSA-N 1 2 302.378 1.572 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cnn2cccnc12 ZINC001409581573 845356037 /nfs/dbraw/zinc/35/60/37/845356037.db2.gz BQSPNFRDDJEPES-NSHDSACASA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cnn2cccnc12 ZINC001409581573 845356044 /nfs/dbraw/zinc/35/60/44/845356044.db2.gz BQSPNFRDDJEPES-NSHDSACASA-N 1 2 307.785 1.532 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@]23CCN(CC(F)F)C3=O)cn1 ZINC001272602515 846388549 /nfs/dbraw/zinc/38/85/49/846388549.db2.gz JAWCLSVBHMEKFH-MRXNPFEDSA-N 1 2 320.343 1.785 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@]23CCN(CC(F)F)C3=O)cn1 ZINC001272602515 846388554 /nfs/dbraw/zinc/38/85/54/846388554.db2.gz JAWCLSVBHMEKFH-MRXNPFEDSA-N 1 2 320.343 1.785 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)/C=C(\C)C3CC3)nn2)C1 ZINC001107137160 846797211 /nfs/dbraw/zinc/79/72/11/846797211.db2.gz OQTYAQBWNOIYIL-KPKJPENVSA-N 1 2 301.394 1.293 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)cc1 ZINC001077702558 847184243 /nfs/dbraw/zinc/18/42/43/847184243.db2.gz DMAZZOHGTDUBGR-ZDUSSCGKSA-N 1 2 324.384 1.258 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)c(F)c2F)C1 ZINC001077715114 847235134 /nfs/dbraw/zinc/23/51/34/847235134.db2.gz RNZRWRGIIDWFAE-CHWSQXEVSA-N 1 2 308.328 1.071 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)c(F)c2F)C1 ZINC001077715114 847235145 /nfs/dbraw/zinc/23/51/45/847235145.db2.gz RNZRWRGIIDWFAE-CHWSQXEVSA-N 1 2 308.328 1.071 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(NC(C)=O)cc1 ZINC001032503278 847417535 /nfs/dbraw/zinc/41/75/35/847417535.db2.gz LTGBXBURSBWRHM-IRXDYDNUSA-N 1 2 311.385 1.106 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(NC(C)=O)cc1 ZINC001032503278 847417538 /nfs/dbraw/zinc/41/75/38/847417538.db2.gz LTGBXBURSBWRHM-IRXDYDNUSA-N 1 2 311.385 1.106 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)C#CC(C)C)C2)CC1 ZINC001327082143 861863261 /nfs/dbraw/zinc/86/32/61/861863261.db2.gz KYQSQOIBCYQDSC-MRXNPFEDSA-N 1 2 323.868 1.617 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001327093162 861870857 /nfs/dbraw/zinc/87/08/57/861870857.db2.gz AVYYUGVVAVBNFD-WMZOPIPTSA-N 1 2 320.481 1.945 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2csnc2C)[C@H](O)C1 ZINC001090203846 848234282 /nfs/dbraw/zinc/23/42/82/848234282.db2.gz KIAMKGQDBRHNMP-NWDGAFQWSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2csnc2C)[C@H](O)C1 ZINC001090203846 848234292 /nfs/dbraw/zinc/23/42/92/848234292.db2.gz KIAMKGQDBRHNMP-NWDGAFQWSA-N 1 2 315.826 1.369 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2Cc2cn3ccncc3n2)C1=O ZINC001273208862 848776881 /nfs/dbraw/zinc/77/68/81/848776881.db2.gz WWQZFAAKABNBES-KRWDZBQOSA-N 1 2 311.389 1.482 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2Cc2cn3ccncc3n2)C1=O ZINC001273208862 848776885 /nfs/dbraw/zinc/77/68/85/848776885.db2.gz WWQZFAAKABNBES-KRWDZBQOSA-N 1 2 311.389 1.482 20 30 DDEDLO CCn1ncc(C[N@@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@@H]23)n1 ZINC001410889257 849921470 /nfs/dbraw/zinc/92/14/70/849921470.db2.gz QQIOZNVQQZUCRA-XNRPHZJLSA-N 1 2 316.409 1.071 20 30 DDEDLO CCn1ncc(C[N@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@@H]23)n1 ZINC001410889257 849921481 /nfs/dbraw/zinc/92/14/81/849921481.db2.gz QQIOZNVQQZUCRA-XNRPHZJLSA-N 1 2 316.409 1.071 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H](C)CSC)O2 ZINC001327350066 862091137 /nfs/dbraw/zinc/09/11/37/862091137.db2.gz NYZPUXODSSDIMF-KBPBESRZSA-N 1 2 312.479 1.911 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[NH2+]CC(F)(F)C(F)F ZINC001155453344 862102976 /nfs/dbraw/zinc/10/29/76/862102976.db2.gz AGILRSBHFGLYEO-JTQLQIEISA-N 1 2 314.323 1.964 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1COC2(C[NH+](CCOC(C)C)C2)C1 ZINC001327387893 862128873 /nfs/dbraw/zinc/12/88/73/862128873.db2.gz RCROAIYDVKXMOT-HNNXBMFYSA-N 1 2 308.422 1.174 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)C1(CC)CC1)CO2 ZINC001327403057 862143758 /nfs/dbraw/zinc/14/37/58/862143758.db2.gz AUGXWHKKXCXEMR-AWEZNQCLSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2COC3(C[NH+]([C@H](C)COC)C3)C2)CCC1 ZINC001327408199 862148386 /nfs/dbraw/zinc/14/83/86/862148386.db2.gz RGTAWFOTBOHOQK-CABCVRRESA-N 1 2 322.449 1.727 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCOC2(C[NH+](CCCOCC)C2)C1 ZINC001273630725 851167949 /nfs/dbraw/zinc/16/79/49/851167949.db2.gz VWSFRKJNVZPLGC-UHFFFAOYSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C[C@H](C)n1cccn1)O2 ZINC001273668270 851203527 /nfs/dbraw/zinc/20/35/27/851203527.db2.gz BEUGZTDPWBJEMK-GJZGRUSLSA-N 1 2 318.421 1.370 20 30 DDEDLO COCC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)C1CC1 ZINC001327505489 862222007 /nfs/dbraw/zinc/22/20/07/862222007.db2.gz CVNZEWNGGMBPHV-SFHVURJKSA-N 1 2 312.413 1.589 20 30 DDEDLO COCC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)C1CC1 ZINC001327505489 862222023 /nfs/dbraw/zinc/22/20/23/862222023.db2.gz CVNZEWNGGMBPHV-SFHVURJKSA-N 1 2 312.413 1.589 20 30 DDEDLO C=C(C)CNC(=O)[C@H]1CC12CC[NH+](Cc1nncn1C)CC2 ZINC001273825873 851391150 /nfs/dbraw/zinc/39/11/50/851391150.db2.gz LXUNISLYSXOVPG-CYBMUJFWSA-N 1 2 303.410 1.110 20 30 DDEDLO C[C@H](C#N)C(=O)NC/C=C\C[NH2+]Cc1nnc(-c2ccco2)o1 ZINC001273911632 851491993 /nfs/dbraw/zinc/49/19/93/851491993.db2.gz UVUPDIDDGNDFQD-OTDNITJGSA-N 1 2 315.333 1.251 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)NCCCNc2cccc[nH+]2)CC1 ZINC001327605533 862314528 /nfs/dbraw/zinc/31/45/28/862314528.db2.gz UWQMLMQLQYGWFN-UHFFFAOYSA-N 1 2 316.405 1.424 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001274208410 852036888 /nfs/dbraw/zinc/03/68/88/852036888.db2.gz MCXRVDHVOSXVIN-QWHCGFSZSA-N 1 2 306.410 1.925 20 30 DDEDLO N#Cc1coc(C(=O)N2CC3(C2)C[NH+](Cc2cccc(=O)[nH]2)C3)c1 ZINC001274349361 852176797 /nfs/dbraw/zinc/17/67/97/852176797.db2.gz GVRHPFKLBAXFRB-UHFFFAOYSA-N 1 2 324.340 1.210 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3(CCC)CCC3)CC2)C1 ZINC001274474167 852307829 /nfs/dbraw/zinc/30/78/29/852307829.db2.gz FCCRDIOYNXUPDU-UHFFFAOYSA-N 1 2 303.450 1.418 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3nc(CC)cs3)C2)OCC1=O ZINC001274579366 852413158 /nfs/dbraw/zinc/41/31/58/852413158.db2.gz KYMLXGSXWPIUAK-MRXNPFEDSA-N 1 2 321.446 1.695 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3nc(CC)cs3)C2)OCC1=O ZINC001274579366 852413164 /nfs/dbraw/zinc/41/31/64/852413164.db2.gz KYMLXGSXWPIUAK-MRXNPFEDSA-N 1 2 321.446 1.695 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1C[C@]2(F)CN([C@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274614165 852443040 /nfs/dbraw/zinc/44/30/40/852443040.db2.gz BQUUHUUIAZQXSK-UQOMUDLDSA-N 1 2 302.365 1.296 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1C[C@]2(F)CN([C@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274614165 852443044 /nfs/dbraw/zinc/44/30/44/852443044.db2.gz BQUUHUUIAZQXSK-UQOMUDLDSA-N 1 2 302.365 1.296 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2CC[C@H]3CN(Cc4ccc[nH]4)C[C@H]32)c1 ZINC001275027511 852754344 /nfs/dbraw/zinc/75/43/44/852754344.db2.gz MHUUKBHTQKIQMR-FUHWJXTLSA-N 1 2 307.401 1.988 20 30 DDEDLO N#Cc1cncc(C[N@H+]2CC[C@H]3CN(Cc4ccc[nH]4)C[C@H]32)c1 ZINC001275027511 852754349 /nfs/dbraw/zinc/75/43/49/852754349.db2.gz MHUUKBHTQKIQMR-FUHWJXTLSA-N 1 2 307.401 1.988 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccc(CNC(=O)Cc3cncn3C)cc2C1 ZINC001327696857 862395826 /nfs/dbraw/zinc/39/58/26/862395826.db2.gz YNMZMAUSRAZMKI-UHFFFAOYSA-N 1 2 322.412 1.618 20 30 DDEDLO CC#CC[N@H+]1Cc2ccc(CNC(=O)Cc3cncn3C)cc2C1 ZINC001327696857 862395847 /nfs/dbraw/zinc/39/58/47/862395847.db2.gz YNMZMAUSRAZMKI-UHFFFAOYSA-N 1 2 322.412 1.618 20 30 DDEDLO C=CCOCC(=O)NCCC[NH2+]Cc1noc(CCCC)n1 ZINC001155724920 862407817 /nfs/dbraw/zinc/40/78/17/862407817.db2.gz IMKBTKHGVKGCOI-UHFFFAOYSA-N 1 2 310.398 1.211 20 30 DDEDLO C[C@H](C[N@H+](C)[C@@H](C)c1ncccn1)NC(=O)CSCC#N ZINC001275507250 853247428 /nfs/dbraw/zinc/24/74/28/853247428.db2.gz YGKLODVEWDGZQI-NEPJUHHUSA-N 1 2 307.423 1.231 20 30 DDEDLO C[C@H](C[N@@H+](C)[C@@H](C)c1ncccn1)NC(=O)CSCC#N ZINC001275507250 853247434 /nfs/dbraw/zinc/24/74/34/853247434.db2.gz YGKLODVEWDGZQI-NEPJUHHUSA-N 1 2 307.423 1.231 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2c3c(nn2CC)CCCC3)CC1 ZINC001150847425 862496761 /nfs/dbraw/zinc/49/67/61/862496761.db2.gz FRISIPDWVZXCFW-UHFFFAOYSA-N 1 2 302.422 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)C(=O)N1CCC(C)CC1 ZINC001275946216 854000703 /nfs/dbraw/zinc/00/07/03/854000703.db2.gz RPJBHZPNJIBXJR-CYBMUJFWSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)C(=O)N1CCC(C)CC1 ZINC001275946216 854000709 /nfs/dbraw/zinc/00/07/09/854000709.db2.gz RPJBHZPNJIBXJR-CYBMUJFWSA-N 1 2 315.845 1.434 20 30 DDEDLO COc1cc(C#N)ccc1CN1CC2(C1)C[NH+](Cc1cn[nH]c1)C2 ZINC001276087438 854736558 /nfs/dbraw/zinc/73/65/58/854736558.db2.gz UYNZZGGJLUZMKV-UHFFFAOYSA-N 1 2 323.400 1.608 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CC2(CN(Cc3cn[nH]c3)C2)C1 ZINC001276087438 854736561 /nfs/dbraw/zinc/73/65/61/854736561.db2.gz UYNZZGGJLUZMKV-UHFFFAOYSA-N 1 2 323.400 1.608 20 30 DDEDLO Cc1c(C[NH+]2CC3(CCN3Cc3cc(C#N)n(C)c3)C2)cnn1C ZINC001276198610 854943893 /nfs/dbraw/zinc/94/38/93/854943893.db2.gz ZOOCYYPASVESBH-UHFFFAOYSA-N 1 2 324.432 1.399 20 30 DDEDLO CCC[C@]1(C)C(=O)NCC[N@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001412978817 855860163 /nfs/dbraw/zinc/86/01/63/855860163.db2.gz JYBIDFIPYRUGEC-NVXWUHKLSA-N 1 2 301.390 1.582 20 30 DDEDLO CCC[C@]1(C)C(=O)NCC[N@@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001412978817 855860171 /nfs/dbraw/zinc/86/01/71/855860171.db2.gz JYBIDFIPYRUGEC-NVXWUHKLSA-N 1 2 301.390 1.582 20 30 DDEDLO COc1ccnc(C[NH+]2CC([C@H](C)NC(=O)C#CC3CC3)C2)c1 ZINC001276375860 856301428 /nfs/dbraw/zinc/30/14/28/856301428.db2.gz ITNZPEIXYDHDFP-ZDUSSCGKSA-N 1 2 313.401 1.440 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nonc2C)[C@@H](C)C1 ZINC001328238059 862799305 /nfs/dbraw/zinc/79/93/05/862799305.db2.gz DHFRNOPHTNSIJZ-GXTWGEPZSA-N 1 2 304.394 1.651 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nonc2C)[C@@H](C)C1 ZINC001328238059 862799316 /nfs/dbraw/zinc/79/93/16/862799316.db2.gz DHFRNOPHTNSIJZ-GXTWGEPZSA-N 1 2 304.394 1.651 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC001072721190 857639062 /nfs/dbraw/zinc/63/90/62/857639062.db2.gz IALIXSDNFSCAGJ-AWEZNQCLSA-N 1 2 310.401 1.485 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC001072721190 857639070 /nfs/dbraw/zinc/63/90/70/857639070.db2.gz IALIXSDNFSCAGJ-AWEZNQCLSA-N 1 2 310.401 1.485 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072797664 857712472 /nfs/dbraw/zinc/71/24/72/857712472.db2.gz CLIPZQKRCWHOBE-ZFWWWQNUSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072800795 857716444 /nfs/dbraw/zinc/71/64/44/857716444.db2.gz FJMZSGCMGAUVCD-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO CC#CC[NH2+][C@H]1C[C@H](NC(=O)c2cncc3nc[nH]c32)C12CCC2 ZINC001202940975 860422907 /nfs/dbraw/zinc/42/29/07/860422907.db2.gz UUXZAJBHKXPDBW-GJZGRUSLSA-N 1 2 323.400 1.612 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(Cc3cc(F)cc(C#N)c3)C2)C[C@H](C)O1 ZINC001139879431 860506401 /nfs/dbraw/zinc/50/64/01/860506401.db2.gz KRYPGOWXETUPEU-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(Cc3cc(F)cc(C#N)c3)C2)C[C@H](C)O1 ZINC001139879431 860506409 /nfs/dbraw/zinc/50/64/09/860506409.db2.gz KRYPGOWXETUPEU-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO C#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001328781356 863219426 /nfs/dbraw/zinc/21/94/26/863219426.db2.gz SFZWXTIYFGTTLB-INIZCTEOSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001328781356 863219437 /nfs/dbraw/zinc/21/94/37/863219437.db2.gz SFZWXTIYFGTTLB-INIZCTEOSA-N 1 2 323.400 1.641 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2c[nH]c3c2ncnc3Cl)CC1 ZINC001152938235 863615813 /nfs/dbraw/zinc/61/58/13/863615813.db2.gz VKIGCDSTNSWKIE-UHFFFAOYSA-N 1 2 305.769 1.555 20 30 DDEDLO C#CCCCC(=O)NCCC[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001157175819 863632732 /nfs/dbraw/zinc/63/27/32/863632732.db2.gz DXZAIUPEWBDSNS-UHFFFAOYSA-N 1 2 306.410 1.767 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+]Cc1nc(CC(C)C)no1 ZINC001153081166 863699041 /nfs/dbraw/zinc/69/90/41/863699041.db2.gz MPJLPHKFVLYSKQ-UHFFFAOYSA-N 1 2 310.398 1.067 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnc3ccc(Cl)nn32)CC1 ZINC001153207062 863762160 /nfs/dbraw/zinc/76/21/60/863762160.db2.gz CJQWJOMDCPIBKQ-UHFFFAOYSA-N 1 2 305.769 1.327 20 30 DDEDLO N#Cc1ccc2ncnc(N[C@@H]3CCC[N@@H+](C4COC4)C3)c2c1 ZINC001157580714 863945120 /nfs/dbraw/zinc/94/51/20/863945120.db2.gz FNTQUFCZCKIQTB-CYBMUJFWSA-N 1 2 309.373 1.777 20 30 DDEDLO N#Cc1ccc2ncnc(N[C@@H]3CCC[N@H+](C4COC4)C3)c2c1 ZINC001157580714 863945127 /nfs/dbraw/zinc/94/51/27/863945127.db2.gz FNTQUFCZCKIQTB-CYBMUJFWSA-N 1 2 309.373 1.777 20 30 DDEDLO C[C@@H](NC(=O)C#CC(C)(C)C)C1C[NH+](Cc2cncn2C)C1 ZINC001329997441 864005305 /nfs/dbraw/zinc/00/53/05/864005305.db2.gz MMBHDHIZLDDRAD-CYBMUJFWSA-N 1 2 302.422 1.406 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CCC[N@@H+](Cc1cnn(C)n1)C2 ZINC001330501631 864412240 /nfs/dbraw/zinc/41/22/40/864412240.db2.gz HGJDNMFXDWHMQN-UHFFFAOYSA-N 1 2 317.437 1.596 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CCC[N@H+](Cc1cnn(C)n1)C2 ZINC001330501631 864412244 /nfs/dbraw/zinc/41/22/44/864412244.db2.gz HGJDNMFXDWHMQN-UHFFFAOYSA-N 1 2 317.437 1.596 20 30 DDEDLO N#CCc1cc[nH+]c(NCc2ccc(N3CCOCC3)nn2)c1 ZINC001158781648 864903580 /nfs/dbraw/zinc/90/35/80/864903580.db2.gz WZVDUMLNKLDGPO-UHFFFAOYSA-N 1 2 310.361 1.386 20 30 DDEDLO C#CCC1([NH2+]Cc2noc(C)c2C(=O)OCC)CCOCC1 ZINC001331262466 864974118 /nfs/dbraw/zinc/97/41/18/864974118.db2.gz JZNPPMDQXJEFMM-UHFFFAOYSA-N 1 2 306.362 1.822 20 30 DDEDLO N#Cc1cccnc1N(C(N)=[NH2+])c1ccc(N2CCOCC2)cc1 ZINC001159144489 865130584 /nfs/dbraw/zinc/13/05/84/865130584.db2.gz MEIYMVPSELPZCK-UHFFFAOYSA-N 1 2 322.372 1.821 20 30 DDEDLO C[C@@H]1C[NH+](Cc2cnc(N3CCCC3)nc2)C[C@@H](C)N1CC#N ZINC001331586031 865168061 /nfs/dbraw/zinc/16/80/61/865168061.db2.gz WVRJGOXTBYZBRQ-HUUCEWRRSA-N 1 2 314.437 1.495 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)[C@H]1C ZINC001332197454 865656667 /nfs/dbraw/zinc/65/66/67/865656667.db2.gz CBPZAFIMHBJGKP-GJZGRUSLSA-N 1 2 320.437 1.342 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)[C@H]1C ZINC001332197454 865656672 /nfs/dbraw/zinc/65/66/72/865656672.db2.gz CBPZAFIMHBJGKP-GJZGRUSLSA-N 1 2 320.437 1.342 20 30 DDEDLO C=CCCC[NH2+]C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001332519122 865902372 /nfs/dbraw/zinc/90/23/72/865902372.db2.gz YXBRDBVESJFOSX-VHSXEESVSA-N 1 2 300.296 1.262 20 30 DDEDLO C=CCCC[NH2+]C[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001332519122 865902378 /nfs/dbraw/zinc/90/23/78/865902378.db2.gz YXBRDBVESJFOSX-VHSXEESVSA-N 1 2 300.296 1.262 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1nccc(C#N)c1F ZINC001160693845 866037510 /nfs/dbraw/zinc/03/75/10/866037510.db2.gz XMXXLDPFDOKTAF-NSHDSACASA-N 1 2 303.297 1.022 20 30 DDEDLO COc1ccnc(N[C@H]2CCC[C@H]2[NH+]2CCOCC2)c1C#N ZINC001160725977 866076133 /nfs/dbraw/zinc/07/61/33/866076133.db2.gz WPSNUSNRZSWQCD-UONOGXRCSA-N 1 2 302.378 1.627 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)NCC(C)(C)[NH+]2CCOCC2)C1 ZINC001320030613 866436105 /nfs/dbraw/zinc/43/61/05/866436105.db2.gz KXYXDSYRSLIRJO-AWEZNQCLSA-N 1 2 311.426 1.084 20 30 DDEDLO COCC#CC[N@H+](C)CCN(C)C(=O)CCCn1ccnc1C ZINC001320061383 866451391 /nfs/dbraw/zinc/45/13/91/866451391.db2.gz KHLQHYMVFAMOQN-UHFFFAOYSA-N 1 2 320.437 1.012 20 30 DDEDLO COCC#CC[N@@H+](C)CCN(C)C(=O)CCCn1ccnc1C ZINC001320061383 866451393 /nfs/dbraw/zinc/45/13/93/866451393.db2.gz KHLQHYMVFAMOQN-UHFFFAOYSA-N 1 2 320.437 1.012 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@H]1C[C@@H]1C1CCCC1 ZINC001320066291 866453218 /nfs/dbraw/zinc/45/32/18/866453218.db2.gz FRGAVZVFFCZCOU-CVEARBPZSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@H]1C[C@@H]1C1CCCC1 ZINC001320066291 866453207 /nfs/dbraw/zinc/45/32/07/866453207.db2.gz FRGAVZVFFCZCOU-CVEARBPZSA-N 1 2 321.465 1.505 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1cc(C)c(C)s1 ZINC001320080991 866458029 /nfs/dbraw/zinc/45/80/29/866458029.db2.gz SCQBRQOXGNDMJH-UHFFFAOYSA-N 1 2 321.446 1.118 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1cc(C)c(C)s1 ZINC001320080991 866458032 /nfs/dbraw/zinc/45/80/32/866458032.db2.gz SCQBRQOXGNDMJH-UHFFFAOYSA-N 1 2 321.446 1.118 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(Cl)cn1C ZINC001323260381 866480900 /nfs/dbraw/zinc/48/09/00/866480900.db2.gz WTQCCXXFXWQXBR-ZDUSSCGKSA-N 1 2 309.797 1.132 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(Cl)cn1C ZINC001323260381 866480910 /nfs/dbraw/zinc/48/09/10/866480910.db2.gz WTQCCXXFXWQXBR-ZDUSSCGKSA-N 1 2 309.797 1.132 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)Cc1ccccc1 ZINC001323261394 866482841 /nfs/dbraw/zinc/48/28/41/866482841.db2.gz JEARQXMVHWMFGS-GDBMZVCRSA-N 1 2 315.417 1.110 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)Cc1ccccc1 ZINC001323261394 866482855 /nfs/dbraw/zinc/48/28/55/866482855.db2.gz JEARQXMVHWMFGS-GDBMZVCRSA-N 1 2 315.417 1.110 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)CC(C)=C(C)C)C1=O ZINC001323286343 866500742 /nfs/dbraw/zinc/50/07/42/866500742.db2.gz DZSSQZQCLUWYTB-JKSUJKDBSA-N 1 2 319.449 1.710 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)CC(C)=C(C)C)C1=O ZINC001323286343 866500756 /nfs/dbraw/zinc/50/07/56/866500756.db2.gz DZSSQZQCLUWYTB-JKSUJKDBSA-N 1 2 319.449 1.710 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H](CC)Oc1ccccc1F ZINC001323337259 866543331 /nfs/dbraw/zinc/54/33/31/866543331.db2.gz WKHXQKBMXGWAHV-DZGCQCFKSA-N 1 2 304.365 1.807 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H](CC)Oc1ccccc1F ZINC001323337259 866543339 /nfs/dbraw/zinc/54/33/39/866543339.db2.gz WKHXQKBMXGWAHV-DZGCQCFKSA-N 1 2 304.365 1.807 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@]1(C)OCCc2ccccc21 ZINC001323372185 866568022 /nfs/dbraw/zinc/56/80/22/866568022.db2.gz SDXRLUSYFIAQCF-APWZRJJASA-N 1 2 312.413 1.688 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@]1(C)OCCc2ccccc21 ZINC001323372185 866568030 /nfs/dbraw/zinc/56/80/30/866568030.db2.gz SDXRLUSYFIAQCF-APWZRJJASA-N 1 2 312.413 1.688 20 30 DDEDLO Cc1nc(C(=O)N2CCC([N@H+](CC#N)CC3CC3)CC2)c[nH]1 ZINC001323719564 866793740 /nfs/dbraw/zinc/79/37/40/866793740.db2.gz ANMSOLBPSJIJCW-UHFFFAOYSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1nc(C(=O)N2CCC([N@@H+](CC#N)CC3CC3)CC2)c[nH]1 ZINC001323719564 866793750 /nfs/dbraw/zinc/79/37/50/866793750.db2.gz ANMSOLBPSJIJCW-UHFFFAOYSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1ncsc1CC[NH+]1CC(N(C)C(=O)C#CC2CC2)C1 ZINC001323920626 866949431 /nfs/dbraw/zinc/94/94/31/866949431.db2.gz GFYKMZVJJIEPBQ-UHFFFAOYSA-N 1 2 303.431 1.550 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)COc2ccc(F)cc2)C1 ZINC001324017273 867011997 /nfs/dbraw/zinc/01/19/97/867011997.db2.gz ILPFSAQXSPXFHI-UHFFFAOYSA-N 1 2 322.380 1.550 20 30 DDEDLO CC(C)c1noc([C@H](C)[NH2+]C/C=C\CNC(=O)[C@H](C)C#N)n1 ZINC001320998753 867191173 /nfs/dbraw/zinc/19/11/73/867191173.db2.gz QPFQVCGJHFGKHL-DUVUQDDDSA-N 1 2 305.382 1.676 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)NC1(C)CCN(CC#N)CC1 ZINC001324318254 867201196 /nfs/dbraw/zinc/20/11/96/867201196.db2.gz IJRXJXMDOAIKJW-UHFFFAOYSA-N 1 2 302.378 1.127 20 30 DDEDLO CC[C@H](CC(C)C)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001324559094 867352842 /nfs/dbraw/zinc/35/28/42/867352842.db2.gz AHWNBYHNHAJDTE-SJORKVTESA-N 1 2 320.481 1.801 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)N(C)C(=O)Cn1cc[nH+]c1 ZINC001334233178 867408325 /nfs/dbraw/zinc/40/83/25/867408325.db2.gz AMXVJWYFSDKLDZ-CQSZACIVSA-N 1 2 304.394 1.040 20 30 DDEDLO CC#CCCNC(=O)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC001321791870 867794975 /nfs/dbraw/zinc/79/49/75/867794975.db2.gz XQNUSYQBJKGIGQ-UHFFFAOYSA-N 1 2 310.357 1.018 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001335117103 868076331 /nfs/dbraw/zinc/07/63/31/868076331.db2.gz WNVICSSZUORVJP-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cnn2c1OCCC2 ZINC001381556317 882156577 /nfs/dbraw/zinc/15/65/77/882156577.db2.gz YRJUQQMQDHLKAH-NSHDSACASA-N 1 2 312.801 1.468 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cnn2c1OCCC2 ZINC001381556317 882156591 /nfs/dbraw/zinc/15/65/91/882156591.db2.gz YRJUQQMQDHLKAH-NSHDSACASA-N 1 2 312.801 1.468 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCOC[C@H]1Nc1cc[nH+]c(C)n1 ZINC001336675706 869087454 /nfs/dbraw/zinc/08/74/54/869087454.db2.gz UHODZUCBWDNHPM-UONOGXRCSA-N 1 2 304.394 1.827 20 30 DDEDLO Cc1nnc(N(C)C[C@@H](C)C#N)n1Cc1ccc(N(C)C)[nH+]c1 ZINC001381602109 882287342 /nfs/dbraw/zinc/28/73/42/882287342.db2.gz GQTIHUAMDASEDY-LBPRGKRZSA-N 1 2 313.409 1.692 20 30 DDEDLO COc1cc2nc(C)nc(N3CC[NH2+]C[C@H]3C#N)c2cc1OC ZINC001164651601 869340660 /nfs/dbraw/zinc/34/06/60/869340660.db2.gz JWOAYKSXONUSAX-LLVKDONJSA-N 1 2 313.361 1.257 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H](C)NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001337448571 869493864 /nfs/dbraw/zinc/49/38/64/869493864.db2.gz ZPOQIGSQRPLCEV-GFCCVEGCSA-N 1 2 318.421 1.580 20 30 DDEDLO COCC#CCN1CC[NH+](CCNC(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001316965260 870005927 /nfs/dbraw/zinc/00/59/27/870005927.db2.gz WIIZOGQKMQWGLU-MRXNPFEDSA-N 1 2 323.481 1.052 20 30 DDEDLO COCC#CC[NH+]1CCN(CCNC(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001316965260 870005948 /nfs/dbraw/zinc/00/59/48/870005948.db2.gz WIIZOGQKMQWGLU-MRXNPFEDSA-N 1 2 323.481 1.052 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C)C[C@H]1C ZINC001338771967 870158433 /nfs/dbraw/zinc/15/84/33/870158433.db2.gz IAHSXGQUJASAQM-KBUPBQIOSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C)C[C@H]1C ZINC001338771967 870158442 /nfs/dbraw/zinc/15/84/42/870158442.db2.gz IAHSXGQUJASAQM-KBUPBQIOSA-N 1 2 319.453 1.826 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C1CC(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001339255239 870423576 /nfs/dbraw/zinc/42/35/76/870423576.db2.gz KPKITGHZCBYFBM-UHFFFAOYSA-N 1 2 318.421 1.660 20 30 DDEDLO C[C@H](C[C@@H](C)NC(=O)Cn1cc[nH+]c1)NC(=O)C#CC(C)(C)C ZINC001339832341 870724441 /nfs/dbraw/zinc/72/44/41/870724441.db2.gz UCEQZCVVJGKOJP-ZIAGYGMSSA-N 1 2 318.421 1.332 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001298858281 870757337 /nfs/dbraw/zinc/75/73/37/870757337.db2.gz CUPMGUQVBKPCPV-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001298858890 870757385 /nfs/dbraw/zinc/75/73/85/870757385.db2.gz VSRPQUJHCMXITQ-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)C1(CC(C)C)CCCC1 ZINC001317465974 870885598 /nfs/dbraw/zinc/88/55/98/870885598.db2.gz YXAXIXBOVAFRQP-UHFFFAOYSA-N 1 2 321.465 1.390 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)C1(CC(C)C)CCCC1 ZINC001317465974 870885612 /nfs/dbraw/zinc/88/56/12/870885612.db2.gz YXAXIXBOVAFRQP-UHFFFAOYSA-N 1 2 321.465 1.390 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@H]2C[C@H](O)C[N@H+]2C)n1CC=C ZINC001340258401 870993005 /nfs/dbraw/zinc/99/30/05/870993005.db2.gz IWSVWNAVHHGKPI-QLFBSQMISA-N 1 2 315.421 1.194 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@H]2C[C@H](O)C[N@@H+]2C)n1CC=C ZINC001340258401 870993013 /nfs/dbraw/zinc/99/30/13/870993013.db2.gz IWSVWNAVHHGKPI-QLFBSQMISA-N 1 2 315.421 1.194 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@@H+](C)[C@@H](C)c2nncn2C)C1 ZINC001316847177 871293515 /nfs/dbraw/zinc/29/35/15/871293515.db2.gz IXRRBXCUMYPWSH-ZDUSSCGKSA-N 1 2 305.426 1.671 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@H+](C)[C@@H](C)c2nncn2C)C1 ZINC001316847177 871293526 /nfs/dbraw/zinc/29/35/26/871293526.db2.gz IXRRBXCUMYPWSH-ZDUSSCGKSA-N 1 2 305.426 1.671 20 30 DDEDLO COC(=O)N1CCC[N@@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC001340785939 871351650 /nfs/dbraw/zinc/35/16/50/871351650.db2.gz KYJNBMQYGJMBHG-CJNGLKHVSA-N 1 2 324.425 1.203 20 30 DDEDLO COC(=O)N1CCC[N@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC001340785939 871351663 /nfs/dbraw/zinc/35/16/63/871351663.db2.gz KYJNBMQYGJMBHG-CJNGLKHVSA-N 1 2 324.425 1.203 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ocnc1C ZINC001317735954 871454241 /nfs/dbraw/zinc/45/42/41/871454241.db2.gz ZFBYYCSICNAKIT-SOUVJXGZSA-N 1 2 317.389 1.197 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ocnc1C ZINC001317735954 871454247 /nfs/dbraw/zinc/45/42/47/871454247.db2.gz ZFBYYCSICNAKIT-SOUVJXGZSA-N 1 2 317.389 1.197 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CCC)C(=O)CCCn2ccnc2C)C1 ZINC001317767340 871479453 /nfs/dbraw/zinc/47/94/53/871479453.db2.gz OPKKKMCEVFDKIN-QGZVFWFLSA-N 1 2 316.449 1.918 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CCC)C(=O)CCCn2ccnc2C)C1 ZINC001317767340 871479455 /nfs/dbraw/zinc/47/94/55/871479455.db2.gz OPKKKMCEVFDKIN-QGZVFWFLSA-N 1 2 316.449 1.918 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC001317823531 871546946 /nfs/dbraw/zinc/54/69/46/871546946.db2.gz YCNSDULSOPSMRS-DLBZAZTESA-N 1 2 305.466 1.685 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2cocc2C)C1 ZINC001317979339 871668061 /nfs/dbraw/zinc/66/80/61/871668061.db2.gz HFXFDYNLYPBNNU-ZDUSSCGKSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2cocc2C)C1 ZINC001317979339 871668073 /nfs/dbraw/zinc/66/80/73/871668073.db2.gz HFXFDYNLYPBNNU-ZDUSSCGKSA-N 1 2 305.378 1.084 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)CCOC)C1 ZINC001205697621 871709334 /nfs/dbraw/zinc/70/93/34/871709334.db2.gz BAJXQIAWOHBGLD-MWLCHTKSSA-N 1 2 305.216 1.368 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)CCOC)C1 ZINC001205697621 871709339 /nfs/dbraw/zinc/70/93/39/871709339.db2.gz BAJXQIAWOHBGLD-MWLCHTKSSA-N 1 2 305.216 1.368 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc(C[NH+]3CCCC3)n2CCOC)C1 ZINC001341604040 871731977 /nfs/dbraw/zinc/73/19/77/871731977.db2.gz JGEAYXNVUPLRAO-HNNXBMFYSA-N 1 2 317.437 1.370 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N(C)CCNC(C)=O ZINC001342100422 872002787 /nfs/dbraw/zinc/00/27/87/872002787.db2.gz RFAOAGLRLRZSFB-UHFFFAOYSA-N 1 2 320.441 1.022 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCC3(NCC#N)CCCC3)ccn12 ZINC001319352083 872593271 /nfs/dbraw/zinc/59/32/71/872593271.db2.gz PQXABQQBRHFQPB-UHFFFAOYSA-N 1 2 311.389 1.799 20 30 DDEDLO CCOCCCNC(=O)[C@H](C#N)C(=O)[C@@H]1CCC[N@@H+]1C(C)C ZINC001346199472 873644035 /nfs/dbraw/zinc/64/40/35/873644035.db2.gz XCYIHXMIYPUEHB-KGLIPLIRSA-N 1 2 309.410 1.111 20 30 DDEDLO CCOCCCNC(=O)[C@H](C#N)C(=O)[C@@H]1CCC[N@H+]1C(C)C ZINC001346199472 873644038 /nfs/dbraw/zinc/64/40/38/873644038.db2.gz XCYIHXMIYPUEHB-KGLIPLIRSA-N 1 2 309.410 1.111 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@](C)(O)CC)n2CC2CC2)CC1 ZINC001346581012 873814131 /nfs/dbraw/zinc/81/41/31/873814131.db2.gz VDEMLXCRPGUONV-QGZVFWFLSA-N 1 2 317.437 1.061 20 30 DDEDLO C[C@H]1CCCC[N@@H+]1CCCCNc1[nH]c(=O)n(C)c(=O)c1C#N ZINC001347918245 874308242 /nfs/dbraw/zinc/30/82/42/874308242.db2.gz OVUXKZXJYPBLJV-LBPRGKRZSA-N 1 2 319.409 1.012 20 30 DDEDLO C[C@H]1CCCC[N@H+]1CCCCNc1[nH]c(=O)n(C)c(=O)c1C#N ZINC001347918245 874308255 /nfs/dbraw/zinc/30/82/55/874308255.db2.gz OVUXKZXJYPBLJV-LBPRGKRZSA-N 1 2 319.409 1.012 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+](Cc2nn(CC)nc2C)CC1 ZINC001227084632 882818565 /nfs/dbraw/zinc/81/85/65/882818565.db2.gz BOQPOSQCPVWXDM-UHFFFAOYSA-N 1 2 317.437 1.491 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC(Cc3c[nH]c[nH+]3)CC2)nn1 ZINC001348558606 874633157 /nfs/dbraw/zinc/63/31/57/874633157.db2.gz NXVUWNFPYBHUPL-UHFFFAOYSA-N 1 2 314.393 1.672 20 30 DDEDLO O=C(CC#Cc1ccccc1)NCCNC(=O)CCc1c[nH]c[nH+]1 ZINC001349133309 874985550 /nfs/dbraw/zinc/98/55/50/874985550.db2.gz ZRGSEXYKRSLYBH-UHFFFAOYSA-N 1 2 324.384 1.017 20 30 DDEDLO O=C(CC#Cc1ccccc1)NCCNC(=O)CCc1c[nH+]c[nH]1 ZINC001349133309 874985553 /nfs/dbraw/zinc/98/55/53/874985553.db2.gz ZRGSEXYKRSLYBH-UHFFFAOYSA-N 1 2 324.384 1.017 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1OC ZINC001213221662 875852410 /nfs/dbraw/zinc/85/24/10/875852410.db2.gz MFTUHMWCNLKZFV-UMVBOHGHSA-N 1 2 302.390 1.000 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1OC ZINC001213221662 875852413 /nfs/dbraw/zinc/85/24/13/875852413.db2.gz MFTUHMWCNLKZFV-UMVBOHGHSA-N 1 2 302.390 1.000 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2coc(C)n2)CC1 ZINC001227341734 882975439 /nfs/dbraw/zinc/97/54/39/882975439.db2.gz ASTDXSOCBMKVDK-LBPRGKRZSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](OCC)c2ccccc2)[C@H](OC)C1 ZINC001213972387 876118246 /nfs/dbraw/zinc/11/82/46/876118246.db2.gz QFBAGZUFPARFHO-ZACQAIPSSA-N 1 2 316.401 1.213 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](OCC)c2ccccc2)[C@H](OC)C1 ZINC001213972387 876118250 /nfs/dbraw/zinc/11/82/50/876118250.db2.gz QFBAGZUFPARFHO-ZACQAIPSSA-N 1 2 316.401 1.213 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)[C@@H](C)[C@@H]1C ZINC001351604656 876343642 /nfs/dbraw/zinc/34/36/42/876343642.db2.gz OTMBHQLLNUAMQO-AICCOOGYSA-N 1 2 319.453 1.682 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@H](C)[C@@H](C)[C@@H]1C ZINC001351604656 876343655 /nfs/dbraw/zinc/34/36/55/876343655.db2.gz OTMBHQLLNUAMQO-AICCOOGYSA-N 1 2 319.453 1.682 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001214529406 876378426 /nfs/dbraw/zinc/37/84/26/876378426.db2.gz XSLGVZPTTNYKBR-CHWSQXEVSA-N 1 2 304.394 1.372 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001215375957 876684783 /nfs/dbraw/zinc/68/47/83/876684783.db2.gz AIRORVDEEVPXLC-KWCYVHTRSA-N 1 2 306.410 1.917 20 30 DDEDLO CCC(CC)C(=O)NC[C@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001379368085 876933248 /nfs/dbraw/zinc/93/32/48/876933248.db2.gz SWZZCGRJRFHRTD-MRXNPFEDSA-N 1 2 321.396 1.700 20 30 DDEDLO CCC(=CC(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21)CC ZINC001217643234 877256301 /nfs/dbraw/zinc/25/63/01/877256301.db2.gz ITGBSXRTQVZJAB-DLBZAZTESA-N 1 2 320.433 1.294 20 30 DDEDLO CCC(=CC(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21)CC ZINC001217643234 877256313 /nfs/dbraw/zinc/25/63/13/877256313.db2.gz ITGBSXRTQVZJAB-DLBZAZTESA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cncs3)C[C@@H]21 ZINC001217759098 877316182 /nfs/dbraw/zinc/31/61/82/877316182.db2.gz YVVJPPNWKTYVBC-LSDHHAIUSA-N 1 2 319.430 1.358 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cncs3)C[C@@H]21 ZINC001217759098 877316188 /nfs/dbraw/zinc/31/61/88/877316188.db2.gz YVVJPPNWKTYVBC-LSDHHAIUSA-N 1 2 319.430 1.358 20 30 DDEDLO C#CCN(C(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21)C(C)C ZINC001354674700 878147912 /nfs/dbraw/zinc/14/79/12/878147912.db2.gz JSKQBVPJHKBPCC-INIZCTEOSA-N 1 2 313.401 1.160 20 30 DDEDLO C#CCN(C(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21)C(C)C ZINC001354674700 878147922 /nfs/dbraw/zinc/14/79/22/878147922.db2.gz JSKQBVPJHKBPCC-INIZCTEOSA-N 1 2 313.401 1.160 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2cccc(F)c2)C[C@@H]1O ZINC001219596380 878376587 /nfs/dbraw/zinc/37/65/87/878376587.db2.gz SCNOQZSHFWJERK-CVEARBPZSA-N 1 2 318.392 1.537 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2cccc(F)c2)C[C@@H]1O ZINC001219596380 878376593 /nfs/dbraw/zinc/37/65/93/878376593.db2.gz SCNOQZSHFWJERK-CVEARBPZSA-N 1 2 318.392 1.537 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@@H]1O ZINC001219706828 878469607 /nfs/dbraw/zinc/46/96/07/878469607.db2.gz PQOMKJSMZDDXBD-YUELXQCFSA-N 1 2 318.421 1.301 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@@H]1O ZINC001219706828 878469629 /nfs/dbraw/zinc/46/96/29/878469629.db2.gz PQOMKJSMZDDXBD-YUELXQCFSA-N 1 2 318.421 1.301 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@@H]1O ZINC001220146692 878741130 /nfs/dbraw/zinc/74/11/30/878741130.db2.gz MWHMJQXDZNBCDT-CVEARBPZSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@@H]1O ZINC001220146692 878741144 /nfs/dbraw/zinc/74/11/44/878741144.db2.gz MWHMJQXDZNBCDT-CVEARBPZSA-N 1 2 319.405 1.228 20 30 DDEDLO C=CCn1c(N2C[C@H](C)C[C@@H]2C)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001356135188 878881236 /nfs/dbraw/zinc/88/12/36/878881236.db2.gz UAQLEJXKEYNTGH-XJIUQZFPSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c(N2C[C@H](C)C[C@@H]2C)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001356135188 878881254 /nfs/dbraw/zinc/88/12/54/878881254.db2.gz UAQLEJXKEYNTGH-XJIUQZFPSA-N 1 2 319.453 1.610 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)CCc2ccccc2F)[C@@H](O)C1 ZINC001220345203 878941541 /nfs/dbraw/zinc/94/15/41/878941541.db2.gz RFLJKETYIHBTBZ-XYPHTWIQSA-N 1 2 318.392 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)CCc2ccccc2F)[C@@H](O)C1 ZINC001220345203 878941547 /nfs/dbraw/zinc/94/15/47/878941547.db2.gz RFLJKETYIHBTBZ-XYPHTWIQSA-N 1 2 318.392 1.189 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220434338 879010662 /nfs/dbraw/zinc/01/06/62/879010662.db2.gz FKOYWDJUNFHCMY-CABCVRRESA-N 1 2 321.421 1.555 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220434338 879010674 /nfs/dbraw/zinc/01/06/74/879010674.db2.gz FKOYWDJUNFHCMY-CABCVRRESA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001356384644 879027583 /nfs/dbraw/zinc/02/75/83/879027583.db2.gz DQZLCBRGZHAEFN-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO CCCN(CCNC(=O)C#CC(C)C)C(=O)CCn1cc[nH+]c1 ZINC001356462172 879066947 /nfs/dbraw/zinc/06/69/47/879066947.db2.gz ZAKMYNIXORQAEM-UHFFFAOYSA-N 1 2 318.421 1.287 20 30 DDEDLO C=C(C)CCC(=O)N(C)CC[NH+]1CCN(C(=O)CC2CC2)CC1 ZINC001356699594 879203477 /nfs/dbraw/zinc/20/34/77/879203477.db2.gz LTYYQDIESSPRBH-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001356737045 879253958 /nfs/dbraw/zinc/25/39/58/879253958.db2.gz NSRBRXFDHCTKKY-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO CC(C)c1noc(C[NH+]2CCC(C)(NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001380300922 879274696 /nfs/dbraw/zinc/27/46/96/879274696.db2.gz WFTWWRVGDSITOI-LBPRGKRZSA-N 1 2 319.409 1.823 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)Cc2cnccc2OC)C1 ZINC001380347129 879408376 /nfs/dbraw/zinc/40/83/76/879408376.db2.gz CHVNPNXLCVRZBN-INIZCTEOSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)Cc2cnccc2OC)C1 ZINC001380347129 879408383 /nfs/dbraw/zinc/40/83/83/879408383.db2.gz CHVNPNXLCVRZBN-INIZCTEOSA-N 1 2 323.824 1.966 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CC=CCC3)[C@@H]2C1 ZINC001221256392 879622918 /nfs/dbraw/zinc/62/29/18/879622918.db2.gz WSBAWURUTRWEPR-BZUAXINKSA-N 1 2 317.433 1.178 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CC=CCC3)[C@@H]2C1 ZINC001221256392 879622924 /nfs/dbraw/zinc/62/29/24/879622924.db2.gz WSBAWURUTRWEPR-BZUAXINKSA-N 1 2 317.433 1.178 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)[C@@H]1C ZINC001287865213 912519216 /nfs/dbraw/zinc/51/92/16/912519216.db2.gz AFTHUZCUWMVCFY-UONOGXRCSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC)C[C@H]21 ZINC001221759260 880062034 /nfs/dbraw/zinc/06/20/34/880062034.db2.gz QVIOQLHVQSQGJK-RBSFLKMASA-N 1 2 307.438 1.258 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC)C[C@H]21 ZINC001221759260 880062048 /nfs/dbraw/zinc/06/20/48/880062048.db2.gz QVIOQLHVQSQGJK-RBSFLKMASA-N 1 2 307.438 1.258 20 30 DDEDLO CCc1nocc1C(=O)N1C[C@H]2CC[N@H+](CC#CCOC)C[C@H]21 ZINC001221796762 880091410 /nfs/dbraw/zinc/09/14/10/880091410.db2.gz UFHLAASPNAEJMU-CZUORRHYSA-N 1 2 317.389 1.033 20 30 DDEDLO CCc1nocc1C(=O)N1C[C@H]2CC[N@@H+](CC#CCOC)C[C@H]21 ZINC001221796762 880091422 /nfs/dbraw/zinc/09/14/22/880091422.db2.gz UFHLAASPNAEJMU-CZUORRHYSA-N 1 2 317.389 1.033 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)C(C)C)[C@@H]2C1 ZINC001221844108 880117221 /nfs/dbraw/zinc/11/72/21/880117221.db2.gz DBZOZIZLBUWWBX-RRFJBIMHSA-N 1 2 307.438 1.113 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)C(C)C)[C@@H]2C1 ZINC001221844108 880117230 /nfs/dbraw/zinc/11/72/30/880117230.db2.gz DBZOZIZLBUWWBX-RRFJBIMHSA-N 1 2 307.438 1.113 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3cncn3C)C[C@H]21 ZINC001222069196 880214786 /nfs/dbraw/zinc/21/47/86/880214786.db2.gz JJFIRFQHVAIDJY-IIAWOOMASA-N 1 2 318.421 1.044 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3cncn3C)C[C@H]21 ZINC001222069196 880214797 /nfs/dbraw/zinc/21/47/97/880214797.db2.gz JJFIRFQHVAIDJY-IIAWOOMASA-N 1 2 318.421 1.044 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@H+](Cc3cnns3)[C@H]2C1 ZINC001222452246 880478101 /nfs/dbraw/zinc/47/81/01/880478101.db2.gz DQUIHKGGFKNEHR-OCCSQVGLSA-N 1 2 322.434 1.164 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnns3)[C@H]2C1 ZINC001222452246 880478108 /nfs/dbraw/zinc/47/81/08/880478108.db2.gz DQUIHKGGFKNEHR-OCCSQVGLSA-N 1 2 322.434 1.164 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001358627281 880640086 /nfs/dbraw/zinc/64/00/86/880640086.db2.gz FSAQEOHTBNICBM-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001358627281 880640095 /nfs/dbraw/zinc/64/00/95/880640095.db2.gz FSAQEOHTBNICBM-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)C1(C)CC1 ZINC001276853964 880763880 /nfs/dbraw/zinc/76/38/80/880763880.db2.gz PSXHEPLYVNADCN-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)C1(C)CC1 ZINC001276853964 880763884 /nfs/dbraw/zinc/76/38/84/880763884.db2.gz PSXHEPLYVNADCN-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO CCn1cc(C[NH+]2CCC(CNC(=O)C#CC(C)C)CC2)nn1 ZINC001223122275 880840701 /nfs/dbraw/zinc/84/07/01/880840701.db2.gz GUCBBPVXCXPHFY-UHFFFAOYSA-N 1 2 317.437 1.286 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001223334477 880921993 /nfs/dbraw/zinc/92/19/93/880921993.db2.gz QZFKJMXZIJTZAJ-UHFFFAOYSA-N 1 2 319.453 1.746 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@H+]1Cc1ccnn1C ZINC001276897956 880996922 /nfs/dbraw/zinc/99/69/22/880996922.db2.gz AQQKLZKNPORGNJ-OAHLLOKOSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@@H+]1Cc1ccnn1C ZINC001276897956 880996932 /nfs/dbraw/zinc/99/69/32/880996932.db2.gz AQQKLZKNPORGNJ-OAHLLOKOSA-N 1 2 302.422 1.694 20 30 DDEDLO C[N@H+]1C[C@H]2CN(C(=S)Nc3ccc(C#N)cc3)C[C@@H](C1)O2 ZINC001277334553 883693626 /nfs/dbraw/zinc/69/36/26/883693626.db2.gz UEZQHXMSBFWTKU-OKILXGFUSA-N 1 2 302.403 1.270 20 30 DDEDLO C[N@@H+]1C[C@H]2CN(C(=S)Nc3ccc(C#N)cc3)C[C@@H](C1)O2 ZINC001277334553 883693636 /nfs/dbraw/zinc/69/36/36/883693636.db2.gz UEZQHXMSBFWTKU-OKILXGFUSA-N 1 2 302.403 1.270 20 30 DDEDLO Cc1cncc(C[N@@H+]2CC[C@H]3C[C@]32C(=O)N2CC(CC#N)C2)n1 ZINC001277372219 883903694 /nfs/dbraw/zinc/90/36/94/883903694.db2.gz NGRHCMJBPFCBNT-WMLDXEAASA-N 1 2 311.389 1.122 20 30 DDEDLO Cc1cncc(C[N@H+]2CC[C@H]3C[C@]32C(=O)N2CC(CC#N)C2)n1 ZINC001277372219 883903702 /nfs/dbraw/zinc/90/37/02/883903702.db2.gz NGRHCMJBPFCBNT-WMLDXEAASA-N 1 2 311.389 1.122 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@@H](C)C#N)Cc1ccccc1F ZINC001382546046 884223187 /nfs/dbraw/zinc/22/31/87/884223187.db2.gz YRXDORRBGKRLIG-ZDUSSCGKSA-N 1 2 307.369 1.550 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@@H](C)C#N)Cc1ccccc1F ZINC001382546046 884223202 /nfs/dbraw/zinc/22/32/02/884223202.db2.gz YRXDORRBGKRLIG-ZDUSSCGKSA-N 1 2 307.369 1.550 20 30 DDEDLO COC(=O)c1ccc(O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1C ZINC001230255021 884392238 /nfs/dbraw/zinc/39/22/38/884392238.db2.gz FLLYTJDNPSFNJK-SHFISYCGSA-N 1 2 319.357 1.478 20 30 DDEDLO COC(=O)c1ccc(O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1C ZINC001230255021 884392256 /nfs/dbraw/zinc/39/22/56/884392256.db2.gz FLLYTJDNPSFNJK-SHFISYCGSA-N 1 2 319.357 1.478 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)[nH]2)CC1 ZINC001230414984 884482685 /nfs/dbraw/zinc/48/26/85/884482685.db2.gz HNRSFMLDLOZZIS-NWDGAFQWSA-N 1 2 321.425 1.346 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)CC ZINC001230784432 884873692 /nfs/dbraw/zinc/87/36/92/884873692.db2.gz FCKNNZBRQKTLKK-HOTGVXAUSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)CC ZINC001230784432 884873707 /nfs/dbraw/zinc/87/37/07/884873707.db2.gz FCKNNZBRQKTLKK-HOTGVXAUSA-N 1 2 323.481 1.990 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@H](C)C(C)C ZINC001231113543 885244180 /nfs/dbraw/zinc/24/41/80/885244180.db2.gz IJVOYBQSQQGVCC-GJZGRUSLSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@H](C)C(C)C ZINC001231113543 885244203 /nfs/dbraw/zinc/24/42/03/885244203.db2.gz IJVOYBQSQQGVCC-GJZGRUSLSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)CCC2CC2)C1=O ZINC001231349622 885531795 /nfs/dbraw/zinc/53/17/95/885531795.db2.gz KPZSHSBOSYMZKR-HOTGVXAUSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CCC2CC2)C1=O ZINC001231349622 885531803 /nfs/dbraw/zinc/53/18/03/885531803.db2.gz KPZSHSBOSYMZKR-HOTGVXAUSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1C(C)(C)C1(C)C ZINC001231405977 885618112 /nfs/dbraw/zinc/61/81/12/885618112.db2.gz UITSSYKSXDZDPI-ZDUSSCGKSA-N 1 2 321.465 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1C(C)(C)C1(C)C ZINC001231405977 885618124 /nfs/dbraw/zinc/61/81/24/885618124.db2.gz UITSSYKSXDZDPI-ZDUSSCGKSA-N 1 2 321.465 1.504 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1oc(C)cc1C ZINC001231413406 885643266 /nfs/dbraw/zinc/64/32/66/885643266.db2.gz SBDHXFNCVWDHJJ-HNNXBMFYSA-N 1 2 304.390 1.693 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1oc(C)cc1C ZINC001231413406 885643271 /nfs/dbraw/zinc/64/32/71/885643271.db2.gz SBDHXFNCVWDHJJ-HNNXBMFYSA-N 1 2 304.390 1.693 20 30 DDEDLO COC(=O)[C@H](COC1CCC1)[N@H+](C)C[C@H](O)CC1(C#N)CCC1 ZINC001363206937 885715179 /nfs/dbraw/zinc/71/51/79/885715179.db2.gz PGONBBZAWWPIGZ-HIFRSBDPSA-N 1 2 324.421 1.474 20 30 DDEDLO COC(=O)[C@H](COC1CCC1)[N@@H+](C)C[C@H](O)CC1(C#N)CCC1 ZINC001363206937 885715200 /nfs/dbraw/zinc/71/52/00/885715200.db2.gz PGONBBZAWWPIGZ-HIFRSBDPSA-N 1 2 324.421 1.474 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](CCOc2ccccc2C#N)[C@@H](C)C(=O)N1C ZINC001363266563 885863206 /nfs/dbraw/zinc/86/32/06/885863206.db2.gz PIALGICEOVTDRP-MCIONIFRSA-N 1 2 301.390 1.877 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](CCOc2ccccc2C#N)[C@@H](C)C(=O)N1C ZINC001363266563 885863217 /nfs/dbraw/zinc/86/32/17/885863217.db2.gz PIALGICEOVTDRP-MCIONIFRSA-N 1 2 301.390 1.877 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001277598445 886002769 /nfs/dbraw/zinc/00/27/69/886002769.db2.gz VTCUJQZECWCFRD-HLLBOEOZSA-N 1 2 304.434 1.934 20 30 DDEDLO CC(C)(C)OC(=O)N[C@H]1[C@H]2C[N@H+](Cc3[nH]ccc3C#N)C[C@H]21 ZINC001233044227 886752327 /nfs/dbraw/zinc/75/23/27/886752327.db2.gz QVGMRQQKFMFNDG-DABQJJPHSA-N 1 2 302.378 1.841 20 30 DDEDLO CC(C)(C)OC(=O)N[C@H]1[C@H]2C[N@@H+](Cc3[nH]ccc3C#N)C[C@H]21 ZINC001233044227 886752336 /nfs/dbraw/zinc/75/23/36/886752336.db2.gz QVGMRQQKFMFNDG-DABQJJPHSA-N 1 2 302.378 1.841 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C=C(CC)CC ZINC001233610571 887151652 /nfs/dbraw/zinc/15/16/52/887151652.db2.gz JVBSUIIWCILBOS-ZBFHGGJFSA-N 1 2 321.465 1.956 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C=C(CC)CC ZINC001233610571 887151658 /nfs/dbraw/zinc/15/16/58/887151658.db2.gz JVBSUIIWCILBOS-ZBFHGGJFSA-N 1 2 321.465 1.956 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1=CCCC1 ZINC001233620910 887158089 /nfs/dbraw/zinc/15/80/89/887158089.db2.gz BUNHHPONUIBVDH-HIFRSBDPSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1=CCCC1 ZINC001233620910 887158098 /nfs/dbraw/zinc/15/80/98/887158098.db2.gz BUNHHPONUIBVDH-HIFRSBDPSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1=CCCCCC1 ZINC001233856696 887395032 /nfs/dbraw/zinc/39/50/32/887395032.db2.gz KQPTZXYDSWYIJQ-MRXNPFEDSA-N 1 2 319.449 1.712 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1=CCCCCC1 ZINC001233856696 887395046 /nfs/dbraw/zinc/39/50/46/887395046.db2.gz KQPTZXYDSWYIJQ-MRXNPFEDSA-N 1 2 319.449 1.712 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)ncn1 ZINC001233946994 887487832 /nfs/dbraw/zinc/48/78/32/887487832.db2.gz HBXJCSGUWMLROF-INIZCTEOSA-N 1 2 300.406 1.621 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)ncn1 ZINC001233946994 887487838 /nfs/dbraw/zinc/48/78/38/887487838.db2.gz HBXJCSGUWMLROF-INIZCTEOSA-N 1 2 300.406 1.621 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccnc(OC)c1 ZINC001233965746 887504587 /nfs/dbraw/zinc/50/45/87/887504587.db2.gz DYMKNZVPWSQVFR-INIZCTEOSA-N 1 2 315.417 1.926 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccnc(OC)c1 ZINC001233965746 887504591 /nfs/dbraw/zinc/50/45/91/887504591.db2.gz DYMKNZVPWSQVFR-INIZCTEOSA-N 1 2 315.417 1.926 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@@H+]1CCOCC(F)(F)F ZINC001234129891 887671126 /nfs/dbraw/zinc/67/11/26/887671126.db2.gz KPJLITLEVOYMBQ-CYBMUJFWSA-N 1 2 320.355 1.757 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@H+]1CCOCC(F)(F)F ZINC001234129891 887671130 /nfs/dbraw/zinc/67/11/30/887671130.db2.gz KPJLITLEVOYMBQ-CYBMUJFWSA-N 1 2 320.355 1.757 20 30 DDEDLO CCCC[C@@H](C(N)=O)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214747 887753754 /nfs/dbraw/zinc/75/37/54/887753754.db2.gz DSVPWXCUXRDSSG-GJZGRUSLSA-N 1 2 321.465 1.613 20 30 DDEDLO CCCC[C@@H](C(N)=O)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214747 887753763 /nfs/dbraw/zinc/75/37/63/887753763.db2.gz DSVPWXCUXRDSSG-GJZGRUSLSA-N 1 2 321.465 1.613 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1CC ZINC001234255263 887793974 /nfs/dbraw/zinc/79/39/74/887793974.db2.gz KOHUQYMUPAKHCZ-HNNXBMFYSA-N 1 2 319.405 1.310 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1CC ZINC001234255263 887793984 /nfs/dbraw/zinc/79/39/84/887793984.db2.gz KOHUQYMUPAKHCZ-HNNXBMFYSA-N 1 2 319.405 1.310 20 30 DDEDLO Cc1noc(C[NH2+]C[C@@H]2CCCN2C(=O)C#CC(C)(C)C)n1 ZINC001277851281 887913711 /nfs/dbraw/zinc/91/37/11/887913711.db2.gz HJNJUSRFKVJZJQ-ZDUSSCGKSA-N 1 2 304.394 1.508 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NC ZINC001234517594 888047282 /nfs/dbraw/zinc/04/72/82/888047282.db2.gz NOMQLMCITZHMMM-HIFRSBDPSA-N 1 2 307.438 1.422 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NC ZINC001234517594 888047295 /nfs/dbraw/zinc/04/72/95/888047295.db2.gz NOMQLMCITZHMMM-HIFRSBDPSA-N 1 2 307.438 1.422 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001234554569 888086552 /nfs/dbraw/zinc/08/65/52/888086552.db2.gz KYXIDMZRWSHXJW-CQSZACIVSA-N 1 2 312.841 1.948 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001234554569 888086562 /nfs/dbraw/zinc/08/65/62/888086562.db2.gz KYXIDMZRWSHXJW-CQSZACIVSA-N 1 2 312.841 1.948 20 30 DDEDLO Cc1cc(CN2CCO[C@@]3(CCC[N@H+](CCC#N)C3)C2)n(C)n1 ZINC001277939385 888584328 /nfs/dbraw/zinc/58/43/28/888584328.db2.gz QKHFFADQNSQJQD-QGZVFWFLSA-N 1 2 317.437 1.309 20 30 DDEDLO Cc1cc(CN2CCO[C@@]3(CCC[N@@H+](CCC#N)C3)C2)n(C)n1 ZINC001277939385 888584335 /nfs/dbraw/zinc/58/43/35/888584335.db2.gz QKHFFADQNSQJQD-QGZVFWFLSA-N 1 2 317.437 1.309 20 30 DDEDLO CS(=O)(=O)c1ccc(C[NH2+]Cc2cccc(C#N)n2)s1 ZINC001364694629 889212921 /nfs/dbraw/zinc/21/29/21/889212921.db2.gz IARIYPXMAYPJMK-UHFFFAOYSA-N 1 2 307.400 1.708 20 30 DDEDLO C=CCOC(=O)N1CCC2(CCC[N@H+](Cc3nnc[nH]3)C2)CC1 ZINC001237602064 889742308 /nfs/dbraw/zinc/74/23/08/889742308.db2.gz LAJIQEPNKILWTE-UHFFFAOYSA-N 1 2 319.409 1.805 20 30 DDEDLO C=CCOC(=O)N1CCC2(CCC[N@@H+](Cc3nnc[nH]3)C2)CC1 ZINC001237602064 889742315 /nfs/dbraw/zinc/74/23/15/889742315.db2.gz LAJIQEPNKILWTE-UHFFFAOYSA-N 1 2 319.409 1.805 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CC[C@@H](Oc4ncccn4)C3)cn2c1 ZINC001237612447 889755846 /nfs/dbraw/zinc/75/58/46/889755846.db2.gz YPIQYAZVJPPZMB-OAHLLOKOSA-N 1 2 320.356 1.649 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CC[C@@H](Oc4ncccn4)C3)cn2c1 ZINC001237612447 889755857 /nfs/dbraw/zinc/75/58/57/889755857.db2.gz YPIQYAZVJPPZMB-OAHLLOKOSA-N 1 2 320.356 1.649 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c(Cl)c1 ZINC001238087019 890021859 /nfs/dbraw/zinc/02/18/59/890021859.db2.gz TVRRIRHKRIXDJN-UHFFFAOYSA-N 1 2 316.748 1.971 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c(Cl)c1 ZINC001238087019 890021876 /nfs/dbraw/zinc/02/18/76/890021876.db2.gz TVRRIRHKRIXDJN-UHFFFAOYSA-N 1 2 316.748 1.971 20 30 DDEDLO COc1cc(C[N@@H+]2CCO[C@@H]3C[C@@H](CO)C[C@H]32)ccc1C#N ZINC001238408983 890198092 /nfs/dbraw/zinc/19/80/92/890198092.db2.gz ANNSJRQGFHFLPF-YSVLISHTSA-N 1 2 302.374 1.539 20 30 DDEDLO COc1cc(C[N@H+]2CCO[C@@H]3C[C@@H](CO)C[C@H]32)ccc1C#N ZINC001238408983 890198099 /nfs/dbraw/zinc/19/80/99/890198099.db2.gz ANNSJRQGFHFLPF-YSVLISHTSA-N 1 2 302.374 1.539 20 30 DDEDLO CCCCCCC[C@H](C)NC(=O)N[C@H]1C[NH2+][C@H](C(=O)OC)C1 ZINC001239223220 890550644 /nfs/dbraw/zinc/55/06/44/890550644.db2.gz MLZZOITWZCYCBE-MJBXVCDLSA-N 1 2 313.442 1.938 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COC[C@H]2CCCO2)[C@H]1C ZINC001365899001 891847836 /nfs/dbraw/zinc/84/78/36/891847836.db2.gz NMGPFWAEDBNWSN-MCIONIFRSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COC[C@H]2CCCO2)[C@H]1C ZINC001365899001 891847853 /nfs/dbraw/zinc/84/78/53/891847853.db2.gz NMGPFWAEDBNWSN-MCIONIFRSA-N 1 2 316.829 1.514 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@@H](CNC(=O)[C@H](C)C#N)C2CC2)n1 ZINC001366034916 892286706 /nfs/dbraw/zinc/28/67/06/892286706.db2.gz ZGWLYRBNYONMGG-YPMHNXCESA-N 1 2 319.409 1.412 20 30 DDEDLO C=CCCNC(=S)N1CCc2onc(Cn3cc[nH+]c3)c2C1 ZINC001247614043 893254231 /nfs/dbraw/zinc/25/42/31/893254231.db2.gz PWVNDYQBOIEKDQ-UHFFFAOYSA-N 1 2 317.418 1.728 20 30 DDEDLO C#CCOC[C@H](O)CNc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC001251826013 894827695 /nfs/dbraw/zinc/82/76/95/894827695.db2.gz HFQOYLDHPNXTOD-CQSZACIVSA-N 1 2 323.356 1.238 20 30 DDEDLO C[C@@H]([NH2+]CCN(C)C(=O)[C@@H](C)C#N)c1nc(C(C)(C)C)no1 ZINC001366767778 894878047 /nfs/dbraw/zinc/87/80/47/894878047.db2.gz ZZNCDZHWKHHQLL-WDEREUQCSA-N 1 2 307.398 1.636 20 30 DDEDLO C=CCOC[C@H](O)C[N@@H+]1Cc2nn(CC)cc2[C@@H](COCC)C1 ZINC001252463540 895172751 /nfs/dbraw/zinc/17/27/51/895172751.db2.gz QERARWGOUYMBIW-HUUCEWRRSA-N 1 2 323.437 1.402 20 30 DDEDLO C=CCOC[C@H](O)C[N@H+]1Cc2nn(CC)cc2[C@@H](COCC)C1 ZINC001252463540 895172759 /nfs/dbraw/zinc/17/27/59/895172759.db2.gz QERARWGOUYMBIW-HUUCEWRRSA-N 1 2 323.437 1.402 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1Cc2nnn(CC)c2[C@H](COCC)C1 ZINC001252594908 895296177 /nfs/dbraw/zinc/29/61/77/895296177.db2.gz BKIDPYZQHZOHGN-KBPBESRZSA-N 1 2 308.426 1.561 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1Cc2nnn(CC)c2[C@H](COCC)C1 ZINC001252594908 895296192 /nfs/dbraw/zinc/29/61/92/895296192.db2.gz BKIDPYZQHZOHGN-KBPBESRZSA-N 1 2 308.426 1.561 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NCC(=O)OCc1ccccc1 ZINC001255156832 896758152 /nfs/dbraw/zinc/75/81/52/896758152.db2.gz SDZRQPXKGGJLNE-AWEZNQCLSA-N 1 2 303.362 1.128 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001389363804 897038268 /nfs/dbraw/zinc/03/82/68/897038268.db2.gz NHUZDSTTYDOTJE-DOMZBBRYSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001389363804 897038283 /nfs/dbraw/zinc/03/82/83/897038283.db2.gz NHUZDSTTYDOTJE-DOMZBBRYSA-N 1 2 314.364 1.938 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cc(COC)on1 ZINC001367540744 897104732 /nfs/dbraw/zinc/10/47/32/897104732.db2.gz LVUDDERMEDXRSC-UHFFFAOYSA-N 1 2 301.774 1.577 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cc(COC)on1 ZINC001367540744 897104743 /nfs/dbraw/zinc/10/47/43/897104743.db2.gz LVUDDERMEDXRSC-UHFFFAOYSA-N 1 2 301.774 1.577 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)c1ccn(C)c1)C(C)C ZINC001278904830 897216142 /nfs/dbraw/zinc/21/61/42/897216142.db2.gz VUDJSESVDIZWMI-UHFFFAOYSA-N 1 2 320.437 1.110 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)c1ccn(C)c1)C(C)C ZINC001278904830 897216156 /nfs/dbraw/zinc/21/61/56/897216156.db2.gz VUDJSESVDIZWMI-UHFFFAOYSA-N 1 2 320.437 1.110 20 30 DDEDLO C[N@@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccc(C#N)cc1Cl ZINC001259110435 898474169 /nfs/dbraw/zinc/47/41/69/898474169.db2.gz GQTGGVBDBMNWEE-QWRGUYRKSA-N 1 2 311.794 1.289 20 30 DDEDLO C[N@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccc(C#N)cc1Cl ZINC001259110435 898474177 /nfs/dbraw/zinc/47/41/77/898474177.db2.gz GQTGGVBDBMNWEE-QWRGUYRKSA-N 1 2 311.794 1.289 20 30 DDEDLO C#CCCCCC(=O)N[C@H](C)CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001281057154 898627515 /nfs/dbraw/zinc/62/75/15/898627515.db2.gz FYLXJZSLNGZZKX-CYBMUJFWSA-N 1 2 304.394 1.109 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC(C)(C)C ZINC001390112747 898710545 /nfs/dbraw/zinc/71/05/45/898710545.db2.gz QVQPWDZTOIOUQP-KGLIPLIRSA-N 1 2 322.453 1.279 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC(C)(C)C ZINC001390112747 898710551 /nfs/dbraw/zinc/71/05/51/898710551.db2.gz QVQPWDZTOIOUQP-KGLIPLIRSA-N 1 2 322.453 1.279 20 30 DDEDLO CCOC(=O)c1cc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)[nH]c1C ZINC001262112343 899949322 /nfs/dbraw/zinc/94/93/22/899949322.db2.gz GRBKUBKOQGAOPK-CHWSQXEVSA-N 1 2 318.421 1.918 20 30 DDEDLO Cc1ccc(NC(=O)C[N@@H+](C)CCNC(=O)[C@H](C)C#N)c(C)c1 ZINC001390741722 900071786 /nfs/dbraw/zinc/07/17/86/900071786.db2.gz KYNPVMCJGOLULW-CQSZACIVSA-N 1 2 316.405 1.450 20 30 DDEDLO Cc1ccc(NC(=O)C[N@H+](C)CCNC(=O)[C@H](C)C#N)c(C)c1 ZINC001390741722 900071799 /nfs/dbraw/zinc/07/17/99/900071799.db2.gz KYNPVMCJGOLULW-CQSZACIVSA-N 1 2 316.405 1.450 20 30 DDEDLO COCC1(CC(=O)NCC[N@H+](C)Cc2ccccc2C#N)CC1 ZINC001390753608 900103480 /nfs/dbraw/zinc/10/34/80/900103480.db2.gz ARIGGTLNSBKAAG-UHFFFAOYSA-N 1 2 315.417 1.923 20 30 DDEDLO COCC1(CC(=O)NCC[N@@H+](C)Cc2ccccc2C#N)CC1 ZINC001390753608 900103489 /nfs/dbraw/zinc/10/34/89/900103489.db2.gz ARIGGTLNSBKAAG-UHFFFAOYSA-N 1 2 315.417 1.923 20 30 DDEDLO C=C1CC(C)(C(=O)NCCCNC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001293355733 914431380 /nfs/dbraw/zinc/43/13/80/914431380.db2.gz YHLMMVVPMQNATC-CYBMUJFWSA-N 1 2 318.421 1.567 20 30 DDEDLO C=C1CC(C)(C(=O)NCCCNC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001293355733 914431391 /nfs/dbraw/zinc/43/13/91/914431391.db2.gz YHLMMVVPMQNATC-CYBMUJFWSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1Nc1cnn(C)c1 ZINC001262951952 900434026 /nfs/dbraw/zinc/43/40/26/900434026.db2.gz FQMXGXKJUIGKCO-UHFFFAOYSA-N 1 2 301.398 1.927 20 30 DDEDLO C=C(C)Cn1c(C[S@@](C)=O)nnc1N1CC[NH+](C2CC2)CC1 ZINC001263310375 900567482 /nfs/dbraw/zinc/56/74/82/900567482.db2.gz JXTXIMMKLMTBRV-JOCHJYFZSA-N 1 2 323.466 1.017 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1C[N@@H+](C)Cc1nccn1C ZINC001264180506 900997554 /nfs/dbraw/zinc/99/75/54/900997554.db2.gz GURXWZAWSMAMBI-HNNXBMFYSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1C[N@H+](C)Cc1nccn1C ZINC001264180506 900997561 /nfs/dbraw/zinc/99/75/61/900997561.db2.gz GURXWZAWSMAMBI-HNNXBMFYSA-N 1 2 320.437 1.436 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H](C)CC(C)C ZINC001264376019 901059796 /nfs/dbraw/zinc/05/97/96/901059796.db2.gz ALTRCKMLVUKQEB-JKSUJKDBSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H](C)CC(C)C ZINC001264376019 901059813 /nfs/dbraw/zinc/05/98/13/901059813.db2.gz ALTRCKMLVUKQEB-JKSUJKDBSA-N 1 2 321.465 1.341 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265207549 901701811 /nfs/dbraw/zinc/70/18/11/901701811.db2.gz ITELDDRGWKIPFI-PBHICJAKSA-N 1 2 313.376 1.917 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265207549 901701822 /nfs/dbraw/zinc/70/18/22/901701822.db2.gz ITELDDRGWKIPFI-PBHICJAKSA-N 1 2 313.376 1.917 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2occ3c2CCC3)C1 ZINC001265220662 901729524 /nfs/dbraw/zinc/72/95/24/901729524.db2.gz ONGFMCDZVJRVQY-HNNXBMFYSA-N 1 2 316.401 1.612 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2occ3c2CCC3)C1 ZINC001265220662 901729532 /nfs/dbraw/zinc/72/95/32/901729532.db2.gz ONGFMCDZVJRVQY-HNNXBMFYSA-N 1 2 316.401 1.612 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001265283912 901820199 /nfs/dbraw/zinc/82/01/99/901820199.db2.gz JVJQBFRCZMQANN-YOEHRIQHSA-N 1 2 311.385 1.287 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001265283912 901820208 /nfs/dbraw/zinc/82/02/08/901820208.db2.gz JVJQBFRCZMQANN-YOEHRIQHSA-N 1 2 311.385 1.287 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001265286521 901830295 /nfs/dbraw/zinc/83/02/95/901830295.db2.gz WRZJLVQEDGSWLG-CQSZACIVSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001265286521 901830305 /nfs/dbraw/zinc/83/03/05/901830305.db2.gz WRZJLVQEDGSWLG-CQSZACIVSA-N 1 2 309.454 1.551 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCCCc1c[nH]nn1 ZINC001265410527 901984866 /nfs/dbraw/zinc/98/48/66/901984866.db2.gz YHMHKMVQVLJQGK-IYBDPMFKSA-N 1 2 315.421 1.216 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCCCc1c[nH]nn1 ZINC001265410527 901984873 /nfs/dbraw/zinc/98/48/73/901984873.db2.gz YHMHKMVQVLJQGK-IYBDPMFKSA-N 1 2 315.421 1.216 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@@H+]([C@H](C)c2nnc(C)[nH]2)C1 ZINC001265577530 902148937 /nfs/dbraw/zinc/14/89/37/902148937.db2.gz JERSEMNVVGMBPL-TZMCWYRMSA-N 1 2 321.425 1.205 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@H+]([C@H](C)c2nnc(C)[nH]2)C1 ZINC001265577530 902148945 /nfs/dbraw/zinc/14/89/45/902148945.db2.gz JERSEMNVVGMBPL-TZMCWYRMSA-N 1 2 321.425 1.205 20 30 DDEDLO COCC#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1ccns1 ZINC001265647718 902247590 /nfs/dbraw/zinc/24/75/90/902247590.db2.gz RLYGACHTJNWOMU-ZDUSSCGKSA-N 1 2 307.419 1.377 20 30 DDEDLO COCC#CC[N@H+]1CCCC[C@H]1CNC(=O)c1ccns1 ZINC001265647718 902247603 /nfs/dbraw/zinc/24/76/03/902247603.db2.gz RLYGACHTJNWOMU-ZDUSSCGKSA-N 1 2 307.419 1.377 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001265759068 902375603 /nfs/dbraw/zinc/37/56/03/902375603.db2.gz OSXOQFFULARDFY-VXGBXAGGSA-N 1 2 324.425 1.725 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@@H]1CC1(F)F ZINC001265839893 902474004 /nfs/dbraw/zinc/47/40/04/902474004.db2.gz DOVMKGMOYXERFC-VIFPVBQESA-N 1 2 311.170 1.988 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@@H]1CC1(F)F ZINC001265839893 902474011 /nfs/dbraw/zinc/47/40/11/902474011.db2.gz DOVMKGMOYXERFC-VIFPVBQESA-N 1 2 311.170 1.988 20 30 DDEDLO C[C@@H](C[N@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1cn[nH]c1 ZINC001375015765 914646522 /nfs/dbraw/zinc/64/65/22/914646522.db2.gz ZVSUARPBMTWRGR-NSHDSACASA-N 1 2 315.352 1.671 20 30 DDEDLO C[C@@H](C[N@@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1cn[nH]c1 ZINC001375015765 914646532 /nfs/dbraw/zinc/64/65/32/914646532.db2.gz ZVSUARPBMTWRGR-NSHDSACASA-N 1 2 315.352 1.671 20 30 DDEDLO C[C@H](C#N)C(=O)NCc1ccc(C[NH2+]Cc2nncs2)cc1 ZINC001391829907 902680546 /nfs/dbraw/zinc/68/05/46/902680546.db2.gz XEGJYTSAPSPEJA-LLVKDONJSA-N 1 2 315.402 1.604 20 30 DDEDLO C[C@H](C[N@H+](C)[C@@H](C)c1ncccn1)NC(=O)c1cc(C#N)c[nH]1 ZINC001375018868 914661554 /nfs/dbraw/zinc/66/15/54/914661554.db2.gz GYBOVQLDMLFUAP-NEPJUHHUSA-N 1 2 312.377 1.488 20 30 DDEDLO C[C@H](C[N@@H+](C)[C@@H](C)c1ncccn1)NC(=O)c1cc(C#N)c[nH]1 ZINC001375018868 914661564 /nfs/dbraw/zinc/66/15/64/914661564.db2.gz GYBOVQLDMLFUAP-NEPJUHHUSA-N 1 2 312.377 1.488 20 30 DDEDLO Cc1nocc1C[N@@H+]1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001266205412 903108699 /nfs/dbraw/zinc/10/86/99/903108699.db2.gz NNUUBKZXRVTARH-ZDUSSCGKSA-N 1 2 308.407 1.320 20 30 DDEDLO Cc1nocc1C[N@H+]1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001266205412 903108707 /nfs/dbraw/zinc/10/87/07/903108707.db2.gz NNUUBKZXRVTARH-ZDUSSCGKSA-N 1 2 308.407 1.320 20 30 DDEDLO C=CCCC(=O)NCC1=CC[N@H+](CCCS(C)(=O)=O)CC1 ZINC001279511404 903298979 /nfs/dbraw/zinc/29/89/79/903298979.db2.gz NWZPQPGGPFBJBL-UHFFFAOYSA-N 1 2 314.451 1.136 20 30 DDEDLO C=CCCC(=O)NCC1=CC[N@@H+](CCCS(C)(=O)=O)CC1 ZINC001279511404 903298984 /nfs/dbraw/zinc/29/89/84/903298984.db2.gz NWZPQPGGPFBJBL-UHFFFAOYSA-N 1 2 314.451 1.136 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CCCN1C(=O)Cc1c[nH+]cn1C ZINC001279858184 903436355 /nfs/dbraw/zinc/43/63/55/903436355.db2.gz YWVHYKDCIYMXOK-CQSZACIVSA-N 1 2 318.421 1.378 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1COCC[N@H+]1CC/C=C/CC ZINC001280406614 903643693 /nfs/dbraw/zinc/64/36/93/903643693.db2.gz UCDRICLPYVTQFF-ZWOPJXCPSA-N 1 2 308.422 1.198 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1COCC[N@@H+]1CC/C=C/CC ZINC001280406614 903643701 /nfs/dbraw/zinc/64/37/01/903643701.db2.gz UCDRICLPYVTQFF-ZWOPJXCPSA-N 1 2 308.422 1.198 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)Cc1ccco1 ZINC001316604280 903792383 /nfs/dbraw/zinc/79/23/83/903792383.db2.gz SJPAFGGGABWMJZ-JKSUJKDBSA-N 1 2 318.417 1.641 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)Cc1ccco1 ZINC001316604280 903792388 /nfs/dbraw/zinc/79/23/88/903792388.db2.gz SJPAFGGGABWMJZ-JKSUJKDBSA-N 1 2 318.417 1.641 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)OCCc1ccccc1 ZINC001316605002 903853711 /nfs/dbraw/zinc/85/37/11/903853711.db2.gz HSLIHOLUOWBTON-SJLPKXTDSA-N 1 2 314.429 1.800 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)OCCc1ccccc1 ZINC001316605002 903853716 /nfs/dbraw/zinc/85/37/16/903853716.db2.gz HSLIHOLUOWBTON-SJLPKXTDSA-N 1 2 314.429 1.800 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)CC#Cc1ccccc1)CO2 ZINC001280977814 904262063 /nfs/dbraw/zinc/26/20/63/904262063.db2.gz CXPSOFBERVILTA-GOSISDBHSA-N 1 2 324.424 1.964 20 30 DDEDLO CCc1nnc([C@H](C)[NH2+][C@@H](C)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001371144969 904344196 /nfs/dbraw/zinc/34/41/96/904344196.db2.gz RMMGKKFVERNCLF-UWVGGRQHSA-N 1 2 316.365 1.301 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H](C)OC ZINC001281777033 905212584 /nfs/dbraw/zinc/21/25/84/905212584.db2.gz RPDIDFXJOMQJSE-WMLDXEAASA-N 1 2 300.402 1.760 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H](C)OC ZINC001281777033 905212598 /nfs/dbraw/zinc/21/25/98/905212598.db2.gz RPDIDFXJOMQJSE-WMLDXEAASA-N 1 2 300.402 1.760 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2CCC[C@H]2CNC(=O)[C@H](C)C#N)n1 ZINC001377382645 921181739 /nfs/dbraw/zinc/18/17/39/921181739.db2.gz LAIFSIAZPDNATO-HIFRSBDPSA-N 1 2 303.410 1.704 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2CCC[C@H]2CNC(=O)[C@H](C)C#N)n1 ZINC001377382645 921181743 /nfs/dbraw/zinc/18/17/43/921181743.db2.gz LAIFSIAZPDNATO-HIFRSBDPSA-N 1 2 303.410 1.704 20 30 DDEDLO C=CCOCC[NH+]1CC(CCO)(NC(=O)c2ccsc2)C1 ZINC001282505155 905832815 /nfs/dbraw/zinc/83/28/15/905832815.db2.gz DOLJUQZXPRVVHF-UHFFFAOYSA-N 1 2 310.419 1.117 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001282544971 905864157 /nfs/dbraw/zinc/86/41/57/905864157.db2.gz JAWXPOLREDYKRX-INIZCTEOSA-N 1 2 314.429 1.442 20 30 DDEDLO Cc1nonc1C[N@H+]1CCCCC[C@@H]1CNC(=O)C#CC(C)C ZINC001282665140 905930463 /nfs/dbraw/zinc/93/04/63/905930463.db2.gz CXWVJGCASBTPSJ-OAHLLOKOSA-N 1 2 318.421 1.898 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCCCC[C@@H]1CNC(=O)C#CC(C)C ZINC001282665140 905930472 /nfs/dbraw/zinc/93/04/72/905930472.db2.gz CXWVJGCASBTPSJ-OAHLLOKOSA-N 1 2 318.421 1.898 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001283144861 906722585 /nfs/dbraw/zinc/72/25/85/906722585.db2.gz DBEBEACYJQBJSX-MGPQQGTHSA-N 1 2 318.421 1.358 20 30 DDEDLO CCC[N@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1cnns1 ZINC001372687795 907980143 /nfs/dbraw/zinc/98/01/43/907980143.db2.gz JDZBZCWLDVZPPL-UHFFFAOYSA-N 1 2 318.406 1.380 20 30 DDEDLO CCC[N@@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1cnns1 ZINC001372687795 907980163 /nfs/dbraw/zinc/98/01/63/907980163.db2.gz JDZBZCWLDVZPPL-UHFFFAOYSA-N 1 2 318.406 1.380 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](CO)[NH2+]C/C(Cl)=C\Cl ZINC001283804214 907981024 /nfs/dbraw/zinc/98/10/24/907981024.db2.gz NDXFQQBPGGLRPQ-AMLRMPNFSA-N 1 2 309.237 1.974 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001283863515 908091409 /nfs/dbraw/zinc/09/14/09/908091409.db2.gz PQCLVFZETNGMCL-HUUCEWRRSA-N 1 2 316.405 1.355 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001283892916 908144218 /nfs/dbraw/zinc/14/42/18/908144218.db2.gz LRBFDQBNLWAMNS-LRDDRELGSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001284117376 908499359 /nfs/dbraw/zinc/49/93/59/908499359.db2.gz HUTORFUOMJYYCA-OAHLLOKOSA-N 1 2 316.405 1.041 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1ncnc2[nH]ccc21 ZINC001394096101 908776467 /nfs/dbraw/zinc/77/64/67/908776467.db2.gz VLXMEQRICPMSIF-SNVBAGLBSA-N 1 2 307.785 1.712 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1ncnc2[nH]ccc21 ZINC001394096101 908776478 /nfs/dbraw/zinc/77/64/78/908776478.db2.gz VLXMEQRICPMSIF-SNVBAGLBSA-N 1 2 307.785 1.712 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001284531474 909152103 /nfs/dbraw/zinc/15/21/03/909152103.db2.gz MFUJLGWKOXWLQW-SUMWQHHRSA-N 1 2 320.437 1.765 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001284531474 909152109 /nfs/dbraw/zinc/15/21/09/909152109.db2.gz MFUJLGWKOXWLQW-SUMWQHHRSA-N 1 2 320.437 1.765 20 30 DDEDLO C=CCC(CC=C)C(=O)NC1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001284906954 909704574 /nfs/dbraw/zinc/70/45/74/909704574.db2.gz BGNPENXZFVSCJV-UHFFFAOYSA-N 1 2 316.405 1.438 20 30 DDEDLO CC#CCCCC(=O)N1CC(NC(=O)CCCn2cc[nH+]c2)C1 ZINC001284917768 909729125 /nfs/dbraw/zinc/72/91/25/909729125.db2.gz MBAUPPGDBXNSIC-UHFFFAOYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2=CC[N@H+](Cc3cnnn3C)CC2)C1 ZINC001284958261 909820305 /nfs/dbraw/zinc/82/03/05/909820305.db2.gz SRCSEUMSRWDRHW-UHFFFAOYSA-N 1 2 315.421 1.420 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2=CC[N@@H+](Cc3cnnn3C)CC2)C1 ZINC001284958261 909820316 /nfs/dbraw/zinc/82/03/16/909820316.db2.gz SRCSEUMSRWDRHW-UHFFFAOYSA-N 1 2 315.421 1.420 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)NC(=O)C#CC(C)(C)C ZINC001285069266 910028627 /nfs/dbraw/zinc/02/86/27/910028627.db2.gz ZVSCBXMHLHTAMQ-ZDUSSCGKSA-N 1 2 318.421 1.403 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)NC(=O)C#CC(C)(C)C ZINC001285069266 910028651 /nfs/dbraw/zinc/02/86/51/910028651.db2.gz ZVSCBXMHLHTAMQ-ZDUSSCGKSA-N 1 2 318.421 1.403 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373623599 910392131 /nfs/dbraw/zinc/39/21/31/910392131.db2.gz DCWJCRZJWHSVSW-BXUZGUMPSA-N 1 2 300.337 1.548 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373623599 910392150 /nfs/dbraw/zinc/39/21/50/910392150.db2.gz DCWJCRZJWHSVSW-BXUZGUMPSA-N 1 2 300.337 1.548 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001285675958 911018792 /nfs/dbraw/zinc/01/87/92/911018792.db2.gz IFNGCOFNIHQQNE-GFCCVEGCSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1CC ZINC001285830060 911241251 /nfs/dbraw/zinc/24/12/51/911241251.db2.gz ZIBRGKOGKLVWRT-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCN1C(=O)CCc1[nH+]ccn1C ZINC001285895673 911387082 /nfs/dbraw/zinc/38/70/82/911387082.db2.gz VYUUPEQONYRWMN-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001286037764 911610814 /nfs/dbraw/zinc/61/08/14/911610814.db2.gz ZTHUHLJWMZXAJS-VXGBXAGGSA-N 1 2 304.394 1.080 20 30 DDEDLO C=CCCCC(=O)N1CC[C@](C)(NC(=O)CCn2cc[nH+]c2)C1 ZINC001286100783 911692969 /nfs/dbraw/zinc/69/29/69/911692969.db2.gz UVXLMICUNOHEBV-KRWDZBQOSA-N 1 2 318.421 1.737 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001375106821 914982546 /nfs/dbraw/zinc/98/25/46/914982546.db2.gz MRJJCRICUZBOSF-KWCYVHTRSA-N 1 2 310.829 1.713 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001375106821 914982556 /nfs/dbraw/zinc/98/25/56/914982556.db2.gz MRJJCRICUZBOSF-KWCYVHTRSA-N 1 2 310.829 1.713 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001294714777 915302557 /nfs/dbraw/zinc/30/25/57/915302557.db2.gz DPQZOVIHCOBNSU-OLZOCXBDSA-N 1 2 316.405 1.513 20 30 DDEDLO C=C(Cl)C[N@@H+](CCO)[C@@H]1CCCN(C(=O)c2cc[nH]n2)C1 ZINC001375633337 916535779 /nfs/dbraw/zinc/53/57/79/916535779.db2.gz UEVMHKKNNJHOOE-GFCCVEGCSA-N 1 2 312.801 1.061 20 30 DDEDLO C=C(Cl)C[N@H+](CCO)[C@@H]1CCCN(C(=O)c2cc[nH]n2)C1 ZINC001375633337 916535794 /nfs/dbraw/zinc/53/57/94/916535794.db2.gz UEVMHKKNNJHOOE-GFCCVEGCSA-N 1 2 312.801 1.061 20 30 DDEDLO C=C(Cl)C[N@@H+](CCO)[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001375633337 916535812 /nfs/dbraw/zinc/53/58/12/916535812.db2.gz UEVMHKKNNJHOOE-GFCCVEGCSA-N 1 2 312.801 1.061 20 30 DDEDLO C=C(Cl)C[N@H+](CCO)[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001375633337 916535822 /nfs/dbraw/zinc/53/58/22/916535822.db2.gz UEVMHKKNNJHOOE-GFCCVEGCSA-N 1 2 312.801 1.061 20 30 DDEDLO CCc1nc(C[N@@H+]2CC[C@@](C)(NC(=O)[C@H](C)C#N)C2)cs1 ZINC001375823145 917056425 /nfs/dbraw/zinc/05/64/25/917056425.db2.gz UXTZYEJJPHIBNW-IAQYHMDHSA-N 1 2 306.435 1.946 20 30 DDEDLO CCc1nc(C[N@H+]2CC[C@@](C)(NC(=O)[C@H](C)C#N)C2)cs1 ZINC001375823145 917056436 /nfs/dbraw/zinc/05/64/36/917056436.db2.gz UXTZYEJJPHIBNW-IAQYHMDHSA-N 1 2 306.435 1.946 20 30 DDEDLO C[C@H](C#N)C(=O)NCCN1CC[NH+](Cc2ccccc2F)CC1 ZINC001376079205 917636378 /nfs/dbraw/zinc/63/63/78/917636378.db2.gz HWSRDSJMUIWIQZ-CQSZACIVSA-N 1 2 318.396 1.219 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)C(C)C)C1 ZINC001376219170 917999314 /nfs/dbraw/zinc/99/93/14/917999314.db2.gz SYJCQXDMGQKGFP-LSDHHAIUSA-N 1 2 322.453 1.231 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H](C)C(C)C)C1 ZINC001376219170 917999330 /nfs/dbraw/zinc/99/93/30/917999330.db2.gz SYJCQXDMGQKGFP-LSDHHAIUSA-N 1 2 322.453 1.231 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@]1(C)CCC(=O)NC1)C1CC1 ZINC001377540110 922273710 /nfs/dbraw/zinc/27/37/10/922273710.db2.gz DFORWQDRMSEWFI-OAHLLOKOSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@]1(C)CCC(=O)NC1)C1CC1 ZINC001377540110 922273725 /nfs/dbraw/zinc/27/37/25/922273725.db2.gz DFORWQDRMSEWFI-OAHLLOKOSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)Cc2cc(C3CC3)no2)C1 ZINC001377970843 923552174 /nfs/dbraw/zinc/55/21/74/923552174.db2.gz DVHCAQNJKXTRFR-UHFFFAOYSA-N 1 2 309.797 1.895 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@](C)(NC(C)=O)C(C)C)C1 ZINC001378023158 923732063 /nfs/dbraw/zinc/73/20/63/923732063.db2.gz CJEOCVFLNFOVQI-HNNXBMFYSA-N 1 2 315.845 1.338 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCOCC3(CCCC3)C2)cc1 ZINC000614222856 361759605 /nfs/dbraw/zinc/75/96/05/361759605.db2.gz KLFYGOCNFYPDAK-INIZCTEOSA-N 1 2 313.401 1.977 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCOCC3(CCCC3)C2)cc1 ZINC000614222856 361759609 /nfs/dbraw/zinc/75/96/09/361759609.db2.gz KLFYGOCNFYPDAK-INIZCTEOSA-N 1 2 313.401 1.977 20 30 DDEDLO COCCO[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000249397411 282150052 /nfs/dbraw/zinc/15/00/52/282150052.db2.gz RZZUZPJXJAEXDW-UKRRQHHQSA-N 1 2 317.389 1.623 20 30 DDEDLO COCCO[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000249397411 282150055 /nfs/dbraw/zinc/15/00/55/282150055.db2.gz RZZUZPJXJAEXDW-UKRRQHHQSA-N 1 2 317.389 1.623 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1S(=O)(=O)Cc1ccc(F)cc1C#N ZINC000451180234 231026797 /nfs/dbraw/zinc/02/67/97/231026797.db2.gz CIJIHHFVJHDUOJ-NSHDSACASA-N 1 2 311.382 1.163 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)Cc1ccc(F)cc1C#N ZINC000451180234 231026801 /nfs/dbraw/zinc/02/68/01/231026801.db2.gz CIJIHHFVJHDUOJ-NSHDSACASA-N 1 2 311.382 1.163 20 30 DDEDLO N#Cc1c(F)cccc1C(=O)N1CC[NH+](C[C@H]2CCCO2)CC1 ZINC000614597912 361936317 /nfs/dbraw/zinc/93/63/17/361936317.db2.gz GVEHRNUWMIQJDJ-CYBMUJFWSA-N 1 2 317.364 1.634 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1C[C@@H](C)O[C@H](CO)C1 ZINC000249510040 282163096 /nfs/dbraw/zinc/16/30/96/282163096.db2.gz ZQDBDXKSARDFPH-OCCSQVGLSA-N 1 2 306.362 1.027 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1C[C@@H](C)O[C@H](CO)C1 ZINC000249510040 282163098 /nfs/dbraw/zinc/16/30/98/282163098.db2.gz ZQDBDXKSARDFPH-OCCSQVGLSA-N 1 2 306.362 1.027 20 30 DDEDLO COc1ccccc1[C@@H]1CN(C(=O)c2cc(C#N)[nH]c2C)CC[NH2+]1 ZINC000451662364 231156447 /nfs/dbraw/zinc/15/64/47/231156447.db2.gz JWSGFFAWVPEKDE-INIZCTEOSA-N 1 2 324.384 1.990 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)c1ccc(C#N)cc1 ZINC000451927881 529589641 /nfs/dbraw/zinc/58/96/41/529589641.db2.gz CFIXCCKPIVFFAT-LLVKDONJSA-N 1 2 304.375 1.814 20 30 DDEDLO COC(=O)[C@H]1[C@@H](O)CCC[N@@H+]1Cc1ccc(C(C)(C)C#N)cc1 ZINC000614919786 362076862 /nfs/dbraw/zinc/07/68/62/362076862.db2.gz OSHXUDAASWWPIW-JKSUJKDBSA-N 1 2 316.401 1.986 20 30 DDEDLO COC(=O)[C@H]1[C@@H](O)CCC[N@H+]1Cc1ccc(C(C)(C)C#N)cc1 ZINC000614919786 362076868 /nfs/dbraw/zinc/07/68/68/362076868.db2.gz OSHXUDAASWWPIW-JKSUJKDBSA-N 1 2 316.401 1.986 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)Nc2cc([N+](=O)[O-])ccc2O)CC1 ZINC000092548744 185325317 /nfs/dbraw/zinc/32/53/17/185325317.db2.gz WMMVJXZDIJCORV-UHFFFAOYSA-N 1 2 303.318 1.584 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001120406706 781922419 /nfs/dbraw/zinc/92/24/19/781922419.db2.gz DPCGVTRCXDCDBK-LLVKDONJSA-N 1 2 316.409 1.834 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)N[C@@H](c2nc[nH]n2)c2ccccc2)C1 ZINC000329646987 529787256 /nfs/dbraw/zinc/78/72/56/529787256.db2.gz BDXZBPVYBVGXFS-QWHCGFSZSA-N 1 2 301.350 1.181 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)N[C@@H](c2nc[nH]n2)c2ccccc2)C1 ZINC000329646987 529787257 /nfs/dbraw/zinc/78/72/57/529787257.db2.gz BDXZBPVYBVGXFS-QWHCGFSZSA-N 1 2 301.350 1.181 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)N[C@@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000329646987 529787258 /nfs/dbraw/zinc/78/72/58/529787258.db2.gz BDXZBPVYBVGXFS-QWHCGFSZSA-N 1 2 301.350 1.181 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)N[C@@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000329646987 529787259 /nfs/dbraw/zinc/78/72/59/529787259.db2.gz BDXZBPVYBVGXFS-QWHCGFSZSA-N 1 2 301.350 1.181 20 30 DDEDLO C[N@H+](CC(=O)NCCN1CCc2ccccc21)CC(C)(C)C#N ZINC000433316856 529833799 /nfs/dbraw/zinc/83/37/99/529833799.db2.gz JNSYPSSMSRPWOZ-UHFFFAOYSA-N 1 2 314.433 1.647 20 30 DDEDLO C[N@@H+](CC(=O)NCCN1CCc2ccccc21)CC(C)(C)C#N ZINC000433316856 529833802 /nfs/dbraw/zinc/83/38/02/529833802.db2.gz JNSYPSSMSRPWOZ-UHFFFAOYSA-N 1 2 314.433 1.647 20 30 DDEDLO CN(CC1(O)CCCCCC1)C(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000330277285 529856387 /nfs/dbraw/zinc/85/63/87/529856387.db2.gz NZWWZBNPGYUIQU-CQSZACIVSA-N 1 2 313.442 1.248 20 30 DDEDLO CN(CC1(O)CCCCCC1)C(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000330277285 529856388 /nfs/dbraw/zinc/85/63/88/529856388.db2.gz NZWWZBNPGYUIQU-CQSZACIVSA-N 1 2 313.442 1.248 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)Cn1ccnc1C(=O)c1cccs1 ZINC000414121516 529868319 /nfs/dbraw/zinc/86/83/19/529868319.db2.gz HGMRWLYAFCKSNX-GFCCVEGCSA-N 1 2 318.402 1.382 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)Cn1ccnc1C(=O)c1cccs1 ZINC000414121516 529868320 /nfs/dbraw/zinc/86/83/20/529868320.db2.gz HGMRWLYAFCKSNX-GFCCVEGCSA-N 1 2 318.402 1.382 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CSc1ncn(-c2ccccc2)n1 ZINC000414115177 529868736 /nfs/dbraw/zinc/86/87/36/529868736.db2.gz UTUANAMNERNSHS-AWEZNQCLSA-N 1 2 317.418 1.566 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CSc1ncn(-c2ccccc2)n1 ZINC000414115177 529868737 /nfs/dbraw/zinc/86/87/37/529868737.db2.gz UTUANAMNERNSHS-AWEZNQCLSA-N 1 2 317.418 1.566 20 30 DDEDLO C#CCN(C)C(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000290947062 222713059 /nfs/dbraw/zinc/71/30/59/222713059.db2.gz GFGIRZVYMWVJAG-KRWDZBQOSA-N 1 2 315.417 1.205 20 30 DDEDLO CC1(C)C[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C[C@H]1O ZINC000279959734 215271668 /nfs/dbraw/zinc/27/16/68/215271668.db2.gz YHGVDPHXDHYSCR-VXGBXAGGSA-N 1 2 305.403 1.428 20 30 DDEDLO CC1(C)C[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C[C@H]1O ZINC000279959734 215271671 /nfs/dbraw/zinc/27/16/71/215271671.db2.gz YHGVDPHXDHYSCR-VXGBXAGGSA-N 1 2 305.403 1.428 20 30 DDEDLO COC[C@@H]([NH2+]Cc1c(C#N)cccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000459450960 233212749 /nfs/dbraw/zinc/21/27/49/233212749.db2.gz YYUQGNZCVYIYBT-UKRRQHHQSA-N 1 2 305.334 1.750 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(Cc2cc(C#N)ccc2F)CC1 ZINC000266863606 186363486 /nfs/dbraw/zinc/36/34/86/186363486.db2.gz LHVGDRCIXFDBOI-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO C=CCCCS(=O)(=O)N[C@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000295651757 222811938 /nfs/dbraw/zinc/81/19/38/222811938.db2.gz FIYZTNCHQDKXTJ-GFCCVEGCSA-N 1 2 315.439 1.365 20 30 DDEDLO CCC[N@@H+](CC(=O)OC)C[C@H](O)COc1ccc(C#N)cc1 ZINC000172731635 198142988 /nfs/dbraw/zinc/14/29/88/198142988.db2.gz NCCMTODSANHSQJ-AWEZNQCLSA-N 1 2 306.362 1.183 20 30 DDEDLO CCC[N@H+](CC(=O)OC)C[C@H](O)COc1ccc(C#N)cc1 ZINC000172731635 198142990 /nfs/dbraw/zinc/14/29/90/198142990.db2.gz NCCMTODSANHSQJ-AWEZNQCLSA-N 1 2 306.362 1.183 20 30 DDEDLO CCCC[N@H+](CC(N)=O)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000045805042 352425027 /nfs/dbraw/zinc/42/50/27/352425027.db2.gz CDMWXLSIRUTZID-UHFFFAOYSA-N 1 2 322.796 1.738 20 30 DDEDLO CCCC[N@@H+](CC(N)=O)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000045805042 352425028 /nfs/dbraw/zinc/42/50/28/352425028.db2.gz CDMWXLSIRUTZID-UHFFFAOYSA-N 1 2 322.796 1.738 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2[nH]c3c(c2C)C(=O)CCC3)CC1 ZINC000064442717 352937328 /nfs/dbraw/zinc/93/73/28/352937328.db2.gz AHYBNQAPTFMBKF-UHFFFAOYSA-N 1 2 313.401 1.623 20 30 DDEDLO CCN(CC#N)CC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000068958648 353138903 /nfs/dbraw/zinc/13/89/03/353138903.db2.gz DBTXDTVEMSZTBW-UHFFFAOYSA-N 1 2 316.405 1.303 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000073394323 353250223 /nfs/dbraw/zinc/25/02/23/353250223.db2.gz OGEMOIZHBMKILR-UHFFFAOYSA-N 1 2 300.406 1.549 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(C(=O)C2CC2)CC1 ZINC000073621617 353262753 /nfs/dbraw/zinc/26/27/53/353262753.db2.gz ZOXNXARCCKOWSE-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO COC(=O)C[N@H+](CCOc1ccc(C#N)cc1OC)C1CC1 ZINC000079499637 353564994 /nfs/dbraw/zinc/56/49/94/353564994.db2.gz OOCOSEHYFOTACZ-UHFFFAOYSA-N 1 2 304.346 1.583 20 30 DDEDLO COC(=O)C[N@@H+](CCOc1ccc(C#N)cc1OC)C1CC1 ZINC000079499637 353564997 /nfs/dbraw/zinc/56/49/97/353564997.db2.gz OOCOSEHYFOTACZ-UHFFFAOYSA-N 1 2 304.346 1.583 20 30 DDEDLO N#Cc1csc(C(=O)NCC2([NH+]3CCOCC3)CCCC2)c1 ZINC000084405924 353721047 /nfs/dbraw/zinc/72/10/47/353721047.db2.gz HWFRZNFSWCEJLK-UHFFFAOYSA-N 1 2 319.430 1.995 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@H]([C@@H](C)O)C1 ZINC000089928962 353783494 /nfs/dbraw/zinc/78/34/94/353783494.db2.gz UEKGKDMOFNLHLA-KCXAZCMYSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCC[C@H]([C@@H](C)O)C1 ZINC000089928962 353783497 /nfs/dbraw/zinc/78/34/97/353783497.db2.gz UEKGKDMOFNLHLA-KCXAZCMYSA-N 1 2 302.374 1.865 20 30 DDEDLO C[C@H]1CC[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@@H]1O ZINC000093344887 353898041 /nfs/dbraw/zinc/89/80/41/353898041.db2.gz DIHHWSVJSCDTTJ-HZMBPMFUSA-N 1 2 307.781 1.853 20 30 DDEDLO C[C@H]1CC[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@@H]1O ZINC000093344887 353898042 /nfs/dbraw/zinc/89/80/42/353898042.db2.gz DIHHWSVJSCDTTJ-HZMBPMFUSA-N 1 2 307.781 1.853 20 30 DDEDLO C=CCOc1ccccc1C[NH+]1CCN(C(=O)[C@H](C)O)CC1 ZINC000093706612 353903471 /nfs/dbraw/zinc/90/34/71/353903471.db2.gz CGXKEDQORVWUEW-AWEZNQCLSA-N 1 2 304.390 1.276 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C[C@@H](O)COc1ccc(C#N)cc1)C(C)(C)C ZINC000152506901 354192930 /nfs/dbraw/zinc/19/29/30/354192930.db2.gz WMLYBLVPTBSTCQ-UKRRQHHQSA-N 1 2 320.389 1.475 20 30 DDEDLO COC(=O)[C@H]([NH2+]C[C@H](O)COc1ccc(C#N)cc1)C(C)(C)C ZINC000152506803 354192978 /nfs/dbraw/zinc/19/29/78/354192978.db2.gz WMLYBLVPTBSTCQ-ZFWWWQNUSA-N 1 2 320.389 1.475 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C([O-])=[NH+]c3nc4ccsc4s3)C[C@@H]21 ZINC000329784625 283013444 /nfs/dbraw/zinc/01/34/44/283013444.db2.gz PKLFJRDPTXUPPL-VHSXEESVSA-N 1 2 324.431 1.918 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C([O-])=[NH+]c3nc4ccsc4s3)C[C@@H]21 ZINC000329784625 283013446 /nfs/dbraw/zinc/01/34/46/283013446.db2.gz PKLFJRDPTXUPPL-VHSXEESVSA-N 1 2 324.431 1.918 20 30 DDEDLO Cc1c(C[N@@H+](C)[C@H](C)C(=O)NC2(C#N)CCCCC2)cnn1C ZINC000578432755 354708443 /nfs/dbraw/zinc/70/84/43/354708443.db2.gz MUFHBMAQYDSRFD-CQSZACIVSA-N 1 2 317.437 1.892 20 30 DDEDLO Cc1c(C[N@H+](C)[C@H](C)C(=O)NC2(C#N)CCCCC2)cnn1C ZINC000578432755 354708448 /nfs/dbraw/zinc/70/84/48/354708448.db2.gz MUFHBMAQYDSRFD-CQSZACIVSA-N 1 2 317.437 1.892 20 30 DDEDLO CCn1cc(C[N@@H+]2CCCN(C(=O)[C@]3(C#N)C[C@H]3C)CC2)cn1 ZINC000448922852 354682200 /nfs/dbraw/zinc/68/22/00/354682200.db2.gz RTUPIXMJCYHQRX-PBHICJAKSA-N 1 2 315.421 1.487 20 30 DDEDLO CCn1cc(C[N@H+]2CCCN(C(=O)[C@]3(C#N)C[C@H]3C)CC2)cn1 ZINC000448922852 354682204 /nfs/dbraw/zinc/68/22/04/354682204.db2.gz RTUPIXMJCYHQRX-PBHICJAKSA-N 1 2 315.421 1.487 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cnc3nc(C)cc(C)n23)C1=O ZINC000584873147 354786249 /nfs/dbraw/zinc/78/62/49/354786249.db2.gz QAVKZKAGECOACW-OAHLLOKOSA-N 1 2 313.405 1.565 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cnc3nc(C)cc(C)n23)C1=O ZINC000584873147 354786252 /nfs/dbraw/zinc/78/62/52/354786252.db2.gz QAVKZKAGECOACW-OAHLLOKOSA-N 1 2 313.405 1.565 20 30 DDEDLO N#Cc1cccc(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)n1 ZINC000589293369 354981805 /nfs/dbraw/zinc/98/18/05/354981805.db2.gz CGTLHPASLXQVOA-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO COC(=O)[C@H](CC(C)C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000590012445 355041736 /nfs/dbraw/zinc/04/17/36/355041736.db2.gz WLQUTHACKBBNIS-HNNXBMFYSA-N 1 2 316.405 1.663 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]Cc1ccc(Cl)c(S(N)(=O)=O)c1 ZINC000589821956 355022611 /nfs/dbraw/zinc/02/26/11/355022611.db2.gz CYOFSWOUCGPOOY-JTQLQIEISA-N 1 2 301.799 1.769 20 30 DDEDLO N#Cc1nc(C2CC2)oc1N1CC[NH+](Cc2cccnc2N)CC1 ZINC000590702277 355152110 /nfs/dbraw/zinc/15/21/10/355152110.db2.gz FVXFTILDNCAXNZ-UHFFFAOYSA-N 1 2 324.388 1.723 20 30 DDEDLO CNC(=O)C[C@@]1(O)CCC[N@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000591648698 355352816 /nfs/dbraw/zinc/35/28/16/355352816.db2.gz XQGRZOGFPJPKHY-INIZCTEOSA-N 1 2 321.808 1.675 20 30 DDEDLO CNC(=O)C[C@@]1(O)CCC[N@@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000591648698 355352819 /nfs/dbraw/zinc/35/28/19/355352819.db2.gz XQGRZOGFPJPKHY-INIZCTEOSA-N 1 2 321.808 1.675 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@H]2CCN(C(C)(C)C)C2=O)CC1 ZINC000591845762 355394421 /nfs/dbraw/zinc/39/44/21/355394421.db2.gz WCMPRQTUULBAKK-LSDHHAIUSA-N 1 2 306.454 1.552 20 30 DDEDLO C[C@H](C(=O)NC(=O)Nc1ccccc1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592146746 355511554 /nfs/dbraw/zinc/51/15/54/355511554.db2.gz FTGPIZVXUFUOPI-MLGOLLRUSA-N 1 2 316.361 1.074 20 30 DDEDLO C[C@H](C(=O)NC(=O)Nc1ccccc1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592146746 355511557 /nfs/dbraw/zinc/51/15/57/355511557.db2.gz FTGPIZVXUFUOPI-MLGOLLRUSA-N 1 2 316.361 1.074 20 30 DDEDLO Cc1cc([N+](=O)[O-])ccc1NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149357 355516013 /nfs/dbraw/zinc/51/60/13/355516013.db2.gz NLYDDFYXVFLMGC-OAHLLOKOSA-N 1 2 318.333 1.192 20 30 DDEDLO Cc1cc([N+](=O)[O-])ccc1NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149357 355516015 /nfs/dbraw/zinc/51/60/15/355516015.db2.gz NLYDDFYXVFLMGC-OAHLLOKOSA-N 1 2 318.333 1.192 20 30 DDEDLO CC[C@@H](C#N)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000593406595 355875987 /nfs/dbraw/zinc/87/59/87/355875987.db2.gz IDHDIVQXXYNIGZ-JSGCOSHPSA-N 1 2 302.378 1.473 20 30 DDEDLO N#Cc1sccc1S(=O)(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000593559891 355925563 /nfs/dbraw/zinc/92/55/63/355925563.db2.gz KIFVOUBUKZYGHR-JTQLQIEISA-N 1 2 322.415 1.911 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)NCC2(C#N)CCCC2)c(C)[nH+]1 ZINC000593672068 355962898 /nfs/dbraw/zinc/96/28/98/355962898.db2.gz VOEVOCRGIIXKKQ-UHFFFAOYSA-N 1 2 300.362 1.837 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(C(=O)CCCCC#N)CC1 ZINC000594312380 356171133 /nfs/dbraw/zinc/17/11/33/356171133.db2.gz DZGIGXSLHVZXCH-OAHLLOKOSA-N 1 2 320.437 1.225 20 30 DDEDLO Cc1nn(C)c(C)c1[NH+]=C([O-])N1CCC[C@@H]1Cn1cc[nH+]c1 ZINC000329239005 222950495 /nfs/dbraw/zinc/95/04/95/222950495.db2.gz QANSGBPRFWIYNX-CYBMUJFWSA-N 1 2 302.382 1.944 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCN(c3cncc(F)c3)CC2)CCC1 ZINC000329498560 222985634 /nfs/dbraw/zinc/98/56/34/222985634.db2.gz VIUFECRMINJRJF-UHFFFAOYSA-N 1 2 317.368 1.745 20 30 DDEDLO O=C(NC[C@@H]1CCCCO1)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000329544040 222992222 /nfs/dbraw/zinc/99/22/22/222992222.db2.gz FTHQKBGOITZKJR-GJZGRUSLSA-N 1 2 311.426 1.266 20 30 DDEDLO C=CCCSCCNC(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000595515735 356527774 /nfs/dbraw/zinc/52/77/74/356527774.db2.gz NSLSSZXENQZOGS-ZIAGYGMSSA-N 1 2 315.483 1.704 20 30 DDEDLO CCS(=O)(=O)N1CC[N@H+](CCc2ccc(C#N)cc2)[C@H](C)C1 ZINC000595494321 356517594 /nfs/dbraw/zinc/51/75/94/356517594.db2.gz ADBHEECFDIQBDA-CQSZACIVSA-N 1 2 321.446 1.457 20 30 DDEDLO CCS(=O)(=O)N1CC[N@@H+](CCc2ccc(C#N)cc2)[C@H](C)C1 ZINC000595494321 356517599 /nfs/dbraw/zinc/51/75/99/356517599.db2.gz ADBHEECFDIQBDA-CQSZACIVSA-N 1 2 321.446 1.457 20 30 DDEDLO C[C@@]12COC[C@]1(C)C[N@@H+](CC(=O)Nc1sccc1C#N)C2 ZINC000595688503 356610331 /nfs/dbraw/zinc/61/03/31/356610331.db2.gz IMNNWRKXSAFLMA-GASCZTMLSA-N 1 2 305.403 1.917 20 30 DDEDLO C[C@@]12COC[C@]1(C)C[N@H+](CC(=O)Nc1sccc1C#N)C2 ZINC000595688503 356610334 /nfs/dbraw/zinc/61/03/34/356610334.db2.gz IMNNWRKXSAFLMA-GASCZTMLSA-N 1 2 305.403 1.917 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)C[C@@H]2COCCO2)c(C#N)c1C ZINC000595742140 356631175 /nfs/dbraw/zinc/63/11/75/356631175.db2.gz OJUUJRGIBNMXFT-GFCCVEGCSA-N 1 2 307.350 1.054 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)C[C@@H]2COCCO2)c(C#N)c1C ZINC000595742140 356631176 /nfs/dbraw/zinc/63/11/76/356631176.db2.gz OJUUJRGIBNMXFT-GFCCVEGCSA-N 1 2 307.350 1.054 20 30 DDEDLO COC(=O)[C@](C)(CCF)[NH2+]C[C@@H](O)COc1ccc(C#N)cc1 ZINC000595776544 356648063 /nfs/dbraw/zinc/64/80/63/356648063.db2.gz YBCSMGSXMREEEP-CJNGLKHVSA-N 1 2 324.352 1.179 20 30 DDEDLO N#Cc1cnnc(N2CC[NH+](Cc3cn4ccccc4n3)CC2)c1 ZINC000596059813 356757049 /nfs/dbraw/zinc/75/70/49/356757049.db2.gz ACNGRURWHPKNOH-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#Cc1cnnc(N2CCN(Cc3cn4ccccc4[nH+]3)CC2)c1 ZINC000596059813 356757053 /nfs/dbraw/zinc/75/70/53/356757053.db2.gz ACNGRURWHPKNOH-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO Cc1cc(NCC[N@@H+]2CCO[C@H](C)C2)cc(C#N)c1[N+](=O)[O-] ZINC000596101097 356772004 /nfs/dbraw/zinc/77/20/04/356772004.db2.gz ARZHFIXQIKFHOT-GFCCVEGCSA-N 1 2 304.350 1.908 20 30 DDEDLO Cc1cc(NCC[N@H+]2CCO[C@H](C)C2)cc(C#N)c1[N+](=O)[O-] ZINC000596101097 356772009 /nfs/dbraw/zinc/77/20/09/356772009.db2.gz ARZHFIXQIKFHOT-GFCCVEGCSA-N 1 2 304.350 1.908 20 30 DDEDLO N#Cc1c2c(cnc1N1CCC[C@H]([NH+]3CCOCC3)C1)CCC2 ZINC000596247583 356831396 /nfs/dbraw/zinc/83/13/96/356831396.db2.gz RHGAQSOIAHMFNQ-HNNXBMFYSA-N 1 2 312.417 1.743 20 30 DDEDLO CC1(C)C[N@H+](CCNc2nccc(C#N)c2[N+](=O)[O-])CCO1 ZINC000178034079 198807850 /nfs/dbraw/zinc/80/78/50/198807850.db2.gz VRPXIYSGJOBYPA-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO CC1(C)C[N@@H+](CCNc2nccc(C#N)c2[N+](=O)[O-])CCO1 ZINC000178034079 198807852 /nfs/dbraw/zinc/80/78/52/198807852.db2.gz VRPXIYSGJOBYPA-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000596589612 356926614 /nfs/dbraw/zinc/92/66/14/356926614.db2.gz AGLCKITUOZXLGC-AWEZNQCLSA-N 1 2 302.378 1.476 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000596589612 356926617 /nfs/dbraw/zinc/92/66/17/356926617.db2.gz AGLCKITUOZXLGC-AWEZNQCLSA-N 1 2 302.378 1.476 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)[C@@H](C)CO1 ZINC000596911659 357013513 /nfs/dbraw/zinc/01/35/13/357013513.db2.gz WBKNBYIPWFPPHG-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)[C@@H](C)CO1 ZINC000596911659 357013517 /nfs/dbraw/zinc/01/35/17/357013517.db2.gz WBKNBYIPWFPPHG-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)CC1(C#N)CCOCC1 ZINC000597647199 357268322 /nfs/dbraw/zinc/26/83/22/357268322.db2.gz KRIAOCGBJUGHSB-LSDHHAIUSA-N 1 2 321.421 1.018 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@H](C)[N@@H+]1CCN2CCOC[C@H]2C1 ZINC000329684760 223013530 /nfs/dbraw/zinc/01/35/30/223013530.db2.gz UJKJEFADPIYWLP-UONOGXRCSA-N 1 2 321.425 1.030 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@H](C)[N@H+]1CCN2CCOC[C@H]2C1 ZINC000329684760 223013533 /nfs/dbraw/zinc/01/35/33/223013533.db2.gz UJKJEFADPIYWLP-UONOGXRCSA-N 1 2 321.425 1.030 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C[C@@H](O)CC1(C#N)CCOCC1)C(C)(C)C ZINC000599341619 357901957 /nfs/dbraw/zinc/90/19/57/357901957.db2.gz LYYXKTAYTIEHCQ-QWHCGFSZSA-N 1 2 312.410 1.235 20 30 DDEDLO CC[C@H](C)[C@H]([NH2+]C[C@H](O)COc1ccccc1C#N)C(=O)OC ZINC000599358156 357908319 /nfs/dbraw/zinc/90/83/19/357908319.db2.gz FLHHZCSBSUHRNG-NOLJZWGESA-N 1 2 320.389 1.475 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@@H+]1C[C@H](O)COc1ccccc1C#N ZINC000599361270 357909434 /nfs/dbraw/zinc/90/94/34/357909434.db2.gz UAFOFJSCNAUZSY-LSDHHAIUSA-N 1 2 318.373 1.325 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@H+]1C[C@H](O)COc1ccccc1C#N ZINC000599361270 357909436 /nfs/dbraw/zinc/90/94/36/357909436.db2.gz UAFOFJSCNAUZSY-LSDHHAIUSA-N 1 2 318.373 1.325 20 30 DDEDLO Cc1ccccc1[C@H]1C(=O)NCC[N@H+]1C[C@@H](O)CC1(C#N)CC1 ZINC000599364267 357910038 /nfs/dbraw/zinc/91/00/38/357910038.db2.gz JWQUDRNUPLBSEU-HOCLYGCPSA-N 1 2 313.401 1.523 20 30 DDEDLO Cc1ccccc1[C@H]1C(=O)NCC[N@@H+]1C[C@@H](O)CC1(C#N)CC1 ZINC000599364267 357910039 /nfs/dbraw/zinc/91/00/39/357910039.db2.gz JWQUDRNUPLBSEU-HOCLYGCPSA-N 1 2 313.401 1.523 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)NC[C@H]1COCC[N@@H+]1C ZINC000600418504 358215686 /nfs/dbraw/zinc/21/56/86/358215686.db2.gz DWJKVFBKEQNIJQ-CJNGLKHVSA-N 1 2 301.390 1.184 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)NC[C@H]1COCC[N@H+]1C ZINC000600418504 358215690 /nfs/dbraw/zinc/21/56/90/358215690.db2.gz DWJKVFBKEQNIJQ-CJNGLKHVSA-N 1 2 301.390 1.184 20 30 DDEDLO CC(C)(C#N)CC(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000600680568 358281708 /nfs/dbraw/zinc/28/17/08/358281708.db2.gz FTGZFALPESBDRM-HNNXBMFYSA-N 1 2 316.405 1.338 20 30 DDEDLO CC(C)(C#N)CC(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000600680568 358281711 /nfs/dbraw/zinc/28/17/11/358281711.db2.gz FTGZFALPESBDRM-HNNXBMFYSA-N 1 2 316.405 1.338 20 30 DDEDLO N#CCCN1CCN(C(=O)[C@H]([NH3+])c2c(F)cccc2F)CC1 ZINC000601850959 358715237 /nfs/dbraw/zinc/71/52/37/358715237.db2.gz JBINFBDRCWPUNI-CQSZACIVSA-N 1 2 308.332 1.022 20 30 DDEDLO CCS(=O)(=O)CCCCC[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602853415 359240115 /nfs/dbraw/zinc/24/01/15/359240115.db2.gz IPGOKHHSIGOPQU-GJZGRUSLSA-N 1 2 315.483 1.510 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)Nc2cc(F)cc(F)c2)C[C@@H](C)N1CC#N ZINC000602855807 359242041 /nfs/dbraw/zinc/24/20/41/359242041.db2.gz IVTPFSAGSMAKRR-VXGBXAGGSA-N 1 2 322.359 1.821 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)N(C)C2CCCCC2)C[C@@H](C)N1CC#N ZINC000602857100 359243417 /nfs/dbraw/zinc/24/34/17/359243417.db2.gz OPQOGRBTRZSXJM-HUUCEWRRSA-N 1 2 306.454 1.696 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N(C)c2ccccc2)C[C@H](C)N1CC#N ZINC000602857139 359243729 /nfs/dbraw/zinc/24/37/29/359243729.db2.gz OXPMVJNLAJABQS-GASCZTMLSA-N 1 2 300.406 1.568 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N(C)c2ccccc2)C[C@H](C)N1CC#N ZINC000602857139 359243730 /nfs/dbraw/zinc/24/37/30/359243730.db2.gz OXPMVJNLAJABQS-GASCZTMLSA-N 1 2 300.406 1.568 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)NCCC2CCCCC2)C[C@@H](C)N1CC#N ZINC000602861864 359247193 /nfs/dbraw/zinc/24/71/93/359247193.db2.gz MGFWGLWVPYFPPZ-HZPDHXFCSA-N 1 2 320.481 1.991 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)NCCc1nc2ccccc2s1 ZINC000602869824 359254776 /nfs/dbraw/zinc/25/47/76/359254776.db2.gz PHYHPBQYXKFGHG-NSHDSACASA-N 1 2 302.403 1.847 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C(=O)OC(C)(C)C)C2CC2)C1=O ZINC000602828143 359221721 /nfs/dbraw/zinc/22/17/21/359221721.db2.gz RAAAUDVUSLIARP-KBPBESRZSA-N 1 2 308.422 1.825 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C(=O)OC(C)(C)C)C2CC2)C1=O ZINC000602828143 359221726 /nfs/dbraw/zinc/22/17/26/359221726.db2.gz RAAAUDVUSLIARP-KBPBESRZSA-N 1 2 308.422 1.825 20 30 DDEDLO N#Cc1ccc(C2CC[NH+](CC(=O)Nc3cnccn3)CC2)nc1 ZINC000602906621 359286847 /nfs/dbraw/zinc/28/68/47/359286847.db2.gz LKIUAIHTTYSHRH-UHFFFAOYSA-N 1 2 322.372 1.561 20 30 DDEDLO Cc1n[nH]cc1C[N@@H+](C)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000602943195 359311390 /nfs/dbraw/zinc/31/13/90/359311390.db2.gz PXANEPAALGPFQY-ZDUSSCGKSA-N 1 2 315.402 1.889 20 30 DDEDLO Cc1n[nH]cc1C[N@H+](C)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000602943195 359311393 /nfs/dbraw/zinc/31/13/93/359311393.db2.gz PXANEPAALGPFQY-ZDUSSCGKSA-N 1 2 315.402 1.889 20 30 DDEDLO N#Cc1cc(NC(=O)N2CC[NH+](Cc3cccnc3)CC2)ccn1 ZINC000603137362 359426888 /nfs/dbraw/zinc/42/68/88/359426888.db2.gz GCKFQYXLVZYGCQ-UHFFFAOYSA-N 1 2 322.372 1.698 20 30 DDEDLO C[C@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C[C@H](C)N1CC#N ZINC000603095553 359400264 /nfs/dbraw/zinc/40/02/64/359400264.db2.gz LDISTRHVASGFCD-KBPBESRZSA-N 1 2 324.388 1.326 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)c2ccc(C(=O)OC)o2)nn1 ZINC000603204249 359478930 /nfs/dbraw/zinc/47/89/30/359478930.db2.gz MGZCQXTYAJVUDX-LLVKDONJSA-N 1 2 302.334 1.380 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)Nc2ccccc2C#N)nn1 ZINC000603176918 359459773 /nfs/dbraw/zinc/45/97/73/359459773.db2.gz OBDFROGXIWZMFV-ZDUSSCGKSA-N 1 2 322.372 1.462 20 30 DDEDLO CCC(CC)C(=O)N1CC[NH+]([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000603555196 359657747 /nfs/dbraw/zinc/65/77/47/359657747.db2.gz DYLWEKHWTTWBHX-CQSZACIVSA-N 1 2 322.453 1.327 20 30 DDEDLO O=C(NCc1ccc2c(c1)OCCO2)N1CCn2cc[nH+]c2C1 ZINC000329809810 223033414 /nfs/dbraw/zinc/03/34/14/223033414.db2.gz CEBDUOUQQIGWBO-UHFFFAOYSA-N 1 2 314.345 1.584 20 30 DDEDLO Cc1noc(C)c1C[C@H](C)[NH+]=C([O-])N1CCn2cc[nH+]c2C1 ZINC000329839929 223037384 /nfs/dbraw/zinc/03/73/84/223037384.db2.gz MZTVESDPPFOLKX-JTQLQIEISA-N 1 2 303.366 1.849 20 30 DDEDLO CC[C@H](C)[N@H+](CC(=O)NCc1ccc(C#N)cc1)CC(=O)OC ZINC000281191528 216141373 /nfs/dbraw/zinc/14/13/73/216141373.db2.gz BTJSHNOVLVSEES-ZDUSSCGKSA-N 1 2 317.389 1.448 20 30 DDEDLO CC[C@H](C)[N@@H+](CC(=O)NCc1ccc(C#N)cc1)CC(=O)OC ZINC000281191528 216141374 /nfs/dbraw/zinc/14/13/74/216141374.db2.gz BTJSHNOVLVSEES-ZDUSSCGKSA-N 1 2 317.389 1.448 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+]Cc2ccc(C#N)cc2F)C(N)=O)cc1 ZINC000609676645 360344209 /nfs/dbraw/zinc/34/42/09/360344209.db2.gz AECDXNVXTPLUCD-INIZCTEOSA-N 1 2 308.316 1.885 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000610414516 360430388 /nfs/dbraw/zinc/43/03/88/360430388.db2.gz FJKWFJROTFVEDQ-ZSHCYNCHSA-N 1 2 305.422 1.497 20 30 DDEDLO COc1cc2c(cc1OC)C[N@H+](CC(=O)NC[C@H](C)C#N)CC2 ZINC000610891462 360565321 /nfs/dbraw/zinc/56/53/21/360565321.db2.gz MNDKDZHQCBYVLJ-GFCCVEGCSA-N 1 2 317.389 1.338 20 30 DDEDLO COc1cc2c(cc1OC)C[N@@H+](CC(=O)NC[C@H](C)C#N)CC2 ZINC000610891462 360565324 /nfs/dbraw/zinc/56/53/24/360565324.db2.gz MNDKDZHQCBYVLJ-GFCCVEGCSA-N 1 2 317.389 1.338 20 30 DDEDLO CCOC[C@@H](C(=O)OC)[N@H+](C)CCOc1ccc(C#N)cc1 ZINC000610999050 360596192 /nfs/dbraw/zinc/59/61/92/360596192.db2.gz NWKQHGQFAVHFGU-HNNXBMFYSA-N 1 2 306.362 1.447 20 30 DDEDLO CCOC[C@@H](C(=O)OC)[N@@H+](C)CCOc1ccc(C#N)cc1 ZINC000610999050 360596198 /nfs/dbraw/zinc/59/61/98/360596198.db2.gz NWKQHGQFAVHFGU-HNNXBMFYSA-N 1 2 306.362 1.447 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2F)C[C@@H]1C ZINC000611083726 360617100 /nfs/dbraw/zinc/61/71/00/360617100.db2.gz ZXJYFEGUYNTPFP-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2F)C[C@@H]1C ZINC000611083726 360617104 /nfs/dbraw/zinc/61/71/04/360617104.db2.gz ZXJYFEGUYNTPFP-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO N#Cc1ccncc1NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000611281225 360689042 /nfs/dbraw/zinc/68/90/42/360689042.db2.gz RLLCXXLXIIBAFF-UHFFFAOYSA-N 1 2 313.405 1.309 20 30 DDEDLO N#C[C@@H](c1ccc(F)cc1)N1CC[NH+](CC(=O)NC2CC2)CC1 ZINC000611551271 360756168 /nfs/dbraw/zinc/75/61/68/360756168.db2.gz JVKKIQPPHCXJRU-INIZCTEOSA-N 1 2 316.380 1.287 20 30 DDEDLO Cc1ccc(Cl)cc1C(=O)N[C@@H](CO)C[NH+]1CCOCC1 ZINC000330602396 223129883 /nfs/dbraw/zinc/12/98/83/223129883.db2.gz WOZDKCRZGHWNEC-CYBMUJFWSA-N 1 2 312.797 1.646 20 30 DDEDLO C[C@@H]1Cc2ccccc2N1C(=O)C[N@H+](C)CCNC(=O)N(C)C ZINC000330703853 223138212 /nfs/dbraw/zinc/13/82/12/223138212.db2.gz QIALHIFFICQTGR-CYBMUJFWSA-N 1 2 318.421 1.372 20 30 DDEDLO C[C@@H]1Cc2ccccc2N1C(=O)C[N@@H+](C)CCNC(=O)N(C)C ZINC000330703853 223138216 /nfs/dbraw/zinc/13/82/16/223138216.db2.gz QIALHIFFICQTGR-CYBMUJFWSA-N 1 2 318.421 1.372 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1ccc(O)c(F)c1 ZINC000613501621 361445386 /nfs/dbraw/zinc/44/53/86/361445386.db2.gz RMUHSRBDVLHSPZ-UHFFFAOYSA-N 1 2 321.352 1.219 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+]([C@@H](C)C(=O)Nc3ccccc3C#N)C2)[nH]1 ZINC000565996533 304108608 /nfs/dbraw/zinc/10/86/08/304108608.db2.gz HWUAHYROORPVIZ-SMDDNHRTSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+]([C@@H](C)C(=O)Nc3ccccc3C#N)C2)[nH]1 ZINC000565996533 304108610 /nfs/dbraw/zinc/10/86/10/304108610.db2.gz HWUAHYROORPVIZ-SMDDNHRTSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@@H+]([C@@H](C)C(=O)Nc3ccccc3C#N)C2)n1 ZINC000565996533 304108612 /nfs/dbraw/zinc/10/86/12/304108612.db2.gz HWUAHYROORPVIZ-SMDDNHRTSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@H+]([C@@H](C)C(=O)Nc3ccccc3C#N)C2)n1 ZINC000565996533 304108613 /nfs/dbraw/zinc/10/86/13/304108613.db2.gz HWUAHYROORPVIZ-SMDDNHRTSA-N 1 2 324.388 1.801 20 30 DDEDLO N#CCc1cccc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000619498087 364039329 /nfs/dbraw/zinc/03/93/29/364039329.db2.gz UEZRXRDGVZHLJN-ZDUSSCGKSA-N 1 2 316.386 1.242 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(NC(=O)c2ccc(F)cc2)CC1 ZINC000619716118 364126826 /nfs/dbraw/zinc/12/68/26/364126826.db2.gz RVKJBVGYDUOYLH-OAHLLOKOSA-N 1 2 320.364 1.748 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(C(=O)NC2CCCCC2)CC1 ZINC000619719133 364130857 /nfs/dbraw/zinc/13/08/57/364130857.db2.gz ADXRIKSHERBGFI-OAHLLOKOSA-N 1 2 308.422 1.875 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1(c2noc(C[NH+](C)C)n2)CCCCCC1 ZINC000342644482 223312117 /nfs/dbraw/zinc/31/21/17/223312117.db2.gz SHUMDXGMHZXORR-LBPRGKRZSA-N 1 2 319.409 1.957 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccc(C#N)o1 ZINC000091936766 193129286 /nfs/dbraw/zinc/12/92/86/193129286.db2.gz DMLAQFDNILOVMI-LBPRGKRZSA-N 1 2 308.345 1.524 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccc(C#N)o1 ZINC000091936766 193129287 /nfs/dbraw/zinc/12/92/87/193129287.db2.gz DMLAQFDNILOVMI-LBPRGKRZSA-N 1 2 308.345 1.524 20 30 DDEDLO C[C@H](C(N)=O)[NH+]1CCN([C@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348328473 223383148 /nfs/dbraw/zinc/38/31/48/223383148.db2.gz KRHOMYWBNMYIPY-BXUZGUMPSA-N 1 2 306.797 1.396 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+](CCS(C)(=O)=O)C(C)C ZINC000093707964 193297227 /nfs/dbraw/zinc/29/72/27/193297227.db2.gz XKJWCIMDZHUXPM-UHFFFAOYSA-N 1 2 309.431 1.954 20 30 DDEDLO C#CCOc1ccccc1C[N@H+](CCS(C)(=O)=O)C(C)C ZINC000093707964 193297228 /nfs/dbraw/zinc/29/72/28/193297228.db2.gz XKJWCIMDZHUXPM-UHFFFAOYSA-N 1 2 309.431 1.954 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000264610218 204025795 /nfs/dbraw/zinc/02/57/95/204025795.db2.gz FGPCYWICGXZIJF-UHFFFAOYSA-N 1 2 316.380 1.442 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)OC(C)(C)C)CC1 ZINC000264937689 204284975 /nfs/dbraw/zinc/28/49/75/204284975.db2.gz RYHLAQFZWWXAAD-ZDUSSCGKSA-N 1 2 309.410 1.067 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)OC(C)(C)C)CC1 ZINC000264937689 204284979 /nfs/dbraw/zinc/28/49/79/204284979.db2.gz RYHLAQFZWWXAAD-ZDUSSCGKSA-N 1 2 309.410 1.067 20 30 DDEDLO C=CC[N@@H+](Cc1ccc2c(c1)ncn2C)[C@H]1CCS(=O)(=O)C1 ZINC000271760796 209189036 /nfs/dbraw/zinc/18/90/36/209189036.db2.gz YQKKUTCFXJQCSQ-AWEZNQCLSA-N 1 2 319.430 1.748 20 30 DDEDLO C=CC[N@H+](Cc1ccc2c(c1)ncn2C)[C@H]1CCS(=O)(=O)C1 ZINC000271760796 209189039 /nfs/dbraw/zinc/18/90/39/209189039.db2.gz YQKKUTCFXJQCSQ-AWEZNQCLSA-N 1 2 319.430 1.748 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@]2(CCS(=O)(=O)C2)C1 ZINC000333609388 249040579 /nfs/dbraw/zinc/04/05/79/249040579.db2.gz WKYBJOQTLPFOCD-INIZCTEOSA-N 1 2 304.415 1.959 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@]2(CCS(=O)(=O)C2)C1 ZINC000333609388 249040580 /nfs/dbraw/zinc/04/05/80/249040580.db2.gz WKYBJOQTLPFOCD-INIZCTEOSA-N 1 2 304.415 1.959 20 30 DDEDLO C[C@@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C)c1ccc(C#N)cc1 ZINC000333690620 249072237 /nfs/dbraw/zinc/07/22/37/249072237.db2.gz AVVTUIGJHWHPMH-WQVCFCJDSA-N 1 2 314.389 1.344 20 30 DDEDLO C[C@@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C)c1ccc(C#N)cc1 ZINC000333690620 249072241 /nfs/dbraw/zinc/07/22/41/249072241.db2.gz AVVTUIGJHWHPMH-WQVCFCJDSA-N 1 2 314.389 1.344 20 30 DDEDLO N#Cc1c(C[N@H+]2CC[C@@H](NC(=O)NC3CC3)C2)cn2ccccc12 ZINC000274039676 211296914 /nfs/dbraw/zinc/29/69/14/211296914.db2.gz RCZVPDNAFVKSEH-OAHLLOKOSA-N 1 2 323.400 1.847 20 30 DDEDLO N#Cc1c(C[N@@H+]2CC[C@@H](NC(=O)NC3CC3)C2)cn2ccccc12 ZINC000274039676 211296916 /nfs/dbraw/zinc/29/69/16/211296916.db2.gz RCZVPDNAFVKSEH-OAHLLOKOSA-N 1 2 323.400 1.847 20 30 DDEDLO Cc1noc([C@H]2C[N@@H+](Cc3cc(C#N)ccc3F)CCN2C)n1 ZINC000284843823 218203397 /nfs/dbraw/zinc/20/33/97/218203397.db2.gz LFMIGNLFVODDFG-OAHLLOKOSA-N 1 2 315.352 1.878 20 30 DDEDLO Cc1noc([C@H]2C[N@H+](Cc3cc(C#N)ccc3F)CCN2C)n1 ZINC000284843823 218203400 /nfs/dbraw/zinc/20/34/00/218203400.db2.gz LFMIGNLFVODDFG-OAHLLOKOSA-N 1 2 315.352 1.878 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@H]2CCN(c3ccc(C#N)cc3)C2=O)n1 ZINC000411522154 223862188 /nfs/dbraw/zinc/86/21/88/223862188.db2.gz VNTWPWDCBHGDFA-HZMBPMFUSA-N 1 2 311.345 1.706 20 30 DDEDLO N#CC1CC[NH+](CC(=O)NC(=O)NCc2cccs2)CC1 ZINC000057880322 184060719 /nfs/dbraw/zinc/06/07/19/184060719.db2.gz DEENDFDDGYRVHL-UHFFFAOYSA-N 1 2 306.391 1.309 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@@H](O)Cn3cnc(C#N)cc3=O)c2cc1C ZINC000567885255 304251558 /nfs/dbraw/zinc/25/15/58/304251558.db2.gz FFRBPJUBWPNZDH-CQSZACIVSA-N 1 2 323.356 1.143 20 30 DDEDLO COC(=O)C(C)(C)N1CC[NH+](Cc2cccc(C#N)c2F)CC1 ZINC000494463347 533156403 /nfs/dbraw/zinc/15/64/03/533156403.db2.gz FNDBRYHYQPNBHF-UHFFFAOYSA-N 1 2 319.380 1.767 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(c2nc(C)ccc2C#N)CC1 ZINC000127690391 195901179 /nfs/dbraw/zinc/90/11/79/195901179.db2.gz LCAPXXDSJBHDCZ-ZDUSSCGKSA-N 1 2 315.421 1.298 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+](C)C[C@H](O)C(F)(F)F ZINC000305774650 533339158 /nfs/dbraw/zinc/33/91/58/533339158.db2.gz MQZPQPPTYFFUKC-CABZTGNLSA-N 1 2 315.295 1.740 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+](C)C[C@H](O)C(F)(F)F ZINC000305774650 533339162 /nfs/dbraw/zinc/33/91/62/533339162.db2.gz MQZPQPPTYFFUKC-CABZTGNLSA-N 1 2 315.295 1.740 20 30 DDEDLO COc1cccc(C)c1NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C ZINC000331322338 533424829 /nfs/dbraw/zinc/42/48/29/533424829.db2.gz KXXVGXKDCQSZBN-ZDUSSCGKSA-N 1 2 306.410 1.575 20 30 DDEDLO COc1cccc(C)c1NC(=O)NC[C@H]1CN(C)CC[N@H+]1C ZINC000331322338 533424835 /nfs/dbraw/zinc/42/48/35/533424835.db2.gz KXXVGXKDCQSZBN-ZDUSSCGKSA-N 1 2 306.410 1.575 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@H](O)C[N@H+](C)C[C@@H](C)C#N ZINC000338246834 533561750 /nfs/dbraw/zinc/56/17/50/533561750.db2.gz WNVCSCVBQRSCEQ-SWLSCSKDSA-N 1 2 320.389 1.729 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@H](O)C[N@@H+](C)C[C@@H](C)C#N ZINC000338246834 533561753 /nfs/dbraw/zinc/56/17/53/533561753.db2.gz WNVCSCVBQRSCEQ-SWLSCSKDSA-N 1 2 320.389 1.729 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)c2ccccc2)CC1 ZINC000029840774 406928462 /nfs/dbraw/zinc/92/84/62/406928462.db2.gz GGFCWYOPOYFSBX-HNNXBMFYSA-N 1 2 315.417 1.525 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)c2ccccc2)CC1 ZINC000029840774 406928464 /nfs/dbraw/zinc/92/84/64/406928464.db2.gz GGFCWYOPOYFSBX-HNNXBMFYSA-N 1 2 315.417 1.525 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](C)CC(=O)Nc1cccc(C)c1C ZINC000031302032 406938484 /nfs/dbraw/zinc/93/84/84/406938484.db2.gz ARLPBWZMMZZNRI-CQSZACIVSA-N 1 2 301.390 1.312 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](C)CC(=O)Nc1cccc(C)c1C ZINC000031302032 406938486 /nfs/dbraw/zinc/93/84/86/406938486.db2.gz ARLPBWZMMZZNRI-CQSZACIVSA-N 1 2 301.390 1.312 20 30 DDEDLO C=CCNC(=O)[C@@H](C)S(=O)(=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000078309413 407023897 /nfs/dbraw/zinc/02/38/97/407023897.db2.gz OPPLMNNLYCTEFG-GFCCVEGCSA-N 1 2 321.402 1.248 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1O ZINC000093343311 407197019 /nfs/dbraw/zinc/19/70/19/407197019.db2.gz ONCYCXKUGOHZDQ-DOMZBBRYSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1O ZINC000093343311 407197021 /nfs/dbraw/zinc/19/70/21/407197021.db2.gz ONCYCXKUGOHZDQ-DOMZBBRYSA-N 1 2 308.403 1.035 20 30 DDEDLO CC[N@H+](CCC(=O)NCC(=O)c1ccccc1)C[C@@H](C)C#N ZINC000122898711 407314243 /nfs/dbraw/zinc/31/42/43/407314243.db2.gz JOIPFHQLBCVOGY-AWEZNQCLSA-N 1 2 301.390 1.857 20 30 DDEDLO CC[N@@H+](CCC(=O)NCC(=O)c1ccccc1)C[C@@H](C)C#N ZINC000122898711 407314244 /nfs/dbraw/zinc/31/42/44/407314244.db2.gz JOIPFHQLBCVOGY-AWEZNQCLSA-N 1 2 301.390 1.857 20 30 DDEDLO CCN(CCC#N)C(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000123862278 407340838 /nfs/dbraw/zinc/34/08/38/407340838.db2.gz RYATWSVBERIENZ-UHFFFAOYSA-N 1 2 311.345 1.573 20 30 DDEDLO CC[C@@H](C)[C@H](OC)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000355453754 286811259 /nfs/dbraw/zinc/81/12/59/286811259.db2.gz FQIVPMXAURJBFM-CABCVRRESA-N 1 2 311.426 1.122 20 30 DDEDLO C[C@]1(O)CCC[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000125107681 407379067 /nfs/dbraw/zinc/37/90/67/407379067.db2.gz LMJLBZMPJFIOPF-DOMZBBRYSA-N 1 2 305.403 1.572 20 30 DDEDLO C[C@]1(O)CCC[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000125107681 407379069 /nfs/dbraw/zinc/37/90/69/407379069.db2.gz LMJLBZMPJFIOPF-DOMZBBRYSA-N 1 2 305.403 1.572 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000111332646 407408166 /nfs/dbraw/zinc/40/81/66/407408166.db2.gz HGRGDKCFHYEZLU-UHFFFAOYSA-N 1 2 304.375 1.595 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1sccc1C#N)[NH+]1CCN(C)CC1 ZINC000112914276 407445812 /nfs/dbraw/zinc/44/58/12/407445812.db2.gz KDWHPPJSFBXXOT-CQSZACIVSA-N 1 2 320.462 1.622 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000186090223 407574820 /nfs/dbraw/zinc/57/48/20/407574820.db2.gz PVYMFTWVXWYNGQ-RDJZCZTQSA-N 1 2 315.417 1.689 20 30 DDEDLO COC(=O)C1(O)CC[NH+](CC#Cc2cccc(Cl)c2)CC1 ZINC000271517657 407713945 /nfs/dbraw/zinc/71/39/45/407713945.db2.gz WLRGUARFMXWQQQ-UHFFFAOYSA-N 1 2 307.777 1.691 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@H+](C)Cc2ccco2)CC1 ZINC000180484971 407892556 /nfs/dbraw/zinc/89/25/56/407892556.db2.gz NZUBHQXXKOFRFP-MRXNPFEDSA-N 1 2 318.421 1.404 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@@H+](C)Cc2ccco2)CC1 ZINC000180484971 407892560 /nfs/dbraw/zinc/89/25/60/407892560.db2.gz NZUBHQXXKOFRFP-MRXNPFEDSA-N 1 2 318.421 1.404 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1ccc(F)cc1C#N ZINC000267614834 407849067 /nfs/dbraw/zinc/84/90/67/407849067.db2.gz POUWQRXEQINKQM-GFCCVEGCSA-N 1 2 319.384 1.455 20 30 DDEDLO CC1(C#N)CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)CC1 ZINC000272552327 407979973 /nfs/dbraw/zinc/97/99/73/407979973.db2.gz UBWXUCSBFVMDGW-AWEZNQCLSA-N 1 2 315.421 1.815 20 30 DDEDLO CC1(C#N)CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)CC1 ZINC000272552327 407979981 /nfs/dbraw/zinc/97/99/81/407979981.db2.gz UBWXUCSBFVMDGW-AWEZNQCLSA-N 1 2 315.421 1.815 20 30 DDEDLO Fc1ccc(C#CCN2CCC[C@H]2C[NH+]2CCOCC2)cc1 ZINC000153869285 407919388 /nfs/dbraw/zinc/91/93/88/407919388.db2.gz JHLNYHGAUJPNAA-SFHVURJKSA-N 1 2 302.393 1.974 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C(CC=C)CC=C)CC1 ZINC000268175107 407930736 /nfs/dbraw/zinc/93/07/36/407930736.db2.gz KBUNVHXFIMIIGC-UHFFFAOYSA-N 1 2 317.433 1.039 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C(CC=C)CC=C)CC1 ZINC000268175107 407930740 /nfs/dbraw/zinc/93/07/40/407930740.db2.gz KBUNVHXFIMIIGC-UHFFFAOYSA-N 1 2 317.433 1.039 20 30 DDEDLO CN(Cc1[nH+]ccn1C)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000272462873 407931115 /nfs/dbraw/zinc/93/11/15/407931115.db2.gz KNESDRKMQFLSQY-MRXNPFEDSA-N 1 2 323.400 1.919 20 30 DDEDLO CC[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C[C@H](O)C(F)(F)F ZINC000189476688 408029471 /nfs/dbraw/zinc/02/94/71/408029471.db2.gz OADORIVNRWAGBP-JQWIXIFHSA-N 1 2 307.316 1.040 20 30 DDEDLO CC[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C[C@H](O)C(F)(F)F ZINC000189476688 408029476 /nfs/dbraw/zinc/02/94/76/408029476.db2.gz OADORIVNRWAGBP-JQWIXIFHSA-N 1 2 307.316 1.040 20 30 DDEDLO CCOCC[NH+]1CCN(c2cc(C(C)=O)ccc2C#N)CC1 ZINC000273187088 408098090 /nfs/dbraw/zinc/09/80/90/408098090.db2.gz IDSYBAXLFPEZRF-UHFFFAOYSA-N 1 2 301.390 1.919 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)[C@@H]2CCCCNC2=O)c(C#N)c1C ZINC000154947829 408108896 /nfs/dbraw/zinc/10/88/96/408108896.db2.gz BSTCWVWCDCVUQU-CYBMUJFWSA-N 1 2 318.377 1.307 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)[C@@H]2CCCCNC2=O)c(C#N)c1C ZINC000154947829 408108903 /nfs/dbraw/zinc/10/89/03/408108903.db2.gz BSTCWVWCDCVUQU-CYBMUJFWSA-N 1 2 318.377 1.307 20 30 DDEDLO Cc1[nH+]c2cc(C)ccn2c1CN1CCN(C(=O)CC#N)CC1 ZINC000119838190 408111745 /nfs/dbraw/zinc/11/17/45/408111745.db2.gz KBJNPOOEBQJZGM-UHFFFAOYSA-N 1 2 311.389 1.509 20 30 DDEDLO Cc1nc2cc(C)ccn2c1C[NH+]1CCN(C(=O)CC#N)CC1 ZINC000119838190 408111747 /nfs/dbraw/zinc/11/17/47/408111747.db2.gz KBJNPOOEBQJZGM-UHFFFAOYSA-N 1 2 311.389 1.509 20 30 DDEDLO C#CCNC(=O)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[N@H+](C)CCO1 ZINC000120108848 408118515 /nfs/dbraw/zinc/11/85/15/408118515.db2.gz YATSEWAOMPHCEH-LSDHHAIUSA-N 1 2 321.808 1.644 20 30 DDEDLO C#CCNC(=O)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[N@@H+](C)CCO1 ZINC000120108848 408118516 /nfs/dbraw/zinc/11/85/16/408118516.db2.gz YATSEWAOMPHCEH-LSDHHAIUSA-N 1 2 321.808 1.644 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[NH+]1CCN(c2cccs2)CC1 ZINC000121241403 408169768 /nfs/dbraw/zinc/16/97/68/408169768.db2.gz VAFNDKAHXUUIGF-MRXNPFEDSA-N 1 2 320.462 1.925 20 30 DDEDLO Cn1cc[nH+]c1C[C@H]1CCCN(S(=O)(=O)CCCCC#N)C1 ZINC000122076681 408249833 /nfs/dbraw/zinc/24/98/33/408249833.db2.gz BODIVHJRRWAAEF-CQSZACIVSA-N 1 2 324.450 1.698 20 30 DDEDLO CC#CC[N@H+]1CCCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000122165863 408261769 /nfs/dbraw/zinc/26/17/69/408261769.db2.gz MNABIPVXFLYSPA-UHFFFAOYSA-N 1 2 322.430 1.415 20 30 DDEDLO CC#CC[N@@H+]1CCCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000122165863 408261777 /nfs/dbraw/zinc/26/17/77/408261777.db2.gz MNABIPVXFLYSPA-UHFFFAOYSA-N 1 2 322.430 1.415 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@@H]1CCCO1 ZINC000176245562 408322213 /nfs/dbraw/zinc/32/22/13/408322213.db2.gz MTMPIGUVPPAPMQ-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@@H]1CCCO1 ZINC000176245562 408322217 /nfs/dbraw/zinc/32/22/17/408322217.db2.gz MTMPIGUVPPAPMQ-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO Cc1cscc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000176300576 408334933 /nfs/dbraw/zinc/33/49/33/408334933.db2.gz WOBJHJZCCDPFGT-UHFFFAOYSA-N 1 2 307.419 1.745 20 30 DDEDLO CS(=O)(=O)[C@H]1CCC[N@H+](CCOc2ccccc2C#N)C1 ZINC000274242932 408337335 /nfs/dbraw/zinc/33/73/35/408337335.db2.gz YBDFLYMYTVAUIS-AWEZNQCLSA-N 1 2 308.403 1.446 20 30 DDEDLO CS(=O)(=O)[C@H]1CCC[N@@H+](CCOc2ccccc2C#N)C1 ZINC000274242932 408337339 /nfs/dbraw/zinc/33/73/39/408337339.db2.gz YBDFLYMYTVAUIS-AWEZNQCLSA-N 1 2 308.403 1.446 20 30 DDEDLO C#CC[NH+]1CCN(c2ccc3nnc(-c4ccsc4)n3n2)CC1 ZINC000274736370 408513746 /nfs/dbraw/zinc/51/37/46/408513746.db2.gz IZCLRABYQBTIRU-UHFFFAOYSA-N 1 2 324.413 1.608 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H](C(F)(F)F)C1 ZINC000176882661 408464813 /nfs/dbraw/zinc/46/48/13/408464813.db2.gz VXJLLGWXGWTQDK-GFCCVEGCSA-N 1 2 316.327 1.917 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H](C(F)(F)F)C1 ZINC000176882661 408464817 /nfs/dbraw/zinc/46/48/17/408464817.db2.gz VXJLLGWXGWTQDK-GFCCVEGCSA-N 1 2 316.327 1.917 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1cc(C)nn1-c1ccccc1F ZINC000192223857 408559798 /nfs/dbraw/zinc/55/97/98/408559798.db2.gz ISWOOHCVRAFRIA-UHFFFAOYSA-N 1 2 300.337 1.823 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1cc(C)nn1-c1ccccc1F ZINC000192223857 408559803 /nfs/dbraw/zinc/55/98/03/408559803.db2.gz ISWOOHCVRAFRIA-UHFFFAOYSA-N 1 2 300.337 1.823 20 30 DDEDLO Cn1cc(C[N@H+](C)[C@H]2CCCC[C@H]2S(C)(=O)=O)cc1C#N ZINC000248805212 408638587 /nfs/dbraw/zinc/63/85/87/408638587.db2.gz BCKRQWGAEPMDNC-LSDHHAIUSA-N 1 2 309.435 1.684 20 30 DDEDLO Cn1cc(C[N@@H+](C)[C@H]2CCCC[C@H]2S(C)(=O)=O)cc1C#N ZINC000248805212 408638594 /nfs/dbraw/zinc/63/85/94/408638594.db2.gz BCKRQWGAEPMDNC-LSDHHAIUSA-N 1 2 309.435 1.684 20 30 DDEDLO CC[C@](C)([NH2+]C[C@@H](O)COc1ccc(C#N)cc1)C(=O)OC ZINC000265407667 408639893 /nfs/dbraw/zinc/63/98/93/408639893.db2.gz CRPPCNMLMAQDRW-CJNGLKHVSA-N 1 2 306.362 1.229 20 30 DDEDLO C#CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N(CC)CC)CC1 ZINC000184950704 408724810 /nfs/dbraw/zinc/72/48/10/408724810.db2.gz QUIYNGZIFMSKRK-UHFFFAOYSA-N 1 2 321.465 1.439 20 30 DDEDLO C#CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N(CC)CC)CC1 ZINC000184950704 408724813 /nfs/dbraw/zinc/72/48/13/408724813.db2.gz QUIYNGZIFMSKRK-UHFFFAOYSA-N 1 2 321.465 1.439 20 30 DDEDLO COC[C@@H]1C[N@@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C[C@@H](C)O1 ZINC000251678108 408783693 /nfs/dbraw/zinc/78/36/93/408783693.db2.gz IVIPSUZRDRMUHI-IOASZLSFSA-N 1 2 317.389 1.621 20 30 DDEDLO COC[C@@H]1C[N@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C[C@@H](C)O1 ZINC000251678108 408783698 /nfs/dbraw/zinc/78/36/98/408783698.db2.gz IVIPSUZRDRMUHI-IOASZLSFSA-N 1 2 317.389 1.621 20 30 DDEDLO COCC[N@H+](CCS(=O)(=O)C(C)C)Cc1ccc(C#N)cc1 ZINC000178216805 408788158 /nfs/dbraw/zinc/78/81/58/408788158.db2.gz MMRLKPMXWHWSPE-UHFFFAOYSA-N 1 2 324.446 1.830 20 30 DDEDLO COCC[N@@H+](CCS(=O)(=O)C(C)C)Cc1ccc(C#N)cc1 ZINC000178216805 408788161 /nfs/dbraw/zinc/78/81/61/408788161.db2.gz MMRLKPMXWHWSPE-UHFFFAOYSA-N 1 2 324.446 1.830 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](c1ccc(C)cc1)[C@@H](C)S(C)(=O)=O ZINC000280909041 408857410 /nfs/dbraw/zinc/85/74/10/408857410.db2.gz RYFZVUAUSCIHHD-CZUORRHYSA-N 1 2 324.446 1.361 20 30 DDEDLO CC(C)(C#N)c1ccc(C(=O)NC[C@@H]2C[NH+]3CCN2CC3)cc1 ZINC000281133850 408872877 /nfs/dbraw/zinc/87/28/77/408872877.db2.gz IZTNDUAMBOEIHQ-MRXNPFEDSA-N 1 2 312.417 1.217 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)cc1O ZINC000188575378 163059688 /nfs/dbraw/zinc/05/96/88/163059688.db2.gz KJGHPGDSBROWRU-OAHLLOKOSA-N 1 2 315.373 1.201 20 30 DDEDLO C=CCNC(=O)c1ccc(NCC2([NH+]3CCOCC3)CC2)nc1 ZINC000277421454 408938164 /nfs/dbraw/zinc/93/81/64/408938164.db2.gz LQAHYKODUOIEPP-UHFFFAOYSA-N 1 2 316.405 1.274 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCNC(=O)C[C@@H]2c2ccccc2)C1=O ZINC000282005713 408969928 /nfs/dbraw/zinc/96/99/28/408969928.db2.gz NZTWPTKDRVMHDV-JKSUJKDBSA-N 1 2 313.401 1.337 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCNC(=O)C[C@@H]2c2ccccc2)C1=O ZINC000282005713 408969931 /nfs/dbraw/zinc/96/99/31/408969931.db2.gz NZTWPTKDRVMHDV-JKSUJKDBSA-N 1 2 313.401 1.337 20 30 DDEDLO C#CCC(CC#C)C(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000277650857 408985008 /nfs/dbraw/zinc/98/50/08/408985008.db2.gz KVRBZCSRZFKLNK-INIZCTEOSA-N 1 2 309.413 1.950 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3ccnc(C)n3)CC2)ccc1C#N ZINC000282108316 408992318 /nfs/dbraw/zinc/99/23/18/408992318.db2.gz AAGRIWMOOBQBMY-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO C#CCN(C)C(=O)NC[C@@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000292575178 409036667 /nfs/dbraw/zinc/03/66/67/409036667.db2.gz VBFKRFBUEMJQRP-KRWDZBQOSA-N 1 2 315.417 1.643 20 30 DDEDLO C[C@@H](CC#N)N(C)S(=O)(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000282356713 409040155 /nfs/dbraw/zinc/04/01/55/409040155.db2.gz SWFMABNXEDEZTP-RYUDHWBXSA-N 1 2 311.411 1.415 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CC[C@H](CS(C)(=O)=O)C1 ZINC000292756100 409041030 /nfs/dbraw/zinc/04/10/30/409041030.db2.gz JAZPCIRADOLIIP-AWEZNQCLSA-N 1 2 307.415 1.565 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CC[C@H](CS(C)(=O)=O)C1 ZINC000292756100 409041031 /nfs/dbraw/zinc/04/10/31/409041031.db2.gz JAZPCIRADOLIIP-AWEZNQCLSA-N 1 2 307.415 1.565 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(F)cccc2F)CC1 ZINC000293011409 409047687 /nfs/dbraw/zinc/04/76/87/409047687.db2.gz HNJVDLNBQOSYPO-UHFFFAOYSA-N 1 2 310.344 1.925 20 30 DDEDLO C[C@@H]1CN(CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H](C)[N@H+]1C ZINC000293520319 409130568 /nfs/dbraw/zinc/13/05/68/409130568.db2.gz WADHOYKDHHBAJK-OKILXGFUSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1CN(CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H](C)[N@@H+]1C ZINC000293520319 409130569 /nfs/dbraw/zinc/13/05/69/409130569.db2.gz WADHOYKDHHBAJK-OKILXGFUSA-N 1 2 321.446 1.356 20 30 DDEDLO CN1CC[C@@H]([N@H+](C)Cc2ccc(Br)cc2C#N)C1=O ZINC000287660367 409072330 /nfs/dbraw/zinc/07/23/30/409072330.db2.gz HCECEOIVIUTDSJ-CYBMUJFWSA-N 1 2 322.206 1.983 20 30 DDEDLO CN1CC[C@@H]([N@@H+](C)Cc2ccc(Br)cc2C#N)C1=O ZINC000287660367 409072331 /nfs/dbraw/zinc/07/23/31/409072331.db2.gz HCECEOIVIUTDSJ-CYBMUJFWSA-N 1 2 322.206 1.983 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NCc1c[nH+]ccc1OC ZINC000293494611 409125141 /nfs/dbraw/zinc/12/51/41/409125141.db2.gz YMPNRFCKUCIXSP-DOMZBBRYSA-N 1 2 305.378 1.871 20 30 DDEDLO O=c1nc([C@@H]2CCC[N@@H+](CC#Cc3ccc(F)cc3)C2)[nH][nH]1 ZINC000289373977 409256924 /nfs/dbraw/zinc/25/69/24/409256924.db2.gz IODLDKUMIIXOTK-CYBMUJFWSA-N 1 2 300.337 1.468 20 30 DDEDLO O=c1nc([C@@H]2CCC[N@H+](CC#Cc3ccc(F)cc3)C2)[nH][nH]1 ZINC000289373977 409256925 /nfs/dbraw/zinc/25/69/25/409256925.db2.gz IODLDKUMIIXOTK-CYBMUJFWSA-N 1 2 300.337 1.468 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCCc2occc2C1 ZINC000288968048 409202247 /nfs/dbraw/zinc/20/22/47/409202247.db2.gz YOMYQRFCRYPUKM-UHFFFAOYSA-N 1 2 300.362 1.684 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCCc2occc2C1 ZINC000288968048 409202249 /nfs/dbraw/zinc/20/22/49/409202249.db2.gz YOMYQRFCRYPUKM-UHFFFAOYSA-N 1 2 300.362 1.684 20 30 DDEDLO C#CCC[NH+]1CCN(Cc2cccc(S(C)(=O)=O)c2)CC1 ZINC000294888679 409304937 /nfs/dbraw/zinc/30/49/37/409304937.db2.gz FXHXTHREMXCSSY-UHFFFAOYSA-N 1 2 306.431 1.231 20 30 DDEDLO CO/N=C/C(=O)NC[C@@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000290478120 409415467 /nfs/dbraw/zinc/41/54/67/409415467.db2.gz VEKHSZYLIXVRDT-BRFSQIRFSA-N 1 2 305.378 1.117 20 30 DDEDLO C#Cc1ccc(CNC(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)cc1 ZINC000296341564 409509120 /nfs/dbraw/zinc/50/91/20/409509120.db2.gz ICRMJWVKLOQEKC-CABCVRRESA-N 1 2 315.417 1.576 20 30 DDEDLO COC(=O)C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)C1CCCC1 ZINC000316427833 409854218 /nfs/dbraw/zinc/85/42/18/409854218.db2.gz ATABRBDCFQUYCJ-MRXNPFEDSA-N 1 2 307.394 1.212 20 30 DDEDLO COC(=O)C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C1CCCC1 ZINC000316427833 409854226 /nfs/dbraw/zinc/85/42/26/409854226.db2.gz ATABRBDCFQUYCJ-MRXNPFEDSA-N 1 2 307.394 1.212 20 30 DDEDLO C[C@H]([NH2+]Cc1ccc(C#N)cn1)c1nnnn1-c1ccccc1 ZINC000579872675 422849696 /nfs/dbraw/zinc/84/96/96/422849696.db2.gz QTKZXFDYYXHPLG-LBPRGKRZSA-N 1 2 305.345 1.780 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[N@@H+](Cc2ccccc2)C[C@H]1C ZINC000297723455 409975423 /nfs/dbraw/zinc/97/54/23/409975423.db2.gz NJNURQZEMPINEP-OAHLLOKOSA-N 1 2 315.417 1.364 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[N@H+](Cc2ccccc2)C[C@H]1C ZINC000297723455 409975427 /nfs/dbraw/zinc/97/54/27/409975427.db2.gz NJNURQZEMPINEP-OAHLLOKOSA-N 1 2 315.417 1.364 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N[C@@H]2CCCC23CCOCC3)C1 ZINC000328834827 409989713 /nfs/dbraw/zinc/98/97/13/409989713.db2.gz SFEBZIJMRGESLK-ZIAGYGMSSA-N 1 2 311.426 1.170 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N[C@@H]2CCCC23CCOCC3)C1 ZINC000328834827 409989723 /nfs/dbraw/zinc/98/97/23/409989723.db2.gz SFEBZIJMRGESLK-ZIAGYGMSSA-N 1 2 311.426 1.170 20 30 DDEDLO Cn1cnc(C[NH2+]Cc2cn(CCC#N)nc2-c2ccccc2)n1 ZINC000350766411 409991168 /nfs/dbraw/zinc/99/11/68/409991168.db2.gz BJZSNSDGMHGNIL-UHFFFAOYSA-N 1 2 321.388 1.882 20 30 DDEDLO CN1CCOC[C@H]1C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000328936666 410015006 /nfs/dbraw/zinc/01/50/06/410015006.db2.gz GADYABMUOJDITR-AWEZNQCLSA-N 1 2 304.394 1.469 20 30 DDEDLO CCC(=O)N[C@@H]1CCCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000329008878 410047754 /nfs/dbraw/zinc/04/77/54/410047754.db2.gz YYYRCNKUEFZYKV-CHWSQXEVSA-N 1 2 304.394 1.803 20 30 DDEDLO COCc1cc(NC(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)ncn1 ZINC000329102993 410104331 /nfs/dbraw/zinc/10/43/31/410104331.db2.gz BXUUJDSWNILFNW-VXGBXAGGSA-N 1 2 323.397 1.058 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2ccc(/C=N/OC)cc2)CC1 ZINC000298163367 410123972 /nfs/dbraw/zinc/12/39/72/410123972.db2.gz NVJARNQOWLKGPO-GHRIWEEISA-N 1 2 305.378 1.071 20 30 DDEDLO O=C(NC1CCN(c2cccc[nH+]2)CC1)c1cnc2n[nH]nc2c1 ZINC000329162613 410137973 /nfs/dbraw/zinc/13/79/73/410137973.db2.gz ZSHPHOZMRLREBN-UHFFFAOYSA-N 1 2 323.360 1.722 20 30 DDEDLO O=C(NCc1ccn2cc[nH+]c2c1)N1CCOCC2(CC2)C1 ZINC000329327633 410236852 /nfs/dbraw/zinc/23/68/52/410236852.db2.gz JYXZTPPCQQSWRG-UHFFFAOYSA-N 1 2 300.362 1.861 20 30 DDEDLO C=CCNC(=O)[C@H]1CCC(=O)N(C2CC2)[C@H]1c1c[nH+]cn1C ZINC000343210938 410238442 /nfs/dbraw/zinc/23/84/42/410238442.db2.gz GLHXAOQOFLRUPF-SWLSCSKDSA-N 1 2 302.378 1.164 20 30 DDEDLO Cc1cc(C)c(CNC(=O)N2CCn3c[nH+]cc3C2)c(=O)[nH]1 ZINC000329504443 410332722 /nfs/dbraw/zinc/33/27/22/410332722.db2.gz ABNVUVPHICZMLI-UHFFFAOYSA-N 1 2 301.350 1.530 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000355084569 410303829 /nfs/dbraw/zinc/30/38/29/410303829.db2.gz VREALJSQFADZOX-OAHLLOKOSA-N 1 2 302.353 1.733 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000355084569 410303834 /nfs/dbraw/zinc/30/38/34/410303834.db2.gz VREALJSQFADZOX-OAHLLOKOSA-N 1 2 302.353 1.733 20 30 DDEDLO CC(C)(CNC(=O)Nc1cccc2c1OCO2)[NH+]1CCOCC1 ZINC000329475483 410318585 /nfs/dbraw/zinc/31/85/85/410318585.db2.gz TVELGVVQSVNQPE-UHFFFAOYSA-N 1 2 321.377 1.852 20 30 DDEDLO C#CC[NH+](CC#C)[C@H](C)C(=O)NCc1ccc(C(=O)OC)cc1 ZINC000298818373 410413461 /nfs/dbraw/zinc/41/34/61/410413461.db2.gz AUURJHKKSKBCBH-CQSZACIVSA-N 1 2 312.369 1.046 20 30 DDEDLO CCOC(=O)CC[N@H+](C)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000343423927 410442024 /nfs/dbraw/zinc/44/20/24/410442024.db2.gz IEESPUOSRCHTHW-HNNXBMFYSA-N 1 2 304.346 1.657 20 30 DDEDLO CCOC(=O)CC[N@@H+](C)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000343423927 410442030 /nfs/dbraw/zinc/44/20/30/410442030.db2.gz IEESPUOSRCHTHW-HNNXBMFYSA-N 1 2 304.346 1.657 20 30 DDEDLO C=CCSCCNC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000358324558 410447204 /nfs/dbraw/zinc/44/72/04/410447204.db2.gz BYYWGITXFKYLCM-UHFFFAOYSA-N 1 2 323.466 1.167 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)CCO2 ZINC000329937155 410489952 /nfs/dbraw/zinc/48/99/52/410489952.db2.gz LIJVJUDWQRUSIC-MAZHCROVSA-N 1 2 307.394 1.515 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)CCO2 ZINC000329937155 410489957 /nfs/dbraw/zinc/48/99/57/410489957.db2.gz LIJVJUDWQRUSIC-MAZHCROVSA-N 1 2 307.394 1.515 20 30 DDEDLO C=CCN(CCOC)C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000358693626 410525095 /nfs/dbraw/zinc/52/50/95/410525095.db2.gz ZXUBNVYJHJHWIH-UHFFFAOYSA-N 1 2 306.410 1.606 20 30 DDEDLO Cc1cc(NC[C@@H]2CCC3(CCOCC3)[C@H]2O)c(C#N)c[nH+]1 ZINC000333462812 410561779 /nfs/dbraw/zinc/56/17/79/410561779.db2.gz LZRCTKWKVDWEDI-BBRMVZONSA-N 1 2 301.390 1.663 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)c1 ZINC000343751602 410668700 /nfs/dbraw/zinc/66/87/00/410668700.db2.gz GFYXCXDBVIJICN-CQSZACIVSA-N 1 2 323.400 1.987 20 30 DDEDLO CCn1nccc1C[N@H+](C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000352348534 410638015 /nfs/dbraw/zinc/63/80/15/410638015.db2.gz ATXYBEKCXYPREM-XJKSGUPXSA-N 1 2 305.426 1.778 20 30 DDEDLO CCn1nccc1C[N@@H+](C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000352348534 410638019 /nfs/dbraw/zinc/63/80/19/410638019.db2.gz ATXYBEKCXYPREM-XJKSGUPXSA-N 1 2 305.426 1.778 20 30 DDEDLO Cc1cnn([C@H]2CC[N@@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C2)c1 ZINC000352417375 410654285 /nfs/dbraw/zinc/65/42/85/410654285.db2.gz ZMKRJCFFVBTFMP-HOTGVXAUSA-N 1 2 309.373 1.537 20 30 DDEDLO Cc1cnn([C@H]2CC[N@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C2)c1 ZINC000352417375 410654288 /nfs/dbraw/zinc/65/42/88/410654288.db2.gz ZMKRJCFFVBTFMP-HOTGVXAUSA-N 1 2 309.373 1.537 20 30 DDEDLO C=C(C)C[N@@H+](Cc1cnn(C(C)C)c1)Cc1nc(=O)n(C)[nH]1 ZINC000352901340 410687046 /nfs/dbraw/zinc/68/70/46/410687046.db2.gz KAZRPWFDLUPPBG-UHFFFAOYSA-N 1 2 304.398 1.464 20 30 DDEDLO C=C(C)C[N@H+](Cc1cnn(C(C)C)c1)Cc1nc(=O)n(C)[nH]1 ZINC000352901340 410687052 /nfs/dbraw/zinc/68/70/52/410687052.db2.gz KAZRPWFDLUPPBG-UHFFFAOYSA-N 1 2 304.398 1.464 20 30 DDEDLO Cc1[nH+]c[nH]c1CNC(=O)c1ccc(-n2ncc(C#N)c2N)cc1 ZINC000353105035 410784683 /nfs/dbraw/zinc/78/46/83/410784683.db2.gz GTIXKZZMMBWLPI-UHFFFAOYSA-N 1 2 321.344 1.288 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)c(Cl)c1 ZINC000353120544 410797165 /nfs/dbraw/zinc/79/71/65/410797165.db2.gz AYUQIPPWGLYRPP-GXTWGEPZSA-N 1 2 310.806 1.831 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)c(Cl)c1 ZINC000353120544 410797167 /nfs/dbraw/zinc/79/71/67/410797167.db2.gz AYUQIPPWGLYRPP-GXTWGEPZSA-N 1 2 310.806 1.831 20 30 DDEDLO N#Cc1c(N)n[nH]c1CCCNc1[nH+]ccc2ccc(F)cc21 ZINC000340261660 410801798 /nfs/dbraw/zinc/80/17/98/410801798.db2.gz VJHULQHXTPHKBH-UHFFFAOYSA-N 1 2 310.336 2.017 20 30 DDEDLO N#Cc1c(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)n[nH]c1C1CC1 ZINC000359720149 410836065 /nfs/dbraw/zinc/83/60/65/410836065.db2.gz ALOWUCQYUKUTSH-UHFFFAOYSA-N 1 2 319.328 1.992 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)NCC#Cc1ccccc1)[NH+]1CCOCC1 ZINC000356164416 410873492 /nfs/dbraw/zinc/87/34/92/410873492.db2.gz KGKSQWHTBKGSMO-JKSUJKDBSA-N 1 2 315.417 1.447 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)N(C)Cc1ccc(C#N)cc1 ZINC000356343637 410974944 /nfs/dbraw/zinc/97/49/44/410974944.db2.gz AWNVJMJXGAHZGS-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)N(C)Cc1ccc(C#N)cc1 ZINC000356343637 410974946 /nfs/dbraw/zinc/97/49/46/410974946.db2.gz AWNVJMJXGAHZGS-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO COc1cc(C#N)ccc1OCC[NH+]1C[C@H](C)S(=O)[C@@H](C)C1 ZINC000331169667 410994429 /nfs/dbraw/zinc/99/44/29/410994429.db2.gz NQJUXAKTZASIMU-STQMWFEESA-N 1 2 322.430 1.787 20 30 DDEDLO CCOC(=O)[C@@]1(COC)CCC[N@H+](Cc2nc(C#N)cs2)C1 ZINC000356291513 410947490 /nfs/dbraw/zinc/94/74/90/410947490.db2.gz BUIKXASNHQTIDR-HNNXBMFYSA-N 1 2 323.418 1.806 20 30 DDEDLO CCOC(=O)[C@@]1(COC)CCC[N@@H+](Cc2nc(C#N)cs2)C1 ZINC000356291513 410947494 /nfs/dbraw/zinc/94/74/94/410947494.db2.gz BUIKXASNHQTIDR-HNNXBMFYSA-N 1 2 323.418 1.806 20 30 DDEDLO COc1cc(C[N@@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)ccc1C#N ZINC000331267448 411050811 /nfs/dbraw/zinc/05/08/11/411050811.db2.gz IOLIKJVZEFFQDS-LBPRGKRZSA-N 1 2 323.418 1.033 20 30 DDEDLO COc1cc(C[N@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)ccc1C#N ZINC000331267448 411050816 /nfs/dbraw/zinc/05/08/16/411050816.db2.gz IOLIKJVZEFFQDS-LBPRGKRZSA-N 1 2 323.418 1.033 20 30 DDEDLO CC(C)COC[C@@H](O)C[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000341800299 411056668 /nfs/dbraw/zinc/05/66/68/411056668.db2.gz QSSUENTYAQQYEW-ROUUACIJSA-N 1 2 318.417 1.965 20 30 DDEDLO CC(C)COC[C@@H](O)C[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000341800299 411056674 /nfs/dbraw/zinc/05/66/74/411056674.db2.gz QSSUENTYAQQYEW-ROUUACIJSA-N 1 2 318.417 1.965 20 30 DDEDLO COCCN(C)c1ccc(C[NH+]2CCC(F)(C#N)CC2)cn1 ZINC000348712493 411061372 /nfs/dbraw/zinc/06/13/72/411061372.db2.gz IIFICUFSGJATAW-UHFFFAOYSA-N 1 2 306.385 1.992 20 30 DDEDLO C[C@@H](C#N)C(=O)N(Cc1ccccc1)[C@@H](C)C[NH+]1CCOCC1 ZINC000360135516 411067590 /nfs/dbraw/zinc/06/75/90/411067590.db2.gz JQRRNSVSNYKMQS-HOTGVXAUSA-N 1 2 315.417 1.896 20 30 DDEDLO CS(=O)(=O)CC[C@@H]1CCCC[N@@H+]1Cc1ccc(C#N)cn1 ZINC000580461775 422935037 /nfs/dbraw/zinc/93/50/37/422935037.db2.gz FYADLKBUYFCMJO-HNNXBMFYSA-N 1 2 307.419 1.742 20 30 DDEDLO CS(=O)(=O)CC[C@@H]1CCCC[N@H+]1Cc1ccc(C#N)cn1 ZINC000580461775 422935039 /nfs/dbraw/zinc/93/50/39/422935039.db2.gz FYADLKBUYFCMJO-HNNXBMFYSA-N 1 2 307.419 1.742 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)c1C#N ZINC000131681285 196191391 /nfs/dbraw/zinc/19/13/91/196191391.db2.gz VIXJZAQRVYRJRU-UHFFFAOYSA-N 1 2 319.430 1.583 20 30 DDEDLO C#CCNC(=O)c1ccc(N2CC[C@@H](C)[C@@H](n3cc[nH+]c3)C2)nc1 ZINC000134106218 196361538 /nfs/dbraw/zinc/36/15/38/196361538.db2.gz IFNFNFYUCGTGJV-ZBFHGGJFSA-N 1 2 323.400 1.729 20 30 DDEDLO C=CC[C@H](CO)NC(=O)N[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000373375954 418423999 /nfs/dbraw/zinc/42/39/99/418423999.db2.gz GRTDYZNOVBECCN-UONOGXRCSA-N 1 2 304.394 1.287 20 30 DDEDLO N#C[C@@H]1N(C(=O)CCn2cc[nH+]c2)CCC[C@]12CCCCO2 ZINC000373370665 418424399 /nfs/dbraw/zinc/42/43/99/418424399.db2.gz VFXSYGQJHFTBBL-GOEBONIOSA-N 1 2 302.378 1.727 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2C[C@@H](O)C3(CC3)C2)c(C#N)c1C ZINC000373511839 418433467 /nfs/dbraw/zinc/43/34/67/418433467.db2.gz CTDVVVIMRSNYSG-GFCCVEGCSA-N 1 2 305.403 1.632 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2C[C@@H](O)C3(CC3)C2)c(C#N)c1C ZINC000373511839 418433469 /nfs/dbraw/zinc/43/34/69/418433469.db2.gz CTDVVVIMRSNYSG-GFCCVEGCSA-N 1 2 305.403 1.632 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CC(n3ccnn3)C2)cc1OC ZINC000374840633 418568686 /nfs/dbraw/zinc/56/86/86/418568686.db2.gz PDPPCRPJDIYQHV-UHFFFAOYSA-N 1 2 300.362 1.908 20 30 DDEDLO C=CCOC[C@@H]([NH2+]C1CCN(CC(F)F)CC1)C(=O)OC ZINC000361108348 418586087 /nfs/dbraw/zinc/58/60/87/418586087.db2.gz KDEXZMODWHJSQX-GFCCVEGCSA-N 1 2 306.353 1.050 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)CC1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000249135725 222238666 /nfs/dbraw/zinc/23/86/66/222238666.db2.gz VJOGYRIJAXFZPL-GJZGRUSLSA-N 1 2 301.452 1.603 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)CC1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000249135725 222238667 /nfs/dbraw/zinc/23/86/67/222238667.db2.gz VJOGYRIJAXFZPL-GJZGRUSLSA-N 1 2 301.452 1.603 20 30 DDEDLO [O-]C(NC[C@@H]1CCCn2cc[nH+]c21)=[NH+][C@H]1CCc2c[nH]nc2C1 ZINC000328597733 418605475 /nfs/dbraw/zinc/60/54/75/418605475.db2.gz ALMQZYGOFCRXAJ-STQMWFEESA-N 1 2 314.393 1.545 20 30 DDEDLO [O-]C(N[C@H]1CCc2c[nH]nc2C1)=[NH+]C[C@@H]1CCCn2cc[nH+]c21 ZINC000328597733 418605477 /nfs/dbraw/zinc/60/54/77/418605477.db2.gz ALMQZYGOFCRXAJ-STQMWFEESA-N 1 2 314.393 1.545 20 30 DDEDLO Cc1nnc(NC(=O)N[C@@H]2CCO[C@@H](c3c[nH+]cn3C)C2)s1 ZINC000330068414 418610674 /nfs/dbraw/zinc/61/06/74/418610674.db2.gz VIWNROZEUPUNTP-MWLCHTKSSA-N 1 2 322.394 1.826 20 30 DDEDLO N#CC1CCN(C(=O)c2ccccc2C[NH+]2CCOCC2)CC1 ZINC000266838418 222363589 /nfs/dbraw/zinc/36/35/89/222363589.db2.gz LYTCCPCKLSQMGZ-UHFFFAOYSA-N 1 2 313.401 1.895 20 30 DDEDLO COC(=O)c1cccc(OCC(N)=[NH+]OCCCSC)c1 ZINC000267010054 222366220 /nfs/dbraw/zinc/36/62/20/222366220.db2.gz LZAGMCROXZEDLH-UHFFFAOYSA-N 1 2 312.391 1.894 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCC[C@](F)(CO)C1 ZINC000367801544 418636962 /nfs/dbraw/zinc/63/69/62/418636962.db2.gz BBKBIOZFKBVGKV-MRXNPFEDSA-N 1 2 308.353 1.742 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCC[C@](F)(CO)C1 ZINC000367801544 418636964 /nfs/dbraw/zinc/63/69/64/418636964.db2.gz BBKBIOZFKBVGKV-MRXNPFEDSA-N 1 2 308.353 1.742 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC000377883453 418716914 /nfs/dbraw/zinc/71/69/14/418716914.db2.gz IZJHJQQUOGTRCO-CQSZACIVSA-N 1 2 322.453 1.030 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC000377883453 418716916 /nfs/dbraw/zinc/71/69/16/418716916.db2.gz IZJHJQQUOGTRCO-CQSZACIVSA-N 1 2 322.453 1.030 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000368430265 418719857 /nfs/dbraw/zinc/71/98/57/418719857.db2.gz UYRCXDRDYCMBLT-XJKSGUPXSA-N 1 2 301.394 1.365 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CC[NH+](C2(C(N)=O)CCCCC2)CC1 ZINC000368511965 418720324 /nfs/dbraw/zinc/72/03/24/418720324.db2.gz OOHNYLFGRJCYFK-MRXNPFEDSA-N 1 2 320.437 1.259 20 30 DDEDLO C#CCCCS(=O)(=O)NCc1cccc(-c2[nH+]ccn2C)c1 ZINC000367902185 418653910 /nfs/dbraw/zinc/65/39/10/418653910.db2.gz PNMFGIXZXBPNBV-UHFFFAOYSA-N 1 2 317.414 1.920 20 30 DDEDLO C=CC[N@H+](CCC(=O)Nc1cccnc1)[C@@H]1CCS(=O)(=O)C1 ZINC000361868485 418720441 /nfs/dbraw/zinc/72/04/41/418720441.db2.gz NHKUTOSKQAEMKX-CQSZACIVSA-N 1 2 323.418 1.085 20 30 DDEDLO C=CC[N@@H+](CCC(=O)Nc1cccnc1)[C@@H]1CCS(=O)(=O)C1 ZINC000361868485 418720443 /nfs/dbraw/zinc/72/04/43/418720443.db2.gz NHKUTOSKQAEMKX-CQSZACIVSA-N 1 2 323.418 1.085 20 30 DDEDLO Cn1cc(C[N@H+](C)Cc2nc(-c3cccnc3)no2)cc1C#N ZINC000361548341 418674683 /nfs/dbraw/zinc/67/46/83/418674683.db2.gz JHVUNTGJNYZNSB-UHFFFAOYSA-N 1 2 308.345 1.974 20 30 DDEDLO Cn1cc(C[N@@H+](C)Cc2nc(-c3cccnc3)no2)cc1C#N ZINC000361548341 418674686 /nfs/dbraw/zinc/67/46/86/418674686.db2.gz JHVUNTGJNYZNSB-UHFFFAOYSA-N 1 2 308.345 1.974 20 30 DDEDLO Cc1ccc(C(=O)N2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)cc1C#N ZINC000375910888 418690308 /nfs/dbraw/zinc/69/03/08/418690308.db2.gz BFONWXHVKRPAPC-WMLDXEAASA-N 1 2 313.401 1.802 20 30 DDEDLO C=CCCOCCNC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000376302108 418695289 /nfs/dbraw/zinc/69/52/89/418695289.db2.gz UKCVHFFLBIESKN-HUUCEWRRSA-N 1 2 311.426 1.084 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000376546845 418698630 /nfs/dbraw/zinc/69/86/30/418698630.db2.gz QXHNEDCKHZMJJC-AWEZNQCLSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@H+]1CCOc1ccc(C#N)cc1 ZINC000376546845 418698632 /nfs/dbraw/zinc/69/86/32/418698632.db2.gz QXHNEDCKHZMJJC-AWEZNQCLSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000376546847 418698655 /nfs/dbraw/zinc/69/86/55/418698655.db2.gz QXHNEDCKHZMJJC-CQSZACIVSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@H+]1CCOc1ccc(C#N)cc1 ZINC000376546847 418698657 /nfs/dbraw/zinc/69/86/57/418698657.db2.gz QXHNEDCKHZMJJC-CQSZACIVSA-N 1 2 304.346 1.201 20 30 DDEDLO N#C[C@@H]1C[N@@H+](Cc2cncs2)C[C@@]12C(=O)Nc1ccccc12 ZINC000376644917 418699773 /nfs/dbraw/zinc/69/97/73/418699773.db2.gz SFBVIDUXYTYWRC-BDJLRTHQSA-N 1 2 310.382 1.989 20 30 DDEDLO N#C[C@@H]1C[N@H+](Cc2cncs2)C[C@@]12C(=O)Nc1ccccc12 ZINC000376644917 418699776 /nfs/dbraw/zinc/69/97/76/418699776.db2.gz SFBVIDUXYTYWRC-BDJLRTHQSA-N 1 2 310.382 1.989 20 30 DDEDLO C#CCCNC(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(OC)cc1 ZINC000369289471 418731954 /nfs/dbraw/zinc/73/19/54/418731954.db2.gz ZYDSZSAIBRNTSB-OAHLLOKOSA-N 1 2 312.373 1.841 20 30 DDEDLO C=CCCS(=O)(=O)N[C@@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000370679178 418746972 /nfs/dbraw/zinc/74/69/72/418746972.db2.gz LYUFADHHZWAAAU-KGLIPLIRSA-N 1 2 302.440 1.125 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000362585903 418756797 /nfs/dbraw/zinc/75/67/97/418756797.db2.gz FUYFXMPQGOSTII-BZNIZROVSA-N 1 2 308.426 1.030 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000362585903 418756799 /nfs/dbraw/zinc/75/67/99/418756799.db2.gz FUYFXMPQGOSTII-BZNIZROVSA-N 1 2 308.426 1.030 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@H+]1CCCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000363573603 418767464 /nfs/dbraw/zinc/76/74/64/418767464.db2.gz ULYBPRYSTIFQGH-GJZGRUSLSA-N 1 2 304.394 1.143 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000363573603 418767467 /nfs/dbraw/zinc/76/74/67/418767467.db2.gz ULYBPRYSTIFQGH-GJZGRUSLSA-N 1 2 304.394 1.143 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000408024025 418781217 /nfs/dbraw/zinc/78/12/17/418781217.db2.gz XDBLLMMDEQKETQ-WCVJEAGWSA-N 1 2 323.437 1.082 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000408024025 418781219 /nfs/dbraw/zinc/78/12/19/418781219.db2.gz XDBLLMMDEQKETQ-WCVJEAGWSA-N 1 2 323.437 1.082 20 30 DDEDLO C=C(C)COCCNC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000411334412 418893312 /nfs/dbraw/zinc/89/33/12/418893312.db2.gz PSKNFMXENUZYRE-UHFFFAOYSA-N 1 2 305.378 1.654 20 30 DDEDLO N#CCC[C@H](C#N)C[N@H+]1CCC[C@H](n2cc([N+](=O)[O-])cn2)C1 ZINC000372289350 418851864 /nfs/dbraw/zinc/85/18/64/418851864.db2.gz OUHPNJBANPQPMX-OLZOCXBDSA-N 1 2 302.338 1.872 20 30 DDEDLO N#CCC[C@H](C#N)C[N@@H+]1CCC[C@H](n2cc([N+](=O)[O-])cn2)C1 ZINC000372289350 418851865 /nfs/dbraw/zinc/85/18/65/418851865.db2.gz OUHPNJBANPQPMX-OLZOCXBDSA-N 1 2 302.338 1.872 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN1CC(=O)NC1(C#N)CCCCC1 ZINC000373176984 418930075 /nfs/dbraw/zinc/93/00/75/418930075.db2.gz NLFKGHMECWXCKR-AWEZNQCLSA-N 1 2 315.421 1.900 20 30 DDEDLO Cn1cc(Cl)c(CN(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000420911345 419352647 /nfs/dbraw/zinc/35/26/47/419352647.db2.gz GEHSUAJRYNIBMV-UHFFFAOYSA-N 1 2 311.817 1.121 20 30 DDEDLO Cc1cc(NCc2ccc(N3CCO[C@@H](C)C3)[nH+]c2)c(C#N)cn1 ZINC000425202992 228390568 /nfs/dbraw/zinc/39/05/68/228390568.db2.gz DNQCQBSKHKRIEK-AWEZNQCLSA-N 1 2 323.400 1.916 20 30 DDEDLO Cc1cc(N2CCC([C@H](O)C(=O)NC3CC3)CC2)c(C#N)c[nH+]1 ZINC000425249626 228399819 /nfs/dbraw/zinc/39/98/19/228399819.db2.gz BPPCWWGFSGXJOF-INIZCTEOSA-N 1 2 314.389 1.118 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@]2(C1)CC(=O)c1ccccc1O2 ZINC000411991597 419546944 /nfs/dbraw/zinc/54/69/44/419546944.db2.gz UKRBCOWUGCMHOH-FZKQIMNGSA-N 1 2 314.385 1.787 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@]2(C1)CC(=O)c1ccccc1O2 ZINC000411991597 419546953 /nfs/dbraw/zinc/54/69/53/419546953.db2.gz UKRBCOWUGCMHOH-FZKQIMNGSA-N 1 2 314.385 1.787 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2oc(C(C)C)nc2C)CC1 ZINC000428471816 419872565 /nfs/dbraw/zinc/87/25/65/419872565.db2.gz ZKPICOQGWYDUSF-UHFFFAOYSA-N 1 2 320.437 1.526 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000416370158 420345141 /nfs/dbraw/zinc/34/51/41/420345141.db2.gz JMFKWJRFUCVRJX-GFCCVEGCSA-N 1 2 308.407 1.403 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(Cc2ccc(C#N)cc2Cl)CC1 ZINC000439428760 420512445 /nfs/dbraw/zinc/51/24/45/420512445.db2.gz ZUVXZTDMCGWQHS-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2cccc(OC)c2)nn1 ZINC000640758424 423167329 /nfs/dbraw/zinc/16/73/29/423167329.db2.gz KMLNOZUIWBKIOX-UHFFFAOYSA-N 1 2 313.361 1.038 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC(C[NH+]2CC(O)(CC#N)C2)CC1 ZINC000492725236 420642790 /nfs/dbraw/zinc/64/27/90/420642790.db2.gz QMNGLTDWNATUKT-UHFFFAOYSA-N 1 2 309.410 1.594 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(C#N)c(OC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000442801258 420718517 /nfs/dbraw/zinc/71/85/17/420718517.db2.gz MBTHBOGNKWHOLO-HNNXBMFYSA-N 1 2 320.414 1.742 20 30 DDEDLO C=CC[N@H+](Cc1ccc(C#N)c(OC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000442801258 420718519 /nfs/dbraw/zinc/71/85/19/420718519.db2.gz MBTHBOGNKWHOLO-HNNXBMFYSA-N 1 2 320.414 1.742 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)C(=O)Nc1ccc(C)[nH+]c1C ZINC000453964622 420780593 /nfs/dbraw/zinc/78/05/93/420780593.db2.gz XQHCPOAJFIUDQE-KGLIPLIRSA-N 1 2 303.362 1.487 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CCC(CCCn2cc[nH+]c2)CC1 ZINC000459910204 420896388 /nfs/dbraw/zinc/89/63/88/420896388.db2.gz RPUHGGFSMSXFKJ-UHFFFAOYSA-N 1 2 318.421 1.546 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)C(=O)N1CCn2cc[nH+]c2C1 ZINC000459994217 420908629 /nfs/dbraw/zinc/90/86/29/420908629.db2.gz LFQVRLKNLQEMHQ-UHFFFAOYSA-N 1 2 324.384 1.440 20 30 DDEDLO C[C@H](NCC#CC[NH2+][C@@H](C)c1ccn(C)n1)c1ccn(C)n1 ZINC000459791396 420873579 /nfs/dbraw/zinc/87/35/79/420873579.db2.gz NHXIQTTYWVOQJJ-KBPBESRZSA-N 1 2 300.410 1.158 20 30 DDEDLO C=CCC1(C(=O)NCC[NH+]2CCN(C(C)=O)CC2)CCCC1 ZINC000449603627 421035871 /nfs/dbraw/zinc/03/58/71/421035871.db2.gz RDJQNGNUXJGSMK-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C#CC[C@@H]([NH2+]CC1(CNC(=O)OC(C)(C)C)CC1)C(=O)OC ZINC000495924683 421093769 /nfs/dbraw/zinc/09/37/69/421093769.db2.gz BFUWEFWBCHQPBZ-GFCCVEGCSA-N 1 2 310.394 1.446 20 30 DDEDLO C[C@@H](CNC(=O)Nc1cccc(CC#N)c1)[NH+]1CCOCC1 ZINC000455948323 421079010 /nfs/dbraw/zinc/07/90/10/421079010.db2.gz OYQZMLDWKMDLJE-ZDUSSCGKSA-N 1 2 302.378 1.595 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ncccn3)n2C(C)C)CC1 ZINC000491385154 421197261 /nfs/dbraw/zinc/19/72/61/421197261.db2.gz QMHXWTBAYXWVPM-UHFFFAOYSA-N 1 2 311.393 1.071 20 30 DDEDLO C=CC[N@@H+](CCCc1cc(CC)no1)[C@H]1CCS(=O)(=O)C1 ZINC000450557663 421210148 /nfs/dbraw/zinc/21/01/48/421210148.db2.gz NUQONNNIGMGWEU-AWEZNQCLSA-N 1 2 312.435 1.845 20 30 DDEDLO C=CC[N@H+](CCCc1cc(CC)no1)[C@H]1CCS(=O)(=O)C1 ZINC000450557663 421210151 /nfs/dbraw/zinc/21/01/51/421210151.db2.gz NUQONNNIGMGWEU-AWEZNQCLSA-N 1 2 312.435 1.845 20 30 DDEDLO Cn1nc(C2CC2)nc1C[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000563052075 421446454 /nfs/dbraw/zinc/44/64/54/421446454.db2.gz DKFLTWSMSKTXRO-CQSZACIVSA-N 1 2 324.388 1.612 20 30 DDEDLO Cn1nc(C2CC2)nc1C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000563052075 421446457 /nfs/dbraw/zinc/44/64/57/421446457.db2.gz DKFLTWSMSKTXRO-CQSZACIVSA-N 1 2 324.388 1.612 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000527498152 421390746 /nfs/dbraw/zinc/39/07/46/421390746.db2.gz JJGHBIBWVRTDQX-HZPDHXFCSA-N 1 2 319.368 1.568 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000551866327 421547004 /nfs/dbraw/zinc/54/70/04/421547004.db2.gz AWILRICKEFPLQY-UHFFFAOYSA-N 1 2 322.372 1.323 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCO[C@@]3(CCSC3)C2)CCCCC1 ZINC000564919242 421594904 /nfs/dbraw/zinc/59/49/04/421594904.db2.gz BCZPXODMFRWYDT-INIZCTEOSA-N 1 2 323.462 1.537 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCO[C@@]3(CCSC3)C2)CCCCC1 ZINC000564919242 421594908 /nfs/dbraw/zinc/59/49/08/421594908.db2.gz BCZPXODMFRWYDT-INIZCTEOSA-N 1 2 323.462 1.537 20 30 DDEDLO C[C@H]1C[C@@H](NS(=O)(=O)c2ccc(C#N)s2)c2[nH+]ccn21 ZINC000517290783 421608033 /nfs/dbraw/zinc/60/80/33/421608033.db2.gz VKWPUINZCYRDNF-WCBMZHEXSA-N 1 2 308.388 1.801 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NS(=O)(=O)c1cncc(C#N)c1)C1CC1 ZINC000554975463 421666811 /nfs/dbraw/zinc/66/68/11/421666811.db2.gz KAEZRPGILLPHCD-ZDUSSCGKSA-N 1 2 317.374 1.116 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)[C@@H](C#N)CCc2ccccc2)CCO1 ZINC000570361588 421641482 /nfs/dbraw/zinc/64/14/82/421641482.db2.gz DIVPJPFPGJKICF-DOTOQJQBSA-N 1 2 315.417 1.596 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)[C@@H](C#N)CCc2ccccc2)CCO1 ZINC000570361588 421641487 /nfs/dbraw/zinc/64/14/87/421641487.db2.gz DIVPJPFPGJKICF-DOTOQJQBSA-N 1 2 315.417 1.596 20 30 DDEDLO C=C(C)Cn1c(C)nnc1N1CC[NH+](Cc2cc(C)no2)CC1 ZINC000571302144 421704954 /nfs/dbraw/zinc/70/49/54/421704954.db2.gz LZDGKNSPTYZZOP-UHFFFAOYSA-N 1 2 316.409 1.781 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)cc2F)C[C@H](C)[N@H+]1C ZINC000570869110 421675042 /nfs/dbraw/zinc/67/50/42/421675042.db2.gz AQGWZCGBSIOUAT-PHIMTYICSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)cc2F)C[C@H](C)[N@@H+]1C ZINC000570869110 421675043 /nfs/dbraw/zinc/67/50/43/421675043.db2.gz AQGWZCGBSIOUAT-PHIMTYICSA-N 1 2 311.382 1.410 20 30 DDEDLO N#Cc1csc(C[NH2+]Cc2cccc(N3CCOCC3)n2)n1 ZINC000520665423 421759055 /nfs/dbraw/zinc/75/90/55/421759055.db2.gz MCAXPXYZHDZWQE-UHFFFAOYSA-N 1 2 315.402 1.536 20 30 DDEDLO N#Cc1csc(C[NH2+][C@@H]2CCCN(c3cccnc3)C2=O)n1 ZINC000521120937 421773688 /nfs/dbraw/zinc/77/36/88/421773688.db2.gz RLXREWQNWRRVLR-CYBMUJFWSA-N 1 2 313.386 1.695 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000572579898 421801638 /nfs/dbraw/zinc/80/16/38/421801638.db2.gz SLGLSNOYZMHPQB-AWEZNQCLSA-N 1 2 315.421 1.332 20 30 DDEDLO Cc1cc(N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)c(C#N)c[nH+]1 ZINC000581128209 421906574 /nfs/dbraw/zinc/90/65/74/421906574.db2.gz QDKFXNUZIFWNBQ-ZDUSSCGKSA-N 1 2 322.434 1.370 20 30 DDEDLO CC[C@@H](CC#N)[NH2+][C@H](C)C(=O)N1CC(=O)Nc2ccccc21 ZINC000573106489 421920190 /nfs/dbraw/zinc/92/01/90/421920190.db2.gz UMSPPRQZIBFOJD-NEPJUHHUSA-N 1 2 300.362 1.642 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCOC[C@H]2C2CCC2)c1 ZINC000574635997 422149835 /nfs/dbraw/zinc/14/98/35/422149835.db2.gz ZTHXDACREJJKDZ-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCOC[C@H]2C2CCC2)c1 ZINC000574635997 422149841 /nfs/dbraw/zinc/14/98/41/422149841.db2.gz ZTHXDACREJJKDZ-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCNC(=O)[C@H]2CC2CCCCC2)C1=O ZINC000576217780 422372365 /nfs/dbraw/zinc/37/23/65/422372365.db2.gz LOABPPDEYOCYHQ-JKSUJKDBSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCNC(=O)[C@H]2CC2CCCCC2)C1=O ZINC000576217780 422372369 /nfs/dbraw/zinc/37/23/69/422372369.db2.gz LOABPPDEYOCYHQ-JKSUJKDBSA-N 1 2 319.449 1.544 20 30 DDEDLO CC[C@]1(O)CCC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000602982531 422440664 /nfs/dbraw/zinc/44/06/64/422440664.db2.gz UMLMOCHQUGTEME-INIZCTEOSA-N 1 2 305.378 1.944 20 30 DDEDLO CC[C@]1(O)CCC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000602982531 422440671 /nfs/dbraw/zinc/44/06/71/422440671.db2.gz UMLMOCHQUGTEME-INIZCTEOSA-N 1 2 305.378 1.944 20 30 DDEDLO C[N@@H+]([C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O)[C@@H]1CCC[C@@H]1C#N ZINC000602982522 422440969 /nfs/dbraw/zinc/44/09/69/422440969.db2.gz UIAKKRNGUWCMSQ-MGPQQGTHSA-N 1 2 321.421 1.553 20 30 DDEDLO C[N@H+]([C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O)[C@@H]1CCC[C@@H]1C#N ZINC000602982522 422440975 /nfs/dbraw/zinc/44/09/75/422440975.db2.gz UIAKKRNGUWCMSQ-MGPQQGTHSA-N 1 2 321.421 1.553 20 30 DDEDLO COCCc1cc(O)ccc1NC[C@H](O)C[N@H+](C)CCC#N ZINC000578007204 422475563 /nfs/dbraw/zinc/47/55/63/422475563.db2.gz DRWOGSURJIPANL-HNNXBMFYSA-N 1 2 307.394 1.199 20 30 DDEDLO COCCc1cc(O)ccc1NC[C@H](O)C[N@@H+](C)CCC#N ZINC000578007204 422475570 /nfs/dbraw/zinc/47/55/70/422475570.db2.gz DRWOGSURJIPANL-HNNXBMFYSA-N 1 2 307.394 1.199 20 30 DDEDLO C=CCN(Cc1ccccc1F)C(=O)[C@H](C)N1CC[NH2+]CC1=O ZINC000637947086 422499505 /nfs/dbraw/zinc/49/95/05/422499505.db2.gz PMQVRJRESDNXTC-ZDUSSCGKSA-N 1 2 319.380 1.161 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2cccc(Cl)c2)nn1 ZINC000640762361 423171166 /nfs/dbraw/zinc/17/11/66/423171166.db2.gz RWMLOINTCJFYSE-UHFFFAOYSA-N 1 2 317.780 1.683 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N[C@@H]2CCCC[C@@H]2C)nn1 ZINC000640794226 423191464 /nfs/dbraw/zinc/19/14/64/423191464.db2.gz NPCZJRYBRDFDON-DZGCQCFKSA-N 1 2 303.410 1.086 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[N@@H+](C)C[C@H]2c2ccccc2)nn1 ZINC000648223549 423280618 /nfs/dbraw/zinc/28/06/18/423280618.db2.gz GKXNEPANHNLUIF-INIZCTEOSA-N 1 2 311.389 1.593 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[N@H+](C)C[C@H]2c2ccccc2)nn1 ZINC000648223549 423280624 /nfs/dbraw/zinc/28/06/24/423280624.db2.gz GKXNEPANHNLUIF-INIZCTEOSA-N 1 2 311.389 1.593 20 30 DDEDLO C=C[C@H](O)C(=O)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000646594344 423542608 /nfs/dbraw/zinc/54/26/08/423542608.db2.gz ZWXIQZXPQCAHAH-ZDUSSCGKSA-N 1 2 304.394 1.104 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)c2c(F)cccc2F)CC1 ZINC000649281766 423717897 /nfs/dbraw/zinc/71/78/97/423717897.db2.gz UCJPMFBVKZIIHQ-CQSZACIVSA-N 1 2 310.344 1.660 20 30 DDEDLO C=C(C)c1ccc(S(=O)(=O)CC[NH+]2CCC(O)CC2)cc1 ZINC000649444198 423902337 /nfs/dbraw/zinc/90/23/37/423902337.db2.gz HSWFEZKVUKTMHM-UHFFFAOYSA-N 1 2 309.431 1.950 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@@H](CC)c2nnc3n2CCCCC3)C1=O ZINC000661941779 424279218 /nfs/dbraw/zinc/27/92/18/424279218.db2.gz RABCMYBCWDZINP-KBPBESRZSA-N 1 2 317.437 1.832 20 30 DDEDLO C=CCCCCCN(C)C(=O)C(=O)N1CCn2cc(C)[nH+]c2C1 ZINC000659964364 424408442 /nfs/dbraw/zinc/40/84/42/424408442.db2.gz CMFWZVYLIDXZPP-UHFFFAOYSA-N 1 2 318.421 1.739 20 30 DDEDLO C=CCOCCCNc1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000664387797 424569694 /nfs/dbraw/zinc/56/96/94/424569694.db2.gz IDMNWTBDPUGQBA-KGLIPLIRSA-N 1 2 306.410 1.688 20 30 DDEDLO C=CCOCCCNc1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000664387797 424569698 /nfs/dbraw/zinc/56/96/98/424569698.db2.gz IDMNWTBDPUGQBA-KGLIPLIRSA-N 1 2 306.410 1.688 20 30 DDEDLO C=CCCNC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000660269914 424615790 /nfs/dbraw/zinc/61/57/90/424615790.db2.gz HZETWMFBCRORNR-INIZCTEOSA-N 1 2 303.406 1.935 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1ccn2cc[nH+]c2c1)[C@@H](C)COC ZINC000658376217 424653879 /nfs/dbraw/zinc/65/38/79/424653879.db2.gz RTFIFBJAOPMNIF-LBPRGKRZSA-N 1 2 316.361 1.322 20 30 DDEDLO C=CCN(C(=O)NCC1([NH+]2CCOCC2)CC1)[C@@H](C)COC ZINC000664758757 424698159 /nfs/dbraw/zinc/69/81/59/424698159.db2.gz HAVKDUNHJYVFFF-AWEZNQCLSA-N 1 2 311.426 1.084 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000660707665 424752569 /nfs/dbraw/zinc/75/25/69/424752569.db2.gz QXPBGKBVFODMPY-YHUYYLMFSA-N 1 2 307.438 1.988 20 30 DDEDLO C[C@H]1CCOCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000374980968 267218490 /nfs/dbraw/zinc/21/84/90/267218490.db2.gz PWWOKFGZIBICPJ-ZDUSSCGKSA-N 1 2 308.403 1.443 20 30 DDEDLO C[C@H]1CCOCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000374980968 267218491 /nfs/dbraw/zinc/21/84/91/267218491.db2.gz PWWOKFGZIBICPJ-ZDUSSCGKSA-N 1 2 308.403 1.443 20 30 DDEDLO N#Cc1c(C[N@H+]2CCC[C@@]3(CNC(=O)O3)C2)cn2ccccc12 ZINC000364796014 267946932 /nfs/dbraw/zinc/94/69/32/267946932.db2.gz VVMVXFUPESYKNT-QGZVFWFLSA-N 1 2 310.357 1.885 20 30 DDEDLO N#Cc1c(C[N@@H+]2CCC[C@@]3(CNC(=O)O3)C2)cn2ccccc12 ZINC000364796014 267946934 /nfs/dbraw/zinc/94/69/34/267946934.db2.gz VVMVXFUPESYKNT-QGZVFWFLSA-N 1 2 310.357 1.885 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)cc1 ZINC000377342153 268078535 /nfs/dbraw/zinc/07/85/35/268078535.db2.gz IFHIXOUEORKNLB-CQSZACIVSA-N 1 2 316.386 1.948 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCCC[C@H]2[NH+]2CCOCC2)s1 ZINC000532174810 268202860 /nfs/dbraw/zinc/20/28/60/268202860.db2.gz CUPPNAOENVVYBY-ZIAGYGMSSA-N 1 2 319.430 1.993 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2C[C@@H]3CCC[C@H]3[C@@H]2C(N)=O)c1 ZINC000368966948 268266084 /nfs/dbraw/zinc/26/60/84/268266084.db2.gz SSZFKUPXMPIHCB-JGGQBBKZSA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2C[C@@H]3CCC[C@H]3[C@@H]2C(N)=O)c1 ZINC000368966948 268266085 /nfs/dbraw/zinc/26/60/85/268266085.db2.gz SSZFKUPXMPIHCB-JGGQBBKZSA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1ccncc1NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000378366139 268301083 /nfs/dbraw/zinc/30/10/83/268301083.db2.gz AUWVASONOZRSQX-MRXNPFEDSA-N 1 2 302.378 1.247 20 30 DDEDLO C[C@@H]1CN(C(=O)NCc2c[nH+]cn2C)CC2(CCOCC2)O1 ZINC000329543097 287835493 /nfs/dbraw/zinc/83/54/93/287835493.db2.gz FEVUVKMXBKRBBU-GFCCVEGCSA-N 1 2 308.382 1.104 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)N[C@H]2CCCC23CCOCC3)C1 ZINC000328692521 277102347 /nfs/dbraw/zinc/10/23/47/277102347.db2.gz CGJOSTVIFMDKHN-CABCVRRESA-N 1 2 324.469 1.085 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)N[C@H]2CCCC23CCOCC3)C1 ZINC000328692521 277102348 /nfs/dbraw/zinc/10/23/48/277102348.db2.gz CGJOSTVIFMDKHN-CABCVRRESA-N 1 2 324.469 1.085 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000330818320 278145188 /nfs/dbraw/zinc/14/51/88/278145188.db2.gz RPBCTMRXLJLLDZ-HUUCEWRRSA-N 1 2 300.362 1.735 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000330818320 278145189 /nfs/dbraw/zinc/14/51/89/278145189.db2.gz RPBCTMRXLJLLDZ-HUUCEWRRSA-N 1 2 300.362 1.735 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)nc1 ZINC000451935691 281164146 /nfs/dbraw/zinc/16/41/46/281164146.db2.gz ROAKNUIJGFWTSS-ZDUSSCGKSA-N 1 2 308.407 1.058 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)nc1 ZINC000451935691 281164147 /nfs/dbraw/zinc/16/41/47/281164147.db2.gz ROAKNUIJGFWTSS-ZDUSSCGKSA-N 1 2 308.407 1.058 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cc(C#N)ccc1C ZINC000451931122 281164172 /nfs/dbraw/zinc/16/41/72/281164172.db2.gz LGRDLXCYDGTJEJ-HNNXBMFYSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cc(C#N)ccc1C ZINC000451931122 281164174 /nfs/dbraw/zinc/16/41/74/281164174.db2.gz LGRDLXCYDGTJEJ-HNNXBMFYSA-N 1 2 321.446 1.972 20 30 DDEDLO C[C@@H]1COCC[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000328670395 288335508 /nfs/dbraw/zinc/33/55/08/288335508.db2.gz GLASJYAXVQOVAB-AAEUAGOBSA-N 1 2 305.403 1.693 20 30 DDEDLO C[C@@H]1COCC[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000328670395 288335509 /nfs/dbraw/zinc/33/55/09/288335509.db2.gz GLASJYAXVQOVAB-AAEUAGOBSA-N 1 2 305.403 1.693 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+]1CCOC[C@H](O)C1 ZINC000295484286 289257668 /nfs/dbraw/zinc/25/76/68/289257668.db2.gz GRLNWHCWOWSJSC-GDBMZVCRSA-N 1 2 317.389 1.015 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@H+]1CCOC[C@H](O)C1 ZINC000295484286 289257670 /nfs/dbraw/zinc/25/76/70/289257670.db2.gz GRLNWHCWOWSJSC-GDBMZVCRSA-N 1 2 317.389 1.015 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CCCC3(CCOCC3)C2)C1 ZINC000329769912 290106302 /nfs/dbraw/zinc/10/63/02/290106302.db2.gz IGKIDVLBFIJBSY-CQSZACIVSA-N 1 2 311.426 1.124 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CCCC3(CCOCC3)C2)C1 ZINC000329769912 290106307 /nfs/dbraw/zinc/10/63/07/290106307.db2.gz IGKIDVLBFIJBSY-CQSZACIVSA-N 1 2 311.426 1.124 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(C(=O)C2(C#N)CCOCC2)C1 ZINC000369371033 303005485 /nfs/dbraw/zinc/00/54/85/303005485.db2.gz SMQQKIYWAQVNJO-ZDUSSCGKSA-N 1 2 302.378 1.446 20 30 DDEDLO N#Cc1cncnc1NCc1[nH+]ccn1CCc1ccccc1 ZINC000574370925 308269251 /nfs/dbraw/zinc/26/92/51/308269251.db2.gz RQVAOERDHMJOBX-UHFFFAOYSA-N 1 2 304.357 1.821 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC(F)(F)[C@@H](CO)C2)c([N+](=O)[O-])c1 ZINC000577400987 308386209 /nfs/dbraw/zinc/38/62/09/308386209.db2.gz WYPWGWGUNUSANQ-GFCCVEGCSA-N 1 2 311.288 1.916 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC(F)(F)[C@@H](CO)C2)c([N+](=O)[O-])c1 ZINC000577400987 308386211 /nfs/dbraw/zinc/38/62/11/308386211.db2.gz WYPWGWGUNUSANQ-GFCCVEGCSA-N 1 2 311.288 1.916 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCOc2cccc([N+](=O)[O-])c2)CC1 ZINC000570695250 332802386 /nfs/dbraw/zinc/80/23/86/332802386.db2.gz QVEQNNIYGIZRMI-UHFFFAOYSA-N 1 2 318.333 1.031 20 30 DDEDLO Cc1cc(C)cc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000060633788 331810017 /nfs/dbraw/zinc/81/00/17/331810017.db2.gz KVWQYRYPMWFACB-UHFFFAOYSA-N 1 2 315.417 1.992 20 30 DDEDLO CC1(C#N)CN(S(=O)(=O)c2ccccc2Cn2cc[nH+]c2)C1 ZINC000559802287 332282485 /nfs/dbraw/zinc/28/24/85/332282485.db2.gz CZQBNAUPKIJMSJ-UHFFFAOYSA-N 1 2 316.386 1.466 20 30 DDEDLO CC(C)(CNC(=O)Nc1ccn(CCC#N)n1)[NH+]1CCOCC1 ZINC000118893582 332357780 /nfs/dbraw/zinc/35/77/80/332357780.db2.gz SYRUCPYHTOLENN-UHFFFAOYSA-N 1 2 320.397 1.029 20 30 DDEDLO C=CC[N@@H+](CCOc1ccc(F)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000108870913 333211472 /nfs/dbraw/zinc/21/14/72/333211472.db2.gz MHYRGSKMMMIRLU-CQSZACIVSA-N 1 2 313.394 1.880 20 30 DDEDLO C=CC[N@H+](CCOc1ccc(F)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000108870913 333211473 /nfs/dbraw/zinc/21/14/73/333211473.db2.gz MHYRGSKMMMIRLU-CQSZACIVSA-N 1 2 313.394 1.880 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(C(=O)OCc2ccccc2)CC1 ZINC000497192467 333219705 /nfs/dbraw/zinc/21/97/05/333219705.db2.gz GNMGGGJUJINGPZ-OAHLLOKOSA-N 1 2 317.389 1.869 20 30 DDEDLO C=CC[C@@H](CO)CNc1[nH+]cnc2c1cnn2-c1ncccn1 ZINC000340740901 334384170 /nfs/dbraw/zinc/38/41/70/334384170.db2.gz INPFRSSMVLFMDW-LLVKDONJSA-N 1 2 311.349 1.202 20 30 DDEDLO CCOC(=O)C1CCC(CC(=O)N[C@](C)(C#N)C[NH+](C)C)CC1 ZINC000583567668 335733733 /nfs/dbraw/zinc/73/37/33/335733733.db2.gz HKVQYBPJMBMHDE-MQBCKMQZSA-N 1 2 323.437 1.706 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)C1CC2(CCC2)C1 ZINC000584352250 336071598 /nfs/dbraw/zinc/07/15/98/336071598.db2.gz KDGXWBVJCUWXPC-UHFFFAOYSA-N 1 2 305.422 1.641 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)NC2CCC(C)CC2)C1=O ZINC000532479542 336251464 /nfs/dbraw/zinc/25/14/64/336251464.db2.gz BRUFGPLAKRDYDQ-KNIAUWFNSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)NC2CCC(C)CC2)C1=O ZINC000532479542 336251465 /nfs/dbraw/zinc/25/14/65/336251465.db2.gz BRUFGPLAKRDYDQ-KNIAUWFNSA-N 1 2 321.465 1.789 20 30 DDEDLO Cn1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c2ccccc2c1=O ZINC000582789184 337166468 /nfs/dbraw/zinc/16/64/68/337166468.db2.gz TVIZYSXTTXUKHV-QGZVFWFLSA-N 1 2 312.373 1.112 20 30 DDEDLO CC(C)[C@]1(C)C[C@@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000185734332 337250985 /nfs/dbraw/zinc/25/09/85/337250985.db2.gz JEPDXPJUXRJNDT-WBVHZDCISA-N 1 2 307.438 1.743 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[NH+](CCNC(=O)CCCC#N)CC1 ZINC000496524433 340007171 /nfs/dbraw/zinc/00/71/71/340007171.db2.gz FFDQVUMWWNLPEU-UHFFFAOYSA-N 1 2 324.425 1.349 20 30 DDEDLO CNC(=O)OC[C@@H]1CCCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000496607020 340008822 /nfs/dbraw/zinc/00/88/22/340008822.db2.gz UTLXCBLNZCIHTH-BBRMVZONSA-N 1 2 324.425 1.251 20 30 DDEDLO CNC(=O)OC[C@@H]1CCCC[N@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000496607020 340008823 /nfs/dbraw/zinc/00/88/23/340008823.db2.gz UTLXCBLNZCIHTH-BBRMVZONSA-N 1 2 324.425 1.251 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[NH2+][C@H](c2ccncc2)C1 ZINC000516563138 340022032 /nfs/dbraw/zinc/02/20/32/340022032.db2.gz WCLRTOHTAACTFB-PMPSAXMXSA-N 1 2 301.390 1.536 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2cc(F)ccc2F)CC1 ZINC000177632627 340442200 /nfs/dbraw/zinc/44/22/00/340442200.db2.gz AXWLVZZMSRTYJU-GFCCVEGCSA-N 1 2 307.344 1.225 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[NH+]1CCN(C(C)=O)CC1 ZINC000034860758 340709317 /nfs/dbraw/zinc/70/93/17/340709317.db2.gz UXJHFBKNPKRIFB-QGZVFWFLSA-N 1 2 318.417 1.319 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)C(=O)N2CC[NH+](C3CC3)CC2)C1 ZINC000658463705 484363044 /nfs/dbraw/zinc/36/30/44/484363044.db2.gz OBOMIDMRAKIYCN-CQSZACIVSA-N 1 2 305.422 1.108 20 30 DDEDLO COC[C@H]1CCCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000307765609 484377226 /nfs/dbraw/zinc/37/72/26/484377226.db2.gz BOSXZNXSNBONGE-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO COC[C@H]1CCCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000307765609 484377230 /nfs/dbraw/zinc/37/72/30/484377230.db2.gz BOSXZNXSNBONGE-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N[C@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000665028342 484866220 /nfs/dbraw/zinc/86/62/20/484866220.db2.gz CQODHHKYPQRACA-FRRDWIJNSA-N 1 2 322.409 1.122 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000678780221 485683133 /nfs/dbraw/zinc/68/31/33/485683133.db2.gz JFWYVAATSNFMDO-CQSZACIVSA-N 1 2 316.405 1.669 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](S(=O)(=O)Nc2ccccc2)C1 ZINC000679830675 486006202 /nfs/dbraw/zinc/00/62/02/486006202.db2.gz SLKNSLQMBWHLMC-INIZCTEOSA-N 1 2 322.430 1.543 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](S(=O)(=O)Nc2ccccc2)C1 ZINC000679830675 486006206 /nfs/dbraw/zinc/00/62/06/486006206.db2.gz SLKNSLQMBWHLMC-INIZCTEOSA-N 1 2 322.430 1.543 20 30 DDEDLO C=CCCOCC(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000684073239 486236827 /nfs/dbraw/zinc/23/68/27/486236827.db2.gz YGYTWFYPSZOMIW-UHFFFAOYSA-N 1 2 317.389 1.061 20 30 DDEDLO C=CCCOCC(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000684073239 486236831 /nfs/dbraw/zinc/23/68/31/486236831.db2.gz YGYTWFYPSZOMIW-UHFFFAOYSA-N 1 2 317.389 1.061 20 30 DDEDLO C=CCOCCNC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000681218801 486374986 /nfs/dbraw/zinc/37/49/86/486374986.db2.gz NUHJURDTTACMCK-UHFFFAOYSA-N 1 2 304.394 1.111 20 30 DDEDLO C[C@@H]1C[N@H+](C(C)(C)CNS(=O)(=O)CCCC#N)C[C@H](C)O1 ZINC000330698987 534436807 /nfs/dbraw/zinc/43/68/07/534436807.db2.gz MGNIYBLQULSRHT-BETUJISGSA-N 1 2 317.455 1.097 20 30 DDEDLO C[C@@H]1C[N@@H+](C(C)(C)CNS(=O)(=O)CCCC#N)C[C@H](C)O1 ZINC000330698987 534436811 /nfs/dbraw/zinc/43/68/11/534436811.db2.gz MGNIYBLQULSRHT-BETUJISGSA-N 1 2 317.455 1.097 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)[C@H](CO)COC(C)C)c(C#N)c1C ZINC000433148400 534547104 /nfs/dbraw/zinc/54/71/04/534547104.db2.gz ARERNKAZTWZIDD-CYBMUJFWSA-N 1 2 323.393 1.424 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)[C@H](CO)COC(C)C)c(C#N)c1C ZINC000433148400 534547106 /nfs/dbraw/zinc/54/71/06/534547106.db2.gz ARERNKAZTWZIDD-CYBMUJFWSA-N 1 2 323.393 1.424 20 30 DDEDLO CO[C@@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000156719915 523596410 /nfs/dbraw/zinc/59/64/10/523596410.db2.gz TYNWLHKQWCMLJC-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO CO[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000156719915 523596415 /nfs/dbraw/zinc/59/64/15/523596415.db2.gz TYNWLHKQWCMLJC-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCn2cc[nH+]c2C1)N1CCOC2(CCCC2)C1 ZINC000330192851 534764245 /nfs/dbraw/zinc/76/42/45/534764245.db2.gz NYDLTCXFUPEALV-CYBMUJFWSA-N 1 2 304.394 1.757 20 30 DDEDLO Cc1ncsc1CNC(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000329720952 534787880 /nfs/dbraw/zinc/78/78/80/534787880.db2.gz DRZXEXICWSLBLE-GFCCVEGCSA-N 1 2 310.423 1.272 20 30 DDEDLO CC(C)(C)N1C[C@@H](C(=O)NCC2([NH+]3CCOCC3)CC2)CC1=O ZINC000329873034 526289476 /nfs/dbraw/zinc/28/94/76/526289476.db2.gz BVSHPKLKDPSXNK-ZDUSSCGKSA-N 1 2 323.437 1.455 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCCc1cnn(-c2ccccc2)c1 ZINC000339764595 526358115 /nfs/dbraw/zinc/35/81/15/526358115.db2.gz UXUMUVIQUOBPTB-UHFFFAOYSA-N 1 2 310.401 1.486 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCCc1cnn(-c2ccccc2)c1 ZINC000339764595 526358121 /nfs/dbraw/zinc/35/81/21/526358121.db2.gz UXUMUVIQUOBPTB-UHFFFAOYSA-N 1 2 310.401 1.486 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccc(F)cc2F)C1=O ZINC000337203363 526469405 /nfs/dbraw/zinc/46/94/05/526469405.db2.gz GHWUWCSTFGIXQP-CQSZACIVSA-N 1 2 323.343 1.622 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccc(F)cc2F)C1=O ZINC000337203363 526469411 /nfs/dbraw/zinc/46/94/11/526469411.db2.gz GHWUWCSTFGIXQP-CQSZACIVSA-N 1 2 323.343 1.622 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCc3c(cccc3C(=O)OC)C2)C1=O ZINC000459546663 526510908 /nfs/dbraw/zinc/51/09/08/526510908.db2.gz NNXIGKAHFRRLFO-INIZCTEOSA-N 1 2 314.385 1.618 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCc3c(cccc3C(=O)OC)C2)C1=O ZINC000459546663 526510911 /nfs/dbraw/zinc/51/09/11/526510911.db2.gz NNXIGKAHFRRLFO-INIZCTEOSA-N 1 2 314.385 1.618 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cc(Cl)cs2)CC1 ZINC000495055908 526539190 /nfs/dbraw/zinc/53/91/90/526539190.db2.gz BHGHHTQMBZXFGS-UHFFFAOYSA-N 1 2 313.854 1.821 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)CC(=O)Nc1nc(CC(=O)OCC)cs1 ZINC000491165544 526653454 /nfs/dbraw/zinc/65/34/54/526653454.db2.gz LUZPHRFYAUQFBM-UHFFFAOYSA-N 1 2 323.418 1.531 20 30 DDEDLO C#CC(C)(C)[N@H+](C)CC(=O)Nc1nc(CC(=O)OCC)cs1 ZINC000491165544 526653458 /nfs/dbraw/zinc/65/34/58/526653458.db2.gz LUZPHRFYAUQFBM-UHFFFAOYSA-N 1 2 323.418 1.531 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccc(OC)c2)CC1 ZINC000338888571 526770337 /nfs/dbraw/zinc/77/03/37/526770337.db2.gz SJECQFABQAIBNQ-UHFFFAOYSA-N 1 2 304.390 1.656 20 30 DDEDLO C#CC[N@@H+](CCO[C@@H]1CCCC[C@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000490865342 526901160 /nfs/dbraw/zinc/90/11/60/526901160.db2.gz AZJDAQIMYCNRGS-OWCLPIDISA-N 1 2 313.463 1.704 20 30 DDEDLO C#CC[N@H+](CCO[C@@H]1CCCC[C@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000490865342 526901163 /nfs/dbraw/zinc/90/11/63/526901163.db2.gz AZJDAQIMYCNRGS-OWCLPIDISA-N 1 2 313.463 1.704 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000413555715 526989950 /nfs/dbraw/zinc/98/99/50/526989950.db2.gz RNNMGOIPTAWWOW-UHFFFAOYSA-N 1 2 310.442 1.726 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C2=CC[C@@H](C)CC2)CC1 ZINC000491393206 526990001 /nfs/dbraw/zinc/99/00/01/526990001.db2.gz GVKUIKZEBAQEIN-OAHLLOKOSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C2=CC[C@@H](C)CC2)CC1 ZINC000491393206 526990005 /nfs/dbraw/zinc/99/00/05/526990005.db2.gz GVKUIKZEBAQEIN-OAHLLOKOSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C\C2CCCC2)CC1 ZINC000491711283 526990268 /nfs/dbraw/zinc/99/02/68/526990268.db2.gz UDCWWUXYLQAYQV-HJWRWDBZSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C\C2CCCC2)CC1 ZINC000491711283 526990275 /nfs/dbraw/zinc/99/02/75/526990275.db2.gz UDCWWUXYLQAYQV-HJWRWDBZSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@@H](C)c2cccc(Cl)c2)CC1 ZINC000491209123 527003783 /nfs/dbraw/zinc/00/37/83/527003783.db2.gz BYVUAGKBYZCZSY-AWEZNQCLSA-N 1 2 319.836 1.768 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCC([C@](C)(O)C(F)(F)F)CC1 ZINC000491013151 527018826 /nfs/dbraw/zinc/01/88/26/527018826.db2.gz INGGLAFQVIFXTQ-MFKMUULPSA-N 1 2 306.328 1.150 20 30 DDEDLO C#CCNC(=O)c1cccc(-c2noc(Cn3cc[nH+]c3)n2)c1 ZINC000491784443 527041026 /nfs/dbraw/zinc/04/10/26/527041026.db2.gz LHADUGYUJLYNQY-UHFFFAOYSA-N 1 2 307.313 1.344 20 30 DDEDLO CC(=O)[C@H](Cc1ccccc1)NC(=O)C[NH2+][C@H]1CCC[C@@H]1C#N ZINC000459478147 527147957 /nfs/dbraw/zinc/14/79/57/527147957.db2.gz ZGJOHJFKMNIGOT-IKGGRYGDSA-N 1 2 313.401 1.585 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CC[C@@H]([NH+]2CCN(CC)CC2)C1 ZINC000341430147 527150107 /nfs/dbraw/zinc/15/01/07/527150107.db2.gz IXQZICCXZSBDGQ-JKSUJKDBSA-N 1 2 308.470 1.763 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1 ZINC000491643447 527212277 /nfs/dbraw/zinc/21/22/77/527212277.db2.gz FUWJTVPFUFUYON-OLZOCXBDSA-N 1 2 319.380 1.073 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1 ZINC000491643447 527212281 /nfs/dbraw/zinc/21/22/81/527212281.db2.gz FUWJTVPFUFUYON-OLZOCXBDSA-N 1 2 319.380 1.073 20 30 DDEDLO C#C[C@@H](NC(=O)C(C)(C)[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000491651716 527264014 /nfs/dbraw/zinc/26/40/14/527264014.db2.gz ILUGSUAJLAJMIY-OAHLLOKOSA-N 1 2 304.365 1.727 20 30 DDEDLO C=CCn1c(-c2ccoc2)nnc1N(C)CC[NH+]1CCOCC1 ZINC000337843824 527278871 /nfs/dbraw/zinc/27/88/71/527278871.db2.gz GNDONGBQNVZYAI-UHFFFAOYSA-N 1 2 317.393 1.493 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000491546399 527300038 /nfs/dbraw/zinc/30/00/38/527300038.db2.gz VRFROSRIJUYFOH-UONOGXRCSA-N 1 2 302.378 1.113 20 30 DDEDLO C=CCn1c(CC2CC2)nnc1N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000337815066 527314090 /nfs/dbraw/zinc/31/40/90/527314090.db2.gz NMSGQNLQSWKTBV-OAHLLOKOSA-N 1 2 317.437 1.328 20 30 DDEDLO C=CCn1cc(CNC(=O)CCn2c(C)[nH+]c3ccccc32)nn1 ZINC000424907185 527365094 /nfs/dbraw/zinc/36/50/94/527365094.db2.gz HYTXHRUTAMAVQF-UHFFFAOYSA-N 1 2 324.388 1.829 20 30 DDEDLO C#C[C@H](CCC)NC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000491193273 527367781 /nfs/dbraw/zinc/36/77/81/527367781.db2.gz XJSKBMRHSANDSL-OAHLLOKOSA-N 1 2 300.406 1.711 20 30 DDEDLO C#C[C@H](CCC)NC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000490738519 527367905 /nfs/dbraw/zinc/36/79/05/527367905.db2.gz ASEMXBWWYJLTJE-ZIAGYGMSSA-N 1 2 303.410 1.600 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CC[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000491635117 527428579 /nfs/dbraw/zinc/42/85/79/527428579.db2.gz RUMROWLEMWTHJA-GJZGRUSLSA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CC[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000491635117 527428585 /nfs/dbraw/zinc/42/85/85/527428585.db2.gz RUMROWLEMWTHJA-GJZGRUSLSA-N 1 2 308.422 1.968 20 30 DDEDLO CC(C)C(=O)NC[C@H]1CCCN(C(=O)NCc2[nH+]ccn2C)C1 ZINC000330548997 527512640 /nfs/dbraw/zinc/51/26/40/527512640.db2.gz BFTYFFLLCGGELL-CYBMUJFWSA-N 1 2 321.425 1.318 20 30 DDEDLO C=CCCn1cc(C(=O)Nc2nc(C[NH+](C)C)cs2)nn1 ZINC000424891733 527530674 /nfs/dbraw/zinc/53/06/74/527530674.db2.gz KGNULTAELSEARH-UHFFFAOYSA-N 1 2 306.395 1.625 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NCCc1cn2ccccc2[nH+]1 ZINC000339934647 527599547 /nfs/dbraw/zinc/59/95/47/527599547.db2.gz WXTPFBHFYPMXCK-UHFFFAOYSA-N 1 2 312.373 1.194 20 30 DDEDLO CC(C)(C)C1C[NH+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000414149770 527638212 /nfs/dbraw/zinc/63/82/12/527638212.db2.gz UAWJTSXLPIXRRA-UHFFFAOYSA-N 1 2 307.419 1.705 20 30 DDEDLO CC(C)OCCNC(=O)N[C@@H]1CCO[C@H](c2c[nH+]cn2C)C1 ZINC000331214676 527906807 /nfs/dbraw/zinc/90/68/07/527906807.db2.gz NCXPWHZOCXXAES-OCCSQVGLSA-N 1 2 310.398 1.569 20 30 DDEDLO CC(C)OC[C@@H](CO)[N@H+](C)CC(=O)Nc1ccc(C#N)cc1 ZINC000433150289 528009455 /nfs/dbraw/zinc/00/94/55/528009455.db2.gz GBFDPSICMXFGFN-OAHLLOKOSA-N 1 2 305.378 1.214 20 30 DDEDLO CC(C)OC[C@@H](CO)[N@@H+](C)CC(=O)Nc1ccc(C#N)cc1 ZINC000433150289 528009463 /nfs/dbraw/zinc/00/94/63/528009463.db2.gz GBFDPSICMXFGFN-OAHLLOKOSA-N 1 2 305.378 1.214 20 30 DDEDLO CC(C)Oc1cncc(C(=O)NCC[N@@H+]2CCOC[C@H]2C)c1 ZINC000331099586 528267754 /nfs/dbraw/zinc/26/77/54/528267754.db2.gz CLIWAUXXQDZQFY-CYBMUJFWSA-N 1 2 307.394 1.894 20 30 DDEDLO CC(C)Oc1cncc(C(=O)NCC[N@H+]2CCOC[C@H]2C)c1 ZINC000331099586 528267759 /nfs/dbraw/zinc/26/77/59/528267759.db2.gz CLIWAUXXQDZQFY-CYBMUJFWSA-N 1 2 307.394 1.894 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@@H+]2CCC[C@@](C)(F)C2)CC1 ZINC000451119007 528338244 /nfs/dbraw/zinc/33/82/44/528338244.db2.gz YYXRFLSNCTZQEX-NVXWUHKLSA-N 1 2 324.444 1.503 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@H+]2CCC[C@@](C)(F)C2)CC1 ZINC000451119007 528338247 /nfs/dbraw/zinc/33/82/47/528338247.db2.gz YYXRFLSNCTZQEX-NVXWUHKLSA-N 1 2 324.444 1.503 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCCc1[nH+]cccc1C ZINC000451818954 528642741 /nfs/dbraw/zinc/64/27/41/528642741.db2.gz RNHSSPYYWGNKBH-LBPRGKRZSA-N 1 2 310.423 1.249 20 30 DDEDLO CCC1(CNC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)CCOCC1 ZINC000329929515 529030699 /nfs/dbraw/zinc/03/06/99/529030699.db2.gz NMWMBUMDCMEIPU-KBPBESRZSA-N 1 2 311.426 1.122 20 30 DDEDLO CCC1(CNC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)CCOCC1 ZINC000329929515 529030702 /nfs/dbraw/zinc/03/07/02/529030702.db2.gz NMWMBUMDCMEIPU-KBPBESRZSA-N 1 2 311.426 1.122 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1cc(Cl)ccc1-c1nn[nH]n1 ZINC000819698064 606074334 /nfs/dbraw/zinc/07/43/34/606074334.db2.gz RALUJHNNOITQAC-UHFFFAOYSA-N 1 2 318.768 1.414 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1cc(Cl)ccc1-c1nn[nH]n1 ZINC000819698064 606074339 /nfs/dbraw/zinc/07/43/39/606074339.db2.gz RALUJHNNOITQAC-UHFFFAOYSA-N 1 2 318.768 1.414 20 30 DDEDLO C=CC[N@@H+](Cc1cnnn1C)Cc1cccc(-c2nn[nH]n2)c1 ZINC000823914935 608631874 /nfs/dbraw/zinc/63/18/74/608631874.db2.gz PGDXOPXCQHMRMP-UHFFFAOYSA-N 1 2 310.365 1.183 20 30 DDEDLO C=CC[N@H+](Cc1cnnn1C)Cc1cccc(-c2nn[nH]n2)c1 ZINC000823914935 608631875 /nfs/dbraw/zinc/63/18/75/608631875.db2.gz PGDXOPXCQHMRMP-UHFFFAOYSA-N 1 2 310.365 1.183 20 30 DDEDLO Cc1oc(NC(=O)COC(=O)[C@@H]2CCCC[N@H+]2C)c(C#N)c1C ZINC000745082323 699971020 /nfs/dbraw/zinc/97/10/20/699971020.db2.gz NTXRGJKYGRKFHK-ZDUSSCGKSA-N 1 2 319.361 1.734 20 30 DDEDLO Cc1oc(NC(=O)COC(=O)[C@@H]2CCCC[N@@H+]2C)c(C#N)c1C ZINC000745082323 699971021 /nfs/dbraw/zinc/97/10/21/699971021.db2.gz NTXRGJKYGRKFHK-ZDUSSCGKSA-N 1 2 319.361 1.734 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@@H+](C)Cc3cnnn3C)C2)cc1 ZINC000972059337 695148680 /nfs/dbraw/zinc/14/86/80/695148680.db2.gz RLSYVGAPMIHOAY-INIZCTEOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@H+](C)Cc3cnnn3C)C2)cc1 ZINC000972059337 695148682 /nfs/dbraw/zinc/14/86/82/695148682.db2.gz RLSYVGAPMIHOAY-INIZCTEOSA-N 1 2 323.400 1.143 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCCO3)C2)C1 ZINC000972274464 695200843 /nfs/dbraw/zinc/20/08/43/695200843.db2.gz GILABHVSIWISBV-WMZOPIPTSA-N 1 2 320.433 1.272 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCCO3)C2)C1 ZINC000972274464 695200845 /nfs/dbraw/zinc/20/08/45/695200845.db2.gz GILABHVSIWISBV-WMZOPIPTSA-N 1 2 320.433 1.272 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(C)o3)C2)C1 ZINC000972345153 695222265 /nfs/dbraw/zinc/22/22/65/695222265.db2.gz BMPGJSSETRDMAA-GOSISDBHSA-N 1 2 316.401 1.457 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(C)o3)C2)C1 ZINC000972345153 695222268 /nfs/dbraw/zinc/22/22/68/695222268.db2.gz BMPGJSSETRDMAA-GOSISDBHSA-N 1 2 316.401 1.457 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3CC)C2)C1 ZINC000972366688 695230686 /nfs/dbraw/zinc/23/06/86/695230686.db2.gz ATBFMLCZFIJAHZ-KRWDZBQOSA-N 1 2 303.406 1.680 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3CC)C2)C1 ZINC000972366688 695230687 /nfs/dbraw/zinc/23/06/87/695230687.db2.gz ATBFMLCZFIJAHZ-KRWDZBQOSA-N 1 2 303.406 1.680 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(C)c3C)C2)C1 ZINC000972539400 695276679 /nfs/dbraw/zinc/27/66/79/695276679.db2.gz DRTPLZIBIBCUAW-KRWDZBQOSA-N 1 2 303.406 1.436 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(C)c3C)C2)C1 ZINC000972539400 695276681 /nfs/dbraw/zinc/27/66/81/695276681.db2.gz DRTPLZIBIBCUAW-KRWDZBQOSA-N 1 2 303.406 1.436 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(F)(F)F)C2)C1 ZINC000972648187 695308709 /nfs/dbraw/zinc/30/87/09/695308709.db2.gz XTMJFKAAFGGBKE-CQSZACIVSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(F)(F)F)C2)C1 ZINC000972648187 695308711 /nfs/dbraw/zinc/30/87/11/695308711.db2.gz XTMJFKAAFGGBKE-CQSZACIVSA-N 1 2 318.339 1.511 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc(C)nn2C)C(C)(C)C1 ZINC000972842420 695341205 /nfs/dbraw/zinc/34/12/05/695341205.db2.gz ZSZLCSBRNXXNJM-ZDUSSCGKSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc(C)nn2C)C(C)(C)C1 ZINC000972842420 695341207 /nfs/dbraw/zinc/34/12/07/695341207.db2.gz ZSZLCSBRNXXNJM-ZDUSSCGKSA-N 1 2 310.829 1.921 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CC[NH+](CCN2CCOC2=O)CC1 ZINC000746450822 700024757 /nfs/dbraw/zinc/02/47/57/700024757.db2.gz PJBWCQXINPDNLO-MRXNPFEDSA-N 1 2 314.389 1.321 20 30 DDEDLO COc1ccc(CNC(=O)CO[NH+]=C(N)c2ccccc2)cc1 ZINC000005295711 696012873 /nfs/dbraw/zinc/01/28/73/696012873.db2.gz YMSCQHVULCBRHA-UHFFFAOYSA-N 1 2 313.357 1.649 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+](C)[C@@H](C)CS(C)(=O)=O)cc1 ZINC000093384536 696600820 /nfs/dbraw/zinc/60/08/20/696600820.db2.gz LCSXMSAPZRISCI-STQMWFEESA-N 1 2 310.419 1.842 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+](C)[C@@H](C)CS(C)(=O)=O)cc1 ZINC000093384536 696600822 /nfs/dbraw/zinc/60/08/22/696600822.db2.gz LCSXMSAPZRISCI-STQMWFEESA-N 1 2 310.419 1.842 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N(C)CCCn2cc[nH+]c2)c1 ZINC000129887532 696792689 /nfs/dbraw/zinc/79/26/89/696792689.db2.gz LHQMEDJLXKZAHR-UHFFFAOYSA-N 1 2 310.357 1.352 20 30 DDEDLO Cn1cc(C(=O)N2CCC[N@H+](CC#Cc3ccccc3)CC2)cn1 ZINC000980686014 696845958 /nfs/dbraw/zinc/84/59/58/696845958.db2.gz NZWLYJWNXUBJAT-UHFFFAOYSA-N 1 2 322.412 1.620 20 30 DDEDLO Cn1cc(C(=O)N2CCC[N@@H+](CC#Cc3ccccc3)CC2)cn1 ZINC000980686014 696845960 /nfs/dbraw/zinc/84/59/60/696845960.db2.gz NZWLYJWNXUBJAT-UHFFFAOYSA-N 1 2 322.412 1.620 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)N1CCCc2ccccc21 ZINC000137633133 696859157 /nfs/dbraw/zinc/85/91/57/696859157.db2.gz URLLLDAOBJQWRE-UHFFFAOYSA-N 1 2 324.384 1.892 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC000981670363 696867949 /nfs/dbraw/zinc/86/79/49/696867949.db2.gz SIUUOYVQRXFBSN-LBPRGKRZSA-N 1 2 302.378 1.567 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC000981670363 696867952 /nfs/dbraw/zinc/86/79/52/696867952.db2.gz SIUUOYVQRXFBSN-LBPRGKRZSA-N 1 2 302.378 1.567 20 30 DDEDLO Cc1conc1C[N@@H+]1CCCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC000981758533 696904428 /nfs/dbraw/zinc/90/44/28/696904428.db2.gz UANFEZPEGKCOBQ-UHFFFAOYSA-N 1 2 313.401 1.821 20 30 DDEDLO Cc1conc1C[N@H+]1CCCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC000981758533 696904430 /nfs/dbraw/zinc/90/44/30/696904430.db2.gz UANFEZPEGKCOBQ-UHFFFAOYSA-N 1 2 313.401 1.821 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)NC3CC3)CC2)C1 ZINC000981917883 696968046 /nfs/dbraw/zinc/96/80/46/696968046.db2.gz IFWRLXUGIBRWJV-UHFFFAOYSA-N 1 2 305.422 1.156 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)NC3CC3)CC2)C1 ZINC000981917883 696968048 /nfs/dbraw/zinc/96/80/48/696968048.db2.gz IFWRLXUGIBRWJV-UHFFFAOYSA-N 1 2 305.422 1.156 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCN(C(=O)C#CC3CC3)CC2)sn1 ZINC000981126090 697011638 /nfs/dbraw/zinc/01/16/38/697011638.db2.gz OLUVINRFDNHXKB-UHFFFAOYSA-N 1 2 303.431 1.899 20 30 DDEDLO Cc1cc(C[N@H+]2CCCN(C(=O)C#CC3CC3)CC2)sn1 ZINC000981126090 697011640 /nfs/dbraw/zinc/01/16/40/697011640.db2.gz OLUVINRFDNHXKB-UHFFFAOYSA-N 1 2 303.431 1.899 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)N2CCN(C(=O)[C@@H](C)CC)CC2)CC1 ZINC000985824569 697580248 /nfs/dbraw/zinc/58/02/48/697580248.db2.gz GBZHMUJHWXPCAF-HNNXBMFYSA-N 1 2 322.453 1.100 20 30 DDEDLO COc1cc(C[N@H+](C)CC(=O)NCc2ccco2)ccc1C#N ZINC000799729603 700163223 /nfs/dbraw/zinc/16/32/23/700163223.db2.gz SLHDSPXKGYOHKS-UHFFFAOYSA-N 1 2 313.357 1.908 20 30 DDEDLO COc1cc(C[N@@H+](C)CC(=O)NCc2ccco2)ccc1C#N ZINC000799729603 700163225 /nfs/dbraw/zinc/16/32/25/700163225.db2.gz SLHDSPXKGYOHKS-UHFFFAOYSA-N 1 2 313.357 1.908 20 30 DDEDLO CCCCCCCS(=O)(=O)NC[C@@H](C)C[NH+]1CCOCC1 ZINC000799773736 700165706 /nfs/dbraw/zinc/16/57/06/700165706.db2.gz WSMHRPALBOLCGI-OAHLLOKOSA-N 1 2 320.499 1.845 20 30 DDEDLO COC(=O)[C@]1(NC(=O)CC#N)CCC[N@H+](Cc2ccccc2)C1 ZINC000773282933 697737967 /nfs/dbraw/zinc/73/79/67/697737967.db2.gz AEPHOLUMFGKLDM-KRWDZBQOSA-N 1 2 315.373 1.224 20 30 DDEDLO COC(=O)[C@]1(NC(=O)CC#N)CCC[N@@H+](Cc2ccccc2)C1 ZINC000773282933 697737968 /nfs/dbraw/zinc/73/79/68/697737968.db2.gz AEPHOLUMFGKLDM-KRWDZBQOSA-N 1 2 315.373 1.224 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CCOC)c1ccc(C)o1 ZINC000778489563 698341154 /nfs/dbraw/zinc/34/11/54/698341154.db2.gz RZUNDTNPMLPWEH-CABCVRRESA-N 1 2 304.390 1.879 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CCOC)c1ccc(C)o1 ZINC000778489563 698341156 /nfs/dbraw/zinc/34/11/56/698341156.db2.gz RZUNDTNPMLPWEH-CABCVRRESA-N 1 2 304.390 1.879 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N1CC[NH+](CC[S@@](C)=O)CC1 ZINC000782184354 698694563 /nfs/dbraw/zinc/69/45/63/698694563.db2.gz CYOVBMWIEYYCTK-SPLOXXLWSA-N 1 2 305.447 1.615 20 30 DDEDLO C=C(C)CC[N@@H+]1CCCN(C(=O)[C@@H]2CCCS2(=O)=O)CC1 ZINC000989699750 698732516 /nfs/dbraw/zinc/73/25/16/698732516.db2.gz UQDWKERIDUMCFK-AWEZNQCLSA-N 1 2 314.451 1.064 20 30 DDEDLO C=C(C)CC[N@H+]1CCCN(C(=O)[C@@H]2CCCS2(=O)=O)CC1 ZINC000989699750 698732519 /nfs/dbraw/zinc/73/25/19/698732519.db2.gz UQDWKERIDUMCFK-AWEZNQCLSA-N 1 2 314.451 1.064 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(Cl)c(C(=O)NC)c1 ZINC000730098005 699500956 /nfs/dbraw/zinc/50/09/56/699500956.db2.gz QRAMADSSRDSPQM-AWEZNQCLSA-N 1 2 319.792 1.736 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(Cl)c(C(=O)NC)c1 ZINC000730098005 699500959 /nfs/dbraw/zinc/50/09/59/699500959.db2.gz QRAMADSSRDSPQM-AWEZNQCLSA-N 1 2 319.792 1.736 20 30 DDEDLO C#CCNC(=O)C[N@@H+](CCC(=O)OC)Cc1ccc(F)c(F)c1 ZINC000732206199 699550056 /nfs/dbraw/zinc/55/00/56/699550056.db2.gz SJCSGAXKGWHPNL-UHFFFAOYSA-N 1 2 324.327 1.079 20 30 DDEDLO C#CCNC(=O)C[N@H+](CCC(=O)OC)Cc1ccc(F)c(F)c1 ZINC000732206199 699550057 /nfs/dbraw/zinc/55/00/57/699550057.db2.gz SJCSGAXKGWHPNL-UHFFFAOYSA-N 1 2 324.327 1.079 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@]12CCCN(C(=O)OC(C)(C)C)C2 ZINC000794575709 699817320 /nfs/dbraw/zinc/81/73/20/699817320.db2.gz NEKOJJFCIJFRTN-KRWDZBQOSA-N 1 2 321.421 1.211 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@]12CCCN(C(=O)OC(C)(C)C)C2 ZINC000794575709 699817323 /nfs/dbraw/zinc/81/73/23/699817323.db2.gz NEKOJJFCIJFRTN-KRWDZBQOSA-N 1 2 321.421 1.211 20 30 DDEDLO COc1ccc(C[NH2+]Cc2nnnn2-c2ccccc2)cc1C#N ZINC000742369448 699875776 /nfs/dbraw/zinc/87/57/76/699875776.db2.gz WNBVICOQZACDIK-UHFFFAOYSA-N 1 2 320.356 1.832 20 30 DDEDLO C#C[C@@H](C)OC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000796729488 699949280 /nfs/dbraw/zinc/94/92/80/699949280.db2.gz YCOBNGZFGVGDMA-XJKCOSOUSA-N 1 2 317.389 1.675 20 30 DDEDLO Cc1nc2[nH]ccc2c(NCCNC(=O)C2N=CC=CC2=O)[nH+]1 ZINC000751225771 700274508 /nfs/dbraw/zinc/27/45/08/700274508.db2.gz NALFPEJFPJJHMS-QINSGFPZSA-N 1 2 312.333 1.205 20 30 DDEDLO C#CC(=O)N1CC[NH+](Cc2ccc(OC(F)(F)F)cc2)CC1 ZINC000753929221 700468060 /nfs/dbraw/zinc/46/80/60/700468060.db2.gz PTGVUNIFIFAOKV-UHFFFAOYSA-N 1 2 312.291 1.863 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@@H+]2CCc3ncc([N+](=O)[O-])cc3C2)cc1 ZINC000754209486 700489740 /nfs/dbraw/zinc/48/97/40/700489740.db2.gz JGZOGDHNFSSXIY-QGZVFWFLSA-N 1 2 324.340 1.953 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@H+]2CCc3ncc([N+](=O)[O-])cc3C2)cc1 ZINC000754209486 700489741 /nfs/dbraw/zinc/48/97/41/700489741.db2.gz JGZOGDHNFSSXIY-QGZVFWFLSA-N 1 2 324.340 1.953 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@]2(CCOC2)C1 ZINC000756903183 700648353 /nfs/dbraw/zinc/64/83/53/700648353.db2.gz MKYKGDKLSOEPQF-WBVHZDCISA-N 1 2 300.358 1.885 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@]2(CCOC2)C1 ZINC000756903183 700648354 /nfs/dbraw/zinc/64/83/54/700648354.db2.gz MKYKGDKLSOEPQF-WBVHZDCISA-N 1 2 300.358 1.885 20 30 DDEDLO N#CCOc1cccc(CC(=O)N[C@@H]2CCc3c[nH+]cn3C2)c1 ZINC000804333658 701179374 /nfs/dbraw/zinc/17/93/74/701179374.db2.gz OJXSCBLLBAHRTO-CQSZACIVSA-N 1 2 310.357 1.459 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000769975941 701262804 /nfs/dbraw/zinc/26/28/04/701262804.db2.gz PCOSJEYUWIQXMY-QMMMGPOBSA-N 1 2 302.334 1.437 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000769975941 701262805 /nfs/dbraw/zinc/26/28/05/701262805.db2.gz PCOSJEYUWIQXMY-QMMMGPOBSA-N 1 2 302.334 1.437 20 30 DDEDLO N#Cc1cccc(CNC(=O)CO[NH+]=C(N)Cc2cccnc2)c1 ZINC000771674783 701328066 /nfs/dbraw/zinc/32/80/66/701328066.db2.gz VGICYNLOIUPXFU-UHFFFAOYSA-N 1 2 323.356 1.311 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(C(=O)N(C)CC)c1 ZINC000805447298 701382484 /nfs/dbraw/zinc/38/24/84/701382484.db2.gz AJJMXOMSXDAWOV-INIZCTEOSA-N 1 2 313.401 1.815 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(C(=O)N(C)CC)c1 ZINC000805447298 701382485 /nfs/dbraw/zinc/38/24/85/701382485.db2.gz AJJMXOMSXDAWOV-INIZCTEOSA-N 1 2 313.401 1.815 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCCOc2cccc(C#N)c2)C1 ZINC000805477753 701384794 /nfs/dbraw/zinc/38/47/94/701384794.db2.gz NFLYZBIRQXSYNY-HNNXBMFYSA-N 1 2 304.346 1.201 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCCOc2cccc(C#N)c2)C1 ZINC000805477753 701384796 /nfs/dbraw/zinc/38/47/96/701384796.db2.gz NFLYZBIRQXSYNY-HNNXBMFYSA-N 1 2 304.346 1.201 20 30 DDEDLO O=C1C=C([NH2+]Nc2nc(-c3cccnc3)no2)[C@@H]2CCCCN12 ZINC000814949456 701775376 /nfs/dbraw/zinc/77/53/76/701775376.db2.gz LJKTVOHPDJAZOW-LBPRGKRZSA-N 1 2 312.333 1.684 20 30 DDEDLO CC(C)(C)OC(=O)N(CCO)C1CC[NH+](CC2(C#N)CC2)CC1 ZINC000879228296 706621905 /nfs/dbraw/zinc/62/19/05/706621905.db2.gz YHRDNULEUMQSPN-UHFFFAOYSA-N 1 2 323.437 1.984 20 30 DDEDLO COc1cccc(C[NH+]2CCN(c3ncncc3C#N)CC2)c1 ZINC000866124795 706626199 /nfs/dbraw/zinc/62/61/99/706626199.db2.gz AJDVFOCEUYYNLE-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CC[C@@H](O)CN[NH+]=Cc1c(C)nn2c1[nH]c1ccccc1c2=O ZINC000811656322 702022772 /nfs/dbraw/zinc/02/27/72/702022772.db2.gz JMYPQYFJCRHUCI-LLVKDONJSA-N 1 2 313.361 1.179 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)N1CCN(c2ccc(C#N)cc2)CC1 ZINC000868624135 702229548 /nfs/dbraw/zinc/22/95/48/702229548.db2.gz OOMNMDBXMRNDRR-UHFFFAOYSA-N 1 2 324.388 1.324 20 30 DDEDLO C#CCONC(=O)c1cccc(NC(=O)CCn2cc[nH+]c2)c1 ZINC000812782987 702236696 /nfs/dbraw/zinc/23/66/96/702236696.db2.gz SYFKJCAATGLAKX-UHFFFAOYSA-N 1 2 312.329 1.207 20 30 DDEDLO N#Cc1ccc(CNC(=O)/C=C/C[NH+]2CCOCC2)cc1F ZINC000868792334 702322691 /nfs/dbraw/zinc/32/26/91/702322691.db2.gz GQPQHEFEEYMSNV-OWOJBTEDSA-N 1 2 303.337 1.202 20 30 DDEDLO COC[C@H](C[N@@H+]1CCO[C@@H](C)C1)OC(=O)c1cc(C#N)[nH]c1C ZINC000842969834 702796226 /nfs/dbraw/zinc/79/62/26/702796226.db2.gz XVRFRQSDWYZUTQ-FZMZJTMJSA-N 1 2 321.377 1.087 20 30 DDEDLO COC[C@H](C[N@H+]1CCO[C@@H](C)C1)OC(=O)c1cc(C#N)[nH]c1C ZINC000842969834 702796227 /nfs/dbraw/zinc/79/62/27/702796227.db2.gz XVRFRQSDWYZUTQ-FZMZJTMJSA-N 1 2 321.377 1.087 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CCOc2cc(N(C)C)ccc21 ZINC000843810188 702927705 /nfs/dbraw/zinc/92/77/05/702927705.db2.gz DKHQUWIAZAUDLO-INIZCTEOSA-N 1 2 313.401 1.576 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCOc2cc(N(C)C)ccc21 ZINC000843810188 702927709 /nfs/dbraw/zinc/92/77/09/702927709.db2.gz DKHQUWIAZAUDLO-INIZCTEOSA-N 1 2 313.401 1.576 20 30 DDEDLO C#CCOc1ccc(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)cc1 ZINC000844145326 702978059 /nfs/dbraw/zinc/97/80/59/702978059.db2.gz UMKNZBHRGXYZIX-OAHLLOKOSA-N 1 2 309.369 1.774 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CC(=O)NC3CCCC3)CC2)cc1 ZINC000879584703 706728353 /nfs/dbraw/zinc/72/83/53/706728353.db2.gz GSWDGFFBCUIVDM-UHFFFAOYSA-N 1 2 312.417 1.739 20 30 DDEDLO C=CCN(CC(=O)OCC)C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845885446 703212219 /nfs/dbraw/zinc/21/22/19/703212219.db2.gz PGSHIXFKJYHBRV-UHFFFAOYSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(C(=O)NCC(C)C)CC2)nn1 ZINC000846765868 703328370 /nfs/dbraw/zinc/32/83/70/703328370.db2.gz YVHYNUAEQQZDGI-UHFFFAOYSA-N 1 2 319.453 1.838 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CC(=O)Nc2ccc(OC)cc2[N+](=O)[O-])C1 ZINC000847024720 703367407 /nfs/dbraw/zinc/36/74/07/703367407.db2.gz KTHCDAYLOAKDMF-GFCCVEGCSA-N 1 2 317.345 1.887 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CC(=O)Nc2ccc(OC)cc2[N+](=O)[O-])C1 ZINC000847024720 703367409 /nfs/dbraw/zinc/36/74/09/703367409.db2.gz KTHCDAYLOAKDMF-GFCCVEGCSA-N 1 2 317.345 1.887 20 30 DDEDLO COc1nc2sccn2c1C=NNCCCn1cc[nH+]c1 ZINC000848419517 703548482 /nfs/dbraw/zinc/54/84/82/703548482.db2.gz WELBPFGQUPJBKD-UHFFFAOYSA-N 1 2 304.379 1.615 20 30 DDEDLO N#Cc1ccccc1NC(=S)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000849288429 703632331 /nfs/dbraw/zinc/63/23/31/703632331.db2.gz BLYNTKKVUGSEOY-AWEZNQCLSA-N 1 2 316.430 1.662 20 30 DDEDLO C#CCOCC[NH+]1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000851821915 703864561 /nfs/dbraw/zinc/86/45/61/703864561.db2.gz CMLOBNNPSMFYJA-UHFFFAOYSA-N 1 2 300.362 1.195 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)OCc2ccccc2)CC1 ZINC000851861733 703872840 /nfs/dbraw/zinc/87/28/40/703872840.db2.gz SHDGLAXABFRPHM-UHFFFAOYSA-N 1 2 302.374 1.591 20 30 DDEDLO COC(=O)Cc1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000819400081 704120738 /nfs/dbraw/zinc/12/07/38/704120738.db2.gz DMIOMUZKHUNIDY-OAHLLOKOSA-N 1 2 305.378 1.020 20 30 DDEDLO COC(=O)Cc1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000819400081 704120740 /nfs/dbraw/zinc/12/07/40/704120740.db2.gz DMIOMUZKHUNIDY-OAHLLOKOSA-N 1 2 305.378 1.020 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@H+]1C[C@@H](C)OC[C@@H]1C ZINC000853504132 704252563 /nfs/dbraw/zinc/25/25/63/704252563.db2.gz NLYLKOPGHGGWGR-WDEREUQCSA-N 1 2 320.231 1.303 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@@H]1C ZINC000853504132 704252565 /nfs/dbraw/zinc/25/25/65/704252565.db2.gz NLYLKOPGHGGWGR-WDEREUQCSA-N 1 2 320.231 1.303 20 30 DDEDLO Cc1ccc(O[C@@H]2CC[N@H+](CC(=O)NC3(C#N)CCC3)C2)nc1 ZINC000858040433 704661206 /nfs/dbraw/zinc/66/12/06/704661206.db2.gz NFAZFHBHGIXKJA-CQSZACIVSA-N 1 2 314.389 1.406 20 30 DDEDLO Cc1ccc(O[C@@H]2CC[N@@H+](CC(=O)NC3(C#N)CCC3)C2)nc1 ZINC000858040433 704661207 /nfs/dbraw/zinc/66/12/07/704661207.db2.gz NFAZFHBHGIXKJA-CQSZACIVSA-N 1 2 314.389 1.406 20 30 DDEDLO C#CC[C@H](COC)[NH2+]Cc1csc(N(C(C)=O)C2CC2)n1 ZINC000858335538 704696516 /nfs/dbraw/zinc/69/65/16/704696516.db2.gz XEZAAEMOQQKORS-GFCCVEGCSA-N 1 2 307.419 1.786 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CC[C@@H](C)O3)n2CC=C)CC1 ZINC000858894904 704769268 /nfs/dbraw/zinc/76/92/68/704769268.db2.gz UAFGRHZJOOIYEB-HUUCEWRRSA-N 1 2 315.421 1.459 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000859019585 704785365 /nfs/dbraw/zinc/78/53/65/704785365.db2.gz UNOGSCUWWSCIIL-HUUCEWRRSA-N 1 2 318.421 1.738 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CC[NH+](Cc2cc3ccccc3[nH]2)CC1 ZINC000860012021 705070997 /nfs/dbraw/zinc/07/09/97/705070997.db2.gz BRJSEMFVRXDBGA-HNNXBMFYSA-N 1 2 324.428 1.423 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H](CS(=O)(=O)NC)C1 ZINC000860196578 705128067 /nfs/dbraw/zinc/12/80/67/705128067.db2.gz CZNCNSHYJAUDEL-JTQLQIEISA-N 1 2 311.245 1.156 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H](CS(=O)(=O)NC)C1 ZINC000860196578 705128069 /nfs/dbraw/zinc/12/80/69/705128069.db2.gz CZNCNSHYJAUDEL-JTQLQIEISA-N 1 2 311.245 1.156 20 30 DDEDLO COC(=O)c1ccc(N[NH2+]C2=CC(=O)N3CCC[C@@H]23)cc1F ZINC000835018040 707156964 /nfs/dbraw/zinc/15/69/64/707156964.db2.gz FFYFAKRHRCEVLA-ZDUSSCGKSA-N 1 2 305.309 1.775 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCC(C#N)(C(=O)OC)CC2)cc1 ZINC000824867611 705547081 /nfs/dbraw/zinc/54/70/81/705547081.db2.gz SLEUICSQVJECLQ-UHFFFAOYSA-N 1 2 316.357 1.752 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CCO[C@H](C#N)C1 ZINC000876207995 705659881 /nfs/dbraw/zinc/65/98/81/705659881.db2.gz JJQYXSUVEWCCJS-UKRRQHHQSA-N 1 2 300.362 1.107 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CCO[C@H](C#N)C1 ZINC000876207995 705659884 /nfs/dbraw/zinc/65/98/84/705659884.db2.gz JJQYXSUVEWCCJS-UKRRQHHQSA-N 1 2 300.362 1.107 20 30 DDEDLO C#C[C@@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)c1ccccc1 ZINC000825526913 705687481 /nfs/dbraw/zinc/68/74/81/705687481.db2.gz VURGRRKEHMYQIS-MRXNPFEDSA-N 1 2 315.417 1.771 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@@H](CNC(=O)[C@@H](C)CC#N)C2)c1 ZINC000826551960 705812308 /nfs/dbraw/zinc/81/23/08/705812308.db2.gz TZXAIZDIEYTHSG-RDJZCZTQSA-N 1 2 315.417 1.862 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@@H](CNC(=O)[C@@H](C)CC#N)C2)c1 ZINC000826551960 705812312 /nfs/dbraw/zinc/81/23/12/705812312.db2.gz TZXAIZDIEYTHSG-RDJZCZTQSA-N 1 2 315.417 1.862 20 30 DDEDLO CC[C@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000826588750 705820577 /nfs/dbraw/zinc/82/05/77/705820577.db2.gz BFUKPRZIWXFZBE-IAGOWNOFSA-N 1 2 315.417 1.862 20 30 DDEDLO CC[C@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000826588750 705820579 /nfs/dbraw/zinc/82/05/79/705820579.db2.gz BFUKPRZIWXFZBE-IAGOWNOFSA-N 1 2 315.417 1.862 20 30 DDEDLO O=S1(=O)CCC[C@H](NN=C2CCN(c3cccc[nH+]3)CC2)C1 ZINC000863129494 705863925 /nfs/dbraw/zinc/86/39/25/705863925.db2.gz MDBYMICLDXFFMF-AWEZNQCLSA-N 1 2 322.434 1.205 20 30 DDEDLO C=C(Cl)C[C@H]([NH3+])C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000876877933 705903411 /nfs/dbraw/zinc/90/34/11/705903411.db2.gz LNNDCISGNCDIEG-NEPJUHHUSA-N 1 2 323.828 1.858 20 30 DDEDLO C#Cc1cnc(NC[C@@H](c2ccccc2)[NH+]2CCOCC2)nc1 ZINC000827354001 705984563 /nfs/dbraw/zinc/98/45/63/705984563.db2.gz LZVKDSYDSQNUAC-KRWDZBQOSA-N 1 2 308.385 1.943 20 30 DDEDLO C=C(C)[C@H](CO)[N@H+]1CCO[C@@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000828052835 706109875 /nfs/dbraw/zinc/10/98/75/706109875.db2.gz LRNVZTIPXYSWQY-HZSPNIEDSA-N 1 2 314.426 1.537 20 30 DDEDLO C=C(C)[C@H](CO)[N@@H+]1CCO[C@@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000828052835 706109877 /nfs/dbraw/zinc/10/98/77/706109877.db2.gz LRNVZTIPXYSWQY-HZSPNIEDSA-N 1 2 314.426 1.537 20 30 DDEDLO COC[C@H]1C[N@H+](Cc2cc(C#N)ccc2N(C)C)C[C@@H](C)O1 ZINC000877463682 706113888 /nfs/dbraw/zinc/11/38/88/706113888.db2.gz IXURJOHLXHTHEZ-CZUORRHYSA-N 1 2 303.406 1.860 20 30 DDEDLO COC[C@H]1C[N@@H+](Cc2cc(C#N)ccc2N(C)C)C[C@@H](C)O1 ZINC000877463682 706113892 /nfs/dbraw/zinc/11/38/92/706113892.db2.gz IXURJOHLXHTHEZ-CZUORRHYSA-N 1 2 303.406 1.860 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)NCCCn1cc[nH+]c1)C2 ZINC000864425554 706165051 /nfs/dbraw/zinc/16/50/51/706165051.db2.gz JZIFOTJUHURFTK-MRXNPFEDSA-N 1 2 309.373 1.611 20 30 DDEDLO C#CCNC(=S)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000865266582 706392270 /nfs/dbraw/zinc/39/22/70/706392270.db2.gz SIILVTKEQLZBBC-INIZCTEOSA-N 1 2 317.458 1.293 20 30 DDEDLO C#CCNC(=S)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000865266582 706392274 /nfs/dbraw/zinc/39/22/74/706392274.db2.gz SIILVTKEQLZBBC-INIZCTEOSA-N 1 2 317.458 1.293 20 30 DDEDLO C#CCNC(=S)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000865266583 706392398 /nfs/dbraw/zinc/39/23/98/706392398.db2.gz SIILVTKEQLZBBC-MRXNPFEDSA-N 1 2 317.458 1.293 20 30 DDEDLO C#CCNC(=S)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000865266583 706392400 /nfs/dbraw/zinc/39/24/00/706392400.db2.gz SIILVTKEQLZBBC-MRXNPFEDSA-N 1 2 317.458 1.293 20 30 DDEDLO CC(C)C#CC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000829908688 706417663 /nfs/dbraw/zinc/41/76/63/706417663.db2.gz UVSPGELXJJAZFE-CQSZACIVSA-N 1 2 323.437 1.313 20 30 DDEDLO CC(C)C#CC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000829908688 706417665 /nfs/dbraw/zinc/41/76/65/706417665.db2.gz UVSPGELXJJAZFE-CQSZACIVSA-N 1 2 323.437 1.313 20 30 DDEDLO CO[C@H]1COCC[C@H]1[N@H+](C)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000880434771 706975177 /nfs/dbraw/zinc/97/51/77/706975177.db2.gz GLKNCZDBHPUDHK-CABCVRRESA-N 1 2 305.334 1.702 20 30 DDEDLO CO[C@H]1COCC[C@H]1[N@@H+](C)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000880434771 706975178 /nfs/dbraw/zinc/97/51/78/706975178.db2.gz GLKNCZDBHPUDHK-CABCVRRESA-N 1 2 305.334 1.702 20 30 DDEDLO CC(C)C[C@@H](C(N)=O)[N@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000880587391 707028423 /nfs/dbraw/zinc/02/84/23/707028423.db2.gz LDAHHUJMUSKDJN-ZDUSSCGKSA-N 1 2 308.426 1.161 20 30 DDEDLO CC(C)C[C@@H](C(N)=O)[N@@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000880587391 707028425 /nfs/dbraw/zinc/02/84/25/707028425.db2.gz LDAHHUJMUSKDJN-ZDUSSCGKSA-N 1 2 308.426 1.161 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000834555555 707085749 /nfs/dbraw/zinc/08/57/49/707085749.db2.gz AHGMXBGUEULRKF-HUUCEWRRSA-N 1 2 318.421 1.597 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(-c2nnc[nH]2)c1 ZINC000834687337 707103192 /nfs/dbraw/zinc/10/31/92/707103192.db2.gz QKUPXXJJFOYQFZ-AWEZNQCLSA-N 1 2 313.336 1.647 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(-c2nnc[nH]2)c1 ZINC000834687337 707103195 /nfs/dbraw/zinc/10/31/95/707103195.db2.gz QKUPXXJJFOYQFZ-AWEZNQCLSA-N 1 2 313.336 1.647 20 30 DDEDLO CCOc1cc(C#N)ccc1OS(=O)(=O)CCn1cc[nH+]c1 ZINC000881748331 707349863 /nfs/dbraw/zinc/34/98/63/707349863.db2.gz NGYMYAFWELRVNP-UHFFFAOYSA-N 1 2 321.358 1.562 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[NH+]1CCC2(CC1)OCCO2 ZINC000838789866 707978656 /nfs/dbraw/zinc/97/86/56/707978656.db2.gz BSVBHEWZRLTVMH-UHFFFAOYSA-N 1 2 303.318 1.805 20 30 DDEDLO C#CCOCCNC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000883610408 708036650 /nfs/dbraw/zinc/03/66/50/708036650.db2.gz UZVCSHVFPHNCSC-MRXNPFEDSA-N 1 2 312.373 1.573 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCc2ccccc2C1 ZINC000884056926 708120709 /nfs/dbraw/zinc/12/07/09/708120709.db2.gz OOAVNQQFIFMPPE-GJZGRUSLSA-N 1 2 302.374 1.107 20 30 DDEDLO COc1c(N)[nH+]cnc1N1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000896872128 708169747 /nfs/dbraw/zinc/16/97/47/708169747.db2.gz ACOILEOOISQICC-ZDUSSCGKSA-N 1 2 311.345 1.517 20 30 DDEDLO COc1c(N)nc[nH+]c1N1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000896872128 708169748 /nfs/dbraw/zinc/16/97/48/708169748.db2.gz ACOILEOOISQICC-ZDUSSCGKSA-N 1 2 311.345 1.517 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1c2ccccc2CC[C@@H]1C ZINC000884316954 708241393 /nfs/dbraw/zinc/24/13/93/708241393.db2.gz HPOXNHSECHIWDF-NUTKFTJISA-N 1 2 316.401 1.873 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCOc2c(C)cc(C)cc21 ZINC000884387589 708275295 /nfs/dbraw/zinc/27/52/95/708275295.db2.gz XXUISSSSKLTXAF-ZDUSSCGKSA-N 1 2 318.373 1.475 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000884449036 708301566 /nfs/dbraw/zinc/30/15/66/708301566.db2.gz TVCKNUTXKWLSQQ-OWCLPIDISA-N 1 2 316.401 1.886 20 30 DDEDLO COCCN(C)c1ccc(C[NH2+]Cc2nc(C#N)cs2)cn1 ZINC000897628054 708400421 /nfs/dbraw/zinc/40/04/21/708400421.db2.gz BEYRMOQJQYVQQM-UHFFFAOYSA-N 1 2 317.418 1.782 20 30 DDEDLO C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000885081340 708465939 /nfs/dbraw/zinc/46/59/39/708465939.db2.gz OYGHZJTWDHTFTC-KBPBESRZSA-N 1 2 321.446 1.856 20 30 DDEDLO C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000885081340 708465941 /nfs/dbraw/zinc/46/59/41/708465941.db2.gz OYGHZJTWDHTFTC-KBPBESRZSA-N 1 2 321.446 1.856 20 30 DDEDLO N#Cc1ccccc1NC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000912534947 713031999 /nfs/dbraw/zinc/03/19/99/713031999.db2.gz HYODATRMRVPBJJ-UHFFFAOYSA-N 1 2 309.373 1.927 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1 ZINC000898006939 708517920 /nfs/dbraw/zinc/51/79/20/708517920.db2.gz IHLNOZSVUWZMPF-CQDKDKBSSA-N 1 2 304.394 1.497 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1 ZINC000898006939 708517924 /nfs/dbraw/zinc/51/79/24/708517924.db2.gz IHLNOZSVUWZMPF-CQDKDKBSSA-N 1 2 304.394 1.497 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(N(C)C)c2)C1 ZINC000885512142 708562808 /nfs/dbraw/zinc/56/28/08/708562808.db2.gz RXMGVEZIAVVGGU-CQSZACIVSA-N 1 2 321.446 1.129 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(N(C)C)c2)C1 ZINC000885512142 708562811 /nfs/dbraw/zinc/56/28/11/708562811.db2.gz RXMGVEZIAVVGGU-CQSZACIVSA-N 1 2 321.446 1.129 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C#N)c(C)c2)C1 ZINC000885512530 708563402 /nfs/dbraw/zinc/56/34/02/708563402.db2.gz WOWSKSFVYDOTPU-OAHLLOKOSA-N 1 2 317.414 1.243 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C#N)c(C)c2)C1 ZINC000885512530 708563406 /nfs/dbraw/zinc/56/34/06/708563406.db2.gz WOWSKSFVYDOTPU-OAHLLOKOSA-N 1 2 317.414 1.243 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(OC)cc2)C1 ZINC000885513650 708563621 /nfs/dbraw/zinc/56/36/21/708563621.db2.gz BIBPHUYSXNLCQF-ZDUSSCGKSA-N 1 2 308.403 1.071 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(OC)cc2)C1 ZINC000885513650 708563622 /nfs/dbraw/zinc/56/36/22/708563622.db2.gz BIBPHUYSXNLCQF-ZDUSSCGKSA-N 1 2 308.403 1.071 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N[C@@]2(C#N)CC2(C)C)C1 ZINC000886406360 708755025 /nfs/dbraw/zinc/75/50/25/708755025.db2.gz WOBSJHYNUYQZLF-MRXNPFEDSA-N 1 2 319.430 1.645 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N[C@@]2(C#N)CC2(C)C)C1 ZINC000886406360 708755026 /nfs/dbraw/zinc/75/50/26/708755026.db2.gz WOBSJHYNUYQZLF-MRXNPFEDSA-N 1 2 319.430 1.645 20 30 DDEDLO C#CCN1CCC[C@@H](NC(=O)NC[C@H](C)Cn2cc[nH+]c2C)C1 ZINC000888026297 709194042 /nfs/dbraw/zinc/19/40/42/709194042.db2.gz VCJFARZTLYIXEJ-GOEBONIOSA-N 1 2 317.437 1.224 20 30 DDEDLO C/C(=C\C(=O)NC1(C#N)CCSCC1)C[NH+]1CCOCC1 ZINC000901207873 709968856 /nfs/dbraw/zinc/96/88/56/709968856.db2.gz ORFSBNSAEQMOOL-JLHYYAGUSA-N 1 2 309.435 1.170 20 30 DDEDLO N#C[C@@H]1CCCN(C(=O)c2cccn2CC[NH+]2CCOCC2)C1 ZINC000928215347 713153670 /nfs/dbraw/zinc/15/36/70/713153670.db2.gz KPEGHDDEVRQXNK-HNNXBMFYSA-N 1 2 316.405 1.196 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(CC)c2)C1 ZINC000891605246 710246356 /nfs/dbraw/zinc/24/63/56/710246356.db2.gz AEHMMKLVGZAPSD-INIZCTEOSA-N 1 2 313.401 1.401 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(CC)c2)C1 ZINC000891605246 710246357 /nfs/dbraw/zinc/24/63/57/710246357.db2.gz AEHMMKLVGZAPSD-INIZCTEOSA-N 1 2 313.401 1.401 20 30 DDEDLO Cc1nc(N2CCN(c3ccc(C#N)cc3CO)CC2)cc[nH+]1 ZINC000893174388 710566821 /nfs/dbraw/zinc/56/68/21/710566821.db2.gz XWTKFRPANJZFPN-UHFFFAOYSA-N 1 2 309.373 1.476 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CC[C@H](Nc3ncccn3)C2)cc1 ZINC000903025820 711002634 /nfs/dbraw/zinc/00/26/34/711002634.db2.gz FHLKXBVPTNVWMV-HNNXBMFYSA-N 1 2 322.372 1.473 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CC[C@H](Nc3ncccn3)C2)cc1 ZINC000903025820 711002637 /nfs/dbraw/zinc/00/26/37/711002637.db2.gz FHLKXBVPTNVWMV-HNNXBMFYSA-N 1 2 322.372 1.473 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)c3cccc(CC#N)c3)CC[NH2+]2)cn1 ZINC000913469802 713230033 /nfs/dbraw/zinc/23/00/33/713230033.db2.gz VLQHHDBHRBEGNY-MRXNPFEDSA-N 1 2 309.373 1.273 20 30 DDEDLO C[C@H](Nc1cc(C#N)ccc1[N+](=O)[O-])[C@@H]1CN(C)CC[N@@H+]1C ZINC000895247065 711464361 /nfs/dbraw/zinc/46/43/61/711464361.db2.gz SFNMOIHJVPCCMS-NHYWBVRUSA-N 1 2 303.366 1.513 20 30 DDEDLO C[C@H](Nc1cc(C#N)ccc1[N+](=O)[O-])[C@@H]1CN(C)CC[N@H+]1C ZINC000895247065 711464362 /nfs/dbraw/zinc/46/43/62/711464362.db2.gz SFNMOIHJVPCCMS-NHYWBVRUSA-N 1 2 303.366 1.513 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCNC(=O)CC34CCC4)n2c1 ZINC000895929071 711648057 /nfs/dbraw/zinc/64/80/57/711648057.db2.gz VAWRFMZLLJGGKB-UHFFFAOYSA-N 1 2 309.373 1.451 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCNC(=O)CC34CCC4)n2c1 ZINC000895929071 711648058 /nfs/dbraw/zinc/64/80/58/711648058.db2.gz VAWRFMZLLJGGKB-UHFFFAOYSA-N 1 2 309.373 1.451 20 30 DDEDLO CC(=NN[C@H]1CCS(=O)(=O)C1)c1cccc(-n2cc[nH+]c2)c1 ZINC000905417359 712032569 /nfs/dbraw/zinc/03/25/69/712032569.db2.gz SQGQKDWNHPTALT-AWEZNQCLSA-N 1 2 318.402 1.373 20 30 DDEDLO CCC(=O)N(C)c1ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000905428565 712035517 /nfs/dbraw/zinc/03/55/17/712035517.db2.gz CFGZRAFEJDCSEA-INIZCTEOSA-N 1 2 318.421 1.678 20 30 DDEDLO CCC(=O)N(C)c1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000905428565 712035518 /nfs/dbraw/zinc/03/55/18/712035518.db2.gz CFGZRAFEJDCSEA-INIZCTEOSA-N 1 2 318.421 1.678 20 30 DDEDLO C#C[C@H](NC(=O)c1cccn1CC[NH+]1CCOCC1)C(C)C ZINC000907965083 712656756 /nfs/dbraw/zinc/65/67/56/712656756.db2.gz ADUIWUCQLCAZDA-HNNXBMFYSA-N 1 2 303.406 1.208 20 30 DDEDLO N#Cc1sccc1C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000908533738 712799739 /nfs/dbraw/zinc/79/97/39/712799739.db2.gz MVDNICMKNYOHIB-GFCCVEGCSA-N 1 2 305.403 1.557 20 30 DDEDLO Cc1cc(=O)oc2cc(OC[C@@H](O)C[N@H+](C)CCC#N)ccc12 ZINC000916627520 713461693 /nfs/dbraw/zinc/46/16/93/713461693.db2.gz ACCVEYPLZCJWTQ-ZDUSSCGKSA-N 1 2 316.357 1.687 20 30 DDEDLO Cc1cc(=O)oc2cc(OC[C@@H](O)C[N@@H+](C)CCC#N)ccc12 ZINC000916627520 713461694 /nfs/dbraw/zinc/46/16/94/713461694.db2.gz ACCVEYPLZCJWTQ-ZDUSSCGKSA-N 1 2 316.357 1.687 20 30 DDEDLO COC(=O)C[C@H]1C(=O)NCC[N@H+]1CCCCC1(C#N)CCC1 ZINC000929133161 713552919 /nfs/dbraw/zinc/55/29/19/713552919.db2.gz LPEZXZBRLSWOID-ZDUSSCGKSA-N 1 2 307.394 1.214 20 30 DDEDLO COC(=O)C[C@H]1C(=O)NCC[N@@H+]1CCCCC1(C#N)CCC1 ZINC000929133161 713552922 /nfs/dbraw/zinc/55/29/22/713552922.db2.gz LPEZXZBRLSWOID-ZDUSSCGKSA-N 1 2 307.394 1.214 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2cnc(CC)s2)CC1 ZINC000921437789 713771246 /nfs/dbraw/zinc/77/12/46/713771246.db2.gz GWLSYLIAVKDFSL-UHFFFAOYSA-N 1 2 313.448 1.081 20 30 DDEDLO COC(OC)C(=O)N1CC[NH+](CCC2(C#N)CCCCC2)CC1 ZINC000930958042 713968065 /nfs/dbraw/zinc/96/80/65/713968065.db2.gz RIMXQHGRRPIWCG-UHFFFAOYSA-N 1 2 323.437 1.614 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(C#N)c(F)c1 ZINC000931478879 714107073 /nfs/dbraw/zinc/10/70/73/714107073.db2.gz GMIRYTHIVFSZBC-CYBMUJFWSA-N 1 2 320.368 1.930 20 30 DDEDLO Cc1ccc(C[NH2+]Cc2cn(C[C@@H]3CCCO3)nn2)cc1C#N ZINC000922761203 714140219 /nfs/dbraw/zinc/14/02/19/714140219.db2.gz PHRBZFYDNXNZAQ-KRWDZBQOSA-N 1 2 311.389 1.927 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C[C@@H](C)n2ccnc2CC)C1 ZINC000923554914 714399804 /nfs/dbraw/zinc/39/98/04/714399804.db2.gz SCXKMXVVRMQKFL-CABCVRRESA-N 1 2 302.422 1.610 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C[C@@H](C)n2ccnc2CC)C1 ZINC000923554914 714399806 /nfs/dbraw/zinc/39/98/06/714399806.db2.gz SCXKMXVVRMQKFL-CABCVRRESA-N 1 2 302.422 1.610 20 30 DDEDLO CCO[C@H]1CC[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000932971347 714446743 /nfs/dbraw/zinc/44/67/43/714446743.db2.gz LFUCLMNDWMWFQU-ZDUSSCGKSA-N 1 2 312.373 1.531 20 30 DDEDLO CCO[C@H]1CC[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000932971347 714446746 /nfs/dbraw/zinc/44/67/46/714446746.db2.gz LFUCLMNDWMWFQU-ZDUSSCGKSA-N 1 2 312.373 1.531 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)N(C)c1ccccc1C(=O)OC ZINC000934510652 714839188 /nfs/dbraw/zinc/83/91/88/714839188.db2.gz ZMNXCPGFYCCLTJ-AWEZNQCLSA-N 1 2 314.385 1.924 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)N(C)c1ccccc1C(=O)OC ZINC000934510652 714839190 /nfs/dbraw/zinc/83/91/90/714839190.db2.gz ZMNXCPGFYCCLTJ-AWEZNQCLSA-N 1 2 314.385 1.924 20 30 DDEDLO CC[C@H]([NH2+]CCC1CS(=O)(=O)C1)c1cccc(C#N)c1O ZINC000926246787 715035309 /nfs/dbraw/zinc/03/53/09/715035309.db2.gz JTSLLNQOZXMKTN-AWEZNQCLSA-N 1 2 308.403 1.739 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccnc2OCC#C)C1 ZINC000957292895 715820218 /nfs/dbraw/zinc/82/02/18/715820218.db2.gz QLYJOOTUTZHUGU-UHFFFAOYSA-N 1 2 311.385 1.263 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](NC(=O)Cc3[nH]c[nH+]c3C)C2)C1 ZINC000938386056 715820762 /nfs/dbraw/zinc/82/07/62/715820762.db2.gz OOGVKKWUOJPTIG-ZDUSSCGKSA-N 1 2 316.405 1.334 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@H]2Cc3cccc(F)c3O2)C1 ZINC000957409170 715887198 /nfs/dbraw/zinc/88/71/98/715887198.db2.gz VCABDZWWBTVINK-MRXNPFEDSA-N 1 2 316.376 1.685 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@H]2CCC3(CCC3)CO2)CC1 ZINC000957421107 715894259 /nfs/dbraw/zinc/89/42/59/715894259.db2.gz UQSSHSGVEACQBX-MRXNPFEDSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000957620620 715970942 /nfs/dbraw/zinc/97/09/42/715970942.db2.gz ZKTYKHKRFQKMPB-BXUZGUMPSA-N 1 2 318.421 1.436 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cccc(OCC)c2)CC1 ZINC000957809357 716064019 /nfs/dbraw/zinc/06/40/19/716064019.db2.gz UTOVILQERGLAPR-UHFFFAOYSA-N 1 2 300.402 1.795 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2cnc(C)s2)C1 ZINC000957923073 716232661 /nfs/dbraw/zinc/23/26/61/716232661.db2.gz TUUPCKADQYNSLV-MNOVXSKESA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2cnc(C)s2)C1 ZINC000957923073 716232664 /nfs/dbraw/zinc/23/26/64/716232664.db2.gz TUUPCKADQYNSLV-MNOVXSKESA-N 1 2 315.826 1.227 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn[nH]c1-c1ccccn1 ZINC000960231776 716459463 /nfs/dbraw/zinc/45/94/63/716459463.db2.gz CGCRCNLGVLVULW-NHAGDIPZSA-N 1 2 309.373 1.318 20 30 DDEDLO C=CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn[nH]c1-c1ccccn1 ZINC000960231776 716459467 /nfs/dbraw/zinc/45/94/67/716459467.db2.gz CGCRCNLGVLVULW-NHAGDIPZSA-N 1 2 309.373 1.318 20 30 DDEDLO C[N@@H+](Cc1ncccn1)C[C@@H]1CCCN1C(=O)c1cc(C#N)c[nH]1 ZINC000960541701 716604129 /nfs/dbraw/zinc/60/41/29/716604129.db2.gz STGSCNWDSFCLGH-AWEZNQCLSA-N 1 2 324.388 1.413 20 30 DDEDLO C[N@H+](Cc1ncccn1)C[C@@H]1CCCN1C(=O)c1cc(C#N)c[nH]1 ZINC000960541701 716604132 /nfs/dbraw/zinc/60/41/32/716604132.db2.gz STGSCNWDSFCLGH-AWEZNQCLSA-N 1 2 324.388 1.413 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccc(Cl)[nH]3)CC2)C1 ZINC000941555158 717207966 /nfs/dbraw/zinc/20/79/66/717207966.db2.gz BAJLIXCTKDGNKD-UHFFFAOYSA-N 1 2 320.824 1.133 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCN(C3C[NH+](C[C@@H](F)CC)C3)CC2)C1 ZINC000941559982 717210329 /nfs/dbraw/zinc/21/03/29/717210329.db2.gz RGWMLAUOAFLTHT-HNNXBMFYSA-N 1 2 323.456 1.919 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC000961826263 717221184 /nfs/dbraw/zinc/22/11/84/717221184.db2.gz ODSDKXODNZOURP-BIGJJFBESA-N 1 2 319.430 1.281 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC000961826263 717221188 /nfs/dbraw/zinc/22/11/88/717221188.db2.gz ODSDKXODNZOURP-BIGJJFBESA-N 1 2 319.430 1.281 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CC[C@H](C)CC3)CC2)C1 ZINC000941645025 717241570 /nfs/dbraw/zinc/24/15/70/717241570.db2.gz KBJIKYUGVIZBRP-QAQDUYKDSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccoc3C(C)C)CC2)C1 ZINC000941680570 717254348 /nfs/dbraw/zinc/25/43/48/717254348.db2.gz AAORBIMVHBAPRJ-UHFFFAOYSA-N 1 2 315.417 1.478 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)CN2CCCC2=O)C1 ZINC000964969543 717494078 /nfs/dbraw/zinc/49/40/78/717494078.db2.gz UMDCITPATYYLKC-DGCLKSJQSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)CN2CCCC2=O)C1 ZINC000964969543 717494080 /nfs/dbraw/zinc/49/40/80/717494080.db2.gz UMDCITPATYYLKC-DGCLKSJQSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]cc1C ZINC000962541563 717501243 /nfs/dbraw/zinc/50/12/43/717501243.db2.gz HUMPQZNQPTVEPA-ITGUQSILSA-N 1 2 324.222 1.892 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]cc1C ZINC000962541563 717501246 /nfs/dbraw/zinc/50/12/46/717501246.db2.gz HUMPQZNQPTVEPA-ITGUQSILSA-N 1 2 324.222 1.892 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1cn[nH]n1 ZINC000942215444 717555093 /nfs/dbraw/zinc/55/50/93/717555093.db2.gz DKASUTBHMKGBAG-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1cn[nH]n1 ZINC000942215444 717555097 /nfs/dbraw/zinc/55/50/97/717555097.db2.gz DKASUTBHMKGBAG-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000942215444 717555100 /nfs/dbraw/zinc/55/51/00/717555100.db2.gz DKASUTBHMKGBAG-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000942215444 717555104 /nfs/dbraw/zinc/55/51/04/717555104.db2.gz DKASUTBHMKGBAG-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965527808 717676473 /nfs/dbraw/zinc/67/64/73/717676473.db2.gz PZKYRCNDZNTHEZ-FZMZJTMJSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965527808 717676478 /nfs/dbraw/zinc/67/64/78/717676478.db2.gz PZKYRCNDZNTHEZ-FZMZJTMJSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC000965846135 717780385 /nfs/dbraw/zinc/78/03/85/717780385.db2.gz VUYZCSHWIUYFSW-GXFFZTMASA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC000965846135 717780388 /nfs/dbraw/zinc/78/03/88/717780388.db2.gz VUYZCSHWIUYFSW-GXFFZTMASA-N 1 2 309.797 1.980 20 30 DDEDLO C[C@@H]1CN(CC#N)CC[C@@H]1NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000943951931 718231041 /nfs/dbraw/zinc/23/10/41/718231041.db2.gz WWHPTLVNSRKWLT-HIFRSBDPSA-N 1 2 324.388 1.231 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC000966723685 718641856 /nfs/dbraw/zinc/64/18/56/718641856.db2.gz ONGDHWHKCFFARN-WCQYABFASA-N 1 2 316.430 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC000966723685 718641859 /nfs/dbraw/zinc/64/18/59/718641859.db2.gz ONGDHWHKCFFARN-WCQYABFASA-N 1 2 316.430 1.778 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1ccon1 ZINC000947217042 719076427 /nfs/dbraw/zinc/07/64/27/719076427.db2.gz JNABCUKKJJSUSW-RYUDHWBXSA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1ccon1 ZINC000947217042 719076429 /nfs/dbraw/zinc/07/64/29/719076429.db2.gz JNABCUKKJJSUSW-RYUDHWBXSA-N 1 2 313.361 1.657 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000967941979 719096711 /nfs/dbraw/zinc/09/67/11/719096711.db2.gz BTFMIQHAFLFRQH-VXGBXAGGSA-N 1 2 305.382 1.118 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnon3)[C@@H](C)C2)cc1 ZINC000947612426 719226966 /nfs/dbraw/zinc/22/69/66/719226966.db2.gz VUWZNSUCOUIHDN-BBRMVZONSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnon3)[C@@H](C)C2)cc1 ZINC000947612426 719226967 /nfs/dbraw/zinc/22/69/67/719226967.db2.gz VUWZNSUCOUIHDN-BBRMVZONSA-N 1 2 324.384 1.834 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CCN1CC#N ZINC000947922439 719308264 /nfs/dbraw/zinc/30/82/64/719308264.db2.gz XTXVSUZNGYXLSC-ZIAGYGMSSA-N 1 2 324.388 1.374 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968636259 719706628 /nfs/dbraw/zinc/70/66/28/719706628.db2.gz YPZCDEBPOHIJSL-WBMJQRKESA-N 1 2 313.405 1.974 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968636259 719706631 /nfs/dbraw/zinc/70/66/31/719706631.db2.gz YPZCDEBPOHIJSL-WBMJQRKESA-N 1 2 313.405 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000968660630 719721613 /nfs/dbraw/zinc/72/16/13/719721613.db2.gz LVXLOOYHSQDMNA-NOZJJQNGSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000968660630 719721616 /nfs/dbraw/zinc/72/16/16/719721616.db2.gz LVXLOOYHSQDMNA-NOZJJQNGSA-N 1 2 324.812 1.306 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC000948919615 719785945 /nfs/dbraw/zinc/78/59/45/719785945.db2.gz MFNHYKAUHAORPX-WDYCEAGBSA-N 1 2 310.397 1.372 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC000948919615 719785951 /nfs/dbraw/zinc/78/59/51/719785951.db2.gz MFNHYKAUHAORPX-WDYCEAGBSA-N 1 2 310.397 1.372 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)C1CC(OC)C1 ZINC000948930093 719790381 /nfs/dbraw/zinc/79/03/81/719790381.db2.gz XXOWKCZMHNLOPT-HTWSVDAQSA-N 1 2 312.413 1.760 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)C1CC(OC)C1 ZINC000948930093 719790383 /nfs/dbraw/zinc/79/03/83/719790383.db2.gz XXOWKCZMHNLOPT-HTWSVDAQSA-N 1 2 312.413 1.760 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cncn1C ZINC000948936220 719793417 /nfs/dbraw/zinc/79/34/17/719793417.db2.gz MYRRGZXNSDTTGJ-INIZCTEOSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cncn1C ZINC000948936220 719793420 /nfs/dbraw/zinc/79/34/20/719793420.db2.gz MYRRGZXNSDTTGJ-INIZCTEOSA-N 1 2 308.385 1.383 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cccnn1 ZINC000948974357 719819282 /nfs/dbraw/zinc/81/92/82/719819282.db2.gz FIGGYTLVERRKHO-GOSISDBHSA-N 1 2 320.396 1.829 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cccnn1 ZINC000948974357 719819287 /nfs/dbraw/zinc/81/92/87/719819287.db2.gz FIGGYTLVERRKHO-GOSISDBHSA-N 1 2 320.396 1.829 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc3c(n2)OCCO3)CC1 ZINC000949091082 719902569 /nfs/dbraw/zinc/90/25/69/719902569.db2.gz BMTYBQMIEMJSFS-UHFFFAOYSA-N 1 2 303.362 1.187 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H](OC)C3CCC3)CC2)C1 ZINC000949427379 720080507 /nfs/dbraw/zinc/08/05/07/720080507.db2.gz NITVFABACNAALR-INIZCTEOSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H](OC)C3CCC3)CC2)C1 ZINC000949427379 720080510 /nfs/dbraw/zinc/08/05/10/720080510.db2.gz NITVFABACNAALR-INIZCTEOSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CCC3(C)C)CC2)C1 ZINC000949445305 720091833 /nfs/dbraw/zinc/09/18/33/720091833.db2.gz WIZPWMVQOKYLMO-OAHLLOKOSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CCC3(C)C)CC2)C1 ZINC000949445305 720091836 /nfs/dbraw/zinc/09/18/36/720091836.db2.gz WIZPWMVQOKYLMO-OAHLLOKOSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H](C)[C@H]3CCCO3)CC2)C1 ZINC000949466961 720107549 /nfs/dbraw/zinc/10/75/49/720107549.db2.gz XQUXWJHTHIROEH-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H](C)[C@H]3CCCO3)CC2)C1 ZINC000949466961 720107551 /nfs/dbraw/zinc/10/75/51/720107551.db2.gz XQUXWJHTHIROEH-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO CCN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](C[C@@H]2CC[C@H](C)O2)C1 ZINC000949854282 720383552 /nfs/dbraw/zinc/38/35/52/720383552.db2.gz LBPJYWDIEADFOI-WFASDCNBSA-N 1 2 316.405 1.600 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+](Cc2ccn(C)c(=O)c2)CC1 ZINC000950032761 720460351 /nfs/dbraw/zinc/46/03/51/720460351.db2.gz AMXZNFDDGWLEHQ-SFHVURJKSA-N 1 2 317.433 1.632 20 30 DDEDLO C=C(Cl)CN1CC([C@H](C)NC(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000969977908 720583750 /nfs/dbraw/zinc/58/37/50/720583750.db2.gz BFRFQDIBCCCJST-STQMWFEESA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc(C)nc2OC)C1 ZINC000950473764 720649377 /nfs/dbraw/zinc/64/93/77/720649377.db2.gz BKDDIPJNUJPTKP-UHFFFAOYSA-N 1 2 304.394 1.516 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CN(CC#N)C1 ZINC000970222967 720667411 /nfs/dbraw/zinc/66/74/11/720667411.db2.gz IQCATAMYZVPNEH-CYBMUJFWSA-N 1 2 309.373 1.446 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)N[C@H](CC(C)C)C2)C1 ZINC000950687083 720735592 /nfs/dbraw/zinc/73/55/92/720735592.db2.gz JWPMOWFRMWCINI-LSDHHAIUSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H](C)C2C[NH+](Cc3cnnn3C)C2)CC1 ZINC000970377283 720735595 /nfs/dbraw/zinc/73/55/95/720735595.db2.gz PHHYNBVNXSRFKB-ZDUSSCGKSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccnc3c2CC(=O)N3)C1 ZINC000950760015 720767732 /nfs/dbraw/zinc/76/77/32/720767732.db2.gz LZHCNZAVKQWREJ-UHFFFAOYSA-N 1 2 314.389 1.299 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000950776966 720772908 /nfs/dbraw/zinc/77/29/08/720772908.db2.gz LBCPPYGSRHYMMI-YNEHKIRRSA-N 1 2 316.405 1.443 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000950776966 720772910 /nfs/dbraw/zinc/77/29/10/720772910.db2.gz LBCPPYGSRHYMMI-YNEHKIRRSA-N 1 2 316.405 1.443 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000950776965 720773089 /nfs/dbraw/zinc/77/30/89/720773089.db2.gz LBCPPYGSRHYMMI-JHJVBQTASA-N 1 2 316.405 1.443 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000950776965 720773093 /nfs/dbraw/zinc/77/30/93/720773093.db2.gz LBCPPYGSRHYMMI-JHJVBQTASA-N 1 2 316.405 1.443 20 30 DDEDLO C[C@H](NC(=O)c1ccncn1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970612128 720844693 /nfs/dbraw/zinc/84/46/93/720844693.db2.gz JWPFERNKPVRBSK-ZDUSSCGKSA-N 1 2 321.384 1.599 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2C[C@@H](C)Cc3cn[nH]c32)C1 ZINC000951371613 721006184 /nfs/dbraw/zinc/00/61/84/721006184.db2.gz ASHSGQUNIDMNAH-SWLSCSKDSA-N 1 2 302.422 1.794 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C3CC3)n2)C1 ZINC000971211362 721181017 /nfs/dbraw/zinc/18/10/17/721181017.db2.gz QKQBTARARIULDR-SMDDNHRTSA-N 1 2 302.378 1.744 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C3CC3)n2)C1 ZINC000971211362 721181021 /nfs/dbraw/zinc/18/10/21/721181021.db2.gz QKQBTARARIULDR-SMDDNHRTSA-N 1 2 302.378 1.744 20 30 DDEDLO Cc1conc1C[N@@H+](C)[C@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971363864 721258583 /nfs/dbraw/zinc/25/85/83/721258583.db2.gz JVJRPVXSIQHEIR-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1conc1C[N@H+](C)[C@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971363864 721258585 /nfs/dbraw/zinc/25/85/85/721258585.db2.gz JVJRPVXSIQHEIR-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)oc1C ZINC000971513287 721333206 /nfs/dbraw/zinc/33/32/06/721333206.db2.gz LMSIKCQBGSGZFA-HNNXBMFYSA-N 1 2 301.390 1.738 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)oc1C ZINC000971513287 721333211 /nfs/dbraw/zinc/33/32/11/721333211.db2.gz LMSIKCQBGSGZFA-HNNXBMFYSA-N 1 2 301.390 1.738 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@H]2NC(=O)c3ccccc32)CC1 ZINC000952356589 721419240 /nfs/dbraw/zinc/41/92/40/721419240.db2.gz DVBWIRKCXDKPON-MRXNPFEDSA-N 1 2 311.385 1.029 20 30 DDEDLO C=CC[NH+]1CCN(c2nc(C(=O)OC)ccc2[N+](=O)[O-])CC1 ZINC001165034975 721873384 /nfs/dbraw/zinc/87/33/84/721873384.db2.gz RIIYLLLWHVWHJW-UHFFFAOYSA-N 1 2 306.322 1.084 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)n(C(C)(C)C)n1 ZINC001039022885 732373393 /nfs/dbraw/zinc/37/33/93/732373393.db2.gz AGZHHXRBQOUKCV-AWEZNQCLSA-N 1 2 302.422 1.774 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C)n(C(C)(C)C)n1 ZINC001039022885 732373400 /nfs/dbraw/zinc/37/34/00/732373400.db2.gz AGZHHXRBQOUKCV-AWEZNQCLSA-N 1 2 302.422 1.774 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098525758 732546893 /nfs/dbraw/zinc/54/68/93/732546893.db2.gz AFXWAWRKLJXDCI-CJNGLKHVSA-N 1 2 304.394 1.373 20 30 DDEDLO N#Cc1nc(Cl)c(N2CCC(n3cc[nH+]c3)CC2)nc1C#N ZINC001167125948 732706592 /nfs/dbraw/zinc/70/65/92/732706592.db2.gz KMSQUPZAKWJGII-UHFFFAOYSA-N 1 2 313.752 1.911 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)ccc3F)[C@H]2C1 ZINC001083206182 733230911 /nfs/dbraw/zinc/23/09/11/733230911.db2.gz MAJHPOKCKDALGI-JKSUJKDBSA-N 1 2 302.349 1.293 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)ccc3F)[C@H]2C1 ZINC001083206182 733230915 /nfs/dbraw/zinc/23/09/15/733230915.db2.gz MAJHPOKCKDALGI-JKSUJKDBSA-N 1 2 302.349 1.293 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CC3CCCCCC3)[C@H]2C1 ZINC001083206875 733265082 /nfs/dbraw/zinc/26/50/82/733265082.db2.gz XLLPXXVKDUCLHD-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CC3CCCCCC3)[C@H]2C1 ZINC001083206875 733265086 /nfs/dbraw/zinc/26/50/86/733265086.db2.gz XLLPXXVKDUCLHD-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO Cn1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)cn1 ZINC001038037944 733399884 /nfs/dbraw/zinc/39/98/84/733399884.db2.gz VHLOPOIADRFIQX-MRXNPFEDSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)cn1 ZINC001038037944 733399885 /nfs/dbraw/zinc/39/98/85/733399885.db2.gz VHLOPOIADRFIQX-MRXNPFEDSA-N 1 2 309.373 1.296 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CCC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001027836340 738714538 /nfs/dbraw/zinc/71/45/38/738714538.db2.gz QNPDKBGEUKLTMQ-WFASDCNBSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CCC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001027836340 738714542 /nfs/dbraw/zinc/71/45/42/738714542.db2.gz QNPDKBGEUKLTMQ-WFASDCNBSA-N 1 2 324.388 1.632 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCC[C@H]2CN(CC#N)CC[C@H]21 ZINC001021724208 733487176 /nfs/dbraw/zinc/48/71/76/733487176.db2.gz KGPLBSDFCWSVNU-GOEBONIOSA-N 1 2 315.421 1.189 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccnn1C ZINC001111490192 734529009 /nfs/dbraw/zinc/52/90/09/734529009.db2.gz XKMGPVODITVIIZ-XNISGKROSA-N 1 2 318.421 1.233 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccnn1C ZINC001111490192 734529011 /nfs/dbraw/zinc/52/90/11/734529011.db2.gz XKMGPVODITVIIZ-XNISGKROSA-N 1 2 318.421 1.233 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)[C@]12C[C@H]1COC2 ZINC001038272510 735211584 /nfs/dbraw/zinc/21/15/84/735211584.db2.gz SZVUHOADQWUKEM-SCTDSRPQSA-N 1 2 310.397 1.265 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)[C@]12C[C@H]1COC2 ZINC001038272510 735211586 /nfs/dbraw/zinc/21/15/86/735211586.db2.gz SZVUHOADQWUKEM-SCTDSRPQSA-N 1 2 310.397 1.265 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@H]2CCCN(CC#N)C2)c(C)[nH+]1 ZINC001023502243 735276557 /nfs/dbraw/zinc/27/65/57/735276557.db2.gz VUHNVBCFXVKQAR-OAHLLOKOSA-N 1 2 300.406 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]2[C@@H](NC(=O)c3nnc[nH]3)C(C)(C)[C@@H]21 ZINC001087308554 736033570 /nfs/dbraw/zinc/03/35/70/736033570.db2.gz SEXYOOMHPMNSMM-IJLUTSLNSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]2[C@@H](NC(=O)c3ncn[nH]3)C(C)(C)[C@@H]21 ZINC001087308554 736033573 /nfs/dbraw/zinc/03/35/73/736033573.db2.gz SEXYOOMHPMNSMM-IJLUTSLNSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]2[C@@H](NC(=O)c3ncn[nH]3)C(C)(C)[C@@H]21 ZINC001087308554 736033576 /nfs/dbraw/zinc/03/35/76/736033576.db2.gz SEXYOOMHPMNSMM-IJLUTSLNSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001024733841 736055565 /nfs/dbraw/zinc/05/55/65/736055565.db2.gz OREHCAZDBKMISC-LBPRGKRZSA-N 1 2 324.812 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001024733841 736055569 /nfs/dbraw/zinc/05/55/69/736055569.db2.gz OREHCAZDBKMISC-LBPRGKRZSA-N 1 2 324.812 1.450 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)CCCNc1[nH+]cnc2c1cnn2C ZINC001114872996 751366452 /nfs/dbraw/zinc/36/64/52/751366452.db2.gz WXWWCVLNCQRBBS-MNOVXSKESA-N 1 2 315.381 1.220 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)c3ccccc3)C2)nn1 ZINC001098600645 736529852 /nfs/dbraw/zinc/52/98/52/736529852.db2.gz DZLUKTBTWQQCHJ-QGZVFWFLSA-N 1 2 323.400 1.478 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCCN1c1nc(C)[nH+]c(C)c1C ZINC001100808498 737509666 /nfs/dbraw/zinc/50/96/66/737509666.db2.gz YQOLAKZTPJNYHR-OAHLLOKOSA-N 1 2 318.421 1.689 20 30 DDEDLO CN(CCCN(C)c1ncccc1C#N)C(=O)Cn1cc[nH+]c1 ZINC001112100520 737849381 /nfs/dbraw/zinc/84/93/81/737849381.db2.gz SCALEOYCDARWAT-UHFFFAOYSA-N 1 2 312.377 1.135 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)[C@H]1CCCO1 ZINC001006672095 737921905 /nfs/dbraw/zinc/92/19/05/737921905.db2.gz OZEQNMWWIGYARY-ZWKOTPCHSA-N 1 2 312.413 1.798 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)[C@H]1CCCO1 ZINC001006672095 737921907 /nfs/dbraw/zinc/92/19/07/737921907.db2.gz OZEQNMWWIGYARY-ZWKOTPCHSA-N 1 2 312.413 1.798 20 30 DDEDLO CCn1ccnc1C[N@H+](C)[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027335290 738204897 /nfs/dbraw/zinc/20/48/97/738204897.db2.gz FTFIQMSMSMUETD-KBPBESRZSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027335290 738204901 /nfs/dbraw/zinc/20/49/01/738204901.db2.gz FTFIQMSMSMUETD-KBPBESRZSA-N 1 2 303.410 1.485 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCC[C@@H](N(C)CC#N)C2)c[nH+]1 ZINC001027461702 738316049 /nfs/dbraw/zinc/31/60/49/738316049.db2.gz NPAHZEFROYMUFN-CQSZACIVSA-N 1 2 303.410 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnn2c1OCCC2 ZINC001027998652 738922992 /nfs/dbraw/zinc/92/29/92/738922992.db2.gz QWOQMVSBDQHGSA-LBPRGKRZSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnn2c1OCCC2 ZINC001027998652 738922993 /nfs/dbraw/zinc/92/29/93/738922993.db2.gz QWOQMVSBDQHGSA-LBPRGKRZSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1coc(C)n1 ZINC001028146430 739091320 /nfs/dbraw/zinc/09/13/20/739091320.db2.gz GHGWXYUKASAEJB-XHSDSOJGSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1coc(C)n1 ZINC001028146430 739091323 /nfs/dbraw/zinc/09/13/23/739091323.db2.gz GHGWXYUKASAEJB-XHSDSOJGSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccon1 ZINC001028195457 739147466 /nfs/dbraw/zinc/14/74/66/739147466.db2.gz NYALMDPIUIWIQG-JYJNAYRXSA-N 1 2 319.405 1.736 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1ccon1 ZINC001028195457 739147467 /nfs/dbraw/zinc/14/74/67/739147467.db2.gz NYALMDPIUIWIQG-JYJNAYRXSA-N 1 2 319.405 1.736 20 30 DDEDLO CC(C)(C(=O)N1CC[C@H](Nc2ncccc2C#N)C1)c1c[nH+]c[nH]1 ZINC001058930942 739218684 /nfs/dbraw/zinc/21/86/84/739218684.db2.gz NUJYUJYZFHJLFT-ZDUSSCGKSA-N 1 2 324.388 1.667 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001035370305 751434447 /nfs/dbraw/zinc/43/44/47/751434447.db2.gz MUFZQHZKSQTYTH-MRXNPFEDSA-N 1 2 316.405 1.062 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001035370305 751434450 /nfs/dbraw/zinc/43/44/50/751434450.db2.gz MUFZQHZKSQTYTH-MRXNPFEDSA-N 1 2 316.405 1.062 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccnn2[C@@H](C)CC)C1 ZINC001035385838 751456190 /nfs/dbraw/zinc/45/61/90/751456190.db2.gz FXAHMTCYKPDOKH-GJZGRUSLSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccnn2[C@@H](C)CC)C1 ZINC001035385838 751456191 /nfs/dbraw/zinc/45/61/91/751456191.db2.gz FXAHMTCYKPDOKH-GJZGRUSLSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)[nH]nc2Cl)C1 ZINC001035394279 751464976 /nfs/dbraw/zinc/46/49/76/751464976.db2.gz KHJBDZIGRVFJEM-NSHDSACASA-N 1 2 312.801 1.378 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)[nH]nc2Cl)C1 ZINC001035394279 751464978 /nfs/dbraw/zinc/46/49/78/751464978.db2.gz KHJBDZIGRVFJEM-NSHDSACASA-N 1 2 312.801 1.378 20 30 DDEDLO O=C(C[C@@H]1C=CCC1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083330576 739675602 /nfs/dbraw/zinc/67/56/02/739675602.db2.gz BDBLPVVTGPGSCO-QRVBRYPASA-N 1 2 324.424 1.556 20 30 DDEDLO O=C(C[C@@H]1C=CCC1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083330576 739675607 /nfs/dbraw/zinc/67/56/07/739675607.db2.gz BDBLPVVTGPGSCO-QRVBRYPASA-N 1 2 324.424 1.556 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001083345717 739720658 /nfs/dbraw/zinc/72/06/58/739720658.db2.gz WQSGLBCHRLFNKE-CABCVRRESA-N 1 2 305.353 1.015 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001083345717 739720659 /nfs/dbraw/zinc/72/06/59/739720659.db2.gz WQSGLBCHRLFNKE-CABCVRRESA-N 1 2 305.353 1.015 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001035405655 751489278 /nfs/dbraw/zinc/48/92/78/751489278.db2.gz AFUANLHJEOPRBR-CQSZACIVSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001035405655 751489283 /nfs/dbraw/zinc/48/92/83/751489283.db2.gz AFUANLHJEOPRBR-CQSZACIVSA-N 1 2 312.373 1.017 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001035414267 751495872 /nfs/dbraw/zinc/49/58/72/751495872.db2.gz GUMQKBQRHYJKAO-CYBMUJFWSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001035414267 751495877 /nfs/dbraw/zinc/49/58/77/751495877.db2.gz GUMQKBQRHYJKAO-CYBMUJFWSA-N 1 2 300.362 1.180 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)onc2C2CC2)C1 ZINC001035453911 751501858 /nfs/dbraw/zinc/50/18/58/751501858.db2.gz BNUBTLOIDQQUOB-AWEZNQCLSA-N 1 2 319.405 1.867 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)onc2C2CC2)C1 ZINC001035453911 751501866 /nfs/dbraw/zinc/50/18/66/751501866.db2.gz BNUBTLOIDQQUOB-AWEZNQCLSA-N 1 2 319.405 1.867 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(COC)c2)C1 ZINC001035435630 751512641 /nfs/dbraw/zinc/51/26/41/751512641.db2.gz RVHSHXRKTOHEHY-INIZCTEOSA-N 1 2 304.390 1.450 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(COC)c2)C1 ZINC001035435630 751512642 /nfs/dbraw/zinc/51/26/42/751512642.db2.gz RVHSHXRKTOHEHY-INIZCTEOSA-N 1 2 304.390 1.450 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCc4ccccc43)n2C)CC1 ZINC001121490771 782533563 /nfs/dbraw/zinc/53/35/63/782533563.db2.gz CIAYHRXMYKHZJN-QGZVFWFLSA-N 1 2 321.428 1.648 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cncc(OC(C)C)c2)C1 ZINC001035467122 751551834 /nfs/dbraw/zinc/55/18/34/751551834.db2.gz LGCQFUHWOMQSOS-INIZCTEOSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cncc(OC(C)C)c2)C1 ZINC001035467122 751551838 /nfs/dbraw/zinc/55/18/38/751551838.db2.gz LGCQFUHWOMQSOS-INIZCTEOSA-N 1 2 319.405 1.485 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2scnc2C2CC2)C1 ZINC001035537768 751583203 /nfs/dbraw/zinc/58/32/03/751583203.db2.gz JVHYXJXDTQJXHY-ZDUSSCGKSA-N 1 2 319.430 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2scnc2C2CC2)C1 ZINC001035537768 751583211 /nfs/dbraw/zinc/58/32/11/751583211.db2.gz JVHYXJXDTQJXHY-ZDUSSCGKSA-N 1 2 319.430 1.474 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(-c3ccco3)n[nH]2)C1 ZINC001035529034 751608542 /nfs/dbraw/zinc/60/85/42/751608542.db2.gz XLLSQBNPDQWHDW-LBPRGKRZSA-N 1 2 316.361 1.286 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(-c3ccco3)n[nH]2)C1 ZINC001035529034 751608547 /nfs/dbraw/zinc/60/85/47/751608547.db2.gz XLLSQBNPDQWHDW-LBPRGKRZSA-N 1 2 316.361 1.286 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc(C3CC3)n2)C1 ZINC001035526672 751606096 /nfs/dbraw/zinc/60/60/96/751606096.db2.gz LZSIUHSOGZXYBD-AWEZNQCLSA-N 1 2 316.405 1.361 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccnc(C3CC3)n2)C1 ZINC001035526672 751606101 /nfs/dbraw/zinc/60/61/01/751606101.db2.gz LZSIUHSOGZXYBD-AWEZNQCLSA-N 1 2 316.405 1.361 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)C2CCOCC2)s1 ZINC001038003710 751655009 /nfs/dbraw/zinc/65/50/09/751655009.db2.gz MAOCVFQGPHAVFU-ZDUSSCGKSA-N 1 2 319.430 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)C2CCOCC2)s1 ZINC001038003710 751655013 /nfs/dbraw/zinc/65/50/13/751655013.db2.gz MAOCVFQGPHAVFU-ZDUSSCGKSA-N 1 2 319.430 1.737 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)CCC3)C1 ZINC001035601262 751659692 /nfs/dbraw/zinc/65/96/92/751659692.db2.gz WGIOPIMJCUMNSC-QGZVFWFLSA-N 1 2 300.402 1.792 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)CCC3)C1 ZINC001035601262 751659697 /nfs/dbraw/zinc/65/96/97/751659697.db2.gz WGIOPIMJCUMNSC-QGZVFWFLSA-N 1 2 300.402 1.792 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001035604976 751663449 /nfs/dbraw/zinc/66/34/49/751663449.db2.gz AAZYBFOFWUBEJO-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001035604976 751663452 /nfs/dbraw/zinc/66/34/52/751663452.db2.gz AAZYBFOFWUBEJO-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ncn(C)n2)CC[N@@H+]1Cc1ccccc1C#N ZINC001088554046 741771497 /nfs/dbraw/zinc/77/14/97/741771497.db2.gz PLDVMBZVJDXIME-DOMZBBRYSA-N 1 2 324.388 1.080 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ncn(C)n2)CC[N@H+]1Cc1ccccc1C#N ZINC001088554046 741771501 /nfs/dbraw/zinc/77/15/01/741771501.db2.gz PLDVMBZVJDXIME-DOMZBBRYSA-N 1 2 324.388 1.080 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncc3[nH]cnc32)[C@H]1C ZINC001088581510 741897169 /nfs/dbraw/zinc/89/71/69/741897169.db2.gz VWYDBAKTVZPMMD-PWSUYJOCSA-N 1 2 319.796 1.903 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncc3[nH]cnc32)[C@H]1C ZINC001088581510 741897170 /nfs/dbraw/zinc/89/71/70/741897170.db2.gz VWYDBAKTVZPMMD-PWSUYJOCSA-N 1 2 319.796 1.903 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(N(C)C)c2)C1 ZINC001035586031 751680061 /nfs/dbraw/zinc/68/00/61/751680061.db2.gz DSOFZIMZXAKVGH-KRWDZBQOSA-N 1 2 317.433 1.759 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccc(N(C)C)c2)C1 ZINC001035586031 751680067 /nfs/dbraw/zinc/68/00/67/751680067.db2.gz DSOFZIMZXAKVGH-KRWDZBQOSA-N 1 2 317.433 1.759 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn3c2CCC3)[C@H]1C ZINC001088606642 741935617 /nfs/dbraw/zinc/93/56/17/741935617.db2.gz FWLOIMAIZUDUPS-YPMHNXCESA-N 1 2 308.813 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn3c2CCC3)[C@H]1C ZINC001088606642 741935619 /nfs/dbraw/zinc/93/56/19/741935619.db2.gz FWLOIMAIZUDUPS-YPMHNXCESA-N 1 2 308.813 1.774 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)CC(C)(C)C ZINC001121509098 782539961 /nfs/dbraw/zinc/53/99/61/782539961.db2.gz BPABZZFOOCKHAW-QWHCGFSZSA-N 1 2 307.442 1.684 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)CC(C)(C)C ZINC001121509098 782539968 /nfs/dbraw/zinc/53/99/68/782539968.db2.gz BPABZZFOOCKHAW-QWHCGFSZSA-N 1 2 307.442 1.684 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2nc(C)c(C)[nH]c2=O)[C@H]1C ZINC001088654716 742054367 /nfs/dbraw/zinc/05/43/67/742054367.db2.gz WLUHGUJPCZFYTM-NEPJUHHUSA-N 1 2 324.812 1.332 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2nc(C)c(C)[nH]c2=O)[C@H]1C ZINC001088654716 742054369 /nfs/dbraw/zinc/05/43/69/742054369.db2.gz WLUHGUJPCZFYTM-NEPJUHHUSA-N 1 2 324.812 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CN2CCCCC2=O)[C@H]1C ZINC001088698045 742194544 /nfs/dbraw/zinc/19/45/44/742194544.db2.gz YJBHPKTZNNCGNK-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CN2CCCCC2=O)[C@H]1C ZINC001088698045 742194548 /nfs/dbraw/zinc/19/45/48/742194548.db2.gz YJBHPKTZNNCGNK-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO CO[C@@H](C)c1noc(C[NH2+]CCNC(=O)C#CC(C)(C)C)n1 ZINC001126893415 742440340 /nfs/dbraw/zinc/44/03/40/742440340.db2.gz MVQNOLKAQHMEDB-NSHDSACASA-N 1 2 308.382 1.032 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001126915517 742485954 /nfs/dbraw/zinc/48/59/54/742485954.db2.gz DHCUHILZVYTQRJ-UHFFFAOYSA-N 1 2 304.394 1.277 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cnns1)CC2 ZINC001035661971 751738859 /nfs/dbraw/zinc/73/88/59/751738859.db2.gz ZQLDWXHQWYHDRV-UHFFFAOYSA-N 1 2 312.826 1.829 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cnn(C)c1N)CC2 ZINC001035693431 751780636 /nfs/dbraw/zinc/78/06/36/751780636.db2.gz UOPBPSLKGCOXMI-UHFFFAOYSA-N 1 2 323.828 1.293 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2CC3(CC(C(=O)OC)C3)C2)CC1 ZINC001181060504 743041478 /nfs/dbraw/zinc/04/14/78/743041478.db2.gz KYULOOXDNAAOMT-UHFFFAOYSA-N 1 2 306.406 1.296 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077015783 743180355 /nfs/dbraw/zinc/18/03/55/743180355.db2.gz LONDGWSSUYCCCG-GUYCJALGSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077015783 743180358 /nfs/dbraw/zinc/18/03/58/743180358.db2.gz LONDGWSSUYCCCG-GUYCJALGSA-N 1 2 320.437 1.956 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(NC(=O)c2cc(C#N)c[nH]2)CC1 ZINC001002551786 743232469 /nfs/dbraw/zinc/23/24/69/743232469.db2.gz RDJPKRXARSULGV-UHFFFAOYSA-N 1 2 312.377 1.014 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001061104396 743240902 /nfs/dbraw/zinc/24/09/02/743240902.db2.gz CHMIDFLMZOEVIU-KBPBESRZSA-N 1 2 324.388 1.758 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001061133333 743369198 /nfs/dbraw/zinc/36/91/98/743369198.db2.gz BKPGTUJGMHOYFM-KGLIPLIRSA-N 1 2 324.388 1.180 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccnc2C2CC2)C1 ZINC001108049907 743373994 /nfs/dbraw/zinc/37/39/94/743373994.db2.gz RMMPRQISAAFRMD-SFHVURJKSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccnc2C2CC2)C1 ZINC001108049907 743374003 /nfs/dbraw/zinc/37/40/03/743374003.db2.gz RMMPRQISAAFRMD-SFHVURJKSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@H+](CCC[C@@H](C)O)CC2 ZINC001128259365 743405192 /nfs/dbraw/zinc/40/51/92/743405192.db2.gz ANABZNTXPFNSCK-CQSZACIVSA-N 1 2 320.437 1.442 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@@H+](CCC[C@@H](C)O)CC2 ZINC001128259365 743405194 /nfs/dbraw/zinc/40/51/94/743405194.db2.gz ANABZNTXPFNSCK-CQSZACIVSA-N 1 2 320.437 1.442 20 30 DDEDLO C[C@H](C#N)C(=O)NCc1cnn2c1C[N@H+](CCCCCF)CC2 ZINC001128265135 743415904 /nfs/dbraw/zinc/41/59/04/743415904.db2.gz UXDBLWDNIWRXJW-CYBMUJFWSA-N 1 2 321.400 1.614 20 30 DDEDLO C[C@H](C#N)C(=O)NCc1cnn2c1C[N@@H+](CCCCCF)CC2 ZINC001128265135 743415908 /nfs/dbraw/zinc/41/59/08/743415908.db2.gz UXDBLWDNIWRXJW-CYBMUJFWSA-N 1 2 321.400 1.614 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C[C@](C)(O)C=C)c2C1 ZINC001128327221 743599575 /nfs/dbraw/zinc/59/95/75/743599575.db2.gz KPFGMHMUSILRRX-QGZVFWFLSA-N 1 2 318.421 1.218 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C[C@](C)(O)C=C)c2C1 ZINC001128327221 743599582 /nfs/dbraw/zinc/59/95/82/743599582.db2.gz KPFGMHMUSILRRX-QGZVFWFLSA-N 1 2 318.421 1.218 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C[C@@]1(C)CNCC#N ZINC001182579549 743668633 /nfs/dbraw/zinc/66/86/33/743668633.db2.gz LYLSRIOMGJEWFB-PBFPGSCMSA-N 1 2 315.421 1.427 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001060266536 743759399 /nfs/dbraw/zinc/75/93/99/743759399.db2.gz RPVMLVSMINCTJX-CQSZACIVSA-N 1 2 324.388 1.712 20 30 DDEDLO N#C[C@@H](Cc1ccc(O)cc1)C(=O)NCCOc1cc[nH+]cc1 ZINC001183616083 743874529 /nfs/dbraw/zinc/87/45/29/743874529.db2.gz IHYFIRCVEGVMAL-CQSZACIVSA-N 1 2 311.341 1.665 20 30 DDEDLO N#Cc1cc(C(=O)NC2C[NH+](C[C@@H](O)c3ccccc3)C2)c[nH]1 ZINC001030235191 743969357 /nfs/dbraw/zinc/96/93/57/743969357.db2.gz QSTRJUAPYXUJJW-MRXNPFEDSA-N 1 2 310.357 1.034 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2cnn(-c3ccncc3)c2)[C@H]1C ZINC001088944860 744393412 /nfs/dbraw/zinc/39/34/12/744393412.db2.gz DKCDSFLGAVLGPT-PBHICJAKSA-N 1 2 323.400 1.483 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2cnn(-c3ccncc3)c2)[C@H]1C ZINC001088944860 744393416 /nfs/dbraw/zinc/39/34/16/744393416.db2.gz DKCDSFLGAVLGPT-PBHICJAKSA-N 1 2 323.400 1.483 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2COc3ccc(F)cc3C2)C1 ZINC001030674774 744430846 /nfs/dbraw/zinc/43/08/46/744430846.db2.gz IUPSHMQXPGSPDN-CYBMUJFWSA-N 1 2 304.365 1.753 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001187755042 744604792 /nfs/dbraw/zinc/60/47/92/744604792.db2.gz IQXAZRWQSJUYKC-ZENOOKHLSA-N 1 2 321.446 1.503 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001187755042 744604796 /nfs/dbraw/zinc/60/47/96/744604796.db2.gz IQXAZRWQSJUYKC-ZENOOKHLSA-N 1 2 321.446 1.503 20 30 DDEDLO Cc1ncc(C(=O)N[C@H]2CCC[N@H+](CC#Cc3ccccc3)C2)[nH]1 ZINC001006940994 751950567 /nfs/dbraw/zinc/95/05/67/751950567.db2.gz TZUYGHILSLGEMI-KRWDZBQOSA-N 1 2 322.412 1.964 20 30 DDEDLO Cc1ncc(C(=O)N[C@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)[nH]1 ZINC001006940994 751950571 /nfs/dbraw/zinc/95/05/71/751950571.db2.gz TZUYGHILSLGEMI-KRWDZBQOSA-N 1 2 322.412 1.964 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(NC(=O)Cc3nnc[nH]3)CCC[C@H]12 ZINC000992399603 744855040 /nfs/dbraw/zinc/85/50/40/744855040.db2.gz CLJBYIIZRVMDSV-FZMZJTMJSA-N 1 2 309.801 1.213 20 30 DDEDLO C#Cc1cncc(C(=O)NC2C[NH+](CC3CC(F)(F)C3)C2)c1 ZINC001030928393 744857229 /nfs/dbraw/zinc/85/72/29/744857229.db2.gz QFGBOZAWGVDNCT-UHFFFAOYSA-N 1 2 305.328 1.522 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([N@H+](C)CC(=O)Nc2cc(C)no2)C1 ZINC001189280040 744857959 /nfs/dbraw/zinc/85/79/59/744857959.db2.gz PIMCJISJCGXNCJ-ZDUSSCGKSA-N 1 2 320.393 1.420 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([N@@H+](C)CC(=O)Nc2cc(C)no2)C1 ZINC001189280040 744857962 /nfs/dbraw/zinc/85/79/62/744857962.db2.gz PIMCJISJCGXNCJ-ZDUSSCGKSA-N 1 2 320.393 1.420 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)s2)C1 ZINC001190129750 745126046 /nfs/dbraw/zinc/12/60/46/745126046.db2.gz WVLFWSCOUPQSMN-CQSZACIVSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)s2)C1 ZINC001190129750 745126050 /nfs/dbraw/zinc/12/60/50/745126050.db2.gz WVLFWSCOUPQSMN-CQSZACIVSA-N 1 2 321.446 1.524 20 30 DDEDLO C=CCn1cc(C(=O)NC2C[NH+]([C@H](C)c3ccccc3)C2)nn1 ZINC001031068803 745298307 /nfs/dbraw/zinc/29/83/07/745298307.db2.gz GHSSZAPFTFAWSV-CYBMUJFWSA-N 1 2 311.389 1.639 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CCC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007031653 751991497 /nfs/dbraw/zinc/99/14/97/751991497.db2.gz XCOIWCAAMWTHRV-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1nccnc1C[N@H+]1CCC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007031653 751991501 /nfs/dbraw/zinc/99/15/01/751991501.db2.gz XCOIWCAAMWTHRV-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO Cn1nccc1C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007137745 745381072 /nfs/dbraw/zinc/38/10/72/745381072.db2.gz ARYYPKXRVLSRTR-CYBMUJFWSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1nccc1C[N@H+]1CCC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007137745 745381076 /nfs/dbraw/zinc/38/10/76/745381076.db2.gz ARYYPKXRVLSRTR-CYBMUJFWSA-N 1 2 312.377 1.014 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001191105994 745424195 /nfs/dbraw/zinc/42/41/95/745424195.db2.gz SYAWBMQBAPBXDK-IAOVAPTHSA-N 1 2 318.392 1.385 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001191105994 745424198 /nfs/dbraw/zinc/42/41/98/745424198.db2.gz SYAWBMQBAPBXDK-IAOVAPTHSA-N 1 2 318.392 1.385 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001191106374 745424882 /nfs/dbraw/zinc/42/48/82/745424882.db2.gz YYAYOOSXAHZKLZ-HFBAOOFYSA-N 1 2 319.380 1.405 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001191106374 745424884 /nfs/dbraw/zinc/42/48/84/745424884.db2.gz YYAYOOSXAHZKLZ-HFBAOOFYSA-N 1 2 319.380 1.405 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2c(OC)ccc(OC)c2OC)CC1 ZINC001191595705 745562129 /nfs/dbraw/zinc/56/21/29/745562129.db2.gz QCSFAFVFAMICSG-UHFFFAOYSA-N 1 2 320.389 1.656 20 30 DDEDLO C[C@H](CCNc1cnc(C#N)cn1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106310073 745592580 /nfs/dbraw/zinc/59/25/80/745592580.db2.gz OMRSATCFQXNGFC-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccccc1)[C@@H]1CCCc2[nH]ncc21 ZINC001127327201 745626965 /nfs/dbraw/zinc/62/69/65/745626965.db2.gz HXVFNHLPAXBEGZ-MRXNPFEDSA-N 1 2 322.412 1.587 20 30 DDEDLO Cc1nc(NCC[C@@H](C)NC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001106363017 745631684 /nfs/dbraw/zinc/63/16/84/745631684.db2.gz WVNFJVVWVACVFO-GFCCVEGCSA-N 1 2 312.377 1.465 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)/C=C/C3CC3)C2)s1 ZINC001192087378 745683848 /nfs/dbraw/zinc/68/38/48/745683848.db2.gz FHRIUYLCRNWLKK-VNGFFZMTSA-N 1 2 317.414 1.247 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)/C=C/C3CC3)C2)s1 ZINC001192087378 745683850 /nfs/dbraw/zinc/68/38/50/745683850.db2.gz FHRIUYLCRNWLKK-VNGFFZMTSA-N 1 2 317.414 1.247 20 30 DDEDLO C=CCCC(=O)N(CC)CCNc1[nH+]cnc2c1cnn2C ZINC001106691853 745909498 /nfs/dbraw/zinc/90/94/98/745909498.db2.gz HIGYMSVCIUJNED-UHFFFAOYSA-N 1 2 302.382 1.590 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cnn(CCC)c2C2CC2)C1 ZINC001031227995 745922532 /nfs/dbraw/zinc/92/25/32/745922532.db2.gz HPFKCCPUAXYGEE-UHFFFAOYSA-N 1 2 300.406 1.608 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NCc1c[nH+]cn1Cc1ccc(F)cc1 ZINC001193179016 746020298 /nfs/dbraw/zinc/02/02/98/746020298.db2.gz VSXVOGZUJSGKHM-LLVKDONJSA-N 1 2 322.365 1.402 20 30 DDEDLO C=CCN1CC[N@@H+](C)C2(CCN(C(=O)OCC(C)C)CC2)C1=O ZINC001193819793 746207724 /nfs/dbraw/zinc/20/77/24/746207724.db2.gz OPLHPLHWGZWSIP-UHFFFAOYSA-N 1 2 323.437 1.574 20 30 DDEDLO C=CCN1CC[N@H+](C)C2(CCN(C(=O)OCC(C)C)CC2)C1=O ZINC001193819793 746207728 /nfs/dbraw/zinc/20/77/28/746207728.db2.gz OPLHPLHWGZWSIP-UHFFFAOYSA-N 1 2 323.437 1.574 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)Cc2cccs2)CC1 ZINC001194665559 746432074 /nfs/dbraw/zinc/43/20/74/746432074.db2.gz GMSNQTOKWWIMIC-UHFFFAOYSA-N 1 2 321.446 1.127 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)Cc2cccs2)CC1 ZINC001194665559 746432076 /nfs/dbraw/zinc/43/20/76/746432076.db2.gz GMSNQTOKWWIMIC-UHFFFAOYSA-N 1 2 321.446 1.127 20 30 DDEDLO CCOCC(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC001194746226 746443956 /nfs/dbraw/zinc/44/39/56/746443956.db2.gz CDSVWJATXUXERD-UHFFFAOYSA-N 1 2 301.390 1.629 20 30 DDEDLO CCOCC(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC001194746226 746443961 /nfs/dbraw/zinc/44/39/61/746443961.db2.gz CDSVWJATXUXERD-UHFFFAOYSA-N 1 2 301.390 1.629 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC(N(C)c2cc[nH+]c(C)n2)CC1 ZINC001115138869 746552053 /nfs/dbraw/zinc/55/20/53/746552053.db2.gz GJPYZWNWCTUFEF-KRWDZBQOSA-N 1 2 318.421 1.539 20 30 DDEDLO C#CCC[N@H+]1CCC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001007338967 752103602 /nfs/dbraw/zinc/10/36/02/752103602.db2.gz NRIQNWDQVWJBJS-KBPBESRZSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001007338967 752103608 /nfs/dbraw/zinc/10/36/08/752103608.db2.gz NRIQNWDQVWJBJS-KBPBESRZSA-N 1 2 300.406 1.434 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1O ZINC001195554866 746630700 /nfs/dbraw/zinc/63/07/00/746630700.db2.gz RJVRFVKWBRCDLH-FVQBIDKESA-N 1 2 303.406 1.345 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1O ZINC001195554866 746630703 /nfs/dbraw/zinc/63/07/03/746630703.db2.gz RJVRFVKWBRCDLH-FVQBIDKESA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@@H]1C ZINC000994416936 746642263 /nfs/dbraw/zinc/64/22/63/746642263.db2.gz BAWXLRLEFJRXPL-JQWIXIFHSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@@H]1C ZINC000994416936 746642265 /nfs/dbraw/zinc/64/22/65/746642265.db2.gz BAWXLRLEFJRXPL-JQWIXIFHSA-N 1 2 324.812 1.449 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)CCCC)CC1 ZINC001195727038 746677886 /nfs/dbraw/zinc/67/78/86/746677886.db2.gz HMHHOWIEOBLINP-HOTGVXAUSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)CCCC)CC1 ZINC001195727038 746677889 /nfs/dbraw/zinc/67/78/89/746677889.db2.gz HMHHOWIEOBLINP-HOTGVXAUSA-N 1 2 321.465 1.485 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2sccc2F)CC1 ZINC001195728613 746678595 /nfs/dbraw/zinc/67/85/95/746678595.db2.gz YUYQAHLKAWTSJV-UHFFFAOYSA-N 1 2 310.394 1.685 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2sccc2F)CC1 ZINC001195728613 746678597 /nfs/dbraw/zinc/67/85/97/746678597.db2.gz YUYQAHLKAWTSJV-UHFFFAOYSA-N 1 2 310.394 1.685 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)[C@H]1C ZINC000994489777 746686999 /nfs/dbraw/zinc/68/69/99/746686999.db2.gz VBXQSUSXKYWEFT-HIFRSBDPSA-N 1 2 323.400 1.688 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1O ZINC001195932770 746739616 /nfs/dbraw/zinc/73/96/16/746739616.db2.gz XCUXJHPYPYGVPD-INMHGKMJSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1O ZINC001195932770 746739620 /nfs/dbraw/zinc/73/96/20/746739620.db2.gz XCUXJHPYPYGVPD-INMHGKMJSA-N 1 2 321.421 1.501 20 30 DDEDLO CN(c1ccncc1C#N)[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001061218668 746902954 /nfs/dbraw/zinc/90/29/54/746902954.db2.gz UFZFJRRLAPCEMP-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO C=C(C)CCC(=O)NCc1cnn2c1C[N@H+](CCCOC)CC2 ZINC001128372973 746928691 /nfs/dbraw/zinc/92/86/91/746928691.db2.gz ZILJZFHMCMNZKH-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C=C(C)CCC(=O)NCc1cnn2c1C[N@@H+](CCCOC)CC2 ZINC001128372973 746928695 /nfs/dbraw/zinc/92/86/95/746928695.db2.gz ZILJZFHMCMNZKH-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001196724427 746945645 /nfs/dbraw/zinc/94/56/45/746945645.db2.gz JLLCIMXDXDUZDY-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001196724427 746945651 /nfs/dbraw/zinc/94/56/51/746945651.db2.gz JLLCIMXDXDUZDY-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001196832030 746976094 /nfs/dbraw/zinc/97/60/94/746976094.db2.gz VSABWJPJQUXXAB-HZPDHXFCSA-N 1 2 323.481 1.751 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001196832030 746976097 /nfs/dbraw/zinc/97/60/97/746976097.db2.gz VSABWJPJQUXXAB-HZPDHXFCSA-N 1 2 323.481 1.751 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(C)CC=CC2)CC1 ZINC001197029508 747043667 /nfs/dbraw/zinc/04/36/67/747043667.db2.gz TVBVABNUKWQGNX-HNNXBMFYSA-N 1 2 319.449 1.568 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(C)CC=CC2)CC1 ZINC001197029508 747043674 /nfs/dbraw/zinc/04/36/74/747043674.db2.gz TVBVABNUKWQGNX-HNNXBMFYSA-N 1 2 319.449 1.568 20 30 DDEDLO CO[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@H]1NC(=O)C#CC(C)C ZINC001212240804 747113667 /nfs/dbraw/zinc/11/36/67/747113667.db2.gz DIWLDBCAQCLURR-NUEKZKHPSA-N 1 2 316.405 1.012 20 30 DDEDLO CO[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@H]1NC(=O)C#CC(C)C ZINC001212240804 747113671 /nfs/dbraw/zinc/11/36/71/747113671.db2.gz DIWLDBCAQCLURR-NUEKZKHPSA-N 1 2 316.405 1.012 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cncc(C)c2)C[C@H]1NC(=O)C#CC1CC1 ZINC001212244231 747229723 /nfs/dbraw/zinc/22/97/23/747229723.db2.gz LCCDXNCUDNCGKB-IAGOWNOFSA-N 1 2 313.401 1.119 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cncc(C)c2)C[C@H]1NC(=O)C#CC1CC1 ZINC001212244231 747229726 /nfs/dbraw/zinc/22/97/26/747229726.db2.gz LCCDXNCUDNCGKB-IAGOWNOFSA-N 1 2 313.401 1.119 20 30 DDEDLO CC[C@H](CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O)C(C)(C)C ZINC001197742796 747255939 /nfs/dbraw/zinc/25/59/39/747255939.db2.gz IQAKIIOCEUGUNK-BZUAXINKSA-N 1 2 324.465 1.260 20 30 DDEDLO CC[C@H](CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O)C(C)(C)C ZINC001197742796 747255945 /nfs/dbraw/zinc/25/59/45/747255945.db2.gz IQAKIIOCEUGUNK-BZUAXINKSA-N 1 2 324.465 1.260 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C)s2)[C@H](O)C1 ZINC001090021598 747334029 /nfs/dbraw/zinc/33/40/29/747334029.db2.gz BUAZCMLBJQZATR-NWDGAFQWSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C)s2)[C@H](O)C1 ZINC001090021598 747334035 /nfs/dbraw/zinc/33/40/35/747334035.db2.gz BUAZCMLBJQZATR-NWDGAFQWSA-N 1 2 314.838 1.974 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)N(CC)c1[nH+]cnc2c1cnn2C ZINC001089598870 747427138 /nfs/dbraw/zinc/42/71/38/747427138.db2.gz CCABSMAQISFEDP-GFCCVEGCSA-N 1 2 316.409 1.661 20 30 DDEDLO CCc1cc(N(CC)[C@H](C)CNC(=O)[C@@H](C)C#N)nc(C)[nH+]1 ZINC001089601005 747435105 /nfs/dbraw/zinc/43/51/05/747435105.db2.gz OSBHCIHOMRVZBP-NWDGAFQWSA-N 1 2 303.410 1.838 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CC[C@H](N(C)C(=O)CC)C2)c1 ZINC001032849390 747443375 /nfs/dbraw/zinc/44/33/75/747443375.db2.gz HQGYORSRJQFAAQ-INIZCTEOSA-N 1 2 313.401 1.549 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CC[C@H](N(C)C(=O)CC)C2)c1 ZINC001032849390 747443379 /nfs/dbraw/zinc/44/33/79/747443379.db2.gz HQGYORSRJQFAAQ-INIZCTEOSA-N 1 2 313.401 1.549 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000998744561 752195192 /nfs/dbraw/zinc/19/51/92/752195192.db2.gz BZLSWCHAGKWUCZ-VXGBXAGGSA-N 1 2 305.382 1.262 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(C(F)(F)F)no2)C1 ZINC001007538394 752195665 /nfs/dbraw/zinc/19/56/65/752195665.db2.gz IOUQIBPZYQAZFM-SECBINFHSA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(C(F)(F)F)no2)C1 ZINC001007538394 752195669 /nfs/dbraw/zinc/19/56/69/752195669.db2.gz IOUQIBPZYQAZFM-SECBINFHSA-N 1 2 301.268 1.521 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cn(C(C)(C)C)nn2)C1 ZINC001107987576 752214218 /nfs/dbraw/zinc/21/42/18/752214218.db2.gz PHAIGBBXACZBRX-INIZCTEOSA-N 1 2 321.425 1.040 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cn(C(C)(C)C)nn2)C1 ZINC001107987576 752214221 /nfs/dbraw/zinc/21/42/21/752214221.db2.gz PHAIGBBXACZBRX-INIZCTEOSA-N 1 2 321.425 1.040 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(C)n(CC3CCC3)n2)CC1 ZINC001199580496 747902728 /nfs/dbraw/zinc/90/27/28/747902728.db2.gz KWKAZGIARSUJRC-UHFFFAOYSA-N 1 2 302.422 1.935 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001033010236 747911220 /nfs/dbraw/zinc/91/12/20/747911220.db2.gz MNBCGVJIQZHMRQ-RYUDHWBXSA-N 1 2 300.786 1.225 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001033010236 747911222 /nfs/dbraw/zinc/91/12/22/747911222.db2.gz MNBCGVJIQZHMRQ-RYUDHWBXSA-N 1 2 300.786 1.225 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001110540518 747952260 /nfs/dbraw/zinc/95/22/60/747952260.db2.gz WLVINNKVCVSUPD-ZDRJDWQYSA-N 1 2 324.428 1.981 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001110540518 747952263 /nfs/dbraw/zinc/95/22/63/747952263.db2.gz WLVINNKVCVSUPD-ZDRJDWQYSA-N 1 2 324.428 1.981 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C)n2C)[C@H](O)C1 ZINC001090063249 747972004 /nfs/dbraw/zinc/97/20/04/747972004.db2.gz FTZWWDPBWFPJBO-GXTWGEPZSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C)n2C)[C@H](O)C1 ZINC001090063249 747971999 /nfs/dbraw/zinc/97/19/99/747971999.db2.gz FTZWWDPBWFPJBO-GXTWGEPZSA-N 1 2 311.813 1.251 20 30 DDEDLO CN(C(=O)c1cncnc1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033034162 747996974 /nfs/dbraw/zinc/99/69/74/747996974.db2.gz VBWBFNSXPZZGPZ-QGZVFWFLSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C(=O)c1cncnc1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033034162 747996980 /nfs/dbraw/zinc/99/69/80/747996980.db2.gz VBWBFNSXPZZGPZ-QGZVFWFLSA-N 1 2 321.384 1.695 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)CC2OCCCO2)C1 ZINC001033034959 747999323 /nfs/dbraw/zinc/99/93/23/747999323.db2.gz CQQVACBYDWBVSI-GFCCVEGCSA-N 1 2 302.802 1.425 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)CC2OCCCO2)C1 ZINC001033034959 747999330 /nfs/dbraw/zinc/99/93/30/747999330.db2.gz CQQVACBYDWBVSI-GFCCVEGCSA-N 1 2 302.802 1.425 20 30 DDEDLO C[C@H]1CO[C@@H](C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)C1 ZINC001031858748 748039106 /nfs/dbraw/zinc/03/91/06/748039106.db2.gz CNYZYMYCTFMZCH-CXAGYDPISA-N 1 2 313.401 1.531 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001007603915 752236078 /nfs/dbraw/zinc/23/60/78/752236078.db2.gz LUDWTSRFKIGGHZ-DOMZBBRYSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001007603915 752236081 /nfs/dbraw/zinc/23/60/81/752236081.db2.gz LUDWTSRFKIGGHZ-DOMZBBRYSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001007603916 752235597 /nfs/dbraw/zinc/23/55/97/752235597.db2.gz LUDWTSRFKIGGHZ-IUODEOHRSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001007603916 752235600 /nfs/dbraw/zinc/23/56/00/752235600.db2.gz LUDWTSRFKIGGHZ-IUODEOHRSA-N 1 2 313.829 1.236 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001090084126 748145061 /nfs/dbraw/zinc/14/50/61/748145061.db2.gz TYXKRTAOKUJTBA-CVEARBPZSA-N 1 2 301.390 1.266 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001090084126 748145065 /nfs/dbraw/zinc/14/50/65/748145065.db2.gz TYXKRTAOKUJTBA-CVEARBPZSA-N 1 2 301.390 1.266 20 30 DDEDLO Cc1ncoc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004396524 748398043 /nfs/dbraw/zinc/39/80/43/748398043.db2.gz YVJAWCVQFICAJY-CQSZACIVSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1ncoc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004396524 748398050 /nfs/dbraw/zinc/39/80/50/748398050.db2.gz YVJAWCVQFICAJY-CQSZACIVSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1ncc(CC(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)o1 ZINC001004455680 748447342 /nfs/dbraw/zinc/44/73/42/748447342.db2.gz FOBQMBFZMJKIGU-INIZCTEOSA-N 1 2 316.405 1.752 20 30 DDEDLO Cc1ncc(CC(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)o1 ZINC001004455680 748447346 /nfs/dbraw/zinc/44/73/46/748447346.db2.gz FOBQMBFZMJKIGU-INIZCTEOSA-N 1 2 316.405 1.752 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001033124321 748473366 /nfs/dbraw/zinc/47/33/66/748473366.db2.gz NXINFUNPWRAFLM-STQMWFEESA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001033124321 748473370 /nfs/dbraw/zinc/47/33/70/748473370.db2.gz NXINFUNPWRAFLM-STQMWFEESA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@H]1OC ZINC001212348911 748505506 /nfs/dbraw/zinc/50/55/06/748505506.db2.gz FJMWKGGTIQWAJM-FVQBIDKESA-N 1 2 318.421 1.709 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@H]1OC ZINC001212348911 748505509 /nfs/dbraw/zinc/50/55/09/748505509.db2.gz FJMWKGGTIQWAJM-FVQBIDKESA-N 1 2 318.421 1.709 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cnc(C)nc3)C2)nc1 ZINC001032137201 748730082 /nfs/dbraw/zinc/73/00/82/748730082.db2.gz MDCPXXRYAFPTEQ-UHFFFAOYSA-N 1 2 321.384 1.023 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3nccnc3C)C2)cn1 ZINC001032138060 748730798 /nfs/dbraw/zinc/73/07/98/748730798.db2.gz KILMQDBOZWSWPC-UHFFFAOYSA-N 1 2 321.384 1.023 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@]2(C)CCCOC2)C1 ZINC001108310137 761900841 /nfs/dbraw/zinc/90/08/41/761900841.db2.gz IXQIAJYVYPETSQ-IAGOWNOFSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@]2(C)CCCOC2)C1 ZINC001108310137 761900844 /nfs/dbraw/zinc/90/08/44/761900844.db2.gz IXQIAJYVYPETSQ-IAGOWNOFSA-N 1 2 308.422 1.034 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]([NH2+]Cc2nnc(C3CC3)o2)C(C)(C)C1 ZINC000995549462 748913196 /nfs/dbraw/zinc/91/31/96/748913196.db2.gz BNXBAMFTHCKYOV-JQWIXIFHSA-N 1 2 317.393 1.433 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095314919 748920565 /nfs/dbraw/zinc/92/05/65/748920565.db2.gz NCDBYNYRVWMQQN-TZMCWYRMSA-N 1 2 302.378 1.226 20 30 DDEDLO C=CCCC(=O)N[C@@]1(C)CCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001110712867 748929027 /nfs/dbraw/zinc/92/90/27/748929027.db2.gz QVEVRQJDGCNMNU-INIZCTEOSA-N 1 2 314.393 1.415 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2CN(C(=O)[C@H](C)C#N)CC2(C)C)n1 ZINC000995581571 748959231 /nfs/dbraw/zinc/95/92/31/748959231.db2.gz NIEQPUZJLGJJCY-NEPJUHHUSA-N 1 2 319.409 1.508 20 30 DDEDLO C[C@@]1(NC(=O)CCc2[nH]cc[nH+]2)CCN(c2ccncc2C#N)C1 ZINC001110751689 748980581 /nfs/dbraw/zinc/98/05/81/748980581.db2.gz GIKFJWFOWVJQSS-QGZVFWFLSA-N 1 2 324.388 1.394 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CC23CCCC3)C1 ZINC001108325407 761916127 /nfs/dbraw/zinc/91/61/27/761916127.db2.gz OTAGINIRYCGFEN-DOTOQJQBSA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CC23CCCC3)C1 ZINC001108325407 761916132 /nfs/dbraw/zinc/91/61/32/761916132.db2.gz OTAGINIRYCGFEN-DOTOQJQBSA-N 1 2 304.434 1.797 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc3nnnn3c2)C1 ZINC001033267992 749094578 /nfs/dbraw/zinc/09/45/78/749094578.db2.gz PAXAGCVMKRXRAH-LBPRGKRZSA-N 1 2 320.784 1.023 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc3nnnn3c2)C1 ZINC001033267992 749094584 /nfs/dbraw/zinc/09/45/84/749094584.db2.gz PAXAGCVMKRXRAH-LBPRGKRZSA-N 1 2 320.784 1.023 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cnc(CC3CC3)s2)C1 ZINC001033291973 749132499 /nfs/dbraw/zinc/13/24/99/749132499.db2.gz RLHQAJFFJYXUBR-CYBMUJFWSA-N 1 2 303.431 1.875 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc(CC3CC3)s2)C1 ZINC001033291973 749132502 /nfs/dbraw/zinc/13/25/02/749132502.db2.gz RLHQAJFFJYXUBR-CYBMUJFWSA-N 1 2 303.431 1.875 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2CCCO2)c1 ZINC001032265259 749136787 /nfs/dbraw/zinc/13/67/87/749136787.db2.gz KIOBQGIYFBKHLH-ULQDDVLXSA-N 1 2 311.385 1.522 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2CCCO2)c1 ZINC001032265259 749136791 /nfs/dbraw/zinc/13/67/91/749136791.db2.gz KIOBQGIYFBKHLH-ULQDDVLXSA-N 1 2 311.385 1.522 20 30 DDEDLO Cc1nc(N2C[C@@H](NC(=O)CSCC#N)C(C)(C)C2)cc[nH+]1 ZINC001114425761 749193990 /nfs/dbraw/zinc/19/39/90/749193990.db2.gz RCRKBMSKJUUPOF-GFCCVEGCSA-N 1 2 319.434 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cccc(=O)n2C)C1 ZINC001033410635 749305558 /nfs/dbraw/zinc/30/55/58/749305558.db2.gz NGFHTDWJQXCTCI-LBPRGKRZSA-N 1 2 309.797 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cccc(=O)n2C)C1 ZINC001033410635 749305561 /nfs/dbraw/zinc/30/55/61/749305561.db2.gz NGFHTDWJQXCTCI-LBPRGKRZSA-N 1 2 309.797 1.284 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc3ncccn3n2)C1 ZINC001033526968 749478133 /nfs/dbraw/zinc/47/81/33/749478133.db2.gz NLQKRTAKQPBRAU-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc3ncccn3n2)C1 ZINC001033526968 749478136 /nfs/dbraw/zinc/47/81/36/749478136.db2.gz NLQKRTAKQPBRAU-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@](C)(F)CCCC)nn2)C1 ZINC001107182324 749498767 /nfs/dbraw/zinc/49/87/67/749498767.db2.gz QOJUSNFVPMHTOJ-INIZCTEOSA-N 1 2 323.416 1.855 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H](C=C)CC)nn2)C1 ZINC001107198578 749563531 /nfs/dbraw/zinc/56/35/31/749563531.db2.gz LQPQGWVBPPLBBF-ZDUSSCGKSA-N 1 2 303.410 1.539 20 30 DDEDLO Cc1nc(N2CCC(CNC(=O)CSCC#N)CC2)cc[nH+]1 ZINC001095339854 749571677 /nfs/dbraw/zinc/57/16/77/749571677.db2.gz MZZYMMQJHYEMBO-UHFFFAOYSA-N 1 2 319.434 1.374 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H](CC)CCC)nn2)C1 ZINC001107224806 749650795 /nfs/dbraw/zinc/65/07/95/749650795.db2.gz DBYLOYYBHXECOW-AWEZNQCLSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](OC)c2ccccc2)C1 ZINC001108350840 761970362 /nfs/dbraw/zinc/97/03/62/761970362.db2.gz CLUSINPQMJGQEF-AEFFLSMTSA-N 1 2 318.417 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](OC)c2ccccc2)C1 ZINC001108350840 761970363 /nfs/dbraw/zinc/97/03/63/761970363.db2.gz CLUSINPQMJGQEF-AEFFLSMTSA-N 1 2 318.417 1.767 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCc2sccc2C1)[C@@H]1CCN(CC#N)C1 ZINC001033683034 749711024 /nfs/dbraw/zinc/71/10/24/749711024.db2.gz DSLVFPNWMGLISQ-CQSZACIVSA-N 1 2 318.446 1.162 20 30 DDEDLO CN(C(=O)C[N@H+]1CCc2sccc2C1)[C@@H]1CCN(CC#N)C1 ZINC001033683034 749711032 /nfs/dbraw/zinc/71/10/32/749711032.db2.gz DSLVFPNWMGLISQ-CQSZACIVSA-N 1 2 318.446 1.162 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nnc(C)[nH]1)C2 ZINC001095396205 749716104 /nfs/dbraw/zinc/71/61/04/749716104.db2.gz NCFDOTUMIKKJFG-WOPDTQHZSA-N 1 2 309.801 1.130 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nnc(C)[nH]1)C2 ZINC001095396205 749716107 /nfs/dbraw/zinc/71/61/07/749716107.db2.gz NCFDOTUMIKKJFG-WOPDTQHZSA-N 1 2 309.801 1.130 20 30 DDEDLO Cc1nc(N(C)C)nc(NC[C@H](C)NC(=O)C#CC(C)(C)C)[nH+]1 ZINC001108358339 761980919 /nfs/dbraw/zinc/98/09/19/761980919.db2.gz JGJCKMNVELSNIH-NSHDSACASA-N 1 2 318.425 1.212 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001033739858 749803637 /nfs/dbraw/zinc/80/36/37/749803637.db2.gz PHCMYNJNQSQMBS-LLVKDONJSA-N 1 2 310.785 1.471 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001033739858 749803640 /nfs/dbraw/zinc/80/36/40/749803640.db2.gz PHCMYNJNQSQMBS-LLVKDONJSA-N 1 2 310.785 1.471 20 30 DDEDLO N#Cc1cnccc1N1CCC(NC(=O)CCn2cc[nH+]c2)CC1 ZINC001095438522 749897120 /nfs/dbraw/zinc/89/71/20/749897120.db2.gz UKSSEFVHLLNCTG-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO C#CCCCC(=O)NCCCN(C)c1[nH+]cnc2c1cnn2C ZINC001095543596 750028781 /nfs/dbraw/zinc/02/87/81/750028781.db2.gz WHMSRDKJANVTPL-UHFFFAOYSA-N 1 2 314.393 1.109 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2ccnc(OC)n2)C1 ZINC001033895799 750079765 /nfs/dbraw/zinc/07/97/65/750079765.db2.gz SWNMPHYEXLOZLT-LBPRGKRZSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2ccnc(OC)n2)C1 ZINC001033895799 750079770 /nfs/dbraw/zinc/07/97/70/750079770.db2.gz SWNMPHYEXLOZLT-LBPRGKRZSA-N 1 2 324.812 1.774 20 30 DDEDLO CCN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)[C@@H]1CCN(CC#N)C1 ZINC001033908763 750103904 /nfs/dbraw/zinc/10/39/04/750103904.db2.gz ZWKTXEYPVFQJMG-QGZVFWFLSA-N 1 2 323.400 1.932 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncoc2CC)[C@@H](O)C1 ZINC001090151586 750106064 /nfs/dbraw/zinc/10/60/64/750106064.db2.gz SIBIYIAJSKYQPC-MNOVXSKESA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncoc2CC)[C@@H](O)C1 ZINC001090151586 750106066 /nfs/dbraw/zinc/10/60/66/750106066.db2.gz SIBIYIAJSKYQPC-MNOVXSKESA-N 1 2 313.785 1.155 20 30 DDEDLO C[C@@H](CC(=O)NC/C=C\CNc1ncccc1C#N)n1cc[nH+]c1 ZINC001107546215 750111589 /nfs/dbraw/zinc/11/15/89/750111589.db2.gz WRECDTFRTFZCAK-SFAKSCPVSA-N 1 2 324.388 1.885 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC/C=C/CNc1ncccc1C#N ZINC001107549913 750113216 /nfs/dbraw/zinc/11/32/16/750113216.db2.gz SXUQMQKSAQLGEB-YWVDXFKGSA-N 1 2 324.388 1.639 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC/C=C/CNc1ncccc1C#N ZINC001107549913 750113219 /nfs/dbraw/zinc/11/32/19/750113219.db2.gz SXUQMQKSAQLGEB-YWVDXFKGSA-N 1 2 324.388 1.639 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC1CC1 ZINC001110897333 750185466 /nfs/dbraw/zinc/18/54/66/750185466.db2.gz IMJHRLCXQASQSM-KFWWJZLASA-N 1 2 319.449 1.446 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC1CC1 ZINC001110897333 750185472 /nfs/dbraw/zinc/18/54/72/750185472.db2.gz IMJHRLCXQASQSM-KFWWJZLASA-N 1 2 319.449 1.446 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001034000182 750242968 /nfs/dbraw/zinc/24/29/68/750242968.db2.gz YKXWQSGUMSLXLO-LBPRGKRZSA-N 1 2 324.812 1.403 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001034000182 750242973 /nfs/dbraw/zinc/24/29/73/750242973.db2.gz YKXWQSGUMSLXLO-LBPRGKRZSA-N 1 2 324.812 1.403 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001034466665 750448374 /nfs/dbraw/zinc/44/83/74/750448374.db2.gz CFIJRXJTKIHLLF-KGLIPLIRSA-N 1 2 314.433 1.752 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001034466665 750448376 /nfs/dbraw/zinc/44/83/76/750448376.db2.gz CFIJRXJTKIHLLF-KGLIPLIRSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC001077734204 750455274 /nfs/dbraw/zinc/45/52/74/750455274.db2.gz LKLUYRCDVVQXTD-CHWSQXEVSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC001077734204 750455278 /nfs/dbraw/zinc/45/52/78/750455278.db2.gz LKLUYRCDVVQXTD-CHWSQXEVSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC[C@@H]2CCOC2)C1 ZINC001107859323 750463601 /nfs/dbraw/zinc/46/36/01/750463601.db2.gz TWEQSMIFVMEAHK-WBVHZDCISA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC[C@@H]2CCOC2)C1 ZINC001107859323 750463605 /nfs/dbraw/zinc/46/36/05/750463605.db2.gz TWEQSMIFVMEAHK-WBVHZDCISA-N 1 2 308.422 1.034 20 30 DDEDLO C[C@H](CNc1ncc(C#N)cc1Cl)NC(=O)Cc1[nH]cc[nH+]1 ZINC001107900616 750598057 /nfs/dbraw/zinc/59/80/57/750598057.db2.gz WMMLLFSNXIDEEU-SECBINFHSA-N 1 2 318.768 1.489 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC2(COC)CC2)C1 ZINC001107938059 750685105 /nfs/dbraw/zinc/68/51/05/750685105.db2.gz HPEJCVAANRYJSV-MRXNPFEDSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC2(COC)CC2)C1 ZINC001107938059 750685108 /nfs/dbraw/zinc/68/51/08/750685108.db2.gz HPEJCVAANRYJSV-MRXNPFEDSA-N 1 2 308.422 1.034 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000997242074 750719254 /nfs/dbraw/zinc/71/92/54/750719254.db2.gz BCMQMJQQAWPXRO-UHFFFAOYSA-N 1 2 304.394 1.190 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCN(c2ccccc2)C1 ZINC001032470247 750945213 /nfs/dbraw/zinc/94/52/13/750945213.db2.gz OHYBGIYLTPZQKI-NJAFHUGGSA-N 1 2 309.413 1.431 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCN(c2ccccc2)C1 ZINC001032470247 750945214 /nfs/dbraw/zinc/94/52/14/750945214.db2.gz OHYBGIYLTPZQKI-NJAFHUGGSA-N 1 2 309.413 1.431 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001060862978 751042665 /nfs/dbraw/zinc/04/26/65/751042665.db2.gz CSRWJVSFJIELMB-CQSZACIVSA-N 1 2 324.388 1.570 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]1C[NH2+]Cc1nc(C2CC2)no1 ZINC001034934988 751047085 /nfs/dbraw/zinc/04/70/85/751047085.db2.gz CJSKJJVABVSXGA-CQSZACIVSA-N 1 2 314.389 1.441 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2nn(C)cc2Cl)C1 ZINC001107967156 751115872 /nfs/dbraw/zinc/11/58/72/751115872.db2.gz PYEPEEAMPKGRMY-CQSZACIVSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2nn(C)cc2Cl)C1 ZINC001107967156 751115875 /nfs/dbraw/zinc/11/58/75/751115875.db2.gz PYEPEEAMPKGRMY-CQSZACIVSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001062060056 752711774 /nfs/dbraw/zinc/71/17/74/752711774.db2.gz KFUVYENUJNHHSM-CQSZACIVSA-N 1 2 304.394 1.416 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1csc(C(C)(C)C)n1 ZINC001032685311 752719765 /nfs/dbraw/zinc/71/97/65/752719765.db2.gz WTUBUFROLLKQGP-KBPBESRZSA-N 1 2 317.458 1.901 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1csc(C(C)(C)C)n1 ZINC001032685311 752719770 /nfs/dbraw/zinc/71/97/70/752719770.db2.gz WTUBUFROLLKQGP-KBPBESRZSA-N 1 2 317.458 1.901 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ncccn2)c1 ZINC001032694606 752752541 /nfs/dbraw/zinc/75/25/41/752752541.db2.gz BINLOZLGZCDBBT-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ncccn2)c1 ZINC001032694606 752752544 /nfs/dbraw/zinc/75/25/44/752752544.db2.gz BINLOZLGZCDBBT-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C(C)(C)C1 ZINC001008504992 752772161 /nfs/dbraw/zinc/77/21/61/752772161.db2.gz KWMFXUUZRNBKCF-LBPRGKRZSA-N 1 2 318.421 1.518 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1ccnnc1 ZINC001008640860 752837343 /nfs/dbraw/zinc/83/73/43/752837343.db2.gz LGYMPTDCMUFYDH-SFHVURJKSA-N 1 2 320.396 1.723 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1ccnnc1 ZINC001008640860 752837352 /nfs/dbraw/zinc/83/73/52/752837352.db2.gz LGYMPTDCMUFYDH-SFHVURJKSA-N 1 2 320.396 1.723 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc(C)n1C)C2 ZINC001095921277 752889615 /nfs/dbraw/zinc/88/96/15/752889615.db2.gz MLDJTEIPMKUASD-AGIUHOORSA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc(C)n1C)C2 ZINC001095921277 752889621 /nfs/dbraw/zinc/88/96/21/752889621.db2.gz MLDJTEIPMKUASD-AGIUHOORSA-N 1 2 308.813 1.816 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)c2ncccn2)C1 ZINC001008951892 753004393 /nfs/dbraw/zinc/00/43/93/753004393.db2.gz RFESYKVLGRCPAS-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)c2ncccn2)C1 ZINC001008951892 753004402 /nfs/dbraw/zinc/00/44/02/753004402.db2.gz RFESYKVLGRCPAS-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)CCC1 ZINC001062686686 753382193 /nfs/dbraw/zinc/38/21/93/753382193.db2.gz UVZJSDGTLOTHGC-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)CCC1 ZINC001062686686 753382195 /nfs/dbraw/zinc/38/21/95/753382195.db2.gz UVZJSDGTLOTHGC-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001077924659 753428892 /nfs/dbraw/zinc/42/88/92/753428892.db2.gz LGGDICMMYUIHCX-OLZOCXBDSA-N 1 2 320.437 1.874 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C2CC[NH+](Cc3ccon3)CC2)c1 ZINC001005968756 753439450 /nfs/dbraw/zinc/43/94/50/753439450.db2.gz RIHNIOLVQDBSEW-UHFFFAOYSA-N 1 2 324.384 1.788 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C1CC[NH+](Cc2ccon2)CC1 ZINC001006016717 753506828 /nfs/dbraw/zinc/50/68/28/753506828.db2.gz QYYNTCURLDSFKW-CVEARBPZSA-N 1 2 319.405 1.689 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@H](NC(=O)[C@@H]3CCCO3)C2)c1 ZINC001010053361 753576788 /nfs/dbraw/zinc/57/67/88/753576788.db2.gz FGHHSESEUAEJOS-HOCLYGCPSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@H](NC(=O)[C@@H]3CCCO3)C2)c1 ZINC001010053361 753576792 /nfs/dbraw/zinc/57/67/92/753576792.db2.gz FGHHSESEUAEJOS-HOCLYGCPSA-N 1 2 317.364 1.567 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)[C@@H]1CCCO1 ZINC001010059180 753580647 /nfs/dbraw/zinc/58/06/47/753580647.db2.gz MPDSEOXALHIYGD-IRXDYDNUSA-N 1 2 316.376 1.547 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)[C@@H]1CCCO1 ZINC001010059180 753580653 /nfs/dbraw/zinc/58/06/53/753580653.db2.gz MPDSEOXALHIYGD-IRXDYDNUSA-N 1 2 316.376 1.547 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2nccnc2c1 ZINC001032771144 753581941 /nfs/dbraw/zinc/58/19/41/753581941.db2.gz OBZIZKHUUFODRB-GJZGRUSLSA-N 1 2 306.369 1.552 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2nccnc2c1 ZINC001032771144 753581943 /nfs/dbraw/zinc/58/19/43/753581943.db2.gz OBZIZKHUUFODRB-GJZGRUSLSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(C)cc1OC ZINC001032770812 753582014 /nfs/dbraw/zinc/58/20/14/753582014.db2.gz JRMAAJAQWJRFTH-GJZGRUSLSA-N 1 2 314.385 1.301 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(C)cc1OC ZINC001032770812 753582017 /nfs/dbraw/zinc/58/20/17/753582017.db2.gz JRMAAJAQWJRFTH-GJZGRUSLSA-N 1 2 314.385 1.301 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)c3occc32)C1 ZINC001077989647 753592182 /nfs/dbraw/zinc/59/21/82/753592182.db2.gz AHMMJLNSFNTHSY-ZIAGYGMSSA-N 1 2 318.760 1.494 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)c3occc32)C1 ZINC001077989647 753592186 /nfs/dbraw/zinc/59/21/86/753592186.db2.gz AHMMJLNSFNTHSY-ZIAGYGMSSA-N 1 2 318.760 1.494 20 30 DDEDLO Cn1cccc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010240816 753704922 /nfs/dbraw/zinc/70/49/22/753704922.db2.gz FVUBSYYVLFAGAM-MRXNPFEDSA-N 1 2 308.385 1.901 20 30 DDEDLO Cn1cccc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010240816 753704929 /nfs/dbraw/zinc/70/49/29/753704929.db2.gz FVUBSYYVLFAGAM-MRXNPFEDSA-N 1 2 308.385 1.901 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)cc(Cl)c2)C1 ZINC001078068907 753708094 /nfs/dbraw/zinc/70/80/94/753708094.db2.gz NBLBTFTVWRGMQS-CHWSQXEVSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)cc(Cl)c2)C1 ZINC001078068907 753708101 /nfs/dbraw/zinc/70/81/01/753708101.db2.gz NBLBTFTVWRGMQS-CHWSQXEVSA-N 1 2 313.184 1.402 20 30 DDEDLO Cn1nccc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010362606 753810857 /nfs/dbraw/zinc/81/08/57/753810857.db2.gz UIHGYFLPJDXOIA-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1nccc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010362606 753810862 /nfs/dbraw/zinc/81/08/62/753810862.db2.gz UIHGYFLPJDXOIA-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(CCC)nc2C)C1 ZINC001078171936 753819702 /nfs/dbraw/zinc/81/97/02/753819702.db2.gz DXXFWZZHNPSEOW-CHWSQXEVSA-N 1 2 321.446 1.202 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(CCC)nc2C)C1 ZINC001078171936 753819707 /nfs/dbraw/zinc/81/97/07/753819707.db2.gz DXXFWZZHNPSEOW-CHWSQXEVSA-N 1 2 321.446 1.202 20 30 DDEDLO Cc1ncc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)[nH]1 ZINC001010380575 753838032 /nfs/dbraw/zinc/83/80/32/753838032.db2.gz XMVAHXHMFJENEW-INIZCTEOSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1ncc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)[nH]1 ZINC001010380575 753838036 /nfs/dbraw/zinc/83/80/36/753838036.db2.gz XMVAHXHMFJENEW-INIZCTEOSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1ncc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001010381385 753839816 /nfs/dbraw/zinc/83/98/16/753839816.db2.gz RCMADGZMZFMKQK-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1ncc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001010381385 753839821 /nfs/dbraw/zinc/83/98/21/753839821.db2.gz RCMADGZMZFMKQK-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2CC(C)C)C1 ZINC001078253881 753896245 /nfs/dbraw/zinc/89/62/45/753896245.db2.gz XJQFIIWSNCRPCX-IAGOWNOFSA-N 1 2 300.402 1.293 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2CC(C)C)C1 ZINC001078253881 753896252 /nfs/dbraw/zinc/89/62/52/753896252.db2.gz XJQFIIWSNCRPCX-IAGOWNOFSA-N 1 2 300.402 1.293 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)c(C)o1 ZINC001010478124 753955576 /nfs/dbraw/zinc/95/55/76/753955576.db2.gz LPNTYEXYTMNSSW-ZDUSSCGKSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)c(C)o1 ZINC001010478124 753955581 /nfs/dbraw/zinc/95/55/81/753955581.db2.gz LPNTYEXYTMNSSW-ZDUSSCGKSA-N 1 2 313.361 1.496 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCCO2)C1 ZINC001010629285 754064847 /nfs/dbraw/zinc/06/48/47/754064847.db2.gz LOSRCMPVTTYXKM-IAGOWNOFSA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCCO2)C1 ZINC001010629285 754064855 /nfs/dbraw/zinc/06/48/55/754064855.db2.gz LOSRCMPVTTYXKM-IAGOWNOFSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1)n1cncn1 ZINC001010685619 754098351 /nfs/dbraw/zinc/09/83/51/754098351.db2.gz ABUIHENYEOUSSA-CJNGLKHVSA-N 1 2 324.388 1.101 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1)n1cncn1 ZINC001010685619 754098358 /nfs/dbraw/zinc/09/83/58/754098358.db2.gz ABUIHENYEOUSSA-CJNGLKHVSA-N 1 2 324.388 1.101 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001011063130 754334791 /nfs/dbraw/zinc/33/47/91/754334791.db2.gz MTVRVOMBUZWVIL-UHFFFAOYSA-N 1 2 318.421 1.615 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@@H]1Nc1ccc(C#N)nc1 ZINC001063801877 754353231 /nfs/dbraw/zinc/35/32/31/754353231.db2.gz DJRKEFMIBZLRHD-WBMJQRKESA-N 1 2 324.388 1.568 20 30 DDEDLO O=C(C#CC1CC1)N1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001011107219 754356093 /nfs/dbraw/zinc/35/60/93/754356093.db2.gz BHMGJPWWJWASIZ-UHFFFAOYSA-N 1 2 322.368 1.261 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC001011193642 754388310 /nfs/dbraw/zinc/38/83/10/754388310.db2.gz HIJOFQCTZACTIO-CYBMUJFWSA-N 1 2 318.421 1.471 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC001011193642 754388317 /nfs/dbraw/zinc/38/83/17/754388317.db2.gz HIJOFQCTZACTIO-CYBMUJFWSA-N 1 2 318.421 1.471 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCC[C@H]2NC(=O)Cc2c[nH]c[nH+]2)nc1 ZINC001064140989 754537601 /nfs/dbraw/zinc/53/76/01/754537601.db2.gz PVMQBUUNNFWFBP-DZGCQCFKSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCCCC(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064207396 754561721 /nfs/dbraw/zinc/56/17/21/754561721.db2.gz JCDNAXSDQJWKSV-UHFFFAOYSA-N 1 2 304.394 1.464 20 30 DDEDLO Cc1nc(N2CCC[C@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)cc[nH+]1 ZINC001064557777 754712276 /nfs/dbraw/zinc/71/22/76/754712276.db2.gz RXPXSRFDTVALPJ-GUYCJALGSA-N 1 2 313.405 1.764 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccc(C#N)cc2F)C[C@H]1NC(=O)C(F)F ZINC001079330824 755227488 /nfs/dbraw/zinc/22/74/88/755227488.db2.gz YDGNHDSGKRJXDE-NOZJJQNGSA-N 1 2 311.307 1.899 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2F)C[C@H]1NC(=O)C(F)F ZINC001079330824 755227493 /nfs/dbraw/zinc/22/74/93/755227493.db2.gz YDGNHDSGKRJXDE-NOZJJQNGSA-N 1 2 311.307 1.899 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc[nH]2)C1 ZINC001079416497 755301392 /nfs/dbraw/zinc/30/13/92/755301392.db2.gz DQVLRNFOPIQSJY-BXKDBHETSA-N 1 2 312.211 1.973 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc[nH]2)C1 ZINC001079416497 755301397 /nfs/dbraw/zinc/30/13/97/755301397.db2.gz DQVLRNFOPIQSJY-BXKDBHETSA-N 1 2 312.211 1.973 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001014472281 755749720 /nfs/dbraw/zinc/74/97/20/755749720.db2.gz VFQMGAPYIAWUIL-BMFZPTHFSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001014472281 755749722 /nfs/dbraw/zinc/74/97/22/755749722.db2.gz VFQMGAPYIAWUIL-BMFZPTHFSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080307164 755816134 /nfs/dbraw/zinc/81/61/34/755816134.db2.gz PKLWNKRGZIQICY-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001080466146 755929050 /nfs/dbraw/zinc/92/90/50/755929050.db2.gz SAKDQJGLEPMAOQ-QGZVFWFLSA-N 1 2 318.421 1.545 20 30 DDEDLO Cc1ncn(C)c1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001014817835 755958607 /nfs/dbraw/zinc/95/86/07/755958607.db2.gz SYRPWNKUXKSVOV-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1ncn(C)c1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001014817835 755958610 /nfs/dbraw/zinc/95/86/10/755958610.db2.gz SYRPWNKUXKSVOV-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080632137 756014468 /nfs/dbraw/zinc/01/44/68/756014468.db2.gz VCTSNUMUHIQDIO-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)C1CCC1 ZINC001081258385 756255269 /nfs/dbraw/zinc/25/52/69/756255269.db2.gz ODUQVAKTSHTVBT-HUUCEWRRSA-N 1 2 319.430 1.735 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)C1CCC1 ZINC001081258385 756255271 /nfs/dbraw/zinc/25/52/71/756255271.db2.gz ODUQVAKTSHTVBT-HUUCEWRRSA-N 1 2 319.430 1.735 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cnco3)C2)s1 ZINC001015442044 756303744 /nfs/dbraw/zinc/30/37/44/756303744.db2.gz JMSVLXJGXNZIBX-SNVBAGLBSA-N 1 2 302.359 1.612 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cnco3)C2)s1 ZINC001015442044 756303749 /nfs/dbraw/zinc/30/37/49/756303749.db2.gz JMSVLXJGXNZIBX-SNVBAGLBSA-N 1 2 302.359 1.612 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC001015447243 756308618 /nfs/dbraw/zinc/30/86/18/756308618.db2.gz PDQDTBXKCDAZND-LLVKDONJSA-N 1 2 302.403 1.381 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC001015447243 756308623 /nfs/dbraw/zinc/30/86/23/756308623.db2.gz PDQDTBXKCDAZND-LLVKDONJSA-N 1 2 302.403 1.381 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)C(C)C ZINC001081376094 756317708 /nfs/dbraw/zinc/31/77/08/756317708.db2.gz JUXSHYNJFKWNHX-HZPDHXFCSA-N 1 2 301.390 1.530 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)C(C)C ZINC001081376094 756317712 /nfs/dbraw/zinc/31/77/12/756317712.db2.gz JUXSHYNJFKWNHX-HZPDHXFCSA-N 1 2 301.390 1.530 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)nc(OC)c2)C1 ZINC001015622170 756421018 /nfs/dbraw/zinc/42/10/18/756421018.db2.gz RIBQJMPYPXVGHK-ZDUSSCGKSA-N 1 2 309.797 1.955 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)nc(OC)c2)C1 ZINC001015622170 756421021 /nfs/dbraw/zinc/42/10/21/756421021.db2.gz RIBQJMPYPXVGHK-ZDUSSCGKSA-N 1 2 309.797 1.955 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3ccccc3nc2C)[C@H](OC)C1 ZINC001081756697 756467365 /nfs/dbraw/zinc/46/73/65/756467365.db2.gz WQCVKLRMGNOKJM-QZTJIDSGSA-N 1 2 323.396 1.605 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3ccccc3nc2C)[C@H](OC)C1 ZINC001081756697 756467366 /nfs/dbraw/zinc/46/73/66/756467366.db2.gz WQCVKLRMGNOKJM-QZTJIDSGSA-N 1 2 323.396 1.605 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H]1CC[N@H+](Cc2ccns2)C1 ZINC001015829181 756576476 /nfs/dbraw/zinc/57/64/76/756576476.db2.gz OTNYUICJBFGGFX-QEJZJMRPSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C1 ZINC001015829181 756576479 /nfs/dbraw/zinc/57/64/79/756576479.db2.gz OTNYUICJBFGGFX-QEJZJMRPSA-N 1 2 321.446 1.815 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2csc3ccccc32)[C@H](OC)C1 ZINC001081997743 756581254 /nfs/dbraw/zinc/58/12/54/756581254.db2.gz OFKLOPWHJHXOQT-HUUCEWRRSA-N 1 2 314.410 1.964 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2csc3ccccc32)[C@H](OC)C1 ZINC001081997743 756581257 /nfs/dbraw/zinc/58/12/57/756581257.db2.gz OFKLOPWHJHXOQT-HUUCEWRRSA-N 1 2 314.410 1.964 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)ccc2OC)[C@H](OC)C1 ZINC001082004445 756591312 /nfs/dbraw/zinc/59/13/12/756591312.db2.gz KCRRXHGKXPPMML-GDBMZVCRSA-N 1 2 320.364 1.287 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)ccc2OC)[C@H](OC)C1 ZINC001082004445 756591315 /nfs/dbraw/zinc/59/13/15/756591315.db2.gz KCRRXHGKXPPMML-GDBMZVCRSA-N 1 2 320.364 1.287 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3occc3s2)[C@H](OC)C1 ZINC001082127902 756656855 /nfs/dbraw/zinc/65/68/55/756656855.db2.gz DBZFHFARUMLJDV-DGCLKSJQSA-N 1 2 318.398 1.947 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3occc3s2)[C@H](OC)C1 ZINC001082127902 756656858 /nfs/dbraw/zinc/65/68/58/756656858.db2.gz DBZFHFARUMLJDV-DGCLKSJQSA-N 1 2 318.398 1.947 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3c(c2)C[C@@H](C)O3)[C@H](OC)C1 ZINC001082238889 756696057 /nfs/dbraw/zinc/69/60/57/756696057.db2.gz PJKMDMNHIDKWDJ-SRCQZFHVSA-N 1 2 314.385 1.072 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3c(c2)C[C@@H](C)O3)[C@H](OC)C1 ZINC001082238889 756696061 /nfs/dbraw/zinc/69/60/61/756696061.db2.gz PJKMDMNHIDKWDJ-SRCQZFHVSA-N 1 2 314.385 1.072 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C/C=C/Cl)C[C@H]2OC)cn1 ZINC001082333819 756735945 /nfs/dbraw/zinc/73/59/45/756735945.db2.gz BFOGYOWGUSWPGM-HYBGQWMJSA-N 1 2 319.792 1.244 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C/C=C/Cl)C[C@H]2OC)cn1 ZINC001082333819 756735947 /nfs/dbraw/zinc/73/59/47/756735947.db2.gz BFOGYOWGUSWPGM-HYBGQWMJSA-N 1 2 319.792 1.244 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(OCC)cc2)[C@H](OC)C1 ZINC001082349963 756740937 /nfs/dbraw/zinc/74/09/37/756740937.db2.gz AGLXUWADLFTCDJ-HZPDHXFCSA-N 1 2 302.374 1.148 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(OCC)cc2)[C@H](OC)C1 ZINC001082349963 756740940 /nfs/dbraw/zinc/74/09/40/756740940.db2.gz AGLXUWADLFTCDJ-HZPDHXFCSA-N 1 2 302.374 1.148 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1Nc1ncccc1C#N ZINC001067140587 756812742 /nfs/dbraw/zinc/81/27/42/756812742.db2.gz WVZVEQLFBPAKFP-WCQYABFASA-N 1 2 310.361 1.178 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC001001044033 762497129 /nfs/dbraw/zinc/49/71/29/762497129.db2.gz LZJARIKWVXKXDH-ZDUSSCGKSA-N 1 2 311.813 1.108 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC001001044033 762497133 /nfs/dbraw/zinc/49/71/33/762497133.db2.gz LZJARIKWVXKXDH-ZDUSSCGKSA-N 1 2 311.813 1.108 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(CCF)c1 ZINC001050997756 756951805 /nfs/dbraw/zinc/95/18/05/756951805.db2.gz ZXKHKLIUYSQDIC-OAHLLOKOSA-N 1 2 324.400 1.249 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(CCF)c1 ZINC001050997756 756951810 /nfs/dbraw/zinc/95/18/10/756951810.db2.gz ZXKHKLIUYSQDIC-OAHLLOKOSA-N 1 2 324.400 1.249 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCCC4(CC4)C3)[C@H]2C1 ZINC001083081568 757125735 /nfs/dbraw/zinc/12/57/35/757125735.db2.gz PGNOOPUJSQIZPX-HRCADAONSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCCC4(CC4)C3)[C@H]2C1 ZINC001083081568 757125736 /nfs/dbraw/zinc/12/57/36/757125736.db2.gz PGNOOPUJSQIZPX-HRCADAONSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@H]3CC(C)(C)C)[C@H]2C1 ZINC001083086197 757126732 /nfs/dbraw/zinc/12/67/32/757126732.db2.gz FLYFHPNCCNSHDZ-XUWVNRHRSA-N 1 2 304.434 1.604 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@H]3CC(C)(C)C)[C@H]2C1 ZINC001083086197 757126734 /nfs/dbraw/zinc/12/67/34/757126734.db2.gz FLYFHPNCCNSHDZ-XUWVNRHRSA-N 1 2 304.434 1.604 20 30 DDEDLO COCC#CC[NH2+]C1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001100349285 757192771 /nfs/dbraw/zinc/19/27/71/757192771.db2.gz YDVGVJAAKQQOJW-VQXHTEKXSA-N 1 2 310.291 1.021 20 30 DDEDLO COCC#CC[NH2+]C1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001100349285 757192775 /nfs/dbraw/zinc/19/27/75/757192775.db2.gz YDVGVJAAKQQOJW-VQXHTEKXSA-N 1 2 310.291 1.021 20 30 DDEDLO CSCC(=O)N1C[C@H]2CC[N@H+](Cc3ccccc3C#N)C[C@H]21 ZINC001084172565 757354138 /nfs/dbraw/zinc/35/41/38/757354138.db2.gz SBJHQXJBVZTEKI-HZPDHXFCSA-N 1 2 315.442 1.954 20 30 DDEDLO CSCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccccc3C#N)C[C@H]21 ZINC001084172565 757354144 /nfs/dbraw/zinc/35/41/44/757354144.db2.gz SBJHQXJBVZTEKI-HZPDHXFCSA-N 1 2 315.442 1.954 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001084236651 757437704 /nfs/dbraw/zinc/43/77/04/757437704.db2.gz MMAJBCSTRCOPOI-MVWJERBFSA-N 1 2 305.407 1.039 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001084236651 757437713 /nfs/dbraw/zinc/43/77/13/757437713.db2.gz MMAJBCSTRCOPOI-MVWJERBFSA-N 1 2 305.407 1.039 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099807805 757710427 /nfs/dbraw/zinc/71/04/27/757710427.db2.gz WEZBKRXUPFQQLA-LSDHHAIUSA-N 1 2 319.405 1.146 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099807805 757710434 /nfs/dbraw/zinc/71/04/34/757710434.db2.gz WEZBKRXUPFQQLA-LSDHHAIUSA-N 1 2 319.405 1.146 20 30 DDEDLO CCOC(=O)N1CCC[C@@H]([NH2+][C@@H](CS)C(=O)OC)CC1 ZINC001169647357 762569072 /nfs/dbraw/zinc/56/90/72/762569072.db2.gz DZHGSEFZYJDLKU-MNOVXSKESA-N 1 2 304.412 1.058 20 30 DDEDLO C#CC[N@H+]1CCC[C@@]2(CCN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001040526330 762577480 /nfs/dbraw/zinc/57/74/80/762577480.db2.gz ZXUNTTDEXKDTGI-GOSISDBHSA-N 1 2 323.400 1.519 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@]2(CCN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001040526330 762577484 /nfs/dbraw/zinc/57/74/84/762577484.db2.gz ZXUNTTDEXKDTGI-GOSISDBHSA-N 1 2 323.400 1.519 20 30 DDEDLO COc1cc(CCC#N)c(NC[C@@H]2COCC[N@@H+]2C)cc1OC ZINC001169654385 762576137 /nfs/dbraw/zinc/57/61/37/762576137.db2.gz QPXACQGBJJKSPU-CQSZACIVSA-N 1 2 319.405 1.902 20 30 DDEDLO COc1cc(CCC#N)c(NC[C@@H]2COCC[N@H+]2C)cc1OC ZINC001169654385 762576143 /nfs/dbraw/zinc/57/61/43/762576143.db2.gz QPXACQGBJJKSPU-CQSZACIVSA-N 1 2 319.405 1.902 20 30 DDEDLO CC[C@H](F)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C#N)c[nH]1 ZINC001017454166 757945280 /nfs/dbraw/zinc/94/52/80/757945280.db2.gz GKHRGUQZFLGSDA-MELADBBJSA-N 1 2 304.369 1.923 20 30 DDEDLO CC[C@H](F)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C#N)c[nH]1 ZINC001017454166 757945287 /nfs/dbraw/zinc/94/52/87/757945287.db2.gz GKHRGUQZFLGSDA-MELADBBJSA-N 1 2 304.369 1.923 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1OCC[C@H]1C(C)C ZINC001017561362 758029651 /nfs/dbraw/zinc/02/96/51/758029651.db2.gz VHEYZZRZMCSKLD-YVSFHVDLSA-N 1 2 304.434 1.746 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1OCC[C@H]1C(C)C ZINC001017561362 758029660 /nfs/dbraw/zinc/02/96/60/758029660.db2.gz VHEYZZRZMCSKLD-YVSFHVDLSA-N 1 2 304.434 1.746 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001053107525 758157535 /nfs/dbraw/zinc/15/75/35/758157535.db2.gz ARRMSXMSBUGSOX-OCCSQVGLSA-N 1 2 318.421 1.438 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1noc2ccccc21 ZINC001017717713 758177718 /nfs/dbraw/zinc/17/77/18/758177718.db2.gz RTZYBQMEFUERAR-OKILXGFUSA-N 1 2 309.369 1.679 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1noc2ccccc21 ZINC001017717713 758177724 /nfs/dbraw/zinc/17/77/24/758177724.db2.gz RTZYBQMEFUERAR-OKILXGFUSA-N 1 2 309.369 1.679 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](CNC(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001053136886 758194069 /nfs/dbraw/zinc/19/40/69/758194069.db2.gz GKSQPCSNQFMFPX-ZIAGYGMSSA-N 1 2 318.421 1.306 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccncc1F)CCO2 ZINC001053202453 758259232 /nfs/dbraw/zinc/25/92/32/758259232.db2.gz SDTNKEUZPYAQQE-UHFFFAOYSA-N 1 2 305.353 1.324 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(OC)cn1 ZINC001017845426 758293030 /nfs/dbraw/zinc/29/30/30/758293030.db2.gz CRYFDHNDPVYRSA-IYBDPMFKSA-N 1 2 313.401 1.331 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(OC)cn1 ZINC001017845426 758293035 /nfs/dbraw/zinc/29/30/35/758293035.db2.gz CRYFDHNDPVYRSA-IYBDPMFKSA-N 1 2 313.401 1.331 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CCOC2(C[NH+](C(C)C)C2)C1 ZINC001053259995 758316251 /nfs/dbraw/zinc/31/62/51/758316251.db2.gz UTVUCZBJFWVLIC-CABCVRRESA-N 1 2 308.422 1.289 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cccn1CC=C)CCO2 ZINC001053288579 758344499 /nfs/dbraw/zinc/34/44/99/758344499.db2.gz MJPGMYJFXBFZBT-UHFFFAOYSA-N 1 2 315.417 1.777 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCc1ccncc1)CCO2 ZINC001053323816 758376634 /nfs/dbraw/zinc/37/66/34/758376634.db2.gz SFYSSPAUJBMKSN-UHFFFAOYSA-N 1 2 315.417 1.504 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C1(C(C)(F)F)CC1)CCO2 ZINC001053431577 758457015 /nfs/dbraw/zinc/45/70/15/758457015.db2.gz NEBCTOHGFMFOMQ-UHFFFAOYSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C)[nH]c1C)CCO2 ZINC001053448356 758469029 /nfs/dbraw/zinc/46/90/29/758469029.db2.gz DTBMOBKSMQRLDH-UHFFFAOYSA-N 1 2 303.406 1.734 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccn(CC)n1)CCO2 ZINC001053458794 758477321 /nfs/dbraw/zinc/47/73/21/758477321.db2.gz BBKZGJCDGJNMMN-UHFFFAOYSA-N 1 2 304.394 1.006 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C(C)C)nc1C ZINC001018032576 758491763 /nfs/dbraw/zinc/49/17/63/758491763.db2.gz JJFOGMGYFQTNRS-GASCZTMLSA-N 1 2 312.417 1.830 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C(C)C)nc1C ZINC001018032576 758491768 /nfs/dbraw/zinc/49/17/68/758491768.db2.gz JJFOGMGYFQTNRS-GASCZTMLSA-N 1 2 312.417 1.830 20 30 DDEDLO Cc1cc(N2CCCN(C(=O)[C@@H](C)C#N)CC2)nc(C2CC2)[nH+]1 ZINC001057106829 762633934 /nfs/dbraw/zinc/63/39/34/762633934.db2.gz AOZNCMKNLOSUAG-LBPRGKRZSA-N 1 2 313.405 1.861 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1OCC[C@@H]1c1ccccc1 ZINC001018051321 758506365 /nfs/dbraw/zinc/50/63/65/758506365.db2.gz DSDUUGQPJRXXIU-FCGDIQPGSA-N 1 2 324.424 1.868 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1OCC[C@@H]1c1ccccc1 ZINC001018051321 758506372 /nfs/dbraw/zinc/50/63/72/758506372.db2.gz DSDUUGQPJRXXIU-FCGDIQPGSA-N 1 2 324.424 1.868 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCCCN1C(C)=O ZINC001018059708 758513724 /nfs/dbraw/zinc/51/37/24/758513724.db2.gz PNTSXTKJJNBTSA-YESZJQIVSA-N 1 2 317.433 1.086 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCCCN1C(C)=O ZINC001018059708 758513734 /nfs/dbraw/zinc/51/37/34/758513734.db2.gz PNTSXTKJJNBTSA-YESZJQIVSA-N 1 2 317.433 1.086 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(CC)oc1C ZINC001018060211 758515031 /nfs/dbraw/zinc/51/50/31/758515031.db2.gz RTGNLQKEACZFNM-OKILXGFUSA-N 1 2 301.390 1.858 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(CC)oc1C ZINC001018060211 758515034 /nfs/dbraw/zinc/51/50/34/758515034.db2.gz RTGNLQKEACZFNM-OKILXGFUSA-N 1 2 301.390 1.858 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)C[C@@H]1CCCO1)CCO2 ZINC001053546181 758551180 /nfs/dbraw/zinc/55/11/80/758551180.db2.gz KNKBDUJHSRUHRY-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C(F)F)c1 ZINC001018105841 758551769 /nfs/dbraw/zinc/55/17/69/758551769.db2.gz LBAMUZMGLQEJQT-BETUJISGSA-N 1 2 308.332 1.590 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C(F)F)c1 ZINC001018105841 758551775 /nfs/dbraw/zinc/55/17/75/758551775.db2.gz LBAMUZMGLQEJQT-BETUJISGSA-N 1 2 308.332 1.590 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccncc1)O2 ZINC001053564936 758571030 /nfs/dbraw/zinc/57/10/30/758571030.db2.gz ISDYPEZLEIPQBW-OAHLLOKOSA-N 1 2 301.390 1.621 20 30 DDEDLO C=C(Cl)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c[nH+]cn1C ZINC001018179142 758606201 /nfs/dbraw/zinc/60/62/01/758606201.db2.gz CSCJDEVASHAIJG-BETUJISGSA-N 1 2 308.813 1.390 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccnn1CC)O2 ZINC001053594947 758614810 /nfs/dbraw/zinc/61/48/10/758614810.db2.gz VQWPPHQSGPCFGE-AWEZNQCLSA-N 1 2 318.421 1.442 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCO[C@H]1CC)O2 ZINC001053632333 758649087 /nfs/dbraw/zinc/64/90/87/758649087.db2.gz XQLNRBYCQAMZQZ-XHSDSOJGSA-N 1 2 322.449 1.727 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCO[C@H]1C1CC1)O2 ZINC001053638834 758654062 /nfs/dbraw/zinc/65/40/62/758654062.db2.gz XVFCYMHJZFWBPR-OAGGEKHMSA-N 1 2 320.433 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(C)cnn1C)O2 ZINC001053644663 758658167 /nfs/dbraw/zinc/65/81/67/758658167.db2.gz IGWFNOBNAOEIEM-CQSZACIVSA-N 1 2 318.421 1.268 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1coc(C3CC3)n1)O2 ZINC001053674473 758684899 /nfs/dbraw/zinc/68/48/99/758684899.db2.gz OYNQMJPKKXTNQF-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncccc1F)O2 ZINC001053679923 758690896 /nfs/dbraw/zinc/69/08/96/758690896.db2.gz SAIXTRUZQNVLHG-CYBMUJFWSA-N 1 2 319.380 1.760 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncoc1C1CC1)O2 ZINC001053682291 758691592 /nfs/dbraw/zinc/69/15/92/758691592.db2.gz DTTGYHWUCXZBIM-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cc1c(C)n[nH]c1C ZINC001018284692 758698162 /nfs/dbraw/zinc/69/81/62/758698162.db2.gz FQAORQFZSMQRMG-VBNZEHGJSA-N 1 2 314.433 1.514 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cc1c(C)n[nH]c1C ZINC001018284692 758698166 /nfs/dbraw/zinc/69/81/66/758698166.db2.gz FQAORQFZSMQRMG-VBNZEHGJSA-N 1 2 314.433 1.514 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CCC)C2)CC1 ZINC001065682558 758701110 /nfs/dbraw/zinc/70/11/10/758701110.db2.gz JOCNGLUALNIRFU-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccccc1OC)O2 ZINC001053692332 758701907 /nfs/dbraw/zinc/70/19/07/758701907.db2.gz MMBVWKSCEFJVOO-CQSZACIVSA-N 1 2 316.401 1.844 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC(C)C)CC2)C1 ZINC001065692021 758709457 /nfs/dbraw/zinc/70/94/57/758709457.db2.gz OMLOAALDWPHGHR-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO C[C@H](Nc1ncccc1C#N)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065721235 758720988 /nfs/dbraw/zinc/72/09/88/758720988.db2.gz AWJNVZCUUPIQHL-ZFWWWQNUSA-N 1 2 324.388 1.499 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065709343 758729170 /nfs/dbraw/zinc/72/91/70/758729170.db2.gz TYIASHRLAHHNGD-KRWDZBQOSA-N 1 2 319.449 1.335 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccsc1)CO2 ZINC001053728349 758744423 /nfs/dbraw/zinc/74/44/23/758744423.db2.gz RSZRRYKKKTZKMK-AWEZNQCLSA-N 1 2 306.431 1.826 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)ccn1C)CO2 ZINC001053788261 758813552 /nfs/dbraw/zinc/81/35/52/758813552.db2.gz PBLJVKXJLFGPSG-CQSZACIVSA-N 1 2 303.406 1.483 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(F)cncc1F)CO2 ZINC001053815586 758843038 /nfs/dbraw/zinc/84/30/38/758843038.db2.gz QAARVXCCIOHBSH-LLVKDONJSA-N 1 2 323.343 1.509 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@H]1COC2(C[NH+](CC(=C)C)C2)C1 ZINC001053829215 758858816 /nfs/dbraw/zinc/85/88/16/758858816.db2.gz BLKXGTYRSMWGSA-OAHLLOKOSA-N 1 2 315.417 1.823 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccn3ccccc13)CO2 ZINC001053867936 758901092 /nfs/dbraw/zinc/90/10/92/758901092.db2.gz XUVPAZYHEGGDFQ-OAHLLOKOSA-N 1 2 323.396 1.536 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1coc(C(F)F)c1)CO2 ZINC001053907048 758940004 /nfs/dbraw/zinc/94/00/04/758940004.db2.gz OKELRLSPDQQJGW-GFCCVEGCSA-N 1 2 324.327 1.814 20 30 DDEDLO C[C@H](CNC(=O)Cn1cc[nH+]c1)Nc1snc(Cl)c1C#N ZINC001097922172 759014242 /nfs/dbraw/zinc/01/42/42/759014242.db2.gz SGSCVUODLFJNBF-MRVPVSSYSA-N 1 2 324.797 1.481 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2ncc(C(C)(C)C)o2)C1 ZINC001018619388 759039973 /nfs/dbraw/zinc/03/99/73/759039973.db2.gz WJSPZKDSYFGVGH-NEPJUHHUSA-N 1 2 304.394 1.822 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(Cl)s1)CO2 ZINC001053999143 759045529 /nfs/dbraw/zinc/04/55/29/759045529.db2.gz BOEQOMREERQVHA-LLVKDONJSA-N 1 2 324.833 1.998 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC001018631534 759050954 /nfs/dbraw/zinc/05/09/54/759050954.db2.gz GFTRVRADCQWUJX-PWSUYJOCSA-N 1 2 303.366 1.187 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(CC)n[nH]1 ZINC001054032316 759086803 /nfs/dbraw/zinc/08/68/03/759086803.db2.gz XVPYZRDSJCRFNJ-KRWDZBQOSA-N 1 2 322.412 1.762 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(CC)n[nH]1 ZINC001054032316 759086810 /nfs/dbraw/zinc/08/68/10/759086810.db2.gz XVPYZRDSJCRFNJ-KRWDZBQOSA-N 1 2 322.412 1.762 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1CC1(F)F ZINC001054040021 759097929 /nfs/dbraw/zinc/09/79/29/759097929.db2.gz QFKAKWNJPXXEOT-GJZGRUSLSA-N 1 2 304.340 1.818 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1CC1(F)F ZINC001054040021 759097936 /nfs/dbraw/zinc/09/79/36/759097936.db2.gz QFKAKWNJPXXEOT-GJZGRUSLSA-N 1 2 304.340 1.818 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cn(CC)nn1 ZINC001054058191 759112394 /nfs/dbraw/zinc/11/23/94/759112394.db2.gz XUPJSRXZAILMHS-INIZCTEOSA-N 1 2 323.400 1.088 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cn(CC)nn1 ZINC001054058191 759112397 /nfs/dbraw/zinc/11/23/97/759112397.db2.gz XUPJSRXZAILMHS-INIZCTEOSA-N 1 2 323.400 1.088 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001018682704 759132097 /nfs/dbraw/zinc/13/20/97/759132097.db2.gz QRMGQAXZGQMMQT-SKDRFNHKSA-N 1 2 314.349 1.143 20 30 DDEDLO N#CCN1Cc2ccc(CNC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)cc2C1 ZINC001054268939 759374176 /nfs/dbraw/zinc/37/41/76/759374176.db2.gz CVMKYZLDVUFZSK-HZPDHXFCSA-N 1 2 321.384 1.669 20 30 DDEDLO N#CCN1Cc2ccc(CNC(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)cc2C1 ZINC001054268939 759374184 /nfs/dbraw/zinc/37/41/84/759374184.db2.gz CVMKYZLDVUFZSK-HZPDHXFCSA-N 1 2 321.384 1.669 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@H]3C[C@]34CCOC4)cc2C1 ZINC001054288773 759396988 /nfs/dbraw/zinc/39/69/88/759396988.db2.gz IWFQUEGJRCOTGL-MJGOQNOKSA-N 1 2 310.397 1.678 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@H]3C[C@]34CCOC4)cc2C1 ZINC001054288773 759396996 /nfs/dbraw/zinc/39/69/96/759396996.db2.gz IWFQUEGJRCOTGL-MJGOQNOKSA-N 1 2 310.397 1.678 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)Cn1cc[nH+]c1 ZINC001069116993 767857753 /nfs/dbraw/zinc/85/77/53/767857753.db2.gz WNQUWMKYKIWUHZ-WFASDCNBSA-N 1 2 310.361 1.251 20 30 DDEDLO CCCC1(C(=O)N2C[C@@H](c3c[nH+]cn3C)[C@H](NCC#N)C2)CC1 ZINC001131072296 767865372 /nfs/dbraw/zinc/86/53/72/767865372.db2.gz ROZFNLDKMZWHKD-ZIAGYGMSSA-N 1 2 315.421 1.408 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C2=CCCC2)C1=O ZINC001085547894 759675080 /nfs/dbraw/zinc/67/50/80/759675080.db2.gz HJMUMSNDTCPHSF-CVEARBPZSA-N 1 2 317.433 1.416 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C2=CCCC2)C1=O ZINC001085547894 759675088 /nfs/dbraw/zinc/67/50/88/759675088.db2.gz HJMUMSNDTCPHSF-CVEARBPZSA-N 1 2 317.433 1.416 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccnc1)C(=O)c1c[nH]c(C#N)c1 ZINC001085551895 759689822 /nfs/dbraw/zinc/68/98/22/759689822.db2.gz NNQPFHVITLHFKF-MRXNPFEDSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccnc1)C(=O)c1c[nH]c(C#N)c1 ZINC001085551895 759689829 /nfs/dbraw/zinc/68/98/29/759689829.db2.gz NNQPFHVITLHFKF-MRXNPFEDSA-N 1 2 309.373 1.628 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CCN(C(=O)Cn1cc[nH+]c1)C2 ZINC001019254756 759726322 /nfs/dbraw/zinc/72/63/22/759726322.db2.gz QVOVDFICFLTAQK-UHFFFAOYSA-N 1 2 316.405 1.156 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)CCn1cc[nH+]c1 ZINC001069182043 767897904 /nfs/dbraw/zinc/89/79/04/767897904.db2.gz YCDIOCKDEHNKID-UKRRQHHQSA-N 1 2 324.388 1.641 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nc(C)c(C)nc1C ZINC001085712310 760078166 /nfs/dbraw/zinc/07/81/66/760078166.db2.gz HDKKUVWZVYJVIW-OAHLLOKOSA-N 1 2 300.406 1.571 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nc(C)c(C)nc1C ZINC001085712310 760078178 /nfs/dbraw/zinc/07/81/78/760078178.db2.gz HDKKUVWZVYJVIW-OAHLLOKOSA-N 1 2 300.406 1.571 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@H](CC)C(N)=O)cc1 ZINC001085757553 760178875 /nfs/dbraw/zinc/17/88/75/760178875.db2.gz KGPQMNPQWSNBBI-JKSUJKDBSA-N 1 2 313.401 1.078 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@H](CC)C(N)=O)cc1 ZINC001085757553 760178879 /nfs/dbraw/zinc/17/88/79/760178879.db2.gz KGPQMNPQWSNBBI-JKSUJKDBSA-N 1 2 313.401 1.078 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001046810223 767943774 /nfs/dbraw/zinc/94/37/74/767943774.db2.gz IQZGWPKGISRIAE-IUODEOHRSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001046810223 767943779 /nfs/dbraw/zinc/94/37/79/767943779.db2.gz IQZGWPKGISRIAE-IUODEOHRSA-N 1 2 313.829 1.330 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C/C=C/Cl)nn1 ZINC001085863972 760407439 /nfs/dbraw/zinc/40/74/39/760407439.db2.gz OLBNGCDRIQCFPC-IYNGYCSASA-N 1 2 323.828 1.753 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2C/C=C/Cl)nn1 ZINC001085863972 760407444 /nfs/dbraw/zinc/40/74/44/760407444.db2.gz OLBNGCDRIQCFPC-IYNGYCSASA-N 1 2 323.828 1.753 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(N2CCCC2)nc1 ZINC001085874631 760429819 /nfs/dbraw/zinc/42/98/19/760429819.db2.gz FZUNAGUNYGBEME-MRXNPFEDSA-N 1 2 312.417 1.461 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(N2CCCC2)nc1 ZINC001085874631 760429821 /nfs/dbraw/zinc/42/98/21/760429821.db2.gz FZUNAGUNYGBEME-MRXNPFEDSA-N 1 2 312.417 1.461 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)C(C)(F)F)C1 ZINC001108221012 760505824 /nfs/dbraw/zinc/50/58/24/760505824.db2.gz RHQKRBWLKMILMM-JSGCOSHPSA-N 1 2 302.365 1.508 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)C(C)(F)F)C1 ZINC001108221012 760505829 /nfs/dbraw/zinc/50/58/29/760505829.db2.gz RHQKRBWLKMILMM-JSGCOSHPSA-N 1 2 302.365 1.508 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-n2cccc2)ccn1 ZINC001085916112 760522830 /nfs/dbraw/zinc/52/28/30/760522830.db2.gz RMELVVFDUKJHSP-MRXNPFEDSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-n2cccc2)ccn1 ZINC001085916112 760522834 /nfs/dbraw/zinc/52/28/34/760522834.db2.gz RMELVVFDUKJHSP-MRXNPFEDSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(Cl)nc2ccccn21 ZINC001085960255 760613969 /nfs/dbraw/zinc/61/39/69/760613969.db2.gz ZFJYUOLBAZPSCF-LBPRGKRZSA-N 1 2 316.792 1.767 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(Cl)nc2ccccn21 ZINC001085960255 760613972 /nfs/dbraw/zinc/61/39/72/760613972.db2.gz ZFJYUOLBAZPSCF-LBPRGKRZSA-N 1 2 316.792 1.767 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1Cc2cccc(F)c2O1 ZINC001085979023 760647310 /nfs/dbraw/zinc/64/73/10/760647310.db2.gz IOWDGVNGOIYHNM-ZFWWWQNUSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1Cc2cccc(F)c2O1 ZINC001085979023 760647316 /nfs/dbraw/zinc/64/73/16/760647316.db2.gz IOWDGVNGOIYHNM-ZFWWWQNUSA-N 1 2 302.349 1.295 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)CCc1[nH+]ccn1C ZINC001108229854 760674626 /nfs/dbraw/zinc/67/46/26/760674626.db2.gz RXBQQDFDZPILKU-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CCF)nn1 ZINC001098009023 760776330 /nfs/dbraw/zinc/77/63/30/760776330.db2.gz BCYGCYNIPUBBGO-BZPMIXESSA-N 1 2 307.373 1.159 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CCF)nn1 ZINC001098009023 760776339 /nfs/dbraw/zinc/77/63/39/760776339.db2.gz BCYGCYNIPUBBGO-BZPMIXESSA-N 1 2 307.373 1.159 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038166953 760878104 /nfs/dbraw/zinc/87/81/04/760878104.db2.gz DTVSBHOXEIQJTO-ZDUSSCGKSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038166953 760878109 /nfs/dbraw/zinc/87/81/09/760878109.db2.gz DTVSBHOXEIQJTO-ZDUSSCGKSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)nn1 ZINC001038239132 760937303 /nfs/dbraw/zinc/93/73/03/760937303.db2.gz JCVNIGFVAUSEFF-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)nn1 ZINC001038239132 760937308 /nfs/dbraw/zinc/93/73/08/760937308.db2.gz JCVNIGFVAUSEFF-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1ccn(C2CCCCC2)n1 ZINC001038252009 760948032 /nfs/dbraw/zinc/94/80/32/760948032.db2.gz TXEASNVNJSPXNC-HNNXBMFYSA-N 1 2 300.406 1.826 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccn(C2CCCCC2)n1 ZINC001038252009 760948036 /nfs/dbraw/zinc/94/80/36/760948036.db2.gz TXEASNVNJSPXNC-HNNXBMFYSA-N 1 2 300.406 1.826 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ccncc1C#N ZINC001069376160 767999585 /nfs/dbraw/zinc/99/95/85/767999585.db2.gz STNOIPGNTSJBKO-HIFRSBDPSA-N 1 2 324.388 1.323 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ccc(C#N)cn1 ZINC001069376320 768000217 /nfs/dbraw/zinc/00/02/17/768000217.db2.gz VTFIJQHWADHGNX-HIFRSBDPSA-N 1 2 324.388 1.323 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ccc(C#N)nc1 ZINC001069382902 768003005 /nfs/dbraw/zinc/00/30/05/768003005.db2.gz BHVFCTNASROQLE-DOMZBBRYSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc2ccccc2c1O ZINC001038447008 761124588 /nfs/dbraw/zinc/12/45/88/761124588.db2.gz SDCYULAUZJCLCJ-ZDUSSCGKSA-N 1 2 309.369 1.356 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc2ccccc2c1O ZINC001038447008 761124592 /nfs/dbraw/zinc/12/45/92/761124592.db2.gz SDCYULAUZJCLCJ-ZDUSSCGKSA-N 1 2 309.369 1.356 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ncccc1C#N ZINC001069414772 768015316 /nfs/dbraw/zinc/01/53/16/768015316.db2.gz GLTMDOJNDMHJAP-WFASDCNBSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(-c2cccnc2)on1 ZINC001038654578 761252743 /nfs/dbraw/zinc/25/27/43/761252743.db2.gz NDRDVZFZEUNYAU-CQSZACIVSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(-c2cccnc2)on1 ZINC001038654578 761252747 /nfs/dbraw/zinc/25/27/47/761252747.db2.gz NDRDVZFZEUNYAU-CQSZACIVSA-N 1 2 310.357 1.564 20 30 DDEDLO Cc1nc(NC[C@@H]2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001069465543 768029599 /nfs/dbraw/zinc/02/95/99/768029599.db2.gz GZMQGVSMZRFKLR-CHWSQXEVSA-N 1 2 324.388 1.995 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001098320036 761292403 /nfs/dbraw/zinc/29/24/03/761292403.db2.gz NGEKEYIZBPHZHV-NWDGAFQWSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001098320036 761292407 /nfs/dbraw/zinc/29/24/07/761292407.db2.gz NGEKEYIZBPHZHV-NWDGAFQWSA-N 1 2 312.377 1.472 20 30 DDEDLO CC1(Nc2ncccc2C#N)CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001067265619 761331903 /nfs/dbraw/zinc/33/19/03/761331903.db2.gz CYNKCGILMBBUHT-UHFFFAOYSA-N 1 2 324.388 1.643 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2ccnnc2)cc1 ZINC001038743868 761351776 /nfs/dbraw/zinc/35/17/76/761351776.db2.gz OEDHOKPXWKXSLC-MRXNPFEDSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2ccnnc2)cc1 ZINC001038743868 761351785 /nfs/dbraw/zinc/35/17/85/761351785.db2.gz OEDHOKPXWKXSLC-MRXNPFEDSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001069483886 768034424 /nfs/dbraw/zinc/03/44/24/768034424.db2.gz OSZNNVDUJHJNKF-TZMCWYRMSA-N 1 2 324.388 1.038 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(CC2CCCC2)c1 ZINC001038863945 761487276 /nfs/dbraw/zinc/48/72/76/761487276.db2.gz FWMHOLUAWSIQDV-KRWDZBQOSA-N 1 2 314.433 1.901 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(CC2CCCC2)c1 ZINC001038863945 761487277 /nfs/dbraw/zinc/48/72/77/761487277.db2.gz FWMHOLUAWSIQDV-KRWDZBQOSA-N 1 2 314.433 1.901 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]1CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001039055528 761696429 /nfs/dbraw/zinc/69/64/29/761696429.db2.gz ZGCRWEFWGWVETQ-CQSZACIVSA-N 1 2 311.389 1.852 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]1CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001039055528 761696436 /nfs/dbraw/zinc/69/64/36/761696436.db2.gz ZGCRWEFWGWVETQ-CQSZACIVSA-N 1 2 311.389 1.852 20 30 DDEDLO N#CCN1CC[C@H](C2CCN(C(=O)CCc3[nH]cc[nH+]3)CC2)C1 ZINC001039122887 761759475 /nfs/dbraw/zinc/75/94/75/761759475.db2.gz ZCPXZYPBTWZDLF-HNNXBMFYSA-N 1 2 315.421 1.426 20 30 DDEDLO C[C@@H](CNc1ccncc1C#N)NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001108686347 762848315 /nfs/dbraw/zinc/84/83/15/762848315.db2.gz FTGBUDNHQYFDBZ-AAEUAGOBSA-N 1 2 324.388 1.135 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CCC(CNC(=O)c2cnn[nH]2)CC1 ZINC001001576472 762974463 /nfs/dbraw/zinc/97/44/63/762974463.db2.gz KLNCYXDTFOMMBL-UHFFFAOYSA-N 1 2 324.388 1.318 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)[C@@H](C)C=C)CC1 ZINC001131379374 768104514 /nfs/dbraw/zinc/10/45/14/768104514.db2.gz WTIOSROXAVLJDJ-LSDHHAIUSA-N 1 2 307.438 1.281 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)[C@@H](C)C=C)CC1 ZINC001131379374 768104517 /nfs/dbraw/zinc/10/45/17/768104517.db2.gz WTIOSROXAVLJDJ-LSDHHAIUSA-N 1 2 307.438 1.281 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@]2(CCN(CC#N)C2)C1 ZINC001041732444 763266953 /nfs/dbraw/zinc/26/69/53/763266953.db2.gz JIEUFWJGBDCRGH-CJNGLKHVSA-N 1 2 301.394 1.036 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@]2(CCN(CC#N)C2)C1 ZINC001041732444 763266960 /nfs/dbraw/zinc/26/69/60/763266960.db2.gz JIEUFWJGBDCRGH-CJNGLKHVSA-N 1 2 301.394 1.036 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2CCCN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001050199293 763310113 /nfs/dbraw/zinc/31/01/13/763310113.db2.gz LOQBBOPFRPSZAU-XJKSGUPXSA-N 1 2 311.389 1.680 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2CCCN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001050199293 763310120 /nfs/dbraw/zinc/31/01/20/763310120.db2.gz LOQBBOPFRPSZAU-XJKSGUPXSA-N 1 2 311.389 1.680 20 30 DDEDLO CN(C(=O)Cc1c[nH+]cn1C)C1CC(Nc2ncccc2C#N)C1 ZINC001069756296 768128706 /nfs/dbraw/zinc/12/87/06/768128706.db2.gz WZOJJRMBKGEVND-UHFFFAOYSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001050276925 763403748 /nfs/dbraw/zinc/40/37/48/763403748.db2.gz RSCFGBAFCPRLKF-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001109270615 763533187 /nfs/dbraw/zinc/53/31/87/763533187.db2.gz OUPPZBHKOWDDDL-SNPRPXQTSA-N 1 2 319.430 1.405 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001109270615 763533192 /nfs/dbraw/zinc/53/31/92/763533192.db2.gz OUPPZBHKOWDDDL-SNPRPXQTSA-N 1 2 319.430 1.405 20 30 DDEDLO N#Cc1cccnc1NC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001057433724 763687113 /nfs/dbraw/zinc/68/71/13/763687113.db2.gz SJGRVLBCQUBBPB-UHFFFAOYSA-N 1 2 310.361 1.322 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccnn1C ZINC001109601476 763850963 /nfs/dbraw/zinc/85/09/63/763850963.db2.gz AEVXWUSXFGJLTK-SNPRPXQTSA-N 1 2 302.422 1.854 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccnn1C ZINC001109601476 763850969 /nfs/dbraw/zinc/85/09/69/763850969.db2.gz AEVXWUSXFGJLTK-SNPRPXQTSA-N 1 2 302.422 1.854 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109634799 763886134 /nfs/dbraw/zinc/88/61/34/763886134.db2.gz YAFTZCAJRCWCTD-FZKCQIBNSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109634799 763886139 /nfs/dbraw/zinc/88/61/39/763886139.db2.gz YAFTZCAJRCWCTD-FZKCQIBNSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3CC3(F)F)c2C1 ZINC001069860561 768193855 /nfs/dbraw/zinc/19/38/55/768193855.db2.gz YQJXJVAYUXXLLX-LBPRGKRZSA-N 1 2 310.348 1.546 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3CC3(F)F)c2C1 ZINC001069860561 768193858 /nfs/dbraw/zinc/19/38/58/768193858.db2.gz YQJXJVAYUXXLLX-LBPRGKRZSA-N 1 2 310.348 1.546 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc(CC)n1 ZINC001050956423 764347296 /nfs/dbraw/zinc/34/72/96/764347296.db2.gz BFNILXQZPGLLEH-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc(CC)n1 ZINC001050956423 764347304 /nfs/dbraw/zinc/34/73/04/764347304.db2.gz BFNILXQZPGLLEH-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccn2nccc12 ZINC001051005324 764408997 /nfs/dbraw/zinc/40/89/97/764408997.db2.gz WYWKURGCZNTXCV-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccn2nccc12 ZINC001051005324 764409002 /nfs/dbraw/zinc/40/90/02/764409002.db2.gz WYWKURGCZNTXCV-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cncn2-c2ccccc2)C1 ZINC001042926546 764531780 /nfs/dbraw/zinc/53/17/80/764531780.db2.gz DRIVMWQQBYOLSO-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(CCC)nn1 ZINC001051185807 764593266 /nfs/dbraw/zinc/59/32/66/764593266.db2.gz ZIDICQOYNUMWDB-CQSZACIVSA-N 1 2 321.425 1.085 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(CCC)nn1 ZINC001051185807 764593273 /nfs/dbraw/zinc/59/32/73/764593273.db2.gz ZIDICQOYNUMWDB-CQSZACIVSA-N 1 2 321.425 1.085 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1coc(COC)n1 ZINC001051186086 764594001 /nfs/dbraw/zinc/59/40/01/764594001.db2.gz HLCYCUKDIVOWEA-CYBMUJFWSA-N 1 2 323.393 1.218 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1coc(COC)n1 ZINC001051186086 764594008 /nfs/dbraw/zinc/59/40/08/764594008.db2.gz HLCYCUKDIVOWEA-CYBMUJFWSA-N 1 2 323.393 1.218 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncoc1C(C)(C)C ZINC001051204448 764616330 /nfs/dbraw/zinc/61/63/30/764616330.db2.gz WTLMUJVJPVQVPD-CYBMUJFWSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncoc1C(C)(C)C ZINC001051204448 764616338 /nfs/dbraw/zinc/61/63/38/764616338.db2.gz WTLMUJVJPVQVPD-CYBMUJFWSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sccc2F)[C@@H](n2ccnn2)C1 ZINC001069918503 768228587 /nfs/dbraw/zinc/22/85/87/768228587.db2.gz UUVZDHDQPOJTTG-NEPJUHHUSA-N 1 2 321.381 1.320 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sccc2F)[C@@H](n2ccnn2)C1 ZINC001069918503 768228592 /nfs/dbraw/zinc/22/85/92/768228592.db2.gz UUVZDHDQPOJTTG-NEPJUHHUSA-N 1 2 321.381 1.320 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1Cc2cccnc2C1 ZINC001051227624 764646930 /nfs/dbraw/zinc/64/69/30/764646930.db2.gz PIWHJGRKQJATII-CVEARBPZSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1Cc2cccnc2C1 ZINC001051227624 764646933 /nfs/dbraw/zinc/64/69/33/764646933.db2.gz PIWHJGRKQJATII-CVEARBPZSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CCOCCCC(=O)N1CC[NH+](CCOCC2CC2)CC1 ZINC001112757858 764710762 /nfs/dbraw/zinc/71/07/62/764710762.db2.gz XTERJZCVMWGKML-UHFFFAOYSA-N 1 2 310.438 1.540 20 30 DDEDLO CC(C)COC[C@H](O)C[NH+]1CCN(C(=O)C#CC(C)(C)C)CC1 ZINC001112834544 764828132 /nfs/dbraw/zinc/82/81/32/764828132.db2.gz SWRJEDCAZODPLQ-MRXNPFEDSA-N 1 2 324.465 1.214 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2sc(C)nc2C)CC1 ZINC001112837438 764846398 /nfs/dbraw/zinc/84/63/98/764846398.db2.gz HVDGODJMGCKVGA-ZDUSSCGKSA-N 1 2 321.446 1.442 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2c3c[nH]nc3CC[C@H]2C)C1 ZINC001043387320 764878478 /nfs/dbraw/zinc/87/84/78/764878478.db2.gz XFMSBOKMBJORKW-MLGOLLRUSA-N 1 2 300.406 1.242 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cn3c(n2)CCCC3)CC1 ZINC001112904302 764944933 /nfs/dbraw/zinc/94/49/33/764944933.db2.gz OAQPNDICSXYINK-UHFFFAOYSA-N 1 2 318.421 1.180 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051671579 765055999 /nfs/dbraw/zinc/05/59/99/765055999.db2.gz JZPQEXRQORXDDL-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1nc(N(C)C)nc(N[C@H](C)[C@H](C)NC(=O)C#CC(C)C)[nH+]1 ZINC001113034790 765169066 /nfs/dbraw/zinc/16/90/66/765169066.db2.gz YNBWBAYZFCKQLH-NWDGAFQWSA-N 1 2 318.425 1.211 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccnc2C2CC2)CC1 ZINC001113068912 765218530 /nfs/dbraw/zinc/21/85/30/765218530.db2.gz AWZSMHYUBHEZCV-UHFFFAOYSA-N 1 2 315.417 1.919 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)C1CN(Cc2ccc(C#N)s2)C1 ZINC001044091144 765293479 /nfs/dbraw/zinc/29/34/79/765293479.db2.gz WCPYDELYHUFFBL-UHFFFAOYSA-N 1 2 315.402 1.228 20 30 DDEDLO CC(=O)N1CC[C@@H]([NH+]2CCN(Cc3ccc(C#N)s3)CC2)C1 ZINC001051960419 765313441 /nfs/dbraw/zinc/31/34/41/765313441.db2.gz MQOCIXPIGZAVIG-CQSZACIVSA-N 1 2 318.446 1.358 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cn(C(C)C)cn2)CC1 ZINC001113149515 765330299 /nfs/dbraw/zinc/33/02/99/765330299.db2.gz RFRVPWXRLJMEGC-UHFFFAOYSA-N 1 2 306.410 1.424 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccccc3)C2)CC1 ZINC001051973550 765333377 /nfs/dbraw/zinc/33/33/77/765333377.db2.gz YQOPSDVYXUMZHB-GOSISDBHSA-N 1 2 311.429 1.542 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)CC3CCCC3)C2)CC1 ZINC001051974565 765334378 /nfs/dbraw/zinc/33/43/78/765334378.db2.gz IFDULDNAQASTTA-GOSISDBHSA-N 1 2 317.477 1.809 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)CC3CCC3)C2)CC1 ZINC001052004026 765370062 /nfs/dbraw/zinc/37/00/62/765370062.db2.gz HRYHCLXSMMWZEW-KRWDZBQOSA-N 1 2 303.450 1.418 20 30 DDEDLO Cc1cc(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)oc1C ZINC001052012996 765382055 /nfs/dbraw/zinc/38/20/55/765382055.db2.gz OYWCUIWEVOZTTR-OAHLLOKOSA-N 1 2 316.405 1.252 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)Cc3ccoc3)C2)CC1 ZINC001052016501 765386753 /nfs/dbraw/zinc/38/67/53/765386753.db2.gz WTNPIOUBUFZCRY-KRWDZBQOSA-N 1 2 317.433 1.617 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-c3ncon3)cc2)C1 ZINC001044202359 765392067 /nfs/dbraw/zinc/39/20/67/765392067.db2.gz SRQZQQBPIXQEDZ-UHFFFAOYSA-N 1 2 310.357 1.516 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3C[C@@H]3C)C2)CC1 ZINC001052064552 765437123 /nfs/dbraw/zinc/43/71/23/765437123.db2.gz GDVSFHZVJKCIGR-CFVMTHIKSA-N 1 2 311.857 1.613 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(-c3ccccc3)n2)C1 ZINC001044279121 765444375 /nfs/dbraw/zinc/44/43/75/765444375.db2.gz MAXFIRODRKUEDN-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccc(Cl)[nH]3)C2)CC1 ZINC001052076277 765447636 /nfs/dbraw/zinc/44/76/36/765447636.db2.gz HNAMKGQFWQPYEN-ZDUSSCGKSA-N 1 2 320.824 1.133 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@](C)(C=C)CC)C2)CC1 ZINC001052077938 765450152 /nfs/dbraw/zinc/45/01/52/765450152.db2.gz YGXUJSGZYWGEKB-WMZOPIPTSA-N 1 2 303.450 1.440 20 30 DDEDLO C[C@@H](NC(=O)CCn1cc[nH+]c1)[C@@H](C)Nc1ccc(C#N)nc1 ZINC001113237974 765457566 /nfs/dbraw/zinc/45/75/66/765457566.db2.gz FYQIMVQVKJNXOJ-CHWSQXEVSA-N 1 2 312.377 1.545 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113251530 765475792 /nfs/dbraw/zinc/47/57/92/765475792.db2.gz QZTHRFNWPQTBHF-GHMZBOCLSA-N 1 2 320.441 1.763 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001113314551 765554592 /nfs/dbraw/zinc/55/45/92/765554592.db2.gz UHEVUXPAMFTCGV-NWDGAFQWSA-N 1 2 312.377 1.533 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2csc(Cl)n2)CC1 ZINC001113495631 765754432 /nfs/dbraw/zinc/75/44/32/765754432.db2.gz QCVKKXZQEVDLKP-UHFFFAOYSA-N 1 2 315.826 1.757 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCOCCCC)CC2)cn1 ZINC001113559350 765864099 /nfs/dbraw/zinc/86/40/99/765864099.db2.gz PLLDPNCDMQBPII-UHFFFAOYSA-N 1 2 315.417 1.637 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(F)cc(F)c2)CC1 ZINC001113610349 765934763 /nfs/dbraw/zinc/93/47/63/765934763.db2.gz ZEZNHTQAINOJLB-UHFFFAOYSA-N 1 2 310.344 1.925 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)N(C)c1ccncc1C#N ZINC001113682090 766013952 /nfs/dbraw/zinc/01/39/52/766013952.db2.gz QZSQKLLDNYAYQJ-NSHDSACASA-N 1 2 312.377 1.168 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)N(C)c1ncccc1C#N ZINC001113682373 766014378 /nfs/dbraw/zinc/01/43/78/766014378.db2.gz YISPZOGHVRRGGS-LLVKDONJSA-N 1 2 312.377 1.168 20 30 DDEDLO Cc1nc(N2CCC(NC(=O)c3ccc(C#N)[nH]3)CC2)cc[nH+]1 ZINC001057855306 766099969 /nfs/dbraw/zinc/09/99/69/766099969.db2.gz UQNFYTWGXJTKRY-UHFFFAOYSA-N 1 2 310.361 1.384 20 30 DDEDLO C=CC[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001086612393 766535509 /nfs/dbraw/zinc/53/55/09/766535509.db2.gz PCXQMHYFQDFCQJ-DLBZAZTESA-N 1 2 310.401 1.722 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001086612393 766535517 /nfs/dbraw/zinc/53/55/17/766535517.db2.gz PCXQMHYFQDFCQJ-DLBZAZTESA-N 1 2 310.401 1.722 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114162461 766715065 /nfs/dbraw/zinc/71/50/65/766715065.db2.gz UBABXZDHFPMINW-UYHMYPTGSA-N 1 2 315.421 1.414 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114162461 766715074 /nfs/dbraw/zinc/71/50/74/766715074.db2.gz UBABXZDHFPMINW-UYHMYPTGSA-N 1 2 315.421 1.414 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)c3cc(Cl)c[nH]3)CC2)C1 ZINC001046003410 766791441 /nfs/dbraw/zinc/79/14/41/766791441.db2.gz FARLCGWQDRNOBO-CYBMUJFWSA-N 1 2 321.812 1.024 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)o1 ZINC001114247434 766814433 /nfs/dbraw/zinc/81/44/33/766814433.db2.gz KVVZJTHAZDDUCG-FOCJUVANSA-N 1 2 316.405 1.399 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)o1 ZINC001114247434 766814443 /nfs/dbraw/zinc/81/44/43/766814443.db2.gz KVVZJTHAZDDUCG-FOCJUVANSA-N 1 2 316.405 1.399 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csc(C)n3)C[C@H]21 ZINC001114263193 766834404 /nfs/dbraw/zinc/83/44/04/766834404.db2.gz QJPCGIOPMUFHDV-FOLVSLTJSA-N 1 2 319.430 1.038 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csc(C)n3)C[C@H]21 ZINC001114263193 766834415 /nfs/dbraw/zinc/83/44/15/766834415.db2.gz QJPCGIOPMUFHDV-FOLVSLTJSA-N 1 2 319.430 1.038 20 30 DDEDLO C[C@@H]1CCN(c2ncccc2C#N)C[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067966573 766843541 /nfs/dbraw/zinc/84/35/41/766843541.db2.gz CSFZOJPCCYLFSS-OCCSQVGLSA-N 1 2 324.388 1.250 20 30 DDEDLO C#CCOCCC(=O)N[C@H](CCCC)CNc1cc[nH+]c(C)n1 ZINC001114281385 766849885 /nfs/dbraw/zinc/84/98/85/766849885.db2.gz FLBDTPUVVBWJFP-OAHLLOKOSA-N 1 2 318.421 1.912 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)CC2)C1 ZINC001046047720 766853286 /nfs/dbraw/zinc/85/32/86/766853286.db2.gz XIDNDKXZLYYCOR-ULQDDVLXSA-N 1 2 316.449 1.165 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067992037 766859246 /nfs/dbraw/zinc/85/92/46/766859246.db2.gz FOJXXNRIJIGKBA-CQSZACIVSA-N 1 2 320.437 1.861 20 30 DDEDLO CC1(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)CC=CC1 ZINC001046057793 766867287 /nfs/dbraw/zinc/86/72/87/766867287.db2.gz WYFJLJAEIVZORV-OAHLLOKOSA-N 1 2 302.422 1.085 20 30 DDEDLO CC1(C)CN(c2ccc(C#N)nc2)C[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001068115592 766927350 /nfs/dbraw/zinc/92/73/50/766927350.db2.gz NNNUZNONYXYBOI-HNNXBMFYSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCC(C#N)CC1 ZINC001121619765 782596414 /nfs/dbraw/zinc/59/64/14/782596414.db2.gz BSVJUSPGTXPQEN-UHFFFAOYSA-N 1 2 311.393 1.528 20 30 DDEDLO C[C@@H]1C[C@H](CNc2ccc(C#N)nc2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068376448 767220356 /nfs/dbraw/zinc/22/03/56/767220356.db2.gz UYECGFVNLLGBOY-CHWSQXEVSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnc3n2CCC3)C1 ZINC001046384736 767495969 /nfs/dbraw/zinc/49/59/69/767495969.db2.gz GZDJXFZVTKFYOS-OAHLLOKOSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnc3n2CCC3)C1 ZINC001046384736 767495972 /nfs/dbraw/zinc/49/59/72/767495972.db2.gz GZDJXFZVTKFYOS-OAHLLOKOSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001046424240 767545783 /nfs/dbraw/zinc/54/57/83/767545783.db2.gz ASXGUSJEAYLLKB-MRXNPFEDSA-N 1 2 321.808 1.732 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001046424240 767545787 /nfs/dbraw/zinc/54/57/87/767545787.db2.gz ASXGUSJEAYLLKB-MRXNPFEDSA-N 1 2 321.808 1.732 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001046560784 767689137 /nfs/dbraw/zinc/68/91/37/767689137.db2.gz AFDFFXHTDWUPBP-CQSZACIVSA-N 1 2 310.785 1.437 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001046560784 767689139 /nfs/dbraw/zinc/68/91/39/767689139.db2.gz AFDFFXHTDWUPBP-CQSZACIVSA-N 1 2 310.785 1.437 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCn1cc[nH+]c1 ZINC001068927327 767703939 /nfs/dbraw/zinc/70/39/39/767703939.db2.gz DNKKZYUUUVVMPY-UKRRQHHQSA-N 1 2 324.388 1.641 20 30 DDEDLO C[C@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068963669 767731113 /nfs/dbraw/zinc/73/11/13/767731113.db2.gz ZXAVZUGVMLUPEQ-SMDDNHRTSA-N 1 2 310.361 1.320 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001046605465 767731111 /nfs/dbraw/zinc/73/11/11/767731111.db2.gz RJCCNRKQRPQCOV-IUODEOHRSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001046605465 767731118 /nfs/dbraw/zinc/73/11/18/767731118.db2.gz RJCCNRKQRPQCOV-IUODEOHRSA-N 1 2 313.829 1.378 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2cnc(C)s2)CC[C@@H]1C ZINC001131861127 768417798 /nfs/dbraw/zinc/41/77/98/768417798.db2.gz GVWGKZHHKGXHDP-JSGCOSHPSA-N 1 2 321.446 1.684 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2cnc(C)s2)CC[C@@H]1C ZINC001131861127 768417801 /nfs/dbraw/zinc/41/78/01/768417801.db2.gz GVWGKZHHKGXHDP-JSGCOSHPSA-N 1 2 321.446 1.684 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+][C@@H](C)c1ncc(C)o1 ZINC001131867094 768443160 /nfs/dbraw/zinc/44/31/60/768443160.db2.gz ISTCPTUFQHNFCR-XJKSGUPXSA-N 1 2 309.410 1.979 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001132018693 768576132 /nfs/dbraw/zinc/57/61/32/768576132.db2.gz YTOBLJXJTXQRJC-HUUCEWRRSA-N 1 2 302.422 1.549 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001132018693 768576136 /nfs/dbraw/zinc/57/61/36/768576136.db2.gz YTOBLJXJTXQRJC-HUUCEWRRSA-N 1 2 302.422 1.549 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C(C)(C)C2CC2)C[N@@H+]1CC(=O)NCC#N ZINC001132223960 768696530 /nfs/dbraw/zinc/69/65/30/768696530.db2.gz IQDNZXIBXAHFTR-OCCSQVGLSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C(C)(C)C2CC2)C[N@H+]1CC(=O)NCC#N ZINC001132223960 768696536 /nfs/dbraw/zinc/69/65/36/768696536.db2.gz IQDNZXIBXAHFTR-OCCSQVGLSA-N 1 2 320.437 1.031 20 30 DDEDLO CCCC1(C(=O)N[C@@H]2CC[C@H](C)[N@H+](CC(=O)NCC#N)C2)CC1 ZINC001132304930 768747764 /nfs/dbraw/zinc/74/77/64/768747764.db2.gz LIXQKMVWPTXIAG-UONOGXRCSA-N 1 2 320.437 1.176 20 30 DDEDLO CCCC1(C(=O)N[C@@H]2CC[C@H](C)[N@@H+](CC(=O)NCC#N)C2)CC1 ZINC001132304930 768747767 /nfs/dbraw/zinc/74/77/67/768747767.db2.gz LIXQKMVWPTXIAG-UONOGXRCSA-N 1 2 320.437 1.176 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070933874 768792560 /nfs/dbraw/zinc/79/25/60/768792560.db2.gz IHJZCYMIBXFYGV-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+]Cc1nc(C(C)C)no1 ZINC001132398801 768804815 /nfs/dbraw/zinc/80/48/15/768804815.db2.gz PLSYNUDCPULQCK-UHFFFAOYSA-N 1 2 306.410 1.983 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@]2(C)CCC[C@H]2C)CC1 ZINC001070996141 768858332 /nfs/dbraw/zinc/85/83/32/768858332.db2.gz JIUPZKCZDHEVOG-QAPCUYQASA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@]2(C)CCC[C@H]2C)CC1 ZINC001070996141 768858347 /nfs/dbraw/zinc/85/83/47/768858347.db2.gz JIUPZKCZDHEVOG-QAPCUYQASA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(COC)no1 ZINC001132653197 769054014 /nfs/dbraw/zinc/05/40/14/769054014.db2.gz HCQBUKXVINTERR-VXGBXAGGSA-N 1 2 310.398 1.585 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001132658055 769059193 /nfs/dbraw/zinc/05/91/93/769059193.db2.gz XCWSUHRMOILBDB-GFCCVEGCSA-N 1 2 306.410 1.830 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001132663582 769074135 /nfs/dbraw/zinc/07/41/35/769074135.db2.gz YRIYJPVMHWNNCI-OLZOCXBDSA-N 1 2 324.425 1.975 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC001096300512 769087816 /nfs/dbraw/zinc/08/78/16/769087816.db2.gz WCMHVBZBQZZINO-HUUCEWRRSA-N 1 2 317.437 1.173 20 30 DDEDLO C=CCCCC(=O)NCC[NH2+]Cc1nnc([C@@H]2CCCOC2)o1 ZINC001133143494 769526718 /nfs/dbraw/zinc/52/67/18/769526718.db2.gz WYVSMCFIMBLZKE-CYBMUJFWSA-N 1 2 322.409 1.526 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H]2CN(C(=O)[C@@H]3C[C@H]3c3cnc[nH]3)C[C@H]2C1 ZINC001048873774 770030962 /nfs/dbraw/zinc/03/09/62/770030962.db2.gz WVOCQQFZXJTDRF-XJFOESAGSA-N 1 2 320.824 1.656 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096442343 770109424 /nfs/dbraw/zinc/10/94/24/770109424.db2.gz PMOQJNVPXURDHK-OAHLLOKOSA-N 1 2 316.405 1.137 20 30 DDEDLO C[C@H](CC(=O)N[C@@H]1CCN(c2ccncc2C#N)C1)n1cc[nH+]c1 ZINC001096487800 770446247 /nfs/dbraw/zinc/44/62/47/770446247.db2.gz CITQGBFZSNEGBI-UKRRQHHQSA-N 1 2 324.388 1.496 20 30 DDEDLO C[C@@H](CC(=O)N[C@H]1CCN(c2ccc(C#N)nc2)C1)n1cc[nH+]c1 ZINC001096488841 770450115 /nfs/dbraw/zinc/45/01/15/770450115.db2.gz UMVDYVAWRVKUPP-ZFWWWQNUSA-N 1 2 324.388 1.496 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001096492515 770473081 /nfs/dbraw/zinc/47/30/81/770473081.db2.gz VZJDWLNDIJESPF-JSGCOSHPSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001096492515 770473089 /nfs/dbraw/zinc/47/30/89/770473089.db2.gz VZJDWLNDIJESPF-JSGCOSHPSA-N 1 2 324.388 1.250 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](NC(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001096494661 770492744 /nfs/dbraw/zinc/49/27/44/770492744.db2.gz DALPRFLNRNDOER-AWEZNQCLSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](NC(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001096494661 770492749 /nfs/dbraw/zinc/49/27/49/770492749.db2.gz DALPRFLNRNDOER-AWEZNQCLSA-N 1 2 310.361 1.004 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)n1 ZINC001049373561 770752452 /nfs/dbraw/zinc/75/24/52/770752452.db2.gz VCXFZWWHVKXEKR-FRRDWIJNSA-N 1 2 317.393 1.357 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)n1 ZINC001049373561 770752459 /nfs/dbraw/zinc/75/24/59/770752459.db2.gz VCXFZWWHVKXEKR-FRRDWIJNSA-N 1 2 317.393 1.357 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1cnns1 ZINC001049374324 770752966 /nfs/dbraw/zinc/75/29/66/770752966.db2.gz YTKKPNOSONPBOE-RAIGVLPGSA-N 1 2 305.407 1.263 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1cnns1 ZINC001049374324 770752970 /nfs/dbraw/zinc/75/29/70/770752970.db2.gz YTKKPNOSONPBOE-RAIGVLPGSA-N 1 2 305.407 1.263 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C[C@H]1C ZINC001072429524 770881039 /nfs/dbraw/zinc/88/10/39/770881039.db2.gz HLZOCKPWMLJONJ-OLZOCXBDSA-N 1 2 318.421 1.617 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C[C@@H]1C ZINC001072429525 770882582 /nfs/dbraw/zinc/88/25/82/770882582.db2.gz HLZOCKPWMLJONJ-QWHCGFSZSA-N 1 2 318.421 1.617 20 30 DDEDLO CCn1ccc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001049999931 771353651 /nfs/dbraw/zinc/35/36/51/771353651.db2.gz OYEUEJTWMCXCHO-IJEWVQPXSA-N 1 2 315.421 1.485 20 30 DDEDLO CCn1ccc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001049999931 771353656 /nfs/dbraw/zinc/35/36/56/771353656.db2.gz OYEUEJTWMCXCHO-IJEWVQPXSA-N 1 2 315.421 1.485 20 30 DDEDLO C#CC[NH2+][C@@H](C)C[C@H](C)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001135165483 771362576 /nfs/dbraw/zinc/36/25/76/771362576.db2.gz GJIVAYQESGBGNU-STQMWFEESA-N 1 2 311.389 1.591 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C2CC2)n1 ZINC001096712827 771410756 /nfs/dbraw/zinc/41/07/56/771410756.db2.gz ZICBPARBVWJWLZ-CQSZACIVSA-N 1 2 324.388 1.534 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096728465 771419954 /nfs/dbraw/zinc/41/99/54/771419954.db2.gz PSJUVOTWMNHJGX-SMDDNHRTSA-N 1 2 302.378 1.130 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H](Nc1ncccc1C#N)C1CC1 ZINC001096696111 771422417 /nfs/dbraw/zinc/42/24/17/771422417.db2.gz UPPZRBANSQRIBI-HNNXBMFYSA-N 1 2 324.388 1.236 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H](Nc1ccc(C#N)nc1)C1CC1 ZINC001096695399 771422541 /nfs/dbraw/zinc/42/25/41/771422541.db2.gz JMYZMIHFWPHTHP-INIZCTEOSA-N 1 2 324.388 1.236 20 30 DDEDLO C[C@@H](C[C@H](C)NC(=O)C1([NH+]2CCOCC2)CCCC1)NCC#N ZINC001135588903 771602339 /nfs/dbraw/zinc/60/23/39/771602339.db2.gz GVNLLXDERZEVQH-GJZGRUSLSA-N 1 2 322.453 1.028 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3C[C@H]4CC[C@@H]3N4CC#N)ccn12 ZINC001097073508 771607904 /nfs/dbraw/zinc/60/79/04/771607904.db2.gz HJJJQTIYXGCYJP-KFWWJZLASA-N 1 2 309.373 1.501 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc[nH]c2C2CC2)[C@@H](O)C1 ZINC001090526480 771855550 /nfs/dbraw/zinc/85/55/50/771855550.db2.gz UDWHUCYXBFTVMZ-KGLIPLIRSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc[nH]c2C2CC2)[C@@H](O)C1 ZINC001090526480 771855555 /nfs/dbraw/zinc/85/55/55/771855555.db2.gz UDWHUCYXBFTVMZ-KGLIPLIRSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C2CC2)C2CC2)[C@@H](O)C1 ZINC001090716616 772136770 /nfs/dbraw/zinc/13/67/70/772136770.db2.gz SIWCSTKSYDLBLW-KBPBESRZSA-N 1 2 312.841 1.727 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C2CC2)C2CC2)[C@@H](O)C1 ZINC001090716616 772136772 /nfs/dbraw/zinc/13/67/72/772136772.db2.gz SIWCSTKSYDLBLW-KBPBESRZSA-N 1 2 312.841 1.727 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(CC)on2)[C@H](O)C1 ZINC001090739625 772152248 /nfs/dbraw/zinc/15/22/48/772152248.db2.gz NHCPNCSKHGBNJX-WCQYABFASA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(CC)on2)[C@H](O)C1 ZINC001090739625 772152251 /nfs/dbraw/zinc/15/22/51/772152251.db2.gz NHCPNCSKHGBNJX-WCQYABFASA-N 1 2 313.785 1.155 20 30 DDEDLO N#Cc1ccnc(NC(=O)[C@@H]2[C@@H](O)CC[N@@H+]2Cc2ccccc2)c1 ZINC001143659565 772287397 /nfs/dbraw/zinc/28/73/97/772287397.db2.gz YHWLEHPWGYSMFL-RDJZCZTQSA-N 1 2 322.368 1.527 20 30 DDEDLO N#Cc1ccnc(NC(=O)[C@@H]2[C@@H](O)CC[N@H+]2Cc2ccccc2)c1 ZINC001143659565 772287401 /nfs/dbraw/zinc/28/74/01/772287401.db2.gz YHWLEHPWGYSMFL-RDJZCZTQSA-N 1 2 322.368 1.527 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(CC)n1)C2 ZINC001147166073 773057317 /nfs/dbraw/zinc/05/73/17/773057317.db2.gz QOGASTWIDKQAKC-UHFFFAOYSA-N 1 2 303.410 1.299 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1cnn(CC)n1)C2 ZINC001147166073 773057319 /nfs/dbraw/zinc/05/73/19/773057319.db2.gz QOGASTWIDKQAKC-UHFFFAOYSA-N 1 2 303.410 1.299 20 30 DDEDLO Cc1ccnc(C[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)n1 ZINC001147498749 773153239 /nfs/dbraw/zinc/15/32/39/773153239.db2.gz IJCSRFBBYVRBFF-UHFFFAOYSA-N 1 2 312.417 1.479 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)n1 ZINC001147498749 773153247 /nfs/dbraw/zinc/15/32/47/773153247.db2.gz IJCSRFBBYVRBFF-UHFFFAOYSA-N 1 2 312.417 1.479 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001148193811 773374452 /nfs/dbraw/zinc/37/44/52/773374452.db2.gz JXCOYTGONCLGHB-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001148193811 773374455 /nfs/dbraw/zinc/37/44/55/773374455.db2.gz JXCOYTGONCLGHB-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001148200076 773381495 /nfs/dbraw/zinc/38/14/95/773381495.db2.gz ZVFPXLSDOMOQKC-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001148200076 773381501 /nfs/dbraw/zinc/38/15/01/773381501.db2.gz ZVFPXLSDOMOQKC-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CCCCC3)C[C@@H]21 ZINC001074150875 773676047 /nfs/dbraw/zinc/67/60/47/773676047.db2.gz FHCKRJUSBFNLDK-IRXDYDNUSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CCCCC3)C[C@@H]21 ZINC001074150875 773676050 /nfs/dbraw/zinc/67/60/50/773676050.db2.gz FHCKRJUSBFNLDK-IRXDYDNUSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3ccco3)C[C@H]21 ZINC001074151547 773676746 /nfs/dbraw/zinc/67/67/46/773676746.db2.gz OSEPUSCCKQAGNB-TZNMXKOXSA-N 1 2 314.385 1.618 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3ccco3)C[C@H]21 ZINC001074151547 773676749 /nfs/dbraw/zinc/67/67/49/773676749.db2.gz OSEPUSCCKQAGNB-TZNMXKOXSA-N 1 2 314.385 1.618 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCn3cccn3)C[C@@H]21 ZINC001074177876 773699341 /nfs/dbraw/zinc/69/93/41/773699341.db2.gz NARXQWHDWCJOFF-JKSUJKDBSA-N 1 2 318.421 1.151 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCn3cccn3)C[C@@H]21 ZINC001074177876 773699348 /nfs/dbraw/zinc/69/93/48/773699348.db2.gz NARXQWHDWCJOFF-JKSUJKDBSA-N 1 2 318.421 1.151 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(=O)[nH]3)C[C@@H]21 ZINC001074202186 773725315 /nfs/dbraw/zinc/72/53/15/773725315.db2.gz WALIZGKFROEXCY-LSDHHAIUSA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(=O)[nH]3)C[C@@H]21 ZINC001074202186 773725320 /nfs/dbraw/zinc/72/53/20/773725320.db2.gz WALIZGKFROEXCY-LSDHHAIUSA-N 1 2 317.389 1.279 20 30 DDEDLO C[C@H]1CC2(CN1C(=O)Cc1[nH]cc[nH+]1)CCN(CC#N)CC2 ZINC001086950488 773740202 /nfs/dbraw/zinc/74/02/02/773740202.db2.gz CDBWCDXOTIRYSW-ZDUSSCGKSA-N 1 2 301.394 1.179 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(Cl)[nH]3)C[C@@H]21 ZINC001074336731 773834204 /nfs/dbraw/zinc/83/42/04/773834204.db2.gz VOSHZCHQSJLMKW-UONOGXRCSA-N 1 2 321.808 1.607 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(Cl)[nH]3)C[C@@H]21 ZINC001074336731 773834211 /nfs/dbraw/zinc/83/42/11/773834211.db2.gz VOSHZCHQSJLMKW-UONOGXRCSA-N 1 2 321.808 1.607 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccco3)C[C@@H]21 ZINC001074366002 773857972 /nfs/dbraw/zinc/85/79/72/773857972.db2.gz MOACDZMPMCFOGN-HOTGVXAUSA-N 1 2 302.374 1.147 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccco3)C[C@@H]21 ZINC001074366002 773857977 /nfs/dbraw/zinc/85/79/77/773857977.db2.gz MOACDZMPMCFOGN-HOTGVXAUSA-N 1 2 302.374 1.147 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@@]2(C)C1 ZINC001091916884 773858841 /nfs/dbraw/zinc/85/88/41/773858841.db2.gz PBGATCHXBZOCRL-DYVFJYSZSA-N 1 2 317.437 1.606 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@@]2(C)C1 ZINC001091916884 773858846 /nfs/dbraw/zinc/85/88/46/773858846.db2.gz PBGATCHXBZOCRL-DYVFJYSZSA-N 1 2 317.437 1.606 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(CC)on3)C[C@@H]21 ZINC001074387581 773880859 /nfs/dbraw/zinc/88/08/59/773880859.db2.gz NLMPWSHSKZBBLI-JKSUJKDBSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(CC)on3)C[C@@H]21 ZINC001074387581 773880865 /nfs/dbraw/zinc/88/08/65/773880865.db2.gz NLMPWSHSKZBBLI-JKSUJKDBSA-N 1 2 319.405 1.728 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1coc(C)c1)c1nccn12 ZINC001092365912 774104932 /nfs/dbraw/zinc/10/49/32/774104932.db2.gz CJWPWUHOXQNDOH-HNNXBMFYSA-N 1 2 324.384 1.694 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@@H](C)C1 ZINC001074886038 774216251 /nfs/dbraw/zinc/21/62/51/774216251.db2.gz BWWJIUOXBVZDNZ-LSDHHAIUSA-N 1 2 318.421 1.735 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCN(C(=O)[C@@H]2CCc3[nH]nnc3C2)[C@H]1C ZINC001075037751 774313374 /nfs/dbraw/zinc/31/33/74/774313374.db2.gz OMTWMWYGJYZDOK-TUAOUCFPSA-N 1 2 323.828 1.241 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCN(C(=O)[C@@H]2CCc3nn[nH]c3C2)[C@H]1C ZINC001075037751 774313379 /nfs/dbraw/zinc/31/33/79/774313379.db2.gz OMTWMWYGJYZDOK-TUAOUCFPSA-N 1 2 323.828 1.241 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC(C)(C)C[N@@H+]1Cc1ccn(C)n1 ZINC001098982562 774754855 /nfs/dbraw/zinc/75/48/55/774754855.db2.gz LVPCKYCQTRIPEV-UKRRQHHQSA-N 1 2 317.437 1.687 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC(C)(C)C[N@H+]1Cc1ccn(C)n1 ZINC001098982562 774754856 /nfs/dbraw/zinc/75/48/56/774754856.db2.gz LVPCKYCQTRIPEV-UKRRQHHQSA-N 1 2 317.437 1.687 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)Cc3cscn3)CC2)C1 ZINC001093581328 774857068 /nfs/dbraw/zinc/85/70/68/774857068.db2.gz GKAXGDDIUDYFGU-UHFFFAOYSA-N 1 2 321.446 1.563 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3nocc3C)CC2)C1 ZINC001093590010 774872502 /nfs/dbraw/zinc/87/25/02/774872502.db2.gz BLQBJDNTNQNNQM-UHFFFAOYSA-N 1 2 305.378 1.474 20 30 DDEDLO CC(C)(C)C(=O)NC[C@@H]1CCC(C)(C)C[N@@H+]1CC(=O)NCC#N ZINC001099321495 774946835 /nfs/dbraw/zinc/94/68/35/774946835.db2.gz XTTBDPJGKONIPH-ZDUSSCGKSA-N 1 2 322.453 1.279 20 30 DDEDLO CC(C)(C)C(=O)NC[C@@H]1CCC(C)(C)C[N@H+]1CC(=O)NCC#N ZINC001099321495 774946836 /nfs/dbraw/zinc/94/68/36/774946836.db2.gz XTTBDPJGKONIPH-ZDUSSCGKSA-N 1 2 322.453 1.279 20 30 DDEDLO C=CCCCC(=O)NCC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001099659353 775106032 /nfs/dbraw/zinc/10/60/32/775106032.db2.gz AYOXGXBZFAGATF-GFCCVEGCSA-N 1 2 320.441 1.909 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCNc1nc(C)[nH+]c2c1CCCC2 ZINC001093878549 775175394 /nfs/dbraw/zinc/17/53/94/775175394.db2.gz SLEXBJPECYVAQW-LBPRGKRZSA-N 1 2 316.405 1.230 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099856300 775359741 /nfs/dbraw/zinc/35/97/41/775359741.db2.gz VGGWYTXCOJYCFZ-CVEARBPZSA-N 1 2 321.396 1.628 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099856300 775359746 /nfs/dbraw/zinc/35/97/46/775359746.db2.gz VGGWYTXCOJYCFZ-CVEARBPZSA-N 1 2 321.396 1.628 20 30 DDEDLO C#CCCCCCC(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001094061365 775388381 /nfs/dbraw/zinc/38/83/81/775388381.db2.gz PNZLIENOEOGUGR-UHFFFAOYSA-N 1 2 314.393 1.475 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CC[N@@H+](CC(=C)Cl)C[C@H]1O ZINC001099959311 775480695 /nfs/dbraw/zinc/48/06/95/775480695.db2.gz FBVRCGDAVSAWAH-LSDHHAIUSA-N 1 2 312.841 1.874 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CC[N@H+](CC(=C)Cl)C[C@H]1O ZINC001099959311 775480702 /nfs/dbraw/zinc/48/07/02/775480702.db2.gz FBVRCGDAVSAWAH-LSDHHAIUSA-N 1 2 312.841 1.874 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099975594 775506643 /nfs/dbraw/zinc/50/66/43/775506643.db2.gz DUHBUOJSETZKBH-KGLIPLIRSA-N 1 2 323.462 1.859 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099975594 775506652 /nfs/dbraw/zinc/50/66/52/775506652.db2.gz DUHBUOJSETZKBH-KGLIPLIRSA-N 1 2 323.462 1.859 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099975596 775507229 /nfs/dbraw/zinc/50/72/29/775507229.db2.gz DUHBUOJSETZKBH-ZIAGYGMSSA-N 1 2 323.462 1.859 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099975596 775507236 /nfs/dbraw/zinc/50/72/36/775507236.db2.gz DUHBUOJSETZKBH-ZIAGYGMSSA-N 1 2 323.462 1.859 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100318112 775989155 /nfs/dbraw/zinc/98/91/55/775989155.db2.gz XEABSLKYVMMPGW-INIZCTEOSA-N 1 2 316.409 1.518 20 30 DDEDLO C=CCCCC(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094741017 776241606 /nfs/dbraw/zinc/24/16/06/776241606.db2.gz RGRTYMWLIAOWPZ-UHFFFAOYSA-N 1 2 302.382 1.638 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3(F)CCCC3)CC2=O)C1 ZINC001094753589 776250156 /nfs/dbraw/zinc/25/01/56/776250156.db2.gz ORVLRUVRFYRECQ-CYBMUJFWSA-N 1 2 323.412 1.246 20 30 DDEDLO C[C@H](CCCCNCC#N)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001171578198 776352076 /nfs/dbraw/zinc/35/20/76/776352076.db2.gz ZXJNPKHHDNTMNV-KGLIPLIRSA-N 1 2 303.410 1.234 20 30 DDEDLO N#Cc1ccc(N(CCNC(=O)CCn2cc[nH+]c2)C2CC2)cn1 ZINC001101416936 777128015 /nfs/dbraw/zinc/12/80/15/777128015.db2.gz QZNPHEUXYRCGML-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)CC(C)(C)C)c1nccn12 ZINC001101609953 777300178 /nfs/dbraw/zinc/30/01/78/777300178.db2.gz CAPCDZGYMADRRS-AWEZNQCLSA-N 1 2 314.433 1.915 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CO[C@@H](C)CC)c1nccn12 ZINC001101623043 777316579 /nfs/dbraw/zinc/31/65/79/777316579.db2.gz WQBIPXPPOZUZHM-UONOGXRCSA-N 1 2 318.421 1.456 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CC[C@H](C)OC)c1nccn12 ZINC001101623509 777317858 /nfs/dbraw/zinc/31/78/58/777317858.db2.gz DYPIHWFCNATYNI-KBPBESRZSA-N 1 2 318.421 1.456 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC2(C[NH+](CC#CC)C2)n2ccnc21 ZINC001101641334 777339045 /nfs/dbraw/zinc/33/90/45/777339045.db2.gz NJMOIWHWKRTNAK-OAHLLOKOSA-N 1 2 312.417 1.835 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)C)C[C@H]21 ZINC001176913634 778338385 /nfs/dbraw/zinc/33/83/85/778338385.db2.gz VCENSCYDOOFXOG-CVEARBPZSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)C)C[C@H]21 ZINC001176913634 778338392 /nfs/dbraw/zinc/33/83/92/778338392.db2.gz VCENSCYDOOFXOG-CVEARBPZSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]2OCC[N@H+](CCCO)[C@@H]2C1 ZINC001177020028 778396113 /nfs/dbraw/zinc/39/61/13/778396113.db2.gz VHADUWRPZBLTEP-CABCVRRESA-N 1 2 310.438 1.273 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]2OCC[N@@H+](CCCO)[C@@H]2C1 ZINC001177020028 778396120 /nfs/dbraw/zinc/39/61/20/778396120.db2.gz VHADUWRPZBLTEP-CABCVRRESA-N 1 2 310.438 1.273 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)COC)C[C@@H]21 ZINC001177054911 778416165 /nfs/dbraw/zinc/41/61/65/778416165.db2.gz DONUJNGSIXERFJ-JKSUJKDBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)COC)C[C@@H]21 ZINC001177054911 778416171 /nfs/dbraw/zinc/41/61/71/778416171.db2.gz DONUJNGSIXERFJ-JKSUJKDBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C=C)C[C@H]21 ZINC001177061495 778421338 /nfs/dbraw/zinc/42/13/38/778421338.db2.gz MMRPHAKZBGSFBD-CVEARBPZSA-N 1 2 322.449 1.703 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C=C)C[C@H]21 ZINC001177061495 778421342 /nfs/dbraw/zinc/42/13/42/778421342.db2.gz MMRPHAKZBGSFBD-CVEARBPZSA-N 1 2 322.449 1.703 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CCOC)[C@@H]3C2)CC1 ZINC001177102383 778446920 /nfs/dbraw/zinc/44/69/20/778446920.db2.gz UXEDUNREWXNTKZ-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@H]3OCC[N@H+](CCOC)[C@@H]3C2)CC1 ZINC001177102383 778446924 /nfs/dbraw/zinc/44/69/24/778446924.db2.gz UXEDUNREWXNTKZ-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001103356818 778812174 /nfs/dbraw/zinc/81/21/74/778812174.db2.gz AEMAHPXBCIUEKO-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001103356818 778812180 /nfs/dbraw/zinc/81/21/80/778812180.db2.gz AEMAHPXBCIUEKO-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CC[C@H](NCC#N)[C@@H]1C)n1cc[nH+]c1 ZINC001178957453 779240628 /nfs/dbraw/zinc/24/06/28/779240628.db2.gz YSVXHPRJXRXBBG-SOUVJXGZSA-N 1 2 303.410 1.573 20 30 DDEDLO N#Cc1cnc(NC(=O)[C@@H]2C[N@H+](Cc3ccccc3)CCO2)cn1 ZINC001178987029 779252371 /nfs/dbraw/zinc/25/23/71/779252371.db2.gz VJMPBDGVQYHTGX-HNNXBMFYSA-N 1 2 323.356 1.188 20 30 DDEDLO N#Cc1cnc(NC(=O)[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)cn1 ZINC001178987029 779252374 /nfs/dbraw/zinc/25/23/74/779252374.db2.gz VJMPBDGVQYHTGX-HNNXBMFYSA-N 1 2 323.356 1.188 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)CNc1ccc(C#N)cn1 ZINC001104039600 779264292 /nfs/dbraw/zinc/26/42/92/779264292.db2.gz VPSSZFDBYHFLAU-LLVKDONJSA-N 1 2 312.377 1.392 20 30 DDEDLO N#Cc1cnccc1NC1(CNC(=O)CCn2cc[nH+]c2)CCC1 ZINC001111876507 779533978 /nfs/dbraw/zinc/53/39/78/779533978.db2.gz QVQCEWAQGNIZLG-UHFFFAOYSA-N 1 2 324.388 1.113 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H](Nc2cc[nH+]c(C)n2)[C@@H](C)C1 ZINC001112326761 779719845 /nfs/dbraw/zinc/71/98/45/779719845.db2.gz FKQPNKLMCCUXOD-JSGCOSHPSA-N 1 2 302.378 1.084 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)[C@H](CNc1ccc(C#N)nc1)C1CC1 ZINC001115534412 780220727 /nfs/dbraw/zinc/22/07/27/780220727.db2.gz LZHRGSSIXMRMBP-OAHLLOKOSA-N 1 2 324.388 1.568 20 30 DDEDLO N#CCNC1(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)CCCCC1 ZINC001115602852 780262180 /nfs/dbraw/zinc/26/21/80/780262180.db2.gz GPGSSNSZXSOWRK-CQSZACIVSA-N 1 2 315.421 1.378 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1sc(C)cc1C ZINC001266278318 836030338 /nfs/dbraw/zinc/03/03/38/836030338.db2.gz ADLDALQBBQSNME-UHFFFAOYSA-N 1 2 309.435 1.329 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1sc(C)cc1C ZINC001266278318 836030347 /nfs/dbraw/zinc/03/03/47/836030347.db2.gz ADLDALQBBQSNME-UHFFFAOYSA-N 1 2 309.435 1.329 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](C)CC=C(Cl)Cl ZINC001282389882 836051638 /nfs/dbraw/zinc/05/16/38/836051638.db2.gz BAXMYYGHAVJYRB-LLVKDONJSA-N 1 2 307.221 1.782 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](C)CC=C(Cl)Cl ZINC001282389882 836051622 /nfs/dbraw/zinc/05/16/22/836051622.db2.gz BAXMYYGHAVJYRB-LLVKDONJSA-N 1 2 307.221 1.782 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1cccc(C)c1Cl ZINC001266340442 836142864 /nfs/dbraw/zinc/14/28/64/836142864.db2.gz YQTOOINGVACNJJ-UHFFFAOYSA-N 1 2 323.824 1.612 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1cccc(C)c1Cl ZINC001266340442 836142866 /nfs/dbraw/zinc/14/28/66/836142866.db2.gz YQTOOINGVACNJJ-UHFFFAOYSA-N 1 2 323.824 1.612 20 30 DDEDLO C#CC[N@H+](CC)CCNC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC001266403113 836211901 /nfs/dbraw/zinc/21/19/01/836211901.db2.gz DVUIKMGPGQCPCM-UHFFFAOYSA-N 1 2 302.300 1.422 20 30 DDEDLO C#CC[N@@H+](CC)CCNC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC001266403113 836211913 /nfs/dbraw/zinc/21/19/13/836211913.db2.gz DVUIKMGPGQCPCM-UHFFFAOYSA-N 1 2 302.300 1.422 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]([NH2+]Cc2cnsn2)C2CC2)C1 ZINC001266429902 836242049 /nfs/dbraw/zinc/24/20/49/836242049.db2.gz VYLMJNWOSKTXGP-ZDUSSCGKSA-N 1 2 306.435 1.879 20 30 DDEDLO CCC[N@H+](CCNC(=O)C#CC(C)C)[C@@H](C)c1nnc(CC)[nH]1 ZINC001266560266 836469459 /nfs/dbraw/zinc/46/94/59/836469459.db2.gz GUJVLKSTHJDLHI-AWEZNQCLSA-N 1 2 319.453 1.916 20 30 DDEDLO CCC[N@@H+](CCNC(=O)C#CC(C)C)[C@@H](C)c1nnc(CC)[nH]1 ZINC001266560266 836469464 /nfs/dbraw/zinc/46/94/64/836469464.db2.gz GUJVLKSTHJDLHI-AWEZNQCLSA-N 1 2 319.453 1.916 20 30 DDEDLO Cn1nccc1C[N@H+](CCNC(=O)C#CC(C)(C)C)C1CC1 ZINC001266592176 836508994 /nfs/dbraw/zinc/50/89/94/836508994.db2.gz JUHKSOVZOYMSLL-UHFFFAOYSA-N 1 2 302.422 1.550 20 30 DDEDLO Cn1nccc1C[N@@H+](CCNC(=O)C#CC(C)(C)C)C1CC1 ZINC001266592176 836509001 /nfs/dbraw/zinc/50/90/01/836509001.db2.gz JUHKSOVZOYMSLL-UHFFFAOYSA-N 1 2 302.422 1.550 20 30 DDEDLO CC(C)c1noc([C@@H](C)[NH2+][C@@H](C)CNC(=O)C#CC2CC2)n1 ZINC001266937009 837082576 /nfs/dbraw/zinc/08/25/76/837082576.db2.gz AHYDLIQKSOXBAY-NWDGAFQWSA-N 1 2 304.394 1.762 20 30 DDEDLO C[C@H](CNC(=O)C#CC1CC1)[NH2+]Cc1nc(C2CCCC2)no1 ZINC001266937428 837085059 /nfs/dbraw/zinc/08/50/59/837085059.db2.gz IXEVSUTYVMLXSI-GFCCVEGCSA-N 1 2 316.405 1.735 20 30 DDEDLO CCCCCC#CC(=O)N1CC[C@H]2C[N@H+](COCCOC)C[C@H]21 ZINC001271959680 844241028 /nfs/dbraw/zinc/24/10/28/844241028.db2.gz YFXPSGOXQBRFFV-DLBZAZTESA-N 1 2 322.449 1.723 20 30 DDEDLO CCCCCC#CC(=O)N1CC[C@H]2C[N@@H+](COCCOC)C[C@H]21 ZINC001271959680 844241036 /nfs/dbraw/zinc/24/10/36/844241036.db2.gz YFXPSGOXQBRFFV-DLBZAZTESA-N 1 2 322.449 1.723 20 30 DDEDLO C=C[C@@H](C(=O)NC[C@@H](C)[NH2+]Cc1nnc(C)o1)c1ccccc1 ZINC001266997318 837164367 /nfs/dbraw/zinc/16/43/67/837164367.db2.gz NVBJGZCCOFHJBB-IUODEOHRSA-N 1 2 314.389 1.942 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(F)(F)c1ccc(C#N)cc1 ZINC001271976202 844255755 /nfs/dbraw/zinc/25/57/55/844255755.db2.gz QBPMAHQOFFVGSB-OKILXGFUSA-N 1 2 305.328 1.955 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(F)(F)c1ccc(C#N)cc1 ZINC001271976202 844255762 /nfs/dbraw/zinc/25/57/62/844255762.db2.gz QBPMAHQOFFVGSB-OKILXGFUSA-N 1 2 305.328 1.955 20 30 DDEDLO N#CCN[C@H](CNC(=O)[C@H]1CCn2cc[nH+]c2C1)c1ccccc1 ZINC001267362031 837913133 /nfs/dbraw/zinc/91/31/33/837913133.db2.gz NHSXHEKFDMWULX-JKSUJKDBSA-N 1 2 323.400 1.416 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1c(C)nnn1CC ZINC001267397144 837985905 /nfs/dbraw/zinc/98/59/05/837985905.db2.gz NBPWELQVELSCIM-GASCZTMLSA-N 1 2 317.437 1.748 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1c(C)nnn1CC ZINC001267397144 837985911 /nfs/dbraw/zinc/98/59/11/837985911.db2.gz NBPWELQVELSCIM-GASCZTMLSA-N 1 2 317.437 1.748 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@H](C)C(=O)NC1CC1 ZINC001267396994 837986017 /nfs/dbraw/zinc/98/60/17/837986017.db2.gz HXCGKGQIMOTGHE-YUELXQCFSA-N 1 2 305.422 1.295 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)NC1CC1 ZINC001267396994 837986026 /nfs/dbraw/zinc/98/60/26/837986026.db2.gz HXCGKGQIMOTGHE-YUELXQCFSA-N 1 2 305.422 1.295 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CC[NH2+][C@@H](C)c1nnc(C)o1 ZINC001267429741 838026115 /nfs/dbraw/zinc/02/61/15/838026115.db2.gz IZRXTMGMSYVTRY-UHTWSYAYSA-N 1 2 308.382 1.078 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)[C@@]2(C)CC=CCC2)CC1 ZINC001267572565 838335812 /nfs/dbraw/zinc/33/58/12/838335812.db2.gz PCUMDHYUYIPXFH-SFHVURJKSA-N 1 2 303.450 1.442 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(Cc2ncc(C)o2)CC1 ZINC001267573198 838336741 /nfs/dbraw/zinc/33/67/41/838336741.db2.gz BCLXCFPDDAMNTD-UHFFFAOYSA-N 1 2 320.437 1.525 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2snnc2C)C1 ZINC001267610766 838457005 /nfs/dbraw/zinc/45/70/05/838457005.db2.gz PATKIRLUAYCIMW-GFCCVEGCSA-N 1 2 310.423 1.130 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2snnc2C)C1 ZINC001267610766 838457009 /nfs/dbraw/zinc/45/70/09/838457009.db2.gz PATKIRLUAYCIMW-GFCCVEGCSA-N 1 2 310.423 1.130 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001267617449 838495811 /nfs/dbraw/zinc/49/58/11/838495811.db2.gz HVXPDPBOLLMSEO-MRXNPFEDSA-N 1 2 321.465 1.982 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001267617449 838495814 /nfs/dbraw/zinc/49/58/14/838495814.db2.gz HVXPDPBOLLMSEO-MRXNPFEDSA-N 1 2 321.465 1.982 20 30 DDEDLO C#CCCCCCC(=O)NCC1C[NH+](CC(=O)NC(C)(C)C)C1 ZINC001267687941 838643222 /nfs/dbraw/zinc/64/32/22/838643222.db2.gz SIIJOIQSVFSZAA-UHFFFAOYSA-N 1 2 321.465 1.533 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(C)(C)CC ZINC001267705642 838686617 /nfs/dbraw/zinc/68/66/17/838686617.db2.gz HUFWBSNGHSYHHP-KKUMJFAQSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(C)(C)CC ZINC001267705642 838686622 /nfs/dbraw/zinc/68/66/22/838686622.db2.gz HUFWBSNGHSYHHP-KKUMJFAQSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)NCc2ccco2)C1 ZINC001267746916 838832593 /nfs/dbraw/zinc/83/25/93/838832593.db2.gz CDYQEVUIOCIMGK-CYBMUJFWSA-N 1 2 319.405 1.299 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCc2ccco2)C1 ZINC001267746916 838832599 /nfs/dbraw/zinc/83/25/99/838832599.db2.gz CDYQEVUIOCIMGK-CYBMUJFWSA-N 1 2 319.405 1.299 20 30 DDEDLO CCN(C(=O)C#CC(C)C)[C@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001267783008 838968731 /nfs/dbraw/zinc/96/87/31/838968731.db2.gz DCLANRODMKMBMZ-INIZCTEOSA-N 1 2 302.422 1.502 20 30 DDEDLO CCN(C(=O)C#CC(C)C)[C@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001267783008 838968736 /nfs/dbraw/zinc/96/87/36/838968736.db2.gz DCLANRODMKMBMZ-INIZCTEOSA-N 1 2 302.422 1.502 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]([NH2+]Cc2nc(C)no2)C[C@@H]1C ZINC001284004392 839358083 /nfs/dbraw/zinc/35/80/83/839358083.db2.gz SDXWOOSWWDYPCV-GXTWGEPZSA-N 1 2 304.394 1.651 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1C[N@H+](CCOCCC(C)C)CCO1 ZINC001268021284 839394400 /nfs/dbraw/zinc/39/44/00/839394400.db2.gz DUYIQJXURATEDJ-KRWDZBQOSA-N 1 2 324.465 1.526 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1C[N@@H+](CCOCCC(C)C)CCO1 ZINC001268021284 839394409 /nfs/dbraw/zinc/39/44/09/839394409.db2.gz DUYIQJXURATEDJ-KRWDZBQOSA-N 1 2 324.465 1.526 20 30 DDEDLO CCc1nc(C[NH2+]CC[C@H]2CCCCN2C(=O)[C@@H](C)C#N)no1 ZINC001268149879 839755984 /nfs/dbraw/zinc/75/59/84/839755984.db2.gz KFPUZMYRWFRUBM-QWHCGFSZSA-N 1 2 319.409 1.652 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(CC)CC[NH2+]Cc1nc(C)no1 ZINC001268485171 840281926 /nfs/dbraw/zinc/28/19/26/840281926.db2.gz AOXIOHJNXXTIHI-ZFWWWQNUSA-N 1 2 322.409 1.297 20 30 DDEDLO Cc1nc(C[NH2+]C/C=C\CNC(=O)[C@@H](C)C#N)nc2ccccc12 ZINC001268523197 840381145 /nfs/dbraw/zinc/38/11/45/840381145.db2.gz PZJKDSJTGPGZEW-SZZPACECSA-N 1 2 323.400 1.860 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001269009642 841104105 /nfs/dbraw/zinc/10/41/05/841104105.db2.gz LUERDFSGGKAVTF-HNNXBMFYSA-N 1 2 317.364 1.590 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001269009642 841104110 /nfs/dbraw/zinc/10/41/10/841104110.db2.gz LUERDFSGGKAVTF-HNNXBMFYSA-N 1 2 317.364 1.590 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@@]1(C)CCC[C@H]1C ZINC001272105250 844617668 /nfs/dbraw/zinc/61/76/68/844617668.db2.gz LLLYRHUPHMCKLB-PBHICJAKSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@@]1(C)CCC[C@H]1C ZINC001272105250 844617671 /nfs/dbraw/zinc/61/76/71/844617671.db2.gz LLLYRHUPHMCKLB-PBHICJAKSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)[C@H]1CC[N@H+](Cc2ncc(C)o2)C1 ZINC001269221348 841366215 /nfs/dbraw/zinc/36/62/15/841366215.db2.gz JCBUDPSPXGXJOP-CABCVRRESA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001269221348 841366226 /nfs/dbraw/zinc/36/62/26/841366226.db2.gz JCBUDPSPXGXJOP-CABCVRRESA-N 1 2 321.421 1.997 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H]1CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001269233090 841397531 /nfs/dbraw/zinc/39/75/31/841397531.db2.gz DIVBJIJJMASXTB-HUUCEWRRSA-N 1 2 300.406 1.874 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H]1CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001269233090 841397540 /nfs/dbraw/zinc/39/75/40/841397540.db2.gz DIVBJIJJMASXTB-HUUCEWRRSA-N 1 2 300.406 1.874 20 30 DDEDLO CCOCCC1(C(=O)NC[C@H]2CC[N@@H+]2CC#CCOC)CCC1 ZINC001269235944 841401152 /nfs/dbraw/zinc/40/11/52/841401152.db2.gz SCADYJLTBVYGSH-MRXNPFEDSA-N 1 2 322.449 1.424 20 30 DDEDLO CCOCCC1(C(=O)NC[C@H]2CC[N@H+]2CC#CCOC)CCC1 ZINC001269235944 841401161 /nfs/dbraw/zinc/40/11/61/841401161.db2.gz SCADYJLTBVYGSH-MRXNPFEDSA-N 1 2 322.449 1.424 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)C(=O)N(C)C ZINC001269271774 841451523 /nfs/dbraw/zinc/45/15/23/841451523.db2.gz CYBQRPMGBYVXDC-GJZGRUSLSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)N(C)C ZINC001269271774 841451528 /nfs/dbraw/zinc/45/15/28/841451528.db2.gz CYBQRPMGBYVXDC-GJZGRUSLSA-N 1 2 307.438 1.237 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1ccon1 ZINC001284505944 841614917 /nfs/dbraw/zinc/61/49/17/841614917.db2.gz QKHBRQWAHJBAIS-AWEZNQCLSA-N 1 2 309.410 1.528 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1ccon1 ZINC001284505944 841614923 /nfs/dbraw/zinc/61/49/23/841614923.db2.gz QKHBRQWAHJBAIS-AWEZNQCLSA-N 1 2 309.410 1.528 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O ZINC001154620703 861287986 /nfs/dbraw/zinc/28/79/86/861287986.db2.gz QHQWUCZPFXQKTA-GFCCVEGCSA-N 1 2 304.456 1.070 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O ZINC001154620703 861288000 /nfs/dbraw/zinc/28/80/00/861288000.db2.gz QHQWUCZPFXQKTA-GFCCVEGCSA-N 1 2 304.456 1.070 20 30 DDEDLO C#CCN(C(=O)C1CC1)C1CC[NH+]([C@@H](C)c2nc(C)no2)CC1 ZINC001270279899 842451587 /nfs/dbraw/zinc/45/15/87/842451587.db2.gz YQGCATBBHZRHOY-LBPRGKRZSA-N 1 2 316.405 1.775 20 30 DDEDLO O=C(C#Cc1ccncc1)N1CC2(C1)C[NH+](Cc1ccc[nH]1)C2 ZINC001270336417 842497464 /nfs/dbraw/zinc/49/74/64/842497464.db2.gz RDHVRYXBWMHSPC-UHFFFAOYSA-N 1 2 306.369 1.106 20 30 DDEDLO CCn1nncc1C[N@@H+]1CC[C@](C)(NC(=O)C#CC(C)(C)C)C1 ZINC001270584188 842688768 /nfs/dbraw/zinc/68/87/68/842688768.db2.gz SHUDOUBSEIHHBW-KRWDZBQOSA-N 1 2 317.437 1.428 20 30 DDEDLO CCn1nncc1C[N@H+]1CC[C@](C)(NC(=O)C#CC(C)(C)C)C1 ZINC001270584188 842688775 /nfs/dbraw/zinc/68/87/75/842688775.db2.gz SHUDOUBSEIHHBW-KRWDZBQOSA-N 1 2 317.437 1.428 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C[C@@H]2CCC(F)(F)C2)C1 ZINC001270659173 842788117 /nfs/dbraw/zinc/78/81/17/842788117.db2.gz VIGFRUWDLSQSDH-STQMWFEESA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C[C@@H]2CCC(F)(F)C2)C1 ZINC001270659173 842788122 /nfs/dbraw/zinc/78/81/22/842788122.db2.gz VIGFRUWDLSQSDH-STQMWFEESA-N 1 2 302.365 1.815 20 30 DDEDLO CC#CC(=O)NC12CC(C(=O)Nc3cccc4[nH+]ccn43)(C1)C2 ZINC001271088607 843197028 /nfs/dbraw/zinc/19/70/28/843197028.db2.gz KDUXRJBMCUAMTI-UHFFFAOYSA-N 1 2 308.341 1.335 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CCC3(CNC(=O)N3)CC2)c1F ZINC001143175932 861399911 /nfs/dbraw/zinc/39/99/11/861399911.db2.gz LSTQKEQBFGCBJF-UHFFFAOYSA-N 1 2 306.316 1.484 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]2C[C@@]21C(=O)Nc1cccc2[nH+]ccn21 ZINC001271212414 843417437 /nfs/dbraw/zinc/41/74/37/843417437.db2.gz RABHTNPVNHKDLI-QFSBIZTOSA-N 1 2 323.356 1.423 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1cocn1 ZINC001272378912 846085484 /nfs/dbraw/zinc/08/54/84/846085484.db2.gz XPHIMEPOWJLXNO-INIZCTEOSA-N 1 2 302.378 1.935 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1cocn1 ZINC001272378912 846085489 /nfs/dbraw/zinc/08/54/89/846085489.db2.gz XPHIMEPOWJLXNO-INIZCTEOSA-N 1 2 302.378 1.935 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234537536 846361447 /nfs/dbraw/zinc/36/14/47/846361447.db2.gz PXOWOBZDQJSACT-AWEZNQCLSA-N 1 2 307.438 1.424 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234537536 846361458 /nfs/dbraw/zinc/36/14/58/846361458.db2.gz PXOWOBZDQJSACT-AWEZNQCLSA-N 1 2 307.438 1.424 20 30 DDEDLO C#CCN1CC[C@]2(CC[N@@H+](Cc3c(O)cc(F)cc3F)C2)C1=O ZINC001272617100 846413312 /nfs/dbraw/zinc/41/33/12/846413312.db2.gz SMYKXZWJUXCISK-KRWDZBQOSA-N 1 2 320.339 1.728 20 30 DDEDLO C#CCN1CC[C@]2(CC[N@H+](Cc3c(O)cc(F)cc3F)C2)C1=O ZINC001272617100 846413313 /nfs/dbraw/zinc/41/33/13/846413313.db2.gz SMYKXZWJUXCISK-KRWDZBQOSA-N 1 2 320.339 1.728 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)CCc2nccn2C)C1 ZINC001409903169 846525017 /nfs/dbraw/zinc/52/50/17/846525017.db2.gz BGYUCVUAIYGDSM-GFCCVEGCSA-N 1 2 310.829 1.542 20 30 DDEDLO Cc1ncccc1C[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107819131 847194496 /nfs/dbraw/zinc/19/44/96/847194496.db2.gz LLASWNAMQOKDGG-SUMWQHHRSA-N 1 2 316.405 1.257 20 30 DDEDLO Cc1ncccc1C[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107819131 847194503 /nfs/dbraw/zinc/19/45/03/847194503.db2.gz LLASWNAMQOKDGG-SUMWQHHRSA-N 1 2 316.405 1.257 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@H+](Cc2nocc2C)CCCO1 ZINC001149646685 861780007 /nfs/dbraw/zinc/78/00/07/861780007.db2.gz PFLBDNCIDIAAAH-OAHLLOKOSA-N 1 2 319.405 1.494 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@@H+](Cc2nocc2C)CCCO1 ZINC001149646685 861780013 /nfs/dbraw/zinc/78/00/13/861780013.db2.gz PFLBDNCIDIAAAH-OAHLLOKOSA-N 1 2 319.405 1.494 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc(C)cc1OC)C2 ZINC001272766386 847397693 /nfs/dbraw/zinc/39/76/93/847397693.db2.gz PWIIBUGRZQLLHQ-UHFFFAOYSA-N 1 2 314.385 1.050 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1csc(C)n1)C2 ZINC001272774985 847411579 /nfs/dbraw/zinc/41/15/79/847411579.db2.gz VBINNBKAYSKNIZ-MRXNPFEDSA-N 1 2 321.446 1.688 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1csc(C)n1)C2 ZINC001272774985 847411587 /nfs/dbraw/zinc/41/15/87/847411587.db2.gz VBINNBKAYSKNIZ-MRXNPFEDSA-N 1 2 321.446 1.688 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2ccc(Cl)cn2)C1=O ZINC001272847358 847544956 /nfs/dbraw/zinc/54/49/56/847544956.db2.gz PBENIOSLFITRBI-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2ccc(Cl)cn2)C1=O ZINC001272847358 847544960 /nfs/dbraw/zinc/54/49/60/847544960.db2.gz PBENIOSLFITRBI-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1COCC[N@@H+]1CCCC1CCC1 ZINC001272860165 847561515 /nfs/dbraw/zinc/56/15/15/847561515.db2.gz YUXFCSASTYDWFI-KRWDZBQOSA-N 1 2 304.434 1.797 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1COCC[N@H+]1CCCC1CCC1 ZINC001272860165 847561522 /nfs/dbraw/zinc/56/15/22/847561522.db2.gz YUXFCSASTYDWFI-KRWDZBQOSA-N 1 2 304.434 1.797 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc3[nH]cnc3c1)C2 ZINC001272862945 847565201 /nfs/dbraw/zinc/56/52/01/847565201.db2.gz RKHSFPXVYJJMAA-UHFFFAOYSA-N 1 2 312.373 1.162 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc3nc[nH]c3c1)C2 ZINC001272862945 847565210 /nfs/dbraw/zinc/56/52/10/847565210.db2.gz RKHSFPXVYJJMAA-UHFFFAOYSA-N 1 2 312.373 1.162 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@@H+](Cc3cc(C)n(C)n3)C2)OCC1=O ZINC001272882212 847588513 /nfs/dbraw/zinc/58/85/13/847588513.db2.gz GDEHAOGHNLEWLW-QGZVFWFLSA-N 1 2 318.421 1.108 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@H+](Cc3cc(C)n(C)n3)C2)OCC1=O ZINC001272882212 847588522 /nfs/dbraw/zinc/58/85/22/847588522.db2.gz GDEHAOGHNLEWLW-QGZVFWFLSA-N 1 2 318.421 1.108 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cc3ccccc3o1)C2 ZINC001272964721 847715905 /nfs/dbraw/zinc/71/59/05/847715905.db2.gz LVPLHQJUCRDLGT-UHFFFAOYSA-N 1 2 310.353 1.479 20 30 DDEDLO C#CCN1CC2(C[NH+](CC3(c4ccccc4)CC3)C2)OCC1=O ZINC001273062320 847852616 /nfs/dbraw/zinc/85/26/16/847852616.db2.gz FLIPRMGYZHMCEL-UHFFFAOYSA-N 1 2 310.397 1.265 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(F)cn2)[C@H](O)C1 ZINC001090209406 848408818 /nfs/dbraw/zinc/40/88/18/848408818.db2.gz IACPQMIHWMEKDZ-WCQYABFASA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(F)cn2)[C@H](O)C1 ZINC001090209406 848408820 /nfs/dbraw/zinc/40/88/20/848408820.db2.gz IACPQMIHWMEKDZ-WCQYABFASA-N 1 2 313.760 1.138 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3[C@@H]2CC[N@H+]3COCCOC)C1 ZINC001273135624 848500565 /nfs/dbraw/zinc/50/05/65/848500565.db2.gz NDPGKKKCUBWUGZ-GJZGRUSLSA-N 1 2 308.422 1.638 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3[C@@H]2CC[N@@H+]3COCCOC)C1 ZINC001273135624 848500573 /nfs/dbraw/zinc/50/05/73/848500573.db2.gz NDPGKKKCUBWUGZ-GJZGRUSLSA-N 1 2 308.422 1.638 20 30 DDEDLO CN(CCC[N@H+](C)Cc1nnc(C2CC2)o1)C(=O)C#CC1CC1 ZINC001327198633 861957932 /nfs/dbraw/zinc/95/79/32/861957932.db2.gz BOUNJXBRBGCPMV-UHFFFAOYSA-N 1 2 316.405 1.641 20 30 DDEDLO CN(CCC[N@@H+](C)Cc1nnc(C2CC2)o1)C(=O)C#CC1CC1 ZINC001327198633 861957942 /nfs/dbraw/zinc/95/79/42/861957942.db2.gz BOUNJXBRBGCPMV-UHFFFAOYSA-N 1 2 316.405 1.641 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cccc(OCC)c1)C2 ZINC001273304501 849376102 /nfs/dbraw/zinc/37/61/02/849376102.db2.gz WUKFRJFTZSELRX-UHFFFAOYSA-N 1 2 316.401 1.685 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2Cc2cnc(SC)nc2)C1=O ZINC001273384880 849774250 /nfs/dbraw/zinc/77/42/50/849774250.db2.gz UZEYZXGVNOJIGN-INIZCTEOSA-N 1 2 318.446 1.951 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2Cc2cnc(SC)nc2)C1=O ZINC001273384880 849774255 /nfs/dbraw/zinc/77/42/55/849774255.db2.gz UZEYZXGVNOJIGN-INIZCTEOSA-N 1 2 318.446 1.951 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1cccnc1F ZINC001273394722 849839768 /nfs/dbraw/zinc/83/97/68/849839768.db2.gz PHSGHBNOXCLPCP-QGZVFWFLSA-N 1 2 301.365 1.811 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1cccnc1F ZINC001273394722 849839777 /nfs/dbraw/zinc/83/97/77/849839777.db2.gz PHSGHBNOXCLPCP-QGZVFWFLSA-N 1 2 301.365 1.811 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCOC2(C[NH+](CCCOC)C2)C1 ZINC001327326316 862064308 /nfs/dbraw/zinc/06/43/08/862064308.db2.gz NEDMNAVVRZLQIE-UHFFFAOYSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3cccc4n[nH]cc43)C2)OCC1=O ZINC001273409273 850025093 /nfs/dbraw/zinc/02/50/93/850025093.db2.gz HKAHZPCUOCWXNM-UHFFFAOYSA-N 1 2 312.373 1.162 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CC13CC3)O2 ZINC001327365064 862104473 /nfs/dbraw/zinc/10/44/73/862104473.db2.gz QJMCIEZTKLMSNK-CABCVRRESA-N 1 2 320.433 1.339 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)Cn1cc(Cl)cn1)O2 ZINC001273668231 851203301 /nfs/dbraw/zinc/20/33/01/851203301.db2.gz AWQLEFISSLMXPW-ZDUSSCGKSA-N 1 2 324.812 1.072 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001280641077 851210093 /nfs/dbraw/zinc/21/00/93/851210093.db2.gz NVSWAVUIKZHROK-NSHDSACASA-N 1 2 318.421 1.436 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cc3cc[nH]c3cn1)C2 ZINC001273800652 851359934 /nfs/dbraw/zinc/35/99/34/851359934.db2.gz SDWIGLFXEBQWFS-UHFFFAOYSA-N 1 2 312.373 1.162 20 30 DDEDLO CC(C)n1ncnc1C[NH2+]C/C=C/CNC(=O)C#CC1CC1 ZINC001274014842 851849523 /nfs/dbraw/zinc/84/95/23/851849523.db2.gz DZETZIHNNRIHBN-ONEGZZNKSA-N 1 2 301.394 1.034 20 30 DDEDLO N#CCC[NH+]1CCC2(C[C@@H]2C(=O)NCC2CCOCC2)CC1 ZINC001274031921 851871107 /nfs/dbraw/zinc/87/11/07/851871107.db2.gz CFLWFPHIRBEPEJ-OAHLLOKOSA-N 1 2 305.422 1.545 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cc(O)ccc1C)C2 ZINC001274110754 851937026 /nfs/dbraw/zinc/93/70/26/851937026.db2.gz UCHJRPKZVJJJJB-UHFFFAOYSA-N 1 2 302.374 1.300 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2Cc2c(N)ccnc2F)C1=O ZINC001274117297 851945749 /nfs/dbraw/zinc/94/57/49/851945749.db2.gz JJYCWRBAHAMZSA-INIZCTEOSA-N 1 2 304.369 1.556 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2Cc2c(N)ccnc2F)C1=O ZINC001274117297 851945751 /nfs/dbraw/zinc/94/57/51/851945751.db2.gz JJYCWRBAHAMZSA-INIZCTEOSA-N 1 2 304.369 1.556 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](CC1OC=CC1=S)C2 ZINC001274177928 852006462 /nfs/dbraw/zinc/00/64/62/852006462.db2.gz LEHJRWDNASUQJI-UHFFFAOYSA-N 1 2 308.403 1.558 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2Cc2cnc(OC)cn2)C1=O ZINC001274233635 852080935 /nfs/dbraw/zinc/08/09/35/852080935.db2.gz REROZIVQAMDIGD-INIZCTEOSA-N 1 2 302.378 1.238 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2Cc2cnc(OC)cn2)C1=O ZINC001274233635 852080948 /nfs/dbraw/zinc/08/09/48/852080948.db2.gz REROZIVQAMDIGD-INIZCTEOSA-N 1 2 302.378 1.238 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@](C)(F)CCCC)CC2)C1 ZINC001274474797 852309346 /nfs/dbraw/zinc/30/93/46/852309346.db2.gz WRIHEHBWVDNBPR-SFHVURJKSA-N 1 2 323.456 1.757 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1C[C@]2(F)CN(CC(C)C)C(=O)[C@]2(F)C1 ZINC001274627940 852460991 /nfs/dbraw/zinc/46/09/91/852460991.db2.gz PGLRAXGEHPKOKC-CWRNSKLLSA-N 1 2 316.392 1.544 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1C[C@]2(F)CN(CC(C)C)C(=O)[C@]2(F)C1 ZINC001274627940 852460994 /nfs/dbraw/zinc/46/09/94/852460994.db2.gz PGLRAXGEHPKOKC-CWRNSKLLSA-N 1 2 316.392 1.544 20 30 DDEDLO CN1C(=O)C[C@]2(CCC[N@H+](Cc3cc(C#N)ccc3O)C2)C1=O ZINC001274666384 852501925 /nfs/dbraw/zinc/50/19/25/852501925.db2.gz GAQMQSIWEJQFQM-KRWDZBQOSA-N 1 2 313.357 1.235 20 30 DDEDLO CN1C(=O)C[C@]2(CCC[N@@H+](Cc3cc(C#N)ccc3O)C2)C1=O ZINC001274666384 852501933 /nfs/dbraw/zinc/50/19/33/852501933.db2.gz GAQMQSIWEJQFQM-KRWDZBQOSA-N 1 2 313.357 1.235 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3[C@H](C2)OCCN3Cc2ccc[nH]2)cn1 ZINC001275296307 852962679 /nfs/dbraw/zinc/96/26/79/852962679.db2.gz ZMHORASOIYJCEK-MSOLQXFVSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3[C@H](C2)OCCN3Cc2ccc[nH]2)cn1 ZINC001275296307 852962681 /nfs/dbraw/zinc/96/26/81/852962681.db2.gz ZMHORASOIYJCEK-MSOLQXFVSA-N 1 2 323.400 1.367 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)C[C@@H](C)C(F)(F)F ZINC001323292748 853076232 /nfs/dbraw/zinc/07/62/32/853076232.db2.gz HQIQQZPCEGCWGV-VXGBXAGGSA-N 1 2 306.328 1.415 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)C[C@@H](C)C(F)(F)F ZINC001323292748 853076241 /nfs/dbraw/zinc/07/62/41/853076241.db2.gz HQIQQZPCEGCWGV-VXGBXAGGSA-N 1 2 306.328 1.415 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NC[C@@H](C)N(C)C(=O)[C@@H](C)C#N)c[nH+]1 ZINC001411587745 853417198 /nfs/dbraw/zinc/41/71/98/853417198.db2.gz ASISTONLSBQSFQ-WCQYABFASA-N 1 2 319.409 1.049 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C[N@@H+](C)Cc1c(C)nnn1CC ZINC001275622180 853445000 /nfs/dbraw/zinc/44/50/00/853445000.db2.gz LUTARODDFRELDE-CQSZACIVSA-N 1 2 319.453 1.737 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C[N@H+](C)Cc1c(C)nnn1CC ZINC001275622180 853445005 /nfs/dbraw/zinc/44/50/05/853445005.db2.gz LUTARODDFRELDE-CQSZACIVSA-N 1 2 319.453 1.737 20 30 DDEDLO Cn1cc(CN2CC3(C2)C[NH+](Cc2ccccn2)C3)cc1C#N ZINC001275873864 853893669 /nfs/dbraw/zinc/89/36/69/853893669.db2.gz SLMUCTIEEUMSDY-UHFFFAOYSA-N 1 2 307.401 1.610 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4ccccn4)C3)C2)cc1C#N ZINC001275873864 853893672 /nfs/dbraw/zinc/89/36/72/853893672.db2.gz SLMUCTIEEUMSDY-UHFFFAOYSA-N 1 2 307.401 1.610 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C[C@@H]2CCC(C)(C)CO2)C1 ZINC001276069214 854699322 /nfs/dbraw/zinc/69/93/22/854699322.db2.gz JZKPIEXOOIGEFH-HNNXBMFYSA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[NH2+]C1(C)CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001327991702 862615674 /nfs/dbraw/zinc/61/56/74/862615674.db2.gz ZTZKSEMDSSPJSQ-NSHDSACASA-N 1 2 324.318 1.507 20 30 DDEDLO COCC#CC[NH2+]C1(C)CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001327991702 862615679 /nfs/dbraw/zinc/61/56/79/862615679.db2.gz ZTZKSEMDSSPJSQ-NSHDSACASA-N 1 2 324.318 1.507 20 30 DDEDLO N#Cc1ccc(NCC(=O)NCc2[nH]c3c([nH+]2)CCCC3)cc1 ZINC001412678167 854928846 /nfs/dbraw/zinc/92/88/46/854928846.db2.gz MNIAHRZMWAIDLP-UHFFFAOYSA-N 1 2 309.373 1.888 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cc(C)nn1C)C2 ZINC001095754119 855317866 /nfs/dbraw/zinc/31/78/66/855317866.db2.gz PORLBJIBCODYDP-SNPRPXQTSA-N 1 2 322.840 1.745 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cc(C)nn1C)C2 ZINC001095754119 855317872 /nfs/dbraw/zinc/31/78/72/855317872.db2.gz PORLBJIBCODYDP-SNPRPXQTSA-N 1 2 322.840 1.745 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2[nH]c3c([nH+]2)CCCC3)nc1 ZINC001413466420 856851404 /nfs/dbraw/zinc/85/14/04/856851404.db2.gz YNQRBVLHMZZRJI-UHFFFAOYSA-N 1 2 317.374 1.034 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2coc(C)n2)C1 ZINC001073578242 858457323 /nfs/dbraw/zinc/45/73/23/858457323.db2.gz HJODDKLIWKHWPX-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2coc(C)n2)C1 ZINC001073578242 858457325 /nfs/dbraw/zinc/45/73/25/858457325.db2.gz HJODDKLIWKHWPX-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H]2C[C@@H]2C(F)F)C1 ZINC001073587971 858465215 /nfs/dbraw/zinc/46/52/15/858465215.db2.gz XIHZJCMTGXGLRF-SRVKXCTJSA-N 1 2 322.783 1.847 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H]2C[C@@H]2C(F)F)C1 ZINC001073587971 858465220 /nfs/dbraw/zinc/46/52/20/858465220.db2.gz XIHZJCMTGXGLRF-SRVKXCTJSA-N 1 2 322.783 1.847 20 30 DDEDLO C=CCCC(=O)N1C[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C[C@@H]1C ZINC001123191175 859123100 /nfs/dbraw/zinc/12/31/00/859123100.db2.gz IUARICFDFSFOKA-WCQYABFASA-N 1 2 318.425 1.614 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)NCc2cc[nH+]c(NCC)c2)C1 ZINC001123724162 859379435 /nfs/dbraw/zinc/37/94/35/859379435.db2.gz FQVAVUOSPQFQQM-CQSZACIVSA-N 1 2 304.394 2.000 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2C[C@H](F)C[C@@H](CO)C2)cc1OC ZINC001138343969 860063481 /nfs/dbraw/zinc/06/34/81/860063481.db2.gz TZUYACUBBGAYED-HUUCEWRRSA-N 1 2 307.365 1.860 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2C[C@H](F)C[C@@H](CO)C2)cc1OC ZINC001138343969 860063491 /nfs/dbraw/zinc/06/34/91/860063491.db2.gz TZUYACUBBGAYED-HUUCEWRRSA-N 1 2 307.365 1.860 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2C[C@H](F)C[C@H](CO)C2)cc1OC ZINC001138343970 860065350 /nfs/dbraw/zinc/06/53/50/860065350.db2.gz TZUYACUBBGAYED-LSDHHAIUSA-N 1 2 307.365 1.860 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2C[C@H](F)C[C@H](CO)C2)cc1OC ZINC001138343970 860065356 /nfs/dbraw/zinc/06/53/56/860065356.db2.gz TZUYACUBBGAYED-LSDHHAIUSA-N 1 2 307.365 1.860 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@@H+](Cc1ncccc1O)CC2 ZINC001138393108 860088713 /nfs/dbraw/zinc/08/87/13/860088713.db2.gz RCVPKLTYCOWMDC-UHFFFAOYSA-N 1 2 314.389 1.782 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@H+](Cc1ncccc1O)CC2 ZINC001138393108 860088720 /nfs/dbraw/zinc/08/87/20/860088720.db2.gz RCVPKLTYCOWMDC-UHFFFAOYSA-N 1 2 314.389 1.782 20 30 DDEDLO C[C@H]1C[NH+](C2CN(Cc3ccc(C#N)cc3F)C2)C[C@H](C)O1 ZINC001140140724 860582701 /nfs/dbraw/zinc/58/27/01/860582701.db2.gz JDIRVKGXDBFUNQ-STQMWFEESA-N 1 2 303.381 1.991 20 30 DDEDLO CCn1cc2c(n1)C[N@@H+](Cc1ccc(C#N)nc1)C[C@@H]2COC ZINC001140541244 860653193 /nfs/dbraw/zinc/65/31/93/860653193.db2.gz ASZYQJIYNZHGHI-CQSZACIVSA-N 1 2 311.389 1.915 20 30 DDEDLO CCn1cc2c(n1)C[N@H+](Cc1ccc(C#N)nc1)C[C@@H]2COC ZINC001140541244 860653195 /nfs/dbraw/zinc/65/31/95/860653195.db2.gz ASZYQJIYNZHGHI-CQSZACIVSA-N 1 2 311.389 1.915 20 30 DDEDLO COc1cc(N2CCN(Cc3ccc(C#N)nc3)CC2)cc[nH+]1 ZINC001140547068 860654145 /nfs/dbraw/zinc/65/41/45/860654145.db2.gz HEOAJRDANLPFNS-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[N@@H+]1CCc2nc(C(=O)NCc3ncc(C#N)s3)sc2C1 ZINC001154224484 860893330 /nfs/dbraw/zinc/89/33/30/860893330.db2.gz UDFYNBAIPHNGDZ-UHFFFAOYSA-N 1 2 319.415 1.389 20 30 DDEDLO C[N@H+]1CCc2nc(C(=O)NCc3ncc(C#N)s3)sc2C1 ZINC001154224484 860893345 /nfs/dbraw/zinc/89/33/45/860893345.db2.gz UDFYNBAIPHNGDZ-UHFFFAOYSA-N 1 2 319.415 1.389 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1ncnn1CC ZINC001325877758 860912095 /nfs/dbraw/zinc/91/20/95/860912095.db2.gz CVWXBVAKRFRSCV-GJZGRUSLSA-N 1 2 317.437 1.830 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1ncnn1CC ZINC001325877758 860912110 /nfs/dbraw/zinc/91/21/10/860912110.db2.gz CVWXBVAKRFRSCV-GJZGRUSLSA-N 1 2 317.437 1.830 20 30 DDEDLO C=CC[N@@H+](C)CCN(CC)C(=O)CS(=O)(=O)C1CCCC1 ZINC001156668084 863248140 /nfs/dbraw/zinc/24/81/40/863248140.db2.gz KJFDPWUCDCBPDA-UHFFFAOYSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CC[N@H+](C)CCN(CC)C(=O)CS(=O)(=O)C1CCCC1 ZINC001156668084 863248147 /nfs/dbraw/zinc/24/81/47/863248147.db2.gz KJFDPWUCDCBPDA-UHFFFAOYSA-N 1 2 316.467 1.310 20 30 DDEDLO CCc1noc(C[NH2+][C@@H](C)[C@@H](C)NC(=O)C#CC(C)(C)C)n1 ZINC001329040244 863439815 /nfs/dbraw/zinc/43/98/15/863439815.db2.gz XGJAYJAYSVMTEF-NWDGAFQWSA-N 1 2 306.410 1.664 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nonc2C)CCCCC1 ZINC001153009660 863651086 /nfs/dbraw/zinc/65/10/86/863651086.db2.gz OYZLGOAHKHWPIJ-UHFFFAOYSA-N 1 2 304.394 1.558 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)c(C)o1 ZINC001153012715 863653581 /nfs/dbraw/zinc/65/35/81/863653581.db2.gz PMTSOKPBOWBDFO-SJKOYZFVSA-N 1 2 323.437 1.945 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)c(C)o1 ZINC001153012715 863653584 /nfs/dbraw/zinc/65/35/84/863653584.db2.gz PMTSOKPBOWBDFO-SJKOYZFVSA-N 1 2 323.437 1.945 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@@H]2CCC=CCCC2)C1 ZINC001329669506 863780939 /nfs/dbraw/zinc/78/09/39/863780939.db2.gz UTTWJFDLXJICRX-MRXNPFEDSA-N 1 2 304.434 1.699 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccc(C)n1 ZINC001153326672 863826099 /nfs/dbraw/zinc/82/60/99/863826099.db2.gz CQBFOPQOKBBGKJ-HUUCEWRRSA-N 1 2 318.421 1.150 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccc(C)n1 ZINC001153326672 863826101 /nfs/dbraw/zinc/82/61/01/863826101.db2.gz CQBFOPQOKBBGKJ-HUUCEWRRSA-N 1 2 318.421 1.150 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)C1C[NH+](Cc2ccc(F)cn2)C1 ZINC001329998683 864007007 /nfs/dbraw/zinc/00/70/07/864007007.db2.gz UULYRUHIUCTLRG-CYBMUJFWSA-N 1 2 319.380 1.197 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](C)C1C[NH+](Cc2cnnn2CC)C1 ZINC001330046897 864046294 /nfs/dbraw/zinc/04/62/94/864046294.db2.gz JFSRQUZLEKQPKJ-DYVFJYSZSA-N 1 2 319.453 1.837 20 30 DDEDLO C=C(C)CCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1cnnn1C ZINC001158019178 864359888 /nfs/dbraw/zinc/35/98/88/864359888.db2.gz SUTJZVZMWSKTEO-OAHLLOKOSA-N 1 2 319.453 1.984 20 30 DDEDLO C=C(C)CCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1cnnn1C ZINC001158019178 864359905 /nfs/dbraw/zinc/35/99/05/864359905.db2.gz SUTJZVZMWSKTEO-OAHLLOKOSA-N 1 2 319.453 1.984 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2ccccc2C1(C)C ZINC001330893098 864682627 /nfs/dbraw/zinc/68/26/27/864682627.db2.gz SGQSHILSXGMILB-HNNXBMFYSA-N 1 2 316.401 1.753 20 30 DDEDLO N#CC[C@H](C(=O)N1CC[NH+]([C@H]2CCOC2)CC1)c1ccccc1 ZINC001361543695 881861699 /nfs/dbraw/zinc/86/16/99/881861699.db2.gz AFYMHKDBTJWZEO-IRXDYDNUSA-N 1 2 313.401 1.617 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](O)C[N@@H+](C)[C@H](C)c1nc(C)no1 ZINC001332617040 865980878 /nfs/dbraw/zinc/98/08/78/865980878.db2.gz CBVYHDJBOFLTDV-DGCLKSJQSA-N 1 2 310.398 1.156 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](O)C[N@H+](C)[C@H](C)c1nc(C)no1 ZINC001332617040 865980886 /nfs/dbraw/zinc/98/08/86/865980886.db2.gz CBVYHDJBOFLTDV-DGCLKSJQSA-N 1 2 310.398 1.156 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccnc(Br)c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225614105 881938026 /nfs/dbraw/zinc/93/80/26/881938026.db2.gz WYTDBDHIRIASRX-NJFCNUMCSA-N 1 2 311.179 1.835 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccnc(Br)c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225614105 881938049 /nfs/dbraw/zinc/93/80/49/881938049.db2.gz WYTDBDHIRIASRX-NJFCNUMCSA-N 1 2 311.179 1.835 20 30 DDEDLO CN(CC#N)CCC1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001319885223 866364955 /nfs/dbraw/zinc/36/49/55/866364955.db2.gz JHRBURSLLIACAR-UHFFFAOYSA-N 1 2 303.410 1.357 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)CC[N@H+](C)Cc1nc(C)cs1 ZINC001319991723 866420172 /nfs/dbraw/zinc/42/01/72/866420172.db2.gz SENOMCAYIHQJIS-OAHLLOKOSA-N 1 2 311.451 1.669 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)CC[N@@H+](C)Cc1nc(C)cs1 ZINC001319991723 866420175 /nfs/dbraw/zinc/42/01/75/866420175.db2.gz SENOMCAYIHQJIS-OAHLLOKOSA-N 1 2 311.451 1.669 20 30 DDEDLO CN(CC[N@H+](C)CC#Cc1ccccc1)C(=O)c1ncccc1O ZINC001319991615 866420388 /nfs/dbraw/zinc/42/03/88/866420388.db2.gz PIOXMZWNPWABOF-UHFFFAOYSA-N 1 2 323.396 1.843 20 30 DDEDLO CN(CC[N@@H+](C)CC#Cc1ccccc1)C(=O)c1ncccc1O ZINC001319991615 866420392 /nfs/dbraw/zinc/42/03/92/866420392.db2.gz PIOXMZWNPWABOF-UHFFFAOYSA-N 1 2 323.396 1.843 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CC(=O)N2CC(=O)Nc3ccccc32)C1 ZINC001320038466 866440903 /nfs/dbraw/zinc/44/09/03/866440903.db2.gz SMCVMAVHXRQYRB-ZDUSSCGKSA-N 1 2 315.373 1.249 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CC(=O)N2CC(=O)Nc3ccccc32)C1 ZINC001320038466 866440923 /nfs/dbraw/zinc/44/09/23/866440923.db2.gz SMCVMAVHXRQYRB-ZDUSSCGKSA-N 1 2 315.373 1.249 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001323286295 866500381 /nfs/dbraw/zinc/50/03/81/866500381.db2.gz DTTUMWLNHTWJKX-HOTGVXAUSA-N 1 2 321.465 1.531 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001323286295 866500404 /nfs/dbraw/zinc/50/04/04/866500404.db2.gz DTTUMWLNHTWJKX-HOTGVXAUSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C(C)(C)CC=C ZINC001323309286 866519036 /nfs/dbraw/zinc/51/90/36/866519036.db2.gz NYQWRUDSTCDVSA-KBPBESRZSA-N 1 2 307.438 1.470 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C(C)(C)CC=C ZINC001323309286 866519048 /nfs/dbraw/zinc/51/90/48/866519048.db2.gz NYQWRUDSTCDVSA-KBPBESRZSA-N 1 2 307.438 1.470 20 30 DDEDLO N#Cc1nc(Cl)c(NC2CN(c3cccc[nH+]3)C2)nc1C#N ZINC001161320245 866525134 /nfs/dbraw/zinc/52/51/34/866525134.db2.gz ANSGVCOMQONODV-UHFFFAOYSA-N 1 2 311.736 1.569 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCCN(C(=O)C3(F)C[NH2+]C3)C2)cc1 ZINC001161323939 866529580 /nfs/dbraw/zinc/52/95/80/866529580.db2.gz IFVHPWCBNWYCBC-AWEZNQCLSA-N 1 2 303.337 1.240 20 30 DDEDLO N#CCc1cccnc1NS(=O)(=O)c1ccc(C[NH3+])cc1 ZINC001161746976 866958649 /nfs/dbraw/zinc/95/86/49/866958649.db2.gz SWRFUBOGJMYFOE-UHFFFAOYSA-N 1 2 302.359 1.407 20 30 DDEDLO N#CCc1cccc(NS(=O)(=O)c2ccc(C[NH3+])cc2)n1 ZINC001161748874 866960693 /nfs/dbraw/zinc/96/06/93/866960693.db2.gz VZJNCKMGSSMCNG-UHFFFAOYSA-N 1 2 302.359 1.407 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)CC[NH2+]Cc2cnsn2)cc1 ZINC001320809159 867044846 /nfs/dbraw/zinc/04/48/46/867044846.db2.gz REVGDXBPAQSYEI-UHFFFAOYSA-N 1 2 314.414 1.771 20 30 DDEDLO C=CCCC1(C(=O)N[C@H](C)[C@@H](C)NC(=O)Cn2cc[nH+]c2)CC1 ZINC001333934652 867168387 /nfs/dbraw/zinc/16/83/87/867168387.db2.gz NJRYENHVQCZGOG-ZIAGYGMSSA-N 1 2 318.421 1.639 20 30 DDEDLO C=CCCC1(C(=O)N[C@H](C)[C@H](C)NC(=O)Cn2cc[nH+]c2)CC1 ZINC001333934650 867168551 /nfs/dbraw/zinc/16/85/51/867168551.db2.gz NJRYENHVQCZGOG-UONOGXRCSA-N 1 2 318.421 1.639 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001324587008 867378433 /nfs/dbraw/zinc/37/84/33/867378433.db2.gz COOATUSFMHDRLD-IAQYHMDHSA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001324587008 867378444 /nfs/dbraw/zinc/37/84/44/867378444.db2.gz COOATUSFMHDRLD-IAQYHMDHSA-N 1 2 308.382 1.222 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@](O)(CNC(=O)C#CC(C)(C)C)C2)co1 ZINC001325097742 867767641 /nfs/dbraw/zinc/76/76/41/867767641.db2.gz OIUDPNJCZJNKDR-KRWDZBQOSA-N 1 2 319.405 1.086 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@](O)(CNC(=O)C#CC(C)(C)C)C2)co1 ZINC001325097742 867767651 /nfs/dbraw/zinc/76/76/51/867767651.db2.gz OIUDPNJCZJNKDR-KRWDZBQOSA-N 1 2 319.405 1.086 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)N[C@@](CCC)(C2CC2)C1=O ZINC001325277575 867909765 /nfs/dbraw/zinc/90/97/65/867909765.db2.gz HPKVYJFZKGFLCB-KRWDZBQOSA-N 1 2 303.406 1.790 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)N[C@@](CCC)(C2CC2)C1=O ZINC001325277575 867909779 /nfs/dbraw/zinc/90/97/79/867909779.db2.gz HPKVYJFZKGFLCB-KRWDZBQOSA-N 1 2 303.406 1.790 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[NH2+][C@H](C)c2noc(C)n2)cc1 ZINC001163729042 868662752 /nfs/dbraw/zinc/66/27/52/868662752.db2.gz LCSVFJZBMFEELR-GFCCVEGCSA-N 1 2 312.373 1.830 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(C)nc1Cl ZINC001337068658 869302904 /nfs/dbraw/zinc/30/29/04/869302904.db2.gz HLEJGDPWSBVZAN-NSHDSACASA-N 1 2 311.769 1.106 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC001337649204 869579983 /nfs/dbraw/zinc/57/99/83/869579983.db2.gz IGZWORWDVYAIOO-GFCCVEGCSA-N 1 2 305.334 1.810 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC001337649204 869579986 /nfs/dbraw/zinc/57/99/86/869579986.db2.gz IGZWORWDVYAIOO-GFCCVEGCSA-N 1 2 305.334 1.810 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001338307637 869913871 /nfs/dbraw/zinc/91/38/71/869913871.db2.gz ULDXDUZXOSZPHT-TZMCWYRMSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)nc2)C1 ZINC001316972315 870026549 /nfs/dbraw/zinc/02/65/49/870026549.db2.gz RFYKTBGEUWKFHB-CZUORRHYSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001316972315 870026565 /nfs/dbraw/zinc/02/65/65/870026565.db2.gz RFYKTBGEUWKFHB-CZUORRHYSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)c2oc(C)cc2C)C1 ZINC001316974045 870033818 /nfs/dbraw/zinc/03/38/18/870033818.db2.gz PSCHIEYMDAOCRS-KGLIPLIRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)c2oc(C)cc2C)C1 ZINC001316974045 870033829 /nfs/dbraw/zinc/03/38/29/870033829.db2.gz PSCHIEYMDAOCRS-KGLIPLIRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2cc(F)ccc2C)C1 ZINC001316981233 870054657 /nfs/dbraw/zinc/05/46/57/870054657.db2.gz NYRCULKELOUUGO-AWEZNQCLSA-N 1 2 319.380 1.240 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2cc(F)ccc2C)C1 ZINC001316981233 870054669 /nfs/dbraw/zinc/05/46/69/870054669.db2.gz NYRCULKELOUUGO-AWEZNQCLSA-N 1 2 319.380 1.240 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@@H+](CC(=O)NCCCC)C2)CCC1 ZINC001316985047 870067803 /nfs/dbraw/zinc/06/78/03/870067803.db2.gz CLBOPNDACAWXHL-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@H+](CC(=O)NCCCC)C2)CCC1 ZINC001316985047 870067812 /nfs/dbraw/zinc/06/78/12/870067812.db2.gz CLBOPNDACAWXHL-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO Cn1ccc(C[N@@H+]2CC[C@@H](NC(=O)CC#Cc3ccccc3)C2)n1 ZINC001316994385 870094674 /nfs/dbraw/zinc/09/46/74/870094674.db2.gz HWGCWFRVIVLXIY-QGZVFWFLSA-N 1 2 322.412 1.552 20 30 DDEDLO Cn1ccc(C[N@H+]2CC[C@@H](NC(=O)CC#Cc3ccccc3)C2)n1 ZINC001316994385 870094684 /nfs/dbraw/zinc/09/46/84/870094684.db2.gz HWGCWFRVIVLXIY-QGZVFWFLSA-N 1 2 322.412 1.552 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC[C@H]1OC ZINC001317048630 870163941 /nfs/dbraw/zinc/16/39/41/870163941.db2.gz YPXASOCKDDRZIO-YYIAUSFCSA-N 1 2 320.433 1.127 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC[C@H]1OC ZINC001317048630 870163950 /nfs/dbraw/zinc/16/39/50/870163950.db2.gz YPXASOCKDDRZIO-YYIAUSFCSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCCCC)n2CC=C)CC1 ZINC001338795962 870171685 /nfs/dbraw/zinc/17/16/85/870171685.db2.gz WPRICNNVSJUUJB-UHFFFAOYSA-N 1 2 301.438 1.952 20 30 DDEDLO C#CCCCC(=O)NC[C@H](NC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001297961969 870216740 /nfs/dbraw/zinc/21/67/40/870216740.db2.gz LHCRFFRPQSATMA-AWEZNQCLSA-N 1 2 304.394 1.013 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C)[C@@H]1CC ZINC001338896460 870228854 /nfs/dbraw/zinc/22/88/54/870228854.db2.gz WLGRPROFCSRMLZ-QPSCCSFWSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C)[C@@H]1CC ZINC001338896460 870228867 /nfs/dbraw/zinc/22/88/67/870228867.db2.gz WLGRPROFCSRMLZ-QPSCCSFWSA-N 1 2 319.453 1.826 20 30 DDEDLO N#Cc1nccnc1N1CCN(c2ccc(Cl)c(N)[nH+]2)CC1 ZINC001166921996 870376268 /nfs/dbraw/zinc/37/62/68/870376268.db2.gz XOZJQUUICUDOKI-UHFFFAOYSA-N 1 2 315.768 1.305 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]([N@H+](C)Cc2ccon2)C1 ZINC001317373577 870729131 /nfs/dbraw/zinc/72/91/31/870729131.db2.gz PKSGBTZOLPMCBP-HOCLYGCPSA-N 1 2 307.394 1.425 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]([N@@H+](C)Cc2ccon2)C1 ZINC001317373577 870729137 /nfs/dbraw/zinc/72/91/37/870729137.db2.gz PKSGBTZOLPMCBP-HOCLYGCPSA-N 1 2 307.394 1.425 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](C)CC(=O)N[C@@H]1CCCC[C@H]1C ZINC001317458123 870871979 /nfs/dbraw/zinc/87/19/79/870871979.db2.gz CFVATDFRMYQUIM-HUUCEWRRSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](C)CC(=O)N[C@@H]1CCCC[C@H]1C ZINC001317458123 870871995 /nfs/dbraw/zinc/87/19/95/870871995.db2.gz CFVATDFRMYQUIM-HUUCEWRRSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[N@@H+](C)Cc1c(C)nnn1CC ZINC001317463084 870881084 /nfs/dbraw/zinc/88/10/84/870881084.db2.gz RXBXTPNIQHXZND-UHFFFAOYSA-N 1 2 307.442 1.757 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[N@H+](C)Cc1c(C)nnn1CC ZINC001317463084 870881094 /nfs/dbraw/zinc/88/10/94/870881094.db2.gz RXBXTPNIQHXZND-UHFFFAOYSA-N 1 2 307.442 1.757 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](CC)Cc1ccns1 ZINC001317488154 870907584 /nfs/dbraw/zinc/90/75/84/870907584.db2.gz UJJSRZLZIXCYHF-KGLIPLIRSA-N 1 2 309.435 1.672 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](CC)Cc1ccns1 ZINC001317488154 870907588 /nfs/dbraw/zinc/90/75/88/870907588.db2.gz UJJSRZLZIXCYHF-KGLIPLIRSA-N 1 2 309.435 1.672 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H]1CNC(=O)c1ccns1 ZINC001317503040 870934463 /nfs/dbraw/zinc/93/44/63/870934463.db2.gz VXTXHCZQFQWORU-RYUDHWBXSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@H]1CNC(=O)c1ccns1 ZINC001317503040 870934481 /nfs/dbraw/zinc/93/44/81/870934481.db2.gz VXTXHCZQFQWORU-RYUDHWBXSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1CCO[C@H](C)C1 ZINC001340297337 871015757 /nfs/dbraw/zinc/01/57/57/871015757.db2.gz NZFCEODWAPFOCT-CQSZACIVSA-N 1 2 305.426 1.675 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@H+](CC(=O)N(C)CC2CC2)C1 ZINC001317930134 871632225 /nfs/dbraw/zinc/63/22/25/871632225.db2.gz HDUNGYIXBWGTTD-MRXNPFEDSA-N 1 2 319.449 1.095 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N(C)CC2CC2)C1 ZINC001317930134 871632235 /nfs/dbraw/zinc/63/22/35/871632235.db2.gz HDUNGYIXBWGTTD-MRXNPFEDSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCCCC)C1 ZINC001317968587 871658841 /nfs/dbraw/zinc/65/88/41/871658841.db2.gz BUISSNOAFFJOMP-HNNXBMFYSA-N 1 2 307.438 1.287 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCCCC)C1 ZINC001317968587 871658845 /nfs/dbraw/zinc/65/88/45/871658845.db2.gz BUISSNOAFFJOMP-HNNXBMFYSA-N 1 2 307.438 1.287 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cnc3n2CCCCC3)C1 ZINC001318038123 871704551 /nfs/dbraw/zinc/70/45/51/871704551.db2.gz MSJGJJAUKFOGGB-UHFFFAOYSA-N 1 2 318.421 1.226 20 30 DDEDLO O=C(Cc1ncc[nH]1)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001318165670 871792400 /nfs/dbraw/zinc/79/24/00/871792400.db2.gz WOFVYBMQTXHVKV-UHFFFAOYSA-N 1 2 308.385 1.052 20 30 DDEDLO CCCc1[nH]ccc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#CCOC ZINC001318209586 871831979 /nfs/dbraw/zinc/83/19/79/871831979.db2.gz OLVGERHVIKUNEH-GJZGRUSLSA-N 1 2 315.417 1.516 20 30 DDEDLO CCCc1[nH]ccc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC#CCOC ZINC001318209586 871831996 /nfs/dbraw/zinc/83/19/96/871831996.db2.gz OLVGERHVIKUNEH-GJZGRUSLSA-N 1 2 315.417 1.516 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](N(C)C(=O)c2c(C)coc2C)C1 ZINC001318400689 871996845 /nfs/dbraw/zinc/99/68/45/871996845.db2.gz UKIACFJPCKZADF-CQSZACIVSA-N 1 2 319.405 1.345 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](N(C)C(=O)c2c(C)coc2C)C1 ZINC001318400689 871996857 /nfs/dbraw/zinc/99/68/57/871996857.db2.gz UKIACFJPCKZADF-CQSZACIVSA-N 1 2 319.405 1.345 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H](N(C)C(=O)CS(=O)(=O)C(C)(C)C)C1 ZINC001318441988 872041298 /nfs/dbraw/zinc/04/12/98/872041298.db2.gz IVBNEOYBTNWAMU-CYBMUJFWSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)CS(=O)(=O)C(C)(C)C)C1 ZINC001318441988 872041321 /nfs/dbraw/zinc/04/13/21/872041321.db2.gz IVBNEOYBTNWAMU-CYBMUJFWSA-N 1 2 316.467 1.309 20 30 DDEDLO Cc1csc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)CSCC#N)C2)n1 ZINC001206090522 872047352 /nfs/dbraw/zinc/04/73/52/872047352.db2.gz YWNQLPNHLCXBMD-ZYHUDNBSSA-N 1 2 324.475 1.645 20 30 DDEDLO Cc1csc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)CSCC#N)C2)n1 ZINC001206090522 872047374 /nfs/dbraw/zinc/04/73/74/872047374.db2.gz YWNQLPNHLCXBMD-ZYHUDNBSSA-N 1 2 324.475 1.645 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)CSCC#N)C2)c(C)o1 ZINC001206096764 872054636 /nfs/dbraw/zinc/05/46/36/872054636.db2.gz ZZZOCBVAICCGPX-ZWNOBZJWSA-N 1 2 322.434 1.485 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)CSCC#N)C2)c(C)o1 ZINC001206096764 872054655 /nfs/dbraw/zinc/05/46/55/872054655.db2.gz ZZZOCBVAICCGPX-ZWNOBZJWSA-N 1 2 322.434 1.485 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)NCC#C[C@H]2CCCCO2)C1 ZINC001342590738 872283099 /nfs/dbraw/zinc/28/30/99/872283099.db2.gz UUJJCYUPLKTBTF-LSDHHAIUSA-N 1 2 316.405 1.492 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2ccncc2OC)C1 ZINC001206500512 872386126 /nfs/dbraw/zinc/38/61/26/872386126.db2.gz YPDZKLYYFDQZKT-AWEZNQCLSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2ccncc2OC)C1 ZINC001206500512 872386144 /nfs/dbraw/zinc/38/61/44/872386144.db2.gz YPDZKLYYFDQZKT-AWEZNQCLSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001316942867 872429142 /nfs/dbraw/zinc/42/91/42/872429142.db2.gz CVYAEMURGXTWGK-OAHLLOKOSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001316942867 872429147 /nfs/dbraw/zinc/42/91/47/872429147.db2.gz CVYAEMURGXTWGK-OAHLLOKOSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@@H+]([C@H](C)C(N)=O)C2)CCCC1 ZINC001316955238 872462711 /nfs/dbraw/zinc/46/27/11/872462711.db2.gz ZMMLNOSHRSSIJG-ZIAGYGMSSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@H+]([C@H](C)C(N)=O)C2)CCCC1 ZINC001316955238 872462722 /nfs/dbraw/zinc/46/27/22/872462722.db2.gz ZMMLNOSHRSSIJG-ZIAGYGMSSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ncc(C(C)C)o2)C[C@H]1C ZINC001206619836 872481380 /nfs/dbraw/zinc/48/13/80/872481380.db2.gz IGZPHIJFYOBHQT-ZIAGYGMSSA-N 1 2 321.421 1.937 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C(C)C)o2)C[C@H]1C ZINC001206619836 872481389 /nfs/dbraw/zinc/48/13/89/872481389.db2.gz IGZPHIJFYOBHQT-ZIAGYGMSSA-N 1 2 321.421 1.937 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001319319231 872565864 /nfs/dbraw/zinc/56/58/64/872565864.db2.gz PIAFNQZYWFSAHO-VXGBXAGGSA-N 1 2 308.344 1.968 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001319319231 872565877 /nfs/dbraw/zinc/56/58/77/872565877.db2.gz PIAFNQZYWFSAHO-VXGBXAGGSA-N 1 2 308.344 1.968 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccc(F)cn2)C[C@H]1NC(=O)C#CC1CC1 ZINC001206948389 872829068 /nfs/dbraw/zinc/82/90/68/872829068.db2.gz IRVOIMGIIILMAT-MLGOLLRUSA-N 1 2 301.365 1.571 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccc(F)cn2)C[C@H]1NC(=O)C#CC1CC1 ZINC001206948389 872829078 /nfs/dbraw/zinc/82/90/78/872829078.db2.gz IRVOIMGIIILMAT-MLGOLLRUSA-N 1 2 301.365 1.571 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](Cc3ccon3)C[C@H]2C)CCC1 ZINC001207510451 873342842 /nfs/dbraw/zinc/34/28/42/873342842.db2.gz QPHZWQVRRFCLEK-UKRRQHHQSA-N 1 2 301.390 1.805 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccon3)C[C@H]2C)CCC1 ZINC001207510451 873342833 /nfs/dbraw/zinc/34/28/33/873342833.db2.gz QPHZWQVRRFCLEK-UKRRQHHQSA-N 1 2 301.390 1.805 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC(C)(C)C)CC1(C)C ZINC001276561499 873917489 /nfs/dbraw/zinc/91/74/89/873917489.db2.gz NMRCLZFUTBDQRT-CQSZACIVSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](CC(=O)NCC(C)(C)C)CC1(C)C ZINC001276561499 873917491 /nfs/dbraw/zinc/91/74/91/873917491.db2.gz NMRCLZFUTBDQRT-CQSZACIVSA-N 1 2 323.481 1.942 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CCC[C@@H](NCC#N)C1)n1cc[nH+]c1 ZINC001208386342 874094987 /nfs/dbraw/zinc/09/49/87/874094987.db2.gz BLWQQVCSDDSSNV-HUUCEWRRSA-N 1 2 303.410 1.574 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208388850 874097966 /nfs/dbraw/zinc/09/79/66/874097966.db2.gz QNGCVFPRECIUAT-SQWLQELKSA-N 1 2 304.438 1.959 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208388850 874097982 /nfs/dbraw/zinc/09/79/82/874097982.db2.gz QNGCVFPRECIUAT-SQWLQELKSA-N 1 2 304.438 1.959 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2nccn2C)C[C@H]1C ZINC001208392667 874103337 /nfs/dbraw/zinc/10/33/37/874103337.db2.gz APZCJEOIGDVTMP-QLFBSQMISA-N 1 2 304.438 1.959 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2nccn2C)C[C@H]1C ZINC001208392667 874103340 /nfs/dbraw/zinc/10/33/40/874103340.db2.gz APZCJEOIGDVTMP-QLFBSQMISA-N 1 2 304.438 1.959 20 30 DDEDLO C=CCN(CC[N@@H+]1CCC[C@H](S(C)(=O)=O)C1)C(=O)OCC ZINC001209020166 874607112 /nfs/dbraw/zinc/60/71/12/874607112.db2.gz CJWITBLYIFYZKB-ZDUSSCGKSA-N 1 2 318.439 1.140 20 30 DDEDLO C=CCN(CC[N@H+]1CCC[C@H](S(C)(=O)=O)C1)C(=O)OCC ZINC001209020166 874607118 /nfs/dbraw/zinc/60/71/18/874607118.db2.gz CJWITBLYIFYZKB-ZDUSSCGKSA-N 1 2 318.439 1.140 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)C2(CCOC)CC2)C1 ZINC001378523170 875043367 /nfs/dbraw/zinc/04/33/67/875043367.db2.gz YMOGEQXZMIXPFU-LBPRGKRZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)CCc2ncccn2)C1 ZINC001378557219 875124972 /nfs/dbraw/zinc/12/49/72/875124972.db2.gz NEWCDUDEDFFIIT-LBPRGKRZSA-N 1 2 308.813 1.598 20 30 DDEDLO N#Cc1ccnc(C[N@@H+]2C[C@@H]3OCCN(Cc4ccc[nH]4)[C@H]3C2)c1 ZINC001276687125 875473854 /nfs/dbraw/zinc/47/38/54/875473854.db2.gz MXLHHUWLIORMRJ-ROUUACIJSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccnc(C[N@H+]2C[C@@H]3OCCN(Cc4ccc[nH]4)[C@H]3C2)c1 ZINC001276687125 875473858 /nfs/dbraw/zinc/47/38/58/875473858.db2.gz MXLHHUWLIORMRJ-ROUUACIJSA-N 1 2 323.400 1.367 20 30 DDEDLO COc1cncc(C[NH+]2CCC(NC(=O)C#CC(C)C)CC2)n1 ZINC001227266368 882943903 /nfs/dbraw/zinc/94/39/03/882943903.db2.gz YZQABAXPESHYES-UHFFFAOYSA-N 1 2 316.405 1.225 20 30 DDEDLO Cc1cc(C[NH+]2CCC(NC(=O)C#CC(C)(C)C)CC2)nn1C ZINC001227281155 882950856 /nfs/dbraw/zinc/95/08/56/882950856.db2.gz NRWQWOWCCLGLKL-UHFFFAOYSA-N 1 2 316.449 1.859 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)CCC1 ZINC001350599478 875779931 /nfs/dbraw/zinc/77/99/31/875779931.db2.gz NKHJCLBOBZUAQT-CYBMUJFWSA-N 1 2 316.405 1.416 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCCC(C)C)[C@H](OC)C1 ZINC001213479218 875947887 /nfs/dbraw/zinc/94/78/87/875947887.db2.gz KXQJKKLYURQVGD-HZPDHXFCSA-N 1 2 310.438 1.278 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCCC(C)C)[C@H](OC)C1 ZINC001213479218 875947892 /nfs/dbraw/zinc/94/78/92/875947892.db2.gz KXQJKKLYURQVGD-HZPDHXFCSA-N 1 2 310.438 1.278 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)C(C)(C)N1CCOCC1 ZINC001379111478 876431548 /nfs/dbraw/zinc/43/15/48/876431548.db2.gz WVSGCJHAJSVQBN-UHFFFAOYSA-N 1 2 317.861 1.240 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)C(C)(C)N1CCOCC1 ZINC001379111478 876431555 /nfs/dbraw/zinc/43/15/55/876431555.db2.gz WVSGCJHAJSVQBN-UHFFFAOYSA-N 1 2 317.861 1.240 20 30 DDEDLO C=CCn1c(N(C)CC(C)(C)C)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001352062683 876579759 /nfs/dbraw/zinc/57/97/59/876579759.db2.gz KXJYGPYFYVJOQM-SUMWQHHRSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(C)CC(C)(C)C)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001352062683 876579766 /nfs/dbraw/zinc/57/97/66/876579766.db2.gz KXJYGPYFYVJOQM-SUMWQHHRSA-N 1 2 321.469 1.858 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cnccn2)cc1C#N ZINC001276734597 876854684 /nfs/dbraw/zinc/85/46/84/876854684.db2.gz PWFZHRNSPSIYGV-CALCHBBNSA-N 1 2 322.416 1.536 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cnccn2)cc1C#N ZINC001276734597 876854692 /nfs/dbraw/zinc/85/46/92/876854692.db2.gz PWFZHRNSPSIYGV-CALCHBBNSA-N 1 2 322.416 1.536 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2csnn2)C1 ZINC001216929696 877094530 /nfs/dbraw/zinc/09/45/30/877094530.db2.gz CEOOOIRWXGMPCC-NQBHXWOUSA-N 1 2 310.423 1.066 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc[nH+]c1 ZINC001353316489 877248406 /nfs/dbraw/zinc/24/84/06/877248406.db2.gz OUURKGFIQWBGJV-GASCZTMLSA-N 1 2 316.405 1.441 20 30 DDEDLO C=C/C(C)=C\CC(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001218488766 877499436 /nfs/dbraw/zinc/49/94/36/877499436.db2.gz OWXIWLOOYAKKKZ-XJBCQWDLSA-N 1 2 318.417 1.070 20 30 DDEDLO C=C/C(C)=C\CC(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001218488766 877499440 /nfs/dbraw/zinc/49/94/40/877499440.db2.gz OWXIWLOOYAKKKZ-XJBCQWDLSA-N 1 2 318.417 1.070 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)C[C@@H]1CCN(C)C1=O ZINC001379685094 877738156 /nfs/dbraw/zinc/73/81/56/877738156.db2.gz PDYPXPWCTYLNLU-RYUDHWBXSA-N 1 2 301.818 1.044 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)C[C@@H]1CCN(C)C1=O ZINC001379685094 877738168 /nfs/dbraw/zinc/73/81/68/877738168.db2.gz PDYPXPWCTYLNLU-RYUDHWBXSA-N 1 2 301.818 1.044 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001287503187 912269741 /nfs/dbraw/zinc/26/97/41/912269741.db2.gz WZEUFERJQVYDSM-AWEZNQCLSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2CCc2ccccn2)CC1 ZINC001300701678 878180521 /nfs/dbraw/zinc/18/05/21/878180521.db2.gz ZELGOXCTUKUYQB-UHFFFAOYSA-N 1 2 324.432 1.233 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H](NC(=O)/C=C/C2CC2)[C@@H](O)C1 ZINC001219428411 878204824 /nfs/dbraw/zinc/20/48/24/878204824.db2.gz MRTNRHOHVSAMSR-PWHGLLLSSA-N 1 2 311.385 1.186 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H](NC(=O)/C=C/C2CC2)[C@@H](O)C1 ZINC001219428411 878204855 /nfs/dbraw/zinc/20/48/55/878204855.db2.gz MRTNRHOHVSAMSR-PWHGLLLSSA-N 1 2 311.385 1.186 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N(C)CCC(=O)NC ZINC001355941265 878787858 /nfs/dbraw/zinc/78/78/58/878787858.db2.gz CVFHCGHWZAOPOM-UHFFFAOYSA-N 1 2 320.441 1.022 20 30 DDEDLO C=CCN(CCOC)c1nnc(Cc2[nH+]ccn2C)n1CC=C ZINC001356153111 878891423 /nfs/dbraw/zinc/89/14/23/878891423.db2.gz VHTPVNVXXZNEHV-UHFFFAOYSA-N 1 2 316.409 1.427 20 30 DDEDLO CCCCCCC[N@H+]1C[C@@H](NC(=O)c2[nH]ncc2F)[C@@H](O)C1 ZINC001220294961 878894104 /nfs/dbraw/zinc/89/41/04/878894104.db2.gz BZEYIILDSMOAKA-OLZOCXBDSA-N 1 2 312.389 1.294 20 30 DDEDLO CCCCCCC[N@@H+]1C[C@@H](NC(=O)c2[nH]ncc2F)[C@@H](O)C1 ZINC001220294961 878894113 /nfs/dbraw/zinc/89/41/13/878894113.db2.gz BZEYIILDSMOAKA-OLZOCXBDSA-N 1 2 312.389 1.294 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001220622680 879137792 /nfs/dbraw/zinc/13/77/92/879137792.db2.gz APQVGUVRICZJDM-VYDXJSESSA-N 1 2 310.438 1.014 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001220622680 879137803 /nfs/dbraw/zinc/13/78/03/879137803.db2.gz APQVGUVRICZJDM-VYDXJSESSA-N 1 2 310.438 1.014 20 30 DDEDLO C=CCCC1(C(=O)N2CC(CNC(=O)Cn3cc[nH+]c3)C2)CC1 ZINC001356820632 879428014 /nfs/dbraw/zinc/42/80/14/879428014.db2.gz JVOGBTNQYQIFOB-UHFFFAOYSA-N 1 2 316.405 1.204 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)CCc2cccc(F)c2)[C@@H](O)C1 ZINC001221025251 879454207 /nfs/dbraw/zinc/45/42/07/879454207.db2.gz PPRFJBVEZJTQLZ-XKQJLSEDSA-N 1 2 318.392 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)CCc2cccc(F)c2)[C@@H](O)C1 ZINC001221025251 879454218 /nfs/dbraw/zinc/45/42/18/879454218.db2.gz PPRFJBVEZJTQLZ-XKQJLSEDSA-N 1 2 318.392 1.189 20 30 DDEDLO CC[C@@H](CNC(=O)C[C@@H](C)n1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001356887924 879546636 /nfs/dbraw/zinc/54/66/36/879546636.db2.gz WZATWUSLUVZSHV-HIFRSBDPSA-N 1 2 316.405 1.259 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3CN(C(=O)CSCC#N)[C@@H]3C2)on1 ZINC001221289461 879655608 /nfs/dbraw/zinc/65/56/08/879655608.db2.gz PZYLMJBZLPPZFY-TZMCWYRMSA-N 1 2 320.418 1.273 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3CN(C(=O)CSCC#N)[C@@H]3C2)on1 ZINC001221289461 879655620 /nfs/dbraw/zinc/65/56/20/879655620.db2.gz PZYLMJBZLPPZFY-TZMCWYRMSA-N 1 2 320.418 1.273 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1CNC(=O)CCc1[nH]cc[nH+]1 ZINC001356996471 879671491 /nfs/dbraw/zinc/67/14/91/879671491.db2.gz DUYQRWJAJYEJHD-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCOCC(F)F)[C@@H]2C1 ZINC001221555430 879942183 /nfs/dbraw/zinc/94/21/83/879942183.db2.gz IHIPXQYLHSQKKE-VXGBXAGGSA-N 1 2 322.783 1.943 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCOCC(F)F)[C@@H]2C1 ZINC001221555430 879942196 /nfs/dbraw/zinc/94/21/96/879942196.db2.gz IHIPXQYLHSQKKE-VXGBXAGGSA-N 1 2 322.783 1.943 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCCC)C[C@H]21 ZINC001221583101 879959165 /nfs/dbraw/zinc/95/91/65/879959165.db2.gz FGKDNHIMSDGISG-HZPDHXFCSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCCC)C[C@H]21 ZINC001221583101 879959175 /nfs/dbraw/zinc/95/91/75/879959175.db2.gz FGKDNHIMSDGISG-HZPDHXFCSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3C)C[C@H]21 ZINC001221760800 880064351 /nfs/dbraw/zinc/06/43/51/880064351.db2.gz QKKCGESFRVNLGK-IIAWOOMASA-N 1 2 317.437 1.450 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3C)C[C@H]21 ZINC001221760800 880064366 /nfs/dbraw/zinc/06/43/66/880064366.db2.gz QKKCGESFRVNLGK-IIAWOOMASA-N 1 2 317.437 1.450 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C(C)(C)CCC)[C@@H]2C1 ZINC001221783429 880079260 /nfs/dbraw/zinc/07/92/60/880079260.db2.gz LWSXXJYJPGTJDG-HUUCEWRRSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(C)CCC)[C@@H]2C1 ZINC001221783429 880079274 /nfs/dbraw/zinc/07/92/74/880079274.db2.gz LWSXXJYJPGTJDG-HUUCEWRRSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC(C)C)C[C@H]21 ZINC001221839594 880114063 /nfs/dbraw/zinc/11/40/63/880114063.db2.gz ZRPNUDJJZBFCON-HUUCEWRRSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC(C)C)C[C@H]21 ZINC001221839594 880114077 /nfs/dbraw/zinc/11/40/77/880114077.db2.gz ZRPNUDJJZBFCON-HUUCEWRRSA-N 1 2 321.465 1.646 20 30 DDEDLO C#CCCCCC(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001222914934 880745174 /nfs/dbraw/zinc/74/51/74/880745174.db2.gz VLFPBFGHQSLDOM-UHFFFAOYSA-N 1 2 304.394 1.591 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001276851814 880753366 /nfs/dbraw/zinc/75/33/66/880753366.db2.gz FDAKOBYHPGVWIC-KGLIPLIRSA-N 1 2 305.378 1.020 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001276851814 880753379 /nfs/dbraw/zinc/75/33/79/880753379.db2.gz FDAKOBYHPGVWIC-KGLIPLIRSA-N 1 2 305.378 1.020 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1nncc2ccccc21 ZINC001276884447 880933470 /nfs/dbraw/zinc/93/34/70/880933470.db2.gz SLSPDKOUFMTLCL-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1nncc2ccccc21 ZINC001276884447 880933482 /nfs/dbraw/zinc/93/34/82/880933482.db2.gz SLSPDKOUFMTLCL-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@H+]2[C@H]2CCN(C)C2=O)CCC1 ZINC001276923753 881112376 /nfs/dbraw/zinc/11/23/76/881112376.db2.gz MYPHWBLGXPTDCU-KBPBESRZSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@@H+]2[C@H]2CCN(C)C2=O)CCC1 ZINC001276923753 881112380 /nfs/dbraw/zinc/11/23/80/881112380.db2.gz MYPHWBLGXPTDCU-KBPBESRZSA-N 1 2 305.422 1.154 20 30 DDEDLO N#Cc1cccc(OCC[NH+]2CCN(Cc3ccno3)CC2)c1 ZINC001414041180 881173096 /nfs/dbraw/zinc/17/30/96/881173096.db2.gz WVKOQPFBEGHOAX-UHFFFAOYSA-N 1 2 312.373 1.743 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224166218 881252451 /nfs/dbraw/zinc/25/24/51/881252451.db2.gz ZLULPBMMBMHKKP-ZIAGYGMSSA-N 1 2 319.453 1.602 20 30 DDEDLO CCOC(=O)c1ccc(O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001228107761 883340750 /nfs/dbraw/zinc/34/07/50/883340750.db2.gz YYZCOXBGTBZYQB-FDAWXEHDSA-N 1 2 319.357 1.560 20 30 DDEDLO CCOC(=O)c1ccc(O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001228107761 883340765 /nfs/dbraw/zinc/34/07/65/883340765.db2.gz YYZCOXBGTBZYQB-FDAWXEHDSA-N 1 2 319.357 1.560 20 30 DDEDLO CCC[C@@H](C)C(=O)NC[C@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001382181083 883589299 /nfs/dbraw/zinc/58/92/99/883589299.db2.gz HLEYIMSSGOJRDZ-MLGOLLRUSA-N 1 2 321.396 1.700 20 30 DDEDLO N#CC1(NC(=O)[C@]23C[C@H]2CC[N@H+]3Cc2ncccc2O)CCC1 ZINC001277318974 883600903 /nfs/dbraw/zinc/60/09/03/883600903.db2.gz XQYMKCBYEPWCRA-PXAZEXFGSA-N 1 2 312.373 1.314 20 30 DDEDLO N#CC1(NC(=O)[C@]23C[C@H]2CC[N@@H+]3Cc2ncccc2O)CCC1 ZINC001277318974 883600909 /nfs/dbraw/zinc/60/09/09/883600909.db2.gz XQYMKCBYEPWCRA-PXAZEXFGSA-N 1 2 312.373 1.314 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001228748520 883652908 /nfs/dbraw/zinc/65/29/08/883652908.db2.gz LOOLERIAHYOCSL-UONOGXRCSA-N 1 2 319.453 1.837 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001228801114 883677240 /nfs/dbraw/zinc/67/72/40/883677240.db2.gz JIYSFEYXVCZOKC-ZDUSSCGKSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+]([C@@H](C)c2nc(C)no2)CC1 ZINC001230407433 884476218 /nfs/dbraw/zinc/47/62/18/884476218.db2.gz QDOOKFPUEPFBLW-LBPRGKRZSA-N 1 2 322.409 1.612 20 30 DDEDLO COc1ccc2nc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@H+]4C)oc2c1 ZINC001230465769 884500430 /nfs/dbraw/zinc/50/04/30/884500430.db2.gz SBKWIFZBSBMCLJ-HJTUNCCVSA-N 1 2 302.330 1.828 20 30 DDEDLO COc1ccc2nc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@@H+]4C)oc2c1 ZINC001230465769 884500442 /nfs/dbraw/zinc/50/04/42/884500442.db2.gz SBKWIFZBSBMCLJ-HJTUNCCVSA-N 1 2 302.330 1.828 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1 ZINC001230651377 884699690 /nfs/dbraw/zinc/69/96/90/884699690.db2.gz NJTQRDUEFOHVKV-HOCLYGCPSA-N 1 2 315.417 1.524 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1 ZINC001230651377 884699700 /nfs/dbraw/zinc/69/97/00/884699700.db2.gz NJTQRDUEFOHVKV-HOCLYGCPSA-N 1 2 315.417 1.524 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(C)c1 ZINC001230654911 884705435 /nfs/dbraw/zinc/70/54/35/884705435.db2.gz VIMCLXXISXWUGG-INIZCTEOSA-N 1 2 315.417 1.444 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(C)c1 ZINC001230654911 884705447 /nfs/dbraw/zinc/70/54/47/884705447.db2.gz VIMCLXXISXWUGG-INIZCTEOSA-N 1 2 315.417 1.444 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665910 884719689 /nfs/dbraw/zinc/71/96/89/884719689.db2.gz ZCBPKMSWYHLMTD-ZBFHGGJFSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665910 884719696 /nfs/dbraw/zinc/71/96/96/884719696.db2.gz ZCBPKMSWYHLMTD-ZBFHGGJFSA-N 1 2 319.449 1.237 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770902 884859818 /nfs/dbraw/zinc/85/98/18/884859818.db2.gz WJFUWQFDMGNEPD-ZIAGYGMSSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770902 884859833 /nfs/dbraw/zinc/85/98/33/884859833.db2.gz WJFUWQFDMGNEPD-ZIAGYGMSSA-N 1 2 309.454 1.646 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230937885 885063493 /nfs/dbraw/zinc/06/34/93/885063493.db2.gz LZOMVNMWKUJJAE-SWLSCSKDSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230937885 885063506 /nfs/dbraw/zinc/06/35/06/885063506.db2.gz LZOMVNMWKUJJAE-SWLSCSKDSA-N 1 2 319.380 1.765 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001231094018 885215698 /nfs/dbraw/zinc/21/56/98/885215698.db2.gz DVEFCYRBAUUMPZ-GDBMZVCRSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001231094018 885215717 /nfs/dbraw/zinc/21/57/17/885215717.db2.gz DVEFCYRBAUUMPZ-GDBMZVCRSA-N 1 2 320.437 1.434 20 30 DDEDLO CC(C)C#CC(=O)NCCOCC[N@H+](C)[C@@H](C)c1ncccn1 ZINC001277488274 885252047 /nfs/dbraw/zinc/25/20/47/885252047.db2.gz ZUPJOLIGBICNNV-HNNXBMFYSA-N 1 2 318.421 1.262 20 30 DDEDLO CC(C)C#CC(=O)NCCOCC[N@@H+](C)[C@@H](C)c1ncccn1 ZINC001277488274 885252062 /nfs/dbraw/zinc/25/20/62/885252062.db2.gz ZUPJOLIGBICNNV-HNNXBMFYSA-N 1 2 318.421 1.262 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(OC)ccn1 ZINC001231225117 885396765 /nfs/dbraw/zinc/39/67/65/885396765.db2.gz AGBPCENESCRSNR-HNNXBMFYSA-N 1 2 319.405 1.326 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(OC)ccn1 ZINC001231225117 885396779 /nfs/dbraw/zinc/39/67/79/885396779.db2.gz AGBPCENESCRSNR-HNNXBMFYSA-N 1 2 319.405 1.326 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)c1cnc(C)cn1 ZINC001231241822 885421699 /nfs/dbraw/zinc/42/16/99/885421699.db2.gz ZSUWFUOURCYFRX-LSDHHAIUSA-N 1 2 318.421 1.581 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)c1cnc(C)cn1 ZINC001231241822 885421702 /nfs/dbraw/zinc/42/17/02/885421702.db2.gz ZSUWFUOURCYFRX-LSDHHAIUSA-N 1 2 318.421 1.581 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231294130 885476393 /nfs/dbraw/zinc/47/63/93/885476393.db2.gz VASSPBMIAVXPSE-YPMHNXCESA-N 1 2 304.394 1.738 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231294130 885476411 /nfs/dbraw/zinc/47/64/11/885476411.db2.gz VASSPBMIAVXPSE-YPMHNXCESA-N 1 2 304.394 1.738 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001231413268 885641920 /nfs/dbraw/zinc/64/19/20/885641920.db2.gz PPNYIDVPXJUDNI-LJIGWXMPSA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001231413268 885641936 /nfs/dbraw/zinc/64/19/36/885641936.db2.gz PPNYIDVPXJUDNI-LJIGWXMPSA-N 1 2 319.449 1.258 20 30 DDEDLO N#Cc1ccc(CCC[N@H+]2Cc3ccnn3CC[C@@H]2C(N)=O)cc1 ZINC001277589573 885962230 /nfs/dbraw/zinc/96/22/30/885962230.db2.gz NGWPGQQFJYKSTF-QGZVFWFLSA-N 1 2 323.400 1.447 20 30 DDEDLO N#Cc1ccc(CCC[N@@H+]2Cc3ccnn3CC[C@@H]2C(N)=O)cc1 ZINC001277589573 885962242 /nfs/dbraw/zinc/96/22/42/885962242.db2.gz NGWPGQQFJYKSTF-QGZVFWFLSA-N 1 2 323.400 1.447 20 30 DDEDLO C[N@H+](CC(=O)NCc1cccc(C#N)c1)Cc1cccc(=O)[nH]1 ZINC001363328429 886033841 /nfs/dbraw/zinc/03/38/41/886033841.db2.gz HFAWPWXDKQHRTH-UHFFFAOYSA-N 1 2 310.357 1.407 20 30 DDEDLO C[N@@H+](CC(=O)NCc1cccc(C#N)c1)Cc1cccc(=O)[nH]1 ZINC001363328429 886033858 /nfs/dbraw/zinc/03/38/58/886033858.db2.gz HFAWPWXDKQHRTH-UHFFFAOYSA-N 1 2 310.357 1.407 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CC[C@@H]2C[C@@]21C(=O)N1CC(CC#N)C1 ZINC001277603004 886035917 /nfs/dbraw/zinc/03/59/17/886035917.db2.gz XWRLLVJIRPCZKB-CJNGLKHVSA-N 1 2 300.362 1.320 20 30 DDEDLO Cc1ncoc1C[N@H+]1CC[C@@H]2C[C@@]21C(=O)N1CC(CC#N)C1 ZINC001277603004 886035929 /nfs/dbraw/zinc/03/59/29/886035929.db2.gz XWRLLVJIRPCZKB-CJNGLKHVSA-N 1 2 300.362 1.320 20 30 DDEDLO CCN(C(=O)CCn1cc[nH+]c1)[C@H](C)CNC(=O)C#CC1CC1 ZINC001288618028 913008880 /nfs/dbraw/zinc/00/88/80/913008880.db2.gz LSENQQMSZMTOHV-CQSZACIVSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2[nH]nc3c2CCC3)C1 ZINC001232430452 886361090 /nfs/dbraw/zinc/36/10/90/886361090.db2.gz APXTUKFMBDBQGS-GFCCVEGCSA-N 1 2 304.394 1.775 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2[nH]nc3c2CCC3)C1 ZINC001232430452 886361112 /nfs/dbraw/zinc/36/11/12/886361112.db2.gz APXTUKFMBDBQGS-GFCCVEGCSA-N 1 2 304.394 1.775 20 30 DDEDLO N#Cc1ccnc(C[N@H+]2CCC[C@@H](NC(=O)c3cccnc3)C2)c1 ZINC001232481367 886422129 /nfs/dbraw/zinc/42/21/29/886422129.db2.gz DIWWUJZDLAEOKN-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccnc(C[N@@H+]2CCC[C@@H](NC(=O)c3cccnc3)C2)c1 ZINC001232481367 886422141 /nfs/dbraw/zinc/42/21/41/886422141.db2.gz DIWWUJZDLAEOKN-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H](CC)c2c(C)noc2C)C1 ZINC001277671364 886425757 /nfs/dbraw/zinc/42/57/57/886425757.db2.gz SIQLPWRRQPDEOV-OAHLLOKOSA-N 1 2 303.406 1.951 20 30 DDEDLO CN(C)C(=O)[C@@H]1C[C@@H]2[C@@H](CC[N@@H+]2Cc2cc(C#N)ccc2O)O1 ZINC001232674947 886527500 /nfs/dbraw/zinc/52/75/00/886527500.db2.gz HNQUJTYUXOAZNV-BMFZPTHFSA-N 1 2 315.373 1.084 20 30 DDEDLO CN(C)C(=O)[C@@H]1C[C@@H]2[C@@H](CC[N@H+]2Cc2cc(C#N)ccc2O)O1 ZINC001232674947 886527515 /nfs/dbraw/zinc/52/75/15/886527515.db2.gz HNQUJTYUXOAZNV-BMFZPTHFSA-N 1 2 315.373 1.084 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)[C@H](C[NH2+]Cc1nnn(C)n1)C1CC1 ZINC001277702525 886543173 /nfs/dbraw/zinc/54/31/73/886543173.db2.gz KWYMVKGDAGNZPQ-CYBMUJFWSA-N 1 2 320.441 1.139 20 30 DDEDLO N#Cc1cncc(N2C[C@H]3OCC[N@H+](Cc4ccccc4)[C@H]3C2)n1 ZINC001363744829 887124683 /nfs/dbraw/zinc/12/46/83/887124683.db2.gz ROBVPKNFGLJZRQ-DLBZAZTESA-N 1 2 321.384 1.438 20 30 DDEDLO N#Cc1cncc(N2C[C@H]3OCC[N@@H+](Cc4ccccc4)[C@H]3C2)n1 ZINC001363744829 887124690 /nfs/dbraw/zinc/12/46/90/887124690.db2.gz ROBVPKNFGLJZRQ-DLBZAZTESA-N 1 2 321.384 1.438 20 30 DDEDLO C[C@@H](C(=O)N1CCc2c(C#N)c(N)sc2C1)n1cc[nH+]c1 ZINC001363814358 887293762 /nfs/dbraw/zinc/29/37/62/887293762.db2.gz RPKRKLLDTQKTSB-VIFPVBQESA-N 1 2 301.375 1.544 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NCCC ZINC001233762062 887296817 /nfs/dbraw/zinc/29/68/17/887296817.db2.gz QAPQEJJOEABZBY-HNNXBMFYSA-N 1 2 307.438 1.239 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NCCC ZINC001233762062 887296836 /nfs/dbraw/zinc/29/68/36/887296836.db2.gz QAPQEJJOEABZBY-HNNXBMFYSA-N 1 2 307.438 1.239 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCC=C ZINC001233801003 887334994 /nfs/dbraw/zinc/33/49/94/887334994.db2.gz LOHWSPZYQVBVBF-HOTGVXAUSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCC=C ZINC001233801003 887335010 /nfs/dbraw/zinc/33/50/10/887335010.db2.gz LOHWSPZYQVBVBF-HOTGVXAUSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)ns1 ZINC001233976527 887514688 /nfs/dbraw/zinc/51/46/88/887514688.db2.gz GLYDWODYJBHYOC-BBRMVZONSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)ns1 ZINC001233976527 887514698 /nfs/dbraw/zinc/51/46/98/887514698.db2.gz GLYDWODYJBHYOC-BBRMVZONSA-N 1 2 323.462 1.811 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)CC(C)(C)O ZINC001234004113 887542167 /nfs/dbraw/zinc/54/21/67/887542167.db2.gz SHJOGVJYRALFJG-KRWDZBQOSA-N 1 2 314.429 1.732 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)CC(C)(C)O ZINC001234004113 887542184 /nfs/dbraw/zinc/54/21/84/887542184.db2.gz SHJOGVJYRALFJG-KRWDZBQOSA-N 1 2 314.429 1.732 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nc(Cl)nc4cc[nH]c43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234068707 887615740 /nfs/dbraw/zinc/61/57/40/887615740.db2.gz QMXLRMKCCHGDIV-MOGLMSHVSA-N 1 2 306.753 1.603 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nc(Cl)nc4cc[nH]c43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234068707 887615755 /nfs/dbraw/zinc/61/57/55/887615755.db2.gz QMXLRMKCCHGDIV-MOGLMSHVSA-N 1 2 306.753 1.603 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)cn1 ZINC001234177805 887714790 /nfs/dbraw/zinc/71/47/90/887714790.db2.gz FJFVDNJNBVNZNC-INIZCTEOSA-N 1 2 313.401 1.536 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)cn1 ZINC001234177805 887714803 /nfs/dbraw/zinc/71/48/03/887714803.db2.gz FJFVDNJNBVNZNC-INIZCTEOSA-N 1 2 313.401 1.536 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccccn1 ZINC001234215632 887751146 /nfs/dbraw/zinc/75/11/46/887751146.db2.gz NSXVLDTZZWAVON-GDBMZVCRSA-N 1 2 301.390 1.153 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccccn1 ZINC001234215632 887751162 /nfs/dbraw/zinc/75/11/62/887751162.db2.gz NSXVLDTZZWAVON-GDBMZVCRSA-N 1 2 301.390 1.153 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214787 887754126 /nfs/dbraw/zinc/75/41/26/887754126.db2.gz FBNHIXQEBCLEKC-HIFRSBDPSA-N 1 2 319.449 1.236 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214787 887754141 /nfs/dbraw/zinc/75/41/41/887754141.db2.gz FBNHIXQEBCLEKC-HIFRSBDPSA-N 1 2 319.449 1.236 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)C ZINC001234510231 888036468 /nfs/dbraw/zinc/03/64/68/888036468.db2.gz VJYDDRHFYCBGML-OAHLLOKOSA-N 1 2 307.438 1.376 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)C ZINC001234510231 888036478 /nfs/dbraw/zinc/03/64/78/888036478.db2.gz VJYDDRHFYCBGML-OAHLLOKOSA-N 1 2 307.438 1.376 20 30 DDEDLO C[C@H]([C@H](C)S(C)(=O)=O)[N@H+](C)C[C@@H](O)c1cccc(C#N)c1 ZINC001364347439 888431059 /nfs/dbraw/zinc/43/10/59/888431059.db2.gz QEMJMGLWCNRRJZ-TYNCELHUSA-N 1 2 310.419 1.345 20 30 DDEDLO C[C@H]([C@H](C)S(C)(=O)=O)[N@@H+](C)C[C@@H](O)c1cccc(C#N)c1 ZINC001364347439 888431065 /nfs/dbraw/zinc/43/10/65/888431065.db2.gz QEMJMGLWCNRRJZ-TYNCELHUSA-N 1 2 310.419 1.345 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nc(CC2CC2)no1 ZINC001235684325 888879271 /nfs/dbraw/zinc/87/92/71/888879271.db2.gz XCYOLMWSIUFANJ-UHFFFAOYSA-N 1 2 322.409 1.163 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nc(CC2CC2)no1 ZINC001235684325 888879275 /nfs/dbraw/zinc/87/92/75/888879275.db2.gz XCYOLMWSIUFANJ-UHFFFAOYSA-N 1 2 322.409 1.163 20 30 DDEDLO Cn1c[nH+]c(CCNS(=O)(=O)c2ccc(Cl)cc2C#N)c1 ZINC001364884842 889606927 /nfs/dbraw/zinc/60/69/27/889606927.db2.gz QRLJVCQXNKDMDS-UHFFFAOYSA-N 1 2 324.793 1.466 20 30 DDEDLO Cc1nc(NC2CC[NH+]([C@H]3CCOC3=O)CC2)ccc1C#N ZINC001365226921 890391875 /nfs/dbraw/zinc/39/18/75/890391875.db2.gz UTRJUENECHDFHQ-AWEZNQCLSA-N 1 2 300.362 1.454 20 30 DDEDLO C=CCN(CC=C)C(=O)N1CC[C@H]2C[N@@H+](COCCOC)C[C@H]21 ZINC001278296703 890656119 /nfs/dbraw/zinc/65/61/19/890656119.db2.gz FFSIEYPRVDMBOX-JKSUJKDBSA-N 1 2 323.437 1.407 20 30 DDEDLO C=CCN(CC=C)C(=O)N1CC[C@H]2C[N@H+](COCCOC)C[C@H]21 ZINC001278296703 890656126 /nfs/dbraw/zinc/65/61/26/890656126.db2.gz FFSIEYPRVDMBOX-JKSUJKDBSA-N 1 2 323.437 1.407 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@]1(C)CC[N@H+](Cc2cncc(F)c2)C1 ZINC001278389131 891922277 /nfs/dbraw/zinc/92/22/77/891922277.db2.gz QCQMLJMZRYOSPR-CXAGYDPISA-N 1 2 319.380 1.340 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@]1(C)CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001278389131 891922287 /nfs/dbraw/zinc/92/22/87/891922287.db2.gz QCQMLJMZRYOSPR-CXAGYDPISA-N 1 2 319.380 1.340 20 30 DDEDLO C[N@H+](CCNC(=O)c1ccns1)Cc1ccccc1C#N ZINC001366533619 893916396 /nfs/dbraw/zinc/91/63/96/893916396.db2.gz HNHLLUSFJASZJE-UHFFFAOYSA-N 1 2 300.387 1.877 20 30 DDEDLO C[N@@H+](CCNC(=O)c1ccns1)Cc1ccccc1C#N ZINC001366533619 893916404 /nfs/dbraw/zinc/91/64/04/893916404.db2.gz HNHLLUSFJASZJE-UHFFFAOYSA-N 1 2 300.387 1.877 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC(CNC(=O)Cn2cc[nH+]c2)C1 ZINC001387895850 894109126 /nfs/dbraw/zinc/10/91/26/894109126.db2.gz XYABDQMYAWJFAP-UHFFFAOYSA-N 1 2 324.812 1.236 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)nc1C ZINC001249909918 894161649 /nfs/dbraw/zinc/16/16/49/894161649.db2.gz XRLBZYHUZLYSLJ-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO C#CCOC[C@@H](O)C[N@@H+](CC(=O)OCC)[C@H](C)c1ccccc1 ZINC001251857920 894851168 /nfs/dbraw/zinc/85/11/68/894851168.db2.gz NEJSCNQICDJDEW-WBVHZDCISA-N 1 2 319.401 1.623 20 30 DDEDLO C#CCOC[C@@H](O)C[N@H+](CC(=O)OCC)[C@H](C)c1ccccc1 ZINC001251857920 894851184 /nfs/dbraw/zinc/85/11/84/894851184.db2.gz NEJSCNQICDJDEW-WBVHZDCISA-N 1 2 319.401 1.623 20 30 DDEDLO C#CCOC[C@@H](O)C[N@@H+]1CCc2c3cc(F)ccc3[nH]c2C1 ZINC001251858476 894854963 /nfs/dbraw/zinc/85/49/63/894854963.db2.gz ZBGMJISCDLSZDB-ZDUSSCGKSA-N 1 2 302.349 1.676 20 30 DDEDLO C#CCOC[C@@H](O)C[N@H+]1CCc2c3cc(F)ccc3[nH]c2C1 ZINC001251858476 894854974 /nfs/dbraw/zinc/85/49/74/894854974.db2.gz ZBGMJISCDLSZDB-ZDUSSCGKSA-N 1 2 302.349 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CCC)C(=O)[C@@H](C)CC(N)=O)C1 ZINC001366807476 894974303 /nfs/dbraw/zinc/97/43/03/894974303.db2.gz RREDRMRHCMQCEG-AAEUAGOBSA-N 1 2 315.845 1.563 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CCC)C(=O)[C@@H](C)CC(N)=O)C1 ZINC001366807476 894974320 /nfs/dbraw/zinc/97/43/20/894974320.db2.gz RREDRMRHCMQCEG-AAEUAGOBSA-N 1 2 315.845 1.563 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCc2c(nnn2CC)[C@@H]1COCC=C ZINC001252596125 895300176 /nfs/dbraw/zinc/30/01/76/895300176.db2.gz OHEOFPVLCOFFGA-ZBFHGGJFSA-N 1 2 320.437 1.727 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCc2c(nnn2CC)[C@@H]1COCC=C ZINC001252596125 895300194 /nfs/dbraw/zinc/30/01/94/895300194.db2.gz OHEOFPVLCOFFGA-ZBFHGGJFSA-N 1 2 320.437 1.727 20 30 DDEDLO N#Cc1cccc(O[C@@H]2CCC[N@@H+]([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC001254340746 896373515 /nfs/dbraw/zinc/37/35/15/896373515.db2.gz HEPMNAMEWXGOMP-GOEBONIOSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1cccc(O[C@@H]2CCC[N@H+]([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC001254340746 896373532 /nfs/dbraw/zinc/37/35/32/896373532.db2.gz HEPMNAMEWXGOMP-GOEBONIOSA-N 1 2 320.414 1.589 20 30 DDEDLO CC[C@H](CC#N)[N@@H+]1CCc2ncnc(N3CCOCC3)c2CC1 ZINC001255167872 896763338 /nfs/dbraw/zinc/76/33/38/896763338.db2.gz JHYLEUCLTNSOJC-CQSZACIVSA-N 1 2 315.421 1.406 20 30 DDEDLO CC[C@H](CC#N)[N@H+]1CCc2ncnc(N3CCOCC3)c2CC1 ZINC001255167872 896763350 /nfs/dbraw/zinc/76/33/50/896763350.db2.gz JHYLEUCLTNSOJC-CQSZACIVSA-N 1 2 315.421 1.406 20 30 DDEDLO CC(C)N(CC[N@H+](C)CC(=O)NCC#N)C(=O)[C@H](C)C1CCC1 ZINC001278912013 897289079 /nfs/dbraw/zinc/28/90/79/897289079.db2.gz IWANBKJHSSMIAO-CQSZACIVSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)N(CC[N@@H+](C)CC(=O)NCC#N)C(=O)[C@H](C)C1CCC1 ZINC001278912013 897289096 /nfs/dbraw/zinc/28/90/96/897289096.db2.gz IWANBKJHSSMIAO-CQSZACIVSA-N 1 2 322.453 1.231 20 30 DDEDLO COC(=O)[C@H]1C[C@@H]([NH2+][C@@H](C)CC#N)CN1C(=O)OC(C)(C)C ZINC001256257964 897344642 /nfs/dbraw/zinc/34/46/42/897344642.db2.gz DMJKSZAEMGAGKB-QJPTWQEYSA-N 1 2 311.382 1.429 20 30 DDEDLO CC#CC[NH2+][C@H](CNC(=O)c1ncn[nH]1)c1ccccc1CC ZINC001278969522 897606481 /nfs/dbraw/zinc/60/64/81/897606481.db2.gz LUVKZRWESWWPPQ-OAHLLOKOSA-N 1 2 311.389 1.451 20 30 DDEDLO CC#CC[NH2+][C@H](CNC(=O)c1nc[nH]n1)c1ccccc1CC ZINC001278969522 897606484 /nfs/dbraw/zinc/60/64/84/897606484.db2.gz LUVKZRWESWWPPQ-OAHLLOKOSA-N 1 2 311.389 1.451 20 30 DDEDLO C[C@@H]1C[N@@H+](CCc2nnc(C#Cc3ccccn3)o2)C[C@H](C)O1 ZINC001258047235 898035509 /nfs/dbraw/zinc/03/55/09/898035509.db2.gz SBHJEDPIOUEYOJ-OKILXGFUSA-N 1 2 312.373 1.516 20 30 DDEDLO C[C@@H]1C[N@H+](CCc2nnc(C#Cc3ccccn3)o2)C[C@H](C)O1 ZINC001258047235 898035522 /nfs/dbraw/zinc/03/55/22/898035522.db2.gz SBHJEDPIOUEYOJ-OKILXGFUSA-N 1 2 312.373 1.516 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@H](CNC(=O)[C@@H](C)C#N)C2)s1 ZINC001390036665 898534810 /nfs/dbraw/zinc/53/48/10/898534810.db2.gz DERBEGHCOUPBFJ-JQWIXIFHSA-N 1 2 307.423 1.334 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@H](CNC(=O)[C@@H](C)C#N)C2)s1 ZINC001390036665 898534821 /nfs/dbraw/zinc/53/48/21/898534821.db2.gz DERBEGHCOUPBFJ-JQWIXIFHSA-N 1 2 307.423 1.334 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CCC(NS(=O)(=O)C2CC2)CC1 ZINC001259279700 898574284 /nfs/dbraw/zinc/57/42/84/898574284.db2.gz UROLIJXJAYNYFY-UHFFFAOYSA-N 1 2 319.430 1.604 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@](C)(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001299579418 898634428 /nfs/dbraw/zinc/63/44/28/898634428.db2.gz ZNWPQRKAMMEPME-SUMWQHHRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@](C)(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001299578680 898640629 /nfs/dbraw/zinc/64/06/29/898640629.db2.gz QYQODXQLPCXXKZ-QGZVFWFLSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NC[C@H]2CC[C@@H](NCC#N)C2)c[nH+]1 ZINC001316652980 914270856 /nfs/dbraw/zinc/27/08/56/914270856.db2.gz DYQQBZABTLXKJZ-UONOGXRCSA-N 1 2 303.410 1.325 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)c(F)c1 ZINC001264365317 901042502 /nfs/dbraw/zinc/04/25/02/901042502.db2.gz VLYXHPDFZVJUHC-INIZCTEOSA-N 1 2 318.392 1.930 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)c(F)c1 ZINC001264365317 901042510 /nfs/dbraw/zinc/04/25/10/901042510.db2.gz VLYXHPDFZVJUHC-INIZCTEOSA-N 1 2 318.392 1.930 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)cc1F ZINC001264372544 901052906 /nfs/dbraw/zinc/05/29/06/901052906.db2.gz HNPVKCRXIIJLMK-OAHLLOKOSA-N 1 2 318.392 1.930 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)cc1F ZINC001264372544 901052913 /nfs/dbraw/zinc/05/29/13/901052913.db2.gz HNPVKCRXIIJLMK-OAHLLOKOSA-N 1 2 318.392 1.930 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)nsc1C ZINC001264380513 901067100 /nfs/dbraw/zinc/06/71/00/901067100.db2.gz KVTIVEWIZLJMSK-AWEZNQCLSA-N 1 2 321.446 1.556 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)nsc1C ZINC001264380513 901067109 /nfs/dbraw/zinc/06/71/09/901067109.db2.gz KVTIVEWIZLJMSK-AWEZNQCLSA-N 1 2 321.446 1.556 20 30 DDEDLO Cn1ccnc1C[N@H+](C)CCCNC(=O)CC#Cc1ccccc1 ZINC001265071422 901518514 /nfs/dbraw/zinc/51/85/14/901518514.db2.gz KVIGERYWFJWJQY-UHFFFAOYSA-N 1 2 324.428 1.800 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)CCCNC(=O)CC#Cc1ccccc1 ZINC001265071422 901518526 /nfs/dbraw/zinc/51/85/26/901518526.db2.gz KVIGERYWFJWJQY-UHFFFAOYSA-N 1 2 324.428 1.800 20 30 DDEDLO O=C(N[C@H]1C[C@H]([NH2+]CC#Cc2ccccc2)C1)c1[nH]ncc1F ZINC001265494030 902070397 /nfs/dbraw/zinc/07/03/97/902070397.db2.gz IAGJHQUYMXWGDC-HDJSIYSDSA-N 1 2 312.348 1.451 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@@H+](Cc2ncnn2CC)C1 ZINC001265583050 902158494 /nfs/dbraw/zinc/15/84/94/902158494.db2.gz ZPTQFKDACICSOT-OAHLLOKOSA-N 1 2 317.437 1.430 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@H+](Cc2ncnn2CC)C1 ZINC001265583050 902158514 /nfs/dbraw/zinc/15/85/14/902158514.db2.gz ZPTQFKDACICSOT-OAHLLOKOSA-N 1 2 317.437 1.430 20 30 DDEDLO C[N@H+](CCCNC(=O)C#CC1CC1)Cc1nnc(C(C)(C)C)[nH]1 ZINC001265842650 902479185 /nfs/dbraw/zinc/47/91/85/902479185.db2.gz DHZBAPUXWIIFCY-UHFFFAOYSA-N 1 2 317.437 1.454 20 30 DDEDLO C[N@@H+](CCCNC(=O)C#CC1CC1)Cc1nnc(C(C)(C)C)[nH]1 ZINC001265842650 902479198 /nfs/dbraw/zinc/47/91/98/902479198.db2.gz DHZBAPUXWIIFCY-UHFFFAOYSA-N 1 2 317.437 1.454 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@@H+](C)Cc2ncc(C)cn2)nc1 ZINC001265956554 902659317 /nfs/dbraw/zinc/65/93/17/902659317.db2.gz RQMSSFIEYKVJBL-UHFFFAOYSA-N 1 2 323.400 1.413 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@H+](C)Cc2ncc(C)cn2)nc1 ZINC001265956554 902659324 /nfs/dbraw/zinc/65/93/24/902659324.db2.gz RQMSSFIEYKVJBL-UHFFFAOYSA-N 1 2 323.400 1.413 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001266215984 903129950 /nfs/dbraw/zinc/12/99/50/903129950.db2.gz OYMXZCHVIOTPSU-CVEARBPZSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001266215984 903129954 /nfs/dbraw/zinc/12/99/54/903129954.db2.gz OYMXZCHVIOTPSU-CVEARBPZSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H](C)c2ccco2)C1 ZINC001266240027 903175821 /nfs/dbraw/zinc/17/58/21/903175821.db2.gz NOBNCPVLGRNKGH-KGLIPLIRSA-N 1 2 319.405 1.266 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)c2ccco2)C1 ZINC001266240027 903175824 /nfs/dbraw/zinc/17/58/24/903175824.db2.gz NOBNCPVLGRNKGH-KGLIPLIRSA-N 1 2 319.405 1.266 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C[C@H](C)N(C)C(=O)c2c[nH]c(C#N)c2)n1 ZINC001392060720 903198937 /nfs/dbraw/zinc/19/89/37/903198937.db2.gz MCCKRBARPJMABZ-VHSXEESVSA-N 1 2 316.365 1.389 20 30 DDEDLO C=CC(C)(C)C(=O)N(CCO)CC[NH2+][C@@H](C)c1csnn1 ZINC001279791482 903417683 /nfs/dbraw/zinc/41/76/83/903417683.db2.gz GXRNYLANWOYMHM-NSHDSACASA-N 1 2 312.439 1.222 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H](CC)SC ZINC001280402938 903639041 /nfs/dbraw/zinc/63/90/41/903639041.db2.gz PALQQVHAWCTUAL-ZIAGYGMSSA-N 1 2 300.468 1.911 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H](CC)SC ZINC001280402938 903639044 /nfs/dbraw/zinc/63/90/44/903639044.db2.gz PALQQVHAWCTUAL-ZIAGYGMSSA-N 1 2 300.468 1.911 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1COCC[N@H+]1CCCC(C)C ZINC001280406252 903641499 /nfs/dbraw/zinc/64/14/99/903641499.db2.gz LRCQIMVXZBVWGX-HOTGVXAUSA-N 1 2 310.438 1.278 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1COCC[N@@H+]1CCCC(C)C ZINC001280406252 903641501 /nfs/dbraw/zinc/64/15/01/903641501.db2.gz LRCQIMVXZBVWGX-HOTGVXAUSA-N 1 2 310.438 1.278 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)nn(C)c1F ZINC001280421506 903658973 /nfs/dbraw/zinc/65/89/73/903658973.db2.gz OASWJTWSSACULC-CYBMUJFWSA-N 1 2 324.400 1.264 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)nn(C)c1F ZINC001280421506 903658987 /nfs/dbraw/zinc/65/89/87/903658987.db2.gz OASWJTWSSACULC-CYBMUJFWSA-N 1 2 324.400 1.264 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)CCn1ccnn1 ZINC001370852941 903854923 /nfs/dbraw/zinc/85/49/23/903854923.db2.gz AMKAZFCGKQYLHK-ZDUSSCGKSA-N 1 2 311.817 1.391 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)CCn1ccnn1 ZINC001370852941 903854931 /nfs/dbraw/zinc/85/49/31/903854931.db2.gz AMKAZFCGKQYLHK-ZDUSSCGKSA-N 1 2 311.817 1.391 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)CCCC(C)=O)O2 ZINC001280922488 904193387 /nfs/dbraw/zinc/19/33/87/904193387.db2.gz VVSCYYGZVAIYES-HNNXBMFYSA-N 1 2 308.422 1.671 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@]1(C)CCCOC1)O2 ZINC001280930778 904200986 /nfs/dbraw/zinc/20/09/86/904200986.db2.gz DGCFITIIRABBGO-GDBMZVCRSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CN(C)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001281027716 904330166 /nfs/dbraw/zinc/33/01/66/904330166.db2.gz RRPAIJOYXZGTEO-LBPRGKRZSA-N 1 2 306.410 1.617 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)C#CC(C)(C)C)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001281154260 904489330 /nfs/dbraw/zinc/48/93/30/904489330.db2.gz OXRZYOPFPWQIMA-TZMCWYRMSA-N 1 2 316.405 1.107 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001281170256 904520240 /nfs/dbraw/zinc/52/02/40/904520240.db2.gz HWPFGIZGPBRMRG-STQMWFEESA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCCC(=O)NC1(C[NH2+][C@H](C)c2noc(C)n2)CCOCC1 ZINC001281212122 904570161 /nfs/dbraw/zinc/57/01/61/904570161.db2.gz PXPJPXPLXUOJDE-GFCCVEGCSA-N 1 2 322.409 1.660 20 30 DDEDLO CCN(C(=O)CCc1[nH]cc[nH+]1)[C@H](C)CNC(=O)C#CC(C)C ZINC001281483665 904921975 /nfs/dbraw/zinc/92/19/75/904921975.db2.gz LCCASSMZZTUYHP-CQSZACIVSA-N 1 2 318.421 1.355 20 30 DDEDLO Cc1ccnc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)C)C[C@@H]2C)n1 ZINC001281665231 905141962 /nfs/dbraw/zinc/14/19/62/905141962.db2.gz PZMWHWVSIDARFR-HOTGVXAUSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)C)C[C@@H]2C)n1 ZINC001281665231 905141968 /nfs/dbraw/zinc/14/19/68/905141968.db2.gz PZMWHWVSIDARFR-HOTGVXAUSA-N 1 2 314.433 1.914 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001281793517 905223764 /nfs/dbraw/zinc/22/37/64/905223764.db2.gz LYZAPXXGOYWWDI-HUUCEWRRSA-N 1 2 316.405 1.040 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001282090174 905533505 /nfs/dbraw/zinc/53/35/05/905533505.db2.gz WKKLTMNASZASQY-OKILXGFUSA-N 1 2 316.405 1.157 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)[C@H](C)[NH2+]Cc2nnc(C)o2)nc1 ZINC001282219577 905622933 /nfs/dbraw/zinc/62/29/33/905622933.db2.gz LIGNYUBNSCFGPW-QWRGUYRKSA-N 1 2 313.361 1.051 20 30 DDEDLO Cc1[nH+]ccn1CC(=O)NCC1(NCc2ccccc2C#N)CC1 ZINC001392956494 905796773 /nfs/dbraw/zinc/79/67/73/905796773.db2.gz FZADQYOLNOHMBP-UHFFFAOYSA-N 1 2 323.400 1.502 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C[C@@H]2CCCC2(F)F)C1 ZINC001282529338 905852324 /nfs/dbraw/zinc/85/23/24/905852324.db2.gz HXELKNCJGPDHKL-ZDUSSCGKSA-N 1 2 314.376 1.388 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[N@@H+]([C@@H](C)c2ncccn2)CC1(C)C ZINC001282791992 906042288 /nfs/dbraw/zinc/04/22/88/906042288.db2.gz RUOFVCNGRWACDY-KBPBESRZSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[N@H+]([C@@H](C)c2ncccn2)CC1(C)C ZINC001282791992 906042312 /nfs/dbraw/zinc/04/23/12/906042312.db2.gz RUOFVCNGRWACDY-KBPBESRZSA-N 1 2 318.421 1.567 20 30 DDEDLO CC(C)c1nnc(C[NH+]2CCC(C)(NC(=O)[C@H](C)C#N)CC2)o1 ZINC001393059615 906082983 /nfs/dbraw/zinc/08/29/83/906082983.db2.gz WLKIIULQUJAEAV-GFCCVEGCSA-N 1 2 319.409 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)Cc1cccc(OCC)n1 ZINC001372052200 906341847 /nfs/dbraw/zinc/34/18/47/906341847.db2.gz RWLXCXOMKSSIKX-UHFFFAOYSA-N 1 2 311.813 1.823 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)Cc1cccc(OCC)n1 ZINC001372052200 906341862 /nfs/dbraw/zinc/34/18/62/906341862.db2.gz RWLXCXOMKSSIKX-UHFFFAOYSA-N 1 2 311.813 1.823 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)CCOC ZINC001372480791 907405313 /nfs/dbraw/zinc/40/53/13/907405313.db2.gz NAKKMVMGXGJCLL-NSHDSACASA-N 1 2 305.216 1.512 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)CCOC ZINC001372480791 907405323 /nfs/dbraw/zinc/40/53/23/907405323.db2.gz NAKKMVMGXGJCLL-NSHDSACASA-N 1 2 305.216 1.512 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H](C)[NH2+][C@@H](C)c1nc(CC)no1 ZINC001283605498 907666732 /nfs/dbraw/zinc/66/67/32/907666732.db2.gz FNJZRDCFFVWQHR-STQMWFEESA-N 1 2 306.410 1.933 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001283643904 907734741 /nfs/dbraw/zinc/73/47/41/907734741.db2.gz HCZIMXMLVZLCSE-INIZCTEOSA-N 1 2 306.410 1.519 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001283873221 908111742 /nfs/dbraw/zinc/11/17/42/908111742.db2.gz BUQZFYXUYFKACW-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C=CCCC(=O)N[C@@]12CCC[C@H]1[N@H+](Cc1cn(CC)nn1)CC2 ZINC001284047233 908382691 /nfs/dbraw/zinc/38/26/91/908382691.db2.gz XGPQYQWEFGNKJE-NVXWUHKLSA-N 1 2 317.437 1.877 20 30 DDEDLO C=CCCC(=O)N[C@@]12CCC[C@H]1[N@@H+](Cc1cn(CC)nn1)CC2 ZINC001284047233 908382701 /nfs/dbraw/zinc/38/27/01/908382701.db2.gz XGPQYQWEFGNKJE-NVXWUHKLSA-N 1 2 317.437 1.877 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001284362845 908895632 /nfs/dbraw/zinc/89/56/32/908895632.db2.gz ZIRDVGYGVWXINA-HNNXBMFYSA-N 1 2 316.405 1.041 20 30 DDEDLO CCN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C(=O)C#CC(C)C ZINC001284627849 909272938 /nfs/dbraw/zinc/27/29/38/909272938.db2.gz SSKJNLUQCHPIOT-ZIAGYGMSSA-N 1 2 316.405 1.137 20 30 DDEDLO CCN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C(=O)C#CC(C)C ZINC001284627849 909272954 /nfs/dbraw/zinc/27/29/54/909272954.db2.gz SSKJNLUQCHPIOT-ZIAGYGMSSA-N 1 2 316.405 1.137 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001373385566 909701574 /nfs/dbraw/zinc/70/15/74/909701574.db2.gz NIYNYAQDSWMLFQ-ZIAGYGMSSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001373385566 909701577 /nfs/dbraw/zinc/70/15/77/909701577.db2.gz NIYNYAQDSWMLFQ-ZIAGYGMSSA-N 1 2 315.845 1.480 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH]c[nH+]1)NC(=O)C#CC(C)(C)C ZINC001285069265 910028764 /nfs/dbraw/zinc/02/87/64/910028764.db2.gz ZVSCBXMHLHTAMQ-CYBMUJFWSA-N 1 2 318.421 1.403 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH+]c[nH]1)NC(=O)C#CC(C)(C)C ZINC001285069265 910028780 /nfs/dbraw/zinc/02/87/80/910028780.db2.gz ZVSCBXMHLHTAMQ-CYBMUJFWSA-N 1 2 318.421 1.403 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H](C)NC(=O)Cc1c[nH+]ccc1OC ZINC001285322836 910358890 /nfs/dbraw/zinc/35/88/90/910358890.db2.gz UNAKITXOSVVGKJ-QWHCGFSZSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](CNC(=O)CCn1cc[nH+]c1)C(C)C ZINC001285336855 910374092 /nfs/dbraw/zinc/37/40/92/910374092.db2.gz SLKWUKPMASUXKF-AWEZNQCLSA-N 1 2 320.437 1.742 20 30 DDEDLO Cc1cc(C[N@@H+](C)CCN(C(=O)[C@@H](C)C#N)C(C)C)nn1C ZINC001394801580 910596108 /nfs/dbraw/zinc/59/61/08/910596108.db2.gz MMEBDJXHAYGSKV-ZDUSSCGKSA-N 1 2 305.426 1.557 20 30 DDEDLO Cc1cc(C[N@H+](C)CCN(C(=O)[C@@H](C)C#N)C(C)C)nn1C ZINC001394801580 910596126 /nfs/dbraw/zinc/59/61/26/910596126.db2.gz MMEBDJXHAYGSKV-ZDUSSCGKSA-N 1 2 305.426 1.557 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)C[C@@H]1CCNC1=O)C(C)C ZINC001394806195 910611117 /nfs/dbraw/zinc/61/11/17/910611117.db2.gz RBCSSWHYWQHDOC-ZDUSSCGKSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)C[C@@H]1CCNC1=O)C(C)C ZINC001394806195 910611125 /nfs/dbraw/zinc/61/11/25/910611125.db2.gz RBCSSWHYWQHDOC-ZDUSSCGKSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC/C=C/CNC(=O)Cn1cc[nH+]c1 ZINC001285583644 910825684 /nfs/dbraw/zinc/82/56/84/910825684.db2.gz BMAQITVNXLEBAE-FYCOFBDGSA-N 1 2 304.394 1.274 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC=CCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001285589053 910835615 /nfs/dbraw/zinc/83/56/15/910835615.db2.gz PWTKLTYWCMJFPE-QUCGXOGASA-N 1 2 311.345 1.125 20 30 DDEDLO CC[C@H](CNC(=O)c1[nH]ncc1F)[NH2+]Cc1ccc(C#N)cc1 ZINC001373790560 910998484 /nfs/dbraw/zinc/99/84/84/910998484.db2.gz JJXHQCZMHRFVHM-CYBMUJFWSA-N 1 2 315.352 1.719 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001285683438 911032228 /nfs/dbraw/zinc/03/22/28/911032228.db2.gz KWFRZEMZILEJRD-ZIAGYGMSSA-N 1 2 318.421 1.403 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001285683438 911032246 /nfs/dbraw/zinc/03/22/46/911032246.db2.gz KWFRZEMZILEJRD-ZIAGYGMSSA-N 1 2 318.421 1.403 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001285759230 911160781 /nfs/dbraw/zinc/16/07/81/911160781.db2.gz DWMVMBFOQLOCKI-NSHDSACASA-N 1 2 306.410 1.484 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]1CNC(=O)CCCn1cc[nH+]c1 ZINC001285877713 911351592 /nfs/dbraw/zinc/35/15/92/911351592.db2.gz QIKYXRUKHUQBAC-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@H](OC)c1cnn(C)c1 ZINC001375078901 914888154 /nfs/dbraw/zinc/88/81/54/914888154.db2.gz GCNNCWGXLPCCDP-DGCLKSJQSA-N 1 2 314.817 1.297 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@H](OC)c1cnn(C)c1 ZINC001375078901 914888174 /nfs/dbraw/zinc/88/81/74/914888174.db2.gz GCNNCWGXLPCCDP-DGCLKSJQSA-N 1 2 314.817 1.297 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001294350382 915074057 /nfs/dbraw/zinc/07/40/57/915074057.db2.gz GHENGGPKESPEAD-UHFFFAOYSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001294771707 915355771 /nfs/dbraw/zinc/35/57/71/915355771.db2.gz PJQSQXHYXWSJJW-CYBMUJFWSA-N 1 2 312.373 1.860 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001295729292 916024161 /nfs/dbraw/zinc/02/41/61/916024161.db2.gz OMQSGBFPMKOPTJ-RHSMWYFYSA-N 1 2 318.421 1.450 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]1CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001296431831 916412344 /nfs/dbraw/zinc/41/23/44/916412344.db2.gz PDQLWMVQFJMYTI-GJZGRUSLSA-N 1 2 318.421 1.908 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC(CNC(=O)CCn2cc[nH+]c2)C1 ZINC001297241946 916828983 /nfs/dbraw/zinc/82/89/83/916828983.db2.gz WFDNTWFHXWWSSG-UONOGXRCSA-N 1 2 318.421 1.306 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@H+](CC(=O)NC2CCCCCC2)C1 ZINC001376116118 917713038 /nfs/dbraw/zinc/71/30/38/917713038.db2.gz NOZITANBHHVLGP-HIFRSBDPSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@@H+](CC(=O)NC2CCCCCC2)C1 ZINC001376116118 917713056 /nfs/dbraw/zinc/71/30/56/917713056.db2.gz NOZITANBHHVLGP-HIFRSBDPSA-N 1 2 320.437 1.176 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@](CO)(NC(=O)[C@H](C)C#N)C2)sn1 ZINC001376456284 918534597 /nfs/dbraw/zinc/53/45/97/918534597.db2.gz QFOMCKVIAZGGBI-ABAIWWIYSA-N 1 2 322.434 1.054 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@](CO)(NC(=O)[C@H](C)C#N)C2)sn1 ZINC001376456284 918534614 /nfs/dbraw/zinc/53/46/14/918534614.db2.gz QFOMCKVIAZGGBI-ABAIWWIYSA-N 1 2 322.434 1.054 20 30 DDEDLO O=C(NCC#Cc1ccccc1)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000278779521 214395942 /nfs/dbraw/zinc/39/59/42/214395942.db2.gz XPXGRSQGCBNUFD-GOSISDBHSA-N 1 2 312.413 1.798 20 30 DDEDLO O=C(NCC#Cc1ccccc1)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000278779521 214395945 /nfs/dbraw/zinc/39/59/45/214395945.db2.gz XPXGRSQGCBNUFD-GOSISDBHSA-N 1 2 312.413 1.798 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)c1ccccc1C#N ZINC000451928241 231238029 /nfs/dbraw/zinc/23/80/29/231238029.db2.gz CWVDQSUWOSDGHF-NSHDSACASA-N 1 2 304.375 1.814 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)c1cccnc1C#N ZINC000451929863 231239208 /nfs/dbraw/zinc/23/92/08/231239208.db2.gz OPTFPIQWECIOQM-JTQLQIEISA-N 1 2 305.363 1.209 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)c1ccc(C#N)nc1 ZINC000451938955 231243809 /nfs/dbraw/zinc/24/38/09/231243809.db2.gz YGQBXWGOQLYNDY-JTQLQIEISA-N 1 2 305.363 1.209 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1)c1cncc(F)c1 ZINC000328901256 539299446 /nfs/dbraw/zinc/29/94/46/539299446.db2.gz YWEFVRNRGGEYIL-CQDKDKBSSA-N 1 2 322.384 1.601 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1)c1cncc(F)c1 ZINC000328901256 539299447 /nfs/dbraw/zinc/29/94/47/539299447.db2.gz YWEFVRNRGGEYIL-CQDKDKBSSA-N 1 2 322.384 1.601 20 30 DDEDLO Cc1ccc2c(c1)CC[C@@H]2NC(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000329116318 539301254 /nfs/dbraw/zinc/30/12/54/539301254.db2.gz UABCDXQNSAIBOX-HOCLYGCPSA-N 1 2 303.406 1.817 20 30 DDEDLO Cc1ccc2c(c1)CC[C@@H]2NC(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC000329116318 539301255 /nfs/dbraw/zinc/30/12/55/539301255.db2.gz UABCDXQNSAIBOX-HOCLYGCPSA-N 1 2 303.406 1.817 20 30 DDEDLO Cc1nc(C)c(CCC(=O)NC[C@H](C)[NH+]2CCOCC2)c(=O)[nH]1 ZINC000329172966 539301618 /nfs/dbraw/zinc/30/16/18/539301618.db2.gz LEOGCXIZVAMTBE-NSHDSACASA-N 1 2 322.409 1.409 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000414109903 529868218 /nfs/dbraw/zinc/86/82/18/529868218.db2.gz NSTWGPPCOBCAGZ-CYBMUJFWSA-N 1 2 303.362 1.156 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000414109903 529868219 /nfs/dbraw/zinc/86/82/19/529868219.db2.gz NSTWGPPCOBCAGZ-CYBMUJFWSA-N 1 2 303.362 1.156 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)COc1ccc(C#N)cc1 ZINC000279436692 214880681 /nfs/dbraw/zinc/88/06/81/214880681.db2.gz ZKMJNYFDLLVGBG-CQSZACIVSA-N 1 2 317.389 1.116 20 30 DDEDLO CN(CC(C)(C)C#N)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000458590451 282362019 /nfs/dbraw/zinc/36/20/19/282362019.db2.gz LYCMOWQBXMJIJM-CYBMUJFWSA-N 1 2 301.394 1.851 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2C[C@@H](O)C(C)(C)C2)c(C#N)c1C ZINC000279814766 215169895 /nfs/dbraw/zinc/16/98/95/215169895.db2.gz QEHRSMCBNPAEMF-ZWNOBZJWSA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2C[C@@H](O)C(C)(C)C2)c(C#N)c1C ZINC000279814766 215169897 /nfs/dbraw/zinc/16/98/97/215169897.db2.gz QEHRSMCBNPAEMF-ZWNOBZJWSA-N 1 2 305.378 1.798 20 30 DDEDLO N#Cc1ccccc1N1CC[NH+](Cc2cnc3cnccn23)CC1 ZINC000126922473 185904477 /nfs/dbraw/zinc/90/44/77/185904477.db2.gz BHQSOIBXYIOSHX-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO CCCNC(=O)CN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000023287182 352183140 /nfs/dbraw/zinc/18/31/40/352183140.db2.gz QYWVQWAVGQGMOX-UHFFFAOYSA-N 1 2 300.406 1.202 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2nc(C)cs2)CC1 ZINC000047889542 352514987 /nfs/dbraw/zinc/51/49/87/352514987.db2.gz HBEMBOCHKKHOOF-UHFFFAOYSA-N 1 2 322.478 1.998 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)N1CC[NH+](Cc2ccsc2)CC1 ZINC000052711983 352623867 /nfs/dbraw/zinc/62/38/67/352623867.db2.gz RJSISKAHPKQOLO-CQSZACIVSA-N 1 2 320.462 1.626 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(Cc2ccsc2)CC1 ZINC000052711983 352623871 /nfs/dbraw/zinc/62/38/71/352623871.db2.gz RJSISKAHPKQOLO-CQSZACIVSA-N 1 2 320.462 1.626 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC(n3cc[nH+]c3)CC2)cc1 ZINC000060296923 352866458 /nfs/dbraw/zinc/86/64/58/352866458.db2.gz GPBATMIRJXRKLU-UHFFFAOYSA-N 1 2 316.386 1.781 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000063589877 352916578 /nfs/dbraw/zinc/91/65/78/352916578.db2.gz KMGXFSQFPMYASP-UHFFFAOYSA-N 1 2 318.442 1.505 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C(=O)C(=O)N(CCC2=CCCCC2)C1=O ZINC000491666249 234235241 /nfs/dbraw/zinc/23/52/41/234235241.db2.gz JRZHJEWWVQJYBM-CYBMUJFWSA-N 1 2 317.389 1.579 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C(=O)C(=O)N(CCC2=CCCCC2)C1=O ZINC000491666249 234235242 /nfs/dbraw/zinc/23/52/42/234235242.db2.gz JRZHJEWWVQJYBM-CYBMUJFWSA-N 1 2 317.389 1.579 20 30 DDEDLO Cc1cc(CC(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)no1 ZINC000072813325 353217185 /nfs/dbraw/zinc/21/71/85/353217185.db2.gz LVKSGVAFAQXCRN-UHFFFAOYSA-N 1 2 324.384 1.742 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[C@H](CN2CC[NH+](C)CC2)C1 ZINC000077451455 353469553 /nfs/dbraw/zinc/46/95/53/353469553.db2.gz RAOJMTIRLWNVTA-DLBZAZTESA-N 1 2 323.481 1.454 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(C)Cc2ccc(F)cc2F)CC1 ZINC000081647828 353686470 /nfs/dbraw/zinc/68/64/70/353686470.db2.gz UJLBOUGGVUYCHY-UHFFFAOYSA-N 1 2 321.371 1.174 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C/c1ccc2c(c1)OCO2 ZINC000493057501 234686696 /nfs/dbraw/zinc/68/66/96/234686696.db2.gz VGPUYAOXYVDBMG-YERXGYGTSA-N 1 2 301.346 1.389 20 30 DDEDLO CC(C)(C)C[N@H+](CCC#N)CC(=O)NCC(=O)OC(C)(C)C ZINC000181903215 354271011 /nfs/dbraw/zinc/27/10/11/354271011.db2.gz GYHDZWGBNBYYMV-UHFFFAOYSA-N 1 2 311.426 1.706 20 30 DDEDLO CC(C)(C)C[N@@H+](CCC#N)CC(=O)NCC(=O)OC(C)(C)C ZINC000181903215 354271014 /nfs/dbraw/zinc/27/10/14/354271014.db2.gz GYHDZWGBNBYYMV-UHFFFAOYSA-N 1 2 311.426 1.706 20 30 DDEDLO C[C@H](C#N)CNC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000182609916 354273880 /nfs/dbraw/zinc/27/38/80/354273880.db2.gz BZCVOSSJGJRWKW-LLVKDONJSA-N 1 2 301.325 1.970 20 30 DDEDLO COCC(=O)NC1CC[NH+](Cc2cccc(F)c2C#N)CC1 ZINC000589983777 355037075 /nfs/dbraw/zinc/03/70/75/355037075.db2.gz MWAIJVDECUXPNH-UHFFFAOYSA-N 1 2 305.353 1.424 20 30 DDEDLO N#CC1(CC(=O)Nc2ccc3c(c2)C[N@H+](CC(N)=O)CC3)CC1 ZINC000590355655 355076335 /nfs/dbraw/zinc/07/63/35/355076335.db2.gz FIBUJTNIBGLVSN-UHFFFAOYSA-N 1 2 312.373 1.162 20 30 DDEDLO N#CC1(CC(=O)Nc2ccc3c(c2)C[N@@H+](CC(N)=O)CC3)CC1 ZINC000590355655 355076337 /nfs/dbraw/zinc/07/63/37/355076337.db2.gz FIBUJTNIBGLVSN-UHFFFAOYSA-N 1 2 312.373 1.162 20 30 DDEDLO N#CC1(CC(=O)N2CC[NH+](CCOc3ccccc3)CC2)CC1 ZINC000590131362 355052134 /nfs/dbraw/zinc/05/21/34/355052134.db2.gz GDGKWGVQJBQPSA-UHFFFAOYSA-N 1 2 313.401 1.903 20 30 DDEDLO N#CC1(CC(=O)N2CCN(Cc3cn4ccccc4[nH+]3)CC2)CC1 ZINC000590134840 355053115 /nfs/dbraw/zinc/05/31/15/355053115.db2.gz ZYXRDXYBDBGFAK-UHFFFAOYSA-N 1 2 323.400 1.672 20 30 DDEDLO N#Cc1cnccc1CN1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000592082134 355488848 /nfs/dbraw/zinc/48/88/48/355488848.db2.gz GIKJZKFZQOKJJU-UHFFFAOYSA-N 1 2 308.385 1.977 20 30 DDEDLO CCN(C(=O)[C@@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1 ZINC000592147164 355512933 /nfs/dbraw/zinc/51/29/33/355512933.db2.gz IVVVSHLETOQZKR-PBHICJAKSA-N 1 2 301.390 1.778 20 30 DDEDLO CCN(C(=O)[C@@H](C)[N@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1 ZINC000592147164 355512935 /nfs/dbraw/zinc/51/29/35/355512935.db2.gz IVVVSHLETOQZKR-PBHICJAKSA-N 1 2 301.390 1.778 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)NCC2(c3ccccc3)CC2)C1 ZINC000592148418 355515101 /nfs/dbraw/zinc/51/51/01/355515101.db2.gz YHZSWEQFQIZWBE-GOSISDBHSA-N 1 2 313.401 1.185 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)NCC2(c3ccccc3)CC2)C1 ZINC000592148418 355515103 /nfs/dbraw/zinc/51/51/03/355515103.db2.gz YHZSWEQFQIZWBE-GOSISDBHSA-N 1 2 313.401 1.185 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cc4n(n3)CCCC4)CC2)nc1 ZINC000592999099 355765851 /nfs/dbraw/zinc/76/58/51/355765851.db2.gz LRWCOGCKDVWMOV-UHFFFAOYSA-N 1 2 322.416 1.808 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1c[nH]c2ccc(F)cc12 ZINC000593154611 355812529 /nfs/dbraw/zinc/81/25/29/355812529.db2.gz NMCGYBYKGSDXTL-MRXNPFEDSA-N 1 2 302.353 1.810 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc2c(c1)CCCC(=O)N2 ZINC000593155423 355814018 /nfs/dbraw/zinc/81/40/18/355814018.db2.gz VHUVLDVXGOTKEO-QGZVFWFLSA-N 1 2 314.389 1.535 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1C[C@H](C)OC2(CCCCC2)C1 ZINC000594058927 356102295 /nfs/dbraw/zinc/10/22/95/356102295.db2.gz UVGOQRBIMKDJOV-ZDUSSCGKSA-N 1 2 309.410 1.422 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1C[C@H](C)OC2(CCCCC2)C1 ZINC000594058927 356102297 /nfs/dbraw/zinc/10/22/97/356102297.db2.gz UVGOQRBIMKDJOV-ZDUSSCGKSA-N 1 2 309.410 1.422 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)[C@H](C)Cc1ccc(C#N)cc1 ZINC000594235693 356147139 /nfs/dbraw/zinc/14/71/39/356147139.db2.gz ZSPSYIIFPKUYQO-HUUCEWRRSA-N 1 2 315.417 1.574 20 30 DDEDLO CCn1cc(N2C(=O)C[C@@H]([N@@H+]3CCC[C@H](CC#N)C3)C2=O)cn1 ZINC000594659270 356278435 /nfs/dbraw/zinc/27/84/35/356278435.db2.gz ANANHGDHUDCZRU-TZMCWYRMSA-N 1 2 315.377 1.161 20 30 DDEDLO CCn1cc(N2C(=O)C[C@@H]([N@H+]3CCC[C@H](CC#N)C3)C2=O)cn1 ZINC000594659270 356278441 /nfs/dbraw/zinc/27/84/41/356278441.db2.gz ANANHGDHUDCZRU-TZMCWYRMSA-N 1 2 315.377 1.161 20 30 DDEDLO CCO[C@@H]1C[C@H](NC(=O)N2CCn3cc[nH+]c3C2)[C@]12CCCO2 ZINC000329571879 222996369 /nfs/dbraw/zinc/99/63/69/222996369.db2.gz MWLMBXCKWIVLOX-WOSRLPQWSA-N 1 2 320.393 1.339 20 30 DDEDLO COc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)on1 ZINC000595338831 356455140 /nfs/dbraw/zinc/45/51/40/356455140.db2.gz KCVYSAZQWZXGSD-LLVKDONJSA-N 1 2 314.349 1.056 20 30 DDEDLO COc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)on1 ZINC000595338831 356455142 /nfs/dbraw/zinc/45/51/42/356455142.db2.gz KCVYSAZQWZXGSD-LLVKDONJSA-N 1 2 314.349 1.056 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(c2ncns2)CC1 ZINC000595516189 356529050 /nfs/dbraw/zinc/52/90/50/356529050.db2.gz PEVZUCGPADFGQI-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@](CO)(OC)C1 ZINC000595658170 356594807 /nfs/dbraw/zinc/59/48/07/356594807.db2.gz HYEFIAHFVIVUCT-WBVHZDCISA-N 1 2 318.373 1.246 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CCC[C@](CO)(OC)C1 ZINC000595658170 356594808 /nfs/dbraw/zinc/59/48/08/356594808.db2.gz HYEFIAHFVIVUCT-WBVHZDCISA-N 1 2 318.373 1.246 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2CCC3(COC3)C2)c(C#N)c1C ZINC000595834219 356669942 /nfs/dbraw/zinc/66/99/42/356669942.db2.gz MLHDHEVLDJWXDS-LLVKDONJSA-N 1 2 303.362 1.817 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2CCC3(COC3)C2)c(C#N)c1C ZINC000595834219 356669947 /nfs/dbraw/zinc/66/99/47/356669947.db2.gz MLHDHEVLDJWXDS-LLVKDONJSA-N 1 2 303.362 1.817 20 30 DDEDLO C[C@@H]([NH2+][C@H](CO)c1ccc(F)cc1F)C(=O)N(C)CCC#N ZINC000595866092 356684134 /nfs/dbraw/zinc/68/41/34/356684134.db2.gz DBIWEXLCMNHTGV-QMTHXVAHSA-N 1 2 311.332 1.348 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@H+]1C[C@@H](C)OC[C@H]1C ZINC000596214455 356817777 /nfs/dbraw/zinc/81/77/77/356817777.db2.gz DRFZBBGUVOQARH-CHWSQXEVSA-N 1 2 301.456 1.314 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@H]1C ZINC000596214455 356817779 /nfs/dbraw/zinc/81/77/79/356817779.db2.gz DRFZBBGUVOQARH-CHWSQXEVSA-N 1 2 301.456 1.314 20 30 DDEDLO CN(CCc1c[nH+]cn1C)S(=O)(=O)c1cccc(C#N)c1 ZINC000596249408 356831648 /nfs/dbraw/zinc/83/16/48/356831648.db2.gz QQZVSCLAQRSNSS-UHFFFAOYSA-N 1 2 304.375 1.155 20 30 DDEDLO N#Cc1sccc1N1CCN(c2nc[nH+]c(N)c2Cl)CC1 ZINC000596353019 356862584 /nfs/dbraw/zinc/86/25/84/356862584.db2.gz SXMXPHJBFPHUHV-UHFFFAOYSA-N 1 2 320.809 1.972 20 30 DDEDLO N#Cc1sccc1N1CCN(c2[nH+]cnc(N)c2Cl)CC1 ZINC000596353019 356862589 /nfs/dbraw/zinc/86/25/89/356862589.db2.gz SXMXPHJBFPHUHV-UHFFFAOYSA-N 1 2 320.809 1.972 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000596589620 356926641 /nfs/dbraw/zinc/92/66/41/356926641.db2.gz AGLCKITUOZXLGC-CQSZACIVSA-N 1 2 302.378 1.476 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000596589620 356926643 /nfs/dbraw/zinc/92/66/43/356926643.db2.gz AGLCKITUOZXLGC-CQSZACIVSA-N 1 2 302.378 1.476 20 30 DDEDLO COC(=O)[C@H]1[C@@H](O)CCC[N@@H+]1Cc1ccc(C2(C#N)CC2)cc1 ZINC000597110302 357063612 /nfs/dbraw/zinc/06/36/12/357063612.db2.gz IEBQEYWSJFLAGO-JKSUJKDBSA-N 1 2 314.385 1.740 20 30 DDEDLO COC(=O)[C@H]1[C@@H](O)CCC[N@H+]1Cc1ccc(C2(C#N)CC2)cc1 ZINC000597110302 357063615 /nfs/dbraw/zinc/06/36/15/357063615.db2.gz IEBQEYWSJFLAGO-JKSUJKDBSA-N 1 2 314.385 1.740 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)NC[C@H](O)C1CCOCC1 ZINC000329654825 223009553 /nfs/dbraw/zinc/00/95/53/223009553.db2.gz FMOIRLBZPTVVTB-AWEZNQCLSA-N 1 2 322.409 1.011 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)N[C@@H]3CCC[C@@H]3C#N)CC2)cn1 ZINC000329653284 223009038 /nfs/dbraw/zinc/00/90/38/223009038.db2.gz LEKPBTAUYJFBRS-HUUCEWRRSA-N 1 2 316.409 1.144 20 30 DDEDLO COCC1(C)CCN(C([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)CC1 ZINC000329708342 223016143 /nfs/dbraw/zinc/01/61/43/223016143.db2.gz LAKKFQSSTVEMIU-GFCCVEGCSA-N 1 2 306.410 1.930 20 30 DDEDLO COCC1(C)CCN(C([O-])=[NH+][C@@H]2CCc3[nH+]c[nH]c3C2)CC1 ZINC000329708342 223016147 /nfs/dbraw/zinc/01/61/47/223016147.db2.gz LAKKFQSSTVEMIU-GFCCVEGCSA-N 1 2 306.410 1.930 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N[C@H]2Cc3c[nH+]cn3C2)cc1 ZINC000598949341 357771947 /nfs/dbraw/zinc/77/19/47/357771947.db2.gz YGZIYCCQAQCTJR-GJZGRUSLSA-N 1 2 305.341 1.178 20 30 DDEDLO Cc1ccc(C#N)nc1NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000599183818 357842568 /nfs/dbraw/zinc/84/25/68/357842568.db2.gz AKHQNRNGBYEOIX-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(C#N)nc1NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000599183818 357842571 /nfs/dbraw/zinc/84/25/71/357842571.db2.gz AKHQNRNGBYEOIX-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO COC[C@@]1(C)C[N@H+](CCOc2ccc(C#N)cc2OC)CCO1 ZINC000599694401 358028863 /nfs/dbraw/zinc/02/88/63/358028863.db2.gz STKFFXWZDQWBMU-QGZVFWFLSA-N 1 2 320.389 1.683 20 30 DDEDLO COC[C@@]1(C)C[N@@H+](CCOc2ccc(C#N)cc2OC)CCO1 ZINC000599694401 358028867 /nfs/dbraw/zinc/02/88/67/358028867.db2.gz STKFFXWZDQWBMU-QGZVFWFLSA-N 1 2 320.389 1.683 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)[C@@H]3CCc4[nH+]ccn4C3)C2)c1 ZINC000601677762 358650091 /nfs/dbraw/zinc/65/00/91/358650091.db2.gz MOPVUEVCMKPOQC-CQSZACIVSA-N 1 2 321.384 1.640 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@H]1CCn2cc(C)[nH+]c2C1)C(=O)OC ZINC000601787941 358696024 /nfs/dbraw/zinc/69/60/24/358696024.db2.gz MKUAVLDOTIXRAE-QWHCGFSZSA-N 1 2 305.378 1.378 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(c3ccc(C(N)=O)cc3)CC2)n1 ZINC000602473198 359001436 /nfs/dbraw/zinc/00/14/36/359001436.db2.gz KGAXULLVSMEWBV-UHFFFAOYSA-N 1 2 321.384 1.374 20 30 DDEDLO CC(C)CN1C[C@@H]2C[N@H+](Cc3cccc(C#N)n3)CCN2C1=O ZINC000602637965 359091476 /nfs/dbraw/zinc/09/14/76/359091476.db2.gz AHVSQOUMOXKXFX-INIZCTEOSA-N 1 2 313.405 1.531 20 30 DDEDLO CC(C)CN1C[C@@H]2C[N@@H+](Cc3cccc(C#N)n3)CCN2C1=O ZINC000602637965 359091479 /nfs/dbraw/zinc/09/14/79/359091479.db2.gz AHVSQOUMOXKXFX-INIZCTEOSA-N 1 2 313.405 1.531 20 30 DDEDLO C=CCOC[C@@H]([NH2+]C[C@H]1CCN(c2ccccc2)C1)C(=O)OC ZINC000602644378 359094923 /nfs/dbraw/zinc/09/49/23/359094923.db2.gz MZXSXYFHENTDGN-NVXWUHKLSA-N 1 2 318.417 1.847 20 30 DDEDLO COc1cccc(NC(=O)C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)c1 ZINC000602855737 359242288 /nfs/dbraw/zinc/24/22/88/359242288.db2.gz IJLKWUSKZGLVRF-ZIAGYGMSSA-N 1 2 316.405 1.552 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[NH+]1CCN(CC(F)(F)CO)CC1 ZINC000602875107 359259143 /nfs/dbraw/zinc/25/91/43/359259143.db2.gz LJGBOMVUAFUORS-CYBMUJFWSA-N 1 2 309.360 1.864 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)NCCc1cnn(-c2ccccc2)c1 ZINC000602869095 359253054 /nfs/dbraw/zinc/25/30/54/359253054.db2.gz MERMAIIQFDTEGP-CQSZACIVSA-N 1 2 311.389 1.423 20 30 DDEDLO CC(C)(CNC(=O)Nc1ccnc(C#N)c1)[NH+]1CCOCC1 ZINC000603137464 359426718 /nfs/dbraw/zinc/42/67/18/359426718.db2.gz HIRDJTRYCXMXLF-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1cc(F)cc(C#N)c1 ZINC000603079216 359391349 /nfs/dbraw/zinc/39/13/49/359391349.db2.gz SNAPYGOZZFZKCK-LBPRGKRZSA-N 1 2 319.384 1.455 20 30 DDEDLO COC1CC[NH+](Cc2cn(C[C@H](O)CC(C)(C)C#N)nn2)CC1 ZINC000603268052 359527756 /nfs/dbraw/zinc/52/77/56/359527756.db2.gz RMWKPGOANQHOHO-CQSZACIVSA-N 1 2 321.425 1.190 20 30 DDEDLO Cn1ccc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)n1 ZINC000608956791 360254974 /nfs/dbraw/zinc/25/49/74/360254974.db2.gz GQEVCWSONDRHEP-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO CNC(=O)[C@]1(C)CC[N@@H+]([C@@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000281180551 216135093 /nfs/dbraw/zinc/13/50/93/216135093.db2.gz QVFNZYISIGMHCD-RHSMWYFYSA-N 1 2 315.373 1.230 20 30 DDEDLO CNC(=O)[C@]1(C)CC[N@H+]([C@@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000281180551 216135095 /nfs/dbraw/zinc/13/50/95/216135095.db2.gz QVFNZYISIGMHCD-RHSMWYFYSA-N 1 2 315.373 1.230 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000609486272 360313825 /nfs/dbraw/zinc/31/38/25/360313825.db2.gz HBMIUJMXVNLBAH-HOCLYGCPSA-N 1 2 306.454 1.599 20 30 DDEDLO COc1cc(OC)cc(N2CC[C@H]([NH2+][C@@H](C)CC#N)C2=O)c1 ZINC000610483083 360447785 /nfs/dbraw/zinc/44/77/85/360447785.db2.gz YLJCEYTXYFKBRH-NHYWBVRUSA-N 1 2 303.362 1.701 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)Nc1sccc1C#N ZINC000610392334 360425289 /nfs/dbraw/zinc/42/52/89/360425289.db2.gz XMSFOZNAANLMQW-UHFFFAOYSA-N 1 2 306.391 1.810 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)Nc1sccc1C#N ZINC000610392334 360425294 /nfs/dbraw/zinc/42/52/94/360425294.db2.gz XMSFOZNAANLMQW-UHFFFAOYSA-N 1 2 306.391 1.810 20 30 DDEDLO N#C[C@@H]1CCC[C@@H]1NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000610498164 360453527 /nfs/dbraw/zinc/45/35/27/360453527.db2.gz NAGVZIYHBSNOKL-YOEHRIQHSA-N 1 2 313.401 1.941 20 30 DDEDLO C[C@@H]1CCC[C@H](NC(=O)C[NH+]2CCN(CCC#N)CC2)[C@H]1C ZINC000611173788 360646909 /nfs/dbraw/zinc/64/69/09/360646909.db2.gz KQGSANVQGLFGFU-PMPSAXMXSA-N 1 2 306.454 1.459 20 30 DDEDLO NC(=O)N1CC[C@H](NC(=O)[C@@H]2COCC[N@@H+]2CC2CCC2)C1 ZINC000330100235 223075158 /nfs/dbraw/zinc/07/51/58/223075158.db2.gz DSROQFGSVNXVON-STQMWFEESA-N 1 2 310.398 1.011 20 30 DDEDLO NC(=O)N1CC[C@H](NC(=O)[C@@H]2COCC[N@H+]2CC2CCC2)C1 ZINC000330100235 223075160 /nfs/dbraw/zinc/07/51/60/223075160.db2.gz DSROQFGSVNXVON-STQMWFEESA-N 1 2 310.398 1.011 20 30 DDEDLO COc1cnc(NC(=O)N[C@@H](C)C[NH+]2CCOCC2)s1 ZINC000330489535 223122227 /nfs/dbraw/zinc/12/22/27/223122227.db2.gz LUHWOUGSYYOBAL-VIFPVBQESA-N 1 2 300.384 1.198 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)ccc1Cl ZINC000612610412 361080670 /nfs/dbraw/zinc/08/06/70/361080670.db2.gz USSFFSAYNNMSNZ-AWEZNQCLSA-N 1 2 319.792 1.758 20 30 DDEDLO COC[C@@H]1C[N@@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C[C@H](C)O1 ZINC000331257973 223198397 /nfs/dbraw/zinc/19/83/97/223198397.db2.gz DVWOKDHKKJGUGV-KNCOVGOOSA-N 1 2 311.426 1.165 20 30 DDEDLO COC[C@@H]1C[N@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C[C@H](C)O1 ZINC000331257973 223198398 /nfs/dbraw/zinc/19/83/98/223198398.db2.gz DVWOKDHKKJGUGV-KNCOVGOOSA-N 1 2 311.426 1.165 20 30 DDEDLO N#C[C@@]1(C(=O)NCC2([NH+]3CCOCC3)CC2)CC12CCCC2 ZINC000333271334 223219660 /nfs/dbraw/zinc/21/96/60/223219660.db2.gz CNXRKOBLTCGZSF-QGZVFWFLSA-N 1 2 303.406 1.441 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)[C@H](C)n3cnc(C#N)n3)[nH+]c12 ZINC000619595839 364076171 /nfs/dbraw/zinc/07/61/71/364076171.db2.gz ZYRBRICJOCITTQ-LBPRGKRZSA-N 1 2 323.360 1.026 20 30 DDEDLO C[C@H]([NH2+]Cc1nnn(CC(F)(F)F)n1)c1cccc(C#N)c1 ZINC000619649711 364100844 /nfs/dbraw/zinc/10/08/44/364100844.db2.gz AKSSCDJGVKLXIO-VIFPVBQESA-N 1 2 310.283 1.958 20 30 DDEDLO C=C[C@@H](C(=O)OC)N1CC[NH+](Cc2ccc(OC)c(F)c2)CC1 ZINC000619716492 364128029 /nfs/dbraw/zinc/12/80/29/364128029.db2.gz LQKIIRSSYDTERK-HNNXBMFYSA-N 1 2 322.380 1.680 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1C[C@@H](C)O[C@@H](C(=O)OC)C1 ZINC000092013854 193141702 /nfs/dbraw/zinc/14/17/02/193141702.db2.gz NVRJVRYIEQSYLP-CZUORRHYSA-N 1 2 303.358 1.461 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1C[C@@H](C)O[C@@H](C(=O)OC)C1 ZINC000092013854 193141704 /nfs/dbraw/zinc/14/17/04/193141704.db2.gz NVRJVRYIEQSYLP-CZUORRHYSA-N 1 2 303.358 1.461 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000625461264 366941696 /nfs/dbraw/zinc/94/16/96/366941696.db2.gz OTODCLQMXQWVQZ-CQSZACIVSA-N 1 2 318.421 1.765 20 30 DDEDLO C[C@@H](CN(C)C(=O)CCn1cc[nH+]c1)Nc1ncccc1C#N ZINC001120462187 781960807 /nfs/dbraw/zinc/96/08/07/781960807.db2.gz WUKJJYXHJJXMFH-ZDUSSCGKSA-N 1 2 312.377 1.499 20 30 DDEDLO C#CCCNC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000265062072 204372141 /nfs/dbraw/zinc/37/21/41/204372141.db2.gz LZKCQGWWBJFZDH-ZDUSSCGKSA-N 1 2 307.419 1.444 20 30 DDEDLO CC[N@H+](CC(=O)N1CCOC[C@@H]1C#N)Cc1ccccc1Cl ZINC000339285009 284389942 /nfs/dbraw/zinc/38/99/42/284389942.db2.gz SAMNKKURAWEXMQ-AWEZNQCLSA-N 1 2 321.808 1.913 20 30 DDEDLO CC[N@@H+](CC(=O)N1CCOC[C@@H]1C#N)Cc1ccccc1Cl ZINC000339285009 284389943 /nfs/dbraw/zinc/38/99/43/284389943.db2.gz SAMNKKURAWEXMQ-AWEZNQCLSA-N 1 2 321.808 1.913 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000566917731 304188385 /nfs/dbraw/zinc/18/83/85/304188385.db2.gz MTKZQUKHZWQXHS-CQSZACIVSA-N 1 2 316.361 1.957 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000566917731 304188386 /nfs/dbraw/zinc/18/83/86/304188386.db2.gz MTKZQUKHZWQXHS-CQSZACIVSA-N 1 2 316.361 1.957 20 30 DDEDLO CS(=O)(=O)[C@H]1CCC[N@H+](CCOc2ccc(C#N)cc2)C1 ZINC000274160437 211390749 /nfs/dbraw/zinc/39/07/49/211390749.db2.gz MCKZLGUETCUANA-HNNXBMFYSA-N 1 2 308.403 1.446 20 30 DDEDLO CS(=O)(=O)[C@H]1CCC[N@@H+](CCOc2ccc(C#N)cc2)C1 ZINC000274160437 211390751 /nfs/dbraw/zinc/39/07/51/211390751.db2.gz MCKZLGUETCUANA-HNNXBMFYSA-N 1 2 308.403 1.446 20 30 DDEDLO COc1cc2c(cc1F)C[N@@H+]([C@H](C)C(=O)N(C)CCC#N)CC2 ZINC000348813592 533164215 /nfs/dbraw/zinc/16/42/15/533164215.db2.gz SFMPIXAYBNYCFG-GFCCVEGCSA-N 1 2 319.380 1.953 20 30 DDEDLO COc1cc2c(cc1F)C[N@H+]([C@H](C)C(=O)N(C)CCC#N)CC2 ZINC000348813592 533164223 /nfs/dbraw/zinc/16/42/23/533164223.db2.gz SFMPIXAYBNYCFG-GFCCVEGCSA-N 1 2 319.380 1.953 20 30 DDEDLO COCCO[C@@H]1C[C@H](CO)CN(c2cc(C)[nH+]cc2C#N)C1 ZINC000425261455 533182071 /nfs/dbraw/zinc/18/20/71/533182071.db2.gz QFQNTLJXVFCXOE-DZGCQCFKSA-N 1 2 305.378 1.112 20 30 DDEDLO CCN1CCN(C(=O)C2(C#N)CCCC2)C[C@H]1c1[nH]cc[nH+]1 ZINC000433015992 285291380 /nfs/dbraw/zinc/29/13/80/285291380.db2.gz ZVIAYOJHLRSIKZ-ZDUSSCGKSA-N 1 2 301.394 1.699 20 30 DDEDLO N#Cc1cccc(OCCC[N@H+]2CCSC[C@H]2C(N)=O)c1 ZINC000271615163 209063246 /nfs/dbraw/zinc/06/32/46/209063246.db2.gz VYBOZGAWZMAOIX-AWEZNQCLSA-N 1 2 305.403 1.230 20 30 DDEDLO N#Cc1cccc(OCCC[N@@H+]2CCSC[C@H]2C(N)=O)c1 ZINC000271615163 209063250 /nfs/dbraw/zinc/06/32/50/209063250.db2.gz VYBOZGAWZMAOIX-AWEZNQCLSA-N 1 2 305.403 1.230 20 30 DDEDLO COc1cccc(C2(F)CC[NH+](CC(=O)NCC#N)CC2)c1 ZINC000337253739 533437933 /nfs/dbraw/zinc/43/79/33/533437933.db2.gz PWBUNLZRABCASP-UHFFFAOYSA-N 1 2 305.353 1.596 20 30 DDEDLO COC(=O)[C@H]1CN(C(=O)Nc2c(C)cc(C)[nH+]c2C)CCO1 ZINC000331246911 533473361 /nfs/dbraw/zinc/47/33/61/533473361.db2.gz VOVVUQMJIVBCQB-GFCCVEGCSA-N 1 2 307.350 1.426 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000332093517 533601688 /nfs/dbraw/zinc/60/16/88/533601688.db2.gz CSJYPFZIOYLHTN-SMDDNHRTSA-N 1 2 302.378 1.130 20 30 DDEDLO COc1ccc(CNC(=O)C[NH+]2CCC(F)(C#N)CC2)cc1 ZINC000352479134 533581142 /nfs/dbraw/zinc/58/11/42/533581142.db2.gz AZUSSYOYFNRKNA-UHFFFAOYSA-N 1 2 305.353 1.639 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000071140629 406831296 /nfs/dbraw/zinc/83/12/96/406831296.db2.gz BKGIVVHVZWODIX-UHFFFAOYSA-N 1 2 308.338 1.252 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CCC)CC(=O)Nc1ccccc1OC ZINC000016620806 406883269 /nfs/dbraw/zinc/88/32/69/406883269.db2.gz YTSRSRATNDAFTK-UHFFFAOYSA-N 1 2 319.405 1.648 20 30 DDEDLO C=CCNC(=O)C[N@H+](CCC)CC(=O)Nc1ccccc1OC ZINC000016620806 406883271 /nfs/dbraw/zinc/88/32/71/406883271.db2.gz YTSRSRATNDAFTK-UHFFFAOYSA-N 1 2 319.405 1.648 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[NH+]2CCC(C(N)=O)CC2)c(C#N)c1C ZINC000025523195 406898848 /nfs/dbraw/zinc/89/88/48/406898848.db2.gz XLQXPJXXFIOIBD-SNVBAGLBSA-N 1 2 318.377 1.292 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000026458741 406904262 /nfs/dbraw/zinc/90/42/62/406904262.db2.gz WDTDKVAGGAROPS-AWEZNQCLSA-N 1 2 319.836 1.596 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CC[NH+](Cc2ccc(Cl)cc2)CC1 ZINC000026458741 406904265 /nfs/dbraw/zinc/90/42/65/406904265.db2.gz WDTDKVAGGAROPS-AWEZNQCLSA-N 1 2 319.836 1.596 20 30 DDEDLO C[C@H](c1ccc(Cl)cc1)[NH+]1CCN(CC(=O)NCC#N)CC1 ZINC000075863468 406943946 /nfs/dbraw/zinc/94/39/46/406943946.db2.gz HCIBQNRUKNJLMP-CYBMUJFWSA-N 1 2 320.824 1.658 20 30 DDEDLO C[C@H](CNC(=O)N(C)Cc1ccc(C#N)cc1)[NH+]1CCOCC1 ZINC000046262357 407058820 /nfs/dbraw/zinc/05/88/20/407058820.db2.gz UMBWIDICTUIVJO-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO CCS(=O)(=O)CC[N@H+](C)Cc1ccc(N(C)CCC#N)cc1 ZINC000093643475 407201547 /nfs/dbraw/zinc/20/15/47/407201547.db2.gz LOKZHYUUXKSAFR-UHFFFAOYSA-N 1 2 323.462 1.903 20 30 DDEDLO CCS(=O)(=O)CC[N@@H+](C)Cc1ccc(N(C)CCC#N)cc1 ZINC000093643475 407201549 /nfs/dbraw/zinc/20/15/49/407201549.db2.gz LOKZHYUUXKSAFR-UHFFFAOYSA-N 1 2 323.462 1.903 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)Nc1ccc(CC#N)cc1 ZINC000067538114 407275843 /nfs/dbraw/zinc/27/58/43/407275843.db2.gz FBAZABLATNDDCN-UHFFFAOYSA-N 1 2 302.378 1.549 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000103447699 407339370 /nfs/dbraw/zinc/33/93/70/407339370.db2.gz VWVCLIWXOFHNIJ-AWEZNQCLSA-N 1 2 307.438 1.544 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000111268176 407403674 /nfs/dbraw/zinc/40/36/74/407403674.db2.gz UPZNQKFABRUIFU-LBPRGKRZSA-N 1 2 318.377 1.165 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000111268176 407403675 /nfs/dbraw/zinc/40/36/75/407403675.db2.gz UPZNQKFABRUIFU-LBPRGKRZSA-N 1 2 318.377 1.165 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCOc1ccc(C#N)cc1 ZINC000112336267 407427042 /nfs/dbraw/zinc/42/70/42/407427042.db2.gz WUJGEAMZVYHCJT-UHFFFAOYSA-N 1 2 304.350 1.141 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CCN(S(=O)(=O)CC)CC2)cc1 ZINC000127208774 407430346 /nfs/dbraw/zinc/43/03/46/407430346.db2.gz KEBKJDUWCDECND-UHFFFAOYSA-N 1 2 324.446 1.719 20 30 DDEDLO NC(=O)[C@H]1CCC[N@@H+]1CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000127967915 407484713 /nfs/dbraw/zinc/48/47/13/407484713.db2.gz PKYJPMWKTNPRHV-CYBMUJFWSA-N 1 2 312.291 1.886 20 30 DDEDLO NC(=O)[C@H]1CCC[N@H+]1CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000127967915 407484723 /nfs/dbraw/zinc/48/47/23/407484723.db2.gz PKYJPMWKTNPRHV-CYBMUJFWSA-N 1 2 312.291 1.886 20 30 DDEDLO CCOC(=O)C1(O)CC[NH+](Cc2ccc(C#N)cc2OC)CC1 ZINC000271072601 407500219 /nfs/dbraw/zinc/50/02/19/407500219.db2.gz WCQXIOCJUGDUJC-UHFFFAOYSA-N 1 2 318.373 1.457 20 30 DDEDLO CC[C@H](CC#N)NC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000128144246 407500636 /nfs/dbraw/zinc/50/06/36/407500636.db2.gz KOQYJYDLSYTEIQ-GFCCVEGCSA-N 1 2 311.345 1.619 20 30 DDEDLO CO/N=C/c1ccc(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)cc1 ZINC000170629375 407460769 /nfs/dbraw/zinc/46/07/69/407460769.db2.gz ATMKVIZKUIRMAZ-XDHOZWIPSA-N 1 2 319.405 1.508 20 30 DDEDLO CO/N=C/c1ccc(C(=O)NCC[N@H+]2CCOC(C)(C)C2)cc1 ZINC000170629375 407460776 /nfs/dbraw/zinc/46/07/76/407460776.db2.gz ATMKVIZKUIRMAZ-XDHOZWIPSA-N 1 2 319.405 1.508 20 30 DDEDLO N#CC1(C(=O)NC[C@@H](c2ccccc2)[NH+]2CCOCC2)CCC1 ZINC000128979636 407587985 /nfs/dbraw/zinc/58/79/85/407587985.db2.gz MMROZPCLBGZZIH-INIZCTEOSA-N 1 2 313.401 1.870 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](CCOc3ccccc3)CC2)CCC1 ZINC000128363745 407527014 /nfs/dbraw/zinc/52/70/14/407527014.db2.gz YBUYYVXJPUXOEL-UHFFFAOYSA-N 1 2 313.401 1.903 20 30 DDEDLO N#CCCCCNC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000171733246 407755035 /nfs/dbraw/zinc/75/50/35/407755035.db2.gz MPXRIQGSUNDVSI-UHFFFAOYSA-N 1 2 317.393 1.411 20 30 DDEDLO CC(C)CN1CC[N@H+](CCOc2ccc(C#N)cc2)CC1=O ZINC000187057941 407819876 /nfs/dbraw/zinc/81/98/76/407819876.db2.gz KABVACYSDCFHLQ-UHFFFAOYSA-N 1 2 301.390 1.737 20 30 DDEDLO CC(C)CN1CC[N@@H+](CCOc2ccc(C#N)cc2)CC1=O ZINC000187057941 407819886 /nfs/dbraw/zinc/81/98/86/407819886.db2.gz KABVACYSDCFHLQ-UHFFFAOYSA-N 1 2 301.390 1.737 20 30 DDEDLO CCN(CC)c1ccc(CNS(=O)(=O)CC2(C#N)CC2)c[nH+]1 ZINC000180407007 407886672 /nfs/dbraw/zinc/88/66/72/407886672.db2.gz GTFWORDUIBWVEL-UHFFFAOYSA-N 1 2 322.434 1.651 20 30 DDEDLO C#CCCCCNC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000268212951 407949204 /nfs/dbraw/zinc/94/92/04/407949204.db2.gz PHGWONVOZOZAPX-UHFFFAOYSA-N 1 2 316.405 1.521 20 30 DDEDLO C=CCNC(=O)[C@H](C)N1CCc2c([nH+]cn2-c2ccccc2)C1 ZINC000272552394 407978448 /nfs/dbraw/zinc/97/84/48/407978448.db2.gz OZSNACHLOAHEGI-AWEZNQCLSA-N 1 2 310.401 1.921 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@H](Cc2ccccc2)C1 ZINC000181566170 408014487 /nfs/dbraw/zinc/01/44/87/408014487.db2.gz DMJIESHUZOSSAN-DOTOQJQBSA-N 1 2 315.417 1.690 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@H](Cc2ccccc2)C1 ZINC000181566170 408014493 /nfs/dbraw/zinc/01/44/93/408014493.db2.gz DMJIESHUZOSSAN-DOTOQJQBSA-N 1 2 315.417 1.690 20 30 DDEDLO COCc1ccc(CN(CCC#N)CC[NH+]2CCOCC2)o1 ZINC000154759454 408083287 /nfs/dbraw/zinc/08/32/87/408083287.db2.gz YVNZCLWFPIRQGI-UHFFFAOYSA-N 1 2 307.394 1.474 20 30 DDEDLO Cn1ccnc1CN1CC[NH+](Cc2ccc(C#N)s2)CC1 ZINC000119548623 408094136 /nfs/dbraw/zinc/09/41/36/408094136.db2.gz LBYMYUZYBSOLIK-UHFFFAOYSA-N 1 2 301.419 1.671 20 30 DDEDLO C=CC[C@@H](CO)Nc1[nH+]cnc2c1c(Br)nn2C ZINC000273190074 408099655 /nfs/dbraw/zinc/09/96/55/408099655.db2.gz CNTKQVMETFFJIG-ZETCQYMHSA-N 1 2 312.171 1.475 20 30 DDEDLO C[C@H](c1ccc(Cl)cc1)N(C)C(=O)CO[NH+]=C(N)CCO ZINC000121363877 408193212 /nfs/dbraw/zinc/19/32/12/408193212.db2.gz HIQMCAWWEGVPLD-SNVBAGLBSA-N 1 2 313.785 1.531 20 30 DDEDLO CO[C@@H](C)c1noc(C[N@H+](C)C[C@H](O)c2ccc(C#N)cc2)n1 ZINC000268679093 408152676 /nfs/dbraw/zinc/15/26/76/408152676.db2.gz LYUYRXOZYKIQHK-FZMZJTMJSA-N 1 2 316.361 1.814 20 30 DDEDLO CO[C@@H](C)c1noc(C[N@@H+](C)C[C@H](O)c2ccc(C#N)cc2)n1 ZINC000268679093 408152682 /nfs/dbraw/zinc/15/26/82/408152682.db2.gz LYUYRXOZYKIQHK-FZMZJTMJSA-N 1 2 316.361 1.814 20 30 DDEDLO CC[N@H+](Cc1ccc(C#N)cc1OC)[C@@H]1CCS(=O)(=O)C1 ZINC000121287692 408177933 /nfs/dbraw/zinc/17/79/33/408177933.db2.gz CQAACETUQNUKFS-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO CC[N@@H+](Cc1ccc(C#N)cc1OC)[C@@H]1CCS(=O)(=O)C1 ZINC000121287692 408177937 /nfs/dbraw/zinc/17/79/37/408177937.db2.gz CQAACETUQNUKFS-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO CCOCCOCC[N@H+](C)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000190260302 408205776 /nfs/dbraw/zinc/20/57/76/408205776.db2.gz NICDHBIALOFUQB-UHFFFAOYSA-N 1 2 323.393 1.692 20 30 DDEDLO CCOCCOCC[N@@H+](C)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000190260302 408205783 /nfs/dbraw/zinc/20/57/83/408205783.db2.gz NICDHBIALOFUQB-UHFFFAOYSA-N 1 2 323.393 1.692 20 30 DDEDLO Cc1cc(N2CCN(c3nccnc3C#N)CC2)nc(C(C)C)[nH+]1 ZINC000263732528 408229918 /nfs/dbraw/zinc/22/99/18/408229918.db2.gz CLODEHGDSJEWGS-UHFFFAOYSA-N 1 2 323.404 1.897 20 30 DDEDLO COC[C@H]([NH2+]CC(=O)N(CCC#N)CCC#N)c1ccc(C)o1 ZINC000273518021 408231454 /nfs/dbraw/zinc/23/14/54/408231454.db2.gz QAKXBCASDMLOLW-AWEZNQCLSA-N 1 2 318.377 1.521 20 30 DDEDLO CC(C)(CNC(=O)c1c(F)cc(C#N)cc1F)[NH+]1CCOCC1 ZINC000151160599 408267618 /nfs/dbraw/zinc/26/76/18/408267618.db2.gz TYGNOMCEICNPEF-UHFFFAOYSA-N 1 2 323.343 1.677 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCC[C@H](C(F)(F)F)C1 ZINC000176882645 408464204 /nfs/dbraw/zinc/46/42/04/408464204.db2.gz VXJLLGWXGWTQDK-LBPRGKRZSA-N 1 2 316.327 1.917 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H](C(F)(F)F)C1 ZINC000176882645 408464209 /nfs/dbraw/zinc/46/42/09/408464209.db2.gz VXJLLGWXGWTQDK-LBPRGKRZSA-N 1 2 316.327 1.917 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(F)c(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000176876749 408464393 /nfs/dbraw/zinc/46/43/93/408464393.db2.gz FCOGOSKFMVRZRF-CQSZACIVSA-N 1 2 308.378 1.872 20 30 DDEDLO C=CC[N@H+](Cc1ccc(F)c(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000176876749 408464396 /nfs/dbraw/zinc/46/43/96/408464396.db2.gz FCOGOSKFMVRZRF-CQSZACIVSA-N 1 2 308.378 1.872 20 30 DDEDLO C=C(C)CNC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000161144421 408564804 /nfs/dbraw/zinc/56/48/04/408564804.db2.gz GBMGGPLYITXSNQ-INIZCTEOSA-N 1 2 303.406 1.763 20 30 DDEDLO C=C(C)CNC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000161144421 408564807 /nfs/dbraw/zinc/56/48/07/408564807.db2.gz GBMGGPLYITXSNQ-INIZCTEOSA-N 1 2 303.406 1.763 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([N@H+](C)Cc2ncccn2)C1 ZINC000275988621 408742146 /nfs/dbraw/zinc/74/21/46/408742146.db2.gz ORZKMWMWEISSCZ-HNNXBMFYSA-N 1 2 300.406 1.703 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000275988621 408742149 /nfs/dbraw/zinc/74/21/49/408742149.db2.gz ORZKMWMWEISSCZ-HNNXBMFYSA-N 1 2 300.406 1.703 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)N(CC)CC(=C)C)C1=O ZINC000281362930 408882996 /nfs/dbraw/zinc/88/29/96/408882996.db2.gz NWWPAUSXGVQONG-HUUCEWRRSA-N 1 2 307.438 1.518 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)N(CC)CC(=C)C)C1=O ZINC000281362930 408883000 /nfs/dbraw/zinc/88/30/00/408883000.db2.gz NWWPAUSXGVQONG-HUUCEWRRSA-N 1 2 307.438 1.518 20 30 DDEDLO C=C(C[NH2+]Cc1nccn1S(=O)(=O)N(C)C)c1ccccc1 ZINC000290803016 408835955 /nfs/dbraw/zinc/83/59/55/408835955.db2.gz FJBHHYDPMWHXNH-UHFFFAOYSA-N 1 2 320.418 1.341 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(C(=O)OCC)o2)C1=O ZINC000281096392 408871538 /nfs/dbraw/zinc/87/15/38/408871538.db2.gz ABPXBKLVZUGJLH-ZDUSSCGKSA-N 1 2 306.362 1.675 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(C(=O)OCC)o2)C1=O ZINC000281096392 408871540 /nfs/dbraw/zinc/87/15/40/408871540.db2.gz ABPXBKLVZUGJLH-ZDUSSCGKSA-N 1 2 306.362 1.675 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[NH+](Cc2ccncc2)CC1)C(C)C ZINC000281088405 408872362 /nfs/dbraw/zinc/87/23/62/408872362.db2.gz OQYSAGQKQSCALV-MRXNPFEDSA-N 1 2 300.406 1.567 20 30 DDEDLO Cc1nc(NC[C@H](C(C)C)N2CC[NH+](C)CC2)ccc1C#N ZINC000291751976 408917534 /nfs/dbraw/zinc/91/75/34/408917534.db2.gz ZVVQGYQIKKOQCO-MRXNPFEDSA-N 1 2 301.438 1.946 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)C(=O)Nc1c[nH+]ccc1OC ZINC000281846439 408940993 /nfs/dbraw/zinc/94/09/93/408940993.db2.gz QYTIASPBZULHKO-FZMZJTMJSA-N 1 2 319.361 1.126 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+](CC)CCOCCO)c1C ZINC000282046124 408979545 /nfs/dbraw/zinc/97/95/45/408979545.db2.gz LUFIOAOHGFAOHO-UHFFFAOYSA-N 1 2 308.422 1.804 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+](CC)CCOCCO)c1C ZINC000282046124 408979546 /nfs/dbraw/zinc/97/95/46/408979546.db2.gz LUFIOAOHGFAOHO-UHFFFAOYSA-N 1 2 308.422 1.804 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](Cc3ncnn3CCF)C2)nc1 ZINC000292158024 408992205 /nfs/dbraw/zinc/99/22/05/408992205.db2.gz KREPPNSATMALHA-CYBMUJFWSA-N 1 2 316.340 1.168 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](Cc3ncnn3CCF)C2)nc1 ZINC000292158024 408992207 /nfs/dbraw/zinc/99/22/07/408992207.db2.gz KREPPNSATMALHA-CYBMUJFWSA-N 1 2 316.340 1.168 20 30 DDEDLO C#CCSCCNc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000277966275 409042402 /nfs/dbraw/zinc/04/24/02/409042402.db2.gz YPODDVFMQVODSV-CYBMUJFWSA-N 1 2 306.435 1.606 20 30 DDEDLO C#CCSCCNc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000277966275 409042404 /nfs/dbraw/zinc/04/24/04/409042404.db2.gz YPODDVFMQVODSV-CYBMUJFWSA-N 1 2 306.435 1.606 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](C)[C@@H](Cc1ccccc1)C(=O)OCC ZINC000293176069 409063517 /nfs/dbraw/zinc/06/35/17/409063517.db2.gz SHFSXKUNUCAZPB-INIZCTEOSA-N 1 2 316.401 1.232 20 30 DDEDLO C#CCNC(=O)CC[N@H+](C)[C@@H](Cc1ccccc1)C(=O)OCC ZINC000293176069 409063519 /nfs/dbraw/zinc/06/35/19/409063519.db2.gz SHFSXKUNUCAZPB-INIZCTEOSA-N 1 2 316.401 1.232 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000293226062 409071323 /nfs/dbraw/zinc/07/13/23/409071323.db2.gz GZAACTHMGZSLDB-ZDUSSCGKSA-N 1 2 310.394 1.954 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000293226062 409071327 /nfs/dbraw/zinc/07/13/27/409071327.db2.gz GZAACTHMGZSLDB-ZDUSSCGKSA-N 1 2 310.394 1.954 20 30 DDEDLO Cc1ccc2[nH+]c(CNS(=O)(=O)N(C)C[C@@H](C)C#N)cn2c1 ZINC000282983967 409071996 /nfs/dbraw/zinc/07/19/96/409071996.db2.gz XNLSVVXBVKSIBJ-LBPRGKRZSA-N 1 2 321.406 1.069 20 30 DDEDLO CN(C)c1[nH]c2ccc(NC(=O)C3(C#N)CCOCC3)cc2[nH+]1 ZINC000283109914 409089661 /nfs/dbraw/zinc/08/96/61/409089661.db2.gz VJJYRXVHLKKCHC-UHFFFAOYSA-N 1 2 313.361 1.888 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)NCc1ccc(C#N)cc1 ZINC000279175717 409163452 /nfs/dbraw/zinc/16/34/52/409163452.db2.gz GFANUEAOYADEIB-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO Cc1ccc([C@H](C)NC(=O)CO[NH+]=C(N)[C@@H]2CCCO2)cc1C ZINC000283762015 409212090 /nfs/dbraw/zinc/21/20/90/409212090.db2.gz BBISMLQWHYINPW-ZFWWWQNUSA-N 1 2 319.405 1.948 20 30 DDEDLO C=CCN1CC[C@@H](O[NH+]=C(N)c2ccc3c(c2)CCO3)C1=O ZINC000289308016 409245702 /nfs/dbraw/zinc/24/57/02/409245702.db2.gz AYSQSESWEIDNAL-CQSZACIVSA-N 1 2 301.346 1.045 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000294541609 409293061 /nfs/dbraw/zinc/29/30/61/409293061.db2.gz SOCGOUDVFQREML-DOMZBBRYSA-N 1 2 315.348 1.803 20 30 DDEDLO NC(=[NH+]OCC(=O)N[C@@H](c1ccccc1)C1CC1)[C@@H]1CCCO1 ZINC000284460400 409341139 /nfs/dbraw/zinc/34/11/39/409341139.db2.gz QQQZIMFTHRHEMB-HOCLYGCPSA-N 1 2 317.389 1.722 20 30 DDEDLO COc1ccc(CN(C)C(=O)CO[NH+]=C(N)[C@@H]2CCCO2)cc1 ZINC000284456611 409342184 /nfs/dbraw/zinc/34/21/84/409342184.db2.gz QLOUMEAJBMMLIP-AWEZNQCLSA-N 1 2 321.377 1.121 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@]2(CCO[C@@H]2C)C1 ZINC000280530625 409343727 /nfs/dbraw/zinc/34/37/27/409343727.db2.gz SJBREBYJLUQGCE-CXAGYDPISA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@]2(CCO[C@@H]2C)C1 ZINC000280530625 409343731 /nfs/dbraw/zinc/34/37/31/409343731.db2.gz SJBREBYJLUQGCE-CXAGYDPISA-N 1 2 302.374 1.947 20 30 DDEDLO NC(=[NH+]OCC(=O)Nc1ccc(F)c(Cl)c1)[C@@H]1CCCO1 ZINC000284565093 409348681 /nfs/dbraw/zinc/34/86/81/409348681.db2.gz WOIDQFKCDRSREU-NSHDSACASA-N 1 2 315.732 1.885 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(C3CC3)cc2)CC1 ZINC000295280224 409412109 /nfs/dbraw/zinc/41/21/09/409412109.db2.gz CYLPFLTUJNKIMQ-UHFFFAOYSA-N 1 2 318.442 1.894 20 30 DDEDLO COCC[NH+]1CCN(C(=O)[C@H](C)Oc2ccc(C#N)cc2)CC1 ZINC000280718632 409423984 /nfs/dbraw/zinc/42/39/84/409423984.db2.gz HGVQQYBRPONHNM-AWEZNQCLSA-N 1 2 317.389 1.116 20 30 DDEDLO C[C@@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H]1CO ZINC000305158404 409705370 /nfs/dbraw/zinc/70/53/70/409705370.db2.gz DGKZTWRIBHOFEF-CZUORRHYSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H]1CO ZINC000305158404 409705379 /nfs/dbraw/zinc/70/53/79/409705379.db2.gz DGKZTWRIBHOFEF-CZUORRHYSA-N 1 2 322.430 1.425 20 30 DDEDLO Cn1c[nH+]cc1CNS(=O)(=O)Cc1cc(C#N)ccc1F ZINC000349807186 409911110 /nfs/dbraw/zinc/91/11/10/409911110.db2.gz BEOXCYNFYNHXSH-UHFFFAOYSA-N 1 2 308.338 1.050 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000332112971 409896588 /nfs/dbraw/zinc/89/65/88/409896588.db2.gz ULEDJUZXRWOYHW-UHFFFAOYSA-N 1 2 313.361 1.151 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)N[C@H]3CSC3(C)C)CC2)cn1 ZINC000328742133 409969472 /nfs/dbraw/zinc/96/94/72/409969472.db2.gz JHBZVWXKLFKKIH-ZDUSSCGKSA-N 1 2 323.466 1.346 20 30 DDEDLO C[C@H](CNC(=O)C[C@@H]1CCCCNC1=O)[NH+]1CCN(C)CC1 ZINC000328771034 409976347 /nfs/dbraw/zinc/97/63/47/409976347.db2.gz JFZWWQLTNGMBAO-KGLIPLIRSA-N 1 2 310.442 1.726 20 30 DDEDLO CN(C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)[C@@H]1CCNC1=O ZINC000328814606 409985082 /nfs/dbraw/zinc/98/50/82/409985082.db2.gz GHHJMRPYEYZEDU-VXGBXAGGSA-N 1 2 303.366 1.235 20 30 DDEDLO Cc1noc(C2CC2)c1NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000328946809 410016327 /nfs/dbraw/zinc/01/63/27/410016327.db2.gz BFSHOQLZBCVMEY-OLZOCXBDSA-N 1 2 320.393 1.811 20 30 DDEDLO Cc1noc(C2CC2)c1NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000328946809 410016333 /nfs/dbraw/zinc/01/63/33/410016333.db2.gz BFSHOQLZBCVMEY-OLZOCXBDSA-N 1 2 320.393 1.811 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)NC[C@@]1(O)CCOC1 ZINC000328977554 410033337 /nfs/dbraw/zinc/03/33/37/410033337.db2.gz QLTCJYYSNJNOSX-IOASZLSFSA-N 1 2 305.378 1.262 20 30 DDEDLO Cc1nn(C)cc1[C@H](C)NC(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000328866278 409994310 /nfs/dbraw/zinc/99/43/10/409994310.db2.gz NSQIMZUEMUDTSC-AAEUAGOBSA-N 1 2 316.409 1.927 20 30 DDEDLO CC1CC[NH+](CC(=O)NCCC2CCS(=O)(=O)CC2)CC1 ZINC000329025699 410058450 /nfs/dbraw/zinc/05/84/50/410058450.db2.gz VJBKCGAFCUQTKZ-UHFFFAOYSA-N 1 2 316.467 1.890 20 30 DDEDLO CCn1cnnc1NC(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000329112553 410109137 /nfs/dbraw/zinc/10/91/37/410109137.db2.gz KRFKDRRMJDEJJV-UHFFFAOYSA-N 1 2 317.397 1.532 20 30 DDEDLO CN(C)c1ccc(CNC(=O)C2(N3CCOCC3)CCC2)c[nH+]1 ZINC000329110898 410110899 /nfs/dbraw/zinc/11/08/99/410110899.db2.gz QQQBVHHJTSTPBG-UHFFFAOYSA-N 1 2 318.421 1.859 20 30 DDEDLO CCN(CCC#N)C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000354928205 410183012 /nfs/dbraw/zinc/18/30/12/410183012.db2.gz XPVVQOZXNFPPLO-CQSZACIVSA-N 1 2 319.409 1.245 20 30 DDEDLO CCN(CCC#N)C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000354928205 410183021 /nfs/dbraw/zinc/18/30/21/410183021.db2.gz XPVVQOZXNFPPLO-CQSZACIVSA-N 1 2 319.409 1.245 20 30 DDEDLO O=C(NCc1ncccc1O)[C@H]1COCC[N@@H+]1CC1CCC1 ZINC000329344232 410245519 /nfs/dbraw/zinc/24/55/19/410245519.db2.gz QJXDPRMXZILOPP-CQSZACIVSA-N 1 2 305.378 1.745 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+](CC(=O)Nc3ccc(C#N)cc3)C2)[nH]1 ZINC000329481610 410322203 /nfs/dbraw/zinc/32/22/03/410322203.db2.gz RGCWUVGFZWMBBZ-CQSZACIVSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+](CC(=O)Nc3ccc(C#N)cc3)C2)[nH]1 ZINC000329481610 410322209 /nfs/dbraw/zinc/32/22/09/410322209.db2.gz RGCWUVGFZWMBBZ-CQSZACIVSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+](CC(=O)Nc3ccc(C#N)cc3)C2)n1 ZINC000329481610 410322218 /nfs/dbraw/zinc/32/22/18/410322218.db2.gz RGCWUVGFZWMBBZ-CQSZACIVSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+](CC(=O)Nc3ccc(C#N)cc3)C2)n1 ZINC000329481610 410322225 /nfs/dbraw/zinc/32/22/25/410322225.db2.gz RGCWUVGFZWMBBZ-CQSZACIVSA-N 1 2 324.388 1.803 20 30 DDEDLO CC(=O)NC1CCC([NH+]=C([O-])N2CCn3c[nH+]cc3C2)CC1 ZINC000329545340 410353718 /nfs/dbraw/zinc/35/37/18/410353718.db2.gz GNYKUTRVFZGGBR-UHFFFAOYSA-N 1 2 305.382 1.900 20 30 DDEDLO CC(=O)NC1CCC(NC(=O)N2CCn3c[nH+]cc3C2)CC1 ZINC000329545340 410353727 /nfs/dbraw/zinc/35/37/27/410353727.db2.gz GNYKUTRVFZGGBR-UHFFFAOYSA-N 1 2 305.382 1.900 20 30 DDEDLO Cn1cc[nH+]c1CNS(=O)(=O)Cc1cc(C#N)ccc1F ZINC000355093203 410311194 /nfs/dbraw/zinc/31/11/94/410311194.db2.gz ODIGSIQKIWUKGW-UHFFFAOYSA-N 1 2 308.338 1.050 20 30 DDEDLO N#Cc1cccc([C@H]2CN(C(=O)CCc3[nH]cc[nH+]3)CCO2)c1 ZINC000355550438 410574092 /nfs/dbraw/zinc/57/40/92/410574092.db2.gz HWBKVIXHGWORTN-OAHLLOKOSA-N 1 2 310.357 1.814 20 30 DDEDLO CC(C)(CNC(=O)CNc1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000355559938 410580420 /nfs/dbraw/zinc/58/04/20/410580420.db2.gz UYXPBNDHQIJTOH-UHFFFAOYSA-N 1 2 316.405 1.197 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)N[C@H]2CCOC3(CCCC3)C2)C1 ZINC000330194700 410581621 /nfs/dbraw/zinc/58/16/21/410581621.db2.gz BFPVDWAGIUNAPU-LSDHHAIUSA-N 1 2 324.469 1.228 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)N[C@H]2CCOC3(CCCC3)C2)C1 ZINC000330194700 410581626 /nfs/dbraw/zinc/58/16/26/410581626.db2.gz BFPVDWAGIUNAPU-LSDHHAIUSA-N 1 2 324.469 1.228 20 30 DDEDLO CC1(C)[C@@H](O)CC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000336846869 410641732 /nfs/dbraw/zinc/64/17/32/410641732.db2.gz BTSGVIIZJIRFJZ-AWEZNQCLSA-N 1 2 308.403 1.177 20 30 DDEDLO CC1(C)[C@@H](O)CC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000336846869 410641739 /nfs/dbraw/zinc/64/17/39/410641739.db2.gz BTSGVIIZJIRFJZ-AWEZNQCLSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)C1(c2ccc(C#N)cc2)CC1 ZINC000352440642 410657045 /nfs/dbraw/zinc/65/70/45/410657045.db2.gz YMPQKUQEPTZKEM-AWEZNQCLSA-N 1 2 313.401 1.427 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)C1(c2ccc(C#N)cc2)CC1 ZINC000352440642 410657052 /nfs/dbraw/zinc/65/70/52/410657052.db2.gz YMPQKUQEPTZKEM-AWEZNQCLSA-N 1 2 313.401 1.427 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H](S(C)(=O)=O)CC2)ccc1C#N ZINC000337380928 410746780 /nfs/dbraw/zinc/74/67/80/410746780.db2.gz ARAWLIFISUXWAU-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H](S(C)(=O)=O)CC2)ccc1C#N ZINC000337380928 410746784 /nfs/dbraw/zinc/74/67/84/410746784.db2.gz ARAWLIFISUXWAU-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2ccccc2C#N)C[C@H](C)[S@@]1=O ZINC000331132688 410971299 /nfs/dbraw/zinc/97/12/99/410971299.db2.gz BXBDBFNRTHFULC-SACXKMNMSA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2ccccc2C#N)C[C@H](C)[S@@]1=O ZINC000331132688 410971304 /nfs/dbraw/zinc/97/13/04/410971304.db2.gz BXBDBFNRTHFULC-SACXKMNMSA-N 1 2 319.430 1.728 20 30 DDEDLO Cc1cnc(C[NH2+][C@@H]2CCCN(c3ccc(C#N)cc3)C2=O)n1C ZINC000341271148 410973588 /nfs/dbraw/zinc/97/35/88/410973588.db2.gz KPRJOWDUQYGDDY-MRXNPFEDSA-N 1 2 323.400 1.885 20 30 DDEDLO Cc1c[nH+]c(CN[C@@H]2CCCN(c3ccc(C#N)cc3)C2=O)n1C ZINC000341271148 410973592 /nfs/dbraw/zinc/97/35/92/410973592.db2.gz KPRJOWDUQYGDDY-MRXNPFEDSA-N 1 2 323.400 1.885 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C ZINC000356355871 410981357 /nfs/dbraw/zinc/98/13/57/410981357.db2.gz XXFNWVOHLKMORG-BLLLJJGKSA-N 1 2 320.393 1.649 20 30 DDEDLO C=CC[C@H](CC)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000356366998 410987477 /nfs/dbraw/zinc/98/74/77/410987477.db2.gz LTCHPRXJMSMTBZ-ZFWWWQNUSA-N 1 2 320.437 1.954 20 30 DDEDLO C=CC[C@H](CC)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000356366998 410987483 /nfs/dbraw/zinc/98/74/83/410987483.db2.gz LTCHPRXJMSMTBZ-ZFWWWQNUSA-N 1 2 320.437 1.954 20 30 DDEDLO CCOC(=O)C[C@H]1C[C@H](OC)C[N@@H+]1Cc1nc(C#N)cs1 ZINC000356433854 411031547 /nfs/dbraw/zinc/03/15/47/411031547.db2.gz VEYAURWNCQWUPT-NEPJUHHUSA-N 1 2 309.391 1.557 20 30 DDEDLO CCOC(=O)C[C@H]1C[C@H](OC)C[N@H+]1Cc1nc(C#N)cs1 ZINC000356433854 411031552 /nfs/dbraw/zinc/03/15/52/411031552.db2.gz VEYAURWNCQWUPT-NEPJUHHUSA-N 1 2 309.391 1.557 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCCN(Cc2c[nH+]c3ccc(C#N)cn23)C1 ZINC000360198381 411096657 /nfs/dbraw/zinc/09/66/57/411096657.db2.gz KXFFECIGTFIVHV-CQSZACIVSA-N 1 2 318.402 1.215 20 30 DDEDLO C=CCNC(=O)CNC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000356636098 411113733 /nfs/dbraw/zinc/11/37/33/411113733.db2.gz UCKTWXFAYQEERP-UHFFFAOYSA-N 1 2 322.315 1.041 20 30 DDEDLO C[C@H](c1ccccc1)[C@H]([NH2+]Cc1nc(C#N)cs1)C(N)=O ZINC000419278829 226100920 /nfs/dbraw/zinc/10/09/20/226100920.db2.gz NQBKFQKBQDWJHL-YGRLFVJLSA-N 1 2 300.387 1.762 20 30 DDEDLO N#CC1(CNC(=O)N2CC[NH+](Cc3ccccn3)CC2)CCC1 ZINC000419627281 226198560 /nfs/dbraw/zinc/19/85/60/226198560.db2.gz OBMFRTLKZYOALZ-UHFFFAOYSA-N 1 2 313.405 1.603 20 30 DDEDLO C=CC[C@H](CC)NC(=O)c1cnn(CC[NH+]2CCOCC2)c1 ZINC000373957321 418477279 /nfs/dbraw/zinc/47/72/79/418477279.db2.gz UJVIHVINWLQDGA-HNNXBMFYSA-N 1 2 306.410 1.300 20 30 DDEDLO N#CC1(c2ccccn2)CC[NH+](CC(=O)NCC2CCC2)CC1 ZINC000374292358 418515342 /nfs/dbraw/zinc/51/53/42/418515342.db2.gz NFOXDZXUOGGKPQ-UHFFFAOYSA-N 1 2 312.417 1.855 20 30 DDEDLO CCc1nc([C@@H]2CCCC[N@@H+]2CCC(=O)N(C)CCC#N)no1 ZINC000360828100 418527638 /nfs/dbraw/zinc/52/76/38/418527638.db2.gz HVGIFUBONSSJTR-ZDUSSCGKSA-N 1 2 319.409 1.921 20 30 DDEDLO CCc1nc([C@@H]2CCCC[N@H+]2CCC(=O)N(C)CCC#N)no1 ZINC000360828100 418527640 /nfs/dbraw/zinc/52/76/40/418527640.db2.gz HVGIFUBONSSJTR-ZDUSSCGKSA-N 1 2 319.409 1.921 20 30 DDEDLO C=CC[N@@H+](CCOC)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000191102499 222096683 /nfs/dbraw/zinc/09/66/83/222096683.db2.gz JGHYWHKOABMCDY-CYBMUJFWSA-N 1 2 305.403 1.859 20 30 DDEDLO C=CC[N@H+](CCOC)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000191102499 222096686 /nfs/dbraw/zinc/09/66/86/222096686.db2.gz JGHYWHKOABMCDY-CYBMUJFWSA-N 1 2 305.403 1.859 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2cc(C3CC3)[nH]n2)CC1 ZINC000189671239 222051684 /nfs/dbraw/zinc/05/16/84/222051684.db2.gz TVDFEBOKZDIZHW-GFCCVEGCSA-N 1 2 303.410 1.100 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000192360005 222126021 /nfs/dbraw/zinc/12/60/21/222126021.db2.gz VEBMPCHCRIBYEK-CQSZACIVSA-N 1 2 306.410 1.467 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000192360005 222126026 /nfs/dbraw/zinc/12/60/26/222126026.db2.gz VEBMPCHCRIBYEK-CQSZACIVSA-N 1 2 306.410 1.467 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)OCC)CC1 ZINC000194866818 222185307 /nfs/dbraw/zinc/18/53/07/222185307.db2.gz IJGNOTQWTVQQSY-UHFFFAOYSA-N 1 2 311.426 1.575 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)OCC)CC1 ZINC000194866818 222185310 /nfs/dbraw/zinc/18/53/10/222185310.db2.gz IJGNOTQWTVQQSY-UHFFFAOYSA-N 1 2 311.426 1.575 20 30 DDEDLO CC(C)(C)c1nnc([NH+]=C([O-])N[C@H]2CCn3cc[nH+]c3C2)s1 ZINC000330275540 418611014 /nfs/dbraw/zinc/61/10/14/418611014.db2.gz LPUGMWSZDSXTDG-VIFPVBQESA-N 1 2 320.422 2.373 20 30 DDEDLO CC[N@H+](CCC#N)[C@@H](C)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000267111846 222372716 /nfs/dbraw/zinc/37/27/16/222372716.db2.gz XVCHEOKQZGWRDB-KBPBESRZSA-N 1 2 317.389 1.567 20 30 DDEDLO CC[N@@H+](CCC#N)[C@@H](C)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000267111846 222372720 /nfs/dbraw/zinc/37/27/20/222372720.db2.gz XVCHEOKQZGWRDB-KBPBESRZSA-N 1 2 317.389 1.567 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCC[C@H]1c1nccs1 ZINC000377387840 418709500 /nfs/dbraw/zinc/70/95/00/418709500.db2.gz BWIZMZOYUNNXGR-QWRGUYRKSA-N 1 2 308.407 1.680 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCC[C@H]1c1nccs1 ZINC000377387840 418709502 /nfs/dbraw/zinc/70/95/02/418709502.db2.gz BWIZMZOYUNNXGR-QWRGUYRKSA-N 1 2 308.407 1.680 20 30 DDEDLO COC(=O)[C@H](C)C[N@@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000375672547 418672127 /nfs/dbraw/zinc/67/21/27/418672127.db2.gz BIWWEWYMVSTIQY-CYBMUJFWSA-N 1 2 315.373 1.012 20 30 DDEDLO COC(=O)[C@H](C)C[N@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000375672547 418672128 /nfs/dbraw/zinc/67/21/28/418672128.db2.gz BIWWEWYMVSTIQY-CYBMUJFWSA-N 1 2 315.373 1.012 20 30 DDEDLO Cc1nc([C@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)no1 ZINC000375766547 418683403 /nfs/dbraw/zinc/68/34/03/418683403.db2.gz WJAINNBWMQLPIH-BBRMVZONSA-N 1 2 319.409 1.612 20 30 DDEDLO Cc1nc([C@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)no1 ZINC000375766547 418683405 /nfs/dbraw/zinc/68/34/05/418683405.db2.gz WJAINNBWMQLPIH-BBRMVZONSA-N 1 2 319.409 1.612 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000368113722 418691351 /nfs/dbraw/zinc/69/13/51/418691351.db2.gz STNTUUJPWPYGRA-LLVKDONJSA-N 1 2 311.345 1.969 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000368113722 418691354 /nfs/dbraw/zinc/69/13/54/418691354.db2.gz STNTUUJPWPYGRA-LLVKDONJSA-N 1 2 311.345 1.969 20 30 DDEDLO CO/N=C/C(=O)N1CCN(c2cc(C(F)(F)F)cc[nH+]2)CC1 ZINC000368144249 418695626 /nfs/dbraw/zinc/69/56/26/418695626.db2.gz YGIKPSJMABCISC-GIJQJNRQSA-N 1 2 316.283 1.381 20 30 DDEDLO CC#CCNC(=O)N1CCC[C@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000369576224 418735112 /nfs/dbraw/zinc/73/51/12/418735112.db2.gz JSPZNRZPXCBIQQ-AWEZNQCLSA-N 1 2 319.409 1.397 20 30 DDEDLO CC#CCNC(=O)N1CCC[C@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000369576224 418735114 /nfs/dbraw/zinc/73/51/14/418735114.db2.gz JSPZNRZPXCBIQQ-AWEZNQCLSA-N 1 2 319.409 1.397 20 30 DDEDLO C[C@H]1[C@@H](CO)CC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000386349325 418740075 /nfs/dbraw/zinc/74/00/75/418740075.db2.gz YCPPABGFXINSSO-GXTWGEPZSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H]1[C@@H](CO)CC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000386349325 418740077 /nfs/dbraw/zinc/74/00/77/418740077.db2.gz YCPPABGFXINSSO-GXTWGEPZSA-N 1 2 308.403 1.035 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2cc(OC)ccc2F)CC1 ZINC000361924640 418726299 /nfs/dbraw/zinc/72/62/99/418726299.db2.gz HCRXUMVZIAMHJJ-CYBMUJFWSA-N 1 2 321.396 1.647 20 30 DDEDLO N#CCCN(CCC#N)C(=O)[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000371469882 418794326 /nfs/dbraw/zinc/79/43/26/418794326.db2.gz YLJDOZOMOYDIKH-HNNXBMFYSA-N 1 2 311.389 1.954 20 30 DDEDLO N#Cc1ccc(CN2CC[N@@H+](CCc3ccccn3)CC2=O)cc1 ZINC000364670717 418798873 /nfs/dbraw/zinc/79/88/73/418798873.db2.gz BMEKJFWQYNXPDB-UHFFFAOYSA-N 1 2 320.396 1.840 20 30 DDEDLO N#Cc1ccc(CN2CC[N@H+](CCc3ccccn3)CC2=O)cc1 ZINC000364670717 418798875 /nfs/dbraw/zinc/79/88/75/418798875.db2.gz BMEKJFWQYNXPDB-UHFFFAOYSA-N 1 2 320.396 1.840 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)s1 ZINC000364905057 418821438 /nfs/dbraw/zinc/82/14/38/418821438.db2.gz KLYQRWIKMZXHOJ-SNVBAGLBSA-N 1 2 303.391 2.441 20 30 DDEDLO N#C[C@H]1C[N@@H+](CCOCC(F)F)C[C@@]12C(=O)Nc1ccccc12 ZINC000364893634 418821905 /nfs/dbraw/zinc/82/19/05/418821905.db2.gz ILYWYOCNXZZTAW-MEDUHNTESA-N 1 2 321.327 1.614 20 30 DDEDLO N#C[C@H]1C[N@H+](CCOCC(F)F)C[C@@]12C(=O)Nc1ccccc12 ZINC000364893634 418821907 /nfs/dbraw/zinc/82/19/07/418821907.db2.gz ILYWYOCNXZZTAW-MEDUHNTESA-N 1 2 321.327 1.614 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2cscc2C#N)[C@@H](C)C1 ZINC000410669464 418837909 /nfs/dbraw/zinc/83/79/09/418837909.db2.gz OOMQNRWHVMWBIL-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2cscc2C#N)[C@@H](C)C1 ZINC000410669464 418837911 /nfs/dbraw/zinc/83/79/11/418837911.db2.gz OOMQNRWHVMWBIL-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)NCc1ccc(-n2cc[nH+]c2)nc1 ZINC000373211091 418932158 /nfs/dbraw/zinc/93/21/58/418932158.db2.gz SATCTAPVCLBPEF-GDBMZVCRSA-N 1 2 312.373 1.865 20 30 DDEDLO C[C@H](OC[C@H](O)C[NH+]1CC(O)(CC#N)C1)c1ccc(Cl)cc1 ZINC000424128878 228231166 /nfs/dbraw/zinc/23/11/66/228231166.db2.gz CPRQQOBZJLIOQN-SWLSCSKDSA-N 1 2 324.808 1.739 20 30 DDEDLO COCCCNC(=O)C1CCN(c2cc(C)[nH+]cc2C#N)CC1 ZINC000425205046 228390636 /nfs/dbraw/zinc/39/06/36/228390636.db2.gz BAYBMPBLFWBRKX-UHFFFAOYSA-N 1 2 316.405 1.631 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccc[nH+]c2N2CCOCC2)o1 ZINC000428109263 419812862 /nfs/dbraw/zinc/81/28/62/419812862.db2.gz FDNAPKHATVGFJB-UHFFFAOYSA-N 1 2 312.329 1.313 20 30 DDEDLO Cc1cnc([C@@H](C)[NH+]2CCN(c3cccc(C#N)n3)CC2)cn1 ZINC000428430770 419865753 /nfs/dbraw/zinc/86/57/53/419865753.db2.gz OZTJYDUIZFMVSG-CQSZACIVSA-N 1 2 308.389 1.935 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[C@H](C)[S@](=O)CC1 ZINC000429592567 420029728 /nfs/dbraw/zinc/02/97/28/420029728.db2.gz QMCARIRIPHMTAV-WHEQGISXSA-N 1 2 322.430 1.789 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[C@H](C)[S@](=O)CC1 ZINC000429592567 420029731 /nfs/dbraw/zinc/02/97/31/420029731.db2.gz QMCARIRIPHMTAV-WHEQGISXSA-N 1 2 322.430 1.789 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNc2cncc(C#N)n2)cn1 ZINC000420610391 420330477 /nfs/dbraw/zinc/33/04/77/420330477.db2.gz JXHBPWWUEYISDV-JSGCOSHPSA-N 1 2 315.356 1.106 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNc2cncc(C#N)n2)cn1 ZINC000420610391 420330480 /nfs/dbraw/zinc/33/04/80/420330480.db2.gz JXHBPWWUEYISDV-JSGCOSHPSA-N 1 2 315.356 1.106 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NC[C@@H](c1ccccc1Cl)[NH+](C)C ZINC000416368481 420345465 /nfs/dbraw/zinc/34/54/65/420345465.db2.gz GZJYYOIMWQAFRJ-GWCFXTLKSA-N 1 2 315.826 1.774 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]2CS(N)(=O)=O)c(Cl)c1 ZINC000439454265 420512313 /nfs/dbraw/zinc/51/23/13/420512313.db2.gz RMIYTCWBTWUOBH-LBPRGKRZSA-N 1 2 313.810 1.465 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]2CS(N)(=O)=O)c(Cl)c1 ZINC000439454265 420512319 /nfs/dbraw/zinc/51/23/19/420512319.db2.gz RMIYTCWBTWUOBH-LBPRGKRZSA-N 1 2 313.810 1.465 20 30 DDEDLO C=C(CC)CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000456813790 420549411 /nfs/dbraw/zinc/54/94/11/420549411.db2.gz RXHQHQJQIBBWMC-AWEZNQCLSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(CC)CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000456813790 420549415 /nfs/dbraw/zinc/54/94/15/420549415.db2.gz RXHQHQJQIBBWMC-AWEZNQCLSA-N 1 2 306.410 1.566 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)/C=C\c1ccc(OCC#N)cc1 ZINC000492499727 420575585 /nfs/dbraw/zinc/57/55/85/420575585.db2.gz GYYUCWYGELDLKT-DAXSKMNVSA-N 1 2 310.357 1.695 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)C=Cc2c[nH]c[nH+]2)c1 ZINC000492813057 420673165 /nfs/dbraw/zinc/67/31/65/420673165.db2.gz OMNSELVSYDOEAT-FPLPWBNLSA-N 1 2 308.341 1.501 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(C(=O)OC(C)(C)C)C[C@@H]2C)C1=O ZINC000493034777 420740923 /nfs/dbraw/zinc/74/09/23/420740923.db2.gz GREGVYZIJDSJPF-UONOGXRCSA-N 1 2 323.437 1.715 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(C(=O)OC(C)(C)C)C[C@@H]2C)C1=O ZINC000493034777 420740927 /nfs/dbraw/zinc/74/09/27/420740927.db2.gz GREGVYZIJDSJPF-UONOGXRCSA-N 1 2 323.437 1.715 20 30 DDEDLO N#Cc1ccc(/C=C/C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000493167482 420776516 /nfs/dbraw/zinc/77/65/16/420776516.db2.gz POJOVBORXBIXLH-CZIQXLRQSA-N 1 2 311.385 1.551 20 30 DDEDLO N#Cc1ccc(/C=C/C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000493167482 420776519 /nfs/dbraw/zinc/77/65/19/420776519.db2.gz POJOVBORXBIXLH-CZIQXLRQSA-N 1 2 311.385 1.551 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccc(C#N)cc2)CCN1C(=O)c1cnn(C)c1 ZINC000449763038 421078919 /nfs/dbraw/zinc/07/89/19/421078919.db2.gz OABKMLOFKRYOEK-AWEZNQCLSA-N 1 2 323.400 1.638 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccc(C#N)cc2)CCN1C(=O)c1cnn(C)c1 ZINC000449763038 421078922 /nfs/dbraw/zinc/07/89/22/421078922.db2.gz OABKMLOFKRYOEK-AWEZNQCLSA-N 1 2 323.400 1.638 20 30 DDEDLO COCC[N@H+](CC(=O)Nc1sccc1C#N)[C@@H]1CCOC1 ZINC000490042282 421187407 /nfs/dbraw/zinc/18/74/07/421187407.db2.gz OGLVECWJNYJFOO-GFCCVEGCSA-N 1 2 309.391 1.296 20 30 DDEDLO COCC[N@@H+](CC(=O)Nc1sccc1C#N)[C@@H]1CCOC1 ZINC000490042282 421187408 /nfs/dbraw/zinc/18/74/08/421187408.db2.gz OGLVECWJNYJFOO-GFCCVEGCSA-N 1 2 309.391 1.296 20 30 DDEDLO C[C@]1(CO)CCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000544758642 421229278 /nfs/dbraw/zinc/22/92/78/421229278.db2.gz IPFKEMCABHIHDB-OAHLLOKOSA-N 1 2 308.403 1.179 20 30 DDEDLO C[C@]1(CO)CCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000544758642 421229281 /nfs/dbraw/zinc/22/92/81/421229281.db2.gz IPFKEMCABHIHDB-OAHLLOKOSA-N 1 2 308.403 1.179 20 30 DDEDLO C#C[C@H](NC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C)C(C)(C)C ZINC000491804096 421203731 /nfs/dbraw/zinc/20/37/31/421203731.db2.gz KGPHWMHBBKNFKZ-KFWWJZLASA-N 1 2 307.438 1.539 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)C1(c2cc(F)cc(C#N)c2)CC1 ZINC000560623047 421284215 /nfs/dbraw/zinc/28/42/15/421284215.db2.gz TXRUROKNTNGMBJ-UHFFFAOYSA-N 1 2 312.348 1.821 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCC(F)(c3ccccn3)CC2)CCC1 ZINC000562704321 421401515 /nfs/dbraw/zinc/40/15/15/421401515.db2.gz QQIBBXIPQJHPCV-UHFFFAOYSA-N 1 2 316.380 1.905 20 30 DDEDLO N#Cc1cc(NC[C@@H](c2ccccc2)[NH+]2CCOCC2)ncn1 ZINC000527384299 421385561 /nfs/dbraw/zinc/38/55/61/421385561.db2.gz PNIRATWHULOQDJ-INIZCTEOSA-N 1 2 309.373 1.834 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1C#N ZINC000515608615 421510230 /nfs/dbraw/zinc/51/02/30/421510230.db2.gz FZHWTARFNSOVOU-PHIMTYICSA-N 1 2 321.406 1.308 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1C#N ZINC000515608615 421510232 /nfs/dbraw/zinc/51/02/32/421510232.db2.gz FZHWTARFNSOVOU-PHIMTYICSA-N 1 2 321.406 1.308 20 30 DDEDLO CCOC[C@@H]1C[N@H+](C[C@@H](O)COc2ccc(C#N)cc2)CCO1 ZINC000528725702 421514299 /nfs/dbraw/zinc/51/42/99/421514299.db2.gz VQWUVYVYPAMLGA-WBVHZDCISA-N 1 2 320.389 1.035 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](C[C@@H](O)COc2ccc(C#N)cc2)CCO1 ZINC000528725702 421514301 /nfs/dbraw/zinc/51/43/01/421514301.db2.gz VQWUVYVYPAMLGA-WBVHZDCISA-N 1 2 320.389 1.035 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)[NH+]1CCN(S(=O)(=O)C2CC2)CC1 ZINC000528613288 421501985 /nfs/dbraw/zinc/50/19/85/421501985.db2.gz UBMWQOROLWCWDO-CYBMUJFWSA-N 1 2 319.430 1.729 20 30 DDEDLO COC(=O)c1cccc(C[NH+]2CCN(C(=O)CC#N)CC2)c1 ZINC000566424401 421605170 /nfs/dbraw/zinc/60/51/70/421605170.db2.gz LYDCERHZFLANFM-UHFFFAOYSA-N 1 2 301.346 1.031 20 30 DDEDLO Cc1nn(CC(C)C)c(C)c1CC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000567995401 421616172 /nfs/dbraw/zinc/61/61/72/421616172.db2.gz JFMITNYBSNEUHY-KRWDZBQOSA-N 1 2 319.453 1.659 20 30 DDEDLO C[C@@H](CC(=O)N[C@](C)(C#N)C[NH+](C)C)NC(=O)C1CCCCC1 ZINC000567995575 421616398 /nfs/dbraw/zinc/61/63/98/421616398.db2.gz OPAJYKFETNYGTB-SUMWQHHRSA-N 1 2 322.453 1.422 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)c2cc(F)cc(Cl)c2O)CC1 ZINC000565928452 421601698 /nfs/dbraw/zinc/60/16/98/421601698.db2.gz ZXPBLLYHMSUTGN-UHFFFAOYSA-N 1 2 311.744 1.902 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000534529082 421693171 /nfs/dbraw/zinc/69/31/71/421693171.db2.gz VMLRYVDAFHGBIG-UHFFFAOYSA-N 1 2 314.414 1.916 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)s1 ZINC000571481492 421719769 /nfs/dbraw/zinc/71/97/69/421719769.db2.gz GOAHYZYWYPKNRR-VIFPVBQESA-N 1 2 308.388 1.110 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)n1cnc(C#N)n1 ZINC000344552359 269826203 /nfs/dbraw/zinc/82/62/03/269826203.db2.gz NGJCDIKBPFQMRW-NSHDSACASA-N 1 2 307.317 1.535 20 30 DDEDLO N#Cc1cc(F)c(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)c(F)c1 ZINC000542983758 421832775 /nfs/dbraw/zinc/83/27/75/421832775.db2.gz CCSSWJRXMIYHER-UHFFFAOYSA-N 1 2 324.312 1.279 20 30 DDEDLO COc1cc(C#N)ccc1CN1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000521894760 421805211 /nfs/dbraw/zinc/80/52/11/421805211.db2.gz VZDWZWCKNAAHLR-KRWDZBQOSA-N 1 2 315.417 1.863 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2cc(C#N)cc(N(C)C)c2)CCO1 ZINC000559397341 421834554 /nfs/dbraw/zinc/83/45/54/421834554.db2.gz SJYDKPCBLOPGOU-ZDUSSCGKSA-N 1 2 316.405 1.075 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2cc(C#N)cc(N(C)C)c2)CCO1 ZINC000559397341 421834555 /nfs/dbraw/zinc/83/45/55/421834555.db2.gz SJYDKPCBLOPGOU-ZDUSSCGKSA-N 1 2 316.405 1.075 20 30 DDEDLO Cn1[nH]c(C[NH+]2CCC(Oc3cccc(C#N)c3)CC2)nc1=O ZINC000543437749 421838092 /nfs/dbraw/zinc/83/80/92/421838092.db2.gz UCXSUOWDLWUOHL-UHFFFAOYSA-N 1 2 313.361 1.023 20 30 DDEDLO C=CCCOCCNC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635738328 422296328 /nfs/dbraw/zinc/29/63/28/422296328.db2.gz PFDCJAPIAQFPGD-KRWDZBQOSA-N 1 2 318.417 1.978 20 30 DDEDLO C=CCCOCCNC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635738328 422296334 /nfs/dbraw/zinc/29/63/34/422296334.db2.gz PFDCJAPIAQFPGD-KRWDZBQOSA-N 1 2 318.417 1.978 20 30 DDEDLO CN(C)c1nc(NC2CCN(CC#N)CC2)[nH+]c2ccccc21 ZINC000590689939 422321629 /nfs/dbraw/zinc/32/16/29/422321629.db2.gz AMKXDQRPCOKQEA-UHFFFAOYSA-N 1 2 310.405 2.096 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N[C@H](C)c2ccccc2)nn1 ZINC000641028347 423333241 /nfs/dbraw/zinc/33/32/41/423333241.db2.gz HAHBFBFDJCFHIT-CQSZACIVSA-N 1 2 311.389 1.268 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2noc(-c3ccccc3C)n2)nn1 ZINC000641123537 423405243 /nfs/dbraw/zinc/40/52/43/423405243.db2.gz UYRCCCBKBXMZPO-UHFFFAOYSA-N 1 2 322.372 1.798 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(c3nc(C)no3)CC2)nn1 ZINC000653504815 423503500 /nfs/dbraw/zinc/50/35/00/423503500.db2.gz KKWMTQFKCAAROE-UHFFFAOYSA-N 1 2 302.382 1.925 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@H](C(F)(F)F)[C@@H](CO)C2)nn1 ZINC000653672135 423573686 /nfs/dbraw/zinc/57/36/86/423573686.db2.gz CNFRKHMHGQAFTK-YPMHNXCESA-N 1 2 318.343 1.847 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@H](C(F)(F)F)[C@@H](CO)C2)nn1 ZINC000653672135 423573689 /nfs/dbraw/zinc/57/36/89/423573689.db2.gz CNFRKHMHGQAFTK-YPMHNXCESA-N 1 2 318.343 1.847 20 30 DDEDLO CC(=O)c1[nH]c(CC[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)nc1C ZINC000639698314 423661982 /nfs/dbraw/zinc/66/19/82/423661982.db2.gz KKZXNLWWZIQRPH-TXEJJXNPSA-N 1 2 303.410 1.381 20 30 DDEDLO CC(=O)c1[nH]c(CC[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)nc1C ZINC000639698314 423661989 /nfs/dbraw/zinc/66/19/89/423661989.db2.gz KKZXNLWWZIQRPH-TXEJJXNPSA-N 1 2 303.410 1.381 20 30 DDEDLO C=CC[N@H+](CCOc1cccc(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000066559777 264246203 /nfs/dbraw/zinc/24/62/03/264246203.db2.gz ZAQUBIXHYOMLFM-OAHLLOKOSA-N 1 2 320.414 1.612 20 30 DDEDLO C=CC[N@@H+](CCOc1cccc(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000066559777 264246205 /nfs/dbraw/zinc/24/62/05/264246205.db2.gz ZAQUBIXHYOMLFM-OAHLLOKOSA-N 1 2 320.414 1.612 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCCc1cn2ccccc2[nH+]1 ZINC000665825091 423867063 /nfs/dbraw/zinc/86/70/63/423867063.db2.gz YQNSYYQDBBBLLN-OAHLLOKOSA-N 1 2 314.389 1.464 20 30 DDEDLO C=CC[N@H+](CCOCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000660069807 424499880 /nfs/dbraw/zinc/49/98/80/424499880.db2.gz LCBLUTIRKSDAKS-MRXNPFEDSA-N 1 2 309.431 1.878 20 30 DDEDLO C=CC[N@@H+](CCOCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000660069807 424499885 /nfs/dbraw/zinc/49/98/85/424499885.db2.gz LCBLUTIRKSDAKS-MRXNPFEDSA-N 1 2 309.431 1.878 20 30 DDEDLO CCN(C)C(=O)CC[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000367137671 266098567 /nfs/dbraw/zinc/09/85/67/266098567.db2.gz DPNBYGCIJKQKJQ-INIZCTEOSA-N 1 2 301.390 1.800 20 30 DDEDLO CCN(C)C(=O)CC[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000367137671 266098572 /nfs/dbraw/zinc/09/85/72/266098572.db2.gz DPNBYGCIJKQKJQ-INIZCTEOSA-N 1 2 301.390 1.800 20 30 DDEDLO CCOCC[C@H](O)C[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000369318706 266283475 /nfs/dbraw/zinc/28/34/75/266283475.db2.gz GOUFERNSDXORCK-DLBZAZTESA-N 1 2 304.390 1.719 20 30 DDEDLO CCOCC[C@H](O)C[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000369318706 266283478 /nfs/dbraw/zinc/28/34/78/266283478.db2.gz GOUFERNSDXORCK-DLBZAZTESA-N 1 2 304.390 1.719 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000374564599 266358587 /nfs/dbraw/zinc/35/85/87/266358587.db2.gz CDMRSMAAPZYIIW-ZIAGYGMSSA-N 1 2 315.421 1.378 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)CCc1ccc(C#N)cc1 ZINC000355071423 267068249 /nfs/dbraw/zinc/06/82/49/267068249.db2.gz OAJIYCRJPBKXNN-CQSZACIVSA-N 1 2 301.390 1.328 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)CCc1ccc(C#N)cc1 ZINC000355071423 267068255 /nfs/dbraw/zinc/06/82/55/267068255.db2.gz OAJIYCRJPBKXNN-CQSZACIVSA-N 1 2 301.390 1.328 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)C1(c2ccc(C#N)cc2)CC1 ZINC000352440643 267069176 /nfs/dbraw/zinc/06/91/76/267069176.db2.gz YMPQKUQEPTZKEM-CQSZACIVSA-N 1 2 313.401 1.427 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)C1(c2ccc(C#N)cc2)CC1 ZINC000352440643 267069180 /nfs/dbraw/zinc/06/91/80/267069180.db2.gz YMPQKUQEPTZKEM-CQSZACIVSA-N 1 2 313.401 1.427 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(S(=O)(=O)c2ccc(C#N)o2)C1 ZINC000376345758 267828306 /nfs/dbraw/zinc/82/83/06/267828306.db2.gz CNMPGBROSPMMLP-SNVBAGLBSA-N 1 2 306.347 1.063 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCOCC23CCCC3)cc1 ZINC000362684509 268067974 /nfs/dbraw/zinc/06/79/74/268067974.db2.gz AZWIPYZHNGJZDC-UHFFFAOYSA-N 1 2 313.401 1.819 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCOCC23CCCC3)cc1 ZINC000362684509 268067977 /nfs/dbraw/zinc/06/79/77/268067977.db2.gz AZWIPYZHNGJZDC-UHFFFAOYSA-N 1 2 313.401 1.819 20 30 DDEDLO N#Cc1ccc(Cl)c(S(=O)(=O)N2CCn3c[nH+]cc3C2)c1 ZINC000377732411 268098270 /nfs/dbraw/zinc/09/82/70/268098270.db2.gz KZHSBVNCXHDDET-UHFFFAOYSA-N 1 2 322.777 1.613 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+](CCCO)Cc2ccccn2)cc1 ZINC000347087482 268139010 /nfs/dbraw/zinc/13/90/10/268139010.db2.gz NCPZCSBVZJUHNV-UHFFFAOYSA-N 1 2 324.384 1.776 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+](CCCO)Cc2ccccn2)cc1 ZINC000347087482 268139013 /nfs/dbraw/zinc/13/90/13/268139013.db2.gz NCPZCSBVZJUHNV-UHFFFAOYSA-N 1 2 324.384 1.776 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CCC(C3CC3)CC2)nc1 ZINC000368336538 268187064 /nfs/dbraw/zinc/18/70/64/268187064.db2.gz OOYIGCZRCHRKET-UHFFFAOYSA-N 1 2 319.430 1.849 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000186090261 277700804 /nfs/dbraw/zinc/70/08/04/277700804.db2.gz PVYMFTWVXWYNGQ-WBVHZDCISA-N 1 2 315.417 1.689 20 30 DDEDLO C=C(C)CS(=O)(=O)N[C@@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000373819534 277780600 /nfs/dbraw/zinc/78/06/00/277780600.db2.gz LPXYQKFMIHNFDB-ZIAGYGMSSA-N 1 2 302.440 1.125 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2cc(C#N)cs2)C1 ZINC000276160389 290076445 /nfs/dbraw/zinc/07/64/45/290076445.db2.gz ASGWESUEQLSNDA-CQSZACIVSA-N 1 2 319.430 1.803 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2cc(C#N)cs2)C1 ZINC000276160389 290076448 /nfs/dbraw/zinc/07/64/48/290076448.db2.gz ASGWESUEQLSNDA-CQSZACIVSA-N 1 2 319.430 1.803 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([NH+]2CCC3(CCO3)CC2)C1=O ZINC000374376816 294985293 /nfs/dbraw/zinc/98/52/93/294985293.db2.gz GUPVARDXCFQHIT-ZDUSSCGKSA-N 1 2 317.414 1.980 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)N[C@@H]2CCC[C@@H]2C#N)CCN1C ZINC000331839875 303006995 /nfs/dbraw/zinc/00/69/95/303006995.db2.gz ZRCZBCUXHNAROX-MGPQQGTHSA-N 1 2 316.409 1.110 20 30 DDEDLO N#C[C@H]1CSCCN1C(=O)C[N@@H+]1CCc2sccc2C1 ZINC000370366096 303170919 /nfs/dbraw/zinc/17/09/19/303170919.db2.gz IZDWJYILFLTMST-LBPRGKRZSA-N 1 2 307.444 1.574 20 30 DDEDLO N#C[C@H]1CSCCN1C(=O)C[N@H+]1CCc2sccc2C1 ZINC000370366096 303170921 /nfs/dbraw/zinc/17/09/21/303170921.db2.gz IZDWJYILFLTMST-LBPRGKRZSA-N 1 2 307.444 1.574 20 30 DDEDLO CC(C)NC(=O)NCC[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000549612806 303615149 /nfs/dbraw/zinc/61/51/49/303615149.db2.gz BZOZVBTYEAIIED-UHFFFAOYSA-N 1 2 315.421 1.388 20 30 DDEDLO C[C@H]1C[C@@H](NS(=O)(=O)c2ccc(F)cc2C#N)c2[nH+]ccn21 ZINC000579555794 308539647 /nfs/dbraw/zinc/53/96/47/308539647.db2.gz JQTKRBQJTRDHEE-JOYOIKCWSA-N 1 2 320.349 1.878 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)[C@@]2(C#N)CC23CCCC3)CCO1 ZINC000560260152 332324873 /nfs/dbraw/zinc/32/48/73/332324873.db2.gz VETTYFZARNFDOH-PBHICJAKSA-N 1 2 305.422 1.687 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)[C@@]2(C#N)CC23CCCC3)CCO1 ZINC000560260152 332324874 /nfs/dbraw/zinc/32/48/74/332324874.db2.gz VETTYFZARNFDOH-PBHICJAKSA-N 1 2 305.422 1.687 20 30 DDEDLO C=CCn1cc(CNC(=O)c2ccc3[nH+]c(C)n(C)c3c2)nn1 ZINC000563952126 336388651 /nfs/dbraw/zinc/38/86/51/336388651.db2.gz BSCGATCHAMQFEZ-UHFFFAOYSA-N 1 2 310.361 1.589 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000583258108 337292048 /nfs/dbraw/zinc/29/20/48/337292048.db2.gz GWFNHSIYFOJPCD-IMJJTQAJSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000583258108 337292049 /nfs/dbraw/zinc/29/20/49/337292049.db2.gz GWFNHSIYFOJPCD-IMJJTQAJSA-N 1 2 308.422 1.337 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(F)ccc2Cl)CC1 ZINC000085276337 338007958 /nfs/dbraw/zinc/00/79/58/338007958.db2.gz JLVHLTUBJJNPJM-UHFFFAOYSA-N 1 2 309.772 1.668 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[NH+]1CCC(OC(=O)N(C)C)CC1 ZINC000495792138 339992093 /nfs/dbraw/zinc/99/20/93/339992093.db2.gz HBXHUWDCFDVUAH-MRXNPFEDSA-N 1 2 324.425 1.203 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCCC[C@@H]1COC(=O)N1CCCCC1 ZINC000496646417 340009620 /nfs/dbraw/zinc/00/96/20/340009620.db2.gz DFCCJOTWRDWWKD-CQSZACIVSA-N 1 2 322.409 1.103 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCCC[C@@H]1COC(=O)N1CCCCC1 ZINC000496646417 340009621 /nfs/dbraw/zinc/00/96/21/340009621.db2.gz DFCCJOTWRDWWKD-CQSZACIVSA-N 1 2 322.409 1.103 20 30 DDEDLO N#CC1(CS(=O)(=O)NCCc2cn3ccccc3[nH+]2)CCC1 ZINC000548113511 341247804 /nfs/dbraw/zinc/24/78/04/341247804.db2.gz IAKSTRLKDOESMN-UHFFFAOYSA-N 1 2 318.402 1.490 20 30 DDEDLO CC[C@H](C)C(=O)N1CCC[C@@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)C1 ZINC000567239607 341590717 /nfs/dbraw/zinc/59/07/17/341590717.db2.gz WGNPYQKLESSMEL-JJRVBVJISA-N 1 2 322.453 1.231 20 30 DDEDLO C[C@@H]1CCC[C@H](NC(=O)C[N@H+](C)CCNC(=O)N(C)C)[C@H]1C ZINC000330621572 533866093 /nfs/dbraw/zinc/86/60/93/533866093.db2.gz MLJANAXPQUPHLV-RDBSUJKOSA-N 1 2 312.458 1.335 20 30 DDEDLO C[C@@H]1CCC[C@H](NC(=O)C[N@@H+](C)CCNC(=O)N(C)C)[C@H]1C ZINC000330621572 533866105 /nfs/dbraw/zinc/86/61/05/533866105.db2.gz MLJANAXPQUPHLV-RDBSUJKOSA-N 1 2 312.458 1.335 20 30 DDEDLO Cc1c(Cl)cccc1C(=O)N[C@H](CO)C[NH+]1CCOCC1 ZINC000330593419 533876494 /nfs/dbraw/zinc/87/64/94/533876494.db2.gz PRRAHHVWNIMAFP-LBPRGKRZSA-N 1 2 312.797 1.646 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2cc(C)ccc2C)C1 ZINC000330958363 526403260 /nfs/dbraw/zinc/40/32/60/526403260.db2.gz WSEZIYRFJFYDBH-ZIAGYGMSSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2cc(C)ccc2C)C1 ZINC000330958363 526403263 /nfs/dbraw/zinc/40/32/63/526403263.db2.gz WSEZIYRFJFYDBH-ZIAGYGMSSA-N 1 2 318.421 1.838 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N2[C@H](C)CCC[C@@H]2C)C1=O ZINC000337202394 526468397 /nfs/dbraw/zinc/46/83/97/526468397.db2.gz DYGKLDCBGSAAOO-QLFBSQMISA-N 1 2 307.438 1.495 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N2[C@H](C)CCC[C@@H]2C)C1=O ZINC000337202394 526468399 /nfs/dbraw/zinc/46/83/99/526468399.db2.gz DYGKLDCBGSAAOO-QLFBSQMISA-N 1 2 307.438 1.495 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](CC(N)=O)[C@@H](C)c2ccccc2)C1=O ZINC000337193627 526474417 /nfs/dbraw/zinc/47/44/17/526474417.db2.gz VQADUOBYGALOCG-DZGCQCFKSA-N 1 2 301.390 1.322 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](CC(N)=O)[C@@H](C)c2ccccc2)C1=O ZINC000337193627 526474422 /nfs/dbraw/zinc/47/44/22/526474422.db2.gz VQADUOBYGALOCG-DZGCQCFKSA-N 1 2 301.390 1.322 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H]2CCCC[C@H]2S(C)(=O)=O)C1=O ZINC000337176190 526504147 /nfs/dbraw/zinc/50/41/47/526504147.db2.gz IUVMLOAKKNVMPW-HZSPNIEDSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H]2CCCC[C@H]2S(C)(=O)=O)C1=O ZINC000337176190 526504152 /nfs/dbraw/zinc/50/41/52/526504152.db2.gz IUVMLOAKKNVMPW-HZSPNIEDSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(C(=O)C(C)(C)C)CC2(C)C)C1=O ZINC000337193225 526508189 /nfs/dbraw/zinc/50/81/89/526508189.db2.gz VFLGRUQDVQIEKN-AWEZNQCLSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(C(=O)C(C)(C)C)CC2(C)C)C1=O ZINC000337193225 526508191 /nfs/dbraw/zinc/50/81/91/526508191.db2.gz VFLGRUQDVQIEKN-AWEZNQCLSA-N 1 2 321.465 1.742 20 30 DDEDLO CC(=O)N1CC(NC(=O)CCn2c(C)[nH+]c3ccccc32)C1 ZINC000330015690 526511412 /nfs/dbraw/zinc/51/14/12/526511412.db2.gz OKCBROUQKAOMKW-UHFFFAOYSA-N 1 2 300.362 1.922 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCC[C@H](S(C)(=O)=O)C1 ZINC000330915954 526534920 /nfs/dbraw/zinc/53/49/20/526534920.db2.gz ZNIGAETUAKYFGO-KGLIPLIRSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCC[C@H](S(C)(=O)=O)C1 ZINC000330915954 526534923 /nfs/dbraw/zinc/53/49/23/526534923.db2.gz ZNIGAETUAKYFGO-KGLIPLIRSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)CN(CC)C(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000342435693 526545924 /nfs/dbraw/zinc/54/59/24/526545924.db2.gz LSUZWCKHQSPAIQ-UHFFFAOYSA-N 1 2 303.406 1.952 20 30 DDEDLO C#CC[N@@H+](CC#CC)Cc1c(C)nn(C)c1N1CCOCC1 ZINC000490915947 526864851 /nfs/dbraw/zinc/86/48/51/526864851.db2.gz QRVFPYZCUMKESG-UHFFFAOYSA-N 1 2 300.406 1.024 20 30 DDEDLO C#CC[N@H+](CC#CC)Cc1c(C)nn(C)c1N1CCOCC1 ZINC000490915947 526864856 /nfs/dbraw/zinc/86/48/56/526864856.db2.gz QRVFPYZCUMKESG-UHFFFAOYSA-N 1 2 300.406 1.024 20 30 DDEDLO C=C1CC[NH+](CC(=O)N[C@@H](Cc2ccccc2)C(C)=O)CC1 ZINC000342008189 526902885 /nfs/dbraw/zinc/90/28/85/526902885.db2.gz QPOGKEGWJMDOKK-KRWDZBQOSA-N 1 2 300.402 1.955 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2c(F)cccc2OC)CC1 ZINC000491048835 526951368 /nfs/dbraw/zinc/95/13/68/526951368.db2.gz ZPGDADOTYNWLNN-UHFFFAOYSA-N 1 2 305.353 1.024 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc3c(c2)CCC3=O)CC1 ZINC000491667849 526952296 /nfs/dbraw/zinc/95/22/96/526952296.db2.gz XTUAGRMFZXPIOV-UHFFFAOYSA-N 1 2 311.385 1.005 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)C)n2C[C@@H]2CCCO2)CC1 ZINC000491067241 526955655 /nfs/dbraw/zinc/95/56/55/526955655.db2.gz HAADWDRNKIYAFX-HNNXBMFYSA-N 1 2 317.437 1.336 20 30 DDEDLO C#CCNC(=O)C1CC[NH+]([C@H](C)c2nc(C3CC3)no2)CC1 ZINC000491067923 526971460 /nfs/dbraw/zinc/97/14/60/526971460.db2.gz LKMDYXBRCNUDPY-LLVKDONJSA-N 1 2 302.378 1.469 20 30 DDEDLO C#CCNC(=O)C1CC[NH+]([C@H](C)c2nc(CCCC)no2)CC1 ZINC000491154713 526972994 /nfs/dbraw/zinc/97/29/94/526972994.db2.gz LQWYATWABASESO-CYBMUJFWSA-N 1 2 318.421 1.935 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CC(C)(C)C2CC2)CC1 ZINC000491166247 526990378 /nfs/dbraw/zinc/99/03/78/526990378.db2.gz QEHYCMDHDCKMMI-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CC(C)(C)C2CC2)CC1 ZINC000491166247 526990383 /nfs/dbraw/zinc/99/03/83/526990383.db2.gz QEHYCMDHDCKMMI-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000346292159 526994986 /nfs/dbraw/zinc/99/49/86/526994986.db2.gz CNOSQQBIKADPJU-CYBMUJFWSA-N 1 2 318.421 1.630 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000341332642 527109354 /nfs/dbraw/zinc/10/93/54/527109354.db2.gz WJONLIBNQAVQNI-CYBMUJFWSA-N 1 2 304.394 1.384 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000342288182 527177324 /nfs/dbraw/zinc/17/73/24/527177324.db2.gz UESWCAIOPDZJSH-CQSZACIVSA-N 1 2 318.421 1.610 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1Cc1ccc(O[C@@H](C)C(=O)OC)cc1 ZINC000491658209 527312558 /nfs/dbraw/zinc/31/25/58/527312558.db2.gz HGJIFMSCUFCLNF-DZGCQCFKSA-N 1 2 303.358 1.461 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1Cc1ccc(O[C@@H](C)C(=O)OC)cc1 ZINC000491658209 527312562 /nfs/dbraw/zinc/31/25/62/527312562.db2.gz HGJIFMSCUFCLNF-DZGCQCFKSA-N 1 2 303.358 1.461 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000491699234 527321668 /nfs/dbraw/zinc/32/16/68/527321668.db2.gz ULKCYGUHWUAJQR-DOTOQJQBSA-N 1 2 315.417 1.723 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000491625452 527324248 /nfs/dbraw/zinc/32/42/48/527324248.db2.gz HWZSPSJMVQTOGP-DOTOQJQBSA-N 1 2 315.417 1.723 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)N[C@@](C)(c2ccccc2F)C1=O ZINC000491809017 527332878 /nfs/dbraw/zinc/33/28/78/527332878.db2.gz WNABNBFUXRFDTI-ZBEGNZNMSA-N 1 2 303.337 1.504 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)N[C@@](C)(c2ccccc2F)C1=O ZINC000491809017 527332883 /nfs/dbraw/zinc/33/28/83/527332883.db2.gz WNABNBFUXRFDTI-ZBEGNZNMSA-N 1 2 303.337 1.504 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1CCC[N@@H+](CC(=O)OC)C1 ZINC000412371431 527385565 /nfs/dbraw/zinc/38/55/65/527385565.db2.gz PTKWGILCWNLHSR-AWEZNQCLSA-N 1 2 319.405 1.289 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1CCC[N@H+](CC(=O)OC)C1 ZINC000412371431 527385568 /nfs/dbraw/zinc/38/55/68/527385568.db2.gz PTKWGILCWNLHSR-AWEZNQCLSA-N 1 2 319.405 1.289 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@@H](O)COCc1ccccc1Cl ZINC000491297829 527429369 /nfs/dbraw/zinc/42/93/69/527429369.db2.gz SCJRYDHDEKPFJT-LSDHHAIUSA-N 1 2 309.793 1.552 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@@H](O)COCc1ccccc1Cl ZINC000491297829 527429374 /nfs/dbraw/zinc/42/93/74/527429374.db2.gz SCJRYDHDEKPFJT-LSDHHAIUSA-N 1 2 309.793 1.552 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1Cc1ccc(O[C@H](C)C(=O)OC)cc1 ZINC000491658211 527430187 /nfs/dbraw/zinc/43/01/87/527430187.db2.gz HGJIFMSCUFCLNF-HIFRSBDPSA-N 1 2 303.358 1.461 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1Cc1ccc(O[C@H](C)C(=O)OC)cc1 ZINC000491658211 527430189 /nfs/dbraw/zinc/43/01/89/527430189.db2.gz HGJIFMSCUFCLNF-HIFRSBDPSA-N 1 2 303.358 1.461 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000330882882 528358011 /nfs/dbraw/zinc/35/80/11/528358011.db2.gz ZQPGAKCDCVKTJB-LBPRGKRZSA-N 1 2 307.316 1.138 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000330882882 528358017 /nfs/dbraw/zinc/35/80/17/528358017.db2.gz ZQPGAKCDCVKTJB-LBPRGKRZSA-N 1 2 307.316 1.138 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCCc1[nH+]cccc1C ZINC000451818953 528712184 /nfs/dbraw/zinc/71/21/84/528712184.db2.gz RNHSSPYYWGNKBH-GFCCVEGCSA-N 1 2 310.423 1.249 20 30 DDEDLO CCC(CC)[C@H](C(=O)N[C@@H]1CC[C@H](C#N)C1)[NH+]1CCOCC1 ZINC000424966016 528844139 /nfs/dbraw/zinc/84/41/39/528844139.db2.gz LDQAIZFDENZSGN-NUEKZKHPSA-N 1 2 307.438 1.932 20 30 DDEDLO CCN1CCN(C(=O)NCC(C)(C)CC#N)C[C@@H]1c1[nH]cc[nH+]1 ZINC000459212046 529126455 /nfs/dbraw/zinc/12/64/55/529126455.db2.gz AEDDHEZUAXTJRM-CYBMUJFWSA-N 1 2 318.425 1.738 20 30 DDEDLO CCN1CCN(C(=O)c2ccc(C#N)cc2)C[C@H]1c1[nH]cc[nH+]1 ZINC000328625253 529134233 /nfs/dbraw/zinc/13/42/33/529134233.db2.gz KNIKVHJHJZFVFE-HNNXBMFYSA-N 1 2 309.373 1.800 20 30 DDEDLO CCN1CCN(CC(=O)NCC2CCC2)C[C@H]1c1[nH]cc[nH+]1 ZINC000329924252 529136275 /nfs/dbraw/zinc/13/62/75/529136275.db2.gz HOFJGQUEZBEZQZ-AWEZNQCLSA-N 1 2 305.426 1.845 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CC[C@H]2CN(CC#N)C[C@H]2C1 ZINC000980212687 696709732 /nfs/dbraw/zinc/70/97/32/696709732.db2.gz FGHXBPHCOCANBP-BFHYXJOUSA-N 1 2 301.394 1.001 20 30 DDEDLO CC[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)N[C@](C)(C#N)C1CC1 ZINC000745088095 699971439 /nfs/dbraw/zinc/97/14/39/699971439.db2.gz TWPOJBCHSDDIKM-XJKSGUPXSA-N 1 2 307.394 1.212 20 30 DDEDLO CC[N@H+]1CCCC[C@H]1C(=O)OCC(=O)N[C@](C)(C#N)C1CC1 ZINC000745088095 699971440 /nfs/dbraw/zinc/97/14/40/699971440.db2.gz TWPOJBCHSDDIKM-XJKSGUPXSA-N 1 2 307.394 1.212 20 30 DDEDLO CC[N@H+](C)[C@@H](C(=O)OCC(=O)NCCC#N)c1ccccc1 ZINC000745098182 699971768 /nfs/dbraw/zinc/97/17/68/699971768.db2.gz AVMQZKFSYDVNOA-OAHLLOKOSA-N 1 2 303.362 1.252 20 30 DDEDLO CC[N@@H+](C)[C@@H](C(=O)OCC(=O)NCCC#N)c1ccccc1 ZINC000745098182 699971769 /nfs/dbraw/zinc/97/17/69/699971769.db2.gz AVMQZKFSYDVNOA-OAHLLOKOSA-N 1 2 303.362 1.252 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CCCCC3)C2)C1 ZINC000972232773 695184026 /nfs/dbraw/zinc/18/40/26/695184026.db2.gz FPFNQVGGBLQPNK-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CCCCC3)C2)C1 ZINC000972232773 695184027 /nfs/dbraw/zinc/18/40/27/695184027.db2.gz FPFNQVGGBLQPNK-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC=CCC3)C2)C1 ZINC000972252120 695190726 /nfs/dbraw/zinc/19/07/26/695190726.db2.gz BCWMQGFTLUUVRF-WMZOPIPTSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC=CCC3)C2)C1 ZINC000972252120 695190729 /nfs/dbraw/zinc/19/07/29/695190729.db2.gz BCWMQGFTLUUVRF-WMZOPIPTSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CC=CCC3)C2)C1 ZINC000972252116 695190760 /nfs/dbraw/zinc/19/07/60/695190760.db2.gz BCWMQGFTLUUVRF-AEFFLSMTSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CC=CCC3)C2)C1 ZINC000972252116 695190764 /nfs/dbraw/zinc/19/07/64/695190764.db2.gz BCWMQGFTLUUVRF-AEFFLSMTSA-N 1 2 302.418 1.669 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([N@@H+](C)Cc3cnnn3CC)C2)C1 ZINC000972274921 695200564 /nfs/dbraw/zinc/20/05/64/695200564.db2.gz HKTKKDGZOXBZPX-CQSZACIVSA-N 1 2 317.437 1.687 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([N@H+](C)Cc3cnnn3CC)C2)C1 ZINC000972274921 695200565 /nfs/dbraw/zinc/20/05/65/695200565.db2.gz HKTKKDGZOXBZPX-CQSZACIVSA-N 1 2 317.437 1.687 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([N@@H+](C)Cc3cnnn3CC)C2)C1 ZINC000972274920 695200714 /nfs/dbraw/zinc/20/07/14/695200714.db2.gz HKTKKDGZOXBZPX-AWEZNQCLSA-N 1 2 317.437 1.687 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([N@H+](C)Cc3cnnn3CC)C2)C1 ZINC000972274920 695200716 /nfs/dbraw/zinc/20/07/16/695200716.db2.gz HKTKKDGZOXBZPX-AWEZNQCLSA-N 1 2 317.437 1.687 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([N@@H+](C)Cc3cnnn3C)C2)C1 ZINC000972277041 695201908 /nfs/dbraw/zinc/20/19/08/695201908.db2.gz WSAJMEMZDRZYFB-CYBMUJFWSA-N 1 2 303.410 1.204 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([N@H+](C)Cc3cnnn3C)C2)C1 ZINC000972277041 695201909 /nfs/dbraw/zinc/20/19/09/695201909.db2.gz WSAJMEMZDRZYFB-CYBMUJFWSA-N 1 2 303.410 1.204 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@]2(C1)C[N@H+](CCC(F)(F)F)CCO2 ZINC000972297505 695210045 /nfs/dbraw/zinc/21/00/45/695210045.db2.gz KCZZSFCPUVJHPU-WCQYABFASA-N 1 2 319.327 1.402 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@]2(C1)C[N@@H+](CCC(F)(F)F)CCO2 ZINC000972297505 695210046 /nfs/dbraw/zinc/21/00/46/695210046.db2.gz KCZZSFCPUVJHPU-WCQYABFASA-N 1 2 319.327 1.402 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)c(C)n3)C2)C1 ZINC000972467548 695258132 /nfs/dbraw/zinc/25/81/32/695258132.db2.gz ZYRGWDHXYNYHFU-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)c(C)n3)C2)C1 ZINC000972467548 695258135 /nfs/dbraw/zinc/25/81/35/695258135.db2.gz ZYRGWDHXYNYHFU-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C(F)(F)F)C2)C1 ZINC000972493178 695264579 /nfs/dbraw/zinc/26/45/79/695264579.db2.gz BZPFCPHPFIAZAZ-TZMCWYRMSA-N 1 2 318.339 1.511 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C(F)(F)F)C2)C1 ZINC000972493178 695264580 /nfs/dbraw/zinc/26/45/80/695264580.db2.gz BZPFCPHPFIAZAZ-TZMCWYRMSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CC4(CCC4)C3)C2)C1 ZINC000972509519 695267877 /nfs/dbraw/zinc/26/78/77/695267877.db2.gz FSVZJTBDGOGPCQ-GOSISDBHSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CC4(CCC4)C3)C2)C1 ZINC000972509519 695267879 /nfs/dbraw/zinc/26/78/79/695267879.db2.gz FSVZJTBDGOGPCQ-GOSISDBHSA-N 1 2 302.418 1.503 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@]3(C2)C[N@H+](CC#CC)CCO3)c1 ZINC000972545602 695278425 /nfs/dbraw/zinc/27/84/25/695278425.db2.gz IPCLTOXCQAPICM-LJQANCHMSA-N 1 2 323.396 1.003 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@]3(C2)C[N@@H+](CC#CC)CCO3)c1 ZINC000972545602 695278426 /nfs/dbraw/zinc/27/84/26/695278426.db2.gz IPCLTOXCQAPICM-LJQANCHMSA-N 1 2 323.396 1.003 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C3CCCC3)C2)C1 ZINC000972631732 695304295 /nfs/dbraw/zinc/30/42/95/695304295.db2.gz OFYWENQEMRXJSQ-YJBOKZPZSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C3CCCC3)C2)C1 ZINC000972631732 695304299 /nfs/dbraw/zinc/30/42/99/695304299.db2.gz OFYWENQEMRXJSQ-YJBOKZPZSA-N 1 2 304.434 1.749 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC000972695626 695318079 /nfs/dbraw/zinc/31/80/79/695318079.db2.gz DUQSSRXOPLFTFL-AAEUAGOBSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC000972695626 695318081 /nfs/dbraw/zinc/31/80/81/695318081.db2.gz DUQSSRXOPLFTFL-AAEUAGOBSA-N 1 2 313.829 1.140 20 30 DDEDLO C=CCC[N@@H+]1C[C@@H](NC(=O)c2c[nH]cc3ncnc2-3)C(C)(C)C1 ZINC000974611863 695696196 /nfs/dbraw/zinc/69/61/96/695696196.db2.gz XGUMYTUVAOJTGW-CQSZACIVSA-N 1 2 313.405 1.974 20 30 DDEDLO C=CCC[N@H+]1C[C@@H](NC(=O)c2c[nH]cc3ncnc2-3)C(C)(C)C1 ZINC000974611863 695696197 /nfs/dbraw/zinc/69/61/97/695696197.db2.gz XGUMYTUVAOJTGW-CQSZACIVSA-N 1 2 313.405 1.974 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CCC[C@H](CC(N)=O)C1 ZINC000746675956 700036336 /nfs/dbraw/zinc/03/63/36/700036336.db2.gz RPVMJHFNOUJWMW-CJNGLKHVSA-N 1 2 315.373 1.360 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CCC[C@H](CC(N)=O)C1 ZINC000746675956 700036339 /nfs/dbraw/zinc/03/63/39/700036339.db2.gz RPVMJHFNOUJWMW-CJNGLKHVSA-N 1 2 315.373 1.360 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977316430 696113286 /nfs/dbraw/zinc/11/32/86/696113286.db2.gz MPPJOKVUIQJFJX-VXGBXAGGSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977316430 696113288 /nfs/dbraw/zinc/11/32/88/696113288.db2.gz MPPJOKVUIQJFJX-VXGBXAGGSA-N 1 2 313.829 1.234 20 30 DDEDLO Cc1ccc(CNC(=O)CO[NH+]=C(N)Cc2cccnc2)cc1 ZINC000137641330 696858906 /nfs/dbraw/zinc/85/89/06/696858906.db2.gz UBATTYOPDRMAOS-UHFFFAOYSA-N 1 2 312.373 1.538 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)NCc1cccs1 ZINC000137641429 696859199 /nfs/dbraw/zinc/85/91/99/696859199.db2.gz AEMCFORMSBBGRX-UHFFFAOYSA-N 1 2 304.375 1.291 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CCC[N@@H+]([C@@H](C)c1ncccn1)C2 ZINC000981669718 696867007 /nfs/dbraw/zinc/86/70/07/696867007.db2.gz VKZVOIUXXMGUOO-KGLIPLIRSA-N 1 2 313.405 1.622 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CCC[N@H+]([C@@H](C)c1ncccn1)C2 ZINC000981669718 696867010 /nfs/dbraw/zinc/86/70/10/696867010.db2.gz VKZVOIUXXMGUOO-KGLIPLIRSA-N 1 2 313.405 1.622 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](Cc2cc(CO)ccc2F)CC1 ZINC000980850974 696918022 /nfs/dbraw/zinc/91/80/22/696918022.db2.gz YQTWTJPQHCPTSW-CYBMUJFWSA-N 1 2 319.380 1.512 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](Cc2cc(CO)ccc2F)CC1 ZINC000980850974 696918023 /nfs/dbraw/zinc/91/80/23/696918023.db2.gz YQTWTJPQHCPTSW-CYBMUJFWSA-N 1 2 319.380 1.512 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)c1ccccc1OCC#N ZINC000170866770 697351252 /nfs/dbraw/zinc/35/12/52/697351252.db2.gz FOJQYIFKOBGYFX-UHFFFAOYSA-N 1 2 317.389 1.430 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)c1ccccc1OCC#N ZINC000170866770 697351254 /nfs/dbraw/zinc/35/12/54/697351254.db2.gz FOJQYIFKOBGYFX-UHFFFAOYSA-N 1 2 317.389 1.430 20 30 DDEDLO CCn1ncnc1C[N@H+](C)C1CCN(C(=O)C#CC2CC2)CC1 ZINC000985429944 697509642 /nfs/dbraw/zinc/50/96/42/697509642.db2.gz WGEKQLDDTCSPLT-UHFFFAOYSA-N 1 2 315.421 1.134 20 30 DDEDLO CCn1ncnc1C[N@@H+](C)C1CCN(C(=O)C#CC2CC2)CC1 ZINC000985429944 697509644 /nfs/dbraw/zinc/50/96/44/697509644.db2.gz WGEKQLDDTCSPLT-UHFFFAOYSA-N 1 2 315.421 1.134 20 30 DDEDLO C=CC[N@H+](Cc1ccc(OC)cc1)CN1C[C@@H](OC)CC1=O ZINC000189080139 697570415 /nfs/dbraw/zinc/57/04/15/697570415.db2.gz YQOVUAPSIWTYIU-INIZCTEOSA-N 1 2 304.390 1.888 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(OC)cc1)CN1C[C@@H](OC)CC1=O ZINC000189080139 697570416 /nfs/dbraw/zinc/57/04/16/697570416.db2.gz YQOVUAPSIWTYIU-INIZCTEOSA-N 1 2 304.390 1.888 20 30 DDEDLO C=CCNC(=O)c1ccccc1NC(=O)CCc1c[nH+]cn1C ZINC000192504349 697630806 /nfs/dbraw/zinc/63/08/06/697630806.db2.gz WHJYHJYIZSTXOS-UHFFFAOYSA-N 1 2 312.373 1.907 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)Nc1nnc(C(C)C)s1)[C@@H]1CCCO1 ZINC000775793682 698035439 /nfs/dbraw/zinc/03/54/39/698035439.db2.gz MRRDQNSYZZYPFV-QWRGUYRKSA-N 1 2 308.407 1.370 20 30 DDEDLO Cn1cc([C@@H](CO)[NH2+]Cc2cc(Cl)ccc2OCC#N)cn1 ZINC000778138014 698244295 /nfs/dbraw/zinc/24/42/95/698244295.db2.gz NVLGIDPCDNVRIK-CQSZACIVSA-N 1 2 320.780 1.799 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=Cc2c[nH]nc2-c2c(F)cccc2F)N1 ZINC000779799548 698467331 /nfs/dbraw/zinc/46/73/31/698467331.db2.gz VDJTWAKJCXVKQC-QMMMGPOBSA-N 1 2 304.304 1.626 20 30 DDEDLO C[C@@H]1C[C@@H]([NH2+]Cc2nc(C(F)F)no2)CN1C(=O)C#CC1CC1 ZINC000988828935 698478126 /nfs/dbraw/zinc/47/81/26/698478126.db2.gz YVDCQIZMYRFVSK-MWLCHTKSSA-N 1 2 324.331 1.500 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[NH+](CCc2ccccc2)CC1 ZINC000288517872 698564063 /nfs/dbraw/zinc/56/40/63/698564063.db2.gz BQRWILCTRKOKIV-UHFFFAOYSA-N 1 2 306.431 1.200 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]([NH2+]Cc3nc(C)no3)C[C@@H]2C)cc1 ZINC000989274496 698574385 /nfs/dbraw/zinc/57/43/85/698574385.db2.gz KSNILPBNTKSDRO-LRDDRELGSA-N 1 2 324.384 1.752 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)[C@H](O)c2ccc(C#N)cc2)C1 ZINC000780995773 698581121 /nfs/dbraw/zinc/58/11/21/698581121.db2.gz QCWBKUIQJLLVNR-HUUCEWRRSA-N 1 2 310.357 1.341 20 30 DDEDLO CS(=O)(=O)[C@H]1CCCC(=[NH+]n2cc(Br)cn2)C1 ZINC000788437440 699313688 /nfs/dbraw/zinc/31/36/88/699313688.db2.gz RUKLNWHZQVKNTB-JTQLQIEISA-N 1 2 320.212 1.837 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCC#Cc2ccccc2)CCO1 ZINC000726255566 699357027 /nfs/dbraw/zinc/35/70/27/699357027.db2.gz JZHMAZNQSKZMBP-OAHLLOKOSA-N 1 2 301.390 1.058 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCC#Cc2ccccc2)CCO1 ZINC000726255566 699357030 /nfs/dbraw/zinc/35/70/30/699357030.db2.gz JZHMAZNQSKZMBP-OAHLLOKOSA-N 1 2 301.390 1.058 20 30 DDEDLO CCNC(=O)C[C@@H]1CCCC[N@@H+]1Cn1ccc(C)c(C#N)c1=O ZINC000789515925 699392115 /nfs/dbraw/zinc/39/21/15/699392115.db2.gz SSSBBTGQUODKSL-AWEZNQCLSA-N 1 2 316.405 1.367 20 30 DDEDLO CCNC(=O)C[C@@H]1CCCC[N@H+]1Cn1ccc(C)c(C#N)c1=O ZINC000789515925 699392119 /nfs/dbraw/zinc/39/21/19/699392119.db2.gz SSSBBTGQUODKSL-AWEZNQCLSA-N 1 2 316.405 1.367 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2ccc(SC)cc2)CC1 ZINC000728573537 699449854 /nfs/dbraw/zinc/44/98/54/699449854.db2.gz QCOANLYWFYASFC-UHFFFAOYSA-N 1 2 317.458 1.276 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cc(C(=O)OC)ccc1C ZINC000730096572 699500513 /nfs/dbraw/zinc/50/05/13/699500513.db2.gz WWCLLQCEOOEVCP-HNNXBMFYSA-N 1 2 300.358 1.818 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cc(C(=O)OC)ccc1C ZINC000730096572 699500515 /nfs/dbraw/zinc/50/05/15/699500515.db2.gz WWCLLQCEOOEVCP-HNNXBMFYSA-N 1 2 300.358 1.818 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cnn(Cc2ccccn2)c1 ZINC000730283862 699506733 /nfs/dbraw/zinc/50/67/33/699506733.db2.gz IOVPECGVZRUCQR-INIZCTEOSA-N 1 2 309.373 1.363 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cnn(Cc2ccccn2)c1 ZINC000730283862 699506734 /nfs/dbraw/zinc/50/67/34/699506734.db2.gz IOVPECGVZRUCQR-INIZCTEOSA-N 1 2 309.373 1.363 20 30 DDEDLO CC[NH+](CC)[C@@H](C(=O)OCC(=O)NCC#N)c1ccccc1 ZINC000732347262 699556385 /nfs/dbraw/zinc/55/63/85/699556385.db2.gz CLQTVDVBAURUQB-OAHLLOKOSA-N 1 2 303.362 1.252 20 30 DDEDLO C=CCN(CCOC)C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000792397897 699695475 /nfs/dbraw/zinc/69/54/75/699695475.db2.gz QHANYZSXIWNROP-UHFFFAOYSA-N 1 2 320.393 1.056 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CC[C@H](C3CCOCC3)C2)c1=S ZINC000794637617 699820494 /nfs/dbraw/zinc/82/04/94/699820494.db2.gz YROPZPHRWZFJAB-ZDUSSCGKSA-N 1 2 321.450 1.723 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CC[C@H](C3CCOCC3)C2)c1=S ZINC000794637617 699820497 /nfs/dbraw/zinc/82/04/97/699820497.db2.gz YROPZPHRWZFJAB-ZDUSSCGKSA-N 1 2 321.450 1.723 20 30 DDEDLO C[C@H](C#N)OCCOC(=O)[C@@H](C)[N@@H+]1CCc2ccccc2C1 ZINC000801840642 700344828 /nfs/dbraw/zinc/34/48/28/700344828.db2.gz STAVWXCOPDPSDT-ZIAGYGMSSA-N 1 2 302.374 1.905 20 30 DDEDLO C[C@H](C#N)OCCOC(=O)[C@@H](C)[N@H+]1CCc2ccccc2C1 ZINC000801840642 700344831 /nfs/dbraw/zinc/34/48/31/700344831.db2.gz STAVWXCOPDPSDT-ZIAGYGMSSA-N 1 2 302.374 1.905 20 30 DDEDLO Cc1ccc(S(=O)(=O)NN=C2C[C@@H](C)[N@@H+](C3CC3)C2)cc1 ZINC000753956520 700471582 /nfs/dbraw/zinc/47/15/82/700471582.db2.gz WTALYVJPWMIRBJ-GFCCVEGCSA-N 1 2 307.419 1.886 20 30 DDEDLO Cc1ccc(S(=O)(=O)NN=C2C[C@@H](C)[N@H+](C3CC3)C2)cc1 ZINC000753956520 700471584 /nfs/dbraw/zinc/47/15/84/700471584.db2.gz WTALYVJPWMIRBJ-GFCCVEGCSA-N 1 2 307.419 1.886 20 30 DDEDLO COCC[C@H](C)ON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000755943194 700594488 /nfs/dbraw/zinc/59/44/88/700594488.db2.gz FQFATJMGTALJHE-AWEZNQCLSA-N 1 2 321.421 1.581 20 30 DDEDLO C#Cc1ccc(CNc2ccn(CC[NH+]3CCOCC3)n2)cc1 ZINC000809627342 701676151 /nfs/dbraw/zinc/67/61/51/701676151.db2.gz RLVQFMMNWFWSAD-UHFFFAOYSA-N 1 2 310.401 1.809 20 30 DDEDLO CCOC[C@@H]1CCC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766619011 701057018 /nfs/dbraw/zinc/05/70/18/701057018.db2.gz HKDAGULNKOFNHK-CQSZACIVSA-N 1 2 315.417 1.915 20 30 DDEDLO CCOC[C@@H]1CCC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766619011 701057019 /nfs/dbraw/zinc/05/70/19/701057019.db2.gz HKDAGULNKOFNHK-CQSZACIVSA-N 1 2 315.417 1.915 20 30 DDEDLO CC(C)(C)c1ccc(C#N)c(N2CCC[N@H+](CC(N)=O)CC2)n1 ZINC000767182474 701088594 /nfs/dbraw/zinc/08/85/94/701088594.db2.gz ULZQKKYULPYENE-UHFFFAOYSA-N 1 2 315.421 1.248 20 30 DDEDLO CC(C)(C)c1ccc(C#N)c(N2CCC[N@@H+](CC(N)=O)CC2)n1 ZINC000767182474 701088595 /nfs/dbraw/zinc/08/85/95/701088595.db2.gz ULZQKKYULPYENE-UHFFFAOYSA-N 1 2 315.421 1.248 20 30 DDEDLO Cn1cc[nH+]c1C([O-])=C(C#N)C(=O)C1CC[NH+](C2CC2)CC1 ZINC000805898172 701415359 /nfs/dbraw/zinc/41/53/59/701415359.db2.gz BYTZYAZHRNPPBP-CYBMUJFWSA-N 1 2 300.362 1.186 20 30 DDEDLO CCOC1CC([N@H+](C)Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000808214050 701508278 /nfs/dbraw/zinc/50/82/78/701508278.db2.gz XAPJFNGWCSTSEA-UHFFFAOYSA-N 1 2 301.390 1.665 20 30 DDEDLO CCOC1CC([N@@H+](C)Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000808214050 701508279 /nfs/dbraw/zinc/50/82/79/701508279.db2.gz XAPJFNGWCSTSEA-UHFFFAOYSA-N 1 2 301.390 1.665 20 30 DDEDLO C#CCCOC(=O)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000867790389 701750609 /nfs/dbraw/zinc/75/06/09/701750609.db2.gz HJJHFBZBFUHEOI-KRWDZBQOSA-N 1 2 316.401 1.945 20 30 DDEDLO C#CCCOC(=O)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000867790389 701750613 /nfs/dbraw/zinc/75/06/13/701750613.db2.gz HJJHFBZBFUHEOI-KRWDZBQOSA-N 1 2 316.401 1.945 20 30 DDEDLO C[C@H](CC#N)N(C)S(=O)(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC000867794220 701752974 /nfs/dbraw/zinc/75/29/74/701752974.db2.gz FXCLVMOGJZDTLR-DOMZBBRYSA-N 1 2 322.434 1.241 20 30 DDEDLO C[C@H](CC#N)N(C)S(=O)(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC000867794220 701752976 /nfs/dbraw/zinc/75/29/76/701752976.db2.gz FXCLVMOGJZDTLR-DOMZBBRYSA-N 1 2 322.434 1.241 20 30 DDEDLO COC(=O)c1ccccc1N[NH+]=Cc1c(Cl)nc(N)n1C ZINC000814894379 701766152 /nfs/dbraw/zinc/76/61/52/701766152.db2.gz LXKTXPRKXBHBAH-UHFFFAOYSA-N 1 2 307.741 1.888 20 30 DDEDLO C=CCC(F)(F)C(=O)N[C@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000815820823 701940930 /nfs/dbraw/zinc/94/09/30/701940930.db2.gz ZSIDVGSMGRTZSP-QWHCGFSZSA-N 1 2 302.365 1.957 20 30 DDEDLO CC[C@@H](O)CN[NH+]=Cc1c(Cl)nc(N)nc1N1CCCC1 ZINC000811655611 702022470 /nfs/dbraw/zinc/02/24/70/702022470.db2.gz RNMBVEVHGTZBLM-SECBINFHSA-N 1 2 312.805 1.007 20 30 DDEDLO COc1ccc(CNc2cc(NC[C@@H](C)O)[nH+]cn2)cc1C#N ZINC000840276952 702052881 /nfs/dbraw/zinc/05/28/81/702052881.db2.gz OWEAGYVCVBXBGY-LLVKDONJSA-N 1 2 313.361 1.762 20 30 DDEDLO COc1ccc(CNc2cc(NC[C@@H](C)O)nc[nH+]2)cc1C#N ZINC000840276952 702052889 /nfs/dbraw/zinc/05/28/89/702052889.db2.gz OWEAGYVCVBXBGY-LLVKDONJSA-N 1 2 313.361 1.762 20 30 DDEDLO C[C@@H]([NH2+]CC1(CS(C)(=O)=O)CC1)c1cccc(C#N)c1O ZINC000866302961 706669580 /nfs/dbraw/zinc/66/95/80/706669580.db2.gz MYKUJGIGYMHNAZ-LLVKDONJSA-N 1 2 308.403 1.739 20 30 DDEDLO CC(=NNC1=[NH+]CCN1)c1cc(Br)c(F)cc1O ZINC000841661852 702523887 /nfs/dbraw/zinc/52/38/87/702523887.db2.gz SRSJKVGPSIWUKG-UHFFFAOYSA-N 1 2 315.146 1.567 20 30 DDEDLO C[C@@H]([NH2+]C[C@@H]1CCCCN1CCO)c1cccc(C#N)c1O ZINC000866366354 706682547 /nfs/dbraw/zinc/68/25/47/706682547.db2.gz LMVSFSFJYQEJOW-HIFRSBDPSA-N 1 2 303.406 1.761 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)C#Cc2cccs2)C[C@H](C)O1 ZINC000831359609 706693084 /nfs/dbraw/zinc/69/30/84/706693084.db2.gz YFEGVGKBWYNLDR-OKILXGFUSA-N 1 2 306.431 1.667 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)C#Cc2cccs2)C[C@H](C)O1 ZINC000831359609 706693087 /nfs/dbraw/zinc/69/30/87/706693087.db2.gz YFEGVGKBWYNLDR-OKILXGFUSA-N 1 2 306.431 1.667 20 30 DDEDLO C=C[C@H](C)ONC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000844284029 703004649 /nfs/dbraw/zinc/00/46/49/703004649.db2.gz MXOIONZFKPLWPC-AWEZNQCLSA-N 1 2 319.405 1.824 20 30 DDEDLO N#Cc1cccnc1NCCNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845287666 703139205 /nfs/dbraw/zinc/13/92/05/703139205.db2.gz VLWTWIAKQNIVIO-UHFFFAOYSA-N 1 2 324.388 1.257 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000847028410 703368187 /nfs/dbraw/zinc/36/81/87/703368187.db2.gz YALCUKBKLIETMA-AWEZNQCLSA-N 1 2 302.399 1.677 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000847028410 703368190 /nfs/dbraw/zinc/36/81/90/703368190.db2.gz YALCUKBKLIETMA-AWEZNQCLSA-N 1 2 302.399 1.677 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1CC[C@@H](c2ccc([N+](=O)[O-])cc2)C1=O ZINC000848485735 703556139 /nfs/dbraw/zinc/55/61/39/703556139.db2.gz VUSZVTXVGSTPEW-DOMZBBRYSA-N 1 2 301.346 1.822 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1CC[C@@H](c2ccc([N+](=O)[O-])cc2)C1=O ZINC000848485735 703556141 /nfs/dbraw/zinc/55/61/41/703556141.db2.gz VUSZVTXVGSTPEW-DOMZBBRYSA-N 1 2 301.346 1.822 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[NH2+]Cc2nc(C(N)=O)cs2)cc1 ZINC000850383229 703728504 /nfs/dbraw/zinc/72/85/04/703728504.db2.gz BTMNPKVEBOZXJW-JTQLQIEISA-N 1 2 316.386 1.823 20 30 DDEDLO C#CCOCC[N@@H+]1CCO[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000851810738 703861474 /nfs/dbraw/zinc/86/14/74/703861474.db2.gz CIOLGJVHNSFMKP-KBPBESRZSA-N 1 2 312.410 1.250 20 30 DDEDLO C#CCOCC[N@H+]1CCO[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000851810738 703861476 /nfs/dbraw/zinc/86/14/76/703861476.db2.gz CIOLGJVHNSFMKP-KBPBESRZSA-N 1 2 312.410 1.250 20 30 DDEDLO C#CCC[NH+]1CCN(Cc2n[nH]c(C)c2C(=O)OCC)CC1 ZINC000851873420 703875001 /nfs/dbraw/zinc/87/50/01/703875001.db2.gz XUEZOTTULBKWME-UHFFFAOYSA-N 1 2 304.394 1.036 20 30 DDEDLO C=CC[N@H+](Cc1ccc(S(=O)(=O)N(C)C)o1)[C@H](C)COC ZINC000852358293 704023460 /nfs/dbraw/zinc/02/34/60/704023460.db2.gz PGJUKNBXXFPJKL-GFCCVEGCSA-N 1 2 316.423 1.553 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(S(=O)(=O)N(C)C)o1)[C@H](C)COC ZINC000852358293 704023464 /nfs/dbraw/zinc/02/34/64/704023464.db2.gz PGJUKNBXXFPJKL-GFCCVEGCSA-N 1 2 316.423 1.553 20 30 DDEDLO C#CCC[N@@H+](CCOC)Cc1nc(-c2cc(C)ccn2)no1 ZINC000852750329 704113643 /nfs/dbraw/zinc/11/36/43/704113643.db2.gz QGJKLJGRFXWKMA-UHFFFAOYSA-N 1 2 300.362 1.912 20 30 DDEDLO C#CCC[N@H+](CCOC)Cc1nc(-c2cc(C)ccn2)no1 ZINC000852750329 704113645 /nfs/dbraw/zinc/11/36/45/704113645.db2.gz QGJKLJGRFXWKMA-UHFFFAOYSA-N 1 2 300.362 1.912 20 30 DDEDLO C#C[C@@H](CC)NC(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000854070013 704352525 /nfs/dbraw/zinc/35/25/25/704352525.db2.gz HEAYNXQWGUXXEA-INIZCTEOSA-N 1 2 315.417 1.934 20 30 DDEDLO COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)CC1(C#N)CCCCC1 ZINC000857183755 704560259 /nfs/dbraw/zinc/56/02/59/704560259.db2.gz NBSJZVGTEBEGHM-CYBMUJFWSA-N 1 2 318.377 1.405 20 30 DDEDLO C#CC[C@H](COC)NC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000858166738 704672723 /nfs/dbraw/zinc/67/27/23/704672723.db2.gz ZEFRBWGLZHYIAE-CYBMUJFWSA-N 1 2 305.378 1.545 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H](CS(=O)(=O)NC)C1 ZINC000860196579 705128203 /nfs/dbraw/zinc/12/82/03/705128203.db2.gz CZNCNSHYJAUDEL-SNVBAGLBSA-N 1 2 311.245 1.156 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H](CS(=O)(=O)NC)C1 ZINC000860196579 705128200 /nfs/dbraw/zinc/12/82/00/705128200.db2.gz CZNCNSHYJAUDEL-SNVBAGLBSA-N 1 2 311.245 1.156 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000826564930 705815888 /nfs/dbraw/zinc/81/58/88/705815888.db2.gz RXAJEAYZJNQEGA-KRWDZBQOSA-N 1 2 318.417 1.515 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000826564930 705815891 /nfs/dbraw/zinc/81/58/91/705815891.db2.gz RXAJEAYZJNQEGA-KRWDZBQOSA-N 1 2 318.417 1.515 20 30 DDEDLO O=S1(=O)CCC[C@H](NN=Cc2cc(-n3cc[nH+]c3)cs2)C1 ZINC000863133449 705866380 /nfs/dbraw/zinc/86/63/80/705866380.db2.gz JUXFLCGGIIHQIO-NSHDSACASA-N 1 2 324.431 1.435 20 30 DDEDLO CC[C@H](C)CN(C)c1ccc(F)cc1C=[NH+]NC1=NCC(=O)N1 ZINC000863174977 705872068 /nfs/dbraw/zinc/87/20/68/705872068.db2.gz LGBCZZYVPIVOBD-NSHDSACASA-N 1 2 319.384 1.717 20 30 DDEDLO C=CCOCCCC(=O)N1CCC(N2CC[NH+](C)CC2)CC1 ZINC000827449732 706004016 /nfs/dbraw/zinc/00/40/16/706004016.db2.gz IDTFONCJXSWSMR-UHFFFAOYSA-N 1 2 309.454 1.208 20 30 DDEDLO C=CCCC(C)(C)CNC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000828022620 706104910 /nfs/dbraw/zinc/10/49/10/706104910.db2.gz JHVQXAHZMCCWMQ-CVEARBPZSA-N 1 2 324.465 1.975 20 30 DDEDLO C#Cc1cnc(NCC2([NH+]3CCOCC3)CC(OCC)C2)nc1 ZINC000828035802 706106890 /nfs/dbraw/zinc/10/68/90/706106890.db2.gz BIRFZBGYLQRQQR-UHFFFAOYSA-N 1 2 316.405 1.140 20 30 DDEDLO COc1c(C)c[nH+]c(CN(C)C(=O)[C@@]2(C#N)CCCOC2)c1C ZINC000865050265 706336048 /nfs/dbraw/zinc/33/60/48/706336048.db2.gz CXKQNPZIDZQXRH-QGZVFWFLSA-N 1 2 317.389 1.986 20 30 DDEDLO C=C(Cl)C[C@@H]1NC(=O)N([C@H](C)[C@H](C)[NH+]2CCOCC2)C1=O ZINC000865303126 706399279 /nfs/dbraw/zinc/39/92/79/706399279.db2.gz ZJPJWDJSZTVTPF-TUAOUCFPSA-N 1 2 315.801 1.159 20 30 DDEDLO C=CCOc1ccccc1CNC(=O)/C=C\C[NH+]1CCOCC1 ZINC000865705340 706500951 /nfs/dbraw/zinc/50/09/51/706500951.db2.gz OJBMICMKAXFRBQ-YVMONPNESA-N 1 2 316.401 1.756 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1Cc2ccccc2[C@H](C(=O)OC)C1 ZINC000879061624 706576532 /nfs/dbraw/zinc/57/65/32/706576532.db2.gz FTVSHHVPQPYEQL-HUUCEWRRSA-N 1 2 303.358 1.877 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1Cc2ccccc2[C@H](C(=O)OC)C1 ZINC000879061624 706576535 /nfs/dbraw/zinc/57/65/35/706576535.db2.gz FTVSHHVPQPYEQL-HUUCEWRRSA-N 1 2 303.358 1.877 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)C[C@H]1CN(c2ccccc2)C(=O)O1 ZINC000880083834 706874806 /nfs/dbraw/zinc/87/48/06/706874806.db2.gz VLYUJNBVNYTVLB-AWEZNQCLSA-N 1 2 303.362 1.246 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)C[C@H]1CN(c2ccccc2)C(=O)O1 ZINC000880083834 706874808 /nfs/dbraw/zinc/87/48/08/706874808.db2.gz VLYUJNBVNYTVLB-AWEZNQCLSA-N 1 2 303.362 1.246 20 30 DDEDLO C[N@H+](CCCCC(C)(C)C#N)Cc1nnc2c(=O)[nH]ccn12 ZINC000880362980 706953462 /nfs/dbraw/zinc/95/34/62/706953462.db2.gz SCRITSNQJVCHKB-UHFFFAOYSA-N 1 2 302.382 1.569 20 30 DDEDLO C[N@@H+](CCCCC(C)(C)C#N)Cc1nnc2c(=O)[nH]ccn12 ZINC000880362980 706953464 /nfs/dbraw/zinc/95/34/64/706953464.db2.gz SCRITSNQJVCHKB-UHFFFAOYSA-N 1 2 302.382 1.569 20 30 DDEDLO CC(C)(C)OC1C[NH+](C[C@@H](O)COc2ccccc2C#N)C1 ZINC000871991452 707271281 /nfs/dbraw/zinc/27/12/81/707271281.db2.gz CCGFBIAUTMABRA-CQSZACIVSA-N 1 2 304.390 1.797 20 30 DDEDLO C(=NN[C@@H]1CCOC1)c1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872371066 707393124 /nfs/dbraw/zinc/39/31/24/707393124.db2.gz IOCWUHPXWQUOSB-MRXNPFEDSA-N 1 2 319.405 1.110 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000837914821 707780130 /nfs/dbraw/zinc/78/01/30/707780130.db2.gz PQAMLVWYGQXEGS-PHIMTYICSA-N 1 2 318.215 1.057 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000837914821 707780132 /nfs/dbraw/zinc/78/01/32/707780132.db2.gz PQAMLVWYGQXEGS-PHIMTYICSA-N 1 2 318.215 1.057 20 30 DDEDLO CC#CC[C@H](CO)[NH2+]Cc1csc(N(C(C)=O)C2CC2)n1 ZINC000883157213 707943197 /nfs/dbraw/zinc/94/31/97/707943197.db2.gz RBHZSRUXJYOAFT-GFCCVEGCSA-N 1 2 307.419 1.522 20 30 DDEDLO C#C[C@@H]1CCCCN1C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000896594013 708092640 /nfs/dbraw/zinc/09/26/40/708092640.db2.gz FKXLGGMWPORPQR-CYBMUJFWSA-N 1 2 306.435 1.902 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)n1cc(C[NH+]2CCC(O)CC2)nn1 ZINC000883991274 708093500 /nfs/dbraw/zinc/09/35/00/708093500.db2.gz XUOSVIKDGTUFGO-ZDUSSCGKSA-N 1 2 311.389 1.716 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccccc1OCCC ZINC000884054916 708119746 /nfs/dbraw/zinc/11/97/46/708119746.db2.gz VVHKQPMIAWEDJL-LBPRGKRZSA-N 1 2 306.362 1.861 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1cc2ccccc2o1 ZINC000884093189 708135777 /nfs/dbraw/zinc/13/57/77/708135777.db2.gz ATSXZPDLQQTMDG-ZDUSSCGKSA-N 1 2 302.330 1.496 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1cc2c(s1)CCCC2 ZINC000884095363 708136845 /nfs/dbraw/zinc/13/68/45/708136845.db2.gz MUKNORZKMOVEGV-ZDUSSCGKSA-N 1 2 322.430 1.690 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc2cnn(CC)c2c1 ZINC000884105138 708141407 /nfs/dbraw/zinc/14/14/07/708141407.db2.gz FSJDMKPQCNBZPC-ZDUSSCGKSA-N 1 2 316.361 1.441 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1Cc2ccccc2C[C@@H]1C ZINC000884153752 708162786 /nfs/dbraw/zinc/16/27/86/708162786.db2.gz RHKLVZWWSMOLBA-WFASDCNBSA-N 1 2 302.374 1.406 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CC1CC1)c1ccccc1 ZINC000884161985 708166587 /nfs/dbraw/zinc/16/65/87/708166587.db2.gz SFLVCPOSZWGMFJ-HNNXBMFYSA-N 1 2 302.374 1.876 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(Cc1ccccn1)C(C)C ZINC000884197049 708184051 /nfs/dbraw/zinc/18/40/51/708184051.db2.gz JBHMDUHYNDUGOW-AWEZNQCLSA-N 1 2 305.378 1.265 20 30 DDEDLO CCc1[nH]c(C[NH2+]Cc2nc(C#N)cs2)cc1C(=O)OC ZINC000897627105 708400103 /nfs/dbraw/zinc/40/01/03/708400103.db2.gz ZLAGJZBLWIKGSZ-UHFFFAOYSA-N 1 2 304.375 1.982 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@@H+]1C[C@H](O)CC1(C#N)CCC1 ZINC000886116223 708701161 /nfs/dbraw/zinc/70/11/61/708701161.db2.gz CWJIKVWJIRULCJ-RDBSUJKOSA-N 1 2 310.394 1.084 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@H+]1C[C@H](O)CC1(C#N)CCC1 ZINC000886116223 708701164 /nfs/dbraw/zinc/70/11/64/708701164.db2.gz CWJIKVWJIRULCJ-RDBSUJKOSA-N 1 2 310.394 1.084 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@@H]2CC[C@@H](C3CC3)O2)n1 ZINC000886177327 708710503 /nfs/dbraw/zinc/71/05/03/708710503.db2.gz ZVMYTVUIZJOEAL-ROUUACIJSA-N 1 2 317.433 1.922 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@@H]2CC[C@@H](C3CC3)O2)n1 ZINC000886177327 708710506 /nfs/dbraw/zinc/71/05/06/708710506.db2.gz ZVMYTVUIZJOEAL-ROUUACIJSA-N 1 2 317.433 1.922 20 30 DDEDLO CCOC(=O)[C@H](C[C@@H]1CCCO1)[NH2+]C[C@H](O)CC1(C#N)CCC1 ZINC000886971211 708909473 /nfs/dbraw/zinc/90/94/73/708909473.db2.gz RYKSIORYLSJZDG-ILXRZTDVSA-N 1 2 324.421 1.522 20 30 DDEDLO C=C(C)C[C@H](NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1)C(=O)OC ZINC000899165861 709006570 /nfs/dbraw/zinc/00/65/70/709006570.db2.gz YJILYOUPWWQKMT-KBPBESRZSA-N 1 2 320.393 1.414 20 30 DDEDLO C=C(C)C[C@H](NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1)C(=O)OC ZINC000899165861 709006572 /nfs/dbraw/zinc/00/65/72/709006572.db2.gz YJILYOUPWWQKMT-KBPBESRZSA-N 1 2 320.393 1.414 20 30 DDEDLO N#Cc1ccc(N2C(=O)C[C@H]([NH+]3CCC(F)CC3)C2=O)cc1 ZINC000887300770 709011779 /nfs/dbraw/zinc/01/17/79/709011779.db2.gz CVOXEPXCYPTZCF-AWEZNQCLSA-N 1 2 301.321 1.624 20 30 DDEDLO C/C(=C\C(=O)Nc1cc(C)nn1CCC#N)C[NH+]1CCOCC1 ZINC000900327593 709540823 /nfs/dbraw/zinc/54/08/23/709540823.db2.gz UTCKVHYOGWBSQZ-JLHYYAGUSA-N 1 2 317.393 1.322 20 30 DDEDLO CC(C)(CC[NH+]1CCOCC1)NC(=O)c1ccc(C#N)c(O)c1 ZINC000912951077 713133077 /nfs/dbraw/zinc/13/30/77/713133077.db2.gz FELDMKALBSPKBU-UHFFFAOYSA-N 1 2 317.389 1.495 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCC#C[C@H]2CCCCO2)[nH]n1 ZINC000891112608 710095794 /nfs/dbraw/zinc/09/57/94/710095794.db2.gz MHQUSLQCSAGMBI-CJNGLKHVSA-N 1 2 316.405 1.479 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)NCC#C[C@H]2CCCCO2)[nH]n1 ZINC000891112608 710095799 /nfs/dbraw/zinc/09/57/99/710095799.db2.gz MHQUSLQCSAGMBI-CJNGLKHVSA-N 1 2 316.405 1.479 20 30 DDEDLO CNc1cc(NC2CCN(c3ccc(C#N)cn3)CC2)[nH+]cn1 ZINC000892297485 710412237 /nfs/dbraw/zinc/41/22/37/710412237.db2.gz PHHMXQZRNCWXQK-UHFFFAOYSA-N 1 2 309.377 1.866 20 30 DDEDLO C[C@@]12C(=O)N(C[NH+]3CCC(C)(C#N)CC3)C(=O)[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000902218803 710669044 /nfs/dbraw/zinc/66/90/44/710669044.db2.gz ROONXIOQMLWABV-COJSNBRMSA-N 1 2 313.401 1.767 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H](C#N)[C@@H]([NH2+]Cc2cc[nH]c(=O)c2)C1 ZINC000893576649 710719702 /nfs/dbraw/zinc/71/97/02/710719702.db2.gz RWXFSDPTAQEPBW-OLZOCXBDSA-N 1 2 318.377 1.636 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(Br)no2)C1 ZINC000902731234 710881979 /nfs/dbraw/zinc/88/19/79/710881979.db2.gz WUGCBVFPRNVOIF-VIFPVBQESA-N 1 2 312.167 1.265 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(Br)no2)C1 ZINC000902731234 710881981 /nfs/dbraw/zinc/88/19/81/710881981.db2.gz WUGCBVFPRNVOIF-VIFPVBQESA-N 1 2 312.167 1.265 20 30 DDEDLO C=CCCOCCCCC(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC000913449769 713220581 /nfs/dbraw/zinc/22/05/81/713220581.db2.gz PJDVZMNDWITKQA-HNNXBMFYSA-N 1 2 306.410 1.646 20 30 DDEDLO C=C1CCC(C(=O)N2CCO[C@H](C[NH+]3CCOCC3)C2)CC1 ZINC000913473698 713231448 /nfs/dbraw/zinc/23/14/48/713231448.db2.gz COSAGQROWAZFSH-MRXNPFEDSA-N 1 2 308.422 1.292 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCCN(C(=O)CNc2cccc[nH+]2)C1 ZINC000912296626 711265758 /nfs/dbraw/zinc/26/57/58/711265758.db2.gz UIKTVKOPOYCZKC-GOSISDBHSA-N 1 2 321.384 1.972 20 30 DDEDLO C#CCCCCCC[NH+]1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000894978694 711348203 /nfs/dbraw/zinc/34/82/03/711348203.db2.gz OTYCTSAJPLEXBY-UHFFFAOYSA-N 1 2 324.450 1.300 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(-c2ccc(F)cc2C)no1 ZINC000904092467 711378969 /nfs/dbraw/zinc/37/89/69/711378969.db2.gz KWNSPGJPTWICTM-LBPRGKRZSA-N 1 2 305.309 1.783 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)CC#N)CC2)c(-c2ccncc2)n1 ZINC000895065627 711394063 /nfs/dbraw/zinc/39/40/63/711394063.db2.gz FFRRTFQSTZYAGZ-UHFFFAOYSA-N 1 2 324.388 1.040 20 30 DDEDLO CC(=O)Nc1ccc(C[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000895068391 711395569 /nfs/dbraw/zinc/39/55/69/711395569.db2.gz XJEWVYGJQZIKAG-UHFFFAOYSA-N 1 2 300.362 1.203 20 30 DDEDLO C[C@H](Nc1cc(C#N)ccc1[N+](=O)[O-])[C@H]1CN(C)CC[N@@H+]1C ZINC000895247066 711464463 /nfs/dbraw/zinc/46/44/63/711464463.db2.gz SFNMOIHJVPCCMS-XHDPSFHLSA-N 1 2 303.366 1.513 20 30 DDEDLO C[C@H](Nc1cc(C#N)ccc1[N+](=O)[O-])[C@H]1CN(C)CC[N@H+]1C ZINC000895247066 711464464 /nfs/dbraw/zinc/46/44/64/711464464.db2.gz SFNMOIHJVPCCMS-XHDPSFHLSA-N 1 2 303.366 1.513 20 30 DDEDLO Cc1nc(NCC[N@@H+]2CCC3(C2)OCCO3)c(Cl)cc1C#N ZINC000895252275 711467096 /nfs/dbraw/zinc/46/70/96/711467096.db2.gz CGOBOSAVIVCOJZ-UHFFFAOYSA-N 1 2 322.796 1.776 20 30 DDEDLO Cc1nc(NCC[N@H+]2CCC3(C2)OCCO3)c(Cl)cc1C#N ZINC000895252275 711467099 /nfs/dbraw/zinc/46/70/99/711467099.db2.gz CGOBOSAVIVCOJZ-UHFFFAOYSA-N 1 2 322.796 1.776 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)Nc1ccc(C#N)cc1[N+](=O)[O-] ZINC000895798260 711608964 /nfs/dbraw/zinc/60/89/64/711608964.db2.gz DITIDHNBEGNJJM-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO C=CC[C@@H]([NH2+]CCCNC(=O)c1ccc(F)cc1)C(=O)OC ZINC000905758621 712140277 /nfs/dbraw/zinc/14/02/77/712140277.db2.gz DAOOCWUJDRDZMI-CQSZACIVSA-N 1 2 308.353 1.653 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@@H]1CCc2[nH+]c(C3CCCCC3)[nH]c2C1 ZINC000907816113 712630437 /nfs/dbraw/zinc/63/04/37/712630437.db2.gz QLIXNDRRFCDYOS-DOMZBBRYSA-N 1 2 303.406 1.978 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@@H]1CCc2[nH]c(C3CCCCC3)[nH+]c2C1 ZINC000907816113 712630439 /nfs/dbraw/zinc/63/04/39/712630439.db2.gz QLIXNDRRFCDYOS-DOMZBBRYSA-N 1 2 303.406 1.978 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)[C@@H](C)N1CC[NH+](C)CC1 ZINC000908648438 712828567 /nfs/dbraw/zinc/82/85/67/712828567.db2.gz UGXKWLBNYBGPCT-CABCVRRESA-N 1 2 307.438 1.149 20 30 DDEDLO N#CC[C@@H](O)C[NH+]1CCN(CCOc2ccccc2Cl)CC1 ZINC000929159972 713558883 /nfs/dbraw/zinc/55/88/83/713558883.db2.gz VADULSKCJAHQHA-CQSZACIVSA-N 1 2 323.824 1.611 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3ccc(N)nc3)CC2)c(F)c1 ZINC000929461329 713615410 /nfs/dbraw/zinc/61/54/10/713615410.db2.gz HIDBLFUJEUQJDM-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2cccnc2C#N)C[C@@H]1C ZINC000919579000 713616573 /nfs/dbraw/zinc/61/65/73/713616573.db2.gz ABPJBZJBHKCQDX-TXEJJXNPSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2cccnc2C#N)C[C@@H]1C ZINC000919579000 713616575 /nfs/dbraw/zinc/61/65/75/713616575.db2.gz ABPJBZJBHKCQDX-TXEJJXNPSA-N 1 2 308.407 1.056 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CC[N@H+](Cc2cc(Cl)cc(C#N)c2)C1 ZINC000930066685 713748177 /nfs/dbraw/zinc/74/81/77/713748177.db2.gz BSVBYZQKDPEPQT-CYBMUJFWSA-N 1 2 313.810 1.335 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cc(Cl)cc(C#N)c2)C1 ZINC000930066685 713748178 /nfs/dbraw/zinc/74/81/78/713748178.db2.gz BSVBYZQKDPEPQT-CYBMUJFWSA-N 1 2 313.810 1.335 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@@H+](C[C@@H](O)C2(O)CCCC2)C1 ZINC000930923521 713961021 /nfs/dbraw/zinc/96/10/21/713961021.db2.gz MIZOPSHHGXPRHG-SJORKVTESA-N 1 2 315.417 1.605 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@H+](C[C@@H](O)C2(O)CCCC2)C1 ZINC000930923521 713961023 /nfs/dbraw/zinc/96/10/23/713961023.db2.gz MIZOPSHHGXPRHG-SJORKVTESA-N 1 2 315.417 1.605 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000931143346 714014218 /nfs/dbraw/zinc/01/42/18/714014218.db2.gz HKQVJYLGRSEEHE-OAHLLOKOSA-N 1 2 302.349 1.391 20 30 DDEDLO Cc1csc(NC(=O)NCC[N@@H+]2CCO[C@@H](C)C2)c1C#N ZINC000931412751 714089909 /nfs/dbraw/zinc/08/99/09/714089909.db2.gz JKZTYTIZQPPDAO-NSHDSACASA-N 1 2 308.407 1.770 20 30 DDEDLO Cc1csc(NC(=O)NCC[N@H+]2CCO[C@@H](C)C2)c1C#N ZINC000931412751 714089911 /nfs/dbraw/zinc/08/99/11/714089911.db2.gz JKZTYTIZQPPDAO-NSHDSACASA-N 1 2 308.407 1.770 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[NH+]2CCC(c3ncco3)CC2)cc1 ZINC000931446099 714100224 /nfs/dbraw/zinc/10/02/24/714100224.db2.gz UAEIDBVSJPVEOY-OAHLLOKOSA-N 1 2 310.357 1.952 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CN(CC#N)CC[C@H]3C)ccn12 ZINC000966107457 717954478 /nfs/dbraw/zinc/95/44/78/717954478.db2.gz WLGNWFXAIRUVHY-IUODEOHRSA-N 1 2 311.389 1.607 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2ccc(CCC#N)cc2)CCO1 ZINC000932559728 714359487 /nfs/dbraw/zinc/35/94/87/714359487.db2.gz WRVXIZCRZIBUFR-AWEZNQCLSA-N 1 2 316.405 1.985 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(CCC#N)cc2)CCO1 ZINC000932559728 714359491 /nfs/dbraw/zinc/35/94/91/714359491.db2.gz WRVXIZCRZIBUFR-AWEZNQCLSA-N 1 2 316.405 1.985 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ccc([S@@](C)=O)cc2)C1 ZINC000923588707 714419023 /nfs/dbraw/zinc/41/90/23/714419023.db2.gz HVKRHGXQBPELRE-LHSJRXKWSA-N 1 2 304.415 1.251 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc([S@@](C)=O)cc2)C1 ZINC000923588707 714419024 /nfs/dbraw/zinc/41/90/24/714419024.db2.gz HVKRHGXQBPELRE-LHSJRXKWSA-N 1 2 304.415 1.251 20 30 DDEDLO N#CC[C@H](NC(=O)N[C@H]1CCn2cc[nH+]c2C1)C(F)(F)F ZINC000932908268 714434947 /nfs/dbraw/zinc/43/49/47/714434947.db2.gz QJEONBVXAWSKAX-IUCAKERBSA-N 1 2 301.272 1.342 20 30 DDEDLO C[N@@H+]1CC[C@@H](NC(=O)NCC#CCO)[C@H]1c1ccc(F)c(F)c1 ZINC000923775098 714468122 /nfs/dbraw/zinc/46/81/22/714468122.db2.gz IXDBAJHMRDBEKM-HUUCEWRRSA-N 1 2 323.343 1.005 20 30 DDEDLO C[N@H+]1CC[C@@H](NC(=O)NCC#CCO)[C@H]1c1ccc(F)c(F)c1 ZINC000923775098 714468123 /nfs/dbraw/zinc/46/81/23/714468123.db2.gz IXDBAJHMRDBEKM-HUUCEWRRSA-N 1 2 323.343 1.005 20 30 DDEDLO C[N@@H+]1CC[C@@H](NC(=O)NCC#CCO)[C@@H]1c1ccc(F)c(F)c1 ZINC000923775095 714468150 /nfs/dbraw/zinc/46/81/50/714468150.db2.gz IXDBAJHMRDBEKM-CABCVRRESA-N 1 2 323.343 1.005 20 30 DDEDLO C[N@H+]1CC[C@@H](NC(=O)NCC#CCO)[C@@H]1c1ccc(F)c(F)c1 ZINC000923775095 714468151 /nfs/dbraw/zinc/46/81/51/714468151.db2.gz IXDBAJHMRDBEKM-CABCVRRESA-N 1 2 323.343 1.005 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@H+](C)Cc1cc(C#N)cs1 ZINC000933776467 714669892 /nfs/dbraw/zinc/66/98/92/714669892.db2.gz ZJEQUAMRHVNWSR-UHFFFAOYSA-N 1 2 308.407 1.677 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933776467 714669893 /nfs/dbraw/zinc/66/98/93/714669893.db2.gz ZJEQUAMRHVNWSR-UHFFFAOYSA-N 1 2 308.407 1.677 20 30 DDEDLO CC[N@H+](CCC(=O)NC)[C@@H](C(=O)OC)c1cccc(C#N)c1 ZINC000933864124 714686261 /nfs/dbraw/zinc/68/62/61/714686261.db2.gz MPHVNHSDRSMCSY-OAHLLOKOSA-N 1 2 303.362 1.230 20 30 DDEDLO CC[N@@H+](CCC(=O)NC)[C@@H](C(=O)OC)c1cccc(C#N)c1 ZINC000933864124 714686263 /nfs/dbraw/zinc/68/62/63/714686263.db2.gz MPHVNHSDRSMCSY-OAHLLOKOSA-N 1 2 303.362 1.230 20 30 DDEDLO COc1ccc([C@H]2CCC[N@@H+]2CC(=O)NC2(C#N)CCC2)nc1 ZINC000934344020 714800244 /nfs/dbraw/zinc/80/02/44/714800244.db2.gz SXXWYSYOXLNRFU-OAHLLOKOSA-N 1 2 314.389 1.790 20 30 DDEDLO COc1ccc([C@H]2CCC[N@H+]2CC(=O)NC2(C#N)CCC2)nc1 ZINC000934344020 714800245 /nfs/dbraw/zinc/80/02/45/714800245.db2.gz SXXWYSYOXLNRFU-OAHLLOKOSA-N 1 2 314.389 1.790 20 30 DDEDLO C#Cc1ccc(NC(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@H]2C)cc1 ZINC000934924826 714930608 /nfs/dbraw/zinc/93/06/08/714930608.db2.gz BDFLJZVUAIYVJD-PBHICJAKSA-N 1 2 313.401 1.995 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1cnn(C)n1 ZINC000926117289 715008517 /nfs/dbraw/zinc/00/85/17/715008517.db2.gz WPIJBRUTVLYEHB-WFASDCNBSA-N 1 2 324.388 1.533 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(C)(C)C ZINC000936001878 715143222 /nfs/dbraw/zinc/14/32/22/715143222.db2.gz PYTXLEBNCDSVOQ-ILXRZTDVSA-N 1 2 308.422 1.030 20 30 DDEDLO N#CCN1CCC2(CC1)CCN(C(=O)CCn1cc[nH+]c1)CC2 ZINC000957586770 715961461 /nfs/dbraw/zinc/96/14/61/715961461.db2.gz SFODBJOXGDZSRG-UHFFFAOYSA-N 1 2 315.421 1.501 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc3c(c2)[nH]c(=O)n3C)CC1 ZINC000957791923 716049731 /nfs/dbraw/zinc/04/97/31/716049731.db2.gz XCKZJMVBDDUZLN-UHFFFAOYSA-N 1 2 314.389 1.613 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@H]2C(=O)Nc3ccccc32)CC1 ZINC000957888429 716218502 /nfs/dbraw/zinc/21/85/02/716218502.db2.gz SKUAUZRHBMBALR-OAHLLOKOSA-N 1 2 311.385 1.280 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2cc(=O)[nH]c3c2CCCC3)CC1 ZINC000957957192 716250180 /nfs/dbraw/zinc/25/01/80/716250180.db2.gz IJAXWCHAEMLGAG-UHFFFAOYSA-N 1 2 313.401 1.447 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccccn1 ZINC000958066879 716304425 /nfs/dbraw/zinc/30/44/25/716304425.db2.gz KHFPUMUJXDCYLT-PJXYFTJBSA-N 1 2 322.206 1.650 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccccn1 ZINC000958066879 716304429 /nfs/dbraw/zinc/30/44/29/716304429.db2.gz KHFPUMUJXDCYLT-PJXYFTJBSA-N 1 2 322.206 1.650 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2occ3c2CCC3)C1 ZINC000958290660 716453172 /nfs/dbraw/zinc/45/31/72/716453172.db2.gz FJDFBASICJGHTK-GXTWGEPZSA-N 1 2 324.808 1.543 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2occ3c2CCC3)C1 ZINC000958290660 716453175 /nfs/dbraw/zinc/45/31/75/716453175.db2.gz FJDFBASICJGHTK-GXTWGEPZSA-N 1 2 324.808 1.543 20 30 DDEDLO C#CC[NH2+][C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000960275979 716477156 /nfs/dbraw/zinc/47/71/56/716477156.db2.gz LBELUOVPFVXPCN-YFHUEUNASA-N 1 2 320.396 1.518 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)nn1C ZINC000960488280 716576727 /nfs/dbraw/zinc/57/67/27/716576727.db2.gz AJCROLNFKLSFLM-IUODEOHRSA-N 1 2 303.410 1.311 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)nn1C ZINC000960488280 716576733 /nfs/dbraw/zinc/57/67/33/716576733.db2.gz AJCROLNFKLSFLM-IUODEOHRSA-N 1 2 303.410 1.311 20 30 DDEDLO N#CCN1CC[C@H]([C@H]2CCCN(C(=O)CCn3cc[nH+]c3)C2)C1 ZINC000961221523 716867002 /nfs/dbraw/zinc/86/70/02/716867002.db2.gz WCZMEVNNWOTFCI-HOTGVXAUSA-N 1 2 315.421 1.357 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC000961523356 716985357 /nfs/dbraw/zinc/98/53/57/716985357.db2.gz LMXYZCZIDBCIKR-DHIJGFHKSA-N 1 2 313.376 1.900 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC000961523356 716985365 /nfs/dbraw/zinc/98/53/65/716985365.db2.gz LMXYZCZIDBCIKR-DHIJGFHKSA-N 1 2 313.376 1.900 20 30 DDEDLO N#Cc1cccc(C[NH+]2CC(N3CCN(C(=O)C4CC4)CC3)C2)c1 ZINC000941270515 717143504 /nfs/dbraw/zinc/14/35/04/717143504.db2.gz FJEIVJXVIWAIFD-UHFFFAOYSA-N 1 2 324.428 1.297 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(C)s3)CC2)C1 ZINC000941285167 717146352 /nfs/dbraw/zinc/14/63/52/717146352.db2.gz HVKGCTCFWYFNKI-UHFFFAOYSA-N 1 2 303.431 1.132 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3CCC[C@@H]3C)CC2)C1 ZINC000941414109 717173147 /nfs/dbraw/zinc/17/31/47/717173147.db2.gz NWFDUXNUEZZRFP-DLBZAZTESA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3(C)CCCC3)CC2)C1 ZINC000941505366 717188678 /nfs/dbraw/zinc/18/86/78/717188678.db2.gz RLQDLZLTXUSYST-UHFFFAOYSA-N 1 2 303.450 1.418 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3csc(C)c3)CC2)C1 ZINC000941607866 717227676 /nfs/dbraw/zinc/22/76/76/717227676.db2.gz YACKKUDTLXXLNI-UHFFFAOYSA-N 1 2 317.458 1.522 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nocc4C)C[C@H]32)nc1 ZINC000962345495 717414991 /nfs/dbraw/zinc/41/49/91/717414991.db2.gz VITMATGANXJPRF-HALDLXJZSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nocc4C)C[C@H]32)nc1 ZINC000962345495 717414996 /nfs/dbraw/zinc/41/49/96/717414996.db2.gz VITMATGANXJPRF-HALDLXJZSA-N 1 2 322.368 1.220 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cn2cc(C)cn2)C1 ZINC000965480177 717660496 /nfs/dbraw/zinc/66/04/96/717660496.db2.gz YADMGKATQDTUAG-TZMCWYRMSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cn2cc(C)cn2)C1 ZINC000965480177 717660498 /nfs/dbraw/zinc/66/04/98/717660498.db2.gz YADMGKATQDTUAG-TZMCWYRMSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC000965845556 717780645 /nfs/dbraw/zinc/78/06/45/717780645.db2.gz MOFDMFHRSICDEN-MFKMUULPSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC000965845556 717780652 /nfs/dbraw/zinc/78/06/52/717780652.db2.gz MOFDMFHRSICDEN-MFKMUULPSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966885054 718694412 /nfs/dbraw/zinc/69/44/12/718694412.db2.gz WJQDGYBSPLOJMT-CABZTGNLSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966885054 718694414 /nfs/dbraw/zinc/69/44/14/718694414.db2.gz WJQDGYBSPLOJMT-CABZTGNLSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC000948202854 719392462 /nfs/dbraw/zinc/39/24/62/719392462.db2.gz DJRLKSVGQWKLNE-QGZVFWFLSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC000968410166 719567596 /nfs/dbraw/zinc/56/75/96/719567596.db2.gz CVWZKAIZUPUFQB-BXKDBHETSA-N 1 2 314.349 1.143 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn(C)c1 ZINC000948891374 719769580 /nfs/dbraw/zinc/76/95/80/719769580.db2.gz YGGFTBRORBNPBA-QGZVFWFLSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn(C)c1 ZINC000948891374 719769583 /nfs/dbraw/zinc/76/95/83/719769583.db2.gz YGGFTBRORBNPBA-QGZVFWFLSA-N 1 2 308.385 1.383 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC000968795245 719791166 /nfs/dbraw/zinc/79/11/66/719791166.db2.gz JKUDBQXZDIVZJX-LLVKDONJSA-N 1 2 312.801 1.847 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccnnc1 ZINC000948965997 719812933 /nfs/dbraw/zinc/81/29/33/719812933.db2.gz TYXJDZRFHDYLRL-SFHVURJKSA-N 1 2 320.396 1.829 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccnnc1 ZINC000948965997 719812937 /nfs/dbraw/zinc/81/29/37/719812937.db2.gz TYXJDZRFHDYLRL-SFHVURJKSA-N 1 2 320.396 1.829 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(CC1)C[N@H+](C/C=C/Cl)CCO2 ZINC000949320934 720015425 /nfs/dbraw/zinc/01/54/25/720015425.db2.gz XAEZSSLEZNOBHZ-NDRDAGNVSA-N 1 2 311.813 1.592 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(CC1)C[N@@H+](C/C=C/Cl)CCO2 ZINC000949320934 720015427 /nfs/dbraw/zinc/01/54/27/720015427.db2.gz XAEZSSLEZNOBHZ-NDRDAGNVSA-N 1 2 311.813 1.592 20 30 DDEDLO O=C(C#CC1CC1)N1CCC2(CC1)C[N@H+](C/C=C/Cl)CCO2 ZINC000949358459 720038666 /nfs/dbraw/zinc/03/86/66/720038666.db2.gz VWXPSSXRGYCHPD-UNXLUWIOSA-N 1 2 322.836 1.846 20 30 DDEDLO O=C(C#CC1CC1)N1CCC2(CC1)C[N@@H+](C/C=C/Cl)CCO2 ZINC000949358459 720038670 /nfs/dbraw/zinc/03/86/70/720038670.db2.gz VWXPSSXRGYCHPD-UNXLUWIOSA-N 1 2 322.836 1.846 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC[C@H](F)C3)CC2)C1 ZINC000949365744 720041806 /nfs/dbraw/zinc/04/18/06/720041806.db2.gz CSOPBUQCNFLGTK-CVEARBPZSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC[C@H](F)C3)CC2)C1 ZINC000949365744 720041810 /nfs/dbraw/zinc/04/18/10/720041810.db2.gz CSOPBUQCNFLGTK-CVEARBPZSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC3(C)C)CC2)C1 ZINC000949445303 720091741 /nfs/dbraw/zinc/09/17/41/720091741.db2.gz WIZPWMVQOKYLMO-HNNXBMFYSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC3(C)C)CC2)C1 ZINC000949445303 720091743 /nfs/dbraw/zinc/09/17/43/720091743.db2.gz WIZPWMVQOKYLMO-HNNXBMFYSA-N 1 2 304.434 1.749 20 30 DDEDLO C[C@@H](NC(=O)c1cncnc1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969538031 720178854 /nfs/dbraw/zinc/17/88/54/720178854.db2.gz CFFNQBZFJQDPHP-CYBMUJFWSA-N 1 2 321.384 1.599 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC000969990137 720588815 /nfs/dbraw/zinc/58/88/15/720588815.db2.gz DDVSZGKEHSAGCT-STQMWFEESA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC000970179419 720654541 /nfs/dbraw/zinc/65/45/41/720654541.db2.gz BDMDJRBFRUJSKZ-BNOWGMLFSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC000970179420 720654633 /nfs/dbraw/zinc/65/46/33/720654633.db2.gz BDMDJRBFRUJSKZ-YUTCNCBUSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCn3ccnc3C2)C1 ZINC000950658655 720723532 /nfs/dbraw/zinc/72/35/32/720723532.db2.gz SXQFZBNMYXHXBB-AWEZNQCLSA-N 1 2 302.422 1.554 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)C2CCC(C(N)=O)CC2)C1 ZINC000950697444 720739780 /nfs/dbraw/zinc/73/97/80/720739780.db2.gz RMFNDJMFRBRHTQ-UHFFFAOYSA-N 1 2 307.438 1.387 20 30 DDEDLO C#CC[NH+]1CC([C@@H](C)NC(=O)c2nnc3ccccc3c2O)C1 ZINC000970508181 720789971 /nfs/dbraw/zinc/78/99/71/720789971.db2.gz FKEXHTIKTHZUDK-LLVKDONJSA-N 1 2 310.357 1.019 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@@H](C)C2C[NH+](Cc3ccccc3C#N)C2)n1 ZINC000970555808 720814981 /nfs/dbraw/zinc/81/49/81/720814981.db2.gz YWZMDPOBICJWGW-ZDUSSCGKSA-N 1 2 323.400 1.840 20 30 DDEDLO C=CC[NH+]1CC([C@@H](C)NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC000970666662 720877296 /nfs/dbraw/zinc/87/72/96/720877296.db2.gz AAICZQQMYOLGJB-NWDGAFQWSA-N 1 2 302.422 1.771 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2cc(=O)[nH]c(CCC)c2)CC1 ZINC000951571566 721080362 /nfs/dbraw/zinc/08/03/62/721080362.db2.gz KTMBLUSUVRKYGK-UHFFFAOYSA-N 1 2 301.390 1.521 20 30 DDEDLO C=CC[NH+]1CCN(c2nc(C)cc(C(=O)OCC)c2C#N)CC1 ZINC001165031739 721865614 /nfs/dbraw/zinc/86/56/14/721865614.db2.gz SUKWYMPRYWSMER-UHFFFAOYSA-N 1 2 314.389 1.746 20 30 DDEDLO N#CCN1CCC[C@@H](CNC(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC001023697709 735406319 /nfs/dbraw/zinc/40/63/19/735406319.db2.gz FMDZXWMKVGBEQQ-AWEZNQCLSA-N 1 2 324.388 1.233 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001023744666 735431253 /nfs/dbraw/zinc/43/12/53/735431253.db2.gz RZVJUQJMYHIERO-ZDUSSCGKSA-N 1 2 311.389 1.423 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001023744666 735431257 /nfs/dbraw/zinc/43/12/57/735431257.db2.gz RZVJUQJMYHIERO-ZDUSSCGKSA-N 1 2 311.389 1.423 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)c1ccc(=O)[nH]n1 ZINC001038036480 732814891 /nfs/dbraw/zinc/81/48/91/732814891.db2.gz LWFWVXDGBKBSFV-HNNXBMFYSA-N 1 2 322.368 1.038 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)c1ccc(=O)[nH]n1 ZINC001038036480 732814893 /nfs/dbraw/zinc/81/48/93/732814893.db2.gz LWFWVXDGBKBSFV-HNNXBMFYSA-N 1 2 322.368 1.038 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H]1CNC(=O)c1cocn1 ZINC001027789234 738663051 /nfs/dbraw/zinc/66/30/51/738663051.db2.gz GNVAAPFRLJMBDS-HNNXBMFYSA-N 1 2 310.357 1.941 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H]1CNC(=O)c1cocn1 ZINC001027789234 738663053 /nfs/dbraw/zinc/66/30/53/738663053.db2.gz GNVAAPFRLJMBDS-HNNXBMFYSA-N 1 2 310.357 1.941 20 30 DDEDLO C[C@H](CCCNc1ccc(C#N)cn1)NC(=O)Cn1cc[nH+]c1 ZINC001114956978 733142677 /nfs/dbraw/zinc/14/26/77/733142677.db2.gz FIKRJXGXEQHTOY-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO C=CCCC(=O)NC[C@@H](CO)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC001121198356 782405209 /nfs/dbraw/zinc/40/52/09/782405209.db2.gz POHRMAUKWNOADO-ZDUSSCGKSA-N 1 2 306.410 1.764 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)OC)[C@@H]2C1 ZINC001075590915 738882333 /nfs/dbraw/zinc/88/23/33/738882333.db2.gz KXTHPOPNAXHEOB-GRYCIOLGSA-N 1 2 317.227 1.463 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)OC)[C@@H]2C1 ZINC001075590915 738882336 /nfs/dbraw/zinc/88/23/36/738882336.db2.gz KXTHPOPNAXHEOB-GRYCIOLGSA-N 1 2 317.227 1.463 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cn(CC)nc3C)C2)C1 ZINC000972571132 735255189 /nfs/dbraw/zinc/25/51/89/735255189.db2.gz ATEMYHGRGAQPPZ-QGZVFWFLSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cn(CC)nc3C)C2)C1 ZINC000972571132 735255194 /nfs/dbraw/zinc/25/51/94/735255194.db2.gz ATEMYHGRGAQPPZ-QGZVFWFLSA-N 1 2 318.421 1.314 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CCCC[C@H]2CNC(C)=O)c1 ZINC001024294425 735737061 /nfs/dbraw/zinc/73/70/61/735737061.db2.gz XUIPBNMQUUTPQV-KRWDZBQOSA-N 1 2 313.401 1.597 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CCCC[C@H]2CNC(C)=O)c1 ZINC001024294425 735737062 /nfs/dbraw/zinc/73/70/62/735737062.db2.gz XUIPBNMQUUTPQV-KRWDZBQOSA-N 1 2 313.401 1.597 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1COCCO1 ZINC001024338762 735781142 /nfs/dbraw/zinc/78/11/42/735781142.db2.gz CAELMYDJAGKACQ-QWHCGFSZSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1COCCO1 ZINC001024338762 735781145 /nfs/dbraw/zinc/78/11/45/735781145.db2.gz CAELMYDJAGKACQ-QWHCGFSZSA-N 1 2 302.802 1.125 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)NC[C@H]1CCCCN1CC#N)C2 ZINC001024516549 735894033 /nfs/dbraw/zinc/89/40/33/735894033.db2.gz KOKGJSGTUPYTLT-UONOGXRCSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)NC[C@H]1CCCCN1CC#N)CC2 ZINC001024516549 735894038 /nfs/dbraw/zinc/89/40/38/735894038.db2.gz KOKGJSGTUPYTLT-UONOGXRCSA-N 1 2 315.421 1.317 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccn3CC)[C@H]2C1 ZINC001083277332 736269257 /nfs/dbraw/zinc/26/92/57/736269257.db2.gz ZOSUFDKRJOGJKR-JKSUJKDBSA-N 1 2 301.390 1.057 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccn3CC)[C@H]2C1 ZINC001083277332 736269260 /nfs/dbraw/zinc/26/92/60/736269260.db2.gz ZOSUFDKRJOGJKR-JKSUJKDBSA-N 1 2 301.390 1.057 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H]1[C@H]1CCCCN1C(=O)Cc1ncn[nH]1 ZINC001025288700 736409093 /nfs/dbraw/zinc/40/90/93/736409093.db2.gz VWKGIBPNINYPBL-LSDHHAIUSA-N 1 2 315.421 1.216 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1[C@H]1CCCCN1C(=O)Cc1ncn[nH]1 ZINC001025288700 736409097 /nfs/dbraw/zinc/40/90/97/736409097.db2.gz VWKGIBPNINYPBL-LSDHHAIUSA-N 1 2 315.421 1.216 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)N(C)C(=O)Cc1c[nH+]cn1C ZINC001104623484 736462294 /nfs/dbraw/zinc/46/22/94/736462294.db2.gz BWIVKXWBELRWGU-LBPRGKRZSA-N 1 2 312.377 1.188 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H](C)CNc2cc[nH+]c(C)n2)nc1 ZINC001104628807 736469036 /nfs/dbraw/zinc/46/90/36/736469036.db2.gz ORYLFPJPJCRPOD-LBPRGKRZSA-N 1 2 309.373 1.734 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C=C)c3ccccc3)[C@H]2C1 ZINC001083281709 736535847 /nfs/dbraw/zinc/53/58/47/736535847.db2.gz ZQNYDAIRHBYCBB-OKZBNKHCSA-N 1 2 310.397 1.501 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C=C)c3ccccc3)[C@H]2C1 ZINC001083281709 736535851 /nfs/dbraw/zinc/53/58/51/736535851.db2.gz ZQNYDAIRHBYCBB-OKZBNKHCSA-N 1 2 310.397 1.501 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)on1 ZINC001038048550 737114448 /nfs/dbraw/zinc/11/44/48/737114448.db2.gz WNPPIQISBKLUCO-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)on1 ZINC001038048550 737114452 /nfs/dbraw/zinc/11/44/52/737114452.db2.gz WNPPIQISBKLUCO-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3ccc(C)s3)C2)nn1 ZINC001105139505 737577231 /nfs/dbraw/zinc/57/72/31/737577231.db2.gz ILMSQORGCVVLRC-UHFFFAOYSA-N 1 2 315.402 1.068 20 30 DDEDLO CC(C)[C@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1ccc(C#N)cn1 ZINC001105214583 737616502 /nfs/dbraw/zinc/61/65/02/737616502.db2.gz MIVZPHPBTKYQHT-ZDUSSCGKSA-N 1 2 312.377 1.472 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@H]3CCC[C@H]3C)C2)nn1 ZINC001105247122 737655372 /nfs/dbraw/zinc/65/53/72/737655372.db2.gz QFWVVRRJELNVNN-ZIAGYGMSSA-N 1 2 315.421 1.211 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@]3(C)C=CCC3)C2)nn1 ZINC001105303955 737788761 /nfs/dbraw/zinc/78/87/61/737788761.db2.gz WGXFVAWEANHRIF-QGZVFWFLSA-N 1 2 313.405 1.131 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C(C)(C)CCC)C2)nn1 ZINC001105317429 737829763 /nfs/dbraw/zinc/82/97/63/737829763.db2.gz XYEJDLVXZMMJHE-UHFFFAOYSA-N 1 2 303.410 1.211 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C3(CCC)CC3)C2)nn1 ZINC001105322508 737851251 /nfs/dbraw/zinc/85/12/51/737851251.db2.gz SSBYTCMCENUVPG-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCCN(C(=O)[C@@H](C)OC)C1 ZINC001027319828 738191473 /nfs/dbraw/zinc/19/14/73/738191473.db2.gz PQUSDMBEMWBGJM-VXGBXAGGSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCCN(C(=O)[C@@H](C)OC)C1 ZINC001027319828 738191474 /nfs/dbraw/zinc/19/14/74/738191474.db2.gz PQUSDMBEMWBGJM-VXGBXAGGSA-N 1 2 319.243 1.853 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N1CCC[C@H](N(C)CC#N)C1)C2 ZINC001027472124 738326630 /nfs/dbraw/zinc/32/66/30/738326630.db2.gz ZBSLBZVZMSGQBE-KGLIPLIRSA-N 1 2 315.421 1.269 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N1CCC[C@H](N(C)CC#N)C1)CC2 ZINC001027472124 738326633 /nfs/dbraw/zinc/32/66/33/738326633.db2.gz ZBSLBZVZMSGQBE-KGLIPLIRSA-N 1 2 315.421 1.269 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ncnc2[nH]ccc21 ZINC001028000141 738924251 /nfs/dbraw/zinc/92/42/51/738924251.db2.gz YEYSTJXHOZXURB-LLVKDONJSA-N 1 2 319.796 1.856 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ncnc2[nH]ccc21 ZINC001028000141 738924253 /nfs/dbraw/zinc/92/42/53/738924253.db2.gz YEYSTJXHOZXURB-LLVKDONJSA-N 1 2 319.796 1.856 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CCC[N@H+]2Cc2cn(C)nn2)CC1 ZINC001028115081 739053668 /nfs/dbraw/zinc/05/36/68/739053668.db2.gz WQNQBPSLFUYWPA-MRXNPFEDSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CCC[N@@H+]2Cc2cn(C)nn2)CC1 ZINC001028115081 739053670 /nfs/dbraw/zinc/05/36/70/739053670.db2.gz WQNQBPSLFUYWPA-MRXNPFEDSA-N 1 2 317.437 1.642 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)sn1 ZINC001075620955 739230464 /nfs/dbraw/zinc/23/04/64/739230464.db2.gz JPMWXKXEMVPMIU-NTZNESFSSA-N 1 2 320.418 1.344 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)sn1 ZINC001075620955 739230467 /nfs/dbraw/zinc/23/04/67/739230467.db2.gz JPMWXKXEMVPMIU-NTZNESFSSA-N 1 2 320.418 1.344 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(Cl)cnn2C)C1 ZINC001035374127 751438411 /nfs/dbraw/zinc/43/84/11/751438411.db2.gz LSLJQNWZBXNYNW-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(Cl)cnn2C)C1 ZINC001035374127 751438415 /nfs/dbraw/zinc/43/84/15/751438415.db2.gz LSLJQNWZBXNYNW-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2[nH]cnc2C(C)(C)C)C1 ZINC001035371647 751436188 /nfs/dbraw/zinc/43/61/88/751436188.db2.gz HBUTYKLZVMVSJI-CYBMUJFWSA-N 1 2 320.437 1.714 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2[nH]cnc2C(C)(C)C)C1 ZINC001035371647 751436191 /nfs/dbraw/zinc/43/61/91/751436191.db2.gz HBUTYKLZVMVSJI-CYBMUJFWSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3n2[C@@H](C)CCC3)C1 ZINC001035374764 751438956 /nfs/dbraw/zinc/43/89/56/751438956.db2.gz IXCCFCOAUKCRPG-UONOGXRCSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc3n2[C@@H](C)CCC3)C1 ZINC001035374764 751438959 /nfs/dbraw/zinc/43/89/59/751438959.db2.gz IXCCFCOAUKCRPG-UONOGXRCSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccnn2C2CCCC2)C1 ZINC001035387258 751456347 /nfs/dbraw/zinc/45/63/47/751456347.db2.gz ZLQHEGLGNVNLPM-OAHLLOKOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccnn2C2CCCC2)C1 ZINC001035387258 751456350 /nfs/dbraw/zinc/45/63/50/751456350.db2.gz ZLQHEGLGNVNLPM-OAHLLOKOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001126385664 739682073 /nfs/dbraw/zinc/68/20/73/739682073.db2.gz XNEOSTIWAXGLIN-BZNIZROVSA-N 1 2 324.425 1.461 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2nc(C)sc2C)C1 ZINC001035408447 751491114 /nfs/dbraw/zinc/49/11/14/751491114.db2.gz RBDSNCLICNLUKD-CYBMUJFWSA-N 1 2 309.435 1.767 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2nc(C)sc2C)C1 ZINC001035408447 751491118 /nfs/dbraw/zinc/49/11/18/751491118.db2.gz RBDSNCLICNLUKD-CYBMUJFWSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCc3cc(F)ccc32)C1 ZINC001035457012 751504998 /nfs/dbraw/zinc/50/49/98/751504998.db2.gz XBLBDRJLBSPSSA-NVXWUHKLSA-N 1 2 318.392 1.859 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCc3cc(F)ccc32)C1 ZINC001035457012 751505008 /nfs/dbraw/zinc/50/50/08/751505008.db2.gz XBLBDRJLBSPSSA-NVXWUHKLSA-N 1 2 318.392 1.859 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001035433855 751510571 /nfs/dbraw/zinc/51/05/71/751510571.db2.gz VRAZHSFPZHWJCK-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001035433855 751510573 /nfs/dbraw/zinc/51/05/73/751510573.db2.gz VRAZHSFPZHWJCK-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3nccn3CC)[C@@H]2C1 ZINC001075738626 740189496 /nfs/dbraw/zinc/18/94/96/740189496.db2.gz MPZRFJMDUDREMZ-QWHCGFSZSA-N 1 2 308.813 1.802 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3nccn3CC)[C@@H]2C1 ZINC001075738626 740189498 /nfs/dbraw/zinc/18/94/98/740189498.db2.gz MPZRFJMDUDREMZ-QWHCGFSZSA-N 1 2 308.813 1.802 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCc3cccnc32)C1 ZINC001035487748 751541657 /nfs/dbraw/zinc/54/16/57/751541657.db2.gz MZZQTNARGHGEQQ-CVEARBPZSA-N 1 2 315.417 1.505 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCc3cccnc32)C1 ZINC001035487748 751541660 /nfs/dbraw/zinc/54/16/60/751541660.db2.gz MZZQTNARGHGEQQ-CVEARBPZSA-N 1 2 315.417 1.505 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H](F)C(C)C)C2)nn1 ZINC001098700519 740541141 /nfs/dbraw/zinc/54/11/41/740541141.db2.gz OBKPGFBDSFQTHT-GJZGRUSLSA-N 1 2 321.400 1.159 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCS2(=O)=O)[C@H]1CC ZINC001087835389 740776572 /nfs/dbraw/zinc/77/65/72/740776572.db2.gz YCDZIJPXKVAZOM-BFHYXJOUSA-N 1 2 314.451 1.109 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCS2(=O)=O)[C@H]1CC ZINC001087835389 740776574 /nfs/dbraw/zinc/77/65/74/740776574.db2.gz YCDZIJPXKVAZOM-BFHYXJOUSA-N 1 2 314.451 1.109 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@H](CC)C(N)=O ZINC001029456749 740835131 /nfs/dbraw/zinc/83/51/31/740835131.db2.gz PJYRMNAVTFZQBG-OEUWWYETSA-N 1 2 307.438 1.528 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@H](CC)C(N)=O ZINC001029456749 740835134 /nfs/dbraw/zinc/83/51/34/740835134.db2.gz PJYRMNAVTFZQBG-OEUWWYETSA-N 1 2 307.438 1.528 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cn3cncc3C)C[C@@H]2C1 ZINC001088042346 740964459 /nfs/dbraw/zinc/96/44/59/740964459.db2.gz KHERXJHIPNQCMG-CABCVRRESA-N 1 2 322.840 1.724 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cn3cncc3C)C[C@@H]2C1 ZINC001088042346 740964461 /nfs/dbraw/zinc/96/44/61/740964461.db2.gz KHERXJHIPNQCMG-CABCVRRESA-N 1 2 322.840 1.724 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3c2OCC3)C1 ZINC001035529138 751608281 /nfs/dbraw/zinc/60/82/81/751608281.db2.gz YRNCVABCKNTXTG-HNNXBMFYSA-N 1 2 314.385 1.075 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3c2OCC3)C1 ZINC001035529138 751608284 /nfs/dbraw/zinc/60/82/84/751608284.db2.gz YRNCVABCKNTXTG-HNNXBMFYSA-N 1 2 314.385 1.075 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3c2OCC3)C1 ZINC001035529139 751609265 /nfs/dbraw/zinc/60/92/65/751609265.db2.gz YRNCVABCKNTXTG-OAHLLOKOSA-N 1 2 314.385 1.075 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccc3c2OCC3)C1 ZINC001035529139 751609267 /nfs/dbraw/zinc/60/92/67/751609267.db2.gz YRNCVABCKNTXTG-OAHLLOKOSA-N 1 2 314.385 1.075 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001035531181 751611847 /nfs/dbraw/zinc/61/18/47/751611847.db2.gz ZIYWJVCLTFASIF-HNNXBMFYSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001035531181 751611852 /nfs/dbraw/zinc/61/18/52/751611852.db2.gz ZIYWJVCLTFASIF-HNNXBMFYSA-N 1 2 324.783 1.933 20 30 DDEDLO Cc1nnccc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038461583 741245004 /nfs/dbraw/zinc/24/50/04/741245004.db2.gz HDZRWTXUMXWKEV-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nnccc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038461583 741245009 /nfs/dbraw/zinc/24/50/09/741245009.db2.gz HDZRWTXUMXWKEV-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@@H]2C[N@H+](CC=C)CCO2)cc1 ZINC001035535459 751618646 /nfs/dbraw/zinc/61/86/46/751618646.db2.gz FARNJPBRSALPKK-QGZVFWFLSA-N 1 2 314.385 1.315 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@@H]2C[N@@H+](CC=C)CCO2)cc1 ZINC001035535459 751618650 /nfs/dbraw/zinc/61/86/50/751618650.db2.gz FARNJPBRSALPKK-QGZVFWFLSA-N 1 2 314.385 1.315 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(CCC)on2)C1 ZINC001035569369 751622391 /nfs/dbraw/zinc/62/23/91/751622391.db2.gz KSXLYZYRZXADJU-CQSZACIVSA-N 1 2 305.378 1.081 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(CCC)on2)C1 ZINC001035569369 751622393 /nfs/dbraw/zinc/62/23/93/751622393.db2.gz KSXLYZYRZXADJU-CQSZACIVSA-N 1 2 305.378 1.081 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn3ccccc23)C1 ZINC001035548279 751631589 /nfs/dbraw/zinc/63/15/89/751631589.db2.gz RIAPFXVQTNPWHS-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn3ccccc23)C1 ZINC001035548279 751631596 /nfs/dbraw/zinc/63/15/96/751631596.db2.gz RIAPFXVQTNPWHS-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3c2ccn3C)C1 ZINC001035549072 751632245 /nfs/dbraw/zinc/63/22/45/751632245.db2.gz CKGFNRVCVYIWTC-AWEZNQCLSA-N 1 2 313.401 1.795 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3c2ccn3C)C1 ZINC001035549072 751632246 /nfs/dbraw/zinc/63/22/46/751632246.db2.gz CKGFNRVCVYIWTC-AWEZNQCLSA-N 1 2 313.401 1.795 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)c2ccccn2)c1 ZINC001037993950 751634597 /nfs/dbraw/zinc/63/45/97/751634597.db2.gz LPXDRDMLZRWFRX-MRXNPFEDSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2ccccn2)c1 ZINC001037993950 751634604 /nfs/dbraw/zinc/63/46/04/751634604.db2.gz LPXDRDMLZRWFRX-MRXNPFEDSA-N 1 2 306.369 1.958 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@@H](NC(=O)Cn3cc[nH+]c3)C2)n1 ZINC001059665399 741512558 /nfs/dbraw/zinc/51/25/58/741512558.db2.gz RCXNOBSKJSNNKD-OKILXGFUSA-N 1 2 310.361 1.218 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001007026522 741640628 /nfs/dbraw/zinc/64/06/28/741640628.db2.gz CIEDHVYVPPEBHG-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1ncc(C[N@H+]2CCC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001007026522 741640632 /nfs/dbraw/zinc/64/06/32/741640632.db2.gz CIEDHVYVPPEBHG-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)C2CCCC2)C1=O ZINC001038008784 751658613 /nfs/dbraw/zinc/65/86/13/751658613.db2.gz LPGOEYHJYBNTNW-CABCVRRESA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)C2CCCC2)C1=O ZINC001038008784 751658617 /nfs/dbraw/zinc/65/86/17/751658617.db2.gz LPGOEYHJYBNTNW-CABCVRRESA-N 1 2 305.422 1.154 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1nccs1 ZINC001088529497 741702140 /nfs/dbraw/zinc/70/21/40/741702140.db2.gz YXHNYDGHONTHEH-PWSUYJOCSA-N 1 2 315.402 1.736 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1nccs1 ZINC001088529497 741702141 /nfs/dbraw/zinc/70/21/41/741702141.db2.gz YXHNYDGHONTHEH-PWSUYJOCSA-N 1 2 315.402 1.736 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3ccncc3c2)C1 ZINC001035577359 751666380 /nfs/dbraw/zinc/66/63/80/751666380.db2.gz KVAARQLWRBGWOF-KRWDZBQOSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3ccncc3c2)C1 ZINC001035577359 751666382 /nfs/dbraw/zinc/66/63/82/751666382.db2.gz KVAARQLWRBGWOF-KRWDZBQOSA-N 1 2 311.385 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)[C@H]1C ZINC001088602530 741924970 /nfs/dbraw/zinc/92/49/70/741924970.db2.gz JQRMAKOZLXJBFU-AGIUHOORSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)[C@H]1C ZINC001088602530 741924972 /nfs/dbraw/zinc/92/49/72/741924972.db2.gz JQRMAKOZLXJBFU-AGIUHOORSA-N 1 2 313.829 1.186 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccccc2OCC)C1 ZINC001035592714 751687087 /nfs/dbraw/zinc/68/70/87/751687087.db2.gz NXKSBVOMQUZPMC-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccccc2OCC)C1 ZINC001035592714 751687089 /nfs/dbraw/zinc/68/70/89/751687089.db2.gz NXKSBVOMQUZPMC-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)C1 ZINC001035620694 751691605 /nfs/dbraw/zinc/69/16/05/751691605.db2.gz VOQFNRSWJVYJHA-YESZJQIVSA-N 1 2 318.392 1.932 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)C1 ZINC001035620694 751691609 /nfs/dbraw/zinc/69/16/09/751691609.db2.gz VOQFNRSWJVYJHA-YESZJQIVSA-N 1 2 318.392 1.932 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnc(OC)nc3)[C@@H]2C1 ZINC001076424576 742725034 /nfs/dbraw/zinc/72/50/34/742725034.db2.gz AHIIDXGLRXQUOT-WCQYABFASA-N 1 2 322.796 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnc(OC)nc3)[C@@H]2C1 ZINC001076424576 742725037 /nfs/dbraw/zinc/72/50/37/742725037.db2.gz AHIIDXGLRXQUOT-WCQYABFASA-N 1 2 322.796 1.384 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001076712149 742932975 /nfs/dbraw/zinc/93/29/75/742932975.db2.gz TYRMTTLXMUAMJI-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001076712149 742932984 /nfs/dbraw/zinc/93/29/84/742932984.db2.gz TYRMTTLXMUAMJI-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO N#Cc1ccc(C(=O)NC2CC[NH+](Cc3cnns3)CC2)[nH]1 ZINC001002620273 743283657 /nfs/dbraw/zinc/28/36/57/743283657.db2.gz MZMGNELRIRMPQO-UHFFFAOYSA-N 1 2 316.390 1.132 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@H+](CCCOCC)CC2 ZINC001128251177 743373964 /nfs/dbraw/zinc/37/39/64/743373964.db2.gz RAXRQWOKWQMHSR-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@@H+](CCCOCC)CC2 ZINC001128251177 743373973 /nfs/dbraw/zinc/37/39/73/743373973.db2.gz RAXRQWOKWQMHSR-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)C[C@@]1(C)CNCC#N ZINC001181903181 743385945 /nfs/dbraw/zinc/38/59/45/743385945.db2.gz FMLDOENGQFDRIC-SJKOYZFVSA-N 1 2 311.389 1.774 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccccc3s2)C1 ZINC001077320713 743402265 /nfs/dbraw/zinc/40/22/65/743402265.db2.gz RMHGDCQBUYAPEP-ZIAGYGMSSA-N 1 2 314.410 1.700 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccccc3s2)C1 ZINC001077320713 743402270 /nfs/dbraw/zinc/40/22/70/743402270.db2.gz RMHGDCQBUYAPEP-ZIAGYGMSSA-N 1 2 314.410 1.700 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2sccc2C)[C@H](O)C1 ZINC001089982489 743432909 /nfs/dbraw/zinc/43/29/09/743432909.db2.gz ARWNUKQVDPGCLP-NWDGAFQWSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2sccc2C)[C@H](O)C1 ZINC001089982489 743432914 /nfs/dbraw/zinc/43/29/14/743432914.db2.gz ARWNUKQVDPGCLP-NWDGAFQWSA-N 1 2 314.838 1.974 20 30 DDEDLO CCCN(CCNC(=O)Cn1cc[nH+]c1)c1ncccc1C#N ZINC001101156100 743530967 /nfs/dbraw/zinc/53/09/67/743530967.db2.gz YPDKXIPAYRGGFV-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C(C)C)n2)[C@@H](O)C1 ZINC001083609439 743539859 /nfs/dbraw/zinc/53/98/59/743539859.db2.gz WNJCKMDMLIAICO-CVEARBPZSA-N 1 2 301.390 1.003 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C(C)C)n2)[C@@H](O)C1 ZINC001083609439 743539864 /nfs/dbraw/zinc/53/98/64/743539864.db2.gz WNJCKMDMLIAICO-CVEARBPZSA-N 1 2 301.390 1.003 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@@H]1CNC(=O)c1ccc[nH]1 ZINC001038053587 743677630 /nfs/dbraw/zinc/67/76/30/743677630.db2.gz WEIFAULHXOQBKV-OAHLLOKOSA-N 1 2 324.384 1.899 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@@H]1CNC(=O)c1ccc[nH]1 ZINC001038053587 743677632 /nfs/dbraw/zinc/67/76/32/743677632.db2.gz WEIFAULHXOQBKV-OAHLLOKOSA-N 1 2 324.384 1.899 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C3(COCC)CC3)CC2)C1 ZINC001105714011 743746967 /nfs/dbraw/zinc/74/69/67/743746967.db2.gz GBEMPERBICJHJD-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCCN2C(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001060267353 743763564 /nfs/dbraw/zinc/76/35/64/743763564.db2.gz AWEZGPZXVZIIJJ-AWEZNQCLSA-N 1 2 324.388 1.712 20 30 DDEDLO N#C[C@@H](Cc1ccc(O)cc1)C(=O)N1CCc2[nH+]ccn2CC1 ZINC001183608763 743860736 /nfs/dbraw/zinc/86/07/36/743860736.db2.gz KHOVUDYXUFZPNQ-CQSZACIVSA-N 1 2 310.357 1.356 20 30 DDEDLO Cc1[nH]c2ccc(C#N)cc2c1CC(=O)NCCc1[nH+]ccn1C ZINC001183892215 743924013 /nfs/dbraw/zinc/92/40/13/743924013.db2.gz NVYIBDHDPCKVPK-UHFFFAOYSA-N 1 2 321.384 1.983 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc(C)c(NC(C)=O)c2)C1 ZINC001030360814 744078113 /nfs/dbraw/zinc/07/81/13/744078113.db2.gz VJAQTPUIWFASJX-UHFFFAOYSA-N 1 2 301.390 1.944 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001185055836 744144289 /nfs/dbraw/zinc/14/42/89/744144289.db2.gz CAEBXYAOBCOGGH-QWHCGFSZSA-N 1 2 306.410 1.925 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001185057126 744144733 /nfs/dbraw/zinc/14/47/33/744144733.db2.gz YEXOXLOFBTWVSQ-QWHCGFSZSA-N 1 2 306.410 1.925 20 30 DDEDLO C#Cc1ccc(NC(=O)C[N@H+]2CC[C@H](C(=O)OC)C2)cc1F ZINC001186109373 744344990 /nfs/dbraw/zinc/34/49/90/744344990.db2.gz IBZHXFCRWUSMKX-LBPRGKRZSA-N 1 2 304.321 1.240 20 30 DDEDLO C#Cc1ccc(NC(=O)C[N@@H+]2CC[C@H](C(=O)OC)C2)cc1F ZINC001186109373 744344994 /nfs/dbraw/zinc/34/49/94/744344994.db2.gz IBZHXFCRWUSMKX-LBPRGKRZSA-N 1 2 304.321 1.240 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)C ZINC001110378893 744376503 /nfs/dbraw/zinc/37/65/03/744376503.db2.gz MZYPKDWMQIDQMH-KFWWJZLASA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)C ZINC001110378893 744376505 /nfs/dbraw/zinc/37/65/05/744376505.db2.gz MZYPKDWMQIDQMH-KFWWJZLASA-N 1 2 307.438 1.446 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2nn(CC)nc2C)[C@H]1C ZINC001089081160 744496486 /nfs/dbraw/zinc/49/64/86/744496486.db2.gz HHJCIVPHMOGRTF-NEPJUHHUSA-N 1 2 311.817 1.552 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2nn(CC)nc2C)[C@H]1C ZINC001089081160 744496488 /nfs/dbraw/zinc/49/64/88/744496488.db2.gz HHJCIVPHMOGRTF-NEPJUHHUSA-N 1 2 311.817 1.552 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001089139535 744584674 /nfs/dbraw/zinc/58/46/74/744584674.db2.gz DJUPRZRZIBYKMP-YPMHNXCESA-N 1 2 321.450 1.819 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001089139535 744584677 /nfs/dbraw/zinc/58/46/77/744584677.db2.gz DJUPRZRZIBYKMP-YPMHNXCESA-N 1 2 321.450 1.819 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)o1 ZINC001187849473 744618802 /nfs/dbraw/zinc/61/88/02/744618802.db2.gz OPHLHJHTYBMOFM-AEGPPILISA-N 1 2 316.405 1.631 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)o1 ZINC001187849473 744618804 /nfs/dbraw/zinc/61/88/04/744618804.db2.gz OPHLHJHTYBMOFM-AEGPPILISA-N 1 2 316.405 1.631 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001188999236 744806604 /nfs/dbraw/zinc/80/66/04/744806604.db2.gz HCPOTYLKUVXGMZ-DZGCQCFKSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001188999236 744806607 /nfs/dbraw/zinc/80/66/07/744806607.db2.gz HCPOTYLKUVXGMZ-DZGCQCFKSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001189564373 744917361 /nfs/dbraw/zinc/91/73/61/744917361.db2.gz BJXGKNBDJVXPKT-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001189564373 744917362 /nfs/dbraw/zinc/91/73/62/744917362.db2.gz BJXGKNBDJVXPKT-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2ncccn2)C1 ZINC001189926940 745040136 /nfs/dbraw/zinc/04/01/36/745040136.db2.gz UTNSYKVODIHIAP-HUUCEWRRSA-N 1 2 300.406 1.874 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2ncccn2)C1 ZINC001189926940 745040144 /nfs/dbraw/zinc/04/01/44/745040144.db2.gz UTNSYKVODIHIAP-HUUCEWRRSA-N 1 2 300.406 1.874 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001077523840 745057919 /nfs/dbraw/zinc/05/79/19/745057919.db2.gz IZHGHQOUPSMOGC-SQWYCQTGSA-N 1 2 317.364 1.015 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001077523840 745057924 /nfs/dbraw/zinc/05/79/24/745057924.db2.gz IZHGHQOUPSMOGC-SQWYCQTGSA-N 1 2 317.364 1.015 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001190104093 745100380 /nfs/dbraw/zinc/10/03/80/745100380.db2.gz CHRWKDLCPSRJLG-WFASDCNBSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001190104093 745100383 /nfs/dbraw/zinc/10/03/83/745100383.db2.gz CHRWKDLCPSRJLG-WFASDCNBSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001190105553 745102303 /nfs/dbraw/zinc/10/23/03/745102303.db2.gz OEZXDVQLUVYRDE-DZGCQCFKSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001190105553 745102306 /nfs/dbraw/zinc/10/23/06/745102306.db2.gz OEZXDVQLUVYRDE-DZGCQCFKSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001190105554 745102436 /nfs/dbraw/zinc/10/24/36/745102436.db2.gz OEZXDVQLUVYRDE-HIFRSBDPSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001190105554 745102439 /nfs/dbraw/zinc/10/24/39/745102439.db2.gz OEZXDVQLUVYRDE-HIFRSBDPSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2csc(C)n2)C1 ZINC001190107049 745103298 /nfs/dbraw/zinc/10/32/98/745103298.db2.gz ZPIBMWQKPSJDNW-SWLSCSKDSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2csc(C)n2)C1 ZINC001190107049 745103299 /nfs/dbraw/zinc/10/32/99/745103299.db2.gz ZPIBMWQKPSJDNW-SWLSCSKDSA-N 1 2 321.446 1.523 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001190398216 745200004 /nfs/dbraw/zinc/20/00/04/745200004.db2.gz SJYOMSXEGSJRTN-AWEZNQCLSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001190398216 745200005 /nfs/dbraw/zinc/20/00/05/745200005.db2.gz SJYOMSXEGSJRTN-AWEZNQCLSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3nocc3C)C[C@H]21 ZINC001190449851 745225364 /nfs/dbraw/zinc/22/53/64/745225364.db2.gz HQQDNXYVLWDDBJ-OFQRWUPVSA-N 1 2 319.405 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3nocc3C)C[C@H]21 ZINC001190449851 745225369 /nfs/dbraw/zinc/22/53/69/745225369.db2.gz HQQDNXYVLWDDBJ-OFQRWUPVSA-N 1 2 319.405 1.607 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001190842795 745348284 /nfs/dbraw/zinc/34/82/84/745348284.db2.gz YCYLBVCZYQUIME-IAGOWNOFSA-N 1 2 316.376 1.305 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001190842795 745348291 /nfs/dbraw/zinc/34/82/91/745348291.db2.gz YCYLBVCZYQUIME-IAGOWNOFSA-N 1 2 316.376 1.305 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([N@H+](C)CC(=O)Nc2ccon2)C1 ZINC001191726723 745584496 /nfs/dbraw/zinc/58/44/96/745584496.db2.gz ANOCCNHMKQAJHU-GFCCVEGCSA-N 1 2 320.393 1.358 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccon2)C1 ZINC001191726723 745584498 /nfs/dbraw/zinc/58/44/98/745584498.db2.gz ANOCCNHMKQAJHU-GFCCVEGCSA-N 1 2 320.393 1.358 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2oc(CC)nc2C)C[C@H]1O ZINC001192511151 745802387 /nfs/dbraw/zinc/80/23/87/745802387.db2.gz XSJSJGFJGVGRII-ZIAGYGMSSA-N 1 2 319.405 1.010 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(CC)nc2C)C[C@H]1O ZINC001192511151 745802390 /nfs/dbraw/zinc/80/23/90/745802390.db2.gz XSJSJGFJGVGRII-ZIAGYGMSSA-N 1 2 319.405 1.010 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C3CC3)no2)C[C@H]1O ZINC001192511310 745802554 /nfs/dbraw/zinc/80/25/54/745802554.db2.gz ZXWDKHHLVUFNGH-HZPDHXFCSA-N 1 2 317.389 1.017 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C3CC3)no2)C[C@H]1O ZINC001192511310 745802558 /nfs/dbraw/zinc/80/25/58/745802558.db2.gz ZXWDKHHLVUFNGH-HZPDHXFCSA-N 1 2 317.389 1.017 20 30 DDEDLO C[C@H](CCNc1nccnc1C#N)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106620447 745841661 /nfs/dbraw/zinc/84/16/61/745841661.db2.gz CVAKGRFTYMJISA-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@H](CCNc1nccnc1C#N)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106620447 745841666 /nfs/dbraw/zinc/84/16/66/745841666.db2.gz CVAKGRFTYMJISA-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)cn1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001106624906 745845549 /nfs/dbraw/zinc/84/55/49/745845549.db2.gz FTCHNTPHLNXZSL-IACUBPJLSA-N 1 2 324.388 1.787 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)cn1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001106624906 745845553 /nfs/dbraw/zinc/84/55/53/745845553.db2.gz FTCHNTPHLNXZSL-IACUBPJLSA-N 1 2 324.388 1.787 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccn(C)c(=O)c2)[C@@H]1C ZINC000993494647 746175866 /nfs/dbraw/zinc/17/58/66/746175866.db2.gz DVRNBYHTKXCBTO-GXTWGEPZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccn(C)c(=O)c2)[C@@H]1C ZINC000993494647 746175870 /nfs/dbraw/zinc/17/58/70/746175870.db2.gz DVRNBYHTKXCBTO-GXTWGEPZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2snnc2C)C1 ZINC001194408043 746369992 /nfs/dbraw/zinc/36/99/92/746369992.db2.gz ODEKJIFPIMLACU-CHWSQXEVSA-N 1 2 324.450 1.470 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2snnc2C)C1 ZINC001194408043 746369998 /nfs/dbraw/zinc/36/99/98/746369998.db2.gz ODEKJIFPIMLACU-CHWSQXEVSA-N 1 2 324.450 1.470 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001194691923 746438424 /nfs/dbraw/zinc/43/84/24/746438424.db2.gz UJYVBNLQUPGMNE-AWEZNQCLSA-N 1 2 324.421 1.818 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001194691923 746438426 /nfs/dbraw/zinc/43/84/26/746438426.db2.gz UJYVBNLQUPGMNE-AWEZNQCLSA-N 1 2 324.421 1.818 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(OC)nn2)[C@H]1C ZINC000994176715 746476596 /nfs/dbraw/zinc/47/65/96/746476596.db2.gz WCDTYNAIIGIHRZ-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(OC)nn2)[C@H]1C ZINC000994176715 746476600 /nfs/dbraw/zinc/47/66/00/746476600.db2.gz WCDTYNAIIGIHRZ-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=CCN1CC[N@@H+](C)C2(CCN(C(=O)O[C@H](C)CC)CC2)C1=O ZINC001195102332 746529439 /nfs/dbraw/zinc/52/94/39/746529439.db2.gz JSHYDUDKBZWGEZ-CQSZACIVSA-N 1 2 323.437 1.716 20 30 DDEDLO C=CCN1CC[N@H+](C)C2(CCN(C(=O)O[C@H](C)CC)CC2)C1=O ZINC001195102332 746529440 /nfs/dbraw/zinc/52/94/40/746529440.db2.gz JSHYDUDKBZWGEZ-CQSZACIVSA-N 1 2 323.437 1.716 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2snnc2C)CC1 ZINC001195284230 746568641 /nfs/dbraw/zinc/56/86/41/746568641.db2.gz RSURRWGSJRLDCA-UHFFFAOYSA-N 1 2 310.423 1.083 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2snnc2C)CC1 ZINC001195284230 746568644 /nfs/dbraw/zinc/56/86/44/746568644.db2.gz RSURRWGSJRLDCA-UHFFFAOYSA-N 1 2 310.423 1.083 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195313785 746574487 /nfs/dbraw/zinc/57/44/87/746574487.db2.gz DAKYVMVTVFJTHW-OAHLLOKOSA-N 1 2 320.437 1.105 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195313785 746574492 /nfs/dbraw/zinc/57/44/92/746574492.db2.gz DAKYVMVTVFJTHW-OAHLLOKOSA-N 1 2 320.437 1.105 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1O ZINC001195548657 746642112 /nfs/dbraw/zinc/64/21/12/746642112.db2.gz FHBNVYLBSYNVTM-MRVWCRGKSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1O ZINC001195548657 746642115 /nfs/dbraw/zinc/64/21/15/746642115.db2.gz FHBNVYLBSYNVTM-MRVWCRGKSA-N 1 2 309.435 1.407 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)c2coc(C)c2)CC1 ZINC001195613274 746667473 /nfs/dbraw/zinc/66/74/73/746667473.db2.gz XCVIKADGVCNAMM-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)c2coc(C)c2)CC1 ZINC001195613274 746667476 /nfs/dbraw/zinc/66/74/76/746667476.db2.gz XCVIKADGVCNAMM-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+](CC(=O)N2CCCCC2)CC1 ZINC001195747098 746698113 /nfs/dbraw/zinc/69/81/13/746698113.db2.gz KKBCBQHMRBRIDT-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+](CC(=O)N2CCCCC2)CC1 ZINC001195747098 746698117 /nfs/dbraw/zinc/69/81/17/746698117.db2.gz KKBCBQHMRBRIDT-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO COc1cccc(C[N@@H+]2CCCN(C(=O)C#CC(C)C)CC2)n1 ZINC001195774943 746701634 /nfs/dbraw/zinc/70/16/34/746701634.db2.gz IVLHIDJPARJWFR-UHFFFAOYSA-N 1 2 315.417 1.784 20 30 DDEDLO COc1cccc(C[N@H+]2CCCN(C(=O)C#CC(C)C)CC2)n1 ZINC001195774943 746701637 /nfs/dbraw/zinc/70/16/37/746701637.db2.gz IVLHIDJPARJWFR-UHFFFAOYSA-N 1 2 315.417 1.784 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H]2CCC[C@H]2C)CC1 ZINC001195915129 746736090 /nfs/dbraw/zinc/73/60/90/746736090.db2.gz LJZDWBAQTSOKTH-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H]2CCC[C@H]2C)CC1 ZINC001195915129 746736092 /nfs/dbraw/zinc/73/60/92/746736092.db2.gz LJZDWBAQTSOKTH-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1O ZINC001195924013 746737569 /nfs/dbraw/zinc/73/75/69/746737569.db2.gz QJALOTPHOPDEQR-NFAWXSAZSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1O ZINC001195924013 746737574 /nfs/dbraw/zinc/73/75/74/746737574.db2.gz QJALOTPHOPDEQR-NFAWXSAZSA-N 1 2 309.435 1.407 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2C[C@@H]2c2cc(F)ccc2F)C1 ZINC001031411089 746771259 /nfs/dbraw/zinc/77/12/59/746771259.db2.gz ZHPRFVCUHVUVQN-UKRRQHHQSA-N 1 2 304.340 1.892 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2C=CCCC2)CC1 ZINC001196268157 746812543 /nfs/dbraw/zinc/81/25/43/746812543.db2.gz BUHXJHSVTCPOTO-MRXNPFEDSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2C=CCCC2)CC1 ZINC001196268157 746812546 /nfs/dbraw/zinc/81/25/46/746812546.db2.gz BUHXJHSVTCPOTO-MRXNPFEDSA-N 1 2 319.449 1.569 20 30 DDEDLO C[NH+]1CC(NC(=O)c2cc(O)cc(-c3cccc(C#N)c3)c2)C1 ZINC001196319842 746830438 /nfs/dbraw/zinc/83/04/38/746830438.db2.gz GJKDTSRGLASIKX-UHFFFAOYSA-N 1 2 307.353 1.975 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1O ZINC001196468186 746869213 /nfs/dbraw/zinc/86/92/13/746869213.db2.gz DCXUUNFUGDLGBA-HUUCEWRRSA-N 1 2 321.421 1.501 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1O ZINC001196468186 746869217 /nfs/dbraw/zinc/86/92/17/746869217.db2.gz DCXUUNFUGDLGBA-HUUCEWRRSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001196880544 746987976 /nfs/dbraw/zinc/98/79/76/746987976.db2.gz MAIQKESHSGNYEL-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001196880544 746987984 /nfs/dbraw/zinc/98/79/84/746987984.db2.gz MAIQKESHSGNYEL-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1ccc[nH]1 ZINC001031543679 746990585 /nfs/dbraw/zinc/99/05/85/746990585.db2.gz FZEFJQAEBVACMG-UHFFFAOYSA-N 1 2 311.360 1.867 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC001196852738 746990780 /nfs/dbraw/zinc/99/07/80/746990780.db2.gz CSVHOGNLRUQXBE-CABCVRRESA-N 1 2 323.481 1.894 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC001196852738 746990785 /nfs/dbraw/zinc/99/07/85/746990785.db2.gz CSVHOGNLRUQXBE-CABCVRRESA-N 1 2 323.481 1.894 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccoc1Cl)C2 ZINC001110486713 747003775 /nfs/dbraw/zinc/00/37/75/747003775.db2.gz OCOLGKNQRXBAHH-BNOWGMLFSA-N 1 2 322.792 1.918 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccoc1Cl)C2 ZINC001110486713 747003780 /nfs/dbraw/zinc/00/37/80/747003780.db2.gz OCOLGKNQRXBAHH-BNOWGMLFSA-N 1 2 322.792 1.918 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@@H+](CC(=O)NCCC)CC2)CC1 ZINC001197062129 747051089 /nfs/dbraw/zinc/05/10/89/747051089.db2.gz JGRCMZZWIQBCRT-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@H+](CC(=O)NCCC)CC2)CC1 ZINC001197062129 747051095 /nfs/dbraw/zinc/05/10/95/747051095.db2.gz JGRCMZZWIQBCRT-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)n(C)n1 ZINC001031568089 747061121 /nfs/dbraw/zinc/06/11/21/747061121.db2.gz OLAOIDUDBFZVLC-UHFFFAOYSA-N 1 2 323.400 1.462 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)[C@@H]3CCOC3)C2)cc1F ZINC001031570674 747066046 /nfs/dbraw/zinc/06/60/46/747066046.db2.gz VFGVIVQNJDIYJK-OAHLLOKOSA-N 1 2 317.364 1.282 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@H]2CC(C)C)CC1 ZINC001197195865 747097855 /nfs/dbraw/zinc/09/78/55/747097855.db2.gz KXZJPHWJKAXRBO-HZPDHXFCSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2CC(C)C)CC1 ZINC001197195865 747097864 /nfs/dbraw/zinc/09/78/64/747097864.db2.gz KXZJPHWJKAXRBO-HZPDHXFCSA-N 1 2 321.465 1.505 20 30 DDEDLO CC(F)(F)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001089996576 747110196 /nfs/dbraw/zinc/11/01/96/747110196.db2.gz HVZBSHJQDOJMFW-ZIAGYGMSSA-N 1 2 323.343 1.265 20 30 DDEDLO CC(F)(F)C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001089996576 747110200 /nfs/dbraw/zinc/11/02/00/747110200.db2.gz HVZBSHJQDOJMFW-ZIAGYGMSSA-N 1 2 323.343 1.265 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccc(C)cc2F)CC1 ZINC001197253631 747117000 /nfs/dbraw/zinc/11/70/00/747117000.db2.gz GUDSGLFYRKXCEY-UHFFFAOYSA-N 1 2 318.392 1.932 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccc(C)cc2F)CC1 ZINC001197253631 747117003 /nfs/dbraw/zinc/11/70/03/747117003.db2.gz GUDSGLFYRKXCEY-UHFFFAOYSA-N 1 2 318.392 1.932 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)CC(C)(C)C)CC1 ZINC001197361638 747137294 /nfs/dbraw/zinc/13/72/94/747137294.db2.gz RCDJNRCOSHPMEC-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)CC(C)(C)C)CC1 ZINC001197361638 747137298 /nfs/dbraw/zinc/13/72/98/747137298.db2.gz RCDJNRCOSHPMEC-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO N#Cc1ccc(C(=O)NCC2C[NH+](CC=C(Cl)Cl)C2)[nH]1 ZINC001031619350 747207259 /nfs/dbraw/zinc/20/72/59/747207259.db2.gz UXNHNTBMOQSOPA-UHFFFAOYSA-N 1 2 313.188 1.867 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@@]2(C)CC)CC1 ZINC001197628818 747219420 /nfs/dbraw/zinc/21/94/20/747219420.db2.gz DNWCXNBNPBQNBF-WMLDXEAASA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@@]2(C)CC)CC1 ZINC001197628818 747219424 /nfs/dbraw/zinc/21/94/24/747219424.db2.gz DNWCXNBNPBQNBF-WMLDXEAASA-N 1 2 307.438 1.259 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cncnc3)C2)s1 ZINC001031627584 747249283 /nfs/dbraw/zinc/24/92/83/747249283.db2.gz PBVGCOBCASOCCL-UHFFFAOYSA-N 1 2 313.386 1.272 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)c3ncc[nH]3)C2)ccc1F ZINC001031658554 747331541 /nfs/dbraw/zinc/33/15/41/747331541.db2.gz MKFUJBDSHMNNED-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccccc2C)[C@@H](O)C1 ZINC001090032840 747406406 /nfs/dbraw/zinc/40/64/06/747406406.db2.gz UJUYFRPEHSDGIA-CABCVRRESA-N 1 2 308.809 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccccc2C)[C@@H](O)C1 ZINC001090032840 747406412 /nfs/dbraw/zinc/40/64/12/747406412.db2.gz UJUYFRPEHSDGIA-CABCVRRESA-N 1 2 308.809 1.913 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2cncc(F)c2)CC1 ZINC001198315802 747448450 /nfs/dbraw/zinc/44/84/50/747448450.db2.gz JDDNTOBVYXKCIH-UHFFFAOYSA-N 1 2 321.396 1.848 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2cncc(F)c2)CC1 ZINC001198315802 747448451 /nfs/dbraw/zinc/44/84/51/747448451.db2.gz JDDNTOBVYXKCIH-UHFFFAOYSA-N 1 2 321.396 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccs2)[C@@H](O)C1 ZINC001090041257 747498773 /nfs/dbraw/zinc/49/87/73/747498773.db2.gz GMCJLSKRYXJFGI-MNOVXSKESA-N 1 2 300.811 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccs2)[C@@H](O)C1 ZINC001090041257 747498779 /nfs/dbraw/zinc/49/87/79/747498779.db2.gz GMCJLSKRYXJFGI-MNOVXSKESA-N 1 2 300.811 1.666 20 30 DDEDLO CCN(CCNc1ncccc1C#N)C(=O)CCn1cc[nH+]c1 ZINC001106904104 747563535 /nfs/dbraw/zinc/56/35/35/747563535.db2.gz AJUQWFFBTNEMTP-UHFFFAOYSA-N 1 2 312.377 1.500 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108060549 747578009 /nfs/dbraw/zinc/57/80/09/747578009.db2.gz FUOMPBBDEJTYIT-LLVKDONJSA-N 1 2 316.409 1.882 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(Cl)cn2C)C1 ZINC001108061839 747764244 /nfs/dbraw/zinc/76/42/44/747764244.db2.gz YBRRXHLIDZADJA-OAHLLOKOSA-N 1 2 311.813 1.685 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(Cl)cn2C)C1 ZINC001108061839 747764249 /nfs/dbraw/zinc/76/42/49/747764249.db2.gz YBRRXHLIDZADJA-OAHLLOKOSA-N 1 2 311.813 1.685 20 30 DDEDLO Cc1nnsc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)C)C2 ZINC001110533812 747869559 /nfs/dbraw/zinc/86/95/59/747869559.db2.gz HWYXPHYLVNJXSG-MCIONIFRSA-N 1 2 318.446 1.727 20 30 DDEDLO Cc1nnsc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)C)C2 ZINC001110533812 747869566 /nfs/dbraw/zinc/86/95/66/747869566.db2.gz HWYXPHYLVNJXSG-MCIONIFRSA-N 1 2 318.446 1.727 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@H](C[NH2+]Cc2nc(C)no2)C1 ZINC001200074764 748111843 /nfs/dbraw/zinc/11/18/43/748111843.db2.gz QWXGGDCWRBHLQA-CYBMUJFWSA-N 1 2 308.382 1.051 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001110551094 748177345 /nfs/dbraw/zinc/17/73/45/748177345.db2.gz OUPPZBHKOWDDDL-YUELXQCFSA-N 1 2 319.430 1.405 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001110551094 748177346 /nfs/dbraw/zinc/17/73/46/748177346.db2.gz OUPPZBHKOWDDDL-YUELXQCFSA-N 1 2 319.430 1.405 20 30 DDEDLO C#CCC[NH2+]C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCCO1 ZINC001200555442 748277314 /nfs/dbraw/zinc/27/73/14/748277314.db2.gz RAFIHJWCOLFOPH-MNOVXSKESA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200555442 748277311 /nfs/dbraw/zinc/27/73/11/748277311.db2.gz RAFIHJWCOLFOPH-MNOVXSKESA-N 1 2 310.291 1.117 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)C2=COCCO2)CC1 ZINC001004333174 748329639 /nfs/dbraw/zinc/32/96/39/748329639.db2.gz UCMLPLSJVSWAIN-AWEZNQCLSA-N 1 2 305.378 1.101 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)C2=COCCO2)CC1 ZINC001004333174 748329641 /nfs/dbraw/zinc/32/96/41/748329641.db2.gz UCMLPLSJVSWAIN-AWEZNQCLSA-N 1 2 305.378 1.101 20 30 DDEDLO Cn1cccc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004347502 748347991 /nfs/dbraw/zinc/34/79/91/748347991.db2.gz QAOCFUAJDUXLHV-OAHLLOKOSA-N 1 2 300.406 1.865 20 30 DDEDLO Cn1cccc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004347502 748347994 /nfs/dbraw/zinc/34/79/94/748347994.db2.gz QAOCFUAJDUXLHV-OAHLLOKOSA-N 1 2 300.406 1.865 20 30 DDEDLO C[C@@](O)(CC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1)C1CC1 ZINC001004404835 748401940 /nfs/dbraw/zinc/40/19/40/748401940.db2.gz AKXQZQIRXIHVRX-SJLPKXTDSA-N 1 2 319.449 1.764 20 30 DDEDLO C[C@@](O)(CC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1)C1CC1 ZINC001004404835 748401942 /nfs/dbraw/zinc/40/19/42/748401942.db2.gz AKXQZQIRXIHVRX-SJLPKXTDSA-N 1 2 319.449 1.764 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)ncn1 ZINC001004417168 748421257 /nfs/dbraw/zinc/42/12/57/748421257.db2.gz ZWGRXHSQXXJDDM-INIZCTEOSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)ncn1 ZINC001004417168 748421261 /nfs/dbraw/zinc/42/12/61/748421261.db2.gz ZWGRXHSQXXJDDM-INIZCTEOSA-N 1 2 313.405 1.625 20 30 DDEDLO CCn1ccnc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004428665 748425103 /nfs/dbraw/zinc/42/51/03/748425103.db2.gz YZXHIXQMOUSFKQ-OAHLLOKOSA-N 1 2 315.421 1.743 20 30 DDEDLO CCn1ccnc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004428665 748425105 /nfs/dbraw/zinc/42/51/05/748425105.db2.gz YZXHIXQMOUSFKQ-OAHLLOKOSA-N 1 2 315.421 1.743 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001089871389 748510480 /nfs/dbraw/zinc/51/04/80/748510480.db2.gz YNXBLWJKAWTJCI-XZDPQHSOSA-N 1 2 300.406 1.307 20 30 DDEDLO C=CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001089871389 748510486 /nfs/dbraw/zinc/51/04/86/748510486.db2.gz YNXBLWJKAWTJCI-XZDPQHSOSA-N 1 2 300.406 1.307 20 30 DDEDLO Cc1conc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001032108280 748651305 /nfs/dbraw/zinc/65/13/05/748651305.db2.gz NWCHYPHUGXQOKK-UHFFFAOYSA-N 1 2 310.357 1.717 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2snnc2C2CC2)C1 ZINC001033164294 748692003 /nfs/dbraw/zinc/69/20/03/748692003.db2.gz CRDFIZIAIFGSDX-GFCCVEGCSA-N 1 2 304.419 1.585 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2snnc2C2CC2)C1 ZINC001033164294 748692008 /nfs/dbraw/zinc/69/20/08/748692008.db2.gz CRDFIZIAIFGSDX-GFCCVEGCSA-N 1 2 304.419 1.585 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1OC ZINC001212349093 748739354 /nfs/dbraw/zinc/73/93/54/748739354.db2.gz JXJVJKIQOQNEIQ-ZIAGYGMSSA-N 1 2 309.435 1.815 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1OC ZINC001212349093 748739355 /nfs/dbraw/zinc/73/93/55/748739355.db2.gz JXJVJKIQOQNEIQ-ZIAGYGMSSA-N 1 2 309.435 1.815 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001033184420 748832938 /nfs/dbraw/zinc/83/29/38/748832938.db2.gz AFIRWCKWQPLOSG-IUODEOHRSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001033184420 748832943 /nfs/dbraw/zinc/83/29/43/748832943.db2.gz AFIRWCKWQPLOSG-IUODEOHRSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001033202729 748906703 /nfs/dbraw/zinc/90/67/03/748906703.db2.gz IEMQBWBBLJSMTL-STQMWFEESA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001033202729 748906708 /nfs/dbraw/zinc/90/67/08/748906708.db2.gz IEMQBWBBLJSMTL-STQMWFEESA-N 1 2 313.829 1.140 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@](C)(NC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC001110817467 749040157 /nfs/dbraw/zinc/04/01/57/749040157.db2.gz CVPFOYXHQCMLEC-KRWDZBQOSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21)OCC ZINC001114350803 749102312 /nfs/dbraw/zinc/10/23/12/749102312.db2.gz HQSZZRZVNDIRCN-DLTWYDFYSA-N 1 2 319.405 1.592 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21)OCC ZINC001114350803 749102317 /nfs/dbraw/zinc/10/23/17/749102317.db2.gz HQSZZRZVNDIRCN-DLTWYDFYSA-N 1 2 319.405 1.592 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@]1(C)C[N@H+](CC#CC)CCO1 ZINC001108100271 749117662 /nfs/dbraw/zinc/11/76/62/749117662.db2.gz TWLNEZBDMUICBL-ZWKOTPCHSA-N 1 2 322.449 1.446 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001108100271 749117668 /nfs/dbraw/zinc/11/76/68/749117668.db2.gz TWLNEZBDMUICBL-ZWKOTPCHSA-N 1 2 322.449 1.446 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnn3cccnc23)C1 ZINC001033321509 749187154 /nfs/dbraw/zinc/18/71/54/749187154.db2.gz GJSXVPIEHGJZHF-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnn3cccnc23)C1 ZINC001033321509 749187156 /nfs/dbraw/zinc/18/71/56/749187156.db2.gz GJSXVPIEHGJZHF-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO Cn1ccc(C[N@H+]2CC=C(CNC(=O)c3cc(C#N)c[nH]3)CC2)n1 ZINC001000562954 761939490 /nfs/dbraw/zinc/93/94/90/761939490.db2.gz LPKOHAPUGYPXFT-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO Cn1ccc(C[N@@H+]2CC=C(CNC(=O)c3cc(C#N)c[nH]3)CC2)n1 ZINC001000562954 761939493 /nfs/dbraw/zinc/93/94/93/761939493.db2.gz LPKOHAPUGYPXFT-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001033413111 749308832 /nfs/dbraw/zinc/30/88/32/749308832.db2.gz MKMADYKUPBGWRY-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001033413111 749308836 /nfs/dbraw/zinc/30/88/36/749308836.db2.gz MKMADYKUPBGWRY-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO CCOC(=O)c1ccc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)o1 ZINC000878772143 749356160 /nfs/dbraw/zinc/35/61/60/749356160.db2.gz GPOJRWDQUBJLEL-BETUJISGSA-N 1 2 305.378 1.874 20 30 DDEDLO CCOC(=O)c1ccc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)o1 ZINC000878772143 749356168 /nfs/dbraw/zinc/35/61/68/749356168.db2.gz GPOJRWDQUBJLEL-BETUJISGSA-N 1 2 305.378 1.874 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H](C)CCC)nn2)C1 ZINC001107149667 749407787 /nfs/dbraw/zinc/40/77/87/749407787.db2.gz NINOSGMDXFGESM-CYBMUJFWSA-N 1 2 303.410 1.211 20 30 DDEDLO CC1(C)CN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C[C@@H]1NCC#N ZINC000996280273 749483210 /nfs/dbraw/zinc/48/32/10/749483210.db2.gz XBMRZFHTJQUTSQ-YPMHNXCESA-N 1 2 301.394 1.180 20 30 DDEDLO Cc1cc(NC/C=C\CNC(=O)CCc2[nH]cc[nH+]2)c(C#N)cn1 ZINC001107173605 749490510 /nfs/dbraw/zinc/49/05/10/749490510.db2.gz NLVZOEZVVOFSQR-IHWYPQMZSA-N 1 2 324.388 1.124 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@]3(C)C=CCC3)nn2)C1 ZINC001107192606 749536840 /nfs/dbraw/zinc/53/68/40/749536840.db2.gz RMNDCXAXQXKBNI-MRXNPFEDSA-N 1 2 301.394 1.293 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001033576176 749552040 /nfs/dbraw/zinc/55/20/40/749552040.db2.gz HOIODGYDAVONCM-TZMCWYRMSA-N 1 2 322.840 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001033576176 749552043 /nfs/dbraw/zinc/55/20/43/749552043.db2.gz HOIODGYDAVONCM-TZMCWYRMSA-N 1 2 322.840 1.800 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@H+](Cc3ccn(C)n3)C2)cn1 ZINC001033600124 749595806 /nfs/dbraw/zinc/59/58/06/749595806.db2.gz WEPFGTYDJPNXCD-QGZVFWFLSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3ccn(C)n3)C2)cn1 ZINC001033600124 749595807 /nfs/dbraw/zinc/59/58/07/749595807.db2.gz WEPFGTYDJPNXCD-QGZVFWFLSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccccc1CC(=O)N(C)[C@@H]1CC[N@H+](Cc2ccon2)C1 ZINC001033616114 749612257 /nfs/dbraw/zinc/61/22/57/749612257.db2.gz FXZCXSWKRIOPFX-GOSISDBHSA-N 1 2 323.396 1.931 20 30 DDEDLO C#Cc1ccccc1CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccon2)C1 ZINC001033616114 749612259 /nfs/dbraw/zinc/61/22/59/749612259.db2.gz FXZCXSWKRIOPFX-GOSISDBHSA-N 1 2 323.396 1.931 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](CC)CCC)nn2)C1 ZINC001107225285 749640669 /nfs/dbraw/zinc/64/06/69/749640669.db2.gz SYEGQZYJBYFSCP-ZDUSSCGKSA-N 1 2 305.426 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)[C@H](C)CC)nn2)C1 ZINC001107224903 749651000 /nfs/dbraw/zinc/65/10/00/749651000.db2.gz GWBSZTOCVRQWPQ-OLZOCXBDSA-N 1 2 305.426 1.619 20 30 DDEDLO N#Cc1cnc(NC/C=C\CNC(=O)Cn2cc[nH+]c2)c(F)c1 ZINC001107240368 749682286 /nfs/dbraw/zinc/68/22/86/749682286.db2.gz MBEFZEIBQMUXSW-UPHRSURJSA-N 1 2 314.324 1.073 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C\CNC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001107312858 749767347 /nfs/dbraw/zinc/76/73/47/749767347.db2.gz XLBWJXBBGXCEDI-IHWYPQMZSA-N 1 2 310.361 1.312 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2COCCO2)C1 ZINC001033761142 749836772 /nfs/dbraw/zinc/83/67/72/749836772.db2.gz GLAQBSLFPIBIBR-OLZOCXBDSA-N 1 2 302.802 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2COCCO2)C1 ZINC001033761142 749836777 /nfs/dbraw/zinc/83/67/77/749836777.db2.gz GLAQBSLFPIBIBR-OLZOCXBDSA-N 1 2 302.802 1.077 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001066753861 749937119 /nfs/dbraw/zinc/93/71/19/749937119.db2.gz QHRSLBQDMHNJEC-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(N(C)C(=O)[C@@H]2C[C@H]2[N+](=O)[O-])CC1 ZINC001005377979 749943554 /nfs/dbraw/zinc/94/35/54/749943554.db2.gz GPDNTSXFBRHHEC-VXGBXAGGSA-N 1 2 301.774 1.327 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc(OC)c2)C1 ZINC001108364375 761995686 /nfs/dbraw/zinc/99/56/86/761995686.db2.gz OWNNITHOPTVLOL-KRWDZBQOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc(OC)c2)C1 ZINC001108364375 761995693 /nfs/dbraw/zinc/99/56/93/761995693.db2.gz OWNNITHOPTVLOL-KRWDZBQOSA-N 1 2 304.390 1.702 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccnc(N(C)C)c1 ZINC001032319492 749963142 /nfs/dbraw/zinc/96/31/42/749963142.db2.gz UPXSEVAPYZHDPK-SNPRPXQTSA-N 1 2 313.405 1.092 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccnc(N(C)C)c1 ZINC001032319492 749963147 /nfs/dbraw/zinc/96/31/47/749963147.db2.gz UPXSEVAPYZHDPK-SNPRPXQTSA-N 1 2 313.405 1.092 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccnc2OCC)C1 ZINC001108374181 762005149 /nfs/dbraw/zinc/00/51/49/762005149.db2.gz INFZLNXKNNLZOF-QGZVFWFLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccnc2OCC)C1 ZINC001108374181 762005158 /nfs/dbraw/zinc/00/51/58/762005158.db2.gz INFZLNXKNNLZOF-QGZVFWFLSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CC)C(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001033887188 750058973 /nfs/dbraw/zinc/05/89/73/750058973.db2.gz HZYCWEWSNNWWTK-AWEZNQCLSA-N 1 2 323.396 1.698 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001033887188 750058975 /nfs/dbraw/zinc/05/89/75/750058975.db2.gz HZYCWEWSNNWWTK-AWEZNQCLSA-N 1 2 323.396 1.698 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC/C=C\CNc1ccc(C#N)cn1 ZINC001107602311 750224601 /nfs/dbraw/zinc/22/46/01/750224601.db2.gz COURSYBRTDNQDT-IHWYPQMZSA-N 1 2 324.388 1.404 20 30 DDEDLO Cc1ncoc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032338034 750245790 /nfs/dbraw/zinc/24/57/90/750245790.db2.gz PZTNJXZHUTXSTH-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1ncoc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032338034 750245793 /nfs/dbraw/zinc/24/57/93/750245793.db2.gz PZTNJXZHUTXSTH-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1cc(NC[C@@H](C)NC(=O)CSCC#N)nc(C2CC2)[nH+]1 ZINC001107626872 750267366 /nfs/dbraw/zinc/26/73/66/750267366.db2.gz JTBVBZFIOVVYNL-LLVKDONJSA-N 1 2 319.434 1.836 20 30 DDEDLO C=CC(C)(C)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1[nH]cc[nH+]1)C2 ZINC001005509808 750383340 /nfs/dbraw/zinc/38/33/40/750383340.db2.gz AONSRBDSLQCRBZ-UPJWGTAASA-N 1 2 316.405 1.413 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cc(C)n[nH]2)C1 ZINC001107860458 750469178 /nfs/dbraw/zinc/46/91/78/750469178.db2.gz PSFWAOQRTLQWGF-MRXNPFEDSA-N 1 2 306.410 1.044 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@](C)(CNC(=O)Cc2cc(C)n[nH]2)C1 ZINC001107860458 750469183 /nfs/dbraw/zinc/46/91/83/750469183.db2.gz PSFWAOQRTLQWGF-MRXNPFEDSA-N 1 2 306.410 1.044 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)C(F)(F)F)C1 ZINC001108131985 750621481 /nfs/dbraw/zinc/62/14/81/750621481.db2.gz GOKHDYAKFZDWLY-YPMHNXCESA-N 1 2 306.328 1.415 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)C(F)(F)F)C1 ZINC001108131985 750621486 /nfs/dbraw/zinc/62/14/86/750621486.db2.gz GOKHDYAKFZDWLY-YPMHNXCESA-N 1 2 306.328 1.415 20 30 DDEDLO C[C@@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C1CCN(CC#N)CC1 ZINC000997176061 750654503 /nfs/dbraw/zinc/65/45/03/750654503.db2.gz IRWUDVLWWRWEAO-UKRRQHHQSA-N 1 2 315.421 1.186 20 30 DDEDLO C[C@@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C1CCN(CC#N)CC1 ZINC000997180773 750674795 /nfs/dbraw/zinc/67/47/95/750674795.db2.gz MXBDPZHVRKXGHQ-UKRRQHHQSA-N 1 2 315.421 1.186 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2CC(C)(C)C2)[C@@H](O)C1 ZINC001090242701 750711078 /nfs/dbraw/zinc/71/10/78/750711078.db2.gz ALUHZWYJQZMSND-OLZOCXBDSA-N 1 2 300.830 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2CC(C)(C)C2)[C@@H](O)C1 ZINC001090242701 750711083 /nfs/dbraw/zinc/71/10/83/750711083.db2.gz ALUHZWYJQZMSND-OLZOCXBDSA-N 1 2 300.830 1.727 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Oc1ccccc1F ZINC001032418337 750723633 /nfs/dbraw/zinc/72/36/33/750723633.db2.gz PPAVUWQZSNRFCS-IHRRRGAJSA-N 1 2 302.349 1.511 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Oc1ccccc1F ZINC001032418337 750723638 /nfs/dbraw/zinc/72/36/38/750723638.db2.gz PPAVUWQZSNRFCS-IHRRRGAJSA-N 1 2 302.349 1.511 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2ccnc2)cc1 ZINC001038558445 750747836 /nfs/dbraw/zinc/74/78/36/750747836.db2.gz XBRCALPVKPFGPO-QGZVFWFLSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2ccnc2)cc1 ZINC001038558445 750747841 /nfs/dbraw/zinc/74/78/41/750747841.db2.gz XBRCALPVKPFGPO-QGZVFWFLSA-N 1 2 308.385 1.700 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H]1CC[C@H](NCC#N)CC1 ZINC001034740215 750788980 /nfs/dbraw/zinc/78/89/80/750788980.db2.gz SKJXAVUHVVGOOD-AAVRWANBSA-N 1 2 301.394 1.064 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1C1CCCC1 ZINC001032429315 750809101 /nfs/dbraw/zinc/80/91/01/750809101.db2.gz OFFNMGNHUYWQQU-HOTGVXAUSA-N 1 2 312.417 1.920 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1C1CCCC1 ZINC001032429315 750809113 /nfs/dbraw/zinc/80/91/13/750809113.db2.gz OFFNMGNHUYWQQU-HOTGVXAUSA-N 1 2 312.417 1.920 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2ccc(OC)cc21 ZINC001032450714 750866757 /nfs/dbraw/zinc/86/67/57/750866757.db2.gz XAIZMDGOARDSDK-ZOBUZTSGSA-N 1 2 310.397 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2ccc(OC)cc21 ZINC001032450714 750866764 /nfs/dbraw/zinc/86/67/64/750866764.db2.gz XAIZMDGOARDSDK-ZOBUZTSGSA-N 1 2 310.397 1.643 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001107953410 750871003 /nfs/dbraw/zinc/87/10/03/750871003.db2.gz ACKSDEPDGDMTLQ-LLVKDONJSA-N 1 2 320.441 1.765 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H](CNc2cc[nH+]c(C)n2)C[C@@H]1C ZINC001114669955 750967925 /nfs/dbraw/zinc/96/79/25/750967925.db2.gz CCGWYTIICPDAOG-GXTWGEPZSA-N 1 2 304.394 1.637 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001114727874 751028821 /nfs/dbraw/zinc/02/88/21/751028821.db2.gz ZFNCJWOLDLBDPF-FOCJUVANSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001114727874 751028829 /nfs/dbraw/zinc/02/88/29/751028829.db2.gz ZFNCJWOLDLBDPF-FOCJUVANSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001114792201 751083634 /nfs/dbraw/zinc/08/36/34/751083634.db2.gz QBPVEXUMKCEVOD-DMEJVMROSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001114792201 751083641 /nfs/dbraw/zinc/08/36/41/751083641.db2.gz QBPVEXUMKCEVOD-DMEJVMROSA-N 1 2 304.394 1.527 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2cnccc2c1 ZINC001032521941 751187094 /nfs/dbraw/zinc/18/70/94/751187094.db2.gz NLFYHOBYUCTFCE-HOTGVXAUSA-N 1 2 306.369 1.552 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2cnccc2c1 ZINC001032521941 751187096 /nfs/dbraw/zinc/18/70/96/751187096.db2.gz NLFYHOBYUCTFCE-HOTGVXAUSA-N 1 2 306.369 1.552 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)[C@](C)(F)CCCC)[C@@H](n2ccnn2)C1 ZINC001129080104 751246441 /nfs/dbraw/zinc/24/64/41/751246441.db2.gz OHZMSEYKCMWWJE-IJEWVQPXSA-N 1 2 323.416 1.724 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)[C@](C)(F)CCCC)[C@@H](n2ccnn2)C1 ZINC001129080104 751246443 /nfs/dbraw/zinc/24/64/43/751246443.db2.gz OHZMSEYKCMWWJE-IJEWVQPXSA-N 1 2 323.416 1.724 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2ccc(C)o2)C1 ZINC001108417947 762111864 /nfs/dbraw/zinc/11/18/64/762111864.db2.gz LEKYCUAARWJMSA-SFHVURJKSA-N 1 2 318.417 1.751 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2ccc(C)o2)C1 ZINC001108417947 762111869 /nfs/dbraw/zinc/11/18/69/762111869.db2.gz LEKYCUAARWJMSA-SFHVURJKSA-N 1 2 318.417 1.751 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccc(OC)cc2[nH]1 ZINC001032553088 751284353 /nfs/dbraw/zinc/28/43/53/751284353.db2.gz MMDMBUAPQBLENZ-KBPBESRZSA-N 1 2 309.369 1.708 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccc(OC)cc2[nH]1 ZINC001032553088 751284355 /nfs/dbraw/zinc/28/43/55/751284355.db2.gz MMDMBUAPQBLENZ-KBPBESRZSA-N 1 2 309.369 1.708 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2ncc(C)o2)CCOCC1 ZINC001129117111 751304773 /nfs/dbraw/zinc/30/47/73/751304773.db2.gz RXUJJWZKYSFUMI-UHFFFAOYSA-N 1 2 305.378 1.009 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1noc2c1CCCCC2 ZINC001032657402 752617002 /nfs/dbraw/zinc/61/70/02/752617002.db2.gz KMNCHOLMANWLMD-KBPBESRZSA-N 1 2 313.401 1.866 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1noc2c1CCCCC2 ZINC001032657402 752617007 /nfs/dbraw/zinc/61/70/07/752617007.db2.gz KMNCHOLMANWLMD-KBPBESRZSA-N 1 2 313.401 1.866 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(-c2nc[nH]n2)n1 ZINC001032679036 752699273 /nfs/dbraw/zinc/69/92/73/752699273.db2.gz XZVNOOITAPZQTF-UWVGGRQHSA-N 1 2 316.390 1.013 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(-c2nc[nH]n2)n1 ZINC001032679036 752699276 /nfs/dbraw/zinc/69/92/76/752699276.db2.gz XZVNOOITAPZQTF-UWVGGRQHSA-N 1 2 316.390 1.013 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(C(C)(C)C)n1 ZINC001032683999 752716615 /nfs/dbraw/zinc/71/66/15/752716615.db2.gz ZXVJLXGLDFHMGT-RYUDHWBXSA-N 1 2 303.431 1.973 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(C(C)(C)C)n1 ZINC001032683999 752716620 /nfs/dbraw/zinc/71/66/20/752716620.db2.gz ZXVJLXGLDFHMGT-RYUDHWBXSA-N 1 2 303.431 1.973 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cl)cc(OC)c1 ZINC001032694718 752729043 /nfs/dbraw/zinc/72/90/43/752729043.db2.gz HJBRFUNQOADKBR-KBPBESRZSA-N 1 2 304.777 1.881 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cl)cc(OC)c1 ZINC001032694718 752729048 /nfs/dbraw/zinc/72/90/48/752729048.db2.gz HJBRFUNQOADKBR-KBPBESRZSA-N 1 2 304.777 1.881 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CCC[N@@H+](Cc3conc3C)C2)c1 ZINC001008467603 752748085 /nfs/dbraw/zinc/74/80/85/752748085.db2.gz FBYBOHULYKXWSN-QGZVFWFLSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CCC[N@H+](Cc3conc3C)C2)c1 ZINC001008467603 752748089 /nfs/dbraw/zinc/74/80/89/752748089.db2.gz FBYBOHULYKXWSN-QGZVFWFLSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCC[N@@H+](Cc2cncn2C)C1 ZINC001008558160 752798841 /nfs/dbraw/zinc/79/88/41/752798841.db2.gz HVPBMEQLLWWJKU-IMJJTQAJSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCC[N@H+](Cc2cncn2C)C1 ZINC001008558160 752798843 /nfs/dbraw/zinc/79/88/43/752798843.db2.gz HVPBMEQLLWWJKU-IMJJTQAJSA-N 1 2 318.421 1.092 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001062325217 752889675 /nfs/dbraw/zinc/88/96/75/752889675.db2.gz DMMWBUUQZCNPOH-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1cnon1 ZINC001008930354 752990641 /nfs/dbraw/zinc/99/06/41/752990641.db2.gz UHSNTONZWNPNEN-HNNXBMFYSA-N 1 2 310.357 1.316 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1cnon1 ZINC001008930354 752990645 /nfs/dbraw/zinc/99/06/45/752990645.db2.gz UHSNTONZWNPNEN-HNNXBMFYSA-N 1 2 310.357 1.316 20 30 DDEDLO Cc1cc(N2C[C@@H]3C[C@H]2CN3C(=O)Cc2[nH]cc[nH+]2)c(C#N)cn1 ZINC001062524520 753075873 /nfs/dbraw/zinc/07/58/73/753075873.db2.gz XPGKHZLKORXVPX-KBPBESRZSA-N 1 2 322.372 1.017 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3conc3C)C2)cn1 ZINC001009269765 753135097 /nfs/dbraw/zinc/13/50/97/753135097.db2.gz PEIGIYHNSQRPSB-KRWDZBQOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3conc3C)C2)cn1 ZINC001009269765 753135101 /nfs/dbraw/zinc/13/51/01/753135101.db2.gz PEIGIYHNSQRPSB-KRWDZBQOSA-N 1 2 324.384 1.754 20 30 DDEDLO Cn1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001009538218 753238071 /nfs/dbraw/zinc/23/80/71/753238071.db2.gz QVLXSANZWYLSSC-INIZCTEOSA-N 1 2 323.400 1.686 20 30 DDEDLO Cn1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001009538218 753238075 /nfs/dbraw/zinc/23/80/75/753238075.db2.gz QVLXSANZWYLSSC-INIZCTEOSA-N 1 2 323.400 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001009620335 753282517 /nfs/dbraw/zinc/28/25/17/753282517.db2.gz RXLVWLCQBFGIOV-STQMWFEESA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001009620335 753282522 /nfs/dbraw/zinc/28/25/22/753282522.db2.gz RXLVWLCQBFGIOV-STQMWFEESA-N 1 2 323.828 1.174 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001108171387 753368244 /nfs/dbraw/zinc/36/82/44/753368244.db2.gz GZEIAVXLNDMTPJ-PKOBYXMFSA-N 1 2 312.413 1.557 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001108171387 753368247 /nfs/dbraw/zinc/36/82/47/753368247.db2.gz GZEIAVXLNDMTPJ-PKOBYXMFSA-N 1 2 312.413 1.557 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1nc2ccccc2o1 ZINC001032776968 753594950 /nfs/dbraw/zinc/59/49/50/753594950.db2.gz HZFWCVAGKBAGCB-KBPBESRZSA-N 1 2 309.369 1.679 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1nc2ccccc2o1 ZINC001032776968 753594956 /nfs/dbraw/zinc/59/49/56/753594956.db2.gz HZFWCVAGKBAGCB-KBPBESRZSA-N 1 2 309.369 1.679 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](OC)C2CCCCC2)C1 ZINC001108449851 762238573 /nfs/dbraw/zinc/23/85/73/762238573.db2.gz GQYZOPMGMOMSEQ-WMZOPIPTSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](OC)C2CCCCC2)C1 ZINC001108449851 762238574 /nfs/dbraw/zinc/23/85/74/762238574.db2.gz GQYZOPMGMOMSEQ-WMZOPIPTSA-N 1 2 324.465 1.975 20 30 DDEDLO CC(C)C#CC(=O)NC1(CNC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001062878196 753792569 /nfs/dbraw/zinc/79/25/69/753792569.db2.gz XLEIVTTVMXUHQV-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO Cc1nc(N2CC[C@H](N(C)C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001062902569 753823464 /nfs/dbraw/zinc/82/34/64/753823464.db2.gz CEHOYJNINOUPGT-ZDUSSCGKSA-N 1 2 310.361 1.336 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cocn3)C2)c(F)c1 ZINC001010374309 753830880 /nfs/dbraw/zinc/83/08/80/753830880.db2.gz YLTGVZGRMWDOMQ-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cocn3)C2)c(F)c1 ZINC001010374309 753830887 /nfs/dbraw/zinc/83/08/87/753830887.db2.gz YLTGVZGRMWDOMQ-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cocn3)C2)c(F)c1 ZINC001010374310 753831611 /nfs/dbraw/zinc/83/16/11/753831611.db2.gz YLTGVZGRMWDOMQ-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cocn3)C2)c(F)c1 ZINC001010374310 753831620 /nfs/dbraw/zinc/83/16/20/753831620.db2.gz YLTGVZGRMWDOMQ-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO CCn1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)cn1 ZINC001010395931 753861469 /nfs/dbraw/zinc/86/14/69/753861469.db2.gz FHVLTWXRBSIWHF-KRWDZBQOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)cn1 ZINC001010395931 753861476 /nfs/dbraw/zinc/86/14/76/753861476.db2.gz FHVLTWXRBSIWHF-KRWDZBQOSA-N 1 2 323.400 1.779 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cccc(Cl)c3o2)C1 ZINC001078296524 753944171 /nfs/dbraw/zinc/94/41/71/753944171.db2.gz NPZDFPULPKGIOO-CHWSQXEVSA-N 1 2 318.760 1.494 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cccc(Cl)c3o2)C1 ZINC001078296524 753944175 /nfs/dbraw/zinc/94/41/75/753944175.db2.gz NPZDFPULPKGIOO-CHWSQXEVSA-N 1 2 318.760 1.494 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cncc(F)c3)C2)[nH]1 ZINC001010642746 754074743 /nfs/dbraw/zinc/07/47/43/754074743.db2.gz JVUMESBILZPSKC-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cncc(F)c3)C2)[nH]1 ZINC001010642746 754074748 /nfs/dbraw/zinc/07/47/48/754074748.db2.gz JVUMESBILZPSKC-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1cncnc1 ZINC001010707453 754112819 /nfs/dbraw/zinc/11/28/19/754112819.db2.gz DBBKKYBXCAMNQA-QGZVFWFLSA-N 1 2 306.369 1.332 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cncnc1 ZINC001010707453 754112823 /nfs/dbraw/zinc/11/28/23/754112823.db2.gz DBBKKYBXCAMNQA-QGZVFWFLSA-N 1 2 306.369 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cn(CC)nn2)CC1 ZINC001001316280 754244962 /nfs/dbraw/zinc/24/49/62/754244962.db2.gz SJAQFHFOQCJMFI-UHFFFAOYSA-N 1 2 309.801 1.412 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cn(CC)nn2)CC1 ZINC001001316280 754244968 /nfs/dbraw/zinc/24/49/68/754244968.db2.gz SJAQFHFOQCJMFI-UHFFFAOYSA-N 1 2 309.801 1.412 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)CC[C@H]1Nc1ncccc1C#N ZINC001063759910 754324497 /nfs/dbraw/zinc/32/44/97/754324497.db2.gz DEKHHQZCRLWDDF-TZMCWYRMSA-N 1 2 324.388 1.568 20 30 DDEDLO CC#CCCCC(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064208522 754563039 /nfs/dbraw/zinc/56/30/39/754563039.db2.gz FSNURHHEOYCPBJ-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064791718 754858077 /nfs/dbraw/zinc/85/80/77/754858077.db2.gz KLGXPFZEXIOIKC-OCCSQVGLSA-N 1 2 324.388 1.710 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cnc(CC(C)(C)C)s2)[C@@H](O)C1 ZINC001083924555 754994084 /nfs/dbraw/zinc/99/40/84/754994084.db2.gz YGAPZXGBXHWQRS-NEPJUHHUSA-N 1 2 323.462 1.693 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cnc(CC(C)(C)C)s2)[C@@H](O)C1 ZINC001083924555 754994086 /nfs/dbraw/zinc/99/40/86/754994086.db2.gz YGAPZXGBXHWQRS-NEPJUHHUSA-N 1 2 323.462 1.693 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080307163 755816379 /nfs/dbraw/zinc/81/63/79/755816379.db2.gz PKLWNKRGZIQICY-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001014716752 755905103 /nfs/dbraw/zinc/90/51/03/755905103.db2.gz NZSJKPGFWALPBA-GFCCVEGCSA-N 1 2 309.801 1.099 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001014716752 755905106 /nfs/dbraw/zinc/90/51/06/755905106.db2.gz NZSJKPGFWALPBA-GFCCVEGCSA-N 1 2 309.801 1.099 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001014999352 756062941 /nfs/dbraw/zinc/06/29/41/756062941.db2.gz ILNCLYXYTSIMKR-CHWSQXEVSA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001014999352 756062944 /nfs/dbraw/zinc/06/29/44/756062944.db2.gz ILNCLYXYTSIMKR-CHWSQXEVSA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001080847637 756121118 /nfs/dbraw/zinc/12/11/18/756121118.db2.gz GBRSVSKBDAEMRN-DIOULYMOSA-N 1 2 319.836 1.985 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001080847637 756121119 /nfs/dbraw/zinc/12/11/19/756121119.db2.gz GBRSVSKBDAEMRN-DIOULYMOSA-N 1 2 319.836 1.985 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)[C@]1(F)CCOC1 ZINC001015607812 756407943 /nfs/dbraw/zinc/40/79/43/756407943.db2.gz MVMNDSQRJUMUFG-WMZOPIPTSA-N 1 2 316.376 1.357 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)[C@]1(F)CCOC1 ZINC001015607812 756407947 /nfs/dbraw/zinc/40/79/47/756407947.db2.gz MVMNDSQRJUMUFG-WMZOPIPTSA-N 1 2 316.376 1.357 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccc(OC)cn2)C1 ZINC001015609917 756410469 /nfs/dbraw/zinc/41/04/69/756410469.db2.gz OIVUZOMWWFAYHR-ZDUSSCGKSA-N 1 2 309.797 1.576 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccc(OC)cn2)C1 ZINC001015609917 756410472 /nfs/dbraw/zinc/41/04/72/756410472.db2.gz OIVUZOMWWFAYHR-ZDUSSCGKSA-N 1 2 309.797 1.576 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)o3)C2)c1 ZINC001015678418 756460340 /nfs/dbraw/zinc/46/03/40/756460340.db2.gz HPJNNIQOABYIDQ-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)o3)C2)c1 ZINC001015678418 756460342 /nfs/dbraw/zinc/46/03/42/756460342.db2.gz HPJNNIQOABYIDQ-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCCC3)[C@H](OC)C1 ZINC001081889117 756539577 /nfs/dbraw/zinc/53/95/77/756539577.db2.gz NZXOFADAWVFMAG-HUUCEWRRSA-N 1 2 318.421 1.294 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCCC3)[C@H](OC)C1 ZINC001081889117 756539580 /nfs/dbraw/zinc/53/95/80/756539580.db2.gz NZXOFADAWVFMAG-HUUCEWRRSA-N 1 2 318.421 1.294 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@H]1Nc1ccc(C#N)cn1 ZINC001067123895 756596990 /nfs/dbraw/zinc/59/69/90/756596990.db2.gz YKNZVKJCQMUGOT-SWLSCSKDSA-N 1 2 324.388 1.188 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1ncccn1 ZINC001015885749 756619607 /nfs/dbraw/zinc/61/96/07/756619607.db2.gz TUWUPVQIGSPFMN-MRXNPFEDSA-N 1 2 306.369 1.332 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1ncccn1 ZINC001015885749 756619609 /nfs/dbraw/zinc/61/96/09/756619609.db2.gz TUWUPVQIGSPFMN-MRXNPFEDSA-N 1 2 306.369 1.332 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2cccnn2)C1 ZINC001015889583 756623090 /nfs/dbraw/zinc/62/30/90/756623090.db2.gz VBDXAEVLQUADES-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2cccnn2)C1 ZINC001015889583 756623094 /nfs/dbraw/zinc/62/30/94/756623094.db2.gz VBDXAEVLQUADES-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@H](Nc2ncccc2C#N)[C@@H](C)C1 ZINC001067126204 756661961 /nfs/dbraw/zinc/66/19/61/756661961.db2.gz BDRKFBCZIUAHDH-NHYWBVRUSA-N 1 2 324.388 1.486 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)c(F)c2F)[C@H](OC)C1 ZINC001082162574 756667765 /nfs/dbraw/zinc/66/77/65/756667765.db2.gz KADOTHLMLMSKIP-ZIAGYGMSSA-N 1 2 322.355 1.726 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(F)c2F)[C@H](OC)C1 ZINC001082162574 756667768 /nfs/dbraw/zinc/66/77/68/756667768.db2.gz KADOTHLMLMSKIP-ZIAGYGMSSA-N 1 2 322.355 1.726 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(cccc3C)o2)[C@H](OC)C1 ZINC001082388291 756764848 /nfs/dbraw/zinc/76/48/48/756764848.db2.gz VYGFPXFFIIYAKE-RHSMWYFYSA-N 1 2 312.369 1.803 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(cccc3C)o2)[C@H](OC)C1 ZINC001082388291 756764851 /nfs/dbraw/zinc/76/48/51/756764851.db2.gz VYGFPXFFIIYAKE-RHSMWYFYSA-N 1 2 312.369 1.803 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](NC(=O)CCc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001097180560 756953812 /nfs/dbraw/zinc/95/38/12/756953812.db2.gz RYUUBTRZRWRKOU-OKILXGFUSA-N 1 2 324.388 1.677 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccsc3C)[C@H]2C1 ZINC001083076867 757090487 /nfs/dbraw/zinc/09/04/87/757090487.db2.gz ICKNBCKRNJXNJZ-LSDHHAIUSA-N 1 2 304.415 1.605 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccsc3C)[C@H]2C1 ZINC001083076867 757090494 /nfs/dbraw/zinc/09/04/94/757090494.db2.gz ICKNBCKRNJXNJZ-LSDHHAIUSA-N 1 2 304.415 1.605 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(CC)s3)[C@H]2C1 ZINC001083134587 757149459 /nfs/dbraw/zinc/14/94/59/757149459.db2.gz HQJZMYNMYBMKEC-LSDHHAIUSA-N 1 2 318.442 1.859 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(CC)s3)[C@H]2C1 ZINC001083134587 757149465 /nfs/dbraw/zinc/14/94/65/757149465.db2.gz HQJZMYNMYBMKEC-LSDHHAIUSA-N 1 2 318.442 1.859 20 30 DDEDLO CCc1nc(N2CCCC[C@@H]2CNC(=O)[C@H](C)C#N)cc(C)[nH+]1 ZINC001097417297 757163409 /nfs/dbraw/zinc/16/34/09/757163409.db2.gz KHLOEHVLPNLPGG-TZMCWYRMSA-N 1 2 315.421 1.982 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3sc4nccn4c3C)[C@@H]2C1 ZINC001084415179 757537251 /nfs/dbraw/zinc/53/72/51/757537251.db2.gz JEVNIJSAXOKLEQ-CHWSQXEVSA-N 1 2 314.414 1.484 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3sc4nccn4c3C)[C@@H]2C1 ZINC001084415179 757537260 /nfs/dbraw/zinc/53/72/60/757537260.db2.gz JEVNIJSAXOKLEQ-CHWSQXEVSA-N 1 2 314.414 1.484 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1CC[N@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099826166 757896067 /nfs/dbraw/zinc/89/60/67/757896067.db2.gz HBWJOVORCUZICR-RYUDHWBXSA-N 1 2 317.216 1.270 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099826166 757896064 /nfs/dbraw/zinc/89/60/64/757896064.db2.gz HBWJOVORCUZICR-RYUDHWBXSA-N 1 2 317.216 1.270 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1-c1ccco1 ZINC001017546974 758012747 /nfs/dbraw/zinc/01/27/47/758012747.db2.gz XJWZCGLGDCGEKB-OKILXGFUSA-N 1 2 324.384 1.602 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1-c1ccco1 ZINC001017546974 758012754 /nfs/dbraw/zinc/01/27/54/758012754.db2.gz XJWZCGLGDCGEKB-OKILXGFUSA-N 1 2 324.384 1.602 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)ns1 ZINC001017564773 758034153 /nfs/dbraw/zinc/03/41/53/758034153.db2.gz TYECSSFWTCUCJW-TXEJJXNPSA-N 1 2 305.403 1.464 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)ns1 ZINC001017564773 758034165 /nfs/dbraw/zinc/03/41/65/758034165.db2.gz TYECSSFWTCUCJW-TXEJJXNPSA-N 1 2 305.403 1.464 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[N@H+](Cc3cnns3)[C@H]2C1 ZINC001084906969 758046567 /nfs/dbraw/zinc/04/65/67/758046567.db2.gz OSTPNQMDCOLKIM-WZRBSPASSA-N 1 2 305.407 1.121 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[N@@H+](Cc3cnns3)[C@H]2C1 ZINC001084906969 758046576 /nfs/dbraw/zinc/04/65/76/758046576.db2.gz OSTPNQMDCOLKIM-WZRBSPASSA-N 1 2 305.407 1.121 20 30 DDEDLO CCc1cnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)o1 ZINC001084906592 758047589 /nfs/dbraw/zinc/04/75/89/758047589.db2.gz ZEFIROJFOHNJIK-NFAWXSAZSA-N 1 2 316.405 1.819 20 30 DDEDLO CCc1cnc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)o1 ZINC001084906592 758047606 /nfs/dbraw/zinc/04/76/06/758047606.db2.gz ZEFIROJFOHNJIK-NFAWXSAZSA-N 1 2 316.405 1.819 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn(C)cc1Cl ZINC001017581254 758048504 /nfs/dbraw/zinc/04/85/04/758048504.db2.gz ONPGDMFOHLUALV-TXEJJXNPSA-N 1 2 306.797 1.386 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn(C)cc1Cl ZINC001017581254 758048512 /nfs/dbraw/zinc/04/85/12/758048512.db2.gz ONPGDMFOHLUALV-TXEJJXNPSA-N 1 2 306.797 1.386 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc(COC)c1 ZINC001017626673 758096472 /nfs/dbraw/zinc/09/64/72/758096472.db2.gz MOUJKRXIUOEKMX-CALCHBBNSA-N 1 2 313.401 1.540 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc(COC)c1 ZINC001017626673 758096480 /nfs/dbraw/zinc/09/64/80/758096480.db2.gz MOUJKRXIUOEKMX-CALCHBBNSA-N 1 2 313.401 1.540 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nc[nH]c2n1 ZINC001017651673 758121434 /nfs/dbraw/zinc/12/14/34/758121434.db2.gz NJPQPTNFSZFGJE-BETUJISGSA-N 1 2 309.373 1.270 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nc[nH]c2n1 ZINC001017651673 758121439 /nfs/dbraw/zinc/12/14/39/758121439.db2.gz NJPQPTNFSZFGJE-BETUJISGSA-N 1 2 309.373 1.270 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1ccccc1O)CCO2 ZINC001053163584 758218349 /nfs/dbraw/zinc/21/83/49/758218349.db2.gz BFXRIUGBJBSMGH-UHFFFAOYSA-N 1 2 316.401 1.885 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C3CC3)on1)CCO2 ZINC001053364737 758403776 /nfs/dbraw/zinc/40/37/76/758403776.db2.gz SNDBALSPDVAKAZ-UHFFFAOYSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@H]1CC[C@H](C)O1)CCO2 ZINC001053408606 758439695 /nfs/dbraw/zinc/43/96/95/758439695.db2.gz LMENYGHJJNHCPR-LSDHHAIUSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)CCCC)CC2=O)C1 ZINC001108541131 762640648 /nfs/dbraw/zinc/64/06/48/762640648.db2.gz ONEIMCNGCFLCKU-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO C[C@@]1(CNc2ncccc2C#N)CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065445763 758551643 /nfs/dbraw/zinc/55/16/43/758551643.db2.gz TUYFJNPFJKILNG-KRWDZBQOSA-N 1 2 324.388 1.570 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCO[C@@H](CC)C1 ZINC001018156659 758587280 /nfs/dbraw/zinc/58/72/80/758587280.db2.gz NKARHBDHQLRAKH-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCO[C@@H](CC)C1 ZINC001018156659 758587289 /nfs/dbraw/zinc/58/72/89/758587289.db2.gz NKARHBDHQLRAKH-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncoc1C)O2 ZINC001053576145 758587700 /nfs/dbraw/zinc/58/77/00/758587700.db2.gz NOCWKQWKQSCXIQ-ZDUSSCGKSA-N 1 2 305.378 1.522 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1[C@@H]3CCC[C@@H]31)O2 ZINC001053588340 758603739 /nfs/dbraw/zinc/60/37/39/758603739.db2.gz TUGJOIKENRYTHZ-CAOSSQGBSA-N 1 2 304.434 1.958 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccsc1 ZINC001018177479 758604921 /nfs/dbraw/zinc/60/49/21/758604921.db2.gz YQEQOBXONSEANW-OKILXGFUSA-N 1 2 304.415 1.825 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccsc1 ZINC001018177479 758604927 /nfs/dbraw/zinc/60/49/27/758604927.db2.gz YQEQOBXONSEANW-OKILXGFUSA-N 1 2 304.415 1.825 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1csnc1C)O2 ZINC001053592772 758610345 /nfs/dbraw/zinc/61/03/45/758610345.db2.gz RDPXENHLNKZGMJ-CYBMUJFWSA-N 1 2 321.446 1.991 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cncnc1)O2 ZINC001053594452 758613710 /nfs/dbraw/zinc/61/37/10/758613710.db2.gz LYXISHVVRZCKHP-CQSZACIVSA-N 1 2 302.378 1.016 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccccc1F ZINC001018262048 758677058 /nfs/dbraw/zinc/67/70/58/758677058.db2.gz MVUOSWATPKVFJW-GASCZTMLSA-N 1 2 316.376 1.903 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccccc1F ZINC001018262048 758677061 /nfs/dbraw/zinc/67/70/61/758677061.db2.gz MVUOSWATPKVFJW-GASCZTMLSA-N 1 2 316.376 1.903 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccncn1)O2 ZINC001053678046 758688200 /nfs/dbraw/zinc/68/82/00/758688200.db2.gz NDUAPKUDUIWESZ-ZDUSSCGKSA-N 1 2 302.378 1.016 20 30 DDEDLO C=CCCC1(C(=O)N2CC[NH+]([C@H]3CCN(C(C)=O)C3)CC2)CC1 ZINC001065674546 758692726 /nfs/dbraw/zinc/69/27/26/758692726.db2.gz FTYGQVUGMPSUEB-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C3CCC3)C2)CC1 ZINC001065675605 758694305 /nfs/dbraw/zinc/69/43/05/758694305.db2.gz BUQSUJJIRGLDJO-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065703517 758703909 /nfs/dbraw/zinc/70/39/09/758703909.db2.gz DUVHPZLHVMLCEK-QGZVFWFLSA-N 1 2 319.449 1.520 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1nc(C)c(C)s1)O2 ZINC001053695497 758704616 /nfs/dbraw/zinc/70/46/16/758704616.db2.gz KMYRINMLGYIUIF-ZDUSSCGKSA-N 1 2 321.446 1.909 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C3(C)CC3)CC2)C1 ZINC001065693423 758710565 /nfs/dbraw/zinc/71/05/65/758710565.db2.gz CMXHBVRHWOHLTC-OAHLLOKOSA-N 1 2 319.449 1.498 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)CC1CCCCC1)CO2 ZINC001053730269 758746270 /nfs/dbraw/zinc/74/62/70/758746270.db2.gz AXUWPTQEYBRNHZ-INIZCTEOSA-N 1 2 304.434 1.940 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1scnc1C)CO2 ZINC001053747849 758764951 /nfs/dbraw/zinc/76/49/51/758764951.db2.gz IGLMUQKEAMWIIR-LBPRGKRZSA-N 1 2 307.419 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1cc(C)on1)CO2 ZINC001053759331 758776855 /nfs/dbraw/zinc/77/68/55/758776855.db2.gz IHEIUXZSVSIYDP-AWEZNQCLSA-N 1 2 305.378 1.061 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cncc(F)c1)CO2 ZINC001053771164 758791671 /nfs/dbraw/zinc/79/16/71/758791671.db2.gz FIJAYVQWSCFEIX-CQSZACIVSA-N 1 2 305.353 1.370 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1csnc1C)CO2 ZINC001053780336 758803965 /nfs/dbraw/zinc/80/39/65/758803965.db2.gz HTUAAFOWCFFECC-GFCCVEGCSA-N 1 2 305.403 1.048 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C1C=CC=CC=C1)CO2 ZINC001053820311 758849328 /nfs/dbraw/zinc/84/93/28/758849328.db2.gz XTDVTVODBQVCJU-MRXNPFEDSA-N 1 2 300.402 1.820 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H]1CC(C)(C)CO1)CO2 ZINC001053869788 758902803 /nfs/dbraw/zinc/90/28/03/758902803.db2.gz SOCPVKMFQVVZIS-HUUCEWRRSA-N 1 2 320.433 1.174 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1nccc3[nH]ccc31)CO2 ZINC001053929553 758965807 /nfs/dbraw/zinc/96/58/07/758965807.db2.gz CPNNUMTVURECAV-ZDUSSCGKSA-N 1 2 324.384 1.159 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cnn(C(C)C)c1)CO2 ZINC001053979197 759017764 /nfs/dbraw/zinc/01/77/64/759017764.db2.gz KCPJPDKLZJGSEW-HNNXBMFYSA-N 1 2 316.405 1.060 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccccc1C#C)CO2 ZINC001053993567 759039795 /nfs/dbraw/zinc/03/97/95/759039795.db2.gz OUBAULSSHZZMSL-SFHVURJKSA-N 1 2 322.408 1.193 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(CC)cc1)CO2 ZINC001053999005 759045462 /nfs/dbraw/zinc/04/54/62/759045462.db2.gz XXTWTIRKNUOKPC-KRWDZBQOSA-N 1 2 312.413 1.845 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC13CCOCC3)CO2 ZINC001054026642 759077599 /nfs/dbraw/zinc/07/75/99/759077599.db2.gz DEEZVBXKZOTBOI-LSDHHAIUSA-N 1 2 320.433 1.339 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn(CC)c1 ZINC001054035082 759090845 /nfs/dbraw/zinc/09/08/45/759090845.db2.gz GAFDZTSBBIZBAP-GOSISDBHSA-N 1 2 322.412 1.693 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn(CC)c1 ZINC001054035082 759090854 /nfs/dbraw/zinc/09/08/54/759090854.db2.gz GAFDZTSBBIZBAP-GOSISDBHSA-N 1 2 322.412 1.693 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc[nH]c(=O)c1 ZINC001054036655 759093632 /nfs/dbraw/zinc/09/36/32/759093632.db2.gz PWARFTRBISYQGT-QGZVFWFLSA-N 1 2 321.380 1.577 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc[nH]c(=O)c1 ZINC001054036655 759093637 /nfs/dbraw/zinc/09/36/37/759093637.db2.gz PWARFTRBISYQGT-QGZVFWFLSA-N 1 2 321.380 1.577 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ncc[nH]1 ZINC001054040012 759098108 /nfs/dbraw/zinc/09/81/08/759098108.db2.gz PTTABEWEKKBMEM-INIZCTEOSA-N 1 2 308.385 1.590 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ncc[nH]1 ZINC001054040012 759098116 /nfs/dbraw/zinc/09/81/16/759098116.db2.gz PTTABEWEKKBMEM-INIZCTEOSA-N 1 2 308.385 1.590 20 30 DDEDLO C=CC[N@@H+](CCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001098374056 759254887 /nfs/dbraw/zinc/25/48/87/759254887.db2.gz TYOSVTZGZFGYLX-LLVKDONJSA-N 1 2 312.307 1.358 20 30 DDEDLO C=CC[N@H+](CCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001098374056 759254892 /nfs/dbraw/zinc/25/48/92/759254892.db2.gz TYOSVTZGZFGYLX-LLVKDONJSA-N 1 2 312.307 1.358 20 30 DDEDLO C=CC[N@@H+](CCO)C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001098374056 759254898 /nfs/dbraw/zinc/25/48/98/759254898.db2.gz TYOSVTZGZFGYLX-LLVKDONJSA-N 1 2 312.307 1.358 20 30 DDEDLO C=CC[N@H+](CCO)C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001098374056 759254902 /nfs/dbraw/zinc/25/49/02/759254902.db2.gz TYOSVTZGZFGYLX-LLVKDONJSA-N 1 2 312.307 1.358 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1ncc[nH]1 ZINC001085588635 759777314 /nfs/dbraw/zinc/77/73/14/759777314.db2.gz QUYBGNOHZLTCDR-MRXNPFEDSA-N 1 2 308.385 1.608 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1ncc[nH]1 ZINC001085588635 759777317 /nfs/dbraw/zinc/77/73/17/759777317.db2.gz QUYBGNOHZLTCDR-MRXNPFEDSA-N 1 2 308.385 1.608 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001085596449 759806236 /nfs/dbraw/zinc/80/62/36/759806236.db2.gz BNCIQXTUEAZAAK-KGLIPLIRSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001085596449 759806243 /nfs/dbraw/zinc/80/62/43/759806243.db2.gz BNCIQXTUEAZAAK-KGLIPLIRSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)OCCCO2 ZINC001085640197 759913870 /nfs/dbraw/zinc/91/38/70/759913870.db2.gz FJNRMUBJUVAIFM-HNNXBMFYSA-N 1 2 314.385 1.627 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)OCCCO2 ZINC001085640197 759913876 /nfs/dbraw/zinc/91/38/76/759913876.db2.gz FJNRMUBJUVAIFM-HNNXBMFYSA-N 1 2 314.385 1.627 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]cnc1C(F)(F)F ZINC001085640922 759914911 /nfs/dbraw/zinc/91/49/11/759914911.db2.gz ZAUBMFYWLUSKHO-VIFPVBQESA-N 1 2 300.284 1.208 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]cnc1C(F)(F)F ZINC001085640922 759914915 /nfs/dbraw/zinc/91/49/15/759914915.db2.gz ZAUBMFYWLUSKHO-VIFPVBQESA-N 1 2 300.284 1.208 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2CN(C(=O)C#CC3CC3)C[C@@H]2C)o1 ZINC001054639285 759931176 /nfs/dbraw/zinc/93/11/76/759931176.db2.gz SPSJJRFCDCLFAT-GXTWGEPZSA-N 1 2 316.405 1.543 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c[nH+]cn1C)Nc1cccc(F)c1C#N ZINC001097956062 759986939 /nfs/dbraw/zinc/98/69/39/759986939.db2.gz YVXRDRRBILVRRO-NSHDSACASA-N 1 2 315.352 1.590 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cnn(C)c2)c1 ZINC001085809465 760277725 /nfs/dbraw/zinc/27/77/25/760277725.db2.gz FCUXBHDCWCAAMO-QGZVFWFLSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cnn(C)c2)c1 ZINC001085809465 760277729 /nfs/dbraw/zinc/27/77/29/760277729.db2.gz FCUXBHDCWCAAMO-QGZVFWFLSA-N 1 2 323.400 1.143 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nc(C)ncc1Cl ZINC001085856709 760375453 /nfs/dbraw/zinc/37/54/53/760375453.db2.gz GLHXXGUMVUDDOL-LBPRGKRZSA-N 1 2 306.797 1.608 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nc(C)ncc1Cl ZINC001085856709 760375463 /nfs/dbraw/zinc/37/54/63/760375463.db2.gz GLHXXGUMVUDDOL-LBPRGKRZSA-N 1 2 306.797 1.608 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001085873199 760424014 /nfs/dbraw/zinc/42/40/14/760424014.db2.gz SFBGCFVACQORGC-VIFPVBQESA-N 1 2 300.284 1.208 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001085873199 760424019 /nfs/dbraw/zinc/42/40/19/760424019.db2.gz SFBGCFVACQORGC-VIFPVBQESA-N 1 2 300.284 1.208 20 30 DDEDLO N#Cc1cccnc1NCC[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001066387807 760954909 /nfs/dbraw/zinc/95/49/09/760954909.db2.gz HSDPLBFXGIYKEX-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001038428432 761109371 /nfs/dbraw/zinc/10/93/71/761109371.db2.gz XISGUKFCPQLSEG-HNNXBMFYSA-N 1 2 309.373 1.299 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001038428432 761109378 /nfs/dbraw/zinc/10/93/78/761109378.db2.gz XISGUKFCPQLSEG-HNNXBMFYSA-N 1 2 309.373 1.299 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc2ccccc2c1O ZINC001038447006 761124604 /nfs/dbraw/zinc/12/46/04/761124604.db2.gz SDCYULAUZJCLCJ-CYBMUJFWSA-N 1 2 309.369 1.356 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc2ccccc2c1O ZINC001038447006 761124608 /nfs/dbraw/zinc/12/46/08/761124608.db2.gz SDCYULAUZJCLCJ-CYBMUJFWSA-N 1 2 309.369 1.356 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ccc(C#N)cn1 ZINC001069415752 768016730 /nfs/dbraw/zinc/01/67/30/768016730.db2.gz UPJDUAXLYBLWJM-WFASDCNBSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2[C@H]1CCCN2C(=O)Cn1cc[nH+]c1 ZINC001056457029 761184767 /nfs/dbraw/zinc/18/47/67/761184767.db2.gz XNPTVPGJMOLZQX-CABCVRRESA-N 1 2 316.405 1.441 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccsc2C)C1 ZINC001108263222 761423385 /nfs/dbraw/zinc/42/33/85/761423385.db2.gz LCJNLQZBELFDHA-QGZVFWFLSA-N 1 2 320.458 1.829 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccsc2C)C1 ZINC001108263222 761423389 /nfs/dbraw/zinc/42/33/89/761423389.db2.gz LCJNLQZBELFDHA-QGZVFWFLSA-N 1 2 320.458 1.829 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@@H](Nc2ncccc2C#N)C1)n1cc[nH+]c1 ZINC001056792805 761433817 /nfs/dbraw/zinc/43/38/17/761433817.db2.gz HFPYAELUZKOWQG-DZGCQCFKSA-N 1 2 324.388 1.814 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2c(C)noc2C)cn1 ZINC001038932805 761562128 /nfs/dbraw/zinc/56/21/28/761562128.db2.gz RGCVDPYAXKDDNJ-MRXNPFEDSA-N 1 2 324.384 1.672 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2c(C)noc2C)cn1 ZINC001038932805 761562138 /nfs/dbraw/zinc/56/21/38/761562138.db2.gz RGCVDPYAXKDDNJ-MRXNPFEDSA-N 1 2 324.384 1.672 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccnc2C)nc1 ZINC001038942925 761575690 /nfs/dbraw/zinc/57/56/90/761575690.db2.gz MIULGXAGOAEDPP-KRWDZBQOSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccnc2C)nc1 ZINC001038942925 761575698 /nfs/dbraw/zinc/57/56/98/761575698.db2.gz MIULGXAGOAEDPP-KRWDZBQOSA-N 1 2 320.396 1.771 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3(CCC)CC3)CC2=O)C1 ZINC001108572968 762713683 /nfs/dbraw/zinc/71/36/83/762713683.db2.gz JUDIDJHXSLDURH-CYBMUJFWSA-N 1 2 305.422 1.154 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001108582282 762745741 /nfs/dbraw/zinc/74/57/41/762745741.db2.gz QMCWQWZKVRFTEY-JSGCOSHPSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)CC(C)C)CC2=O)C1 ZINC001108583264 762749544 /nfs/dbraw/zinc/74/95/44/762749544.db2.gz KYZCPYQFIKCTKQ-ZIAGYGMSSA-N 1 2 307.438 1.256 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CC(CC)CC)[C@@H](n2ccnn2)C1 ZINC001129366262 762963580 /nfs/dbraw/zinc/96/35/80/762963580.db2.gz NADJZZCUUHJBCY-CABCVRRESA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CC(CC)CC)[C@@H](n2ccnn2)C1 ZINC001129366262 762963583 /nfs/dbraw/zinc/96/35/83/762963583.db2.gz NADJZZCUUHJBCY-CABCVRRESA-N 1 2 303.410 1.079 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001050000533 763004199 /nfs/dbraw/zinc/00/41/99/763004199.db2.gz YIHXYWNXCRPQMR-VNHYZAJKSA-N 1 2 301.394 1.003 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001050000533 763004204 /nfs/dbraw/zinc/00/42/04/763004204.db2.gz YIHXYWNXCRPQMR-VNHYZAJKSA-N 1 2 301.394 1.003 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)o1)C2 ZINC001108887769 763078318 /nfs/dbraw/zinc/07/83/18/763078318.db2.gz RNYGNTYTMXXIJU-RDBSUJKOSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)o1)C2 ZINC001108887769 763078323 /nfs/dbraw/zinc/07/83/23/763078323.db2.gz RNYGNTYTMXXIJU-RDBSUJKOSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](CC)CCC)CC1 ZINC001131391494 768109864 /nfs/dbraw/zinc/10/98/64/768109864.db2.gz WBLCSDUVCZLQGY-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](CC)CCC)CC1 ZINC001131391494 768109869 /nfs/dbraw/zinc/10/98/69/768109869.db2.gz WBLCSDUVCZLQGY-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO Cc1nc(N(C)C[C@@H](C)NC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001109015073 763230955 /nfs/dbraw/zinc/23/09/55/763230955.db2.gz UETFSPBVZQDCME-GFCCVEGCSA-N 1 2 312.377 1.099 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001109102291 763332420 /nfs/dbraw/zinc/33/24/20/763332420.db2.gz PPFBNAMHRCEIBK-GFCCVEGCSA-N 1 2 318.421 1.383 20 30 DDEDLO Cc1cc(NC2CC(N(C)C(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001069764327 768132256 /nfs/dbraw/zinc/13/22/56/768132256.db2.gz NGXJJKXGLOYQQP-UHFFFAOYSA-N 1 2 324.388 1.051 20 30 DDEDLO C=CCOCC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001109313840 763580649 /nfs/dbraw/zinc/58/06/49/763580649.db2.gz UDUHKBBAARRCNE-RHYQMDGZSA-N 1 2 324.318 1.811 20 30 DDEDLO C=CCOCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001109313840 763580657 /nfs/dbraw/zinc/58/06/57/763580657.db2.gz UDUHKBBAARRCNE-RHYQMDGZSA-N 1 2 324.318 1.811 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109337975 763586960 /nfs/dbraw/zinc/58/69/60/763586960.db2.gz DQKRQZGDUPIFOB-ILXRZTDVSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109337975 763586965 /nfs/dbraw/zinc/58/69/65/763586965.db2.gz DQKRQZGDUPIFOB-ILXRZTDVSA-N 1 2 321.465 1.836 20 30 DDEDLO N#Cc1ccc(NC2CCN(C(=O)CCn3cc[nH+]c3)CC2)cn1 ZINC001057397814 763595436 /nfs/dbraw/zinc/59/54/36/763595436.db2.gz RDDJCFMLEOSYAF-UHFFFAOYSA-N 1 2 324.388 1.643 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3CC[N@H+](Cc4cnon4)[C@@H]3C2)C1 ZINC001042223251 763782962 /nfs/dbraw/zinc/78/29/62/763782962.db2.gz CFIIWQJTECZJOA-UKRRQHHQSA-N 1 2 316.405 1.849 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3CC[N@@H+](Cc4cnon4)[C@@H]3C2)C1 ZINC001042223251 763782965 /nfs/dbraw/zinc/78/29/65/763782965.db2.gz CFIIWQJTECZJOA-UKRRQHHQSA-N 1 2 316.405 1.849 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncc(F)c1 ZINC001050863073 764201901 /nfs/dbraw/zinc/20/19/01/764201901.db2.gz APWXMEHTONVYAV-OAHLLOKOSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cncc(F)c1 ZINC001050863073 764201905 /nfs/dbraw/zinc/20/19/05/764201905.db2.gz APWXMEHTONVYAV-OAHLLOKOSA-N 1 2 307.369 1.618 20 30 DDEDLO CN(C(=O)c1c[nH]c(C#N)c1)C1C[NH+](C[C@@H]2CC(C)(C)CO2)C1 ZINC001042638878 764300095 /nfs/dbraw/zinc/30/00/95/764300095.db2.gz VWAMDQSSHRRWEF-HNNXBMFYSA-N 1 2 316.405 1.458 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C1C[NH+](C[C@@H]2CC[C@@H](C)O2)C1 ZINC001042930168 764534181 /nfs/dbraw/zinc/53/41/81/764534181.db2.gz CUYZJAIGIHYQGH-ZBFHGGJFSA-N 1 2 317.433 1.998 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CCC)cc1 ZINC001051147301 764553194 /nfs/dbraw/zinc/55/31/94/764553194.db2.gz CWCIRFAVXDYASE-INIZCTEOSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CCC)cc1 ZINC001051147301 764553198 /nfs/dbraw/zinc/55/31/98/764553198.db2.gz CWCIRFAVXDYASE-INIZCTEOSA-N 1 2 316.401 1.539 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](CCOCC2CC2)CC1 ZINC001112671101 764565397 /nfs/dbraw/zinc/56/53/97/764565397.db2.gz ADCXLNWOXCKFDD-OAHLLOKOSA-N 1 2 310.438 1.538 20 30 DDEDLO CO[C@@H](C)CC(=O)N1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC001112681545 764579059 /nfs/dbraw/zinc/57/90/59/764579059.db2.gz ZPLHVRDTXYTHNK-AWEZNQCLSA-N 1 2 301.390 1.627 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C)n([C@H](C)CC)n2)C1 ZINC001043181071 764741216 /nfs/dbraw/zinc/74/12/16/764741216.db2.gz VFFWZMHMGBLSPY-CYBMUJFWSA-N 1 2 302.422 1.942 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112796401 764776867 /nfs/dbraw/zinc/77/68/67/764776867.db2.gz UQUKUXRXLQEEOB-DZGCQCFKSA-N 1 2 318.421 1.803 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051418119 764858653 /nfs/dbraw/zinc/85/86/53/764858653.db2.gz PMUFQDZXMAYXIJ-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001051461002 764905688 /nfs/dbraw/zinc/90/56/88/764905688.db2.gz XQEIMRIQOOVQNH-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc3ccc(OC)cc3[nH]2)C1 ZINC001043504117 764947037 /nfs/dbraw/zinc/94/70/37/764947037.db2.gz OYDQUSVFJFHKPD-UHFFFAOYSA-N 1 2 311.385 1.956 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCO[C@H](C[NH2+]Cc3csnn3)C2)C1 ZINC001051814857 765185614 /nfs/dbraw/zinc/18/56/14/765185614.db2.gz LEKDBPIOZGQGDJ-CYBMUJFWSA-N 1 2 322.434 1.211 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)C1CN(Cc2ccc(C#N)s2)C1 ZINC001043978396 765201895 /nfs/dbraw/zinc/20/18/95/765201895.db2.gz WBXVQJYFACFOHS-UHFFFAOYSA-N 1 2 315.402 1.228 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccsc3)C2)CC1 ZINC001051975507 765335830 /nfs/dbraw/zinc/33/58/30/765335830.db2.gz SCFOTKYLSVQAOW-INIZCTEOSA-N 1 2 317.458 1.604 20 30 DDEDLO C=CCCC[NH+]1CCN(C(=O)C[C@@H]2CCCS2(=O)=O)CC1 ZINC001113158687 765349095 /nfs/dbraw/zinc/34/90/95/765349095.db2.gz HCUBURNCYUZJOU-AWEZNQCLSA-N 1 2 314.451 1.064 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc3c([nH]c2=O)CCOC3)C1 ZINC001044166646 765359286 /nfs/dbraw/zinc/35/92/86/765359286.db2.gz WOAKTBRCCQBAMQ-UHFFFAOYSA-N 1 2 317.389 1.192 20 30 DDEDLO CC[N@@H+]1CCN([C@H]2CCCN(C(=O)C#CC(C)(C)C)C2)C(=O)C1 ZINC001131711348 768288810 /nfs/dbraw/zinc/28/88/10/768288810.db2.gz DSUFQUXKJGDAOL-HNNXBMFYSA-N 1 2 319.449 1.191 20 30 DDEDLO CC[N@H+]1CCN([C@H]2CCCN(C(=O)C#CC(C)(C)C)C2)C(=O)C1 ZINC001131711348 768288815 /nfs/dbraw/zinc/28/88/15/768288815.db2.gz DSUFQUXKJGDAOL-HNNXBMFYSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C3CC(C)(C)C3)C2)CC1 ZINC001052021264 765394022 /nfs/dbraw/zinc/39/40/22/765394022.db2.gz AZZPCXRWNDEJRH-KRWDZBQOSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3cc[nH]c3CC)C2)CC1 ZINC001052022657 765396034 /nfs/dbraw/zinc/39/60/34/765396034.db2.gz NPMROFMZQDUXQG-HNNXBMFYSA-N 1 2 314.433 1.042 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C3CC4(CC4)C3)C2)CC1 ZINC001052082575 765456140 /nfs/dbraw/zinc/45/61/40/765456140.db2.gz UWKRWZIARMBBGV-KRWDZBQOSA-N 1 2 317.477 1.971 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3C[C@H]3CC)C2)CC1 ZINC001052089896 765462105 /nfs/dbraw/zinc/46/21/05/765462105.db2.gz VJIMZSPNKKJYIK-IXDOHACOSA-N 1 2 303.450 1.274 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3CC34CCC4)C2)CC1 ZINC001052091808 765463151 /nfs/dbraw/zinc/46/31/51/765463151.db2.gz MJUIPZBTSFMFHT-IRXDYDNUSA-N 1 2 317.477 1.971 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H](C)C3CCCC3)C2)CC1 ZINC001052106070 765475997 /nfs/dbraw/zinc/47/59/97/765475997.db2.gz AMBQMQGFQZJLTB-FUHWJXTLSA-N 1 2 317.477 1.664 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cc(CC)on3)C2)CC1 ZINC001052110020 765478534 /nfs/dbraw/zinc/47/85/34/765478534.db2.gz KDGJTGYGLLBXRH-CQSZACIVSA-N 1 2 318.421 1.255 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C2CCCCC2)C[N@@H+]1CC(=O)NCC#N ZINC001131747725 768321271 /nfs/dbraw/zinc/32/12/71/768321271.db2.gz NJMOJSCOVGRIMT-HIFRSBDPSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C2CCCCC2)C[N@H+]1CC(=O)NCC#N ZINC001131747725 768321276 /nfs/dbraw/zinc/32/12/76/768321276.db2.gz NJMOJSCOVGRIMT-HIFRSBDPSA-N 1 2 320.437 1.176 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCOCC3CC3)CC2)cn1 ZINC001113559140 765864117 /nfs/dbraw/zinc/86/41/17/765864117.db2.gz FPBXUJMBWWZWFP-UHFFFAOYSA-N 1 2 313.401 1.247 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CNC(=O)c2cccc(C)c2)CC1 ZINC001113605369 765925568 /nfs/dbraw/zinc/92/55/68/765925568.db2.gz YTWSRXYNXDLOKF-UHFFFAOYSA-N 1 2 301.390 1.055 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]1C[NH2+]Cc1nc(C2CC2)no1 ZINC001044962951 765972647 /nfs/dbraw/zinc/97/26/47/765972647.db2.gz SMLVNIFCVDATFP-JQWIXIFHSA-N 1 2 303.366 1.187 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC[C@H]2C[NH2+]Cc2cnsn2)c[nH]1 ZINC001045001921 766018820 /nfs/dbraw/zinc/01/88/20/766018820.db2.gz CIYVVYJSBIOJJX-ZDUSSCGKSA-N 1 2 316.390 1.132 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1C[NH2+]Cc1nc(CC)no1 ZINC001045147015 766113871 /nfs/dbraw/zinc/11/38/71/766113871.db2.gz DCHAYHNSEBNOAM-LBPRGKRZSA-N 1 2 306.410 1.925 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CCN(c2cc(C)ncc2C#N)C1 ZINC001058413441 766504775 /nfs/dbraw/zinc/50/47/75/766504775.db2.gz UZALWAOFORKBMW-AWEZNQCLSA-N 1 2 324.388 1.231 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC4CC4)no3)C[C@H]21 ZINC001114025808 766556355 /nfs/dbraw/zinc/55/63/55/766556355.db2.gz QROKNIFKJXQLMA-LAQFHYBYSA-N 1 2 316.405 1.535 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC4CC4)no3)C[C@H]21 ZINC001114025808 766556360 /nfs/dbraw/zinc/55/63/60/766556360.db2.gz QROKNIFKJXQLMA-LAQFHYBYSA-N 1 2 316.405 1.535 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccc(C#N)cn1 ZINC001067550839 766611097 /nfs/dbraw/zinc/61/10/97/766611097.db2.gz YOZDHEKVJMWZBN-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001114109346 766645259 /nfs/dbraw/zinc/64/52/59/766645259.db2.gz UCPXSQDHYMCEPN-QLPKVWCKSA-N 1 2 319.405 1.512 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001114109346 766645263 /nfs/dbraw/zinc/64/52/63/766645263.db2.gz UCPXSQDHYMCEPN-QLPKVWCKSA-N 1 2 319.405 1.512 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001114194976 766757237 /nfs/dbraw/zinc/75/72/37/766757237.db2.gz YIPKDBGLWIZTCR-OSRSDYAFSA-N 1 2 319.405 1.165 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001114194976 766757239 /nfs/dbraw/zinc/75/72/39/766757239.db2.gz YIPKDBGLWIZTCR-OSRSDYAFSA-N 1 2 319.405 1.165 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)c3cccc(F)c3)CC2)C1 ZINC001045998357 766782963 /nfs/dbraw/zinc/78/29/63/766782963.db2.gz GEPFSDHDVHIJKC-MRXNPFEDSA-N 1 2 316.380 1.181 20 30 DDEDLO CC#CCCCC(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067976900 766851186 /nfs/dbraw/zinc/85/11/86/766851186.db2.gz AIJWGVHDFRKCHE-UHFFFAOYSA-N 1 2 318.421 1.453 20 30 DDEDLO CCC1(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)CCC1 ZINC001046057105 766868580 /nfs/dbraw/zinc/86/85/80/766868580.db2.gz ZZTHDRHVLJHQNC-HNNXBMFYSA-N 1 2 304.438 1.309 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)[C@@H]3CC34CCCC4)CC2)C1 ZINC001046074875 766888964 /nfs/dbraw/zinc/88/89/64/766888964.db2.gz JFYJFHSBRRXQGV-CVEARBPZSA-N 1 2 316.449 1.309 20 30 DDEDLO Cn1ccc(C[N@@H+]2CC[C@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC001046223234 767205504 /nfs/dbraw/zinc/20/55/04/767205504.db2.gz JFBRBNYZQJWCGV-INIZCTEOSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1ccc(C[N@H+]2CC[C@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC001046223234 767205508 /nfs/dbraw/zinc/20/55/08/767205508.db2.gz JFBRBNYZQJWCGV-INIZCTEOSA-N 1 2 312.377 1.014 20 30 DDEDLO C[C@]1(NC(=O)c2c[nH]c(C#N)c2)CC[N@H+](Cc2nccs2)C1 ZINC001046252790 767302141 /nfs/dbraw/zinc/30/21/41/767302141.db2.gz KDBIWVZIMIAVDM-HNNXBMFYSA-N 1 2 315.402 1.737 20 30 DDEDLO C[C@]1(NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+](Cc2nccs2)C1 ZINC001046252790 767302146 /nfs/dbraw/zinc/30/21/46/767302146.db2.gz KDBIWVZIMIAVDM-HNNXBMFYSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001068514560 767391177 /nfs/dbraw/zinc/39/11/77/767391177.db2.gz DCTHOTQGOXVLGW-JOCQHMNTSA-N 1 2 310.361 1.226 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2ccon2)C1 ZINC001046328218 767426583 /nfs/dbraw/zinc/42/65/83/767426583.db2.gz WNZADJYQSARUIJ-VYDXJSESSA-N 1 2 319.405 1.736 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2ccon2)C1 ZINC001046328218 767426588 /nfs/dbraw/zinc/42/65/88/767426588.db2.gz WNZADJYQSARUIJ-VYDXJSESSA-N 1 2 319.405 1.736 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](Nc2ncccc2C#N)[C@@H]1C ZINC001068872423 767672221 /nfs/dbraw/zinc/67/22/21/767672221.db2.gz KOLBMNSDNXQOCV-GXTWGEPZSA-N 1 2 324.388 1.629 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)Cc1c[nH+]cn1C ZINC001068872906 767672285 /nfs/dbraw/zinc/67/22/85/767672285.db2.gz SOHAVFNCQFITHJ-DOMZBBRYSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2csc(=O)[nH]2)C1 ZINC001046561395 767690211 /nfs/dbraw/zinc/69/02/11/767690211.db2.gz FWLSFWIZEROTNM-GFCCVEGCSA-N 1 2 301.799 1.795 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2csc(=O)[nH]2)C1 ZINC001046561395 767690214 /nfs/dbraw/zinc/69/02/14/767690214.db2.gz FWLSFWIZEROTNM-GFCCVEGCSA-N 1 2 301.799 1.795 20 30 DDEDLO Cc1cc(N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@@H]2C)c(C#N)cn1 ZINC001068963580 767731612 /nfs/dbraw/zinc/73/16/12/767731612.db2.gz YGXRFEZLBWCVPP-DOMZBBRYSA-N 1 2 324.388 1.051 20 30 DDEDLO C=CCn1cc(C(=O)N[C@]2(C)CC[N@H+](CC(=C)Cl)C2)nn1 ZINC001046686776 767803360 /nfs/dbraw/zinc/80/33/60/767803360.db2.gz PUNRQZKJULBNBZ-CQSZACIVSA-N 1 2 309.801 1.411 20 30 DDEDLO C=CCn1cc(C(=O)N[C@]2(C)CC[N@@H+](CC(=C)Cl)C2)nn1 ZINC001046686776 767803368 /nfs/dbraw/zinc/80/33/68/767803368.db2.gz PUNRQZKJULBNBZ-CQSZACIVSA-N 1 2 309.801 1.411 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132017568 768574785 /nfs/dbraw/zinc/57/47/85/768574785.db2.gz QPXQLRJXRXKIPE-HOTGVXAUSA-N 1 2 321.465 1.531 20 30 DDEDLO CCCCNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132017568 768574789 /nfs/dbraw/zinc/57/47/89/768574789.db2.gz QPXQLRJXRXKIPE-HOTGVXAUSA-N 1 2 321.465 1.531 20 30 DDEDLO CC(C(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1)=C1CCC1 ZINC001132018020 768575248 /nfs/dbraw/zinc/57/52/48/768575248.db2.gz URUSQTVHFQBSTK-SWLSCSKDSA-N 1 2 318.421 1.096 20 30 DDEDLO CC(C(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1)=C1CCC1 ZINC001132018020 768575253 /nfs/dbraw/zinc/57/52/53/768575253.db2.gz URUSQTVHFQBSTK-SWLSCSKDSA-N 1 2 318.421 1.096 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001132018691 768576183 /nfs/dbraw/zinc/57/61/83/768576183.db2.gz YTOBLJXJTXQRJC-CABCVRRESA-N 1 2 302.422 1.549 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001132018691 768576186 /nfs/dbraw/zinc/57/61/86/768576186.db2.gz YTOBLJXJTXQRJC-CABCVRRESA-N 1 2 302.422 1.549 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCc2[nH+]ccn2C1)Nc1ccc(C#N)cn1 ZINC001098125340 768645810 /nfs/dbraw/zinc/64/58/10/768645810.db2.gz DFRHZDTUMBUTIH-GXTWGEPZSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CC2=CCCCC2)CC1 ZINC001070948324 768803644 /nfs/dbraw/zinc/80/36/44/768803644.db2.gz HLDKZADPBXZNKJ-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CC2=CCCCC2)CC1 ZINC001070948324 768803648 /nfs/dbraw/zinc/80/36/48/768803648.db2.gz HLDKZADPBXZNKJ-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO CCC(CC)CC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132391469 768813371 /nfs/dbraw/zinc/81/33/71/768813371.db2.gz AYBBAMAAAQPPJD-UKRRQHHQSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(CC)CC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132391469 768813378 /nfs/dbraw/zinc/81/33/78/768813378.db2.gz AYBBAMAAAQPPJD-UKRRQHHQSA-N 1 2 322.453 1.422 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H](C)C2CC2)CC1 ZINC001071023158 768889686 /nfs/dbraw/zinc/88/96/86/768889686.db2.gz RTNURLNQIQXTCQ-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H](C)C2CC2)CC1 ZINC001071023158 768889700 /nfs/dbraw/zinc/88/97/00/768889700.db2.gz RTNURLNQIQXTCQ-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)CS(=O)(=O)CCC)CC[C@@H]1C ZINC001132499147 768916261 /nfs/dbraw/zinc/91/62/61/768916261.db2.gz CLFVTAYZHWPTNK-KBPBESRZSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)CS(=O)(=O)CCC)CC[C@@H]1C ZINC001132499147 768916267 /nfs/dbraw/zinc/91/62/67/768916267.db2.gz CLFVTAYZHWPTNK-KBPBESRZSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)c1cc[nH]n1)C[C@H](C)O2 ZINC001071138787 769005113 /nfs/dbraw/zinc/00/51/13/769005113.db2.gz KNPIEOFYJMURJA-WFASDCNBSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)c1cc[nH]n1)C[C@H](C)O2 ZINC001071138787 769005125 /nfs/dbraw/zinc/00/51/25/769005125.db2.gz KNPIEOFYJMURJA-WFASDCNBSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@H](C)O2 ZINC001071138787 769005135 /nfs/dbraw/zinc/00/51/35/769005135.db2.gz KNPIEOFYJMURJA-WFASDCNBSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@H](C)O2 ZINC001071138787 769005149 /nfs/dbraw/zinc/00/51/49/769005149.db2.gz KNPIEOFYJMURJA-WFASDCNBSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001132667552 769075636 /nfs/dbraw/zinc/07/56/36/769075636.db2.gz NUUPPPDSIIECSZ-LLVKDONJSA-N 1 2 312.439 1.745 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc(=O)n(C)o2)CC[C@H]1C ZINC001071463583 769472779 /nfs/dbraw/zinc/47/27/79/769472779.db2.gz NUMWPROURLNQTG-GHMZBOCLSA-N 1 2 313.785 1.314 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc(=O)n(C)o2)CC[C@H]1C ZINC001071463583 769472783 /nfs/dbraw/zinc/47/27/83/769472783.db2.gz NUMWPROURLNQTG-GHMZBOCLSA-N 1 2 313.785 1.314 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096393801 769634385 /nfs/dbraw/zinc/63/43/85/769634385.db2.gz SCSCLTBEKWSIOX-UKRRQHHQSA-N 1 2 318.421 1.688 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc3n(n2)CCO3)CC[C@H]1C ZINC001071571807 769645810 /nfs/dbraw/zinc/64/58/10/769645810.db2.gz LZHSFFCCFVERCB-NEPJUHHUSA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc3n(n2)CCO3)CC[C@H]1C ZINC001071571807 769645814 /nfs/dbraw/zinc/64/58/14/769645814.db2.gz LZHSFFCCFVERCB-NEPJUHHUSA-N 1 2 324.812 1.611 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)CC[C@H]1C ZINC001071576816 769653988 /nfs/dbraw/zinc/65/39/88/769653988.db2.gz PTODOFRSICNPFF-MNOVXSKESA-N 1 2 308.813 1.841 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)CC[C@H]1C ZINC001071576816 769653990 /nfs/dbraw/zinc/65/39/90/769653990.db2.gz PTODOFRSICNPFF-MNOVXSKESA-N 1 2 308.813 1.841 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[NH2+][C@H](C)c1nc(COC)no1 ZINC001133380449 769761548 /nfs/dbraw/zinc/76/15/48/769761548.db2.gz GNFGTEYAEHPYRM-ABAIWWIYSA-N 1 2 310.398 1.585 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[C@@H](C)[N@@H+](Cc3cnnn3C)C2)C1 ZINC001071807152 770105784 /nfs/dbraw/zinc/10/57/84/770105784.db2.gz NOMVENLDIHTMIO-KGLIPLIRSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[C@@H](C)[N@H+](Cc3cnnn3C)C2)C1 ZINC001071807152 770105789 /nfs/dbraw/zinc/10/57/89/770105789.db2.gz NOMVENLDIHTMIO-KGLIPLIRSA-N 1 2 317.437 1.641 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001071981740 770379263 /nfs/dbraw/zinc/37/92/63/770379263.db2.gz QSJGITROFWBTGA-HIFRSBDPSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001071981740 770379276 /nfs/dbraw/zinc/37/92/76/770379276.db2.gz QSJGITROFWBTGA-HIFRSBDPSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCN1C[C@H](NC(=O)c2c[nH+]ccc2N(C)C)CC[C@H]1C ZINC001071999038 770411242 /nfs/dbraw/zinc/41/12/42/770411242.db2.gz MDQDQZUUGJFMST-ZIAGYGMSSA-N 1 2 300.406 1.364 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(N)=O)cs2)CC[C@H]1C ZINC001072098465 770540031 /nfs/dbraw/zinc/54/00/31/770540031.db2.gz BYSZQMAJWPOARS-PWSUYJOCSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(N)=O)cs2)CC[C@H]1C ZINC001072098465 770540039 /nfs/dbraw/zinc/54/00/39/770540039.db2.gz BYSZQMAJWPOARS-PWSUYJOCSA-N 1 2 305.403 1.063 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)no1 ZINC001049382069 770762714 /nfs/dbraw/zinc/76/27/14/770762714.db2.gz MNVFPHSVEKLGEN-TUKIKUTGSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)no1 ZINC001049382069 770762719 /nfs/dbraw/zinc/76/27/19/770762719.db2.gz MNVFPHSVEKLGEN-TUKIKUTGSA-N 1 2 302.378 1.708 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnn(CC)n1 ZINC001049455359 770864219 /nfs/dbraw/zinc/86/42/19/770864219.db2.gz CSAIURXCGSJHQR-ZIAGYGMSSA-N 1 2 323.828 1.729 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnn(CC)n1 ZINC001049455359 770864230 /nfs/dbraw/zinc/86/42/30/770864230.db2.gz CSAIURXCGSJHQR-ZIAGYGMSSA-N 1 2 323.828 1.729 20 30 DDEDLO C[C@H](CC(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#N)n1cc[nH+]c1 ZINC001049758031 771136792 /nfs/dbraw/zinc/13/67/92/771136792.db2.gz FVLBMFQQBDBYKS-KFWWJZLASA-N 1 2 301.394 1.423 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891219 771237593 /nfs/dbraw/zinc/23/75/93/771237593.db2.gz DRQQZHNUVMEJJV-JKSUJKDBSA-N 1 2 309.373 1.270 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891219 771237599 /nfs/dbraw/zinc/23/75/99/771237599.db2.gz DRQQZHNUVMEJJV-JKSUJKDBSA-N 1 2 309.373 1.270 20 30 DDEDLO Cc1ccnc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001049998567 771352581 /nfs/dbraw/zinc/35/25/81/771352581.db2.gz HMVVKCXIEWNYLQ-VHDGCEQUSA-N 1 2 313.405 1.368 20 30 DDEDLO Cc1ccnc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001049998567 771352589 /nfs/dbraw/zinc/35/25/89/771352589.db2.gz HMVVKCXIEWNYLQ-VHDGCEQUSA-N 1 2 313.405 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)c1cnn(C)c1)C2 ZINC001096696956 771423260 /nfs/dbraw/zinc/42/32/60/771423260.db2.gz RQAHILUIORKIKR-ATGSNQNLSA-N 1 2 322.840 1.998 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)c1cnn(C)c1)C2 ZINC001096696956 771423262 /nfs/dbraw/zinc/42/32/62/771423262.db2.gz RQAHILUIORKIKR-ATGSNQNLSA-N 1 2 322.840 1.998 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2occc2C)[C@H](O)C1 ZINC001090551406 771984256 /nfs/dbraw/zinc/98/42/56/771984256.db2.gz YYGVGKWVMNHIIL-CHWSQXEVSA-N 1 2 312.797 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2occc2C)[C@H](O)C1 ZINC001090551406 771984259 /nfs/dbraw/zinc/98/42/59/771984259.db2.gz YYGVGKWVMNHIIL-CHWSQXEVSA-N 1 2 312.797 1.434 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ocnc2CC)[C@H](O)C1 ZINC001090562097 771990322 /nfs/dbraw/zinc/99/03/22/771990322.db2.gz HAUWFVZWHSJXTP-VXGBXAGGSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ocnc2CC)[C@H](O)C1 ZINC001090562097 771990326 /nfs/dbraw/zinc/99/03/26/771990326.db2.gz HAUWFVZWHSJXTP-VXGBXAGGSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)nc(C)n2)[C@H](O)C1 ZINC001090644734 772069246 /nfs/dbraw/zinc/06/92/46/772069246.db2.gz QRMAXDDCCIXXSD-TZMCWYRMSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)nc(C)n2)[C@H](O)C1 ZINC001090644734 772069253 /nfs/dbraw/zinc/06/92/53/772069253.db2.gz QRMAXDDCCIXXSD-TZMCWYRMSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c[nH]nc2C2CC2)[C@H](O)C1 ZINC001090695115 772117979 /nfs/dbraw/zinc/11/79/79/772117979.db2.gz FWCZJBUDVSKRIO-QWHCGFSZSA-N 1 2 324.812 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c[nH]nc2C2CC2)[C@H](O)C1 ZINC001090695115 772117981 /nfs/dbraw/zinc/11/79/81/772117981.db2.gz FWCZJBUDVSKRIO-QWHCGFSZSA-N 1 2 324.812 1.205 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001090733486 772145861 /nfs/dbraw/zinc/14/58/61/772145861.db2.gz PMFGBGVGOIRXPL-YRGRVCCFSA-N 1 2 304.394 1.317 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(F)s2)[C@@H](O)C1 ZINC001090841463 772259114 /nfs/dbraw/zinc/25/91/14/772259114.db2.gz PMJYBIJSQRXCBD-ZJUUUORDSA-N 1 2 318.801 1.805 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(F)s2)[C@@H](O)C1 ZINC001090841463 772259116 /nfs/dbraw/zinc/25/91/16/772259116.db2.gz PMJYBIJSQRXCBD-ZJUUUORDSA-N 1 2 318.801 1.805 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091480036 772782280 /nfs/dbraw/zinc/78/22/80/772782280.db2.gz DXJJVPFWPPGWAY-MRVWCRGKSA-N 1 2 302.378 1.130 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nc(C)no1)C2 ZINC001147256167 773081796 /nfs/dbraw/zinc/08/17/96/773081796.db2.gz NAKRLTCCIBVUSV-LBPRGKRZSA-N 1 2 320.393 1.176 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nc(C)no1)C2 ZINC001147256167 773081799 /nfs/dbraw/zinc/08/17/99/773081799.db2.gz NAKRLTCCIBVUSV-LBPRGKRZSA-N 1 2 320.393 1.176 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccns2)C1 ZINC001073625442 773234664 /nfs/dbraw/zinc/23/46/64/773234664.db2.gz FWKFKVHNEKDTDX-NSHDSACASA-N 1 2 315.826 1.716 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccns2)C1 ZINC001073625442 773234669 /nfs/dbraw/zinc/23/46/69/773234669.db2.gz FWKFKVHNEKDTDX-NSHDSACASA-N 1 2 315.826 1.716 20 30 DDEDLO C#CCCCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001148059938 773333502 /nfs/dbraw/zinc/33/35/02/773333502.db2.gz RMVURQVYMPIKMW-UHFFFAOYSA-N 1 2 316.405 1.688 20 30 DDEDLO C#CCCCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001148059938 773333511 /nfs/dbraw/zinc/33/35/11/773333511.db2.gz RMVURQVYMPIKMW-UHFFFAOYSA-N 1 2 316.405 1.688 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691729 773390590 /nfs/dbraw/zinc/39/05/90/773390590.db2.gz XHDPSUJJTBPLMC-WZRBSPASSA-N 1 2 306.303 1.690 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691729 773390599 /nfs/dbraw/zinc/39/05/99/773390599.db2.gz XHDPSUJJTBPLMC-WZRBSPASSA-N 1 2 306.303 1.690 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691729 773390607 /nfs/dbraw/zinc/39/06/07/773390607.db2.gz XHDPSUJJTBPLMC-WZRBSPASSA-N 1 2 306.303 1.690 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691729 773390614 /nfs/dbraw/zinc/39/06/14/773390614.db2.gz XHDPSUJJTBPLMC-WZRBSPASSA-N 1 2 306.303 1.690 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ncccn1 ZINC001073973039 773566460 /nfs/dbraw/zinc/56/64/60/773566460.db2.gz OHOVMRNVEJKGGT-ZIAGYGMSSA-N 1 2 301.394 1.449 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ncccn1 ZINC001073973039 773566466 /nfs/dbraw/zinc/56/64/66/773566466.db2.gz OHOVMRNVEJKGGT-ZIAGYGMSSA-N 1 2 301.394 1.449 20 30 DDEDLO C[N@@H+](Cc1ccon1)C[C@H]1CCCCN1C(=O)C#CC1CC1 ZINC001074012638 773585571 /nfs/dbraw/zinc/58/55/71/773585571.db2.gz FTHHCBPUHGRFCO-MRXNPFEDSA-N 1 2 301.390 1.901 20 30 DDEDLO C[N@H+](Cc1ccon1)C[C@H]1CCCCN1C(=O)C#CC1CC1 ZINC001074012638 773585574 /nfs/dbraw/zinc/58/55/74/773585574.db2.gz FTHHCBPUHGRFCO-MRXNPFEDSA-N 1 2 301.390 1.901 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1coc(C)n1)C2 ZINC001148881355 773636495 /nfs/dbraw/zinc/63/64/95/773636495.db2.gz QMXMTEXGYFFRQZ-ZDUSSCGKSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1coc(C)n1)C2 ZINC001148881355 773636498 /nfs/dbraw/zinc/63/64/98/773636498.db2.gz QMXMTEXGYFFRQZ-ZDUSSCGKSA-N 1 2 319.405 1.608 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3OCCCO3)C[C@H]21 ZINC001074222809 773748152 /nfs/dbraw/zinc/74/81/52/773748152.db2.gz NKMANOOLOWSMLH-HUUCEWRRSA-N 1 2 324.421 1.017 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC3OCCCO3)C[C@H]21 ZINC001074222809 773748153 /nfs/dbraw/zinc/74/81/53/773748153.db2.gz NKMANOOLOWSMLH-HUUCEWRRSA-N 1 2 324.421 1.017 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@@H]21 ZINC001074320063 773820785 /nfs/dbraw/zinc/82/07/85/773820785.db2.gz WNICXTRXQZEJDG-BIVLZKPYSA-N 1 2 304.434 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@@H]21 ZINC001074320063 773820786 /nfs/dbraw/zinc/82/07/86/773820786.db2.gz WNICXTRXQZEJDG-BIVLZKPYSA-N 1 2 304.434 1.910 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C3CC3)C[C@@H]21 ZINC001074321301 773822128 /nfs/dbraw/zinc/82/21/28/773822128.db2.gz HQTGLFXVEJNXOL-HOTGVXAUSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C3CC3)C[C@@H]21 ZINC001074321301 773822134 /nfs/dbraw/zinc/82/21/34/773822134.db2.gz HQTGLFXVEJNXOL-HOTGVXAUSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(Cl)[nH]3)C[C@H]21 ZINC001074336732 773834400 /nfs/dbraw/zinc/83/44/00/773834400.db2.gz VOSHZCHQSJLMKW-ZIAGYGMSSA-N 1 2 321.808 1.607 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(Cl)[nH]3)C[C@H]21 ZINC001074336732 773834405 /nfs/dbraw/zinc/83/44/05/773834405.db2.gz VOSHZCHQSJLMKW-ZIAGYGMSSA-N 1 2 321.808 1.607 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cnoc3CC)C[C@H]21 ZINC001074348020 773844457 /nfs/dbraw/zinc/84/44/57/773844457.db2.gz GJCUQRVGBTZOSK-GDBMZVCRSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cnoc3CC)C[C@H]21 ZINC001074348020 773844459 /nfs/dbraw/zinc/84/44/59/773844459.db2.gz GJCUQRVGBTZOSK-GDBMZVCRSA-N 1 2 319.405 1.728 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3[nH]cnc3C)C[C@H]21 ZINC001074392867 773887204 /nfs/dbraw/zinc/88/72/04/773887204.db2.gz QNYSHRBBDYJPOE-CVEARBPZSA-N 1 2 318.421 1.138 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3[nH]cnc3C)C[C@H]21 ZINC001074392867 773887213 /nfs/dbraw/zinc/88/72/13/773887213.db2.gz QNYSHRBBDYJPOE-CVEARBPZSA-N 1 2 318.421 1.138 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCc2[nH]cc[nH+]2)CCN1c1ccc(C#N)nc1 ZINC001091958590 773898056 /nfs/dbraw/zinc/89/80/56/773898056.db2.gz WNFJORDMJCHQLS-DOMZBBRYSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)nc1)c1nccn12 ZINC001092345146 774065901 /nfs/dbraw/zinc/06/59/01/774065901.db2.gz LNIFHTITBIHJLK-OAHLLOKOSA-N 1 2 323.400 1.658 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC001093125448 774414670 /nfs/dbraw/zinc/41/46/70/774414670.db2.gz SARORYJNIRGCMS-ZIAGYGMSSA-N 1 2 324.388 1.089 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C(N)=O)cs1)C2 ZINC001098469885 774588780 /nfs/dbraw/zinc/58/87/80/774588780.db2.gz ZYRHYAAMTHKISX-UPJWGTAASA-N 1 2 317.414 1.205 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C(N)=O)cs1)C2 ZINC001098469885 774588784 /nfs/dbraw/zinc/58/87/84/774588784.db2.gz ZYRHYAAMTHKISX-UPJWGTAASA-N 1 2 317.414 1.205 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3=CCCC3)C2)nn1 ZINC001098652466 774632277 /nfs/dbraw/zinc/63/22/77/774632277.db2.gz MBKVITFNHDUURC-MRXNPFEDSA-N 1 2 313.405 1.275 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C[C@@H]3CCOC3)CC2)C1 ZINC001093518857 774769846 /nfs/dbraw/zinc/76/98/46/774769846.db2.gz BCBKISCCKQLEBL-HNNXBMFYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@@H]3CCCCO3)CC2)C1 ZINC001093524605 774776154 /nfs/dbraw/zinc/77/61/54/774776154.db2.gz BWZWXXLTSXBBNG-INIZCTEOSA-N 1 2 322.449 1.823 20 30 DDEDLO Cc1nc(NCCN(CCO)C(=O)C#CC(C)(C)C)cc[nH+]1 ZINC001111464674 774794789 /nfs/dbraw/zinc/79/47/89/774794789.db2.gz HHPTUASQDAFBIH-UHFFFAOYSA-N 1 2 304.394 1.067 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001099157063 774869018 /nfs/dbraw/zinc/86/90/18/774869018.db2.gz CYMMHTXRSDQNGN-GUYCJALGSA-N 1 2 309.373 1.175 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001099157063 774869030 /nfs/dbraw/zinc/86/90/30/774869030.db2.gz CYMMHTXRSDQNGN-GUYCJALGSA-N 1 2 309.373 1.175 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3CCO[C@@H]3C)CC2)C1 ZINC001093588537 774869528 /nfs/dbraw/zinc/86/95/28/774869528.db2.gz PXVOMQKONZYPJT-PBHICJAKSA-N 1 2 322.449 1.679 20 30 DDEDLO C[C@@H](CCNC(=O)Cc1c[nH+]cn1C)Nc1ccc(C#N)cn1 ZINC001099314092 774942659 /nfs/dbraw/zinc/94/26/59/774942659.db2.gz KXBVZIUJWNOEPP-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CSC(C)C)[C@H](O)C1 ZINC001099678239 775129960 /nfs/dbraw/zinc/12/99/60/775129960.db2.gz IDOBFGIHVXJLIM-VXGBXAGGSA-N 1 2 306.859 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CSC(C)C)[C@H](O)C1 ZINC001099678239 775129965 /nfs/dbraw/zinc/12/99/65/775129965.db2.gz IDOBFGIHVXJLIM-VXGBXAGGSA-N 1 2 306.859 1.432 20 30 DDEDLO C=C(C)CCC(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001093906110 775241873 /nfs/dbraw/zinc/24/18/73/775241873.db2.gz LSFSLWMKJVEFMP-UHFFFAOYSA-N 1 2 303.410 1.349 20 30 DDEDLO C=C(C)CCC(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001093906110 775241878 /nfs/dbraw/zinc/24/18/78/775241878.db2.gz LSFSLWMKJVEFMP-UHFFFAOYSA-N 1 2 303.410 1.349 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001099765199 775242718 /nfs/dbraw/zinc/24/27/18/775242718.db2.gz MRBWATJRNBWSIT-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001099765199 775242724 /nfs/dbraw/zinc/24/27/24/775242724.db2.gz MRBWATJRNBWSIT-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099806733 775304604 /nfs/dbraw/zinc/30/46/04/775304604.db2.gz FUDFKTZGPNGGFL-JKSUJKDBSA-N 1 2 319.380 1.076 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099806733 775304615 /nfs/dbraw/zinc/30/46/15/775304615.db2.gz FUDFKTZGPNGGFL-JKSUJKDBSA-N 1 2 319.380 1.076 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001099827560 775335060 /nfs/dbraw/zinc/33/50/60/775335060.db2.gz XKPSWNYHAZRBLC-MSOLQXFVSA-N 1 2 323.396 1.023 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001099827560 775335069 /nfs/dbraw/zinc/33/50/69/775335069.db2.gz XKPSWNYHAZRBLC-MSOLQXFVSA-N 1 2 323.396 1.023 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C(C)C)CC2)[C@H](O)C1 ZINC001099996788 775544413 /nfs/dbraw/zinc/54/44/13/775544413.db2.gz OYXQUWZIEKEGTN-CHWSQXEVSA-N 1 2 300.830 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C(C)C)CC2)[C@H](O)C1 ZINC001099996788 775544420 /nfs/dbraw/zinc/54/44/20/775544420.db2.gz OYXQUWZIEKEGTN-CHWSQXEVSA-N 1 2 300.830 1.727 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccc(C)o3)nn2)C1 ZINC001094272704 775618167 /nfs/dbraw/zinc/61/81/67/775618167.db2.gz CTTKZXYFMXDBAI-UHFFFAOYSA-N 1 2 301.350 1.152 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3ccoc3C)nn2)C1 ZINC001094273967 775621571 /nfs/dbraw/zinc/62/15/71/775621571.db2.gz BHVQFVQMUSQSQI-UHFFFAOYSA-N 1 2 315.377 1.542 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCC2(C)CC2)[C@@H](O)C1 ZINC001100060658 775632195 /nfs/dbraw/zinc/63/21/95/775632195.db2.gz WOCAHRLBXCWINK-OLZOCXBDSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCC2(C)CC2)[C@@H](O)C1 ZINC001100060658 775632201 /nfs/dbraw/zinc/63/22/01/775632201.db2.gz WOCAHRLBXCWINK-OLZOCXBDSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3=COCCC3)nn2)C1 ZINC001094280130 775633626 /nfs/dbraw/zinc/63/36/26/775633626.db2.gz ZPARDPSQNYPDPB-UHFFFAOYSA-N 1 2 317.393 1.021 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)C(C)(F)F)[C@@H](O)C1 ZINC001100089735 775665744 /nfs/dbraw/zinc/66/57/44/775665744.db2.gz ISGKKTJPDUPWJR-QWRGUYRKSA-N 1 2 324.799 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)C(C)(F)F)[C@@H](O)C1 ZINC001100089735 775665747 /nfs/dbraw/zinc/66/57/47/775665747.db2.gz ISGKKTJPDUPWJR-QWRGUYRKSA-N 1 2 324.799 1.972 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC[C@H]4C[C@H]4C3)nn2)C1 ZINC001094300871 775704677 /nfs/dbraw/zinc/70/46/77/775704677.db2.gz VDVPXDRSBSHFJR-MJBXVCDLSA-N 1 2 315.421 1.373 20 30 DDEDLO Cc1nc(NCCCNC(=O)Cc2c[nH+]cn2C)ccc1C#N ZINC001094504618 775941064 /nfs/dbraw/zinc/94/10/64/775941064.db2.gz YYQUEDPBVGLOJD-UHFFFAOYSA-N 1 2 312.377 1.156 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3ccoc3CC)CC2=O)C1 ZINC001094730274 776232429 /nfs/dbraw/zinc/23/24/29/776232429.db2.gz WFEJNNZISIVGLK-LBPRGKRZSA-N 1 2 317.389 1.043 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3cc(C)cs3)CC2=O)C1 ZINC001094735543 776237640 /nfs/dbraw/zinc/23/76/40/776237640.db2.gz RECLMVHVXPXHJX-GFCCVEGCSA-N 1 2 319.430 1.257 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1[C@H]1CCCN(C(=O)c2ccccc2)CC1 ZINC001171886788 776505327 /nfs/dbraw/zinc/50/53/27/776505327.db2.gz DOQOPTCVWFJYHR-IRXDYDNUSA-N 1 2 312.417 1.479 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H]1CNC(=O)CCc1[nH]cc[nH+]1 ZINC001100823243 776598110 /nfs/dbraw/zinc/59/81/10/776598110.db2.gz GEYHYFMMRIWWCB-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2CNC(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001100858895 776641392 /nfs/dbraw/zinc/64/13/92/776641392.db2.gz GVNQUYCDKZIWFK-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO Cc1nc(N2CCC[C@H]2CNC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001100910432 776695103 /nfs/dbraw/zinc/69/51/03/776695103.db2.gz JVXLWSMLPUPGRZ-HNNXBMFYSA-N 1 2 324.388 1.313 20 30 DDEDLO N#CCNC1CC(CNC(=O)C[N@@H+]2CCc3sccc3C2)C1 ZINC001101024855 776832863 /nfs/dbraw/zinc/83/28/63/776832863.db2.gz JHKMOLCGNNBPFK-UHFFFAOYSA-N 1 2 318.446 1.114 20 30 DDEDLO N#CCNC1CC(CNC(=O)C[N@H+]2CCc3sccc3C2)C1 ZINC001101024855 776832875 /nfs/dbraw/zinc/83/28/75/776832875.db2.gz JHKMOLCGNNBPFK-UHFFFAOYSA-N 1 2 318.446 1.114 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H]([NH2+]Cc2ncccn2)C[C@H]1C#N ZINC001172967249 776945747 /nfs/dbraw/zinc/94/57/47/776945747.db2.gz VEAZIHLICZJIHO-OLZOCXBDSA-N 1 2 317.393 1.858 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001095172113 777196887 /nfs/dbraw/zinc/19/68/87/777196887.db2.gz JNUYOMKUAPJZEG-CQSZACIVSA-N 1 2 318.421 1.805 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)/C(C)=C\CC)c1nccn12 ZINC001101614331 777306620 /nfs/dbraw/zinc/30/66/20/777306620.db2.gz RYTRNYOTBLJRJZ-XMRSSTEGSA-N 1 2 300.406 1.997 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)C(C)C)c1nccn12 ZINC001101645520 777343241 /nfs/dbraw/zinc/34/32/41/777343241.db2.gz CRYNXUGDQWCEIR-LSDHHAIUSA-N 1 2 314.433 1.770 20 30 DDEDLO N#Cc1cc(Nc2cnn(CC[NH+]3CCOCC3)c2)cs1 ZINC001174491509 777485295 /nfs/dbraw/zinc/48/52/95/777485295.db2.gz RWDFWBSSBSHHJD-UHFFFAOYSA-N 1 2 303.391 1.892 20 30 DDEDLO CC(C)c1nnc(C[N@H+]2C[C@@H](CNC(=O)[C@H](C)C#N)[C@H](C)C2)[nH]1 ZINC001101822434 777567361 /nfs/dbraw/zinc/56/73/61/777567361.db2.gz OTAUQEBWYNUPHY-JHJVBQTASA-N 1 2 318.425 1.272 20 30 DDEDLO CC(C)c1nnc(C[N@@H+]2C[C@@H](CNC(=O)[C@H](C)C#N)[C@H](C)C2)[nH]1 ZINC001101822434 777567367 /nfs/dbraw/zinc/56/73/67/777567367.db2.gz OTAUQEBWYNUPHY-JHJVBQTASA-N 1 2 318.425 1.272 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101898720 777659010 /nfs/dbraw/zinc/65/90/10/777659010.db2.gz ZJASGKWEOOUVRV-GFCCVEGCSA-N 1 2 316.409 1.836 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@H](CNC(=O)C#CC(C)(C)C)[C@H](C)C2)[nH]1 ZINC001101954943 777729120 /nfs/dbraw/zinc/72/91/20/777729120.db2.gz KZCMXDDZBZTSCR-TZMCWYRMSA-N 1 2 317.437 1.347 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@H](CNC(=O)C#CC(C)(C)C)[C@H](C)C2)[nH]1 ZINC001101954943 777729128 /nfs/dbraw/zinc/72/91/28/777729128.db2.gz KZCMXDDZBZTSCR-TZMCWYRMSA-N 1 2 317.437 1.347 20 30 DDEDLO C=CCOCC[NH2+]CCCC[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001176351416 778131952 /nfs/dbraw/zinc/13/19/52/778131952.db2.gz SXOOYEBRGDLXFT-CQSZACIVSA-N 1 2 322.453 1.728 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CC=CC3)C[C@H]21 ZINC001176970815 778357989 /nfs/dbraw/zinc/35/79/89/778357989.db2.gz YUAIAQDQBHPECC-SJORKVTESA-N 1 2 320.433 1.457 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CC=CC3)C[C@H]21 ZINC001176970815 778357995 /nfs/dbraw/zinc/35/79/95/778357995.db2.gz YUAIAQDQBHPECC-SJORKVTESA-N 1 2 320.433 1.457 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]2OCC[N@@H+](CC=C(C)C)[C@H]2C1 ZINC001177013189 778391467 /nfs/dbraw/zinc/39/14/67/778391467.db2.gz PFTUTYJQICWLNW-IRXDYDNUSA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]2OCC[N@H+](CC=C(C)C)[C@H]2C1 ZINC001177013189 778391474 /nfs/dbraw/zinc/39/14/74/778391474.db2.gz PFTUTYJQICWLNW-IRXDYDNUSA-N 1 2 320.433 1.294 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCO)[C@H]2C1 ZINC001177018087 778394379 /nfs/dbraw/zinc/39/43/79/778394379.db2.gz ARFAADQHNRNQCB-HOTGVXAUSA-N 1 2 308.422 1.049 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]2OCC[N@H+](CCO)[C@H]2C1 ZINC001177018087 778394384 /nfs/dbraw/zinc/39/43/84/778394384.db2.gz ARFAADQHNRNQCB-HOTGVXAUSA-N 1 2 308.422 1.049 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)COC)C[C@@H]21 ZINC001177047384 778408681 /nfs/dbraw/zinc/40/86/81/778408681.db2.gz DQZAQBJHSILKIW-LSDHHAIUSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)COC)C[C@@H]21 ZINC001177047384 778408683 /nfs/dbraw/zinc/40/86/83/778408683.db2.gz DQZAQBJHSILKIW-LSDHHAIUSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2OCC[N@@H+](CC=C(C)C)[C@H]2C1 ZINC001177163486 778470009 /nfs/dbraw/zinc/47/00/09/778470009.db2.gz UELVSLQXNUOEPP-DLBZAZTESA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2OCC[N@H+](CC=C(C)C)[C@H]2C1 ZINC001177163486 778470012 /nfs/dbraw/zinc/47/00/12/778470012.db2.gz UELVSLQXNUOEPP-DLBZAZTESA-N 1 2 322.449 1.847 20 30 DDEDLO CC(C)(C)[C@H](C[NH+]1CCOCC1)NC(=O)C#Cc1ccccn1 ZINC001177806937 778744293 /nfs/dbraw/zinc/74/42/93/778744293.db2.gz GAEPFNYEULZCBV-INIZCTEOSA-N 1 2 315.417 1.296 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)Cc2ccc[nH]2)C1 ZINC001150738800 778765030 /nfs/dbraw/zinc/76/50/30/778765030.db2.gz SCKZCXXDMZLCQF-AWEZNQCLSA-N 1 2 311.813 1.517 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)Cc2ccc[nH]2)C1 ZINC001150738800 778765034 /nfs/dbraw/zinc/76/50/34/778765034.db2.gz SCKZCXXDMZLCQF-AWEZNQCLSA-N 1 2 311.813 1.517 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001177997800 778807310 /nfs/dbraw/zinc/80/73/10/778807310.db2.gz JHOSMLDNNBGZIX-LBPRGKRZSA-N 1 2 306.366 1.246 20 30 DDEDLO N#Cc1cccnc1NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCCC1 ZINC001103440183 778868851 /nfs/dbraw/zinc/86/88/51/778868851.db2.gz PCTDZTVYPMNBML-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1(CNc2cc[nH+]c(C)n2)CCCC1 ZINC001103442359 778869372 /nfs/dbraw/zinc/86/93/72/778869372.db2.gz NSKGYOJQBYAYTQ-CYBMUJFWSA-N 1 2 316.405 1.664 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001111839233 779508652 /nfs/dbraw/zinc/50/86/52/779508652.db2.gz AZTMZUDXVQNPCU-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)[C@@H]1C ZINC001180253917 779677714 /nfs/dbraw/zinc/67/77/14/779677714.db2.gz OGHPSSAVLFAMRU-AAEUAGOBSA-N 1 2 308.382 1.050 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001112401011 779759532 /nfs/dbraw/zinc/75/95/32/779759532.db2.gz VFBKVUFQXJCDFA-TZMCWYRMSA-N 1 2 304.394 1.319 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csc(C)n3)C[C@H]21 ZINC001115331744 780044918 /nfs/dbraw/zinc/04/49/18/780044918.db2.gz CSSWQWJXERRZQZ-BSLXNSKLSA-N 1 2 321.446 1.589 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csc(C)n3)C[C@H]21 ZINC001115331744 780044925 /nfs/dbraw/zinc/04/49/25/780044925.db2.gz CSSWQWJXERRZQZ-BSLXNSKLSA-N 1 2 321.446 1.589 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001115332014 780045723 /nfs/dbraw/zinc/04/57/23/780045723.db2.gz HWYINWOFSZWJON-TTZDDIAXSA-N 1 2 319.405 1.429 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001115332014 780045738 /nfs/dbraw/zinc/04/57/38/780045738.db2.gz HWYINWOFSZWJON-TTZDDIAXSA-N 1 2 319.405 1.429 20 30 DDEDLO Cc1nc(NCCCOCCNC(=O)C#CC(C)(C)C)cc[nH+]1 ZINC001115409231 780111405 /nfs/dbraw/zinc/11/14/05/780111405.db2.gz ZCQOKFXUIOWURY-UHFFFAOYSA-N 1 2 318.421 1.769 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001115647740 780302913 /nfs/dbraw/zinc/30/29/13/780302913.db2.gz UAZUDAUTYSXMPL-LLVKDONJSA-N 1 2 306.414 1.471 20 30 DDEDLO C=CCn1cnn(C[N@H+]2CC[C@H](Cc3cnn(C)c3)C2)c1=S ZINC001116196556 780483315 /nfs/dbraw/zinc/48/33/15/780483315.db2.gz ZHHHCZRSQXMMNW-CYBMUJFWSA-N 1 2 318.450 1.856 20 30 DDEDLO C=CCn1cnn(C[N@@H+]2CC[C@H](Cc3cnn(C)c3)C2)c1=S ZINC001116196556 780483318 /nfs/dbraw/zinc/48/33/18/780483318.db2.gz ZHHHCZRSQXMMNW-CYBMUJFWSA-N 1 2 318.450 1.856 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+](Cc2nc(COC)no2)C1 ZINC001267287362 837747238 /nfs/dbraw/zinc/74/72/38/837747238.db2.gz HSKXTEJPENPPEX-GFCCVEGCSA-N 1 2 308.382 1.120 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+](Cc2nc(COC)no2)C1 ZINC001267287362 837747243 /nfs/dbraw/zinc/74/72/43/837747243.db2.gz HSKXTEJPENPPEX-GFCCVEGCSA-N 1 2 308.382 1.120 20 30 DDEDLO C=CCOCC(=O)NCC[N@@H+](C)Cc1nc2ccccc2n1C ZINC001266262548 836005401 /nfs/dbraw/zinc/00/54/01/836005401.db2.gz PECYPHOHZAHLGT-UHFFFAOYSA-N 1 2 316.405 1.324 20 30 DDEDLO C=CCOCC(=O)NCC[N@H+](C)Cc1nc2ccccc2n1C ZINC001266262548 836005411 /nfs/dbraw/zinc/00/54/11/836005411.db2.gz PECYPHOHZAHLGT-UHFFFAOYSA-N 1 2 316.405 1.324 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN([C@H](C)c2ncccn2)CC1 ZINC001266281345 836038405 /nfs/dbraw/zinc/03/84/05/836038405.db2.gz MDZWWSHBTKMICE-OAHLLOKOSA-N 1 2 317.437 1.238 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH+]1CCN(Cc2coc(C)n2)CC1 ZINC001266290314 836062633 /nfs/dbraw/zinc/06/26/33/836062633.db2.gz VDZXWHFYTZXFEA-UHFFFAOYSA-N 1 2 320.437 1.429 20 30 DDEDLO C#CC[NH+]1CCC(N(CCC)C(=O)CCc2cnn[nH]2)CC1 ZINC001266390284 836198048 /nfs/dbraw/zinc/19/80/48/836198048.db2.gz RBECBVPPXGUXCK-UHFFFAOYSA-N 1 2 303.410 1.074 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CCC[N@H+]2Cc2cnnn2C)CCC1 ZINC001266480572 836327205 /nfs/dbraw/zinc/32/72/05/836327205.db2.gz TWDGAKWEWXBFRM-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CCC[N@@H+]2Cc2cnnn2C)CCC1 ZINC001266480572 836327216 /nfs/dbraw/zinc/32/72/16/836327216.db2.gz TWDGAKWEWXBFRM-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1C[C@@H]([NH2+][C@H](C)c2noc(C)n2)C1 ZINC001266672039 836634595 /nfs/dbraw/zinc/63/45/95/836634595.db2.gz KVKHSLSHRMRAMM-WVWOOGAGSA-N 1 2 322.409 1.657 20 30 DDEDLO CCCC[C@H](C)C(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001266840682 836911215 /nfs/dbraw/zinc/91/12/15/836911215.db2.gz YZZLCCAEPMRRDE-LSDHHAIUSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC[C@H](C)C(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001266840682 836911225 /nfs/dbraw/zinc/91/12/25/836911225.db2.gz YZZLCCAEPMRRDE-LSDHHAIUSA-N 1 2 322.453 1.423 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@@H](C)CNC(=O)C#CC(C)(C)C)n1 ZINC001266938221 837086595 /nfs/dbraw/zinc/08/65/95/837086595.db2.gz UZQFPLQSWSPQSN-ZDUSSCGKSA-N 1 2 320.437 1.912 20 30 DDEDLO CC#CCCCC(=O)NC1(CNC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001299184416 844300395 /nfs/dbraw/zinc/30/03/95/844300395.db2.gz MBAFUEWJZHBNMV-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO CC#CCCCC(=O)NC1(CNC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001299184416 844300405 /nfs/dbraw/zinc/30/04/05/844300405.db2.gz MBAFUEWJZHBNMV-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC(C)C)n1 ZINC001272016794 844326049 /nfs/dbraw/zinc/32/60/49/844326049.db2.gz UEUSJRUMECNXLY-HUUCEWRRSA-N 1 2 316.405 1.603 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC(C)C)n1 ZINC001272016794 844326060 /nfs/dbraw/zinc/32/60/60/844326060.db2.gz UEUSJRUMECNXLY-HUUCEWRRSA-N 1 2 316.405 1.603 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](CC)CCCC)C1 ZINC001267611545 838457621 /nfs/dbraw/zinc/45/76/21/838457621.db2.gz ZQTZPSDRYVKFDI-JKSUJKDBSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](CC)CCCC)C1 ZINC001267611545 838457626 /nfs/dbraw/zinc/45/76/26/838457626.db2.gz ZQTZPSDRYVKFDI-JKSUJKDBSA-N 1 2 321.465 1.533 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@@H]2Cc3ccccc3O2)C1 ZINC001267648074 838572286 /nfs/dbraw/zinc/57/22/86/838572286.db2.gz ZVZVVVWOIUIGKI-INIZCTEOSA-N 1 2 316.401 1.383 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)CCCCC(C)(C)C)C1 ZINC001267724447 838741053 /nfs/dbraw/zinc/74/10/53/838741053.db2.gz ZAGLLQFFOBJDNM-HNNXBMFYSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)CCCCC(C)(C)C)C1 ZINC001267724447 838741056 /nfs/dbraw/zinc/74/10/56/838741056.db2.gz ZAGLLQFFOBJDNM-HNNXBMFYSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CC)C(=O)CCCn2ccnc2C)C1 ZINC001267790082 838980236 /nfs/dbraw/zinc/98/02/36/838980236.db2.gz KABXMTCQUPQLHF-INIZCTEOSA-N 1 2 302.422 1.528 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CC)C(=O)CCCn2ccnc2C)C1 ZINC001267790082 838980243 /nfs/dbraw/zinc/98/02/43/838980243.db2.gz KABXMTCQUPQLHF-INIZCTEOSA-N 1 2 302.422 1.528 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@@H](CC)CNC(=O)C#CC(C)C)n1 ZINC001267803092 839002808 /nfs/dbraw/zinc/00/28/08/839002808.db2.gz KNSXNPNAQHRJJG-OLZOCXBDSA-N 1 2 306.410 1.837 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)COc2ccc(C)c(C)c2)C1 ZINC001268029482 839444960 /nfs/dbraw/zinc/44/49/60/839444960.db2.gz VRTZJOGFJXQEAJ-KRWDZBQOSA-N 1 2 318.417 1.685 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)COc2ccc(C)c(C)c2)C1 ZINC001268029482 839444969 /nfs/dbraw/zinc/44/49/69/839444969.db2.gz VRTZJOGFJXQEAJ-KRWDZBQOSA-N 1 2 318.417 1.685 20 30 DDEDLO C=C1CCC(C(=O)NC2(CNC(=O)Cn3cc[nH+]c3)CC2)CC1 ZINC001299189909 844458230 /nfs/dbraw/zinc/45/82/30/844458230.db2.gz HWAUSGHBDLRGPB-UHFFFAOYSA-N 1 2 316.405 1.395 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CC2CC(C)(C)C2)C1 ZINC001268215305 839848302 /nfs/dbraw/zinc/84/83/02/839848302.db2.gz FOBPESZONODYIY-HNNXBMFYSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CC2CC(C)(C)C2)C1 ZINC001268215305 839848312 /nfs/dbraw/zinc/84/83/12/839848312.db2.gz FOBPESZONODYIY-HNNXBMFYSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CCC1(C(=O)N(C)CC[N@H+](C)Cc2cnnn2C)CCC1 ZINC001268249041 839892496 /nfs/dbraw/zinc/89/24/96/839892496.db2.gz OLGCELRKVVXXIX-UHFFFAOYSA-N 1 2 305.426 1.452 20 30 DDEDLO C=CCC1(C(=O)N(C)CC[N@@H+](C)Cc2cnnn2C)CCC1 ZINC001268249041 839892499 /nfs/dbraw/zinc/89/24/99/839892499.db2.gz OLGCELRKVVXXIX-UHFFFAOYSA-N 1 2 305.426 1.452 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001268896265 840962098 /nfs/dbraw/zinc/96/20/98/840962098.db2.gz MPCZEZLSAIRFRS-CTYIDZIISA-N 1 2 307.438 1.163 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001268929903 841010535 /nfs/dbraw/zinc/01/05/35/841010535.db2.gz PKBXHSKKDBQZBX-IRXDYDNUSA-N 1 2 311.385 1.546 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001268929903 841010540 /nfs/dbraw/zinc/01/05/40/841010540.db2.gz PKBXHSKKDBQZBX-IRXDYDNUSA-N 1 2 311.385 1.546 20 30 DDEDLO CCCCCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](C)C(N)=O ZINC001268984140 841080835 /nfs/dbraw/zinc/08/08/35/841080835.db2.gz VDEUPFVHOPLACV-ILXRZTDVSA-N 1 2 309.454 1.896 20 30 DDEDLO CCCCCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](C)C(N)=O ZINC001268984140 841080848 /nfs/dbraw/zinc/08/08/48/841080848.db2.gz VDEUPFVHOPLACV-ILXRZTDVSA-N 1 2 309.454 1.896 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+]1Cc1cc(CO)ccc1F ZINC001269222509 841372474 /nfs/dbraw/zinc/37/24/74/841372474.db2.gz HMHVPNBAIFDPOS-MRXNPFEDSA-N 1 2 318.392 1.812 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+]1Cc1cc(CO)ccc1F ZINC001269222509 841372486 /nfs/dbraw/zinc/37/24/86/841372486.db2.gz HMHVPNBAIFDPOS-MRXNPFEDSA-N 1 2 318.392 1.812 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@H+]1[C@H]1CCN(CC)C1=O ZINC001269239738 841404029 /nfs/dbraw/zinc/40/40/29/841404029.db2.gz DQCREEURUOPVFP-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@@H+]1[C@H]1CCN(CC)C1=O ZINC001269239738 841404036 /nfs/dbraw/zinc/40/40/36/841404036.db2.gz DQCREEURUOPVFP-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)Cc1cn2cc(C)sc2n1 ZINC001269261495 841436184 /nfs/dbraw/zinc/43/61/84/841436184.db2.gz SJVJTTZQLSDPJQ-ZDUSSCGKSA-N 1 2 302.403 1.070 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)Cc1cn2cc(C)sc2n1 ZINC001269261495 841436189 /nfs/dbraw/zinc/43/61/89/841436189.db2.gz SJVJTTZQLSDPJQ-ZDUSSCGKSA-N 1 2 302.403 1.070 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@H]1CC[N@H+](CC(=O)NCC2CC2)C1 ZINC001269263653 841438180 /nfs/dbraw/zinc/43/81/80/841438180.db2.gz SAKFDOCJJDGTTD-HNNXBMFYSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001269263653 841438187 /nfs/dbraw/zinc/43/81/87/841438187.db2.gz SAKFDOCJJDGTTD-HNNXBMFYSA-N 1 2 321.465 1.648 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2CCOCC(F)F)nc1 ZINC001269331681 841527690 /nfs/dbraw/zinc/52/76/90/841527690.db2.gz MBEDBDLFBQBMSJ-ZDUSSCGKSA-N 1 2 323.343 1.149 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2CCOCC(F)F)nc1 ZINC001269331681 841527698 /nfs/dbraw/zinc/52/76/98/841527698.db2.gz MBEDBDLFBQBMSJ-ZDUSSCGKSA-N 1 2 323.343 1.149 20 30 DDEDLO C=CCOCC(=O)N(C)C1C[NH+](C[C@@H](O)c2ccc(F)cc2)C1 ZINC001269764360 842022836 /nfs/dbraw/zinc/02/28/36/842022836.db2.gz UAPQATOZMHDOOQ-MRXNPFEDSA-N 1 2 322.380 1.204 20 30 DDEDLO CCOC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C#Cc1ccccc1 ZINC001142863968 861288382 /nfs/dbraw/zinc/28/83/82/861288382.db2.gz JMHXIULFIYZCDT-HNNXBMFYSA-N 1 2 311.341 1.052 20 30 DDEDLO CCOC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C#Cc1ccccc1 ZINC001142863968 861288392 /nfs/dbraw/zinc/28/83/92/861288392.db2.gz JMHXIULFIYZCDT-HNNXBMFYSA-N 1 2 311.341 1.052 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280295947 842920113 /nfs/dbraw/zinc/92/01/13/842920113.db2.gz YXLQQGHPBQYHPM-QWHCGFSZSA-N 1 2 304.394 1.011 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280295947 842920119 /nfs/dbraw/zinc/92/01/19/842920119.db2.gz YXLQQGHPBQYHPM-QWHCGFSZSA-N 1 2 304.394 1.011 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H](C)C[NH2+]Cc1nnc(CC)o1 ZINC001271113030 843228687 /nfs/dbraw/zinc/22/86/87/843228687.db2.gz KRCVEGLRALZSMR-UPJWGTAASA-N 1 2 322.409 1.160 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001271380842 843539547 /nfs/dbraw/zinc/53/95/47/843539547.db2.gz SPEYJVFMRHHKLS-UHFFFAOYSA-N 1 2 312.413 1.999 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)C1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001279146561 843713846 /nfs/dbraw/zinc/71/38/46/843713846.db2.gz LOBVIDKDBNJMTR-AWEZNQCLSA-N 1 2 319.453 1.888 20 30 DDEDLO N#CCCCNC(=O)[C@@H]1CC12CC[NH+](Cc1cocn1)CC2 ZINC001272377861 846084668 /nfs/dbraw/zinc/08/46/68/846084668.db2.gz GYZBBUQIFALZBO-AWEZNQCLSA-N 1 2 302.378 1.697 20 30 DDEDLO C#CCCCNc1nc(N2CCCC2)[nH+]c(N2CCCC2)n1 ZINC001155018184 861650135 /nfs/dbraw/zinc/65/01/35/861650135.db2.gz UNDOSANAEZKIJT-UHFFFAOYSA-N 1 2 300.410 1.319 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2Cc2cnc(OC)nc2)C1=O ZINC001272740462 846758364 /nfs/dbraw/zinc/75/83/64/846758364.db2.gz VYTRBLSEBZREQY-MRXNPFEDSA-N 1 2 302.378 1.238 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2Cc2cnc(OC)nc2)C1=O ZINC001272740462 846758369 /nfs/dbraw/zinc/75/83/69/846758369.db2.gz VYTRBLSEBZREQY-MRXNPFEDSA-N 1 2 302.378 1.238 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077678866 846942072 /nfs/dbraw/zinc/94/20/72/846942072.db2.gz ZHPCQPNTTNCOBE-LBPRGKRZSA-N 1 2 306.410 1.628 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1csc(C)n1)C2 ZINC001272774981 847411714 /nfs/dbraw/zinc/41/17/14/847411714.db2.gz VBINNBKAYSKNIZ-INIZCTEOSA-N 1 2 321.446 1.688 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1csc(C)n1)C2 ZINC001272774981 847411728 /nfs/dbraw/zinc/41/17/28/847411728.db2.gz VBINNBKAYSKNIZ-INIZCTEOSA-N 1 2 321.446 1.688 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)C(C)(C)c1c[nH]cn1 ZINC001272901990 847611688 /nfs/dbraw/zinc/61/16/88/847611688.db2.gz QPCDBPYSEGVFJG-CQSZACIVSA-N 1 2 320.437 1.471 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)C(C)(C)c1c[nH]cn1 ZINC001272901990 847611694 /nfs/dbraw/zinc/61/16/94/847611694.db2.gz QPCDBPYSEGVFJG-CQSZACIVSA-N 1 2 320.437 1.471 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc(OC)c(C)c1)C2 ZINC001272906818 847616922 /nfs/dbraw/zinc/61/69/22/847616922.db2.gz GYOBLIHXGXCLMJ-UHFFFAOYSA-N 1 2 314.385 1.050 20 30 DDEDLO C=CCN1CC2(C[NH+](CC3(c4ccccc4)CC3)C2)OCC1=O ZINC001273063454 847856398 /nfs/dbraw/zinc/85/63/98/847856398.db2.gz UBCLORWXJZADLX-UHFFFAOYSA-N 1 2 312.413 1.817 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CCCCN(CC#N)C2)c[nH+]1 ZINC001034267586 848208129 /nfs/dbraw/zinc/20/81/29/848208129.db2.gz XGIKFUFWKWBZFR-AWEZNQCLSA-N 1 2 303.410 1.421 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccc(C)o2)[C@H](O)C1 ZINC001090205126 848269625 /nfs/dbraw/zinc/26/96/25/848269625.db2.gz ZUZGEQWYBYXSIT-UONOGXRCSA-N 1 2 312.797 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccc(C)o2)[C@H](O)C1 ZINC001090205126 848269634 /nfs/dbraw/zinc/26/96/34/848269634.db2.gz ZUZGEQWYBYXSIT-UONOGXRCSA-N 1 2 312.797 1.434 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@H+](CC)Cc1coc(C)n1 ZINC001155326001 861962991 /nfs/dbraw/zinc/96/29/91/861962991.db2.gz PHPZSEDPPUHKDW-WQVCFCJDSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@@H+](CC)Cc1coc(C)n1 ZINC001155326001 861963001 /nfs/dbraw/zinc/96/30/01/861963001.db2.gz PHPZSEDPPUHKDW-WQVCFCJDSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)CC[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001273261157 849236107 /nfs/dbraw/zinc/23/61/07/849236107.db2.gz QGDXLGUMLVJGNS-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)CC[NH2+]C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC001273261157 849236111 /nfs/dbraw/zinc/23/61/11/849236111.db2.gz QGDXLGUMLVJGNS-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](C[C@H](C)C(F)(F)F)C2 ZINC001273445484 850813676 /nfs/dbraw/zinc/81/36/76/850813676.db2.gz IODKBBFYFULNSW-NSHDSACASA-N 1 2 306.328 1.674 20 30 DDEDLO C=C(C)CNC(=O)[C@@H]1CC12CC[NH+](Cc1nc(C)no1)CC2 ZINC001273816050 851375035 /nfs/dbraw/zinc/37/50/35/851375035.db2.gz HDMSGZZQBKFGLP-ZDUSSCGKSA-N 1 2 304.394 1.672 20 30 DDEDLO C=C(C)CN1C[C@@]2(CCN(Cc3[nH]c(C)[nH+]c3C)C2)OCC1=O ZINC001273908936 851493858 /nfs/dbraw/zinc/49/38/58/851493858.db2.gz YGUPMMSZICHIHF-KRWDZBQOSA-N 1 2 318.421 1.406 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@](CO)([NH2+]Cc2nncs2)C1 ZINC001273912591 851501277 /nfs/dbraw/zinc/50/12/77/851501277.db2.gz XQHZZKJAYBKIQT-OAHLLOKOSA-N 1 2 324.450 1.338 20 30 DDEDLO CCCCCCCC[C@@H](O)C[N@@H+]1CCO[C@@H](C(=O)OC)C1 ZINC001252124523 851547177 /nfs/dbraw/zinc/54/71/77/851547177.db2.gz RSIDDNZJDHPKQI-HUUCEWRRSA-N 1 2 301.427 1.972 20 30 DDEDLO CCCCCCCC[C@@H](O)C[N@H+]1CCO[C@@H](C(=O)OC)C1 ZINC001252124523 851547187 /nfs/dbraw/zinc/54/71/87/851547187.db2.gz RSIDDNZJDHPKQI-HUUCEWRRSA-N 1 2 301.427 1.972 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1cc(O)ccc1C)C2 ZINC001274110615 851936139 /nfs/dbraw/zinc/93/61/39/851936139.db2.gz SEJMTSZVMLZKGQ-UHFFFAOYSA-N 1 2 316.401 1.690 20 30 DDEDLO C#CCNC(=O)[C@H]1CC12CC[NH+](Cc1nccnc1Cl)CC2 ZINC001274443986 852276759 /nfs/dbraw/zinc/27/67/59/852276759.db2.gz LOICEAZLWRPVNP-GFCCVEGCSA-N 1 2 318.808 1.482 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001299355566 852517710 /nfs/dbraw/zinc/51/77/10/852517710.db2.gz KNSARLIVZHEWDL-UHFFFAOYSA-N 1 2 304.394 1.224 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)CCCn3cccn3)cc2C1 ZINC001327696352 862395337 /nfs/dbraw/zinc/39/53/37/862395337.db2.gz QXIZVWIUOOLTBM-UHFFFAOYSA-N 1 2 322.412 1.929 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)CCCn3cccn3)cc2C1 ZINC001327696352 862395349 /nfs/dbraw/zinc/39/53/49/862395349.db2.gz QXIZVWIUOOLTBM-UHFFFAOYSA-N 1 2 322.412 1.929 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C[NH2+]Cc1nc(C(F)F)no1 ZINC001275267179 852941274 /nfs/dbraw/zinc/94/12/74/852941274.db2.gz PESSXVBPRCUNQP-QMMMGPOBSA-N 1 2 302.325 1.814 20 30 DDEDLO N#Cc1cc(F)ccc1CN1CC2(C1)C[NH+](Cc1cn[nH]c1)C2 ZINC001275425390 853103003 /nfs/dbraw/zinc/10/30/03/853103003.db2.gz OHCQXUUXKZLPNF-UHFFFAOYSA-N 1 2 311.364 1.738 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3[C@H](C2)OCCN3Cc2ccc[nH]2)n1 ZINC001275606104 853429165 /nfs/dbraw/zinc/42/91/65/853429165.db2.gz VBNATXFDVUVORG-MSOLQXFVSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3[C@H](C2)OCCN3Cc2ccc[nH]2)n1 ZINC001275606104 853429171 /nfs/dbraw/zinc/42/91/71/853429171.db2.gz VBNATXFDVUVORG-MSOLQXFVSA-N 1 2 323.400 1.367 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)C[N@H+](C)Cc1cc(C)n(C)n1 ZINC001275657056 853510471 /nfs/dbraw/zinc/51/04/71/853510471.db2.gz AKXNDMWWPMXOLN-MAZHCROVSA-N 1 2 320.437 1.256 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)C[N@@H+](C)Cc1cc(C)n(C)n1 ZINC001275657056 853510474 /nfs/dbraw/zinc/51/04/74/853510474.db2.gz AKXNDMWWPMXOLN-MAZHCROVSA-N 1 2 320.437 1.256 20 30 DDEDLO CCn1cc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC3CC3)CC2)nn1 ZINC001280732634 853538680 /nfs/dbraw/zinc/53/86/80/853538680.db2.gz CBRRZAHDMBDZBA-OAHLLOKOSA-N 1 2 315.421 1.182 20 30 DDEDLO CCn1cc(C[N@H+]2CCC[C@@H](NC(=O)C#CC3CC3)CC2)nn1 ZINC001280732634 853538681 /nfs/dbraw/zinc/53/86/81/853538681.db2.gz CBRRZAHDMBDZBA-OAHLLOKOSA-N 1 2 315.421 1.182 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](C)Cc1nc(C)c(C)o1 ZINC001275820132 853814883 /nfs/dbraw/zinc/81/48/83/853814883.db2.gz YGQXOSOUACGWAY-BDJLRTHQSA-N 1 2 309.410 1.555 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001275820132 853814889 /nfs/dbraw/zinc/81/48/89/853814889.db2.gz YGQXOSOUACGWAY-BDJLRTHQSA-N 1 2 309.410 1.555 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)[N@H+](C)Cc2cnnn2C)cc1 ZINC001275897555 853916515 /nfs/dbraw/zinc/91/65/15/853916515.db2.gz QBVWTTWEHWNVGU-ZDUSSCGKSA-N 1 2 311.389 1.047 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)[N@@H+](C)Cc2cnnn2C)cc1 ZINC001275897555 853916521 /nfs/dbraw/zinc/91/65/21/853916521.db2.gz QBVWTTWEHWNVGU-ZDUSSCGKSA-N 1 2 311.389 1.047 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]CCCN(C)C(=O)c2c[nH]c(C#N)c2)no1 ZINC001275961384 854023073 /nfs/dbraw/zinc/02/30/73/854023073.db2.gz QPYGXDLZGFNNPQ-SNVBAGLBSA-N 1 2 316.365 1.391 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]2C1 ZINC001111293453 855450619 /nfs/dbraw/zinc/45/06/19/855450619.db2.gz GIILNTSBAGTXJG-VBQJREDUSA-N 1 2 316.405 1.007 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1c1nc(-c2ccncc2)nc2c1CCC2 ZINC001156332767 862944141 /nfs/dbraw/zinc/94/41/41/862944141.db2.gz PFAFTNCFSXYXGZ-ZDUSSCGKSA-N 1 2 306.373 1.329 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cc(C)on2)C1 ZINC001073521590 858409436 /nfs/dbraw/zinc/40/94/36/858409436.db2.gz YDNNWGWPJMFURR-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cc(C)on2)C1 ZINC001073521590 858409440 /nfs/dbraw/zinc/40/94/40/858409440.db2.gz YDNNWGWPJMFURR-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C=CCn1c(C[NH+]2CCCC2)nnc1N1CC[C@@](F)(C#N)C1 ZINC001121716290 858581028 /nfs/dbraw/zinc/58/10/28/858581028.db2.gz GFSFZVMLYFRGIR-OAHLLOKOSA-N 1 2 304.373 1.502 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1Nc1ccn(C)n1 ZINC001122400471 858794994 /nfs/dbraw/zinc/79/49/94/858794994.db2.gz QOAVEYNYOJVAEQ-UHFFFAOYSA-N 1 2 312.381 1.656 20 30 DDEDLO C=CCCC1(C(=O)NC[C@H](CO)Nc2cc[nH+]c(C)n2)CC1 ZINC001122456272 858825620 /nfs/dbraw/zinc/82/56/20/858825620.db2.gz AGCWHANLOJOQBN-CYBMUJFWSA-N 1 2 304.394 1.420 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@@H](C)[C@H]1CN(C)CC[N@@H+]1C ZINC001123793331 859417520 /nfs/dbraw/zinc/41/75/20/859417520.db2.gz AXBPMYGVZUMMEX-CAOSSQGBSA-N 1 2 306.454 1.513 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@@H](C)[C@H]1CN(C)CC[N@H+]1C ZINC001123793331 859417525 /nfs/dbraw/zinc/41/75/25/859417525.db2.gz AXBPMYGVZUMMEX-CAOSSQGBSA-N 1 2 306.454 1.513 20 30 DDEDLO C#CCCCCCC(=O)N(C)C[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001124684027 859780408 /nfs/dbraw/zinc/78/04/08/859780408.db2.gz XTDNHKTXIRKXHP-OAHLLOKOSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CCOC[C@H]1CCC[C@@]12C[N@H+](Cc1cnc(N)nc1)CCO2 ZINC001138164354 860024381 /nfs/dbraw/zinc/02/43/81/860024381.db2.gz PGUPLUZJLHFZAQ-NVXWUHKLSA-N 1 2 318.421 1.633 20 30 DDEDLO C=CCOC[C@H]1CCC[C@@]12C[N@@H+](Cc1cnc(N)nc1)CCO2 ZINC001138164354 860024397 /nfs/dbraw/zinc/02/43/97/860024397.db2.gz PGUPLUZJLHFZAQ-NVXWUHKLSA-N 1 2 318.421 1.633 20 30 DDEDLO CN(C)c1ccc(C[NH+]2CCN(c3nccnc3C#N)CC2)cn1 ZINC001139458946 860409473 /nfs/dbraw/zinc/40/94/73/860409473.db2.gz TYCOGYZWJMVIQH-UHFFFAOYSA-N 1 2 323.404 1.131 20 30 DDEDLO Cc1ccc(CN2CCC[C@@H](NC(=O)C[NH+](C)C)C2)cc1C#N ZINC001139727424 860464038 /nfs/dbraw/zinc/46/40/38/860464038.db2.gz QCSXFJAGIYUNHZ-QGZVFWFLSA-N 1 2 314.433 1.509 20 30 DDEDLO C=CCn1cc(C[NH+]2CC3(CN(c4ccccc4)C3=O)C2)cn1 ZINC001139770050 860476733 /nfs/dbraw/zinc/47/67/33/860476733.db2.gz AQGFZLCQBZUUDA-UHFFFAOYSA-N 1 2 308.385 1.918 20 30 DDEDLO CSc1nc(C[N@@H+]2CC[C@H](N(C)C(C)=O)C2)ccc1C#N ZINC001141945787 860978695 /nfs/dbraw/zinc/97/86/95/860978695.db2.gz MWDLTNPJSBDMSK-AWEZNQCLSA-N 1 2 304.419 1.728 20 30 DDEDLO CSc1nc(C[N@H+]2CC[C@H](N(C)C(C)=O)C2)ccc1C#N ZINC001141945787 860978707 /nfs/dbraw/zinc/97/87/07/860978707.db2.gz MWDLTNPJSBDMSK-AWEZNQCLSA-N 1 2 304.419 1.728 20 30 DDEDLO C#CCCCCC(=O)NCCC[NH2+]Cc1noc(C(C)C)n1 ZINC001156811184 863354693 /nfs/dbraw/zinc/35/46/93/863354693.db2.gz CKRORPKMSCLJEH-UHFFFAOYSA-N 1 2 306.410 1.983 20 30 DDEDLO CC[N@H+](Cc1coc(C)n1)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152878771 863580700 /nfs/dbraw/zinc/58/07/00/863580700.db2.gz NCSASBSNSPJMPV-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO CC[N@@H+](Cc1coc(C)n1)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152878771 863580711 /nfs/dbraw/zinc/58/07/11/863580711.db2.gz NCSASBSNSPJMPV-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1coc(C)n1 ZINC001157217598 863659843 /nfs/dbraw/zinc/65/98/43/863659843.db2.gz STDPHLIUTUGNGZ-OLZOCXBDSA-N 1 2 309.410 1.901 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1coc(C)n1 ZINC001157217598 863659849 /nfs/dbraw/zinc/65/98/49/863659849.db2.gz STDPHLIUTUGNGZ-OLZOCXBDSA-N 1 2 309.410 1.901 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001153148397 863733471 /nfs/dbraw/zinc/73/34/71/863733471.db2.gz CYVUIWBXQMDJLK-LLVKDONJSA-N 1 2 320.349 1.127 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@H]2CC3CCC2CC3)C1 ZINC001329718521 863808890 /nfs/dbraw/zinc/80/88/90/863808890.db2.gz WVFOELZTCFDHDH-GPANFISMSA-N 1 2 306.450 1.942 20 30 DDEDLO COC(=O)c1cc(C#N)cnc1N[C@@H]1CCC[N@@H+](C2COC2)C1 ZINC001157587284 863958042 /nfs/dbraw/zinc/95/80/42/863958042.db2.gz CLWSDYPJFBSDNR-GFCCVEGCSA-N 1 2 316.361 1.015 20 30 DDEDLO COC(=O)c1cc(C#N)cnc1N[C@@H]1CCC[N@H+](C2COC2)C1 ZINC001157587284 863958045 /nfs/dbraw/zinc/95/80/45/863958045.db2.gz CLWSDYPJFBSDNR-GFCCVEGCSA-N 1 2 316.361 1.015 20 30 DDEDLO COC(=O)c1cncc(C(C)=NNCCCn2cc[nH+]c2)c1 ZINC001330889856 864680273 /nfs/dbraw/zinc/68/02/73/864680273.db2.gz YIKSCDGWNPMCSI-UHFFFAOYSA-N 1 2 301.350 1.469 20 30 DDEDLO COC(=O)CC[C@@H]1COCCN1c1[nH+]ccc2[nH]cc(C#N)c21 ZINC001158962182 865001894 /nfs/dbraw/zinc/00/18/94/865001894.db2.gz XMGBSKRDDCXSCZ-GFCCVEGCSA-N 1 2 314.345 1.593 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@H+](Cc2cn(CC)nn2)CC1 ZINC001159544687 865395961 /nfs/dbraw/zinc/39/59/61/865395961.db2.gz YYCNYLVUDDDRHI-UHFFFAOYSA-N 1 2 317.437 1.903 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@@H+](Cc2cn(CC)nn2)CC1 ZINC001159544687 865395979 /nfs/dbraw/zinc/39/59/79/865395979.db2.gz YYCNYLVUDDDRHI-UHFFFAOYSA-N 1 2 317.437 1.903 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)[nH]1 ZINC001159587318 865413112 /nfs/dbraw/zinc/41/31/12/865413112.db2.gz NRLVDSZKNDTTKJ-VXGBXAGGSA-N 1 2 316.409 1.472 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)[nH]1 ZINC001159587318 865413126 /nfs/dbraw/zinc/41/31/26/865413126.db2.gz NRLVDSZKNDTTKJ-VXGBXAGGSA-N 1 2 316.409 1.472 20 30 DDEDLO Cc1cc(C[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)no1 ZINC001159614079 865429981 /nfs/dbraw/zinc/42/99/81/865429981.db2.gz FZZAREOGFQAINC-GFCCVEGCSA-N 1 2 302.378 1.781 20 30 DDEDLO Cc1cc(C[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)no1 ZINC001159614079 865429986 /nfs/dbraw/zinc/42/99/86/865429986.db2.gz FZZAREOGFQAINC-GFCCVEGCSA-N 1 2 302.378 1.781 20 30 DDEDLO O=C(C#CC1CC1)N[C@]12CCC[C@@H]1[N@H+](Cc1cnon1)CC2 ZINC001332093236 865570631 /nfs/dbraw/zinc/57/06/31/865570631.db2.gz WWRUYZBKUXGOOI-HOCLYGCPSA-N 1 2 300.362 1.096 20 30 DDEDLO O=C(C#CC1CC1)N[C@]12CCC[C@@H]1[N@@H+](Cc1cnon1)CC2 ZINC001332093236 865570634 /nfs/dbraw/zinc/57/06/34/865570634.db2.gz WWRUYZBKUXGOOI-HOCLYGCPSA-N 1 2 300.362 1.096 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1nocc1C ZINC001332663092 866037029 /nfs/dbraw/zinc/03/70/29/866037029.db2.gz KRTGORDYTNFWJF-YOEHRIQHSA-N 1 2 323.437 1.836 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1nocc1C ZINC001332663092 866037043 /nfs/dbraw/zinc/03/70/43/866037043.db2.gz KRTGORDYTNFWJF-YOEHRIQHSA-N 1 2 323.437 1.836 20 30 DDEDLO C=CCCCN(CC)C(=O)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001333081328 866403763 /nfs/dbraw/zinc/40/37/63/866403763.db2.gz DZCUUBHUKONQLG-CYBMUJFWSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2ccsc2[C@H]1C ZINC001333259957 866568122 /nfs/dbraw/zinc/56/81/22/866568122.db2.gz WXOAFZGFFGYPCP-PWSUYJOCSA-N 1 2 308.403 1.640 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cnc(C)nc2C)C1 ZINC001323994694 866996938 /nfs/dbraw/zinc/99/69/38/866996938.db2.gz KEHKKFRBZZDZKE-UHFFFAOYSA-N 1 2 304.394 1.052 20 30 DDEDLO CC#CCCCC(=O)NC1(C)CC[NH+](Cc2cn(C)nn2)CC1 ZINC001324329575 867207800 /nfs/dbraw/zinc/20/78/00/867207800.db2.gz SJPWFOZALUYJFF-UHFFFAOYSA-N 1 2 317.437 1.479 20 30 DDEDLO C#CCN(C(C)=O)C1CC[NH+]([C@H](C)c2nc(COC)no2)CC1 ZINC001324353419 867222040 /nfs/dbraw/zinc/22/20/40/867222040.db2.gz RSXKFNKCZODITG-GFCCVEGCSA-N 1 2 320.393 1.223 20 30 DDEDLO C#CCN(C(=O)[C@@H](C)CC)C1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001324362979 867228566 /nfs/dbraw/zinc/22/85/66/867228566.db2.gz WHSIJIVFYQZEMP-AWEZNQCLSA-N 1 2 317.437 1.287 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@H](CNCC#N)C1CCCC1 ZINC001324508893 867319938 /nfs/dbraw/zinc/31/99/38/867319938.db2.gz DSPSZMRIJLMKEW-OAHLLOKOSA-N 1 2 303.410 1.141 20 30 DDEDLO C[C@@H](CC(=O)N[C@@H](CNCC#N)C1CCCC1)n1cc[nH+]c1 ZINC001324507787 867320229 /nfs/dbraw/zinc/32/02/29/867320229.db2.gz XHBSAJNQAPUSAE-ZFWWWQNUSA-N 1 2 303.410 1.622 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@]1(C)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001324609176 867403344 /nfs/dbraw/zinc/40/33/44/867403344.db2.gz URVIYZKGRJDQBU-CVEARBPZSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@]1(C)CC[N@H+](Cc2cc(C)on2)C1 ZINC001324609176 867403351 /nfs/dbraw/zinc/40/33/51/867403351.db2.gz URVIYZKGRJDQBU-CVEARBPZSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC/C=C/C[NH2+][C@H](C)c1nnnn1C ZINC001321230312 867420316 /nfs/dbraw/zinc/42/03/16/867420316.db2.gz BYBWZTACEOYSLS-FPPHFASXSA-N 1 2 306.414 1.135 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)N(C)C(=O)CCn2cc[nH+]c2)C1 ZINC001334524844 867642621 /nfs/dbraw/zinc/64/26/21/867642621.db2.gz QOIVIIAJMJAOFD-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCOc1cc(C)nc(NC[C@H]([NH3+])C(=O)OC(C)(C)C)n1 ZINC001162849251 867865881 /nfs/dbraw/zinc/86/58/81/867865881.db2.gz ISTGFVVOUKSREU-NSHDSACASA-N 1 2 308.382 1.431 20 30 DDEDLO C#CC[C@@H](NC(=O)C(=O)N[C@H](C)Cn1cc[nH+]c1)c1ccccc1 ZINC001322220045 868059748 /nfs/dbraw/zinc/05/97/48/868059748.db2.gz WLYGDJUHBUPQAF-GDBMZVCRSA-N 1 2 324.384 1.269 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(-c2cc(Cl)cc(C#N)n2)cn1 ZINC001163412582 868430639 /nfs/dbraw/zinc/43/06/39/868430639.db2.gz RQSBDCOFELCNAU-LBPRGKRZSA-N 1 2 319.752 1.225 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2nc(-c3ccccc3)ccc2C#N)C[NH2+]1 ZINC001164302204 869104247 /nfs/dbraw/zinc/10/42/47/869104247.db2.gz PICKWRMATGRAIJ-HOCLYGCPSA-N 1 2 322.368 1.936 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1cscc1Cl ZINC001337087446 869316399 /nfs/dbraw/zinc/31/63/99/869316399.db2.gz SFJCOYHMIHWNRH-JTQLQIEISA-N 1 2 302.783 1.464 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)NC(C3CC3)(C3CC3)C2=O)C1 ZINC001337636155 869575924 /nfs/dbraw/zinc/57/59/24/869575924.db2.gz KYXAIDKGQPPBBO-AWEZNQCLSA-N 1 2 319.405 1.331 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)NC(C3CC3)(C3CC3)C2=O)C1 ZINC001337636155 869575928 /nfs/dbraw/zinc/57/59/28/869575928.db2.gz KYXAIDKGQPPBBO-AWEZNQCLSA-N 1 2 319.405 1.331 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)n1C ZINC001338287590 869906279 /nfs/dbraw/zinc/90/62/79/869906279.db2.gz FKMSBSROBVHQMD-CHWSQXEVSA-N 1 2 304.394 1.230 20 30 DDEDLO CC[C@H](C)[C@@H](C)C(=O)NCC[NH+]1CCN(CC#CCOC)CC1 ZINC001316972420 870024855 /nfs/dbraw/zinc/02/48/55/870024855.db2.gz QIACUMKDBWYELX-DLBZAZTESA-N 1 2 323.481 1.052 20 30 DDEDLO CC[C@H](C)[C@@H](C)C(=O)NCCN1CC[NH+](CC#CCOC)CC1 ZINC001316972420 870024871 /nfs/dbraw/zinc/02/48/71/870024871.db2.gz QIACUMKDBWYELX-DLBZAZTESA-N 1 2 323.481 1.052 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC12CC[NH+](Cc1cnon1)CC2 ZINC001316995901 870095710 /nfs/dbraw/zinc/09/57/10/870095710.db2.gz HEWULJKSTBYVMV-OAHLLOKOSA-N 1 2 316.405 1.734 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001317202139 870461836 /nfs/dbraw/zinc/46/18/36/870461836.db2.gz FFLBNAPPHDOWMI-CABCVRRESA-N 1 2 319.453 1.888 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001317202139 870461842 /nfs/dbraw/zinc/46/18/42/870461842.db2.gz FFLBNAPPHDOWMI-CABCVRRESA-N 1 2 319.453 1.888 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1CC=C ZINC001339486060 870521832 /nfs/dbraw/zinc/52/18/32/870521832.db2.gz PYEJUROOEADAIM-GJZGRUSLSA-N 1 2 317.437 1.704 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1CC=C ZINC001339486060 870521848 /nfs/dbraw/zinc/52/18/48/870521848.db2.gz PYEJUROOEADAIM-GJZGRUSLSA-N 1 2 317.437 1.704 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)[NH2+]Cc2nc(CCOC)no2)C1 ZINC001317262876 870553656 /nfs/dbraw/zinc/55/36/56/870553656.db2.gz APMJUNXQMFDQGQ-LBPRGKRZSA-N 1 2 322.409 1.209 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)CC[C@H]1C ZINC001339696097 870637679 /nfs/dbraw/zinc/63/76/79/870637679.db2.gz NAIOVGBJWGPIBC-HUUCEWRRSA-N 1 2 316.405 1.182 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)OC(C)(C)C)n2C)CC1 ZINC001339766729 870678170 /nfs/dbraw/zinc/67/81/70/870678170.db2.gz NDOSSJJYGUAUAR-ZDUSSCGKSA-N 1 2 305.426 1.446 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)CNC(=O)Cc2c[nH+]cn2C)CCC1 ZINC001298698302 870680875 /nfs/dbraw/zinc/68/08/75/870680875.db2.gz CVKDHCRJZORCAC-CYBMUJFWSA-N 1 2 318.421 1.330 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001226455701 882439766 /nfs/dbraw/zinc/43/97/66/882439766.db2.gz HLBLOJOJEATAQX-LBPRGKRZSA-N 1 2 322.409 1.440 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@H+]1[C@@H](C)c1ncc(C)o1 ZINC001317494878 870915427 /nfs/dbraw/zinc/91/54/27/870915427.db2.gz HACYMEYMFRTCAJ-KBPBESRZSA-N 1 2 307.394 1.827 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@@H+]1[C@@H](C)c1ncc(C)o1 ZINC001317494878 870915435 /nfs/dbraw/zinc/91/54/35/870915435.db2.gz HACYMEYMFRTCAJ-KBPBESRZSA-N 1 2 307.394 1.827 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1cnnn1C ZINC001317510955 870958100 /nfs/dbraw/zinc/95/81/00/870958100.db2.gz OJFOZYZFOFEVMO-ZDUSSCGKSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1cnnn1C ZINC001317510955 870958107 /nfs/dbraw/zinc/95/81/07/870958107.db2.gz OJFOZYZFOFEVMO-ZDUSSCGKSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCCC(=O)NCC[N@@H+](C)CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC001317440334 871310236 /nfs/dbraw/zinc/31/02/36/871310236.db2.gz ANEJNEBFUWCMBP-GASCZTMLSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)NCC[N@H+](C)CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC001317440334 871310254 /nfs/dbraw/zinc/31/02/54/871310254.db2.gz ANEJNEBFUWCMBP-GASCZTMLSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCC[C@H]1CCCN1C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001340859716 871393209 /nfs/dbraw/zinc/39/32/09/871393209.db2.gz YQPVHYYNPCTRHI-BBWFWOEESA-N 1 2 322.449 1.823 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC[C@H](NCC#N)c1ccccc1 ZINC001317722633 871444293 /nfs/dbraw/zinc/44/42/93/871444293.db2.gz XYBMDDZMKKTVKE-HNNXBMFYSA-N 1 2 311.389 1.323 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccns1 ZINC001317734225 871452956 /nfs/dbraw/zinc/45/29/56/871452956.db2.gz HFZMQHHVLPERBQ-ZENOOKHLSA-N 1 2 321.446 1.645 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccns1 ZINC001317734225 871452968 /nfs/dbraw/zinc/45/29/68/871452968.db2.gz HFZMQHHVLPERBQ-ZENOOKHLSA-N 1 2 321.446 1.645 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CC1(F)F)O2 ZINC001315479903 871914474 /nfs/dbraw/zinc/91/44/74/871914474.db2.gz UTFVCCHJTNQKCX-RYUDHWBXSA-N 1 2 300.349 1.567 20 30 DDEDLO N#CCSCC(=O)N[C@@H]1CC[N@@H+](Cc2ccnc(Cl)c2)C1 ZINC001318311850 871924463 /nfs/dbraw/zinc/92/44/63/871924463.db2.gz DGLZTFIQJUFBAR-GFCCVEGCSA-N 1 2 324.837 1.682 20 30 DDEDLO N#CCSCC(=O)N[C@@H]1CC[N@H+](Cc2ccnc(Cl)c2)C1 ZINC001318311850 871924467 /nfs/dbraw/zinc/92/44/67/871924467.db2.gz DGLZTFIQJUFBAR-GFCCVEGCSA-N 1 2 324.837 1.682 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001318445051 872055228 /nfs/dbraw/zinc/05/52/28/872055228.db2.gz IUYWHLKOBDELAW-HNNXBMFYSA-N 1 2 321.421 1.917 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001318445051 872055251 /nfs/dbraw/zinc/05/52/51/872055251.db2.gz IUYWHLKOBDELAW-HNNXBMFYSA-N 1 2 321.421 1.917 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)CCCc2cccnc2)C1 ZINC001319329507 872579850 /nfs/dbraw/zinc/57/98/50/872579850.db2.gz AVDOQKQSOVFJRA-MRXNPFEDSA-N 1 2 303.406 1.407 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)CCCc2cccnc2)C1 ZINC001319329507 872579860 /nfs/dbraw/zinc/57/98/60/872579860.db2.gz AVDOQKQSOVFJRA-MRXNPFEDSA-N 1 2 303.406 1.407 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N1CCC(c2c[nH+]cn2C)CC1 ZINC001343714732 872729267 /nfs/dbraw/zinc/72/92/67/872729267.db2.gz PWMMHALUAMTLRX-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO Cn1cc(CN2CCc3onc(Cn4cc[nH+]c4)c3C2)cc1C#N ZINC001207104872 873020609 /nfs/dbraw/zinc/02/06/09/873020609.db2.gz ZKMDSOASWRCGMJ-UHFFFAOYSA-N 1 2 322.372 1.688 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)CS(=O)(=O)CC(C)C)C1 ZINC001207730518 873510172 /nfs/dbraw/zinc/51/01/72/873510172.db2.gz DTGUTNYZJPJVBR-ZIAGYGMSSA-N 1 2 316.467 1.070 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](C)[C@H](NC(=O)CS(=O)(=O)CC(C)C)C1 ZINC001207730518 873510177 /nfs/dbraw/zinc/51/01/77/873510177.db2.gz DTGUTNYZJPJVBR-ZIAGYGMSSA-N 1 2 316.467 1.070 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@H]2CCCN(C(=O)C#CC(C)C)C2)n1 ZINC001207992357 873723023 /nfs/dbraw/zinc/72/30/23/873723023.db2.gz JQOXTAAOPJHJMR-KGLIPLIRSA-N 1 2 318.421 1.933 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](CC)CO)n2CC(=C)C)CC1 ZINC001346560476 873804339 /nfs/dbraw/zinc/80/43/39/873804339.db2.gz IIBWKWFIWRODFP-HNNXBMFYSA-N 1 2 317.437 1.095 20 30 DDEDLO C#CCC1(C(=O)N2CCC[C@@H]([NH2+]Cc3nnc(C)o3)C2)CCC1 ZINC001208319381 874004206 /nfs/dbraw/zinc/00/42/06/874004206.db2.gz SANOSQZCBBCRCT-CQSZACIVSA-N 1 2 316.405 1.652 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cn(C)nn2)C[C@H]1C ZINC001208388991 874096892 /nfs/dbraw/zinc/09/68/92/874096892.db2.gz WBMYGTILMDVRKS-GZBFAFLISA-N 1 2 305.426 1.354 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cn(C)nn2)C[C@H]1C ZINC001208388991 874096874 /nfs/dbraw/zinc/09/68/74/874096874.db2.gz WBMYGTILMDVRKS-GZBFAFLISA-N 1 2 305.426 1.354 20 30 DDEDLO N#Cc1ccnc(N2CCC(OCC[NH+]3CCOCC3)CC2)c1 ZINC001210224949 875276697 /nfs/dbraw/zinc/27/66/97/875276697.db2.gz LIGSFMKTZKKUCF-UHFFFAOYSA-N 1 2 316.405 1.271 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)oc1C ZINC001378649678 875325850 /nfs/dbraw/zinc/32/58/50/875325850.db2.gz WMDSLWBMKKBZLV-ZWNOBZJWSA-N 1 2 304.394 1.778 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)oc1C ZINC001378649678 875325862 /nfs/dbraw/zinc/32/58/62/875325862.db2.gz WMDSLWBMKKBZLV-ZWNOBZJWSA-N 1 2 304.394 1.778 20 30 DDEDLO Cc1nnc([C@@H](C)[NH+]2CCC(NC(=O)C#CC3CC3)CC2)o1 ZINC001227298881 882959731 /nfs/dbraw/zinc/95/97/31/882959731.db2.gz FPMQQVXFRAVTCH-LLVKDONJSA-N 1 2 302.378 1.433 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+](Cc2ncc(C)o2)CC1 ZINC001227299357 882959815 /nfs/dbraw/zinc/95/98/15/882959815.db2.gz QGGRYTDBSRWOOH-CYBMUJFWSA-N 1 2 305.378 1.102 20 30 DDEDLO CCn1ccc(C[NH+]2CCC(NC(=O)C#CC3CC3)CC2)n1 ZINC001227298689 882960615 /nfs/dbraw/zinc/96/06/15/882960615.db2.gz CTGZWENLPDBALN-UHFFFAOYSA-N 1 2 300.406 1.397 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001227465732 883034997 /nfs/dbraw/zinc/03/49/97/883034997.db2.gz JFBGPDGSVWQJNR-LSVRBPJHSA-N 1 2 321.289 1.494 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001227465732 883035016 /nfs/dbraw/zinc/03/50/16/883035016.db2.gz JFBGPDGSVWQJNR-LSVRBPJHSA-N 1 2 321.289 1.494 20 30 DDEDLO C=CCC1(C(=O)N(C)CCCNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001351959212 876533912 /nfs/dbraw/zinc/53/39/12/876533912.db2.gz VYHWVTQYXMISBU-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001215365247 876678581 /nfs/dbraw/zinc/67/85/81/876678581.db2.gz GRFQYSAAWNCLJI-OUCADQQQSA-N 1 2 306.410 1.917 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)[C@@H](CC#N)c1ccccc1 ZINC001362111735 883069103 /nfs/dbraw/zinc/06/91/03/883069103.db2.gz GJSIZBKMFNWNKL-ZBFHGGJFSA-N 1 2 301.390 1.521 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)[C@@H](CC#N)c1ccccc1 ZINC001362111735 883069121 /nfs/dbraw/zinc/06/91/21/883069121.db2.gz GJSIZBKMFNWNKL-ZBFHGGJFSA-N 1 2 301.390 1.521 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH+]ccc2OC)C1 ZINC001353379479 877288162 /nfs/dbraw/zinc/28/81/62/877288162.db2.gz WPHWHCIXAUCRTB-AWEZNQCLSA-N 1 2 317.389 1.316 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCO[C@@H]2C[N@H+](C/C=C\Cl)C[C@@H]21 ZINC001217861514 877341955 /nfs/dbraw/zinc/34/19/55/877341955.db2.gz QGJLUFMYVQIBDF-WREBYIDWSA-N 1 2 310.825 1.700 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCO[C@@H]2C[N@@H+](C/C=C\Cl)C[C@@H]21 ZINC001217861514 877341976 /nfs/dbraw/zinc/34/19/76/877341976.db2.gz QGJLUFMYVQIBDF-WREBYIDWSA-N 1 2 310.825 1.700 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001353497504 877377908 /nfs/dbraw/zinc/37/79/08/877377908.db2.gz RHWRXQQNMGLYNN-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO N#C[C@@H]1C[NH2+]C[C@H]1Oc1[nH]c(=O)nc2cc(-c3ccccc3)[nH]c21 ZINC001218199972 877418091 /nfs/dbraw/zinc/41/80/91/877418091.db2.gz IPZSLFVLXCYSEC-BXUZGUMPSA-N 1 2 321.340 1.821 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCCC(F)(F)F)[C@H]2C1 ZINC001218683041 877660437 /nfs/dbraw/zinc/66/04/37/877660437.db2.gz LJLPGLJDVABEJE-NWDGAFQWSA-N 1 2 304.312 1.264 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCCC(F)(F)F)[C@H]2C1 ZINC001218683041 877660442 /nfs/dbraw/zinc/66/04/42/877660442.db2.gz LJLPGLJDVABEJE-NWDGAFQWSA-N 1 2 304.312 1.264 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cccc2n[nH]nc21 ZINC001379687056 877744284 /nfs/dbraw/zinc/74/42/84/877744284.db2.gz VFPHYWATADAXKZ-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cccc2n[nH]nc21 ZINC001379687056 877744289 /nfs/dbraw/zinc/74/42/89/877744289.db2.gz VFPHYWATADAXKZ-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO CCCOCC(=O)NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001276799703 877802562 /nfs/dbraw/zinc/80/25/62/877802562.db2.gz DKJRKPWIEFFOHS-QGZVFWFLSA-N 1 2 300.402 1.655 20 30 DDEDLO CCCOCC(=O)NC[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001276799703 877802572 /nfs/dbraw/zinc/80/25/72/877802572.db2.gz DKJRKPWIEFFOHS-QGZVFWFLSA-N 1 2 300.402 1.655 20 30 DDEDLO CCCCCCC(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001219017693 877874328 /nfs/dbraw/zinc/87/43/28/877874328.db2.gz COXAKWZTHOCIFE-DLBZAZTESA-N 1 2 322.449 1.518 20 30 DDEDLO CCCCCCC(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001219017693 877874334 /nfs/dbraw/zinc/87/43/34/877874334.db2.gz COXAKWZTHOCIFE-DLBZAZTESA-N 1 2 322.449 1.518 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCCC3CCCC3)[C@H]2C1 ZINC001219057543 877895445 /nfs/dbraw/zinc/89/54/45/877895445.db2.gz BSCQHSCFQSZYLF-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCCC3CCCC3)[C@H]2C1 ZINC001219057543 877895466 /nfs/dbraw/zinc/89/54/66/877895466.db2.gz BSCQHSCFQSZYLF-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)/C=C(\C)C1CC1 ZINC001276801704 877904060 /nfs/dbraw/zinc/90/40/60/877904060.db2.gz SGRJNPOZULNLNK-PYHCZJRBSA-N 1 2 305.422 1.224 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)/C=C(\C)C1CC1 ZINC001276801704 877904071 /nfs/dbraw/zinc/90/40/71/877904071.db2.gz SGRJNPOZULNLNK-PYHCZJRBSA-N 1 2 305.422 1.224 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCCCC(C)(C)C)[C@@H](O)C1 ZINC001219688161 878451186 /nfs/dbraw/zinc/45/11/86/878451186.db2.gz SGWNQFZJWFLJJM-CVEARBPZSA-N 1 2 324.465 1.404 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCCCC(C)(C)C)[C@@H](O)C1 ZINC001219688161 878451193 /nfs/dbraw/zinc/45/11/93/878451193.db2.gz SGWNQFZJWFLJJM-CVEARBPZSA-N 1 2 324.465 1.404 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(CC)CCC(F)(F)CC2)[C@@H](O)C1 ZINC001219897030 878579338 /nfs/dbraw/zinc/57/93/38/878579338.db2.gz UMVXNQZNCIHQQF-OLZOCXBDSA-N 1 2 314.376 1.387 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(CC)CCC(F)(F)CC2)[C@@H](O)C1 ZINC001219897030 878579345 /nfs/dbraw/zinc/57/93/45/878579345.db2.gz UMVXNQZNCIHQQF-OLZOCXBDSA-N 1 2 314.376 1.387 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCN(C)C(=O)C#CC2CC2)c(C)[nH+]1 ZINC001355808092 878726044 /nfs/dbraw/zinc/72/60/44/878726044.db2.gz LGADLRVJSPDSKD-UHFFFAOYSA-N 1 2 313.401 1.608 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@@H]1O ZINC001220141227 878729601 /nfs/dbraw/zinc/72/96/01/878729601.db2.gz LRHCLMQZJFVEIH-KGLIPLIRSA-N 1 2 307.394 1.111 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@@H]1O ZINC001220141227 878729610 /nfs/dbraw/zinc/72/96/10/878729610.db2.gz LRHCLMQZJFVEIH-KGLIPLIRSA-N 1 2 307.394 1.111 20 30 DDEDLO C=C(C)Cn1c(N(C)CC(C)C)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001355947208 878790781 /nfs/dbraw/zinc/79/07/81/878790781.db2.gz RGNXFDFIGZILIH-PBHICJAKSA-N 1 2 321.469 1.858 20 30 DDEDLO C=C(C)Cn1c(N(C)CC(C)C)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001355947208 878790792 /nfs/dbraw/zinc/79/07/92/878790792.db2.gz RGNXFDFIGZILIH-PBHICJAKSA-N 1 2 321.469 1.858 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)Cc2ccccc2Cl)[C@@H](O)C1 ZINC001220444482 879022981 /nfs/dbraw/zinc/02/29/81/879022981.db2.gz YJWUYCPBXVHLNM-WQVCFCJDSA-N 1 2 320.820 1.313 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)Cc2ccccc2Cl)[C@@H](O)C1 ZINC001220444482 879022991 /nfs/dbraw/zinc/02/29/91/879022991.db2.gz YJWUYCPBXVHLNM-WQVCFCJDSA-N 1 2 320.820 1.313 20 30 DDEDLO C#C[C@@H]1CCCCN1C(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001356426007 879045779 /nfs/dbraw/zinc/04/57/79/879045779.db2.gz YZJSFECRZQASPR-CQSZACIVSA-N 1 2 314.389 1.130 20 30 DDEDLO C#CCCCCC(=O)N(C)CC[NH+]1CCN(C(=O)C2CC2)CC1 ZINC001356699367 879202595 /nfs/dbraw/zinc/20/25/95/879202595.db2.gz CRPBVZZOKDEQKF-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001356715050 879226766 /nfs/dbraw/zinc/22/67/66/879226766.db2.gz YWOCUAYEVFJOEA-OAHLLOKOSA-N 1 2 318.421 1.546 20 30 DDEDLO C=CCCC(=O)NCC1CN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001356803193 879391671 /nfs/dbraw/zinc/39/16/71/879391671.db2.gz CIPPIRDZNXRFHE-LBPRGKRZSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCCC(=O)NCC1CN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001356803193 879391684 /nfs/dbraw/zinc/39/16/84/879391684.db2.gz CIPPIRDZNXRFHE-LBPRGKRZSA-N 1 2 304.394 1.129 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCCC)C[C@H]21 ZINC001221514306 879903837 /nfs/dbraw/zinc/90/38/37/879903837.db2.gz ROPSBFFZHBQHMA-HZPDHXFCSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCCC)C[C@H]21 ZINC001221514306 879903842 /nfs/dbraw/zinc/90/38/42/879903842.db2.gz ROPSBFFZHBQHMA-HZPDHXFCSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)CCCC)[C@@H]2C1 ZINC001221521457 879915099 /nfs/dbraw/zinc/91/50/99/879915099.db2.gz OLWAERHDCBNVJS-ARFHVFGLSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)CCCC)[C@@H]2C1 ZINC001221521457 879915108 /nfs/dbraw/zinc/91/51/08/879915108.db2.gz OLWAERHDCBNVJS-ARFHVFGLSA-N 1 2 319.449 1.095 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H](OCCn2cc[nH+]c2)[C@H](C#N)C1 ZINC001222134860 880276635 /nfs/dbraw/zinc/27/66/35/880276635.db2.gz QHZIZMMIGFUPEA-OLZOCXBDSA-N 1 2 306.366 1.659 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H](C)N(C)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001380888733 880611973 /nfs/dbraw/zinc/61/19/73/880611973.db2.gz KWTWRUKZWHSOOQ-QWRGUYRKSA-N 1 2 313.361 1.299 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@H]2CC[N@H+](Cc3cnon3)[C@H]2C1 ZINC001222661992 880626412 /nfs/dbraw/zinc/62/64/12/880626412.db2.gz VBKVJUVQKGVGNR-HIFRSBDPSA-N 1 2 316.405 1.542 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnon3)[C@H]2C1 ZINC001222661992 880626415 /nfs/dbraw/zinc/62/64/15/880626415.db2.gz VBKVJUVQKGVGNR-HIFRSBDPSA-N 1 2 316.405 1.542 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cn(C)nn3)[C@H]2C1 ZINC001222781320 880697353 /nfs/dbraw/zinc/69/73/53/880697353.db2.gz NGBJVELZBVMXEM-ZBFHGGJFSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[N@H+](Cc3cn(C)nn3)[C@H]2C1 ZINC001222781320 880697361 /nfs/dbraw/zinc/69/73/61/880697361.db2.gz NGBJVELZBVMXEM-ZBFHGGJFSA-N 1 2 317.437 1.594 20 30 DDEDLO COCc1nc(C[N@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)cs1 ZINC001380949797 880727087 /nfs/dbraw/zinc/72/70/87/880727087.db2.gz PSAZDIWQBZRKHG-LBPRGKRZSA-N 1 2 324.450 1.729 20 30 DDEDLO COCc1nc(C[N@@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)cs1 ZINC001380949797 880727096 /nfs/dbraw/zinc/72/70/96/880727096.db2.gz PSAZDIWQBZRKHG-LBPRGKRZSA-N 1 2 324.450 1.729 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001276851815 880752940 /nfs/dbraw/zinc/75/29/40/880752940.db2.gz FDAKOBYHPGVWIC-UONOGXRCSA-N 1 2 305.378 1.020 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001276851815 880752942 /nfs/dbraw/zinc/75/29/42/880752942.db2.gz FDAKOBYHPGVWIC-UONOGXRCSA-N 1 2 305.378 1.020 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1CCNC(=O)C1CC1 ZINC001276899254 880999414 /nfs/dbraw/zinc/99/94/14/880999414.db2.gz NZTCTBGBVWOTKT-AWEZNQCLSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@H+]1CCNC(=O)C1CC1 ZINC001276899254 880999423 /nfs/dbraw/zinc/99/94/23/880999423.db2.gz NZTCTBGBVWOTKT-AWEZNQCLSA-N 1 2 307.438 1.305 20 30 DDEDLO C#CCN(CC#C)c1nnc(C)n1C[C@H]1CCCn2cc(C)[nH+]c21 ZINC001358970428 881122159 /nfs/dbraw/zinc/12/21/59/881122159.db2.gz OCADKUBEHSNLTB-MRXNPFEDSA-N 1 2 322.416 1.742 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CC(C)(C)COC)CC1 ZINC001359030159 881160559 /nfs/dbraw/zinc/16/05/59/881160559.db2.gz PDOQFEWPSXHJDB-UHFFFAOYSA-N 1 2 305.426 1.014 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2CCCSCC)CC1 ZINC001359036628 881163951 /nfs/dbraw/zinc/16/39/51/881163951.db2.gz CJHXSLZIBPYJOG-UHFFFAOYSA-N 1 2 321.494 1.739 20 30 DDEDLO C[C@@H](CCNC(=O)[C@@H](C)C#N)[NH2+]Cc1nnsc1Cl ZINC001381181832 881213321 /nfs/dbraw/zinc/21/33/21/881213321.db2.gz PYQTWNBOSIFBQI-YUMQZZPRSA-N 1 2 301.803 1.336 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2cccc3nc(C#N)ccc32)C[N@@H+]1C ZINC001227797467 883176921 /nfs/dbraw/zinc/17/69/21/883176921.db2.gz HJRAQBKGYNMLMV-DOMZBBRYSA-N 1 2 311.341 1.731 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2cccc3nc(C#N)ccc32)C[N@H+]1C ZINC001227797467 883176929 /nfs/dbraw/zinc/17/69/29/883176929.db2.gz HJRAQBKGYNMLMV-DOMZBBRYSA-N 1 2 311.341 1.731 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@@H]23)o1 ZINC001382325082 883822814 /nfs/dbraw/zinc/82/28/14/883822814.db2.gz HONUOYMALLZBGB-HWWQOWPSSA-N 1 2 317.393 1.405 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@@H]23)o1 ZINC001382325082 883822829 /nfs/dbraw/zinc/82/28/29/883822829.db2.gz HONUOYMALLZBGB-HWWQOWPSSA-N 1 2 317.393 1.405 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001230407203 884472390 /nfs/dbraw/zinc/47/23/90/884472390.db2.gz KCIZSXPDYYXXBZ-CYBMUJFWSA-N 1 2 306.410 1.092 20 30 DDEDLO N#C[C@H](CCc1ccccc1)C(=O)N[C@H](CO)Cc1c[nH]c[nH+]1 ZINC001362796422 884662754 /nfs/dbraw/zinc/66/27/54/884662754.db2.gz UKPCNXKSORIXOR-HOCLYGCPSA-N 1 2 312.373 1.202 20 30 DDEDLO N#C[C@H](CCc1ccccc1)C(=O)N[C@H](CO)Cc1c[nH+]c[nH]1 ZINC001362796422 884662764 /nfs/dbraw/zinc/66/27/64/884662764.db2.gz UKPCNXKSORIXOR-HOCLYGCPSA-N 1 2 312.373 1.202 20 30 DDEDLO COCC[C@H](C)C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230622958 884665280 /nfs/dbraw/zinc/66/52/80/884665280.db2.gz UCIYMSGXXRNEAD-HOCLYGCPSA-N 1 2 307.438 1.886 20 30 DDEDLO COCC[C@H](C)C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230622958 884665289 /nfs/dbraw/zinc/66/52/89/884665289.db2.gz UCIYMSGXXRNEAD-HOCLYGCPSA-N 1 2 307.438 1.886 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC1CCCC1 ZINC001230652151 884700569 /nfs/dbraw/zinc/70/05/69/884700569.db2.gz VVIIKIVBJYGBMN-OAHLLOKOSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1CCCC1 ZINC001230652151 884700586 /nfs/dbraw/zinc/70/05/86/884700586.db2.gz VVIIKIVBJYGBMN-OAHLLOKOSA-N 1 2 307.438 1.402 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC1CCCCC1 ZINC001230682882 884741976 /nfs/dbraw/zinc/74/19/76/884741976.db2.gz XLOKKILCXUIABX-MRXNPFEDSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1CCCCC1 ZINC001230682882 884741987 /nfs/dbraw/zinc/74/19/87/884741987.db2.gz XLOKKILCXUIABX-MRXNPFEDSA-N 1 2 319.449 1.239 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C=C(C)C ZINC001230683123 884743497 /nfs/dbraw/zinc/74/34/97/884743497.db2.gz ZREZJSKMKAWBMT-MRXNPFEDSA-N 1 2 319.449 1.686 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C=C(C)C ZINC001230683123 884743508 /nfs/dbraw/zinc/74/35/08/884743508.db2.gz ZREZJSKMKAWBMT-MRXNPFEDSA-N 1 2 319.449 1.686 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(F)(F)F ZINC001231044265 885159376 /nfs/dbraw/zinc/15/93/76/885159376.db2.gz YFBUJBPQYRZXKW-GHMZBOCLSA-N 1 2 321.343 1.162 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC(F)(F)F ZINC001231044265 885159381 /nfs/dbraw/zinc/15/93/81/885159381.db2.gz YFBUJBPQYRZXKW-GHMZBOCLSA-N 1 2 321.343 1.162 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NC(C)(C)C ZINC001231121193 885251015 /nfs/dbraw/zinc/25/10/15/885251015.db2.gz APJOBFXEFWFKRQ-UONOGXRCSA-N 1 2 309.454 1.789 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NC(C)(C)C ZINC001231121193 885251030 /nfs/dbraw/zinc/25/10/30/885251030.db2.gz APJOBFXEFWFKRQ-UONOGXRCSA-N 1 2 309.454 1.789 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@H](C)C1CC1 ZINC001231179229 885333810 /nfs/dbraw/zinc/33/38/10/885333810.db2.gz RMGYUPJNOHMABZ-HIFRSBDPSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@H](C)C1CC1 ZINC001231179229 885333826 /nfs/dbraw/zinc/33/38/26/885333826.db2.gz RMGYUPJNOHMABZ-HIFRSBDPSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@H](C)C1CC1 ZINC001231179230 885333978 /nfs/dbraw/zinc/33/39/78/885333978.db2.gz RMGYUPJNOHMABZ-UKRRQHHQSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@H](C)C1CC1 ZINC001231179230 885333993 /nfs/dbraw/zinc/33/39/93/885333993.db2.gz RMGYUPJNOHMABZ-UKRRQHHQSA-N 1 2 307.438 1.400 20 30 DDEDLO CN(C)C(=O)[C@H]1C[C@@H]2[C@@H](CC[N@@H+]2Cc2cc(F)ccc2C#N)O1 ZINC001232889961 886654397 /nfs/dbraw/zinc/65/43/97/886654397.db2.gz VBTQVKMKTVPZRI-BZUAXINKSA-N 1 2 317.364 1.517 20 30 DDEDLO CN(C)C(=O)[C@H]1C[C@@H]2[C@@H](CC[N@H+]2Cc2cc(F)ccc2C#N)O1 ZINC001232889961 886654404 /nfs/dbraw/zinc/65/44/04/886654404.db2.gz VBTQVKMKTVPZRI-BZUAXINKSA-N 1 2 317.364 1.517 20 30 DDEDLO CCOC(=O)CC[NH+](CCC(=O)OCC)Cc1[nH]ccc1C#N ZINC001233049171 886757977 /nfs/dbraw/zinc/75/79/77/886757977.db2.gz ZUVLODVLSIMPGV-UHFFFAOYSA-N 1 2 321.377 1.595 20 30 DDEDLO COc1ncccc1N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001277782307 886871401 /nfs/dbraw/zinc/87/14/01/886871401.db2.gz YTQNDVQIMUZSDC-UHFFFAOYSA-N 1 2 323.400 1.623 20 30 DDEDLO COC[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2O)Cc2nnn(C)c21 ZINC001233384456 886967980 /nfs/dbraw/zinc/96/79/80/886967980.db2.gz WVSKFXWIIDMOOJ-ZDUSSCGKSA-N 1 2 313.361 1.138 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ocnc1C ZINC001233489233 887030786 /nfs/dbraw/zinc/03/07/86/887030786.db2.gz KAXUSZDORZABAR-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ocnc1C ZINC001233489233 887030796 /nfs/dbraw/zinc/03/07/96/887030796.db2.gz KAXUSZDORZABAR-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1=CCCC1 ZINC001233620911 887159304 /nfs/dbraw/zinc/15/93/04/887159304.db2.gz BUNHHPONUIBVDH-UKRRQHHQSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1=CCCC1 ZINC001233620911 887159310 /nfs/dbraw/zinc/15/93/10/887159310.db2.gz BUNHHPONUIBVDH-UKRRQHHQSA-N 1 2 305.422 1.320 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001233761458 887293051 /nfs/dbraw/zinc/29/30/51/887293051.db2.gz KCAUYMSAFMMXHH-INIZCTEOSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001233761458 887293063 /nfs/dbraw/zinc/29/30/63/887293063.db2.gz KCAUYMSAFMMXHH-INIZCTEOSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCCC ZINC001233800568 887333376 /nfs/dbraw/zinc/33/33/76/887333376.db2.gz JBNCUQZBYBXSIQ-CVEARBPZSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCCC ZINC001233800568 887333392 /nfs/dbraw/zinc/33/33/92/887333392.db2.gz JBNCUQZBYBXSIQ-CVEARBPZSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@H](C)C1CC1 ZINC001233948429 887490449 /nfs/dbraw/zinc/49/04/49/887490449.db2.gz VSJNBUMFHXZXJQ-GDBMZVCRSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@H](C)C1CC1 ZINC001233948429 887490456 /nfs/dbraw/zinc/49/04/56/887490456.db2.gz VSJNBUMFHXZXJQ-GDBMZVCRSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC1CCCC1 ZINC001233965299 887505987 /nfs/dbraw/zinc/50/59/87/887505987.db2.gz AMKVXKVRTPYNKR-MRXNPFEDSA-N 1 2 319.449 1.381 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC1CCCC1 ZINC001233965299 887505993 /nfs/dbraw/zinc/50/59/93/887505993.db2.gz AMKVXKVRTPYNKR-MRXNPFEDSA-N 1 2 319.449 1.381 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC1CCCC1 ZINC001233965298 887506174 /nfs/dbraw/zinc/50/61/74/887506174.db2.gz AMKVXKVRTPYNKR-INIZCTEOSA-N 1 2 319.449 1.381 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC1CCCC1 ZINC001233965298 887506189 /nfs/dbraw/zinc/50/61/89/887506189.db2.gz AMKVXKVRTPYNKR-INIZCTEOSA-N 1 2 319.449 1.381 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1C ZINC001233979293 887521607 /nfs/dbraw/zinc/52/16/07/887521607.db2.gz ZADPKXBDPNUFBV-GOEBONIOSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1C ZINC001233979293 887521622 /nfs/dbraw/zinc/52/16/22/887521622.db2.gz ZADPKXBDPNUFBV-GOEBONIOSA-N 1 2 307.394 1.343 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC(=O)N(C)C1CC1)C(=O)C#CC(C)(C)C ZINC001234195222 887734888 /nfs/dbraw/zinc/73/48/88/887734888.db2.gz JCCQIXUDEJORSD-OAHLLOKOSA-N 1 2 319.449 1.189 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC(=O)N(C)C1CC1)C(=O)C#CC(C)(C)C ZINC001234195222 887734900 /nfs/dbraw/zinc/73/49/00/887734900.db2.gz JCCQIXUDEJORSD-OAHLLOKOSA-N 1 2 319.449 1.189 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]1C[NH2+]Cc1nnc(CC)o1 ZINC001277844456 887826970 /nfs/dbraw/zinc/82/69/70/887826970.db2.gz DWHJELAGCUNZQM-ZDUSSCGKSA-N 1 2 304.394 1.516 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234528827 888060537 /nfs/dbraw/zinc/06/05/37/888060537.db2.gz VZKCQGLRBOGJLJ-CQSZACIVSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234528827 888060552 /nfs/dbraw/zinc/06/05/52/888060552.db2.gz VZKCQGLRBOGJLJ-CQSZACIVSA-N 1 2 309.454 1.648 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H]1CCNC1=O ZINC001234555576 888091678 /nfs/dbraw/zinc/09/16/78/888091678.db2.gz WYUHYLBDJTWDJM-RYUDHWBXSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@H]1CCNC1=O ZINC001234555576 888091694 /nfs/dbraw/zinc/09/16/94/888091694.db2.gz WYUHYLBDJTWDJM-RYUDHWBXSA-N 1 2 313.829 1.186 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)Cc1nc(CC2CC2)no1 ZINC001235451662 888655930 /nfs/dbraw/zinc/65/59/30/888655930.db2.gz NYGVCXWUAQBUSJ-UHFFFAOYSA-N 1 2 306.410 1.926 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)Cc1nc(CC2CC2)no1 ZINC001235451662 888655938 /nfs/dbraw/zinc/65/59/38/888655938.db2.gz NYGVCXWUAQBUSJ-UHFFFAOYSA-N 1 2 306.410 1.926 20 30 DDEDLO CN(Cc1cc(C#N)ccn1)CC(C)(C)C[NH+]1CCOCC1 ZINC001364658699 889139858 /nfs/dbraw/zinc/13/98/58/889139858.db2.gz RYUMCHBRJNVXPR-UHFFFAOYSA-N 1 2 302.422 1.743 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@H]2C[C@@H](NC(=O)[C@@H](C)C#N)C2)c(C)[nH+]1 ZINC001386023366 890169301 /nfs/dbraw/zinc/16/93/01/890169301.db2.gz JRFBEFORMFNHBK-LEWSCRJBSA-N 1 2 314.389 1.544 20 30 DDEDLO Cc1cnc(C[NH+]2CCN(c3nccnc3C#N)CC2)c(C)c1 ZINC001238433361 890212596 /nfs/dbraw/zinc/21/25/96/890212596.db2.gz MAMTXAVSEQUXBV-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@H+](CCS(C)(=O)=O)[C@H]1C ZINC001278521220 894056574 /nfs/dbraw/zinc/05/65/74/894056574.db2.gz MMWSOTIHUARVES-UONOGXRCSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@@H+](CCS(C)(=O)=O)[C@H]1C ZINC001278521220 894056587 /nfs/dbraw/zinc/05/65/87/894056587.db2.gz MMWSOTIHUARVES-UONOGXRCSA-N 1 2 316.467 1.356 20 30 DDEDLO C[C@@H]1CN([C@H]2CC[N@H+](Cc3ccccc3C#N)C2)S(=O)(=O)C1 ZINC001250416015 894282474 /nfs/dbraw/zinc/28/24/74/894282474.db2.gz AVDBNPHBVVKDLP-CJNGLKHVSA-N 1 2 319.430 1.414 20 30 DDEDLO C[C@@H]1CN([C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)S(=O)(=O)C1 ZINC001250416015 894282493 /nfs/dbraw/zinc/28/24/93/894282493.db2.gz AVDBNPHBVVKDLP-CJNGLKHVSA-N 1 2 319.430 1.414 20 30 DDEDLO CC[C@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)NC(=O)[C@H](C)C#N ZINC001388115135 894588263 /nfs/dbraw/zinc/58/82/63/894588263.db2.gz OUDOCGZWGOSYMV-ZYHUDNBSSA-N 1 2 313.361 1.347 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@@H]2CN(C(=O)C#CC(C)C)CC2(C)C)n1 ZINC001278640555 894934782 /nfs/dbraw/zinc/93/47/82/894934782.db2.gz SWNMNGGGQKZQJR-GXTWGEPZSA-N 1 2 318.421 1.925 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001292800980 914010139 /nfs/dbraw/zinc/01/01/39/914010139.db2.gz BNUBNPAOEBIWHF-GFCCVEGCSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001292800980 914010157 /nfs/dbraw/zinc/01/01/57/914010157.db2.gz BNUBNPAOEBIWHF-GFCCVEGCSA-N 1 2 306.410 1.423 20 30 DDEDLO CC[C@@H](CNC(=O)c1c[nH]c(C#N)c1)[NH2+]Cc1csnn1 ZINC001367106341 895882597 /nfs/dbraw/zinc/88/25/97/895882597.db2.gz WKRUNVFQMAQPFI-JTQLQIEISA-N 1 2 304.379 1.036 20 30 DDEDLO COCCCNC(=S)N[NH+]=Cc1ccc(N)c(Cl)c1 ZINC001253535462 895887276 /nfs/dbraw/zinc/88/72/76/895887276.db2.gz FWKHRCVIHQGZQN-UHFFFAOYSA-N 1 2 300.815 1.757 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]2C[C@H](N3CC[NH2+]C[C@H]3C#N)C[C@@H]2C1 ZINC001254901992 896651571 /nfs/dbraw/zinc/65/15/71/896651571.db2.gz AQUJVXAGMTVXDR-LXTVHRRPSA-N 1 2 320.437 1.429 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)CC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001367501932 896939646 /nfs/dbraw/zinc/93/96/46/896939646.db2.gz NMZNEUPUWMXZNW-LBPRGKRZSA-N 1 2 307.369 1.622 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)CC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001367501932 896939654 /nfs/dbraw/zinc/93/96/54/896939654.db2.gz NMZNEUPUWMXZNW-LBPRGKRZSA-N 1 2 307.369 1.622 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)Cc2ccc(C)c(Cl)c2)C1 ZINC001278877512 897073468 /nfs/dbraw/zinc/07/34/68/897073468.db2.gz LTAAUEKMNBZEES-UHFFFAOYSA-N 1 2 322.836 1.930 20 30 DDEDLO CCOC(=O)C1(C(=O)OCC)CCC([NH2+]C(C)(C)C#N)CC1 ZINC001256992306 897661398 /nfs/dbraw/zinc/66/13/98/897661398.db2.gz KZMZARIIBQKCPP-UHFFFAOYSA-N 1 2 310.394 1.933 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@](C)(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001299579416 898629588 /nfs/dbraw/zinc/62/95/88/898629588.db2.gz ZNWPQRKAMMEPME-DYVFJYSZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](C)(NC(=O)[C@@H](C)OC)C1 ZINC001368160040 898856151 /nfs/dbraw/zinc/85/61/51/898856151.db2.gz REZVTZPLKMTRIZ-PWSUYJOCSA-N 1 2 305.216 1.511 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H](C)OC)C1 ZINC001368160040 898856168 /nfs/dbraw/zinc/85/61/68/898856168.db2.gz REZVTZPLKMTRIZ-PWSUYJOCSA-N 1 2 305.216 1.511 20 30 DDEDLO C=CCS(=O)(=O)Nc1ccc(OC)nc1-n1cc[nH+]c1CC ZINC001259924453 898937284 /nfs/dbraw/zinc/93/72/84/898937284.db2.gz VEQRFCXVQSIJNK-UHFFFAOYSA-N 1 2 322.390 1.766 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H](C)C#N)[NH2+]Cc1nnc(C(F)(F)F)s1 ZINC001390315399 899150005 /nfs/dbraw/zinc/15/00/05/899150005.db2.gz QHPAYXQPMYVRIA-BQBZGAKWSA-N 1 2 321.328 1.311 20 30 DDEDLO CCC[C@H](OC)C(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001390806094 900233756 /nfs/dbraw/zinc/23/37/56/900233756.db2.gz POZWAFXZDXSZMB-INIZCTEOSA-N 1 2 303.406 1.921 20 30 DDEDLO CCC[C@H](OC)C(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001390806094 900233764 /nfs/dbraw/zinc/23/37/64/900233764.db2.gz POZWAFXZDXSZMB-INIZCTEOSA-N 1 2 303.406 1.921 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@H]2C[C@@H](O)C[N@H+]2C)n1CC=C ZINC001262947095 900431493 /nfs/dbraw/zinc/43/14/93/900431493.db2.gz FAYANNZAERFHEL-KGLIPLIRSA-N 1 2 303.410 1.380 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@H]2C[C@@H](O)C[N@@H+]2C)n1CC=C ZINC001262947095 900431501 /nfs/dbraw/zinc/43/15/01/900431501.db2.gz FAYANNZAERFHEL-KGLIPLIRSA-N 1 2 303.410 1.380 20 30 DDEDLO CC[N@H+](CCNC(=O)[C@H](C)C#N)Cc1cc(F)ccc1C#N ZINC001390891089 900452268 /nfs/dbraw/zinc/45/22/68/900452268.db2.gz VRRDXYWGTWFTRC-GFCCVEGCSA-N 1 2 302.353 1.795 20 30 DDEDLO CC[N@@H+](CCNC(=O)[C@H](C)C#N)Cc1cc(F)ccc1C#N ZINC001390891089 900452275 /nfs/dbraw/zinc/45/22/75/900452275.db2.gz VRRDXYWGTWFTRC-GFCCVEGCSA-N 1 2 302.353 1.795 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001263680393 900670447 /nfs/dbraw/zinc/67/04/47/900670447.db2.gz ZKLOHMNKDNQUFA-DXCKQFNASA-N 1 2 317.437 1.058 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC1CC1 ZINC001263680393 900670452 /nfs/dbraw/zinc/67/04/52/900670452.db2.gz ZKLOHMNKDNQUFA-DXCKQFNASA-N 1 2 317.437 1.058 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nc(C)oc1C ZINC001264374697 901057204 /nfs/dbraw/zinc/05/72/04/901057204.db2.gz MSRPOTSLAKHKGN-CQSZACIVSA-N 1 2 305.378 1.088 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nc(C)oc1C ZINC001264374697 901057222 /nfs/dbraw/zinc/05/72/22/901057222.db2.gz MSRPOTSLAKHKGN-CQSZACIVSA-N 1 2 305.378 1.088 20 30 DDEDLO CCCc1nc(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#CCOC)co1 ZINC001264376307 901058696 /nfs/dbraw/zinc/05/86/96/901058696.db2.gz GSZOVENBYUWETL-CQSZACIVSA-N 1 2 319.405 1.423 20 30 DDEDLO CCCc1nc(C(=O)N(C)C[C@H]2CC[N@H+]2CC#CCOC)co1 ZINC001264376307 901058705 /nfs/dbraw/zinc/05/87/05/901058705.db2.gz GSZOVENBYUWETL-CQSZACIVSA-N 1 2 319.405 1.423 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nccc(C)n2)[C@@H]1C ZINC001264698706 901298842 /nfs/dbraw/zinc/29/88/42/901298842.db2.gz OTRVBYLFYVAOCI-CABCVRRESA-N 1 2 300.406 1.668 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nccc(C)n2)[C@@H]1C ZINC001264698706 901298849 /nfs/dbraw/zinc/29/88/49/901298849.db2.gz OTRVBYLFYVAOCI-CABCVRRESA-N 1 2 300.406 1.668 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2ccccc2F)C1 ZINC001265201622 901695459 /nfs/dbraw/zinc/69/54/59/901695459.db2.gz TUUAAIANWFJWMI-CYBMUJFWSA-N 1 2 319.380 1.322 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2ccccc2F)C1 ZINC001265201622 901695468 /nfs/dbraw/zinc/69/54/68/901695468.db2.gz TUUAAIANWFJWMI-CYBMUJFWSA-N 1 2 319.380 1.322 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265205293 901699784 /nfs/dbraw/zinc/69/97/84/901699784.db2.gz GHSKUWWCXVIBEC-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265205293 901699790 /nfs/dbraw/zinc/69/97/90/901699790.db2.gz GHSKUWWCXVIBEC-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001265222796 901734398 /nfs/dbraw/zinc/73/43/98/901734398.db2.gz LCWOHYOCGUIUBL-GJZGRUSLSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001265222796 901734407 /nfs/dbraw/zinc/73/44/07/901734407.db2.gz LCWOHYOCGUIUBL-GJZGRUSLSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CC23CCC3)C1 ZINC001265227343 901742114 /nfs/dbraw/zinc/74/21/14/901742114.db2.gz UBIXOYCILBTCTA-KBPBESRZSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CC23CCC3)C1 ZINC001265227343 901742126 /nfs/dbraw/zinc/74/21/26/901742126.db2.gz UBIXOYCILBTCTA-KBPBESRZSA-N 1 2 305.422 1.059 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CCC[N@@H+]([C@@H](C)C(N)=O)C2)CCCCC1 ZINC001265235667 901754741 /nfs/dbraw/zinc/75/47/41/901754741.db2.gz UMKGNVHUUJLXHC-LSDHHAIUSA-N 1 2 319.449 1.415 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CCC[N@H+]([C@@H](C)C(N)=O)C2)CCCCC1 ZINC001265235667 901754756 /nfs/dbraw/zinc/75/47/56/901754756.db2.gz UMKGNVHUUJLXHC-LSDHHAIUSA-N 1 2 319.449 1.415 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2ccoc2CCC)C1 ZINC001265312426 901870765 /nfs/dbraw/zinc/87/07/65/901870765.db2.gz NJBPSEPQVBYEGA-CYBMUJFWSA-N 1 2 319.405 1.338 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2ccoc2CCC)C1 ZINC001265312426 901870773 /nfs/dbraw/zinc/87/07/73/901870773.db2.gz NJBPSEPQVBYEGA-CYBMUJFWSA-N 1 2 319.405 1.338 20 30 DDEDLO CN(CC#N)C[C@H]1CCCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001265399148 901973001 /nfs/dbraw/zinc/97/30/01/901973001.db2.gz PSTWPJGWBWIEGA-CYBMUJFWSA-N 1 2 303.410 1.381 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1cnnn1C ZINC001265652358 902256679 /nfs/dbraw/zinc/25/66/79/902256679.db2.gz UKGQKTHCCFQCAY-OAHLLOKOSA-N 1 2 317.437 1.479 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CCCC[N@H+]1Cc1cnnn1C ZINC001265652358 902256689 /nfs/dbraw/zinc/25/66/89/902256689.db2.gz UKGQKTHCCFQCAY-OAHLLOKOSA-N 1 2 317.437 1.479 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@H](C)n2cncn2)CC1 ZINC001279536088 903309355 /nfs/dbraw/zinc/30/93/55/903309355.db2.gz JKJDQTWNSPZXCT-LBPRGKRZSA-N 1 2 309.801 1.340 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@H](C)n2cncn2)CC1 ZINC001279536088 903309363 /nfs/dbraw/zinc/30/93/63/903309363.db2.gz JKJDQTWNSPZXCT-LBPRGKRZSA-N 1 2 309.801 1.340 20 30 DDEDLO C=C(C)CCC(=O)N1CCC(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001293827917 914723488 /nfs/dbraw/zinc/72/34/88/914723488.db2.gz LQAIDYHEMQNPTI-UHFFFAOYSA-N 1 2 318.421 1.594 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)c1n[nH]cc1F ZINC001392311513 903853683 /nfs/dbraw/zinc/85/36/83/903853683.db2.gz ISJRAMFFOXNDDC-UHFFFAOYSA-N 1 2 315.352 1.624 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)c1n[nH]cc1F ZINC001392311513 903853686 /nfs/dbraw/zinc/85/36/86/903853686.db2.gz ISJRAMFFOXNDDC-UHFFFAOYSA-N 1 2 315.352 1.624 20 30 DDEDLO C=CCCCC(=O)N1CC([C@@H](C)NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001280640156 903874192 /nfs/dbraw/zinc/87/41/92/903874192.db2.gz DYBKXTNMGPGFRZ-GFCCVEGCSA-N 1 2 318.421 1.580 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1F ZINC001316604820 903883075 /nfs/dbraw/zinc/88/30/75/903883075.db2.gz FUEWEFXPSVJJBA-ZDUSSCGKSA-N 1 2 305.353 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1F ZINC001316604820 903883081 /nfs/dbraw/zinc/88/30/81/903883081.db2.gz FUEWEFXPSVJJBA-ZDUSSCGKSA-N 1 2 305.353 1.017 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001280764436 904003314 /nfs/dbraw/zinc/00/33/14/904003314.db2.gz OIGFAWGPJLUOJN-LXTVHRRPSA-N 1 2 318.421 1.352 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CCC2(C[NH+](CCOC)C2)O1 ZINC001280930555 904200693 /nfs/dbraw/zinc/20/06/93/904200693.db2.gz ZAWXHBHLHFSTQP-CQSZACIVSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)Cc1ccc(F)cc1)O2 ZINC001280931924 904204516 /nfs/dbraw/zinc/20/45/16/904204516.db2.gz QXONXRVHRMXWBB-INIZCTEOSA-N 1 2 318.392 1.904 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](CCC)OC ZINC001281072731 904374036 /nfs/dbraw/zinc/37/40/36/904374036.db2.gz QFFCWTAVBMWYAF-MSOLQXFVSA-N 1 2 314.429 1.978 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](CCC)OC ZINC001281072731 904374054 /nfs/dbraw/zinc/37/40/54/904374054.db2.gz QFFCWTAVBMWYAF-MSOLQXFVSA-N 1 2 314.429 1.978 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)Cc3cnc(C)o3)cc2C1 ZINC001281292979 904659855 /nfs/dbraw/zinc/65/98/55/904659855.db2.gz KWNBHVOPTUMPHF-UHFFFAOYSA-N 1 2 309.369 1.791 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)Cc3cnc(C)o3)cc2C1 ZINC001281292979 904659863 /nfs/dbraw/zinc/65/98/63/904659863.db2.gz KWNBHVOPTUMPHF-UHFFFAOYSA-N 1 2 309.369 1.791 20 30 DDEDLO C=CCCC(=O)NC[C@H](NC(=O)Cc1[nH]c[nH+]c1C)C(C)(C)C ZINC001281309145 904669677 /nfs/dbraw/zinc/66/96/77/904669677.db2.gz APQWKQVEEJYHLY-AWEZNQCLSA-N 1 2 320.437 1.874 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)CC[C@H]1C ZINC001281419351 904820362 /nfs/dbraw/zinc/82/03/62/904820362.db2.gz XUNVKMJDHFDFLC-OCCSQVGLSA-N 1 2 318.421 1.723 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CCN1C(=O)Cn1cc[nH+]c1 ZINC001282031195 905436353 /nfs/dbraw/zinc/43/63/53/905436353.db2.gz HZDSXPIPQHRUCC-HNNXBMFYSA-N 1 2 316.405 1.136 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H](C)[N@H+](C)Cc1nc(C)c(C)o1 ZINC001282391135 905739995 /nfs/dbraw/zinc/73/99/95/905739995.db2.gz LWLOJMYLWIXWRN-FZMZJTMJSA-N 1 2 307.394 1.266 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H](C)[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001282391135 905740011 /nfs/dbraw/zinc/74/00/11/905740011.db2.gz LWLOJMYLWIXWRN-FZMZJTMJSA-N 1 2 307.394 1.266 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)CCC2CCOCC2)C1 ZINC001282540033 905860471 /nfs/dbraw/zinc/86/04/71/905860471.db2.gz SWOATFXKUDCSPH-UHFFFAOYSA-N 1 2 310.438 1.322 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)c2cscc2C)C1 ZINC001282701881 905963370 /nfs/dbraw/zinc/96/33/70/905963370.db2.gz WYUHVCZTAWCXBF-LBPRGKRZSA-N 1 2 321.446 1.409 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C[C@@H](C)C2CC2)C1 ZINC001282739977 906004613 /nfs/dbraw/zinc/00/46/13/906004613.db2.gz MRLHKKPYFNCUIT-CHWSQXEVSA-N 1 2 307.438 1.161 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001283468461 907401919 /nfs/dbraw/zinc/40/19/19/907401919.db2.gz FJASGJWUHNGEHI-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C=CC(C)(C)C(=O)NCCCN(C(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001283800115 907976515 /nfs/dbraw/zinc/97/65/15/907976515.db2.gz LZNRWNLKJXVBSS-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)[C@H]1C ZINC001283897539 908151127 /nfs/dbraw/zinc/15/11/27/908151127.db2.gz FFANOQIWANBLRS-OLZOCXBDSA-N 1 2 322.409 1.651 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(C#N)[nH]1)[C@H](C)[NH2+]Cc1csnn1 ZINC001393920087 908249422 /nfs/dbraw/zinc/24/94/22/908249422.db2.gz FNZUMTJOYHSOCB-DTWKUNHWSA-N 1 2 304.379 1.034 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@@H]1[N@H+](Cc1ncnn1CC)CC2 ZINC001284043671 908375833 /nfs/dbraw/zinc/37/58/33/908375833.db2.gz FDJSDUHRXKUZKP-YOEHRIQHSA-N 1 2 317.437 1.877 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@@H]1[N@@H+](Cc1ncnn1CC)CC2 ZINC001284043671 908375847 /nfs/dbraw/zinc/37/58/47/908375847.db2.gz FDJSDUHRXKUZKP-YOEHRIQHSA-N 1 2 317.437 1.877 20 30 DDEDLO C=CCCOCC(=O)N[C@@]12CCC[C@H]1[N@H+](Cc1ccon1)CC2 ZINC001284067867 908421216 /nfs/dbraw/zinc/42/12/16/908421216.db2.gz CNNJMKBMGZNARI-NVXWUHKLSA-N 1 2 319.405 1.881 20 30 DDEDLO C=CCCOCC(=O)N[C@@]12CCC[C@H]1[N@@H+](Cc1ccon1)CC2 ZINC001284067867 908421223 /nfs/dbraw/zinc/42/12/23/908421223.db2.gz CNNJMKBMGZNARI-NVXWUHKLSA-N 1 2 319.405 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@H]1CCc2nccn2C1 ZINC001394084650 908745811 /nfs/dbraw/zinc/74/58/11/908745811.db2.gz YGTZQWPEYJMJRQ-STQMWFEESA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@H]1CCc2nccn2C1 ZINC001394084650 908745821 /nfs/dbraw/zinc/74/58/21/908745821.db2.gz YGTZQWPEYJMJRQ-STQMWFEESA-N 1 2 310.829 1.635 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(CC)C(=O)Cc2c[nH+]cn2C)C1 ZINC001284649920 909296976 /nfs/dbraw/zinc/29/69/76/909296976.db2.gz RLEYREVIUKIMNG-UHFFFAOYSA-N 1 2 318.421 1.284 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)CC[NH+]1CCN(C(=O)C2CC2)CC1 ZINC001284821479 909528363 /nfs/dbraw/zinc/52/83/63/909528363.db2.gz SJPLOKXWSUFNFF-HNNXBMFYSA-N 1 2 321.465 1.601 20 30 DDEDLO CCc1noc(C[NH2+][C@H](C)CN(C)C(=O)c2c[nH]c(C#N)c2)n1 ZINC001394471800 909736623 /nfs/dbraw/zinc/73/66/23/909736623.db2.gz OVHHNZZGFVDFNF-SNVBAGLBSA-N 1 2 316.365 1.082 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)Cc1nnc(C)o1)C(C)C ZINC001394799017 910592002 /nfs/dbraw/zinc/59/20/02/910592002.db2.gz LMHQTJCMQPYICX-UHFFFAOYSA-N 1 2 314.817 1.842 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)Cc1nnc(C)o1)C(C)C ZINC001394799017 910592013 /nfs/dbraw/zinc/59/20/13/910592013.db2.gz LMHQTJCMQPYICX-UHFFFAOYSA-N 1 2 314.817 1.842 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001285873004 911343083 /nfs/dbraw/zinc/34/30/83/911343083.db2.gz XUSZRBMYPVGYIR-CQSZACIVSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001285873004 911343099 /nfs/dbraw/zinc/34/30/99/911343099.db2.gz XUSZRBMYPVGYIR-CQSZACIVSA-N 1 2 304.394 1.416 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)[C@@H](C)CNC(=O)[C@H](C)C#N)c(C)[nH+]1 ZINC001395156039 911480074 /nfs/dbraw/zinc/48/00/74/911480074.db2.gz CXRXPCVJZMBGHO-YPMHNXCESA-N 1 2 316.405 1.743 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1nc(CC2CC2)no1)C1CC1 ZINC001375221099 915302799 /nfs/dbraw/zinc/30/27/99/915302799.db2.gz XRHOJEYCNWFVGP-MFKMUULPSA-N 1 2 317.393 1.166 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001294899106 915448739 /nfs/dbraw/zinc/44/87/39/915448739.db2.gz WGJZQDGIGQSGOB-AWEZNQCLSA-N 1 2 304.394 1.250 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]1C ZINC001397236949 915520169 /nfs/dbraw/zinc/52/01/69/915520169.db2.gz OMSVKALZFWNXGN-SCRDCRAPSA-N 1 2 308.426 1.030 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]1C ZINC001397236949 915520194 /nfs/dbraw/zinc/52/01/94/915520194.db2.gz OMSVKALZFWNXGN-SCRDCRAPSA-N 1 2 308.426 1.030 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001296608923 916501874 /nfs/dbraw/zinc/50/18/74/916501874.db2.gz HUXBOXBVQFAOSJ-UHFFFAOYSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001297276725 916855831 /nfs/dbraw/zinc/85/58/31/916855831.db2.gz QLEKOJSBKJICIG-LBPRGKRZSA-N 1 2 304.394 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCc2nc(C)no2)C1 ZINC001376047993 917560025 /nfs/dbraw/zinc/56/00/25/917560025.db2.gz GFQKBWZEPXIOOZ-LBPRGKRZSA-N 1 2 312.801 1.644 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCc2nc(C)no2)C1 ZINC001376047993 917560029 /nfs/dbraw/zinc/56/00/29/917560029.db2.gz GFQKBWZEPXIOOZ-LBPRGKRZSA-N 1 2 312.801 1.644 20 30 DDEDLO CCCc1noc(C[NH2+][C@H](CNC(=O)[C@@H](C)C#N)C2CC2)n1 ZINC001376197107 917933101 /nfs/dbraw/zinc/93/31/01/917933101.db2.gz KEKSRWVAAZQACK-CMPLNLGQSA-N 1 2 305.382 1.166 20 30 DDEDLO COC[C@H](C)C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001377134892 920430593 /nfs/dbraw/zinc/43/05/93/920430593.db2.gz HAPJZRBWUKYKBF-LBPRGKRZSA-N 1 2 307.369 1.528 20 30 DDEDLO COC[C@H](C)C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001377134892 920430598 /nfs/dbraw/zinc/43/05/98/920430598.db2.gz HAPJZRBWUKYKBF-LBPRGKRZSA-N 1 2 307.369 1.528 20 30 DDEDLO Cc1[nH]c(=O)[nH]c1C(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001377173272 920539576 /nfs/dbraw/zinc/53/95/76/920539576.db2.gz XZRPSKVJGQILGT-UHFFFAOYSA-N 1 2 313.361 1.157 20 30 DDEDLO Cc1[nH]c(=O)[nH]c1C(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001377173272 920539588 /nfs/dbraw/zinc/53/95/88/920539588.db2.gz XZRPSKVJGQILGT-UHFFFAOYSA-N 1 2 313.361 1.157 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cnn2c1CCC2)C1CC1 ZINC001377529165 922235748 /nfs/dbraw/zinc/23/57/48/922235748.db2.gz NNSUJJGNEOIFCT-UHFFFAOYSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cnn2c1CCC2)C1CC1 ZINC001377529165 922235759 /nfs/dbraw/zinc/23/57/59/922235759.db2.gz NNSUJJGNEOIFCT-UHFFFAOYSA-N 1 2 308.813 1.776 20 30 DDEDLO COc1cc(C[N@H+](C)[C@@H](C)CNC(=O)c2ccc(C#N)[nH]2)on1 ZINC001378026710 923745410 /nfs/dbraw/zinc/74/54/10/923745410.db2.gz VXEXVCDKMRBIGD-JTQLQIEISA-N 1 2 317.349 1.133 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@@H](C)CNC(=O)c2ccc(C#N)[nH]2)on1 ZINC001378026710 923745418 /nfs/dbraw/zinc/74/54/18/923745418.db2.gz VXEXVCDKMRBIGD-JTQLQIEISA-N 1 2 317.349 1.133 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)c1ncc([N+](=O)[O-])cc1C#N ZINC000278553133 214231967 /nfs/dbraw/zinc/23/19/67/214231967.db2.gz AFHJESOBUGLPTF-UHFFFAOYSA-N 1 2 319.365 1.408 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)c1ncc([N+](=O)[O-])cc1C#N ZINC000278553133 214231970 /nfs/dbraw/zinc/23/19/70/214231970.db2.gz AFHJESOBUGLPTF-UHFFFAOYSA-N 1 2 319.365 1.408 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2[C@H](C)C[C@@H](O)C[C@@H]2C)c(C#N)c1C ZINC000249125491 282134815 /nfs/dbraw/zinc/13/48/15/282134815.db2.gz ZZRCPALQXFHERU-DDFAGTSDSA-N 1 2 305.378 1.940 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2[C@H](C)C[C@@H](O)C[C@@H]2C)c(C#N)c1C ZINC000249125491 282134816 /nfs/dbraw/zinc/13/48/16/282134816.db2.gz ZZRCPALQXFHERU-DDFAGTSDSA-N 1 2 305.378 1.940 20 30 DDEDLO Cc1ccc(CNC(=O)CO[NH+]=C(N)[C@@H]2CCCO2)cc1F ZINC000284042185 222613903 /nfs/dbraw/zinc/61/39/03/222613903.db2.gz IZZQSTKZOITEKT-ZDUSSCGKSA-N 1 2 309.341 1.218 20 30 DDEDLO C[C@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H]1CO ZINC000305698972 231020179 /nfs/dbraw/zinc/02/01/79/231020179.db2.gz BAMJHUSGWKYREP-BBRMVZONSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H]1CO ZINC000305698972 231020182 /nfs/dbraw/zinc/02/01/82/231020182.db2.gz BAMJHUSGWKYREP-BBRMVZONSA-N 1 2 322.430 1.425 20 30 DDEDLO CNC(=O)C1CC[NH+]([C@@H](C(=O)OC)c2cccc(C#N)c2)CC1 ZINC000083132896 185213528 /nfs/dbraw/zinc/21/35/28/185213528.db2.gz ZFQZJSOELKNRQZ-OAHLLOKOSA-N 1 2 315.373 1.230 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)c1ccc(C#N)s1 ZINC000451928877 529589490 /nfs/dbraw/zinc/58/94/90/529589490.db2.gz NBTRRYIAMYPAKS-SECBINFHSA-N 1 2 310.404 1.876 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@](OC)(C(F)(F)F)C1 ZINC000614923467 362079669 /nfs/dbraw/zinc/07/96/69/362079669.db2.gz AOXHJXCHVROSPZ-GFCCVEGCSA-N 1 2 323.315 1.042 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@](OC)(C(F)(F)F)C1 ZINC000614923467 362079671 /nfs/dbraw/zinc/07/96/71/362079671.db2.gz AOXHJXCHVROSPZ-GFCCVEGCSA-N 1 2 323.315 1.042 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)N(C)Cc1cc[nH]n1)CCC2 ZINC000328735115 539298655 /nfs/dbraw/zinc/29/86/55/539298655.db2.gz YWUYJLHLVUDBAS-GFCCVEGCSA-N 1 2 302.382 1.838 20 30 DDEDLO C[C@H](Cn1cncn1)[NH+]=C([O-])N1CCC(n2cc[nH+]c2)CC1 ZINC000329151927 539301412 /nfs/dbraw/zinc/30/14/12/539301412.db2.gz AKIVDGIEMPZLQT-GFCCVEGCSA-N 1 2 303.370 1.114 20 30 DDEDLO C[C@H](Cn1cncn1)NC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000329151927 539301413 /nfs/dbraw/zinc/30/14/13/539301413.db2.gz AKIVDGIEMPZLQT-GFCCVEGCSA-N 1 2 303.370 1.114 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)[C@@H](C#N)CCc3ccccc3)C[C@@H]21 ZINC000330247077 529786426 /nfs/dbraw/zinc/78/64/26/529786426.db2.gz YNTMISJYEDYJCB-IXDOHACOSA-N 1 2 313.401 1.300 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)[C@@H](C#N)CCc3ccccc3)C[C@@H]21 ZINC000330247077 529786427 /nfs/dbraw/zinc/78/64/27/529786427.db2.gz YNTMISJYEDYJCB-IXDOHACOSA-N 1 2 313.401 1.300 20 30 DDEDLO CNC(=O)CC1CC[NH+](Cc2c(C#N)cccc2[N+](=O)[O-])CC1 ZINC000459372622 529846444 /nfs/dbraw/zinc/84/64/44/529846444.db2.gz ZFQQKQYSYBRZOY-UHFFFAOYSA-N 1 2 316.361 1.815 20 30 DDEDLO CN(CC(=O)NC(=O)NC1CC1)Cc1cn2c([nH+]1)CCCC2 ZINC000330262785 529853047 /nfs/dbraw/zinc/85/30/47/529853047.db2.gz VQKMNKOYCBILAG-UHFFFAOYSA-N 1 2 305.382 1.684 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)NCc2ccc(N3CCCC3)[nH+]c2)C1 ZINC000615531757 362322270 /nfs/dbraw/zinc/32/22/70/362322270.db2.gz PECIEVVSFMFCDN-MRXNPFEDSA-N 1 2 317.368 1.829 20 30 DDEDLO COCCN(CC#N)C[C@H](O)Cn1c[nH+]c2cc(C)c(C)cc21 ZINC000457349466 232040850 /nfs/dbraw/zinc/04/08/50/232040850.db2.gz KPDQJTDCNKRHTG-HNNXBMFYSA-N 1 2 316.405 1.486 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2C[C@H](O)C(C)(C)C2)c(C#N)c1C ZINC000279814761 215169982 /nfs/dbraw/zinc/16/99/82/215169982.db2.gz QEHRSMCBNPAEMF-MFKMUULPSA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2C[C@H](O)C(C)(C)C2)c(C#N)c1C ZINC000279814761 215169986 /nfs/dbraw/zinc/16/99/86/215169986.db2.gz QEHRSMCBNPAEMF-MFKMUULPSA-N 1 2 305.378 1.798 20 30 DDEDLO C[C@@H]1OCC[C@H]1[N@H+](CCO)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459451042 233210287 /nfs/dbraw/zinc/21/02/87/233210287.db2.gz VEKVVKFPCIKRAY-SMDDNHRTSA-N 1 2 305.334 1.438 20 30 DDEDLO C[C@@H]1OCC[C@H]1[N@@H+](CCO)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459451042 233210303 /nfs/dbraw/zinc/21/03/03/233210303.db2.gz VEKVVKFPCIKRAY-SMDDNHRTSA-N 1 2 305.334 1.438 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000186065927 186237422 /nfs/dbraw/zinc/23/74/22/186237422.db2.gz RHBSLSGCBBZFCU-OLZOCXBDSA-N 1 2 307.419 1.442 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)C[C@H]1C ZINC000191865522 186266547 /nfs/dbraw/zinc/26/65/47/186266547.db2.gz VYGUUKOZJCVQRD-DOMZBBRYSA-N 1 2 315.373 1.628 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C[C@H]1C ZINC000191865522 186266549 /nfs/dbraw/zinc/26/65/49/186266549.db2.gz VYGUUKOZJCVQRD-DOMZBBRYSA-N 1 2 315.373 1.628 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@H](OCCO)C2)c(C#N)c1C ZINC000172294371 198072655 /nfs/dbraw/zinc/07/26/55/198072655.db2.gz WXGUDZLDASMAMQ-ZDUSSCGKSA-N 1 2 321.377 1.180 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@H](OCCO)C2)c(C#N)c1C ZINC000172294371 198072656 /nfs/dbraw/zinc/07/26/56/198072656.db2.gz WXGUDZLDASMAMQ-ZDUSSCGKSA-N 1 2 321.377 1.180 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)C1 ZINC000333435884 303167883 /nfs/dbraw/zinc/16/78/83/303167883.db2.gz VJTMANAWOLKMOW-ZNMIVQPWSA-N 1 2 306.410 1.185 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)[C@H](C)Oc1ccc(C#N)cc1 ZINC000046886515 352468909 /nfs/dbraw/zinc/46/89/09/352468909.db2.gz UGHIOUBRPIJDKW-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000047151635 352483904 /nfs/dbraw/zinc/48/39/04/352483904.db2.gz FGPWMIJPKCDZBM-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@@H+]2CC(=O)N(CCC#N)CCC#N)no1 ZINC000054985062 352711094 /nfs/dbraw/zinc/71/10/94/352711094.db2.gz HXLCEARZBIIWCR-HNNXBMFYSA-N 1 2 315.377 1.776 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@H+]2CC(=O)N(CCC#N)CCC#N)no1 ZINC000054985062 352711096 /nfs/dbraw/zinc/71/10/96/352711096.db2.gz HXLCEARZBIIWCR-HNNXBMFYSA-N 1 2 315.377 1.776 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C/c1ccncc1 ZINC000491400029 234157632 /nfs/dbraw/zinc/15/76/32/234157632.db2.gz HENMGILRYQHTMG-NSCUHMNNSA-N 1 2 314.389 1.169 20 30 DDEDLO Cc1onc(CC(=O)N2CC[NH+](Cc3ccco3)CC2)c1C#N ZINC000331861282 234207573 /nfs/dbraw/zinc/20/75/73/234207573.db2.gz QPCZCQKJSFFPEM-UHFFFAOYSA-N 1 2 314.345 1.335 20 30 DDEDLO N#CCCN(C(=O)C[N@H+]1CCCC[C@@H]1C(N)=O)c1ccccc1 ZINC000061781808 352893975 /nfs/dbraw/zinc/89/39/75/352893975.db2.gz PBCQOQQZKHHLMC-OAHLLOKOSA-N 1 2 314.389 1.273 20 30 DDEDLO N#CCCN(C(=O)C[N@@H+]1CCCC[C@@H]1C(N)=O)c1ccccc1 ZINC000061781808 352893978 /nfs/dbraw/zinc/89/39/78/352893978.db2.gz PBCQOQQZKHHLMC-OAHLLOKOSA-N 1 2 314.389 1.273 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccc(Cl)cc2C#N)CC1 ZINC000068428497 353111115 /nfs/dbraw/zinc/11/11/15/353111115.db2.gz MXKBIAQBVAPARH-UHFFFAOYSA-N 1 2 318.808 1.636 20 30 DDEDLO C#CCNC(=O)NC[C@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000075814838 353380515 /nfs/dbraw/zinc/38/05/15/353380515.db2.gz DKEBAVFXVZXYEV-MRXNPFEDSA-N 1 2 301.390 1.301 20 30 DDEDLO N#Cc1ccsc1C(=O)N1CC[NH+]([C@@H]2CCSC2)CC1 ZINC000080436432 353607768 /nfs/dbraw/zinc/60/77/68/353607768.db2.gz UUDJWTMDXJOYOU-GFCCVEGCSA-N 1 2 307.444 1.883 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)C(=O)Nc1cc(C#N)ccc1Cl ZINC000088774895 353759518 /nfs/dbraw/zinc/75/95/18/353759518.db2.gz GSVVCEOAQZYBLC-UHFFFAOYSA-N 1 2 317.736 1.200 20 30 DDEDLO C[C@H]1CO[C@H](CO)C[N@@H+]1Cc1cccc(OCCCC#N)c1 ZINC000092041710 353841223 /nfs/dbraw/zinc/84/12/23/353841223.db2.gz WOQNYQFOCQAXAP-YOEHRIQHSA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@H]1CO[C@H](CO)C[N@H+]1Cc1cccc(OCCCC#N)c1 ZINC000092041710 353841226 /nfs/dbraw/zinc/84/12/26/353841226.db2.gz WOQNYQFOCQAXAP-YOEHRIQHSA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@H]1CC[N@H+](CC(=O)Nc2ccccc2SCC#N)C[C@@H]1O ZINC000093342401 353896598 /nfs/dbraw/zinc/89/65/98/353896598.db2.gz ZBAUBEBECDTYHZ-JSGCOSHPSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@H]1CC[N@@H+](CC(=O)Nc2ccccc2SCC#N)C[C@@H]1O ZINC000093342401 353896599 /nfs/dbraw/zinc/89/65/99/353896599.db2.gz ZBAUBEBECDTYHZ-JSGCOSHPSA-N 1 2 319.430 1.943 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H](N2CCCC2=O)C1 ZINC000111295703 353965468 /nfs/dbraw/zinc/96/54/68/353965468.db2.gz TXNBYNRZKAOOMT-YOEHRIQHSA-N 1 2 320.437 1.128 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H](N2CCCC2=O)C1 ZINC000111295703 353965473 /nfs/dbraw/zinc/96/54/73/353965473.db2.gz TXNBYNRZKAOOMT-YOEHRIQHSA-N 1 2 320.437 1.128 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)NC[C@@H](C)C#N)CC1 ZINC000182842494 354277049 /nfs/dbraw/zinc/27/70/49/354277049.db2.gz SEKIGVYPYIHABP-AWEZNQCLSA-N 1 2 316.405 1.682 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3OCC#N)C[C@@H]21 ZINC000225464664 354317412 /nfs/dbraw/zinc/31/74/12/354317412.db2.gz ZMEIXLNAPXWBFB-HOCLYGCPSA-N 1 2 315.373 1.134 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3OCC#N)C[C@@H]21 ZINC000225464664 354317417 /nfs/dbraw/zinc/31/74/17/354317417.db2.gz ZMEIXLNAPXWBFB-HOCLYGCPSA-N 1 2 315.373 1.134 20 30 DDEDLO Cc1oncc1C[N@@H+]1C[C@@H](F)C[C@H]1CNc1cnc(C#N)cn1 ZINC000328655605 354560527 /nfs/dbraw/zinc/56/05/27/354560527.db2.gz YNOIWIJJRLLIEF-JSGCOSHPSA-N 1 2 316.340 1.669 20 30 DDEDLO Cc1oncc1C[N@H+]1C[C@@H](F)C[C@H]1CNc1cnc(C#N)cn1 ZINC000328655605 354560530 /nfs/dbraw/zinc/56/05/30/354560530.db2.gz YNOIWIJJRLLIEF-JSGCOSHPSA-N 1 2 316.340 1.669 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N[C@H]1CCO[C@@H](c2c[nH+]cn2C)C1 ZINC000333015557 354574767 /nfs/dbraw/zinc/57/47/67/354574767.db2.gz XTNFDRZEVYTLDL-SJXGUFTOSA-N 1 2 319.405 1.738 20 30 DDEDLO CC[C@@H](CC#N)[NH2+][C@H]1CCN(c2cccc([N+](=O)[O-])c2)C1=O ZINC000579849763 354724170 /nfs/dbraw/zinc/72/41/70/354724170.db2.gz ANQFSRAAWBSAGD-FZMZJTMJSA-N 1 2 302.334 1.982 20 30 DDEDLO CCn1cc(C[N@@H+]2CCCN(C(=O)[C@@]3(C#N)C[C@@H]3C)CC2)cn1 ZINC000448922854 354682081 /nfs/dbraw/zinc/68/20/81/354682081.db2.gz RTUPIXMJCYHQRX-WMLDXEAASA-N 1 2 315.421 1.487 20 30 DDEDLO CCn1cc(C[N@H+]2CCCN(C(=O)[C@@]3(C#N)C[C@@H]3C)CC2)cn1 ZINC000448922854 354682085 /nfs/dbraw/zinc/68/20/85/354682085.db2.gz RTUPIXMJCYHQRX-WMLDXEAASA-N 1 2 315.421 1.487 20 30 DDEDLO CCS(=O)(=O)N1CC[N@H+](Cc2ccc(C#N)cc2C)[C@H](C)C1 ZINC000588210548 354910020 /nfs/dbraw/zinc/91/00/20/354910020.db2.gz DQYUNZXFWFTNCL-CQSZACIVSA-N 1 2 321.446 1.723 20 30 DDEDLO CCS(=O)(=O)N1CC[N@@H+](Cc2ccc(C#N)cc2C)[C@H](C)C1 ZINC000588210548 354910024 /nfs/dbraw/zinc/91/00/24/354910024.db2.gz DQYUNZXFWFTNCL-CQSZACIVSA-N 1 2 321.446 1.723 20 30 DDEDLO Cn1cc[nH+]c1-c1cccc(NC(=O)Cn2cnc(C#N)n2)c1 ZINC000494400794 235031134 /nfs/dbraw/zinc/03/11/34/235031134.db2.gz XWYWYDKNSHVGNQ-UHFFFAOYSA-N 1 2 307.317 1.189 20 30 DDEDLO Cc1nc(C(F)(F)F)ccc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000589827880 355021935 /nfs/dbraw/zinc/02/19/35/355021935.db2.gz WHFBFWPMFYVOFS-CYBMUJFWSA-N 1 2 314.311 1.983 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CC[NH+](C[C@@H]2CCCO2)CC1 ZINC000590131529 355052304 /nfs/dbraw/zinc/05/23/04/355052304.db2.gz BZCUZVJCVRZWOT-HNNXBMFYSA-N 1 2 314.389 1.199 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)s1 ZINC000591535458 355324483 /nfs/dbraw/zinc/32/44/83/355324483.db2.gz VQWYLKOEAJBNMK-OAHLLOKOSA-N 1 2 319.430 1.879 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@H]2COCC[N@H+]2C2CCCC2)s1 ZINC000591535458 355324485 /nfs/dbraw/zinc/32/44/85/355324485.db2.gz VQWYLKOEAJBNMK-OAHLLOKOSA-N 1 2 319.430 1.879 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@H](n3ccnn3)C2)c1 ZINC000591851612 355395090 /nfs/dbraw/zinc/39/50/90/355395090.db2.gz GCAAPQCCPXZBLP-HNNXBMFYSA-N 1 2 310.361 1.425 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCC[C@H](n3ccnn3)C2)c1 ZINC000591851612 355395093 /nfs/dbraw/zinc/39/50/93/355395093.db2.gz GCAAPQCCPXZBLP-HNNXBMFYSA-N 1 2 310.361 1.425 20 30 DDEDLO CCOc1ccccc1NC(=O)[C@H](C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592147748 355512832 /nfs/dbraw/zinc/51/28/32/355512832.db2.gz RRPPICRQJALARS-SUMWQHHRSA-N 1 2 317.389 1.763 20 30 DDEDLO CCOc1ccccc1NC(=O)[C@H](C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592147748 355512835 /nfs/dbraw/zinc/51/28/35/355512835.db2.gz RRPPICRQJALARS-SUMWQHHRSA-N 1 2 317.389 1.763 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)c(C)c1C ZINC000592151920 355518719 /nfs/dbraw/zinc/51/87/19/355518719.db2.gz SEPKDGAXAWTJPR-OAHLLOKOSA-N 1 2 307.419 1.962 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CC[C@](O)(CC#N)C2)c(C)c1C ZINC000592151920 355518721 /nfs/dbraw/zinc/51/87/21/355518721.db2.gz SEPKDGAXAWTJPR-OAHLLOKOSA-N 1 2 307.419 1.962 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2cc3c(cc2[N+](=O)[O-])OCCO3)C1 ZINC000592523330 355611995 /nfs/dbraw/zinc/61/19/95/355611995.db2.gz YNSNGULIHPGJEJ-HNNXBMFYSA-N 1 2 319.317 1.216 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2cc3c(cc2[N+](=O)[O-])OCCO3)C1 ZINC000592523330 355611998 /nfs/dbraw/zinc/61/19/98/355611998.db2.gz YNSNGULIHPGJEJ-HNNXBMFYSA-N 1 2 319.317 1.216 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)C2(CCC2)CO1 ZINC000593094222 355794237 /nfs/dbraw/zinc/79/42/37/355794237.db2.gz XRYCIZAXFWYEPZ-CQSZACIVSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)C2(CCC2)CO1 ZINC000593094222 355794240 /nfs/dbraw/zinc/79/42/40/355794240.db2.gz XRYCIZAXFWYEPZ-CQSZACIVSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@@H](NC(=O)NCC1(C#N)CCCC1)[C@H](C)[NH+]1CCOCC1 ZINC000593339915 355858333 /nfs/dbraw/zinc/85/83/33/355858333.db2.gz WFMZPWOZCGGQIS-KGLIPLIRSA-N 1 2 308.426 1.479 20 30 DDEDLO N#Cc1sccc1S(=O)(=O)N1CC[NH+](C2CCC2)CC1 ZINC000593545504 355920539 /nfs/dbraw/zinc/92/05/39/355920539.db2.gz UCCDXGDBFZKZMG-UHFFFAOYSA-N 1 2 311.432 1.479 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC000593444321 355886897 /nfs/dbraw/zinc/88/68/97/355886897.db2.gz VNALIUIFWBWMFK-CABCVRRESA-N 1 2 303.410 1.527 20 30 DDEDLO N#CCCCCC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000593957888 356073094 /nfs/dbraw/zinc/07/30/94/356073094.db2.gz DRXHBUHUWQVETO-UHFFFAOYSA-N 1 2 302.378 1.618 20 30 DDEDLO C[C@H](CNS(=O)(=O)CCCCC#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594471274 356227965 /nfs/dbraw/zinc/22/79/65/356227965.db2.gz ABSGQMYQRGMQNX-MCIONIFRSA-N 1 2 317.455 1.097 20 30 DDEDLO C[C@H](CNS(=O)(=O)CCCCC#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594471274 356227968 /nfs/dbraw/zinc/22/79/68/356227968.db2.gz ABSGQMYQRGMQNX-MCIONIFRSA-N 1 2 317.455 1.097 20 30 DDEDLO N#CC1(c2ccc(C[NH2+][C@@H](C(N)=O)c3ccccn3)cc2)CC1 ZINC000594946040 356361811 /nfs/dbraw/zinc/36/18/11/356361811.db2.gz ZYKFFLLZCUIHIH-MRXNPFEDSA-N 1 2 306.369 1.953 20 30 DDEDLO N#CC[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000595087361 356380907 /nfs/dbraw/zinc/38/09/07/356380907.db2.gz WWQMUGMYFHSLDK-LBPRGKRZSA-N 1 2 311.345 1.425 20 30 DDEDLO COc1cc(CN2CC[NH+](Cc3ccc(C#N)cc3)CC2)on1 ZINC000595286529 356432767 /nfs/dbraw/zinc/43/27/67/356432767.db2.gz KKLFKEMEAYTYEA-UHFFFAOYSA-N 1 2 312.373 1.873 20 30 DDEDLO N#C[C@@H](c1ccc(F)cc1)N1CC[NH+](CCc2c[nH]nn2)CC1 ZINC000595430058 356489659 /nfs/dbraw/zinc/48/96/59/356489659.db2.gz VPGXOFVGXKJRCE-INIZCTEOSA-N 1 2 314.368 1.369 20 30 DDEDLO N#C[C@@H](c1ccc(F)cc1)N1CC[NH+](CCc2cn[nH]n2)CC1 ZINC000595430058 356489663 /nfs/dbraw/zinc/48/96/63/356489663.db2.gz VPGXOFVGXKJRCE-INIZCTEOSA-N 1 2 314.368 1.369 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)NC(=O)NC[C@@H]1COCC[N@H+]1C ZINC000624210171 366240294 /nfs/dbraw/zinc/24/02/94/366240294.db2.gz FICZRMKOZZDPKD-IAGOWNOFSA-N 1 2 317.433 1.804 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)NC(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000624210171 366240300 /nfs/dbraw/zinc/24/03/00/366240300.db2.gz FICZRMKOZZDPKD-IAGOWNOFSA-N 1 2 317.433 1.804 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)NCC1(C#N)CCCCC1 ZINC000595514880 356527281 /nfs/dbraw/zinc/52/72/81/356527281.db2.gz GCCSPBLVHCTCGD-UHFFFAOYSA-N 1 2 322.453 1.870 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)NCC1(C#N)CCCCC1 ZINC000595514880 356527284 /nfs/dbraw/zinc/52/72/84/356527284.db2.gz GCCSPBLVHCTCGD-UHFFFAOYSA-N 1 2 322.453 1.870 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH2+]C(C)(C)c1nc(C)cc(=O)[nH]1 ZINC000595520117 356530530 /nfs/dbraw/zinc/53/05/30/356530530.db2.gz MSRAGRWYDXKQIG-NSHDSACASA-N 1 2 319.409 1.324 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000081611785 192303140 /nfs/dbraw/zinc/30/31/40/192303140.db2.gz ZGRUOVWGLBHDPV-UHFFFAOYSA-N 1 2 309.373 1.822 20 30 DDEDLO C[N@@H+](Cc1cnc[nH]1)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000595597717 356569622 /nfs/dbraw/zinc/56/96/22/356569622.db2.gz SSWDNQSXSXVZAO-HNNXBMFYSA-N 1 2 300.362 1.347 20 30 DDEDLO C[N@H+](Cc1cnc[nH]1)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000595597717 356569627 /nfs/dbraw/zinc/56/96/27/356569627.db2.gz SSWDNQSXSXVZAO-HNNXBMFYSA-N 1 2 300.362 1.347 20 30 DDEDLO C[N@@H+](Cc1c[nH]cn1)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000595597717 356569632 /nfs/dbraw/zinc/56/96/32/356569632.db2.gz SSWDNQSXSXVZAO-HNNXBMFYSA-N 1 2 300.362 1.347 20 30 DDEDLO C[N@H+](Cc1c[nH]cn1)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000595597717 356569637 /nfs/dbraw/zinc/56/96/37/356569637.db2.gz SSWDNQSXSXVZAO-HNNXBMFYSA-N 1 2 300.362 1.347 20 30 DDEDLO C[C@@H](C(=O)NC(=O)Nc1ccccc1)[N@@H+]1CC[C@@](C)(C#N)C1 ZINC000595835314 356671773 /nfs/dbraw/zinc/67/17/73/356671773.db2.gz QLQSFHXOYOTKSJ-LRDDRELGSA-N 1 2 300.362 1.959 20 30 DDEDLO C[C@@H](C(=O)NC(=O)Nc1ccccc1)[N@H+]1CC[C@@](C)(C#N)C1 ZINC000595835314 356671776 /nfs/dbraw/zinc/67/17/76/356671776.db2.gz QLQSFHXOYOTKSJ-LRDDRELGSA-N 1 2 300.362 1.959 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NCc1ccccc1)[N@@H+]1CC[C@](C)(C#N)C1 ZINC000595842125 356674353 /nfs/dbraw/zinc/67/43/53/356674353.db2.gz XRJKLOAAOXXASK-SUMWQHHRSA-N 1 2 314.389 1.636 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NCc1ccccc1)[N@H+]1CC[C@](C)(C#N)C1 ZINC000595842125 356674356 /nfs/dbraw/zinc/67/43/56/356674356.db2.gz XRJKLOAAOXXASK-SUMWQHHRSA-N 1 2 314.389 1.636 20 30 DDEDLO C[C@@H]([NH2+][C@H](CO)c1ccc(F)cc1F)C(=O)NC1(C#N)CCC1 ZINC000595868633 356684820 /nfs/dbraw/zinc/68/48/20/356684820.db2.gz XAMZFBNJCDEXNH-QMTHXVAHSA-N 1 2 323.343 1.539 20 30 DDEDLO N#CC[C@@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000596330925 356859323 /nfs/dbraw/zinc/85/93/23/356859323.db2.gz PKIKKSFFPNEHMN-GJZGRUSLSA-N 1 2 315.421 1.815 20 30 DDEDLO N#CC[C@@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000596330925 356859328 /nfs/dbraw/zinc/85/93/28/356859328.db2.gz PKIKKSFFPNEHMN-GJZGRUSLSA-N 1 2 315.421 1.815 20 30 DDEDLO CN1CC[N@H+](Cc2ccccc2)[C@H](CNc2cnc(C#N)cn2)C1 ZINC000596550632 356914274 /nfs/dbraw/zinc/91/42/74/356914274.db2.gz FRWWXWJZHYUAQW-QGZVFWFLSA-N 1 2 322.416 1.576 20 30 DDEDLO CN1CC[N@@H+](Cc2ccccc2)[C@H](CNc2cnc(C#N)cn2)C1 ZINC000596550632 356914276 /nfs/dbraw/zinc/91/42/76/356914276.db2.gz FRWWXWJZHYUAQW-QGZVFWFLSA-N 1 2 322.416 1.576 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)NCC1(CC#N)CC1)C(C)(C)CO ZINC000596587361 356925601 /nfs/dbraw/zinc/92/56/01/356925601.db2.gz JNPODIQGULMKEE-GFCCVEGCSA-N 1 2 319.409 1.473 20 30 DDEDLO Cn1ncc(C2CC2)c1C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000597085014 357057201 /nfs/dbraw/zinc/05/72/01/357057201.db2.gz ADFFIVQBDFCMCE-UHFFFAOYSA-N 1 2 322.416 1.886 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cnn2c1CCC2 ZINC000597026483 357043041 /nfs/dbraw/zinc/04/30/41/357043041.db2.gz IVDLLGAZHBELAE-ZDUSSCGKSA-N 1 2 323.404 1.202 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cnn2c1CCC2 ZINC000597026483 357043047 /nfs/dbraw/zinc/04/30/47/357043047.db2.gz IVDLLGAZHBELAE-ZDUSSCGKSA-N 1 2 323.404 1.202 20 30 DDEDLO CCOc1ccc(N2CC[NH+](Cc3ccc(C#N)o3)CC2)nn1 ZINC000597244547 357107658 /nfs/dbraw/zinc/10/76/58/357107658.db2.gz SMDLCWWXVPBZQG-UHFFFAOYSA-N 1 2 313.361 1.662 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)cc1O ZINC000597706404 357294023 /nfs/dbraw/zinc/29/40/23/357294023.db2.gz FIZDUVBEWWJVLW-HNNXBMFYSA-N 1 2 315.373 1.201 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)ncc1C#N ZINC000597997529 357413440 /nfs/dbraw/zinc/41/34/40/357413440.db2.gz SSLNVNDUJKDNLE-CHWSQXEVSA-N 1 2 302.378 1.101 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)ncc1C#N ZINC000597997529 357413444 /nfs/dbraw/zinc/41/34/44/357413444.db2.gz SSLNVNDUJKDNLE-CHWSQXEVSA-N 1 2 302.378 1.101 20 30 DDEDLO C[C@@H](C#N)N(C(=O)c1ccc(C[NH+]2CCOCC2)cn1)C1CC1 ZINC000598137556 357471316 /nfs/dbraw/zinc/47/13/16/357471316.db2.gz LPDNWNTUMGSOAE-ZDUSSCGKSA-N 1 2 314.389 1.430 20 30 DDEDLO CCOC(=O)[C@H]([NH2+]C[C@H](O)CC(C)(C)C#N)C1CCOCC1 ZINC000598604165 357650596 /nfs/dbraw/zinc/65/05/96/357650596.db2.gz JWWIOQXTWKIRRB-ZIAGYGMSSA-N 1 2 312.410 1.235 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@@H+]1CCN(c2cccc(F)c2)C(=O)C1 ZINC000598605912 357651540 /nfs/dbraw/zinc/65/15/40/357651540.db2.gz WOIDBJYQEHOSFT-HNNXBMFYSA-N 1 2 319.380 1.775 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@H+]1CCN(c2cccc(F)c2)C(=O)C1 ZINC000598605912 357651544 /nfs/dbraw/zinc/65/15/44/357651544.db2.gz WOIDBJYQEHOSFT-HNNXBMFYSA-N 1 2 319.380 1.775 20 30 DDEDLO O=C(NCC[C@@H]1CCOC1)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000329673880 223012437 /nfs/dbraw/zinc/01/24/37/223012437.db2.gz SZHKLMAYMUYVJZ-CABCVRRESA-N 1 2 311.426 1.124 20 30 DDEDLO CN(C(=O)CNC(=O)N1CCn2cc[nH+]c2C1)C1CCCCC1 ZINC000329780662 223027810 /nfs/dbraw/zinc/02/78/10/223027810.db2.gz RZCXNFCJEWOBNY-UHFFFAOYSA-N 1 2 319.409 1.404 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(OC)c(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000179236500 199003569 /nfs/dbraw/zinc/00/35/69/199003569.db2.gz GBNSUVAEAQKBHZ-HNNXBMFYSA-N 1 2 320.414 1.742 20 30 DDEDLO C=CC[N@H+](Cc1ccc(OC)c(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000179236500 199003571 /nfs/dbraw/zinc/00/35/71/199003571.db2.gz GBNSUVAEAQKBHZ-HNNXBMFYSA-N 1 2 320.414 1.742 20 30 DDEDLO Cc1nc(C(C)(C)[NH2+]C[C@@H](O)COc2ccccc2C#N)no1 ZINC000599325482 357893793 /nfs/dbraw/zinc/89/37/93/357893793.db2.gz HLZQLZLORNYHRO-CYBMUJFWSA-N 1 2 316.361 1.514 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@@H+]2CCc3nc[nH]c3C2)cc1 ZINC000599423169 357928846 /nfs/dbraw/zinc/92/88/46/357928846.db2.gz VOIATOQRRGZJPV-CQSZACIVSA-N 1 2 312.373 1.274 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@H+]2CCc3nc[nH]c3C2)cc1 ZINC000599423169 357928849 /nfs/dbraw/zinc/92/88/49/357928849.db2.gz VOIATOQRRGZJPV-CQSZACIVSA-N 1 2 312.373 1.274 20 30 DDEDLO COc1cc(NC(=O)NCCc2cn(C)c[nH+]2)c(F)cc1C#N ZINC000599810688 358064092 /nfs/dbraw/zinc/06/40/92/358064092.db2.gz ZXXTUNQQNFCLCA-UHFFFAOYSA-N 1 2 317.324 1.804 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)[C@@H]3CCc4[nH+]c[nH]c4C3)C2)c1 ZINC000601679887 358651916 /nfs/dbraw/zinc/65/19/16/358651916.db2.gz HQUVKIJBROPINZ-CYBMUJFWSA-N 1 2 321.384 1.709 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)[C@@H]3CCc4[nH]c[nH+]c4C3)C2)c1 ZINC000601679887 358651921 /nfs/dbraw/zinc/65/19/21/358651921.db2.gz HQUVKIJBROPINZ-CYBMUJFWSA-N 1 2 321.384 1.709 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[NH+]2CC3(C2)CCCO3)cc1 ZINC000601963680 358755898 /nfs/dbraw/zinc/75/58/98/358755898.db2.gz LXPFPGOXJMWOCI-OAHLLOKOSA-N 1 2 302.374 1.357 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000565601968 304079248 /nfs/dbraw/zinc/07/92/48/304079248.db2.gz AYKQKGPXCASWBR-CYBMUJFWSA-N 1 2 318.377 1.619 20 30 DDEDLO C=CCOC[C@H]([NH2+][C@H]1[C@@H]2CCO[C@@H]2C12CCCC2)C(=O)OC ZINC000602644346 359095025 /nfs/dbraw/zinc/09/50/25/359095025.db2.gz MRBQHLMZHDDYMA-AJNGGQMLSA-N 1 2 309.406 1.668 20 30 DDEDLO C=CCOC[C@@H]([NH2+][C@H]1CCc2cccnc2CC1)C(=O)OC ZINC000602644187 359095184 /nfs/dbraw/zinc/09/51/84/359095184.db2.gz WPLFEYDOEUVTJM-GOEBONIOSA-N 1 2 304.390 1.663 20 30 DDEDLO C[C@@H](C(=O)NC1CCCC1)N1CC[NH+](CC2(CC#N)CC2)CC1 ZINC000602772718 359179381 /nfs/dbraw/zinc/17/93/81/359179381.db2.gz NSSHGONVEQKPNU-HNNXBMFYSA-N 1 2 318.465 1.745 20 30 DDEDLO C[C@@H](C(=O)NC1CCCC1)[NH+]1CCN(CC2(CC#N)CC2)CC1 ZINC000602772718 359179387 /nfs/dbraw/zinc/17/93/87/359179387.db2.gz NSSHGONVEQKPNU-HNNXBMFYSA-N 1 2 318.465 1.745 20 30 DDEDLO COc1ccc(OC)c([C@H](C)NC(=O)C[NH2+][C@@H](C)CC#N)c1 ZINC000602866410 359250368 /nfs/dbraw/zinc/25/03/68/359250368.db2.gz ZTXQKMICXLMSER-RYUDHWBXSA-N 1 2 305.378 1.773 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@H](OC)C[N@@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000602947142 359314233 /nfs/dbraw/zinc/31/42/33/359314233.db2.gz XBLCMJLIWXSHHA-WMLDXEAASA-N 1 2 318.373 1.719 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@H](OC)C[N@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000602947142 359314237 /nfs/dbraw/zinc/31/42/37/359314237.db2.gz XBLCMJLIWXSHHA-WMLDXEAASA-N 1 2 318.373 1.719 20 30 DDEDLO COc1ccccc1CNC(=O)C[N@H+](C)[C@@H]1CCC[C@@H]1C#N ZINC000602974156 359334457 /nfs/dbraw/zinc/33/44/57/359334457.db2.gz KHIPQVOLSMNSQO-UKRRQHHQSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccccc1CNC(=O)C[N@@H+](C)[C@@H]1CCC[C@@H]1C#N ZINC000602974156 359334459 /nfs/dbraw/zinc/33/44/59/359334459.db2.gz KHIPQVOLSMNSQO-UKRRQHHQSA-N 1 2 301.390 1.935 20 30 DDEDLO COC(=O)[C@H](CC(C)C)NC(=O)C[N@H+](C)[C@H]1CCC[C@H]1C#N ZINC000602976377 359335354 /nfs/dbraw/zinc/33/53/54/359335354.db2.gz OURIQSOEFAXKLB-IHRRRGAJSA-N 1 2 309.410 1.314 20 30 DDEDLO COC(=O)[C@H](CC(C)C)NC(=O)C[N@@H+](C)[C@H]1CCC[C@H]1C#N ZINC000602976377 359335359 /nfs/dbraw/zinc/33/53/59/359335359.db2.gz OURIQSOEFAXKLB-IHRRRGAJSA-N 1 2 309.410 1.314 20 30 DDEDLO CN(CC(C)(C)C#N)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603350271 359587553 /nfs/dbraw/zinc/58/75/53/359587553.db2.gz SMSFHYAMYSHIFI-UHFFFAOYSA-N 1 2 321.446 1.845 20 30 DDEDLO CN(CC(C)(C)C#N)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603350271 359587558 /nfs/dbraw/zinc/58/75/58/359587558.db2.gz SMSFHYAMYSHIFI-UHFFFAOYSA-N 1 2 321.446 1.845 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3ccnc(C#N)n3)c[nH+]2)CCO1 ZINC000565805114 304093293 /nfs/dbraw/zinc/09/32/93/304093293.db2.gz GURMWDPLEZCYML-LBPRGKRZSA-N 1 2 310.361 1.002 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)c1cc2n(n1)CCCO2 ZINC000329892375 223042976 /nfs/dbraw/zinc/04/29/76/223042976.db2.gz IXXVSDBVQASALH-UHFFFAOYSA-N 1 2 301.350 1.703 20 30 DDEDLO CCC(C)(C)NC(=O)[C@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609486957 360314023 /nfs/dbraw/zinc/31/40/23/360314023.db2.gz USLPJQBQNDINRL-GJZGRUSLSA-N 1 2 308.470 1.845 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)Cn1cc2ccccc2n1 ZINC000330055710 223068915 /nfs/dbraw/zinc/06/89/15/223068915.db2.gz UTQRPQZKUFEACP-CQSZACIVSA-N 1 2 315.421 1.629 20 30 DDEDLO O=C(NC[C@H]1CCCn2cc[nH+]c21)[C@H]1CCCCS1(=O)=O ZINC000330074717 223071335 /nfs/dbraw/zinc/07/13/35/223071335.db2.gz FUWGKJVBRQXHFF-VXGBXAGGSA-N 1 2 311.407 1.684 20 30 DDEDLO N#Cc1cc(CN2CC[NH+](Cc3ccnc(C#N)c3)CC2)ccn1 ZINC000610991273 360593657 /nfs/dbraw/zinc/59/36/57/360593657.db2.gz HIVXJHJCZAFCMB-UHFFFAOYSA-N 1 2 318.384 1.538 20 30 DDEDLO Cc1ccccc1NC(=O)[C@H](C)[NH+]1CCN(CCC#N)CC1 ZINC000611175243 360647714 /nfs/dbraw/zinc/64/77/14/360647714.db2.gz VVOYOCPGCQYBCO-HNNXBMFYSA-N 1 2 300.406 1.853 20 30 DDEDLO Cc1csc2nc(C[NH+]3CCN(CCC#N)CC3)cc(=O)n12 ZINC000611174347 360647968 /nfs/dbraw/zinc/64/79/68/360647968.db2.gz HEBNSEQJWAQUMC-UHFFFAOYSA-N 1 2 317.418 1.096 20 30 DDEDLO N#CCCN1CCN(Cc2[nH+]ccn2CC(F)(F)F)CC1 ZINC000611176261 360649492 /nfs/dbraw/zinc/64/94/92/360649492.db2.gz XOTJYXOAZOHJDK-UHFFFAOYSA-N 1 2 301.316 1.477 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(F)cc2C#N)C[C@@H]1C ZINC000611083559 360617503 /nfs/dbraw/zinc/61/75/03/360617503.db2.gz IKISVSULLWOGRG-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(F)cc2C#N)C[C@@H]1C ZINC000611083559 360617507 /nfs/dbraw/zinc/61/75/07/360617507.db2.gz IKISVSULLWOGRG-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CN(CCS(=O)(=O)c1ccc(C#N)cc1)Cc1[nH]cc[nH+]1 ZINC000611191107 360655198 /nfs/dbraw/zinc/65/51/98/360655198.db2.gz KTADATFLZKWEQY-UHFFFAOYSA-N 1 2 304.375 1.187 20 30 DDEDLO C[C@@H]1CCC[C@@H](NC(=O)C[NH+]2CCN(CC3(C#N)CC3)CC2)C1 ZINC000611430859 360718696 /nfs/dbraw/zinc/71/86/96/360718696.db2.gz VLXJREOSFSCWFN-HZPDHXFCSA-N 1 2 318.465 1.603 20 30 DDEDLO N#C[C@H](c1ccc(F)cc1)N1CC[NH+](CC(=O)NC2CC2)CC1 ZINC000611551274 360756649 /nfs/dbraw/zinc/75/66/49/360756649.db2.gz JVKKIQPPHCXJRU-MRXNPFEDSA-N 1 2 316.380 1.287 20 30 DDEDLO C[C@@H]1CC[N@@H+]([C@@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C[C@H]1F ZINC000611491207 360733900 /nfs/dbraw/zinc/73/39/00/360733900.db2.gz QADNTJPTLJSXPH-KCPJHIHWSA-N 1 2 315.348 1.870 20 30 DDEDLO C[C@@H]1CC[N@H+]([C@@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C[C@H]1F ZINC000611491207 360733904 /nfs/dbraw/zinc/73/39/04/360733904.db2.gz QADNTJPTLJSXPH-KCPJHIHWSA-N 1 2 315.348 1.870 20 30 DDEDLO C[C@H](C(=O)Nc1ncccn1)[N@@H+]1C[C@]2(CCOC2)OC(C)(C)C1 ZINC000330160923 223080624 /nfs/dbraw/zinc/08/06/24/223080624.db2.gz SMXYIYANPTWINW-WBMJQRKESA-N 1 2 320.393 1.723 20 30 DDEDLO C[C@H](C(=O)Nc1ncccn1)[N@H+]1C[C@]2(CCOC2)OC(C)(C)C1 ZINC000330160923 223080627 /nfs/dbraw/zinc/08/06/27/223080627.db2.gz SMXYIYANPTWINW-WBMJQRKESA-N 1 2 320.393 1.723 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)Cc1csc2nccn12 ZINC000330175284 223083876 /nfs/dbraw/zinc/08/38/76/223083876.db2.gz ZPOWOUNFKCJOJJ-NSHDSACASA-N 1 2 308.407 1.616 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)Cc1csc2nccn12 ZINC000330175284 223083880 /nfs/dbraw/zinc/08/38/80/223083880.db2.gz ZPOWOUNFKCJOJJ-NSHDSACASA-N 1 2 308.407 1.616 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)C[N@@H+]1CCS[C@@H]2COCC[C@H]21 ZINC000330184844 223085317 /nfs/dbraw/zinc/08/53/17/223085317.db2.gz QZSZEOFWBFOCBR-CHWSQXEVSA-N 1 2 324.450 1.831 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)C[N@H+]1CCS[C@@H]2COCC[C@H]21 ZINC000330184844 223085321 /nfs/dbraw/zinc/08/53/21/223085321.db2.gz QZSZEOFWBFOCBR-CHWSQXEVSA-N 1 2 324.450 1.831 20 30 DDEDLO COCc1cc(NC(=O)NCC(C)(C)[NH+]2CCOCC2)ncn1 ZINC000330246445 223093786 /nfs/dbraw/zinc/09/37/86/223093786.db2.gz YFJZDDSXGIKOBE-UHFFFAOYSA-N 1 2 323.397 1.060 20 30 DDEDLO N#C[C@@H]1CCC[C@H]1NC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000331903825 223208827 /nfs/dbraw/zinc/20/88/27/223208827.db2.gz ZNJNWQSNGUCLAV-DZGCQCFKSA-N 1 2 302.378 1.799 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000625205410 366775370 /nfs/dbraw/zinc/77/53/70/366775370.db2.gz PMQQOKAMXZJJSR-OKILXGFUSA-N 1 2 310.353 1.149 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000625205410 366775372 /nfs/dbraw/zinc/77/53/72/366775372.db2.gz PMQQOKAMXZJJSR-OKILXGFUSA-N 1 2 310.353 1.149 20 30 DDEDLO Cn1c[nH+]cc1CCNS(=O)(=O)c1cccc(CC#N)c1 ZINC000619496232 364038478 /nfs/dbraw/zinc/03/84/78/364038478.db2.gz MRIDOVWQZUAATO-UHFFFAOYSA-N 1 2 304.375 1.007 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(C(=O)NCc2ccccc2)CC1 ZINC000619716024 364126848 /nfs/dbraw/zinc/12/68/48/364126848.db2.gz JYZGUQMWDNZNRO-INIZCTEOSA-N 1 2 316.401 1.742 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCc2cc(F)ccc2C1)C1CC1 ZINC000091893260 193123393 /nfs/dbraw/zinc/12/33/93/193123393.db2.gz GWPZCSWTBDTMCJ-QGZVFWFLSA-N 1 2 301.365 1.992 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCc2cc(F)ccc2C1)C1CC1 ZINC000091893260 193123394 /nfs/dbraw/zinc/12/33/94/193123394.db2.gz GWPZCSWTBDTMCJ-QGZVFWFLSA-N 1 2 301.365 1.992 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cncs1 ZINC000091936558 193129224 /nfs/dbraw/zinc/12/92/24/193129224.db2.gz PMXGGLZAUDTMOD-LLVKDONJSA-N 1 2 300.391 1.515 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cncs1 ZINC000091936558 193129225 /nfs/dbraw/zinc/12/92/25/193129225.db2.gz PMXGGLZAUDTMOD-LLVKDONJSA-N 1 2 300.391 1.515 20 30 DDEDLO C#CCCNC(=O)NC[C@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000264988738 204327121 /nfs/dbraw/zinc/32/71/21/204327121.db2.gz GXMLEHLEIVSJRR-QGZVFWFLSA-N 1 2 315.417 1.691 20 30 DDEDLO C#CCCNC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000264952206 204299334 /nfs/dbraw/zinc/29/93/34/204299334.db2.gz KZRRKSRVAOHNTC-MRXNPFEDSA-N 1 2 301.390 1.382 20 30 DDEDLO CCC(=O)N1CCC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])CC1 ZINC000579478613 422776279 /nfs/dbraw/zinc/77/62/79/422776279.db2.gz BLEKLEWGQWOASE-UHFFFAOYSA-N 1 2 316.361 1.911 20 30 DDEDLO CCC(=O)N1CCC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])CC1 ZINC000579478613 422776283 /nfs/dbraw/zinc/77/62/83/422776283.db2.gz BLEKLEWGQWOASE-UHFFFAOYSA-N 1 2 316.361 1.911 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@@H+]2CCC[C@@H](CO)C2)C1=O ZINC000267563306 206147780 /nfs/dbraw/zinc/14/77/80/206147780.db2.gz HUAGYGHEWUYLRN-DGCLKSJQSA-N 1 2 305.403 1.429 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@H+]2CCC[C@@H](CO)C2)C1=O ZINC000267563306 206147784 /nfs/dbraw/zinc/14/77/84/206147784.db2.gz HUAGYGHEWUYLRN-DGCLKSJQSA-N 1 2 305.403 1.429 20 30 DDEDLO N#CC1CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)CC1 ZINC000105788973 194126331 /nfs/dbraw/zinc/12/63/31/194126331.db2.gz YQEAKSXEPFPDCL-CQSZACIVSA-N 1 2 301.394 1.425 20 30 DDEDLO N#CC1CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)CC1 ZINC000105788973 194126333 /nfs/dbraw/zinc/12/63/33/194126333.db2.gz YQEAKSXEPFPDCL-CQSZACIVSA-N 1 2 301.394 1.425 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2cccc([N+](=O)[O-])c2)CC1 ZINC000047911134 183608828 /nfs/dbraw/zinc/60/88/28/183608828.db2.gz DFYJLHBXCSRXBE-UHFFFAOYSA-N 1 2 304.350 1.019 20 30 DDEDLO CN(C(=O)C[NH+]1CCC(C#N)CC1)c1ccc([N+](=O)[O-])cc1 ZINC000057879719 184060722 /nfs/dbraw/zinc/06/07/22/184060722.db2.gz MATFOAUBHFVPPX-UHFFFAOYSA-N 1 2 302.334 1.793 20 30 DDEDLO C[N@H+](CC(=O)Nc1sccc1C#N)C1(C(N)=O)CCCC1 ZINC000120206407 195118165 /nfs/dbraw/zinc/11/81/65/195118165.db2.gz LJDQJYROVFDFRH-UHFFFAOYSA-N 1 2 306.391 1.288 20 30 DDEDLO C[N@@H+](CC(=O)Nc1sccc1C#N)C1(C(N)=O)CCCC1 ZINC000120206407 195118167 /nfs/dbraw/zinc/11/81/67/195118167.db2.gz LJDQJYROVFDFRH-UHFFFAOYSA-N 1 2 306.391 1.288 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](CCCO)C1 ZINC000452117883 533365594 /nfs/dbraw/zinc/36/55/94/533365594.db2.gz ISPWCHMQIOLRQD-BBRMVZONSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](CCCO)C1 ZINC000452117883 533365601 /nfs/dbraw/zinc/36/56/01/533365601.db2.gz ISPWCHMQIOLRQD-BBRMVZONSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)c2scnc2C)C1 ZINC000330602952 533504417 /nfs/dbraw/zinc/50/44/17/533504417.db2.gz JJGXUPWNHXSBCM-NSHDSACASA-N 1 2 311.407 1.641 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNC(=O)c2scnc2C)C1 ZINC000330602952 533504420 /nfs/dbraw/zinc/50/44/20/533504420.db2.gz JJGXUPWNHXSBCM-NSHDSACASA-N 1 2 311.407 1.641 20 30 DDEDLO C[C@@H](O)C[N@H+](CCC(=O)Nc1ccccc1C#N)CC(F)F ZINC000451845489 533653853 /nfs/dbraw/zinc/65/38/53/533653853.db2.gz XEMBORCXBYQVIX-LLVKDONJSA-N 1 2 311.332 1.835 20 30 DDEDLO C[C@@H](O)C[N@@H+](CCC(=O)Nc1ccccc1C#N)CC(F)F ZINC000451845489 533653858 /nfs/dbraw/zinc/65/38/58/533653858.db2.gz XEMBORCXBYQVIX-LLVKDONJSA-N 1 2 311.332 1.835 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000341984649 292208832 /nfs/dbraw/zinc/20/88/32/292208832.db2.gz DSHVKNNZRSZBQY-GDBMZVCRSA-N 1 2 320.437 1.682 20 30 DDEDLO Cc1cc(NC(=O)NCCCn2cc[nH+]c2)nn1CCC#N ZINC000271193678 407565979 /nfs/dbraw/zinc/56/59/79/407565979.db2.gz SZMSNRYLVKSRLC-UHFFFAOYSA-N 1 2 301.354 1.514 20 30 DDEDLO COC(=O)C1CC[NH+]([C@H](C)C(=O)Nc2cccc(C#N)c2)CC1 ZINC000007390994 406755662 /nfs/dbraw/zinc/75/56/62/406755662.db2.gz QJUGTSRGAZYWJU-GFCCVEGCSA-N 1 2 315.373 1.770 20 30 DDEDLO CCC[C@@](C)([NH2+]C[C@@H](O)COc1ccc(C#N)cc1)C(=O)OC ZINC000109803607 407398594 /nfs/dbraw/zinc/39/85/94/407398594.db2.gz PGSMIHCDRSMPPB-RHSMWYFYSA-N 1 2 320.389 1.619 20 30 DDEDLO CCN(CC)c1ccc(CNS(=O)(=O)CCCC#N)c[nH+]1 ZINC000111329406 407407968 /nfs/dbraw/zinc/40/79/68/407407968.db2.gz DVAHAIIHMZGBQH-UHFFFAOYSA-N 1 2 310.423 1.651 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CCOC)Cc1ccc2c(c1)OCO2 ZINC000111692406 407414926 /nfs/dbraw/zinc/41/49/26/407414926.db2.gz QIIAQQNALQUTEZ-UHFFFAOYSA-N 1 2 306.362 1.166 20 30 DDEDLO C=CCNC(=O)C[N@H+](CCOC)Cc1ccc2c(c1)OCO2 ZINC000111692406 407414927 /nfs/dbraw/zinc/41/49/27/407414927.db2.gz QIIAQQNALQUTEZ-UHFFFAOYSA-N 1 2 306.362 1.166 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000127538606 407439526 /nfs/dbraw/zinc/43/95/26/407439526.db2.gz VQICRDRLVIATET-OLZOCXBDSA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000127538606 407439527 /nfs/dbraw/zinc/43/95/27/407439527.db2.gz VQICRDRLVIATET-OLZOCXBDSA-N 1 2 311.426 1.618 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000151625821 407467882 /nfs/dbraw/zinc/46/78/82/407467882.db2.gz TZTLESCYFOOQLX-ZDUSSCGKSA-N 1 2 309.373 1.800 20 30 DDEDLO N#Cc1cccc(NCC(=O)NCCc2cn3ccccc3[nH+]2)c1 ZINC000151479780 407442131 /nfs/dbraw/zinc/44/21/31/407442131.db2.gz NNGSKRUPHOSJJP-UHFFFAOYSA-N 1 2 319.368 1.977 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C2CCCCCC2)CC1 ZINC000112904303 407445987 /nfs/dbraw/zinc/44/59/87/407445987.db2.gz GBXGWPMQTDCKTO-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C2CCCCCC2)CC1 ZINC000112904303 407445993 /nfs/dbraw/zinc/44/59/93/407445993.db2.gz GBXGWPMQTDCKTO-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1C[C@H](C)N(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000112997931 407457827 /nfs/dbraw/zinc/45/78/27/407457827.db2.gz KLWMZWLSCFEDLO-IHRRRGAJSA-N 1 2 323.437 1.454 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)N(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000112998486 407459497 /nfs/dbraw/zinc/45/94/97/407459497.db2.gz FFCIWPHNYUTGLD-BETUJISGSA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)N(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000112998486 407459504 /nfs/dbraw/zinc/45/95/04/407459504.db2.gz FFCIWPHNYUTGLD-BETUJISGSA-N 1 2 311.426 1.618 20 30 DDEDLO CC(C)(C)[N@H+](CC(=O)NCC#N)CC(=O)Nc1ccc(F)cc1 ZINC000152071080 407556300 /nfs/dbraw/zinc/55/63/00/407556300.db2.gz ZUYSUHBFMPGLPE-UHFFFAOYSA-N 1 2 320.368 1.504 20 30 DDEDLO CC(C)(C)[N@@H+](CC(=O)NCC#N)CC(=O)Nc1ccc(F)cc1 ZINC000152071080 407556303 /nfs/dbraw/zinc/55/63/03/407556303.db2.gz ZUYSUHBFMPGLPE-UHFFFAOYSA-N 1 2 320.368 1.504 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cc(O)cc(F)c1 ZINC000186150477 407590125 /nfs/dbraw/zinc/59/01/25/407590125.db2.gz ZSYZTXLWUMEDBH-UHFFFAOYSA-N 1 2 307.369 1.579 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1C[C@@H](C)[NH+](C)[C@H](C)C1 ZINC000219271550 407527586 /nfs/dbraw/zinc/52/75/86/407527586.db2.gz SFYVQGVCGHWNGW-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cnn2ccccc12 ZINC000178855673 407627483 /nfs/dbraw/zinc/62/74/83/407627483.db2.gz AOIRRBCOZHHLMM-UHFFFAOYSA-N 1 2 313.405 1.382 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)C[N@H+]1CCCOc1ccc(C#N)cc1 ZINC000116282460 407739649 /nfs/dbraw/zinc/73/96/49/407739649.db2.gz DRAPJQKWJUEPFR-CVEARBPZSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)C[N@@H+]1CCCOc1ccc(C#N)cc1 ZINC000116282460 407739653 /nfs/dbraw/zinc/73/96/53/407739653.db2.gz DRAPJQKWJUEPFR-CVEARBPZSA-N 1 2 318.373 1.589 20 30 DDEDLO C[C@@H](O)CN1CC(=O)C(=C2N(C)c3ccccc3N2C)C1=[NH2+] ZINC000153120072 407757368 /nfs/dbraw/zinc/75/73/68/407757368.db2.gz GFJFCOALOHGYOW-SNVBAGLBSA-N 1 2 300.362 1.027 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCc2ccsc2C1 ZINC000132496755 407788962 /nfs/dbraw/zinc/78/89/62/407788962.db2.gz UZMPUGUAYCRJCG-UHFFFAOYSA-N 1 2 302.403 1.762 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCc2ccsc2C1 ZINC000132496755 407788967 /nfs/dbraw/zinc/78/89/67/407788967.db2.gz UZMPUGUAYCRJCG-UHFFFAOYSA-N 1 2 302.403 1.762 20 30 DDEDLO COCC[C@H](C)O[NH+]=C(N)COc1cccc(C(=O)OC)c1 ZINC000267997108 407857456 /nfs/dbraw/zinc/85/74/56/407857456.db2.gz LTBMARXROXRNMM-NSHDSACASA-N 1 2 310.350 1.566 20 30 DDEDLO CC#CCNC(=O)NC[C@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000135042144 407966864 /nfs/dbraw/zinc/96/68/64/407966864.db2.gz ODCWYOVQGKIUQP-QGZVFWFLSA-N 1 2 315.417 1.691 20 30 DDEDLO COCC(=O)N1CC[NH+](Cc2ccccc2C(C)(C)C#N)CC1 ZINC000135129411 407978039 /nfs/dbraw/zinc/97/80/39/407978039.db2.gz GQELZPLHHJZVRR-UHFFFAOYSA-N 1 2 315.417 1.778 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CC[NH+](C[C@@H](O)C(F)(F)F)CC1 ZINC000181762429 408037529 /nfs/dbraw/zinc/03/75/29/408037529.db2.gz YESKORIPGCLEAE-ZIAGYGMSSA-N 1 2 313.323 1.792 20 30 DDEDLO COC(=O)C[N@H+](Cc1cc(C#N)ccc1OC)C1CCOCC1 ZINC000154168885 407988939 /nfs/dbraw/zinc/98/89/39/407988939.db2.gz ICJQGUZYSUBQLP-UHFFFAOYSA-N 1 2 318.373 1.721 20 30 DDEDLO COC(=O)C[N@@H+](Cc1cc(C#N)ccc1OC)C1CCOCC1 ZINC000154168885 407988945 /nfs/dbraw/zinc/98/89/45/407988945.db2.gz ICJQGUZYSUBQLP-UHFFFAOYSA-N 1 2 318.373 1.721 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CCc2c([nH+]cn2-c2ccccc2)C1 ZINC000272592639 407997688 /nfs/dbraw/zinc/99/76/88/407997688.db2.gz UAVPTQGONLWHDU-AWEZNQCLSA-N 1 2 308.385 1.368 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCO[C@H](Cc2ccccc2)C1 ZINC000181546241 408012656 /nfs/dbraw/zinc/01/26/56/408012656.db2.gz XVOOUIHPNOLWHV-QGZVFWFLSA-N 1 2 315.417 1.692 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCO[C@H](Cc2ccccc2)C1 ZINC000181546241 408012662 /nfs/dbraw/zinc/01/26/62/408012662.db2.gz XVOOUIHPNOLWHV-QGZVFWFLSA-N 1 2 315.417 1.692 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+][C@@H](CO)c1c(F)cccc1F ZINC000273138353 408076809 /nfs/dbraw/zinc/07/68/09/408076809.db2.gz TXAUQNBEBZPTQU-ZDUSSCGKSA-N 1 2 311.332 1.350 20 30 DDEDLO C[C@H](CNC(=O)C#Cc1ccc2c(c1)OCO2)Cn1cc[nH+]c1 ZINC000119988768 408114767 /nfs/dbraw/zinc/11/47/67/408114767.db2.gz MMMVCUAYTDFKCO-CYBMUJFWSA-N 1 2 311.341 1.416 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)C[C@H]1OCCc2ccccc21 ZINC000263761497 408243535 /nfs/dbraw/zinc/24/35/35/408243535.db2.gz USKOZLJRYCPCLC-QGZVFWFLSA-N 1 2 315.417 1.994 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)C[C@H]1OCCc2ccccc21 ZINC000263761497 408243539 /nfs/dbraw/zinc/24/35/39/408243539.db2.gz USKOZLJRYCPCLC-QGZVFWFLSA-N 1 2 315.417 1.994 20 30 DDEDLO CC1(C)C[N@H+](CCNc2nc3c(cc2C#N)CCC3)CCO1 ZINC000263719505 408223851 /nfs/dbraw/zinc/22/38/51/408223851.db2.gz OKZWULAWROHIEZ-UHFFFAOYSA-N 1 2 300.406 1.965 20 30 DDEDLO CC1(C)C[N@@H+](CCNc2nc3c(cc2C#N)CCC3)CCO1 ZINC000263719505 408223857 /nfs/dbraw/zinc/22/38/57/408223857.db2.gz OKZWULAWROHIEZ-UHFFFAOYSA-N 1 2 300.406 1.965 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@@H]1CCCO1 ZINC000156692804 408270145 /nfs/dbraw/zinc/27/01/45/408270145.db2.gz FTOKQSMLISJPFF-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@@H]1CCCO1 ZINC000156692804 408270149 /nfs/dbraw/zinc/27/01/49/408270149.db2.gz FTOKQSMLISJPFF-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)CCNC(=O)C(C)C)c(C#N)c1C ZINC000157566629 408306277 /nfs/dbraw/zinc/30/62/77/408306277.db2.gz RHLVUFAVMQHYOO-UHFFFAOYSA-N 1 2 320.393 1.411 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)CCNC(=O)C(C)C)c(C#N)c1C ZINC000157566629 408306282 /nfs/dbraw/zinc/30/62/82/408306282.db2.gz RHLVUFAVMQHYOO-UHFFFAOYSA-N 1 2 320.393 1.411 20 30 DDEDLO Cc1cccc(C[N@H+](CCC#N)CCN2CCOCC2)c1O ZINC000183372772 408392876 /nfs/dbraw/zinc/39/28/76/408392876.db2.gz DKOKXEWPABWJEE-UHFFFAOYSA-N 1 2 303.406 1.749 20 30 DDEDLO Cc1cccc(C[N@@H+](CCC#N)CCN2CCOCC2)c1O ZINC000183372772 408392883 /nfs/dbraw/zinc/39/28/83/408392883.db2.gz DKOKXEWPABWJEE-UHFFFAOYSA-N 1 2 303.406 1.749 20 30 DDEDLO Cc1cccc(CN(CCC#N)CC[NH+]2CCOCC2)c1O ZINC000183372772 408392886 /nfs/dbraw/zinc/39/28/86/408392886.db2.gz DKOKXEWPABWJEE-UHFFFAOYSA-N 1 2 303.406 1.749 20 30 DDEDLO N#Cc1c(F)cccc1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000264287490 408428084 /nfs/dbraw/zinc/42/80/84/408428084.db2.gz JMWNQJAOBCCHJT-UHFFFAOYSA-N 1 2 315.352 1.970 20 30 DDEDLO CC(C)OC(=O)C1(C(=O)OC(C)C)CC([NH2+]C[C@H](C)C#N)C1 ZINC000183833638 408497793 /nfs/dbraw/zinc/49/77/93/408497793.db2.gz VCGGVVIGJQXQOS-GFCCVEGCSA-N 1 2 310.394 1.788 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000160790805 408524986 /nfs/dbraw/zinc/52/49/86/408524986.db2.gz OXNZUVHEFBPBOM-SNVBAGLBSA-N 1 2 324.793 1.775 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CC[C@](O)(C(F)(F)F)C2)cc1 ZINC000177274859 408570740 /nfs/dbraw/zinc/57/07/40/408570740.db2.gz KGTNFFLOEXANEL-CYBMUJFWSA-N 1 2 313.279 1.496 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CC[C@](O)(C(F)(F)F)C2)cc1 ZINC000177274859 408570744 /nfs/dbraw/zinc/57/07/44/408570744.db2.gz KGTNFFLOEXANEL-CYBMUJFWSA-N 1 2 313.279 1.496 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000275994533 408743682 /nfs/dbraw/zinc/74/36/82/408743682.db2.gz OBSSPOOBUIGECF-WMLDXEAASA-N 1 2 320.437 1.464 20 30 DDEDLO Cc1nc(N2CCN(C(=O)NCCCCC#N)CC2)cc[nH+]1 ZINC000184982986 408728712 /nfs/dbraw/zinc/72/87/12/408728712.db2.gz QZIQAYNAJALCOF-UHFFFAOYSA-N 1 2 302.382 1.311 20 30 DDEDLO COC[C@@H]1C[N@@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C[C@H](C)O1 ZINC000251678114 408784310 /nfs/dbraw/zinc/78/43/10/408784310.db2.gz IVIPSUZRDRMUHI-XEZPLFJOSA-N 1 2 317.389 1.621 20 30 DDEDLO COC[C@@H]1C[N@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C[C@H](C)O1 ZINC000251678114 408784315 /nfs/dbraw/zinc/78/43/15/408784315.db2.gz IVIPSUZRDRMUHI-XEZPLFJOSA-N 1 2 317.389 1.621 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000185283627 408791684 /nfs/dbraw/zinc/79/16/84/408791684.db2.gz OVJCMYOGLRABPT-GOEBONIOSA-N 1 2 312.479 1.913 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cccc(F)c2C#N)C[C@H](C)O1 ZINC000194764544 408796942 /nfs/dbraw/zinc/79/69/42/408796942.db2.gz ANWOSNKNDHHLBC-BETUJISGSA-N 1 2 319.380 1.879 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2cccc(F)c2C#N)C[C@H](C)O1 ZINC000194764544 408796944 /nfs/dbraw/zinc/79/69/44/408796944.db2.gz ANWOSNKNDHHLBC-BETUJISGSA-N 1 2 319.380 1.879 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3ccc(C#N)cc3)CC2)cc[nH+]1 ZINC000185420357 408806667 /nfs/dbraw/zinc/80/66/67/408806667.db2.gz YZOOLOHPKNNRCU-UHFFFAOYSA-N 1 2 307.357 1.619 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000185439363 408807784 /nfs/dbraw/zinc/80/77/84/408807784.db2.gz QIIIMWCPBKUSRO-INIZCTEOSA-N 1 2 302.353 1.540 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Cc1ccc(C#N)cc1 ZINC000285664112 408838060 /nfs/dbraw/zinc/83/80/60/408838060.db2.gz FNGMFWIGMWVEBS-PBHICJAKSA-N 1 2 313.401 1.422 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000281550062 408889824 /nfs/dbraw/zinc/88/98/24/408889824.db2.gz ZVZQYURARKKVOW-CQSZACIVSA-N 1 2 303.410 1.971 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3cc(F)ccc3F)CC2)C1=O ZINC000281938364 408957049 /nfs/dbraw/zinc/95/70/49/408957049.db2.gz IPGMHRABWVIOBY-HNNXBMFYSA-N 1 2 321.371 1.874 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3ccc(F)cc3)CC2)C1=O ZINC000282043772 408978670 /nfs/dbraw/zinc/97/86/70/408978670.db2.gz RDYYTBSDDOEVEB-INIZCTEOSA-N 1 2 303.381 1.735 20 30 DDEDLO C#CCN(C)C(=O)N[C@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000292443047 409029465 /nfs/dbraw/zinc/02/94/65/409029465.db2.gz NZCZDJCCWHECMO-AWEZNQCLSA-N 1 2 300.337 1.923 20 30 DDEDLO Cc1ccn2cc(CNS(=O)(=O)N(C)C[C@@H](C)C#N)[nH+]c2c1 ZINC000282647237 409059421 /nfs/dbraw/zinc/05/94/21/409059421.db2.gz DJTVEWUEUOBVCZ-LBPRGKRZSA-N 1 2 321.406 1.069 20 30 DDEDLO C=CCc1cc(C[N@H+]2CC(=O)N(C)[C@H](C)C2)cc(OC)c1O ZINC000282841918 409064986 /nfs/dbraw/zinc/06/49/86/409064986.db2.gz VSHRYGBDOBMTLJ-GFCCVEGCSA-N 1 2 304.390 1.792 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CC(=O)N(C)[C@H](C)C2)cc(OC)c1O ZINC000282841918 409064987 /nfs/dbraw/zinc/06/49/87/409064987.db2.gz VSHRYGBDOBMTLJ-GFCCVEGCSA-N 1 2 304.390 1.792 20 30 DDEDLO NC(=[NH+]OC[C@H]1CCS(=O)(=O)C1)c1ccc(F)c(F)c1 ZINC000278717675 409086907 /nfs/dbraw/zinc/08/69/07/409086907.db2.gz SOBULAWIMVFGRB-MRVPVSSYSA-N 1 2 304.318 1.036 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](C3CC3)CC2)cnc1C(F)(F)F ZINC000293342104 409094764 /nfs/dbraw/zinc/09/47/64/409094764.db2.gz SHEPQLADQNVJSB-UHFFFAOYSA-N 1 2 324.306 1.892 20 30 DDEDLO N#Cc1cnc2ccccc2c1NCCC[N@@H+]1CCNC(=O)C1 ZINC000278853760 409100939 /nfs/dbraw/zinc/10/09/39/409100939.db2.gz XPKQPDBYLAIOLT-UHFFFAOYSA-N 1 2 309.373 1.340 20 30 DDEDLO N#Cc1cnc2ccccc2c1NCCC[N@H+]1CCNC(=O)C1 ZINC000278853760 409100943 /nfs/dbraw/zinc/10/09/43/409100943.db2.gz XPKQPDBYLAIOLT-UHFFFAOYSA-N 1 2 309.373 1.340 20 30 DDEDLO C=C(C)Cn1c(C)nnc1N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000283243331 409121460 /nfs/dbraw/zinc/12/14/60/409121460.db2.gz KTLMVZDBRWFONB-UHFFFAOYSA-N 1 2 313.409 1.588 20 30 DDEDLO C=CCCCCCN(C)C(=O)C(=O)NCCCn1cc[nH+]c1 ZINC000283481240 409164395 /nfs/dbraw/zinc/16/43/95/409164395.db2.gz GDSAZRGFCQQTKY-UHFFFAOYSA-N 1 2 306.410 1.594 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCN(c2ccccc2C#N)CC1 ZINC000279390272 409205077 /nfs/dbraw/zinc/20/50/77/409205077.db2.gz ISEIHEIUCSXJST-UHFFFAOYSA-N 1 2 323.400 1.871 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@@](C)(O)CC2)c(C#N)c1C ZINC000280281558 409284450 /nfs/dbraw/zinc/28/44/50/409284450.db2.gz YWAFVMUTIAVEFG-MRXNPFEDSA-N 1 2 305.378 1.944 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@@](C)(O)CC2)c(C#N)c1C ZINC000280281558 409284454 /nfs/dbraw/zinc/28/44/54/409284454.db2.gz YWAFVMUTIAVEFG-MRXNPFEDSA-N 1 2 305.378 1.944 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)Nc2cccc(C#N)c2)C[C@@]2(CCOC2)O1 ZINC000280366558 409300415 /nfs/dbraw/zinc/30/04/15/409300415.db2.gz KCYGOPHTZBWKLL-SUMWQHHRSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)Nc2cccc(C#N)c2)C[C@@]2(CCOC2)O1 ZINC000280366558 409300417 /nfs/dbraw/zinc/30/04/17/409300417.db2.gz KCYGOPHTZBWKLL-SUMWQHHRSA-N 1 2 315.373 1.377 20 30 DDEDLO NC(=[NH+]OCCCS(=O)(=O)c1ccccc1)[C@@H]1CCCO1 ZINC000284057814 409267563 /nfs/dbraw/zinc/26/75/63/409267563.db2.gz JNBPDMNPJULKLE-ZDUSSCGKSA-N 1 2 312.391 1.318 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)CO[NH+]=C(N)[C@@H]1CCCO1 ZINC000284497283 409343859 /nfs/dbraw/zinc/34/38/59/409343859.db2.gz SPSMBBLKDVJBSD-FZMZJTMJSA-N 1 2 321.377 1.340 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)Nc1cc(F)ccc1F ZINC000284591468 409351116 /nfs/dbraw/zinc/35/11/16/409351116.db2.gz XYTUUNLEBGULED-QPUJVOFHSA-N 1 2 313.304 1.760 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@]2(CCCOC2)C1 ZINC000285262408 409405080 /nfs/dbraw/zinc/40/50/80/409405080.db2.gz IAAKYLBXELJOFU-DOTOQJQBSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@]2(CCCOC2)C1 ZINC000285262408 409405085 /nfs/dbraw/zinc/40/50/85/409405085.db2.gz IAAKYLBXELJOFU-DOTOQJQBSA-N 1 2 308.422 1.457 20 30 DDEDLO N#CCCS(=O)(=O)N1CCC[C@@H](c2[nH+]cc3n2CCCC3)C1 ZINC000296082537 409423824 /nfs/dbraw/zinc/42/38/24/409423824.db2.gz QARMIUXWIXEROB-CYBMUJFWSA-N 1 2 322.434 1.642 20 30 DDEDLO CC[C@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CCCO1 ZINC000317500013 164028188 /nfs/dbraw/zinc/02/81/88/164028188.db2.gz UVEODZZTSCHTLS-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO CC[C@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CCCO1 ZINC000317500013 164028189 /nfs/dbraw/zinc/02/81/89/164028189.db2.gz UVEODZZTSCHTLS-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@@H](O)CNC(=O)OC(C)(C)C ZINC000296684413 409630560 /nfs/dbraw/zinc/63/05/60/409630560.db2.gz LPGYBKIXLZFGOG-JTQLQIEISA-N 1 2 323.231 1.712 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@@H](O)CNC(=O)OC(C)(C)C ZINC000296684413 409630565 /nfs/dbraw/zinc/63/05/65/409630565.db2.gz LPGYBKIXLZFGOG-JTQLQIEISA-N 1 2 323.231 1.712 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H](C)C[N@H+](C)C(C)C)cc1C#N ZINC000356782658 409599814 /nfs/dbraw/zinc/59/98/14/409599814.db2.gz TWNJCYQAEKUKEB-JTQLQIEISA-N 1 2 322.434 1.839 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H](C)C[N@@H+](C)C(C)C)cc1C#N ZINC000356782658 409599821 /nfs/dbraw/zinc/59/98/21/409599821.db2.gz TWNJCYQAEKUKEB-JTQLQIEISA-N 1 2 322.434 1.839 20 30 DDEDLO CC#CCN(C)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000297089605 409799420 /nfs/dbraw/zinc/79/94/20/409799420.db2.gz MQFGFTOFVVJGLO-KRWDZBQOSA-N 1 2 315.417 1.725 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N1CCO[C@@H]2CCC[C@H]21 ZINC000328799807 409982569 /nfs/dbraw/zinc/98/25/69/409982569.db2.gz XXFKTUXTJTYBCI-ZIAGYGMSSA-N 1 2 304.394 1.887 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NC[C@@H]3CCC(C)(C)O3)C[C@H]21 ZINC000328847902 409992308 /nfs/dbraw/zinc/99/23/08/409992308.db2.gz AUZGODVROYDAHO-BFHYXJOUSA-N 1 2 311.426 1.263 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NC[C@@H]3CCC(C)(C)O3)C[C@H]21 ZINC000328847902 409992311 /nfs/dbraw/zinc/99/23/11/409992311.db2.gz AUZGODVROYDAHO-BFHYXJOUSA-N 1 2 311.426 1.263 20 30 DDEDLO C=CCOCC(=O)NC[C@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000354566658 409943478 /nfs/dbraw/zinc/94/34/78/409943478.db2.gz ADVVVPGBAZMXCG-QGZVFWFLSA-N 1 2 318.417 1.687 20 30 DDEDLO CC(C)[C@H](NS(=O)(=O)c1cccc(C#N)c1)c1[nH]cc[nH+]1 ZINC000346563828 410020917 /nfs/dbraw/zinc/02/09/17/410020917.db2.gz RJJMMWZNXDMGBC-ZDUSSCGKSA-N 1 2 304.375 1.957 20 30 DDEDLO C[C@H]1CCCN(C(=O)C(=O)N2CCC(C#N)([NH+](C)C)CC2)CC1 ZINC000332363570 410098943 /nfs/dbraw/zinc/09/89/43/410098943.db2.gz YBWGIKVFPSNMJP-AWEZNQCLSA-N 1 2 320.437 1.081 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)N2CC[NH+](Cc3ccncc3)CC2)CCO1 ZINC000329115699 410110600 /nfs/dbraw/zinc/11/06/00/410110600.db2.gz SXLCGFLDMHFFLX-ZBFHGGJFSA-N 1 2 318.421 1.681 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(c2nnc(C3CC3)o2)CC1 ZINC000329284918 410215132 /nfs/dbraw/zinc/21/51/32/410215132.db2.gz LQEAHXKBAKZDAG-SNVBAGLBSA-N 1 2 305.382 1.576 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)CCc2nc3ccccc3o2)C1 ZINC000329391727 410272546 /nfs/dbraw/zinc/27/25/46/410272546.db2.gz VMEKCPMBLMMWAB-ZDUSSCGKSA-N 1 2 316.405 1.963 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)CCc2nc3ccccc3o2)C1 ZINC000329391727 410272553 /nfs/dbraw/zinc/27/25/53/410272553.db2.gz VMEKCPMBLMMWAB-ZDUSSCGKSA-N 1 2 316.405 1.963 20 30 DDEDLO CN1CCN(S(=O)(=O)CC2(C#N)CCCC2)c2ccc[nH+]c21 ZINC000329494699 410326993 /nfs/dbraw/zinc/32/69/93/410326993.db2.gz FLNUMOKJDIGECS-UHFFFAOYSA-N 1 2 320.418 1.752 20 30 DDEDLO CC1CCC(NC(=O)C[N@H+](C)C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000329567502 410362986 /nfs/dbraw/zinc/36/29/86/410362986.db2.gz LEUTZMZJSZJYTG-MOKVOYLWSA-N 1 2 316.467 1.888 20 30 DDEDLO CC1CCC(NC(=O)C[N@@H+](C)C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000329567502 410362996 /nfs/dbraw/zinc/36/29/96/410362996.db2.gz LEUTZMZJSZJYTG-MOKVOYLWSA-N 1 2 316.467 1.888 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCCc1cscn1 ZINC000329574798 410365053 /nfs/dbraw/zinc/36/50/53/410365053.db2.gz VKSPHLCUYXHOMO-OCCSQVGLSA-N 1 2 324.450 1.395 20 30 DDEDLO CC(C)[N@@H+]1CCCC[C@H]1C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C ZINC000329688536 410414072 /nfs/dbraw/zinc/41/40/72/410414072.db2.gz GAQWZQCNXPYTJF-XQQFMLRXSA-N 1 2 302.440 1.639 20 30 DDEDLO CC(C)[N@H+]1CCCC[C@H]1C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C ZINC000329688536 410414075 /nfs/dbraw/zinc/41/40/75/410414075.db2.gz GAQWZQCNXPYTJF-XQQFMLRXSA-N 1 2 302.440 1.639 20 30 DDEDLO N#CC1(CS(=O)(=O)NCCCn2cc[nH+]c2)CCCCC1 ZINC000355549440 410574003 /nfs/dbraw/zinc/57/40/03/410574003.db2.gz VVBWQPAHWGEALB-UHFFFAOYSA-N 1 2 310.423 1.667 20 30 DDEDLO COc1ccc(CNC(=O)[C@@H](C)[N@H+](C)C[C@H](C)C#N)cc1OC ZINC000299175090 410556997 /nfs/dbraw/zinc/55/69/97/410556997.db2.gz WHBQQHBOBBJZBV-CHWSQXEVSA-N 1 2 319.405 1.800 20 30 DDEDLO COc1ccc(CNC(=O)[C@@H](C)[N@@H+](C)C[C@H](C)C#N)cc1OC ZINC000299175090 410557000 /nfs/dbraw/zinc/55/70/00/410557000.db2.gz WHBQQHBOBBJZBV-CHWSQXEVSA-N 1 2 319.405 1.800 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)Cc1nc(CC(=O)NC)no1 ZINC000352701205 410673366 /nfs/dbraw/zinc/67/33/66/410673366.db2.gz GGEMMSNKZZQUEY-UHFFFAOYSA-N 1 2 304.350 1.182 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)Cc1nc(CC(=O)NC)no1 ZINC000352701205 410673372 /nfs/dbraw/zinc/67/33/72/410673372.db2.gz GGEMMSNKZZQUEY-UHFFFAOYSA-N 1 2 304.350 1.182 20 30 DDEDLO COc1ccc(CNC(=O)C[NH2+]Cc2nc(C#N)cs2)cc1 ZINC000352832260 410680743 /nfs/dbraw/zinc/68/07/43/410680743.db2.gz DZTIDBVKCKQLDY-UHFFFAOYSA-N 1 2 316.386 1.429 20 30 DDEDLO N#Cc1ccc(NC[C@@H](c2ccccc2)[NH+]2CCOCC2)nn1 ZINC000301872618 410820892 /nfs/dbraw/zinc/82/08/92/410820892.db2.gz DJDQLPXUKWKSBK-INIZCTEOSA-N 1 2 309.373 1.834 20 30 DDEDLO CC(C)[C@H](Oc1ccccc1C#N)C(=O)N1CC[NH+](C)CC1 ZINC000341717489 411049634 /nfs/dbraw/zinc/04/96/34/411049634.db2.gz DLOLUOSCKRSDIT-INIZCTEOSA-N 1 2 301.390 1.736 20 30 DDEDLO Cc1cc(C(=O)Nc2cc[nH+]cc2O)nn1-c1cccc(C#N)c1 ZINC000356545163 411081993 /nfs/dbraw/zinc/08/19/93/411081993.db2.gz GDBFMRSNJSGQRO-UHFFFAOYSA-N 1 2 319.324 1.827 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CN(C(=O)CCCC=C)CC[N@H+]1C ZINC000580085863 422887510 /nfs/dbraw/zinc/88/75/10/422887510.db2.gz WYBGRVNPQYDLIJ-INIZCTEOSA-N 1 2 321.465 1.958 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CN(C(=O)CCCC=C)CC[N@@H+]1C ZINC000580085863 422887513 /nfs/dbraw/zinc/88/75/13/422887513.db2.gz WYBGRVNPQYDLIJ-INIZCTEOSA-N 1 2 321.465 1.958 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)ncc1C#N ZINC000603113836 416631612 /nfs/dbraw/zinc/63/16/12/416631612.db2.gz VRXFJHVHNKEUEQ-STQMWFEESA-N 1 2 317.393 1.492 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)ncc1C#N ZINC000603113836 416631614 /nfs/dbraw/zinc/63/16/14/416631614.db2.gz VRXFJHVHNKEUEQ-STQMWFEESA-N 1 2 317.393 1.492 20 30 DDEDLO N#Cc1cccc(NC(=O)C2CC[NH+](Cc3cnn[nH]3)CC2)c1 ZINC000295638824 225072670 /nfs/dbraw/zinc/07/26/70/225072670.db2.gz DFZUNVQUINEBOK-UHFFFAOYSA-N 1 2 310.361 1.527 20 30 DDEDLO N#Cc1cccc(NC(=O)C2CC[NH+](Cc3c[nH]nn3)CC2)c1 ZINC000295638824 225072676 /nfs/dbraw/zinc/07/26/76/225072676.db2.gz DFZUNVQUINEBOK-UHFFFAOYSA-N 1 2 310.361 1.527 20 30 DDEDLO N#Cc1cccc(NC(=O)C2CC[NH+](Cc3cn[nH]n3)CC2)c1 ZINC000295638824 225072679 /nfs/dbraw/zinc/07/26/79/225072679.db2.gz DFZUNVQUINEBOK-UHFFFAOYSA-N 1 2 310.361 1.527 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNc2cc(C#N)ncn2)cn1 ZINC000530530455 417582229 /nfs/dbraw/zinc/58/22/29/417582229.db2.gz CZRGZPBSPRGFKA-JSGCOSHPSA-N 1 2 315.356 1.106 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNc2cc(C#N)ncn2)cn1 ZINC000530530455 417582236 /nfs/dbraw/zinc/58/22/36/417582236.db2.gz CZRGZPBSPRGFKA-JSGCOSHPSA-N 1 2 315.356 1.106 20 30 DDEDLO COCC1CC[NH+](CCS(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000156747012 221672671 /nfs/dbraw/zinc/67/26/71/221672671.db2.gz IPQBEIMPIBIILY-UHFFFAOYSA-N 1 2 322.430 1.690 20 30 DDEDLO N#Cc1ccc(-n2ccc(NC(=O)CCc3c[nH+]c[nH]3)n2)c(F)c1 ZINC000373593592 418443421 /nfs/dbraw/zinc/44/34/21/418443421.db2.gz MOLKZXCFEPMVMY-UHFFFAOYSA-N 1 2 324.319 2.177 20 30 DDEDLO N#Cc1ccc(-n2ccc(NC(=O)CCc3c[nH]c[nH+]3)n2)c(F)c1 ZINC000373593592 418443424 /nfs/dbraw/zinc/44/34/24/418443424.db2.gz MOLKZXCFEPMVMY-UHFFFAOYSA-N 1 2 324.319 2.177 20 30 DDEDLO N#C[C@H]1C[N@@H+](Cc2ccncc2)C[C@@]12c1ccccc1NC2=O ZINC000374364604 418522974 /nfs/dbraw/zinc/52/29/74/418522974.db2.gz HFYGGNXVGCDXAR-KSSFIOAISA-N 1 2 304.353 1.927 20 30 DDEDLO N#C[C@H]1C[N@H+](Cc2ccncc2)C[C@@]12c1ccccc1NC2=O ZINC000374364604 418522977 /nfs/dbraw/zinc/52/29/77/418522977.db2.gz HFYGGNXVGCDXAR-KSSFIOAISA-N 1 2 304.353 1.927 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN([C@H](C)c2nccs2)CC1 ZINC000184619698 221940703 /nfs/dbraw/zinc/94/07/03/221940703.db2.gz HTFLKUPGZKVPRK-CHWSQXEVSA-N 1 2 308.451 1.512 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000191110742 222096728 /nfs/dbraw/zinc/09/67/28/222096728.db2.gz CBTJEJBGUAGDRY-UHFFFAOYSA-N 1 2 319.405 1.461 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000191110742 222096731 /nfs/dbraw/zinc/09/67/31/222096731.db2.gz CBTJEJBGUAGDRY-UHFFFAOYSA-N 1 2 319.405 1.461 20 30 DDEDLO O=C(C[NH+]1CCN(CC#Cc2ccccc2)CC1)N1CCCC1 ZINC000191874147 222111076 /nfs/dbraw/zinc/11/10/76/222111076.db2.gz KDZIJAQKSQWWFK-UHFFFAOYSA-N 1 2 311.429 1.278 20 30 DDEDLO C=C(C)COCCNc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000192340655 222125677 /nfs/dbraw/zinc/12/56/77/222125677.db2.gz SEVHGBTUIYHPLH-AWEZNQCLSA-N 1 2 306.410 1.832 20 30 DDEDLO C=C(C)COCCNc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000192340655 222125680 /nfs/dbraw/zinc/12/56/80/222125680.db2.gz SEVHGBTUIYHPLH-AWEZNQCLSA-N 1 2 306.410 1.832 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC=C(c2ccc(F)cc2)CC1 ZINC000192996538 222141538 /nfs/dbraw/zinc/14/15/38/222141538.db2.gz FWLQYDMVFAXAGH-UHFFFAOYSA-N 1 2 317.364 1.927 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC=C(c2ccc(F)cc2)CC1 ZINC000192996538 222141541 /nfs/dbraw/zinc/14/15/41/222141541.db2.gz FWLQYDMVFAXAGH-UHFFFAOYSA-N 1 2 317.364 1.927 20 30 DDEDLO Cc1cc(NCCNC(=O)C2N=CC=CC2=O)nc(C2CC2)[nH+]1 ZINC000265384646 222354015 /nfs/dbraw/zinc/35/40/15/222354015.db2.gz RVDKDWJFXWLOBC-PEZBUJJGSA-N 1 2 313.361 1.601 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2cccc(O)c2)CC1 ZINC000265975450 222357742 /nfs/dbraw/zinc/35/77/42/222357742.db2.gz XFWQTEAUZGUOTG-AWEZNQCLSA-N 1 2 316.405 1.275 20 30 DDEDLO CC[N@H+](CCC#N)C[C@@H](O)COc1c(OC)cccc1OC ZINC000266899988 222364684 /nfs/dbraw/zinc/36/46/84/222364684.db2.gz GDQLEINLTBBERS-CYBMUJFWSA-N 1 2 308.378 1.679 20 30 DDEDLO CC[N@@H+](CCC#N)C[C@@H](O)COc1c(OC)cccc1OC ZINC000266899988 222364687 /nfs/dbraw/zinc/36/46/87/222364687.db2.gz GDQLEINLTBBERS-CYBMUJFWSA-N 1 2 308.378 1.679 20 30 DDEDLO COC(=O)C(C)(C)N1CC[NH+](CC#Cc2ccccc2)CC1 ZINC000361755775 418705590 /nfs/dbraw/zinc/70/55/90/418705590.db2.gz RXTNIGAFVYDZOO-UHFFFAOYSA-N 1 2 300.402 1.607 20 30 DDEDLO C#CCCS(=O)(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000377295133 418708311 /nfs/dbraw/zinc/70/83/11/418708311.db2.gz GMEATXJYBXNEJV-UHFFFAOYSA-N 1 2 307.350 1.454 20 30 DDEDLO Cc1cc(N)nc(SCC(=O)NCc2ccc(C#N)cc2)[nH+]1 ZINC000357951465 418652209 /nfs/dbraw/zinc/65/22/09/418652209.db2.gz AQQBPLXHDYGFEC-UHFFFAOYSA-N 1 2 313.386 1.647 20 30 DDEDLO Cc1ccc(C[N@H+](CCO)CC(=O)NC2(C#N)CCCCC2)o1 ZINC000361511333 418666235 /nfs/dbraw/zinc/66/62/35/418666235.db2.gz QVQQKKZMNABQEH-UHFFFAOYSA-N 1 2 319.405 1.725 20 30 DDEDLO Cc1ccc(C[N@@H+](CCO)CC(=O)NC2(C#N)CCCCC2)o1 ZINC000361511333 418666238 /nfs/dbraw/zinc/66/62/38/418666238.db2.gz QVQQKKZMNABQEH-UHFFFAOYSA-N 1 2 319.405 1.725 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CC(C)(C)O[C@@H]2CCC[C@H]21)C1CC1 ZINC000376128163 418692976 /nfs/dbraw/zinc/69/29/76/418692976.db2.gz DNKWTMUKSKPHGL-CKEIUWERSA-N 1 2 305.422 1.827 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CC(C)(C)O[C@@H]2CCC[C@H]21)C1CC1 ZINC000376128163 418692977 /nfs/dbraw/zinc/69/29/77/418692977.db2.gz DNKWTMUKSKPHGL-CKEIUWERSA-N 1 2 305.422 1.827 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(S(=O)(=O)c2ccc(C#N)o2)C1 ZINC000376345755 418696106 /nfs/dbraw/zinc/69/61/06/418696106.db2.gz CNMPGBROSPMMLP-JTQLQIEISA-N 1 2 306.347 1.063 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+]2CCN3C(=O)OC[C@H]3C2)cc1 ZINC000378345718 418721546 /nfs/dbraw/zinc/72/15/46/418721546.db2.gz MMYNSFLRXYDPIC-GXTWGEPZSA-N 1 2 301.346 1.614 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+]2CCN3C(=O)OC[C@H]3C2)cc1 ZINC000378345718 418721547 /nfs/dbraw/zinc/72/15/47/418721547.db2.gz MMYNSFLRXYDPIC-GXTWGEPZSA-N 1 2 301.346 1.614 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[NH2+][C@H](c2ccncc2)C1 ZINC000368181939 418700462 /nfs/dbraw/zinc/70/04/62/418700462.db2.gz WWKHMMCWYUGJLH-SFHVURJKSA-N 1 2 305.381 1.779 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2cc(C#N)ccc2C)C[C@H]1C ZINC000408041771 418782146 /nfs/dbraw/zinc/78/21/46/418782146.db2.gz OJVSPDXQNLRADQ-KGLIPLIRSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2cc(C#N)ccc2C)C[C@H]1C ZINC000408041771 418782150 /nfs/dbraw/zinc/78/21/50/418782150.db2.gz OJVSPDXQNLRADQ-KGLIPLIRSA-N 1 2 321.446 1.970 20 30 DDEDLO COC(=O)CN1CCC[N@H+](Cc2ccc(F)cc2C#N)CC1 ZINC000370226386 418742207 /nfs/dbraw/zinc/74/22/07/418742207.db2.gz ATLGVLHGAWQNCF-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO COC(=O)CN1CCC[N@@H+](Cc2ccc(F)cc2C#N)CC1 ZINC000370226386 418742209 /nfs/dbraw/zinc/74/22/09/418742209.db2.gz ATLGVLHGAWQNCF-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO COC(=O)C[N@@H+]1CCCN(Cc2ccc(F)cc2C#N)CC1 ZINC000370226386 418742210 /nfs/dbraw/zinc/74/22/10/418742210.db2.gz ATLGVLHGAWQNCF-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO COC(=O)C[N@H+]1CCCN(Cc2ccc(F)cc2C#N)CC1 ZINC000370226386 418742212 /nfs/dbraw/zinc/74/22/12/418742212.db2.gz ATLGVLHGAWQNCF-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO CCc1nnc(NCC[N@@H+]2CCO[C@@H](CC)C2)c(C#N)c1CC ZINC000362679549 418758471 /nfs/dbraw/zinc/75/84/71/418758471.db2.gz CFXSSVLQHBVETF-ZDUSSCGKSA-N 1 2 317.437 1.996 20 30 DDEDLO CCc1nnc(NCC[N@H+]2CCO[C@@H](CC)C2)c(C#N)c1CC ZINC000362679549 418758474 /nfs/dbraw/zinc/75/84/74/418758474.db2.gz CFXSSVLQHBVETF-ZDUSSCGKSA-N 1 2 317.437 1.996 20 30 DDEDLO N#CCCn1ccc(NC(=O)[C@H]2CCC[N@@H+]2Cc2ccccn2)n1 ZINC000363301495 418764163 /nfs/dbraw/zinc/76/41/63/418764163.db2.gz KBZDSHJXDKVWTM-OAHLLOKOSA-N 1 2 324.388 1.795 20 30 DDEDLO N#CCCn1ccc(NC(=O)[C@H]2CCC[N@H+]2Cc2ccccn2)n1 ZINC000363301495 418764165 /nfs/dbraw/zinc/76/41/65/418764165.db2.gz KBZDSHJXDKVWTM-OAHLLOKOSA-N 1 2 324.388 1.795 20 30 DDEDLO N#C[C@H]1CN(C(=O)NCc2ccn3cc[nH+]c3c2)CCN1C1CC1 ZINC000364402762 418776193 /nfs/dbraw/zinc/77/61/93/418776193.db2.gz KWEZZNPLSIUQMR-HNNXBMFYSA-N 1 2 324.388 1.216 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCSC[C@H]1CO)C1(C#N)CCCCC1 ZINC000408181899 418791001 /nfs/dbraw/zinc/79/10/01/418791001.db2.gz GCQWOXSFZRKIRI-CYBMUJFWSA-N 1 2 311.451 1.081 20 30 DDEDLO CN(C(=O)C[N@H+]1CCSC[C@H]1CO)C1(C#N)CCCCC1 ZINC000408181899 418791002 /nfs/dbraw/zinc/79/10/02/418791002.db2.gz GCQWOXSFZRKIRI-CYBMUJFWSA-N 1 2 311.451 1.081 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[N@@H+](C)[C@H](C)[C@H]1C ZINC000408084895 418786156 /nfs/dbraw/zinc/78/61/56/418786156.db2.gz UWAHNQCYWDXTAJ-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[N@H+](C)[C@H](C)[C@H]1C ZINC000408084895 418786158 /nfs/dbraw/zinc/78/61/58/418786158.db2.gz UWAHNQCYWDXTAJ-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO CCOC1CC(CNC(=O)C2(C#N)CCC2)([NH+]2CCOCC2)C1 ZINC000365038169 418832009 /nfs/dbraw/zinc/83/20/09/418832009.db2.gz BTEWSTSHZPBAPL-UHFFFAOYSA-N 1 2 321.421 1.066 20 30 DDEDLO CC(C)[C@H](C(=O)OC(C)(C)C)N1CC[C@@H]([NH2+]CCC#N)C1=O ZINC000411626783 419365572 /nfs/dbraw/zinc/36/55/72/419365572.db2.gz XIYOMDDOCXZYPL-CHWSQXEVSA-N 1 2 309.410 1.457 20 30 DDEDLO C[C@@H]1C[C@H](O)C[N@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000412044315 419591781 /nfs/dbraw/zinc/59/17/81/419591781.db2.gz WTOZHQBGKHJELV-OLZOCXBDSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@@H]1C[C@H](O)C[N@@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000412044315 419591785 /nfs/dbraw/zinc/59/17/85/419591785.db2.gz WTOZHQBGKHJELV-OLZOCXBDSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cc2Br)CC[N@@H+]1CCO ZINC000302350442 230223471 /nfs/dbraw/zinc/22/34/71/230223471.db2.gz BLEMGMVSZIXJDB-LLVKDONJSA-N 1 2 324.222 1.824 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cc2Br)CC[N@H+]1CCO ZINC000302350442 230223477 /nfs/dbraw/zinc/22/34/77/230223477.db2.gz BLEMGMVSZIXJDB-LLVKDONJSA-N 1 2 324.222 1.824 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(c2ccc(C#N)cn2)C1 ZINC000440639402 420592422 /nfs/dbraw/zinc/59/24/22/420592422.db2.gz SJZYSRMXMWLYGD-QGZVFWFLSA-N 1 2 316.405 1.853 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000452928031 420680905 /nfs/dbraw/zinc/68/09/05/420680905.db2.gz RVWGKEPDYDTFTR-OWCLPIDISA-N 1 2 310.438 1.583 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C\C1CCCC1 ZINC000493585670 420888969 /nfs/dbraw/zinc/88/89/69/420888969.db2.gz JFQSZGFVXFCJEF-SREVYHEPSA-N 1 2 305.422 1.807 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cccc(C#N)c3)CC2)c1C#N ZINC000471809566 420952019 /nfs/dbraw/zinc/95/20/19/420952019.db2.gz IITGDVWBLWBVQK-UHFFFAOYSA-N 1 2 320.400 1.794 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000455415647 421010639 /nfs/dbraw/zinc/01/06/39/421010639.db2.gz QUGNVQXTFYJVFQ-CABCVRRESA-N 1 2 321.446 1.919 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN[C@@](C)(CC)C(F)(F)F)CC1 ZINC000490840872 421193111 /nfs/dbraw/zinc/19/31/11/421193111.db2.gz PXRGJOJEULCBFL-ZDUSSCGKSA-N 1 2 305.344 1.084 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000523058398 421232477 /nfs/dbraw/zinc/23/24/77/421232477.db2.gz JBPAQYVBFBDBIU-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000523058398 421232480 /nfs/dbraw/zinc/23/24/80/421232480.db2.gz JBPAQYVBFBDBIU-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO N#Cc1ccncc1N1CCN(c2cc(NC3CC3)[nH+]cn2)CC1 ZINC000563327584 421485939 /nfs/dbraw/zinc/48/59/39/421485939.db2.gz XSAQJHFUCFKQLV-UHFFFAOYSA-N 1 2 321.388 1.644 20 30 DDEDLO N#Cc1ccncc1N1CCN(c2cc(NC3CC3)nc[nH+]2)CC1 ZINC000563327584 421485942 /nfs/dbraw/zinc/48/59/42/421485942.db2.gz XSAQJHFUCFKQLV-UHFFFAOYSA-N 1 2 321.388 1.644 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC000515412094 421500922 /nfs/dbraw/zinc/50/09/22/421500922.db2.gz GGVIBKGMVKOZNQ-UONOGXRCSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC000515412094 421500924 /nfs/dbraw/zinc/50/09/24/421500924.db2.gz GGVIBKGMVKOZNQ-UONOGXRCSA-N 1 2 321.421 1.207 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(c2ccc(C#N)c(N)n2)CC1 ZINC000563420769 421506576 /nfs/dbraw/zinc/50/65/76/421506576.db2.gz YGGDEFHDERHWAA-UHFFFAOYSA-N 1 2 312.377 1.463 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@@H]1CCN(c2ccccc2)C1 ZINC000567995884 421616550 /nfs/dbraw/zinc/61/65/50/421616550.db2.gz YCVHDKYWHWRPSN-RHSMWYFYSA-N 1 2 300.406 1.473 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[NH2+][C@H](CCO)C(F)F)cc1 ZINC000517567789 421617991 /nfs/dbraw/zinc/61/79/91/421617991.db2.gz RMQQQAXYPUWLHG-TZMCWYRMSA-N 1 2 314.332 1.098 20 30 DDEDLO C=CCN(CCOC)c1nc(NCC)[nH+]c(NC(C)(C)C)n1 ZINC000553583467 421620910 /nfs/dbraw/zinc/62/09/10/421620910.db2.gz ZQNQKXLQIXLFAJ-UHFFFAOYSA-N 1 2 308.430 1.575 20 30 DDEDLO C=CCN(CCOC)c1nc(NC(C)(C)C)nc(NCC)[nH+]1 ZINC000553583467 421620913 /nfs/dbraw/zinc/62/09/13/421620913.db2.gz ZQNQKXLQIXLFAJ-UHFFFAOYSA-N 1 2 308.430 1.575 20 30 DDEDLO C=CCN(CCOC)c1nc(NCC)nc(NC(C)(C)C)[nH+]1 ZINC000553583467 421620916 /nfs/dbraw/zinc/62/09/16/421620916.db2.gz ZQNQKXLQIXLFAJ-UHFFFAOYSA-N 1 2 308.430 1.575 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)s1 ZINC000571481490 421719593 /nfs/dbraw/zinc/71/95/93/421719593.db2.gz GOAHYZYWYPKNRR-SECBINFHSA-N 1 2 308.388 1.110 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2ccc(C3(C#N)CC3)cc2)CCO1 ZINC000540820858 421768673 /nfs/dbraw/zinc/76/86/73/421768673.db2.gz DUXDZOBCQLKLRO-AWEZNQCLSA-N 1 2 313.401 1.692 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2ccc(C3(C#N)CC3)cc2)CCO1 ZINC000540820858 421768676 /nfs/dbraw/zinc/76/86/76/421768676.db2.gz DUXDZOBCQLKLRO-AWEZNQCLSA-N 1 2 313.401 1.692 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)Nc2cccc(C#N)n2)CCO1 ZINC000572481206 421792675 /nfs/dbraw/zinc/79/26/75/421792675.db2.gz XOJISKRVQCQDNG-CYBMUJFWSA-N 1 2 303.366 1.186 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)Nc2cccc(C#N)n2)CCO1 ZINC000572481206 421792677 /nfs/dbraw/zinc/79/26/77/421792677.db2.gz XOJISKRVQCQDNG-CYBMUJFWSA-N 1 2 303.366 1.186 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)CSc1ccc(C#N)cc1 ZINC000541552095 421796744 /nfs/dbraw/zinc/79/67/44/421796744.db2.gz LMRVLVFNALNNLO-UHFFFAOYSA-N 1 2 300.387 1.743 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCC[C@H](n3ccnn3)C2)cc1 ZINC000573085751 421914637 /nfs/dbraw/zinc/91/46/37/421914637.db2.gz WVMSBBHXRRJSCX-HNNXBMFYSA-N 1 2 310.361 1.425 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCC[C@H](n3ccnn3)C2)cc1 ZINC000573085751 421914642 /nfs/dbraw/zinc/91/46/42/421914642.db2.gz WVMSBBHXRRJSCX-HNNXBMFYSA-N 1 2 310.361 1.425 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@]2(CO)COCC3(CCC3)[N@H+]2C)C1 ZINC000633675372 422040487 /nfs/dbraw/zinc/04/04/87/422040487.db2.gz XLMHHSPRURAUOQ-QGZVFWFLSA-N 1 2 308.422 1.075 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@]2(CO)COCC3(CCC3)[N@@H+]2C)C1 ZINC000633675372 422040494 /nfs/dbraw/zinc/04/04/94/422040494.db2.gz XLMHHSPRURAUOQ-QGZVFWFLSA-N 1 2 308.422 1.075 20 30 DDEDLO C=C1CC(C)(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)C1 ZINC000630624105 422227737 /nfs/dbraw/zinc/22/77/37/422227737.db2.gz CBDOUHIBKGNFQO-UHFFFAOYSA-N 1 2 301.390 1.891 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cccc3c2OCCCO3)nn1 ZINC000583988807 422235328 /nfs/dbraw/zinc/23/53/28/422235328.db2.gz MQBSLOPEEXGTDQ-UHFFFAOYSA-N 1 2 300.362 1.915 20 30 DDEDLO COCCOC1CCC(C(=O)N[C@@](C)(C#N)C[NH+](C)C)CC1 ZINC000575063038 422248701 /nfs/dbraw/zinc/24/87/01/422248701.db2.gz IFIUBEDRUGHBLU-XUJLQICISA-N 1 2 311.426 1.168 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000634303618 422380276 /nfs/dbraw/zinc/38/02/76/422380276.db2.gz AGDNZSIKTCSNCL-MRXNPFEDSA-N 1 2 321.446 1.578 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635765587 422326637 /nfs/dbraw/zinc/32/66/37/422326637.db2.gz HEEXUEVGJGTOHQ-NVXWUHKLSA-N 1 2 318.417 1.570 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635765587 422326641 /nfs/dbraw/zinc/32/66/41/422326641.db2.gz HEEXUEVGJGTOHQ-NVXWUHKLSA-N 1 2 318.417 1.570 20 30 DDEDLO Cc1nc(NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)ccc1C#N ZINC000599184555 422404808 /nfs/dbraw/zinc/40/48/08/422404808.db2.gz HJKLOMCXECCAEL-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1nc(NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)ccc1C#N ZINC000599184555 422404813 /nfs/dbraw/zinc/40/48/13/422404813.db2.gz HJKLOMCXECCAEL-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635990805 422543993 /nfs/dbraw/zinc/54/39/93/422543993.db2.gz CGCPXFUIEAXYBD-IKGGRYGDSA-N 1 2 316.401 1.729 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635990805 422543997 /nfs/dbraw/zinc/54/39/97/422543997.db2.gz CGCPXFUIEAXYBD-IKGGRYGDSA-N 1 2 316.401 1.729 20 30 DDEDLO O=C(NCC#CCO)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000639464688 423512547 /nfs/dbraw/zinc/51/25/47/423512547.db2.gz VUCKZVFMDDHPNL-UHFFFAOYSA-N 1 2 308.407 1.246 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC([C@H]3NC(=O)C3(C)C)CC2)nn1 ZINC000653708325 423585591 /nfs/dbraw/zinc/58/55/91/423585591.db2.gz ZGURFQDOGBBPKV-OAHLLOKOSA-N 1 2 317.437 1.591 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000649188503 423667503 /nfs/dbraw/zinc/66/75/03/423667503.db2.gz LSAZOSRNJWFEBR-KRWDZBQOSA-N 1 2 316.401 1.023 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3cccc(F)c3)CC2)C1=O ZINC000640135946 424207448 /nfs/dbraw/zinc/20/74/48/424207448.db2.gz JOITXDCTXUXCAM-MRXNPFEDSA-N 1 2 303.381 1.735 20 30 DDEDLO C=CC(C)(C)CNC(=O)N[C@@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000665024245 424733011 /nfs/dbraw/zinc/73/30/11/424733011.db2.gz QHFULNYVXRBCFL-LBPRGKRZSA-N 1 2 308.426 1.991 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+](Cc2cccc(Cl)c2)CC1 ZINC000665398638 424799267 /nfs/dbraw/zinc/79/92/67/424799267.db2.gz RUBWCQZDSCZYHY-INIZCTEOSA-N 1 2 308.809 1.921 20 30 DDEDLO C[C@@H]1CC(=O)NCC[N@@H+]1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000375846676 267008745 /nfs/dbraw/zinc/00/87/45/267008745.db2.gz JUCIAAIIERAVQJ-SNVBAGLBSA-N 1 2 320.780 1.361 20 30 DDEDLO C[C@@H]1CC(=O)NCC[N@H+]1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000375846676 267008747 /nfs/dbraw/zinc/00/87/47/267008747.db2.gz JUCIAAIIERAVQJ-SNVBAGLBSA-N 1 2 320.780 1.361 20 30 DDEDLO C[C@@H]1[C@H](C)N(C)CC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000357010279 267108070 /nfs/dbraw/zinc/10/80/70/267108070.db2.gz WXVPLGPONZRFPS-NWDGAFQWSA-N 1 2 306.435 1.973 20 30 DDEDLO C[C@@H]1[C@H](C)N(C)CC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000357010279 267108073 /nfs/dbraw/zinc/10/80/73/267108073.db2.gz WXVPLGPONZRFPS-NWDGAFQWSA-N 1 2 306.435 1.973 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000356103795 267275946 /nfs/dbraw/zinc/27/59/46/267275946.db2.gz LXGJBDYZJMYMTK-CJNGLKHVSA-N 1 2 313.401 1.802 20 30 DDEDLO Cc1ccnc(NC[C@@]2(CO)COCC3(CCC3)[N@H+]2C)c1C#N ZINC000528127211 268064472 /nfs/dbraw/zinc/06/44/72/268064472.db2.gz CLGBHOUMOWVENA-QGZVFWFLSA-N 1 2 316.405 1.289 20 30 DDEDLO Cc1ccnc(NC[C@@]2(CO)COCC3(CCC3)[N@@H+]2C)c1C#N ZINC000528127211 268064474 /nfs/dbraw/zinc/06/44/74/268064474.db2.gz CLGBHOUMOWVENA-QGZVFWFLSA-N 1 2 316.405 1.289 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)cc1 ZINC000377342151 268078187 /nfs/dbraw/zinc/07/81/87/268078187.db2.gz IFHIXOUEORKNLB-AWEZNQCLSA-N 1 2 316.386 1.948 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)NCCn2cc[nH+]c2)c(F)c1 ZINC000358031252 268080084 /nfs/dbraw/zinc/08/00/84/268080084.db2.gz OWMXTAOSSSOKPY-UHFFFAOYSA-N 1 2 308.338 1.013 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](C(=O)NCc3c[nH+]c[nH]3)C2)c(F)c1 ZINC000341938112 268125790 /nfs/dbraw/zinc/12/57/90/268125790.db2.gz SUHRFLVTCFPHKQ-LBPRGKRZSA-N 1 2 313.336 1.563 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](C(=O)NCc3c[nH]c[nH+]3)C2)c(F)c1 ZINC000341938112 268125792 /nfs/dbraw/zinc/12/57/92/268125792.db2.gz SUHRFLVTCFPHKQ-LBPRGKRZSA-N 1 2 313.336 1.563 20 30 DDEDLO N#Cc1ccc(NC(=O)CN2CC[NH+](Cc3ccco3)CC2)cc1 ZINC000358728750 268139689 /nfs/dbraw/zinc/13/96/89/268139689.db2.gz CSERFUNETOZWHL-UHFFFAOYSA-N 1 2 324.384 1.908 20 30 DDEDLO N#Cc1ccc(NCC(=O)NCc2ccn3cc[nH+]c3c2)cc1 ZINC000355740955 268154172 /nfs/dbraw/zinc/15/41/72/268154172.db2.gz HXSJTCWLUQOFIL-UHFFFAOYSA-N 1 2 305.341 1.934 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CC[NH+](Cc3ccccn3)CC2)cn1 ZINC000527743020 268216179 /nfs/dbraw/zinc/21/61/79/268216179.db2.gz HKXBYTMYCKBDTK-UHFFFAOYSA-N 1 2 321.384 1.235 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CC[NH+]([C@@H]2CCCCNC2=O)CC1 ZINC000374280010 277880171 /nfs/dbraw/zinc/88/01/71/277880171.db2.gz MUTDHUSLSHDDGK-HUUCEWRRSA-N 1 2 322.453 1.337 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)C2(C3CC3)CCOCC2)CC1 ZINC000329732784 278040381 /nfs/dbraw/zinc/04/03/81/278040381.db2.gz JCBOKPDQWPOKET-UHFFFAOYSA-N 1 2 323.437 1.314 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN([C@H]2CC[C@H](C#N)C2)CC1 ZINC000330254495 279189757 /nfs/dbraw/zinc/18/97/57/279189757.db2.gz PGBWGKFSJHLENU-PMPSAXMXSA-N 1 2 304.438 1.307 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(CC#N)cc1 ZINC000285656750 288716305 /nfs/dbraw/zinc/71/63/05/288716305.db2.gz FAIMYDGQUKKRAF-PBHICJAKSA-N 1 2 313.401 1.688 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC2(CNC(=O)C2)CC1 ZINC000329782978 289605897 /nfs/dbraw/zinc/60/58/97/289605897.db2.gz UUTAGBFJRVAXMJ-CYBMUJFWSA-N 1 2 324.425 1.064 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)N1CCC(F)(C#N)CC1)C1CC1 ZINC000347269967 294426257 /nfs/dbraw/zinc/42/62/57/294426257.db2.gz KFSIQUZNNXXXGB-GFCCVEGCSA-N 1 2 305.357 1.908 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000375065435 295410997 /nfs/dbraw/zinc/41/09/97/295410997.db2.gz MLWMTSSOVMPKRN-CYBMUJFWSA-N 1 2 309.325 1.854 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)CCc1ccc(C#N)cc1 ZINC000170864550 298222624 /nfs/dbraw/zinc/22/26/24/298222624.db2.gz JFBIYLAOTXFZNN-UHFFFAOYSA-N 1 2 315.417 1.718 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)CCc1ccc(C#N)cc1 ZINC000170864550 298222626 /nfs/dbraw/zinc/22/26/26/298222626.db2.gz JFBIYLAOTXFZNN-UHFFFAOYSA-N 1 2 315.417 1.718 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[NH2+]Cc1noc(C)n1 ZINC000570921374 332842441 /nfs/dbraw/zinc/84/24/41/332842441.db2.gz YMWPPOSYYUSHTH-CQSZACIVSA-N 1 2 303.362 1.636 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@@H]1C[N@@H+]([C@@H]2CC[C@H](C#N)C2)CCO1 ZINC000497251979 333227415 /nfs/dbraw/zinc/22/74/15/333227415.db2.gz HPBPPPOTZDDEJT-BFHYXJOUSA-N 1 2 309.410 1.904 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@@H]1C[N@H+]([C@@H]2CC[C@H](C#N)C2)CCO1 ZINC000497251979 333227417 /nfs/dbraw/zinc/22/74/17/333227417.db2.gz HPBPPPOTZDDEJT-BFHYXJOUSA-N 1 2 309.410 1.904 20 30 DDEDLO C=CCONC(=O)CC[NH+]1CCN(C(=O)OC(C)(C)C)CC1 ZINC000497254137 333228536 /nfs/dbraw/zinc/22/85/36/333228536.db2.gz RJFHVHHJSHLFMC-UHFFFAOYSA-N 1 2 313.398 1.163 20 30 DDEDLO C[C@@H]1CCC[C@@H](NC(=O)CN2CC[NH+](CCCC#N)CC2)C1 ZINC000066566233 335135149 /nfs/dbraw/zinc/13/51/49/335135149.db2.gz BHKCXCVSAOQCCL-HZPDHXFCSA-N 1 2 306.454 1.603 20 30 DDEDLO Cc1cc(-n2cncn2)ccc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000584414448 337322023 /nfs/dbraw/zinc/32/20/23/337322023.db2.gz UUFXENQQGJEBFT-MRXNPFEDSA-N 1 2 312.377 1.149 20 30 DDEDLO Cc1cc(-c2noc([C@H](C)[NH2+]CC(=O)NCC#N)n2)ccc1F ZINC000507210919 340213569 /nfs/dbraw/zinc/21/35/69/340213569.db2.gz LBHRPIIBOXYEQY-JTQLQIEISA-N 1 2 317.324 1.475 20 30 DDEDLO N#CCCN(CC(F)(F)F)C(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000516906019 340458428 /nfs/dbraw/zinc/45/84/28/340458428.db2.gz PIGCTXODXUEXMG-UHFFFAOYSA-N 1 2 324.266 1.580 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CCN(C)C(=O)[C@@H]1C ZINC000249537101 341399034 /nfs/dbraw/zinc/39/90/34/341399034.db2.gz CUQJFTPVICSQFK-GOEBONIOSA-N 1 2 318.417 1.317 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CCN(C)C(=O)[C@@H]1C ZINC000249537101 341399035 /nfs/dbraw/zinc/39/90/35/341399035.db2.gz CUQJFTPVICSQFK-GOEBONIOSA-N 1 2 318.417 1.317 20 30 DDEDLO CCOCCOc1ccccc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000567114049 341581045 /nfs/dbraw/zinc/58/10/45/341581045.db2.gz AGXCMGZEXHNOTG-KRWDZBQOSA-N 1 2 319.405 1.676 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000656069292 483931005 /nfs/dbraw/zinc/93/10/05/483931005.db2.gz WWOJMEBFCVEFPS-HNNXBMFYSA-N 1 2 318.421 1.909 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H]2CCCc3c2cnn3CCO)nn1 ZINC000657325899 484343541 /nfs/dbraw/zinc/34/35/41/484343541.db2.gz MRHIPIQWKMVIDI-HNNXBMFYSA-N 1 2 316.409 1.210 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)C(=O)N[C@H](C)Cn2cc[nH+]c2)C1 ZINC000668569632 485218442 /nfs/dbraw/zinc/21/84/42/485218442.db2.gz DGGJIUHDEBTJTA-ZIAGYGMSSA-N 1 2 304.394 1.203 20 30 DDEDLO COCC#CC[NH2+][C@](C)(C(N)=O)c1cccc(C(F)(F)F)c1 ZINC000677819323 486548895 /nfs/dbraw/zinc/54/88/95/486548895.db2.gz XXDTYDAWUWXBHU-AWEZNQCLSA-N 1 2 314.307 1.645 20 30 DDEDLO C[C@@H]1OCC[C@@H]1[N@H+](CCO)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459451040 534173830 /nfs/dbraw/zinc/17/38/30/534173830.db2.gz VEKVVKFPCIKRAY-FZMZJTMJSA-N 1 2 305.334 1.438 20 30 DDEDLO C[C@@H]1OCC[C@@H]1[N@@H+](CCO)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459451040 534173843 /nfs/dbraw/zinc/17/38/43/534173843.db2.gz VEKVVKFPCIKRAY-FZMZJTMJSA-N 1 2 305.334 1.438 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)C[C@H](O)C(F)(F)F ZINC000305778262 534297761 /nfs/dbraw/zinc/29/77/61/534297761.db2.gz QNQBRVKIKKEJII-SKDRFNHKSA-N 1 2 315.295 1.740 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)C[C@H](O)C(F)(F)F ZINC000305778262 534297764 /nfs/dbraw/zinc/29/77/64/534297764.db2.gz QNQBRVKIKKEJII-SKDRFNHKSA-N 1 2 315.295 1.740 20 30 DDEDLO C[C@H](CNC(=O)Cc1ccc2n[nH]cc2c1)[NH+]1CCN(C)CC1 ZINC000330092989 534299289 /nfs/dbraw/zinc/29/92/89/534299289.db2.gz RGVQQGGGBIYSSF-CYBMUJFWSA-N 1 2 315.421 1.698 20 30 DDEDLO Cc1cccc(C(=O)NCC[N@H+]2CCOC[C@H]2C)c1[N+](=O)[O-] ZINC000330628123 534570522 /nfs/dbraw/zinc/57/05/22/534570522.db2.gz YKINJSLITIYHEE-GFCCVEGCSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1cccc(C(=O)NCC[N@@H+]2CCOC[C@H]2C)c1[N+](=O)[O-] ZINC000330628123 534570533 /nfs/dbraw/zinc/57/05/33/534570533.db2.gz YKINJSLITIYHEE-GFCCVEGCSA-N 1 2 307.350 1.929 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](Cc3cccs3)CC2)ccn1 ZINC000331032487 534772109 /nfs/dbraw/zinc/77/21/09/534772109.db2.gz VEZZKPSFVOJCLW-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO CNC(=O)[C@@]1(C)CC[N@H+](Cc2noc(C3CCOCC3)n2)C1 ZINC000330280380 526406380 /nfs/dbraw/zinc/40/63/80/526406380.db2.gz SIEBWDXFZAZGBF-HNNXBMFYSA-N 1 2 308.382 1.762 20 30 DDEDLO CNC(=O)[C@@]1(C)CC[N@@H+](Cc2noc(C3CCOCC3)n2)C1 ZINC000330280380 526406389 /nfs/dbraw/zinc/40/63/89/526406389.db2.gz SIEBWDXFZAZGBF-HNNXBMFYSA-N 1 2 308.382 1.762 20 30 DDEDLO C=C(C)CN(Cc1ccc(CO)o1)c1nc(C)[nH+]c(N(C)C)n1 ZINC000343588600 526563336 /nfs/dbraw/zinc/56/33/36/526563336.db2.gz IBVCJOIFGZRLDY-UHFFFAOYSA-N 1 2 317.393 1.914 20 30 DDEDLO C=C(C)CN(Cc1ccc(CO)o1)c1nc(N(C)C)nc(C)[nH+]1 ZINC000343588600 526563341 /nfs/dbraw/zinc/56/33/41/526563341.db2.gz IBVCJOIFGZRLDY-UHFFFAOYSA-N 1 2 317.393 1.914 20 30 DDEDLO C#CCN(C(=O)NCC1([NH+]2CCOCC2)CC1)C1CCCCC1 ZINC000491774890 526767095 /nfs/dbraw/zinc/76/70/95/526767095.db2.gz ULWPTOSRSPIIAW-UHFFFAOYSA-N 1 2 319.449 1.829 20 30 DDEDLO C#CCN(C)C(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000491242415 526790184 /nfs/dbraw/zinc/79/01/84/526790184.db2.gz QENSZFBQUVOLDV-UHFFFAOYSA-N 1 2 302.374 1.154 20 30 DDEDLO C#CCN(C)C(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000491242415 526790193 /nfs/dbraw/zinc/79/01/93/526790193.db2.gz QENSZFBQUVOLDV-UHFFFAOYSA-N 1 2 302.374 1.154 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[NH2+][C@@H](c2ccncc2)C1)OCC ZINC000337666999 526809729 /nfs/dbraw/zinc/80/97/29/526809729.db2.gz TWGJNBGEVMOYRB-CVEARBPZSA-N 1 2 303.406 1.926 20 30 DDEDLO C#CCN(CC#CC)C(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000490712614 526851739 /nfs/dbraw/zinc/85/17/39/526851739.db2.gz GAKSCVIQYIBHDY-UHFFFAOYSA-N 1 2 322.368 1.305 20 30 DDEDLO C#CCN1CCN(C(=O)C[NH2+][C@H](C)c2csc(CC)n2)CC1 ZINC000490947942 526950335 /nfs/dbraw/zinc/95/03/35/526950335.db2.gz BMBHJGNLBBWULA-CYBMUJFWSA-N 1 2 320.462 1.134 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(F)c(Cl)c2)CC1 ZINC000490764076 526951636 /nfs/dbraw/zinc/95/16/36/526951636.db2.gz ZJIYJILMMOBRPI-UHFFFAOYSA-N 1 2 309.772 1.668 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cc(Cl)cc(C#N)c2)CC1 ZINC000491350824 526952154 /nfs/dbraw/zinc/95/21/54/526952154.db2.gz QKRGGKOFDLBSCY-UHFFFAOYSA-N 1 2 317.776 1.368 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC[C@@H](C)Cn1cc[nH+]c1 ZINC000342100233 526961129 /nfs/dbraw/zinc/96/11/29/526961129.db2.gz VBEXTCNXJTUQNX-CYBMUJFWSA-N 1 2 300.362 1.909 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCO[C@H](c2ccc(F)cc2F)C1 ZINC000491120764 526978205 /nfs/dbraw/zinc/97/82/05/526978205.db2.gz NQIRKTZTRLAIDP-HNNXBMFYSA-N 1 2 308.328 1.478 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCO[C@H](c2ccc(F)cc2F)C1 ZINC000491120764 526978212 /nfs/dbraw/zinc/97/82/12/526978212.db2.gz NQIRKTZTRLAIDP-HNNXBMFYSA-N 1 2 308.328 1.478 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C/C2CCCC2)CC1 ZINC000491711281 526989631 /nfs/dbraw/zinc/98/96/31/526989631.db2.gz UDCWWUXYLQAYQV-CMDGGOBGSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C/C2CCCC2)CC1 ZINC000491711281 526989635 /nfs/dbraw/zinc/98/96/35/526989635.db2.gz UDCWWUXYLQAYQV-CMDGGOBGSA-N 1 2 317.433 1.017 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CC[NH+]([C@@H](C)C(=O)NC2CC2)CC1 ZINC000341432835 527041319 /nfs/dbraw/zinc/04/13/19/527041319.db2.gz MSTGPGROEYDCNY-UONOGXRCSA-N 1 2 322.453 1.335 20 30 DDEDLO C=CCCC1(C(=O)NC[C@@H]2CCC[N@@H+](CC(=O)OC)C2)CC1 ZINC000412368709 527089811 /nfs/dbraw/zinc/08/98/11/527089811.db2.gz NEEIJISQTMZZMF-AWEZNQCLSA-N 1 2 308.422 1.734 20 30 DDEDLO C=CCCC1(C(=O)NC[C@@H]2CCC[N@H+](CC(=O)OC)C2)CC1 ZINC000412368709 527089816 /nfs/dbraw/zinc/08/98/16/527089816.db2.gz NEEIJISQTMZZMF-AWEZNQCLSA-N 1 2 308.422 1.734 20 30 DDEDLO C=CC[C@H](C)NC(=O)NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000363811695 527130345 /nfs/dbraw/zinc/13/03/45/527130345.db2.gz CLBOYMNDHMIMBK-GOEBONIOSA-N 1 2 311.426 1.132 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000340577760 527140683 /nfs/dbraw/zinc/14/06/83/527140683.db2.gz UYPOGDFGQSJPJK-UHFFFAOYSA-N 1 2 318.421 1.351 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000341861281 527173383 /nfs/dbraw/zinc/17/33/83/527173383.db2.gz WVDHBRISDXNGLI-AWEZNQCLSA-N 1 2 314.389 1.856 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)c2ccc3[nH+]ccn3c2)n1 ZINC000491616087 527182118 /nfs/dbraw/zinc/18/21/18/527182118.db2.gz IIDWHQAVIQCSTP-UHFFFAOYSA-N 1 2 307.357 1.826 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)Cn1cc(C)n(-c2ccccc2[N+](=O)[O-])c1=O ZINC000491795718 527214453 /nfs/dbraw/zinc/21/44/53/527214453.db2.gz WRNZGROYHMNWMG-GFCCVEGCSA-N 1 2 314.345 1.767 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)Cn1cc(C)n(-c2ccccc2[N+](=O)[O-])c1=O ZINC000491795718 527214460 /nfs/dbraw/zinc/21/44/60/527214460.db2.gz WRNZGROYHMNWMG-GFCCVEGCSA-N 1 2 314.345 1.767 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000491806766 527254948 /nfs/dbraw/zinc/25/49/48/527254948.db2.gz RQOSCMVIYPFGQW-HNNXBMFYSA-N 1 2 316.405 1.519 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000410842118 527301078 /nfs/dbraw/zinc/30/10/78/527301078.db2.gz LSIYAKSPFBJWJI-AWEZNQCLSA-N 1 2 303.362 1.096 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000491339913 527301778 /nfs/dbraw/zinc/30/17/78/527301778.db2.gz UDZXZJCUQAKNRR-QGZVFWFLSA-N 1 2 324.384 1.475 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@H](O)c1ccc(Br)cc1 ZINC000491427993 527310861 /nfs/dbraw/zinc/31/08/61/527310861.db2.gz MWMMVOKPDDIXOO-KGLIPLIRSA-N 1 2 310.191 1.817 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@H](O)c1ccc(Br)cc1 ZINC000491427993 527310864 /nfs/dbraw/zinc/31/08/64/527310864.db2.gz MWMMVOKPDDIXOO-KGLIPLIRSA-N 1 2 310.191 1.817 20 30 DDEDLO C=CCC[N@@H+](C)CC(=O)N(CC(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000342720276 527318502 /nfs/dbraw/zinc/31/85/02/527318502.db2.gz KPIMPKJJZHVLRG-CQSZACIVSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CCC[N@H+](C)CC(=O)N(CC(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000342720276 527318507 /nfs/dbraw/zinc/31/85/07/527318507.db2.gz KPIMPKJJZHVLRG-CQSZACIVSA-N 1 2 316.467 1.166 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1ccnn1Cc1cccs1 ZINC000491711804 527330287 /nfs/dbraw/zinc/33/02/87/527330287.db2.gz WMPZRWYCOQLLMB-LBPRGKRZSA-N 1 2 302.403 1.885 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1ccnn1Cc1cccs1 ZINC000491711804 527330290 /nfs/dbraw/zinc/33/02/90/527330290.db2.gz WMPZRWYCOQLLMB-LBPRGKRZSA-N 1 2 302.403 1.885 20 30 DDEDLO C#C[C@H](CC)NC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000491452280 527353979 /nfs/dbraw/zinc/35/39/79/527353979.db2.gz KGCXDJIKKPWMLI-CQSZACIVSA-N 1 2 302.378 1.129 20 30 DDEDLO C#C[C@H](CC)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000491801484 527357418 /nfs/dbraw/zinc/35/74/18/527357418.db2.gz CPRPWJYMQZNRDW-GDBMZVCRSA-N 1 2 317.408 1.864 20 30 DDEDLO CC(C)=CCCNC(=O)N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000331067879 527393325 /nfs/dbraw/zinc/39/33/25/527393325.db2.gz ADZFUPDBCDVLMG-UHFFFAOYSA-N 1 2 322.453 1.497 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000491546398 527419587 /nfs/dbraw/zinc/41/95/87/527419587.db2.gz VRFROSRIJUYFOH-KGLIPLIRSA-N 1 2 302.378 1.113 20 30 DDEDLO C=CCCn1cc(C(=O)NCc2ccc(N(C)C)[nH+]c2)nn1 ZINC000424584933 527524395 /nfs/dbraw/zinc/52/43/95/527524395.db2.gz QEHOSGZNQKADCR-UHFFFAOYSA-N 1 2 300.366 1.245 20 30 DDEDLO CCNC(=O)CN1CC[NH+]([C@H](C)c2cccc(C#N)c2)CC1 ZINC000330874082 528095828 /nfs/dbraw/zinc/09/58/28/528095828.db2.gz DIVBRNIVLPDUGY-CQSZACIVSA-N 1 2 300.406 1.373 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000343072309 528631107 /nfs/dbraw/zinc/63/11/07/528631107.db2.gz OIISCQMSAYCYJB-AWEZNQCLSA-N 1 2 316.405 1.536 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)N[C@H]1C[C@H](C)n2cc[nH+]c21 ZINC000451945509 528717257 /nfs/dbraw/zinc/71/72/57/528717257.db2.gz ISGGSSVYXGQKSW-WOPDTQHZSA-N 1 2 311.411 1.205 20 30 DDEDLO CCOC(=O)CC[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000336854875 535644878 /nfs/dbraw/zinc/64/48/78/535644878.db2.gz GCFBABMFKJWKCW-UHFFFAOYSA-N 1 2 324.402 1.217 20 30 DDEDLO CCOC(=O)CC[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000336854875 535644885 /nfs/dbraw/zinc/64/48/85/535644885.db2.gz GCFBABMFKJWKCW-UHFFFAOYSA-N 1 2 324.402 1.217 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccc(F)c3)C2)C1 ZINC000972233470 695184463 /nfs/dbraw/zinc/18/44/63/695184463.db2.gz HVFNZRIFSIARTA-QGZVFWFLSA-N 1 2 304.365 1.929 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccc(F)c3)C2)C1 ZINC000972233470 695184464 /nfs/dbraw/zinc/18/44/64/695184464.db2.gz HVFNZRIFSIARTA-QGZVFWFLSA-N 1 2 304.365 1.929 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccsc3)C2)C1 ZINC000972243330 695187967 /nfs/dbraw/zinc/18/79/67/695187967.db2.gz QCXIUBICWUPQIV-MRXNPFEDSA-N 1 2 306.431 1.780 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccsc3)C2)C1 ZINC000972243330 695187969 /nfs/dbraw/zinc/18/79/69/695187969.db2.gz QCXIUBICWUPQIV-MRXNPFEDSA-N 1 2 306.431 1.780 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)n3C)C2)C1 ZINC000972259923 695194267 /nfs/dbraw/zinc/19/42/67/695194267.db2.gz SRSGQHJCKRAQOM-GOSISDBHSA-N 1 2 315.417 1.274 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)n3C)C2)C1 ZINC000972259923 695194269 /nfs/dbraw/zinc/19/42/69/695194269.db2.gz SRSGQHJCKRAQOM-GOSISDBHSA-N 1 2 315.417 1.274 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389240 695237995 /nfs/dbraw/zinc/23/79/95/695237995.db2.gz JCCWLINUJZFTRS-DGCLKSJQSA-N 1 2 322.302 1.213 20 30 DDEDLO C#CCC[N@H+]1CCO[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389240 695237996 /nfs/dbraw/zinc/23/79/96/695237996.db2.gz JCCWLINUJZFTRS-DGCLKSJQSA-N 1 2 322.302 1.213 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3CC(C)(C)CO3)C2)C1 ZINC000972463796 695256968 /nfs/dbraw/zinc/25/69/68/695256968.db2.gz XTSIJOKKRAPHFG-MAUKXSAKSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3CC(C)(C)CO3)C2)C1 ZINC000972463796 695256969 /nfs/dbraw/zinc/25/69/69/695256969.db2.gz XTSIJOKKRAPHFG-MAUKXSAKSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@]2(C1)C[N@H+](CC#CC)CCO2 ZINC000972497867 695266090 /nfs/dbraw/zinc/26/60/90/695266090.db2.gz OXFFEPNXDKXDNZ-GOSISDBHSA-N 1 2 304.434 1.915 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@]2(C1)C[N@@H+](CC#CC)CCO2 ZINC000972497867 695266092 /nfs/dbraw/zinc/26/60/92/695266092.db2.gz OXFFEPNXDKXDNZ-GOSISDBHSA-N 1 2 304.434 1.915 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C)CCOCC3)C2)C1 ZINC000972598346 695294248 /nfs/dbraw/zinc/29/42/48/695294248.db2.gz FOQDNDOHNYPKML-SFHVURJKSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C)CCOCC3)C2)C1 ZINC000972598346 695294250 /nfs/dbraw/zinc/29/42/50/695294250.db2.gz FOQDNDOHNYPKML-SFHVURJKSA-N 1 2 320.433 1.130 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3nc(C)oc3C)C2)C1 ZINC000972611211 695298285 /nfs/dbraw/zinc/29/82/85/695298285.db2.gz DLSKCTJBMGFOCM-INIZCTEOSA-N 1 2 305.378 1.394 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3nc(C)oc3C)C2)C1 ZINC000972611211 695298286 /nfs/dbraw/zinc/29/82/86/695298286.db2.gz DLSKCTJBMGFOCM-INIZCTEOSA-N 1 2 305.378 1.394 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnoc3C3CC3)C2)C1 ZINC000972623956 695302817 /nfs/dbraw/zinc/30/28/17/695302817.db2.gz HBKMRQYJAFUKLJ-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnoc3C3CC3)C2)C1 ZINC000972623956 695302820 /nfs/dbraw/zinc/30/28/20/695302820.db2.gz HBKMRQYJAFUKLJ-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccc(C)o3)C2)C1 ZINC000972685106 695316870 /nfs/dbraw/zinc/31/68/70/695316870.db2.gz MXFKLUZVRXFRHI-SFHVURJKSA-N 1 2 316.401 1.457 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccc(C)o3)C2)C1 ZINC000972685106 695316872 /nfs/dbraw/zinc/31/68/72/695316872.db2.gz MXFKLUZVRXFRHI-SFHVURJKSA-N 1 2 316.401 1.457 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3cnn(C)c3)C2)C1 ZINC000972702816 695319960 /nfs/dbraw/zinc/31/99/60/695319960.db2.gz MYLSZWYCBZFEBL-WMLDXEAASA-N 1 2 318.421 1.013 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3cnn(C)c3)C2)C1 ZINC000972702816 695319962 /nfs/dbraw/zinc/31/99/62/695319962.db2.gz MYLSZWYCBZFEBL-WMLDXEAASA-N 1 2 318.421 1.013 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnc(C)cn2)C(C)(C)C1 ZINC000972775828 695333383 /nfs/dbraw/zinc/33/33/83/695333383.db2.gz GYITWYYAILYWIL-CYBMUJFWSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnc(C)cn2)C(C)(C)C1 ZINC000972775828 695333385 /nfs/dbraw/zinc/33/33/85/695333385.db2.gz GYITWYYAILYWIL-CYBMUJFWSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cncnc2C)C(C)(C)C1 ZINC000972837527 695340941 /nfs/dbraw/zinc/34/09/41/695340941.db2.gz UUZCTUADCQNAFY-ZDUSSCGKSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cncnc2C)C(C)(C)C1 ZINC000972837527 695340943 /nfs/dbraw/zinc/34/09/43/695340943.db2.gz UUZCTUADCQNAFY-ZDUSSCGKSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc(C)nn2C)C(C)(C)C1 ZINC000972842419 695341413 /nfs/dbraw/zinc/34/14/13/695341413.db2.gz ZSZLCSBRNXXNJM-CYBMUJFWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc(C)nn2C)C(C)(C)C1 ZINC000972842419 695341414 /nfs/dbraw/zinc/34/14/14/695341414.db2.gz ZSZLCSBRNXXNJM-CYBMUJFWSA-N 1 2 310.829 1.921 20 30 DDEDLO CN1c2ccccc2N(C)C1=C1C(=[NH2+])N(CC2(C#N)CC2)CC1=O ZINC000797398855 700007394 /nfs/dbraw/zinc/00/73/94/700007394.db2.gz REURFXHWKDIDIL-UHFFFAOYSA-N 1 2 321.384 1.950 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccn(C)c(=O)c2)C(C)(C)C1 ZINC000974759923 695728788 /nfs/dbraw/zinc/72/87/88/695728788.db2.gz YHVJWLFRSZRUQI-ZDUSSCGKSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccn(C)c(=O)c2)C(C)(C)C1 ZINC000974759923 695728790 /nfs/dbraw/zinc/72/87/90/695728790.db2.gz YHVJWLFRSZRUQI-ZDUSSCGKSA-N 1 2 323.824 1.578 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000798386529 700061029 /nfs/dbraw/zinc/06/10/29/700061029.db2.gz TTYPNHQQEYZHDN-OAHLLOKOSA-N 1 2 312.373 1.446 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000798395495 700061447 /nfs/dbraw/zinc/06/14/47/700061447.db2.gz ILCQRUYRNRJILT-CYBMUJFWSA-N 1 2 305.378 1.281 20 30 DDEDLO C=CCN(C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1)C(C)C ZINC000747563780 700076045 /nfs/dbraw/zinc/07/60/45/700076045.db2.gz YJSZEVSXFBZEQP-UHFFFAOYSA-N 1 2 321.425 1.644 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)Nc1cc(F)cc(F)c1 ZINC000092915601 696598287 /nfs/dbraw/zinc/59/82/87/696598287.db2.gz CUIFLVQOEKGZKF-UHFFFAOYSA-N 1 2 320.299 1.830 20 30 DDEDLO NC(=[NH+]OCCCO)c1ccc(OCc2cccnc2)cc1 ZINC000127394423 696771137 /nfs/dbraw/zinc/77/11/37/696771137.db2.gz JZCHPGRQSURYMX-UHFFFAOYSA-N 1 2 301.346 1.680 20 30 DDEDLO CC[C@@H](OC)C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980812512 696898866 /nfs/dbraw/zinc/89/88/66/696898866.db2.gz HSAQZMOBOCOJJI-GOSISDBHSA-N 1 2 314.429 1.997 20 30 DDEDLO CC[C@@H](OC)C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980812512 696898868 /nfs/dbraw/zinc/89/88/68/696898868.db2.gz HSAQZMOBOCOJJI-GOSISDBHSA-N 1 2 314.429 1.997 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cc(-c3cccnc3)on2)CC1 ZINC000981849211 696942237 /nfs/dbraw/zinc/94/22/37/696942237.db2.gz JGHNLFMAIQDXPY-UHFFFAOYSA-N 1 2 310.357 1.518 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cc(-c3cccnc3)on2)CC1 ZINC000981849211 696942240 /nfs/dbraw/zinc/94/22/40/696942240.db2.gz JGHNLFMAIQDXPY-UHFFFAOYSA-N 1 2 310.357 1.518 20 30 DDEDLO NC(=[NH+]OCc1nnc(C2CC2)o1)c1ccc2c(c1)CCO2 ZINC000158208952 696988040 /nfs/dbraw/zinc/98/80/40/696988040.db2.gz CTDBRCXYTLFKJC-UHFFFAOYSA-N 1 2 300.318 1.719 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCc2cn(C)nc2C)CC1 ZINC000982071514 697021938 /nfs/dbraw/zinc/02/19/38/697021938.db2.gz FYQFGTDSCBRDNU-UHFFFAOYSA-N 1 2 324.856 1.948 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCc2cn(C)nc2C)CC1 ZINC000982071514 697021940 /nfs/dbraw/zinc/02/19/40/697021940.db2.gz FYQFGTDSCBRDNU-UHFFFAOYSA-N 1 2 324.856 1.948 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCc2ccnn2C)CC1 ZINC000981262773 697050217 /nfs/dbraw/zinc/05/02/17/697050217.db2.gz JATFGYUOCWZILG-UHFFFAOYSA-N 1 2 310.829 1.640 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCc2ccnn2C)CC1 ZINC000981262773 697050219 /nfs/dbraw/zinc/05/02/19/697050219.db2.gz JATFGYUOCWZILG-UHFFFAOYSA-N 1 2 310.829 1.640 20 30 DDEDLO C#C[C@H](NC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C)C(C)C ZINC000799273930 700131084 /nfs/dbraw/zinc/13/10/84/700131084.db2.gz GFWWXWIECBZRDW-LBPRGKRZSA-N 1 2 302.378 1.169 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCNC(=O)[C@@H]2CC)c(O)c(OC)c1 ZINC000164304206 697335940 /nfs/dbraw/zinc/33/59/40/697335940.db2.gz UVDRRXOZZUYUTA-AWEZNQCLSA-N 1 2 304.390 1.840 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCNC(=O)[C@@H]2CC)c(O)c(OC)c1 ZINC000164304206 697335943 /nfs/dbraw/zinc/33/59/43/697335943.db2.gz UVDRRXOZZUYUTA-AWEZNQCLSA-N 1 2 304.390 1.840 20 30 DDEDLO CN(CC#N)C1CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000985557402 697529871 /nfs/dbraw/zinc/52/98/71/697529871.db2.gz LRDVUNAMTVXSDF-UHFFFAOYSA-N 1 2 323.400 1.932 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1)[C@@H]1CCCO1 ZINC000776225127 698083691 /nfs/dbraw/zinc/08/36/91/698083691.db2.gz CCGPECHWIJXFAB-JONQDZQNSA-N 1 2 316.405 1.656 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)CN1C[C@]2(CCOC2)CC1=O ZINC000778586002 698349907 /nfs/dbraw/zinc/34/99/07/698349907.db2.gz XVQWRWOKRKPIOX-KRWDZBQOSA-N 1 2 304.390 1.907 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)CN1C[C@]2(CCOC2)CC1=O ZINC000778586002 698349910 /nfs/dbraw/zinc/34/99/10/698349910.db2.gz XVQWRWOKRKPIOX-KRWDZBQOSA-N 1 2 304.390 1.907 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=Cc2cnn(Cc3ccc(F)cc3)c2)N1 ZINC000780243449 698500272 /nfs/dbraw/zinc/50/02/72/698500272.db2.gz UDZAVEYTJSZMJO-NSHDSACASA-N 1 2 300.341 1.342 20 30 DDEDLO C#CCCCCCC(=O)N1CCC[N@@H+](CC(=O)NCC)CC1 ZINC000780413634 698516611 /nfs/dbraw/zinc/51/66/11/698516611.db2.gz FLIJODDTFRYUMJ-UHFFFAOYSA-N 1 2 307.438 1.241 20 30 DDEDLO C#CCCCCCC(=O)N1CCC[N@H+](CC(=O)NCC)CC1 ZINC000780413634 698516613 /nfs/dbraw/zinc/51/66/13/698516613.db2.gz FLIJODDTFRYUMJ-UHFFFAOYSA-N 1 2 307.438 1.241 20 30 DDEDLO C[C@@H]1CCN(C(=O)[C@@H](O)c2ccc(C#N)cc2)C[C@@H]1n1cc[nH+]c1 ZINC000780970096 698578558 /nfs/dbraw/zinc/57/85/58/698578558.db2.gz UNRMMSUGCRNZCJ-COXVUDFISA-N 1 2 324.384 1.898 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)OC(=O)Cc1cccc(OCC#N)c1 ZINC000782301923 698706482 /nfs/dbraw/zinc/70/64/82/698706482.db2.gz QQDGQAHSPCGBOM-AWEZNQCLSA-N 1 2 318.373 1.395 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2CCc3nncn3C2)CC1 ZINC000989728417 698742494 /nfs/dbraw/zinc/74/24/94/698742494.db2.gz IZMKBRREDSKPAV-CYBMUJFWSA-N 1 2 323.828 1.127 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2CCc3nncn3C2)CC1 ZINC000989728417 698742499 /nfs/dbraw/zinc/74/24/99/698742499.db2.gz IZMKBRREDSKPAV-CYBMUJFWSA-N 1 2 323.828 1.127 20 30 DDEDLO CN(CN1C[C@@H](c2c[nH+]cn2C)CC1=O)c1ccccc1C#N ZINC000783991536 698900293 /nfs/dbraw/zinc/90/02/93/698900293.db2.gz OOTSTBSJKMUKNH-AWEZNQCLSA-N 1 2 309.373 1.702 20 30 DDEDLO C#CCn1cc(CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC000788211868 699291274 /nfs/dbraw/zinc/29/12/74/699291274.db2.gz IHKZTDKKSGPUOG-UHFFFAOYSA-N 1 2 305.341 1.632 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCC#Cc2ccccc2)CCO1 ZINC000726255564 699357018 /nfs/dbraw/zinc/35/70/18/699357018.db2.gz JZHMAZNQSKZMBP-HNNXBMFYSA-N 1 2 301.390 1.058 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCC#Cc2ccccc2)CCO1 ZINC000726255564 699357021 /nfs/dbraw/zinc/35/70/21/699357021.db2.gz JZHMAZNQSKZMBP-HNNXBMFYSA-N 1 2 301.390 1.058 20 30 DDEDLO N#CCN1CC[C@@]2(NC(=O)c3cccc4[nH+]ccn43)CCC[C@H]12 ZINC000991845094 699420056 /nfs/dbraw/zinc/42/00/56/699420056.db2.gz YIVNCNBOJCOZRJ-YOEHRIQHSA-N 1 2 309.373 1.585 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCCC[C@H]1C1OCCO1 ZINC000728173124 699440218 /nfs/dbraw/zinc/44/02/18/699440218.db2.gz BDXFPRSNTGXUNF-KGLIPLIRSA-N 1 2 309.410 1.222 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCCC[C@H]1C1OCCO1 ZINC000728173124 699440220 /nfs/dbraw/zinc/44/02/20/699440220.db2.gz BDXFPRSNTGXUNF-KGLIPLIRSA-N 1 2 309.410 1.222 20 30 DDEDLO C[C@@H](NC(=O)COc1cccc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000729445407 699479329 /nfs/dbraw/zinc/47/93/29/699479329.db2.gz OCKCGAFOKPZEQD-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC(=O)NC1CCCC1)Cc1ccccc1 ZINC000796631682 699943841 /nfs/dbraw/zinc/94/38/41/699943841.db2.gz GYNJDQDSXXSVSO-UHFFFAOYSA-N 1 2 313.401 1.890 20 30 DDEDLO C#CC[N@H+](CC(=O)NC(=O)NC1CCCC1)Cc1ccccc1 ZINC000796631682 699943845 /nfs/dbraw/zinc/94/38/45/699943845.db2.gz GYNJDQDSXXSVSO-UHFFFAOYSA-N 1 2 313.401 1.890 20 30 DDEDLO O=[N+]([O-])c1ccc(O)cc1C=NNC1=[NH+][C@@H]2CCCC[C@@H]2N1 ZINC000753937122 700468779 /nfs/dbraw/zinc/46/87/79/700468779.db2.gz YQIORTUQKBQESU-TXEJJXNPSA-N 1 2 303.322 1.494 20 30 DDEDLO C#CCNC(=S)NC1CC[NH+](c2ccc(N(C)C)cc2)CC1 ZINC000758244334 700694000 /nfs/dbraw/zinc/69/40/00/700694000.db2.gz DFUNYLRGYHMWCU-UHFFFAOYSA-N 1 2 316.474 1.819 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(NC(=O)C=C)c1 ZINC000758282849 700696394 /nfs/dbraw/zinc/69/63/94/700696394.db2.gz VTLNJILDSSPGGG-HNNXBMFYSA-N 1 2 315.348 1.986 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(NC(=O)C=C)c1 ZINC000758282849 700696396 /nfs/dbraw/zinc/69/63/96/700696396.db2.gz VTLNJILDSSPGGG-HNNXBMFYSA-N 1 2 315.348 1.986 20 30 DDEDLO COc1ccc(F)cc1CN1CC[NH+](C[C@H](O)CC#N)CC1 ZINC000809569640 701670451 /nfs/dbraw/zinc/67/04/51/701670451.db2.gz CCFKRWGTNSPJQZ-OAHLLOKOSA-N 1 2 307.369 1.226 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(NC(N)=O)c(OC)c1 ZINC000762570688 700898652 /nfs/dbraw/zinc/89/86/52/700898652.db2.gz LKRKOJDCBXCZCX-ZDUSSCGKSA-N 1 2 316.361 1.222 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(NC(N)=O)c(OC)c1 ZINC000762570688 700898653 /nfs/dbraw/zinc/89/86/53/700898653.db2.gz LKRKOJDCBXCZCX-ZDUSSCGKSA-N 1 2 316.361 1.222 20 30 DDEDLO CC(C)C(=O)N1CC[NH+](C[C@@H](O)c2ccc(C#N)cc2)CC1 ZINC000763225367 700929793 /nfs/dbraw/zinc/92/97/93/700929793.db2.gz LSDUWLGIPRKYGX-MRXNPFEDSA-N 1 2 301.390 1.392 20 30 DDEDLO C[C@H]1CC(NC(=O)c2ccc(-n3cc[nH+]c3)c([N+](=O)[O-])c2)=NO1 ZINC000763258020 700932188 /nfs/dbraw/zinc/93/21/88/700932188.db2.gz GEFCNFFUHCIVRP-VIFPVBQESA-N 1 2 315.289 1.633 20 30 DDEDLO CON=CC(=O)N[C@H]1CC[N@H+](C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000809736194 701684013 /nfs/dbraw/zinc/68/40/13/701684013.db2.gz JMIZKQMBLSUWNM-GXTWGEPZSA-N 1 2 313.760 1.973 20 30 DDEDLO CON=CC(=O)N[C@H]1CC[N@@H+](C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000809736194 701684016 /nfs/dbraw/zinc/68/40/16/701684016.db2.gz JMIZKQMBLSUWNM-GXTWGEPZSA-N 1 2 313.760 1.973 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)[C@@H]1C ZINC000766634053 701057683 /nfs/dbraw/zinc/05/76/83/701057683.db2.gz KHBGCJUBZXUQRO-YPMHNXCESA-N 1 2 315.373 1.050 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)[C@@H]1C ZINC000766634053 701057684 /nfs/dbraw/zinc/05/76/84/701057684.db2.gz KHBGCJUBZXUQRO-YPMHNXCESA-N 1 2 315.373 1.050 20 30 DDEDLO C#CCNC(=O)C[N@@H+](Cc1ccnc(OC(C)C)c1)C1CC1 ZINC000767462104 701108565 /nfs/dbraw/zinc/10/85/65/701108565.db2.gz KSQJTZRQCNYPPF-UHFFFAOYSA-N 1 2 301.390 1.583 20 30 DDEDLO C#CCNC(=O)C[N@H+](Cc1ccnc(OC(C)C)c1)C1CC1 ZINC000767462104 701108566 /nfs/dbraw/zinc/10/85/66/701108566.db2.gz KSQJTZRQCNYPPF-UHFFFAOYSA-N 1 2 301.390 1.583 20 30 DDEDLO C#C[C@@H](NC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C)[C@H]1CCCO1 ZINC000839517101 701721830 /nfs/dbraw/zinc/72/18/30/701721830.db2.gz NQJUWXHYULBQRV-ZIAGYGMSSA-N 1 2 315.373 1.242 20 30 DDEDLO CC(C)(C)[N@@H+]1CC[C@](F)(C(=O)[C@@H](C#N)C(=O)NC2CCCC2)C1 ZINC000810537604 701785719 /nfs/dbraw/zinc/78/57/19/701785719.db2.gz YUZVTFQFUKQZGD-CXAGYDPISA-N 1 2 323.412 1.967 20 30 DDEDLO CC(C)(C)[N@H+]1CC[C@](F)(C(=O)[C@@H](C#N)C(=O)NC2CCCC2)C1 ZINC000810537604 701785724 /nfs/dbraw/zinc/78/57/24/701785724.db2.gz YUZVTFQFUKQZGD-CXAGYDPISA-N 1 2 323.412 1.967 20 30 DDEDLO N#Cc1ccc(F)c(CNC(=O)/C=C/C[NH+]2CCOCC2)c1 ZINC000866122191 706625409 /nfs/dbraw/zinc/62/54/09/706625409.db2.gz FBDDRYQLXDQOGI-OWOJBTEDSA-N 1 2 303.337 1.202 20 30 DDEDLO C=CCC(F)(F)C(=O)N[C@@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000815820822 701940971 /nfs/dbraw/zinc/94/09/71/701940971.db2.gz ZSIDVGSMGRTZSP-OLZOCXBDSA-N 1 2 302.365 1.957 20 30 DDEDLO CN(C)c1ncc(C=[NH+]Nc2ccc(F)c([N+](=O)[O-])c2)n1C ZINC000811647754 702021073 /nfs/dbraw/zinc/02/10/73/702021073.db2.gz ZOYANMSBMGBHCZ-UHFFFAOYSA-N 1 2 306.301 1.979 20 30 DDEDLO CN(C(=O)C#Cc1ccccc1)C(C)(C)C[NH+]1CCOCC1 ZINC000840568726 702158205 /nfs/dbraw/zinc/15/82/05/702158205.db2.gz RTHWIBFPSBQGLA-UHFFFAOYSA-N 1 2 300.402 1.607 20 30 DDEDLO CO[C@@H]1CC[C@H](C)[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000879323075 706649447 /nfs/dbraw/zinc/64/94/47/706649447.db2.gz XBHBTHOHKYOGEO-DZGCQCFKSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@@H]1CC[C@H](C)[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000879323075 706649449 /nfs/dbraw/zinc/64/94/49/706649449.db2.gz XBHBTHOHKYOGEO-DZGCQCFKSA-N 1 2 322.430 1.831 20 30 DDEDLO Cc1nn(-c2cccc(F)c2)cc1C=NNC1=[NH+]C[C@@H](C)N1 ZINC000841634614 702514694 /nfs/dbraw/zinc/51/46/94/702514694.db2.gz VMSJSRVJPRBQCL-SNVBAGLBSA-N 1 2 300.341 1.591 20 30 DDEDLO Cc1nn2c([nH]c3ccccc3c2=O)c1C=[NH+]N(C)[C@H](C)CO ZINC000842545021 702733339 /nfs/dbraw/zinc/73/33/39/702733339.db2.gz YSGSYQCILLERLF-SNVBAGLBSA-N 1 2 313.361 1.131 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845276125 703137636 /nfs/dbraw/zinc/13/76/36/703137636.db2.gz IGPXMRISIFBGGB-XEZPLFJOSA-N 1 2 320.393 1.820 20 30 DDEDLO C[C@H](CC(=O)OCC(=O)N(CCC#N)CCC#N)n1cc[nH+]c1 ZINC000845278258 703137957 /nfs/dbraw/zinc/13/79/57/703137957.db2.gz SHPIJGBDZKOIJA-CYBMUJFWSA-N 1 2 317.349 1.033 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N(C)C[C@H](O)c1cccc(C#N)c1 ZINC000848239170 703530495 /nfs/dbraw/zinc/53/04/95/703530495.db2.gz BLKGYNRNYBQWRE-LRDDRELGSA-N 1 2 312.373 1.652 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N(C)C[C@H](O)c1cccc(C#N)c1 ZINC000848239170 703530496 /nfs/dbraw/zinc/53/04/96/703530496.db2.gz BLKGYNRNYBQWRE-LRDDRELGSA-N 1 2 312.373 1.652 20 30 DDEDLO C[C@H]1CCC(=NNCCCn2cc[nH+]c2)[C@H](n2cncn2)C1 ZINC000848417144 703548109 /nfs/dbraw/zinc/54/81/09/703548109.db2.gz MNDPWBVMTNZSJR-DZGCQCFKSA-N 1 2 301.398 1.872 20 30 DDEDLO C#C[C@H]1CCCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)C1 ZINC000848751381 703583937 /nfs/dbraw/zinc/58/39/37/703583937.db2.gz YGQTXFFBTBKADS-LSDHHAIUSA-N 1 2 300.406 1.677 20 30 DDEDLO C#C[C@H]1CCCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC000848751381 703583939 /nfs/dbraw/zinc/58/39/39/703583939.db2.gz YGQTXFFBTBKADS-LSDHHAIUSA-N 1 2 300.406 1.677 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccc(OCC#N)cc2)CCN1S(C)(=O)=O ZINC000852530454 704069476 /nfs/dbraw/zinc/06/94/76/704069476.db2.gz FWAIMFJIAMXFPY-CYBMUJFWSA-N 1 2 323.418 1.055 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccc(OCC#N)cc2)CCN1S(C)(=O)=O ZINC000852530454 704069477 /nfs/dbraw/zinc/06/94/77/704069477.db2.gz FWAIMFJIAMXFPY-CYBMUJFWSA-N 1 2 323.418 1.055 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc2c(c1)CCC[C@@H]2O ZINC000819478243 704131514 /nfs/dbraw/zinc/13/15/14/704131514.db2.gz LIWBLOKJAVWFSY-WBVHZDCISA-N 1 2 303.406 1.675 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc2c(c1)CCC[C@@H]2O ZINC000819478243 704131516 /nfs/dbraw/zinc/13/15/16/704131516.db2.gz LIWBLOKJAVWFSY-WBVHZDCISA-N 1 2 303.406 1.675 20 30 DDEDLO Cc1[nH+]cc(C=NNS(=O)(=O)c2cc(C)ccc2C)n1C ZINC000853259315 704226526 /nfs/dbraw/zinc/22/65/26/704226526.db2.gz AUDIMCSLHYKBGI-UHFFFAOYSA-N 1 2 306.391 1.658 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C[C@@]2(CCSC2)C1=O)[C@H](C)COC ZINC000853532777 704261120 /nfs/dbraw/zinc/26/11/20/704261120.db2.gz MOSJCZNWVXBYIG-IUODEOHRSA-N 1 2 312.435 1.349 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C[C@@]2(CCSC2)C1=O)[C@H](C)COC ZINC000853532777 704261123 /nfs/dbraw/zinc/26/11/23/704261123.db2.gz MOSJCZNWVXBYIG-IUODEOHRSA-N 1 2 312.435 1.349 20 30 DDEDLO C=CC[N@H+](CN1C[C@@H](c2cncn2C)CC1=O)[C@@H](C)COC ZINC000853535625 704262161 /nfs/dbraw/zinc/26/21/61/704262161.db2.gz XVKVKASQLSIYOY-KBPBESRZSA-N 1 2 306.410 1.216 20 30 DDEDLO C=CC[N@@H+](CN1C[C@@H](c2cncn2C)CC1=O)[C@@H](C)COC ZINC000853535625 704262162 /nfs/dbraw/zinc/26/21/62/704262162.db2.gz XVKVKASQLSIYOY-KBPBESRZSA-N 1 2 306.410 1.216 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2cc(C)ccn2)CC1 ZINC000820370585 704268175 /nfs/dbraw/zinc/26/81/75/704268175.db2.gz OAAJZTQAYCYIGR-UHFFFAOYSA-N 1 2 300.358 1.461 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCCCCS(N)(=O)=O ZINC000853648101 704292742 /nfs/dbraw/zinc/29/27/42/704292742.db2.gz JBUWZOGQWIQPMC-UHFFFAOYSA-N 1 2 311.407 1.354 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000820654591 704315296 /nfs/dbraw/zinc/31/52/96/704315296.db2.gz PENFGHMYJYIDSY-AWEZNQCLSA-N 1 2 301.394 1.419 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)NC2=NO[C@H](C)C2)cc1 ZINC000821395302 704403617 /nfs/dbraw/zinc/40/36/17/704403617.db2.gz TZAUNURJXWPYPD-BXUZGUMPSA-N 1 2 303.362 1.508 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2C(=O)NC2=NO[C@H](C)C2)cc1 ZINC000821395302 704403618 /nfs/dbraw/zinc/40/36/18/704403618.db2.gz TZAUNURJXWPYPD-BXUZGUMPSA-N 1 2 303.362 1.508 20 30 DDEDLO C#C[C@@](C)(CC)NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000854697511 704441450 /nfs/dbraw/zinc/44/14/50/704441450.db2.gz DZFFNTUVFAZWJU-AEFFLSMTSA-N 1 2 300.402 1.806 20 30 DDEDLO C#C[C@@](C)(CC)NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000854697511 704441451 /nfs/dbraw/zinc/44/14/51/704441451.db2.gz DZFFNTUVFAZWJU-AEFFLSMTSA-N 1 2 300.402 1.806 20 30 DDEDLO COc1cc(C(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)ccc1C#N ZINC000855406074 704482886 /nfs/dbraw/zinc/48/28/86/704482886.db2.gz BMBKYTRKVFNDEU-CHWSQXEVSA-N 1 2 317.389 1.406 20 30 DDEDLO COc1cc(C(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)ccc1C#N ZINC000855406074 704482887 /nfs/dbraw/zinc/48/28/87/704482887.db2.gz BMBKYTRKVFNDEU-CHWSQXEVSA-N 1 2 317.389 1.406 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCC1(O)CCC(F)(F)CC1 ZINC000856201758 704518205 /nfs/dbraw/zinc/51/82/05/704518205.db2.gz QAECIWRSRRSJCB-LBPRGKRZSA-N 1 2 300.349 1.141 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCC1(O)CCC(F)(F)CC1 ZINC000856201758 704518206 /nfs/dbraw/zinc/51/82/06/704518206.db2.gz QAECIWRSRRSJCB-LBPRGKRZSA-N 1 2 300.349 1.141 20 30 DDEDLO CC(C)[C@@H](CNC(=O)C#Cc1cccs1)[NH+]1CCOCC1 ZINC000824220943 705402449 /nfs/dbraw/zinc/40/24/49/705402449.db2.gz GFKSAMHWJHIIAJ-OAHLLOKOSA-N 1 2 306.431 1.573 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(COCCOC)cc1 ZINC000863349435 705909292 /nfs/dbraw/zinc/90/92/92/705909292.db2.gz OXAFPPIECAKWLY-KRWDZBQOSA-N 1 2 316.401 1.886 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(COCCOC)cc1 ZINC000863349435 705909295 /nfs/dbraw/zinc/90/92/95/705909295.db2.gz OXAFPPIECAKWLY-KRWDZBQOSA-N 1 2 316.401 1.886 20 30 DDEDLO N#Cc1cc(F)ccc1N1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000827411772 705995357 /nfs/dbraw/zinc/99/53/57/705995357.db2.gz IDTBZSDMXQSKFT-UHFFFAOYSA-N 1 2 313.336 1.243 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)N[C@@H]1Cc2ccc(C#N)cc2C1 ZINC000864425686 706165262 /nfs/dbraw/zinc/16/52/62/706165262.db2.gz PAGYIHMWXUGJKF-MLGOLLRUSA-N 1 2 309.373 1.610 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H]1CCC2(CCC2)O1 ZINC000878159396 706310205 /nfs/dbraw/zinc/31/02/05/706310205.db2.gz KSIUKBOKGZHYCY-INIZCTEOSA-N 1 2 307.438 1.636 20 30 DDEDLO C#CC[N@@H+](CC[C@@H]1CCCC1(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000878237685 706334737 /nfs/dbraw/zinc/33/47/37/706334737.db2.gz OHGXBALDAMHKPE-QWHCGFSZSA-N 1 2 305.390 1.934 20 30 DDEDLO C#CC[N@H+](CC[C@@H]1CCCC1(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000878237685 706334739 /nfs/dbraw/zinc/33/47/39/706334739.db2.gz OHGXBALDAMHKPE-QWHCGFSZSA-N 1 2 305.390 1.934 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@H+]2CCOC[C@@H]2C)cc1 ZINC000836352551 707445354 /nfs/dbraw/zinc/44/53/54/707445354.db2.gz XSEMWLMXEIOZFK-AWEZNQCLSA-N 1 2 301.390 1.188 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@@H+]2CCOC[C@@H]2C)cc1 ZINC000836352551 707445358 /nfs/dbraw/zinc/44/53/58/707445358.db2.gz XSEMWLMXEIOZFK-AWEZNQCLSA-N 1 2 301.390 1.188 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@@H](C(F)(F)F)CC1 ZINC000880046532 706862963 /nfs/dbraw/zinc/86/29/63/706862963.db2.gz XTOSXTVMMJTVRL-GHMZBOCLSA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@@H](C(F)(F)F)CC1 ZINC000880046532 706862965 /nfs/dbraw/zinc/86/29/65/706862965.db2.gz XTOSXTVMMJTVRL-GHMZBOCLSA-N 1 2 307.316 1.400 20 30 DDEDLO N#CCc1cccc(NC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)n1 ZINC000881150325 707137818 /nfs/dbraw/zinc/13/78/18/707137818.db2.gz NVPXOQRPICBAEX-AWEZNQCLSA-N 1 2 322.372 1.943 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[NH2+]C[C@@H]1C(F)F ZINC000871808009 707212847 /nfs/dbraw/zinc/21/28/47/707212847.db2.gz UKUNOTRMOJRJHK-LLVKDONJSA-N 1 2 315.345 1.094 20 30 DDEDLO C#CCOc1ccc(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)cc1 ZINC000836868833 707535669 /nfs/dbraw/zinc/53/56/69/707535669.db2.gz OZNUTPJMJLRTLO-OAHLLOKOSA-N 1 2 309.369 1.774 20 30 DDEDLO C=CCC[C@@H]([NH2+]Cc1nnc2c(=O)n(C)ccn12)c1ccco1 ZINC000883336150 707978690 /nfs/dbraw/zinc/97/86/90/707978690.db2.gz HUZHABPIWDMXCN-GFCCVEGCSA-N 1 2 313.361 1.818 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(Cc1ccccc1)C(C)C ZINC000884048427 708116857 /nfs/dbraw/zinc/11/68/57/708116857.db2.gz KQXLVTZNKOHZQY-HNNXBMFYSA-N 1 2 304.390 1.870 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)N(C)c1ccccc1 ZINC000884189144 708179363 /nfs/dbraw/zinc/17/93/63/708179363.db2.gz XLYFBBDFTXVFRZ-HIFRSBDPSA-N 1 2 319.405 1.074 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@@H](C)C(F)(F)[C@@H](C)C1 ZINC000884429020 708293343 /nfs/dbraw/zinc/29/33/43/708293343.db2.gz WCBSBDZEZKVFKS-AXFHLTTASA-N 1 2 304.337 1.183 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](Nc2ncc(C(=O)N(C)C)cc2Cl)C1 ZINC000884613405 708338702 /nfs/dbraw/zinc/33/87/02/708338702.db2.gz LSWNGHXPAQGPQZ-ZDUSSCGKSA-N 1 2 320.824 1.946 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](Nc2ncc(C(=O)N(C)C)cc2Cl)C1 ZINC000884613405 708338704 /nfs/dbraw/zinc/33/87/04/708338704.db2.gz LSWNGHXPAQGPQZ-ZDUSSCGKSA-N 1 2 320.824 1.946 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(OCC)cc2)C1 ZINC000885508322 708561931 /nfs/dbraw/zinc/56/19/31/708561931.db2.gz FLKRNXFCRSBDRW-AWEZNQCLSA-N 1 2 322.430 1.461 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(OCC)cc2)C1 ZINC000885508322 708561934 /nfs/dbraw/zinc/56/19/34/708561934.db2.gz FLKRNXFCRSBDRW-AWEZNQCLSA-N 1 2 322.430 1.461 20 30 DDEDLO C#CC[C@H]1CC[N@@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC000886359462 708748744 /nfs/dbraw/zinc/74/87/44/708748744.db2.gz CLLANAZCYWZVTJ-ZDUSSCGKSA-N 1 2 300.358 1.297 20 30 DDEDLO C#CC[C@H]1CC[N@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC000886359462 708748745 /nfs/dbraw/zinc/74/87/45/708748745.db2.gz CLLANAZCYWZVTJ-ZDUSSCGKSA-N 1 2 300.358 1.297 20 30 DDEDLO C#C[C@@H](NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1)C1CCOCC1 ZINC000898977195 708942238 /nfs/dbraw/zinc/94/22/38/708942238.db2.gz HFQVFKBEPOWMTM-CJNGLKHVSA-N 1 2 316.405 1.335 20 30 DDEDLO C#C[C@@H](NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1)C1CCOCC1 ZINC000898977195 708942241 /nfs/dbraw/zinc/94/22/41/708942241.db2.gz HFQVFKBEPOWMTM-CJNGLKHVSA-N 1 2 316.405 1.335 20 30 DDEDLO N#Cc1sc(NC(=O)N[C@@H]2Cc3c[nH+]cn3C2)nc1Cl ZINC000888428177 709308347 /nfs/dbraw/zinc/30/83/47/709308347.db2.gz PKVYTOVJGSPRGC-ZCFIWIBFSA-N 1 2 308.754 1.611 20 30 DDEDLO C=CCN(CC(F)(F)F)C(=O)/C=C(\C)C[NH+]1CCOCC1 ZINC000900424715 709589154 /nfs/dbraw/zinc/58/91/54/709589154.db2.gz GEVFEXTTWMSFNW-FMIVXFBMSA-N 1 2 306.328 1.842 20 30 DDEDLO COC(=O)c1cc2n(n1)CC/C(=C/c1[nH]c(C)c(C)[nH+]1)C2=O ZINC000901561767 710076032 /nfs/dbraw/zinc/07/60/32/710076032.db2.gz YFSHINOIPSCCMB-POHAHGRESA-N 1 2 300.318 1.680 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(Cc2csc(C#N)c2)CC1 ZINC000891543107 710231668 /nfs/dbraw/zinc/23/16/68/710231668.db2.gz PCRSVAORCGRAGY-LBPRGKRZSA-N 1 2 318.446 1.404 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(C)c2C)C1 ZINC000891605778 710246677 /nfs/dbraw/zinc/24/66/77/710246677.db2.gz IGDHBXHECRPQTH-HNNXBMFYSA-N 1 2 313.401 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(C)c2C)C1 ZINC000891605778 710246678 /nfs/dbraw/zinc/24/66/78/710246678.db2.gz IGDHBXHECRPQTH-HNNXBMFYSA-N 1 2 313.401 1.456 20 30 DDEDLO CNc1cc(N2CCN(c3cccc(F)c3C#N)CC2)nc[nH+]1 ZINC000891771490 710291149 /nfs/dbraw/zinc/29/11/49/710291149.db2.gz JPARONZIBMBEJL-UHFFFAOYSA-N 1 2 312.352 1.856 20 30 DDEDLO CNc1cc(N2CCN(c3cccc(F)c3C#N)CC2)[nH+]cn1 ZINC000891771490 710291151 /nfs/dbraw/zinc/29/11/51/710291151.db2.gz JPARONZIBMBEJL-UHFFFAOYSA-N 1 2 312.352 1.856 20 30 DDEDLO C=CCCC[C@@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C(=O)OC ZINC000928318057 713174249 /nfs/dbraw/zinc/17/42/49/713174249.db2.gz QCRQFEMUORKISJ-QWHCGFSZSA-N 1 2 305.378 1.460 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1ccsc1C(=O)OC ZINC000893504823 710681637 /nfs/dbraw/zinc/68/16/37/710681637.db2.gz GWBGDZZKDVWBPJ-UHFFFAOYSA-N 1 2 303.405 1.225 20 30 DDEDLO CN(C)c1cc(N2CCN(c3ccc(C#N)nc3)CC2)nc[nH+]1 ZINC000893702584 710785351 /nfs/dbraw/zinc/78/53/51/710785351.db2.gz FTJSKPYBPZNUSK-UHFFFAOYSA-N 1 2 309.377 1.136 20 30 DDEDLO CN(C)c1cc(N2CCN(c3ccc(C#N)nc3)CC2)[nH+]cn1 ZINC000893702584 710785354 /nfs/dbraw/zinc/78/53/54/710785354.db2.gz FTJSKPYBPZNUSK-UHFFFAOYSA-N 1 2 309.377 1.136 20 30 DDEDLO CON=Cc1ccc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)cc1 ZINC000913442182 713217897 /nfs/dbraw/zinc/21/78/97/713217897.db2.gz YKRZAPRACYHGNX-OAHLLOKOSA-N 1 2 313.361 1.177 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)c3cccc(F)c3C#N)CC[NH2+]2)cn1 ZINC000913462631 713226771 /nfs/dbraw/zinc/22/67/71/713226771.db2.gz LRKGSBPKEDTYTN-HNNXBMFYSA-N 1 2 313.336 1.218 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Cc1ccccc1CC#N ZINC000928642490 713246935 /nfs/dbraw/zinc/24/69/35/713246935.db2.gz HSPWIFNFHMFZSV-QGZVFWFLSA-N 1 2 315.417 1.522 20 30 DDEDLO Cc1nc([C@H]2CC[N@H+](Cc3cnc4ccc(C#N)cn34)C2)n[nH]1 ZINC000895006952 711363291 /nfs/dbraw/zinc/36/32/91/711363291.db2.gz DANRWCDZZKZWSW-ZDUSSCGKSA-N 1 2 307.361 1.622 20 30 DDEDLO Cc1nc([C@H]2CC[N@@H+](Cc3cnc4ccc(C#N)cn34)C2)n[nH]1 ZINC000895006952 711363296 /nfs/dbraw/zinc/36/32/96/711363296.db2.gz DANRWCDZZKZWSW-ZDUSSCGKSA-N 1 2 307.361 1.622 20 30 DDEDLO CCOCOc1ccc(C[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000895065677 711393970 /nfs/dbraw/zinc/39/39/70/711393970.db2.gz GYXPDUDUHNPETB-UHFFFAOYSA-N 1 2 317.389 1.617 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2ncc(Br)cc2O)CCCN1O ZINC000895197337 711450447 /nfs/dbraw/zinc/45/04/47/711450447.db2.gz QZJAYGSTVXXBKM-MRVPVSSYSA-N 1 2 316.155 1.020 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)NC(C)(C)Cc2ccc(C#N)cc2)C1 ZINC000928700286 713263515 /nfs/dbraw/zinc/26/35/15/713263515.db2.gz XMSQIKMOYBYOEH-OAHLLOKOSA-N 1 2 301.390 1.326 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)NC(C)(C)Cc2ccc(C#N)cc2)C1 ZINC000928700286 713263517 /nfs/dbraw/zinc/26/35/17/713263517.db2.gz XMSQIKMOYBYOEH-OAHLLOKOSA-N 1 2 301.390 1.326 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000896448843 711727403 /nfs/dbraw/zinc/72/74/03/711727403.db2.gz RGUIYCFMDWHIQD-MRXNPFEDSA-N 1 2 313.401 1.722 20 30 DDEDLO CCOCc1nc(C)cc(NNC2=CC(=O)N3CCC[C@H]23)[nH+]1 ZINC000905499155 712055938 /nfs/dbraw/zinc/05/59/38/712055938.db2.gz MIKKTCKDXCWZFC-GFCCVEGCSA-N 1 2 303.366 1.484 20 30 DDEDLO C=CC[C@H](Nc1ccc(N2CCOCC2)[nH+]c1C)C(=O)OC ZINC000905766084 712142132 /nfs/dbraw/zinc/14/21/32/712142132.db2.gz HFUXSMKEIBDGEL-AWEZNQCLSA-N 1 2 305.378 1.756 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000906490365 712338972 /nfs/dbraw/zinc/33/89/72/712338972.db2.gz YDDMDUMOZWDDKM-HNNXBMFYSA-N 1 2 315.417 1.555 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000906490365 712338974 /nfs/dbraw/zinc/33/89/74/712338974.db2.gz YDDMDUMOZWDDKM-HNNXBMFYSA-N 1 2 315.417 1.555 20 30 DDEDLO C[C@@]([NH2+]C[C@H](O)CC#N)(C(N)=O)c1cccc(C(F)(F)F)c1 ZINC000930018189 713737054 /nfs/dbraw/zinc/73/70/54/713737054.db2.gz MLLKIAYDFQXVCV-YPMHNXCESA-N 1 2 315.295 1.270 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](C[C@@H]3C[C@@H]4COC[C@H]4O3)CCO2)c1 ZINC000930133954 713763927 /nfs/dbraw/zinc/76/39/27/713763927.db2.gz CPGKGLYNSNVWGN-VSZNYVQBSA-N 1 2 314.385 1.735 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](C[C@@H]3C[C@@H]4COC[C@H]4O3)CCO2)c1 ZINC000930133954 713763930 /nfs/dbraw/zinc/76/39/30/713763930.db2.gz CPGKGLYNSNVWGN-VSZNYVQBSA-N 1 2 314.385 1.735 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1snc(C)c1C#N ZINC000931343620 714073109 /nfs/dbraw/zinc/07/31/09/714073109.db2.gz JNGBCDSFRRQRJX-LLVKDONJSA-N 1 2 323.422 1.556 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(C#N)ccc1F ZINC000931711218 714166925 /nfs/dbraw/zinc/16/69/25/714166925.db2.gz CENWDHRKFWLRSK-ZDUSSCGKSA-N 1 2 320.368 1.930 20 30 DDEDLO COc1ncc(NC(=O)N(C)CCCn2cc[nH+]c2)cc1C#N ZINC000932513157 714351570 /nfs/dbraw/zinc/35/15/70/714351570.db2.gz IMOFGDGZTSURFE-UHFFFAOYSA-N 1 2 314.349 1.712 20 30 DDEDLO N#Cc1ccc([C@@H]2CN(CC[NH+]3CCOCC3)CCO2)cc1 ZINC000933627341 714634215 /nfs/dbraw/zinc/63/42/15/714634215.db2.gz KGJHNSKSRUZPNJ-KRWDZBQOSA-N 1 2 301.390 1.264 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](CCN3CCOCC3)CCO2)cc1 ZINC000933627341 714634216 /nfs/dbraw/zinc/63/42/16/714634216.db2.gz KGJHNSKSRUZPNJ-KRWDZBQOSA-N 1 2 301.390 1.264 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](CCN3CCOCC3)CCO2)cc1 ZINC000933627341 714634217 /nfs/dbraw/zinc/63/42/17/714634217.db2.gz KGJHNSKSRUZPNJ-KRWDZBQOSA-N 1 2 301.390 1.264 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1cc(C#N)cs1 ZINC000933775921 714669255 /nfs/dbraw/zinc/66/92/55/714669255.db2.gz PAVSVDGRRJTYJZ-SNVBAGLBSA-N 1 2 306.391 1.452 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933775921 714669258 /nfs/dbraw/zinc/66/92/58/714669258.db2.gz PAVSVDGRRJTYJZ-SNVBAGLBSA-N 1 2 306.391 1.452 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCC[C@@H]2C2OCCO2)cc1 ZINC000933910089 714699981 /nfs/dbraw/zinc/69/99/81/714699981.db2.gz RSUQXCXODSZYME-OAHLLOKOSA-N 1 2 315.373 1.012 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCC[C@@H]2C2OCCO2)cc1 ZINC000933910089 714699982 /nfs/dbraw/zinc/69/99/82/714699982.db2.gz RSUQXCXODSZYME-OAHLLOKOSA-N 1 2 315.373 1.012 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCOC[C@H]2CC2CCOCC2)C1=O ZINC000934636729 714867498 /nfs/dbraw/zinc/86/74/98/714867498.db2.gz RZNHLHVUYZMWHD-CVEARBPZSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCOC[C@H]2CC2CCOCC2)C1=O ZINC000934636729 714867500 /nfs/dbraw/zinc/86/75/00/714867500.db2.gz RZNHLHVUYZMWHD-CVEARBPZSA-N 1 2 308.422 1.291 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000935090230 714973280 /nfs/dbraw/zinc/97/32/80/714973280.db2.gz OAMRWLBRLOCAME-ZDUSSCGKSA-N 1 2 310.357 1.930 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc(C(=O)NC)nc2)C1 ZINC000957288114 715817905 /nfs/dbraw/zinc/81/79/05/715817905.db2.gz HCJZLFBMAFEVHH-UHFFFAOYSA-N 1 2 316.405 1.164 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCN(Cc2cccc(C#N)c2)CC1 ZINC000957332637 715842758 /nfs/dbraw/zinc/84/27/58/715842758.db2.gz YKNNLIYIGWDALK-UHFFFAOYSA-N 1 2 323.400 1.179 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2ccc3c(c2)OCCO3)CC1 ZINC000957564379 715954222 /nfs/dbraw/zinc/95/42/22/715954222.db2.gz PRAMZUINGVBLHJ-UHFFFAOYSA-N 1 2 314.385 1.168 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](N(C)C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000939548919 716317114 /nfs/dbraw/zinc/31/71/14/716317114.db2.gz VJYBRYLQXYRQHU-ZDUSSCGKSA-N 1 2 316.405 1.368 20 30 DDEDLO CCn1ccc(C[N@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960611667 716629614 /nfs/dbraw/zinc/62/96/14/716629614.db2.gz CHCCSOMQNXRPGK-KRWDZBQOSA-N 1 2 314.433 1.739 20 30 DDEDLO CCn1ccc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960611667 716629617 /nfs/dbraw/zinc/62/96/17/716629617.db2.gz CHCCSOMQNXRPGK-KRWDZBQOSA-N 1 2 314.433 1.739 20 30 DDEDLO CCn1ccnc1C[N@H+](C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960627371 716636638 /nfs/dbraw/zinc/63/66/38/716636638.db2.gz OWXNHCOYHNPSPW-MRXNPFEDSA-N 1 2 314.433 1.739 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960627371 716636640 /nfs/dbraw/zinc/63/66/40/716636640.db2.gz OWXNHCOYHNPSPW-MRXNPFEDSA-N 1 2 314.433 1.739 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2cc(Cl)no2)[C@H](O)C1 ZINC000958602425 716654889 /nfs/dbraw/zinc/65/48/89/716654889.db2.gz GDCYEHGGYSBMHP-RKDXNWHRSA-N 1 2 320.176 1.103 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2cc(Cl)no2)[C@H](O)C1 ZINC000958602425 716654894 /nfs/dbraw/zinc/65/48/94/716654894.db2.gz GDCYEHGGYSBMHP-RKDXNWHRSA-N 1 2 320.176 1.103 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[C@H]2C[N@@H+](C)Cc2ccon2)c1 ZINC000960849873 716713496 /nfs/dbraw/zinc/71/34/96/716713496.db2.gz BWQWDXUOBCQSRW-KRWDZBQOSA-N 1 2 324.384 1.788 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[C@H]2C[N@H+](C)Cc2ccon2)c1 ZINC000960849873 716713503 /nfs/dbraw/zinc/71/35/03/716713503.db2.gz BWQWDXUOBCQSRW-KRWDZBQOSA-N 1 2 324.384 1.788 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H]1CCN(CC#N)CC1(C)C ZINC000941042069 717085021 /nfs/dbraw/zinc/08/50/21/717085021.db2.gz UXVRBCBAZMGBMJ-UMVBOHGHSA-N 1 2 315.421 1.264 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CCC3CCCC3)CC2)C1 ZINC000941289924 717147449 /nfs/dbraw/zinc/14/74/49/717147449.db2.gz WUGVNVJRBXZDJQ-UHFFFAOYSA-N 1 2 303.450 1.418 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC000941386695 717166547 /nfs/dbraw/zinc/16/65/47/717166547.db2.gz PVVMLCKGUXWNKO-CMPLNLGQSA-N 1 2 311.345 1.053 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(C)c(F)c3)CC2)C1 ZINC000941481404 717184500 /nfs/dbraw/zinc/18/45/00/717184500.db2.gz VYXFBLJYFIYZRF-UHFFFAOYSA-N 1 2 315.392 1.209 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3CC[C@@H](C)C3)CC2)C1 ZINC000941527927 717195099 /nfs/dbraw/zinc/19/50/99/717195099.db2.gz DRBLPKRPPQOKQZ-SJORKVTESA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccccc3CC)CC2)C1 ZINC000941537923 717198984 /nfs/dbraw/zinc/19/89/84/717198984.db2.gz QQFQOLRGLAVOGG-UHFFFAOYSA-N 1 2 311.429 1.324 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@H]3C(C)C)CC2)C1 ZINC000941628481 717236095 /nfs/dbraw/zinc/23/60/95/717236095.db2.gz VQXQSXADSMSPNL-DLBZAZTESA-N 1 2 303.450 1.130 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nocc4C)C[C@H]32)cn1 ZINC000962323709 717406104 /nfs/dbraw/zinc/40/61/04/717406104.db2.gz AOEMMCGYQBSTLS-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nocc4C)C[C@H]32)cn1 ZINC000962323709 717406107 /nfs/dbraw/zinc/40/61/07/717406107.db2.gz AOEMMCGYQBSTLS-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccon2)CC[C@@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941962258 717409498 /nfs/dbraw/zinc/40/94/98/717409498.db2.gz CYLWIUMJJNOIKO-ABAIWWIYSA-N 1 2 313.361 1.515 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccon2)CC[C@@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941962258 717409501 /nfs/dbraw/zinc/40/95/01/717409501.db2.gz CYLWIUMJJNOIKO-ABAIWWIYSA-N 1 2 313.361 1.515 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC000965232618 717579009 /nfs/dbraw/zinc/57/90/09/717579009.db2.gz ISAKUFJJYNBUGW-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCCN(C(=O)Cc3c[nH+]c[nH]3)CC2)C1 ZINC000942360282 717635961 /nfs/dbraw/zinc/63/59/61/717635961.db2.gz SXYIQCCOCIBXOS-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cn2cc(C)cn2)C1 ZINC000965480176 717660411 /nfs/dbraw/zinc/66/04/11/717660411.db2.gz YADMGKATQDTUAG-OCCSQVGLSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cn2cc(C)cn2)C1 ZINC000965480176 717660413 /nfs/dbraw/zinc/66/04/13/717660413.db2.gz YADMGKATQDTUAG-OCCSQVGLSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cn2ccc(C)n2)C1 ZINC000968339085 719518770 /nfs/dbraw/zinc/51/87/70/719518770.db2.gz AVFFNKKTBDJMRP-FZMZJTMJSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cn2ccc(C)n2)C1 ZINC000968339085 719518776 /nfs/dbraw/zinc/51/87/76/719518776.db2.gz AVFFNKKTBDJMRP-FZMZJTMJSA-N 1 2 310.829 1.771 20 30 DDEDLO CN(C(=O)[C@@H]1CCn2c[nH+]cc2C1)[C@H]1CCCN(CC#N)CC1 ZINC000948561977 719548815 /nfs/dbraw/zinc/54/88/15/719548815.db2.gz OWNQPMXOCQRKKE-CABCVRRESA-N 1 2 315.421 1.282 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cncn2C)C1 ZINC000968534995 719650909 /nfs/dbraw/zinc/65/09/09/719650909.db2.gz UTUOFRKZARUAOW-RISCZKNCSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cncn2C)C1 ZINC000968534995 719650911 /nfs/dbraw/zinc/65/09/11/719650911.db2.gz UTUOFRKZARUAOW-RISCZKNCSA-N 1 2 310.829 1.542 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn(C)nn1 ZINC000948916198 719783930 /nfs/dbraw/zinc/78/39/30/719783930.db2.gz PUZMVLKEJAECAO-QGZVFWFLSA-N 1 2 323.400 1.168 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn(C)nn1 ZINC000948916198 719783931 /nfs/dbraw/zinc/78/39/31/719783931.db2.gz PUZMVLKEJAECAO-QGZVFWFLSA-N 1 2 323.400 1.168 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ncccn1 ZINC000948974818 719819208 /nfs/dbraw/zinc/81/92/08/719819208.db2.gz LZDVMPFPWHGNCI-MRXNPFEDSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ncccn1 ZINC000948974818 719819214 /nfs/dbraw/zinc/81/92/14/719819214.db2.gz LZDVMPFPWHGNCI-MRXNPFEDSA-N 1 2 306.369 1.439 20 30 DDEDLO C=CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nonc1C ZINC000948989862 719826625 /nfs/dbraw/zinc/82/66/25/719826625.db2.gz QACWEZSICANWAF-HNNXBMFYSA-N 1 2 312.373 1.893 20 30 DDEDLO C=CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nonc1C ZINC000948989862 719826631 /nfs/dbraw/zinc/82/66/31/719826631.db2.gz QACWEZSICANWAF-HNNXBMFYSA-N 1 2 312.373 1.893 20 30 DDEDLO C#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC000968931255 719881814 /nfs/dbraw/zinc/88/18/14/719881814.db2.gz JUPXCMFZUAZQNU-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC000968931255 719881822 /nfs/dbraw/zinc/88/18/22/719881822.db2.gz JUPXCMFZUAZQNU-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cn3c(n2)COCC3)C1 ZINC000969960544 720577398 /nfs/dbraw/zinc/57/73/98/720577398.db2.gz FWVXROSKZXNOML-NSHDSACASA-N 1 2 324.812 1.216 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC000970313622 720703644 /nfs/dbraw/zinc/70/36/44/720703644.db2.gz PTBFWYLCQZUORT-SECBINFHSA-N 1 2 310.785 1.293 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H](C)C2C[NH+](Cc3nocc3C)C2)c1 ZINC000970400573 720746876 /nfs/dbraw/zinc/74/68/76/720746876.db2.gz BYTAFNDPXOQQHW-CYBMUJFWSA-N 1 2 324.384 1.610 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC000970423912 720757195 /nfs/dbraw/zinc/75/71/95/720757195.db2.gz OUUQGEFPCHQLQC-GXTWGEPZSA-N 1 2 319.836 1.985 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc(C)nc(C)n2)C1 ZINC000970581422 720826523 /nfs/dbraw/zinc/82/65/23/720826523.db2.gz WFCZGDGBUJWJJJ-LLVKDONJSA-N 1 2 308.813 1.896 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2c(C)nn(C)c2F)C1 ZINC000970806289 720942581 /nfs/dbraw/zinc/94/25/81/720942581.db2.gz KPTQPDAESGUJKP-SECBINFHSA-N 1 2 314.792 1.670 20 30 DDEDLO C=CC[NH+]1CC([C@@H](C)NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC000970930931 720993531 /nfs/dbraw/zinc/99/35/31/720993531.db2.gz HBZWVMLASGHCAH-GFCCVEGCSA-N 1 2 311.389 1.708 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCN(CC(F)(F)F)C2)C1 ZINC000951372682 721007146 /nfs/dbraw/zinc/00/71/46/721007146.db2.gz ZLLIFGVNPNGEHA-LBPRGKRZSA-N 1 2 319.371 1.589 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+](C)[C@@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971287120 721219650 /nfs/dbraw/zinc/21/96/50/721219650.db2.gz AYOJPVMINCBRGM-GXTWGEPZSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+](C)[C@@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971287120 721219653 /nfs/dbraw/zinc/21/96/53/721219653.db2.gz AYOJPVMINCBRGM-GXTWGEPZSA-N 1 2 324.388 1.584 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000951916741 721235592 /nfs/dbraw/zinc/23/55/92/721235592.db2.gz MPXYIOAOQXUKAT-SUMWQHHRSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971511632 721331456 /nfs/dbraw/zinc/33/14/56/721331456.db2.gz NGLHMSTZIVSZTF-FZMZJTMJSA-N 1 2 302.378 1.385 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971511632 721331459 /nfs/dbraw/zinc/33/14/59/721331459.db2.gz NGLHMSTZIVSZTF-FZMZJTMJSA-N 1 2 302.378 1.385 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H](C)n3cccn3)C2)C1 ZINC000972628428 735378500 /nfs/dbraw/zinc/37/85/00/735378500.db2.gz RJQSWHFUNXFJCD-WBVHZDCISA-N 1 2 318.421 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H](C)n3cccn3)C2)C1 ZINC000972628428 735378504 /nfs/dbraw/zinc/37/85/04/735378504.db2.gz RJQSWHFUNXFJCD-WBVHZDCISA-N 1 2 318.421 1.324 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3nccs3)C2)C1 ZINC000972672994 735457950 /nfs/dbraw/zinc/45/79/50/735457950.db2.gz CVYVHQIJZIBBPW-MRXNPFEDSA-N 1 2 319.430 1.012 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3nccs3)C2)C1 ZINC000972672994 735457953 /nfs/dbraw/zinc/45/79/53/735457953.db2.gz CVYVHQIJZIBBPW-MRXNPFEDSA-N 1 2 319.430 1.012 20 30 DDEDLO C=CCn1cc(C(=O)NCc2cccc(Cn3cc[nH+]c3)c2)nn1 ZINC001125339958 732849957 /nfs/dbraw/zinc/84/99/57/732849957.db2.gz SOQNLJXTZISHIO-UHFFFAOYSA-N 1 2 322.372 1.639 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccsc3Cl)[C@H]2C1 ZINC001083215499 733578668 /nfs/dbraw/zinc/57/86/68/733578668.db2.gz CSPXQAFOSIYFNV-QWHCGFSZSA-N 1 2 324.833 1.950 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccsc3Cl)[C@H]2C1 ZINC001083215499 733578670 /nfs/dbraw/zinc/57/86/70/733578670.db2.gz CSPXQAFOSIYFNV-QWHCGFSZSA-N 1 2 324.833 1.950 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CNC(=O)c1csc([C@H]2CCCO2)n1 ZINC001038252915 735011328 /nfs/dbraw/zinc/01/13/28/735011328.db2.gz LJPTXBUFGCYOPA-WCQYABFASA-N 1 2 307.419 1.985 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CNC(=O)c1csc([C@H]2CCCO2)n1 ZINC001038252915 735011331 /nfs/dbraw/zinc/01/13/31/735011331.db2.gz LJPTXBUFGCYOPA-WCQYABFASA-N 1 2 307.419 1.985 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cccc(C(N)=O)c1 ZINC001027974129 738891874 /nfs/dbraw/zinc/89/18/74/738891874.db2.gz DRSUIDFCXUNDMU-AWEZNQCLSA-N 1 2 321.808 1.732 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cccc(C(N)=O)c1 ZINC001027974129 738891877 /nfs/dbraw/zinc/89/18/77/738891877.db2.gz DRSUIDFCXUNDMU-AWEZNQCLSA-N 1 2 321.808 1.732 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001027975380 738894602 /nfs/dbraw/zinc/89/46/02/738894602.db2.gz QWLUNFCATTXMQB-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001027975380 738894604 /nfs/dbraw/zinc/89/46/04/738894604.db2.gz QWLUNFCATTXMQB-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CCCC[C@@H]2CNC(C)=O)c1 ZINC001024294426 735737089 /nfs/dbraw/zinc/73/70/89/735737089.db2.gz XUIPBNMQUUTPQV-QGZVFWFLSA-N 1 2 313.401 1.597 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CCCC[C@@H]2CNC(C)=O)c1 ZINC001024294426 735737092 /nfs/dbraw/zinc/73/70/92/735737092.db2.gz XUIPBNMQUUTPQV-QGZVFWFLSA-N 1 2 313.401 1.597 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1nnn(C)c1C ZINC001024374289 735795614 /nfs/dbraw/zinc/79/56/14/735795614.db2.gz YBUMQDHMOVRCPM-GFCCVEGCSA-N 1 2 311.817 1.460 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1nnn(C)c1C ZINC001024374289 735795616 /nfs/dbraw/zinc/79/56/16/735795616.db2.gz YBUMQDHMOVRCPM-GFCCVEGCSA-N 1 2 311.817 1.460 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001024416033 735810598 /nfs/dbraw/zinc/81/05/98/735810598.db2.gz FNSYWFXNYQFQBE-LLVKDONJSA-N 1 2 313.785 1.315 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001024416033 735810602 /nfs/dbraw/zinc/81/06/02/735810602.db2.gz FNSYWFXNYQFQBE-LLVKDONJSA-N 1 2 313.785 1.315 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1sc(COC)nc1C ZINC001024781564 736090778 /nfs/dbraw/zinc/09/07/78/736090778.db2.gz XXOUXUGGSAFOJF-CYBMUJFWSA-N 1 2 321.446 1.815 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1sc(COC)nc1C ZINC001024781564 736090781 /nfs/dbraw/zinc/09/07/81/736090781.db2.gz XXOUXUGGSAFOJF-CYBMUJFWSA-N 1 2 321.446 1.815 20 30 DDEDLO C=CCOCC(=O)N[C@H](CNc1cc[nH+]c(C)n1)C(C)(C)C ZINC001125828449 736309099 /nfs/dbraw/zinc/30/90/99/736309099.db2.gz SJZYXFMGMVUMRY-CYBMUJFWSA-N 1 2 306.410 1.930 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@H]2C[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)no1 ZINC001020085336 736996046 /nfs/dbraw/zinc/99/60/46/736996046.db2.gz OVSZJABJYKBREQ-UWJYBYFXSA-N 1 2 314.349 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(CF)cc3)[C@H]2C1 ZINC001083287639 737040374 /nfs/dbraw/zinc/04/03/74/737040374.db2.gz RIDNWDWXFBIQLR-JKSUJKDBSA-N 1 2 302.349 1.315 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(CF)cc3)[C@H]2C1 ZINC001083287639 737040376 /nfs/dbraw/zinc/04/03/76/737040376.db2.gz RIDNWDWXFBIQLR-JKSUJKDBSA-N 1 2 302.349 1.315 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)CCCN(C)c1cc[nH+]c(C)n1 ZINC001112071475 737458535 /nfs/dbraw/zinc/45/85/35/737458535.db2.gz FYOKPTFVLXMGQQ-INIZCTEOSA-N 1 2 306.410 1.397 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105249150 737657683 /nfs/dbraw/zinc/65/76/83/737657683.db2.gz LUGMDKAJZQJDPQ-UHFFFAOYSA-N 1 2 321.400 1.489 20 30 DDEDLO Cc1nc(N(C)CCN(C)C(=O)CSCC#N)c(C)c(C)[nH+]1 ZINC001105328943 737876118 /nfs/dbraw/zinc/87/61/18/737876118.db2.gz GEIWQKXQSRVACY-UHFFFAOYSA-N 1 2 321.450 1.553 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(CCCC)c1CC ZINC001038898517 739104908 /nfs/dbraw/zinc/10/49/08/739104908.db2.gz BDMWSWLHOIPNFX-CQSZACIVSA-N 1 2 302.422 1.683 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(CCCC)c1CC ZINC001038898517 739104909 /nfs/dbraw/zinc/10/49/09/739104909.db2.gz BDMWSWLHOIPNFX-CQSZACIVSA-N 1 2 302.422 1.683 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc2nncn2c1 ZINC001028201021 739152336 /nfs/dbraw/zinc/15/23/36/739152336.db2.gz YYZKBAKGYCVIJG-CYBMUJFWSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc2nncn2c1 ZINC001028201021 739152338 /nfs/dbraw/zinc/15/23/38/739152338.db2.gz YYZKBAKGYCVIJG-CYBMUJFWSA-N 1 2 319.796 1.676 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)cs1 ZINC001075615852 739187645 /nfs/dbraw/zinc/18/76/45/739187645.db2.gz ASWTUAOQUIRHOL-VHRBIJSZSA-N 1 2 304.419 1.644 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)cs1 ZINC001075615852 739187646 /nfs/dbraw/zinc/18/76/46/739187646.db2.gz ASWTUAOQUIRHOL-VHRBIJSZSA-N 1 2 304.419 1.644 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccnn2C(C)C)C1 ZINC001035381473 751451507 /nfs/dbraw/zinc/45/15/07/751451507.db2.gz QAOLQBUZMJWSFO-CQSZACIVSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccnn2C(C)C)C1 ZINC001035381473 751451512 /nfs/dbraw/zinc/45/15/12/751451512.db2.gz QAOLQBUZMJWSFO-CQSZACIVSA-N 1 2 306.410 1.471 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CC(C)(C)C=C)C2)nn1 ZINC001098679230 739589995 /nfs/dbraw/zinc/58/99/95/739589995.db2.gz VWDJMXACBLMHIJ-HNNXBMFYSA-N 1 2 315.421 1.377 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CC(C)(C)C=C)C2)nn1 ZINC001098679231 739590305 /nfs/dbraw/zinc/59/03/05/739590305.db2.gz VWDJMXACBLMHIJ-OAHLLOKOSA-N 1 2 315.421 1.377 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NC[C@]1(C)C[N@H+](CC#CC)CCO1)OCC ZINC001107979873 751471835 /nfs/dbraw/zinc/47/18/35/751471835.db2.gz MGGBUGSXLIGFNT-SJLPKXTDSA-N 1 2 322.449 1.588 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NC[C@]1(C)C[N@@H+](CC#CC)CCO1)OCC ZINC001107979873 751471838 /nfs/dbraw/zinc/47/18/38/751471838.db2.gz MGGBUGSXLIGFNT-SJLPKXTDSA-N 1 2 322.449 1.588 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)noc2C(C)C)C1 ZINC001035406566 751489426 /nfs/dbraw/zinc/48/94/26/751489426.db2.gz HTMZVTVXJQOHDH-CQSZACIVSA-N 1 2 319.405 1.560 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(C)noc2C(C)C)C1 ZINC001035406566 751489428 /nfs/dbraw/zinc/48/94/28/751489428.db2.gz HTMZVTVXJQOHDH-CQSZACIVSA-N 1 2 319.405 1.560 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114895615 751495924 /nfs/dbraw/zinc/49/59/24/751495924.db2.gz BIWBBZQGASDLOS-ITGUQSILSA-N 1 2 306.435 1.687 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114895615 751495929 /nfs/dbraw/zinc/49/59/29/751495929.db2.gz BIWBBZQGASDLOS-ITGUQSILSA-N 1 2 306.435 1.687 20 30 DDEDLO Cc1nc(NCCC[C@@H](C)NC(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001114897883 751500940 /nfs/dbraw/zinc/50/09/40/751500940.db2.gz QPYDQZKZQWKZLT-LLVKDONJSA-N 1 2 312.377 1.995 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)cn1 ZINC001059108219 740006403 /nfs/dbraw/zinc/00/64/03/740006403.db2.gz VSYGWWVIKUGJAN-RRFJBIMHSA-N 1 2 322.372 1.493 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)cn1 ZINC001059108219 740006405 /nfs/dbraw/zinc/00/64/05/740006405.db2.gz VSYGWWVIKUGJAN-RRFJBIMHSA-N 1 2 322.372 1.493 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+](Cc3cnon3)C2)CC1 ZINC001028780534 740026674 /nfs/dbraw/zinc/02/66/74/740026674.db2.gz KTFBOELMEKURDJ-CYBMUJFWSA-N 1 2 304.394 1.754 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+](Cc3cnon3)C2)CC1 ZINC001028780534 740026678 /nfs/dbraw/zinc/02/66/78/740026678.db2.gz KTFBOELMEKURDJ-CYBMUJFWSA-N 1 2 304.394 1.754 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)Nc1ncccc1C#N ZINC001098268239 740486851 /nfs/dbraw/zinc/48/68/51/740486851.db2.gz ROIFTPBSHNSQES-WCQYABFASA-N 1 2 324.388 1.713 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2OCCc3ccccc32)C1 ZINC001035467382 751552540 /nfs/dbraw/zinc/55/25/40/751552540.db2.gz RDWQIOIFAPERKF-RDJZCZTQSA-N 1 2 316.401 1.303 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2OCCc3ccccc32)C1 ZINC001035467382 751552544 /nfs/dbraw/zinc/55/25/44/751552544.db2.gz RDWQIOIFAPERKF-RDJZCZTQSA-N 1 2 316.401 1.303 20 30 DDEDLO Cc1cc(C#N)c(=O)[nH]c1C(=O)Nc1cnn(-c2cc[nH+]cc2)c1 ZINC001143587556 740539872 /nfs/dbraw/zinc/53/98/72/740539872.db2.gz VDIRROOPTQRZJP-UHFFFAOYSA-N 1 2 320.312 1.800 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001035500717 751556593 /nfs/dbraw/zinc/55/65/93/751556593.db2.gz ICCPIOYMKLWMJW-WBVHZDCISA-N 1 2 300.402 1.719 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001035500717 751556596 /nfs/dbraw/zinc/55/65/96/751556596.db2.gz ICCPIOYMKLWMJW-WBVHZDCISA-N 1 2 300.402 1.719 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CC(=O)N(C)C)CC1 ZINC001029455266 740832641 /nfs/dbraw/zinc/83/26/41/740832641.db2.gz XXQPIRILXBVOKG-IYBDPMFKSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CC(=O)N(C)C)CC1 ZINC001029455266 740832646 /nfs/dbraw/zinc/83/26/46/740832646.db2.gz XXQPIRILXBVOKG-IYBDPMFKSA-N 1 2 319.449 1.496 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C(C)(C)CC)C2)nn1 ZINC001098710730 740879383 /nfs/dbraw/zinc/87/93/83/740879383.db2.gz KSEUTMBOXMRSMF-OAHLLOKOSA-N 1 2 317.437 1.601 20 30 DDEDLO CSc1nc(C[N@@H+]2CC[C@H](O)[C@@](C)(CO)C2)ccc1C#N ZINC001141932332 740950682 /nfs/dbraw/zinc/95/06/82/740950682.db2.gz YFOHWTRFQCWCPB-DZGCQCFKSA-N 1 2 307.419 1.240 20 30 DDEDLO CSc1nc(C[N@H+]2CC[C@H](O)[C@@](C)(CO)C2)ccc1C#N ZINC001141932332 740950683 /nfs/dbraw/zinc/95/06/83/740950683.db2.gz YFOHWTRFQCWCPB-DZGCQCFKSA-N 1 2 307.419 1.240 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3(C(C)C)CC3)C2)nn1 ZINC001098716219 740985208 /nfs/dbraw/zinc/98/52/08/740985208.db2.gz UVNYXRXMVNRHPH-HNNXBMFYSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C)cc2F)C1 ZINC001035522965 751600697 /nfs/dbraw/zinc/60/06/97/751600697.db2.gz DNTQDLRYQPJRKX-CQSZACIVSA-N 1 2 304.365 1.588 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C)cc2F)C1 ZINC001035522965 751600701 /nfs/dbraw/zinc/60/07/01/751600701.db2.gz DNTQDLRYQPJRKX-CQSZACIVSA-N 1 2 304.365 1.588 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2noc3c2CCCC3)C1 ZINC001035530465 751610502 /nfs/dbraw/zinc/61/05/02/751610502.db2.gz VQVHEHHSJUYDES-ZDUSSCGKSA-N 1 2 317.389 1.007 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2noc3c2CCCC3)C1 ZINC001035530465 751610506 /nfs/dbraw/zinc/61/05/06/751610506.db2.gz VQVHEHHSJUYDES-ZDUSSCGKSA-N 1 2 317.389 1.007 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccc(OC)c2F)C1 ZINC001035553949 751636057 /nfs/dbraw/zinc/63/60/57/751636057.db2.gz KXGLMFDIIFZJIS-CYBMUJFWSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccc(OC)c2F)C1 ZINC001035553949 751636058 /nfs/dbraw/zinc/63/60/58/751636058.db2.gz KXGLMFDIIFZJIS-CYBMUJFWSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001075885647 741717121 /nfs/dbraw/zinc/71/71/21/741717121.db2.gz HTBXJPTXTMLLPZ-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)c2ccccc2)C1=O ZINC001038013623 751669427 /nfs/dbraw/zinc/66/94/27/751669427.db2.gz JPKYMYSPRZWKDV-HZPDHXFCSA-N 1 2 313.401 1.278 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)c2ccccc2)C1=O ZINC001038013623 751669430 /nfs/dbraw/zinc/66/94/30/751669430.db2.gz JPKYMYSPRZWKDV-HZPDHXFCSA-N 1 2 313.401 1.278 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098243850 741895150 /nfs/dbraw/zinc/89/51/50/741895150.db2.gz HFJMZODEPVSOPC-BDJLRTHQSA-N 1 2 320.441 1.765 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(F)c(C(F)F)c2)[C@@H](O)C1 ZINC001083466608 742228360 /nfs/dbraw/zinc/22/83/60/742228360.db2.gz CTZAFBZHEWJGBO-OLZOCXBDSA-N 1 2 312.291 1.171 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(F)c(C(F)F)c2)[C@@H](O)C1 ZINC001083466608 742228362 /nfs/dbraw/zinc/22/83/62/742228362.db2.gz CTZAFBZHEWJGBO-OLZOCXBDSA-N 1 2 312.291 1.171 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C(=O)OC)o2)C1 ZINC001142643231 742474484 /nfs/dbraw/zinc/47/44/84/742474484.db2.gz MKYNPSRVPKSCQG-LBPRGKRZSA-N 1 2 322.361 1.943 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2ccc(C(=O)OC)o2)C1 ZINC001142643231 742474486 /nfs/dbraw/zinc/47/44/86/742474486.db2.gz MKYNPSRVPKSCQG-LBPRGKRZSA-N 1 2 322.361 1.943 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001076296859 742651059 /nfs/dbraw/zinc/65/10/59/742651059.db2.gz XXCCEKLYKUMADE-KGLIPLIRSA-N 1 2 318.421 1.403 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001076296859 742651060 /nfs/dbraw/zinc/65/10/60/742651060.db2.gz XXCCEKLYKUMADE-KGLIPLIRSA-N 1 2 318.421 1.403 20 30 DDEDLO N#CCSCC(=O)N1CC[C@@H]([NH2+]Cc2ncc(C3CC3)o2)C1 ZINC001181098668 743058748 /nfs/dbraw/zinc/05/87/48/743058748.db2.gz YCUQWGWWFVKENA-GFCCVEGCSA-N 1 2 320.418 1.499 20 30 DDEDLO COCCC1(NC(=O)[C@H](C)C#N)CC[NH+](Cc2ccon2)CC1 ZINC001151021320 743113560 /nfs/dbraw/zinc/11/35/60/743113560.db2.gz PTCOSYRNPINFTD-CYBMUJFWSA-N 1 2 320.393 1.322 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c[nH]nc2C(C)C)C1 ZINC001108045881 743192076 /nfs/dbraw/zinc/19/20/76/743192076.db2.gz DDQPYJKFTVKBIM-MRXNPFEDSA-N 1 2 306.410 1.540 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c[nH]nc2C(C)C)C1 ZINC001108045881 743192085 /nfs/dbraw/zinc/19/20/85/743192085.db2.gz DDQPYJKFTVKBIM-MRXNPFEDSA-N 1 2 306.410 1.540 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061107247 743256387 /nfs/dbraw/zinc/25/63/87/743256387.db2.gz IYTTVFMPWVGVJL-KBPBESRZSA-N 1 2 324.388 1.758 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C[C@@]1(C)CNCC#N ZINC001181947264 743404412 /nfs/dbraw/zinc/40/44/12/743404412.db2.gz OQQNYNVGDGOMPO-CKEIUWERSA-N 1 2 315.421 1.043 20 30 DDEDLO C=CCOCC(=O)NCc1cnn2c1C[N@H+](CC[C@H](C)F)CC2 ZINC001128259651 743407256 /nfs/dbraw/zinc/40/72/56/743407256.db2.gz MXEXPANGMUMZOG-ZDUSSCGKSA-N 1 2 324.400 1.266 20 30 DDEDLO C=CCOCC(=O)NCc1cnn2c1C[N@@H+](CC[C@H](C)F)CC2 ZINC001128259651 743407261 /nfs/dbraw/zinc/40/72/61/743407261.db2.gz MXEXPANGMUMZOG-ZDUSSCGKSA-N 1 2 324.400 1.266 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](C)COC)c2C1 ZINC001128269630 743421192 /nfs/dbraw/zinc/42/11/92/743421192.db2.gz QKFOOPIWKZRQED-ZDUSSCGKSA-N 1 2 306.410 1.174 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H](C)COC)c2C1 ZINC001128269630 743421196 /nfs/dbraw/zinc/42/11/96/743421196.db2.gz QKFOOPIWKZRQED-ZDUSSCGKSA-N 1 2 306.410 1.174 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001061175234 743530158 /nfs/dbraw/zinc/53/01/58/743530158.db2.gz GYZVJPFQRIZZMR-XHDPSFHLSA-N 1 2 315.421 1.989 20 30 DDEDLO C#CCCCC(=O)NCc1cnn2c1C[N@H+](CCC=C)CC2 ZINC001128327974 743600550 /nfs/dbraw/zinc/60/05/50/743600550.db2.gz ZGLGPAXBOYTLTN-UHFFFAOYSA-N 1 2 300.406 1.695 20 30 DDEDLO C#CCCCC(=O)NCc1cnn2c1C[N@@H+](CCC=C)CC2 ZINC001128327974 743600557 /nfs/dbraw/zinc/60/05/57/743600557.db2.gz ZGLGPAXBOYTLTN-UHFFFAOYSA-N 1 2 300.406 1.695 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](OCC)C(C)C)CC2)C1 ZINC001105708768 743621095 /nfs/dbraw/zinc/62/10/95/743621095.db2.gz YQXMCFRTQSYFHH-QGZVFWFLSA-N 1 2 324.465 1.925 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(OCC)s2)C1 ZINC001182919084 743744773 /nfs/dbraw/zinc/74/47/73/743744773.db2.gz YSGJPEXAZWIKDD-GFCCVEGCSA-N 1 2 324.450 1.984 20 30 DDEDLO Cc1[nH]c2ccc(C#N)cc2c1CC(=O)NCCn1cc[nH+]c1 ZINC001183886759 743923607 /nfs/dbraw/zinc/92/36/07/743923607.db2.gz CJJOGNAQQQMSFZ-UHFFFAOYSA-N 1 2 307.357 1.903 20 30 DDEDLO CO[C@@H](C[NH+]1CC(NC(=O)c2cc(C#N)c[nH]2)C1)c1ccccc1 ZINC001030196760 743926358 /nfs/dbraw/zinc/92/63/58/743926358.db2.gz PQKPQVAUQSLKKZ-KRWDZBQOSA-N 1 2 324.384 1.688 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)C[C@@H]2COc3ccccc3O2)C1 ZINC001030378990 744090958 /nfs/dbraw/zinc/09/09/58/744090958.db2.gz PHCBCAOYHWARQY-CQSZACIVSA-N 1 2 300.358 1.040 20 30 DDEDLO C=C(C)[C@H](CC(=O)NC[C@H](O)CNc1cc[nH+]c(C)n1)OCC ZINC001105988479 744160771 /nfs/dbraw/zinc/16/07/71/744160771.db2.gz QFKDJRYATYMBNN-KGLIPLIRSA-N 1 2 322.409 1.045 20 30 DDEDLO C=CCCc1ccc(C(=O)NCCc2cn(C)c[nH+]2)c(=O)[nH]1 ZINC001185362534 744206745 /nfs/dbraw/zinc/20/67/45/744206745.db2.gz GOLYCTYWDVAYTQ-UHFFFAOYSA-N 1 2 300.362 1.612 20 30 DDEDLO C[NH+]1CCC(C#N)(NS(=O)(=O)c2ccc(Cl)nc2)CC1 ZINC001185713354 744275495 /nfs/dbraw/zinc/27/54/95/744275495.db2.gz UCZRQYFCWLURPJ-UHFFFAOYSA-N 1 2 314.798 1.001 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](C[C@@H](O)c3ccccc3)C2)cc1 ZINC001030776890 744610265 /nfs/dbraw/zinc/61/02/65/744610265.db2.gz FJBJWICRVLTIPJ-LJQANCHMSA-N 1 2 320.392 1.816 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2cccs2)C1 ZINC001077509166 744726014 /nfs/dbraw/zinc/72/60/14/744726014.db2.gz MZEWWGPFABAJBO-CHWSQXEVSA-N 1 2 306.431 1.210 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2cccs2)C1 ZINC001077509166 744726016 /nfs/dbraw/zinc/72/60/16/744726016.db2.gz MZEWWGPFABAJBO-CHWSQXEVSA-N 1 2 306.431 1.210 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2nc(CC)no2)C1 ZINC001189371414 744878736 /nfs/dbraw/zinc/87/87/36/744878736.db2.gz QOXBDEUGORFASD-STQMWFEESA-N 1 2 322.409 1.428 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2nc(CC)no2)C1 ZINC001189371414 744878737 /nfs/dbraw/zinc/87/87/37/744878737.db2.gz QOXBDEUGORFASD-STQMWFEESA-N 1 2 322.409 1.428 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(C(F)(F)F)nn2C)CC1 ZINC001189598078 744923420 /nfs/dbraw/zinc/92/34/20/744923420.db2.gz KOZFDHRCIDATKB-UHFFFAOYSA-N 1 2 302.300 1.383 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NC[C@@H](CO)Nc1cc[nH+]c(C)n1 ZINC001122141834 745174809 /nfs/dbraw/zinc/17/48/09/745174809.db2.gz ULMYYCXDUUGVFE-NSHDSACASA-N 1 2 312.801 1.453 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001190723027 745306087 /nfs/dbraw/zinc/30/60/87/745306087.db2.gz BOHNPFBUHDDXGK-ZIAGYGMSSA-N 1 2 307.419 1.327 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001190723027 745306094 /nfs/dbraw/zinc/30/60/94/745306094.db2.gz BOHNPFBUHDDXGK-ZIAGYGMSSA-N 1 2 307.419 1.327 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2cc(C)cn2)[C@H]1C ZINC000993080085 745487676 /nfs/dbraw/zinc/48/76/76/745487676.db2.gz NFWWLMFDDPBRJV-KGLIPLIRSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2cc(C)cn2)[C@H]1C ZINC000993080085 745487678 /nfs/dbraw/zinc/48/76/78/745487678.db2.gz NFWWLMFDDPBRJV-KGLIPLIRSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cnn(C)c2)[C@@H]1C ZINC000993110477 745540563 /nfs/dbraw/zinc/54/05/63/745540563.db2.gz TYHKJMFHFSQMBP-GXTWGEPZSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cnn(C)c2)[C@@H]1C ZINC000993110477 745540566 /nfs/dbraw/zinc/54/05/66/745540566.db2.gz TYHKJMFHFSQMBP-GXTWGEPZSA-N 1 2 310.829 1.684 20 30 DDEDLO CC[N@H+](Cc1cc(C)on1)[C@H](C)CNC(=O)CSCC#N ZINC001151965054 745576348 /nfs/dbraw/zinc/57/63/48/745576348.db2.gz GIDVGSUANXUKRU-LLVKDONJSA-N 1 2 310.423 1.566 20 30 DDEDLO CC[N@@H+](Cc1cc(C)on1)[C@H](C)CNC(=O)CSCC#N ZINC001151965054 745576353 /nfs/dbraw/zinc/57/63/53/745576353.db2.gz GIDVGSUANXUKRU-LLVKDONJSA-N 1 2 310.423 1.566 20 30 DDEDLO C[C@@H](CCNc1nccnc1C#N)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106620448 745841349 /nfs/dbraw/zinc/84/13/49/745841349.db2.gz CVAKGRFTYMJISA-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@@H](CCNc1nccnc1C#N)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106620448 745841353 /nfs/dbraw/zinc/84/13/53/745841353.db2.gz CVAKGRFTYMJISA-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC1C[NH+](C[C@@H](C)OC)C1 ZINC001031231645 745949998 /nfs/dbraw/zinc/94/99/98/745949998.db2.gz GEOQZQKCIQNJQV-GFCCVEGCSA-N 1 2 305.378 1.095 20 30 DDEDLO CC[C@H]1C[N@H+](Cc2ccccc2)CCN1S(=O)(=O)[C@H](C)C#N ZINC001193138964 745993748 /nfs/dbraw/zinc/99/37/48/745993748.db2.gz VPOWYRZEFLGEBI-ZBFHGGJFSA-N 1 2 321.446 1.825 20 30 DDEDLO CC[C@H]1C[N@@H+](Cc2ccccc2)CCN1S(=O)(=O)[C@H](C)C#N ZINC001193138964 745993750 /nfs/dbraw/zinc/99/37/50/745993750.db2.gz VPOWYRZEFLGEBI-ZBFHGGJFSA-N 1 2 321.446 1.825 20 30 DDEDLO C=CCN1CC[N@@H+](C)C2(CCN(C(=O)OCCCC)CC2)C1=O ZINC001193682078 746174389 /nfs/dbraw/zinc/17/43/89/746174389.db2.gz QNTKKLIRIXHCIQ-UHFFFAOYSA-N 1 2 323.437 1.718 20 30 DDEDLO C=CCN1CC[N@H+](C)C2(CCN(C(=O)OCCCC)CC2)C1=O ZINC001193682078 746174392 /nfs/dbraw/zinc/17/43/92/746174392.db2.gz QNTKKLIRIXHCIQ-UHFFFAOYSA-N 1 2 323.437 1.718 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CCCN(CC#N)[C@H]2C)c[nH+]1 ZINC000993499147 746178593 /nfs/dbraw/zinc/17/85/93/746178593.db2.gz YHPTWQDRBQTYDY-UONOGXRCSA-N 1 2 303.410 1.419 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001194409064 746371064 /nfs/dbraw/zinc/37/10/64/746371064.db2.gz PLIWLNKXSPAHTB-DOMZBBRYSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001194409064 746371070 /nfs/dbraw/zinc/37/10/70/746371070.db2.gz PLIWLNKXSPAHTB-DOMZBBRYSA-N 1 2 307.394 1.607 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2scnc2COC)[C@H]1C ZINC000993962351 746393918 /nfs/dbraw/zinc/39/39/18/746393918.db2.gz KVNMQGDXMZTHDI-NEPJUHHUSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2scnc2COC)[C@H]1C ZINC000993962351 746393925 /nfs/dbraw/zinc/39/39/25/746393925.db2.gz KVNMQGDXMZTHDI-NEPJUHHUSA-N 1 2 307.419 1.505 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001195288205 746569451 /nfs/dbraw/zinc/56/94/51/746569451.db2.gz XKYCNKRBDRUPIJ-ZIAGYGMSSA-N 1 2 319.430 1.493 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001195288205 746569453 /nfs/dbraw/zinc/56/94/53/746569453.db2.gz XKYCNKRBDRUPIJ-ZIAGYGMSSA-N 1 2 319.430 1.493 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1O ZINC001195554358 746630088 /nfs/dbraw/zinc/63/00/88/746630088.db2.gz GHMPCVZQOVXENB-DAXOMENPSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1O ZINC001195554358 746630090 /nfs/dbraw/zinc/63/00/90/746630090.db2.gz GHMPCVZQOVXENB-DAXOMENPSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1O ZINC001195548965 746642195 /nfs/dbraw/zinc/64/21/95/746642195.db2.gz MJBONHKHHUCTNQ-MRVWCRGKSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1O ZINC001195548965 746642199 /nfs/dbraw/zinc/64/21/99/746642199.db2.gz MJBONHKHHUCTNQ-MRVWCRGKSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1O ZINC001195924392 746738186 /nfs/dbraw/zinc/73/81/86/746738186.db2.gz VEIRKCCAFDGIOE-FMKPAKJESA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1O ZINC001195924392 746738191 /nfs/dbraw/zinc/73/81/91/746738191.db2.gz VEIRKCCAFDGIOE-FMKPAKJESA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(C)CCCC2)CC1 ZINC001196681003 746920117 /nfs/dbraw/zinc/92/01/17/746920117.db2.gz ODUGAGPSQRJPID-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(C)CCCC2)CC1 ZINC001196681003 746920119 /nfs/dbraw/zinc/92/01/19/746920119.db2.gz ODUGAGPSQRJPID-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC001196853263 746990653 /nfs/dbraw/zinc/99/06/53/746990653.db2.gz JHKGEJLCMKWMPV-KGLIPLIRSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC001196853263 746990658 /nfs/dbraw/zinc/99/06/58/746990658.db2.gz JHKGEJLCMKWMPV-KGLIPLIRSA-N 1 2 307.438 1.258 20 30 DDEDLO Cc1ncncc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031559400 747031438 /nfs/dbraw/zinc/03/14/38/747031438.db2.gz KEFGOFKAAAMYOY-UHFFFAOYSA-N 1 2 321.384 1.519 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(CC)CC2)CC1 ZINC001197055492 747038702 /nfs/dbraw/zinc/03/87/02/747038702.db2.gz REOFCGNLIQEMKA-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(CC)CC2)CC1 ZINC001197055492 747038705 /nfs/dbraw/zinc/03/87/05/747038705.db2.gz REOFCGNLIQEMKA-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@]2(C)CCC[C@H]2C)CC1 ZINC001197061691 747050862 /nfs/dbraw/zinc/05/08/62/747050862.db2.gz FOLOVBHTOIVIJR-CRAIPNDOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@]2(C)CCC[C@H]2C)CC1 ZINC001197061691 747050865 /nfs/dbraw/zinc/05/08/65/747050865.db2.gz FOLOVBHTOIVIJR-CRAIPNDOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCC(C)(C)C2)CC1 ZINC001197118593 747071824 /nfs/dbraw/zinc/07/18/24/747071824.db2.gz RAJASRDJLNUHHJ-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCC(C)(C)C2)CC1 ZINC001197118593 747071833 /nfs/dbraw/zinc/07/18/33/747071833.db2.gz RAJASRDJLNUHHJ-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001089490843 747091172 /nfs/dbraw/zinc/09/11/72/747091172.db2.gz VIUHXOIFMMWYQR-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO Cc1[nH]nc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)c1C ZINC001031589086 747113648 /nfs/dbraw/zinc/11/36/48/747113648.db2.gz JTEGKIFFSLCQME-UHFFFAOYSA-N 1 2 322.412 1.740 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)[C@@H](F)c2ccccc2)CC1 ZINC001197270011 747121747 /nfs/dbraw/zinc/12/17/47/747121747.db2.gz YEDKFTWDTGZJGK-KRWDZBQOSA-N 1 2 318.392 1.881 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)[C@@H](F)c2ccccc2)CC1 ZINC001197270011 747121749 /nfs/dbraw/zinc/12/17/49/747121749.db2.gz YEDKFTWDTGZJGK-KRWDZBQOSA-N 1 2 318.392 1.881 20 30 DDEDLO CC#CCCCC(=O)NCc1cnn2c1C[N@H+](CCCF)CC2 ZINC001128447874 747150794 /nfs/dbraw/zinc/15/07/94/747150794.db2.gz GFHOMDYPKOBKHS-UHFFFAOYSA-N 1 2 320.412 1.868 20 30 DDEDLO CC#CCCCC(=O)NCc1cnn2c1C[N@@H+](CCCF)CC2 ZINC001128447874 747150796 /nfs/dbraw/zinc/15/07/96/747150796.db2.gz GFHOMDYPKOBKHS-UHFFFAOYSA-N 1 2 320.412 1.868 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CCN(CC#N)CC(C)(C)C1 ZINC001089548595 747195009 /nfs/dbraw/zinc/19/50/09/747195009.db2.gz MCRUDRWXZYMVBP-ZDUSSCGKSA-N 1 2 303.410 1.391 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)cnn1 ZINC001031634298 747270677 /nfs/dbraw/zinc/27/06/77/747270677.db2.gz RSUOZRIYMUWXFK-UHFFFAOYSA-N 1 2 320.396 1.498 20 30 DDEDLO CCn1nncc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031654749 747322983 /nfs/dbraw/zinc/32/29/83/747322983.db2.gz HJEKYBRBBULWNF-UHFFFAOYSA-N 1 2 323.400 1.011 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cc[nH]c3)C2)s1 ZINC001031692320 747391495 /nfs/dbraw/zinc/39/14/95/747391495.db2.gz QQPNKVABTXWSHT-UHFFFAOYSA-N 1 2 300.387 1.810 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(C)cc2)C1 ZINC001108058793 747398741 /nfs/dbraw/zinc/39/87/41/747398741.db2.gz GIGRQQLBDSVJAQ-LJQANCHMSA-N 1 2 314.429 1.768 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(C)cc2)C1 ZINC001108058793 747398749 /nfs/dbraw/zinc/39/87/49/747398749.db2.gz GIGRQQLBDSVJAQ-LJQANCHMSA-N 1 2 314.429 1.768 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212255463 747407888 /nfs/dbraw/zinc/40/78/88/747407888.db2.gz MBCDBRMZVGKWDB-UKRRQHHQSA-N 1 2 319.405 1.348 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212255463 747407892 /nfs/dbraw/zinc/40/78/92/747407892.db2.gz MBCDBRMZVGKWDB-UKRRQHHQSA-N 1 2 319.405 1.348 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2cnc(C)cn2)CC1 ZINC001198337909 747456595 /nfs/dbraw/zinc/45/65/95/747456595.db2.gz XBXGDYYHTCGTGB-UHFFFAOYSA-N 1 2 318.421 1.412 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2cnc(C)cn2)CC1 ZINC001198337909 747456599 /nfs/dbraw/zinc/45/65/99/747456599.db2.gz XBXGDYYHTCGTGB-UHFFFAOYSA-N 1 2 318.421 1.412 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]([NH2+]CCF)c1ccccc1OC ZINC001198693411 747568653 /nfs/dbraw/zinc/56/86/53/747568653.db2.gz BABISLDALOEWSB-YOEHRIQHSA-N 1 2 324.396 1.739 20 30 DDEDLO C=CC[NH2+][C@H](CNC(=O)c1cnn[nH]1)c1ccccc1OC ZINC001198784602 747595982 /nfs/dbraw/zinc/59/59/82/747595982.db2.gz JBZIIUUBXUXKOU-GFCCVEGCSA-N 1 2 301.350 1.060 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1O)c1ccccc1 ZINC001198956122 747660549 /nfs/dbraw/zinc/66/05/49/747660549.db2.gz PRDJXUVNKBJAEN-HREYTXOFSA-N 1 2 320.820 1.870 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1O)c1ccccc1 ZINC001198956122 747660550 /nfs/dbraw/zinc/66/05/50/747660550.db2.gz PRDJXUVNKBJAEN-HREYTXOFSA-N 1 2 320.820 1.870 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)Oc2ccccc2F)[C@H](OC)C1 ZINC001212319080 747844456 /nfs/dbraw/zinc/84/44/56/747844456.db2.gz YIEQFWMXSYTQLN-JGGQBBKZSA-N 1 2 320.364 1.042 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)Oc2ccccc2F)[C@H](OC)C1 ZINC001212319080 747844460 /nfs/dbraw/zinc/84/44/60/747844460.db2.gz YIEQFWMXSYTQLN-JGGQBBKZSA-N 1 2 320.364 1.042 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ccc(N(C)C)nc2)C1 ZINC001031804801 747905314 /nfs/dbraw/zinc/90/53/14/747905314.db2.gz MUJWELLKOZDLRG-UHFFFAOYSA-N 1 2 308.813 1.562 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnc(F)c(OC(C)C)c2)CC1 ZINC001199718720 747965005 /nfs/dbraw/zinc/96/50/05/747965005.db2.gz GUOMCRXPYQKSSC-UHFFFAOYSA-N 1 2 307.369 1.952 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C3CC3)cnn2C)C1 ZINC001014671817 748025461 /nfs/dbraw/zinc/02/54/61/748025461.db2.gz FOXWGJGFCMIBMP-LBPRGKRZSA-N 1 2 308.813 1.854 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C3CC3)cnn2C)C1 ZINC001014671817 748025467 /nfs/dbraw/zinc/02/54/67/748025467.db2.gz FOXWGJGFCMIBMP-LBPRGKRZSA-N 1 2 308.813 1.854 20 30 DDEDLO N#Cc1ccc(N2CC=C(CNC(=O)Cn3cc[nH+]c3)CC2)nc1 ZINC001127664665 748071803 /nfs/dbraw/zinc/07/18/03/748071803.db2.gz UBGQKBIKPZGPNN-UHFFFAOYSA-N 1 2 322.372 1.103 20 30 DDEDLO C=CCCC(=O)NCC[NH2+]Cc1nn(C)cc1Br ZINC001124640641 748378062 /nfs/dbraw/zinc/37/80/62/748378062.db2.gz MKHQDBUHCUNZPO-UHFFFAOYSA-N 1 2 315.215 1.355 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)CCc2cn[nH]c2)CC1 ZINC001004580325 748564723 /nfs/dbraw/zinc/56/47/23/748564723.db2.gz RBWJGDXHOLTUAV-INIZCTEOSA-N 1 2 315.421 1.569 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)CCc2cn[nH]c2)CC1 ZINC001004580325 748564725 /nfs/dbraw/zinc/56/47/25/748564725.db2.gz RBWJGDXHOLTUAV-INIZCTEOSA-N 1 2 315.421 1.569 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001108090313 748784075 /nfs/dbraw/zinc/78/40/75/748784075.db2.gz RHDWOOJQVZQOHR-TZMCWYRMSA-N 1 2 320.355 1.805 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001108090313 748784079 /nfs/dbraw/zinc/78/40/79/748784079.db2.gz RHDWOOJQVZQOHR-TZMCWYRMSA-N 1 2 320.355 1.805 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)c2csnn2)c1 ZINC001038555921 748815586 /nfs/dbraw/zinc/81/55/86/748815586.db2.gz AANRABJFDVKFGT-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)c2csnn2)c1 ZINC001038555921 748815590 /nfs/dbraw/zinc/81/55/90/748815590.db2.gz AANRABJFDVKFGT-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+]Cc1nnc(C(F)F)s1 ZINC001153135072 748848643 /nfs/dbraw/zinc/84/86/43/748848643.db2.gz MWEMXDLKNLJNCP-MRVPVSSYSA-N 1 2 320.365 1.273 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C(C)(C)C1 ZINC000995549469 748913479 /nfs/dbraw/zinc/91/34/79/748913479.db2.gz BNXBAMFTHCKYOV-ZYHUDNBSSA-N 1 2 317.393 1.433 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC[C@H]2CCCOC2)C1 ZINC001108312475 761906809 /nfs/dbraw/zinc/90/68/09/761906809.db2.gz KBZFKULIOASEOT-AEFFLSMTSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC[C@H]2CCCOC2)C1 ZINC001108312475 761906812 /nfs/dbraw/zinc/90/68/12/761906812.db2.gz KBZFKULIOASEOT-AEFFLSMTSA-N 1 2 322.449 1.424 20 30 DDEDLO C[C@@]1(NC(=O)CCn2cc[nH+]c2)CCN(c2ccncc2C#N)C1 ZINC001110801764 749023641 /nfs/dbraw/zinc/02/36/41/749023641.db2.gz ALCZSWJFHHHAOX-QGZVFWFLSA-N 1 2 324.388 1.325 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(CC)nnc2C)C1 ZINC001108097248 749028687 /nfs/dbraw/zinc/02/86/87/749028687.db2.gz DGEFDDIPJAWXTL-KRWDZBQOSA-N 1 2 318.421 1.354 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(CC)nnc2C)C1 ZINC001108097248 749028690 /nfs/dbraw/zinc/02/86/90/749028690.db2.gz DGEFDDIPJAWXTL-KRWDZBQOSA-N 1 2 318.421 1.354 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@](C)(C=C)CCOC)C1 ZINC001108098871 749099727 /nfs/dbraw/zinc/09/97/27/749099727.db2.gz BHCUBTFOPHMJRJ-SJORKVTESA-N 1 2 310.438 1.608 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@](C)(C=C)CCOC)C1 ZINC001108098871 749099733 /nfs/dbraw/zinc/09/97/33/749099733.db2.gz BHCUBTFOPHMJRJ-SJORKVTESA-N 1 2 310.438 1.608 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C(C2CC2)C2CC2)C1 ZINC001108331386 761926011 /nfs/dbraw/zinc/92/60/11/761926011.db2.gz PDMUEXMAMKYNOL-GOSISDBHSA-N 1 2 304.434 1.653 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C(C2CC2)C2CC2)C1 ZINC001108331386 761926015 /nfs/dbraw/zinc/92/60/15/761926015.db2.gz PDMUEXMAMKYNOL-GOSISDBHSA-N 1 2 304.434 1.653 20 30 DDEDLO Cc1cnn(C)c1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038604203 749184252 /nfs/dbraw/zinc/18/42/52/749184252.db2.gz RGDJTBHPRDNEAP-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cnn(C)c1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038604203 749184254 /nfs/dbraw/zinc/18/42/54/749184254.db2.gz RGDJTBHPRDNEAP-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO CN(CCC#N)c1ccc(C[NH2+][C@@H](C(N)=O)c2ccccn2)cc1 ZINC001202528676 749227545 /nfs/dbraw/zinc/22/75/45/749227545.db2.gz QSBCEIZCQDBALP-QGZVFWFLSA-N 1 2 323.400 1.748 20 30 DDEDLO C=CCCOCC(=O)NCc1cnn2c1C[N@H+](CCC)CC2 ZINC001128602499 749242233 /nfs/dbraw/zinc/24/22/33/749242233.db2.gz KRNRBBURILWVBQ-UHFFFAOYSA-N 1 2 306.410 1.318 20 30 DDEDLO C=CCCOCC(=O)NCc1cnn2c1C[N@@H+](CCC)CC2 ZINC001128602499 749242238 /nfs/dbraw/zinc/24/22/38/749242238.db2.gz KRNRBBURILWVBQ-UHFFFAOYSA-N 1 2 306.410 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H](C)c2cncnc2)C1 ZINC001033356256 749260908 /nfs/dbraw/zinc/26/09/08/749260908.db2.gz LSNJTDZJOXAMOW-OCCSQVGLSA-N 1 2 308.813 1.865 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H](C)c2cncnc2)C1 ZINC001033356256 749260912 /nfs/dbraw/zinc/26/09/12/749260912.db2.gz LSNJTDZJOXAMOW-OCCSQVGLSA-N 1 2 308.813 1.865 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CC[N@H+](Cc2ncc(C)o2)C1 ZINC001033433759 749332070 /nfs/dbraw/zinc/33/20/70/749332070.db2.gz WMFNJIGCSIVPGJ-ZNMIVQPWSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001033433759 749332077 /nfs/dbraw/zinc/33/20/77/749332077.db2.gz WMFNJIGCSIVPGJ-ZNMIVQPWSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C1=COCCO1 ZINC001039330130 761940913 /nfs/dbraw/zinc/94/09/13/761940913.db2.gz QJNSKIYNUVOUTF-OLZOCXBDSA-N 1 2 312.797 1.692 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C1=COCCO1 ZINC001039330130 761940918 /nfs/dbraw/zinc/94/09/18/761940918.db2.gz QJNSKIYNUVOUTF-OLZOCXBDSA-N 1 2 312.797 1.692 20 30 DDEDLO C=CCn1cc(C(=O)N(C)[C@@H]2CC[N@H+](CC(=C)Cl)C2)nn1 ZINC001033484756 749412428 /nfs/dbraw/zinc/41/24/28/749412428.db2.gz UFUGBSVXTBDSJG-GFCCVEGCSA-N 1 2 309.801 1.363 20 30 DDEDLO C=CCn1cc(C(=O)N(C)[C@@H]2CC[N@@H+](CC(=C)Cl)C2)nn1 ZINC001033484756 749412433 /nfs/dbraw/zinc/41/24/33/749412433.db2.gz UFUGBSVXTBDSJG-GFCCVEGCSA-N 1 2 309.801 1.363 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)c2cccnc2)C1 ZINC001108348329 761953723 /nfs/dbraw/zinc/95/37/23/761953723.db2.gz YVZWMMQLHJSMBQ-CRAIPNDOSA-N 1 2 315.417 1.416 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)c2cccnc2)C1 ZINC001108348329 761953730 /nfs/dbraw/zinc/95/37/30/761953730.db2.gz YVZWMMQLHJSMBQ-CRAIPNDOSA-N 1 2 315.417 1.416 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(C)c1C ZINC001039351517 761957083 /nfs/dbraw/zinc/95/70/83/761957083.db2.gz KOWWSRIGYSHQNX-OLZOCXBDSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(C)c1C ZINC001039351517 761957089 /nfs/dbraw/zinc/95/70/89/761957089.db2.gz KOWWSRIGYSHQNX-OLZOCXBDSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2cnn(CC)c2)C1 ZINC001033574237 749549830 /nfs/dbraw/zinc/54/98/30/749549830.db2.gz SMMCTBAEKWVQAP-AWEZNQCLSA-N 1 2 310.829 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2cnn(CC)c2)C1 ZINC001033574237 749549833 /nfs/dbraw/zinc/54/98/33/749549833.db2.gz SMMCTBAEKWVQAP-AWEZNQCLSA-N 1 2 310.829 1.731 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2c3c(nn2C)CCC3)C1 ZINC001033582211 749563100 /nfs/dbraw/zinc/56/31/00/749563100.db2.gz IKVQOZCNHRFRDQ-LBPRGKRZSA-N 1 2 322.840 1.808 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2c3c(nn2C)CCC3)C1 ZINC001033582211 749563103 /nfs/dbraw/zinc/56/31/03/749563103.db2.gz IKVQOZCNHRFRDQ-LBPRGKRZSA-N 1 2 322.840 1.808 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(C)CC(=C)C3)nn2)C1 ZINC001107209273 749596178 /nfs/dbraw/zinc/59/61/78/749596178.db2.gz LROXSGXLNDPJRS-UHFFFAOYSA-N 1 2 301.394 1.293 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H](C)C(C)C)nn2)C1 ZINC001107217252 749628366 /nfs/dbraw/zinc/62/83/66/749628366.db2.gz CYZUFSZYBJLYSW-CYBMUJFWSA-N 1 2 303.410 1.066 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC/C=C/CNc1ccc(C#N)nc1 ZINC001107262578 749701183 /nfs/dbraw/zinc/70/11/83/749701183.db2.gz BTAHDDZRSFEPMF-NSCUHMNNSA-N 1 2 310.361 1.014 20 30 DDEDLO CN(C(=O)c1c[nH]c(C#N)c1)C1CC[NH+](Cc2ncccn2)CC1 ZINC001005276433 749720300 /nfs/dbraw/zinc/72/03/00/749720300.db2.gz CKPOXXKVUFVQPJ-UHFFFAOYSA-N 1 2 324.388 1.413 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC/C=C/CNc1ccc(C#N)cn1 ZINC001107286053 749736936 /nfs/dbraw/zinc/73/69/36/749736936.db2.gz URWJHPJMILJACF-NSCUHMNNSA-N 1 2 310.361 1.312 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CC[C@H](N(CC)C(C)=O)C2)c1 ZINC001033715989 749768184 /nfs/dbraw/zinc/76/81/84/749768184.db2.gz PEPNQGZLCFCJII-KRWDZBQOSA-N 1 2 313.401 1.549 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CC[C@H](N(CC)C(C)=O)C2)c1 ZINC001033715989 749768189 /nfs/dbraw/zinc/76/81/89/749768189.db2.gz PEPNQGZLCFCJII-KRWDZBQOSA-N 1 2 313.401 1.549 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C)CCCC1)C2 ZINC001110868397 749788861 /nfs/dbraw/zinc/78/88/61/749788861.db2.gz FLRCTDLNHAPIEK-KFWWJZLASA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C)CCCC1)C2 ZINC001110868397 749788869 /nfs/dbraw/zinc/78/88/69/749788869.db2.gz FLRCTDLNHAPIEK-KFWWJZLASA-N 1 2 317.433 1.038 20 30 DDEDLO N#Cc1ccc(N2CCC(NC(=O)CCn3cc[nH+]c3)CC2)cn1 ZINC001095438496 749897712 /nfs/dbraw/zinc/89/77/12/749897712.db2.gz RVMWUVLHFMXRRK-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)C(F)C(F)(F)F)cc1 ZINC001127779571 749985907 /nfs/dbraw/zinc/98/59/07/749985907.db2.gz OGBAVKBKPPDIIL-LLVKDONJSA-N 1 2 303.259 1.664 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)[C@@H](F)C(F)(F)F)cc1 ZINC001127779571 749985913 /nfs/dbraw/zinc/98/59/13/749985913.db2.gz OGBAVKBKPPDIIL-LLVKDONJSA-N 1 2 303.259 1.664 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CC)C(=O)c2nc3cnccc3s2)C1 ZINC001033898856 750085331 /nfs/dbraw/zinc/08/53/31/750085331.db2.gz XZRFNDAITHNEDQ-LBPRGKRZSA-N 1 2 314.414 1.861 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2nc3cnccc3s2)C1 ZINC001033898856 750085339 /nfs/dbraw/zinc/08/53/39/750085339.db2.gz XZRFNDAITHNEDQ-LBPRGKRZSA-N 1 2 314.414 1.861 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC/C=C\CNc1cc[nH+]c(C)n1 ZINC001107546036 750110474 /nfs/dbraw/zinc/11/04/74/750110474.db2.gz SMPDCPBBPFFRFF-KBSCQGNFSA-N 1 2 316.405 1.851 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3cccnc3)C[C@H]2O)CC1 ZINC001077621420 750155374 /nfs/dbraw/zinc/15/53/74/750155374.db2.gz IKYDLHUGMGWKKW-IAGOWNOFSA-N 1 2 315.417 1.489 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3cccnc3)C[C@H]2O)CC1 ZINC001077621420 750155379 /nfs/dbraw/zinc/15/53/79/750155379.db2.gz IKYDLHUGMGWKKW-IAGOWNOFSA-N 1 2 315.417 1.489 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)C(=C)C)C2 ZINC001110888609 750177073 /nfs/dbraw/zinc/17/70/73/750177073.db2.gz MIQZYDXITMXOTN-KFWWJZLASA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)C(=C)C)C2 ZINC001110888609 750177078 /nfs/dbraw/zinc/17/70/78/750177078.db2.gz MIQZYDXITMXOTN-KFWWJZLASA-N 1 2 317.433 1.060 20 30 DDEDLO C#CC[NH+]1CCC(N(C)C(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC001005489543 750210950 /nfs/dbraw/zinc/21/09/50/750210950.db2.gz SHAAZZRRLZXMSA-UHFFFAOYSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](N(CC)C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001034036971 750285669 /nfs/dbraw/zinc/28/56/69/750285669.db2.gz GRQMYMYBYBLCID-AWEZNQCLSA-N 1 2 311.389 1.518 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001034036971 750285674 /nfs/dbraw/zinc/28/56/74/750285674.db2.gz GRQMYMYBYBLCID-AWEZNQCLSA-N 1 2 311.389 1.518 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC001108112720 750328508 /nfs/dbraw/zinc/32/85/08/750328508.db2.gz ZDMFXKOZQUNYOR-MRXNPFEDSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC001108112720 750328510 /nfs/dbraw/zinc/32/85/10/750328510.db2.gz ZDMFXKOZQUNYOR-MRXNPFEDSA-N 1 2 306.410 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)OCCOC)C2 ZINC001111092388 750359849 /nfs/dbraw/zinc/35/98/49/750359849.db2.gz RNOYBXHQLKOSKH-DGAVXFQQSA-N 1 2 316.829 1.512 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)OCCOC)C2 ZINC001111092388 750359852 /nfs/dbraw/zinc/35/98/52/750359852.db2.gz RNOYBXHQLKOSKH-DGAVXFQQSA-N 1 2 316.829 1.512 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCCOCC2)C1 ZINC001107908975 750615506 /nfs/dbraw/zinc/61/55/06/750615506.db2.gz SRLFBUMKWRMBFQ-DOTOQJQBSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCCOCC2)C1 ZINC001107908975 750615507 /nfs/dbraw/zinc/61/55/07/750615507.db2.gz SRLFBUMKWRMBFQ-DOTOQJQBSA-N 1 2 308.422 1.034 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2sccc2F)[C@@H](O)C1 ZINC001090227462 750650493 /nfs/dbraw/zinc/65/04/93/750650493.db2.gz LSDLXCWMEGGVQS-QWRGUYRKSA-N 1 2 318.801 1.805 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2sccc2F)[C@@H](O)C1 ZINC001090227462 750650495 /nfs/dbraw/zinc/65/04/95/750650495.db2.gz LSDLXCWMEGGVQS-QWRGUYRKSA-N 1 2 318.801 1.805 20 30 DDEDLO Cc1nc(N2C[C@@H]3[C@@H](CNC(=O)[C@H](C)C#N)[C@@H]3C2)c(C)c(C)[nH+]1 ZINC001114525411 750698880 /nfs/dbraw/zinc/69/88/80/750698880.db2.gz LDMDRQRPZUDHAS-QUAZDUCUSA-N 1 2 313.405 1.360 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H](C)CNc2cc[nH+]c(C)n2)nn1 ZINC001108148985 750782914 /nfs/dbraw/zinc/78/29/14/750782914.db2.gz ZRLOJEFGTZGDHG-LLVKDONJSA-N 1 2 315.381 1.183 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C(C)(C)[C@@H]2CCCCO2)C1 ZINC001107950123 750815514 /nfs/dbraw/zinc/81/55/14/750815514.db2.gz YMEWSBOBNCIKOB-MAUKXSAKSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)[C@@H]2CCCCO2)C1 ZINC001107950123 750815520 /nfs/dbraw/zinc/81/55/20/750815520.db2.gz YMEWSBOBNCIKOB-MAUKXSAKSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ncccc2F)C1 ZINC001108160762 750891139 /nfs/dbraw/zinc/89/11/39/750891139.db2.gz SJPYZPUPFQXZKY-MRXNPFEDSA-N 1 2 307.369 1.156 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ncccc2F)C1 ZINC001108160762 750891147 /nfs/dbraw/zinc/89/11/47/750891147.db2.gz SJPYZPUPFQXZKY-MRXNPFEDSA-N 1 2 307.369 1.156 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)c(OC)c1C ZINC001032465887 750893960 /nfs/dbraw/zinc/89/39/60/750893960.db2.gz ACIFPDRKEZMTEC-KBPBESRZSA-N 1 2 314.385 1.544 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)c(OC)c1C ZINC001032465887 750893965 /nfs/dbraw/zinc/89/39/65/750893965.db2.gz ACIFPDRKEZMTEC-KBPBESRZSA-N 1 2 314.385 1.544 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2Cc3ccc(F)cc32)C1 ZINC001108161160 750899985 /nfs/dbraw/zinc/89/99/85/750899985.db2.gz AERNVRSCEBUMPW-FUHWJXTLSA-N 1 2 318.392 1.859 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2Cc3ccc(F)cc32)C1 ZINC001108161160 750899997 /nfs/dbraw/zinc/89/99/97/750899997.db2.gz AERNVRSCEBUMPW-FUHWJXTLSA-N 1 2 318.392 1.859 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114668199 750961075 /nfs/dbraw/zinc/96/10/75/750961075.db2.gz AXUJRKRURHXJRL-IMRBUKKESA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114668199 750961079 /nfs/dbraw/zinc/96/10/79/750961079.db2.gz AXUJRKRURHXJRL-IMRBUKKESA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114723336 751022702 /nfs/dbraw/zinc/02/27/02/751022702.db2.gz WYOQANCHDMXVRT-AIANPOQGSA-N 1 2 314.433 1.934 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114723336 751022710 /nfs/dbraw/zinc/02/27/10/751022710.db2.gz WYOQANCHDMXVRT-AIANPOQGSA-N 1 2 314.433 1.934 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ccon4)C[C@H]32)CCC1 ZINC001114785312 751077674 /nfs/dbraw/zinc/07/76/74/751077674.db2.gz LUHBESAKUGLTKJ-FICVDOATSA-N 1 2 301.390 1.967 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ccon4)C[C@H]32)CCC1 ZINC001114785312 751077679 /nfs/dbraw/zinc/07/76/79/751077679.db2.gz LUHBESAKUGLTKJ-FICVDOATSA-N 1 2 301.390 1.967 20 30 DDEDLO C#CC[N@H+]1CCC2(C1)CCN(C(=O)C(F)C(F)(F)F)CC2 ZINC001035186819 751287329 /nfs/dbraw/zinc/28/73/29/751287329.db2.gz KODKKQYGCWHSIX-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CCC2(C1)CCN(C(=O)C(F)C(F)(F)F)CC2 ZINC001035186819 751287332 /nfs/dbraw/zinc/28/73/32/751287332.db2.gz KODKKQYGCWHSIX-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@H+]1CCC2(C1)CCN(C(=O)[C@@H](F)C(F)(F)F)CC2 ZINC001035186819 751287333 /nfs/dbraw/zinc/28/73/33/751287333.db2.gz KODKKQYGCWHSIX-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CCC2(C1)CCN(C(=O)[C@@H](F)C(F)(F)F)CC2 ZINC001035186819 751287335 /nfs/dbraw/zinc/28/73/35/751287335.db2.gz KODKKQYGCWHSIX-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC[C@H]([NH2+]Cc3cnsn3)C2)c[nH]1 ZINC000998967873 752395075 /nfs/dbraw/zinc/39/50/75/752395075.db2.gz CCPXKVZAIMQCMI-NSHDSACASA-N 1 2 316.390 1.132 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc2cncn21 ZINC001032659789 752624288 /nfs/dbraw/zinc/62/42/88/752624288.db2.gz MCGOSMKRSNNIDA-RYUDHWBXSA-N 1 2 300.387 1.318 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc2cncn21 ZINC001032659789 752624291 /nfs/dbraw/zinc/62/42/91/752624291.db2.gz MCGOSMKRSNNIDA-RYUDHWBXSA-N 1 2 300.387 1.318 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnnn3C)C2)C1 ZINC001008438448 752727706 /nfs/dbraw/zinc/72/77/06/752727706.db2.gz YROUFBOAXNAFOZ-CYBMUJFWSA-N 1 2 303.410 1.252 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](Cc3cnnn3C)C2)C1 ZINC001008438448 752727713 /nfs/dbraw/zinc/72/77/13/752727713.db2.gz YROUFBOAXNAFOZ-CYBMUJFWSA-N 1 2 303.410 1.252 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cc3n(n2)CCC3)CC1 ZINC001000760585 762166068 /nfs/dbraw/zinc/16/60/68/762166068.db2.gz TZKZDXBLCWLSLR-UHFFFAOYSA-N 1 2 320.824 1.944 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cc3n(n2)CCC3)CC1 ZINC001000760585 762166075 /nfs/dbraw/zinc/16/60/75/762166075.db2.gz TZKZDXBLCWLSLR-UHFFFAOYSA-N 1 2 320.824 1.944 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)CCN2C ZINC001032717466 753048073 /nfs/dbraw/zinc/04/80/73/753048073.db2.gz JJJCVWPJMVXUDC-IRXDYDNUSA-N 1 2 309.413 1.601 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)CCN2C ZINC001032717466 753048077 /nfs/dbraw/zinc/04/80/77/753048077.db2.gz JJJCVWPJMVXUDC-IRXDYDNUSA-N 1 2 309.413 1.601 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H]2C[C@H]1CN2c1ncccc1C#N ZINC001062516636 753082906 /nfs/dbraw/zinc/08/29/06/753082906.db2.gz MTQPITKJZGLURJ-KBPBESRZSA-N 1 2 322.372 1.017 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3cnoc3C)C2)nc1 ZINC001009270216 753135258 /nfs/dbraw/zinc/13/52/58/753135258.db2.gz REKBLGGKBVBXHH-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3cnoc3C)C2)nc1 ZINC001009270216 753135264 /nfs/dbraw/zinc/13/52/64/753135264.db2.gz REKBLGGKBVBXHH-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3cncn3C)C2)cn1 ZINC001009272333 753136386 /nfs/dbraw/zinc/13/63/86/753136386.db2.gz XOGGRNDZPARSJZ-INIZCTEOSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3cncn3C)C2)cn1 ZINC001009272333 753136392 /nfs/dbraw/zinc/13/63/92/753136392.db2.gz XOGGRNDZPARSJZ-INIZCTEOSA-N 1 2 323.400 1.191 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1oc(CCC)nc1C ZINC001032734235 753389611 /nfs/dbraw/zinc/38/96/11/753389611.db2.gz BYIAZNXFPGAYDF-KBPBESRZSA-N 1 2 301.390 1.858 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1oc(CCC)nc1C ZINC001032734235 753389612 /nfs/dbraw/zinc/38/96/12/753389612.db2.gz BYIAZNXFPGAYDF-KBPBESRZSA-N 1 2 301.390 1.858 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(c2ccccc2)CCCO1 ZINC001032752484 753467733 /nfs/dbraw/zinc/46/77/33/753467733.db2.gz NJUKNKZFVAZFTR-LNLFQRSKSA-N 1 2 310.397 1.611 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(c2ccccc2)CCCO1 ZINC001032752484 753467744 /nfs/dbraw/zinc/46/77/44/753467744.db2.gz NJUKNKZFVAZFTR-LNLFQRSKSA-N 1 2 310.397 1.611 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cnccc2C)C1 ZINC001108003643 753481584 /nfs/dbraw/zinc/48/15/84/753481584.db2.gz KTXMEUPJKMCZEW-QGZVFWFLSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cnccc2C)C1 ZINC001108003643 753481588 /nfs/dbraw/zinc/48/15/88/753481588.db2.gz KTXMEUPJKMCZEW-QGZVFWFLSA-N 1 2 303.406 1.326 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2c1C[C@H](C)CC2 ZINC001032757710 753501818 /nfs/dbraw/zinc/50/18/18/753501818.db2.gz MGYRGZHQSLUIAO-ILXRZTDVSA-N 1 2 312.417 1.387 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2c1C[C@H](C)CC2 ZINC001032757710 753501819 /nfs/dbraw/zinc/50/18/19/753501819.db2.gz MGYRGZHQSLUIAO-ILXRZTDVSA-N 1 2 312.417 1.387 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCC2(F)F)C1 ZINC001108016998 753520857 /nfs/dbraw/zinc/52/08/57/753520857.db2.gz LUHUKZMROFMGMI-OCCSQVGLSA-N 1 2 300.349 1.262 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCC2(F)F)C1 ZINC001108016998 753520859 /nfs/dbraw/zinc/52/08/59/753520859.db2.gz LUHUKZMROFMGMI-OCCSQVGLSA-N 1 2 300.349 1.262 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001108177961 753521448 /nfs/dbraw/zinc/52/14/48/753521448.db2.gz CKXJCQJTYDRAFA-AAEUAGOBSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001108177961 753521456 /nfs/dbraw/zinc/52/14/56/753521456.db2.gz CKXJCQJTYDRAFA-AAEUAGOBSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H](NC(=O)c3ccc(C)o3)C2)C1=O ZINC001010042007 753568685 /nfs/dbraw/zinc/56/86/85/753568685.db2.gz DXRZVNDSBIBEIK-ZIAGYGMSSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H](NC(=O)c3ccc(C)o3)C2)C1=O ZINC001010042007 753568692 /nfs/dbraw/zinc/56/86/92/753568692.db2.gz DXRZVNDSBIBEIK-ZIAGYGMSSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CCCCC3)C1 ZINC001078125656 753760564 /nfs/dbraw/zinc/76/05/64/753760564.db2.gz VBXWCYSURPCEMG-ZIAGYGMSSA-N 1 2 320.458 1.978 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CCCCC3)C1 ZINC001078125656 753760567 /nfs/dbraw/zinc/76/05/67/753760567.db2.gz VBXWCYSURPCEMG-ZIAGYGMSSA-N 1 2 320.458 1.978 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC001043125197 753829375 /nfs/dbraw/zinc/82/93/75/753829375.db2.gz YJESZJZDRPCOBW-LBPRGKRZSA-N 1 2 308.813 1.341 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001078219328 753852147 /nfs/dbraw/zinc/85/21/47/753852147.db2.gz WXVQMUNFJMWYSG-SUMWQHHRSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001078220298 753853232 /nfs/dbraw/zinc/85/32/32/753853232.db2.gz GMDKTLILVORROF-BLLLJJGKSA-N 1 2 306.410 1.566 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001062960509 753871088 /nfs/dbraw/zinc/87/10/88/753871088.db2.gz WPVUBFFSJPSAFJ-CQSZACIVSA-N 1 2 324.388 1.265 20 30 DDEDLO C=CCC1(C(=O)NCC[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001078226330 753878075 /nfs/dbraw/zinc/87/80/75/753878075.db2.gz WHNHPKISCQKPTD-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@H]2CCN(c3cc[nH+]c(C)n3)C2)c1 ZINC001063028573 753921102 /nfs/dbraw/zinc/92/11/02/753921102.db2.gz PKKPWQBMKAIVCK-INIZCTEOSA-N 1 2 321.384 1.512 20 30 DDEDLO Cc1cc(N2CC[C@@H](N(C)C(=O)Cc3c[nH+]c[nH]3)C2)c(C#N)cn1 ZINC001063047993 753940292 /nfs/dbraw/zinc/94/02/92/753940292.db2.gz BISMMFJKNFMZHX-OAHLLOKOSA-N 1 2 324.388 1.265 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001010694212 754104507 /nfs/dbraw/zinc/10/45/07/754104507.db2.gz ZJFYDUDQFJZNPS-NSHDSACASA-N 1 2 316.390 1.051 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001010694212 754104511 /nfs/dbraw/zinc/10/45/11/754104511.db2.gz ZJFYDUDQFJZNPS-NSHDSACASA-N 1 2 316.390 1.051 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063519826 754206706 /nfs/dbraw/zinc/20/67/06/754206706.db2.gz FIUDEMJFQRWVKW-ZIAGYGMSSA-N 1 2 318.421 1.496 20 30 DDEDLO CN(c1ccc(C#N)nc1)[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001056997605 762310366 /nfs/dbraw/zinc/31/03/66/762310366.db2.gz FPRXAYOEXQONDU-INIZCTEOSA-N 1 2 324.388 1.277 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001040145676 762408462 /nfs/dbraw/zinc/40/84/62/762408462.db2.gz XLVHHXCSMTUIBP-WFASDCNBSA-N 1 2 310.361 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001014429122 755732460 /nfs/dbraw/zinc/73/24/60/755732460.db2.gz DNHREYGHADACJJ-QWHCGFSZSA-N 1 2 308.813 1.773 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001014429122 755732463 /nfs/dbraw/zinc/73/24/63/755732463.db2.gz DNHREYGHADACJJ-QWHCGFSZSA-N 1 2 308.813 1.773 20 30 DDEDLO C=C(C)CCC(=O)N1CC(N(CC)C(=O)Cc2c[nH+]cn2C)C1 ZINC001080141679 755732944 /nfs/dbraw/zinc/73/29/44/755732944.db2.gz PJPZVVNGBAOGDP-UHFFFAOYSA-N 1 2 318.421 1.378 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080306691 755816347 /nfs/dbraw/zinc/81/63/47/755816347.db2.gz CEKIDMVAQJMYRP-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)Cc2ncccc2F)C1 ZINC001080333636 755842475 /nfs/dbraw/zinc/84/24/75/755842475.db2.gz XNSGMRVUXGCMBV-QMTHXVAHSA-N 1 2 311.788 1.952 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)Cc2ncccc2F)C1 ZINC001080333636 755842482 /nfs/dbraw/zinc/84/24/82/755842482.db2.gz XNSGMRVUXGCMBV-QMTHXVAHSA-N 1 2 311.788 1.952 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001080925952 756145269 /nfs/dbraw/zinc/14/52/69/756145269.db2.gz UCCZWANLGZTESX-YMTOWFKASA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001080925952 756145272 /nfs/dbraw/zinc/14/52/72/756145272.db2.gz UCCZWANLGZTESX-YMTOWFKASA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001015168027 756156037 /nfs/dbraw/zinc/15/60/37/756156037.db2.gz RXIHPDUISKFIIC-KBPBESRZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001015168027 756156041 /nfs/dbraw/zinc/15/60/41/756156041.db2.gz RXIHPDUISKFIIC-KBPBESRZSA-N 1 2 313.829 1.188 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)C(F)F ZINC001081248718 756280808 /nfs/dbraw/zinc/28/08/08/756280808.db2.gz CIKIOMZEKSEDBE-CHWSQXEVSA-N 1 2 309.316 1.139 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)C(F)F ZINC001081248718 756280813 /nfs/dbraw/zinc/28/08/13/756280813.db2.gz CIKIOMZEKSEDBE-CHWSQXEVSA-N 1 2 309.316 1.139 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)C(C)C ZINC001081376210 756317896 /nfs/dbraw/zinc/31/78/96/756317896.db2.gz KZDCAKGIOADOJF-ZIAGYGMSSA-N 1 2 307.419 1.591 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)C(C)C ZINC001081376210 756317901 /nfs/dbraw/zinc/31/79/01/756317901.db2.gz KZDCAKGIOADOJF-ZIAGYGMSSA-N 1 2 307.419 1.591 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001015710624 756487122 /nfs/dbraw/zinc/48/71/22/756487122.db2.gz OXKLLYGTEBZZJB-UKRRQHHQSA-N 1 2 305.809 1.739 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001015710624 756487126 /nfs/dbraw/zinc/48/71/26/756487126.db2.gz OXKLLYGTEBZZJB-UKRRQHHQSA-N 1 2 305.809 1.739 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)oc(C)c2C)[C@H](OC)C1 ZINC001081919071 756535363 /nfs/dbraw/zinc/53/53/63/756535363.db2.gz CFPRYXBRNQNIIK-HUUCEWRRSA-N 1 2 304.390 1.657 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(C)oc(C)c2C)[C@H](OC)C1 ZINC001081919071 756535365 /nfs/dbraw/zinc/53/53/65/756535365.db2.gz CFPRYXBRNQNIIK-HUUCEWRRSA-N 1 2 304.390 1.657 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)onc2C2CC2)[C@H](OC)C1 ZINC001081954677 756561985 /nfs/dbraw/zinc/56/19/85/756561985.db2.gz ZPSQYSROAUVSLR-ZIAGYGMSSA-N 1 2 317.389 1.313 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(C)onc2C2CC2)[C@H](OC)C1 ZINC001081954677 756561991 /nfs/dbraw/zinc/56/19/91/756561991.db2.gz ZPSQYSROAUVSLR-ZIAGYGMSSA-N 1 2 317.389 1.313 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001015833879 756580779 /nfs/dbraw/zinc/58/07/79/756580779.db2.gz KWLFWCAJVPPTTM-LBPRGKRZSA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001015833879 756580782 /nfs/dbraw/zinc/58/07/82/756580782.db2.gz KWLFWCAJVPPTTM-LBPRGKRZSA-N 1 2 305.769 1.286 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001082252625 756709832 /nfs/dbraw/zinc/70/98/32/756709832.db2.gz UGUPTJUFNIXDDH-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cc(C)ncn3)C2)nc1 ZINC001016027628 756731552 /nfs/dbraw/zinc/73/15/52/756731552.db2.gz RPULRAQAXITFLJ-OAHLLOKOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cc(C)ncn3)C2)nc1 ZINC001016027628 756731556 /nfs/dbraw/zinc/73/15/56/756731556.db2.gz RPULRAQAXITFLJ-OAHLLOKOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cc(C)no3)C2)cn1 ZINC001016028509 756731893 /nfs/dbraw/zinc/73/18/93/756731893.db2.gz ZCFWTJQVLBSFLL-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cc(C)no3)C2)cn1 ZINC001016028509 756731895 /nfs/dbraw/zinc/73/18/95/756731895.db2.gz ZCFWTJQVLBSFLL-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C/C=C/Cl)C[C@H]2OC)nc1 ZINC001082333597 756736095 /nfs/dbraw/zinc/73/60/95/756736095.db2.gz PSGALHFMBAAWJE-HYBGQWMJSA-N 1 2 319.792 1.244 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C/C=C/Cl)C[C@H]2OC)nc1 ZINC001082333597 756736099 /nfs/dbraw/zinc/73/60/99/756736099.db2.gz PSGALHFMBAAWJE-HYBGQWMJSA-N 1 2 319.792 1.244 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2oc(CCC)nc2C)[C@H](OC)C1 ZINC001082325977 756739097 /nfs/dbraw/zinc/73/90/97/756739097.db2.gz IVKJWIJVMOKXCM-ZIAGYGMSSA-N 1 2 319.405 1.388 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2oc(CCC)nc2C)[C@H](OC)C1 ZINC001082325977 756739101 /nfs/dbraw/zinc/73/91/01/756739101.db2.gz IVKJWIJVMOKXCM-ZIAGYGMSSA-N 1 2 319.405 1.388 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncccc2CC)[C@H](O)C1 ZINC001090373997 756822552 /nfs/dbraw/zinc/82/25/52/756822552.db2.gz KVZHBCBXFCIWEL-UONOGXRCSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncccc2CC)[C@H](O)C1 ZINC001090373997 756822557 /nfs/dbraw/zinc/82/25/57/756822557.db2.gz KVZHBCBXFCIWEL-UONOGXRCSA-N 1 2 323.824 1.562 20 30 DDEDLO COc1cc(C(F)(F)N2CC[NH2+]C[C@H]2C#N)cc(Cl)n1 ZINC001164642619 756855618 /nfs/dbraw/zinc/85/56/18/756855618.db2.gz XQFDSRJNGUCDAW-SECBINFHSA-N 1 2 302.712 1.590 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccncc2CC)[C@@H](O)C1 ZINC001090379017 756921995 /nfs/dbraw/zinc/92/19/95/756921995.db2.gz UYGJIZSVRJIUQV-CABCVRRESA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccncc2CC)[C@@H](O)C1 ZINC001090379017 756921996 /nfs/dbraw/zinc/92/19/96/756921996.db2.gz UYGJIZSVRJIUQV-CABCVRRESA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(C)CC[N@@H+]1C[C@H]2OCCN(C(=O)C(F)C(F)(F)F)[C@H]2C1 ZINC001083089463 757128448 /nfs/dbraw/zinc/12/84/48/757128448.db2.gz HKMZNARIQONVCY-QJPTWQEYSA-N 1 2 324.318 1.765 20 30 DDEDLO C=C(C)CC[N@H+]1C[C@H]2OCCN(C(=O)C(F)C(F)(F)F)[C@H]2C1 ZINC001083089463 757128453 /nfs/dbraw/zinc/12/84/53/757128453.db2.gz HKMZNARIQONVCY-QJPTWQEYSA-N 1 2 324.318 1.765 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3c(C)oc(C)c3C)[C@H]2C1 ZINC001083136648 757151391 /nfs/dbraw/zinc/15/13/91/757151391.db2.gz JJHONPFMDKJOMD-JKSUJKDBSA-N 1 2 316.401 1.753 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3c(C)oc(C)c3C)[C@H]2C1 ZINC001083136648 757151395 /nfs/dbraw/zinc/15/13/95/757151395.db2.gz JJHONPFMDKJOMD-JKSUJKDBSA-N 1 2 316.401 1.753 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3c(F)cccc3F)[C@H]2C1 ZINC001083176990 757181523 /nfs/dbraw/zinc/18/15/23/757181523.db2.gz BGDGFVSVGIBTEV-JKSUJKDBSA-N 1 2 320.339 1.052 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3c(F)cccc3F)[C@H]2C1 ZINC001083176990 757181525 /nfs/dbraw/zinc/18/15/25/757181525.db2.gz BGDGFVSVGIBTEV-JKSUJKDBSA-N 1 2 320.339 1.052 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001097486093 757204738 /nfs/dbraw/zinc/20/47/38/757204738.db2.gz WXIQSLQIJBYDQV-PBHICJAKSA-N 1 2 318.421 1.905 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001099794709 757230566 /nfs/dbraw/zinc/23/05/66/757230566.db2.gz QHHMGAVWUVYRRF-GOEBONIOSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001099794709 757230572 /nfs/dbraw/zinc/23/05/72/757230572.db2.gz QHHMGAVWUVYRRF-GOEBONIOSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3ccc(C)cc3)CCC2)[C@@H](O)C1 ZINC001084105804 757287118 /nfs/dbraw/zinc/28/71/18/757287118.db2.gz WOLXFMBOHUKOSL-SJORKVTESA-N 1 2 312.413 1.211 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3ccc(C)cc3)CCC2)[C@@H](O)C1 ZINC001084105804 757287121 /nfs/dbraw/zinc/28/71/21/757287121.db2.gz WOLXFMBOHUKOSL-SJORKVTESA-N 1 2 312.413 1.211 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001017179607 757693394 /nfs/dbraw/zinc/69/33/94/757693394.db2.gz LSSCLWBRBHAUIE-AWEZNQCLSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001017179607 757693401 /nfs/dbraw/zinc/69/34/01/757693401.db2.gz LSSCLWBRBHAUIE-AWEZNQCLSA-N 1 2 319.453 1.698 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Oc1cccc(F)c1 ZINC001017577595 758045219 /nfs/dbraw/zinc/04/52/19/758045219.db2.gz KUUGPUGOMZVPTJ-CWRNSKLLSA-N 1 2 316.376 1.901 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Oc1cccc(F)c1 ZINC001017577595 758045225 /nfs/dbraw/zinc/04/52/25/758045225.db2.gz KUUGPUGOMZVPTJ-CWRNSKLLSA-N 1 2 316.376 1.901 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2ncncc2C1 ZINC001017610240 758079421 /nfs/dbraw/zinc/07/94/21/758079421.db2.gz CMNDGSPDEHJREE-BHYGNILZSA-N 1 2 324.428 1.280 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2ncncc2C1 ZINC001017610240 758079430 /nfs/dbraw/zinc/07/94/30/758079430.db2.gz CMNDGSPDEHJREE-BHYGNILZSA-N 1 2 324.428 1.280 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCN(C)c2ccccc21 ZINC001017627927 758097656 /nfs/dbraw/zinc/09/76/56/758097656.db2.gz CHMDJUDUOJEWPY-JZXOWHBKSA-N 1 2 323.440 1.919 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCN(C)c2ccccc21 ZINC001017627927 758097666 /nfs/dbraw/zinc/09/76/66/758097666.db2.gz CHMDJUDUOJEWPY-JZXOWHBKSA-N 1 2 323.440 1.919 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCN(c2ccccc2)C1 ZINC001017651538 758120987 /nfs/dbraw/zinc/12/09/87/758120987.db2.gz KSEPCXFQIAXYGH-YTQUADARSA-N 1 2 323.440 1.821 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCN(c2ccccc2)C1 ZINC001017651538 758120994 /nfs/dbraw/zinc/12/09/94/758120994.db2.gz KSEPCXFQIAXYGH-YTQUADARSA-N 1 2 323.440 1.821 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)c1c(C)n[nH]c1C ZINC001017675240 758144813 /nfs/dbraw/zinc/14/48/13/758144813.db2.gz GDFQEWLHUSKVOO-WQVCFCJDSA-N 1 2 314.433 1.829 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)c1c(C)n[nH]c1C ZINC001017675240 758144819 /nfs/dbraw/zinc/14/48/19/758144819.db2.gz GDFQEWLHUSKVOO-WQVCFCJDSA-N 1 2 314.433 1.829 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2cccnc2n1 ZINC001017706401 758171192 /nfs/dbraw/zinc/17/11/92/758171192.db2.gz OJVIDUDBQDBRRY-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2cccnc2n1 ZINC001017706401 758171198 /nfs/dbraw/zinc/17/11/98/758171198.db2.gz OJVIDUDBQDBRRY-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)N(C)C(=O)C2 ZINC001017709762 758172592 /nfs/dbraw/zinc/17/25/92/758172592.db2.gz XAFQYUMKJSABGR-IYBDPMFKSA-N 1 2 323.396 1.128 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)N(C)C(=O)C2 ZINC001017709762 758172601 /nfs/dbraw/zinc/17/26/01/758172601.db2.gz XAFQYUMKJSABGR-IYBDPMFKSA-N 1 2 323.396 1.128 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(F)cc1)CCO2 ZINC001053125501 758182497 /nfs/dbraw/zinc/18/24/97/758182497.db2.gz APKBKPQBIXSOEW-UHFFFAOYSA-N 1 2 304.365 1.929 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067208835 758281057 /nfs/dbraw/zinc/28/10/57/758281057.db2.gz XKWFDWWAUHBYGV-RISCZKNCSA-N 1 2 310.361 1.178 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCO[C@@H]1C(C)C)CCO2 ZINC001053281992 758337149 /nfs/dbraw/zinc/33/71/49/758337149.db2.gz FGFWYGRKFPOFIK-HZPDHXFCSA-N 1 2 322.449 1.537 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCCO[C@H]1CC)CCO2 ZINC001053285347 758339532 /nfs/dbraw/zinc/33/95/32/758339532.db2.gz SFSZFMBMCUPWNM-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C(F)=C(C)C)CC2=O)C1 ZINC001108532410 762624775 /nfs/dbraw/zinc/62/47/75/762624775.db2.gz GYPCFZFHCNQMAL-LBPRGKRZSA-N 1 2 309.385 1.227 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccn2ccnc12 ZINC001018058643 758513689 /nfs/dbraw/zinc/51/36/89/758513689.db2.gz GIBILENBPVODSP-GASCZTMLSA-N 1 2 308.385 1.646 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccn2ccnc12 ZINC001018058643 758513696 /nfs/dbraw/zinc/51/36/96/758513696.db2.gz GIBILENBPVODSP-GASCZTMLSA-N 1 2 308.385 1.646 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)C[C@H]1CCCO1)CCO2 ZINC001053546183 758550822 /nfs/dbraw/zinc/55/08/22/758550822.db2.gz KNKBDUJHSRUHRY-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C[C@@H](C)CC)CC2=O)C1 ZINC001108541186 762641329 /nfs/dbraw/zinc/64/13/29/762641329.db2.gz PKUAFRVQGDDQGU-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnc(C)s1)O2 ZINC001053582960 758595380 /nfs/dbraw/zinc/59/53/80/758595380.db2.gz QLHGJJGHRAGRBU-LBPRGKRZSA-N 1 2 307.419 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccncc1C)O2 ZINC001053596196 758618048 /nfs/dbraw/zinc/61/80/48/758618048.db2.gz MRUPLGXPEHTZLI-OAHLLOKOSA-N 1 2 315.417 1.929 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1Cc3ccccc31)O2 ZINC001053656585 758669396 /nfs/dbraw/zinc/66/93/96/758669396.db2.gz XWMCAIRMRLJDSN-DOTOQJQBSA-N 1 2 312.413 1.862 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)n(C(C)(C)C)n1 ZINC001018278845 758692405 /nfs/dbraw/zinc/69/24/05/758692405.db2.gz CLTZIVAVNXJJJE-GASCZTMLSA-N 1 2 314.433 1.869 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)n(C(C)(C)C)n1 ZINC001018278845 758692414 /nfs/dbraw/zinc/69/24/14/758692414.db2.gz CLTZIVAVNXJJJE-GASCZTMLSA-N 1 2 314.433 1.869 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(C)noc1CC)O2 ZINC001053695331 758704947 /nfs/dbraw/zinc/70/49/47/758704947.db2.gz DNHDOSHMOSXHHB-ZDUSSCGKSA-N 1 2 319.405 1.695 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)C(C)(C)C)CC2)C1 ZINC001065693674 758710798 /nfs/dbraw/zinc/71/07/98/758710798.db2.gz GCXDMYKVVZHUFN-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2onc(CC)c2c1 ZINC001018310109 758719184 /nfs/dbraw/zinc/71/91/84/758719184.db2.gz FORIVJGAUTUCCE-OKILXGFUSA-N 1 2 324.384 1.707 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2onc(CC)c2c1 ZINC001018310109 758719191 /nfs/dbraw/zinc/71/91/91/758719191.db2.gz FORIVJGAUTUCCE-OKILXGFUSA-N 1 2 324.384 1.707 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccc(OCC)c1 ZINC001018315599 758723727 /nfs/dbraw/zinc/72/37/27/758723727.db2.gz BIKKGJQAPBYWSD-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccc(OCC)c1 ZINC001018315599 758723738 /nfs/dbraw/zinc/72/37/38/758723738.db2.gz BIKKGJQAPBYWSD-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO CN(C(=O)c1cccc(-n2cc[nH+]c2)c1)C1CCN(CC#N)CC1 ZINC001006232108 758740763 /nfs/dbraw/zinc/74/07/63/758740763.db2.gz HRVKEIYQWYZMRF-UHFFFAOYSA-N 1 2 323.400 1.932 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnn(CC)c1)CO2 ZINC001053763502 758782508 /nfs/dbraw/zinc/78/25/08/758782508.db2.gz RRBBPWKCERRNBR-CQSZACIVSA-N 1 2 304.394 1.052 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1cnccc1C)CO2 ZINC001053832676 758863128 /nfs/dbraw/zinc/86/31/28/758863128.db2.gz NKQQBWIMYNYKLD-INIZCTEOSA-N 1 2 315.417 1.468 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(OC)nc1)CO2 ZINC001053863435 758896383 /nfs/dbraw/zinc/89/63/83/758896383.db2.gz MOLLTJUABYVVQM-CQSZACIVSA-N 1 2 317.389 1.239 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1occ3c1CCC3)CO2 ZINC001053883647 758918044 /nfs/dbraw/zinc/91/80/44/758918044.db2.gz XYVQGPQDJNNGGL-AWEZNQCLSA-N 1 2 314.385 1.365 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C(C)C)on1)CO2 ZINC001053885017 758920044 /nfs/dbraw/zinc/92/00/44/758920044.db2.gz ZKLNBRVFROLGAN-ZDUSSCGKSA-N 1 2 317.389 1.394 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)C(F)F)C1 ZINC001108199764 758940040 /nfs/dbraw/zinc/94/00/40/758940040.db2.gz PVNQTKCVZAIVTA-HNNXBMFYSA-N 1 2 302.365 1.508 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)C(F)F)C1 ZINC001108199764 758940035 /nfs/dbraw/zinc/94/00/35/758940035.db2.gz PVNQTKCVZAIVTA-HNNXBMFYSA-N 1 2 302.365 1.508 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cccnc1OC)CO2 ZINC001053914690 758948856 /nfs/dbraw/zinc/94/88/56/758948856.db2.gz TYRUPAOCPHOGDC-ZDUSSCGKSA-N 1 2 317.389 1.239 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2COC3(C[NH+](CCCO)C3)C2)CC1 ZINC001053914942 758948969 /nfs/dbraw/zinc/94/89/69/758948969.db2.gz YYWMKTXEOFYQCM-HNNXBMFYSA-N 1 2 308.422 1.075 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)nn1CC)CO2 ZINC001053936336 758971269 /nfs/dbraw/zinc/97/12/69/758971269.db2.gz JJNOIYKXWSHIJV-AWEZNQCLSA-N 1 2 318.421 1.361 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1(F)CCCCC1)CO2 ZINC001053975154 759012886 /nfs/dbraw/zinc/01/28/86/759012886.db2.gz YXSNNQZLQLRNKF-AWEZNQCLSA-N 1 2 308.397 1.642 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1COC2(C[NH+](CC(=C)C)C2)C1 ZINC001053993535 759039192 /nfs/dbraw/zinc/03/91/92/759039192.db2.gz OEKBFYQPFXMTNR-SFHVURJKSA-N 1 2 324.424 1.746 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1[nH]cnc1CC)CO2 ZINC001053994627 759040487 /nfs/dbraw/zinc/04/04/87/759040487.db2.gz KDHTVIYTYUEBSN-GFCCVEGCSA-N 1 2 304.394 1.121 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H]1CCCOC1)CO2 ZINC001054011951 759060692 /nfs/dbraw/zinc/06/06/92/759060692.db2.gz SBJZQMGWHJBPOC-GJZGRUSLSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1occc1C[NH+](C)C)C2 ZINC001095933323 759094416 /nfs/dbraw/zinc/09/44/16/759094416.db2.gz YWTGAHALTXJDOD-ILXRZTDVSA-N 1 2 303.406 1.862 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC[C@@]2(CCN(CC#N)C2)C1 ZINC001054212533 759297462 /nfs/dbraw/zinc/29/74/62/759297462.db2.gz JBPDRYIBEXUAGY-YOEHRIQHSA-N 1 2 315.421 1.426 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC[C@@]2(CCN(CC#N)C2)C1 ZINC001054212533 759297467 /nfs/dbraw/zinc/29/74/67/759297467.db2.gz JBPDRYIBEXUAGY-YOEHRIQHSA-N 1 2 315.421 1.426 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3snnc3C)cc2C1 ZINC001054255078 759350659 /nfs/dbraw/zinc/35/06/59/759350659.db2.gz WXWIKYIOYNKBFO-UHFFFAOYSA-N 1 2 312.398 1.725 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3snnc3C)cc2C1 ZINC001054255078 759350672 /nfs/dbraw/zinc/35/06/72/759350672.db2.gz WXWIKYIOYNKBFO-UHFFFAOYSA-N 1 2 312.398 1.725 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)Cc1c[nH+]cn1C ZINC001069122056 767861263 /nfs/dbraw/zinc/86/12/63/767861263.db2.gz MZKKXEXZTUUZEG-JSGCOSHPSA-N 1 2 324.388 1.331 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1cocn1 ZINC001085516628 759607792 /nfs/dbraw/zinc/60/77/92/759607792.db2.gz ZKCHFELKMOAAAY-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1cocn1 ZINC001085516628 759607798 /nfs/dbraw/zinc/60/77/98/759607798.db2.gz ZKCHFELKMOAAAY-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1CNc1ccc(C#N)nc1 ZINC001054574185 759833313 /nfs/dbraw/zinc/83/33/13/759833313.db2.gz VUYGVUFCAUPCBQ-CHWSQXEVSA-N 1 2 324.388 1.425 20 30 DDEDLO C#CCCN1CC[C@@H]1CN(C)C(=O)c1sccc1C[NH+](C)C ZINC001085622853 759866051 /nfs/dbraw/zinc/86/60/51/759866051.db2.gz FYNPQTVDMQRASI-OAHLLOKOSA-N 1 2 319.474 1.979 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(OC)ccnc1OC ZINC001085717559 760100535 /nfs/dbraw/zinc/10/05/35/760100535.db2.gz QORZHIKHBQHPNS-CYBMUJFWSA-N 1 2 317.389 1.268 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(OC)ccnc1OC ZINC001085717559 760100541 /nfs/dbraw/zinc/10/05/41/760100541.db2.gz QORZHIKHBQHPNS-CYBMUJFWSA-N 1 2 317.389 1.268 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2C[C@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001069214065 767920172 /nfs/dbraw/zinc/92/01/72/767920172.db2.gz HRXQDDIXRRKDEV-SWLSCSKDSA-N 1 2 324.388 1.629 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1noc2c1CC(C)(C)CC2 ZINC001085740353 760138645 /nfs/dbraw/zinc/13/86/45/760138645.db2.gz OXKBVIVDWMSYSY-CYBMUJFWSA-N 1 2 315.417 1.969 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1noc2c1CC(C)(C)CC2 ZINC001085740353 760138647 /nfs/dbraw/zinc/13/86/47/760138647.db2.gz OXKBVIVDWMSYSY-CYBMUJFWSA-N 1 2 315.417 1.969 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@@H](CC)C(N)=O)cc1 ZINC001085757551 760178224 /nfs/dbraw/zinc/17/82/24/760178224.db2.gz KGPQMNPQWSNBBI-HOTGVXAUSA-N 1 2 313.401 1.078 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@@H](CC)C(N)=O)cc1 ZINC001085757551 760178226 /nfs/dbraw/zinc/17/82/26/760178226.db2.gz KGPQMNPQWSNBBI-HOTGVXAUSA-N 1 2 313.401 1.078 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C[C@@H]1NCC#N ZINC001054941646 760209786 /nfs/dbraw/zinc/20/97/86/760209786.db2.gz NSIISRLHNHTZFD-CJNGLKHVSA-N 1 2 309.373 1.446 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2ccccn2)c1 ZINC001085809387 760277396 /nfs/dbraw/zinc/27/73/96/760277396.db2.gz CGSNUZSQVAUURB-SFHVURJKSA-N 1 2 320.396 1.804 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2ccccn2)c1 ZINC001085809387 760277405 /nfs/dbraw/zinc/27/74/05/760277405.db2.gz CGSNUZSQVAUURB-SFHVURJKSA-N 1 2 320.396 1.804 20 30 DDEDLO Cc1nsc(N[C@@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)c1C#N ZINC001097975003 760323924 /nfs/dbraw/zinc/32/39/24/760323924.db2.gz GHMIEECDRHUWJF-QMMMGPOBSA-N 1 2 318.406 1.514 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nn(C)c(C)c1Cl ZINC001085848715 760353390 /nfs/dbraw/zinc/35/33/90/760353390.db2.gz FLXUMWXXUPXRSK-LBPRGKRZSA-N 1 2 308.813 1.552 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nn(C)c(C)c1Cl ZINC001085848715 760353397 /nfs/dbraw/zinc/35/33/97/760353397.db2.gz FLXUMWXXUPXRSK-LBPRGKRZSA-N 1 2 308.813 1.552 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001085850019 760372711 /nfs/dbraw/zinc/37/27/11/760372711.db2.gz MIRLQGVLCKTRPQ-GOEBONIOSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001085850019 760372721 /nfs/dbraw/zinc/37/27/21/760372721.db2.gz MIRLQGVLCKTRPQ-GOEBONIOSA-N 1 2 302.349 1.295 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccn(C)c2CC)C1 ZINC001108216218 760411533 /nfs/dbraw/zinc/41/15/33/760411533.db2.gz UPZIQMAZDLPCCM-SFHVURJKSA-N 1 2 317.433 1.432 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccn(C)c2CC)C1 ZINC001108216218 760411540 /nfs/dbraw/zinc/41/15/40/760411540.db2.gz UPZIQMAZDLPCCM-SFHVURJKSA-N 1 2 317.433 1.432 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH+]cn1C)Nc1ccc(C#N)nc1 ZINC001097985408 760466473 /nfs/dbraw/zinc/46/64/73/760466473.db2.gz OJMYWIMXUNOMCR-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001046826574 767956592 /nfs/dbraw/zinc/95/65/92/767956592.db2.gz CWBWJLVYVMHWAF-OAHLLOKOSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001046826574 767956594 /nfs/dbraw/zinc/95/65/94/767956594.db2.gz CWBWJLVYVMHWAF-OAHLLOKOSA-N 1 2 319.796 1.905 20 30 DDEDLO C#CCOc1ncccc1C(=O)N(C)C[C@H]1CC[N@H+]1CC#CC ZINC001085932927 760562815 /nfs/dbraw/zinc/56/28/15/760562815.db2.gz LXBROKDQBDLBPR-OAHLLOKOSA-N 1 2 311.385 1.263 20 30 DDEDLO C#CCOc1ncccc1C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CC ZINC001085932927 760562818 /nfs/dbraw/zinc/56/28/18/760562818.db2.gz LXBROKDQBDLBPR-OAHLLOKOSA-N 1 2 311.385 1.263 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OCC2CC2)nc1 ZINC001085984831 760663591 /nfs/dbraw/zinc/66/35/91/760663591.db2.gz NZNNASJOJUANFJ-INIZCTEOSA-N 1 2 313.401 1.650 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OCC2CC2)nc1 ZINC001085984831 760663597 /nfs/dbraw/zinc/66/35/97/760663597.db2.gz NZNNASJOJUANFJ-INIZCTEOSA-N 1 2 313.401 1.650 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)ncn1 ZINC001038170800 760883272 /nfs/dbraw/zinc/88/32/72/760883272.db2.gz VNAWTGIYKMRWJL-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)ncn1 ZINC001038170800 760883285 /nfs/dbraw/zinc/88/32/85/760883285.db2.gz VNAWTGIYKMRWJL-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)c1cn[nH]c(=O)c1 ZINC001038376267 761061462 /nfs/dbraw/zinc/06/14/62/761061462.db2.gz BPOFHFKFWYDREG-INIZCTEOSA-N 1 2 322.368 1.038 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)c1cn[nH]c(=O)c1 ZINC001038376267 761061469 /nfs/dbraw/zinc/06/14/69/761061469.db2.gz BPOFHFKFWYDREG-INIZCTEOSA-N 1 2 322.368 1.038 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)n([C@@H](C)CC)n1 ZINC001038443741 761122332 /nfs/dbraw/zinc/12/23/32/761122332.db2.gz WRBDIEORWIZENN-DZGCQCFKSA-N 1 2 302.422 1.990 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)n([C@@H](C)CC)n1 ZINC001038443741 761122337 /nfs/dbraw/zinc/12/23/37/761122337.db2.gz WRBDIEORWIZENN-DZGCQCFKSA-N 1 2 302.422 1.990 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn2cccc(Cl)c12 ZINC001038490974 761156698 /nfs/dbraw/zinc/15/66/98/761156698.db2.gz ZOTVITCPEFQGBX-LLVKDONJSA-N 1 2 302.765 1.425 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn2cccc(Cl)c12 ZINC001038490974 761156703 /nfs/dbraw/zinc/15/67/03/761156703.db2.gz ZOTVITCPEFQGBX-LLVKDONJSA-N 1 2 302.765 1.425 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1occc1-c1cnn(C)c1 ZINC001038631825 761241289 /nfs/dbraw/zinc/24/12/89/761241289.db2.gz MPNMTVFLOLOYPK-AWEZNQCLSA-N 1 2 312.373 1.508 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1occc1-c1cnn(C)c1 ZINC001038631825 761241290 /nfs/dbraw/zinc/24/12/90/761241290.db2.gz MPNMTVFLOLOYPK-AWEZNQCLSA-N 1 2 312.373 1.508 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2[C@H](C)C(=O)NC2CC2)CC1 ZINC001038666341 761266279 /nfs/dbraw/zinc/26/62/79/761266279.db2.gz HYRSGYRGEDHIPQ-CJNGLKHVSA-N 1 2 319.449 1.590 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2[C@H](C)C(=O)NC2CC2)CC1 ZINC001038666341 761266285 /nfs/dbraw/zinc/26/62/85/761266285.db2.gz HYRSGYRGEDHIPQ-CJNGLKHVSA-N 1 2 319.449 1.590 20 30 DDEDLO Cc1nc(NC[C@H]2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001069452884 768027156 /nfs/dbraw/zinc/02/71/56/768027156.db2.gz BLBPERDKYMHPIU-GXTWGEPZSA-N 1 2 324.388 1.995 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(F)cccc1NC(C)=O ZINC001038780728 761404043 /nfs/dbraw/zinc/40/40/43/761404043.db2.gz NATRBLKATDASCD-ZDUSSCGKSA-N 1 2 317.364 1.612 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(F)cccc1NC(C)=O ZINC001038780728 761404047 /nfs/dbraw/zinc/40/40/47/761404047.db2.gz NATRBLKATDASCD-ZDUSSCGKSA-N 1 2 317.364 1.612 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(F)cccc1NC(C)=O ZINC001038783448 761406324 /nfs/dbraw/zinc/40/63/24/761406324.db2.gz XSRJABNFRFGWNI-GFCCVEGCSA-N 1 2 303.337 1.221 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(F)cccc1NC(C)=O ZINC001038783448 761406327 /nfs/dbraw/zinc/40/63/27/761406327.db2.gz XSRJABNFRFGWNI-GFCCVEGCSA-N 1 2 303.337 1.221 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1c(Cl)nn(C)c1Cl ZINC001038796383 761415420 /nfs/dbraw/zinc/41/54/20/761415420.db2.gz GBCROSLZOCPUBT-QMMMGPOBSA-N 1 2 301.177 1.164 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(Cl)nn(C)c1Cl ZINC001038796383 761415425 /nfs/dbraw/zinc/41/54/25/761415425.db2.gz GBCROSLZOCPUBT-QMMMGPOBSA-N 1 2 301.177 1.164 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CCN1Cc1c[nH+]cn1C ZINC001038807770 761426026 /nfs/dbraw/zinc/42/60/26/761426026.db2.gz MKAQCACDJFYDIL-BPUTZDHNSA-N 1 2 318.421 1.092 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@@H](Nc2ccc(C#N)cn2)C1)n1cc[nH+]c1 ZINC001056792884 761433614 /nfs/dbraw/zinc/43/36/14/761433614.db2.gz LAIUFTQOZSCIGS-DZGCQCFKSA-N 1 2 324.388 1.814 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn2cccc(OC)c12 ZINC001038829440 761445212 /nfs/dbraw/zinc/44/52/12/761445212.db2.gz MHVSTLOFABOOLT-CYBMUJFWSA-N 1 2 312.373 1.170 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn2cccc(OC)c12 ZINC001038829440 761445217 /nfs/dbraw/zinc/44/52/17/761445217.db2.gz MHVSTLOFABOOLT-CYBMUJFWSA-N 1 2 312.373 1.170 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001038859321 761483981 /nfs/dbraw/zinc/48/39/81/761483981.db2.gz DGFDFOKHDQKVAG-STQMWFEESA-N 1 2 300.406 1.362 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001038859321 761483986 /nfs/dbraw/zinc/48/39/86/761483986.db2.gz DGFDFOKHDQKVAG-STQMWFEESA-N 1 2 300.406 1.362 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(CCC(C)C)c1C ZINC001038894515 761518749 /nfs/dbraw/zinc/51/87/49/761518749.db2.gz DSIKRLFPUFIOAJ-HNNXBMFYSA-N 1 2 302.422 1.675 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(CCC(C)C)c1C ZINC001038894515 761518753 /nfs/dbraw/zinc/51/87/53/761518753.db2.gz DSIKRLFPUFIOAJ-HNNXBMFYSA-N 1 2 302.422 1.675 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(OC)c(OCC)c1 ZINC001038999902 761630687 /nfs/dbraw/zinc/63/06/87/761630687.db2.gz OIFNQAXKIAQZEL-HNNXBMFYSA-N 1 2 316.401 1.921 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(OC)c(OCC)c1 ZINC001038999902 761630690 /nfs/dbraw/zinc/63/06/90/761630690.db2.gz OIFNQAXKIAQZEL-HNNXBMFYSA-N 1 2 316.401 1.921 20 30 DDEDLO Cc1nccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)n1 ZINC001039032077 761671659 /nfs/dbraw/zinc/67/16/59/761671659.db2.gz IVXSEYNSVGJOIN-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nccc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)n1 ZINC001039032077 761671664 /nfs/dbraw/zinc/67/16/64/761671664.db2.gz IVXSEYNSVGJOIN-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3(CCC)CCC3)CC2=O)C1 ZINC001108566063 762707411 /nfs/dbraw/zinc/70/74/11/762707411.db2.gz DBUIVUUIYRTPQP-AWEZNQCLSA-N 1 2 319.449 1.544 20 30 DDEDLO N#Cc1cccnc1N1CCCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001057133685 762712477 /nfs/dbraw/zinc/71/24/77/762712477.db2.gz PQILECQIRBARMQ-UHFFFAOYSA-N 1 2 324.388 1.348 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001108582628 762748054 /nfs/dbraw/zinc/74/80/54/762748054.db2.gz FVNMDHDYRNUWBP-GXTWGEPZSA-N 1 2 324.388 1.329 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CC(CC)CC)CC2=O)C1 ZINC001108583626 762750426 /nfs/dbraw/zinc/75/04/26/762750426.db2.gz OIHJKLXZYCOKBV-OAHLLOKOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C[C@H](C)C3CC3)CC2=O)C1 ZINC001108594225 762768246 /nfs/dbraw/zinc/76/82/46/762768246.db2.gz MNTAEALMTINLHW-DZGCQCFKSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2ccc(=O)[nH]c2)CC1 ZINC001001428372 762854348 /nfs/dbraw/zinc/85/43/48/762854348.db2.gz VNYFAQPGOBXDAB-UHFFFAOYSA-N 1 2 307.781 1.902 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2ccc(=O)[nH]c2)CC1 ZINC001001428372 762854355 /nfs/dbraw/zinc/85/43/55/762854355.db2.gz VNYFAQPGOBXDAB-UHFFFAOYSA-N 1 2 307.781 1.902 20 30 DDEDLO N#CCN[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)CCCn1cc[nH+]c1)C2 ZINC001108836443 762991651 /nfs/dbraw/zinc/99/16/51/762991651.db2.gz UMWTYPRQCXRAGV-KKUMJFAQSA-N 1 2 301.394 1.156 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCCN(C(=O)[C@@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001050048791 763063059 /nfs/dbraw/zinc/06/30/59/763063059.db2.gz MBDVWCFADALYJW-JJRVBVJISA-N 1 2 312.417 1.386 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCCN(C(=O)[C@@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001050048791 763063061 /nfs/dbraw/zinc/06/30/61/763063061.db2.gz MBDVWCFADALYJW-JJRVBVJISA-N 1 2 312.417 1.386 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CCC[C@H]2CN(CC#N)C[C@H]21 ZINC001050074811 763095587 /nfs/dbraw/zinc/09/55/87/763095587.db2.gz PTYOFWHDDUIPOM-DZGCQCFKSA-N 1 2 314.389 1.079 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@H]2CCCO2)C1 ZINC001046913678 768108200 /nfs/dbraw/zinc/10/82/00/768108200.db2.gz ATKLLAJJGRYTKD-NWDGAFQWSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@H]2CCCO2)C1 ZINC001046913678 768108207 /nfs/dbraw/zinc/10/82/07/768108207.db2.gz ATKLLAJJGRYTKD-NWDGAFQWSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001109159451 763420248 /nfs/dbraw/zinc/42/02/48/763420248.db2.gz AJEAJWSHGJSLLQ-DYVFJYSZSA-N 1 2 320.437 1.955 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccncn1)C2 ZINC001109355301 763596664 /nfs/dbraw/zinc/59/66/64/763596664.db2.gz VRUMEAIEJYMEER-ILXRZTDVSA-N 1 2 320.824 1.883 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccncn1)C2 ZINC001109355301 763596670 /nfs/dbraw/zinc/59/66/70/763596670.db2.gz VRUMEAIEJYMEER-ILXRZTDVSA-N 1 2 320.824 1.883 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@H+](Cc3nccs3)[C@H]2C1 ZINC001042056986 763600885 /nfs/dbraw/zinc/60/08/85/763600885.db2.gz DOYMXRPQYYWUCS-CABCVRRESA-N 1 2 315.442 1.979 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@@H+](Cc3nccs3)[C@H]2C1 ZINC001042056986 763600886 /nfs/dbraw/zinc/60/08/86/763600886.db2.gz DOYMXRPQYYWUCS-CABCVRRESA-N 1 2 315.442 1.979 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)c3csnn3)C[C@@H]21 ZINC001042164923 763731972 /nfs/dbraw/zinc/73/19/72/763731972.db2.gz ALZWMOCUJPQIBY-PWSUYJOCSA-N 1 2 312.826 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)c3csnn3)C[C@@H]21 ZINC001042164923 763731978 /nfs/dbraw/zinc/73/19/78/763731978.db2.gz ALZWMOCUJPQIBY-PWSUYJOCSA-N 1 2 312.826 1.827 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001050588070 763791061 /nfs/dbraw/zinc/79/10/61/763791061.db2.gz PRVMDQOZYUJCBY-UONOGXRCSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CCCNc1cc[nH+]c(C)n1 ZINC001109621472 763874105 /nfs/dbraw/zinc/87/41/05/763874105.db2.gz YBADUDVSUIUPDE-KGLIPLIRSA-N 1 2 304.394 1.637 20 30 DDEDLO N#Cc1cccnc1NCC1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001057511542 763881938 /nfs/dbraw/zinc/88/19/38/763881938.db2.gz JBZHWGNKHUKAFU-UHFFFAOYSA-N 1 2 324.388 1.570 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3[nH]nc4ccccc43)C[C@@H]21 ZINC001042338806 763938794 /nfs/dbraw/zinc/93/87/94/763938794.db2.gz MEZZHBZRJPMUDR-CJNGLKHVSA-N 1 2 308.385 1.733 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3[nH]nc4ccccc43)C[C@@H]21 ZINC001042338806 763938799 /nfs/dbraw/zinc/93/87/99/763938799.db2.gz MEZZHBZRJPMUDR-CJNGLKHVSA-N 1 2 308.385 1.733 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@H]21 ZINC001042350688 763951304 /nfs/dbraw/zinc/95/13/04/763951304.db2.gz JGSOPRNTCWZMEU-MLGOLLRUSA-N 1 2 311.389 1.680 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@H]21 ZINC001042350688 763951310 /nfs/dbraw/zinc/95/13/10/763951310.db2.gz JGSOPRNTCWZMEU-MLGOLLRUSA-N 1 2 311.389 1.680 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C3CCOCC3)c2C1 ZINC001069849616 768177493 /nfs/dbraw/zinc/17/74/93/768177493.db2.gz DUGXDMPGJWDCSW-UHFFFAOYSA-N 1 2 318.421 1.318 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C3CCOCC3)c2C1 ZINC001069849616 768177496 /nfs/dbraw/zinc/17/74/96/768177496.db2.gz DUGXDMPGJWDCSW-UHFFFAOYSA-N 1 2 318.421 1.318 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3CCCO3)c2C1 ZINC001069850935 768178622 /nfs/dbraw/zinc/17/86/22/768178622.db2.gz MLXVEHFSYJNKBT-HNNXBMFYSA-N 1 2 304.394 1.070 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3CCCO3)c2C1 ZINC001069850935 768178629 /nfs/dbraw/zinc/17/86/29/768178629.db2.gz MLXVEHFSYJNKBT-HNNXBMFYSA-N 1 2 304.394 1.070 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nnc1C ZINC001050938706 764307151 /nfs/dbraw/zinc/30/71/51/764307151.db2.gz VSHJDUARNYTDDR-HNNXBMFYSA-N 1 2 318.421 1.490 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nnc1C ZINC001050938706 764307159 /nfs/dbraw/zinc/30/71/59/764307159.db2.gz VSHJDUARNYTDDR-HNNXBMFYSA-N 1 2 318.421 1.490 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c[nH]cc2-c2cnn(C)c2)C1 ZINC001042714284 764380810 /nfs/dbraw/zinc/38/08/10/764380810.db2.gz NAVNIHXZJDXYDR-UHFFFAOYSA-N 1 2 313.405 1.748 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc3c2OCCO3)C1 ZINC001042845657 764480028 /nfs/dbraw/zinc/48/00/28/764480028.db2.gz CCKLIRDEXYATEH-UHFFFAOYSA-N 1 2 302.374 1.790 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc(C)oc2C)[C@@H](n2ccnn2)C1 ZINC001069895503 768217816 /nfs/dbraw/zinc/21/78/16/768217816.db2.gz XZQOSUMBXNXUOU-CABCVRRESA-N 1 2 315.377 1.329 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)oc2C)[C@@H](n2ccnn2)C1 ZINC001069895503 768217819 /nfs/dbraw/zinc/21/78/19/768217819.db2.gz XZQOSUMBXNXUOU-CABCVRRESA-N 1 2 315.377 1.329 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2COCC[N@H+]2C[C@@H](CC)OC)CC1 ZINC001051098321 764503274 /nfs/dbraw/zinc/50/32/74/764503274.db2.gz DMXIYZKLSCBLDR-IAGOWNOFSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2COCC[N@@H+]2C[C@@H](CC)OC)CC1 ZINC001051098321 764503278 /nfs/dbraw/zinc/50/32/78/764503278.db2.gz DMXIYZKLSCBLDR-IAGOWNOFSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2COCC[N@H+]2C[C@@H](C)OC)CC1 ZINC001051100167 764505400 /nfs/dbraw/zinc/50/54/00/764505400.db2.gz QERLYBYZFKKBMI-ZBFHGGJFSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2COCC[N@@H+]2C[C@@H](C)OC)CC1 ZINC001051100167 764505404 /nfs/dbraw/zinc/50/54/04/764505404.db2.gz QERLYBYZFKKBMI-ZBFHGGJFSA-N 1 2 310.438 1.585 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2COCC[N@@H+]2CCC2CC2)c1 ZINC001051106515 764509950 /nfs/dbraw/zinc/50/99/50/764509950.db2.gz MXKWXQWTKCZFKF-QGZVFWFLSA-N 1 2 313.401 1.294 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2COCC[N@H+]2CCC2CC2)c1 ZINC001051106515 764509955 /nfs/dbraw/zinc/50/99/55/764509955.db2.gz MXKWXQWTKCZFKF-QGZVFWFLSA-N 1 2 313.401 1.294 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C2CC2)no1 ZINC001051143338 764546851 /nfs/dbraw/zinc/54/68/51/764546851.db2.gz FQMXCLSFIAYVNH-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C2CC2)no1 ZINC001051143338 764546854 /nfs/dbraw/zinc/54/68/54/764546854.db2.gz FQMXCLSFIAYVNH-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1nc(C)ncc1Cl ZINC001051149246 764554648 /nfs/dbraw/zinc/55/46/48/764554648.db2.gz FFPREOLWRIKOKI-LBPRGKRZSA-N 1 2 324.812 1.445 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nc(C)ncc1Cl ZINC001051149246 764554653 /nfs/dbraw/zinc/55/46/53/764554653.db2.gz FFPREOLWRIKOKI-LBPRGKRZSA-N 1 2 324.812 1.445 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(C(C)C)nn1 ZINC001051184317 764591859 /nfs/dbraw/zinc/59/18/59/764591859.db2.gz BWEZWTHTJXHFDM-AWEZNQCLSA-N 1 2 321.425 1.256 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(C(C)C)nn1 ZINC001051184317 764591864 /nfs/dbraw/zinc/59/18/64/764591864.db2.gz BWEZWTHTJXHFDM-AWEZNQCLSA-N 1 2 321.425 1.256 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1conc1COC ZINC001051205044 764618306 /nfs/dbraw/zinc/61/83/06/764618306.db2.gz UJQGIOODEFAUNX-CYBMUJFWSA-N 1 2 323.393 1.218 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1conc1COC ZINC001051205044 764618311 /nfs/dbraw/zinc/61/83/11/764618311.db2.gz UJQGIOODEFAUNX-CYBMUJFWSA-N 1 2 323.393 1.218 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CCC2CC2)nc1 ZINC001051212213 764625994 /nfs/dbraw/zinc/62/59/94/764625994.db2.gz ABYMWTQUFQYTIP-MRXNPFEDSA-N 1 2 313.401 1.294 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CCC2CC2)nc1 ZINC001051212213 764626001 /nfs/dbraw/zinc/62/60/01/764626001.db2.gz ABYMWTQUFQYTIP-MRXNPFEDSA-N 1 2 313.401 1.294 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncccc1OCC ZINC001051276822 764700500 /nfs/dbraw/zinc/70/05/00/764700500.db2.gz JXYLXCBMRZJLBG-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncccc1OCC ZINC001051276822 764700510 /nfs/dbraw/zinc/70/05/10/764700510.db2.gz JXYLXCBMRZJLBG-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([C@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001051325358 764748059 /nfs/dbraw/zinc/74/80/59/764748059.db2.gz FYAJNWNKMRXFOU-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCCc2nc(C)no2)CC1 ZINC001112809021 764795306 /nfs/dbraw/zinc/79/53/06/764795306.db2.gz WXWQZTXKCAKNOO-UHFFFAOYSA-N 1 2 304.394 1.258 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](CCCCC(F)(F)F)CC1 ZINC001112846306 764860760 /nfs/dbraw/zinc/86/07/60/764860760.db2.gz KVGLTWFUKLIOEK-ZDUSSCGKSA-N 1 2 320.355 1.902 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[NH+](CCOCC2CC2)CC1 ZINC001112864376 764892594 /nfs/dbraw/zinc/89/25/94/764892594.db2.gz HUXFRMWUOUWGLB-SJORKVTESA-N 1 2 322.449 1.538 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051460942 764905139 /nfs/dbraw/zinc/90/51/39/764905139.db2.gz XASCJGYSDSIGEV-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051460941 764905307 /nfs/dbraw/zinc/90/53/07/764905307.db2.gz XASCJGYSDSIGEV-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(-n3cccn3)nc2)C1 ZINC001043501039 764943780 /nfs/dbraw/zinc/94/37/80/764943780.db2.gz IMCTWBLZESYDTD-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@@H](C)Nc1ccc(C#N)cn1 ZINC001112993510 765103216 /nfs/dbraw/zinc/10/32/16/765103216.db2.gz IUMUSTNFVHGSJN-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)CN2c3ccccc3CC2=O)C1 ZINC001043975638 765198472 /nfs/dbraw/zinc/19/84/72/765198472.db2.gz SGSSBMWDNAHOCU-UHFFFAOYSA-N 1 2 313.401 1.294 20 30 DDEDLO Cc1nc(N[C@H](C)[C@H](C)NC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001113083386 765238871 /nfs/dbraw/zinc/23/88/71/765238871.db2.gz ZAUNTHKBGDIMDZ-NEPJUHHUSA-N 1 2 312.377 1.464 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc3c([nH]c2=O)CCCC3)C1 ZINC001044078737 765279510 /nfs/dbraw/zinc/27/95/10/765279510.db2.gz ILLPACACEZBHTH-UHFFFAOYSA-N 1 2 315.417 1.998 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)C=C(C)C)C2)CC1 ZINC001051970675 765328473 /nfs/dbraw/zinc/32/84/73/765328473.db2.gz KMHOPPIDSWTURC-OAHLLOKOSA-N 1 2 311.857 1.924 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccccc3)C2)CC1 ZINC001051973552 765333286 /nfs/dbraw/zinc/33/32/86/765333286.db2.gz YQOPSDVYXUMZHB-SFHVURJKSA-N 1 2 311.429 1.542 20 30 DDEDLO CC#CCN1CC(N(C)C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001044133764 765333747 /nfs/dbraw/zinc/33/37/47/765333747.db2.gz HQTGJPTZIMZIDE-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)/C=C(\C)C3CC3)C2)CC1 ZINC001051992624 765358816 /nfs/dbraw/zinc/35/88/16/765358816.db2.gz DPSBXOAVSMTNGN-QRURKXGXSA-N 1 2 315.461 1.585 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)CCC3CC3)C2)CC1 ZINC001052002198 765367730 /nfs/dbraw/zinc/36/77/30/765367730.db2.gz FIKYRULWTQNSIF-QGZVFWFLSA-N 1 2 303.450 1.418 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H](C)C3CCC3)C2)CC1 ZINC001052042811 765415670 /nfs/dbraw/zinc/41/56/70/765415670.db2.gz GWYGQAQNXBWGGL-NVXWUHKLSA-N 1 2 303.450 1.274 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ncccc3F)C2)CC1 ZINC001052101541 765472010 /nfs/dbraw/zinc/47/20/10/765472010.db2.gz QOVBSFUVYQDOIY-AWEZNQCLSA-N 1 2 318.396 1.239 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccc(C)cc3)C2)CC1 ZINC001052112202 765479778 /nfs/dbraw/zinc/47/97/78/765479778.db2.gz PALXCZAGOJMLQA-GOSISDBHSA-N 1 2 311.429 1.460 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](CCn3cccn3)CC2)CCC1 ZINC001113310547 765550993 /nfs/dbraw/zinc/55/09/93/765550993.db2.gz JPUMGXYPFHWTAK-UHFFFAOYSA-N 1 2 302.422 1.774 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@@H](C)OCc2ccncc2)CC1 ZINC001113360004 765610629 /nfs/dbraw/zinc/61/06/29/765610629.db2.gz NMFNDGHRJQICJC-OAHLLOKOSA-N 1 2 303.406 1.707 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3cnon3)CC2)[nH]1 ZINC001052319612 765714705 /nfs/dbraw/zinc/71/47/05/765714705.db2.gz GQNSSWFJXFPYAC-NSHDSACASA-N 1 2 314.349 1.054 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3cnon3)CC2)[nH]1 ZINC001052319612 765714706 /nfs/dbraw/zinc/71/47/06/765714706.db2.gz GQNSSWFJXFPYAC-NSHDSACASA-N 1 2 314.349 1.054 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113541163 765840278 /nfs/dbraw/zinc/84/02/78/765840278.db2.gz MFTTWCNBFVGQQZ-LLVKDONJSA-N 1 2 306.410 1.545 20 30 DDEDLO Cc1nc(N2CCC(N(C)C(=O)c3cc(C#N)c[nH]3)CC2)cc[nH+]1 ZINC001058044396 766195224 /nfs/dbraw/zinc/19/52/24/766195224.db2.gz KRCKEEGANKUFKX-UHFFFAOYSA-N 1 2 324.388 1.726 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCn2c[nH+]cc2C1)Nc1ccc(C#N)cn1 ZINC001098116383 768361415 /nfs/dbraw/zinc/36/14/15/768361415.db2.gz XQKWMBGHVXBQCX-JSGCOSHPSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)N(C)c1ccc(C#N)nc1 ZINC001113957467 766446325 /nfs/dbraw/zinc/44/63/25/766446325.db2.gz APGBNKNLPURAGH-KCPJHIHWSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)N(C)c1ccc(C#N)nc1 ZINC001113957467 766446327 /nfs/dbraw/zinc/44/63/27/766446327.db2.gz APGBNKNLPURAGH-KCPJHIHWSA-N 1 2 324.388 1.421 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCN(c3cc[nH+]c(C)n3)C2)nc1 ZINC001058407844 766497982 /nfs/dbraw/zinc/49/79/82/766497982.db2.gz WNNMPZAXZRCNHA-CQSZACIVSA-N 1 2 307.357 1.170 20 30 DDEDLO CC(C)(C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#N)c1c[nH+]c[nH]1 ZINC001045879106 766661641 /nfs/dbraw/zinc/66/16/41/766661641.db2.gz ZEFACEOMDPCWCO-OLZOCXBDSA-N 1 2 301.394 1.276 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067900634 766792047 /nfs/dbraw/zinc/79/20/47/766792047.db2.gz SDPMMEAUVYWROK-UHFFFAOYSA-N 1 2 306.410 1.471 20 30 DDEDLO Cc1nc(N2CC[C@H](C)[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001067942470 766828120 /nfs/dbraw/zinc/82/81/20/766828120.db2.gz TYFPIWOMKFWWMT-XHDPSFHLSA-N 1 2 324.388 1.630 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)[C@@H]3CCCC34CC4)CC2)C1 ZINC001046038021 766843325 /nfs/dbraw/zinc/84/33/25/766843325.db2.gz GAHOAEGPQFIMOQ-HOTGVXAUSA-N 1 2 316.449 1.309 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001068092430 766898417 /nfs/dbraw/zinc/89/84/17/766898417.db2.gz VRNZCKCNTBEAOY-QGZVFWFLSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001046317498 767415393 /nfs/dbraw/zinc/41/53/93/767415393.db2.gz HFTWVRIOVASVON-YOEHRIQHSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001046317498 767415395 /nfs/dbraw/zinc/41/53/95/767415395.db2.gz HFTWVRIOVASVON-YOEHRIQHSA-N 1 2 302.422 1.986 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](Nc2ccc(C#N)nc2)[C@@H]1C ZINC001068871786 767671591 /nfs/dbraw/zinc/67/15/91/767671591.db2.gz AIJCPKZPUQLXJN-SWLSCSKDSA-N 1 2 324.388 1.629 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069079764 767830533 /nfs/dbraw/zinc/83/05/33/767830533.db2.gz QJVQPHCATZFOIQ-TZMCWYRMSA-N 1 2 324.388 1.710 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096186420 768483969 /nfs/dbraw/zinc/48/39/69/768483969.db2.gz WHFZBYBVNYMZTA-OCCSQVGLSA-N 1 2 304.394 1.461 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001131947526 768506195 /nfs/dbraw/zinc/50/61/95/768506195.db2.gz VMIIDWSVEWPAOE-KGLIPLIRSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001131947526 768506198 /nfs/dbraw/zinc/50/61/98/768506198.db2.gz VMIIDWSVEWPAOE-KGLIPLIRSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2coc(C)c2)CC[C@H]1C ZINC001131985192 768538988 /nfs/dbraw/zinc/53/89/88/768538988.db2.gz UWEXDUNVMZMYEH-IUODEOHRSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2coc(C)c2)CC[C@H]1C ZINC001131985192 768538991 /nfs/dbraw/zinc/53/89/91/768538991.db2.gz UWEXDUNVMZMYEH-IUODEOHRSA-N 1 2 319.405 1.473 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001096218845 768589146 /nfs/dbraw/zinc/58/91/46/768589146.db2.gz ONXUQYJSVHDJKD-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001070637511 768636720 /nfs/dbraw/zinc/63/67/20/768636720.db2.gz QTLPWSSZVRVKIR-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001070703330 768682692 /nfs/dbraw/zinc/68/26/92/768682692.db2.gz OWYZRSIMQOIVDA-CQSZACIVSA-N 1 2 316.405 1.109 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C2(C)CCCC2)C[N@@H+]1CC(=O)NCC#N ZINC001132199592 768683711 /nfs/dbraw/zinc/68/37/11/768683711.db2.gz KEEIVIOQIBHVPH-KGLIPLIRSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C2(C)CCCC2)C[N@H+]1CC(=O)NCC#N ZINC001132199592 768683713 /nfs/dbraw/zinc/68/37/13/768683713.db2.gz KEEIVIOQIBHVPH-KGLIPLIRSA-N 1 2 320.437 1.176 20 30 DDEDLO C#CCC[N@@H+]1CCNC(=O)CCN(C(=O)c2ccsc2)CC1 ZINC001070936789 768796949 /nfs/dbraw/zinc/79/69/49/768796949.db2.gz TVNBUSQCEXYXTJ-UHFFFAOYSA-N 1 2 319.430 1.036 20 30 DDEDLO C#CCC[N@H+]1CCNC(=O)CCN(C(=O)c2ccsc2)CC1 ZINC001070936789 768796954 /nfs/dbraw/zinc/79/69/54/768796954.db2.gz TVNBUSQCEXYXTJ-UHFFFAOYSA-N 1 2 319.430 1.036 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001132404207 768808606 /nfs/dbraw/zinc/80/86/06/768808606.db2.gz VNYBFIUTNWWXQF-UHFFFAOYSA-N 1 2 304.350 1.748 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH2+]Cc1nnc(C(F)F)s1 ZINC001132391262 768814286 /nfs/dbraw/zinc/81/42/86/768814286.db2.gz GBFZOMUAHBBFLC-UHFFFAOYSA-N 1 2 304.366 1.894 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001132569829 768993526 /nfs/dbraw/zinc/99/35/26/768993526.db2.gz ZHEYWYYCIUYXCM-BDAKNGLRSA-N 1 2 302.325 1.671 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071177327 769103585 /nfs/dbraw/zinc/10/35/85/769103585.db2.gz VQJPVOQIIDYTOG-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@@H](NC(=O)CCc3[nH]cc[nH+]3)C2)n1 ZINC001096370161 769446246 /nfs/dbraw/zinc/44/62/46/769446246.db2.gz MDEWWFNUHDVMLC-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2sc3nccn3c2C)CC[C@H]1C ZINC001071573394 769649013 /nfs/dbraw/zinc/64/90/13/769649013.db2.gz FKMDAWHPNJAHEX-YPMHNXCESA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2sc3nccn3c2C)CC[C@H]1C ZINC001071573394 769649019 /nfs/dbraw/zinc/64/90/19/769649019.db2.gz FKMDAWHPNJAHEX-YPMHNXCESA-N 1 2 316.430 1.920 20 30 DDEDLO C=C1CCC(C(=O)NCC[NH2+][C@@H](C)c2nc(COC)no2)CC1 ZINC001133365225 769749750 /nfs/dbraw/zinc/74/97/50/769749750.db2.gz IGTUCJFSUSZZSV-LBPRGKRZSA-N 1 2 322.409 1.729 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001133378460 769758751 /nfs/dbraw/zinc/75/87/51/769758751.db2.gz FSPTWRKETFTFLZ-ZDUSSCGKSA-N 1 2 302.325 1.815 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[NH2+][C@@H](C)c1nc(COC)no1 ZINC001133380451 769761607 /nfs/dbraw/zinc/76/16/07/769761607.db2.gz GNFGTEYAEHPYRM-NHYWBVRUSA-N 1 2 310.398 1.585 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2snnc2CC)CC[C@H]1C ZINC001071663999 769816832 /nfs/dbraw/zinc/81/68/32/769816832.db2.gz WMNRMRMAAYNMRQ-NEPJUHHUSA-N 1 2 306.435 1.707 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2snnc2CC)CC[C@H]1C ZINC001071663999 769816843 /nfs/dbraw/zinc/81/68/43/769816843.db2.gz WMNRMRMAAYNMRQ-NEPJUHHUSA-N 1 2 306.435 1.707 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ncc(OC)cn2)CC[C@H]1C ZINC001071674739 769843935 /nfs/dbraw/zinc/84/39/35/769843935.db2.gz ZBCJSOFJTHWQQS-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ncc(OC)cn2)CC[C@H]1C ZINC001071674739 769843950 /nfs/dbraw/zinc/84/39/50/769843950.db2.gz ZBCJSOFJTHWQQS-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CN1CC#N ZINC001071705457 769919827 /nfs/dbraw/zinc/91/98/27/769919827.db2.gz PJUALLRFJRYTOR-HOCLYGCPSA-N 1 2 323.400 1.979 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001071775113 770045460 /nfs/dbraw/zinc/04/54/60/770045460.db2.gz XMRHYDJPZVSDJX-UONOGXRCSA-N 1 2 304.394 1.203 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096440824 770086908 /nfs/dbraw/zinc/08/69/08/770086908.db2.gz ANFNCJKUPLZQSS-ZFWWWQNUSA-N 1 2 316.405 1.135 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccncc1C#N ZINC001049022637 770260208 /nfs/dbraw/zinc/26/02/08/770260208.db2.gz WUCCIXQZEOUNMA-LSDHHAIUSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001072000529 770415241 /nfs/dbraw/zinc/41/52/41/770415241.db2.gz VYNPKEPANQCWKA-UONOGXRCSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001072316887 770752652 /nfs/dbraw/zinc/75/26/52/770752652.db2.gz HPSRNKIHOBADOB-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001072316887 770752660 /nfs/dbraw/zinc/75/26/60/770752660.db2.gz HPSRNKIHOBADOB-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO CC(C)(S)CNc1nc(N2CCCC2)[nH+]c(N2CCCC2)n1 ZINC001159267840 771204876 /nfs/dbraw/zinc/20/48/76/771204876.db2.gz JCOSHQQLNWMNDE-UHFFFAOYSA-N 1 2 322.482 1.614 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1[nH]nc2ccccc21 ZINC001049878643 771229456 /nfs/dbraw/zinc/22/94/56/771229456.db2.gz ZBSVWISMUDPWDL-CVEARBPZSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1[nH]nc2ccccc21 ZINC001049878643 771229458 /nfs/dbraw/zinc/22/94/58/771229458.db2.gz ZBSVWISMUDPWDL-CVEARBPZSA-N 1 2 308.385 1.875 20 30 DDEDLO C=CCCC(=O)NC[C@H](Nc1nc(N(C)C)nc(C)[nH+]1)C1CC1 ZINC001096594351 771297518 /nfs/dbraw/zinc/29/75/18/771297518.db2.gz RFZHTTRGIQHEFV-ZDUSSCGKSA-N 1 2 318.425 1.519 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCCN(C(=O)c3cnsn3)[C@@H]2C1 ZINC001049981122 771335842 /nfs/dbraw/zinc/33/58/42/771335842.db2.gz SUXWHQPUPVTDRI-CMPLNLGQSA-N 1 2 312.826 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCCN(C(=O)c3cnsn3)[C@@H]2C1 ZINC001049981122 771335846 /nfs/dbraw/zinc/33/58/46/771335846.db2.gz SUXWHQPUPVTDRI-CMPLNLGQSA-N 1 2 312.826 1.827 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@@H]2CCCN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001049997760 771352317 /nfs/dbraw/zinc/35/23/17/771352317.db2.gz XLSANSIGXDMIPW-OSAQELSMSA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@@H]2CCCN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001049997760 771352320 /nfs/dbraw/zinc/35/23/20/771352320.db2.gz XLSANSIGXDMIPW-OSAQELSMSA-N 1 2 302.378 1.566 20 30 DDEDLO N#Cc1ccc(N[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C2CC2)nc1 ZINC001096712820 771410771 /nfs/dbraw/zinc/41/07/71/771410771.db2.gz ZCIQIQNFOUDYPP-CYBMUJFWSA-N 1 2 310.361 1.226 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001097025601 771585539 /nfs/dbraw/zinc/58/55/39/771585539.db2.gz GCBASLGIUVDYPJ-HAQNSBGRSA-N 1 2 312.377 1.082 20 30 DDEDLO C[C@H](CC(=O)N[C@H]1C[C@H](Nc2ccncc2C#N)C1)n1cc[nH+]c1 ZINC001097105401 771625853 /nfs/dbraw/zinc/62/58/53/771625853.db2.gz UKGRPTBDHLICOZ-BPLDGKMQSA-N 1 2 324.388 1.282 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cccc(C)c2)[C@@H](O)C1 ZINC001090531164 771931307 /nfs/dbraw/zinc/93/13/07/771931307.db2.gz IWKDTVPCLYVYLZ-CVEARBPZSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cccc(C)c2)[C@@H](O)C1 ZINC001090531164 771931310 /nfs/dbraw/zinc/93/13/10/771931310.db2.gz IWKDTVPCLYVYLZ-CVEARBPZSA-N 1 2 322.836 1.841 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2ccn(C)n2)CCCO1 ZINC001149342536 772294342 /nfs/dbraw/zinc/29/43/42/772294342.db2.gz XMPFHWONAKPGLP-OAHLLOKOSA-N 1 2 306.410 1.093 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2ccn(C)n2)CCCO1 ZINC001149342536 772294344 /nfs/dbraw/zinc/29/43/44/772294344.db2.gz XMPFHWONAKPGLP-OAHLLOKOSA-N 1 2 306.410 1.093 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)c3ccccn3)C2)nc1 ZINC001144169501 772436432 /nfs/dbraw/zinc/43/64/32/772436432.db2.gz JMOGDVLLMHYRDB-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccccn3)C2)nc1 ZINC001144169501 772436435 /nfs/dbraw/zinc/43/64/35/772436435.db2.gz JMOGDVLLMHYRDB-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(OC)cn2)C1 ZINC001144434817 772525878 /nfs/dbraw/zinc/52/58/78/772525878.db2.gz AOAVCLNQGCGRRK-AWEZNQCLSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2ccc(OC)cn2)C1 ZINC001144434817 772525879 /nfs/dbraw/zinc/52/58/79/772525879.db2.gz AOAVCLNQGCGRRK-AWEZNQCLSA-N 1 2 305.378 1.967 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001091477276 772780962 /nfs/dbraw/zinc/78/09/62/772780962.db2.gz TZDFCYDRUJORGJ-YEORSEQZSA-N 1 2 310.361 1.226 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccns2)CCCO1 ZINC001149414199 772804372 /nfs/dbraw/zinc/80/43/72/772804372.db2.gz CEYQCGAXFYLZAM-VXGBXAGGSA-N 1 2 308.407 1.010 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccns2)CCCO1 ZINC001149414199 772804375 /nfs/dbraw/zinc/80/43/75/772804375.db2.gz CEYQCGAXFYLZAM-VXGBXAGGSA-N 1 2 308.407 1.010 20 30 DDEDLO CCc1nnc(C[N@@H+]2C[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@]3(C)C2)o1 ZINC001091544153 772815687 /nfs/dbraw/zinc/81/56/87/772815687.db2.gz VVJRXHCNLHEYNR-OZVIIMIRSA-N 1 2 317.393 1.072 20 30 DDEDLO CCc1nnc(C[N@H+]2C[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@]3(C)C2)o1 ZINC001091544153 772815692 /nfs/dbraw/zinc/81/56/92/772815692.db2.gz VVJRXHCNLHEYNR-OZVIIMIRSA-N 1 2 317.393 1.072 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@H+](Cc1cnns1)C2 ZINC001147514437 773157525 /nfs/dbraw/zinc/15/75/25/773157525.db2.gz MVWPELMVTINPOS-UHFFFAOYSA-N 1 2 304.419 1.232 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@@H+](Cc1cnns1)C2 ZINC001147514437 773157530 /nfs/dbraw/zinc/15/75/30/773157530.db2.gz MVWPELMVTINPOS-UHFFFAOYSA-N 1 2 304.419 1.232 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cnns1)C2 ZINC001148111214 773353725 /nfs/dbraw/zinc/35/37/25/773353725.db2.gz QYFPPPGXOUFFDM-UHFFFAOYSA-N 1 2 318.446 1.766 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnns1)C2 ZINC001148111214 773353737 /nfs/dbraw/zinc/35/37/37/773353737.db2.gz QYFPPPGXOUFFDM-UHFFFAOYSA-N 1 2 318.446 1.766 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+][C@@H](C)c2nc(CC)no2)nc1 ZINC001148376156 773460969 /nfs/dbraw/zinc/46/09/69/773460969.db2.gz BXBWJBAWBRRUIP-NSHDSACASA-N 1 2 313.361 1.089 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(Cl)c[nH]3)C[C@@H]21 ZINC001074159920 773682674 /nfs/dbraw/zinc/68/26/74/773682674.db2.gz MGQRHRKUGJNVOQ-LSDHHAIUSA-N 1 2 321.808 1.607 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(Cl)c[nH]3)C[C@@H]21 ZINC001074159920 773682675 /nfs/dbraw/zinc/68/26/75/773682675.db2.gz MGQRHRKUGJNVOQ-LSDHHAIUSA-N 1 2 321.808 1.607 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)n3C)C[C@@H]21 ZINC001074163779 773686874 /nfs/dbraw/zinc/68/68/74/773686874.db2.gz VKVSVYWUZNCAPO-IRXDYDNUSA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)n3C)C[C@@H]21 ZINC001074163779 773686879 /nfs/dbraw/zinc/68/68/79/773686879.db2.gz VKVSVYWUZNCAPO-IRXDYDNUSA-N 1 2 315.417 1.272 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3=COCCC3)C[C@@H]21 ZINC001074189004 773711568 /nfs/dbraw/zinc/71/15/68/773711568.db2.gz CKWNORFJLWOJFH-HOTGVXAUSA-N 1 2 306.406 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3=COCCC3)C[C@@H]21 ZINC001074189004 773711570 /nfs/dbraw/zinc/71/15/70/773711570.db2.gz CKWNORFJLWOJFH-HOTGVXAUSA-N 1 2 306.406 1.559 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@@H]21 ZINC001074195300 773719400 /nfs/dbraw/zinc/71/94/00/773719400.db2.gz SMVVXXYINVARPI-GJZGRUSLSA-N 1 2 322.449 1.870 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@@H]21 ZINC001074195300 773719406 /nfs/dbraw/zinc/71/94/06/773719406.db2.gz SMVVXXYINVARPI-GJZGRUSLSA-N 1 2 322.449 1.870 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3[nH]c(C)cc3C)C[C@@H]21 ZINC001074280050 773790833 /nfs/dbraw/zinc/79/08/33/773790833.db2.gz WPWGKYYVAYGFFL-HOTGVXAUSA-N 1 2 315.417 1.570 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3[nH]c(C)cc3C)C[C@@H]21 ZINC001074280050 773790837 /nfs/dbraw/zinc/79/08/37/773790837.db2.gz WPWGKYYVAYGFFL-HOTGVXAUSA-N 1 2 315.417 1.570 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3nc(C)oc3C)C[C@H]21 ZINC001074366621 773859104 /nfs/dbraw/zinc/85/91/04/773859104.db2.gz XCOCTIACCFTNLX-CABCVRRESA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3nc(C)oc3C)C[C@H]21 ZINC001074366621 773859108 /nfs/dbraw/zinc/85/91/08/773859108.db2.gz XCOCTIACCFTNLX-CABCVRRESA-N 1 2 319.405 1.783 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCn3cccc3)C[C@@H]21 ZINC001074371368 773863987 /nfs/dbraw/zinc/86/39/87/773863987.db2.gz JVPIFBQRKXDNTQ-IRXDYDNUSA-N 1 2 315.417 1.203 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCn3cccc3)C[C@@H]21 ZINC001074371368 773863992 /nfs/dbraw/zinc/86/39/92/773863992.db2.gz JVPIFBQRKXDNTQ-IRXDYDNUSA-N 1 2 315.417 1.203 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ncccc3F)C[C@H]21 ZINC001074372094 773865145 /nfs/dbraw/zinc/86/51/45/773865145.db2.gz RIEOQQDRMZTNLP-CABCVRRESA-N 1 2 319.380 1.712 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ncccc3F)C[C@H]21 ZINC001074372094 773865150 /nfs/dbraw/zinc/86/51/50/773865150.db2.gz RIEOQQDRMZTNLP-CABCVRRESA-N 1 2 319.380 1.712 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#C)cn3)C[C@@H]21 ZINC001074384489 773876718 /nfs/dbraw/zinc/87/67/18/773876718.db2.gz HUTKXJVYCJBWOO-ZWKOTPCHSA-N 1 2 323.396 1.002 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#C)cn3)C[C@@H]21 ZINC001074384489 773876723 /nfs/dbraw/zinc/87/67/23/773876723.db2.gz HUTKXJVYCJBWOO-ZWKOTPCHSA-N 1 2 323.396 1.002 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(CC)on3)C[C@H]21 ZINC001074387580 773880993 /nfs/dbraw/zinc/88/09/93/773880993.db2.gz NLMPWSHSKZBBLI-HZPDHXFCSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(CC)on3)C[C@H]21 ZINC001074387580 773880999 /nfs/dbraw/zinc/88/09/99/773880999.db2.gz NLMPWSHSKZBBLI-HZPDHXFCSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1[C@@H]3CCC[C@@H]31)c1nccn12 ZINC001092359116 774073882 /nfs/dbraw/zinc/07/38/82/774073882.db2.gz NEOFCYJPBUCLIM-LJISPDSOSA-N 1 2 312.417 1.687 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1coc(C)c1)c1nccn12 ZINC001092365625 774104833 /nfs/dbraw/zinc/10/48/33/774104833.db2.gz BUJJJQHOLDZYQK-CQSZACIVSA-N 1 2 312.373 1.856 20 30 DDEDLO C[C@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1ccc(C#N)cn1 ZINC001098302664 774221816 /nfs/dbraw/zinc/22/18/16/774221816.db2.gz MUOVUZMUZVOBIM-OLZOCXBDSA-N 1 2 312.377 1.718 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)NC[C@@H]1CN(CC#N)C[C@H]1C)C2 ZINC001092748735 774249221 /nfs/dbraw/zinc/24/92/21/774249221.db2.gz KQVJYVDDJLFEAE-KWCYVHTRSA-N 1 2 315.421 1.031 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)NC[C@@H]1CN(CC#N)C[C@H]1C)CC2 ZINC001092748735 774249225 /nfs/dbraw/zinc/24/92/25/774249225.db2.gz KQVJYVDDJLFEAE-KWCYVHTRSA-N 1 2 315.421 1.031 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCN(c2nc(C)[nH+]c(C)c2C)CC1 ZINC001093147844 774451686 /nfs/dbraw/zinc/45/16/86/774451686.db2.gz MUCBTFMJDKYMJB-KRWDZBQOSA-N 1 2 318.421 1.378 20 30 DDEDLO Cc1nc(N[C@@H](C)CNC(=O)CCc2c[nH]c[nH+]2)ccc1C#N ZINC001098344193 774543904 /nfs/dbraw/zinc/54/39/04/774543904.db2.gz GNYAHGDOKYXODJ-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO Cc1nc(N[C@@H](C)CNC(=O)CCc2c[nH+]c[nH]2)ccc1C#N ZINC001098344193 774543906 /nfs/dbraw/zinc/54/39/06/774543906.db2.gz GNYAHGDOKYXODJ-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CC(C)C)C2)nn1 ZINC001098602055 774619659 /nfs/dbraw/zinc/61/96/59/774619659.db2.gz ZBWHDXYDFSFMEA-OAHLLOKOSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CC[C@H](C)CC)C2)nn1 ZINC001098756958 774664658 /nfs/dbraw/zinc/66/46/58/774664658.db2.gz HCXPJLAXJPDQFU-GDBMZVCRSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@@H]3CCCO3)CC2)C1 ZINC001093506678 774750654 /nfs/dbraw/zinc/75/06/54/774750654.db2.gz CWVWXDLCDCGRKT-HNNXBMFYSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC[C@H]3CCCO3)CC2)C1 ZINC001093510473 774757460 /nfs/dbraw/zinc/75/74/60/774757460.db2.gz DNPZTGOEPIHDQG-OAHLLOKOSA-N 1 2 322.449 1.823 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1cnc(C#N)cn1 ZINC001099031893 774783237 /nfs/dbraw/zinc/78/32/37/774783237.db2.gz MABQKTCOVWSUON-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccc(C)n3C)CC2)C1 ZINC001093503710 774794531 /nfs/dbraw/zinc/79/45/31/774794531.db2.gz IUOFRHFQKYZMEI-UHFFFAOYSA-N 1 2 317.433 1.825 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](OC)C(C)C)CC2)C1 ZINC001093569239 774840092 /nfs/dbraw/zinc/84/00/92/774840092.db2.gz YKMPYRZNSHGUPS-MRXNPFEDSA-N 1 2 310.438 1.535 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3nocc3C)CC2)C1 ZINC001093590918 774872898 /nfs/dbraw/zinc/87/28/98/774872898.db2.gz XKOOXCNXARFXOE-UHFFFAOYSA-N 1 2 319.405 1.865 20 30 DDEDLO C#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)CCC=C)c2)nn1 ZINC001099602112 775060197 /nfs/dbraw/zinc/06/01/97/775060197.db2.gz PUFNLHATVSIARQ-UHFFFAOYSA-N 1 2 323.400 1.573 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccncc2Cl)C[C@H]1O ZINC001099728432 775195208 /nfs/dbraw/zinc/19/52/08/775195208.db2.gz WWSPTCMJKJIICX-LSDHHAIUSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ccncc2Cl)C[C@H]1O ZINC001099728432 775195214 /nfs/dbraw/zinc/19/52/14/775195214.db2.gz WWSPTCMJKJIICX-LSDHHAIUSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C[C@@H]1O ZINC001099976314 775509056 /nfs/dbraw/zinc/50/90/56/775509056.db2.gz MNMGKTVDEYUBCM-HOTGVXAUSA-N 1 2 320.437 1.136 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C[C@@H]1O ZINC001099976314 775509066 /nfs/dbraw/zinc/50/90/66/775509066.db2.gz MNMGKTVDEYUBCM-HOTGVXAUSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCCNc1cc[nH+]c(C)n1 ZINC001094171292 775514653 /nfs/dbraw/zinc/51/46/53/775514653.db2.gz SNWRRKYIGRCNJZ-ZFWWWQNUSA-N 1 2 304.394 1.684 20 30 DDEDLO N#Cc1cccnc1NCCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001094236703 775573236 /nfs/dbraw/zinc/57/32/36/775573236.db2.gz XQLJZIBSHKGDPE-UHFFFAOYSA-N 1 2 306.329 1.671 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(CNC(=O)c3cncc4nc[nH]c43)CCC[C@@H]12 ZINC001094422012 775849264 /nfs/dbraw/zinc/84/92/64/775849264.db2.gz LABCPBNGKNJUMX-CRAIPNDOSA-N 1 2 323.400 1.566 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(CNC(=O)c3cncc4nc[nH]c43)CCC[C@@H]12 ZINC001094422012 775849271 /nfs/dbraw/zinc/84/92/71/775849271.db2.gz LABCPBNGKNJUMX-CRAIPNDOSA-N 1 2 323.400 1.566 20 30 DDEDLO CN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)c1ccncc1C#N ZINC001100392163 776090381 /nfs/dbraw/zinc/09/03/81/776090381.db2.gz UZNQVBQDOFCRDJ-CHWSQXEVSA-N 1 2 310.361 1.032 20 30 DDEDLO CN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)c1ccncc1C#N ZINC001100392163 776090388 /nfs/dbraw/zinc/09/03/88/776090388.db2.gz UZNQVBQDOFCRDJ-CHWSQXEVSA-N 1 2 310.361 1.032 20 30 DDEDLO CCN(CCNC(=O)[C@H](C)C#N)c1cc(C)[nH+]c(C2CC2)n1 ZINC001100471606 776201423 /nfs/dbraw/zinc/20/14/23/776201423.db2.gz JXAIXIDKXXZPDH-LLVKDONJSA-N 1 2 301.394 1.765 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H]3CCC3(C)C)CC2=O)C1 ZINC001094770542 776259487 /nfs/dbraw/zinc/25/94/87/776259487.db2.gz DIEVOVQKJMXIHJ-TZMCWYRMSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(C)(C)C3CC3)CC2=O)C1 ZINC001094772635 776262473 /nfs/dbraw/zinc/26/24/73/776262473.db2.gz ZCMCRWYYNGIMCS-CYBMUJFWSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CCOC(=O)[C@H](CC(C)C)[NH2+]C1C[C@@H]2COC[C@H](C1)N2C ZINC001172127198 776628107 /nfs/dbraw/zinc/62/81/07/776628107.db2.gz PQPAKHQFAKPDLP-UYTSQGDYSA-N 1 2 310.438 1.582 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001100942667 776739426 /nfs/dbraw/zinc/73/94/26/776739426.db2.gz ZWJZJUPFBBMWBQ-GFCCVEGCSA-N 1 2 314.393 1.272 20 30 DDEDLO CCCN(CCNC(=O)[C@H](C)C#N)c1nc(C)[nH+]c(C)c1C ZINC001101109097 776913008 /nfs/dbraw/zinc/91/30/08/776913008.db2.gz GEAKDPQRFBETEA-LLVKDONJSA-N 1 2 303.410 1.894 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)CCOC)c1nccn12 ZINC001101652803 777348098 /nfs/dbraw/zinc/34/80/98/777348098.db2.gz SCGZVMJETHZYSO-KBPBESRZSA-N 1 2 318.421 1.314 20 30 DDEDLO Cc1nsc(NCCN(C)C(=O)Cc2c[nH+]cn2C)c1C#N ZINC001101695394 777402570 /nfs/dbraw/zinc/40/25/70/777402570.db2.gz XTOZPKNDVYNUAI-UHFFFAOYSA-N 1 2 318.406 1.170 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001101847647 777599567 /nfs/dbraw/zinc/59/95/67/777599567.db2.gz MPPKFQCJVKKVAE-CYBMUJFWSA-N 1 2 324.388 1.283 20 30 DDEDLO CC#CCCCC(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101906561 777666060 /nfs/dbraw/zinc/66/60/60/777666060.db2.gz NUBDEQPAPSNGOI-UHFFFAOYSA-N 1 2 314.393 1.427 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2nncs2)C[C@H]1CNC(=O)C#CC(C)(C)C ZINC001101955184 777729482 /nfs/dbraw/zinc/72/94/82/777729482.db2.gz WLEZQRKXUOZJPF-CHWSQXEVSA-N 1 2 320.462 1.772 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2nncs2)C[C@H]1CNC(=O)C#CC(C)(C)C ZINC001101955184 777729487 /nfs/dbraw/zinc/72/94/87/777729487.db2.gz WLEZQRKXUOZJPF-CHWSQXEVSA-N 1 2 320.462 1.772 20 30 DDEDLO CCOC(=O)[C@@H](C[C@@H]1CCCO1)[NH2+]CCCSCC#N ZINC001176030151 778004823 /nfs/dbraw/zinc/00/48/23/778004823.db2.gz YYVYXRSAGJYDTO-QWHCGFSZSA-N 1 2 300.424 1.724 20 30 DDEDLO C=CCCOCC(=O)NCC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102335003 778072169 /nfs/dbraw/zinc/07/21/69/778072169.db2.gz FCEXEFMGIPPCPT-HNNXBMFYSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CC(C)C)C[C@@H]21 ZINC001176889017 778296694 /nfs/dbraw/zinc/29/66/94/778296694.db2.gz YOSDGLLPRLZJJJ-HOTGVXAUSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC(C)C)C[C@@H]21 ZINC001176889017 778296697 /nfs/dbraw/zinc/29/66/97/778296697.db2.gz YOSDGLLPRLZJJJ-HOTGVXAUSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc[nH]3)C[C@H]21 ZINC001176923202 778310872 /nfs/dbraw/zinc/31/08/72/778310872.db2.gz FVKDUZLFKSRUOO-CVEARBPZSA-N 1 2 319.405 1.133 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc[nH]3)C[C@H]21 ZINC001176923202 778310881 /nfs/dbraw/zinc/31/08/81/778310881.db2.gz FVKDUZLFKSRUOO-CVEARBPZSA-N 1 2 319.405 1.133 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)COCCCC)C[C@@H]21 ZINC001176978138 778363667 /nfs/dbraw/zinc/36/36/67/778363667.db2.gz RCQUPVHMSVFPOA-HOTGVXAUSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)COCCCC)C[C@@H]21 ZINC001176978138 778363672 /nfs/dbraw/zinc/36/36/72/778363672.db2.gz RCQUPVHMSVFPOA-HOTGVXAUSA-N 1 2 310.438 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(CC#C)CCC3)C[C@H]21 ZINC001177029112 778399079 /nfs/dbraw/zinc/39/90/79/778399079.db2.gz CEZUYZYOVMZLJA-SJORKVTESA-N 1 2 314.429 1.505 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CC#C)CCC3)C[C@H]21 ZINC001177029112 778399083 /nfs/dbraw/zinc/39/90/83/778399083.db2.gz CEZUYZYOVMZLJA-SJORKVTESA-N 1 2 314.429 1.505 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NCC1(Nc2ccc(C#N)cn2)CC1 ZINC001110205737 778553250 /nfs/dbraw/zinc/55/32/50/778553250.db2.gz DMTQBVVUGMMPHA-UHFFFAOYSA-N 1 2 324.388 1.380 20 30 DDEDLO CC[C@H](CNC(=O)C#CC(C)C)Nc1[nH+]cnc2c1cnn2C ZINC001103081450 778627392 /nfs/dbraw/zinc/62/73/92/778627392.db2.gz JMWDUWLFIABRHG-GFCCVEGCSA-N 1 2 314.393 1.329 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1C[N@H+](CC(=C)Cl)CCCO1 ZINC001150803808 779060080 /nfs/dbraw/zinc/06/00/80/779060080.db2.gz RJNOKFKLKGMMLN-AWEZNQCLSA-N 1 2 316.829 1.539 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1C[N@@H+](CC(=C)Cl)CCCO1 ZINC001150803808 779060085 /nfs/dbraw/zinc/06/00/85/779060085.db2.gz RJNOKFKLKGMMLN-AWEZNQCLSA-N 1 2 316.829 1.539 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H](C)CNc1cc[nH+]c(C)n1 ZINC001103885155 779132667 /nfs/dbraw/zinc/13/26/67/779132667.db2.gz SRMJZDWHLUTLPJ-CHWSQXEVSA-N 1 2 306.410 1.930 20 30 DDEDLO Cn1c2cc(C[NH2+]Cc3nc(C#N)cs3)ccc2oc1=O ZINC001179328266 779350862 /nfs/dbraw/zinc/35/08/62/779350862.db2.gz MFZBOIYNDITLQN-UHFFFAOYSA-N 1 2 300.343 1.749 20 30 DDEDLO C=CCCOCC(=O)NCC1(Nc2cc[nH+]c(C)n2)CCC1 ZINC001111818877 779496360 /nfs/dbraw/zinc/49/63/60/779496360.db2.gz YYQQAGORMQPKFJ-UHFFFAOYSA-N 1 2 304.394 1.829 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001115332779 780046482 /nfs/dbraw/zinc/04/64/82/780046482.db2.gz PVQCBCCQXGAFMH-NMKXLXIOSA-N 1 2 307.419 1.281 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001115332779 780046491 /nfs/dbraw/zinc/04/64/91/780046491.db2.gz PVQCBCCQXGAFMH-NMKXLXIOSA-N 1 2 307.419 1.281 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC001118098149 781026126 /nfs/dbraw/zinc/02/61/26/781026126.db2.gz ZJHWPUZYVFSNQK-KBPBESRZSA-N 1 2 304.394 1.375 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1c2ccccc2CC[C@@H]1F ZINC001119377416 781428107 /nfs/dbraw/zinc/42/81/07/781428107.db2.gz GGUDTWSXGXURSX-OFQRWUPVSA-N 1 2 320.364 1.575 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@@H+](Cc2nncn2C)C1 ZINC001267299353 837779906 /nfs/dbraw/zinc/77/99/06/837779906.db2.gz YAAXHCNPTRYDEO-OAHLLOKOSA-N 1 2 317.437 1.337 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@H+](Cc2nncn2C)C1 ZINC001267299353 837779914 /nfs/dbraw/zinc/77/99/14/837779914.db2.gz YAAXHCNPTRYDEO-OAHLLOKOSA-N 1 2 317.437 1.337 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@H](C)[C@H](C)C(C)(C)C)CC1 ZINC001266295294 836070281 /nfs/dbraw/zinc/07/02/81/836070281.db2.gz ZWROGVJCBAUZIN-CVEARBPZSA-N 1 2 307.482 1.672 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@@H](CCCC)C(C)C)CC1 ZINC001266296606 836071783 /nfs/dbraw/zinc/07/17/83/836071783.db2.gz BNAKIBGIJYELMY-KRWDZBQOSA-N 1 2 307.482 1.816 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)CCCC2CCCC2)C1 ZINC001266361737 836172464 /nfs/dbraw/zinc/17/24/64/836172464.db2.gz RFZCIHOPIPBNEM-INIZCTEOSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)CCCC2CCCC2)C1 ZINC001266361737 836172471 /nfs/dbraw/zinc/17/24/71/836172471.db2.gz RFZCIHOPIPBNEM-INIZCTEOSA-N 1 2 319.449 1.287 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1cccc2c1CCC2 ZINC001266365059 836177662 /nfs/dbraw/zinc/17/76/62/836177662.db2.gz LMZLOENMYCDAMY-UHFFFAOYSA-N 1 2 315.417 1.139 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1cccc2c1CCC2 ZINC001266365059 836177666 /nfs/dbraw/zinc/17/76/66/836177666.db2.gz LMZLOENMYCDAMY-UHFFFAOYSA-N 1 2 315.417 1.139 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)CC ZINC001267417659 838006448 /nfs/dbraw/zinc/00/64/48/838006448.db2.gz IOONUJQVXUZFPT-OKILXGFUSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(C)CC ZINC001267417659 838006459 /nfs/dbraw/zinc/00/64/59/838006459.db2.gz IOONUJQVXUZFPT-OKILXGFUSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ocnc1C ZINC001267538634 838268597 /nfs/dbraw/zinc/26/85/97/838268597.db2.gz VPQCOTSINKCIDT-GASCZTMLSA-N 1 2 319.405 1.751 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ocnc1C ZINC001267538634 838268604 /nfs/dbraw/zinc/26/86/04/838268604.db2.gz VPQCOTSINKCIDT-GASCZTMLSA-N 1 2 319.405 1.751 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[C@H]1CC[N@H+](Cc2nncs2)C1 ZINC001267556297 838299888 /nfs/dbraw/zinc/29/98/88/838299888.db2.gz OZITWTZIIXIXFN-ZDUSSCGKSA-N 1 2 320.462 1.916 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[C@H]1CC[N@@H+](Cc2nncs2)C1 ZINC001267556297 838299899 /nfs/dbraw/zinc/29/98/99/838299899.db2.gz OZITWTZIIXIXFN-ZDUSSCGKSA-N 1 2 320.462 1.916 20 30 DDEDLO CCc1nc(C[N@@H+]2CC[C@H](CCNC(=O)C#CC3CC3)C2)no1 ZINC001267556406 838300363 /nfs/dbraw/zinc/30/03/63/838300363.db2.gz POAHIFRLLADBHY-AWEZNQCLSA-N 1 2 316.405 1.374 20 30 DDEDLO CCc1nc(C[N@H+]2CC[C@H](CCNC(=O)C#CC3CC3)C2)no1 ZINC001267556406 838300369 /nfs/dbraw/zinc/30/03/69/838300369.db2.gz POAHIFRLLADBHY-AWEZNQCLSA-N 1 2 316.405 1.374 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@@H+](CC(=O)NC(C)C)C2)CCC1 ZINC001267616966 838490987 /nfs/dbraw/zinc/49/09/87/838490987.db2.gz HLCLNNBOLOYLFW-OAHLLOKOSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@H+](CC(=O)NC(C)C)C2)CCC1 ZINC001267616966 838490992 /nfs/dbraw/zinc/49/09/92/838490992.db2.gz HLCLNNBOLOYLFW-OAHLLOKOSA-N 1 2 321.465 1.838 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001267624846 838520220 /nfs/dbraw/zinc/52/02/20/838520220.db2.gz PBNCUFVYIAXRCQ-CVEARBPZSA-N 1 2 321.465 1.531 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001267624846 838520224 /nfs/dbraw/zinc/52/02/24/838520224.db2.gz PBNCUFVYIAXRCQ-CVEARBPZSA-N 1 2 321.465 1.531 20 30 DDEDLO O=C(C#CC1CC1)NC1C[NH+](Cc2ccc3ncccc3c2)C1 ZINC001267644437 838564158 /nfs/dbraw/zinc/56/41/58/838564158.db2.gz QBCDYLJGRDAAEU-UHFFFAOYSA-N 1 2 305.381 1.949 20 30 DDEDLO C=CCCCC(=O)NCC1C[NH+](CC(=O)NC2CCCCC2)C1 ZINC001267690180 838645792 /nfs/dbraw/zinc/64/57/92/838645792.db2.gz YDYLGWKWOKEGSQ-UHFFFAOYSA-N 1 2 321.465 1.840 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC(C(C)(C)C)C1 ZINC001267716609 838715691 /nfs/dbraw/zinc/71/56/91/838715691.db2.gz NXQVWNHCIPPYDY-GQGLESIBSA-N 1 2 318.461 1.994 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC(C(C)(C)C)C1 ZINC001267716609 838715695 /nfs/dbraw/zinc/71/56/95/838715695.db2.gz NXQVWNHCIPPYDY-GQGLESIBSA-N 1 2 318.461 1.994 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001267721038 838725440 /nfs/dbraw/zinc/72/54/40/838725440.db2.gz IPPPXBYSAYEORY-INIZCTEOSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C1 ZINC001267721038 838725444 /nfs/dbraw/zinc/72/54/44/838725444.db2.gz IPPPXBYSAYEORY-INIZCTEOSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2ccoc2C2CC2)C1 ZINC001267747899 838835753 /nfs/dbraw/zinc/83/57/53/838835753.db2.gz GJWNFSUSIHXFPM-CYBMUJFWSA-N 1 2 317.389 1.263 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2ccoc2C2CC2)C1 ZINC001267747899 838835757 /nfs/dbraw/zinc/83/57/57/838835757.db2.gz GJWNFSUSIHXFPM-CYBMUJFWSA-N 1 2 317.389 1.263 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001267760783 838889927 /nfs/dbraw/zinc/88/99/27/838889927.db2.gz XHXZREJVXOFCOH-GFCCVEGCSA-N 1 2 310.423 1.082 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001267760783 838889938 /nfs/dbraw/zinc/88/99/38/838889938.db2.gz XHXZREJVXOFCOH-GFCCVEGCSA-N 1 2 310.423 1.082 20 30 DDEDLO C[C@H]1CCCC[C@]1(CNCC#N)NC(=O)CCc1c[nH+]cn1C ZINC001267873942 839107441 /nfs/dbraw/zinc/10/74/41/839107441.db2.gz NNUQZIZSULCXOF-WMLDXEAASA-N 1 2 317.437 1.531 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@]1(CC)CCNC1=O ZINC001272041758 844413182 /nfs/dbraw/zinc/41/31/82/844413182.db2.gz ZZTMHQPLYWDAEF-CQSZACIVSA-N 1 2 301.818 1.045 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@]1(CC)CCNC1=O ZINC001272041758 844413188 /nfs/dbraw/zinc/41/31/88/844413188.db2.gz ZZTMHQPLYWDAEF-CQSZACIVSA-N 1 2 301.818 1.045 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccnc2C)C1 ZINC001268026673 839429012 /nfs/dbraw/zinc/42/90/12/839429012.db2.gz KAIODWHFRSRRIT-HNNXBMFYSA-N 1 2 319.405 1.023 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)c2cccnc2C)C1 ZINC001268026673 839429022 /nfs/dbraw/zinc/42/90/22/839429022.db2.gz KAIODWHFRSRRIT-HNNXBMFYSA-N 1 2 319.405 1.023 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](O)C[NH2+][C@@H](C)c1nc(C(C)C)no1 ZINC001268317690 839989749 /nfs/dbraw/zinc/98/97/49/839989749.db2.gz RWMKNVHOUZPUKL-RYUDHWBXSA-N 1 2 324.425 1.533 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](O)C[NH2+][C@H](C)c2csnn2)C1 ZINC001268325067 840003750 /nfs/dbraw/zinc/00/37/50/840003750.db2.gz XWFMFJOCDQQMGJ-GHMZBOCLSA-N 1 2 310.423 1.022 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1CN(Cc2cc(OC)cc[nH+]2)C1 ZINC001268447521 840202441 /nfs/dbraw/zinc/20/24/41/840202441.db2.gz ZJUKKZGEDVEDAS-QGZVFWFLSA-N 1 2 303.406 1.993 20 30 DDEDLO CC(C)OCC(=O)NCC1C[NH+](CC#Cc2ccc(F)cc2)C1 ZINC001268704341 840691084 /nfs/dbraw/zinc/69/10/84/840691084.db2.gz YRLVOJFWEZOYTC-UHFFFAOYSA-N 1 2 318.392 1.650 20 30 DDEDLO C#CC(=O)N1CC[C@H]2C[C@]21C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001268850998 840903497 /nfs/dbraw/zinc/90/34/97/840903497.db2.gz GTONHRRKWONVCC-SCLBCKFNSA-N 1 2 320.352 1.435 20 30 DDEDLO C#CCCCCCC(=O)NCC1C[NH+](Cc2ccnn2C)C1 ZINC001268862825 840913604 /nfs/dbraw/zinc/91/36/04/840913604.db2.gz PNFPJKKPAWWGBB-UHFFFAOYSA-N 1 2 302.422 1.552 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2cc[nH]c2C(C)C)C1 ZINC001269056271 841154002 /nfs/dbraw/zinc/15/40/02/841154002.db2.gz BXYFYTQXDQRCKP-ZDUSSCGKSA-N 1 2 318.421 1.244 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2cc[nH]c2C(C)C)C1 ZINC001269056271 841154006 /nfs/dbraw/zinc/15/40/06/841154006.db2.gz BXYFYTQXDQRCKP-ZDUSSCGKSA-N 1 2 318.421 1.244 20 30 DDEDLO CCn1ncc(Cl)c1C(=O)NC[C@H]1CC[N@@H+]1CC#CCOC ZINC001269241484 841408260 /nfs/dbraw/zinc/40/82/60/841408260.db2.gz UXXGOYXJXIZZHY-GFCCVEGCSA-N 1 2 324.812 1.010 20 30 DDEDLO CCn1ncc(Cl)c1C(=O)NC[C@H]1CC[N@H+]1CC#CCOC ZINC001269241484 841408264 /nfs/dbraw/zinc/40/82/64/841408264.db2.gz UXXGOYXJXIZZHY-GFCCVEGCSA-N 1 2 324.812 1.010 20 30 DDEDLO C=CCCC[N@H+]1CC[C@@H]1CNC(=O)C1(S(C)(=O)=O)CCC1 ZINC001269327223 841522396 /nfs/dbraw/zinc/52/23/96/841522396.db2.gz VHDWGGOZBRPPHN-CYBMUJFWSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCCC[N@@H+]1CC[C@@H]1CNC(=O)C1(S(C)(=O)=O)CCC1 ZINC001269327223 841522407 /nfs/dbraw/zinc/52/24/07/841522407.db2.gz VHDWGGOZBRPPHN-CYBMUJFWSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCN1CC[C@@]2(CCCN2C(=O)c2c[nH]c3cc[nH+]cc23)C1=O ZINC001270139033 842325106 /nfs/dbraw/zinc/32/51/06/842325106.db2.gz PPNRJXYBWFXLMQ-SFHVURJKSA-N 1 2 324.384 1.956 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+](Cc2cc3n(n2)CCC3)C1 ZINC001270557764 842664216 /nfs/dbraw/zinc/66/42/16/842664216.db2.gz NGFVMBFYFINVTG-KRWDZBQOSA-N 1 2 318.421 1.113 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+](Cc2cc3n(n2)CCC3)C1 ZINC001270557764 842664225 /nfs/dbraw/zinc/66/42/25/842664225.db2.gz NGFVMBFYFINVTG-KRWDZBQOSA-N 1 2 318.421 1.113 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001280306078 842949973 /nfs/dbraw/zinc/94/99/73/842949973.db2.gz PTBIQJQFVRFEJI-KBPBESRZSA-N 1 2 320.437 1.885 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1COCC[N@H+]1CCCC(C)C ZINC001280406254 843698775 /nfs/dbraw/zinc/69/87/75/843698775.db2.gz LRCQIMVXZBVWGX-JKSUJKDBSA-N 1 2 310.438 1.278 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1COCC[N@@H+]1CCCC(C)C ZINC001280406254 843698778 /nfs/dbraw/zinc/69/87/78/843698778.db2.gz LRCQIMVXZBVWGX-JKSUJKDBSA-N 1 2 310.438 1.278 20 30 DDEDLO CC(C)[C@@H](C)C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001272141283 844649328 /nfs/dbraw/zinc/64/93/28/844649328.db2.gz CSMBTLIJKXBQBM-CQSZACIVSA-N 1 2 314.433 1.833 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](CC3(CC)COC3)C[C@@]2(F)C1=O ZINC001272529053 846278420 /nfs/dbraw/zinc/27/84/20/846278420.db2.gz YTCGBRYOBMXKKH-JKSUJKDBSA-N 1 2 314.376 1.564 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](CC3(CC)COC3)C[C@@]2(F)C1=O ZINC001272529053 846278433 /nfs/dbraw/zinc/27/84/33/846278433.db2.gz YTCGBRYOBMXKKH-JKSUJKDBSA-N 1 2 314.376 1.564 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@@]2(CC[N@H+](Cc3cnn[nH]3)C2)C1=O ZINC001272570462 846325203 /nfs/dbraw/zinc/32/52/03/846325203.db2.gz SYXOFBMPDXDUFE-SFHVURJKSA-N 1 2 323.400 1.871 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@@]2(CC[N@@H+](Cc3cnn[nH]3)C2)C1=O ZINC001272570462 846325216 /nfs/dbraw/zinc/32/52/16/846325216.db2.gz SYXOFBMPDXDUFE-SFHVURJKSA-N 1 2 323.400 1.871 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@@]2(CC[N@H+](Cc3c[nH]nn3)C2)C1=O ZINC001272570462 846325224 /nfs/dbraw/zinc/32/52/24/846325224.db2.gz SYXOFBMPDXDUFE-SFHVURJKSA-N 1 2 323.400 1.871 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@@]2(CC[N@@H+](Cc3c[nH]nn3)C2)C1=O ZINC001272570462 846325229 /nfs/dbraw/zinc/32/52/29/846325229.db2.gz SYXOFBMPDXDUFE-SFHVURJKSA-N 1 2 323.400 1.871 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@@]2(CC[N@H+](Cc3cn[nH]n3)C2)C1=O ZINC001272570462 846325240 /nfs/dbraw/zinc/32/52/40/846325240.db2.gz SYXOFBMPDXDUFE-SFHVURJKSA-N 1 2 323.400 1.871 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@@]2(CC[N@@H+](Cc3cn[nH]n3)C2)C1=O ZINC001272570462 846325252 /nfs/dbraw/zinc/32/52/52/846325252.db2.gz SYXOFBMPDXDUFE-SFHVURJKSA-N 1 2 323.400 1.871 20 30 DDEDLO C=CCCCCC[NH+]1CC2(C1)CN(Cc1cnoc1)C(=O)CO2 ZINC001272699250 846652078 /nfs/dbraw/zinc/65/20/78/846652078.db2.gz DXEGFJFNCOBCBM-UHFFFAOYSA-N 1 2 319.405 1.834 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077677286 846932688 /nfs/dbraw/zinc/93/26/88/846932688.db2.gz FNQURUNALPHOHY-LBPRGKRZSA-N 1 2 304.394 1.075 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC2CCOCC2)C1 ZINC001107827155 847228516 /nfs/dbraw/zinc/22/85/16/847228516.db2.gz JHIWQXDHTUZBEO-KRWDZBQOSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC2CCOCC2)C1 ZINC001107827155 847228524 /nfs/dbraw/zinc/22/85/24/847228524.db2.gz JHIWQXDHTUZBEO-KRWDZBQOSA-N 1 2 308.422 1.034 20 30 DDEDLO N#CCCCNC(=O)[C@@H]1CC12CC[NH+](Cc1ncc[nH]1)CC2 ZINC001272920606 847630174 /nfs/dbraw/zinc/63/01/74/847630174.db2.gz BFBLNBROPWHMBZ-ZDUSSCGKSA-N 1 2 301.394 1.432 20 30 DDEDLO CS(C)(=O)=NCC1CC[NH+](Cc2cccc(C#N)n2)CC1 ZINC001364728518 847950559 /nfs/dbraw/zinc/95/05/59/847950559.db2.gz LXNRESYMYKPOAL-UHFFFAOYSA-N 1 2 306.435 1.893 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001280516530 849266296 /nfs/dbraw/zinc/26/62/96/849266296.db2.gz VJTHJDVZLGTUBC-AWEZNQCLSA-N 1 2 318.421 1.119 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC1(C)C)CO2 ZINC001327389123 862130986 /nfs/dbraw/zinc/13/09/86/862130986.db2.gz YGHQAOUOIMBVST-UONOGXRCSA-N 1 2 308.422 1.195 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[NH2+][C@H](C)c1nc(COC)no1 ZINC001134411936 850669269 /nfs/dbraw/zinc/66/92/69/850669269.db2.gz MYFAJFRSZBQFPD-LLVKDONJSA-N 1 2 310.398 1.585 20 30 DDEDLO CN(CC#N)CCCN(C)C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001273515508 851048369 /nfs/dbraw/zinc/04/83/69/851048369.db2.gz YOTSVTYPNUPBII-UHFFFAOYSA-N 1 2 303.410 1.066 20 30 DDEDLO COCCCC[NH+]1CC2(C1)CN(C(=O)C#CC(C)(C)C)CCO2 ZINC001273617943 851155799 /nfs/dbraw/zinc/15/57/99/851155799.db2.gz DQYVMSLSXROOIT-UHFFFAOYSA-N 1 2 322.449 1.376 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)COCC1CCCC1)CO2 ZINC001273703188 851243918 /nfs/dbraw/zinc/24/39/18/851243918.db2.gz AETCPUGLYHDODC-MRXNPFEDSA-N 1 2 322.449 1.729 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cnc(F)c(OC)c2)C1=O ZINC001273794413 851353589 /nfs/dbraw/zinc/35/35/89/851353589.db2.gz YADOHYRMRQTJIU-KRWDZBQOSA-N 1 2 317.364 1.429 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cnc(F)c(OC)c2)C1=O ZINC001273794413 851353595 /nfs/dbraw/zinc/35/35/95/851353595.db2.gz YADOHYRMRQTJIU-KRWDZBQOSA-N 1 2 317.364 1.429 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)C[C@@H](C)COC ZINC001273825170 851389200 /nfs/dbraw/zinc/38/92/00/851389200.db2.gz OFVQALUTUZMKTQ-QAPCUYQASA-N 1 2 314.429 1.835 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)C[C@@H](C)COC ZINC001273825170 851389203 /nfs/dbraw/zinc/38/92/03/851389203.db2.gz OFVQALUTUZMKTQ-QAPCUYQASA-N 1 2 314.429 1.835 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2Cc2nc(C)cc(C)n2)C1=O ZINC001274017385 851854615 /nfs/dbraw/zinc/85/46/15/851854615.db2.gz KYJCNYWJHONHNW-QGZVFWFLSA-N 1 2 300.406 1.846 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2Cc2nc(C)cc(C)n2)C1=O ZINC001274017385 851854624 /nfs/dbraw/zinc/85/46/24/851854624.db2.gz KYJCNYWJHONHNW-QGZVFWFLSA-N 1 2 300.406 1.846 20 30 DDEDLO N#CCCC[NH+]1CC2(C1)COCC(=O)N2Cc1cccc(C#N)c1 ZINC001274039088 851878028 /nfs/dbraw/zinc/87/80/28/851878028.db2.gz RRIOKBNRZKQMJQ-UHFFFAOYSA-N 1 2 324.384 1.275 20 30 DDEDLO N#Cc1ccccc1C[NH2+]C/C=C/CNC(=O)CCc1cn[nH]n1 ZINC001274369858 852201273 /nfs/dbraw/zinc/20/12/73/852201273.db2.gz RQZNMXMMZUZCFC-ONEGZZNKSA-N 1 2 324.388 1.071 20 30 DDEDLO N#Cc1ccccc1C[NH2+]C/C=C/CNC(=O)CCc1c[nH]nn1 ZINC001274369858 852201279 /nfs/dbraw/zinc/20/12/79/852201279.db2.gz RQZNMXMMZUZCFC-ONEGZZNKSA-N 1 2 324.388 1.071 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1ncsc1C)C2 ZINC001274508606 852341907 /nfs/dbraw/zinc/34/19/07/852341907.db2.gz ZOGSMLNRUHLHNH-MRXNPFEDSA-N 1 2 321.446 1.688 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1ncsc1C)C2 ZINC001274508606 852341914 /nfs/dbraw/zinc/34/19/14/852341914.db2.gz ZOGSMLNRUHLHNH-MRXNPFEDSA-N 1 2 321.446 1.688 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnn(CC)n2)[C@@H](C)C1 ZINC001274625512 852456052 /nfs/dbraw/zinc/45/60/52/852456052.db2.gz VKWSRATUDOQWDP-LSDHHAIUSA-N 1 2 317.437 1.571 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnn(CC)n2)[C@@H](C)C1 ZINC001274625512 852456056 /nfs/dbraw/zinc/45/60/56/852456056.db2.gz VKWSRATUDOQWDP-LSDHHAIUSA-N 1 2 317.437 1.571 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)[C@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001275365173 853019956 /nfs/dbraw/zinc/01/99/56/853019956.db2.gz IJSGMPRZRSQVED-NWDGAFQWSA-N 1 2 324.425 1.553 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)C[N@@H+](C)Cc2cnnn2CC)CCC1 ZINC001275636246 853469397 /nfs/dbraw/zinc/46/93/97/853469397.db2.gz ANCREHQCEKDCHH-AWEZNQCLSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)C[N@H+](C)Cc2cnnn2CC)CCC1 ZINC001275636246 853469401 /nfs/dbraw/zinc/46/94/01/853469401.db2.gz ANCREHQCEKDCHH-AWEZNQCLSA-N 1 2 319.453 1.981 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1(CCO)CN(Cc2c[nH+]cn2C)C1 ZINC001276076502 854709860 /nfs/dbraw/zinc/70/98/60/854709860.db2.gz VUSIBXOIPVJMLX-AWEZNQCLSA-N 1 2 320.437 1.075 20 30 DDEDLO COCCOCN1CC2(C1)C[NH+](Cc1ccc(F)c(C#N)c1)C2 ZINC001276242380 855034672 /nfs/dbraw/zinc/03/46/72/855034672.db2.gz LEDVARGDMDDCCE-UHFFFAOYSA-N 1 2 319.380 1.435 20 30 DDEDLO CCCC[C@@H](C[NH2+]Cc1csnn1)NC(=O)C#CC1CC1 ZINC001276254362 855060163 /nfs/dbraw/zinc/06/01/63/855060163.db2.gz AKVKOUYGGVKZPN-ZDUSSCGKSA-N 1 2 306.435 1.716 20 30 DDEDLO C=CCOCC(=O)N1C[C@H](C)[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111245153 855217796 /nfs/dbraw/zinc/21/77/96/855217796.db2.gz DMUURFQNQMKIHS-JSGCOSHPSA-N 1 2 304.394 1.494 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(=O)c(OC)co1)C2 ZINC001095764752 855328753 /nfs/dbraw/zinc/32/87/53/855328753.db2.gz RSAZWDVKRIHSQU-AGIUHOORSA-N 1 2 316.357 1.007 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(=O)c(OC)co1)C2 ZINC001095764752 855328758 /nfs/dbraw/zinc/32/87/58/855328758.db2.gz RSAZWDVKRIHSQU-AGIUHOORSA-N 1 2 316.357 1.007 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cc3n(n2)CCCC3)C[C@H]1C ZINC001206659933 862753279 /nfs/dbraw/zinc/75/32/79/862753279.db2.gz TYWXWSUTYKUBLY-WOSRLPQWSA-N 1 2 315.421 1.316 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cc3n(n2)CCCC3)C[C@H]1C ZINC001206659933 862753285 /nfs/dbraw/zinc/75/32/85/862753285.db2.gz TYWXWSUTYKUBLY-WOSRLPQWSA-N 1 2 315.421 1.316 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072801159 857718620 /nfs/dbraw/zinc/71/86/20/857718620.db2.gz MUOZKLLSCKOPRW-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2nccc(C)n2)C1 ZINC001073588587 858466744 /nfs/dbraw/zinc/46/67/44/858466744.db2.gz CXCFDXKZELCRSA-CYBMUJFWSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2nccc(C)n2)C1 ZINC001073588587 858466748 /nfs/dbraw/zinc/46/67/48/858466748.db2.gz CXCFDXKZELCRSA-CYBMUJFWSA-N 1 2 324.812 1.358 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)C3CC3)n2CC(C)C)CC1 ZINC001122673367 858934424 /nfs/dbraw/zinc/93/44/24/858934424.db2.gz KNHYUKPZORUUOT-HNNXBMFYSA-N 1 2 317.437 1.133 20 30 DDEDLO C#CCCC[N@H+]1Cc2ccnn2CC[C@H]1C(=O)Nc1cn[nH]c1 ZINC001276972839 881399663 /nfs/dbraw/zinc/39/96/63/881399663.db2.gz FLAGQJHCCQOBQQ-HNNXBMFYSA-N 1 2 312.377 1.233 20 30 DDEDLO C#CCCC[N@@H+]1Cc2ccnn2CC[C@H]1C(=O)Nc1cn[nH]c1 ZINC001276972839 881399676 /nfs/dbraw/zinc/39/96/76/881399676.db2.gz FLAGQJHCCQOBQQ-HNNXBMFYSA-N 1 2 312.377 1.233 20 30 DDEDLO C#CCN(C(=O)N[C@@H](C)[C@H]1CN(C)CC[N@@H+]1C)C1CCCCC1 ZINC001123693634 859361409 /nfs/dbraw/zinc/36/14/09/859361409.db2.gz BSUAYBHUTVIOLU-DOTOQJQBSA-N 1 2 320.481 1.598 20 30 DDEDLO C#CCN(C(=O)N[C@@H](C)[C@H]1CN(C)CC[N@H+]1C)C1CCCCC1 ZINC001123693634 859361414 /nfs/dbraw/zinc/36/14/14/859361414.db2.gz BSUAYBHUTVIOLU-DOTOQJQBSA-N 1 2 320.481 1.598 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001124727455 859796258 /nfs/dbraw/zinc/79/62/58/859796258.db2.gz GUJNQPKCPHPBGK-CJNGLKHVSA-N 1 2 306.410 1.619 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(C)C[C@@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125224392 859925650 /nfs/dbraw/zinc/92/56/50/859925650.db2.gz VNDPDAKSSXLOKA-ZFWWWQNUSA-N 1 2 320.437 1.643 20 30 DDEDLO COc1ccc(C[NH+]2CCC3(CC(=O)CO3)CC2)c(C#N)c1 ZINC001137699908 859963863 /nfs/dbraw/zinc/96/38/63/859963863.db2.gz YRYIKLXVBYCYSG-UHFFFAOYSA-N 1 2 300.358 1.891 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCc3nc(C(N)=O)ccc3C2)cc1C#N ZINC001276990450 881499276 /nfs/dbraw/zinc/49/92/76/881499276.db2.gz SETTZMAQBKJCAQ-UHFFFAOYSA-N 1 2 306.369 1.919 20 30 DDEDLO Cc1ccc(C[N@H+]2CCc3nc(C(N)=O)ccc3C2)cc1C#N ZINC001276990450 881499280 /nfs/dbraw/zinc/49/92/80/881499280.db2.gz SETTZMAQBKJCAQ-UHFFFAOYSA-N 1 2 306.369 1.919 20 30 DDEDLO C[C@@H]1C(=O)NCC[N@H+]1Cc1ccc(-c2cc(C#N)ccn2)cc1 ZINC001139407355 860389874 /nfs/dbraw/zinc/38/98/74/860389874.db2.gz ZAHLJFUEILDJRU-CYBMUJFWSA-N 1 2 306.369 1.941 20 30 DDEDLO C[C@@H]1C(=O)NCC[N@@H+]1Cc1ccc(-c2cc(C#N)ccn2)cc1 ZINC001139407355 860389881 /nfs/dbraw/zinc/38/98/81/860389881.db2.gz ZAHLJFUEILDJRU-CYBMUJFWSA-N 1 2 306.369 1.941 20 30 DDEDLO N#C[C@H]1CN(C(=O)/C=C/c2ccc(Cn3cc[nH+]c3)cc2)CCO1 ZINC001142367760 861135930 /nfs/dbraw/zinc/13/59/30/861135930.db2.gz BUZMFWIFBZTNPF-RTRPANQVSA-N 1 2 322.368 1.696 20 30 DDEDLO CC[N@H+](Cc1nccs1)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152855154 863572748 /nfs/dbraw/zinc/57/27/48/863572748.db2.gz WORYUIPOZCEFEE-LLVKDONJSA-N 1 2 317.418 1.983 20 30 DDEDLO CC[N@@H+](Cc1nccs1)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152855154 863572759 /nfs/dbraw/zinc/57/27/59/863572759.db2.gz WORYUIPOZCEFEE-LLVKDONJSA-N 1 2 317.418 1.983 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2ccc(C)c(C)c2)C1 ZINC001329707557 863801808 /nfs/dbraw/zinc/80/18/08/863801808.db2.gz KQONQCOJHIQQQA-UHFFFAOYSA-N 1 2 300.402 1.493 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)c(C)o1 ZINC001153302251 863812037 /nfs/dbraw/zinc/81/20/37/863812037.db2.gz HSAPLFJRTUMYJG-CYBMUJFWSA-N 1 2 321.421 1.658 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)c(C)o1 ZINC001153302251 863812042 /nfs/dbraw/zinc/81/20/42/863812042.db2.gz HSAPLFJRTUMYJG-CYBMUJFWSA-N 1 2 321.421 1.658 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)o1 ZINC001153302985 863814364 /nfs/dbraw/zinc/81/43/64/863814364.db2.gz ZHRBYRSCYGNNLY-CYBMUJFWSA-N 1 2 307.394 1.350 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)o1 ZINC001153302985 863814366 /nfs/dbraw/zinc/81/43/66/863814366.db2.gz ZHRBYRSCYGNNLY-CYBMUJFWSA-N 1 2 307.394 1.350 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCCC[N@H+]1Cc1cn(C)nn1 ZINC001329909224 863938882 /nfs/dbraw/zinc/93/88/82/863938882.db2.gz PGKXATHFXPEYPE-OAHLLOKOSA-N 1 2 305.426 1.642 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCCC[N@@H+]1Cc1cn(C)nn1 ZINC001329909224 863938900 /nfs/dbraw/zinc/93/89/00/863938900.db2.gz PGKXATHFXPEYPE-OAHLLOKOSA-N 1 2 305.426 1.642 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C(C)(C)C(C)C)C1 ZINC001329998805 864007870 /nfs/dbraw/zinc/00/78/70/864007870.db2.gz HMNWAPZAJWLLRF-CYBMUJFWSA-N 1 2 309.454 1.407 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001158171792 864468994 /nfs/dbraw/zinc/46/89/94/864468994.db2.gz JFZGINNPZYPZLD-HUUCEWRRSA-N 1 2 315.421 1.282 20 30 DDEDLO Cc1nc(C#N)cnc1N1CC[C@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001158623904 864764875 /nfs/dbraw/zinc/76/48/75/864764875.db2.gz SNMGNZQTMMRDOK-ZDUSSCGKSA-N 1 2 307.348 1.967 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC1=CC[N@H+](Cc2nccn2C)CC1 ZINC001159586760 865413971 /nfs/dbraw/zinc/41/39/71/865413971.db2.gz CCYFZLTWFOCQKK-ZDUSSCGKSA-N 1 2 301.394 1.218 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC1=CC[N@@H+](Cc2nccn2C)CC1 ZINC001159586760 865413974 /nfs/dbraw/zinc/41/39/74/865413974.db2.gz CCYFZLTWFOCQKK-ZDUSSCGKSA-N 1 2 301.394 1.218 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC(C)C)[C@@H]2C)on1 ZINC001332181117 865644475 /nfs/dbraw/zinc/64/44/75/865644475.db2.gz YTWOKOGZEAWNOK-DZGCQCFKSA-N 1 2 319.405 1.812 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H](NC(=O)C#CC(C)C)[C@@H]2C)on1 ZINC001332181117 865644477 /nfs/dbraw/zinc/64/44/77/865644477.db2.gz YTWOKOGZEAWNOK-DZGCQCFKSA-N 1 2 319.405 1.812 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCC[C@H]1[NH+]1CCOCC1 ZINC001160724133 866070192 /nfs/dbraw/zinc/07/01/92/866070192.db2.gz OQIDFPOTJJYRTM-UONOGXRCSA-N 1 2 317.349 1.527 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)C(=O)N(C2CCCCC2)C1=O ZINC001319674947 866205336 /nfs/dbraw/zinc/20/53/36/866205336.db2.gz DEZKMDYJOSQBJN-UHFFFAOYSA-N 1 2 317.389 1.413 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)C(=O)N(C2CCCCC2)C1=O ZINC001319674947 866205354 /nfs/dbraw/zinc/20/53/54/866205354.db2.gz DEZKMDYJOSQBJN-UHFFFAOYSA-N 1 2 317.389 1.413 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1ccc(-n2cc[nH+]c2)cc1)NCC#N ZINC001319929268 866388871 /nfs/dbraw/zinc/38/88/71/866388871.db2.gz OIISRJPIYLLMNF-INIZCTEOSA-N 1 2 311.389 1.740 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)CC1(C)CCCC1 ZINC001323231601 866453449 /nfs/dbraw/zinc/45/34/49/866453449.db2.gz LFEFVHKOHLXQOQ-GJZGRUSLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)CC1(C)CCCC1 ZINC001323231601 866453458 /nfs/dbraw/zinc/45/34/58/866453458.db2.gz LFEFVHKOHLXQOQ-GJZGRUSLSA-N 1 2 321.465 1.838 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@@H+]1Cc1cc(C)ncn1 ZINC001323287070 866501631 /nfs/dbraw/zinc/50/16/31/866501631.db2.gz LWBJMAPIOZNBIM-INIZCTEOSA-N 1 2 300.406 1.669 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@H+]1Cc1cc(C)ncn1 ZINC001323287070 866501640 /nfs/dbraw/zinc/50/16/40/866501640.db2.gz LWBJMAPIOZNBIM-INIZCTEOSA-N 1 2 300.406 1.669 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1c2ccccc2NC1(C)C ZINC001333279302 866584648 /nfs/dbraw/zinc/58/46/48/866584648.db2.gz RMUBVFABMLIORX-WFASDCNBSA-N 1 2 317.389 1.495 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1([NH2+][C@@H](C)c2nc(C)no2)CC1 ZINC001323435672 866608250 /nfs/dbraw/zinc/60/82/50/866608250.db2.gz NZXGGCIDIAZXTB-HZMBPMFUSA-N 1 2 308.382 1.005 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)n1 ZINC001333309068 866616604 /nfs/dbraw/zinc/61/66/04/866616604.db2.gz CZVPABSKMWYUDQ-CQSZACIVSA-N 1 2 315.421 1.872 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)n1 ZINC001333309068 866616617 /nfs/dbraw/zinc/61/66/17/866616617.db2.gz CZVPABSKMWYUDQ-CQSZACIVSA-N 1 2 315.421 1.872 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)COCC(F)F)CC1 ZINC001323733794 866807639 /nfs/dbraw/zinc/80/76/39/866807639.db2.gz NAWNJYRDCQVAFA-UHFFFAOYSA-N 1 2 315.364 1.495 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)COCC(F)F)CC1 ZINC001323733794 866807659 /nfs/dbraw/zinc/80/76/59/866807659.db2.gz NAWNJYRDCQVAFA-UHFFFAOYSA-N 1 2 315.364 1.495 20 30 DDEDLO C=CCCC[NH+]1CC(N(C)C(=O)COc2c(C)occc2=O)C1 ZINC001323955631 866975172 /nfs/dbraw/zinc/97/51/72/866975172.db2.gz WZNVMFGHFBUDRJ-UHFFFAOYSA-N 1 2 320.389 1.436 20 30 DDEDLO C=CCOCCCC(=O)NC1(C)CC[NH+](Cc2cnon2)CC1 ZINC001324307378 867193340 /nfs/dbraw/zinc/19/33/40/867193340.db2.gz FDZGNGJCUWBONP-UHFFFAOYSA-N 1 2 322.409 1.523 20 30 DDEDLO C#CCN(C(=O)CC)C1CC[NH+]([C@@H](C)c2nc(C)no2)CC1 ZINC001324352958 867221467 /nfs/dbraw/zinc/22/14/67/867221467.db2.gz MFBDDOWZGNLQFG-LBPRGKRZSA-N 1 2 304.394 1.775 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]C/C=C\CNC(=O)C#CC(C)C)s1 ZINC001321054527 867268486 /nfs/dbraw/zinc/26/84/86/867268486.db2.gz NBDDCKJXXCCBGX-FOQNGQEVSA-N 1 2 306.435 1.829 20 30 DDEDLO CC(C)(CC(=O)N[C@@]1(C)CC[N@H+](CC(=O)NCC#N)C1)C1CC1 ZINC001324606848 867400953 /nfs/dbraw/zinc/40/09/53/867400953.db2.gz JFEOJKZMBHWIDK-KRWDZBQOSA-N 1 2 320.437 1.033 20 30 DDEDLO CC(C)(CC(=O)N[C@@]1(C)CC[N@@H+](CC(=O)NCC#N)C1)C1CC1 ZINC001324606848 867400956 /nfs/dbraw/zinc/40/09/56/867400956.db2.gz JFEOJKZMBHWIDK-KRWDZBQOSA-N 1 2 320.437 1.033 20 30 DDEDLO C#CCCCC(=O)N[C@]1(C)CC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001324608547 867403122 /nfs/dbraw/zinc/40/31/22/867403122.db2.gz QIWQWZOSPYFHBU-QGZVFWFLSA-N 1 2 316.405 1.369 20 30 DDEDLO C#CCCCC(=O)N[C@]1(C)CC[N@H+](Cc2cncc(OC)n2)C1 ZINC001324608547 867403126 /nfs/dbraw/zinc/40/31/26/867403126.db2.gz QIWQWZOSPYFHBU-QGZVFWFLSA-N 1 2 316.405 1.369 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](O)(CNC(=O)/C(C)=C\C)C1 ZINC001325087422 867761086 /nfs/dbraw/zinc/76/10/86/867761086.db2.gz VBCFFXOHEMSPIH-JTMRULEUSA-N 1 2 317.227 1.414 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](O)(CNC(=O)/C(C)=C\C)C1 ZINC001325087422 867761095 /nfs/dbraw/zinc/76/10/95/867761095.db2.gz VBCFFXOHEMSPIH-JTMRULEUSA-N 1 2 317.227 1.414 20 30 DDEDLO C=CCCCC(=O)NCC1(O)CN(Cc2cc(OC)cc[nH+]2)C1 ZINC001325258390 867895362 /nfs/dbraw/zinc/89/53/62/867895362.db2.gz AQSWDOUPHVJGFC-UHFFFAOYSA-N 1 2 319.405 1.109 20 30 DDEDLO C#CCN(C(=O)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1)C(C)C ZINC001335123722 868080583 /nfs/dbraw/zinc/08/05/83/868080583.db2.gz ZMLUEYMIASLPHS-CABCVRRESA-N 1 2 316.405 1.163 20 30 DDEDLO CS(=O)(=O)CCCC[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC001335204377 868133916 /nfs/dbraw/zinc/13/39/16/868133916.db2.gz RSJBDVYUJSLKRQ-UHFFFAOYSA-N 1 2 301.408 1.149 20 30 DDEDLO Cc1nnc([C@@H](C)[NH+]2CCC(NC(=O)CSCC#N)CC2)o1 ZINC001226152573 882234891 /nfs/dbraw/zinc/23/48/91/882234891.db2.gz JXZCSZCETIYTKK-SNVBAGLBSA-N 1 2 323.422 1.276 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2cc(C#N)cc(C(F)(F)F)n2)C[NH2+]1 ZINC001164302500 869105566 /nfs/dbraw/zinc/10/55/66/869105566.db2.gz VJAKERUOSZIWNK-IUCAKERBSA-N 1 2 314.267 1.287 20 30 DDEDLO N#Cc1cccnc1N1CCc2onc(Cn3cc[nH+]c3)c2C1 ZINC001165545409 869636267 /nfs/dbraw/zinc/63/62/67/869636267.db2.gz AVLAISNJHLWBGO-UHFFFAOYSA-N 1 2 306.329 1.749 20 30 DDEDLO CCOC(=O)c1ccc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)[nH]1 ZINC001338290542 869906356 /nfs/dbraw/zinc/90/63/56/869906356.db2.gz WWULNKQGZCAAQF-CHWSQXEVSA-N 1 2 304.394 1.610 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)C2(C(F)F)CCCC2)CC1 ZINC001316967123 870009903 /nfs/dbraw/zinc/00/99/03/870009903.db2.gz SAIWNWGSVNNWLS-UHFFFAOYSA-N 1 2 314.380 1.069 20 30 DDEDLO Cn1c(CCCC#N)nnc1N1CCN(c2cccc[nH+]2)CC1 ZINC001338562057 870044596 /nfs/dbraw/zinc/04/45/96/870044596.db2.gz SSIKZNLGOZUFRT-UHFFFAOYSA-N 1 2 311.393 1.383 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2c(C)nnn2CC)C1 ZINC001316984746 870067904 /nfs/dbraw/zinc/06/79/04/870067904.db2.gz NJQLVMMWWOLORN-AWEZNQCLSA-N 1 2 319.453 1.899 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2c(C)nnn2CC)C1 ZINC001316984746 870067917 /nfs/dbraw/zinc/06/79/17/870067917.db2.gz NJQLVMMWWOLORN-AWEZNQCLSA-N 1 2 319.453 1.899 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001297674476 870134761 /nfs/dbraw/zinc/13/47/61/870134761.db2.gz NDQPERRTVMRAGX-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO CCCc1nc(C[NH2+][C@H]2C[C@H](NC(=O)C#CC(C)(C)C)C2)no1 ZINC001317076966 870209999 /nfs/dbraw/zinc/20/99/99/870209999.db2.gz YRKLUIQRKWGUBY-JOCQHMNTSA-N 1 2 318.421 1.808 20 30 DDEDLO COCC#CC[NH2+][C@@H]1CCCC[C@H]1NC(=O)C(F)C(F)(F)F ZINC001226365561 882388220 /nfs/dbraw/zinc/38/82/20/882388220.db2.gz IKZOCMOHFXZTNZ-UTUOFQBUSA-N 1 2 324.318 1.554 20 30 DDEDLO COCC#CC[NH2+][C@@H]1CCCC[C@H]1NC(=O)[C@H](F)C(F)(F)F ZINC001226365561 882388230 /nfs/dbraw/zinc/38/82/30/882388230.db2.gz IKZOCMOHFXZTNZ-UTUOFQBUSA-N 1 2 324.318 1.554 20 30 DDEDLO C=C1CCN(c2nnc([C@]3(C)C[C@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001339354600 870459288 /nfs/dbraw/zinc/45/92/88/870459288.db2.gz RKVTZSPUTSRTFJ-BBRMVZONSA-N 1 2 305.426 1.366 20 30 DDEDLO C=C1CCN(c2nnc([C@]3(C)C[C@H](O)C[N@H+]3C)n2CC)CC1 ZINC001339354600 870459298 /nfs/dbraw/zinc/45/92/98/870459298.db2.gz RKVTZSPUTSRTFJ-BBRMVZONSA-N 1 2 305.426 1.366 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1CC ZINC001339690754 870636500 /nfs/dbraw/zinc/63/65/00/870636500.db2.gz XIHLBDGGBIRIFB-AWEZNQCLSA-N 1 2 305.426 1.539 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1CC ZINC001339690754 870636507 /nfs/dbraw/zinc/63/65/07/870636507.db2.gz XIHLBDGGBIRIFB-AWEZNQCLSA-N 1 2 305.426 1.539 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CC[C@@H]1C ZINC001339698832 870640166 /nfs/dbraw/zinc/64/01/66/870640166.db2.gz HVHXNNBKWOIFNL-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC1CC[NH+](Cc2ncccn2)CC1 ZINC001226454727 882438659 /nfs/dbraw/zinc/43/86/59/882438659.db2.gz BUZNGPRATAQPDI-CQSZACIVSA-N 1 2 318.421 1.538 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)CNC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001298868384 870761116 /nfs/dbraw/zinc/76/11/16/870761116.db2.gz BYVVXIAPVWHMTG-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@H+](C)[C@@H](C)C(=O)Nc1nccs1 ZINC001317443940 870845650 /nfs/dbraw/zinc/84/56/50/870845650.db2.gz NBQQUGVXDUCPCE-LBPRGKRZSA-N 1 2 322.434 1.178 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@@H+](C)[C@@H](C)C(=O)Nc1nccs1 ZINC001317443940 870845667 /nfs/dbraw/zinc/84/56/67/870845667.db2.gz NBQQUGVXDUCPCE-LBPRGKRZSA-N 1 2 322.434 1.178 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H]1CNC(=O)c1ccns1 ZINC001317503037 870933469 /nfs/dbraw/zinc/93/34/69/870933469.db2.gz VXTXHCZQFQWORU-NEPJUHHUSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H]1CNC(=O)c1ccns1 ZINC001317503037 870933481 /nfs/dbraw/zinc/93/34/81/870933481.db2.gz VXTXHCZQFQWORU-NEPJUHHUSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C(C)C)C1 ZINC001340258004 870992584 /nfs/dbraw/zinc/99/25/84/870992584.db2.gz DMAYQFMXXVPTPJ-ILXRZTDVSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C(C)C)C1 ZINC001340258004 870992596 /nfs/dbraw/zinc/99/25/96/870992596.db2.gz DMAYQFMXXVPTPJ-ILXRZTDVSA-N 1 2 319.453 1.684 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3C[C@@H](C2)N3CCCn2cccn2)cc1C#N ZINC001276450568 871011924 /nfs/dbraw/zinc/01/19/24/871011924.db2.gz IWVJTNABTKDFAL-HDICACEKSA-N 1 2 324.432 1.442 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3C[C@@H](C2)N3CCCn2cccn2)cc1C#N ZINC001276450568 871011945 /nfs/dbraw/zinc/01/19/45/871011945.db2.gz IWVJTNABTKDFAL-HDICACEKSA-N 1 2 324.432 1.442 20 30 DDEDLO C=CCn1c(N2CC[C@@H]3C[C@@H]3C2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001340701159 871291606 /nfs/dbraw/zinc/29/16/06/871291606.db2.gz IJZJXXZOQNMROG-WBOJAVRRSA-N 1 2 317.437 1.222 20 30 DDEDLO C=CCn1c(N2CC[C@@H]3C[C@@H]3C2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001340701159 871291628 /nfs/dbraw/zinc/29/16/28/871291628.db2.gz IJZJXXZOQNMROG-WBOJAVRRSA-N 1 2 317.437 1.222 20 30 DDEDLO C#CCCCC(=O)NCC[N@@H+](C)CC(=O)N[C@@H]1CCCC[C@H]1C ZINC001317443513 871332406 /nfs/dbraw/zinc/33/24/06/871332406.db2.gz ASRTVJIJGFPVTG-HZPDHXFCSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCCCC(=O)NCC[N@H+](C)CC(=O)N[C@@H]1CCCC[C@H]1C ZINC001317443513 871332427 /nfs/dbraw/zinc/33/24/27/871332427.db2.gz ASRTVJIJGFPVTG-HZPDHXFCSA-N 1 2 321.465 1.533 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CCC2CCC2)C1 ZINC001317964986 871656895 /nfs/dbraw/zinc/65/68/95/871656895.db2.gz ZBNIGQVATHFXLR-HNNXBMFYSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CCC2CCC2)C1 ZINC001317964986 871656899 /nfs/dbraw/zinc/65/68/99/871656899.db2.gz ZBNIGQVATHFXLR-HNNXBMFYSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001317972543 871661520 /nfs/dbraw/zinc/66/15/20/871661520.db2.gz FZWJQUGIZJQSEZ-GJZGRUSLSA-N 1 2 309.454 1.838 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001317972543 871661525 /nfs/dbraw/zinc/66/15/25/871661525.db2.gz FZWJQUGIZJQSEZ-GJZGRUSLSA-N 1 2 309.454 1.838 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc(C[NH+]3CCCC3)n2CCOC)C1 ZINC001341604041 871732475 /nfs/dbraw/zinc/73/24/75/871732475.db2.gz JGEAYXNVUPLRAO-OAHLLOKOSA-N 1 2 317.437 1.370 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CC[N@@H+]([C@H](C)c2csnn2)C1 ZINC001317522203 871733662 /nfs/dbraw/zinc/73/36/62/871733662.db2.gz HQNJJLWGJDMOIY-OLZOCXBDSA-N 1 2 322.434 1.077 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CC[N@H+]([C@H](C)c2csnn2)C1 ZINC001317522203 871733686 /nfs/dbraw/zinc/73/36/86/871733686.db2.gz HQNJJLWGJDMOIY-OLZOCXBDSA-N 1 2 322.434 1.077 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N(C)CCNC(C)=O ZINC001341749659 871805568 /nfs/dbraw/zinc/80/55/68/871805568.db2.gz JLLRUNFUSDXAJG-UHFFFAOYSA-N 1 2 320.441 1.022 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(C)=C(C)C ZINC001318210106 871836477 /nfs/dbraw/zinc/83/64/77/871836477.db2.gz UZFKNICYYAVKTE-PMPSAXMXSA-N 1 2 319.449 1.709 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(C)=C(C)C ZINC001318210106 871836489 /nfs/dbraw/zinc/83/64/89/871836489.db2.gz UZFKNICYYAVKTE-PMPSAXMXSA-N 1 2 319.449 1.709 20 30 DDEDLO Cc1ccc(F)cc1C(=O)NCC[NH+]1CCN(CC#N)CC1 ZINC001316965315 871871417 /nfs/dbraw/zinc/87/14/17/871871417.db2.gz BMBFQUHVBIPSFI-UHFFFAOYSA-N 1 2 304.369 1.005 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@@H]2CCCC[N@@H+]2C)n1CCOC ZINC001341899645 871884482 /nfs/dbraw/zinc/88/44/82/871884482.db2.gz UTLWJTZLUXTJKJ-KGLIPLIRSA-N 1 2 305.426 1.539 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@@H]2CCCC[N@H+]2C)n1CCOC ZINC001341899645 871884501 /nfs/dbraw/zinc/88/45/01/871884501.db2.gz UTLWJTZLUXTJKJ-KGLIPLIRSA-N 1 2 305.426 1.539 20 30 DDEDLO CC(C)(C)C(=O)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2)C1 ZINC001318320794 871925907 /nfs/dbraw/zinc/92/59/07/871925907.db2.gz MEMJEJCICSOCMG-OAHLLOKOSA-N 1 2 313.401 1.864 20 30 DDEDLO CC(C)(C)C(=O)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001318320794 871925919 /nfs/dbraw/zinc/92/59/19/871925919.db2.gz MEMJEJCICSOCMG-OAHLLOKOSA-N 1 2 313.401 1.864 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@@H]1CC[N@H+](CCS(C)(=O)=O)C1 ZINC001318408934 872012414 /nfs/dbraw/zinc/01/24/14/872012414.db2.gz ZFVHYRWRBBGTIW-CYBMUJFWSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@@H]1CC[N@@H+](CCS(C)(=O)=O)C1 ZINC001318408934 872012431 /nfs/dbraw/zinc/01/24/31/872012431.db2.gz ZFVHYRWRBBGTIW-CYBMUJFWSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CCOC(=O)N1CCC2(C[NH+](Cc3ccn(C)n3)C2)CC1 ZINC001206257324 872165127 /nfs/dbraw/zinc/16/51/27/872165127.db2.gz YEKBQSVAZMAFGZ-UHFFFAOYSA-N 1 2 304.394 1.641 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@](C)(NC(=O)C#CC(C)C)C2CC2)no1 ZINC001318686726 872204084 /nfs/dbraw/zinc/20/40/84/872204084.db2.gz RMNUNCRIDITOJP-PXAZEXFGSA-N 1 2 318.421 1.973 20 30 DDEDLO N#CCNCC[C@H]1CCCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001318803788 872280102 /nfs/dbraw/zinc/28/01/02/872280102.db2.gz HHKFALRTJCKEHM-CABCVRRESA-N 1 2 315.421 1.187 20 30 DDEDLO C=CCN(CC[NH+]1CCN(C(N)=O)CC1)C(=O)OC(C)(C)C ZINC001207205006 873121313 /nfs/dbraw/zinc/12/13/13/873121313.db2.gz DJPVXQQCYUCNKT-UHFFFAOYSA-N 1 2 312.414 1.106 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2nccn2C)C[C@H]1C ZINC001208371495 874076718 /nfs/dbraw/zinc/07/67/18/874076718.db2.gz YEVDNOXDDDRSLT-HUUCEWRRSA-N 1 2 302.422 1.550 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2nccn2C)C[C@H]1C ZINC001208371495 874076733 /nfs/dbraw/zinc/07/67/33/874076733.db2.gz YEVDNOXDDDRSLT-HUUCEWRRSA-N 1 2 302.422 1.550 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)cn2)CC1(C)C ZINC001276570874 874302331 /nfs/dbraw/zinc/30/23/31/874302331.db2.gz RBBDNISRQUFWHG-OAHLLOKOSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)cn2)CC1(C)C ZINC001276570874 874302345 /nfs/dbraw/zinc/30/23/45/874302345.db2.gz RBBDNISRQUFWHG-OAHLLOKOSA-N 1 2 318.421 1.314 20 30 DDEDLO CC(C)(C)OC(=O)N1CCOC[C@H]1C[N@@H+]1CC[C@](C)(C#N)C1 ZINC001208985209 874583303 /nfs/dbraw/zinc/58/33/03/874583303.db2.gz QRGHOTMMXJRAHR-CZUORRHYSA-N 1 2 309.410 1.858 20 30 DDEDLO CC(C)(C)OC(=O)N1CCOC[C@H]1C[N@H+]1CC[C@](C)(C#N)C1 ZINC001208985209 874583306 /nfs/dbraw/zinc/58/33/06/874583306.db2.gz QRGHOTMMXJRAHR-CZUORRHYSA-N 1 2 309.410 1.858 20 30 DDEDLO C=CCN(CC[N@@H+]1CCO[C@@H]2C[C@H](CO)C[C@@H]21)C(=O)OCC ZINC001209018102 874605027 /nfs/dbraw/zinc/60/50/27/874605027.db2.gz BWXRAVUYROALIU-QLFBSQMISA-N 1 2 312.410 1.103 20 30 DDEDLO C=CCN(CC[N@H+]1CCO[C@@H]2C[C@H](CO)C[C@@H]21)C(=O)OCC ZINC001209018102 874605032 /nfs/dbraw/zinc/60/50/32/874605032.db2.gz BWXRAVUYROALIU-QLFBSQMISA-N 1 2 312.410 1.103 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+]([C@H](C)c2nnc(C)o2)CC1 ZINC001227123594 882854070 /nfs/dbraw/zinc/85/40/70/882854070.db2.gz FTRNSBOWUAXQKQ-BZNIZROVSA-N 1 2 322.409 1.347 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)CCc2cnn(C)c2)C1 ZINC001378587596 875178220 /nfs/dbraw/zinc/17/82/20/875178220.db2.gz OFBBMEOAINTXOM-GFCCVEGCSA-N 1 2 310.829 1.542 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001211355472 875765833 /nfs/dbraw/zinc/76/58/33/875765833.db2.gz SFEJENMVUHNLCE-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)COCCOCC)C1 ZINC001211378917 875784257 /nfs/dbraw/zinc/78/42/57/875784257.db2.gz QNKHBYLVNCANOY-DGCLKSJQSA-N 1 2 304.818 1.229 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COCCOCC)C1 ZINC001211378917 875784271 /nfs/dbraw/zinc/78/42/71/875784271.db2.gz QNKHBYLVNCANOY-DGCLKSJQSA-N 1 2 304.818 1.229 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001211425230 875802844 /nfs/dbraw/zinc/80/28/44/875802844.db2.gz JAZDQKPPCRMYHZ-KWCYVHTRSA-N 1 2 323.462 1.979 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001211425230 875802852 /nfs/dbraw/zinc/80/28/52/875802852.db2.gz JAZDQKPPCRMYHZ-KWCYVHTRSA-N 1 2 323.462 1.979 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@H]1OC ZINC001213357367 875906359 /nfs/dbraw/zinc/90/63/59/875906359.db2.gz RMAGKQWMYOLWGJ-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@H]1OC ZINC001213357367 875906366 /nfs/dbraw/zinc/90/63/66/875906366.db2.gz RMAGKQWMYOLWGJ-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213390335 875918020 /nfs/dbraw/zinc/91/80/20/875918020.db2.gz YLTKBUAVXSEEEX-BFYDXBDKSA-N 1 2 308.422 1.054 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213390335 875918031 /nfs/dbraw/zinc/91/80/31/875918031.db2.gz YLTKBUAVXSEEEX-BFYDXBDKSA-N 1 2 308.422 1.054 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)OCc2ccccc2)[C@H](OC)C1 ZINC001213496364 875952709 /nfs/dbraw/zinc/95/27/09/875952709.db2.gz ODKSDPMFPMHRLV-DJIMGWMZSA-N 1 2 316.401 1.040 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)OCc2ccccc2)[C@H](OC)C1 ZINC001213496364 875952719 /nfs/dbraw/zinc/95/27/19/875952719.db2.gz ODKSDPMFPMHRLV-DJIMGWMZSA-N 1 2 316.401 1.040 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213626694 876000772 /nfs/dbraw/zinc/00/07/72/876000772.db2.gz FXSFDRKQPDPIJN-JFIYKMOQSA-N 1 2 324.465 1.668 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213626694 876000788 /nfs/dbraw/zinc/00/07/88/876000788.db2.gz FXSFDRKQPDPIJN-JFIYKMOQSA-N 1 2 324.465 1.668 20 30 DDEDLO CCC[C@@H](CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213638311 876006771 /nfs/dbraw/zinc/00/67/71/876006771.db2.gz IJEGCRGHJPANOR-BZUAXINKSA-N 1 2 310.438 1.278 20 30 DDEDLO CCC[C@@H](CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213638311 876006784 /nfs/dbraw/zinc/00/67/84/876006784.db2.gz IJEGCRGHJPANOR-BZUAXINKSA-N 1 2 310.438 1.278 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2CN(C(=O)C#CC(C)(C)C)C[C@H]2C)n1 ZINC001214591343 876409003 /nfs/dbraw/zinc/40/90/03/876409003.db2.gz YLLZWRBLRZKISU-CHWSQXEVSA-N 1 2 318.421 1.618 20 30 DDEDLO C=C1CC(C)(C(=O)NCCCN(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001352360498 876709633 /nfs/dbraw/zinc/70/96/33/876709633.db2.gz SVLVZAMOXCTGNI-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@@H]2C[C@@H](O)C[N@@H+]2C)n1CC ZINC001352904103 876997476 /nfs/dbraw/zinc/99/74/76/876997476.db2.gz YZXLKOYLIUMYTM-KFWWJZLASA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@@H]2C[C@@H](O)C[N@H+]2C)n1CC ZINC001352904103 876997480 /nfs/dbraw/zinc/99/74/80/876997480.db2.gz YZXLKOYLIUMYTM-KFWWJZLASA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@H]2C[C@@H](O)C[N@@H+]2C)n1CC ZINC001352904107 876998207 /nfs/dbraw/zinc/99/82/07/876998207.db2.gz YZXLKOYLIUMYTM-RBSFLKMASA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@H]2C[C@@H](O)C[N@H+]2C)n1CC ZINC001352904107 876998218 /nfs/dbraw/zinc/99/82/18/876998218.db2.gz YZXLKOYLIUMYTM-RBSFLKMASA-N 1 2 319.453 1.971 20 30 DDEDLO CCC(C)(C)C(=O)NC[C@@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001379475485 877162486 /nfs/dbraw/zinc/16/24/86/877162486.db2.gz GZEMCUXHODFZAT-HNNXBMFYSA-N 1 2 321.396 1.700 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cc(C)ncn3)C[C@@H]21 ZINC001217503504 877214778 /nfs/dbraw/zinc/21/47/78/877214778.db2.gz GZZGPEQALBWQII-JKSUJKDBSA-N 1 2 316.405 1.163 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cc(C)ncn3)C[C@@H]21 ZINC001217503504 877214791 /nfs/dbraw/zinc/21/47/91/877214791.db2.gz GZZGPEQALBWQII-JKSUJKDBSA-N 1 2 316.405 1.163 20 30 DDEDLO C[C@H](NC(=O)c1ncc(C#N)cc1Cl)[C@@H]1C[N@H+](C)CCO1 ZINC001416812564 877383752 /nfs/dbraw/zinc/38/37/52/877383752.db2.gz ZSWMQRAITUNITK-CABZTGNLSA-N 1 2 308.769 1.056 20 30 DDEDLO C[C@H](NC(=O)c1ncc(C#N)cc1Cl)[C@@H]1C[N@@H+](C)CCO1 ZINC001416812564 877383773 /nfs/dbraw/zinc/38/37/73/877383773.db2.gz ZSWMQRAITUNITK-CABZTGNLSA-N 1 2 308.769 1.056 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@H]1CCc2nccn2C1 ZINC001379695005 877761256 /nfs/dbraw/zinc/76/12/56/877761256.db2.gz GGMPYEAWMXONBC-CHWSQXEVSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@@H]1CCc2nccn2C1 ZINC001379695005 877761266 /nfs/dbraw/zinc/76/12/66/877761266.db2.gz GGMPYEAWMXONBC-CHWSQXEVSA-N 1 2 310.829 1.635 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)CNC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001354488480 878015167 /nfs/dbraw/zinc/01/51/67/878015167.db2.gz GDVVLFITJXLLGM-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)CNC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001354488480 878015186 /nfs/dbraw/zinc/01/51/86/878015186.db2.gz GDVVLFITJXLLGM-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO Cc1cccc(Cl)c1C[N@H+]1C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](O)C1 ZINC001219381309 878165029 /nfs/dbraw/zinc/16/50/29/878165029.db2.gz OIAJYSMUDCTTGP-GLQYFDAESA-N 1 2 321.808 1.469 20 30 DDEDLO Cc1cccc(Cl)c1C[N@@H+]1C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](O)C1 ZINC001219381309 878165032 /nfs/dbraw/zinc/16/50/32/878165032.db2.gz OIAJYSMUDCTTGP-GLQYFDAESA-N 1 2 321.808 1.469 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CN(C)C(=O)Cc1c[nH+]ccc1OC ZINC001287649735 912373830 /nfs/dbraw/zinc/37/38/30/912373830.db2.gz XACRBROLCYALPR-CYBMUJFWSA-N 1 2 319.405 1.562 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@H](C)C1 ZINC001355929496 878783635 /nfs/dbraw/zinc/78/36/35/878783635.db2.gz KIAVWPZPJWVVEV-ZIAGYGMSSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N1CCO[C@H](C)C1 ZINC001355929496 878783652 /nfs/dbraw/zinc/78/36/52/878783652.db2.gz KIAVWPZPJWVVEV-ZIAGYGMSSA-N 1 2 305.426 1.846 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@@H]1O ZINC001220190292 878800644 /nfs/dbraw/zinc/80/06/44/878800644.db2.gz IHHWWDFTFSIWRL-IMJJTQAJSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@@H]1O ZINC001220190292 878800653 /nfs/dbraw/zinc/80/06/53/878800653.db2.gz IHHWWDFTFSIWRL-IMJJTQAJSA-N 1 2 303.406 1.345 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H](C)NC(=O)Cn2cc[nH+]c2)cc1 ZINC001287678031 912387791 /nfs/dbraw/zinc/38/77/91/912387791.db2.gz DJITVDVLPYZEQY-CQSZACIVSA-N 1 2 324.384 1.141 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC2CCCC2)C(C)C)[C@@H](O)C1 ZINC001220476503 879049648 /nfs/dbraw/zinc/04/96/48/879049648.db2.gz KCPSLKBRGOGCNA-ZACQAIPSSA-N 1 2 306.450 1.633 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC2CCCC2)C(C)C)[C@@H](O)C1 ZINC001220476503 879049654 /nfs/dbraw/zinc/04/96/54/879049654.db2.gz KCPSLKBRGOGCNA-ZACQAIPSSA-N 1 2 306.450 1.633 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(CNC(=O)CCn2cc[nH+]c2)C1 ZINC001356824097 879431380 /nfs/dbraw/zinc/43/13/80/879431380.db2.gz YQRYBYQNCXPMJM-UHFFFAOYSA-N 1 2 318.421 1.450 20 30 DDEDLO C=CCCC(=O)NC[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C(C)C ZINC001357087294 879769905 /nfs/dbraw/zinc/76/99/05/879769905.db2.gz ANNMYEZBGUICKA-MCIONIFRSA-N 1 2 318.421 1.736 20 30 DDEDLO C=CCCC(=O)NC[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C(C)C ZINC001357087294 879769911 /nfs/dbraw/zinc/76/99/11/879769911.db2.gz ANNMYEZBGUICKA-MCIONIFRSA-N 1 2 318.421 1.736 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]2CN(C(=O)C(F)=C(C)C)[C@@H]2C1 ZINC001221476913 879858917 /nfs/dbraw/zinc/85/89/17/879858917.db2.gz DDVVGXBQQGRVLL-MGPQQGTHSA-N 1 2 323.412 1.473 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]2CN(C(=O)C(F)=C(C)C)[C@@H]2C1 ZINC001221476913 879858932 /nfs/dbraw/zinc/85/89/32/879858932.db2.gz DDVVGXBQQGRVLL-MGPQQGTHSA-N 1 2 323.412 1.473 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C(C)(C)C3CC3)[C@@H]2C1 ZINC001221754839 880057486 /nfs/dbraw/zinc/05/74/86/880057486.db2.gz XCWUBZZCYCJJIJ-UKRRQHHQSA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(C)C3CC3)[C@@H]2C1 ZINC001221754839 880057503 /nfs/dbraw/zinc/05/75/03/880057503.db2.gz XCWUBZZCYCJJIJ-UKRRQHHQSA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001222067191 880208363 /nfs/dbraw/zinc/20/83/63/880208363.db2.gz DSIUAJPYJWBCHG-RYUDHWBXSA-N 1 2 310.423 1.210 20 30 DDEDLO N#CCSCC(=O)N1CC[C@H]2CC[N@H+](Cc3ccon3)[C@H]2C1 ZINC001222296173 880384162 /nfs/dbraw/zinc/38/41/62/880384162.db2.gz VLYIIIVMIPYVAR-OCCSQVGLSA-N 1 2 320.418 1.354 20 30 DDEDLO N#CCSCC(=O)N1CC[C@H]2CC[N@@H+](Cc3ccon3)[C@H]2C1 ZINC001222296173 880384176 /nfs/dbraw/zinc/38/41/76/880384176.db2.gz VLYIIIVMIPYVAR-OCCSQVGLSA-N 1 2 320.418 1.354 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nc(C)no3)[C@H]2C1 ZINC001222448447 880474967 /nfs/dbraw/zinc/47/49/67/880474967.db2.gz VCJVYAMUIWTQGN-KGLIPLIRSA-N 1 2 320.393 1.003 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@H+](Cc3nc(C)no3)[C@H]2C1 ZINC001222448447 880474974 /nfs/dbraw/zinc/47/49/74/880474974.db2.gz VCJVYAMUIWTQGN-KGLIPLIRSA-N 1 2 320.393 1.003 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001358625198 880635688 /nfs/dbraw/zinc/63/56/88/880635688.db2.gz NSHQDHBQENKKRP-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCCCC(=O)NCC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001223013359 880783881 /nfs/dbraw/zinc/78/38/81/880783881.db2.gz XOOHZCXSMAYDEF-UHFFFAOYSA-N 1 2 317.437 1.430 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCC(C)CC1 ZINC001276862688 880822330 /nfs/dbraw/zinc/82/23/30/880822330.db2.gz XCZAAXRGHUGKBK-MRXNPFEDSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCC(C)CC1 ZINC001276862688 880822347 /nfs/dbraw/zinc/82/23/47/880822347.db2.gz XCZAAXRGHUGKBK-MRXNPFEDSA-N 1 2 321.465 1.792 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H](C)N(C(=O)CCn2cc[nH+]c2)C1 ZINC001287944324 912612739 /nfs/dbraw/zinc/61/27/39/912612739.db2.gz UHFQILOTIBGQBR-LSDHHAIUSA-N 1 2 316.405 1.182 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](C)N(C(=O)CCn2cc[nH+]c2)C1 ZINC001287943747 912614391 /nfs/dbraw/zinc/61/43/91/912614391.db2.gz RJYMVMJDVFJRPF-GJZGRUSLSA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CCC[N@H+](Cc1cnon1)C2 ZINC001276878891 880911856 /nfs/dbraw/zinc/91/18/56/880911856.db2.gz SDFWZDVSAJZHCR-UHFFFAOYSA-N 1 2 304.394 1.850 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CCC[N@@H+](Cc1cnon1)C2 ZINC001276878891 880911862 /nfs/dbraw/zinc/91/18/62/880911862.db2.gz SDFWZDVSAJZHCR-UHFFFAOYSA-N 1 2 304.394 1.850 20 30 DDEDLO CCc1ccc([C@@H](O)C[NH+]2CCN(C(=O)[C@H](C)C#N)CC2)cc1 ZINC001381078170 880972106 /nfs/dbraw/zinc/97/21/06/880972106.db2.gz FWDMIFNZPCGOOP-PBHICJAKSA-N 1 2 315.417 1.586 20 30 DDEDLO C=CCCC(=O)N1CC[C@@]2(NC(=O)Cn3cc[nH+]c3)CCC[C@H]12 ZINC001287975628 912636261 /nfs/dbraw/zinc/63/62/61/912636261.db2.gz VBOHOIOKTBDHQF-YOEHRIQHSA-N 1 2 316.405 1.489 20 30 DDEDLO C#CCN(CC)c1nnc(CC)n1CC[N@@H+]1CCOC[C@@H]1C ZINC001359011136 881146456 /nfs/dbraw/zinc/14/64/56/881146456.db2.gz LQTTXPKOEIPIRX-AWEZNQCLSA-N 1 2 305.426 1.021 20 30 DDEDLO C#CCN(CC)c1nnc(CC)n1CC[N@H+]1CCOC[C@@H]1C ZINC001359011136 881146481 /nfs/dbraw/zinc/14/64/81/881146481.db2.gz LQTTXPKOEIPIRX-AWEZNQCLSA-N 1 2 305.426 1.021 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CC2(COC)CCC2)CC1 ZINC001359029843 881160623 /nfs/dbraw/zinc/16/06/23/881160623.db2.gz IXVCOQPMZBSQMZ-UHFFFAOYSA-N 1 2 317.437 1.158 20 30 DDEDLO C=CCCCC(=O)NCC1CC[NH+]([C@H](C)c2nnnn2C)CC1 ZINC001224272693 881301785 /nfs/dbraw/zinc/30/17/85/881301785.db2.gz FROIBWNGAHBJAQ-CYBMUJFWSA-N 1 2 320.441 1.456 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001288039433 912687970 /nfs/dbraw/zinc/68/79/70/912687970.db2.gz HPULZCQWMVJLIS-GJZGRUSLSA-N 1 2 318.421 1.641 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2ncc(CC)o2)CC1 ZINC001230407265 884472467 /nfs/dbraw/zinc/47/24/67/884472467.db2.gz LMUCTAQVVHNHCH-CYBMUJFWSA-N 1 2 321.421 1.909 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C#N)ccc1F ZINC001230568192 884592248 /nfs/dbraw/zinc/59/22/48/884592248.db2.gz GXSYLISPUZBPLL-HNNXBMFYSA-N 1 2 319.380 1.767 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C#N)ccc1F ZINC001230568192 884592255 /nfs/dbraw/zinc/59/22/55/884592255.db2.gz GXSYLISPUZBPLL-HNNXBMFYSA-N 1 2 319.380 1.767 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(C)c1 ZINC001230653758 884705557 /nfs/dbraw/zinc/70/55/57/884705557.db2.gz IBYSNSJUGLKZPG-QGZVFWFLSA-N 1 2 300.402 1.791 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(C)c1 ZINC001230653758 884705566 /nfs/dbraw/zinc/70/55/66/884705566.db2.gz IBYSNSJUGLKZPG-QGZVFWFLSA-N 1 2 300.402 1.791 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001231094020 885214453 /nfs/dbraw/zinc/21/44/53/885214453.db2.gz DVEFCYRBAUUMPZ-HOCLYGCPSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001231094020 885214471 /nfs/dbraw/zinc/21/44/71/885214471.db2.gz DVEFCYRBAUUMPZ-HOCLYGCPSA-N 1 2 320.437 1.434 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccccc1C#N ZINC001231217291 885390430 /nfs/dbraw/zinc/39/04/30/885390430.db2.gz PNPSFVPUDPIBJZ-RHSMWYFYSA-N 1 2 315.417 1.873 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccccc1C#N ZINC001231217291 885390452 /nfs/dbraw/zinc/39/04/52/885390452.db2.gz PNPSFVPUDPIBJZ-RHSMWYFYSA-N 1 2 315.417 1.873 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnccc1C ZINC001231359061 885546651 /nfs/dbraw/zinc/54/66/51/885546651.db2.gz KEUYSDSJVWTKJQ-OAHLLOKOSA-N 1 2 301.390 1.186 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnccc1C ZINC001231359061 885546660 /nfs/dbraw/zinc/54/66/60/885546660.db2.gz KEUYSDSJVWTKJQ-OAHLLOKOSA-N 1 2 301.390 1.186 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)Cc1ccn[nH]1 ZINC001231376397 885568574 /nfs/dbraw/zinc/56/85/74/885568574.db2.gz DBVONRJWVREOJR-QGZVFWFLSA-N 1 2 323.400 1.557 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)Cc1ccn[nH]1 ZINC001231376397 885568581 /nfs/dbraw/zinc/56/85/81/885568581.db2.gz DBVONRJWVREOJR-QGZVFWFLSA-N 1 2 323.400 1.557 20 30 DDEDLO CCO[C@@H]1COC[C@H]1[NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC001363236763 885787777 /nfs/dbraw/zinc/78/77/77/885787777.db2.gz XMYMKPYGUVZZQF-ZIAGYGMSSA-N 1 2 314.345 1.502 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C1C[NH+](Cc2cccc(C)c2)C1 ZINC001277594157 885986303 /nfs/dbraw/zinc/98/63/03/885986303.db2.gz TXWUNHPRCQXOFW-OAHLLOKOSA-N 1 2 300.402 1.676 20 30 DDEDLO Cc1c(C(=O)NCc2[nH]c3c([nH+]2)CCCC3)cnn1CCC#N ZINC001363625238 886800319 /nfs/dbraw/zinc/80/03/19/886800319.db2.gz OSNALCZMWFNJGJ-UHFFFAOYSA-N 1 2 312.377 1.637 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccnn1C ZINC001233490529 887033041 /nfs/dbraw/zinc/03/30/41/887033041.db2.gz RNZPUCPEYLEFBT-INIZCTEOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccnn1C ZINC001233490529 887033054 /nfs/dbraw/zinc/03/30/54/887033054.db2.gz RNZPUCPEYLEFBT-INIZCTEOSA-N 1 2 320.437 1.436 20 30 DDEDLO C/C=C(/C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CCC#N ZINC001233548798 887098388 /nfs/dbraw/zinc/09/83/88/887098388.db2.gz HSISKJAMBFLSAT-AGKVCYSUSA-N 1 2 320.437 1.247 20 30 DDEDLO C/C=C(/C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CCC#N ZINC001233548798 887098398 /nfs/dbraw/zinc/09/83/98/887098398.db2.gz HSISKJAMBFLSAT-AGKVCYSUSA-N 1 2 320.437 1.247 20 30 DDEDLO COC(=O)c1cccc(F)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001233684680 887220720 /nfs/dbraw/zinc/22/07/20/887220720.db2.gz CVXJAYRJHWBKIX-QCRWRKDUSA-N 1 2 307.321 1.604 20 30 DDEDLO COC(=O)c1cccc(F)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001233684680 887220736 /nfs/dbraw/zinc/22/07/36/887220736.db2.gz CVXJAYRJHWBKIX-QCRWRKDUSA-N 1 2 307.321 1.604 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CCn1cc(Cl)cn1 ZINC001233947379 887487223 /nfs/dbraw/zinc/48/72/23/887487223.db2.gz LGPLEZLUCONDFC-OAHLLOKOSA-N 1 2 322.840 1.873 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CCn1cc(Cl)cn1 ZINC001233947379 887487239 /nfs/dbraw/zinc/48/72/39/887487239.db2.gz LGPLEZLUCONDFC-OAHLLOKOSA-N 1 2 322.840 1.873 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CC ZINC001233966886 887509259 /nfs/dbraw/zinc/50/92/59/887509259.db2.gz RQBDWIPWXBWYPC-HNNXBMFYSA-N 1 2 307.438 1.191 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CC ZINC001233966886 887509273 /nfs/dbraw/zinc/50/92/73/887509273.db2.gz RQBDWIPWXBWYPC-HNNXBMFYSA-N 1 2 307.438 1.191 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001234066831 887611582 /nfs/dbraw/zinc/61/15/82/887611582.db2.gz CJDVGOBNYGCWKX-ZWKOTPCHSA-N 1 2 314.429 1.588 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001234066831 887611588 /nfs/dbraw/zinc/61/15/88/887611588.db2.gz CJDVGOBNYGCWKX-ZWKOTPCHSA-N 1 2 314.429 1.588 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccccc1F ZINC001234214968 887753685 /nfs/dbraw/zinc/75/36/85/887753685.db2.gz GMAJRODGKHBMCY-HOCLYGCPSA-N 1 2 318.392 1.897 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccccc1F ZINC001234214968 887753692 /nfs/dbraw/zinc/75/36/92/887753692.db2.gz GMAJRODGKHBMCY-HOCLYGCPSA-N 1 2 318.392 1.897 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(CCC2(C#N)CCCCC2)CC1 ZINC001364354591 888443605 /nfs/dbraw/zinc/44/36/05/888443605.db2.gz SFSJOZALVIMRFM-NRFANRHFSA-N 1 2 311.495 1.847 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2CN(C(=O)CCc3c[nH]c[nH+]3)[C@@H]2C1 ZINC001289816232 913247650 /nfs/dbraw/zinc/24/76/50/913247650.db2.gz WDNUIKGASMTSQU-UKRRQHHQSA-N 1 2 316.405 1.368 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2CN(C(=O)CCc3c[nH+]c[nH]3)[C@@H]2C1 ZINC001289816232 913247666 /nfs/dbraw/zinc/24/76/66/913247666.db2.gz WDNUIKGASMTSQU-UKRRQHHQSA-N 1 2 316.405 1.368 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)[C@H](C)c1nncn1C ZINC001235230710 888493635 /nfs/dbraw/zinc/49/36/35/888493635.db2.gz UROTWFAJPOTZQU-ZIAGYGMSSA-N 1 2 323.441 1.295 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)[C@H](C)c1nncn1C ZINC001235230710 888493639 /nfs/dbraw/zinc/49/36/39/888493639.db2.gz UROTWFAJPOTZQU-ZIAGYGMSSA-N 1 2 323.441 1.295 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@H](C)COC ZINC001235651113 888840098 /nfs/dbraw/zinc/84/00/98/888840098.db2.gz RPTUQMVUYVSRMU-SNVBAGLBSA-N 1 2 307.232 1.616 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@H](C)COC ZINC001235651113 888840114 /nfs/dbraw/zinc/84/01/14/888840114.db2.gz RPTUQMVUYVSRMU-SNVBAGLBSA-N 1 2 307.232 1.616 20 30 DDEDLO C#CCCCC(=O)NC[C@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001278071779 889558100 /nfs/dbraw/zinc/55/81/00/889558100.db2.gz PWWKDJXDLKOVAP-MRXNPFEDSA-N 1 2 317.364 1.068 20 30 DDEDLO C#CCN(C(=O)C1CC1)C1CC[NH+](Cc2ncc(C)o2)CC1 ZINC001278107298 889674938 /nfs/dbraw/zinc/67/49/38/889674938.db2.gz JVDYKLIIKHAXKV-UHFFFAOYSA-N 1 2 301.390 1.819 20 30 DDEDLO CC(C)c1[nH]cc(CNS(=O)(=O)c2ccc(C#N)cc2)[nH+]1 ZINC001364942785 889723051 /nfs/dbraw/zinc/72/30/51/889723051.db2.gz LYNNGHQYWQAFMC-UHFFFAOYSA-N 1 2 304.375 1.883 20 30 DDEDLO CC(C)c1[nH]c(CNS(=O)(=O)c2ccc(C#N)cc2)c[nH+]1 ZINC001364942785 889723055 /nfs/dbraw/zinc/72/30/55/889723055.db2.gz LYNNGHQYWQAFMC-UHFFFAOYSA-N 1 2 304.375 1.883 20 30 DDEDLO C#CCN(C(=O)/C(C)=C\C)C1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001278138161 889827875 /nfs/dbraw/zinc/82/78/75/889827875.db2.gz WABDCGKHPWTREF-MLPAPPSSSA-N 1 2 316.405 1.770 20 30 DDEDLO N#CCNC[C@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C1CCCC1 ZINC001278253055 890278777 /nfs/dbraw/zinc/27/87/77/890278777.db2.gz UFYSJRKZOLEZQB-GJZGRUSLSA-N 1 2 315.421 1.234 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC001365688637 891328677 /nfs/dbraw/zinc/32/86/77/891328677.db2.gz UISAYCJEMOKOEI-CQSZACIVSA-N 1 2 312.373 1.393 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[C@@H]([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001365850923 891716437 /nfs/dbraw/zinc/71/64/37/891716437.db2.gz NUSJSYOEYHUVEG-NTZNESFSSA-N 1 2 317.393 1.481 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001387070143 892299497 /nfs/dbraw/zinc/29/94/97/892299497.db2.gz RRIAVQZPKMZOPE-UHFFFAOYSA-N 1 2 312.801 1.306 20 30 DDEDLO CC#CCCCC(=O)N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001292413030 913667214 /nfs/dbraw/zinc/66/72/14/913667214.db2.gz FNLKYXVUIXNAFT-UHFFFAOYSA-N 1 2 316.405 1.138 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2ccoc2)[C@@H]1C ZINC001278499194 893539357 /nfs/dbraw/zinc/53/93/57/893539357.db2.gz CRDJRNSSVJTSBU-JSGCOSHPSA-N 1 2 305.378 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2ccoc2)[C@@H]1C ZINC001278499194 893539372 /nfs/dbraw/zinc/53/93/72/893539372.db2.gz CRDJRNSSVJTSBU-JSGCOSHPSA-N 1 2 305.378 1.165 20 30 DDEDLO CO[C@H](C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F)C1CC1 ZINC001366531704 893907422 /nfs/dbraw/zinc/90/74/22/893907422.db2.gz VISCOOMLWCJPOA-INIZCTEOSA-N 1 2 319.380 1.670 20 30 DDEDLO CO[C@H](C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F)C1CC1 ZINC001366531704 893907437 /nfs/dbraw/zinc/90/74/37/893907437.db2.gz VISCOOMLWCJPOA-INIZCTEOSA-N 1 2 319.380 1.670 20 30 DDEDLO C[N@H+](CCNC(=O)C[C@H]1CCOC1)Cc1ccc(C#N)cc1F ZINC001366558442 894023794 /nfs/dbraw/zinc/02/37/94/894023794.db2.gz OLIVVPYSGDAOSC-CQSZACIVSA-N 1 2 319.380 1.672 20 30 DDEDLO C[N@@H+](CCNC(=O)C[C@H]1CCOC1)Cc1ccc(C#N)cc1F ZINC001366558442 894023813 /nfs/dbraw/zinc/02/38/13/894023813.db2.gz OLIVVPYSGDAOSC-CQSZACIVSA-N 1 2 319.380 1.672 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(C)CC[NH+](Cc2nnnn2C)CC1 ZINC001278618212 894512017 /nfs/dbraw/zinc/51/20/17/894512017.db2.gz RFKDHWRPXGBRAN-UHFFFAOYSA-N 1 2 320.441 1.141 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H](C)Cc1cnn(C)c1 ZINC001366674045 894523445 /nfs/dbraw/zinc/52/34/45/894523445.db2.gz PBXYXYJJFIHITC-SWLSCSKDSA-N 1 2 324.856 1.932 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H](C)Cc1cnn(C)c1 ZINC001366674045 894523450 /nfs/dbraw/zinc/52/34/50/894523450.db2.gz PBXYXYJJFIHITC-SWLSCSKDSA-N 1 2 324.856 1.932 20 30 DDEDLO CC(C)c1nnc(C[N@@H+]2CC[C@H](CNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001366687549 894587986 /nfs/dbraw/zinc/58/79/86/894587986.db2.gz JNCSCZXTNJNLDJ-VXGBXAGGSA-N 1 2 304.398 1.026 20 30 DDEDLO CC(C)c1nnc(C[N@H+]2CC[C@H](CNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001366687549 894588000 /nfs/dbraw/zinc/58/80/00/894588000.db2.gz JNCSCZXTNJNLDJ-VXGBXAGGSA-N 1 2 304.398 1.026 20 30 DDEDLO C=CCOC[C@H](O)CNc1ccccc1C[NH+]1CCOCC1 ZINC001252476535 895194986 /nfs/dbraw/zinc/19/49/86/895194986.db2.gz OLWLESFJVHRCJS-MRXNPFEDSA-N 1 2 306.406 1.494 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCc2ccnn2C)C1 ZINC001366891159 895248881 /nfs/dbraw/zinc/24/88/81/895248881.db2.gz GNIHRPIZADUKNZ-CYBMUJFWSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCc2ccnn2C)C1 ZINC001366891159 895248893 /nfs/dbraw/zinc/24/88/93/895248893.db2.gz GNIHRPIZADUKNZ-CYBMUJFWSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)COC[C@@H]2CCCO2)C1 ZINC001366912295 895318865 /nfs/dbraw/zinc/31/88/65/895318865.db2.gz PGUMQLPJJBSTOT-KBPBESRZSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)COC[C@@H]2CCCO2)C1 ZINC001366912295 895318880 /nfs/dbraw/zinc/31/88/80/895318880.db2.gz PGUMQLPJJBSTOT-KBPBESRZSA-N 1 2 316.829 1.515 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001367147770 896005270 /nfs/dbraw/zinc/00/52/70/896005270.db2.gz WZGGHYIQRNSFFV-IUODEOHRSA-N 1 2 303.410 1.311 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001367147770 896005285 /nfs/dbraw/zinc/00/52/85/896005285.db2.gz WZGGHYIQRNSFFV-IUODEOHRSA-N 1 2 303.410 1.311 20 30 DDEDLO C#CCCCCCC(=O)NC[C@]1(O)CC[N@H+](Cc2ccon2)C1 ZINC001278796374 896518360 /nfs/dbraw/zinc/51/83/60/896518360.db2.gz ZAZXJTSKZKDKRM-QGZVFWFLSA-N 1 2 319.405 1.311 20 30 DDEDLO C#CCCCCCC(=O)NC[C@]1(O)CC[N@@H+](Cc2ccon2)C1 ZINC001278796374 896518371 /nfs/dbraw/zinc/51/83/71/896518371.db2.gz ZAZXJTSKZKDKRM-QGZVFWFLSA-N 1 2 319.405 1.311 20 30 DDEDLO CC[C@H](CC#N)[N@@H+]1C[C@@H](OCc2cccnc2)[C@H]2COC[C@H]21 ZINC001255167720 896762261 /nfs/dbraw/zinc/76/22/61/896762261.db2.gz GCXVFRRGVQVLKI-YYIAUSFCSA-N 1 2 301.390 1.990 20 30 DDEDLO CC[C@H](CC#N)[N@H+]1C[C@@H](OCc2cccnc2)[C@H]2COC[C@H]21 ZINC001255167720 896762269 /nfs/dbraw/zinc/76/22/69/896762269.db2.gz GCXVFRRGVQVLKI-YYIAUSFCSA-N 1 2 301.390 1.990 20 30 DDEDLO CN(CC[N@H+](C)Cc1cc(F)ccc1C#N)C(=O)c1ccon1 ZINC001367500915 896936123 /nfs/dbraw/zinc/93/61/23/896936123.db2.gz DJLQDFRWNYEOTI-UHFFFAOYSA-N 1 2 316.336 1.889 20 30 DDEDLO CN(CC[N@@H+](C)Cc1cc(F)ccc1C#N)C(=O)c1ccon1 ZINC001367500915 896936132 /nfs/dbraw/zinc/93/61/32/896936132.db2.gz DJLQDFRWNYEOTI-UHFFFAOYSA-N 1 2 316.336 1.889 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@@H]2CCc3ccccc3O2)C1 ZINC001278918215 897303694 /nfs/dbraw/zinc/30/36/94/897303694.db2.gz AISBJNMRAFWMSO-INIZCTEOSA-N 1 2 316.401 1.119 20 30 DDEDLO COC(=O)[C@H](CS)[NH2+]C1CCN(C(=O)OC(C)(C)C)CC1 ZINC001256283320 897349023 /nfs/dbraw/zinc/34/90/23/897349023.db2.gz ACMWIUSAYWDMSR-NSHDSACASA-N 1 2 318.439 1.447 20 30 DDEDLO C#CC[NH2+][C@@H](C)[C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001279017293 897869981 /nfs/dbraw/zinc/86/99/81/897869981.db2.gz JRQZFGLQAOLUTR-BBRMVZONSA-N 1 2 323.400 1.545 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H](N2CC[NH2+]C[C@@H]2C#N)CC1(C)C ZINC001258557866 898240872 /nfs/dbraw/zinc/24/08/72/898240872.db2.gz XGUYHIVQGUHXES-KBPBESRZSA-N 1 2 322.453 1.962 20 30 DDEDLO C[NH+]1CC2(CN(S(=O)(=O)c3ccc(C#N)cc3Cl)C2)C1 ZINC001259112226 898473613 /nfs/dbraw/zinc/47/36/13/898473613.db2.gz UXDYDBKOMHJNIA-UHFFFAOYSA-N 1 2 311.794 1.148 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H](C)OC)C1 ZINC001368160038 898854066 /nfs/dbraw/zinc/85/40/66/898854066.db2.gz REZVTZPLKMTRIZ-CMPLNLGQSA-N 1 2 305.216 1.511 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](C)(NC(=O)[C@H](C)OC)C1 ZINC001368160038 898854052 /nfs/dbraw/zinc/85/40/52/898854052.db2.gz REZVTZPLKMTRIZ-CMPLNLGQSA-N 1 2 305.216 1.511 20 30 DDEDLO C=CCCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001264380359 901067270 /nfs/dbraw/zinc/06/72/70/901067270.db2.gz HWOKDCKAMXUJLJ-HNNXBMFYSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001264380359 901067280 /nfs/dbraw/zinc/06/72/80/901067280.db2.gz HWOKDCKAMXUJLJ-HNNXBMFYSA-N 1 2 307.394 1.608 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cnc(N(C)C)cn1)C1CC1 ZINC001391161085 901103369 /nfs/dbraw/zinc/10/33/69/901103369.db2.gz PXONHLOBLYMZGU-UHFFFAOYSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cnc(N(C)C)cn1)C1CC1 ZINC001391161085 901103375 /nfs/dbraw/zinc/10/33/75/901103375.db2.gz PXONHLOBLYMZGU-UHFFFAOYSA-N 1 2 323.828 1.489 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@H]23)o1 ZINC001369413702 901228191 /nfs/dbraw/zinc/22/81/91/901228191.db2.gz NNGIENFCBUZTPX-IFUGULHKSA-N 1 2 303.366 1.151 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@H]23)o1 ZINC001369413702 901228197 /nfs/dbraw/zinc/22/81/97/901228197.db2.gz NNGIENFCBUZTPX-IFUGULHKSA-N 1 2 303.366 1.151 20 30 DDEDLO C[C@H]([NH2+]CCN(C)C(=O)c1cc(C#N)c[nH]1)c1csnn1 ZINC001391209046 901230630 /nfs/dbraw/zinc/23/06/30/901230630.db2.gz ILGSIMSDPAJGSO-VIFPVBQESA-N 1 2 304.379 1.161 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnnn2CC)[C@@H]1C ZINC001264696813 901294567 /nfs/dbraw/zinc/29/45/67/901294567.db2.gz DODLFRUCWXINMC-ZBFHGGJFSA-N 1 2 317.437 1.571 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](Cc2cnnn2CC)[C@@H]1C ZINC001264696813 901294580 /nfs/dbraw/zinc/29/45/80/901294580.db2.gz DODLFRUCWXINMC-ZBFHGGJFSA-N 1 2 317.437 1.571 20 30 DDEDLO COCc1nc(C[N@H+](C)CCCNC(=O)C#CC(C)C)cs1 ZINC001264983889 901390014 /nfs/dbraw/zinc/39/00/14/901390014.db2.gz QORJWNHEVKGARE-UHFFFAOYSA-N 1 2 323.462 1.887 20 30 DDEDLO COCc1nc(C[N@@H+](C)CCCNC(=O)C#CC(C)C)cs1 ZINC001264983889 901390026 /nfs/dbraw/zinc/39/00/26/901390026.db2.gz QORJWNHEVKGARE-UHFFFAOYSA-N 1 2 323.462 1.887 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265207554 901703021 /nfs/dbraw/zinc/70/30/21/901703021.db2.gz ITELDDRGWKIPFI-YOEHRIQHSA-N 1 2 313.376 1.917 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265207554 901703031 /nfs/dbraw/zinc/70/30/31/901703031.db2.gz ITELDDRGWKIPFI-YOEHRIQHSA-N 1 2 313.376 1.917 20 30 DDEDLO CCc1nocc1C[N@@H+]1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001265213003 901717168 /nfs/dbraw/zinc/71/71/68/901717168.db2.gz KHMXCRLLENSDFM-HNNXBMFYSA-N 1 2 303.406 1.977 20 30 DDEDLO CCc1nocc1C[N@H+]1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001265213003 901717176 /nfs/dbraw/zinc/71/71/76/901717176.db2.gz KHMXCRLLENSDFM-HNNXBMFYSA-N 1 2 303.406 1.977 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H](CCC)C(C)C)C1 ZINC001265226555 901741210 /nfs/dbraw/zinc/74/12/10/901741210.db2.gz DMMBVJSSOOBASM-HZPDHXFCSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](CCC)C(C)C)C1 ZINC001265226555 901741216 /nfs/dbraw/zinc/74/12/16/901741216.db2.gz DMMBVJSSOOBASM-HZPDHXFCSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN([C@H](C)c2noc(C)n2)CC1 ZINC001265257890 901779779 /nfs/dbraw/zinc/77/97/79/901779779.db2.gz RPYVOLSAPZHBGS-CYBMUJFWSA-N 1 2 321.425 1.139 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(Cc2cscn2)CC1 ZINC001265262603 901783848 /nfs/dbraw/zinc/78/38/48/901783848.db2.gz FKJJMQPQEMCZBV-UHFFFAOYSA-N 1 2 322.478 1.733 20 30 DDEDLO C[C@@H](C(=O)NCC[NH+]1CCN(CC#N)CC1)c1ccccc1F ZINC001265264871 901787544 /nfs/dbraw/zinc/78/75/44/901787544.db2.gz HEXAZEFNWDMDHG-CQSZACIVSA-N 1 2 318.396 1.187 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H](NC(=O)c2cc(C)oc2C)C1 ZINC001265270864 901796713 /nfs/dbraw/zinc/79/67/13/901796713.db2.gz NGQFCVKFNRTUFX-GXTWGEPZSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)c2cc(C)oc2C)C1 ZINC001265270864 901796716 /nfs/dbraw/zinc/79/67/16/901796716.db2.gz NGQFCVKFNRTUFX-GXTWGEPZSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)C2CCC2)C1 ZINC001265297306 901842698 /nfs/dbraw/zinc/84/26/98/901842698.db2.gz OAQPOQULLSXROI-CQSZACIVSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)C2CCC2)C1 ZINC001265297306 901842711 /nfs/dbraw/zinc/84/27/11/901842711.db2.gz OAQPOQULLSXROI-CQSZACIVSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NC(C)(C)CC)C1 ZINC001265297770 901845917 /nfs/dbraw/zinc/84/59/17/901845917.db2.gz XOEJYKXXUOPCQA-ZDUSSCGKSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)NC(C)(C)CC)C1 ZINC001265297770 901845923 /nfs/dbraw/zinc/84/59/23/901845923.db2.gz XOEJYKXXUOPCQA-ZDUSSCGKSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H](F)c2ccccc2)C1 ZINC001265306370 901861021 /nfs/dbraw/zinc/86/10/21/901861021.db2.gz OFSBONSVICOYRL-GOEBONIOSA-N 1 2 319.380 1.190 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H](F)c2ccccc2)C1 ZINC001265306370 901861027 /nfs/dbraw/zinc/86/10/27/901861027.db2.gz OFSBONSVICOYRL-GOEBONIOSA-N 1 2 319.380 1.190 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)SC ZINC001265405417 901979195 /nfs/dbraw/zinc/97/91/95/901979195.db2.gz YNNNCDICCDMFSB-SOUVJXGZSA-N 1 2 310.463 1.453 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)SC ZINC001265405417 901979211 /nfs/dbraw/zinc/97/92/11/901979211.db2.gz YNNNCDICCDMFSB-SOUVJXGZSA-N 1 2 310.463 1.453 20 30 DDEDLO CO[C@@H](C)CC(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391550786 901980250 /nfs/dbraw/zinc/98/02/50/901980250.db2.gz VFBLPYWRJZYEOE-WFASDCNBSA-N 1 2 319.380 1.813 20 30 DDEDLO CO[C@@H](C)CC(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391550786 901980258 /nfs/dbraw/zinc/98/02/58/901980258.db2.gz VFBLPYWRJZYEOE-WFASDCNBSA-N 1 2 319.380 1.813 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCC(=O)NCC)C1 ZINC001369803123 901991114 /nfs/dbraw/zinc/99/11/14/901991114.db2.gz PPECOBWFJIDMDJ-GFCCVEGCSA-N 1 2 301.818 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCC(=O)NCC)C1 ZINC001369803123 901991125 /nfs/dbraw/zinc/99/11/25/901991125.db2.gz PPECOBWFJIDMDJ-GFCCVEGCSA-N 1 2 301.818 1.236 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H](C)[NH2+]Cc2ncc(CC)o2)c1 ZINC001265821401 902445851 /nfs/dbraw/zinc/44/58/51/902445851.db2.gz SJJQYARXCNJDOP-GFCCVEGCSA-N 1 2 312.373 1.521 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)C[C@H](C)NC(=O)c1cc(C#N)c[nH]1 ZINC001375018869 914661735 /nfs/dbraw/zinc/66/17/35/914661735.db2.gz GYBOVQLDMLFUAP-NWDGAFQWSA-N 1 2 312.377 1.488 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)C[C@H](C)NC(=O)c1cc(C#N)c[nH]1 ZINC001375018869 914661748 /nfs/dbraw/zinc/66/17/48/914661748.db2.gz GYBOVQLDMLFUAP-NWDGAFQWSA-N 1 2 312.377 1.488 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001293830666 914726953 /nfs/dbraw/zinc/72/69/53/914726953.db2.gz GUTQLIBMMSRRJL-UHFFFAOYSA-N 1 2 318.421 1.450 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(OC)c1 ZINC001280408508 903644376 /nfs/dbraw/zinc/64/43/76/903644376.db2.gz DMKSPWIVNYJXMZ-HNNXBMFYSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(OC)c1 ZINC001280408508 903644380 /nfs/dbraw/zinc/64/43/80/903644380.db2.gz DMKSPWIVNYJXMZ-HNNXBMFYSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H](C)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001280487786 903730689 /nfs/dbraw/zinc/73/06/89/903730689.db2.gz UQPISEHDGXZGDR-ZIAGYGMSSA-N 1 2 318.421 1.355 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H](C)CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001280487786 903730699 /nfs/dbraw/zinc/73/06/99/903730699.db2.gz UQPISEHDGXZGDR-ZIAGYGMSSA-N 1 2 318.421 1.355 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2([NH2+]Cc3cnon3)CCC2)cc1 ZINC001280497695 903740380 /nfs/dbraw/zinc/74/03/80/903740380.db2.gz TZWYFWJFWUKBNM-UHFFFAOYSA-N 1 2 310.357 1.493 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001293904195 914759091 /nfs/dbraw/zinc/75/90/91/914759091.db2.gz YIUGDXALAREOKU-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CC[C@@H](NC(=O)[C@H](C)C#N)C2)c(C)[nH+]1 ZINC001396942285 914781309 /nfs/dbraw/zinc/78/13/09/914781309.db2.gz ISTZLQLURUJIPE-BXUZGUMPSA-N 1 2 314.389 1.497 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@](C)(F)CCCC)CO2 ZINC001280945115 904223504 /nfs/dbraw/zinc/22/35/04/904223504.db2.gz QLOSHOHSXVAQCX-HOCLYGCPSA-N 1 2 310.413 1.888 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)CN(C)C(=O)Cn2cc[nH+]c2)CCC1 ZINC001281023916 904327732 /nfs/dbraw/zinc/32/77/32/904327732.db2.gz IICDGBYFFVMGMP-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H](C)CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001281059690 904363038 /nfs/dbraw/zinc/36/30/38/904363038.db2.gz SHVMGDFRDRKYGS-CHWSQXEVSA-N 1 2 306.410 1.518 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)n1cnc2ccccc21 ZINC001316610106 904713922 /nfs/dbraw/zinc/71/39/22/904713922.db2.gz ZTGDPTUIQDFPMQ-LSDHHAIUSA-N 1 2 310.401 1.763 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)n1cnc2ccccc21 ZINC001316610106 904713936 /nfs/dbraw/zinc/71/39/36/904713936.db2.gz ZTGDPTUIQDFPMQ-LSDHHAIUSA-N 1 2 310.401 1.763 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)[C@@H]1C ZINC001282332179 905695248 /nfs/dbraw/zinc/69/52/48/905695248.db2.gz OIYDBFZNKDTMGX-ZNMIVQPWSA-N 1 2 318.421 1.906 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](C)Cc1ccn(C(C)C)n1 ZINC001282376504 905724326 /nfs/dbraw/zinc/72/43/26/905724326.db2.gz BDSKFJLHKXPGIL-RHSMWYFYSA-N 1 2 322.453 1.728 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](C)Cc1ccn(C(C)C)n1 ZINC001282376504 905724337 /nfs/dbraw/zinc/72/43/37/905724337.db2.gz BDSKFJLHKXPGIL-RHSMWYFYSA-N 1 2 322.453 1.728 20 30 DDEDLO COc1cc(C[N@H+](C)[C@@H](C)CNC(=O)C#CC2CC2)sn1 ZINC001282385218 905732638 /nfs/dbraw/zinc/73/26/38/905732638.db2.gz VMEWNARWIUOXGB-NSHDSACASA-N 1 2 307.419 1.502 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@@H](C)CNC(=O)C#CC2CC2)sn1 ZINC001282385218 905732646 /nfs/dbraw/zinc/73/26/46/905732646.db2.gz VMEWNARWIUOXGB-NSHDSACASA-N 1 2 307.419 1.502 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@H](NC(=O)[C@@H](C)C#N)C2CCCC2)o1 ZINC001393081207 906132600 /nfs/dbraw/zinc/13/26/00/906132600.db2.gz VTIMAOPFHDLHIA-GWCFXTLKSA-N 1 2 305.382 1.302 20 30 DDEDLO C=CCCCC(=O)NCCNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001283084183 906596943 /nfs/dbraw/zinc/59/69/43/906596943.db2.gz KTWNUBCCHQRMGC-ZDUSSCGKSA-N 1 2 304.394 1.034 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@H]1CCNC(=O)CC1 ZINC001372385791 907180327 /nfs/dbraw/zinc/18/03/27/907180327.db2.gz VXBMHJVPAUEHJC-GFCCVEGCSA-N 1 2 301.818 1.093 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@H]1CCNC(=O)CC1 ZINC001372385791 907180334 /nfs/dbraw/zinc/18/03/34/907180334.db2.gz VXBMHJVPAUEHJC-GFCCVEGCSA-N 1 2 301.818 1.093 20 30 DDEDLO CC#CC[N@H+](C)CCOCCNC(=O)c1cccc2nc[nH]c21 ZINC001283416023 907318200 /nfs/dbraw/zinc/31/82/00/907318200.db2.gz HBXDHSUFFLTWGP-UHFFFAOYSA-N 1 2 314.389 1.264 20 30 DDEDLO CC#CC[N@@H+](C)CCOCCNC(=O)c1cccc2nc[nH]c21 ZINC001283416023 907318211 /nfs/dbraw/zinc/31/82/11/907318211.db2.gz HBXDHSUFFLTWGP-UHFFFAOYSA-N 1 2 314.389 1.264 20 30 DDEDLO CC#CCCCC(=O)NCCCN(C)C(=O)Cc1c[nH+]cn1C ZINC001283625767 907700936 /nfs/dbraw/zinc/70/09/36/907700936.db2.gz AHWMYEZICLEVFC-UHFFFAOYSA-N 1 2 318.421 1.121 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001283873219 908112149 /nfs/dbraw/zinc/11/21/49/908112149.db2.gz BUQZFYXUYFKACW-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO Cc1nocc1C[N@H+](CCNC(=O)c1c[nH]c(C#N)c1)C1CC1 ZINC001372787208 908253658 /nfs/dbraw/zinc/25/36/58/908253658.db2.gz GACALQNBZRZUNX-UHFFFAOYSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nocc1C[N@@H+](CCNC(=O)c1c[nH]c(C#N)c1)C1CC1 ZINC001372787208 908253676 /nfs/dbraw/zinc/25/36/76/908253676.db2.gz GACALQNBZRZUNX-UHFFFAOYSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001284105403 908484271 /nfs/dbraw/zinc/48/42/71/908484271.db2.gz FCJDBIPAASJWTO-HNNXBMFYSA-N 1 2 318.421 1.594 20 30 DDEDLO C[C@H](c1ccccc1)[NH+]1CC(CCO)(NC(=O)[C@@H](C)C#N)C1 ZINC001394146008 908968437 /nfs/dbraw/zinc/96/84/37/908968437.db2.gz FRYSOBCNJIYSRE-UONOGXRCSA-N 1 2 301.390 1.460 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001284547591 909169190 /nfs/dbraw/zinc/16/91/90/909169190.db2.gz JOSUXVAPMZFRLX-BFHYXJOUSA-N 1 2 318.421 1.690 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001284547591 909169202 /nfs/dbraw/zinc/16/92/02/909169202.db2.gz JOSUXVAPMZFRLX-BFHYXJOUSA-N 1 2 318.421 1.690 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)CCNC(=O)C(C)(C)C)C1 ZINC001373530696 910104160 /nfs/dbraw/zinc/10/41/60/910104160.db2.gz RPJUUZJRXZOKAX-UHFFFAOYSA-N 1 2 315.845 1.339 20 30 DDEDLO CCC[C@H](C)C(=O)N[C@H]1CC[N@H+](CC(=O)N(CC)CCC#N)C1 ZINC001373588830 910266119 /nfs/dbraw/zinc/26/61/19/910266119.db2.gz SYMMPJBIPHBNDF-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO CCC[C@H](C)C(=O)N[C@H]1CC[N@@H+](CC(=O)N(CC)CCC#N)C1 ZINC001373588830 910266139 /nfs/dbraw/zinc/26/61/39/910266139.db2.gz SYMMPJBIPHBNDF-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO C=CCCC1(C(=O)N(C)[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001285814596 911227823 /nfs/dbraw/zinc/22/78/23/911227823.db2.gz YFAWXBPJLQXRMO-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C(C)C)n1C[C@H](C)[NH+]1CCOCC1 ZINC001286275507 911907253 /nfs/dbraw/zinc/90/72/53/911907253.db2.gz SUBZCINHZZEJHI-GJZGRUSLSA-N 1 2 319.453 1.580 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@H](OC)[C@H](C)CC)CC1 ZINC001286473603 911991220 /nfs/dbraw/zinc/99/12/20/911991220.db2.gz UQPQTDJWYFSZBE-ZBFHGGJFSA-N 1 2 319.453 1.403 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001294774368 915357029 /nfs/dbraw/zinc/35/70/29/915357029.db2.gz WBOUXVOWZFGVTN-ZDUSSCGKSA-N 1 2 304.394 1.036 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@@H+]1CCc1c(F)cccc1F ZINC001375294271 915497087 /nfs/dbraw/zinc/49/70/87/915497087.db2.gz JGOHCYNNPMONEE-RYUDHWBXSA-N 1 2 307.344 1.857 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@H+]1CCc1c(F)cccc1F ZINC001375294271 915497097 /nfs/dbraw/zinc/49/70/97/915497097.db2.gz JGOHCYNNPMONEE-RYUDHWBXSA-N 1 2 307.344 1.857 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001295751762 916039665 /nfs/dbraw/zinc/03/96/65/916039665.db2.gz KHJISYZQPMRUPZ-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001295751762 916039685 /nfs/dbraw/zinc/03/96/85/916039685.db2.gz KHJISYZQPMRUPZ-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001297062682 916703177 /nfs/dbraw/zinc/70/31/77/916703177.db2.gz QKDPFXAVOOPPOP-GFCCVEGCSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001297062682 916703184 /nfs/dbraw/zinc/70/31/84/916703184.db2.gz QKDPFXAVOOPPOP-GFCCVEGCSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(CNC(=O)CCn2cc[nH+]c2)C1 ZINC001297240534 916826724 /nfs/dbraw/zinc/82/67/24/916826724.db2.gz FAAZLJATBDFLCD-UHFFFAOYSA-N 1 2 318.421 1.450 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H](C)C(C)C)C1 ZINC001376219162 917999245 /nfs/dbraw/zinc/99/92/45/917999245.db2.gz SYJCQXDMGQKGFP-HUUCEWRRSA-N 1 2 322.453 1.231 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H](C)C(C)C)C1 ZINC001376219162 917999258 /nfs/dbraw/zinc/99/92/58/917999258.db2.gz SYJCQXDMGQKGFP-HUUCEWRRSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)Cc1noc(C[NH2+]C2(CNC(=O)[C@@H](C)C#N)CCC2)n1 ZINC001376717999 919156041 /nfs/dbraw/zinc/15/60/41/919156041.db2.gz AKFCWXCXNSBGGJ-LBPRGKRZSA-N 1 2 319.409 1.556 20 30 DDEDLO N#Cc1ccc(C(=O)NCC[N@H+](Cc2cncs2)C2CC2)[nH]1 ZINC001377521218 922211815 /nfs/dbraw/zinc/21/18/15/922211815.db2.gz FUZWHEKIVVMWSR-UHFFFAOYSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(C(=O)NCC[N@@H+](Cc2cncs2)C2CC2)[nH]1 ZINC001377521218 922211823 /nfs/dbraw/zinc/21/18/23/922211823.db2.gz FUZWHEKIVVMWSR-UHFFFAOYSA-N 1 2 315.402 1.737 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H](C)[N@H+](C)Cc1nnc(-c2ccco2)o1 ZINC001378020012 923722110 /nfs/dbraw/zinc/72/21/10/923722110.db2.gz KPOUDFQHCQLHHY-GHMZBOCLSA-N 1 2 317.349 1.426 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H](C)[N@@H+](C)Cc1nnc(-c2ccco2)o1 ZINC001378020012 923722119 /nfs/dbraw/zinc/72/21/19/923722119.db2.gz KPOUDFQHCQLHHY-GHMZBOCLSA-N 1 2 317.349 1.426 20 30 DDEDLO CC[C@]1(CO)CCCN1C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000331276855 529427215 /nfs/dbraw/zinc/42/72/15/529427215.db2.gz YFHNHNDXKAWAHA-MRXNPFEDSA-N 1 2 313.442 1.248 20 30 DDEDLO CC[C@]1(CO)CCCN1C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000331276855 529427217 /nfs/dbraw/zinc/42/72/17/529427217.db2.gz YFHNHNDXKAWAHA-MRXNPFEDSA-N 1 2 313.442 1.248 20 30 DDEDLO CC[C@@]1(C)COCC[N@@H+]1CC(=O)NC(=O)Nc1ccccc1 ZINC000330502588 529533214 /nfs/dbraw/zinc/53/32/14/529533214.db2.gz BRSASPLHWFOVCU-INIZCTEOSA-N 1 2 305.378 1.849 20 30 DDEDLO CC[C@@]1(C)COCC[N@H+]1CC(=O)NC(=O)Nc1ccccc1 ZINC000330502588 529533216 /nfs/dbraw/zinc/53/32/16/529533216.db2.gz BRSASPLHWFOVCU-INIZCTEOSA-N 1 2 305.378 1.849 20 30 DDEDLO O=C(N[C@@H]1CCCNC1=O)c1ccccc1C[NH+]1CCOCC1 ZINC000329514557 539305231 /nfs/dbraw/zinc/30/52/31/539305231.db2.gz LXCPRJBCCRVVCV-OAHLLOKOSA-N 1 2 317.389 1.942 20 30 DDEDLO CN(C)c1ccc(CNC(=O)NCC#Cc2ccccc2)c[nH+]1 ZINC000337339148 529781600 /nfs/dbraw/zinc/78/16/00/529781600.db2.gz GGECSNMBBDIGAT-UHFFFAOYSA-N 1 2 308.385 1.999 20 30 DDEDLO C[N@@H+]1CC[C@@H](NC(=O)NCCC#N)[C@H]1c1ccc(F)c(F)c1 ZINC000334076421 529795409 /nfs/dbraw/zinc/79/54/09/529795409.db2.gz NGLQCEYQLZBVQW-ZIAGYGMSSA-N 1 2 308.332 1.923 20 30 DDEDLO C[N@H+]1CC[C@@H](NC(=O)NCCC#N)[C@H]1c1ccc(F)c(F)c1 ZINC000334076421 529795410 /nfs/dbraw/zinc/79/54/10/529795410.db2.gz NGLQCEYQLZBVQW-ZIAGYGMSSA-N 1 2 308.332 1.923 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)Cc1ccco1)[NH+]1CCSCC1 ZINC000269692746 295711502 /nfs/dbraw/zinc/71/15/02/295711502.db2.gz ORBGHVXLOFIFGC-ZDUSSCGKSA-N 1 2 307.419 1.959 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[NH+]1CCN(c2nccs2)CC1 ZINC000615772163 362433702 /nfs/dbraw/zinc/43/37/02/362433702.db2.gz MFKXSYZBRYSSBS-GFCCVEGCSA-N 1 2 319.434 1.216 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)N[C@@H]2CC[C@H](F)C2)C1 ZINC000329714295 530026373 /nfs/dbraw/zinc/02/63/73/530026373.db2.gz STVMCIJPFJSZLV-BFHYXJOUSA-N 1 2 321.400 1.787 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)N[C@@H]2CC[C@H](F)C2)C1 ZINC000329714295 530026374 /nfs/dbraw/zinc/02/63/74/530026374.db2.gz STVMCIJPFJSZLV-BFHYXJOUSA-N 1 2 321.400 1.787 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)C(=O)Nc1ccc(F)cc1C#N ZINC000446597074 530027174 /nfs/dbraw/zinc/02/71/74/530027174.db2.gz RQJAPNVCDQFZIZ-UHFFFAOYSA-N 1 2 315.308 1.028 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2ccc(C#N)cc2)CC[S@@]1=O ZINC000330823914 232094966 /nfs/dbraw/zinc/09/49/66/232094966.db2.gz FAGUDXVJDKDJHV-GTJPDFRWSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2ccc(C#N)cc2)CC[S@@]1=O ZINC000330823914 232094973 /nfs/dbraw/zinc/09/49/73/232094973.db2.gz FAGUDXVJDKDJHV-GTJPDFRWSA-N 1 2 305.403 1.340 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC000564141381 303988762 /nfs/dbraw/zinc/98/87/62/303988762.db2.gz NSULJVREMLPXRR-UHFFFAOYSA-N 1 2 318.358 1.009 20 30 DDEDLO C=CC[C@H](C)NC(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000623623247 365963834 /nfs/dbraw/zinc/96/38/34/365963834.db2.gz FICKIMRKZFQSOC-HOCLYGCPSA-N 1 2 318.421 1.546 20 30 DDEDLO C=CC[C@H](C)NC(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000623623247 365963837 /nfs/dbraw/zinc/96/38/37/365963837.db2.gz FICKIMRKZFQSOC-HOCLYGCPSA-N 1 2 318.421 1.546 20 30 DDEDLO CCOC(=O)[C@]1(CNc2cc(C)[nH+]cc2C#N)CCCOC1 ZINC000601244261 358461273 /nfs/dbraw/zinc/46/12/73/358461273.db2.gz NJEMXAFAOVVZSN-INIZCTEOSA-N 1 2 303.362 1.455 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000045845421 352425243 /nfs/dbraw/zinc/42/52/43/352425243.db2.gz ZZZOABLMILQOHI-CYBMUJFWSA-N 1 2 317.393 1.219 20 30 DDEDLO CCC[N@H+](CC(=O)Nc1cccc(C#N)c1)CC(=O)N(C)C ZINC000049980717 352559362 /nfs/dbraw/zinc/55/93/62/352559362.db2.gz SNSHYQVBLXCZKC-UHFFFAOYSA-N 1 2 302.378 1.297 20 30 DDEDLO CCC[N@@H+](CC(=O)Nc1cccc(C#N)c1)CC(=O)N(C)C ZINC000049980717 352559364 /nfs/dbraw/zinc/55/93/64/352559364.db2.gz SNSHYQVBLXCZKC-UHFFFAOYSA-N 1 2 302.378 1.297 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)CNc1ccc(C#N)cc1 ZINC000050031028 352561065 /nfs/dbraw/zinc/56/10/65/352561065.db2.gz WCFGRAFPTITEIC-UHFFFAOYSA-N 1 2 309.373 1.748 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)N[C@H](Cc1ccccc1)C(C)=O ZINC000052868416 352629819 /nfs/dbraw/zinc/62/98/19/352629819.db2.gz FHIOWRQTEGBMLN-QGZVFWFLSA-N 1 2 300.402 1.977 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CC2CCCC2)CC1 ZINC000058579549 352848594 /nfs/dbraw/zinc/84/85/94/352848594.db2.gz KBJZSNRZYDMBKA-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CC2CCCC2)CC1 ZINC000058579549 352848598 /nfs/dbraw/zinc/84/85/98/352848598.db2.gz KBJZSNRZYDMBKA-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO NC(=[NH+]OCC(=O)NCC(F)(F)F)c1ccc(F)c(F)c1 ZINC000065205544 352949126 /nfs/dbraw/zinc/94/91/26/352949126.db2.gz YZCAZHDPXCGIQF-UHFFFAOYSA-N 1 2 311.210 1.280 20 30 DDEDLO CCOC(=O)[C@@H](CC)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000173560019 198226746 /nfs/dbraw/zinc/22/67/46/198226746.db2.gz FZQJRXDDTWRPQX-CQSZACIVSA-N 1 2 302.378 1.417 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000174334780 198321362 /nfs/dbraw/zinc/32/13/62/198321362.db2.gz MGLJBSMUCNWZER-QWRGUYRKSA-N 1 2 316.336 1.664 20 30 DDEDLO Cn1cc(C[N@H+](C)Cc2nnc(-c3cccc(C#N)c3)o2)cn1 ZINC000075591380 353370208 /nfs/dbraw/zinc/37/02/08/353370208.db2.gz LLDYWXIDSRKPAX-UHFFFAOYSA-N 1 2 308.345 1.974 20 30 DDEDLO Cn1cc(C[N@@H+](C)Cc2nnc(-c3cccc(C#N)c3)o2)cn1 ZINC000075591380 353370212 /nfs/dbraw/zinc/37/02/12/353370212.db2.gz LLDYWXIDSRKPAX-UHFFFAOYSA-N 1 2 308.345 1.974 20 30 DDEDLO C=CCOCCCC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000080003666 353586928 /nfs/dbraw/zinc/58/69/28/353586928.db2.gz KOPMFNFWLSEMHU-UHFFFAOYSA-N 1 2 322.409 1.137 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+](C)Cc2cn[nH]c2)c(C#N)c1C ZINC000085825986 353738001 /nfs/dbraw/zinc/73/80/01/353738001.db2.gz ODUWOFLNRPMLEI-JTQLQIEISA-N 1 2 301.350 1.950 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+](C)Cc2cn[nH]c2)c(C#N)c1C ZINC000085825986 353738005 /nfs/dbraw/zinc/73/80/05/353738005.db2.gz ODUWOFLNRPMLEI-JTQLQIEISA-N 1 2 301.350 1.950 20 30 DDEDLO C#CCN(C(=O)Nc1cc[nH+]c(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000091187217 353815397 /nfs/dbraw/zinc/81/53/97/353815397.db2.gz DHEWQXXBBICPSN-CYBMUJFWSA-N 1 2 307.375 1.044 20 30 DDEDLO NC(=O)N1CCC[C@H]1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000328665713 222883625 /nfs/dbraw/zinc/88/36/25/222883625.db2.gz CFSZVLNZHJJFOR-NEPJUHHUSA-N 1 2 303.366 1.574 20 30 DDEDLO C[C@@H]1CCN(C(=O)N[C@H]2CC[C@H](O)CC2)C[C@H]1n1cc[nH+]c1 ZINC000328734898 222889806 /nfs/dbraw/zinc/88/98/06/222889806.db2.gz KJNURCUFFRDQJZ-KBUPBQIOSA-N 1 2 306.410 1.984 20 30 DDEDLO C[C@@H]1CCN(C([O-])=[NH+][C@H]2CC[C@H](O)CC2)C[C@H]1n1cc[nH+]c1 ZINC000328734898 222889809 /nfs/dbraw/zinc/88/98/09/222889809.db2.gz KJNURCUFFRDQJZ-KBUPBQIOSA-N 1 2 306.410 1.984 20 30 DDEDLO Cc1cc(C[NH2+]CCS(=O)(=O)c2cccc(C#N)c2)no1 ZINC000158825309 354231957 /nfs/dbraw/zinc/23/19/57/354231957.db2.gz SYQOCWHFYFSARE-UHFFFAOYSA-N 1 2 305.359 1.418 20 30 DDEDLO Cc1ccnc(NC(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000184046048 354279781 /nfs/dbraw/zinc/27/97/81/354279781.db2.gz DAKNGELTNVRHOQ-UHFFFAOYSA-N 1 2 317.393 1.470 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC000578701229 354711183 /nfs/dbraw/zinc/71/11/83/354711183.db2.gz SQZYKLBIICEQNL-HIFRSBDPSA-N 1 2 307.781 1.604 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@@H]1CCCOC1 ZINC000402699745 354668808 /nfs/dbraw/zinc/66/88/08/354668808.db2.gz OAAYPUOAVYYBLB-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@@H]1CCCOC1 ZINC000402699745 354668811 /nfs/dbraw/zinc/66/88/11/354668811.db2.gz OAAYPUOAVYYBLB-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO N#Cc1cc(N2CCC[N@H+](Cc3cscn3)CC2)ncn1 ZINC000617947371 363402182 /nfs/dbraw/zinc/40/21/82/363402182.db2.gz YOYGRTLAEPLTSB-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO N#Cc1cc(N2CCC[N@@H+](Cc3cscn3)CC2)ncn1 ZINC000617947371 363402184 /nfs/dbraw/zinc/40/21/84/363402184.db2.gz YOYGRTLAEPLTSB-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCN(C(=O)CCCCCC#N)CC1 ZINC000588311708 354915220 /nfs/dbraw/zinc/91/52/20/354915220.db2.gz VOEYITHSECMQRU-UHFFFAOYSA-N 1 2 308.426 1.131 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCN(C(=O)CCCCCC#N)CC1 ZINC000588311708 354915224 /nfs/dbraw/zinc/91/52/24/354915224.db2.gz VOEYITHSECMQRU-UHFFFAOYSA-N 1 2 308.426 1.131 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3cc(O)cc(C#N)c3)CC2)cc[nH+]1 ZINC000589205429 354972556 /nfs/dbraw/zinc/97/25/56/354972556.db2.gz PNCBYYRWWRVFPC-UHFFFAOYSA-N 1 2 323.356 1.325 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](Cc3ccncc3)CC2)n1 ZINC000589261222 354979546 /nfs/dbraw/zinc/97/95/46/354979546.db2.gz FVHRROQAPGLQPK-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO COc1ncc(CN(CCC#N)CC[NH+]2CCOCC2)s1 ZINC000589820514 355021591 /nfs/dbraw/zinc/02/15/91/355021591.db2.gz UMCMFNBYOVQLKH-UHFFFAOYSA-N 1 2 310.423 1.200 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C(=O)OCC ZINC000590882492 355204633 /nfs/dbraw/zinc/20/46/33/355204633.db2.gz PZTDWVOCMIWLLZ-OLZOCXBDSA-N 1 2 305.378 1.460 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@@H](C)C(=O)N2CCCCC2)CC1 ZINC000591020271 355233192 /nfs/dbraw/zinc/23/31/92/355233192.db2.gz ZIZRSSAUDYWDKK-JKSUJKDBSA-N 1 2 306.454 1.553 20 30 DDEDLO Cc1cc(N2CCC[C@](O)(CC(=O)N(C)C)C2)c(C#N)c[nH+]1 ZINC000591618049 355340534 /nfs/dbraw/zinc/34/05/34/355340534.db2.gz NSGNGSWQONJIKC-INIZCTEOSA-N 1 2 302.378 1.071 20 30 DDEDLO Cc1cccc2[nH+]c(CCNC(=O)N3CC[C@](F)(C#N)C3)cn21 ZINC000592057076 355479565 /nfs/dbraw/zinc/47/95/65/355479565.db2.gz CZOAYXLGCOMKJV-INIZCTEOSA-N 1 2 315.352 1.832 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)[C@@H](CC)CO1 ZINC000593058479 355782849 /nfs/dbraw/zinc/78/28/49/355782849.db2.gz MOWZOEBJKLNFNU-LSDHHAIUSA-N 1 2 306.410 1.532 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)[C@@H](CC)CO1 ZINC000593058479 355782852 /nfs/dbraw/zinc/78/28/52/355782852.db2.gz MOWZOEBJKLNFNU-LSDHHAIUSA-N 1 2 306.410 1.532 20 30 DDEDLO Cc1c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cnn1-c1ccccn1 ZINC000593155742 355813633 /nfs/dbraw/zinc/81/36/33/355813633.db2.gz YCSZSHUVUYLVFU-INIZCTEOSA-N 1 2 312.377 1.149 20 30 DDEDLO CC[C@@H](C#N)C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000593390552 355870738 /nfs/dbraw/zinc/87/07/38/355870738.db2.gz LERZUOYJIWYDAS-HOCLYGCPSA-N 1 2 301.390 1.726 20 30 DDEDLO N#Cc1sccc1S(=O)(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000593583030 355933725 /nfs/dbraw/zinc/93/37/25/355933725.db2.gz VNQVUPOAQKRZSK-SECBINFHSA-N 1 2 308.388 1.110 20 30 DDEDLO COCC[N@@H+](Cc1ccnc(C#N)c1)C[C@H](O)C(F)(F)F ZINC000594018110 356090002 /nfs/dbraw/zinc/09/00/02/356090002.db2.gz RTWFQDOIDGNQLP-LBPRGKRZSA-N 1 2 303.284 1.325 20 30 DDEDLO COCC[N@H+](Cc1ccnc(C#N)c1)C[C@H](O)C(F)(F)F ZINC000594018110 356090005 /nfs/dbraw/zinc/09/00/05/356090005.db2.gz RTWFQDOIDGNQLP-LBPRGKRZSA-N 1 2 303.284 1.325 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cccc2c1OC(C)(C)C2 ZINC000594024782 356092922 /nfs/dbraw/zinc/09/29/22/356092922.db2.gz ZJQOPTWHWQNTLK-QGZVFWFLSA-N 1 2 301.390 1.974 20 30 DDEDLO Cc1ccnc(Cn2cc(C[NH+]3CCOCC3)cc(C#N)c2=O)c1 ZINC000594901824 356351215 /nfs/dbraw/zinc/35/12/15/356351215.db2.gz HBYCZVSVNVBDJB-UHFFFAOYSA-N 1 2 324.384 1.304 20 30 DDEDLO CC[NH+]1CCN(C(=O)[C@H](C)Sc2ccncc2C#N)CC1 ZINC000594911423 356352576 /nfs/dbraw/zinc/35/25/76/356352576.db2.gz RNMWVBXVDJAPMY-LBPRGKRZSA-N 1 2 304.419 1.598 20 30 DDEDLO C[C@@H](O)[C@H]1CCCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513272 192276707 /nfs/dbraw/zinc/27/67/07/192276707.db2.gz IFUBYKCIPHYJSB-CZUORRHYSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@@H](O)[C@H]1CCCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513272 192276709 /nfs/dbraw/zinc/27/67/09/192276709.db2.gz IFUBYKCIPHYJSB-CZUORRHYSA-N 1 2 322.430 1.567 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000595552366 356546896 /nfs/dbraw/zinc/54/68/96/356546896.db2.gz UHNIRCILADLPGW-CYBMUJFWSA-N 1 2 301.456 1.316 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000595552366 356546899 /nfs/dbraw/zinc/54/68/99/356546899.db2.gz UHNIRCILADLPGW-CYBMUJFWSA-N 1 2 301.456 1.316 20 30 DDEDLO COC(=O)[C@@H](CC(C)C)NC(=O)C[N@@H+]1CCC[C@H](CC#N)C1 ZINC000595609925 356576854 /nfs/dbraw/zinc/57/68/54/356576854.db2.gz QIWBOVPPLJVTHH-ZIAGYGMSSA-N 1 2 309.410 1.316 20 30 DDEDLO COC(=O)[C@@H](CC(C)C)NC(=O)C[N@H+]1CCC[C@H](CC#N)C1 ZINC000595609925 356576857 /nfs/dbraw/zinc/57/68/57/356576857.db2.gz QIWBOVPPLJVTHH-ZIAGYGMSSA-N 1 2 309.410 1.316 20 30 DDEDLO N#Cc1sccc1N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000595632621 356585550 /nfs/dbraw/zinc/58/55/50/356585550.db2.gz ZHBMPMZBJBMHEL-UHFFFAOYSA-N 1 2 304.419 1.364 20 30 DDEDLO C[N@@H+](Cc1nnc(-c2cccc(C#N)c2)o1)C[C@H]1COCCO1 ZINC000595743953 356631096 /nfs/dbraw/zinc/63/10/96/356631096.db2.gz TVTCMFMPIIZIMQ-AWEZNQCLSA-N 1 2 314.345 1.455 20 30 DDEDLO C[N@H+](Cc1nnc(-c2cccc(C#N)c2)o1)C[C@H]1COCCO1 ZINC000595743953 356631097 /nfs/dbraw/zinc/63/10/97/356631097.db2.gz TVTCMFMPIIZIMQ-AWEZNQCLSA-N 1 2 314.345 1.455 20 30 DDEDLO C[N@@H+](Cc1nnc(-c2ccc(C#N)cc2)o1)C[C@H]1COCCO1 ZINC000595744947 356632215 /nfs/dbraw/zinc/63/22/15/356632215.db2.gz WOJYNGPMXOPNGZ-AWEZNQCLSA-N 1 2 314.345 1.455 20 30 DDEDLO C[N@H+](Cc1nnc(-c2ccc(C#N)cc2)o1)C[C@H]1COCCO1 ZINC000595744947 356632217 /nfs/dbraw/zinc/63/22/17/356632217.db2.gz WOJYNGPMXOPNGZ-AWEZNQCLSA-N 1 2 314.345 1.455 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@@H](C)[C@@](C)(CO)C2)c(C#N)c1C ZINC000595874408 356687665 /nfs/dbraw/zinc/68/76/65/356687665.db2.gz OHRUKPGFIWFTLV-QLJPJBMISA-N 1 2 305.378 1.657 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@@H](C)[C@@](C)(CO)C2)c(C#N)c1C ZINC000595874408 356687667 /nfs/dbraw/zinc/68/76/67/356687667.db2.gz OHRUKPGFIWFTLV-QLJPJBMISA-N 1 2 305.378 1.657 20 30 DDEDLO N#Cc1nc(N2CCC[C@@H]2C[NH+]2CCOCC2)sc1Cl ZINC000596199113 356811107 /nfs/dbraw/zinc/81/11/07/356811107.db2.gz CZGMKVKRZBYYIP-SNVBAGLBSA-N 1 2 312.826 1.969 20 30 DDEDLO CCc1nc(C#N)cc(N(CC[NH+]2CCOCC2)CC2CC2)n1 ZINC000596515952 356903276 /nfs/dbraw/zinc/90/32/76/356903276.db2.gz RTQBSVXKQAFMPH-UHFFFAOYSA-N 1 2 315.421 1.459 20 30 DDEDLO C[C@H](CC#N)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000596675470 356950041 /nfs/dbraw/zinc/95/00/41/356950041.db2.gz TVOMYQYVAFDITI-ZBFHGGJFSA-N 1 2 301.390 1.553 20 30 DDEDLO C[C@H](CC#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000596675470 356950043 /nfs/dbraw/zinc/95/00/43/356950043.db2.gz TVOMYQYVAFDITI-ZBFHGGJFSA-N 1 2 301.390 1.553 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@H]2CCc3[nH+]c[nH]c3C2)CC1 ZINC000597050576 357049000 /nfs/dbraw/zinc/04/90/00/357049000.db2.gz WXRUCMOEFQLQEV-BBRMVZONSA-N 1 2 315.421 1.207 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@H]2CCc3[nH]c[nH+]c3C2)CC1 ZINC000597050576 357049003 /nfs/dbraw/zinc/04/90/03/357049003.db2.gz WXRUCMOEFQLQEV-BBRMVZONSA-N 1 2 315.421 1.207 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2ccc(C(=O)OCC)o2)nn1 ZINC000597129865 357068399 /nfs/dbraw/zinc/06/83/99/357068399.db2.gz PWHUBWWZWPFBBK-UHFFFAOYSA-N 1 2 304.350 1.914 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](Cc3cn(C4CCC4)nn3)C2)nc1 ZINC000597129497 357068587 /nfs/dbraw/zinc/06/85/87/357068587.db2.gz KUQAJAMMDSMVAH-INIZCTEOSA-N 1 2 324.388 1.923 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](Cc3cn(C4CCC4)nn3)C2)nc1 ZINC000597129497 357068591 /nfs/dbraw/zinc/06/85/91/357068591.db2.gz KUQAJAMMDSMVAH-INIZCTEOSA-N 1 2 324.388 1.923 20 30 DDEDLO N#CC1(CC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CCOCC1 ZINC000597432935 357174249 /nfs/dbraw/zinc/17/42/49/357174249.db2.gz OTTHZMSJHUAPBF-CQSZACIVSA-N 1 2 314.389 1.487 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)COc1ccc(C#N)cc1 ZINC000597998005 357413510 /nfs/dbraw/zinc/41/35/10/357413510.db2.gz OEPHOGJLXOWBEL-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)COc1ccc(C#N)cc1 ZINC000597998005 357413514 /nfs/dbraw/zinc/41/35/14/357413514.db2.gz OEPHOGJLXOWBEL-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO Cc1ccn(C)c(=O)c1NC(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000329782159 223027896 /nfs/dbraw/zinc/02/78/96/223027896.db2.gz NTGXMWCHDLRNNB-JTQLQIEISA-N 1 2 301.350 1.300 20 30 DDEDLO Cc1ccn(C)c(=O)c1NC(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000329782159 223027899 /nfs/dbraw/zinc/02/78/99/223027899.db2.gz NTGXMWCHDLRNNB-JTQLQIEISA-N 1 2 301.350 1.300 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(F)c2ccncc12 ZINC000598836754 357739878 /nfs/dbraw/zinc/73/98/78/357739878.db2.gz QPGJRMLMKUZOIZ-MRXNPFEDSA-N 1 2 300.337 1.948 20 30 DDEDLO COc1cccc([C@@H](OC)C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000598837512 357740068 /nfs/dbraw/zinc/74/00/68/357740068.db2.gz WCCLGXZPCBCZLP-ZBFHGGJFSA-N 1 2 305.378 1.343 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)Cc1ccc(C(=O)OC)cn1 ZINC000599444167 357939298 /nfs/dbraw/zinc/93/92/98/357939298.db2.gz QJCGRQWXAWSSRN-UHFFFAOYSA-N 1 2 319.405 1.725 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)Cc1ccc(C(=O)OC)cn1 ZINC000599444167 357939300 /nfs/dbraw/zinc/93/93/00/357939300.db2.gz QJCGRQWXAWSSRN-UHFFFAOYSA-N 1 2 319.405 1.725 20 30 DDEDLO CS(=O)(=O)CCCC[N@@H+]1CCc2c(CC#N)cccc2C1 ZINC000599679412 358021339 /nfs/dbraw/zinc/02/13/39/358021339.db2.gz RMKDPAAACFNUKT-UHFFFAOYSA-N 1 2 306.431 1.936 20 30 DDEDLO CS(=O)(=O)CCCC[N@H+]1CCc2c(CC#N)cccc2C1 ZINC000599679412 358021343 /nfs/dbraw/zinc/02/13/43/358021343.db2.gz RMKDPAAACFNUKT-UHFFFAOYSA-N 1 2 306.431 1.936 20 30 DDEDLO N#Cc1ccc(CC(=O)NCc2ccc[nH+]c2N2CCCC2)cn1 ZINC000600089480 358120478 /nfs/dbraw/zinc/12/04/78/358120478.db2.gz GWCDRAFFLUQJEZ-UHFFFAOYSA-N 1 2 321.384 1.807 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccc(C#N)s1 ZINC000180589387 199180558 /nfs/dbraw/zinc/18/05/58/199180558.db2.gz TYQRWUXFYFZFCB-GFCCVEGCSA-N 1 2 324.413 1.992 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccc(C#N)s1 ZINC000180589387 199180559 /nfs/dbraw/zinc/18/05/59/199180559.db2.gz TYQRWUXFYFZFCB-GFCCVEGCSA-N 1 2 324.413 1.992 20 30 DDEDLO COCc1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc1OC ZINC000600760479 358310265 /nfs/dbraw/zinc/31/02/65/358310265.db2.gz KAXLGIZWMZLSJX-OAHLLOKOSA-N 1 2 307.394 1.460 20 30 DDEDLO COCc1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1OC ZINC000600760479 358310266 /nfs/dbraw/zinc/31/02/66/358310266.db2.gz KAXLGIZWMZLSJX-OAHLLOKOSA-N 1 2 307.394 1.460 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)C3CSC3)CC2)cc1 ZINC000600945399 358352068 /nfs/dbraw/zinc/35/20/68/358352068.db2.gz IVHRORSQXOUOHF-UHFFFAOYSA-N 1 2 301.415 1.565 20 30 DDEDLO CC(C)(C)c1ccc(C#N)c(N[C@H](CO)C[NH+]2CCOCC2)n1 ZINC000601177008 358434628 /nfs/dbraw/zinc/43/46/28/358434628.db2.gz PIRNIDQNBRVKEY-AWEZNQCLSA-N 1 2 318.421 1.356 20 30 DDEDLO C=C(C)C[C@H](NC(=O)C1CC[NH+](CC(F)F)CC1)C(=O)OC ZINC000601781260 358692548 /nfs/dbraw/zinc/69/25/48/358692548.db2.gz HYFQJZCQSBTEGR-LBPRGKRZSA-N 1 2 318.364 1.588 20 30 DDEDLO CC[N@@H+]1CCOC[C@H]1C(=O)N(Cc1ccc(C#N)cc1)C1CC1 ZINC000602239270 358894923 /nfs/dbraw/zinc/89/49/23/358894923.db2.gz UEQAASNNLAIDIJ-KRWDZBQOSA-N 1 2 313.401 1.770 20 30 DDEDLO CC[N@H+]1CCOC[C@H]1C(=O)N(Cc1ccc(C#N)cc1)C1CC1 ZINC000602239270 358894925 /nfs/dbraw/zinc/89/49/25/358894925.db2.gz UEQAASNNLAIDIJ-KRWDZBQOSA-N 1 2 313.401 1.770 20 30 DDEDLO C=C(C)C[C@H]([NH2+][C@H]1CCC[C@H](S(C)(=O)=O)C1)C(=O)OC ZINC000602735415 359157089 /nfs/dbraw/zinc/15/70/89/359157089.db2.gz WUGIRPDWPPXIHI-AVGNSLFASA-N 1 2 303.424 1.440 20 30 DDEDLO C[C@@H]1CCCCN1C(=O)CN1CC[NH+](CC2(CC#N)CC2)CC1 ZINC000602756144 359170282 /nfs/dbraw/zinc/17/02/82/359170282.db2.gz LBLFRIPRBCOHIF-MRXNPFEDSA-N 1 2 318.465 1.699 20 30 DDEDLO C[C@@H]1CCCCN1C(=O)C[NH+]1CCN(CC2(CC#N)CC2)CC1 ZINC000602756144 359170286 /nfs/dbraw/zinc/17/02/86/359170286.db2.gz LBLFRIPRBCOHIF-MRXNPFEDSA-N 1 2 318.465 1.699 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@H](C)N1CC#N ZINC000602850033 359236487 /nfs/dbraw/zinc/23/64/87/359236487.db2.gz OBGMAFRBTVYLKM-BETUJISGSA-N 1 2 313.361 1.885 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@H](C)N1CC#N ZINC000602850033 359236490 /nfs/dbraw/zinc/23/64/90/359236490.db2.gz OBGMAFRBTVYLKM-BETUJISGSA-N 1 2 313.361 1.885 20 30 DDEDLO C[C@@H]1C[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C[C@H](C)N1CC#N ZINC000602852357 359239137 /nfs/dbraw/zinc/23/91/37/359239137.db2.gz BIIZJEAKOOMFJY-DVOMOZLQSA-N 1 2 311.389 1.003 20 30 DDEDLO C[C@@H]1C[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C[C@H](C)N1CC#N ZINC000602852357 359239139 /nfs/dbraw/zinc/23/91/39/359239139.db2.gz BIIZJEAKOOMFJY-DVOMOZLQSA-N 1 2 311.389 1.003 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(NC(=O)c2ccc(C)cc2)CC1 ZINC000602931539 359301690 /nfs/dbraw/zinc/30/16/90/359301690.db2.gz CZQYHYXNBPACOW-MRXNPFEDSA-N 1 2 316.401 1.917 20 30 DDEDLO Cc1n[nH]cc1C[N@@H+](C)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000602945294 359312431 /nfs/dbraw/zinc/31/24/31/359312431.db2.gz ZRLOWQOPUSFLBT-INIZCTEOSA-N 1 2 309.373 1.827 20 30 DDEDLO Cc1n[nH]cc1C[N@H+](C)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000602945294 359312433 /nfs/dbraw/zinc/31/24/33/359312433.db2.gz ZRLOWQOPUSFLBT-INIZCTEOSA-N 1 2 309.373 1.827 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@@H+]1CCC[C@@H]1c1ncccn1 ZINC000602900292 359281755 /nfs/dbraw/zinc/28/17/55/359281755.db2.gz STVOOUZCGGFLMG-JKSUJKDBSA-N 1 2 324.384 1.925 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@H+]1CCC[C@@H]1c1ncccn1 ZINC000602900292 359281759 /nfs/dbraw/zinc/28/17/59/359281759.db2.gz STVOOUZCGGFLMG-JKSUJKDBSA-N 1 2 324.384 1.925 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@@H+]1CCOc1ccccc1C#N ZINC000602951442 359317238 /nfs/dbraw/zinc/31/72/38/359317238.db2.gz NOKSEPQWQPFWEB-PBHICJAKSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@H+]1CCOc1ccccc1C#N ZINC000602951442 359317240 /nfs/dbraw/zinc/31/72/40/359317240.db2.gz NOKSEPQWQPFWEB-PBHICJAKSA-N 1 2 318.373 1.589 20 30 DDEDLO COc1ccc(CNC(=O)C[N@H+](C)[C@@H]2CCC[C@@H]2C#N)cc1 ZINC000602974897 359336111 /nfs/dbraw/zinc/33/61/11/359336111.db2.gz VPJKDVKCYRTALH-GDBMZVCRSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccc(CNC(=O)C[N@@H+](C)[C@@H]2CCC[C@@H]2C#N)cc1 ZINC000602974897 359336115 /nfs/dbraw/zinc/33/61/15/359336115.db2.gz VPJKDVKCYRTALH-GDBMZVCRSA-N 1 2 301.390 1.935 20 30 DDEDLO CC[C@H](NC(=O)N(CCC#N)CC[NH+]1CCOCC1)C(F)F ZINC000603184284 359464868 /nfs/dbraw/zinc/46/48/68/359464868.db2.gz ZOTAQCSLADOVPY-LBPRGKRZSA-N 1 2 318.368 1.288 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc(F)cc2C(=O)OC)nn1 ZINC000603217364 359488790 /nfs/dbraw/zinc/48/87/90/359488790.db2.gz FDSDBZYAJODSQY-UHFFFAOYSA-N 1 2 316.336 1.365 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603281081 359539005 /nfs/dbraw/zinc/53/90/05/359539005.db2.gz REPNFJOZKQKALJ-UHFFFAOYSA-N 1 2 307.419 1.599 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603281081 359539010 /nfs/dbraw/zinc/53/90/10/359539010.db2.gz REPNFJOZKQKALJ-UHFFFAOYSA-N 1 2 307.419 1.599 20 30 DDEDLO N#CCc1ccc(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)cc1 ZINC000608783362 360242479 /nfs/dbraw/zinc/24/24/79/360242479.db2.gz MXMLHIQUTKWRPS-UHFFFAOYSA-N 1 2 304.313 1.981 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329938930 223051214 /nfs/dbraw/zinc/05/12/14/223051214.db2.gz SVOUWVNWDDPLTN-DZGCQCFKSA-N 1 2 316.361 1.127 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329938930 223051216 /nfs/dbraw/zinc/05/12/16/223051216.db2.gz SVOUWVNWDDPLTN-DZGCQCFKSA-N 1 2 316.361 1.127 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329943869 223051354 /nfs/dbraw/zinc/05/13/54/223051354.db2.gz WLSKFHXYUUFIKT-UHOFOFEASA-N 1 2 314.389 1.550 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329943869 223051357 /nfs/dbraw/zinc/05/13/57/223051357.db2.gz WLSKFHXYUUFIKT-UHOFOFEASA-N 1 2 314.389 1.550 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1C[C@H]2OCCN(C)[C@H]2C1 ZINC000329943869 223051360 /nfs/dbraw/zinc/05/13/60/223051360.db2.gz WLSKFHXYUUFIKT-UHOFOFEASA-N 1 2 314.389 1.550 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1C[C@H]2OCCN(C)[C@H]2C1 ZINC000329943869 223051364 /nfs/dbraw/zinc/05/13/64/223051364.db2.gz WLSKFHXYUUFIKT-UHOFOFEASA-N 1 2 314.389 1.550 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)NC2CCCCCC2)CC1 ZINC000609485879 360313530 /nfs/dbraw/zinc/31/35/30/360313530.db2.gz ZFMQZVKGGFPHLB-QGZVFWFLSA-N 1 2 320.481 1.991 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609538238 360321392 /nfs/dbraw/zinc/32/13/92/360321392.db2.gz OXTLPGBSGHGPBK-SJORKVTESA-N 1 2 318.465 1.741 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)N[C@H](C)c1cccc(C#N)c1 ZINC000610362641 360421695 /nfs/dbraw/zinc/42/16/95/360421695.db2.gz GQEPGOKLYIGUGJ-CYBMUJFWSA-N 1 2 316.405 1.192 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)N[C@H](C)c1cccc(C#N)c1 ZINC000610362641 360421701 /nfs/dbraw/zinc/42/17/01/360421701.db2.gz GQEPGOKLYIGUGJ-CYBMUJFWSA-N 1 2 316.405 1.192 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000610505219 360455807 /nfs/dbraw/zinc/45/58/07/360455807.db2.gz CRNCNXFDFDRWTH-BXUZGUMPSA-N 1 2 305.353 1.973 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000610505219 360455810 /nfs/dbraw/zinc/45/58/10/360455810.db2.gz CRNCNXFDFDRWTH-BXUZGUMPSA-N 1 2 305.353 1.973 20 30 DDEDLO Cc1ccc(NC(=O)[C@@H](C)[NH+]2CCN(CCC#N)CC2)cc1F ZINC000611173659 360646484 /nfs/dbraw/zinc/64/64/84/360646484.db2.gz FNTVJODYYFPKMC-CQSZACIVSA-N 1 2 318.396 1.992 20 30 DDEDLO Cc1ccc2nc(C[NH+]3CCN(CCC#N)CC3)cc(=O)n2c1 ZINC000611175058 360647906 /nfs/dbraw/zinc/64/79/06/360647906.db2.gz RWKWDGRKOBLJNM-UHFFFAOYSA-N 1 2 311.389 1.034 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)Nc1ccnn1C[C@@H]1CCOC1 ZINC000330081906 223072661 /nfs/dbraw/zinc/07/26/61/223072661.db2.gz ALRYKWUEBNUDDD-NSHDSACASA-N 1 2 304.354 1.179 20 30 DDEDLO NC(=O)N1CC[C@H](NC(=O)[C@H]2COCC[N@@H+]2CC2CCC2)C1 ZINC000330100234 223074507 /nfs/dbraw/zinc/07/45/07/223074507.db2.gz DSROQFGSVNXVON-QWHCGFSZSA-N 1 2 310.398 1.011 20 30 DDEDLO NC(=O)N1CC[C@H](NC(=O)[C@H]2COCC[N@H+]2CC2CCC2)C1 ZINC000330100234 223074509 /nfs/dbraw/zinc/07/45/09/223074509.db2.gz DSROQFGSVNXVON-QWHCGFSZSA-N 1 2 310.398 1.011 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)NCc2ccc(C#N)cc2)cn1 ZINC000611209133 360660600 /nfs/dbraw/zinc/66/06/00/360660600.db2.gz HKMNTCDVOUCBDI-UHFFFAOYSA-N 1 2 324.384 1.710 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)NCc2ccc(C#N)cc2)cn1 ZINC000611209133 360660605 /nfs/dbraw/zinc/66/06/05/360660605.db2.gz HKMNTCDVOUCBDI-UHFFFAOYSA-N 1 2 324.384 1.710 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)N2CCC[C@@](C)(C#N)C2)CCO1 ZINC000612141738 360932447 /nfs/dbraw/zinc/93/24/47/360932447.db2.gz QZWVSKCIZKKDSJ-INIZCTEOSA-N 1 2 308.426 1.432 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)N2CCC[C@@](C)(C#N)C2)CCO1 ZINC000612141738 360932453 /nfs/dbraw/zinc/93/24/53/360932453.db2.gz QZWVSKCIZKKDSJ-INIZCTEOSA-N 1 2 308.426 1.432 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[N@@H+]1CCC[C@](C)(C#N)C1 ZINC000612405564 361000717 /nfs/dbraw/zinc/00/07/17/361000717.db2.gz AGRXSSSPDYQSFW-QGZVFWFLSA-N 1 2 317.437 1.691 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[N@H+]1CCC[C@](C)(C#N)C1 ZINC000612405564 361000720 /nfs/dbraw/zinc/00/07/20/361000720.db2.gz AGRXSSSPDYQSFW-QGZVFWFLSA-N 1 2 317.437 1.691 20 30 DDEDLO N#CCc1ccc(C[NH+]2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000330806923 223145580 /nfs/dbraw/zinc/14/55/80/223145580.db2.gz ABNGMZXVBAAFRP-KRWDZBQOSA-N 1 2 313.401 1.576 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(C(=O)NC2CC(C(F)(F)F)C2)CC1 ZINC000332435616 223213743 /nfs/dbraw/zinc/21/37/43/223213743.db2.gz KCGPJHJISOUBEX-UHFFFAOYSA-N 1 2 318.343 1.957 20 30 DDEDLO C[C@@H](O)CN1CC[NH+](Cc2ccc(C(C)(C)C#N)cc2)CC1 ZINC000614164746 361731952 /nfs/dbraw/zinc/73/19/52/361731952.db2.gz XBHCZBRKABJWBK-OAHLLOKOSA-N 1 2 301.434 1.986 20 30 DDEDLO C=C[C@H](C(=O)OC)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000619716446 364126603 /nfs/dbraw/zinc/12/66/03/364126603.db2.gz GOXJOKOYODHLBJ-CQSZACIVSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000619888730 364179194 /nfs/dbraw/zinc/17/91/94/364179194.db2.gz LTDPSDHLRSIXIY-HNNXBMFYSA-N 1 2 310.438 1.538 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC[C@H]([C@@H](O)C(F)(F)F)C2)CCC1 ZINC000347148651 223367926 /nfs/dbraw/zinc/36/79/26/223367926.db2.gz GRSHWQCTZSDTCA-CMPLNLGQSA-N 1 2 319.327 1.184 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC[C@H]([C@@H](O)C(F)(F)F)C2)CCC1 ZINC000347148651 223367928 /nfs/dbraw/zinc/36/79/28/223367928.db2.gz GRSHWQCTZSDTCA-CMPLNLGQSA-N 1 2 319.327 1.184 20 30 DDEDLO C[C@@](O)(C[NH+]1CCN(c2ncccc2C#N)CC1)C(F)(F)F ZINC000281989296 216680138 /nfs/dbraw/zinc/68/01/38/216680138.db2.gz VPZHKIGKFWGZRG-CYBMUJFWSA-N 1 2 314.311 1.389 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+](C)CCOCCO)c(C#N)c1C ZINC000282534124 217070374 /nfs/dbraw/zinc/07/03/74/217070374.db2.gz QLLRKHXIMNEROM-UHFFFAOYSA-N 1 2 311.407 1.116 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+](C)CCOCCO)c(C#N)c1C ZINC000282534124 217070378 /nfs/dbraw/zinc/07/03/78/217070378.db2.gz QLLRKHXIMNEROM-UHFFFAOYSA-N 1 2 311.407 1.116 20 30 DDEDLO C[C@](O)(C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1)C1CC1 ZINC000288297732 220070409 /nfs/dbraw/zinc/07/04/09/220070409.db2.gz LKCFPTULCWBPHB-GOSISDBHSA-N 1 2 313.401 1.363 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(c2c(C#N)cnc3ccccc32)CC1 ZINC000267482998 206099292 /nfs/dbraw/zinc/09/92/92/206099292.db2.gz CSEWIIYWZUMAAL-UHFFFAOYSA-N 1 2 323.400 1.492 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1ccc(F)c(C#N)c1)[NH+]1CCOCC1 ZINC000105036806 194066057 /nfs/dbraw/zinc/06/60/57/194066057.db2.gz ZAEPSRVECIKEAO-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(c2ncc(C#N)cc2F)CC1 ZINC000413126981 224139534 /nfs/dbraw/zinc/13/95/34/224139534.db2.gz LFXYMEFNLVVHAS-UHFFFAOYSA-N 1 2 300.341 1.148 20 30 DDEDLO COc1ccc([C@H](C)NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)cc1 ZINC000329924654 533148261 /nfs/dbraw/zinc/14/82/61/533148261.db2.gz VBRBDRDRWJBWSQ-RCBQFDQVSA-N 1 2 319.405 1.685 20 30 DDEDLO COc1ccc([C@H](C)NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)cc1 ZINC000329924654 533148266 /nfs/dbraw/zinc/14/82/66/533148266.db2.gz VBRBDRDRWJBWSQ-RCBQFDQVSA-N 1 2 319.405 1.685 20 30 DDEDLO C=C(C)CNC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000156398630 197110027 /nfs/dbraw/zinc/11/00/27/197110027.db2.gz VFJJZRFATZLLTL-MRXNPFEDSA-N 1 2 303.406 1.935 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)nc2)CC1 ZINC000122063062 195396309 /nfs/dbraw/zinc/39/63/09/195396309.db2.gz QEWLHMWWHUNLOE-LBPRGKRZSA-N 1 2 308.407 1.058 20 30 DDEDLO CO[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H](C)C1 ZINC000318431040 533414992 /nfs/dbraw/zinc/41/49/92/533414992.db2.gz PWVYNPGUYKGHCP-UKRRQHHQSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H](C)C1 ZINC000318431040 533414996 /nfs/dbraw/zinc/41/49/96/533414996.db2.gz PWVYNPGUYKGHCP-UKRRQHHQSA-N 1 2 322.430 1.831 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](C2CCCCC2)C[N@@H+]1CC(=O)NCC#N ZINC000330922391 533509571 /nfs/dbraw/zinc/50/95/71/533509571.db2.gz BKYYFFWCYGKTSX-ZIAGYGMSSA-N 1 2 307.394 1.070 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](C2CCCCC2)C[N@H+]1CC(=O)NCC#N ZINC000330922391 533509573 /nfs/dbraw/zinc/50/95/73/533509573.db2.gz BKYYFFWCYGKTSX-ZIAGYGMSSA-N 1 2 307.394 1.070 20 30 DDEDLO COC(=O)[C@H]([NH2+]Cc1cc(C#N)n(C)c1)[C@H]1CCC[C@@H](OC)C1 ZINC000568642167 304303468 /nfs/dbraw/zinc/30/34/68/304303468.db2.gz YGSJHLAUPRJRCD-NUEKZKHPSA-N 1 2 319.405 1.733 20 30 DDEDLO C#C[C@H](C)NC(=O)N1CC[NH+](Cc2ccc(OC)c(F)c2)CC1 ZINC000186087426 407570902 /nfs/dbraw/zinc/57/09/02/407570902.db2.gz DQLIOTPHGFKPPJ-ZDUSSCGKSA-N 1 2 319.380 1.683 20 30 DDEDLO COC(=O)C1CC[NH+]([C@@H](C)C(=O)Nc2ccccc2C#N)CC1 ZINC000007391012 406755371 /nfs/dbraw/zinc/75/53/71/406755371.db2.gz LJYZKCIXUNRSSL-LBPRGKRZSA-N 1 2 315.373 1.770 20 30 DDEDLO CN(C)C(=O)[C@H]1CCC[N@@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000027565695 406911093 /nfs/dbraw/zinc/91/10/93/406911093.db2.gz ZSURZQBPOPVGNV-CQSZACIVSA-N 1 2 300.362 1.049 20 30 DDEDLO CN(C)C(=O)[C@H]1CCC[N@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000027565695 406911097 /nfs/dbraw/zinc/91/10/97/406911097.db2.gz ZSURZQBPOPVGNV-CQSZACIVSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@@H]1CC[C@@H](CCC(=O)N(CCC#N)CC[NH+]2CCOCC2)O1 ZINC000075196613 406926987 /nfs/dbraw/zinc/92/69/87/406926987.db2.gz OVRWIBQAQCFRBY-CVEARBPZSA-N 1 2 323.437 1.409 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(C(=O)C(C)(C)C)CC1 ZINC000075636219 406938063 /nfs/dbraw/zinc/93/80/63/406938063.db2.gz IXBZHAJZMZFVJL-OAHLLOKOSA-N 1 2 321.465 1.766 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000078269190 407023315 /nfs/dbraw/zinc/02/33/15/407023315.db2.gz XTCJCKRELSYAGU-YOEHRIQHSA-N 1 2 312.417 1.536 20 30 DDEDLO O=C(CC[NH+]1CCN(c2ccccc2)CC1)N=C1NCCS1 ZINC000081234003 407075492 /nfs/dbraw/zinc/07/54/92/407075492.db2.gz FPYHXRGDIBSGEC-UHFFFAOYSA-N 1 2 318.446 1.418 20 30 DDEDLO CCCNC(=O)CO[NH+]=C(N)c1cccc(C(F)(F)F)c1 ZINC000054181807 407180042 /nfs/dbraw/zinc/18/00/42/407180042.db2.gz OIPOYNGDUIAIHV-UHFFFAOYSA-N 1 2 303.284 1.869 20 30 DDEDLO C[N@H+](CCCC#N)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000067127295 407268516 /nfs/dbraw/zinc/26/85/16/407268516.db2.gz YPPFOHVUWHXIQU-UHFFFAOYSA-N 1 2 316.405 1.697 20 30 DDEDLO C[N@@H+](CCCC#N)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000067127295 407268519 /nfs/dbraw/zinc/26/85/19/407268519.db2.gz YPPFOHVUWHXIQU-UHFFFAOYSA-N 1 2 316.405 1.697 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@@H](O)C[N@H+](C)[C@@H](C)CC#N)c1 ZINC000123812289 407339420 /nfs/dbraw/zinc/33/94/20/407339420.db2.gz SFPBDBGWEWJRCL-ZFWWWQNUSA-N 1 2 320.389 1.837 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@@H](O)C[N@@H+](C)[C@@H](C)CC#N)c1 ZINC000123812289 407339423 /nfs/dbraw/zinc/33/94/23/407339423.db2.gz SFPBDBGWEWJRCL-ZFWWWQNUSA-N 1 2 320.389 1.837 20 30 DDEDLO C=CC[NH+](CC=C)[C@H](CNc1nc2n[nH]cc2c(=O)[nH]1)C(C)C ZINC000106464070 407359409 /nfs/dbraw/zinc/35/94/09/407359409.db2.gz JQEBGMLAFPLEPI-CYBMUJFWSA-N 1 2 316.409 1.757 20 30 DDEDLO C=CC[NH+](CC=C)[C@H](CNc1nc2[nH]ncc2c(=O)[nH]1)C(C)C ZINC000106464070 407359411 /nfs/dbraw/zinc/35/94/11/407359411.db2.gz JQEBGMLAFPLEPI-CYBMUJFWSA-N 1 2 316.409 1.757 20 30 DDEDLO Cc1cccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1F ZINC000151630389 407466714 /nfs/dbraw/zinc/46/67/14/407466714.db2.gz VDSOCKNKFMKIFC-UHFFFAOYSA-N 1 2 319.380 1.822 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@H+](CCOc1ccccc1C#N)C1CC1 ZINC000113261152 407484899 /nfs/dbraw/zinc/48/48/99/407484899.db2.gz LZNDUCXMHFPAOG-CYBMUJFWSA-N 1 2 322.430 1.835 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@@H+](CCOc1ccccc1C#N)C1CC1 ZINC000113261152 407484903 /nfs/dbraw/zinc/48/49/03/407484903.db2.gz LZNDUCXMHFPAOG-CYBMUJFWSA-N 1 2 322.430 1.835 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@H](CCC(N)=O)C1 ZINC000170738288 407485668 /nfs/dbraw/zinc/48/56/68/407485668.db2.gz GPLQZRONSDPWTQ-LLVKDONJSA-N 1 2 320.418 1.536 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@H](CCC(N)=O)C1 ZINC000170738288 407485672 /nfs/dbraw/zinc/48/56/72/407485672.db2.gz GPLQZRONSDPWTQ-LLVKDONJSA-N 1 2 320.418 1.536 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+]CC(=O)Nc2ccccc2)C(N)=O)cc1 ZINC000115597652 407699157 /nfs/dbraw/zinc/69/91/57/407699157.db2.gz OCVDAMMAERRNGJ-INIZCTEOSA-N 1 2 308.341 1.313 20 30 DDEDLO Cc1cnc(NC(=O)N(CCC#N)CC[NH+]2CCOCC2)s1 ZINC000172041680 407801038 /nfs/dbraw/zinc/80/10/38/407801038.db2.gz FSFAHLFMSONQIV-UHFFFAOYSA-N 1 2 323.422 1.531 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCN(CC(F)F)[C@@H](CC)C1 ZINC000153714027 407882855 /nfs/dbraw/zinc/88/28/55/407882855.db2.gz FZPRHKNYQJVQBZ-AWEZNQCLSA-N 1 2 315.408 1.848 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCN(CC(F)F)[C@@H](CC)C1 ZINC000153714027 407882858 /nfs/dbraw/zinc/88/28/58/407882858.db2.gz FZPRHKNYQJVQBZ-AWEZNQCLSA-N 1 2 315.408 1.848 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@]2(CCOC2)C1 ZINC000153979185 407946098 /nfs/dbraw/zinc/94/60/98/407946098.db2.gz UVKFZMOXRXNNEA-WBVHZDCISA-N 1 2 300.358 1.885 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@]2(CCOC2)C1 ZINC000153979185 407946103 /nfs/dbraw/zinc/94/61/03/407946103.db2.gz UVKFZMOXRXNNEA-WBVHZDCISA-N 1 2 300.358 1.885 20 30 DDEDLO COc1ccccc1CNC(=O)C[NH+]1CCC(C)(C#N)CC1 ZINC000272507876 407953750 /nfs/dbraw/zinc/95/37/50/407953750.db2.gz FOBZEYFNMAAFQT-UHFFFAOYSA-N 1 2 301.390 1.937 20 30 DDEDLO COc1ccc(C[N@H+]([C@@H](C)CS(C)(=O)=O)C2CC2)cc1C#N ZINC000135079590 407970313 /nfs/dbraw/zinc/97/03/13/407970313.db2.gz HYOYHFZTRORXOM-LBPRGKRZSA-N 1 2 322.430 1.964 20 30 DDEDLO COc1ccc(C[N@@H+]([C@@H](C)CS(C)(=O)=O)C2CC2)cc1C#N ZINC000135079590 407970318 /nfs/dbraw/zinc/97/03/18/407970318.db2.gz HYOYHFZTRORXOM-LBPRGKRZSA-N 1 2 322.430 1.964 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CC)C[C@H](O)C(F)(F)F ZINC000189490358 408032800 /nfs/dbraw/zinc/03/28/00/408032800.db2.gz FBLFAGXXHKYYPK-QWRGUYRKSA-N 1 2 309.332 1.240 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CC)C[C@H](O)C(F)(F)F ZINC000189490358 408032806 /nfs/dbraw/zinc/03/28/06/408032806.db2.gz FBLFAGXXHKYYPK-QWRGUYRKSA-N 1 2 309.332 1.240 20 30 DDEDLO CC[N@H+](Cc1ccc(OC)c(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000135170374 407986356 /nfs/dbraw/zinc/98/63/56/407986356.db2.gz YASGSULYOYJIHU-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO CC[N@@H+](Cc1ccc(OC)c(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000135170374 407986364 /nfs/dbraw/zinc/98/63/64/407986364.db2.gz YASGSULYOYJIHU-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO CCn1nc(C)c(CN(CCC#N)CC[NH+]2CCOCC2)c1C ZINC000154796260 408084886 /nfs/dbraw/zinc/08/48/86/408084886.db2.gz IHMSOJRUVGFBEC-UHFFFAOYSA-N 1 2 319.453 1.568 20 30 DDEDLO Cc1cc(-c2noc([C@@H](C)O[NH+]=C(N)CCO)n2)ccc1F ZINC000121378166 408196391 /nfs/dbraw/zinc/19/63/91/408196391.db2.gz OKPORCNQCGDMGJ-SECBINFHSA-N 1 2 308.313 1.916 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2cccc(SCC#N)c2)CC1 ZINC000175977044 408255886 /nfs/dbraw/zinc/25/58/86/408255886.db2.gz NNXZJZMVOAVRIN-UHFFFAOYSA-N 1 2 319.430 1.706 20 30 DDEDLO C[C@@H](O[NH+]=C(N)CCO)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC000121409365 408202682 /nfs/dbraw/zinc/20/26/82/408202682.db2.gz UUZDARCEKDQXGV-SSDOTTSWSA-N 1 2 320.176 1.992 20 30 DDEDLO COc1ccc(F)cc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000269085593 408225125 /nfs/dbraw/zinc/22/51/25/408225125.db2.gz PLXMSLOYLBZAOG-UHFFFAOYSA-N 1 2 321.396 1.882 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH2+][C@@H](C)c1nnc2ccccn21 ZINC000182737183 408236924 /nfs/dbraw/zinc/23/69/24/408236924.db2.gz ZYEHXEKMOQKDOU-STQMWFEESA-N 1 2 314.393 1.388 20 30 DDEDLO C=CCn1c(SCc2[nH+]ccn2C)nnc1-c1cnccn1 ZINC000157850100 408318888 /nfs/dbraw/zinc/31/88/88/408318888.db2.gz ZEMHDBKHNZWNAA-UHFFFAOYSA-N 1 2 313.390 1.947 20 30 DDEDLO C[C@H]1CCCCN1C(=O)CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158184970 408331096 /nfs/dbraw/zinc/33/10/96/408331096.db2.gz ZOYXHUQBFQQINE-LBPRGKRZSA-N 1 2 317.389 1.659 20 30 DDEDLO CC[N@H+](CCC(=O)OC)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156692174 408270266 /nfs/dbraw/zinc/27/02/66/408270266.db2.gz MUJQXPJRAXQHBU-UHFFFAOYSA-N 1 2 324.402 1.217 20 30 DDEDLO CC[N@@H+](CCC(=O)OC)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156692174 408270271 /nfs/dbraw/zinc/27/02/71/408270271.db2.gz MUJQXPJRAXQHBU-UHFFFAOYSA-N 1 2 324.402 1.217 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCS[C@H]3COCC[C@H]32)c1 ZINC000246873124 408359619 /nfs/dbraw/zinc/35/96/19/408359619.db2.gz LNXDMYZWTSPDBF-CABCVRRESA-N 1 2 317.414 1.703 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCS[C@H]3COCC[C@H]32)c1 ZINC000246873124 408359621 /nfs/dbraw/zinc/35/96/21/408359621.db2.gz LNXDMYZWTSPDBF-CABCVRRESA-N 1 2 317.414 1.703 20 30 DDEDLO CC(C)(C)NC(=O)C[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000170639291 162186908 /nfs/dbraw/zinc/18/69/08/162186908.db2.gz QBWJRCOBJRGWIY-UHFFFAOYSA-N 1 2 318.396 1.734 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000183219863 408357177 /nfs/dbraw/zinc/35/71/77/408357177.db2.gz MIAAMMUTDZXTMQ-CYBMUJFWSA-N 1 2 318.352 1.748 20 30 DDEDLO Cn1cc(CNc2ccc[nH+]c2N2CCC(O)CC2)cc1C#N ZINC000191476610 408432592 /nfs/dbraw/zinc/43/25/92/408432592.db2.gz ZFRKEKGUECQCDK-UHFFFAOYSA-N 1 2 311.389 1.865 20 30 DDEDLO C[C@H](Nc1ccc([N+](=O)[O-])cc1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000264303683 408435004 /nfs/dbraw/zinc/43/50/04/408435004.db2.gz RVGVEBIOQIHVBG-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H](Nc1nc2c(cc1C#N)CCC2)[C@@H](C)[NH+]1CCOCC1 ZINC000264319941 408443696 /nfs/dbraw/zinc/44/36/96/408443696.db2.gz VEGKRXBSGSGZKH-CHWSQXEVSA-N 1 2 300.406 1.963 20 30 DDEDLO Cc1cc(C)c(C#N)c(N2CCN(c3cc[nH+]c(C)n3)CC2)n1 ZINC000184011215 408530847 /nfs/dbraw/zinc/53/08/47/408530847.db2.gz GYQXVAGONVOCBQ-UHFFFAOYSA-N 1 2 308.389 1.995 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(C(=O)Nc2nnc3ccccn32)CC1 ZINC000274763268 408522385 /nfs/dbraw/zinc/52/23/85/408522385.db2.gz FEIHUGYWOCTVQP-UHFFFAOYSA-N 1 2 313.365 1.181 20 30 DDEDLO C#CC[C@H](NC(=O)N[C@H](C)C[NH+]1CCOCC1)c1ccccc1 ZINC000270415219 408525885 /nfs/dbraw/zinc/52/58/85/408525885.db2.gz MBHIYIJDRICPIC-WBVHZDCISA-N 1 2 315.417 1.771 20 30 DDEDLO CCCCOc1cccc(CNC(=O)CO/[NH+]=C(\N)CCO)c1 ZINC000275535922 408591354 /nfs/dbraw/zinc/59/13/54/408591354.db2.gz RDSFDCFKCTXEDJ-UHFFFAOYSA-N 1 2 323.393 1.153 20 30 DDEDLO C#CCC(C)(C)C(=O)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000184367237 408601180 /nfs/dbraw/zinc/60/11/80/408601180.db2.gz CKARUPXWAZXVBJ-UHFFFAOYSA-N 1 2 303.406 1.985 20 30 DDEDLO COC[C@H]([NH2+]CC(=O)N[C@@](C)(C#N)C(C)C)c1ccc(C)o1 ZINC000184672459 408665635 /nfs/dbraw/zinc/66/56/35/408665635.db2.gz VSUUXTLFJBUKIZ-BBRMVZONSA-N 1 2 307.394 1.920 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)C[C@@H]1C ZINC000249140063 408699988 /nfs/dbraw/zinc/69/99/88/408699988.db2.gz VYGUUKOZJCVQRD-SWLSCSKDSA-N 1 2 315.373 1.628 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C[C@@H]1C ZINC000249140063 408699995 /nfs/dbraw/zinc/69/99/95/408699995.db2.gz VYGUUKOZJCVQRD-SWLSCSKDSA-N 1 2 315.373 1.628 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)c2cccc(C#N)c2)C1 ZINC000276013192 408749923 /nfs/dbraw/zinc/74/99/23/408749923.db2.gz QGRXCKQEBKBOEW-MRXNPFEDSA-N 1 2 321.384 1.695 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)c2cccc(C#N)c2)C1 ZINC000276013192 408749926 /nfs/dbraw/zinc/74/99/26/408749926.db2.gz QGRXCKQEBKBOEW-MRXNPFEDSA-N 1 2 321.384 1.695 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC(=O)NC(C)(C)C)Cc1ccccc1 ZINC000285668520 408839910 /nfs/dbraw/zinc/83/99/10/408839910.db2.gz MNLXVCNNERCGKP-UHFFFAOYSA-N 1 2 301.390 1.746 20 30 DDEDLO C#CC[N@H+](CC(=O)NC(=O)NC(C)(C)C)Cc1ccccc1 ZINC000285668520 408839911 /nfs/dbraw/zinc/83/99/11/408839911.db2.gz MNLXVCNNERCGKP-UHFFFAOYSA-N 1 2 301.390 1.746 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+](CCCO)Cc1ccccn1)C1CC1 ZINC000280954732 408865392 /nfs/dbraw/zinc/86/53/92/408865392.db2.gz IZWNURRYVWQCPC-QGZVFWFLSA-N 1 2 316.405 1.074 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+](CCCO)Cc1ccccn1)C1CC1 ZINC000280954732 408865393 /nfs/dbraw/zinc/86/53/93/408865393.db2.gz IZWNURRYVWQCPC-QGZVFWFLSA-N 1 2 316.405 1.074 20 30 DDEDLO N#Cc1ncccc1S(=O)(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000277268407 408909531 /nfs/dbraw/zinc/90/95/31/408909531.db2.gz XQOKTCJXIPSZEU-LLVKDONJSA-N 1 2 317.374 1.245 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3ncccc3F)CC2)C1=O ZINC000281971028 408962908 /nfs/dbraw/zinc/96/29/08/408962908.db2.gz LCHOBLAROLLXTL-AWEZNQCLSA-N 1 2 304.369 1.130 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@H]2C(=O)NCc2ccco2)C1=O ZINC000281987757 408966189 /nfs/dbraw/zinc/96/61/89/408966189.db2.gz MPKOGKKXNOUZEF-LSDHHAIUSA-N 1 2 317.389 1.147 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@H]2C(=O)NCc2ccco2)C1=O ZINC000281987757 408966192 /nfs/dbraw/zinc/96/61/92/408966192.db2.gz MPKOGKKXNOUZEF-LSDHHAIUSA-N 1 2 317.389 1.147 20 30 DDEDLO CCCOC(=O)[C@H](C)[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292093428 408978596 /nfs/dbraw/zinc/97/85/96/408978596.db2.gz FRTIBIFUVBIDMA-GXTWGEPZSA-N 1 2 303.362 1.748 20 30 DDEDLO CCCOC(=O)[C@H](C)[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292093428 408978600 /nfs/dbraw/zinc/97/86/00/408978600.db2.gz FRTIBIFUVBIDMA-GXTWGEPZSA-N 1 2 303.362 1.748 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3ncc(C)s3)CC2)C1=O ZINC000282084428 408987974 /nfs/dbraw/zinc/98/79/74/408987974.db2.gz UVHKAIQMTFYOPC-ZDUSSCGKSA-N 1 2 306.435 1.360 20 30 DDEDLO COCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)Cc1ccccn1 ZINC000287233891 409006782 /nfs/dbraw/zinc/00/67/82/409006782.db2.gz GCUJFOZIBCAADT-QGZVFWFLSA-N 1 2 318.421 1.584 20 30 DDEDLO COCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)Cc1ccccn1 ZINC000287233891 409006785 /nfs/dbraw/zinc/00/67/85/409006785.db2.gz GCUJFOZIBCAADT-QGZVFWFLSA-N 1 2 318.421 1.584 20 30 DDEDLO C#CCN(C)C(=O)NC[C@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000292575190 409036149 /nfs/dbraw/zinc/03/61/49/409036149.db2.gz VBFKRFBUEMJQRP-QGZVFWFLSA-N 1 2 315.417 1.643 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000287466122 409040812 /nfs/dbraw/zinc/04/08/12/409040812.db2.gz MDGYNQWWWYKILO-QGZVFWFLSA-N 1 2 301.390 1.976 20 30 DDEDLO Cc1nc(C(=O)N(C)[C@H](C)C[NH+]2CCOCC2)ccc1C#N ZINC000279380130 409202896 /nfs/dbraw/zinc/20/28/96/409202896.db2.gz UCTXDHCRYGCHJL-GFCCVEGCSA-N 1 2 302.378 1.054 20 30 DDEDLO C=CC[N@@H+](CC[C@H](O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000289840937 409288189 /nfs/dbraw/zinc/28/81/89/409288189.db2.gz KFWBBQJMYGQJAH-CVEARBPZSA-N 1 2 309.431 1.785 20 30 DDEDLO C=CC[N@H+](CC[C@H](O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000289840937 409288190 /nfs/dbraw/zinc/28/81/90/409288190.db2.gz KFWBBQJMYGQJAH-CVEARBPZSA-N 1 2 309.431 1.785 20 30 DDEDLO C=CC[N@@H+](C[C@@H](Cc1ccccc1)OC)[C@@H]1CCS(=O)(=O)C1 ZINC000294909606 409306048 /nfs/dbraw/zinc/30/60/48/409306048.db2.gz IXTVWKZCUDWTRD-IAGOWNOFSA-N 1 2 323.458 1.919 20 30 DDEDLO C=CC[N@H+](C[C@@H](Cc1ccccc1)OC)[C@@H]1CCS(=O)(=O)C1 ZINC000294909606 409306050 /nfs/dbraw/zinc/30/60/50/409306050.db2.gz IXTVWKZCUDWTRD-IAGOWNOFSA-N 1 2 323.458 1.919 20 30 DDEDLO Cc1ccc(NC(=O)[C@@H](C)O[NH+]=C(N)[C@H]2CCCO2)c(F)c1 ZINC000284469264 409345107 /nfs/dbraw/zinc/34/51/07/409345107.db2.gz RCUBSYMMBLCFDH-ZWNOBZJWSA-N 1 2 309.341 1.929 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1Cc1ccc(F)c(C#N)c1 ZINC000285415322 409437182 /nfs/dbraw/zinc/43/71/82/409437182.db2.gz BOZOYDLXCINRDD-CJNGLKHVSA-N 1 2 303.381 1.992 20 30 DDEDLO COc1cc[nH+]cc1CNC(=O)C(=O)Nc1ccc(C#N)cc1 ZINC000342369699 409592345 /nfs/dbraw/zinc/59/23/45/409592345.db2.gz PYSVYMRIHWFNAH-UHFFFAOYSA-N 1 2 310.313 1.217 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccn(-c2cccc(C#N)c2)n1 ZINC000342518682 409694910 /nfs/dbraw/zinc/69/49/10/409694910.db2.gz PVKOXCIPHRHNAM-ZDUSSCGKSA-N 1 2 320.356 1.759 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)N[C@H](C)c1ccc(C#N)cc1 ZINC000342522869 409697594 /nfs/dbraw/zinc/69/75/94/409697594.db2.gz UMBZVJHRFLMVNW-CYBMUJFWSA-N 1 2 316.405 1.192 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)N[C@H](C)c1ccc(C#N)cc1 ZINC000342522869 409697604 /nfs/dbraw/zinc/69/76/04/409697604.db2.gz UMBZVJHRFLMVNW-CYBMUJFWSA-N 1 2 316.405 1.192 20 30 DDEDLO CCc1nc2n(n1)C[C@H](NC(=O)CCc1c[nH+]cn1C)CC2 ZINC000328787598 409979475 /nfs/dbraw/zinc/97/94/75/409979475.db2.gz PAUWETMKJOQLAE-LLVKDONJSA-N 1 2 302.382 1.478 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CC(=O)N(C(C)(C)C)C1)[NH+]1CCN(C)CC1 ZINC000328792573 409980416 /nfs/dbraw/zinc/98/04/16/409980416.db2.gz RKMZJXOTZWWRFU-ZIAGYGMSSA-N 1 2 324.469 1.226 20 30 DDEDLO C=CCOCC(=O)NCc1ccc(N2C[C@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000354639481 409987428 /nfs/dbraw/zinc/98/74/28/409987428.db2.gz CPJTYGNKLRJJDS-KBPBESRZSA-N 1 2 319.405 1.514 20 30 DDEDLO CC(=O)N1CCN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)[C@@H](C)C1 ZINC000328607441 409933204 /nfs/dbraw/zinc/93/32/04/409933204.db2.gz LERGZBXHZKJDBB-JSGCOSHPSA-N 1 2 319.409 1.227 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cc(OC)ccc2F)CC1 ZINC000350442070 409949650 /nfs/dbraw/zinc/94/96/50/409949650.db2.gz XVYNMQJQIRZRMK-UHFFFAOYSA-N 1 2 321.396 1.254 20 30 DDEDLO Cn1cc(C#N)cc1-c1nc([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)no1 ZINC000351025161 410025581 /nfs/dbraw/zinc/02/55/81/410025581.db2.gz LQACKERAOJQUSC-TZMCWYRMSA-N 1 2 313.361 1.873 20 30 DDEDLO Cn1cc(C#N)cc1-c1nc([C@H]2C[N@H+]3CCCC[C@@H]3CO2)no1 ZINC000351025161 410025583 /nfs/dbraw/zinc/02/55/83/410025583.db2.gz LQACKERAOJQUSC-TZMCWYRMSA-N 1 2 313.361 1.873 20 30 DDEDLO CC[N@@H+]1CCOC[C@@H]1C(=O)N[C@@H](C)Cc1c(C)nn(C)c1C ZINC000328972455 410031212 /nfs/dbraw/zinc/03/12/12/410031212.db2.gz BVCFSHQMNMYWCJ-XHDPSFHLSA-N 1 2 308.426 1.645 20 30 DDEDLO CC[N@H+]1CCOC[C@@H]1C(=O)N[C@@H](C)Cc1c(C)nn(C)c1C ZINC000328972455 410031219 /nfs/dbraw/zinc/03/12/19/410031219.db2.gz BVCFSHQMNMYWCJ-XHDPSFHLSA-N 1 2 308.426 1.645 20 30 DDEDLO COc1ncccc1NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000328862259 409995936 /nfs/dbraw/zinc/99/59/36/409995936.db2.gz ZQERFNWZQKLHNE-STQMWFEESA-N 1 2 306.366 1.041 20 30 DDEDLO COc1ncccc1NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000328862259 409995941 /nfs/dbraw/zinc/99/59/41/409995941.db2.gz ZQERFNWZQKLHNE-STQMWFEESA-N 1 2 306.366 1.041 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](C(=O)OC)[C@@H]1C ZINC000346675623 410073675 /nfs/dbraw/zinc/07/36/75/410073675.db2.gz WOFLHJIDAQYNIO-NILFDRSVSA-N 1 2 316.357 1.656 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](C(=O)OC)[C@@H]1C ZINC000346675623 410073683 /nfs/dbraw/zinc/07/36/83/410073683.db2.gz WOFLHJIDAQYNIO-NILFDRSVSA-N 1 2 316.357 1.656 20 30 DDEDLO Cc1nc2c(C#N)cnn2c(C)c1CCC(=O)NCc1[nH]cc[nH+]1 ZINC000357562524 410103991 /nfs/dbraw/zinc/10/39/91/410103991.db2.gz ARGSQACKNMPXNZ-UHFFFAOYSA-N 1 2 323.360 1.190 20 30 DDEDLO CC(C)[C@@H]1C[C@@H](NC(=O)N(C)CC[NH+]2CCOCC2)CCO1 ZINC000329142338 410127811 /nfs/dbraw/zinc/12/78/11/410127811.db2.gz LDTGALMXCUWMLB-GJZGRUSLSA-N 1 2 313.442 1.368 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@H]1CCC[N@@H+](CC(=O)OC)C1 ZINC000354876691 410144070 /nfs/dbraw/zinc/14/40/70/410144070.db2.gz BZYUKYJLLZIJDX-CQSZACIVSA-N 1 2 308.422 1.756 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@H]1CCC[N@H+](CC(=O)OC)C1 ZINC000354876691 410144075 /nfs/dbraw/zinc/14/40/75/410144075.db2.gz BZYUKYJLLZIJDX-CQSZACIVSA-N 1 2 308.422 1.756 20 30 DDEDLO N#CC1(CN2CC[NH+](CC(=O)N3CCCCCC3)CC2)CC1 ZINC000329211980 410168153 /nfs/dbraw/zinc/16/81/53/410168153.db2.gz HNBNJZMTYKIFFB-UHFFFAOYSA-N 1 2 304.438 1.310 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC[C@H]1CCOC1 ZINC000329253649 410193069 /nfs/dbraw/zinc/19/30/69/410193069.db2.gz ZGZWHNITTJVDFB-ILXRZTDVSA-N 1 2 311.426 1.122 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)c1cnc2n[nH]nc2c1 ZINC000329414392 410284339 /nfs/dbraw/zinc/28/43/39/410284339.db2.gz CPKKUSDFXJUHKE-UHFFFAOYSA-N 1 2 311.349 1.433 20 30 DDEDLO CC(C)N1CC[C@H]([NH+]2CCN(c3cccc(C#N)n3)CC2)C1=O ZINC000355131882 410331685 /nfs/dbraw/zinc/33/16/85/410331685.db2.gz VGDFKPSWGJLIHC-HNNXBMFYSA-N 1 2 313.405 1.085 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+]([C@H](C)C(=O)NC[C@H]3CCCO3)C2)[nH]1 ZINC000329434002 410296618 /nfs/dbraw/zinc/29/66/18/410296618.db2.gz JCRALJZJKGERTE-MRVWCRGKSA-N 1 2 321.425 1.817 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+]([C@H](C)C(=O)NC[C@H]3CCCO3)C2)[nH]1 ZINC000329434002 410296620 /nfs/dbraw/zinc/29/66/20/410296620.db2.gz JCRALJZJKGERTE-MRVWCRGKSA-N 1 2 321.425 1.817 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+]([C@H](C)C(=O)NC[C@H]3CCCO3)C2)n1 ZINC000329434002 410296623 /nfs/dbraw/zinc/29/66/23/410296623.db2.gz JCRALJZJKGERTE-MRVWCRGKSA-N 1 2 321.425 1.817 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+]([C@H](C)C(=O)NC[C@H]3CCCO3)C2)n1 ZINC000329434002 410296625 /nfs/dbraw/zinc/29/66/25/410296625.db2.gz JCRALJZJKGERTE-MRVWCRGKSA-N 1 2 321.425 1.817 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@@H+]([C@H](C)C(=O)NC[C@H]3CCCO3)C2)n[nH]1 ZINC000329434002 410296626 /nfs/dbraw/zinc/29/66/26/410296626.db2.gz JCRALJZJKGERTE-MRVWCRGKSA-N 1 2 321.425 1.817 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@H+]([C@H](C)C(=O)NC[C@H]3CCCO3)C2)n[nH]1 ZINC000329434002 410296631 /nfs/dbraw/zinc/29/66/31/410296631.db2.gz JCRALJZJKGERTE-MRVWCRGKSA-N 1 2 321.425 1.817 20 30 DDEDLO CCCN1C(=O)CC[C@H](C(=O)N[C@@H](C)C#N)[C@@H]1c1c[nH+]cn1C ZINC000355281678 410417517 /nfs/dbraw/zinc/41/75/17/410417517.db2.gz XASISXMYIYSOOJ-SLEUVZQESA-N 1 2 317.393 1.138 20 30 DDEDLO CC(=O)c1ccc(C#N)c(N2CC[NH+](Cc3cnn(C)c3)CC2)c1 ZINC000347408385 410528054 /nfs/dbraw/zinc/52/80/54/410528054.db2.gz BARNHRRDPZRKFE-UHFFFAOYSA-N 1 2 323.400 1.817 20 30 DDEDLO COC1(CC(=O)N(CCC#N)CC[NH+]2CCOCC2)CCC1 ZINC000359436484 410660127 /nfs/dbraw/zinc/66/01/27/410660127.db2.gz JVYCEODDIFFAGL-UHFFFAOYSA-N 1 2 309.410 1.020 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000352316921 410620263 /nfs/dbraw/zinc/62/02/63/410620263.db2.gz YZCCUAMEFZOLFD-ZIAGYGMSSA-N 1 2 318.421 1.977 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)C[C@@H](CC#N)c1ccccc1 ZINC000355672267 410633754 /nfs/dbraw/zinc/63/37/54/410633754.db2.gz NSZHEXVEAVFYLW-NVXWUHKLSA-N 1 2 315.417 1.911 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)C[C@@H](CC#N)c1ccccc1 ZINC000355672267 410633761 /nfs/dbraw/zinc/63/37/61/410633761.db2.gz NSZHEXVEAVFYLW-NVXWUHKLSA-N 1 2 315.417 1.911 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)N1CCOCC1)Cc1cnn(C(C)C)c1 ZINC000352952197 410695150 /nfs/dbraw/zinc/69/51/50/410695150.db2.gz POAFAKOGDIXDNJ-UHFFFAOYSA-N 1 2 320.437 1.701 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)N1CCOCC1)Cc1cnn(C(C)C)c1 ZINC000352952197 410695155 /nfs/dbraw/zinc/69/51/55/410695155.db2.gz POAFAKOGDIXDNJ-UHFFFAOYSA-N 1 2 320.437 1.701 20 30 DDEDLO N#CCNC(=O)C[NH+]1CCC(Oc2ccc(C#N)cc2F)CC1 ZINC000353028289 410745880 /nfs/dbraw/zinc/74/58/80/410745880.db2.gz ZKLRGZLRNOPRLJ-UHFFFAOYSA-N 1 2 316.336 1.180 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@H+](C)[C@@H]1CCNC1=O ZINC000340226655 410775838 /nfs/dbraw/zinc/77/58/38/410775838.db2.gz SCOIWVIZHRXABV-NOZJJQNGSA-N 1 2 320.780 1.359 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@@H+](C)[C@@H]1CCNC1=O ZINC000340226655 410775846 /nfs/dbraw/zinc/77/58/46/410775846.db2.gz SCOIWVIZHRXABV-NOZJJQNGSA-N 1 2 320.780 1.359 20 30 DDEDLO C[NH+](C)Cc1cccc(C(=O)N=c2[nH][nH]c(C3CC3)c2C#N)c1 ZINC000359727026 410837618 /nfs/dbraw/zinc/83/76/18/410837618.db2.gz SBPCPGYQFXPWQA-UHFFFAOYSA-N 1 2 309.373 2.473 20 30 DDEDLO C[C@@H](NC(=O)NCC#Cc1ccccc1)[C@H](C)[NH+]1CCOCC1 ZINC000356164411 410872587 /nfs/dbraw/zinc/87/25/87/410872587.db2.gz KGKSQWHTBKGSMO-CVEARBPZSA-N 1 2 315.417 1.447 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)O1 ZINC000331054221 410922225 /nfs/dbraw/zinc/92/22/25/410922225.db2.gz NXSPYIBPPSEHIV-RHSMWYFYSA-N 1 2 313.401 1.770 20 30 DDEDLO CN(C)c1noc(C[N@H+](C)CCOCc2ccc(C#N)cc2)n1 ZINC000344129956 410976207 /nfs/dbraw/zinc/97/62/07/410976207.db2.gz VQSOHBXEHAFJRE-UHFFFAOYSA-N 1 2 315.377 1.656 20 30 DDEDLO CN(C)c1noc(C[N@@H+](C)CCOCc2ccc(C#N)cc2)n1 ZINC000344129956 410976212 /nfs/dbraw/zinc/97/62/12/410976212.db2.gz VQSOHBXEHAFJRE-UHFFFAOYSA-N 1 2 315.377 1.656 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2cc(C#N)oc2C)C[C@@H]1C ZINC000331241056 411036542 /nfs/dbraw/zinc/03/65/42/411036542.db2.gz XFUBNIOHFPZGEJ-NSHDSACASA-N 1 2 319.361 1.169 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2cc(C#N)oc2C)C[C@@H]1C ZINC000331241056 411036544 /nfs/dbraw/zinc/03/65/44/411036544.db2.gz XFUBNIOHFPZGEJ-NSHDSACASA-N 1 2 319.361 1.169 20 30 DDEDLO CN(C)c1ncc(C[N@H+](C)CC(=O)Nc2cccc(C#N)c2)cn1 ZINC000356460629 411042759 /nfs/dbraw/zinc/04/27/59/411042759.db2.gz SFPHXCBXBLQZGQ-UHFFFAOYSA-N 1 2 324.388 1.485 20 30 DDEDLO CN(C)c1ncc(C[N@@H+](C)CC(=O)Nc2cccc(C#N)c2)cn1 ZINC000356460629 411042762 /nfs/dbraw/zinc/04/27/62/411042762.db2.gz SFPHXCBXBLQZGQ-UHFFFAOYSA-N 1 2 324.388 1.485 20 30 DDEDLO N#C[C@H]1CN(Cc2[nH+]ccn2CC(F)(F)F)CCN1C1CC1 ZINC000360092498 411043525 /nfs/dbraw/zinc/04/35/25/411043525.db2.gz JPDLKQOYTVOGCF-LBPRGKRZSA-N 1 2 313.327 1.618 20 30 DDEDLO C=CC[NH+]1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000356607063 411105601 /nfs/dbraw/zinc/10/56/01/411105601.db2.gz QXDTXRMDDNRQQP-OAHLLOKOSA-N 1 2 317.437 1.028 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+]1C[C@H]2C[C@@]2(O)C1 ZINC000640480973 422983391 /nfs/dbraw/zinc/98/33/91/422983391.db2.gz YFBQHRBOBRAWGE-MLGOLLRUSA-N 1 2 304.415 1.970 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+]1C[C@H]2C[C@@]2(O)C1 ZINC000640480973 422983396 /nfs/dbraw/zinc/98/33/96/422983396.db2.gz YFBQHRBOBRAWGE-MLGOLLRUSA-N 1 2 304.415 1.970 20 30 DDEDLO C#CCSCCNC(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC000141860397 221611346 /nfs/dbraw/zinc/61/13/46/221611346.db2.gz DOIOLJFKEQYQTQ-CQSZACIVSA-N 1 2 320.462 1.751 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1OC ZINC000373473287 418432946 /nfs/dbraw/zinc/43/29/46/418432946.db2.gz RMILEKXUKCNOOJ-DOMZBBRYSA-N 1 2 312.373 1.839 20 30 DDEDLO Cn1cc(C[N@@H+]2CCn3c(nnc3-c3cccnc3)C2)cc1C#N ZINC000373825429 418464456 /nfs/dbraw/zinc/46/44/56/418464456.db2.gz QHHVXKNPHGFVIV-UHFFFAOYSA-N 1 2 319.372 1.566 20 30 DDEDLO Cn1cc(C[N@H+]2CCn3c(nnc3-c3cccnc3)C2)cc1C#N ZINC000373825429 418464460 /nfs/dbraw/zinc/46/44/60/418464460.db2.gz QHHVXKNPHGFVIV-UHFFFAOYSA-N 1 2 319.372 1.566 20 30 DDEDLO CNS(=O)(=O)c1cccc([C@@H](C)[NH2+]C[C@@H](C#N)CCC#N)c1 ZINC000181915793 221915201 /nfs/dbraw/zinc/91/52/01/221915201.db2.gz QDEIIHYBPUTDKM-CHWSQXEVSA-N 1 2 320.418 1.689 20 30 DDEDLO N#CC1CCC(CNC(=O)[C@H]2COCC[N@@H+]2CC2CCC2)CC1 ZINC000374206432 418504973 /nfs/dbraw/zinc/50/49/73/418504973.db2.gz SPGZPNJRAVDXOV-VMBOVVBDSA-N 1 2 319.449 1.933 20 30 DDEDLO N#CC1CCC(CNC(=O)[C@H]2COCC[N@H+]2CC2CCC2)CC1 ZINC000374206432 418504975 /nfs/dbraw/zinc/50/49/75/418504975.db2.gz SPGZPNJRAVDXOV-VMBOVVBDSA-N 1 2 319.449 1.933 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH2+][C@H](c2ccccc2OC)C1 ZINC000374336313 418520239 /nfs/dbraw/zinc/52/02/39/418520239.db2.gz DQPNXTOKHCOHHR-HNNXBMFYSA-N 1 2 302.374 1.208 20 30 DDEDLO N#C[C@H]1C[N@@H+](Cc2cccnc2)C[C@]12c1ccccc1NC2=O ZINC000374351483 418521614 /nfs/dbraw/zinc/52/16/14/418521614.db2.gz MMTKWRUINBCYTN-KBXCAEBGSA-N 1 2 304.353 1.927 20 30 DDEDLO N#C[C@H]1C[N@H+](Cc2cccnc2)C[C@]12c1ccccc1NC2=O ZINC000374351483 418521617 /nfs/dbraw/zinc/52/16/17/418521617.db2.gz MMTKWRUINBCYTN-KBXCAEBGSA-N 1 2 304.353 1.927 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CCC[C@H]3C(=O)NC[C@H]32)c1C ZINC000366884335 418531604 /nfs/dbraw/zinc/53/16/04/418531604.db2.gz NMUYYGIGGSNISE-GDBMZVCRSA-N 1 2 315.417 1.684 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CCC[C@H]3C(=O)NC[C@H]32)c1C ZINC000366884335 418531608 /nfs/dbraw/zinc/53/16/08/418531608.db2.gz NMUYYGIGGSNISE-GDBMZVCRSA-N 1 2 315.417 1.684 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)C[C@@H]1C=CCCC1 ZINC000188856183 222028876 /nfs/dbraw/zinc/02/88/76/222028876.db2.gz FFXOVDDWBDVKST-MRXNPFEDSA-N 1 2 305.422 1.807 20 30 DDEDLO N#C[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)CCN1Cc1ccccc1 ZINC000189017036 222034108 /nfs/dbraw/zinc/03/41/08/222034108.db2.gz PNALRSXWOLCTEC-QGZVFWFLSA-N 1 2 323.400 1.579 20 30 DDEDLO N#C[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)CCN1Cc1ccccc1 ZINC000189017036 222034110 /nfs/dbraw/zinc/03/41/10/222034110.db2.gz PNALRSXWOLCTEC-QGZVFWFLSA-N 1 2 323.400 1.579 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@H]2c2nc(N(C)C)no2)C1=O ZINC000374540387 418538957 /nfs/dbraw/zinc/53/89/57/418538957.db2.gz XDOVLZIHMNBZPL-RYUDHWBXSA-N 1 2 305.382 1.059 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@H]2c2nc(N(C)C)no2)C1=O ZINC000374540387 418538960 /nfs/dbraw/zinc/53/89/60/418538960.db2.gz XDOVLZIHMNBZPL-RYUDHWBXSA-N 1 2 305.382 1.059 20 30 DDEDLO C=C(C)CN(C)C(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000361102812 418584272 /nfs/dbraw/zinc/58/42/72/418584272.db2.gz PHPHUOVKDGIMQZ-ZDUSSCGKSA-N 1 2 319.409 1.129 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CC[NH+]([C@@H]2CCCCNC2=O)CC1 ZINC000192934185 222139405 /nfs/dbraw/zinc/13/94/05/222139405.db2.gz FOELXVNIUOUJJR-SJORKVTESA-N 1 2 312.417 1.538 20 30 DDEDLO C=CCOC[C@H]([NH2+][C@H]1CCc2ccc(OC)cc2C1)C(=O)OC ZINC000361105401 418584849 /nfs/dbraw/zinc/58/48/49/418584849.db2.gz BICZZWPVJSFLRY-RDJZCZTQSA-N 1 2 319.401 1.886 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000195193365 222193475 /nfs/dbraw/zinc/19/34/75/222193475.db2.gz ZXRTTYKCXMCOMQ-KLHKWILBSA-N 1 2 324.465 1.975 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[C@@H]2CCCC[C@H]2[N@@H+]1CC(=O)NCC#N ZINC000249423713 222239692 /nfs/dbraw/zinc/23/96/92/222239692.db2.gz IEEFIANPRMWJHT-MJBXVCDLSA-N 1 2 321.421 1.601 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[C@@H]2CCCC[C@H]2[N@H+]1CC(=O)NCC#N ZINC000249423713 222239694 /nfs/dbraw/zinc/23/96/94/222239694.db2.gz IEEFIANPRMWJHT-MJBXVCDLSA-N 1 2 321.421 1.601 20 30 DDEDLO C[C@@H](NC(=O)c1cccc(CC#N)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000264205221 222328842 /nfs/dbraw/zinc/32/88/42/222328842.db2.gz FBAFNMIEOXAKRE-ZIAGYGMSSA-N 1 2 301.390 1.592 20 30 DDEDLO CCOCCCNC(=O)[C@@H](C)O[NH+]=C(N)c1ccc(OC)cc1 ZINC000267478350 222386767 /nfs/dbraw/zinc/38/67/67/222386767.db2.gz XFHUJOWAAGQFJS-GFCCVEGCSA-N 1 2 323.393 1.263 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2c[nH+]c3n2CCCC3)o1 ZINC000367739460 418628455 /nfs/dbraw/zinc/62/84/55/418628455.db2.gz MGBQUBQXRDPTNL-UHFFFAOYSA-N 1 2 306.347 1.163 20 30 DDEDLO N#CC1(CCC[N@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC000377526924 418710853 /nfs/dbraw/zinc/71/08/53/418710853.db2.gz VQBVISVOZXPEKQ-ZDUSSCGKSA-N 1 2 319.409 1.790 20 30 DDEDLO N#CC1(CCC[N@@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC000377526924 418710855 /nfs/dbraw/zinc/71/08/55/418710855.db2.gz VQBVISVOZXPEKQ-ZDUSSCGKSA-N 1 2 319.409 1.790 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[C@@H]2CCOC(=O)[C@H]21 ZINC000368579804 418722162 /nfs/dbraw/zinc/72/21/62/418722162.db2.gz HHLWGZHXZMSZNN-CJNGLKHVSA-N 1 2 316.357 1.583 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[C@@H]2CCOC(=O)[C@H]21 ZINC000368579804 418722164 /nfs/dbraw/zinc/72/21/64/418722164.db2.gz HHLWGZHXZMSZNN-CJNGLKHVSA-N 1 2 316.357 1.583 20 30 DDEDLO N#CC1CCC(CNC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)CC1 ZINC000378071993 418718718 /nfs/dbraw/zinc/71/87/18/418718718.db2.gz MHTMKVFGHGYWMM-WXRRBKDZSA-N 1 2 301.394 1.896 20 30 DDEDLO N#CC1CCC(CNC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)CC1 ZINC000378071993 418718720 /nfs/dbraw/zinc/71/87/20/418718720.db2.gz MHTMKVFGHGYWMM-WXRRBKDZSA-N 1 2 301.394 1.896 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CC[C@H](C)CC)CC1 ZINC000375671249 418672203 /nfs/dbraw/zinc/67/22/03/418672203.db2.gz FYFSISLZCYYZNX-OAHLLOKOSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CC[C@H](C)CC)CC1 ZINC000375671249 418672206 /nfs/dbraw/zinc/67/22/06/418672206.db2.gz FYFSISLZCYYZNX-OAHLLOKOSA-N 1 2 307.438 1.096 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[S@@](=O)CC[C@@H]1C ZINC000375947685 418690858 /nfs/dbraw/zinc/69/08/58/418690858.db2.gz LYLZHVSJFLERHL-XMHCIUCPSA-N 1 2 322.430 1.789 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[S@@](=O)CC[C@@H]1C ZINC000375947685 418690861 /nfs/dbraw/zinc/69/08/61/418690861.db2.gz LYLZHVSJFLERHL-XMHCIUCPSA-N 1 2 322.430 1.789 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC[N@H+](C)CC1(C)C ZINC000361962381 418730400 /nfs/dbraw/zinc/73/04/00/418730400.db2.gz CHQAHAXFULVSPK-UHFFFAOYSA-N 1 2 323.418 1.282 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC[N@@H+](C)CC1(C)C ZINC000361962381 418730402 /nfs/dbraw/zinc/73/04/02/418730402.db2.gz CHQAHAXFULVSPK-UHFFFAOYSA-N 1 2 323.418 1.282 20 30 DDEDLO C=CCSc1ccccc1C(=O)NC[C@@H]1C[NH+]2CCN1CC2 ZINC000362396864 418754464 /nfs/dbraw/zinc/75/44/64/418754464.db2.gz PDVKTSLAAVGTRA-CQSZACIVSA-N 1 2 317.458 1.694 20 30 DDEDLO C=C[C@@H](C)NC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000362630229 418757794 /nfs/dbraw/zinc/75/77/94/418757794.db2.gz GRGYAENTFMZLSL-NVXWUHKLSA-N 1 2 317.433 1.804 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1ccc(C#N)s1)[NH+]1CCOCC1 ZINC000362892743 418761089 /nfs/dbraw/zinc/76/10/89/418761089.db2.gz JUHPZODXOBPIAB-ZDUSSCGKSA-N 1 2 307.419 1.706 20 30 DDEDLO C[C@H]1C[C@H](CO)C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000398621762 418763410 /nfs/dbraw/zinc/76/34/10/418763410.db2.gz FWMGTYLMPYHLNE-ZFWWWQNUSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H]1C[C@H](CO)C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000398621762 418763412 /nfs/dbraw/zinc/76/34/12/418763412.db2.gz FWMGTYLMPYHLNE-ZFWWWQNUSA-N 1 2 322.430 1.282 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)cc2C)C[C@H]1C ZINC000408040135 418783245 /nfs/dbraw/zinc/78/32/45/418783245.db2.gz DFNAAZGTRSLZTI-ZIAGYGMSSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)cc2C)C[C@H]1C ZINC000408040135 418783248 /nfs/dbraw/zinc/78/32/48/418783248.db2.gz DFNAAZGTRSLZTI-ZIAGYGMSSA-N 1 2 321.446 1.970 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)c1cnn(CC[NH+]2CCOCC2)c1 ZINC000371473562 418794153 /nfs/dbraw/zinc/79/41/53/418794153.db2.gz QEJPMIBCXNUEAU-HNNXBMFYSA-N 1 2 320.437 1.690 20 30 DDEDLO Cc1nc(NC(=O)[C@@H]2CN(c3cccc[nH+]3)C[C@H]2C)ccc1C#N ZINC000411052296 418866542 /nfs/dbraw/zinc/86/65/42/418866542.db2.gz OLDHAMLGDNGUDV-IUODEOHRSA-N 1 2 321.384 2.368 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@H](NC(=O)[C@@H](C)Oc1ccc(C#N)cc1)C2 ZINC000372549947 418882529 /nfs/dbraw/zinc/88/25/29/418882529.db2.gz JEBVRFCQQRWLSA-HIFRSBDPSA-N 1 2 324.384 1.962 20 30 DDEDLO C=CCSCCNC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000411241200 418883673 /nfs/dbraw/zinc/88/36/73/418883673.db2.gz BWBDEXGXJGDMOY-UHFFFAOYSA-N 1 2 307.419 1.981 20 30 DDEDLO COC[C@H](C)OC[C@H](O)C[NH+]1CC(Oc2ccc(C#N)cc2)C1 ZINC000365734678 418901335 /nfs/dbraw/zinc/90/13/35/418901335.db2.gz LZOVQGJVBJDVDQ-DZGCQCFKSA-N 1 2 320.389 1.034 20 30 DDEDLO C[C@@H]1C(=O)N(C)CC[N@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000426133732 419391280 /nfs/dbraw/zinc/39/12/80/419391280.db2.gz NEHFECVINRJCOZ-GFCCVEGCSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@@H]1C(=O)N(C)CC[N@@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000426133732 419391286 /nfs/dbraw/zinc/39/12/86/419391286.db2.gz NEHFECVINRJCOZ-GFCCVEGCSA-N 1 2 300.362 1.049 20 30 DDEDLO COCC(COC)N1CC[NH+]([C@H](C)c2cccc(C#N)c2)CC1 ZINC000411862278 419442095 /nfs/dbraw/zinc/44/20/95/419442095.db2.gz OQPIJALIMAKAPL-OAHLLOKOSA-N 1 2 317.433 1.898 20 30 DDEDLO CC1(C)C[N@H+](Cc2cnc3ccc(C#N)cn23)C[C@@H](CO)O1 ZINC000421359650 419583777 /nfs/dbraw/zinc/58/37/77/419583777.db2.gz GOBHUJMQVNPYIC-AWEZNQCLSA-N 1 2 300.362 1.178 20 30 DDEDLO CC1(C)C[N@@H+](Cc2cnc3ccc(C#N)cn23)C[C@@H](CO)O1 ZINC000421359650 419583779 /nfs/dbraw/zinc/58/37/79/419583779.db2.gz GOBHUJMQVNPYIC-AWEZNQCLSA-N 1 2 300.362 1.178 20 30 DDEDLO CC1(C)CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@@H](CO)O1 ZINC000421359650 419583783 /nfs/dbraw/zinc/58/37/83/419583783.db2.gz GOBHUJMQVNPYIC-AWEZNQCLSA-N 1 2 300.362 1.178 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@@H+]1Cc1cccc(F)c1C#N ZINC000428374362 419858007 /nfs/dbraw/zinc/85/80/07/419858007.db2.gz OIHCIBXLRWNTTH-LBPRGKRZSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@H+]1Cc1cccc(F)c1C#N ZINC000428374362 419858011 /nfs/dbraw/zinc/85/80/11/419858011.db2.gz OIHCIBXLRWNTTH-LBPRGKRZSA-N 1 2 311.382 1.211 20 30 DDEDLO C=CCN(C)C(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000428270249 419840104 /nfs/dbraw/zinc/84/01/04/419840104.db2.gz MEIZVOZJTBJVOY-UHFFFAOYSA-N 1 2 310.419 1.280 20 30 DDEDLO C=CC[N@@H+](CCC#N)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000428881485 419944599 /nfs/dbraw/zinc/94/45/99/419944599.db2.gz PBFOBCBONPKWDV-UHFFFAOYSA-N 1 2 309.391 1.114 20 30 DDEDLO C=CC[N@H+](CCC#N)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000428881485 419944603 /nfs/dbraw/zinc/94/46/03/419944603.db2.gz PBFOBCBONPKWDV-UHFFFAOYSA-N 1 2 309.391 1.114 20 30 DDEDLO CCC[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)[C@@H](C)C(=O)OCC ZINC000429723981 420039628 /nfs/dbraw/zinc/03/96/28/420039628.db2.gz ORBMYGBYVTXJKY-LRDDRELGSA-N 1 2 309.410 1.458 20 30 DDEDLO CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)[C@@H](C)C(=O)OCC ZINC000429723981 420039633 /nfs/dbraw/zinc/03/96/33/420039633.db2.gz ORBMYGBYVTXJKY-LRDDRELGSA-N 1 2 309.410 1.458 20 30 DDEDLO Cc1cc(S(=O)(=O)N2C[C@H](C)[N@@H+](C)C[C@@H]2C)ccc1C#N ZINC000443877862 230034657 /nfs/dbraw/zinc/03/46/57/230034657.db2.gz OLXDHUZTKLTASZ-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(S(=O)(=O)N2C[C@H](C)[N@H+](C)C[C@@H]2C)ccc1C#N ZINC000443877862 230034659 /nfs/dbraw/zinc/03/46/59/230034659.db2.gz OLXDHUZTKLTASZ-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCC[C@@H](C2N=NC(=O)O2)C1 ZINC000450901808 420554897 /nfs/dbraw/zinc/55/48/97/420554897.db2.gz IHWQLOPZCLOUTO-CHWSQXEVSA-N 1 2 320.393 1.544 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCC[C@@H](C2N=NC(=O)O2)C1 ZINC000450901808 420554900 /nfs/dbraw/zinc/55/49/00/420554900.db2.gz IHWQLOPZCLOUTO-CHWSQXEVSA-N 1 2 320.393 1.544 20 30 DDEDLO Cc1nn(C)c(Cl)c1/C=C/C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000492943850 420717028 /nfs/dbraw/zinc/71/70/28/420717028.db2.gz CBUQEWQWQXFIHO-PSKZRQQASA-N 1 2 309.801 1.355 20 30 DDEDLO C=CCC(C)(C)CNC(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000458809949 420739451 /nfs/dbraw/zinc/73/94/51/420739451.db2.gz LDBZTOHMDFPWLL-UHFFFAOYSA-N 1 2 319.453 1.850 20 30 DDEDLO C=C(CC)CNC(=O)N1CC[NH+](CC(=O)N(CC)CC)CC1 ZINC000459085736 420801120 /nfs/dbraw/zinc/80/11/20/420801120.db2.gz VTIMSZRSZXHYOM-UHFFFAOYSA-N 1 2 310.442 1.148 20 30 DDEDLO CC(C)(Cc1ccc(C#N)cc1)C(=O)N1CC[NH+](CCO)CC1 ZINC000454624956 420895382 /nfs/dbraw/zinc/89/53/82/420895382.db2.gz DVZPAMYBKFQGFP-UHFFFAOYSA-N 1 2 315.417 1.263 20 30 DDEDLO CC[C@@H]1CCC[C@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000448837591 420903820 /nfs/dbraw/zinc/90/38/20/420903820.db2.gz UPVPGOWAOFYHNT-HZPDHXFCSA-N 1 2 307.438 1.887 20 30 DDEDLO Cc1cc(NC(=O)NCc2c[nH+]c(C)cc2C)nn1CCC#N ZINC000455123070 420971336 /nfs/dbraw/zinc/97/13/36/420971336.db2.gz YBFSEGHDMVJKLS-UHFFFAOYSA-N 1 2 312.377 2.439 20 30 DDEDLO C=C(C)CCNC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000454892194 420938500 /nfs/dbraw/zinc/93/85/00/420938500.db2.gz PTIBKFLPAVCNKQ-UHFFFAOYSA-N 1 2 307.398 1.303 20 30 DDEDLO N#CC1(c2ccccn2)CCN(C(=O)/C=C/c2[nH]cc[nH+]2)CC1 ZINC000493789246 420941892 /nfs/dbraw/zinc/94/18/92/420941892.db2.gz MTXZGGBUIUQLFQ-SNAWJCMRSA-N 1 2 307.357 1.902 20 30 DDEDLO CCOC(=O)[C@H]1C[N@H+](Cc2nc(C#N)cs2)CC12COC2 ZINC000449367763 421000372 /nfs/dbraw/zinc/00/03/72/421000372.db2.gz YPOFXLHEMFMRJW-LLVKDONJSA-N 1 2 307.375 1.026 20 30 DDEDLO CCOC(=O)[C@H]1C[N@@H+](Cc2nc(C#N)cs2)CC12COC2 ZINC000449367763 421000376 /nfs/dbraw/zinc/00/03/76/421000376.db2.gz YPOFXLHEMFMRJW-LLVKDONJSA-N 1 2 307.375 1.026 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000455368232 421003059 /nfs/dbraw/zinc/00/30/59/421003059.db2.gz OFINRWITPAXQQS-UHFFFAOYSA-N 1 2 322.453 1.148 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1CC(=O)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000489392679 421153161 /nfs/dbraw/zinc/15/31/61/421153161.db2.gz AWGNBMFTKXWNHZ-LLVKDONJSA-N 1 2 320.393 1.164 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1CC(=O)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000489392679 421153165 /nfs/dbraw/zinc/15/31/65/421153165.db2.gz AWGNBMFTKXWNHZ-LLVKDONJSA-N 1 2 320.393 1.164 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000491337820 421196114 /nfs/dbraw/zinc/19/61/14/421196114.db2.gz CYVYPARGHZNCHK-RHSMWYFYSA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000491337820 421196115 /nfs/dbraw/zinc/19/61/15/421196115.db2.gz CYVYPARGHZNCHK-RHSMWYFYSA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000491337821 421196745 /nfs/dbraw/zinc/19/67/45/421196745.db2.gz CYVYPARGHZNCHK-WMLDXEAASA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000491337821 421196747 /nfs/dbraw/zinc/19/67/47/421196747.db2.gz CYVYPARGHZNCHK-WMLDXEAASA-N 1 2 308.422 1.968 20 30 DDEDLO N#Cc1cnccc1N1CC[NH+](Cc2cn3ccccc3n2)CC1 ZINC000545239834 421245168 /nfs/dbraw/zinc/24/51/68/421245168.db2.gz BHDSDCGUWFHGRC-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)n1 ZINC000563106512 421457404 /nfs/dbraw/zinc/45/74/04/421457404.db2.gz VULKXRMTCCJGBO-NSHDSACASA-N 1 2 324.344 1.021 20 30 DDEDLO C[C@@H]1C[NH+](C[C@@H](O)COc2ccccc2C#N)C[C@@H](C)S1=O ZINC000528478679 421493827 /nfs/dbraw/zinc/49/38/27/421493827.db2.gz RSAYTKDWXJNLTB-UMVBOHGHSA-N 1 2 322.430 1.139 20 30 DDEDLO CC1(C)C[NH+](CC(=O)NCc2nc(-c3cccc(C#N)c3)c[nH]2)C1 ZINC000563376548 421496025 /nfs/dbraw/zinc/49/60/25/421496025.db2.gz CDFHAYBJQJGGRJ-UHFFFAOYSA-N 1 2 323.400 1.906 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)[NH+]1CCN(S(=O)(=O)C2CC2)CC1 ZINC000528613297 421501751 /nfs/dbraw/zinc/50/17/51/421501751.db2.gz UBMWQOROLWCWDO-ZDUSSCGKSA-N 1 2 319.430 1.729 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC[C@H](Nc2cc(C#N)ccn2)C1=O ZINC000553162240 421604041 /nfs/dbraw/zinc/60/40/41/421604041.db2.gz JXGNKPIKZSNCGV-ZDUSSCGKSA-N 1 2 310.361 1.290 20 30 DDEDLO CCN1CCN(c2nc3ccccn3c2C#N)C[C@@H]1c1[nH]cc[nH+]1 ZINC000530534248 421604943 /nfs/dbraw/zinc/60/49/43/421604943.db2.gz UUTQJQSRPWDNPT-CQSZACIVSA-N 1 2 321.388 1.812 20 30 DDEDLO CC[C@H](C)C(=O)N1CCC[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)C1 ZINC000567239609 421611259 /nfs/dbraw/zinc/61/12/59/421611259.db2.gz WGNPYQKLESSMEL-ZQIUZPCESA-N 1 2 322.453 1.231 20 30 DDEDLO CCOC(=O)Cc1csc(NC(=O)C[NH2+][C@@H](CC)CC#N)n1 ZINC000568898304 421622993 /nfs/dbraw/zinc/62/29/93/421622993.db2.gz HXOQQOCPORRBAZ-JTQLQIEISA-N 1 2 324.406 1.469 20 30 DDEDLO C[C@]1(C2CC2)NC(=O)N(c2cccc(C[NH2+]CCC#N)c2)C1=O ZINC000570390827 421643321 /nfs/dbraw/zinc/64/33/21/421643321.db2.gz PHVMTMIGWHEIAV-QGZVFWFLSA-N 1 2 312.373 1.915 20 30 DDEDLO Cc1cc(NS(=O)(=O)c2ccc(C#N)cc2F)c(C)c[nH+]1 ZINC000532619806 421661682 /nfs/dbraw/zinc/66/16/82/421661682.db2.gz IWODECYYGIVURB-UHFFFAOYSA-N 1 2 305.334 1.932 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnnn1C1CCOCC1)c1ccc(C#N)cc1 ZINC000554841446 421663207 /nfs/dbraw/zinc/66/32/07/421663207.db2.gz HYFMNCUCJGZBHX-GFCCVEGCSA-N 1 2 312.377 1.747 20 30 DDEDLO COCCN(c1cc[nH+]cc1)S(=O)(=O)CC1(C#N)CCC1 ZINC000571126608 421689597 /nfs/dbraw/zinc/68/95/97/421689597.db2.gz JSJPFROYZZVBAY-UHFFFAOYSA-N 1 2 309.391 1.558 20 30 DDEDLO Cc1noc2nc(C)cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c12 ZINC000555480867 421679066 /nfs/dbraw/zinc/67/90/66/421679066.db2.gz VWIWIJICHQXQLR-OAHLLOKOSA-N 1 2 301.350 1.413 20 30 DDEDLO Cc1nc(NC(=O)Cc2c[nH+]cn2C)sc1SCC#N ZINC000558435268 421806724 /nfs/dbraw/zinc/80/67/24/421806724.db2.gz LIHITVBKFMRSHU-UHFFFAOYSA-N 1 2 307.404 1.982 20 30 DDEDLO N#Cc1cccc(OC2CCN(C(=O)Cn3cc[nH+]c3)CC2)c1 ZINC000558645653 421817850 /nfs/dbraw/zinc/81/78/50/421817850.db2.gz CZIVMXRZDNITDT-UHFFFAOYSA-N 1 2 310.357 1.825 20 30 DDEDLO C[C@@H]1Oc2ccccc2O[C@H]1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000520926894 421766991 /nfs/dbraw/zinc/76/69/91/421766991.db2.gz PVQLEBVWNQEHPL-PEYYIBSZSA-N 1 2 303.362 1.175 20 30 DDEDLO C[C@H]1CC[C@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)CC1 ZINC000572966302 421886809 /nfs/dbraw/zinc/88/68/09/421886809.db2.gz SJKVJSDCNBEAQW-WKILWMFISA-N 1 2 307.438 1.887 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000572933347 421887769 /nfs/dbraw/zinc/88/77/69/421887769.db2.gz NQITWCUZSRBOPO-CABCVRRESA-N 1 2 318.421 1.795 20 30 DDEDLO Cn1ncnc1-c1ccc(OC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000573855010 422027851 /nfs/dbraw/zinc/02/78/51/422027851.db2.gz YZGMJLSBCUFHSU-CQSZACIVSA-N 1 2 315.377 1.067 20 30 DDEDLO Cn1ncnc1-c1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000573855010 422027853 /nfs/dbraw/zinc/02/78/53/422027853.db2.gz YZGMJLSBCUFHSU-CQSZACIVSA-N 1 2 315.377 1.067 20 30 DDEDLO COC(=O)C(C)(C)N1CC[NH+](Cc2ccc(C#N)cn2)CC1 ZINC000581951488 422075852 /nfs/dbraw/zinc/07/58/52/422075852.db2.gz CSBQJXJXMHDGLL-UHFFFAOYSA-N 1 2 302.378 1.022 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNc1ccc(C(F)(F)F)c(C#N)n1 ZINC000574714022 422163392 /nfs/dbraw/zinc/16/33/92/422163392.db2.gz SEUWAWSAIGUXOQ-SNVBAGLBSA-N 1 2 314.311 2.105 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNc1ccc(C(F)(F)F)c(C#N)n1 ZINC000574714022 422163400 /nfs/dbraw/zinc/16/34/00/422163400.db2.gz SEUWAWSAIGUXOQ-SNVBAGLBSA-N 1 2 314.311 2.105 20 30 DDEDLO C=CCOCCNC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635783922 422339710 /nfs/dbraw/zinc/33/97/10/422339710.db2.gz NATHMHAKGMDYIX-INIZCTEOSA-N 1 2 304.390 1.588 20 30 DDEDLO C=CCOCCNC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635783922 422339720 /nfs/dbraw/zinc/33/97/20/422339720.db2.gz NATHMHAKGMDYIX-INIZCTEOSA-N 1 2 304.390 1.588 20 30 DDEDLO N#Cc1sccc1N1CC[NH+](Cc2cnc(N)s2)CC1 ZINC000594736029 422352551 /nfs/dbraw/zinc/35/25/51/422352551.db2.gz NTOCKBBOTYQQDQ-UHFFFAOYSA-N 1 2 305.432 1.981 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2nc(C#N)c(Cl)s2)[C@@H](C)CO1 ZINC000596430659 422375195 /nfs/dbraw/zinc/37/51/95/422375195.db2.gz MROXVZJVTBFBBP-IUCAKERBSA-N 1 2 300.815 2.189 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2nc(C#N)c(Cl)s2)[C@@H](C)CO1 ZINC000596430659 422375201 /nfs/dbraw/zinc/37/52/01/422375201.db2.gz MROXVZJVTBFBBP-IUCAKERBSA-N 1 2 300.815 2.189 20 30 DDEDLO C[C@@H](O)[C@H]1CCN(c2cc(NCC3(CC#N)CC3)[nH+]cn2)C1 ZINC000575773922 422325318 /nfs/dbraw/zinc/32/53/18/422325318.db2.gz HFKXGOFJCZCLKD-OLZOCXBDSA-N 1 2 301.394 1.789 20 30 DDEDLO C[C@@H](O)[C@H]1CCN(c2cc(NCC3(CC#N)CC3)nc[nH+]2)C1 ZINC000575773922 422325320 /nfs/dbraw/zinc/32/53/20/422325320.db2.gz HFKXGOFJCZCLKD-OLZOCXBDSA-N 1 2 301.394 1.789 20 30 DDEDLO C[N@@H+]([C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O)[C@H]1CCC[C@H]1C#N ZINC000602982521 422440256 /nfs/dbraw/zinc/44/02/56/422440256.db2.gz UIAKKRNGUWCMSQ-MELADBBJSA-N 1 2 321.421 1.553 20 30 DDEDLO C[N@H+]([C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O)[C@H]1CCC[C@H]1C#N ZINC000602982521 422440260 /nfs/dbraw/zinc/44/02/60/422440260.db2.gz UIAKKRNGUWCMSQ-MELADBBJSA-N 1 2 321.421 1.553 20 30 DDEDLO C=CCCS(=O)(=O)N1CC2(CC[N@@H+]2Cc2ccccc2)C1 ZINC000632492693 422388867 /nfs/dbraw/zinc/38/88/67/422388867.db2.gz MFUUGJVPVQNGIW-UHFFFAOYSA-N 1 2 306.431 1.853 20 30 DDEDLO C=CCCS(=O)(=O)N1CC2(CC[N@H+]2Cc2ccccc2)C1 ZINC000632492693 422388872 /nfs/dbraw/zinc/38/88/72/422388872.db2.gz MFUUGJVPVQNGIW-UHFFFAOYSA-N 1 2 306.431 1.853 20 30 DDEDLO N#CCCn1ccc(NC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)n1 ZINC000610325977 422466610 /nfs/dbraw/zinc/46/66/10/422466610.db2.gz MEUNOCOKDGWSKU-ZDUSSCGKSA-N 1 2 313.365 1.862 20 30 DDEDLO C=CCCC(C)(C)CNS(=O)(=O)CCC[NH+]1CCOCC1 ZINC000632641126 422490552 /nfs/dbraw/zinc/49/05/52/422490552.db2.gz SSBIYVYUOWGZRR-UHFFFAOYSA-N 1 2 318.483 1.621 20 30 DDEDLO CCOC(=O)C1CC[NH+](CC(=O)Nc2ccc(C#N)cc2)CC1 ZINC000006326300 263247967 /nfs/dbraw/zinc/24/79/67/263247967.db2.gz HJTRPWZTJSKZEW-UHFFFAOYSA-N 1 2 315.373 1.772 20 30 DDEDLO C=CC[N@@H+](CCOC)Cc1ccc(S(N)(=O)=O)cc1F ZINC000639099516 423302179 /nfs/dbraw/zinc/30/21/79/423302179.db2.gz IUCTWTJBVLKIBJ-UHFFFAOYSA-N 1 2 302.371 1.108 20 30 DDEDLO C=CC[N@H+](CCOC)Cc1ccc(S(N)(=O)=O)cc1F ZINC000639099516 423302183 /nfs/dbraw/zinc/30/21/83/423302183.db2.gz IUCTWTJBVLKIBJ-UHFFFAOYSA-N 1 2 302.371 1.108 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nccn2CC(F)(F)F)nn1 ZINC000641028143 423333315 /nfs/dbraw/zinc/33/33/15/423333315.db2.gz AXQVCNOPLAMSHO-UHFFFAOYSA-N 1 2 312.299 1.198 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000651074094 423378621 /nfs/dbraw/zinc/37/86/21/423378621.db2.gz UMOVGPBEDGTTFW-SJORKVTESA-N 1 2 316.401 1.592 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC3(CC2)[C@@H](O)C[C@@H]3OCC)nn1 ZINC000653559186 423529894 /nfs/dbraw/zinc/52/98/94/423529894.db2.gz NZHVSGSFDSKPCT-HOTGVXAUSA-N 1 2 320.437 1.606 20 30 DDEDLO C=CCCNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000661565677 423904977 /nfs/dbraw/zinc/90/49/77/423904977.db2.gz QYNLIJCVYFBBQV-SJORKVTESA-N 1 2 315.417 1.857 20 30 DDEDLO C=CCCNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000661565677 423904994 /nfs/dbraw/zinc/90/49/94/423904994.db2.gz QYNLIJCVYFBBQV-SJORKVTESA-N 1 2 315.417 1.857 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1cccc(Cl)c1S(=O)(=O)CC ZINC000641775715 423970168 /nfs/dbraw/zinc/97/01/68/423970168.db2.gz XXPHRVMIGNZPGX-LLVKDONJSA-N 1 2 303.811 1.770 20 30 DDEDLO COc1ccc(C(=O)CC[NH+]2CCN(CCC#N)CC2)cc1 ZINC000640140102 424213887 /nfs/dbraw/zinc/21/38/87/424213887.db2.gz YFOGFCIDCYSSNF-UHFFFAOYSA-N 1 2 301.390 1.799 20 30 DDEDLO C=CCOc1ccccc1C[NH2+][C@@H]1CS(=O)(=O)C[C@H]1OC ZINC000657606226 424441103 /nfs/dbraw/zinc/44/11/03/424441103.db2.gz WHEGSTAVYZTDDT-UKRRQHHQSA-N 1 2 311.403 1.153 20 30 DDEDLO C=CC(C)(C)CNC(=O)C(=O)Nc1ccn2cc(C)[nH+]c2c1 ZINC000657987148 424565887 /nfs/dbraw/zinc/56/58/87/424565887.db2.gz UBOYWXIBYQUUFA-UHFFFAOYSA-N 1 2 300.362 1.910 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+](Cc2ccc(Cl)cc2)CC1 ZINC000665371289 424795350 /nfs/dbraw/zinc/79/53/50/424795350.db2.gz CNQAUDUYOOORRA-INIZCTEOSA-N 1 2 308.809 1.921 20 30 DDEDLO CC[N@H+](Cc1ncc(-c2ccc(C#N)cc2)o1)[C@@H]1CCNC1=O ZINC000366918867 266140874 /nfs/dbraw/zinc/14/08/74/266140874.db2.gz AGYFMWWCHOMZSF-CQSZACIVSA-N 1 2 310.357 1.924 20 30 DDEDLO CC[N@@H+](Cc1ncc(-c2ccc(C#N)cc2)o1)[C@@H]1CCNC1=O ZINC000366918867 266140879 /nfs/dbraw/zinc/14/08/79/266140879.db2.gz AGYFMWWCHOMZSF-CQSZACIVSA-N 1 2 310.357 1.924 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1cccc(F)c1C#N ZINC000362211471 267157761 /nfs/dbraw/zinc/15/77/61/267157761.db2.gz CXZSUPVPWDGXGP-GFCCVEGCSA-N 1 2 305.353 1.490 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@@H](NC(=O)[C@H](C)Oc1ccc(C#N)cc1)C2 ZINC000372549946 267532417 /nfs/dbraw/zinc/53/24/17/267532417.db2.gz JEBVRFCQQRWLSA-DZGCQCFKSA-N 1 2 324.384 1.962 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C[C@@H](O)COc1ccc(C#N)cc1)CC2 ZINC000374680039 267532863 /nfs/dbraw/zinc/53/28/63/267532863.db2.gz OQIFKZKZALYKFO-OAHLLOKOSA-N 1 2 312.373 1.319 20 30 DDEDLO N#Cc1cccc(C#N)c1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000373050046 268235789 /nfs/dbraw/zinc/23/57/89/268235789.db2.gz UPQBQGDBKFFXGO-UHFFFAOYSA-N 1 2 322.372 1.702 20 30 DDEDLO N#Cc1cccc(CCNc2cc(N3CCC[C@H]3CO)nc[nH+]2)c1 ZINC000359947350 268245007 /nfs/dbraw/zinc/24/50/07/268245007.db2.gz AVZUPWGTVSRAPG-INIZCTEOSA-N 1 2 323.400 1.964 20 30 DDEDLO N#Cc1cccc(CCNc2cc(N3CCC[C@H]3CO)[nH+]cn2)c1 ZINC000359947350 268245008 /nfs/dbraw/zinc/24/50/08/268245008.db2.gz AVZUPWGTVSRAPG-INIZCTEOSA-N 1 2 323.400 1.964 20 30 DDEDLO N#Cc1cccc(OCC[N@@H+]2CCOC[C@@H]2[C@@H]2CCCO2)c1 ZINC000375739650 268274518 /nfs/dbraw/zinc/27/45/18/268274518.db2.gz BEIBRLBSJDBNDI-SJORKVTESA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1cccc(OCC[N@H+]2CCOC[C@@H]2[C@@H]2CCCO2)c1 ZINC000375739650 268274520 /nfs/dbraw/zinc/27/45/20/268274520.db2.gz BEIBRLBSJDBNDI-SJORKVTESA-N 1 2 302.374 1.817 20 30 DDEDLO C#CC[NH+](CC#C)[C@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000491808105 275380852 /nfs/dbraw/zinc/38/08/52/275380852.db2.gz VHFHWCPPVJZMFF-KRWDZBQOSA-N 1 2 312.369 1.378 20 30 DDEDLO C#CC[N@@H+](CC(N)=O)[C@H]1CCOc2ccc(Br)cc21 ZINC000365207961 275380860 /nfs/dbraw/zinc/38/08/60/275380860.db2.gz NENSOUCQWVEIPW-LBPRGKRZSA-N 1 2 323.190 1.693 20 30 DDEDLO C#CC[N@H+](CC(N)=O)[C@H]1CCOc2ccc(Br)cc21 ZINC000365207961 275380862 /nfs/dbraw/zinc/38/08/62/275380862.db2.gz NENSOUCQWVEIPW-LBPRGKRZSA-N 1 2 323.190 1.693 20 30 DDEDLO C#Cc1ccc(CNC(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)cc1 ZINC000296341571 276800396 /nfs/dbraw/zinc/80/03/96/276800396.db2.gz ICRMJWVKLOQEKC-LSDHHAIUSA-N 1 2 315.417 1.576 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1c1ncc(C#N)cc1[N+](=O)[O-] ZINC000295745496 278101290 /nfs/dbraw/zinc/10/12/90/278101290.db2.gz YZBHJTLREVJAIF-DGCLKSJQSA-N 1 2 317.349 1.161 20 30 DDEDLO Cc1ccc2nc(C[NH+]3CCC(F)(C#N)CC3)cc(=O)n2c1 ZINC000352466337 281153440 /nfs/dbraw/zinc/15/34/40/281153440.db2.gz BVCBFDXHMDNGLN-UHFFFAOYSA-N 1 2 300.337 1.831 20 30 DDEDLO COC[C@H]1C[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)CC(C)(C)O1 ZINC000330555857 293232668 /nfs/dbraw/zinc/23/26/68/293232668.db2.gz ZIDFPLUKLVHQOC-CZUORRHYSA-N 1 2 311.426 1.167 20 30 DDEDLO COC[C@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)CC(C)(C)O1 ZINC000330555857 293232672 /nfs/dbraw/zinc/23/26/72/293232672.db2.gz ZIDFPLUKLVHQOC-CZUORRHYSA-N 1 2 311.426 1.167 20 30 DDEDLO Cn1[nH]cnc1=NC(=O)N[C@@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000329205422 294132839 /nfs/dbraw/zinc/13/28/39/294132839.db2.gz ZGWIRBAILFSPMS-SNVBAGLBSA-N 1 2 317.397 1.072 20 30 DDEDLO Cc1nc(C(=O)N(C)C[C@H]2CCn3cc[nH+]c3C2)ccc1C#N ZINC000364676650 300428898 /nfs/dbraw/zinc/42/88/98/300428898.db2.gz WFWQINAHXHGIDW-ZDUSSCGKSA-N 1 2 309.373 1.793 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCO[C@@]3(CCCOC3)C2)CCCCC1 ZINC000375653510 303145894 /nfs/dbraw/zinc/14/58/94/303145894.db2.gz PLJUCDWQKJYWCV-KRWDZBQOSA-N 1 2 321.421 1.210 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCO[C@@]3(CCCOC3)C2)CCCCC1 ZINC000375653510 303145896 /nfs/dbraw/zinc/14/58/96/303145896.db2.gz PLJUCDWQKJYWCV-KRWDZBQOSA-N 1 2 321.421 1.210 20 30 DDEDLO N#C[C@@H]1CCC[C@@H]1NC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000329675431 303158645 /nfs/dbraw/zinc/15/86/45/303158645.db2.gz UJTJUCUHDMEPOX-ZNMIVQPWSA-N 1 2 306.410 1.389 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Cc1cccc(C#N)c1 ZINC000552660118 303663287 /nfs/dbraw/zinc/66/32/87/303663287.db2.gz QHLOCXOPCXTXIJ-WMLDXEAASA-N 1 2 313.401 1.422 20 30 DDEDLO CC(C)N1CC[C@@H]([NH+]2CCN(c3cnccc3C#N)CC2)C1=O ZINC000555650711 307847105 /nfs/dbraw/zinc/84/71/05/307847105.db2.gz JFBKVEDVLUMUIY-OAHLLOKOSA-N 1 2 313.405 1.085 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]2C(=O)N2CCSCC2)nc1 ZINC000574410338 308270076 /nfs/dbraw/zinc/27/00/76/308270076.db2.gz HKEXZVODNRCHBO-HNNXBMFYSA-N 1 2 316.430 1.493 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]2C(=O)N2CCSCC2)nc1 ZINC000574410338 308270077 /nfs/dbraw/zinc/27/00/77/308270077.db2.gz HKEXZVODNRCHBO-HNNXBMFYSA-N 1 2 316.430 1.493 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)Cc1ccc(OC)c(O)c1 ZINC000177219842 333125800 /nfs/dbraw/zinc/12/58/00/333125800.db2.gz HMRZWURRAHVZFP-UHFFFAOYSA-N 1 2 305.378 1.595 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)Cc1ccc(OC)c(O)c1 ZINC000177219842 333125801 /nfs/dbraw/zinc/12/58/01/333125801.db2.gz HMRZWURRAHVZFP-UHFFFAOYSA-N 1 2 305.378 1.595 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+](C)CCNC(C)=O ZINC000563083277 333352530 /nfs/dbraw/zinc/35/25/30/333352530.db2.gz FBABWLVBWYHNES-UHFFFAOYSA-N 1 2 321.446 1.971 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+](C)CCNC(C)=O ZINC000563083277 333352532 /nfs/dbraw/zinc/35/25/32/333352532.db2.gz FBABWLVBWYHNES-UHFFFAOYSA-N 1 2 321.446 1.971 20 30 DDEDLO C[C@H](C#N)C(=O)NCc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1 ZINC000345855264 335355718 /nfs/dbraw/zinc/35/57/18/335355718.db2.gz WVLRGICLAUHCRY-JHJVBQTASA-N 1 2 302.378 1.471 20 30 DDEDLO CCOC(=O)C1CCC(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)CC1 ZINC000583567667 335733703 /nfs/dbraw/zinc/73/37/03/335733703.db2.gz HKVQYBPJMBMHDE-KVULBXGLSA-N 1 2 323.437 1.706 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)NC2CCC(C)CC2)C1=O ZINC000532479537 336251413 /nfs/dbraw/zinc/25/14/13/336251413.db2.gz BRUFGPLAKRDYDQ-BHDPWAOGSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)NC2CCC(C)CC2)C1=O ZINC000532479537 336251414 /nfs/dbraw/zinc/25/14/14/336251414.db2.gz BRUFGPLAKRDYDQ-BHDPWAOGSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(C(=O)NC(C)(C)C)CC1 ZINC000360292511 336707314 /nfs/dbraw/zinc/70/73/14/336707314.db2.gz HUWXSTBMOUGTTC-UHFFFAOYSA-N 1 2 322.453 1.313 20 30 DDEDLO C[N@H+](CC#Cc1ccc(Cl)cc1)CC(=O)N1CCOCC1 ZINC000177157337 337154263 /nfs/dbraw/zinc/15/42/63/337154263.db2.gz FMWIGSRMBBAMBL-UHFFFAOYSA-N 1 2 306.793 1.482 20 30 DDEDLO C[N@@H+](CC#Cc1ccc(Cl)cc1)CC(=O)N1CCOCC1 ZINC000177157337 337154264 /nfs/dbraw/zinc/15/42/64/337154264.db2.gz FMWIGSRMBBAMBL-UHFFFAOYSA-N 1 2 306.793 1.482 20 30 DDEDLO Cc1ncc(S(=O)(=O)N[C@H]2C[C@H](C)n3cc[nH+]c32)cc1C#N ZINC000582946056 337220911 /nfs/dbraw/zinc/22/09/11/337220911.db2.gz AZCHZEJZLAKQJB-ZANVPECISA-N 1 2 317.374 1.443 20 30 DDEDLO COC(=O)c1cn(C2C[NH+](Cc3ccc(C#N)c(C)c3)C2)nn1 ZINC000583004320 337227823 /nfs/dbraw/zinc/22/78/23/337227823.db2.gz SUCOWXWANJLTGG-UHFFFAOYSA-N 1 2 311.345 1.302 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1occc1Br ZINC000514983732 337976675 /nfs/dbraw/zinc/97/66/75/337976675.db2.gz PYQQSBGRNCNZDP-LLVKDONJSA-N 1 2 300.156 1.616 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000491570215 339867457 /nfs/dbraw/zinc/86/74/57/339867457.db2.gz ZTHBXEYWMLXXHT-INIZCTEOSA-N 1 2 300.406 1.711 20 30 DDEDLO CC(C)[C@H](CNC(=O)OC(C)(C)C)C[NH+]1CC(O)(CC#N)C1 ZINC000496289862 340002287 /nfs/dbraw/zinc/00/22/87/340002287.db2.gz FSUNRMIBYDHMIT-CYBMUJFWSA-N 1 2 311.426 1.744 20 30 DDEDLO COC(=O)Nc1cccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000496521813 340007154 /nfs/dbraw/zinc/00/71/54/340007154.db2.gz WUPLTCFVWRFBNQ-OAHLLOKOSA-N 1 2 304.350 1.439 20 30 DDEDLO COC(=O)C[C@@H](C)[NH+]1CCN(C(=O)C2(C#N)CCCCC2)CC1 ZINC000174365972 340386821 /nfs/dbraw/zinc/38/68/21/340386821.db2.gz AUYHEWXEURTSLS-CQSZACIVSA-N 1 2 321.421 1.556 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)CCC(=O)c2ccccn2)CC1 ZINC000548104557 341247392 /nfs/dbraw/zinc/24/73/92/341247392.db2.gz ARIBGYKTKXIIKK-UHFFFAOYSA-N 1 2 300.362 1.149 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@H](C)C(=O)N(C)CCC#N)CCN1CC(F)F ZINC000245788404 341314909 /nfs/dbraw/zinc/31/49/09/341314909.db2.gz WRBFJTWRDHZBLR-CHWSQXEVSA-N 1 2 316.396 1.408 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@H](C)C(=O)N(C)CCC#N)CCN1CC(F)F ZINC000245788404 341314910 /nfs/dbraw/zinc/31/49/10/341314910.db2.gz WRBFJTWRDHZBLR-CHWSQXEVSA-N 1 2 316.396 1.408 20 30 DDEDLO CC[N@H+](C[C@@H](C)C#N)[C@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000245795404 341314998 /nfs/dbraw/zinc/31/49/98/341314998.db2.gz OKSUHOMGYIVZNL-UONOGXRCSA-N 1 2 316.405 1.882 20 30 DDEDLO CC[N@@H+](C[C@@H](C)C#N)[C@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000245795404 341314999 /nfs/dbraw/zinc/31/49/99/341314999.db2.gz OKSUHOMGYIVZNL-UONOGXRCSA-N 1 2 316.405 1.882 20 30 DDEDLO CC#CCNC(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000660965103 484835037 /nfs/dbraw/zinc/83/50/37/484835037.db2.gz ZJLRMAMOGBSUOD-KRWDZBQOSA-N 1 2 314.433 1.125 20 30 DDEDLO CC#CCNC(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000660965103 484835039 /nfs/dbraw/zinc/83/50/39/484835039.db2.gz ZJLRMAMOGBSUOD-KRWDZBQOSA-N 1 2 314.433 1.125 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000661272224 485009045 /nfs/dbraw/zinc/00/90/45/485009045.db2.gz SOAJWTUQPMIEMO-CABCVRRESA-N 1 2 316.405 1.825 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)c1c[nH]c2cccnc12 ZINC000329871009 533833660 /nfs/dbraw/zinc/83/36/60/533833660.db2.gz AKMKBGULPKOAGH-LBPRGKRZSA-N 1 2 301.394 1.503 20 30 DDEDLO COc1ccc(F)cc1CNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000330183097 533923354 /nfs/dbraw/zinc/92/33/54/533923354.db2.gz HRDLBMZFHDBBSZ-HIFRSBDPSA-N 1 2 323.368 1.263 20 30 DDEDLO COc1ccc(F)cc1CNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000330183097 533923362 /nfs/dbraw/zinc/92/33/62/533923362.db2.gz HRDLBMZFHDBBSZ-HIFRSBDPSA-N 1 2 323.368 1.263 20 30 DDEDLO COc1ccc(NC(=O)NC(=O)C[N@H+](C)CC(C)(C)C#N)cc1 ZINC000433299092 534117420 /nfs/dbraw/zinc/11/74/20/534117420.db2.gz QCRPQDBMWNURAO-UHFFFAOYSA-N 1 2 318.377 1.825 20 30 DDEDLO COc1ccc(NC(=O)NC(=O)C[N@@H+](C)CC(C)(C)C#N)cc1 ZINC000433299092 534117428 /nfs/dbraw/zinc/11/74/28/534117428.db2.gz QCRPQDBMWNURAO-UHFFFAOYSA-N 1 2 318.377 1.825 20 30 DDEDLO C[C@]1(O)CCCN(C(=O)NC[C@H]2CCCn3cc[nH+]c32)CC1 ZINC000329979174 534183794 /nfs/dbraw/zinc/18/37/94/534183794.db2.gz JBDOXKCEMQRAHC-CJNGLKHVSA-N 1 2 306.410 1.911 20 30 DDEDLO N#CC1CCC(CNC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CC1 ZINC000333564193 534580599 /nfs/dbraw/zinc/58/05/99/534580599.db2.gz HOJHVFNTEYJDHO-STONLHKKSA-N 1 2 320.437 1.479 20 30 DDEDLO N#CC1CCC(CNC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CC1 ZINC000333564193 534580607 /nfs/dbraw/zinc/58/06/07/534580607.db2.gz HOJHVFNTEYJDHO-STONLHKKSA-N 1 2 320.437 1.479 20 30 DDEDLO N#CCNC(=O)CSc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000342158545 534686513 /nfs/dbraw/zinc/68/65/13/534686513.db2.gz HBIMEYYAVDAOHP-UHFFFAOYSA-N 1 2 324.369 1.547 20 30 DDEDLO Cc1cccc(C[NH+]2CCN(S(=O)(=O)[C@H](C)C#N)CC2)c1 ZINC000416372930 534708806 /nfs/dbraw/zinc/70/88/06/534708806.db2.gz OKNYWZFYSTVXCL-CQSZACIVSA-N 1 2 307.419 1.355 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[NH2+][C@@H](CO)[C@H]3CCCO3)o2)c1 ZINC000447906218 534801640 /nfs/dbraw/zinc/80/16/40/534801640.db2.gz OFNJAGBHSBBWRP-UONOGXRCSA-N 1 2 314.345 1.238 20 30 DDEDLO Cc1nn(C)cc1[C@H](C)NC([O-])=[NH+][C@H]1CCn2cc[nH+]c2C1 ZINC000330202678 534846318 /nfs/dbraw/zinc/84/63/18/534846318.db2.gz ZPLGIHVFGNKFNH-JQWIXIFHSA-N 1 2 302.382 1.505 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccc(F)c(F)c2)C1 ZINC000330946926 526402780 /nfs/dbraw/zinc/40/27/80/526402780.db2.gz LHOCNLUTVZVDLV-JTQLQIEISA-N 1 2 312.320 1.111 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccc(F)c(F)c2)C1 ZINC000330946926 526402784 /nfs/dbraw/zinc/40/27/84/526402784.db2.gz LHOCNLUTVZVDLV-JTQLQIEISA-N 1 2 312.320 1.111 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](Cc2cncc(Br)c2)C1 ZINC000330954106 526403007 /nfs/dbraw/zinc/40/30/07/526403007.db2.gz SFDOTJIENDXLAR-NSHDSACASA-N 1 2 313.199 1.552 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](Cc2cncc(Br)c2)C1 ZINC000330954106 526403010 /nfs/dbraw/zinc/40/30/10/526403010.db2.gz SFDOTJIENDXLAR-NSHDSACASA-N 1 2 313.199 1.552 20 30 DDEDLO CNC(=O)[C@]1(C)CC[N@H+](Cc2noc(C3CCOCC3)n2)C1 ZINC000330280381 526407267 /nfs/dbraw/zinc/40/72/67/526407267.db2.gz SIEBWDXFZAZGBF-OAHLLOKOSA-N 1 2 308.382 1.762 20 30 DDEDLO CNC(=O)[C@]1(C)CC[N@@H+](Cc2noc(C3CCOCC3)n2)C1 ZINC000330280381 526407274 /nfs/dbraw/zinc/40/72/74/526407274.db2.gz SIEBWDXFZAZGBF-OAHLLOKOSA-N 1 2 308.382 1.762 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C(=O)OC)c(CC)o2)C1=O ZINC000338952001 526501345 /nfs/dbraw/zinc/50/13/45/526501345.db2.gz KPCIVUUMBUCOAL-AWEZNQCLSA-N 1 2 320.389 1.847 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C(=O)OC)c(CC)o2)C1=O ZINC000338952001 526501350 /nfs/dbraw/zinc/50/13/50/526501350.db2.gz KPCIVUUMBUCOAL-AWEZNQCLSA-N 1 2 320.389 1.847 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(CC)cn2)CC1 ZINC000338879826 526539283 /nfs/dbraw/zinc/53/92/83/526539283.db2.gz IVQQMLSUMSGNDX-UHFFFAOYSA-N 1 2 302.422 1.064 20 30 DDEDLO C=C(C)COCCNC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000343660002 526648783 /nfs/dbraw/zinc/64/87/83/526648783.db2.gz SCZUNYRZIGVLAN-HUUCEWRRSA-N 1 2 303.406 1.863 20 30 DDEDLO C=CCOC[C@H]1NC(=O)N(Cc2cn3cc(C)ccc3[nH+]2)C1=O ZINC000348489511 526814350 /nfs/dbraw/zinc/81/43/50/526814350.db2.gz VDUGYGHZQYIFGI-CYBMUJFWSA-N 1 2 314.345 1.266 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N(C)[C@H](C)C[NH+]1CCOCC1 ZINC000457759611 526821830 /nfs/dbraw/zinc/82/18/30/526821830.db2.gz GXUCVZXHVBDTMF-BZUAXINKSA-N 1 2 310.438 1.537 20 30 DDEDLO C#CCN(C)c1cnc(-c2noc(C[C@@H](C)n3cc[nH+]c3)n2)cn1 ZINC000491494873 526833460 /nfs/dbraw/zinc/83/34/60/526833460.db2.gz FTGRAIPGFZBHRA-GFCCVEGCSA-N 1 2 323.360 1.596 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000348788121 526875293 /nfs/dbraw/zinc/87/52/93/526875293.db2.gz YWNISZCDKHCVCX-GDBMZVCRSA-N 1 2 307.415 1.870 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000348788121 526875297 /nfs/dbraw/zinc/87/52/97/526875297.db2.gz YWNISZCDKHCVCX-GDBMZVCRSA-N 1 2 307.415 1.870 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCc1cnn(-c2ccccc2)c1)C1CC1 ZINC000491495236 526882591 /nfs/dbraw/zinc/88/25/91/526882591.db2.gz HRKSEIYQWLKLLH-UHFFFAOYSA-N 1 2 308.385 1.586 20 30 DDEDLO C#CC[N@H+](CC(=O)NCc1cnn(-c2ccccc2)c1)C1CC1 ZINC000491495236 526882595 /nfs/dbraw/zinc/88/25/95/526882595.db2.gz HRKSEIYQWLKLLH-UHFFFAOYSA-N 1 2 308.385 1.586 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1CCCN(CCOC)C(=O)C1 ZINC000347406420 526931291 /nfs/dbraw/zinc/93/12/91/526931291.db2.gz CKQFVSWQLSFANL-UHFFFAOYSA-N 1 2 318.417 1.932 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1CCCN(CCOC)C(=O)C1 ZINC000347406420 526931298 /nfs/dbraw/zinc/93/12/98/526931298.db2.gz CKQFVSWQLSFANL-UHFFFAOYSA-N 1 2 318.417 1.932 20 30 DDEDLO C=CC(=O)Nc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000490754675 526938901 /nfs/dbraw/zinc/93/89/01/526938901.db2.gz CDGKHKZZXFAHEV-INIZCTEOSA-N 1 2 300.362 1.385 20 30 DDEDLO CC(C)(CC#N)CNC(=O)C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000422478119 526950840 /nfs/dbraw/zinc/95/08/40/526950840.db2.gz GJFNKSBIVFVHSS-GFCCVEGCSA-N 1 2 317.393 1.519 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccccc2C(C)C)CC1 ZINC000491072768 526953416 /nfs/dbraw/zinc/95/34/16/526953416.db2.gz VQGOOLFFGYBSCD-UHFFFAOYSA-N 1 2 300.402 1.966 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCc3ccco3)n2CC)CC1 ZINC000491100386 526956287 /nfs/dbraw/zinc/95/62/87/526956287.db2.gz HDNJRFZWITWSGH-UHFFFAOYSA-N 1 2 313.405 1.431 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCOC3)n2CC(C)C)CC1 ZINC000491154703 526956399 /nfs/dbraw/zinc/95/63/99/526956399.db2.gz LPAQCAQEVQUWHE-OAHLLOKOSA-N 1 2 317.437 1.193 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ncccc3C)n2C(C)C)CC1 ZINC000491701443 526956409 /nfs/dbraw/zinc/95/64/09/526956409.db2.gz WPDOPALDHSKUFB-UHFFFAOYSA-N 1 2 324.432 1.985 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000331323452 526959839 /nfs/dbraw/zinc/95/98/39/526959839.db2.gz LPCUAAURINOYHD-UHFFFAOYSA-N 1 2 324.450 1.621 20 30 DDEDLO C#CCNC(=O)C1CCN(C(=O)Nc2ccc(C)[nH+]c2C)CC1 ZINC000491624686 526963421 /nfs/dbraw/zinc/96/34/21/526963421.db2.gz JSCNHJUSKJHFIU-UHFFFAOYSA-N 1 2 314.389 1.692 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@]2(C)CCC[C@@H]2C)CC1 ZINC000491566435 526994997 /nfs/dbraw/zinc/99/49/97/526994997.db2.gz GGLVOWPGSSOXTO-YJBOKZPZSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@]2(C)CCC[C@@H]2C)CC1 ZINC000491566435 526995001 /nfs/dbraw/zinc/99/50/01/526995001.db2.gz GGLVOWPGSSOXTO-YJBOKZPZSA-N 1 2 319.449 1.096 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1CC(=O)N(c2cccc([N+](=O)[O-])c2)C1=O ZINC000491725644 527214110 /nfs/dbraw/zinc/21/41/10/527214110.db2.gz IEYKRMHOUMDKEO-LLVKDONJSA-N 1 2 316.317 1.274 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1CC(=O)N(c2cccc([N+](=O)[O-])c2)C1=O ZINC000491725644 527214114 /nfs/dbraw/zinc/21/41/14/527214114.db2.gz IEYKRMHOUMDKEO-LLVKDONJSA-N 1 2 316.317 1.274 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000343815742 527287120 /nfs/dbraw/zinc/28/71/20/527287120.db2.gz YXBOFXYHRWARMA-ZDUSSCGKSA-N 1 2 310.442 1.322 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000491814308 527316932 /nfs/dbraw/zinc/31/69/32/527316932.db2.gz JNWGEMVZTVQKGV-LBPRGKRZSA-N 1 2 310.357 1.506 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000330812595 527637285 /nfs/dbraw/zinc/63/72/85/527637285.db2.gz KOCYQEMYRBNNGH-PXAZEXFGSA-N 1 2 305.422 1.939 20 30 DDEDLO CCN(CC#N)CC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000330643852 527887455 /nfs/dbraw/zinc/88/74/55/527887455.db2.gz JXJDGSYXKUKLHS-UHFFFAOYSA-N 1 2 306.435 1.238 20 30 DDEDLO CCC[C@@]1(C(=O)OCC)CCC[N@@H+]1CC(=O)N(CC)CCC#N ZINC000444779514 527917212 /nfs/dbraw/zinc/91/72/12/527917212.db2.gz CCEPONNXLQNFAN-KRWDZBQOSA-N 1 2 323.437 1.946 20 30 DDEDLO CCC[C@@]1(C(=O)OCC)CCC[N@H+]1CC(=O)N(CC)CCC#N ZINC000444779514 527917217 /nfs/dbraw/zinc/91/72/17/527917217.db2.gz CCEPONNXLQNFAN-KRWDZBQOSA-N 1 2 323.437 1.946 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCc2ncsc2C1 ZINC000445853672 527937040 /nfs/dbraw/zinc/93/70/40/527937040.db2.gz KLQHCRDTEHMCIL-ABAIWWIYSA-N 1 2 306.435 1.944 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCc2ncsc2C1 ZINC000445853672 527937047 /nfs/dbraw/zinc/93/70/47/527937047.db2.gz KLQHCRDTEHMCIL-ABAIWWIYSA-N 1 2 306.435 1.944 20 30 DDEDLO CC(C)OC[C@H](CO)[N@H+](C)CC(=O)Nc1sccc1C#N ZINC000433247785 528025017 /nfs/dbraw/zinc/02/50/17/528025017.db2.gz PSFPSEHYHOHKJF-LBPRGKRZSA-N 1 2 311.407 1.276 20 30 DDEDLO CC(C)OC[C@H](CO)[N@@H+](C)CC(=O)Nc1sccc1C#N ZINC000433247785 528025019 /nfs/dbraw/zinc/02/50/19/528025019.db2.gz PSFPSEHYHOHKJF-LBPRGKRZSA-N 1 2 311.407 1.276 20 30 DDEDLO CC(C)c1cnc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)s1 ZINC000330205040 528217826 /nfs/dbraw/zinc/21/78/26/528217826.db2.gz CDCVFSRQYFGYDV-GHMZBOCLSA-N 1 2 310.423 1.827 20 30 DDEDLO CC(C)c1cnc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)s1 ZINC000330205040 528217831 /nfs/dbraw/zinc/21/78/31/528217831.db2.gz CDCVFSRQYFGYDV-GHMZBOCLSA-N 1 2 310.423 1.827 20 30 DDEDLO CCOc1cc(OC[C@H](O)C[N@H+](C)CCC#N)ccc1[N+](=O)[O-] ZINC000414131603 528333923 /nfs/dbraw/zinc/33/39/23/528333923.db2.gz ZRBQOIROELEXFR-GFCCVEGCSA-N 1 2 323.349 1.579 20 30 DDEDLO CCOc1cc(OC[C@H](O)C[N@@H+](C)CCC#N)ccc1[N+](=O)[O-] ZINC000414131603 528333928 /nfs/dbraw/zinc/33/39/28/528333928.db2.gz ZRBQOIROELEXFR-GFCCVEGCSA-N 1 2 323.349 1.579 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)NCc1cn2cc(C)ccc2[nH+]1 ZINC000336902216 528390859 /nfs/dbraw/zinc/39/08/59/528390859.db2.gz CESVGFUXHKWIAY-UHFFFAOYSA-N 1 2 321.406 1.213 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCc1cn2ccccc2[nH+]1 ZINC000336889067 528714401 /nfs/dbraw/zinc/71/44/01/528714401.db2.gz IEEBHXWAZQSARA-GFCCVEGCSA-N 1 2 321.406 1.150 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)[C@H]1CCCCS1(=O)=O ZINC000329648580 528727038 /nfs/dbraw/zinc/72/70/38/528727038.db2.gz BPZOKZRKBSMTQA-GFCCVEGCSA-N 1 2 313.423 1.963 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNS(=O)(=O)c1ccc(C#N)s1 ZINC000435443477 528732456 /nfs/dbraw/zinc/73/24/56/528732456.db2.gz OJSHUXOYNXYXHK-UHFFFAOYSA-N 1 2 324.431 1.951 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+](C)C[C@@H](O)C(F)(F)F ZINC000341159948 528853049 /nfs/dbraw/zinc/85/30/49/528853049.db2.gz LCRDULQNSVSMCH-CKYFFXLPSA-N 1 2 309.332 1.284 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+](C)C[C@@H](O)C(F)(F)F ZINC000341159948 528853052 /nfs/dbraw/zinc/85/30/52/528853052.db2.gz LCRDULQNSVSMCH-CKYFFXLPSA-N 1 2 309.332 1.284 20 30 DDEDLO C[N@H+](CCO)C1CC[NH+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)CC1 ZINC000830789591 601315369 /nfs/dbraw/zinc/31/53/69/601315369.db2.gz OPANMWKJJIVTLO-MRXNPFEDSA-N 1 2 317.389 1.072 20 30 DDEDLO C[N@@H+](CCO)C1CC[NH+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)CC1 ZINC000830789591 601315371 /nfs/dbraw/zinc/31/53/71/601315371.db2.gz OPANMWKJJIVTLO-MRXNPFEDSA-N 1 2 317.389 1.072 20 30 DDEDLO CC(C)Cc1nc(-c2nn[nH]n2)c(N=NC2C[C@@H](C)[N@H+](C)C2)o1 ZINC000820108304 606776278 /nfs/dbraw/zinc/77/62/78/606776278.db2.gz IGTPOIFTOCVCQA-SECBINFHSA-N 1 2 318.385 1.545 20 30 DDEDLO CC(C)Cc1nc(-c2nn[nH]n2)c(N=NC2C[C@@H](C)[N@@H+](C)C2)o1 ZINC000820108304 606776279 /nfs/dbraw/zinc/77/62/79/606776279.db2.gz IGTPOIFTOCVCQA-SECBINFHSA-N 1 2 318.385 1.545 20 30 DDEDLO C[C@H](C#N)C[N@H+](CCOc1cccc(-c2nn[nH]n2)c1)C1CC1 ZINC000824035292 607831343 /nfs/dbraw/zinc/83/13/43/607831343.db2.gz WITPPWNWQXVLMS-GFCCVEGCSA-N 1 2 312.377 1.870 20 30 DDEDLO C[C@H](C#N)C[N@@H+](CCOc1cccc(-c2nn[nH]n2)c1)C1CC1 ZINC000824035292 607831344 /nfs/dbraw/zinc/83/13/44/607831344.db2.gz WITPPWNWQXVLMS-GFCCVEGCSA-N 1 2 312.377 1.870 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)OC(=O)[C@@H]1CCCC[N@H+]1CC ZINC000745087690 699971463 /nfs/dbraw/zinc/97/14/63/699971463.db2.gz YYMAOJNFRWWYEU-CABCVRRESA-N 1 2 308.422 1.993 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)OC(=O)[C@@H]1CCCC[N@@H+]1CC ZINC000745087690 699971465 /nfs/dbraw/zinc/97/14/65/699971465.db2.gz YYMAOJNFRWWYEU-CABCVRRESA-N 1 2 308.422 1.993 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC000972071704 695151005 /nfs/dbraw/zinc/15/10/05/695151005.db2.gz AAVCHOIAQNFINU-AWEZNQCLSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC000972071704 695151008 /nfs/dbraw/zinc/15/10/08/695151008.db2.gz AAVCHOIAQNFINU-AWEZNQCLSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccccc3F)C2)C1 ZINC000972231537 695183573 /nfs/dbraw/zinc/18/35/73/695183573.db2.gz TYDHHOVBFIUZGQ-QGZVFWFLSA-N 1 2 304.365 1.929 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccccc3F)C2)C1 ZINC000972231537 695183574 /nfs/dbraw/zinc/18/35/74/695183574.db2.gz TYDHHOVBFIUZGQ-QGZVFWFLSA-N 1 2 304.365 1.929 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccnc(F)c3)C2)C1 ZINC000972290806 695206884 /nfs/dbraw/zinc/20/68/84/695206884.db2.gz HNUBLYJDTRPTQW-INIZCTEOSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccnc(F)c3)C2)C1 ZINC000972290806 695206886 /nfs/dbraw/zinc/20/68/86/695206886.db2.gz HNUBLYJDTRPTQW-INIZCTEOSA-N 1 2 305.353 1.324 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3CCOCC3)C2)C1 ZINC000972295064 695208626 /nfs/dbraw/zinc/20/86/26/695208626.db2.gz PXNHZEMFSZYFLD-SFHVURJKSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3CCOCC3)C2)C1 ZINC000972295064 695208630 /nfs/dbraw/zinc/20/86/30/695208630.db2.gz PXNHZEMFSZYFLD-SFHVURJKSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389239 695238059 /nfs/dbraw/zinc/23/80/59/695238059.db2.gz JCCWLINUJZFTRS-AAEUAGOBSA-N 1 2 322.302 1.213 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389239 695238060 /nfs/dbraw/zinc/23/80/60/695238060.db2.gz JCCWLINUJZFTRS-AAEUAGOBSA-N 1 2 322.302 1.213 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3C=CC=CC=C3)C2)C1 ZINC000972395180 695238951 /nfs/dbraw/zinc/23/89/51/695238951.db2.gz AMGYIKHEMBVRBQ-IBGZPJMESA-N 1 2 312.413 1.611 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3C=CC=CC=C3)C2)C1 ZINC000972395180 695238952 /nfs/dbraw/zinc/23/89/52/695238952.db2.gz AMGYIKHEMBVRBQ-IBGZPJMESA-N 1 2 312.413 1.611 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(COC)o3)C2)C1 ZINC000972457861 695255502 /nfs/dbraw/zinc/25/55/02/695255502.db2.gz GQXXCVIRTDSSLL-KRWDZBQOSA-N 1 2 320.389 1.529 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(COC)o3)C2)C1 ZINC000972457861 695255504 /nfs/dbraw/zinc/25/55/04/695255504.db2.gz GQXXCVIRTDSSLL-KRWDZBQOSA-N 1 2 320.389 1.529 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3F)C2)C1 ZINC000972613525 695299038 /nfs/dbraw/zinc/29/90/38/695299038.db2.gz DXFQBEYTTHVJLJ-KRWDZBQOSA-N 1 2 317.364 1.161 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3F)C2)C1 ZINC000972613525 695299041 /nfs/dbraw/zinc/29/90/41/695299041.db2.gz DXFQBEYTTHVJLJ-KRWDZBQOSA-N 1 2 317.364 1.161 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnn(C(C)C)c3)C2)C1 ZINC000972636258 695305543 /nfs/dbraw/zinc/30/55/43/695305543.db2.gz YSQUGYBSRIDOCI-KRWDZBQOSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnn(C(C)C)c3)C2)C1 ZINC000972636258 695305546 /nfs/dbraw/zinc/30/55/46/695305546.db2.gz YSQUGYBSRIDOCI-KRWDZBQOSA-N 1 2 318.421 1.567 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H](NC(=O)Cc3c[nH+]cn3C)C2)C1 ZINC000973467231 695479886 /nfs/dbraw/zinc/47/98/86/695479886.db2.gz DGSRZHSFSHKMSF-JOCQHMNTSA-N 1 2 316.405 1.082 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@H]2OCC[C@H]2C)C(C)(C)C1 ZINC000975065455 695786865 /nfs/dbraw/zinc/78/68/65/695786865.db2.gz PEGFOTGQVOPYNB-RTXFEEFZSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@H]2OCC[C@H]2C)C(C)(C)C1 ZINC000975065455 695786868 /nfs/dbraw/zinc/78/68/68/695786868.db2.gz PEGFOTGQVOPYNB-RTXFEEFZSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnc(OC)nc2)C(C)(C)C1 ZINC000977425042 696156342 /nfs/dbraw/zinc/15/63/42/696156342.db2.gz XMAPDFFSFKGRIV-LBPRGKRZSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnc(OC)nc2)C(C)(C)C1 ZINC000977425042 696156343 /nfs/dbraw/zinc/15/63/43/696156343.db2.gz XMAPDFFSFKGRIV-LBPRGKRZSA-N 1 2 324.812 1.678 20 30 DDEDLO C[C@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)[NH+]1CCC(C#N)CC1 ZINC000057879877 696300537 /nfs/dbraw/zinc/30/05/37/696300537.db2.gz ZHRUEAQKRHWXGJ-SNVBAGLBSA-N 1 2 313.361 1.419 20 30 DDEDLO CN(C(=O)CO[NH+]=C(N)Cc1cccnc1)C1CCCCC1 ZINC000137657385 696858878 /nfs/dbraw/zinc/85/88/78/696858878.db2.gz DAMKIYTVKLNSJT-UHFFFAOYSA-N 1 2 304.394 1.704 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)Nc1ccc(F)c(F)c1 ZINC000137646423 696858947 /nfs/dbraw/zinc/85/89/47/696858947.db2.gz LTEOGSQNPSZMPG-UHFFFAOYSA-N 1 2 320.299 1.830 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC000981740857 696898932 /nfs/dbraw/zinc/89/89/32/696898932.db2.gz GRVVWQQLNDRDJQ-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC000981740857 696898936 /nfs/dbraw/zinc/89/89/36/696898936.db2.gz GRVVWQQLNDRDJQ-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCCN(C(=O)[C@@H](F)CC)CC2)C1=O ZINC000981761372 696905977 /nfs/dbraw/zinc/90/59/77/696905977.db2.gz POLPAVWFXSZONG-KBPBESRZSA-N 1 2 311.401 1.056 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCCN(C(=O)[C@@H](F)CC)CC2)C1=O ZINC000981761372 696905978 /nfs/dbraw/zinc/90/59/78/696905978.db2.gz POLPAVWFXSZONG-KBPBESRZSA-N 1 2 311.401 1.056 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@]2(C)C[N@H+](Cc3ncccn3)C[C@]2(C)C1 ZINC000982236047 697069121 /nfs/dbraw/zinc/06/91/21/697069121.db2.gz BZHLAFJBQCAJLG-RRQGHBQHSA-N 1 2 313.405 1.307 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@]2(C)C[N@@H+](Cc3ncccn3)C[C@]2(C)C1 ZINC000982236047 697069124 /nfs/dbraw/zinc/06/91/24/697069124.db2.gz BZHLAFJBQCAJLG-RRQGHBQHSA-N 1 2 313.405 1.307 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)N(C)CC(F)(F)F)cc1 ZINC000177474559 697412900 /nfs/dbraw/zinc/41/29/00/697412900.db2.gz UFYCHCIYERIHRD-UHFFFAOYSA-N 1 2 319.283 1.353 20 30 DDEDLO Cc1ccc(C(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)cc1C#N ZINC000799612912 700153317 /nfs/dbraw/zinc/15/33/17/700153317.db2.gz GSIJPPWXFDKCCX-KBPBESRZSA-N 1 2 301.390 1.706 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCCOCC(F)(F)C(F)F ZINC000189321511 697574495 /nfs/dbraw/zinc/57/44/95/697574495.db2.gz KVGYONWTTXUMPS-UHFFFAOYSA-N 1 2 309.263 1.830 20 30 DDEDLO N#Cc1ccccc1/C=C/C(=O)NC[C@@H]1CN2CC[N@@H+]1CCC2 ZINC000773531703 697773851 /nfs/dbraw/zinc/77/38/51/697773851.db2.gz NXUVZTAJIYGJDK-DKRLNXSXSA-N 1 2 310.401 1.078 20 30 DDEDLO N#Cc1ccccc1/C=C/C(=O)NC[C@@H]1CN2CC[N@H+]1CCC2 ZINC000773531703 697773855 /nfs/dbraw/zinc/77/38/55/697773855.db2.gz NXUVZTAJIYGJDK-DKRLNXSXSA-N 1 2 310.401 1.078 20 30 DDEDLO CCCCCCCCNC(=O)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000774773276 697927370 /nfs/dbraw/zinc/92/73/70/697927370.db2.gz RWEXSHBYTXLALX-AWEZNQCLSA-N 1 2 320.437 1.791 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)CC1N=Nc1cccc(S(C)(=O)=O)c1 ZINC000776264668 698089661 /nfs/dbraw/zinc/08/96/61/698089661.db2.gz YWZBRYSXTUPSFU-LLVKDONJSA-N 1 2 307.419 1.972 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)CC1N=Nc1cccc(S(C)(=O)=O)c1 ZINC000776264668 698089666 /nfs/dbraw/zinc/08/96/66/698089666.db2.gz YWZBRYSXTUPSFU-LLVKDONJSA-N 1 2 307.419 1.972 20 30 DDEDLO Cc1ccc(NC(=O)NC2CCN(CC#N)CC2)c(N(C)C)[nH+]1 ZINC000750389916 700217071 /nfs/dbraw/zinc/21/70/71/700217071.db2.gz OOJKMTBIOFUFNN-UHFFFAOYSA-N 1 2 316.409 1.566 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2C[C@H](C)N(C(=O)C#CC3CC3)C2)n1 ZINC000988827569 698478119 /nfs/dbraw/zinc/47/81/19/698478119.db2.gz SNECRKYIILYQIX-GXTWGEPZSA-N 1 2 316.405 1.685 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)N2CC(Oc3cc[nH+]cc3)C2)cc1 ZINC000780926562 698572384 /nfs/dbraw/zinc/57/23/84/698572384.db2.gz JPBJIXYYCQQVNN-MRXNPFEDSA-N 1 2 309.325 1.276 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)cc1 ZINC000780965231 698577621 /nfs/dbraw/zinc/57/76/21/698577621.db2.gz KYWSJURZESMCIG-INIZCTEOSA-N 1 2 310.357 1.721 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)cc1 ZINC000780965231 698577624 /nfs/dbraw/zinc/57/76/24/698577624.db2.gz KYWSJURZESMCIG-INIZCTEOSA-N 1 2 310.357 1.721 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(NC(=O)[C@H]3CCCc4[nH]ncc43)CCC[C@@H]12 ZINC000990686075 699131509 /nfs/dbraw/zinc/13/15/09/699131509.db2.gz NJSQQZGOCLJHRL-FDQGKXFDSA-N 1 2 312.417 1.576 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(NC(=O)[C@H]3CCCc4[nH]ncc43)CCC[C@@H]12 ZINC000990686075 699131511 /nfs/dbraw/zinc/13/15/11/699131511.db2.gz NJSQQZGOCLJHRL-FDQGKXFDSA-N 1 2 312.417 1.576 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@H](C3OCCO3)C2)c(C#N)c1C ZINC000719020462 699297397 /nfs/dbraw/zinc/29/73/97/699297397.db2.gz CDQSBUAEJGMUKX-LBPRGKRZSA-N 1 2 319.361 1.401 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@H](C3OCCO3)C2)c(C#N)c1C ZINC000719020462 699297400 /nfs/dbraw/zinc/29/74/00/699297400.db2.gz CDQSBUAEJGMUKX-LBPRGKRZSA-N 1 2 319.361 1.401 20 30 DDEDLO C(=NN[C@@H]1CCOC1)c1ccc(C=[NH+]N[C@H]2CCOC2)cc1 ZINC000788440699 699314086 /nfs/dbraw/zinc/31/40/86/699314086.db2.gz WXMCXOMQVARGKE-IYBDPMFKSA-N 1 2 302.378 1.111 20 30 DDEDLO C(=NN[C@H]1CCOC1)c1ccc(C=[NH+]N[C@@H]2CCOC2)cc1 ZINC000788440699 699314089 /nfs/dbraw/zinc/31/40/89/699314089.db2.gz WXMCXOMQVARGKE-IYBDPMFKSA-N 1 2 302.378 1.111 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@@H]3CCc4c[nH+]cn4C3)CCC[C@H]12 ZINC000991475727 699333461 /nfs/dbraw/zinc/33/34/61/699333461.db2.gz TUBDKNGJGBUCAG-UKPHBRMFSA-N 1 2 313.405 1.082 20 30 DDEDLO C#Cc1cccc(NC(=S)NCC[N@@H+]2CCO[C@@H](C)C2)c1 ZINC000726491409 699365334 /nfs/dbraw/zinc/36/53/34/699365334.db2.gz ZAKXWNGGRQYPFP-ZDUSSCGKSA-N 1 2 303.431 1.675 20 30 DDEDLO C#Cc1cccc(NC(=S)NCC[N@H+]2CCO[C@@H](C)C2)c1 ZINC000726491409 699365338 /nfs/dbraw/zinc/36/53/38/699365338.db2.gz ZAKXWNGGRQYPFP-ZDUSSCGKSA-N 1 2 303.431 1.675 20 30 DDEDLO N#CCCNC(=O)C[NH+]1CCC(C(=O)c2ccc(F)cc2)CC1 ZINC000789351427 699380573 /nfs/dbraw/zinc/38/05/73/699380573.db2.gz HANPRLHFEFLXKX-UHFFFAOYSA-N 1 2 317.364 1.750 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000730280220 699506494 /nfs/dbraw/zinc/50/64/94/699506494.db2.gz OVBVXKCWXYEBOS-AWEZNQCLSA-N 1 2 317.345 1.317 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC000730280220 699506495 /nfs/dbraw/zinc/50/64/95/699506495.db2.gz OVBVXKCWXYEBOS-AWEZNQCLSA-N 1 2 317.345 1.317 20 30 DDEDLO CC(C)(C)OC(=O)C[C@](C)(C#N)C(=O)OCc1cc[nH+]c(N)c1 ZINC000792116513 699676315 /nfs/dbraw/zinc/67/63/15/699676315.db2.gz BREUWCHJPRUDSE-MRXNPFEDSA-N 1 2 319.361 1.969 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@H+]([C@@H](C)C(=O)Nc3ccccc3C#N)C[C@@H]21 ZINC000794974662 699839243 /nfs/dbraw/zinc/83/92/43/699839243.db2.gz TZMQXOQRQSETEG-MUYACECFSA-N 1 2 313.357 1.236 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@@H+]([C@@H](C)C(=O)Nc3ccccc3C#N)C[C@@H]21 ZINC000794974662 699839246 /nfs/dbraw/zinc/83/92/46/699839246.db2.gz TZMQXOQRQSETEG-MUYACECFSA-N 1 2 313.357 1.236 20 30 DDEDLO CC#CC(=O)N1CC[NH+](CCOc2ccc(Cl)cc2)CC1 ZINC000801107493 700269165 /nfs/dbraw/zinc/26/91/65/700269165.db2.gz IHVHBXJUCHMRBD-UHFFFAOYSA-N 1 2 306.793 1.886 20 30 DDEDLO CC(C)[C@H](C(=O)Nc1cnn(CC#N)c1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000751397129 700285210 /nfs/dbraw/zinc/28/52/10/700285210.db2.gz NYWROYGPZMQPDX-VNHYZAJKSA-N 1 2 319.409 1.479 20 30 DDEDLO CC(C)[C@H](C(=O)Nc1cnn(CC#N)c1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000751397129 700285214 /nfs/dbraw/zinc/28/52/14/700285214.db2.gz NYWROYGPZMQPDX-VNHYZAJKSA-N 1 2 319.409 1.479 20 30 DDEDLO C[C@H]1C[N@@H+](C2CC2)CC1N=Nc1ccc(S(C)(=O)=O)cc1 ZINC000755893157 700590287 /nfs/dbraw/zinc/59/02/87/700590287.db2.gz PTLHIMMEZPUDAB-NSHDSACASA-N 1 2 307.419 1.972 20 30 DDEDLO C[C@H]1C[N@H+](C2CC2)CC1N=Nc1ccc(S(C)(=O)=O)cc1 ZINC000755893157 700590288 /nfs/dbraw/zinc/59/02/88/700590288.db2.gz PTLHIMMEZPUDAB-NSHDSACASA-N 1 2 307.419 1.972 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+]2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000764678521 700981876 /nfs/dbraw/zinc/98/18/76/700981876.db2.gz MMMXFJVLVXNKPX-CZUORRHYSA-N 1 2 322.430 1.986 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+]2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000764678521 700981877 /nfs/dbraw/zinc/98/18/77/700981877.db2.gz MMMXFJVLVXNKPX-CZUORRHYSA-N 1 2 322.430 1.986 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)c2cccc3[nH+]ccn32)CC1 ZINC000765865444 701029142 /nfs/dbraw/zinc/02/91/42/701029142.db2.gz IRMXEGHMYJDCBO-OAHLLOKOSA-N 1 2 311.389 1.640 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NC1C[C@@H](C)[N@H+](C)C1 ZINC000767394874 701102958 /nfs/dbraw/zinc/10/29/58/701102958.db2.gz TWGNTKVYTYFUBR-SNVBAGLBSA-N 1 2 311.407 1.591 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NC1C[C@@H](C)[N@@H+](C)C1 ZINC000767394874 701102960 /nfs/dbraw/zinc/10/29/60/701102960.db2.gz TWGNTKVYTYFUBR-SNVBAGLBSA-N 1 2 311.407 1.591 20 30 DDEDLO CC(=[NH+]OCC(N)=O)c1ccc(N2CCOCC2)cc1Cl ZINC000771575809 701324189 /nfs/dbraw/zinc/32/41/89/701324189.db2.gz KVEHKYQDIBHJFZ-UHFFFAOYSA-N 1 2 311.769 1.403 20 30 DDEDLO C[C@H](CC#N)N(C)S(=O)(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC000867794221 701753620 /nfs/dbraw/zinc/75/36/20/701753620.db2.gz FXCLVMOGJZDTLR-IUODEOHRSA-N 1 2 322.434 1.241 20 30 DDEDLO C[C@H](CC#N)N(C)S(=O)(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC000867794221 701753624 /nfs/dbraw/zinc/75/36/24/701753624.db2.gz FXCLVMOGJZDTLR-IUODEOHRSA-N 1 2 322.434 1.241 20 30 DDEDLO CCN(CCO)c1ccc(C=[NH+]Nc2cnnn2C)c(C)c1 ZINC000814980689 701780123 /nfs/dbraw/zinc/78/01/23/701780123.db2.gz IZPSMCTUSVLDSX-UHFFFAOYSA-N 1 2 302.382 1.388 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc([C@H](C)C(=O)OC)c1 ZINC000839821214 701839212 /nfs/dbraw/zinc/83/92/12/701839212.db2.gz MWTGDAOWSHIPSM-BBRMVZONSA-N 1 2 314.385 1.999 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc([C@H](C)C(=O)OC)c1 ZINC000839821214 701839216 /nfs/dbraw/zinc/83/92/16/701839216.db2.gz MWTGDAOWSHIPSM-BBRMVZONSA-N 1 2 314.385 1.999 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@H](C)O[C@@]3(CCO[C@H]3C)C2)C1=O ZINC000840066197 701948714 /nfs/dbraw/zinc/94/87/14/701948714.db2.gz VCRQEOPTJWLEPT-DZKIICNBSA-N 1 2 309.410 1.136 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@H](C)O[C@@]3(CCO[C@H]3C)C2)C1=O ZINC000840066197 701948718 /nfs/dbraw/zinc/94/87/18/701948718.db2.gz VCRQEOPTJWLEPT-DZKIICNBSA-N 1 2 309.410 1.136 20 30 DDEDLO O=C(N[C@@H]1CCCN(O)C1=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000816755103 702180042 /nfs/dbraw/zinc/18/00/42/702180042.db2.gz SRYAFCLBLGYHRL-CQSZACIVSA-N 1 2 314.345 1.041 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000840767593 702232862 /nfs/dbraw/zinc/23/28/62/702232862.db2.gz WPTMATLDKNCIPI-SNVBAGLBSA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000840767593 702232866 /nfs/dbraw/zinc/23/28/66/702232866.db2.gz WPTMATLDKNCIPI-SNVBAGLBSA-N 1 2 313.741 1.833 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc(O)c(F)c1 ZINC000813334349 702330223 /nfs/dbraw/zinc/33/02/23/702330223.db2.gz GWNPGNBPMKBNBT-UHFFFAOYSA-N 1 2 307.369 1.579 20 30 DDEDLO COC(=O)/C(C)=C/C[NH+]1CCN(c2ccc(F)cc2C#N)CC1 ZINC000879589189 706729653 /nfs/dbraw/zinc/72/96/53/706729653.db2.gz QQGJZVSHUFZKKI-WLRTZDKTSA-N 1 2 317.364 1.939 20 30 DDEDLO C[C@H]1CN(c2cccc(Cl)c2)CC[N@@H+]1CC(=O)NCCC#N ZINC000846922006 703352472 /nfs/dbraw/zinc/35/24/72/703352472.db2.gz BMERUATYNJUHDA-ZDUSSCGKSA-N 1 2 320.824 1.880 20 30 DDEDLO C[C@H]1CN(c2cccc(Cl)c2)CC[N@H+]1CC(=O)NCCC#N ZINC000846922006 703352474 /nfs/dbraw/zinc/35/24/74/703352474.db2.gz BMERUATYNJUHDA-ZDUSSCGKSA-N 1 2 320.824 1.880 20 30 DDEDLO CCN(C)c1ccc(C[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000846954258 703356897 /nfs/dbraw/zinc/35/68/97/703356897.db2.gz HEKOINLDCQTMLN-UHFFFAOYSA-N 1 2 300.406 1.701 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc3nonc3c2)CC1 ZINC000846955439 703357160 /nfs/dbraw/zinc/35/71/60/703357160.db2.gz CYQCEAURBVRFJQ-UHFFFAOYSA-N 1 2 300.318 1.502 20 30 DDEDLO N#CCC(=O)N1CC[NH+](C[C@H](O)c2ccc(Cl)cc2)CC1 ZINC000846958289 703357533 /nfs/dbraw/zinc/35/75/33/703357533.db2.gz SCAJQPBYXATYFM-AWEZNQCLSA-N 1 2 307.781 1.431 20 30 DDEDLO COCCOc1ccc(C=NNCCCn2cc[nH+]c2)cc1 ZINC000848418495 703548089 /nfs/dbraw/zinc/54/80/89/703548089.db2.gz OKFOZYMBUBDEFA-UHFFFAOYSA-N 1 2 302.378 1.922 20 30 DDEDLO N#Cc1cccc(-c2nnc(NCCC[NH+]3CCOCC3)o2)c1 ZINC000849158120 703622711 /nfs/dbraw/zinc/62/27/11/703622711.db2.gz BGBLSVCUXKTEJH-UHFFFAOYSA-N 1 2 313.361 1.742 20 30 DDEDLO Cc1nsc(N2CC[NH+](C[C@H]3COC(C)(C)O3)CC2)c1C#N ZINC000852059950 703914612 /nfs/dbraw/zinc/91/46/12/703914612.db2.gz ADACTARLXDJNLH-LBPRGKRZSA-N 1 2 322.434 1.597 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](CCC(=O)N2CCCC2)C1 ZINC000852333259 704014907 /nfs/dbraw/zinc/01/49/07/704014907.db2.gz ISGXBXVKWKVBFE-GOSISDBHSA-N 1 2 312.417 1.951 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](CCC(=O)N2CCCC2)C1 ZINC000852333259 704014912 /nfs/dbraw/zinc/01/49/12/704014912.db2.gz ISGXBXVKWKVBFE-GOSISDBHSA-N 1 2 312.417 1.951 20 30 DDEDLO C=CC[N@H+](Cc1ccc(S(=O)(=O)N(C)C)o1)[C@@H](C)COC ZINC000852358294 704023343 /nfs/dbraw/zinc/02/33/43/704023343.db2.gz PGJUKNBXXFPJKL-LBPRGKRZSA-N 1 2 316.423 1.553 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(S(=O)(=O)N(C)C)o1)[C@@H](C)COC ZINC000852358294 704023347 /nfs/dbraw/zinc/02/33/47/704023347.db2.gz PGJUKNBXXFPJKL-LBPRGKRZSA-N 1 2 316.423 1.553 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](F)[C@H](NC(=O)OC(C)(C)C)C1 ZINC000852737824 704109653 /nfs/dbraw/zinc/10/96/53/704109653.db2.gz ICNHADHSPWUVPG-CHWSQXEVSA-N 1 2 300.374 1.573 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](F)[C@H](NC(=O)OC(C)(C)C)C1 ZINC000852737824 704109655 /nfs/dbraw/zinc/10/96/55/704109655.db2.gz ICNHADHSPWUVPG-CHWSQXEVSA-N 1 2 300.374 1.573 20 30 DDEDLO COc1ccc(NC[C@H](O)C[N@H+](C)CCC#N)c(OC)c1OC ZINC000819450193 704127226 /nfs/dbraw/zinc/12/72/26/704127226.db2.gz XAOJYGWPXNELBA-LBPRGKRZSA-N 1 2 323.393 1.331 20 30 DDEDLO COc1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)c(OC)c1OC ZINC000819450193 704127227 /nfs/dbraw/zinc/12/72/27/704127227.db2.gz XAOJYGWPXNELBA-LBPRGKRZSA-N 1 2 323.393 1.331 20 30 DDEDLO C[NH+](C)[C@H](C(=O)N[C@H]1CCN(O)C1=O)c1ccc(Cl)cc1 ZINC000820132296 704227147 /nfs/dbraw/zinc/22/71/47/704227147.db2.gz OWMPFOGHCDNJHE-RYUDHWBXSA-N 1 2 311.769 1.049 20 30 DDEDLO COc1nc(N)nc(N2CCCC2)c1C=[NH+]N1CCCC[C@@H]1C ZINC000853380662 704237473 /nfs/dbraw/zinc/23/74/73/704237473.db2.gz RIMWKFAPMCTXOP-LBPRGKRZSA-N 1 2 318.425 1.876 20 30 DDEDLO O=C(C#Cc1cccs1)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000854730784 704445399 /nfs/dbraw/zinc/44/53/99/704445399.db2.gz QQDDMNTUNIWADT-CQSZACIVSA-N 1 2 304.415 1.423 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H](CC)[N@@H+]1CCO[C@H](CC)C1)C1CCOCC1 ZINC000856099501 704513498 /nfs/dbraw/zinc/51/34/98/704513498.db2.gz CEUUFFKZUSMNKZ-IXDOHACOSA-N 1 2 322.449 1.420 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H](CC)[N@H+]1CCO[C@H](CC)C1)C1CCOCC1 ZINC000856099501 704513499 /nfs/dbraw/zinc/51/34/99/704513499.db2.gz CEUUFFKZUSMNKZ-IXDOHACOSA-N 1 2 322.449 1.420 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858017367 704660042 /nfs/dbraw/zinc/66/00/42/704660042.db2.gz OHBQYNAMDPOVJN-OAHLLOKOSA-N 1 2 314.389 1.442 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858017367 704660044 /nfs/dbraw/zinc/66/00/44/704660044.db2.gz OHBQYNAMDPOVJN-OAHLLOKOSA-N 1 2 314.389 1.442 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N(C)CCCc1[nH+]ccn1CCC ZINC000859035838 704789064 /nfs/dbraw/zinc/78/90/64/704789064.db2.gz KWMMDMXCFKDXFP-OAHLLOKOSA-N 1 2 320.437 1.905 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000859222924 704827382 /nfs/dbraw/zinc/82/73/82/704827382.db2.gz PBKKASNOOZCLLD-CABCVRRESA-N 1 2 312.373 1.858 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000859222924 704827384 /nfs/dbraw/zinc/82/73/84/704827384.db2.gz PBKKASNOOZCLLD-CABCVRRESA-N 1 2 312.373 1.858 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)C(=O)Nc2ccn3cc[nH+]c3c2)CC1 ZINC000874373922 705024892 /nfs/dbraw/zinc/02/48/92/705024892.db2.gz JLOPSKGKGMISKL-JOCQHMNTSA-N 1 2 310.357 1.581 20 30 DDEDLO C#CCOc1ccccc1C[NH+]1CCC(C#N)(C(=O)OC)CC1 ZINC000824861457 705544055 /nfs/dbraw/zinc/54/40/55/705544055.db2.gz LVSMIAQAPBDOME-UHFFFAOYSA-N 1 2 312.369 1.977 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000875924150 705561796 /nfs/dbraw/zinc/56/17/96/705561796.db2.gz OHDKVOUTAQVSSZ-ZNMIVQPWSA-N 1 2 304.394 1.514 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)N[C@@H]1Cc3c[nH+]cn3C1)C2 ZINC000876278898 705676935 /nfs/dbraw/zinc/67/69/35/705676935.db2.gz IPJJGFLSIZSKST-LSDHHAIUSA-N 1 2 307.357 1.146 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(-c3cnn(C)c3)o2)CC1 ZINC000825550120 705691524 /nfs/dbraw/zinc/69/15/24/705691524.db2.gz YNFSHDJJNKVPRL-UHFFFAOYSA-N 1 2 312.373 1.461 20 30 DDEDLO CCCn1nccc1C[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000862423035 705715055 /nfs/dbraw/zinc/71/50/55/705715055.db2.gz NKJHGOIACPETQW-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000826019105 705759109 /nfs/dbraw/zinc/75/91/09/705759109.db2.gz ZDTQFEPBRMZXCQ-TWMKSMIVSA-N 1 2 320.433 1.576 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)N2CC[C@@](O)(CC#N)C2)c1C ZINC000826030830 705760832 /nfs/dbraw/zinc/76/08/32/705760832.db2.gz SBOZDLNKGJJDBC-INIZCTEOSA-N 1 2 318.377 1.267 20 30 DDEDLO N#Cc1ccc2c(c1)CC[NH2+][C@@H]2C(=O)N1CCN(C2CCC2)CC1 ZINC000876764980 705865242 /nfs/dbraw/zinc/86/52/42/705865242.db2.gz PXIGGZACWQDOPW-SFHVURJKSA-N 1 2 324.428 1.442 20 30 DDEDLO N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)N1CC[NH+](C2CCC2)CC1 ZINC000876764980 705865244 /nfs/dbraw/zinc/86/52/44/705865244.db2.gz PXIGGZACWQDOPW-SFHVURJKSA-N 1 2 324.428 1.442 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[NH+]2CCN([C@H]3CCOC3)CC2)cc1 ZINC000827120917 705933925 /nfs/dbraw/zinc/93/39/25/705933925.db2.gz WLFSLZPFEMMSBH-RDJZCZTQSA-N 1 2 315.417 1.884 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](O)[C@@H](O)C2)c(C(F)(F)F)c1 ZINC000877750056 706198870 /nfs/dbraw/zinc/19/88/70/706198870.db2.gz GRLRNAZZFNDKEA-OLZOCXBDSA-N 1 2 300.280 1.505 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](O)[C@@H](O)C2)c(C(F)(F)F)c1 ZINC000877750056 706198871 /nfs/dbraw/zinc/19/88/71/706198871.db2.gz GRLRNAZZFNDKEA-OLZOCXBDSA-N 1 2 300.280 1.505 20 30 DDEDLO CC[N@H+](C[C@@H](C)C#N)C[C@H](O)c1cc(Br)no1 ZINC000878021088 706266430 /nfs/dbraw/zinc/26/64/30/706266430.db2.gz WHGAQYYXUGTPHP-IUCAKERBSA-N 1 2 302.172 1.952 20 30 DDEDLO CC[N@@H+](C[C@@H](C)C#N)C[C@H](O)c1cc(Br)no1 ZINC000878021088 706266434 /nfs/dbraw/zinc/26/64/34/706266434.db2.gz WHGAQYYXUGTPHP-IUCAKERBSA-N 1 2 302.172 1.952 20 30 DDEDLO C=CC[C@H](C(=O)OC)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000878130263 706302293 /nfs/dbraw/zinc/30/22/93/706302293.db2.gz HBLLWZPXPZYMGC-GOEBONIOSA-N 1 2 303.406 1.878 20 30 DDEDLO CC1(C)CC[C@H](CN(CCC#N)CC[NH+]2CCOCC2)OC1 ZINC000878159625 706310139 /nfs/dbraw/zinc/31/01/39/706310139.db2.gz PBHAKBJCFTUSOG-MRXNPFEDSA-N 1 2 309.454 1.739 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)/C=C/C[NH+]1CCOCC1)C2 ZINC000829485938 706343208 /nfs/dbraw/zinc/34/32/08/706343208.db2.gz NBDDVJXQCJRTRS-IMLGJKEDSA-N 1 2 311.385 1.030 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCc2ncncc2C1 ZINC000880595115 707032917 /nfs/dbraw/zinc/03/29/17/707032917.db2.gz LPZICVODFXFXBU-UHFFFAOYSA-N 1 2 310.357 1.794 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCc2ncncc2C1 ZINC000880595115 707032920 /nfs/dbraw/zinc/03/29/20/707032920.db2.gz LPZICVODFXFXBU-UHFFFAOYSA-N 1 2 310.357 1.794 20 30 DDEDLO N#CC1(C(=O)N2CCC(Nc3cccc[nH+]3)CC2)CCOCC1 ZINC000927094424 712941920 /nfs/dbraw/zinc/94/19/20/712941920.db2.gz WCMDKOMNWXAZPI-UHFFFAOYSA-N 1 2 314.389 1.805 20 30 DDEDLO CCOC(=O)C=Cc1ccc(C=NNc2[nH+]ccn2C)n1C ZINC000872370242 707392727 /nfs/dbraw/zinc/39/27/27/707392727.db2.gz WBELZVOHYPFUIP-BQNNMCLFSA-N 1 2 301.350 1.781 20 30 DDEDLO C=C(Cl)CNS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000881907958 707419085 /nfs/dbraw/zinc/41/90/85/707419085.db2.gz IWKBKDCNUCUJSF-UHFFFAOYSA-N 1 2 300.811 1.705 20 30 DDEDLO C=C(Cl)CNS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000881907958 707419087 /nfs/dbraw/zinc/41/90/87/707419087.db2.gz IWKBKDCNUCUJSF-UHFFFAOYSA-N 1 2 300.811 1.705 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C)cc2C#N)C[C@@H]1C ZINC000872466214 707428085 /nfs/dbraw/zinc/42/80/85/707428085.db2.gz ADNFSPPVXFLLBB-UONOGXRCSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C)cc2C#N)C[C@@H]1C ZINC000872466214 707428087 /nfs/dbraw/zinc/42/80/87/707428087.db2.gz ADNFSPPVXFLLBB-UONOGXRCSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccc(C)cc1C#N ZINC000872492403 707443730 /nfs/dbraw/zinc/44/37/30/707443730.db2.gz FTIBMRVFFHOTCT-OAHLLOKOSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccc(C)cc1C#N ZINC000872492403 707443734 /nfs/dbraw/zinc/44/37/34/707443734.db2.gz FTIBMRVFFHOTCT-OAHLLOKOSA-N 1 2 321.446 1.972 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)NCc1c[nH+]c(C)cc1C ZINC000836392733 707452309 /nfs/dbraw/zinc/45/23/09/707452309.db2.gz NWIAUOLDVPXJES-UHFFFAOYSA-N 1 2 311.407 1.098 20 30 DDEDLO C#CCOc1ccc(CNC(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000836408920 707456117 /nfs/dbraw/zinc/45/61/17/707456117.db2.gz DDXSELAPRIHGLL-HNNXBMFYSA-N 1 2 324.384 1.709 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[N@@H+](C)C(C)(C)C1 ZINC000882350403 707595992 /nfs/dbraw/zinc/59/59/92/707595992.db2.gz KVZCSOHEAPTRRA-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[N@H+](C)C(C)(C)C1 ZINC000882350403 707595997 /nfs/dbraw/zinc/59/59/97/707595997.db2.gz KVZCSOHEAPTRRA-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2sccc2-n2ccnn2)CC1 ZINC000837382231 707633048 /nfs/dbraw/zinc/63/30/48/707633048.db2.gz UZKLFPHNNHJTAS-UHFFFAOYSA-N 1 2 315.402 1.110 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NC[C@@H]2CCCN(CC#N)C2)c[nH+]1 ZINC000896629933 708102117 /nfs/dbraw/zinc/10/21/17/708102117.db2.gz IREURYXUEQOYBT-AWEZNQCLSA-N 1 2 303.410 1.278 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CCC)Cc1ccccc1 ZINC000884052231 708118908 /nfs/dbraw/zinc/11/89/08/708118908.db2.gz IKULTRYTFRYAFY-HNNXBMFYSA-N 1 2 304.390 1.872 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H](C)c1ccccc1C ZINC000884076412 708128652 /nfs/dbraw/zinc/12/86/52/708128652.db2.gz CBIPNHGQWGXYEW-HIFRSBDPSA-N 1 2 304.390 1.961 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@@H]1c1cccc(F)c1 ZINC000884106212 708141854 /nfs/dbraw/zinc/14/18/54/708141854.db2.gz KIPIEEAIGFXCNJ-HZSPNIEDSA-N 1 2 306.337 1.244 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CSc2ccccc21 ZINC000884136739 708156156 /nfs/dbraw/zinc/15/61/56/708156156.db2.gz TYJDQSLNOYJZBN-NWDGAFQWSA-N 1 2 306.387 1.396 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1oc2ccccc2c1C ZINC000884142150 708158514 /nfs/dbraw/zinc/15/85/14/708158514.db2.gz SDNBJZQPGDQBQH-ZDUSSCGKSA-N 1 2 316.357 1.804 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)Oc1ccc(F)cc1 ZINC000884155797 708164000 /nfs/dbraw/zinc/16/40/00/708164000.db2.gz LDHMMIBQFILJGX-RISCZKNCSA-N 1 2 324.352 1.156 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)Sc1ccccc1 ZINC000884155691 708164188 /nfs/dbraw/zinc/16/41/88/708164188.db2.gz IICGCFCCUAJURX-OCCSQVGLSA-N 1 2 322.430 1.730 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2c(F)ccc(F)c2C1 ZINC000884164582 708167589 /nfs/dbraw/zinc/16/75/89/708167589.db2.gz KULRREUARDRDFE-AWEZNQCLSA-N 1 2 324.327 1.296 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1Cc2ccccc2OC[C@@H]1C ZINC000884331927 708248827 /nfs/dbraw/zinc/24/88/27/708248827.db2.gz APEMSGXRFWUUBS-JSGCOSHPSA-N 1 2 318.373 1.243 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2ccccc2F)CCC1 ZINC000884375049 708269221 /nfs/dbraw/zinc/26/92/21/708269221.db2.gz KTIRMKDHYCDPPR-AWEZNQCLSA-N 1 2 320.364 1.768 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)C[C@@]3(CCSC3)C2=O)CC1 ZINC000884478918 708311741 /nfs/dbraw/zinc/31/17/41/708311741.db2.gz PSWHBQPOGZSMTQ-OAHLLOKOSA-N 1 2 324.446 1.229 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)N[C@](C)(C(C)(C)C)C2=O)CC1 ZINC000884479784 708312384 /nfs/dbraw/zinc/31/23/84/708312384.db2.gz RQKKWUSKAHWWJW-INIZCTEOSA-N 1 2 323.437 1.704 20 30 DDEDLO C#CC[C@H]1CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000884593615 708334824 /nfs/dbraw/zinc/33/48/24/708334824.db2.gz ORCBGHACTLNXGR-LSDHHAIUSA-N 1 2 300.406 1.535 20 30 DDEDLO C#CC[C@H]1CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000884593615 708334827 /nfs/dbraw/zinc/33/48/27/708334827.db2.gz ORCBGHACTLNXGR-LSDHHAIUSA-N 1 2 300.406 1.535 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(COC)cc2)C1 ZINC000885511072 708562393 /nfs/dbraw/zinc/56/23/93/708562393.db2.gz BACIOZPYIFBFDH-OAHLLOKOSA-N 1 2 322.430 1.209 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(COC)cc2)C1 ZINC000885511072 708562396 /nfs/dbraw/zinc/56/23/96/708562396.db2.gz BACIOZPYIFBFDH-OAHLLOKOSA-N 1 2 322.430 1.209 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc3c(c2)CCC3)C1 ZINC000885513973 708563496 /nfs/dbraw/zinc/56/34/96/708563496.db2.gz LULOLJPVYJOHNT-MRXNPFEDSA-N 1 2 318.442 1.551 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc3c(c2)CCC3)C1 ZINC000885513973 708563500 /nfs/dbraw/zinc/56/35/00/708563500.db2.gz LULOLJPVYJOHNT-MRXNPFEDSA-N 1 2 318.442 1.551 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)CC1(C#N)CCC1)[C@H]1CCS(=O)(=O)C1 ZINC000886064785 708691086 /nfs/dbraw/zinc/69/10/86/708691086.db2.gz ILKXRKLDXLBUFE-KBPBESRZSA-N 1 2 312.435 1.106 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)CC1(C#N)CCC1)[C@H]1CCS(=O)(=O)C1 ZINC000886064785 708691087 /nfs/dbraw/zinc/69/10/87/708691087.db2.gz ILKXRKLDXLBUFE-KBPBESRZSA-N 1 2 312.435 1.106 20 30 DDEDLO C[N@@H+](Cc1ncnn1CC(F)F)C[C@H](O)CC1(C#N)CCC1 ZINC000886176411 708710157 /nfs/dbraw/zinc/71/01/57/708710157.db2.gz BFXOQUFXDAJBRT-LLVKDONJSA-N 1 2 313.352 1.420 20 30 DDEDLO C[N@H+](Cc1ncnn1CC(F)F)C[C@H](O)CC1(C#N)CCC1 ZINC000886176411 708710158 /nfs/dbraw/zinc/71/01/58/708710158.db2.gz BFXOQUFXDAJBRT-LLVKDONJSA-N 1 2 313.352 1.420 20 30 DDEDLO CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000886468453 708764966 /nfs/dbraw/zinc/76/49/66/708764966.db2.gz AGGBHEVTDJEZFA-CYBMUJFWSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000886468453 708764967 /nfs/dbraw/zinc/76/49/67/708764967.db2.gz AGGBHEVTDJEZFA-CYBMUJFWSA-N 1 2 311.382 1.412 20 30 DDEDLO C#CCN(C(=O)c1ccc([N+](=O)[O-])cc1O)C1CC[NH+](C)CC1 ZINC000886578774 708780280 /nfs/dbraw/zinc/78/02/80/708780280.db2.gz LANXWRINSWMLGL-UHFFFAOYSA-N 1 2 317.345 1.470 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCc2nc(C#N)cs2)[nH]n1 ZINC000887977233 709184564 /nfs/dbraw/zinc/18/45/64/709184564.db2.gz KMVADSPNSWQFQV-LBPRGKRZSA-N 1 2 316.390 1.435 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)NCc2nc(C#N)cs2)[nH]n1 ZINC000887977233 709184568 /nfs/dbraw/zinc/18/45/68/709184568.db2.gz KMVADSPNSWQFQV-LBPRGKRZSA-N 1 2 316.390 1.435 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000900028107 709271304 /nfs/dbraw/zinc/27/13/04/709271304.db2.gz CUOFAVXMOUUSQU-INIZCTEOSA-N 1 2 309.373 1.361 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1cc(C#N)ccc1F ZINC000888370847 709289933 /nfs/dbraw/zinc/28/99/33/709289933.db2.gz UGQHGDSHZQDOIC-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Nc1ccc(C#N)cn1 ZINC000889235928 709465829 /nfs/dbraw/zinc/46/58/29/709465829.db2.gz PKTFPKAZMBBNDK-TZMCWYRMSA-N 1 2 315.377 1.280 20 30 DDEDLO C#CCOc1cccc(NC(=O)/C=C(\C)C[NH+]2CCOCC2)c1 ZINC000900627581 709684651 /nfs/dbraw/zinc/68/46/51/709684651.db2.gz WHQPEUQZEGEJTD-NTCAYCPXSA-N 1 2 314.385 1.916 20 30 DDEDLO C=CC(C)(C)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000891266487 710145272 /nfs/dbraw/zinc/14/52/72/710145272.db2.gz OHUNEXISCVXSEL-ZDUSSCGKSA-N 1 2 306.410 1.564 20 30 DDEDLO C=CC(C)(C)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000891266487 710145274 /nfs/dbraw/zinc/14/52/74/710145274.db2.gz OHUNEXISCVXSEL-ZDUSSCGKSA-N 1 2 306.410 1.564 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(C)ccc2C)C1 ZINC000891606121 710246593 /nfs/dbraw/zinc/24/65/93/710246593.db2.gz MCEOHPDIAPFMJM-OAHLLOKOSA-N 1 2 313.401 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(C)ccc2C)C1 ZINC000891606121 710246594 /nfs/dbraw/zinc/24/65/94/710246594.db2.gz MCEOHPDIAPFMJM-OAHLLOKOSA-N 1 2 313.401 1.456 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3ccc(C#N)cc3CO)CC2)on1 ZINC000891618011 710249812 /nfs/dbraw/zinc/24/98/12/710249812.db2.gz LLBHWAVZCAMNAC-UHFFFAOYSA-N 1 2 312.373 1.669 20 30 DDEDLO Cc1cc(C#N)ccc1N1CC[NH+]([C@@H](C)C(=O)NC2CC2)CC1 ZINC000891652863 710257981 /nfs/dbraw/zinc/25/79/81/710257981.db2.gz FPMBUYWXKQQOJM-AWEZNQCLSA-N 1 2 312.417 1.656 20 30 DDEDLO CN(C)c1cc(N2CCO[C@@H](c3cccc(C#N)c3)C2)nc[nH+]1 ZINC000893028963 710544020 /nfs/dbraw/zinc/54/40/20/710544020.db2.gz CPZNUWWURVQPFH-OAHLLOKOSA-N 1 2 309.373 1.992 20 30 DDEDLO CN(C)c1cc(N2CCO[C@@H](c3cccc(C#N)c3)C2)[nH+]cn1 ZINC000893028963 710544022 /nfs/dbraw/zinc/54/40/22/710544022.db2.gz CPZNUWWURVQPFH-OAHLLOKOSA-N 1 2 309.373 1.992 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2nnc(-c3ccns3)o2)C1 ZINC000902968175 710978719 /nfs/dbraw/zinc/97/87/19/710978719.db2.gz YUFXHQAAMFPKCJ-JTQLQIEISA-N 1 2 317.374 1.021 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2nnc(-c3ccns3)o2)C1 ZINC000902968175 710978722 /nfs/dbraw/zinc/97/87/22/710978722.db2.gz YUFXHQAAMFPKCJ-JTQLQIEISA-N 1 2 317.374 1.021 20 30 DDEDLO C#CC[C@H]([NH2+][C@H]1CC[C@H](CNC(=O)OC(C)(C)C)C1)C(=O)OC ZINC000894858520 711299276 /nfs/dbraw/zinc/29/92/76/711299276.db2.gz LBVGHXDMGAHVRJ-IHRRRGAJSA-N 1 2 324.421 1.834 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000928636801 713244374 /nfs/dbraw/zinc/24/43/74/713244374.db2.gz VUNLKLYMCXLOSR-UNGSAITNSA-N 1 2 319.449 1.933 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1cccc(F)c1C#N ZINC000928645515 713248532 /nfs/dbraw/zinc/24/85/32/713248532.db2.gz YGCZMSVMIIZUBW-LBPRGKRZSA-N 1 2 305.353 1.538 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2sccc2Br)CCCN1O ZINC000895161949 711437927 /nfs/dbraw/zinc/43/79/27/711437927.db2.gz VUSGWWKTCZJQBP-QMMMGPOBSA-N 1 2 305.197 1.980 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)N(CC(=O)N(C)C)CC(F)(F)F ZINC000929259927 713577435 /nfs/dbraw/zinc/57/74/35/713577435.db2.gz RNGJSCXXDXSDNW-UHFFFAOYSA-N 1 2 321.343 1.140 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)Nc2nc(-c3cccs3)n[nH]2)CC1 ZINC000919967182 713643482 /nfs/dbraw/zinc/64/34/82/713643482.db2.gz WQFLLQJWLHYGNU-UHFFFAOYSA-N 1 2 315.402 1.817 20 30 DDEDLO COc1ccc(NC(=O)[C@@H]2CCC[N@@H+]2C[C@H](O)CC#N)cc1 ZINC000929876565 713711519 /nfs/dbraw/zinc/71/15/19/713711519.db2.gz CREXOVLYJZKJQS-HIFRSBDPSA-N 1 2 303.362 1.373 20 30 DDEDLO COc1ccc(NC(=O)[C@@H]2CCC[N@H+]2C[C@H](O)CC#N)cc1 ZINC000929876565 713711520 /nfs/dbraw/zinc/71/15/20/713711520.db2.gz CREXOVLYJZKJQS-HIFRSBDPSA-N 1 2 303.362 1.373 20 30 DDEDLO COC(=O)/C=C(\C)C[NH+]1CCN(c2ccc(F)cc2C#N)CC1 ZINC000931548819 714125233 /nfs/dbraw/zinc/12/52/33/714125233.db2.gz KPOZHFWUXQPONI-UKTHLTGXSA-N 1 2 317.364 1.939 20 30 DDEDLO COC(=O)/C=C1\SCC(=O)N1CCC[N@@H+]1CCC[C@@H](C#N)C1 ZINC000932151908 714272439 /nfs/dbraw/zinc/27/24/39/714272439.db2.gz OLSCOIHLSQRKJC-QENILXLESA-N 1 2 323.418 1.202 20 30 DDEDLO COC(=O)/C=C1\SCC(=O)N1CCC[N@H+]1CCC[C@@H](C#N)C1 ZINC000932151908 714272441 /nfs/dbraw/zinc/27/24/41/714272441.db2.gz OLSCOIHLSQRKJC-QENILXLESA-N 1 2 323.418 1.202 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C2C[C@H]3CSC[C@@H](C2)C3=O)C1 ZINC000923596149 714423441 /nfs/dbraw/zinc/42/34/41/714423441.db2.gz ZQDOZXOVAYSYSX-DKUMPPAJSA-N 1 2 320.458 1.159 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C2C[C@H]3CSC[C@@H](C2)C3=O)C1 ZINC000923596149 714423442 /nfs/dbraw/zinc/42/34/42/714423442.db2.gz ZQDOZXOVAYSYSX-DKUMPPAJSA-N 1 2 320.458 1.159 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCN(C)C[C@@H]1CC(C)C ZINC000933251370 714546776 /nfs/dbraw/zinc/54/67/76/714546776.db2.gz RVGFSSOPXMDVLK-CVEARBPZSA-N 1 2 308.470 1.657 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCN(C)C[C@@H]1CC(C)C ZINC000933251370 714546780 /nfs/dbraw/zinc/54/67/80/714546780.db2.gz RVGFSSOPXMDVLK-CVEARBPZSA-N 1 2 308.470 1.657 20 30 DDEDLO O=C1C=COC2(CC[NH+](Cc3cccnc3[N+](=O)[O-])CC2)C1 ZINC000933643815 714637483 /nfs/dbraw/zinc/63/74/83/714637483.db2.gz FSXMIJVYBXBUGR-UHFFFAOYSA-N 1 2 303.318 1.828 20 30 DDEDLO CC(C)S(=O)(=O)CCC[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000933651407 714638963 /nfs/dbraw/zinc/63/89/63/714638963.db2.gz IETITOQFVKNWPT-UHFFFAOYSA-N 1 2 315.435 1.537 20 30 DDEDLO C=CCCC[C@H]1NC(=O)N([C@@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000924719173 714648586 /nfs/dbraw/zinc/64/85/86/714648586.db2.gz XPTZBRJJWLFDGY-ZIAGYGMSSA-N 1 2 314.389 1.937 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC[C@H]2C2OCCO2)CCCCC1 ZINC000933906763 714698514 /nfs/dbraw/zinc/69/85/14/714698514.db2.gz HHLHNUAQFAKMEI-ZDUSSCGKSA-N 1 2 307.394 1.166 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC[C@H]2C2OCCO2)CCCCC1 ZINC000933906763 714698516 /nfs/dbraw/zinc/69/85/16/714698516.db2.gz HHLHNUAQFAKMEI-ZDUSSCGKSA-N 1 2 307.394 1.166 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000934511741 714839560 /nfs/dbraw/zinc/83/95/60/714839560.db2.gz YCRAUOOFJHIJCH-AWEZNQCLSA-N 1 2 300.358 1.884 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000934511741 714839562 /nfs/dbraw/zinc/83/95/62/714839562.db2.gz YCRAUOOFJHIJCH-AWEZNQCLSA-N 1 2 300.358 1.884 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCOC[C@@H]2CC2CCOCC2)C1=O ZINC000934636732 714867556 /nfs/dbraw/zinc/86/75/56/714867556.db2.gz RZNHLHVUYZMWHD-HOTGVXAUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCOC[C@@H]2CC2CCOCC2)C1=O ZINC000934636732 714867557 /nfs/dbraw/zinc/86/75/57/714867557.db2.gz RZNHLHVUYZMWHD-HOTGVXAUSA-N 1 2 308.422 1.291 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000935934983 715138816 /nfs/dbraw/zinc/13/88/16/715138816.db2.gz SWLDABCVOROGLY-HOCLYGCPSA-N 1 2 313.401 1.802 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2noc3c2C[C@H](C)CC3)C1 ZINC000957075472 715734938 /nfs/dbraw/zinc/73/49/38/715734938.db2.gz VGTJJRLOKCVBCI-CYBMUJFWSA-N 1 2 315.417 1.969 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(C(C)C)nc2C)C1 ZINC000957127518 715760245 /nfs/dbraw/zinc/76/02/45/715760245.db2.gz RHEGXKRDBCIVQK-UHFFFAOYSA-N 1 2 302.422 1.942 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(N3CCCC3)nn2)CC1 ZINC000957289291 715818488 /nfs/dbraw/zinc/81/84/88/715818488.db2.gz YKHRVXALJFYFQD-UHFFFAOYSA-N 1 2 301.394 1.021 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccccc2C(=O)N(C)C)CC1 ZINC000957365818 715862443 /nfs/dbraw/zinc/86/24/43/715862443.db2.gz YBGUQMCATQYVSC-UHFFFAOYSA-N 1 2 301.390 1.332 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(C)nn3cccnc23)C1 ZINC000957397362 715881240 /nfs/dbraw/zinc/88/12/40/715881240.db2.gz ZVQGTBGEUQGFHX-UHFFFAOYSA-N 1 2 311.389 1.207 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@H]1C[NH2+]Cc1nc(C2CC2)no1 ZINC000959924422 716357043 /nfs/dbraw/zinc/35/70/43/716357043.db2.gz KJKYTOPPQGZSEL-AAEUAGOBSA-N 1 2 317.393 1.577 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1c2ccccc2C[C@H]1NC(=O)c1cn[nH]n1 ZINC000960281573 716479298 /nfs/dbraw/zinc/47/92/98/716479298.db2.gz MVGWGYUIOHFDAZ-TZMCWYRMSA-N 1 2 317.780 1.543 20 30 DDEDLO CCn1ccc(C[N@H+](C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)n1 ZINC000960505498 716587700 /nfs/dbraw/zinc/58/77/00/716587700.db2.gz VXEVQLMRXWEFIR-HIFRSBDPSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)n1 ZINC000960505498 716587704 /nfs/dbraw/zinc/58/77/04/716587704.db2.gz VXEVQLMRXWEFIR-HIFRSBDPSA-N 1 2 303.410 1.485 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1cnnn1C ZINC000960826138 716705267 /nfs/dbraw/zinc/70/52/67/716705267.db2.gz JYNZVNXKZITNAN-WMLDXEAASA-N 1 2 319.453 1.840 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cnnn1C ZINC000960826138 716705270 /nfs/dbraw/zinc/70/52/70/716705270.db2.gz JYNZVNXKZITNAN-WMLDXEAASA-N 1 2 319.453 1.840 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[C@@H]2C[N@@H+](C)Cc2ccon2)c1 ZINC000960849874 716713460 /nfs/dbraw/zinc/71/34/60/716713460.db2.gz BWQWDXUOBCQSRW-QGZVFWFLSA-N 1 2 324.384 1.788 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[C@@H]2C[N@H+](C)Cc2ccon2)c1 ZINC000960849874 716713466 /nfs/dbraw/zinc/71/34/66/716713466.db2.gz BWQWDXUOBCQSRW-QGZVFWFLSA-N 1 2 324.384 1.788 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000940748076 716943280 /nfs/dbraw/zinc/94/32/80/716943280.db2.gz UTMLSLAYYDCZKC-XJKSGUPXSA-N 1 2 314.433 1.680 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000940748076 716943284 /nfs/dbraw/zinc/94/32/84/716943284.db2.gz UTMLSLAYYDCZKC-XJKSGUPXSA-N 1 2 314.433 1.680 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@H]1CCN(CC#N)CC1(C)C ZINC000940831266 716980686 /nfs/dbraw/zinc/98/06/86/716980686.db2.gz ITSQBRMSGSKRIF-HNNXBMFYSA-N 1 2 316.405 1.373 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(CC#N)CC1(C)C ZINC000941042068 717084988 /nfs/dbraw/zinc/08/49/88/717084988.db2.gz UXVRBCBAZMGBMJ-NFAWXSAZSA-N 1 2 315.421 1.264 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)CC2)C1 ZINC000941485485 717185398 /nfs/dbraw/zinc/18/53/98/717185398.db2.gz RQYLZSYHYCIHDF-IAGOWNOFSA-N 1 2 317.477 1.520 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H](C=C)c3ccccc3)CC2)C1 ZINC000941671283 717249601 /nfs/dbraw/zinc/24/96/01/717249601.db2.gz GVWQXTRIYCNXFT-IBGZPJMESA-N 1 2 323.440 1.418 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1cn[nH]n1 ZINC000942215447 717554298 /nfs/dbraw/zinc/55/42/98/717554298.db2.gz DKASUTBHMKGBAG-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1cn[nH]n1 ZINC000942215447 717554303 /nfs/dbraw/zinc/55/43/03/717554303.db2.gz DKASUTBHMKGBAG-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000942215447 717554306 /nfs/dbraw/zinc/55/43/06/717554306.db2.gz DKASUTBHMKGBAG-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000942215447 717554311 /nfs/dbraw/zinc/55/43/11/717554311.db2.gz DKASUTBHMKGBAG-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H]1[C@@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC000963382066 717768836 /nfs/dbraw/zinc/76/88/36/717768836.db2.gz AKSRMJXCVMMZIK-CABCVRRESA-N 1 2 315.421 1.074 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1[C@@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC000963382066 717768838 /nfs/dbraw/zinc/76/88/38/717768838.db2.gz AKSRMJXCVMMZIK-CABCVRRESA-N 1 2 315.421 1.074 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccon3)C[C@H]2C)c1 ZINC000942618408 717784504 /nfs/dbraw/zinc/78/45/04/717784504.db2.gz VLXCGKVBESYYJN-CXAGYDPISA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3ccon3)C[C@H]2C)c1 ZINC000942618408 717784508 /nfs/dbraw/zinc/78/45/08/717784508.db2.gz VLXCGKVBESYYJN-CXAGYDPISA-N 1 2 324.384 1.691 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965953904 717833009 /nfs/dbraw/zinc/83/30/09/717833009.db2.gz UYLZIEGBNCZZDU-HZMBPMFUSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965953904 717833013 /nfs/dbraw/zinc/83/30/13/717833013.db2.gz UYLZIEGBNCZZDU-HZMBPMFUSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965992311 717852016 /nfs/dbraw/zinc/85/20/16/717852016.db2.gz ZZGFIOXCUSBTHQ-SKDRFNHKSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965992311 717852020 /nfs/dbraw/zinc/85/20/20/717852020.db2.gz ZZGFIOXCUSBTHQ-SKDRFNHKSA-N 1 2 312.801 1.500 20 30 DDEDLO N#CCN1C[C@@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)CC[C@@H]2C1 ZINC000946571118 718837504 /nfs/dbraw/zinc/83/75/04/718837504.db2.gz SQAVTJFXAFBWKZ-OKILXGFUSA-N 1 2 301.394 1.036 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2cn[nH]n2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947484024 719180401 /nfs/dbraw/zinc/18/04/01/719180401.db2.gz GTLGFALTPSLKKC-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2cn[nH]n2)CC[N@H+]1Cc1ccccc1C#N ZINC000947484024 719180403 /nfs/dbraw/zinc/18/04/03/719180403.db2.gz GTLGFALTPSLKKC-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2cnn[nH]2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947484024 719180404 /nfs/dbraw/zinc/18/04/04/719180404.db2.gz GTLGFALTPSLKKC-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2cnn[nH]2)CC[N@H+]1Cc1ccccc1C#N ZINC000947484024 719180406 /nfs/dbraw/zinc/18/04/06/719180406.db2.gz GTLGFALTPSLKKC-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCN1CC#N ZINC000947572551 719215958 /nfs/dbraw/zinc/21/59/58/719215958.db2.gz PPXIWXXXNAZOSB-ZBFHGGJFSA-N 1 2 323.400 1.979 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCN1CC#N ZINC000947572548 719216045 /nfs/dbraw/zinc/21/60/45/719216045.db2.gz PPXIWXXXNAZOSB-GDBMZVCRSA-N 1 2 323.400 1.979 20 30 DDEDLO CN(C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)[C@H]1CCCN(CC#N)CC1 ZINC000948451578 719506102 /nfs/dbraw/zinc/50/61/02/719506102.db2.gz BNTCPBMPWSVUID-BFHYXJOUSA-N 1 2 301.394 1.350 20 30 DDEDLO CN(C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)[C@H]1CCCN(CC#N)CC1 ZINC000948451578 719506103 /nfs/dbraw/zinc/50/61/03/719506103.db2.gz BNTCPBMPWSVUID-BFHYXJOUSA-N 1 2 301.394 1.350 20 30 DDEDLO CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2c[nH+]ccc2N(C)C)C1 ZINC000968554901 719662580 /nfs/dbraw/zinc/66/25/80/719662580.db2.gz YKJJJMYUUQQSLI-ZBFHGGJFSA-N 1 2 314.433 1.611 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cncnc1C ZINC000948889952 719769002 /nfs/dbraw/zinc/76/90/02/719769002.db2.gz JNROUABMPDYSEE-SFHVURJKSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cncnc1C ZINC000948889952 719769006 /nfs/dbraw/zinc/76/90/06/719769006.db2.gz JNROUABMPDYSEE-SFHVURJKSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1n[nH]cc1F ZINC000948954028 719800271 /nfs/dbraw/zinc/80/02/71/719800271.db2.gz IQPKDOZHQALAMB-OAHLLOKOSA-N 1 2 312.348 1.511 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1n[nH]cc1F ZINC000948954028 719800277 /nfs/dbraw/zinc/80/02/77/719800277.db2.gz IQPKDOZHQALAMB-OAHLLOKOSA-N 1 2 312.348 1.511 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H]1OCC[C@H]1C ZINC000948962678 719807738 /nfs/dbraw/zinc/80/77/38/719807738.db2.gz RKHHPBSMDRNEAE-OLMNPRSZSA-N 1 2 312.413 1.760 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H]1OCC[C@H]1C ZINC000948962678 719807743 /nfs/dbraw/zinc/80/77/43/719807743.db2.gz RKHHPBSMDRNEAE-OLMNPRSZSA-N 1 2 312.413 1.760 20 30 DDEDLO C#CC[N@H+]1CCCCC[C@H]1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000968856671 719826333 /nfs/dbraw/zinc/82/63/33/719826333.db2.gz MFUJKVCGNKWHTK-LSDHHAIUSA-N 1 2 314.433 1.824 20 30 DDEDLO C#CC[N@@H+]1CCCCC[C@H]1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000968856671 719826341 /nfs/dbraw/zinc/82/63/41/719826341.db2.gz MFUJKVCGNKWHTK-LSDHHAIUSA-N 1 2 314.433 1.824 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2ccc(OC)nc2)CC1 ZINC000949241173 719970780 /nfs/dbraw/zinc/97/07/80/719970780.db2.gz KCDDJWYNANFQJQ-UHFFFAOYSA-N 1 2 301.390 1.190 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(C)o3)CC2)C1 ZINC000949291387 719996340 /nfs/dbraw/zinc/99/63/40/719996340.db2.gz AVFQPLBQVQHYRS-UHFFFAOYSA-N 1 2 302.374 1.528 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(C)o3)CC2)C1 ZINC000949291387 719996342 /nfs/dbraw/zinc/99/63/42/719996342.db2.gz AVFQPLBQVQHYRS-UHFFFAOYSA-N 1 2 302.374 1.528 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C[C@H]2CC[C@@H](C(F)(F)F)O2)CC1 ZINC000949406047 720067361 /nfs/dbraw/zinc/06/73/61/720067361.db2.gz HIKXNLHDAIWZST-NEPJUHHUSA-N 1 2 306.328 1.817 20 30 DDEDLO C=C(C)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000969667663 720242553 /nfs/dbraw/zinc/24/25/53/720242553.db2.gz LNWKWIHREYHNIE-JSGCOSHPSA-N 1 2 302.422 1.842 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+](CCc2cnn(CC)c2)CC1 ZINC000949667477 720253398 /nfs/dbraw/zinc/25/33/98/720253398.db2.gz YFXFALTYDOZYCV-UHFFFAOYSA-N 1 2 304.438 1.802 20 30 DDEDLO C=CCC[NH+]1CCN(C(=O)[C@H]2C[C@@H](C)Cc3c[nH]nc32)CC1 ZINC000949837601 720373914 /nfs/dbraw/zinc/37/39/14/720373914.db2.gz QOAWAVZKFYSQCL-ZFWWWQNUSA-N 1 2 302.422 1.796 20 30 DDEDLO CCN(C(=O)c1c[nH]c(C#N)c1)C1C[NH+](C[C@@H]2CC[C@@H](C)O2)C1 ZINC000949918676 720415727 /nfs/dbraw/zinc/41/57/27/720415727.db2.gz BBORCHJDURRDNH-WBMJQRKESA-N 1 2 316.405 1.600 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC000950051681 720468828 /nfs/dbraw/zinc/46/88/28/720468828.db2.gz QMMXUCUTOCSIGZ-QGZVFWFLSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnn3ncccc23)C1 ZINC000969855716 720535915 /nfs/dbraw/zinc/53/59/15/720535915.db2.gz VMJSMARPHHDGJR-NSHDSACASA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ncccc2NC(C)=O)C1 ZINC000950484745 720653336 /nfs/dbraw/zinc/65/33/36/720653336.db2.gz VYTFJMDGWANTIJ-UHFFFAOYSA-N 1 2 316.405 1.762 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(CC)nnc2C)C1 ZINC000950984470 720864257 /nfs/dbraw/zinc/86/42/57/720864257.db2.gz SSLIENBGWQVUKF-UHFFFAOYSA-N 1 2 300.406 1.517 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN2c2nccs2)C1 ZINC000950989529 720867019 /nfs/dbraw/zinc/86/70/19/720867019.db2.gz LRCLBCGGGGTTDF-AWEZNQCLSA-N 1 2 320.462 1.831 20 30 DDEDLO C=CC[NH+]1CC([C@H](C)NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC000970666661 720877460 /nfs/dbraw/zinc/87/74/60/720877460.db2.gz AAICZQQMYOLGJB-NEPJUHHUSA-N 1 2 302.422 1.771 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnn3cc[nH]c23)C1 ZINC000970791796 720937729 /nfs/dbraw/zinc/93/77/29/720937729.db2.gz VTURSXSLTCHTDY-JTQLQIEISA-N 1 2 307.785 1.465 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccn(CC(C)C)c2=O)CC1 ZINC000951214614 720960099 /nfs/dbraw/zinc/96/00/99/720960099.db2.gz IALRRZJOVABQAE-UHFFFAOYSA-N 1 2 303.406 1.448 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2CCC(F)(F)F)[nH]1 ZINC001038171949 732568995 /nfs/dbraw/zinc/56/89/95/732568995.db2.gz CJLCVIHAYVFVRJ-JTQLQIEISA-N 1 2 300.284 1.643 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2CCC(F)(F)F)[nH]1 ZINC001038171949 732569001 /nfs/dbraw/zinc/56/90/01/732569001.db2.gz CJLCVIHAYVFVRJ-JTQLQIEISA-N 1 2 300.284 1.643 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)CNc1ccc(C#N)cn1 ZINC001104259077 733072323 /nfs/dbraw/zinc/07/23/23/733072323.db2.gz XPTNPCSPJZGZNU-MRVWCRGKSA-N 1 2 324.388 1.644 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)CNc1ccc(C#N)cn1 ZINC001104259077 733072327 /nfs/dbraw/zinc/07/23/27/733072327.db2.gz XPTNPCSPJZGZNU-MRVWCRGKSA-N 1 2 324.388 1.644 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2nccs2)c1 ZINC001027812097 738688402 /nfs/dbraw/zinc/68/84/02/738688402.db2.gz DILAVSYDZOFOGA-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2CCC[N@H+]2Cc2nccs2)c1 ZINC001027812097 738688403 /nfs/dbraw/zinc/68/84/03/738688403.db2.gz DILAVSYDZOFOGA-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H]2[C@H](CCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001021679546 733436574 /nfs/dbraw/zinc/43/65/74/733436574.db2.gz OWRRLMHJWULQGD-ZBFHGGJFSA-N 1 2 302.422 1.841 20 30 DDEDLO C=CCC[N@H+]1CC[C@H]2[C@H](CCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001021679546 733436576 /nfs/dbraw/zinc/43/65/76/733436576.db2.gz OWRRLMHJWULQGD-ZBFHGGJFSA-N 1 2 302.422 1.841 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067501629 733477378 /nfs/dbraw/zinc/47/73/78/733477378.db2.gz LXBOKVAXGUPXPQ-UHFFFAOYSA-N 1 2 320.437 1.861 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccc(C)o3)[C@H]2C1 ZINC001083217678 733656754 /nfs/dbraw/zinc/65/67/54/733656754.db2.gz JWVAGCAJEOPUFW-YQQAZPJKSA-N 1 2 316.401 1.626 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccc(C)o3)[C@H]2C1 ZINC001083217678 733656756 /nfs/dbraw/zinc/65/67/56/733656756.db2.gz JWVAGCAJEOPUFW-YQQAZPJKSA-N 1 2 316.401 1.626 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccccc3F)[C@H]2C1 ZINC001083228615 734518321 /nfs/dbraw/zinc/51/83/21/734518321.db2.gz LKSLIXPJXYZSBL-RRQGHBQHSA-N 1 2 316.376 1.474 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccccc3F)[C@H]2C1 ZINC001083228615 734518323 /nfs/dbraw/zinc/51/83/23/734518323.db2.gz LKSLIXPJXYZSBL-RRQGHBQHSA-N 1 2 316.376 1.474 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)c2ccsc2)C1=O ZINC001038041992 734655217 /nfs/dbraw/zinc/65/52/17/734655217.db2.gz JSEQYVNQNOJREU-ZIAGYGMSSA-N 1 2 319.430 1.339 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)c2ccsc2)C1=O ZINC001038041992 734655218 /nfs/dbraw/zinc/65/52/18/734655218.db2.gz JSEQYVNQNOJREU-ZIAGYGMSSA-N 1 2 319.430 1.339 20 30 DDEDLO C#CCOCCC(=O)NCC1(Nc2cc[nH+]c(C)n2)CCCC1 ZINC001104407618 734713381 /nfs/dbraw/zinc/71/33/81/734713381.db2.gz ZNTFXRHNOOZTST-UHFFFAOYSA-N 1 2 316.405 1.666 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CCN(c3cc[nH+]c(C)n3)C2)c1 ZINC001058539664 734722001 /nfs/dbraw/zinc/72/20/01/734722001.db2.gz ISGSSPGOXRKDFE-OAHLLOKOSA-N 1 2 307.357 1.170 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001058548526 734738477 /nfs/dbraw/zinc/73/84/77/734738477.db2.gz QXBXUGZUDCLPTC-MCIONIFRSA-N 1 2 302.378 1.071 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(CC(C)C)c[nH]3)[C@H]2C1 ZINC001083249996 734814420 /nfs/dbraw/zinc/81/44/20/734814420.db2.gz MWZLVDBRTOXOPZ-DLBZAZTESA-N 1 2 315.417 1.372 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(CC(C)C)c[nH]3)[C@H]2C1 ZINC001083249996 734814422 /nfs/dbraw/zinc/81/44/22/734814422.db2.gz MWZLVDBRTOXOPZ-DLBZAZTESA-N 1 2 315.417 1.372 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(C(F)F)c3)[C@H]2C1 ZINC001083254121 734907322 /nfs/dbraw/zinc/90/73/22/734907322.db2.gz BOQZYLFPZBPNNV-LSDHHAIUSA-N 1 2 320.339 1.783 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(C(F)F)c3)[C@H]2C1 ZINC001083254121 734907324 /nfs/dbraw/zinc/90/73/24/734907324.db2.gz BOQZYLFPZBPNNV-LSDHHAIUSA-N 1 2 320.339 1.783 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC[C@H]1CCCCN1CC#N ZINC001024659182 736008368 /nfs/dbraw/zinc/00/83/68/736008368.db2.gz AFEOEKKRKJWLQU-MGPQQGTHSA-N 1 2 301.394 1.018 20 30 DDEDLO C#CCCCCC(=O)N1CCO[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111940149 736064777 /nfs/dbraw/zinc/06/47/77/736064777.db2.gz FWZQEIUDDMAGQA-HNNXBMFYSA-N 1 2 316.405 1.618 20 30 DDEDLO C[C@@H](NC(=O)c1cccc2[nH+]ccn21)[C@@H]1CCCN(CC#N)C1 ZINC001025481745 736715914 /nfs/dbraw/zinc/71/59/14/736715914.db2.gz KBRLEFIWNJXQJH-ZIAGYGMSSA-N 1 2 311.389 1.688 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)n3cccn3)[C@@H]2C1 ZINC001075568410 738333685 /nfs/dbraw/zinc/33/36/85/738333685.db2.gz ZXTZTVGBWMNWPH-HZSPNIEDSA-N 1 2 308.813 1.729 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)n3cccn3)[C@@H]2C1 ZINC001075568410 738333690 /nfs/dbraw/zinc/33/36/90/738333690.db2.gz ZXTZTVGBWMNWPH-HZSPNIEDSA-N 1 2 308.813 1.729 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]([N@@H+](C)Cc2cn(C)nn2)C1 ZINC001027522818 738374697 /nfs/dbraw/zinc/37/46/97/738374697.db2.gz CDXUBENEBLJNQR-CQSZACIVSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]([N@H+](C)Cc2cn(C)nn2)C1 ZINC001027522818 738374700 /nfs/dbraw/zinc/37/47/00/738374700.db2.gz CDXUBENEBLJNQR-CQSZACIVSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnn2[C@H](C)CC)C1 ZINC001107977967 751399656 /nfs/dbraw/zinc/39/96/56/751399656.db2.gz YKTFZOWAUJBLNP-RHSMWYFYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnn2[C@H](C)CC)C1 ZINC001107977967 751399663 /nfs/dbraw/zinc/39/96/63/751399663.db2.gz YKTFZOWAUJBLNP-RHSMWYFYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001028137468 739078786 /nfs/dbraw/zinc/07/87/86/739078786.db2.gz KNTADSUSOUBNLM-JTQLQIEISA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001028137468 739078790 /nfs/dbraw/zinc/07/87/90/739078790.db2.gz KNTADSUSOUBNLM-JTQLQIEISA-N 1 2 310.785 1.060 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001028240243 739214339 /nfs/dbraw/zinc/21/43/39/739214339.db2.gz KYTJLNNTHILYEU-MRXNPFEDSA-N 1 2 323.400 1.689 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001028240243 739214343 /nfs/dbraw/zinc/21/43/43/739214343.db2.gz KYTJLNNTHILYEU-MRXNPFEDSA-N 1 2 323.400 1.689 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1c2c(nn1C)CCC2 ZINC001028255208 739240456 /nfs/dbraw/zinc/24/04/56/739240456.db2.gz INJITGZPQWTLJY-LBPRGKRZSA-N 1 2 322.840 1.856 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1c2c(nn1C)CCC2 ZINC001028255208 739240459 /nfs/dbraw/zinc/24/04/59/739240459.db2.gz INJITGZPQWTLJY-LBPRGKRZSA-N 1 2 322.840 1.856 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3n2[C@@H](C)CCC3)C1 ZINC001035374760 751439233 /nfs/dbraw/zinc/43/92/33/751439233.db2.gz IXCCFCOAUKCRPG-KBPBESRZSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3n2[C@@H](C)CCC3)C1 ZINC001035374760 751439238 /nfs/dbraw/zinc/43/92/38/751439238.db2.gz IXCCFCOAUKCRPG-KBPBESRZSA-N 1 2 318.421 1.397 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCc2nncn2C1 ZINC001028333617 739368860 /nfs/dbraw/zinc/36/88/60/739368860.db2.gz PAQMJXZXHSYZEE-STQMWFEESA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCc2nncn2C1 ZINC001028333617 739368865 /nfs/dbraw/zinc/36/88/65/739368865.db2.gz PAQMJXZXHSYZEE-STQMWFEESA-N 1 2 323.828 1.174 20 30 DDEDLO Cc1nonc1C(=O)NC[C@@H]1CCC[N@@H+]1CC#Cc1ccccc1 ZINC001028348619 739384688 /nfs/dbraw/zinc/38/46/88/739384688.db2.gz SDULHZCYQPGBEF-INIZCTEOSA-N 1 2 324.384 1.624 20 30 DDEDLO Cc1nonc1C(=O)NC[C@@H]1CCC[N@H+]1CC#Cc1ccccc1 ZINC001028348619 739384692 /nfs/dbraw/zinc/38/46/92/739384692.db2.gz SDULHZCYQPGBEF-INIZCTEOSA-N 1 2 324.384 1.624 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccn(C3CCCC3)n2)C1 ZINC001035387240 751457148 /nfs/dbraw/zinc/45/71/48/751457148.db2.gz ZDILDMUVJBDRNK-HNNXBMFYSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccn(C3CCCC3)n2)C1 ZINC001035387240 751457149 /nfs/dbraw/zinc/45/71/49/751457149.db2.gz ZDILDMUVJBDRNK-HNNXBMFYSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001059093045 739913212 /nfs/dbraw/zinc/91/32/12/739913212.db2.gz SEPQRTDRHJJLDU-ZNMIVQPWSA-N 1 2 316.405 1.779 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)ccnc2OCC)C1 ZINC001035457006 751504650 /nfs/dbraw/zinc/50/46/50/751504650.db2.gz CJAGRCPCDRYAHL-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)ccnc2OCC)C1 ZINC001035457006 751504652 /nfs/dbraw/zinc/50/46/52/751504652.db2.gz CJAGRCPCDRYAHL-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccnc3ccccc32)C1 ZINC001035435303 751513047 /nfs/dbraw/zinc/51/30/47/751513047.db2.gz NZIHTJPXVHIEGG-CQSZACIVSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccnc3ccccc32)C1 ZINC001035435303 751513051 /nfs/dbraw/zinc/51/30/51/751513051.db2.gz NZIHTJPXVHIEGG-CQSZACIVSA-N 1 2 311.385 1.851 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cncc(CC)c2)C1 ZINC001035447732 751524829 /nfs/dbraw/zinc/52/48/29/751524829.db2.gz KANFRVCTZFXSQI-INIZCTEOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cncc(CC)c2)C1 ZINC001035447732 751524834 /nfs/dbraw/zinc/52/48/34/751524834.db2.gz KANFRVCTZFXSQI-INIZCTEOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001035468413 751553004 /nfs/dbraw/zinc/55/30/04/751553004.db2.gz GMRXHYZWSHCSBS-CYBMUJFWSA-N 1 2 305.378 1.021 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001035468413 751553010 /nfs/dbraw/zinc/55/30/10/751553010.db2.gz GMRXHYZWSHCSBS-CYBMUJFWSA-N 1 2 305.378 1.021 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098703769 740655133 /nfs/dbraw/zinc/65/51/33/740655133.db2.gz AXKJQMWUCRYOAV-UKRRQHHQSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)NC ZINC001029456453 740835358 /nfs/dbraw/zinc/83/53/58/740835358.db2.gz DNLWVUYIFWCTGI-ZJOBFFGXSA-N 1 2 307.438 1.398 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@@H](C)C(=O)NC ZINC001029456453 740835362 /nfs/dbraw/zinc/83/53/62/740835362.db2.gz DNLWVUYIFWCTGI-ZJOBFFGXSA-N 1 2 307.438 1.398 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3(C)CC=CC3)C2)nn1 ZINC001098715962 740973082 /nfs/dbraw/zinc/97/30/82/740973082.db2.gz MPVSTPIWWJMDFB-OAHLLOKOSA-N 1 2 313.405 1.131 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@]2(C1)CCC[N@H+](Cc1cnns1)C2 ZINC001040127803 741393612 /nfs/dbraw/zinc/39/36/12/741393612.db2.gz VZOOVXSYSKCYLX-WFASDCNBSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@]2(C1)CCC[N@@H+](Cc1cnns1)C2 ZINC001040127803 741393616 /nfs/dbraw/zinc/39/36/16/741393616.db2.gz VZOOVXSYSKCYLX-WFASDCNBSA-N 1 2 319.434 1.512 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnn(CC(C)C)c2)C1 ZINC001035557235 751638429 /nfs/dbraw/zinc/63/84/29/751638429.db2.gz HJMKCSZPXBATII-OAHLLOKOSA-N 1 2 306.410 1.156 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnn(CC(C)C)c2)C1 ZINC001035557235 751638436 /nfs/dbraw/zinc/63/84/36/751638436.db2.gz HJMKCSZPXBATII-OAHLLOKOSA-N 1 2 306.410 1.156 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(C[N@H+](C)Cc2cnsn2)CC1 ZINC001029946629 741636278 /nfs/dbraw/zinc/63/62/78/741636278.db2.gz QMIJGCNYORUIDH-LLVKDONJSA-N 1 2 307.423 1.368 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(C[N@@H+](C)Cc2cnsn2)CC1 ZINC001029946629 741636279 /nfs/dbraw/zinc/63/62/79/741636279.db2.gz QMIJGCNYORUIDH-LLVKDONJSA-N 1 2 307.423 1.368 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001059747655 741671979 /nfs/dbraw/zinc/67/19/79/741671979.db2.gz NYXQBVKZWXLRCU-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001075888072 741750729 /nfs/dbraw/zinc/75/07/29/741750729.db2.gz RPSTWAIITASJBW-YNEHKIRRSA-N 1 2 304.394 1.490 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001075888072 741750730 /nfs/dbraw/zinc/75/07/30/741750730.db2.gz RPSTWAIITASJBW-YNEHKIRRSA-N 1 2 304.394 1.490 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2sccc2OC)C1 ZINC001035582539 751674671 /nfs/dbraw/zinc/67/46/71/751674671.db2.gz FRRLAHDCLLXZFJ-GFCCVEGCSA-N 1 2 308.403 1.211 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2sccc2OC)C1 ZINC001035582539 751674673 /nfs/dbraw/zinc/67/46/73/751674673.db2.gz FRRLAHDCLLXZFJ-GFCCVEGCSA-N 1 2 308.403 1.211 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2c(C)nsc2C)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212039243 741949901 /nfs/dbraw/zinc/94/99/01/741949901.db2.gz HMPYHMJCUJFZOD-CUOATXAZSA-N 1 2 322.434 1.235 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2c(C)nsc2C)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212039243 741949905 /nfs/dbraw/zinc/94/99/05/741949905.db2.gz HMPYHMJCUJFZOD-CUOATXAZSA-N 1 2 322.434 1.235 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccccc2N(C)C)C1 ZINC001035618873 751689791 /nfs/dbraw/zinc/68/97/91/751689791.db2.gz NUBHUPYWOZSKEF-CQSZACIVSA-N 1 2 303.406 1.369 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccccc2N(C)C)C1 ZINC001035618873 751689794 /nfs/dbraw/zinc/68/97/94/751689794.db2.gz NUBHUPYWOZSKEF-CQSZACIVSA-N 1 2 303.406 1.369 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C)c2C2CC2)C1 ZINC001035618913 751689854 /nfs/dbraw/zinc/68/98/54/751689854.db2.gz QOQVFAXUEHKDOB-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn(C)c2C2CC2)C1 ZINC001035618913 751689858 /nfs/dbraw/zinc/68/98/58/751689858.db2.gz QOQVFAXUEHKDOB-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N(C)CCN(C)c1cc[nH+]c(C)n1 ZINC001105512695 742098646 /nfs/dbraw/zinc/09/86/46/742098646.db2.gz XKJHWFPQQNPTMD-KRWDZBQOSA-N 1 2 320.437 1.908 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3n(c2)CCCC3)C1 ZINC001035625299 751696435 /nfs/dbraw/zinc/69/64/35/751696435.db2.gz NSBCOAMJHKURDL-INIZCTEOSA-N 1 2 303.406 1.441 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc3n(c2)CCCC3)C1 ZINC001035625299 751696437 /nfs/dbraw/zinc/69/64/37/751696437.db2.gz NSBCOAMJHKURDL-INIZCTEOSA-N 1 2 303.406 1.441 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnc(C(C)C)s2)C1 ZINC001035630497 751702184 /nfs/dbraw/zinc/70/21/84/751702184.db2.gz NLLCRJUNTYGHTN-CYBMUJFWSA-N 1 2 321.446 1.720 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnc(C(C)C)s2)C1 ZINC001035630497 751702188 /nfs/dbraw/zinc/70/21/88/751702188.db2.gz NLLCRJUNTYGHTN-CYBMUJFWSA-N 1 2 321.446 1.720 20 30 DDEDLO Cc1nn(C)cc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038112825 742217834 /nfs/dbraw/zinc/21/78/34/742217834.db2.gz JMIWYUURKCIFBS-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1nn(C)cc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038112825 742217838 /nfs/dbraw/zinc/21/78/38/742217838.db2.gz JMIWYUURKCIFBS-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)(C)C)cn2)[C@@H](O)C1 ZINC001083470332 742259303 /nfs/dbraw/zinc/25/93/03/742259303.db2.gz LWIUDYMBQMTKGP-CVEARBPZSA-N 1 2 315.417 1.177 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)(C)C)cn2)[C@@H](O)C1 ZINC001083470332 742259304 /nfs/dbraw/zinc/25/93/04/742259304.db2.gz LWIUDYMBQMTKGP-CVEARBPZSA-N 1 2 315.417 1.177 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](C)CCCNc1cc[nH+]c(C)n1 ZINC001114917689 751714671 /nfs/dbraw/zinc/71/46/71/751714671.db2.gz KJYQCPNZQPKGAF-BLLLJJGKSA-N 1 2 306.410 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3n[nH]c4c3CCC4)[C@@H]2C1 ZINC001075980481 742309018 /nfs/dbraw/zinc/30/90/18/742309018.db2.gz SHLCETOCBQTSSG-SMDDNHRTSA-N 1 2 320.824 1.797 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3n[nH]c4c3CCC4)[C@@H]2C1 ZINC001075980481 742309019 /nfs/dbraw/zinc/30/90/19/742309019.db2.gz SHLCETOCBQTSSG-SMDDNHRTSA-N 1 2 320.824 1.797 20 30 DDEDLO Cc1c(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)cnn1C ZINC001038063054 742423118 /nfs/dbraw/zinc/42/31/18/742423118.db2.gz IBZPJFABOBTQPG-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1c(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)cnn1C ZINC001038063054 742423122 /nfs/dbraw/zinc/42/31/22/742423122.db2.gz IBZPJFABOBTQPG-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO C[C@H](CC(=O)N[C@@H](C)CCNC(=O)C#CC1CC1)n1cc[nH+]c1 ZINC001076431255 742728774 /nfs/dbraw/zinc/72/87/74/742728774.db2.gz AQPVCVLMYVOKNW-UONOGXRCSA-N 1 2 316.405 1.259 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)[C@H](C)n1cncn1)CC2 ZINC001035690390 751768908 /nfs/dbraw/zinc/76/89/08/751768908.db2.gz OCMPSOYSXNTFLO-ZDUSSCGKSA-N 1 2 323.828 1.516 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2CC(C)(C)C)[C@@H](O)C1 ZINC001083553823 742977051 /nfs/dbraw/zinc/97/70/51/742977051.db2.gz YWZKMOYUOPIWHX-SJORKVTESA-N 1 2 314.429 1.683 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2CC(C)(C)C)[C@@H](O)C1 ZINC001083553823 742977059 /nfs/dbraw/zinc/97/70/59/742977059.db2.gz YWZKMOYUOPIWHX-SJORKVTESA-N 1 2 314.429 1.683 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H](C)CCCC)C2)nn1 ZINC001098666875 742988867 /nfs/dbraw/zinc/98/88/67/742988867.db2.gz JOYJXUOYPGUSEU-GDBMZVCRSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@H](NC(=O)c3ccco3)C2)C1=O ZINC001006599696 751788495 /nfs/dbraw/zinc/78/84/95/751788495.db2.gz VLMURRALKDMIDW-UONOGXRCSA-N 1 2 317.389 1.261 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@H](NC(=O)c3ccco3)C2)C1=O ZINC001006599696 751788497 /nfs/dbraw/zinc/78/84/97/751788497.db2.gz VLMURRALKDMIDW-UONOGXRCSA-N 1 2 317.389 1.261 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001061095183 743183192 /nfs/dbraw/zinc/18/31/92/743183192.db2.gz KDUKYKDLYHGIGW-CABCVRRESA-N 1 2 324.388 1.111 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccnn2C(CC)CC)C1 ZINC001077130022 743262553 /nfs/dbraw/zinc/26/25/53/743262553.db2.gz MGCYOBWAADTDPS-GDBMZVCRSA-N 1 2 318.421 1.042 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccnn2C(CC)CC)C1 ZINC001077130022 743262560 /nfs/dbraw/zinc/26/25/60/743262560.db2.gz MGCYOBWAADTDPS-GDBMZVCRSA-N 1 2 318.421 1.042 20 30 DDEDLO Cc1cnc(C[NH+]2CCC(NC(=O)c3ccc(C#N)[nH]3)CC2)nc1 ZINC001002622129 743288976 /nfs/dbraw/zinc/28/89/76/743288976.db2.gz XTBAEBHIDWCWQT-UHFFFAOYSA-N 1 2 324.388 1.379 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2C(C)(C)CC)C1 ZINC001077195881 743314270 /nfs/dbraw/zinc/31/42/70/743314270.db2.gz SXGHOMGOUKAJLE-IAGOWNOFSA-N 1 2 314.429 1.782 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2C(C)(C)CC)C1 ZINC001077195881 743314279 /nfs/dbraw/zinc/31/42/79/743314279.db2.gz SXGHOMGOUKAJLE-IAGOWNOFSA-N 1 2 314.429 1.782 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCC23CC3)[C@H](OC)C1 ZINC001212455670 743331087 /nfs/dbraw/zinc/33/10/87/743331087.db2.gz UXGOYGVFNXXTIO-ARFHVFGLSA-N 1 2 320.433 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCC23CC3)[C@H](OC)C1 ZINC001212455670 743331097 /nfs/dbraw/zinc/33/10/97/743331097.db2.gz UXGOYGVFNXXTIO-ARFHVFGLSA-N 1 2 320.433 1.032 20 30 DDEDLO C=C(C)CCC(=O)NCC1(Nc2[nH+]cnc3c2cnn3C)CC1 ZINC001110247863 743354984 /nfs/dbraw/zinc/35/49/84/743354984.db2.gz IYKXYIHGKLBJNA-UHFFFAOYSA-N 1 2 314.393 1.780 20 30 DDEDLO CCOCC[NH+]1CC(OC2CCN(C(=O)C#CC(C)C)CC2)C1 ZINC001105707133 743605464 /nfs/dbraw/zinc/60/54/64/743605464.db2.gz ODTRLWSNEVLUKJ-UHFFFAOYSA-N 1 2 322.449 1.374 20 30 DDEDLO N#Cc1cccc2[nH]cc(CC(=O)N3CCc4[nH+]ccn4CC3)c21 ZINC001182581380 743669893 /nfs/dbraw/zinc/66/98/93/743669893.db2.gz KUISNHFFVDWSGS-UHFFFAOYSA-N 1 2 319.368 1.863 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](C)c2ccc(C(N)=O)cc2)CC1 ZINC001182788556 743726765 /nfs/dbraw/zinc/72/67/65/743726765.db2.gz WSIXCPZUHAPEBE-CYBMUJFWSA-N 1 2 301.390 1.219 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001060268230 743765225 /nfs/dbraw/zinc/76/52/25/743765225.db2.gz MXINQZOULALBRK-AWEZNQCLSA-N 1 2 324.388 1.134 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H](C)CCOC)CC2)C1 ZINC001105734243 743768628 /nfs/dbraw/zinc/76/86/28/743768628.db2.gz HNTWWGNQUSZSAG-HNNXBMFYSA-N 1 2 324.465 1.927 20 30 DDEDLO C[NH+]1CC(NC(=O)[C@@H]2CCCCN2Cc2ccccc2C#N)C1 ZINC001183838682 743916970 /nfs/dbraw/zinc/91/69/70/743916970.db2.gz KOUATFAZEZIAAY-KRWDZBQOSA-N 1 2 312.417 1.343 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001060313275 744022914 /nfs/dbraw/zinc/02/29/14/744022914.db2.gz SNRRSRVKZNLNBQ-HNNXBMFYSA-N 1 2 324.388 1.712 20 30 DDEDLO C[C@H]1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC[C@@H]1CNCC#N ZINC001184652541 744078597 /nfs/dbraw/zinc/07/85/97/744078597.db2.gz NDIGFZIWDROPKQ-QWHCGFSZSA-N 1 2 311.389 1.774 20 30 DDEDLO CCN(C(=O)CN(CCC#N)C(=O)OC(C)(C)C)C1C[NH+](C)C1 ZINC001184855582 744117312 /nfs/dbraw/zinc/11/73/12/744117312.db2.gz IKVHYPOVKDZPIX-UHFFFAOYSA-N 1 2 324.425 1.300 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nnc(C)o2)C1 ZINC001185036038 744148995 /nfs/dbraw/zinc/14/89/95/744148995.db2.gz XKUSCXPFLBUFBC-TZMCWYRMSA-N 1 2 304.394 1.823 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2OCCO[C@@H]2c2ccccc2)C1 ZINC001030469442 744182409 /nfs/dbraw/zinc/18/24/09/744182409.db2.gz HQFLIWMTZHTMGB-IAGOWNOFSA-N 1 2 316.401 1.520 20 30 DDEDLO C=CCOc1ccc(C(=O)NC2C[NH+](C[C@H](C)OC)C2)cc1 ZINC001030541462 744251357 /nfs/dbraw/zinc/25/13/57/744251357.db2.gz ORAZNCMOROHMTE-ZDUSSCGKSA-N 1 2 304.390 1.700 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2ccon2)[C@@H]1C ZINC001088868008 744293873 /nfs/dbraw/zinc/29/38/73/744293873.db2.gz FYRHBHIGYHBVGD-RZFFKMDDSA-N 1 2 305.378 1.345 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2ccon2)[C@@H]1C ZINC001088868008 744293874 /nfs/dbraw/zinc/29/38/74/744293874.db2.gz FYRHBHIGYHBVGD-RZFFKMDDSA-N 1 2 305.378 1.345 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCC1(Nc2ccc(C#N)nc2)CC1 ZINC001110377024 744354445 /nfs/dbraw/zinc/35/44/45/744354445.db2.gz SXRSYDQQDFNEMR-LBPRGKRZSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCC1(Nc2ccc(C#N)nc2)CC1 ZINC001110377024 744354448 /nfs/dbraw/zinc/35/44/48/744354448.db2.gz SXRSYDQQDFNEMR-LBPRGKRZSA-N 1 2 324.388 1.616 20 30 DDEDLO Cn1ccc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC(C)(C)C)[C@@H]3C2)n1 ZINC001187833030 744624455 /nfs/dbraw/zinc/62/44/55/744624455.db2.gz FQZKVDPSQFTQKW-GOEBONIOSA-N 1 2 314.433 1.502 20 30 DDEDLO Cn1ccc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC(C)(C)C)[C@@H]3C2)n1 ZINC001187833030 744624461 /nfs/dbraw/zinc/62/44/61/744624461.db2.gz FQZKVDPSQFTQKW-GOEBONIOSA-N 1 2 314.433 1.502 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001187882864 744632451 /nfs/dbraw/zinc/63/24/51/744632451.db2.gz YFTUUYOLFFASJV-QLFBSQMISA-N 1 2 317.389 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001187882864 744632454 /nfs/dbraw/zinc/63/24/54/744632454.db2.gz YFTUUYOLFFASJV-QLFBSQMISA-N 1 2 317.389 1.054 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nnc(CC)o2)C1 ZINC001188301337 744693240 /nfs/dbraw/zinc/69/32/40/744693240.db2.gz BMGDGLWLMWMFMQ-QWHCGFSZSA-N 1 2 322.409 1.476 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2nsc3ccc(C)cc32)[C@@H](O)C1 ZINC001083697943 744882025 /nfs/dbraw/zinc/88/20/25/744882025.db2.gz QYIGBHRGPMHQHJ-OLZOCXBDSA-N 1 2 315.398 1.013 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2nsc3ccc(C)cc32)[C@@H](O)C1 ZINC001083697943 744882026 /nfs/dbraw/zinc/88/20/26/744882026.db2.gz QYIGBHRGPMHQHJ-OLZOCXBDSA-N 1 2 315.398 1.013 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001189743545 744965930 /nfs/dbraw/zinc/96/59/30/744965930.db2.gz GMBACBQICBBURZ-INIZCTEOSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001189743545 744965936 /nfs/dbraw/zinc/96/59/36/744965936.db2.gz GMBACBQICBBURZ-INIZCTEOSA-N 1 2 302.422 1.646 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001189917158 745032012 /nfs/dbraw/zinc/03/20/12/745032012.db2.gz DSSFUUQRMXMPNS-WBMJQRKESA-N 1 2 323.393 1.043 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001189917158 745032018 /nfs/dbraw/zinc/03/20/18/745032018.db2.gz DSSFUUQRMXMPNS-WBMJQRKESA-N 1 2 323.393 1.043 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)cs2)C1 ZINC001189919004 745034882 /nfs/dbraw/zinc/03/48/82/745034882.db2.gz YAERMPXGFUIBAL-CJNGLKHVSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)cs2)C1 ZINC001189919004 745034889 /nfs/dbraw/zinc/03/48/89/745034889.db2.gz YAERMPXGFUIBAL-CJNGLKHVSA-N 1 2 323.462 1.811 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001190093879 745096598 /nfs/dbraw/zinc/09/65/98/745096598.db2.gz DDTBKPXSGCLTFZ-HIFRSBDPSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001190093879 745096604 /nfs/dbraw/zinc/09/66/04/745096604.db2.gz DDTBKPXSGCLTFZ-HIFRSBDPSA-N 1 2 305.378 1.054 20 30 DDEDLO C=C(C)CN1CC(NC(=O)C2([NH+]3CCOCC3)CCCC2)C1 ZINC001031036079 745161148 /nfs/dbraw/zinc/16/11/48/745161148.db2.gz RCOOETJYMAKSNQ-UHFFFAOYSA-N 1 2 307.438 1.008 20 30 DDEDLO C=CCOCC[N@@H+](C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190268860 745168448 /nfs/dbraw/zinc/16/84/48/745168448.db2.gz DLWDWIVGVKFAQY-MNOVXSKESA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@H+](C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190268860 745168449 /nfs/dbraw/zinc/16/84/49/745168449.db2.gz DLWDWIVGVKFAQY-MNOVXSKESA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@@H+](C)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001190268860 745168452 /nfs/dbraw/zinc/16/84/52/745168452.db2.gz DLWDWIVGVKFAQY-MNOVXSKESA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@H+](C)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001190268860 745168454 /nfs/dbraw/zinc/16/84/54/745168454.db2.gz DLWDWIVGVKFAQY-MNOVXSKESA-N 1 2 312.307 1.622 20 30 DDEDLO CC(C)(C(=O)N1C[C@@H]2CCC[C@H](NCC#N)[C@H]2C1)c1c[nH+]c[nH]1 ZINC000992861118 745256788 /nfs/dbraw/zinc/25/67/88/745256788.db2.gz VCEIDOXDJQOYJZ-IHRRRGAJSA-N 1 2 315.421 1.428 20 30 DDEDLO C=CCn1cc(C(=O)NC2C[NH+]([C@H]3CCc4ccccc43)C2)nn1 ZINC001031070061 745300130 /nfs/dbraw/zinc/30/01/30/745300130.db2.gz MYPDAWJNHVMCBH-KRWDZBQOSA-N 1 2 323.400 1.566 20 30 DDEDLO Cc1c[nH]c(CCNC(=O)c2ccc(C#CC(C)(C)O)cc2)[nH+]1 ZINC001191187467 745454422 /nfs/dbraw/zinc/45/44/22/745454422.db2.gz SXXWDSHEWKGSHP-UHFFFAOYSA-N 1 2 311.385 1.813 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106303201 745575950 /nfs/dbraw/zinc/57/59/50/745575950.db2.gz LVVSAINCRUKLLV-GFCCVEGCSA-N 1 2 318.425 1.356 20 30 DDEDLO CC[N@H+](Cc1nccc(C)n1)[C@H](C)CNC(=O)CSCC#N ZINC001151966194 745579422 /nfs/dbraw/zinc/57/94/22/745579422.db2.gz XKBPZXDALBCFIK-CYBMUJFWSA-N 1 2 321.450 1.368 20 30 DDEDLO CC[N@@H+](Cc1nccc(C)n1)[C@H](C)CNC(=O)CSCC#N ZINC001151966194 745579425 /nfs/dbraw/zinc/57/94/25/745579425.db2.gz XKBPZXDALBCFIK-CYBMUJFWSA-N 1 2 321.450 1.368 20 30 DDEDLO C[C@@H](CCNc1ncccc1C#N)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106309451 745592015 /nfs/dbraw/zinc/59/20/15/745592015.db2.gz HSKTVOSIXLYPTE-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[NH+]([C@@H](C)c2ccccc2)CC1 ZINC001193128337 745986649 /nfs/dbraw/zinc/98/66/49/745986649.db2.gz FHKHQQZAYIWJID-KGLIPLIRSA-N 1 2 307.419 1.607 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N[C@@H]1CC[N@H+](Cc2ccccc2F)C1 ZINC001193178902 746020228 /nfs/dbraw/zinc/02/02/28/746020228.db2.gz SBEIJODBRPEHHJ-DGCLKSJQSA-N 1 2 311.382 1.231 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2F)C1 ZINC001193178902 746020231 /nfs/dbraw/zinc/02/02/31/746020231.db2.gz SBEIJODBRPEHHJ-DGCLKSJQSA-N 1 2 311.382 1.231 20 30 DDEDLO C=CCOC(=O)N1CCC2(CC1)c1[nH+]cc(C)n1CCN2C ZINC001194303611 746329601 /nfs/dbraw/zinc/32/96/01/746329601.db2.gz KVADCJKBLRSOGL-UHFFFAOYSA-N 1 2 304.394 1.751 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(C)n(CC(F)(F)F)n2)CC1 ZINC001194307777 746332458 /nfs/dbraw/zinc/33/24/58/746332458.db2.gz QIWYVLAWYALCMU-UHFFFAOYSA-N 1 2 316.327 1.698 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CCC[N@H+](Cc3ccns3)C2)c[nH]1 ZINC001007156790 746386538 /nfs/dbraw/zinc/38/65/38/746386538.db2.gz PFEXTNKPWZSSBD-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CCC[N@@H+](Cc3ccns3)C2)c[nH]1 ZINC001007156790 746386543 /nfs/dbraw/zinc/38/65/43/746386543.db2.gz PFEXTNKPWZSSBD-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO CCOCC(=O)N1CCC[N@H+](Cc2ccc(C#N)s2)CC1 ZINC001194746816 746444587 /nfs/dbraw/zinc/44/45/87/746444587.db2.gz OGECBHHKVMPBQA-UHFFFAOYSA-N 1 2 307.419 1.691 20 30 DDEDLO CCOCC(=O)N1CCC[N@@H+](Cc2ccc(C#N)s2)CC1 ZINC001194746816 746444590 /nfs/dbraw/zinc/44/45/90/746444590.db2.gz OGECBHHKVMPBQA-UHFFFAOYSA-N 1 2 307.419 1.691 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CC=CCC2)CC1 ZINC001194802629 746463796 /nfs/dbraw/zinc/46/37/96/746463796.db2.gz MWJWHWPDCVKACU-OAHLLOKOSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CC=CCC2)CC1 ZINC001194802629 746463798 /nfs/dbraw/zinc/46/37/98/746463798.db2.gz MWJWHWPDCVKACU-OAHLLOKOSA-N 1 2 305.422 1.179 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCN(C(=O)CSCC#N)CC2)sn1 ZINC001194919302 746487084 /nfs/dbraw/zinc/48/70/84/746487084.db2.gz QPDDFWBHNUMGJX-UHFFFAOYSA-N 1 2 324.475 1.743 20 30 DDEDLO Cc1cc(C[N@H+]2CCCN(C(=O)CSCC#N)CC2)sn1 ZINC001194919302 746487087 /nfs/dbraw/zinc/48/70/87/746487087.db2.gz QPDDFWBHNUMGJX-UHFFFAOYSA-N 1 2 324.475 1.743 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)N2CCC[C@H](C)C2)CC1 ZINC001195192401 746542196 /nfs/dbraw/zinc/54/21/96/746542196.db2.gz YHHIQEXOJPFYBT-INIZCTEOSA-N 1 2 321.465 1.745 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)N2CCC[C@H](C)C2)CC1 ZINC001195192401 746542197 /nfs/dbraw/zinc/54/21/97/746542197.db2.gz YHHIQEXOJPFYBT-INIZCTEOSA-N 1 2 321.465 1.745 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2cc(C)ns2)CC1 ZINC001195284038 746568205 /nfs/dbraw/zinc/56/82/05/746568205.db2.gz NLIKGKNSKARCGO-UHFFFAOYSA-N 1 2 309.435 1.688 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2cc(C)ns2)CC1 ZINC001195284038 746568208 /nfs/dbraw/zinc/56/82/08/746568208.db2.gz NLIKGKNSKARCGO-UHFFFAOYSA-N 1 2 309.435 1.688 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC001195990756 746761379 /nfs/dbraw/zinc/76/13/79/746761379.db2.gz ZTBZBIGNEIRPAB-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC001195990756 746761382 /nfs/dbraw/zinc/76/13/82/746761382.db2.gz ZTBZBIGNEIRPAB-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCCN2CC(F)(F)F)C1 ZINC001031439128 746806099 /nfs/dbraw/zinc/80/60/99/746806099.db2.gz CKTDEMOKIGZEJJ-LBPRGKRZSA-N 1 2 305.344 1.390 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)C2CCC2)CC1 ZINC001196268194 746813012 /nfs/dbraw/zinc/81/30/12/746813012.db2.gz CIWQANIDHZORLI-LSDHHAIUSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)C2CCC2)CC1 ZINC001196268194 746813016 /nfs/dbraw/zinc/81/30/16/746813016.db2.gz CIWQANIDHZORLI-LSDHHAIUSA-N 1 2 319.449 1.095 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc(NC(=O)NC)cc2)C1 ZINC001031458163 746846709 /nfs/dbraw/zinc/84/67/09/746846709.db2.gz QJSZTFKCHWLYMZ-UHFFFAOYSA-N 1 2 302.378 1.428 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cn(C(C)C)cn2)CC1 ZINC001196620253 746917678 /nfs/dbraw/zinc/91/76/78/746917678.db2.gz WHXCCAMFSZLFTJ-UHFFFAOYSA-N 1 2 318.421 1.262 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cn(C(C)C)cn2)CC1 ZINC001196620253 746917680 /nfs/dbraw/zinc/91/76/80/746917680.db2.gz WHXCCAMFSZLFTJ-UHFFFAOYSA-N 1 2 318.421 1.262 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)C2CCOCC2)C1 ZINC001031513698 746931660 /nfs/dbraw/zinc/93/16/60/746931660.db2.gz MGKULNPULSXKMX-UHFFFAOYSA-N 1 2 313.401 1.533 20 30 DDEDLO Cn1c[nH+]c(CCNS(=O)(=O)c2cc(Cl)ccc2C#N)c1 ZINC001196689839 746947352 /nfs/dbraw/zinc/94/73/52/746947352.db2.gz AUSGTXJGQUXTCQ-UHFFFAOYSA-N 1 2 324.793 1.466 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H](C=C)CC)CC1 ZINC001196902053 747005065 /nfs/dbraw/zinc/00/50/65/747005065.db2.gz VFWXZPWGXHSNHK-HNNXBMFYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H](C=C)CC)CC1 ZINC001196902053 747005074 /nfs/dbraw/zinc/00/50/74/747005074.db2.gz VFWXZPWGXHSNHK-HNNXBMFYSA-N 1 2 307.438 1.425 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1cn[nH]c1 ZINC001031559566 747033522 /nfs/dbraw/zinc/03/35/22/747033522.db2.gz RRPUMGDVMKZOME-UHFFFAOYSA-N 1 2 312.348 1.262 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)N(C)CC)CC2)C1 ZINC001197092745 747047696 /nfs/dbraw/zinc/04/76/96/747047696.db2.gz OPRIDFPSRRUWKP-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)N(C)CC)CC2)C1 ZINC001197092745 747047700 /nfs/dbraw/zinc/04/77/00/747047700.db2.gz OPRIDFPSRRUWKP-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1c[nH]c[nH+]1)Nc1cncc(C#N)n1 ZINC001089489610 747083668 /nfs/dbraw/zinc/08/36/68/747083668.db2.gz JLMBJCWJVVTLOX-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO CCc1cc(C(=O)N2CC[N@H+](C)C[C@H]2C(=O)OC)ccc1C#N ZINC001197291500 747131764 /nfs/dbraw/zinc/13/17/64/747131764.db2.gz AAJKDWQSANTENF-HNNXBMFYSA-N 1 2 315.373 1.050 20 30 DDEDLO CCc1cc(C(=O)N2CC[N@@H+](C)C[C@H]2C(=O)OC)ccc1C#N ZINC001197291500 747131768 /nfs/dbraw/zinc/13/17/68/747131768.db2.gz AAJKDWQSANTENF-HNNXBMFYSA-N 1 2 315.373 1.050 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnn(Cc3cccnc3)c2)CC1 ZINC001197492993 747172196 /nfs/dbraw/zinc/17/21/96/747172196.db2.gz VRESEDBLHUVWND-UHFFFAOYSA-N 1 2 311.389 1.270 20 30 DDEDLO C#Cc1cncc(C(=O)NC2CC[NH+](Cc3coc(C)n3)CC2)c1 ZINC001003594721 747309089 /nfs/dbraw/zinc/30/90/89/747309089.db2.gz VZSRPRVPKKXOOW-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCCN(C)C(C)=O)CC1 ZINC001197974765 747329656 /nfs/dbraw/zinc/32/96/56/747329656.db2.gz UZJBHWABEQEYGV-UHFFFAOYSA-N 1 2 315.845 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCCN(C)C(C)=O)CC1 ZINC001197974765 747329666 /nfs/dbraw/zinc/32/96/66/747329666.db2.gz UZJBHWABEQEYGV-UHFFFAOYSA-N 1 2 315.845 1.532 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C[C@H]3C[C@@H]3C)c2C1 ZINC001128476468 747354539 /nfs/dbraw/zinc/35/45/39/747354539.db2.gz VACWWKILVJQIRY-UONOGXRCSA-N 1 2 302.422 1.937 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C[C@H]3C[C@@H]3C)c2C1 ZINC001128476468 747354548 /nfs/dbraw/zinc/35/45/48/747354548.db2.gz VACWWKILVJQIRY-UONOGXRCSA-N 1 2 302.422 1.937 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cnsn2)cc1 ZINC001038069479 747406692 /nfs/dbraw/zinc/40/66/92/747406692.db2.gz IVMCGVZUNPZBRS-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cnsn2)cc1 ZINC001038069479 747406696 /nfs/dbraw/zinc/40/66/96/747406696.db2.gz IVMCGVZUNPZBRS-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2sc3nccn3c2C)C1 ZINC001007515988 752184465 /nfs/dbraw/zinc/18/44/65/752184465.db2.gz XTORFXXLRYLUMA-ZDUSSCGKSA-N 1 2 316.430 1.922 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2sc3nccn3c2C)C1 ZINC001007515988 752184470 /nfs/dbraw/zinc/18/44/70/752184470.db2.gz XTORFXXLRYLUMA-ZDUSSCGKSA-N 1 2 316.430 1.922 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccsc2)[C@@H](O)C1 ZINC001090041473 747501425 /nfs/dbraw/zinc/50/14/25/747501425.db2.gz BFWSPWYXMNLJCQ-STQMWFEESA-N 1 2 314.838 1.595 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccsc2)[C@@H](O)C1 ZINC001090041473 747501429 /nfs/dbraw/zinc/50/14/29/747501429.db2.gz BFWSPWYXMNLJCQ-STQMWFEESA-N 1 2 314.838 1.595 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCC(C)(C)C2)C1 ZINC001199210983 747743717 /nfs/dbraw/zinc/74/37/17/747743717.db2.gz OFFZVRCEKDMXQO-BZUAXINKSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCC(C)(C)C2)C1 ZINC001199210983 747743721 /nfs/dbraw/zinc/74/37/21/747743721.db2.gz OFFZVRCEKDMXQO-BZUAXINKSA-N 1 2 322.449 1.014 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCCc2ccccc2)C1 ZINC001199227096 747750628 /nfs/dbraw/zinc/75/06/28/747750628.db2.gz QWLXQADSKYLFMS-CGTJXYLNSA-N 1 2 314.429 1.440 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCCc2ccccc2)C1 ZINC001199227096 747750633 /nfs/dbraw/zinc/75/06/33/747750633.db2.gz QWLXQADSKYLFMS-CGTJXYLNSA-N 1 2 314.429 1.440 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)cc2)C[C@H]1O ZINC001199311703 747785552 /nfs/dbraw/zinc/78/55/52/747785552.db2.gz BNWUORYEQVTJFJ-DAXOMENPSA-N 1 2 322.380 1.078 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)cc2)C[C@H]1O ZINC001199311703 747785560 /nfs/dbraw/zinc/78/55/60/747785560.db2.gz BNWUORYEQVTJFJ-DAXOMENPSA-N 1 2 322.380 1.078 20 30 DDEDLO C=C1CCC(C(=O)NCC2CN(C(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC001004118969 747994291 /nfs/dbraw/zinc/99/42/91/747994291.db2.gz KLQMPPCTUZGHEL-UHFFFAOYSA-N 1 2 316.405 1.273 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2C[NH+](Cc3ccns3)C2)c1 ZINC001031963089 748309167 /nfs/dbraw/zinc/30/91/67/748309167.db2.gz FCFHGOAUBDWXBE-UHFFFAOYSA-N 1 2 312.398 1.381 20 30 DDEDLO CCc1cc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)[nH]n1 ZINC001004348056 748338675 /nfs/dbraw/zinc/33/86/75/748338675.db2.gz VBMYNGFMSLYWKV-MRXNPFEDSA-N 1 2 315.421 1.812 20 30 DDEDLO CCc1cc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)[nH]n1 ZINC001004348056 748338679 /nfs/dbraw/zinc/33/86/79/748338679.db2.gz VBMYNGFMSLYWKV-MRXNPFEDSA-N 1 2 315.421 1.812 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1C[NH+]([C@H](C)c2ncc(C)o2)C1 ZINC001031987558 748363353 /nfs/dbraw/zinc/36/33/53/748363353.db2.gz TVCCSQCXFYZTJX-YUELXQCFSA-N 1 2 319.405 1.683 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnc3[nH]cnc3c2)C1 ZINC001033115367 748408512 /nfs/dbraw/zinc/40/85/12/748408512.db2.gz MHOINGUJPKGADJ-GFCCVEGCSA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc3[nH]cnc3c2)C1 ZINC001033115367 748408517 /nfs/dbraw/zinc/40/85/17/748408517.db2.gz MHOINGUJPKGADJ-GFCCVEGCSA-N 1 2 319.796 1.857 20 30 DDEDLO CCc1n[nH]cc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004479909 748467789 /nfs/dbraw/zinc/46/77/89/748467789.db2.gz FZMPBIQWEYDTDH-MRXNPFEDSA-N 1 2 315.421 1.812 20 30 DDEDLO CCc1n[nH]cc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004479909 748467799 /nfs/dbraw/zinc/46/77/99/748467799.db2.gz FZMPBIQWEYDTDH-MRXNPFEDSA-N 1 2 315.421 1.812 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)cn2)[C@@H](O)C1 ZINC001083779654 748552217 /nfs/dbraw/zinc/55/22/17/748552217.db2.gz NGPPIPIPWWYDEN-CVEARBPZSA-N 1 2 301.390 1.003 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)cn2)[C@@H](O)C1 ZINC001083779654 748552224 /nfs/dbraw/zinc/55/22/24/748552224.db2.gz NGPPIPIPWWYDEN-CVEARBPZSA-N 1 2 301.390 1.003 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(COC)CCC2)C1 ZINC001108078082 748610593 /nfs/dbraw/zinc/61/05/93/748610593.db2.gz HAZAJJSIMYQQPY-MRXNPFEDSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2(COC)CCC2)C1 ZINC001108078082 748610595 /nfs/dbraw/zinc/61/05/95/748610595.db2.gz HAZAJJSIMYQQPY-MRXNPFEDSA-N 1 2 308.422 1.034 20 30 DDEDLO CC1(NC(=O)Cc2[nH]cc[nH+]2)CCN(c2ccc(C#N)nc2)CC1 ZINC001110595896 748811146 /nfs/dbraw/zinc/81/11/46/748811146.db2.gz KJNXUUHMTFLTCI-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(C)n1 ZINC001110608339 748820788 /nfs/dbraw/zinc/82/07/88/748820788.db2.gz IUNSIXZCWVLSKV-OAGGEKHMSA-N 1 2 302.422 1.998 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(C)n1 ZINC001110608339 748820790 /nfs/dbraw/zinc/82/07/90/748820790.db2.gz IUNSIXZCWVLSKV-OAGGEKHMSA-N 1 2 302.422 1.998 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)C1 ZINC001108094158 748821508 /nfs/dbraw/zinc/82/15/08/748821508.db2.gz NPMRUQBYEODINQ-CYGHRXIMSA-N 1 2 304.434 1.653 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)C1 ZINC001108094158 748821514 /nfs/dbraw/zinc/82/15/14/748821514.db2.gz NPMRUQBYEODINQ-CYGHRXIMSA-N 1 2 304.434 1.653 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cncs2)[C@H](O)C1 ZINC001090113972 748835705 /nfs/dbraw/zinc/83/57/05/748835705.db2.gz NFHWSUZTDNLUAK-NXEZZACHSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cncs2)[C@H](O)C1 ZINC001090113972 748835709 /nfs/dbraw/zinc/83/57/09/748835709.db2.gz NFHWSUZTDNLUAK-NXEZZACHSA-N 1 2 301.799 1.061 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110728721 748954577 /nfs/dbraw/zinc/95/45/77/748954577.db2.gz UYTFIAIIQSMNOS-CXAGYDPISA-N 1 2 318.421 1.851 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCCC[C@@H]2OC)C1 ZINC001032219413 749013316 /nfs/dbraw/zinc/01/33/16/749013316.db2.gz YTBPAFQZOGWCOQ-KGLIPLIRSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2csc(Cl)n2)C1 ZINC001108325052 761916227 /nfs/dbraw/zinc/91/62/27/761916227.db2.gz KPFQBZSBBYIHRB-ZDUSSCGKSA-N 1 2 315.826 1.803 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2csc(Cl)n2)C1 ZINC001108325052 761916230 /nfs/dbraw/zinc/91/62/30/761916230.db2.gz KPFQBZSBBYIHRB-ZDUSSCGKSA-N 1 2 315.826 1.803 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc3nnnn3c2)C1 ZINC001033267990 749095421 /nfs/dbraw/zinc/09/54/21/749095421.db2.gz PAXAGCVMKRXRAH-GFCCVEGCSA-N 1 2 320.784 1.023 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc3nnnn3c2)C1 ZINC001033267990 749095423 /nfs/dbraw/zinc/09/54/23/749095423.db2.gz PAXAGCVMKRXRAH-GFCCVEGCSA-N 1 2 320.784 1.023 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2csc(=O)[nH]2)C1 ZINC001033346515 749235734 /nfs/dbraw/zinc/23/57/34/749235734.db2.gz PBPLHYDQEQYDKA-SECBINFHSA-N 1 2 301.799 1.748 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2csc(=O)[nH]2)C1 ZINC001033346515 749235738 /nfs/dbraw/zinc/23/57/38/749235738.db2.gz PBPLHYDQEQYDKA-SECBINFHSA-N 1 2 301.799 1.748 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(C)CC(F)(F)C2)C1 ZINC001108336759 761936515 /nfs/dbraw/zinc/93/65/15/761936515.db2.gz LMMMUHKAHQZBTM-OAHLLOKOSA-N 1 2 314.376 1.652 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2(C)CC(F)(F)C2)C1 ZINC001108336759 761936516 /nfs/dbraw/zinc/93/65/16/761936516.db2.gz LMMMUHKAHQZBTM-OAHLLOKOSA-N 1 2 314.376 1.652 20 30 DDEDLO C#CC[NH2+][C@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)CC1(C)C ZINC000996124464 749382753 /nfs/dbraw/zinc/38/27/53/749382753.db2.gz GKUQIPDRUDCRFD-HNNXBMFYSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CC[C@H](C)OC)nn2)C1 ZINC001107162963 749460163 /nfs/dbraw/zinc/46/01/63/749460163.db2.gz WHXLWVIGRIIINN-ZDUSSCGKSA-N 1 2 321.425 1.142 20 30 DDEDLO N#Cc1ccc(NC/C=C\CNC(=O)CCc2[nH]cc[nH+]2)cn1 ZINC001107173650 749490619 /nfs/dbraw/zinc/49/06/19/749490619.db2.gz PCXJYIYYJSOCJR-UPHRSURJSA-N 1 2 310.361 1.393 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(CC)CCC3)nn2)C1 ZINC001107197469 749560333 /nfs/dbraw/zinc/56/03/33/749560333.db2.gz GFJSNTJVPCUQKP-UHFFFAOYSA-N 1 2 303.410 1.517 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(CCC)CCC3)nn2)C1 ZINC001107198252 749563025 /nfs/dbraw/zinc/56/30/25/749563025.db2.gz YSXRKIPPOYOBCO-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095354953 749593396 /nfs/dbraw/zinc/59/33/96/749593396.db2.gz RGQVCOWAHCBNSL-QGZVFWFLSA-N 1 2 318.421 1.445 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001033606182 749602713 /nfs/dbraw/zinc/60/27/13/749602713.db2.gz ZVURUYRSIZPJTB-KBPBESRZSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001033606182 749602715 /nfs/dbraw/zinc/60/27/15/749602715.db2.gz ZVURUYRSIZPJTB-KBPBESRZSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H](C)C(C)C)nn2)C1 ZINC001107216201 749624512 /nfs/dbraw/zinc/62/45/12/749624512.db2.gz YBZXLFUUUQWYCF-CYBMUJFWSA-N 1 2 305.426 1.619 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC/C=C/CNc1ccc(C#N)cn1 ZINC001107298168 749753189 /nfs/dbraw/zinc/75/31/89/749753189.db2.gz SROGZOCXUXMDCB-NSCUHMNNSA-N 1 2 324.388 1.404 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cnn(C)c2N)C1 ZINC001033812430 749937612 /nfs/dbraw/zinc/93/76/12/749937612.db2.gz ZCNHYGIPRWVOJT-NSHDSACASA-N 1 2 311.817 1.291 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cnn(C)c2N)C1 ZINC001033812430 749937617 /nfs/dbraw/zinc/93/76/17/749937617.db2.gz ZCNHYGIPRWVOJT-NSHDSACASA-N 1 2 311.817 1.291 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)C#N ZINC001032319091 749970016 /nfs/dbraw/zinc/97/00/16/749970016.db2.gz IUZCQQBPSSJUSB-WXHSDQCUSA-N 1 2 302.378 1.490 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)C#N ZINC001032319091 749970021 /nfs/dbraw/zinc/97/00/21/749970021.db2.gz IUZCQQBPSSJUSB-WXHSDQCUSA-N 1 2 302.378 1.490 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)C(F)C(F)(F)F)c(F)c1 ZINC001127780407 749999563 /nfs/dbraw/zinc/99/95/63/749999563.db2.gz YMSFIKFKYAYGFL-LLVKDONJSA-N 1 2 321.249 1.804 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)[C@@H](F)C(F)(F)F)c(F)c1 ZINC001127780407 749999567 /nfs/dbraw/zinc/99/95/67/749999567.db2.gz YMSFIKFKYAYGFL-LLVKDONJSA-N 1 2 321.249 1.804 20 30 DDEDLO CCN(C(=O)c1cn[nH]n1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033888915 750037278 /nfs/dbraw/zinc/03/72/78/750037278.db2.gz SDGNUVNESHLRKJ-HNNXBMFYSA-N 1 2 324.388 1.413 20 30 DDEDLO CCN(C(=O)c1cn[nH]n1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033888915 750037286 /nfs/dbraw/zinc/03/72/86/750037286.db2.gz SDGNUVNESHLRKJ-HNNXBMFYSA-N 1 2 324.388 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1OCCO[C@H]1C)C2 ZINC001095559401 750039099 /nfs/dbraw/zinc/03/90/99/750039099.db2.gz YAQKBRRGHUDECP-NDKCEZKHSA-N 1 2 314.813 1.264 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1OCCO[C@H]1C)C2 ZINC001095559401 750039108 /nfs/dbraw/zinc/03/91/08/750039108.db2.gz YAQKBRRGHUDECP-NDKCEZKHSA-N 1 2 314.813 1.264 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001077614698 750101245 /nfs/dbraw/zinc/10/12/45/750101245.db2.gz RHUCMLOBCNBOTC-KCPJHIHWSA-N 1 2 306.793 1.238 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001077614698 750101248 /nfs/dbraw/zinc/10/12/48/750101248.db2.gz RHUCMLOBCNBOTC-KCPJHIHWSA-N 1 2 306.793 1.238 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2coc(OC)n2)C1 ZINC001033911745 750109786 /nfs/dbraw/zinc/10/97/86/750109786.db2.gz ZSFGSDMBBZBYAE-LLVKDONJSA-N 1 2 313.785 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2coc(OC)n2)C1 ZINC001033911745 750109788 /nfs/dbraw/zinc/10/97/88/750109788.db2.gz ZSFGSDMBBZBYAE-LLVKDONJSA-N 1 2 313.785 1.972 20 30 DDEDLO Cc1ncoc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032338280 750242357 /nfs/dbraw/zinc/24/23/57/750242357.db2.gz WUROQBBNJHDHRH-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1ncoc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032338280 750242365 /nfs/dbraw/zinc/24/23/65/750242365.db2.gz WUROQBBNJHDHRH-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CCC)CC1)C2 ZINC001110998679 750293057 /nfs/dbraw/zinc/29/30/57/750293057.db2.gz HYCUJYYZQDBLQO-KFWWJZLASA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CCC)CC1)C2 ZINC001110998679 750293062 /nfs/dbraw/zinc/29/30/62/750293062.db2.gz HYCUJYYZQDBLQO-KFWWJZLASA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001107697546 750400243 /nfs/dbraw/zinc/40/02/43/750400243.db2.gz SVCLPRVXNSYHNX-LLVKDONJSA-N 1 2 300.366 1.083 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001077744303 750486512 /nfs/dbraw/zinc/48/65/12/750486512.db2.gz LJKYYMMDNJERMZ-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ncc[nH]2)c1 ZINC001032394070 750538644 /nfs/dbraw/zinc/53/86/44/750538644.db2.gz ZGWSYSQKHPVXGM-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ncc[nH]2)c1 ZINC001032394070 750538646 /nfs/dbraw/zinc/53/86/46/750538646.db2.gz ZGWSYSQKHPVXGM-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO Cc1c[nH]nc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032395244 750549913 /nfs/dbraw/zinc/54/99/13/750549913.db2.gz UQBWOTHCWQOTBB-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1c[nH]nc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032395244 750549918 /nfs/dbraw/zinc/54/99/18/750549918.db2.gz UQBWOTHCWQOTBB-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)noc1CCC ZINC001032400735 750574394 /nfs/dbraw/zinc/57/43/94/750574394.db2.gz SXXWXTQLULPOCJ-KBPBESRZSA-N 1 2 301.390 1.858 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)noc1CCC ZINC001032400735 750574398 /nfs/dbraw/zinc/57/43/98/750574398.db2.gz SXXWXTQLULPOCJ-KBPBESRZSA-N 1 2 301.390 1.858 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2c(=O)o1 ZINC001032424571 750788923 /nfs/dbraw/zinc/78/89/23/750788923.db2.gz JKGUSUHFZZPHFX-KBPBESRZSA-N 1 2 308.337 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2c(=O)o1 ZINC001032424571 750788925 /nfs/dbraw/zinc/78/89/25/750788925.db2.gz JKGUSUHFZZPHFX-KBPBESRZSA-N 1 2 308.337 1.325 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cl)c(Cl)n1C ZINC001032432864 750823675 /nfs/dbraw/zinc/82/36/75/750823675.db2.gz FCEKUBGQENJHKX-UWVGGRQHSA-N 1 2 312.200 1.864 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cl)c(Cl)n1C ZINC001032432864 750823682 /nfs/dbraw/zinc/82/36/82/750823682.db2.gz FCEKUBGQENJHKX-UWVGGRQHSA-N 1 2 312.200 1.864 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]cnc1C(F)(F)F ZINC001032448875 750863257 /nfs/dbraw/zinc/86/32/57/750863257.db2.gz YEGAIVYAGNSUCN-UWVGGRQHSA-N 1 2 312.295 1.351 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]cnc1C(F)(F)F ZINC001032448875 750863267 /nfs/dbraw/zinc/86/32/67/750863267.db2.gz YEGAIVYAGNSUCN-UWVGGRQHSA-N 1 2 312.295 1.351 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]cnc1C(F)(F)F ZINC001032448017 750874059 /nfs/dbraw/zinc/87/40/59/750874059.db2.gz JGIBEGKPPWNSTP-UWVGGRQHSA-N 1 2 314.311 1.903 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]cnc1C(F)(F)F ZINC001032448017 750874066 /nfs/dbraw/zinc/87/40/66/750874066.db2.gz JGIBEGKPPWNSTP-UWVGGRQHSA-N 1 2 314.311 1.903 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc[nH]c1C(F)(F)F ZINC001032448017 750874074 /nfs/dbraw/zinc/87/40/74/750874074.db2.gz JGIBEGKPPWNSTP-UWVGGRQHSA-N 1 2 314.311 1.903 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc[nH]c1C(F)(F)F ZINC001032448017 750874079 /nfs/dbraw/zinc/87/40/79/750874079.db2.gz JGIBEGKPPWNSTP-UWVGGRQHSA-N 1 2 314.311 1.903 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc2cccnc2c1 ZINC001032471415 750949419 /nfs/dbraw/zinc/94/94/19/750949419.db2.gz VLSJQAMKLYLCRP-IRXDYDNUSA-N 1 2 305.381 1.696 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc2cccnc2c1 ZINC001032471415 750949425 /nfs/dbraw/zinc/94/94/25/750949425.db2.gz VLSJQAMKLYLCRP-IRXDYDNUSA-N 1 2 305.381 1.696 20 30 DDEDLO Cc1nc(NC[C@@H]2C[C@H](C)N(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001114668047 750959554 /nfs/dbraw/zinc/95/95/54/750959554.db2.gz YNRDRTCPWCSRHQ-AAEUAGOBSA-N 1 2 319.434 1.691 20 30 DDEDLO CC(C)Cc1noc(C[NH2+]C[C@H]2CCCN2C(=O)[C@@H](C)C#N)n1 ZINC001034886235 750982968 /nfs/dbraw/zinc/98/29/68/750982968.db2.gz HQNXAFJLXQOBRB-QWHCGFSZSA-N 1 2 319.409 1.508 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114683451 750984782 /nfs/dbraw/zinc/98/47/82/750984782.db2.gz PONAIWCSXPOFPM-YABSGUDNSA-N 1 2 304.394 1.391 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114683451 750984787 /nfs/dbraw/zinc/98/47/87/750984787.db2.gz PONAIWCSXPOFPM-YABSGUDNSA-N 1 2 304.394 1.391 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)/C(C)=C/C)CC2 ZINC001127977336 751040196 /nfs/dbraw/zinc/04/01/96/751040196.db2.gz IAJLRSSNHIMRRB-GUVYXZIWSA-N 1 2 315.421 1.178 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001060862932 751042464 /nfs/dbraw/zinc/04/24/64/751042464.db2.gz BDTJXBPEFAGKBG-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001114759267 751055706 /nfs/dbraw/zinc/05/57/06/751055706.db2.gz GCPXFVJLDRAIDV-NYTXWWLZSA-N 1 2 300.406 1.940 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001114759267 751055713 /nfs/dbraw/zinc/05/57/13/751055713.db2.gz GCPXFVJLDRAIDV-NYTXWWLZSA-N 1 2 300.406 1.940 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(N2CCCC2)ccn1 ZINC001032504992 751109843 /nfs/dbraw/zinc/10/98/43/751109843.db2.gz AXKNNGXJFVYZRG-IRXDYDNUSA-N 1 2 324.428 1.604 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(N2CCCC2)ccn1 ZINC001032504992 751109847 /nfs/dbraw/zinc/10/98/47/751109847.db2.gz AXKNNGXJFVYZRG-IRXDYDNUSA-N 1 2 324.428 1.604 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Br)c[nH]1 ZINC001032507424 751115931 /nfs/dbraw/zinc/11/59/31/751115931.db2.gz ZTGYBAXCKRTZRZ-RYUDHWBXSA-N 1 2 322.206 1.699 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Br)c[nH]1 ZINC001032507424 751115935 /nfs/dbraw/zinc/11/59/35/751115935.db2.gz ZTGYBAXCKRTZRZ-RYUDHWBXSA-N 1 2 322.206 1.699 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1COc2ccccc2C1 ZINC001032508966 751120961 /nfs/dbraw/zinc/12/09/61/751120961.db2.gz WZAAGPVKJNIWQX-IKGGRYGDSA-N 1 2 310.397 1.546 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1COc2ccccc2C1 ZINC001032508966 751120968 /nfs/dbraw/zinc/12/09/68/751120968.db2.gz WZAAGPVKJNIWQX-IKGGRYGDSA-N 1 2 310.397 1.546 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1COc2cc(C)c(C)cc21 ZINC001032540839 751229123 /nfs/dbraw/zinc/22/91/23/751229123.db2.gz RZWRMLRXTPDFDF-ZOBUZTSGSA-N 1 2 310.397 1.698 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1COc2cc(C)c(C)cc21 ZINC001032540839 751229127 /nfs/dbraw/zinc/22/91/27/751229127.db2.gz RZWRMLRXTPDFDF-ZOBUZTSGSA-N 1 2 310.397 1.698 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)C(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129071481 751249213 /nfs/dbraw/zinc/24/92/13/751249213.db2.gz CVTSXPWDWDVUCZ-ZNMIVQPWSA-N 1 2 317.437 1.325 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)C(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129071481 751249216 /nfs/dbraw/zinc/24/92/16/751249216.db2.gz CVTSXPWDWDVUCZ-ZNMIVQPWSA-N 1 2 317.437 1.325 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cncc(OC(C)C)c1 ZINC001032560857 751337684 /nfs/dbraw/zinc/33/76/84/751337684.db2.gz SAYPKUSYKSKDMW-HOTGVXAUSA-N 1 2 313.401 1.791 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cncc(OC(C)C)c1 ZINC001032560857 751337690 /nfs/dbraw/zinc/33/76/90/751337690.db2.gz SAYPKUSYKSKDMW-HOTGVXAUSA-N 1 2 313.401 1.791 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CC#Cc2ccccc2)C1 ZINC001108411977 762120435 /nfs/dbraw/zinc/12/04/35/762120435.db2.gz GJLLDXSGJPQDMZ-LJQANCHMSA-N 1 2 312.413 1.821 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CC#Cc2ccccc2)C1 ZINC001108411977 762120441 /nfs/dbraw/zinc/12/04/41/762120441.db2.gz GJLLDXSGJPQDMZ-LJQANCHMSA-N 1 2 312.413 1.821 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001008167078 752588807 /nfs/dbraw/zinc/58/88/07/752588807.db2.gz DSTPVLLZFAJJRL-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001008167078 752588812 /nfs/dbraw/zinc/58/88/12/752588812.db2.gz DSTPVLLZFAJJRL-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nn(C)c(C)c1Cl ZINC001032656119 752613292 /nfs/dbraw/zinc/61/32/92/752613292.db2.gz MYMIIRUSFGCQDF-RYUDHWBXSA-N 1 2 306.797 1.304 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nn(C)c(C)c1Cl ZINC001032656119 752613298 /nfs/dbraw/zinc/61/32/98/752613298.db2.gz MYMIIRUSFGCQDF-RYUDHWBXSA-N 1 2 306.797 1.304 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]ncc1Br ZINC001032688265 752729202 /nfs/dbraw/zinc/72/92/02/752729202.db2.gz PZDNBLFHFSUTOM-UWVGGRQHSA-N 1 2 323.194 1.094 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]ncc1Br ZINC001032688265 752729200 /nfs/dbraw/zinc/72/92/00/752729200.db2.gz PZDNBLFHFSUTOM-UWVGGRQHSA-N 1 2 323.194 1.094 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001062167710 752805849 /nfs/dbraw/zinc/80/58/49/752805849.db2.gz NVAARVFZIXSXEL-OAHLLOKOSA-N 1 2 316.405 1.253 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3cc(C)no3)C2)nc1 ZINC001009252041 753127433 /nfs/dbraw/zinc/12/74/33/753127433.db2.gz KIWHTGNEZXRVIU-HNNXBMFYSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3cc(C)no3)C2)nc1 ZINC001009252041 753127437 /nfs/dbraw/zinc/12/74/37/753127437.db2.gz KIWHTGNEZXRVIU-HNNXBMFYSA-N 1 2 324.384 1.754 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCCN(CC)c1cc[nH+]c(C)n1 ZINC001095921877 753203285 /nfs/dbraw/zinc/20/32/85/753203285.db2.gz SETGODOXJDHADY-ZDUSSCGKSA-N 1 2 304.394 1.156 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)CN1c1ccncc1C#N ZINC001039758217 762203964 /nfs/dbraw/zinc/20/39/64/762203964.db2.gz RTMBRCUOZOHVHV-HIFRSBDPSA-N 1 2 324.388 1.323 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001077926343 753433516 /nfs/dbraw/zinc/43/35/16/753433516.db2.gz TYXVWEQYGIUNSB-OLZOCXBDSA-N 1 2 306.410 1.566 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001060913153 753453563 /nfs/dbraw/zinc/45/35/63/753453563.db2.gz FNCKOKYKBJTSRF-ZDUSSCGKSA-N 1 2 310.361 1.179 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)no1 ZINC001010025792 753554992 /nfs/dbraw/zinc/55/49/92/753554992.db2.gz BPEGCMRXRZDUBB-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)no1 ZINC001010025792 753554997 /nfs/dbraw/zinc/55/49/97/753554997.db2.gz BPEGCMRXRZDUBB-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H](NC(=O)c3ccc(C)o3)C2)C1=O ZINC001010042002 753567497 /nfs/dbraw/zinc/56/74/97/753567497.db2.gz DXRZVNDSBIBEIK-KGLIPLIRSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H](NC(=O)c3ccc(C)o3)C2)C1=O ZINC001010042002 753567502 /nfs/dbraw/zinc/56/75/02/753567502.db2.gz DXRZVNDSBIBEIK-KGLIPLIRSA-N 1 2 317.389 1.179 20 30 DDEDLO Cn1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccc(C#N)c2)n1 ZINC001032783226 753641375 /nfs/dbraw/zinc/64/13/75/753641375.db2.gz RRNPCLRJDVZJOT-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO Cn1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccc(C#N)c2)n1 ZINC001032783226 753641380 /nfs/dbraw/zinc/64/13/80/753641380.db2.gz RRNPCLRJDVZJOT-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1cnsn1 ZINC001010330498 753769268 /nfs/dbraw/zinc/76/92/68/753769268.db2.gz ONMPBMMZTQRAJF-AWEZNQCLSA-N 1 2 312.398 1.394 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cnsn1 ZINC001010330498 753769269 /nfs/dbraw/zinc/76/92/69/753769269.db2.gz ONMPBMMZTQRAJF-AWEZNQCLSA-N 1 2 312.398 1.394 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@@H](NC(=O)[C@H]3CCOC3)C2)c1 ZINC001010358270 753806107 /nfs/dbraw/zinc/80/61/07/753806107.db2.gz IFZJEJWRXFSHPG-DZGCQCFKSA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@@H](NC(=O)[C@H]3CCOC3)C2)c1 ZINC001010358270 753806113 /nfs/dbraw/zinc/80/61/13/753806113.db2.gz IFZJEJWRXFSHPG-DZGCQCFKSA-N 1 2 317.364 1.424 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C3CCCC3)no2)C1 ZINC001078197841 753834363 /nfs/dbraw/zinc/83/43/63/753834363.db2.gz SJDLGYIKTRLCMD-HUUCEWRRSA-N 1 2 317.389 1.130 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C3CCCC3)no2)C1 ZINC001078197841 753834370 /nfs/dbraw/zinc/83/43/70/753834370.db2.gz SJDLGYIKTRLCMD-HUUCEWRRSA-N 1 2 317.389 1.130 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001108033975 753850731 /nfs/dbraw/zinc/85/07/31/753850731.db2.gz QLDNWARCKLQXPR-PBHICJAKSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001108033975 753850739 /nfs/dbraw/zinc/85/07/39/753850739.db2.gz QLDNWARCKLQXPR-PBHICJAKSA-N 1 2 318.421 1.154 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(cccc3C)o2)C1 ZINC001078251626 753893828 /nfs/dbraw/zinc/89/38/28/753893828.db2.gz VXUGTFOJSMQWIV-HUUCEWRRSA-N 1 2 312.369 1.539 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(cccc3C)o2)C1 ZINC001078251626 753893831 /nfs/dbraw/zinc/89/38/31/753893831.db2.gz VXUGTFOJSMQWIV-HUUCEWRRSA-N 1 2 312.369 1.539 20 30 DDEDLO C[C@H](C#N)C(=O)NC1(CNC(=O)C[C@@H](C)n2cc[nH+]c2)CCC1 ZINC001063072301 753955916 /nfs/dbraw/zinc/95/59/16/753955916.db2.gz PMYWLZZJDNLHGO-CHWSQXEVSA-N 1 2 317.393 1.149 20 30 DDEDLO C=C(C)CCC(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001063260208 754059716 /nfs/dbraw/zinc/05/97/16/754059716.db2.gz STWYZKGMIWPIPD-UHFFFAOYSA-N 1 2 304.394 1.464 20 30 DDEDLO C=C(C)CCC(=O)NCC1(NC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001063261081 754061453 /nfs/dbraw/zinc/06/14/53/754061453.db2.gz CCQBFUAGHWTZNX-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO C=C(C)CCC(=O)NCC1(NC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001063261081 754061459 /nfs/dbraw/zinc/06/14/59/754061459.db2.gz CCQBFUAGHWTZNX-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N(C)C)c(F)c1 ZINC001032803626 754118868 /nfs/dbraw/zinc/11/88/68/754118868.db2.gz GRTPZBUSNBITOZ-GJZGRUSLSA-N 1 2 315.392 1.814 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N(C)C)c(F)c1 ZINC001032803626 754118871 /nfs/dbraw/zinc/11/88/71/754118871.db2.gz GRTPZBUSNBITOZ-GJZGRUSLSA-N 1 2 315.392 1.814 20 30 DDEDLO C=CCCCC(=O)NC1(CNC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001063397025 754127530 /nfs/dbraw/zinc/12/75/30/754127530.db2.gz WPHHJWRQOFLOTF-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO C=CCCCC(=O)NC1(CNC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001063397025 754127535 /nfs/dbraw/zinc/12/75/35/754127535.db2.gz WPHHJWRQOFLOTF-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)CCCn1cc[nH+]c1 ZINC001066988532 754268324 /nfs/dbraw/zinc/26/83/24/754268324.db2.gz QTXCEMFCGBWFPP-UHFFFAOYSA-N 1 2 320.437 1.936 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccn[nH]2)C1 ZINC001079442955 755348838 /nfs/dbraw/zinc/34/88/38/755348838.db2.gz IZBSFCYFKKNQQZ-LDYMZIIASA-N 1 2 313.199 1.368 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccn[nH]2)C1 ZINC001079442955 755348841 /nfs/dbraw/zinc/34/88/41/755348841.db2.gz IZBSFCYFKKNQQZ-LDYMZIIASA-N 1 2 313.199 1.368 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cocn1 ZINC001079487746 755387891 /nfs/dbraw/zinc/38/78/91/755387891.db2.gz PWFTWOYASQNVIW-IUODEOHRSA-N 1 2 310.357 1.797 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cocn1 ZINC001079487746 755387893 /nfs/dbraw/zinc/38/78/93/755387893.db2.gz PWFTWOYASQNVIW-IUODEOHRSA-N 1 2 310.357 1.797 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C2CC(OCC)C2)C1 ZINC001079641279 755509634 /nfs/dbraw/zinc/50/96/34/755509634.db2.gz ANLWSDWAPUBWJN-WKIYYKSKSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C2CC(OCC)C2)C1 ZINC001079641279 755509636 /nfs/dbraw/zinc/50/96/36/755509636.db2.gz ANLWSDWAPUBWJN-WKIYYKSKSA-N 1 2 300.830 1.991 20 30 DDEDLO C#CCCCCC(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001079640641 755509672 /nfs/dbraw/zinc/50/96/72/755509672.db2.gz CWGONEHAHWZLFI-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCN(C(=O)Cn3cc[nH+]c3)[C@H]2C)n1 ZINC001040144806 762407191 /nfs/dbraw/zinc/40/71/91/762407191.db2.gz ADBNBLGSKWZGCD-ZFWWWQNUSA-N 1 2 324.388 1.560 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067084446 755841656 /nfs/dbraw/zinc/84/16/56/755841656.db2.gz MVJJPMHYXHCSHD-DOMZBBRYSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001014999353 756063576 /nfs/dbraw/zinc/06/35/76/756063576.db2.gz ILNCLYXYTSIMKR-OLZOCXBDSA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001014999353 756063579 /nfs/dbraw/zinc/06/35/79/756063579.db2.gz ILNCLYXYTSIMKR-OLZOCXBDSA-N 1 2 308.813 1.389 20 30 DDEDLO Cc1nnccc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015120749 756133219 /nfs/dbraw/zinc/13/32/19/756133219.db2.gz RLNOXDJXIJGVTB-KRWDZBQOSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1nnccc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015120749 756133223 /nfs/dbraw/zinc/13/32/23/756133223.db2.gz RLNOXDJXIJGVTB-KRWDZBQOSA-N 1 2 320.396 1.641 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2nc3cnccc3s2)C1 ZINC001015214787 756172401 /nfs/dbraw/zinc/17/24/01/756172401.db2.gz HMITWTIWEIKPON-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2nc3cnccc3s2)C1 ZINC001015214787 756172405 /nfs/dbraw/zinc/17/24/05/756172405.db2.gz HMITWTIWEIKPON-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnnn3CC)C2)cc1 ZINC001015481891 756333351 /nfs/dbraw/zinc/33/33/51/756333351.db2.gz JLTVITQVLYZMRN-INIZCTEOSA-N 1 2 323.400 1.284 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnnn3CC)C2)cc1 ZINC001015481891 756333359 /nfs/dbraw/zinc/33/33/59/756333359.db2.gz JLTVITQVLYZMRN-INIZCTEOSA-N 1 2 323.400 1.284 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccnc(Cl)c2)C[C@H]1O ZINC001099726475 756392124 /nfs/dbraw/zinc/39/21/24/756392124.db2.gz HVEBIGJESRLFNR-UONOGXRCSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ccnc(Cl)c2)C[C@H]1O ZINC001099726475 756392128 /nfs/dbraw/zinc/39/21/28/756392128.db2.gz HVEBIGJESRLFNR-UONOGXRCSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001015710625 756487568 /nfs/dbraw/zinc/48/75/68/756487568.db2.gz OXKLLYGTEBZZJB-ZFWWWQNUSA-N 1 2 305.809 1.739 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001015710625 756487572 /nfs/dbraw/zinc/48/75/72/756487572.db2.gz OXKLLYGTEBZZJB-ZFWWWQNUSA-N 1 2 305.809 1.739 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001015775002 756539008 /nfs/dbraw/zinc/53/90/08/756539008.db2.gz IZLXVCABQJJVDV-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001015775002 756539012 /nfs/dbraw/zinc/53/90/12/756539012.db2.gz IZLXVCABQJJVDV-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001015780804 756544816 /nfs/dbraw/zinc/54/48/16/756544816.db2.gz HXNFPGZZSCRGGN-CYBMUJFWSA-N 1 2 309.797 1.100 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001015780804 756544821 /nfs/dbraw/zinc/54/48/21/756544821.db2.gz HXNFPGZZSCRGGN-CYBMUJFWSA-N 1 2 309.797 1.100 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(OC)cs2)[C@H](OC)C1 ZINC001082016683 756596833 /nfs/dbraw/zinc/59/68/33/756596833.db2.gz ZGBGPGHPQFYBFL-CHWSQXEVSA-N 1 2 308.403 1.209 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(OC)cs2)[C@H](OC)C1 ZINC001082016683 756596835 /nfs/dbraw/zinc/59/68/35/756596835.db2.gz ZGBGPGHPQFYBFL-CHWSQXEVSA-N 1 2 308.403 1.209 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3ncccc3s2)[C@H](OC)C1 ZINC001082019801 756597734 /nfs/dbraw/zinc/59/77/34/756597734.db2.gz PFVSWTNZVJHCMH-CHWSQXEVSA-N 1 2 317.414 1.911 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3ncccc3s2)[C@H](OC)C1 ZINC001082019801 756597737 /nfs/dbraw/zinc/59/77/37/756597737.db2.gz PFVSWTNZVJHCMH-CHWSQXEVSA-N 1 2 317.414 1.911 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)cc(F)cc2F)[C@H](OC)C1 ZINC001082086345 756634337 /nfs/dbraw/zinc/63/43/37/756634337.db2.gz SKQLJDJAAZSQFW-CHWSQXEVSA-N 1 2 312.291 1.166 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(F)cc(F)cc2F)[C@H](OC)C1 ZINC001082086345 756634338 /nfs/dbraw/zinc/63/43/38/756634338.db2.gz SKQLJDJAAZSQFW-CHWSQXEVSA-N 1 2 312.291 1.166 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3conc3C)C[C@H]2OC)C1 ZINC001082110677 756644846 /nfs/dbraw/zinc/64/48/46/756644846.db2.gz CRYFRDUCLZODEE-HUUCEWRRSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3conc3C)C[C@H]2OC)C1 ZINC001082110677 756644848 /nfs/dbraw/zinc/64/48/48/756644848.db2.gz CRYFRDUCLZODEE-HUUCEWRRSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3ccns3)C[C@H]2OC)C1 ZINC001082112179 756645329 /nfs/dbraw/zinc/64/53/29/756645329.db2.gz SZTXVSRNPZCTME-ZIAGYGMSSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3ccns3)C[C@H]2OC)C1 ZINC001082112179 756645333 /nfs/dbraw/zinc/64/53/33/756645333.db2.gz SZTXVSRNPZCTME-ZIAGYGMSSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1OC ZINC001082150363 756655423 /nfs/dbraw/zinc/65/54/23/756655423.db2.gz FOQKWGWFOGGASE-MBTKMQBYSA-N 1 2 314.813 1.145 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1OC ZINC001082150363 756655425 /nfs/dbraw/zinc/65/54/25/756655425.db2.gz FOQKWGWFOGGASE-MBTKMQBYSA-N 1 2 314.813 1.145 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(OCC)c(C)c2)[C@H](OC)C1 ZINC001082195658 756671698 /nfs/dbraw/zinc/67/16/98/756671698.db2.gz QOOVVKOREKKUIA-NVXWUHKLSA-N 1 2 316.401 1.456 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(OCC)c(C)c2)[C@H](OC)C1 ZINC001082195658 756671700 /nfs/dbraw/zinc/67/17/00/756671700.db2.gz QOOVVKOREKKUIA-NVXWUHKLSA-N 1 2 316.401 1.456 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(NC(N)=O)cc2)C1 ZINC001016170775 756806074 /nfs/dbraw/zinc/80/60/74/756806074.db2.gz DAADVSNMKVIPFN-ZDUSSCGKSA-N 1 2 322.796 1.734 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(NC(N)=O)cc2)C1 ZINC001016170775 756806081 /nfs/dbraw/zinc/80/60/81/756806081.db2.gz DAADVSNMKVIPFN-ZDUSSCGKSA-N 1 2 322.796 1.734 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)COCCCC)[C@H](O)C1 ZINC001099754623 756864332 /nfs/dbraw/zinc/86/43/32/756864332.db2.gz HSPPPZIHYRBHHZ-CHWSQXEVSA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)COCCCC)[C@H](O)C1 ZINC001099754623 756864340 /nfs/dbraw/zinc/86/43/40/756864340.db2.gz HSPPPZIHYRBHHZ-CHWSQXEVSA-N 1 2 304.818 1.107 20 30 DDEDLO Cc1cc(N[C@H]2C[C@@H](NC(=O)CCc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001097180536 756954121 /nfs/dbraw/zinc/95/41/21/756954121.db2.gz RAPXCDGXCABEAX-OKILXGFUSA-N 1 2 324.388 1.099 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)noc1C(F)F)C2 ZINC001097309563 757081665 /nfs/dbraw/zinc/08/16/65/757081665.db2.gz YTKYSIRELHNYLD-MXWKQRLJSA-N 1 2 309.316 1.889 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)noc1C(F)F)C2 ZINC001097309563 757081671 /nfs/dbraw/zinc/08/16/71/757081671.db2.gz YTKYSIRELHNYLD-MXWKQRLJSA-N 1 2 309.316 1.889 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cccc(Cl)c3o2)[C@@H](O)C1 ZINC001084130928 757295492 /nfs/dbraw/zinc/29/54/92/757295492.db2.gz NPZDFPULPKGIOO-OLZOCXBDSA-N 1 2 318.760 1.494 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3cccc(Cl)c3o2)[C@@H](O)C1 ZINC001084130928 757295499 /nfs/dbraw/zinc/29/54/99/757295499.db2.gz NPZDFPULPKGIOO-OLZOCXBDSA-N 1 2 318.760 1.494 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H](C[N@H+](C)Cc2nncs2)C1 ZINC001017071998 757614327 /nfs/dbraw/zinc/61/43/27/757614327.db2.gz QYZARXCJZAIPIB-NEPJUHHUSA-N 1 2 307.423 1.368 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H](C[N@@H+](C)Cc2nncs2)C1 ZINC001017071998 757614332 /nfs/dbraw/zinc/61/43/32/757614332.db2.gz QYZARXCJZAIPIB-NEPJUHHUSA-N 1 2 307.423 1.368 20 30 DDEDLO CCOC(=O)c1cc(NC[C@H]2COCC[N@@H+]2C)ccc1C#N ZINC001169659356 762581080 /nfs/dbraw/zinc/58/10/80/762581080.db2.gz DBPBUFYKFYHPLG-AWEZNQCLSA-N 1 2 303.362 1.477 20 30 DDEDLO CCOC(=O)c1cc(NC[C@H]2COCC[N@H+]2C)ccc1C#N ZINC001169659356 762581086 /nfs/dbraw/zinc/58/10/86/762581086.db2.gz DBPBUFYKFYHPLG-AWEZNQCLSA-N 1 2 303.362 1.477 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3scc4c3OCCO4)[C@@H]2C1 ZINC001084754387 757871503 /nfs/dbraw/zinc/87/15/03/757871503.db2.gz LYHJSCNDYCWUCY-VXGBXAGGSA-N 1 2 318.398 1.299 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3scc4c3OCCO4)[C@@H]2C1 ZINC001084754387 757871512 /nfs/dbraw/zinc/87/15/12/757871512.db2.gz LYHJSCNDYCWUCY-VXGBXAGGSA-N 1 2 318.398 1.299 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cccn(C)c3=O)[C@@H]2C1 ZINC001084782825 757911640 /nfs/dbraw/zinc/91/16/40/757911640.db2.gz MECRRJHBTHWNBI-TZMCWYRMSA-N 1 2 321.808 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cccn(C)c3=O)[C@@H]2C1 ZINC001084782825 757911645 /nfs/dbraw/zinc/91/16/45/757911645.db2.gz MECRRJHBTHWNBI-TZMCWYRMSA-N 1 2 321.808 1.284 20 30 DDEDLO Cc1ncsc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001017422437 757919648 /nfs/dbraw/zinc/91/96/48/757919648.db2.gz MYEQRXPQWAANJP-WCFLWFBJSA-N 1 2 304.419 1.786 20 30 DDEDLO Cc1ncsc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001017422437 757919656 /nfs/dbraw/zinc/91/96/56/757919656.db2.gz MYEQRXPQWAANJP-WCFLWFBJSA-N 1 2 304.419 1.786 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)/C(C)=C\C)C1=O ZINC001017458209 757947657 /nfs/dbraw/zinc/94/76/57/757947657.db2.gz FFIGOERVFGOIJU-YQXQBHJPSA-N 1 2 317.433 1.415 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)/C(C)=C\C)C1=O ZINC001017458209 757947662 /nfs/dbraw/zinc/94/76/62/757947662.db2.gz FFIGOERVFGOIJU-YQXQBHJPSA-N 1 2 317.433 1.415 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)/C(C)=C\C)C1=O ZINC001017458207 757947802 /nfs/dbraw/zinc/94/78/02/757947802.db2.gz FFIGOERVFGOIJU-KKSBRCHRSA-N 1 2 317.433 1.415 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)/C(C)=C\C)C1=O ZINC001017458207 757947808 /nfs/dbraw/zinc/94/78/08/757947808.db2.gz FFIGOERVFGOIJU-KKSBRCHRSA-N 1 2 317.433 1.415 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2[nH]cnc2c1 ZINC001017590031 758057073 /nfs/dbraw/zinc/05/70/73/758057073.db2.gz JAFUPANSGKLIER-OKILXGFUSA-N 1 2 309.373 1.270 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2[nH]cnc2c1 ZINC001017590031 758057082 /nfs/dbraw/zinc/05/70/82/758057082.db2.gz JAFUPANSGKLIER-OKILXGFUSA-N 1 2 309.373 1.270 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)C(C)C)[C@H](O)C1 ZINC001099852830 758088700 /nfs/dbraw/zinc/08/87/00/758088700.db2.gz FVVGWGSQYAPKIC-CHWSQXEVSA-N 1 2 302.846 1.973 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)C(C)C)[C@H](O)C1 ZINC001099852830 758088710 /nfs/dbraw/zinc/08/87/10/758088710.db2.gz FVVGWGSQYAPKIC-CHWSQXEVSA-N 1 2 302.846 1.973 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099859287 758123345 /nfs/dbraw/zinc/12/33/45/758123345.db2.gz ZKPSQLAGRDAPGL-KGLIPLIRSA-N 1 2 323.462 1.859 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099859287 758123351 /nfs/dbraw/zinc/12/33/51/758123351.db2.gz ZKPSQLAGRDAPGL-KGLIPLIRSA-N 1 2 323.462 1.859 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)c1cc(C)ccn1 ZINC001017657331 758124871 /nfs/dbraw/zinc/12/48/71/758124871.db2.gz COBCOWLUYQMRGL-IYBDPMFKSA-N 1 2 311.429 1.976 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)c1cc(C)ccn1 ZINC001017657331 758124880 /nfs/dbraw/zinc/12/48/80/758124880.db2.gz COBCOWLUYQMRGL-IYBDPMFKSA-N 1 2 311.429 1.976 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001053107526 758158119 /nfs/dbraw/zinc/15/81/19/758158119.db2.gz ARRMSXMSBUGSOX-TZMCWYRMSA-N 1 2 318.421 1.438 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CCC3CCC3)CC2=O)C1 ZINC001108533180 762608967 /nfs/dbraw/zinc/60/89/67/762608967.db2.gz QFFAFMSUUKCNDJ-CQSZACIVSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3(CC)CC3)CC2=O)C1 ZINC001108572212 762731366 /nfs/dbraw/zinc/73/13/66/762731366.db2.gz PJSSGYKFFZKBGM-ZDUSSCGKSA-N 1 2 305.422 1.154 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@@H]2C)ccc1C#N ZINC001067207222 758240309 /nfs/dbraw/zinc/24/03/09/758240309.db2.gz LPHSLPJAPMNACD-NHYWBVRUSA-N 1 2 324.388 1.486 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1nccc(C)n1)CCO2 ZINC001053241172 758297930 /nfs/dbraw/zinc/29/79/30/758297930.db2.gz GHDMIUTXVHYRCW-UHFFFAOYSA-N 1 2 316.405 1.278 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C)nnc1C)CCO2 ZINC001053271826 758328253 /nfs/dbraw/zinc/32/82/53/758328253.db2.gz JYJPRWOOSOLVJK-UHFFFAOYSA-N 1 2 316.405 1.196 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)(C)C)nn1C ZINC001017884293 758339753 /nfs/dbraw/zinc/33/97/53/758339753.db2.gz YOMGVYYTTQYAJH-OKILXGFUSA-N 1 2 314.433 1.640 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)(C)C)nn1C ZINC001017884293 758339760 /nfs/dbraw/zinc/33/97/60/758339760.db2.gz YOMGVYYTTQYAJH-OKILXGFUSA-N 1 2 314.433 1.640 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c[nH]nc1C(C)C)CCO2 ZINC001053323601 758374285 /nfs/dbraw/zinc/37/42/85/758374285.db2.gz NGCSENZULHFWSR-UHFFFAOYSA-N 1 2 318.421 1.636 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1ocnc1CC)CCO2 ZINC001053423015 758449962 /nfs/dbraw/zinc/44/99/62/758449962.db2.gz YZWQFEXVSXONLF-UHFFFAOYSA-N 1 2 319.405 1.730 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1nccs1)CCO2 ZINC001053481845 758490265 /nfs/dbraw/zinc/49/02/65/758490265.db2.gz RHZCVQRLFUZFSK-UHFFFAOYSA-N 1 2 307.419 1.636 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1CCC(C)CC1 ZINC001018034549 758492677 /nfs/dbraw/zinc/49/26/77/758492677.db2.gz NPVDJVBPEWXXBN-GASCZTMLSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1CCC(C)CC1 ZINC001018034549 758492683 /nfs/dbraw/zinc/49/26/83/758492683.db2.gz NPVDJVBPEWXXBN-GASCZTMLSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001053507917 758513300 /nfs/dbraw/zinc/51/33/00/758513300.db2.gz JIRDMBDLWPBGHS-CHWSQXEVSA-N 1 2 318.421 1.375 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)noc1Cl ZINC001018062790 758516359 /nfs/dbraw/zinc/51/63/59/758516359.db2.gz GQINRMXPEHTSRG-BETUJISGSA-N 1 2 321.808 1.877 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)noc1Cl ZINC001018062790 758516367 /nfs/dbraw/zinc/51/63/67/758516367.db2.gz GQINRMXPEHTSRG-BETUJISGSA-N 1 2 321.808 1.877 20 30 DDEDLO C[C@@]1(CNc2ccc(C#N)nc2)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065436860 758533557 /nfs/dbraw/zinc/53/35/57/758533557.db2.gz QSRLAXADJHMSIP-KRWDZBQOSA-N 1 2 324.388 1.500 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccccc1O)O2 ZINC001053574617 758584789 /nfs/dbraw/zinc/58/47/89/758584789.db2.gz GDIHZPOBWWNJAW-AWEZNQCLSA-N 1 2 316.401 1.932 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CC2CC2)c1 ZINC001018156277 758586614 /nfs/dbraw/zinc/58/66/14/758586614.db2.gz JUKGECJDDCJKSO-CALCHBBNSA-N 1 2 312.417 1.605 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CC2CC2)c1 ZINC001018156277 758586617 /nfs/dbraw/zinc/58/66/17/758586617.db2.gz JUKGECJDDCJKSO-CALCHBBNSA-N 1 2 312.417 1.605 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncc(F)cc1F)O2 ZINC001053615429 758633757 /nfs/dbraw/zinc/63/37/57/758633757.db2.gz LRVZQPTWTJIIMJ-LBPRGKRZSA-N 1 2 323.343 1.509 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c[nH]nc1CCC)O2 ZINC001053622355 758641104 /nfs/dbraw/zinc/64/11/04/758641104.db2.gz UUJUNCUPBNLKLD-CYBMUJFWSA-N 1 2 318.421 1.511 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(OC)ccn1)O2 ZINC001053641128 758654763 /nfs/dbraw/zinc/65/47/63/758654763.db2.gz KSQXBURJQMPNNI-CQSZACIVSA-N 1 2 317.389 1.239 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1Cc3ccccc31)O2 ZINC001053656587 758668898 /nfs/dbraw/zinc/66/88/98/758668898.db2.gz XWMCAIRMRLJDSN-NVXWUHKLSA-N 1 2 312.413 1.862 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1C[C@H]1C1CC1)O2 ZINC001053677197 758685967 /nfs/dbraw/zinc/68/59/67/758685967.db2.gz UENKGCVOFADBQK-OWCLPIDISA-N 1 2 304.434 1.958 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)C3CC3)C2)CC1 ZINC001065676960 758696815 /nfs/dbraw/zinc/69/68/15/758696815.db2.gz MEPSRFBHXWKISK-HNNXBMFYSA-N 1 2 305.422 1.108 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCN1C(=O)CCC ZINC001018300894 758710670 /nfs/dbraw/zinc/71/06/70/758710670.db2.gz FEVDATDQHGLBDP-XHSDSOJGSA-N 1 2 317.433 1.086 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCN1C(=O)CCC ZINC001018300894 758710673 /nfs/dbraw/zinc/71/06/73/758710673.db2.gz FEVDATDQHGLBDP-XHSDSOJGSA-N 1 2 317.433 1.086 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccn(C)c2C)C1 ZINC001108197080 758748819 /nfs/dbraw/zinc/74/88/19/758748819.db2.gz HUNTWUSRJVRMFJ-KRWDZBQOSA-N 1 2 303.406 1.178 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccn(C)c2C)C1 ZINC001108197080 758748821 /nfs/dbraw/zinc/74/88/21/758748821.db2.gz HUNTWUSRJVRMFJ-KRWDZBQOSA-N 1 2 303.406 1.178 20 30 DDEDLO C[C@H](Nc1ccc(C#N)cn1)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065733521 758750049 /nfs/dbraw/zinc/75/00/49/758750049.db2.gz LTHBPRUPVOBWJC-JSGCOSHPSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccnn1CC)CO2 ZINC001053786913 758812619 /nfs/dbraw/zinc/81/26/19/758812619.db2.gz QEXWEASDGVDYSB-CYBMUJFWSA-N 1 2 304.394 1.052 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)cc(C)n1)CO2 ZINC001053855865 758887159 /nfs/dbraw/zinc/88/71/59/758887159.db2.gz WSSOGGJPDSKVQB-OAHLLOKOSA-N 1 2 313.401 1.295 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cncc3[nH]ccc31)CO2 ZINC001053858671 758890078 /nfs/dbraw/zinc/89/00/78/758890078.db2.gz OEQPAOCWKLCKRX-CYBMUJFWSA-N 1 2 324.384 1.159 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1Cc3ccccc31)CO2 ZINC001053911966 758946519 /nfs/dbraw/zinc/94/65/19/758946519.db2.gz QWGLEISZRIVQDF-WBVHZDCISA-N 1 2 312.413 1.862 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)c1ccco1)CO2 ZINC001053922802 758957811 /nfs/dbraw/zinc/95/78/11/758957811.db2.gz JJDWGIFZOYTLJC-KBPBESRZSA-N 1 2 302.374 1.366 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)c1ccco1)CO2 ZINC001053922703 758958328 /nfs/dbraw/zinc/95/83/28/758958328.db2.gz GQYAOCAPUODQGY-KGLIPLIRSA-N 1 2 304.390 1.919 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C3CC3)no1)CO2 ZINC001053944398 758980851 /nfs/dbraw/zinc/98/08/51/758980851.db2.gz WQOZGLHMPCKBGM-CYBMUJFWSA-N 1 2 315.373 1.148 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1[nH]nc3ccccc31)CO2 ZINC001053998560 759044815 /nfs/dbraw/zinc/04/48/15/759044815.db2.gz NIQDPHSVEHLRKB-ZDUSSCGKSA-N 1 2 324.384 1.159 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn(C)c1 ZINC001054032185 759084356 /nfs/dbraw/zinc/08/43/56/759084356.db2.gz UNVZEKWBFKJFIT-SFHVURJKSA-N 1 2 322.412 1.600 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn(C)c1 ZINC001054032185 759084371 /nfs/dbraw/zinc/08/43/71/759084371.db2.gz UNVZEKWBFKJFIT-SFHVURJKSA-N 1 2 322.412 1.600 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099975686 759336848 /nfs/dbraw/zinc/33/68/48/759336848.db2.gz GBEDXXYORBJXMR-KGLIPLIRSA-N 1 2 323.462 1.859 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099975686 759336856 /nfs/dbraw/zinc/33/68/56/759336856.db2.gz GBEDXXYORBJXMR-KGLIPLIRSA-N 1 2 323.462 1.859 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)C2CCCC2)C1=O ZINC001085453298 759414333 /nfs/dbraw/zinc/41/43/33/759414333.db2.gz JNYCYUIUFWXYCS-JKSUJKDBSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C2CCCC2)C1=O ZINC001085453298 759414338 /nfs/dbraw/zinc/41/43/38/759414338.db2.gz JNYCYUIUFWXYCS-JKSUJKDBSA-N 1 2 319.449 1.496 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)Cc1c[nH+]cn1C ZINC001069122057 767860857 /nfs/dbraw/zinc/86/08/57/767860857.db2.gz MZKKXEXZTUUZEG-OCCSQVGLSA-N 1 2 324.388 1.331 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+][C@@H](C)c3nc(C)no3)C2)cc1 ZINC001019225476 759699265 /nfs/dbraw/zinc/69/92/65/759699265.db2.gz YOZLQRPOBLJZJC-LRDDRELGSA-N 1 2 324.384 1.925 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C2CCC2)nn1 ZINC001085600337 759815067 /nfs/dbraw/zinc/81/50/67/759815067.db2.gz QLIZBZPSSXVTMX-CQSZACIVSA-N 1 2 301.394 1.173 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C2CCC2)nn1 ZINC001085600337 759815071 /nfs/dbraw/zinc/81/50/71/759815071.db2.gz QLIZBZPSSXVTMX-CQSZACIVSA-N 1 2 301.394 1.173 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001085681084 759995030 /nfs/dbraw/zinc/99/50/30/759995030.db2.gz RVZSMBMMMQNOFA-MRXNPFEDSA-N 1 2 323.400 1.641 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001085681084 759995033 /nfs/dbraw/zinc/99/50/33/759995033.db2.gz RVZSMBMMMQNOFA-MRXNPFEDSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001085681357 759997906 /nfs/dbraw/zinc/99/79/06/759997906.db2.gz XNCIPJBKJWBYES-HNNXBMFYSA-N 1 2 309.373 1.251 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001085681357 759997909 /nfs/dbraw/zinc/99/79/09/759997909.db2.gz XNCIPJBKJWBYES-HNNXBMFYSA-N 1 2 309.373 1.251 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1occ2c1CCOC2 ZINC001085742176 760141977 /nfs/dbraw/zinc/14/19/77/760141977.db2.gz KMAKWQOVNGXXGW-CQSZACIVSA-N 1 2 302.374 1.522 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1occ2c1CCOC2 ZINC001085742176 760141984 /nfs/dbraw/zinc/14/19/84/760141984.db2.gz KMAKWQOVNGXXGW-CQSZACIVSA-N 1 2 302.374 1.522 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2ccncc2)on1 ZINC001085792586 760246437 /nfs/dbraw/zinc/24/64/37/760246437.db2.gz OASDRSUITUCBLE-HNNXBMFYSA-N 1 2 324.384 1.906 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2ccncc2)on1 ZINC001085792586 760246443 /nfs/dbraw/zinc/24/64/43/760246443.db2.gz OASDRSUITUCBLE-HNNXBMFYSA-N 1 2 324.384 1.906 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccn2cc(C)nc2c1 ZINC001085817455 760300392 /nfs/dbraw/zinc/30/03/92/760300392.db2.gz YSWDVHCCHCDDGK-MRXNPFEDSA-N 1 2 310.401 1.812 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccn2cc(C)nc2c1 ZINC001085817455 760300403 /nfs/dbraw/zinc/30/04/03/760300403.db2.gz YSWDVHCCHCDDGK-MRXNPFEDSA-N 1 2 310.401 1.812 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2C[C@@H](F)CC)nn1 ZINC001085860699 760398704 /nfs/dbraw/zinc/39/87/04/760398704.db2.gz GOALPZHKHRSPJV-STQMWFEESA-N 1 2 309.389 1.359 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C[C@@H](F)CC)nn1 ZINC001085860699 760398715 /nfs/dbraw/zinc/39/87/15/760398715.db2.gz GOALPZHKHRSPJV-STQMWFEESA-N 1 2 309.389 1.359 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(CC2CCCC2)c1 ZINC001085894674 760480537 /nfs/dbraw/zinc/48/05/37/760480537.db2.gz IOYYMTCWRIXRGC-KRWDZBQOSA-N 1 2 314.433 1.853 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(CC2CCCC2)c1 ZINC001085894674 760480541 /nfs/dbraw/zinc/48/05/41/760480541.db2.gz IOYYMTCWRIXRGC-KRWDZBQOSA-N 1 2 314.433 1.853 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cc(C)no2)cn1 ZINC001085932912 760562788 /nfs/dbraw/zinc/56/27/88/760562788.db2.gz LSIAHULMSFAZBZ-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cc(C)no2)cn1 ZINC001085932912 760562791 /nfs/dbraw/zinc/56/27/91/760562791.db2.gz LSIAHULMSFAZBZ-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2C[C@H](F)CC)nc1 ZINC001085933946 760564894 /nfs/dbraw/zinc/56/48/94/760564894.db2.gz NVHJWBZMHFEUJX-CABCVRRESA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C[C@H](F)CC)nc1 ZINC001085933946 760564897 /nfs/dbraw/zinc/56/48/97/760564897.db2.gz NVHJWBZMHFEUJX-CABCVRRESA-N 1 2 303.381 1.957 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001046851894 767985332 /nfs/dbraw/zinc/98/53/32/767985332.db2.gz KCZVHYHHAHKFJU-SWLSCSKDSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001046851894 767985333 /nfs/dbraw/zinc/98/53/33/767985333.db2.gz KCZVHYHHAHKFJU-SWLSCSKDSA-N 1 2 323.828 1.174 20 30 DDEDLO Cc1ccncc1C[N@@H+]1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038154369 760862567 /nfs/dbraw/zinc/86/25/67/760862567.db2.gz ROEKNKKMAWPBQJ-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1ccncc1C[N@H+]1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038154369 760862579 /nfs/dbraw/zinc/86/25/79/760862579.db2.gz ROEKNKKMAWPBQJ-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(-c2ccn(C)n2)on1 ZINC001038304555 760990178 /nfs/dbraw/zinc/99/01/78/760990178.db2.gz YKSQMCDULMVQRU-LLVKDONJSA-N 1 2 301.350 1.065 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(-c2ccn(C)n2)on1 ZINC001038304555 760990183 /nfs/dbraw/zinc/99/01/83/760990183.db2.gz YKSQMCDULMVQRU-LLVKDONJSA-N 1 2 301.350 1.065 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001038419081 761101602 /nfs/dbraw/zinc/10/16/02/761101602.db2.gz SVXKQBLTQXLEAL-STQMWFEESA-N 1 2 308.813 1.389 20 30 DDEDLO C[C@H]1[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ccc(C#N)cn1 ZINC001069415751 768016519 /nfs/dbraw/zinc/01/65/19/768016519.db2.gz UPJDUAXLYBLWJM-SWLSCSKDSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1nccnc1C ZINC001038714765 761318369 /nfs/dbraw/zinc/31/83/69/761318369.db2.gz UQBGVEZTFLPWHQ-LZWOXQAQSA-N 1 2 316.405 1.067 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1nccnc1C ZINC001038714765 761318377 /nfs/dbraw/zinc/31/83/77/761318377.db2.gz UQBGVEZTFLPWHQ-LZWOXQAQSA-N 1 2 316.405 1.067 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001108261877 761425576 /nfs/dbraw/zinc/42/55/76/761425576.db2.gz FYVWURLOHRSOQP-CRAIPNDOSA-N 1 2 318.417 1.922 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001108261877 761425581 /nfs/dbraw/zinc/42/55/81/761425581.db2.gz FYVWURLOHRSOQP-CRAIPNDOSA-N 1 2 318.417 1.922 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001108272723 761578863 /nfs/dbraw/zinc/57/88/63/761578863.db2.gz OMIFZLQTIHUMGA-LZLYRXPVSA-N 1 2 304.434 1.653 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001108272723 761578868 /nfs/dbraw/zinc/57/88/68/761578868.db2.gz OMIFZLQTIHUMGA-LZLYRXPVSA-N 1 2 304.434 1.653 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(OC)ccc1OC ZINC001039003977 761634932 /nfs/dbraw/zinc/63/49/32/761634932.db2.gz RRSDORRKCGMMKU-CYBMUJFWSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(OC)ccc1OC ZINC001039003977 761634936 /nfs/dbraw/zinc/63/49/36/761634936.db2.gz RRSDORRKCGMMKU-CYBMUJFWSA-N 1 2 302.374 1.531 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cncc(Cl)c2)C1 ZINC001108278192 761647075 /nfs/dbraw/zinc/64/70/75/761647075.db2.gz ITSLGBDPFLJKCE-HNNXBMFYSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cncc(Cl)c2)C1 ZINC001108278192 761647081 /nfs/dbraw/zinc/64/70/81/761647081.db2.gz ITSLGBDPFLJKCE-HNNXBMFYSA-N 1 2 309.797 1.742 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2ccc(=O)[nH]n2)CC1 ZINC001000390584 761692993 /nfs/dbraw/zinc/69/29/93/761692993.db2.gz CBFZDRHPVYSEII-UHFFFAOYSA-N 1 2 308.769 1.297 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2ccc(=O)[nH]n2)CC1 ZINC001000390584 761692999 /nfs/dbraw/zinc/69/29/99/761692999.db2.gz CBFZDRHPVYSEII-UHFFFAOYSA-N 1 2 308.769 1.297 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC001000515077 761860320 /nfs/dbraw/zinc/86/03/20/761860320.db2.gz HKTLZVQNCOFNAR-STQMWFEESA-N 1 2 312.797 1.296 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC001000515077 761860328 /nfs/dbraw/zinc/86/03/28/761860328.db2.gz HKTLZVQNCOFNAR-STQMWFEESA-N 1 2 312.797 1.296 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@](C)(CC)CCC)[C@@H](n2ccnn2)C1 ZINC001129348065 762709624 /nfs/dbraw/zinc/70/96/24/762709624.db2.gz JOBZKADTPSCGAL-HLLBOEOZSA-N 1 2 317.437 1.469 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@](C)(CC)CCC)[C@@H](n2ccnn2)C1 ZINC001129348065 762709630 /nfs/dbraw/zinc/70/96/30/762709630.db2.gz JOBZKADTPSCGAL-HLLBOEOZSA-N 1 2 317.437 1.469 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H](CC)CC(C)C)CC2=O)C1 ZINC001108584688 762759385 /nfs/dbraw/zinc/75/93/85/762759385.db2.gz LJMCDNCUZVNPFA-HUUCEWRRSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(C)C[NH+]1CCC(CNC(=O)c2cncc3nc[nH]c32)CC1 ZINC001001431228 762858125 /nfs/dbraw/zinc/85/81/25/762858125.db2.gz LIZODDXTNKGXCG-UHFFFAOYSA-N 1 2 313.405 1.976 20 30 DDEDLO C#CCC[N@H+]1CC[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041303221 762946280 /nfs/dbraw/zinc/94/62/80/762946280.db2.gz DAEKNKKJZWSSDK-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@@H+]1CC[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041303221 762946286 /nfs/dbraw/zinc/94/62/86/762946286.db2.gz DAEKNKKJZWSSDK-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@H+]1CC[C@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001041303221 762946292 /nfs/dbraw/zinc/94/62/92/762946292.db2.gz DAEKNKKJZWSSDK-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@@H+]1CC[C@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001041303221 762946299 /nfs/dbraw/zinc/94/62/99/762946299.db2.gz DAEKNKKJZWSSDK-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO Cc1ccnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)n1 ZINC001111666510 762971235 /nfs/dbraw/zinc/97/12/35/762971235.db2.gz DPQWESHTDJTUCI-IFIJOSMWSA-N 1 2 313.405 1.415 20 30 DDEDLO Cc1ccnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)n1 ZINC001111666510 762971242 /nfs/dbraw/zinc/97/12/42/762971242.db2.gz DPQWESHTDJTUCI-IFIJOSMWSA-N 1 2 313.405 1.415 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CCCC(C)C)CC1 ZINC001131385589 768098380 /nfs/dbraw/zinc/09/83/80/768098380.db2.gz GGDOJZKQPOXZIG-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CCCC(C)C)CC1 ZINC001131385589 768098388 /nfs/dbraw/zinc/09/83/88/768098388.db2.gz GGDOJZKQPOXZIG-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@H](C=C)CC)CC1 ZINC001131376606 768100871 /nfs/dbraw/zinc/10/08/71/768100871.db2.gz BLVRAPQINAPBMB-OAHLLOKOSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@H](C=C)CC)CC1 ZINC001131376606 768100875 /nfs/dbraw/zinc/10/08/75/768100875.db2.gz BLVRAPQINAPBMB-OAHLLOKOSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@]2(CC[N@@H+](Cc3cnn(C)n3)C2)C1 ZINC001041545338 763122488 /nfs/dbraw/zinc/12/24/88/763122488.db2.gz UTHRUCUCZUZDHG-QGZVFWFLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@]2(CC[N@H+](Cc3cnn(C)n3)C2)C1 ZINC001041545338 763122493 /nfs/dbraw/zinc/12/24/93/763122493.db2.gz UTHRUCUCZUZDHG-QGZVFWFLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@H](C)C(C)(C)C)CC1 ZINC001131392857 768111073 /nfs/dbraw/zinc/11/10/73/768111073.db2.gz GVIYCXKHHGMTPV-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@H](C)C(C)(C)C)CC1 ZINC001131392857 768111080 /nfs/dbraw/zinc/11/10/80/768111080.db2.gz GVIYCXKHHGMTPV-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)N[C@@H](C)C(C)C ZINC001109046758 763263402 /nfs/dbraw/zinc/26/34/02/763263402.db2.gz YOSVUFFXVNJMER-FZKCQIBNSA-N 1 2 321.465 1.835 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)N[C@@H](C)C(C)C ZINC001109046758 763263404 /nfs/dbraw/zinc/26/34/04/763263404.db2.gz YOSVUFFXVNJMER-FZKCQIBNSA-N 1 2 321.465 1.835 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)C1CC(Nc2ccc(C#N)nc2)C1 ZINC001069751872 768127785 /nfs/dbraw/zinc/12/77/85/768127785.db2.gz RZNCHGBNUVUAJN-UHFFFAOYSA-N 1 2 310.361 1.251 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(C)n1 ZINC001109208176 763458938 /nfs/dbraw/zinc/45/89/38/763458938.db2.gz DCNSOWBWXJNSDT-PMPSAXMXSA-N 1 2 300.406 1.445 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(C)n1 ZINC001109208176 763458945 /nfs/dbraw/zinc/45/89/45/763458945.db2.gz DCNSOWBWXJNSDT-PMPSAXMXSA-N 1 2 300.406 1.445 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)no1 ZINC001042058375 763601835 /nfs/dbraw/zinc/60/18/35/763601835.db2.gz QSODIHTVFHDRSH-RDJZCZTQSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)no1 ZINC001042058375 763601841 /nfs/dbraw/zinc/60/18/41/763601841.db2.gz QSODIHTVFHDRSH-RDJZCZTQSA-N 1 2 313.401 1.819 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CC(=C)C)C2 ZINC001109633339 763895416 /nfs/dbraw/zinc/89/54/16/763895416.db2.gz XXOPQLGLZPEOLH-WCVJEAGWSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CC(=C)C)C2 ZINC001109633339 763895425 /nfs/dbraw/zinc/89/54/25/763895425.db2.gz XXOPQLGLZPEOLH-WCVJEAGWSA-N 1 2 317.433 1.060 20 30 DDEDLO CC(C)C[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109894145 764173878 /nfs/dbraw/zinc/17/38/78/764173878.db2.gz AMIWDNRMQUONCO-KBXIAJHMSA-N 1 2 320.437 1.030 20 30 DDEDLO CC(C)C[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109894145 764173888 /nfs/dbraw/zinc/17/38/88/764173888.db2.gz AMIWDNRMQUONCO-KBXIAJHMSA-N 1 2 320.437 1.030 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn2c(n1)CCCC2 ZINC001050944739 764320735 /nfs/dbraw/zinc/32/07/35/764320735.db2.gz DSUCBBPHRKJMQQ-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cn2c(n1)CCCC2 ZINC001050944739 764320741 /nfs/dbraw/zinc/32/07/41/764320741.db2.gz DSUCBBPHRKJMQQ-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc2cc[nH]c2n1 ZINC001050970613 764366379 /nfs/dbraw/zinc/36/63/79/764366379.db2.gz IVZOFCDEIVKZTI-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc2cc[nH]c2n1 ZINC001050970613 764366386 /nfs/dbraw/zinc/36/63/86/764366386.db2.gz IVZOFCDEIVKZTI-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3CCO[C@@H]3C)c2C1 ZINC001069879026 768209332 /nfs/dbraw/zinc/20/93/32/768209332.db2.gz YXEIEUXYYZECEF-UKRRQHHQSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3CCO[C@@H]3C)c2C1 ZINC001069879026 768209335 /nfs/dbraw/zinc/20/93/35/768209335.db2.gz YXEIEUXYYZECEF-UKRRQHHQSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nnc1CC ZINC001050990282 764388710 /nfs/dbraw/zinc/38/87/10/764388710.db2.gz DHRWTKLGZUJVIO-AWEZNQCLSA-N 1 2 318.421 1.354 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nnc1CC ZINC001050990282 764388719 /nfs/dbraw/zinc/38/87/19/764388719.db2.gz DHRWTKLGZUJVIO-AWEZNQCLSA-N 1 2 318.421 1.354 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1n[nH]c2c1CCCC2 ZINC001050998323 764401342 /nfs/dbraw/zinc/40/13/42/764401342.db2.gz KFRZRNSVKBXPFS-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1n[nH]c2c1CCCC2 ZINC001050998323 764401346 /nfs/dbraw/zinc/40/13/46/764401346.db2.gz KFRZRNSVKBXPFS-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCCN2C(=O)C(C)(C)C)C1 ZINC001042766863 764428408 /nfs/dbraw/zinc/42/84/08/764428408.db2.gz HLNBEPUMTBCXHB-AWEZNQCLSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccn(C)c1CC ZINC001051113594 764518556 /nfs/dbraw/zinc/51/85/56/764518556.db2.gz YKLOVEQTWUVOKN-AWEZNQCLSA-N 1 2 305.422 1.594 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccn(C)c1CC ZINC001051113594 764518566 /nfs/dbraw/zinc/51/85/66/764518566.db2.gz YKLOVEQTWUVOKN-AWEZNQCLSA-N 1 2 305.422 1.594 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C1CN(Cc2c[nH+]cn2C)C1 ZINC001042931203 764536003 /nfs/dbraw/zinc/53/60/03/764536003.db2.gz XSKUKJUMURNYRM-UHFFFAOYSA-N 1 2 313.405 1.364 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2c(CC)nn(C)c2CC)C1 ZINC001042951926 764546984 /nfs/dbraw/zinc/54/69/84/764546984.db2.gz WZWAIQAYFLTIQB-UHFFFAOYSA-N 1 2 318.465 1.806 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCN2c2ncccn2)C1 ZINC001042971267 764560188 /nfs/dbraw/zinc/56/01/88/764560188.db2.gz JMKXIOPGICWTRP-HNNXBMFYSA-N 1 2 315.421 1.164 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2coc(-c3ccccn3)n2)C1 ZINC001043221882 764776206 /nfs/dbraw/zinc/77/62/06/764776206.db2.gz WGVZRFCFLIHGJI-UHFFFAOYSA-N 1 2 310.357 1.516 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H](C)[C@H](Nc3cc[nH+]c(C)n3)C2)nc1 ZINC001043267476 764798320 /nfs/dbraw/zinc/79/83/20/764798320.db2.gz QFEHQRZXLDAOEZ-MLGOLLRUSA-N 1 2 321.384 1.734 20 30 DDEDLO C#CCC1(C(=O)N2CC[NH+](CCOCC(C)C)CC2)CCC1 ZINC001112953111 765040260 /nfs/dbraw/zinc/04/02/60/765040260.db2.gz FOPDXHCIIBKUFJ-UHFFFAOYSA-N 1 2 306.450 1.997 20 30 DDEDLO C#CCC1(C(=O)N2CCN(Cc3c[nH+]cn3C)CC2)CCC1 ZINC001112953436 765040315 /nfs/dbraw/zinc/04/03/15/765040315.db2.gz WSTRDFNEGKPKKA-UHFFFAOYSA-N 1 2 300.406 1.258 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001112995544 765108499 /nfs/dbraw/zinc/10/84/99/765108499.db2.gz DJWZBHZNZHADAN-QWHCGFSZSA-N 1 2 317.437 1.736 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001112995544 765108502 /nfs/dbraw/zinc/10/85/02/765108502.db2.gz DJWZBHZNZHADAN-QWHCGFSZSA-N 1 2 317.437 1.736 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H](C[NH2+]Cc2cnsn2)C1 ZINC001051754825 765127102 /nfs/dbraw/zinc/12/71/02/765127102.db2.gz BLWXKKJVOIIKPP-LBPRGKRZSA-N 1 2 310.423 1.067 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C)nnc2CC)CC1 ZINC001113032456 765164797 /nfs/dbraw/zinc/16/47/97/765164797.db2.gz YGWQHTSTRGBQLN-UHFFFAOYSA-N 1 2 318.421 1.308 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(C)nc2OCCC)C1 ZINC001044126041 765326802 /nfs/dbraw/zinc/32/68/02/765326802.db2.gz VNCIYYKOEADUOK-UHFFFAOYSA-N 1 2 315.417 1.958 20 30 DDEDLO Cc1ccsc1C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001051970094 765327018 /nfs/dbraw/zinc/32/70/18/765327018.db2.gz WMGUIKVQKNREEG-AWEZNQCLSA-N 1 2 318.446 1.412 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3CC3(F)F)C2)CC1 ZINC001052019794 765391027 /nfs/dbraw/zinc/39/10/27/765391027.db2.gz BCHBFBQXFOVNJN-ZIAGYGMSSA-N 1 2 313.392 1.436 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)c3[nH]ccc3C)C2)CC1 ZINC001052021891 765395395 /nfs/dbraw/zinc/39/53/95/765395395.db2.gz GTYFNZCSLBYUAJ-INIZCTEOSA-N 1 2 316.449 1.731 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3CC(C)(C)C3)C2)CC1 ZINC001052023764 765400156 /nfs/dbraw/zinc/40/01/56/765400156.db2.gz WQBRUKLUQHLNKD-QGZVFWFLSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H](C)C3CCC3)C2)CC1 ZINC001052042313 765414331 /nfs/dbraw/zinc/41/43/31/765414331.db2.gz ARYAISSAMTVOIO-SJLPKXTDSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3CC34CCC4)C2)CC1 ZINC001052091052 765463071 /nfs/dbraw/zinc/46/30/71/765463071.db2.gz BQZWIQWICPWKBJ-SJORKVTESA-N 1 2 315.461 1.418 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCCN(C(=O)CCC)C2)C1 ZINC001044327924 765484651 /nfs/dbraw/zinc/48/46/51/765484651.db2.gz KCTUJJBJYBMGKS-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[NH+](CCOCC(C)C)CC1 ZINC001113420982 765676078 /nfs/dbraw/zinc/67/60/78/765676078.db2.gz LWDVVZZOZPMJIT-IRXDYDNUSA-N 1 2 324.465 1.784 20 30 DDEDLO Cc1nc(N(C)[C@@H](C)CNC(=O)CSCC#N)c(C)c(C)[nH+]1 ZINC001113496475 765755822 /nfs/dbraw/zinc/75/58/22/765755822.db2.gz NUMSZQLGLKADNY-JTQLQIEISA-N 1 2 321.450 1.600 20 30 DDEDLO Cc1cccn2cc(CC(=O)N[C@H]3C[C@H]4CC[C@@H]3N4CC#N)[nH+]c12 ZINC001096169679 768320896 /nfs/dbraw/zinc/32/08/96/768320896.db2.gz HEJXIKSBVQEEDJ-PMPSAXMXSA-N 1 2 323.400 1.430 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccccc2)CC[C@H]1C ZINC001131747558 768321155 /nfs/dbraw/zinc/32/11/55/768321155.db2.gz LUJNKKOCLPSBQV-ZBFHGGJFSA-N 1 2 313.401 1.019 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccccc2)CC[C@H]1C ZINC001131747558 768321161 /nfs/dbraw/zinc/32/11/61/768321161.db2.gz LUJNKKOCLPSBQV-ZBFHGGJFSA-N 1 2 313.401 1.019 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@@H]1CCCN(CC#N)CC1)C2 ZINC001052506680 765899582 /nfs/dbraw/zinc/89/95/82/765899582.db2.gz JEQOQSAYHGQJEJ-UONOGXRCSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@@H]1CCCN(CC#N)CC1)CC2 ZINC001052506680 765899589 /nfs/dbraw/zinc/89/95/89/765899589.db2.gz JEQOQSAYHGQJEJ-UONOGXRCSA-N 1 2 315.421 1.317 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(COC)cc2)CC1 ZINC001113620687 765947350 /nfs/dbraw/zinc/94/73/50/765947350.db2.gz MAJSLGBBQVMVSX-UHFFFAOYSA-N 1 2 318.417 1.793 20 30 DDEDLO COCC(=O)N[C@@H]1[C@H]2C[N@H+](CC#Cc3ccccc3Cl)C[C@H]21 ZINC001113764367 766102347 /nfs/dbraw/zinc/10/23/47/766102347.db2.gz JPZUGBCFZVOFJJ-HALDLXJZSA-N 1 2 318.804 1.384 20 30 DDEDLO COCC(=O)N[C@@H]1[C@H]2C[N@@H+](CC#Cc3ccccc3Cl)C[C@H]21 ZINC001113764367 766102349 /nfs/dbraw/zinc/10/23/49/766102349.db2.gz JPZUGBCFZVOFJJ-HALDLXJZSA-N 1 2 318.804 1.384 20 30 DDEDLO CC1(NC(=O)c2c[nH]c(C#N)c2)CC[NH+](Cc2ccon2)CC1 ZINC001045411614 766291416 /nfs/dbraw/zinc/29/14/16/766291416.db2.gz MZVWORMLWXXGEJ-UHFFFAOYSA-N 1 2 313.361 1.659 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)NC1(C)CCN(CC#N)CC1)C2 ZINC001045483470 766340924 /nfs/dbraw/zinc/34/09/24/766340924.db2.gz LOGDILDOUURGDD-CYBMUJFWSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)NC1(C)CCN(CC#N)CC1)CC2 ZINC001045483470 766340932 /nfs/dbraw/zinc/34/09/32/766340932.db2.gz LOGDILDOUURGDD-CYBMUJFWSA-N 1 2 315.421 1.317 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC[C@H]1C ZINC001114035651 766569299 /nfs/dbraw/zinc/56/92/99/766569299.db2.gz ATTMQOYKRNKJGU-UKRRQHHQSA-N 1 2 316.405 1.156 20 30 DDEDLO CCCCc1noc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)n1 ZINC001114067601 766593546 /nfs/dbraw/zinc/59/35/46/766593546.db2.gz UKCFFJKAHUFULH-FJJYHAOUSA-N 1 2 317.393 1.118 20 30 DDEDLO CCCCc1noc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)n1 ZINC001114067601 766593555 /nfs/dbraw/zinc/59/35/55/766593555.db2.gz UKCFFJKAHUFULH-FJJYHAOUSA-N 1 2 317.393 1.118 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnc(Cl)s3)C[C@H]21 ZINC001114064665 766603748 /nfs/dbraw/zinc/60/37/48/766603748.db2.gz UCKLFENNNZMVKZ-SNXWAXQRSA-N 1 2 310.810 1.503 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnc(Cl)s3)C[C@H]21 ZINC001114064665 766603739 /nfs/dbraw/zinc/60/37/39/766603739.db2.gz UCKLFENNNZMVKZ-SNXWAXQRSA-N 1 2 310.810 1.503 20 30 DDEDLO N#Cc1ccc(N(CCCNC(=O)Cc2[nH]cc[nH+]2)C2CC2)nc1 ZINC001096049019 766628285 /nfs/dbraw/zinc/62/82/85/766628285.db2.gz QKIFEBZXPDJCLK-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001114108185 766645484 /nfs/dbraw/zinc/64/54/84/766645484.db2.gz LKWMOIDYXKCYQS-HALDLXJZSA-N 1 2 319.405 1.512 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001114108185 766645488 /nfs/dbraw/zinc/64/54/88/766645488.db2.gz LKWMOIDYXKCYQS-HALDLXJZSA-N 1 2 319.405 1.512 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2nccs2)C1 ZINC001086616768 766709602 /nfs/dbraw/zinc/70/96/02/766709602.db2.gz WWBDUQWBEKXXLX-LSDHHAIUSA-N 1 2 311.410 1.974 20 30 DDEDLO C#CC[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2nccs2)C1 ZINC001086616768 766709608 /nfs/dbraw/zinc/70/96/08/766709608.db2.gz WWBDUQWBEKXXLX-LSDHHAIUSA-N 1 2 311.410 1.974 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)(C)O ZINC001114214588 766775345 /nfs/dbraw/zinc/77/53/45/766775345.db2.gz GQILZIUWIJQDJU-IAZYJMLFSA-N 1 2 317.227 1.102 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)(C)O ZINC001114214588 766775347 /nfs/dbraw/zinc/77/53/47/766775347.db2.gz GQILZIUWIJQDJU-IAZYJMLFSA-N 1 2 317.227 1.102 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@H]1CN(CC#N)C[C@H]1c1ccccc1 ZINC001086619835 766793892 /nfs/dbraw/zinc/79/38/92/766793892.db2.gz KRSZBDFYWOPZTI-DLBZAZTESA-N 1 2 323.400 1.070 20 30 DDEDLO CC1(C)CN(c2ccncc2C#N)C[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001068119656 766931752 /nfs/dbraw/zinc/93/17/52/766931752.db2.gz TZLYCSSTPSUEGI-AWEZNQCLSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCCC1(C(=O)N(CCO)CCNc2cc[nH+]c(C)n2)CC1 ZINC001111555139 767382955 /nfs/dbraw/zinc/38/29/55/767382955.db2.gz RVGBWWMYEBJSJG-UHFFFAOYSA-N 1 2 318.421 1.764 20 30 DDEDLO CN(c1ncccc1C#N)C1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001068734286 767553172 /nfs/dbraw/zinc/55/31/72/767553172.db2.gz SUOZTDOTIOCZIA-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO CCCCCC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130910931 767798253 /nfs/dbraw/zinc/79/82/53/767798253.db2.gz QCSDMNGPWFPRSX-ZIAGYGMSSA-N 1 2 303.410 1.408 20 30 DDEDLO CC/C(C)=C\C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130980577 767828578 /nfs/dbraw/zinc/82/85/78/767828578.db2.gz MWFFDACONDAUIM-PISUUVGWSA-N 1 2 301.394 1.184 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047307859 768391536 /nfs/dbraw/zinc/39/15/36/768391536.db2.gz AZFRIZAZHTUMRY-KBPBESRZSA-N 1 2 307.419 1.279 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047307859 768391544 /nfs/dbraw/zinc/39/15/44/768391544.db2.gz AZFRIZAZHTUMRY-KBPBESRZSA-N 1 2 307.419 1.279 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2nc(C)oc2C)C1 ZINC001131945759 768502869 /nfs/dbraw/zinc/50/28/69/768502869.db2.gz ADRIRIYSLDTYOB-SWLSCSKDSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2nc(C)oc2C)C1 ZINC001131945759 768502870 /nfs/dbraw/zinc/50/28/70/768502870.db2.gz ADRIRIYSLDTYOB-SWLSCSKDSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2snnc2C)C1 ZINC001131947329 768506205 /nfs/dbraw/zinc/50/62/05/768506205.db2.gz SRMJXGYVZDUNSP-DGCLKSJQSA-N 1 2 324.450 1.518 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2snnc2C)C1 ZINC001131947329 768506208 /nfs/dbraw/zinc/50/62/08/768506208.db2.gz SRMJXGYVZDUNSP-DGCLKSJQSA-N 1 2 324.450 1.518 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(CC)nc2C)C1 ZINC001047562238 768573101 /nfs/dbraw/zinc/57/31/01/768573101.db2.gz KFKOPBBLMKBCCA-STQMWFEESA-N 1 2 321.446 1.154 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(CC)nc2C)C1 ZINC001047562238 768573106 /nfs/dbraw/zinc/57/31/06/768573106.db2.gz KFKOPBBLMKBCCA-STQMWFEESA-N 1 2 321.446 1.154 20 30 DDEDLO CCn1nncc1C[N@@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132045258 768598908 /nfs/dbraw/zinc/59/89/08/768598908.db2.gz CTZJAUSTBHTZJX-ZFWWWQNUSA-N 1 2 315.421 1.181 20 30 DDEDLO CCn1nncc1C[N@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132045258 768598913 /nfs/dbraw/zinc/59/89/13/768598913.db2.gz CTZJAUSTBHTZJX-ZFWWWQNUSA-N 1 2 315.421 1.181 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CCS(C)(=O)=O)C1 ZINC001132063840 768612833 /nfs/dbraw/zinc/61/28/33/768612833.db2.gz ACVMFBHFGRXSGE-UONOGXRCSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[C@H](C)[N@H+](CCS(C)(=O)=O)C1 ZINC001132063840 768612836 /nfs/dbraw/zinc/61/28/36/768612836.db2.gz ACVMFBHFGRXSGE-UONOGXRCSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C(C)(C)C(N)=O)CC[C@@H]1C ZINC001132120789 768630233 /nfs/dbraw/zinc/63/02/33/768630233.db2.gz XMSDZRDRSICMPK-QWRGUYRKSA-N 1 2 301.818 1.220 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)C(N)=O)CC[C@@H]1C ZINC001132120789 768630237 /nfs/dbraw/zinc/63/02/37/768630237.db2.gz XMSDZRDRSICMPK-QWRGUYRKSA-N 1 2 301.818 1.220 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)COCC(F)F)CC[C@H]1C ZINC001132201964 768684548 /nfs/dbraw/zinc/68/45/48/768684548.db2.gz AAMBTGHWRDSZEN-GHMZBOCLSA-N 1 2 310.772 1.990 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)COCC(F)F)CC[C@H]1C ZINC001132201964 768684551 /nfs/dbraw/zinc/68/45/51/768684551.db2.gz AAMBTGHWRDSZEN-GHMZBOCLSA-N 1 2 310.772 1.990 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001070820995 768743405 /nfs/dbraw/zinc/74/34/05/768743405.db2.gz GJAIQNQUCPDQDT-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2CCC[C@H]2C)CC1 ZINC001070966850 768820853 /nfs/dbraw/zinc/82/08/53/768820853.db2.gz QQOVOYQUWKKWAU-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2CCC[C@H]2C)CC1 ZINC001070966850 768820866 /nfs/dbraw/zinc/82/08/66/768820866.db2.gz QQOVOYQUWKKWAU-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001070997690 768859433 /nfs/dbraw/zinc/85/94/33/768859433.db2.gz VGBVDCBWVSCPBN-UHFFFAOYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001070997690 768859441 /nfs/dbraw/zinc/85/94/41/768859441.db2.gz VGBVDCBWVSCPBN-UHFFFAOYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[C@@H](C)[N@@H+](CC(N)=O)C2)CCCCC1 ZINC001132508531 768939118 /nfs/dbraw/zinc/93/91/18/768939118.db2.gz LOISTMOQHGRREQ-CABCVRRESA-N 1 2 321.465 1.967 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[C@@H](C)[N@H+](CC(N)=O)C2)CCCCC1 ZINC001132508531 768939121 /nfs/dbraw/zinc/93/91/21/768939121.db2.gz LOISTMOQHGRREQ-CABCVRRESA-N 1 2 321.465 1.967 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@@H](C)O2 ZINC001071166055 769085643 /nfs/dbraw/zinc/08/56/43/769085643.db2.gz BHXJFSGOSHVFHC-DOMZBBRYSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@@H](C)O2 ZINC001071166055 769085650 /nfs/dbraw/zinc/08/56/50/769085650.db2.gz BHXJFSGOSHVFHC-DOMZBBRYSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@@H]1C ZINC001071326676 769289149 /nfs/dbraw/zinc/28/91/49/769289149.db2.gz GYPLEWHITPALFM-QWRGUYRKSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@@H]1C ZINC001071326676 769289153 /nfs/dbraw/zinc/28/91/53/769289153.db2.gz GYPLEWHITPALFM-QWRGUYRKSA-N 1 2 310.785 1.517 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2cn[nH]n2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071635010 769761420 /nfs/dbraw/zinc/76/14/20/769761420.db2.gz MBYQHISIQRMOFH-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2cn[nH]n2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071635010 769761429 /nfs/dbraw/zinc/76/14/29/769761429.db2.gz MBYQHISIQRMOFH-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2cnn[nH]2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071635010 769761437 /nfs/dbraw/zinc/76/14/37/769761437.db2.gz MBYQHISIQRMOFH-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2cnn[nH]2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071635010 769761445 /nfs/dbraw/zinc/76/14/45/769761445.db2.gz MBYQHISIQRMOFH-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccc(C#N)cn1 ZINC001048796680 769902233 /nfs/dbraw/zinc/90/22/33/769902233.db2.gz BNPVMRMGTFSGQF-UONOGXRCSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2noc(-c3ccoc3)n2)C1 ZINC001133565413 769994976 /nfs/dbraw/zinc/99/49/76/769994976.db2.gz ASFOSCLZCSVLGM-UHFFFAOYSA-N 1 2 316.361 1.892 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2nnc(OCC)s2)C1 ZINC001133571207 770002392 /nfs/dbraw/zinc/00/23/92/770002392.db2.gz KIOOFEZWDMJNAZ-UHFFFAOYSA-N 1 2 310.423 1.499 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001071996439 770408151 /nfs/dbraw/zinc/40/81/51/770408151.db2.gz RAWWRVJUDXFXQV-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001071999609 770413052 /nfs/dbraw/zinc/41/30/52/770413052.db2.gz PDNBZBVVROIDLG-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072226131 770681171 /nfs/dbraw/zinc/68/11/71/770681171.db2.gz LIGRVVSEEMYNGL-UONOGXRCSA-N 1 2 304.394 1.203 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1ncccn1 ZINC001049468123 770893739 /nfs/dbraw/zinc/89/37/39/770893739.db2.gz SNKIDTMCCPZFFI-HOTGVXAUSA-N 1 2 310.401 1.455 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1ncccn1 ZINC001049468123 770893750 /nfs/dbraw/zinc/89/37/50/770893750.db2.gz SNKIDTMCCPZFFI-HOTGVXAUSA-N 1 2 310.401 1.455 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001072452490 770918321 /nfs/dbraw/zinc/91/83/21/770918321.db2.gz CGWQMLXYBCKZIX-KGLIPLIRSA-N 1 2 304.394 1.203 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1cn2c([nH+]1)CCCC2)NCC#N ZINC001134403110 770989583 /nfs/dbraw/zinc/98/95/83/770989583.db2.gz KJXNYOQJFBDKRT-OLZOCXBDSA-N 1 2 303.410 1.158 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccn(CC)n1)C2 ZINC001097107575 771626791 /nfs/dbraw/zinc/62/67/91/771626791.db2.gz JJHZDIKZBMUPSI-KFWWJZLASA-N 1 2 322.840 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccn(CC)n1)C2 ZINC001097107575 771626794 /nfs/dbraw/zinc/62/67/94/771626794.db2.gz JJHZDIKZBMUPSI-KFWWJZLASA-N 1 2 322.840 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2occc2CC)[C@@H](O)C1 ZINC001090548323 771981331 /nfs/dbraw/zinc/98/13/31/771981331.db2.gz XAUOVAFNQOPTPI-OLZOCXBDSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2occc2CC)[C@@H](O)C1 ZINC001090548323 771981335 /nfs/dbraw/zinc/98/13/35/771981335.db2.gz XAUOVAFNQOPTPI-OLZOCXBDSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ocnc2CC)[C@@H](O)C1 ZINC001090562096 771990208 /nfs/dbraw/zinc/99/02/08/771990208.db2.gz HAUWFVZWHSJXTP-RYUDHWBXSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ocnc2CC)[C@@H](O)C1 ZINC001090562096 771990213 /nfs/dbraw/zinc/99/02/13/771990213.db2.gz HAUWFVZWHSJXTP-RYUDHWBXSA-N 1 2 313.785 1.155 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCCNc1cc(Cl)cc(N)n1 ZINC001171068529 772218972 /nfs/dbraw/zinc/21/89/72/772218972.db2.gz ZWGNNESQPTVBRS-NSHDSACASA-N 1 2 312.801 1.956 20 30 DDEDLO O=C(CCS)NCc1cccc(OCC[NH+]2CCOCC2)c1 ZINC001143979949 772367848 /nfs/dbraw/zinc/36/78/48/772367848.db2.gz URWASFSNQBLVLY-UHFFFAOYSA-N 1 2 324.446 1.334 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2cncc(C#N)c2)C1 ZINC001144085712 772390960 /nfs/dbraw/zinc/39/09/60/772390960.db2.gz CAQVXPMPCNISNY-OAHLLOKOSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2cncc(C#N)c2)C1 ZINC001144085712 772390961 /nfs/dbraw/zinc/39/09/61/772390961.db2.gz CAQVXPMPCNISNY-OAHLLOKOSA-N 1 2 300.362 1.830 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CCOCC)C1 ZINC001149357036 772392149 /nfs/dbraw/zinc/39/21/49/772392149.db2.gz WWPZPQNJAKYCFV-CYBMUJFWSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CCOCC)C1 ZINC001149357036 772392152 /nfs/dbraw/zinc/39/21/52/772392152.db2.gz WWPZPQNJAKYCFV-CYBMUJFWSA-N 1 2 304.818 1.373 20 30 DDEDLO COc1cc(N2CCN(Cc3ccc(C#N)cn3)CC2)cc[nH+]1 ZINC001144169711 772436414 /nfs/dbraw/zinc/43/64/14/772436414.db2.gz SKQDYLCBAOWKGV-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nncn1C)C2 ZINC001147427852 773130170 /nfs/dbraw/zinc/13/01/70/773130170.db2.gz AEERPYDVGCQAIW-AWEZNQCLSA-N 1 2 315.421 1.214 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nncn1C)C2 ZINC001147427852 773130172 /nfs/dbraw/zinc/13/01/72/773130172.db2.gz AEERPYDVGCQAIW-AWEZNQCLSA-N 1 2 315.421 1.214 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cc(C)on1)C2 ZINC001148874218 773610701 /nfs/dbraw/zinc/61/07/01/773610701.db2.gz NKNODLXDIIULHT-AWEZNQCLSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cc(C)on1)C2 ZINC001148874218 773610704 /nfs/dbraw/zinc/61/07/04/773610704.db2.gz NKNODLXDIIULHT-AWEZNQCLSA-N 1 2 319.405 1.608 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CCOCC3)C[C@@H]21 ZINC001074136504 773661063 /nfs/dbraw/zinc/66/10/63/773661063.db2.gz CUMZDPFBPOFWLL-JKSUJKDBSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3CCOCC3)C[C@@H]21 ZINC001074136504 773661066 /nfs/dbraw/zinc/66/10/66/773661066.db2.gz CUMZDPFBPOFWLL-JKSUJKDBSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)COC3CCCC3)C[C@H]21 ZINC001074181462 773703607 /nfs/dbraw/zinc/70/36/07/773703607.db2.gz VKABFONLCRSYFO-IAGOWNOFSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)COC3CCCC3)C[C@H]21 ZINC001074181462 773703609 /nfs/dbraw/zinc/70/36/09/773703609.db2.gz VKABFONLCRSYFO-IAGOWNOFSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3C(C)(C)C3(C)C)C[C@@H]21 ZINC001074202022 773724838 /nfs/dbraw/zinc/72/48/38/773724838.db2.gz VDGDHMQBQSHWJY-LSDHHAIUSA-N 1 2 318.461 1.994 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3C(C)(C)C3(C)C)C[C@@H]21 ZINC001074202022 773724844 /nfs/dbraw/zinc/72/48/44/773724844.db2.gz VDGDHMQBQSHWJY-LSDHHAIUSA-N 1 2 318.461 1.994 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3csnc3C)C[C@H]21 ZINC001074215417 773738152 /nfs/dbraw/zinc/73/81/52/773738152.db2.gz HWISYEJSRYDMDS-HUUCEWRRSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3csnc3C)C[C@H]21 ZINC001074215417 773738154 /nfs/dbraw/zinc/73/81/54/773738154.db2.gz HWISYEJSRYDMDS-HUUCEWRRSA-N 1 2 321.446 1.943 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)sn3)C[C@@H]21 ZINC001074222272 773746242 /nfs/dbraw/zinc/74/62/42/773746242.db2.gz FCOQXERERQCBER-GJZGRUSLSA-N 1 2 319.430 1.390 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)sn3)C[C@@H]21 ZINC001074222272 773746245 /nfs/dbraw/zinc/74/62/45/773746245.db2.gz FCOQXERERQCBER-GJZGRUSLSA-N 1 2 319.430 1.390 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccncc3C)C[C@@H]21 ZINC001074233113 773756905 /nfs/dbraw/zinc/75/69/05/773756905.db2.gz LEOGHWDBCCLXRF-IRXDYDNUSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccncc3C)C[C@@H]21 ZINC001074233113 773756906 /nfs/dbraw/zinc/75/69/06/773756906.db2.gz LEOGHWDBCCLXRF-IRXDYDNUSA-N 1 2 313.401 1.329 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2ccncc2)[C@@H](O)C1 ZINC001099903541 773808402 /nfs/dbraw/zinc/80/84/02/773808402.db2.gz ICDFHGZPWBEBCA-CABCVRRESA-N 1 2 323.824 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2ccncc2)[C@@H](O)C1 ZINC001099903541 773808409 /nfs/dbraw/zinc/80/84/09/773808409.db2.gz ICDFHGZPWBEBCA-CABCVRRESA-N 1 2 323.824 1.318 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccco3)C[C@H]21 ZINC001074365651 773856958 /nfs/dbraw/zinc/85/69/58/773856958.db2.gz IAQMGJDLNDNXBE-HZPDHXFCSA-N 1 2 304.390 1.700 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccco3)C[C@H]21 ZINC001074365651 773856960 /nfs/dbraw/zinc/85/69/60/773856960.db2.gz IAQMGJDLNDNXBE-HZPDHXFCSA-N 1 2 304.390 1.700 20 30 DDEDLO C=CCN1CCO[C@@H]2CCN(C(=O)C(C)(C)c3c[nH+]c[nH]3)C[C@@H]21 ZINC001074385356 773878273 /nfs/dbraw/zinc/87/82/73/773878273.db2.gz SXSJVDZBOCWIET-UONOGXRCSA-N 1 2 318.421 1.175 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(C(F)F)no2)[C@@H]1C ZINC001074569986 774002271 /nfs/dbraw/zinc/00/22/71/774002271.db2.gz CFEHAUOLJFKAIO-VGMNWLOBSA-N 1 2 313.308 1.246 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCC1CC(Nc2ccc(C#N)nc2)C1 ZINC001092232973 774021319 /nfs/dbraw/zinc/02/13/19/774021319.db2.gz ICWAIZNTOKVFFQ-UHFFFAOYSA-N 1 2 324.388 1.236 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CN(c3cc[nH+]c(C)n3)C[C@@]2(C)C1 ZINC001092285788 774061287 /nfs/dbraw/zinc/06/12/87/774061287.db2.gz UCJLOTWSXRRNHI-QAPCUYQASA-N 1 2 312.417 1.873 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)C1CC1)c1nccn12 ZINC001092359039 774074119 /nfs/dbraw/zinc/07/41/19/774074119.db2.gz LMZNHQRLSRTONG-UKRRQHHQSA-N 1 2 312.417 1.524 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccnc1)c1nccn12 ZINC001092337326 774087716 /nfs/dbraw/zinc/08/77/16/774087716.db2.gz VXLUSZNNGVQDQH-CQSZACIVSA-N 1 2 309.373 1.350 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccnnc1C)c1nccn12 ZINC001092379284 774094631 /nfs/dbraw/zinc/09/46/31/774094631.db2.gz DLHMBCBSKJLEOL-AWEZNQCLSA-N 1 2 324.388 1.053 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC13CCC3)c1nccn12 ZINC001092400917 774102274 /nfs/dbraw/zinc/10/22/74/774102274.db2.gz RWPZQSBMWIEOET-HUUCEWRRSA-N 1 2 324.428 1.669 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(C3CC3)CC1)c1nccn12 ZINC001092376185 774108362 /nfs/dbraw/zinc/10/83/62/774108362.db2.gz RSWSSYJSRZLVDD-HNNXBMFYSA-N 1 2 324.428 1.669 20 30 DDEDLO C#CCOCCC(=O)N1C[C@@H](CNc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001092664317 774211053 /nfs/dbraw/zinc/21/10/53/774211053.db2.gz ZJXJXUMJWWOYRW-UKRRQHHQSA-N 1 2 316.405 1.331 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001074886067 774216444 /nfs/dbraw/zinc/21/64/44/774216444.db2.gz CIRPNRVZXYNIIM-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001074886067 774216447 /nfs/dbraw/zinc/21/64/47/774216447.db2.gz CIRPNRVZXYNIIM-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001075087146 774340806 /nfs/dbraw/zinc/34/08/06/774340806.db2.gz WUPOVKLJLRBSRL-CABCVRRESA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001075141819 774378237 /nfs/dbraw/zinc/37/82/37/774378237.db2.gz JKIUBTKXONGUMV-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@@H]1C ZINC001075211517 774424913 /nfs/dbraw/zinc/42/49/13/774424913.db2.gz XDPKLJTXPRMDSD-QWHCGFSZSA-N 1 2 304.394 1.414 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@@H]1C ZINC001075211190 774425266 /nfs/dbraw/zinc/42/52/66/774425266.db2.gz OSIPYNREEHZDGN-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C[C@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1cncc(C#N)n1 ZINC001099031088 774782507 /nfs/dbraw/zinc/78/25/07/774782507.db2.gz AOAWFHGZQJRPES-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)cn1 ZINC001099031869 774783807 /nfs/dbraw/zinc/78/38/07/774783807.db2.gz LSTSLOHYNWUXMQ-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001099063142 774804536 /nfs/dbraw/zinc/80/45/36/774804536.db2.gz CIKRBQQSCKPIPN-LBPRGKRZSA-N 1 2 314.393 1.329 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CO[C@H](C)C3)CC2)C1 ZINC001093549490 774828480 /nfs/dbraw/zinc/82/84/80/774828480.db2.gz XHLRXWSWJYEMEZ-ZIAGYGMSSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)Cc3ccc[nH]3)CC2)C1 ZINC001093598990 774904145 /nfs/dbraw/zinc/90/41/45/774904145.db2.gz MPKJVMLOZXYXEN-UHFFFAOYSA-N 1 2 317.433 1.825 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001099404970 774981766 /nfs/dbraw/zinc/98/17/66/774981766.db2.gz KYUANTUNDXKDAX-LBPRGKRZSA-N 1 2 312.377 1.534 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099726246 775189673 /nfs/dbraw/zinc/18/96/73/775189673.db2.gz FRCGLKQBEZPDGR-DLBZAZTESA-N 1 2 313.401 1.576 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099726246 775189681 /nfs/dbraw/zinc/18/96/81/775189681.db2.gz FRCGLKQBEZPDGR-DLBZAZTESA-N 1 2 313.401 1.576 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099730668 775198259 /nfs/dbraw/zinc/19/82/59/775198259.db2.gz WMQACUBDJSVCMG-QWRGUYRKSA-N 1 2 307.221 1.823 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099730668 775198268 /nfs/dbraw/zinc/19/82/68/775198268.db2.gz WMQACUBDJSVCMG-QWRGUYRKSA-N 1 2 307.221 1.823 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001099765115 775242453 /nfs/dbraw/zinc/24/24/53/775242453.db2.gz KITYGZLFZUPKSI-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001099765115 775242457 /nfs/dbraw/zinc/24/24/57/775242457.db2.gz KITYGZLFZUPKSI-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO Cc1nc(NCCNC(=O)c2cccc3[nH+]ccn32)ccc1C#N ZINC001093986167 775300001 /nfs/dbraw/zinc/30/00/01/775300001.db2.gz CRSUDHVSCLRDAA-UHFFFAOYSA-N 1 2 320.356 1.751 20 30 DDEDLO N#Cc1c(F)cccc1NCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001094220391 775542230 /nfs/dbraw/zinc/54/22/30/775542230.db2.gz XEFDBQADSFUBPN-GHMZBOCLSA-N 1 2 313.336 1.752 20 30 DDEDLO N#Cc1c(F)cccc1NCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001094220391 775542238 /nfs/dbraw/zinc/54/22/38/775542238.db2.gz XEFDBQADSFUBPN-GHMZBOCLSA-N 1 2 313.336 1.752 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3occc3Cl)nn2)C1 ZINC001094315434 775671499 /nfs/dbraw/zinc/67/14/99/775671499.db2.gz NAVSPRIIPHRSOL-UHFFFAOYSA-N 1 2 321.768 1.497 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCC[C@@H]3CC)nn2)C1 ZINC001094316662 775674688 /nfs/dbraw/zinc/67/46/88/775674688.db2.gz MSBCKCQRZAVTHO-XJKSGUPXSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@]34C[C@H]3CCCC4)nn2)C1 ZINC001094316910 775674859 /nfs/dbraw/zinc/67/48/59/775674859.db2.gz PRSWPDTZSKXEAQ-CXAGYDPISA-N 1 2 315.421 1.517 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCC[C@H]4C[C@H]43)nn2)C1 ZINC001094301578 775704629 /nfs/dbraw/zinc/70/46/29/775704629.db2.gz BIRLPRNFFCIHSA-APHBMKBZSA-N 1 2 315.421 1.373 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1CC([NH2+]Cc2noc(C(C)(C)C)n2)C1 ZINC001100190588 775794302 /nfs/dbraw/zinc/79/43/02/775794302.db2.gz YVWIIQKLOGMWDB-VOMCLLRMSA-N 1 2 319.409 1.511 20 30 DDEDLO CCc1nc(C[NH2+]C2CC(CNC(=O)C#CC3CC3)C2)no1 ZINC001100313921 775983487 /nfs/dbraw/zinc/98/34/87/775983487.db2.gz UZXQRHZPSNJANR-UHFFFAOYSA-N 1 2 302.378 1.030 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3CC=CCC3)CC2=O)C1 ZINC001094700703 776211499 /nfs/dbraw/zinc/21/14/99/776211499.db2.gz FYLVRUXVEKRTRO-HUUCEWRRSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3ccc(Cl)o3)CC2=O)C1 ZINC001094703573 776214536 /nfs/dbraw/zinc/21/45/36/776214536.db2.gz BXCWXJKBTCXCHF-SNVBAGLBSA-N 1 2 323.780 1.134 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@]34C[C@H]3CCC4)CC2=O)C1 ZINC001094763205 776220753 /nfs/dbraw/zinc/22/07/53/776220753.db2.gz CJCFCAHMMVJZEB-QWQRMKEZSA-N 1 2 317.433 1.154 20 30 DDEDLO C[C@H](CC(=O)NCCCNc1nccnc1C#N)n1cc[nH+]c1 ZINC001094824047 776340191 /nfs/dbraw/zinc/34/01/91/776340191.db2.gz AQMYZHUVYYFUQV-GFCCVEGCSA-N 1 2 313.365 1.114 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@@H](C)CCCCNCC#N)C2 ZINC001171604721 776366730 /nfs/dbraw/zinc/36/67/30/776366730.db2.gz JLXNGZBBKBCSAA-JSGCOSHPSA-N 1 2 317.437 1.611 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@H](C)CCCCNCC#N)C2 ZINC001171604723 776367122 /nfs/dbraw/zinc/36/71/22/776367122.db2.gz JLXNGZBBKBCSAA-TZMCWYRMSA-N 1 2 317.437 1.611 20 30 DDEDLO CCc1cc(N2CCC[C@H]2CNC(=O)[C@@H](C)C#N)nc(C)[nH+]1 ZINC001100810706 776577439 /nfs/dbraw/zinc/57/74/39/776577439.db2.gz ZEKPFJIVWSHGGM-FZMZJTMJSA-N 1 2 301.394 1.592 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1CCCN1c1ncccc1C#N ZINC001100856279 776637886 /nfs/dbraw/zinc/63/78/86/776637886.db2.gz YWRJRYVSBJIZMC-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001101042036 776851857 /nfs/dbraw/zinc/85/18/57/776851857.db2.gz SDMQHPFZGYEZML-HNNXBMFYSA-N 1 2 300.406 1.921 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CO[C@@H](C)CC)c1nccn12 ZINC001101623041 777316663 /nfs/dbraw/zinc/31/66/63/777316663.db2.gz WQBIPXPPOZUZHM-KBPBESRZSA-N 1 2 318.421 1.456 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](F)C(C)C)c1nccn12 ZINC001101638717 777336874 /nfs/dbraw/zinc/33/68/74/777336874.db2.gz AIDVYHGZBRYDJE-KGLIPLIRSA-N 1 2 318.396 1.472 20 30 DDEDLO CC(=O)N(C)CC[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC001102409514 778121046 /nfs/dbraw/zinc/12/10/46/778121046.db2.gz DWPAMDGKNPAHID-UHFFFAOYSA-N 1 2 304.369 1.298 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(COCC)CC3)C[C@@H]21 ZINC001177040919 778404673 /nfs/dbraw/zinc/40/46/73/778404673.db2.gz DZSLHMDSVHPQGB-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(COCC)CC3)C[C@@H]21 ZINC001177040919 778404676 /nfs/dbraw/zinc/40/46/76/778404676.db2.gz DZSLHMDSVHPQGB-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC3(C)CC3)C[C@H]21 ZINC001177125769 778437482 /nfs/dbraw/zinc/43/74/82/778437482.db2.gz SNYTWPUMRIJAFQ-CVEARBPZSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC3(C)CC3)C[C@H]21 ZINC001177125769 778437486 /nfs/dbraw/zinc/43/74/86/778437486.db2.gz SNYTWPUMRIJAFQ-CVEARBPZSA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CCOC)[C@H]3C2)CCC1 ZINC001177100191 778446585 /nfs/dbraw/zinc/44/65/85/778446585.db2.gz APGXDSCBHSCJGL-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]3OCC[N@H+](CCOC)[C@H]3C2)CCC1 ZINC001177100191 778446588 /nfs/dbraw/zinc/44/65/88/778446588.db2.gz APGXDSCBHSCJGL-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](CC)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001103206864 778709880 /nfs/dbraw/zinc/70/98/80/778709880.db2.gz ZZPMWHWFAOQZEC-ZDUSSCGKSA-N 1 2 320.441 1.909 20 30 DDEDLO COC(=O)c1cc(C#N)cc(NC(=O)[C@H]2CCc3[nH+]ccn3C2)c1 ZINC001178117898 778881478 /nfs/dbraw/zinc/88/14/78/778881478.db2.gz KRKQYBBAHWOAMA-LBPRGKRZSA-N 1 2 324.340 1.742 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2(c3ncccc3OC)CC2)CC1 ZINC001178173790 778922901 /nfs/dbraw/zinc/92/29/01/778922901.db2.gz OOZJNYPNMSLTPS-UHFFFAOYSA-N 1 2 301.390 1.452 20 30 DDEDLO C[C@@](CNc1ccc(C#N)cn1)(NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001103544880 778936583 /nfs/dbraw/zinc/93/65/83/778936583.db2.gz IWJBKPCDGJMPOY-KRWDZBQOSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+]Cc2nc(C(C)C)no2)[C@@H]1C ZINC001178339144 778988594 /nfs/dbraw/zinc/98/85/94/778988594.db2.gz WCRYLVYVXDNIMY-STQMWFEESA-N 1 2 322.409 1.475 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H]1CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001103756361 779032692 /nfs/dbraw/zinc/03/26/92/779032692.db2.gz DFWXNOKIVHOJHG-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001103890112 779136487 /nfs/dbraw/zinc/13/64/87/779136487.db2.gz OSLHADGRMYLGDR-LLVKDONJSA-N 1 2 302.382 1.494 20 30 DDEDLO C[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)CNc1ncccc1C#N ZINC001103943750 779193972 /nfs/dbraw/zinc/19/39/72/779193972.db2.gz QQKGIQHWVUMMGM-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104097518 779304319 /nfs/dbraw/zinc/30/43/19/779304319.db2.gz CXEPKYPTMOLNOW-LBPRGKRZSA-N 1 2 320.441 1.767 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H](C)CNc2cc[nH+]c(C)n2)c1 ZINC001104214646 779376948 /nfs/dbraw/zinc/37/69/48/779376948.db2.gz SRPASBRHMNLNRM-GFCCVEGCSA-N 1 2 309.373 1.639 20 30 DDEDLO CC#CC[NH2+]CC[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001104219664 779379921 /nfs/dbraw/zinc/37/99/21/779379921.db2.gz DBXYIHNRGMEMDJ-IJLUTSLNSA-N 1 2 308.319 1.984 20 30 DDEDLO CC#CC[NH2+]CC[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1C ZINC001104219664 779379924 /nfs/dbraw/zinc/37/99/24/779379924.db2.gz DBXYIHNRGMEMDJ-IJLUTSLNSA-N 1 2 308.319 1.984 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCn3cc[nH+]c3)CCC2)cn1 ZINC001111876525 779533758 /nfs/dbraw/zinc/53/37/58/779533758.db2.gz RKYWXAHJVVLHQI-UHFFFAOYSA-N 1 2 324.388 1.691 20 30 DDEDLO C=CCCOCC(=O)N(C)CCCN(C)c1cc[nH+]c(C)n1 ZINC001112099245 779624376 /nfs/dbraw/zinc/62/43/76/779624376.db2.gz MAXWLQJAUKHFEQ-UHFFFAOYSA-N 1 2 306.410 1.662 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001112302181 779702453 /nfs/dbraw/zinc/70/24/53/779702453.db2.gz JFXKKGVJYDFETF-WCQYABFASA-N 1 2 314.393 1.588 20 30 DDEDLO C=CCCC(=O)NCCOCCN(C)c1nc(C)[nH+]c(C)c1C ZINC001115183572 779930815 /nfs/dbraw/zinc/93/08/15/779930815.db2.gz QXEHWMSYNQOYGZ-UHFFFAOYSA-N 1 2 320.437 1.937 20 30 DDEDLO CC#CCCCC(=O)NCCOCCCNc1cc[nH+]c(C)n1 ZINC001115436493 780131243 /nfs/dbraw/zinc/13/12/43/780131243.db2.gz WHXHGHTTYJMYBO-UHFFFAOYSA-N 1 2 318.421 1.913 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H](C)Nc2cc[nH+]c(C)n2)nc1 ZINC001115791120 780434049 /nfs/dbraw/zinc/43/40/49/780434049.db2.gz JDJCLINRXOABBJ-GFCCVEGCSA-N 1 2 309.373 1.734 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NCCC[C@H]1CCCN1CC#N ZINC001267178970 837477942 /nfs/dbraw/zinc/47/79/42/837477942.db2.gz HYNFWBIBEIVFIS-AWEZNQCLSA-N 1 2 303.410 1.237 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001266246750 835982941 /nfs/dbraw/zinc/98/29/41/835982941.db2.gz WCYSEUJXPXTJTO-LSDHHAIUSA-N 1 2 322.453 1.279 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001266246750 835982954 /nfs/dbraw/zinc/98/29/54/835982954.db2.gz WCYSEUJXPXTJTO-LSDHHAIUSA-N 1 2 322.453 1.279 20 30 DDEDLO CCOCC(=O)N[C@H]1CC[N@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001266302478 836083383 /nfs/dbraw/zinc/08/33/83/836083383.db2.gz QJKPLDOITUNSJP-INIZCTEOSA-N 1 2 320.820 1.919 20 30 DDEDLO CCOCC(=O)N[C@H]1CC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001266302478 836083393 /nfs/dbraw/zinc/08/33/93/836083393.db2.gz QJKPLDOITUNSJP-INIZCTEOSA-N 1 2 320.820 1.919 20 30 DDEDLO N#CCSCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001266303066 836083913 /nfs/dbraw/zinc/08/39/13/836083913.db2.gz XPNJKNRXUHBKAK-CYBMUJFWSA-N 1 2 308.382 1.168 20 30 DDEDLO N#CCSCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C1 ZINC001266303066 836083918 /nfs/dbraw/zinc/08/39/18/836083918.db2.gz XPNJKNRXUHBKAK-CYBMUJFWSA-N 1 2 308.382 1.168 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@H](C)CC)C1 ZINC001266343823 836146804 /nfs/dbraw/zinc/14/68/04/836146804.db2.gz AAPKYCPBMBGQFF-ZIAGYGMSSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@H](C)CC)C1 ZINC001266343823 836146811 /nfs/dbraw/zinc/14/68/11/836146811.db2.gz AAPKYCPBMBGQFF-ZIAGYGMSSA-N 1 2 309.454 1.694 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C)c1 ZINC001266475764 836320234 /nfs/dbraw/zinc/32/02/34/836320234.db2.gz LBEMJKAHJUZTOK-HDICACEKSA-N 1 2 312.413 1.934 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C)c1 ZINC001266475764 836320243 /nfs/dbraw/zinc/32/02/43/836320243.db2.gz LBEMJKAHJUZTOK-HDICACEKSA-N 1 2 312.413 1.934 20 30 DDEDLO C=C(Cl)C[NH2+]CCN(C)C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC001266721947 836730372 /nfs/dbraw/zinc/73/03/72/836730372.db2.gz JRQSMZLMPZNGQG-UHFFFAOYSA-N 1 2 321.812 1.829 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NCC ZINC001267427915 838031830 /nfs/dbraw/zinc/03/18/30/838031830.db2.gz BQZCYGZYCDXFCG-OKILXGFUSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NCC ZINC001267427915 838031834 /nfs/dbraw/zinc/03/18/34/838031834.db2.gz BQZCYGZYCDXFCG-OKILXGFUSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC1(C(=O)N(C)CC[NH2+]Cc2ncc(C)o2)CCOCC1 ZINC001267461754 838097218 /nfs/dbraw/zinc/09/72/18/838097218.db2.gz BFSVVURYCQILDB-UHFFFAOYSA-N 1 2 321.421 1.904 20 30 DDEDLO C#CC[NH2+][C@@H](CNC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001267520632 838239381 /nfs/dbraw/zinc/23/93/81/838239381.db2.gz OOEFCONUFIWPQT-NWDGAFQWSA-N 1 2 302.271 1.967 20 30 DDEDLO C#CC[NH2+][C@@H](CNC(=O)[C@@H](F)C(F)(F)F)c1ccccc1 ZINC001267520632 838239397 /nfs/dbraw/zinc/23/93/97/838239397.db2.gz OOEFCONUFIWPQT-NWDGAFQWSA-N 1 2 302.271 1.967 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CCC[N@@H+](Cc2cnnn2CC)C1 ZINC001267616888 838490201 /nfs/dbraw/zinc/49/02/01/838490201.db2.gz FUFWRLRUTPCQRW-RHSMWYFYSA-N 1 2 319.453 1.981 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CCC[N@H+](Cc2cnnn2CC)C1 ZINC001267616888 838490206 /nfs/dbraw/zinc/49/02/06/838490206.db2.gz FUFWRLRUTPCQRW-RHSMWYFYSA-N 1 2 319.453 1.981 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](NC(=O)C#CC2CC2)C1 ZINC001267626239 838523403 /nfs/dbraw/zinc/52/34/03/838523403.db2.gz QRPCRWXRHPFRBG-HOCLYGCPSA-N 1 2 319.449 1.285 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@H+]1CCC[C@H](NC(=O)C#CC2CC2)C1 ZINC001267626239 838523408 /nfs/dbraw/zinc/52/34/08/838523408.db2.gz QRPCRWXRHPFRBG-HOCLYGCPSA-N 1 2 319.449 1.285 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1C[NH+](CCn2nc(C)cc2C)C1 ZINC001267647094 838569753 /nfs/dbraw/zinc/56/97/53/838569753.db2.gz CJUNJPLJSRLCEI-UHFFFAOYSA-N 1 2 304.438 1.903 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@@H]1CCC(F)(F)C1 ZINC001272033282 844372616 /nfs/dbraw/zinc/37/26/16/844372616.db2.gz JEHMMFTZRBCGAD-GFCCVEGCSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@@H]1CCC(F)(F)C1 ZINC001272033282 844372620 /nfs/dbraw/zinc/37/26/20/844372620.db2.gz JEHMMFTZRBCGAD-GFCCVEGCSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001267914644 839187539 /nfs/dbraw/zinc/18/75/39/839187539.db2.gz XNLFPERDDQASLF-OAHLLOKOSA-N 1 2 307.438 1.592 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001267914644 839187545 /nfs/dbraw/zinc/18/75/45/839187545.db2.gz XNLFPERDDQASLF-OAHLLOKOSA-N 1 2 307.438 1.592 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)c2occc2C)C1 ZINC001268016807 839380511 /nfs/dbraw/zinc/38/05/11/839380511.db2.gz GSGSJVZPDJPTKJ-CQSZACIVSA-N 1 2 308.378 1.221 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)c2occc2C)C1 ZINC001268016807 839380524 /nfs/dbraw/zinc/38/05/24/839380524.db2.gz GSGSJVZPDJPTKJ-CQSZACIVSA-N 1 2 308.378 1.221 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)CCOc2ccccc2F)C1 ZINC001268029114 839442393 /nfs/dbraw/zinc/44/23/93/839442393.db2.gz RNKDHJHRHVVWCR-CQSZACIVSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)CCOc2ccccc2F)C1 ZINC001268029114 839442397 /nfs/dbraw/zinc/44/23/97/839442397.db2.gz RNKDHJHRHVVWCR-CQSZACIVSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[N@H+](C)CC(=O)NCC(F)(F)F ZINC001268246000 839890706 /nfs/dbraw/zinc/89/07/06/839890706.db2.gz VRMSIFBXFCYNGF-UHFFFAOYSA-N 1 2 323.359 1.267 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[N@@H+](C)CC(=O)NCC(F)(F)F ZINC001268246000 839890713 /nfs/dbraw/zinc/89/07/13/839890713.db2.gz VRMSIFBXFCYNGF-UHFFFAOYSA-N 1 2 323.359 1.267 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)Cc2ccc(F)cc2F)C1 ZINC001268310731 839978699 /nfs/dbraw/zinc/97/86/99/839978699.db2.gz ZKQKVEDLEPXOLQ-UHFFFAOYSA-N 1 2 310.344 1.510 20 30 DDEDLO CC#CC[N@H+](C)C[C@H](C)NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001268751954 840754996 /nfs/dbraw/zinc/75/49/96/840754996.db2.gz FXHZIUJOQMRMQK-ZDUSSCGKSA-N 1 2 311.389 1.545 20 30 DDEDLO CC#CC[N@@H+](C)C[C@H](C)NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001268751954 840755008 /nfs/dbraw/zinc/75/50/08/840755008.db2.gz FXHZIUJOQMRMQK-ZDUSSCGKSA-N 1 2 311.389 1.545 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2ncoc2C(C)C)C1 ZINC001269019365 841118093 /nfs/dbraw/zinc/11/80/93/841118093.db2.gz YCVJMWMLKKBAKH-SUMWQHHRSA-N 1 2 321.421 1.816 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2ncoc2C(C)C)C1 ZINC001269019365 841118101 /nfs/dbraw/zinc/11/81/01/841118101.db2.gz YCVJMWMLKKBAKH-SUMWQHHRSA-N 1 2 321.421 1.816 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H](CCCC)C(C)C)C1 ZINC001269097025 841204323 /nfs/dbraw/zinc/20/43/23/841204323.db2.gz NHHFRAJKQKOWPB-HOTGVXAUSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H](CCCC)C(C)C)C1 ZINC001269097025 841204333 /nfs/dbraw/zinc/20/43/33/841204333.db2.gz NHHFRAJKQKOWPB-HOTGVXAUSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCCC[C@@H]1CC ZINC001269204420 841338377 /nfs/dbraw/zinc/33/83/77/841338377.db2.gz CODMGZKHGZXLSU-JKSUJKDBSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCCC[C@@H]1CC ZINC001269204420 841338386 /nfs/dbraw/zinc/33/83/86/841338386.db2.gz CODMGZKHGZXLSU-JKSUJKDBSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@H+]1[C@H](C)C(=O)N(C)C(C)C ZINC001269278323 841458906 /nfs/dbraw/zinc/45/89/06/841458906.db2.gz GXKVGTAUQAGMHI-HUUCEWRRSA-N 1 2 309.454 1.789 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@H](C)C(=O)N(C)C(C)C ZINC001269278323 841458910 /nfs/dbraw/zinc/45/89/10/841458910.db2.gz GXKVGTAUQAGMHI-HUUCEWRRSA-N 1 2 309.454 1.789 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(F)cc(Cl)c1 ZINC001269311798 841502866 /nfs/dbraw/zinc/50/28/66/841502866.db2.gz VYOUZMRUZXXMLE-HNNXBMFYSA-N 1 2 324.783 1.933 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(F)cc(Cl)c1 ZINC001269311798 841502878 /nfs/dbraw/zinc/50/28/78/841502878.db2.gz VYOUZMRUZXXMLE-HNNXBMFYSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCCCC(=O)NC1(C)CC[NH+](Cc2nccn2C)CC1 ZINC001270225286 842401082 /nfs/dbraw/zinc/40/10/82/842401082.db2.gz FGVWWQWYFSCCRE-UHFFFAOYSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCN(C(=O)[C@H](C)Cc1c[nH]c[nH+]1)C1CCN(CC#N)CC1 ZINC001270351366 842508328 /nfs/dbraw/zinc/50/83/28/842508328.db2.gz MTLJPSFHBMHKDL-CQSZACIVSA-N 1 2 313.405 1.038 20 30 DDEDLO C#CCN(C(=O)[C@H](C)Cc1c[nH+]c[nH]1)C1CCN(CC#N)CC1 ZINC001270351366 842508329 /nfs/dbraw/zinc/50/83/29/842508329.db2.gz MTLJPSFHBMHKDL-CQSZACIVSA-N 1 2 313.405 1.038 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@](C)(NC(=O)c2coc(C)c2)C1 ZINC001270577921 842682092 /nfs/dbraw/zinc/68/20/92/842682092.db2.gz UOAQRMOTLFYVIE-MRXNPFEDSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@](C)(NC(=O)c2coc(C)c2)C1 ZINC001270577921 842682095 /nfs/dbraw/zinc/68/20/95/842682095.db2.gz UOAQRMOTLFYVIE-MRXNPFEDSA-N 1 2 305.378 1.084 20 30 DDEDLO CC(C)C#CC(=O)N[C@@]1(C)CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001270581975 842690537 /nfs/dbraw/zinc/69/05/37/842690537.db2.gz CQHCUWSJJPIKGU-PBHICJAKSA-N 1 2 300.406 1.778 20 30 DDEDLO CC(C)C#CC(=O)N[C@@]1(C)CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001270581975 842690543 /nfs/dbraw/zinc/69/05/43/842690543.db2.gz CQHCUWSJJPIKGU-PBHICJAKSA-N 1 2 300.406 1.778 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@H](C)C[NH2+]Cc2cnsn2)c1 ZINC001271099715 843213900 /nfs/dbraw/zinc/21/39/00/843213900.db2.gz CYFCZTOZSNZCRI-LLVKDONJSA-N 1 2 315.402 1.165 20 30 DDEDLO N#CC1(C(=O)N2Cc3c[nH+]cn3C[C@H](COCC3CC3)C2)CC1 ZINC001143174082 861397957 /nfs/dbraw/zinc/39/79/57/861397957.db2.gz MQFFPWSCAARIFW-CQSZACIVSA-N 1 2 314.389 1.572 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1COCC[N@H+]1CCC[C@H](C)O ZINC001326657839 861516292 /nfs/dbraw/zinc/51/62/92/861516292.db2.gz AITFPFSSNRHCQT-LSDHHAIUSA-N 1 2 312.454 1.567 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1COCC[N@@H+]1CCC[C@H](C)O ZINC001326657839 861516305 /nfs/dbraw/zinc/51/63/05/861516305.db2.gz AITFPFSSNRHCQT-LSDHHAIUSA-N 1 2 312.454 1.567 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+][C@@H](C)c2csnn2)CCOCC1 ZINC001149325853 861548085 /nfs/dbraw/zinc/54/80/85/861548085.db2.gz XGGAOZZYMUZOET-LBPRGKRZSA-N 1 2 324.450 1.678 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)N(C)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001280525256 846020281 /nfs/dbraw/zinc/02/02/81/846020281.db2.gz PBDMMAQULSHPGK-GFCCVEGCSA-N 1 2 320.437 1.863 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH+]cn1C ZINC001280527970 846038530 /nfs/dbraw/zinc/03/85/30/846038530.db2.gz YKLKFGKSOVQJCR-KBPBESRZSA-N 1 2 320.437 1.528 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)CC[NH2+]Cc1nnsc1Cl ZINC001272747165 846806110 /nfs/dbraw/zinc/80/61/10/846806110.db2.gz HLKVKGXTEWRIQY-SNVBAGLBSA-N 1 2 314.842 1.979 20 30 DDEDLO Cc1ccncc1C[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107818470 847195676 /nfs/dbraw/zinc/19/56/76/847195676.db2.gz AFEAEVHLHFZFKQ-RHSMWYFYSA-N 1 2 316.405 1.257 20 30 DDEDLO Cc1ccncc1C[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107818470 847195682 /nfs/dbraw/zinc/19/56/82/847195682.db2.gz AFEAEVHLHFZFKQ-RHSMWYFYSA-N 1 2 316.405 1.257 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001077715462 847238684 /nfs/dbraw/zinc/23/86/84/847238684.db2.gz YZEYOMVNWUAEAR-HZPDHXFCSA-N 1 2 324.380 1.745 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001077715462 847238691 /nfs/dbraw/zinc/23/86/91/847238691.db2.gz YZEYOMVNWUAEAR-HZPDHXFCSA-N 1 2 324.380 1.745 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3cncc(Cl)c3)C2)OCC1=O ZINC001272856010 847557750 /nfs/dbraw/zinc/55/77/50/847557750.db2.gz KGBWHQZDVJUKGA-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3cncc(Cl)c3)C2)OCC1=O ZINC001272856010 847557758 /nfs/dbraw/zinc/55/77/58/847557758.db2.gz KGBWHQZDVJUKGA-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1ncc[nH]1 ZINC001272921970 847635434 /nfs/dbraw/zinc/63/54/34/847635434.db2.gz QSBHRBSAZWVTEV-MRXNPFEDSA-N 1 2 301.394 1.670 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1ncc[nH]1 ZINC001272921970 847635444 /nfs/dbraw/zinc/63/54/44/847635444.db2.gz QSBHRBSAZWVTEV-MRXNPFEDSA-N 1 2 301.394 1.670 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@@H+](Cc3cccc(Cl)n3)C2)OCC1=O ZINC001272961518 847709883 /nfs/dbraw/zinc/70/98/83/847709883.db2.gz CVCUFQRXBPIUJE-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@H+](Cc3cccc(Cl)n3)C2)OCC1=O ZINC001272961518 847709888 /nfs/dbraw/zinc/70/98/88/847709888.db2.gz CVCUFQRXBPIUJE-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1nc(C)cs1)C2 ZINC001272991286 847752605 /nfs/dbraw/zinc/75/26/05/847752605.db2.gz QRDGYHQAYCEHJE-MRXNPFEDSA-N 1 2 321.446 1.688 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1nc(C)cs1)C2 ZINC001272991286 847752607 /nfs/dbraw/zinc/75/26/07/847752607.db2.gz QRDGYHQAYCEHJE-MRXNPFEDSA-N 1 2 321.446 1.688 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001034396635 848460737 /nfs/dbraw/zinc/46/07/37/848460737.db2.gz FGSVNXACPRHECJ-NSHDSACASA-N 1 2 324.812 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001034396635 848460742 /nfs/dbraw/zinc/46/07/42/848460742.db2.gz FGSVNXACPRHECJ-NSHDSACASA-N 1 2 324.812 1.450 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCC[N@@H+](Cc2cnn(C)n2)CC1 ZINC001327144041 861924371 /nfs/dbraw/zinc/92/43/71/861924371.db2.gz ZBGQBDUNQSVJGQ-AWEZNQCLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCC[N@H+](Cc2cnn(C)n2)CC1 ZINC001327144041 861924387 /nfs/dbraw/zinc/92/43/87/861924387.db2.gz ZBGQBDUNQSVJGQ-AWEZNQCLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1ncc(C)s1)C2 ZINC001273221794 848805998 /nfs/dbraw/zinc/80/59/98/848805998.db2.gz OBFTZNRQQKLKRG-MRXNPFEDSA-N 1 2 321.446 1.688 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1ncc(C)s1)C2 ZINC001273221794 848805999 /nfs/dbraw/zinc/80/59/99/848805999.db2.gz OBFTZNRQQKLKRG-MRXNPFEDSA-N 1 2 321.446 1.688 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)OCC(C)C ZINC001230977587 849199298 /nfs/dbraw/zinc/19/92/98/849199298.db2.gz QFVUPRJOFRJCID-HOTGVXAUSA-N 1 2 310.438 1.230 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)OCC(C)C ZINC001230977587 849199302 /nfs/dbraw/zinc/19/93/02/849199302.db2.gz QFVUPRJOFRJCID-HOTGVXAUSA-N 1 2 310.438 1.230 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc3ncccc3c1)C2 ZINC001273303334 849372195 /nfs/dbraw/zinc/37/21/95/849372195.db2.gz LVWLTQLCSSWJAG-UHFFFAOYSA-N 1 2 321.380 1.281 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@]2(F)CCOC2)C1 ZINC001150172175 862122039 /nfs/dbraw/zinc/12/20/39/862122039.db2.gz QZWJGZMHQKIBRL-TZMCWYRMSA-N 1 2 320.792 1.075 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@]2(F)CCOC2)C1 ZINC001150172175 862122050 /nfs/dbraw/zinc/12/20/50/862122050.db2.gz QZWJGZMHQKIBRL-TZMCWYRMSA-N 1 2 320.792 1.075 20 30 DDEDLO C=CCN1C[C@]2(CCN(c3cc[nH+]c(OC)c3)C2)OCC1=O ZINC001273585111 851116123 /nfs/dbraw/zinc/11/61/23/851116123.db2.gz LRLZSKLMCAEQGT-MRXNPFEDSA-N 1 2 303.362 1.084 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)CC[C@H]1CCCO1)O2 ZINC001273646697 851179520 /nfs/dbraw/zinc/17/95/20/851179520.db2.gz ONWWZGXBHGAMEP-HZPDHXFCSA-N 1 2 322.449 1.871 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+]([C@H](C)C(=O)OC(C)(C)C)C2)OCC1=O ZINC001273706354 851250207 /nfs/dbraw/zinc/25/02/07/851250207.db2.gz IAELFTSKJZVCHT-DYVFJYSZSA-N 1 2 324.421 1.206 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+]([C@H](C)C(=O)OC(C)(C)C)C2)OCC1=O ZINC001273706354 851250215 /nfs/dbraw/zinc/25/02/15/851250215.db2.gz IAELFTSKJZVCHT-DYVFJYSZSA-N 1 2 324.421 1.206 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CC[N@@H+](Cc3noc(COC)n3)C2)C1=O ZINC001273821763 851385613 /nfs/dbraw/zinc/38/56/13/851385613.db2.gz AXBSJEPBCHHZRN-MRXNPFEDSA-N 1 2 320.393 1.217 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CC[N@H+](Cc3noc(COC)n3)C2)C1=O ZINC001273821763 851385621 /nfs/dbraw/zinc/38/56/21/851385621.db2.gz AXBSJEPBCHHZRN-MRXNPFEDSA-N 1 2 320.393 1.217 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3ncccc3Cl)C2)OCC1=O ZINC001274246387 852090833 /nfs/dbraw/zinc/09/08/33/852090833.db2.gz QEUSKFHLAPHOMZ-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3ncccc3Cl)C2)OCC1=O ZINC001274246387 852090844 /nfs/dbraw/zinc/09/08/44/852090844.db2.gz QEUSKFHLAPHOMZ-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1cncc(O)c1)C2 ZINC001274284488 852124844 /nfs/dbraw/zinc/12/48/44/852124844.db2.gz YTCZSHOXCKQZEL-QGZVFWFLSA-N 1 2 317.389 1.024 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1cncc(O)c1)C2 ZINC001274284488 852124847 /nfs/dbraw/zinc/12/48/47/852124847.db2.gz YTCZSHOXCKQZEL-QGZVFWFLSA-N 1 2 317.389 1.024 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@H+](Cc3nnc[nH]3)CC2)C1=O ZINC001274355316 852183735 /nfs/dbraw/zinc/18/37/35/852183735.db2.gz DPRHLVJBLIAIIC-INIZCTEOSA-N 1 2 303.410 1.585 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@@H+](Cc3nnc[nH]3)CC2)C1=O ZINC001274355316 852183739 /nfs/dbraw/zinc/18/37/39/852183739.db2.gz DPRHLVJBLIAIIC-INIZCTEOSA-N 1 2 303.410 1.585 20 30 DDEDLO C#CCNC(=O)[C@@H]1CC12CC[NH+](Cc1nccnc1Cl)CC2 ZINC001274443987 852276547 /nfs/dbraw/zinc/27/65/47/852276547.db2.gz LOICEAZLWRPVNP-LBPRGKRZSA-N 1 2 318.808 1.482 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@@H](NC(=O)C#CC3CC3)C[C@@H]2C)n1 ZINC001274624852 852456834 /nfs/dbraw/zinc/45/68/34/852456834.db2.gz SHBVCUJFXYFCFF-GXTWGEPZSA-N 1 2 316.405 1.515 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@@H](NC(=O)C#CC3CC3)C[C@@H]2C)n1 ZINC001274624852 852456835 /nfs/dbraw/zinc/45/68/35/852456835.db2.gz SHBVCUJFXYFCFF-GXTWGEPZSA-N 1 2 316.405 1.515 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2cn(C)nn2)[C@H](C)C1 ZINC001274626246 852457573 /nfs/dbraw/zinc/45/75/73/852457573.db2.gz YCSLFFDBXVNGSQ-ZIAGYGMSSA-N 1 2 305.426 1.641 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2cn(C)nn2)[C@H](C)C1 ZINC001274626246 852457579 /nfs/dbraw/zinc/45/75/79/852457579.db2.gz YCSLFFDBXVNGSQ-ZIAGYGMSSA-N 1 2 305.426 1.641 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C[C@@H]1C ZINC001274625705 852457859 /nfs/dbraw/zinc/45/78/59/852457859.db2.gz WBGNGRATZCONFX-JSGCOSHPSA-N 1 2 318.421 1.897 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C[C@@H]1C ZINC001274625705 852457862 /nfs/dbraw/zinc/45/78/62/852457862.db2.gz WBGNGRATZCONFX-JSGCOSHPSA-N 1 2 318.421 1.897 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc2nc(CC)no2)C1 ZINC001275493645 853222347 /nfs/dbraw/zinc/22/23/47/853222347.db2.gz UISICVGYFBIXOP-NEPJUHHUSA-N 1 2 306.410 1.971 20 30 DDEDLO N#Cc1cccc(CN2CC3(C2)C[NH+](Cc2cccc(=O)[nH]2)C3)n1 ZINC001275605313 853424900 /nfs/dbraw/zinc/42/49/00/853424900.db2.gz IDNVXPWBCMPUFA-UHFFFAOYSA-N 1 2 321.384 1.372 20 30 DDEDLO N#Cc1ccc(CN2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)c(F)c1 ZINC001275957220 854016793 /nfs/dbraw/zinc/01/67/93/854016793.db2.gz UJSWSIFWAHYVPN-UHFFFAOYSA-N 1 2 311.364 1.738 20 30 DDEDLO N#CC1(C(=O)N2C[C@H]3C[C@@H](C2)[N@H+]3Cc2cc[nH]c2)CCOCC1 ZINC001276103685 854769503 /nfs/dbraw/zinc/76/95/03/854769503.db2.gz MDHRHGDJMKQORX-GASCZTMLSA-N 1 2 314.389 1.120 20 30 DDEDLO N#CC1(C(=O)N2C[C@H]3C[C@@H](C2)[N@@H+]3Cc2cc[nH]c2)CCOCC1 ZINC001276103685 854769504 /nfs/dbraw/zinc/76/95/04/854769504.db2.gz MDHRHGDJMKQORX-GASCZTMLSA-N 1 2 314.389 1.120 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCCCC[C@H]2CNC(=O)C#CC2CC2)o1 ZINC001276299720 855142469 /nfs/dbraw/zinc/14/24/69/855142469.db2.gz MPMRSBZOAIHKPU-HNNXBMFYSA-N 1 2 316.405 1.652 20 30 DDEDLO Cc1nnc(C[N@H+]2CCCCC[C@H]2CNC(=O)C#CC2CC2)o1 ZINC001276299720 855142474 /nfs/dbraw/zinc/14/24/74/855142474.db2.gz MPMRSBZOAIHKPU-HNNXBMFYSA-N 1 2 316.405 1.652 20 30 DDEDLO CCC[C@]1(C)C(=O)NCC[N@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001412978786 855860014 /nfs/dbraw/zinc/86/00/14/855860014.db2.gz JYBIDFIPYRUGEC-DOTOQJQBSA-N 1 2 301.390 1.582 20 30 DDEDLO CCC[C@]1(C)C(=O)NCC[N@@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001412978786 855860023 /nfs/dbraw/zinc/86/00/23/855860023.db2.gz JYBIDFIPYRUGEC-DOTOQJQBSA-N 1 2 301.390 1.582 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)s1 ZINC001072552740 857437061 /nfs/dbraw/zinc/43/70/61/857437061.db2.gz SCROBCFARWHWRZ-WDEREUQCSA-N 1 2 319.434 1.602 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)s1 ZINC001072552740 857437066 /nfs/dbraw/zinc/43/70/66/857437066.db2.gz SCROBCFARWHWRZ-WDEREUQCSA-N 1 2 319.434 1.602 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cccc(C)n2)C1 ZINC001073529991 858414612 /nfs/dbraw/zinc/41/46/12/858414612.db2.gz FRFAXXBSOZBPDX-AWEZNQCLSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cccc(C)n2)C1 ZINC001073529991 858414615 /nfs/dbraw/zinc/41/46/15/858414615.db2.gz FRFAXXBSOZBPDX-AWEZNQCLSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001073581195 858459127 /nfs/dbraw/zinc/45/91/27/858459127.db2.gz VQJQWTUDQNXBCK-CYBMUJFWSA-N 1 2 322.796 1.460 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001073581195 858459128 /nfs/dbraw/zinc/45/91/28/858459128.db2.gz VQJQWTUDQNXBCK-CYBMUJFWSA-N 1 2 322.796 1.460 20 30 DDEDLO C[C@H](CC(=O)NC1CC2(CC(NCC#N)C2)C1)n1cc[nH+]c1 ZINC001121848195 858611943 /nfs/dbraw/zinc/61/19/43/858611943.db2.gz IOBFCYSZFZOTHI-BHTBLZRRSA-N 1 2 301.394 1.375 20 30 DDEDLO C=CCc1cc(C[N@H+](C)Cc2cn(C)nn2)c(O)c(OC)c1 ZINC001328554047 863061420 /nfs/dbraw/zinc/06/14/20/863061420.db2.gz YXSLFIQVXBCDEJ-UHFFFAOYSA-N 1 2 302.378 1.890 20 30 DDEDLO C=CCc1cc(C[N@@H+](C)Cc2cn(C)nn2)c(O)c(OC)c1 ZINC001328554047 863061438 /nfs/dbraw/zinc/06/14/38/863061438.db2.gz YXSLFIQVXBCDEJ-UHFFFAOYSA-N 1 2 302.378 1.890 20 30 DDEDLO CC#CC[NH2+][C@@H]1C[C@@H](NC(=O)c2cncc3nc[nH]c32)C12CCC2 ZINC001202940976 860422749 /nfs/dbraw/zinc/42/27/49/860422749.db2.gz UUXZAJBHKXPDBW-HUUCEWRRSA-N 1 2 323.400 1.612 20 30 DDEDLO C=CCOC(=O)N1CCC2(C[NH+](Cc3cc(C)n[nH]3)C2)CC1 ZINC001203069782 860705593 /nfs/dbraw/zinc/70/55/93/860705593.db2.gz DIAPOYNCZMEMBR-UHFFFAOYSA-N 1 2 304.394 1.939 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1nonc1C ZINC001325883706 860920543 /nfs/dbraw/zinc/92/05/43/860920543.db2.gz SIVRNNWMMJGFQA-HUUCEWRRSA-N 1 2 304.394 1.910 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1nonc1C ZINC001325883706 860920558 /nfs/dbraw/zinc/92/05/58/860920558.db2.gz SIVRNNWMMJGFQA-HUUCEWRRSA-N 1 2 304.394 1.910 20 30 DDEDLO CSc1nc(C[NH+]2CCC3(CC(=O)CO3)CC2)ccc1C#N ZINC001141931549 860970851 /nfs/dbraw/zinc/97/08/51/860970851.db2.gz CZRTUQBEUPESOX-UHFFFAOYSA-N 1 2 317.414 1.999 20 30 DDEDLO C#CCCCCC(=O)NCCC[NH2+]Cc1noc(C2CC2)n1 ZINC001156832132 863377644 /nfs/dbraw/zinc/37/76/44/863377644.db2.gz QOSSUVHWPSAPLV-UHFFFAOYSA-N 1 2 304.394 1.737 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)[N@H+](C)CC(=O)Nc1ccon1 ZINC001329448913 863673069 /nfs/dbraw/zinc/67/30/69/863673069.db2.gz FEMLFKFNGKZJPT-GFCCVEGCSA-N 1 2 308.382 1.406 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)[N@@H+](C)CC(=O)Nc1ccon1 ZINC001329448913 863673077 /nfs/dbraw/zinc/67/30/77/863673077.db2.gz FEMLFKFNGKZJPT-GFCCVEGCSA-N 1 2 308.382 1.406 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2ccc(OC)c(F)c2)C1 ZINC001329668126 863779293 /nfs/dbraw/zinc/77/92/93/863779293.db2.gz DRPUWEVWHFOBTL-UHFFFAOYSA-N 1 2 320.364 1.024 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@@H]2CCC(C)=C(C)C2)C1 ZINC001329669131 863781342 /nfs/dbraw/zinc/78/13/42/863781342.db2.gz ZKUWQCTZLVDMMF-MRXNPFEDSA-N 1 2 304.434 1.699 20 30 DDEDLO COCCOC[N@@H+]1C[C@@H]2CCN(c3cc(C#N)ccc3F)[C@@H]2C1 ZINC001277023353 881719987 /nfs/dbraw/zinc/71/99/87/881719987.db2.gz HJTCLGCENFOXPJ-WMLDXEAASA-N 1 2 319.380 1.828 20 30 DDEDLO COCCOC[N@H+]1C[C@@H]2CCN(c3cc(C#N)ccc3F)[C@@H]2C1 ZINC001277023353 881719993 /nfs/dbraw/zinc/71/99/93/881719993.db2.gz HJTCLGCENFOXPJ-WMLDXEAASA-N 1 2 319.380 1.828 20 30 DDEDLO Cc1nc(N2CCOCC2)sc1C=[NH+]NCC(F)(F)F ZINC001330094751 864077787 /nfs/dbraw/zinc/07/77/87/864077787.db2.gz BALQTVANKJUVKK-UHFFFAOYSA-N 1 2 308.329 1.774 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccc(C)o2)C(C)(C)C1 ZINC001330170630 864120810 /nfs/dbraw/zinc/12/08/10/864120810.db2.gz KXXHOXZBVXMCGK-CQSZACIVSA-N 1 2 319.405 1.330 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccc(C)o2)C(C)(C)C1 ZINC001330170630 864120818 /nfs/dbraw/zinc/12/08/18/864120818.db2.gz KXXHOXZBVXMCGK-CQSZACIVSA-N 1 2 319.405 1.330 20 30 DDEDLO COC(=O)C1(C#N)CCN(c2nc(NC3CC3)c(C)c[nH+]2)CC1 ZINC001158682995 864810193 /nfs/dbraw/zinc/81/01/93/864810193.db2.gz SVTWWNYIOBZSPP-UHFFFAOYSA-N 1 2 315.377 1.643 20 30 DDEDLO CCCc1noc(C[NH2+][C@H](C)CN(C)C(=O)C#CC2CC2)n1 ZINC001331438148 865084182 /nfs/dbraw/zinc/08/41/82/865084182.db2.gz FSTLBUWQCCJFHG-GFCCVEGCSA-N 1 2 304.394 1.372 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCCC[NH2+][C@H](C)c1noc(C)n1)OCC ZINC001159148430 865131221 /nfs/dbraw/zinc/13/12/21/865131221.db2.gz GEJYQXKIIRZICA-OCCSQVGLSA-N 1 2 324.425 1.906 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@@H+](Cc2cncc(OC)n2)[C@H]1C ZINC001332162261 865625241 /nfs/dbraw/zinc/62/52/41/865625241.db2.gz AUGADSFVCHNPIQ-ZFWWWQNUSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@H+](Cc2cncc(OC)n2)[C@H]1C ZINC001332162261 865625246 /nfs/dbraw/zinc/62/52/46/865625246.db2.gz AUGADSFVCHNPIQ-ZFWWWQNUSA-N 1 2 318.421 1.921 20 30 DDEDLO C=C[C@H](COC)NC(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC001332334899 865769297 /nfs/dbraw/zinc/76/92/97/865769297.db2.gz HQFFNOBLKDWCFS-CYBMUJFWSA-N 1 2 304.394 1.869 20 30 DDEDLO Cc1ccc(N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)cn1 ZINC001277054592 881906192 /nfs/dbraw/zinc/90/61/92/881906192.db2.gz KDKOUPNHLOBKGK-UHFFFAOYSA-N 1 2 307.401 1.922 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)C[C@@H](O)CN(C)C(=O)C#CC2CC2)o1 ZINC001332633446 866001538 /nfs/dbraw/zinc/00/15/38/866001538.db2.gz NAYUMCRIVCKWPS-DZGCQCFKSA-N 1 2 319.405 1.209 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)C[C@@H](O)CN(C)C(=O)C#CC2CC2)o1 ZINC001332633446 866001547 /nfs/dbraw/zinc/00/15/47/866001547.db2.gz NAYUMCRIVCKWPS-DZGCQCFKSA-N 1 2 319.405 1.209 20 30 DDEDLO N#CCN1CC=C(CCNC(=O)c2cccc3[nH+]ccn32)CC1 ZINC001160665626 866004921 /nfs/dbraw/zinc/00/49/21/866004921.db2.gz BYKOISSRGUVTBM-UHFFFAOYSA-N 1 2 309.373 1.610 20 30 DDEDLO COCC[N@@H+](CC#Cc1ccc(Cl)cc1)CCNC(C)=O ZINC001332907094 866239162 /nfs/dbraw/zinc/23/91/62/866239162.db2.gz GEPRBUWBFRFIMR-UHFFFAOYSA-N 1 2 308.809 1.776 20 30 DDEDLO COCC[N@H+](CC#Cc1ccc(Cl)cc1)CCNC(C)=O ZINC001332907094 866239177 /nfs/dbraw/zinc/23/91/77/866239177.db2.gz GEPRBUWBFRFIMR-UHFFFAOYSA-N 1 2 308.809 1.776 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H](CC)c1c(C)noc1C ZINC001323318024 866526785 /nfs/dbraw/zinc/52/67/85/866526785.db2.gz JEYIOEYYCRTSOE-CABCVRRESA-N 1 2 303.406 1.999 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H](CC)c1c(C)noc1C ZINC001323318024 866526794 /nfs/dbraw/zinc/52/67/94/866526794.db2.gz JEYIOEYYCRTSOE-CABCVRRESA-N 1 2 303.406 1.999 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](O)C[NH2+][C@@H](C)c1nc(C)no1 ZINC001320258455 866594889 /nfs/dbraw/zinc/59/48/89/866594889.db2.gz NGRZHNAAWOPBHT-JQWIXIFHSA-N 1 2 310.398 1.108 20 30 DDEDLO C#CCN(C(=O)c1cnccn1)C1CC[NH+](CC(=C)Cl)CC1 ZINC001324365977 867232061 /nfs/dbraw/zinc/23/20/61/867232061.db2.gz ZRZWWUDGVJZXPS-UHFFFAOYSA-N 1 2 318.808 1.769 20 30 DDEDLO C[C@@H](O[NH+]=C(N)c1ccc(OCc2ccccc2)cc1)C(N)=O ZINC001321138643 867346597 /nfs/dbraw/zinc/34/65/97/867346597.db2.gz CIGZFFVTTMDMOV-GFCCVEGCSA-N 1 2 313.357 1.776 20 30 DDEDLO C=CCc1ccnc(NC[C@@H]2C[N@H+](C)CCO2)c1C(OC)OC ZINC001162683677 867726809 /nfs/dbraw/zinc/72/68/09/867726809.db2.gz XKHQQYNOQKBBGW-CQSZACIVSA-N 1 2 321.421 1.844 20 30 DDEDLO C=CCc1ccnc(NC[C@@H]2C[N@@H+](C)CCO2)c1C(OC)OC ZINC001162683677 867726816 /nfs/dbraw/zinc/72/68/16/867726816.db2.gz XKHQQYNOQKBBGW-CQSZACIVSA-N 1 2 321.421 1.844 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1(O)C[NH+](CCCOC(C)C)C1 ZINC001325246933 867890038 /nfs/dbraw/zinc/89/00/38/867890038.db2.gz QJPZNBPKARJNLQ-UHFFFAOYSA-N 1 2 312.454 1.567 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C[C@@H](C)c2ccccc2F)C1 ZINC001325293431 867919511 /nfs/dbraw/zinc/91/95/11/867919511.db2.gz JDQBHRHRNNXKSE-CQSZACIVSA-N 1 2 318.392 1.506 20 30 DDEDLO C=C[C@@H](CC(=O)NCC1(O)C[NH+](CCCF)C1)c1ccccc1 ZINC001325307394 867929764 /nfs/dbraw/zinc/92/97/64/867929764.db2.gz DJYTXNPUGXZQGD-HNNXBMFYSA-N 1 2 320.408 1.869 20 30 DDEDLO CC(C)C[C@H](Nc1cc(N2CCCCC2)nc[nH+]1)C(=O)NO ZINC001163420379 868438380 /nfs/dbraw/zinc/43/83/80/868438380.db2.gz OOEPJQCBOUCTDT-LBPRGKRZSA-N 1 2 307.398 1.799 20 30 DDEDLO CC(C)C[C@H](Nc1cc(N2CCCCC2)[nH+]cn1)C(=O)NO ZINC001163420379 868438388 /nfs/dbraw/zinc/43/83/88/868438388.db2.gz OOEPJQCBOUCTDT-LBPRGKRZSA-N 1 2 307.398 1.799 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+]2CC[S@@](=O)CC2(C)C)cc1 ZINC001335894798 868602831 /nfs/dbraw/zinc/60/28/31/868602831.db2.gz YRSODEJHMRYDFT-JOCHJYFZSA-N 1 2 318.442 1.839 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+]2CC[S@@](=O)CC2(C)C)cc1 ZINC001335894798 868602834 /nfs/dbraw/zinc/60/28/34/868602834.db2.gz YRSODEJHMRYDFT-JOCHJYFZSA-N 1 2 318.442 1.839 20 30 DDEDLO CCc1nc(C[NH2+][C@@H]2CCCC[C@H]2NC(=O)C#CC2CC2)no1 ZINC001226111979 882210726 /nfs/dbraw/zinc/21/07/26/882210726.db2.gz TVTHOBZPYRLTCH-ZIAGYGMSSA-N 1 2 316.405 1.562 20 30 DDEDLO COCC#CC[NH2+]CC1(CCNC(=O)C(F)C(F)(F)F)CC1 ZINC001164597236 869316076 /nfs/dbraw/zinc/31/60/76/869316076.db2.gz ZLSHCZJGHPKPIL-NSHDSACASA-N 1 2 324.318 1.413 20 30 DDEDLO COCC#CC[NH2+]CC1(CCNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001164597236 869316088 /nfs/dbraw/zinc/31/60/88/869316088.db2.gz ZLSHCZJGHPKPIL-NSHDSACASA-N 1 2 324.318 1.413 20 30 DDEDLO C#CCOCCNC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001337706688 869602608 /nfs/dbraw/zinc/60/26/08/869602608.db2.gz FBZSLIGNRYLMIJ-MRXNPFEDSA-N 1 2 301.390 1.202 20 30 DDEDLO C#CCOCCNC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001337706688 869602612 /nfs/dbraw/zinc/60/26/12/869602612.db2.gz FBZSLIGNRYLMIJ-MRXNPFEDSA-N 1 2 301.390 1.202 20 30 DDEDLO COC[C@H]1CN(c2[nH+]ccc3[nH]cc(C#N)c32)Cc2cn(C)nc21 ZINC001165608842 869651981 /nfs/dbraw/zinc/65/19/81/869651981.db2.gz CZNJPSWNVHHQKA-CYBMUJFWSA-N 1 2 322.372 1.918 20 30 DDEDLO C#CCN(C(=O)[C@@H](CC)[N@@H+]1CCO[C@@H](CC)C1)C1CSC1 ZINC001166131570 869845665 /nfs/dbraw/zinc/84/56/65/869845665.db2.gz KFJQHJHNFKXPJA-LSDHHAIUSA-N 1 2 310.463 1.453 20 30 DDEDLO C#CCN(C(=O)[C@@H](CC)[N@H+]1CCO[C@@H](CC)C1)C1CSC1 ZINC001166131570 869845679 /nfs/dbraw/zinc/84/56/79/869845679.db2.gz KFJQHJHNFKXPJA-LSDHHAIUSA-N 1 2 310.463 1.453 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001316987149 870073456 /nfs/dbraw/zinc/07/34/56/870073456.db2.gz VABBZYBLSNRJAW-STQMWFEESA-N 1 2 307.394 1.976 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001316987149 870073468 /nfs/dbraw/zinc/07/34/68/870073468.db2.gz VABBZYBLSNRJAW-STQMWFEESA-N 1 2 307.394 1.976 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1C ZINC001338672426 870109331 /nfs/dbraw/zinc/10/93/31/870109331.db2.gz ZGHPCCSVLWSYMK-KGLIPLIRSA-N 1 2 305.426 1.753 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@@H]2C[N@H+]3CCCC[C@@H]3CO2)n1C ZINC001338672426 870109337 /nfs/dbraw/zinc/10/93/37/870109337.db2.gz ZGHPCCSVLWSYMK-KGLIPLIRSA-N 1 2 305.426 1.753 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)C1CCCCC1 ZINC001338775083 870162514 /nfs/dbraw/zinc/16/25/14/870162514.db2.gz UFEJVHXQPIKXSV-CABCVRRESA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)C1CCCCC1 ZINC001338775083 870162526 /nfs/dbraw/zinc/16/25/26/870162526.db2.gz UFEJVHXQPIKXSV-CABCVRRESA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)c1coc(C)c1 ZINC001317171196 870407877 /nfs/dbraw/zinc/40/78/77/870407877.db2.gz PJXFVHORDSZZMU-HNNXBMFYSA-N 1 2 319.405 1.475 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)c1coc(C)c1 ZINC001317171196 870407880 /nfs/dbraw/zinc/40/78/80/870407880.db2.gz PJXFVHORDSZZMU-HNNXBMFYSA-N 1 2 319.405 1.475 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(C=C)CC1 ZINC001339530008 870541524 /nfs/dbraw/zinc/54/15/24/870541524.db2.gz LEJMQWDHVACRSY-HUUCEWRRSA-N 1 2 317.437 1.604 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(C=C)CC1 ZINC001339530008 870541529 /nfs/dbraw/zinc/54/15/29/870541529.db2.gz LEJMQWDHVACRSY-HUUCEWRRSA-N 1 2 317.437 1.604 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001298778300 870723322 /nfs/dbraw/zinc/72/33/22/870723322.db2.gz SNUKGFPQYJOZMW-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)C#CC(C)(C)C)NC(=O)Cn1cc[nH+]c1 ZINC001339832340 870723905 /nfs/dbraw/zinc/72/39/05/870723905.db2.gz UCEQZCVVJGKOJP-UONOGXRCSA-N 1 2 318.421 1.332 20 30 DDEDLO C#CCN(CC1CC1)C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC001339979910 870818954 /nfs/dbraw/zinc/81/89/54/870818954.db2.gz LIICZJAYGCPNCX-UHFFFAOYSA-N 1 2 306.435 1.760 20 30 DDEDLO C=CCCC(=O)NCC[N@@H+](C)CC(=O)Nc1cccc(F)c1 ZINC001317440345 870840772 /nfs/dbraw/zinc/84/07/72/870840772.db2.gz APDJROOLGGIFSH-UHFFFAOYSA-N 1 2 307.369 1.778 20 30 DDEDLO C=CCCC(=O)NCC[N@H+](C)CC(=O)Nc1cccc(F)c1 ZINC001317440345 870840787 /nfs/dbraw/zinc/84/07/87/870840787.db2.gz APDJROOLGGIFSH-UHFFFAOYSA-N 1 2 307.369 1.778 20 30 DDEDLO CC#CCCCC(=O)NCC[N@H+](C)CC(=O)NCC(C)(C)C ZINC001317457241 870874058 /nfs/dbraw/zinc/87/40/58/870874058.db2.gz PGLAVUATYKCUME-UHFFFAOYSA-N 1 2 309.454 1.390 20 30 DDEDLO CC#CCCCC(=O)NCC[N@@H+](C)CC(=O)NCC(C)(C)C ZINC001317457241 870874069 /nfs/dbraw/zinc/87/40/69/870874069.db2.gz PGLAVUATYKCUME-UHFFFAOYSA-N 1 2 309.454 1.390 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1([NH2+]Cc2ncnn2CCF)CC1 ZINC001277133079 882467737 /nfs/dbraw/zinc/46/77/37/882467737.db2.gz QUVRZYQTIDZVLL-UHFFFAOYSA-N 1 2 323.416 1.588 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@H+]2CCOC[C@H]2CC)cc1 ZINC001303339501 871120255 /nfs/dbraw/zinc/12/02/55/871120255.db2.gz OEMCYROHXBNPHY-GOSISDBHSA-N 1 2 314.429 1.828 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@@H+]2CCOC[C@H]2CC)cc1 ZINC001303339501 871120269 /nfs/dbraw/zinc/12/02/69/871120269.db2.gz OEMCYROHXBNPHY-GOSISDBHSA-N 1 2 314.429 1.828 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@@H+](C)CC(=O)NCCC(C)(C)C)C1 ZINC001317462125 871351752 /nfs/dbraw/zinc/35/17/52/871351752.db2.gz MIDWLFTWZLQVMD-UHFFFAOYSA-N 1 2 323.481 1.943 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@H+](C)CC(=O)NCCC(C)(C)C)C1 ZINC001317462125 871351768 /nfs/dbraw/zinc/35/17/68/871351768.db2.gz MIDWLFTWZLQVMD-UHFFFAOYSA-N 1 2 323.481 1.943 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1C[NH+](Cc2ccc3c(c2)CCC3)C1 ZINC001318024368 871694212 /nfs/dbraw/zinc/69/42/12/871694212.db2.gz HJEASGURCDLHBK-CQSZACIVSA-N 1 2 312.413 1.514 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2ccc(CF)cc2)C1 ZINC001318374527 871966187 /nfs/dbraw/zinc/96/61/87/871966187.db2.gz UAAJSGCYAXVEKU-OAHLLOKOSA-N 1 2 319.380 1.262 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(CF)cc2)C1 ZINC001318374527 871966192 /nfs/dbraw/zinc/96/61/92/871966192.db2.gz UAAJSGCYAXVEKU-OAHLLOKOSA-N 1 2 319.380 1.262 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)[C@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001318399195 871993803 /nfs/dbraw/zinc/99/38/03/871993803.db2.gz DODBJODMTJQAJW-YOEHRIQHSA-N 1 2 321.421 1.651 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001318399195 871993811 /nfs/dbraw/zinc/99/38/11/871993811.db2.gz DODBJODMTJQAJW-YOEHRIQHSA-N 1 2 321.421 1.651 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1CC[C@H](OC)C1 ZINC001342099308 872003209 /nfs/dbraw/zinc/00/32/09/872003209.db2.gz GTDVOHQENQIUGZ-AWEZNQCLSA-N 1 2 305.426 1.675 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3(OC)CC3)n2CC(=C)C)CC1 ZINC001342483055 872229205 /nfs/dbraw/zinc/22/92/05/872229205.db2.gz COZZNDFDDFZQTR-UHFFFAOYSA-N 1 2 315.421 1.245 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@]2(C)CC=CCC2)C1 ZINC001319307802 872558752 /nfs/dbraw/zinc/55/87/52/872558752.db2.gz BIXICMVDCLXATF-SJLPKXTDSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@]2(C)CC=CCC2)C1 ZINC001319307802 872558765 /nfs/dbraw/zinc/55/87/65/872558765.db2.gz BIXICMVDCLXATF-SJLPKXTDSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H](CC)c2c(C)noc2C)C1 ZINC001319321814 872571402 /nfs/dbraw/zinc/57/14/02/872571402.db2.gz FUTZRGAVZJUUCQ-LSDHHAIUSA-N 1 2 321.421 1.788 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H](CC)c2c(C)noc2C)C1 ZINC001319321814 872571407 /nfs/dbraw/zinc/57/14/07/872571407.db2.gz FUTZRGAVZJUUCQ-LSDHHAIUSA-N 1 2 321.421 1.788 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2ncccn2)C[C@H]1C ZINC001206913430 872754995 /nfs/dbraw/zinc/75/49/95/872754995.db2.gz KRDRCPXNSFJTSV-QLFBSQMISA-N 1 2 300.406 1.778 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2ncccn2)C[C@H]1C ZINC001206913430 872755004 /nfs/dbraw/zinc/75/50/04/872755004.db2.gz KRDRCPXNSFJTSV-QLFBSQMISA-N 1 2 300.406 1.778 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2nccs2)C[C@H]1C ZINC001206914744 872758470 /nfs/dbraw/zinc/75/84/70/872758470.db2.gz PFCASHYCZZVNSL-LALPHHSUSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2nccs2)C[C@H]1C ZINC001206914744 872758477 /nfs/dbraw/zinc/75/84/77/872758477.db2.gz PFCASHYCZZVNSL-LALPHHSUSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2nocc2C)C[C@H]1C ZINC001206916787 872761795 /nfs/dbraw/zinc/76/17/95/872761795.db2.gz CTPUEFZOQHYWQR-AXAPSJFSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2nocc2C)C[C@H]1C ZINC001206916787 872761807 /nfs/dbraw/zinc/76/18/07/872761807.db2.gz CTPUEFZOQHYWQR-AXAPSJFSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)NCc1cc[nH+]c(NC)c1 ZINC001346439727 873754391 /nfs/dbraw/zinc/75/43/91/873754391.db2.gz OCQAIWUYOLVABY-UKRRQHHQSA-N 1 2 304.394 1.904 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nonc2C)C[C@H]1C ZINC001208388140 874097434 /nfs/dbraw/zinc/09/74/34/874097434.db2.gz HVHNUOGSUQRAFE-YRGRVCCFSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nonc2C)C[C@H]1C ZINC001208388140 874097447 /nfs/dbraw/zinc/09/74/47/874097447.db2.gz HVHNUOGSUQRAFE-YRGRVCCFSA-N 1 2 306.410 1.917 20 30 DDEDLO COC[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001378100285 874142074 /nfs/dbraw/zinc/14/20/74/874142074.db2.gz BIHYOXNISLYJPG-IUODEOHRSA-N 1 2 319.380 1.670 20 30 DDEDLO COC[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001378100285 874142081 /nfs/dbraw/zinc/14/20/81/874142081.db2.gz BIHYOXNISLYJPG-IUODEOHRSA-N 1 2 319.380 1.670 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001378103359 874150623 /nfs/dbraw/zinc/15/06/23/874150623.db2.gz UKIVVWQABCPGEH-SMDDNHRTSA-N 1 2 305.353 1.423 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001378103359 874150631 /nfs/dbraw/zinc/15/06/31/874150631.db2.gz UKIVVWQABCPGEH-SMDDNHRTSA-N 1 2 305.353 1.423 20 30 DDEDLO CON(C)c1nnc(C2CC[NH+](CCC#N)CC2)n1CC1CC1 ZINC001347967246 874322779 /nfs/dbraw/zinc/32/27/79/874322779.db2.gz SLZNMPCHRGDQSA-UHFFFAOYSA-N 1 2 318.425 1.779 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001209335945 874820592 /nfs/dbraw/zinc/82/05/92/874820592.db2.gz TZIXZBQMHNKDEW-CYBMUJFWSA-N 1 2 322.409 1.653 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001210447852 875392511 /nfs/dbraw/zinc/39/25/11/875392511.db2.gz NCCKNUBRPKVUJC-LERXQTSPSA-N 1 2 315.845 1.336 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001210447852 875392520 /nfs/dbraw/zinc/39/25/20/875392520.db2.gz NCCKNUBRPKVUJC-LERXQTSPSA-N 1 2 315.845 1.336 20 30 DDEDLO CCc1nnc([C@@H](C)[NH+]2CCC(NC(=O)C#CC(C)C)CC2)o1 ZINC001227266044 882945420 /nfs/dbraw/zinc/94/54/20/882945420.db2.gz SMYUFSNBQKTOLY-CYBMUJFWSA-N 1 2 318.421 1.933 20 30 DDEDLO CCc1nnc([C@H](C)[NH+]2CCC(NC(=O)C#CC3CC3)CC2)o1 ZINC001227299724 882962211 /nfs/dbraw/zinc/96/22/11/882962211.db2.gz ZKZFKZFTHNMBFG-LBPRGKRZSA-N 1 2 316.405 1.687 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001211428253 875805134 /nfs/dbraw/zinc/80/51/34/875805134.db2.gz YMYOEFCLTQQDHB-UXIGCNINSA-N 1 2 321.421 1.819 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001211428253 875805138 /nfs/dbraw/zinc/80/51/38/875805138.db2.gz YMYOEFCLTQQDHB-UXIGCNINSA-N 1 2 321.421 1.819 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)CCCC ZINC001351606164 876344205 /nfs/dbraw/zinc/34/42/05/876344205.db2.gz WRRKQHOGBZBYPX-KGLIPLIRSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)CCCC ZINC001351606164 876344225 /nfs/dbraw/zinc/34/42/25/876344225.db2.gz WRRKQHOGBZBYPX-KGLIPLIRSA-N 1 2 307.442 1.828 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001214466082 876351427 /nfs/dbraw/zinc/35/14/27/876351427.db2.gz FOYAWBQFWXEZHG-TZMCWYRMSA-N 1 2 304.394 1.508 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001214529382 876378825 /nfs/dbraw/zinc/37/88/25/876378825.db2.gz WIDADDDFPJGOAJ-ZIAGYGMSSA-N 1 2 318.421 1.762 20 30 DDEDLO C=CCn1c(N(C)CC(C)(C)C)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001352062678 876579810 /nfs/dbraw/zinc/57/98/10/876579810.db2.gz KXJYGPYFYVJOQM-DYVFJYSZSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(C)CC(C)(C)C)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001352062678 876579820 /nfs/dbraw/zinc/57/98/20/876579820.db2.gz KXJYGPYFYVJOQM-DYVFJYSZSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001352910055 877003137 /nfs/dbraw/zinc/00/31/37/877003137.db2.gz VRFVEEBKKBNNLP-ZDUSSCGKSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CC1(NC(=O)C(=O)Nc2ccc(C)[nH+]c2N(C)C)CCCC1 ZINC001353447225 877336783 /nfs/dbraw/zinc/33/67/83/877336783.db2.gz PPOHQDFUGASWQO-UHFFFAOYSA-N 1 2 314.389 1.457 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001353641279 877460432 /nfs/dbraw/zinc/46/04/32/877460432.db2.gz LBGNUAALJIMQFQ-XJFOESAGSA-N 1 2 318.421 1.184 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001218524508 877532075 /nfs/dbraw/zinc/53/20/75/877532075.db2.gz XVVFBPBZZYXTJL-IXDOHACOSA-N 1 2 320.433 1.150 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001218524508 877532084 /nfs/dbraw/zinc/53/20/84/877532084.db2.gz XVVFBPBZZYXTJL-IXDOHACOSA-N 1 2 320.433 1.150 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001353796350 877573157 /nfs/dbraw/zinc/57/31/57/877573157.db2.gz JRLRMZYGALJOFP-YUELXQCFSA-N 1 2 318.421 1.708 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001353796350 877573168 /nfs/dbraw/zinc/57/31/68/877573168.db2.gz JRLRMZYGALJOFP-YUELXQCFSA-N 1 2 318.421 1.708 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](CC)CC(F)(F)F)[C@H]2C1 ZINC001218975775 877845057 /nfs/dbraw/zinc/84/50/57/877845057.db2.gz FCESAOYQHXVFIN-FRRDWIJNSA-N 1 2 318.339 1.510 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](CC)CC(F)(F)F)[C@H]2C1 ZINC001218975775 877845069 /nfs/dbraw/zinc/84/50/69/877845069.db2.gz FCESAOYQHXVFIN-FRRDWIJNSA-N 1 2 318.339 1.510 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219362360 878149526 /nfs/dbraw/zinc/14/95/26/878149526.db2.gz XRYMUZICZMKKPU-CABCVRRESA-N 1 2 324.808 1.204 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219362360 878149539 /nfs/dbraw/zinc/14/95/39/878149539.db2.gz XRYMUZICZMKKPU-CABCVRRESA-N 1 2 324.808 1.204 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1C ZINC001355734229 878694207 /nfs/dbraw/zinc/69/42/07/878694207.db2.gz ORTJILGYUBUCSM-CABCVRRESA-N 1 2 317.437 1.919 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@H]2C[N@H+]3CCCC[C@@H]3CO2)n1C ZINC001355734229 878694213 /nfs/dbraw/zinc/69/42/13/878694213.db2.gz ORTJILGYUBUCSM-CABCVRRESA-N 1 2 317.437 1.919 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@H+](Cc3ccc(C#N)s3)C[C@@H]2O)CC1 ZINC001220288305 878882594 /nfs/dbraw/zinc/88/25/94/878882594.db2.gz PSZNXNCVPCCQJK-KGLIPLIRSA-N 1 2 319.430 1.471 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccc(C#N)s3)C[C@@H]2O)CC1 ZINC001220288305 878882598 /nfs/dbraw/zinc/88/25/98/878882598.db2.gz PSZNXNCVPCCQJK-KGLIPLIRSA-N 1 2 319.430 1.471 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](c2ccccc2)C(C)C)[C@@H](O)C1 ZINC001220432753 879010764 /nfs/dbraw/zinc/01/07/64/879010764.db2.gz YFNWHWKVTKFKKJ-IKGGRYGDSA-N 1 2 300.402 1.221 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](c2ccccc2)C(C)C)[C@@H](O)C1 ZINC001220432753 879010782 /nfs/dbraw/zinc/01/07/82/879010782.db2.gz YFNWHWKVTKFKKJ-IKGGRYGDSA-N 1 2 300.402 1.221 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC)CC2CCCCC2)[C@@H](O)C1 ZINC001220470326 879045937 /nfs/dbraw/zinc/04/59/37/879045937.db2.gz XTFAERCETVMPEB-ZACQAIPSSA-N 1 2 306.450 1.778 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)CC2CCCCC2)[C@@H](O)C1 ZINC001220470326 879045949 /nfs/dbraw/zinc/04/59/49/879045949.db2.gz XTFAERCETVMPEB-ZACQAIPSSA-N 1 2 306.450 1.778 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1O)c1ccccc1 ZINC001221044913 879466839 /nfs/dbraw/zinc/46/68/39/879466839.db2.gz PRDJXUVNKBJAEN-UHEGZITHSA-N 1 2 320.820 1.870 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1O)c1ccccc1 ZINC001221044913 879466849 /nfs/dbraw/zinc/46/68/49/879466849.db2.gz PRDJXUVNKBJAEN-UHEGZITHSA-N 1 2 320.820 1.870 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(C(F)(F)F)CCCCC2)[C@@H](O)C1 ZINC001221179946 879554981 /nfs/dbraw/zinc/55/49/81/879554981.db2.gz VAQNGXPIUUZHBL-NEPJUHHUSA-N 1 2 318.339 1.294 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(C(F)(F)F)CCCCC2)[C@@H](O)C1 ZINC001221179946 879554986 /nfs/dbraw/zinc/55/49/86/879554986.db2.gz VAQNGXPIUUZHBL-NEPJUHHUSA-N 1 2 318.339 1.294 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001356991795 879663656 /nfs/dbraw/zinc/66/36/56/879663656.db2.gz GGVPGVOPEOKLRG-CQSZACIVSA-N 1 2 316.405 1.040 20 30 DDEDLO C#CCCCC(=O)N[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)C(C)C ZINC001357117053 879805772 /nfs/dbraw/zinc/80/57/72/879805772.db2.gz PNXCMXZJQVXZFI-ZDUSSCGKSA-N 1 2 304.394 1.013 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](O)(CNC(=O)C2(C)CC2)C1 ZINC001380533957 879883594 /nfs/dbraw/zinc/88/35/94/879883594.db2.gz AHWMGYMPHQMEPJ-ZDUSSCGKSA-N 1 2 317.227 1.248 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](O)(CNC(=O)C2(C)CC2)C1 ZINC001380533957 879883618 /nfs/dbraw/zinc/88/36/18/879883618.db2.gz AHWMGYMPHQMEPJ-ZDUSSCGKSA-N 1 2 317.227 1.248 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)CCCC)[C@@H]2C1 ZINC001221521147 879915472 /nfs/dbraw/zinc/91/54/72/879915472.db2.gz CYGHOWMMBCVWAS-BZUAXINKSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)CCCC)[C@@H]2C1 ZINC001221521147 879915481 /nfs/dbraw/zinc/91/54/81/879915481.db2.gz CYGHOWMMBCVWAS-BZUAXINKSA-N 1 2 321.465 1.648 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C)cs3)[C@@H]2C1 ZINC001221524005 879918937 /nfs/dbraw/zinc/91/89/37/879918937.db2.gz FSMKUZIQKADGRH-HUUCEWRRSA-N 1 2 318.442 1.853 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C)cs3)[C@@H]2C1 ZINC001221524005 879918944 /nfs/dbraw/zinc/91/89/44/879918944.db2.gz FSMKUZIQKADGRH-HUUCEWRRSA-N 1 2 318.442 1.853 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001357344446 879926560 /nfs/dbraw/zinc/92/65/60/879926560.db2.gz VBLIWPNQHOVKHP-CABCVRRESA-N 1 2 316.405 1.088 20 30 DDEDLO CCc1oncc1C(=O)N1C[C@H]2CC[N@H+](CC#CCOC)C[C@H]21 ZINC001221839766 880112572 /nfs/dbraw/zinc/11/25/72/880112572.db2.gz IWPUKUQFRAWVHY-UKRRQHHQSA-N 1 2 317.389 1.033 20 30 DDEDLO CCc1oncc1C(=O)N1C[C@H]2CC[N@@H+](CC#CCOC)C[C@H]21 ZINC001221839766 880112579 /nfs/dbraw/zinc/11/25/79/880112579.db2.gz IWPUKUQFRAWVHY-UKRRQHHQSA-N 1 2 317.389 1.033 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]([NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001222067356 880210476 /nfs/dbraw/zinc/21/04/76/880210476.db2.gz GQKWMUNTUIYMIP-JSGCOSHPSA-N 1 2 322.409 1.612 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)CCC(F)F)C1 ZINC001380746795 880327246 /nfs/dbraw/zinc/32/72/46/880327246.db2.gz MFVJILIMMSOINM-ZDUSSCGKSA-N 1 2 310.772 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)CCC(F)F)C1 ZINC001380746795 880327258 /nfs/dbraw/zinc/32/72/58/880327258.db2.gz MFVJILIMMSOINM-ZDUSSCGKSA-N 1 2 310.772 1.727 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cn(C)nn3)[C@H]2C1 ZINC001222428640 880462263 /nfs/dbraw/zinc/46/22/63/880462263.db2.gz QAULISJOCNALFQ-HIFRSBDPSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@H+](Cc3cn(C)nn3)[C@H]2C1 ZINC001222428640 880462269 /nfs/dbraw/zinc/46/22/69/880462269.db2.gz QAULISJOCNALFQ-HIFRSBDPSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001358532179 880501590 /nfs/dbraw/zinc/50/15/90/880501590.db2.gz SOAYGRUAEAMYIL-LBPRGKRZSA-N 1 2 306.410 1.186 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+]([C@H](C)c2nnc(C)[nH]2)CC1 ZINC001222609570 880598129 /nfs/dbraw/zinc/59/81/29/880598129.db2.gz MGIWACNWNCOFEP-GFCCVEGCSA-N 1 2 305.426 1.969 20 30 DDEDLO CC(C)n1cc(C[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)nn1 ZINC001222640692 880616057 /nfs/dbraw/zinc/61/60/57/880616057.db2.gz CQEIHQATUOANFX-ZDUSSCGKSA-N 1 2 318.425 1.347 20 30 DDEDLO CCc1noc([C@H](C)[N@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)n1 ZINC001380950965 880731150 /nfs/dbraw/zinc/73/11/50/880731150.db2.gz XIEUWRUWBMMDCB-RYUDHWBXSA-N 1 2 307.398 1.633 20 30 DDEDLO CCc1noc([C@H](C)[N@@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)n1 ZINC001380950965 880731160 /nfs/dbraw/zinc/73/11/60/880731160.db2.gz XIEUWRUWBMMDCB-RYUDHWBXSA-N 1 2 307.398 1.633 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](NC(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001287932193 912603146 /nfs/dbraw/zinc/60/31/46/912603146.db2.gz CESBDEWBHMPICV-GJZGRUSLSA-N 1 2 318.421 1.735 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)CC(C)(C)CC ZINC001276862460 880819911 /nfs/dbraw/zinc/81/99/11/880819911.db2.gz VHFHWIJKYATUMN-UONOGXRCSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)CC(C)(C)CC ZINC001276862460 880819923 /nfs/dbraw/zinc/81/99/23/880819923.db2.gz VHFHWIJKYATUMN-UONOGXRCSA-N 1 2 307.438 1.141 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(C)c(F)c1 ZINC001276883936 880930961 /nfs/dbraw/zinc/93/09/61/880930961.db2.gz NZNZNVBTPHNRQN-OAHLLOKOSA-N 1 2 304.365 1.588 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C)c(F)c1 ZINC001276883936 880930970 /nfs/dbraw/zinc/93/09/70/880930970.db2.gz NZNZNVBTPHNRQN-OAHLLOKOSA-N 1 2 304.365 1.588 20 30 DDEDLO CCc1ccc([C@H](O)C[NH+]2CCN(C(=O)[C@H](C)C#N)CC2)cc1 ZINC001381078207 880973257 /nfs/dbraw/zinc/97/32/57/880973257.db2.gz FWDMIFNZPCGOOP-RHSMWYFYSA-N 1 2 315.417 1.586 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CCCC(F)(F)C1 ZINC001276923104 881109587 /nfs/dbraw/zinc/10/95/87/881109587.db2.gz FZRMKKYIOPEIQX-KBPBESRZSA-N 1 2 314.376 1.652 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1CCCC(F)(F)C1 ZINC001276923104 881109591 /nfs/dbraw/zinc/10/95/91/881109591.db2.gz FZRMKKYIOPEIQX-KBPBESRZSA-N 1 2 314.376 1.652 20 30 DDEDLO C#CCCCCCC(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001224061564 881200220 /nfs/dbraw/zinc/20/02/20/881200220.db2.gz CVIMBPBHXWTLSZ-UHFFFAOYSA-N 1 2 318.421 1.982 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288052343 912696681 /nfs/dbraw/zinc/69/66/81/912696681.db2.gz RNUZKRSASCHZCW-STQMWFEESA-N 1 2 304.394 1.320 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H](C)NC(=O)c1cccc2[nH+]ccn21 ZINC001382196993 883618751 /nfs/dbraw/zinc/61/87/51/883618751.db2.gz JFLUSHXWNNVTEE-NEPJUHHUSA-N 1 2 313.361 1.071 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2ncc(C)cn2)CC1 ZINC001230411791 884478217 /nfs/dbraw/zinc/47/82/17/884478217.db2.gz SRBKVGCAVTYOEH-UHFFFAOYSA-N 1 2 318.421 1.458 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1CCCCC1 ZINC001230636960 884677881 /nfs/dbraw/zinc/67/78/81/884677881.db2.gz CONJWHGURIUQBL-HOCLYGCPSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1CCCCC1 ZINC001230636960 884677890 /nfs/dbraw/zinc/67/78/90/884677890.db2.gz CONJWHGURIUQBL-HOCLYGCPSA-N 1 2 319.449 1.237 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(C)n1 ZINC001230771024 884858798 /nfs/dbraw/zinc/85/87/98/884858798.db2.gz XOPKDARZXUSBTM-OAHLLOKOSA-N 1 2 301.390 1.186 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(C)n1 ZINC001230771024 884858807 /nfs/dbraw/zinc/85/88/07/884858807.db2.gz XOPKDARZXUSBTM-OAHLLOKOSA-N 1 2 301.390 1.186 20 30 DDEDLO CCCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001231093432 885210221 /nfs/dbraw/zinc/21/02/21/885210221.db2.gz AKSDMGIHUBBUFG-CVEARBPZSA-N 1 2 310.438 1.374 20 30 DDEDLO CCCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001231093432 885210240 /nfs/dbraw/zinc/21/02/40/885210240.db2.gz AKSDMGIHUBBUFG-CVEARBPZSA-N 1 2 310.438 1.374 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC1CCCC1 ZINC001231122805 885255709 /nfs/dbraw/zinc/25/57/09/885255709.db2.gz OGFFKKRBVMSWDK-HNNXBMFYSA-N 1 2 307.438 1.544 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC1CCCC1 ZINC001231122805 885255722 /nfs/dbraw/zinc/25/57/22/885255722.db2.gz OGFFKKRBVMSWDK-HNNXBMFYSA-N 1 2 307.438 1.544 20 30 DDEDLO C[C@H](C#N)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCCCC1 ZINC001383203228 885399457 /nfs/dbraw/zinc/39/94/57/885399457.db2.gz IJECUKKNAYLTEV-GFCCVEGCSA-N 1 2 317.393 1.047 20 30 DDEDLO CCOc1cccc(C[N@@H+]2CC[C@H]2CN(C)C(=O)[C@H](C)C#N)n1 ZINC001231247704 885429225 /nfs/dbraw/zinc/42/92/25/885429225.db2.gz QZAZWTHDBDJGDG-HIFRSBDPSA-N 1 2 316.405 1.673 20 30 DDEDLO CCOc1cccc(C[N@H+]2CC[C@H]2CN(C)C(=O)[C@H](C)C#N)n1 ZINC001231247704 885429235 /nfs/dbraw/zinc/42/92/35/885429235.db2.gz QZAZWTHDBDJGDG-HIFRSBDPSA-N 1 2 316.405 1.673 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccnc(N(C)C)c1 ZINC001231247806 885431326 /nfs/dbraw/zinc/43/13/26/885431326.db2.gz RLWSZALYNUMUSU-DZGCQCFKSA-N 1 2 315.421 1.340 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccnc(N(C)C)c1 ZINC001231247806 885431335 /nfs/dbraw/zinc/43/13/35/885431335.db2.gz RLWSZALYNUMUSU-DZGCQCFKSA-N 1 2 315.421 1.340 20 30 DDEDLO CC(C)[C@@H](CCNC(=O)[C@H]1CCn2c[nH+]cc2C1)NCC#N ZINC001277575885 885902606 /nfs/dbraw/zinc/90/26/06/885902606.db2.gz ANVPAPKFSJUATO-DZGCQCFKSA-N 1 2 303.410 1.090 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)CCCn1cc[nH+]c1 ZINC001363474767 886437072 /nfs/dbraw/zinc/43/70/72/886437072.db2.gz RZHMGILFLQACKC-INIZCTEOSA-N 1 2 312.373 1.727 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2ccc(Cl)cn2)C1 ZINC001277686777 886490371 /nfs/dbraw/zinc/49/03/71/886490371.db2.gz RQIYGXKJXPSMRR-UHFFFAOYSA-N 1 2 309.797 1.694 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](Cc2ccc(CCO)cc2)CC1 ZINC001232780042 886584339 /nfs/dbraw/zinc/58/43/39/886584339.db2.gz AGYXIDAFAFYRFQ-UHFFFAOYSA-N 1 2 304.390 1.662 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)[C@@H](CC#N)c1ccccc1 ZINC001363555103 886625210 /nfs/dbraw/zinc/62/52/10/886625210.db2.gz BUDOGYSPFHQXRE-IRXDYDNUSA-N 1 2 315.417 1.911 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)[C@@H](CC#N)c1ccccc1 ZINC001363555103 886625219 /nfs/dbraw/zinc/62/52/19/886625219.db2.gz BUDOGYSPFHQXRE-IRXDYDNUSA-N 1 2 315.417 1.911 20 30 DDEDLO CN(C)c1ccc(CN2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c(F)c1C#N ZINC001233098010 886785290 /nfs/dbraw/zinc/78/52/90/886785290.db2.gz DJQQMVZYRPYEPQ-CVEARBPZSA-N 1 2 318.396 1.278 20 30 DDEDLO CN(C)c1ccc(CN2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c(F)c1C#N ZINC001233098010 886785299 /nfs/dbraw/zinc/78/52/99/886785299.db2.gz DJQQMVZYRPYEPQ-CVEARBPZSA-N 1 2 318.396 1.278 20 30 DDEDLO CN(C)c1ccc(C[N@H+]2C[C@@H]3[C@H](C2)OCCN3C)c(F)c1C#N ZINC001233098010 886785305 /nfs/dbraw/zinc/78/53/05/886785305.db2.gz DJQQMVZYRPYEPQ-CVEARBPZSA-N 1 2 318.396 1.278 20 30 DDEDLO CN(C)c1ccc(C[N@@H+]2C[C@@H]3[C@H](C2)OCCN3C)c(F)c1C#N ZINC001233098010 886785312 /nfs/dbraw/zinc/78/53/12/886785312.db2.gz DJQQMVZYRPYEPQ-CVEARBPZSA-N 1 2 318.396 1.278 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C[C@H]3CCCO3)CC2)c(O)c1 ZINC001233385494 886967840 /nfs/dbraw/zinc/96/78/40/886967840.db2.gz JWUSIMJNRJGWML-MRXNPFEDSA-N 1 2 301.390 1.560 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001288888068 913115159 /nfs/dbraw/zinc/11/51/59/913115159.db2.gz TZBAJWLJPYVSRI-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCCC ZINC001233947432 887486865 /nfs/dbraw/zinc/48/68/65/887486865.db2.gz MCWFMDXCYFOLIM-CABCVRRESA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCCC ZINC001233947432 887486870 /nfs/dbraw/zinc/48/68/70/887486870.db2.gz MCWFMDXCYFOLIM-CABCVRRESA-N 1 2 307.438 1.237 20 30 DDEDLO CCc1nocc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234120500 887665267 /nfs/dbraw/zinc/66/52/67/887665267.db2.gz VALBOFMHPWNNDV-OAHLLOKOSA-N 1 2 303.406 1.929 20 30 DDEDLO CCc1nocc1C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234120500 887665281 /nfs/dbraw/zinc/66/52/81/887665281.db2.gz VALBOFMHPWNNDV-OAHLLOKOSA-N 1 2 303.406 1.929 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178918 887720182 /nfs/dbraw/zinc/72/01/82/887720182.db2.gz QDOFKTZRFKQDPP-MRXNPFEDSA-N 1 2 300.406 1.175 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178918 887720193 /nfs/dbraw/zinc/72/01/93/887720193.db2.gz QDOFKTZRFKQDPP-MRXNPFEDSA-N 1 2 300.406 1.175 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cnn(C)c1)C(=O)C#CC(C)(C)C ZINC001234194110 887734940 /nfs/dbraw/zinc/73/49/40/887734940.db2.gz ANHNXKUUWDHMOH-HNNXBMFYSA-N 1 2 302.422 1.502 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cnn(C)c1)C(=O)C#CC(C)(C)C ZINC001234194110 887734952 /nfs/dbraw/zinc/73/49/52/887734952.db2.gz ANHNXKUUWDHMOH-HNNXBMFYSA-N 1 2 302.422 1.502 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001234216357 887757299 /nfs/dbraw/zinc/75/72/99/887757299.db2.gz WJJOZWZEHJEVKX-GJZGRUSLSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001234216357 887757307 /nfs/dbraw/zinc/75/73/07/887757307.db2.gz WJJOZWZEHJEVKX-GJZGRUSLSA-N 1 2 319.405 1.362 20 30 DDEDLO C[C@@H]([NH2+]Cc1ccncc1C#N)c1cccc(S(N)(=O)=O)c1 ZINC001364377664 888491705 /nfs/dbraw/zinc/49/17/05/888491705.db2.gz MTFJCKXVLNJMHZ-LLVKDONJSA-N 1 2 316.386 1.451 20 30 DDEDLO CCOC[C@H]1C[N@H+](Cc2ccnc(C#N)c2)Cc2ncn(C)c21 ZINC001237465903 889650174 /nfs/dbraw/zinc/65/01/74/889650174.db2.gz ZSOTZWVFOUBDNP-CQSZACIVSA-N 1 2 311.389 1.823 20 30 DDEDLO CCOC[C@H]1C[N@@H+](Cc2ccnc(C#N)c2)Cc2ncn(C)c21 ZINC001237465903 889650181 /nfs/dbraw/zinc/65/01/81/889650181.db2.gz ZSOTZWVFOUBDNP-CQSZACIVSA-N 1 2 311.389 1.823 20 30 DDEDLO N#Cc1cc(Cl)cc(C[N@@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c1 ZINC001237750883 889837380 /nfs/dbraw/zinc/83/73/80/889837380.db2.gz BEOJVTYYCCVWHD-UHFFFAOYSA-N 1 2 316.748 1.971 20 30 DDEDLO N#Cc1cc(Cl)cc(C[N@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c1 ZINC001237750883 889837386 /nfs/dbraw/zinc/83/73/86/889837386.db2.gz BEOJVTYYCCVWHD-UHFFFAOYSA-N 1 2 316.748 1.971 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(C#N)cc2Cl)CCCO1 ZINC001238085019 890018917 /nfs/dbraw/zinc/01/89/17/890018917.db2.gz SYSGGYJEGXERDT-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2Cl)CCCO1 ZINC001238085019 890018935 /nfs/dbraw/zinc/01/89/35/890018935.db2.gz SYSGGYJEGXERDT-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCN1CCC[C@@](C#N)(c2ccccn2)C1 ZINC001365318150 890576590 /nfs/dbraw/zinc/57/65/90/890576590.db2.gz VDHYBNIAZKBWQR-WMZOPIPTSA-N 1 2 314.433 1.659 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCN1CCC[C@@](C#N)(c2ccccn2)C1 ZINC001365318150 890576601 /nfs/dbraw/zinc/57/66/01/890576601.db2.gz VDHYBNIAZKBWQR-WMZOPIPTSA-N 1 2 314.433 1.659 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](C)CCN1C(=S)Nc1ccc(C#N)cc1 ZINC001245915878 892269307 /nfs/dbraw/zinc/26/93/07/892269307.db2.gz SCZLHAOCIKKBHG-ZDUSSCGKSA-N 1 2 318.402 1.044 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](C)CCN1C(=S)Nc1ccc(C#N)cc1 ZINC001245915878 892269324 /nfs/dbraw/zinc/26/93/24/892269324.db2.gz SCZLHAOCIKKBHG-ZDUSSCGKSA-N 1 2 318.402 1.044 20 30 DDEDLO C[C@H]1CN([C@H]2CC[N@H+](Cc3ccccc3C#N)C2)S(=O)(=O)C1 ZINC001250416014 894283908 /nfs/dbraw/zinc/28/39/08/894283908.db2.gz AVDBNPHBVVKDLP-BBRMVZONSA-N 1 2 319.430 1.414 20 30 DDEDLO C[C@H]1CN([C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)S(=O)(=O)C1 ZINC001250416014 894283922 /nfs/dbraw/zinc/28/39/22/894283922.db2.gz AVDBNPHBVVKDLP-BBRMVZONSA-N 1 2 319.430 1.414 20 30 DDEDLO CC(C)(C)n1cc(C#N)cc1NC[C@@H](O)C[NH+]1CCOCC1 ZINC001251006719 894562721 /nfs/dbraw/zinc/56/27/21/894562721.db2.gz KKEOQMNKFPVOOI-CQSZACIVSA-N 1 2 306.410 1.220 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@H]1CC[N@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC001366825413 895037265 /nfs/dbraw/zinc/03/72/65/895037265.db2.gz SEMMGQAOCLCCDX-NEPJUHHUSA-N 1 2 316.409 1.170 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@H]1CC[N@@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC001366825413 895037283 /nfs/dbraw/zinc/03/72/83/895037283.db2.gz SEMMGQAOCLCCDX-NEPJUHHUSA-N 1 2 316.409 1.170 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH2+]C[C@H](O)COCCCC)[C@@H](C)CC ZINC001252527741 895246906 /nfs/dbraw/zinc/24/69/06/895246906.db2.gz GCUYFGXWUWFKCM-KKUMJFAQSA-N 1 2 301.427 1.898 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCOC2CCOCC2)C1 ZINC001367036324 895639850 /nfs/dbraw/zinc/63/98/50/895639850.db2.gz WEARHOQHGZMZSF-CYBMUJFWSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCOC2CCOCC2)C1 ZINC001367036324 895639854 /nfs/dbraw/zinc/63/98/54/895639854.db2.gz WEARHOQHGZMZSF-CYBMUJFWSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H](CC)NC(C)=O)C1 ZINC001367060755 895714044 /nfs/dbraw/zinc/71/40/44/895714044.db2.gz XNCOSJHTKVCRAZ-CHWSQXEVSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H](CC)NC(C)=O)C1 ZINC001367060755 895714058 /nfs/dbraw/zinc/71/40/58/895714058.db2.gz XNCOSJHTKVCRAZ-CHWSQXEVSA-N 1 2 301.818 1.186 20 30 DDEDLO C=CCC1(C(=O)NCCNC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001292878845 914066076 /nfs/dbraw/zinc/06/60/76/914066076.db2.gz KGLALYQAYALHAN-UHFFFAOYSA-N 1 2 304.394 1.321 20 30 DDEDLO C=CCC1(C(=O)NCCNC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001292878845 914066091 /nfs/dbraw/zinc/06/60/91/914066091.db2.gz KGLALYQAYALHAN-UHFFFAOYSA-N 1 2 304.394 1.321 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1(O)C[NH+](C[C@@H]2CC[C@@H](C)O2)C1 ZINC001278872783 897026231 /nfs/dbraw/zinc/02/62/31/897026231.db2.gz SKGGRRQGWJDLIW-KGLIPLIRSA-N 1 2 310.438 1.319 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CCCc2ccsc2)C1 ZINC001278877865 897072261 /nfs/dbraw/zinc/07/22/61/897072261.db2.gz SAQNCUWEIIPWGO-UHFFFAOYSA-N 1 2 308.447 1.810 20 30 DDEDLO CC(C)N(CCN(C)CC#N)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001278912706 897291313 /nfs/dbraw/zinc/29/13/13/897291313.db2.gz VWKDQIWMPCEAMI-AWEZNQCLSA-N 1 2 303.410 1.138 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)COc2c(C)cccc2C)C1 ZINC001278927036 897355345 /nfs/dbraw/zinc/35/53/45/897355345.db2.gz JJXOCSJEJUXDMX-UHFFFAOYSA-N 1 2 318.417 1.421 20 30 DDEDLO C#CCC1(C(=O)NCC2(O)C[NH+](CC[C@@H](C)F)C2)CCCCC1 ZINC001278936687 897437840 /nfs/dbraw/zinc/43/78/40/897437840.db2.gz MCJZVOZGWGWYLY-OAHLLOKOSA-N 1 2 324.440 1.871 20 30 DDEDLO C=C(Br)C[NH+]1CCC(C)(NC(=O)[C@@H](C)OC)CC1 ZINC001368123829 898755034 /nfs/dbraw/zinc/75/50/34/898755034.db2.gz RDPUGFZNUUIXPE-LLVKDONJSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)Cn1nccc1C ZINC001390142894 898778008 /nfs/dbraw/zinc/77/80/08/898778008.db2.gz UDVIPIMPMJKTHV-AWEZNQCLSA-N 1 2 310.829 1.915 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)Cn1nccc1C ZINC001390142894 898778015 /nfs/dbraw/zinc/77/80/15/898778015.db2.gz UDVIPIMPMJKTHV-AWEZNQCLSA-N 1 2 310.829 1.915 20 30 DDEDLO CC#CCCNC(=O)C(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC001261229326 899428578 /nfs/dbraw/zinc/42/85/78/899428578.db2.gz DXDXHWCBPPQSKK-UHFFFAOYSA-N 1 2 324.384 1.077 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[NH+](CC[C@@H]2CC2(Cl)Cl)CC1 ZINC001261279491 899447707 /nfs/dbraw/zinc/44/77/07/899447707.db2.gz YTESGVJUMQSPNL-WDEREUQCSA-N 1 2 304.221 1.874 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](Cc2cn(C)nc2Br)C1 ZINC001261829538 899782954 /nfs/dbraw/zinc/78/29/54/899782954.db2.gz SOLIVTJVDBWKJE-NSHDSACASA-N 1 2 300.200 1.960 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](Cc2cn(C)nc2Br)C1 ZINC001261829538 899782950 /nfs/dbraw/zinc/78/29/50/899782950.db2.gz SOLIVTJVDBWKJE-NSHDSACASA-N 1 2 300.200 1.960 20 30 DDEDLO C#CC1CCN(c2nnc([C@@H]3C[C@H](O)C[N@H+]3C)n2CC=C)CC1 ZINC001262947612 900431742 /nfs/dbraw/zinc/43/17/42/900431742.db2.gz PGHWXGNINOCWST-GJZGRUSLSA-N 1 2 315.421 1.051 20 30 DDEDLO C#CC1CCN(c2nnc([C@@H]3C[C@H](O)C[N@@H+]3C)n2CC=C)CC1 ZINC001262947612 900431749 /nfs/dbraw/zinc/43/17/49/900431749.db2.gz PGHWXGNINOCWST-GJZGRUSLSA-N 1 2 315.421 1.051 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@@H](CF)C1 ZINC001262947602 900431932 /nfs/dbraw/zinc/43/19/32/900431932.db2.gz OWRSRUNNPASQOC-MJBXVCDLSA-N 1 2 323.416 1.388 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@@H](CF)C1 ZINC001262947602 900431939 /nfs/dbraw/zinc/43/19/39/900431939.db2.gz OWRSRUNNPASQOC-MJBXVCDLSA-N 1 2 323.416 1.388 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3C[C@@H]3C)n2CC(C)C)CC1 ZINC001263407284 900603309 /nfs/dbraw/zinc/60/33/09/900603309.db2.gz CZFRQPPQIADERR-LSDHHAIUSA-N 1 2 301.438 1.813 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCC1 ZINC001263810596 900722971 /nfs/dbraw/zinc/72/29/71/900722971.db2.gz ZMKRVTUXPQJQOK-WMLDXEAASA-N 1 2 307.438 1.354 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCC1 ZINC001263810596 900722976 /nfs/dbraw/zinc/72/29/76/900722976.db2.gz ZMKRVTUXPQJQOK-WMLDXEAASA-N 1 2 307.438 1.354 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(CF)cc1 ZINC001263821726 900738215 /nfs/dbraw/zinc/73/82/15/900738215.db2.gz YMFCSQIIGLOONL-QGZVFWFLSA-N 1 2 318.392 1.952 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(CF)cc1 ZINC001263821726 900738223 /nfs/dbraw/zinc/73/82/23/900738223.db2.gz YMFCSQIIGLOONL-QGZVFWFLSA-N 1 2 318.392 1.952 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCC[N@@H+](C)Cc1coc(C)n1 ZINC001265046667 901480224 /nfs/dbraw/zinc/48/02/24/901480224.db2.gz LYPSYCGHPBJXKL-HOTGVXAUSA-N 1 2 321.421 1.902 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCC[N@H+](C)Cc1coc(C)n1 ZINC001265046667 901480231 /nfs/dbraw/zinc/48/02/31/901480231.db2.gz LYPSYCGHPBJXKL-HOTGVXAUSA-N 1 2 321.421 1.902 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)[C@@H]2CCC(C)=C(C)C2)CC1 ZINC001265259520 901780883 /nfs/dbraw/zinc/78/08/83/901780883.db2.gz CATZCLYLPKDLMF-GOSISDBHSA-N 1 2 317.477 1.880 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)(C)C)C2)ncn1 ZINC001265284434 901822464 /nfs/dbraw/zinc/82/24/64/901822464.db2.gz PLANLUOLUBFWOJ-CQSZACIVSA-N 1 2 300.406 1.525 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)(C)C)C2)ncn1 ZINC001265284434 901822473 /nfs/dbraw/zinc/82/24/73/901822473.db2.gz PLANLUOLUBFWOJ-CQSZACIVSA-N 1 2 300.406 1.525 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001265422370 901999141 /nfs/dbraw/zinc/99/91/41/901999141.db2.gz KNIHYHDYAQEOJI-LBPRGKRZSA-N 1 2 308.382 1.086 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001293692662 914636009 /nfs/dbraw/zinc/63/60/09/914636009.db2.gz IIWQCBPYRSCTNS-OAHLLOKOSA-N 1 2 316.405 1.205 20 30 DDEDLO C=CCCCC(=O)N1CCCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001293735171 914665577 /nfs/dbraw/zinc/66/55/77/914665577.db2.gz GGBPPLDOOVTJQZ-UHFFFAOYSA-N 1 2 318.421 1.760 20 30 DDEDLO C=CCCCC(=O)N1CCCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001293735171 914665582 /nfs/dbraw/zinc/66/55/82/914665582.db2.gz GGBPPLDOOVTJQZ-UHFFFAOYSA-N 1 2 318.421 1.760 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001266204615 903105545 /nfs/dbraw/zinc/10/55/45/903105545.db2.gz FDVKRCJDYYGTBJ-HUUCEWRRSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001266204615 903105552 /nfs/dbraw/zinc/10/55/52/903105552.db2.gz FDVKRCJDYYGTBJ-HUUCEWRRSA-N 1 2 322.453 1.423 20 30 DDEDLO C=CCCC(=O)NCCC[C@@H]1CCC[N@H+]1Cc1nnn(C)n1 ZINC001266204857 903106174 /nfs/dbraw/zinc/10/61/74/903106174.db2.gz ZHERMVWGJXYMAL-CYBMUJFWSA-N 1 2 306.414 1.037 20 30 DDEDLO C=CCCC(=O)NCCC[C@@H]1CCC[N@@H+]1Cc1nnn(C)n1 ZINC001266204857 903106178 /nfs/dbraw/zinc/10/61/78/903106178.db2.gz ZHERMVWGJXYMAL-CYBMUJFWSA-N 1 2 306.414 1.037 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH+]cn1C ZINC001280533296 903771668 /nfs/dbraw/zinc/77/16/68/903771668.db2.gz PTEBZFIKVXHVDT-CYBMUJFWSA-N 1 2 306.410 1.282 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H](CC)CCC)C2)CC1 ZINC001280694023 903930677 /nfs/dbraw/zinc/93/06/77/903930677.db2.gz XDOKPZYYZQPBPZ-SJORKVTESA-N 1 2 305.466 1.664 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2ncnn2C)CC1 ZINC001280746271 903978188 /nfs/dbraw/zinc/97/81/88/903978188.db2.gz XXHILLZTXCDYQL-CYBMUJFWSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](Cc2ncnn2C)CC1 ZINC001280746271 903978203 /nfs/dbraw/zinc/97/82/03/903978203.db2.gz XXHILLZTXCDYQL-CYBMUJFWSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001281048208 904354723 /nfs/dbraw/zinc/35/47/23/904354723.db2.gz UNGARVDRCUBNKU-PBHICJAKSA-N 1 2 320.437 1.839 20 30 DDEDLO C[C@@H](C[N@H+](C)Cc1ccccc1C#N)NC(=O)c1ncccc1O ZINC001392643356 904707063 /nfs/dbraw/zinc/70/70/63/904707063.db2.gz JUDXMWXSONBGLQ-ZDUSSCGKSA-N 1 2 324.384 1.909 20 30 DDEDLO C[C@@H](C[N@@H+](C)Cc1ccccc1C#N)NC(=O)c1ncccc1O ZINC001392643356 904707069 /nfs/dbraw/zinc/70/70/69/904707069.db2.gz JUDXMWXSONBGLQ-ZDUSSCGKSA-N 1 2 324.384 1.909 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)C1CC(NC(=O)Cn2cc[nH+]c2)C1 ZINC001281353447 904715578 /nfs/dbraw/zinc/71/55/78/904715578.db2.gz ORJUWXOEDGAJLA-WLYUNCDWSA-N 1 2 318.421 1.591 20 30 DDEDLO C[C@@H](CC(=O)N[C@H]1CCN(CC#N)CC1(C)C)n1cc[nH+]c1 ZINC001281572411 905044871 /nfs/dbraw/zinc/04/48/71/905044871.db2.gz RJCRTQCGKNBUHP-KBPBESRZSA-N 1 2 303.410 1.574 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3(CCCC)CC3)CC2)C1 ZINC001281589035 905070611 /nfs/dbraw/zinc/07/06/11/905070611.db2.gz XKZSHDFAHHQCCA-UHFFFAOYSA-N 1 2 317.477 1.809 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cnnn1C ZINC001281929779 905318953 /nfs/dbraw/zinc/31/89/53/905318953.db2.gz RXEURPZXJJOXDF-OAHLLOKOSA-N 1 2 317.437 1.432 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cnnn1C ZINC001281929779 905318968 /nfs/dbraw/zinc/31/89/68/905318968.db2.gz RXEURPZXJJOXDF-OAHLLOKOSA-N 1 2 317.437 1.432 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)[C@H](C)[NH2+]Cc2nc(COC)no2)C1 ZINC001282185960 905602463 /nfs/dbraw/zinc/60/24/63/905602463.db2.gz RSJFBKBRVBOPRX-NWDGAFQWSA-N 1 2 322.409 1.555 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2ccc(F)c(F)c2)C1 ZINC001282518410 905846067 /nfs/dbraw/zinc/84/60/67/905846067.db2.gz PJHPITDKHDGIGL-UHFFFAOYSA-N 1 2 308.328 1.155 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)c2sccc2C)C1 ZINC001282680488 905943916 /nfs/dbraw/zinc/94/39/16/905943916.db2.gz BPQCDMVKBGNTNF-LBPRGKRZSA-N 1 2 321.446 1.409 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)C1C[NH+](Cc2ccn(C)n2)C1 ZINC001282733611 905993220 /nfs/dbraw/zinc/99/32/20/905993220.db2.gz GUZAKAAMLFAWGV-UONOGXRCSA-N 1 2 304.438 1.959 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C1C[NH+](CC(=O)N[C@@H](C)CC)C1 ZINC001282734733 905997482 /nfs/dbraw/zinc/99/74/82/905997482.db2.gz RAHJFBSFJCZRES-QWHCGFSZSA-N 1 2 309.454 1.550 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1C[N@@H+](Cc2ccn(C)n2)CC1(C)C ZINC001282832921 906081726 /nfs/dbraw/zinc/08/17/26/906081726.db2.gz IGKMIPURLRKLLY-HNNXBMFYSA-N 1 2 320.437 1.339 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1C[N@H+](Cc2ccn(C)n2)CC1(C)C ZINC001282832921 906081738 /nfs/dbraw/zinc/08/17/38/906081738.db2.gz IGKMIPURLRKLLY-HNNXBMFYSA-N 1 2 320.437 1.339 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC001393426677 906961735 /nfs/dbraw/zinc/96/17/35/906961735.db2.gz IRBIUUABMOSGJR-NHIYQJMISA-N 1 2 312.841 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC001393426677 906961745 /nfs/dbraw/zinc/96/17/45/906961745.db2.gz IRBIUUABMOSGJR-NHIYQJMISA-N 1 2 312.841 1.728 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001283253263 907001760 /nfs/dbraw/zinc/00/17/60/907001760.db2.gz GDJDQPWMUAGZRA-CHWSQXEVSA-N 1 2 318.421 1.738 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001283253263 907001777 /nfs/dbraw/zinc/00/17/77/907001777.db2.gz GDJDQPWMUAGZRA-CHWSQXEVSA-N 1 2 318.421 1.738 20 30 DDEDLO CC[N@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1cc(OC)no1 ZINC001372315285 907005861 /nfs/dbraw/zinc/00/58/61/907005861.db2.gz DMZNNXLNTHZSBS-UHFFFAOYSA-N 1 2 317.349 1.135 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1cc(OC)no1 ZINC001372315285 907005868 /nfs/dbraw/zinc/00/58/68/907005868.db2.gz DMZNNXLNTHZSBS-UHFFFAOYSA-N 1 2 317.349 1.135 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCC2([NH2+]Cc3nncs3)CCC2)c1 ZINC001393549515 907300298 /nfs/dbraw/zinc/30/02/98/907300298.db2.gz XZIIYDWXVBFCED-UHFFFAOYSA-N 1 2 316.390 1.180 20 30 DDEDLO C[C@@H](CN(C)C(=O)CSCC#N)[NH2+]Cc1nnc(C2CC2)o1 ZINC001283572312 907620256 /nfs/dbraw/zinc/62/02/56/907620256.db2.gz MEAIWEKXOXCJFR-JTQLQIEISA-N 1 2 323.422 1.140 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001283873461 908111383 /nfs/dbraw/zinc/11/13/83/908111383.db2.gz YZEXDDSNVLZFDS-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001283873461 908111392 /nfs/dbraw/zinc/11/13/92/908111392.db2.gz YZEXDDSNVLZFDS-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001283881719 908124607 /nfs/dbraw/zinc/12/46/07/908124607.db2.gz ZPXSFYKWYSGCEV-OCCSQVGLSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284097906 908472106 /nfs/dbraw/zinc/47/21/06/908472106.db2.gz TYLVWYKRUMBDAR-YUELXQCFSA-N 1 2 318.421 1.708 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284097906 908472114 /nfs/dbraw/zinc/47/21/14/908472114.db2.gz TYLVWYKRUMBDAR-YUELXQCFSA-N 1 2 318.421 1.708 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@H]1CC(=O)N(CCC)C1 ZINC001394118311 908864362 /nfs/dbraw/zinc/86/43/62/908864362.db2.gz QKOIQSFLXLDJPY-OLZOCXBDSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@H]1CC(=O)N(CCC)C1 ZINC001394118311 908864379 /nfs/dbraw/zinc/86/43/79/908864379.db2.gz QKOIQSFLXLDJPY-OLZOCXBDSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](CNC(=O)Cn3cc[nH+]c3)C2)C1 ZINC001284383120 908920598 /nfs/dbraw/zinc/92/05/98/908920598.db2.gz FSFGVSTYBZMUSZ-AWEZNQCLSA-N 1 2 316.405 1.204 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H](O)C[NH2+]Cc2csnn2)CC1 ZINC001284443188 909007919 /nfs/dbraw/zinc/00/79/19/909007919.db2.gz BELFXRWMALTPQD-CQSZACIVSA-N 1 2 324.450 1.193 20 30 DDEDLO CN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C(=O)C#CC(C)(C)C ZINC001284548060 909169982 /nfs/dbraw/zinc/16/99/82/909169982.db2.gz SEMSVIZWGLFZCW-CHWSQXEVSA-N 1 2 316.405 1.137 20 30 DDEDLO CN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C(=O)C#CC(C)(C)C ZINC001284548060 909169988 /nfs/dbraw/zinc/16/99/88/909169988.db2.gz SEMSVIZWGLFZCW-CHWSQXEVSA-N 1 2 316.405 1.137 20 30 DDEDLO CCN(CCNC(=O)C#CC(C)(C)C)C(=O)Cc1[nH]c[nH+]c1C ZINC001284611987 909253100 /nfs/dbraw/zinc/25/31/00/909253100.db2.gz OMAHNSGYFWCTCI-UHFFFAOYSA-N 1 2 318.421 1.275 20 30 DDEDLO C=CCC(C)(C)C(=O)N(CC)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001284650724 909297659 /nfs/dbraw/zinc/29/76/59/909297659.db2.gz PLXZWDHNBNPDSV-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](CC)NC(=O)Cc1[nH]c[nH+]c1C ZINC001285084399 910046910 /nfs/dbraw/zinc/04/69/10/910046910.db2.gz ZVASYZGIARDBIY-LBPRGKRZSA-N 1 2 306.410 1.484 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)CCc2c[nH]c[nH+]2)CCCC1 ZINC001285111087 910082431 /nfs/dbraw/zinc/08/24/31/910082431.db2.gz GCFPQXBJFYKXEN-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)CCc2c[nH+]c[nH]2)CCCC1 ZINC001285111087 910082448 /nfs/dbraw/zinc/08/24/48/910082448.db2.gz GCFPQXBJFYKXEN-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC/C=C\CNC(=O)Cc1c[nH]c[nH+]1 ZINC001285604369 910861274 /nfs/dbraw/zinc/86/12/74/910861274.db2.gz UDURKSIQPLDDLD-WAYWQWQTSA-N 1 2 304.394 1.343 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001285880547 911356692 /nfs/dbraw/zinc/35/66/92/911356692.db2.gz XYSUWOKFFBEIQC-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CC(C)(C)C(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001285946221 911481683 /nfs/dbraw/zinc/48/16/83/911481683.db2.gz LFYLFBDKLAIBFF-UHFFFAOYSA-N 1 2 304.394 1.238 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001285959915 911501196 /nfs/dbraw/zinc/50/11/96/911501196.db2.gz UVXGHLTWZXQBNI-OAHLLOKOSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)CO[C@H]1CCOC1 ZINC001377393705 921748688 /nfs/dbraw/zinc/74/86/88/921748688.db2.gz PGRJGJUIVRYWKW-OLZOCXBDSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)CO[C@H]1CCOC1 ZINC001377393705 921748694 /nfs/dbraw/zinc/74/86/94/921748694.db2.gz PGRJGJUIVRYWKW-OLZOCXBDSA-N 1 2 302.802 1.125 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)[C@@]2(F)CCOC2)cc1 ZINC001375333151 915630715 /nfs/dbraw/zinc/63/07/15/915630715.db2.gz HHPQHAMTRKTREY-DOTOQJQBSA-N 1 2 317.364 1.377 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@@]2(F)CCOC2)cc1 ZINC001375333151 915630736 /nfs/dbraw/zinc/63/07/36/915630736.db2.gz HHPQHAMTRKTREY-DOTOQJQBSA-N 1 2 317.364 1.377 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CNC(=O)CCc1c[nH+]cn1C ZINC001295606535 915926877 /nfs/dbraw/zinc/92/68/77/915926877.db2.gz ITYCUPYBTYKQFC-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO CC#CCCCC(=O)N1CC(CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001297222212 916819235 /nfs/dbraw/zinc/81/92/35/916819235.db2.gz ITFVKTUSPSKMQJ-UHFFFAOYSA-N 1 2 316.405 1.111 20 30 DDEDLO CC#CCCCC(=O)N1CC(CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001297222212 916819250 /nfs/dbraw/zinc/81/92/50/916819250.db2.gz ITFVKTUSPSKMQJ-UHFFFAOYSA-N 1 2 316.405 1.111 20 30 DDEDLO CCc1nc(C[N@@H+]2CC[C@](C)(NC(=O)[C@H](C)C#N)C2)cs1 ZINC001375823137 917056348 /nfs/dbraw/zinc/05/63/48/917056348.db2.gz UXTZYEJJPHIBNW-ABAIWWIYSA-N 1 2 306.435 1.946 20 30 DDEDLO CCc1nc(C[N@H+]2CC[C@](C)(NC(=O)[C@H](C)C#N)C2)cs1 ZINC001375823137 917056361 /nfs/dbraw/zinc/05/63/61/917056361.db2.gz UXTZYEJJPHIBNW-ABAIWWIYSA-N 1 2 306.435 1.946 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C2(c3cc(C)no3)CC2)C1 ZINC001377984046 923594019 /nfs/dbraw/zinc/59/40/19/923594019.db2.gz LVMRMDWUVBDNHP-UHFFFAOYSA-N 1 2 309.797 1.815 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000278715399 214348355 /nfs/dbraw/zinc/34/83/55/214348355.db2.gz CEBOGSDUDVPWLP-CXAGYDPISA-N 1 2 319.405 1.250 20 30 DDEDLO C[C@@H](CN1CCOCC1)[N@H+](C)CC(=O)Nc1sccc1C#N ZINC000278721759 214354701 /nfs/dbraw/zinc/35/47/01/214354701.db2.gz ADNKAZUZMAQBNR-LBPRGKRZSA-N 1 2 322.434 1.211 20 30 DDEDLO C[C@@H](CN1CCOCC1)[N@@H+](C)CC(=O)Nc1sccc1C#N ZINC000278721759 214354705 /nfs/dbraw/zinc/35/47/05/214354705.db2.gz ADNKAZUZMAQBNR-LBPRGKRZSA-N 1 2 322.434 1.211 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)CC(=O)Nc1sccc1C#N ZINC000278721759 214354709 /nfs/dbraw/zinc/35/47/09/214354709.db2.gz ADNKAZUZMAQBNR-LBPRGKRZSA-N 1 2 322.434 1.211 20 30 DDEDLO CC[C@H](NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1)c1ccncc1 ZINC000329949136 529580267 /nfs/dbraw/zinc/58/02/67/529580267.db2.gz ZRIGLBGJXQCWKN-KKUMJFAQSA-N 1 2 304.394 1.462 20 30 DDEDLO CC[C@H](NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1)c1ccncc1 ZINC000329949136 529580270 /nfs/dbraw/zinc/58/02/70/529580270.db2.gz ZRIGLBGJXQCWKN-KKUMJFAQSA-N 1 2 304.394 1.462 20 30 DDEDLO CO[C@]1(C(F)(F)F)CCC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000614923565 362081774 /nfs/dbraw/zinc/08/17/74/362081774.db2.gz BQSVKTNZNNJVDV-WCQYABFASA-N 1 2 321.343 1.790 20 30 DDEDLO CO[C@]1(C(F)(F)F)CCC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000614923565 362081777 /nfs/dbraw/zinc/08/17/77/362081777.db2.gz BQSVKTNZNNJVDV-WCQYABFASA-N 1 2 321.343 1.790 20 30 DDEDLO O=C(Nc1ccc(C2CC2)nn1)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000328767858 539298783 /nfs/dbraw/zinc/29/87/83/539298783.db2.gz BKHIWCYHEVRSKD-ZDUSSCGKSA-N 1 2 324.388 2.354 20 30 DDEDLO O=C(N[C@H]1CCN(c2cccc[nH+]2)C1)N1CCc2cncnc2C1 ZINC000328825789 539299000 /nfs/dbraw/zinc/29/90/00/539299000.db2.gz SNCLCXSEBYGTAB-AWEZNQCLSA-N 1 2 324.388 1.423 20 30 DDEDLO C[C@H](NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1)c1cncc(F)c1 ZINC000329302299 539303039 /nfs/dbraw/zinc/30/30/39/539303039.db2.gz NIQVSKQLFKOSAW-BPNCWPANSA-N 1 2 308.357 1.211 20 30 DDEDLO C[C@H](NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1)c1cncc(F)c1 ZINC000329302299 539303040 /nfs/dbraw/zinc/30/30/40/539303040.db2.gz NIQVSKQLFKOSAW-BPNCWPANSA-N 1 2 308.357 1.211 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)CNc2ccc(C#N)cc2)C1 ZINC000329432789 539304462 /nfs/dbraw/zinc/30/44/62/539304462.db2.gz IFBXECLFRHMCBM-HNNXBMFYSA-N 1 2 301.394 1.172 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)CNc2ccc(C#N)cc2)C1 ZINC000329432789 539304464 /nfs/dbraw/zinc/30/44/64/539304464.db2.gz IFBXECLFRHMCBM-HNNXBMFYSA-N 1 2 301.394 1.172 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H]([NH+]=C([O-])N1CCC[C@H]1CO)C2 ZINC000329591537 539306211 /nfs/dbraw/zinc/30/62/11/539306211.db2.gz YZZGNRSCFNPVTB-STQMWFEESA-N 1 2 320.437 1.866 20 30 DDEDLO CN1CC[NH+](C[C@H](O)COc2cc(Cl)ccc2C#N)CC1 ZINC000414149393 529773237 /nfs/dbraw/zinc/77/32/37/529773237.db2.gz ODCCVSREUIPTCP-AWEZNQCLSA-N 1 2 309.797 1.199 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C#N)cc3Cl)C[C@H]21 ZINC000330237672 529790783 /nfs/dbraw/zinc/79/07/83/529790783.db2.gz UVRSAPXXOHYBGI-KGLIPLIRSA-N 1 2 320.780 1.772 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C#N)cc3Cl)C[C@H]21 ZINC000330237672 529790784 /nfs/dbraw/zinc/79/07/84/529790784.db2.gz UVRSAPXXOHYBGI-KGLIPLIRSA-N 1 2 320.780 1.772 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)c1ncc(C#N)cc1[N+](=O)[O-] ZINC000296754759 529910228 /nfs/dbraw/zinc/91/02/28/529910228.db2.gz KZERPVSRQINEEU-UHFFFAOYSA-N 1 2 319.365 1.408 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)c1ncc(C#N)cc1[N+](=O)[O-] ZINC000296754759 529910229 /nfs/dbraw/zinc/91/02/29/529910229.db2.gz KZERPVSRQINEEU-UHFFFAOYSA-N 1 2 319.365 1.408 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)NCCc2cn3ccccc3[nH+]2)C1 ZINC000615530584 362321777 /nfs/dbraw/zinc/32/17/77/362321777.db2.gz CDCIPIUWXJXJGY-OAHLLOKOSA-N 1 2 301.325 1.524 20 30 DDEDLO C[C@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CCCO1 ZINC000147427937 186040251 /nfs/dbraw/zinc/04/02/51/186040251.db2.gz XXXXEQMJCFSDAT-ZDUSSCGKSA-N 1 2 308.403 1.443 20 30 DDEDLO C[C@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CCCO1 ZINC000147427937 186040253 /nfs/dbraw/zinc/04/02/53/186040253.db2.gz XXXXEQMJCFSDAT-ZDUSSCGKSA-N 1 2 308.403 1.443 20 30 DDEDLO C[C@H]([C@H](C)Nc1ccc(C#N)cc1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000264256988 186330169 /nfs/dbraw/zinc/33/01/69/186330169.db2.gz LPZOPRZBTHPQOK-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO CCOC(=O)[C@@]1(CNc2cc(C)[nH+]cc2C#N)CCCOC1 ZINC000601244362 358461634 /nfs/dbraw/zinc/46/16/34/358461634.db2.gz NJEMXAFAOVVZSN-MRXNPFEDSA-N 1 2 303.362 1.455 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CCC[C@H](OCCO)C2)c1C ZINC000172299494 198073948 /nfs/dbraw/zinc/07/39/48/198073948.db2.gz VSYNYIZNNSDXAA-INIZCTEOSA-N 1 2 320.433 1.947 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CCC[C@H](OCCO)C2)c1C ZINC000172299494 198073950 /nfs/dbraw/zinc/07/39/50/198073950.db2.gz VSYNYIZNNSDXAA-INIZCTEOSA-N 1 2 320.433 1.947 20 30 DDEDLO CC(C)C[C@H](CNC(=O)C1(C#N)CCCC1)[NH+]1CCOCC1 ZINC000042029872 352326100 /nfs/dbraw/zinc/32/61/00/352326100.db2.gz MHJBNRZKCAMGPY-OAHLLOKOSA-N 1 2 307.438 1.933 20 30 DDEDLO COC(=O)C1CC[NH+](CC(=O)N(C)C2(C#N)CCCCC2)CC1 ZINC000072801881 191230838 /nfs/dbraw/zinc/23/08/38/191230838.db2.gz VMWVVLPEMXCRRE-UHFFFAOYSA-N 1 2 321.421 1.556 20 30 DDEDLO CC[N@@H+](CC(=O)NCc1ccc2c(c1)OCO2)C[C@H](C)C#N ZINC000060038327 352864750 /nfs/dbraw/zinc/86/47/50/352864750.db2.gz GEHHIMDECINAJE-GFCCVEGCSA-N 1 2 303.362 1.513 20 30 DDEDLO CC[N@H+](CC(=O)NCc1ccc2c(c1)OCO2)C[C@H](C)C#N ZINC000060038327 352864755 /nfs/dbraw/zinc/86/47/55/352864755.db2.gz GEHHIMDECINAJE-GFCCVEGCSA-N 1 2 303.362 1.513 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000066309789 352987716 /nfs/dbraw/zinc/98/77/16/352987716.db2.gz YOHOYACMWUYYKD-HNNXBMFYSA-N 1 2 303.406 1.707 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cccc(C[S@@](C)=O)c2)CC1 ZINC000068445010 353112737 /nfs/dbraw/zinc/11/27/37/353112737.db2.gz NSXQKMBJIXVMEQ-QFIPXVFZSA-N 1 2 318.442 1.346 20 30 DDEDLO N#Cc1c(C[N@H+]2CCC[C@H]2CS(N)(=O)=O)cn2ccccc12 ZINC000074316603 353306731 /nfs/dbraw/zinc/30/67/31/353306731.db2.gz YFJHRYMFZSHHTP-ZDUSSCGKSA-N 1 2 318.402 1.064 20 30 DDEDLO N#Cc1c(C[N@@H+]2CCC[C@H]2CS(N)(=O)=O)cn2ccccc12 ZINC000074316603 353306732 /nfs/dbraw/zinc/30/67/32/353306732.db2.gz YFJHRYMFZSHHTP-ZDUSSCGKSA-N 1 2 318.402 1.064 20 30 DDEDLO Cc1ccc2[nH+]c(CNC(=O)N3C[C@@H]4COC[C@H](C3)O4)cn2c1 ZINC000328680012 222884117 /nfs/dbraw/zinc/88/41/17/222884117.db2.gz BVFUQFJHYGPPCQ-OKILXGFUSA-N 1 2 316.361 1.156 20 30 DDEDLO C=CC[N@@H+](CCCC(=O)OC(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000182161258 354272099 /nfs/dbraw/zinc/27/20/99/354272099.db2.gz NPUJPMKWAAYRIR-ZDUSSCGKSA-N 1 2 317.451 1.783 20 30 DDEDLO C=CC[N@H+](CCCC(=O)OC(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000182161258 354272101 /nfs/dbraw/zinc/27/21/01/354272101.db2.gz NPUJPMKWAAYRIR-ZDUSSCGKSA-N 1 2 317.451 1.783 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCN(Cc2ccccc2)[C@H](C#N)C1 ZINC000185659518 354281380 /nfs/dbraw/zinc/28/13/80/354281380.db2.gz SCQYCGPGACFMTC-QGZVFWFLSA-N 1 2 323.400 1.199 20 30 DDEDLO N#Cc1ccccc1N1CCCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC000276546345 354413712 /nfs/dbraw/zinc/41/37/12/354413712.db2.gz GYTJRFVHPCQFSX-UHFFFAOYSA-N 1 2 323.400 1.953 20 30 DDEDLO N#Cc1ccccc1N1CCCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC000276546345 354413715 /nfs/dbraw/zinc/41/37/15/354413715.db2.gz GYTJRFVHPCQFSX-UHFFFAOYSA-N 1 2 323.400 1.953 20 30 DDEDLO COCc1nc2cc(NC[C@H](O)C[N@H+](C)CCC#N)ccc2o1 ZINC000563004824 354695975 /nfs/dbraw/zinc/69/59/75/354695975.db2.gz MKEKRNWUQBJOND-ZDUSSCGKSA-N 1 2 318.377 1.592 20 30 DDEDLO COCc1nc2cc(NC[C@H](O)C[N@@H+](C)CCC#N)ccc2o1 ZINC000563004824 354695977 /nfs/dbraw/zinc/69/59/77/354695977.db2.gz MKEKRNWUQBJOND-ZDUSSCGKSA-N 1 2 318.377 1.592 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC000578701228 354711103 /nfs/dbraw/zinc/71/11/03/354711103.db2.gz SQZYKLBIICEQNL-DZGCQCFKSA-N 1 2 307.781 1.604 20 30 DDEDLO COc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c([N+](=O)[O-])cc1F ZINC000578657570 354711395 /nfs/dbraw/zinc/71/13/95/354711395.db2.gz QSJAQWZVMUTFSV-AWEZNQCLSA-N 1 2 324.312 1.316 20 30 DDEDLO C[C@H](NC(=O)[C@@]1(C#N)CC12CCCC2)[C@H](C)[NH+]1CCOCC1 ZINC000333235136 299220378 /nfs/dbraw/zinc/22/03/78/299220378.db2.gz VLKYAFPIUHOASO-ZQIUZPCESA-N 1 2 305.422 1.686 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)Cn3cc[nH+]c3)CC2)c(F)c1 ZINC000584872327 354786308 /nfs/dbraw/zinc/78/63/08/354786308.db2.gz CHSPHYGWIVJMMO-UHFFFAOYSA-N 1 2 313.336 1.243 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)c1cc(O)cc(C#N)c1)[NH+]1CCOCC1 ZINC000589179968 354968348 /nfs/dbraw/zinc/96/83/48/354968348.db2.gz STMOWEPYEYRWEU-NWDGAFQWSA-N 1 2 303.362 1.103 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H](NS(=O)(=O)CC2(C#N)CCC2)C1 ZINC000589227031 354976393 /nfs/dbraw/zinc/97/63/93/354976393.db2.gz DTUVUFSKAHMQSO-OAHLLOKOSA-N 1 2 319.430 1.786 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H](NS(=O)(=O)CC2(C#N)CCC2)C1 ZINC000589227031 354976396 /nfs/dbraw/zinc/97/63/96/354976396.db2.gz DTUVUFSKAHMQSO-OAHLLOKOSA-N 1 2 319.430 1.786 20 30 DDEDLO C[C@@H]1C[C@H]([NH2+]Cc2nc(C#N)cs2)C(=O)N1c1cnn(C)c1 ZINC000589314071 354983825 /nfs/dbraw/zinc/98/38/25/354983825.db2.gz GMAFHEFFTQTJDI-SKDRFNHKSA-N 1 2 316.390 1.032 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)CC3(C#N)CC3)CC2)cc1 ZINC000590131700 355052690 /nfs/dbraw/zinc/05/26/90/355052690.db2.gz PIPZMBINDHHEFL-UHFFFAOYSA-N 1 2 308.385 1.896 20 30 DDEDLO C[C@@H](C(=O)N1CC(C#N)C1)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000590489472 355105598 /nfs/dbraw/zinc/10/55/98/355105598.db2.gz YLLFMHFTKMQTIS-ZDUSSCGKSA-N 1 2 316.380 1.318 20 30 DDEDLO Cc1c[nH+]ccc1NC(=O)N1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000590824466 355188193 /nfs/dbraw/zinc/18/81/93/355188193.db2.gz ZZNYGQMUCXQTOL-CQSZACIVSA-N 1 2 323.356 1.764 20 30 DDEDLO N#Cc1cnccc1C[NH+]1CCC(c2nnc3n2CCC3)CC1 ZINC000592066470 355481390 /nfs/dbraw/zinc/48/13/90/355481390.db2.gz HHUHFFLLGXNORU-UHFFFAOYSA-N 1 2 308.389 1.871 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](O)C[N@H+](CC#Cc2ccccc2Cl)C1 ZINC000592197237 355533028 /nfs/dbraw/zinc/53/30/28/355533028.db2.gz OLPKRZWDOGJBJR-UONOGXRCSA-N 1 2 307.777 1.547 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](O)C[N@@H+](CC#Cc2ccccc2Cl)C1 ZINC000592197237 355533030 /nfs/dbraw/zinc/53/30/30/355533030.db2.gz OLPKRZWDOGJBJR-UONOGXRCSA-N 1 2 307.777 1.547 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2nc(-c3ccc(F)cc3)no2)C1 ZINC000592146257 355512119 /nfs/dbraw/zinc/51/21/19/355512119.db2.gz ARGFMBWNPXWROJ-OAHLLOKOSA-N 1 2 302.309 1.726 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2nc(-c3ccc(F)cc3)no2)C1 ZINC000592146257 355512120 /nfs/dbraw/zinc/51/21/20/355512120.db2.gz ARGFMBWNPXWROJ-OAHLLOKOSA-N 1 2 302.309 1.726 20 30 DDEDLO Cc1ccc(C)c(NC(=O)[C@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592147946 355514244 /nfs/dbraw/zinc/51/42/44/355514244.db2.gz UMDJUAINSHYBQF-YOEHRIQHSA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1ccc(C)c(NC(=O)[C@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592147946 355514246 /nfs/dbraw/zinc/51/42/46/355514246.db2.gz UMDJUAINSHYBQF-YOEHRIQHSA-N 1 2 301.390 1.981 20 30 DDEDLO CC(C)O[C@@H]1CCC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000593054083 355781717 /nfs/dbraw/zinc/78/17/17/355781717.db2.gz AIUDMAAZUZDUJS-HZPDHXFCSA-N 1 2 301.390 1.974 20 30 DDEDLO CC(C)O[C@@H]1CCC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000593054083 355781721 /nfs/dbraw/zinc/78/17/21/355781721.db2.gz AIUDMAAZUZDUJS-HZPDHXFCSA-N 1 2 301.390 1.974 20 30 DDEDLO CC[C@@H](C#N)C(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000593408808 355876666 /nfs/dbraw/zinc/87/66/66/355876666.db2.gz VYIVBWOWPRFHHT-GUTXKFCHSA-N 1 2 316.405 1.861 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@@H](OC)C[C@@H]2CC(=O)OCC)nn1 ZINC000593974451 356078067 /nfs/dbraw/zinc/07/80/67/356078067.db2.gz BZGHOXUUXZJZET-CABCVRRESA-N 1 2 322.409 1.397 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@@H](OC)C[C@@H]2CC(=O)OCC)nn1 ZINC000593974451 356078074 /nfs/dbraw/zinc/07/80/74/356078074.db2.gz BZGHOXUUXZJZET-CABCVRRESA-N 1 2 322.409 1.397 20 30 DDEDLO N#CCCCCC(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000594314225 356171661 /nfs/dbraw/zinc/17/16/61/356171661.db2.gz IFGKNRCWAQEUPS-OAHLLOKOSA-N 1 2 309.410 1.020 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2cc(C#N)ccn2)CC1 ZINC000594219667 356142731 /nfs/dbraw/zinc/14/27/31/356142731.db2.gz JGWPCPJTRNOXAG-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2cc(C#N)ccn2)CC1 ZINC000594219667 356142733 /nfs/dbraw/zinc/14/27/33/356142733.db2.gz JGWPCPJTRNOXAG-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)[C@@H](C)Cc1ccc(C#N)cc1 ZINC000594235694 356147422 /nfs/dbraw/zinc/14/74/22/356147422.db2.gz ZSPSYIIFPKUYQO-LSDHHAIUSA-N 1 2 315.417 1.574 20 30 DDEDLO COC(=O)c1ccc(N2CCC[C@@H](n3cc[nH+]c3)C2)c(C#N)n1 ZINC000594548430 356248145 /nfs/dbraw/zinc/24/81/45/356248145.db2.gz ACMAYQSLMXOJFM-GFCCVEGCSA-N 1 2 311.345 1.778 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@H+](CCC#N)CC2CC2)cc1 ZINC000595331855 356451616 /nfs/dbraw/zinc/45/16/16/356451616.db2.gz GANYBFGJMOVINK-UHFFFAOYSA-N 1 2 307.419 1.720 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@@H+](CCC#N)CC2CC2)cc1 ZINC000595331855 356451622 /nfs/dbraw/zinc/45/16/22/356451622.db2.gz GANYBFGJMOVINK-UHFFFAOYSA-N 1 2 307.419 1.720 20 30 DDEDLO COC(=O)CCN1CCC[C@@H]([N@@H+]2CCC[C@](C)(C#N)C2)C1=O ZINC000595422617 356486567 /nfs/dbraw/zinc/48/65/67/356486567.db2.gz BBOJAVXCYMOGLO-CZUORRHYSA-N 1 2 307.394 1.166 20 30 DDEDLO COC(=O)CCN1CCC[C@@H]([N@H+]2CCC[C@](C)(C#N)C2)C1=O ZINC000595422617 356486570 /nfs/dbraw/zinc/48/65/70/356486570.db2.gz BBOJAVXCYMOGLO-CZUORRHYSA-N 1 2 307.394 1.166 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@H](C(=O)OC)C[C@H](C(F)(F)F)C1 ZINC000595627944 356583798 /nfs/dbraw/zinc/58/37/98/356583798.db2.gz CIFGQLJUOZEDHO-AXFHLTTASA-N 1 2 322.327 1.351 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@H](C(=O)OC)C[C@H](C(F)(F)F)C1 ZINC000595627944 356583800 /nfs/dbraw/zinc/58/38/00/356583800.db2.gz CIFGQLJUOZEDHO-AXFHLTTASA-N 1 2 322.327 1.351 20 30 DDEDLO COC(=O)C[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1CCCCC1 ZINC000595664861 356599398 /nfs/dbraw/zinc/59/93/98/356599398.db2.gz SRIVLSGZGKKZEY-QGZVFWFLSA-N 1 2 323.437 1.849 20 30 DDEDLO COC(=O)C[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1CCCCC1 ZINC000595664861 356599402 /nfs/dbraw/zinc/59/94/02/356599402.db2.gz SRIVLSGZGKKZEY-QGZVFWFLSA-N 1 2 323.437 1.849 20 30 DDEDLO COC(=O)[C@](C)(CCF)[NH2+]CCC(=O)Nc1ccccc1C#N ZINC000595773774 356647463 /nfs/dbraw/zinc/64/74/63/356647463.db2.gz FGGFZGXJSBJPIE-INIZCTEOSA-N 1 2 321.352 1.768 20 30 DDEDLO CN1CC[N@H+](Cc2ccc(C#N)cc2OC(F)F)CCC1=O ZINC000595782063 356649705 /nfs/dbraw/zinc/64/97/05/356649705.db2.gz JLOOXJBKMXTAIH-UHFFFAOYSA-N 1 2 309.316 1.824 20 30 DDEDLO CN1CC[N@@H+](Cc2ccc(C#N)cc2OC(F)F)CCC1=O ZINC000595782063 356649706 /nfs/dbraw/zinc/64/97/06/356649706.db2.gz JLOOXJBKMXTAIH-UHFFFAOYSA-N 1 2 309.316 1.824 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCOC[C@@H]1c1cccc(F)c1 ZINC000595760403 356640609 /nfs/dbraw/zinc/64/06/09/356640609.db2.gz QYLHVMODUZKEIC-MRXNPFEDSA-N 1 2 319.380 1.961 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCOC[C@@H]1c1cccc(F)c1 ZINC000595760403 356640613 /nfs/dbraw/zinc/64/06/13/356640613.db2.gz QYLHVMODUZKEIC-MRXNPFEDSA-N 1 2 319.380 1.961 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@H](C)O[C@](C)(C(F)F)C1 ZINC000595915958 356703790 /nfs/dbraw/zinc/70/37/90/356703790.db2.gz JRCPQVAPDSSJHQ-FZMZJTMJSA-N 1 2 303.353 1.493 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C)O[C@](C)(C(F)F)C1 ZINC000595915958 356703793 /nfs/dbraw/zinc/70/37/93/356703793.db2.gz JRCPQVAPDSSJHQ-FZMZJTMJSA-N 1 2 303.353 1.493 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)c1nc(C#N)c(Cl)s1 ZINC000596214788 356817842 /nfs/dbraw/zinc/81/78/42/356817842.db2.gz LOCBHSVZSOXXFH-VIFPVBQESA-N 1 2 300.815 1.825 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1nc2ncccc2cc1C#N ZINC000596231929 356826235 /nfs/dbraw/zinc/82/62/35/356826235.db2.gz MUYRDQQSOGVWNT-XJKSGUPXSA-N 1 2 323.400 1.801 20 30 DDEDLO N#Cc1cc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)ccc1F ZINC000596725320 356962086 /nfs/dbraw/zinc/96/20/86/356962086.db2.gz JWIUEHDBDXWCQA-AWEZNQCLSA-N 1 2 318.352 1.636 20 30 DDEDLO N#Cc1cc(F)ccc1NC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000596785267 356976877 /nfs/dbraw/zinc/97/68/77/356976877.db2.gz SXBKBUWGHLHCQU-CQSZACIVSA-N 1 2 318.352 1.636 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000596708036 356957018 /nfs/dbraw/zinc/95/70/18/356957018.db2.gz LXBIZXNTTPTQHI-HNNXBMFYSA-N 1 2 314.389 1.805 20 30 DDEDLO Cc1ccncc1CC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000597020918 357042056 /nfs/dbraw/zinc/04/20/56/357042056.db2.gz KLFRPOREJGYNTI-UHFFFAOYSA-N 1 2 316.405 1.007 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)C(=O)OCC ZINC000597733323 357308822 /nfs/dbraw/zinc/30/88/22/357308822.db2.gz RYDNJWZOEYQJTM-JHJVBQTASA-N 1 2 305.378 1.538 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCC[N@H+]1C[C@H](C)OC[C@H]1C ZINC000597995277 357410446 /nfs/dbraw/zinc/41/04/46/357410446.db2.gz LXILJQKUTUQMSV-OLZOCXBDSA-N 1 2 302.378 1.101 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCC[N@@H+]1C[C@H](C)OC[C@H]1C ZINC000597995277 357410451 /nfs/dbraw/zinc/41/04/51/357410451.db2.gz LXILJQKUTUQMSV-OLZOCXBDSA-N 1 2 302.378 1.101 20 30 DDEDLO CCOC(=O)[C@@H]1CSCC[N@@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598587661 357641832 /nfs/dbraw/zinc/64/18/32/357641832.db2.gz UQVJGYUTNMGHGL-RYUDHWBXSA-N 1 2 300.424 1.268 20 30 DDEDLO CCOC(=O)[C@@H]1CSCC[N@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598587661 357641838 /nfs/dbraw/zinc/64/18/38/357641838.db2.gz UQVJGYUTNMGHGL-RYUDHWBXSA-N 1 2 300.424 1.268 20 30 DDEDLO C[C@]1(CNC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)CCOC1 ZINC000329635146 223005821 /nfs/dbraw/zinc/00/58/21/223005821.db2.gz XMFGZGIREFGRAG-GOEBONIOSA-N 1 2 311.426 1.124 20 30 DDEDLO N#Cc1ccc(C[NH2+][C@H]2CS(=O)(=O)Cc3ccccc32)nc1 ZINC000598643952 357666270 /nfs/dbraw/zinc/66/62/70/357666270.db2.gz UNXDFVRPSAXZAQ-INIZCTEOSA-N 1 2 313.382 1.713 20 30 DDEDLO Cc1nccnc1C[NH+]1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000598679623 357683781 /nfs/dbraw/zinc/68/37/81/357683781.db2.gz WWSAFEDUSBVUJV-UHFFFAOYSA-N 1 2 324.388 1.844 20 30 DDEDLO Cc1nc(C)c(NC(=O)N2CC[C@H]([NH+]3CCOCC3)C2)s1 ZINC000329773827 223027944 /nfs/dbraw/zinc/02/79/44/223027944.db2.gz LTHOPEMVBADNPJ-LBPRGKRZSA-N 1 2 310.423 1.712 20 30 DDEDLO CC(C)[C@@H](Oc1ccccc1C#N)C(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000598944718 357768500 /nfs/dbraw/zinc/76/85/00/357768500.db2.gz UDFSNCZNXAGYKR-WMLDXEAASA-N 1 2 324.384 1.899 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)N[C@@H](CCC#N)c1ccccc1 ZINC000599012718 357793205 /nfs/dbraw/zinc/79/32/05/357793205.db2.gz BISBVUOVCKETCI-CVEARBPZSA-N 1 2 316.405 1.661 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)N[C@@H](CCC#N)c1ccccc1 ZINC000599012718 357793207 /nfs/dbraw/zinc/79/32/07/357793207.db2.gz BISBVUOVCKETCI-CVEARBPZSA-N 1 2 316.405 1.661 20 30 DDEDLO COC(=O)[C@H]1CCCC[N@@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000599249489 357862244 /nfs/dbraw/zinc/86/22/44/357862244.db2.gz CJAGEHWTPMVMEX-HUUCEWRRSA-N 1 2 318.373 1.325 20 30 DDEDLO COC(=O)[C@H]1CCCC[N@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000599249489 357862248 /nfs/dbraw/zinc/86/22/48/357862248.db2.gz CJAGEHWTPMVMEX-HUUCEWRRSA-N 1 2 318.373 1.325 20 30 DDEDLO COc1cncc(CN2CC[NH+](Cc3ccc(C#N)cc3)CC2)n1 ZINC000599261753 357865926 /nfs/dbraw/zinc/86/59/26/357865926.db2.gz WCZKULTUPWUHRD-UHFFFAOYSA-N 1 2 323.400 1.675 20 30 DDEDLO CCOC(=O)C[N@H+](C[C@@H](O)COc1ccccc1C#N)C1CC1 ZINC000599280467 357873259 /nfs/dbraw/zinc/87/32/59/357873259.db2.gz AOAPTTRWQKBZCV-OAHLLOKOSA-N 1 2 318.373 1.325 20 30 DDEDLO CCOC(=O)C[N@@H+](C[C@@H](O)COc1ccccc1C#N)C1CC1 ZINC000599280467 357873262 /nfs/dbraw/zinc/87/32/62/357873262.db2.gz AOAPTTRWQKBZCV-OAHLLOKOSA-N 1 2 318.373 1.325 20 30 DDEDLO C[C@H](C#N)C[N@H+](CC#CCN1C(=O)c2ccccc2C1=O)C1CC1 ZINC000599340670 357901144 /nfs/dbraw/zinc/90/11/44/357901144.db2.gz XQDFKBOXNGRDNB-CQSZACIVSA-N 1 2 321.380 1.910 20 30 DDEDLO C[C@H](C#N)C[N@@H+](CC#CCN1C(=O)c2ccccc2C1=O)C1CC1 ZINC000599340670 357901149 /nfs/dbraw/zinc/90/11/49/357901149.db2.gz XQDFKBOXNGRDNB-CQSZACIVSA-N 1 2 321.380 1.910 20 30 DDEDLO COC(=O)[C@@](C)([NH2+]C[C@H](O)COc1ccccc1C#N)C1CC1 ZINC000599352232 357905926 /nfs/dbraw/zinc/90/59/26/357905926.db2.gz QBHPTGFTBTZQSZ-YOEHRIQHSA-N 1 2 318.373 1.229 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC000179481317 199043260 /nfs/dbraw/zinc/04/32/60/199043260.db2.gz AUEBBFOXRVHLTC-UHFFFAOYSA-N 1 2 311.389 1.852 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](N3CCc4[nH+]c[nH]c4C3)C2=O)cc1 ZINC000599430223 357931726 /nfs/dbraw/zinc/93/17/26/357931726.db2.gz PYCGPWQVURMJCL-MRXNPFEDSA-N 1 2 307.357 1.445 20 30 DDEDLO COC(=O)c1cnc(C[N@@H+]2CCc3c(CC#N)cccc3C2)cn1 ZINC000599678002 358021449 /nfs/dbraw/zinc/02/14/49/358021449.db2.gz NWJZYIOHWTZXQZ-UHFFFAOYSA-N 1 2 322.368 1.888 20 30 DDEDLO COC(=O)c1cnc(C[N@H+]2CCc3c(CC#N)cccc3C2)cn1 ZINC000599678002 358021452 /nfs/dbraw/zinc/02/14/52/358021452.db2.gz NWJZYIOHWTZXQZ-UHFFFAOYSA-N 1 2 322.368 1.888 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H](C#N)CCc1ccccc1 ZINC000600420948 358216012 /nfs/dbraw/zinc/21/60/12/358216012.db2.gz MBVAIWVMDKSJFF-HZPDHXFCSA-N 1 2 301.390 1.206 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)[C@@H](C#N)CCc1ccccc1 ZINC000600420948 358216013 /nfs/dbraw/zinc/21/60/13/358216013.db2.gz MBVAIWVMDKSJFF-HZPDHXFCSA-N 1 2 301.390 1.206 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccccc1CC#N ZINC000601535705 358591750 /nfs/dbraw/zinc/59/17/50/358591750.db2.gz QYSYSPYBDNQMMY-AWEZNQCLSA-N 1 2 301.390 1.545 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CC(Nc2cccc(C#N)c2)C1 ZINC000601680469 358652472 /nfs/dbraw/zinc/65/24/72/358652472.db2.gz OSLPFUVYYRUGRT-HZPDHXFCSA-N 1 2 321.384 1.718 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000602124081 358830032 /nfs/dbraw/zinc/83/00/32/358830032.db2.gz VHDZRARSXHMNSE-KOLCDFICSA-N 1 2 304.272 1.421 20 30 DDEDLO Cc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)n(C2CCOCC2)n1 ZINC000602332458 358934593 /nfs/dbraw/zinc/93/45/93/358934593.db2.gz KDQYETLZMZKAEK-MRXNPFEDSA-N 1 2 319.409 1.117 20 30 DDEDLO CC(C)CN1C[C@H]2C[N@H+](Cc3cccc(C#N)n3)CCN2C1=O ZINC000602637966 359091317 /nfs/dbraw/zinc/09/13/17/359091317.db2.gz AHVSQOUMOXKXFX-MRXNPFEDSA-N 1 2 313.405 1.531 20 30 DDEDLO CC(C)CN1C[C@H]2C[N@@H+](Cc3cccc(C#N)n3)CCN2C1=O ZINC000602637966 359091319 /nfs/dbraw/zinc/09/13/19/359091319.db2.gz AHVSQOUMOXKXFX-MRXNPFEDSA-N 1 2 313.405 1.531 20 30 DDEDLO CCN(CC(F)(F)F)C(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602848628 359234803 /nfs/dbraw/zinc/23/48/03/359234803.db2.gz HOUDKFQFVRUBQR-RYUDHWBXSA-N 1 2 320.359 1.315 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)nc1 ZINC000602849568 359236387 /nfs/dbraw/zinc/23/63/87/359236387.db2.gz MGUGDMNKORVEFT-STQMWFEESA-N 1 2 302.378 1.286 20 30 DDEDLO COC(=O)c1cc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)cs1 ZINC000602851648 359239276 /nfs/dbraw/zinc/23/92/76/359239276.db2.gz WSNJYWOSURSYAX-RYUDHWBXSA-N 1 2 307.419 1.953 20 30 DDEDLO Cc1ccsc1CNC(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602860482 359245886 /nfs/dbraw/zinc/24/58/86/359245886.db2.gz IQTQZEJCAFQRIC-OKILXGFUSA-N 1 2 320.462 1.591 20 30 DDEDLO Cc1ccsc1CNC(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602860482 359245891 /nfs/dbraw/zinc/24/58/91/359245891.db2.gz IQTQZEJCAFQRIC-OKILXGFUSA-N 1 2 320.462 1.591 20 30 DDEDLO C[C@@H](c1nnnn1C)[NH+]1CCC(Nc2ccccc2C#N)CC1 ZINC000602893738 359277370 /nfs/dbraw/zinc/27/73/70/359277370.db2.gz FRVINBUZXSQNJR-LBPRGKRZSA-N 1 2 311.393 1.719 20 30 DDEDLO CO[C@H]1C[N@H+](CCC(=O)Nc2ccc(C#N)c(Cl)c2)CCO1 ZINC000602897817 359280050 /nfs/dbraw/zinc/28/00/50/359280050.db2.gz KOQBXBKLENFLPG-OAHLLOKOSA-N 1 2 323.780 1.845 20 30 DDEDLO CO[C@H]1C[N@@H+](CCC(=O)Nc2ccc(C#N)c(Cl)c2)CCO1 ZINC000602897817 359280053 /nfs/dbraw/zinc/28/00/53/359280053.db2.gz KOQBXBKLENFLPG-OAHLLOKOSA-N 1 2 323.780 1.845 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@](C)(CO)C1 ZINC000602963317 359326259 /nfs/dbraw/zinc/32/62/59/359326259.db2.gz VECLEKUJAXQBBU-WFASDCNBSA-N 1 2 305.334 1.438 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@](C)(CO)C1 ZINC000602963317 359326265 /nfs/dbraw/zinc/32/62/65/359326265.db2.gz VECLEKUJAXQBBU-WFASDCNBSA-N 1 2 305.334 1.438 20 30 DDEDLO COc1ccccc1CCNC(=O)C[N@H+](C)[C@H]1CCC[C@@H]1C#N ZINC000602973907 359333687 /nfs/dbraw/zinc/33/36/87/359333687.db2.gz HHKHJAFQTVPQAC-CVEARBPZSA-N 1 2 315.417 1.978 20 30 DDEDLO COc1ccccc1CCNC(=O)C[N@@H+](C)[C@H]1CCC[C@@H]1C#N ZINC000602973907 359333694 /nfs/dbraw/zinc/33/36/94/359333694.db2.gz HHKHJAFQTVPQAC-CVEARBPZSA-N 1 2 315.417 1.978 20 30 DDEDLO C[N@H+](CC(=O)NCc1ccc2c(c1)OCO2)[C@@H]1CCC[C@H]1C#N ZINC000602978308 359336644 /nfs/dbraw/zinc/33/66/44/359336644.db2.gz OPYQAEUBGWJORC-UONOGXRCSA-N 1 2 315.373 1.656 20 30 DDEDLO C[N@@H+](CC(=O)NCc1ccc2c(c1)OCO2)[C@@H]1CCC[C@H]1C#N ZINC000602978308 359336646 /nfs/dbraw/zinc/33/66/46/359336646.db2.gz OPYQAEUBGWJORC-UONOGXRCSA-N 1 2 315.373 1.656 20 30 DDEDLO C[C@H](NC(=O)C1CCCCC1)C(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329929798 223048668 /nfs/dbraw/zinc/04/86/68/223048668.db2.gz NWFDARYPFMHEGQ-QEJZJMRPSA-N 1 2 323.437 1.453 20 30 DDEDLO C[C@H](NC(=O)C1CCCCC1)C(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329929798 223048671 /nfs/dbraw/zinc/04/86/71/223048671.db2.gz NWFDARYPFMHEGQ-QEJZJMRPSA-N 1 2 323.437 1.453 20 30 DDEDLO COc1c(C)ccc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c1C ZINC000329919642 223047252 /nfs/dbraw/zinc/04/72/52/223047252.db2.gz GLKLCFXUHCDPMK-CABCVRRESA-N 1 2 319.405 1.872 20 30 DDEDLO COc1c(C)ccc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c1C ZINC000329919642 223047254 /nfs/dbraw/zinc/04/72/54/223047254.db2.gz GLKLCFXUHCDPMK-CABCVRRESA-N 1 2 319.405 1.872 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)N2[C@H](C)CCC[C@@H]2C)CC1 ZINC000609486261 360313850 /nfs/dbraw/zinc/31/38/50/360313850.db2.gz GWXCKVDASHPNJV-IXDOHACOSA-N 1 2 320.481 1.942 20 30 DDEDLO C[C@H](CNC(=O)Cc1csc2nccn12)[NH+]1CCOCC1 ZINC000330050382 223068323 /nfs/dbraw/zinc/06/83/23/223068323.db2.gz LPEAMAPAWDARCA-LLVKDONJSA-N 1 2 308.407 1.616 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000611552188 360756472 /nfs/dbraw/zinc/75/64/72/360756472.db2.gz RDNSSZFEXGBUPV-OAHLLOKOSA-N 1 2 304.369 1.096 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCC[C@H](OC(F)F)C1 ZINC000611576436 360765085 /nfs/dbraw/zinc/76/50/85/360765085.db2.gz AJKPWHNDRKPAPV-LBPRGKRZSA-N 1 2 314.336 1.346 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H](OC(F)F)C1 ZINC000611576436 360765087 /nfs/dbraw/zinc/76/50/87/360765087.db2.gz AJKPWHNDRKPAPV-LBPRGKRZSA-N 1 2 314.336 1.346 20 30 DDEDLO [O-]C(N[C@@H]1CCOC2(CCC2)C1)=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330162820 223080943 /nfs/dbraw/zinc/08/09/43/223080943.db2.gz AMTZDUICKHGJPA-CHWSQXEVSA-N 1 2 304.394 1.803 20 30 DDEDLO [O-]C(N[C@@H]1CCn2cc[nH+]c2C1)=[NH+][C@@H]1CCOC2(CCC2)C1 ZINC000330162820 223080944 /nfs/dbraw/zinc/08/09/44/223080944.db2.gz AMTZDUICKHGJPA-CHWSQXEVSA-N 1 2 304.394 1.803 20 30 DDEDLO Cc1cnc(C(=O)N[C@@H]2CCO[C@@H](c3c[nH+]cn3C)C2)cn1 ZINC000330339606 223104164 /nfs/dbraw/zinc/10/41/64/223104164.db2.gz WVUNDZVGJYNRAB-BXUZGUMPSA-N 1 2 301.350 1.743 20 30 DDEDLO O=C(NC[C@@H]1CCC(F)(F)C1)NCC1([NH+]2CCOCC2)CC1 ZINC000330276848 223099194 /nfs/dbraw/zinc/09/91/94/223099194.db2.gz NMTAKOYKPGNECF-GFCCVEGCSA-N 1 2 317.380 1.790 20 30 DDEDLO CN(C)c1cc(C(=O)NC(C)(C)C[NH+]2CCOCC2)ccn1 ZINC000331322808 223203024 /nfs/dbraw/zinc/20/30/24/223203024.db2.gz FNGHYKBDMFPCHL-UHFFFAOYSA-N 1 2 306.410 1.563 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)cc1F ZINC000292816835 223259255 /nfs/dbraw/zinc/25/92/55/223259255.db2.gz AQWLYAQRCOLWTL-UHFFFAOYSA-N 1 2 323.393 1.414 20 30 DDEDLO CCS(=O)(=O)C1CC[NH+](Cc2ccc(OC)c(C#N)c2)CC1 ZINC000193264645 201016212 /nfs/dbraw/zinc/01/62/12/201016212.db2.gz WUKVNVUQSYKVEF-UHFFFAOYSA-N 1 2 322.430 1.966 20 30 DDEDLO Cc1nnc([C@H]2CC[N@@H+]([C@@H](C)C(=O)Nc3ccccc3C#N)C2)[nH]1 ZINC000565996531 304108698 /nfs/dbraw/zinc/10/86/98/304108698.db2.gz HWUAHYROORPVIZ-FZMZJTMJSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nnc([C@H]2CC[N@H+]([C@@H](C)C(=O)Nc3ccccc3C#N)C2)[nH]1 ZINC000565996531 304108699 /nfs/dbraw/zinc/10/86/99/304108699.db2.gz HWUAHYROORPVIZ-FZMZJTMJSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@@H+]([C@@H](C)C(=O)Nc3ccccc3C#N)C2)n1 ZINC000565996531 304108700 /nfs/dbraw/zinc/10/87/00/304108700.db2.gz HWUAHYROORPVIZ-FZMZJTMJSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@H+]([C@@H](C)C(=O)Nc3ccccc3C#N)C2)n1 ZINC000565996531 304108702 /nfs/dbraw/zinc/10/87/02/304108702.db2.gz HWUAHYROORPVIZ-FZMZJTMJSA-N 1 2 324.388 1.801 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2cccc(C#N)c2)CCO1 ZINC000195118355 201343059 /nfs/dbraw/zinc/34/30/59/201343059.db2.gz CBEJYASXOARAIB-UHFFFAOYSA-N 1 2 302.378 1.791 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2cccc(C#N)c2)CCO1 ZINC000195118355 201343061 /nfs/dbraw/zinc/34/30/61/201343061.db2.gz CBEJYASXOARAIB-UHFFFAOYSA-N 1 2 302.378 1.791 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@@H](C)C(=O)Nc2ncccn2)CC1 ZINC000341984010 223299082 /nfs/dbraw/zinc/29/90/82/223299082.db2.gz GPKMUQTVRLYCED-LBPRGKRZSA-N 1 2 303.366 1.056 20 30 DDEDLO CC(C)(C#N)c1ccc(C[NH+]2CCN(S(C)(=O)=O)CC2)cc1 ZINC000614160418 361729797 /nfs/dbraw/zinc/72/97/97/361729797.db2.gz UNHCITZOAICMCB-UHFFFAOYSA-N 1 2 321.446 1.565 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cccc(CC#N)c1 ZINC000619476706 364028491 /nfs/dbraw/zinc/02/84/91/364028491.db2.gz DSHDSXQGZDASBO-LBPRGKRZSA-N 1 2 304.375 1.316 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1CC[NH+](C[C@@H]2CCCO2)CC1 ZINC000619546604 364054663 /nfs/dbraw/zinc/05/46/63/364054663.db2.gz FRLMQLYDOJYHDL-ZDUSSCGKSA-N 1 2 303.362 1.397 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000619709321 364123595 /nfs/dbraw/zinc/12/35/95/364123595.db2.gz DBUDJIPUEQGBSP-CYBMUJFWSA-N 1 2 311.411 1.000 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(c2nnc3n2CCCC3)CC1 ZINC000619721638 364130650 /nfs/dbraw/zinc/13/06/50/364130650.db2.gz YLCLQWPRIBCDQW-CYBMUJFWSA-N 1 2 304.394 1.521 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@]2(CC(=O)c3ccccc3O2)C1 ZINC000625801640 367150697 /nfs/dbraw/zinc/15/06/97/367150697.db2.gz UVPNHEOLYAVUDS-KRWDZBQOSA-N 1 2 313.357 1.126 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@]2(CC(=O)c3ccccc3O2)C1 ZINC000625801640 367150701 /nfs/dbraw/zinc/15/07/01/367150701.db2.gz UVPNHEOLYAVUDS-KRWDZBQOSA-N 1 2 313.357 1.126 20 30 DDEDLO CC(C)OC(=O)[C@@H](C)N(C)Cc1c[nH+]c2ccc(C#N)cn12 ZINC000093773040 193305153 /nfs/dbraw/zinc/30/51/53/193305153.db2.gz RMBMHWWPGDRQTE-GFCCVEGCSA-N 1 2 300.362 1.978 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000264670462 204066213 /nfs/dbraw/zinc/06/62/13/204066213.db2.gz UFYZLNSMGDORID-ZDUSSCGKSA-N 1 2 321.812 1.579 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000264670462 204066218 /nfs/dbraw/zinc/06/62/18/204066218.db2.gz UFYZLNSMGDORID-ZDUSSCGKSA-N 1 2 321.812 1.579 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](C[C@H](O)C(F)(F)F)CC2)cc1 ZINC000273906294 211162483 /nfs/dbraw/zinc/16/24/83/211162483.db2.gz GJOARFCJVLXWPG-AWEZNQCLSA-N 1 2 313.323 1.599 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(C#N)cc3)C[C@@H]21 ZINC000285300013 218370957 /nfs/dbraw/zinc/37/09/57/218370957.db2.gz RLGMTKNHSJHSIL-LSDHHAIUSA-N 1 2 300.362 1.495 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(C#N)cc3)C[C@@H]21 ZINC000285300013 218370959 /nfs/dbraw/zinc/37/09/59/218370959.db2.gz RLGMTKNHSJHSIL-LSDHHAIUSA-N 1 2 300.362 1.495 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCCC[C@H]1c1ccnn1C ZINC000281514544 285037413 /nfs/dbraw/zinc/03/74/13/285037413.db2.gz VDXALNVVRJZJJW-ZBFHGGJFSA-N 1 2 317.437 1.955 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCCC[C@H]1c1ccnn1C ZINC000281514544 285037415 /nfs/dbraw/zinc/03/74/15/285037415.db2.gz VDXALNVVRJZJJW-ZBFHGGJFSA-N 1 2 317.437 1.955 20 30 DDEDLO CN(C(=O)c1cccc(C#N)c1)C(C)(C)C[NH+]1CCOCC1 ZINC000414047739 224295435 /nfs/dbraw/zinc/29/54/35/224295435.db2.gz CVNLDCTWEXOKTM-UHFFFAOYSA-N 1 2 301.390 1.741 20 30 DDEDLO CO[C@H]1CCC[C@@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000339588472 533235655 /nfs/dbraw/zinc/23/56/55/533235655.db2.gz ZABHVVVKVRNEDZ-CVEARBPZSA-N 1 2 323.437 1.266 20 30 DDEDLO COc1ccc(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)cc1F ZINC000329930678 533422249 /nfs/dbraw/zinc/42/22/49/533422249.db2.gz ZUFPDTGXRLZCMO-HIFRSBDPSA-N 1 2 323.368 1.263 20 30 DDEDLO COc1ccc(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)cc1F ZINC000329930678 533422255 /nfs/dbraw/zinc/42/22/55/533422255.db2.gz ZUFPDTGXRLZCMO-HIFRSBDPSA-N 1 2 323.368 1.263 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)C[NH+]1CC(C)(C)C1 ZINC000569940766 304386431 /nfs/dbraw/zinc/38/64/31/304386431.db2.gz MVULSYVRNUPZDP-UHFFFAOYSA-N 1 2 324.388 1.728 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCN1CCC[C@@]2(CCCCO2)[C@H]1C#N ZINC000337226290 297124915 /nfs/dbraw/zinc/12/49/15/297124915.db2.gz FSKCVMLHGKLGGV-ZACQAIPSSA-N 1 2 307.438 1.634 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCN1CCC[C@@]2(CCCCO2)[C@H]1C#N ZINC000337226290 297124918 /nfs/dbraw/zinc/12/49/18/297124918.db2.gz FSKCVMLHGKLGGV-ZACQAIPSSA-N 1 2 307.438 1.634 20 30 DDEDLO CC(C)(C)[C@H](C#N)NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000085439589 407101288 /nfs/dbraw/zinc/10/12/88/407101288.db2.gz MIXXTAQZUSXXDZ-CVEARBPZSA-N 1 2 315.417 1.942 20 30 DDEDLO CC(C)(C)[C@H](C#N)NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000085439589 407101290 /nfs/dbraw/zinc/10/12/90/407101290.db2.gz MIXXTAQZUSXXDZ-CVEARBPZSA-N 1 2 315.417 1.942 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)Cc1ccc(C#N)cc1 ZINC000048742533 407107341 /nfs/dbraw/zinc/10/73/41/407107341.db2.gz LONRNVJYKBXBFQ-GFCCVEGCSA-N 1 2 304.375 1.263 20 30 DDEDLO C=CC[N@@H+](CC(=O)NC(=O)NCC)Cc1cccc([N+](=O)[O-])c1 ZINC000063297739 407232407 /nfs/dbraw/zinc/23/24/07/407232407.db2.gz DVYNJBHNGVFTON-UHFFFAOYSA-N 1 2 320.349 1.429 20 30 DDEDLO C=CC[N@H+](CC(=O)NC(=O)NCC)Cc1cccc([N+](=O)[O-])c1 ZINC000063297739 407232408 /nfs/dbraw/zinc/23/24/08/407232408.db2.gz DVYNJBHNGVFTON-UHFFFAOYSA-N 1 2 320.349 1.429 20 30 DDEDLO N#CC1(C(=O)Nc2cnn(CC[NH+]3CCOCC3)c2)CCCC1 ZINC000103101099 407330866 /nfs/dbraw/zinc/33/08/66/407330866.db2.gz DFDAIGZZHFMMPP-UHFFFAOYSA-N 1 2 317.393 1.238 20 30 DDEDLO CCC[NH+](CCC)CCCC(=O)Nc1nc(C#N)c(C#N)[nH]1 ZINC000107345164 407372986 /nfs/dbraw/zinc/37/29/86/407372986.db2.gz IQSMZNLLGCSVEG-UHFFFAOYSA-N 1 2 302.382 1.994 20 30 DDEDLO C=CC[N@@H+](CCNS(C)(=O)=O)Cc1ccc(Cl)s1 ZINC000107297739 407372097 /nfs/dbraw/zinc/37/20/97/407372097.db2.gz OLLFWQPOVXRTIX-UHFFFAOYSA-N 1 2 308.856 1.939 20 30 DDEDLO C=CC[N@H+](CCNS(C)(=O)=O)Cc1ccc(Cl)s1 ZINC000107297739 407372098 /nfs/dbraw/zinc/37/20/98/407372098.db2.gz OLLFWQPOVXRTIX-UHFFFAOYSA-N 1 2 308.856 1.939 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)Nc1nc2c(cc1C#N)CCCC2 ZINC000271016735 407468914 /nfs/dbraw/zinc/46/89/14/407468914.db2.gz YKOBLOVUFHXLAM-ZDUSSCGKSA-N 1 2 300.406 1.965 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)c3ccncc3)CC2)o1 ZINC000128862181 407578041 /nfs/dbraw/zinc/57/80/41/407578041.db2.gz WBUCWXJUMYYLQT-UHFFFAOYSA-N 1 2 310.357 1.894 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)c3ccncc3)CC2)o1 ZINC000128862181 407578047 /nfs/dbraw/zinc/57/80/47/407578047.db2.gz WBUCWXJUMYYLQT-UHFFFAOYSA-N 1 2 310.357 1.894 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1sc2c(c1C(N)=O)CCCC2 ZINC000266943410 407683245 /nfs/dbraw/zinc/68/32/45/407683245.db2.gz RXNMBSVUEZVRTP-UHFFFAOYSA-N 1 2 319.430 1.619 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1sc2c(c1C(N)=O)CCCC2 ZINC000266943410 407683251 /nfs/dbraw/zinc/68/32/51/407683251.db2.gz RXNMBSVUEZVRTP-UHFFFAOYSA-N 1 2 319.430 1.619 20 30 DDEDLO C=C(C)CN(CC)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000267057820 407732196 /nfs/dbraw/zinc/73/21/96/407732196.db2.gz GSNBYBHNLGDUNX-UHFFFAOYSA-N 1 2 321.425 1.645 20 30 DDEDLO C=CCN(C(=O)c1ccc2[nH+]ccn2c1)[C@@H]1CCS(=O)(=O)C1 ZINC000171730779 407750668 /nfs/dbraw/zinc/75/06/68/407750668.db2.gz MXYVFGWVLYFSFZ-CYBMUJFWSA-N 1 2 319.386 1.150 20 30 DDEDLO Cc1ccc(CN(CCC#N)CC[NH+]2CCOCC2)c(C)n1 ZINC000187112901 407822529 /nfs/dbraw/zinc/82/25/29/407822529.db2.gz DFQDOONRFSMZTD-UHFFFAOYSA-N 1 2 302.422 1.746 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1cc(F)ccc1Cl ZINC000187143360 407826982 /nfs/dbraw/zinc/82/69/82/407826982.db2.gz KRJJPDXOZSKHQE-UHFFFAOYSA-N 1 2 301.749 1.600 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C2(C#N)CC2)cc1 ZINC000179912004 407843361 /nfs/dbraw/zinc/84/33/61/407843361.db2.gz MZRZYNWNURVZFV-AWEZNQCLSA-N 1 2 313.401 1.692 20 30 DDEDLO N#Cc1cc(F)ccc1S(=O)(=O)N1CC[NH+](C2CCC2)CC1 ZINC000268216144 407951228 /nfs/dbraw/zinc/95/12/28/407951228.db2.gz CJISTHCANSNVNL-UHFFFAOYSA-N 1 2 323.393 1.556 20 30 DDEDLO CCc1nnc(NCC(C)(C)[NH+]2CCOCC2)c(C#N)c1CC ZINC000268256241 407973866 /nfs/dbraw/zinc/97/38/66/407973866.db2.gz YDAYEKGCCIPQAH-UHFFFAOYSA-N 1 2 317.437 1.996 20 30 DDEDLO N#Cc1cc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)c[nH]1 ZINC000181291735 407974680 /nfs/dbraw/zinc/97/46/80/407974680.db2.gz ZWLBPPSUSQCBKJ-HNNXBMFYSA-N 1 2 302.378 1.213 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000135110023 407976590 /nfs/dbraw/zinc/97/65/90/407976590.db2.gz HKOWWYOKORJPHA-CRAIPNDOSA-N 1 2 321.465 1.744 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCS[C@H]3COCC[C@@H]32)c1 ZINC000135561666 408031607 /nfs/dbraw/zinc/03/16/07/408031607.db2.gz LNXDMYZWTSPDBF-GJZGRUSLSA-N 1 2 317.414 1.703 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCS[C@H]3COCC[C@@H]32)c1 ZINC000135561666 408031614 /nfs/dbraw/zinc/03/16/14/408031614.db2.gz LNXDMYZWTSPDBF-GJZGRUSLSA-N 1 2 317.414 1.703 20 30 DDEDLO CC#CCC[NH+]1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000155038758 408118222 /nfs/dbraw/zinc/11/82/22/408118222.db2.gz QPERPKDEFSJHES-UHFFFAOYSA-N 1 2 306.431 1.715 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCCN(CC(F)(F)F)CC1 ZINC000155879965 408220236 /nfs/dbraw/zinc/22/02/36/408220236.db2.gz FASOJCBAKYBFNQ-LBPRGKRZSA-N 1 2 320.359 1.317 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCCN(CC(F)(F)F)CC1 ZINC000155879965 408220242 /nfs/dbraw/zinc/22/02/42/408220242.db2.gz FASOJCBAKYBFNQ-LBPRGKRZSA-N 1 2 320.359 1.317 20 30 DDEDLO NC(=[NH+]OCc1nc(C2CC2)no1)c1ccc2c(c1)CCO2 ZINC000158146624 408327800 /nfs/dbraw/zinc/32/78/00/408327800.db2.gz GWZRYFXXGRITHT-UHFFFAOYSA-N 1 2 300.318 1.719 20 30 DDEDLO COc1cc(OC)cc([C@@H](NC(=O)CC#N)c2[nH+]ccn2C)c1 ZINC000273979072 408299540 /nfs/dbraw/zinc/29/95/40/408299540.db2.gz HLTPEYCNVZEBOE-OAHLLOKOSA-N 1 2 314.345 1.557 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@@H]1O ZINC000159400878 162147155 /nfs/dbraw/zinc/14/71/55/162147155.db2.gz YZHPUEMHLLUDIF-DOMZBBRYSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@@H]1O ZINC000159400878 162147159 /nfs/dbraw/zinc/14/71/59/162147159.db2.gz YZHPUEMHLLUDIF-DOMZBBRYSA-N 1 2 308.403 1.035 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCO[C@]2(CCc3ccccc32)C1 ZINC000158743378 408356790 /nfs/dbraw/zinc/35/67/90/408356790.db2.gz MZHAUTILIHUZLE-KBXCAEBGSA-N 1 2 300.402 1.851 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCO[C@]2(CCc3ccccc32)C1 ZINC000158743378 408356796 /nfs/dbraw/zinc/35/67/96/408356796.db2.gz MZHAUTILIHUZLE-KBXCAEBGSA-N 1 2 300.402 1.851 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)OC(C)(C)C)CC2)cc1C#N ZINC000191204308 408386029 /nfs/dbraw/zinc/38/60/29/408386029.db2.gz JWMJPDVDFQIXRO-UHFFFAOYSA-N 1 2 304.394 1.949 20 30 DDEDLO C=C(C)C[N@@H+](CC)Cc1cnn([C@@]2(C)CCS(=O)(=O)C2)c1 ZINC000159007660 408375770 /nfs/dbraw/zinc/37/57/70/408375770.db2.gz AKBVCEOBRCUPLI-HNNXBMFYSA-N 1 2 311.451 1.815 20 30 DDEDLO C=C(C)C[N@H+](CC)Cc1cnn([C@@]2(C)CCS(=O)(=O)C2)c1 ZINC000159007660 408375779 /nfs/dbraw/zinc/37/57/79/408375779.db2.gz AKBVCEOBRCUPLI-HNNXBMFYSA-N 1 2 311.451 1.815 20 30 DDEDLO CCc1nnc(NCC[N@@H+]2CCOC(C)(C)C2)c(C#N)c1CC ZINC000176969703 408486597 /nfs/dbraw/zinc/48/65/97/408486597.db2.gz BZFVLILMBAKMJC-UHFFFAOYSA-N 1 2 317.437 1.996 20 30 DDEDLO CCc1nnc(NCC[N@H+]2CCOC(C)(C)C2)c(C#N)c1CC ZINC000176969703 408486603 /nfs/dbraw/zinc/48/66/03/408486603.db2.gz BZFVLILMBAKMJC-UHFFFAOYSA-N 1 2 317.437 1.996 20 30 DDEDLO Cn1cc[nH+]c1CNS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000160813420 408527613 /nfs/dbraw/zinc/52/76/13/408527613.db2.gz FUHYCUZZKHNXLV-UHFFFAOYSA-N 1 2 310.766 1.424 20 30 DDEDLO CC1(O)CC[NH+](CC(=O)Nc2ccc(C#N)c(Cl)c2)CC1 ZINC000161190183 408570484 /nfs/dbraw/zinc/57/04/84/408570484.db2.gz PLKVAISRTOKXFG-UHFFFAOYSA-N 1 2 307.781 1.997 20 30 DDEDLO CNC(=O)Cc1nc(C[N@H+](C)Cc2cccc(C#N)c2)cs1 ZINC000177556566 408656626 /nfs/dbraw/zinc/65/66/26/408656626.db2.gz MXVJSMWLUAAKOI-UHFFFAOYSA-N 1 2 314.414 1.935 20 30 DDEDLO CNC(=O)Cc1nc(C[N@@H+](C)Cc2cccc(C#N)c2)cs1 ZINC000177556566 408656633 /nfs/dbraw/zinc/65/66/33/408656633.db2.gz MXVJSMWLUAAKOI-UHFFFAOYSA-N 1 2 314.414 1.935 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCc2nc([C@H](C)OC)no2)cc1 ZINC000177563496 408659214 /nfs/dbraw/zinc/65/92/14/408659214.db2.gz MLVHCYUBFHFDPH-JTQLQIEISA-N 1 2 320.349 1.817 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](CC(=O)N(CC)CC)CC1 ZINC000184934370 408719062 /nfs/dbraw/zinc/71/90/62/408719062.db2.gz DUACVXYXSGPWPE-UHFFFAOYSA-N 1 2 307.438 1.193 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2ccc(C#N)cn2)C[C@H](C)O1 ZINC000194748535 408796047 /nfs/dbraw/zinc/79/60/47/408796047.db2.gz QQURBHHAELMWDO-BETUJISGSA-N 1 2 302.378 1.134 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2ccc(C#N)cn2)C[C@H](C)O1 ZINC000194748535 408796049 /nfs/dbraw/zinc/79/60/49/408796049.db2.gz QQURBHHAELMWDO-BETUJISGSA-N 1 2 302.378 1.134 20 30 DDEDLO CCC(C)(C)NC(=O)[C@@H](C)O[NH+]=C(N)Cc1csc(C)n1 ZINC000178144943 408779365 /nfs/dbraw/zinc/77/93/65/408779365.db2.gz BGWKVABWSKVUHU-SECBINFHSA-N 1 2 312.439 1.976 20 30 DDEDLO Cn1nccc1[C@H]1CCCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000281239016 408877629 /nfs/dbraw/zinc/87/76/29/408877629.db2.gz CXDAVRDNTWHAIZ-WBVHZDCISA-N 1 2 315.421 1.756 20 30 DDEDLO Cn1nccc1[C@H]1CCCC[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000281239016 408877631 /nfs/dbraw/zinc/87/76/31/408877631.db2.gz CXDAVRDNTWHAIZ-WBVHZDCISA-N 1 2 315.421 1.756 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NCc2ccc(C)cc2)C1=O ZINC000281323687 408881192 /nfs/dbraw/zinc/88/11/92/408881192.db2.gz LLWDPFOAISFUDC-MRXNPFEDSA-N 1 2 315.417 1.330 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NCc2ccc(C)cc2)C1=O ZINC000281323687 408881194 /nfs/dbraw/zinc/88/11/94/408881194.db2.gz LLWDPFOAISFUDC-MRXNPFEDSA-N 1 2 315.417 1.330 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2c(C)cc(F)cc2C)CC1 ZINC000281359338 408883452 /nfs/dbraw/zinc/88/34/52/408883452.db2.gz BOTBZHCYMNQDDQ-UHFFFAOYSA-N 1 2 324.421 1.772 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCOc2ccc(C)cc2C1 ZINC000285695161 408844629 /nfs/dbraw/zinc/84/46/29/408844629.db2.gz VPHKFUHFNBRPFN-ZDUSSCGKSA-N 1 2 317.389 1.590 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCOc2ccc(C)cc2C1 ZINC000285695161 408844631 /nfs/dbraw/zinc/84/46/31/408844631.db2.gz VPHKFUHFNBRPFN-ZDUSSCGKSA-N 1 2 317.389 1.590 20 30 DDEDLO N#Cc1cc(F)c(S(=O)(=O)NCC[NH+]2CCCC2)c(F)c1 ZINC000191858005 163205593 /nfs/dbraw/zinc/20/55/93/163205593.db2.gz GXPFXSGSWOKFCE-UHFFFAOYSA-N 1 2 315.345 1.211 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@H](O)COCc1cccc(OC)c1 ZINC000292096158 408979294 /nfs/dbraw/zinc/97/92/94/408979294.db2.gz FXWHKAKEMWBCEK-INIZCTEOSA-N 1 2 304.390 1.974 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@H](O)COCc1cccc(OC)c1 ZINC000292096158 408979296 /nfs/dbraw/zinc/97/92/96/408979296.db2.gz FXWHKAKEMWBCEK-INIZCTEOSA-N 1 2 304.390 1.974 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+][C@H](c1nnc[nH]1)C1CCCCC1 ZINC000292259448 409011794 /nfs/dbraw/zinc/01/17/94/409011794.db2.gz SPUIZHYCLANUPY-ZDUSSCGKSA-N 1 2 312.439 1.617 20 30 DDEDLO C#CCC(CC#C)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000287289594 409013554 /nfs/dbraw/zinc/01/35/54/409013554.db2.gz YWUFVDSZPOABBF-UHFFFAOYSA-N 1 2 311.385 1.197 20 30 DDEDLO CC[N@H+](CC(=O)Nc1sc(C)c(C)c1C#N)[C@H]1CCNC1=O ZINC000282285732 409025995 /nfs/dbraw/zinc/02/59/95/409025995.db2.gz INRBIJNVLQCEKI-LBPRGKRZSA-N 1 2 320.418 1.386 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1sc(C)c(C)c1C#N)[C@H]1CCNC1=O ZINC000282285732 409025998 /nfs/dbraw/zinc/02/59/98/409025998.db2.gz INRBIJNVLQCEKI-LBPRGKRZSA-N 1 2 320.418 1.386 20 30 DDEDLO C[C@H](CNC(=O)c1cc(C#N)cc(N(C)C)c1)Cn1cc[nH+]c1 ZINC000293089855 409050797 /nfs/dbraw/zinc/05/07/97/409050797.db2.gz FWKCEUBEQWOREP-CYBMUJFWSA-N 1 2 311.389 1.887 20 30 DDEDLO C#CCN(C)C(=O)C(=O)Nc1ccc2c(c1)[nH+]c1n2CCCCC1 ZINC000283624318 409186475 /nfs/dbraw/zinc/18/64/75/409186475.db2.gz OBEIKJYPJKUVOS-UHFFFAOYSA-N 1 2 324.384 1.793 20 30 DDEDLO CCc1cc(N)nc(SCC(=O)N[C@@](C)(C#N)C(C)C)[nH+]1 ZINC000279048527 409138356 /nfs/dbraw/zinc/13/83/56/409138356.db2.gz GWTWVGXNZCYXBQ-AWEZNQCLSA-N 1 2 307.423 1.768 20 30 DDEDLO C#Cc1ccc(C[N@H+]2CC[C@H](C(=O)OC)C[C@@H]2C(=O)OC)cc1 ZINC000289071800 409215613 /nfs/dbraw/zinc/21/56/13/409215613.db2.gz AIJSVTLHTIBXLG-JKSUJKDBSA-N 1 2 315.369 1.595 20 30 DDEDLO C#Cc1ccc(C[N@@H+]2CC[C@H](C(=O)OC)C[C@@H]2C(=O)OC)cc1 ZINC000289071800 409215616 /nfs/dbraw/zinc/21/56/16/409215616.db2.gz AIJSVTLHTIBXLG-JKSUJKDBSA-N 1 2 315.369 1.595 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000289284889 409242710 /nfs/dbraw/zinc/24/27/10/409242710.db2.gz TUPAMAVBFJOVSL-UHFFFAOYSA-N 1 2 300.362 1.423 20 30 DDEDLO COc1cc(Cl)ccc1CO[NH+]=C(N)CN1CCOCC1 ZINC000279933388 409254094 /nfs/dbraw/zinc/25/40/94/409254094.db2.gz WDKXDEOBOLGGJP-UHFFFAOYSA-N 1 2 313.785 1.470 20 30 DDEDLO CCOc1cc(CO[NH+]=C(N)[C@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000289396510 409261434 /nfs/dbraw/zinc/26/14/34/409261434.db2.gz NHMKBQFSDXCZAA-GFCCVEGCSA-N 1 2 309.322 1.961 20 30 DDEDLO CO[C@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CC[C@@H]1C ZINC000289398751 409262946 /nfs/dbraw/zinc/26/29/46/409262946.db2.gz KVJIRJGDOJBOEA-JSGCOSHPSA-N 1 2 323.418 1.084 20 30 DDEDLO CO[C@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CC[C@@H]1C ZINC000289398751 409262947 /nfs/dbraw/zinc/26/29/47/409262947.db2.gz KVJIRJGDOJBOEA-JSGCOSHPSA-N 1 2 323.418 1.084 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CCC[C@@H](CS(C)(=O)=O)C1 ZINC000293890160 409200439 /nfs/dbraw/zinc/20/04/39/409200439.db2.gz KHMWAZGSGLCWAN-OAHLLOKOSA-N 1 2 321.442 1.955 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CCC[C@@H](CS(C)(=O)=O)C1 ZINC000293890160 409200442 /nfs/dbraw/zinc/20/04/42/409200442.db2.gz KHMWAZGSGLCWAN-OAHLLOKOSA-N 1 2 321.442 1.955 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2cnn(CCOC)c2)n1 ZINC000279380716 409202706 /nfs/dbraw/zinc/20/27/06/409202706.db2.gz KGNWIUDBZNOCRH-UHFFFAOYSA-N 1 2 301.394 1.381 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2cnn(CCOC)c2)n1 ZINC000279380716 409202708 /nfs/dbraw/zinc/20/27/08/409202708.db2.gz KGNWIUDBZNOCRH-UHFFFAOYSA-N 1 2 301.394 1.381 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000290000914 409292193 /nfs/dbraw/zinc/29/21/93/409292193.db2.gz TVDONUFCNVEZCV-JSGCOSHPSA-N 1 2 323.828 1.791 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000290000914 409292197 /nfs/dbraw/zinc/29/21/97/409292197.db2.gz TVDONUFCNVEZCV-JSGCOSHPSA-N 1 2 323.828 1.791 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2cnc(C)s2)CC1 ZINC000294522313 409293309 /nfs/dbraw/zinc/29/33/09/409293309.db2.gz GVIYWGYJUBDYBC-GFCCVEGCSA-N 1 2 307.419 1.134 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCc1[nH+]ccn1CCc1ccccc1 ZINC000295175477 409357594 /nfs/dbraw/zinc/35/75/94/409357594.db2.gz SEZFRMWJTCZZQQ-HNNXBMFYSA-N 1 2 311.385 1.780 20 30 DDEDLO CC1(C)CS(=O)(=O)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000579834206 422841831 /nfs/dbraw/zinc/84/18/31/422841831.db2.gz QAIDUIXUSZFTGI-UHFFFAOYSA-N 1 2 318.402 1.215 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNc1cc(N2CCOCC2)nc[nH+]1 ZINC000280499289 409331085 /nfs/dbraw/zinc/33/10/85/409331085.db2.gz BWUUYNGKTAVABM-CZUORRHYSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNc1cc(N2CCOCC2)[nH+]cn1 ZINC000280499289 409331093 /nfs/dbraw/zinc/33/10/93/409331093.db2.gz BWUUYNGKTAVABM-CZUORRHYSA-N 1 2 304.394 1.706 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000284623843 409355640 /nfs/dbraw/zinc/35/56/40/409355640.db2.gz ZUEMTSPVNFBUDG-SKDRFNHKSA-N 1 2 322.321 1.390 20 30 DDEDLO CCn1nc(C)c(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000296213479 409466221 /nfs/dbraw/zinc/46/62/21/409466221.db2.gz ZWNYWKIXJBDUQE-AWEZNQCLSA-N 1 2 312.377 1.526 20 30 DDEDLO CCn1nc(C)c(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000296213479 409466224 /nfs/dbraw/zinc/46/62/24/409466224.db2.gz ZWNYWKIXJBDUQE-AWEZNQCLSA-N 1 2 312.377 1.526 20 30 DDEDLO C[C@@H](NC(=O)N1CCC(F)(C#N)CC1)[C@@H](C)[NH+]1CCOCC1 ZINC000345032353 409604202 /nfs/dbraw/zinc/60/42/02/409604202.db2.gz WVLYPEMWRUDRCP-CHWSQXEVSA-N 1 2 312.389 1.133 20 30 DDEDLO CC(C)OCC[C@H](O)C[NH+]1CC(Oc2ccc(C#N)cc2)C1 ZINC000349247409 409762569 /nfs/dbraw/zinc/76/25/69/409762569.db2.gz ZNZNGTFVRHLQQM-HNNXBMFYSA-N 1 2 304.390 1.797 20 30 DDEDLO COc1cc(CNC(=O)N[C@@H](C)Cn2cc[nH+]c2)ccc1C#N ZINC000357065261 409833731 /nfs/dbraw/zinc/83/37/31/409833731.db2.gz JYMBMYYBMVMDQE-LBPRGKRZSA-N 1 2 313.361 1.651 20 30 DDEDLO C=C(C)COCCNC(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000354488067 409889300 /nfs/dbraw/zinc/88/93/00/409889300.db2.gz ZLPIBSWOIWFRRQ-UHFFFAOYSA-N 1 2 320.315 1.837 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)[C@H]2CCCC(=O)N2)CC1 ZINC000328837363 409988540 /nfs/dbraw/zinc/98/85/40/409988540.db2.gz XSQMAMRJIIGTII-WCQYABFASA-N 1 2 322.409 1.147 20 30 DDEDLO C[C@@H]1C[C@H](C(=O)NC[C@@](C)(O)C[NH+]2CCOCC2)CCC1=O ZINC000328916323 410009731 /nfs/dbraw/zinc/00/97/31/410009731.db2.gz AJAPMHXTIOWUJP-XJKCOSOUSA-N 1 2 312.410 1.031 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)NC(=O)[C@H]2C1)NCCC1=CCCCC1 ZINC000328925801 410012480 /nfs/dbraw/zinc/01/24/80/410012480.db2.gz WDSSUCPZJJVPAZ-CYBMUJFWSA-N 1 2 320.393 1.910 20 30 DDEDLO CN1CCOC[C@@H]1C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000329011313 410051487 /nfs/dbraw/zinc/05/14/87/410051487.db2.gz DQANVMZGCYGUON-MRXNPFEDSA-N 1 2 319.405 1.437 20 30 DDEDLO CC(C)n1ncnc1CNC(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000329011841 410052034 /nfs/dbraw/zinc/05/20/34/410052034.db2.gz OUALWMLRUUHWLC-CQSZACIVSA-N 1 2 321.425 1.959 20 30 DDEDLO CC(C)n1ncnc1CNC(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000329011841 410052040 /nfs/dbraw/zinc/05/20/40/410052040.db2.gz OUALWMLRUUHWLC-CQSZACIVSA-N 1 2 321.425 1.959 20 30 DDEDLO CS(=O)(=O)C1(C(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)CCCC1 ZINC000329175993 410149195 /nfs/dbraw/zinc/14/91/95/410149195.db2.gz MQLHOCROJZQLSP-JTQLQIEISA-N 1 2 311.407 1.581 20 30 DDEDLO CS(=O)(=O)C1(C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)CCCC1 ZINC000329175993 410149202 /nfs/dbraw/zinc/14/92/02/410149202.db2.gz MQLHOCROJZQLSP-JTQLQIEISA-N 1 2 311.407 1.581 20 30 DDEDLO O=C(NCc1nncn1C1CC1)C1([NH+]2CCOCC2)CCC1 ZINC000329097100 410100868 /nfs/dbraw/zinc/10/08/68/410100868.db2.gz LLLXXSHLADYXLZ-UHFFFAOYSA-N 1 2 305.382 1.324 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CCC[C@H](CO)C2)c(C#N)c1C ZINC000298083780 410104135 /nfs/dbraw/zinc/10/41/35/410104135.db2.gz NHLIUNCDANIIFW-AAEUAGOBSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CCC[C@H](CO)C2)c(C#N)c1C ZINC000298083780 410104140 /nfs/dbraw/zinc/10/41/40/410104140.db2.gz NHLIUNCDANIIFW-AAEUAGOBSA-N 1 2 305.378 1.799 20 30 DDEDLO CC(C)(CNC(=O)NCc1nnc(C2CC2)o1)[NH+]1CCOCC1 ZINC000329363469 410260448 /nfs/dbraw/zinc/26/04/48/410260448.db2.gz JFODWXAXYGVJJO-UHFFFAOYSA-N 1 2 323.397 1.061 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@@H]([NH+]=C([O-])N1CCC(O)CC1)C2 ZINC000329310884 410226993 /nfs/dbraw/zinc/22/69/93/410226993.db2.gz ZOEOLANUDPHDRA-GFCCVEGCSA-N 1 2 320.437 1.866 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCN2CCOC[C@H]2C1 ZINC000329429781 410292485 /nfs/dbraw/zinc/29/24/85/410292485.db2.gz KBVMWAHVPQPOHP-UKRRQHHQSA-N 1 2 314.389 1.551 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCN2CCOC[C@H]2C1 ZINC000329429781 410292491 /nfs/dbraw/zinc/29/24/91/410292491.db2.gz KBVMWAHVPQPOHP-UKRRQHHQSA-N 1 2 314.389 1.551 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)CSc1ccc(C#N)cc1 ZINC000355081629 410299496 /nfs/dbraw/zinc/29/94/96/410299496.db2.gz PHJNPDUAMPTKSI-ZDUSSCGKSA-N 1 2 319.430 1.487 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)CSc1ccc(C#N)cc1 ZINC000355081629 410299504 /nfs/dbraw/zinc/29/95/04/410299504.db2.gz PHJNPDUAMPTKSI-ZDUSSCGKSA-N 1 2 319.430 1.487 20 30 DDEDLO CCC1(CNC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)CCOCC1 ZINC000329609711 410380659 /nfs/dbraw/zinc/38/06/59/410380659.db2.gz NMWMBUMDCMEIPU-UONOGXRCSA-N 1 2 311.426 1.122 20 30 DDEDLO CCC1(CNC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)CCOCC1 ZINC000329609711 410380665 /nfs/dbraw/zinc/38/06/65/410380665.db2.gz NMWMBUMDCMEIPU-UONOGXRCSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCOC4(CCC4)C3)C[C@@H]21 ZINC000329650198 410398268 /nfs/dbraw/zinc/39/82/68/410398268.db2.gz JWQAAYMAFGSFQJ-HZSPNIEDSA-N 1 2 309.410 1.017 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCOC4(CCC4)C3)C[C@@H]21 ZINC000329650198 410398273 /nfs/dbraw/zinc/39/82/73/410398273.db2.gz JWQAAYMAFGSFQJ-HZSPNIEDSA-N 1 2 309.410 1.017 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC000333507754 410577104 /nfs/dbraw/zinc/57/71/04/410577104.db2.gz DMVDEZGBJMESST-MRXNPFEDSA-N 1 2 304.415 1.959 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC000333507754 410577107 /nfs/dbraw/zinc/57/71/07/410577107.db2.gz DMVDEZGBJMESST-MRXNPFEDSA-N 1 2 304.415 1.959 20 30 DDEDLO C=CC[N@@H+](Cc1c(C)cc(OC)nc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000359241225 410579170 /nfs/dbraw/zinc/57/91/70/410579170.db2.gz UTJZJPFWKXXRGE-CQSZACIVSA-N 1 2 324.446 1.882 20 30 DDEDLO C=CC[N@H+](Cc1c(C)cc(OC)nc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000359241225 410579175 /nfs/dbraw/zinc/57/91/75/410579175.db2.gz UTJZJPFWKXXRGE-CQSZACIVSA-N 1 2 324.446 1.882 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCc1[nH+]ccn1Cc1ccccc1 ZINC000352293214 410602806 /nfs/dbraw/zinc/60/28/06/410602806.db2.gz LXFTXYJQIVLKJK-SFHVURJKSA-N 1 2 313.401 1.917 20 30 DDEDLO CC1(C)CC[C@@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000359026885 410546652 /nfs/dbraw/zinc/54/66/52/410546652.db2.gz BFBCFTZNYHXWHG-OAHLLOKOSA-N 1 2 307.438 1.887 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000359117994 410559679 /nfs/dbraw/zinc/55/96/79/410559679.db2.gz OKGAJLSJQUCERY-UHFFFAOYSA-N 1 2 310.301 1.169 20 30 DDEDLO N#Cc1c(N2CCN(c3cccc[nH+]3)CC2)nc2ccccn12 ZINC000301179531 410672462 /nfs/dbraw/zinc/67/24/62/410672462.db2.gz YMCCOXFITNFSSK-UHFFFAOYSA-N 1 2 304.357 1.928 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NS(=O)(=O)c1ccc(C#N)cc1)C1CC1 ZINC000352304390 410612720 /nfs/dbraw/zinc/61/27/20/410612720.db2.gz YKQPRSFVDNTMLJ-AWEZNQCLSA-N 1 2 316.386 1.721 20 30 DDEDLO N#CC1(F)CCN(C(=O)[C@@H]2COCC[N@@H+]2CC2CCC2)CC1 ZINC000359355964 410620865 /nfs/dbraw/zinc/62/08/65/410620865.db2.gz BUSGHZIZPLNLDF-AWEZNQCLSA-N 1 2 309.385 1.342 20 30 DDEDLO N#CC1(F)CCN(C(=O)[C@@H]2COCC[N@H+]2CC2CCC2)CC1 ZINC000359355964 410620869 /nfs/dbraw/zinc/62/08/69/410620869.db2.gz BUSGHZIZPLNLDF-AWEZNQCLSA-N 1 2 309.385 1.342 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)C[C@@H](CC#N)c2ccccc2)C1 ZINC000355979288 410758952 /nfs/dbraw/zinc/75/89/52/410758952.db2.gz DDMHGCLNOOONNY-IAGOWNOFSA-N 1 2 314.433 1.436 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)C[C@@H](CC#N)c2ccccc2)C1 ZINC000355979288 410758956 /nfs/dbraw/zinc/75/89/56/410758956.db2.gz DDMHGCLNOOONNY-IAGOWNOFSA-N 1 2 314.433 1.436 20 30 DDEDLO N#Cc1ccnc(N2CC[C@@H](Oc3cc[nH+]cc3)C2)c1[N+](=O)[O-] ZINC000301657551 410763523 /nfs/dbraw/zinc/76/35/23/410763523.db2.gz LOHORMAMNYQOKE-CYBMUJFWSA-N 1 2 311.301 1.914 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCOC[C@@H]1[C@H]1CCCC[C@H]1O ZINC000330571204 410783707 /nfs/dbraw/zinc/78/37/07/410783707.db2.gz MSMYOMJRORMJKT-BZUAXINKSA-N 1 2 323.437 1.000 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCOC[C@@H]1[C@H]1CCCC[C@H]1O ZINC000330571204 410783711 /nfs/dbraw/zinc/78/37/11/410783711.db2.gz MSMYOMJRORMJKT-BZUAXINKSA-N 1 2 323.437 1.000 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@@H](NC(=O)CSCC#N)C2 ZINC000330768046 410890803 /nfs/dbraw/zinc/89/08/03/410890803.db2.gz XODMWEAXRJUPQV-LLVKDONJSA-N 1 2 306.435 1.868 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)N[C@@]1(C#N)CCC[C@H](C)C1 ZINC000330790464 410893177 /nfs/dbraw/zinc/89/31/77/410893177.db2.gz BZHSZLOQNXSFGS-BBRMVZONSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)N[C@@]1(C#N)CCC[C@H](C)C1 ZINC000330790464 410893186 /nfs/dbraw/zinc/89/31/86/410893186.db2.gz BZHSZLOQNXSFGS-BBRMVZONSA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@H]1[N@H+](CC(=O)NCc2cccc(C#N)c2)CCOC1(C)C ZINC000331067688 410928532 /nfs/dbraw/zinc/92/85/32/410928532.db2.gz ZGDNXSWOXCFHGY-CYBMUJFWSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@H]1[N@@H+](CC(=O)NCc2cccc(C#N)c2)CCOC1(C)C ZINC000331067688 410928541 /nfs/dbraw/zinc/92/85/41/410928541.db2.gz ZGDNXSWOXCFHGY-CYBMUJFWSA-N 1 2 301.390 1.674 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC1(O)CCC1 ZINC000353523014 411044976 /nfs/dbraw/zinc/04/49/76/411044976.db2.gz PWZMMYKGKFEANK-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC1(O)CCC1 ZINC000353523014 411044980 /nfs/dbraw/zinc/04/49/80/411044980.db2.gz PWZMMYKGKFEANK-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H](F)C[C@@H]2CNc2ccc(C#N)cn2)cn1 ZINC000341738397 411052576 /nfs/dbraw/zinc/05/25/76/411052576.db2.gz NFLMHUWPDUTVPJ-HUUCEWRRSA-N 1 2 314.368 1.711 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H](F)C[C@@H]2CNc2ccc(C#N)cn2)cn1 ZINC000341738397 411052582 /nfs/dbraw/zinc/05/25/82/411052582.db2.gz NFLMHUWPDUTVPJ-HUUCEWRRSA-N 1 2 314.368 1.711 20 30 DDEDLO CN(C[C@H]1OCC[N@H+](C)[C@H]1c1ccccc1)c1cnc(C#N)cn1 ZINC000344294016 411074453 /nfs/dbraw/zinc/07/44/53/411074453.db2.gz BLTHMILAPYINIG-AEFFLSMTSA-N 1 2 323.400 1.856 20 30 DDEDLO CN(C[C@H]1OCC[N@@H+](C)[C@H]1c1ccccc1)c1cnc(C#N)cn1 ZINC000344294016 411074455 /nfs/dbraw/zinc/07/44/55/411074455.db2.gz BLTHMILAPYINIG-AEFFLSMTSA-N 1 2 323.400 1.856 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)[C@H]3CCSC3)CC2)cc1 ZINC000353591540 411075939 /nfs/dbraw/zinc/07/59/39/411075939.db2.gz PADRFSOGTLXDPM-INIZCTEOSA-N 1 2 315.442 1.956 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@H](c2noc(C)n2)C1 ZINC000360261369 411116684 /nfs/dbraw/zinc/11/66/84/411116684.db2.gz IBCAVJOZKUQQDS-AWEZNQCLSA-N 1 2 306.410 1.982 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@H](c2noc(C)n2)C1 ZINC000360261369 411116685 /nfs/dbraw/zinc/11/66/85/411116685.db2.gz IBCAVJOZKUQQDS-AWEZNQCLSA-N 1 2 306.410 1.982 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000360287091 411121756 /nfs/dbraw/zinc/12/17/56/411121756.db2.gz SEMPTUDJAYBRCY-GOEBONIOSA-N 1 2 314.389 1.075 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000360287091 411121758 /nfs/dbraw/zinc/12/17/58/411121758.db2.gz SEMPTUDJAYBRCY-GOEBONIOSA-N 1 2 314.389 1.075 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+](CC(N)=O)C(C)(C)C)c(C#N)c1C ZINC000131418765 196166648 /nfs/dbraw/zinc/16/66/48/196166648.db2.gz QYQLVJPIFPQZKT-UHFFFAOYSA-N 1 2 322.434 1.761 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+](CC(N)=O)C(C)(C)C)c(C#N)c1C ZINC000131418765 196166650 /nfs/dbraw/zinc/16/66/50/196166650.db2.gz QYQLVJPIFPQZKT-UHFFFAOYSA-N 1 2 322.434 1.761 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+]([C@H]2CCCCNC2=O)CC1 ZINC000373369782 418424521 /nfs/dbraw/zinc/42/45/21/418424521.db2.gz MUAWYZAKRKCWKM-CABCVRRESA-N 1 2 307.438 1.402 20 30 DDEDLO N#C[C@@H]1N(C(=O)CCc2c[nH+]c[nH]2)CCC[C@@]12CCCCO2 ZINC000373712106 418450520 /nfs/dbraw/zinc/45/05/20/418450520.db2.gz CNEQOQYDYPVEJJ-HOCLYGCPSA-N 1 2 302.378 1.796 20 30 DDEDLO N#C[C@@H]1N(C(=O)CCc2c[nH]c[nH+]2)CCC[C@@]12CCCCO2 ZINC000373712106 418450522 /nfs/dbraw/zinc/45/05/22/418450522.db2.gz CNEQOQYDYPVEJJ-HOCLYGCPSA-N 1 2 302.378 1.796 20 30 DDEDLO CC[C@H](C)NC(=O)[C@@H](C)O[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000178147127 221858634 /nfs/dbraw/zinc/85/86/34/221858634.db2.gz FOHVLPVSLQXYJC-NWDGAFQWSA-N 1 2 307.394 1.830 20 30 DDEDLO O=C1CO[C@H]2CC[N@@H+](CC#Cc3ccc(Cl)cc3)C[C@H]2N1 ZINC000366795642 418519279 /nfs/dbraw/zinc/51/92/79/418519279.db2.gz IBYQCHSDCDIXIO-CABCVRRESA-N 1 2 304.777 1.281 20 30 DDEDLO O=C1CO[C@H]2CC[N@H+](CC#Cc3ccc(Cl)cc3)C[C@H]2N1 ZINC000366795642 418519284 /nfs/dbraw/zinc/51/92/84/418519284.db2.gz IBYQCHSDCDIXIO-CABCVRRESA-N 1 2 304.777 1.281 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C#N)cc2)C1 ZINC000374334427 418519396 /nfs/dbraw/zinc/51/93/96/418519396.db2.gz VDXYYPSKMQYHKX-CYBMUJFWSA-N 1 2 323.356 1.246 20 30 DDEDLO COC(=O)[C@@]1(C(F)(F)F)CC[N@H+](C[C@@H](C#N)CCC#N)C1 ZINC000367233077 418574854 /nfs/dbraw/zinc/57/48/54/418574854.db2.gz ZCGNIMOETHGDDS-ZYHUDNBSSA-N 1 2 303.284 1.857 20 30 DDEDLO COC(=O)[C@@]1(C(F)(F)F)CC[N@@H+](C[C@@H](C#N)CCC#N)C1 ZINC000367233077 418574856 /nfs/dbraw/zinc/57/48/56/418574856.db2.gz ZCGNIMOETHGDDS-ZYHUDNBSSA-N 1 2 303.284 1.857 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@@H+]2CCOCC2(C)C)c1C#N ZINC000297404114 227119496 /nfs/dbraw/zinc/11/94/96/227119496.db2.gz KROFRDQKTUTJAH-UHFFFAOYSA-N 1 2 323.422 1.556 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@H+]2CCOCC2(C)C)c1C#N ZINC000297404114 227119505 /nfs/dbraw/zinc/11/95/05/227119505.db2.gz KROFRDQKTUTJAH-UHFFFAOYSA-N 1 2 323.422 1.556 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1ccc(C(=O)N(CC)CC)cc1 ZINC000192230976 222123839 /nfs/dbraw/zinc/12/38/39/222123839.db2.gz QESYUTHUPLLHKU-UHFFFAOYSA-N 1 2 301.390 1.672 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1ccc(C(=O)N(CC)CC)cc1 ZINC000192230976 222123842 /nfs/dbraw/zinc/12/38/42/222123842.db2.gz QESYUTHUPLLHKU-UHFFFAOYSA-N 1 2 301.390 1.672 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCN2CCO[C@H](C)C2)c1 ZINC000192723463 222135029 /nfs/dbraw/zinc/13/50/29/222135029.db2.gz KQZPNSIOIAIMEM-OAHLLOKOSA-N 1 2 315.417 1.259 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCN2CCO[C@H](C)C2)c1 ZINC000192723463 222135031 /nfs/dbraw/zinc/13/50/31/222135031.db2.gz KQZPNSIOIAIMEM-OAHLLOKOSA-N 1 2 315.417 1.259 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)CC[N@@H+]2CCO[C@H](C)C2)c1 ZINC000192723463 222135034 /nfs/dbraw/zinc/13/50/34/222135034.db2.gz KQZPNSIOIAIMEM-OAHLLOKOSA-N 1 2 315.417 1.259 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)CC[N@H+]2CCO[C@H](C)C2)c1 ZINC000192723463 222135038 /nfs/dbraw/zinc/13/50/38/222135038.db2.gz KQZPNSIOIAIMEM-OAHLLOKOSA-N 1 2 315.417 1.259 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@H]2C[N@@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000248771777 222237189 /nfs/dbraw/zinc/23/71/89/222237189.db2.gz AJVXDNPXOCMZOK-QOOGKBOQSA-N 1 2 319.405 1.068 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@H]2C[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000248771777 222237190 /nfs/dbraw/zinc/23/71/90/222237190.db2.gz AJVXDNPXOCMZOK-QOOGKBOQSA-N 1 2 319.405 1.068 20 30 DDEDLO COc1ccc(OCCO[NH+]=C(N)C(C)(C)NC(C)=O)cc1 ZINC000264840785 222336798 /nfs/dbraw/zinc/33/67/98/222336798.db2.gz WRJHIZJIXKMRII-UHFFFAOYSA-N 1 2 309.366 1.278 20 30 DDEDLO [O-]C(NC[C@H]1CCc2[nH+]ccn2C1)=[NH+]c1ccc(C2CC2)nn1 ZINC000329805234 418609605 /nfs/dbraw/zinc/60/96/05/418609605.db2.gz MTVZSKWQQZDALL-LLVKDONJSA-N 1 2 312.377 2.139 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCC[C@@H](/[NH+]=C/c2ccc(O)c(O)c2O)C1 ZINC000265115429 222347001 /nfs/dbraw/zinc/34/70/01/222347001.db2.gz FPRHIXWZFXNIJK-YHZDASRISA-N 1 2 306.362 1.869 20 30 DDEDLO COCCCNC(=O)[C@@H](C)O[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000267472103 222387469 /nfs/dbraw/zinc/38/74/69/222387469.db2.gz VZIRONYKWZSKOO-GFCCVEGCSA-N 1 2 323.393 1.068 20 30 DDEDLO CC#CCCNC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000361287790 418618731 /nfs/dbraw/zinc/61/87/31/418618731.db2.gz KLGZJUQVOOEZQB-UHFFFAOYSA-N 1 2 302.378 1.131 20 30 DDEDLO Cc1cn2cc(NC(=O)Nc3ccn(CCC#N)n3)ccc2[nH+]1 ZINC000347520009 418638661 /nfs/dbraw/zinc/63/86/61/418638661.db2.gz OQTXBYUXIWSGPM-UHFFFAOYSA-N 1 2 309.333 2.397 20 30 DDEDLO C#CCCS(=O)(=O)N(C)C1CCN(c2cccc[nH+]2)CC1 ZINC000377378306 418709905 /nfs/dbraw/zinc/70/99/05/418709905.db2.gz KEEZEGFCPNPLPZ-UHFFFAOYSA-N 1 2 307.419 1.335 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](C)c1nc(-c2ccc(F)cc2)no1 ZINC000368322276 418719329 /nfs/dbraw/zinc/71/93/29/418719329.db2.gz AUDJTPPXFPKMCL-JTQLQIEISA-N 1 2 304.325 1.829 20 30 DDEDLO C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[NH+]1CC(O)(C2CC2)C1 ZINC000375709531 418675475 /nfs/dbraw/zinc/67/54/75/418675475.db2.gz ANSKXOATEWXUDL-XOYHFGRZSA-N 1 2 319.449 1.762 20 30 DDEDLO Cc1nc(C(=O)N2CC[NH2+][C@@H](c3cccnc3)C2)ccc1C#N ZINC000368524786 418721295 /nfs/dbraw/zinc/72/12/95/418721295.db2.gz BUXDMLYICLRZAC-MRXNPFEDSA-N 1 2 307.357 1.443 20 30 DDEDLO C#CCCCS(=O)(=O)N1CCCN(c2cccc[nH+]2)CC1 ZINC000376329312 418695904 /nfs/dbraw/zinc/69/59/04/418695904.db2.gz ZUMDKQFTUGLFRD-UHFFFAOYSA-N 1 2 307.419 1.337 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1ccnc(-n2cc[nH+]c2)c1 ZINC000376894916 418702424 /nfs/dbraw/zinc/70/24/24/418702424.db2.gz JICVAGVXPBNOQI-CYBMUJFWSA-N 1 2 301.350 1.004 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC000370447696 418744630 /nfs/dbraw/zinc/74/46/30/418744630.db2.gz PQWNWNUSRVCUPE-UHFFFAOYSA-N 1 2 307.438 1.239 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC000370447696 418744631 /nfs/dbraw/zinc/74/46/31/418744631.db2.gz PQWNWNUSRVCUPE-UHFFFAOYSA-N 1 2 307.438 1.239 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)CC[N@@H+]1CCOc1ccccc1C#N ZINC000378487113 418724154 /nfs/dbraw/zinc/72/41/54/418724154.db2.gz YKFTXTNELJCAGX-LSDHHAIUSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)CC[N@H+]1CCOc1ccccc1C#N ZINC000378487113 418724157 /nfs/dbraw/zinc/72/41/57/418724157.db2.gz YKFTXTNELJCAGX-LSDHHAIUSA-N 1 2 318.373 1.589 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1ccc(C#N)cc1)CC2 ZINC000371703054 418810953 /nfs/dbraw/zinc/81/09/53/418810953.db2.gz ILPZPPANULQBBI-UHFFFAOYSA-N 1 2 302.359 1.268 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+](C2(C(N)=O)CCCC2)CC1 ZINC000372806831 418904489 /nfs/dbraw/zinc/90/44/89/418904489.db2.gz SJKPGUIJSFLZCX-CQSZACIVSA-N 1 2 307.438 1.531 20 30 DDEDLO C=CCCOCCNC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000411328846 418893556 /nfs/dbraw/zinc/89/35/56/418893556.db2.gz ULBGHIUSWUVUHX-UHFFFAOYSA-N 1 2 305.378 1.654 20 30 DDEDLO CC(=O)N[C@H]1CC[N@H+](CC(=O)N(CCC#N)c2ccccc2)C1 ZINC000420992796 419429285 /nfs/dbraw/zinc/42/92/85/419429285.db2.gz YLIRLHGEYOZRCF-HNNXBMFYSA-N 1 2 314.389 1.144 20 30 DDEDLO CC(=O)N[C@H]1CC[N@@H+](CC(=O)N(CCC#N)c2ccccc2)C1 ZINC000420992796 419429296 /nfs/dbraw/zinc/42/92/96/419429296.db2.gz YLIRLHGEYOZRCF-HNNXBMFYSA-N 1 2 314.389 1.144 20 30 DDEDLO CC(C)(C)[C@H](C#N)NC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000427483965 419670134 /nfs/dbraw/zinc/67/01/34/419670134.db2.gz LYKVTTVKZUOUSO-HNNXBMFYSA-N 1 2 316.405 1.582 20 30 DDEDLO CC1CCC2(CC(=O)N(C[C@H](O)C[N@H+](C)CCC#N)C2=O)CC1 ZINC000414125243 419809981 /nfs/dbraw/zinc/80/99/81/419809981.db2.gz DATYCXBICIUZGF-XOYHFGRZSA-N 1 2 321.421 1.148 20 30 DDEDLO CC1CCC2(CC(=O)N(C[C@H](O)C[N@@H+](C)CCC#N)C2=O)CC1 ZINC000414125243 419809991 /nfs/dbraw/zinc/80/99/91/419809991.db2.gz DATYCXBICIUZGF-XOYHFGRZSA-N 1 2 321.421 1.148 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)c1ccc(C#N)cc1 ZINC000431587462 229043970 /nfs/dbraw/zinc/04/39/70/229043970.db2.gz WLDDFCMGFKCLEY-UHFFFAOYSA-N 1 2 303.343 1.440 20 30 DDEDLO Cc1cc(NC(=O)NCc2[nH+]ccn2C)c(C#N)cc1N(C)C ZINC000436483141 420331387 /nfs/dbraw/zinc/33/13/87/420331387.db2.gz BZSXSGNPOFZVPY-UHFFFAOYSA-N 1 2 312.377 1.988 20 30 DDEDLO COc1ccccc1[C@H](CNS(=O)(=O)[C@H](C)C#N)[NH+](C)C ZINC000416366531 420345645 /nfs/dbraw/zinc/34/56/45/420345645.db2.gz CVQVWUVWRVMPHN-YPMHNXCESA-N 1 2 311.407 1.129 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CCN(C(=O)CC)C1 ZINC000425507563 420403690 /nfs/dbraw/zinc/40/36/90/420403690.db2.gz GHUZCVNGZDLLHM-MRXNPFEDSA-N 1 2 318.417 1.667 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CCN(C(=O)CC)C1 ZINC000425507563 420403693 /nfs/dbraw/zinc/40/36/93/420403693.db2.gz GHUZCVNGZDLLHM-MRXNPFEDSA-N 1 2 318.417 1.667 20 30 DDEDLO C=CCCCN(C)C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000438300161 420449778 /nfs/dbraw/zinc/44/97/78/420449778.db2.gz CFXKSHFVPIEVNI-UHFFFAOYSA-N 1 2 321.425 1.645 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[NH2+]C(C)(C)C)cc1C#N ZINC000439490681 420518047 /nfs/dbraw/zinc/51/80/47/420518047.db2.gz HOSKJAIGQYDIIK-UHFFFAOYSA-N 1 2 308.407 1.499 20 30 DDEDLO CCn1cc[nH+]c1/C=C/C(=O)Nc1nc2n(c1C#N)CCS2 ZINC000492448108 420562318 /nfs/dbraw/zinc/56/23/18/420562318.db2.gz LXCNWIQJRXPATM-ONEGZZNKSA-N 1 2 314.374 1.729 20 30 DDEDLO CN(C)C(=O)COC[C@H]1C[N@H+](CC2(C#N)CCC2)CC(C)(C)O1 ZINC000450939778 420564276 /nfs/dbraw/zinc/56/42/76/420564276.db2.gz KUCUFOGSFNALKH-CQSZACIVSA-N 1 2 323.437 1.264 20 30 DDEDLO CN(C)C(=O)COC[C@H]1C[N@@H+](CC2(C#N)CCC2)CC(C)(C)O1 ZINC000450939778 420564281 /nfs/dbraw/zinc/56/42/81/420564281.db2.gz KUCUFOGSFNALKH-CQSZACIVSA-N 1 2 323.437 1.264 20 30 DDEDLO C=CC(C)(C)CNC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000452784855 420661270 /nfs/dbraw/zinc/66/12/70/420661270.db2.gz QHOADDHWVCGIGQ-CQSZACIVSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)CNC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000452784855 420661273 /nfs/dbraw/zinc/66/12/73/420661273.db2.gz QHOADDHWVCGIGQ-CQSZACIVSA-N 1 2 320.437 1.812 20 30 DDEDLO N#Cc1ccncc1N1CCN(C(=O)C=Cc2c[nH]c[nH+]2)CC1 ZINC000492845801 420685217 /nfs/dbraw/zinc/68/52/17/420685217.db2.gz ZHOQYSBKFCXBCO-OWOJBTEDSA-N 1 2 308.345 1.038 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1cccc(Cl)c1C#N ZINC000443073722 420741493 /nfs/dbraw/zinc/74/14/93/420741493.db2.gz WOFNSNKVWUKBBD-SNVBAGLBSA-N 1 2 313.810 1.536 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cccc(Cl)c1C#N ZINC000443073722 420741495 /nfs/dbraw/zinc/74/14/95/420741495.db2.gz WOFNSNKVWUKBBD-SNVBAGLBSA-N 1 2 313.810 1.536 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(C#N)c(OC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000442801260 420718356 /nfs/dbraw/zinc/71/83/56/420718356.db2.gz MBTHBOGNKWHOLO-OAHLLOKOSA-N 1 2 320.414 1.742 20 30 DDEDLO C=CC[N@H+](Cc1ccc(C#N)c(OC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000442801260 420718359 /nfs/dbraw/zinc/71/83/59/420718359.db2.gz MBTHBOGNKWHOLO-OAHLLOKOSA-N 1 2 320.414 1.742 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000448592240 420867390 /nfs/dbraw/zinc/86/73/90/420867390.db2.gz MZPDOMHWGFHEFH-RDTXWAMCSA-N 1 2 313.401 1.685 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)C2CN(C(=O)OC(C)(C)C)C2)C1=O ZINC000493578382 420885553 /nfs/dbraw/zinc/88/55/53/420885553.db2.gz GMKCKNREKGIXRF-CYBMUJFWSA-N 1 2 309.410 1.324 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)C2CN(C(=O)OC(C)(C)C)C2)C1=O ZINC000493578382 420885556 /nfs/dbraw/zinc/88/55/56/420885556.db2.gz GMKCKNREKGIXRF-CYBMUJFWSA-N 1 2 309.410 1.324 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cnc(C#N)c(Cl)c1 ZINC000455760176 421060257 /nfs/dbraw/zinc/06/02/57/421060257.db2.gz TZDQSQSMBPKQKV-JTQLQIEISA-N 1 2 323.784 1.449 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](CCn3cncn3)CC2)CCCC1 ZINC000449911286 421110084 /nfs/dbraw/zinc/11/00/84/421110084.db2.gz AJMVLHGBIYYQIP-UHFFFAOYSA-N 1 2 317.437 1.559 20 30 DDEDLO N#Cc1c(F)cccc1C(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000456205515 421118453 /nfs/dbraw/zinc/11/84/53/421118453.db2.gz OODYITDJULSSFU-TXEJJXNPSA-N 1 2 303.337 1.290 20 30 DDEDLO N#Cc1c(F)cccc1C(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000456205515 421118455 /nfs/dbraw/zinc/11/84/55/421118455.db2.gz OODYITDJULSSFU-TXEJJXNPSA-N 1 2 303.337 1.290 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cnc(C#N)c(Cl)c1 ZINC000455797297 421063872 /nfs/dbraw/zinc/06/38/72/421063872.db2.gz VSUXUIMBMQYZTL-JTQLQIEISA-N 1 2 323.784 1.449 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)Nc1cnc(C#N)c(Cl)c1 ZINC000455797297 421063876 /nfs/dbraw/zinc/06/38/76/421063876.db2.gz VSUXUIMBMQYZTL-JTQLQIEISA-N 1 2 323.784 1.449 20 30 DDEDLO C=CCOc1ccc(CC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)cc1 ZINC000450130318 421147360 /nfs/dbraw/zinc/14/73/60/421147360.db2.gz LJPMHWJLENIFEV-IAGOWNOFSA-N 1 2 316.401 1.335 20 30 DDEDLO C=CCOc1ccc(CC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)cc1 ZINC000450130318 421147363 /nfs/dbraw/zinc/14/73/63/421147363.db2.gz LJPMHWJLENIFEV-IAGOWNOFSA-N 1 2 316.401 1.335 20 30 DDEDLO C#CCNC(=O)C1CC[NH+]([C@H](C(=O)OC)c2ccsc2)CC1 ZINC000491142596 421195090 /nfs/dbraw/zinc/19/50/90/421195090.db2.gz DAJHAVNTFNJUEZ-AWEZNQCLSA-N 1 2 320.414 1.424 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1ccccc1OC(F)F ZINC000563219972 421474922 /nfs/dbraw/zinc/47/49/22/421474922.db2.gz CSQHGIYVRAABME-OAHLLOKOSA-N 1 2 311.332 1.791 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCc3nc(C(C)(C)C)ncc3C2)C1=O ZINC000528598513 421499731 /nfs/dbraw/zinc/49/97/31/421499731.db2.gz RDFFQNAFUITKCF-HNNXBMFYSA-N 1 2 314.433 1.919 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCc3nc(C(C)(C)C)ncc3C2)C1=O ZINC000528598513 421499733 /nfs/dbraw/zinc/49/97/33/421499733.db2.gz RDFFQNAFUITKCF-HNNXBMFYSA-N 1 2 314.433 1.919 20 30 DDEDLO CNC(=O)NC[C@@H]1C[N@H+](CCC2(C#N)CCCCC2)CCO1 ZINC000528620035 421502931 /nfs/dbraw/zinc/50/29/31/421502931.db2.gz KHMYVGJGUVMSOK-CQSZACIVSA-N 1 2 308.426 1.480 20 30 DDEDLO CNC(=O)NC[C@@H]1C[N@@H+](CCC2(C#N)CCCCC2)CCO1 ZINC000528620035 421502934 /nfs/dbraw/zinc/50/29/34/421502934.db2.gz KHMYVGJGUVMSOK-CQSZACIVSA-N 1 2 308.426 1.480 20 30 DDEDLO N#C[C@@H](CO)NC(=O)[C@H]1CCC[N@@H+]1Cc1ccc(Cl)cc1 ZINC000529470218 421538087 /nfs/dbraw/zinc/53/80/87/421538087.db2.gz VIPGYDGTTTUERX-UONOGXRCSA-N 1 2 307.781 1.305 20 30 DDEDLO N#C[C@@H](CO)NC(=O)[C@H]1CCC[N@H+]1Cc1ccc(Cl)cc1 ZINC000529470218 421538092 /nfs/dbraw/zinc/53/80/92/421538092.db2.gz VIPGYDGTTTUERX-UONOGXRCSA-N 1 2 307.781 1.305 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NC[C@H]3CCCN(CC#N)C3)ccn12 ZINC000569116381 421624873 /nfs/dbraw/zinc/62/48/73/421624873.db2.gz GYLYYZHCYGUGTR-CQSZACIVSA-N 1 2 311.389 1.608 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000556643854 421718554 /nfs/dbraw/zinc/71/85/54/421718554.db2.gz DUGMKDAMBCCDBG-RDBSUJKOSA-N 1 2 319.409 1.141 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccnc3cccnc32)CC1 ZINC000558893726 421826917 /nfs/dbraw/zinc/82/69/17/421826917.db2.gz ZTVSVGZRAVYUIQ-UHFFFAOYSA-N 1 2 311.389 1.054 20 30 DDEDLO C[C@H](Cn1ncc2ccccc21)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000573210138 421932210 /nfs/dbraw/zinc/93/22/10/421932210.db2.gz CJGUKGYYKDKRLR-CXAGYDPISA-N 1 2 313.405 1.632 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[C@@H]([N@H+](C)Cc2ncccn2)C1 ZINC000573536646 421976694 /nfs/dbraw/zinc/97/66/94/421976694.db2.gz NUWCZVGVFQKFEF-CQSZACIVSA-N 1 2 324.388 1.033 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000573536646 421976699 /nfs/dbraw/zinc/97/66/99/421976699.db2.gz NUWCZVGVFQKFEF-CQSZACIVSA-N 1 2 324.388 1.033 20 30 DDEDLO Cc1csc(NC(=O)NCC[N@H+]2CCOC[C@@H]2C)c1C#N ZINC000583507953 422175842 /nfs/dbraw/zinc/17/58/42/422175842.db2.gz VBNFXYVRROPJRV-NSHDSACASA-N 1 2 308.407 1.770 20 30 DDEDLO Cc1csc(NC(=O)NCC[N@@H+]2CCOC[C@@H]2C)c1C#N ZINC000583507953 422175849 /nfs/dbraw/zinc/17/58/49/422175849.db2.gz VBNFXYVRROPJRV-NSHDSACASA-N 1 2 308.407 1.770 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@@H+]1CCO[C@@H](CC)C1 ZINC000574498375 422119383 /nfs/dbraw/zinc/11/93/83/422119383.db2.gz RGUSAXRAHBJAMN-ZNMIVQPWSA-N 1 2 311.426 1.130 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@H+]1CCO[C@@H](CC)C1 ZINC000574498375 422119390 /nfs/dbraw/zinc/11/93/90/422119390.db2.gz RGUSAXRAHBJAMN-ZNMIVQPWSA-N 1 2 311.426 1.130 20 30 DDEDLO CSc1nc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c2ccccn21 ZINC000584117035 422265643 /nfs/dbraw/zinc/26/56/43/422265643.db2.gz SJVPISWCEFUVPJ-HNNXBMFYSA-N 1 2 317.418 1.630 20 30 DDEDLO Cc1nc(N)c(CNC(=O)[C@@H](C)Cc2ccc(C#N)cc2)c[nH+]1 ZINC000594357730 422345555 /nfs/dbraw/zinc/34/55/55/422345555.db2.gz MBKAXFQOKZENPQ-NSHDSACASA-N 1 2 309.373 1.734 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@@H]1c1ccc2c(c1)OCCO2 ZINC000576603639 422375819 /nfs/dbraw/zinc/37/58/19/422375819.db2.gz BGZLZKNAYGZVEB-CYBMUJFWSA-N 1 2 301.346 1.234 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@@H]1c1ccc2c(c1)OCCO2 ZINC000576603639 422375827 /nfs/dbraw/zinc/37/58/27/422375827.db2.gz BGZLZKNAYGZVEB-CYBMUJFWSA-N 1 2 301.346 1.234 20 30 DDEDLO C[C@@H](Oc1ccc2c(c1)CCC2)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000576879596 422379170 /nfs/dbraw/zinc/37/91/70/422379170.db2.gz OJWIINAISAZLIA-FZKQIMNGSA-N 1 2 315.417 1.903 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCCc1cn2c(cccc2C)[nH+]1 ZINC000645758261 423175720 /nfs/dbraw/zinc/17/57/20/423175720.db2.gz ONVXQFQRYUPVMT-CQSZACIVSA-N 1 2 302.378 1.421 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](CCOCCOC)CC2)CCC1 ZINC000652998260 423254022 /nfs/dbraw/zinc/25/40/22/423254022.db2.gz DMUZQPQQDVUPSB-UHFFFAOYSA-N 1 2 310.438 1.540 20 30 DDEDLO C=C(C)CN(Cc1cnn(C(C)C)c1)Cc1c[nH+]c(N)nc1N ZINC000639161851 423346698 /nfs/dbraw/zinc/34/66/98/423346698.db2.gz HULUTJDCMQSMAW-UHFFFAOYSA-N 1 2 315.425 1.657 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H](c3ccnc(N)n3)C2)nn1 ZINC000653648099 423564674 /nfs/dbraw/zinc/56/46/74/423564674.db2.gz CIIBEORZIRRCFW-ZDUSSCGKSA-N 1 2 313.409 1.606 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H](c3ccnc(N)n3)C2)nn1 ZINC000653648099 423564678 /nfs/dbraw/zinc/56/46/78/423564678.db2.gz CIIBEORZIRRCFW-ZDUSSCGKSA-N 1 2 313.409 1.606 20 30 DDEDLO C=CCCn1cc(C[N@H+](C)C[C@H](O)Cc2ccccc2)nn1 ZINC000653700068 423583197 /nfs/dbraw/zinc/58/31/97/423583197.db2.gz ORBSMOJGGVBITP-QGZVFWFLSA-N 1 2 300.406 1.890 20 30 DDEDLO C=CCCn1cc(C[N@@H+](C)C[C@H](O)Cc2ccccc2)nn1 ZINC000653700068 423583200 /nfs/dbraw/zinc/58/32/00/423583200.db2.gz ORBSMOJGGVBITP-QGZVFWFLSA-N 1 2 300.406 1.890 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCC(Cc2nnc(C(C)C)[nH]2)CC1 ZINC000639718275 423683625 /nfs/dbraw/zinc/68/36/25/423683625.db2.gz WUIFLTLGUXWLTK-UHFFFAOYSA-N 1 2 305.426 1.485 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCC(Cc2n[nH]c(C(C)C)n2)CC1 ZINC000639718275 423683627 /nfs/dbraw/zinc/68/36/27/423683627.db2.gz WUIFLTLGUXWLTK-UHFFFAOYSA-N 1 2 305.426 1.485 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CC[NH+](CCOc2ccccc2Cl)CC1 ZINC000665785593 423837909 /nfs/dbraw/zinc/83/79/09/423837909.db2.gz XLOPBIITDODWKC-CQSZACIVSA-N 1 2 324.808 1.410 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000645035376 424128695 /nfs/dbraw/zinc/12/86/95/424128695.db2.gz OWKZHWIBRBGRBA-ZNMIVQPWSA-N 1 2 304.394 1.821 20 30 DDEDLO C=CCOCCN1CCNC(=O)[C@H]1c1c(C)[nH+]c2ccccn21 ZINC000645087211 424149885 /nfs/dbraw/zinc/14/98/85/424149885.db2.gz CVUDKRWKMUPPSK-MRXNPFEDSA-N 1 2 314.389 1.318 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H](C)C(=O)NCc2ccco2)nn1 ZINC000657357184 424307702 /nfs/dbraw/zinc/30/77/02/424307702.db2.gz SMHPFUUMMLMULC-LBPRGKRZSA-N 1 2 303.366 1.242 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2cnn(CC(F)(F)F)c2)nn1 ZINC000657398571 424327563 /nfs/dbraw/zinc/32/75/63/424327563.db2.gz MSRXXRGRNVJKGU-UHFFFAOYSA-N 1 2 314.315 1.903 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355096170 266111449 /nfs/dbraw/zinc/11/14/49/266111449.db2.gz AKRFOHQMLHNCGV-CQSZACIVSA-N 1 2 315.421 1.332 20 30 DDEDLO CCOC[C@H]1C[N@H+](C[C@@H](O)COc2ccccc2C#N)CCO1 ZINC000528731861 267141953 /nfs/dbraw/zinc/14/19/53/267141953.db2.gz LBSPKDXTYBHERE-HZPDHXFCSA-N 1 2 320.389 1.035 20 30 DDEDLO CCOC[C@H]1C[N@@H+](C[C@@H](O)COc2ccccc2C#N)CCO1 ZINC000528731861 267141955 /nfs/dbraw/zinc/14/19/55/267141955.db2.gz LBSPKDXTYBHERE-HZPDHXFCSA-N 1 2 320.389 1.035 20 30 DDEDLO Cc1cc(C#N)cc(N2CC[NH+]([C@H]3CCCCNC3=O)CC2)n1 ZINC000376447493 267303113 /nfs/dbraw/zinc/30/31/13/267303113.db2.gz KEIRBMNGBNHAQG-HNNXBMFYSA-N 1 2 313.405 1.052 20 30 DDEDLO Cc1cc(C[NH+]2CCN(CC(=O)NC(C)C)CC2)ccc1C#N ZINC000362951215 267324302 /nfs/dbraw/zinc/32/43/02/267324302.db2.gz LLCJCXKFWDBLRB-UHFFFAOYSA-N 1 2 314.433 1.509 20 30 DDEDLO Cc1cc(CN2CC[NH+](CC(=O)NC(C)C)CC2)ccc1C#N ZINC000362951215 267324306 /nfs/dbraw/zinc/32/43/06/267324306.db2.gz LLCJCXKFWDBLRB-UHFFFAOYSA-N 1 2 314.433 1.509 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@@H+]2CCOC[C@@H]2[C@H]2CCCC2=O)cc1 ZINC000368937021 268213815 /nfs/dbraw/zinc/21/38/15/268213815.db2.gz DTZMICARXZVQIZ-JFIYKMOQSA-N 1 2 314.385 1.662 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@H+]2CCOC[C@@H]2[C@H]2CCCC2=O)cc1 ZINC000368937021 268213818 /nfs/dbraw/zinc/21/38/18/268213818.db2.gz DTZMICARXZVQIZ-JFIYKMOQSA-N 1 2 314.385 1.662 20 30 DDEDLO N#Cc1cccc(NC(=O)CN2CCN(Cc3[nH]cc[nH+]3)CC2)c1 ZINC000357751286 268266703 /nfs/dbraw/zinc/26/67/03/268266703.db2.gz HKFQBCLQQMJPRY-UHFFFAOYSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1cccc(CC(=O)NCCc2cn3c([nH+]2)CCCC3)c1 ZINC000530909832 268275778 /nfs/dbraw/zinc/27/57/78/268275778.db2.gz IJAUIZYDROFAMQ-UHFFFAOYSA-N 1 2 308.385 1.992 20 30 DDEDLO N#Cc1ncccc1NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000377965939 268327492 /nfs/dbraw/zinc/32/74/92/268327492.db2.gz FRJXQKYWOKDPQI-OAHLLOKOSA-N 1 2 304.419 1.573 20 30 DDEDLO CN1C[C@H]2C[N@H+](CC#Cc3ccc(Cl)cc3)CCN2C1=O ZINC000365850756 277206264 /nfs/dbraw/zinc/20/62/64/277206264.db2.gz TUZOMNTZDCAOMX-HNNXBMFYSA-N 1 2 303.793 1.743 20 30 DDEDLO CN1C[C@H]2C[N@@H+](CC#Cc3ccc(Cl)cc3)CCN2C1=O ZINC000365850756 277206266 /nfs/dbraw/zinc/20/62/66/277206266.db2.gz TUZOMNTZDCAOMX-HNNXBMFYSA-N 1 2 303.793 1.743 20 30 DDEDLO Cc1cc2ncc(C(=O)N[C@H]3CCn4cc[nH+]c4C3)c(C)n2n1 ZINC000330316200 278763985 /nfs/dbraw/zinc/76/39/85/278763985.db2.gz PWWRPMMZLPYCQZ-LBPRGKRZSA-N 1 2 310.361 1.862 20 30 DDEDLO CCc1noc(C)c1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000119414361 288658545 /nfs/dbraw/zinc/65/85/45/288658545.db2.gz CUSBOZRLVSAXPE-UHFFFAOYSA-N 1 2 306.410 1.593 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000374364069 294238637 /nfs/dbraw/zinc/23/86/37/294238637.db2.gz FNGLZJFINVSYNB-CXAGYDPISA-N 1 2 307.357 1.266 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000374364069 294238641 /nfs/dbraw/zinc/23/86/41/294238641.db2.gz FNGLZJFINVSYNB-CXAGYDPISA-N 1 2 307.357 1.266 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCn2cc[nH+]c2C1)N1CCC[C@@H]1[C@H]1CCCO1 ZINC000328877616 295385024 /nfs/dbraw/zinc/38/50/24/295385024.db2.gz KQXSQAGOBZRRGH-MGPQQGTHSA-N 1 2 304.394 1.755 20 30 DDEDLO Cc1cnc(C(=O)NC[C@@H](C(C)C)[NH+]2CCOCC2)c(O)c1 ZINC000330873766 302330879 /nfs/dbraw/zinc/33/08/79/302330879.db2.gz IBRHUAGUGYDZRH-ZDUSSCGKSA-N 1 2 307.394 1.757 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)N1CCC[C@@H]1CO)C1CCOCC1 ZINC000329336894 303002616 /nfs/dbraw/zinc/00/26/16/303002616.db2.gz MEPHWWQQNQBCDC-ZIAGYGMSSA-N 1 2 322.409 1.259 20 30 DDEDLO O=C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)N1CCn2cc[nH+]c2C1 ZINC000328711682 303513635 /nfs/dbraw/zinc/51/36/35/303513635.db2.gz CAWQDGLOYDLFCX-YUTCNCBUSA-N 1 2 302.378 1.570 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3C[C@@]4(CCCO4)[C@@H]3C3CC3)cnc12 ZINC000575401935 308276483 /nfs/dbraw/zinc/27/64/83/308276483.db2.gz UNGDIFAQZQNHIB-RDJZCZTQSA-N 1 2 309.373 1.744 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3C[C@@]4(CCCO4)[C@@H]3C3CC3)cnc12 ZINC000575401935 308276485 /nfs/dbraw/zinc/27/64/85/308276485.db2.gz UNGDIFAQZQNHIB-RDJZCZTQSA-N 1 2 309.373 1.744 20 30 DDEDLO CC[C@@H]1C[N@H+](C)CCN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000577343396 308380124 /nfs/dbraw/zinc/38/01/24/308380124.db2.gz XDCGXUPKVMBVCW-OAHLLOKOSA-N 1 2 321.446 1.358 20 30 DDEDLO CC[C@@H]1C[N@@H+](C)CCN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000577343396 308380126 /nfs/dbraw/zinc/38/01/26/308380126.db2.gz XDCGXUPKVMBVCW-OAHLLOKOSA-N 1 2 321.446 1.358 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCCNC(=O)c1ccsc1 ZINC000558898621 331955911 /nfs/dbraw/zinc/95/59/11/331955911.db2.gz IEYLMVDGLBXDNB-OAHLLOKOSA-N 1 2 322.434 1.218 20 30 DDEDLO C=CC(C)(C)C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000563268739 333516730 /nfs/dbraw/zinc/51/67/30/333516730.db2.gz KFEOEMSKHDFRRU-UHFFFAOYSA-N 1 2 301.394 1.858 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)CCC(=O)c2ccc(F)cc2)CC1 ZINC000518816622 334667905 /nfs/dbraw/zinc/66/79/05/334667905.db2.gz KAQFUGVGBUJYKJ-UHFFFAOYSA-N 1 2 317.364 1.893 20 30 DDEDLO C=CCn1cc(C[NH2+]CCS(=O)(=O)c2ccccc2)nn1 ZINC000520397702 335973271 /nfs/dbraw/zinc/97/32/71/335973271.db2.gz KKPYDECMNYDZHA-UHFFFAOYSA-N 1 2 306.391 1.028 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)Cn3cc[nH+]c3)C2=O)cc1 ZINC000582923999 337218119 /nfs/dbraw/zinc/21/81/19/337218119.db2.gz RMBCQMIIBXVVAX-OAHLLOKOSA-N 1 2 323.356 1.067 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)Nc2cc(OC)cc(OC)c2)CC1 ZINC000119332347 337857827 /nfs/dbraw/zinc/85/78/27/337857827.db2.gz NIKOJMBMLINGGL-UHFFFAOYSA-N 1 2 303.362 1.487 20 30 DDEDLO COCCN(CC#N)C(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000516490085 338012724 /nfs/dbraw/zinc/01/27/24/338012724.db2.gz BPMYQHVHSQFEBF-JTQLQIEISA-N 1 2 318.299 1.455 20 30 DDEDLO COCCN(CC#N)C(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000516490089 338012757 /nfs/dbraw/zinc/01/27/57/338012757.db2.gz BPMYQHVHSQFEBF-SNVBAGLBSA-N 1 2 318.299 1.455 20 30 DDEDLO CN(C)[C@@H](C(=O)NCc1ccc(CC#N)cc1)c1c[nH+]cn1C ZINC000572485917 338382377 /nfs/dbraw/zinc/38/23/77/338382377.db2.gz GRCVFVUEEQYHEC-MRXNPFEDSA-N 1 2 311.389 1.405 20 30 DDEDLO CC(C)C(=O)Nc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000564334163 341238822 /nfs/dbraw/zinc/23/88/22/341238822.db2.gz HLVXEZQWQCAWNC-QGZVFWFLSA-N 1 2 316.405 1.855 20 30 DDEDLO N#CC1(CS(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)CCCCC1 ZINC000547967721 341241031 /nfs/dbraw/zinc/24/10/31/341241031.db2.gz LFLSTNQFBYKXPZ-ZDUSSCGKSA-N 1 2 322.434 1.591 20 30 DDEDLO O=C(NO)c1ccc(CNC(=O)c2ccn3cc[nH+]c3c2)cc1 ZINC000671996040 485215306 /nfs/dbraw/zinc/21/53/06/485215306.db2.gz MGSHCDMDPCLZGB-UHFFFAOYSA-N 1 2 310.313 1.383 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC[C@@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000666848222 485367082 /nfs/dbraw/zinc/36/70/82/485367082.db2.gz LOUZRXDFFMRZKV-WMZOPIPTSA-N 1 2 318.417 1.422 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC000677816733 486547146 /nfs/dbraw/zinc/54/71/46/486547146.db2.gz AHARBYHSROFHQT-UHFFFAOYSA-N 1 2 306.793 1.748 20 30 DDEDLO N#CCCCOc1cccc(C[NH+]2CCN(C(=O)CO)CC2)c1 ZINC000342844952 534616066 /nfs/dbraw/zinc/61/60/66/534616066.db2.gz HXRKDFQMKZKIGN-UHFFFAOYSA-N 1 2 317.389 1.006 20 30 DDEDLO Cn1cc[nH+]c1CN1C[C@@H](F)C[C@H]1CNC(=O)[C@H]1CCC(=O)N1 ZINC000329935675 534787675 /nfs/dbraw/zinc/78/76/75/534787675.db2.gz KOBHGHMHOUEMHS-SDDRHHMPSA-N 1 2 323.372 1.408 20 30 DDEDLO CC(C)(C)N1C[C@H]([NH+]=C([O-])N[C@H]2CCn3cc[nH+]c3C2)CC1=O ZINC000330188154 526296803 /nfs/dbraw/zinc/29/68/03/526296803.db2.gz KXUIVVFZLIGJTQ-NWDGAFQWSA-N 1 2 319.409 1.101 20 30 DDEDLO CC(C)(C)N1C[C@H](NC([O-])=[NH+][C@H]2CCn3cc[nH+]c3C2)CC1=O ZINC000330188154 526296806 /nfs/dbraw/zinc/29/68/06/526296806.db2.gz KXUIVVFZLIGJTQ-NWDGAFQWSA-N 1 2 319.409 1.101 20 30 DDEDLO C=CCN(Cc1ccc(OC)cc1)C(=O)[C@@H](C)[NH+]1CCOCC1 ZINC000339691636 526339004 /nfs/dbraw/zinc/33/90/04/526339004.db2.gz KYHJDTCPHGKTNV-OAHLLOKOSA-N 1 2 318.417 1.931 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000330953249 526401565 /nfs/dbraw/zinc/40/15/65/526401565.db2.gz RLVDZYBATTUEDD-SMDDNHRTSA-N 1 2 315.377 1.093 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000330953249 526401568 /nfs/dbraw/zinc/40/15/68/526401568.db2.gz RLVDZYBATTUEDD-SMDDNHRTSA-N 1 2 315.377 1.093 20 30 DDEDLO C=CCNC(=O)CNc1cc(N2CCc3ccccc32)nc[nH+]1 ZINC000488114483 526574976 /nfs/dbraw/zinc/57/49/76/526574976.db2.gz LJNYWCCAXVIWGK-UHFFFAOYSA-N 1 2 309.373 1.885 20 30 DDEDLO C=CCNC(=O)Cc1noc(-c2cccc(Cn3cc[nH+]c3)c2)n1 ZINC000346958381 526579062 /nfs/dbraw/zinc/57/90/62/526579062.db2.gz HPPLVCLDSXTCLK-UHFFFAOYSA-N 1 2 323.356 1.826 20 30 DDEDLO C#CC[N@H+](C)CCCNC(=O)N1CCC(c2cn[nH]c2C)CC1 ZINC000491792748 526810056 /nfs/dbraw/zinc/81/00/56/526810056.db2.gz HIGOHAOHACEAHA-UHFFFAOYSA-N 1 2 317.437 1.562 20 30 DDEDLO C#CC[N@@H+](C)CCCNC(=O)N1CCC(c2cn[nH]c2C)CC1 ZINC000491792748 526810060 /nfs/dbraw/zinc/81/00/60/526810060.db2.gz HIGOHAOHACEAHA-UHFFFAOYSA-N 1 2 317.437 1.562 20 30 DDEDLO C#CC[N@@H+](Cc1cccc(COC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000490898261 526908242 /nfs/dbraw/zinc/90/82/42/526908242.db2.gz IUEDMAZTCBOUQT-MRXNPFEDSA-N 1 2 307.415 1.455 20 30 DDEDLO C#CC[N@H+](Cc1cccc(COC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000490898261 526908246 /nfs/dbraw/zinc/90/82/46/526908246.db2.gz IUEDMAZTCBOUQT-MRXNPFEDSA-N 1 2 307.415 1.455 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cccnc2OC(C)C)CC1 ZINC000490974341 526952804 /nfs/dbraw/zinc/95/28/04/526952804.db2.gz OVZJCKGHVYZEMZ-UHFFFAOYSA-N 1 2 317.389 1.025 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2C[C@@H]2CCCCO2)CC1 ZINC000491065945 526955629 /nfs/dbraw/zinc/95/56/29/526955629.db2.gz ACMFJQWIASYPLB-HNNXBMFYSA-N 1 2 317.437 1.165 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(C)C(C)(C)C)CC1 ZINC000491816489 526989422 /nfs/dbraw/zinc/98/94/22/526989422.db2.gz HQIPXKJNYBJBFU-UHFFFAOYSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)C(C)(C)C)CC1 ZINC000491816489 526989428 /nfs/dbraw/zinc/98/94/28/526989428.db2.gz HQIPXKJNYBJBFU-UHFFFAOYSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](CC)CC(C)C)CC1 ZINC000491627540 526994970 /nfs/dbraw/zinc/99/49/70/526994970.db2.gz UKSDOKZLEKJDTJ-INIZCTEOSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](CC)CC(C)C)CC1 ZINC000491627540 526994979 /nfs/dbraw/zinc/99/49/79/526994979.db2.gz UKSDOKZLEKJDTJ-INIZCTEOSA-N 1 2 321.465 1.342 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000343032693 527107052 /nfs/dbraw/zinc/10/70/52/527107052.db2.gz HWTBGBVJAIKMPN-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000341859228 527173825 /nfs/dbraw/zinc/17/38/25/527173825.db2.gz LAPXOHYNWGJWBH-ZDUSSCGKSA-N 1 2 302.378 1.627 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)CCn2nc(C)cc2C)n1 ZINC000491545883 527190025 /nfs/dbraw/zinc/19/00/25/527190025.db2.gz SLZDYCNHRKCHOA-UHFFFAOYSA-N 1 2 315.421 1.478 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)CCn2nc(C)cc2C)n1 ZINC000491545883 527190034 /nfs/dbraw/zinc/19/00/34/527190034.db2.gz SLZDYCNHRKCHOA-UHFFFAOYSA-N 1 2 315.421 1.478 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000348919000 527206206 /nfs/dbraw/zinc/20/62/06/527206206.db2.gz AQJLIUGNENKRAV-UHFFFAOYSA-N 1 2 312.373 1.571 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000343814579 527286670 /nfs/dbraw/zinc/28/66/70/527286670.db2.gz TUPBPUXXFYPDIC-HNNXBMFYSA-N 1 2 319.453 1.992 20 30 DDEDLO CC(C)(C)NC(=O)N1CCC(NC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC000330961997 527557964 /nfs/dbraw/zinc/55/79/64/527557964.db2.gz JZZRUDUUINYYNZ-UHFFFAOYSA-N 1 2 321.425 1.635 20 30 DDEDLO CC(C)CNC(=O)NC(=O)C[N@@H+]1CCCC[C@H]1c1cnn(C)c1 ZINC000330507501 527590378 /nfs/dbraw/zinc/59/03/78/527590378.db2.gz NDYKDEHZTOERBA-AWEZNQCLSA-N 1 2 321.425 1.633 20 30 DDEDLO CC(C)CNC(=O)NC(=O)C[N@H+]1CCCC[C@H]1c1cnn(C)c1 ZINC000330507501 527590382 /nfs/dbraw/zinc/59/03/82/527590382.db2.gz NDYKDEHZTOERBA-AWEZNQCLSA-N 1 2 321.425 1.633 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+](C)C[C@H](O)C(F)(F)F ZINC000341160008 527934860 /nfs/dbraw/zinc/93/48/60/527934860.db2.gz LCRDULQNSVSMCH-SCVCMEIPSA-N 1 2 309.332 1.284 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+](C)C[C@H](O)C(F)(F)F ZINC000341160008 527934862 /nfs/dbraw/zinc/93/48/62/527934862.db2.gz LCRDULQNSVSMCH-SCVCMEIPSA-N 1 2 309.332 1.284 20 30 DDEDLO CC(C)OC[C@H](CO)[N@H+](C)CC(=O)Nc1cccc(C#N)c1 ZINC000433135297 528024700 /nfs/dbraw/zinc/02/47/00/528024700.db2.gz IVRFTXNWOHBHKX-HNNXBMFYSA-N 1 2 305.378 1.214 20 30 DDEDLO CC(C)OC[C@H](CO)[N@@H+](C)CC(=O)Nc1cccc(C#N)c1 ZINC000433135297 528024702 /nfs/dbraw/zinc/02/47/02/528024702.db2.gz IVRFTXNWOHBHKX-HNNXBMFYSA-N 1 2 305.378 1.214 20 30 DDEDLO CC[N@H+](CCC#N)Cc1cc(OC)c(OCC(N)=O)c(OC)c1 ZINC000349854725 528375966 /nfs/dbraw/zinc/37/59/66/528375966.db2.gz QOWREVCXKRPWKG-UHFFFAOYSA-N 1 2 321.377 1.303 20 30 DDEDLO CC[N@@H+](CCC#N)Cc1cc(OC)c(OCC(N)=O)c(OC)c1 ZINC000349854725 528375972 /nfs/dbraw/zinc/37/59/72/528375972.db2.gz QOWREVCXKRPWKG-UHFFFAOYSA-N 1 2 321.377 1.303 20 30 DDEDLO CCN(C(=O)NCC[NH+]1CCOCC1)[C@H]1CCOC(C)(C)C1 ZINC000329735145 528902958 /nfs/dbraw/zinc/90/29/58/528902958.db2.gz RVAYTCPITVGCJJ-AWEZNQCLSA-N 1 2 313.442 1.512 20 30 DDEDLO CC[C@H]1CN(C(=O)c2cc(C#N)ccn2)C[C@H]1[NH+]1CCOCC1 ZINC000330724572 529280801 /nfs/dbraw/zinc/28/08/01/529280801.db2.gz DOPJMTLJHVMTLK-GOEBONIOSA-N 1 2 314.389 1.136 20 30 DDEDLO CC[C@H](CC#N)[NH2+]Cc1cnn([C@@]2(C)CCS(=O)(=O)C2)c1 ZINC000332406008 529300574 /nfs/dbraw/zinc/30/05/74/529300574.db2.gz LUJJRTKMUFROMC-KGLIPLIRSA-N 1 2 310.423 1.199 20 30 DDEDLO C[C@@H](C#N)C[N@H+](CCOc1ccc(-c2nn[nH]n2)cc1)C1CC1 ZINC000824034534 607831348 /nfs/dbraw/zinc/83/13/48/607831348.db2.gz LIVQYDWFPCFLKQ-LBPRGKRZSA-N 1 2 312.377 1.870 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](CCOc1ccc(-c2nn[nH]n2)cc1)C1CC1 ZINC000824034534 607831349 /nfs/dbraw/zinc/83/13/49/607831349.db2.gz LIVQYDWFPCFLKQ-LBPRGKRZSA-N 1 2 312.377 1.870 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](C[C@@H](O)c2ccc(-c3nn[nH]n3)cc2)C1 ZINC000824778499 608125617 /nfs/dbraw/zinc/12/56/17/608125617.db2.gz QFUSLEBSBKWDEZ-GDBMZVCRSA-N 1 2 312.377 1.526 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](C[C@@H](O)c2ccc(-c3nn[nH]n3)cc2)C1 ZINC000824778499 608125618 /nfs/dbraw/zinc/12/56/18/608125618.db2.gz QFUSLEBSBKWDEZ-GDBMZVCRSA-N 1 2 312.377 1.526 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccs3)C2)C1 ZINC000972227034 695181907 /nfs/dbraw/zinc/18/19/07/695181907.db2.gz GSGHBXUMAYPKGY-KRWDZBQOSA-N 1 2 318.442 1.617 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccs3)C2)C1 ZINC000972227034 695181909 /nfs/dbraw/zinc/18/19/09/695181909.db2.gz GSGHBXUMAYPKGY-KRWDZBQOSA-N 1 2 318.442 1.617 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cncs3)C2)C1 ZINC000972286131 695205314 /nfs/dbraw/zinc/20/53/14/695205314.db2.gz QYTAPSCEMWYYDY-HNNXBMFYSA-N 1 2 305.403 1.083 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cncs3)C2)C1 ZINC000972286131 695205315 /nfs/dbraw/zinc/20/53/15/695205315.db2.gz QYTAPSCEMWYYDY-HNNXBMFYSA-N 1 2 305.403 1.083 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCOC3)C2)C1 ZINC000972328765 695217887 /nfs/dbraw/zinc/21/78/87/695217887.db2.gz RGOKVRJWFPJSBW-WBVHZDCISA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCOC3)C2)C1 ZINC000972328765 695217888 /nfs/dbraw/zinc/21/78/88/695217888.db2.gz RGOKVRJWFPJSBW-WBVHZDCISA-N 1 2 308.422 1.292 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C)=C3CCC3)C2)C1 ZINC000972377057 695234355 /nfs/dbraw/zinc/23/43/55/695234355.db2.gz CCCZLEMJMYFEBD-SFHVURJKSA-N 1 2 302.418 1.813 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C)=C3CCC3)C2)C1 ZINC000972377057 695234358 /nfs/dbraw/zinc/23/43/58/695234358.db2.gz CCCZLEMJMYFEBD-SFHVURJKSA-N 1 2 302.418 1.813 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c[nH]nc3C(C)C)C2)C1 ZINC000972445903 695253404 /nfs/dbraw/zinc/25/34/04/695253404.db2.gz FKXSRZNEQFACGI-KRWDZBQOSA-N 1 2 318.421 1.636 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c[nH]nc3C(C)C)C2)C1 ZINC000972445903 695253405 /nfs/dbraw/zinc/25/34/05/695253405.db2.gz FKXSRZNEQFACGI-KRWDZBQOSA-N 1 2 318.421 1.636 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cncc(CC)c3)C2)C1 ZINC000972467394 695258459 /nfs/dbraw/zinc/25/84/59/695258459.db2.gz XEIBDNGFEQBADY-GOSISDBHSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cncc(CC)c3)C2)C1 ZINC000972467394 695258461 /nfs/dbraw/zinc/25/84/61/695258461.db2.gz XEIBDNGFEQBADY-GOSISDBHSA-N 1 2 315.417 1.747 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@@H]4CCCC[C@H]34)C2)C1 ZINC000972481010 695260616 /nfs/dbraw/zinc/26/06/16/695260616.db2.gz WVENNNFTUIYSGY-BMFAXAFESA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@@H]4CCCC[C@H]34)C2)C1 ZINC000972481010 695260619 /nfs/dbraw/zinc/26/06/19/695260619.db2.gz WVENNNFTUIYSGY-BMFAXAFESA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C(F)(F)F)C2)C1 ZINC000972493595 695264958 /nfs/dbraw/zinc/26/49/58/695264958.db2.gz CWPLAFHZTWJAIR-YPMHNXCESA-N 1 2 304.312 1.121 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C(F)(F)F)C2)C1 ZINC000972493595 695264959 /nfs/dbraw/zinc/26/49/59/695264959.db2.gz CWPLAFHZTWJAIR-YPMHNXCESA-N 1 2 304.312 1.121 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3C(C)C)C2)C1 ZINC000972512675 695269439 /nfs/dbraw/zinc/26/94/39/695269439.db2.gz YLWCKNDWLBRZOV-SFHVURJKSA-N 1 2 315.417 1.688 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3C(C)C)C2)C1 ZINC000972512675 695269440 /nfs/dbraw/zinc/26/94/40/695269440.db2.gz YLWCKNDWLBRZOV-SFHVURJKSA-N 1 2 315.417 1.688 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3CC(OCC)C3)C2)C1 ZINC000972521283 695272079 /nfs/dbraw/zinc/27/20/79/695272079.db2.gz YURHGKZRVLGOBF-LEOMRAHMSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3CC(OCC)C3)C2)C1 ZINC000972521283 695272084 /nfs/dbraw/zinc/27/20/84/695272084.db2.gz YURHGKZRVLGOBF-LEOMRAHMSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccncc3Cl)C2)C1 ZINC000972568439 695285599 /nfs/dbraw/zinc/28/55/99/695285599.db2.gz AQHPWCVYOSQTAW-MRXNPFEDSA-N 1 2 321.808 1.838 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccncc3Cl)C2)C1 ZINC000972568439 695285600 /nfs/dbraw/zinc/28/56/00/695285600.db2.gz AQHPWCVYOSQTAW-MRXNPFEDSA-N 1 2 321.808 1.838 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCCCO3)C2)C1 ZINC000972578595 695287820 /nfs/dbraw/zinc/28/78/20/695287820.db2.gz STRNAKANQSBJKO-WMZOPIPTSA-N 1 2 322.449 1.825 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCCCO3)C2)C1 ZINC000972578595 695287822 /nfs/dbraw/zinc/28/78/22/695287822.db2.gz STRNAKANQSBJKO-WMZOPIPTSA-N 1 2 322.449 1.825 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CC)on3)C2)C1 ZINC000972639991 695306830 /nfs/dbraw/zinc/30/68/30/695306830.db2.gz CXZBHCLVYAYUKB-INIZCTEOSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CC)on3)C2)C1 ZINC000972639991 695306833 /nfs/dbraw/zinc/30/68/33/695306833.db2.gz CXZBHCLVYAYUKB-INIZCTEOSA-N 1 2 305.378 1.340 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC([N@H+](C)CC(F)F)CC2)cn1 ZINC000746322614 700018679 /nfs/dbraw/zinc/01/86/79/700018679.db2.gz LTZGGILHFYUTOI-UHFFFAOYSA-N 1 2 307.344 1.864 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC([N@@H+](C)CC(F)F)CC2)cn1 ZINC000746322614 700018680 /nfs/dbraw/zinc/01/86/80/700018680.db2.gz LTZGGILHFYUTOI-UHFFFAOYSA-N 1 2 307.344 1.864 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnnc(C)c2)C(C)(C)C1 ZINC000974504028 695681809 /nfs/dbraw/zinc/68/18/09/695681809.db2.gz VRPVNELIBUYCDV-CYBMUJFWSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnnc(C)c2)C(C)(C)C1 ZINC000974504028 695681813 /nfs/dbraw/zinc/68/18/13/695681813.db2.gz VRPVNELIBUYCDV-CYBMUJFWSA-N 1 2 308.813 1.978 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1ncn[nH]1 ZINC000977358042 696127553 /nfs/dbraw/zinc/12/75/53/696127553.db2.gz VOFHPQGQCYSMJH-CQSZACIVSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1ncn[nH]1 ZINC000977358042 696127554 /nfs/dbraw/zinc/12/75/54/696127554.db2.gz VOFHPQGQCYSMJH-CQSZACIVSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(C)CNC(=S)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000041746005 696142105 /nfs/dbraw/zinc/14/21/05/696142105.db2.gz YYLBLGVTXFKBCP-AWEZNQCLSA-N 1 2 315.508 1.235 20 30 DDEDLO N#CCCCCS(=O)(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000068902072 696377530 /nfs/dbraw/zinc/37/75/30/696377530.db2.gz VIBZBGVIFSLHPC-UHFFFAOYSA-N 1 2 318.402 1.986 20 30 DDEDLO C=C(Br)CNC(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000105196511 696621904 /nfs/dbraw/zinc/62/19/04/696621904.db2.gz WJNCSXYPUYYXPR-QWRGUYRKSA-N 1 2 320.231 1.303 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2coc(-c3ccccn3)n2)CC1 ZINC000981544525 696812420 /nfs/dbraw/zinc/81/24/20/696812420.db2.gz ZSEFGXKUVWESJO-UHFFFAOYSA-N 1 2 310.357 1.518 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2coc(-c3ccccn3)n2)CC1 ZINC000981544525 696812423 /nfs/dbraw/zinc/81/24/23/696812423.db2.gz ZSEFGXKUVWESJO-UHFFFAOYSA-N 1 2 310.357 1.518 20 30 DDEDLO CC1CCC(NC(=O)CO[NH+]=C(N)Cc2cccnc2)CC1 ZINC000137652258 696858826 /nfs/dbraw/zinc/85/88/26/696858826.db2.gz QXXPFXILHKDONZ-UHFFFAOYSA-N 1 2 304.394 1.608 20 30 DDEDLO CN(Cc1cccs1)C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000137647540 696859211 /nfs/dbraw/zinc/85/92/11/696859211.db2.gz IILCNGUPXNBKAO-UHFFFAOYSA-N 1 2 318.402 1.633 20 30 DDEDLO O=C(c1cc[nH]n1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980743156 696869633 /nfs/dbraw/zinc/86/96/33/696869633.db2.gz GFAVKOBDYRVXLD-UHFFFAOYSA-N 1 2 308.385 1.609 20 30 DDEDLO O=C(c1cc[nH]n1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980743156 696869636 /nfs/dbraw/zinc/86/96/36/696869636.db2.gz GFAVKOBDYRVXLD-UHFFFAOYSA-N 1 2 308.385 1.609 20 30 DDEDLO O=C(c1ccn[nH]1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980743156 696869639 /nfs/dbraw/zinc/86/96/39/696869639.db2.gz GFAVKOBDYRVXLD-UHFFFAOYSA-N 1 2 308.385 1.609 20 30 DDEDLO O=C(c1ccn[nH]1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980743156 696869642 /nfs/dbraw/zinc/86/96/42/696869642.db2.gz GFAVKOBDYRVXLD-UHFFFAOYSA-N 1 2 308.385 1.609 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2ocnc2C(F)(F)F)CC1 ZINC000981687689 696875165 /nfs/dbraw/zinc/87/51/65/696875165.db2.gz KINZIPHOSIZPNS-UHFFFAOYSA-N 1 2 315.295 1.865 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2ocnc2C(F)(F)F)CC1 ZINC000981687689 696875167 /nfs/dbraw/zinc/87/51/67/696875167.db2.gz KINZIPHOSIZPNS-UHFFFAOYSA-N 1 2 315.295 1.865 20 30 DDEDLO Cc1n[nH]cc1C(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC000981725560 696892570 /nfs/dbraw/zinc/89/25/70/696892570.db2.gz XSWBHSOIYJPSDN-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO Cc1n[nH]cc1C(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC000981725560 696892574 /nfs/dbraw/zinc/89/25/74/696892574.db2.gz XSWBHSOIYJPSDN-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC2(CN(C(=O)[C@@H]3C[C@H]3[N+](=O)[O-])C2)C1 ZINC000981764868 696907232 /nfs/dbraw/zinc/90/72/32/696907232.db2.gz HXYSGCOPBHYYQA-VXGBXAGGSA-N 1 2 313.785 1.329 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC2(CN(C(=O)[C@@H]3C[C@H]3[N+](=O)[O-])C2)C1 ZINC000981764868 696907234 /nfs/dbraw/zinc/90/72/34/696907234.db2.gz HXYSGCOPBHYYQA-VXGBXAGGSA-N 1 2 313.785 1.329 20 30 DDEDLO CC(C)[C@]1(C2CC2)NC(=O)N(C[NH+]2CCC(CC#N)CC2)C1=O ZINC000799137867 700121901 /nfs/dbraw/zinc/12/19/01/700121901.db2.gz HOLXTRKANAXJHO-QGZVFWFLSA-N 1 2 318.421 1.926 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@@H+]([C@@H]2CCNC2=O)CC1 ZINC000981240699 697043933 /nfs/dbraw/zinc/04/39/33/697043933.db2.gz XPNIARBBUPAWPQ-GFCCVEGCSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@H+]([C@@H]2CCNC2=O)CC1 ZINC000981240699 697043935 /nfs/dbraw/zinc/04/39/35/697043935.db2.gz XPNIARBBUPAWPQ-GFCCVEGCSA-N 1 2 313.829 1.188 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](C[C@H](O)c2ccc(F)cn2)CC1 ZINC000799310255 700134236 /nfs/dbraw/zinc/13/42/36/700134236.db2.gz FBHUWDREXZTGJZ-HOTGVXAUSA-N 1 2 306.385 1.420 20 30 DDEDLO COC(=O)/C(C)=C/C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000748817314 700136878 /nfs/dbraw/zinc/13/68/78/700136878.db2.gz ZUCAKHVXUBRBSZ-YIXHJXPBSA-N 1 2 300.362 1.195 20 30 DDEDLO C#CCOc1ccccc1C[N@H+](C)CCN1CCCS1(=O)=O ZINC000160040517 697314855 /nfs/dbraw/zinc/31/48/55/697314855.db2.gz BRULUTSCAVFLFB-UHFFFAOYSA-N 1 2 322.430 1.166 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+](C)CCN1CCCS1(=O)=O ZINC000160040517 697314858 /nfs/dbraw/zinc/31/48/58/697314858.db2.gz BRULUTSCAVFLFB-UHFFFAOYSA-N 1 2 322.430 1.166 20 30 DDEDLO COC(=O)CCc1nc(CO[NH+]=C(N)c2ccccc2)no1 ZINC000171891180 697363350 /nfs/dbraw/zinc/36/33/50/697363350.db2.gz GFIFLHGXAGHDLE-UHFFFAOYSA-N 1 2 304.306 1.012 20 30 DDEDLO CN(C)C(=O)c1cccc(CO[NH+]=C(N)Cc2cccnc2)c1 ZINC000179921567 697442999 /nfs/dbraw/zinc/44/29/99/697442999.db2.gz BUUCYERMMWDQLK-UHFFFAOYSA-N 1 2 312.373 1.815 20 30 DDEDLO COCc1noc([C@@H](C)O[NH+]=C(N)Cc2ccc(OC)cc2)n1 ZINC000182915654 697481392 /nfs/dbraw/zinc/48/13/92/697481392.db2.gz KCYKAASBLMMWEZ-SNVBAGLBSA-N 1 2 320.349 1.817 20 30 DDEDLO CC(C)n1ncnc1C[N@H+](C)C1CCN(C(=O)[C@H](C)C#N)CC1 ZINC000985338469 697493681 /nfs/dbraw/zinc/49/36/81/697493681.db2.gz IUAHAXHFTYIYAT-CYBMUJFWSA-N 1 2 318.425 1.441 20 30 DDEDLO CC(C)n1ncnc1C[N@@H+](C)C1CCN(C(=O)[C@H](C)C#N)CC1 ZINC000985338469 697493683 /nfs/dbraw/zinc/49/36/83/697493683.db2.gz IUAHAXHFTYIYAT-CYBMUJFWSA-N 1 2 318.425 1.441 20 30 DDEDLO Cc1cc(N)cc(C)c1C=[NH+]Nc1ccccc1S(N)(=O)=O ZINC000773125677 697716186 /nfs/dbraw/zinc/71/61/86/697716186.db2.gz NTWLMKZBMNXOSS-UHFFFAOYSA-N 1 2 318.402 1.979 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Cc2ccccc2CC#N)CCO1 ZINC000777376748 698183750 /nfs/dbraw/zinc/18/37/50/698183750.db2.gz MIUJGFUTCQJTOX-CQSZACIVSA-N 1 2 301.390 1.132 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Cc2ccccc2CC#N)CCO1 ZINC000777376748 698183753 /nfs/dbraw/zinc/18/37/53/698183753.db2.gz MIUJGFUTCQJTOX-CQSZACIVSA-N 1 2 301.390 1.132 20 30 DDEDLO CCN(CC)c1ccc(/C=[NH+]/[C@@H](COC)C(=O)OC)c(O)c1 ZINC000777868910 698229292 /nfs/dbraw/zinc/22/92/92/698229292.db2.gz BBOLCNDAFPPHIL-FBJMNJBLSA-N 1 2 308.378 1.845 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCc2cn(C)nn2)CC1 ZINC000989802600 698772234 /nfs/dbraw/zinc/77/22/34/698772234.db2.gz QGNURKBTRDUDNK-UHFFFAOYSA-N 1 2 311.817 1.035 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCc2cn(C)nn2)CC1 ZINC000989802600 698772236 /nfs/dbraw/zinc/77/22/36/698772236.db2.gz QGNURKBTRDUDNK-UHFFFAOYSA-N 1 2 311.817 1.035 20 30 DDEDLO C[C@@H](C#N)CN(CN1C[C@@H](c2c[nH+]cn2C)CC1=O)C1CC1 ZINC000783993415 698900828 /nfs/dbraw/zinc/90/08/28/698900828.db2.gz WTDLZPGDYQDKKP-STQMWFEESA-N 1 2 301.394 1.318 20 30 DDEDLO C#CCOCCNC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000784177748 698914064 /nfs/dbraw/zinc/91/40/64/698914064.db2.gz PCZQIJAFMVWVIL-UHFFFAOYSA-N 1 2 302.378 1.131 20 30 DDEDLO COC[C@H](C#N)OC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000785675346 699091312 /nfs/dbraw/zinc/09/13/12/699091312.db2.gz FJMGFEJPWAZZCL-NSHDSACASA-N 1 2 316.273 1.476 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@]34CCC[C@H]3N(CC#N)CC4)ccn12 ZINC000990711314 699138946 /nfs/dbraw/zinc/13/89/46/699138946.db2.gz MIEKTNHZEIRIGF-QAPCUYQASA-N 1 2 323.400 1.893 20 30 DDEDLO C#CCCCS(=O)(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000722407827 699318094 /nfs/dbraw/zinc/31/80/94/699318094.db2.gz ASVNTIUCXUYUSB-UHFFFAOYSA-N 1 2 301.437 1.038 20 30 DDEDLO CN(C)c1ccc(C=[NH+]NC(=S)NC[C@@H]2CCCO2)cc1F ZINC000727648456 699420677 /nfs/dbraw/zinc/42/06/77/699420677.db2.gz FZOJBMGWZKFEKL-LBPRGKRZSA-N 1 2 324.425 1.869 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)COc1cccc(C#N)c1 ZINC000790138328 699444983 /nfs/dbraw/zinc/44/49/83/699444983.db2.gz XTJPVYLQZVNDLQ-CQSZACIVSA-N 1 2 317.389 1.116 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(N2CCOC2=O)c1 ZINC000730097257 699500753 /nfs/dbraw/zinc/50/07/53/699500753.db2.gz KZCYTJCDCAIOMP-HNNXBMFYSA-N 1 2 313.357 1.679 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(N2CCOC2=O)c1 ZINC000730097257 699500754 /nfs/dbraw/zinc/50/07/54/699500754.db2.gz KZCYTJCDCAIOMP-HNNXBMFYSA-N 1 2 313.357 1.679 20 30 DDEDLO C#CCCCS(=O)(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000791424045 699633347 /nfs/dbraw/zinc/63/33/47/699633347.db2.gz YYOSYSTZOCRELE-UHFFFAOYSA-N 1 2 321.446 1.905 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+](C[C@H]2CCOC2)C2CC2)c1=S ZINC000794620456 699819467 /nfs/dbraw/zinc/81/94/67/699819467.db2.gz NWZUMRMLNHSERF-LLVKDONJSA-N 1 2 307.423 1.476 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+](C[C@H]2CCOC2)C2CC2)c1=S ZINC000794620456 699819468 /nfs/dbraw/zinc/81/94/68/699819468.db2.gz NWZUMRMLNHSERF-LLVKDONJSA-N 1 2 307.423 1.476 20 30 DDEDLO COC(=O)[C@H](OC(=O)[C@H]1CCCC[N@@H+]1C)c1cccc(C#N)c1 ZINC000751665289 700305321 /nfs/dbraw/zinc/30/53/21/700305321.db2.gz AQJXYQCVNBPJEI-HUUCEWRRSA-N 1 2 316.357 1.800 20 30 DDEDLO COC(=O)[C@H](OC(=O)[C@H]1CCCC[N@H+]1C)c1cccc(C#N)c1 ZINC000751665289 700305325 /nfs/dbraw/zinc/30/53/25/700305325.db2.gz AQJXYQCVNBPJEI-HUUCEWRRSA-N 1 2 316.357 1.800 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1COc2cc(F)cc(F)c21 ZINC000753304523 700420658 /nfs/dbraw/zinc/42/06/58/700420658.db2.gz RLOMZANLRUKYQG-OLZOCXBDSA-N 1 2 306.312 1.612 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1COc2cc(F)cc(F)c21 ZINC000753304523 700420660 /nfs/dbraw/zinc/42/06/60/700420660.db2.gz RLOMZANLRUKYQG-OLZOCXBDSA-N 1 2 306.312 1.612 20 30 DDEDLO N#Cc1ccc(CCC(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000753897143 700465905 /nfs/dbraw/zinc/46/59/05/700465905.db2.gz UCSSYINLXSDEOO-CALCHBBNSA-N 1 2 314.385 1.897 20 30 DDEDLO N#Cc1ccc(CCC(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000753897143 700465906 /nfs/dbraw/zinc/46/59/06/700465906.db2.gz UCSSYINLXSDEOO-CALCHBBNSA-N 1 2 314.385 1.897 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)C[C@H]2CC3(CC3)C(=O)O2)c1 ZINC000763671028 700944148 /nfs/dbraw/zinc/94/41/48/700944148.db2.gz HGYVNIKLBXFPHN-OAHLLOKOSA-N 1 2 312.369 1.634 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)C[C@H]2CC3(CC3)C(=O)O2)c1 ZINC000763671028 700944149 /nfs/dbraw/zinc/94/41/49/700944149.db2.gz HGYVNIKLBXFPHN-OAHLLOKOSA-N 1 2 312.369 1.634 20 30 DDEDLO CC[C@H](C(=O)[C@@H](C#N)C(=O)Nc1ccccn1)[NH+](CC)CC ZINC000765965827 701032517 /nfs/dbraw/zinc/03/25/17/701032517.db2.gz FPCRWNFQZUZSKU-CHWSQXEVSA-N 1 2 302.378 1.849 20 30 DDEDLO CC(C)(C)n1nnnc1CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000766063468 701036299 /nfs/dbraw/zinc/03/62/99/701036299.db2.gz DIMXYNOGHZOYKU-UHFFFAOYSA-N 1 2 316.365 1.200 20 30 DDEDLO C=C(C)CCOC(=O)CC[NH+]1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000803505173 701123284 /nfs/dbraw/zinc/12/32/84/701123284.db2.gz IERPQDJJLHIIKZ-OAHLLOKOSA-N 1 2 324.421 1.209 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1)[C@H]1CCCO1 ZINC000767862096 701142108 /nfs/dbraw/zinc/14/21/08/701142108.db2.gz VLJBBXFEIUSWOW-QLFBSQMISA-N 1 2 316.405 1.490 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)[C@H](O)c2ccc(C#N)cc2)C1 ZINC000769771180 701255479 /nfs/dbraw/zinc/25/54/79/701255479.db2.gz GSAGMPAUEGKATI-HZPDHXFCSA-N 1 2 324.384 1.731 20 30 DDEDLO C#CCCOC(=O)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000867792074 701751580 /nfs/dbraw/zinc/75/15/80/701751580.db2.gz MDVLRHWGWNQJTQ-KRWDZBQOSA-N 1 2 316.401 1.945 20 30 DDEDLO C#CCCOC(=O)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000867792074 701751586 /nfs/dbraw/zinc/75/15/86/701751586.db2.gz MDVLRHWGWNQJTQ-KRWDZBQOSA-N 1 2 316.401 1.945 20 30 DDEDLO CC(=[NH+]Nc1cccc(S(C)(=O)=O)c1)c1ccc(N)nc1 ZINC000814894435 701766233 /nfs/dbraw/zinc/76/62/33/701766233.db2.gz MMXBNWWCSMXACZ-UHFFFAOYSA-N 1 2 304.375 1.903 20 30 DDEDLO CN(C)c1ncc(C=[NH+]Nc2cccc(S(C)(=O)=O)c2)cn1 ZINC000814896483 701766856 /nfs/dbraw/zinc/76/68/56/701766856.db2.gz ZNALWDKXNSAKKN-UHFFFAOYSA-N 1 2 319.390 1.392 20 30 DDEDLO CNc1ccc(C#N)cc1NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000867975007 701867395 /nfs/dbraw/zinc/86/73/95/701867395.db2.gz YZARMVUDHACNDM-IHWYPQMZSA-N 1 2 300.362 1.427 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000868391021 702104395 /nfs/dbraw/zinc/10/43/95/702104395.db2.gz FEIVLABDCXBOPC-GFCCVEGCSA-N 1 2 311.426 1.666 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)N[C@H]2Cc3ccc(C#N)cc3C2)c1 ZINC000868693466 702269719 /nfs/dbraw/zinc/26/97/19/702269719.db2.gz OUWUGLZSUZIRMY-INIZCTEOSA-N 1 2 309.373 1.301 20 30 DDEDLO C#CCOc1ccc(CNC(=O)/C=C\C[NH+]2CCOCC2)cc1 ZINC000868722731 702287095 /nfs/dbraw/zinc/28/70/95/702287095.db2.gz ONIMEANAZQUXAU-ARJAWSKDSA-N 1 2 314.385 1.203 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC(F)(F)[C@H](C(=O)OCC)C1 ZINC000879371415 706666141 /nfs/dbraw/zinc/66/61/41/706666141.db2.gz RSMAKQGBCBYYSK-MNOVXSKESA-N 1 2 304.337 1.197 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC(F)(F)[C@H](C(=O)OCC)C1 ZINC000879371415 706666142 /nfs/dbraw/zinc/66/61/42/706666142.db2.gz RSMAKQGBCBYYSK-MNOVXSKESA-N 1 2 304.337 1.197 20 30 DDEDLO N#CCc1ccsc1C(=O)NC1CC([NH+]2CCOCC2)C1 ZINC000869102785 702460312 /nfs/dbraw/zinc/46/03/12/702460312.db2.gz NHNJZRKLFNUKQV-UHFFFAOYSA-N 1 2 305.403 1.407 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)N[C@@H]1Cc2ccc(C#N)cc2C1 ZINC000869188838 702503948 /nfs/dbraw/zinc/50/39/48/702503948.db2.gz KXWWZRAJPPJGND-QGZVFWFLSA-N 1 2 323.400 1.954 20 30 DDEDLO C=CCn1cc(C(=O)N2CCC[C@H](c3[nH+]ccn3C)C2)nn1 ZINC000843869243 702935814 /nfs/dbraw/zinc/93/58/14/702935814.db2.gz LEUSIRZRRCDJMC-LBPRGKRZSA-N 1 2 300.366 1.217 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](C[C@H]2CN(C3CC3)C(=O)O2)CC1 ZINC000844310298 703010321 /nfs/dbraw/zinc/01/03/21/703010321.db2.gz QQOPPIUEDCQXIC-LSDHHAIUSA-N 1 2 306.410 1.135 20 30 DDEDLO C#CC1CC[NH+](Cc2cc(Cl)cc(S(N)(=O)=O)c2)CC1 ZINC000844345364 703018287 /nfs/dbraw/zinc/01/82/87/703018287.db2.gz ARMLBECRLKKRKM-UHFFFAOYSA-N 1 2 312.822 1.833 20 30 DDEDLO CC(C)OCCCNC(=O)[C@H](C#N)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845444399 703157911 /nfs/dbraw/zinc/15/79/11/703157911.db2.gz SYJXVXIYWAVEBL-UONOGXRCSA-N 1 2 320.393 1.474 20 30 DDEDLO N#CCN1CCC(OC(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC000845497559 703164789 /nfs/dbraw/zinc/16/47/89/703164789.db2.gz HDDOLHPIAHIYSW-UHFFFAOYSA-N 1 2 302.378 1.293 20 30 DDEDLO C[NH+]1CCC(ONC(=O)C2(c3cc(F)cc(C#N)c3)CC2)CC1 ZINC000846650110 703308089 /nfs/dbraw/zinc/30/80/89/703308089.db2.gz RCZZAHHRVUZQRQ-UHFFFAOYSA-N 1 2 317.364 1.871 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@]1(O)CCN(C(=O)OC(C)(C)C)C1 ZINC000846919454 703351662 /nfs/dbraw/zinc/35/16/62/703351662.db2.gz QFOTXFLENCBAGP-MRXNPFEDSA-N 1 2 309.410 1.760 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@]1(O)CCN(C(=O)OC(C)(C)C)C1 ZINC000846919454 703351664 /nfs/dbraw/zinc/35/16/64/703351664.db2.gz QFOTXFLENCBAGP-MRXNPFEDSA-N 1 2 309.410 1.760 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000846981991 703360972 /nfs/dbraw/zinc/36/09/72/703360972.db2.gz OFLAKAPQKCLNRJ-NSHDSACASA-N 1 2 304.412 1.025 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(OC)c2)C1 ZINC000847026450 703367912 /nfs/dbraw/zinc/36/79/12/703367912.db2.gz ZGUKUKFCVWHHHB-CQSZACIVSA-N 1 2 307.415 1.814 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(OC)c2)C1 ZINC000847026450 703367913 /nfs/dbraw/zinc/36/79/13/703367913.db2.gz ZGUKUKFCVWHHHB-CQSZACIVSA-N 1 2 307.415 1.814 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCc2ccccc2NC1=O ZINC000848269032 703534383 /nfs/dbraw/zinc/53/43/83/703534383.db2.gz OQDOQRLZWWTHTQ-CVEARBPZSA-N 1 2 311.385 1.154 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCc2ccccc2NC1=O ZINC000848269032 703534384 /nfs/dbraw/zinc/53/43/84/703534384.db2.gz OQDOQRLZWWTHTQ-CVEARBPZSA-N 1 2 311.385 1.154 20 30 DDEDLO Cn1ncc(Br)c1C=NNCCCn1cc[nH+]c1 ZINC000848416994 703547852 /nfs/dbraw/zinc/54/78/52/703547852.db2.gz HAQYSGCOJFCPGS-UHFFFAOYSA-N 1 2 311.187 1.393 20 30 DDEDLO Cn1c(Cl)nc(Cl)c1C=NNCCCn1cc[nH+]c1 ZINC000848416824 703547855 /nfs/dbraw/zinc/54/78/55/703547855.db2.gz ZPLPRPZQPVAHCU-UHFFFAOYSA-N 1 2 301.181 1.937 20 30 DDEDLO COC(=O)c1ccc(O)c(C=NNCCCn2cc[nH+]c2)c1 ZINC000848417549 703548191 /nfs/dbraw/zinc/54/81/91/703548191.db2.gz BDHRBCUHWHSELQ-UHFFFAOYSA-N 1 2 302.334 1.389 20 30 DDEDLO COCc1n[nH]c(C[NH2+]Cc2ccc(N(C)CCC#N)cc2)n1 ZINC000850361396 703727214 /nfs/dbraw/zinc/72/72/14/703727214.db2.gz YZJOELSIIKARLT-UHFFFAOYSA-N 1 2 314.393 1.591 20 30 DDEDLO C=CC[NH+]1CCC(n2nncc2I)CC1 ZINC000852533617 704069924 /nfs/dbraw/zinc/06/99/24/704069924.db2.gz UZTPURUDEIOHMA-UHFFFAOYSA-N 1 2 318.162 1.706 20 30 DDEDLO C#CCCCCC(=O)Nc1cc(N2CC[NH+](C)CC2)ccn1 ZINC000870666243 704099450 /nfs/dbraw/zinc/09/94/50/704099450.db2.gz AINRJGXURFRVIV-UHFFFAOYSA-N 1 2 300.406 1.966 20 30 DDEDLO N#Cc1ccc2[nH]c(NC(=O)[C@@H]3CCc4[nH+]ccn4C3)nc2c1 ZINC000871072373 704213272 /nfs/dbraw/zinc/21/32/72/704213272.db2.gz KKAJZONCWYVXHP-LLVKDONJSA-N 1 2 306.329 1.832 20 30 DDEDLO COCc1nc(Cl)cc(N=NCc2c[nH+]c3n2CCC3)n1 ZINC000853352024 704236071 /nfs/dbraw/zinc/23/60/71/704236071.db2.gz CUIGMFUUCSJGFI-UHFFFAOYSA-N 1 2 306.757 1.865 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)N[C@](C)(C2CCCC2)C1=O ZINC000853621002 704288036 /nfs/dbraw/zinc/28/80/36/704288036.db2.gz MMYQFIYNGOVUBZ-QGZVFWFLSA-N 1 2 321.421 1.416 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)N[C@](C)(C2CCCC2)C1=O ZINC000853621002 704288039 /nfs/dbraw/zinc/28/80/39/704288039.db2.gz MMYQFIYNGOVUBZ-QGZVFWFLSA-N 1 2 321.421 1.416 20 30 DDEDLO CN(C)c1[nH+]cc(/C=C2/S/C(=N/C3CCCC3)NC2=O)n1C ZINC000821032382 704362200 /nfs/dbraw/zinc/36/22/00/704362200.db2.gz SXJHWRWJHSLJJP-XYOKQWHBSA-N 1 2 319.434 1.989 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)c1 ZINC000854263098 704373177 /nfs/dbraw/zinc/37/31/77/704373177.db2.gz VATAOROQYKCRNZ-UONOGXRCSA-N 1 2 301.390 1.899 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)c1 ZINC000854263098 704373179 /nfs/dbraw/zinc/37/31/79/704373179.db2.gz VATAOROQYKCRNZ-UONOGXRCSA-N 1 2 301.390 1.899 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000858857956 704764960 /nfs/dbraw/zinc/76/49/60/704764960.db2.gz UOLVMMQDTKTEAD-LSDHHAIUSA-N 1 2 318.421 1.526 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000858857956 704764962 /nfs/dbraw/zinc/76/49/62/704764962.db2.gz UOLVMMQDTKTEAD-LSDHHAIUSA-N 1 2 318.421 1.526 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000859036122 704789169 /nfs/dbraw/zinc/78/91/69/704789169.db2.gz QQAZDPDSDLCOBX-UONOGXRCSA-N 1 2 304.394 1.347 20 30 DDEDLO CCOC(=O)C[N@H+](C[C@@H](O)COc1ccccc1C#N)C(C)C ZINC000859111752 704799168 /nfs/dbraw/zinc/79/91/68/704799168.db2.gz FJMKTZNDHPDSOT-OAHLLOKOSA-N 1 2 320.389 1.571 20 30 DDEDLO CCOC(=O)C[N@@H+](C[C@@H](O)COc1ccccc1C#N)C(C)C ZINC000859111752 704799169 /nfs/dbraw/zinc/79/91/69/704799169.db2.gz FJMKTZNDHPDSOT-OAHLLOKOSA-N 1 2 320.389 1.571 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1cccc(CC#N)c1 ZINC000874701102 705134047 /nfs/dbraw/zinc/13/40/47/705134047.db2.gz QRIXYHKYMRWZCT-INIZCTEOSA-N 1 2 301.390 1.593 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1cccc(CC#N)c1 ZINC000874701102 705134050 /nfs/dbraw/zinc/13/40/50/705134050.db2.gz QRIXYHKYMRWZCT-INIZCTEOSA-N 1 2 301.390 1.593 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1cc(C#N)ccc1F ZINC000874710416 705145379 /nfs/dbraw/zinc/14/53/79/705145379.db2.gz IFTAIFAJASPKJH-ZDUSSCGKSA-N 1 2 305.353 1.538 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1cc(C#N)ccc1F ZINC000874710416 705145382 /nfs/dbraw/zinc/14/53/82/705145382.db2.gz IFTAIFAJASPKJH-ZDUSSCGKSA-N 1 2 305.353 1.538 20 30 DDEDLO N#CCCNC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000875540071 705423728 /nfs/dbraw/zinc/42/37/28/705423728.db2.gz KMJBLRUMSXAGHO-UHFFFAOYSA-N 1 2 305.407 1.348 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000875917193 705558316 /nfs/dbraw/zinc/55/83/16/705558316.db2.gz AXMLHLVZAYJKAE-OAGGEKHMSA-N 1 2 318.421 1.904 20 30 DDEDLO C#CCN(C)C(=O)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000876196564 705653984 /nfs/dbraw/zinc/65/39/84/705653984.db2.gz NLJOANBSBGYIHE-KRWDZBQOSA-N 1 2 315.417 1.470 20 30 DDEDLO C#CCN(C)C(=O)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000876196564 705653986 /nfs/dbraw/zinc/65/39/86/705653986.db2.gz NLJOANBSBGYIHE-KRWDZBQOSA-N 1 2 315.417 1.470 20 30 DDEDLO C#CC1CCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)CC1 ZINC000825628096 705705535 /nfs/dbraw/zinc/70/55/35/705705535.db2.gz VOZKWYGTKRUIQR-UHFFFAOYSA-N 1 2 300.406 1.817 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+]2CCO[C@@H](CC(N)=O)C2)cc1 ZINC000862535310 705728264 /nfs/dbraw/zinc/72/82/64/705728264.db2.gz IQUVWCRJHLLWCB-WFASDCNBSA-N 1 2 303.362 1.054 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+]2CCO[C@@H](CC(N)=O)C2)cc1 ZINC000862535310 705728268 /nfs/dbraw/zinc/72/82/68/705728268.db2.gz IQUVWCRJHLLWCB-WFASDCNBSA-N 1 2 303.362 1.054 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)c1 ZINC000826562623 705815107 /nfs/dbraw/zinc/81/51/07/705815107.db2.gz ALUIMJOEDDVPDF-HOCLYGCPSA-N 1 2 301.390 1.472 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)c1 ZINC000826562623 705815109 /nfs/dbraw/zinc/81/51/09/705815109.db2.gz ALUIMJOEDDVPDF-HOCLYGCPSA-N 1 2 301.390 1.472 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(c2cccc(Cl)c2C#N)CC1 ZINC000827667911 706046300 /nfs/dbraw/zinc/04/63/00/706046300.db2.gz NHGOYJJRASMVAD-FQEVSTJZSA-N 1 2 311.838 1.712 20 30 DDEDLO C[C@@H](C#N)CNN=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872357637 707389514 /nfs/dbraw/zinc/38/95/14/707389514.db2.gz HRPAEKCOKLKYDP-HNNXBMFYSA-N 1 2 316.405 1.481 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@@H+]1CCCC[C@H]1C(N)=O)c1ccccc1 ZINC000877942179 706247322 /nfs/dbraw/zinc/24/73/22/706247322.db2.gz BBMIWSRKFWBAOZ-WMZOPIPTSA-N 1 2 313.401 1.767 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@H+]1CCCC[C@H]1C(N)=O)c1ccccc1 ZINC000877942179 706247325 /nfs/dbraw/zinc/24/73/25/706247325.db2.gz BBMIWSRKFWBAOZ-WMZOPIPTSA-N 1 2 313.401 1.767 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@@H+](C)[C@@H]1CCN(C)C1=O)c1ccccc1 ZINC000878120459 706299923 /nfs/dbraw/zinc/29/99/23/706299923.db2.gz LPWUMKFWYARBPQ-AEFFLSMTSA-N 1 2 313.401 1.590 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@H+](C)[C@@H]1CCN(C)C1=O)c1ccccc1 ZINC000878120459 706299926 /nfs/dbraw/zinc/29/99/26/706299926.db2.gz LPWUMKFWYARBPQ-AEFFLSMTSA-N 1 2 313.401 1.590 20 30 DDEDLO C=CC[C@@H](C(=O)OC)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000878130265 706302564 /nfs/dbraw/zinc/30/25/64/706302564.db2.gz HBLLWZPXPZYMGC-ZBFHGGJFSA-N 1 2 303.406 1.878 20 30 DDEDLO Cc1ccc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)c(C#N)c1 ZINC000872474446 707433393 /nfs/dbraw/zinc/43/33/93/707433393.db2.gz GLLYRHHUGRSCGT-ZDUSSCGKSA-N 1 2 316.386 1.357 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@@H]2C[C@H]3CCC[C@@H]3O2)n1 ZINC000878525960 706416827 /nfs/dbraw/zinc/41/68/27/706416827.db2.gz HFPBNPOMYGZWMO-NJAFHUGGSA-N 1 2 317.433 1.922 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@@H]2C[C@H]3CCC[C@@H]3O2)n1 ZINC000878525960 706416829 /nfs/dbraw/zinc/41/68/29/706416829.db2.gz HFPBNPOMYGZWMO-NJAFHUGGSA-N 1 2 317.433 1.922 20 30 DDEDLO CCOC(=O)c1cccc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)n1 ZINC000878771380 706495129 /nfs/dbraw/zinc/49/51/29/706495129.db2.gz JXOCHNITWCEVFM-OKILXGFUSA-N 1 2 316.405 1.676 20 30 DDEDLO CCOC(=O)c1cccc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)n1 ZINC000878771380 706495130 /nfs/dbraw/zinc/49/51/30/706495130.db2.gz JXOCHNITWCEVFM-OKILXGFUSA-N 1 2 316.405 1.676 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000867049529 706881865 /nfs/dbraw/zinc/88/18/65/706881865.db2.gz LNVWXPATUDGHIA-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000867049529 706881868 /nfs/dbraw/zinc/88/18/68/706881868.db2.gz LNVWXPATUDGHIA-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H](NC(=O)Nc1cccc(CC#N)n1)[C@@H](C)[NH+]1CCOCC1 ZINC000881153787 707139139 /nfs/dbraw/zinc/13/91/39/707139139.db2.gz HSMCKOVIUPEHLJ-CHWSQXEVSA-N 1 2 317.393 1.378 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cccc(C#N)c1 ZINC000871760887 707190458 /nfs/dbraw/zinc/19/04/58/707190458.db2.gz TUENSUHZMVIYHI-HNNXBMFYSA-N 1 2 302.378 1.791 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1cccc(C#N)c1 ZINC000871760887 707190467 /nfs/dbraw/zinc/19/04/67/707190467.db2.gz TUENSUHZMVIYHI-HNNXBMFYSA-N 1 2 302.378 1.791 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NC1CC[N@H+](C)[C@@H]1C ZINC000872366702 707392131 /nfs/dbraw/zinc/39/21/31/707392131.db2.gz IJPKMMCHLYAIFB-SNVBAGLBSA-N 1 2 311.407 1.591 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NC1CC[N@@H+](C)[C@@H]1C ZINC000872366702 707392136 /nfs/dbraw/zinc/39/21/36/707392136.db2.gz IJPKMMCHLYAIFB-SNVBAGLBSA-N 1 2 311.407 1.591 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000836866404 707534881 /nfs/dbraw/zinc/53/48/81/707534881.db2.gz OLWYEKMYGBAXHK-CALCHBBNSA-N 1 2 314.385 1.292 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000836866404 707534884 /nfs/dbraw/zinc/53/48/84/707534884.db2.gz OLWYEKMYGBAXHK-CALCHBBNSA-N 1 2 314.385 1.292 20 30 DDEDLO C=CCCCCOC(=O)[C@H]1CC(=O)N(CC[NH+]2CCOCC2)C1 ZINC000837682331 707715342 /nfs/dbraw/zinc/71/53/42/707715342.db2.gz KSEOMERQNHITAU-HNNXBMFYSA-N 1 2 324.421 1.067 20 30 DDEDLO C=c1[nH]c(=O)[nH]c(=O)c1=C(C)[NH2+]Nc1cccc([N+](=O)[O-])c1 ZINC000839384870 708045830 /nfs/dbraw/zinc/04/58/30/708045830.db2.gz UDFCHOHHWRQZRC-UHFFFAOYSA-N 1 2 303.278 1.941 20 30 DDEDLO C#CCSCCNC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000839484072 708051224 /nfs/dbraw/zinc/05/12/24/708051224.db2.gz BPYHJCYGHDAHRV-UHFFFAOYSA-N 1 2 305.403 1.428 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(Cc1ccc(F)cc1)C1CC1 ZINC000884060346 708121585 /nfs/dbraw/zinc/12/15/85/708121585.db2.gz WTSQGTGCQFMUIA-HNNXBMFYSA-N 1 2 320.364 1.763 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1ccc(Cl)s1 ZINC000884094414 708136398 /nfs/dbraw/zinc/13/63/98/708136398.db2.gz KNUVQSJVYJLRMY-JTQLQIEISA-N 1 2 316.810 1.507 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H]1CCCO[C@@H]1C(C)C ZINC000884171232 708170717 /nfs/dbraw/zinc/17/07/17/708170717.db2.gz PXEGHCGMRSCNOR-VNHYZAJKSA-N 1 2 312.410 1.000 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCO[C@@H](CC(C)C)C1 ZINC000884320803 708243164 /nfs/dbraw/zinc/24/31/64/708243164.db2.gz YCFOCBHITPFRCU-RDBSUJKOSA-N 1 2 312.410 1.143 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H]1CC12CCSCC2 ZINC000884347090 708255784 /nfs/dbraw/zinc/25/57/84/708255784.db2.gz JLSXTVQDDHNBSU-NEPJUHHUSA-N 1 2 312.435 1.083 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2cccc(C)c2)C1 ZINC000885511051 708562253 /nfs/dbraw/zinc/56/22/53/708562253.db2.gz AOHICOSQELWATJ-INIZCTEOSA-N 1 2 306.431 1.512 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2cccc(C)c2)C1 ZINC000885511051 708562256 /nfs/dbraw/zinc/56/22/56/708562256.db2.gz AOHICOSQELWATJ-INIZCTEOSA-N 1 2 306.431 1.512 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccccc2COC)C1 ZINC000885512620 708563552 /nfs/dbraw/zinc/56/35/52/708563552.db2.gz XEIZMYVRIABPAU-OAHLLOKOSA-N 1 2 322.430 1.209 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccccc2COC)C1 ZINC000885512620 708563554 /nfs/dbraw/zinc/56/35/54/708563554.db2.gz XEIZMYVRIABPAU-OAHLLOKOSA-N 1 2 322.430 1.209 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)c2ccnc(C#N)c2)n1 ZINC000927765697 713050947 /nfs/dbraw/zinc/05/09/47/713050947.db2.gz SMZBVVXCVYUXAZ-UHFFFAOYSA-N 1 2 301.375 1.401 20 30 DDEDLO CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000886470494 708765853 /nfs/dbraw/zinc/76/58/53/708765853.db2.gz OWQGHZJCRIEMJX-CQSZACIVSA-N 1 2 307.419 1.467 20 30 DDEDLO CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000886470494 708765855 /nfs/dbraw/zinc/76/58/55/708765855.db2.gz OWQGHZJCRIEMJX-CQSZACIVSA-N 1 2 307.419 1.467 20 30 DDEDLO C=CC[C@@H]([NH2+]CC1(N2CCOCC2)CCCCC1)C(=O)OC ZINC000887309055 709014022 /nfs/dbraw/zinc/01/40/22/709014022.db2.gz KKHUSUQMEXYFKX-OAHLLOKOSA-N 1 2 310.438 1.729 20 30 DDEDLO Cc1ccc(C(=O)/C=C\C(=O)NC[C@@H]2C[N@@H+](C)CCN2C)cc1 ZINC000900659482 709699476 /nfs/dbraw/zinc/69/94/76/709699476.db2.gz NQDRPPKTPBFXEZ-HLRDLLSLSA-N 1 2 315.417 1.096 20 30 DDEDLO Cc1ccc(C(=O)/C=C\C(=O)NC[C@@H]2C[N@H+](C)CCN2C)cc1 ZINC000900659482 709699477 /nfs/dbraw/zinc/69/94/77/709699477.db2.gz NQDRPPKTPBFXEZ-HLRDLLSLSA-N 1 2 315.417 1.096 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)c1)CN1C[C@@H]([C@@H]2CCCO2)CC1=O ZINC000902048502 710595386 /nfs/dbraw/zinc/59/53/86/710595386.db2.gz HRMJEAIFPXJYNM-IRXDYDNUSA-N 1 2 313.401 1.975 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)c1)CN1C[C@@H]([C@@H]2CCCO2)CC1=O ZINC000902048502 710595389 /nfs/dbraw/zinc/59/53/89/710595389.db2.gz HRMJEAIFPXJYNM-IRXDYDNUSA-N 1 2 313.401 1.975 20 30 DDEDLO Cn1c[nH+]cc1CCCN(c1ccc(C#N)cc1)S(C)(=O)=O ZINC000902213298 710667103 /nfs/dbraw/zinc/66/71/03/710667103.db2.gz CNXWGMUAWBESCK-UHFFFAOYSA-N 1 2 318.402 1.691 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1cn(C)nn1 ZINC000902971677 710979974 /nfs/dbraw/zinc/97/99/74/710979974.db2.gz ZDBONJUYWLULIE-SWLSCSKDSA-N 1 2 324.388 1.138 20 30 DDEDLO C/C(=C/C(=O)NCCc1ccc(C#N)cc1)C[NH+]1CCOCC1 ZINC000912292067 711263453 /nfs/dbraw/zinc/26/34/53/711263453.db2.gz ILWICSLNRUHOJW-QINSGFPZSA-N 1 2 313.401 1.495 20 30 DDEDLO CN(C[C@@H]1C[N@H+](C)CCO1)C(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000913508348 713241205 /nfs/dbraw/zinc/24/12/05/713241205.db2.gz YAYBOLJFOYYAHH-NDTCATRNSA-N 1 2 305.422 1.495 20 30 DDEDLO CN(C[C@@H]1C[N@@H+](C)CCO1)C(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000913508348 713241207 /nfs/dbraw/zinc/24/12/07/713241207.db2.gz YAYBOLJFOYYAHH-NDTCATRNSA-N 1 2 305.422 1.495 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc([C@H]2CCc3ccccc32)no1 ZINC000904093222 711379052 /nfs/dbraw/zinc/37/90/52/711379052.db2.gz OOTBANJNXQGVET-KBPBESRZSA-N 1 2 313.357 1.747 20 30 DDEDLO C[C@H](c1ccccc1)[N@@H+]1CC[C@H](N[C@@H]2CCCN(O)C2=O)C1 ZINC000895162334 711438276 /nfs/dbraw/zinc/43/82/76/711438276.db2.gz KNQLDDDMSXXADO-VNQPRFMTSA-N 1 2 303.406 1.792 20 30 DDEDLO C[C@H](c1ccccc1)[N@H+]1CC[C@H](N[C@@H]2CCCN(O)C2=O)C1 ZINC000895162334 711438277 /nfs/dbraw/zinc/43/82/77/711438277.db2.gz KNQLDDDMSXXADO-VNQPRFMTSA-N 1 2 303.406 1.792 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCc2ccc(C#N)cc2)[nH]n1 ZINC000895637288 711566020 /nfs/dbraw/zinc/56/60/20/711566020.db2.gz HMENWQFMQLLTTO-INIZCTEOSA-N 1 2 309.373 1.978 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)NCc2ccc(C#N)cc2)[nH]n1 ZINC000895637288 711566023 /nfs/dbraw/zinc/56/60/23/711566023.db2.gz HMENWQFMQLLTTO-INIZCTEOSA-N 1 2 309.373 1.978 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)Nc1cc(C#N)nc(C2CC2)n1 ZINC000895802139 711609959 /nfs/dbraw/zinc/60/99/59/711609959.db2.gz YVXVFEGATYTACC-CYBMUJFWSA-N 1 2 301.394 1.748 20 30 DDEDLO Cc1c(C(=O)NCCCCn2cc[nH+]c2)cnn1CCC#N ZINC000895827299 711618558 /nfs/dbraw/zinc/61/85/58/711618558.db2.gz JREUVYDAFRKAHC-UHFFFAOYSA-N 1 2 300.366 1.512 20 30 DDEDLO C=C(Br)CNC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1 ZINC000895905164 711642435 /nfs/dbraw/zinc/64/24/35/711642435.db2.gz HAEFOWPQRVEPCE-NSHDSACASA-N 1 2 313.199 1.815 20 30 DDEDLO C=C(Br)CNC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1 ZINC000895905164 711642438 /nfs/dbraw/zinc/64/24/38/711642438.db2.gz HAEFOWPQRVEPCE-NSHDSACASA-N 1 2 313.199 1.815 20 30 DDEDLO C=C(Br)CNC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1 ZINC000895905162 711642502 /nfs/dbraw/zinc/64/25/02/711642502.db2.gz HAEFOWPQRVEPCE-LLVKDONJSA-N 1 2 313.199 1.815 20 30 DDEDLO C=C(Br)CNC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1 ZINC000895905162 711642503 /nfs/dbraw/zinc/64/25/03/711642503.db2.gz HAEFOWPQRVEPCE-LLVKDONJSA-N 1 2 313.199 1.815 20 30 DDEDLO C=CCOC[C@@H](NC(=O)c1c(C)cc(C)[nH+]c1C)C(=O)OC ZINC000907928246 712650216 /nfs/dbraw/zinc/65/02/16/712650216.db2.gz RXEGBSHDYDHCME-CYBMUJFWSA-N 1 2 306.362 1.481 20 30 DDEDLO C=CCN(CCC#N)C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000908343982 712747069 /nfs/dbraw/zinc/74/70/69/712747069.db2.gz UBAHTWHPZVGUEK-UHFFFAOYSA-N 1 2 316.405 1.362 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000908658262 712831034 /nfs/dbraw/zinc/83/10/34/712831034.db2.gz CRSVOSCFILXNME-UKRRQHHQSA-N 1 2 301.390 1.972 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)CCCC(C)(F)F)C1 ZINC000919875574 713640209 /nfs/dbraw/zinc/64/02/09/713640209.db2.gz WVAQYMGHJYAGGJ-GFCCVEGCSA-N 1 2 308.394 1.439 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)CCCC(C)(F)F)C1 ZINC000919875574 713640210 /nfs/dbraw/zinc/64/02/10/713640210.db2.gz WVAQYMGHJYAGGJ-GFCCVEGCSA-N 1 2 308.394 1.439 20 30 DDEDLO C[N@H+](Cc1cncc(C#N)c1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000929628581 713654763 /nfs/dbraw/zinc/65/47/63/713654763.db2.gz GIKQPNCIXOMZAY-LBPRGKRZSA-N 1 2 312.295 1.548 20 30 DDEDLO C[N@@H+](Cc1cncc(C#N)c1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000929628581 713654765 /nfs/dbraw/zinc/65/47/65/713654765.db2.gz GIKQPNCIXOMZAY-LBPRGKRZSA-N 1 2 312.295 1.548 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N2CCC[C@H](C#N)C2)C1 ZINC000921358889 713743508 /nfs/dbraw/zinc/74/35/08/713743508.db2.gz TZPMNZDUKMTMJL-CYBMUJFWSA-N 1 2 319.430 1.599 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N2CCC[C@H](C#N)C2)C1 ZINC000921358889 713743511 /nfs/dbraw/zinc/74/35/11/713743511.db2.gz TZPMNZDUKMTMJL-CYBMUJFWSA-N 1 2 319.430 1.599 20 30 DDEDLO CN(C(=O)[C@@H]1CCCc2[nH+]c[nH]c21)C1CCC(NCC#N)CC1 ZINC000963901933 717941374 /nfs/dbraw/zinc/94/13/74/717941374.db2.gz CQWYYLCTGPSQMK-JXQTWKCFSA-N 1 2 315.421 1.712 20 30 DDEDLO C#Cc1ccc(CNC(=O)N[C@H](CC)C[NH+]2CCOCC2)cc1 ZINC000922666296 714114041 /nfs/dbraw/zinc/11/40/41/714114041.db2.gz SKJQDMIJTDVAKI-QGZVFWFLSA-N 1 2 315.417 1.578 20 30 DDEDLO CCOC(=O)[C@@H]1[C@@H]2CC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C[C@@H]21 ZINC000932065446 714250779 /nfs/dbraw/zinc/25/07/79/714250779.db2.gz OAEYDBYKFTXCHT-CXUGXGMPSA-N 1 2 321.421 1.172 20 30 DDEDLO CCOC(=O)[C@@H]1[C@@H]2CC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C[C@@H]21 ZINC000932065446 714250782 /nfs/dbraw/zinc/25/07/82/714250782.db2.gz OAEYDBYKFTXCHT-CXUGXGMPSA-N 1 2 321.421 1.172 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)NCC1(C#N)CCCCC1 ZINC000923311789 714307420 /nfs/dbraw/zinc/30/74/20/714307420.db2.gz WZPQBWNICNKDQN-HNNXBMFYSA-N 1 2 322.453 1.870 20 30 DDEDLO C=CC1CCN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)CC1 ZINC000932506048 714349322 /nfs/dbraw/zinc/34/93/22/714349322.db2.gz NSIXQIRJLNNJKN-CVEARBPZSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2sc3nccn3c2C)C1 ZINC000923566405 714407840 /nfs/dbraw/zinc/40/78/40/714407840.db2.gz MYNPRNPBFBZQNJ-LBPRGKRZSA-N 1 2 302.403 1.532 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2sc3nccn3c2C)C1 ZINC000923566405 714407841 /nfs/dbraw/zinc/40/78/41/714407841.db2.gz MYNPRNPBFBZQNJ-LBPRGKRZSA-N 1 2 302.403 1.532 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2sc([C@@H](C)OC)nc2C)C1 ZINC000923572438 714411177 /nfs/dbraw/zinc/41/11/77/714411177.db2.gz JEBKEKFAYGZXNI-OLZOCXBDSA-N 1 2 321.446 1.986 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2sc([C@@H](C)OC)nc2C)C1 ZINC000923572438 714411178 /nfs/dbraw/zinc/41/11/78/714411178.db2.gz JEBKEKFAYGZXNI-OLZOCXBDSA-N 1 2 321.446 1.986 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)Cn2ccc(=O)c3ccccc32)C1 ZINC000923580914 714415164 /nfs/dbraw/zinc/41/51/64/714415164.db2.gz FLZNWRAQKPJAGQ-HNNXBMFYSA-N 1 2 323.396 1.215 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)Cn2ccc(=O)c3ccccc32)C1 ZINC000923580914 714415165 /nfs/dbraw/zinc/41/51/65/714415165.db2.gz FLZNWRAQKPJAGQ-HNNXBMFYSA-N 1 2 323.396 1.215 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc(NCC=C)s2)C1 ZINC000923595859 714423250 /nfs/dbraw/zinc/42/32/50/714423250.db2.gz BHRVJZJTTVMDNL-GFCCVEGCSA-N 1 2 304.419 1.568 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc(NCC=C)s2)C1 ZINC000923595859 714423252 /nfs/dbraw/zinc/42/32/52/714423252.db2.gz BHRVJZJTTVMDNL-GFCCVEGCSA-N 1 2 304.419 1.568 20 30 DDEDLO O=C(NCC#CCO)N[C@H]1c2ccccc2CC[C@@H]1n1cc[nH+]c1 ZINC000923775264 714468391 /nfs/dbraw/zinc/46/83/91/714468391.db2.gz DSVPJFRPXTWFCP-IRXDYDNUSA-N 1 2 324.384 1.407 20 30 DDEDLO N#C[C@@]12C[N@H+]([C@H]3CCCc4ccccc43)C[C@H]1CS(=O)(=O)C2 ZINC000933118063 714518247 /nfs/dbraw/zinc/51/82/47/714518247.db2.gz IWMLPVFUBMHGAU-XIRDDKMYSA-N 1 2 316.426 1.934 20 30 DDEDLO N#C[C@@]12C[N@@H+]([C@H]3CCCc4ccccc43)C[C@H]1CS(=O)(=O)C2 ZINC000933118063 714518248 /nfs/dbraw/zinc/51/82/48/714518248.db2.gz IWMLPVFUBMHGAU-XIRDDKMYSA-N 1 2 316.426 1.934 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCOc3ccccc3[C@H]2CO)C1=O ZINC000933382877 714577355 /nfs/dbraw/zinc/57/73/55/714577355.db2.gz LSPPWOXKDWRZHQ-HUUCEWRRSA-N 1 2 302.374 1.201 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCOc3ccccc3[C@H]2CO)C1=O ZINC000933382877 714577356 /nfs/dbraw/zinc/57/73/56/714577356.db2.gz LSPPWOXKDWRZHQ-HUUCEWRRSA-N 1 2 302.374 1.201 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCO[C@@H]2COCC[C@@H]21 ZINC000933971545 714711552 /nfs/dbraw/zinc/71/15/52/714711552.db2.gz UMQCBCJZLNQPOZ-WMLDXEAASA-N 1 2 318.373 1.435 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCO[C@@H]2COCC[C@@H]21 ZINC000933971545 714711554 /nfs/dbraw/zinc/71/15/54/714711554.db2.gz UMQCBCJZLNQPOZ-WMLDXEAASA-N 1 2 318.373 1.435 20 30 DDEDLO C#CCC1(NC(=O)Cc2cn3c([nH+]2)CCCC3)CCOCC1 ZINC000925425195 714852935 /nfs/dbraw/zinc/85/29/35/714852935.db2.gz NBQDVQMYQSXMAH-UHFFFAOYSA-N 1 2 301.390 1.451 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+]([C@H]2CCN(C(=O)CCC)C2)CC1 ZINC000956600630 715484562 /nfs/dbraw/zinc/48/45/62/715484562.db2.gz ZMMSCZDKJZENFX-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccnc2N(C)C)C1 ZINC000957386154 715874770 /nfs/dbraw/zinc/87/47/70/715874770.db2.gz UVBBEKNURMITJS-UHFFFAOYSA-N 1 2 300.406 1.317 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCN(Cc2cccc(C#N)c2)CC1 ZINC000957469988 715915105 /nfs/dbraw/zinc/91/51/05/715915105.db2.gz JBXWKSXYOGXSKF-UHFFFAOYSA-N 1 2 323.400 1.477 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@H]2Cc3cccc(C)c3O2)C1 ZINC000957476263 715918683 /nfs/dbraw/zinc/91/86/83/715918683.db2.gz UYLBGOPHVIAYRA-QGZVFWFLSA-N 1 2 312.413 1.855 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2ccc3c(c2)OCCO3)CC1 ZINC000957564418 715954214 /nfs/dbraw/zinc/95/42/14/715954214.db2.gz RVEREHJKLYGEJT-UHFFFAOYSA-N 1 2 302.374 1.331 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc[nH]1 ZINC000958216992 716402631 /nfs/dbraw/zinc/40/26/31/716402631.db2.gz QFRIHACBXYGELE-QLPKVWCKSA-N 1 2 324.359 1.886 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc[nH]1 ZINC000958216992 716402634 /nfs/dbraw/zinc/40/26/34/716402634.db2.gz QFRIHACBXYGELE-QLPKVWCKSA-N 1 2 324.359 1.886 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)nn1C ZINC000960488278 716576429 /nfs/dbraw/zinc/57/64/29/716576429.db2.gz AJCROLNFKLSFLM-DOMZBBRYSA-N 1 2 303.410 1.311 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)nn1C ZINC000960488278 716576432 /nfs/dbraw/zinc/57/64/32/716576432.db2.gz AJCROLNFKLSFLM-DOMZBBRYSA-N 1 2 303.410 1.311 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cc(C#N)c[nH]2)no1 ZINC000958500111 716597609 /nfs/dbraw/zinc/59/76/09/716597609.db2.gz LYXORCPMKAJBFG-NHAGDIPZSA-N 1 2 311.345 1.043 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cc(C#N)c[nH]2)no1 ZINC000958500111 716597615 /nfs/dbraw/zinc/59/76/15/716597615.db2.gz LYXORCPMKAJBFG-NHAGDIPZSA-N 1 2 311.345 1.043 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(C#N)c1 ZINC000958617029 716666466 /nfs/dbraw/zinc/66/64/66/716666466.db2.gz ALXQJVONVBCIKS-BSLXNSKLSA-N 1 2 322.372 1.098 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(C#N)c1 ZINC000958617029 716666471 /nfs/dbraw/zinc/66/64/71/716666471.db2.gz ALXQJVONVBCIKS-BSLXNSKLSA-N 1 2 322.372 1.098 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2ccc(F)s2)C1 ZINC000958739714 716726944 /nfs/dbraw/zinc/72/69/44/716726944.db2.gz FRYOITQXFKADJV-ZJUUUORDSA-N 1 2 318.801 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2ccc(F)s2)C1 ZINC000958739714 716726947 /nfs/dbraw/zinc/72/69/47/716726947.db2.gz FRYOITQXFKADJV-ZJUUUORDSA-N 1 2 318.801 1.662 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cnns4)C[C@H]32)CC1 ZINC000961688336 717058626 /nfs/dbraw/zinc/05/86/26/717058626.db2.gz PWUGVPADRSKQGH-FICVDOATSA-N 1 2 318.446 1.831 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cnns4)C[C@H]32)CC1 ZINC000961688336 717058630 /nfs/dbraw/zinc/05/86/30/717058630.db2.gz PWUGVPADRSKQGH-FICVDOATSA-N 1 2 318.446 1.831 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@]3(C)CCC[C@H]3C)CC2)C1 ZINC000941552651 717206289 /nfs/dbraw/zinc/20/62/89/717206289.db2.gz KSPWOQUTUQJRSM-CRAIPNDOSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H](F)c3ccccc3)CC2)C1 ZINC000941586964 717220920 /nfs/dbraw/zinc/22/09/20/717220920.db2.gz GINZWYKSHZHCQO-QGZVFWFLSA-N 1 2 315.392 1.159 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCCC[C@H]3CC)CC2)C1 ZINC000941623706 717233583 /nfs/dbraw/zinc/23/35/83/717233583.db2.gz ZNPPBWYGCFCDJQ-AEFFLSMTSA-N 1 2 317.477 1.664 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC000963297945 717730560 /nfs/dbraw/zinc/73/05/60/717730560.db2.gz KDTHRFMVAAUGHO-HUUCEWRRSA-N 1 2 315.421 1.569 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnon3)C[C@H]2C)C1 ZINC000942607469 717774512 /nfs/dbraw/zinc/77/45/12/717774512.db2.gz GECOGPBPWXRPHK-OCCSQVGLSA-N 1 2 304.394 1.753 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnon3)C[C@H]2C)C1 ZINC000942607469 717774514 /nfs/dbraw/zinc/77/45/14/717774514.db2.gz GECOGPBPWXRPHK-OCCSQVGLSA-N 1 2 304.394 1.753 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1ncc[nH]1 ZINC000966000414 717855867 /nfs/dbraw/zinc/85/58/67/717855867.db2.gz OONAXJNBEHQVLC-CJNGLKHVSA-N 1 2 323.400 1.922 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1ncc[nH]1 ZINC000966000414 717855872 /nfs/dbraw/zinc/85/58/72/717855872.db2.gz OONAXJNBEHQVLC-CJNGLKHVSA-N 1 2 323.400 1.922 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)C[C@H]2C)cn1 ZINC000944214998 718273183 /nfs/dbraw/zinc/27/31/83/718273183.db2.gz PKJRMJFXCWFQHJ-DYVFJYSZSA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)C[C@H]2C)cn1 ZINC000944214998 718273186 /nfs/dbraw/zinc/27/31/86/718273186.db2.gz PKJRMJFXCWFQHJ-DYVFJYSZSA-N 1 2 324.384 1.691 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)Cn2cc[nH+]c2C)[C@H](C)C1 ZINC000944965086 718370678 /nfs/dbraw/zinc/37/06/78/718370678.db2.gz PXRYZGWXTHQDGB-RISCZKNCSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC000966790160 718661282 /nfs/dbraw/zinc/66/12/82/718661282.db2.gz WAPMAQJTOCQBPQ-ABAIWWIYSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC000966790160 718661285 /nfs/dbraw/zinc/66/12/85/718661285.db2.gz WAPMAQJTOCQBPQ-ABAIWWIYSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC000966790167 718661467 /nfs/dbraw/zinc/66/14/67/718661467.db2.gz WAPMAQJTOCQBPQ-NHYWBVRUSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC000966790167 718661469 /nfs/dbraw/zinc/66/14/69/718661469.db2.gz WAPMAQJTOCQBPQ-NHYWBVRUSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2c(C)ncn2C)C1 ZINC000966879738 718691848 /nfs/dbraw/zinc/69/18/48/718691848.db2.gz PTOCYQMHIFDYII-GXFFZTMASA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2c(C)ncn2C)C1 ZINC000966879738 718691851 /nfs/dbraw/zinc/69/18/51/718691851.db2.gz PTOCYQMHIFDYII-GXFFZTMASA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967723555 718989026 /nfs/dbraw/zinc/98/90/26/718989026.db2.gz OTZFDESOQMPMHP-ONGXEEELSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967723555 718989029 /nfs/dbraw/zinc/98/90/29/718989029.db2.gz OTZFDESOQMPMHP-ONGXEEELSA-N 1 2 312.801 1.318 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CCN(CC#N)[C@H](C)C2)c[nH+]1 ZINC000947440505 719164139 /nfs/dbraw/zinc/16/41/39/719164139.db2.gz AOGRLWPGASWCIJ-ZIAGYGMSSA-N 1 2 303.410 1.419 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2cn[nH]n2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947484025 719181824 /nfs/dbraw/zinc/18/18/24/719181824.db2.gz GTLGFALTPSLKKC-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2cn[nH]n2)CC[N@H+]1Cc1ccccc1C#N ZINC000947484025 719181825 /nfs/dbraw/zinc/18/18/25/719181825.db2.gz GTLGFALTPSLKKC-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947484025 719181827 /nfs/dbraw/zinc/18/18/27/719181827.db2.gz GTLGFALTPSLKKC-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)CC[N@H+]1Cc1ccccc1C#N ZINC000947484025 719181830 /nfs/dbraw/zinc/18/18/30/719181830.db2.gz GTLGFALTPSLKKC-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000968684872 719734525 /nfs/dbraw/zinc/73/45/25/719734525.db2.gz JZUFIRWWBUKCTC-DZGCQCFKSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000968684872 719734528 /nfs/dbraw/zinc/73/45/28/719734528.db2.gz JZUFIRWWBUKCTC-DZGCQCFKSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnc(C)[nH]1 ZINC000948902804 719775733 /nfs/dbraw/zinc/77/57/33/719775733.db2.gz VMAWGYJLLARHAO-KRWDZBQOSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnc(C)[nH]1 ZINC000948902804 719775734 /nfs/dbraw/zinc/77/57/34/719775734.db2.gz VMAWGYJLLARHAO-KRWDZBQOSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn(CC)nn1 ZINC000948975048 719819041 /nfs/dbraw/zinc/81/90/41/719819041.db2.gz SACKQTPKWWFILD-QGZVFWFLSA-N 1 2 323.400 1.260 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn(CC)nn1 ZINC000948975048 719819048 /nfs/dbraw/zinc/81/90/48/719819048.db2.gz SACKQTPKWWFILD-QGZVFWFLSA-N 1 2 323.400 1.260 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cnccc3C)CC2)C1 ZINC000949328909 720019645 /nfs/dbraw/zinc/01/96/45/720019645.db2.gz GPCAKNGMDAGTCN-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cnccc3C)CC2)C1 ZINC000949328909 720019646 /nfs/dbraw/zinc/01/96/46/720019646.db2.gz GPCAKNGMDAGTCN-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C34CCC(CC3)C4)CC2)C1 ZINC000949399021 720061251 /nfs/dbraw/zinc/06/12/51/720061251.db2.gz UYPIFOYSPLPKNP-UHFFFAOYSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C34CCC(CC3)C4)CC2)C1 ZINC000949399021 720061257 /nfs/dbraw/zinc/06/12/57/720061257.db2.gz UYPIFOYSPLPKNP-UHFFFAOYSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(CC)o3)CC2)C1 ZINC000949398699 720061938 /nfs/dbraw/zinc/06/19/38/720061938.db2.gz PSGRDSYXTYLOGT-UHFFFAOYSA-N 1 2 316.401 1.782 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(CC)o3)CC2)C1 ZINC000949398699 720061944 /nfs/dbraw/zinc/06/19/44/720061944.db2.gz PSGRDSYXTYLOGT-UHFFFAOYSA-N 1 2 316.401 1.782 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CCC[C@@H]3OC)CC2)C1 ZINC000949401375 720063133 /nfs/dbraw/zinc/06/31/33/720063133.db2.gz ASWYTOMQRGFGPK-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CCC[C@@H]3OC)CC2)C1 ZINC000949401375 720063136 /nfs/dbraw/zinc/06/31/36/720063136.db2.gz ASWYTOMQRGFGPK-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3csc(C)n3)CC2)C1 ZINC000949446819 720093184 /nfs/dbraw/zinc/09/31/84/720093184.db2.gz FKZIBVQSKKDZAW-UHFFFAOYSA-N 1 2 319.430 1.392 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3csc(C)n3)CC2)C1 ZINC000949446819 720093188 /nfs/dbraw/zinc/09/31/88/720093188.db2.gz FKZIBVQSKKDZAW-UHFFFAOYSA-N 1 2 319.430 1.392 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC000969946880 720571342 /nfs/dbraw/zinc/57/13/42/720571342.db2.gz FNRRXFUVXFLSMN-NSHDSACASA-N 1 2 324.812 1.189 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cc(=O)[nH]c(C3CC3)n2)C1 ZINC000950573048 720689796 /nfs/dbraw/zinc/68/97/96/720689796.db2.gz AWPIRQAJVHBDPD-UHFFFAOYSA-N 1 2 316.405 1.782 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2csc(NC(C)=O)n2)C1 ZINC000950701460 720740076 /nfs/dbraw/zinc/74/00/76/720740076.db2.gz MZCBRPUGRDYURA-UHFFFAOYSA-N 1 2 322.434 1.824 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3ccncc3n2)C1 ZINC000950898161 720818074 /nfs/dbraw/zinc/81/80/74/720818074.db2.gz GOQKFCVOSNCTCE-UHFFFAOYSA-N 1 2 308.385 1.799 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3nc(C)nn3C2)C1 ZINC000950965578 720854995 /nfs/dbraw/zinc/85/49/95/720854995.db2.gz GSWGXOMJWCAMNV-CQSZACIVSA-N 1 2 317.437 1.258 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)Nc3ccccc32)C1 ZINC000951017470 720880916 /nfs/dbraw/zinc/88/09/16/720880916.db2.gz RRZIKEMNILXHML-HNNXBMFYSA-N 1 2 313.401 1.831 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccc(N(C)C)nn2)C1 ZINC000970743022 720918227 /nfs/dbraw/zinc/91/82/27/720918227.db2.gz AZFNIRSXHMTYHC-LLVKDONJSA-N 1 2 323.828 1.345 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cccc(-n3cncn3)c2)C1 ZINC000951719522 721152107 /nfs/dbraw/zinc/15/21/07/721152107.db2.gz RJLVWGQUZQOQFY-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC000951730175 721156388 /nfs/dbraw/zinc/15/63/88/721156388.db2.gz MGKZYQLMQXBAES-CQSZACIVSA-N 1 2 300.406 1.386 20 30 DDEDLO C=CC[NH+]1CCN(c2nc(Cl)nc3c2CN(C)CC3)CC1 ZINC001165034170 721872912 /nfs/dbraw/zinc/87/29/12/721872912.db2.gz GNROWHIRYHIWQP-UHFFFAOYSA-N 1 2 307.829 1.426 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)noc3CC)C2)C1 ZINC000972676168 735463154 /nfs/dbraw/zinc/46/31/54/735463154.db2.gz DKQCNSKKIHTYIX-KRWDZBQOSA-N 1 2 319.405 1.648 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)noc3CC)C2)C1 ZINC000972676168 735463158 /nfs/dbraw/zinc/46/31/58/735463158.db2.gz DKQCNSKKIHTYIX-KRWDZBQOSA-N 1 2 319.405 1.648 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCCN(C)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC001067448683 735482198 /nfs/dbraw/zinc/48/21/98/735482198.db2.gz HVYOAKYLWVQLFZ-KBPBESRZSA-N 1 2 319.409 1.301 20 30 DDEDLO CN(CC#N)[C@H]1CCCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC001027638403 738499001 /nfs/dbraw/zinc/49/90/01/738499001.db2.gz RLCLCIQBJLKTAB-HNNXBMFYSA-N 1 2 324.388 1.327 20 30 DDEDLO C=CCN(CC[N@@H+]1CC[C@@](F)(C(=O)OC)C1)C(=O)OCC ZINC001209017750 732557966 /nfs/dbraw/zinc/55/79/66/732557966.db2.gz WVMGJDVZKGIPPH-AWEZNQCLSA-N 1 2 302.346 1.218 20 30 DDEDLO C=CCN(CC[N@H+]1CC[C@@](F)(C(=O)OC)C1)C(=O)OCC ZINC001209017750 732557969 /nfs/dbraw/zinc/55/79/69/732557969.db2.gz WVMGJDVZKGIPPH-AWEZNQCLSA-N 1 2 302.346 1.218 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CCC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001027836337 738714651 /nfs/dbraw/zinc/71/46/51/738714651.db2.gz QNPDKBGEUKLTMQ-DOMZBBRYSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CCC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001027836337 738714654 /nfs/dbraw/zinc/71/46/54/738714654.db2.gz QNPDKBGEUKLTMQ-DOMZBBRYSA-N 1 2 324.388 1.632 20 30 DDEDLO CCn1nncc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038237413 734695049 /nfs/dbraw/zinc/69/50/49/734695049.db2.gz XHEMFXJTHAQFJS-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1nncc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038237413 734695051 /nfs/dbraw/zinc/69/50/51/734695051.db2.gz XHEMFXJTHAQFJS-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](CO)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001121372037 782477559 /nfs/dbraw/zinc/47/75/59/782477559.db2.gz STNMJTBFGDKBHU-AWEZNQCLSA-N 1 2 316.405 1.355 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001024316482 735745204 /nfs/dbraw/zinc/74/52/04/735745204.db2.gz QJXSCPXRANJTOC-CQSZACIVSA-N 1 2 309.797 1.657 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001024316482 735745205 /nfs/dbraw/zinc/74/52/05/735745205.db2.gz QJXSCPXRANJTOC-CQSZACIVSA-N 1 2 309.797 1.657 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3occc3C[NH+](C)C)C[C@H]21 ZINC001042205350 735990978 /nfs/dbraw/zinc/99/09/78/735990978.db2.gz XAYYCFRYJQQXEF-GOEBONIOSA-N 1 2 315.417 1.511 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001111987115 736349074 /nfs/dbraw/zinc/34/90/74/736349074.db2.gz ACQPJBOZWRJUNI-RHSMWYFYSA-N 1 2 318.421 1.587 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105318664 737838231 /nfs/dbraw/zinc/83/82/31/737838231.db2.gz KFGHTHXHBDEUKY-OAHLLOKOSA-N 1 2 309.389 1.323 20 30 DDEDLO N#Cc1ccc(N2C[C@H]3CC[C@@H](C2)N3C(=O)Cn2cc[nH+]c2)cn1 ZINC001058763621 738163169 /nfs/dbraw/zinc/16/31/69/738163169.db2.gz NZQYKYGUFAEECV-IYBDPMFKSA-N 1 2 322.372 1.030 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)c(C)o1 ZINC001027336060 738208344 /nfs/dbraw/zinc/20/83/44/738208344.db2.gz MUFAJUXPALIBFK-RISCZKNCSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)c(C)o1 ZINC001027336060 738208345 /nfs/dbraw/zinc/20/83/45/738208345.db2.gz MUFAJUXPALIBFK-RISCZKNCSA-N 1 2 304.394 1.874 20 30 DDEDLO CCn1cc(C[N@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)nn1 ZINC001027408214 738272571 /nfs/dbraw/zinc/27/25/71/738272571.db2.gz KXXNICHSDAEJNQ-INIZCTEOSA-N 1 2 315.421 1.134 20 30 DDEDLO CCn1cc(C[N@@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)nn1 ZINC001027408214 738272574 /nfs/dbraw/zinc/27/25/74/738272574.db2.gz KXXNICHSDAEJNQ-INIZCTEOSA-N 1 2 315.421 1.134 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@H]2CNC(=O)c2cn[nH]n2)c1 ZINC001027990095 738915081 /nfs/dbraw/zinc/91/50/81/738915081.db2.gz GCXQCYPYXVHIRQ-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@H]2CNC(=O)c2cn[nH]n2)c1 ZINC001027990095 738915084 /nfs/dbraw/zinc/91/50/84/738915084.db2.gz GCXQCYPYXVHIRQ-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@H]2CNC(=O)c2cnn[nH]2)c1 ZINC001027990095 738915085 /nfs/dbraw/zinc/91/50/85/738915085.db2.gz GCXQCYPYXVHIRQ-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@H]2CNC(=O)c2cnn[nH]2)c1 ZINC001027990095 738915087 /nfs/dbraw/zinc/91/50/87/738915087.db2.gz GCXQCYPYXVHIRQ-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1coc(C(N)=O)c1 ZINC001028045941 738962486 /nfs/dbraw/zinc/96/24/86/738962486.db2.gz UJKPARAZQDDECC-LLVKDONJSA-N 1 2 311.769 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1coc(C(N)=O)c1 ZINC001028045941 738962489 /nfs/dbraw/zinc/96/24/89/738962489.db2.gz UJKPARAZQDDECC-LLVKDONJSA-N 1 2 311.769 1.325 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3C[C@]3(C)C(C)C)C2)nn1 ZINC001105354533 738989085 /nfs/dbraw/zinc/98/90/85/738989085.db2.gz NQLOQTYCXGQQLM-NVXWUHKLSA-N 1 2 315.421 1.066 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3C[C@]3(C)CC)C2)nn1 ZINC001105354303 738990241 /nfs/dbraw/zinc/99/02/41/738990241.db2.gz HOWXQSMWKQCKLA-RDJZCZTQSA-N 1 2 315.421 1.211 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001038866115 739019994 /nfs/dbraw/zinc/01/99/94/739019994.db2.gz WNMGAYLIYRWLFZ-STQMWFEESA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001038866115 739019996 /nfs/dbraw/zinc/01/99/96/739019996.db2.gz WNMGAYLIYRWLFZ-STQMWFEESA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1noc2c1C[C@@H](C)CC2 ZINC001038866689 739020512 /nfs/dbraw/zinc/02/05/12/739020512.db2.gz YNTQNXXTDVNYCU-STQMWFEESA-N 1 2 301.390 1.627 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1noc2c1C[C@@H](C)CC2 ZINC001038866689 739020517 /nfs/dbraw/zinc/02/05/17/739020517.db2.gz YNTQNXXTDVNYCU-STQMWFEESA-N 1 2 301.390 1.627 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001207622922 739131191 /nfs/dbraw/zinc/13/11/91/739131191.db2.gz LTXYYICBHQPDCV-QWHCGFSZSA-N 1 2 324.450 1.600 20 30 DDEDLO CCc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)cs1 ZINC001075616372 739188552 /nfs/dbraw/zinc/18/85/52/739188552.db2.gz QXVODXIPIGTEJH-SGMGOOAPSA-N 1 2 318.446 1.898 20 30 DDEDLO CCc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)cs1 ZINC001075616372 739188554 /nfs/dbraw/zinc/18/85/54/739188554.db2.gz QXVODXIPIGTEJH-SGMGOOAPSA-N 1 2 318.446 1.898 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cn(CC2CC2)nn1 ZINC001028228988 739199665 /nfs/dbraw/zinc/19/96/65/739199665.db2.gz DWZHWCQVWIFQTK-ZDUSSCGKSA-N 1 2 323.828 1.635 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cn(CC2CC2)nn1 ZINC001028228988 739199667 /nfs/dbraw/zinc/19/96/67/739199667.db2.gz DWZHWCQVWIFQTK-ZDUSSCGKSA-N 1 2 323.828 1.635 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001028293312 739312830 /nfs/dbraw/zinc/31/28/30/739312830.db2.gz HJNCPDCXQFXUCJ-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001028293312 739312835 /nfs/dbraw/zinc/31/28/35/739312835.db2.gz HJNCPDCXQFXUCJ-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3c2COCC3)C1 ZINC001035394521 751464833 /nfs/dbraw/zinc/46/48/33/751464833.db2.gz PQLQZVPMKUYYIW-HNNXBMFYSA-N 1 2 316.401 1.376 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3c2COCC3)C1 ZINC001035394521 751464836 /nfs/dbraw/zinc/46/48/36/751464836.db2.gz PQLQZVPMKUYYIW-HNNXBMFYSA-N 1 2 316.401 1.376 20 30 DDEDLO O=C(C[C@H]1C=CCC1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083330575 739675331 /nfs/dbraw/zinc/67/53/31/739675331.db2.gz BDBLPVVTGPGSCO-OTWHNJEPSA-N 1 2 324.424 1.556 20 30 DDEDLO O=C(C[C@H]1C=CCC1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083330575 739675334 /nfs/dbraw/zinc/67/53/34/739675334.db2.gz BDBLPVVTGPGSCO-OTWHNJEPSA-N 1 2 324.424 1.556 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001035406207 751488988 /nfs/dbraw/zinc/48/89/88/751488988.db2.gz GSLWMJIRKMCLGH-AWEZNQCLSA-N 1 2 300.362 1.131 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001035406207 751488994 /nfs/dbraw/zinc/48/89/94/751488994.db2.gz GSLWMJIRKMCLGH-AWEZNQCLSA-N 1 2 300.362 1.131 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cscc2Cl)C1 ZINC001035405926 751489375 /nfs/dbraw/zinc/48/93/75/751489375.db2.gz FSJABDRNHPJVBE-LLVKDONJSA-N 1 2 312.822 1.855 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cscc2Cl)C1 ZINC001035405926 751489378 /nfs/dbraw/zinc/48/93/78/751489378.db2.gz FSJABDRNHPJVBE-LLVKDONJSA-N 1 2 312.822 1.855 20 30 DDEDLO C#CC[N@H+]1CC[C@H](CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001028840500 740100813 /nfs/dbraw/zinc/10/08/13/740100813.db2.gz GSFOVGJZMZIEOF-GFCCVEGCSA-N 1 2 310.357 1.020 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001028840500 740100815 /nfs/dbraw/zinc/10/08/15/740100815.db2.gz GSFOVGJZMZIEOF-GFCCVEGCSA-N 1 2 310.357 1.020 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C)nc2C2CC2)C1 ZINC001035459056 751521552 /nfs/dbraw/zinc/52/15/52/751521552.db2.gz HMZLJDIHKGJWKX-OAHLLOKOSA-N 1 2 315.417 1.884 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C)nc2C2CC2)C1 ZINC001035459056 751521556 /nfs/dbraw/zinc/52/15/56/751521556.db2.gz HMZLJDIHKGJWKX-OAHLLOKOSA-N 1 2 315.417 1.884 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001035487753 751541733 /nfs/dbraw/zinc/54/17/33/751541733.db2.gz MZZQTNARGHGEQQ-HZPDHXFCSA-N 1 2 315.417 1.505 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001035487753 751541735 /nfs/dbraw/zinc/54/17/35/751541735.db2.gz MZZQTNARGHGEQQ-HZPDHXFCSA-N 1 2 315.417 1.505 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3(C)CCC3)C2)nn1 ZINC001098698493 740448509 /nfs/dbraw/zinc/44/85/09/740448509.db2.gz UVNXDBMPJDJACG-HNNXBMFYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001035468284 751552748 /nfs/dbraw/zinc/55/27/48/751552748.db2.gz XXUBRPCMYHWJNE-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001035468284 751552753 /nfs/dbraw/zinc/55/27/53/751552753.db2.gz XXUBRPCMYHWJNE-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)on2)C1 ZINC001035469452 751553767 /nfs/dbraw/zinc/55/37/67/751553767.db2.gz ZBCALQRXIVZJEO-CYBMUJFWSA-N 1 2 305.378 1.252 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)on2)C1 ZINC001035469452 751553771 /nfs/dbraw/zinc/55/37/71/751553771.db2.gz ZBCALQRXIVZJEO-CYBMUJFWSA-N 1 2 305.378 1.252 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2ccon2)[C@@H]1CC ZINC001087732415 740725001 /nfs/dbraw/zinc/72/50/01/740725001.db2.gz UXZXSNUUROCWDD-QXSJWSMHSA-N 1 2 319.405 1.735 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2ccon2)[C@@H]1CC ZINC001087732415 740725004 /nfs/dbraw/zinc/72/50/04/740725004.db2.gz UXZXSNUUROCWDD-QXSJWSMHSA-N 1 2 319.405 1.735 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCCO[C@H]2C(=C)C)C1 ZINC001035544265 751592068 /nfs/dbraw/zinc/59/20/68/751592068.db2.gz YGJFOYVZFGBWMK-PMPSAXMXSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCCO[C@H]2C(=C)C)C1 ZINC001035544265 751592073 /nfs/dbraw/zinc/59/20/73/751592073.db2.gz YGJFOYVZFGBWMK-PMPSAXMXSA-N 1 2 308.422 1.361 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H](C[N@H+](C)Cc2nnc(C3CC3)[nH]2)C1 ZINC001029677459 741219164 /nfs/dbraw/zinc/21/91/64/741219164.db2.gz MCYVTXOHHCQLOH-NWDGAFQWSA-N 1 2 316.409 1.122 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nnc(C3CC3)[nH]2)C1 ZINC001029677459 741219165 /nfs/dbraw/zinc/21/91/65/741219165.db2.gz MCYVTXOHHCQLOH-NWDGAFQWSA-N 1 2 316.409 1.122 20 30 DDEDLO Cc1nc([C@@H](C)[N@H+](C)C[C@H]2CCN(C(=O)C#CC3CC3)C2)no1 ZINC001029735230 741265820 /nfs/dbraw/zinc/26/58/20/741265820.db2.gz GZMUWBGZYZALIA-IUODEOHRSA-N 1 2 316.405 1.633 20 30 DDEDLO Cc1nc([C@@H](C)[N@@H+](C)C[C@H]2CCN(C(=O)C#CC3CC3)C2)no1 ZINC001029735230 741265825 /nfs/dbraw/zinc/26/58/25/741265825.db2.gz GZMUWBGZYZALIA-IUODEOHRSA-N 1 2 316.405 1.633 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2coc(C3CCC3)n2)C1 ZINC001035573409 751628357 /nfs/dbraw/zinc/62/83/57/751628357.db2.gz HXTCMFVGSGTFEM-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2coc(C3CCC3)n2)C1 ZINC001035573409 751628364 /nfs/dbraw/zinc/62/83/64/751628364.db2.gz HXTCMFVGSGTFEM-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO N#CCN[C@H]1CCCCCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC001088338152 741411367 /nfs/dbraw/zinc/41/13/67/741411367.db2.gz WJRCBYLCUZXZFP-GJZGRUSLSA-N 1 2 315.421 1.330 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCCCCN(C(=O)Cc2ncn[nH]2)C1 ZINC001088411462 741470989 /nfs/dbraw/zinc/47/09/89/741470989.db2.gz HQNHKKOREZNNSC-LBPRGKRZSA-N 1 2 311.817 1.461 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H](C)C(C)C)C2)nn1 ZINC001098740382 741551843 /nfs/dbraw/zinc/55/18/43/741551843.db2.gz QAEGFOXECDDTJX-ZFWWWQNUSA-N 1 2 303.410 1.066 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(COC)CCCC2)C1 ZINC001107982252 751651804 /nfs/dbraw/zinc/65/18/04/751651804.db2.gz SEXHLJFWQVAKCX-KRWDZBQOSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(COC)CCCC2)C1 ZINC001107982252 751651808 /nfs/dbraw/zinc/65/18/08/751651808.db2.gz SEXHLJFWQVAKCX-KRWDZBQOSA-N 1 2 322.449 1.424 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CCN(C)c1ccc(C#N)cn1 ZINC001105443478 741701366 /nfs/dbraw/zinc/70/13/66/741701366.db2.gz KSHBEPDWLXYINU-UHFFFAOYSA-N 1 2 312.377 1.122 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cncnc2C(C)C)C1 ZINC001035604387 751663491 /nfs/dbraw/zinc/66/34/91/751663491.db2.gz BVPBGVYNXSEXBL-CQSZACIVSA-N 1 2 316.405 1.054 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cncnc2C(C)C)C1 ZINC001035604387 751663496 /nfs/dbraw/zinc/66/34/96/751663496.db2.gz BVPBGVYNXSEXBL-CQSZACIVSA-N 1 2 316.405 1.054 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc3n2CCOC3)[C@H]1C ZINC001088636509 742031720 /nfs/dbraw/zinc/03/17/20/742031720.db2.gz GLFNFLGPMTYGAL-NEPJUHHUSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc3n2CCOC3)[C@H]1C ZINC001088636509 742031725 /nfs/dbraw/zinc/03/17/25/742031725.db2.gz GLFNFLGPMTYGAL-NEPJUHHUSA-N 1 2 324.812 1.358 20 30 DDEDLO CC(C)Cc1nnc([C@@H](C)[NH2+]CCNC(=O)C#CC2CC2)o1 ZINC001126880821 742415118 /nfs/dbraw/zinc/41/51/18/742415118.db2.gz BXTLUSDTUQPLMQ-GFCCVEGCSA-N 1 2 304.394 1.448 20 30 DDEDLO Cc1cc(C[NH+]2CC3(C2)CCN(C(=O)[C@@H](C)C#N)CC3)nn1C ZINC001035673456 751753230 /nfs/dbraw/zinc/75/32/30/751753230.db2.gz OLGDIFMTKBVJFM-ZDUSSCGKSA-N 1 2 315.421 1.313 20 30 DDEDLO Cc1cnc(C[NH+]2CC3(C2)CCN(C(=O)[C@@H](C)C#N)CC3)o1 ZINC001035673823 751754191 /nfs/dbraw/zinc/75/41/91/751754191.db2.gz PMHUXHONTUUEMU-LBPRGKRZSA-N 1 2 302.378 1.567 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001076545597 742782654 /nfs/dbraw/zinc/78/26/54/742782654.db2.gz FMGDNUQEBRRYNP-KBPBESRZSA-N 1 2 306.410 1.811 20 30 DDEDLO C#CC[NH+]1CCC(CNC(=O)c2n[nH]nc2-c2ccccc2)CC1 ZINC001002157339 742901649 /nfs/dbraw/zinc/90/16/49/742901649.db2.gz IVKCSHMXHYTTIY-UHFFFAOYSA-N 1 2 323.400 1.547 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)C)nc2)C1 ZINC001077131971 743263870 /nfs/dbraw/zinc/26/38/70/743263870.db2.gz NWJQENGDEPZQFJ-HZPDHXFCSA-N 1 2 301.390 1.003 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)C)nc2)C1 ZINC001077131971 743263875 /nfs/dbraw/zinc/26/38/75/743263875.db2.gz NWJQENGDEPZQFJ-HZPDHXFCSA-N 1 2 301.390 1.003 20 30 DDEDLO CC/C=C/CC[N@@H+]1CCn2ncc(CNC(=O)[C@H](C)C#N)c2C1 ZINC001128265144 743416189 /nfs/dbraw/zinc/41/61/89/743416189.db2.gz UYTIFPYQQFGDCU-ISZGNANSSA-N 1 2 315.421 1.831 20 30 DDEDLO CC/C=C/CC[N@H+]1CCn2ncc(CNC(=O)[C@H](C)C#N)c2C1 ZINC001128265144 743416193 /nfs/dbraw/zinc/41/61/93/743416193.db2.gz UYTIFPYQQFGDCU-ISZGNANSSA-N 1 2 315.421 1.831 20 30 DDEDLO Cc1nc(NCC2(CCNC(=O)c3cc(C#N)c[nH]3)CC2)cc[nH+]1 ZINC001089929413 751826293 /nfs/dbraw/zinc/82/62/93/751826293.db2.gz OJPWQRRRYGMVOH-UHFFFAOYSA-N 1 2 324.388 1.997 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2nc3ccccc3cc2C)C1 ZINC001077412276 743459751 /nfs/dbraw/zinc/45/97/51/743459751.db2.gz TUWIYUGPLWDJCL-IAGOWNOFSA-N 1 2 323.396 1.341 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2nc3ccccc3cc2C)C1 ZINC001077412276 743459753 /nfs/dbraw/zinc/45/97/53/743459753.db2.gz TUWIYUGPLWDJCL-IAGOWNOFSA-N 1 2 323.396 1.341 20 30 DDEDLO C#C[C@H](C)NC(=O)C[N@@H+]1CCC[C@@H](N(C)C(=O)OC(C)(C)C)C1 ZINC001182305465 743560604 /nfs/dbraw/zinc/56/06/04/743560604.db2.gz ISCNMJFKIDRWAD-UONOGXRCSA-N 1 2 323.437 1.456 20 30 DDEDLO C#C[C@H](C)NC(=O)C[N@H+]1CCC[C@@H](N(C)C(=O)OC(C)(C)C)C1 ZINC001182305465 743560606 /nfs/dbraw/zinc/56/06/06/743560606.db2.gz ISCNMJFKIDRWAD-UONOGXRCSA-N 1 2 323.437 1.456 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC001182388570 743607769 /nfs/dbraw/zinc/60/77/69/743607769.db2.gz JKRXUVPJMQUKEH-CYBMUJFWSA-N 1 2 318.421 1.717 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060237316 743711952 /nfs/dbraw/zinc/71/19/52/743711952.db2.gz FGZTTWRIYIEJOX-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)[C@H](C)COC)CC2)C1 ZINC001105713631 743745679 /nfs/dbraw/zinc/74/56/79/743745679.db2.gz NIKSAHSSECATDW-CABCVRRESA-N 1 2 324.465 1.783 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105721294 743759266 /nfs/dbraw/zinc/75/92/66/743759266.db2.gz XJEMIWMTJUHBGA-HNNXBMFYSA-N 1 2 324.465 1.927 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)C1(c2ccccc2C#N)CC1 ZINC001183293621 743815062 /nfs/dbraw/zinc/81/50/62/743815062.db2.gz OXMIGMJOPWSQSL-UHFFFAOYSA-N 1 2 324.384 1.749 20 30 DDEDLO CN(CCCN(C)c1ccc(C#N)cn1)C(=O)Cc1[nH]cc[nH+]1 ZINC001112118248 744109439 /nfs/dbraw/zinc/10/94/39/744109439.db2.gz AEQWEEPDRBOTBM-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)c2ccn[nH]2)C1 ZINC001006809894 751890560 /nfs/dbraw/zinc/89/05/60/751890560.db2.gz XXCBZPIQLGOZPH-HNNXBMFYSA-N 1 2 309.373 1.676 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)c2ccn[nH]2)C1 ZINC001006809894 751890568 /nfs/dbraw/zinc/89/05/68/751890568.db2.gz XXCBZPIQLGOZPH-HNNXBMFYSA-N 1 2 309.373 1.676 20 30 DDEDLO Cc1nsc(NC2(CNC(=O)Cc3c[nH]c[nH+]3)CC2)c1C#N ZINC001110356632 744156634 /nfs/dbraw/zinc/15/66/34/744156634.db2.gz GKWFGZRZPUNJBU-UHFFFAOYSA-N 1 2 316.390 1.350 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001185303591 744201149 /nfs/dbraw/zinc/20/11/49/744201149.db2.gz DSONFWCRQWNWDI-CYBMUJFWSA-N 1 2 304.394 1.994 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)CCOC ZINC001151533580 744337854 /nfs/dbraw/zinc/33/78/54/744337854.db2.gz WANLCQAMNNZJGV-LLVKDONJSA-N 1 2 307.232 1.758 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)CCOC ZINC001151533580 744337858 /nfs/dbraw/zinc/33/78/58/744337858.db2.gz WANLCQAMNNZJGV-LLVKDONJSA-N 1 2 307.232 1.758 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H]2COc3ccc(Cl)cc32)C1 ZINC001030678184 744437390 /nfs/dbraw/zinc/43/73/90/744437390.db2.gz KWKPAPIOSSRCJM-AWEZNQCLSA-N 1 2 304.777 1.640 20 30 DDEDLO CCN1CC[NH+](Cc2ccc(NC(=O)C#CCOC)cc2)CC1 ZINC001187131587 744507987 /nfs/dbraw/zinc/50/79/87/744507987.db2.gz QEEGBWMHVSUINB-UHFFFAOYSA-N 1 2 315.417 1.412 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nn(CC)nc3C)C[C@H]21 ZINC001187239923 744522611 /nfs/dbraw/zinc/52/26/11/744522611.db2.gz JZIAYKLOEYWYOV-GOEBONIOSA-N 1 2 317.437 1.605 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+](Cc3nn(CC)nc3C)C[C@H]21 ZINC001187239923 744522613 /nfs/dbraw/zinc/52/26/13/744522613.db2.gz JZIAYKLOEYWYOV-GOEBONIOSA-N 1 2 317.437 1.605 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001187756131 744605257 /nfs/dbraw/zinc/60/52/57/744605257.db2.gz WTDPUOJCSYSXRM-VBQJREDUSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001187756131 744605258 /nfs/dbraw/zinc/60/52/58/744605258.db2.gz WTDPUOJCSYSXRM-VBQJREDUSA-N 1 2 319.405 1.343 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)c(C)o1 ZINC001188959827 744799645 /nfs/dbraw/zinc/79/96/45/744799645.db2.gz YXRMIICTXHYBII-ZDUSSCGKSA-N 1 2 322.434 1.581 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)c(C)o1 ZINC001188959827 744799647 /nfs/dbraw/zinc/79/96/47/744799647.db2.gz YXRMIICTXHYBII-ZDUSSCGKSA-N 1 2 322.434 1.581 20 30 DDEDLO C#Cc1cncc(C(=O)NC2CN(Cc3cc(OC)cc[nH+]3)C2)c1 ZINC001030928210 744856573 /nfs/dbraw/zinc/85/65/73/744856573.db2.gz JARLAXSYLUTYEY-UHFFFAOYSA-N 1 2 322.368 1.081 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2csc(C)n2)C1 ZINC001189865832 745005754 /nfs/dbraw/zinc/00/57/54/745005754.db2.gz HPFCUTFXQCAXNF-GDBMZVCRSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2csc(C)n2)C1 ZINC001189865832 745005760 /nfs/dbraw/zinc/00/57/60/745005760.db2.gz HPFCUTFXQCAXNF-GDBMZVCRSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cn2c3ccccc3[nH]c2=O)C1 ZINC001031007960 745071428 /nfs/dbraw/zinc/07/14/28/745071428.db2.gz MXRJCIRIHZMKJR-UHFFFAOYSA-N 1 2 300.362 1.118 20 30 DDEDLO COc1cc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)(C)C)C2)on1 ZINC001190084895 745107096 /nfs/dbraw/zinc/10/70/96/745107096.db2.gz TVTZNSAVECCTOB-ZDUSSCGKSA-N 1 2 319.405 1.765 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)(C)C)C2)on1 ZINC001190084895 745107099 /nfs/dbraw/zinc/10/70/99/745107099.db2.gz TVTZNSAVECCTOB-ZDUSSCGKSA-N 1 2 319.405 1.765 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001190449745 745225604 /nfs/dbraw/zinc/22/56/04/745225604.db2.gz DJOCFCOKYJOPDI-SGMGOOAPSA-N 1 2 322.434 1.162 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001190449745 745225608 /nfs/dbraw/zinc/22/56/08/745225608.db2.gz DJOCFCOKYJOPDI-SGMGOOAPSA-N 1 2 322.434 1.162 20 30 DDEDLO CC(C)(C#N)c1cccc(C(=O)N[C@@H](CO)Cc2c[nH]c[nH+]2)c1 ZINC001190943114 745376941 /nfs/dbraw/zinc/37/69/41/745376941.db2.gz RQVRASJKWXWYKM-OAHLLOKOSA-N 1 2 312.373 1.544 20 30 DDEDLO CC(C)(C#N)c1cccc(C(=O)N[C@@H](CO)Cc2c[nH+]c[nH]2)c1 ZINC001190943114 745376947 /nfs/dbraw/zinc/37/69/47/745376947.db2.gz RQVRASJKWXWYKM-OAHLLOKOSA-N 1 2 312.373 1.544 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001191840173 745628116 /nfs/dbraw/zinc/62/81/16/745628116.db2.gz VNQOMCZFBIOCGN-QGZVFWFLSA-N 1 2 316.449 1.955 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001191840173 745628118 /nfs/dbraw/zinc/62/81/18/745628118.db2.gz VNQOMCZFBIOCGN-QGZVFWFLSA-N 1 2 316.449 1.955 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)cc[nH+]1 ZINC001112368698 745691349 /nfs/dbraw/zinc/69/13/49/745691349.db2.gz ACGZTPHPGJHHQJ-DGCLKSJQSA-N 1 2 301.394 1.666 20 30 DDEDLO Cc1ccc(C#N)c(NCC[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001106599339 745824825 /nfs/dbraw/zinc/82/48/25/745824825.db2.gz ZVASPIGKWOTEMT-GFCCVEGCSA-N 1 2 312.377 1.534 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc3c2CCCC3)[C@H]1C ZINC000993529538 746198778 /nfs/dbraw/zinc/19/87/78/746198778.db2.gz MCDPQGXUOKNIRC-HIFRSBDPSA-N 1 2 314.433 1.895 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2[nH]nc3c2CCCC3)[C@H]1C ZINC000993529538 746198782 /nfs/dbraw/zinc/19/87/82/746198782.db2.gz MCDPQGXUOKNIRC-HIFRSBDPSA-N 1 2 314.433 1.895 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCCN1CC#N ZINC000993618612 746236247 /nfs/dbraw/zinc/23/62/47/746236247.db2.gz AGKWGNBUMOGUNJ-RHSMWYFYSA-N 1 2 323.400 1.979 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)o2)C1 ZINC001194396435 746366196 /nfs/dbraw/zinc/36/61/96/746366196.db2.gz CMMRYQPZRWXZHI-UONOGXRCSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)o2)C1 ZINC001194396435 746366202 /nfs/dbraw/zinc/36/62/02/746366202.db2.gz CMMRYQPZRWXZHI-UONOGXRCSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nccs2)C1 ZINC001194396892 746366973 /nfs/dbraw/zinc/36/69/73/746366973.db2.gz LEWFMUJJFAXJSI-STQMWFEESA-N 1 2 309.435 1.767 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nccs2)C1 ZINC001194396892 746366975 /nfs/dbraw/zinc/36/69/75/746366975.db2.gz LEWFMUJJFAXJSI-STQMWFEESA-N 1 2 309.435 1.767 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(CC(C)C)CCC2)C1 ZINC001194604498 746417574 /nfs/dbraw/zinc/41/75/74/746417574.db2.gz SQDSFIJMUSZSMQ-HZPDHXFCSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(CC(C)C)CCC2)C1 ZINC001194604498 746417577 /nfs/dbraw/zinc/41/75/77/746417577.db2.gz SQDSFIJMUSZSMQ-HZPDHXFCSA-N 1 2 322.449 1.014 20 30 DDEDLO COCCC(=O)N1CCC[N@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC001194621965 746428174 /nfs/dbraw/zinc/42/81/74/746428174.db2.gz NQWXZGXCYNKBTE-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO COCCC(=O)N1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC001194621965 746428175 /nfs/dbraw/zinc/42/81/75/746428175.db2.gz NQWXZGXCYNKBTE-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1CNS(=O)(=O)C1CCC(=O)CC1 ZINC001194828083 746468686 /nfs/dbraw/zinc/46/86/86/746468686.db2.gz XRQDRWWMHHBHMP-LBPRGKRZSA-N 1 2 300.424 1.068 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1CNS(=O)(=O)C1CCC(=O)CC1 ZINC001194828083 746468688 /nfs/dbraw/zinc/46/86/88/746468688.db2.gz XRQDRWWMHHBHMP-LBPRGKRZSA-N 1 2 300.424 1.068 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@@H]1C ZINC000994301176 746584268 /nfs/dbraw/zinc/58/42/68/746584268.db2.gz QFXLFFFSZLPKEW-AAEUAGOBSA-N 1 2 321.812 1.998 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@@H]1C ZINC000994301176 746584270 /nfs/dbraw/zinc/58/42/70/746584270.db2.gz QFXLFFFSZLPKEW-AAEUAGOBSA-N 1 2 321.812 1.998 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccc(F)cn2)CC1 ZINC001195549356 746642732 /nfs/dbraw/zinc/64/27/32/746642732.db2.gz GPZHBKAZSKPATB-UHFFFAOYSA-N 1 2 305.353 1.018 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccc(F)cn2)CC1 ZINC001195549356 746642734 /nfs/dbraw/zinc/64/27/34/746642734.db2.gz GPZHBKAZSKPATB-UHFFFAOYSA-N 1 2 305.353 1.018 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(C)sn2)CC1 ZINC001195625150 746660099 /nfs/dbraw/zinc/66/00/99/746660099.db2.gz FIONZXFGIVUPSS-UHFFFAOYSA-N 1 2 307.419 1.249 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(C)sn2)CC1 ZINC001195625150 746660100 /nfs/dbraw/zinc/66/01/00/746660100.db2.gz FIONZXFGIVUPSS-UHFFFAOYSA-N 1 2 307.419 1.249 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cc(C)no2)CC1 ZINC001195652462 746673400 /nfs/dbraw/zinc/67/34/00/746673400.db2.gz PRDZXSBEZIHVPY-INIZCTEOSA-N 1 2 307.394 1.344 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+](Cc2cc(C)no2)CC1 ZINC001195652462 746673403 /nfs/dbraw/zinc/67/34/03/746673403.db2.gz PRDZXSBEZIHVPY-INIZCTEOSA-N 1 2 307.394 1.344 20 30 DDEDLO CCCNC(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785069 746703703 /nfs/dbraw/zinc/70/37/03/746703703.db2.gz AKTKUGQPKGMOBV-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO CCCNC(=O)C[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785069 746703706 /nfs/dbraw/zinc/70/37/06/746703706.db2.gz AKTKUGQPKGMOBV-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C=CCC[N@H+]1CCCN(C(=O)CS(=O)(=O)CC(C)C)CC1 ZINC001196317039 746844414 /nfs/dbraw/zinc/84/44/14/746844414.db2.gz LKQPYYKHZYKSJT-UHFFFAOYSA-N 1 2 316.467 1.168 20 30 DDEDLO C=CCC[N@@H+]1CCCN(C(=O)CS(=O)(=O)CC(C)C)CC1 ZINC001196317039 746844420 /nfs/dbraw/zinc/84/44/20/746844420.db2.gz LKQPYYKHZYKSJT-UHFFFAOYSA-N 1 2 316.467 1.168 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001196391483 746853898 /nfs/dbraw/zinc/85/38/98/746853898.db2.gz DMZOIQZDEOETOT-BZUAXINKSA-N 1 2 324.465 1.260 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001196391483 746853904 /nfs/dbraw/zinc/85/39/04/746853904.db2.gz DMZOIQZDEOETOT-BZUAXINKSA-N 1 2 324.465 1.260 20 30 DDEDLO N#Cc1cccnc1C(=O)N1CC[NH+](CCc2ccccn2)CC1 ZINC001196555059 746904357 /nfs/dbraw/zinc/90/43/57/746904357.db2.gz UOJRRPIIQHYTQY-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(C)c(C)cn2)CC1 ZINC001196593853 746908035 /nfs/dbraw/zinc/90/80/35/746908035.db2.gz BTGHCSJDSKMKPS-UHFFFAOYSA-N 1 2 315.417 1.496 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(C)c(C)cn2)CC1 ZINC001196593853 746908038 /nfs/dbraw/zinc/90/80/38/746908038.db2.gz BTGHCSJDSKMKPS-UHFFFAOYSA-N 1 2 315.417 1.496 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)CCCCc2cn[nH]n2)CC1 ZINC001196641403 746914355 /nfs/dbraw/zinc/91/43/55/746914355.db2.gz FLBPADKQHCAPFJ-UHFFFAOYSA-N 1 2 303.410 1.075 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)CCCCc2cn[nH]n2)CC1 ZINC001196641403 746914357 /nfs/dbraw/zinc/91/43/57/746914357.db2.gz FLBPADKQHCAPFJ-UHFFFAOYSA-N 1 2 303.410 1.075 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccncc3)C2)cc1F ZINC001031514141 746932579 /nfs/dbraw/zinc/93/25/79/746932579.db2.gz DDXCYWIRRCQPKE-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001196880542 746988237 /nfs/dbraw/zinc/98/82/37/746988237.db2.gz MAIQKESHSGNYEL-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001196880542 746988244 /nfs/dbraw/zinc/98/82/44/746988244.db2.gz MAIQKESHSGNYEL-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1c[nH]c[nH+]1)Nc1ccncc1C#N ZINC001089489448 747079460 /nfs/dbraw/zinc/07/94/60/747079460.db2.gz HYJOUIOFZUTKAA-NEPJUHHUSA-N 1 2 312.377 1.036 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)CCCO1 ZINC001089547984 747192129 /nfs/dbraw/zinc/19/21/29/747192129.db2.gz ZOCQWGMDOXFCRM-OAHLLOKOSA-N 1 2 318.421 1.853 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ncoc2C(C)C)CC1 ZINC001197698384 747241417 /nfs/dbraw/zinc/24/14/17/747241417.db2.gz HBHZCNMVPVDHMX-UHFFFAOYSA-N 1 2 319.405 1.596 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ncoc2C(C)C)CC1 ZINC001197698384 747241423 /nfs/dbraw/zinc/24/14/23/747241423.db2.gz HBHZCNMVPVDHMX-UHFFFAOYSA-N 1 2 319.405 1.596 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2sccc2OC)CC1 ZINC001197893340 747299874 /nfs/dbraw/zinc/29/98/74/747299874.db2.gz JHJIBZOPUSPGJY-UHFFFAOYSA-N 1 2 322.430 1.554 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2sccc2OC)CC1 ZINC001197893340 747299880 /nfs/dbraw/zinc/29/98/80/747299880.db2.gz JHJIBZOPUSPGJY-UHFFFAOYSA-N 1 2 322.430 1.554 20 30 DDEDLO C#CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1snnc1CC ZINC001089666744 747617054 /nfs/dbraw/zinc/61/70/54/747617054.db2.gz VMBYEUGXYAJASR-LBPRGKRZSA-N 1 2 320.462 1.954 20 30 DDEDLO C#CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1snnc1CC ZINC001089666744 747617057 /nfs/dbraw/zinc/61/70/57/747617057.db2.gz VMBYEUGXYAJASR-LBPRGKRZSA-N 1 2 320.462 1.954 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)C(C)C)[C@H](OC)C1 ZINC001212334873 747905229 /nfs/dbraw/zinc/90/52/29/747905229.db2.gz JRHLJTFDDDLCRO-HUUCEWRRSA-N 1 2 310.438 1.134 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)C(C)C)[C@H](OC)C1 ZINC001212334873 747905232 /nfs/dbraw/zinc/90/52/32/747905232.db2.gz JRHLJTFDDDLCRO-HUUCEWRRSA-N 1 2 310.438 1.134 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(Cl)n(C)n2)C1 ZINC001108062525 747918205 /nfs/dbraw/zinc/91/82/05/747918205.db2.gz CRORWKRYCIJIIN-CQSZACIVSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(Cl)n(C)n2)C1 ZINC001108062525 747918208 /nfs/dbraw/zinc/91/82/08/747918208.db2.gz CRORWKRYCIJIIN-CQSZACIVSA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001031823912 747954453 /nfs/dbraw/zinc/95/44/53/747954453.db2.gz RROYQESKKSVVEC-CQSZACIVSA-N 1 2 313.829 1.045 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C2CCC(O)CC2)C1 ZINC001033038538 748014931 /nfs/dbraw/zinc/01/49/31/748014931.db2.gz RKBMGEVLRYEKBB-ROKHWSDSSA-N 1 2 300.830 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C2CCC(O)CC2)C1 ZINC001033038538 748014937 /nfs/dbraw/zinc/01/49/37/748014937.db2.gz RKBMGEVLRYEKBB-ROKHWSDSSA-N 1 2 300.830 1.823 20 30 DDEDLO C=C(C)C[NH+]1CC(CNC(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC001031851419 748023981 /nfs/dbraw/zinc/02/39/81/748023981.db2.gz WJBDBGQVKRTRLD-UHFFFAOYSA-N 1 2 316.327 1.975 20 30 DDEDLO CC(C)C(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001090083838 748134644 /nfs/dbraw/zinc/13/46/44/748134644.db2.gz PQGVNNQSGKYTEA-HOTGVXAUSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)C(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001090083838 748134647 /nfs/dbraw/zinc/13/46/47/748134647.db2.gz PQGVNNQSGKYTEA-HOTGVXAUSA-N 1 2 319.380 1.405 20 30 DDEDLO CC#CC[NH+]1CCC(NC(=O)c2n[nH]nc2-c2ccccc2)CC1 ZINC001004220781 748146678 /nfs/dbraw/zinc/14/66/78/748146678.db2.gz MCHPXUNYEITLOT-UHFFFAOYSA-N 1 2 323.400 1.689 20 30 DDEDLO Cc1nocc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031945229 748266209 /nfs/dbraw/zinc/26/62/09/748266209.db2.gz BZMMXIRXIBKNEA-UHFFFAOYSA-N 1 2 310.357 1.717 20 30 DDEDLO C=C1CCC(C(=O)NCC2C[NH+](Cc3ccnn3C)C2)CC1 ZINC001031952074 748282819 /nfs/dbraw/zinc/28/28/19/748282819.db2.gz YAVOPQRWHJMVJF-UHFFFAOYSA-N 1 2 302.422 1.715 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccnc3[nH]cnc32)C1 ZINC001033115298 748413539 /nfs/dbraw/zinc/41/35/39/748413539.db2.gz LJVUCIAPAYMTQZ-NSHDSACASA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccnc3[nH]cnc32)C1 ZINC001033115298 748413541 /nfs/dbraw/zinc/41/35/41/748413541.db2.gz LJVUCIAPAYMTQZ-NSHDSACASA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001007674615 752268596 /nfs/dbraw/zinc/26/85/96/752268596.db2.gz QEVMPAAIWPYFHX-ZDUSSCGKSA-N 1 2 309.797 1.332 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001007674615 752268603 /nfs/dbraw/zinc/26/86/03/752268603.db2.gz QEVMPAAIWPYFHX-ZDUSSCGKSA-N 1 2 309.797 1.332 20 30 DDEDLO CN1CCN(C(=[NH2+])Nc2nc(C(F)(F)F)ccc2C#N)CC1 ZINC001168737201 748502822 /nfs/dbraw/zinc/50/28/22/748502822.db2.gz XJTXLABIVOXGDM-UHFFFAOYSA-N 1 2 312.299 1.566 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cn(C)nc2CC)C1 ZINC001033174283 748730260 /nfs/dbraw/zinc/73/02/60/748730260.db2.gz VBLOWMAMKWNATH-LBPRGKRZSA-N 1 2 310.829 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cn(C)nc2CC)C1 ZINC001033174283 748730264 /nfs/dbraw/zinc/73/02/64/748730264.db2.gz VBLOWMAMKWNATH-LBPRGKRZSA-N 1 2 310.829 1.881 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2scnc2C)C1 ZINC001108318103 761892667 /nfs/dbraw/zinc/89/26/67/761892667.db2.gz WBGLGWXLGJZDBW-MRXNPFEDSA-N 1 2 323.462 1.777 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC(C)(C)COC)C1 ZINC001108094462 748834269 /nfs/dbraw/zinc/83/42/69/748834269.db2.gz UPFIJXBPTZALBJ-QGZVFWFLSA-N 1 2 310.438 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC(C)(C)COC)C1 ZINC001108094462 748834276 /nfs/dbraw/zinc/83/42/76/748834276.db2.gz UPFIJXBPTZALBJ-QGZVFWFLSA-N 1 2 310.438 1.280 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2scnc2C)C1 ZINC001108318103 761892676 /nfs/dbraw/zinc/89/26/76/761892676.db2.gz WBGLGWXLGJZDBW-MRXNPFEDSA-N 1 2 323.462 1.777 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2nnn(C(C)C)c2C)C1 ZINC001108310581 761902335 /nfs/dbraw/zinc/90/23/35/761902335.db2.gz PESVURWZUDMKHN-MRXNPFEDSA-N 1 2 321.425 1.174 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2nnn(C(C)C)c2C)C1 ZINC001108310581 761902339 /nfs/dbraw/zinc/90/23/39/761902339.db2.gz PESVURWZUDMKHN-MRXNPFEDSA-N 1 2 321.425 1.174 20 30 DDEDLO C[C@]1(NC(=O)Cc2[nH]cc[nH+]2)CCN(c2ccncc2C#N)C1 ZINC001110778483 749003773 /nfs/dbraw/zinc/00/37/73/749003773.db2.gz HIVARYDQUMPWQK-INIZCTEOSA-N 1 2 310.361 1.004 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)[C@@H](O)C1 ZINC001083850979 749054786 /nfs/dbraw/zinc/05/47/86/749054786.db2.gz FRQBHKTZCLQQOV-JJXSEGSLSA-N 1 2 318.804 1.238 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)[C@@H](O)C1 ZINC001083850979 749054787 /nfs/dbraw/zinc/05/47/87/749054787.db2.gz FRQBHKTZCLQQOV-JJXSEGSLSA-N 1 2 318.804 1.238 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2coc(C(N)=O)c2)C1 ZINC001033297646 749141664 /nfs/dbraw/zinc/14/16/64/749141664.db2.gz QJDRJZAONUDGGN-NSHDSACASA-N 1 2 311.769 1.277 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2coc(C(N)=O)c2)C1 ZINC001033297646 749141667 /nfs/dbraw/zinc/14/16/67/749141667.db2.gz QJDRJZAONUDGGN-NSHDSACASA-N 1 2 311.769 1.277 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CSCCC)nn2)C1 ZINC001107137570 749320074 /nfs/dbraw/zinc/32/00/74/749320074.db2.gz YSNRBOUNCQCSTJ-UHFFFAOYSA-N 1 2 309.439 1.080 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC(c2nnc3n2CC[NH2+]C3)CC1 ZINC000876841834 749337010 /nfs/dbraw/zinc/33/70/10/749337010.db2.gz DDRKTLDYLRZAER-UHFFFAOYSA-N 1 2 315.421 1.137 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)[C@H]2CC[N@H+](CC(=C)Cl)C2)nn1 ZINC001033482747 749409358 /nfs/dbraw/zinc/40/93/58/749409358.db2.gz AKGAYWRVOYCTDF-ZDUSSCGKSA-N 1 2 323.828 1.753 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)[C@H]2CC[N@@H+](CC(=C)Cl)C2)nn1 ZINC001033482747 749409362 /nfs/dbraw/zinc/40/93/62/749409362.db2.gz AKGAYWRVOYCTDF-ZDUSSCGKSA-N 1 2 323.828 1.753 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CCCC3CC3)nn2)C1 ZINC001107197098 749559257 /nfs/dbraw/zinc/55/92/57/749559257.db2.gz BARRLOKJSKGCFM-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CCCC3CC3)nn2)C1 ZINC001107198097 749563248 /nfs/dbraw/zinc/56/32/48/749563248.db2.gz SDFAVDLCKCEKSZ-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO C=C1CCC(C(=O)N2CC(NC(=O)Cc3c[nH+]cn3C)C2)CC1 ZINC000996446727 749579858 /nfs/dbraw/zinc/57/98/58/749579858.db2.gz HSCDSAUSPCMHIX-UHFFFAOYSA-N 1 2 316.405 1.036 20 30 DDEDLO C=CCOCC(=O)NC1CCN(c2nc(C)[nH+]c(C)c2C)CC1 ZINC001095402407 749718780 /nfs/dbraw/zinc/71/87/80/749718780.db2.gz NLVSQMLOVQKIEI-UHFFFAOYSA-N 1 2 318.421 1.689 20 30 DDEDLO C[NH+](C)[C@@H](C(=O)N1C[C@H](NCC#N)C(C)(C)C1)c1cccnc1 ZINC000996694130 749918443 /nfs/dbraw/zinc/91/84/43/749918443.db2.gz MVUYWKBWTJNIBQ-LSDHHAIUSA-N 1 2 315.421 1.034 20 30 DDEDLO N#Cc1ccc(F)c(C[NH2+]CCNC(=O)C(F)C(F)(F)F)c1 ZINC001127780163 749990957 /nfs/dbraw/zinc/99/09/57/749990957.db2.gz WFVNUNQRPUHLDS-NSHDSACASA-N 1 2 321.249 1.804 20 30 DDEDLO N#Cc1ccc(F)c(C[NH2+]CCNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001127780163 749990962 /nfs/dbraw/zinc/99/09/62/749990962.db2.gz WFVNUNQRPUHLDS-NSHDSACASA-N 1 2 321.249 1.804 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CCN(c3cc[nH+]c(C)n3)C2)c1 ZINC001061725414 750366281 /nfs/dbraw/zinc/36/62/81/750366281.db2.gz PACLYCFOIXQESD-OAHLLOKOSA-N 1 2 321.384 1.418 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccsc2)C1 ZINC001107712933 750421981 /nfs/dbraw/zinc/42/19/81/750421981.db2.gz AVQGXJWHCASEAK-MRXNPFEDSA-N 1 2 306.431 1.521 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccsc2)C1 ZINC001107712933 750421983 /nfs/dbraw/zinc/42/19/83/750421983.db2.gz AVQGXJWHCASEAK-MRXNPFEDSA-N 1 2 306.431 1.521 20 30 DDEDLO C#CCCCCCC(=O)NCC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001077745579 750490188 /nfs/dbraw/zinc/49/01/88/750490188.db2.gz YYECMGLGRFRPDK-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108136758 750660771 /nfs/dbraw/zinc/66/07/71/750660771.db2.gz DXHWMLGSVZRYQJ-OLZOCXBDSA-N 1 2 312.377 1.718 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)CCC)CC2 ZINC001127925459 750667286 /nfs/dbraw/zinc/66/72/86/750667286.db2.gz SUKYDQNMGXNSGF-CYBMUJFWSA-N 1 2 303.410 1.012 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N(C)C1CCN(CC#N)CC1)C2 ZINC001005624448 750712753 /nfs/dbraw/zinc/71/27/53/750712753.db2.gz QTJLPKVXQAWMRI-ZDUSSCGKSA-N 1 2 315.421 1.269 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N(C)C1CCN(CC#N)CC1)CC2 ZINC001005624448 750712757 /nfs/dbraw/zinc/71/27/57/750712757.db2.gz QTJLPKVXQAWMRI-ZDUSSCGKSA-N 1 2 315.421 1.269 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)cnn1CC ZINC001032422210 750788931 /nfs/dbraw/zinc/78/89/31/750788931.db2.gz OQNYNPBFVCGAJP-RYUDHWBXSA-N 1 2 306.797 1.478 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)cnn1CC ZINC001032422210 750788934 /nfs/dbraw/zinc/78/89/34/750788934.db2.gz OQNYNPBFVCGAJP-RYUDHWBXSA-N 1 2 306.797 1.478 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCCO[C@H]2C(C)C)C1 ZINC001107953015 750847880 /nfs/dbraw/zinc/84/78/80/750847880.db2.gz OKQZZIDPWYWRIX-XYJFISCASA-N 1 2 324.465 1.831 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCCO[C@H]2C(C)C)C1 ZINC001107953015 750847889 /nfs/dbraw/zinc/84/78/89/750847889.db2.gz OKQZZIDPWYWRIX-XYJFISCASA-N 1 2 324.465 1.831 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnoc1-c1ccco1 ZINC001032482666 750986428 /nfs/dbraw/zinc/98/64/28/750986428.db2.gz IFTPRECSKXAWCA-STQMWFEESA-N 1 2 311.341 1.857 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnoc1-c1ccco1 ZINC001032482666 750986432 /nfs/dbraw/zinc/98/64/32/750986432.db2.gz IFTPRECSKXAWCA-STQMWFEESA-N 1 2 311.341 1.857 20 30 DDEDLO C#CCOCCC(=O)N1C[C@@H](CNc2cc[nH+]c(C)n2)C[C@H]1C ZINC001114691931 750995411 /nfs/dbraw/zinc/99/54/11/750995411.db2.gz YEENQCIUMYQYIQ-UKRRQHHQSA-N 1 2 316.405 1.474 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc([C@H]2CCOC2)c1 ZINC001032485584 751005745 /nfs/dbraw/zinc/00/57/45/751005745.db2.gz VUVBPKCTHSDVTH-BZSNNMDCSA-N 1 2 310.397 1.722 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc([C@H]2CCOC2)c1 ZINC001032485584 751005753 /nfs/dbraw/zinc/00/57/53/751005753.db2.gz VUVBPKCTHSDVTH-BZSNNMDCSA-N 1 2 310.397 1.722 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114726527 751028190 /nfs/dbraw/zinc/02/81/90/751028190.db2.gz NJFLUPOLRSEVJB-BSXFFOKHSA-N 1 2 314.433 1.934 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114726527 751028199 /nfs/dbraw/zinc/02/81/99/751028199.db2.gz NJFLUPOLRSEVJB-BSXFFOKHSA-N 1 2 314.433 1.934 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@]2(C)CC=CCC2)C1 ZINC001107967984 751135277 /nfs/dbraw/zinc/13/52/77/751135277.db2.gz ZMIIUHNZBONDAV-MSOLQXFVSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@]2(C)CC=CCC2)C1 ZINC001107967984 751135279 /nfs/dbraw/zinc/13/52/79/751135279.db2.gz ZMIIUHNZBONDAV-MSOLQXFVSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1OCCc2ccccc21 ZINC001032554560 751288555 /nfs/dbraw/zinc/28/85/55/751288555.db2.gz CPESSWCTHTVYHI-XYJFISCASA-N 1 2 310.397 1.609 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1OCCc2ccccc21 ZINC001032554560 751288559 /nfs/dbraw/zinc/28/85/59/751288559.db2.gz CPESSWCTHTVYHI-XYJFISCASA-N 1 2 310.397 1.609 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)CC2)nc1 ZINC001066794150 751330846 /nfs/dbraw/zinc/33/08/46/751330846.db2.gz PMEQJEQKYPPKCO-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2nc3c(s2)CCC3)C1 ZINC001007944425 752455215 /nfs/dbraw/zinc/45/52/15/752455215.db2.gz SQBIPJRNLQFVPY-GFCCVEGCSA-N 1 2 303.431 1.849 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2nc3c(s2)CCC3)C1 ZINC001007944425 752455219 /nfs/dbraw/zinc/45/52/19/752455219.db2.gz SQBIPJRNLQFVPY-GFCCVEGCSA-N 1 2 303.431 1.849 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)n1cc[nH+]c1 ZINC001032656549 752613909 /nfs/dbraw/zinc/61/39/09/752613909.db2.gz FVQRDAKDYKZJHL-IHRRRGAJSA-N 1 2 308.813 1.872 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001062057793 752707107 /nfs/dbraw/zinc/70/71/07/752707107.db2.gz AGFIKUUUZGRPHP-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001062166611 752806150 /nfs/dbraw/zinc/80/61/50/752806150.db2.gz QQKMSXYDKUERMT-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001062264005 752851528 /nfs/dbraw/zinc/85/15/28/752851528.db2.gz CTBWUGRXIHNIHO-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO Cn1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001009538222 753237640 /nfs/dbraw/zinc/23/76/40/753237640.db2.gz QVLXSANZWYLSSC-MRXNPFEDSA-N 1 2 323.400 1.686 20 30 DDEDLO Cn1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001009538222 753237646 /nfs/dbraw/zinc/23/76/46/753237646.db2.gz QVLXSANZWYLSSC-MRXNPFEDSA-N 1 2 323.400 1.686 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)CCCn2cc[nH+]c2)CCC1 ZINC001062687200 753384925 /nfs/dbraw/zinc/38/49/25/753384925.db2.gz QNVURIRHDGZRSQ-UHFFFAOYSA-N 1 2 318.421 1.785 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@]1(C)C[N@H+](CC#CC)CCO1 ZINC001107998191 753406754 /nfs/dbraw/zinc/40/67/54/753406754.db2.gz JBJJBKCHSDZYHR-SFHVURJKSA-N 1 2 315.417 1.518 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001107998191 753406758 /nfs/dbraw/zinc/40/67/58/753406758.db2.gz JBJJBKCHSDZYHR-SFHVURJKSA-N 1 2 315.417 1.518 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001108002929 753483676 /nfs/dbraw/zinc/48/36/76/753483676.db2.gz WVAVIWVZENOVIS-CHWSQXEVSA-N 1 2 324.388 1.329 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1cc[n+]([O-])cc1 ZINC001010034172 753561616 /nfs/dbraw/zinc/56/16/16/753561616.db2.gz VRBQNFNBOBIBSL-GOSISDBHSA-N 1 2 321.380 1.176 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cc[n+]([O-])cc1 ZINC001010034172 753561621 /nfs/dbraw/zinc/56/16/21/753561621.db2.gz VRBQNFNBOBIBSL-GOSISDBHSA-N 1 2 321.380 1.176 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(NC(N)=O)cc1 ZINC001032767030 753571441 /nfs/dbraw/zinc/57/14/41/753571441.db2.gz DVFWXNAVFQOVHQ-GJZGRUSLSA-N 1 2 312.373 1.099 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(NC(N)=O)cc1 ZINC001032767030 753571442 /nfs/dbraw/zinc/57/14/42/753571442.db2.gz DVFWXNAVFQOVHQ-GJZGRUSLSA-N 1 2 312.373 1.099 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001078036094 753668412 /nfs/dbraw/zinc/66/84/12/753668412.db2.gz GLSUUPRMZMYRNA-BLLLJJGKSA-N 1 2 306.410 1.566 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1c(C)n[nH]c1C ZINC001032791341 753753484 /nfs/dbraw/zinc/75/34/84/753753484.db2.gz RELFJCMRZYOXNF-RCBQFDQVSA-N 1 2 314.433 1.514 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1c(C)n[nH]c1C ZINC001032791341 753753489 /nfs/dbraw/zinc/75/34/89/753753489.db2.gz RELFJCMRZYOXNF-RCBQFDQVSA-N 1 2 314.433 1.514 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC2CC(F)(F)C2)C1 ZINC001108033191 753797787 /nfs/dbraw/zinc/79/77/87/753797787.db2.gz IJHKNLLRGIWRFB-AWEZNQCLSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CC2CC(F)(F)C2)C1 ZINC001108033191 753797791 /nfs/dbraw/zinc/79/77/91/753797791.db2.gz IJHKNLLRGIWRFB-AWEZNQCLSA-N 1 2 302.365 1.815 20 30 DDEDLO Cc1nc(N2CC[C@@H](N(C)C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001062902568 753823311 /nfs/dbraw/zinc/82/33/11/753823311.db2.gz CEHOYJNINOUPGT-CYBMUJFWSA-N 1 2 310.361 1.336 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(Cl)cccc2OC)C1 ZINC001078226795 753879020 /nfs/dbraw/zinc/87/90/20/753879020.db2.gz TXLOQVZTIVMHNL-CHWSQXEVSA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(Cl)cccc2OC)C1 ZINC001078226795 753879027 /nfs/dbraw/zinc/87/90/27/753879027.db2.gz TXLOQVZTIVMHNL-CHWSQXEVSA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001078756109 754352922 /nfs/dbraw/zinc/35/29/22/754352922.db2.gz HXYYAKWKLRQSAP-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001061017684 754457226 /nfs/dbraw/zinc/45/72/26/754457226.db2.gz WTTJUPRQGKQLQQ-CQSZACIVSA-N 1 2 324.388 1.570 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001061017684 754457231 /nfs/dbraw/zinc/45/72/31/754457231.db2.gz WTTJUPRQGKQLQQ-CQSZACIVSA-N 1 2 324.388 1.570 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064209342 754564160 /nfs/dbraw/zinc/56/41/60/754564160.db2.gz WZSNTIGLULNPCV-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc3ncnn32)C1 ZINC001015132813 756138763 /nfs/dbraw/zinc/13/87/63/756138763.db2.gz PGVVCAJBMQVEBP-NSHDSACASA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc3ncnn32)C1 ZINC001015132813 756138765 /nfs/dbraw/zinc/13/87/65/756138765.db2.gz PGVVCAJBMQVEBP-NSHDSACASA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C)cc(=O)oc2C)C1 ZINC001015185710 756162922 /nfs/dbraw/zinc/16/29/22/756162922.db2.gz DTVQRALICOAVQT-GFCCVEGCSA-N 1 2 310.781 1.813 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)cc(=O)oc2C)C1 ZINC001015185710 756162926 /nfs/dbraw/zinc/16/29/26/756162926.db2.gz DTVQRALICOAVQT-GFCCVEGCSA-N 1 2 310.781 1.813 20 30 DDEDLO Cc1nocc1C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001015644236 756433544 /nfs/dbraw/zinc/43/35/44/756433544.db2.gz MTJNMMATZKXBCM-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1nocc1C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001015644236 756433547 /nfs/dbraw/zinc/43/35/47/756433547.db2.gz MTJNMMATZKXBCM-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2scnc2CC)[C@H](OC)C1 ZINC001081773201 756455142 /nfs/dbraw/zinc/45/51/42/756455142.db2.gz UPOPXAMROQILNZ-CHWSQXEVSA-N 1 2 307.419 1.158 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2scnc2CC)[C@H](OC)C1 ZINC001081773201 756455150 /nfs/dbraw/zinc/45/51/50/756455150.db2.gz UPOPXAMROQILNZ-CHWSQXEVSA-N 1 2 307.419 1.158 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn3ccccc23)C1 ZINC001015864467 756598818 /nfs/dbraw/zinc/59/88/18/756598818.db2.gz ZRVKCGSDRXPYMN-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn3ccccc23)C1 ZINC001015864467 756598823 /nfs/dbraw/zinc/59/88/23/756598823.db2.gz ZRVKCGSDRXPYMN-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)ccc(C)c2F)[C@H](OC)C1 ZINC001082097972 756628620 /nfs/dbraw/zinc/62/86/20/756628620.db2.gz KLUZCEZUPZAGTO-ZIAGYGMSSA-N 1 2 322.355 1.726 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(F)ccc(C)c2F)[C@H](OC)C1 ZINC001082097972 756628623 /nfs/dbraw/zinc/62/86/23/756628623.db2.gz KLUZCEZUPZAGTO-ZIAGYGMSSA-N 1 2 322.355 1.726 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC001016153864 756790624 /nfs/dbraw/zinc/79/06/24/756790624.db2.gz PVURJHBSXSEFQZ-CYBMUJFWSA-N 1 2 310.353 1.620 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC001016153864 756790626 /nfs/dbraw/zinc/79/06/26/756790626.db2.gz PVURJHBSXSEFQZ-CYBMUJFWSA-N 1 2 310.353 1.620 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(Cl)cn3C)[C@H]2C1 ZINC001083136997 757151608 /nfs/dbraw/zinc/15/16/08/757151608.db2.gz AQKXEJJYUXWOGB-LSDHHAIUSA-N 1 2 321.808 1.227 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(Cl)cn3C)[C@H]2C1 ZINC001083136997 757151610 /nfs/dbraw/zinc/15/16/10/757151610.db2.gz AQKXEJJYUXWOGB-LSDHHAIUSA-N 1 2 321.808 1.227 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2CCC(C(C)(C)C)CC2)[C@@H](O)C1 ZINC001084021376 757227722 /nfs/dbraw/zinc/22/77/22/757227722.db2.gz YCMNGOMBRYFUAC-FJBKBRRZSA-N 1 2 306.450 1.633 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2CCC(C(C)(C)C)CC2)[C@@H](O)C1 ZINC001084021376 757227728 /nfs/dbraw/zinc/22/77/28/757227728.db2.gz YCMNGOMBRYFUAC-FJBKBRRZSA-N 1 2 306.450 1.633 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@]2(C1)CCCN(CC#N)C2 ZINC001040443104 762537435 /nfs/dbraw/zinc/53/74/35/762537435.db2.gz HNQWMTPSFQTXSK-RHSMWYFYSA-N 1 2 315.421 1.426 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@]2(C1)CCCN(CC#N)C2 ZINC001040443104 762537437 /nfs/dbraw/zinc/53/74/37/762537437.db2.gz HNQWMTPSFQTXSK-RHSMWYFYSA-N 1 2 315.421 1.426 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H]2CN(C(=O)c3cocn3)[C@@H]2C1 ZINC001084230925 757431515 /nfs/dbraw/zinc/43/15/15/757431515.db2.gz LRAKARPAUUWGER-NVXWUHKLSA-N 1 2 322.368 1.893 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H]2CN(C(=O)c3cocn3)[C@@H]2C1 ZINC001084230925 757431518 /nfs/dbraw/zinc/43/15/18/757431518.db2.gz LRAKARPAUUWGER-NVXWUHKLSA-N 1 2 322.368 1.893 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cc[nH]c(=O)c3)[C@@H]2C1 ZINC001084274329 757461231 /nfs/dbraw/zinc/46/12/31/757461231.db2.gz HRHJGUWMXMKSEC-CHWSQXEVSA-N 1 2 307.781 1.686 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cc[nH]c(=O)c3)[C@@H]2C1 ZINC001084274329 757461235 /nfs/dbraw/zinc/46/12/35/757461235.db2.gz HRHJGUWMXMKSEC-CHWSQXEVSA-N 1 2 307.781 1.686 20 30 DDEDLO C[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1cccc(F)c1C#N ZINC001097791853 757525100 /nfs/dbraw/zinc/52/51/00/757525100.db2.gz IDCYPCQZFWILBI-NSHDSACASA-N 1 2 315.352 1.970 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](Cc3ncnn3C)CC2)C1 ZINC001052689399 757649847 /nfs/dbraw/zinc/64/98/47/757649847.db2.gz GZVHEPVHCBYXQI-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](Cc3ncnn3C)CC2)C1 ZINC001052689399 757649850 /nfs/dbraw/zinc/64/98/50/757649850.db2.gz GZVHEPVHCBYXQI-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCC[N@H+](Cc2cnon2)CC1 ZINC001052722606 757688249 /nfs/dbraw/zinc/68/82/49/757688249.db2.gz MUYGMJZETPBWRI-CFVMTHIKSA-N 1 2 320.393 1.131 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCC[N@@H+](Cc2cnon2)CC1 ZINC001052722606 757688257 /nfs/dbraw/zinc/68/82/57/757688257.db2.gz MUYGMJZETPBWRI-CFVMTHIKSA-N 1 2 320.393 1.131 20 30 DDEDLO N#CCN1CCC[C@H]([C@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001053045827 758106471 /nfs/dbraw/zinc/10/64/71/758106471.db2.gz DNKMYZNPSQFRNV-GJZGRUSLSA-N 1 2 315.421 1.426 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2ncn(C)c21 ZINC001017675584 758144840 /nfs/dbraw/zinc/14/48/40/758144840.db2.gz IOZPORLYZJRUHU-OKILXGFUSA-N 1 2 308.385 1.495 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2ncn(C)c21 ZINC001017675584 758144848 /nfs/dbraw/zinc/14/48/48/758144848.db2.gz IOZPORLYZJRUHU-OKILXGFUSA-N 1 2 308.385 1.495 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(Br)c[nH]1 ZINC001017713837 758176712 /nfs/dbraw/zinc/17/67/12/758176712.db2.gz SZLAOTZLWZEWIM-TXEJJXNPSA-N 1 2 322.206 1.699 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(Br)c[nH]1 ZINC001017713837 758176713 /nfs/dbraw/zinc/17/67/13/758176713.db2.gz SZLAOTZLWZEWIM-TXEJJXNPSA-N 1 2 322.206 1.699 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncc2ccccn21 ZINC001017732732 758191702 /nfs/dbraw/zinc/19/17/02/758191702.db2.gz AXZJNRQXQUYNQK-IYBDPMFKSA-N 1 2 308.385 1.646 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncc2ccccn21 ZINC001017732732 758191706 /nfs/dbraw/zinc/19/17/06/758191706.db2.gz AXZJNRQXQUYNQK-IYBDPMFKSA-N 1 2 308.385 1.646 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1cc(C)on1)CCO2 ZINC001053147314 758204547 /nfs/dbraw/zinc/20/45/47/758204547.db2.gz PKTVNBBQMMUYKA-UHFFFAOYSA-N 1 2 305.378 1.476 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc(OC(C)C)c1 ZINC001017792511 758243795 /nfs/dbraw/zinc/24/37/95/758243795.db2.gz RLBHNNJNSMTZRT-IYBDPMFKSA-N 1 2 313.401 1.791 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc(OC(C)C)c1 ZINC001017792511 758243801 /nfs/dbraw/zinc/24/38/01/758243801.db2.gz RLBHNNJNSMTZRT-IYBDPMFKSA-N 1 2 313.401 1.791 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC=C(C)C)C2)C1 ZINC001108538191 762633021 /nfs/dbraw/zinc/63/30/21/762633021.db2.gz DCIBHNOZOIXYFF-OAHLLOKOSA-N 1 2 317.433 1.157 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](OC)C1CCCC1)CCO2 ZINC001053520651 758528598 /nfs/dbraw/zinc/52/85/98/758528598.db2.gz VTLUEXPRXBGRFT-INIZCTEOSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(C)noc1C)O2 ZINC001053571480 758578575 /nfs/dbraw/zinc/57/85/75/758578575.db2.gz HGTNBVPBPKADAM-ZDUSSCGKSA-N 1 2 305.378 1.441 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cccc(C)n1)O2 ZINC001053575544 758586008 /nfs/dbraw/zinc/58/60/08/758586008.db2.gz YOFBXYQCGKICAZ-HNNXBMFYSA-N 1 2 315.417 1.929 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccnc(F)c1)O2 ZINC001053582880 758594809 /nfs/dbraw/zinc/59/48/09/758594809.db2.gz OJEKLQLWUXIKSZ-ZDUSSCGKSA-N 1 2 305.353 1.370 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cncc(C)c1)O2 ZINC001053585037 758599684 /nfs/dbraw/zinc/59/96/84/758599684.db2.gz BUTGTNHFUKRNLW-INIZCTEOSA-N 1 2 315.417 1.929 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccncc1C)O2 ZINC001053596155 758617366 /nfs/dbraw/zinc/61/73/66/758617366.db2.gz LVMLRIUBQONJRI-AWEZNQCLSA-N 1 2 301.390 1.539 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c[nH]nc1C(C)C)O2 ZINC001053622083 758641015 /nfs/dbraw/zinc/64/10/15/758641015.db2.gz NGDPBDWNXSALSR-CYBMUJFWSA-N 1 2 318.421 1.682 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1C[C@H]3C[C@H]3C1)O2 ZINC001053651660 758663861 /nfs/dbraw/zinc/66/38/61/758663861.db2.gz IJQWHRYJZCTEOM-FXUDXRNXSA-N 1 2 304.434 1.958 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccccc1F ZINC001018262693 758677148 /nfs/dbraw/zinc/67/71/48/758677148.db2.gz WBQXZWGLPYMOHR-OKILXGFUSA-N 1 2 302.349 1.513 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccccc1F ZINC001018262693 758677153 /nfs/dbraw/zinc/67/71/53/758677153.db2.gz WBQXZWGLPYMOHR-OKILXGFUSA-N 1 2 302.349 1.513 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[NH+]([C@H]2CCN(C(C)=O)C2)CC1 ZINC001065676208 758695983 /nfs/dbraw/zinc/69/59/83/758695983.db2.gz HFKSFCVLCFNAOM-CVEARBPZSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)n(C)c1C)O2 ZINC001053696973 758705739 /nfs/dbraw/zinc/70/57/39/758705739.db2.gz UAPNCSJIRNRPRF-HNNXBMFYSA-N 1 2 317.433 1.791 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)OCCc2ccccc21 ZINC001018338116 758739532 /nfs/dbraw/zinc/73/95/32/758739532.db2.gz SVNWFCSPTOMTFP-QKLQHJQFSA-N 1 2 324.424 1.783 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)OCCc2ccccc21 ZINC001018338116 758739541 /nfs/dbraw/zinc/73/95/41/758739541.db2.gz SVNWFCSPTOMTFP-QKLQHJQFSA-N 1 2 324.424 1.783 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Oc2ccccc2[C@@H]1C ZINC001018342527 758743641 /nfs/dbraw/zinc/74/36/41/758743641.db2.gz OGYSZUVKFJKBCK-OIPACUDHSA-N 1 2 310.397 1.860 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Oc2ccccc2[C@@H]1C ZINC001018342527 758743649 /nfs/dbraw/zinc/74/36/49/758743649.db2.gz OGYSZUVKFJKBCK-OIPACUDHSA-N 1 2 310.397 1.860 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)CC1CCCCC1)CO2 ZINC001053730270 758746920 /nfs/dbraw/zinc/74/69/20/758746920.db2.gz AXUWPTQEYBRNHZ-MRXNPFEDSA-N 1 2 304.434 1.940 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1CCCCCC1)CO2 ZINC001053754704 758771035 /nfs/dbraw/zinc/77/10/35/758771035.db2.gz QGBWHKJIHLXBMV-INIZCTEOSA-N 1 2 304.434 1.940 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cncc(F)c1)CO2 ZINC001053771163 758791428 /nfs/dbraw/zinc/79/14/28/758791428.db2.gz FIJAYVQWSCFEIX-AWEZNQCLSA-N 1 2 305.353 1.370 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc(CC)n1)CO2 ZINC001053830446 758860565 /nfs/dbraw/zinc/86/05/65/758860565.db2.gz AYZNYUZQSJAXTN-HNNXBMFYSA-N 1 2 313.401 1.240 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CCC1(F)F)CO2 ZINC001053839843 758869872 /nfs/dbraw/zinc/86/98/72/758869872.db2.gz PZFCGESDFUMIQT-VXGBXAGGSA-N 1 2 300.349 1.567 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc3[nH]ccc3c1)CO2 ZINC001053911843 758946139 /nfs/dbraw/zinc/94/61/39/758946139.db2.gz OBIDQPLEDJRNBC-MRXNPFEDSA-N 1 2 323.396 1.764 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1CCC(=C)CC1)CO2 ZINC001053914966 758948981 /nfs/dbraw/zinc/94/89/81/758948981.db2.gz ZLVSJZYEIXEFCF-INIZCTEOSA-N 1 2 302.418 1.716 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)c1ccsc1)CO2 ZINC001053923286 758958845 /nfs/dbraw/zinc/95/88/45/758958845.db2.gz RPTHURSYWMXUOL-HIFRSBDPSA-N 1 2 318.442 1.834 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)n1 ZINC001108200250 758994002 /nfs/dbraw/zinc/99/40/02/758994002.db2.gz DUGGNGZWNVSGIU-MRVWCRGKSA-N 1 2 324.388 1.705 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)n1 ZINC001108200250 758994007 /nfs/dbraw/zinc/99/40/07/758994007.db2.gz DUGGNGZWNVSGIU-MRVWCRGKSA-N 1 2 324.388 1.705 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@]1(C)CCCOC1)CO2 ZINC001053969501 759004818 /nfs/dbraw/zinc/00/48/18/759004818.db2.gz PAWKCQJSUIBIQN-GOEBONIOSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)C1CCCC1)CO2 ZINC001053981142 759019910 /nfs/dbraw/zinc/01/99/10/759019910.db2.gz ZHCAEFXSEIVRRX-HOCLYGCPSA-N 1 2 304.434 1.796 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2ncc(C(C)(C)C)o2)C1 ZINC001018619389 759039776 /nfs/dbraw/zinc/03/97/76/759039776.db2.gz WJSPZKDSYFGVGH-RYUDHWBXSA-N 1 2 304.394 1.822 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccc(F)cc1)CO2 ZINC001054000303 759047357 /nfs/dbraw/zinc/04/73/57/759047357.db2.gz XXAPMPYIDZSXBO-INIZCTEOSA-N 1 2 316.376 1.351 20 30 DDEDLO C=C(C)C[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nnc[nH]1 ZINC001054056568 759109710 /nfs/dbraw/zinc/10/97/10/759109710.db2.gz LKKHRXPFLCRMHZ-OAHLLOKOSA-N 1 2 311.389 1.538 20 30 DDEDLO C=C(C)C[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nnc[nH]1 ZINC001054056568 759109714 /nfs/dbraw/zinc/10/97/14/759109714.db2.gz LKKHRXPFLCRMHZ-OAHLLOKOSA-N 1 2 311.389 1.538 20 30 DDEDLO C=C(C)C[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001054056568 759109715 /nfs/dbraw/zinc/10/97/15/759109715.db2.gz LKKHRXPFLCRMHZ-OAHLLOKOSA-N 1 2 311.389 1.538 20 30 DDEDLO C=C(C)C[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001054056568 759109718 /nfs/dbraw/zinc/10/97/18/759109718.db2.gz LKKHRXPFLCRMHZ-OAHLLOKOSA-N 1 2 311.389 1.538 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCC[C@]2(CCN(CC#N)C2)C1 ZINC001054235259 759324432 /nfs/dbraw/zinc/32/44/32/759324432.db2.gz RPCWXQXLESDLEE-QGZVFWFLSA-N 1 2 315.421 1.191 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3[nH]cnc3CC)cc2C1 ZINC001054290516 759397240 /nfs/dbraw/zinc/39/72/40/759397240.db2.gz DSRQLZNJZHOZHW-UHFFFAOYSA-N 1 2 308.385 1.851 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3[nH]cnc3CC)cc2C1 ZINC001054290516 759397250 /nfs/dbraw/zinc/39/72/50/759397250.db2.gz DSRQLZNJZHOZHW-UHFFFAOYSA-N 1 2 308.385 1.851 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1cnccn1 ZINC001085463374 759438820 /nfs/dbraw/zinc/43/88/20/759438820.db2.gz BWQPJFRTWMLXGX-MRXNPFEDSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1cnccn1 ZINC001085463374 759438823 /nfs/dbraw/zinc/43/88/23/759438823.db2.gz BWQPJFRTWMLXGX-MRXNPFEDSA-N 1 2 321.384 1.695 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001069138700 767874756 /nfs/dbraw/zinc/87/47/56/767874756.db2.gz YARVEUSNCNAOHB-BXUZGUMPSA-N 1 2 310.361 1.320 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553499 759682754 /nfs/dbraw/zinc/68/27/54/759682754.db2.gz MRDDGQVGKJOKFT-JSGCOSHPSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553499 759682761 /nfs/dbraw/zinc/68/27/61/759682761.db2.gz MRDDGQVGKJOKFT-JSGCOSHPSA-N 1 2 324.388 1.584 20 30 DDEDLO Cc1nocc1C[N@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556306 759701153 /nfs/dbraw/zinc/70/11/53/759701153.db2.gz NAHMJXYPKQNVAD-HNNXBMFYSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nocc1C[N@@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556306 759701158 /nfs/dbraw/zinc/70/11/58/759701158.db2.gz NAHMJXYPKQNVAD-HNNXBMFYSA-N 1 2 313.361 1.529 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncn2cc(Cl)ccc12 ZINC001085605733 759817151 /nfs/dbraw/zinc/81/71/51/759817151.db2.gz RPOSDOPLNUVSKA-ZDUSSCGKSA-N 1 2 316.792 1.767 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncn2cc(Cl)ccc12 ZINC001085605733 759817164 /nfs/dbraw/zinc/81/71/64/759817164.db2.gz RPOSDOPLNUVSKA-ZDUSSCGKSA-N 1 2 316.792 1.767 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001066285950 759850589 /nfs/dbraw/zinc/85/05/89/759850589.db2.gz WEUPSLXCOKUNPH-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(-c2cccnc2)c1 ZINC001085636391 759905025 /nfs/dbraw/zinc/90/50/25/759905025.db2.gz PVFSTAGLAMKYSK-INIZCTEOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(-c2cccnc2)c1 ZINC001085636391 759905029 /nfs/dbraw/zinc/90/50/29/759905029.db2.gz PVFSTAGLAMKYSK-INIZCTEOSA-N 1 2 309.373 1.047 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@H]([NH2+]Cc2csnn2)C1 ZINC001019500496 759996892 /nfs/dbraw/zinc/99/68/92/759996892.db2.gz ZWMKASLFCALJIQ-UBHSHLNASA-N 1 2 322.434 1.210 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn2c1nc(C)cc2C ZINC001085756660 760177196 /nfs/dbraw/zinc/17/71/96/760177196.db2.gz NPWHVFKKVAMJMV-AWEZNQCLSA-N 1 2 311.389 1.126 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn2c1nc(C)cc2C ZINC001085756660 760177201 /nfs/dbraw/zinc/17/72/01/760177201.db2.gz NPWHVFKKVAMJMV-AWEZNQCLSA-N 1 2 311.389 1.126 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)c(COC)c1 ZINC001085805272 760260386 /nfs/dbraw/zinc/26/03/86/760260386.db2.gz CLVZBWGZSOFMCO-HNNXBMFYSA-N 1 2 304.365 1.752 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)c(COC)c1 ZINC001085805272 760260390 /nfs/dbraw/zinc/26/03/90/760260390.db2.gz CLVZBWGZSOFMCO-HNNXBMFYSA-N 1 2 304.365 1.752 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C(C)C)CC2)[C@@H](O)C1 ZINC001099996791 760283878 /nfs/dbraw/zinc/28/38/78/760283878.db2.gz OYXQUWZIEKEGTN-STQMWFEESA-N 1 2 300.830 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C(C)C)CC2)[C@@H](O)C1 ZINC001099996791 760283882 /nfs/dbraw/zinc/28/38/82/760283882.db2.gz OYXQUWZIEKEGTN-STQMWFEESA-N 1 2 300.830 1.727 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1)C(=O)c1cnon1 ZINC001085885576 760449853 /nfs/dbraw/zinc/44/98/53/760449853.db2.gz FBONGMNAIXOEOR-AWEZNQCLSA-N 1 2 311.345 1.288 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1)C(=O)c1cnon1 ZINC001085885576 760449855 /nfs/dbraw/zinc/44/98/55/760449855.db2.gz FBONGMNAIXOEOR-AWEZNQCLSA-N 1 2 311.345 1.288 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)nc1OCC ZINC001085900492 760492520 /nfs/dbraw/zinc/49/25/20/760492520.db2.gz IKCWQYNGSJOGEZ-OAHLLOKOSA-N 1 2 315.417 1.958 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)nc1OCC ZINC001085900492 760492524 /nfs/dbraw/zinc/49/25/24/760492524.db2.gz IKCWQYNGSJOGEZ-OAHLLOKOSA-N 1 2 315.417 1.958 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C(C)(F)F)CC2)[C@H](O)C1 ZINC001100011216 760574127 /nfs/dbraw/zinc/57/41/27/760574127.db2.gz NCELVRBSHOCLAX-WDEREUQCSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C(C)(F)F)CC2)[C@H](O)C1 ZINC001100011216 760574129 /nfs/dbraw/zinc/57/41/29/760574129.db2.gz NCELVRBSHOCLAX-WDEREUQCSA-N 1 2 322.783 1.726 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(-c2ccn(C)c2)n[nH]1 ZINC001038334420 761018313 /nfs/dbraw/zinc/01/83/13/761018313.db2.gz ZZAKHWLMSMQZKS-AWEZNQCLSA-N 1 2 311.389 1.243 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(-c2ccn(C)c2)n[nH]1 ZINC001038334420 761018321 /nfs/dbraw/zinc/01/83/21/761018321.db2.gz ZZAKHWLMSMQZKS-AWEZNQCLSA-N 1 2 311.389 1.243 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ocnc1C ZINC001038343934 761029359 /nfs/dbraw/zinc/02/93/59/761029359.db2.gz DCQLNOGFWYTJSP-AWEZNQCLSA-N 1 2 314.389 1.975 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ocnc1C ZINC001038343934 761029366 /nfs/dbraw/zinc/02/93/66/761029366.db2.gz DCQLNOGFWYTJSP-AWEZNQCLSA-N 1 2 314.389 1.975 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001038419076 761101433 /nfs/dbraw/zinc/10/14/33/761101433.db2.gz SVXKQBLTQXLEAL-CHWSQXEVSA-N 1 2 308.813 1.389 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1COc2ccc(F)cc2C1 ZINC001038519552 761172705 /nfs/dbraw/zinc/17/27/05/761172705.db2.gz MTQPCCUYIGLMSU-DZGCQCFKSA-N 1 2 302.349 1.201 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1COc2ccc(F)cc2C1 ZINC001038519552 761172707 /nfs/dbraw/zinc/17/27/07/761172707.db2.gz MTQPCCUYIGLMSU-DZGCQCFKSA-N 1 2 302.349 1.201 20 30 DDEDLO CCn1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)nn1 ZINC001038852433 761476676 /nfs/dbraw/zinc/47/66/76/761476676.db2.gz BDPJOWXECNIVHJ-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)nn1 ZINC001038852433 761476677 /nfs/dbraw/zinc/47/66/77/761476677.db2.gz BDPJOWXECNIVHJ-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnc(C(F)(F)F)c1 ZINC001038879221 761504712 /nfs/dbraw/zinc/50/47/12/761504712.db2.gz JTADUXXURMWEEZ-GFCCVEGCSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnc(C(F)(F)F)c1 ZINC001038879221 761504721 /nfs/dbraw/zinc/50/47/21/761504721.db2.gz JTADUXXURMWEEZ-GFCCVEGCSA-N 1 2 311.307 1.928 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108656322 762836300 /nfs/dbraw/zinc/83/63/00/762836300.db2.gz HFFFYSTZPOPPNY-RYUDHWBXSA-N 1 2 320.441 1.765 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H](C)CNc2ccnc(C#N)n2)c(C)[nH+]1 ZINC001108793276 762940206 /nfs/dbraw/zinc/94/02/06/762940206.db2.gz FEBKINPGPZCZQO-LBPRGKRZSA-N 1 2 324.388 1.321 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)noc1C)C2 ZINC001108913524 763117486 /nfs/dbraw/zinc/11/74/86/763117486.db2.gz RHDBGSFWDFZRLX-ILXRZTDVSA-N 1 2 317.389 1.276 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)noc1C)C2 ZINC001108913524 763117496 /nfs/dbraw/zinc/11/74/96/763117496.db2.gz RHDBGSFWDFZRLX-ILXRZTDVSA-N 1 2 317.389 1.276 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)[C@H](C)CC)CC1 ZINC001131390109 768109536 /nfs/dbraw/zinc/10/95/36/768109536.db2.gz AXCIHSQVDIEMLZ-CVEARBPZSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)[C@H](C)CC)CC1 ZINC001131390109 768109540 /nfs/dbraw/zinc/10/95/40/768109540.db2.gz AXCIHSQVDIEMLZ-CVEARBPZSA-N 1 2 323.481 1.895 20 30 DDEDLO C[C@H](CN(C)c1ccc(C#N)cn1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001108931745 763140597 /nfs/dbraw/zinc/14/05/97/763140597.db2.gz YDSUEELXGVAKMX-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO N#CCSCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncs1 ZINC001108951899 763150498 /nfs/dbraw/zinc/15/04/98/763150498.db2.gz JYHFVGYTHZICLG-WXHSDQCUSA-N 1 2 322.459 1.621 20 30 DDEDLO N#CCSCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncs1 ZINC001108951899 763150501 /nfs/dbraw/zinc/15/05/01/763150501.db2.gz JYHFVGYTHZICLG-WXHSDQCUSA-N 1 2 322.459 1.621 20 30 DDEDLO N#Cc1cnccc1NC1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001057322558 763424751 /nfs/dbraw/zinc/42/47/51/763424751.db2.gz DVSZBJKCRCITMV-UHFFFAOYSA-N 1 2 324.388 1.134 20 30 DDEDLO C[C@@H](CN(C)c1ccc(C#N)nc1)NC(=O)CCn1cc[nH+]c1 ZINC001109173264 763427343 /nfs/dbraw/zinc/42/73/43/763427343.db2.gz ZIJQDLAEAQPNSN-ZDUSSCGKSA-N 1 2 312.377 1.181 20 30 DDEDLO Cc1ccc(C#N)c(NC2CCN(C(=O)Cn3cc[nH+]c3)CC2)n1 ZINC001057341507 763475207 /nfs/dbraw/zinc/47/52/07/763475207.db2.gz IKMYIADJCSTBPY-UHFFFAOYSA-N 1 2 324.388 1.561 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccns1)C2 ZINC001109353812 763595339 /nfs/dbraw/zinc/59/53/39/763595339.db2.gz YOIVBMCRXIILAO-AGIUHOORSA-N 1 2 305.403 1.128 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccns1)C2 ZINC001109353812 763595348 /nfs/dbraw/zinc/59/53/48/763595348.db2.gz YOIVBMCRXIILAO-AGIUHOORSA-N 1 2 305.403 1.128 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@H+](Cc3ncccn3)[C@@H]2C1 ZINC001042058782 763603316 /nfs/dbraw/zinc/60/33/16/763603316.db2.gz VSIQXQGJYSHZAZ-HZPDHXFCSA-N 1 2 310.401 1.313 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@@H+](Cc3ncccn3)[C@@H]2C1 ZINC001042058782 763603318 /nfs/dbraw/zinc/60/33/18/763603318.db2.gz VSIQXQGJYSHZAZ-HZPDHXFCSA-N 1 2 310.401 1.313 20 30 DDEDLO CN(CCCNc1ccc(C#N)nc1)C(=O)CCc1[nH]cc[nH+]1 ZINC001109433097 763700111 /nfs/dbraw/zinc/70/01/11/763700111.db2.gz ZAOXJKHWLWIADI-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(F)CCCC1)C2 ZINC001109471797 763740764 /nfs/dbraw/zinc/74/07/64/763740764.db2.gz KMDDLKMUVWEJML-RDBSUJKOSA-N 1 2 323.412 1.292 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(F)CCCC1)C2 ZINC001109471797 763740766 /nfs/dbraw/zinc/74/07/66/763740766.db2.gz KMDDLKMUVWEJML-RDBSUJKOSA-N 1 2 323.412 1.292 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@](C)(C=C)CC)C2 ZINC001109678666 763948434 /nfs/dbraw/zinc/94/84/34/763948434.db2.gz CTTVMVBJVUCQGQ-LDDOYCOJSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@](C)(C=C)CC)C2 ZINC001109678666 763948440 /nfs/dbraw/zinc/94/84/40/763948440.db2.gz CTTVMVBJVUCQGQ-LDDOYCOJSA-N 1 2 317.433 1.060 20 30 DDEDLO C[C@@H]1CN(c2ncccc2C#N)CC[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067401511 764237243 /nfs/dbraw/zinc/23/72/43/764237243.db2.gz AIFRHTQMZMUZFP-HIFRSBDPSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1[C@@H](C)CC ZINC001050944312 764318888 /nfs/dbraw/zinc/31/88/88/764318888.db2.gz WJRFYRDDZGJLHS-GJZGRUSLSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1[C@@H](C)CC ZINC001050944312 764318896 /nfs/dbraw/zinc/31/88/96/764318896.db2.gz WJRFYRDDZGJLHS-GJZGRUSLSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc2cc[nH]c2n1 ZINC001050970614 764366049 /nfs/dbraw/zinc/36/60/49/764366049.db2.gz IVZOFCDEIVKZTI-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc2cc[nH]c2n1 ZINC001050970614 764366058 /nfs/dbraw/zinc/36/60/58/764366058.db2.gz IVZOFCDEIVKZTI-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(CC(C)C)c2CC)C1 ZINC001042715891 764383419 /nfs/dbraw/zinc/38/34/19/764383419.db2.gz PLADMNBFEGDJPY-UHFFFAOYSA-N 1 2 316.449 1.881 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1CCC ZINC001051000769 764404519 /nfs/dbraw/zinc/40/45/19/764404519.db2.gz UILMZIMLZYRFIF-CQSZACIVSA-N 1 2 320.437 1.759 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1CCC ZINC001051000769 764404525 /nfs/dbraw/zinc/40/45/25/764404525.db2.gz UILMZIMLZYRFIF-CQSZACIVSA-N 1 2 320.437 1.759 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1[nH]c(C)nc1C ZINC001051121632 764522607 /nfs/dbraw/zinc/52/26/07/764522607.db2.gz VMNAHSXYBWCZJH-AWEZNQCLSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1[nH]c(C)nc1C ZINC001051121632 764522598 /nfs/dbraw/zinc/52/25/98/764522598.db2.gz VMNAHSXYBWCZJH-AWEZNQCLSA-N 1 2 306.410 1.423 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc3c(c2)OCCCO3)C1 ZINC001042926918 764532018 /nfs/dbraw/zinc/53/20/18/764532018.db2.gz HJWKVIRRIPQOEE-UHFFFAOYSA-N 1 2 314.385 1.627 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccn(C(C)C)n1 ZINC001051146249 764551004 /nfs/dbraw/zinc/55/10/04/764551004.db2.gz QNDKYHPFIQMVEH-AWEZNQCLSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccn(C(C)C)n1 ZINC001051146249 764551008 /nfs/dbraw/zinc/55/10/08/764551008.db2.gz QNDKYHPFIQMVEH-AWEZNQCLSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C(C)C)c1C ZINC001051202980 764614703 /nfs/dbraw/zinc/61/47/03/764614703.db2.gz MNTIXVGQCBJUPZ-HNNXBMFYSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C(C)C)c1C ZINC001051202980 764614704 /nfs/dbraw/zinc/61/47/04/764614704.db2.gz MNTIXVGQCBJUPZ-HNNXBMFYSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112791222 764779970 /nfs/dbraw/zinc/77/99/70/764779970.db2.gz ZWENVWDLGDIIOJ-CQSZACIVSA-N 1 2 304.394 1.415 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C[C@@H]2CCOC[C@H]2OCC)C1 ZINC001043374316 764867743 /nfs/dbraw/zinc/86/77/43/764867743.db2.gz BGHZKMIKWQUTKO-GOEBONIOSA-N 1 2 310.438 1.537 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c[nH]nc2[C@H]2CCCO2)C1 ZINC001043618061 765007653 /nfs/dbraw/zinc/00/76/53/765007653.db2.gz BMVZLMMBPPZHRB-CQSZACIVSA-N 1 2 302.378 1.041 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)C2CCN(CC(F)F)CC2)C1 ZINC001043640724 765020213 /nfs/dbraw/zinc/02/02/13/765020213.db2.gz FOTNAQTZSXADRC-UHFFFAOYSA-N 1 2 301.381 1.292 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(-c3ccncc3)on2)C1 ZINC001043697583 765052688 /nfs/dbraw/zinc/05/26/88/765052688.db2.gz BIYKRHZGVUHWNE-UHFFFAOYSA-N 1 2 310.357 1.516 20 30 DDEDLO C=C1CCC(C(=O)N(C)C2C[NH+](CCn3cccn3)C2)CC1 ZINC001043760344 765083097 /nfs/dbraw/zinc/08/30/97/765083097.db2.gz VUZXMUYJIMHNEF-UHFFFAOYSA-N 1 2 302.422 1.772 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(c3cc[nH+]c(C)n3)C[C@H]2O)C1 ZINC001051781979 765160455 /nfs/dbraw/zinc/16/04/55/765160455.db2.gz SUBOQSJJOMVGSW-ZIAGYGMSSA-N 1 2 316.405 1.197 20 30 DDEDLO C=C(C)CN1CC(N(C)C(=O)C2([NH+]3CCOCC3)CCCC2)C1 ZINC001043993970 765216551 /nfs/dbraw/zinc/21/65/51/765216551.db2.gz RNHDAJIIRAYWFF-UHFFFAOYSA-N 1 2 321.465 1.350 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C[C@@H]2CCCCN2C(C)=O)C1 ZINC001044043048 765251083 /nfs/dbraw/zinc/25/10/83/765251083.db2.gz XNUCFEKBRBYJBV-HNNXBMFYSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C(C)(C)C)on2)CC1 ZINC001113092979 765251603 /nfs/dbraw/zinc/25/16/03/765251603.db2.gz VFZOYALVDYIDFT-UHFFFAOYSA-N 1 2 321.421 1.933 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cc(C)oc3C)C2)CC1 ZINC001051981064 765343483 /nfs/dbraw/zinc/34/34/83/765343483.db2.gz MVSAKQBAUNSHLP-MRXNPFEDSA-N 1 2 317.433 1.915 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)/C=C/C3CC3)C2)CC1 ZINC001052004267 765369734 /nfs/dbraw/zinc/36/97/34/765369734.db2.gz JJUPABQAFVEGQU-DKRLNXSXSA-N 1 2 301.434 1.194 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H](C)C3CC3)C2)CC1 ZINC001052006185 765373461 /nfs/dbraw/zinc/37/34/61/765373461.db2.gz NBVSYIQDCAVYBF-WBVHZDCISA-N 1 2 303.450 1.274 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+](CCOC[C@@H]2CCCCO2)CC1 ZINC001113200157 765399776 /nfs/dbraw/zinc/39/97/76/765399776.db2.gz YQRJBKRUWSDRFB-INIZCTEOSA-N 1 2 324.465 1.929 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@]3(C)C=CCC3)C2)CC1 ZINC001052060244 765432375 /nfs/dbraw/zinc/43/23/75/765432375.db2.gz ANVZHCSNXOTCFI-IEBWSBKVSA-N 1 2 315.461 1.585 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC001113228995 765440418 /nfs/dbraw/zinc/44/04/18/765440418.db2.gz AXZSRFSPRVLHLW-ZIAGYGMSSA-N 1 2 319.453 1.497 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@]34C[C@@H]3CCCC4)C2)CC1 ZINC001052079432 765452054 /nfs/dbraw/zinc/45/20/54/765452054.db2.gz JVWAJPWDCJSQAO-JZXOWHBKSA-N 1 2 316.449 1.309 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H](C)C(C)C)C2)CC1 ZINC001052094643 765465503 /nfs/dbraw/zinc/46/55/03/765465503.db2.gz WXJKQBVACWUIIC-IRXDYDNUSA-N 1 2 305.466 1.520 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3nc(C)oc3C)C2)CC1 ZINC001052100276 765470751 /nfs/dbraw/zinc/47/07/51/765470751.db2.gz BYVSUSBUSIASHO-OAHLLOKOSA-N 1 2 318.421 1.310 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(CCOC)CCC2)CC1 ZINC001113586278 765903738 /nfs/dbraw/zinc/90/37/38/765903738.db2.gz IQTIYYMDEGCUFN-UHFFFAOYSA-N 1 2 310.438 1.540 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001058189248 766296602 /nfs/dbraw/zinc/29/66/02/766296602.db2.gz AHRRGJUFKHKRCX-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO Cc1ccc(C#N)c(N(C)[C@H](C)CNC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001113898064 766363151 /nfs/dbraw/zinc/36/31/51/766363151.db2.gz KHCMHOUXFJVGTH-GFCCVEGCSA-N 1 2 312.377 1.168 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc4c(o3)CCCC4)C[C@H]21 ZINC001114067324 766592161 /nfs/dbraw/zinc/59/21/61/766592161.db2.gz QJZPLATWYAZFCQ-YMEQNVIZSA-N 1 2 314.389 1.259 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc4c(o3)CCCC4)C[C@H]21 ZINC001114067324 766592167 /nfs/dbraw/zinc/59/21/67/766592167.db2.gz QJZPLATWYAZFCQ-YMEQNVIZSA-N 1 2 314.389 1.259 20 30 DDEDLO C#CCOCCC(=O)NCC1CC([NH2+]CC(F)(F)C(F)F)C1 ZINC001100322842 766646637 /nfs/dbraw/zinc/64/66/37/766646637.db2.gz WJHMUFWGHFQKGL-UHFFFAOYSA-N 1 2 324.318 1.411 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067716829 766648264 /nfs/dbraw/zinc/64/82/64/766648264.db2.gz JBKQMTWGYIKRSU-UHFFFAOYSA-N 1 2 306.410 1.402 20 30 DDEDLO N#Cc1cccnc1NCC1CC(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001067860788 766761664 /nfs/dbraw/zinc/76/16/64/766761664.db2.gz PNDRBNFHQBLCEQ-UHFFFAOYSA-N 1 2 310.361 1.226 20 30 DDEDLO CC1(C)CC(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)C1 ZINC001046025484 766829079 /nfs/dbraw/zinc/82/90/79/766829079.db2.gz GTCRRFYULPLZJU-OAHLLOKOSA-N 1 2 304.438 1.165 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+]Cc1nnc(C(C)C)o1 ZINC001129514198 766920971 /nfs/dbraw/zinc/92/09/71/766920971.db2.gz PEEJFDNAYULIPS-UHFFFAOYSA-N 1 2 317.393 1.700 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+][C@H](C)c1nc(C)no1 ZINC001129523087 766925941 /nfs/dbraw/zinc/92/59/41/766925941.db2.gz UAVKVMWHBGUVNX-LLVKDONJSA-N 1 2 303.366 1.446 20 30 DDEDLO Cc1nc(NC[C@@H]2C[C@@H](C)N(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001068302128 767078224 /nfs/dbraw/zinc/07/82/24/767078224.db2.gz QQALICMDDNQKOX-YPMHNXCESA-N 1 2 324.388 1.948 20 30 DDEDLO N#Cc1ccc(N(CCCNC(=O)Cc2c[nH]c[nH+]2)C2CC2)cn1 ZINC001096081115 767166158 /nfs/dbraw/zinc/16/61/58/767166158.db2.gz RKPFLUAVQVICGS-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO Cn1ccc(C[N@@H+]2CC[C@@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC001046252567 767302816 /nfs/dbraw/zinc/30/28/16/767302816.db2.gz CTYLHQVMWKUNHS-MRXNPFEDSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1ccc(C[N@H+]2CC[C@@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC001046252567 767302820 /nfs/dbraw/zinc/30/28/20/767302820.db2.gz CTYLHQVMWKUNHS-MRXNPFEDSA-N 1 2 312.377 1.014 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001046328377 767427331 /nfs/dbraw/zinc/42/73/31/767427331.db2.gz YWFZUEUXDQMAIB-HCKVZZMMSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001046328377 767427336 /nfs/dbraw/zinc/42/73/36/767427336.db2.gz YWFZUEUXDQMAIB-HCKVZZMMSA-N 1 2 313.829 1.234 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2cncs2)CC[C@@H]1C ZINC001131839932 768404271 /nfs/dbraw/zinc/40/42/71/768404271.db2.gz WUIBQUPKGIYVCE-STQMWFEESA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2cncs2)CC[C@@H]1C ZINC001131839932 768404277 /nfs/dbraw/zinc/40/42/77/768404277.db2.gz WUIBQUPKGIYVCE-STQMWFEESA-N 1 2 307.419 1.376 20 30 DDEDLO CN(C(=O)C1=CCCC1)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047345206 768417445 /nfs/dbraw/zinc/41/74/45/768417445.db2.gz LWHHMSSASHMCNQ-OALUTQOASA-N 1 2 324.424 1.652 20 30 DDEDLO CN(C(=O)C1=CCCC1)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047345206 768417451 /nfs/dbraw/zinc/41/74/51/768417451.db2.gz LWHHMSSASHMCNQ-OALUTQOASA-N 1 2 324.424 1.652 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+][C@H](C)c1nnc(CC)o1 ZINC001131870080 768431361 /nfs/dbraw/zinc/43/13/61/768431361.db2.gz NANLSAQLUSMVPZ-WBMJQRKESA-N 1 2 324.425 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCC(=O)NCC)CC[C@@H]1C ZINC001131903874 768468095 /nfs/dbraw/zinc/46/80/95/768468095.db2.gz LCLMQVSSNNFWJO-QWHCGFSZSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCC(=O)NCC)CC[C@@H]1C ZINC001131903874 768468098 /nfs/dbraw/zinc/46/80/98/768468098.db2.gz LCLMQVSSNNFWJO-QWHCGFSZSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)COCCOC)CC[C@H]1C ZINC001131907828 768470423 /nfs/dbraw/zinc/47/04/23/768470423.db2.gz DSBKDLQMPMGTPZ-CHWSQXEVSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)COCCOC)CC[C@H]1C ZINC001131907828 768470425 /nfs/dbraw/zinc/47/04/25/768470425.db2.gz DSBKDLQMPMGTPZ-CHWSQXEVSA-N 1 2 304.818 1.371 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C(C)C)nc2C)C1 ZINC001047530154 768547476 /nfs/dbraw/zinc/54/74/76/768547476.db2.gz QLMSGIMBTBWEDW-IRXDYDNUSA-N 1 2 315.417 1.264 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C(C)C)nc2C)C1 ZINC001047530154 768547481 /nfs/dbraw/zinc/54/74/81/768547481.db2.gz QLMSGIMBTBWEDW-IRXDYDNUSA-N 1 2 315.417 1.264 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132003631 768555414 /nfs/dbraw/zinc/55/54/14/768555414.db2.gz YAVIGIJPMRIXOG-KBPBESRZSA-N 1 2 303.410 1.088 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132003631 768555419 /nfs/dbraw/zinc/55/54/19/768555419.db2.gz YAVIGIJPMRIXOG-KBPBESRZSA-N 1 2 303.410 1.088 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccccc2OC(C)C)C1 ZINC001047603142 768602523 /nfs/dbraw/zinc/60/25/23/768602523.db2.gz VIBWHEOCGRZYOA-HOTGVXAUSA-N 1 2 316.401 1.224 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccccc2OC(C)C)C1 ZINC001047603142 768602529 /nfs/dbraw/zinc/60/25/29/768602529.db2.gz VIBWHEOCGRZYOA-HOTGVXAUSA-N 1 2 316.401 1.224 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)C2CCCCC2)C[C@H]1NCC#N ZINC001070616662 768620884 /nfs/dbraw/zinc/62/08/84/768620884.db2.gz CODYGTJQCZNAFS-HUUCEWRRSA-N 1 2 315.421 1.408 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C2(C(=O)NC)CC2)CC[C@@H]1C ZINC001132348059 768776032 /nfs/dbraw/zinc/77/60/32/768776032.db2.gz HBBLWVRONWCXJP-NWDGAFQWSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C2(C(=O)NC)CC2)CC[C@@H]1C ZINC001132348059 768776034 /nfs/dbraw/zinc/77/60/34/768776034.db2.gz HBBLWVRONWCXJP-NWDGAFQWSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070935570 768795903 /nfs/dbraw/zinc/79/59/03/768795903.db2.gz SGKCUXCLKUFDGE-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2C=CCC2)CC1 ZINC001070937605 768796452 /nfs/dbraw/zinc/79/64/52/768796452.db2.gz SKEZQOICGNXZSW-OAHLLOKOSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2C=CCC2)CC1 ZINC001070937605 768796458 /nfs/dbraw/zinc/79/64/58/768796458.db2.gz SKEZQOICGNXZSW-OAHLLOKOSA-N 1 2 305.422 1.179 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+]Cc1nc(CCOC)no1 ZINC001132398732 768804738 /nfs/dbraw/zinc/80/47/38/768804738.db2.gz MZFUHPMWTMUZJC-UHFFFAOYSA-N 1 2 322.409 1.048 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH+]cn2C)C1 ZINC001071088502 768938824 /nfs/dbraw/zinc/93/88/24/768938824.db2.gz DFNINFZGBHQSDI-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C2CN(C(C)=O)C2)CC[C@H]1C ZINC001071460113 769467717 /nfs/dbraw/zinc/46/77/17/769467717.db2.gz IRGNLQBNTNCAKU-RISCZKNCSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C2CN(C(C)=O)C2)CC[C@H]1C ZINC001071460113 769467721 /nfs/dbraw/zinc/46/77/21/769467721.db2.gz IRGNLQBNTNCAKU-RISCZKNCSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccn(C)c1=O)C2 ZINC001096375469 769493036 /nfs/dbraw/zinc/49/30/36/769493036.db2.gz IJLUMKKYYPIRFP-XBFCOCLRSA-N 1 2 321.808 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccn(C)c1=O)C2 ZINC001096375469 769493042 /nfs/dbraw/zinc/49/30/42/769493042.db2.gz IJLUMKKYYPIRFP-XBFCOCLRSA-N 1 2 321.808 1.473 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ncccc1C#N ZINC001048796970 769901114 /nfs/dbraw/zinc/90/11/14/769901114.db2.gz GGEYXXHWQCCDOB-UONOGXRCSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+][C@H](C)c2nc(COC)no2)C1 ZINC001133571474 770003321 /nfs/dbraw/zinc/00/33/21/770003321.db2.gz SMXRAUAHOAUBLJ-LLVKDONJSA-N 1 2 308.382 1.339 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2scnc2COC)CC[C@H]1C ZINC001071883622 770222842 /nfs/dbraw/zinc/22/28/42/770222842.db2.gz OKYVCUIBIQMVGR-VXGBXAGGSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2scnc2COC)CC[C@H]1C ZINC001071883622 770222846 /nfs/dbraw/zinc/22/28/46/770222846.db2.gz OKYVCUIBIQMVGR-VXGBXAGGSA-N 1 2 307.419 1.505 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cncn2C)CC[C@H]1C ZINC001071989320 770395869 /nfs/dbraw/zinc/39/58/69/770395869.db2.gz OWXVKQIGVYKVFT-CHWSQXEVSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cncn2C)CC[C@H]1C ZINC001071989320 770395881 /nfs/dbraw/zinc/39/58/81/770395881.db2.gz OWXVKQIGVYKVFT-CHWSQXEVSA-N 1 2 310.829 1.684 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)C[C@@H](C)[NH2+]CC(F)(F)C(F)F ZINC001133913671 770449399 /nfs/dbraw/zinc/44/93/99/770449399.db2.gz VBLJRKMRSPKZFP-ZJUUUORDSA-N 1 2 314.323 1.962 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1CCN(c2ccncc2C#N)C1 ZINC001096490597 770460458 /nfs/dbraw/zinc/46/04/58/770460458.db2.gz CKDYUKHBSWLUOV-OCCSQVGLSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1CCN(c2ccncc2C#N)C1 ZINC001096490597 770460466 /nfs/dbraw/zinc/46/04/66/770460466.db2.gz CKDYUKHBSWLUOV-OCCSQVGLSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072219578 770674755 /nfs/dbraw/zinc/67/47/55/770674755.db2.gz VHLYXLSTEVAJAZ-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO CCc1noc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001049996224 771350445 /nfs/dbraw/zinc/35/04/45/771350445.db2.gz WRZKVYSTTVHQKQ-RWMBFGLXSA-N 1 2 317.393 1.214 20 30 DDEDLO CCc1noc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001049996224 771350452 /nfs/dbraw/zinc/35/04/52/771350452.db2.gz WRZKVYSTTVHQKQ-RWMBFGLXSA-N 1 2 317.393 1.214 20 30 DDEDLO N#Cc1ccc(N[C@@H](CNC(=O)CCc2[nH]cc[nH+]2)C2CC2)cn1 ZINC001096646950 771370059 /nfs/dbraw/zinc/37/00/59/771370059.db2.gz WOHCHRFIMLNEHE-HNNXBMFYSA-N 1 2 324.388 1.616 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001096933102 771524190 /nfs/dbraw/zinc/52/41/90/771524190.db2.gz ZAAZPAUJVHQLRY-LBPRGKRZSA-N 1 2 312.377 1.180 20 30 DDEDLO N#Cc1cnccc1N[C@H]1C[C@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001097041175 771592751 /nfs/dbraw/zinc/59/27/51/771592751.db2.gz KUOXGLICTGGXNX-SHTZXODSSA-N 1 2 324.388 1.111 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@@H](C)[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091005951 772441444 /nfs/dbraw/zinc/44/14/44/772441444.db2.gz FLHCYBYCFMPKJR-MBNYWOFBSA-N 1 2 302.378 1.082 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001091438441 772756654 /nfs/dbraw/zinc/75/66/54/772756654.db2.gz OSVZSSFWNRTDAA-HAQNSBGRSA-N 1 2 314.393 1.636 20 30 DDEDLO C=CCOCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001147297065 773091303 /nfs/dbraw/zinc/09/13/03/773091303.db2.gz GGIGBOKYXSHMMQ-UHFFFAOYSA-N 1 2 320.393 1.087 20 30 DDEDLO C=CCOCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001147297065 773091309 /nfs/dbraw/zinc/09/13/09/773091309.db2.gz GGIGBOKYXSHMMQ-UHFFFAOYSA-N 1 2 320.393 1.087 20 30 DDEDLO CCn1ccc(C[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)n1 ZINC001147514096 773157791 /nfs/dbraw/zinc/15/77/91/773157791.db2.gz BWLQDVLSNGHGAV-UHFFFAOYSA-N 1 2 314.433 1.597 20 30 DDEDLO CCn1ccc(C[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)n1 ZINC001147514096 773157793 /nfs/dbraw/zinc/15/77/93/773157793.db2.gz BWLQDVLSNGHGAV-UHFFFAOYSA-N 1 2 314.433 1.597 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@H]4CN(CC#N)C[C@@]4(C)C3)ccn12 ZINC001091670325 773299494 /nfs/dbraw/zinc/29/94/94/773299494.db2.gz IDUBAIJZEYQBKD-QAPCUYQASA-N 1 2 323.400 1.560 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cc(F)c[nH]2)C1 ZINC001073700203 773323815 /nfs/dbraw/zinc/32/38/15/773323815.db2.gz GDABZNKRMBWFDF-LBPRGKRZSA-N 1 2 315.776 1.727 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cc(F)c[nH]2)C1 ZINC001073700203 773323820 /nfs/dbraw/zinc/32/38/20/773323820.db2.gz GDABZNKRMBWFDF-LBPRGKRZSA-N 1 2 315.776 1.727 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[N@@H+](C)C[C@H]2C(=O)OC)cc1 ZINC001148463843 773478891 /nfs/dbraw/zinc/47/88/91/773478891.db2.gz QPIGGEGRIAODBJ-HNNXBMFYSA-N 1 2 318.373 1.181 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[N@H+](C)C[C@H]2C(=O)OC)cc1 ZINC001148463843 773478895 /nfs/dbraw/zinc/47/88/95/773478895.db2.gz QPIGGEGRIAODBJ-HNNXBMFYSA-N 1 2 318.373 1.181 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ncoc3CC)C[C@@H]21 ZINC001074196018 773720523 /nfs/dbraw/zinc/72/05/23/773720523.db2.gz DUKXZSKPLVXOMN-ZFWWWQNUSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ncoc3CC)C[C@@H]21 ZINC001074196018 773720525 /nfs/dbraw/zinc/72/05/25/773720525.db2.gz DUKXZSKPLVXOMN-ZFWWWQNUSA-N 1 2 319.405 1.728 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C[C@H]21 ZINC001074204915 773726508 /nfs/dbraw/zinc/72/65/08/773726508.db2.gz KDWTWBQGBAQWPX-CVEARBPZSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C[C@H]21 ZINC001074204915 773726510 /nfs/dbraw/zinc/72/65/10/773726510.db2.gz KDWTWBQGBAQWPX-CVEARBPZSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@@H]21 ZINC001074208461 773731654 /nfs/dbraw/zinc/73/16/54/773731654.db2.gz IZBOUGXFTLOHKP-UONOGXRCSA-N 1 2 314.376 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@@H]21 ZINC001074208461 773731656 /nfs/dbraw/zinc/73/16/56/773731656.db2.gz IZBOUGXFTLOHKP-UONOGXRCSA-N 1 2 314.376 1.910 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3C)C[C@H]21 ZINC001074208323 773731734 /nfs/dbraw/zinc/73/17/34/773731734.db2.gz GWCPUXIZIBXTAC-CVEARBPZSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3C)C[C@H]21 ZINC001074208323 773731736 /nfs/dbraw/zinc/73/17/36/773731736.db2.gz GWCPUXIZIBXTAC-CVEARBPZSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3[nH]ccc3C)C[C@H]21 ZINC001074230231 773754811 /nfs/dbraw/zinc/75/48/11/773754811.db2.gz VXSPTYKJIRCPKS-CABCVRRESA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3[nH]ccc3C)C[C@H]21 ZINC001074230231 773754816 /nfs/dbraw/zinc/75/48/16/773754816.db2.gz VXSPTYKJIRCPKS-CABCVRRESA-N 1 2 303.406 1.815 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2OCC[N@H+](Cc3ccccc3)[C@H]2C1 ZINC001074237413 773759775 /nfs/dbraw/zinc/75/97/75/773759775.db2.gz YTIJYAYJOFQNOZ-RBUKOAKNSA-N 1 2 324.424 1.902 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2OCC[N@@H+](Cc3ccccc3)[C@H]2C1 ZINC001074237413 773759780 /nfs/dbraw/zinc/75/97/80/773759780.db2.gz YTIJYAYJOFQNOZ-RBUKOAKNSA-N 1 2 324.424 1.902 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CC4(CCC4)C3)C[C@H]21 ZINC001074314241 773815708 /nfs/dbraw/zinc/81/57/08/773815708.db2.gz BNJKGXXFYLCWLU-IAGOWNOFSA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CC4(CCC4)C3)C[C@H]21 ZINC001074314241 773815712 /nfs/dbraw/zinc/81/57/12/773815712.db2.gz BNJKGXXFYLCWLU-IAGOWNOFSA-N 1 2 316.445 1.892 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCO)[C@H]3C2)CC1 ZINC001074326446 773825313 /nfs/dbraw/zinc/82/53/13/773825313.db2.gz FLRMJXSDZDVJHM-IRXDYDNUSA-N 1 2 322.449 1.417 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]3OCC[N@H+](CCCO)[C@H]3C2)CC1 ZINC001074326446 773825316 /nfs/dbraw/zinc/82/53/16/773825316.db2.gz FLRMJXSDZDVJHM-IRXDYDNUSA-N 1 2 322.449 1.417 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3OCC[N@@H+](CCOC)[C@@H]3C2)C1 ZINC001074334864 773832101 /nfs/dbraw/zinc/83/21/01/773832101.db2.gz ZSUACDCUXADOLJ-CABCVRRESA-N 1 2 308.422 1.291 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3OCC[N@H+](CCOC)[C@@H]3C2)C1 ZINC001074334864 773832104 /nfs/dbraw/zinc/83/21/04/773832104.db2.gz ZSUACDCUXADOLJ-CABCVRRESA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(Cl)CN1CCO[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C[C@H]21 ZINC001074346893 773844006 /nfs/dbraw/zinc/84/40/06/773844006.db2.gz VZQLWAXHDKOKON-ZIAGYGMSSA-N 1 2 324.812 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C#C)cn3)C[C@@H]21 ZINC001074384488 773876357 /nfs/dbraw/zinc/87/63/57/773876357.db2.gz HUTKXJVYCJBWOO-ROUUACIJSA-N 1 2 323.396 1.002 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C#C)cn3)C[C@@H]21 ZINC001074384488 773876361 /nfs/dbraw/zinc/87/63/61/773876361.db2.gz HUTKXJVYCJBWOO-ROUUACIJSA-N 1 2 323.396 1.002 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCc2[nH]cc[nH+]2)CCN1c1ccncc1C#N ZINC001091958554 773897844 /nfs/dbraw/zinc/89/78/44/773897844.db2.gz VJOSIKOQKXRFIP-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCn2cc[nH+]c2)CCN1c1ccc(C#N)cn1 ZINC001092038714 773916156 /nfs/dbraw/zinc/91/61/56/773916156.db2.gz PIBBEUQNYHCGPJ-HIFRSBDPSA-N 1 2 324.388 1.323 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC=CCC1)c1nccn12 ZINC001092344920 774064644 /nfs/dbraw/zinc/06/46/44/774064644.db2.gz VAXBTDZDIAWRKG-JKSUJKDBSA-N 1 2 324.428 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H]1C=CCC1)c1nccn12 ZINC001092348063 774067283 /nfs/dbraw/zinc/06/72/83/774067283.db2.gz NTHVFTCZVUVUAQ-HUUCEWRRSA-N 1 2 312.417 1.997 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001092377299 774094031 /nfs/dbraw/zinc/09/40/31/774094031.db2.gz WQWSSORQWSAVSG-VNHYZAJKSA-N 1 2 318.421 1.565 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(F)c[nH]1)c1nccn12 ZINC001092381049 774094743 /nfs/dbraw/zinc/09/47/43/774094743.db2.gz OWYUXUJPQZZDRW-ZDUSSCGKSA-N 1 2 315.352 1.422 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001074955844 774263692 /nfs/dbraw/zinc/26/36/92/774263692.db2.gz MYRDZIPZIMBSJA-CABCVRRESA-N 1 2 316.405 1.182 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074959963 774266401 /nfs/dbraw/zinc/26/64/01/774266401.db2.gz DRMRJDYBKMDAPX-KGLIPLIRSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)C[C@@H]1C ZINC001075081072 774337946 /nfs/dbraw/zinc/33/79/46/774337946.db2.gz DABBBNIZQJISNI-KBPBESRZSA-N 1 2 318.421 1.425 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001075127708 774371403 /nfs/dbraw/zinc/37/14/03/774371403.db2.gz PNDIOJDJXRTLKN-CHWSQXEVSA-N 1 2 304.394 1.414 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3scnc3C)CC2)C1 ZINC001093512314 774759993 /nfs/dbraw/zinc/75/99/93/774759993.db2.gz MIPBJOLMSQEZLO-UHFFFAOYSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CO[C@H](C)C3)CC2)C1 ZINC001093549488 774827525 /nfs/dbraw/zinc/82/75/25/774827525.db2.gz XHLRXWSWJYEMEZ-KGLIPLIRSA-N 1 2 308.422 1.289 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3nc(C)c[nH]3)CC2)C1 ZINC001093581410 774856964 /nfs/dbraw/zinc/85/69/64/774856964.db2.gz JGSXHXABPUEXKS-UHFFFAOYSA-N 1 2 304.394 1.210 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)CCCn2cc[nH+]c2)n1 ZINC001093715052 775029974 /nfs/dbraw/zinc/02/99/74/775029974.db2.gz YKEXDYVWAFPRMG-UHFFFAOYSA-N 1 2 312.377 1.467 20 30 DDEDLO C#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)C(C)C)c2)nn1 ZINC001099601938 775060131 /nfs/dbraw/zinc/06/01/31/775060131.db2.gz ITFAASBYDBPLGO-UHFFFAOYSA-N 1 2 311.389 1.262 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099729430 775196141 /nfs/dbraw/zinc/19/61/41/775196141.db2.gz HWHDTMZHBWCVKY-DZGCQCFKSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099729430 775196149 /nfs/dbraw/zinc/19/61/49/775196149.db2.gz HWHDTMZHBWCVKY-DZGCQCFKSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099730669 775198545 /nfs/dbraw/zinc/19/85/45/775198545.db2.gz WMQACUBDJSVCMG-WDEREUQCSA-N 1 2 307.221 1.823 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099730669 775198551 /nfs/dbraw/zinc/19/85/51/775198551.db2.gz WMQACUBDJSVCMG-WDEREUQCSA-N 1 2 307.221 1.823 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)/C=C/C(C)(C)C)[C@H](O)C1 ZINC001099746286 775215928 /nfs/dbraw/zinc/21/59/28/775215928.db2.gz GIMHIYMHPQCVQE-JHSWMASBSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)/C=C/C(C)(C)C)[C@H](O)C1 ZINC001099746286 775215932 /nfs/dbraw/zinc/21/59/32/775215932.db2.gz GIMHIYMHPQCVQE-JHSWMASBSA-N 1 2 300.830 1.893 20 30 DDEDLO N#Cc1cnc(NCCNC(=O)c2cccc3[nH+]ccn32)c(F)c1 ZINC001093986320 775300563 /nfs/dbraw/zinc/30/05/63/775300563.db2.gz HPLKLQHGILTVEX-UHFFFAOYSA-N 1 2 324.319 1.582 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2cccnc2)[C@@H](O)C1 ZINC001099908772 775409623 /nfs/dbraw/zinc/40/96/23/775409623.db2.gz JBWWDBIXZDAZPK-CABCVRRESA-N 1 2 323.824 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2cccnc2)[C@@H](O)C1 ZINC001099908772 775409633 /nfs/dbraw/zinc/40/96/33/775409633.db2.gz JBWWDBIXZDAZPK-CABCVRRESA-N 1 2 323.824 1.318 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001099960363 775483821 /nfs/dbraw/zinc/48/38/21/775483821.db2.gz SYIXQESRDNDHDF-GXTWGEPZSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001099960363 775483826 /nfs/dbraw/zinc/48/38/26/775483826.db2.gz SYIXQESRDNDHDF-GXTWGEPZSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2snnc2C)C[C@@H]1O ZINC001099977271 775511515 /nfs/dbraw/zinc/51/15/15/775511515.db2.gz WVPNOGRBCOEYOI-OLZOCXBDSA-N 1 2 324.450 1.254 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2snnc2C)C[C@@H]1O ZINC001099977271 775511522 /nfs/dbraw/zinc/51/15/22/775511522.db2.gz WVPNOGRBCOEYOI-OLZOCXBDSA-N 1 2 324.450 1.254 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@H]3C(C)(C)C)nn2)C1 ZINC001094304877 775656116 /nfs/dbraw/zinc/65/61/16/775656116.db2.gz JHDBVWGKLHBFLJ-HUUCEWRRSA-N 1 2 317.437 1.619 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCCC3(C)C)nn2)C1 ZINC001094310821 775664797 /nfs/dbraw/zinc/66/47/97/775664797.db2.gz UOKRWGFZSPQNKX-HNNXBMFYSA-N 1 2 317.437 1.763 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C2CC(CNC(=O)C#CC(C)(C)C)C2)no1 ZINC001100317478 775989218 /nfs/dbraw/zinc/98/92/18/775989218.db2.gz WXRQNIUWTSIDKK-LMWSTFAQSA-N 1 2 318.421 1.973 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)c3ccc(C)o3)CC2=O)C1 ZINC001094699647 776210362 /nfs/dbraw/zinc/21/03/62/776210362.db2.gz RMRQSGCYFRRESD-CYBMUJFWSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3c(C)coc3C)CC2=O)C1 ZINC001094733831 776236013 /nfs/dbraw/zinc/23/60/13/776236013.db2.gz ODUUBRPFVZWYNV-CYBMUJFWSA-N 1 2 317.389 1.097 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3sccc3F)CC2=O)C1 ZINC001094733337 776236314 /nfs/dbraw/zinc/23/63/14/776236314.db2.gz HRVDBSDBPGBGLR-SNVBAGLBSA-N 1 2 323.393 1.088 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@]3(C)C[C@H]4C[C@H]4C3)CC2=O)C1 ZINC001094766916 776256653 /nfs/dbraw/zinc/25/66/53/776256653.db2.gz PWVMDPKOLNRLTM-KYZVSKTDSA-N 1 2 317.433 1.010 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@H]3C[C@H]4C[C@H]4C3)CC2=O)C1 ZINC001094777096 776267900 /nfs/dbraw/zinc/26/79/00/776267900.db2.gz NQNOTISEGDFNOY-CBBWQLFWSA-N 1 2 317.433 1.010 20 30 DDEDLO N#Cc1cccnc1NCCCNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001094781756 776272519 /nfs/dbraw/zinc/27/25/19/776272519.db2.gz WFKROFRFWRHZMM-ZDUSSCGKSA-N 1 2 324.388 1.715 20 30 DDEDLO Cc1nc(N2CCC[C@@H]2CNC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001100859445 776642783 /nfs/dbraw/zinc/64/27/83/776642783.db2.gz SQJNGVJSZQGZKE-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC001101062143 776870383 /nfs/dbraw/zinc/87/03/83/776870383.db2.gz CVIVAGJOHJICJH-CYBMUJFWSA-N 1 2 324.388 1.170 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)[nH]n1)C2 ZINC001095139606 777137302 /nfs/dbraw/zinc/13/73/02/777137302.db2.gz MPXKTLZVWXRJEZ-USWWRNFRSA-N 1 2 308.769 1.270 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)[nH]n1)C2 ZINC001095139606 777137307 /nfs/dbraw/zinc/13/73/07/777137307.db2.gz MPXKTLZVWXRJEZ-USWWRNFRSA-N 1 2 308.769 1.270 20 30 DDEDLO CCOC(=O)C[C@@H]([NH2+]C1CCC(C)(C#N)CC1)C(=O)OCC ZINC001173585979 777271010 /nfs/dbraw/zinc/27/10/10/777271010.db2.gz BAZQJXJPFZYQJP-MFOWVQHXSA-N 1 2 310.394 1.933 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)C(C)C)c1nccn12 ZINC001101646347 777343031 /nfs/dbraw/zinc/34/30/31/777343031.db2.gz NJJPNIVPCZDVHQ-KGLIPLIRSA-N 1 2 302.422 1.933 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)C(C)C)c1nccn12 ZINC001101646349 777343339 /nfs/dbraw/zinc/34/33/39/777343339.db2.gz NJJPNIVPCZDVHQ-ZIAGYGMSSA-N 1 2 302.422 1.933 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001101916322 777676344 /nfs/dbraw/zinc/67/63/44/777676344.db2.gz AEZDOQQXKDYZEK-LALPHHSUSA-N 1 2 324.450 1.049 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001101916322 777676347 /nfs/dbraw/zinc/67/63/47/777676347.db2.gz AEZDOQQXKDYZEK-LALPHHSUSA-N 1 2 324.450 1.049 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](CNC(=O)C(F)C(F)(F)F)[C@H](C)C1 ZINC001101988174 777767233 /nfs/dbraw/zinc/76/72/33/777767233.db2.gz UWRROBJFZPCPPG-IJLUTSLNSA-N 1 2 324.318 1.221 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](CNC(=O)C(F)C(F)(F)F)[C@H](C)C1 ZINC001101988174 777767239 /nfs/dbraw/zinc/76/72/39/777767239.db2.gz UWRROBJFZPCPPG-IJLUTSLNSA-N 1 2 324.318 1.221 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)[C@H](C)C1 ZINC001101988174 777767242 /nfs/dbraw/zinc/76/72/42/777767242.db2.gz UWRROBJFZPCPPG-IJLUTSLNSA-N 1 2 324.318 1.221 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)[C@H](C)C1 ZINC001101988174 777767245 /nfs/dbraw/zinc/76/72/45/777767245.db2.gz UWRROBJFZPCPPG-IJLUTSLNSA-N 1 2 324.318 1.221 20 30 DDEDLO CCCN(C(=O)[C@H](C)C#N)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102226008 777957209 /nfs/dbraw/zinc/95/72/09/777957209.db2.gz YFSWBTPJEQLPRS-TZMCWYRMSA-N 1 2 301.394 1.762 20 30 DDEDLO Cc1nc(N2CC[C@@H](N(C)C(=O)C#CC(C)(C)C)C2)cc[nH+]1 ZINC001102699737 778325753 /nfs/dbraw/zinc/32/57/53/778325753.db2.gz CBHGTCBGLDHVFZ-CQSZACIVSA-N 1 2 300.406 1.872 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@H+](CCOCC3CC3)[C@@H]2C1 ZINC001176955784 778346409 /nfs/dbraw/zinc/34/64/09/778346409.db2.gz ZGRHXXZWVIKSBM-IAGOWNOFSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOCC3CC3)[C@@H]2C1 ZINC001176955784 778346419 /nfs/dbraw/zinc/34/64/19/778346419.db2.gz ZGRHXXZWVIKSBM-IAGOWNOFSA-N 1 2 322.449 1.681 20 30 DDEDLO CC(C)OCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001177006947 778385487 /nfs/dbraw/zinc/38/54/87/778385487.db2.gz VRNXEJIGWCQZNL-DLBZAZTESA-N 1 2 320.433 1.127 20 30 DDEDLO CC(C)OCC[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001177006947 778385495 /nfs/dbraw/zinc/38/54/95/778385495.db2.gz VRNXEJIGWCQZNL-DLBZAZTESA-N 1 2 320.433 1.127 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)C=C)C[C@@H]21 ZINC001177020069 778395406 /nfs/dbraw/zinc/39/54/06/778395406.db2.gz VROVBQUKKRXDTE-JKSUJKDBSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)C=C)C[C@@H]21 ZINC001177020069 778395412 /nfs/dbraw/zinc/39/54/12/778395412.db2.gz VROVBQUKKRXDTE-JKSUJKDBSA-N 1 2 304.434 1.914 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCCO)[C@H]2C1 ZINC001177019318 778395448 /nfs/dbraw/zinc/39/54/48/778395448.db2.gz KIGGGTYBPRAMCN-IRXDYDNUSA-N 1 2 322.449 1.439 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]2OCC[N@H+](CCCO)[C@H]2C1 ZINC001177019318 778395454 /nfs/dbraw/zinc/39/54/54/778395454.db2.gz KIGGGTYBPRAMCN-IRXDYDNUSA-N 1 2 322.449 1.439 20 30 DDEDLO CCOCCC[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)C)C[C@@H]21 ZINC001177019426 778396094 /nfs/dbraw/zinc/39/60/94/778396094.db2.gz LYJQRDYEULAETC-IRXDYDNUSA-N 1 2 322.449 1.374 20 30 DDEDLO CCOCCC[N@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)C)C[C@@H]21 ZINC001177019426 778396096 /nfs/dbraw/zinc/39/60/96/778396096.db2.gz LYJQRDYEULAETC-IRXDYDNUSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CC#C)CCC3)C[C@@H]21 ZINC001177029109 778399344 /nfs/dbraw/zinc/39/93/44/778399344.db2.gz CEZUYZYOVMZLJA-DLBZAZTESA-N 1 2 314.429 1.505 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CC#C)CCC3)C[C@@H]21 ZINC001177029109 778399351 /nfs/dbraw/zinc/39/93/51/778399351.db2.gz CEZUYZYOVMZLJA-DLBZAZTESA-N 1 2 314.429 1.505 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CCOC)[C@@H]3C2)CCC1 ZINC001177030364 778399754 /nfs/dbraw/zinc/39/97/54/778399754.db2.gz NBCVQSULMKBJIJ-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CCOC)[C@@H]3C2)CCC1 ZINC001177030364 778399762 /nfs/dbraw/zinc/39/97/62/778399762.db2.gz NBCVQSULMKBJIJ-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3(COCC)CC3)C[C@H]21 ZINC001177040970 778404712 /nfs/dbraw/zinc/40/47/12/778404712.db2.gz FPGKKEWFFHNJLS-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(COCC)CC3)C[C@H]21 ZINC001177040970 778404717 /nfs/dbraw/zinc/40/47/17/778404717.db2.gz FPGKKEWFFHNJLS-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(COC)CCC3)C[C@@H]21 ZINC001177048548 778410342 /nfs/dbraw/zinc/41/03/42/778410342.db2.gz JPNJXQKGTZJJFC-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(COC)CCC3)C[C@@H]21 ZINC001177048548 778410344 /nfs/dbraw/zinc/41/03/44/778410344.db2.gz JPNJXQKGTZJJFC-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC)[C@@H]2C1 ZINC001177062349 778421932 /nfs/dbraw/zinc/42/19/32/778421932.db2.gz WKSIGGOKCADDCP-CABCVRRESA-N 1 2 310.438 1.537 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCOCC)[C@@H]2C1 ZINC001177062349 778421936 /nfs/dbraw/zinc/42/19/36/778421936.db2.gz WKSIGGOKCADDCP-CABCVRRESA-N 1 2 310.438 1.537 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC3(C)CC3)C[C@H]21 ZINC001177125772 778438123 /nfs/dbraw/zinc/43/81/23/778438123.db2.gz SNYTWPUMRIJAFQ-HZPDHXFCSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC3(C)CC3)C[C@H]21 ZINC001177125772 778438126 /nfs/dbraw/zinc/43/81/26/778438126.db2.gz SNYTWPUMRIJAFQ-HZPDHXFCSA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCOCC)[C@H]2C1 ZINC001177100599 778446452 /nfs/dbraw/zinc/44/64/52/778446452.db2.gz GQFBAASZGPEENQ-JKSUJKDBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCOCC)[C@H]2C1 ZINC001177100599 778446454 /nfs/dbraw/zinc/44/64/54/778446454.db2.gz GQFBAASZGPEENQ-JKSUJKDBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCC(=O)NC[C@H](CC)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001102985442 778529359 /nfs/dbraw/zinc/52/93/59/778529359.db2.gz NZRKFANYKAQUKJ-LBPRGKRZSA-N 1 2 306.414 1.519 20 30 DDEDLO CC[C@H](CNC(=O)CCn1cc[nH+]c1)Nc1ccc(C#N)cn1 ZINC001103248284 778734568 /nfs/dbraw/zinc/73/45/68/778734568.db2.gz HWNBIUMVOQWIQR-CQSZACIVSA-N 1 2 312.377 1.547 20 30 DDEDLO N#Cc1ccccc1OCCCNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001178122628 778914607 /nfs/dbraw/zinc/91/46/07/778914607.db2.gz ZBOOOXYFKZLHTG-OAHLLOKOSA-N 1 2 324.384 1.902 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2cn(Cc3cccnc3)nn2)s1 ZINC001178978123 779231285 /nfs/dbraw/zinc/23/12/85/779231285.db2.gz TVNKEYJUDMAFMM-UHFFFAOYSA-N 1 2 310.386 1.944 20 30 DDEDLO CC#CC(=O)N1Cc2c[nH+]cn2C[C@H](COc2cccnc2)C1 ZINC001179751097 779479942 /nfs/dbraw/zinc/47/99/42/779479942.db2.gz ZSHPOOYQWJPVJO-CQSZACIVSA-N 1 2 310.357 1.339 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCC1(Nc2ccc(C#N)cn2)CCC1 ZINC001111825436 779499746 /nfs/dbraw/zinc/49/97/46/779499746.db2.gz FYTVZVXMBWWAPN-UHFFFAOYSA-N 1 2 324.388 1.380 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC1(Nc2ccc(C#N)cn2)CCC1 ZINC001111828948 779502731 /nfs/dbraw/zinc/50/27/31/779502731.db2.gz KWVBOFZOQRCUCK-UHFFFAOYSA-N 1 2 324.388 1.678 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001112000091 779593626 /nfs/dbraw/zinc/59/36/26/779593626.db2.gz HUCAZLXJTJZFEW-UKRRQHHQSA-N 1 2 316.405 1.298 20 30 DDEDLO C[C@@H](CCCNc1ccc(C#N)nn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115089127 779869522 /nfs/dbraw/zinc/86/95/22/779869522.db2.gz RIIJZURPDHIBBD-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC(N(C)c2cc[nH+]c(C)n2)CC1 ZINC001115142937 779901179 /nfs/dbraw/zinc/90/11/79/779901179.db2.gz JQXRGXPFSBOLMJ-ZDUSSCGKSA-N 1 2 318.421 1.803 20 30 DDEDLO C#CC[NH2+]C1(CNC(=O)c2cncc3nc[nH]c32)CCCCC1 ZINC001115546731 780227786 /nfs/dbraw/zinc/22/77/86/780227786.db2.gz AWYLLPJUTIVRJY-UHFFFAOYSA-N 1 2 311.389 1.613 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)C1 ZINC001118891857 781265081 /nfs/dbraw/zinc/26/50/81/781265081.db2.gz BXPZEIDWHDYMMC-ZDUSSCGKSA-N 1 2 316.405 1.540 20 30 DDEDLO C[NH+](C)Cc1csc(CNS(=O)(=O)CCCCC#N)n1 ZINC001119607927 781534152 /nfs/dbraw/zinc/53/41/52/781534152.db2.gz BBEPZZRVJCYMRR-UHFFFAOYSA-N 1 2 316.452 1.318 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@@H+](C)CCCS(=O)(=O)CC)C1 ZINC001267215979 837548476 /nfs/dbraw/zinc/54/84/76/837548476.db2.gz RLSGSZPFQLUNNT-UHFFFAOYSA-N 1 2 316.467 1.216 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@H+](C)CCCS(=O)(=O)CC)C1 ZINC001267215979 837548483 /nfs/dbraw/zinc/54/84/83/837548483.db2.gz RLSGSZPFQLUNNT-UHFFFAOYSA-N 1 2 316.467 1.216 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCC[N@H+]1Cc1coc(C)n1 ZINC001267267153 837704087 /nfs/dbraw/zinc/70/40/87/837704087.db2.gz ONIUYXFVVBTSSV-GOEBONIOSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1coc(C)n1 ZINC001267267153 837704098 /nfs/dbraw/zinc/70/40/98/837704098.db2.gz ONIUYXFVVBTSSV-GOEBONIOSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CCC[N@@H+]1Cc1cnnn1C ZINC001267274690 837721741 /nfs/dbraw/zinc/72/17/41/837721741.db2.gz RDGIJRQXOHLPIZ-CJNGLKHVSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CCC[N@H+]1Cc1cnnn1C ZINC001267274690 837721748 /nfs/dbraw/zinc/72/17/48/837721748.db2.gz RDGIJRQXOHLPIZ-CJNGLKHVSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C)no2)C1 ZINC001266307526 836092161 /nfs/dbraw/zinc/09/21/61/836092161.db2.gz FZMQRUWYIFUWNG-ZIAGYGMSSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C)no2)C1 ZINC001266307526 836092170 /nfs/dbraw/zinc/09/21/70/836092170.db2.gz FZMQRUWYIFUWNG-ZIAGYGMSSA-N 1 2 307.394 1.655 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[N@H+](C)CC(=O)NC1CCCC1 ZINC001266320396 836114711 /nfs/dbraw/zinc/11/47/11/836114711.db2.gz JQSGYIYFBVXWBT-QGZVFWFLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[N@@H+](C)CC(=O)NC1CCCC1 ZINC001266320396 836114716 /nfs/dbraw/zinc/11/47/16/836114716.db2.gz JQSGYIYFBVXWBT-QGZVFWFLSA-N 1 2 309.454 1.696 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001266456279 836283533 /nfs/dbraw/zinc/28/35/33/836283533.db2.gz TYGVRXCNRZHXDE-OAHLLOKOSA-N 1 2 317.437 1.145 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001266456279 836283543 /nfs/dbraw/zinc/28/35/43/836283543.db2.gz TYGVRXCNRZHXDE-OAHLLOKOSA-N 1 2 317.437 1.145 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CCCC[N@H+]1Cc1cnnn1C ZINC001266841789 836914494 /nfs/dbraw/zinc/91/44/94/836914494.db2.gz CIFOWTFUCJFPIT-AWEZNQCLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1cnnn1C ZINC001266841789 836914502 /nfs/dbraw/zinc/91/45/02/836914502.db2.gz CIFOWTFUCJFPIT-AWEZNQCLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](C)[NH2+]Cc2csnn2)CCOCC1 ZINC001266989252 837156319 /nfs/dbraw/zinc/15/63/19/837156319.db2.gz HASVSQYCYRIISO-LBPRGKRZSA-N 1 2 324.450 1.505 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001267009033 837179843 /nfs/dbraw/zinc/17/98/43/837179843.db2.gz MZZSMSXNYGKNMV-BLLLJJGKSA-N 1 2 322.409 1.040 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001267104822 837353178 /nfs/dbraw/zinc/35/31/78/837353178.db2.gz FUIPSUCJSDTYAT-NVXWUHKLSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001267104822 837353188 /nfs/dbraw/zinc/35/31/88/837353188.db2.gz FUIPSUCJSDTYAT-NVXWUHKLSA-N 1 2 321.421 1.733 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CC[C@@H](N(CCC)C(=O)[C@H](C)C#N)C1 ZINC001267453494 838072356 /nfs/dbraw/zinc/07/23/56/838072356.db2.gz CZLDUVYBEBLQGA-HUUCEWRRSA-N 1 2 322.453 1.375 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CC[C@@H](N(CCC)C(=O)[C@H](C)C#N)C1 ZINC001267453494 838072377 /nfs/dbraw/zinc/07/23/77/838072377.db2.gz CZLDUVYBEBLQGA-HUUCEWRRSA-N 1 2 322.453 1.375 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[NH2+]Cc2ncc(CC)o2)nc1 ZINC001267457841 838086392 /nfs/dbraw/zinc/08/63/92/838086392.db2.gz WRKMZEHLPYFWCJ-UHFFFAOYSA-N 1 2 312.373 1.475 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)c2cc(C)nc(C)c2)CC1 ZINC001267572810 838336025 /nfs/dbraw/zinc/33/60/25/838336025.db2.gz YCCBBDPVDITJMK-UHFFFAOYSA-N 1 2 314.433 1.021 20 30 DDEDLO CC[C@@H](CC(C)C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001267619255 838507506 /nfs/dbraw/zinc/50/75/06/838507506.db2.gz DOCZGWNOMQKFJK-LSDHHAIUSA-N 1 2 322.453 1.279 20 30 DDEDLO CC[C@@H](CC(C)C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001267619255 838507507 /nfs/dbraw/zinc/50/75/07/838507507.db2.gz DOCZGWNOMQKFJK-LSDHHAIUSA-N 1 2 322.453 1.279 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(F)ccc2F)C1 ZINC001267628069 838526509 /nfs/dbraw/zinc/52/65/09/838526509.db2.gz NMXHBOLCTQCAMP-AWEZNQCLSA-N 1 2 322.355 1.809 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2cc(F)ccc2F)C1 ZINC001267628069 838526513 /nfs/dbraw/zinc/52/65/13/838526513.db2.gz NMXHBOLCTQCAMP-AWEZNQCLSA-N 1 2 322.355 1.809 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1C[NH+](C[C@H](OC)c2ccccc2)C1 ZINC001267644808 838564946 /nfs/dbraw/zinc/56/49/46/838564946.db2.gz WSMRHHYKSWKOGG-FUHWJXTLSA-N 1 2 318.417 1.502 20 30 DDEDLO COCC#CC[N@H+](C)C[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267653362 838586142 /nfs/dbraw/zinc/58/61/42/838586142.db2.gz QFWFWBOAUQXPMD-RYUDHWBXSA-N 1 2 324.318 1.317 20 30 DDEDLO COCC#CC[N@@H+](C)C[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267653362 838586151 /nfs/dbraw/zinc/58/61/51/838586151.db2.gz QFWFWBOAUQXPMD-RYUDHWBXSA-N 1 2 324.318 1.317 20 30 DDEDLO COCC#CC[N@H+](C)C[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001267653362 838586155 /nfs/dbraw/zinc/58/61/55/838586155.db2.gz QFWFWBOAUQXPMD-RYUDHWBXSA-N 1 2 324.318 1.317 20 30 DDEDLO COCC#CC[N@@H+](C)C[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001267653362 838586159 /nfs/dbraw/zinc/58/61/59/838586159.db2.gz QFWFWBOAUQXPMD-RYUDHWBXSA-N 1 2 324.318 1.317 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)c2coc(C)c2)C1 ZINC001267740901 838809905 /nfs/dbraw/zinc/80/99/05/838809905.db2.gz ZAQDAHDCDHUYKZ-TZMCWYRMSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(=O)c2coc(C)c2)C1 ZINC001267740901 838809918 /nfs/dbraw/zinc/80/99/18/838809918.db2.gz ZAQDAHDCDHUYKZ-TZMCWYRMSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nnc(C)o1 ZINC001267971726 839300149 /nfs/dbraw/zinc/30/01/49/839300149.db2.gz DBCOZRYALNIXHL-CYBMUJFWSA-N 1 2 308.382 1.051 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)CC[C@H]2CC(C)(C)CO2)C1 ZINC001268029234 839443868 /nfs/dbraw/zinc/44/38/68/839443868.db2.gz DDYRPFWSTQEHHU-HOTGVXAUSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)CC[C@H]2CC(C)(C)CO2)C1 ZINC001268029234 839443871 /nfs/dbraw/zinc/44/38/71/839443871.db2.gz DDYRPFWSTQEHHU-HOTGVXAUSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCC[N@H+]1CCC[C@H](NC(=O)CS(=O)(=O)CC(C)C)C1 ZINC001268202221 839834591 /nfs/dbraw/zinc/83/45/91/839834591.db2.gz QDJJQOVZRZFFSE-AWEZNQCLSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCC[N@@H+]1CCC[C@H](NC(=O)CS(=O)(=O)CC(C)C)C1 ZINC001268202221 839834595 /nfs/dbraw/zinc/83/45/95/839834595.db2.gz QDJJQOVZRZFFSE-AWEZNQCLSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](O)C[NH2+][C@H](C)c2csnn2)C1 ZINC001268325075 840004281 /nfs/dbraw/zinc/00/42/81/840004281.db2.gz XWFMFJOCDQQMGJ-MNOVXSKESA-N 1 2 310.423 1.022 20 30 DDEDLO CCc1nnc([C@H](C)[NH2+]C[C@H](C)NC(=O)C#CC(C)(C)C)o1 ZINC001268688356 840675470 /nfs/dbraw/zinc/67/54/70/840675470.db2.gz DUAGDQMWIZFYLH-RYUDHWBXSA-N 1 2 306.410 1.837 20 30 DDEDLO CO[C@@H](C)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#Cc1ccccc1 ZINC001268929884 841010972 /nfs/dbraw/zinc/01/09/72/841010972.db2.gz OXDYTBIIMNITAX-SZMVWBNQSA-N 1 2 312.413 1.748 20 30 DDEDLO CO[C@@H](C)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC#Cc1ccccc1 ZINC001268929884 841010976 /nfs/dbraw/zinc/01/09/76/841010976.db2.gz OXDYTBIIMNITAX-SZMVWBNQSA-N 1 2 312.413 1.748 20 30 DDEDLO C/C=C/C=C/C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001268963370 841063649 /nfs/dbraw/zinc/06/36/49/841063649.db2.gz BGNKDCMGBCRGRE-VNKDHWASSA-N 1 2 310.401 1.673 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@H]1CC[N@H+](Cc2cncn2C)C1 ZINC001269046410 841144157 /nfs/dbraw/zinc/14/41/57/841144157.db2.gz JENSRAJJNJDPNU-YOEHRIQHSA-N 1 2 320.437 1.339 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@H]1CC[N@@H+](Cc2cncn2C)C1 ZINC001269046410 841144169 /nfs/dbraw/zinc/14/41/69/841144169.db2.gz JENSRAJJNJDPNU-YOEHRIQHSA-N 1 2 320.437 1.339 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@]1(C)CCC[C@H]1C ZINC001272105251 844618228 /nfs/dbraw/zinc/61/82/28/844618228.db2.gz LLLYRHUPHMCKLB-RHSMWYFYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@]1(C)CCC[C@H]1C ZINC001272105251 844618234 /nfs/dbraw/zinc/61/82/34/844618234.db2.gz LLLYRHUPHMCKLB-RHSMWYFYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)c2occc2C)C1 ZINC001269104719 841210878 /nfs/dbraw/zinc/21/08/78/841210878.db2.gz RXPCOAXPBJCHRJ-CHWSQXEVSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(=O)c2occc2C)C1 ZINC001269104719 841210890 /nfs/dbraw/zinc/21/08/90/841210890.db2.gz RXPCOAXPBJCHRJ-CHWSQXEVSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(Cl)nc2)C1 ZINC001269118071 841227826 /nfs/dbraw/zinc/22/78/26/841227826.db2.gz LFQOXTKMCCIWJP-CYBMUJFWSA-N 1 2 309.797 1.628 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(Cl)nc2)C1 ZINC001269118071 841227836 /nfs/dbraw/zinc/22/78/36/841227836.db2.gz LFQOXTKMCCIWJP-CYBMUJFWSA-N 1 2 309.797 1.628 20 30 DDEDLO O=C(COCC1CC1)NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001269201386 841335456 /nfs/dbraw/zinc/33/54/56/841335456.db2.gz XVHQUAUSTLBYNE-SFHVURJKSA-N 1 2 312.413 1.655 20 30 DDEDLO O=C(COCC1CC1)NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001269201386 841335472 /nfs/dbraw/zinc/33/54/72/841335472.db2.gz XVHQUAUSTLBYNE-SFHVURJKSA-N 1 2 312.413 1.655 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)Cc1cc(C)ccc1C ZINC001269285138 841466785 /nfs/dbraw/zinc/46/67/85/841466785.db2.gz HYGDCTVUGJWISN-GOSISDBHSA-N 1 2 314.429 1.686 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)Cc1cc(C)ccc1C ZINC001269285138 841466787 /nfs/dbraw/zinc/46/67/87/841466787.db2.gz HYGDCTVUGJWISN-GOSISDBHSA-N 1 2 314.429 1.686 20 30 DDEDLO O=C(CCc1cn[nH]c1)NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001269321574 841518091 /nfs/dbraw/zinc/51/80/91/841518091.db2.gz YODMTIKGSPPVLQ-GOSISDBHSA-N 1 2 322.412 1.585 20 30 DDEDLO O=C(CCc1cn[nH]c1)NC[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001269321574 841518096 /nfs/dbraw/zinc/51/80/96/841518096.db2.gz YODMTIKGSPPVLQ-GOSISDBHSA-N 1 2 322.412 1.585 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C1C[NH+](C[C@@H](O)c2ccccc2)C1 ZINC001269780730 842041526 /nfs/dbraw/zinc/04/15/26/842041526.db2.gz LCPBCGOOUUAGRF-SJLPKXTDSA-N 1 2 318.417 1.190 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)C[C@H]2CCC[C@@H](OC)C2)C1 ZINC001269799788 842058327 /nfs/dbraw/zinc/05/83/27/842058327.db2.gz HIULZRNSOZMXPF-DOTOQJQBSA-N 1 2 324.465 1.927 20 30 DDEDLO CCOC(=O)[C@H]1C[N@H+](Cc2c[nH]c3cc(C#N)ccc23)CCO1 ZINC001142921494 861312880 /nfs/dbraw/zinc/31/28/80/861312880.db2.gz OYVACRDTETYHEV-MRXNPFEDSA-N 1 2 313.357 1.803 20 30 DDEDLO CCOC(=O)[C@H]1C[N@@H+](Cc2c[nH]c3cc(C#N)ccc23)CCO1 ZINC001142921494 861312900 /nfs/dbraw/zinc/31/29/00/861312900.db2.gz OYVACRDTETYHEV-MRXNPFEDSA-N 1 2 313.357 1.803 20 30 DDEDLO C=C1CC(C(=O)N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)C1 ZINC001270589877 842698253 /nfs/dbraw/zinc/69/82/53/842698253.db2.gz FGMNURXNZQXBQS-UHFFFAOYSA-N 1 2 310.401 1.507 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1C[N@H+](CCOCC2CCC2)CCO1 ZINC001270649162 842773213 /nfs/dbraw/zinc/77/32/13/842773213.db2.gz FAEUQQCKNLZMMB-KRWDZBQOSA-N 1 2 320.433 1.034 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1C[N@@H+](CCOCC2CCC2)CCO1 ZINC001270649162 842773221 /nfs/dbraw/zinc/77/32/21/842773221.db2.gz FAEUQQCKNLZMMB-KRWDZBQOSA-N 1 2 320.433 1.034 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)sn1 ZINC001326627238 861491590 /nfs/dbraw/zinc/49/15/90/861491590.db2.gz MCAJTCVMDHMMML-CYBMUJFWSA-N 1 2 309.435 1.848 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)sn1 ZINC001326627238 861491596 /nfs/dbraw/zinc/49/15/96/861491596.db2.gz MCAJTCVMDHMMML-CYBMUJFWSA-N 1 2 309.435 1.848 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1COCCN1Cc1c[nH+]cn1C ZINC001326648368 861508682 /nfs/dbraw/zinc/50/86/82/861508682.db2.gz SZHAHEIOLBHNFT-GOEBONIOSA-N 1 2 320.437 1.339 20 30 DDEDLO CC[C@H](CNC(=O)[C@H](C)C#N)NC(=O)c1cccc2[nH+]ccn21 ZINC001409385660 845014330 /nfs/dbraw/zinc/01/43/30/845014330.db2.gz ZUHPVYIMFFYMLD-VXGBXAGGSA-N 1 2 313.361 1.119 20 30 DDEDLO C[C@H](CNC(=O)c1cn[nH]n1)[N@H+](C)Cc1ccc(C#N)cc1F ZINC001409563330 845321274 /nfs/dbraw/zinc/32/12/74/845321274.db2.gz FRASIRUHAPZVEY-SNVBAGLBSA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@H](CNC(=O)c1cn[nH]n1)[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001409563330 845321278 /nfs/dbraw/zinc/32/12/78/845321278.db2.gz FRASIRUHAPZVEY-SNVBAGLBSA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@H](CNC(=O)c1cnn[nH]1)[N@H+](C)Cc1ccc(C#N)cc1F ZINC001409563330 845321286 /nfs/dbraw/zinc/32/12/86/845321286.db2.gz FRASIRUHAPZVEY-SNVBAGLBSA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@H](CNC(=O)c1cnn[nH]1)[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001409563330 845321294 /nfs/dbraw/zinc/32/12/94/845321294.db2.gz FRASIRUHAPZVEY-SNVBAGLBSA-N 1 2 316.340 1.066 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](O)C[NH2+][C@@H](C)c1nnc(CC)o1 ZINC001272495665 846214859 /nfs/dbraw/zinc/21/48/59/846214859.db2.gz GYLLVSXPJNLQIL-RYUDHWBXSA-N 1 2 324.425 1.362 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077677321 846933054 /nfs/dbraw/zinc/93/30/54/846933054.db2.gz HDTRTPVUKCLGMK-ZDUSSCGKSA-N 1 2 318.421 1.465 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3ccc(C)cc3O)C2)OCC1=O ZINC001272766860 847399167 /nfs/dbraw/zinc/39/91/67/847399167.db2.gz UZBKKAWDDDSSCT-UHFFFAOYSA-N 1 2 302.374 1.300 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3ccc(Cl)nc3)C2)OCC1=O ZINC001272874968 847576454 /nfs/dbraw/zinc/57/64/54/847576454.db2.gz GJTIJHYSWKQSFL-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3ccc(Cl)nc3)C2)OCC1=O ZINC001272874968 847576455 /nfs/dbraw/zinc/57/64/55/847576455.db2.gz GJTIJHYSWKQSFL-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@@H]2COCC[N@H+]2CCCCC)nn1 ZINC001272895117 847603920 /nfs/dbraw/zinc/60/39/20/847603920.db2.gz WCCXVVPNEDYOEM-CQSZACIVSA-N 1 2 321.425 1.085 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@@H]2COCC[N@@H+]2CCCCC)nn1 ZINC001272895117 847603923 /nfs/dbraw/zinc/60/39/23/847603923.db2.gz WCCXVVPNEDYOEM-CQSZACIVSA-N 1 2 321.425 1.085 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@H+](Cc3nc(C)cs3)C2)OCC1=O ZINC001272997267 847760127 /nfs/dbraw/zinc/76/01/27/847760127.db2.gz XGJPOJCAKFXGLY-INIZCTEOSA-N 1 2 321.446 1.831 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@@H+](Cc3nc(C)cs3)C2)OCC1=O ZINC001272997267 847760133 /nfs/dbraw/zinc/76/01/33/847760133.db2.gz XGJPOJCAKFXGLY-INIZCTEOSA-N 1 2 321.446 1.831 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@H+](Cc2nocc2C)CCCO1 ZINC001150085404 862070299 /nfs/dbraw/zinc/07/02/99/862070299.db2.gz NEOGQSUJLFKNPB-CQSZACIVSA-N 1 2 321.421 1.902 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@@H+](Cc2nocc2C)CCCO1 ZINC001150085404 862070303 /nfs/dbraw/zinc/07/03/03/862070303.db2.gz NEOGQSUJLFKNPB-CQSZACIVSA-N 1 2 321.421 1.902 20 30 DDEDLO CC(C)c1ocnc1C[N@H+](C)C[C@@H](O)CN(C)C(=O)[C@H](C)C#N ZINC001411167157 850312421 /nfs/dbraw/zinc/31/24/21/850312421.db2.gz PGXOBAYNUNCTMP-CHWSQXEVSA-N 1 2 322.409 1.209 20 30 DDEDLO CC(C)c1ocnc1C[N@@H+](C)C[C@@H](O)CN(C)C(=O)[C@H](C)C#N ZINC001411167157 850312430 /nfs/dbraw/zinc/31/24/30/850312430.db2.gz PGXOBAYNUNCTMP-CHWSQXEVSA-N 1 2 322.409 1.209 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)(C)CC=C)CO2 ZINC001327413722 862155415 /nfs/dbraw/zinc/15/54/15/862155415.db2.gz XECVBWMYWQYNBY-HNNXBMFYSA-N 1 2 322.449 1.751 20 30 DDEDLO Cc1nc(N2CCN(C(=O)C#CC(C)C)CC2)c(C)c(C)[nH+]1 ZINC001093153360 850852600 /nfs/dbraw/zinc/85/26/00/850852600.db2.gz CWBAFDZLBOJICO-UHFFFAOYSA-N 1 2 300.406 1.710 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)CC[C@@H]1CCOC1)O2 ZINC001273655985 851190701 /nfs/dbraw/zinc/19/07/01/851190701.db2.gz SEGGCSIDZBZHRX-HUUCEWRRSA-N 1 2 308.422 1.339 20 30 DDEDLO C[C@@H](C#N)C(=O)NC/C=C/C[NH2+]Cc1nc2c(o1)CCCC2 ZINC001273909612 851493726 /nfs/dbraw/zinc/49/37/26/851493726.db2.gz JBJOLTRIWGACHL-ITKZLYELSA-N 1 2 302.378 1.475 20 30 DDEDLO Cn1cc(Cl)c(C[NH2+]C/C=C\CNC(=O)C#CC2CC2)n1 ZINC001274015340 851850702 /nfs/dbraw/zinc/85/07/02/851850702.db2.gz IEXHBFVFCFUAAV-IHWYPQMZSA-N 1 2 306.797 1.249 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)Cn3ccccc3=O)cc2C1 ZINC001274036322 851874695 /nfs/dbraw/zinc/87/46/95/851874695.db2.gz NDUADBSILWEGIZ-UHFFFAOYSA-N 1 2 321.380 1.114 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)Cn3ccccc3=O)cc2C1 ZINC001274036322 851874703 /nfs/dbraw/zinc/87/47/03/851874703.db2.gz NDUADBSILWEGIZ-UHFFFAOYSA-N 1 2 321.380 1.114 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC/C=C\C[NH2+]Cc1cnn(CC)n1 ZINC001274251840 852098374 /nfs/dbraw/zinc/09/83/74/852098374.db2.gz AKNRJZWQIDBYCY-FPLPWBNLSA-N 1 2 305.426 1.662 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)CN(Cc3c[nH+]cn3CC)C[C@@]2(F)C1=O ZINC001274352326 852179434 /nfs/dbraw/zinc/17/94/34/852179434.db2.gz PBBLINKJQNCNEW-JKSUJKDBSA-N 1 2 324.375 1.554 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@H](NC(=O)C#CC2CC2)C(C)(C)C1 ZINC001274434227 852267031 /nfs/dbraw/zinc/26/70/31/852267031.db2.gz BQHSRMHYXBCWAA-HNNXBMFYSA-N 1 2 316.405 1.508 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@H](NC(=O)C#CC2CC2)C(C)(C)C1 ZINC001274434227 852267037 /nfs/dbraw/zinc/26/70/37/852267037.db2.gz BQHSRMHYXBCWAA-HNNXBMFYSA-N 1 2 316.405 1.508 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3ncsc3C)C2)OCC1=O ZINC001274508570 852342904 /nfs/dbraw/zinc/34/29/04/852342904.db2.gz ZBPGIWUQQUOFBL-OAHLLOKOSA-N 1 2 307.419 1.441 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3ncsc3C)C2)OCC1=O ZINC001274508570 852342914 /nfs/dbraw/zinc/34/29/14/852342914.db2.gz ZBPGIWUQQUOFBL-OAHLLOKOSA-N 1 2 307.419 1.441 20 30 DDEDLO N#Cc1c[nH]c2cc(C(=O)N3CC[NH2+][C@H](c4cn[nH]c4)C3)ccc12 ZINC001150607306 862350128 /nfs/dbraw/zinc/35/01/28/862350128.db2.gz LYDIZBKMKTVMDC-INIZCTEOSA-N 1 2 320.356 1.549 20 30 DDEDLO C#CCN(C(=O)C(=O)N[C@H](C)Cn1cc[nH+]c1)C1CCCCC1 ZINC001327657525 862356583 /nfs/dbraw/zinc/35/65/83/862356583.db2.gz NEMYRTQYYQGFIU-CQSZACIVSA-N 1 2 316.405 1.182 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC1CC1)[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001274714468 852548224 /nfs/dbraw/zinc/54/82/24/852548224.db2.gz PAMNGPWWJWQBDB-LBPRGKRZSA-N 1 2 318.421 1.765 20 30 DDEDLO C#Cc1cccc(C(=O)N2CC3(CCN3CCn3cc[nH+]c3)C2)c1 ZINC001274879158 852662502 /nfs/dbraw/zinc/66/25/02/852662502.db2.gz HDYMRFCHIAWAFM-UHFFFAOYSA-N 1 2 320.396 1.465 20 30 DDEDLO C#Cc1cccc(C(=O)N2CC3(CC[N@@H+]3CCn3ccnc3)C2)c1 ZINC001274879158 852662505 /nfs/dbraw/zinc/66/25/05/852662505.db2.gz HDYMRFCHIAWAFM-UHFFFAOYSA-N 1 2 320.396 1.465 20 30 DDEDLO C#Cc1cccc(C(=O)N2CC3(CC[N@H+]3CCn3ccnc3)C2)c1 ZINC001274879158 852662507 /nfs/dbraw/zinc/66/25/07/852662507.db2.gz HDYMRFCHIAWAFM-UHFFFAOYSA-N 1 2 320.396 1.465 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1Cc2ccc(CNC(C)=O)cc2C1 ZINC001327682180 862382775 /nfs/dbraw/zinc/38/27/75/862382775.db2.gz HOOIPVVXUVKEAQ-GFCCVEGCSA-N 1 2 301.390 1.329 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1Cc2ccc(CNC(C)=O)cc2C1 ZINC001327682180 862382794 /nfs/dbraw/zinc/38/27/94/862382794.db2.gz HOOIPVVXUVKEAQ-GFCCVEGCSA-N 1 2 301.390 1.329 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)NCCCCn1cc[nH+]c1 ZINC001327768757 862451222 /nfs/dbraw/zinc/45/12/22/862451222.db2.gz FOYBOVVUBHHSMG-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cnoc2)cc1C#N ZINC001276253767 855057094 /nfs/dbraw/zinc/05/70/94/855057094.db2.gz JMWZUGOMGXUARD-IYBDPMFKSA-N 1 2 311.389 1.734 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cnoc2)cc1C#N ZINC001276253767 855057097 /nfs/dbraw/zinc/05/70/97/855057097.db2.gz JMWZUGOMGXUARD-IYBDPMFKSA-N 1 2 311.389 1.734 20 30 DDEDLO Cc1cc(NC(=O)[C@@H](c2c[nH+]cn2C)N(C)C)nn1CCC#N ZINC001412780035 855261840 /nfs/dbraw/zinc/26/18/40/855261840.db2.gz DDEBPCFXXSIVKO-CQSZACIVSA-N 1 2 315.381 1.080 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)CCC2CCCC2)C1 ZINC001276335729 855669929 /nfs/dbraw/zinc/66/99/29/855669929.db2.gz ZRJVZZRLTSBJAS-AWEZNQCLSA-N 1 2 321.465 1.696 20 30 DDEDLO CC1(C)CNC(=O)[C@H]1[NH2+]Cc1nnc(-c2cccc(C#N)c2)o1 ZINC001413045078 856048034 /nfs/dbraw/zinc/04/80/34/856048034.db2.gz DWTOPKLDZYFANA-CYBMUJFWSA-N 1 2 311.345 1.222 20 30 DDEDLO Cc1nc(C[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)cs1 ZINC001072544422 857426109 /nfs/dbraw/zinc/42/61/09/857426109.db2.gz MAFQWGKCAOIUIK-NSHDSACASA-N 1 2 304.419 1.646 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)cs1 ZINC001072544422 857426110 /nfs/dbraw/zinc/42/61/10/857426110.db2.gz MAFQWGKCAOIUIK-NSHDSACASA-N 1 2 304.419 1.646 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001072552865 857437931 /nfs/dbraw/zinc/43/79/31/857437931.db2.gz SXSPMJODUBGLKH-WDEREUQCSA-N 1 2 303.366 1.133 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001072552865 857437943 /nfs/dbraw/zinc/43/79/43/857437943.db2.gz SXSPMJODUBGLKH-WDEREUQCSA-N 1 2 303.366 1.133 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N1CCO[C@H](C)C1 ZINC001122490784 858848797 /nfs/dbraw/zinc/84/87/97/858848797.db2.gz UQBLLYXATBISRT-CYBMUJFWSA-N 1 2 316.409 1.404 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224386762 881366078 /nfs/dbraw/zinc/36/60/78/881366078.db2.gz TZFKVQJAHDBEJV-QGZVFWFLSA-N 1 2 319.453 1.746 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)C[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001124648968 859765164 /nfs/dbraw/zinc/76/51/64/859765164.db2.gz KUKQWPQRVSFIRQ-KGLIPLIRSA-N 1 2 306.410 1.619 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCc3ncn(C)c3C2)cc1OC ZINC001138346317 860064135 /nfs/dbraw/zinc/06/41/35/860064135.db2.gz BLQYJYRUZPDJNY-UHFFFAOYSA-N 1 2 311.385 1.999 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCc3ncn(C)c3C2)cc1OC ZINC001138346317 860064142 /nfs/dbraw/zinc/06/41/42/860064142.db2.gz BLQYJYRUZPDJNY-UHFFFAOYSA-N 1 2 311.385 1.999 20 30 DDEDLO C=CCn1cc(C[N@@H+]2Cc3ncn(C)c3[C@@H](COCC)C2)cn1 ZINC001139769804 860476870 /nfs/dbraw/zinc/47/68/70/860476870.db2.gz REUOZNJJIZOULB-OAHLLOKOSA-N 1 2 315.421 1.939 20 30 DDEDLO C=CCn1cc(C[N@H+]2Cc3ncn(C)c3[C@@H](COCC)C2)cn1 ZINC001139769804 860476875 /nfs/dbraw/zinc/47/68/75/860476875.db2.gz REUOZNJJIZOULB-OAHLLOKOSA-N 1 2 315.421 1.939 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cnc(OC)c(Br)c2)CC1 ZINC001140167228 860585934 /nfs/dbraw/zinc/58/59/34/860585934.db2.gz XXSVBIJYQHMTGZ-UHFFFAOYSA-N 1 2 324.222 1.604 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1cn(C)nn1 ZINC001325898971 860932955 /nfs/dbraw/zinc/93/29/55/860932955.db2.gz JILKIMAJXMVJQE-HOTGVXAUSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1cn(C)nn1 ZINC001325898971 860932964 /nfs/dbraw/zinc/93/29/64/860932964.db2.gz JILKIMAJXMVJQE-HOTGVXAUSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1CC ZINC001157213346 863656597 /nfs/dbraw/zinc/65/65/97/863656597.db2.gz HQMOMOZUHJGULD-OAHLLOKOSA-N 1 2 322.453 1.822 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1CC ZINC001157213346 863656602 /nfs/dbraw/zinc/65/66/02/863656602.db2.gz HQMOMOZUHJGULD-OAHLLOKOSA-N 1 2 322.453 1.822 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001153161507 863739230 /nfs/dbraw/zinc/73/92/30/863739230.db2.gz ZCQDIHTYCRDGGJ-GHMZBOCLSA-N 1 2 308.382 1.305 20 30 DDEDLO C=CCOCC[N@@H+](CC)[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001153472018 863903892 /nfs/dbraw/zinc/90/38/92/863903892.db2.gz UWNJXFIBJOZOPS-MNOVXSKESA-N 1 2 314.323 1.916 20 30 DDEDLO C=CCOCC[N@@H+](CC)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001153472018 863903873 /nfs/dbraw/zinc/90/38/73/863903873.db2.gz UWNJXFIBJOZOPS-MNOVXSKESA-N 1 2 314.323 1.916 20 30 DDEDLO C=CCOCC[N@H+](CC)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001153472018 863903883 /nfs/dbraw/zinc/90/38/83/863903883.db2.gz UWNJXFIBJOZOPS-MNOVXSKESA-N 1 2 314.323 1.916 20 30 DDEDLO C=CCOCC[N@H+](CC)[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001153472018 863903901 /nfs/dbraw/zinc/90/39/01/863903901.db2.gz UWNJXFIBJOZOPS-MNOVXSKESA-N 1 2 314.323 1.916 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001153566553 863949950 /nfs/dbraw/zinc/94/99/50/863949950.db2.gz DWIYTZGNHQMUGA-CQSZACIVSA-N 1 2 319.453 1.910 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001153566553 863949965 /nfs/dbraw/zinc/94/99/65/863949965.db2.gz DWIYTZGNHQMUGA-CQSZACIVSA-N 1 2 319.453 1.910 20 30 DDEDLO CC(C)Cc1nc(C[NH2+]CCCNC(=O)C#CC(C)(C)C)no1 ZINC001157736346 864087289 /nfs/dbraw/zinc/08/72/89/864087289.db2.gz FRENMKFCNPTBAC-UHFFFAOYSA-N 1 2 320.437 1.914 20 30 DDEDLO CCCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)C(C)(C)C1 ZINC001330205098 864154868 /nfs/dbraw/zinc/15/48/68/864154868.db2.gz ZJHCYRBAVFVNKQ-CQSZACIVSA-N 1 2 321.465 1.389 20 30 DDEDLO CCCNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)C(C)(C)C1 ZINC001330205098 864154875 /nfs/dbraw/zinc/15/48/75/864154875.db2.gz ZJHCYRBAVFVNKQ-CQSZACIVSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc3nnc(C4CC4)n3c2)CC1 ZINC001153993251 864292148 /nfs/dbraw/zinc/29/21/48/864292148.db2.gz UYYWLGXIBXWWLU-UHFFFAOYSA-N 1 2 311.389 1.551 20 30 DDEDLO COc1cc(N2CCN(c3cncc(CC#N)n3)CC2)cc[nH+]1 ZINC001158099273 864427004 /nfs/dbraw/zinc/42/70/04/864427004.db2.gz XTYAZTUIYDYNFB-UHFFFAOYSA-N 1 2 310.361 1.273 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)NCc2c[nH+]c(C)cc2C)C1 ZINC001330779204 864597332 /nfs/dbraw/zinc/59/73/32/864597332.db2.gz NHJOVVNGAWNKPF-CQSZACIVSA-N 1 2 301.390 1.739 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCCN(c2cc(N(C)C)[nH+]cn2)C1 ZINC001158907088 864968944 /nfs/dbraw/zinc/96/89/44/864968944.db2.gz NFWFDPJUPSOKBV-GFCCVEGCSA-N 1 2 305.382 1.424 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCCN(c2cc(N(C)C)nc[nH+]2)C1 ZINC001158907088 864968949 /nfs/dbraw/zinc/96/89/49/864968949.db2.gz NFWFDPJUPSOKBV-GFCCVEGCSA-N 1 2 305.382 1.424 20 30 DDEDLO C#CCC1(C(=O)NCCC[NH2+]Cc2noc(C(C)C)n2)CCC1 ZINC001159638028 865444155 /nfs/dbraw/zinc/44/41/55/865444155.db2.gz GIYBIXOHRFNMJQ-UHFFFAOYSA-N 1 2 318.421 1.983 20 30 DDEDLO N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)CCCn3cc[nH+]c3)C[C@@H]21 ZINC001332160260 865623185 /nfs/dbraw/zinc/62/31/85/865623185.db2.gz RAGZLEGMROXSIT-OWCLPIDISA-N 1 2 315.421 1.404 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cnnn2CC)[C@H]1C ZINC001332175028 865639198 /nfs/dbraw/zinc/63/91/98/865639198.db2.gz IJGXMFPZZLBBPA-GOEBONIOSA-N 1 2 317.437 1.571 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2cnnn2CC)[C@H]1C ZINC001332175028 865639205 /nfs/dbraw/zinc/63/92/05/865639205.db2.gz IJGXMFPZZLBBPA-GOEBONIOSA-N 1 2 317.437 1.571 20 30 DDEDLO C=C(C)CCC(=O)NCCC1=CC[N@H+](Cc2cnn(C)n2)CC1 ZINC001160257821 865749361 /nfs/dbraw/zinc/74/93/61/865749361.db2.gz FUSYGARYGQUCLG-UHFFFAOYSA-N 1 2 317.437 1.810 20 30 DDEDLO C=C(C)CCC(=O)NCCC1=CC[N@@H+](Cc2cnn(C)n2)CC1 ZINC001160257821 865749369 /nfs/dbraw/zinc/74/93/69/865749369.db2.gz FUSYGARYGQUCLG-UHFFFAOYSA-N 1 2 317.437 1.810 20 30 DDEDLO CCc1nnc(C[NH2+]C[C@H](NC(=O)C#CC2CC2)C2CC2)o1 ZINC001322991985 866247083 /nfs/dbraw/zinc/24/70/83/866247083.db2.gz QGZCEXJKWLAJIY-ZDUSSCGKSA-N 1 2 302.378 1.030 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)Cc1ccccc1OC ZINC001323352192 866553188 /nfs/dbraw/zinc/55/31/88/866553188.db2.gz MCQNHIOCSLMWHK-MRXNPFEDSA-N 1 2 316.401 1.078 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)Cc1ccccc1OC ZINC001323352192 866553197 /nfs/dbraw/zinc/55/31/97/866553197.db2.gz MCQNHIOCSLMWHK-MRXNPFEDSA-N 1 2 316.401 1.078 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H](C)Oc1cccc(C)c1 ZINC001323349703 866554420 /nfs/dbraw/zinc/55/44/20/866554420.db2.gz AQWKKBSBEAPGIE-HZPDHXFCSA-N 1 2 300.402 1.976 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H](C)Oc1cccc(C)c1 ZINC001323349703 866554433 /nfs/dbraw/zinc/55/44/33/866554433.db2.gz AQWKKBSBEAPGIE-HZPDHXFCSA-N 1 2 300.402 1.976 20 30 DDEDLO C=C(Br)C[N@H+]1CC=C(CNC(=O)CCOC)CC1 ZINC001333280149 866586026 /nfs/dbraw/zinc/58/60/26/866586026.db2.gz UURLLMTXTXVLDO-UHFFFAOYSA-N 1 2 317.227 1.680 20 30 DDEDLO C=C(Br)C[N@@H+]1CC=C(CNC(=O)CCOC)CC1 ZINC001333280149 866586033 /nfs/dbraw/zinc/58/60/33/866586033.db2.gz UURLLMTXTXVLDO-UHFFFAOYSA-N 1 2 317.227 1.680 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@](C)(OC)c2ccccc2F)C1 ZINC001323933875 866959664 /nfs/dbraw/zinc/95/96/64/866959664.db2.gz MOJLNDPTWWZXGZ-GOSISDBHSA-N 1 2 318.392 1.853 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)CC[NH2+][C@H](C)c1nc(C)no1 ZINC001320722550 866980671 /nfs/dbraw/zinc/98/06/71/866980671.db2.gz NWBFYVSPMIFDAM-ABAIWWIYSA-N 1 2 310.398 1.204 20 30 DDEDLO CCN(CC[NH2+]Cc1nc(COC)no1)C(=O)C#CC(C)(C)C ZINC001320733575 866987869 /nfs/dbraw/zinc/98/78/69/866987869.db2.gz NMNXVAJEMYHLGT-UHFFFAOYSA-N 1 2 322.409 1.204 20 30 DDEDLO C=CCOCC(=O)NC1(C)CC[NH+](Cc2nccs2)CC1 ZINC001324301715 867190728 /nfs/dbraw/zinc/19/07/28/867190728.db2.gz OQBFFERXWLNGOJ-UHFFFAOYSA-N 1 2 309.435 1.816 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1noc(CC)n1 ZINC001225913120 882109753 /nfs/dbraw/zinc/10/97/53/882109753.db2.gz WIQYUQVXAFXARJ-ZIAGYGMSSA-N 1 2 318.421 1.953 20 30 DDEDLO C=CCOc1ccc(C[NH2+][C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC001334844055 867859744 /nfs/dbraw/zinc/85/97/44/867859744.db2.gz GLRWDMQFXQJQBD-OAHLLOKOSA-N 1 2 301.346 1.760 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC001325229381 867878144 /nfs/dbraw/zinc/87/81/44/867878144.db2.gz ZDWLPDZSFAIOOF-AWEZNQCLSA-N 1 2 324.384 1.697 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC001325229381 867878151 /nfs/dbraw/zinc/87/81/51/867878151.db2.gz ZDWLPDZSFAIOOF-AWEZNQCLSA-N 1 2 324.384 1.697 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@H](C)c2c(F)cccc2F)C1 ZINC001325245892 867887263 /nfs/dbraw/zinc/88/72/63/867887263.db2.gz KIDXQYXWXANBKG-GFCCVEGCSA-N 1 2 324.371 1.807 20 30 DDEDLO C=CCC[NH+]1CC(O)(CNC(=O)c2ocnc2C(F)(F)F)C1 ZINC001325247894 867889236 /nfs/dbraw/zinc/88/92/36/867889236.db2.gz ZBVXHKHGWVZZPN-UHFFFAOYSA-N 1 2 319.283 1.046 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2(O)C[NH+](Cc3ccccc3)C2)cc1 ZINC001325246807 867890024 /nfs/dbraw/zinc/89/00/24/867890024.db2.gz OTQUOKYXAKQRKP-UHFFFAOYSA-N 1 2 320.392 1.645 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@@H](C)OC2CCCCCC2)C1 ZINC001325271819 867903054 /nfs/dbraw/zinc/90/30/54/867903054.db2.gz AHYZOXOHONBJSK-OAHLLOKOSA-N 1 2 322.449 1.301 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)[N@H+](Cc1cnsn1)C2 ZINC001325328615 867946762 /nfs/dbraw/zinc/94/67/62/867946762.db2.gz RHOUYRSZJOQBKV-SCRDCRAPSA-N 1 2 306.435 1.973 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)[N@@H+](Cc1cnsn1)C2 ZINC001325328615 867946770 /nfs/dbraw/zinc/94/67/70/867946770.db2.gz RHOUYRSZJOQBKV-SCRDCRAPSA-N 1 2 306.435 1.973 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C[N@H+](C)CC(=O)Nc1nccs1 ZINC001322121960 867987390 /nfs/dbraw/zinc/98/73/90/867987390.db2.gz MNKYNBIJNFMQCC-NSHDSACASA-N 1 2 310.423 1.484 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C[N@@H+](C)CC(=O)Nc1nccs1 ZINC001322121960 867987404 /nfs/dbraw/zinc/98/74/04/867987404.db2.gz MNKYNBIJNFMQCC-NSHDSACASA-N 1 2 310.423 1.484 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)C[N@H+](C)CC=C(Cl)Cl ZINC001322159016 868013615 /nfs/dbraw/zinc/01/36/15/868013615.db2.gz XUOQRRBGTJWLIU-QWRGUYRKSA-N 1 2 307.221 1.780 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)C[N@@H+](C)CC=C(Cl)Cl ZINC001322159016 868013627 /nfs/dbraw/zinc/01/36/27/868013627.db2.gz XUOQRRBGTJWLIU-QWRGUYRKSA-N 1 2 307.221 1.780 20 30 DDEDLO CC#CCCCC(=O)N1CC([C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001335148270 868094137 /nfs/dbraw/zinc/09/41/37/868094137.db2.gz LMASMBFOIGOOAQ-CYBMUJFWSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001336924427 869220807 /nfs/dbraw/zinc/22/08/07/869220807.db2.gz QVJAIEVVNORRJZ-HNNXBMFYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(Cc2ccnn2C)CC1 ZINC001316966916 870010102 /nfs/dbraw/zinc/01/01/02/870010102.db2.gz KQMPGTCWSXHOSM-UHFFFAOYSA-N 1 2 319.453 1.010 20 30 DDEDLO CC[C@H](CNC(=O)C#CC(C)C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001297391147 870028534 /nfs/dbraw/zinc/02/85/34/870028534.db2.gz IVBZHYXDCDYZEL-CYBMUJFWSA-N 1 2 304.394 1.013 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001297630057 870117803 /nfs/dbraw/zinc/11/78/03/870117803.db2.gz XPPDLYRAIYSACD-HDJSIYSDSA-N 1 2 316.405 1.299 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC ZINC001317043892 870156013 /nfs/dbraw/zinc/15/60/13/870156013.db2.gz KCKWAJQUUOIYSX-GASCZTMLSA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC ZINC001317043892 870156018 /nfs/dbraw/zinc/15/60/18/870156018.db2.gz KCKWAJQUUOIYSX-GASCZTMLSA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCOCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccns1 ZINC001317047480 870162212 /nfs/dbraw/zinc/16/22/12/870162212.db2.gz WAFJWKQPKYHJJG-BETUJISGSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccns1 ZINC001317047480 870162219 /nfs/dbraw/zinc/16/22/19/870162219.db2.gz WAFJWKQPKYHJJG-BETUJISGSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)CNC(=O)Cn1cc[nH+]c1 ZINC001297757039 870172458 /nfs/dbraw/zinc/17/24/58/870172458.db2.gz KXADPNNVLHHJHG-CYBMUJFWSA-N 1 2 306.410 1.354 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCN(C)C(=O)Cc1c[nH+]cn1C ZINC001298076709 870272221 /nfs/dbraw/zinc/27/22/21/870272221.db2.gz HLJXTINKWFYQMS-UHFFFAOYSA-N 1 2 320.437 1.482 20 30 DDEDLO C=C1CCN(c2nnc([C@@H]3C[N@H+](C(C)C)CCO3)n2C)CC1 ZINC001339284501 870436827 /nfs/dbraw/zinc/43/68/27/870436827.db2.gz WNDCZZDTUFMFQX-AWEZNQCLSA-N 1 2 305.426 1.753 20 30 DDEDLO C=C1CCN(c2nnc([C@@H]3C[N@@H+](C(C)C)CCO3)n2C)CC1 ZINC001339284501 870436832 /nfs/dbraw/zinc/43/68/32/870436832.db2.gz WNDCZZDTUFMFQX-AWEZNQCLSA-N 1 2 305.426 1.753 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@@H]1CCC[N@H+](Cc2cnon2)C1 ZINC001317202330 870460097 /nfs/dbraw/zinc/46/00/97/870460097.db2.gz FOZHLMUZKANQTR-UONOGXRCSA-N 1 2 304.394 1.446 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@@H]1CCC[N@@H+](Cc2cnon2)C1 ZINC001317202330 870460101 /nfs/dbraw/zinc/46/01/01/870460101.db2.gz FOZHLMUZKANQTR-UONOGXRCSA-N 1 2 304.394 1.446 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1C[C@@H]2CCC[C@@H]2C1 ZINC001339531850 870541681 /nfs/dbraw/zinc/54/16/81/870541681.db2.gz VPUVSQBJZWLSEY-BARDWOONSA-N 1 2 317.437 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1C[C@@H]2CCC[C@@H]2C1 ZINC001339531850 870541690 /nfs/dbraw/zinc/54/16/90/870541690.db2.gz VPUVSQBJZWLSEY-BARDWOONSA-N 1 2 317.437 1.438 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](C)[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001339738630 870665169 /nfs/dbraw/zinc/66/51/69/870665169.db2.gz MOXTZKAYYKZPSU-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001298680217 870674420 /nfs/dbraw/zinc/67/44/20/870674420.db2.gz UFPLWRLENRHIHE-HUUCEWRRSA-N 1 2 318.421 1.649 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001298778304 870722968 /nfs/dbraw/zinc/72/29/68/870722968.db2.gz STHIFGVZWIXFAL-KBPBESRZSA-N 1 2 304.394 1.259 20 30 DDEDLO C=C(C)CCC(=O)N1CCC2(CN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001339958390 870805846 /nfs/dbraw/zinc/80/58/46/870805846.db2.gz GDDPLJZSZSIVDT-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CCOCC[N@@H+](C)CCNC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC001317455801 870869369 /nfs/dbraw/zinc/86/93/69/870869369.db2.gz UOBYPUDJQCHTSI-CJNGLKHVSA-N 1 2 320.437 1.326 20 30 DDEDLO C=CCOCC[N@H+](C)CCNC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC001317455801 870869376 /nfs/dbraw/zinc/86/93/76/870869376.db2.gz UOBYPUDJQCHTSI-CJNGLKHVSA-N 1 2 320.437 1.326 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3C[C@@H](C2)N3C[C@@H]2CCCO2)cc1C#N ZINC001276433567 870874573 /nfs/dbraw/zinc/87/45/73/870874573.db2.gz NHTMSMVFHVDZHS-BBWFWOEESA-N 1 2 300.406 1.334 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3C[C@@H](C2)N3C[C@@H]2CCCO2)cc1C#N ZINC001276433567 870874584 /nfs/dbraw/zinc/87/45/84/870874584.db2.gz NHTMSMVFHVDZHS-BBWFWOEESA-N 1 2 300.406 1.334 20 30 DDEDLO C[C@@H](C(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC#N)C1CCCC1 ZINC001317508363 870950529 /nfs/dbraw/zinc/95/05/29/870950529.db2.gz KLEMPOGGNWYVAI-UKRRQHHQSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@@H](C(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC#N)C1CCCC1 ZINC001317508363 870950534 /nfs/dbraw/zinc/95/05/34/870950534.db2.gz KLEMPOGGNWYVAI-UKRRQHHQSA-N 1 2 320.437 1.033 20 30 DDEDLO CCc1nnc(N(C)[C@@H](C)CC#N)n1CC[N@@H+]1CCOC[C@@H]1C ZINC001381676787 882465617 /nfs/dbraw/zinc/46/56/17/882465617.db2.gz OPFNSAGLBQTOQV-KBPBESRZSA-N 1 2 320.441 1.300 20 30 DDEDLO CCc1nnc(N(C)[C@@H](C)CC#N)n1CC[N@H+]1CCOC[C@@H]1C ZINC001381676787 882465624 /nfs/dbraw/zinc/46/56/24/882465624.db2.gz OPFNSAGLBQTOQV-KBPBESRZSA-N 1 2 320.441 1.300 20 30 DDEDLO CCOC(=O)c1cnc2n1CC[N@@H+](Cc1ccccc1C#N)CC2 ZINC001204373243 871083252 /nfs/dbraw/zinc/08/32/52/871083252.db2.gz PUYTVUXDRQYKOA-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO CCOC(=O)c1cnc2n1CC[N@H+](Cc1ccccc1C#N)CC2 ZINC001204373243 871083267 /nfs/dbraw/zinc/08/32/67/871083267.db2.gz PUYTVUXDRQYKOA-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO C[C@H]([NH2+]CCN(C)C(=O)CSCC#N)c1nc(C2CC2)no1 ZINC001317570657 871105872 /nfs/dbraw/zinc/10/58/72/871105872.db2.gz QPYGKRTZQFDVLB-JTQLQIEISA-N 1 2 323.422 1.313 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)Cc2ccccc2C)CC1 ZINC001317824874 871549804 /nfs/dbraw/zinc/54/98/04/871549804.db2.gz ZJBOHNFQQICBDK-UHFFFAOYSA-N 1 2 313.445 1.247 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3nccs3)n2CC)CC1 ZINC001341284042 871593177 /nfs/dbraw/zinc/59/31/77/871593177.db2.gz LFIYCLDOGAKHHP-UHFFFAOYSA-N 1 2 316.434 1.101 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2nc(C)oc2C)C1 ZINC001317988407 871674571 /nfs/dbraw/zinc/67/45/71/871674571.db2.gz XEVHQSYMTLOUDP-AWEZNQCLSA-N 1 2 305.378 1.135 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2nc(C)oc2C)C1 ZINC001317988407 871674579 /nfs/dbraw/zinc/67/45/79/871674579.db2.gz XEVHQSYMTLOUDP-AWEZNQCLSA-N 1 2 305.378 1.135 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC1C[NH+](Cc2cc(C)no2)C1 ZINC001318138587 871773759 /nfs/dbraw/zinc/77/37/59/871773759.db2.gz KQWBXLGWVXOZPG-QGZVFWFLSA-N 1 2 321.421 1.760 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N(C[C@@H](C)O)C1CC1 ZINC001341903184 871887944 /nfs/dbraw/zinc/88/79/44/871887944.db2.gz LVPPAEBECIKZGW-HIFRSBDPSA-N 1 2 319.453 1.971 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N(C[C@@H](C)O)C1CC1 ZINC001341903184 871887966 /nfs/dbraw/zinc/88/79/66/871887966.db2.gz LVPPAEBECIKZGW-HIFRSBDPSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccc(C)cc2F)C1 ZINC001318282054 871903260 /nfs/dbraw/zinc/90/32/60/871903260.db2.gz PXMWLBNOUIMBJA-ZDUSSCGKSA-N 1 2 319.380 1.240 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C)cc2F)C1 ZINC001318282054 871903276 /nfs/dbraw/zinc/90/32/76/871903276.db2.gz PXMWLBNOUIMBJA-ZDUSSCGKSA-N 1 2 319.380 1.240 20 30 DDEDLO CS[C@@H](C)C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001318321422 871927799 /nfs/dbraw/zinc/92/77/99/871927799.db2.gz GTRGBQHNUGSKFM-GOEBONIOSA-N 1 2 302.443 1.980 20 30 DDEDLO CS[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001318321422 871927808 /nfs/dbraw/zinc/92/78/08/871927808.db2.gz GTRGBQHNUGSKFM-GOEBONIOSA-N 1 2 302.443 1.980 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[N@H+](C)Cc1nc(C(C)(C)C)no1 ZINC001316815207 871931285 /nfs/dbraw/zinc/93/12/85/871931285.db2.gz IIJPIUXTOURIMI-NSHDSACASA-N 1 2 307.398 1.465 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[N@@H+](C)Cc1nc(C(C)(C)C)no1 ZINC001316815207 871931293 /nfs/dbraw/zinc/93/12/93/871931293.db2.gz IIJPIUXTOURIMI-NSHDSACASA-N 1 2 307.398 1.465 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC001342228179 872087732 /nfs/dbraw/zinc/08/77/32/872087732.db2.gz IDNMGLHWKRDTPN-HNNXBMFYSA-N 1 2 320.414 1.609 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC001342228179 872087748 /nfs/dbraw/zinc/08/77/48/872087748.db2.gz IDNMGLHWKRDTPN-HNNXBMFYSA-N 1 2 320.414 1.609 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)NCC[N@H+]2CCOCC2(C)C)C1 ZINC001342229015 872088595 /nfs/dbraw/zinc/08/85/95/872088595.db2.gz QXMLNNHOAYLUJK-AWEZNQCLSA-N 1 2 311.426 1.084 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)NCC[N@@H+]2CCOCC2(C)C)C1 ZINC001342229015 872088620 /nfs/dbraw/zinc/08/86/20/872088620.db2.gz QXMLNNHOAYLUJK-AWEZNQCLSA-N 1 2 311.426 1.084 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)Cc2cccc(F)c2F)C1 ZINC001319321515 872571388 /nfs/dbraw/zinc/57/13/88/872571388.db2.gz DGLILYRDQKPGDJ-CYBMUJFWSA-N 1 2 310.344 1.510 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)Cc2cccc(F)c2F)C1 ZINC001319321515 872571391 /nfs/dbraw/zinc/57/13/91/872571391.db2.gz DGLILYRDQKPGDJ-CYBMUJFWSA-N 1 2 310.344 1.510 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC001319553820 872691433 /nfs/dbraw/zinc/69/14/33/872691433.db2.gz WVKJKKNLZNSQFO-UHFFFAOYSA-N 1 2 321.333 1.124 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC001319553820 872691439 /nfs/dbraw/zinc/69/14/39/872691439.db2.gz WVKJKKNLZNSQFO-UHFFFAOYSA-N 1 2 321.333 1.124 20 30 DDEDLO CCn1nnc2c1[C@@H](COC)C[N@H+](Cc1cc(C#N)n(C)c1)C2 ZINC001207104372 873019225 /nfs/dbraw/zinc/01/92/25/873019225.db2.gz RDSMPMYRWBUPOX-CYBMUJFWSA-N 1 2 314.393 1.254 20 30 DDEDLO CCn1nnc2c1[C@@H](COC)C[N@@H+](Cc1cc(C#N)n(C)c1)C2 ZINC001207104372 873019242 /nfs/dbraw/zinc/01/92/42/873019242.db2.gz RDSMPMYRWBUPOX-CYBMUJFWSA-N 1 2 314.393 1.254 20 30 DDEDLO CC(=O)N1CCC[C@@]2(C1)C[N@H+](Cc1cc(C#N)n(C)c1)CCO2 ZINC001207106630 873019281 /nfs/dbraw/zinc/01/92/81/873019281.db2.gz RASQHAMRLCNMCF-KRWDZBQOSA-N 1 2 316.405 1.110 20 30 DDEDLO CC(=O)N1CCC[C@@]2(C1)C[N@@H+](Cc1cc(C#N)n(C)c1)CCO2 ZINC001207106630 873019297 /nfs/dbraw/zinc/01/92/97/873019297.db2.gz RASQHAMRLCNMCF-KRWDZBQOSA-N 1 2 316.405 1.110 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1C[N@H+](Cc2ccon2)C[C@H]1C)OCC ZINC001207254799 873151461 /nfs/dbraw/zinc/15/14/61/873151461.db2.gz AFFGFSFCELPLDM-BMFZPTHFSA-N 1 2 321.421 1.982 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1C[N@@H+](Cc2ccon2)C[C@H]1C)OCC ZINC001207254799 873151466 /nfs/dbraw/zinc/15/14/66/873151466.db2.gz AFFGFSFCELPLDM-BMFZPTHFSA-N 1 2 321.421 1.982 20 30 DDEDLO CCC(CC)[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001207766558 873546363 /nfs/dbraw/zinc/54/63/63/873546363.db2.gz CEADNLIATUWNGH-UMVBOHGHSA-N 1 2 322.453 1.135 20 30 DDEDLO CCC(CC)[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001207766558 873546371 /nfs/dbraw/zinc/54/63/71/873546371.db2.gz CEADNLIATUWNGH-UMVBOHGHSA-N 1 2 322.453 1.135 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001207945346 873679577 /nfs/dbraw/zinc/67/95/77/873679577.db2.gz ZZAHQSAALGNWTP-ZDUSSCGKSA-N 1 2 319.409 1.020 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001207996079 873726867 /nfs/dbraw/zinc/72/68/67/873726867.db2.gz YPHIAZSEGNVBSB-CQSZACIVSA-N 1 2 316.405 1.687 20 30 DDEDLO C=CCNC(=O)N1CCCC2(C[NH+](Cc3cc(C)nn3C)C2)C1 ZINC001277172391 882765316 /nfs/dbraw/zinc/76/53/16/882765316.db2.gz JSKVHXLSMHWOOW-UHFFFAOYSA-N 1 2 317.437 1.522 20 30 DDEDLO CCC(CC)(CC)C(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001209768636 875112629 /nfs/dbraw/zinc/11/26/29/875112629.db2.gz BAYALHSPXFARSU-ZIAGYGMSSA-N 1 2 322.453 1.279 20 30 DDEDLO CCC(CC)(CC)C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001209768636 875112638 /nfs/dbraw/zinc/11/26/38/875112638.db2.gz BAYALHSPXFARSU-ZIAGYGMSSA-N 1 2 322.453 1.279 20 30 DDEDLO CC[C@H](CC(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C)C(C)C ZINC001210416346 875373783 /nfs/dbraw/zinc/37/37/83/875373783.db2.gz MCLIIFBXYAHYHR-RBSFLKMASA-N 1 2 322.453 1.135 20 30 DDEDLO CC[C@H](CC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C)C(C)C ZINC001210416346 875373797 /nfs/dbraw/zinc/37/37/97/875373797.db2.gz MCLIIFBXYAHYHR-RBSFLKMASA-N 1 2 322.453 1.135 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC001227307500 882961870 /nfs/dbraw/zinc/96/18/70/882961870.db2.gz CGLGYUSVUAQQGN-LBPRGKRZSA-N 1 2 307.419 1.262 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@H+](CC#CCOC)C[C@H]2OC)CCC1 ZINC001213309106 875891143 /nfs/dbraw/zinc/89/11/43/875891143.db2.gz MCNAKTPFGJOWJQ-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@@H+](CC#CCOC)C[C@H]2OC)CCC1 ZINC001213309106 875891153 /nfs/dbraw/zinc/89/11/53/875891153.db2.gz MCNAKTPFGJOWJQ-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO CC(C)C#CC(=O)N1CC[NH+](CCCNC(=O)C2(C)CC2)CC1 ZINC001352666614 876860456 /nfs/dbraw/zinc/86/04/56/876860456.db2.gz YUGQBTUYQGPXPB-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)CCN[C@H](C[NH+](C)C)c1ccc(OC)cc1 ZINC001353327213 877257729 /nfs/dbraw/zinc/25/77/29/877257729.db2.gz HLRADOMGDLNQNU-MRXNPFEDSA-N 1 2 303.406 1.027 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)OCCCCCC)[C@H]2C1 ZINC001217894805 877350710 /nfs/dbraw/zinc/35/07/10/877350710.db2.gz IMEAGZWYKFTMIC-YESZJQIVSA-N 1 2 322.449 1.517 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)OCCCCCC)[C@H]2C1 ZINC001217894805 877350722 /nfs/dbraw/zinc/35/07/22/877350722.db2.gz IMEAGZWYKFTMIC-YESZJQIVSA-N 1 2 322.449 1.517 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3conc3C)C[C@@H]21 ZINC001218566158 877574143 /nfs/dbraw/zinc/57/41/43/877574143.db2.gz JYDPAPXZEANTBD-JKSUJKDBSA-N 1 2 319.405 1.751 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3conc3C)C[C@@H]21 ZINC001218566158 877574164 /nfs/dbraw/zinc/57/41/64/877574164.db2.gz JYDPAPXZEANTBD-JKSUJKDBSA-N 1 2 319.405 1.751 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)CN(C)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001287616091 912352467 /nfs/dbraw/zinc/35/24/67/912352467.db2.gz DFHOWUSTQNUCOH-HUUCEWRRSA-N 1 2 318.421 1.601 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CN(C)C(=O)Cc1c[nH+]cn1C ZINC001287667615 912380106 /nfs/dbraw/zinc/38/01/06/912380106.db2.gz MNTPOMGMJNGQJY-GFCCVEGCSA-N 1 2 306.410 1.138 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C2CC2)C1 ZINC001355919000 878778021 /nfs/dbraw/zinc/77/80/21/878778021.db2.gz GJEHLYHQADPYLK-KFWWJZLASA-N 1 2 317.437 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C2CC2)C1 ZINC001355919000 878778035 /nfs/dbraw/zinc/77/80/35/878778035.db2.gz GJEHLYHQADPYLK-KFWWJZLASA-N 1 2 317.437 1.438 20 30 DDEDLO C#CCCCC(=O)N(C)CC[NH+]1CCN(C(=O)CCC)CC1 ZINC001356699318 879201741 /nfs/dbraw/zinc/20/17/41/879201741.db2.gz BHEMOEUDZJTNKQ-UHFFFAOYSA-N 1 2 307.438 1.193 20 30 DDEDLO CCC[C@@H](CC(C)C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001221126271 879517241 /nfs/dbraw/zinc/51/72/41/879517241.db2.gz FBVJFAPQVIMAIN-BBWFWOEESA-N 1 2 324.465 1.260 20 30 DDEDLO CCC[C@@H](CC(C)C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001221126271 879517254 /nfs/dbraw/zinc/51/72/54/879517254.db2.gz FBVJFAPQVIMAIN-BBWFWOEESA-N 1 2 324.465 1.260 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC3CC3)C[C@H]21 ZINC001221424568 879779497 /nfs/dbraw/zinc/77/94/97/879779497.db2.gz IGHYEVYZURGKKM-HUUCEWRRSA-N 1 2 305.422 1.012 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC3CC3)C[C@H]21 ZINC001221424568 879779509 /nfs/dbraw/zinc/77/95/09/879779509.db2.gz IGHYEVYZURGKKM-HUUCEWRRSA-N 1 2 305.422 1.012 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C(C)C ZINC001357094012 879781954 /nfs/dbraw/zinc/78/19/54/879781954.db2.gz ZATKYFVITPFATL-CYBMUJFWSA-N 1 2 304.394 1.013 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnn(CC)n3)[C@H]2C1 ZINC001222406719 880443522 /nfs/dbraw/zinc/44/35/22/880443522.db2.gz CHKRGQKUOYLXSR-ZBFHGGJFSA-N 1 2 317.437 1.687 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@H+](Cc3cnn(CC)n3)[C@H]2C1 ZINC001222406719 880443533 /nfs/dbraw/zinc/44/35/33/880443533.db2.gz CHKRGQKUOYLXSR-ZBFHGGJFSA-N 1 2 317.437 1.687 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)[nH]1 ZINC001222657923 880624121 /nfs/dbraw/zinc/62/41/21/880624121.db2.gz HLEHTDJXZSTJEV-MNOVXSKESA-N 1 2 304.398 1.162 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)CN1C(=O)C#CC(C)(C)C ZINC001287933159 912604815 /nfs/dbraw/zinc/60/48/15/912604815.db2.gz WJEMGVOTDAMKOX-OCCSQVGLSA-N 1 2 316.405 1.107 20 30 DDEDLO C=C(C)CCC(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001223352381 880925968 /nfs/dbraw/zinc/92/59/68/880925968.db2.gz BMKJGVYNJMGRRG-UHFFFAOYSA-N 1 2 305.426 1.500 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1 ZINC001230651378 884699443 /nfs/dbraw/zinc/69/94/43/884699443.db2.gz NJTQRDUEFOHVKV-ZBFHGGJFSA-N 1 2 315.417 1.524 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1 ZINC001230651378 884699446 /nfs/dbraw/zinc/69/94/46/884699446.db2.gz NJTQRDUEFOHVKV-ZBFHGGJFSA-N 1 2 315.417 1.524 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCCCC ZINC001230781669 884869081 /nfs/dbraw/zinc/86/90/81/884869081.db2.gz MNZYBCUFCNJKQF-CABCVRRESA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCCCC ZINC001230781669 884869096 /nfs/dbraw/zinc/86/90/96/884869096.db2.gz MNZYBCUFCNJKQF-CABCVRRESA-N 1 2 307.438 1.237 20 30 DDEDLO CC[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CCC#N ZINC001230785478 884875463 /nfs/dbraw/zinc/87/54/63/884875463.db2.gz MMYSTHQOMQCVIX-LSDHHAIUSA-N 1 2 322.453 1.327 20 30 DDEDLO CC[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CCC#N ZINC001230785478 884875471 /nfs/dbraw/zinc/87/54/71/884875471.db2.gz MMYSTHQOMQCVIX-LSDHHAIUSA-N 1 2 322.453 1.327 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1CCn1cc(Cl)cn1 ZINC001231293876 885477847 /nfs/dbraw/zinc/47/78/47/885477847.db2.gz SIZQIDIHLOHBHK-WCQYABFASA-N 1 2 309.801 1.229 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1CCn1cc(Cl)cn1 ZINC001231293876 885477849 /nfs/dbraw/zinc/47/78/49/885477849.db2.gz SIZQIDIHLOHBHK-WCQYABFASA-N 1 2 309.801 1.229 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cscc1C ZINC001231408244 885630301 /nfs/dbraw/zinc/63/03/01/885630301.db2.gz YTVPTBLDJFZIJG-AWEZNQCLSA-N 1 2 306.431 1.853 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cscc1C ZINC001231408244 885630305 /nfs/dbraw/zinc/63/03/05/885630305.db2.gz YTVPTBLDJFZIJG-AWEZNQCLSA-N 1 2 306.431 1.853 20 30 DDEDLO C#Cc1cccc(C[N@@H+]2CCCn3nnc(CNC(C)=O)c3C2)c1 ZINC001231657994 885787494 /nfs/dbraw/zinc/78/74/94/885787494.db2.gz WTYHNHJHRGUHEP-UHFFFAOYSA-N 1 2 323.400 1.301 20 30 DDEDLO C#Cc1cccc(C[N@H+]2CCCn3nnc(CNC(C)=O)c3C2)c1 ZINC001231657994 885787506 /nfs/dbraw/zinc/78/75/06/885787506.db2.gz WTYHNHJHRGUHEP-UHFFFAOYSA-N 1 2 323.400 1.301 20 30 DDEDLO CC(=O)[C@H]1C[N@H+](Cc2ccc(N(C)CCC#N)cc2)CCO1 ZINC001231821655 885892479 /nfs/dbraw/zinc/89/24/79/885892479.db2.gz HVCGVTAJTBZQNE-QGZVFWFLSA-N 1 2 301.390 1.826 20 30 DDEDLO CC(=O)[C@H]1C[N@@H+](Cc2ccc(N(C)CCC#N)cc2)CCO1 ZINC001231821655 885892483 /nfs/dbraw/zinc/89/24/83/885892483.db2.gz HVCGVTAJTBZQNE-QGZVFWFLSA-N 1 2 301.390 1.826 20 30 DDEDLO N#Cc1c(N)sc2c1CC[N@@H+](C[C@@H]1CCCS1(=O)=O)C2 ZINC001363338307 886063622 /nfs/dbraw/zinc/06/36/22/886063622.db2.gz YAWLKAGLFPFKDY-VIFPVBQESA-N 1 2 311.432 1.137 20 30 DDEDLO N#Cc1c(N)sc2c1CC[N@H+](C[C@@H]1CCCS1(=O)=O)C2 ZINC001363338307 886063639 /nfs/dbraw/zinc/06/36/39/886063639.db2.gz YAWLKAGLFPFKDY-VIFPVBQESA-N 1 2 311.432 1.137 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CC[C@H]2CCCCO2)C1 ZINC001277666901 886393322 /nfs/dbraw/zinc/39/33/22/886393322.db2.gz YKKONLNJMJFKPH-MRXNPFEDSA-N 1 2 310.438 1.681 20 30 DDEDLO Cc1[nH]c(CN2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)c[nH+]1 ZINC001232463663 886406592 /nfs/dbraw/zinc/40/65/92/886406592.db2.gz KTUOTNOIXBNRAW-CYBMUJFWSA-N 1 2 305.382 1.663 20 30 DDEDLO Cc1[nH]cc(CN2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)[nH+]1 ZINC001232463663 886406603 /nfs/dbraw/zinc/40/66/03/886406603.db2.gz KTUOTNOIXBNRAW-CYBMUJFWSA-N 1 2 305.382 1.663 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C[C@@H]3CCCO3)CC2)c(O)c1 ZINC001233385493 886968127 /nfs/dbraw/zinc/96/81/27/886968127.db2.gz JWUSIMJNRJGWML-INIZCTEOSA-N 1 2 301.390 1.560 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C\C ZINC001233549432 887101537 /nfs/dbraw/zinc/10/15/37/887101537.db2.gz MGMHCZDCZUTVIF-UJPCXNRSSA-N 1 2 321.465 1.910 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C\C ZINC001233549432 887101549 /nfs/dbraw/zinc/10/15/49/887101549.db2.gz MGMHCZDCZUTVIF-UJPCXNRSSA-N 1 2 321.465 1.910 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ocnc1C ZINC001233978775 887520852 /nfs/dbraw/zinc/52/08/52/887520852.db2.gz WRDCYJMZNVNDHX-CZUORRHYSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ocnc1C ZINC001233978775 887520862 /nfs/dbraw/zinc/52/08/62/887520862.db2.gz WRDCYJMZNVNDHX-CZUORRHYSA-N 1 2 307.394 1.343 20 30 DDEDLO CC(C)N(C)C(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196315 887737730 /nfs/dbraw/zinc/73/77/30/887737730.db2.gz RGFVNZALFBDQDL-GDBMZVCRSA-N 1 2 319.449 1.188 20 30 DDEDLO CC(C)N(C)C(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196315 887737745 /nfs/dbraw/zinc/73/77/45/887737745.db2.gz RGFVNZALFBDQDL-GDBMZVCRSA-N 1 2 319.449 1.188 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cncs1 ZINC001234245815 887786858 /nfs/dbraw/zinc/78/68/58/887786858.db2.gz FQKJSHVUCJSOEE-ZDUSSCGKSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cncs1 ZINC001234245815 887786874 /nfs/dbraw/zinc/78/68/74/887786874.db2.gz FQKJSHVUCJSOEE-ZDUSSCGKSA-N 1 2 307.419 1.216 20 30 DDEDLO CCc1nc(C#N)cc(NC2CC[NH+]([C@@H]3CCOC3=O)CC2)n1 ZINC001364178735 888087426 /nfs/dbraw/zinc/08/74/26/888087426.db2.gz WWMHNAKNRZZPOH-CYBMUJFWSA-N 1 2 315.377 1.102 20 30 DDEDLO N#Cc1cncc(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)c1 ZINC001364360107 888454388 /nfs/dbraw/zinc/45/43/88/888454388.db2.gz XLCNNECBTGZSQD-LBPRGKRZSA-N 1 2 303.284 1.061 20 30 DDEDLO N#Cc1cncc(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)c1 ZINC001364360107 888454401 /nfs/dbraw/zinc/45/44/01/888454401.db2.gz XLCNNECBTGZSQD-LBPRGKRZSA-N 1 2 303.284 1.061 20 30 DDEDLO CC(C)OC[C@H]1C[N@H+](C[C@@H](O)c2cccc(C#N)c2)CCO1 ZINC001364382492 888504606 /nfs/dbraw/zinc/50/46/06/888504606.db2.gz VHGWJUDCHPDFON-IAGOWNOFSA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@H]1C[N@@H+](C[C@@H](O)c2cccc(C#N)c2)CCO1 ZINC001364382492 888504617 /nfs/dbraw/zinc/50/46/17/888504617.db2.gz VHGWJUDCHPDFON-IAGOWNOFSA-N 1 2 304.390 1.717 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1ncc(C(C)C)o1 ZINC001235684344 888881462 /nfs/dbraw/zinc/88/14/62/888881462.db2.gz XKFMOVHYWNDLTN-UHFFFAOYSA-N 1 2 309.410 1.939 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1ncc(C(C)C)o1 ZINC001235684344 888881476 /nfs/dbraw/zinc/88/14/76/888881476.db2.gz XKFMOVHYWNDLTN-UHFFFAOYSA-N 1 2 309.410 1.939 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nc(C)sc1C ZINC001235722578 888914658 /nfs/dbraw/zinc/91/46/58/888914658.db2.gz MHBQKTBPJGSXBU-CQSZACIVSA-N 1 2 321.446 1.556 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nc(C)sc1C ZINC001235722578 888914667 /nfs/dbraw/zinc/91/46/67/888914667.db2.gz MHBQKTBPJGSXBU-CQSZACIVSA-N 1 2 321.446 1.556 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1Cc1cccc(N)c1 ZINC001237217915 889551171 /nfs/dbraw/zinc/55/11/71/889551171.db2.gz DXBDFMYYBXTMCU-INIZCTEOSA-N 1 2 313.405 1.699 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1Cc1cccc(N)c1 ZINC001237217915 889551186 /nfs/dbraw/zinc/55/11/86/889551186.db2.gz DXBDFMYYBXTMCU-INIZCTEOSA-N 1 2 313.405 1.699 20 30 DDEDLO CC(C)NC(=O)N[C@H]1CCC[N@H+](Cc2ccnc(C#N)c2)C1 ZINC001237462131 889646207 /nfs/dbraw/zinc/64/62/07/889646207.db2.gz GQFIUGQFPRGPAU-AWEZNQCLSA-N 1 2 301.394 1.625 20 30 DDEDLO CC(C)NC(=O)N[C@H]1CCC[N@@H+](Cc2ccnc(C#N)c2)C1 ZINC001237462131 889646216 /nfs/dbraw/zinc/64/62/16/889646216.db2.gz GQFIUGQFPRGPAU-AWEZNQCLSA-N 1 2 301.394 1.625 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H]3COC[C@H](C2)C3=O)c2cc(C#N)ccc21 ZINC001237471576 889653773 /nfs/dbraw/zinc/65/37/73/889653773.db2.gz HPLLRMINKITSMJ-GASCZTMLSA-N 1 2 309.369 1.697 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H]3COC[C@H](C2)C3=O)c2cc(C#N)ccc21 ZINC001237471576 889653775 /nfs/dbraw/zinc/65/37/75/889653775.db2.gz HPLLRMINKITSMJ-GASCZTMLSA-N 1 2 309.369 1.697 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2ccn3nccc3c2)CC1 ZINC001237542131 889688214 /nfs/dbraw/zinc/68/82/14/889688214.db2.gz JTWKKNQRMGCKOK-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CCC[C@]4(CNC(=O)O4)C3)cn2c1 ZINC001237606288 889747436 /nfs/dbraw/zinc/74/74/36/889747436.db2.gz JODXLUHVOJVKKU-INIZCTEOSA-N 1 2 311.345 1.280 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CCC[C@]4(CNC(=O)O4)C3)cn2c1 ZINC001237606288 889747449 /nfs/dbraw/zinc/74/74/49/889747449.db2.gz JODXLUHVOJVKKU-INIZCTEOSA-N 1 2 311.345 1.280 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCc2c(C#N)c(N)sc2C1 ZINC001365120724 890141471 /nfs/dbraw/zinc/14/14/71/890141471.db2.gz NSHTXFURSAQKOC-UHFFFAOYSA-N 1 2 301.375 1.063 20 30 DDEDLO N#CCc1nccnc1-c1ccc(OCC[NH+]2CCOCC2)cc1 ZINC001240447807 890932055 /nfs/dbraw/zinc/93/20/55/890932055.db2.gz UVXIEQALKVMCQJ-UHFFFAOYSA-N 1 2 324.384 1.921 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]([NH2+]Cc2nc(COC)no2)C[C@H]1C ZINC001278380151 891830265 /nfs/dbraw/zinc/83/02/65/891830265.db2.gz XFIDDJQFMXCEQZ-CHWSQXEVSA-N 1 2 322.409 1.651 20 30 DDEDLO CCCC(=O)NCC[NH+]1CCN(Cc2ccccc2C#N)CC1 ZINC001365948906 892016155 /nfs/dbraw/zinc/01/61/55/892016155.db2.gz AJVAHEKWUMUYCF-UHFFFAOYSA-N 1 2 314.433 1.592 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH]c[nH+]1)c1nc(-c2cccc(C#N)c2)no1 ZINC001247127942 893112846 /nfs/dbraw/zinc/11/28/46/893112846.db2.gz PBWAUGBSHBKYBX-CQSZACIVSA-N 1 2 322.328 1.751 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH+]c[nH]1)c1nc(-c2cccc(C#N)c2)no1 ZINC001247127942 893112857 /nfs/dbraw/zinc/11/28/57/893112857.db2.gz PBWAUGBSHBKYBX-CQSZACIVSA-N 1 2 322.328 1.751 20 30 DDEDLO C[N@H+](CCNC(=O)c1cccnc1)Cc1cc(C#N)ccc1F ZINC001366511185 893793591 /nfs/dbraw/zinc/79/35/91/893793591.db2.gz VPHWZUUSFSZOBQ-UHFFFAOYSA-N 1 2 312.348 1.954 20 30 DDEDLO C[N@@H+](CCNC(=O)c1cccnc1)Cc1cc(C#N)ccc1F ZINC001366511185 893793603 /nfs/dbraw/zinc/79/36/03/893793603.db2.gz VPHWZUUSFSZOBQ-UHFFFAOYSA-N 1 2 312.348 1.954 20 30 DDEDLO C[N@H+](CCNC(=O)COCC(F)F)Cc1ccccc1C#N ZINC001366545222 893967255 /nfs/dbraw/zinc/96/72/55/893967255.db2.gz KUJBCVNLPWAMQW-UHFFFAOYSA-N 1 2 311.332 1.388 20 30 DDEDLO C[N@@H+](CCNC(=O)COCC(F)F)Cc1ccccc1C#N ZINC001366545222 893967268 /nfs/dbraw/zinc/96/72/68/893967268.db2.gz KUJBCVNLPWAMQW-UHFFFAOYSA-N 1 2 311.332 1.388 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)(C)CC=C)[C@H]1C ZINC001278520370 894051691 /nfs/dbraw/zinc/05/16/91/894051691.db2.gz FPPNWERUJPOWLT-HUUCEWRRSA-N 1 2 319.449 1.307 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)CC=C)[C@H]1C ZINC001278520370 894051709 /nfs/dbraw/zinc/05/17/09/894051709.db2.gz FPPNWERUJPOWLT-HUUCEWRRSA-N 1 2 319.449 1.307 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)COCC ZINC001366644775 894418243 /nfs/dbraw/zinc/41/82/43/894418243.db2.gz JEBSHIOHMBTORD-LLVKDONJSA-N 1 2 305.216 1.512 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)COCC ZINC001366644775 894418253 /nfs/dbraw/zinc/41/82/53/894418253.db2.gz JEBSHIOHMBTORD-LLVKDONJSA-N 1 2 305.216 1.512 20 30 DDEDLO C=CCOC[C@@H]1C[N@@H+](C[C@H](O)CC)Cc2nnn(CC3CC3)c21 ZINC001251769044 894807399 /nfs/dbraw/zinc/80/73/99/894807399.db2.gz MZUAPZUSURKCGF-LSDHHAIUSA-N 1 2 320.437 1.561 20 30 DDEDLO C=CCOC[C@@H]1C[N@H+](C[C@H](O)CC)Cc2nnn(CC3CC3)c21 ZINC001251769044 894807404 /nfs/dbraw/zinc/80/74/04/894807404.db2.gz MZUAPZUSURKCGF-LSDHHAIUSA-N 1 2 320.437 1.561 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1C[C@@H](O)CCCC ZINC001252086702 895005808 /nfs/dbraw/zinc/00/58/08/895005808.db2.gz QMGOARSPDQDARJ-HOCLYGCPSA-N 1 2 322.453 1.951 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1C[C@@H](O)CCCC ZINC001252086702 895005822 /nfs/dbraw/zinc/00/58/22/895005822.db2.gz QMGOARSPDQDARJ-HOCLYGCPSA-N 1 2 322.453 1.951 20 30 DDEDLO C=C[C@@](C)(O)C[NH+]1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC001252548168 895260793 /nfs/dbraw/zinc/26/07/93/895260793.db2.gz AYCJMGVYBBFUFS-MRXNPFEDSA-N 1 2 324.446 1.238 20 30 DDEDLO CCC[C@H](OC)C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001366976419 895473662 /nfs/dbraw/zinc/47/36/62/895473662.db2.gz TUAKHORLVMMUKU-KRWDZBQOSA-N 1 2 315.417 1.921 20 30 DDEDLO Cc1cc(C[N@@H+]2CCO[C@H](CNC(=O)[C@H](C)C#N)C2)cs1 ZINC001367303536 896395769 /nfs/dbraw/zinc/39/57/69/896395769.db2.gz WQNGYQOORMGGHD-BXUZGUMPSA-N 1 2 307.419 1.533 20 30 DDEDLO Cc1cc(C[N@H+]2CCO[C@H](CNC(=O)[C@H](C)C#N)C2)cs1 ZINC001367303536 896395789 /nfs/dbraw/zinc/39/57/89/896395789.db2.gz WQNGYQOORMGGHD-BXUZGUMPSA-N 1 2 307.419 1.533 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@H]2[C@H](C=C(C)C)C2(C)C)C1 ZINC001278887558 897110957 /nfs/dbraw/zinc/11/09/57/897110957.db2.gz GTRICLATNBCQHU-LSDHHAIUSA-N 1 2 306.450 1.964 20 30 DDEDLO COc1ncccc1C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H](C)C#N ZINC001390103579 898688280 /nfs/dbraw/zinc/68/82/80/898688280.db2.gz GKPRBBCYXTUKMB-DZGCQCFKSA-N 1 2 316.405 1.721 20 30 DDEDLO COc1ncccc1C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H](C)C#N ZINC001390103579 898688284 /nfs/dbraw/zinc/68/82/84/898688284.db2.gz GKPRBBCYXTUKMB-DZGCQCFKSA-N 1 2 316.405 1.721 20 30 DDEDLO COc1ccc(C)cc1S(=O)(=O)NC1(C#N)CC[NH+](C)CC1 ZINC001260301795 899118722 /nfs/dbraw/zinc/11/87/22/899118722.db2.gz MRTCDWDWQQTUNW-UHFFFAOYSA-N 1 2 323.418 1.270 20 30 DDEDLO C#CCCN(CCOC)c1nnc([C@@H]2CCCC[N@@H+]2C)n1C ZINC001262904079 900413179 /nfs/dbraw/zinc/41/31/79/900413179.db2.gz GGZURXKVACERRB-AWEZNQCLSA-N 1 2 305.426 1.448 20 30 DDEDLO C#CCCN(CCOC)c1nnc([C@@H]2CCCC[N@H+]2C)n1C ZINC001262904079 900413190 /nfs/dbraw/zinc/41/31/90/900413190.db2.gz GGZURXKVACERRB-AWEZNQCLSA-N 1 2 305.426 1.448 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@H]2C[C@@H](O)C[N@H+]2C)n1CC=C ZINC001262947097 900431764 /nfs/dbraw/zinc/43/17/64/900431764.db2.gz FAYANNZAERFHEL-ZIAGYGMSSA-N 1 2 303.410 1.380 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@H]2C[C@@H](O)C[N@@H+]2C)n1CC=C ZINC001262947097 900431772 /nfs/dbraw/zinc/43/17/72/900431772.db2.gz FAYANNZAERFHEL-ZIAGYGMSSA-N 1 2 303.410 1.380 20 30 DDEDLO O=C(OCC#Cc1csc(C[NH+]2CCOCC2)c1)[C@@H]1C[C@@H]1F ZINC001263660889 900664256 /nfs/dbraw/zinc/66/42/56/900664256.db2.gz SJFYNXXKJYLSQA-CABCVRRESA-N 1 2 323.389 1.833 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1cocc1C ZINC001263808144 900722157 /nfs/dbraw/zinc/72/21/57/900722157.db2.gz WYKDWNABOQTICX-KGLIPLIRSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1cocc1C ZINC001263808144 900722141 /nfs/dbraw/zinc/72/21/41/900722141.db2.gz WYKDWNABOQTICX-KGLIPLIRSA-N 1 2 319.405 1.425 20 30 DDEDLO C=C1CCC(C(=O)NCCCNC(=O)Cc2c[nH+]cn2C)CC1 ZINC001293456284 914485149 /nfs/dbraw/zinc/48/51/49/914485149.db2.gz CQZVOZZSQRFUCV-UHFFFAOYSA-N 1 2 318.421 1.332 20 30 DDEDLO C=CCC[N@H+]1CC[C@@H]1CN(C)C(=O)CS(=O)(=O)C(C)(C)C ZINC001264376134 901061383 /nfs/dbraw/zinc/06/13/83/901061383.db2.gz CNBLZCPRDXQOKO-CYBMUJFWSA-N 1 2 316.467 1.309 20 30 DDEDLO C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)CS(=O)(=O)C(C)(C)C ZINC001264376134 901061400 /nfs/dbraw/zinc/06/14/00/901061400.db2.gz CNBLZCPRDXQOKO-CYBMUJFWSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)Cn1cc(C2CC2)nn1)C1CC1 ZINC001391166598 901116109 /nfs/dbraw/zinc/11/61/09/901116109.db2.gz BWEDTQARSDENOC-UHFFFAOYSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)Cn1cc(C2CC2)nn1)C1CC1 ZINC001391166598 901116121 /nfs/dbraw/zinc/11/61/21/901116121.db2.gz BWEDTQARSDENOC-UHFFFAOYSA-N 1 2 323.828 1.489 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H](C)C[C@H]1C[NH2+]Cc1cnsn1 ZINC001264549751 901179362 /nfs/dbraw/zinc/17/93/62/901179362.db2.gz QDAMDTZARSEZMZ-HIFRSBDPSA-N 1 2 320.462 1.914 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCCC[C@H]1C[N@@H+](C)Cc1ccon1 ZINC001264740136 901321606 /nfs/dbraw/zinc/32/16/06/901321606.db2.gz FVXIEVGJBSVQSK-DOTOQJQBSA-N 1 2 321.421 1.815 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCCC[C@H]1C[N@H+](C)Cc1ccon1 ZINC001264740136 901321616 /nfs/dbraw/zinc/32/16/16/901321616.db2.gz FVXIEVGJBSVQSK-DOTOQJQBSA-N 1 2 321.421 1.815 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@H+](C)CCN(C(=O)[C@H](C)C#N)C(C)C ZINC001369515834 901428701 /nfs/dbraw/zinc/42/87/01/901428701.db2.gz NFRSSCPNXVXEFK-ZIAGYGMSSA-N 1 2 310.442 1.230 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@@H+](C)CCN(C(=O)[C@H](C)C#N)C(C)C ZINC001369515834 901428709 /nfs/dbraw/zinc/42/87/09/901428709.db2.gz NFRSSCPNXVXEFK-ZIAGYGMSSA-N 1 2 310.442 1.230 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001265212874 901713626 /nfs/dbraw/zinc/71/36/26/901713626.db2.gz HPZQKNWLIWUBPR-OAHLLOKOSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001265212874 901713637 /nfs/dbraw/zinc/71/36/37/901713637.db2.gz HPZQKNWLIWUBPR-OAHLLOKOSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001265212873 901713836 /nfs/dbraw/zinc/71/38/36/901713836.db2.gz HPZQKNWLIWUBPR-HNNXBMFYSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001265212873 901713843 /nfs/dbraw/zinc/71/38/43/901713843.db2.gz HPZQKNWLIWUBPR-HNNXBMFYSA-N 1 2 307.438 1.143 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCCC2(C)C)C1 ZINC001265222798 901735218 /nfs/dbraw/zinc/73/52/18/901735218.db2.gz LCWOHYOCGUIUBL-LSDHHAIUSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCCC2(C)C)C1 ZINC001265222798 901735232 /nfs/dbraw/zinc/73/52/32/901735232.db2.gz LCWOHYOCGUIUBL-LSDHHAIUSA-N 1 2 321.465 1.696 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CCC[N@@H+]([C@H](C)C(N)=O)C2)CCCCC1 ZINC001265235666 901754778 /nfs/dbraw/zinc/75/47/78/901754778.db2.gz UMKGNVHUUJLXHC-HUUCEWRRSA-N 1 2 319.449 1.415 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CCC[N@H+]([C@H](C)C(N)=O)C2)CCCCC1 ZINC001265235666 901754785 /nfs/dbraw/zinc/75/47/85/901754785.db2.gz UMKGNVHUUJLXHC-HUUCEWRRSA-N 1 2 319.449 1.415 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[NH+]1CCN(Cc2ccon2)CC1 ZINC001265260136 901783501 /nfs/dbraw/zinc/78/35/01/901783501.db2.gz XPIFJJPYWVMSMP-UHFFFAOYSA-N 1 2 320.437 1.511 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001265286594 901830626 /nfs/dbraw/zinc/83/06/26/901830626.db2.gz YBVHELCXEFJXIO-HNNXBMFYSA-N 1 2 307.438 1.592 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001265286594 901830635 /nfs/dbraw/zinc/83/06/35/901830635.db2.gz YBVHELCXEFJXIO-HNNXBMFYSA-N 1 2 307.438 1.592 20 30 DDEDLO N#CCSCC(=O)NC[C@H]1CCC[N@H+](Cc2cscn2)C1 ZINC001265575132 902144606 /nfs/dbraw/zinc/14/46/06/902144606.db2.gz CBSALEJCPSCYKH-GFCCVEGCSA-N 1 2 324.475 1.728 20 30 DDEDLO N#CCSCC(=O)NC[C@H]1CCC[N@@H+](Cc2cscn2)C1 ZINC001265575132 902144613 /nfs/dbraw/zinc/14/46/13/902144613.db2.gz CBSALEJCPSCYKH-GFCCVEGCSA-N 1 2 324.475 1.728 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[N@@H+]([C@@H](C)c2nnnn2C)C1 ZINC001265577576 902149020 /nfs/dbraw/zinc/14/90/20/902149020.db2.gz KEMWJYGMIPKLCG-STQMWFEESA-N 1 2 306.414 1.066 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[N@H+]([C@@H](C)c2nnnn2C)C1 ZINC001265577576 902149025 /nfs/dbraw/zinc/14/90/25/902149025.db2.gz KEMWJYGMIPKLCG-STQMWFEESA-N 1 2 306.414 1.066 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001293699659 914644820 /nfs/dbraw/zinc/64/48/20/914644820.db2.gz ZOAYPKBZCCWXLJ-CXAGYDPISA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CC2CC(C)(C)C2)C1 ZINC001266237594 903172021 /nfs/dbraw/zinc/17/20/21/903172021.db2.gz ZDLVWDVZTGLEGB-OAHLLOKOSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CC2CC(C)(C)C2)C1 ZINC001266237594 903172026 /nfs/dbraw/zinc/17/20/26/903172026.db2.gz ZDLVWDVZTGLEGB-OAHLLOKOSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)Cc2nnc(C)o2)CC1 ZINC001279544475 903315886 /nfs/dbraw/zinc/31/58/86/903315886.db2.gz JMVKTBZYUTZMSW-UHFFFAOYSA-N 1 2 310.785 1.421 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)Cc2nnc(C)o2)CC1 ZINC001279544475 903315900 /nfs/dbraw/zinc/31/59/00/903315900.db2.gz JMVKTBZYUTZMSW-UHFFFAOYSA-N 1 2 310.785 1.421 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@H](CNC(=O)/C(C)=C/CC)O2 ZINC001280917702 904186045 /nfs/dbraw/zinc/18/60/45/904186045.db2.gz CRVSQOFPTGDZJS-KNDGBBJPSA-N 1 2 322.449 1.895 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@H](CNC(=O)/C(C)=C\CC)O2 ZINC001280917703 904187182 /nfs/dbraw/zinc/18/71/82/904187182.db2.gz CRVSQOFPTGDZJS-OBHDYHNASA-N 1 2 322.449 1.895 20 30 DDEDLO C[C@H](CC(=O)N(C)C[C@H](C)NC(=O)C#CC1CC1)n1cc[nH+]c1 ZINC001281005176 904309846 /nfs/dbraw/zinc/30/98/46/904309846.db2.gz UVXAUMDBYURIKW-UONOGXRCSA-N 1 2 316.405 1.211 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)C#CC(C)(C)C)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001281154258 904489206 /nfs/dbraw/zinc/48/92/06/904489206.db2.gz OXRZYOPFPWQIMA-JSGCOSHPSA-N 1 2 316.405 1.107 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001281208579 904564804 /nfs/dbraw/zinc/56/48/04/904564804.db2.gz ULUPOGCZMVLWND-KGLIPLIRSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001281234077 904598828 /nfs/dbraw/zinc/59/88/28/904598828.db2.gz NRMMAOMQDRWEJW-CABCVRRESA-N 1 2 318.421 1.641 20 30 DDEDLO COCC#CC[N@@H+]1Cc2ccc(CNC(=O)[C@@H](C)OC)cc2C1 ZINC001281284437 904653558 /nfs/dbraw/zinc/65/35/58/904653558.db2.gz BOZQHWGZSPYPFV-CQSZACIVSA-N 1 2 316.401 1.303 20 30 DDEDLO COCC#CC[N@H+]1Cc2ccc(CNC(=O)[C@@H](C)OC)cc2C1 ZINC001281284437 904653564 /nfs/dbraw/zinc/65/35/64/904653564.db2.gz BOZQHWGZSPYPFV-CQSZACIVSA-N 1 2 316.401 1.303 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)C[C@H]1CCC(=O)NC1 ZINC001392661315 904790615 /nfs/dbraw/zinc/79/06/15/904790615.db2.gz PPFSIZIPZPUWSO-NWDGAFQWSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)C[C@H]1CCC(=O)NC1 ZINC001392661315 904790631 /nfs/dbraw/zinc/79/06/31/904790631.db2.gz PPFSIZIPZPUWSO-NWDGAFQWSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1ccnc2c1ncn2C ZINC001392690782 904917003 /nfs/dbraw/zinc/91/70/03/904917003.db2.gz NBJOLHKDPLVDFK-NSHDSACASA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1ccnc2c1ncn2C ZINC001392690782 904917012 /nfs/dbraw/zinc/91/70/12/904917012.db2.gz NBJOLHKDPLVDFK-NSHDSACASA-N 1 2 321.812 1.771 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@H+](Cc2cnon2)[C@H](C)C1 ZINC001281682339 905157100 /nfs/dbraw/zinc/15/71/00/905157100.db2.gz IFYKYQPPAHMRCI-CHWSQXEVSA-N 1 2 308.382 1.131 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@@H+](Cc2cnon2)[C@H](C)C1 ZINC001281682339 905157103 /nfs/dbraw/zinc/15/71/03/905157103.db2.gz IFYKYQPPAHMRCI-CHWSQXEVSA-N 1 2 308.382 1.131 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H]1CN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001282050943 905469576 /nfs/dbraw/zinc/46/95/76/905469576.db2.gz BYTVQEIXYFCSRQ-SUMWQHHRSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H](C)[N@@H+](C)Cc1ccn(C(C)C)n1 ZINC001282376506 905723426 /nfs/dbraw/zinc/72/34/26/905723426.db2.gz BDSKFJLHKXPGIL-WMLDXEAASA-N 1 2 322.453 1.728 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H](C)[N@H+](C)Cc1ccn(C(C)C)n1 ZINC001282376506 905723438 /nfs/dbraw/zinc/72/34/38/905723438.db2.gz BDSKFJLHKXPGIL-WMLDXEAASA-N 1 2 322.453 1.728 20 30 DDEDLO C#CCOCCC(=O)NC[C@H](C)[N@H+](C)[C@@H](C)c1ncc(C)o1 ZINC001282391725 905739944 /nfs/dbraw/zinc/73/99/44/905739944.db2.gz RCSLWHATMPZTIK-JSGCOSHPSA-N 1 2 307.394 1.520 20 30 DDEDLO C#CCOCCC(=O)NC[C@H](C)[N@@H+](C)[C@@H](C)c1ncc(C)o1 ZINC001282391725 905739956 /nfs/dbraw/zinc/73/99/56/905739956.db2.gz RCSLWHATMPZTIK-JSGCOSHPSA-N 1 2 307.394 1.520 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)Cc2cccs2)C1 ZINC001282682214 905947966 /nfs/dbraw/zinc/94/79/66/905947966.db2.gz NXXXOHRYFXDGTN-LBPRGKRZSA-N 1 2 321.446 1.029 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001372005150 906218497 /nfs/dbraw/zinc/21/84/97/906218497.db2.gz MFIPKCJKDKFBIE-UHFFFAOYSA-N 1 2 310.785 1.474 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001372005150 906218513 /nfs/dbraw/zinc/21/85/13/906218513.db2.gz MFIPKCJKDKFBIE-UHFFFAOYSA-N 1 2 310.785 1.474 20 30 DDEDLO Cc1ccnc(C[N@H+]2CCC[C@@](C)(CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001393189473 906415919 /nfs/dbraw/zinc/41/59/19/906415919.db2.gz RDZCWOMGIMKHHG-GUYCJALGSA-N 1 2 315.421 1.663 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CCC[C@@](C)(CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001393189473 906415932 /nfs/dbraw/zinc/41/59/32/906415932.db2.gz RDZCWOMGIMKHHG-GUYCJALGSA-N 1 2 315.421 1.663 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)c2cccc3[nH+]ccn32)C1 ZINC001283333331 907152183 /nfs/dbraw/zinc/15/21/83/907152183.db2.gz OFIYADAPVHJQGM-CYBMUJFWSA-N 1 2 312.373 1.631 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)Nc1ccccc1 ZINC001372506749 907474357 /nfs/dbraw/zinc/47/43/57/907474357.db2.gz BVFKORWVEQRTDM-ZFWWWQNUSA-N 1 2 314.389 1.365 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)Nc1ccccc1 ZINC001372506749 907474363 /nfs/dbraw/zinc/47/43/63/907474363.db2.gz BVFKORWVEQRTDM-ZFWWWQNUSA-N 1 2 314.389 1.365 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@H+](Cc2ncnn2C(C)(C)C)C1 ZINC001372597228 907724752 /nfs/dbraw/zinc/72/47/52/907724752.db2.gz RSPAQYYAXPVIJN-CHWSQXEVSA-N 1 2 318.425 1.131 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@@H+](Cc2ncnn2C(C)(C)C)C1 ZINC001372597228 907724767 /nfs/dbraw/zinc/72/47/67/907724767.db2.gz RSPAQYYAXPVIJN-CHWSQXEVSA-N 1 2 318.425 1.131 20 30 DDEDLO CCC[N@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1cc(C)on1 ZINC001372692831 907995480 /nfs/dbraw/zinc/99/54/80/907995480.db2.gz YLGFRBBXIFUQDZ-UHFFFAOYSA-N 1 2 315.377 1.825 20 30 DDEDLO CCC[N@@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1cc(C)on1 ZINC001372692831 907995492 /nfs/dbraw/zinc/99/54/92/907995492.db2.gz YLGFRBBXIFUQDZ-UHFFFAOYSA-N 1 2 315.377 1.825 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]([NH2+]Cc2nnc(CC)o2)C[C@@H]1C ZINC001284003978 908296661 /nfs/dbraw/zinc/29/66/61/908296661.db2.gz NGSIBWVITHLBBM-UONOGXRCSA-N 1 2 318.421 1.905 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cnn2ncccc12)C1CC1 ZINC001372814869 908331096 /nfs/dbraw/zinc/33/10/96/908331096.db2.gz KWIMIPCZIMCAJG-UHFFFAOYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cnn2ncccc12)C1CC1 ZINC001372814869 908331110 /nfs/dbraw/zinc/33/11/10/908331110.db2.gz KWIMIPCZIMCAJG-UHFFFAOYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)[C@H]1C ZINC001284130985 908526492 /nfs/dbraw/zinc/52/64/92/908526492.db2.gz YBPSSZTWSLOKJO-HUUCEWRRSA-N 1 2 319.449 1.307 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)[C@H]1C ZINC001284130985 908526495 /nfs/dbraw/zinc/52/64/95/908526495.db2.gz YBPSSZTWSLOKJO-HUUCEWRRSA-N 1 2 319.449 1.307 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001284251343 908730663 /nfs/dbraw/zinc/73/06/63/908730663.db2.gz QJXKZGRXGDBZEW-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001284289455 908778503 /nfs/dbraw/zinc/77/85/03/908778503.db2.gz RFAZTEFPVGWMIE-CYBMUJFWSA-N 1 2 306.410 1.496 20 30 DDEDLO N#Cc1cc(C(=O)NC2(CCO)C[NH+](Cc3ccccc3)C2)c[nH]1 ZINC001394154442 908978058 /nfs/dbraw/zinc/97/80/58/908978058.db2.gz RUDUHZMJBNBTOH-UHFFFAOYSA-N 1 2 324.384 1.253 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001284547592 909168918 /nfs/dbraw/zinc/16/89/18/909168918.db2.gz JOSUXVAPMZFRLX-MGPQQGTHSA-N 1 2 318.421 1.690 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001284547592 909168924 /nfs/dbraw/zinc/16/89/24/909168924.db2.gz JOSUXVAPMZFRLX-MGPQQGTHSA-N 1 2 318.421 1.690 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)sn1 ZINC001394292336 909281320 /nfs/dbraw/zinc/28/13/20/909281320.db2.gz QXOVOKQJZOUQND-JQWIXIFHSA-N 1 2 322.434 1.638 20 30 DDEDLO COc1cc(C[N@H+]2C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)sn1 ZINC001394292336 909281323 /nfs/dbraw/zinc/28/13/23/909281323.db2.gz QXOVOKQJZOUQND-JQWIXIFHSA-N 1 2 322.434 1.638 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](CCN(C)C(=O)C2CC=CC2)CC1 ZINC001284819527 909526171 /nfs/dbraw/zinc/52/61/71/909526171.db2.gz GIXJGHKOZDCGOT-UHFFFAOYSA-N 1 2 319.449 1.521 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC1(C2CCN(CC#N)CC2)CC1 ZINC001284847272 909564292 /nfs/dbraw/zinc/56/42/92/909564292.db2.gz YVCNVEGFHAAOCZ-UHFFFAOYSA-N 1 2 315.421 1.237 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)CCc2c[nH+]cn2C)C1 ZINC001284856762 909576561 /nfs/dbraw/zinc/57/65/61/909576561.db2.gz LGOJGEDSYVTDSU-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO C#CCCCCCC(=O)NC1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284931831 909756210 /nfs/dbraw/zinc/75/62/10/909756210.db2.gz SMKZKQDPEWIQRW-UHFFFAOYSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCCCCCC(=O)NC1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284931831 909756223 /nfs/dbraw/zinc/75/62/23/909756223.db2.gz SMKZKQDPEWIQRW-UHFFFAOYSA-N 1 2 316.405 1.253 20 30 DDEDLO CC(C)CC(=O)NC[C@@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001394576239 910037511 /nfs/dbraw/zinc/03/75/11/910037511.db2.gz XWXUHWRXUMOIDK-HNNXBMFYSA-N 1 2 307.369 1.310 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC(CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001285240664 910259078 /nfs/dbraw/zinc/25/90/78/910259078.db2.gz GQGUNVDEZBLEPF-UHFFFAOYSA-N 1 2 304.394 1.175 20 30 DDEDLO C=CCCCC(=O)NC1CC(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001285245277 910266192 /nfs/dbraw/zinc/26/61/92/910266192.db2.gz FVYZUWUGUNJLCH-UHFFFAOYSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](NC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001285317783 910348751 /nfs/dbraw/zinc/34/87/51/910348751.db2.gz HBABPLZXCCGVGG-AWEZNQCLSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(C)CCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001285382355 910445384 /nfs/dbraw/zinc/44/53/84/910445384.db2.gz HXMZGAKVNYKFNB-QWHCGFSZSA-N 1 2 320.437 1.636 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)N(C)C(=O)c1cccc2[nH+]ccn21 ZINC001285627501 910912313 /nfs/dbraw/zinc/91/23/13/910912313.db2.gz UNEHANXTBOCKDH-ZDUSSCGKSA-N 1 2 314.389 1.877 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001285735458 911125254 /nfs/dbraw/zinc/12/52/54/911125254.db2.gz YCKXGNJGTKQREJ-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001285735458 911125263 /nfs/dbraw/zinc/12/52/63/911125263.db2.gz YCKXGNJGTKQREJ-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001285871946 911341465 /nfs/dbraw/zinc/34/14/65/911341465.db2.gz OQXIEGQZGPILFL-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001285871946 911341484 /nfs/dbraw/zinc/34/14/84/911341484.db2.gz OQXIEGQZGPILFL-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N(C)CCCNC(=O)c1cccc2[nH+]ccn21 ZINC001294185875 914969209 /nfs/dbraw/zinc/96/92/09/914969209.db2.gz OOSHLSSKLSFPIH-UHFFFAOYSA-N 1 2 314.389 1.879 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001295211929 915654465 /nfs/dbraw/zinc/65/44/65/915654465.db2.gz YJTJCQUKQRQQAR-NZBPQXDJSA-N 1 2 318.421 1.564 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001295211929 915654470 /nfs/dbraw/zinc/65/44/70/915654470.db2.gz YJTJCQUKQRQQAR-NZBPQXDJSA-N 1 2 318.421 1.564 20 30 DDEDLO C=CC(C)(C)CC(=O)N(CC)CCNC(=O)Cc1c[nH+]cn1C ZINC001296344958 916351318 /nfs/dbraw/zinc/35/13/18/916351318.db2.gz LNNSXMZJMSNRKR-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(CC)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001296349826 916353798 /nfs/dbraw/zinc/35/37/98/916353798.db2.gz DAFWMNFBGMTZAF-CHWSQXEVSA-N 1 2 320.437 1.684 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@@H](C)C#N)CC[N@@H+]1Cc1nn(CC)nc1C ZINC001375605073 916448715 /nfs/dbraw/zinc/44/87/15/916448715.db2.gz PHHGREBQEDIVGP-CORIIIEPSA-N 1 2 318.425 1.235 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@@H](C)C#N)CC[N@H+]1Cc1nn(CC)nc1C ZINC001375605073 916448732 /nfs/dbraw/zinc/44/87/32/916448732.db2.gz PHHGREBQEDIVGP-CORIIIEPSA-N 1 2 318.425 1.235 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(CCNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001296619152 916506569 /nfs/dbraw/zinc/50/65/69/916506569.db2.gz UWOUGSSZIWYNGF-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@](C)(CNC(=O)[C@@H](C)C#N)C2)nc1 ZINC001375937686 917309480 /nfs/dbraw/zinc/30/94/80/917309480.db2.gz RPHXXESJPGQCLB-WMLDXEAASA-N 1 2 315.421 1.663 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@](C)(CNC(=O)[C@@H](C)C#N)C2)nc1 ZINC001375937686 917309492 /nfs/dbraw/zinc/30/94/92/917309492.db2.gz RPHXXESJPGQCLB-WMLDXEAASA-N 1 2 315.421 1.663 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](CCNC(=O)C2=NC(=O)N(C)C2)C1 ZINC001377713853 922781706 /nfs/dbraw/zinc/78/17/06/922781706.db2.gz ZBBOYRYYHHKXEE-NSHDSACASA-N 1 2 312.801 1.320 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](CCNC(=O)C2=NC(=O)N(C)C2)C1 ZINC001377713853 922781725 /nfs/dbraw/zinc/78/17/25/922781725.db2.gz ZBBOYRYYHHKXEE-NSHDSACASA-N 1 2 312.801 1.320 20 30 DDEDLO C[C@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C)c1cncc(F)c1 ZINC000329302306 539303070 /nfs/dbraw/zinc/30/30/70/539303070.db2.gz NIQVSKQLFKOSAW-GDLCADMTSA-N 1 2 308.357 1.211 20 30 DDEDLO C[C@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C)c1cncc(F)c1 ZINC000329302306 539303072 /nfs/dbraw/zinc/30/30/72/539303072.db2.gz NIQVSKQLFKOSAW-GDLCADMTSA-N 1 2 308.357 1.211 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N(C)C[C@@H]2CCC[C@H]2O)c[nH+]1 ZINC000330463012 529778894 /nfs/dbraw/zinc/77/88/94/529778894.db2.gz ZFZMRMQFWSCNQI-UONOGXRCSA-N 1 2 306.410 1.654 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)C[C@H](CC#N)c3ccccc3)C[C@@H]21 ZINC000330029783 529785655 /nfs/dbraw/zinc/78/56/55/529785655.db2.gz QOXCMGLPDZSMKM-YESZJQIVSA-N 1 2 313.401 1.615 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)C[C@H](CC#N)c3ccccc3)C[C@@H]21 ZINC000330029783 529785657 /nfs/dbraw/zinc/78/56/57/529785657.db2.gz QOXCMGLPDZSMKM-YESZJQIVSA-N 1 2 313.401 1.615 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)[C@H]1CCCCS1(=O)=O ZINC000329619628 529813892 /nfs/dbraw/zinc/81/38/92/529813892.db2.gz TZYNWXNJWRPCRU-GFCCVEGCSA-N 1 2 311.407 1.571 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cccc(C(=O)NC2CC2)c1 ZINC000414134989 529868346 /nfs/dbraw/zinc/86/83/46/529868346.db2.gz HXBCRZFYVROAJP-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cccc(C(=O)NC2CC2)c1 ZINC000414134989 529868347 /nfs/dbraw/zinc/86/83/47/529868347.db2.gz HXBCRZFYVROAJP-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@H](O)CN3CC[C@@](F)(C#N)C3)c2cc1C ZINC000615637279 362376547 /nfs/dbraw/zinc/37/65/47/362376547.db2.gz HFTXQMAIQYXLGC-RHSMWYFYSA-N 1 2 316.380 1.952 20 30 DDEDLO CN(Cc1[nH+]ccn1C)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000299650901 530027374 /nfs/dbraw/zinc/02/73/74/530027374.db2.gz KYLCRIOPKZIYDD-GFCCVEGCSA-N 1 2 315.402 1.591 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)ncc1C#N ZINC000457657637 232099517 /nfs/dbraw/zinc/09/95/17/232099517.db2.gz CQBMQCWMYLCBDP-CQSZACIVSA-N 1 2 307.357 1.665 20 30 DDEDLO CCOC1CC([N@H+](C)CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000287089978 219306384 /nfs/dbraw/zinc/30/63/84/219306384.db2.gz WZTZRCUWZPNBMX-UHFFFAOYSA-N 1 2 322.430 1.831 20 30 DDEDLO CCOC1CC([N@@H+](C)CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000287089978 219306387 /nfs/dbraw/zinc/30/63/87/219306387.db2.gz WZTZRCUWZPNBMX-UHFFFAOYSA-N 1 2 322.430 1.831 20 30 DDEDLO C[C@H](NC(=O)Nc1ccc(F)cc1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000177896770 186200838 /nfs/dbraw/zinc/20/08/38/186200838.db2.gz UTNZAGCDDBHXGK-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N[C@H]1CCO[C@H](c2c[nH+]cn2C)C1 ZINC000331756075 234085148 /nfs/dbraw/zinc/08/51/48/234085148.db2.gz CEBFLZJEXYUGLE-NHYWBVRUSA-N 1 2 314.345 1.843 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)[C@H](C)Oc1ccc(C#N)cc1 ZINC000046886513 352468757 /nfs/dbraw/zinc/46/87/57/352468757.db2.gz UGHIOUBRPIJDKW-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)[C@H](C)Oc1ccccc1C#N ZINC000047151638 352484042 /nfs/dbraw/zinc/48/40/42/352484042.db2.gz FGPWMIJPKCDZBM-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@@H](O)COc2ccc(CC#N)cc2)no1 ZINC000051739298 352592809 /nfs/dbraw/zinc/59/28/09/352592809.db2.gz UTNAIMLOVIFCQW-MRXNPFEDSA-N 1 2 315.373 1.921 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@@H](O)COc2ccc(CC#N)cc2)no1 ZINC000051739298 352592812 /nfs/dbraw/zinc/59/28/12/352592812.db2.gz UTNAIMLOVIFCQW-MRXNPFEDSA-N 1 2 315.373 1.921 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH+]1CCN(c2nccs2)CC1 ZINC000052358921 352609261 /nfs/dbraw/zinc/60/92/61/352609261.db2.gz USBUQYXLEMHUNO-ZDUSSCGKSA-N 1 2 321.450 1.273 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2nccs2)CC1 ZINC000054620423 352698911 /nfs/dbraw/zinc/69/89/11/352698911.db2.gz HQVGQWNLUPXIEL-UHFFFAOYSA-N 1 2 306.435 1.466 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2nccs2)CC1 ZINC000054635206 352699652 /nfs/dbraw/zinc/69/96/52/352699652.db2.gz HMXVPQIASRFYNV-UHFFFAOYSA-N 1 2 308.451 1.690 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H]2CC[N@@H+](C3CC3)C2)cc1C#N ZINC000057553003 352820197 /nfs/dbraw/zinc/82/01/97/352820197.db2.gz GMLUNNJQINZBPO-SNVBAGLBSA-N 1 2 318.402 1.347 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H]2CC[N@H+](C3CC3)C2)cc1C#N ZINC000057553003 352820201 /nfs/dbraw/zinc/82/02/01/352820201.db2.gz GMLUNNJQINZBPO-SNVBAGLBSA-N 1 2 318.402 1.347 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@H](C)c2ccc(F)c(F)c2)CC1 ZINC000060466402 352873384 /nfs/dbraw/zinc/87/33/84/352873384.db2.gz DFSREWJNJUTPMJ-CYBMUJFWSA-N 1 2 323.387 1.946 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnc2n1CCCC2)C(=O)Nc1ccccc1C#N ZINC000173636204 198234849 /nfs/dbraw/zinc/23/48/49/198234849.db2.gz ZYYYKXZVCPYEOS-GFCCVEGCSA-N 1 2 324.388 1.603 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccccc2OCC)CC1 ZINC000072862131 353222094 /nfs/dbraw/zinc/22/20/94/353222094.db2.gz QHHRKXOGWTVFPR-OAHLLOKOSA-N 1 2 317.433 1.898 20 30 DDEDLO CC(C)N1C(=O)C[N@@H+]([C@H](C)C(=O)N(C)CCC#N)CC1(C)C ZINC000075924761 353386309 /nfs/dbraw/zinc/38/63/09/353386309.db2.gz KCJAVMUAHUPTLQ-CYBMUJFWSA-N 1 2 308.426 1.078 20 30 DDEDLO CC(C)N1C(=O)C[N@H+]([C@H](C)C(=O)N(C)CCC#N)CC1(C)C ZINC000075924761 353386311 /nfs/dbraw/zinc/38/63/11/353386311.db2.gz KCJAVMUAHUPTLQ-CYBMUJFWSA-N 1 2 308.426 1.078 20 30 DDEDLO C[C@@H]1NC(=O)CC[C@@H]1NC(=O)NC[C@@H]1CCCn2cc[nH+]c21 ZINC000328599553 222875099 /nfs/dbraw/zinc/87/50/99/222875099.db2.gz CDRWCSLLNYNYIN-SRVKXCTJSA-N 1 2 305.382 1.772 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CCN(CC[NH+]2CCOCC2)CC1 ZINC000080905331 353635989 /nfs/dbraw/zinc/63/59/89/353635989.db2.gz IBIGBPPVQSVNRE-SFHVURJKSA-N 1 2 314.433 1.201 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCC(O)(C(F)(F)F)CC1 ZINC000089969895 353786265 /nfs/dbraw/zinc/78/62/65/353786265.db2.gz KJTVTEFCZYNVRF-SNVBAGLBSA-N 1 2 307.316 1.136 20 30 DDEDLO N#Cc1cccnc1N1CCN(C(=O)C=Cc2c[nH]c[nH+]2)CC1 ZINC000492761520 234583799 /nfs/dbraw/zinc/58/37/99/234583799.db2.gz GLZWTFCWXPEWED-ARJAWSKDSA-N 1 2 308.345 1.038 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](CCOc2ccccc2C#N)C[C@@H](C)O1 ZINC000123897973 354035566 /nfs/dbraw/zinc/03/55/66/354035566.db2.gz BYLZGYGTUWXIJX-DOMZBBRYSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](CCOc2ccccc2C#N)C[C@@H](C)O1 ZINC000123897973 354035568 /nfs/dbraw/zinc/03/55/68/354035568.db2.gz BYLZGYGTUWXIJX-DOMZBBRYSA-N 1 2 304.346 1.199 20 30 DDEDLO C[C@@H](NC(=O)c1c(F)cc(C#N)cc1F)[C@H]1C[N@H+](C)CCO1 ZINC000127103965 354061014 /nfs/dbraw/zinc/06/10/14/354061014.db2.gz WRIYNEWUVYRGDI-NOZJJQNGSA-N 1 2 309.316 1.285 20 30 DDEDLO C[C@@H](NC(=O)c1c(F)cc(C#N)cc1F)[C@H]1C[N@@H+](C)CCO1 ZINC000127103965 354061018 /nfs/dbraw/zinc/06/10/18/354061018.db2.gz WRIYNEWUVYRGDI-NOZJJQNGSA-N 1 2 309.316 1.285 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+](CCCCO)C2CC2)c1 ZINC000156784162 354221453 /nfs/dbraw/zinc/22/14/53/354221453.db2.gz XNVQBQHWBIMFIG-UHFFFAOYSA-N 1 2 322.430 1.569 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+](CCCCO)C2CC2)c1 ZINC000156784162 354221457 /nfs/dbraw/zinc/22/14/57/354221457.db2.gz XNVQBQHWBIMFIG-UHFFFAOYSA-N 1 2 322.430 1.569 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000182493289 354272936 /nfs/dbraw/zinc/27/29/36/354272936.db2.gz ZXFPRWBFDWYZEG-QWHCGFSZSA-N 1 2 317.393 1.266 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cccc4c3OCCO4)C[C@@H]21 ZINC000328892132 283013058 /nfs/dbraw/zinc/01/30/58/283013058.db2.gz TXYAAEJCCGCWOI-GXTWGEPZSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cccc4c3OCCO4)C[C@@H]21 ZINC000328892132 283013065 /nfs/dbraw/zinc/01/30/65/283013065.db2.gz TXYAAEJCCGCWOI-GXTWGEPZSA-N 1 2 319.361 1.018 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCC([C@H](O)C(F)(F)F)CC1 ZINC000305127172 354468392 /nfs/dbraw/zinc/46/83/92/354468392.db2.gz ITKLFSGSNYCCAM-ZDUSSCGKSA-N 1 2 321.343 1.384 20 30 DDEDLO CCCCNC(=O)C[NH+]1CCN(c2cc(C#N)cc(C)n2)CC1 ZINC000587010199 354878304 /nfs/dbraw/zinc/87/83/04/354878304.db2.gz OFLFOWSUWXHASY-UHFFFAOYSA-N 1 2 315.421 1.300 20 30 DDEDLO Cc1cc(C#N)cc(N(CC2CC2)C[C@H]2C[N@H+](C)CCO2)n1 ZINC000588026448 354895382 /nfs/dbraw/zinc/89/53/82/354895382.db2.gz XRZJNGMYUSJLID-MRXNPFEDSA-N 1 2 300.406 1.809 20 30 DDEDLO Cc1cc(C#N)cc(N(CC2CC2)C[C@H]2C[N@@H+](C)CCO2)n1 ZINC000588026448 354895385 /nfs/dbraw/zinc/89/53/85/354895385.db2.gz XRZJNGMYUSJLID-MRXNPFEDSA-N 1 2 300.406 1.809 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)C(=O)Nc1cc(C)cc(C#N)c1)CC2 ZINC000590783258 355177658 /nfs/dbraw/zinc/17/76/58/355177658.db2.gz BOQXEGSNDWSSSI-UHFFFAOYSA-N 1 2 323.356 1.353 20 30 DDEDLO CNC(=O)[C@@]1(C(F)(F)F)CCN(c2cc(C)[nH+]cc2C#N)C1 ZINC000591994917 355456627 /nfs/dbraw/zinc/45/66/27/355456627.db2.gz QSOBUWQNCGPASZ-CYBMUJFWSA-N 1 2 312.295 1.767 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC000592152617 355518593 /nfs/dbraw/zinc/51/85/93/355518593.db2.gz YWEDDNYAZOIMKH-INIZCTEOSA-N 1 2 300.337 1.862 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC000592152617 355518594 /nfs/dbraw/zinc/51/85/94/355518594.db2.gz YWEDDNYAZOIMKH-INIZCTEOSA-N 1 2 300.337 1.862 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cc4n(n3)CCC4)CC2)nc1 ZINC000592998434 355765558 /nfs/dbraw/zinc/76/55/58/355765558.db2.gz AHGXQFNOWHMPAU-UHFFFAOYSA-N 1 2 308.389 1.418 20 30 DDEDLO CCC[N@H+](CC(=O)OC(C)(C)C)[C@@H](C)C(=O)N(C)CCC#N ZINC000349243408 283119107 /nfs/dbraw/zinc/11/91/07/283119107.db2.gz MPVDNIAVFKGGDK-ZDUSSCGKSA-N 1 2 311.426 1.801 20 30 DDEDLO CCC[N@@H+](CC(=O)OC(C)(C)C)[C@@H](C)C(=O)N(C)CCC#N ZINC000349243408 283119108 /nfs/dbraw/zinc/11/91/08/283119108.db2.gz MPVDNIAVFKGGDK-ZDUSSCGKSA-N 1 2 311.426 1.801 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc2ccccc2n1CC#N ZINC000593154367 355812933 /nfs/dbraw/zinc/81/29/33/355812933.db2.gz IJGIHTMLINVIMT-KRWDZBQOSA-N 1 2 309.373 1.739 20 30 DDEDLO Cc1ccc(Cl)c(OCC(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000593156226 355813628 /nfs/dbraw/zinc/81/36/28/355813628.db2.gz MZPUWNRQWKLPJU-OAHLLOKOSA-N 1 2 309.797 1.987 20 30 DDEDLO CC[C@@H](C#N)C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000593386302 355869390 /nfs/dbraw/zinc/86/93/90/355869390.db2.gz GIFAKICIMBINKJ-HNNXBMFYSA-N 1 2 301.390 1.759 20 30 DDEDLO C=CCCn1cc(C[S@](=O)Cc2cn3ccccc3[nH+]2)nn1 ZINC000593935918 356067396 /nfs/dbraw/zinc/06/73/96/356067396.db2.gz LLAHUBWMTBSBIB-JOCHJYFZSA-N 1 2 315.402 1.951 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](CC(=O)N1CC[C@](F)(C#N)C1)C(F)(F)F ZINC000594344163 356178272 /nfs/dbraw/zinc/17/82/72/356178272.db2.gz YTKUUYCFQWPFOH-SKDRFNHKSA-N 1 2 318.274 1.920 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2ccc([S@@](C)=O)cc2)nn1 ZINC000594937291 356359711 /nfs/dbraw/zinc/35/97/11/356359711.db2.gz JVUXDWXDXVVRPQ-OAQYLSRUSA-N 1 2 304.419 1.881 20 30 DDEDLO C[C@]1(C#N)CCN(C(=O)C(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)C1 ZINC000595150609 356393462 /nfs/dbraw/zinc/39/34/62/356393462.db2.gz MCZSKAKZPAIGQK-MLGOLLRUSA-N 1 2 315.377 1.225 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@@H]2C[C@H]3CCCC[C@H]3NC2=O)CC1 ZINC000595396983 356477307 /nfs/dbraw/zinc/47/73/07/356477307.db2.gz GLKHHNVGGATTPN-VQHPVUNQSA-N 1 2 318.465 1.599 20 30 DDEDLO C[C@]1(C2CC2)C[N@H+](CC(=O)N(CCC#N)CCC#N)CCO1 ZINC000595618646 356579707 /nfs/dbraw/zinc/57/97/07/356579707.db2.gz RWRDDRDNUBKHOC-MRXNPFEDSA-N 1 2 304.394 1.143 20 30 DDEDLO C[C@]1(C2CC2)C[N@@H+](CC(=O)N(CCC#N)CCC#N)CCO1 ZINC000595618646 356579711 /nfs/dbraw/zinc/57/97/11/356579711.db2.gz RWRDDRDNUBKHOC-MRXNPFEDSA-N 1 2 304.394 1.143 20 30 DDEDLO COc1cc(-c2noc(C[N@@H+]3CCC[C@@H](CC#N)C3)n2)ncn1 ZINC000595623348 356582317 /nfs/dbraw/zinc/58/23/17/356582317.db2.gz NQWFCWVMKUWBHX-NSHDSACASA-N 1 2 314.349 1.661 20 30 DDEDLO COc1cc(-c2noc(C[N@H+]3CCC[C@@H](CC#N)C3)n2)ncn1 ZINC000595623348 356582322 /nfs/dbraw/zinc/58/23/22/356582322.db2.gz NQWFCWVMKUWBHX-NSHDSACASA-N 1 2 314.349 1.661 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@@H]2COC[C@]2(C(=O)OC(C)(C)C)C1 ZINC000595721328 356621750 /nfs/dbraw/zinc/62/17/50/356621750.db2.gz WLPDIBHTMBZCNQ-XHBSWPGZSA-N 1 2 311.378 1.004 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@@H]2COC[C@]2(C(=O)OC(C)(C)C)C1 ZINC000595721328 356621752 /nfs/dbraw/zinc/62/17/52/356621752.db2.gz WLPDIBHTMBZCNQ-XHBSWPGZSA-N 1 2 311.378 1.004 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@H+]2CC[C@@]3(O)C[C@H]3C2)C1=O ZINC000595757619 356639438 /nfs/dbraw/zinc/63/94/38/356639438.db2.gz OAZGPEPRAMPNQN-SLEUVZQESA-N 1 2 303.387 1.182 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@@H+]2CC[C@@]3(O)C[C@H]3C2)C1=O ZINC000595757619 356639440 /nfs/dbraw/zinc/63/94/40/356639440.db2.gz OAZGPEPRAMPNQN-SLEUVZQESA-N 1 2 303.387 1.182 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@H]3COC[C@@]3(C)C2)c(C#N)c1C ZINC000595759955 356640842 /nfs/dbraw/zinc/64/08/42/356640842.db2.gz RIUALDIACCAUOU-BLLLJJGKSA-N 1 2 303.362 1.675 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@H]3COC[C@@]3(C)C2)c(C#N)c1C ZINC000595759955 356640848 /nfs/dbraw/zinc/64/08/48/356640848.db2.gz RIUALDIACCAUOU-BLLLJJGKSA-N 1 2 303.362 1.675 20 30 DDEDLO N#CC1(NC(=O)C[NH2+][C@@H](CO)c2ccc(F)cc2F)CCC1 ZINC000595868100 356685225 /nfs/dbraw/zinc/68/52/25/356685225.db2.gz SJRBEYOSWFGLSY-ZDUSSCGKSA-N 1 2 309.316 1.150 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+]Cc1ccc(F)c(S(N)(=O)=O)c1 ZINC000596069684 356761334 /nfs/dbraw/zinc/76/13/34/356761334.db2.gz ILDRJKREUXWQFG-LLVKDONJSA-N 1 2 310.354 1.006 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000596452134 356883593 /nfs/dbraw/zinc/88/35/93/356883593.db2.gz DIBGKMWXAYQBDI-STQMWFEESA-N 1 2 302.378 1.789 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000596452134 356883595 /nfs/dbraw/zinc/88/35/95/356883595.db2.gz DIBGKMWXAYQBDI-STQMWFEESA-N 1 2 302.378 1.789 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000596453356 356884162 /nfs/dbraw/zinc/88/41/62/356884162.db2.gz JYBMATHIPIVEKV-QWHCGFSZSA-N 1 2 302.378 1.789 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000596453356 356884166 /nfs/dbraw/zinc/88/41/66/356884166.db2.gz JYBMATHIPIVEKV-QWHCGFSZSA-N 1 2 302.378 1.789 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)NCC1(C#N)CC1)C(C)(C)CO ZINC000596587385 356925573 /nfs/dbraw/zinc/92/55/73/356925573.db2.gz JSPOONLPYCDDDT-NSHDSACASA-N 1 2 305.382 1.083 20 30 DDEDLO N#Cc1cc(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)c[nH]1 ZINC000596483367 356893447 /nfs/dbraw/zinc/89/34/47/356893447.db2.gz ZYSRCNUERMXMAI-UHFFFAOYSA-N 1 2 302.378 1.071 20 30 DDEDLO N#Cc1cnc(N(CC[NH+]2CCOCC2)CC2CC2)c(F)c1 ZINC000596513605 356902282 /nfs/dbraw/zinc/90/22/82/356902282.db2.gz BTRCXDBPXAHTDY-UHFFFAOYSA-N 1 2 304.369 1.641 20 30 DDEDLO C[C@@H](CC#N)C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000596679738 356951145 /nfs/dbraw/zinc/95/11/45/356951145.db2.gz LWMHACPRVKCRFV-HOCLYGCPSA-N 1 2 301.390 1.726 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](Cc3cn(C4CCC4)nn3)C2)nc1 ZINC000597129499 357068661 /nfs/dbraw/zinc/06/86/61/357068661.db2.gz KUQAJAMMDSMVAH-MRXNPFEDSA-N 1 2 324.388 1.923 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](Cc3cn(C4CCC4)nn3)C2)nc1 ZINC000597129499 357068666 /nfs/dbraw/zinc/06/86/66/357068666.db2.gz KUQAJAMMDSMVAH-MRXNPFEDSA-N 1 2 324.388 1.923 20 30 DDEDLO N#CCc1cccc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)c1 ZINC000597709610 357296467 /nfs/dbraw/zinc/29/64/67/357296467.db2.gz JUJJQRCWDBZUFJ-QGZVFWFLSA-N 1 2 313.401 1.689 20 30 DDEDLO N#Cc1cccc(C(=O)NCc2ccc[nH+]c2N2CCCC2)n1 ZINC000597943423 357392926 /nfs/dbraw/zinc/39/29/26/357392926.db2.gz LCZSNBARCMSXTQ-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO CCN(C(=O)c1ccc(C[NH+]2CCOCC2)cn1)[C@H](C)C#N ZINC000598362712 357552301 /nfs/dbraw/zinc/55/23/01/357552301.db2.gz IMFXLYZNVUZPQX-CYBMUJFWSA-N 1 2 302.378 1.288 20 30 DDEDLO C[N@@H+](Cc1ncnn1CC(F)F)C[C@@H](O)CC(C)(C)C#N ZINC000598615156 357654286 /nfs/dbraw/zinc/65/42/86/357654286.db2.gz VOGBMDFDGWAQMW-JTQLQIEISA-N 1 2 301.341 1.276 20 30 DDEDLO C[N@H+](Cc1ncnn1CC(F)F)C[C@@H](O)CC(C)(C)C#N ZINC000598615156 357654289 /nfs/dbraw/zinc/65/42/89/357654289.db2.gz VOGBMDFDGWAQMW-JTQLQIEISA-N 1 2 301.341 1.276 20 30 DDEDLO COc1ccc(OC(C)(C)C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000598837814 357739939 /nfs/dbraw/zinc/73/99/39/357739939.db2.gz YHIOQPHNIPIVMF-KRWDZBQOSA-N 1 2 319.405 1.813 20 30 DDEDLO C[C@@H](NC(=O)NC[C@@H]1COCC[N@@H+]1C)c1cccc(C#N)c1 ZINC000598998233 357787478 /nfs/dbraw/zinc/78/74/78/357787478.db2.gz IMTHAJGQBJSGKZ-IUODEOHRSA-N 1 2 302.378 1.249 20 30 DDEDLO C[C@@H](NC(=O)NC[C@@H]1COCC[N@H+]1C)c1cccc(C#N)c1 ZINC000598998233 357787482 /nfs/dbraw/zinc/78/74/82/357787482.db2.gz IMTHAJGQBJSGKZ-IUODEOHRSA-N 1 2 302.378 1.249 20 30 DDEDLO COC(=O)[C@H]([NH2+]C[C@@H](O)COc1ccccc1C#N)C(C)(C)C ZINC000599340083 357901303 /nfs/dbraw/zinc/90/13/03/357901303.db2.gz AXRHYALSCAHNHP-HIFRSBDPSA-N 1 2 320.389 1.475 20 30 DDEDLO Cc1nnc(CN2CC[NH+](Cc3ccc(C)c(C#N)c3)CC2)[nH]1 ZINC000599341853 357901951 /nfs/dbraw/zinc/90/19/51/357901951.db2.gz WKLBIBCQQWPLEJ-UHFFFAOYSA-N 1 2 310.405 1.611 20 30 DDEDLO COC(=O)[C@](C)([NH2+]C[C@@H](O)COc1ccccc1C#N)C1CC1 ZINC000599352230 357905324 /nfs/dbraw/zinc/90/53/24/357905324.db2.gz QBHPTGFTBTZQSZ-RHSMWYFYSA-N 1 2 318.373 1.229 20 30 DDEDLO COC(=O)[C@]1(OC)CC[N@H+](CC#Cc2ccccc2Cl)C1 ZINC000599559476 357972904 /nfs/dbraw/zinc/97/29/04/357972904.db2.gz WDWORXDVHQDDKF-INIZCTEOSA-N 1 2 307.777 1.955 20 30 DDEDLO COC(=O)[C@]1(OC)CC[N@@H+](CC#Cc2ccccc2Cl)C1 ZINC000599559476 357972907 /nfs/dbraw/zinc/97/29/07/357972907.db2.gz WDWORXDVHQDDKF-INIZCTEOSA-N 1 2 307.777 1.955 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@H]1CCn2cc(C)[nH+]c2C1)C(=O)OCC ZINC000599997049 358099618 /nfs/dbraw/zinc/09/96/18/358099618.db2.gz RFJSXXOYKDILGQ-UONOGXRCSA-N 1 2 319.405 1.768 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccccc2CC#N)[C@H](C)C1 ZINC000601414211 358542018 /nfs/dbraw/zinc/54/20/18/358542018.db2.gz SVMMDLOPAXUDOL-CYBMUJFWSA-N 1 2 307.419 1.467 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccccc2CC#N)[C@H](C)C1 ZINC000601414211 358542019 /nfs/dbraw/zinc/54/20/19/358542019.db2.gz SVMMDLOPAXUDOL-CYBMUJFWSA-N 1 2 307.419 1.467 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NC(=O)N1CCN(CCC#N)CC1 ZINC000601604144 358619840 /nfs/dbraw/zinc/61/98/40/358619840.db2.gz DOHGXNNCBZXEJW-ZDUSSCGKSA-N 1 2 304.398 1.205 20 30 DDEDLO C=C(C)C[C@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)OC ZINC000601786194 358694814 /nfs/dbraw/zinc/69/48/14/358694814.db2.gz XHXSQPJPSNCVNM-ZDUSSCGKSA-N 1 2 305.378 1.386 20 30 DDEDLO CN(C(=O)C(=O)Nc1ccc2[nH+]ccn2c1)[C@H]1CCC[C@H]1C#N ZINC000602064502 358797509 /nfs/dbraw/zinc/79/75/09/358797509.db2.gz UOGPIYIRGPNRFI-AAEUAGOBSA-N 1 2 311.345 1.423 20 30 DDEDLO C=CCOC[C@H]([NH2+][C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2)C(=O)OC ZINC000602644351 359095127 /nfs/dbraw/zinc/09/51/27/359095127.db2.gz MRBQHLMZHDDYMA-XQLPTFJDSA-N 1 2 309.406 1.668 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(C(=O)OC)n2C)C1=O ZINC000602650545 359100232 /nfs/dbraw/zinc/10/02/32/359100232.db2.gz XYRUMFPIEISNOW-CYBMUJFWSA-N 1 2 305.378 1.030 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(C(=O)OC)n2C)C1=O ZINC000602650545 359100234 /nfs/dbraw/zinc/10/02/34/359100234.db2.gz XYRUMFPIEISNOW-CYBMUJFWSA-N 1 2 305.378 1.030 20 30 DDEDLO COc1cc2c(cc1OC)CN(C(=O)C[NH2+][C@@H](C)CC#N)CC2 ZINC000602865105 359249961 /nfs/dbraw/zinc/24/99/61/359249961.db2.gz SVXMUJSJIIBEBL-LBPRGKRZSA-N 1 2 317.389 1.480 20 30 DDEDLO COc1ccc(CNC(=O)[C@@H](C)[NH2+][C@@H](C)CC#N)cc1OC ZINC000602866405 359250484 /nfs/dbraw/zinc/25/04/84/359250484.db2.gz ZTQOOOKJHQRPCI-NWDGAFQWSA-N 1 2 305.378 1.600 20 30 DDEDLO CCn1nc(C)c(C[N@H+](C)CC(=O)NC2(C#N)CCC2)c1C ZINC000602924543 359297007 /nfs/dbraw/zinc/29/70/07/359297007.db2.gz FCKOCWRWCFJUTD-UHFFFAOYSA-N 1 2 303.410 1.514 20 30 DDEDLO CCn1nc(C)c(C[N@@H+](C)CC(=O)NC2(C#N)CCC2)c1C ZINC000602924543 359297010 /nfs/dbraw/zinc/29/70/10/359297010.db2.gz FCKOCWRWCFJUTD-UHFFFAOYSA-N 1 2 303.410 1.514 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)CC[C@@H]1O ZINC000186911144 200029620 /nfs/dbraw/zinc/02/96/20/200029620.db2.gz PVCQDZONMGQPLM-YGRLFVJLSA-N 1 2 307.781 1.853 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)CC[C@@H]1O ZINC000186911144 200029622 /nfs/dbraw/zinc/02/96/22/200029622.db2.gz PVCQDZONMGQPLM-YGRLFVJLSA-N 1 2 307.781 1.853 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)CCCn3cc[nH+]c3)CC2)nc1 ZINC000604528517 359761294 /nfs/dbraw/zinc/76/12/94/359761294.db2.gz LIQXNKBRYMUIKM-UHFFFAOYSA-N 1 2 324.388 1.279 20 30 DDEDLO [O-]C(=[NH+]C[C@@H]1CCc2[nH+]ccn2C1)N1CCO[C@H](C2CC2)C1 ZINC000329893646 223042379 /nfs/dbraw/zinc/04/23/79/223042379.db2.gz JPQYADOBHRRKNS-JSGCOSHPSA-N 1 2 304.394 1.470 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)cn1 ZINC000609134769 360263984 /nfs/dbraw/zinc/26/39/84/360263984.db2.gz STKZHODLLMMIJN-CYBMUJFWSA-N 1 2 317.374 1.176 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2C(=O)N2CC(n3cc[nH+]c3)C2)cc1 ZINC000609624589 360337153 /nfs/dbraw/zinc/33/71/53/360337153.db2.gz PKDSMZKNZBXFCY-KRWDZBQOSA-N 1 2 321.384 1.807 20 30 DDEDLO CCC(CC)NC(=O)[C@@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609485030 360313002 /nfs/dbraw/zinc/31/30/02/360313002.db2.gz XHCIVARXVPMZJG-ZBFHGGJFSA-N 1 2 308.470 1.845 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)N1CCc2sccc2C1 ZINC000610391699 360423983 /nfs/dbraw/zinc/42/39/83/360423983.db2.gz IEGIJGFNRFTMFH-UHFFFAOYSA-N 1 2 321.446 1.885 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)N1CCc2sccc2C1 ZINC000610391699 360423989 /nfs/dbraw/zinc/42/39/89/360423989.db2.gz IEGIJGFNRFTMFH-UHFFFAOYSA-N 1 2 321.446 1.885 20 30 DDEDLO C[C@H](CNC(=O)Cn1cc2ccccc2n1)[NH+]1CCN(C)CC1 ZINC000330040658 223066818 /nfs/dbraw/zinc/06/68/18/223066818.db2.gz CORQGWLTNSHHDN-CQSZACIVSA-N 1 2 315.421 1.629 20 30 DDEDLO CCn1cc([C@H]2CN(C(=O)NCc3[nH+]ccn3C)CCO2)cn1 ZINC000330039065 223067288 /nfs/dbraw/zinc/06/72/88/223067288.db2.gz BQMJSJPHIAZSIO-CYBMUJFWSA-N 1 2 318.381 1.124 20 30 DDEDLO N#CCCN1CCN(Cc2[nH+]ccn2Cc2ccccc2)CC1 ZINC000611174240 360646548 /nfs/dbraw/zinc/64/65/48/360646548.db2.gz GAJGNFIWKONTNW-UHFFFAOYSA-N 1 2 309.417 1.963 20 30 DDEDLO C[C@H](C(=O)N1[C@@H](C)CCC[C@@H]1C)[NH+]1CCN(CCC#N)CC1 ZINC000611175084 360648204 /nfs/dbraw/zinc/64/82/04/360648204.db2.gz WOHXQSJCXRTEPE-HRCADAONSA-N 1 2 306.454 1.696 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@H](C)[N@@H+]2CCC[C@](C)(C#N)C2)CC1 ZINC000612155652 360936569 /nfs/dbraw/zinc/93/65/69/360936569.db2.gz ZDKBXRQDDKUDIL-SUMWQHHRSA-N 1 2 321.421 1.412 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@H](C)[N@H+]2CCC[C@](C)(C#N)C2)CC1 ZINC000612155652 360936572 /nfs/dbraw/zinc/93/65/72/360936572.db2.gz ZDKBXRQDDKUDIL-SUMWQHHRSA-N 1 2 321.421 1.412 20 30 DDEDLO N#CC[C@@H]1CC[C@H](NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)C1 ZINC000334104927 223227345 /nfs/dbraw/zinc/22/73/45/223227345.db2.gz XTIBEWOOZWPNLP-JYJNAYRXSA-N 1 2 320.437 1.575 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)Nc1cc[nH+]cc1N(C)C ZINC000614050556 361676532 /nfs/dbraw/zinc/67/65/32/361676532.db2.gz JWZCXVHMUOOIDE-GFCCVEGCSA-N 1 2 310.357 1.847 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@H](C)C(=O)NC(=O)NCC(C)C)CC1 ZINC000341997464 223299345 /nfs/dbraw/zinc/29/93/45/223299345.db2.gz SSFUFSFIQLVUIK-CYBMUJFWSA-N 1 2 324.425 1.109 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@H](C(=O)N(C)c2ccccc2)C1 ZINC000619722388 364132626 /nfs/dbraw/zinc/13/26/26/364132626.db2.gz RGRMVKANZXGLRF-DZGCQCFKSA-N 1 2 302.374 1.699 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@H](C(=O)N(C)c2ccccc2)C1 ZINC000619722388 364132632 /nfs/dbraw/zinc/13/26/32/364132632.db2.gz RGRMVKANZXGLRF-DZGCQCFKSA-N 1 2 302.374 1.699 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CC[C@H]1CCCO1 ZINC000343039735 223315850 /nfs/dbraw/zinc/31/58/50/223315850.db2.gz JFRROBLHZWWVKB-OAHLLOKOSA-N 1 2 309.410 1.020 20 30 DDEDLO COCC[N@H+](Cc1ccc(CC#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000343762207 223326885 /nfs/dbraw/zinc/32/68/85/223326885.db2.gz LCYMSBFOQBAADP-MRXNPFEDSA-N 1 2 322.430 1.388 20 30 DDEDLO COCC[N@@H+](Cc1ccc(CC#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000343762207 223326887 /nfs/dbraw/zinc/32/68/87/223326887.db2.gz LCYMSBFOQBAADP-MRXNPFEDSA-N 1 2 322.430 1.388 20 30 DDEDLO CSC[C@](C)(C#N)NC(=O)[C@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000345088748 223342416 /nfs/dbraw/zinc/34/24/16/223342416.db2.gz CDWRDYDCRBEYDF-WWGRRREGSA-N 1 2 318.446 1.915 20 30 DDEDLO N#CC1(F)CCN(C(=O)NC[C@H]2CCCn3cc[nH+]c32)CC1 ZINC000345602918 223348345 /nfs/dbraw/zinc/34/83/45/223348345.db2.gz QMHHFOPXMDTVIJ-GFCCVEGCSA-N 1 2 305.357 1.798 20 30 DDEDLO C[C@@H]([NH2+]CC#CCN1C(=O)c2ccccc2C1=O)c1ccn(C)n1 ZINC000625467638 366945510 /nfs/dbraw/zinc/94/55/10/366945510.db2.gz IEHALPQVHFSGDK-CYBMUJFWSA-N 1 2 322.368 1.370 20 30 DDEDLO C#CCN(Cc1ccc(F)cc1)C(=O)NCc1[nH+]ccn1C ZINC000264175375 203745104 /nfs/dbraw/zinc/74/51/04/203745104.db2.gz MLSRASHOIYHMJG-UHFFFAOYSA-N 1 2 300.337 1.904 20 30 DDEDLO CC[N@H+](Cc1ccc(C#N)c(OC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000282506275 217047780 /nfs/dbraw/zinc/04/77/80/217047780.db2.gz YNUGSXCJGFAXEM-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO CC[N@@H+](Cc1ccc(C#N)c(OC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000282506275 217047784 /nfs/dbraw/zinc/04/77/84/217047784.db2.gz YNUGSXCJGFAXEM-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000274742401 211888544 /nfs/dbraw/zinc/88/85/44/211888544.db2.gz GIDYPVASKBQGDC-INIZCTEOSA-N 1 2 324.446 1.383 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000274742401 211888547 /nfs/dbraw/zinc/88/85/47/211888547.db2.gz GIDYPVASKBQGDC-INIZCTEOSA-N 1 2 324.446 1.383 20 30 DDEDLO C=CC[NH+](CC=C)[C@H](C)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000267871450 206312132 /nfs/dbraw/zinc/31/21/32/206312132.db2.gz LSVBHFVADNHKTG-GFCCVEGCSA-N 1 2 323.418 1.335 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)n1cnc(C#N)n1 ZINC000336939895 249294077 /nfs/dbraw/zinc/29/40/77/249294077.db2.gz HGQIFUPMANGSRY-JTQLQIEISA-N 1 2 307.317 1.740 20 30 DDEDLO CS(=O)(=O)CC[NH+]1CCC(Nc2ccc(C#N)cc2)CC1 ZINC000109321769 194257307 /nfs/dbraw/zinc/25/73/07/194257307.db2.gz CSNABVFBLZWSAZ-UHFFFAOYSA-N 1 2 307.419 1.479 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC=C(C(F)(F)F)CC1 ZINC000270204755 208272656 /nfs/dbraw/zinc/27/26/56/208272656.db2.gz PTRBTJAAWUYRPT-SECBINFHSA-N 1 2 305.300 1.581 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC=C(C(F)(F)F)CC1 ZINC000270204755 208272661 /nfs/dbraw/zinc/27/26/61/208272661.db2.gz PTRBTJAAWUYRPT-SECBINFHSA-N 1 2 305.300 1.581 20 30 DDEDLO C#CCCCCNC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000156389014 197109108 /nfs/dbraw/zinc/10/91/08/197109108.db2.gz DVWVSQRUOUWLOS-UHFFFAOYSA-N 1 2 300.406 1.123 20 30 DDEDLO COC(=O)C(C)(C)N1CC[NH+](CC#Cc2ccc(F)cc2)CC1 ZINC000494411153 533155317 /nfs/dbraw/zinc/15/53/17/533155317.db2.gz NDZVNADXFBAPKM-UHFFFAOYSA-N 1 2 318.392 1.746 20 30 DDEDLO COCCC(=O)N1CC[NH+]([C@H](C)c2ccc(C#N)cc2)CC1 ZINC000331038239 533172847 /nfs/dbraw/zinc/17/28/47/533172847.db2.gz AYNAWDINQLWJNR-CQSZACIVSA-N 1 2 301.390 1.800 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N(C)C(C)(C)C[NH+]1CCOCC1 ZINC000414041589 224293939 /nfs/dbraw/zinc/29/39/39/224293939.db2.gz MNMXADAVBDYHNO-UHFFFAOYSA-N 1 2 305.378 1.643 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1ccncc1Cl ZINC000073847852 221468516 /nfs/dbraw/zinc/46/85/16/221468516.db2.gz MHVQVGXIHVIZKE-UHFFFAOYSA-N 1 2 322.796 1.423 20 30 DDEDLO COc1nccnc1C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000294593732 533276253 /nfs/dbraw/zinc/27/62/53/533276253.db2.gz SKCLQMKSFGAQEZ-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNC(=O)C2(C#N)CCCCC2)C1 ZINC000330604244 533507527 /nfs/dbraw/zinc/50/75/27/533507527.db2.gz LBHPCJSMHFGMDR-CQSZACIVSA-N 1 2 321.421 1.462 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNC(=O)C2(C#N)CCCCC2)C1 ZINC000330604244 533507530 /nfs/dbraw/zinc/50/75/30/533507530.db2.gz LBHPCJSMHFGMDR-CQSZACIVSA-N 1 2 321.421 1.462 20 30 DDEDLO C[C@@H](Nc1c(C#N)cccc1[N+](=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000425221822 533550676 /nfs/dbraw/zinc/55/06/76/533550676.db2.gz HISGXTZWZPQFFJ-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H](O)C[N@H+](CCC(=O)Nc1sccc1C#N)CC(F)F ZINC000451854649 533655141 /nfs/dbraw/zinc/65/51/41/533655141.db2.gz QZMNONKFDXBXAU-SECBINFHSA-N 1 2 317.361 1.896 20 30 DDEDLO C[C@@H](O)C[N@@H+](CCC(=O)Nc1sccc1C#N)CC(F)F ZINC000451854649 533655151 /nfs/dbraw/zinc/65/51/51/533655151.db2.gz QZMNONKFDXBXAU-SECBINFHSA-N 1 2 317.361 1.896 20 30 DDEDLO Cc1ccc(C[N@H+](CCO)Cc2cnc3c(C#N)cnn3c2)o1 ZINC000568395018 304291320 /nfs/dbraw/zinc/29/13/20/304291320.db2.gz LEXOSYTUFHXUSV-UHFFFAOYSA-N 1 2 311.345 1.497 20 30 DDEDLO Cc1ccc(C[N@@H+](CCO)Cc2cnc3c(C#N)cnn3c2)o1 ZINC000568395018 304291322 /nfs/dbraw/zinc/29/13/22/304291322.db2.gz LEXOSYTUFHXUSV-UHFFFAOYSA-N 1 2 311.345 1.497 20 30 DDEDLO CN1C[C@@H]([N@H+](C)CC(=O)Nc2sccc2C#N)CCC1=O ZINC000568468955 304294168 /nfs/dbraw/zinc/29/41/68/304294168.db2.gz GZNBEKXIGOKQTF-NSHDSACASA-N 1 2 306.391 1.111 20 30 DDEDLO CN1C[C@@H]([N@@H+](C)CC(=O)Nc2sccc2C#N)CCC1=O ZINC000568468955 304294170 /nfs/dbraw/zinc/29/41/70/304294170.db2.gz GZNBEKXIGOKQTF-NSHDSACASA-N 1 2 306.391 1.111 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)Sc1[nH+]cc2ccccn21 ZINC000025348055 406896388 /nfs/dbraw/zinc/89/63/88/406896388.db2.gz PKYJDSSFLBINCS-SNVBAGLBSA-N 1 2 304.375 1.827 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(c2cnccn2)CC1 ZINC000042633359 407013601 /nfs/dbraw/zinc/01/36/01/407013601.db2.gz YLXLLPCKKJGDLP-OAHLLOKOSA-N 1 2 317.437 1.412 20 30 DDEDLO C=CCNC(=O)C[N@H+](Cc1ccccc1OC)C[C@H]1CCCO1 ZINC000051799406 407143746 /nfs/dbraw/zinc/14/37/46/407143746.db2.gz AGAUKGIHOCGSMB-MRXNPFEDSA-N 1 2 318.417 1.978 20 30 DDEDLO C=CCNC(=O)C[N@@H+](Cc1ccccc1OC)C[C@H]1CCCO1 ZINC000051799406 407143748 /nfs/dbraw/zinc/14/37/48/407143748.db2.gz AGAUKGIHOCGSMB-MRXNPFEDSA-N 1 2 318.417 1.978 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(N(C)C)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000092360940 407188942 /nfs/dbraw/zinc/18/89/42/407188942.db2.gz MBFOVYACCUHDDW-INIZCTEOSA-N 1 2 308.447 1.928 20 30 DDEDLO C=CC[N@H+](Cc1ccc(N(C)C)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000092360940 407188945 /nfs/dbraw/zinc/18/89/45/407188945.db2.gz MBFOVYACCUHDDW-INIZCTEOSA-N 1 2 308.447 1.928 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000066833781 407260607 /nfs/dbraw/zinc/26/06/07/407260607.db2.gz LWVRJFOYUJGYTP-GFCCVEGCSA-N 1 2 319.361 1.592 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000066833781 407260609 /nfs/dbraw/zinc/26/06/09/407260609.db2.gz LWVRJFOYUJGYTP-GFCCVEGCSA-N 1 2 319.361 1.592 20 30 DDEDLO Cc1ncc(C[NH+]2CCN(c3cnc(C#N)cn3)CC2)s1 ZINC000123893463 407341599 /nfs/dbraw/zinc/34/15/99/407341599.db2.gz QQHDHCIWRQPNAI-UHFFFAOYSA-N 1 2 300.391 1.435 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccc(C#N)cc1)[C@H]1CCCCNC1=O ZINC000105609697 407354294 /nfs/dbraw/zinc/35/42/94/407354294.db2.gz BZJWMIPWSRPAIT-AWEZNQCLSA-N 1 2 300.362 1.097 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccc(C#N)cc1)[C@H]1CCCCNC1=O ZINC000105609697 407354295 /nfs/dbraw/zinc/35/42/95/407354295.db2.gz BZJWMIPWSRPAIT-AWEZNQCLSA-N 1 2 300.362 1.097 20 30 DDEDLO N#Cc1cc2c(nc1N1CC[C@@H]([NH+]3CCOCC3)C1)CCCC2 ZINC000105569459 407354545 /nfs/dbraw/zinc/35/45/45/407354545.db2.gz OFTIRUNGIOHCRA-MRXNPFEDSA-N 1 2 312.417 1.743 20 30 DDEDLO C#CCOc1cccc(NC(=O)NCC[NH+]2CCOCC2)c1 ZINC000108519984 407387030 /nfs/dbraw/zinc/38/70/30/407387030.db2.gz PAFZYTOUZUMIAS-UHFFFAOYSA-N 1 2 303.362 1.152 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH2+][C@H](C)c1csc(CC)n1 ZINC000111375390 407409599 /nfs/dbraw/zinc/40/95/99/407409599.db2.gz WNBGRVCQZUDHPA-ZJUUUORDSA-N 1 2 310.423 1.756 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(c2cccnn2)CC1 ZINC000170649408 407464316 /nfs/dbraw/zinc/46/43/16/407464316.db2.gz VLQOIFBDMMHJND-HNNXBMFYSA-N 1 2 315.421 1.188 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000186090207 407573600 /nfs/dbraw/zinc/57/36/00/407573600.db2.gz PVYMFTWVXWYNGQ-DOTOQJQBSA-N 1 2 315.417 1.689 20 30 DDEDLO C=CC[C@H](CO)NC(=O)[C@@H](c1ccccc1)[NH+]1CCSCC1 ZINC000185883550 407530839 /nfs/dbraw/zinc/53/08/39/407530839.db2.gz FBDKNWDFVJDVIK-HZPDHXFCSA-N 1 2 320.458 1.830 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)C3(C#N)CCC3)c[nH+]2)CCO1 ZINC000129536644 407614916 /nfs/dbraw/zinc/61/49/16/407614916.db2.gz YXBKAWMHUKTSSK-CYBMUJFWSA-N 1 2 314.389 1.617 20 30 DDEDLO COCCOC1CC[NH+]([C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000116885336 407792509 /nfs/dbraw/zinc/79/25/09/407792509.db2.gz ZKUUSJDNLIKYCX-MRXNPFEDSA-N 1 2 317.389 1.212 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)Oc1ccccc1C#N)Cn1cc[nH+]c1 ZINC000153486590 407834746 /nfs/dbraw/zinc/83/47/46/407834746.db2.gz WYWFVJODMHTTBL-ZIAGYGMSSA-N 1 2 312.373 1.975 20 30 DDEDLO C=C(C)C[N@@H+](C)Cc1nnc2n1c1ccsc1c(=O)n2C ZINC000181331920 407983479 /nfs/dbraw/zinc/98/34/79/407983479.db2.gz SEBIMEZOBBCWNH-UHFFFAOYSA-N 1 2 303.391 1.651 20 30 DDEDLO C=C(C)C[N@H+](C)Cc1nnc2n1c1ccsc1c(=O)n2C ZINC000181331920 407983484 /nfs/dbraw/zinc/98/34/84/407983484.db2.gz SEBIMEZOBBCWNH-UHFFFAOYSA-N 1 2 303.391 1.651 20 30 DDEDLO CC1(C#N)CCN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)CC1 ZINC000272472230 407938362 /nfs/dbraw/zinc/93/83/62/407938362.db2.gz NFHZKXFKGOGOPW-ZDUSSCGKSA-N 1 2 301.394 1.568 20 30 DDEDLO CC1(C#N)CCN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)CC1 ZINC000272472230 407938369 /nfs/dbraw/zinc/93/83/69/407938369.db2.gz NFHZKXFKGOGOPW-ZDUSSCGKSA-N 1 2 301.394 1.568 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1cccc(C#N)c1)C[C@H](O)C(F)(F)F ZINC000189487906 408031828 /nfs/dbraw/zinc/03/18/28/408031828.db2.gz GXKAIJNEFIJLJB-LBPRGKRZSA-N 1 2 315.295 1.742 20 30 DDEDLO CC[N@H+](CC(=O)Nc1cccc(C#N)c1)C[C@H](O)C(F)(F)F ZINC000189487906 408031836 /nfs/dbraw/zinc/03/18/36/408031836.db2.gz GXKAIJNEFIJLJB-LBPRGKRZSA-N 1 2 315.295 1.742 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(Cc2cc(F)ccc2C#N)CC1 ZINC000268308676 407993096 /nfs/dbraw/zinc/99/30/96/407993096.db2.gz OZJNXKLZCRWMKU-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC(C)N1CC[C@@H]([NH+]2CCN(c3ccccc3C#N)CC2)C1=O ZINC000175149841 408063809 /nfs/dbraw/zinc/06/38/09/408063809.db2.gz JLVBKUHMCWNUOQ-QGZVFWFLSA-N 1 2 312.417 1.690 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC000175357634 408112866 /nfs/dbraw/zinc/11/28/66/408112866.db2.gz AJDGRRMANIHGRE-UHFFFAOYSA-N 1 2 303.410 1.071 20 30 DDEDLO C=CC[N@@H+](C)CC1=C(C(=O)OCC)[C@@H](c2ccco2)NC(=O)N1 ZINC000121365585 408192493 /nfs/dbraw/zinc/19/24/93/408192493.db2.gz IUWYTSVKQFALFD-CQSZACIVSA-N 1 2 319.361 1.569 20 30 DDEDLO C=CC[N@H+](C)CC1=C(C(=O)OCC)[C@@H](c2ccco2)NC(=O)N1 ZINC000121365585 408192500 /nfs/dbraw/zinc/19/25/00/408192500.db2.gz IUWYTSVKQFALFD-CQSZACIVSA-N 1 2 319.361 1.569 20 30 DDEDLO CNS(=O)(=O)[C@H]1CC[N@H+](CC#Cc2cccc(Cl)c2)C1 ZINC000156116087 408240625 /nfs/dbraw/zinc/24/06/25/408240625.db2.gz UFHGJKZXOIUJNN-AWEZNQCLSA-N 1 2 312.822 1.315 20 30 DDEDLO CNS(=O)(=O)[C@H]1CC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC000156116087 408240631 /nfs/dbraw/zinc/24/06/31/408240631.db2.gz UFHGJKZXOIUJNN-AWEZNQCLSA-N 1 2 312.822 1.315 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@](C)(C(=O)OC)C1 ZINC000246319835 408220333 /nfs/dbraw/zinc/22/03/33/408220333.db2.gz DCRNVVMSZSTVMH-PBHICJAKSA-N 1 2 316.357 1.657 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@](C)(C(=O)OC)C1 ZINC000246319835 408220342 /nfs/dbraw/zinc/22/03/42/408220342.db2.gz DCRNVVMSZSTVMH-PBHICJAKSA-N 1 2 316.357 1.657 20 30 DDEDLO N#Cc1ccc(CN2CC[C@H]([NH2+]Cc3csnn3)C2=O)cc1 ZINC000190838778 408327631 /nfs/dbraw/zinc/32/76/31/408327631.db2.gz DIDMVWZJZGFDMV-AWEZNQCLSA-N 1 2 313.386 1.300 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@H+]1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000122281875 408278118 /nfs/dbraw/zinc/27/81/18/408278118.db2.gz AKALOGYCGBFDTJ-STQMWFEESA-N 1 2 314.349 1.920 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@@H+]1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000122281875 408278121 /nfs/dbraw/zinc/27/81/21/408278121.db2.gz AKALOGYCGBFDTJ-STQMWFEESA-N 1 2 314.349 1.920 20 30 DDEDLO CCc1[nH+]c2ccccc2n1CC(=O)N[C@](C)(C#N)COC ZINC000269508833 408335929 /nfs/dbraw/zinc/33/59/29/408335929.db2.gz CHJWEXINLKITMN-MRXNPFEDSA-N 1 2 300.362 1.644 20 30 DDEDLO C[NH+](C)Cc1ccnc(NS(=O)(=O)c2ccc(C#N)nc2)c1 ZINC000176420418 408362952 /nfs/dbraw/zinc/36/29/52/408362952.db2.gz YJVMFLDJHOBBEM-UHFFFAOYSA-N 1 2 317.374 1.211 20 30 DDEDLO Cc1noc([C@H](C)N2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)n1 ZINC000191338639 408407788 /nfs/dbraw/zinc/40/77/88/408407788.db2.gz LGCHHXYNHBBXOC-LBPRGKRZSA-N 1 2 314.393 1.467 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+](C)C[C@H]1CCC[C@@H]1O ZINC000270096625 408481691 /nfs/dbraw/zinc/48/16/91/408481691.db2.gz KSEVZENOFLMRDX-PMPSAXMXSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+](C)C[C@H]1CCC[C@@H]1O ZINC000270096625 408481696 /nfs/dbraw/zinc/48/16/96/408481696.db2.gz KSEVZENOFLMRDX-PMPSAXMXSA-N 1 2 302.374 1.865 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NCCC2=CCCCC2)C1=O ZINC000281180181 408874476 /nfs/dbraw/zinc/87/44/76/408874476.db2.gz AXDVOVSEMWTIOX-MRXNPFEDSA-N 1 2 319.449 1.712 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NCCC2=CCCCC2)C1=O ZINC000281180181 408874478 /nfs/dbraw/zinc/87/44/78/408874478.db2.gz AXDVOVSEMWTIOX-MRXNPFEDSA-N 1 2 319.449 1.712 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(OC)ccc2OC)C1=O ZINC000281211373 408875876 /nfs/dbraw/zinc/87/58/76/408875876.db2.gz JTUPVFNBHRWOEB-HNNXBMFYSA-N 1 2 304.390 1.923 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(OC)ccc2OC)C1=O ZINC000281211373 408875877 /nfs/dbraw/zinc/87/58/77/408875877.db2.gz JTUPVFNBHRWOEB-HNNXBMFYSA-N 1 2 304.390 1.923 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)NCc2ccccc2)C1=O ZINC000281280222 408878007 /nfs/dbraw/zinc/87/80/07/408878007.db2.gz IOUNEIRZYNZFOS-ZBFHGGJFSA-N 1 2 315.417 1.410 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)NCc2ccccc2)C1=O ZINC000281280222 408878008 /nfs/dbraw/zinc/87/80/08/408878008.db2.gz IOUNEIRZYNZFOS-ZBFHGGJFSA-N 1 2 315.417 1.410 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2cc(C#N)cs2)C1 ZINC000276160387 408823358 /nfs/dbraw/zinc/82/33/58/408823358.db2.gz ASGWESUEQLSNDA-AWEZNQCLSA-N 1 2 319.430 1.803 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2cc(C#N)cs2)C1 ZINC000276160387 408823359 /nfs/dbraw/zinc/82/33/59/408823359.db2.gz ASGWESUEQLSNDA-AWEZNQCLSA-N 1 2 319.430 1.803 20 30 DDEDLO C=CCCN(C)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000276184483 408824397 /nfs/dbraw/zinc/82/43/97/408824397.db2.gz WFFQTTRZRYZXQM-UHFFFAOYSA-N 1 2 307.398 1.255 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1Cc1cc(C#N)ccc1F ZINC000285895367 408884513 /nfs/dbraw/zinc/88/45/13/408884513.db2.gz KCWATGGEAPDOEI-BBRMVZONSA-N 1 2 303.381 1.992 20 30 DDEDLO N#CCCCC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000290926810 408854169 /nfs/dbraw/zinc/85/41/69/408854169.db2.gz INLROUXWMOPIBF-ZDUSSCGKSA-N 1 2 307.419 1.931 20 30 DDEDLO CC1(C)C[C@]1(C#N)NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000291377321 408864325 /nfs/dbraw/zinc/86/43/25/408864325.db2.gz DUQHQJJUWMAFOD-CRAIPNDOSA-N 1 2 313.401 1.696 20 30 DDEDLO CC1(C)C[C@]1(C#N)NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000291377321 408864326 /nfs/dbraw/zinc/86/43/26/408864326.db2.gz DUQHQJJUWMAFOD-CRAIPNDOSA-N 1 2 313.401 1.696 20 30 DDEDLO C#CCOc1ccccc1CNc1cc(N2CCOCC2)nc[nH+]1 ZINC000277416639 408937167 /nfs/dbraw/zinc/93/71/67/408937167.db2.gz KWIXKVKWGOJNSM-UHFFFAOYSA-N 1 2 324.384 1.937 20 30 DDEDLO C#CCOc1ccccc1CNc1cc(N2CCOCC2)[nH+]cn1 ZINC000277416639 408937170 /nfs/dbraw/zinc/93/71/70/408937170.db2.gz KWIXKVKWGOJNSM-UHFFFAOYSA-N 1 2 324.384 1.937 20 30 DDEDLO Cc1nc(C(=O)NCc2ccnc(-n3cc[nH+]c3)c2)ccc1C#N ZINC000194657843 163293094 /nfs/dbraw/zinc/29/30/94/163293094.db2.gz JEFGBGOMYGZHSQ-UHFFFAOYSA-N 1 2 318.340 1.772 20 30 DDEDLO C=CCN1CC[C@@H](N(C)c2cc(N3CCCCC3)[nH+]cn2)C1=O ZINC000281871270 408944983 /nfs/dbraw/zinc/94/49/83/408944983.db2.gz NNEHQTVTAWLENR-CQSZACIVSA-N 1 2 315.421 1.690 20 30 DDEDLO C=CCN1CC[C@@H](N(C)c2cc(N3CCCCC3)nc[nH+]2)C1=O ZINC000281871270 408944986 /nfs/dbraw/zinc/94/49/86/408944986.db2.gz NNEHQTVTAWLENR-CQSZACIVSA-N 1 2 315.421 1.690 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H](CS(C)(=O)=O)C2)cc1C#N ZINC000292215552 409002231 /nfs/dbraw/zinc/00/22/31/409002231.db2.gz MSBXSNXIWVCBPH-ZDUSSCGKSA-N 1 2 308.403 1.433 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H](CS(C)(=O)=O)C2)cc1C#N ZINC000292215552 409002236 /nfs/dbraw/zinc/00/22/36/409002236.db2.gz MSBXSNXIWVCBPH-ZDUSSCGKSA-N 1 2 308.403 1.433 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(Cc3csc(C)n3)CC2)C1=O ZINC000281901056 408950675 /nfs/dbraw/zinc/95/06/75/408950675.db2.gz FRENBOXWMCCIBH-HNNXBMFYSA-N 1 2 320.462 1.356 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@H](n3cccn3)C2)c(C#N)c1C ZINC000291992359 408958019 /nfs/dbraw/zinc/95/80/19/408958019.db2.gz WYFDRDGTIQRLMR-ZDUSSCGKSA-N 1 2 313.361 1.850 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@H](n3cccn3)C2)c(C#N)c1C ZINC000291992359 408958022 /nfs/dbraw/zinc/95/80/22/408958022.db2.gz WYFDRDGTIQRLMR-ZDUSSCGKSA-N 1 2 313.361 1.850 20 30 DDEDLO CS(=O)(=O)CC1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC000292072497 408973615 /nfs/dbraw/zinc/97/36/15/408973615.db2.gz DTPNDDKWXVLTGM-UHFFFAOYSA-N 1 2 322.430 1.846 20 30 DDEDLO CO/N=C/c1ccc(C(=O)NCC2([NH+]3CCOCC3)CC2)cc1 ZINC000277614837 408977453 /nfs/dbraw/zinc/97/74/53/408977453.db2.gz QHJHPPIBQSPUPV-XDHOZWIPSA-N 1 2 317.389 1.262 20 30 DDEDLO CCCOC(=O)[C@H](C)[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292093429 408979360 /nfs/dbraw/zinc/97/93/60/408979360.db2.gz FRTIBIFUVBIDMA-JSGCOSHPSA-N 1 2 303.362 1.748 20 30 DDEDLO CCCOC(=O)[C@H](C)[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292093429 408979362 /nfs/dbraw/zinc/97/93/62/408979362.db2.gz FRTIBIFUVBIDMA-JSGCOSHPSA-N 1 2 303.362 1.748 20 30 DDEDLO C[C@H](NC(=O)C(C)(C)[NH+]1CCOCC1)c1ccc(C#N)cc1 ZINC000282332583 409036012 /nfs/dbraw/zinc/03/60/12/409036012.db2.gz ADKPPYOWTAMKQY-ZDUSSCGKSA-N 1 2 301.390 1.846 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C#N)cs1 ZINC000287507866 409047064 /nfs/dbraw/zinc/04/70/64/409047064.db2.gz UURJQCGWBHVYSQ-DGCLKSJQSA-N 1 2 305.403 1.555 20 30 DDEDLO Cc1cc(C(N)=[NH+]OC[C@H]2CCS(=O)(=O)C2)ccc1F ZINC000278595060 409085649 /nfs/dbraw/zinc/08/56/49/409085649.db2.gz HIXJNKVAGVRRQY-SNVBAGLBSA-N 1 2 300.355 1.206 20 30 DDEDLO C#CC[N@H+](C)CCCNC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000293947406 409213026 /nfs/dbraw/zinc/21/30/26/409213026.db2.gz JTOUFUICUZTFOQ-UHFFFAOYSA-N 1 2 315.333 1.156 20 30 DDEDLO C#CC[N@@H+](C)CCCNC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000293947406 409213029 /nfs/dbraw/zinc/21/30/29/409213029.db2.gz JTOUFUICUZTFOQ-UHFFFAOYSA-N 1 2 315.333 1.156 20 30 DDEDLO NC(=[NH+]OCc1nc(Cc2ccccc2)no1)[C@H]1CCCO1 ZINC000284466909 409345665 /nfs/dbraw/zinc/34/56/65/409345665.db2.gz RACVGZXPYFSXOM-GFCCVEGCSA-N 1 2 302.334 1.628 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(CC#N)cc3)C[C@H]21 ZINC000284621339 409355308 /nfs/dbraw/zinc/35/53/08/409355308.db2.gz SYSWICRQVPJWOD-CVEARBPZSA-N 1 2 314.389 1.689 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(CC#N)cc3)C[C@H]21 ZINC000284621339 409355311 /nfs/dbraw/zinc/35/53/11/409355311.db2.gz SYSWICRQVPJWOD-CVEARBPZSA-N 1 2 314.389 1.689 20 30 DDEDLO NC(=[NH+]OC[C@@H]1CCCS(=O)(=O)C1)c1ccc(F)c(F)c1 ZINC000284605948 409355970 /nfs/dbraw/zinc/35/59/70/409355970.db2.gz YRWFQUYAOCGUMQ-VIFPVBQESA-N 1 2 318.345 1.427 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000285199223 409402772 /nfs/dbraw/zinc/40/27/72/409402772.db2.gz OOICZYSVLLREMH-INIZCTEOSA-N 1 2 304.394 1.876 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N1CC[NH2+][C@@H](c2ccncc2)C1 ZINC000374373748 164171696 /nfs/dbraw/zinc/17/16/96/164171696.db2.gz JJXQPKUXDOICMK-MRXNPFEDSA-N 1 2 322.368 1.505 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3nccc(C#N)c3Cl)CC2)cn1 ZINC000342215394 409567965 /nfs/dbraw/zinc/56/79/65/409567965.db2.gz ASQASAWCLIQMLH-UHFFFAOYSA-N 1 2 316.796 1.662 20 30 DDEDLO COCC[N@H+](Cc1cc(C#N)cs1)[C@@H]1CCS(=O)(=O)C1 ZINC000349270862 409769905 /nfs/dbraw/zinc/76/99/05/409769905.db2.gz AMFYSJTVUFKTBQ-GFCCVEGCSA-N 1 2 314.432 1.255 20 30 DDEDLO COCC[N@@H+](Cc1cc(C#N)cs1)[C@@H]1CCS(=O)(=O)C1 ZINC000349270862 409769915 /nfs/dbraw/zinc/76/99/15/409769915.db2.gz AMFYSJTVUFKTBQ-GFCCVEGCSA-N 1 2 314.432 1.255 20 30 DDEDLO C[C@@H](C[S@@](C)=O)[N@H+](C)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000342631611 409778006 /nfs/dbraw/zinc/77/80/06/409778006.db2.gz GGIPPHMYSRXXGP-KPWVOAKYSA-N 1 2 318.402 1.807 20 30 DDEDLO C[C@@H](C[S@@](C)=O)[N@@H+](C)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000342631611 409778011 /nfs/dbraw/zinc/77/80/11/409778011.db2.gz GGIPPHMYSRXXGP-KPWVOAKYSA-N 1 2 318.402 1.807 20 30 DDEDLO C=C(C)COCCNC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000354367402 409801039 /nfs/dbraw/zinc/80/10/39/409801039.db2.gz QIONWAVWQGBNOQ-AWEZNQCLSA-N 1 2 321.425 1.391 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)C(=O)Nc1ccc(C#N)c(F)c1 ZINC000354514301 409910401 /nfs/dbraw/zinc/91/04/01/409910401.db2.gz XKFOPSCSRXHLTP-UHFFFAOYSA-N 1 2 315.308 1.028 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CC[C@@](F)(CO)C1)CCC2 ZINC000328717123 409959058 /nfs/dbraw/zinc/95/90/58/409959058.db2.gz UKNJKKZSOGIMFN-WFASDCNBSA-N 1 2 310.373 1.389 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)N[C@@H]3CSC3(C)C)CC2)cn1 ZINC000328742132 409968374 /nfs/dbraw/zinc/96/83/74/409968374.db2.gz JHBZVWXKLFKKIH-CYBMUJFWSA-N 1 2 323.466 1.346 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@@H](n3ccc(NC(C)=O)n3)C2)n1 ZINC000328627394 409942811 /nfs/dbraw/zinc/94/28/11/409942811.db2.gz MTXYTYSPGFKFRO-LLVKDONJSA-N 1 2 304.354 1.883 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@@H](n3ccc(NC(C)=O)n3)C2)n1 ZINC000328627394 409942819 /nfs/dbraw/zinc/94/28/19/409942819.db2.gz MTXYTYSPGFKFRO-LLVKDONJSA-N 1 2 304.354 1.883 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000354566650 409943152 /nfs/dbraw/zinc/94/31/52/409943152.db2.gz ADVVVPGBAZMXCG-KRWDZBQOSA-N 1 2 318.417 1.687 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)[C@@H]1CCc3n[nH]nc3C1)CCC2 ZINC000328974894 410031065 /nfs/dbraw/zinc/03/10/65/410031065.db2.gz PSMKLWZCCGHURU-NEPJUHHUSA-N 1 2 314.393 1.949 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3cnn(C(C)(C)C)c3)C[C@@H]21 ZINC000328997433 410042222 /nfs/dbraw/zinc/04/22/22/410042222.db2.gz FWYVOAQXTSJMMC-KBPBESRZSA-N 1 2 321.425 1.589 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3cnn(C(C)(C)C)c3)C[C@@H]21 ZINC000328997433 410042230 /nfs/dbraw/zinc/04/22/30/410042230.db2.gz FWYVOAQXTSJMMC-KBPBESRZSA-N 1 2 321.425 1.589 20 30 DDEDLO Cc1cc(CNC(=O)N2CC[C@H](c3[nH+]ccn3C)C2)n(C)n1 ZINC000328899175 410006614 /nfs/dbraw/zinc/00/66/14/410006614.db2.gz XEMHHNSBAVEDIJ-LBPRGKRZSA-N 1 2 302.382 1.366 20 30 DDEDLO CN1CCOC[C@H]1C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000329011312 410051989 /nfs/dbraw/zinc/05/19/89/410051989.db2.gz DQANVMZGCYGUON-INIZCTEOSA-N 1 2 319.405 1.437 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3cccc4c3CCO4)C[C@H]21 ZINC000329119700 410114302 /nfs/dbraw/zinc/11/43/02/410114302.db2.gz JVHGSXFKBZGSOJ-GDBMZVCRSA-N 1 2 317.389 1.572 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3cccc4c3CCO4)C[C@H]21 ZINC000329119700 410114304 /nfs/dbraw/zinc/11/43/04/410114304.db2.gz JVHGSXFKBZGSOJ-GDBMZVCRSA-N 1 2 317.389 1.572 20 30 DDEDLO CC[N@@H+]1CCOC[C@H]1C(=O)N1C[C@@H](c2ccccc2)[C@H](C#N)C1 ZINC000329198255 410163318 /nfs/dbraw/zinc/16/33/18/410163318.db2.gz ALAZUTISQRYQAS-IKGGRYGDSA-N 1 2 313.401 1.473 20 30 DDEDLO CC[N@H+]1CCOC[C@H]1C(=O)N1C[C@@H](c2ccccc2)[C@H](C#N)C1 ZINC000329198255 410163321 /nfs/dbraw/zinc/16/33/21/410163321.db2.gz ALAZUTISQRYQAS-IKGGRYGDSA-N 1 2 313.401 1.473 20 30 DDEDLO Cn1[nH]c(C[NH+]2CCC(NC(=O)c3ccccc3)CC2)nc1=O ZINC000329277959 410208091 /nfs/dbraw/zinc/20/80/91/410208091.db2.gz KZLLTUKSXGZLAA-UHFFFAOYSA-N 1 2 315.377 1.078 20 30 DDEDLO O=C(CSc1n[nH]c(=O)[nH]1)NCC1CC[NH+](C2CC2)CC1 ZINC000329348124 410248997 /nfs/dbraw/zinc/24/89/97/410248997.db2.gz SBEHCEWDIFIVCH-UHFFFAOYSA-N 1 2 311.411 1.433 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)NCC1CCSCC1 ZINC000329373614 410262318 /nfs/dbraw/zinc/26/23/18/410262318.db2.gz JZGFMBGFBWREDE-UHFFFAOYSA-N 1 2 315.483 1.744 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)NCC1CCSCC1 ZINC000329373614 410262322 /nfs/dbraw/zinc/26/23/22/410262322.db2.gz JZGFMBGFBWREDE-UHFFFAOYSA-N 1 2 315.483 1.744 20 30 DDEDLO COC(=O)[C@H](C1CC1)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355119869 410325711 /nfs/dbraw/zinc/32/57/11/410325711.db2.gz DNYNHSVQVNPFMU-HNNXBMFYSA-N 1 2 300.362 1.027 20 30 DDEDLO Cc1nc2n(n1)C[C@@H](NC(=O)C1([NH+]3CCOCC3)CCC1)CC2 ZINC000329492794 410325873 /nfs/dbraw/zinc/32/58/73/410325873.db2.gz ZUDNVGVZIYHLFV-ZDUSSCGKSA-N 1 2 319.409 1.113 20 30 DDEDLO C[C@@]1([NH2+]CCC(=O)Nc2ccc(C#N)c(Cl)c2)CCOC1=O ZINC000298656825 410334308 /nfs/dbraw/zinc/33/43/08/410334308.db2.gz XTTCOUSZDXYRID-OAHLLOKOSA-N 1 2 321.764 1.835 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[N@H+]2CCOC[C@H]2C)cc1 ZINC000355072259 410288037 /nfs/dbraw/zinc/28/80/37/410288037.db2.gz PTIGJYDEQHWOKU-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[N@@H+]2CCOC[C@H]2C)cc1 ZINC000355072259 410288040 /nfs/dbraw/zinc/28/80/40/410288040.db2.gz PTIGJYDEQHWOKU-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO CC(C)N(C)C(=O)[C@@H](C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355075844 410293621 /nfs/dbraw/zinc/29/36/21/410293621.db2.gz BTPSNGXTHWUDNG-CQSZACIVSA-N 1 2 315.421 1.331 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CC[C@H](NC(=O)NC2CC2)C1 ZINC000355084568 410303333 /nfs/dbraw/zinc/30/33/33/410303333.db2.gz VREALJSQFADZOX-HNNXBMFYSA-N 1 2 302.353 1.733 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CC[C@H](NC(=O)NC2CC2)C1 ZINC000355084568 410303338 /nfs/dbraw/zinc/30/33/38/410303338.db2.gz VREALJSQFADZOX-HNNXBMFYSA-N 1 2 302.353 1.733 20 30 DDEDLO Cn1ncc(C(=O)NCc2ccc(N3CCCC3)[nH+]c2)c1C#N ZINC000347024411 410318686 /nfs/dbraw/zinc/31/86/86/410318686.db2.gz RARQZBLHKNYZBI-UHFFFAOYSA-N 1 2 310.361 1.217 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CCN1C([O-])=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000329606049 410380736 /nfs/dbraw/zinc/38/07/36/410380736.db2.gz MIFYFCAWLUHUSB-NWDODZNUSA-N 1 2 310.423 1.022 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CCN1C([O-])=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000329606049 410380742 /nfs/dbraw/zinc/38/07/42/410380742.db2.gz MIFYFCAWLUHUSB-NWDODZNUSA-N 1 2 310.423 1.022 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+][C@@H](CO)c2ccsc2)C(N)=O)cc1 ZINC000352033925 410431226 /nfs/dbraw/zinc/43/12/26/410431226.db2.gz WZCJZWAKGFLXMY-UONOGXRCSA-N 1 2 301.371 1.469 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@H](C(F)(F)F)[C@@H](CO)C2)CCC1 ZINC000352676491 410673658 /nfs/dbraw/zinc/67/36/58/410673658.db2.gz TXKWVGZRYVTALQ-MNOVXSKESA-N 1 2 319.327 1.042 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@H](C(F)(F)F)[C@@H](CO)C2)CCC1 ZINC000352676491 410673661 /nfs/dbraw/zinc/67/36/61/410673661.db2.gz TXKWVGZRYVTALQ-MNOVXSKESA-N 1 2 319.327 1.042 20 30 DDEDLO CO[C@H](C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1)C(C)C ZINC000352331815 410629303 /nfs/dbraw/zinc/62/93/03/410629303.db2.gz UTZHEXFXVBWQKT-KRWDZBQOSA-N 1 2 315.417 1.873 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C(N)=O)cc1)[NH+]1CCC(F)(C#N)CC1 ZINC000352484326 410659388 /nfs/dbraw/zinc/65/93/88/410659388.db2.gz NTSIJYGYVZDQSF-LLVKDONJSA-N 1 2 318.352 1.440 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)N1CC[NH2+][C@H](c2ccncc2)C1 ZINC000355839760 410693953 /nfs/dbraw/zinc/69/39/53/410693953.db2.gz UBNASIWPNRQCJG-KRWDZBQOSA-N 1 2 324.359 1.808 20 30 DDEDLO COC(=O)c1cc(C#N)c(=O)n(Cc2[nH+]c3ccccc3n2C)c1 ZINC000355901904 410722622 /nfs/dbraw/zinc/72/26/22/410722622.db2.gz XEZQRBPCKOFGSN-UHFFFAOYSA-N 1 2 322.324 1.442 20 30 DDEDLO CCCNC(=O)[C@@H](C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000330416372 410678333 /nfs/dbraw/zinc/67/83/33/410678333.db2.gz OAMVJGVDSDZLMI-CQSZACIVSA-N 1 2 300.406 1.595 20 30 DDEDLO N#Cc1cc(C(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)ccc1F ZINC000330617157 410830646 /nfs/dbraw/zinc/83/06/46/410830646.db2.gz WUPOSXLCTZBRNC-UHFFFAOYSA-N 1 2 313.336 1.378 20 30 DDEDLO COC(=O)C[N@H+](CCC(=O)Nc1cccc(C#N)c1)C1CC1 ZINC000348238889 410869104 /nfs/dbraw/zinc/86/91/04/410869104.db2.gz OXILYMUXSUYEBJ-UHFFFAOYSA-N 1 2 301.346 1.524 20 30 DDEDLO COC(=O)C[N@@H+](CCC(=O)Nc1cccc(C#N)c1)C1CC1 ZINC000348238889 410869113 /nfs/dbraw/zinc/86/91/13/410869113.db2.gz OXILYMUXSUYEBJ-UHFFFAOYSA-N 1 2 301.346 1.524 20 30 DDEDLO COC(=O)CN(CC#N)C(=O)[C@@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000356159616 410870745 /nfs/dbraw/zinc/87/07/45/410870745.db2.gz AETFBFVPCSNRJO-LLVKDONJSA-N 1 2 318.377 1.163 20 30 DDEDLO COC(=O)CN(CC#N)C(=O)[C@@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000356159616 410870748 /nfs/dbraw/zinc/87/07/48/410870748.db2.gz AETFBFVPCSNRJO-LLVKDONJSA-N 1 2 318.377 1.163 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000353470636 411009016 /nfs/dbraw/zinc/00/90/16/411009016.db2.gz IUGJHLWJDZDKMC-CQSZACIVSA-N 1 2 317.364 1.173 20 30 DDEDLO CO[C@@H]1C[NH+](CC(=O)Nc2sc(C)c(C)c2C#N)C[C@H]1OC ZINC000331094397 410945146 /nfs/dbraw/zinc/94/51/46/410945146.db2.gz AJCWCGZFVYLWTJ-CHWSQXEVSA-N 1 2 323.418 1.521 20 30 DDEDLO CN(C[C@@H]1OCC[N@H+](C)[C@@H]1c1ccccc1)c1cnc(C#N)cn1 ZINC000344294018 411076247 /nfs/dbraw/zinc/07/62/47/411076247.db2.gz BLTHMILAPYINIG-FUHWJXTLSA-N 1 2 323.400 1.856 20 30 DDEDLO CN(C[C@@H]1OCC[N@@H+](C)[C@@H]1c1ccccc1)c1cnc(C#N)cn1 ZINC000344294018 411076254 /nfs/dbraw/zinc/07/62/54/411076254.db2.gz BLTHMILAPYINIG-FUHWJXTLSA-N 1 2 323.400 1.856 20 30 DDEDLO C[C@H](Nc1nccc(C#N)c1[N+](=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000134211784 196370914 /nfs/dbraw/zinc/37/09/14/196370914.db2.gz AJVVUJOGNGCKLA-QWRGUYRKSA-N 1 2 305.338 1.383 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)c1cccc(C#N)c1 ZINC000134547160 196401445 /nfs/dbraw/zinc/40/14/45/196401445.db2.gz ODNVMHBBFYNLAB-UHFFFAOYSA-N 1 2 304.375 1.466 20 30 DDEDLO N#Cc1c[nH]nc1NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000601640655 416624074 /nfs/dbraw/zinc/62/40/74/416624074.db2.gz KBMMTPKWVRESAF-CQSZACIVSA-N 1 2 311.345 1.121 20 30 DDEDLO N#Cc1c[nH]nc1NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000601640655 416624078 /nfs/dbraw/zinc/62/40/78/416624078.db2.gz KBMMTPKWVRESAF-CQSZACIVSA-N 1 2 311.345 1.121 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2nccnc2C)CC1 ZINC000374136679 418492832 /nfs/dbraw/zinc/49/28/32/418492832.db2.gz MQWFXMKZAHRNGX-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCC(F)(F)[C@@H](CO)C3)n2c1 ZINC000361057498 418575319 /nfs/dbraw/zinc/57/53/19/418575319.db2.gz ADTDWVFLGKAWNI-GFCCVEGCSA-N 1 2 306.316 1.655 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCC(F)(F)[C@@H](CO)C3)n2c1 ZINC000361057498 418575320 /nfs/dbraw/zinc/57/53/20/418575320.db2.gz ADTDWVFLGKAWNI-GFCCVEGCSA-N 1 2 306.316 1.655 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)Cc1cccc(OCC#N)c1)CC2 ZINC000374677986 418554081 /nfs/dbraw/zinc/55/40/81/418554081.db2.gz JCBUUVGDFJRBDL-UHFFFAOYSA-N 1 2 310.357 1.679 20 30 DDEDLO CC(C)CN1CCN(Cc2c[nH+]c3ccc(C#N)cn23)CC1=O ZINC000188583198 222018549 /nfs/dbraw/zinc/01/85/49/222018549.db2.gz RJTKWQGETRVCPY-UHFFFAOYSA-N 1 2 311.389 1.506 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2cccc(F)c2C#N)CC1 ZINC000189404872 222043110 /nfs/dbraw/zinc/04/31/10/222043110.db2.gz GQKKPOZZBSINQW-UHFFFAOYSA-N 1 2 305.353 1.492 20 30 DDEDLO CC(C)n1cc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)cn1 ZINC000190715326 222086718 /nfs/dbraw/zinc/08/67/18/222086718.db2.gz UUZGBXOQUOJJQO-UHFFFAOYSA-N 1 2 319.409 1.152 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCC(O)(c2ccccc2Cl)CC1 ZINC000193149797 222145103 /nfs/dbraw/zinc/14/51/03/222145103.db2.gz FTEOVQUXYRGRHN-ZDUSSCGKSA-N 1 2 320.820 1.761 20 30 DDEDLO C=CCOC[C@@H](NCc1c[nH+]c2ccc(Cl)cn12)C(=O)OC ZINC000361112017 418585682 /nfs/dbraw/zinc/58/56/82/418585682.db2.gz WILIDBJELQSRKE-CYBMUJFWSA-N 1 2 323.780 1.822 20 30 DDEDLO Cn1cc(Cl)cc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000195166527 222192903 /nfs/dbraw/zinc/19/29/03/222192903.db2.gz RXNBNHGTFVURIC-UHFFFAOYSA-N 1 2 324.812 1.367 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@H+](CC)C[C@H](C)C#N)CC1 ZINC000249894736 222242385 /nfs/dbraw/zinc/24/23/85/222242385.db2.gz RLWYZNJJUXRIAX-ZIAGYGMSSA-N 1 2 323.437 1.658 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@@H+](CC)C[C@H](C)C#N)CC1 ZINC000249894736 222242390 /nfs/dbraw/zinc/24/23/90/222242390.db2.gz RLWYZNJJUXRIAX-ZIAGYGMSSA-N 1 2 323.437 1.658 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)c1n[nH]c2c1C[NH2+]CC2 ZINC000262819280 222296802 /nfs/dbraw/zinc/29/68/02/222296802.db2.gz WQWAEWRQBBAERP-UHFFFAOYSA-N 1 2 300.362 1.519 20 30 DDEDLO Cc1nsc(N2CC[NH+](C3(C(N)=O)CCCC3)CC2)c1C#N ZINC000367718714 418626644 /nfs/dbraw/zinc/62/66/44/418626644.db2.gz ZGGZBJOKLACLNY-UHFFFAOYSA-N 1 2 319.434 1.243 20 30 DDEDLO CC(C)(CCC#N)CNc1ccn(CC[NH+]2CCOCC2)n1 ZINC000344952433 418634231 /nfs/dbraw/zinc/63/42/31/418634231.db2.gz MJOOSZIMMPZLJC-UHFFFAOYSA-N 1 2 305.426 1.957 20 30 DDEDLO N#C[C@]1(C(=O)NCC[NH+]2CCOCC2)CCc2ccccc2C1 ZINC000377183231 418706827 /nfs/dbraw/zinc/70/68/27/418706827.db2.gz PTWOKVDZLDTWLT-SFHVURJKSA-N 1 2 313.401 1.134 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCO[C@@]4(CCCOC4)C3)n2c1 ZINC000377668995 418712965 /nfs/dbraw/zinc/71/29/65/418712965.db2.gz GNXRAGSOBXSQDT-KRWDZBQOSA-N 1 2 312.373 1.587 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCO[C@@]4(CCCOC4)C3)n2c1 ZINC000377668995 418712968 /nfs/dbraw/zinc/71/29/68/418712968.db2.gz GNXRAGSOBXSQDT-KRWDZBQOSA-N 1 2 312.373 1.587 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1NC(=O)CC[C@H]1NC(=O)c1cccc(C#N)c1 ZINC000375536156 418656632 /nfs/dbraw/zinc/65/66/32/418656632.db2.gz CMJYOXAZMPOADQ-CZUORRHYSA-N 1 2 323.356 1.041 20 30 DDEDLO N#CCNC(=O)C[NH2+][C@@H](CO)c1c(Cl)cccc1Cl ZINC000361541583 418673824 /nfs/dbraw/zinc/67/38/24/418673824.db2.gz QUIHEOLRIWITDE-JTQLQIEISA-N 1 2 302.161 1.256 20 30 DDEDLO C=CCOc1ccc(CNC(=O)[C@H]2COCC[N@H+]2CC)cc1 ZINC000376014232 418690977 /nfs/dbraw/zinc/69/09/77/418690977.db2.gz SHNAPTXIBXQTJR-MRXNPFEDSA-N 1 2 304.390 1.588 20 30 DDEDLO C=CCOc1ccc(CNC(=O)[C@H]2COCC[N@@H+]2CC)cc1 ZINC000376014232 418690978 /nfs/dbraw/zinc/69/09/78/418690978.db2.gz SHNAPTXIBXQTJR-MRXNPFEDSA-N 1 2 304.390 1.588 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@H](c2ccncn2)C1)C1CC1 ZINC000361636328 418694373 /nfs/dbraw/zinc/69/43/73/418694373.db2.gz KXKYLUGCGPQASH-SUMWQHHRSA-N 1 2 313.405 1.464 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@H](c2ccncn2)C1)C1CC1 ZINC000361636328 418694375 /nfs/dbraw/zinc/69/43/75/418694375.db2.gz KXKYLUGCGPQASH-SUMWQHHRSA-N 1 2 313.405 1.464 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@@H+]1CCOc1ccccc1C#N ZINC000376537072 418698864 /nfs/dbraw/zinc/69/88/64/418698864.db2.gz AOQALQQBCWLDGR-AWEZNQCLSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@H+]1CCOc1ccccc1C#N ZINC000376537072 418698865 /nfs/dbraw/zinc/69/88/65/418698865.db2.gz AOQALQQBCWLDGR-AWEZNQCLSA-N 1 2 304.346 1.201 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[NH2+]C[C@@H]2C(F)F)c1C#N ZINC000368577132 418721769 /nfs/dbraw/zinc/72/17/69/418721769.db2.gz UXCMWSHHOKTXRI-LLVKDONJSA-N 1 2 315.345 1.094 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCO[C@@]2(CCO[C@H]2C)C1 ZINC000369411009 418733403 /nfs/dbraw/zinc/73/34/03/418733403.db2.gz YUVGQQFXDBCLBW-GUYCJALGSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCO[C@@]2(CCO[C@H]2C)C1 ZINC000369411009 418733404 /nfs/dbraw/zinc/73/34/04/418733404.db2.gz YUVGQQFXDBCLBW-GUYCJALGSA-N 1 2 302.374 1.947 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)[C@@H](C)C[NH+]1CCOCC1 ZINC000362212843 418750573 /nfs/dbraw/zinc/75/05/73/418750573.db2.gz FAYPCVVFOHFNJO-AWEZNQCLSA-N 1 2 319.405 1.439 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCO[C@H](COCC2CC2)C1 ZINC000362605445 418756578 /nfs/dbraw/zinc/75/65/78/418756578.db2.gz FQDGNUJEBOLMTG-RDJZCZTQSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCO[C@H](COCC2CC2)C1 ZINC000362605445 418756580 /nfs/dbraw/zinc/75/65/80/418756580.db2.gz FQDGNUJEBOLMTG-RDJZCZTQSA-N 1 2 324.465 1.927 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCCN(C(=O)C(C)(C)C)CC1 ZINC000362916505 418760997 /nfs/dbraw/zinc/76/09/97/418760997.db2.gz NHQCEMVPBZNFBW-AWEZNQCLSA-N 1 2 322.453 1.327 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCCN(C(=O)C(C)(C)C)CC1 ZINC000362916505 418761000 /nfs/dbraw/zinc/76/10/00/418761000.db2.gz NHQCEMVPBZNFBW-AWEZNQCLSA-N 1 2 322.453 1.327 20 30 DDEDLO CCOC(=O)N1CCC[N@H+](CC(=O)N(CC)C[C@@H](C)C#N)CC1 ZINC000363118278 418762351 /nfs/dbraw/zinc/76/23/51/418762351.db2.gz VRWPRPDYCRMSMR-AWEZNQCLSA-N 1 2 324.425 1.159 20 30 DDEDLO CCOC(=O)N1CCC[N@@H+](CC(=O)N(CC)C[C@@H](C)C#N)CC1 ZINC000363118278 418762353 /nfs/dbraw/zinc/76/23/53/418762353.db2.gz VRWPRPDYCRMSMR-AWEZNQCLSA-N 1 2 324.425 1.159 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CC[C@H](Oc2ccc[nH+]c2N(C)C)C1 ZINC000368805535 418726213 /nfs/dbraw/zinc/72/62/13/418726213.db2.gz JMAGXJWTRRUUGC-KGLIPLIRSA-N 1 2 316.405 1.722 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2cccnc2C#N)C[C@H]1C ZINC000408376937 418800130 /nfs/dbraw/zinc/80/01/30/418800130.db2.gz VDJXXFYQGORJAB-NEPJUHHUSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2cccnc2C#N)C[C@H]1C ZINC000408376937 418800132 /nfs/dbraw/zinc/80/01/32/418800132.db2.gz VDJXXFYQGORJAB-NEPJUHHUSA-N 1 2 308.407 1.056 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](Cc2nccn2CC)CC1 ZINC000372990427 418918789 /nfs/dbraw/zinc/91/87/89/418918789.db2.gz AWXNFTFISRPPRX-UHFFFAOYSA-N 1 2 302.422 1.741 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](Cc2nccn2CC)CC1 ZINC000372990427 418918791 /nfs/dbraw/zinc/91/87/91/418918791.db2.gz AWXNFTFISRPPRX-UHFFFAOYSA-N 1 2 302.422 1.741 20 30 DDEDLO C#CCCCC(=O)N1CCCN(Cc2[nH+]ccn2CC)CC1 ZINC000372990427 418918793 /nfs/dbraw/zinc/91/87/93/418918793.db2.gz AWXNFTFISRPPRX-UHFFFAOYSA-N 1 2 302.422 1.741 20 30 DDEDLO CC(=O)N[C@H]1CC[N@@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000420989197 419422336 /nfs/dbraw/zinc/42/23/36/419422336.db2.gz RPLVEZGHQJTJJS-MFKMUULPSA-N 1 2 318.377 1.306 20 30 DDEDLO CC(=O)N[C@H]1CC[N@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000420989197 419422343 /nfs/dbraw/zinc/42/23/43/419422343.db2.gz RPLVEZGHQJTJJS-MFKMUULPSA-N 1 2 318.377 1.306 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC000412036645 419579847 /nfs/dbraw/zinc/57/98/47/419579847.db2.gz VTMPLNIRXMEWHU-TZMCWYRMSA-N 1 2 303.410 1.492 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC000412036645 419579865 /nfs/dbraw/zinc/57/98/65/419579865.db2.gz VTMPLNIRXMEWHU-TZMCWYRMSA-N 1 2 303.410 1.492 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)CNc2cccc(C#N)c2)CCO1 ZINC000427720976 419721527 /nfs/dbraw/zinc/72/15/27/419721527.db2.gz WGDLZGUHYFQFJY-INIZCTEOSA-N 1 2 316.405 1.197 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)CNc2cccc(C#N)c2)CCO1 ZINC000427720976 419721536 /nfs/dbraw/zinc/72/15/36/419721536.db2.gz WGDLZGUHYFQFJY-INIZCTEOSA-N 1 2 316.405 1.197 20 30 DDEDLO COCCN(Cc1ccc(C#N)cc1)C(=O)CCn1cc[nH+]c1 ZINC000299624976 229274947 /nfs/dbraw/zinc/27/49/47/229274947.db2.gz RHNUKASMFJNFMN-UHFFFAOYSA-N 1 2 312.373 1.820 20 30 DDEDLO Cc1cc(N2CCN(c3cncc(C#N)n3)CC2)nc(C(C)C)[nH+]1 ZINC000420584309 420322431 /nfs/dbraw/zinc/32/24/31/420322431.db2.gz LXGNCKCCVHKKLA-UHFFFAOYSA-N 1 2 323.404 1.897 20 30 DDEDLO N#Cc1cncc(NC[C@@H](c2cccs2)[NH+]2CCOCC2)n1 ZINC000420592426 420324936 /nfs/dbraw/zinc/32/49/36/420324936.db2.gz WKJVMPLDZNFVIA-ZDUSSCGKSA-N 1 2 315.402 1.895 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(c2cncc(C#N)n2)C1 ZINC000420627059 420335490 /nfs/dbraw/zinc/33/54/90/420335490.db2.gz NEPSBLZLGLMLNK-MRXNPFEDSA-N 1 2 317.393 1.248 20 30 DDEDLO CCC(CC)[C@H](C(=O)NCC1(C#N)CCC1)[NH+]1CCOCC1 ZINC000416348450 420338162 /nfs/dbraw/zinc/33/81/62/420338162.db2.gz UGHLWQKUDXHWDI-OAHLLOKOSA-N 1 2 307.438 1.933 20 30 DDEDLO Cc1ccccc1C[NH+]1CCN(S(=O)(=O)[C@H](C)C#N)CC1 ZINC000416367670 420345199 /nfs/dbraw/zinc/34/51/99/420345199.db2.gz FYKSJPBAGDZKGH-CQSZACIVSA-N 1 2 307.419 1.355 20 30 DDEDLO COc1ccccc1[C@H](CNS(=O)(=O)[C@@H](C)C#N)[NH+](C)C ZINC000416366524 420345208 /nfs/dbraw/zinc/34/52/08/420345208.db2.gz CVQVWUVWRVMPHN-AAEUAGOBSA-N 1 2 311.407 1.129 20 30 DDEDLO C[C@H](NC(=O)NCC1CCC(C#N)CC1)[C@H](C)[NH+]1CCOCC1 ZINC000440982246 420625067 /nfs/dbraw/zinc/62/50/67/420625067.db2.gz APCSJNSGMBQDKK-FXQLRMTLSA-N 1 2 322.453 1.725 20 30 DDEDLO C=C(CC)CNC(=O)NC[C@H]1CCCN1c1cc(NC)[nH+]cn1 ZINC000458467044 420665091 /nfs/dbraw/zinc/66/50/91/420665091.db2.gz CUCMOUQGVNFTQR-CYBMUJFWSA-N 1 2 318.425 1.753 20 30 DDEDLO C=C(CC)CNC(=O)NC[C@H]1CCCN1c1cc(NC)nc[nH+]1 ZINC000458467044 420665099 /nfs/dbraw/zinc/66/50/99/420665099.db2.gz CUCMOUQGVNFTQR-CYBMUJFWSA-N 1 2 318.425 1.753 20 30 DDEDLO C[C@@H](C#N)CN(C)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000442896193 420727621 /nfs/dbraw/zinc/72/76/21/420727621.db2.gz BOXSXAQALTTZAT-ZDUSSCGKSA-N 1 2 318.402 1.712 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)C(=O)N2CCn3cc[nH+]c3C2)c1 ZINC000453644679 420740330 /nfs/dbraw/zinc/74/03/30/420740330.db2.gz FJCDBXBEAYIACE-UHFFFAOYSA-N 1 2 309.329 1.044 20 30 DDEDLO Cc1ccn(CC(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)n1 ZINC000448578531 420864567 /nfs/dbraw/zinc/86/45/67/420864567.db2.gz OMNCZZORZGFKAS-UHFFFAOYSA-N 1 2 323.400 1.408 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000448604469 420870307 /nfs/dbraw/zinc/87/03/07/420870307.db2.gz IEHRXHDKPXTQQG-KYJSFNMBSA-N 1 2 313.401 1.553 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000448604469 420870309 /nfs/dbraw/zinc/87/03/09/420870309.db2.gz IEHRXHDKPXTQQG-KYJSFNMBSA-N 1 2 313.401 1.553 20 30 DDEDLO CCCN1C(=O)CC[C@@H](C(=O)N[C@@H](C)C#N)[C@@H]1c1c[nH+]cn1C ZINC000475321150 420967573 /nfs/dbraw/zinc/96/75/73/420967573.db2.gz XASISXMYIYSOOJ-YWPYICTPSA-N 1 2 317.393 1.138 20 30 DDEDLO C[C@H]1CC=C(C(=O)N(CCC#N)CC[NH+]2CCOCC2)CC1 ZINC000455227667 420986397 /nfs/dbraw/zinc/98/63/97/420986397.db2.gz ULNLLYHBXXLVNV-HNNXBMFYSA-N 1 2 305.422 1.807 20 30 DDEDLO Cc1ccc2[nH+]c(CNC(=O)CNc3ccc(C#N)cn3)cn2c1 ZINC000487958018 421059572 /nfs/dbraw/zinc/05/95/72/421059572.db2.gz XITJTIVQWWXQDN-UHFFFAOYSA-N 1 2 320.356 1.638 20 30 DDEDLO CCc1cccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000449818935 421089387 /nfs/dbraw/zinc/08/93/87/421089387.db2.gz NVGXFNCZBYANJH-UHFFFAOYSA-N 1 2 316.405 1.332 20 30 DDEDLO C=CCC1(NC(=O)[C@H](Cc2c[nH+]c[nH]2)NC(C)=O)CCCCC1 ZINC000456363871 421152107 /nfs/dbraw/zinc/15/21/07/421152107.db2.gz JCXHLDLRFURKIK-HNNXBMFYSA-N 1 2 318.421 1.852 20 30 DDEDLO C=CCC1(NC(=O)[C@H](Cc2c[nH]c[nH+]2)NC(C)=O)CCCCC1 ZINC000456363871 421152110 /nfs/dbraw/zinc/15/21/10/421152110.db2.gz JCXHLDLRFURKIK-HNNXBMFYSA-N 1 2 318.421 1.852 20 30 DDEDLO C=CCC1(C(=O)NCCC[N@@H+]2CCNC(=O)C2)CCCCC1 ZINC000450207877 421162545 /nfs/dbraw/zinc/16/25/45/421162545.db2.gz IJOJFJJMGRIYRK-UHFFFAOYSA-N 1 2 307.438 1.451 20 30 DDEDLO C=CCC1(C(=O)NCCC[N@H+]2CCNC(=O)C2)CCCCC1 ZINC000450207877 421162551 /nfs/dbraw/zinc/16/25/51/421162551.db2.gz IJOJFJJMGRIYRK-UHFFFAOYSA-N 1 2 307.438 1.451 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)N[C@]2(CCc3ccccc3C2)C1=O ZINC000491325894 421196584 /nfs/dbraw/zinc/19/65/84/421196584.db2.gz HZBFUSPYISZZGU-UGSOOPFHSA-N 1 2 311.385 1.377 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)N[C@]2(CCc3ccccc3C2)C1=O ZINC000491325894 421196587 /nfs/dbraw/zinc/19/65/87/421196587.db2.gz HZBFUSPYISZZGU-UGSOOPFHSA-N 1 2 311.385 1.377 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000491671926 421201289 /nfs/dbraw/zinc/20/12/89/421201289.db2.gz QZXVLDZQUWIYFN-LSDHHAIUSA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000491671926 421201292 /nfs/dbraw/zinc/20/12/92/421201292.db2.gz QZXVLDZQUWIYFN-LSDHHAIUSA-N 1 2 308.422 1.968 20 30 DDEDLO C#CC[N@@H+](C[C@]1(C)CC1(Cl)Cl)[C@H]1CCS(=O)(=O)C1 ZINC000491708156 421202027 /nfs/dbraw/zinc/20/20/27/421202027.db2.gz MTVOYDOWXXTADD-QWRGUYRKSA-N 1 2 310.246 1.693 20 30 DDEDLO C#CC[N@H+](C[C@]1(C)CC1(Cl)Cl)[C@H]1CCS(=O)(=O)C1 ZINC000491708156 421202028 /nfs/dbraw/zinc/20/20/28/421202028.db2.gz MTVOYDOWXXTADD-QWRGUYRKSA-N 1 2 310.246 1.693 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@]1(C#N)CCOC1)C2 ZINC000526017023 421323820 /nfs/dbraw/zinc/32/38/20/421323820.db2.gz KZMOSGPTDMLGNA-BZNIZROVSA-N 1 2 302.378 1.437 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@]1(C#N)CCOC1)CC2 ZINC000526017023 421323821 /nfs/dbraw/zinc/32/38/21/421323821.db2.gz KZMOSGPTDMLGNA-BZNIZROVSA-N 1 2 302.378 1.437 20 30 DDEDLO Cc1nc(C2([NH2+]CC(=O)NC3(C#N)CCC3)CCCCC2)no1 ZINC000527377571 421383780 /nfs/dbraw/zinc/38/37/80/421383780.db2.gz SQQYDNHIGJFZLI-UHFFFAOYSA-N 1 2 317.393 1.690 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ccc(CO)o3)CC2)c1C#N ZINC000527315389 421377754 /nfs/dbraw/zinc/37/77/54/421377754.db2.gz SMMVUDKHNVXZRQ-UHFFFAOYSA-N 1 2 315.377 1.008 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnc([C@@H]2CCCO2)s1 ZINC000571288520 421703162 /nfs/dbraw/zinc/70/31/62/421703162.db2.gz CLZGLXLFDNEMCO-IINYFYTJSA-N 1 2 308.407 1.568 20 30 DDEDLO Cc1csc(NC(=O)N[C@H](C)C[NH+]2CCN(C)CC2)c1C#N ZINC000571460681 421718024 /nfs/dbraw/zinc/71/80/24/421718024.db2.gz XHTMQROEROIDDO-GFCCVEGCSA-N 1 2 321.450 1.686 20 30 DDEDLO C[C@@H]1[C@@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)CCN1c1ccccc1 ZINC000571050320 421684745 /nfs/dbraw/zinc/68/47/45/421684745.db2.gz OUZULJDEKSUJEP-HFTRVMKXSA-N 1 2 314.433 1.861 20 30 DDEDLO C[C@@H]1Oc2ccccc2O[C@H]1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000520926896 421766867 /nfs/dbraw/zinc/76/68/67/421766867.db2.gz PVQLEBVWNQEHPL-SGIREYDYSA-N 1 2 303.362 1.175 20 30 DDEDLO CC(C)(C)OC(=O)C[C@@](C)(C#N)C(=O)N1CC(n2cc[nH+]c2)C1 ZINC000540952123 421778814 /nfs/dbraw/zinc/77/88/14/421778814.db2.gz ZVKSRJCFIXTSCK-INIZCTEOSA-N 1 2 318.377 1.528 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(Cc2ccc(C#N)cn2)CC1 ZINC000581048363 421888799 /nfs/dbraw/zinc/88/87/99/421888799.db2.gz POUXCHAZHUXVMN-UHFFFAOYSA-N 1 2 311.389 1.876 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]CCC(=O)Nc2sccc2C#N)n1 ZINC000544474932 421845063 /nfs/dbraw/zinc/84/50/63/421845063.db2.gz ALQWEOXUCMVHDI-QMMMGPOBSA-N 1 2 305.363 1.991 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCCNC(=O)c1ccccc1 ZINC000573985161 422044318 /nfs/dbraw/zinc/04/43/18/422044318.db2.gz ZOCCKHMRYBJTRN-KRWDZBQOSA-N 1 2 316.405 1.157 20 30 DDEDLO N#Cc1cncc(N[C@@H](C[NH+]2CCOCC2)c2ccccc2)n1 ZINC000575240942 422278755 /nfs/dbraw/zinc/27/87/55/422278755.db2.gz QKLNRWNYJXGIRK-INIZCTEOSA-N 1 2 309.373 1.834 20 30 DDEDLO CC(C)c1nnc(C[N@H+](C)CCCc2[nH]nc(N)c2C#N)s1 ZINC000575321453 422288673 /nfs/dbraw/zinc/28/86/73/422288673.db2.gz BMBBFQGYWWQLJX-UHFFFAOYSA-N 1 2 319.438 1.903 20 30 DDEDLO CC(C)c1nnc(C[N@@H+](C)CCCc2[nH]nc(N)c2C#N)s1 ZINC000575321453 422288675 /nfs/dbraw/zinc/28/86/75/422288675.db2.gz BMBBFQGYWWQLJX-UHFFFAOYSA-N 1 2 319.438 1.903 20 30 DDEDLO Cc1ccc2c(c1)CC[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)O2 ZINC000575806972 422328454 /nfs/dbraw/zinc/32/84/54/422328454.db2.gz WJZRLLWHGFYWML-WBVHZDCISA-N 1 2 301.390 1.649 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@]2([NH+]3CCOCC3)CCSC2)C1 ZINC000630809303 422349567 /nfs/dbraw/zinc/34/95/67/422349567.db2.gz XQFBTXSPOHYUCQ-INIZCTEOSA-N 1 2 310.463 1.667 20 30 DDEDLO C[C@H](CNc1nc(C#N)c(Cl)s1)C[NH+]1CCOCC1 ZINC000596137241 422366283 /nfs/dbraw/zinc/36/62/83/422366283.db2.gz XXSKQYBFIBBPFL-SECBINFHSA-N 1 2 300.815 2.048 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)Cn1ccnc1C(=O)C1CCCCC1 ZINC000576584420 422375378 /nfs/dbraw/zinc/37/53/78/422375378.db2.gz FXRANIUYIJVXTC-OAHLLOKOSA-N 1 2 318.421 1.852 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)Cn1ccnc1C(=O)C1CCCCC1 ZINC000576584420 422375383 /nfs/dbraw/zinc/37/53/83/422375383.db2.gz FXRANIUYIJVXTC-OAHLLOKOSA-N 1 2 318.421 1.852 20 30 DDEDLO Cc1ccc(NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)nc1C#N ZINC000599184866 422405036 /nfs/dbraw/zinc/40/50/36/422405036.db2.gz LQUKXPKQEZSVHY-INIZCTEOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)nc1C#N ZINC000599184866 422405040 /nfs/dbraw/zinc/40/50/40/422405040.db2.gz LQUKXPKQEZSVHY-INIZCTEOSA-N 1 2 323.400 1.970 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCO[C@@]2(CCSC2)C1)C1CC1 ZINC000577950731 422462770 /nfs/dbraw/zinc/46/27/70/422462770.db2.gz ZWRIPECVLIEQLR-CABCVRRESA-N 1 2 309.435 1.003 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCO[C@@]2(CCSC2)C1)C1CC1 ZINC000577950731 422462775 /nfs/dbraw/zinc/46/27/75/422462775.db2.gz ZWRIPECVLIEQLR-CABCVRRESA-N 1 2 309.435 1.003 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([N@H+](C)Cc3ncccn3)C2)C1 ZINC000632636344 422485223 /nfs/dbraw/zinc/48/52/23/422485223.db2.gz JHHSWCCSFMFYRI-CQSZACIVSA-N 1 2 300.406 1.866 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ncccn3)C2)C1 ZINC000632636344 422485228 /nfs/dbraw/zinc/48/52/28/422485228.db2.gz JHHSWCCSFMFYRI-CQSZACIVSA-N 1 2 300.406 1.866 20 30 DDEDLO Cn1ncc2c1nc(N[C@@H]1CCCc3cc(C#N)ccc31)[nH+]c2N ZINC000578227029 422525732 /nfs/dbraw/zinc/52/57/32/422525732.db2.gz HFBKZSMMXADWMZ-CQSZACIVSA-N 1 2 319.372 2.307 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000632720757 422541224 /nfs/dbraw/zinc/54/12/24/422541224.db2.gz LQBKELGKIGTXIF-UHFFFAOYSA-N 1 2 310.419 1.416 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000636005834 422557194 /nfs/dbraw/zinc/55/71/94/422557194.db2.gz PUCVXSUBFORBNC-DOTOQJQBSA-N 1 2 318.417 1.713 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000636005834 422557200 /nfs/dbraw/zinc/55/72/00/422557200.db2.gz PUCVXSUBFORBNC-DOTOQJQBSA-N 1 2 318.417 1.713 20 30 DDEDLO Cc1nnccc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000533116789 269928700 /nfs/dbraw/zinc/92/87/00/269928700.db2.gz NMANFEUXUVFLTF-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)c1cc(-c2cccc(C#N)c2)no1 ZINC000356783989 269936670 /nfs/dbraw/zinc/93/66/70/269936670.db2.gz QFHLUDBYAYZMNN-UHFFFAOYSA-N 1 2 307.313 1.877 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(NC(=O)C(C)C)CC2)nn1 ZINC000653494582 423499954 /nfs/dbraw/zinc/49/99/54/423499954.db2.gz GPQKGDPLFRYOBH-UHFFFAOYSA-N 1 2 305.426 1.591 20 30 DDEDLO C=CCC1(NS(=O)(=O)CCC[NH+]2CCOCC2)CCCC1 ZINC000657186636 424200472 /nfs/dbraw/zinc/20/04/72/424200472.db2.gz GACOPKGSIHOEHO-UHFFFAOYSA-N 1 2 316.467 1.517 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@H+](Cc3ccc(C#N)c(F)c3)CCN2C1=O ZINC000375982163 266142576 /nfs/dbraw/zinc/14/25/76/266142576.db2.gz VXPUTFXDEKTQET-CQSZACIVSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@@H+](Cc3ccc(C#N)c(F)c3)CCN2C1=O ZINC000375982163 266142577 /nfs/dbraw/zinc/14/25/77/266142577.db2.gz VXPUTFXDEKTQET-CQSZACIVSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1CCN(C(=O)c2cscc2C#N)C[C@@H]1c1[nH]cc[nH+]1 ZINC000348025313 266149125 /nfs/dbraw/zinc/14/91/25/266149125.db2.gz XWELBXLKSVDGRW-CYBMUJFWSA-N 1 2 315.402 1.862 20 30 DDEDLO CC[N@@H+]1CCN(CCS(=O)(=O)c2cccc(C#N)c2)[C@H](C)C1 ZINC000352661457 266151148 /nfs/dbraw/zinc/15/11/48/266151148.db2.gz XETDWTOYFJGALD-CQSZACIVSA-N 1 2 321.446 1.358 20 30 DDEDLO CC[N@H+]1CCN(CCS(=O)(=O)c2cccc(C#N)c2)[C@H](C)C1 ZINC000352661457 266151151 /nfs/dbraw/zinc/15/11/51/266151151.db2.gz XETDWTOYFJGALD-CQSZACIVSA-N 1 2 321.446 1.358 20 30 DDEDLO CCN(Cc1c[nH+]c2ccc(C#N)cn12)[C@H]1CCS(=O)(=O)C1 ZINC000505608449 267071274 /nfs/dbraw/zinc/07/12/74/267071274.db2.gz XMMXMIXZAKIDHT-ZDUSSCGKSA-N 1 2 318.402 1.215 20 30 DDEDLO CC[N@H+](Cc1cnc2ccc(C#N)cn12)[C@H]1CCS(=O)(=O)C1 ZINC000505608449 267071275 /nfs/dbraw/zinc/07/12/75/267071275.db2.gz XMMXMIXZAKIDHT-ZDUSSCGKSA-N 1 2 318.402 1.215 20 30 DDEDLO CC[N@@H+](Cc1cnc2ccc(C#N)cn12)[C@H]1CCS(=O)(=O)C1 ZINC000505608449 267071276 /nfs/dbraw/zinc/07/12/76/267071276.db2.gz XMMXMIXZAKIDHT-ZDUSSCGKSA-N 1 2 318.402 1.215 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCC(F)(F)[C@H](CO)C1 ZINC000361419876 267138285 /nfs/dbraw/zinc/13/82/85/267138285.db2.gz IGYFRTITCXASEY-YPMHNXCESA-N 1 2 323.343 1.835 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCC(F)(F)[C@H](CO)C1 ZINC000361419876 267138287 /nfs/dbraw/zinc/13/82/87/267138287.db2.gz IGYFRTITCXASEY-YPMHNXCESA-N 1 2 323.343 1.835 20 30 DDEDLO C[C@H](C[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1)N1CCCC[C@H]1C ZINC000360061526 267162946 /nfs/dbraw/zinc/16/29/46/267162946.db2.gz SBRJJZBIPMCERK-CPUCHLNUSA-N 1 2 314.433 1.937 20 30 DDEDLO C[C@H](NC(=O)c1cnn(C)c1C#N)c1cccc(-n2cc[nH+]c2)c1 ZINC000356257006 267178087 /nfs/dbraw/zinc/17/80/87/267178087.db2.gz SOEACUWZCVNVPP-LBPRGKRZSA-N 1 2 320.356 1.968 20 30 DDEDLO C[C@H]([NH2+]Cc1nc(C#N)cs1)C(=O)NCc1ccccc1 ZINC000352843393 267185309 /nfs/dbraw/zinc/18/53/09/267185309.db2.gz ZNDRQKVTUZEXCW-NSHDSACASA-N 1 2 300.387 1.809 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([NH+]3CC(O)(C4CC4)C3)C2=O)cc1 ZINC000373183738 268111134 /nfs/dbraw/zinc/11/11/34/268111134.db2.gz TUISXPADHIIGAR-MRXNPFEDSA-N 1 2 311.385 1.510 20 30 DDEDLO N#Cc1ccnc(NC[C@]2([NH+]3CCOCC3)CCSC2)c1 ZINC000376089290 268298490 /nfs/dbraw/zinc/29/84/90/268298490.db2.gz RQWHIUOESHENSJ-OAHLLOKOSA-N 1 2 304.419 1.573 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000345690800 272305082 /nfs/dbraw/zinc/30/50/82/272305082.db2.gz LTUOFVLJXPCNGF-AWEZNQCLSA-N 1 2 311.301 1.914 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CC(=O)Nc3c[nH+]ccc32)c1 ZINC000348053378 272794478 /nfs/dbraw/zinc/79/44/78/272794478.db2.gz UUMNXLGWNDQDHY-UHFFFAOYSA-N 1 2 314.326 1.101 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N[C@H]1CCc2[nH+]c(C)cn2C1 ZINC000365137302 277803882 /nfs/dbraw/zinc/80/38/82/277803882.db2.gz WGMYJQWNOFCUPU-SQWLQELKSA-N 1 2 303.406 1.994 20 30 DDEDLO Cc1n[nH]c(C)c1C[N@@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000355188068 293099075 /nfs/dbraw/zinc/09/90/75/293099075.db2.gz ABYYFXBKIGFPOC-SCLBCKFNSA-N 1 2 321.384 1.872 20 30 DDEDLO Cc1n[nH]c(C)c1C[N@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000355188068 293099077 /nfs/dbraw/zinc/09/90/77/293099077.db2.gz ABYYFXBKIGFPOC-SCLBCKFNSA-N 1 2 321.384 1.872 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCn2cc[nH+]c2C1)N1CCOC[C@H](C2CCC2)C1 ZINC000330229866 295385431 /nfs/dbraw/zinc/38/54/31/295385431.db2.gz WDRYYLURMYMXOP-HUUCEWRRSA-N 1 2 318.421 1.860 20 30 DDEDLO COc1ccncc1C[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000569300002 308139374 /nfs/dbraw/zinc/13/93/74/308139374.db2.gz NHMFDUIVLAKACR-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CC(C)O[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000572153133 308213775 /nfs/dbraw/zinc/21/37/75/308213775.db2.gz DLEHNPHXOPTRSM-OAHLLOKOSA-N 1 2 322.430 1.831 20 30 DDEDLO CC(C)O[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000572153133 308213777 /nfs/dbraw/zinc/21/37/77/308213777.db2.gz DLEHNPHXOPTRSM-OAHLLOKOSA-N 1 2 322.430 1.831 20 30 DDEDLO N#Cc1ccc(C(=O)NCC2CC[NH+](CC(F)F)CC2)cc1O ZINC000578024803 308431697 /nfs/dbraw/zinc/43/16/97/308431697.db2.gz FQDDBEZWSAGOGI-UHFFFAOYSA-N 1 2 323.343 1.971 20 30 DDEDLO CC(C)c1ncc(Cl)c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)n1 ZINC000558903028 331956265 /nfs/dbraw/zinc/95/62/65/331956265.db2.gz OPQQTQRKKUYAOI-AWEZNQCLSA-N 1 2 309.801 1.827 20 30 DDEDLO N#CCCC1CC[NH+](CC(=O)Nc2nc(C3CC3)no2)CC1 ZINC000572598446 332051322 /nfs/dbraw/zinc/05/13/22/332051322.db2.gz JQMRJJISRVXIHN-UHFFFAOYSA-N 1 2 303.366 1.323 20 30 DDEDLO CC[C@H]([NH2+]CC(=O)NCC#N)c1nc(C(F)(F)F)cs1 ZINC000185940621 332270512 /nfs/dbraw/zinc/27/05/12/332270512.db2.gz HZYSISCFFXEYFC-ZETCQYMHSA-N 1 2 306.313 1.842 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000560489643 332345686 /nfs/dbraw/zinc/34/56/86/332345686.db2.gz XAXUSRWHNUYXAH-HNNXBMFYSA-N 1 2 317.433 1.926 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@@H](C)c1nc(C)c(C(=O)OCC)s1 ZINC000151740826 332611005 /nfs/dbraw/zinc/61/10/05/332611005.db2.gz WAPSURBSDAGNQP-MNOVXSKESA-N 1 2 323.418 1.417 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCO[C@]2(CCSC2)C1 ZINC000563073571 333324954 /nfs/dbraw/zinc/32/49/54/333324954.db2.gz WWLRBJTWTZBTIS-GDBMZVCRSA-N 1 2 310.463 1.783 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCO[C@]2(CCSC2)C1 ZINC000563073571 333324955 /nfs/dbraw/zinc/32/49/55/333324955.db2.gz WWLRBJTWTZBTIS-GDBMZVCRSA-N 1 2 310.463 1.783 20 30 DDEDLO CC1CC(C#N)(C(=O)NC[C@@]2([NH+]3CCOCC3)CCSC2)C1 ZINC000532065122 334716062 /nfs/dbraw/zinc/71/60/62/334716062.db2.gz JUDDVAGJFRWFEG-BCLQGDPASA-N 1 2 323.462 1.250 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C(=O)C2(C#N)CC(C)C2)CC1 ZINC000532319362 336239518 /nfs/dbraw/zinc/23/95/18/336239518.db2.gz XQJPKPHTDOXDQN-UHFFFAOYSA-N 1 2 316.405 1.876 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@](O)(C(F)(F)F)C2)c([N+](=O)[O-])c1 ZINC000583206364 337270824 /nfs/dbraw/zinc/27/08/24/337270824.db2.gz BJIVHZRYWUAADI-GFCCVEGCSA-N 1 2 315.251 1.966 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@](O)(C(F)(F)F)C2)c([N+](=O)[O-])c1 ZINC000583206364 337270825 /nfs/dbraw/zinc/27/08/25/337270825.db2.gz BJIVHZRYWUAADI-GFCCVEGCSA-N 1 2 315.251 1.966 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000583259532 337292505 /nfs/dbraw/zinc/29/25/05/337292505.db2.gz CNNNNACIUUPXTP-KKUMJFAQSA-N 1 2 318.421 1.959 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCCC[C@@H]1COC(=O)NC1CCCC1 ZINC000496725874 340011397 /nfs/dbraw/zinc/01/13/97/340011397.db2.gz GABJZFHYIYHEGC-CQSZACIVSA-N 1 2 322.409 1.149 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCCC[C@@H]1COC(=O)NC1CCCC1 ZINC000496725874 340011398 /nfs/dbraw/zinc/01/13/98/340011398.db2.gz GABJZFHYIYHEGC-CQSZACIVSA-N 1 2 322.409 1.149 20 30 DDEDLO C[C@@H]1CC[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)[C@@H](C(N)=O)C1 ZINC000249533056 341399031 /nfs/dbraw/zinc/39/90/31/341399031.db2.gz BMDSDNYNCISERK-ZIAGYGMSSA-N 1 2 320.437 1.257 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)[C@@H](C(N)=O)C1 ZINC000249533056 341399032 /nfs/dbraw/zinc/39/90/32/341399032.db2.gz BMDSDNYNCISERK-ZIAGYGMSSA-N 1 2 320.437 1.257 20 30 DDEDLO COc1cccc(OCCC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000577956375 341958012 /nfs/dbraw/zinc/95/80/12/341958012.db2.gz ZSCLTDUEKLMVOK-INIZCTEOSA-N 1 2 305.378 1.424 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCn2ccc3ccccc3c2=O)nn1 ZINC000656459383 484147166 /nfs/dbraw/zinc/14/71/66/484147166.db2.gz DIVFLJARBFPDSA-UHFFFAOYSA-N 1 2 321.384 1.406 20 30 DDEDLO COC[C@@H]1CCCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000307765608 484377185 /nfs/dbraw/zinc/37/71/85/484377185.db2.gz BOSXZNXSNBONGE-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO COC[C@@H]1CCCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000307765608 484377190 /nfs/dbraw/zinc/37/71/90/484377190.db2.gz BOSXZNXSNBONGE-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)C(=O)N2CCn3cc(C)[nH+]c3C2)C1 ZINC000658663803 484436912 /nfs/dbraw/zinc/43/69/12/484436912.db2.gz JPKUQCZRBNDRAT-AWEZNQCLSA-N 1 2 316.405 1.348 20 30 DDEDLO C[C@H]1C[N@H+](C[C@@H](O)COc2ccc(CC#N)cc2)CCC1=O ZINC000670087874 484752233 /nfs/dbraw/zinc/75/22/33/484752233.db2.gz DUZPZVHAEAVQOE-DZGCQCFKSA-N 1 2 302.374 1.403 20 30 DDEDLO C[C@H]1C[N@@H+](C[C@@H](O)COc2ccc(CC#N)cc2)CCC1=O ZINC000670087874 484752241 /nfs/dbraw/zinc/75/22/41/484752241.db2.gz DUZPZVHAEAVQOE-DZGCQCFKSA-N 1 2 302.374 1.403 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000671395094 485009970 /nfs/dbraw/zinc/00/99/70/485009970.db2.gz AFBMTBGIFLSXEO-UHFFFAOYSA-N 1 2 301.390 1.153 20 30 DDEDLO CCC#C[C@H](C)[NH+]1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682370222 485574591 /nfs/dbraw/zinc/57/45/91/485574591.db2.gz UDBQHNPAHBLFJQ-AWEZNQCLSA-N 1 2 321.446 1.258 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)c2ccc(C)c(OC)c2)CC1 ZINC000677133059 486398100 /nfs/dbraw/zinc/39/81/00/486398100.db2.gz GBCINOMJUYNVKH-UHFFFAOYSA-N 1 2 316.401 1.411 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(C(=O)C(CC)CC)CC2)C1=O ZINC000684999584 486483944 /nfs/dbraw/zinc/48/39/44/486483944.db2.gz KZYFSCRLYCDRSQ-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO Cc1noc([C@@H]2CC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000332390308 534459478 /nfs/dbraw/zinc/45/94/78/534459478.db2.gz RCWYMMADBXUYQW-IUODEOHRSA-N 1 2 305.382 1.222 20 30 DDEDLO Cc1noc([C@@H]2CC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000332390308 534459488 /nfs/dbraw/zinc/45/94/88/534459488.db2.gz RCWYMMADBXUYQW-IUODEOHRSA-N 1 2 305.382 1.222 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)N[C@H]1CCCC[C@@H]1O)CCC2 ZINC000330269422 534509196 /nfs/dbraw/zinc/50/91/96/534509196.db2.gz JGUAFMSADYGNDV-RDBSUJKOSA-N 1 2 306.410 1.876 20 30 DDEDLO O=C(NCC1([NH+]2CCOCC2)CC1)N1CC[C@H](O)C12CCCC2 ZINC000330352297 534750791 /nfs/dbraw/zinc/75/07/91/534750791.db2.gz NERYXGJAHHGRMF-AWEZNQCLSA-N 1 2 323.437 1.145 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)N[C@@H]2CCC[C@H]2C#N)CCO1 ZINC000333004744 534813467 /nfs/dbraw/zinc/81/34/67/534813467.db2.gz DOYZPUDFSYLHAV-JLNYLFASSA-N 1 2 317.393 1.632 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2cc(C)ccc2C)C1 ZINC000330957194 526400688 /nfs/dbraw/zinc/40/06/88/526400688.db2.gz VGJHGAJOWVSMMK-CYBMUJFWSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2cc(C)ccc2C)C1 ZINC000330957194 526400693 /nfs/dbraw/zinc/40/06/93/526400693.db2.gz VGJHGAJOWVSMMK-CYBMUJFWSA-N 1 2 304.394 1.450 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@@H](CO)c2cccc(Cl)c2F)C1=O ZINC000337202832 526484754 /nfs/dbraw/zinc/48/47/54/526484754.db2.gz FOTWZDBBZXFPLM-OLZOCXBDSA-N 1 2 312.772 1.889 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000451755222 526525624 /nfs/dbraw/zinc/52/56/24/526525624.db2.gz DHQKVMRIRSBLBY-FQEVSTJZSA-N 1 2 300.468 1.644 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000451755222 526525628 /nfs/dbraw/zinc/52/56/28/526525628.db2.gz DHQKVMRIRSBLBY-FQEVSTJZSA-N 1 2 300.468 1.644 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cnc3ccccc3c2)CC1 ZINC000337144376 526539129 /nfs/dbraw/zinc/53/91/29/526539129.db2.gz ZZKJDVUGUCNUQE-UHFFFAOYSA-N 1 2 324.428 1.655 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](C)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC000337477972 526621519 /nfs/dbraw/zinc/62/15/19/526621519.db2.gz ZJMJBGPWZHWOAL-HUUCEWRRSA-N 1 2 317.433 1.829 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](C)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC000337477972 526621521 /nfs/dbraw/zinc/62/15/21/526621521.db2.gz ZJMJBGPWZHWOAL-HUUCEWRRSA-N 1 2 317.433 1.829 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H](c2ccccc2)[C@@](F)(CO)C1 ZINC000451275860 526635838 /nfs/dbraw/zinc/63/58/38/526635838.db2.gz YLWRTIKPSFMFIX-QRTARXTBSA-N 1 2 306.381 1.477 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H](c2ccccc2)[C@@](F)(CO)C1 ZINC000451275860 526635843 /nfs/dbraw/zinc/63/58/43/526635843.db2.gz YLWRTIKPSFMFIX-QRTARXTBSA-N 1 2 306.381 1.477 20 30 DDEDLO C#CCN(C(=O)N[C@H](C)C[NH+]1CCN(C)CC1)C1CCCCC1 ZINC000490630683 526772600 /nfs/dbraw/zinc/77/26/00/526772600.db2.gz RTIQJLDLMGOBKC-MRXNPFEDSA-N 1 2 320.481 1.600 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C)OCC ZINC000342257761 526811003 /nfs/dbraw/zinc/81/10/03/526811003.db2.gz GEFUJJBGRBFITD-JYJNAYRXSA-N 1 2 310.438 1.679 20 30 DDEDLO C#CC[N@@H+](CCCC1(C#N)CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000490680326 526900531 /nfs/dbraw/zinc/90/05/31/526900531.db2.gz ZICMEUMWGQXWTI-OAHLLOKOSA-N 1 2 324.446 1.209 20 30 DDEDLO C#CC[N@H+](CCCC1(C#N)CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000490680326 526900535 /nfs/dbraw/zinc/90/05/35/526900535.db2.gz ZICMEUMWGQXWTI-OAHLLOKOSA-N 1 2 324.446 1.209 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1C[C@H]2CCS(=O)(=O)[C@H]2C1 ZINC000348784707 526935202 /nfs/dbraw/zinc/93/52/02/526935202.db2.gz OUAVGWSOJAMCBT-ZBFHGGJFSA-N 1 2 307.415 1.870 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1C[C@H]2CCS(=O)(=O)[C@H]2C1 ZINC000348784707 526935205 /nfs/dbraw/zinc/93/52/05/526935205.db2.gz OUAVGWSOJAMCBT-ZBFHGGJFSA-N 1 2 307.415 1.870 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccccc2CCOC)CC1 ZINC000490741334 526951764 /nfs/dbraw/zinc/95/17/64/526951764.db2.gz UIGFKIMSYUWQRC-UHFFFAOYSA-N 1 2 315.417 1.065 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000330654343 526958065 /nfs/dbraw/zinc/95/80/65/526958065.db2.gz SKJIUPMXZOTPAA-AWEZNQCLSA-N 1 2 317.389 1.193 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000331351018 526959571 /nfs/dbraw/zinc/95/95/71/526959571.db2.gz YTCKKFSLCIHHSN-AWEZNQCLSA-N 1 2 323.418 1.804 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000341546251 526964102 /nfs/dbraw/zinc/96/41/02/526964102.db2.gz MAXRIFCKVPNTPN-KBPBESRZSA-N 1 2 319.405 1.485 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@H](C)c2ccc(Cl)cc2)CC1 ZINC000491164889 527003323 /nfs/dbraw/zinc/00/33/23/527003323.db2.gz GMFXFAIIYSZUPP-CQSZACIVSA-N 1 2 319.836 1.768 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)CCOCC1 ZINC000424638790 527053295 /nfs/dbraw/zinc/05/32/95/527053295.db2.gz LLADJKRHROYTGD-UHFFFAOYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+]2CCOC(C)(C)C2)CCOCC1 ZINC000424638790 527053300 /nfs/dbraw/zinc/05/33/00/527053300.db2.gz LLADJKRHROYTGD-UHFFFAOYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000341859227 527064977 /nfs/dbraw/zinc/06/49/77/527064977.db2.gz LAPXOHYNWGJWBH-CYBMUJFWSA-N 1 2 302.378 1.627 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000491623008 527236873 /nfs/dbraw/zinc/23/68/73/527236873.db2.gz FMOKNGVCZREQOD-IRXDYDNUSA-N 1 2 315.417 1.598 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000491623008 527236878 /nfs/dbraw/zinc/23/68/78/527236878.db2.gz FMOKNGVCZREQOD-IRXDYDNUSA-N 1 2 315.417 1.598 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000491254375 527298349 /nfs/dbraw/zinc/29/83/49/527298349.db2.gz SLZOKWYHBSZQNZ-LLVKDONJSA-N 1 2 319.311 1.484 20 30 DDEDLO CC(C)CNC(=O)NC(=O)C[N@@H+]1CCCC[C@@H]1c1cnn(C)c1 ZINC000330507502 527590917 /nfs/dbraw/zinc/59/09/17/527590917.db2.gz NDYKDEHZTOERBA-CQSZACIVSA-N 1 2 321.425 1.633 20 30 DDEDLO CC(C)CNC(=O)NC(=O)C[N@H+]1CCCC[C@@H]1c1cnn(C)c1 ZINC000330507502 527590923 /nfs/dbraw/zinc/59/09/23/527590923.db2.gz NDYKDEHZTOERBA-CQSZACIVSA-N 1 2 321.425 1.633 20 30 DDEDLO CCCN(C)C(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000330738323 527813528 /nfs/dbraw/zinc/81/35/28/527813528.db2.gz OXSYDMVQYGPZJU-UHFFFAOYSA-N 1 2 319.409 1.357 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCCc2c(cnn2C)C1 ZINC000334058140 527929911 /nfs/dbraw/zinc/92/99/11/527929911.db2.gz WXSCJDGUFCIRLK-INIZCTEOSA-N 1 2 303.410 1.223 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCCc2c(cnn2C)C1 ZINC000334058140 527929912 /nfs/dbraw/zinc/92/99/12/527929912.db2.gz WXSCJDGUFCIRLK-INIZCTEOSA-N 1 2 303.410 1.223 20 30 DDEDLO CC(C)c1ccoc1C(=O)NC[C@@](C)(O)C[NH+]1CCOCC1 ZINC000330470471 528210199 /nfs/dbraw/zinc/21/01/99/528210199.db2.gz MKGNSIDZXNQYGL-MRXNPFEDSA-N 1 2 310.394 1.791 20 30 DDEDLO CC(C)Oc1cccnc1C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000331166651 528263147 /nfs/dbraw/zinc/26/31/47/528263147.db2.gz ICEMZHWKQKLEFI-CYBMUJFWSA-N 1 2 307.394 1.894 20 30 DDEDLO CC(C)Oc1cccnc1C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000331166651 528263149 /nfs/dbraw/zinc/26/31/49/528263149.db2.gz ICEMZHWKQKLEFI-CYBMUJFWSA-N 1 2 307.394 1.894 20 30 DDEDLO CCN(c1ccc(C(=O)NC[C@@H]2C[N@H+](C)CCO2)cn1)C(C)C ZINC000330399933 528926163 /nfs/dbraw/zinc/92/61/63/528926163.db2.gz RSZJFANJRSHOGU-OAHLLOKOSA-N 1 2 320.437 1.952 20 30 DDEDLO CCN(c1ccc(C(=O)NC[C@@H]2C[N@@H+](C)CCO2)cn1)C(C)C ZINC000330399933 528926167 /nfs/dbraw/zinc/92/61/67/528926167.db2.gz RSZJFANJRSHOGU-OAHLLOKOSA-N 1 2 320.437 1.952 20 30 DDEDLO CC(C)[C@H](NS(=O)(=O)c1ccc(C#N)nc1)c1[nH]cc[nH+]1 ZINC000451550402 529124382 /nfs/dbraw/zinc/12/43/82/529124382.db2.gz RMJASGQEZJGKAM-LBPRGKRZSA-N 1 2 305.363 1.352 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCn3cncc3C2)C1 ZINC000971811037 695100109 /nfs/dbraw/zinc/10/01/09/695100109.db2.gz OGIKRMZGBVZEBE-UONOGXRCSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCn3cncc3C2)C1 ZINC000971811037 695100111 /nfs/dbraw/zinc/10/01/11/695100111.db2.gz OGIKRMZGBVZEBE-UONOGXRCSA-N 1 2 322.840 1.731 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccnc(F)c3)C2)C1 ZINC000972290791 695206758 /nfs/dbraw/zinc/20/67/58/695206758.db2.gz HKBPDTIGQHGSHY-KRWDZBQOSA-N 1 2 317.364 1.161 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccnc(F)c3)C2)C1 ZINC000972290791 695206760 /nfs/dbraw/zinc/20/67/60/695206760.db2.gz HKBPDTIGQHGSHY-KRWDZBQOSA-N 1 2 317.364 1.161 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3sccc3F)C2)C1 ZINC000972367269 695230894 /nfs/dbraw/zinc/23/08/94/695230894.db2.gz HTXZITQOOAGKBU-OAHLLOKOSA-N 1 2 310.394 1.990 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3sccc3F)C2)C1 ZINC000972367269 695230896 /nfs/dbraw/zinc/23/08/96/695230896.db2.gz HTXZITQOOAGKBU-OAHLLOKOSA-N 1 2 310.394 1.990 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc4[nH]ccc4c3)C2)C1 ZINC000972525897 695272587 /nfs/dbraw/zinc/27/25/87/695272587.db2.gz FHEBSPZPOIJGQS-LJQANCHMSA-N 1 2 323.396 1.718 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc4[nH]ccc4c3)C2)C1 ZINC000972525897 695272588 /nfs/dbraw/zinc/27/25/88/695272588.db2.gz FHEBSPZPOIJGQS-LJQANCHMSA-N 1 2 323.396 1.718 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ocnc3CC)C2)C1 ZINC000972544219 695277810 /nfs/dbraw/zinc/27/78/10/695277810.db2.gz TXWUZBDTDVBVNW-QGZVFWFLSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ocnc3CC)C2)C1 ZINC000972544219 695277812 /nfs/dbraw/zinc/27/78/12/695277812.db2.gz TXWUZBDTDVBVNW-QGZVFWFLSA-N 1 2 317.389 1.177 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)C2)C1 ZINC000972563480 695284172 /nfs/dbraw/zinc/28/41/72/695284172.db2.gz WJCZXLSTKSEOPH-AIANPOQGSA-N 1 2 306.406 1.043 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)C2)C1 ZINC000972563480 695284176 /nfs/dbraw/zinc/28/41/76/695284176.db2.gz WJCZXLSTKSEOPH-AIANPOQGSA-N 1 2 306.406 1.043 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCCCO3)C2)C1 ZINC000972578593 695287840 /nfs/dbraw/zinc/28/78/40/695287840.db2.gz STRNAKANQSBJKO-FUHWJXTLSA-N 1 2 322.449 1.825 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCCCO3)C2)C1 ZINC000972578593 695287841 /nfs/dbraw/zinc/28/78/41/695287841.db2.gz STRNAKANQSBJKO-FUHWJXTLSA-N 1 2 322.449 1.825 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)cc3C)C2)C1 ZINC000972662310 695312656 /nfs/dbraw/zinc/31/26/56/695312656.db2.gz OPYPERVTMCWHKY-IBGZPJMESA-N 1 2 312.413 1.854 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)cc3C)C2)C1 ZINC000972662310 695312659 /nfs/dbraw/zinc/31/26/59/695312659.db2.gz OPYPERVTMCWHKY-IBGZPJMESA-N 1 2 312.413 1.854 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(C)[nH]nc2C(F)(F)F)CC1 ZINC000746592330 700032237 /nfs/dbraw/zinc/03/22/37/700032237.db2.gz KODQIMHXUMAHKY-UHFFFAOYSA-N 1 2 314.311 1.518 20 30 DDEDLO C#CCC[C@@H](O)CNc1nc(NCCO)c2ccccc2[nH+]1 ZINC000798421121 700064190 /nfs/dbraw/zinc/06/41/90/700064190.db2.gz JRWHAVUICAFDLY-GFCCVEGCSA-N 1 2 300.362 1.220 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cn2ccccc2=O)C(C)(C)C1 ZINC000977565703 696214740 /nfs/dbraw/zinc/21/47/40/696214740.db2.gz KFILRBSSXUCQEV-ZDUSSCGKSA-N 1 2 323.824 1.427 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cn2ccccc2=O)C(C)(C)C1 ZINC000977565703 696214742 /nfs/dbraw/zinc/21/47/42/696214742.db2.gz KFILRBSSXUCQEV-ZDUSSCGKSA-N 1 2 323.824 1.427 20 30 DDEDLO C#CCOc1ccc(NC(=O)N(C)CC[NH+]2CCOCC2)cc1 ZINC000067577802 696362318 /nfs/dbraw/zinc/36/23/18/696362318.db2.gz DHZPBZAVGMBAQS-UHFFFAOYSA-N 1 2 317.389 1.495 20 30 DDEDLO COC[C@@]1(C)CC(=O)N(C[N@H+](C)Cc2ccc(C#N)cc2)C1 ZINC000083105852 696550940 /nfs/dbraw/zinc/55/09/40/696550940.db2.gz UOYUJWBWPQVODN-KRWDZBQOSA-N 1 2 301.390 1.833 20 30 DDEDLO COC[C@@]1(C)CC(=O)N(C[N@@H+](C)Cc2ccc(C#N)cc2)C1 ZINC000083105852 696550942 /nfs/dbraw/zinc/55/09/42/696550942.db2.gz UOYUJWBWPQVODN-KRWDZBQOSA-N 1 2 301.390 1.833 20 30 DDEDLO C=CCC[N@H+]1CCCN(C(=O)[C@@]2(C)CCCS2(=O)=O)CC1 ZINC000982172513 697048652 /nfs/dbraw/zinc/04/86/52/697048652.db2.gz BJUQEGOFCNGYFX-OAHLLOKOSA-N 1 2 314.451 1.064 20 30 DDEDLO C=CCC[N@@H+]1CCCN(C(=O)[C@@]2(C)CCCS2(=O)=O)CC1 ZINC000982172513 697048654 /nfs/dbraw/zinc/04/86/54/697048654.db2.gz BJUQEGOFCNGYFX-OAHLLOKOSA-N 1 2 314.451 1.064 20 30 DDEDLO C#CCCCNC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000178480529 697425261 /nfs/dbraw/zinc/42/52/61/697425261.db2.gz ZKVVILDUJHIYLY-UHFFFAOYSA-N 1 2 302.378 1.762 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)N2C[C@H](C)C[C@@H](C)C2)cc1 ZINC000194261213 697701924 /nfs/dbraw/zinc/70/19/24/697701924.db2.gz ZBYPZYZQNQJFHO-CHWSQXEVSA-N 1 2 319.405 1.837 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)Nc1cc(C(C)(C)C)no1)[C@@H]1CCCO1 ZINC000775798989 698038282 /nfs/dbraw/zinc/03/82/82/698038282.db2.gz MGLCOMWINCHASK-RYUDHWBXSA-N 1 2 305.378 1.681 20 30 DDEDLO C[C@@H]1[C@H](NCC#N)CCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000987300167 698075690 /nfs/dbraw/zinc/07/56/90/698075690.db2.gz NBYYNEIRBRHZSH-CZUORRHYSA-N 1 2 309.373 1.588 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)[C@H]1C ZINC000987503511 698131445 /nfs/dbraw/zinc/13/14/45/698131445.db2.gz FEVCOJVIBPWLIK-JQWIXIFHSA-N 1 2 319.796 1.903 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)OC(=O)CNc1ccc(C#N)cc1 ZINC000782258106 698699747 /nfs/dbraw/zinc/69/97/47/698699747.db2.gz GADMXSQONPLTDN-ZDUSSCGKSA-N 1 2 303.362 1.234 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2ccc(O)c(O)c2)CC1 ZINC000783656723 698865797 /nfs/dbraw/zinc/86/57/97/698865797.db2.gz XWIPUZFYOOMBIM-UHFFFAOYSA-N 1 2 317.341 1.169 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(CCC(=O)OC)c1 ZINC000786220621 699134712 /nfs/dbraw/zinc/13/47/12/699134712.db2.gz RIXUDTKOXUEPQC-INIZCTEOSA-N 1 2 314.385 1.828 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(CCC(=O)OC)c1 ZINC000786220621 699134714 /nfs/dbraw/zinc/13/47/14/699134714.db2.gz RIXUDTKOXUEPQC-INIZCTEOSA-N 1 2 314.385 1.828 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000786984972 699184559 /nfs/dbraw/zinc/18/45/59/699184559.db2.gz JVRZENYWDDWOBH-OAHLLOKOSA-N 1 2 309.369 1.774 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)O[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000787715324 699233674 /nfs/dbraw/zinc/23/36/74/699233674.db2.gz UOTPFEIAEFOSPN-CHWSQXEVSA-N 1 2 307.394 1.355 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)O[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000787715324 699233677 /nfs/dbraw/zinc/23/36/77/699233677.db2.gz UOTPFEIAEFOSPN-CHWSQXEVSA-N 1 2 307.394 1.355 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(-n2cnnn2)c(C)c1 ZINC000730096749 699500676 /nfs/dbraw/zinc/50/06/76/699500676.db2.gz MTSXQLUKATWCTG-HNNXBMFYSA-N 1 2 310.361 1.007 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(-n2cnnn2)c(C)c1 ZINC000730096749 699500677 /nfs/dbraw/zinc/50/06/77/699500677.db2.gz MTSXQLUKATWCTG-HNNXBMFYSA-N 1 2 310.361 1.007 20 30 DDEDLO CO[C@@H]1C[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)CC[C@@H]1C ZINC000793516277 699762786 /nfs/dbraw/zinc/76/27/86/699762786.db2.gz MORDYHNAROLASG-SUMWQHHRSA-N 1 2 315.417 1.913 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)CC[C@@H]1C ZINC000793516277 699762789 /nfs/dbraw/zinc/76/27/89/699762789.db2.gz MORDYHNAROLASG-SUMWQHHRSA-N 1 2 315.417 1.913 20 30 DDEDLO Cc1cn2cc(NC(=O)N3CCN(C4CC4)[C@H](C#N)C3)ccc2[nH+]1 ZINC000738920649 699767520 /nfs/dbraw/zinc/76/75/20/699767520.db2.gz UPQNAFGFWZMSLX-OAHLLOKOSA-N 1 2 324.388 1.847 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(Cc2cccc(F)c2C#N)CC1 ZINC000794419181 699808979 /nfs/dbraw/zinc/80/89/79/699808979.db2.gz WPIFPLCXDDLKIU-NRFANRHFSA-N 1 2 309.410 1.193 20 30 DDEDLO CC(C)[N@H+](CC(=O)NCCC#N)CC(=O)N1CCCCCC1 ZINC000796604653 699942305 /nfs/dbraw/zinc/94/23/05/699942305.db2.gz FMFBFLBTUBHYEB-UHFFFAOYSA-N 1 2 308.426 1.129 20 30 DDEDLO CC(C)[N@@H+](CC(=O)NCCC#N)CC(=O)N1CCCCCC1 ZINC000796604653 699942307 /nfs/dbraw/zinc/94/23/07/699942307.db2.gz FMFBFLBTUBHYEB-UHFFFAOYSA-N 1 2 308.426 1.129 20 30 DDEDLO C#CCCC[N@H+]1CCCN(C(=O)OC(C)(C)C)C[C@@H]1C(=O)OC ZINC000796680342 699946188 /nfs/dbraw/zinc/94/61/88/699946188.db2.gz DCVMYWKBEOZDDC-CQSZACIVSA-N 1 2 324.421 1.884 20 30 DDEDLO C#CCCC[N@@H+]1CCCN(C(=O)OC(C)(C)C)C[C@@H]1C(=O)OC ZINC000796680342 699946189 /nfs/dbraw/zinc/94/61/89/699946189.db2.gz DCVMYWKBEOZDDC-CQSZACIVSA-N 1 2 324.421 1.884 20 30 DDEDLO COC(=O)[C@@H](OC(=O)[C@@H]1CCCC[N@@H+]1C)c1cccc(C#N)c1 ZINC000751665286 700305432 /nfs/dbraw/zinc/30/54/32/700305432.db2.gz AQJXYQCVNBPJEI-GJZGRUSLSA-N 1 2 316.357 1.800 20 30 DDEDLO COC(=O)[C@@H](OC(=O)[C@@H]1CCCC[N@H+]1C)c1cccc(C#N)c1 ZINC000751665286 700305434 /nfs/dbraw/zinc/30/54/34/700305434.db2.gz AQJXYQCVNBPJEI-GJZGRUSLSA-N 1 2 316.357 1.800 20 30 DDEDLO COC(=O)[C@H](OC(=O)[C@@H]1CCCC[N@@H+]1C)c1cccc(C#N)c1 ZINC000751665291 700305456 /nfs/dbraw/zinc/30/54/56/700305456.db2.gz AQJXYQCVNBPJEI-LSDHHAIUSA-N 1 2 316.357 1.800 20 30 DDEDLO COC(=O)[C@H](OC(=O)[C@@H]1CCCC[N@H+]1C)c1cccc(C#N)c1 ZINC000751665291 700305457 /nfs/dbraw/zinc/30/54/57/700305457.db2.gz AQJXYQCVNBPJEI-LSDHHAIUSA-N 1 2 316.357 1.800 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C=[NH+]Nc1ccc(C#N)cc1 ZINC000755651082 700575676 /nfs/dbraw/zinc/57/56/76/700575676.db2.gz GAKUMRJYCJYMFQ-UHFFFAOYSA-N 1 2 324.388 1.883 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCN(c3cc[nH+]c(C)n3)CC2)nc1 ZINC000759211317 700741750 /nfs/dbraw/zinc/74/17/50/700741750.db2.gz ZYWBMROKVMNZJN-UHFFFAOYSA-N 1 2 307.357 1.124 20 30 DDEDLO N#Cc1cnn(-c2ccccc2)c1NC(=O)C[N@@H+]1CC[C@@H]2C[C@@H]21 ZINC000761098848 700843312 /nfs/dbraw/zinc/84/33/12/700843312.db2.gz VCGQGAQWJRLZAU-DOMZBBRYSA-N 1 2 307.357 1.777 20 30 DDEDLO N#Cc1cnn(-c2ccccc2)c1NC(=O)C[N@H+]1CC[C@@H]2C[C@@H]21 ZINC000761098848 700843314 /nfs/dbraw/zinc/84/33/14/700843314.db2.gz VCGQGAQWJRLZAU-DOMZBBRYSA-N 1 2 307.357 1.777 20 30 DDEDLO C#CC[NH+]1CCN([C@H](C(=O)OC)c2cccc(Cl)c2)CC1 ZINC000766119755 701038361 /nfs/dbraw/zinc/03/83/61/701038361.db2.gz WKUZZPWVAOQHEV-HNNXBMFYSA-N 1 2 306.793 1.805 20 30 DDEDLO CC#CCOC(=O)CCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000767536341 701114316 /nfs/dbraw/zinc/11/43/16/701114316.db2.gz GPUFESKFYZHAHC-UHFFFAOYSA-N 1 2 315.373 1.077 20 30 DDEDLO C#CCOc1ccccc1CN1CC[NH+](CC[S@](C)=O)CC1 ZINC000769481232 701246996 /nfs/dbraw/zinc/24/69/96/701246996.db2.gz SQUJZAPBPKJLBZ-QFIPXVFZSA-N 1 2 320.458 1.195 20 30 DDEDLO COc1cccc(O)c1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000771932030 701337412 /nfs/dbraw/zinc/33/74/12/701337412.db2.gz TUAAZFNSFNPVLK-UHFFFAOYSA-N 1 2 319.405 1.449 20 30 DDEDLO CC(C)(C)[N@@H+]1CC[C@@](F)(C(=O)[C@@H](C#N)C(=O)N2CCCC2)C1 ZINC000810527829 701783913 /nfs/dbraw/zinc/78/39/13/701783913.db2.gz OSMVJVHJQHEBJS-WBMJQRKESA-N 1 2 309.385 1.530 20 30 DDEDLO CC(C)(C)[N@H+]1CC[C@@](F)(C(=O)[C@@H](C#N)C(=O)N2CCCC2)C1 ZINC000810527829 701783915 /nfs/dbraw/zinc/78/39/15/701783915.db2.gz OSMVJVHJQHEBJS-WBMJQRKESA-N 1 2 309.385 1.530 20 30 DDEDLO C#CCOc1ccc(C(=O)NC(C)(C)C[NH+]2CCOCC2)cc1 ZINC000840526392 702142366 /nfs/dbraw/zinc/14/23/66/702142366.db2.gz UOPKMCZPLSPYOS-UHFFFAOYSA-N 1 2 316.401 1.539 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@@H](CNc3nccnc3C#N)C2)c1 ZINC000866191290 706644346 /nfs/dbraw/zinc/64/43/46/706644346.db2.gz ZMLXUBIYWZTWFY-INIZCTEOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@@H](CNc3nccnc3C#N)C2)c1 ZINC000866191290 706644348 /nfs/dbraw/zinc/64/43/48/706644348.db2.gz ZMLXUBIYWZTWFY-INIZCTEOSA-N 1 2 323.400 1.970 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2sccc2CC#N)CC1 ZINC000866283406 706663858 /nfs/dbraw/zinc/66/38/58/706663858.db2.gz VSMRYYGSFKGKGO-UHFFFAOYSA-N 1 2 321.446 1.997 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CC[C@](F)(C#N)C1 ZINC000869105087 702462755 /nfs/dbraw/zinc/46/27/55/702462755.db2.gz FAPISPPCTAPIHF-HOCLYGCPSA-N 1 2 302.353 1.820 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CC[C@](F)(C#N)C1 ZINC000869105087 702462757 /nfs/dbraw/zinc/46/27/57/702462757.db2.gz FAPISPPCTAPIHF-HOCLYGCPSA-N 1 2 302.353 1.820 20 30 DDEDLO CC(C)N(C)C(=O)[C@@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000842035438 702660303 /nfs/dbraw/zinc/66/03/03/702660303.db2.gz NCCNYOJPWAFGFK-LLVKDONJSA-N 1 2 305.378 1.514 20 30 DDEDLO C[C@H](CC(=O)OCC(=O)NC1(C#N)CCCCC1)n1cc[nH+]c1 ZINC000845276526 703137615 /nfs/dbraw/zinc/13/76/15/703137615.db2.gz JHCXEMZIIDJGEC-CYBMUJFWSA-N 1 2 318.377 1.720 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000846328334 703265093 /nfs/dbraw/zinc/26/50/93/703265093.db2.gz HJKYNEVKCGKOBL-CVEARBPZSA-N 1 2 324.384 1.509 20 30 DDEDLO Cc1ccc(F)c2c1C(=NNCCCn1cc[nH+]c1)C(=O)N2 ZINC000848417713 703548268 /nfs/dbraw/zinc/54/82/68/703548268.db2.gz DUFGNIGADCBCGX-UHFFFAOYSA-N 1 2 301.325 1.667 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CN2C(=O)NC(C(C)C)(C(C)C)C2=O)C1 ZINC000848494967 703557766 /nfs/dbraw/zinc/55/77/66/703557766.db2.gz WXTPRZAQTXKJMA-AWEZNQCLSA-N 1 2 305.422 1.892 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CN2C(=O)NC(C(C)C)(C(C)C)C2=O)C1 ZINC000848494967 703557767 /nfs/dbraw/zinc/55/77/67/703557767.db2.gz WXTPRZAQTXKJMA-AWEZNQCLSA-N 1 2 305.422 1.892 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+]([C@H](C)C(=O)N(C(C)C)C(C)C)CC1 ZINC000831687621 706753726 /nfs/dbraw/zinc/75/37/26/706753726.db2.gz UDEWVKAFAIWXTA-CQSZACIVSA-N 1 2 323.437 1.799 20 30 DDEDLO N#CCCNC(=O)C[N@H+]1CCCN(c2cccc(F)c2F)CC1 ZINC000852109471 703925996 /nfs/dbraw/zinc/92/59/96/703925996.db2.gz ALHFMWFUVZJYBR-UHFFFAOYSA-N 1 2 322.359 1.507 20 30 DDEDLO N#CCCNC(=O)C[N@@H+]1CCCN(c2cccc(F)c2F)CC1 ZINC000852109471 703925998 /nfs/dbraw/zinc/92/59/98/703925998.db2.gz ALHFMWFUVZJYBR-UHFFFAOYSA-N 1 2 322.359 1.507 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H]2[C@@H]1CCC[N@@H+]2CC(=O)NCC#N ZINC000879754453 706780616 /nfs/dbraw/zinc/78/06/16/706780616.db2.gz LXQKBCIUDKCZLI-STQMWFEESA-N 1 2 322.409 1.100 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H]2[C@@H]1CCC[N@H+]2CC(=O)NCC#N ZINC000879754453 706780618 /nfs/dbraw/zinc/78/06/18/706780618.db2.gz LXQKBCIUDKCZLI-STQMWFEESA-N 1 2 322.409 1.100 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@H](CO)c1cccc(Cl)c1Cl ZINC000852674469 704097772 /nfs/dbraw/zinc/09/77/72/704097772.db2.gz BYGLNBIIIYCYOW-BXKDBHETSA-N 1 2 315.200 1.754 20 30 DDEDLO Cc1[nH+]cc(C=NN2C(=O)N[C@@](C)(c3ccccc3)C2=O)n1C ZINC000853273446 704228115 /nfs/dbraw/zinc/22/81/15/704228115.db2.gz LHMCZOJSFOFMFL-INIZCTEOSA-N 1 2 311.345 1.530 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)[C@]2(CCCO2)[C@@H]1C1CC1 ZINC000853621342 704287708 /nfs/dbraw/zinc/28/77/08/704287708.db2.gz ZEFSMBZXESXBFR-RDJZCZTQSA-N 1 2 306.406 1.086 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)[C@]2(CCCO2)[C@@H]1C1CC1 ZINC000853621342 704287710 /nfs/dbraw/zinc/28/77/10/704287710.db2.gz ZEFSMBZXESXBFR-RDJZCZTQSA-N 1 2 306.406 1.086 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)N[C@@](C)(C2CCCC2)C1=O ZINC000853621001 704287813 /nfs/dbraw/zinc/28/78/13/704287813.db2.gz MMYQFIYNGOVUBZ-KRWDZBQOSA-N 1 2 321.421 1.416 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)N[C@@](C)(C2CCCC2)C1=O ZINC000853621001 704287816 /nfs/dbraw/zinc/28/78/16/704287816.db2.gz MMYQFIYNGOVUBZ-KRWDZBQOSA-N 1 2 321.421 1.416 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC000853621147 704287883 /nfs/dbraw/zinc/28/78/83/704287883.db2.gz RBRCTRNEBQSONB-GJZGRUSLSA-N 1 2 308.422 1.332 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC000853621147 704287886 /nfs/dbraw/zinc/28/78/86/704287886.db2.gz RBRCTRNEBQSONB-GJZGRUSLSA-N 1 2 308.422 1.332 20 30 DDEDLO C#CCOCCON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000853685766 704299498 /nfs/dbraw/zinc/29/94/98/704299498.db2.gz OYVZSIYHNJPVFX-INIZCTEOSA-N 1 2 317.389 1.036 20 30 DDEDLO C#CCOCCON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000853685766 704299499 /nfs/dbraw/zinc/29/94/99/704299499.db2.gz OYVZSIYHNJPVFX-INIZCTEOSA-N 1 2 317.389 1.036 20 30 DDEDLO C#CCCN(CCOC)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000853862453 704327126 /nfs/dbraw/zinc/32/71/26/704327126.db2.gz FKGHVSDMPPILNB-UHFFFAOYSA-N 1 2 300.362 1.516 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](C(C)C)[C@H](O)C(F)(F)F ZINC000854340142 704381482 /nfs/dbraw/zinc/38/14/82/704381482.db2.gz UEBHLBSSSYERHH-SRVKXCTJSA-N 1 2 306.328 1.148 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C(C)C)[C@H](O)C(F)(F)F ZINC000854340142 704381483 /nfs/dbraw/zinc/38/14/83/704381483.db2.gz UEBHLBSSSYERHH-SRVKXCTJSA-N 1 2 306.328 1.148 20 30 DDEDLO C#C[C@@H](NC(=O)Cc1cn2cccc(C)c2[nH+]1)C1CCOCC1 ZINC000856111800 704514456 /nfs/dbraw/zinc/51/44/56/704514456.db2.gz BOIVSCVENCFWJR-MRXNPFEDSA-N 1 2 311.385 1.730 20 30 DDEDLO CO[C@]1(C(F)(F)F)CC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000880302136 706933772 /nfs/dbraw/zinc/93/37/72/706933772.db2.gz DWGOJPPABWNJLO-CMPLNLGQSA-N 1 2 307.316 1.400 20 30 DDEDLO CO[C@]1(C(F)(F)F)CC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000880302136 706933774 /nfs/dbraw/zinc/93/37/74/706933774.db2.gz DWGOJPPABWNJLO-CMPLNLGQSA-N 1 2 307.316 1.400 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C#N)cc1C ZINC000874703671 705136724 /nfs/dbraw/zinc/13/67/24/705136724.db2.gz AJPNSBAZEZVKJR-OAHLLOKOSA-N 1 2 301.390 1.707 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(C#N)cc1C ZINC000874703671 705136728 /nfs/dbraw/zinc/13/67/28/705136728.db2.gz AJPNSBAZEZVKJR-OAHLLOKOSA-N 1 2 301.390 1.707 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@H+]1CCOC[C@@H]1CC ZINC000875643765 705460674 /nfs/dbraw/zinc/46/06/74/705460674.db2.gz YEIYFKRQCIBHJV-ZNMIVQPWSA-N 1 2 311.426 1.130 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@@H+]1CCOC[C@@H]1CC ZINC000875643765 705460678 /nfs/dbraw/zinc/46/06/78/705460678.db2.gz YEIYFKRQCIBHJV-ZNMIVQPWSA-N 1 2 311.426 1.130 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)CC2)cc1 ZINC000825198561 705623260 /nfs/dbraw/zinc/62/32/60/705623260.db2.gz GVZLOTDTTLEKTF-HZPDHXFCSA-N 1 2 321.384 1.734 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)CC2)cc1 ZINC000825198561 705623263 /nfs/dbraw/zinc/62/32/63/705623263.db2.gz GVZLOTDTTLEKTF-HZPDHXFCSA-N 1 2 321.384 1.734 20 30 DDEDLO C=CCC1(NC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)CCCC1 ZINC000825437667 705670857 /nfs/dbraw/zinc/67/08/57/705670857.db2.gz OYTALSPPHILJFN-CVEARBPZSA-N 1 2 322.449 1.871 20 30 DDEDLO O=C(C#Cc1cccs1)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000825826999 705734638 /nfs/dbraw/zinc/73/46/38/705734638.db2.gz KZZUVMRNGFYTII-OAHLLOKOSA-N 1 2 318.442 1.813 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000826551151 705812124 /nfs/dbraw/zinc/81/21/24/705812124.db2.gz JYJJQQSLNWEZMF-SJLPKXTDSA-N 1 2 318.417 1.249 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000826551151 705812126 /nfs/dbraw/zinc/81/21/26/705812126.db2.gz JYJJQQSLNWEZMF-SJLPKXTDSA-N 1 2 318.417 1.249 20 30 DDEDLO C#CCCOc1ccc(C[NH+]2CCN([C@H]3CCOC3)CC2)cc1 ZINC000827119912 705933397 /nfs/dbraw/zinc/93/33/97/705933397.db2.gz PJNHSPGTGNKFQH-SFHVURJKSA-N 1 2 314.429 1.995 20 30 DDEDLO CC[C@H](C#N)Oc1cc(C[NH2+]Cc2ncnn2C)ccc1OC ZINC000877029431 705965438 /nfs/dbraw/zinc/96/54/38/705965438.db2.gz BZSJZPSYZMTROR-CYBMUJFWSA-N 1 2 315.377 1.794 20 30 DDEDLO C=CCNC(=S)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000865265957 706392573 /nfs/dbraw/zinc/39/25/73/706392573.db2.gz DDFYWJCSNKYUMU-INIZCTEOSA-N 1 2 319.474 1.846 20 30 DDEDLO C=CCNC(=S)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000865265957 706392575 /nfs/dbraw/zinc/39/25/75/706392575.db2.gz DDFYWJCSNKYUMU-INIZCTEOSA-N 1 2 319.474 1.846 20 30 DDEDLO Cc1nn(C)c2nc(Cl)c(C[N@@H+]3CC[C@](O)(CC#N)C3)cc12 ZINC000829974958 706429150 /nfs/dbraw/zinc/42/91/50/706429150.db2.gz MOYRFQYQKNRBSS-OAHLLOKOSA-N 1 2 319.796 1.781 20 30 DDEDLO Cc1nn(C)c2nc(Cl)c(C[N@H+]3CC[C@](O)(CC#N)C3)cc12 ZINC000829974958 706429152 /nfs/dbraw/zinc/42/91/52/706429152.db2.gz MOYRFQYQKNRBSS-OAHLLOKOSA-N 1 2 319.796 1.781 20 30 DDEDLO N#CCC(=O)N1CC[NH+](C[C@H]2CC[C@H](c3ccccc3)O2)CC1 ZINC000878673177 706464786 /nfs/dbraw/zinc/46/47/86/706464786.db2.gz WVNPFJJIBCPESW-IAGOWNOFSA-N 1 2 313.401 1.965 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]3CCNC(=O)[C@@H]32)c([N+](=O)[O-])c1 ZINC000880522983 707001824 /nfs/dbraw/zinc/00/18/24/707001824.db2.gz BSAMFTRERQCHNH-SWLSCSKDSA-N 1 2 314.345 1.567 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]3CCNC(=O)[C@@H]32)c([N+](=O)[O-])c1 ZINC000880522983 707001826 /nfs/dbraw/zinc/00/18/26/707001826.db2.gz BSAMFTRERQCHNH-SWLSCSKDSA-N 1 2 314.345 1.567 20 30 DDEDLO C[C@H]([NH2+]CC(C)(C)CS(C)(=O)=O)c1cccc(C#N)c1O ZINC000926950800 712922154 /nfs/dbraw/zinc/92/21/54/712922154.db2.gz SUGVNWAHTBDYOG-NSHDSACASA-N 1 2 310.419 1.985 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cc(CC#N)ccn2)[C@H](C)CO1 ZINC000871735237 707181474 /nfs/dbraw/zinc/18/14/74/707181474.db2.gz JTDHCASQJQBVIM-CHWSQXEVSA-N 1 2 317.393 1.378 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cc(CC#N)ccn2)[C@H](C)CO1 ZINC000871735237 707181477 /nfs/dbraw/zinc/18/14/77/707181477.db2.gz JTDHCASQJQBVIM-CHWSQXEVSA-N 1 2 317.393 1.378 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccnc(Br)c2)nn1 ZINC000881350444 707207694 /nfs/dbraw/zinc/20/76/94/707207694.db2.gz CDWPSUTYEIZPIF-UHFFFAOYSA-N 1 2 320.194 1.597 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=Cc2cn(C)nc2-c2cc(F)ccc2F)N1 ZINC000872364699 707391438 /nfs/dbraw/zinc/39/14/38/707391438.db2.gz RLSCPMPHCKZWBC-VIFPVBQESA-N 1 2 318.331 1.637 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2c(C)cccc2C#N)C[C@@H]1C ZINC000872468700 707430122 /nfs/dbraw/zinc/43/01/22/707430122.db2.gz XPNIXMMMVWVGHZ-UONOGXRCSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2c(C)cccc2C#N)C[C@@H]1C ZINC000872468700 707430124 /nfs/dbraw/zinc/43/01/24/707430124.db2.gz XPNIXMMMVWVGHZ-UONOGXRCSA-N 1 2 321.446 1.970 20 30 DDEDLO CON=Cc1ccc(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000836864107 707534355 /nfs/dbraw/zinc/53/43/55/707534355.db2.gz JAXUSHXIHPLOPN-IYBDPMFKSA-N 1 2 317.389 1.260 20 30 DDEDLO CON=Cc1ccc(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000836864107 707534358 /nfs/dbraw/zinc/53/43/58/707534358.db2.gz JAXUSHXIHPLOPN-IYBDPMFKSA-N 1 2 317.389 1.260 20 30 DDEDLO C=CCC[C@@H](NC(=O)/C=C(\C)C[NH+]1CCOCC1)C(=O)OCC ZINC000909274451 712980347 /nfs/dbraw/zinc/98/03/47/712980347.db2.gz YRHHTHMNYYNOEE-OKFGHLOFSA-N 1 2 324.421 1.279 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H]1c1ccccc1 ZINC000884063580 708122990 /nfs/dbraw/zinc/12/29/90/708122990.db2.gz DXANFRJGQVAPCY-LSDHHAIUSA-N 1 2 302.374 1.797 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC1(c2ccccc2)CC1 ZINC000884063543 708123033 /nfs/dbraw/zinc/12/30/33/708123033.db2.gz CVQZWBRMNHPORD-AWEZNQCLSA-N 1 2 302.374 1.281 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)Cc1ccccc1C ZINC000884096381 708136656 /nfs/dbraw/zinc/13/66/56/708136656.db2.gz SZZTXUSTDRBJEM-HIFRSBDPSA-N 1 2 304.390 1.489 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@](C)(CC)c1ccccc1 ZINC000884130834 708153257 /nfs/dbraw/zinc/15/32/57/708153257.db2.gz UYTVPUIQHZXTOD-YOEHRIQHSA-N 1 2 304.390 1.875 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC(C)(C)c2ccccc21 ZINC000884157469 708164614 /nfs/dbraw/zinc/16/46/14/708164614.db2.gz AXESVCWYHZKHHP-ZDUSSCGKSA-N 1 2 302.374 1.757 20 30 DDEDLO C[C@H](O)CNc1cc(N2CCC3(CC2)CC(=O)C=CO3)nc[nH+]1 ZINC000896880441 708171632 /nfs/dbraw/zinc/17/16/32/708171632.db2.gz DYBSRYYYDCTBTF-LBPRGKRZSA-N 1 2 318.377 1.111 20 30 DDEDLO C[C@H](O)CNc1cc(N2CCC3(CC2)CC(=O)C=CO3)[nH+]cn1 ZINC000896880441 708171635 /nfs/dbraw/zinc/17/16/35/708171635.db2.gz DYBSRYYYDCTBTF-LBPRGKRZSA-N 1 2 318.377 1.111 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC1(C)Cc2ccccc2C1 ZINC000884317155 708241058 /nfs/dbraw/zinc/24/10/58/708241058.db2.gz MMGYGSRSZBNWSQ-HNNXBMFYSA-N 1 2 316.401 1.354 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC[C@]1(C)C[C@]1(F)Cl ZINC000884380519 708271546 /nfs/dbraw/zinc/27/15/46/708271546.db2.gz GJQKWWDABPUNQW-ZWKOPEQDSA-N 1 2 306.765 1.254 20 30 DDEDLO CN(C)Cc1cnc(C[NH2+]CCNc2ccc(C#N)cn2)s1 ZINC000897396031 708314482 /nfs/dbraw/zinc/31/44/82/708314482.db2.gz DUCXNYUWCVYYGU-UHFFFAOYSA-N 1 2 316.434 1.673 20 30 DDEDLO C[C@H]1CN(CC(C)(C)O)CC[N@@H+]1C[C@H](O)CC1(C#N)CCC1 ZINC000886157827 708707640 /nfs/dbraw/zinc/70/76/40/708707640.db2.gz LVWDXHQHCBWDNL-LSDHHAIUSA-N 1 2 309.454 1.208 20 30 DDEDLO C[C@H]1CN(CC(C)(C)O)CC[N@H+]1C[C@H](O)CC1(C#N)CCC1 ZINC000886157827 708707642 /nfs/dbraw/zinc/70/76/42/708707642.db2.gz LVWDXHQHCBWDNL-LSDHHAIUSA-N 1 2 309.454 1.208 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000912612416 713050215 /nfs/dbraw/zinc/05/02/15/713050215.db2.gz NCICPJMNGFHCCY-CULRUXPZSA-N 1 2 302.378 1.290 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@H+](C[C@@H](O)CC2(C#N)CCC2)CCO1 ZINC000886309104 708739776 /nfs/dbraw/zinc/73/97/76/708739776.db2.gz UBLICKLVFQVWEN-DZGCQCFKSA-N 1 2 310.394 1.085 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@@H+](C[C@@H](O)CC2(C#N)CCC2)CCO1 ZINC000886309104 708739778 /nfs/dbraw/zinc/73/97/78/708739778.db2.gz UBLICKLVFQVWEN-DZGCQCFKSA-N 1 2 310.394 1.085 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@H+](C[C@H](O)CC2(C#N)CCC2)CCO1 ZINC000886309105 708739892 /nfs/dbraw/zinc/73/98/92/708739892.db2.gz UBLICKLVFQVWEN-HIFRSBDPSA-N 1 2 310.394 1.085 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@@H+](C[C@H](O)CC2(C#N)CCC2)CCO1 ZINC000886309105 708739893 /nfs/dbraw/zinc/73/98/93/708739893.db2.gz UBLICKLVFQVWEN-HIFRSBDPSA-N 1 2 310.394 1.085 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000899234957 709029762 /nfs/dbraw/zinc/02/97/62/709029762.db2.gz VPPNRBWMPXZTJH-LBPRGKRZSA-N 1 2 301.346 1.635 20 30 DDEDLO C/C(=C/C(=O)N(CCC#N)Cc1ccco1)C[NH+]1CCOCC1 ZINC000900454554 709602288 /nfs/dbraw/zinc/60/22/88/709602288.db2.gz FWXHKLUOLFTPLK-QINSGFPZSA-N 1 2 317.389 1.800 20 30 DDEDLO Cc1c(C(=O)NC[C@@H](C)Cn2cc[nH+]c2)cnn1CCC#N ZINC000900787221 709757684 /nfs/dbraw/zinc/75/76/84/709757684.db2.gz QGRJQZYMKCQUTQ-GFCCVEGCSA-N 1 2 300.366 1.368 20 30 DDEDLO C#CC1(F)CN(C(=O)N[C@@H](Cn2cc[nH+]c2)c2ccccc2)C1 ZINC000890241818 709798283 /nfs/dbraw/zinc/79/82/83/709798283.db2.gz CNRSSNFCLYODAZ-HNNXBMFYSA-N 1 2 312.348 1.991 20 30 DDEDLO C/C(=C/C(=O)Nc1cc(CC#N)ccn1)C[NH+]1CCOCC1 ZINC000901093506 709922311 /nfs/dbraw/zinc/92/23/11/709922311.db2.gz FCBJUZOOHONWAM-RAXLEYEMSA-N 1 2 300.362 1.365 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(CC)cc2)C1 ZINC000891608517 710246975 /nfs/dbraw/zinc/24/69/75/710246975.db2.gz YPVUXBAGFUPSGE-MRXNPFEDSA-N 1 2 313.401 1.401 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(CC)cc2)C1 ZINC000891608517 710246978 /nfs/dbraw/zinc/24/69/78/710246978.db2.gz YPVUXBAGFUPSGE-MRXNPFEDSA-N 1 2 313.401 1.401 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@H+](Cc3csc(C#N)c3)CCN2C1=O ZINC000892218977 710397420 /nfs/dbraw/zinc/39/74/20/710397420.db2.gz YDIJNONJKDNNKH-GFCCVEGCSA-N 1 2 304.375 1.088 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@@H+](Cc3csc(C#N)c3)CCN2C1=O ZINC000892218977 710397422 /nfs/dbraw/zinc/39/74/22/710397422.db2.gz YDIJNONJKDNNKH-GFCCVEGCSA-N 1 2 304.375 1.088 20 30 DDEDLO COC[C@@]1(C)CC(=O)N(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1 ZINC000902600669 710810729 /nfs/dbraw/zinc/81/07/29/710810729.db2.gz ZCIPZPYOCKZBCS-INIZCTEOSA-N 1 2 322.405 1.167 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)cc1 ZINC000913447318 713219568 /nfs/dbraw/zinc/21/95/68/713219568.db2.gz NKTZHIJAEPSBSE-INIZCTEOSA-N 1 2 310.357 1.208 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)c3ccc(F)c(C#N)c3)CC[NH2+]2)cn1 ZINC000913459917 713225723 /nfs/dbraw/zinc/22/57/23/713225723.db2.gz CTFGMJWBQJVBDS-HNNXBMFYSA-N 1 2 313.336 1.218 20 30 DDEDLO CN(C)S(=O)(=O)[C@H]1CCC[N@H+](Cc2csc(C#N)c2)C1 ZINC000894391331 711099380 /nfs/dbraw/zinc/09/93/80/711099380.db2.gz PAGRFCNUKMADCQ-ZDUSSCGKSA-N 1 2 313.448 1.476 20 30 DDEDLO CN(C)S(=O)(=O)[C@H]1CCC[N@@H+](Cc2csc(C#N)c2)C1 ZINC000894391331 711099383 /nfs/dbraw/zinc/09/93/83/711099383.db2.gz PAGRFCNUKMADCQ-ZDUSSCGKSA-N 1 2 313.448 1.476 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)cc1C ZINC000928639574 713245774 /nfs/dbraw/zinc/24/57/74/713245774.db2.gz GYPUQPJWWPGAII-OAHLLOKOSA-N 1 2 301.390 1.707 20 30 DDEDLO N#CC1(c2ccc(C[NH+]3CC(C4CS(=O)(=O)C4)C3)cc2)CC1 ZINC000895424117 711509160 /nfs/dbraw/zinc/50/91/60/711509160.db2.gz NSOGTSWWQSNQRZ-UHFFFAOYSA-N 1 2 316.426 1.718 20 30 DDEDLO CC[NH+]1CCN(S(=O)(=O)Cc2c(C)cc(C#N)cc2C)CC1 ZINC000913820249 713306095 /nfs/dbraw/zinc/30/60/95/713306095.db2.gz SWBXEMLZNJSUGT-UHFFFAOYSA-N 1 2 321.446 1.642 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)nc1 ZINC000906912518 712437127 /nfs/dbraw/zinc/43/71/27/712437127.db2.gz OIZYTAXDPUIOAD-CYBMUJFWSA-N 1 2 317.374 1.176 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC000928720576 713471238 /nfs/dbraw/zinc/47/12/38/713471238.db2.gz WBUCQPKLTGVUIO-CQSZACIVSA-N 1 2 324.388 1.332 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NC3CC4(CC(NCC#N)C4)C3)ccn12 ZINC000963809124 717913573 /nfs/dbraw/zinc/91/35/73/717913573.db2.gz UPZZMWGRLPLMBV-UHFFFAOYSA-N 1 2 323.400 1.797 20 30 DDEDLO COCCOc1ccccc1N1CC[NH+](C[C@H](O)CC#N)CC1 ZINC000930032017 713739877 /nfs/dbraw/zinc/73/98/77/713739877.db2.gz NDCDKHHFCWBNQB-OAHLLOKOSA-N 1 2 319.405 1.108 20 30 DDEDLO C#CC1(O)CCN(C(=O)Nc2ccc(C)[nH+]c2N(C)C)CC1 ZINC000930505851 713852710 /nfs/dbraw/zinc/85/27/10/713852710.db2.gz WRQMPRYJNWSNJI-UHFFFAOYSA-N 1 2 302.378 1.448 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2sc([C@H](C)OC)nc2C)C1 ZINC000923572443 714411404 /nfs/dbraw/zinc/41/14/04/714411404.db2.gz JEBKEKFAYGZXNI-STQMWFEESA-N 1 2 321.446 1.986 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2sc([C@H](C)OC)nc2C)C1 ZINC000923572443 714411406 /nfs/dbraw/zinc/41/14/06/714411406.db2.gz JEBKEKFAYGZXNI-STQMWFEESA-N 1 2 321.446 1.986 20 30 DDEDLO Cn1nccc1[C@H]1C[N@H+](CCOc2cccc(C#N)c2)CCO1 ZINC000933530954 714610439 /nfs/dbraw/zinc/61/04/39/714610439.db2.gz XXVISEGCVWHUEH-QGZVFWFLSA-N 1 2 312.373 1.744 20 30 DDEDLO Cn1nccc1[C@H]1C[N@@H+](CCOc2cccc(C#N)c2)CCO1 ZINC000933530954 714610440 /nfs/dbraw/zinc/61/04/40/714610440.db2.gz XXVISEGCVWHUEH-QGZVFWFLSA-N 1 2 312.373 1.744 20 30 DDEDLO CCOC(=O)c1cc(C[NH+]2CCC3(CC2)CC(=O)C=CO3)on1 ZINC000933645373 714637883 /nfs/dbraw/zinc/63/78/83/714637883.db2.gz NAJWZSXDHGQNRT-UHFFFAOYSA-N 1 2 320.345 1.689 20 30 DDEDLO COC(=O)c1occc1C[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000933654104 714639652 /nfs/dbraw/zinc/63/96/52/714639652.db2.gz NWCYWHRMINWFQW-UHFFFAOYSA-N 1 2 305.330 1.904 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3c(cnn3C)c2)C1 ZINC000957046567 715721261 /nfs/dbraw/zinc/72/12/61/715721261.db2.gz TYSAGVXTCRSKQN-UHFFFAOYSA-N 1 2 311.389 1.138 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(-c3ncon3)cc2)C1 ZINC000957292719 715819441 /nfs/dbraw/zinc/81/94/41/715819441.db2.gz IJPPRSISKULVIF-UHFFFAOYSA-N 1 2 324.384 1.906 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2nn(C)c3ccccc23)C1 ZINC000957292782 715820114 /nfs/dbraw/zinc/82/01/14/715820114.db2.gz LPRLIVOSFFUGOM-UHFFFAOYSA-N 1 2 310.401 1.743 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000957472912 715916846 /nfs/dbraw/zinc/91/68/46/715916846.db2.gz SSFGJAHRRWINHU-UHFFFAOYSA-N 1 2 323.400 1.477 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(OCC3CC3)nc2)CC1 ZINC000957776527 716036873 /nfs/dbraw/zinc/03/68/73/716036873.db2.gz LBUGVQCITONKBY-UHFFFAOYSA-N 1 2 301.390 1.814 20 30 DDEDLO CCc1noc(C[NH2+]C[C@@H]2CCCCN2C(=O)C#CC2CC2)n1 ZINC000960005786 716385550 /nfs/dbraw/zinc/38/55/50/716385550.db2.gz BDRYJVJQPOCBHJ-AWEZNQCLSA-N 1 2 316.405 1.516 20 30 DDEDLO COCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cc(F)ccc1C#N ZINC000960338175 716495725 /nfs/dbraw/zinc/49/57/25/716495725.db2.gz WRLUVEHUOCHZDH-MRXNPFEDSA-N 1 2 319.380 1.767 20 30 DDEDLO COCC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cc(F)ccc1C#N ZINC000960338175 716495728 /nfs/dbraw/zinc/49/57/28/716495728.db2.gz WRLUVEHUOCHZDH-MRXNPFEDSA-N 1 2 319.380 1.767 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2C[N@@H+](Cc3ccon3)C[C@H]2O)CC1 ZINC000958370709 716507298 /nfs/dbraw/zinc/50/72/98/716507298.db2.gz TYPDAVJPDPGRDE-GDBMZVCRSA-N 1 2 319.405 1.330 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2C[N@H+](Cc3ccon3)C[C@H]2O)CC1 ZINC000958370709 716507302 /nfs/dbraw/zinc/50/73/02/716507302.db2.gz TYPDAVJPDPGRDE-GDBMZVCRSA-N 1 2 319.405 1.330 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3oc(C)cc3C)CC2)C1 ZINC000941367490 717163266 /nfs/dbraw/zinc/16/32/66/717163266.db2.gz BGYHIOHMSRYJLR-UHFFFAOYSA-N 1 2 315.417 1.362 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cscn4)C[C@H]32)nc1 ZINC000962346257 717415303 /nfs/dbraw/zinc/41/53/03/717415303.db2.gz YIQMCTBLLZTCKD-FOLVSLTJSA-N 1 2 324.409 1.380 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cscn4)C[C@H]32)nc1 ZINC000962346257 717415311 /nfs/dbraw/zinc/41/53/11/717415311.db2.gz YIQMCTBLLZTCKD-FOLVSLTJSA-N 1 2 324.409 1.380 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncccc2O)[C@H](C)C1 ZINC000941990482 717421033 /nfs/dbraw/zinc/42/10/33/717421033.db2.gz ZFRYERBIMALGAA-PWSUYJOCSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncccc2O)[C@H](C)C1 ZINC000941990482 717421034 /nfs/dbraw/zinc/42/10/34/717421034.db2.gz ZFRYERBIMALGAA-PWSUYJOCSA-N 1 2 309.797 1.980 20 30 DDEDLO N#CCNC1CC2(C1)CC(NC(=O)[C@@H]1CCCc3[nH+]c[nH]c31)C2 ZINC000965012223 717507515 /nfs/dbraw/zinc/50/75/15/717507515.db2.gz SBFBEWPPPHCSDI-NMTWWBQDSA-N 1 2 313.405 1.370 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@@H]([C@H]2CCCN2CC#N)C1 ZINC000963382503 717769096 /nfs/dbraw/zinc/76/90/96/717769096.db2.gz CEDPGRHEUSCRCT-GDBMZVCRSA-N 1 2 315.421 1.189 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC000966572077 718592805 /nfs/dbraw/zinc/59/28/05/718592805.db2.gz YOVNZRMFIGLNMI-ABAIWWIYSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC000966572077 718592809 /nfs/dbraw/zinc/59/28/09/718592809.db2.gz YOVNZRMFIGLNMI-ABAIWWIYSA-N 1 2 324.856 1.850 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC000967272720 718809321 /nfs/dbraw/zinc/80/93/21/718809321.db2.gz DOCVAHVHAPYSLM-SUMWQHHRSA-N 1 2 323.396 1.602 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC000967272720 718809323 /nfs/dbraw/zinc/80/93/23/718809323.db2.gz DOCVAHVHAPYSLM-SUMWQHHRSA-N 1 2 323.396 1.602 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2n[nH]c(C)n2)C[C@H]1C ZINC000947297438 719107765 /nfs/dbraw/zinc/10/77/65/719107765.db2.gz WURGLHROUUVITD-ZYHUDNBSSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2n[nH]c(C)n2)C[C@H]1C ZINC000947297438 719107767 /nfs/dbraw/zinc/10/77/67/719107767.db2.gz WURGLHROUUVITD-ZYHUDNBSSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnn(CC(F)(F)F)c2)CC1 ZINC000948779069 719703588 /nfs/dbraw/zinc/70/35/88/719703588.db2.gz GTWKTSQVVNHAQZ-UHFFFAOYSA-N 1 2 316.327 1.779 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnc[nH]c1=O ZINC000948930050 719790557 /nfs/dbraw/zinc/79/05/57/719790557.db2.gz XNTFJVPFGYZHOX-INIZCTEOSA-N 1 2 322.368 1.145 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnc[nH]c1=O ZINC000948930050 719790559 /nfs/dbraw/zinc/79/05/59/719790559.db2.gz XNTFJVPFGYZHOX-INIZCTEOSA-N 1 2 322.368 1.145 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2COC[C@H]2c2ccccc2)CC1 ZINC000948958221 719803629 /nfs/dbraw/zinc/80/36/29/719803629.db2.gz SNRKOHYDUYQAFF-DLBZAZTESA-N 1 2 300.402 1.747 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C(C)(C)C(C)C)CC2)C1 ZINC000949376134 720047990 /nfs/dbraw/zinc/04/79/90/720047990.db2.gz UGAOUXQUHUWOMP-UHFFFAOYSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C(C)(C)C(C)C)CC2)C1 ZINC000949376134 720047998 /nfs/dbraw/zinc/04/79/98/720047998.db2.gz UGAOUXQUHUWOMP-UHFFFAOYSA-N 1 2 306.450 1.995 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@H]2CC[C@@H](C(F)(F)F)O2)CC1 ZINC000949406208 720067096 /nfs/dbraw/zinc/06/70/96/720067096.db2.gz JZMVVLZXPFZEFC-OLZOCXBDSA-N 1 2 318.339 1.654 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H](C)C(C)(F)F)CC2)C1 ZINC000949472450 720110917 /nfs/dbraw/zinc/11/09/17/720110917.db2.gz FZAUTYGLJWEPHZ-CYBMUJFWSA-N 1 2 314.376 1.604 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H](C)C(C)(F)F)CC2)C1 ZINC000949472450 720110919 /nfs/dbraw/zinc/11/09/19/720110919.db2.gz FZAUTYGLJWEPHZ-CYBMUJFWSA-N 1 2 314.376 1.604 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC000969586435 720202173 /nfs/dbraw/zinc/20/21/73/720202173.db2.gz KUYFVGODZDPREF-GFCCVEGCSA-N 1 2 306.410 1.925 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccc(-c3nc[nH]n3)c2)CC1 ZINC000949616936 720213772 /nfs/dbraw/zinc/21/37/72/720213772.db2.gz AYMBXJIENOIOPX-UHFFFAOYSA-N 1 2 311.389 1.806 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cn3c(n2)CCC3)C1 ZINC000969694994 720252736 /nfs/dbraw/zinc/25/27/36/720252736.db2.gz DGRFWJHCWFZMCZ-LLVKDONJSA-N 1 2 308.813 1.632 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccnn2CC(F)F)C1 ZINC000950094206 720487888 /nfs/dbraw/zinc/48/78/88/720487888.db2.gz FQRNAOHITHWQMF-UHFFFAOYSA-N 1 2 310.348 1.318 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C3CC3)n(CC)n2)C1 ZINC000950493296 720656987 /nfs/dbraw/zinc/65/69/87/720656987.db2.gz QKNMJQFBNNWCAZ-UHFFFAOYSA-N 1 2 314.433 1.950 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc3c2CCOC3)C1 ZINC000950856605 720797086 /nfs/dbraw/zinc/79/70/86/720797086.db2.gz LRLSWJGZVLFFQH-UHFFFAOYSA-N 1 2 312.413 1.929 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C(F)F)nn2C)C1 ZINC000950861949 720799024 /nfs/dbraw/zinc/79/90/24/720799024.db2.gz HLLCRSHXTCTMHD-UHFFFAOYSA-N 1 2 310.348 1.527 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccc(N(C)C)cn2)C1 ZINC000970947772 721002970 /nfs/dbraw/zinc/00/29/70/721002970.db2.gz MPGWPJZMWQGCTC-LBPRGKRZSA-N 1 2 322.840 1.950 20 30 DDEDLO Cc1conc1C[N@@H+](C)[C@@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971363863 721258396 /nfs/dbraw/zinc/25/83/96/721258396.db2.gz JVJRPVXSIQHEIR-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1conc1C[N@H+](C)[C@@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971363863 721258398 /nfs/dbraw/zinc/25/83/98/721258398.db2.gz JVJRPVXSIQHEIR-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971511730 721332835 /nfs/dbraw/zinc/33/28/35/721332835.db2.gz PNZMWPWVUMDFSX-ZDUSSCGKSA-N 1 2 304.419 1.293 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971511730 721332837 /nfs/dbraw/zinc/33/28/37/721332837.db2.gz PNZMWPWVUMDFSX-ZDUSSCGKSA-N 1 2 304.419 1.293 20 30 DDEDLO C=CC[NH+]1CCN(c2nc(Cl)cc(O[C@@H]3CCOC3)n2)CC1 ZINC001165035000 721873525 /nfs/dbraw/zinc/87/35/25/721873525.db2.gz RUKUWOHZZWUSJO-GFCCVEGCSA-N 1 2 324.812 1.606 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cn[nH]c3)C2)s1 ZINC001010296897 738990676 /nfs/dbraw/zinc/99/06/76/738990676.db2.gz CUYPBQFZLWWPRW-NSHDSACASA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cn[nH]c3)C2)s1 ZINC001010296897 738990678 /nfs/dbraw/zinc/99/06/78/738990678.db2.gz CUYPBQFZLWWPRW-NSHDSACASA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cncs3)C2)[nH]1 ZINC001010658764 733083814 /nfs/dbraw/zinc/08/38/14/733083814.db2.gz UFRHAAZJPLSFAP-LLVKDONJSA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cncs3)C2)[nH]1 ZINC001010658764 733083816 /nfs/dbraw/zinc/08/38/16/733083816.db2.gz UFRHAAZJPLSFAP-LLVKDONJSA-N 1 2 301.375 1.347 20 30 DDEDLO CCCCc1noc(C[NH2+]CCNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001125391127 733146349 /nfs/dbraw/zinc/14/63/49/733146349.db2.gz UHYJJSVBQIRVEE-UHFFFAOYSA-N 1 2 316.365 1.132 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2[C@@H](CCCN2C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001021668328 733422727 /nfs/dbraw/zinc/42/27/27/733422727.db2.gz NEINJFSFCDSQCK-FZMZJTMJSA-N 1 2 322.359 1.907 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2[C@@H](CCCN2C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001021668328 733422729 /nfs/dbraw/zinc/42/27/29/733422729.db2.gz NEINJFSFCDSQCK-FZMZJTMJSA-N 1 2 322.359 1.907 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001027832492 738711540 /nfs/dbraw/zinc/71/15/40/738711540.db2.gz DMQZNAFXUWUPFX-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001027832492 738711542 /nfs/dbraw/zinc/71/15/42/738711542.db2.gz DMQZNAFXUWUPFX-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnc2n[nH]nc2c1 ZINC001027937969 738837054 /nfs/dbraw/zinc/83/70/54/738837054.db2.gz YUMZMEQMQCNAKK-NSHDSACASA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnc2n[nH]nc2c1 ZINC001027937969 738837057 /nfs/dbraw/zinc/83/70/57/738837057.db2.gz YUMZMEQMQCNAKK-NSHDSACASA-N 1 2 320.784 1.300 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnn1CC1CCC1 ZINC001038247206 734899272 /nfs/dbraw/zinc/89/92/72/734899272.db2.gz IFAUDKLAOFHOOE-HNNXBMFYSA-N 1 2 300.406 1.511 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnn1CC1CCC1 ZINC001038247206 734899276 /nfs/dbraw/zinc/89/92/76/734899276.db2.gz IFAUDKLAOFHOOE-HNNXBMFYSA-N 1 2 300.406 1.511 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104489005 735236493 /nfs/dbraw/zinc/23/64/93/735236493.db2.gz LAGUECUHJBZPDC-LLVKDONJSA-N 1 2 306.414 1.471 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc[n+]([O-])cc2)C(C)(C)C1 ZINC000972782258 735619889 /nfs/dbraw/zinc/61/98/89/735619889.db2.gz HYQVYAMQKOUMOS-CYBMUJFWSA-N 1 2 309.797 1.513 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc[n+]([O-])cc2)C(C)(C)C1 ZINC000972782258 735619892 /nfs/dbraw/zinc/61/98/92/735619892.db2.gz HYQVYAMQKOUMOS-CYBMUJFWSA-N 1 2 309.797 1.513 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H]1CCN(C(C)C)C1=O ZINC001032562893 751347691 /nfs/dbraw/zinc/34/76/91/751347691.db2.gz HZINRJUVJGUKRY-SOUVJXGZSA-N 1 2 319.449 1.493 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H]1CCN(C(C)C)C1=O ZINC001032562893 751347700 /nfs/dbraw/zinc/34/77/00/751347700.db2.gz HZINRJUVJGUKRY-SOUVJXGZSA-N 1 2 319.449 1.493 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCC2(CCN(CC#N)C2)CC1 ZINC001035252965 751358386 /nfs/dbraw/zinc/35/83/86/751358386.db2.gz UYBICWGAOLCULQ-UHFFFAOYSA-N 1 2 315.421 1.191 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cnnn1CC ZINC001024422496 735813089 /nfs/dbraw/zinc/81/30/89/735813089.db2.gz PCJLNNQHBGGPDX-GFCCVEGCSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cnnn1CC ZINC001024422496 735813098 /nfs/dbraw/zinc/81/30/98/735813098.db2.gz PCJLNNQHBGGPDX-GFCCVEGCSA-N 1 2 311.817 1.635 20 30 DDEDLO C#CCC[N@H+]1CCCC[C@@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC001024795446 736096998 /nfs/dbraw/zinc/09/69/98/736096998.db2.gz IKKLQQHAENHXTR-CQSZACIVSA-N 1 2 311.389 1.566 20 30 DDEDLO C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC001024795446 736097003 /nfs/dbraw/zinc/09/70/03/736097003.db2.gz IKKLQQHAENHXTR-CQSZACIVSA-N 1 2 311.389 1.566 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)N(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001104552924 736285531 /nfs/dbraw/zinc/28/55/31/736285531.db2.gz HSPZQWSBCPGUJD-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C(C)C)nc1C ZINC001018169245 736352133 /nfs/dbraw/zinc/35/21/33/736352133.db2.gz QVJXGQRBYGKPEQ-GASCZTMLSA-N 1 2 300.406 1.694 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C(C)C)nc1C ZINC001018169245 736352137 /nfs/dbraw/zinc/35/21/37/736352137.db2.gz QVJXGQRBYGKPEQ-GASCZTMLSA-N 1 2 300.406 1.694 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)CCn1cc[nH+]c1 ZINC001025255611 736395763 /nfs/dbraw/zinc/39/57/63/736395763.db2.gz RDESGOIVKZLCEO-CVEARBPZSA-N 1 2 315.421 1.642 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)n1 ZINC001075770806 740396344 /nfs/dbraw/zinc/39/63/44/740396344.db2.gz MUJYXJNHXMXOMZ-TUKIKUTGSA-N 1 2 314.389 1.385 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)n1 ZINC001075770806 740396347 /nfs/dbraw/zinc/39/63/47/740396347.db2.gz MUJYXJNHXMXOMZ-TUKIKUTGSA-N 1 2 314.389 1.385 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C3CCC(C)(C)CC3)[C@H]2C1 ZINC001083288204 737063489 /nfs/dbraw/zinc/06/34/89/737063489.db2.gz UAJIPMHRDXRULO-JKSUJKDBSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C3CCC(C)(C)CC3)[C@H]2C1 ZINC001083288204 737063491 /nfs/dbraw/zinc/06/34/91/737063491.db2.gz UAJIPMHRDXRULO-JKSUJKDBSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H]3CC=CCC3)C2)nn1 ZINC001098608591 737250150 /nfs/dbraw/zinc/25/01/50/737250150.db2.gz ZTOPEWHXSBYEFI-ZBFHGGJFSA-N 1 2 313.405 1.131 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@@H]3CC[C@@H](C)C3)C2)nn1 ZINC001105303996 737789410 /nfs/dbraw/zinc/78/94/10/737789410.db2.gz XUOJPUVACSFEGV-ZIAGYGMSSA-N 1 2 315.421 1.211 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnn2CC(C)C)C1 ZINC001107977064 751398168 /nfs/dbraw/zinc/39/81/68/751398168.db2.gz DQPCRXYLDOZDNU-QGZVFWFLSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnn2CC(C)C)C1 ZINC001107977064 751398173 /nfs/dbraw/zinc/39/81/73/751398173.db2.gz DQPCRXYLDOZDNU-QGZVFWFLSA-N 1 2 320.437 1.546 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3C[C@]3(C)CC)C2)nn1 ZINC001105354304 738990208 /nfs/dbraw/zinc/99/02/08/738990208.db2.gz HOWXQSMWKQCKLA-WBVHZDCISA-N 1 2 315.421 1.211 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001028102924 739037212 /nfs/dbraw/zinc/03/72/12/739037212.db2.gz IUHKWCWCNBQSIJ-NWDGAFQWSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001028102924 739037216 /nfs/dbraw/zinc/03/72/16/739037216.db2.gz IUHKWCWCNBQSIJ-NWDGAFQWSA-N 1 2 323.828 1.558 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(CCCC)c1CC ZINC001038898509 739104788 /nfs/dbraw/zinc/10/47/88/739104788.db2.gz BDMWSWLHOIPNFX-AWEZNQCLSA-N 1 2 302.422 1.683 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(CCCC)c1CC ZINC001038898509 739104791 /nfs/dbraw/zinc/10/47/91/739104791.db2.gz BDMWSWLHOIPNFX-AWEZNQCLSA-N 1 2 302.422 1.683 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1nc2ncccn2n1 ZINC001028210968 739165545 /nfs/dbraw/zinc/16/55/45/739165545.db2.gz WUMIWBPRHJHNFP-NSHDSACASA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1nc2ncccn2n1 ZINC001028210968 739165546 /nfs/dbraw/zinc/16/55/46/739165546.db2.gz WUMIWBPRHJHNFP-NSHDSACASA-N 1 2 320.784 1.071 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(CCOC)no2)C1 ZINC001207636914 739176438 /nfs/dbraw/zinc/17/64/38/739176438.db2.gz ZACLGUMKCXTUNX-ZDUSSCGKSA-N 1 2 322.409 1.305 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](Nc2ncccc2C#N)C1 ZINC001058937756 739256291 /nfs/dbraw/zinc/25/62/91/739256291.db2.gz POQGENYRPVILQJ-ZDUSSCGKSA-N 1 2 310.361 1.240 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001058946672 739287789 /nfs/dbraw/zinc/28/77/89/739287789.db2.gz QCMNYJKCOABVJX-ZDUSSCGKSA-N 1 2 310.361 1.240 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cccc(C(N)=O)n1 ZINC001028343265 739377347 /nfs/dbraw/zinc/37/73/47/739377347.db2.gz QTGYQSNBVWDRPK-LLVKDONJSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cccc(C(N)=O)n1 ZINC001028343265 739377354 /nfs/dbraw/zinc/37/73/54/739377354.db2.gz QTGYQSNBVWDRPK-LLVKDONJSA-N 1 2 322.796 1.127 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3cc(C)ccn32)C1 ZINC001035380503 751449752 /nfs/dbraw/zinc/44/97/52/751449752.db2.gz RZONAZAMCKCSEE-CQSZACIVSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc3cc(C)ccn32)C1 ZINC001035380503 751449754 /nfs/dbraw/zinc/44/97/54/751449754.db2.gz RZONAZAMCKCSEE-CQSZACIVSA-N 1 2 314.389 1.259 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cn(C(C)(C)C)nn2)C1 ZINC001035399610 751468554 /nfs/dbraw/zinc/46/85/54/751468554.db2.gz UHKMOKSBVNBJJV-ZDUSSCGKSA-N 1 2 321.425 1.040 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cn(C(C)(C)C)nn2)C1 ZINC001035399610 751468559 /nfs/dbraw/zinc/46/85/59/751468559.db2.gz UHKMOKSBVNBJJV-ZDUSSCGKSA-N 1 2 321.425 1.040 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncc(F)cc2F)C1 ZINC001035419591 751478006 /nfs/dbraw/zinc/47/80/06/751478006.db2.gz IHEHBNAZFGERRR-GFCCVEGCSA-N 1 2 311.332 1.367 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncc(F)cc2F)C1 ZINC001035419591 751478009 /nfs/dbraw/zinc/47/80/09/751478009.db2.gz IHEHBNAZFGERRR-GFCCVEGCSA-N 1 2 311.332 1.367 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2C(C)C)C1 ZINC001035429045 751487755 /nfs/dbraw/zinc/48/77/55/751487755.db2.gz JUWQYDDBLXOISE-CYBMUJFWSA-N 1 2 306.410 1.540 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2C(C)C)C1 ZINC001035429045 751487758 /nfs/dbraw/zinc/48/77/58/751487758.db2.gz JUWQYDDBLXOISE-CYBMUJFWSA-N 1 2 306.410 1.540 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(F)c(C)cc2F)C1 ZINC001035406205 751489435 /nfs/dbraw/zinc/48/94/35/751489435.db2.gz GRDCMRHIQUIMCN-LBPRGKRZSA-N 1 2 310.344 1.890 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(F)c(C)cc2F)C1 ZINC001035406205 751489436 /nfs/dbraw/zinc/48/94/36/751489436.db2.gz GRDCMRHIQUIMCN-LBPRGKRZSA-N 1 2 310.344 1.890 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc(CCC)c2)C1 ZINC001035413099 751495162 /nfs/dbraw/zinc/49/51/62/751495162.db2.gz VPFXSLBTFJXYCY-INIZCTEOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccnc(CCC)c2)C1 ZINC001035413099 751495165 /nfs/dbraw/zinc/49/51/65/751495165.db2.gz VPFXSLBTFJXYCY-INIZCTEOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)[C@@H]2C1 ZINC001075698706 740008546 /nfs/dbraw/zinc/00/85/46/740008546.db2.gz HFBNUWZOOZLVEL-SMDDNHRTSA-N 1 2 304.781 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)[C@@H]2C1 ZINC001075698706 740008549 /nfs/dbraw/zinc/00/85/49/740008549.db2.gz HFBNUWZOOZLVEL-SMDDNHRTSA-N 1 2 304.781 1.785 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001029007879 740253589 /nfs/dbraw/zinc/25/35/89/740253589.db2.gz NODFCEKPNKAYDO-AWEZNQCLSA-N 1 2 323.400 1.547 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001029007879 740253593 /nfs/dbraw/zinc/25/35/93/740253593.db2.gz NODFCEKPNKAYDO-AWEZNQCLSA-N 1 2 323.400 1.547 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)Nc1ccc(C#N)nc1 ZINC001098268310 740487976 /nfs/dbraw/zinc/48/79/76/740487976.db2.gz TYWBTPNGGAYXSU-SMDDNHRTSA-N 1 2 324.388 1.713 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CCNC(=O)Cc2c[nH+]cn2C)C1 ZINC001059313571 740606463 /nfs/dbraw/zinc/60/64/63/740606463.db2.gz BOYJPABYTSIGRN-CQSZACIVSA-N 1 2 318.421 1.284 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CCN1CC#N ZINC001087581036 740665239 /nfs/dbraw/zinc/66/52/39/740665239.db2.gz MALAZBJMLYVBBD-LSDHHAIUSA-N 1 2 315.421 1.255 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(C)nc2C(C)C)C1 ZINC001035530405 751611476 /nfs/dbraw/zinc/61/14/76/751611476.db2.gz MSSKAVHRVURBBY-AWEZNQCLSA-N 1 2 318.421 1.525 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc(C)nc2C(C)C)C1 ZINC001035530405 751611480 /nfs/dbraw/zinc/61/14/80/751611480.db2.gz MSSKAVHRVURBBY-AWEZNQCLSA-N 1 2 318.421 1.525 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2oc(CC)nc2C)C1 ZINC001035567030 751619272 /nfs/dbraw/zinc/61/92/72/751619272.db2.gz LSMGSVODUHZCNY-ZDUSSCGKSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2oc(CC)nc2C)C1 ZINC001035567030 751619274 /nfs/dbraw/zinc/61/92/74/751619274.db2.gz LSMGSVODUHZCNY-ZDUSSCGKSA-N 1 2 307.394 1.552 20 30 DDEDLO N#CCN[C@H]1CCCCCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)C1 ZINC001088337881 741410842 /nfs/dbraw/zinc/41/08/42/741410842.db2.gz PWLWGQYQCMKAFK-CABCVRRESA-N 1 2 315.421 1.330 20 30 DDEDLO C[C@H](CC(=O)N1CCCCC[C@H](NCC#N)C1)n1cc[nH+]c1 ZINC001088391439 741457574 /nfs/dbraw/zinc/45/75/74/741457574.db2.gz LAUOPARLFIGMFQ-CABCVRRESA-N 1 2 303.410 1.719 20 30 DDEDLO C[C@@H](CC(=O)N1C[C@@H]2CCC[C@]2(CNCC#N)C1)n1cc[nH+]c1 ZINC001112537055 741747529 /nfs/dbraw/zinc/74/75/29/741747529.db2.gz NPYUYLABDOLISA-ZOBUZTSGSA-N 1 2 315.421 1.576 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)nn1C ZINC001038107991 741826339 /nfs/dbraw/zinc/82/63/39/741826339.db2.gz RETVNEVVOVCXII-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)nn1C ZINC001038107991 741826346 /nfs/dbraw/zinc/82/63/46/741826346.db2.gz RETVNEVVOVCXII-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(NC(C)=O)cc2)C1 ZINC001035584973 751678858 /nfs/dbraw/zinc/67/88/58/751678858.db2.gz AHQCYWVGEVKYLN-MRXNPFEDSA-N 1 2 317.389 1.262 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(NC(C)=O)cc2)C1 ZINC001035584973 751678862 /nfs/dbraw/zinc/67/88/62/751678862.db2.gz AHQCYWVGEVKYLN-MRXNPFEDSA-N 1 2 317.389 1.262 20 30 DDEDLO Cc1cnc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)cn1 ZINC001038025656 751687557 /nfs/dbraw/zinc/68/75/57/751687557.db2.gz MSRMHEDRALZPNL-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cnc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)cn1 ZINC001038025656 751687562 /nfs/dbraw/zinc/68/75/62/751687562.db2.gz MSRMHEDRALZPNL-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cncc3[nH]cnc32)C1 ZINC001014505974 742204229 /nfs/dbraw/zinc/20/42/29/742204229.db2.gz RMTKNJAECGQYPA-SNVBAGLBSA-N 1 2 305.769 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cncc3[nH]cnc32)C1 ZINC001014505974 742204232 /nfs/dbraw/zinc/20/42/32/742204232.db2.gz RMTKNJAECGQYPA-SNVBAGLBSA-N 1 2 305.769 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn3cccnc23)[C@H]1C ZINC001088787541 742364577 /nfs/dbraw/zinc/36/45/77/742364577.db2.gz PEDMZAGVYPCBCS-YPMHNXCESA-N 1 2 319.796 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn3cccnc23)[C@H]1C ZINC001088787541 742364582 /nfs/dbraw/zinc/36/45/82/742364582.db2.gz PEDMZAGVYPCBCS-YPMHNXCESA-N 1 2 319.796 1.674 20 30 DDEDLO CCCN(CCNC(=O)CSCC#N)c1cc[nH+]c(C)n1 ZINC001101096654 742517607 /nfs/dbraw/zinc/51/76/07/742517607.db2.gz VWBQOYYXYAKJDL-UHFFFAOYSA-N 1 2 307.423 1.374 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cn(CCC)nn3)[C@@H]2C1 ZINC001076408052 742710537 /nfs/dbraw/zinc/71/05/37/742710537.db2.gz DPIXBAKCNGMBSQ-GXTWGEPZSA-N 1 2 323.828 1.587 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cn(CCC)nn3)[C@@H]2C1 ZINC001076408052 742710540 /nfs/dbraw/zinc/71/05/40/742710540.db2.gz DPIXBAKCNGMBSQ-GXTWGEPZSA-N 1 2 323.828 1.587 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001076530157 742771595 /nfs/dbraw/zinc/77/15/95/742771595.db2.gz WCMBMDFVSROJBW-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001076530828 742772194 /nfs/dbraw/zinc/77/21/94/742772194.db2.gz HYZBPXUSCPEDTA-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001076794958 742993132 /nfs/dbraw/zinc/99/31/32/742993132.db2.gz ZRXBXEUGRBZDSL-AWEZNQCLSA-N 1 2 320.437 1.720 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncccc2CC)C1 ZINC001108042818 743043226 /nfs/dbraw/zinc/04/32/26/743043226.db2.gz XEHFPNYBRUDEGO-KRWDZBQOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncccc2CC)C1 ZINC001108042818 743043234 /nfs/dbraw/zinc/04/32/34/743043234.db2.gz XEHFPNYBRUDEGO-KRWDZBQOSA-N 1 2 303.406 1.651 20 30 DDEDLO C#CC[NH+]1CC2(C1)CCN(C(=O)[C@H]1CCCc3c[nH]nc31)CC2 ZINC001035705315 751791630 /nfs/dbraw/zinc/79/16/30/751791630.db2.gz CIJLPPRTHNEPEN-HNNXBMFYSA-N 1 2 312.417 1.387 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(F)cc2F)[C@@H](O)C1 ZINC001083559056 743150321 /nfs/dbraw/zinc/15/03/21/743150321.db2.gz PVSFLTDEUVOSQY-CABCVRRESA-N 1 2 308.328 1.071 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(F)cc2F)[C@@H](O)C1 ZINC001083559056 743150322 /nfs/dbraw/zinc/15/03/22/743150322.db2.gz PVSFLTDEUVOSQY-CABCVRRESA-N 1 2 308.328 1.071 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001181476892 743221849 /nfs/dbraw/zinc/22/18/49/743221849.db2.gz WBVQVNVELGZTQY-DGCLKSJQSA-N 1 2 308.382 1.050 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001061108055 743262977 /nfs/dbraw/zinc/26/29/77/743262977.db2.gz RZWQUCAKJFCYTM-QWHCGFSZSA-N 1 2 324.388 1.758 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001077143756 743273055 /nfs/dbraw/zinc/27/30/55/743273055.db2.gz HNAPADMKJSWDIT-ZDUSSCGKSA-N 1 2 320.437 1.904 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC[C@H](C)C1 ZINC001121509288 782542203 /nfs/dbraw/zinc/54/22/03/782542203.db2.gz DIXJEGCHAKBSAP-IHRRRGAJSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC[C@H](C)C1 ZINC001121509288 782542210 /nfs/dbraw/zinc/54/22/10/782542210.db2.gz DIXJEGCHAKBSAP-IHRRRGAJSA-N 1 2 305.426 1.438 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001077184394 743300503 /nfs/dbraw/zinc/30/05/03/743300503.db2.gz CQRDGKQOZOIYIW-ZDUSSCGKSA-N 1 2 304.394 1.250 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3sccc3s2)C1 ZINC001077237586 743340445 /nfs/dbraw/zinc/34/04/45/743340445.db2.gz LUBWWXKBCNTIQH-NXEZZACHSA-N 1 2 308.428 1.924 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3sccc3s2)C1 ZINC001077237586 743340452 /nfs/dbraw/zinc/34/04/52/743340452.db2.gz LUBWWXKBCNTIQH-NXEZZACHSA-N 1 2 308.428 1.924 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](CCC)OC)CC2)C1 ZINC001105717116 743755981 /nfs/dbraw/zinc/75/59/81/743755981.db2.gz OOUISSYWMWBMCX-INIZCTEOSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NC[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001105992013 744172056 /nfs/dbraw/zinc/17/20/56/744172056.db2.gz WFRQHCBDQYHUCF-NSHDSACASA-N 1 2 312.801 1.453 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]([NH2+]Cc3nncs3)C2)CC1 ZINC001185487050 744235267 /nfs/dbraw/zinc/23/52/67/744235267.db2.gz QLFQWYSMGXAWAI-GFCCVEGCSA-N 1 2 306.435 1.975 20 30 DDEDLO C[C@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC[C@H]1CNCC#N ZINC001185493452 744237229 /nfs/dbraw/zinc/23/72/29/744237229.db2.gz WWCMXVVAPKUJRC-STQMWFEESA-N 1 2 303.410 1.285 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001185955634 744313606 /nfs/dbraw/zinc/31/36/06/744313606.db2.gz VSFFLGFALKQNQQ-HNNXBMFYSA-N 1 2 323.416 1.857 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3nc(C)cs3)C[C@H]21 ZINC001187295782 744543532 /nfs/dbraw/zinc/54/35/32/744543532.db2.gz GJIXYOOGJFMCLT-UONOGXRCSA-N 1 2 321.446 1.687 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3nc(C)cs3)C[C@H]21 ZINC001187295782 744543533 /nfs/dbraw/zinc/54/35/33/744543533.db2.gz GJIXYOOGJFMCLT-UONOGXRCSA-N 1 2 321.446 1.687 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nocc3C)C[C@H]21 ZINC001187706864 744594669 /nfs/dbraw/zinc/59/46/69/744594669.db2.gz SXUYCDLJZUQKAH-GOEBONIOSA-N 1 2 301.390 1.819 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nocc3C)C[C@H]21 ZINC001187706864 744594670 /nfs/dbraw/zinc/59/46/70/744594670.db2.gz SXUYCDLJZUQKAH-GOEBONIOSA-N 1 2 301.390 1.819 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)[C@@H]2CCN(C(=O)CC)C2)c1 ZINC001188519588 744711263 /nfs/dbraw/zinc/71/12/63/744711263.db2.gz KJLFEEIENYUJLA-MRXNPFEDSA-N 1 2 313.401 1.549 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)[C@@H]2CCN(C(=O)CC)C2)c1 ZINC001188519588 744711266 /nfs/dbraw/zinc/71/12/66/744711266.db2.gz KJLFEEIENYUJLA-MRXNPFEDSA-N 1 2 313.401 1.549 20 30 DDEDLO Cc1conc1C[N@@H+](C)[C@H]1CCN(C(=O)CSCC#N)C1 ZINC001188966485 744801619 /nfs/dbraw/zinc/80/16/19/744801619.db2.gz WZWBAKKBEJTWID-LBPRGKRZSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1conc1C[N@H+](C)[C@H]1CCN(C(=O)CSCC#N)C1 ZINC001188966485 744801621 /nfs/dbraw/zinc/80/16/21/744801621.db2.gz WZWBAKKBEJTWID-LBPRGKRZSA-N 1 2 308.407 1.273 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(NC(=O)c3cccc4nc[nH]c43)CCC[C@H]12 ZINC000992365988 744825878 /nfs/dbraw/zinc/82/58/78/744825878.db2.gz KDZFARHAQDHDKX-YJBOKZPZSA-N 1 2 308.385 1.923 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(NC(=O)c3cccc4nc[nH]c43)CCC[C@H]12 ZINC000992365988 744825881 /nfs/dbraw/zinc/82/58/81/744825881.db2.gz KDZFARHAQDHDKX-YJBOKZPZSA-N 1 2 308.385 1.923 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)COC(C)C)C1 ZINC001189198615 744842414 /nfs/dbraw/zinc/84/24/14/744842414.db2.gz YCRLINBYNHPAFI-GFCCVEGCSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)COC(C)C)C1 ZINC001189198615 744842417 /nfs/dbraw/zinc/84/24/17/744842417.db2.gz YCRLINBYNHPAFI-GFCCVEGCSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1C[NH+](Cc2ccccc2C)C1 ZINC001030977273 745009395 /nfs/dbraw/zinc/00/93/95/745009395.db2.gz GWDSRACQLPDPDE-SJORKVTESA-N 1 2 300.402 1.887 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001189918730 745034213 /nfs/dbraw/zinc/03/42/13/745034213.db2.gz TUCFIMNGNBOXJP-RHSMWYFYSA-N 1 2 321.421 1.651 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001189918730 745034219 /nfs/dbraw/zinc/03/42/19/745034219.db2.gz TUCFIMNGNBOXJP-RHSMWYFYSA-N 1 2 321.421 1.651 20 30 DDEDLO CC(C)(C(=O)N1C[C@@H]2CCC[C@@H](NCC#N)[C@H]2C1)c1c[nH+]c[nH]1 ZINC000992861123 745257318 /nfs/dbraw/zinc/25/73/18/745257318.db2.gz VCEIDOXDJQOYJZ-MELADBBJSA-N 1 2 315.421 1.428 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001191912156 745638665 /nfs/dbraw/zinc/63/86/65/745638665.db2.gz NMYUWPZTBWUORH-KBPBESRZSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001191912156 745638666 /nfs/dbraw/zinc/63/86/66/745638666.db2.gz NMYUWPZTBWUORH-KBPBESRZSA-N 1 2 305.426 1.450 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001192120148 745695106 /nfs/dbraw/zinc/69/51/06/745695106.db2.gz UUUYYBJMODQKDZ-ZBILYQQOSA-N 1 2 305.403 1.247 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001192120148 745695109 /nfs/dbraw/zinc/69/51/09/745695109.db2.gz UUUYYBJMODQKDZ-ZBILYQQOSA-N 1 2 305.403 1.247 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3ccns3)C2)[nH]1 ZINC001007152782 752039464 /nfs/dbraw/zinc/03/94/64/752039464.db2.gz QOZOQBMIMHJINF-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccns3)C2)[nH]1 ZINC001007152782 752039470 /nfs/dbraw/zinc/03/94/70/752039470.db2.gz QOZOQBMIMHJINF-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NCc1c[nH+]cn1Cc1ccccc1 ZINC001193185289 746023168 /nfs/dbraw/zinc/02/31/68/746023168.db2.gz GYVHTZGGMMUYGC-GFCCVEGCSA-N 1 2 304.375 1.263 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1O)OCC ZINC001193422335 746103369 /nfs/dbraw/zinc/10/33/69/746103369.db2.gz PFGDWRQSSNBQRO-LHHWMGCDSA-N 1 2 316.829 1.272 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1O)OCC ZINC001193422335 746103370 /nfs/dbraw/zinc/10/33/70/746103370.db2.gz PFGDWRQSSNBQRO-LHHWMGCDSA-N 1 2 316.829 1.272 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cccc(C(=O)N(C)C)c2)C1 ZINC001031272649 746110781 /nfs/dbraw/zinc/11/07/81/746110781.db2.gz WRHYRFYGEXPFST-UHFFFAOYSA-N 1 2 301.390 1.379 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCc2ccc(F)cc2)C1 ZINC001193628991 746154610 /nfs/dbraw/zinc/15/46/10/746154610.db2.gz IGNWSEINCIDVII-IAOVAPTHSA-N 1 2 318.392 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCc2ccc(F)cc2)C1 ZINC001193628991 746154613 /nfs/dbraw/zinc/15/46/13/746154613.db2.gz IGNWSEINCIDVII-IAOVAPTHSA-N 1 2 318.392 1.189 20 30 DDEDLO COc1ccc(C#N)c(S(=O)(=O)N2C[C@H](C)[N@H+](C)C[C@H]2C)c1 ZINC001193655656 746168356 /nfs/dbraw/zinc/16/83/56/746168356.db2.gz AJKHDAXRMWHJHZ-NWDGAFQWSA-N 1 2 323.418 1.280 20 30 DDEDLO COc1ccc(C#N)c(S(=O)(=O)N2C[C@H](C)[N@@H+](C)C[C@H]2C)c1 ZINC001193655656 746168357 /nfs/dbraw/zinc/16/83/57/746168357.db2.gz AJKHDAXRMWHJHZ-NWDGAFQWSA-N 1 2 323.418 1.280 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cc(C)nn2C)[C@@H]1C ZINC000993603604 746227430 /nfs/dbraw/zinc/22/74/30/746227430.db2.gz QCQHYWKKVPQYKB-ZFWWWQNUSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cc(C)nn2C)[C@@H]1C ZINC000993603604 746227432 /nfs/dbraw/zinc/22/74/32/746227432.db2.gz QCQHYWKKVPQYKB-ZFWWWQNUSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cn(C)c(=O)cn2)[C@@H]1C ZINC000993615701 746234382 /nfs/dbraw/zinc/23/43/82/746234382.db2.gz VVZANTXYJGJZMD-NWDGAFQWSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cn(C)c(=O)cn2)[C@@H]1C ZINC000993615701 746234384 /nfs/dbraw/zinc/23/43/84/746234384.db2.gz VVZANTXYJGJZMD-NWDGAFQWSA-N 1 2 324.812 1.116 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2ncccn2)C1 ZINC001194396589 746366538 /nfs/dbraw/zinc/36/65/38/746366538.db2.gz GDCDFOPXUGSNIH-HUUCEWRRSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2ncccn2)C1 ZINC001194396589 746366541 /nfs/dbraw/zinc/36/65/41/746366541.db2.gz GDCDFOPXUGSNIH-HUUCEWRRSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@H]1C ZINC000994118121 746452965 /nfs/dbraw/zinc/45/29/65/746452965.db2.gz VAAGPEWBSALYMJ-PWSUYJOCSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@H]1C ZINC000994118121 746452966 /nfs/dbraw/zinc/45/29/66/746452966.db2.gz VAAGPEWBSALYMJ-PWSUYJOCSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccc(OC)nn2)[C@H]1C ZINC000994176704 746476433 /nfs/dbraw/zinc/47/64/33/746476433.db2.gz WCDTYNAIIGIHRZ-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(OC)nn2)[C@H]1C ZINC000994176704 746476435 /nfs/dbraw/zinc/47/64/35/746476435.db2.gz WCDTYNAIIGIHRZ-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO Cn1c[nH+]cc1-c1cccc(C(=O)n2nc(N)c(C#N)c2N)c1 ZINC001194886542 746481805 /nfs/dbraw/zinc/48/18/05/746481805.db2.gz HGQPCBPJRBHIAT-UHFFFAOYSA-N 1 2 307.317 1.008 20 30 DDEDLO CCC(C)(C)NC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195321287 746564198 /nfs/dbraw/zinc/56/41/98/746564198.db2.gz HDYHWIVDWLYINO-KBPBESRZSA-N 1 2 322.453 1.374 20 30 DDEDLO CCC(C)(C)NC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195321287 746564201 /nfs/dbraw/zinc/56/42/01/746564201.db2.gz HDYHWIVDWLYINO-KBPBESRZSA-N 1 2 322.453 1.374 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C/C(C)(C)C)CC1 ZINC001195356082 746583674 /nfs/dbraw/zinc/58/36/74/746583674.db2.gz LLQQOCHKABTTPW-BQYQJAHWSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C/C(C)(C)C)CC1 ZINC001195356082 746583677 /nfs/dbraw/zinc/58/36/77/746583677.db2.gz LLQQOCHKABTTPW-BQYQJAHWSA-N 1 2 307.438 1.425 20 30 DDEDLO CCN(C(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1)C1CC1 ZINC001195754006 746686646 /nfs/dbraw/zinc/68/66/46/746686646.db2.gz HBUIJRNLMYWWNX-UHFFFAOYSA-N 1 2 319.449 1.191 20 30 DDEDLO CCN(C(=O)C[N@H+]1CCCN(C(=O)C#CC(C)C)CC1)C1CC1 ZINC001195754006 746686651 /nfs/dbraw/zinc/68/66/51/746686651.db2.gz HBUIJRNLMYWWNX-UHFFFAOYSA-N 1 2 319.449 1.191 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCN(c3[nH+]cccc3N)CC2)c(O)c1 ZINC001195751770 746699512 /nfs/dbraw/zinc/69/95/12/746699512.db2.gz XPNGVGDXEBODOO-UHFFFAOYSA-N 1 2 323.356 1.203 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1ncccc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089381600 746762421 /nfs/dbraw/zinc/76/24/21/746762421.db2.gz TTYAFTFCTARYOE-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1O ZINC001196481559 746872716 /nfs/dbraw/zinc/87/27/16/746872716.db2.gz CMROJNAQTZFTRA-CHWSQXEVSA-N 1 2 309.435 1.407 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1O ZINC001196481559 746872721 /nfs/dbraw/zinc/87/27/21/746872721.db2.gz CMROJNAQTZFTRA-CHWSQXEVSA-N 1 2 309.435 1.407 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)c3ccccn3)C2)c1 ZINC001031515520 746938433 /nfs/dbraw/zinc/93/84/33/746938433.db2.gz JVTHTEQMVNNHDB-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO Cc1cnc([C@H](C)[NH+]2CC(CNC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001031603578 747154075 /nfs/dbraw/zinc/15/40/75/747154075.db2.gz SUVVWPMEHIIOES-NSHDSACASA-N 1 2 313.361 1.606 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2nc(C)oc2C)CC1 ZINC001197536986 747187935 /nfs/dbraw/zinc/18/79/35/747187935.db2.gz YDRKGOSVWBWHBV-UHFFFAOYSA-N 1 2 305.378 1.089 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2nc(C)oc2C)CC1 ZINC001197536986 747187939 /nfs/dbraw/zinc/18/79/39/747187939.db2.gz YDRKGOSVWBWHBV-UHFFFAOYSA-N 1 2 305.378 1.089 20 30 DDEDLO CC(C)(F)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001090002207 747192997 /nfs/dbraw/zinc/19/29/97/747192997.db2.gz QKLPHRZEHUFAGT-CABCVRRESA-N 1 2 319.380 1.358 20 30 DDEDLO CC(C)(F)C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001090002207 747193002 /nfs/dbraw/zinc/19/30/02/747193002.db2.gz QKLPHRZEHUFAGT-CABCVRRESA-N 1 2 319.380 1.358 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2cnn3cccnc23)CC1 ZINC001003411067 747194802 /nfs/dbraw/zinc/19/48/02/747194802.db2.gz AJCXYRXANBLIQO-UHFFFAOYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](CC)CCC)CC1 ZINC001197597181 747217348 /nfs/dbraw/zinc/21/73/48/747217348.db2.gz NMEVRYYXORGFSS-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](CC)CCC)CC1 ZINC001197597181 747217351 /nfs/dbraw/zinc/21/73/51/747217351.db2.gz NMEVRYYXORGFSS-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C2CC2)C2CC2)CC1 ZINC001197730076 747251888 /nfs/dbraw/zinc/25/18/88/747251888.db2.gz KVUUOGGTTXJGCD-UHFFFAOYSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(C2CC2)C2CC2)CC1 ZINC001197730076 747251893 /nfs/dbraw/zinc/25/18/93/747251893.db2.gz KVUUOGGTTXJGCD-UHFFFAOYSA-N 1 2 319.449 1.259 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnn3c2C[C@@H](C)CC3)C1 ZINC001044241375 747376012 /nfs/dbraw/zinc/37/60/12/747376012.db2.gz DDFFETLEBQOLTK-ZDUSSCGKSA-N 1 2 302.422 1.798 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccsc2)[C@@H](O)C1 ZINC001090048512 747590122 /nfs/dbraw/zinc/59/01/22/747590122.db2.gz ZMGZWXDXXCSWTC-NEPJUHHUSA-N 1 2 300.811 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccsc2)[C@@H](O)C1 ZINC001090048512 747590123 /nfs/dbraw/zinc/59/01/23/747590123.db2.gz ZMGZWXDXXCSWTC-NEPJUHHUSA-N 1 2 300.811 1.666 20 30 DDEDLO CCN(c1ccc(C#N)nc1)[C@H](C)CNC(=O)Cn1cc[nH+]c1 ZINC001089666789 747617397 /nfs/dbraw/zinc/61/73/97/747617397.db2.gz PFEPIHLHYKHKAW-CYBMUJFWSA-N 1 2 312.377 1.181 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)c2ccc(Cl)cc2)C1 ZINC001198955863 747660583 /nfs/dbraw/zinc/66/05/83/747660583.db2.gz KSNQKUBIECCKMP-ARFHVFGLSA-N 1 2 320.820 1.628 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)c2ccc(Cl)cc2)C1 ZINC001198955863 747660585 /nfs/dbraw/zinc/66/05/85/747660585.db2.gz KSNQKUBIECCKMP-ARFHVFGLSA-N 1 2 320.820 1.628 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cc(=O)[nH]c(C3CC3)n2)C1 ZINC001031753099 747746033 /nfs/dbraw/zinc/74/60/33/747746033.db2.gz BHKNEQBZACBBSR-UHFFFAOYSA-N 1 2 322.796 1.474 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+][C@H](C)c1nc(CC)no1 ZINC001127613211 747802621 /nfs/dbraw/zinc/80/26/21/747802621.db2.gz VHEQJRUVIKSZSU-UPJWGTAASA-N 1 2 322.409 1.380 20 30 DDEDLO N#CCN1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001089803167 747882507 /nfs/dbraw/zinc/88/25/07/747882507.db2.gz MNNGZZLDPZGJNI-AJNGGQMLSA-N 1 2 313.405 1.035 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2c(C)cc(=O)oc2C)C1 ZINC001031832947 747971243 /nfs/dbraw/zinc/97/12/43/747971243.db2.gz UZZNQYJKDYWEEY-UHFFFAOYSA-N 1 2 310.781 1.671 20 30 DDEDLO C=CCSc1ncccc1C(=O)N[C@@H](CO)Cc1c[nH]c[nH+]1 ZINC001199740183 747975137 /nfs/dbraw/zinc/97/51/37/747975137.db2.gz RWEFJHGLQAKUKH-GFCCVEGCSA-N 1 2 318.402 1.416 20 30 DDEDLO C=CCSc1ncccc1C(=O)N[C@@H](CO)Cc1c[nH+]c[nH]1 ZINC001199740183 747975138 /nfs/dbraw/zinc/97/51/38/747975138.db2.gz RWEFJHGLQAKUKH-GFCCVEGCSA-N 1 2 318.402 1.416 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccccc2O)[C@H](O)C1 ZINC001090076083 748086879 /nfs/dbraw/zinc/08/68/79/748086879.db2.gz LZMDUGQIHMUPFZ-GXTWGEPZSA-N 1 2 310.781 1.310 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccccc2O)[C@H](O)C1 ZINC001090076083 748086885 /nfs/dbraw/zinc/08/68/85/748086885.db2.gz LZMDUGQIHMUPFZ-GXTWGEPZSA-N 1 2 310.781 1.310 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@H](C[NH2+][C@@H](C)c2csnn2)C1 ZINC001200083255 748129038 /nfs/dbraw/zinc/12/90/38/748129038.db2.gz DVCBMDVAKXHMPG-QWHCGFSZSA-N 1 2 324.450 1.772 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3ccns3)C2)cc1 ZINC001031892078 748133169 /nfs/dbraw/zinc/13/31/69/748133169.db2.gz LLBNMCNKKLFUON-UHFFFAOYSA-N 1 2 311.410 1.986 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC001031921189 748214915 /nfs/dbraw/zinc/21/49/15/748214915.db2.gz JRRTZVVDGXETNK-ZDUSSCGKSA-N 1 2 313.829 1.186 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001033080444 748223147 /nfs/dbraw/zinc/22/31/47/748223147.db2.gz AMYJXYXDJJYUDS-GJZGRUSLSA-N 1 2 300.406 1.386 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001033080444 748223155 /nfs/dbraw/zinc/22/31/55/748223155.db2.gz AMYJXYXDJJYUDS-GJZGRUSLSA-N 1 2 300.406 1.386 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001004323198 748306142 /nfs/dbraw/zinc/30/61/42/748306142.db2.gz UZANIRIOBXIRJU-AWEZNQCLSA-N 1 2 315.377 1.022 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001004323198 748306147 /nfs/dbraw/zinc/30/61/47/748306147.db2.gz UZANIRIOBXIRJU-AWEZNQCLSA-N 1 2 315.377 1.022 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001033124317 748473382 /nfs/dbraw/zinc/47/33/82/748473382.db2.gz NXINFUNPWRAFLM-CHWSQXEVSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001033124317 748473389 /nfs/dbraw/zinc/47/33/89/748473389.db2.gz NXINFUNPWRAFLM-CHWSQXEVSA-N 1 2 313.829 1.140 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC[C@@H]2CCCOC2)C1 ZINC001108312476 761907430 /nfs/dbraw/zinc/90/74/30/761907430.db2.gz KBZFKULIOASEOT-FUHWJXTLSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC[C@@H]2CCCOC2)C1 ZINC001108312476 761907434 /nfs/dbraw/zinc/90/74/34/761907434.db2.gz KBZFKULIOASEOT-FUHWJXTLSA-N 1 2 322.449 1.424 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110770685 748997731 /nfs/dbraw/zinc/99/77/31/748997731.db2.gz ZQGZKMPBBICRIE-MRXNPFEDSA-N 1 2 304.394 1.463 20 30 DDEDLO C[C@]1(NC(=O)CCn2cc[nH+]c2)CCN(c2ccc(C#N)nc2)C1 ZINC001110802151 749023478 /nfs/dbraw/zinc/02/34/78/749023478.db2.gz JLROJQVMKNPWAU-KRWDZBQOSA-N 1 2 324.388 1.325 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+][C@H](C)c1nc(CC)no1 ZINC001125259904 749088448 /nfs/dbraw/zinc/08/84/48/749088448.db2.gz HNRHTNQIUFNMQV-GFCCVEGCSA-N 1 2 310.398 1.382 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccc(C#N)c2)on1 ZINC001032276961 749163552 /nfs/dbraw/zinc/16/35/52/749163552.db2.gz LYCJGKZQJYJMPJ-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccc(C#N)c2)on1 ZINC001032276961 749163557 /nfs/dbraw/zinc/16/35/57/749163557.db2.gz LYCJGKZQJYJMPJ-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO CN(CCC#N)c1ccc(C[NH2+][C@H](C(N)=O)c2ccccn2)cc1 ZINC001202528666 749227299 /nfs/dbraw/zinc/22/72/99/749227299.db2.gz QSBCEIZCQDBALP-KRWDZBQOSA-N 1 2 323.400 1.748 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108336130 761931195 /nfs/dbraw/zinc/93/11/95/761931195.db2.gz SVCLPRVXNSYHNX-NSHDSACASA-N 1 2 300.366 1.083 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H](CC)OCC)nn2)C1 ZINC001107140546 749371348 /nfs/dbraw/zinc/37/13/48/749371348.db2.gz JLRPROUWTQFNLG-OAHLLOKOSA-N 1 2 321.425 1.142 20 30 DDEDLO C#CCCCC(=O)NC/C=C\CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001107147818 749405845 /nfs/dbraw/zinc/40/58/45/749405845.db2.gz VIEUNSKZPOOXEE-HJWRWDBZSA-N 1 2 316.409 1.134 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C(F)=C(C)C)nn2)C1 ZINC001107155770 749424041 /nfs/dbraw/zinc/42/40/41/749424041.db2.gz JDMVWUOXCTVRFE-UHFFFAOYSA-N 1 2 305.357 1.038 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc(CC)nn2C)C1 ZINC001033534475 749496998 /nfs/dbraw/zinc/49/69/98/749496998.db2.gz PUAXWTFAWGMAHM-CYBMUJFWSA-N 1 2 310.829 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(CC)nn2C)C1 ZINC001033534475 749497001 /nfs/dbraw/zinc/49/70/01/749497001.db2.gz PUAXWTFAWGMAHM-CYBMUJFWSA-N 1 2 310.829 1.881 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cccc(OC)n2)C1 ZINC001033545782 749523709 /nfs/dbraw/zinc/52/37/09/749523709.db2.gz AIUVEYOEAZERIF-GFCCVEGCSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cccc(OC)n2)C1 ZINC001033545782 749523715 /nfs/dbraw/zinc/52/37/15/749523715.db2.gz AIUVEYOEAZERIF-GFCCVEGCSA-N 1 2 309.797 1.989 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@](C)(C=C)CC)nn2)C1 ZINC001107208260 749594224 /nfs/dbraw/zinc/59/42/24/749594224.db2.gz WNFFFYZCQIQHLT-KRWDZBQOSA-N 1 2 315.421 1.377 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C[C@H](C)C3CC3)nn2)C1 ZINC001107238665 749679662 /nfs/dbraw/zinc/67/96/62/749679662.db2.gz JUCRDPZSOGCSNA-ZDUSSCGKSA-N 1 2 317.437 1.763 20 30 DDEDLO N#Cc1c(F)cccc1NC/C=C/CNC(=O)Cn1cc[nH+]c1 ZINC001107240283 749682516 /nfs/dbraw/zinc/68/25/16/749682516.db2.gz JGAKKZHBYQZSHC-OWOJBTEDSA-N 1 2 313.336 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)C2=COCCO2)C1 ZINC001033741796 749810879 /nfs/dbraw/zinc/81/08/79/749810879.db2.gz HUGCXASZZMYVAP-GFCCVEGCSA-N 1 2 300.786 1.550 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)C2=COCCO2)C1 ZINC001033741796 749810885 /nfs/dbraw/zinc/81/08/85/749810885.db2.gz HUGCXASZZMYVAP-GFCCVEGCSA-N 1 2 300.786 1.550 20 30 DDEDLO C=C(C)CCC(=O)NC/C=C/CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001107376316 749869309 /nfs/dbraw/zinc/86/93/09/749869309.db2.gz JLMBIWKYANRANW-VOTSOKGWSA-N 1 2 318.425 1.687 20 30 DDEDLO CC#CC[NH+]1CCC(N(C)C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001005394292 749965070 /nfs/dbraw/zinc/96/50/70/749965070.db2.gz BUARQOZNSQKKCC-OAHLLOKOSA-N 1 2 314.433 1.776 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCCN(C)c1ccncc1C#N ZINC001095606522 750074074 /nfs/dbraw/zinc/07/40/74/750074074.db2.gz PNSVBYUPBFTFHX-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001110897559 750185361 /nfs/dbraw/zinc/18/53/61/750185361.db2.gz OHVINUJKPOKKLM-MCIONIFRSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001110897559 750185365 /nfs/dbraw/zinc/18/53/65/750185365.db2.gz OHVINUJKPOKKLM-MCIONIFRSA-N 1 2 307.438 1.446 20 30 DDEDLO CCN(C(=O)c1nc[nH]n1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033994305 750231185 /nfs/dbraw/zinc/23/11/85/750231185.db2.gz HTIJOPKWFYYPJZ-OAHLLOKOSA-N 1 2 324.388 1.413 20 30 DDEDLO CCN(C(=O)c1nc[nH]n1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033994305 750231192 /nfs/dbraw/zinc/23/11/92/750231192.db2.gz HTIJOPKWFYYPJZ-OAHLLOKOSA-N 1 2 324.388 1.413 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001032405222 750599996 /nfs/dbraw/zinc/59/99/96/750599996.db2.gz DFAKUOORNSQADI-KKUMJFAQSA-N 1 2 300.406 1.691 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001032405222 750599998 /nfs/dbraw/zinc/59/99/98/750599998.db2.gz DFAKUOORNSQADI-KKUMJFAQSA-N 1 2 300.406 1.691 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CC[C@H](NCC#N)CC3)ccn12 ZINC001034643555 750625389 /nfs/dbraw/zinc/62/53/89/750625389.db2.gz AATJNXWVZMQGLM-SHTZXODSSA-N 1 2 311.389 1.797 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2CC(OCC)C2)C1 ZINC001107917280 750635423 /nfs/dbraw/zinc/63/54/23/750635423.db2.gz XMOCYXMOQOHTMP-DQPZFDDXSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2CC(OCC)C2)C1 ZINC001107917280 750635424 /nfs/dbraw/zinc/63/54/24/750635424.db2.gz XMOCYXMOQOHTMP-DQPZFDDXSA-N 1 2 308.422 1.032 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccncc2C)[C@H](O)C1 ZINC001090238183 750689627 /nfs/dbraw/zinc/68/96/27/750689627.db2.gz VKPDWAHGAUCEHN-ZIAGYGMSSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccncc2C)[C@H](O)C1 ZINC001090238183 750689632 /nfs/dbraw/zinc/68/96/32/750689632.db2.gz VKPDWAHGAUCEHN-ZIAGYGMSSA-N 1 2 309.797 1.308 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001108148298 750778729 /nfs/dbraw/zinc/77/87/29/750778729.db2.gz IARXCRSJWZQOQH-NWDGAFQWSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001108148298 750778731 /nfs/dbraw/zinc/77/87/31/750778731.db2.gz IARXCRSJWZQOQH-NWDGAFQWSA-N 1 2 312.377 1.472 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(Br)c1 ZINC001032433799 750843221 /nfs/dbraw/zinc/84/32/21/750843221.db2.gz RMENMGLDZDURHB-RYUDHWBXSA-N 1 2 323.190 1.964 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(Br)c1 ZINC001032433799 750843231 /nfs/dbraw/zinc/84/32/31/750843231.db2.gz RMENMGLDZDURHB-RYUDHWBXSA-N 1 2 323.190 1.964 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC(C)C)nc1 ZINC001032434818 750845656 /nfs/dbraw/zinc/84/56/56/750845656.db2.gz WVZMBNQQEKXGOB-HOTGVXAUSA-N 1 2 313.401 1.791 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC(C)C)nc1 ZINC001032434818 750845665 /nfs/dbraw/zinc/84/56/65/750845665.db2.gz WVZMBNQQEKXGOB-HOTGVXAUSA-N 1 2 313.401 1.791 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)no1 ZINC001032460831 750885072 /nfs/dbraw/zinc/88/50/72/750885072.db2.gz GJGYMKKJLWOKAX-IUCAKERBSA-N 1 2 301.268 1.778 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)no1 ZINC001032460831 750885083 /nfs/dbraw/zinc/88/50/83/750885083.db2.gz GJGYMKKJLWOKAX-IUCAKERBSA-N 1 2 301.268 1.778 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)C(=C)Cl)[C@@H](n2ccnn2)C1 ZINC001129014751 750912432 /nfs/dbraw/zinc/91/24/32/750912432.db2.gz QAPKGLFMMBSKOI-OLZOCXBDSA-N 1 2 321.812 1.032 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)C(=C)Cl)[C@@H](n2ccnn2)C1 ZINC001129014751 750912437 /nfs/dbraw/zinc/91/24/37/750912437.db2.gz QAPKGLFMMBSKOI-OLZOCXBDSA-N 1 2 321.812 1.032 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001107958710 750923354 /nfs/dbraw/zinc/92/33/54/750923354.db2.gz XQWUEGFQHXBXES-LLVKDONJSA-N 1 2 302.382 1.636 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(C(C)C)nn3)C[C@H]21 ZINC001114672913 750973839 /nfs/dbraw/zinc/97/38/39/750973839.db2.gz XZAYKACAOQCPJV-FICVDOATSA-N 1 2 317.437 1.618 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(C(C)C)nn3)C[C@H]21 ZINC001114672913 750973843 /nfs/dbraw/zinc/97/38/43/750973843.db2.gz XZAYKACAOQCPJV-FICVDOATSA-N 1 2 317.437 1.618 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1C[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001114766750 751063334 /nfs/dbraw/zinc/06/33/34/751063334.db2.gz LCLHRZAKKKFFOX-XEZPLFJOSA-N 1 2 304.394 1.419 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114791375 751082809 /nfs/dbraw/zinc/08/28/09/751082809.db2.gz CUQOICWVWFAYMJ-TWJWRFFLSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114791375 751082814 /nfs/dbraw/zinc/08/28/14/751082814.db2.gz CUQOICWVWFAYMJ-TWJWRFFLSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]2C[NH2+]Cc2nc(C)no2)C1 ZINC001035041903 751144414 /nfs/dbraw/zinc/14/44/14/751144414.db2.gz SCQOSEAFGZYNPA-CYBMUJFWSA-N 1 2 304.394 1.815 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1[C@H]1CCCO1 ZINC001032559758 751327018 /nfs/dbraw/zinc/32/70/18/751327018.db2.gz DNTXVQFDQQIONE-RWMBFGLXSA-N 1 2 303.362 1.611 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1[C@H]1CCCO1 ZINC001032559758 751327024 /nfs/dbraw/zinc/32/70/24/751327024.db2.gz DNTXVQFDQQIONE-RWMBFGLXSA-N 1 2 303.362 1.611 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC#Cc2ccccc2)C1 ZINC001108411976 762120829 /nfs/dbraw/zinc/12/08/29/762120829.db2.gz GJLLDXSGJPQDMZ-IBGZPJMESA-N 1 2 312.413 1.821 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CC#Cc2ccccc2)C1 ZINC001108411976 762120835 /nfs/dbraw/zinc/12/08/35/762120835.db2.gz GJLLDXSGJPQDMZ-IBGZPJMESA-N 1 2 312.413 1.821 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2nc3cnccc3s2)C1 ZINC001007890001 752414001 /nfs/dbraw/zinc/41/40/01/752414001.db2.gz ACIFNVBHFSTIPJ-LBPRGKRZSA-N 1 2 314.414 1.909 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2nc3cnccc3s2)C1 ZINC001007890001 752414007 /nfs/dbraw/zinc/41/40/07/752414007.db2.gz ACIFNVBHFSTIPJ-LBPRGKRZSA-N 1 2 314.414 1.909 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccccn2)no1 ZINC001032704484 752763641 /nfs/dbraw/zinc/76/36/41/752763641.db2.gz UJVONBNURKWUJZ-STQMWFEESA-N 1 2 310.357 1.821 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccccn2)no1 ZINC001032704484 752763645 /nfs/dbraw/zinc/76/36/45/752763645.db2.gz UJVONBNURKWUJZ-STQMWFEESA-N 1 2 310.357 1.821 20 30 DDEDLO Cc1cccn2cc(CC(=O)N[C@@H]3CCCN(CC#N)C3)[nH+]c12 ZINC001008870615 752955291 /nfs/dbraw/zinc/95/52/91/752955291.db2.gz PRNWUGWVYPMZTI-CQSZACIVSA-N 1 2 311.389 1.289 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1ccncn1 ZINC001008923997 752985222 /nfs/dbraw/zinc/98/52/22/752985222.db2.gz KNWWOHBHSZTIEF-QGZVFWFLSA-N 1 2 320.396 1.723 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1ccncn1 ZINC001008923997 752985228 /nfs/dbraw/zinc/98/52/28/752985228.db2.gz KNWWOHBHSZTIEF-QGZVFWFLSA-N 1 2 320.396 1.723 20 30 DDEDLO C#CCC1(C(=O)NC[C@@]2(C)C[N@H+](CC=C)CCO2)CCOCC1 ZINC001107990456 753134140 /nfs/dbraw/zinc/13/41/40/753134140.db2.gz HDSABXAWDZDPDF-KRWDZBQOSA-N 1 2 320.433 1.200 20 30 DDEDLO C#CCC1(C(=O)NC[C@@]2(C)C[N@@H+](CC=C)CCO2)CCOCC1 ZINC001107990456 753134146 /nfs/dbraw/zinc/13/41/46/753134146.db2.gz HDSABXAWDZDPDF-KRWDZBQOSA-N 1 2 320.433 1.200 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2ccnn2)cc1 ZINC001032728866 753378195 /nfs/dbraw/zinc/37/81/95/753378195.db2.gz KVUZFVNQYTUFSJ-IRXDYDNUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2ccnn2)cc1 ZINC001032728866 753378201 /nfs/dbraw/zinc/37/82/01/753378201.db2.gz KVUZFVNQYTUFSJ-IRXDYDNUSA-N 1 2 321.384 1.189 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001108012198 753511856 /nfs/dbraw/zinc/51/18/56/753511856.db2.gz PPOGNPWTLWWPGS-KRWDZBQOSA-N 1 2 314.389 1.521 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001108012198 753511862 /nfs/dbraw/zinc/51/18/62/753511862.db2.gz PPOGNPWTLWWPGS-KRWDZBQOSA-N 1 2 314.389 1.521 20 30 DDEDLO C#CC[NH+]1CCC(N(C)C(=O)c2nnc3ccccc3c2O)CC1 ZINC001006087862 753579747 /nfs/dbraw/zinc/57/97/47/753579747.db2.gz RGEKSZOSOUBAMF-UHFFFAOYSA-N 1 2 324.384 1.505 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001078037280 753668859 /nfs/dbraw/zinc/66/88/59/753668859.db2.gz OIFAAEKWAAYSLG-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001078037322 753668871 /nfs/dbraw/zinc/66/88/71/753668871.db2.gz PRXGLNANRUSSFP-OLZOCXBDSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001108028208 753715133 /nfs/dbraw/zinc/71/51/33/753715133.db2.gz CTMLAVXOUULPED-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001108028208 753715137 /nfs/dbraw/zinc/71/51/37/753715137.db2.gz CTMLAVXOUULPED-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCN1CCO[C@@](C)(CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001108028208 753715142 /nfs/dbraw/zinc/71/51/42/753715142.db2.gz CTMLAVXOUULPED-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2csc3c2CC[C@H](C)C3)C1 ZINC001078121892 753768121 /nfs/dbraw/zinc/76/81/21/753768121.db2.gz AUDITRBKDNXZHN-NILFDRSVSA-N 1 2 318.442 1.281 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2csc3c2CC[C@H](C)C3)C1 ZINC001078121892 753768124 /nfs/dbraw/zinc/76/81/24/753768124.db2.gz AUDITRBKDNXZHN-NILFDRSVSA-N 1 2 318.442 1.281 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001078307678 753966536 /nfs/dbraw/zinc/96/65/36/753966536.db2.gz IEHUQWCFJJDTQC-QWHCGFSZSA-N 1 2 318.421 1.807 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)CC[C@@H]1Nc1ncccc1C#N ZINC001063759908 754324504 /nfs/dbraw/zinc/32/45/04/754324504.db2.gz DEKHHQZCRLWDDF-JSGCOSHPSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@@H]1Nc1ncccc1C#N ZINC001063802061 754353862 /nfs/dbraw/zinc/35/38/62/754353862.db2.gz GTKNDMYXHCOQBC-WFASDCNBSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CCCCC(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001063864046 754381032 /nfs/dbraw/zinc/38/10/32/754381032.db2.gz QTFFFLOGIVKVJL-UHFFFAOYSA-N 1 2 318.421 1.772 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(c2ccccc2OC)CC1 ZINC001032816478 754426595 /nfs/dbraw/zinc/42/65/95/754426595.db2.gz RFKSRYSPWCOAMZ-GJZGRUSLSA-N 1 2 310.397 1.645 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(c2ccccc2OC)CC1 ZINC001032816478 754426602 /nfs/dbraw/zinc/42/66/02/754426602.db2.gz RFKSRYSPWCOAMZ-GJZGRUSLSA-N 1 2 310.397 1.645 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)ccc(F)c2Cl)[C@@H](O)C1 ZINC001083802522 754437482 /nfs/dbraw/zinc/43/74/82/754437482.db2.gz BBWWSENPRFIZEN-MNOVXSKESA-N 1 2 314.719 1.026 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(F)ccc(F)c2Cl)[C@@H](O)C1 ZINC001083802522 754437487 /nfs/dbraw/zinc/43/74/87/754437487.db2.gz BBWWSENPRFIZEN-MNOVXSKESA-N 1 2 314.719 1.026 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001064099015 754500025 /nfs/dbraw/zinc/50/00/25/754500025.db2.gz IHVQDRJZLFVSTH-LSDHHAIUSA-N 1 2 324.388 1.547 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001079592354 755474825 /nfs/dbraw/zinc/47/48/25/755474825.db2.gz BXPGZYWTICFOAO-QMTHXVAHSA-N 1 2 313.361 1.433 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001079592354 755474829 /nfs/dbraw/zinc/47/48/29/755474829.db2.gz BXPGZYWTICFOAO-QMTHXVAHSA-N 1 2 313.361 1.433 20 30 DDEDLO C#CCCCC(=O)N(CC)C1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001079680872 755520802 /nfs/dbraw/zinc/52/08/02/755520802.db2.gz MEAYLGJUIDAUGI-UHFFFAOYSA-N 1 2 316.405 1.123 20 30 DDEDLO CCN(C(=O)C[C@@H](C)n1cc[nH+]c1)C1CN(C(=O)[C@H](C)C#N)C1 ZINC001079791435 755576220 /nfs/dbraw/zinc/57/62/20/755576220.db2.gz GEZCFGMBMDMWAK-CHWSQXEVSA-N 1 2 317.393 1.053 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cn3c(n2)COCC3)C1 ZINC001079960099 755655692 /nfs/dbraw/zinc/65/56/92/755655692.db2.gz FMACTPDYIYUFBQ-ZYHUDNBSSA-N 1 2 324.812 1.216 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cn3c(n2)COCC3)C1 ZINC001079960099 755655696 /nfs/dbraw/zinc/65/56/96/755655696.db2.gz FMACTPDYIYUFBQ-ZYHUDNBSSA-N 1 2 324.812 1.216 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001080288687 755827971 /nfs/dbraw/zinc/82/79/71/755827971.db2.gz AMHYJYRHHFJKDX-ZIAGYGMSSA-N 1 2 318.421 1.401 20 30 DDEDLO CCN(C(=O)Cc1[nH]cc[nH+]1)C1CN(C(=O)C#CC(C)(C)C)C1 ZINC001080351206 755864509 /nfs/dbraw/zinc/86/45/09/755864509.db2.gz QYWNMUYGCVMJOB-UHFFFAOYSA-N 1 2 316.405 1.061 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]2C)c1 ZINC001080408254 755900135 /nfs/dbraw/zinc/90/01/35/755900135.db2.gz BOFMRJIWRPKJAM-CXAGYDPISA-N 1 2 323.400 1.047 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]2C)c1 ZINC001080408254 755900138 /nfs/dbraw/zinc/90/01/38/755900138.db2.gz BOFMRJIWRPKJAM-CXAGYDPISA-N 1 2 323.400 1.047 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001080458017 755923805 /nfs/dbraw/zinc/92/38/05/755923805.db2.gz BFHNSPFUGMSOIR-FOUMNBMASA-N 1 2 313.829 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001080458017 755923807 /nfs/dbraw/zinc/92/38/07/755923807.db2.gz BFHNSPFUGMSOIR-FOUMNBMASA-N 1 2 313.829 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001014984308 756054553 /nfs/dbraw/zinc/05/45/53/756054553.db2.gz QORHPLQYXGFTCR-QWHCGFSZSA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001014984308 756054556 /nfs/dbraw/zinc/05/45/56/756054556.db2.gz QORHPLQYXGFTCR-QWHCGFSZSA-N 1 2 308.813 1.389 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)c3cnco3)C2)ccc1F ZINC001015441737 756304309 /nfs/dbraw/zinc/30/43/09/756304309.db2.gz HLYJGQLYBCTEIH-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@@H](NC(=O)c3cnco3)C2)ccc1F ZINC001015441737 756304313 /nfs/dbraw/zinc/30/43/13/756304313.db2.gz HLYJGQLYBCTEIH-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO CC[C@@H](F)C[N@@H+]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@H](OC)C1 ZINC001081507371 756361710 /nfs/dbraw/zinc/36/17/10/756361710.db2.gz RKFWACXFCJIKIB-MRVWCRGKSA-N 1 2 308.357 1.063 20 30 DDEDLO CC[C@@H](F)C[N@H+]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@H](OC)C1 ZINC001081507371 756361714 /nfs/dbraw/zinc/36/17/14/756361714.db2.gz RKFWACXFCJIKIB-MRVWCRGKSA-N 1 2 308.357 1.063 20 30 DDEDLO N#CCN1CCC[C@]2(CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)C1 ZINC001040253290 762461894 /nfs/dbraw/zinc/46/18/94/762461894.db2.gz LTFSOTLKQVVGBV-CPUCHLNUSA-N 1 2 313.405 1.351 20 30 DDEDLO N#CCN1CCC[C@]2(CCN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)C1 ZINC001040253290 762461897 /nfs/dbraw/zinc/46/18/97/762461897.db2.gz LTFSOTLKQVVGBV-CPUCHLNUSA-N 1 2 313.405 1.351 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001040260533 762466247 /nfs/dbraw/zinc/46/62/47/762466247.db2.gz MIHUFBVTUNGNLI-FZMZJTMJSA-N 1 2 310.361 1.320 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(CCC)s2)[C@H](OC)C1 ZINC001081743032 756451559 /nfs/dbraw/zinc/45/15/59/756451559.db2.gz ITHPINCGTGHPFW-ZIAGYGMSSA-N 1 2 306.431 1.763 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(CCC)s2)[C@H](OC)C1 ZINC001081743032 756451562 /nfs/dbraw/zinc/45/15/62/756451562.db2.gz ITHPINCGTGHPFW-ZIAGYGMSSA-N 1 2 306.431 1.763 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)[C@H]1C[C@@H]1C ZINC001082033002 756611847 /nfs/dbraw/zinc/61/18/47/756611847.db2.gz PBHWEHXMYQRCJK-DZJNRPSUSA-N 1 2 312.413 1.510 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)[C@H]1C[C@@H]1C ZINC001082033002 756611852 /nfs/dbraw/zinc/61/18/52/756611852.db2.gz PBHWEHXMYQRCJK-DZJNRPSUSA-N 1 2 312.413 1.510 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)cc(OC)cc2F)[C@H](OC)C1 ZINC001082159837 756665961 /nfs/dbraw/zinc/66/59/61/756665961.db2.gz OGWGCGRTGSDNRP-ZIAGYGMSSA-N 1 2 324.327 1.036 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(F)cc(OC)cc2F)[C@H](OC)C1 ZINC001082159837 756665967 /nfs/dbraw/zinc/66/59/67/756665967.db2.gz OGWGCGRTGSDNRP-ZIAGYGMSSA-N 1 2 324.327 1.036 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC001082450821 756791440 /nfs/dbraw/zinc/79/14/40/756791440.db2.gz IVOMBPKZUHAOJG-QJPTWQEYSA-N 1 2 319.409 1.463 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001067139854 756804619 /nfs/dbraw/zinc/80/46/19/756804619.db2.gz PNRICGDWPQMGTK-SMDDNHRTSA-N 1 2 310.361 1.178 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC001016352513 756946075 /nfs/dbraw/zinc/94/60/75/756946075.db2.gz GGXHOEZYLFNULE-CQSZACIVSA-N 1 2 309.373 1.299 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC001016352513 756946083 /nfs/dbraw/zinc/94/60/83/756946083.db2.gz GGXHOEZYLFNULE-CQSZACIVSA-N 1 2 309.373 1.299 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001097316522 757085969 /nfs/dbraw/zinc/08/59/69/757085969.db2.gz MZIWQNUAUADSOO-CQSZACIVSA-N 1 2 324.388 1.252 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CCCC(C)C)CC2=O)C1 ZINC001108576969 762726495 /nfs/dbraw/zinc/72/64/95/762726495.db2.gz HNYRIULRNMSBFP-CQSZACIVSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCc3ccc(C)cc3)[C@H]2C1 ZINC001083136987 757151627 /nfs/dbraw/zinc/15/16/27/757151627.db2.gz ABNQSCRXPDIMOH-ZWKOTPCHSA-N 1 2 312.413 1.472 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCc3ccc(C)cc3)[C@H]2C1 ZINC001083136987 757151630 /nfs/dbraw/zinc/15/16/30/757151630.db2.gz ABNQSCRXPDIMOH-ZWKOTPCHSA-N 1 2 312.413 1.472 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@]3(C)c3ccccc3)[C@H]2C1 ZINC001083149118 757155619 /nfs/dbraw/zinc/15/56/19/757155619.db2.gz ZPKZPOJFIFNDRF-PNYFIKQUSA-N 1 2 324.424 1.509 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@]3(C)c3ccccc3)[C@H]2C1 ZINC001083149118 757155623 /nfs/dbraw/zinc/15/56/23/757155623.db2.gz ZPKZPOJFIFNDRF-PNYFIKQUSA-N 1 2 324.424 1.509 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccsc2C(F)F)[C@@H](O)C1 ZINC001084007413 757244469 /nfs/dbraw/zinc/24/44/69/757244469.db2.gz SXXYZDQQSJIPEJ-MNOVXSKESA-N 1 2 314.357 1.484 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccsc2C(F)F)[C@@H](O)C1 ZINC001084007413 757244473 /nfs/dbraw/zinc/24/44/73/757244473.db2.gz SXXYZDQQSJIPEJ-MNOVXSKESA-N 1 2 314.357 1.484 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(-c3cccs3)o2)[C@@H](O)C1 ZINC001084013710 757248186 /nfs/dbraw/zinc/24/81/86/757248186.db2.gz RJCHFEVJBBGEOO-NEPJUHHUSA-N 1 2 316.382 1.416 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(-c3cccs3)o2)[C@@H](O)C1 ZINC001084013710 757248192 /nfs/dbraw/zinc/24/81/92/757248192.db2.gz RJCHFEVJBBGEOO-NEPJUHHUSA-N 1 2 316.382 1.416 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(C[C@H]2NC(=O)Cn2cncc2C)CC1 ZINC001016735994 757307126 /nfs/dbraw/zinc/30/71/26/757307126.db2.gz FFGIFCYLNYANAN-CQSZACIVSA-N 1 2 322.840 1.915 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H]1CC12CCN(CC#N)CC2 ZINC001016836859 757395308 /nfs/dbraw/zinc/39/53/08/757395308.db2.gz OQEVFIVGJFDCIC-UMVBOHGHSA-N 1 2 313.405 1.018 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001084236652 757438216 /nfs/dbraw/zinc/43/82/16/757438216.db2.gz MMAJBCSTRCOPOI-YUSALJHKSA-N 1 2 305.407 1.039 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001084236652 757438221 /nfs/dbraw/zinc/43/82/21/757438221.db2.gz MMAJBCSTRCOPOI-YUSALJHKSA-N 1 2 305.407 1.039 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3ccn(CC)n3)[C@@H]2C1 ZINC001084358551 757512589 /nfs/dbraw/zinc/51/25/89/757512589.db2.gz LOKXCJWVNILJRG-UKRRQHHQSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3ccn(CC)n3)[C@@H]2C1 ZINC001084358551 757512595 /nfs/dbraw/zinc/51/25/95/757512595.db2.gz LOKXCJWVNILJRG-UKRRQHHQSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)/C(C)=C\CC)CC2=O)C1 ZINC001108516283 762556239 /nfs/dbraw/zinc/55/62/39/762556239.db2.gz VUAHMZXHFMJAPD-XMRSSTEGSA-N 1 2 305.422 1.320 20 30 DDEDLO C[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001067174453 757665531 /nfs/dbraw/zinc/66/55/31/757665531.db2.gz HOBOZPLHALYUBR-XJKSGUPXSA-N 1 2 324.388 1.499 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC3CC3)C[C@H]21 ZINC001084578181 757679537 /nfs/dbraw/zinc/67/95/37/757679537.db2.gz NVYMHKXAWRKBAQ-TZMCWYRMSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC3CC3)C[C@H]21 ZINC001084578181 757679542 /nfs/dbraw/zinc/67/95/42/757679542.db2.gz NVYMHKXAWRKBAQ-TZMCWYRMSA-N 1 2 305.422 1.010 20 30 DDEDLO N#CCN1CCC[C@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CC1 ZINC001052839469 757855860 /nfs/dbraw/zinc/85/58/60/757855860.db2.gz WPJICVFWCRDSGZ-AWEZNQCLSA-N 1 2 324.388 1.375 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C(C)C)C1=O ZINC001017361288 757862617 /nfs/dbraw/zinc/86/26/17/757862617.db2.gz BLAWVEIVSAWSKH-ZNMIVQPWSA-N 1 2 305.422 1.105 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C(C)C)C1=O ZINC001017361288 757862628 /nfs/dbraw/zinc/86/26/28/757862628.db2.gz BLAWVEIVSAWSKH-ZNMIVQPWSA-N 1 2 305.422 1.105 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2n[nH]cc21 ZINC001017554517 758022757 /nfs/dbraw/zinc/02/27/57/758022757.db2.gz BVABRPVMXHTYNB-ZNMIVQPWSA-N 1 2 312.417 1.528 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2n[nH]cc21 ZINC001017554517 758022776 /nfs/dbraw/zinc/02/27/76/758022776.db2.gz BVABRPVMXHTYNB-ZNMIVQPWSA-N 1 2 312.417 1.528 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)co1 ZINC001084906806 758046948 /nfs/dbraw/zinc/04/69/48/758046948.db2.gz IIYUGRAWIRJULH-LNSITVRQSA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)co1 ZINC001084906806 758046953 /nfs/dbraw/zinc/04/69/53/758046953.db2.gz IIYUGRAWIRJULH-LNSITVRQSA-N 1 2 302.378 1.566 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1C(C)C ZINC001017584455 758051221 /nfs/dbraw/zinc/05/12/21/758051221.db2.gz LEGWTQAHOMXAQB-GASCZTMLSA-N 1 2 300.406 1.776 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1C(C)C ZINC001017584455 758051234 /nfs/dbraw/zinc/05/12/34/758051234.db2.gz LEGWTQAHOMXAQB-GASCZTMLSA-N 1 2 300.406 1.776 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1NC(C)=O ZINC001017605602 758073880 /nfs/dbraw/zinc/07/38/80/758073880.db2.gz BPIAQILIPVRWRZ-OKILXGFUSA-N 1 2 314.389 1.515 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1NC(C)=O ZINC001017605602 758073893 /nfs/dbraw/zinc/07/38/93/758073893.db2.gz BPIAQILIPVRWRZ-OKILXGFUSA-N 1 2 314.389 1.515 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc2c(c1)CCCO2 ZINC001017638951 758107813 /nfs/dbraw/zinc/10/78/13/758107813.db2.gz BFNLJUZELZWMKM-HDICACEKSA-N 1 2 324.424 1.863 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc2c(c1)CCCO2 ZINC001017638951 758107815 /nfs/dbraw/zinc/10/78/15/758107815.db2.gz BFNLJUZELZWMKM-HDICACEKSA-N 1 2 324.424 1.863 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CC(F)F)nc1C ZINC001017641751 758110881 /nfs/dbraw/zinc/11/08/81/758110881.db2.gz OYFAIFJDEDYWBS-BETUJISGSA-N 1 2 322.359 1.379 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CC(F)F)nc1C ZINC001017641751 758110893 /nfs/dbraw/zinc/11/08/93/758110893.db2.gz OYFAIFJDEDYWBS-BETUJISGSA-N 1 2 322.359 1.379 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnoc1-c1ccco1 ZINC001017672453 758142006 /nfs/dbraw/zinc/14/20/06/758142006.db2.gz MEYOKHFXBQKTIS-BETUJISGSA-N 1 2 311.341 1.857 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnoc1-c1ccco1 ZINC001017672453 758142015 /nfs/dbraw/zinc/14/20/15/758142015.db2.gz MEYOKHFXBQKTIS-BETUJISGSA-N 1 2 311.341 1.857 20 30 DDEDLO N#CCN1CCC[C@@H]([C@@H]2CCCCN2C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001053105064 758156144 /nfs/dbraw/zinc/15/61/44/758156144.db2.gz RKVDGKYULPTAIE-CABCVRRESA-N 1 2 315.421 1.569 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccncc1OC(C)C ZINC001017767308 758217484 /nfs/dbraw/zinc/21/74/84/758217484.db2.gz LGMKSDSFPAORNS-GASCZTMLSA-N 1 2 313.401 1.791 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccncc1OC(C)C ZINC001017767308 758217492 /nfs/dbraw/zinc/21/74/92/758217492.db2.gz LGMKSDSFPAORNS-GASCZTMLSA-N 1 2 313.401 1.791 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cn(C)nc1Cl ZINC001017946149 758415277 /nfs/dbraw/zinc/41/52/77/758415277.db2.gz PLSANWXBHRPUAE-OKILXGFUSA-N 1 2 320.824 1.315 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cn(C)nc1Cl ZINC001017946149 758415282 /nfs/dbraw/zinc/41/52/82/758415282.db2.gz PLSANWXBHRPUAE-OKILXGFUSA-N 1 2 320.824 1.315 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCC[C@H](OC)C1)CCO2 ZINC001053402703 758434326 /nfs/dbraw/zinc/43/43/26/758434326.db2.gz LCOVMVOCURYFJM-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@@H](CC)C1 ZINC001018156308 758586910 /nfs/dbraw/zinc/58/69/10/758586910.db2.gz LCHMICZCRMBZKV-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@@H](CC)C1 ZINC001018156308 758586915 /nfs/dbraw/zinc/58/69/15/758586915.db2.gz LCHMICZCRMBZKV-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1C(F)F ZINC001018165792 758593701 /nfs/dbraw/zinc/59/37/01/758593701.db2.gz LWNYZRQCUWWSMC-PHIMTYICSA-N 1 2 308.332 1.280 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1C(F)F ZINC001018165792 758593704 /nfs/dbraw/zinc/59/37/04/758593704.db2.gz LWNYZRQCUWWSMC-PHIMTYICSA-N 1 2 308.332 1.280 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc[nH]c(=O)c1)O2 ZINC001053585737 758600284 /nfs/dbraw/zinc/60/02/84/758600284.db2.gz KGJDMFYYODINBT-AWEZNQCLSA-N 1 2 317.389 1.327 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cccc(CC)c1 ZINC001018240083 758661099 /nfs/dbraw/zinc/66/10/99/758661099.db2.gz FGDSZGJUXJKZCX-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cccc(CC)c1 ZINC001018240083 758661105 /nfs/dbraw/zinc/66/11/05/758661105.db2.gz FGDSZGJUXJKZCX-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1n[nH]cc1F)O2 ZINC001053657490 758670674 /nfs/dbraw/zinc/67/06/74/758670674.db2.gz IMFQQHIHYFWSJQ-LLVKDONJSA-N 1 2 308.357 1.088 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncccc1OC)O2 ZINC001053691766 758701783 /nfs/dbraw/zinc/70/17/83/758701783.db2.gz CZVKILGEKCVCJC-CYBMUJFWSA-N 1 2 317.389 1.239 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(C)nc1C)O2 ZINC001053701231 758708767 /nfs/dbraw/zinc/70/87/67/758708767.db2.gz PEWWPYIROMOBMQ-OAHLLOKOSA-N 1 2 315.417 1.848 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C(C)(C)C)CC2)C1 ZINC001065693675 758710368 /nfs/dbraw/zinc/71/03/68/758710368.db2.gz GCXDMYKVVZHUFN-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065711438 758730755 /nfs/dbraw/zinc/73/07/55/758730755.db2.gz MJYJZOUIMLQSOY-JKSUJKDBSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1cccs1)CO2 ZINC001053723475 758740066 /nfs/dbraw/zinc/74/00/66/758740066.db2.gz LXLMDPUTSRYRDO-ZDUSSCGKSA-N 1 2 304.415 1.273 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccn(C)c2C)C1 ZINC001108197081 758750064 /nfs/dbraw/zinc/75/00/64/758750064.db2.gz HUNTWUSRJVRMFJ-QGZVFWFLSA-N 1 2 303.406 1.178 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccn(C)c2C)C1 ZINC001108197081 758750067 /nfs/dbraw/zinc/75/00/67/758750067.db2.gz HUNTWUSRJVRMFJ-QGZVFWFLSA-N 1 2 303.406 1.178 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)sn1)CO2 ZINC001053790178 758816644 /nfs/dbraw/zinc/81/66/44/758816644.db2.gz QOLBDNPNAXRFHK-GFCCVEGCSA-N 1 2 305.403 1.048 20 30 DDEDLO Cc1nc(NC[C@H]2CN(C(=O)c3c[nH]c(C#N)c3)C[C@H]2C)cc[nH+]1 ZINC001065831375 758824872 /nfs/dbraw/zinc/82/48/72/758824872.db2.gz JZUSVJMFAANECD-RISCZKNCSA-N 1 2 324.388 1.805 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC[C@@H]3C[C@@H]3CC1)CO2 ZINC001053879317 758913531 /nfs/dbraw/zinc/91/35/31/758913531.db2.gz NLPSVQNHRUIDPM-YVSFHVDLSA-N 1 2 316.445 1.796 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CCCC1(C)C)CO2 ZINC001053897158 758929442 /nfs/dbraw/zinc/92/94/42/758929442.db2.gz MEWYVAVUGAHDTG-HUUCEWRRSA-N 1 2 304.434 1.796 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ncccc1F)CO2 ZINC001053907396 758941329 /nfs/dbraw/zinc/94/13/29/758941329.db2.gz VNKJDFLANDNLJD-CYBMUJFWSA-N 1 2 319.380 1.299 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CC3(C)CC3)CC2=O)C1 ZINC001108557194 762672275 /nfs/dbraw/zinc/67/22/75/762672275.db2.gz RRFIPCSFYBDHRR-ZDUSSCGKSA-N 1 2 305.422 1.154 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc3cc[nH]c31)CO2 ZINC001053929626 758965489 /nfs/dbraw/zinc/96/54/89/758965489.db2.gz FBWLBQBBLUIUOU-OAHLLOKOSA-N 1 2 323.396 1.764 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](F)c1ccccc1)CO2 ZINC001053941920 758977935 /nfs/dbraw/zinc/97/79/35/758977935.db2.gz HSWUWTTZNURKCZ-CVEARBPZSA-N 1 2 316.376 1.680 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1coc(C3CC3)n1)CO2 ZINC001053948676 758987135 /nfs/dbraw/zinc/98/71/35/758987135.db2.gz QIQGXQGZYNLIMG-ZDUSSCGKSA-N 1 2 315.373 1.148 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(CC)nn1C)CO2 ZINC001053964783 759000964 /nfs/dbraw/zinc/00/09/64/759000964.db2.gz NTSPCQJBEKDTET-CQSZACIVSA-N 1 2 318.421 1.132 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1cccc(F)c1)CO2 ZINC001054001335 759046975 /nfs/dbraw/zinc/04/69/75/759046975.db2.gz VVDGEPNJHUJMQL-MRXNPFEDSA-N 1 2 316.376 1.351 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnc(C)[nH]1 ZINC001054035642 759092858 /nfs/dbraw/zinc/09/28/58/759092858.db2.gz QQZNHPXIPKKUQQ-KRWDZBQOSA-N 1 2 322.412 1.898 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnc(C)[nH]1 ZINC001054035642 759092865 /nfs/dbraw/zinc/09/28/65/759092865.db2.gz QQZNHPXIPKKUQQ-KRWDZBQOSA-N 1 2 322.412 1.898 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nn(C)cc1C ZINC001054041877 759100361 /nfs/dbraw/zinc/10/03/61/759100361.db2.gz WGWRKZMIOZVXHB-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nn(C)cc1C ZINC001054041877 759100367 /nfs/dbraw/zinc/10/03/67/759100367.db2.gz WGWRKZMIOZVXHB-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1c(C)n[nH]c1C ZINC001054056660 759110988 /nfs/dbraw/zinc/11/09/88/759110988.db2.gz OJSQRHVACQERKS-QGZVFWFLSA-N 1 2 322.412 1.816 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1c(C)n[nH]c1C ZINC001054056660 759110993 /nfs/dbraw/zinc/11/09/93/759110993.db2.gz OJSQRHVACQERKS-QGZVFWFLSA-N 1 2 322.412 1.816 20 30 DDEDLO C=CCOCC(=O)NCCN(C)c1cc(C)[nH+]c(C(C)C)n1 ZINC001099959653 759232789 /nfs/dbraw/zinc/23/27/89/759232789.db2.gz XHZOWCAJFRVYGM-UHFFFAOYSA-N 1 2 306.410 1.663 20 30 DDEDLO N#CCN1CC[C@]2(C1)CCCN(C(=O)CCn1cc[nH+]c1)C2 ZINC001054173907 759254273 /nfs/dbraw/zinc/25/42/73/759254273.db2.gz VXXSNKACVGDXPV-INIZCTEOSA-N 1 2 301.394 1.111 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3c(C)nn(C)c3C)cc2C1 ZINC001054276818 759383227 /nfs/dbraw/zinc/38/32/27/759383227.db2.gz WNGDGJMRAYEJNH-UHFFFAOYSA-N 1 2 322.412 1.916 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3c(C)nn(C)c3C)cc2C1 ZINC001054276818 759383235 /nfs/dbraw/zinc/38/32/35/759383235.db2.gz WNGDGJMRAYEJNH-UHFFFAOYSA-N 1 2 322.412 1.916 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccc(OC)nn3)cc2C1 ZINC001054289044 759396057 /nfs/dbraw/zinc/39/60/57/759396057.db2.gz RMOQRMKALATFND-UHFFFAOYSA-N 1 2 322.368 1.364 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccc(OC)nn3)cc2C1 ZINC001054289044 759396069 /nfs/dbraw/zinc/39/60/69/759396069.db2.gz RMOQRMKALATFND-UHFFFAOYSA-N 1 2 322.368 1.364 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001085596451 759807026 /nfs/dbraw/zinc/80/70/26/759807026.db2.gz BNCIQXTUEAZAAK-ZIAGYGMSSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001085596451 759807032 /nfs/dbraw/zinc/80/70/32/759807032.db2.gz BNCIQXTUEAZAAK-ZIAGYGMSSA-N 1 2 300.406 1.386 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2cccnc2n1 ZINC001085607637 759822212 /nfs/dbraw/zinc/82/22/12/759822212.db2.gz VSSIXBUIVQPEDS-OAHLLOKOSA-N 1 2 308.385 1.799 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2cccnc2n1 ZINC001085607637 759822220 /nfs/dbraw/zinc/82/22/20/759822220.db2.gz VSSIXBUIVQPEDS-OAHLLOKOSA-N 1 2 308.385 1.799 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)cc1NC(C)=O ZINC001085629935 759884281 /nfs/dbraw/zinc/88/42/81/759884281.db2.gz GRYCAYBMTLYXQT-AWEZNQCLSA-N 1 2 317.364 1.564 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)cc1NC(C)=O ZINC001085629935 759884291 /nfs/dbraw/zinc/88/42/91/759884291.db2.gz GRYCAYBMTLYXQT-AWEZNQCLSA-N 1 2 317.364 1.564 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC001085670562 759978632 /nfs/dbraw/zinc/97/86/32/759978632.db2.gz ZAXZMFHGYZSZAM-KBPBESRZSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC001085670562 759978634 /nfs/dbraw/zinc/97/86/34/759978634.db2.gz ZAXZMFHGYZSZAM-KBPBESRZSA-N 1 2 300.406 1.245 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1nccc1C)C2 ZINC001097954760 759979673 /nfs/dbraw/zinc/97/96/73/759979673.db2.gz RFTSIZCGRKGXNQ-MCIONIFRSA-N 1 2 308.813 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1nccc1C)C2 ZINC001097954760 759979676 /nfs/dbraw/zinc/97/96/76/759979676.db2.gz RFTSIZCGRKGXNQ-MCIONIFRSA-N 1 2 308.813 1.666 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@@H](Nc3cc[nH+]c(C)n3)C[C@@H]2C)c1 ZINC001069199437 767910658 /nfs/dbraw/zinc/91/06/58/767910658.db2.gz FZNVVCUBCJSWSE-LRDDRELGSA-N 1 2 321.384 1.876 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(Br)co1 ZINC001085856044 760392969 /nfs/dbraw/zinc/39/29/69/760392969.db2.gz PIARZZRCZAVHJM-LLVKDONJSA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(Br)co1 ZINC001085856044 760392976 /nfs/dbraw/zinc/39/29/76/760392976.db2.gz PIARZZRCZAVHJM-LLVKDONJSA-N 1 2 311.179 1.822 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@@H]2C1 ZINC001055361708 760476230 /nfs/dbraw/zinc/47/62/30/760476230.db2.gz MFMFWARLWSRRQO-OKILXGFUSA-N 1 2 316.405 1.225 20 30 DDEDLO N#Cc1ccc(NCC[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001066337541 760479519 /nfs/dbraw/zinc/47/95/19/760479519.db2.gz IWECHGIKEUDVAJ-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2conc2C)nc1 ZINC001085929854 760555789 /nfs/dbraw/zinc/55/57/89/760555789.db2.gz IEHAZEWGLABVGN-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2conc2C)nc1 ZINC001085929854 760555791 /nfs/dbraw/zinc/55/57/91/760555791.db2.gz IEHAZEWGLABVGN-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001108233032 760689733 /nfs/dbraw/zinc/68/97/33/760689733.db2.gz JMMDYYMBZJUTQK-CKEIUWERSA-N 1 2 304.390 1.776 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001108233032 760689738 /nfs/dbraw/zinc/68/97/38/760689738.db2.gz JMMDYYMBZJUTQK-CKEIUWERSA-N 1 2 304.390 1.776 20 30 DDEDLO C[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1nc(Cl)c(C#N)s1 ZINC001098005953 760744249 /nfs/dbraw/zinc/74/42/49/760744249.db2.gz AILZPAOLZZJJOJ-ZETCQYMHSA-N 1 2 324.797 1.551 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)cc2F)C1 ZINC001108241001 760916939 /nfs/dbraw/zinc/91/69/39/760916939.db2.gz UBBWAMINFIVXRX-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)cc2F)C1 ZINC001108241001 760916946 /nfs/dbraw/zinc/91/69/46/760916946.db2.gz UBBWAMINFIVXRX-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)OCCCO2 ZINC001038344318 761028828 /nfs/dbraw/zinc/02/88/28/761028828.db2.gz HLQVHPDUADCITD-HNNXBMFYSA-N 1 2 314.385 1.675 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)OCCCO2 ZINC001038344318 761028834 /nfs/dbraw/zinc/02/88/34/761028834.db2.gz HLQVHPDUADCITD-HNNXBMFYSA-N 1 2 314.385 1.675 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCCO[C@H]1c1ccccc1 ZINC001038353294 761039260 /nfs/dbraw/zinc/03/92/60/761039260.db2.gz DIWVKGUOCWUBSE-KURKYZTESA-N 1 2 312.413 1.978 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCCO[C@H]1c1ccccc1 ZINC001038353294 761039270 /nfs/dbraw/zinc/03/92/70/761039270.db2.gz DIWVKGUOCWUBSE-KURKYZTESA-N 1 2 312.413 1.978 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)CCc1[nH+]ccn1C ZINC001108250429 761137553 /nfs/dbraw/zinc/13/75/53/761137553.db2.gz XHMIVSTUOAHAIU-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2cccn2)nc1 ZINC001038570851 761205153 /nfs/dbraw/zinc/20/51/53/761205153.db2.gz JVKRLUUWZXGRNB-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2cccn2)nc1 ZINC001038570851 761205158 /nfs/dbraw/zinc/20/51/58/761205158.db2.gz JVKRLUUWZXGRNB-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@@H]2C[C@H]2C)cc1C#N ZINC001038606705 761229894 /nfs/dbraw/zinc/22/98/94/761229894.db2.gz QFASYEOCCJEBSC-UHOFOFEASA-N 1 2 313.401 1.913 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2CNC(=O)[C@@H]2C[C@H]2C)cc1C#N ZINC001038606705 761229898 /nfs/dbraw/zinc/22/98/98/761229898.db2.gz QFASYEOCCJEBSC-UHOFOFEASA-N 1 2 313.401 1.913 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)C2CC3(CC3)C2)C1=O ZINC001038692713 761292741 /nfs/dbraw/zinc/29/27/41/761292741.db2.gz ZKDPJDRQKOMEIX-GJZGRUSLSA-N 1 2 317.433 1.154 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)C2CC3(CC3)C2)C1=O ZINC001038692713 761292742 /nfs/dbraw/zinc/29/27/42/761292742.db2.gz ZKDPJDRQKOMEIX-GJZGRUSLSA-N 1 2 317.433 1.154 20 30 DDEDLO Cc1nc(NC[C@H]2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001069457626 768027940 /nfs/dbraw/zinc/02/79/40/768027940.db2.gz DFKWIFPBSIUXHO-JSGCOSHPSA-N 1 2 324.388 1.995 20 30 DDEDLO Cc1c[nH]c(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n1 ZINC001038793961 761414033 /nfs/dbraw/zinc/41/40/33/761414033.db2.gz YOKAUNSEWJKFQH-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1c[nH]c(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)n1 ZINC001038793961 761414035 /nfs/dbraw/zinc/41/40/35/761414035.db2.gz YOKAUNSEWJKFQH-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001069511243 768040594 /nfs/dbraw/zinc/04/05/94/768040594.db2.gz WTTBALZTNNOFLU-CHWSQXEVSA-N 1 2 324.388 1.616 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnc(OC(C)C)c1 ZINC001038910038 761535040 /nfs/dbraw/zinc/53/50/40/761535040.db2.gz WUGDXKQZDXUGFL-OAHLLOKOSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnc(OC(C)C)c1 ZINC001038910038 761535046 /nfs/dbraw/zinc/53/50/46/761535046.db2.gz WUGDXKQZDXUGFL-OAHLLOKOSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(OC)c(C)c(OC)c1 ZINC001038993712 761625409 /nfs/dbraw/zinc/62/54/09/761625409.db2.gz LIUPUVZZDADQKQ-HNNXBMFYSA-N 1 2 316.401 1.840 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(OC)c(C)c(OC)c1 ZINC001038993712 761625411 /nfs/dbraw/zinc/62/54/11/761625411.db2.gz LIUPUVZZDADQKQ-HNNXBMFYSA-N 1 2 316.401 1.840 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn(CC)c2C)C1 ZINC001108299372 761851475 /nfs/dbraw/zinc/85/14/75/761851475.db2.gz MGPIYIURCKJQHS-KRWDZBQOSA-N 1 2 318.421 1.055 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn(CC)c2C)C1 ZINC001108299372 761851489 /nfs/dbraw/zinc/85/14/89/761851489.db2.gz MGPIYIURCKJQHS-KRWDZBQOSA-N 1 2 318.421 1.055 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2ccc(OC)nn2)CC1 ZINC001001340512 762775607 /nfs/dbraw/zinc/77/56/07/762775607.db2.gz KSNFQQGGFUJMCY-UHFFFAOYSA-N 1 2 322.796 1.600 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2ccc(OC)nn2)CC1 ZINC001001340512 762775613 /nfs/dbraw/zinc/77/56/13/762775613.db2.gz KSNFQQGGFUJMCY-UHFFFAOYSA-N 1 2 322.796 1.600 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)nc1 ZINC001050000270 763003997 /nfs/dbraw/zinc/00/39/97/763003997.db2.gz TUBXZXQKJKYDTO-QLFBSQMISA-N 1 2 313.405 1.368 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)nc1 ZINC001050000270 763004002 /nfs/dbraw/zinc/00/40/02/763004002.db2.gz TUBXZXQKJKYDTO-QLFBSQMISA-N 1 2 313.405 1.368 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCCN(C(=O)c3ncn(C)n3)[C@@H]2C1 ZINC001050040118 763050576 /nfs/dbraw/zinc/05/05/76/763050576.db2.gz AJNGHORINRZGHC-NWDGAFQWSA-N 1 2 309.801 1.104 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCCN(C(=O)c3ncn(C)n3)[C@@H]2C1 ZINC001050040118 763050581 /nfs/dbraw/zinc/05/05/81/763050581.db2.gz AJNGHORINRZGHC-NWDGAFQWSA-N 1 2 309.801 1.104 20 30 DDEDLO N#CCSCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001108952407 763150723 /nfs/dbraw/zinc/15/07/23/763150723.db2.gz YTWONYFXWSRVAA-WXHSDQCUSA-N 1 2 322.459 1.621 20 30 DDEDLO N#CCSCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001108952407 763150728 /nfs/dbraw/zinc/15/07/28/763150728.db2.gz YTWONYFXWSRVAA-WXHSDQCUSA-N 1 2 322.459 1.621 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H](CC)C(C)C)CC1 ZINC001131394907 768111797 /nfs/dbraw/zinc/11/17/97/768111797.db2.gz KGZVEWRJJJDVMN-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H](CC)C(C)C)CC1 ZINC001131394907 768111799 /nfs/dbraw/zinc/11/17/99/768111799.db2.gz KGZVEWRJJJDVMN-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCCOCC(=O)N[C@@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001108995728 763210151 /nfs/dbraw/zinc/21/01/51/763210151.db2.gz JZLAEZLZAMCOEF-LBPRGKRZSA-N 1 2 320.437 1.935 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)co1 ZINC001042057651 763601814 /nfs/dbraw/zinc/60/18/14/763601814.db2.gz LTCXNGGXDNDOTA-RDJZCZTQSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)co1 ZINC001042057651 763601821 /nfs/dbraw/zinc/60/18/21/763601821.db2.gz LTCXNGGXDNDOTA-RDJZCZTQSA-N 1 2 313.401 1.819 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2c[nH+]cn2C)CCN1c1ccncc1C#N ZINC001050449397 763608493 /nfs/dbraw/zinc/60/84/93/763608493.db2.gz BYZFBQHELKKJQE-DOMZBBRYSA-N 1 2 324.388 1.013 20 30 DDEDLO Cc1nc([C@@H](C)[NH+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)CC2)no1 ZINC001050463647 763626455 /nfs/dbraw/zinc/62/64/55/763626455.db2.gz WIXPMKACHWZGCB-VXGBXAGGSA-N 1 2 317.393 1.523 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3cccc4[nH]cnc43)C[C@@H]21 ZINC001042282795 763858083 /nfs/dbraw/zinc/85/80/83/763858083.db2.gz GPNSZPKLHNPBBA-CJNGLKHVSA-N 1 2 308.385 1.733 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cccc4[nH]cnc43)C[C@@H]21 ZINC001042282795 763858090 /nfs/dbraw/zinc/85/80/90/763858090.db2.gz GPNSZPKLHNPBBA-CJNGLKHVSA-N 1 2 308.385 1.733 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)C=CCC1)C2 ZINC001109605717 763867045 /nfs/dbraw/zinc/86/70/45/763867045.db2.gz OCQZJZUADIILHQ-LDDOYCOJSA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)C=CCC1)C2 ZINC001109605717 763867050 /nfs/dbraw/zinc/86/70/50/763867050.db2.gz OCQZJZUADIILHQ-LDDOYCOJSA-N 1 2 317.433 1.366 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nn1C ZINC001050832829 764147444 /nfs/dbraw/zinc/14/74/44/764147444.db2.gz AFMANRDQWBMUDY-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nn1C ZINC001050832829 764147451 /nfs/dbraw/zinc/14/74/51/764147451.db2.gz AFMANRDQWBMUDY-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CC(C)C)C2 ZINC001109894496 764173552 /nfs/dbraw/zinc/17/35/52/764173552.db2.gz LXRXFUNDQJAEJW-FZKCQIBNSA-N 1 2 321.465 1.692 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CC(C)C)C2 ZINC001109894496 764173560 /nfs/dbraw/zinc/17/35/60/764173560.db2.gz LXRXFUNDQJAEJW-FZKCQIBNSA-N 1 2 321.465 1.692 20 30 DDEDLO CCC[C@@H](CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109896406 764176878 /nfs/dbraw/zinc/17/68/78/764176878.db2.gz SOEMFKZTSXUXHV-KBXIAJHMSA-N 1 2 320.437 1.174 20 30 DDEDLO CCC[C@@H](CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109896406 764176882 /nfs/dbraw/zinc/17/68/82/764176882.db2.gz SOEMFKZTSXUXHV-KBXIAJHMSA-N 1 2 320.437 1.174 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001057639146 764207634 /nfs/dbraw/zinc/20/76/34/764207634.db2.gz RTENDISACMIAHA-CYBMUJFWSA-N 1 2 324.388 1.180 20 30 DDEDLO CN(C(=O)c1ccc(C#N)[nH]1)C1C[NH+](CCOC2CCC2)C1 ZINC001042628893 764291485 /nfs/dbraw/zinc/29/14/85/764291485.db2.gz OWJNZAIRNVVLDW-UHFFFAOYSA-N 1 2 302.378 1.212 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC001051020282 764424459 /nfs/dbraw/zinc/42/44/59/764424459.db2.gz SBOUXTIJJNJVLL-IXDOHACOSA-N 1 2 322.449 1.442 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC001051020282 764424465 /nfs/dbraw/zinc/42/44/65/764424465.db2.gz SBOUXTIJJNJVLL-IXDOHACOSA-N 1 2 322.449 1.442 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(C(C)C)cn1 ZINC001051044423 764447462 /nfs/dbraw/zinc/44/74/62/764447462.db2.gz QAIIXBHSWZYFSL-OAHLLOKOSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(C(C)C)cn1 ZINC001051044423 764447465 /nfs/dbraw/zinc/44/74/65/764447465.db2.gz QAIIXBHSWZYFSL-OAHLLOKOSA-N 1 2 320.437 1.861 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CCC(=C)C)cn1 ZINC001051211994 764625723 /nfs/dbraw/zinc/62/57/23/764625723.db2.gz JSFSGRNIHVEDNE-QGZVFWFLSA-N 1 2 313.401 1.460 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CCC(=C)C)cn1 ZINC001051211994 764625727 /nfs/dbraw/zinc/62/57/27/764625727.db2.gz JSFSGRNIHVEDNE-QGZVFWFLSA-N 1 2 313.401 1.460 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1CC12CCOCC2 ZINC001051270140 764692802 /nfs/dbraw/zinc/69/28/02/764692802.db2.gz DKNSRPQJTHVYCY-HUUCEWRRSA-N 1 2 308.422 1.196 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1CC12CCOCC2 ZINC001051270140 764692809 /nfs/dbraw/zinc/69/28/09/764692809.db2.gz DKNSRPQJTHVYCY-HUUCEWRRSA-N 1 2 308.422 1.196 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2cn3cc(C)sc3n2)C1 ZINC001043219970 764774428 /nfs/dbraw/zinc/77/44/28/764774428.db2.gz RYTCDUKJBMSQPX-UHFFFAOYSA-N 1 2 304.419 1.575 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](CCc2csc(C)n2)CC1 ZINC001112814946 764804105 /nfs/dbraw/zinc/80/41/05/764804105.db2.gz YWIYJIRPBAYFSB-MRXNPFEDSA-N 1 2 323.462 1.465 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[NH+](CCOCC2CC2)CC1)OCC ZINC001112908862 764955556 /nfs/dbraw/zinc/95/55/56/764955556.db2.gz HGIKKCNGOMAHEQ-QGZVFWFLSA-N 1 2 324.465 1.929 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001043580289 764987190 /nfs/dbraw/zinc/98/71/90/764987190.db2.gz QWJHBUQHLQKASX-IUODEOHRSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001043580289 764987195 /nfs/dbraw/zinc/98/71/95/764987195.db2.gz QWJHBUQHLQKASX-IUODEOHRSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn(CCC(C)C)nn2)C1 ZINC001043851562 765131715 /nfs/dbraw/zinc/13/17/15/765131715.db2.gz ISTDNEWPJOJLBV-UHFFFAOYSA-N 1 2 303.410 1.104 20 30 DDEDLO Cc1nsc(N[C@H](C)[C@H](C)NC(=O)Cn2cc[nH+]c2)c1C#N ZINC001113081633 765234305 /nfs/dbraw/zinc/23/43/05/765234305.db2.gz GZMWGJKBUXJYBN-VHSXEESVSA-N 1 2 318.406 1.525 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[NH+](CCn2cccn2)CC1 ZINC001113158982 765348313 /nfs/dbraw/zinc/34/83/13/765348313.db2.gz SIZWBOPYAOQSOW-QGZVFWFLSA-N 1 2 320.437 1.256 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)CC1 ZINC001052003786 765370826 /nfs/dbraw/zinc/37/08/26/765370826.db2.gz BLHYFNZDACPDCG-BDXSIMOUSA-N 1 2 315.461 1.274 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113194176 765391258 /nfs/dbraw/zinc/39/12/58/765391258.db2.gz PGFFUPQPDWCZLG-RYUDHWBXSA-N 1 2 320.441 1.907 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113194175 765391940 /nfs/dbraw/zinc/39/19/40/765391940.db2.gz PGFFUPQPDWCZLG-NWDGAFQWSA-N 1 2 320.441 1.907 20 30 DDEDLO CC[C@H](F)C[NH+]1CCN([C@@H]2CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001052025621 765400987 /nfs/dbraw/zinc/40/09/87/765400987.db2.gz IQSWVKHUWKVSQU-DLBZAZTESA-N 1 2 321.440 1.366 20 30 DDEDLO CC[C@H](F)CN1CC[NH+]([C@@H]2CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001052025621 765400994 /nfs/dbraw/zinc/40/09/94/765400994.db2.gz IQSWVKHUWKVSQU-DLBZAZTESA-N 1 2 321.440 1.366 20 30 DDEDLO CCC1(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)CCC1 ZINC001052066457 765439110 /nfs/dbraw/zinc/43/91/10/765439110.db2.gz WEQCRXUPNGSRBG-HNNXBMFYSA-N 1 2 304.438 1.309 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cc(C)co3)C2)CC1 ZINC001052067118 765440166 /nfs/dbraw/zinc/44/01/66/765440166.db2.gz DQWOPTJOOJJUNB-HNNXBMFYSA-N 1 2 303.406 1.606 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3CC34CCC4)C2)CC1 ZINC001052086734 765458694 /nfs/dbraw/zinc/45/86/94/765458694.db2.gz HDQDGZCRNKJTAW-HOTGVXAUSA-N 1 2 301.434 1.028 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113251413 765475721 /nfs/dbraw/zinc/47/57/21/765475721.db2.gz PUCHXTRCGQTMLW-WDEREUQCSA-N 1 2 316.409 1.881 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc(C(=O)NC)c2)C1 ZINC001044316022 765476226 /nfs/dbraw/zinc/47/62/26/765476226.db2.gz UCBMGZZPKRURJR-UHFFFAOYSA-N 1 2 301.390 1.379 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C[C@H](C)C3CC3)C2)CC1 ZINC001052122504 765485007 /nfs/dbraw/zinc/48/50/07/765485007.db2.gz VVEHGSOCIMQAAO-WMZOPIPTSA-N 1 2 317.477 1.664 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)N([C@H](C)CCC)C2)C1 ZINC001044333246 765489114 /nfs/dbraw/zinc/48/91/14/765489114.db2.gz PKHLNLUXOXNVJI-ZIAGYGMSSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113529791 765814882 /nfs/dbraw/zinc/81/48/82/765814882.db2.gz AZDHHSZSKQRUFX-OLZOCXBDSA-N 1 2 306.410 1.707 20 30 DDEDLO C[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)N(C)c1ccc(C#N)cn1 ZINC001113590797 765919189 /nfs/dbraw/zinc/91/91/89/765919189.db2.gz JPPQFPJFUQHTCD-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO Cc1nc(N[C@@H]2CC[C@H](CNC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001047238791 768336622 /nfs/dbraw/zinc/33/66/22/768336622.db2.gz BOHXYOWPYYKFBA-GXTWGEPZSA-N 1 2 324.388 1.995 20 30 DDEDLO CCC(=O)N(C)[C@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001047266841 768348490 /nfs/dbraw/zinc/34/84/90/768348490.db2.gz IZTLXQUTDRLESO-HOTGVXAUSA-N 1 2 304.365 1.091 20 30 DDEDLO CCC(=O)N(C)[C@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001047266841 768348497 /nfs/dbraw/zinc/34/84/97/768348497.db2.gz IZTLXQUTDRLESO-HOTGVXAUSA-N 1 2 304.365 1.091 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC1CCN(c2ccncc2C#N)CC1 ZINC001057885296 766117646 /nfs/dbraw/zinc/11/76/46/766117646.db2.gz OBQATNRAAWUTTK-UHFFFAOYSA-N 1 2 324.388 1.014 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2snnc2C)CC[C@H]1C ZINC001131781037 768365138 /nfs/dbraw/zinc/36/51/38/768365138.db2.gz TVQRCWOKZALPQX-DGCLKSJQSA-N 1 2 322.434 1.079 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2snnc2C)CC[C@H]1C ZINC001131781037 768365140 /nfs/dbraw/zinc/36/51/40/768365140.db2.gz TVQRCWOKZALPQX-DGCLKSJQSA-N 1 2 322.434 1.079 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067716786 766648370 /nfs/dbraw/zinc/64/83/70/766648370.db2.gz CIIOJXKSUARVRS-HNNXBMFYSA-N 1 2 320.437 1.792 20 30 DDEDLO CN(C[C@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1)c1ccc(C#N)nc1 ZINC001067802743 766711322 /nfs/dbraw/zinc/71/13/22/766711322.db2.gz MFIHGSJLZNTDHF-MRXNPFEDSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(C[C@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1)c1ccncc1C#N ZINC001067802761 766711651 /nfs/dbraw/zinc/71/16/51/766711651.db2.gz MMHVGAVVWGYQOC-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)c3cccc(F)c3)CC2)C1 ZINC001045998354 766783078 /nfs/dbraw/zinc/78/30/78/766783078.db2.gz GEPFSDHDVHIJKC-INIZCTEOSA-N 1 2 316.380 1.181 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C=C3CCCCC3)CC2)C1 ZINC001046009732 766800768 /nfs/dbraw/zinc/80/07/68/766800768.db2.gz YWQHXEBSRCPVPA-QGZVFWFLSA-N 1 2 316.449 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cn(C)nc2CC)C1 ZINC001046399949 767511769 /nfs/dbraw/zinc/51/17/69/767511769.db2.gz FBGSQRGOFNTTLN-HNNXBMFYSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cn(C)nc2CC)C1 ZINC001046399949 767511772 /nfs/dbraw/zinc/51/17/72/767511772.db2.gz FBGSQRGOFNTTLN-HNNXBMFYSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001046496902 767629563 /nfs/dbraw/zinc/62/95/63/767629563.db2.gz XZLCBYPSBZXFND-STTCQZRRSA-N 1 2 310.825 1.743 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001046496902 767629566 /nfs/dbraw/zinc/62/95/66/767629566.db2.gz XZLCBYPSBZXFND-STTCQZRRSA-N 1 2 310.825 1.743 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@@H]2C)ccc1C#N ZINC001068961901 767729630 /nfs/dbraw/zinc/72/96/30/767729630.db2.gz CHKZEZGBZRFFTA-DOMZBBRYSA-N 1 2 324.388 1.629 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001068971319 767737157 /nfs/dbraw/zinc/73/71/57/767737157.db2.gz GGZQUWAYOOCAHV-IUODEOHRSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001068971319 767737163 /nfs/dbraw/zinc/73/71/63/767737163.db2.gz GGZQUWAYOOCAHV-IUODEOHRSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CNC(=O)OC)CC[C@@H]1C ZINC001131834234 768413739 /nfs/dbraw/zinc/41/37/39/768413739.db2.gz LMOLSZVHXPTVNN-QWRGUYRKSA-N 1 2 303.790 1.064 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CNC(=O)OC)CC[C@@H]1C ZINC001131834234 768413743 /nfs/dbraw/zinc/41/37/43/768413743.db2.gz LMOLSZVHXPTVNN-QWRGUYRKSA-N 1 2 303.790 1.064 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+][C@H](C)c1csnn1 ZINC001131869529 768430367 /nfs/dbraw/zinc/43/03/67/768430367.db2.gz FOIJCFFVKGELKD-RISCZKNCSA-N 1 2 312.439 1.534 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C#CC(C)(C)C)C[N@@H+]1CC(=O)NC1CC1 ZINC001132035089 768581353 /nfs/dbraw/zinc/58/13/53/768581353.db2.gz XKAYCMLDAKPOOK-ZFWWWQNUSA-N 1 2 319.449 1.284 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C#CC(C)(C)C)C[N@H+]1CC(=O)NC1CC1 ZINC001132035089 768581358 /nfs/dbraw/zinc/58/13/58/768581358.db2.gz XKAYCMLDAKPOOK-ZFWWWQNUSA-N 1 2 319.449 1.284 20 30 DDEDLO CCn1nncc1C[N@@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132045256 768599272 /nfs/dbraw/zinc/59/92/72/768599272.db2.gz CTZJAUSTBHTZJX-HIFRSBDPSA-N 1 2 315.421 1.181 20 30 DDEDLO CCn1nncc1C[N@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132045256 768599274 /nfs/dbraw/zinc/59/92/74/768599274.db2.gz CTZJAUSTBHTZJX-HIFRSBDPSA-N 1 2 315.421 1.181 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(C)(C)C2CC2)CC[C@H]1C ZINC001132237325 768708775 /nfs/dbraw/zinc/70/87/75/768708775.db2.gz HJBSPLSULFUKHY-HIFRSBDPSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)C2CC2)CC[C@H]1C ZINC001132237325 768708780 /nfs/dbraw/zinc/70/87/80/768708780.db2.gz HJBSPLSULFUKHY-HIFRSBDPSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C2(C)CC(=C)C2)CC[C@H]1C ZINC001132273878 768730782 /nfs/dbraw/zinc/73/07/82/768730782.db2.gz LHHIVDLIPAWDPQ-CABCVRRESA-N 1 2 317.433 1.061 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C2(C)CC(=C)C2)CC[C@H]1C ZINC001132273878 768730784 /nfs/dbraw/zinc/73/07/84/768730784.db2.gz LHHIVDLIPAWDPQ-CABCVRRESA-N 1 2 317.433 1.061 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(C)(C)CC=C)CC[C@H]1C ZINC001132330109 768757225 /nfs/dbraw/zinc/75/72/25/768757225.db2.gz AYWHRDIFIIOTGF-HUUCEWRRSA-N 1 2 319.449 1.307 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(C)(C)CC=C)CC[C@H]1C ZINC001132330109 768757226 /nfs/dbraw/zinc/75/72/26/768757226.db2.gz AYWHRDIFIIOTGF-HUUCEWRRSA-N 1 2 319.449 1.307 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132326513 768765952 /nfs/dbraw/zinc/76/59/52/768765952.db2.gz DZIKBKSCOAYABW-UONOGXRCSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132326513 768765954 /nfs/dbraw/zinc/76/59/54/768765954.db2.gz DZIKBKSCOAYABW-UONOGXRCSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001070888464 768771984 /nfs/dbraw/zinc/77/19/84/768771984.db2.gz SUAJICUUSRBWOD-CQSZACIVSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001070888464 768771988 /nfs/dbraw/zinc/77/19/88/768771988.db2.gz SUAJICUUSRBWOD-CQSZACIVSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CC(=O)N(C)C)CC[C@@H]1C ZINC001132346073 768775339 /nfs/dbraw/zinc/77/53/39/768775339.db2.gz UKMHZPWPJMHVSB-NWDGAFQWSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CC(=O)N(C)C)CC[C@@H]1C ZINC001132346073 768775346 /nfs/dbraw/zinc/77/53/46/768775346.db2.gz UKMHZPWPJMHVSB-NWDGAFQWSA-N 1 2 301.818 1.186 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C(F)(F)F)CC1 ZINC001070985678 768837279 /nfs/dbraw/zinc/83/72/79/768837279.db2.gz RNNCXEKWHGUPJG-NSHDSACASA-N 1 2 321.343 1.021 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C(F)(F)F)CC1 ZINC001070985678 768837294 /nfs/dbraw/zinc/83/72/94/768837294.db2.gz RNNCXEKWHGUPJG-NSHDSACASA-N 1 2 321.343 1.021 20 30 DDEDLO CC[C@@H](C)C(=O)NCC[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC001096275217 768903530 /nfs/dbraw/zinc/90/35/30/768903530.db2.gz UCXRQJBOEJQCKH-CQSZACIVSA-N 1 2 315.421 1.238 20 30 DDEDLO Cc1nc(NC[C@@H]2C[C@H](C)CCN2C(=O)[C@H](C)C#N)cc[nH+]1 ZINC001048062355 768932261 /nfs/dbraw/zinc/93/22/61/768932261.db2.gz ZHLIRAZLNZZUGW-BZPMIXESSA-N 1 2 301.394 1.984 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001132577083 768997110 /nfs/dbraw/zinc/99/71/10/768997110.db2.gz QYMQINWSTFNHJA-QWRGUYRKSA-N 1 2 324.450 1.766 20 30 DDEDLO N#Cc1cnccc1N1CC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001096370506 769448384 /nfs/dbraw/zinc/44/83/84/769448384.db2.gz PIUGOTIGULFJTD-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H]2CN(C(=O)c3cc(CC)[nH]n3)C[C@H]2C1 ZINC001048684991 769695722 /nfs/dbraw/zinc/69/57/22/769695722.db2.gz KHZJZDKVEVYRGN-TXEJJXNPSA-N 1 2 308.813 1.728 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2snnc2CC)CC[C@@H]1C ZINC001071664000 769816295 /nfs/dbraw/zinc/81/62/95/769816295.db2.gz WMNRMRMAAYNMRQ-NWDGAFQWSA-N 1 2 306.435 1.707 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2snnc2CC)CC[C@@H]1C ZINC001071664000 769816306 /nfs/dbraw/zinc/81/63/06/769816306.db2.gz WMNRMRMAAYNMRQ-NWDGAFQWSA-N 1 2 306.435 1.707 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2CC[C@H](C)[N@@H+](CCF)C2)nn1 ZINC001071890873 770236717 /nfs/dbraw/zinc/23/67/17/770236717.db2.gz SXOPXBFAJDTXDR-QWHCGFSZSA-N 1 2 309.389 1.406 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2CC[C@H](C)[N@H+](CCF)C2)nn1 ZINC001071890873 770236724 /nfs/dbraw/zinc/23/67/24/770236724.db2.gz SXOPXBFAJDTXDR-QWHCGFSZSA-N 1 2 309.389 1.406 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1CCN(c2ccncc2C#N)C1 ZINC001096490596 770460169 /nfs/dbraw/zinc/46/01/69/770460169.db2.gz CKDYUKHBSWLUOV-JSGCOSHPSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1CCN(c2ccncc2C#N)C1 ZINC001096490596 770460173 /nfs/dbraw/zinc/46/01/73/770460173.db2.gz CKDYUKHBSWLUOV-JSGCOSHPSA-N 1 2 324.388 1.250 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)C#N ZINC001049384457 770763441 /nfs/dbraw/zinc/76/34/41/770763441.db2.gz WMROMUCDEJRGHO-QLFBSQMISA-N 1 2 315.421 1.628 20 30 DDEDLO CCn1ccnc1C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)C#N ZINC001049384457 770763444 /nfs/dbraw/zinc/76/34/44/770763444.db2.gz WMROMUCDEJRGHO-QLFBSQMISA-N 1 2 315.421 1.628 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@H]3[C@H]2CCCN3C(=O)C#CC2CC2)n1 ZINC001049466722 770888565 /nfs/dbraw/zinc/88/85/65/770888565.db2.gz JBACOPXOYWPNSB-CABCVRRESA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@H]3[C@H]2CCCN3C(=O)C#CC2CC2)n1 ZINC001049466722 770888576 /nfs/dbraw/zinc/88/85/76/770888576.db2.gz JBACOPXOYWPNSB-CABCVRRESA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466607 770888668 /nfs/dbraw/zinc/88/86/68/770888668.db2.gz HINDRSGOWNGNNN-HOTGVXAUSA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466607 770888683 /nfs/dbraw/zinc/88/86/83/770888683.db2.gz HINDRSGOWNGNNN-HOTGVXAUSA-N 1 2 314.389 1.357 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001072454166 770921472 /nfs/dbraw/zinc/92/14/72/770921472.db2.gz ULMNKWCOPBQIED-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1cn(C)nn1 ZINC001049647708 771049443 /nfs/dbraw/zinc/04/94/43/771049443.db2.gz TUWJLIAWVLECJC-LSDHHAIUSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1cn(C)nn1 ZINC001049647708 771049448 /nfs/dbraw/zinc/04/94/48/771049448.db2.gz TUWJLIAWVLECJC-LSDHHAIUSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1cn(C)nn1 ZINC001049647701 771049591 /nfs/dbraw/zinc/04/95/91/771049591.db2.gz TUWJLIAWVLECJC-GJZGRUSLSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1cn(C)nn1 ZINC001049647701 771049597 /nfs/dbraw/zinc/04/95/97/771049597.db2.gz TUWJLIAWVLECJC-GJZGRUSLSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049890991 771237114 /nfs/dbraw/zinc/23/71/14/771237114.db2.gz CGZMCZSTXNGBCF-HOTGVXAUSA-N 1 2 311.389 1.823 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049890991 771237116 /nfs/dbraw/zinc/23/71/16/771237116.db2.gz CGZMCZSTXNGBCF-HOTGVXAUSA-N 1 2 311.389 1.823 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+]Cc1nnc(CC)o1 ZINC001135058027 771296830 /nfs/dbraw/zinc/29/68/30/771296830.db2.gz AYEUWLBQPLXPSH-WFASDCNBSA-N 1 2 322.409 1.209 20 30 DDEDLO N#Cc1cccnc1N[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001096820505 771467479 /nfs/dbraw/zinc/46/74/79/771467479.db2.gz ULFBWJCSVPBXIN-AWEZNQCLSA-N 1 2 310.361 1.226 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3nocc3C)C[C@@H]2O)C1 ZINC001090555744 771987472 /nfs/dbraw/zinc/98/74/72/771987472.db2.gz PCFISIXUSCJCMY-HIFRSBDPSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3nocc3C)C[C@@H]2O)C1 ZINC001090555744 771987477 /nfs/dbraw/zinc/98/74/77/771987477.db2.gz PCFISIXUSCJCMY-HIFRSBDPSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2nc(C)oc2C)[C@H](O)C1 ZINC001090673180 772099970 /nfs/dbraw/zinc/09/99/70/772099970.db2.gz IWIUPWWGWHOJRF-NWDGAFQWSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2nc(C)oc2C)[C@H](O)C1 ZINC001090673180 772099975 /nfs/dbraw/zinc/09/99/75/772099975.db2.gz IWIUPWWGWHOJRF-NWDGAFQWSA-N 1 2 313.785 1.209 20 30 DDEDLO C#CC(=O)N[C@H]1CC[N@@H+](Cc2ccc(Br)cc2)C1 ZINC001143912757 772338720 /nfs/dbraw/zinc/33/87/20/772338720.db2.gz FRFVTNOOFFVWAN-ZDUSSCGKSA-N 1 2 307.191 1.773 20 30 DDEDLO C#CC(=O)N[C@H]1CC[N@H+](Cc2ccc(Br)cc2)C1 ZINC001143912757 772338724 /nfs/dbraw/zinc/33/87/24/772338724.db2.gz FRFVTNOOFFVWAN-ZDUSSCGKSA-N 1 2 307.191 1.773 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2ccns2)CCCO1 ZINC001149361968 772449533 /nfs/dbraw/zinc/44/95/33/772449533.db2.gz LSCBZKDEJUZVHY-CYBMUJFWSA-N 1 2 309.435 1.816 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2ccns2)CCCO1 ZINC001149361968 772449536 /nfs/dbraw/zinc/44/95/36/772449536.db2.gz LSCBZKDEJUZVHY-CYBMUJFWSA-N 1 2 309.435 1.816 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+]([C@@H](C)Cc2cccc(C#N)c2)CC1 ZINC001171227842 772641112 /nfs/dbraw/zinc/64/11/12/772641112.db2.gz AZQTYLPTPQUIEQ-AWEZNQCLSA-N 1 2 321.446 1.457 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](CNC(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001091464682 772775905 /nfs/dbraw/zinc/77/59/05/772775905.db2.gz WITDWJVFNCGOSP-CTYIDZIISA-N 1 2 324.388 1.465 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nc(C)no1)C2 ZINC001147256166 773081806 /nfs/dbraw/zinc/08/18/06/773081806.db2.gz NAKRLTCCIBVUSV-GFCCVEGCSA-N 1 2 320.393 1.176 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nc(C)no1)C2 ZINC001147256166 773081809 /nfs/dbraw/zinc/08/18/09/773081809.db2.gz NAKRLTCCIBVUSV-GFCCVEGCSA-N 1 2 320.393 1.176 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[NH2+]Cc1ncc(C)o1 ZINC001147283501 773100463 /nfs/dbraw/zinc/10/04/63/773100463.db2.gz UQOOBAWMBVPFOJ-UHFFFAOYSA-N 1 2 316.361 1.462 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCC2(CN(C(=O)C#CC(C)(C)C)C2)C1 ZINC001147541068 773163358 /nfs/dbraw/zinc/16/33/58/773163358.db2.gz GYTUUVISCPSZHK-UHFFFAOYSA-N 1 2 316.405 1.462 20 30 DDEDLO Cc1nonc1C[N@H+]1CCC2(CN(C(=O)C#CC(C)(C)C)C2)C1 ZINC001147541068 773163361 /nfs/dbraw/zinc/16/33/61/773163361.db2.gz GYTUUVISCPSZHK-UHFFFAOYSA-N 1 2 316.405 1.462 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001073802932 773424705 /nfs/dbraw/zinc/42/47/05/773424705.db2.gz MRCHZAMFESJTIA-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+][C@H](C)c2nc(CC)no2)nc1 ZINC001148376153 773460683 /nfs/dbraw/zinc/46/06/83/773460683.db2.gz BXBWJBAWBRRUIP-LLVKDONJSA-N 1 2 313.361 1.089 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC2([NH+]3CCCC3)COC2)cc1 ZINC001148468383 773480036 /nfs/dbraw/zinc/48/00/36/773480036.db2.gz XTBMJDBLJFIEDY-UHFFFAOYSA-N 1 2 316.401 1.846 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001074025748 773592821 /nfs/dbraw/zinc/59/28/21/773592821.db2.gz XKLAXLHLBQWDRL-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001074025748 773592825 /nfs/dbraw/zinc/59/28/25/773592825.db2.gz XKLAXLHLBQWDRL-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074149680 773675072 /nfs/dbraw/zinc/67/50/72/773675072.db2.gz NPKPTPHLEPVAGO-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001074168914 773692352 /nfs/dbraw/zinc/69/23/52/773692352.db2.gz RGBHRBFLTUXYOJ-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3C)C[C@@H]21 ZINC001074208314 773731701 /nfs/dbraw/zinc/73/17/01/773731701.db2.gz GUGKXJGFMGMLJS-JKSUJKDBSA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3C)C[C@@H]21 ZINC001074208314 773731705 /nfs/dbraw/zinc/73/17/05/773731705.db2.gz GUGKXJGFMGMLJS-JKSUJKDBSA-N 1 2 301.390 1.262 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccoc3)C[C@H]21 ZINC001074216043 773739025 /nfs/dbraw/zinc/73/90/25/773739025.db2.gz SEUNPZMKOMZGBU-CVEARBPZSA-N 1 2 304.390 1.700 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccoc3)C[C@H]21 ZINC001074216043 773739026 /nfs/dbraw/zinc/73/90/26/773739026.db2.gz SEUNPZMKOMZGBU-CVEARBPZSA-N 1 2 304.390 1.700 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001074263682 773777343 /nfs/dbraw/zinc/77/73/43/773777343.db2.gz NTEWVCNJDFUFHC-UKRRQHHQSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3OCC[N@H+](CCCO)[C@H]3C2)C1 ZINC001074333867 773830582 /nfs/dbraw/zinc/83/05/82/773830582.db2.gz JHAHOGKMMHLPDL-LSDHHAIUSA-N 1 2 308.422 1.027 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3OCC[N@@H+](CCCO)[C@H]3C2)C1 ZINC001074333867 773830589 /nfs/dbraw/zinc/83/05/89/773830589.db2.gz JHAHOGKMMHLPDL-LSDHHAIUSA-N 1 2 308.422 1.027 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cn3ccc(C)n3)C[C@@H]21 ZINC001074341172 773838507 /nfs/dbraw/zinc/83/85/07/773838507.db2.gz QHENAEUMBGQAKU-JKSUJKDBSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cn3ccc(C)n3)C[C@@H]21 ZINC001074341172 773838514 /nfs/dbraw/zinc/83/85/14/773838514.db2.gz QHENAEUMBGQAKU-JKSUJKDBSA-N 1 2 318.421 1.069 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCOCCN(C)c1cc[nH+]c(C)n1 ZINC001091901038 773852196 /nfs/dbraw/zinc/85/21/96/773852196.db2.gz ZYKILQMULKNFHC-UHFFFAOYSA-N 1 2 320.437 1.908 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccnc(C)c3)C[C@H]21 ZINC001074366464 773858861 /nfs/dbraw/zinc/85/88/61/773858861.db2.gz UWLSQRUQPNIXRG-SJORKVTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccnc(C)c3)C[C@H]21 ZINC001074366464 773858864 /nfs/dbraw/zinc/85/88/64/773858864.db2.gz UWLSQRUQPNIXRG-SJORKVTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3c[nH]cc3C)C[C@@H]21 ZINC001074410235 773905463 /nfs/dbraw/zinc/90/54/63/773905463.db2.gz OGFABAHPMXQVQP-HOTGVXAUSA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3c[nH]cc3C)C[C@@H]21 ZINC001074410235 773905467 /nfs/dbraw/zinc/90/54/67/773905467.db2.gz OGFABAHPMXQVQP-HOTGVXAUSA-N 1 2 301.390 1.262 20 30 DDEDLO C=CCCOCC(=O)NCC1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001092224129 774015517 /nfs/dbraw/zinc/01/55/17/774015517.db2.gz NAONKKLGVJULLT-UHFFFAOYSA-N 1 2 304.394 1.684 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H]1CCCO1)c1nccn12 ZINC001092351564 774069581 /nfs/dbraw/zinc/06/95/81/774069581.db2.gz CLYUOJFUMDFLBF-KBPBESRZSA-N 1 2 316.405 1.210 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC1(F)F)c1nccn12 ZINC001092367923 774106558 /nfs/dbraw/zinc/10/65/58/774106558.db2.gz DXKAZROJYIMTEM-GHMZBOCLSA-N 1 2 308.332 1.296 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@@H](CNc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001092640477 774194660 /nfs/dbraw/zinc/19/46/60/774194660.db2.gz AMTQGLVDTDHJMX-MRRJBJDNSA-N 1 2 318.421 1.619 20 30 DDEDLO CCc1nc(N2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC2CC2)C3)cc(C)[nH+]1 ZINC001092827673 774283690 /nfs/dbraw/zinc/28/36/90/774283690.db2.gz ACVJDCZMSHZULS-PMPSAXMXSA-N 1 2 324.428 1.987 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098535807 774605461 /nfs/dbraw/zinc/60/54/61/774605461.db2.gz CXMLXJQEFJBRND-TZMCWYRMSA-N 1 2 304.394 1.637 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001093332273 774605941 /nfs/dbraw/zinc/60/59/41/774605941.db2.gz ZPXUTNPKSVLAHP-NHAGDIPZSA-N 1 2 300.406 1.938 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CCC3CCC3)C2)nn1 ZINC001098653217 774632144 /nfs/dbraw/zinc/63/21/44/774632144.db2.gz QMCHAEDAQLSNFC-MRXNPFEDSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001093354631 774652087 /nfs/dbraw/zinc/65/20/87/774652087.db2.gz AKPKHAMSPAPRKU-SHFYGJNESA-N 1 2 300.406 1.938 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC(C)(C)C[N@@H+]1Cc1ccn(C)n1 ZINC001098982561 774754844 /nfs/dbraw/zinc/75/48/44/774754844.db2.gz LVPCKYCQTRIPEV-HIFRSBDPSA-N 1 2 317.437 1.687 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC(C)(C)C[N@H+]1Cc1ccn(C)n1 ZINC001098982561 774754845 /nfs/dbraw/zinc/75/48/45/774754845.db2.gz LVPCKYCQTRIPEV-HIFRSBDPSA-N 1 2 317.437 1.687 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C#CC3CC3)CC2)C1 ZINC001093534341 774788193 /nfs/dbraw/zinc/78/81/93/774788193.db2.gz OSIOYVYPXJWUBQ-UHFFFAOYSA-N 1 2 302.418 1.668 20 30 DDEDLO N#Cc1nc(NCCNC(=O)CCc2[nH]cc[nH+]2)sc1Cl ZINC001093582410 774858855 /nfs/dbraw/zinc/85/88/55/774858855.db2.gz RMIXEMKIALCZRF-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@]3(C)CCO[C@H]3C)CC2)C1 ZINC001093557141 774880989 /nfs/dbraw/zinc/88/09/89/774880989.db2.gz MZSMDKUJQYFZKQ-KBXCAEBGSA-N 1 2 322.449 1.679 20 30 DDEDLO C[C@H](CC(=O)NC[C@@H]1CCC(C)(C)CN1CC#N)n1cc[nH+]c1 ZINC001099251179 774918788 /nfs/dbraw/zinc/91/87/88/774918788.db2.gz HJASDMINTIIXBQ-CABCVRRESA-N 1 2 317.437 1.965 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC(F)(F)F)[C@@H](O)C1 ZINC001099712093 775156979 /nfs/dbraw/zinc/15/69/79/775156979.db2.gz KPGFZVHZLZKGCO-IUCAKERBSA-N 1 2 300.708 1.243 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC(F)(F)F)[C@@H](O)C1 ZINC001099712093 775156985 /nfs/dbraw/zinc/15/69/85/775156985.db2.gz KPGFZVHZLZKGCO-IUCAKERBSA-N 1 2 300.708 1.243 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)sc2C)C[C@H]1O ZINC001099726043 775188652 /nfs/dbraw/zinc/18/86/52/775188652.db2.gz CVGBJFDFEKRFEC-UKRRQHHQSA-N 1 2 323.462 1.778 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)sc2C)C[C@H]1O ZINC001099726043 775188656 /nfs/dbraw/zinc/18/86/56/775188656.db2.gz CVGBJFDFEKRFEC-UKRRQHHQSA-N 1 2 323.462 1.778 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099728118 775194245 /nfs/dbraw/zinc/19/42/45/775194245.db2.gz VUZDQRWFLVUPLX-OLZOCXBDSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099728118 775194253 /nfs/dbraw/zinc/19/42/53/775194253.db2.gz VUZDQRWFLVUPLX-OLZOCXBDSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C3CC3)no2)C[C@@H]1O ZINC001099729117 775196054 /nfs/dbraw/zinc/19/60/54/775196054.db2.gz CGVBHELFJVWTTO-ZBFHGGJFSA-N 1 2 319.405 1.570 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C3CC3)no2)C[C@@H]1O ZINC001099729117 775196059 /nfs/dbraw/zinc/19/60/59/775196059.db2.gz CGVBHELFJVWTTO-ZBFHGGJFSA-N 1 2 319.405 1.570 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C3CC3)no2)C[C@H]1O ZINC001099729115 775196882 /nfs/dbraw/zinc/19/68/82/775196882.db2.gz CGVBHELFJVWTTO-GOEBONIOSA-N 1 2 319.405 1.570 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cc(C3CC3)no2)C[C@H]1O ZINC001099729115 775196890 /nfs/dbraw/zinc/19/68/90/775196890.db2.gz CGVBHELFJVWTTO-GOEBONIOSA-N 1 2 319.405 1.570 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCC(C)(F)F)[C@H](O)C1 ZINC001099896642 775394948 /nfs/dbraw/zinc/39/49/48/775394948.db2.gz MLIZMSXZKDADPF-GHMZBOCLSA-N 1 2 310.772 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCC(C)(F)F)[C@H](O)C1 ZINC001099896642 775394958 /nfs/dbraw/zinc/39/49/58/775394958.db2.gz MLIZMSXZKDADPF-GHMZBOCLSA-N 1 2 310.772 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCCC2CC2)[C@H](O)C1 ZINC001099965716 775489823 /nfs/dbraw/zinc/48/98/23/775489823.db2.gz ZJJSTMQMZUYDHV-UONOGXRCSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCCC2CC2)[C@H](O)C1 ZINC001099965716 775489819 /nfs/dbraw/zinc/48/98/19/775489819.db2.gz ZJJSTMQMZUYDHV-UONOGXRCSA-N 1 2 300.830 1.871 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)cn2)C[C@@H]1O ZINC001099973989 775505394 /nfs/dbraw/zinc/50/53/94/775505394.db2.gz LYQSXNYJZBUXIR-CVEARBPZSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)cn2)C[C@@H]1O ZINC001099973989 775505407 /nfs/dbraw/zinc/50/54/07/775505407.db2.gz LYQSXNYJZBUXIR-CVEARBPZSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099976178 775509592 /nfs/dbraw/zinc/50/95/92/775509592.db2.gz LCQJEONSDHNICN-UONOGXRCSA-N 1 2 309.435 1.551 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099976178 775509599 /nfs/dbraw/zinc/50/95/99/775509599.db2.gz LCQJEONSDHNICN-UONOGXRCSA-N 1 2 309.435 1.551 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CCC[C@@H](C)C3)nn2)C1 ZINC001094314301 775669023 /nfs/dbraw/zinc/66/90/23/775669023.db2.gz UKYDOSIVANOWTF-KGLIPLIRSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3CCC(F)CC3)nn2)C1 ZINC001094315071 775671864 /nfs/dbraw/zinc/67/18/64/775671864.db2.gz HNMZRCWRXAAMAC-UHFFFAOYSA-N 1 2 321.400 1.465 20 30 DDEDLO C=CCOCC(=O)NCCCNc1nc(C)[nH+]c2c1CCCC2 ZINC001094335663 775720674 /nfs/dbraw/zinc/72/06/74/775720674.db2.gz BIDUVJSBXIDFDO-UHFFFAOYSA-N 1 2 318.421 1.785 20 30 DDEDLO CCc1nc(C[NH2+]C2CC(CNC(=O)C#CC(C)(C)C)C2)no1 ZINC001100316088 775986808 /nfs/dbraw/zinc/98/68/08/775986808.db2.gz TUTZNAQTIGEDSF-UHFFFAOYSA-N 1 2 318.421 1.666 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H]3CCCC3(C)C)CC2=O)C1 ZINC001094774511 776263462 /nfs/dbraw/zinc/26/34/62/776263462.db2.gz MVUHDVKSAIUFEI-DZGCQCFKSA-N 1 2 319.449 1.400 20 30 DDEDLO CCCCCCCCCC(=O)NCCC[NH2+]Cc1nnn(C)n1 ZINC001171864971 776499488 /nfs/dbraw/zinc/49/94/88/776499488.db2.gz KOTDKMRVDPBJSY-UHFFFAOYSA-N 1 2 324.473 1.947 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2CNC(=O)Cc2c[nH]c[nH+]2)cn1 ZINC001100910554 776694805 /nfs/dbraw/zinc/69/48/05/776694805.db2.gz MLSJMVAWKKXIPJ-AWEZNQCLSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001095067113 777054320 /nfs/dbraw/zinc/05/43/20/777054320.db2.gz UTUTZVFPRVTYFD-CYBMUJFWSA-N 1 2 318.425 1.615 20 30 DDEDLO CCc1nc(N(CCNC(=O)[C@@H](C)C#N)C2CC2)cc(C)[nH+]1 ZINC001101319732 777065149 /nfs/dbraw/zinc/06/51/49/777065149.db2.gz DWOKEFSTPDOJEY-NSHDSACASA-N 1 2 301.394 1.592 20 30 DDEDLO CC(C)(CNCC#N)C1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001101559916 777248964 /nfs/dbraw/zinc/24/89/64/777248964.db2.gz ARHFVSPZRRNBLR-UHFFFAOYSA-N 1 2 317.437 1.651 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)SC)c1nccn12 ZINC001101611786 777302177 /nfs/dbraw/zinc/30/21/77/777302177.db2.gz JMHQBLBOHPBBQQ-NEPJUHHUSA-N 1 2 306.435 1.393 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)COCCCC)c1nccn12 ZINC001101619544 777311840 /nfs/dbraw/zinc/31/18/40/777311840.db2.gz YLLRHJYMLPLURU-AWEZNQCLSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](OC)C(C)C)c1nccn12 ZINC001101640467 777337353 /nfs/dbraw/zinc/33/73/53/777337353.db2.gz AQDUVKMTRKZYGZ-UONOGXRCSA-N 1 2 318.421 1.312 20 30 DDEDLO C=CCCCC(=O)N(C)CCNc1ncnc2c1C[N@H+](C)CC2 ZINC001101933123 777696809 /nfs/dbraw/zinc/69/68/09/777696809.db2.gz WWPXNNJQRJAAIR-UHFFFAOYSA-N 1 2 317.437 1.691 20 30 DDEDLO C=CCCCC(=O)N(C)CCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001101933123 777696815 /nfs/dbraw/zinc/69/68/15/777696815.db2.gz WWPXNNJQRJAAIR-UHFFFAOYSA-N 1 2 317.437 1.691 20 30 DDEDLO C[N@@H+]1CCC=C(n2c(Br)c(C#N)c(N)cc2=N)C1 ZINC001175573362 777844687 /nfs/dbraw/zinc/84/46/87/777844687.db2.gz DOGZEMDFOYHOFL-UHFFFAOYSA-N 1 2 308.183 1.360 20 30 DDEDLO C[N@H+]1CCC=C(n2c(Br)c(C#N)c(N)cc2=N)C1 ZINC001175573362 777844694 /nfs/dbraw/zinc/84/46/94/777844694.db2.gz DOGZEMDFOYHOFL-UHFFFAOYSA-N 1 2 308.183 1.360 20 30 DDEDLO Cc1nc(N2CC[C@@H](CCNC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001102316630 778048870 /nfs/dbraw/zinc/04/88/70/778048870.db2.gz PAHBJIIPAVEUHK-CYBMUJFWSA-N 1 2 324.388 1.631 20 30 DDEDLO Cc1nc(N2CC[C@H](CCNC(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001102329773 778066259 /nfs/dbraw/zinc/06/62/59/778066259.db2.gz TYPKCDCRBWCNED-HNNXBMFYSA-N 1 2 300.406 1.777 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOC(C)C)[C@@H]2C1 ZINC001176987518 778368870 /nfs/dbraw/zinc/36/88/70/778368870.db2.gz DYDZRKJPVLLYCS-SJORKVTESA-N 1 2 322.449 1.517 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOC(C)C)[C@@H]2C1 ZINC001176987518 778368874 /nfs/dbraw/zinc/36/88/74/778368874.db2.gz DYDZRKJPVLLYCS-SJORKVTESA-N 1 2 322.449 1.517 20 30 DDEDLO C=CCCC(=O)NC[C@@H](CC)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001102985393 778528243 /nfs/dbraw/zinc/52/82/43/778528243.db2.gz NCGWVQMWBZBBCI-CYBMUJFWSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCC(=O)NC[C@@H](CC)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001102985393 778528246 /nfs/dbraw/zinc/52/82/46/778528246.db2.gz NCGWVQMWBZBBCI-CYBMUJFWSA-N 1 2 317.437 1.737 20 30 DDEDLO Cc1nc(NC2(CNC(=O)Cc3[nH]cc[nH+]3)CC2)ccc1C#N ZINC001110206891 778576822 /nfs/dbraw/zinc/57/68/22/778576822.db2.gz IODCIDKZBFVVHA-UHFFFAOYSA-N 1 2 310.361 1.288 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1cncc(C#N)n1 ZINC001103357397 778813696 /nfs/dbraw/zinc/81/36/96/778813696.db2.gz QTPUZJVPLTZKBQ-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1cncc(C#N)n1 ZINC001103357397 778813706 /nfs/dbraw/zinc/81/37/06/778813706.db2.gz QTPUZJVPLTZKBQ-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1cncc(C#N)n1 ZINC001103357398 778813743 /nfs/dbraw/zinc/81/37/43/778813743.db2.gz QTPUZJVPLTZKBQ-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1cncc(C#N)n1 ZINC001103357398 778813750 /nfs/dbraw/zinc/81/37/50/778813750.db2.gz QTPUZJVPLTZKBQ-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)[C@@H]1C ZINC001178503933 779091354 /nfs/dbraw/zinc/09/13/54/779091354.db2.gz YBTUEVRTQBPGJW-JSGCOSHPSA-N 1 2 304.394 1.651 20 30 DDEDLO Cc1cc(NC[C@@H](C)CNC(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001103898156 779146359 /nfs/dbraw/zinc/14/63/59/779146359.db2.gz HCPPNBSFRUSXOA-MNOVXSKESA-N 1 2 301.394 1.986 20 30 DDEDLO C=CCCC(=O)NC[C@H]1COCCN1c1cc(C)[nH+]c(CC)n1 ZINC001111674056 779430376 /nfs/dbraw/zinc/43/03/76/779430376.db2.gz STPMVCSWKAXONR-AWEZNQCLSA-N 1 2 318.421 1.635 20 30 DDEDLO C#CCOCCC(=O)N1CCC(N(C)c2cc[nH+]c(C)n2)CC1 ZINC001115147921 779903967 /nfs/dbraw/zinc/90/39/67/779903967.db2.gz QGGAABSVSMLQRT-UHFFFAOYSA-N 1 2 316.405 1.252 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001115490471 780183974 /nfs/dbraw/zinc/18/39/74/780183974.db2.gz WCHSXRYIFMVXDC-AWEZNQCLSA-N 1 2 304.394 1.637 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)NCc2ccco2)C1=O ZINC001116916789 780576981 /nfs/dbraw/zinc/57/69/81/780576981.db2.gz FZDDFMOZJGBBNZ-GXTWGEPZSA-N 1 2 305.378 1.003 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)NCc2ccco2)C1=O ZINC001116916789 780576984 /nfs/dbraw/zinc/57/69/84/780576984.db2.gz FZDDFMOZJGBBNZ-GXTWGEPZSA-N 1 2 305.378 1.003 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001120163261 781793536 /nfs/dbraw/zinc/79/35/36/781793536.db2.gz AJAXOONEYWJHCX-GFCCVEGCSA-N 1 2 316.409 1.978 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)CCNC(=O)C1CC2(CC2)C1 ZINC001267215533 837547658 /nfs/dbraw/zinc/54/76/58/837547658.db2.gz LEXPXGVJSFVHHT-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)CCNC(=O)C1CC2(CC2)C1 ZINC001267215533 837547666 /nfs/dbraw/zinc/54/76/66/837547666.db2.gz LEXPXGVJSFVHHT-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](C)CC(=O)N(C)c1ccccc1 ZINC001267212535 837543804 /nfs/dbraw/zinc/54/38/04/837543804.db2.gz OXOFAPCUUPMITO-UHFFFAOYSA-N 1 2 317.433 1.910 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](C)CC(=O)N(C)c1ccccc1 ZINC001267212535 837543811 /nfs/dbraw/zinc/54/38/11/837543811.db2.gz OXOFAPCUUPMITO-UHFFFAOYSA-N 1 2 317.433 1.910 20 30 DDEDLO CCC(=O)NCC[NH+]1CCN(CC#Cc2ccc(F)cc2)CC1 ZINC001266279326 836033851 /nfs/dbraw/zinc/03/38/51/836033851.db2.gz MAQWLUXHHBQQFQ-UHFFFAOYSA-N 1 2 317.408 1.321 20 30 DDEDLO CC(C)(C(=O)NCC[NH+]1CCN(CC#N)CC1)C1CCCC1 ZINC001266286122 836048892 /nfs/dbraw/zinc/04/88/92/836048892.db2.gz ZRBUBJINBAJWTB-UHFFFAOYSA-N 1 2 306.454 1.460 20 30 DDEDLO CCc1ccc(C(=O)NCC[NH+]2CCN(CC#N)CC2)cc1 ZINC001266297935 836074782 /nfs/dbraw/zinc/07/47/82/836074782.db2.gz YFEGJOHGRDQIFL-UHFFFAOYSA-N 1 2 300.406 1.120 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1cccc2[nH]ccc21 ZINC001266328468 836127193 /nfs/dbraw/zinc/12/71/93/836127193.db2.gz DRXBGTHTESVFFI-UHFFFAOYSA-N 1 2 314.389 1.132 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1cccc2[nH]ccc21 ZINC001266328468 836127196 /nfs/dbraw/zinc/12/71/96/836127196.db2.gz DRXBGTHTESVFFI-UHFFFAOYSA-N 1 2 314.389 1.132 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@H]1CC[N@@H+](Cc2cnn(C)n2)C1 ZINC001266513688 836392088 /nfs/dbraw/zinc/39/20/88/836392088.db2.gz FFDOJLZVGOBPLN-CQSZACIVSA-N 1 2 317.437 1.522 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@H]1CC[N@H+](Cc2cnn(C)n2)C1 ZINC001266513688 836392101 /nfs/dbraw/zinc/39/21/01/836392101.db2.gz FFDOJLZVGOBPLN-CQSZACIVSA-N 1 2 317.437 1.522 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC1CC1 ZINC001266842402 836916128 /nfs/dbraw/zinc/91/61/28/836916128.db2.gz NNMGNWBRVRGGFK-MRXNPFEDSA-N 1 2 319.449 1.143 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC1CC1 ZINC001266842402 836916139 /nfs/dbraw/zinc/91/61/39/836916139.db2.gz NNMGNWBRVRGGFK-MRXNPFEDSA-N 1 2 319.449 1.143 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)CCCC1CC1 ZINC001266852930 836938193 /nfs/dbraw/zinc/93/81/93/836938193.db2.gz BJDACMYXNSCJFS-OAHLLOKOSA-N 1 2 320.437 1.177 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)CCCC1CC1 ZINC001266852930 836938205 /nfs/dbraw/zinc/93/82/05/836938205.db2.gz BJDACMYXNSCJFS-OAHLLOKOSA-N 1 2 320.437 1.177 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@H]([C@@H](C)NC(=O)C#CC3CC3)C2)n1 ZINC001266892161 837006614 /nfs/dbraw/zinc/00/66/14/837006614.db2.gz TWLYZDIGLAAJHW-DOMZBBRYSA-N 1 2 316.405 1.508 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@H]([C@@H](C)NC(=O)C#CC3CC3)C2)n1 ZINC001266892161 837006629 /nfs/dbraw/zinc/00/66/29/837006629.db2.gz TWLYZDIGLAAJHW-DOMZBBRYSA-N 1 2 316.405 1.508 20 30 DDEDLO CCCCCC#CC(=O)N1CC2(C1)CC[N@H+](COCCOC)C2 ZINC001271958076 844238930 /nfs/dbraw/zinc/23/89/30/844238930.db2.gz LDWIJTGNVBYWQZ-UHFFFAOYSA-N 1 2 322.449 1.725 20 30 DDEDLO CCCCCC#CC(=O)N1CC2(C1)CC[N@@H+](COCCOC)C2 ZINC001271958076 844238942 /nfs/dbraw/zinc/23/89/42/844238942.db2.gz LDWIJTGNVBYWQZ-UHFFFAOYSA-N 1 2 322.449 1.725 20 30 DDEDLO C[C@@H](CNC(=O)C#CC1CC1)[NH2+]Cc1nc(C2CCCC2)no1 ZINC001266937429 837084104 /nfs/dbraw/zinc/08/41/04/837084104.db2.gz IXEVSUTYVMLXSI-LBPRGKRZSA-N 1 2 316.405 1.735 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)[NH2+][C@H](C)c2nc(C)no2)cc1 ZINC001266962391 837120021 /nfs/dbraw/zinc/12/00/21/837120021.db2.gz ZUUCOVSHYJCNKY-NWDGAFQWSA-N 1 2 312.373 1.828 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc([C@@H](C)OCC)no2)C1 ZINC001267006380 837178370 /nfs/dbraw/zinc/17/83/70/837178370.db2.gz IUJFFTYSZHVWLN-OLZOCXBDSA-N 1 2 322.409 1.824 20 30 DDEDLO CCCN(C(=O)c1cccc2[nH+]ccn21)[C@H]1CCN(CC#N)C1 ZINC001267465075 838111577 /nfs/dbraw/zinc/11/15/77/838111577.db2.gz CGOKEBDIMQZOEO-AWEZNQCLSA-N 1 2 311.389 1.784 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)[C@H]2CCCc3cccnc32)C1 ZINC001267656596 838592198 /nfs/dbraw/zinc/59/21/98/838592198.db2.gz QQMPPHZAKKJSDC-INIZCTEOSA-N 1 2 315.417 1.505 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1C[NH+](Cc2cccc(F)c2F)C1 ZINC001267681074 838635376 /nfs/dbraw/zinc/63/53/76/838635376.db2.gz HQRAUISVRYGNLH-GFCCVEGCSA-N 1 2 322.355 1.551 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](CC(=O)NCCCC)C2)C1 ZINC001267729051 838765959 /nfs/dbraw/zinc/76/59/59/838765959.db2.gz UISJAFOYNPSGPM-AWEZNQCLSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](CC(=O)NCCCC)C2)C1 ZINC001267729051 838765965 /nfs/dbraw/zinc/76/59/65/838765965.db2.gz UISJAFOYNPSGPM-AWEZNQCLSA-N 1 2 307.438 1.450 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2(CC)CCCCC2)C1 ZINC001267734458 838783605 /nfs/dbraw/zinc/78/36/05/838783605.db2.gz JSSPRFDZIYEFGV-HNNXBMFYSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2(CC)CCCCC2)C1 ZINC001267734458 838783608 /nfs/dbraw/zinc/78/36/08/838783608.db2.gz JSSPRFDZIYEFGV-HNNXBMFYSA-N 1 2 319.449 1.287 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@@H+]([C@@H](C)C(N)=O)C2)CCCCC1 ZINC001267753972 838856176 /nfs/dbraw/zinc/85/61/76/838856176.db2.gz HDLBRYGZDQZEOO-UONOGXRCSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@H+]([C@@H](C)C(N)=O)C2)CCCCC1 ZINC001267753972 838856185 /nfs/dbraw/zinc/85/61/85/838856185.db2.gz HDLBRYGZDQZEOO-UONOGXRCSA-N 1 2 307.438 1.577 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@@H](N(C)C(=O)C#CC(C)(C)C)C1 ZINC001267762144 838889290 /nfs/dbraw/zinc/88/92/90/838889290.db2.gz ONJRSHIVBGWSBD-CYBMUJFWSA-N 1 2 320.462 1.929 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@@H](N(C)C(=O)C#CC(C)(C)C)C1 ZINC001267762144 838889298 /nfs/dbraw/zinc/88/92/98/838889298.db2.gz ONJRSHIVBGWSBD-CYBMUJFWSA-N 1 2 320.462 1.929 20 30 DDEDLO CCOC(=O)c1ncc(C[NH2+]CC2(C#N)CCOCC2)s1 ZINC001414187986 839098310 /nfs/dbraw/zinc/09/83/10/839098310.db2.gz FWOYHRGFGXAWPI-UHFFFAOYSA-N 1 2 309.391 1.730 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)CS(=O)(=O)C(C)C ZINC001272044347 844433779 /nfs/dbraw/zinc/43/37/79/844433779.db2.gz QTTLCWGHHDRXBP-UONOGXRCSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)CS(=O)(=O)C(C)C ZINC001272044347 844433783 /nfs/dbraw/zinc/43/37/83/844433783.db2.gz QTTLCWGHHDRXBP-UONOGXRCSA-N 1 2 314.451 1.061 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)CCC[NH2+][C@H](C)c1noc(C)n1 ZINC001268789004 840810019 /nfs/dbraw/zinc/81/00/19/840810019.db2.gz GAIJPSYWFBXRLX-IAQYHMDHSA-N 1 2 310.398 1.204 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@]2(C)CCC[C@H]2C)C1 ZINC001268874219 840928788 /nfs/dbraw/zinc/92/87/88/840928788.db2.gz HIRCOORIMFPFRF-CXAGYDPISA-N 1 2 307.438 1.163 20 30 DDEDLO C=CC[C@@H](C)C(=O)N1CCCC2(C[NH+](CC(=O)N(C)C)C2)C1 ZINC001268967787 841070247 /nfs/dbraw/zinc/07/02/47/841070247.db2.gz FCYIMELGDDKNSV-CQSZACIVSA-N 1 2 307.438 1.211 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC2(C1)C[NH+](Cc1cccc(=O)[nH]1)C2 ZINC001269001755 841101128 /nfs/dbraw/zinc/10/11/28/841101128.db2.gz KJLBYAMGOCEVLM-UHFFFAOYSA-N 1 2 323.343 1.643 20 30 DDEDLO COc1ncccc1C[N@@H+]1CC[C@@H](NC(=O)CSCC#N)C1 ZINC001269108106 841215217 /nfs/dbraw/zinc/21/52/17/841215217.db2.gz GWEIZDCMQAXBFI-CYBMUJFWSA-N 1 2 320.418 1.037 20 30 DDEDLO COc1ncccc1C[N@H+]1CC[C@@H](NC(=O)CSCC#N)C1 ZINC001269108106 841215228 /nfs/dbraw/zinc/21/52/28/841215228.db2.gz GWEIZDCMQAXBFI-CYBMUJFWSA-N 1 2 320.418 1.037 20 30 DDEDLO C=CCN1CC[C@@]2(CCCN2C(=O)CCc2[nH]cc[nH+]2)C1=O ZINC001269175095 841295571 /nfs/dbraw/zinc/29/55/71/841295571.db2.gz HLHOXUYCTIIQNI-INIZCTEOSA-N 1 2 302.378 1.122 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)[C@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001269234043 841389637 /nfs/dbraw/zinc/38/96/37/841389637.db2.gz NGGQREACLZRLNS-XJKSGUPXSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)[C@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001269234043 841389648 /nfs/dbraw/zinc/38/96/48/841389648.db2.gz NGGQREACLZRLNS-XJKSGUPXSA-N 1 2 323.462 1.811 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CNC(=O)[C@H]1C[C@@H]1C ZINC001269271501 841450253 /nfs/dbraw/zinc/45/02/53/841450253.db2.gz AFXRUMYFIZUSOZ-KKUMJFAQSA-N 1 2 305.422 1.034 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)[C@H]1C[C@@H]1C ZINC001269271501 841450260 /nfs/dbraw/zinc/45/02/60/841450260.db2.gz AFXRUMYFIZUSOZ-KKUMJFAQSA-N 1 2 305.422 1.034 20 30 DDEDLO C=C[C@H](C(=O)NC[C@H]1CC[N@@H+]1CC#CCOC)c1ccccc1 ZINC001269349547 841551179 /nfs/dbraw/zinc/55/11/79/841551179.db2.gz DFGORNGDRDELOV-MSOLQXFVSA-N 1 2 312.413 1.797 20 30 DDEDLO C=C[C@H](C(=O)NC[C@H]1CC[N@H+]1CC#CCOC)c1ccccc1 ZINC001269349547 841551171 /nfs/dbraw/zinc/55/11/71/841551171.db2.gz DFGORNGDRDELOV-MSOLQXFVSA-N 1 2 312.413 1.797 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cccc2[nH+]ccn21 ZINC001269484888 841682300 /nfs/dbraw/zinc/68/23/00/841682300.db2.gz HMFOHYSJSWVJOE-ZIAGYGMSSA-N 1 2 322.368 1.173 20 30 DDEDLO C#CCN1CC[C@]2(CCN(C(=O)c3cccc4[nH+]ccn43)C2)C1=O ZINC001269485121 841682563 /nfs/dbraw/zinc/68/25/63/841682563.db2.gz JZJCNJSKLXHPGG-GOSISDBHSA-N 1 2 322.368 1.032 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)CCCCN2C(=O)CCn1cc[nH+]c1 ZINC001269581189 841788214 /nfs/dbraw/zinc/78/82/14/841788214.db2.gz GQLODZWUYQWPGZ-KRWDZBQOSA-N 1 2 316.405 1.443 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@]2(CC[N@@H+](Cc3nncn3C)C2)C1 ZINC001269737303 841988442 /nfs/dbraw/zinc/98/84/42/841988442.db2.gz PUVBSUVPSKNQAO-QGZVFWFLSA-N 1 2 317.437 1.596 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@]2(CC[N@H+](Cc3nncn3C)C2)C1 ZINC001269737303 841988449 /nfs/dbraw/zinc/98/84/49/841988449.db2.gz PUVBSUVPSKNQAO-QGZVFWFLSA-N 1 2 317.437 1.596 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C1C[NH+](Cc2ccc(OC)cc2)C1 ZINC001269780546 842040893 /nfs/dbraw/zinc/04/08/93/842040893.db2.gz ISXJNRPVBOLZMX-SFHVURJKSA-N 1 2 318.417 1.665 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)CCc2nc(C)cs2)C1 ZINC001270665952 842794466 /nfs/dbraw/zinc/79/44/66/842794466.db2.gz PTHXJPQGFLRXFG-ZDUSSCGKSA-N 1 2 309.435 1.387 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)CCc2nc(C)cs2)C1 ZINC001270665952 842794473 /nfs/dbraw/zinc/79/44/73/842794473.db2.gz PTHXJPQGFLRXFG-ZDUSSCGKSA-N 1 2 309.435 1.387 20 30 DDEDLO CC#CC(=O)N1CC2(CC[N@@H+]2Cc2ccc(NC(C)=O)cc2)C1 ZINC001270713043 842840894 /nfs/dbraw/zinc/84/08/94/842840894.db2.gz OHZZCRNTTIAMBJ-UHFFFAOYSA-N 1 2 311.385 1.455 20 30 DDEDLO CC#CC(=O)N1CC2(CC[N@H+]2Cc2ccc(NC(C)=O)cc2)C1 ZINC001270713043 842840900 /nfs/dbraw/zinc/84/09/00/842840900.db2.gz OHZZCRNTTIAMBJ-UHFFFAOYSA-N 1 2 311.385 1.455 20 30 DDEDLO CO[C@H](C[NH+]1CCN(C(=O)C2(C#N)CC2)CC1)c1ccccc1 ZINC001143176625 861398854 /nfs/dbraw/zinc/39/88/54/861398854.db2.gz KKXJTAOGARJRBA-MRXNPFEDSA-N 1 2 313.401 1.822 20 30 DDEDLO CC(C)C[C@H](CNCC#N)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001271278689 843464849 /nfs/dbraw/zinc/46/48/49/843464849.db2.gz OXZJGNRFKNHTBV-ZIAGYGMSSA-N 1 2 303.410 1.090 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@@H](C)c2ccc(CC)cc2)C1 ZINC001271368155 843528448 /nfs/dbraw/zinc/52/84/48/843528448.db2.gz KPXKVMQYAVUFBK-HNNXBMFYSA-N 1 2 314.429 1.539 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@H]1CC[C@H](CC)CC1 ZINC001272158215 844670096 /nfs/dbraw/zinc/67/00/96/844670096.db2.gz FQFJYLRYLCRMIN-WKILWMFISA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@H]1CC[C@H](CC)CC1 ZINC001272158215 844670098 /nfs/dbraw/zinc/67/00/98/844670098.db2.gz FQFJYLRYLCRMIN-WKILWMFISA-N 1 2 321.465 1.342 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](CC)OCC)C1 ZINC001149309945 861531735 /nfs/dbraw/zinc/53/17/35/861531735.db2.gz KLQPROGULPMYBI-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H](CC)OCC)C1 ZINC001149309945 861531747 /nfs/dbraw/zinc/53/17/47/861531747.db2.gz KLQPROGULPMYBI-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO N#CCN1CCc2c(CNC(=O)Cn3cc[nH+]c3)cccc2C1 ZINC001326676308 861534035 /nfs/dbraw/zinc/53/40/35/861534035.db2.gz UMXMMDULUVXMGS-UHFFFAOYSA-N 1 2 309.373 1.081 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1ccnc2c1CC(=O)N2 ZINC001409602582 845397168 /nfs/dbraw/zinc/39/71/68/845397168.db2.gz PZWUNBIWLAQHHC-JTQLQIEISA-N 1 2 322.796 1.379 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1ccnc2c1CC(=O)N2 ZINC001409602582 845397174 /nfs/dbraw/zinc/39/71/74/845397174.db2.gz PZWUNBIWLAQHHC-JTQLQIEISA-N 1 2 322.796 1.379 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@H+](CC)CC(=O)Nc1ccon1 ZINC001152313808 863272501 /nfs/dbraw/zinc/27/25/01/863272501.db2.gz UTMMVMQPNKJCQD-GFCCVEGCSA-N 1 2 308.382 1.406 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@@H+](CC)CC(=O)Nc1ccon1 ZINC001152313808 863272512 /nfs/dbraw/zinc/27/25/12/863272512.db2.gz UTMMVMQPNKJCQD-GFCCVEGCSA-N 1 2 308.382 1.406 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)Cc2cccnc2)C1 ZINC001149541373 861698187 /nfs/dbraw/zinc/69/81/87/861698187.db2.gz NFLFPYIDHKKTQR-HNNXBMFYSA-N 1 2 323.824 1.584 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)Cc2cccnc2)C1 ZINC001149541373 861698192 /nfs/dbraw/zinc/69/81/92/861698192.db2.gz NFLFPYIDHKKTQR-HNNXBMFYSA-N 1 2 323.824 1.584 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)OCC(C)C)C1 ZINC001107783004 847057740 /nfs/dbraw/zinc/05/77/40/847057740.db2.gz CDWKFTQXXDAJOG-DOTOQJQBSA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)OCC(C)C)C1 ZINC001107783004 847057751 /nfs/dbraw/zinc/05/77/51/847057751.db2.gz CDWKFTQXXDAJOG-DOTOQJQBSA-N 1 2 310.438 1.278 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001149701673 861829876 /nfs/dbraw/zinc/82/98/76/861829876.db2.gz UQCMBENQLAARDI-CQSZACIVSA-N 1 2 312.841 1.996 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001149701673 861829887 /nfs/dbraw/zinc/82/98/87/861829887.db2.gz UQCMBENQLAARDI-CQSZACIVSA-N 1 2 312.841 1.996 20 30 DDEDLO C#CCN1CC2(C[NH+](CCCc3cccc(F)c3)C2)OCC1=O ZINC001273045144 847833478 /nfs/dbraw/zinc/83/34/78/847833478.db2.gz OXNAVNITPJMWCF-UHFFFAOYSA-N 1 2 316.376 1.305 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1([NH2+]Cc2ncc(CC)o2)CCC1 ZINC001273068973 847861974 /nfs/dbraw/zinc/86/19/74/847861974.db2.gz PFMCABJUCBMOFK-INIZCTEOSA-N 1 2 321.421 1.693 20 30 DDEDLO C=CCOCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H](C)CC)C2)CC1 ZINC001327082742 861861697 /nfs/dbraw/zinc/86/16/97/861861697.db2.gz NWQZDJSBVWJXCW-IAGOWNOFSA-N 1 2 323.481 1.454 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3c(C)cc(O)cc3C)C2)OCC1=O ZINC001273213958 848797126 /nfs/dbraw/zinc/79/71/26/848797126.db2.gz LKIHHAASJPFOLA-UHFFFAOYSA-N 1 2 314.385 1.055 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H](C[NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001273235801 848962040 /nfs/dbraw/zinc/96/20/40/848962040.db2.gz CRQQEFDVUAHNOL-AAEUAGOBSA-N 1 2 308.382 1.222 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1cc(F)ccc1O)C2 ZINC001273284996 849334281 /nfs/dbraw/zinc/33/42/81/849334281.db2.gz MBZSHWZSTXVQBJ-UHFFFAOYSA-N 1 2 320.364 1.521 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cc(C)ccc1OC)C2 ZINC001273331869 849558502 /nfs/dbraw/zinc/55/85/02/849558502.db2.gz KBMWQLAANORAIQ-UHFFFAOYSA-N 1 2 314.385 1.050 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2ccc(C(=O)OC)o2)C1=O ZINC001273382099 849767371 /nfs/dbraw/zinc/76/73/71/849767371.db2.gz ICODIGOFMGMYTK-QGZVFWFLSA-N 1 2 316.357 1.266 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2ccc(C(=O)OC)o2)C1=O ZINC001273382099 849767374 /nfs/dbraw/zinc/76/73/74/849767374.db2.gz ICODIGOFMGMYTK-QGZVFWFLSA-N 1 2 316.357 1.266 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[NH2+][C@@H](C)c1noc(C)n1 ZINC001155446679 862100070 /nfs/dbraw/zinc/10/00/70/862100070.db2.gz NOBCDRDNGMVUNL-NWDGAFQWSA-N 1 2 310.398 1.516 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+](C)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001440905438 850579724 /nfs/dbraw/zinc/57/97/24/850579724.db2.gz BCUMQBSOGZWLIN-VHSXEESVSA-N 1 2 311.817 1.478 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+](C)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001440905438 850579734 /nfs/dbraw/zinc/57/97/34/850579734.db2.gz BCUMQBSOGZWLIN-VHSXEESVSA-N 1 2 311.817 1.478 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2COC3(C[NH+](CCOC(C)C)C3)C2)C1 ZINC001327408616 862148437 /nfs/dbraw/zinc/14/84/37/862148437.db2.gz UTTBDZOVBRPAQU-HNNXBMFYSA-N 1 2 322.449 1.727 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)CC(F)(F)C(F)F)CO2 ZINC001327411331 862153562 /nfs/dbraw/zinc/15/35/62/862153562.db2.gz GAUWOEPHDOYUGP-JTQLQIEISA-N 1 2 322.302 1.260 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CC(C)(F)F)C1 ZINC001150258952 862158710 /nfs/dbraw/zinc/15/87/10/862158710.db2.gz JERYEHPMQINPTJ-NSHDSACASA-N 1 2 310.772 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CC(C)(F)F)C1 ZINC001150258952 862158718 /nfs/dbraw/zinc/15/87/18/862158718.db2.gz JERYEHPMQINPTJ-NSHDSACASA-N 1 2 310.772 1.991 20 30 DDEDLO C=CCCCC[NH+]1CC2(C1)CN(Cc1nc(C)no1)C(=O)CO2 ZINC001273501013 851034679 /nfs/dbraw/zinc/03/46/79/851034679.db2.gz QAXNAXDKMHJKBO-UHFFFAOYSA-N 1 2 320.393 1.148 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](CCc1ccc(F)c(F)c1)C2 ZINC001273535028 851068345 /nfs/dbraw/zinc/06/83/45/851068345.db2.gz QRMZTHRSEOIYKR-UHFFFAOYSA-N 1 2 322.355 1.607 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)CC1(C)CCCC1)CO2 ZINC001273696349 851235791 /nfs/dbraw/zinc/23/57/91/851235791.db2.gz NKNITFZLNNSDGW-OAHLLOKOSA-N 1 2 304.434 1.940 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)CC[C@H]1CCOC1)CO2 ZINC001273696837 851236563 /nfs/dbraw/zinc/23/65/63/851236563.db2.gz QBZPIFRLIQIADW-LSDHHAIUSA-N 1 2 308.422 1.339 20 30 DDEDLO COCC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C1CC1 ZINC001327505485 862221935 /nfs/dbraw/zinc/22/19/35/862221935.db2.gz CVNZEWNGGMBPHV-GOSISDBHSA-N 1 2 312.413 1.589 20 30 DDEDLO COCC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C1CC1 ZINC001327505485 862221948 /nfs/dbraw/zinc/22/19/48/862221948.db2.gz CVNZEWNGGMBPHV-GOSISDBHSA-N 1 2 312.413 1.589 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2[C@@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707071 851249972 /nfs/dbraw/zinc/24/99/72/851249972.db2.gz NNBGMGIFYGHBST-GUYCJALGSA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2[C@@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707071 851249980 /nfs/dbraw/zinc/24/99/80/851249980.db2.gz NNBGMGIFYGHBST-GUYCJALGSA-N 1 2 308.422 1.970 20 30 DDEDLO CN1CCC2(CC[NH+](CC(=O)c3ccc(C#N)cc3)CC2)C1=O ZINC001273727934 851279458 /nfs/dbraw/zinc/27/94/58/851279458.db2.gz ZKUXSZUIYBVANC-UHFFFAOYSA-N 1 2 311.385 1.685 20 30 DDEDLO C=CCN1CC2(C[NH+](C[C@@H](C)CC(F)(F)F)C2)OCC1=O ZINC001273748036 851297036 /nfs/dbraw/zinc/29/70/36/851297036.db2.gz VVVLPCRMTGVICY-NSHDSACASA-N 1 2 306.328 1.674 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@](CO)([NH2+]Cc2ccon2)C1 ZINC001273910347 851494792 /nfs/dbraw/zinc/49/47/92/851494792.db2.gz GXYHDDQLCRCRGL-MRXNPFEDSA-N 1 2 307.394 1.474 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@]1(C)CCN(c2ccncc2C#N)C1 ZINC001110773663 851611020 /nfs/dbraw/zinc/61/10/20/851611020.db2.gz NXTHKVQGDQUTJC-KRWDZBQOSA-N 1 2 324.388 1.313 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cc(F)c(F)cc2N)C1=O ZINC001274167280 851996348 /nfs/dbraw/zinc/99/63/48/851996348.db2.gz BFLLQGDNPMLFJB-KRWDZBQOSA-N 1 2 319.355 1.747 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cc(F)c(F)cc2N)C1=O ZINC001274167280 851996352 /nfs/dbraw/zinc/99/63/52/851996352.db2.gz BFLLQGDNPMLFJB-KRWDZBQOSA-N 1 2 319.355 1.747 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnn(CC)n2)[C@H](C)C1 ZINC001274625510 852456844 /nfs/dbraw/zinc/45/68/44/852456844.db2.gz VKWSRATUDOQWDP-HUUCEWRRSA-N 1 2 317.437 1.571 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnn(CC)n2)[C@H](C)C1 ZINC001274625510 852456841 /nfs/dbraw/zinc/45/68/41/852456841.db2.gz VKWSRATUDOQWDP-HUUCEWRRSA-N 1 2 317.437 1.571 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](C[C@H](O)CCC)C[C@@]2(F)C1=O ZINC001274637943 852470342 /nfs/dbraw/zinc/47/03/42/852470342.db2.gz VSNIUYAMPQAFHQ-VHDGCEQUSA-N 1 2 302.365 1.298 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](C[C@H](O)CCC)C[C@@]2(F)C1=O ZINC001274637943 852470346 /nfs/dbraw/zinc/47/03/46/852470346.db2.gz VSNIUYAMPQAFHQ-VHDGCEQUSA-N 1 2 302.365 1.298 20 30 DDEDLO N#Cc1cc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)ccn1 ZINC001274660689 852492229 /nfs/dbraw/zinc/49/22/29/852492229.db2.gz UWPBJZRXMBHAGK-UHFFFAOYSA-N 1 2 307.357 1.239 20 30 DDEDLO C=CCCCCCC[C@@H](O)C[NH+]1CC2(C1)CN(C)C(=O)CO2 ZINC001274660429 852492333 /nfs/dbraw/zinc/49/23/33/852492333.db2.gz LITPUPSICDGJOH-OAHLLOKOSA-N 1 2 310.438 1.417 20 30 DDEDLO CC(=O)[C@H](C)N1CC[C@]2(CC[N@H+](Cc3[nH]ccc3C#N)C2)C1=O ZINC001274855861 852643906 /nfs/dbraw/zinc/64/39/06/852643906.db2.gz SZFRUQMITMCPKW-SJCJKPOMSA-N 1 2 314.389 1.288 20 30 DDEDLO CC(=O)[C@H](C)N1CC[C@]2(CC[N@@H+](Cc3[nH]ccc3C#N)C2)C1=O ZINC001274855861 852643913 /nfs/dbraw/zinc/64/39/13/852643913.db2.gz SZFRUQMITMCPKW-SJCJKPOMSA-N 1 2 314.389 1.288 20 30 DDEDLO CC(C)NC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc(C#N)cn1 ZINC001275034990 852763333 /nfs/dbraw/zinc/76/33/33/852763333.db2.gz UQDQSIVORAWUII-IYBDPMFKSA-N 1 2 313.405 1.720 20 30 DDEDLO CC(C)NC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(C#N)cn1 ZINC001275034990 852763339 /nfs/dbraw/zinc/76/33/39/852763339.db2.gz UQDQSIVORAWUII-IYBDPMFKSA-N 1 2 313.405 1.720 20 30 DDEDLO N#Cc1ccc(F)c(CN2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)c1 ZINC001275360105 853014749 /nfs/dbraw/zinc/01/47/49/853014749.db2.gz XWSRVANMOXKIRP-UHFFFAOYSA-N 1 2 311.364 1.738 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)C[N@H+](C)Cc1c(F)cccc1F ZINC001275561242 853346801 /nfs/dbraw/zinc/34/68/01/853346801.db2.gz YUTDJGFOPAXTOD-STQMWFEESA-N 1 2 324.371 1.940 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1c(F)cccc1F ZINC001275561242 853346808 /nfs/dbraw/zinc/34/68/08/853346808.db2.gz YUTDJGFOPAXTOD-STQMWFEESA-N 1 2 324.371 1.940 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H](C)C[N@H+](C)[C@H](C)c2ncccn2)c1 ZINC001275648509 853491051 /nfs/dbraw/zinc/49/10/51/853491051.db2.gz LCLSZHUIEOFQDB-UONOGXRCSA-N 1 2 323.400 1.664 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H](C)C[N@@H+](C)[C@H](C)c2ncccn2)c1 ZINC001275648509 853491058 /nfs/dbraw/zinc/49/10/58/853491058.db2.gz LCLSZHUIEOFQDB-UONOGXRCSA-N 1 2 323.400 1.664 20 30 DDEDLO CC[N@@H+]1CC[C@H]1C(=O)N(CCC#N)c1ccc2c(c1)OCCO2 ZINC001412508280 854640068 /nfs/dbraw/zinc/64/00/68/854640068.db2.gz WBTNSTOCQPDQBL-AWEZNQCLSA-N 1 2 315.373 1.799 20 30 DDEDLO CC[N@H+]1CC[C@H]1C(=O)N(CCC#N)c1ccc2c(c1)OCCO2 ZINC001412508280 854640070 /nfs/dbraw/zinc/64/00/70/854640070.db2.gz WBTNSTOCQPDQBL-AWEZNQCLSA-N 1 2 315.373 1.799 20 30 DDEDLO CN(CCCNC(=O)CCc1c[nH]c[nH+]1)c1ccc(C#N)nc1 ZINC001095768059 855332181 /nfs/dbraw/zinc/33/21/81/855332181.db2.gz OQXDIYIUSRDXNO-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CN(CCCNC(=O)CCc1c[nH+]c[nH]1)c1ccc(C#N)nc1 ZINC001095768059 855332185 /nfs/dbraw/zinc/33/21/85/855332185.db2.gz OQXDIYIUSRDXNO-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001072944360 857919842 /nfs/dbraw/zinc/91/98/42/857919842.db2.gz AGMMQSYDDFKCAJ-MRXNPFEDSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001072944360 857919849 /nfs/dbraw/zinc/91/98/49/857919849.db2.gz AGMMQSYDDFKCAJ-MRXNPFEDSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC[C@H](C(=O)NCC[NH2+]Cc1cnon1)c1ccccc1 ZINC001151838826 862999872 /nfs/dbraw/zinc/99/98/72/862999872.db2.gz YILMCXLMSJZZIJ-HNNXBMFYSA-N 1 2 300.362 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H]2CCCOC2)C1 ZINC001073548053 858430199 /nfs/dbraw/zinc/43/01/99/858430199.db2.gz AZBSQYFPBXUDSQ-KGLIPLIRSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H]2CCCOC2)C1 ZINC001073548053 858430203 /nfs/dbraw/zinc/43/02/03/858430203.db2.gz AZBSQYFPBXUDSQ-KGLIPLIRSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C2=COCCC2)C1 ZINC001073552393 858434433 /nfs/dbraw/zinc/43/44/33/858434433.db2.gz PZGADELYOIIUCU-CQSZACIVSA-N 1 2 314.813 1.640 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C2=COCCC2)C1 ZINC001073552393 858434438 /nfs/dbraw/zinc/43/44/38/858434438.db2.gz PZGADELYOIIUCU-CQSZACIVSA-N 1 2 314.813 1.640 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1F ZINC001413794452 858540526 /nfs/dbraw/zinc/54/05/26/858540526.db2.gz AHSNIIUMCUFWHR-CYBMUJFWSA-N 1 2 313.336 1.708 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC001328547281 863053995 /nfs/dbraw/zinc/05/39/95/863053995.db2.gz PCHSDTHFXDBCRF-AWEZNQCLSA-N 1 2 304.394 1.299 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001122482316 858845140 /nfs/dbraw/zinc/84/51/40/858845140.db2.gz LJSINYANSXVWNO-YOEHRIQHSA-N 1 2 319.453 1.778 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC(=C)C ZINC001122482316 858845152 /nfs/dbraw/zinc/84/51/52/858845152.db2.gz LJSINYANSXVWNO-YOEHRIQHSA-N 1 2 319.453 1.778 20 30 DDEDLO O=C(NCc1ccc(C(=O)NO)cc1)c1cc2c[nH+]ccc2[nH]1 ZINC001139232433 860329761 /nfs/dbraw/zinc/32/97/61/860329761.db2.gz MITAXUPLRXXRQI-UHFFFAOYSA-N 1 2 310.313 1.612 20 30 DDEDLO C=CCn1cc(C[NH+]2CCN(c3cccc(OC)n3)CC2)cn1 ZINC001139771391 860478216 /nfs/dbraw/zinc/47/82/16/860478216.db2.gz YQHBMGFRXNDYFD-UHFFFAOYSA-N 1 2 313.405 1.795 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc3nc(N)sc32)CC1 ZINC001152173642 863202658 /nfs/dbraw/zinc/20/26/58/863202658.db2.gz HBOYVXSNGCHSCV-UHFFFAOYSA-N 1 2 302.403 1.822 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)[C@@H]1CCOC1 ZINC001152259962 863242507 /nfs/dbraw/zinc/24/25/07/863242507.db2.gz UTDQIAKCRXAKMK-VXGBXAGGSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)[C@@H]1CCOC1 ZINC001152259962 863242525 /nfs/dbraw/zinc/24/25/25/863242525.db2.gz UTDQIAKCRXAKMK-VXGBXAGGSA-N 1 2 319.243 1.758 20 30 DDEDLO CC[N@H+](Cc1cscn1)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152883486 863584141 /nfs/dbraw/zinc/58/41/41/863584141.db2.gz ZHJPMQRXQIYUGO-LLVKDONJSA-N 1 2 317.418 1.983 20 30 DDEDLO CC[N@@H+](Cc1cscn1)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152883486 863584153 /nfs/dbraw/zinc/58/41/53/863584153.db2.gz ZHJPMQRXQIYUGO-LLVKDONJSA-N 1 2 317.418 1.983 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(c2cnn(C)c2)C1)c1cccc(C#N)c1O ZINC001329362466 863619687 /nfs/dbraw/zinc/61/96/87/863619687.db2.gz CXSMZFYMCGSTBM-TZMCWYRMSA-N 1 2 311.389 1.927 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC[NH2+]Cc1noc(C(C)C)n1 ZINC001157189784 863643854 /nfs/dbraw/zinc/64/38/54/863643854.db2.gz RAKOWYSLKIXRQT-HNNXBMFYSA-N 1 2 310.398 1.116 20 30 DDEDLO C=CCC[C@H](C)[NH+]1CC(CCO)(NC(=O)C(=O)C(C)(C)C)C1 ZINC001329641745 863767473 /nfs/dbraw/zinc/76/74/73/863767473.db2.gz KYWNWFMBYHJIBP-ZDUSSCGKSA-N 1 2 310.438 1.509 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)C1 ZINC001329874877 863913189 /nfs/dbraw/zinc/91/31/89/863913189.db2.gz ZTDYKMROEGGMJZ-ZIAGYGMSSA-N 1 2 316.405 1.161 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ncccn1 ZINC001157701875 864062682 /nfs/dbraw/zinc/06/26/82/864062682.db2.gz KQFNIHSQYMNSHT-OAHLLOKOSA-N 1 2 318.421 1.492 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ncccn1 ZINC001157701875 864062693 /nfs/dbraw/zinc/06/26/93/864062693.db2.gz KQFNIHSQYMNSHT-OAHLLOKOSA-N 1 2 318.421 1.492 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H](C)OC)C2)C1 ZINC001330159808 864111765 /nfs/dbraw/zinc/11/17/65/864111765.db2.gz HEVHSROMGFEAEH-NVXWUHKLSA-N 1 2 308.422 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H](C)OC)C2)C1 ZINC001330159808 864111769 /nfs/dbraw/zinc/11/17/69/864111769.db2.gz HEVHSROMGFEAEH-NVXWUHKLSA-N 1 2 308.422 1.128 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](C)CCN1c1nc(Cl)c(F)cc1C#N ZINC001157770972 864121469 /nfs/dbraw/zinc/12/14/69/864121469.db2.gz XHRYRHFCNFJSKW-JTQLQIEISA-N 1 2 312.732 1.039 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](C)CCN1c1nc(Cl)c(F)cc1C#N ZINC001157770972 864121475 /nfs/dbraw/zinc/12/14/75/864121475.db2.gz XHRYRHFCNFJSKW-JTQLQIEISA-N 1 2 312.732 1.039 20 30 DDEDLO COc1cc(N2CCN(c3nccc(F)c3C#N)CC2)cc[nH+]1 ZINC001158099595 864426726 /nfs/dbraw/zinc/42/67/26/864426726.db2.gz MTTILXASKXQARA-UHFFFAOYSA-N 1 2 313.336 1.823 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)NCCCNc2cccc[nH+]2)C1 ZINC001331146705 864899921 /nfs/dbraw/zinc/89/99/21/864899921.db2.gz OGPQFGWJBZGYLE-CQSZACIVSA-N 1 2 316.405 1.424 20 30 DDEDLO C=CCCC(=O)NC[C@H](CO)[NH2+]Cc1csc(Cl)n1 ZINC001331684315 865239917 /nfs/dbraw/zinc/23/99/17/865239917.db2.gz OWWSEDXXLDRTFF-SECBINFHSA-N 1 2 303.815 1.329 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@H+]([C@H](C)c2nncn2C)CC1 ZINC001159544695 865393934 /nfs/dbraw/zinc/39/39/34/865393934.db2.gz ZFCFKMHLTAEQOT-CQSZACIVSA-N 1 2 317.437 1.981 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@@H+]([C@H](C)c2nncn2C)CC1 ZINC001159544695 865393950 /nfs/dbraw/zinc/39/39/50/865393950.db2.gz ZFCFKMHLTAEQOT-CQSZACIVSA-N 1 2 317.437 1.981 20 30 DDEDLO Cc1cnc(C[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)nc1 ZINC001159587246 865414030 /nfs/dbraw/zinc/41/40/30/865414030.db2.gz LMSYDEUXLKOWRN-CQSZACIVSA-N 1 2 313.405 1.583 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)nc1 ZINC001159587246 865414033 /nfs/dbraw/zinc/41/40/33/865414033.db2.gz LMSYDEUXLKOWRN-CQSZACIVSA-N 1 2 313.405 1.583 20 30 DDEDLO Cc1noc(C[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)n1 ZINC001159587936 865414733 /nfs/dbraw/zinc/41/47/33/865414733.db2.gz ZSZBYBHHACOENZ-LLVKDONJSA-N 1 2 303.366 1.176 20 30 DDEDLO Cc1noc(C[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)n1 ZINC001159587936 865414743 /nfs/dbraw/zinc/41/47/43/865414743.db2.gz ZSZBYBHHACOENZ-LLVKDONJSA-N 1 2 303.366 1.176 20 30 DDEDLO N#CCN1CC=C(CCNC(=O)[C@H]2CCc3[nH+]ccn3C2)CC1 ZINC001160529129 865914338 /nfs/dbraw/zinc/91/43/38/865914338.db2.gz BTCCCPAXGAWUEV-HNNXBMFYSA-N 1 2 313.405 1.107 20 30 DDEDLO C#CCOCCOCCNc1nc(NC(C)C)c(OC)c[nH+]1 ZINC001160668804 866007689 /nfs/dbraw/zinc/00/76/89/866007689.db2.gz CTPUSOQTPIULTN-UHFFFAOYSA-N 1 2 308.382 1.384 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1ncc(C#N)cc1Cl ZINC001160691055 866029446 /nfs/dbraw/zinc/02/94/46/866029446.db2.gz MSBTYDITNMPIDU-GFCCVEGCSA-N 1 2 319.752 1.536 20 30 DDEDLO N#Cc1cc(Cl)nnc1N[C@@H]1CCC[C@@H]1[NH+]1CCOCC1 ZINC001160726331 866078059 /nfs/dbraw/zinc/07/80/59/866078059.db2.gz YUHRBJGSXOKPRI-NEPJUHHUSA-N 1 2 307.785 1.667 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCC[C@H](C)C1 ZINC001323199783 866425252 /nfs/dbraw/zinc/42/52/52/866425252.db2.gz RRUXFCBTNCIJJX-GJZGRUSLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCC[C@H](C)C1 ZINC001323199783 866425262 /nfs/dbraw/zinc/42/52/62/866425262.db2.gz RRUXFCBTNCIJJX-GJZGRUSLSA-N 1 2 307.438 1.402 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCCC1=CCN(CC#N)CC1 ZINC001161253616 866471028 /nfs/dbraw/zinc/47/10/28/866471028.db2.gz VFFCXOQERRILNM-HUUCEWRRSA-N 1 2 313.405 1.186 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2CC(=O)N(CC)CC)CC1 ZINC001323302919 866512675 /nfs/dbraw/zinc/51/26/75/866512675.db2.gz QHKQIGHPGGZTOR-MRXNPFEDSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2CC(=O)N(CC)CC)CC1 ZINC001323302919 866512687 /nfs/dbraw/zinc/51/26/87/866512687.db2.gz QHKQIGHPGGZTOR-MRXNPFEDSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]1CNC(=O)CN(C)CCc1ccccc1 ZINC001323312432 866522513 /nfs/dbraw/zinc/52/25/13/866522513.db2.gz ZDUYCSBQNFAFMK-SFHVURJKSA-N 1 2 315.461 1.928 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]1CNC(=O)CN(C)CCc1ccccc1 ZINC001323312432 866522523 /nfs/dbraw/zinc/52/25/23/866522523.db2.gz ZDUYCSBQNFAFMK-SFHVURJKSA-N 1 2 315.461 1.928 20 30 DDEDLO C=C(C)CN1CC[C@H]1CNC(=O)C[N@H+](C)CCc1ccccc1 ZINC001323312432 866522524 /nfs/dbraw/zinc/52/25/24/866522524.db2.gz ZDUYCSBQNFAFMK-SFHVURJKSA-N 1 2 315.461 1.928 20 30 DDEDLO C=C(C)CN1CC[C@H]1CNC(=O)C[N@@H+](C)CCc1ccccc1 ZINC001323312432 866522534 /nfs/dbraw/zinc/52/25/34/866522534.db2.gz ZDUYCSBQNFAFMK-SFHVURJKSA-N 1 2 315.461 1.928 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)COc1ccc(C)c(C)c1 ZINC001323353974 866556050 /nfs/dbraw/zinc/55/60/50/866556050.db2.gz UOHGXSTURQTJJZ-INIZCTEOSA-N 1 2 300.402 1.896 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)COc1ccc(C)c(C)c1 ZINC001323353974 866556066 /nfs/dbraw/zinc/55/60/66/866556066.db2.gz UOHGXSTURQTJJZ-INIZCTEOSA-N 1 2 300.402 1.896 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)N[C@@](CC)(C(C)C)C2=O)C1 ZINC001320307688 866631973 /nfs/dbraw/zinc/63/19/73/866631973.db2.gz CKYIYISMHYBOGP-BBRMVZONSA-N 1 2 309.410 1.577 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)N[C@@](CC)(C(C)C)C2=O)C1 ZINC001320307688 866631988 /nfs/dbraw/zinc/63/19/88/866631988.db2.gz CKYIYISMHYBOGP-BBRMVZONSA-N 1 2 309.410 1.577 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001324587009 867378488 /nfs/dbraw/zinc/37/84/88/867378488.db2.gz COOATUSFMHDRLD-NHYWBVRUSA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001324587009 867378506 /nfs/dbraw/zinc/37/85/06/867378506.db2.gz COOATUSFMHDRLD-NHYWBVRUSA-N 1 2 308.382 1.222 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)N(C)C(=O)CCn2cc[nH+]c2)C1 ZINC001334524841 867642219 /nfs/dbraw/zinc/64/22/19/867642219.db2.gz QOIVIIAJMJAOFD-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO CC#CCCCC(=O)NC[C@]1(O)CC[N@H+](Cc2nocc2C)C1 ZINC001325123918 867793430 /nfs/dbraw/zinc/79/34/30/867793430.db2.gz SIVYBFFCCNGTSY-QGZVFWFLSA-N 1 2 319.405 1.230 20 30 DDEDLO CC#CCCCC(=O)NC[C@]1(O)CC[N@@H+](Cc2nocc2C)C1 ZINC001325123918 867793442 /nfs/dbraw/zinc/79/34/42/867793442.db2.gz SIVYBFFCCNGTSY-QGZVFWFLSA-N 1 2 319.405 1.230 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1cc(=O)n2cc(C)sc2n1)[C@@H]1CCCO1 ZINC001334793112 867831562 /nfs/dbraw/zinc/83/15/62/867831562.db2.gz FBBPVUJISDZVEG-OLZOCXBDSA-N 1 2 303.387 1.335 20 30 DDEDLO COc1cccc([C@H](C#N)NC(=O)[C@@H](C)n2cc[nH+]c2)c1OC ZINC001322508851 868212772 /nfs/dbraw/zinc/21/27/72/868212772.db2.gz HYYRUFGVOYRPRG-YPMHNXCESA-N 1 2 314.345 1.842 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001335687407 868478958 /nfs/dbraw/zinc/47/89/58/868478958.db2.gz CHQOUHUACGWVDV-JOCQHMNTSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCOC(=O)N1CCC2(CC1)CC[NH+](Cc1cnn[nH]1)CC2 ZINC001203196034 868493805 /nfs/dbraw/zinc/49/38/05/868493805.db2.gz VZKLSJBTMZMEHU-UHFFFAOYSA-N 1 2 319.409 1.805 20 30 DDEDLO C[C@@H](CCCNC(=O)Cc1[nH]cc[nH+]1)NC(=O)C#CC(C)(C)C ZINC001336061763 868707937 /nfs/dbraw/zinc/70/79/37/868707937.db2.gz RVARYPLKHNXMFJ-ZDUSSCGKSA-N 1 2 318.421 1.403 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H](C)CN(C)C(=O)CCn1cc[nH+]c1 ZINC001337326973 869438682 /nfs/dbraw/zinc/43/86/82/869438682.db2.gz AEIVYNKXOMWWDI-CQSZACIVSA-N 1 2 320.437 1.839 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)NCCC1(CNCC#N)CC1)C2 ZINC001165837378 869730854 /nfs/dbraw/zinc/73/08/54/869730854.db2.gz UAIYPIWWBGGMRE-CYBMUJFWSA-N 1 2 315.421 1.223 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)NCCC1(CNCC#N)CC1)CC2 ZINC001165837378 869730856 /nfs/dbraw/zinc/73/08/56/869730856.db2.gz UAIYPIWWBGGMRE-CYBMUJFWSA-N 1 2 315.421 1.223 20 30 DDEDLO N#Cc1ccc(N2CCCn3nnc(Cn4cc[nH+]c4)c3C2)nc1 ZINC001165882077 869748724 /nfs/dbraw/zinc/74/87/24/869748724.db2.gz NRGUBDZJAICJNV-UHFFFAOYSA-N 1 2 320.360 1.200 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@@H]3C[C@@H](O)C[N@@H+]3C)n2C)C1 ZINC001338425235 869974881 /nfs/dbraw/zinc/97/48/81/869974881.db2.gz ZJCZLSKKOWIAHB-MCIONIFRSA-N 1 2 305.426 1.345 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@@H]3C[C@@H](O)C[N@H+]3C)n2C)C1 ZINC001338425235 869974891 /nfs/dbraw/zinc/97/48/91/869974891.db2.gz ZJCZLSKKOWIAHB-MCIONIFRSA-N 1 2 305.426 1.345 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](NC(=O)CCS(=O)(=O)CC(C)C)C1 ZINC001316982210 870060829 /nfs/dbraw/zinc/06/08/29/870060829.db2.gz LMOMJXLSHFZNGA-AWEZNQCLSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](NC(=O)CCS(=O)(=O)CC(C)C)C1 ZINC001316982210 870060825 /nfs/dbraw/zinc/06/08/25/870060825.db2.gz LMOMJXLSHFZNGA-AWEZNQCLSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CCC)CCC ZINC001338773378 870160599 /nfs/dbraw/zinc/16/05/99/870160599.db2.gz OLVGXMINETVJNU-UONOGXRCSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(CCC)CCC ZINC001338773378 870160610 /nfs/dbraw/zinc/16/06/10/870160610.db2.gz OLVGXMINETVJNU-UONOGXRCSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCCC[C@@H](C)C1 ZINC001338773144 870161258 /nfs/dbraw/zinc/16/12/58/870161258.db2.gz NZPIDWGUCMHCKQ-KFWWJZLASA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCCC[C@@H](C)C1 ZINC001338773144 870161262 /nfs/dbraw/zinc/16/12/62/870161262.db2.gz NZPIDWGUCMHCKQ-KFWWJZLASA-N 1 2 319.453 1.828 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1C[C@H]([NH2+]Cc2noc(CCC)n2)C1 ZINC001317077560 870209589 /nfs/dbraw/zinc/20/95/89/870209589.db2.gz OGLYGVVKZDOJIS-XHBSWPGZSA-N 1 2 322.409 1.086 20 30 DDEDLO N#Cc1ccc2cc[nH+]c(N3CCc4[nH]c(=O)[nH]c(=O)c4C3)c2c1 ZINC001166925255 870383040 /nfs/dbraw/zinc/38/30/40/870383040.db2.gz IXVHLCRALGZMQY-UHFFFAOYSA-N 1 2 319.324 1.870 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H](C)C#N ZINC001317170198 870404789 /nfs/dbraw/zinc/40/47/89/870404789.db2.gz WACGTHWZMJCEHD-KBPBESRZSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H](C)C#N ZINC001317170198 870404793 /nfs/dbraw/zinc/40/47/93/870404793.db2.gz WACGTHWZMJCEHD-KBPBESRZSA-N 1 2 308.426 1.033 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCC[C@H](OC)C1 ZINC001339210807 870405677 /nfs/dbraw/zinc/40/56/77/870405677.db2.gz MIDKLRFVRADMJS-ZDUSSCGKSA-N 1 2 316.409 1.404 20 30 DDEDLO C[C@@H](CNC(=O)CSCC#N)[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC001317227529 870500648 /nfs/dbraw/zinc/50/06/48/870500648.db2.gz RBNMFHYGQYKDCF-NSHDSACASA-N 1 2 324.450 1.823 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)COC)n2CC=C)CC1 ZINC001340331198 871039747 /nfs/dbraw/zinc/03/97/47/871039747.db2.gz XIGOKFRUXRMPFW-UHFFFAOYSA-N 1 2 317.437 1.143 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2CC)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001340572320 871203580 /nfs/dbraw/zinc/20/35/80/871203580.db2.gz QZPQQSKNWUACLI-GRDNDAEWSA-N 1 2 319.453 1.755 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2CC)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001340572320 871203600 /nfs/dbraw/zinc/20/36/00/871203600.db2.gz QZPQQSKNWUACLI-GRDNDAEWSA-N 1 2 319.453 1.755 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)C#CC2CC2)CC[N@@H+]1Cc1cc(C)on1 ZINC001316732861 871207660 /nfs/dbraw/zinc/20/76/60/871207660.db2.gz AXBZDYZRZCIGTE-JKSUJKDBSA-N 1 2 301.390 1.866 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)C#CC2CC2)CC[N@H+]1Cc1cc(C)on1 ZINC001316732861 871207682 /nfs/dbraw/zinc/20/76/82/871207682.db2.gz AXBZDYZRZCIGTE-JKSUJKDBSA-N 1 2 301.390 1.866 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)C#CC2CC2)C1 ZINC001205138930 871389708 /nfs/dbraw/zinc/38/97/08/871389708.db2.gz UFRIZMGRUQGUBE-RTBURBONSA-N 1 2 322.408 1.107 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)C#CC2CC2)C1 ZINC001205138930 871389727 /nfs/dbraw/zinc/38/97/27/871389727.db2.gz UFRIZMGRUQGUBE-RTBURBONSA-N 1 2 322.408 1.107 20 30 DDEDLO COc1ccnc(C[N@@H+]2CCC[C@H](NC(=O)C#CC3CC3)C2)c1 ZINC001317969403 871659486 /nfs/dbraw/zinc/65/94/86/871659486.db2.gz XAVDBWMKNKOGFO-HNNXBMFYSA-N 1 2 313.401 1.584 20 30 DDEDLO COc1ccnc(C[N@H+]2CCC[C@H](NC(=O)C#CC3CC3)C2)c1 ZINC001317969403 871659491 /nfs/dbraw/zinc/65/94/91/871659491.db2.gz XAVDBWMKNKOGFO-HNNXBMFYSA-N 1 2 313.401 1.584 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccc1F ZINC001318196265 871818487 /nfs/dbraw/zinc/81/84/87/871818487.db2.gz PXGLHRBXAJZJAH-RLFYNMQTSA-N 1 2 318.392 1.938 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1F ZINC001318196265 871818495 /nfs/dbraw/zinc/81/84/95/871818495.db2.gz PXGLHRBXAJZJAH-RLFYNMQTSA-N 1 2 318.392 1.938 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)CCCCOC ZINC001342061660 871977790 /nfs/dbraw/zinc/97/77/90/871977790.db2.gz JZXKRPPREGLCJR-KGLIPLIRSA-N 1 2 323.441 1.064 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)CCCCOC ZINC001342061660 871977812 /nfs/dbraw/zinc/97/78/12/871977812.db2.gz JZXKRPPREGLCJR-KGLIPLIRSA-N 1 2 323.441 1.064 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](N(C)C(=O)CSCC#N)C2)s1 ZINC001318391074 871987084 /nfs/dbraw/zinc/98/70/84/871987084.db2.gz XBTALRTWGPMMRZ-GFCCVEGCSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](N(C)C(=O)CSCC#N)C2)s1 ZINC001318391074 871987091 /nfs/dbraw/zinc/98/70/91/871987091.db2.gz XBTALRTWGPMMRZ-GFCCVEGCSA-N 1 2 324.475 1.741 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC2CC2)[C@@H]1C ZINC001316792183 872054733 /nfs/dbraw/zinc/05/47/33/872054733.db2.gz MJVKHPSCERJSQA-HIFRSBDPSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC2CC2)[C@@H]1C ZINC001316792183 872054753 /nfs/dbraw/zinc/05/47/53/872054753.db2.gz MJVKHPSCERJSQA-HIFRSBDPSA-N 1 2 321.465 1.694 20 30 DDEDLO CC[C@H](CNC(=O)CSCC#N)[NH2+][C@@H](C)c1noc(C)n1 ZINC001318502631 872118277 /nfs/dbraw/zinc/11/82/77/872118277.db2.gz MAPGTHOWQIMZCO-GXSJLCMTSA-N 1 2 311.411 1.180 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCC[N@@H+](Cc1nncn1C)C1CC1 ZINC001316923545 872382828 /nfs/dbraw/zinc/38/28/28/872382828.db2.gz VXJGYCIZNSBKFH-UHFFFAOYSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCC[N@H+](Cc1nncn1C)C1CC1 ZINC001316923545 872382846 /nfs/dbraw/zinc/38/28/46/872382846.db2.gz VXJGYCIZNSBKFH-UHFFFAOYSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@]2(C)C=CCC2)C1 ZINC001316948874 872446120 /nfs/dbraw/zinc/44/61/20/872446120.db2.gz IZLYLDYWJZIOPP-PBHICJAKSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@]2(C)C=CCC2)C1 ZINC001316948874 872446123 /nfs/dbraw/zinc/44/61/23/872446123.db2.gz IZLYLDYWJZIOPP-PBHICJAKSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(CCCCC)n[nH]2)C1 ZINC001319321521 872572491 /nfs/dbraw/zinc/57/24/91/872572491.db2.gz DIWGHLJLEUEZKX-OAHLLOKOSA-N 1 2 320.437 1.759 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(CCCCC)n[nH]2)C1 ZINC001319321521 872572508 /nfs/dbraw/zinc/57/25/08/872572508.db2.gz DIWGHLJLEUEZKX-OAHLLOKOSA-N 1 2 320.437 1.759 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@@H+](Cc2nocc2C)C[C@H]1C ZINC001206782320 872576879 /nfs/dbraw/zinc/57/68/79/872576879.db2.gz MWNZUAJUOFASHD-UKRRQHHQSA-N 1 2 321.421 1.902 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@H+](Cc2nocc2C)C[C@H]1C ZINC001206782320 872576893 /nfs/dbraw/zinc/57/68/93/872576893.db2.gz MWNZUAJUOFASHD-UKRRQHHQSA-N 1 2 321.421 1.902 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)NC2(CCCCCC2)C1=O ZINC001319456391 872642764 /nfs/dbraw/zinc/64/27/64/872642764.db2.gz AGJJIDRNUALQPQ-UHFFFAOYSA-N 1 2 303.406 1.934 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)NC2(CCCCCC2)C1=O ZINC001319456391 872642774 /nfs/dbraw/zinc/64/27/74/872642774.db2.gz AGJJIDRNUALQPQ-UHFFFAOYSA-N 1 2 303.406 1.934 20 30 DDEDLO C=C(C)Cn1c(-c2c[nH][nH]c2=O)nnc1N1CC[NH+](CC)CC1 ZINC001343628868 872693378 /nfs/dbraw/zinc/69/33/78/872693378.db2.gz PNKKSMBBIMVJAT-UHFFFAOYSA-N 1 2 317.397 1.092 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cncc(F)c2)C[C@H]1NC(=O)C#CC1CC1 ZINC001206948290 872828123 /nfs/dbraw/zinc/82/81/23/872828123.db2.gz CICQDLINMASQOR-MLGOLLRUSA-N 1 2 301.365 1.571 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cncc(F)c2)C[C@H]1NC(=O)C#CC1CC1 ZINC001206948290 872828129 /nfs/dbraw/zinc/82/81/29/872828129.db2.gz CICQDLINMASQOR-MLGOLLRUSA-N 1 2 301.365 1.571 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001344225580 872954681 /nfs/dbraw/zinc/95/46/81/872954681.db2.gz TUCPXNHRXHWWCT-TXEJJXNPSA-N 1 2 304.394 1.175 20 30 DDEDLO Cn1cc(C[N@@H+]2CCN3C(=O)c4ccccc4[C@@H]3C2)cc1C#N ZINC001207104710 873018751 /nfs/dbraw/zinc/01/87/51/873018751.db2.gz WKDGGGSWGZRESK-KRWDZBQOSA-N 1 2 306.369 1.909 20 30 DDEDLO Cn1cc(C[N@H+]2CCN3C(=O)c4ccccc4[C@@H]3C2)cc1C#N ZINC001207104710 873018770 /nfs/dbraw/zinc/01/87/70/873018770.db2.gz WKDGGGSWGZRESK-KRWDZBQOSA-N 1 2 306.369 1.909 20 30 DDEDLO CCOC(=O)C1(C#N)CC[NH+](Cc2cc(C#N)n(C)c2)CC1 ZINC001207109928 873032705 /nfs/dbraw/zinc/03/27/05/873032705.db2.gz YUHXJAOXYXZJBX-UHFFFAOYSA-N 1 2 300.362 1.566 20 30 DDEDLO C=CCN(CC[N@@H+]1CC[C@](F)(CO)C1)C(=O)OC(C)(C)C ZINC001207198207 873115347 /nfs/dbraw/zinc/11/53/47/873115347.db2.gz AORFYLMFYIINMT-OAHLLOKOSA-N 1 2 302.390 1.816 20 30 DDEDLO C=CCN(CC[N@H+]1CC[C@](F)(CO)C1)C(=O)OC(C)(C)C ZINC001207198207 873115358 /nfs/dbraw/zinc/11/53/58/873115358.db2.gz AORFYLMFYIINMT-OAHLLOKOSA-N 1 2 302.390 1.816 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]([NH2+]Cc2nnc(OCC)s2)C1 ZINC001207628514 873426793 /nfs/dbraw/zinc/42/67/93/873426793.db2.gz JMZANPXOYRXLQT-LBPRGKRZSA-N 1 2 324.450 1.984 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([NH2+][C@H](C)c2nc(C)no2)C1 ZINC001207680488 873471893 /nfs/dbraw/zinc/47/18/93/873471893.db2.gz ORRWRHGODQSGEF-DGCLKSJQSA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001207680814 873473783 /nfs/dbraw/zinc/47/37/83/873473783.db2.gz VZOXGGUJIHPPNK-ZDUSSCGKSA-N 1 2 322.409 1.476 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3C[C@H]3C#N)n2CC(=C)C)CC1 ZINC001346548687 873797753 /nfs/dbraw/zinc/79/77/53/873797753.db2.gz NUJIPXNKFCMMBJ-LSDHHAIUSA-N 1 2 310.405 1.236 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001378190372 874382716 /nfs/dbraw/zinc/38/27/16/874382716.db2.gz JTDPBSBDRNVUCN-JSGCOSHPSA-N 1 2 317.393 1.068 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001378190372 874382730 /nfs/dbraw/zinc/38/27/30/874382730.db2.gz JTDPBSBDRNVUCN-JSGCOSHPSA-N 1 2 317.393 1.068 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001348236744 874442759 /nfs/dbraw/zinc/44/27/59/874442759.db2.gz FRTKXZPAYZCCOY-UHFFFAOYSA-N 1 2 316.405 1.391 20 30 DDEDLO N#Cc1ccnc(CN2CCCC3(C[NH+](Cc4cn[nH]c4)C3)C2)c1 ZINC001276688512 875494259 /nfs/dbraw/zinc/49/42/59/875494259.db2.gz YWOPSOMLVVLYOL-UHFFFAOYSA-N 1 2 322.416 1.774 20 30 DDEDLO CCCCCCC(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001210749999 875510890 /nfs/dbraw/zinc/51/08/90/875510890.db2.gz LVLURETWOBUCMN-ZIAGYGMSSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCCCCC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001210749999 875510892 /nfs/dbraw/zinc/51/08/92/875510892.db2.gz LVLURETWOBUCMN-ZIAGYGMSSA-N 1 2 308.426 1.033 20 30 DDEDLO C#CC[C@H](CO)NC(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC001210922557 875600968 /nfs/dbraw/zinc/60/09/68/875600968.db2.gz WPHXTWRWFGNVSQ-MRXNPFEDSA-N 1 2 312.373 1.115 20 30 DDEDLO C#Cc1ccc(C(=O)NCCCNC(=O)Cc2[nH]c[nH+]c2C)cc1 ZINC001350334477 875634115 /nfs/dbraw/zinc/63/41/15/875634115.db2.gz JVINPYNFAVKTBO-UHFFFAOYSA-N 1 2 324.384 1.178 20 30 DDEDLO COc1cc(C[NH+]2CCC(NC(=O)C#CC3CC3)CC2)on1 ZINC001227298711 882960521 /nfs/dbraw/zinc/96/05/21/882960521.db2.gz DMVSANHCHKQBDL-UHFFFAOYSA-N 1 2 303.362 1.177 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3cnon3)C[C@H]21 ZINC001276698755 875822558 /nfs/dbraw/zinc/82/25/58/875822558.db2.gz AXJMSCMDOAHOGF-PHZGNYQRSA-N 1 2 316.405 1.447 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3cnon3)C[C@H]21 ZINC001276698755 875822565 /nfs/dbraw/zinc/82/25/65/875822565.db2.gz AXJMSCMDOAHOGF-PHZGNYQRSA-N 1 2 316.405 1.447 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N2C[C@@H](C)[N@H+](CC)[C@@H](C)C2)cc1 ZINC001213630835 876004131 /nfs/dbraw/zinc/00/41/31/876004131.db2.gz OAOCJNQECUEGEG-OKILXGFUSA-N 1 2 306.431 1.771 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N2C[C@@H](C)[N@@H+](CC)[C@@H](C)C2)cc1 ZINC001213630835 876004147 /nfs/dbraw/zinc/00/41/47/876004147.db2.gz OAOCJNQECUEGEG-OKILXGFUSA-N 1 2 306.431 1.771 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](F)Cc2ccccc2)[C@H](OC)C1 ZINC001213905920 876101405 /nfs/dbraw/zinc/10/14/05/876101405.db2.gz SNFAMDUAABVUQQ-GVDBMIGSSA-N 1 2 318.392 1.406 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](F)Cc2ccccc2)[C@H](OC)C1 ZINC001213905920 876101407 /nfs/dbraw/zinc/10/14/07/876101407.db2.gz SNFAMDUAABVUQQ-GVDBMIGSSA-N 1 2 318.392 1.406 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001379362885 876924264 /nfs/dbraw/zinc/92/42/64/876924264.db2.gz UCBAGEKGZQSTCQ-BDAKNGLRSA-N 1 2 302.338 1.047 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C/C=C\Cc3ccccc3)[C@H]2C1 ZINC001218129218 877405491 /nfs/dbraw/zinc/40/54/91/877405491.db2.gz GUEJEGHISVUOKP-OXUXSNCKSA-N 1 2 324.424 1.720 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C/C=C\Cc3ccccc3)[C@H]2C1 ZINC001218129218 877405496 /nfs/dbraw/zinc/40/54/96/877405496.db2.gz GUEJEGHISVUOKP-OXUXSNCKSA-N 1 2 324.424 1.720 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)CC1CCCC1 ZINC001276782329 877459287 /nfs/dbraw/zinc/45/92/87/877459287.db2.gz HYGSSBLCXJJJQU-UKRRQHHQSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)CC1CCCC1 ZINC001276782329 877459296 /nfs/dbraw/zinc/45/92/96/877459296.db2.gz HYGSSBLCXJJJQU-UKRRQHHQSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001353890584 877633995 /nfs/dbraw/zinc/63/39/95/877633995.db2.gz ITEAWRHHCNXQAH-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@@H](O)CN(C)C(=O)CCCC ZINC001379903369 878276632 /nfs/dbraw/zinc/27/66/32/878276632.db2.gz ZZBWEYCNNMYDIH-GFCCVEGCSA-N 1 2 321.259 1.836 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@@H](O)CN(C)C(=O)CCCC ZINC001379903369 878276636 /nfs/dbraw/zinc/27/66/36/878276636.db2.gz ZZBWEYCNNMYDIH-GFCCVEGCSA-N 1 2 321.259 1.836 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001354877830 878292601 /nfs/dbraw/zinc/29/26/01/878292601.db2.gz HKPNBDWIVUTAHE-AWEZNQCLSA-N 1 2 316.405 1.111 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001354877830 878292616 /nfs/dbraw/zinc/29/26/16/878292616.db2.gz HKPNBDWIVUTAHE-AWEZNQCLSA-N 1 2 316.405 1.111 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)CCNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001355200458 878460758 /nfs/dbraw/zinc/46/07/58/878460758.db2.gz WFDTUVKAWAMAJF-CQSZACIVSA-N 1 2 318.421 1.641 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)CCc2ccc(F)cc2)[C@@H](O)C1 ZINC001219770140 878492751 /nfs/dbraw/zinc/49/27/51/878492751.db2.gz IGNWSEINCIDVII-XYPHTWIQSA-N 1 2 318.392 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)CCc2ccc(F)cc2)[C@@H](O)C1 ZINC001219770140 878492755 /nfs/dbraw/zinc/49/27/55/878492755.db2.gz IGNWSEINCIDVII-XYPHTWIQSA-N 1 2 318.392 1.189 20 30 DDEDLO CO[C@@H](C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(C)C ZINC001380020830 878582999 /nfs/dbraw/zinc/58/29/99/878582999.db2.gz VZDYHUFOMXYMFG-DLBZAZTESA-N 1 2 315.417 1.920 20 30 DDEDLO CO[C@@H](C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(C)C ZINC001380020830 878583013 /nfs/dbraw/zinc/58/30/13/878583013.db2.gz VZDYHUFOMXYMFG-DLBZAZTESA-N 1 2 315.417 1.920 20 30 DDEDLO C=CCCC(=O)NCCN(C)C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001355510434 878588919 /nfs/dbraw/zinc/58/89/19/878588919.db2.gz HCYRJJBIWVKHOO-GFCCVEGCSA-N 1 2 304.394 1.370 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220201774 878813106 /nfs/dbraw/zinc/81/31/06/878813106.db2.gz YZCNKHGQIJQPLU-DFBGVHRSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220201774 878813112 /nfs/dbraw/zinc/81/31/12/878813112.db2.gz YZCNKHGQIJQPLU-DFBGVHRSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@@H]1O ZINC001220242353 878844774 /nfs/dbraw/zinc/84/47/74/878844774.db2.gz WPDAWCWFPIFOHF-CABCVRRESA-N 1 2 307.394 1.255 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@@H]1O ZINC001220242353 878844785 /nfs/dbraw/zinc/84/47/85/878844785.db2.gz WPDAWCWFPIFOHF-CABCVRRESA-N 1 2 307.394 1.255 20 30 DDEDLO CC(C)[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001220455741 879033358 /nfs/dbraw/zinc/03/33/58/879033358.db2.gz PVQWDPTZNWKLPC-GLQYFDAESA-N 1 2 321.446 1.573 20 30 DDEDLO CC(C)[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001220455741 879033375 /nfs/dbraw/zinc/03/33/75/879033375.db2.gz PVQWDPTZNWKLPC-GLQYFDAESA-N 1 2 321.446 1.573 20 30 DDEDLO CC(C)[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220455496 879034448 /nfs/dbraw/zinc/03/44/48/879034448.db2.gz IITNLLDLVCDHJY-JQHSSLGASA-N 1 2 314.429 1.492 20 30 DDEDLO CC(C)[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220455496 879034465 /nfs/dbraw/zinc/03/44/65/879034465.db2.gz IITNLLDLVCDHJY-JQHSSLGASA-N 1 2 314.429 1.492 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@H]2C[C@H]2C)CC1 ZINC001356698625 879202221 /nfs/dbraw/zinc/20/22/21/879202221.db2.gz FCTMYKIUFUDJIT-CABCVRRESA-N 1 2 307.438 1.211 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC=C)Cc2ccccc2)[C@@H](O)C1 ZINC001221032782 879459208 /nfs/dbraw/zinc/45/92/08/879459208.db2.gz GOCRTIMBRKXDBW-KSZLIROESA-N 1 2 312.413 1.216 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC=C)Cc2ccccc2)[C@@H](O)C1 ZINC001221032782 879459216 /nfs/dbraw/zinc/45/92/16/879459216.db2.gz GOCRTIMBRKXDBW-KSZLIROESA-N 1 2 312.413 1.216 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](CNC(=O)Cn1cc[nH+]c1)C(C)C ZINC001357104131 879792177 /nfs/dbraw/zinc/79/21/77/879792177.db2.gz XIGFEYCAMQVMLW-YOEHRIQHSA-N 1 2 320.437 1.742 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC3CC3)C[C@H]21 ZINC001221780040 880076904 /nfs/dbraw/zinc/07/69/04/880076904.db2.gz YVPVBHXQLYBXQD-HZPDHXFCSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC3CC3)C[C@H]21 ZINC001221780040 880076909 /nfs/dbraw/zinc/07/69/09/880076909.db2.gz YVPVBHXQLYBXQD-HZPDHXFCSA-N 1 2 319.449 1.402 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[N@@H+](CC(=O)NCC)C[C@H]32)C1 ZINC001221802414 880097122 /nfs/dbraw/zinc/09/71/22/880097122.db2.gz GSQZFBLYGBQANB-ZIAGYGMSSA-N 1 2 305.422 1.012 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[N@H+](CC(=O)NCC)C[C@H]32)C1 ZINC001221802414 880097133 /nfs/dbraw/zinc/09/71/33/880097133.db2.gz GSQZFBLYGBQANB-ZIAGYGMSSA-N 1 2 305.422 1.012 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C3CC4(CC4)C3)[C@@H]2C1 ZINC001221807324 880100310 /nfs/dbraw/zinc/10/03/10/880100310.db2.gz IDQDGVFBTBQORC-UKRRQHHQSA-N 1 2 317.433 1.012 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C3CC4(CC4)C3)[C@@H]2C1 ZINC001221807324 880100314 /nfs/dbraw/zinc/10/03/14/880100314.db2.gz IDQDGVFBTBQORC-UKRRQHHQSA-N 1 2 317.433 1.012 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCC)C[C@H]21 ZINC001221839167 880114576 /nfs/dbraw/zinc/11/45/76/880114576.db2.gz HIGLTGQDUBKSOZ-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCC)C[C@H]21 ZINC001221839167 880114581 /nfs/dbraw/zinc/11/45/81/880114581.db2.gz HIGLTGQDUBKSOZ-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO CC#CCCCC(=O)NC/C=C\CNC(=O)Cc1[nH]c[nH+]c1C ZINC001357988915 880277164 /nfs/dbraw/zinc/27/71/64/880277164.db2.gz BCFDLUXCUHXXOA-FPLPWBNLSA-N 1 2 316.405 1.243 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1CC[NH+](Cc2nnc(C3CC3)[nH]2)CC1 ZINC001222641612 880617439 /nfs/dbraw/zinc/61/74/39/880617439.db2.gz PPAMFZIZMBNSHA-NSHDSACASA-N 1 2 316.409 1.170 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001287932529 912603201 /nfs/dbraw/zinc/60/32/01/912603201.db2.gz DYDOOZHSGYRKSZ-CHWSQXEVSA-N 1 2 304.394 1.414 20 30 DDEDLO C#CCCCC(=O)NCC1CC[NH+]([C@H](C)c2nnc(C)[nH]2)CC1 ZINC001223012169 880781983 /nfs/dbraw/zinc/78/19/83/880781983.db2.gz FCQHYVCVKCSQOF-CYBMUJFWSA-N 1 2 317.437 1.806 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H](C)N(C(=O)CCn2cc[nH+]c2)C1 ZINC001287943477 912613421 /nfs/dbraw/zinc/61/34/21/912613421.db2.gz PWGSIJORTFPLDB-LSDHHAIUSA-N 1 2 318.421 1.735 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@H+]3CC[C@@](O)(C(F)F)C3)o2)c1 ZINC001414006422 880860197 /nfs/dbraw/zinc/86/01/97/880860197.db2.gz QECUZZKTUREMPT-HNNXBMFYSA-N 1 2 320.299 1.810 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@@H+]3CC[C@@](O)(C(F)F)C3)o2)c1 ZINC001414006422 880860212 /nfs/dbraw/zinc/86/02/12/880860212.db2.gz QECUZZKTUREMPT-HNNXBMFYSA-N 1 2 320.299 1.810 20 30 DDEDLO N#Cc1ccnc(C[N@@H+]2CCOC[C@@H]2CC2CCOCC2)c1 ZINC001414052138 881203551 /nfs/dbraw/zinc/20/35/51/881203551.db2.gz BWRUFSAQYDIMNP-KRWDZBQOSA-N 1 2 301.390 1.971 20 30 DDEDLO N#Cc1ccnc(C[N@H+]2CCOC[C@@H]2CC2CCOCC2)c1 ZINC001414052138 881203565 /nfs/dbraw/zinc/20/35/65/881203565.db2.gz BWRUFSAQYDIMNP-KRWDZBQOSA-N 1 2 301.390 1.971 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001224165000 881249403 /nfs/dbraw/zinc/24/94/03/881249403.db2.gz DQTZYLAIGYZJID-STQMWFEESA-N 1 2 306.410 1.856 20 30 DDEDLO N#Cc1ccc(OC2CN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)cc1 ZINC001362316732 883550075 /nfs/dbraw/zinc/55/00/75/883550075.db2.gz AFUIZYFGCPPGLG-HUUCEWRRSA-N 1 2 308.341 1.675 20 30 DDEDLO N#Cc1ccc(OC2CN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)cc1 ZINC001362316732 883550083 /nfs/dbraw/zinc/55/00/83/883550083.db2.gz AFUIZYFGCPPGLG-HUUCEWRRSA-N 1 2 308.341 1.675 20 30 DDEDLO C=CCCCC(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001228927554 883734121 /nfs/dbraw/zinc/73/41/21/883734121.db2.gz DZKHWINQNYDSAW-UHFFFAOYSA-N 1 2 305.426 1.735 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(C#N)ccc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001229186221 883865262 /nfs/dbraw/zinc/86/52/62/883865262.db2.gz HXIFIJDECVCLKN-HJTUNCCVSA-N 1 2 301.302 1.458 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(C#N)ccc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001229186221 883865272 /nfs/dbraw/zinc/86/52/72/883865272.db2.gz HXIFIJDECVCLKN-HJTUNCCVSA-N 1 2 301.302 1.458 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1F ZINC001230568258 884593673 /nfs/dbraw/zinc/59/36/73/884593673.db2.gz IBTRLYUIKCEINQ-HNNXBMFYSA-N 1 2 319.380 1.767 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1F ZINC001230568258 884593698 /nfs/dbraw/zinc/59/36/98/884593698.db2.gz IBTRLYUIKCEINQ-HNNXBMFYSA-N 1 2 319.380 1.767 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665641 884718961 /nfs/dbraw/zinc/71/89/61/884718961.db2.gz WCNUGSIRPPCWCY-GOEBONIOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665641 884718968 /nfs/dbraw/zinc/71/89/68/884718968.db2.gz WCNUGSIRPPCWCY-GOEBONIOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC[N@H+](CCF)Cc1cccnc1 ZINC001230745119 884825470 /nfs/dbraw/zinc/82/54/70/884825470.db2.gz BBEQDQLDUCSOKQ-QGZVFWFLSA-N 1 2 323.412 1.687 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC[N@@H+](CCF)Cc1cccnc1 ZINC001230745119 884825479 /nfs/dbraw/zinc/82/54/79/884825479.db2.gz BBEQDQLDUCSOKQ-QGZVFWFLSA-N 1 2 323.412 1.687 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001230938240 885062591 /nfs/dbraw/zinc/06/25/91/885062591.db2.gz OBNILWKUNVAKMX-WBVHZDCISA-N 1 2 300.402 1.606 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001230938240 885062605 /nfs/dbraw/zinc/06/26/05/885062605.db2.gz OBNILWKUNVAKMX-WBVHZDCISA-N 1 2 300.402 1.606 20 30 DDEDLO CCOCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231188642 885344373 /nfs/dbraw/zinc/34/43/73/885344373.db2.gz GNYFDDRKPLBJJP-GOSISDBHSA-N 1 2 314.429 1.997 20 30 DDEDLO CCOCCC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231188642 885344388 /nfs/dbraw/zinc/34/43/88/885344388.db2.gz GNYFDDRKPLBJJP-GOSISDBHSA-N 1 2 314.429 1.997 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231247662 885429756 /nfs/dbraw/zinc/42/97/56/885429756.db2.gz QDHDEIXDUIRJFM-GJZGRUSLSA-N 1 2 320.437 1.103 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231247662 885429764 /nfs/dbraw/zinc/42/97/64/885429764.db2.gz QDHDEIXDUIRJFM-GJZGRUSLSA-N 1 2 320.437 1.103 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2ccncn2)CC1 ZINC001231620449 885766110 /nfs/dbraw/zinc/76/61/10/885766110.db2.gz BMDJEEUUYDVSLG-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=CCN1C(=O)CCC12CC[NH+](Cc1nnc(C)s1)CC2 ZINC001232242083 886176938 /nfs/dbraw/zinc/17/69/38/886176938.db2.gz LZLMUGLBBNTECR-UHFFFAOYSA-N 1 2 306.435 1.990 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C1C[NH+](CCc2cnn(CC)c2)C1 ZINC001277635698 886179646 /nfs/dbraw/zinc/17/96/46/886179646.db2.gz AHIFMBFEFPQNBQ-UHFFFAOYSA-N 1 2 304.438 1.800 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1C[C@H]2C[C@@H](CC(N)=O)O[C@H]2C1 ZINC001277660479 886333816 /nfs/dbraw/zinc/33/38/16/886333816.db2.gz XBQQGAXZRHLZOR-SNPRPXQTSA-N 1 2 303.337 1.162 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1C[C@H]2C[C@@H](CC(N)=O)O[C@H]2C1 ZINC001277660479 886333831 /nfs/dbraw/zinc/33/38/31/886333831.db2.gz XBQQGAXZRHLZOR-SNPRPXQTSA-N 1 2 303.337 1.162 20 30 DDEDLO CCNC(=O)CO[C@H]1CCC[N@H+](Cc2cc(C#N)ccc2O)C1 ZINC001232678638 886530171 /nfs/dbraw/zinc/53/01/71/886530171.db2.gz LBYBPEWFCAVRTE-HNNXBMFYSA-N 1 2 317.389 1.381 20 30 DDEDLO CCNC(=O)CO[C@H]1CCC[N@@H+](Cc2cc(C#N)ccc2O)C1 ZINC001232678638 886530180 /nfs/dbraw/zinc/53/01/80/886530180.db2.gz LBYBPEWFCAVRTE-HNNXBMFYSA-N 1 2 317.389 1.381 20 30 DDEDLO CCOC(=O)C1(C#N)CC[NH+](Cc2cc(C#N)ccc2O)CC1 ZINC001232678041 886532081 /nfs/dbraw/zinc/53/20/81/886532081.db2.gz OTSAONWIFDENSC-UHFFFAOYSA-N 1 2 313.357 1.933 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(c4ncc(F)cn4)C3)C2)cc1C#N ZINC001277710635 886570556 /nfs/dbraw/zinc/57/05/56/886570556.db2.gz BZDXLXRTZOTEIW-UHFFFAOYSA-N 1 2 312.352 1.148 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CC[C@H]2[C@@H]1CCN2c1ncc(C#N)cn1 ZINC001277737008 886682464 /nfs/dbraw/zinc/68/24/64/886682464.db2.gz XVZPIAXRVLPOPX-GJZGRUSLSA-N 1 2 323.404 1.418 20 30 DDEDLO CCn1ccnc1C[N@H+]1CC[C@H]2[C@@H]1CCN2c1ncc(C#N)cn1 ZINC001277737008 886682474 /nfs/dbraw/zinc/68/24/74/886682474.db2.gz XVZPIAXRVLPOPX-GJZGRUSLSA-N 1 2 323.404 1.418 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1C[C@H]2CN(Cc3nccs3)C[C@@H]2C1 ZINC001233045367 886753713 /nfs/dbraw/zinc/75/37/13/886753713.db2.gz WLBYBRRWOGKMJR-KBPBESRZSA-N 1 2 313.430 1.907 20 30 DDEDLO Cc1cc(N2CC[NH+](Cc3ccc(C#N)cc3O)CC2)ncn1 ZINC001233388047 886969148 /nfs/dbraw/zinc/96/91/48/886969148.db2.gz JFVJXOXMRFZSBA-UHFFFAOYSA-N 1 2 309.373 1.685 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C\C ZINC001233549430 887102395 /nfs/dbraw/zinc/10/23/95/887102395.db2.gz MGMHCZDCZUTVIF-NXADEVLQSA-N 1 2 321.465 1.910 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C\C ZINC001233549430 887102409 /nfs/dbraw/zinc/10/24/09/887102409.db2.gz MGMHCZDCZUTVIF-NXADEVLQSA-N 1 2 321.465 1.910 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NCC=C ZINC001233947335 887488022 /nfs/dbraw/zinc/48/80/22/887488022.db2.gz KPTSBYFIRLXDAV-HUUCEWRRSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCC=C ZINC001233947335 887488031 /nfs/dbraw/zinc/48/80/31/887488031.db2.gz KPTSBYFIRLXDAV-HUUCEWRRSA-N 1 2 305.422 1.013 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(F)c1C ZINC001234426840 887961029 /nfs/dbraw/zinc/96/10/29/887961029.db2.gz YPZVCMNXATVWHF-OAHLLOKOSA-N 1 2 318.392 1.930 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(F)c1C ZINC001234426840 887961034 /nfs/dbraw/zinc/96/10/34/887961034.db2.gz YPZVCMNXATVWHF-OAHLLOKOSA-N 1 2 318.392 1.930 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCCC ZINC001234626623 888152447 /nfs/dbraw/zinc/15/24/47/888152447.db2.gz VRUUECTZVRDBDD-GJZGRUSLSA-N 1 2 309.454 1.790 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCC ZINC001234626623 888152453 /nfs/dbraw/zinc/15/24/53/888152453.db2.gz VRUUECTZVRDBDD-GJZGRUSLSA-N 1 2 309.454 1.790 20 30 DDEDLO CC[C@H](CC(F)F)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001235226806 888489747 /nfs/dbraw/zinc/48/97/47/888489747.db2.gz SGRGIALBKYPXCB-KGLIPLIRSA-N 1 2 316.392 1.850 20 30 DDEDLO CC[C@H](CC(F)F)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001235226806 888489754 /nfs/dbraw/zinc/48/97/54/888489754.db2.gz SGRGIALBKYPXCB-KGLIPLIRSA-N 1 2 316.392 1.850 20 30 DDEDLO N#CCC[N@H+]1CCCC2(CN(C(=O)CCc3ccc[nH]3)C2)C1 ZINC001277936306 888543206 /nfs/dbraw/zinc/54/32/06/888543206.db2.gz FQAGHUGECKPNRX-UHFFFAOYSA-N 1 2 300.406 1.785 20 30 DDEDLO N#CCC[N@@H+]1CCCC2(CN(C(=O)CCc3ccc[nH]3)C2)C1 ZINC001277936306 888543221 /nfs/dbraw/zinc/54/32/21/888543221.db2.gz FQAGHUGECKPNRX-UHFFFAOYSA-N 1 2 300.406 1.785 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CCN1Cc1c(C)[nH+]cn1C ZINC001235538240 888723269 /nfs/dbraw/zinc/72/32/69/888723269.db2.gz MTSBGYNAPKORLO-OAHLLOKOSA-N 1 2 316.409 1.159 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCC1(F)F ZINC001235686481 888885594 /nfs/dbraw/zinc/88/55/94/888885594.db2.gz TXZUCKOPODZDRV-QWHCGFSZSA-N 1 2 300.349 1.214 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCC1(F)F ZINC001235686481 888885602 /nfs/dbraw/zinc/88/56/02/888885602.db2.gz TXZUCKOPODZDRV-QWHCGFSZSA-N 1 2 300.349 1.214 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@H+](C[C@H](O)c1cccc(C#N)c1)C1CC1 ZINC001365270631 890472504 /nfs/dbraw/zinc/47/25/04/890472504.db2.gz KISMFCDAKCAAJB-WBMJQRKESA-N 1 2 322.430 1.489 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@@H+](C[C@H](O)c1cccc(C#N)c1)C1CC1 ZINC001365270631 890472514 /nfs/dbraw/zinc/47/25/14/890472514.db2.gz KISMFCDAKCAAJB-WBMJQRKESA-N 1 2 322.430 1.489 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H](CC[NH+]2CC(O)(CC#N)C2)C1 ZINC001365295540 890527181 /nfs/dbraw/zinc/52/71/81/890527181.db2.gz RFRAYIXAWKZCRW-CYBMUJFWSA-N 1 2 309.410 1.594 20 30 DDEDLO C[NH+]1CCN(C(=O)c2cccc(-c3nccc(C#N)n3)c2)CC1 ZINC001241876083 891283789 /nfs/dbraw/zinc/28/37/89/891283789.db2.gz BIXBFBSAPFXJDZ-UHFFFAOYSA-N 1 2 307.357 1.403 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001365930873 891949519 /nfs/dbraw/zinc/94/95/19/891949519.db2.gz UHYMYIYDUXIYME-IUODEOHRSA-N 1 2 319.380 1.813 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001365930873 891949532 /nfs/dbraw/zinc/94/95/32/891949532.db2.gz UHYMYIYDUXIYME-IUODEOHRSA-N 1 2 319.380 1.813 20 30 DDEDLO N#Cc1ccc(NC(=S)N2CC[NH+]([C@H]3CCOC3)CC2)cc1 ZINC001245880051 892254568 /nfs/dbraw/zinc/25/45/68/892254568.db2.gz CGZPGOPWTMGQFK-HNNXBMFYSA-N 1 2 316.430 1.662 20 30 DDEDLO N#Cc1ccccc1C[NH2+][C@H]1C[C@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001366125013 892525360 /nfs/dbraw/zinc/52/53/60/892525360.db2.gz NQQBICFTFZHSQY-WKILWMFISA-N 1 2 324.388 1.046 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+]C[C@@H](C)NC(=O)c2ccc(C#N)[nH]2)n1 ZINC001374750221 913792854 /nfs/dbraw/zinc/79/28/54/913792854.db2.gz PWDMGPSNROUNDM-NXEZZACHSA-N 1 2 316.365 1.301 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2nccs2)[C@@H]1C ZINC001278527781 894090197 /nfs/dbraw/zinc/09/01/97/894090197.db2.gz WDHHTXGIGFLDAG-STQMWFEESA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2nccs2)[C@@H]1C ZINC001278527781 894090210 /nfs/dbraw/zinc/09/02/10/894090210.db2.gz WDHHTXGIGFLDAG-STQMWFEESA-N 1 2 307.419 1.376 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@H+](Cc1cc2n(n1)CCC2)C1CC1 ZINC001366739088 894771480 /nfs/dbraw/zinc/77/14/80/894771480.db2.gz QXJPBWXNCAEYNY-LBPRGKRZSA-N 1 2 301.394 1.070 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@@H+](Cc1cc2n(n1)CCC2)C1CC1 ZINC001366739088 894771493 /nfs/dbraw/zinc/77/14/93/894771493.db2.gz QXJPBWXNCAEYNY-LBPRGKRZSA-N 1 2 301.394 1.070 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CCC)C(=O)Cc2nnc[nH]2)C1 ZINC001366816699 894995582 /nfs/dbraw/zinc/99/55/82/894995582.db2.gz IOWQAWUXHKQBNP-LBPRGKRZSA-N 1 2 311.817 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CCC)C(=O)Cc2nnc[nH]2)C1 ZINC001366816699 894995592 /nfs/dbraw/zinc/99/55/92/894995592.db2.gz IOWQAWUXHKQBNP-LBPRGKRZSA-N 1 2 311.817 1.413 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1C[C@@H](O)CCCC ZINC001252086701 895004211 /nfs/dbraw/zinc/00/42/11/895004211.db2.gz QMGOARSPDQDARJ-GOEBONIOSA-N 1 2 322.453 1.951 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1C[C@@H](O)CCCC ZINC001252086701 895004225 /nfs/dbraw/zinc/00/42/25/895004225.db2.gz QMGOARSPDQDARJ-GOEBONIOSA-N 1 2 322.453 1.951 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001292797389 914011166 /nfs/dbraw/zinc/01/11/66/914011166.db2.gz WZWJWQIRZRABCG-CYBMUJFWSA-N 1 2 318.421 1.280 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@]1(O)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001278809866 896590167 /nfs/dbraw/zinc/59/01/67/896590167.db2.gz HWYACBRPDBCYCZ-KRWDZBQOSA-N 1 2 321.421 1.638 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@]1(O)CC[N@H+](Cc2cc(C)on2)C1 ZINC001278809866 896590178 /nfs/dbraw/zinc/59/01/78/896590178.db2.gz HWYACBRPDBCYCZ-KRWDZBQOSA-N 1 2 321.421 1.638 20 30 DDEDLO CC(C)C[C@@H](C[NH2+]Cc1nc(C(C)C)no1)NC(=O)[C@H](C)C#N ZINC001367418217 896718220 /nfs/dbraw/zinc/71/82/20/896718220.db2.gz LEPMLUBDMSRFAN-OLZOCXBDSA-N 1 2 321.425 1.973 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCNC(=O)Cc1c[nH+]ccc1OC ZINC001292926535 914099603 /nfs/dbraw/zinc/09/96/03/914099603.db2.gz ZFHQOGHJANHJSI-ZDUSSCGKSA-N 1 2 319.405 1.467 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@H+](Cc2cc(CO)ccc2F)C1 ZINC001389369573 897049408 /nfs/dbraw/zinc/04/94/08/897049408.db2.gz VCFKJLRZDCUXMF-DOMZBBRYSA-N 1 2 319.380 1.558 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@@H+](Cc2cc(CO)ccc2F)C1 ZINC001389369573 897049420 /nfs/dbraw/zinc/04/94/20/897049420.db2.gz VCFKJLRZDCUXMF-DOMZBBRYSA-N 1 2 319.380 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCc2cn(C)nc2C)C1 ZINC001389378053 897072083 /nfs/dbraw/zinc/07/20/83/897072083.db2.gz HYHYGVDSXCJALL-HNNXBMFYSA-N 1 2 324.856 1.994 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCc2cn(C)nc2C)C1 ZINC001389378053 897072096 /nfs/dbraw/zinc/07/20/96/897072096.db2.gz HYHYGVDSXCJALL-HNNXBMFYSA-N 1 2 324.856 1.994 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)CCc2ccc(F)cc2F)C1 ZINC001278918642 897306321 /nfs/dbraw/zinc/30/63/21/897306321.db2.gz DUVWYWOXZHLNPR-UHFFFAOYSA-N 1 2 322.355 1.084 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)CCC(=O)NCC ZINC001390105528 898692990 /nfs/dbraw/zinc/69/29/90/898692990.db2.gz INFKFHRTJHQYNU-ZDUSSCGKSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)CCC(=O)NCC ZINC001390105528 898693000 /nfs/dbraw/zinc/69/30/00/898693000.db2.gz INFKFHRTJHQYNU-ZDUSSCGKSA-N 1 2 315.845 1.626 20 30 DDEDLO Cc1ncsc1CC[NH+]1CCN(C(=O)C#CC2CC2)CC1 ZINC001261281831 899450054 /nfs/dbraw/zinc/45/00/54/899450054.db2.gz LKKOFMGCKQXYCF-UHFFFAOYSA-N 1 2 303.431 1.552 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC(C)(C)C[C@H]1C ZINC001262947499 900431896 /nfs/dbraw/zinc/43/18/96/900431896.db2.gz MWLRMPACSOPQJC-MCIONIFRSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC(C)(C)C[C@H]1C ZINC001262947499 900431905 /nfs/dbraw/zinc/43/19/05/900431905.db2.gz MWLRMPACSOPQJC-MCIONIFRSA-N 1 2 319.453 1.826 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1nc2nccc(C)n2n1 ZINC001391017395 900696632 /nfs/dbraw/zinc/69/66/32/900696632.db2.gz OMGRFMHFKVFEGH-UHFFFAOYSA-N 1 2 322.800 1.237 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1nc2nccc(C)n2n1 ZINC001391017395 900696638 /nfs/dbraw/zinc/69/66/38/900696638.db2.gz OMGRFMHFKVFEGH-UHFFFAOYSA-N 1 2 322.800 1.237 20 30 DDEDLO CCn1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#CCOC)c(C)n1 ZINC001264372808 901053685 /nfs/dbraw/zinc/05/36/85/901053685.db2.gz MNRZFSNEOFVYKQ-OAHLLOKOSA-N 1 2 318.421 1.008 20 30 DDEDLO CCn1cc(C(=O)N(C)C[C@H]2CC[N@H+]2CC#CCOC)c(C)n1 ZINC001264372808 901053692 /nfs/dbraw/zinc/05/36/92/901053692.db2.gz MNRZFSNEOFVYKQ-OAHLLOKOSA-N 1 2 318.421 1.008 20 30 DDEDLO N#Cc1ccccc1C[N@@H+](CCNC(=O)c1ncc[nH]1)C1CC1 ZINC001391162726 901107371 /nfs/dbraw/zinc/10/73/71/901107371.db2.gz UXKMHBVBHBYEAC-UHFFFAOYSA-N 1 2 309.373 1.676 20 30 DDEDLO N#Cc1ccccc1C[N@H+](CCNC(=O)c1ncc[nH]1)C1CC1 ZINC001391162726 901107373 /nfs/dbraw/zinc/10/73/73/901107373.db2.gz UXKMHBVBHBYEAC-UHFFFAOYSA-N 1 2 309.373 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COCCCOC)[C@H]1C ZINC001264726233 901311499 /nfs/dbraw/zinc/31/14/99/901311499.db2.gz MTWNTNLSQMPQAL-OLZOCXBDSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COCCCOC)[C@H]1C ZINC001264726233 901311507 /nfs/dbraw/zinc/31/15/07/901311507.db2.gz MTWNTNLSQMPQAL-OLZOCXBDSA-N 1 2 304.818 1.371 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@H]1CC[N@H+](Cc2nn(C)cc2Cl)C1 ZINC001391293209 901410077 /nfs/dbraw/zinc/41/00/77/901410077.db2.gz JZYMRZZVAGPLIH-NEPJUHHUSA-N 1 2 323.828 1.561 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@H]1CC[N@@H+](Cc2nn(C)cc2Cl)C1 ZINC001391293209 901410090 /nfs/dbraw/zinc/41/00/90/901410090.db2.gz JZYMRZZVAGPLIH-NEPJUHHUSA-N 1 2 323.828 1.561 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(C3CC3)no2)C1 ZINC001265208045 901705560 /nfs/dbraw/zinc/70/55/60/901705560.db2.gz OWMCTWGSTNRCEB-CQSZACIVSA-N 1 2 319.405 1.835 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C3CC3)no2)C1 ZINC001265208045 901705568 /nfs/dbraw/zinc/70/55/68/901705568.db2.gz OWMCTWGSTNRCEB-CQSZACIVSA-N 1 2 319.405 1.835 20 30 DDEDLO CC[C@H](C)CCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001265229533 901748422 /nfs/dbraw/zinc/74/84/22/901748422.db2.gz ZORZOUYRCBTWNP-UONOGXRCSA-N 1 2 308.426 1.033 20 30 DDEDLO CC[C@H](C)CCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001265229533 901748430 /nfs/dbraw/zinc/74/84/30/901748430.db2.gz ZORZOUYRCBTWNP-UONOGXRCSA-N 1 2 308.426 1.033 20 30 DDEDLO CC[C@H](C)CCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001265229531 901749094 /nfs/dbraw/zinc/74/90/94/901749094.db2.gz ZORZOUYRCBTWNP-KBPBESRZSA-N 1 2 308.426 1.033 20 30 DDEDLO CC[C@H](C)CCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001265229531 901749102 /nfs/dbraw/zinc/74/91/02/901749102.db2.gz ZORZOUYRCBTWNP-KBPBESRZSA-N 1 2 308.426 1.033 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)[C@@H]2C[C@H]2CC(C)C)CC1 ZINC001265263804 901788191 /nfs/dbraw/zinc/78/81/91/901788191.db2.gz ACWCKERMDNSJFC-IAGOWNOFSA-N 1 2 305.466 1.426 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265272157 901799324 /nfs/dbraw/zinc/79/93/24/901799324.db2.gz CAPPGLLBGVPYMO-CZUORRHYSA-N 1 2 304.365 1.403 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265272157 901799329 /nfs/dbraw/zinc/79/93/29/901799329.db2.gz CAPPGLLBGVPYMO-CZUORRHYSA-N 1 2 304.365 1.403 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[NH+]1CC(CNC(=O)[C@@H](C)C#N)C1 ZINC001391470226 901803025 /nfs/dbraw/zinc/80/30/25/901803025.db2.gz ACXYMNLMZRURRO-VIFPVBQESA-N 1 2 309.801 1.090 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC12CC[NH+]([C@@H](C)c1nnnn1C)CC2 ZINC001265324333 901889023 /nfs/dbraw/zinc/88/90/23/901889023.db2.gz XWSCHKLPFNOJFN-QWHCGFSZSA-N 1 2 318.425 1.208 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]([NH2+][C@H](C)c1noc(C)n1)C1CC1 ZINC001265352771 901915497 /nfs/dbraw/zinc/91/54/97/901915497.db2.gz XVBZYVQOJMZJSB-BXUZGUMPSA-N 1 2 304.394 1.727 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)N(CC)CCC#N)C1 ZINC001369806101 902000648 /nfs/dbraw/zinc/00/06/48/902000648.db2.gz TZOMMSPKXDISLS-LSDHHAIUSA-N 1 2 322.453 1.375 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)N(CC)CCC#N)C1 ZINC001369806101 902000659 /nfs/dbraw/zinc/00/06/59/902000659.db2.gz TZOMMSPKXDISLS-LSDHHAIUSA-N 1 2 322.453 1.375 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@H](N(C)C(=O)COCC)C1 ZINC001391599948 902108822 /nfs/dbraw/zinc/10/88/22/902108822.db2.gz FXSNECVGDYLLSV-LLVKDONJSA-N 1 2 305.216 1.464 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@H](N(C)C(=O)COCC)C1 ZINC001391599948 902108815 /nfs/dbraw/zinc/10/88/15/902108815.db2.gz FXSNECVGDYLLSV-LLVKDONJSA-N 1 2 305.216 1.464 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCCC[N@H+]1CCS(C)(=O)=O ZINC001265651994 902253782 /nfs/dbraw/zinc/25/37/82/902253782.db2.gz QMVSNEXHNMNAQK-CQSZACIVSA-N 1 2 316.467 1.358 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCCC[N@@H+]1CCS(C)(=O)=O ZINC001265651994 902253801 /nfs/dbraw/zinc/25/38/01/902253801.db2.gz QMVSNEXHNMNAQK-CQSZACIVSA-N 1 2 316.467 1.358 20 30 DDEDLO CCOc1nnc(C[NH2+][C@@H](C)CNC(=O)C#CC(C)(C)C)s1 ZINC001265772474 902390146 /nfs/dbraw/zinc/39/01/46/902390146.db2.gz BNCXJGFCFYVRCC-NSHDSACASA-N 1 2 324.450 1.581 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@H+](C)Cc1noc2c1COCC2 ZINC001265842768 902479449 /nfs/dbraw/zinc/47/94/49/902479449.db2.gz IZATWYFMCFPILH-UHFFFAOYSA-N 1 2 319.405 1.345 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@@H+](C)Cc1noc2c1COCC2 ZINC001265842768 902479458 /nfs/dbraw/zinc/47/94/58/902479458.db2.gz IZATWYFMCFPILH-UHFFFAOYSA-N 1 2 319.405 1.345 20 30 DDEDLO C=CCCCC(=O)N(C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001293677892 914631741 /nfs/dbraw/zinc/63/17/41/914631741.db2.gz VHHLULDQKGWTAB-AWEZNQCLSA-N 1 2 304.394 1.299 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001266211521 903117221 /nfs/dbraw/zinc/11/72/21/903117221.db2.gz FGFNRQZZWGBWTO-OAHLLOKOSA-N 1 2 304.390 1.740 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001266211521 903117233 /nfs/dbraw/zinc/11/72/33/903117233.db2.gz FGFNRQZZWGBWTO-OAHLLOKOSA-N 1 2 304.390 1.740 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@@H+](CCCS(=O)(=O)CC)C1 ZINC001266210194 903117260 /nfs/dbraw/zinc/11/72/60/903117260.db2.gz XXAOSJYTIOXWOL-AWEZNQCLSA-N 1 2 316.467 1.358 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@H+](CCCS(=O)(=O)CC)C1 ZINC001266210194 903117270 /nfs/dbraw/zinc/11/72/70/903117270.db2.gz XXAOSJYTIOXWOL-AWEZNQCLSA-N 1 2 316.467 1.358 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]2C[N@@H+](Cc3ncnn3C)C[C@H]21 ZINC001279624943 903360021 /nfs/dbraw/zinc/36/00/21/903360021.db2.gz VPVUENZRORXLDN-LSDHHAIUSA-N 1 2 317.437 1.594 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]2C[N@H+](Cc3ncnn3C)C[C@H]21 ZINC001279624943 903360039 /nfs/dbraw/zinc/36/00/39/903360039.db2.gz VPVUENZRORXLDN-LSDHHAIUSA-N 1 2 317.437 1.594 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001279787254 903418305 /nfs/dbraw/zinc/41/83/05/903418305.db2.gz SZUFNVIGQBLONN-CQSZACIVSA-N 1 2 304.394 1.368 20 30 DDEDLO C=CC1(CC(=O)N(C)C[C@H]2CC[N@H+]2CC(=O)NC)CCCCC1 ZINC001316602363 903569464 /nfs/dbraw/zinc/56/94/64/903569464.db2.gz LRWJCIJACJEEIS-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CC1(CC(=O)N(C)C[C@H]2CC[N@@H+]2CC(=O)NC)CCCCC1 ZINC001316602363 903569476 /nfs/dbraw/zinc/56/94/76/903569476.db2.gz LRWJCIJACJEEIS-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](C)CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001281033270 904336311 /nfs/dbraw/zinc/33/63/11/904336311.db2.gz XZLHKDKFBDPTPB-SJKOYZFVSA-N 1 2 320.437 1.826 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001281035151 904340388 /nfs/dbraw/zinc/34/03/88/904340388.db2.gz QEPWTOYAXIKHSC-LBPRGKRZSA-N 1 2 306.410 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cnn(C)c1Cl ZINC001392678448 904860426 /nfs/dbraw/zinc/86/04/26/904860426.db2.gz PGTSGRTUMQNANS-SECBINFHSA-N 1 2 305.209 1.876 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cnn(C)c1Cl ZINC001392678448 904860449 /nfs/dbraw/zinc/86/04/49/904860449.db2.gz PGTSGRTUMQNANS-SECBINFHSA-N 1 2 305.209 1.876 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@@H](NC(=O)[C@@H](C)C#N)C2CC2)n1 ZINC001392775159 905218179 /nfs/dbraw/zinc/21/81/79/905218179.db2.gz WCIKNGQSIJBDQU-CMPLNLGQSA-N 1 2 305.382 1.337 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)C[C@@H]1CCN1C(=O)Cn1cc[nH+]c1 ZINC001282052984 905472070 /nfs/dbraw/zinc/47/20/70/905472070.db2.gz UJZQUBQQRLOMHW-CABCVRRESA-N 1 2 318.421 1.545 20 30 DDEDLO C=CCC1(C(=O)NC2(CCO)C[NH+](CCOC)C2)CCCC1 ZINC001282546203 905865610 /nfs/dbraw/zinc/86/56/10/905865610.db2.gz YLQOCQTXEDZRKE-UHFFFAOYSA-N 1 2 310.438 1.322 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1cc2n(n1)CCC2 ZINC001377389923 921202995 /nfs/dbraw/zinc/20/29/95/921202995.db2.gz UUOQDQFUJSOKNU-WFASDCNBSA-N 1 2 301.394 1.070 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC[N@H+]1Cc1cc2n(n1)CCC2 ZINC001377389923 921202999 /nfs/dbraw/zinc/20/29/99/921202999.db2.gz UUOQDQFUJSOKNU-WFASDCNBSA-N 1 2 301.394 1.070 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001282867106 906126524 /nfs/dbraw/zinc/12/65/24/906126524.db2.gz QDANJFGLVFMRPL-UHFFFAOYSA-N 1 2 316.405 1.391 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001283084202 906596077 /nfs/dbraw/zinc/59/60/77/906596077.db2.gz LLFWPFYSHOWFQM-ZDUSSCGKSA-N 1 2 318.421 1.280 20 30 DDEDLO C=CCCCC(=O)N1CCC([N@@H+](C)Cc2ncnn2C)CC1 ZINC001283326278 907138383 /nfs/dbraw/zinc/13/83/83/907138383.db2.gz WCDRFJHVKNKJCC-UHFFFAOYSA-N 1 2 305.426 1.594 20 30 DDEDLO C=CCCCC(=O)N1CCC([N@H+](C)Cc2ncnn2C)CC1 ZINC001283326278 907138397 /nfs/dbraw/zinc/13/83/97/907138397.db2.gz WCDRFJHVKNKJCC-UHFFFAOYSA-N 1 2 305.426 1.594 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC001372449681 907335068 /nfs/dbraw/zinc/33/50/68/907335068.db2.gz SRVFVKCILRWOMQ-HNNXBMFYSA-N 1 2 315.845 1.436 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC001372449681 907335083 /nfs/dbraw/zinc/33/50/83/907335083.db2.gz SRVFVKCILRWOMQ-HNNXBMFYSA-N 1 2 315.845 1.436 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001283880919 908123645 /nfs/dbraw/zinc/12/36/45/908123645.db2.gz IZHIJPWTRDDNNW-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)CCc2[nH+]ccn2C)C1 ZINC001283888216 908137255 /nfs/dbraw/zinc/13/72/55/908137255.db2.gz IBSSRESJIZGIMF-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO Cn1cc(C(=O)NCC[N@H+](Cc2ccccc2C#N)C2CC2)cn1 ZINC001372763978 908175791 /nfs/dbraw/zinc/17/57/91/908175791.db2.gz NWQWFJZWKQWSNH-UHFFFAOYSA-N 1 2 323.400 1.686 20 30 DDEDLO Cn1cc(C(=O)NCC[N@@H+](Cc2ccccc2C#N)C2CC2)cn1 ZINC001372763978 908175799 /nfs/dbraw/zinc/17/57/99/908175799.db2.gz NWQWFJZWKQWSNH-UHFFFAOYSA-N 1 2 323.400 1.686 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]([NH2+]Cc2nc(N(C)C)no2)C[C@@H]1C ZINC001283969353 908247724 /nfs/dbraw/zinc/24/77/24/908247724.db2.gz NWVPLWWPBPVHRF-QWHCGFSZSA-N 1 2 319.409 1.018 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001284120368 908505298 /nfs/dbraw/zinc/50/52/98/908505298.db2.gz YVQLYCTWIRWHEY-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)(C)C2CC2)[C@H]1C ZINC001284129411 908523107 /nfs/dbraw/zinc/52/31/07/908523107.db2.gz HIJTULOUHLMLTC-UKRRQHHQSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)C2CC2)[C@H]1C ZINC001284129411 908523110 /nfs/dbraw/zinc/52/31/10/908523110.db2.gz HIJTULOUHLMLTC-UKRRQHHQSA-N 1 2 319.449 1.141 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cc(OCC)no1 ZINC001394093164 908769379 /nfs/dbraw/zinc/76/93/79/908769379.db2.gz MRQRNVLTTMWMFQ-JTQLQIEISA-N 1 2 301.774 1.876 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cc(OCC)no1 ZINC001394093164 908769390 /nfs/dbraw/zinc/76/93/90/908769390.db2.gz MRQRNVLTTMWMFQ-JTQLQIEISA-N 1 2 301.774 1.876 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]([NH2+]Cc1ccn(C)n1)c1ccccc1 ZINC001373043825 908819538 /nfs/dbraw/zinc/81/95/38/908819538.db2.gz YTJKWQUQJJYTHB-CJNGLKHVSA-N 1 2 311.389 1.527 20 30 DDEDLO C=C(C)C[N@@H+](C)C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001284493377 909046366 /nfs/dbraw/zinc/04/63/66/909046366.db2.gz SAPAIMKMTZINDX-ZJUUUORDSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C(C)C[N@H+](C)C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001284493377 909046381 /nfs/dbraw/zinc/04/63/81/909046381.db2.gz SAPAIMKMTZINDX-ZJUUUORDSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C(C)C[N@@H+](C)C[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001284493377 909046386 /nfs/dbraw/zinc/04/63/86/909046386.db2.gz SAPAIMKMTZINDX-ZJUUUORDSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C(C)C[N@H+](C)C[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001284493377 909046402 /nfs/dbraw/zinc/04/64/02/909046402.db2.gz SAPAIMKMTZINDX-ZJUUUORDSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)C[C@H]2CCCOC2)C1 ZINC001394248178 909180829 /nfs/dbraw/zinc/18/08/29/909180829.db2.gz DSOFEQMGBOEIKY-CHWSQXEVSA-N 1 2 300.830 1.992 20 30 DDEDLO CCN(CCNC(=O)c1cc2c[nH+]ccc2[nH]1)C(=O)C#CC1CC1 ZINC001284628355 909272390 /nfs/dbraw/zinc/27/23/90/909272390.db2.gz SEQZWRQBTSPVHQ-UHFFFAOYSA-N 1 2 324.384 1.555 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001285199113 910195725 /nfs/dbraw/zinc/19/57/25/910195725.db2.gz WNDYCGXANIVHNN-CXAGYDPISA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCn2ccnn2)[C@H]1C ZINC001394698494 910329919 /nfs/dbraw/zinc/32/99/19/910329919.db2.gz YQHFHAGUUFRSPN-CHWSQXEVSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCn2ccnn2)[C@H]1C ZINC001394698494 910329926 /nfs/dbraw/zinc/32/99/26/910329926.db2.gz YQHFHAGUUFRSPN-CHWSQXEVSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001285874378 911347548 /nfs/dbraw/zinc/34/75/48/911347548.db2.gz HBLIQUGGOSZWTR-KGLIPLIRSA-N 1 2 304.394 1.203 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C[NH2+]Cc1nc(CC2CC2)no1)C1CC1 ZINC001375221103 915303039 /nfs/dbraw/zinc/30/30/39/915303039.db2.gz XRHOJEYCNWFVGP-ZWNOBZJWSA-N 1 2 317.393 1.166 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001295129909 915595817 /nfs/dbraw/zinc/59/58/17/915595817.db2.gz SEAXEUHTLDQYTL-JOCQHMNTSA-N 1 2 304.394 1.082 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(CC)CCNC(=O)Cn1cc[nH+]c1 ZINC001296329613 916345092 /nfs/dbraw/zinc/34/50/92/916345092.db2.gz GMOZGDGHQIAGHD-KBPBESRZSA-N 1 2 306.410 1.306 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CN(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC001296839917 916589954 /nfs/dbraw/zinc/58/99/54/916589954.db2.gz IBQSRVSPNWIQRZ-AWEZNQCLSA-N 1 2 318.421 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)CNC(C)=O)[C@H]1C ZINC001375661275 916629509 /nfs/dbraw/zinc/62/95/09/916629509.db2.gz CVOMCCPRSDKGHD-YPMHNXCESA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)CNC(C)=O)[C@H]1C ZINC001375661275 916629517 /nfs/dbraw/zinc/62/95/17/916629517.db2.gz CVOMCCPRSDKGHD-YPMHNXCESA-N 1 2 315.845 1.480 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@@H]([NH2+]Cc2noc(C(C)(C)C)n2)C1 ZINC001376437142 918487251 /nfs/dbraw/zinc/48/72/51/918487251.db2.gz CZDLAHKQFXHUAI-GARJFASQSA-N 1 2 305.382 1.264 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001376715099 919148748 /nfs/dbraw/zinc/14/87/48/919148748.db2.gz QPBPPWKNNYAHHP-SNVBAGLBSA-N 1 2 316.365 1.301 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)o1 ZINC001377321784 921042050 /nfs/dbraw/zinc/04/20/50/921042050.db2.gz QAGOICNTXHZYFQ-RYUDHWBXSA-N 1 2 319.409 1.508 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)o1 ZINC001377321784 921042061 /nfs/dbraw/zinc/04/20/61/921042061.db2.gz QAGOICNTXHZYFQ-RYUDHWBXSA-N 1 2 319.409 1.508 20 30 DDEDLO CCO[C@@H](C)C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001377957903 923516012 /nfs/dbraw/zinc/51/60/12/923516012.db2.gz CGLJLVVXTSYWFH-ZDUSSCGKSA-N 1 2 301.390 1.531 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1cc(O)cc(C#N)c1)[NH+]1CCOCC1 ZINC000614293955 361792447 /nfs/dbraw/zinc/79/24/47/361792447.db2.gz GGMRKHKTYXTKBT-INIZCTEOSA-N 1 2 317.389 1.350 20 30 DDEDLO CC[C@@H]1CN(CC#CC[N@H+]2C[C@@H](CC)O[C@@H](C)C2)C[C@H](C)O1 ZINC000341893286 529385057 /nfs/dbraw/zinc/38/50/57/529385057.db2.gz GWJUCRNGPWXTLB-WNRNVDISSA-N 1 2 308.466 1.988 20 30 DDEDLO CC[C@@H]1CN(CC#CC[N@@H+]2C[C@@H](CC)O[C@@H](C)C2)C[C@H](C)O1 ZINC000341893286 529385059 /nfs/dbraw/zinc/38/50/59/529385059.db2.gz GWJUCRNGPWXTLB-WNRNVDISSA-N 1 2 308.466 1.988 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1ncc(C(F)(F)F)s1 ZINC000278665939 214310865 /nfs/dbraw/zinc/31/08/65/214310865.db2.gz NRALDXFUECDPGN-UHFFFAOYSA-N 1 2 314.354 1.852 20 30 DDEDLO CC[C@@H](CCO)NC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000330863867 529463537 /nfs/dbraw/zinc/46/35/37/529463537.db2.gz NABQOHRNWWZJEP-ZDUSSCGKSA-N 1 2 306.410 1.715 20 30 DDEDLO C#CCNC(=O)N1CC[NH+](Cc2cc(OC)cc(OC)c2)CC1 ZINC000083019313 185211532 /nfs/dbraw/zinc/21/15/32/185211532.db2.gz YKLLTXFMKGRJPL-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)c1cncc(C#N)c1 ZINC000451930338 529589903 /nfs/dbraw/zinc/58/99/03/529589903.db2.gz PRTPPDSFTUYVSW-SNVBAGLBSA-N 1 2 305.363 1.209 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(C[C@@H](C#N)CCC#N)CC1 ZINC000088616155 185260063 /nfs/dbraw/zinc/26/00/63/185260063.db2.gz FENMCACPPBEKBL-HZPDHXFCSA-N 1 2 317.437 1.058 20 30 DDEDLO C[C@H](CNC(=O)NCC1CCSCC1)[NH+]1CCOCC1 ZINC000329378460 539303759 /nfs/dbraw/zinc/30/37/59/539303759.db2.gz MNCMULWCBJSPGX-GFCCVEGCSA-N 1 2 301.456 1.354 20 30 DDEDLO O=C(Nc1cccc2c1OCO2)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000329445485 539304445 /nfs/dbraw/zinc/30/44/45/539304445.db2.gz KWJNQOHCBZUNFG-LBPRGKRZSA-N 1 2 319.361 1.367 20 30 DDEDLO CCc1ncc(CN(CCC#N)CC[NH+]2CCOCC2)cn1 ZINC000414362671 529752881 /nfs/dbraw/zinc/75/28/81/529752881.db2.gz FMZSBOOZYOAZCO-UHFFFAOYSA-N 1 2 303.410 1.087 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N(C)C[C@@H]2CCC[C@@H]2O)c[nH+]1 ZINC000330463011 529778758 /nfs/dbraw/zinc/77/87/58/529778758.db2.gz ZFZMRMQFWSCNQI-KBPBESRZSA-N 1 2 306.410 1.654 20 30 DDEDLO CN1CN(C(=O)NCc2cc[nH+]c(N3CCCCC3)c2)CC1=O ZINC000329752854 529815225 /nfs/dbraw/zinc/81/52/25/529815225.db2.gz WLSPAKZJXFMOLC-UHFFFAOYSA-N 1 2 317.393 1.217 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)CC1 ZINC000615743361 362421954 /nfs/dbraw/zinc/42/19/54/362421954.db2.gz NAXVYOCEZBOREN-LSDHHAIUSA-N 1 2 315.421 1.138 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC000331006030 530020183 /nfs/dbraw/zinc/02/01/83/530020183.db2.gz WJHYHAMPHQBUGJ-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC000331006030 530020184 /nfs/dbraw/zinc/02/01/84/530020184.db2.gz WJHYHAMPHQBUGJ-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO C=CCNC(=O)CNC(=O)[C@H]1CCC[N@H+]1Cc1ccc(F)cc1 ZINC000181691126 199339588 /nfs/dbraw/zinc/33/95/88/199339588.db2.gz IAEGBDMJECDMSN-OAHLLOKOSA-N 1 2 319.380 1.209 20 30 DDEDLO C=CCNC(=O)CNC(=O)[C@H]1CCC[N@@H+]1Cc1ccc(F)cc1 ZINC000181691126 199339590 /nfs/dbraw/zinc/33/95/90/199339590.db2.gz IAEGBDMJECDMSN-OAHLLOKOSA-N 1 2 319.380 1.209 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000617449218 363117303 /nfs/dbraw/zinc/11/73/03/363117303.db2.gz HNZBOUMNXPWGTN-SOLBZPMBSA-N 1 2 316.401 1.035 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000617449218 363117307 /nfs/dbraw/zinc/11/73/07/363117307.db2.gz HNZBOUMNXPWGTN-SOLBZPMBSA-N 1 2 316.401 1.035 20 30 DDEDLO C[C@](C#N)(NC(=O)CN1CC[NH+](Cc2ccco2)CC1)C1CC1 ZINC000022849876 352180485 /nfs/dbraw/zinc/18/04/85/352180485.db2.gz CJLBRWANSQVGEX-QGZVFWFLSA-N 1 2 316.405 1.206 20 30 DDEDLO C=CCNC(=O)NC1CC[NH+](CC(=O)Nc2ccccc2)CC1 ZINC000029318935 352238250 /nfs/dbraw/zinc/23/82/50/352238250.db2.gz IANGROAIRLMXSE-UHFFFAOYSA-N 1 2 316.405 1.575 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2cccc(C)c2)CC1 ZINC000029846884 352245643 /nfs/dbraw/zinc/24/56/43/352245643.db2.gz ZYQGVRUTFZCTNF-UHFFFAOYSA-N 1 2 315.417 1.445 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2cccc(C)c2)CC1 ZINC000029846884 352245644 /nfs/dbraw/zinc/24/56/44/352245644.db2.gz ZYQGVRUTFZCTNF-UHFFFAOYSA-N 1 2 315.417 1.445 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)NCCCCn2cc[nH+]c2)c1 ZINC000044353345 352384506 /nfs/dbraw/zinc/38/45/06/352384506.db2.gz YELLHQSDAYGTGW-UHFFFAOYSA-N 1 2 304.375 1.513 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@@H](C)c1ccc(C#N)cc1 ZINC000049231278 352553152 /nfs/dbraw/zinc/55/31/52/352553152.db2.gz AEQSWFZDIIFXJV-KBPBESRZSA-N 1 2 316.405 1.639 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C)CC(=O)N(CCC#N)c1ccccc1 ZINC000051791774 352593817 /nfs/dbraw/zinc/59/38/17/352593817.db2.gz NNTHULHZCRBRCQ-UHFFFAOYSA-N 1 2 316.405 1.343 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C)CC(=O)N(CCC#N)c1ccccc1 ZINC000051791774 352593820 /nfs/dbraw/zinc/59/38/20/352593820.db2.gz NNTHULHZCRBRCQ-UHFFFAOYSA-N 1 2 316.405 1.343 20 30 DDEDLO COc1ccnc(N2CC[NH+](Cc3ccccc3C#N)CC2)n1 ZINC000049981687 352559809 /nfs/dbraw/zinc/55/98/09/352559809.db2.gz VMVMXKXMRUPEKQ-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2csc(C(C)C)n2)CC1 ZINC000051148207 352579421 /nfs/dbraw/zinc/57/94/21/352579421.db2.gz LMWNOEZUOMSJDO-UHFFFAOYSA-N 1 2 322.478 1.686 20 30 DDEDLO CN(C(=O)C[N@H+](C)CC(=O)N1CCCC1)C1(C#N)CCCCC1 ZINC000052797311 352625550 /nfs/dbraw/zinc/62/55/50/352625550.db2.gz JMXCCKZMFRQEBN-UHFFFAOYSA-N 1 2 320.437 1.225 20 30 DDEDLO CN(C(=O)C[N@@H+](C)CC(=O)N1CCCC1)C1(C#N)CCCCC1 ZINC000052797311 352625553 /nfs/dbraw/zinc/62/55/53/352625553.db2.gz JMXCCKZMFRQEBN-UHFFFAOYSA-N 1 2 320.437 1.225 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(Cc2ccccn2)CC1 ZINC000054187085 352682687 /nfs/dbraw/zinc/68/26/87/352682687.db2.gz XJTXUKFRZAZJGB-UHFFFAOYSA-N 1 2 314.433 1.400 20 30 DDEDLO C=CCN(CC=C)C(=O)CN1CC[NH+](Cc2ccccn2)CC1 ZINC000054187085 352682690 /nfs/dbraw/zinc/68/26/90/352682690.db2.gz XJTXUKFRZAZJGB-UHFFFAOYSA-N 1 2 314.433 1.400 20 30 DDEDLO COc1ccc(CNC(=O)C[NH+]2CCC(C#N)CC2)cc1OC ZINC000057907165 352834511 /nfs/dbraw/zinc/83/45/11/352834511.db2.gz ZYHZGSJOFMZMGU-UHFFFAOYSA-N 1 2 317.389 1.556 20 30 DDEDLO C=CCc1ccc(OC[C@@H](O)C[N@@H+](C)CC(=O)OC)c(OC)c1 ZINC000060846132 352880910 /nfs/dbraw/zinc/88/09/10/352880910.db2.gz DTVWCKCFUOTOTN-AWEZNQCLSA-N 1 2 323.389 1.268 20 30 DDEDLO C=CCc1ccc(OC[C@@H](O)C[N@H+](C)CC(=O)OC)c(OC)c1 ZINC000060846132 352880911 /nfs/dbraw/zinc/88/09/11/352880911.db2.gz DTVWCKCFUOTOTN-AWEZNQCLSA-N 1 2 323.389 1.268 20 30 DDEDLO N#Cc1ccc(NCC(=O)NCCc2cn3ccccc3[nH+]2)cc1 ZINC000064722948 352942434 /nfs/dbraw/zinc/94/24/34/352942434.db2.gz AMTIBHRITIWQIP-UHFFFAOYSA-N 1 2 319.368 1.977 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@@H](O)C[N@@H+](C)C[C@H](C)C#N)cc1 ZINC000064798493 352944021 /nfs/dbraw/zinc/94/40/21/352944021.db2.gz TZHIZJPLUVRRIW-DOMZBBRYSA-N 1 2 305.378 1.476 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@@H](O)C[N@H+](C)C[C@H](C)C#N)cc1 ZINC000064798493 352944023 /nfs/dbraw/zinc/94/40/23/352944023.db2.gz TZHIZJPLUVRRIW-DOMZBBRYSA-N 1 2 305.378 1.476 20 30 DDEDLO Cn1ccnc1C[NH2+][C@@H]1CCN(Cc2ccc(C#N)cc2)C1=O ZINC000277144135 213323292 /nfs/dbraw/zinc/32/32/92/213323292.db2.gz DYJHRYLLLGGGAB-OAHLLOKOSA-N 1 2 309.373 1.182 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(F)c(F)c2)CC1 ZINC000073022556 353233123 /nfs/dbraw/zinc/23/31/23/353233123.db2.gz YQYVWPSOVGRAFG-UHFFFAOYSA-N 1 2 309.360 1.385 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CC1(O)CCCCC1 ZINC000074250337 353302789 /nfs/dbraw/zinc/30/27/89/353302789.db2.gz NIIPQEOKDVCKKB-UHFFFAOYSA-N 1 2 323.437 1.146 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@@H]1CCC[N@H+]1CCN1C(=O)CNC1=O ZINC000076153401 353401996 /nfs/dbraw/zinc/40/19/96/353401996.db2.gz HENDCXOTADRQAH-CABCVRRESA-N 1 2 312.373 1.310 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@@H]1CCC[N@@H+]1CCN1C(=O)CNC1=O ZINC000076153401 353401999 /nfs/dbraw/zinc/40/19/99/353401999.db2.gz HENDCXOTADRQAH-CABCVRRESA-N 1 2 312.373 1.310 20 30 DDEDLO CC(C)Oc1ccc(C#N)cc1NC(=O)[C@H]1C[N@H+](C)CCO1 ZINC000174744310 198379214 /nfs/dbraw/zinc/37/92/14/198379214.db2.gz UOAHGMJZEFKSOL-OAHLLOKOSA-N 1 2 303.362 1.615 20 30 DDEDLO CC(C)Oc1ccc(C#N)cc1NC(=O)[C@H]1C[N@@H+](C)CCO1 ZINC000174744310 198379216 /nfs/dbraw/zinc/37/92/16/198379216.db2.gz UOAHGMJZEFKSOL-OAHLLOKOSA-N 1 2 303.362 1.615 20 30 DDEDLO CCc1nc(CN2CC[NH+](Cc3ccccc3C#N)CC2)no1 ZINC000174840371 198392503 /nfs/dbraw/zinc/39/25/03/198392503.db2.gz RJKWYLLTJJJHMV-UHFFFAOYSA-N 1 2 311.389 1.821 20 30 DDEDLO COC(=O)[C@](C)([NH2+]CCC(=O)Nc1ccc(C#N)cc1)C1CC1 ZINC000081210541 353656736 /nfs/dbraw/zinc/65/67/36/353656736.db2.gz WKABTKWYECJDLL-QGZVFWFLSA-N 1 2 315.373 1.818 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)Nc2nncn2Cc2ccccc2)C1 ZINC000081418094 353671780 /nfs/dbraw/zinc/67/17/80/353671780.db2.gz XQFHTSBDESXLLA-ZDUSSCGKSA-N 1 2 301.350 1.245 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)Nc2nncn2Cc2ccccc2)C1 ZINC000081418094 353671784 /nfs/dbraw/zinc/67/17/84/353671784.db2.gz XQFHTSBDESXLLA-ZDUSSCGKSA-N 1 2 301.350 1.245 20 30 DDEDLO CC(C)NS(=O)(=O)[C@@H]1CC[N@H+](Cc2cc(C#N)cs2)C1 ZINC000091898394 353839133 /nfs/dbraw/zinc/83/91/33/353839133.db2.gz KDBWQKKDOKAISV-CYBMUJFWSA-N 1 2 313.448 1.522 20 30 DDEDLO CC(C)NS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cc(C#N)cs2)C1 ZINC000091898394 353839135 /nfs/dbraw/zinc/83/91/35/353839135.db2.gz KDBWQKKDOKAISV-CYBMUJFWSA-N 1 2 313.448 1.522 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](CCOc2cccc(C#N)c2)C[C@@H](C)O1 ZINC000123873278 354034855 /nfs/dbraw/zinc/03/48/55/354034855.db2.gz PSQZGLSDBJLIHE-DOMZBBRYSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](CCOc2cccc(C#N)c2)C[C@@H](C)O1 ZINC000123873278 354034860 /nfs/dbraw/zinc/03/48/60/354034860.db2.gz PSQZGLSDBJLIHE-DOMZBBRYSA-N 1 2 304.346 1.199 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCOC4(CCC4)C3)C[C@H]21 ZINC000330219072 283000193 /nfs/dbraw/zinc/00/01/93/283000193.db2.gz JWQAAYMAFGSFQJ-MGPQQGTHSA-N 1 2 309.410 1.017 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCOC4(CCC4)C3)C[C@H]21 ZINC000330219072 283000196 /nfs/dbraw/zinc/00/01/96/283000196.db2.gz JWQAAYMAFGSFQJ-MGPQQGTHSA-N 1 2 309.410 1.017 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000182562350 354272890 /nfs/dbraw/zinc/27/28/90/354272890.db2.gz PZWDVQOLVFMENX-GOEBONIOSA-N 1 2 316.405 1.519 20 30 DDEDLO CCC(=O)N[C@@H]1CCCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000329008879 222919576 /nfs/dbraw/zinc/91/95/76/222919576.db2.gz YYYRCNKUEFZYKV-QWHCGFSZSA-N 1 2 304.394 1.803 20 30 DDEDLO N#Cc1ccc(CN2CCC[C@H]([NH+]3CCOCC3)C2)cc1F ZINC000404072955 354673143 /nfs/dbraw/zinc/67/31/43/354673143.db2.gz MIERAFLASLQZAX-INIZCTEOSA-N 1 2 303.381 1.994 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(Br)o1 ZINC000584695659 354746201 /nfs/dbraw/zinc/74/62/01/354746201.db2.gz WRIZVCFSUJAMHV-LLVKDONJSA-N 1 2 300.156 1.616 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1F ZINC000589908692 355031083 /nfs/dbraw/zinc/03/10/83/355031083.db2.gz XRYUMSOSAJLKCP-NSHDSACASA-N 1 2 320.349 1.187 20 30 DDEDLO N#Cc1nccc(N2CC[NH+](Cc3cn4ccccc4n3)CC2)n1 ZINC000590572614 355117484 /nfs/dbraw/zinc/11/74/84/355117484.db2.gz NHEUMSZRZBMALH-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(CCc3cn[nH]n3)CC2)cc1 ZINC000590603411 355127982 /nfs/dbraw/zinc/12/79/82/355127982.db2.gz WDWGLPQOIOLFTD-UHFFFAOYSA-N 1 2 310.405 1.427 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(CCc3cn[nH]n3)CC2)cc1 ZINC000590603411 355127985 /nfs/dbraw/zinc/12/79/85/355127985.db2.gz WDWGLPQOIOLFTD-UHFFFAOYSA-N 1 2 310.405 1.427 20 30 DDEDLO N#Cc1ccc(CN2CCC[N@H+](CCc3cn[nH]n3)CC2)cc1 ZINC000590603411 355127986 /nfs/dbraw/zinc/12/79/86/355127986.db2.gz WDWGLPQOIOLFTD-UHFFFAOYSA-N 1 2 310.405 1.427 20 30 DDEDLO N#Cc1ccc(CN2CCC[N@@H+](CCc3cn[nH]n3)CC2)cc1 ZINC000590603411 355127989 /nfs/dbraw/zinc/12/79/89/355127989.db2.gz WDWGLPQOIOLFTD-UHFFFAOYSA-N 1 2 310.405 1.427 20 30 DDEDLO N#CC[C@@H]1CC[C@H](Nc2nc(NCCO)c3ccccc3[nH+]2)C1 ZINC000590711718 355154902 /nfs/dbraw/zinc/15/49/02/355154902.db2.gz OCGLLZXKQVZQCQ-STQMWFEESA-N 1 2 311.389 1.372 20 30 DDEDLO COC[C@]1(C(N)=O)CCC[N@@H+]1Cc1ccc(C#N)cc1Cl ZINC000591647634 355351829 /nfs/dbraw/zinc/35/18/29/355351829.db2.gz SJHIFQXSYQJXNM-HNNXBMFYSA-N 1 2 307.781 1.678 20 30 DDEDLO COC[C@]1(C(N)=O)CCC[N@H+]1Cc1ccc(C#N)cc1Cl ZINC000591647634 355351835 /nfs/dbraw/zinc/35/18/35/355351835.db2.gz SJHIFQXSYQJXNM-HNNXBMFYSA-N 1 2 307.781 1.678 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccn(Cc2ccccc2)n1 ZINC000593154945 355813784 /nfs/dbraw/zinc/81/37/84/355813784.db2.gz PRJJCDXZZCCFST-QGZVFWFLSA-N 1 2 311.389 1.505 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)c1ccsc1C#N ZINC000593610526 355941867 /nfs/dbraw/zinc/94/18/67/355941867.db2.gz UKQGHOAVIAJCLF-VIFPVBQESA-N 1 2 310.404 1.876 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1C[C@@H]1C1CCCC1 ZINC000593444788 355887430 /nfs/dbraw/zinc/88/74/30/355887430.db2.gz YVDNDKYENHSBHL-IAGOWNOFSA-N 1 2 319.449 1.887 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(C(=O)CCCCC#N)CC1 ZINC000594219563 356142689 /nfs/dbraw/zinc/14/26/89/356142689.db2.gz OGMRWFVNSHZNJJ-UHFFFAOYSA-N 1 2 308.426 1.083 20 30 DDEDLO C[N@@H+](Cc1ncc[nH]1)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000595402416 356480010 /nfs/dbraw/zinc/48/00/10/356480010.db2.gz ZCQQTFPIJWKAJG-AWEZNQCLSA-N 1 2 300.362 1.347 20 30 DDEDLO C[N@H+](Cc1ncc[nH]1)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000595402416 356480013 /nfs/dbraw/zinc/48/00/13/356480013.db2.gz ZCQQTFPIJWKAJG-AWEZNQCLSA-N 1 2 300.362 1.347 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000595402416 356480016 /nfs/dbraw/zinc/48/00/16/356480016.db2.gz ZCQQTFPIJWKAJG-AWEZNQCLSA-N 1 2 300.362 1.347 20 30 DDEDLO N#CC[C@@H]1CC[C@H](NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)C1 ZINC000595640788 356588975 /nfs/dbraw/zinc/58/89/75/356588975.db2.gz SPOQKVCESIBBKR-SOUVJXGZSA-N 1 2 306.410 1.185 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)C[C@H]1COCCO1 ZINC000595746037 356633748 /nfs/dbraw/zinc/63/37/48/356633748.db2.gz ZSVRPQVUTJWOTM-ZDUSSCGKSA-N 1 2 323.780 1.497 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)C[C@H]1COCCO1 ZINC000595746037 356633751 /nfs/dbraw/zinc/63/37/51/356633751.db2.gz ZSVRPQVUTJWOTM-ZDUSSCGKSA-N 1 2 323.780 1.497 20 30 DDEDLO CCc1nc(C#N)cc(N2CC[C@@H]([NH+]3CCN(CC)CC3)C2)n1 ZINC000596120944 356780212 /nfs/dbraw/zinc/78/02/12/356780212.db2.gz FSIAWJRNPDSYTN-OAHLLOKOSA-N 1 2 314.437 1.127 20 30 DDEDLO CC1(O)CCN(c2cc(N3CCC(C)(C#N)CC3)[nH+]cn2)CC1 ZINC000596190370 356807113 /nfs/dbraw/zinc/80/71/13/356807113.db2.gz YKGZUGMSPMJRMF-UHFFFAOYSA-N 1 2 315.421 1.958 20 30 DDEDLO CC1(O)CCN(c2cc(N3CCC(C)(C#N)CC3)nc[nH+]2)CC1 ZINC000596190370 356807114 /nfs/dbraw/zinc/80/71/14/356807114.db2.gz YKGZUGMSPMJRMF-UHFFFAOYSA-N 1 2 315.421 1.958 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCC2(C#N)CCCC2)[C@@H](C)CO1 ZINC000596643857 356940079 /nfs/dbraw/zinc/94/00/79/356940079.db2.gz NBESDVXFWUXSQQ-KBPBESRZSA-N 1 2 308.426 1.479 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCC2(C#N)CCCC2)[C@@H](C)CO1 ZINC000596643857 356940082 /nfs/dbraw/zinc/94/00/82/356940082.db2.gz NBESDVXFWUXSQQ-KBPBESRZSA-N 1 2 308.426 1.479 20 30 DDEDLO N#CC1(CNC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CCCC1 ZINC000596643915 356940349 /nfs/dbraw/zinc/94/03/49/356940349.db2.gz OSEYGRDKMCKDCG-AWEZNQCLSA-N 1 2 306.410 1.186 20 30 DDEDLO N#CC1(CC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)CCOCC1 ZINC000597135760 357070139 /nfs/dbraw/zinc/07/01/39/357070139.db2.gz OSRYOZWXPYWPKZ-AWEZNQCLSA-N 1 2 302.378 1.757 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC[C@@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000597640440 357264828 /nfs/dbraw/zinc/26/48/28/357264828.db2.gz KNMXQKVDJDYACM-SWLSCSKDSA-N 1 2 305.382 1.338 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC[C@@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000597640440 357264832 /nfs/dbraw/zinc/26/48/32/357264832.db2.gz KNMXQKVDJDYACM-SWLSCSKDSA-N 1 2 305.382 1.338 20 30 DDEDLO CCN(C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)[C@@H](C)C#N ZINC000598363673 357552177 /nfs/dbraw/zinc/55/21/77/357552177.db2.gz SUVVEPGICZFCLW-GXTWGEPZSA-N 1 2 319.409 1.244 20 30 DDEDLO CCN(C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)[C@@H](C)C#N ZINC000598363673 357552179 /nfs/dbraw/zinc/55/21/79/357552179.db2.gz SUVVEPGICZFCLW-GXTWGEPZSA-N 1 2 319.409 1.244 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1C[N@H+](C[C@@H](O)CC(C)(C)C#N)CCO1 ZINC000598594706 357645348 /nfs/dbraw/zinc/64/53/48/357645348.db2.gz IERJLLPPEDNFOQ-QWHCGFSZSA-N 1 2 312.410 1.330 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1C[N@@H+](C[C@@H](O)CC(C)(C)C#N)CCO1 ZINC000598594706 357645354 /nfs/dbraw/zinc/64/53/54/357645354.db2.gz IERJLLPPEDNFOQ-QWHCGFSZSA-N 1 2 312.410 1.330 20 30 DDEDLO COCc1n[nH]c([C@H]2CCCC[N@@H+]2C[C@@H](O)CC(C)(C)C#N)n1 ZINC000598617047 357655691 /nfs/dbraw/zinc/65/56/91/357655691.db2.gz PDLOPHZYCOHHSV-QWHCGFSZSA-N 1 2 321.425 1.779 20 30 DDEDLO COCc1n[nH]c([C@H]2CCCC[N@H+]2C[C@@H](O)CC(C)(C)C#N)n1 ZINC000598617047 357655694 /nfs/dbraw/zinc/65/56/94/357655694.db2.gz PDLOPHZYCOHHSV-QWHCGFSZSA-N 1 2 321.425 1.779 20 30 DDEDLO COCc1n[nH]c([C@@H]2CCCC[N@@H+]2C[C@@H](O)CC(C)(C)C#N)n1 ZINC000598617051 357655786 /nfs/dbraw/zinc/65/57/86/357655786.db2.gz PDLOPHZYCOHHSV-STQMWFEESA-N 1 2 321.425 1.779 20 30 DDEDLO COCc1n[nH]c([C@@H]2CCCC[N@H+]2C[C@@H](O)CC(C)(C)C#N)n1 ZINC000598617051 357655790 /nfs/dbraw/zinc/65/57/90/357655790.db2.gz PDLOPHZYCOHHSV-STQMWFEESA-N 1 2 321.425 1.779 20 30 DDEDLO COCCn1cc(NC(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)cn1 ZINC000329718132 223017190 /nfs/dbraw/zinc/01/71/90/223017190.db2.gz YIGOGBXYGWYRMT-GFCCVEGCSA-N 1 2 304.354 1.635 20 30 DDEDLO N#Cc1cnc(C(=O)N[C@@H]2CCn3c[nH+]cc3C2)c(Cl)c1 ZINC000598945874 357769703 /nfs/dbraw/zinc/76/97/03/357769703.db2.gz DAJGPBPRHYGBLN-SNVBAGLBSA-N 1 2 301.737 1.548 20 30 DDEDLO C[C@H](NC(=O)NC[C@@H]1COCC[N@@H+]1C)c1cccc(C#N)c1 ZINC000598998234 357788154 /nfs/dbraw/zinc/78/81/54/357788154.db2.gz IMTHAJGQBJSGKZ-SWLSCSKDSA-N 1 2 302.378 1.249 20 30 DDEDLO C[C@H](NC(=O)NC[C@@H]1COCC[N@H+]1C)c1cccc(C#N)c1 ZINC000598998234 357788162 /nfs/dbraw/zinc/78/81/62/357788162.db2.gz IMTHAJGQBJSGKZ-SWLSCSKDSA-N 1 2 302.378 1.249 20 30 DDEDLO Cc1cc(NC(=O)[C@@H]2CCn3cc(C)[nH+]c3C2)n(CCC#N)n1 ZINC000599145074 357828553 /nfs/dbraw/zinc/82/85/53/357828553.db2.gz OHANTLHJLQTLKC-CYBMUJFWSA-N 1 2 312.377 1.811 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000599184081 357842712 /nfs/dbraw/zinc/84/27/12/357842712.db2.gz YAFRGUUASFYKPU-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000599184081 357842715 /nfs/dbraw/zinc/84/27/15/357842715.db2.gz YAFRGUUASFYKPU-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO CCOC(=O)C[N@H+](C[C@H](O)COc1ccccc1C#N)C1CC1 ZINC000599280465 357872761 /nfs/dbraw/zinc/87/27/61/357872761.db2.gz AOAPTTRWQKBZCV-HNNXBMFYSA-N 1 2 318.373 1.325 20 30 DDEDLO CCOC(=O)C[N@@H+](C[C@H](O)COc1ccccc1C#N)C1CC1 ZINC000599280465 357872765 /nfs/dbraw/zinc/87/27/65/357872765.db2.gz AOAPTTRWQKBZCV-HNNXBMFYSA-N 1 2 318.373 1.325 20 30 DDEDLO C[C@]1(C#N)CC[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)C1 ZINC000599475189 357949798 /nfs/dbraw/zinc/94/97/98/357949798.db2.gz IXUIMKKINGVQEF-GOSISDBHSA-N 1 2 307.353 1.522 20 30 DDEDLO C[C@]1(C#N)CC[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)C1 ZINC000599475189 357949803 /nfs/dbraw/zinc/94/98/03/357949803.db2.gz IXUIMKKINGVQEF-GOSISDBHSA-N 1 2 307.353 1.522 20 30 DDEDLO CC(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000599700473 358031165 /nfs/dbraw/zinc/03/11/65/358031165.db2.gz GWMXIFDOULSIDZ-HOCLYGCPSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000599700473 358031169 /nfs/dbraw/zinc/03/11/69/358031169.db2.gz GWMXIFDOULSIDZ-HOCLYGCPSA-N 1 2 308.426 1.031 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)Nc1ccc(C#N)cc1Cl ZINC000599755889 358048515 /nfs/dbraw/zinc/04/85/15/358048515.db2.gz LTQNZJVUNLARJU-NSHDSACASA-N 1 2 308.769 1.664 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)Nc1ccc(C#N)cc1Cl ZINC000599755889 358048518 /nfs/dbraw/zinc/04/85/18/358048518.db2.gz LTQNZJVUNLARJU-NSHDSACASA-N 1 2 308.769 1.664 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(C#N)c(OC)c2)C[C@@H](C)O1 ZINC000600199105 358155010 /nfs/dbraw/zinc/15/50/10/358155010.db2.gz MVYKOKAVFRIEDL-ABAIWWIYSA-N 1 2 304.346 1.329 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(C#N)c(OC)c2)C[C@@H](C)O1 ZINC000600199105 358155014 /nfs/dbraw/zinc/15/50/14/358155014.db2.gz MVYKOKAVFRIEDL-ABAIWWIYSA-N 1 2 304.346 1.329 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000052246783 283367651 /nfs/dbraw/zinc/36/76/51/283367651.db2.gz HJCSPGTWZAIXPL-INIZCTEOSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000052246783 283367652 /nfs/dbraw/zinc/36/76/52/283367652.db2.gz HJCSPGTWZAIXPL-INIZCTEOSA-N 1 2 308.426 1.033 20 30 DDEDLO C[C@@H](CNC(=O)C1(C#N)CC2(CC2)C1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000601657445 358641479 /nfs/dbraw/zinc/64/14/79/358641479.db2.gz NAFXFBCRGVDYBI-MELADBBJSA-N 1 2 305.422 1.684 20 30 DDEDLO C[C@@H](CNC(=O)C1(C#N)CC2(CC2)C1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000601657445 358641485 /nfs/dbraw/zinc/64/14/85/358641485.db2.gz NAFXFBCRGVDYBI-MELADBBJSA-N 1 2 305.422 1.684 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)[C@@H]3CCn4c[nH+]cc4C3)C2)c1 ZINC000601675684 358649474 /nfs/dbraw/zinc/64/94/74/358649474.db2.gz AAJPLATWAWYMSG-CQSZACIVSA-N 1 2 321.384 1.640 20 30 DDEDLO N#Cc1ccncc1C(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000601726633 358665798 /nfs/dbraw/zinc/66/57/98/358665798.db2.gz DFTPCDBOUYJQAK-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCO[C@@H](C(F)F)CC2)c1 ZINC000601977867 358763902 /nfs/dbraw/zinc/76/39/02/358763902.db2.gz UZESBKSNJGDYIG-CYBMUJFWSA-N 1 2 309.316 1.853 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCO[C@@H](C(F)F)CC2)c1 ZINC000601977867 358763906 /nfs/dbraw/zinc/76/39/06/358763906.db2.gz UZESBKSNJGDYIG-CYBMUJFWSA-N 1 2 309.316 1.853 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](CCc3cnccn3)CC2)CCCC1 ZINC000601892232 358730130 /nfs/dbraw/zinc/73/01/30/358730130.db2.gz FNYSCYFPAMROON-UHFFFAOYSA-N 1 2 313.405 1.247 20 30 DDEDLO COc1cc(C)nc(N2CC[NH+](Cc3cccc(C#N)n3)CC2)n1 ZINC000602468591 358998714 /nfs/dbraw/zinc/99/87/14/358998714.db2.gz WADWEPPFHDUOBM-UHFFFAOYSA-N 1 2 324.388 1.383 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C(=O)OCC)no2)C1=O ZINC000602649641 359100321 /nfs/dbraw/zinc/10/03/21/359100321.db2.gz XDCDTFLGSFMMOA-CYBMUJFWSA-N 1 2 307.350 1.070 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C(=O)OCC)no2)C1=O ZINC000602649641 359100325 /nfs/dbraw/zinc/10/03/25/359100325.db2.gz XDCDTFLGSFMMOA-CYBMUJFWSA-N 1 2 307.350 1.070 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3cnccc3C#N)CC2)s1 ZINC000602671853 359117240 /nfs/dbraw/zinc/11/72/40/359117240.db2.gz KTKVMNMKLXYUIZ-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO N#CCC1(C[N@@H+](C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000602793546 359196625 /nfs/dbraw/zinc/19/66/25/359196625.db2.gz AGKXVLMKTQCYCS-UONOGXRCSA-N 1 2 312.435 1.348 20 30 DDEDLO N#CCC1(C[N@H+](C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000602793546 359196628 /nfs/dbraw/zinc/19/66/28/359196628.db2.gz AGKXVLMKTQCYCS-UONOGXRCSA-N 1 2 312.435 1.348 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+](Cc2ccc(S(N)(=O)=O)cc2F)C1 ZINC000602709454 359139739 /nfs/dbraw/zinc/13/97/39/359139739.db2.gz UDAAIJUOZJCZAU-LLVKDONJSA-N 1 2 311.382 1.599 20 30 DDEDLO N#CC[C@H]1CCC[N@H+](Cc2ccc(S(N)(=O)=O)cc2F)C1 ZINC000602709454 359139741 /nfs/dbraw/zinc/13/97/41/359139741.db2.gz UDAAIJUOZJCZAU-LLVKDONJSA-N 1 2 311.382 1.599 20 30 DDEDLO Cc1nn(-c2ccccn2)c(C)c1C[NH+]1CCN(CCC#N)CC1 ZINC000602748668 359166146 /nfs/dbraw/zinc/16/61/46/359166146.db2.gz PFJWIIKABDBQDQ-UHFFFAOYSA-N 1 2 324.432 1.915 20 30 DDEDLO COc1cc(OC)c(C[NH+]2CCN(CCC#N)CC2)cc1F ZINC000602748894 359166497 /nfs/dbraw/zinc/16/64/97/359166497.db2.gz VOHHXEGLQDWLKG-UHFFFAOYSA-N 1 2 307.369 1.874 20 30 DDEDLO N#Cc1ccccc1N1CC[NH+]([C@@H]2CCN(C3CCC3)C2=O)CC1 ZINC000602770814 359177626 /nfs/dbraw/zinc/17/76/26/359177626.db2.gz YGEAZFOPYPDPTR-GOSISDBHSA-N 1 2 324.428 1.834 20 30 DDEDLO COC(=O)c1oc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)cc1C ZINC000602854548 359241418 /nfs/dbraw/zinc/24/14/18/359241418.db2.gz CPBWZCLEUGTSIW-CHWSQXEVSA-N 1 2 305.378 1.793 20 30 DDEDLO CCN(Cc1ccc(OC)c(OC)c1)C(=O)C[NH2+][C@@H](C)CC#N ZINC000602860725 359246357 /nfs/dbraw/zinc/24/63/57/359246357.db2.gz DQRLMVOZMPMDRW-ZDUSSCGKSA-N 1 2 319.405 1.944 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@@H](C)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000602869306 359253418 /nfs/dbraw/zinc/25/34/18/359253418.db2.gz NHRAAPSBSIBCPV-FRRDWIJNSA-N 1 2 303.362 1.223 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@](C)(C(=O)OCC)C1 ZINC000603002578 359349591 /nfs/dbraw/zinc/34/95/91/359349591.db2.gz ILTBZJZZFZJCBL-YOEHRIQHSA-N 1 2 324.421 1.230 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@](C)(C(=O)OCC)C1 ZINC000603002578 359349594 /nfs/dbraw/zinc/34/95/94/359349594.db2.gz ILTBZJZZFZJCBL-YOEHRIQHSA-N 1 2 324.421 1.230 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2ccnc(C#N)c2)CCO1 ZINC000603127493 359421398 /nfs/dbraw/zinc/42/13/98/359421398.db2.gz GYMAWXRTSTYLMU-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2ccnc(C#N)c2)CCO1 ZINC000603127493 359421401 /nfs/dbraw/zinc/42/14/01/359421401.db2.gz GYMAWXRTSTYLMU-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cc(F)cc(C#N)c1 ZINC000603082834 359393109 /nfs/dbraw/zinc/39/31/09/359393109.db2.gz UMGMVTJYMZMTOC-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)Nc1cc(F)cc(C#N)c1 ZINC000603082834 359393111 /nfs/dbraw/zinc/39/31/11/359393111.db2.gz UMGMVTJYMZMTOC-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@H+](Cc1ccc(C#N)cc1F)C2 ZINC000281020078 216026295 /nfs/dbraw/zinc/02/62/95/216026295.db2.gz OGNSPLVNCHGHNN-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@@H+](Cc1ccc(C#N)cc1F)C2 ZINC000281020078 216026300 /nfs/dbraw/zinc/02/63/00/216026300.db2.gz OGNSPLVNCHGHNN-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO [O-]C(=[NH+]CCc1cn2c([nH+]1)CCCC2)N1CCn2ccnc2C1 ZINC000329822185 223034722 /nfs/dbraw/zinc/03/47/22/223034722.db2.gz HHZLARQMPHJROR-UHFFFAOYSA-N 1 2 314.393 1.388 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)N1CCn2ccnc2C1 ZINC000329822185 223034726 /nfs/dbraw/zinc/03/47/26/223034726.db2.gz HHZLARQMPHJROR-UHFFFAOYSA-N 1 2 314.393 1.388 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(C#N)c(O)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000188450407 200248105 /nfs/dbraw/zinc/24/81/05/200248105.db2.gz RRFNKKMOORAHFN-VXGBXAGGSA-N 1 2 303.362 1.103 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@H]2NC(=O)NCC[NH+]1CCOCC1 ZINC000618630636 363695842 /nfs/dbraw/zinc/69/58/42/363695842.db2.gz KNUXWWFRQKBWJR-MRXNPFEDSA-N 1 2 314.389 1.177 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)c1c[nH]c2cccnc12 ZINC000329871008 223040368 /nfs/dbraw/zinc/04/03/68/223040368.db2.gz AKMKBGULPKOAGH-GFCCVEGCSA-N 1 2 301.394 1.503 20 30 DDEDLO N#CCNC(=O)C[NH+]1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000609417595 360306721 /nfs/dbraw/zinc/30/67/21/360306721.db2.gz AOGVDPLCCHZJFX-YUMQZZPRSA-N 1 2 317.233 1.689 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@@H](C)C(=O)N2CCCCCC2)CC1 ZINC000609484381 360312247 /nfs/dbraw/zinc/31/22/47/360312247.db2.gz BFYNVNLZCJRDFA-DLBZAZTESA-N 1 2 320.481 1.943 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)NC(=O)Nc1ccccc1 ZINC000610511718 360457380 /nfs/dbraw/zinc/45/73/80/360457380.db2.gz MRHSALINFGCOOL-UHFFFAOYSA-N 1 2 318.377 1.587 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)NC(=O)Nc1ccccc1 ZINC000610511718 360457386 /nfs/dbraw/zinc/45/73/86/360457386.db2.gz MRHSALINFGCOOL-UHFFFAOYSA-N 1 2 318.377 1.587 20 30 DDEDLO CCn1ncnc1CNC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000330027767 223065014 /nfs/dbraw/zinc/06/50/14/223065014.db2.gz NNFWCNOIMMKUMT-LLVKDONJSA-N 1 2 303.370 1.315 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)ccn1 ZINC000610992612 360593602 /nfs/dbraw/zinc/59/36/02/360593602.db2.gz VBJOSDSBAOPLJL-MRXNPFEDSA-N 1 2 314.389 1.167 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)ccn1 ZINC000610992612 360593605 /nfs/dbraw/zinc/59/36/05/360593605.db2.gz VBJOSDSBAOPLJL-MRXNPFEDSA-N 1 2 314.389 1.167 20 30 DDEDLO C[C@@H](C(=O)NCc1ccc(F)cc1)[NH+]1CCN(CCC#N)CC1 ZINC000611173841 360646532 /nfs/dbraw/zinc/64/65/32/360646532.db2.gz NKMKOJLHPUUBDR-AWEZNQCLSA-N 1 2 318.396 1.362 20 30 DDEDLO N#CCCN1CC[NH+](Cc2cnn(Cc3ccccc3)c2)CC1 ZINC000611176029 360649335 /nfs/dbraw/zinc/64/93/35/360649335.db2.gz RFCHFVNKJMYMCP-UHFFFAOYSA-N 1 2 309.417 1.963 20 30 DDEDLO COCC[N@H+](CCC#N)Cc1cccc(NS(C)(=O)=O)c1 ZINC000611268792 360684013 /nfs/dbraw/zinc/68/40/13/360684013.db2.gz YSWSYKZQTWKEJR-UHFFFAOYSA-N 1 2 311.407 1.420 20 30 DDEDLO COCC[N@@H+](CCC#N)Cc1cccc(NS(C)(=O)=O)c1 ZINC000611268792 360684016 /nfs/dbraw/zinc/68/40/16/360684016.db2.gz YSWSYKZQTWKEJR-UHFFFAOYSA-N 1 2 311.407 1.420 20 30 DDEDLO CS(=O)(=O)C1CCN(Cc2c[nH+]c3ccc(C#N)cn23)CC1 ZINC000611273929 360687013 /nfs/dbraw/zinc/68/70/13/360687013.db2.gz DOESWVSTUATUCV-UHFFFAOYSA-N 1 2 318.402 1.215 20 30 DDEDLO COc1ccc(C[N@H+](C)[C@@H](C(N)=O)c2ccc(C#N)cc2)cn1 ZINC000611209160 360660397 /nfs/dbraw/zinc/66/03/97/360660397.db2.gz KBBXNUSJWVJJEU-MRXNPFEDSA-N 1 2 310.357 1.620 20 30 DDEDLO COc1ccc(C[N@@H+](C)[C@@H](C(N)=O)c2ccc(C#N)cc2)cn1 ZINC000611209160 360660401 /nfs/dbraw/zinc/66/04/01/360660401.db2.gz KBBXNUSJWVJJEU-MRXNPFEDSA-N 1 2 310.357 1.620 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)Cc1csc2nccn12 ZINC000330175283 223083001 /nfs/dbraw/zinc/08/30/01/223083001.db2.gz ZPOWOUNFKCJOJJ-LLVKDONJSA-N 1 2 308.407 1.616 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)Cc1csc2nccn12 ZINC000330175283 223083004 /nfs/dbraw/zinc/08/30/04/223083004.db2.gz ZPOWOUNFKCJOJJ-LLVKDONJSA-N 1 2 308.407 1.616 20 30 DDEDLO CC(C)(CNC(=O)N1CCC[C@@](C)(C#N)C1)[NH+]1CCOCC1 ZINC000612090484 360915977 /nfs/dbraw/zinc/91/59/77/360915977.db2.gz WFCNLXZYIKVLJS-INIZCTEOSA-N 1 2 308.426 1.432 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)N1CCC[C@H]1CO ZINC000330815362 223146459 /nfs/dbraw/zinc/14/64/59/223146459.db2.gz LEEZOZTUIFAFJB-VIFPVBQESA-N 1 2 306.288 1.316 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(C(=O)NCC2CC(F)(F)C2)CC1 ZINC000332457420 223213501 /nfs/dbraw/zinc/21/35/01/223213501.db2.gz IZAXVKJJODIBTF-UHFFFAOYSA-N 1 2 300.353 1.661 20 30 DDEDLO N#CCCOCC[N@@H+]1C[C@H](C#N)[C@@]2(C1)C(=O)Nc1ccccc12 ZINC000339052685 223266806 /nfs/dbraw/zinc/26/68/06/223266806.db2.gz OKEPGWSJNUOEFM-SUMWQHHRSA-N 1 2 310.357 1.262 20 30 DDEDLO N#CCCOCC[N@H+]1C[C@H](C#N)[C@@]2(C1)C(=O)Nc1ccccc12 ZINC000339052685 223266809 /nfs/dbraw/zinc/26/68/09/223266809.db2.gz OKEPGWSJNUOEFM-SUMWQHHRSA-N 1 2 310.357 1.262 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]CC#CCN2C(=O)c3ccccc3C2=O)o1 ZINC000625324445 366849788 /nfs/dbraw/zinc/84/97/88/366849788.db2.gz DCCYKHRIZZAMMW-NSHDSACASA-N 1 2 324.340 1.328 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000275492529 212370668 /nfs/dbraw/zinc/37/06/68/212370668.db2.gz ADEOUTGGIRBAKD-HNNXBMFYSA-N 1 2 318.421 1.492 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([N@H+](C)Cc2ncccn2)C1 ZINC000275492529 212370666 /nfs/dbraw/zinc/37/06/66/212370666.db2.gz ADEOUTGGIRBAKD-HNNXBMFYSA-N 1 2 318.421 1.492 20 30 DDEDLO N#CCC[C@H](C#N)C[N@H+]1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000344029810 223329856 /nfs/dbraw/zinc/32/98/56/223329856.db2.gz AWKHDXJAPHQILJ-KGLIPLIRSA-N 1 2 324.450 1.224 20 30 DDEDLO N#CCC[C@H](C#N)C[N@@H+]1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000344029810 223329859 /nfs/dbraw/zinc/32/98/59/223329859.db2.gz AWKHDXJAPHQILJ-KGLIPLIRSA-N 1 2 324.450 1.224 20 30 DDEDLO N#C[C@H](c1cccc(Cl)c1)N1CC[NH+]([C@@H]2CCNC2=O)CC1 ZINC000348325383 223382751 /nfs/dbraw/zinc/38/27/51/223382751.db2.gz HQXMXMQCHGUNHA-HUUCEWRRSA-N 1 2 318.808 1.411 20 30 DDEDLO C#CCOc1ccc(C[N@@H+](C)[C@H](C)CS(=O)(=O)CC)cc1 ZINC000093417164 193246623 /nfs/dbraw/zinc/24/66/23/193246623.db2.gz FYIWAQCJRKTYIE-CQSZACIVSA-N 1 2 309.431 1.954 20 30 DDEDLO C#CCOc1ccc(C[N@H+](C)[C@H](C)CS(=O)(=O)CC)cc1 ZINC000093417164 193246625 /nfs/dbraw/zinc/24/66/25/193246625.db2.gz FYIWAQCJRKTYIE-CQSZACIVSA-N 1 2 309.431 1.954 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)c1ccccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000264572141 204003614 /nfs/dbraw/zinc/00/36/14/204003614.db2.gz SAIXOXPAULJUJZ-IUODEOHRSA-N 1 2 313.394 1.534 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)c1ccccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000264572141 204003618 /nfs/dbraw/zinc/00/36/18/204003618.db2.gz SAIXOXPAULJUJZ-IUODEOHRSA-N 1 2 313.394 1.534 20 30 DDEDLO N#Cc1ccnc(C(=O)N2CC[NH+](Cc3ccncc3)CC2)c1 ZINC000282871381 217290479 /nfs/dbraw/zinc/29/04/79/217290479.db2.gz DOYZIHUWDSUADI-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO Cc1nsc(NC(=O)N[C@H]2CCn3cc[nH+]c3C2)c1C#N ZINC000333694877 249073894 /nfs/dbraw/zinc/07/38/94/249073894.db2.gz CNQPHDRLOZXUOP-VIFPVBQESA-N 1 2 302.363 1.656 20 30 DDEDLO C[C@@H](C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1)n1cnc(C#N)n1 ZINC000337076346 249340960 /nfs/dbraw/zinc/34/09/60/249340960.db2.gz ZOOFSZMHCBDLBR-AGIUHOORSA-N 1 2 313.365 1.017 20 30 DDEDLO C=C(C)C[NH+]1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000060494561 184151034 /nfs/dbraw/zinc/15/10/34/184151034.db2.gz ABVBHIRHPNKENK-UHFFFAOYSA-N 1 2 320.418 1.445 20 30 DDEDLO N#Cc1cnc(NC[C@]2([NH+]3CCOCC3)CCSC2)c(F)c1 ZINC000413065456 224128925 /nfs/dbraw/zinc/12/89/25/224128925.db2.gz DINPLEPJQCSIDF-OAHLLOKOSA-N 1 2 322.409 1.712 20 30 DDEDLO C=C(Cl)C[NH+]1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC000157392212 197184838 /nfs/dbraw/zinc/18/48/38/197184838.db2.gz KPRMSFBLLUKXBX-UHFFFAOYSA-N 1 2 318.808 1.793 20 30 DDEDLO Cc1nc(CN2CC[NH+](Cc3ccc(F)c(C#N)c3)CC2)no1 ZINC000120816090 195243318 /nfs/dbraw/zinc/24/33/18/195243318.db2.gz LONMHHYUIAFBAO-UHFFFAOYSA-N 1 2 315.352 1.707 20 30 DDEDLO Cc1cc2c(cc1F)ncn(C[C@@H](O)C[N@H+](C)CCC#N)c2=O ZINC000414149755 224305928 /nfs/dbraw/zinc/30/59/28/224305928.db2.gz OJSCXECLQDJOOH-LBPRGKRZSA-N 1 2 318.352 1.050 20 30 DDEDLO Cc1cc2c(cc1F)ncn(C[C@@H](O)C[N@@H+](C)CCC#N)c2=O ZINC000414149755 224305929 /nfs/dbraw/zinc/30/59/29/224305929.db2.gz OJSCXECLQDJOOH-LBPRGKRZSA-N 1 2 318.352 1.050 20 30 DDEDLO CS(=O)(=O)C1(CNC(=O)[C@H]2CCC[N@@H+]2C2CCCC2)CC1 ZINC000330094575 533313243 /nfs/dbraw/zinc/31/32/43/533313243.db2.gz TXFVVCFUGSHZKI-CYBMUJFWSA-N 1 2 314.451 1.927 20 30 DDEDLO CS(=O)(=O)C1(CNC(=O)[C@H]2CCC[N@H+]2C2CCCC2)CC1 ZINC000330094575 533313246 /nfs/dbraw/zinc/31/32/46/533313246.db2.gz TXFVVCFUGSHZKI-CYBMUJFWSA-N 1 2 314.451 1.927 20 30 DDEDLO C[C@@H]1C[C@H](NS(=O)(=O)c2ccc(C#N)cc2F)c2[nH+]ccn21 ZINC000569071670 304333891 /nfs/dbraw/zinc/33/38/91/304333891.db2.gz HIAAAUHZOGJGLM-SKDRFNHKSA-N 1 2 320.349 1.878 20 30 DDEDLO C=CCN1C(=O)c2ccc(C(=O)NCc3[nH]cc[nH+]3)cc2C1=O ZINC000114340415 407560693 /nfs/dbraw/zinc/56/06/93/407560693.db2.gz JLBUMXFRWIWNOR-UHFFFAOYSA-N 1 2 310.313 1.122 20 30 DDEDLO C[C@@H](C#N)N1CC[C@@H]([NH+]2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000375843245 286737710 /nfs/dbraw/zinc/73/77/10/286737710.db2.gz XPGAUXZZIQFTDO-UONOGXRCSA-N 1 2 308.426 1.525 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)NCCCn1cc[nH+]c1 ZINC000070431910 406815067 /nfs/dbraw/zinc/81/50/67/406815067.db2.gz HZDOPRNSWIZBHY-UHFFFAOYSA-N 1 2 304.375 1.432 20 30 DDEDLO COC(=O)c1ccc2[nH]cc(-c3csc(NC(N)=[NH2+])n3)c2c1 ZINC000027632586 406912548 /nfs/dbraw/zinc/91/25/48/406912548.db2.gz SUSPCZLBGJCTDG-UHFFFAOYSA-N 1 2 315.358 1.983 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](C)CC(=O)Nc1cccc(Cl)c1 ZINC000029862636 406927941 /nfs/dbraw/zinc/92/79/41/406927941.db2.gz XAAAMWPOXZEONS-NSHDSACASA-N 1 2 309.797 1.901 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](C)CC(=O)Nc1cccc(Cl)c1 ZINC000029862636 406927943 /nfs/dbraw/zinc/92/79/43/406927943.db2.gz XAAAMWPOXZEONS-NSHDSACASA-N 1 2 309.797 1.901 20 30 DDEDLO N#CCSCC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000031545714 406940169 /nfs/dbraw/zinc/94/01/69/406940169.db2.gz OPLRTCJFSTVMAM-UHFFFAOYSA-N 1 2 319.430 1.392 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCCCSCc1ccccc1 ZINC000090191353 407164852 /nfs/dbraw/zinc/16/48/52/407164852.db2.gz JDTKLAZUCJMBNO-UHFFFAOYSA-N 1 2 323.462 1.931 20 30 DDEDLO CC[NH+](CC)CCNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000063696764 407234580 /nfs/dbraw/zinc/23/45/80/407234580.db2.gz KQKQYSQRULQBQU-UHFFFAOYSA-N 1 2 308.407 1.452 20 30 DDEDLO CC[NH+](CC)[C@@H](C)CNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000063737626 407235577 /nfs/dbraw/zinc/23/55/77/407235577.db2.gz KVOFIRZLSKPHEF-JTQLQIEISA-N 1 2 322.434 1.841 20 30 DDEDLO CC(C)S(=O)(=O)CCCO[NH+]=C(N)c1ccc(F)c(F)c1 ZINC000122992733 407316332 /nfs/dbraw/zinc/31/63/32/407316332.db2.gz QOVGHXQPBXDRIC-UHFFFAOYSA-N 1 2 320.361 1.815 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Nc1ccc(F)cn1 ZINC000123094809 407319289 /nfs/dbraw/zinc/31/92/89/407319289.db2.gz RJPORNNNLKPUBV-UHFFFAOYSA-N 1 2 321.356 1.300 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccc(CC#N)cc1)[C@H](C)[NH+]1CCOCC1 ZINC000104985774 407352976 /nfs/dbraw/zinc/35/29/76/407352976.db2.gz VJPYGPUGPQWJGH-KGLIPLIRSA-N 1 2 316.405 1.983 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH2+][C@@H](C)c1csc(CC)n1 ZINC000111375392 407409837 /nfs/dbraw/zinc/40/98/37/407409837.db2.gz WNBGRVCQZUDHPA-UWVGGRQHSA-N 1 2 310.423 1.756 20 30 DDEDLO COC(=O)C1(O)CC[NH+](CC#Cc2ccc(Cl)cc2)CC1 ZINC000271214862 407580723 /nfs/dbraw/zinc/58/07/23/407580723.db2.gz AYCKYBWHCYKRHP-UHFFFAOYSA-N 1 2 307.777 1.691 20 30 DDEDLO C=CCNc1ncc(C(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)s1 ZINC000128956146 407585460 /nfs/dbraw/zinc/58/54/60/407585460.db2.gz ZJJGZDBEDSNEAL-LBPRGKRZSA-N 1 2 322.434 1.334 20 30 DDEDLO C=CCNc1ncc(C(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)s1 ZINC000128956146 407585464 /nfs/dbraw/zinc/58/54/64/407585464.db2.gz ZJJGZDBEDSNEAL-LBPRGKRZSA-N 1 2 322.434 1.334 20 30 DDEDLO C=CCNC(=O)NCc1ccccc1OCC[NH+]1CCOCC1 ZINC000129465838 407613930 /nfs/dbraw/zinc/61/39/30/407613930.db2.gz ZVEROHDYPMVGPZ-UHFFFAOYSA-N 1 2 319.405 1.383 20 30 DDEDLO C=C(C)COc1cccc(NC(=O)C(=O)N2CC[NH+](C)CC2)c1 ZINC000171342792 407663998 /nfs/dbraw/zinc/66/39/98/407663998.db2.gz IVNIJRDYYOQLTQ-UHFFFAOYSA-N 1 2 317.389 1.354 20 30 DDEDLO CC1(C)OCc2cc(C[NH+]3CCN(CC#N)CC3)ccc2O1 ZINC000186681719 407741020 /nfs/dbraw/zinc/74/10/20/407741020.db2.gz APKJBSUNXWJMGB-UHFFFAOYSA-N 1 2 301.390 1.973 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(F)cc(C#N)cc2F)CC1 ZINC000131714318 407750139 /nfs/dbraw/zinc/75/01/39/407750139.db2.gz JJNWYRGRDNDSTR-UHFFFAOYSA-N 1 2 303.312 1.618 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCOC[C@@H]1c1cccn1C ZINC000132013711 407763208 /nfs/dbraw/zinc/76/32/08/407763208.db2.gz IYNCAANEKGGNDD-OAHLLOKOSA-N 1 2 304.394 1.161 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCOC[C@@H]1c1cccn1C ZINC000132013711 407763216 /nfs/dbraw/zinc/76/32/16/407763216.db2.gz IYNCAANEKGGNDD-OAHLLOKOSA-N 1 2 304.394 1.161 20 30 DDEDLO C[C@H](CC#N)N(C)C(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000131958868 407763985 /nfs/dbraw/zinc/76/39/85/407763985.db2.gz IVDZHLCPWNNIPA-GFCCVEGCSA-N 1 2 311.345 1.571 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000132381376 407781358 /nfs/dbraw/zinc/78/13/58/407781358.db2.gz FBNOQPVFGOEHED-WMZOPIPTSA-N 1 2 315.417 1.942 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000132381376 407781361 /nfs/dbraw/zinc/78/13/61/407781361.db2.gz FBNOQPVFGOEHED-WMZOPIPTSA-N 1 2 315.417 1.942 20 30 DDEDLO C#CCCCCC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000179826391 407834694 /nfs/dbraw/zinc/83/46/94/407834694.db2.gz LWFZJLHDIAQCKO-MRXNPFEDSA-N 1 2 310.463 1.504 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2ccn(CCC#N)n2)CCO1 ZINC000173350674 407876343 /nfs/dbraw/zinc/87/63/43/407876343.db2.gz XEICFUQSLTVOGW-UHFFFAOYSA-N 1 2 320.397 1.029 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2ccn(CCC#N)n2)CCO1 ZINC000173350674 407876351 /nfs/dbraw/zinc/87/63/51/407876351.db2.gz XEICFUQSLTVOGW-UHFFFAOYSA-N 1 2 320.397 1.029 20 30 DDEDLO C=C(C)CN(C)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000180441568 407887526 /nfs/dbraw/zinc/88/75/26/407887526.db2.gz SISVNGGBISAZDI-UHFFFAOYSA-N 1 2 304.394 1.636 20 30 DDEDLO CC(C)N1CC[N@H+](CCC(=O)Nc2ccc(C#N)cc2)CC1=O ZINC000272490596 407944809 /nfs/dbraw/zinc/94/48/09/407944809.db2.gz CCJRMLHXRRPPJF-UHFFFAOYSA-N 1 2 314.389 1.439 20 30 DDEDLO CC(C)N1CC[N@@H+](CCC(=O)Nc2ccc(C#N)cc2)CC1=O ZINC000272490596 407944812 /nfs/dbraw/zinc/94/48/12/407944812.db2.gz CCJRMLHXRRPPJF-UHFFFAOYSA-N 1 2 314.389 1.439 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCCC2OCCCO2)cc1OC ZINC000268357462 408010197 /nfs/dbraw/zinc/01/01/97/408010197.db2.gz NNTRCKDQLNKTAM-UHFFFAOYSA-N 1 2 310.350 1.494 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cccc2c[nH]nc21 ZINC000154744672 408079062 /nfs/dbraw/zinc/07/90/62/408079062.db2.gz YYWFPNJTXPYNDN-UHFFFAOYSA-N 1 2 313.405 1.611 20 30 DDEDLO N#CCC[N@H+](CCN1CCOCC1)Cc1cccc2c[nH]nc21 ZINC000154744672 408079071 /nfs/dbraw/zinc/07/90/71/408079071.db2.gz YYWFPNJTXPYNDN-UHFFFAOYSA-N 1 2 313.405 1.611 20 30 DDEDLO N#CCC[N@@H+](CCN1CCOCC1)Cc1cccc2c[nH]nc21 ZINC000154744672 408079076 /nfs/dbraw/zinc/07/90/76/408079076.db2.gz YYWFPNJTXPYNDN-UHFFFAOYSA-N 1 2 313.405 1.611 20 30 DDEDLO COc1ccc(C[N@@H+]2CCNC(=O)[C@@H]2CC(C)C)cc1C#N ZINC000189783845 408106836 /nfs/dbraw/zinc/10/68/36/408106836.db2.gz MUIXDFCHGATXTN-HNNXBMFYSA-N 1 2 301.390 1.913 20 30 DDEDLO COc1ccc(C[N@H+]2CCNC(=O)[C@@H]2CC(C)C)cc1C#N ZINC000189783845 408106842 /nfs/dbraw/zinc/10/68/42/408106842.db2.gz MUIXDFCHGATXTN-HNNXBMFYSA-N 1 2 301.390 1.913 20 30 DDEDLO Cn1cc(Cl)cc1C[N@H+](C)CC(=O)N(CCC#N)CCC#N ZINC000119972002 408114191 /nfs/dbraw/zinc/11/41/91/408114191.db2.gz NQGYQVPXDVZKSD-UHFFFAOYSA-N 1 2 321.812 1.766 20 30 DDEDLO Cn1cc(Cl)cc1C[N@@H+](C)CC(=O)N(CCC#N)CCC#N ZINC000119972002 408114197 /nfs/dbraw/zinc/11/41/97/408114197.db2.gz NQGYQVPXDVZKSD-UHFFFAOYSA-N 1 2 321.812 1.766 20 30 DDEDLO N#CCCCNC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000273416865 408190875 /nfs/dbraw/zinc/19/08/75/408190875.db2.gz YIACQZMPHAEFGO-ZDUSSCGKSA-N 1 2 322.434 1.724 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)c1cccc(Cl)c1C#N ZINC000156475268 408258886 /nfs/dbraw/zinc/25/88/86/408258886.db2.gz OKQNHKSLVPXGAI-UHFFFAOYSA-N 1 2 324.793 1.766 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Cc1cccc(O)c1 ZINC000175764866 408201531 /nfs/dbraw/zinc/20/15/31/408201531.db2.gz WTZZQDNMGNADHS-UHFFFAOYSA-N 1 2 317.389 1.009 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[NH+]1CCN(c2cccs2)CC1)C1CC1 ZINC000121421497 408206136 /nfs/dbraw/zinc/20/61/36/408206136.db2.gz NYHPUDLKKYDEAS-INIZCTEOSA-N 1 2 318.446 1.679 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)[C@@H](C)[NH+]1CCN(C)CC1 ZINC000273475066 408214888 /nfs/dbraw/zinc/21/48/88/408214888.db2.gz JIAJCRXZEVXQLB-OAHLLOKOSA-N 1 2 305.422 1.473 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2)C1CC1 ZINC000274132049 408304253 /nfs/dbraw/zinc/30/42/53/408304253.db2.gz IOKRMLKQQHEUEN-UHFFFAOYSA-N 1 2 317.301 1.360 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2)C1CC1 ZINC000274132049 408304260 /nfs/dbraw/zinc/30/42/60/408304260.db2.gz IOKRMLKQQHEUEN-UHFFFAOYSA-N 1 2 317.301 1.360 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCOC3(CCOCC3)C2)cc1 ZINC000135617848 162108715 /nfs/dbraw/zinc/10/87/15/162108715.db2.gz PKBJTTHHQIKVIS-UHFFFAOYSA-N 1 2 315.373 1.378 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCOC3(CCOCC3)C2)cc1 ZINC000135617848 162108718 /nfs/dbraw/zinc/10/87/18/162108718.db2.gz PKBJTTHHQIKVIS-UHFFFAOYSA-N 1 2 315.373 1.378 20 30 DDEDLO CCCOC(=O)[C@H](C)[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000159591625 408418590 /nfs/dbraw/zinc/41/85/90/408418590.db2.gz HAFYBOSMXHMJSE-AWEZNQCLSA-N 1 2 316.405 1.807 20 30 DDEDLO CCCOC(=O)[C@H](C)[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000159591625 408418597 /nfs/dbraw/zinc/41/85/97/408418597.db2.gz HAFYBOSMXHMJSE-AWEZNQCLSA-N 1 2 316.405 1.807 20 30 DDEDLO C=C1CC[NH+](CC(=O)Nc2sc3c(c2C(N)=O)CCC3)CC1 ZINC000264447432 408494997 /nfs/dbraw/zinc/49/49/97/408494997.db2.gz HGWILYRCVHDRJG-UHFFFAOYSA-N 1 2 319.430 1.926 20 30 DDEDLO C=CCCOCCNC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000270501056 408554234 /nfs/dbraw/zinc/55/42/34/408554234.db2.gz YRFJDTWBQPYEQY-UHFFFAOYSA-N 1 2 307.394 1.700 20 30 DDEDLO CCC[C@@H](C)NC(=O)[C@@H](C)O[NH+]=C(N)Cc1csc(C)n1 ZINC000177476461 408633010 /nfs/dbraw/zinc/63/30/10/408633010.db2.gz RXKNLOLQDAPSPY-NXEZZACHSA-N 1 2 312.439 1.976 20 30 DDEDLO COC[C@@H]1C[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)C[C@H](C)O1 ZINC000251914307 408789575 /nfs/dbraw/zinc/78/95/75/408789575.db2.gz RXXOLIQVSWLCSG-GJZGRUSLSA-N 1 2 323.437 1.407 20 30 DDEDLO COC[C@@H]1C[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)C[C@H](C)O1 ZINC000251914307 408789579 /nfs/dbraw/zinc/78/95/79/408789579.db2.gz RXXOLIQVSWLCSG-GJZGRUSLSA-N 1 2 323.437 1.407 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1cccc(O)c1 ZINC000194058453 408761401 /nfs/dbraw/zinc/76/14/01/408761401.db2.gz OORSBRQKLYCKGA-UHFFFAOYSA-N 1 2 303.362 1.080 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNc2cccc(Br)c2C#N)C1 ZINC000168546015 408818895 /nfs/dbraw/zinc/81/88/95/408818895.db2.gz SKIXLGOFFHBASR-LLVKDONJSA-N 1 2 323.238 1.978 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNc2cccc(Br)c2C#N)C1 ZINC000168546015 408818896 /nfs/dbraw/zinc/81/88/96/408818896.db2.gz SKIXLGOFFHBASR-LLVKDONJSA-N 1 2 323.238 1.978 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+][C@@H](C)c1ccc(F)c(F)c1O ZINC000276863198 408902157 /nfs/dbraw/zinc/90/21/57/408902157.db2.gz CUXUOVVDFXNOAP-VIFPVBQESA-N 1 2 305.346 1.922 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(C(=O)c3ccccc3)CC2)C1=O ZINC000282027607 408974805 /nfs/dbraw/zinc/97/48/05/408974805.db2.gz PRODBPLCCFMGPZ-MRXNPFEDSA-N 1 2 313.401 1.231 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@H](O)COc1c(OC)cccc1OC ZINC000292101427 408981343 /nfs/dbraw/zinc/98/13/43/408981343.db2.gz GLEBXKMZHXOVRF-AWEZNQCLSA-N 1 2 320.389 1.845 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@H](O)COc1c(OC)cccc1OC ZINC000292101427 408981344 /nfs/dbraw/zinc/98/13/44/408981344.db2.gz GLEBXKMZHXOVRF-AWEZNQCLSA-N 1 2 320.389 1.845 20 30 DDEDLO NC(=[NH+]OC[C@H]1CNC(=O)O1)c1cccc(C(F)(F)F)c1 ZINC000282339230 409037102 /nfs/dbraw/zinc/03/71/02/409037102.db2.gz VTNYOFGHCQHNQM-SECBINFHSA-N 1 2 303.240 1.451 20 30 DDEDLO C=C[C@H](CO)NC(=O)CSCc1cn2cc(C)ccc2[nH+]1 ZINC000292864317 409044447 /nfs/dbraw/zinc/04/44/47/409044447.db2.gz YYMKKBDZPHAOEV-GFCCVEGCSA-N 1 2 305.403 1.539 20 30 DDEDLO N#CCCOCC[NH+]1CCN(C(=O)Cc2ccccc2)CC1 ZINC000293339668 409092936 /nfs/dbraw/zinc/09/29/36/409092936.db2.gz DAOHTJLYOAPVPA-UHFFFAOYSA-N 1 2 301.390 1.304 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000279343659 409196527 /nfs/dbraw/zinc/19/65/27/409196527.db2.gz VABVKIYRYBXWDL-UHFFFAOYSA-N 1 2 305.334 1.886 20 30 DDEDLO C#CC(C)(C)[N@H+](C)CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000279343659 409196531 /nfs/dbraw/zinc/19/65/31/409196531.db2.gz VABVKIYRYBXWDL-UHFFFAOYSA-N 1 2 305.334 1.886 20 30 DDEDLO C=CCCCNC(=O)C(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC000288713884 409173377 /nfs/dbraw/zinc/17/33/77/409173377.db2.gz ZEVGNULRAQLYKA-UHFFFAOYSA-N 1 2 319.405 1.748 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000294667408 409296966 /nfs/dbraw/zinc/29/69/66/409296966.db2.gz ZRSQXZWDRWGGHO-CQSZACIVSA-N 1 2 301.390 1.726 20 30 DDEDLO C[C@H]1C[N@H+](Cc2cn(CCC#N)nc2-c2ccccc2)CC(=O)N1 ZINC000295075962 409314850 /nfs/dbraw/zinc/31/48/50/409314850.db2.gz RLCVWAQTSVYHJD-AWEZNQCLSA-N 1 2 323.400 1.784 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2cn(CCC#N)nc2-c2ccccc2)CC(=O)N1 ZINC000295075962 409314854 /nfs/dbraw/zinc/31/48/54/409314854.db2.gz RLCVWAQTSVYHJD-AWEZNQCLSA-N 1 2 323.400 1.784 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cnc(C(C)(C)C)nc2)C1=O ZINC000284304954 409315464 /nfs/dbraw/zinc/31/54/64/409315464.db2.gz JBIGHTOKTWFXJC-CQSZACIVSA-N 1 2 302.422 1.993 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cnc(C(C)(C)C)nc2)C1=O ZINC000284304954 409315467 /nfs/dbraw/zinc/31/54/67/409315467.db2.gz JBIGHTOKTWFXJC-CQSZACIVSA-N 1 2 302.422 1.993 20 30 DDEDLO Cc1c[nH]nc1C[NH2+][C@@H]1CCN(Cc2ccc(C#N)cc2)C1=O ZINC000289430488 409269611 /nfs/dbraw/zinc/26/96/11/409269611.db2.gz DPRRBQQGIXPPPF-OAHLLOKOSA-N 1 2 309.373 1.481 20 30 DDEDLO CCc1occc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000280692289 409411341 /nfs/dbraw/zinc/41/13/41/409411341.db2.gz ZPJWVPSYGSCELQ-UHFFFAOYSA-N 1 2 305.378 1.530 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000316874011 164024615 /nfs/dbraw/zinc/02/46/15/164024615.db2.gz AFWOLWLOELZECN-XHDPSFHLSA-N 1 2 300.362 1.096 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000316874011 164024617 /nfs/dbraw/zinc/02/46/17/164024617.db2.gz AFWOLWLOELZECN-XHDPSFHLSA-N 1 2 300.362 1.096 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000408082710 164221230 /nfs/dbraw/zinc/22/12/30/164221230.db2.gz HEGPMBOBFUSDBR-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000408082710 164221232 /nfs/dbraw/zinc/22/12/32/164221232.db2.gz HEGPMBOBFUSDBR-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO Cc1onc(CC(=O)NCc2ccccc2-n2cc[nH+]c2)c1C#N ZINC000353878839 409531351 /nfs/dbraw/zinc/53/13/51/409531351.db2.gz DABXRXSOHSOCIO-UHFFFAOYSA-N 1 2 321.340 1.899 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N(C)CC[N@H+](C)C2CC2)cc1C#N ZINC000354076114 409631718 /nfs/dbraw/zinc/63/17/18/409631718.db2.gz RBCJMXLJEFZLDY-UHFFFAOYSA-N 1 2 320.418 1.547 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N(C)CC[N@@H+](C)C2CC2)cc1C#N ZINC000354076114 409631724 /nfs/dbraw/zinc/63/17/24/409631724.db2.gz RBCJMXLJEFZLDY-UHFFFAOYSA-N 1 2 320.418 1.547 20 30 DDEDLO Cn1ncc(C(=O)N2CCC[C@H]2c2[nH]c3c([nH+]2)CCCC3)c1C#N ZINC000345222220 409669531 /nfs/dbraw/zinc/66/95/31/409669531.db2.gz SCAQWWAJMPFQRH-AWEZNQCLSA-N 1 2 324.388 1.871 20 30 DDEDLO C=CCOCC(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000354294495 409751769 /nfs/dbraw/zinc/75/17/69/409751769.db2.gz BWGHSSBFTPYIEV-OAHLLOKOSA-N 1 2 303.337 1.967 20 30 DDEDLO C#CCOc1ccc(CNC(=O)C(C)(C)[NH+]2CCOCC2)cc1 ZINC000297121851 409812558 /nfs/dbraw/zinc/81/25/58/409812558.db2.gz SVKJMRZDJMUIIJ-UHFFFAOYSA-N 1 2 316.401 1.426 20 30 DDEDLO C[C@@H]1C[N@@H+]2CCCC[C@@H]2CN1S(=O)(=O)c1cncc(C#N)c1 ZINC000319802204 409900289 /nfs/dbraw/zinc/90/02/89/409900289.db2.gz YTHRBVGDAOIITB-TZMCWYRMSA-N 1 2 320.418 1.201 20 30 DDEDLO C[C@@H]1C[N@H+]2CCCC[C@@H]2CN1S(=O)(=O)c1cncc(C#N)c1 ZINC000319802204 409900294 /nfs/dbraw/zinc/90/02/94/409900294.db2.gz YTHRBVGDAOIITB-TZMCWYRMSA-N 1 2 320.418 1.201 20 30 DDEDLO COc1ccc(C[NH+]2CCC([C@@H](O)C(=O)NC3CC3)CC2)nn1 ZINC000328698137 409957056 /nfs/dbraw/zinc/95/70/56/409957056.db2.gz UWLACRVVEFUOTA-OAHLLOKOSA-N 1 2 320.393 1.177 20 30 DDEDLO C[C@H](CNC(=O)C(C)(C)[NH+]1CCOCC1)N1CCCCC1=O ZINC000328705506 409958334 /nfs/dbraw/zinc/95/83/34/409958334.db2.gz YRTORXKRSUCZAH-CYBMUJFWSA-N 1 2 311.426 1.455 20 30 DDEDLO Cn1ncc(C(=O)NC2CCN(c3cccc[nH+]3)CC2)c1C#N ZINC000354593071 409959133 /nfs/dbraw/zinc/95/91/33/409959133.db2.gz JKUCDAWJEDIAJS-UHFFFAOYSA-N 1 2 310.361 1.086 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)C(C)(C)S(=O)(=O)C2CC2)C[N@@H+]1C1CC1 ZINC000328810566 409986283 /nfs/dbraw/zinc/98/62/83/409986283.db2.gz MMPXESRJCBCDMS-GHMZBOCLSA-N 1 2 314.451 1.924 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)C(C)(C)S(=O)(=O)C2CC2)C[N@H+]1C1CC1 ZINC000328810566 409986287 /nfs/dbraw/zinc/98/62/87/409986287.db2.gz MMPXESRJCBCDMS-GHMZBOCLSA-N 1 2 314.451 1.924 20 30 DDEDLO COCCC[C@@H](C)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000350747294 409988813 /nfs/dbraw/zinc/98/88/13/409988813.db2.gz JRNLHXVBYVMDRH-OAHLLOKOSA-N 1 2 311.426 1.124 20 30 DDEDLO C[C@H]1CN(C(=O)c2ccc(C#N)s2)C[C@@H]1[NH+]1CCOCC1 ZINC000332162097 409938672 /nfs/dbraw/zinc/93/86/72/409938672.db2.gz VRQQBNXJGOZADT-AAEUAGOBSA-N 1 2 305.403 1.412 20 30 DDEDLO O=C(NCC[NH+]1CCN(C(=O)C2CCC2)CC1)[C@@H]1C[C@@H]1C1CC1 ZINC000328939224 410017936 /nfs/dbraw/zinc/01/79/36/410017936.db2.gz RVWKUOFTFFJJSW-HZPDHXFCSA-N 1 2 319.449 1.933 20 30 DDEDLO CN(C)C(=O)c1ccnc(NC(=O)[C@@H]2CCn3c[nH+]cc3C2)c1 ZINC000328984202 410035999 /nfs/dbraw/zinc/03/59/99/410035999.db2.gz ZEJYGXRIPMJGGY-LLVKDONJSA-N 1 2 313.361 1.831 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1)C1CCOCC1 ZINC000328875530 409999191 /nfs/dbraw/zinc/99/91/91/409999191.db2.gz JVXZZPFXINXKMK-QEJZJMRPSA-N 1 2 311.426 1.120 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1)C1CCOCC1 ZINC000328875530 409999196 /nfs/dbraw/zinc/99/91/96/409999196.db2.gz JVXZZPFXINXKMK-QEJZJMRPSA-N 1 2 311.426 1.120 20 30 DDEDLO CCOC(=O)C(C)(C)[NH2+]CCC(=O)Nc1ccccc1C#N ZINC000298086611 410108788 /nfs/dbraw/zinc/10/87/88/410108788.db2.gz KLCPGKSOKDWMRP-UHFFFAOYSA-N 1 2 303.362 1.818 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c1C ZINC000329160939 410136959 /nfs/dbraw/zinc/13/69/59/410136959.db2.gz YPPPLIQGEOJDAV-OLZOCXBDSA-N 1 2 307.398 1.080 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c1C ZINC000329160939 410136966 /nfs/dbraw/zinc/13/69/66/410136966.db2.gz YPPPLIQGEOJDAV-OLZOCXBDSA-N 1 2 307.398 1.080 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CCC=C(c3ccncc3)C2)C1 ZINC000329263389 410200125 /nfs/dbraw/zinc/20/01/25/410200125.db2.gz GLDHLURWHZCVTI-MRXNPFEDSA-N 1 2 316.405 1.415 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CCC=C(c3ccncc3)C2)C1 ZINC000329263389 410200132 /nfs/dbraw/zinc/20/01/32/410200132.db2.gz GLDHLURWHZCVTI-MRXNPFEDSA-N 1 2 316.405 1.415 20 30 DDEDLO Cc1nc(C(=O)N2CC[NH+](Cc3ccco3)CC2)ccc1C#N ZINC000298376756 410202589 /nfs/dbraw/zinc/20/25/89/410202589.db2.gz WMOCEGWEJKYINZ-UHFFFAOYSA-N 1 2 310.357 1.813 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccnc(N)c1 ZINC000298375042 410203186 /nfs/dbraw/zinc/20/31/86/410203186.db2.gz GGYJTPIHCHBSKA-GFCCVEGCSA-N 1 2 309.377 1.036 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccnc(N)c1 ZINC000298375042 410203193 /nfs/dbraw/zinc/20/31/93/410203193.db2.gz GGYJTPIHCHBSKA-GFCCVEGCSA-N 1 2 309.377 1.036 20 30 DDEDLO C[C@H](NC(=O)NC[C@H]1CCCn2cc[nH+]c21)C(=O)N1CCCC1 ZINC000329348995 410248525 /nfs/dbraw/zinc/24/85/25/410248525.db2.gz WFJIRKGQWQKDGO-QWHCGFSZSA-N 1 2 319.409 1.275 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H](NC(=O)Nc1ccon1)C2 ZINC000329435122 410296687 /nfs/dbraw/zinc/29/66/87/410296687.db2.gz LQHUBMBTJJJXKN-JTQLQIEISA-N 1 2 303.366 2.510 20 30 DDEDLO Cn1ncc(C(=O)NCc2cccc(Cn3cc[nH+]c3)c2)c1C#N ZINC000347007547 410309813 /nfs/dbraw/zinc/30/98/13/410309813.db2.gz QRYLMHQOHUNKRZ-UHFFFAOYSA-N 1 2 320.356 1.467 20 30 DDEDLO O=C(NCC1([NH+]2CCOCC2)CC1)NC1CC(C(F)(F)F)C1 ZINC000329574754 410365039 /nfs/dbraw/zinc/36/50/39/410365039.db2.gz VJUFUCSPVLTFRO-UHFFFAOYSA-N 1 2 321.343 1.696 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC[C@H](O)CC2)cc1 ZINC000339778805 410454492 /nfs/dbraw/zinc/45/44/92/410454492.db2.gz GOTNDWWFPHPKFY-AWEZNQCLSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@H](O)CC2)cc1 ZINC000339778805 410454496 /nfs/dbraw/zinc/45/44/96/410454496.db2.gz GOTNDWWFPHPKFY-AWEZNQCLSA-N 1 2 308.403 1.179 20 30 DDEDLO CS[C@H](C)CCC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000358425526 410468193 /nfs/dbraw/zinc/46/81/93/410468193.db2.gz IJHICUIEVAMTSI-CQSZACIVSA-N 1 2 313.467 1.593 20 30 DDEDLO COc1cc(C[N@H+](C)C[C@H](C)C#N)cc(OC)c1OCC(N)=O ZINC000352091859 410473180 /nfs/dbraw/zinc/47/31/80/410473180.db2.gz LTZWCLWMWFUMCA-LLVKDONJSA-N 1 2 321.377 1.159 20 30 DDEDLO COc1cc(C[N@@H+](C)C[C@H](C)C#N)cc(OC)c1OCC(N)=O ZINC000352091859 410473189 /nfs/dbraw/zinc/47/31/89/410473189.db2.gz LTZWCLWMWFUMCA-LLVKDONJSA-N 1 2 321.377 1.159 20 30 DDEDLO C=CCSCCNC(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000355433711 410511218 /nfs/dbraw/zinc/51/12/18/410511218.db2.gz PVTDORALUKKGDT-UHFFFAOYSA-N 1 2 309.439 1.156 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@]2(CCCOC2)C1 ZINC000352362346 410648119 /nfs/dbraw/zinc/64/81/19/410648119.db2.gz OBYFJEJSOKGARK-HYVNUMGLSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@]2(CCCOC2)C1 ZINC000352362346 410648126 /nfs/dbraw/zinc/64/81/26/410648126.db2.gz OBYFJEJSOKGARK-HYVNUMGLSA-N 1 2 323.437 1.311 20 30 DDEDLO C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)C[NH+]1CCC(F)(C#N)CC1 ZINC000352480500 410659499 /nfs/dbraw/zinc/65/94/99/410659499.db2.gz CPEDLVLBBLSSAX-STQMWFEESA-N 1 2 324.400 1.719 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1CCC(F)(F)C1 ZINC000359558273 410730647 /nfs/dbraw/zinc/73/06/47/410730647.db2.gz KWHLSPGLBFSNCH-CYBMUJFWSA-N 1 2 315.364 1.496 20 30 DDEDLO COCCOc1ncccc1C[NH2+]Cc1nc(C#N)cs1 ZINC000352831054 410681870 /nfs/dbraw/zinc/68/18/70/410681870.db2.gz BSNNWBRLRWWVNW-UHFFFAOYSA-N 1 2 304.375 1.725 20 30 DDEDLO CCC(C)(C)NC(=O)C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000330438250 410688660 /nfs/dbraw/zinc/68/86/60/410688660.db2.gz ZIRVQLJMGKRJDP-UHFFFAOYSA-N 1 2 314.433 1.985 20 30 DDEDLO COC(=O)[C@H](C1CC1)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000359613108 410772001 /nfs/dbraw/zinc/77/20/01/410772001.db2.gz UWHSJYNZJMYAIO-HNNXBMFYSA-N 1 2 300.362 1.027 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCN3C(=O)NC[C@H]3C2)c(C(F)(F)F)c1 ZINC000356143433 410861098 /nfs/dbraw/zinc/86/10/98/410861098.db2.gz YHJSKQNKVGDBPV-LBPRGKRZSA-N 1 2 324.306 1.786 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCN3C(=O)NC[C@H]3C2)c(C(F)(F)F)c1 ZINC000356143433 410861102 /nfs/dbraw/zinc/86/11/02/410861102.db2.gz YHJSKQNKVGDBPV-LBPRGKRZSA-N 1 2 324.306 1.786 20 30 DDEDLO C=CCCCCNC(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000353342119 410929197 /nfs/dbraw/zinc/92/91/97/410929197.db2.gz HDFZYRSTLKXJPS-UHFFFAOYSA-N 1 2 305.426 1.604 20 30 DDEDLO CN(C)c1ccc(CNS(=O)(=O)CC2(C#N)CCCC2)c[nH+]1 ZINC000353428120 410977701 /nfs/dbraw/zinc/97/77/01/410977701.db2.gz QADAKDTZINZYNM-UHFFFAOYSA-N 1 2 322.434 1.651 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)N(C)Cc1cccc(C#N)c1 ZINC000356379309 410996219 /nfs/dbraw/zinc/99/62/19/410996219.db2.gz UBZAIBJVZVPXCP-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)N(C)Cc1cccc(C#N)c1 ZINC000356379309 410996224 /nfs/dbraw/zinc/99/62/24/410996224.db2.gz UBZAIBJVZVPXCP-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO Cc1ccc([C@@H](CNc2ccnc(C#N)n2)[NH+]2CCOCC2)cc1 ZINC000580212743 422903972 /nfs/dbraw/zinc/90/39/72/422903972.db2.gz VOQKLUPGNWMMSI-MRXNPFEDSA-N 1 2 323.400 1.564 20 30 DDEDLO N#Cc1cc(NC[C@H]2C[NH+]3CCN2CC3)ncc1Br ZINC000603316092 416635934 /nfs/dbraw/zinc/63/59/34/416635934.db2.gz JVVFWHZHDJIWPV-NSHDSACASA-N 1 2 322.210 1.127 20 30 DDEDLO N#Cc1cc(NC[C@H]2CN3CC[NH+]2CC3)ncc1Br ZINC000603316092 416635936 /nfs/dbraw/zinc/63/59/36/416635936.db2.gz JVVFWHZHDJIWPV-NSHDSACASA-N 1 2 322.210 1.127 20 30 DDEDLO CCC(C#N)(CC)C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000528499779 417346023 /nfs/dbraw/zinc/34/60/23/417346023.db2.gz BOPOAYJFAYGPAA-UHFFFAOYSA-N 1 2 319.409 1.484 20 30 DDEDLO Cc1ccc(CNC(=O)CO[NH+]=C(N)[C@H](C)OCC(C)C)cc1 ZINC000174222125 221791614 /nfs/dbraw/zinc/79/16/14/221791614.db2.gz ZFYDQMCNUFROOY-AWEZNQCLSA-N 1 2 321.421 1.961 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCC[C@]2(CCCCO2)[C@@H]1C#N ZINC000373756485 418457020 /nfs/dbraw/zinc/45/70/20/418457020.db2.gz HEJRVYMZNGVBKL-DOTOQJQBSA-N 1 2 316.405 1.807 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000373812585 418462270 /nfs/dbraw/zinc/46/22/70/418462270.db2.gz QHTVVRJNMRFOMA-PVAVHDDUSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000373812585 418462273 /nfs/dbraw/zinc/46/22/73/418462273.db2.gz QHTVVRJNMRFOMA-PVAVHDDUSA-N 1 2 323.437 1.311 20 30 DDEDLO CC1(C)C[NH+]=C(N2CCN(c3cccnc3C#N)CC2)S1 ZINC000290803946 221934023 /nfs/dbraw/zinc/93/40/23/221934023.db2.gz QEJDJFRRFBMHNA-UHFFFAOYSA-N 1 2 301.419 1.957 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)on1 ZINC000374385814 418525349 /nfs/dbraw/zinc/52/53/49/418525349.db2.gz MMWWISOOTPMFKS-YVEFUNNKSA-N 1 2 308.341 1.829 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)on1 ZINC000374385814 418525352 /nfs/dbraw/zinc/52/53/52/418525352.db2.gz MMWWISOOTPMFKS-YVEFUNNKSA-N 1 2 308.341 1.829 20 30 DDEDLO CC(C)[C@H](Oc1ccccc1C#N)C(=O)NCCn1cc[nH+]c1 ZINC000194179967 418541911 /nfs/dbraw/zinc/54/19/11/418541911.db2.gz KYAPWBQIELAZBF-INIZCTEOSA-N 1 2 312.373 1.975 20 30 DDEDLO CN(C)c1noc([C@H]2CCC[N@H+](C[C@H](C#N)CCC#N)C2)n1 ZINC000374635200 418549215 /nfs/dbraw/zinc/54/92/15/418549215.db2.gz GQWIGJZYEFTAKY-STQMWFEESA-N 1 2 302.382 1.759 20 30 DDEDLO CN(C)c1noc([C@H]2CCC[N@@H+](C[C@H](C#N)CCC#N)C2)n1 ZINC000374635200 418549218 /nfs/dbraw/zinc/54/92/18/418549218.db2.gz GQWIGJZYEFTAKY-STQMWFEESA-N 1 2 302.382 1.759 20 30 DDEDLO C=C(C)CS(=O)(=O)NCC1([NH+]2CCOCC2)CCCCC1 ZINC000188234105 222009481 /nfs/dbraw/zinc/00/94/81/222009481.db2.gz DLZCKIVITWGVQS-UHFFFAOYSA-N 1 2 316.467 1.517 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1cccc(C(=O)NCC)c1 ZINC000191078562 222095894 /nfs/dbraw/zinc/09/58/94/222095894.db2.gz GUIHGBYKNPCULY-UHFFFAOYSA-N 1 2 319.405 1.509 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1cccc(C(=O)NCC)c1 ZINC000191078562 222095896 /nfs/dbraw/zinc/09/58/96/222095896.db2.gz GUIHGBYKNPCULY-UHFFFAOYSA-N 1 2 319.405 1.509 20 30 DDEDLO CO[C@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@@H]1C ZINC000189266890 222040920 /nfs/dbraw/zinc/04/09/20/222040920.db2.gz ZCGMZSZCXQJNJF-BBRMVZONSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@@H]1C ZINC000189266890 222040925 /nfs/dbraw/zinc/04/09/25/222040925.db2.gz ZCGMZSZCXQJNJF-BBRMVZONSA-N 1 2 322.430 1.689 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)S(=O)(=O)CCCCC#N)C[C@H](C)O1 ZINC000194026336 222169864 /nfs/dbraw/zinc/16/98/64/222169864.db2.gz NOBRCFQCKNCBGU-OKILXGFUSA-N 1 2 317.455 1.051 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)S(=O)(=O)CCCCC#N)C[C@H](C)O1 ZINC000194026336 222169868 /nfs/dbraw/zinc/16/98/68/222169868.db2.gz NOBRCFQCKNCBGU-OKILXGFUSA-N 1 2 317.455 1.051 20 30 DDEDLO C#CCCCCC(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000195190522 222193525 /nfs/dbraw/zinc/19/35/25/222193525.db2.gz JWHHGOODNAADOG-UHFFFAOYSA-N 1 2 322.449 1.566 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)CN(C)CC[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000195308697 222198125 /nfs/dbraw/zinc/19/81/25/222198125.db2.gz RXZNKHYESUBEGH-HLLBOEOZSA-N 1 2 324.469 1.082 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)CN(C)CC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000195308697 222198127 /nfs/dbraw/zinc/19/81/27/222198127.db2.gz RXZNKHYESUBEGH-HLLBOEOZSA-N 1 2 324.469 1.082 20 30 DDEDLO C=CCOCCCC(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000361772113 418708104 /nfs/dbraw/zinc/70/81/04/418708104.db2.gz LXZWIGYIBBDIHK-UHFFFAOYSA-N 1 2 306.410 1.047 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@@H](NS(=O)(=O)CCC(C)(C)C#N)C2 ZINC000377851523 418716025 /nfs/dbraw/zinc/71/60/25/418716025.db2.gz KRCUIINXDAFWTO-GFCCVEGCSA-N 1 2 310.423 1.366 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+]1CC[C@H](CN2CCOCC2)C1 ZINC000362275460 418752494 /nfs/dbraw/zinc/75/24/94/418752494.db2.gz DFHRFXMIDWHXMS-CQSZACIVSA-N 1 2 303.381 1.851 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+]1CC[C@H](CN2CCOCC2)C1 ZINC000362275460 418752497 /nfs/dbraw/zinc/75/24/97/418752497.db2.gz DFHRFXMIDWHXMS-CQSZACIVSA-N 1 2 303.381 1.851 20 30 DDEDLO N#CCC1(CNc2cc(N3CCCC[C@@H]3CO)nc[nH+]2)CC1 ZINC000362366209 418752807 /nfs/dbraw/zinc/75/28/07/418752807.db2.gz SZIHMSPHAIHRDX-CYBMUJFWSA-N 1 2 301.394 1.934 20 30 DDEDLO N#CCC1(CNc2cc(N3CCCC[C@@H]3CO)[nH+]cn2)CC1 ZINC000362366209 418752810 /nfs/dbraw/zinc/75/28/10/418752810.db2.gz SZIHMSPHAIHRDX-CYBMUJFWSA-N 1 2 301.394 1.934 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC000363537321 418767306 /nfs/dbraw/zinc/76/73/06/418767306.db2.gz WXGSZXXJVBFUPS-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC000363537321 418767310 /nfs/dbraw/zinc/76/73/10/418767310.db2.gz WXGSZXXJVBFUPS-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CN1CCN(C(=O)NCc2ccccc2-n2cc[nH+]c2)C[C@@H]1C#N ZINC000364069790 418772990 /nfs/dbraw/zinc/77/29/90/418772990.db2.gz HYJIROVBGIRFQQ-HNNXBMFYSA-N 1 2 324.388 1.221 20 30 DDEDLO N#Cc1c(F)cccc1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000364201423 418774436 /nfs/dbraw/zinc/77/44/36/418774436.db2.gz WVFVCKSLPWAFMW-GFCCVEGCSA-N 1 2 303.337 1.244 20 30 DDEDLO COC(=O)c1cn([C@H]2CCC[N@H+](C[C@H](C#N)CCC#N)C2)nn1 ZINC000368847782 418726003 /nfs/dbraw/zinc/72/60/03/418726003.db2.gz QSTHLJDXQBCQHW-STQMWFEESA-N 1 2 316.365 1.145 20 30 DDEDLO COC(=O)c1cn([C@H]2CCC[N@@H+](C[C@H](C#N)CCC#N)C2)nn1 ZINC000368847782 418726006 /nfs/dbraw/zinc/72/60/06/418726006.db2.gz QSTHLJDXQBCQHW-STQMWFEESA-N 1 2 316.365 1.145 20 30 DDEDLO N#CC1(C(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)CCCCC1 ZINC000408151879 418790638 /nfs/dbraw/zinc/79/06/38/418790638.db2.gz UATGZSRZLNSFAQ-UHFFFAOYSA-N 1 2 301.394 1.528 20 30 DDEDLO N#CCOc1ccc(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)cc1 ZINC000364950883 418827069 /nfs/dbraw/zinc/82/70/69/418827069.db2.gz DOQNINSERIHTNL-CQSZACIVSA-N 1 2 310.357 1.664 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCOc2c(F)cccc2C1 ZINC000365514210 418870127 /nfs/dbraw/zinc/87/01/27/418870127.db2.gz MLMPKFRMECWRDY-GFCCVEGCSA-N 1 2 305.353 1.781 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCOc2c(F)cccc2C1 ZINC000365514210 418870129 /nfs/dbraw/zinc/87/01/29/418870129.db2.gz MLMPKFRMECWRDY-GFCCVEGCSA-N 1 2 305.353 1.781 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000365519639 418870833 /nfs/dbraw/zinc/87/08/33/418870833.db2.gz XUYYAXQOGGCUTI-ARFHVFGLSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000365519639 418870835 /nfs/dbraw/zinc/87/08/35/418870835.db2.gz XUYYAXQOGGCUTI-ARFHVFGLSA-N 1 2 308.422 1.337 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)N2CCC[C@@H]2[C@H](C#N)c2ccccc2)C1 ZINC000365725825 418899905 /nfs/dbraw/zinc/89/99/05/418899905.db2.gz QARKWUOMCPXGRB-ZACQAIPSSA-N 1 2 313.401 1.615 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)N2CCC[C@@H]2[C@H](C#N)c2ccccc2)C1 ZINC000365725825 418899909 /nfs/dbraw/zinc/89/99/09/418899909.db2.gz QARKWUOMCPXGRB-ZACQAIPSSA-N 1 2 313.401 1.615 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000365254979 418846231 /nfs/dbraw/zinc/84/62/31/418846231.db2.gz JTIXSEXIMKNIBB-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000365254979 418846232 /nfs/dbraw/zinc/84/62/32/418846232.db2.gz JTIXSEXIMKNIBB-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)c2cccc(C[NH+]3CCOCC3)c2)C1 ZINC000424980189 228343383 /nfs/dbraw/zinc/34/33/83/228343383.db2.gz UKVSJKXMZUIMAX-WMLDXEAASA-N 1 2 313.401 1.941 20 30 DDEDLO Cc1cc(NCc2ccc[nH+]c2N2CCOCC2)c(C#N)cn1 ZINC000425204147 228389850 /nfs/dbraw/zinc/38/98/50/228389850.db2.gz GIYNUHFRHGYDLU-UHFFFAOYSA-N 1 2 309.373 1.527 20 30 DDEDLO COCC(C)(C)[NH+]1CCN(S(=O)(=O)CCCCC#N)CC1 ZINC000425212064 228392048 /nfs/dbraw/zinc/39/20/48/228392048.db2.gz ZAKYSODFCAJNQZ-UHFFFAOYSA-N 1 2 317.455 1.053 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C[C@H](CC)O1 ZINC000420950631 419380139 /nfs/dbraw/zinc/38/01/39/419380139.db2.gz GLMBAYVVILPECG-XHSDSOJGSA-N 1 2 301.390 1.974 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C[C@H](CC)O1 ZINC000420950631 419380142 /nfs/dbraw/zinc/38/01/42/419380142.db2.gz GLMBAYVVILPECG-XHSDSOJGSA-N 1 2 301.390 1.974 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)C[C@H](CC)O1 ZINC000420950725 419381799 /nfs/dbraw/zinc/38/17/99/419381799.db2.gz GQBIEXLHLFMRMF-GASCZTMLSA-N 1 2 306.410 1.532 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)C[C@H](CC)O1 ZINC000420950725 419381805 /nfs/dbraw/zinc/38/18/05/419381805.db2.gz GQBIEXLHLFMRMF-GASCZTMLSA-N 1 2 306.410 1.532 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1cccc(SCC#N)c1 ZINC000430698488 420180641 /nfs/dbraw/zinc/18/06/41/420180641.db2.gz ZMTAHUSKYUVQDK-ZDUSSCGKSA-N 1 2 319.430 1.753 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1cccc(SCC#N)c1 ZINC000430698488 420180647 /nfs/dbraw/zinc/18/06/47/420180647.db2.gz ZMTAHUSKYUVQDK-ZDUSSCGKSA-N 1 2 319.430 1.753 20 30 DDEDLO C=CCC1(C(=O)N[C@H](CO)C[NH+]2CCOCC2)CCCCC1 ZINC000425297244 420343273 /nfs/dbraw/zinc/34/32/73/420343273.db2.gz USQBZIAEWVUTFV-HNNXBMFYSA-N 1 2 310.438 1.322 20 30 DDEDLO C[C@H]1CN(S(=O)(=O)CCCC#N)CC[N@@H+]1Cc1ccccc1 ZINC000416583526 420424737 /nfs/dbraw/zinc/42/47/37/420424737.db2.gz CHJHEGDUPNPETD-HNNXBMFYSA-N 1 2 321.446 1.826 20 30 DDEDLO C[C@H]1CN(S(=O)(=O)CCCC#N)CC[N@H+]1Cc1ccccc1 ZINC000416583526 420424738 /nfs/dbraw/zinc/42/47/38/420424738.db2.gz CHJHEGDUPNPETD-HNNXBMFYSA-N 1 2 321.446 1.826 20 30 DDEDLO C=CC(C)(C)CNC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000452778814 420660095 /nfs/dbraw/zinc/66/00/95/420660095.db2.gz ZLBJABUDMLTPND-UHFFFAOYSA-N 1 2 302.422 1.532 20 30 DDEDLO CC(C)(CC#N)CNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000458583962 420692413 /nfs/dbraw/zinc/69/24/13/420692413.db2.gz HTZFDLFUAJSXQI-ZDUSSCGKSA-N 1 2 301.394 1.899 20 30 DDEDLO C=CCC[C@H](NC(=O)/C=C\c1[nH+]ccn1CC)C(=O)OCC ZINC000493130739 420764843 /nfs/dbraw/zinc/76/48/43/420764843.db2.gz OKFPLJGBVHFFIN-XPSMFNQNSA-N 1 2 305.378 1.930 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2cccc(Cl)c2C1 ZINC000459676992 420843234 /nfs/dbraw/zinc/84/32/34/420843234.db2.gz MBOSYWYAFNTLRX-UHFFFAOYSA-N 1 2 307.781 1.710 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2cccc(Cl)c2C1 ZINC000459676992 420843238 /nfs/dbraw/zinc/84/32/38/420843238.db2.gz MBOSYWYAFNTLRX-UHFFFAOYSA-N 1 2 307.781 1.710 20 30 DDEDLO N#Cc1ccc(/C=C\C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000493167483 420776444 /nfs/dbraw/zinc/77/64/44/420776444.db2.gz POJOVBORXBIXLH-HGGBWVLCSA-N 1 2 311.385 1.551 20 30 DDEDLO N#Cc1ccc(/C=C\C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000493167483 420776447 /nfs/dbraw/zinc/77/64/47/420776447.db2.gz POJOVBORXBIXLH-HGGBWVLCSA-N 1 2 311.385 1.551 20 30 DDEDLO C=C(CC)CNC(=O)NC[C@@H]1C[C@H](F)CN1Cc1[nH+]ccn1C ZINC000459075216 420797697 /nfs/dbraw/zinc/79/76/97/420797697.db2.gz LCHXQKGSBWNRNG-KBPBESRZSA-N 1 2 323.416 1.598 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CCOC)Cc1cncs1 ZINC000447849048 420806744 /nfs/dbraw/zinc/80/67/44/420806744.db2.gz GGGXEFDXPLGYPO-ZDUSSCGKSA-N 1 2 324.450 1.600 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CCOC)Cc1cncs1 ZINC000447849048 420806747 /nfs/dbraw/zinc/80/67/47/420806747.db2.gz GGGXEFDXPLGYPO-ZDUSSCGKSA-N 1 2 324.450 1.600 20 30 DDEDLO Cc1nc(N2CC[NH+]([C@@H](C)C(=O)NC3CC3)CC2)ccc1C#N ZINC000487944771 421058147 /nfs/dbraw/zinc/05/81/47/421058147.db2.gz UECKXLBTENOVSK-ZDUSSCGKSA-N 1 2 313.405 1.051 20 30 DDEDLO CCn1cc[nH+]c1CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000495813444 421070429 /nfs/dbraw/zinc/07/04/29/421070429.db2.gz HKXLGVYKRRWNOP-ZDUSSCGKSA-N 1 2 319.409 1.848 20 30 DDEDLO C=CCOc1ccc(CC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)cc1 ZINC000450130320 421146763 /nfs/dbraw/zinc/14/67/63/421146763.db2.gz LJPMHWJLENIFEV-IRXDYDNUSA-N 1 2 316.401 1.335 20 30 DDEDLO C=CCOc1ccc(CC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)cc1 ZINC000450130320 421146766 /nfs/dbraw/zinc/14/67/66/421146766.db2.gz LJPMHWJLENIFEV-IRXDYDNUSA-N 1 2 316.401 1.335 20 30 DDEDLO C=CCCCS(=O)(=O)N1CC[NH+](CCOC(C)C)CC1 ZINC000489553808 421164114 /nfs/dbraw/zinc/16/41/14/421164114.db2.gz RWOWVGPEXXAKCW-UHFFFAOYSA-N 1 2 304.456 1.325 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1Cc2ccc(OC)cc2OC(C)(C)C1 ZINC000491787742 421203292 /nfs/dbraw/zinc/20/32/92/421203292.db2.gz WNGUXDSGYWXGHI-ZDUSSCGKSA-N 1 2 316.401 1.806 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1Cc2ccc(OC)cc2OC(C)(C)C1 ZINC000491787742 421203294 /nfs/dbraw/zinc/20/32/94/421203294.db2.gz WNGUXDSGYWXGHI-ZDUSSCGKSA-N 1 2 316.401 1.806 20 30 DDEDLO Cn1nccc1C1=CC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000560478299 421270193 /nfs/dbraw/zinc/27/01/93/421270193.db2.gz JMQXXBXBPMMEEK-QGZVFWFLSA-N 1 2 321.384 1.607 20 30 DDEDLO Cn1nccc1C1=CC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000560478299 421270195 /nfs/dbraw/zinc/27/01/95/421270195.db2.gz JMQXXBXBPMMEEK-QGZVFWFLSA-N 1 2 321.384 1.607 20 30 DDEDLO Cc1nc(N)c(CNC(=O)CSc2ccc(C#N)cc2)c[nH+]1 ZINC000561702362 421333459 /nfs/dbraw/zinc/33/34/59/421333459.db2.gz UFXDZTACELIPFG-UHFFFAOYSA-N 1 2 313.386 1.647 20 30 DDEDLO Cc1[nH+]c2cc(NC(=O)C(=O)N(C)[C@H](C)CC#N)ccc2n1C ZINC000527376178 421383689 /nfs/dbraw/zinc/38/36/89/421383689.db2.gz URIIEIZHANQGGP-SNVBAGLBSA-N 1 2 313.361 1.581 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)c(F)c1 ZINC000548310783 421420996 /nfs/dbraw/zinc/42/09/96/421420996.db2.gz KBBKTTUDFPSKMM-SNVBAGLBSA-N 1 2 302.284 1.778 20 30 DDEDLO CCOC[C@@H]1C[N@H+](C[C@H](O)COc2ccc(C#N)cc2)CCO1 ZINC000528725700 421513282 /nfs/dbraw/zinc/51/32/82/421513282.db2.gz VQWUVYVYPAMLGA-RDJZCZTQSA-N 1 2 320.389 1.035 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](C[C@H](O)COc2ccc(C#N)cc2)CCO1 ZINC000528725700 421513284 /nfs/dbraw/zinc/51/32/84/421513284.db2.gz VQWUVYVYPAMLGA-RDJZCZTQSA-N 1 2 320.389 1.035 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000563365936 421492725 /nfs/dbraw/zinc/49/27/25/421492725.db2.gz FNVAJRDIABVJFB-OAHLLOKOSA-N 1 2 311.389 1.770 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2cc(C#N)ccc2Cl)CCO1 ZINC000551967121 421560358 /nfs/dbraw/zinc/56/03/58/421560358.db2.gz GIQHUDYWLCSMCK-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2cc(C#N)ccc2Cl)CCO1 ZINC000551967121 421560359 /nfs/dbraw/zinc/56/03/59/421560359.db2.gz GIQHUDYWLCSMCK-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO N#Cc1ccc(Br)c(C[NH2+][C@]2(C(N)=O)CCOC2)c1 ZINC000564465292 421588737 /nfs/dbraw/zinc/58/87/37/421588737.db2.gz KFHHJWIAXVQSLP-CYBMUJFWSA-N 1 2 324.178 1.055 20 30 DDEDLO N#CC1(C[N@H+]2CCCN(CC(=O)N3CCCC3)CC2)CCC1 ZINC000517216523 421600782 /nfs/dbraw/zinc/60/07/82/421600782.db2.gz WLLYCTXHAJNAQI-UHFFFAOYSA-N 1 2 304.438 1.310 20 30 DDEDLO N#CC1(C[N@@H+]2CCCN(CC(=O)N3CCCC3)CC2)CCC1 ZINC000517216523 421600786 /nfs/dbraw/zinc/60/07/86/421600786.db2.gz WLLYCTXHAJNAQI-UHFFFAOYSA-N 1 2 304.438 1.310 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N[C@H](c1[nH+]ccn1C)C1CC1 ZINC000566139030 421602799 /nfs/dbraw/zinc/60/27/99/421602799.db2.gz YSHCKHDTLWVLRU-IHRRRGAJSA-N 1 2 304.394 1.762 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)CCNC(=O)C(C)C)c1C#N ZINC000536596167 421721748 /nfs/dbraw/zinc/72/17/48/421721748.db2.gz CSILEKHGKASKBN-UHFFFAOYSA-N 1 2 317.389 1.549 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)CCNC(=O)C(C)C)c1C#N ZINC000536596167 421721751 /nfs/dbraw/zinc/72/17/51/421721751.db2.gz CSILEKHGKASKBN-UHFFFAOYSA-N 1 2 317.389 1.549 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CC[C@H](OC(F)F)C1 ZINC000555075162 421668788 /nfs/dbraw/zinc/66/87/88/421668788.db2.gz OXMURKQAOCBQBM-UHIISALHSA-N 1 2 303.353 1.743 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CC[C@H](OC(F)F)C1 ZINC000555075162 421668790 /nfs/dbraw/zinc/66/87/90/421668790.db2.gz OXMURKQAOCBQBM-UHIISALHSA-N 1 2 303.353 1.743 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H](N[C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000533818092 421684123 /nfs/dbraw/zinc/68/41/23/421684123.db2.gz BJOJMSKUTSQJDE-ZWKOTPCHSA-N 1 2 320.396 1.861 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H](N[C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000533818092 421684125 /nfs/dbraw/zinc/68/41/25/421684125.db2.gz BJOJMSKUTSQJDE-ZWKOTPCHSA-N 1 2 320.396 1.861 20 30 DDEDLO CN1Cc2ccccc2[C@@H]([NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000533818092 421684128 /nfs/dbraw/zinc/68/41/28/421684128.db2.gz BJOJMSKUTSQJDE-ZWKOTPCHSA-N 1 2 320.396 1.861 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CC[C@@H](N3CCCC3=O)C2)cc1 ZINC000534127329 421684626 /nfs/dbraw/zinc/68/46/26/421684626.db2.gz BQSGWVQYRQOZOK-OAHLLOKOSA-N 1 2 312.373 1.193 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CC[C@@H](N3CCCC3=O)C2)cc1 ZINC000534127329 421684628 /nfs/dbraw/zinc/68/46/28/421684628.db2.gz BQSGWVQYRQOZOK-OAHLLOKOSA-N 1 2 312.373 1.193 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000571741520 421741243 /nfs/dbraw/zinc/74/12/43/421741243.db2.gz BZMPRSASMQGERD-XQLPTFJDSA-N 1 2 318.421 1.829 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000571741520 421741245 /nfs/dbraw/zinc/74/12/45/421741245.db2.gz BZMPRSASMQGERD-XQLPTFJDSA-N 1 2 318.421 1.829 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]CC(=O)N(CCC#N)c2ccccc2)o1 ZINC000541687366 421800058 /nfs/dbraw/zinc/80/00/58/421800058.db2.gz KNWSIBFPVZJSKZ-LBPRGKRZSA-N 1 2 313.361 1.976 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000572733073 421852210 /nfs/dbraw/zinc/85/22/10/421852210.db2.gz ZBHWAKJNKLCQJC-ZDUSSCGKSA-N 1 2 302.378 1.609 20 30 DDEDLO CC(C)(C(=O)NCc1cc(F)c(C#N)c(F)c1)[NH+]1CCOCC1 ZINC000581633756 422017314 /nfs/dbraw/zinc/01/73/14/422017314.db2.gz HHYHJZUYFVIMCN-UHFFFAOYSA-N 1 2 323.343 1.563 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000574061229 422057629 /nfs/dbraw/zinc/05/76/29/422057629.db2.gz FGRPERGICZSBIG-GXTWGEPZSA-N 1 2 321.421 1.139 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[NH2+]Cc1cn[nH]c1C ZINC000583929694 422219972 /nfs/dbraw/zinc/21/99/72/422219972.db2.gz XZUNAWUMWYWJBU-INIZCTEOSA-N 1 2 301.390 1.976 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCO[C@@H](CC(N)=O)C2)cc1OC ZINC000628474403 422190178 /nfs/dbraw/zinc/19/01/78/422190178.db2.gz YXKZROWNGIQYCN-AWEZNQCLSA-N 1 2 320.389 1.336 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCO[C@@H](CC(N)=O)C2)cc1OC ZINC000628474403 422190181 /nfs/dbraw/zinc/19/01/81/422190181.db2.gz YXKZROWNGIQYCN-AWEZNQCLSA-N 1 2 320.389 1.336 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cccc(F)c1C#N ZINC000376171781 269895212 /nfs/dbraw/zinc/89/52/12/269895212.db2.gz KZFPPUMGLGTCFF-STQMWFEESA-N 1 2 317.364 1.633 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H]1SCCc2ccccc21 ZINC000577928130 422454295 /nfs/dbraw/zinc/45/42/95/422454295.db2.gz CKJCLHXACOGNJG-ZBFHGGJFSA-N 1 2 303.431 1.977 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCOC[C@@H]3CC3CCC3)cnc12 ZINC000578627421 422611303 /nfs/dbraw/zinc/61/13/03/422611303.db2.gz VOHQSTZKLOHSBD-INIZCTEOSA-N 1 2 311.389 1.992 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCOC[C@@H]3CC3CCC3)cnc12 ZINC000578627421 422611307 /nfs/dbraw/zinc/61/13/07/422611307.db2.gz VOHQSTZKLOHSBD-INIZCTEOSA-N 1 2 311.389 1.992 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CCC[N@H+](Cc3ncon3)C2)C1 ZINC000632997949 422703292 /nfs/dbraw/zinc/70/32/92/422703292.db2.gz AJDMFFGPUCVTNP-ZDUSSCGKSA-N 1 2 304.394 1.754 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CCC[N@@H+](Cc3ncon3)C2)C1 ZINC000632997949 422703296 /nfs/dbraw/zinc/70/32/96/422703296.db2.gz AJDMFFGPUCVTNP-ZDUSSCGKSA-N 1 2 304.394 1.754 20 30 DDEDLO C=CCNC(=O)[C@@H](C)n1cc(Cn2c(C)[nH+]c3ccccc32)nn1 ZINC000640886214 423256999 /nfs/dbraw/zinc/25/69/99/423256999.db2.gz PCPVPAUEOGNSFA-GFCCVEGCSA-N 1 2 324.388 1.848 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCOc2ccccc2OCC)nn1 ZINC000640949973 423293280 /nfs/dbraw/zinc/29/32/80/423293280.db2.gz IBGDFPVZWUARCF-UHFFFAOYSA-N 1 2 314.389 1.869 20 30 DDEDLO C=CCCn1cc(CN2CC[NH+](Cc3ccncc3)CC2)nn1 ZINC000653592639 423541085 /nfs/dbraw/zinc/54/10/85/423541085.db2.gz IVVHBBJDYMZMAE-UHFFFAOYSA-N 1 2 312.421 1.567 20 30 DDEDLO C=CCOCCNS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000641417596 423622164 /nfs/dbraw/zinc/62/21/64/423622164.db2.gz VQHOIANHDXZVQW-UHFFFAOYSA-N 1 2 310.419 1.155 20 30 DDEDLO C=CCOCCNS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000641417596 423622169 /nfs/dbraw/zinc/62/21/69/423622169.db2.gz VQHOIANHDXZVQW-UHFFFAOYSA-N 1 2 310.419 1.155 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000651969771 423758243 /nfs/dbraw/zinc/75/82/43/423758243.db2.gz GKHDRONTQLYSLG-GUYCJALGSA-N 1 2 303.406 1.859 20 30 DDEDLO CCNC(=O)C[N@H+](CC)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000008309524 264255299 /nfs/dbraw/zinc/25/52/99/264255299.db2.gz LFHYCNODDGYSDB-LBPRGKRZSA-N 1 2 302.378 1.343 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000008309524 264255302 /nfs/dbraw/zinc/25/53/02/264255302.db2.gz LFHYCNODDGYSDB-LBPRGKRZSA-N 1 2 302.378 1.343 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(C(=O)CC(C)(C)C)CC1 ZINC000642097587 424109693 /nfs/dbraw/zinc/10/96/93/424109693.db2.gz KQSHGFJJCVEVKQ-UHFFFAOYSA-N 1 2 323.481 1.991 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000645035375 424127620 /nfs/dbraw/zinc/12/76/20/424127620.db2.gz OWKZHWIBRBGRBA-KKUMJFAQSA-N 1 2 304.394 1.821 20 30 DDEDLO C=CCOCCCNC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000661793483 424180146 /nfs/dbraw/zinc/18/01/46/424180146.db2.gz ZMQLRTWDUNSHHX-CABCVRRESA-N 1 2 311.426 1.084 20 30 DDEDLO CC(=O)c1cccc(OC[C@H](O)C[N@@H+]2CC[C@@](C)(C#N)C2)c1 ZINC000662081216 424378010 /nfs/dbraw/zinc/37/80/10/424378010.db2.gz WIABWKXFNIQLFG-WBVHZDCISA-N 1 2 302.374 1.864 20 30 DDEDLO CC(=O)c1cccc(OC[C@H](O)C[N@H+]2CC[C@@](C)(C#N)C2)c1 ZINC000662081216 424378014 /nfs/dbraw/zinc/37/80/14/424378014.db2.gz WIABWKXFNIQLFG-WBVHZDCISA-N 1 2 302.374 1.864 20 30 DDEDLO C=CCCNC(=O)C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000658388015 424662463 /nfs/dbraw/zinc/66/24/63/424662463.db2.gz NVZNZRNUJDXQIS-UHFFFAOYSA-N 1 2 317.389 1.150 20 30 DDEDLO C=CCCC1(CNC(=O)C(=O)N2CC[NH+](CC)CC2)CCCC1 ZINC000658412774 424674448 /nfs/dbraw/zinc/67/44/48/424674448.db2.gz TWRYUUVBSDEZAO-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO CC[N@@H+](Cc1cnc2ccc(C#N)cn12)C[C@H](O)C(F)(F)F ZINC000342770421 266139288 /nfs/dbraw/zinc/13/92/88/266139288.db2.gz XHVYMUPDRNYIIK-LBPRGKRZSA-N 1 2 312.295 1.951 20 30 DDEDLO CC[N@H+](Cc1cnc2ccc(C#N)cn12)C[C@H](O)C(F)(F)F ZINC000342770421 266139291 /nfs/dbraw/zinc/13/92/91/266139291.db2.gz XHVYMUPDRNYIIK-LBPRGKRZSA-N 1 2 312.295 1.951 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)CCN2C1=O ZINC000362821658 266142523 /nfs/dbraw/zinc/14/25/23/266142523.db2.gz BKCRMHWWMYQCAY-CQSZACIVSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)CCN2C1=O ZINC000362821658 266142526 /nfs/dbraw/zinc/14/25/26/266142526.db2.gz BKCRMHWWMYQCAY-CQSZACIVSA-N 1 2 316.336 1.166 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1sccc1C#N ZINC000376172382 267091543 /nfs/dbraw/zinc/09/15/43/267091543.db2.gz MKNWCNKYYYPGIO-YPMHNXCESA-N 1 2 305.403 1.555 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1C[C@@H](C)[C@H](O)C1 ZINC000351945303 267142601 /nfs/dbraw/zinc/14/26/01/267142601.db2.gz PHOIVHPCFHFSIZ-GIPNMCIBSA-N 1 2 319.430 1.748 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1C[C@@H](C)[C@H](O)C1 ZINC000351945303 267142603 /nfs/dbraw/zinc/14/26/03/267142603.db2.gz PHOIVHPCFHFSIZ-GIPNMCIBSA-N 1 2 319.430 1.748 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000355088468 267204694 /nfs/dbraw/zinc/20/46/94/267204694.db2.gz OLRLIJVMOHYHDQ-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000355088468 267204695 /nfs/dbraw/zinc/20/46/95/267204695.db2.gz OLRLIJVMOHYHDQ-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO C[N@@H+]1C2(CCC2)COC[C@@]1(CO)CNc1ccc(C#N)cc1F ZINC000528132883 267329985 /nfs/dbraw/zinc/32/99/85/267329985.db2.gz OTIGYQNBWQVDKX-KRWDZBQOSA-N 1 2 319.380 1.725 20 30 DDEDLO C[N@H+]1C2(CCC2)COC[C@@]1(CO)CNc1ccc(C#N)cc1F ZINC000528132883 267329988 /nfs/dbraw/zinc/32/99/88/267329988.db2.gz OTIGYQNBWQVDKX-KRWDZBQOSA-N 1 2 319.380 1.725 20 30 DDEDLO CN1C[C@H](C[NH+]2CCN(c3ccccc3C#N)CC2)OC1=O ZINC000496487447 267342251 /nfs/dbraw/zinc/34/22/51/267342251.db2.gz RTPOPNPAFVCIAH-CQSZACIVSA-N 1 2 300.362 1.131 20 30 DDEDLO N#Cc1ccc(CNC(=O)CN2CCC[C@H]2c2[nH]cc[nH+]2)cc1 ZINC000374867439 268067338 /nfs/dbraw/zinc/06/73/38/268067338.db2.gz ZSGRGOAJIHBQGT-HNNXBMFYSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([NH+]3CC(O)(C4CC4)C3)C2=O)cc1 ZINC000373183737 268113448 /nfs/dbraw/zinc/11/34/48/268113448.db2.gz TUISXPADHIIGAR-INIZCTEOSA-N 1 2 311.385 1.510 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CCC[C@H]1Cn1ccnn1 ZINC000459463805 268289810 /nfs/dbraw/zinc/28/98/10/268289810.db2.gz LTJZIISUBBZJCP-ZDUSSCGKSA-N 1 2 312.333 1.723 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CCC[C@H]1Cn1ccnn1 ZINC000459463805 268289812 /nfs/dbraw/zinc/28/98/12/268289812.db2.gz LTJZIISUBBZJCP-ZDUSSCGKSA-N 1 2 312.333 1.723 20 30 DDEDLO N#Cc1csc(C[NH2+]CC(=O)NCCc2ccccc2)n1 ZINC000352841931 268320737 /nfs/dbraw/zinc/32/07/37/268320737.db2.gz UKKTWOZSUQFMBB-UHFFFAOYSA-N 1 2 300.387 1.463 20 30 DDEDLO CCOC(=O)[C@@H]([NH2+]Cc1cc(C#N)n(C)c1)C1CCOCC1 ZINC000361606976 269664651 /nfs/dbraw/zinc/66/46/51/269664651.db2.gz AYLPDMDZVHICSU-HNNXBMFYSA-N 1 2 305.378 1.345 20 30 DDEDLO Cc1nc(N2CCN(C(=O)C#Cc3ccccc3)CC2)cc[nH+]1 ZINC000184943361 274858562 /nfs/dbraw/zinc/85/85/62/274858562.db2.gz JQGXHMJHZOLJGU-UHFFFAOYSA-N 1 2 306.369 1.485 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C)OCC ZINC000342257766 276872501 /nfs/dbraw/zinc/87/25/01/276872501.db2.gz GEFUJJBGRBFITD-XHSDSOJGSA-N 1 2 310.438 1.679 20 30 DDEDLO C[C@@H](c1cccnc1)N1C(=O)C[C@H]([NH+]2CCC(C#N)CC2)C1=O ZINC000343026915 277652496 /nfs/dbraw/zinc/65/24/96/277652496.db2.gz VZRHLUJUDANRIN-WFASDCNBSA-N 1 2 312.373 1.506 20 30 DDEDLO C=C[C@H](C)NC(=O)[C@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000356367990 277910687 /nfs/dbraw/zinc/91/06/87/277910687.db2.gz MPAHIGNOAFAWHY-HEHGZKQESA-N 1 2 318.421 1.801 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000342265676 277917521 /nfs/dbraw/zinc/91/75/21/277917521.db2.gz NVBSNQOAPHIJFU-HLLBOEOZSA-N 1 2 310.438 1.537 20 30 DDEDLO Cc1cnc(C(=O)N[C@@H]2CCO[C@H](c3c[nH+]cn3C)C2)cn1 ZINC000330339607 279007732 /nfs/dbraw/zinc/00/77/32/279007732.db2.gz WVUNDZVGJYNRAB-RISCZKNCSA-N 1 2 301.350 1.743 20 30 DDEDLO COCCN(c1cc[nH+]cc1)S(=O)(=O)c1ccccc1C#N ZINC000126623508 281247517 /nfs/dbraw/zinc/24/75/17/281247517.db2.gz BSDQKZQVEZHDOZ-UHFFFAOYSA-N 1 2 317.370 1.795 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)CNC(=O)c1ccc(C#N)cc1 ZINC000171140272 298229317 /nfs/dbraw/zinc/22/93/17/298229317.db2.gz XRNZUEOJADEYMJ-UHFFFAOYSA-N 1 2 310.313 1.330 20 30 DDEDLO C[C@H](CC(=O)Nc1nc2n(c1C#N)CCS2)n1cc[nH+]c1 ZINC000563223046 303932149 /nfs/dbraw/zinc/93/21/49/303932149.db2.gz RODMDKLEUOZEPO-SECBINFHSA-N 1 2 302.363 1.647 20 30 DDEDLO C[C@@H]([NH2+]CCS(=O)(=O)c1ccc(C#N)cc1)c1ccn(C)n1 ZINC000567694424 308091302 /nfs/dbraw/zinc/09/13/02/308091302.db2.gz SQPXJBOUZVRJAF-GFCCVEGCSA-N 1 2 318.402 1.416 20 30 DDEDLO Cc1nc(C[NH2+][C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)[nH]c1C ZINC000570345767 308167130 /nfs/dbraw/zinc/16/71/30/308167130.db2.gz VFHRCNDKTUQEGX-MRXNPFEDSA-N 1 2 323.400 1.789 20 30 DDEDLO Cc1[nH]c(CN[C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)[nH+]c1C ZINC000570345767 308167131 /nfs/dbraw/zinc/16/71/31/308167131.db2.gz VFHRCNDKTUQEGX-MRXNPFEDSA-N 1 2 323.400 1.789 20 30 DDEDLO N#Cc1ccsc1NC(=O)C(=O)NCCCCn1cc[nH+]c1 ZINC000579260041 308519616 /nfs/dbraw/zinc/51/96/16/308519616.db2.gz UVHHQHSJXATHRU-UHFFFAOYSA-N 1 2 317.374 1.351 20 30 DDEDLO COC(=O)COc1ccc(C[NH2+]C[C@H](C)C#N)cc1[N+](=O)[O-] ZINC000530547886 331593910 /nfs/dbraw/zinc/59/39/10/331593910.db2.gz WEYKMXHNZGLTCI-SNVBAGLBSA-N 1 2 307.306 1.396 20 30 DDEDLO C=CCNC(=O)Nc1ccc(NC(=O)Cc2c[nH+]cn2C)cc1 ZINC000562244280 332563734 /nfs/dbraw/zinc/56/37/34/332563734.db2.gz XPWKXWHJLNSANX-UHFFFAOYSA-N 1 2 313.361 1.909 20 30 DDEDLO COCCCNC(=O)C[N@H+](C)CC#Cc1ccccc1Cl ZINC000134977555 341227706 /nfs/dbraw/zinc/22/77/06/341227706.db2.gz TXTBUXYYJDWARY-UHFFFAOYSA-N 1 2 308.809 1.776 20 30 DDEDLO COCCCNC(=O)C[N@@H+](C)CC#Cc1ccccc1Cl ZINC000134977555 341227707 /nfs/dbraw/zinc/22/77/07/341227707.db2.gz TXTBUXYYJDWARY-UHFFFAOYSA-N 1 2 308.809 1.776 20 30 DDEDLO Cc1cncc(N(Cc2[nH]cc[nH+]2)C(=O)CSCC#N)c1 ZINC000550085569 341309767 /nfs/dbraw/zinc/30/97/67/341309767.db2.gz NCBHRCMDFDEPHS-UHFFFAOYSA-N 1 2 301.375 1.903 20 30 DDEDLO CC[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C[C@@H](O)C(F)(F)F ZINC000249457798 341397838 /nfs/dbraw/zinc/39/78/38/341397838.db2.gz OADORIVNRWAGBP-ZYHUDNBSSA-N 1 2 307.316 1.040 20 30 DDEDLO CC[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)C[C@@H](O)C(F)(F)F ZINC000249457798 341397839 /nfs/dbraw/zinc/39/78/39/341397839.db2.gz OADORIVNRWAGBP-ZYHUDNBSSA-N 1 2 307.316 1.040 20 30 DDEDLO Cn1cc(-c2ncc(C(=O)N[C@](C)(C#N)C[NH+](C)C)s2)cn1 ZINC000567995597 341644699 /nfs/dbraw/zinc/64/46/99/341644699.db2.gz PLZLNPSHFQLQGK-CQSZACIVSA-N 1 2 318.406 1.117 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000671394322 485009514 /nfs/dbraw/zinc/00/95/14/485009514.db2.gz ILYWWZCEEPBJEQ-UHFFFAOYSA-N 1 2 313.401 1.319 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+](Cc2ccc(CC)s2)CC1 ZINC000668973730 485371841 /nfs/dbraw/zinc/37/18/41/485371841.db2.gz HLEDPUUCSOEPOQ-MRXNPFEDSA-N 1 2 308.447 1.892 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@H](c1cccs1)[NH+]1CCN(C)CC1 ZINC000674971899 485834770 /nfs/dbraw/zinc/83/47/70/485834770.db2.gz NQHXSWCATVQWIY-UONOGXRCSA-N 1 2 322.478 1.910 20 30 DDEDLO CCC#C[C@@H](C)[NH+]1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000677475635 486475822 /nfs/dbraw/zinc/47/58/22/486475822.db2.gz DVIMJPACIBRYJJ-MRXNPFEDSA-N 1 2 320.458 1.936 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)Nc2ccc3[nH+]ccn3c2)C1=O ZINC000685011316 486488186 /nfs/dbraw/zinc/48/81/86/486488186.db2.gz ZSWRCMWKISVKBV-CYBMUJFWSA-N 1 2 313.361 1.585 20 30 DDEDLO C[C@@H]1CCC[C@H](NC(=O)C[N@H+](C)CCNC(=O)N(C)C)[C@@H]1C ZINC000330621571 533867055 /nfs/dbraw/zinc/86/70/55/533867055.db2.gz MLJANAXPQUPHLV-MCIONIFRSA-N 1 2 312.458 1.335 20 30 DDEDLO C[C@@H]1CCC[C@H](NC(=O)C[N@@H+](C)CCNC(=O)N(C)C)[C@@H]1C ZINC000330621571 533867061 /nfs/dbraw/zinc/86/70/61/533867061.db2.gz MLJANAXPQUPHLV-MCIONIFRSA-N 1 2 312.458 1.335 20 30 DDEDLO C[C@@H]1CCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)[C@@H]1CO ZINC000331293292 533910199 /nfs/dbraw/zinc/91/01/99/533910199.db2.gz QRJJUXGXDIVAIC-NXEZZACHSA-N 1 2 320.315 1.562 20 30 DDEDLO Cc1ccc(/C=C\C(=O)N(CCC#N)CC[NH+]2CCOCC2)o1 ZINC000491413612 534248789 /nfs/dbraw/zinc/24/87/89/534248789.db2.gz FOIINGROMSOESO-WAYWQWQTSA-N 1 2 317.389 1.676 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CC[C@]2(O)CCCC[C@H]2C1 ZINC000329701849 534764840 /nfs/dbraw/zinc/76/48/40/534764840.db2.gz HPQHUHNKSBCBFR-OGHNNQOOSA-N 1 2 318.421 1.808 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CC[C@]2(O)CCCC[C@H]2C1 ZINC000329701849 534764843 /nfs/dbraw/zinc/76/48/43/534764843.db2.gz HPQHUHNKSBCBFR-OGHNNQOOSA-N 1 2 318.421 1.808 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1C[C@H](NC(=O)c2cc(C#N)cn2C)CCO1 ZINC000331744012 534815710 /nfs/dbraw/zinc/81/57/10/534815710.db2.gz HDABDOQXHYJXNQ-IUODEOHRSA-N 1 2 313.361 1.280 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+]([C@H](C)C(=O)NC2CC2)CC1 ZINC000424555842 526431186 /nfs/dbraw/zinc/43/11/86/526431186.db2.gz GPBHXORSURSCFR-CYBMUJFWSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(=O)[nH]c(C3CC3)n2)C1=O ZINC000459426207 526470735 /nfs/dbraw/zinc/47/07/35/526470735.db2.gz DACCYPCESUTCST-CYBMUJFWSA-N 1 2 302.378 1.278 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(=O)[nH]c(C3CC3)n2)C1=O ZINC000459426207 526470742 /nfs/dbraw/zinc/47/07/42/526470742.db2.gz DACCYPCESUTCST-CYBMUJFWSA-N 1 2 302.378 1.278 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cn(C(C)C)nc2C)CC1 ZINC000343585690 526538677 /nfs/dbraw/zinc/53/86/77/526538677.db2.gz CEYBMPOWNRFILF-UHFFFAOYSA-N 1 2 319.453 1.192 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cn(C(C)C)nc2C)CC1 ZINC000343585690 526538681 /nfs/dbraw/zinc/53/86/81/526538681.db2.gz CEYBMPOWNRFILF-UHFFFAOYSA-N 1 2 319.453 1.192 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cnc(CC)s2)CC1 ZINC000349762401 526539572 /nfs/dbraw/zinc/53/95/72/526539572.db2.gz DDYUAOMDMDLWFI-UHFFFAOYSA-N 1 2 308.451 1.125 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000330915955 526541460 /nfs/dbraw/zinc/54/14/60/526541460.db2.gz ZNIGAETUAKYFGO-UONOGXRCSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000330915955 526541461 /nfs/dbraw/zinc/54/14/61/526541461.db2.gz ZNIGAETUAKYFGO-UONOGXRCSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CCN(Cc2[nH+]ccn2C)CC1)OCC ZINC000339354989 526744398 /nfs/dbraw/zinc/74/43/98/526744398.db2.gz KWJJDFYLGTXOEI-OAHLLOKOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000346372066 526764972 /nfs/dbraw/zinc/76/49/72/526764972.db2.gz IJPMTYQHSYIBBB-YCPHGPKFSA-N 1 2 301.390 1.758 20 30 DDEDLO C=CCOCC[N@H+]1CCc2ccc(S(C)(=O)=O)cc2CC1 ZINC000339177833 526772994 /nfs/dbraw/zinc/77/29/94/526772994.db2.gz XGACVWVZJOKYKV-UHFFFAOYSA-N 1 2 309.431 1.693 20 30 DDEDLO C=CCOCC[N@@H+]1CCc2ccc(S(C)(=O)=O)cc2CC1 ZINC000339177833 526773000 /nfs/dbraw/zinc/77/30/00/526773000.db2.gz XGACVWVZJOKYKV-UHFFFAOYSA-N 1 2 309.431 1.693 20 30 DDEDLO CC(C)(C)c1nsc(NC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)n1 ZINC000329772896 526855426 /nfs/dbraw/zinc/85/54/26/526855426.db2.gz HTLGCLWTDPREPS-QMMMGPOBSA-N 1 2 320.422 1.864 20 30 DDEDLO CC(C)(C)c1nsc(NC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)n1 ZINC000329772896 526855428 /nfs/dbraw/zinc/85/54/28/526855428.db2.gz HTLGCLWTDPREPS-QMMMGPOBSA-N 1 2 320.422 1.864 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)NCC(=O)Nc1cccc(Cl)c1C ZINC000490865286 526895303 /nfs/dbraw/zinc/89/53/03/526895303.db2.gz AAKPRDZVVMYXKX-UHFFFAOYSA-N 1 2 321.808 1.658 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)NCC(=O)Nc1cccc(Cl)c1C ZINC000490865286 526895308 /nfs/dbraw/zinc/89/53/08/526895308.db2.gz AAKPRDZVVMYXKX-UHFFFAOYSA-N 1 2 321.808 1.658 20 30 DDEDLO C#CC[N@@H+](CC)Cc1nc2oc(C)c(C(=O)OCC)c2c(N)n1 ZINC000491047120 526896871 /nfs/dbraw/zinc/89/68/71/526896871.db2.gz SXNSCAASBXBNQA-UHFFFAOYSA-N 1 2 316.361 1.745 20 30 DDEDLO C#CC[N@H+](CC)Cc1nc2oc(C)c(C(=O)OCC)c2c(N)n1 ZINC000491047120 526896874 /nfs/dbraw/zinc/89/68/74/526896874.db2.gz SXNSCAASBXBNQA-UHFFFAOYSA-N 1 2 316.361 1.745 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1C[C@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000348784704 526935167 /nfs/dbraw/zinc/93/51/67/526935167.db2.gz OUAVGWSOJAMCBT-GDBMZVCRSA-N 1 2 307.415 1.870 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1C[C@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000348784704 526935169 /nfs/dbraw/zinc/93/51/69/526935169.db2.gz OUAVGWSOJAMCBT-GDBMZVCRSA-N 1 2 307.415 1.870 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1CC[NH+](CCOC)CC1 ZINC000341415285 526956456 /nfs/dbraw/zinc/95/64/56/526956456.db2.gz CINFKPDXTNMPHE-UHFFFAOYSA-N 1 2 305.378 1.051 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000341423378 526961340 /nfs/dbraw/zinc/96/13/40/526961340.db2.gz VQHQJRWRHZKDTN-UHFFFAOYSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000341423378 526961352 /nfs/dbraw/zinc/96/13/52/526961352.db2.gz VQHQJRWRHZKDTN-UHFFFAOYSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)CC(C)(C)C)CC1 ZINC000491038228 526994728 /nfs/dbraw/zinc/99/47/28/526994728.db2.gz IHGKKHXOKXBRRE-HNNXBMFYSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)CC(C)(C)C)CC1 ZINC000491038228 526994733 /nfs/dbraw/zinc/99/47/33/526994733.db2.gz IHGKKHXOKXBRRE-HNNXBMFYSA-N 1 2 321.465 1.342 20 30 DDEDLO C=CC[C@@H](C(=O)N[C@H](CO)C[NH+]1CCOCC1)c1ccccc1 ZINC000425294779 527018539 /nfs/dbraw/zinc/01/85/39/527018539.db2.gz NXQCGQHOCLZQGD-DLBZAZTESA-N 1 2 318.417 1.156 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@H](C)c1ncc(Br)cn1 ZINC000491363123 527031023 /nfs/dbraw/zinc/03/10/23/527031023.db2.gz XYQBYIDLJZNDTE-BDAKNGLRSA-N 1 2 311.183 1.028 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1ccc(F)cc1C#N ZINC000416904461 527086390 /nfs/dbraw/zinc/08/63/90/527086390.db2.gz OSVYMTQVNYJOEO-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CC[NH+](Cc2ccccc2)CC1 ZINC000342477935 527203455 /nfs/dbraw/zinc/20/34/55/527203455.db2.gz JAMXWTKOGCHCOT-UHFFFAOYSA-N 1 2 315.417 1.413 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)NCC(=O)Nc1cc(C)ccc1OC ZINC000491375160 527212596 /nfs/dbraw/zinc/21/25/96/527212596.db2.gz UTYNPYYPIBIXRO-CYBMUJFWSA-N 1 2 317.389 1.012 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)NCC(=O)Nc1cc(C)ccc1OC ZINC000491375160 527212606 /nfs/dbraw/zinc/21/26/06/527212606.db2.gz UTYNPYYPIBIXRO-CYBMUJFWSA-N 1 2 317.389 1.012 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000491232002 527237877 /nfs/dbraw/zinc/23/78/77/527237877.db2.gz XEKMBMWJFCWINY-ZFWWWQNUSA-N 1 2 316.405 1.518 20 30 DDEDLO C#C[C@H](NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C)c1ccc(F)cc1 ZINC000491352436 527393694 /nfs/dbraw/zinc/39/36/94/527393694.db2.gz ZNVGINZWTYLZHR-CVEARBPZSA-N 1 2 318.396 1.045 20 30 DDEDLO C#C[C@H](NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)c1ccc(F)cc1 ZINC000491352436 527393699 /nfs/dbraw/zinc/39/36/99/527393699.db2.gz ZNVGINZWTYLZHR-CVEARBPZSA-N 1 2 318.396 1.045 20 30 DDEDLO C=C[C@@H](CO)[NH2+]Cc1cn(-c2ccccc2Br)nn1 ZINC000352453270 527441140 /nfs/dbraw/zinc/44/11/40/527441140.db2.gz LIVZDYWYNJGXKP-JTQLQIEISA-N 1 2 323.194 1.666 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000457792015 527470257 /nfs/dbraw/zinc/47/02/57/527470257.db2.gz NJQMXBSUURFTEP-CQSZACIVSA-N 1 2 306.410 1.388 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000334104164 527505578 /nfs/dbraw/zinc/50/55/78/527505578.db2.gz XJMQCFGOQGPPBH-YJNKXOJESA-N 1 2 304.394 1.819 20 30 DDEDLO CC[N@H+](CC(=O)N1CCO[C@@H](C#N)C1)Cc1ccccc1Cl ZINC000339274590 527897960 /nfs/dbraw/zinc/89/79/60/527897960.db2.gz WFMRHYNLQHZWHP-AWEZNQCLSA-N 1 2 321.808 1.913 20 30 DDEDLO CC[N@@H+](CC(=O)N1CCO[C@@H](C#N)C1)Cc1ccccc1Cl ZINC000339274590 527897962 /nfs/dbraw/zinc/89/79/62/527897962.db2.gz WFMRHYNLQHZWHP-AWEZNQCLSA-N 1 2 321.808 1.913 20 30 DDEDLO CC(C)CO[C@@H]1CCN(C([O-])=[NH+][C@H]2CCn3cc[nH+]c3C2)C1 ZINC000330179869 528123034 /nfs/dbraw/zinc/12/30/34/528123034.db2.gz FOTQDBFNRHPDIF-UONOGXRCSA-N 1 2 306.410 1.859 20 30 DDEDLO CC(C)CO[C@@H]1CCN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000330179869 528123044 /nfs/dbraw/zinc/12/30/44/528123044.db2.gz FOTQDBFNRHPDIF-UONOGXRCSA-N 1 2 306.410 1.859 20 30 DDEDLO CC1(C)CC[C@@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)O1 ZINC000345692615 529014089 /nfs/dbraw/zinc/01/40/89/529014089.db2.gz MCIGSRACTVDKKL-AWEZNQCLSA-N 1 2 309.410 1.018 20 30 DDEDLO CCN1CCN(C(=O)N[C@@H]2CCC[C@H]2C#N)C[C@H]1c1[nH]cc[nH+]1 ZINC000433350340 529128733 /nfs/dbraw/zinc/12/87/33/529128733.db2.gz BQIDKDUAJCTPRP-MJBXVCDLSA-N 1 2 316.409 1.490 20 30 DDEDLO CC[NH+]1CCN(S(=O)(=O)Cc2ccc(C#N)cc2F)CC1 ZINC000440046900 529150156 /nfs/dbraw/zinc/15/01/56/529150156.db2.gz DWFCQHWQDZSELT-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO C(=NNc1cccc(-c2nn[nH]n2)c1)c1cccc2[nH+]ccn21 ZINC000819718225 607325478 /nfs/dbraw/zinc/32/54/78/607325478.db2.gz BMCSHXPZRIPUHM-UHFFFAOYSA-N 1 2 304.317 1.960 20 30 DDEDLO C=CC[N@H+](Cc1cccc(-c2nn[nH]n2)c1)Cc1cncnc1 ZINC000823920156 608633358 /nfs/dbraw/zinc/63/33/58/608633358.db2.gz YHPDJAROVDJBEW-UHFFFAOYSA-N 1 2 307.361 1.845 20 30 DDEDLO C=CC[N@@H+](Cc1cccc(-c2nn[nH]n2)c1)Cc1cncnc1 ZINC000823920156 608633359 /nfs/dbraw/zinc/63/33/59/608633359.db2.gz YHPDJAROVDJBEW-UHFFFAOYSA-N 1 2 307.361 1.845 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C[C@@H]2CCOC2)C(C)(C)C1 ZINC000972981658 695387157 /nfs/dbraw/zinc/38/71/57/695387157.db2.gz ZRFVGRHHZQSFNQ-QWHCGFSZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C[C@@H]2CCOC2)C(C)(C)C1 ZINC000972981658 695387159 /nfs/dbraw/zinc/38/71/59/695387159.db2.gz ZRFVGRHHZQSFNQ-QWHCGFSZSA-N 1 2 300.830 1.992 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ccn(C)n3)C2)cc1 ZINC000972057625 695148233 /nfs/dbraw/zinc/14/82/33/695148233.db2.gz BCADOILASZNKJG-GOSISDBHSA-N 1 2 322.412 1.748 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@H+](C)Cc3ccn(C)n3)C2)cc1 ZINC000972057625 695148235 /nfs/dbraw/zinc/14/82/35/695148235.db2.gz BCADOILASZNKJG-GOSISDBHSA-N 1 2 322.412 1.748 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3C)C2)C1 ZINC000972329330 695217717 /nfs/dbraw/zinc/21/77/17/695217717.db2.gz VBFOBDWHYSRTCX-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3C)C2)C1 ZINC000972329330 695217718 /nfs/dbraw/zinc/21/77/18/695217718.db2.gz VBFOBDWHYSRTCX-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3(C)CCCC3)C2)C1 ZINC000972371134 695232600 /nfs/dbraw/zinc/23/26/00/695232600.db2.gz RMQZZIYHLMSDHK-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3(C)CCCC3)C2)C1 ZINC000972371134 695232602 /nfs/dbraw/zinc/23/26/02/695232602.db2.gz RMQZZIYHLMSDHK-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccncc3C)C2)C1 ZINC000972373199 695233243 /nfs/dbraw/zinc/23/32/43/695233243.db2.gz JIWQWZNOSOFMIJ-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccncc3C)C2)C1 ZINC000972373199 695233244 /nfs/dbraw/zinc/23/32/44/695233244.db2.gz JIWQWZNOSOFMIJ-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCCC4(CC4)C3)C2)C1 ZINC000972386957 695237556 /nfs/dbraw/zinc/23/75/56/695237556.db2.gz IWEZFLFFMNQIEE-QFBILLFUSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCCC4(CC4)C3)C2)C1 ZINC000972386957 695237558 /nfs/dbraw/zinc/23/75/58/695237558.db2.gz IWEZFLFFMNQIEE-QFBILLFUSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(=C)Cl)C2)C1 ZINC000972394438 695239069 /nfs/dbraw/zinc/23/90/69/695239069.db2.gz HQYSUBADVXCKRS-INIZCTEOSA-N 1 2 310.825 1.702 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(=C)Cl)C2)C1 ZINC000972394438 695239072 /nfs/dbraw/zinc/23/90/72/695239072.db2.gz HQYSUBADVXCKRS-INIZCTEOSA-N 1 2 310.825 1.702 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3scnc3CC)C2)C1 ZINC000972404669 695240721 /nfs/dbraw/zinc/24/07/21/695240721.db2.gz HETZUODEBRMUDV-INIZCTEOSA-N 1 2 321.446 1.808 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3scnc3CC)C2)C1 ZINC000972404669 695240724 /nfs/dbraw/zinc/24/07/24/695240724.db2.gz HETZUODEBRMUDV-INIZCTEOSA-N 1 2 321.446 1.808 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3OCC[C@H]3CC)C2)C1 ZINC000972422550 695245995 /nfs/dbraw/zinc/24/59/95/695245995.db2.gz OGPNFVAYSOYUEF-VYDXJSESSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3OCC[C@H]3CC)C2)C1 ZINC000972422550 695245997 /nfs/dbraw/zinc/24/59/97/695245997.db2.gz OGPNFVAYSOYUEF-VYDXJSESSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3occc3C)C2)C1 ZINC000972542112 695277625 /nfs/dbraw/zinc/27/76/25/695277625.db2.gz FYDHGKOZJRYOSG-KRWDZBQOSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3occc3C)C2)C1 ZINC000972542112 695277626 /nfs/dbraw/zinc/27/76/26/695277626.db2.gz FYDHGKOZJRYOSG-KRWDZBQOSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C(C)(F)F)C2)C1 ZINC000972556162 695281829 /nfs/dbraw/zinc/28/18/29/695281829.db2.gz DGMUOUSXGDZIGD-DOMZBBRYSA-N 1 2 302.365 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C(C)(F)F)C2)C1 ZINC000972556162 695281830 /nfs/dbraw/zinc/28/18/30/695281830.db2.gz DGMUOUSXGDZIGD-DOMZBBRYSA-N 1 2 302.365 1.767 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3cccs3)C2)C1 ZINC000972668922 695314094 /nfs/dbraw/zinc/31/40/94/695314094.db2.gz GDAAQBXRTSYIKJ-QGZVFWFLSA-N 1 2 318.442 1.617 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3cccs3)C2)C1 ZINC000972668922 695314098 /nfs/dbraw/zinc/31/40/98/695314098.db2.gz GDAAQBXRTSYIKJ-QGZVFWFLSA-N 1 2 318.442 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cnn(C)c2)C(C)(C)C1 ZINC000972843612 695341257 /nfs/dbraw/zinc/34/12/57/695341257.db2.gz GXUSTNTWPLCBOV-ZDUSSCGKSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cnn(C)c2)C(C)(C)C1 ZINC000972843612 695341261 /nfs/dbraw/zinc/34/12/61/695341261.db2.gz GXUSTNTWPLCBOV-ZDUSSCGKSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc(OC)ncn2)C(C)(C)C1 ZINC000974586858 695691411 /nfs/dbraw/zinc/69/14/11/695691411.db2.gz KRZGUVPIKHIGDB-GFCCVEGCSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc(OC)ncn2)C(C)(C)C1 ZINC000974586858 695691412 /nfs/dbraw/zinc/69/14/12/695691412.db2.gz KRZGUVPIKHIGDB-GFCCVEGCSA-N 1 2 324.812 1.678 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(Cc2cc(C#N)ccc2F)CC1 ZINC000746465460 700025367 /nfs/dbraw/zinc/02/53/67/700025367.db2.gz VGRXQSXDZAWEKW-NRFANRHFSA-N 1 2 309.410 1.193 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C[C@H]21 ZINC000974723996 695719025 /nfs/dbraw/zinc/71/90/25/695719025.db2.gz WUKPIVPHLMCQSZ-ZIAGYGMSSA-N 1 2 316.405 1.155 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCC(=O)NCC2CCC2)cc1 ZINC000066468576 696352632 /nfs/dbraw/zinc/35/26/32/696352632.db2.gz IMSDLGCMUOFCSK-UHFFFAOYSA-N 1 2 305.378 1.443 20 30 DDEDLO N#CC1CC[NH+](CC(=O)NC2CCC3(CC2)OCCO3)CC1 ZINC000141962672 696868246 /nfs/dbraw/zinc/86/82/46/696868246.db2.gz DZROZJCCHODWHZ-UHFFFAOYSA-N 1 2 307.394 1.024 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)nc1 ZINC000981671845 696868551 /nfs/dbraw/zinc/86/85/51/696868551.db2.gz KIABNQMJFCYLNP-AWEZNQCLSA-N 1 2 313.405 1.369 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)nc1 ZINC000981671845 696868555 /nfs/dbraw/zinc/86/85/55/696868555.db2.gz KIABNQMJFCYLNP-AWEZNQCLSA-N 1 2 313.405 1.369 20 30 DDEDLO CC(=O)OC1CC[NH+]([C@@H](C)C(=O)Nc2ccccc2C#N)CC1 ZINC000153457594 696916124 /nfs/dbraw/zinc/91/61/24/696916124.db2.gz MCSXBSGWIVLZBM-LBPRGKRZSA-N 1 2 315.373 1.913 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000156255280 696960214 /nfs/dbraw/zinc/96/02/14/696960214.db2.gz HMZXLUQSRKNRLY-UHFFFAOYSA-N 1 2 302.378 1.595 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CC2OCCCO2)CC1 ZINC000981031082 696984268 /nfs/dbraw/zinc/98/42/68/696984268.db2.gz DGLLUSCETSKJCU-UHFFFAOYSA-N 1 2 302.802 1.426 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CC2OCCCO2)CC1 ZINC000981031082 696984270 /nfs/dbraw/zinc/98/42/70/696984270.db2.gz DGLLUSCETSKJCU-UHFFFAOYSA-N 1 2 302.802 1.426 20 30 DDEDLO Cn1nncc1C(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC000981071369 696996386 /nfs/dbraw/zinc/99/63/86/696996386.db2.gz IGIWKJHMBLPPAF-UHFFFAOYSA-N 1 2 324.388 1.035 20 30 DDEDLO Cn1nncc1C(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC000981071369 696996387 /nfs/dbraw/zinc/99/63/87/696996387.db2.gz IGIWKJHMBLPPAF-UHFFFAOYSA-N 1 2 324.388 1.035 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cn2cccc(C)c2=O)CC1 ZINC000981154221 697020517 /nfs/dbraw/zinc/02/05/17/697020517.db2.gz MFEQAOLJWUAVFP-UHFFFAOYSA-N 1 2 323.824 1.444 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cn2cccc(C)c2=O)CC1 ZINC000981154221 697020519 /nfs/dbraw/zinc/02/05/19/697020519.db2.gz MFEQAOLJWUAVFP-UHFFFAOYSA-N 1 2 323.824 1.444 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CCC[C@H](NCC#N)C2)c[nH+]1 ZINC000981166473 697024459 /nfs/dbraw/zinc/02/44/59/697024459.db2.gz MGFSRBUEWBHBPR-UONOGXRCSA-N 1 2 303.410 1.467 20 30 DDEDLO O=C(c1cccnn1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000982401863 697123107 /nfs/dbraw/zinc/12/31/07/697123107.db2.gz RUZSAALEXVFOKZ-UHFFFAOYSA-N 1 2 320.396 1.676 20 30 DDEDLO O=C(c1cccnn1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000982401863 697123108 /nfs/dbraw/zinc/12/31/08/697123108.db2.gz RUZSAALEXVFOKZ-UHFFFAOYSA-N 1 2 320.396 1.676 20 30 DDEDLO COC(=O)c1coc(CO[NH+]=C(N)c2ccc(OC)cc2)c1 ZINC000171028063 697353387 /nfs/dbraw/zinc/35/33/87/697353387.db2.gz WRMTVVYYJMQYBO-UHFFFAOYSA-N 1 2 304.302 1.912 20 30 DDEDLO CO[C@@H](C)CON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181744249 697464684 /nfs/dbraw/zinc/46/46/84/697464684.db2.gz ZENGDBJJKURQDF-ZFWWWQNUSA-N 1 2 307.394 1.211 20 30 DDEDLO CO[C@@H](C)CON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181744249 697464686 /nfs/dbraw/zinc/46/46/86/697464686.db2.gz ZENGDBJJKURQDF-ZFWWWQNUSA-N 1 2 307.394 1.211 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(CC#Cc2ccccc2Cl)CC1 ZINC000749523673 700168529 /nfs/dbraw/zinc/16/85/29/700168529.db2.gz YPESXJFVWZEJCP-NRFANRHFSA-N 1 2 324.877 1.688 20 30 DDEDLO C[C@H]1[C@@H]([NH2+]Cc2nc(C(F)F)no2)CCN1C(=O)C#CC1CC1 ZINC000986277999 697764093 /nfs/dbraw/zinc/76/40/93/697764093.db2.gz KCWNUCRRWQQLFW-ONGXEEELSA-N 1 2 324.331 1.500 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](CO)C[C@H](OCCOC)C1 ZINC000773963880 697827934 /nfs/dbraw/zinc/82/79/34/697827934.db2.gz MVDCQIRXUBAQOC-RYUDHWBXSA-N 1 2 308.216 1.241 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](CO)C[C@H](OCCOC)C1 ZINC000773963880 697827937 /nfs/dbraw/zinc/82/79/37/697827937.db2.gz MVDCQIRXUBAQOC-RYUDHWBXSA-N 1 2 308.216 1.241 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)CC(C)(C)C#N)[C@H](c2ccccc2)C1 ZINC000777817685 698224415 /nfs/dbraw/zinc/22/44/15/698224415.db2.gz RNHNSSXSAIRGLW-HNNXBMFYSA-N 1 2 321.446 1.855 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)CC(C)(C)C#N)[C@H](c2ccccc2)C1 ZINC000777817685 698224417 /nfs/dbraw/zinc/22/44/17/698224417.db2.gz RNHNSSXSAIRGLW-HNNXBMFYSA-N 1 2 321.446 1.855 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(CNC(=O)OC(C)(C)C)CC1 ZINC000800356888 700217778 /nfs/dbraw/zinc/21/77/78/700217778.db2.gz OQOQMPZTCXFDDL-ZDUSSCGKSA-N 1 2 312.410 1.951 20 30 DDEDLO C=C(C)C[N@@H+]1CCCN(C(=O)[C@H]2CCc3[nH]nnc3C2)CC1 ZINC000989473186 698635294 /nfs/dbraw/zinc/63/52/94/698635294.db2.gz RPCRDKGJRRYANS-ZDUSSCGKSA-N 1 2 303.410 1.020 20 30 DDEDLO C=C(C)C[N@H+]1CCCN(C(=O)[C@H]2CCc3[nH]nnc3C2)CC1 ZINC000989473186 698635297 /nfs/dbraw/zinc/63/52/97/698635297.db2.gz RPCRDKGJRRYANS-ZDUSSCGKSA-N 1 2 303.410 1.020 20 30 DDEDLO C=C(C)C[N@@H+]1CCCN(C(=O)[C@H]2CCc3nn[nH]c3C2)CC1 ZINC000989473186 698635300 /nfs/dbraw/zinc/63/53/00/698635300.db2.gz RPCRDKGJRRYANS-ZDUSSCGKSA-N 1 2 303.410 1.020 20 30 DDEDLO C=C(C)C[N@H+]1CCCN(C(=O)[C@H]2CCc3nn[nH]c3C2)CC1 ZINC000989473186 698635304 /nfs/dbraw/zinc/63/53/04/698635304.db2.gz RPCRDKGJRRYANS-ZDUSSCGKSA-N 1 2 303.410 1.020 20 30 DDEDLO N#CCN1CC[C@@]2(NC(=O)c3cc4c[nH+]ccc4[nH]3)CCC[C@@H]12 ZINC000991380933 699310496 /nfs/dbraw/zinc/31/04/96/699310496.db2.gz AWFIDUXVHTYRRT-WBVHZDCISA-N 1 2 309.373 1.813 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[N@@H+]2CCOC(C)(C)C2)cn1 ZINC000790125371 699443920 /nfs/dbraw/zinc/44/39/20/699443920.db2.gz RSHBYYGEBOBLOZ-UHFFFAOYSA-N 1 2 301.390 1.246 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[N@H+]2CCOC(C)(C)C2)cn1 ZINC000790125371 699443921 /nfs/dbraw/zinc/44/39/21/699443921.db2.gz RSHBYYGEBOBLOZ-UHFFFAOYSA-N 1 2 301.390 1.246 20 30 DDEDLO C[C@@H](NC(=S)Nc1ccccc1C#N)[C@@H](C)[NH+]1CCOCC1 ZINC000729647258 699484402 /nfs/dbraw/zinc/48/44/02/699484402.db2.gz IZCIHYFXQAOXMG-CHWSQXEVSA-N 1 2 318.446 1.954 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3cnn(C)c3)CC2)cn1 ZINC000729923450 699492820 /nfs/dbraw/zinc/49/28/20/699492820.db2.gz WFMBZXPLAMXERV-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3cnn(C)c3)CC2)cn1 ZINC000729923450 699492821 /nfs/dbraw/zinc/49/28/21/699492821.db2.gz WFMBZXPLAMXERV-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO O=c1nc(N=NC2CC[N@H+](Cc3ccccc3)C2)nc2[nH][nH]cc1-2 ZINC000731158058 699523566 /nfs/dbraw/zinc/52/35/66/699523566.db2.gz ZATVQROEDUCVMJ-UHFFFAOYSA-N 1 2 323.360 1.106 20 30 DDEDLO O=c1nc(N=NC2CC[N@@H+](Cc3ccccc3)C2)nc2[nH][nH]cc1-2 ZINC000731158058 699523567 /nfs/dbraw/zinc/52/35/67/699523567.db2.gz ZATVQROEDUCVMJ-UHFFFAOYSA-N 1 2 323.360 1.106 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCN(c2ccccc2)C1=O ZINC000731839363 699537661 /nfs/dbraw/zinc/53/76/61/699537661.db2.gz VUEUYEULTZNAIJ-HOTGVXAUSA-N 1 2 311.385 1.006 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CCN(c2ccccc2)C1=O ZINC000731839363 699537662 /nfs/dbraw/zinc/53/76/62/699537662.db2.gz VUEUYEULTZNAIJ-HOTGVXAUSA-N 1 2 311.385 1.006 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000792879967 699720254 /nfs/dbraw/zinc/72/02/54/699720254.db2.gz MTYGUTYHIYBQFT-TZMCWYRMSA-N 1 2 305.290 1.773 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC(=O)NCCCC)Cc1ccccc1 ZINC000796638749 699944150 /nfs/dbraw/zinc/94/41/50/699944150.db2.gz FYRNBCCQYBGSRP-UHFFFAOYSA-N 1 2 301.390 1.748 20 30 DDEDLO C#CC[N@H+](CC(=O)NC(=O)NCCCC)Cc1ccccc1 ZINC000796638749 699944151 /nfs/dbraw/zinc/94/41/51/699944151.db2.gz FYRNBCCQYBGSRP-UHFFFAOYSA-N 1 2 301.390 1.748 20 30 DDEDLO Cc1cc(N[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]2C#N)nc(N)[nH+]1 ZINC000754128212 700485471 /nfs/dbraw/zinc/48/54/71/700485471.db2.gz OESIYMLLLGHROX-GHMZBOCLSA-N 1 2 318.381 1.538 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)C(=O)N(C)CC2 ZINC000761263793 700853352 /nfs/dbraw/zinc/85/33/52/700853352.db2.gz VEOMMMXEXJFJGU-INIZCTEOSA-N 1 2 311.385 1.351 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)C(=O)N(C)CC2 ZINC000761263793 700853353 /nfs/dbraw/zinc/85/33/53/700853353.db2.gz VEOMMMXEXJFJGU-INIZCTEOSA-N 1 2 311.385 1.351 20 30 DDEDLO FC(F)(F)COCCN[NH+]=Cc1cnc(N2CCCC2)nc1 ZINC000765593895 701020484 /nfs/dbraw/zinc/02/04/84/701020484.db2.gz OYILMCUVIAVMIZ-UHFFFAOYSA-N 1 2 317.315 1.579 20 30 DDEDLO C#CCCC[NH+]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000766894557 701069283 /nfs/dbraw/zinc/06/92/83/701069283.db2.gz DPLSYPLMNYCPBM-UHFFFAOYSA-N 1 2 307.375 1.828 20 30 DDEDLO C#CCOc1ccccc1CN1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O ZINC000804161724 701168777 /nfs/dbraw/zinc/16/87/77/701168777.db2.gz ALEOXCVUIGJYMW-AWEZNQCLSA-N 1 2 324.340 1.085 20 30 DDEDLO C#CCOc1ccccc1CN1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O ZINC000804161724 701168781 /nfs/dbraw/zinc/16/87/81/701168781.db2.gz ALEOXCVUIGJYMW-AWEZNQCLSA-N 1 2 324.340 1.085 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCc2cn3ccccc3c2C#N)C1 ZINC000805476329 701384814 /nfs/dbraw/zinc/38/48/14/701384814.db2.gz MECQSZKACVLFEQ-MRXNPFEDSA-N 1 2 313.357 1.575 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCc2cn3ccccc3c2C#N)C1 ZINC000805476329 701384816 /nfs/dbraw/zinc/38/48/16/701384816.db2.gz MECQSZKACVLFEQ-MRXNPFEDSA-N 1 2 313.357 1.575 20 30 DDEDLO C#CCCS(=O)(=O)N[C@H](Cc1[nH+]ccn1C)c1ccccc1 ZINC000808298643 701512755 /nfs/dbraw/zinc/51/27/55/701512755.db2.gz YPPJZNOUIIUMMV-OAHLLOKOSA-N 1 2 317.414 1.647 20 30 DDEDLO CC(C)(C)[N@@H+]1CC[C@](F)(C(=O)[C@H](C#N)C(=O)NC2CCCC2)C1 ZINC000810537607 701785880 /nfs/dbraw/zinc/78/58/80/701785880.db2.gz YUZVTFQFUKQZGD-SUMWQHHRSA-N 1 2 323.412 1.967 20 30 DDEDLO CC(C)(C)[N@H+]1CC[C@](F)(C(=O)[C@H](C#N)C(=O)NC2CCCC2)C1 ZINC000810537607 701785886 /nfs/dbraw/zinc/78/58/86/701785886.db2.gz YUZVTFQFUKQZGD-SUMWQHHRSA-N 1 2 323.412 1.967 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@@H]2NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000867893501 701813966 /nfs/dbraw/zinc/81/39/66/701813966.db2.gz RDUCWTYJJAPASX-IMLGJKEDSA-N 1 2 311.385 1.550 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2sccc2CC#N)C[C@H](C)O1 ZINC000867903388 701819343 /nfs/dbraw/zinc/81/93/43/701819343.db2.gz FIFGOOZNXXVMOC-BETUJISGSA-N 1 2 321.446 1.995 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2sccc2CC#N)C[C@H](C)O1 ZINC000867903388 701819350 /nfs/dbraw/zinc/81/93/50/701819350.db2.gz FIFGOOZNXXVMOC-BETUJISGSA-N 1 2 321.446 1.995 20 30 DDEDLO CC(C)(C[C@H]1CCC[N@H+](Cn2cccc(C#N)c2=O)C1)C(N)=O ZINC000840110965 701973807 /nfs/dbraw/zinc/97/38/07/701973807.db2.gz VREBZHVTDNMZNO-CYBMUJFWSA-N 1 2 316.405 1.291 20 30 DDEDLO CC(C)(C[C@H]1CCC[N@@H+](Cn2cccc(C#N)c2=O)C1)C(N)=O ZINC000840110965 701973809 /nfs/dbraw/zinc/97/38/09/701973809.db2.gz VREBZHVTDNMZNO-CYBMUJFWSA-N 1 2 316.405 1.291 20 30 DDEDLO N#Cc1cncc(Nc2ccc([NH+]3CCC(C(N)=O)CC3)cc2)n1 ZINC000817118434 702276198 /nfs/dbraw/zinc/27/61/98/702276198.db2.gz XIKRPDGFAGJMIH-UHFFFAOYSA-N 1 2 322.372 1.794 20 30 DDEDLO C#CCOc1ccc(CNC(=O)/C=C/C[NH+]2CCOCC2)cc1 ZINC000868722732 702287033 /nfs/dbraw/zinc/28/70/33/702287033.db2.gz ONIMEANAZQUXAU-ONEGZZNKSA-N 1 2 314.385 1.203 20 30 DDEDLO COCCO[C@@H]1COCC[C@H]1[NH2+][C@@H](C)c1cccc(C#N)c1O ZINC000866343527 706678009 /nfs/dbraw/zinc/67/80/09/706678009.db2.gz NOGNLNQRGDDQDI-APHBMKBZSA-N 1 2 320.389 1.735 20 30 DDEDLO COc1ccc(C(N)=[NH+]O[C@H](C(=O)N2CCCC2)C(C)C)cc1 ZINC000842020745 702656822 /nfs/dbraw/zinc/65/68/22/702656822.db2.gz DQHUNUUHDCILOR-HNNXBMFYSA-N 1 2 319.405 1.979 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000844004501 702953774 /nfs/dbraw/zinc/95/37/74/702953774.db2.gz BXRQJPPRCWYHDO-WBVHZDCISA-N 1 2 304.434 1.892 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000844004501 702953775 /nfs/dbraw/zinc/95/37/75/702953775.db2.gz BXRQJPPRCWYHDO-WBVHZDCISA-N 1 2 304.434 1.892 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)NCCn1cc[nH+]c1 ZINC000844280451 703003835 /nfs/dbraw/zinc/00/38/35/703003835.db2.gz UTXWFJTUWJWART-HNNXBMFYSA-N 1 2 313.361 1.130 20 30 DDEDLO C=C(C)CONC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000844283832 703004408 /nfs/dbraw/zinc/00/44/08/703004408.db2.gz HYWNHSBPNPKHAU-INIZCTEOSA-N 1 2 319.405 1.694 20 30 DDEDLO C=C(C)CONC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000844283832 703004410 /nfs/dbraw/zinc/00/44/10/703004410.db2.gz HYWNHSBPNPKHAU-INIZCTEOSA-N 1 2 319.405 1.694 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccon1)C[C@@H](O)c1cccc(C#N)c1 ZINC000844369494 703021464 /nfs/dbraw/zinc/02/14/64/703021464.db2.gz XVEJNIBUFHNMTO-CYBMUJFWSA-N 1 2 300.318 1.150 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccon1)C[C@@H](O)c1cccc(C#N)c1 ZINC000844369494 703021465 /nfs/dbraw/zinc/02/14/65/703021465.db2.gz XVEJNIBUFHNMTO-CYBMUJFWSA-N 1 2 300.318 1.150 20 30 DDEDLO C=CCN1CCN(C[N@@H+](Cc2cnn(CC)c2)C(C)C)C1=O ZINC000844737500 703066292 /nfs/dbraw/zinc/06/62/92/703066292.db2.gz OLPHJJTZXQATRF-UHFFFAOYSA-N 1 2 305.426 1.995 20 30 DDEDLO C=CCN1CCN(C[N@H+](Cc2cnn(CC)c2)C(C)C)C1=O ZINC000844737500 703066294 /nfs/dbraw/zinc/06/62/94/703066294.db2.gz OLPHJJTZXQATRF-UHFFFAOYSA-N 1 2 305.426 1.995 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2C[C@H](C)O[C@H](C(=O)OC)C2)cc1 ZINC000846060149 703231851 /nfs/dbraw/zinc/23/18/51/703231851.db2.gz XISMWHCMFAPZBI-BBRMVZONSA-N 1 2 303.358 1.461 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2C[C@H](C)O[C@H](C(=O)OC)C2)cc1 ZINC000846060149 703231852 /nfs/dbraw/zinc/23/18/52/703231852.db2.gz XISMWHCMFAPZBI-BBRMVZONSA-N 1 2 303.358 1.461 20 30 DDEDLO CC(=O)C1CCN(CC#CC[NH+]2CCC(C(C)=O)CC2)CC1 ZINC000846939375 703354687 /nfs/dbraw/zinc/35/46/87/703354687.db2.gz DTWHTARKPCSAQJ-UHFFFAOYSA-N 1 2 304.434 1.592 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH2+][C@H](c3cccc(OC)c3)C2)cn1 ZINC000870149033 703932169 /nfs/dbraw/zinc/93/21/69/703932169.db2.gz BFKBEXAHNVFCPQ-SFHVURJKSA-N 1 2 321.380 1.858 20 30 DDEDLO CN(C)C(=O)N(C)[C@H]1CC[N@H+](Cc2ccc(F)cc2C#N)C1 ZINC000852653677 704093161 /nfs/dbraw/zinc/09/31/61/704093161.db2.gz YMKMJQNLDYTOGE-HNNXBMFYSA-N 1 2 304.369 1.885 20 30 DDEDLO CN(C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2ccc(F)cc2C#N)C1 ZINC000852653677 704093165 /nfs/dbraw/zinc/09/31/65/704093165.db2.gz YMKMJQNLDYTOGE-HNNXBMFYSA-N 1 2 304.369 1.885 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)Nc1nnc(CC(C)C)s1 ZINC000852750932 704113502 /nfs/dbraw/zinc/11/35/02/704113502.db2.gz JZVWKNZSAJAUBG-UHFFFAOYSA-N 1 2 324.450 1.647 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)Nc1nnc(CC(C)C)s1 ZINC000852750932 704113509 /nfs/dbraw/zinc/11/35/09/704113509.db2.gz JZVWKNZSAJAUBG-UHFFFAOYSA-N 1 2 324.450 1.647 20 30 DDEDLO C#CCOc1cc(F)ccc1NC[C@@H](O)C[N@H+](C)CCC#N ZINC000819449690 704127158 /nfs/dbraw/zinc/12/71/58/704127158.db2.gz OWXUTNOJARFEMG-CQSZACIVSA-N 1 2 305.353 1.456 20 30 DDEDLO C#CCOc1cc(F)ccc1NC[C@@H](O)C[N@@H+](C)CCC#N ZINC000819449690 704127161 /nfs/dbraw/zinc/12/71/61/704127161.db2.gz OWXUTNOJARFEMG-CQSZACIVSA-N 1 2 305.353 1.456 20 30 DDEDLO Cc1[nH+]cc(C=NN2C(=S)N=NC2[C@@H]2CCCCO2)n1C ZINC000853312925 704232534 /nfs/dbraw/zinc/23/25/34/704232534.db2.gz JEZNQIAVFQDRAR-NSHDSACASA-N 1 2 306.395 1.733 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000871545257 704312350 /nfs/dbraw/zinc/31/23/50/704312350.db2.gz IZZUZVHZIFOHDM-LBPRGKRZSA-N 1 2 309.410 1.458 20 30 DDEDLO C#CCOCCOC(=O)C[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000853898350 704332142 /nfs/dbraw/zinc/33/21/42/704332142.db2.gz BPDQDZVSSJNSNK-KRWDZBQOSA-N 1 2 317.385 1.471 20 30 DDEDLO C#CCOCCOC(=O)C[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000853898350 704332144 /nfs/dbraw/zinc/33/21/44/704332144.db2.gz BPDQDZVSSJNSNK-KRWDZBQOSA-N 1 2 317.385 1.471 20 30 DDEDLO CS(=O)(=O)Nc1ccc(C(=O)/C=C\c2ccc(N)[nH+]c2)cc1 ZINC000821038790 704362744 /nfs/dbraw/zinc/36/27/44/704362744.db2.gz MTNJHTNKBFNDBC-WAPJZHGLSA-N 1 2 317.370 1.931 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000858861367 704765526 /nfs/dbraw/zinc/76/55/26/704765526.db2.gz XIZWANAXNNJZBH-MELADBBJSA-N 1 2 304.394 1.134 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000858861367 704765527 /nfs/dbraw/zinc/76/55/27/704765527.db2.gz XIZWANAXNNJZBH-MELADBBJSA-N 1 2 304.394 1.134 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000859027882 704787013 /nfs/dbraw/zinc/78/70/13/704787013.db2.gz ISXJUJYJYTVWJW-OAHLLOKOSA-N 1 2 321.446 1.614 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000859036123 704789135 /nfs/dbraw/zinc/78/91/35/704789135.db2.gz QQAZDPDSDLCOBX-ZIAGYGMSSA-N 1 2 304.394 1.347 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)c1 ZINC000822777272 705035776 /nfs/dbraw/zinc/03/57/76/705035776.db2.gz XERVVECKVDFACC-HUUCEWRRSA-N 1 2 322.368 1.260 20 30 DDEDLO N#CCc1cccc2c1CC[N@@H+](CC(=O)NCc1ccccn1)C2 ZINC000860106960 705102326 /nfs/dbraw/zinc/10/23/26/705102326.db2.gz WOEBHFWCRNEAGO-UHFFFAOYSA-N 1 2 320.396 1.822 20 30 DDEDLO N#CCc1cccc2c1CC[N@H+](CC(=O)NCc1ccccn1)C2 ZINC000860106960 705102328 /nfs/dbraw/zinc/10/23/28/705102328.db2.gz WOEBHFWCRNEAGO-UHFFFAOYSA-N 1 2 320.396 1.822 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+]2CCOC[C@@H]2CC)CCOCC1 ZINC000874710395 705144842 /nfs/dbraw/zinc/14/48/42/705144842.db2.gz ICWMQXNYNNCTOR-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+]2CCOC[C@@H]2CC)CCOCC1 ZINC000874710395 705144843 /nfs/dbraw/zinc/14/48/43/705144843.db2.gz ICWMQXNYNNCTOR-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)NCC(C)(C)CCC#N ZINC000875583913 705438033 /nfs/dbraw/zinc/43/80/33/705438033.db2.gz SWJNWMUXYNXNJH-CQSZACIVSA-N 1 2 310.442 1.726 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)NCC(C)(C)CCC#N ZINC000875583913 705438035 /nfs/dbraw/zinc/43/80/35/705438035.db2.gz SWJNWMUXYNXNJH-CQSZACIVSA-N 1 2 310.442 1.726 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc(OC)c(C#N)c2)CC1 ZINC000824867817 705546945 /nfs/dbraw/zinc/54/69/45/705546945.db2.gz XMODYNOVJFBBHH-UHFFFAOYSA-N 1 2 313.357 1.846 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000825080075 705596101 /nfs/dbraw/zinc/59/61/01/705596101.db2.gz GIOYZVPDLINUBP-VXGBXAGGSA-N 1 2 317.311 1.921 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CCO[C@@H](C#N)C1 ZINC000876207992 705659903 /nfs/dbraw/zinc/65/99/03/705659903.db2.gz JJQYXSUVEWCCJS-DZGCQCFKSA-N 1 2 300.362 1.107 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CCO[C@@H](C#N)C1 ZINC000876207992 705659905 /nfs/dbraw/zinc/65/99/05/705659905.db2.gz JJQYXSUVEWCCJS-DZGCQCFKSA-N 1 2 300.362 1.107 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cccc3[nH]c(=O)oc32)C1=O ZINC000894232190 711026591 /nfs/dbraw/zinc/02/65/91/711026591.db2.gz XFVDLCYSSLYGIQ-ZDUSSCGKSA-N 1 2 301.346 1.752 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cccc3[nH]c(=O)oc32)C1=O ZINC000894232190 711026594 /nfs/dbraw/zinc/02/65/94/711026594.db2.gz XFVDLCYSSLYGIQ-ZDUSSCGKSA-N 1 2 301.346 1.752 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)CC1 ZINC000876441288 705722008 /nfs/dbraw/zinc/72/20/08/705722008.db2.gz UYCDSESHIOEVNN-VGWMRTNUSA-N 1 2 307.438 1.587 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)CC1 ZINC000876441288 705722010 /nfs/dbraw/zinc/72/20/10/705722010.db2.gz UYCDSESHIOEVNN-VGWMRTNUSA-N 1 2 307.438 1.587 20 30 DDEDLO O=C(C#Cc1cccs1)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000825826998 705734705 /nfs/dbraw/zinc/73/47/05/705734705.db2.gz KZZUVMRNGFYTII-HNNXBMFYSA-N 1 2 318.442 1.813 20 30 DDEDLO O=S1(=O)CCC[C@@H](NN=Cc2cc(-n3cc[nH+]c3)cs2)C1 ZINC000863133448 705866694 /nfs/dbraw/zinc/86/66/94/705866694.db2.gz JUXFLCGGIIHQIO-LLVKDONJSA-N 1 2 324.431 1.435 20 30 DDEDLO C=C(Cl)C[C@@H]([NH3+])C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000876877934 705903640 /nfs/dbraw/zinc/90/36/40/705903640.db2.gz LNNDCISGNCDIEG-NWDGAFQWSA-N 1 2 323.828 1.858 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000827087752 705926133 /nfs/dbraw/zinc/92/61/33/705926133.db2.gz AMPCIDDCKIUSRE-RHSMWYFYSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000827087752 705926137 /nfs/dbraw/zinc/92/61/37/705926137.db2.gz AMPCIDDCKIUSRE-RHSMWYFYSA-N 1 2 310.438 1.321 20 30 DDEDLO CN(C)c1nccc(C[NH2+]Cc2cc(C#N)cnc2Cl)n1 ZINC000877136692 705993695 /nfs/dbraw/zinc/99/36/95/705993695.db2.gz KJAYMSPOWVSRIP-UHFFFAOYSA-N 1 2 302.769 1.752 20 30 DDEDLO CNC(=O)NC1CC[NH+](Cc2cc(C#N)cnc2Cl)CC1 ZINC000877592239 706152092 /nfs/dbraw/zinc/15/20/92/706152092.db2.gz MVPZYSODDDLDLY-UHFFFAOYSA-N 1 2 307.785 1.500 20 30 DDEDLO C=C[C@H](O)C(=O)NC1CC[NH+](c2ccc(N(C)C)cc2)CC1 ZINC000828768129 706229296 /nfs/dbraw/zinc/22/92/96/706229296.db2.gz LHJDWJHVNIHSBA-INIZCTEOSA-N 1 2 303.406 1.385 20 30 DDEDLO CCNC(=O)C[N@H+](CC)CC[C@](C#N)(C(C)=O)c1ccccc1 ZINC000877920482 706241519 /nfs/dbraw/zinc/24/15/19/706241519.db2.gz OHAOLCLMUXPJRT-SFHVURJKSA-N 1 2 315.417 1.885 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)CC[C@](C#N)(C(C)=O)c1ccccc1 ZINC000877920482 706241520 /nfs/dbraw/zinc/24/15/20/706241520.db2.gz OHAOLCLMUXPJRT-SFHVURJKSA-N 1 2 315.417 1.885 20 30 DDEDLO CCOc1ccc(C#N)cc1NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000864996605 706321570 /nfs/dbraw/zinc/32/15/70/706321570.db2.gz JOCFRXWMCZXKSN-ARJAWSKDSA-N 1 2 315.373 1.784 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)cc1OC ZINC000830163355 706467424 /nfs/dbraw/zinc/46/74/24/706467424.db2.gz XJTPLRSHVRBFMR-CQSZACIVSA-N 1 2 317.389 1.407 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](C(=O)NC)(C(F)(F)F)C1 ZINC000830675176 706559674 /nfs/dbraw/zinc/55/96/74/706559674.db2.gz SOLKFKSLFFGORK-SECBINFHSA-N 1 2 315.133 1.895 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](C(=O)NC)(C(F)(F)F)C1 ZINC000830675176 706559676 /nfs/dbraw/zinc/55/96/76/706559676.db2.gz SOLKFKSLFFGORK-SECBINFHSA-N 1 2 315.133 1.895 20 30 DDEDLO C[C@H](C#N)Oc1ccccc1NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000867291943 706949919 /nfs/dbraw/zinc/94/99/19/706949919.db2.gz IKSGEVBONSZZDA-BTKRWWFXSA-N 1 2 315.373 1.804 20 30 DDEDLO CC(C)C[C@@H](C(N)=O)[N@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC000880587546 707028905 /nfs/dbraw/zinc/02/89/05/707028905.db2.gz HDYQJMUPYACYDP-HNNXBMFYSA-N 1 2 316.405 1.006 20 30 DDEDLO CC(C)C[C@@H](C(N)=O)[N@@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC000880587546 707028907 /nfs/dbraw/zinc/02/89/07/707028907.db2.gz HDYQJMUPYACYDP-HNNXBMFYSA-N 1 2 316.405 1.006 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2noc3c2CC(C)(C)CC3)nn1 ZINC000881366838 707216430 /nfs/dbraw/zinc/21/64/30/707216430.db2.gz LLNUZZGPECBXLS-UHFFFAOYSA-N 1 2 313.405 1.942 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C(=O)OCC ZINC000881753843 707352481 /nfs/dbraw/zinc/35/24/81/707352481.db2.gz ZRHURSYXIPGBSP-OCCSQVGLSA-N 1 2 305.378 1.460 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C(C)(C)C)C(F)(F)F ZINC000884160814 708165698 /nfs/dbraw/zinc/16/56/98/708165698.db2.gz PDZMOVBKNNBFOK-GZMMTYOYSA-N 1 2 310.316 1.526 20 30 DDEDLO COC(=O)Cc1cc[nH+]c(N2CCC3(CC2)CC(=O)C=CO3)c1 ZINC000896881968 708171552 /nfs/dbraw/zinc/17/15/52/708171552.db2.gz JZUHQUANVIVFDH-UHFFFAOYSA-N 1 2 316.357 1.639 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2nccs2)CCCC1 ZINC000884224506 708198397 /nfs/dbraw/zinc/19/83/97/708198397.db2.gz QVGAQCSXGLNRCC-NSHDSACASA-N 1 2 323.418 1.475 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2ccccc2C)CC1 ZINC000884372063 708267832 /nfs/dbraw/zinc/26/78/32/708267832.db2.gz FQQHXEHVZQHXJR-AWEZNQCLSA-N 1 2 302.374 1.547 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cccc(OC)c2)C1 ZINC000885508110 708561788 /nfs/dbraw/zinc/56/17/88/708561788.db2.gz CAMKXSRBHIZULU-ZDUSSCGKSA-N 1 2 308.403 1.071 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cccc(OC)c2)C1 ZINC000885508110 708561790 /nfs/dbraw/zinc/56/17/90/708561790.db2.gz CAMKXSRBHIZULU-ZDUSSCGKSA-N 1 2 308.403 1.071 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C)cc2C)C1 ZINC000885514057 708563583 /nfs/dbraw/zinc/56/35/83/708563583.db2.gz OBQFNHKYRJNDTN-OAHLLOKOSA-N 1 2 306.431 1.679 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C)cc2C)C1 ZINC000885514057 708563584 /nfs/dbraw/zinc/56/35/84/708563584.db2.gz OBQFNHKYRJNDTN-OAHLLOKOSA-N 1 2 306.431 1.679 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N[C@@H]2CCC[N@H+](CCF)C2)c1 ZINC000898504916 708663244 /nfs/dbraw/zinc/66/32/44/708663244.db2.gz UKAMVINTFYQRAO-OAHLLOKOSA-N 1 2 318.396 1.788 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N[C@@H]2CCC[N@@H+](CCF)C2)c1 ZINC000898504916 708663245 /nfs/dbraw/zinc/66/32/45/708663245.db2.gz UKAMVINTFYQRAO-OAHLLOKOSA-N 1 2 318.396 1.788 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N[C@H](C#N)C(C)(C)C)C1 ZINC000886397738 708753242 /nfs/dbraw/zinc/75/32/42/708753242.db2.gz XMOBMOITHFBBAA-OAHLLOKOSA-N 1 2 321.446 1.891 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N[C@H](C#N)C(C)(C)C)C1 ZINC000886397738 708753243 /nfs/dbraw/zinc/75/32/43/708753243.db2.gz XMOBMOITHFBBAA-OAHLLOKOSA-N 1 2 321.446 1.891 20 30 DDEDLO C#CC1(O)CCN(C(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000899122213 708992549 /nfs/dbraw/zinc/99/25/49/708992549.db2.gz OWLKHEVZSZYRQN-UHFFFAOYSA-N 1 2 309.369 1.402 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)c2cccc(C#CCO)c2)C1 ZINC000901204054 709967708 /nfs/dbraw/zinc/96/77/08/709967708.db2.gz LMRMBCSHJHTYCW-INIZCTEOSA-N 1 2 309.369 1.394 20 30 DDEDLO COC(=O)COc1ccccc1C=NNCCCn1cc[nH+]c1 ZINC000901262201 709987863 /nfs/dbraw/zinc/98/78/63/709987863.db2.gz VWFKTUFUPYQATE-UHFFFAOYSA-N 1 2 316.361 1.449 20 30 DDEDLO C(=NNCCCn1cc[nH+]c1)c1cn(Cc2ccccc2)nn1 ZINC000901261791 709988095 /nfs/dbraw/zinc/98/80/95/709988095.db2.gz OWVTUHMXNGFQSO-UHFFFAOYSA-N 1 2 309.377 1.537 20 30 DDEDLO COC(=O)[C@@H](C)Oc1ccccc1C[NH+]1CCN(CC#N)CC1 ZINC000891901993 710322419 /nfs/dbraw/zinc/32/24/19/710322419.db2.gz LNNSXJJTKFCOSF-CQSZACIVSA-N 1 2 317.389 1.268 20 30 DDEDLO C(#Cc1ccccc1)CNc1cnn(CC[NH+]2CCOCC2)c1 ZINC000893245823 710578992 /nfs/dbraw/zinc/57/89/92/710578992.db2.gz IGTMRFWQDOHVAO-UHFFFAOYSA-N 1 2 310.401 1.679 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1CCN(Cc2cccc(OC)c2)C1=O ZINC000902243696 710677620 /nfs/dbraw/zinc/67/76/20/710677620.db2.gz NOTUBOIEKFOTEB-CQSZACIVSA-N 1 2 301.390 1.844 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1CCN(Cc2cccc(OC)c2)C1=O ZINC000902243696 710677623 /nfs/dbraw/zinc/67/76/23/710677623.db2.gz NOTUBOIEKFOTEB-CQSZACIVSA-N 1 2 301.390 1.844 20 30 DDEDLO N#CC[C@H](CC(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1)c1ccccc1 ZINC000913453469 713222227 /nfs/dbraw/zinc/22/22/27/713222227.db2.gz RUAVPKCPNKRLHG-WBVHZDCISA-N 1 2 323.400 1.970 20 30 DDEDLO C=CCCOCCCCC(=O)N1CC[NH2+][C@H](c2cnn(C)c2)C1 ZINC000913464315 713227639 /nfs/dbraw/zinc/22/76/39/713227639.db2.gz QLKCBVYLKYOPLI-INIZCTEOSA-N 1 2 320.437 1.656 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)c3sccc3CC#N)CC[NH2+]2)cn1 ZINC000913475466 713232111 /nfs/dbraw/zinc/23/21/11/713232111.db2.gz UEYNEJDEJHGTFR-ZDUSSCGKSA-N 1 2 315.402 1.334 20 30 DDEDLO C#CCN(C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C(C)C ZINC000928624782 713240863 /nfs/dbraw/zinc/24/08/63/713240863.db2.gz GYRRQENAKGSJHS-OAHLLOKOSA-N 1 2 318.421 1.353 20 30 DDEDLO C#CCN(C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C(C)C ZINC000928624782 713240864 /nfs/dbraw/zinc/24/08/64/713240864.db2.gz GYRRQENAKGSJHS-OAHLLOKOSA-N 1 2 318.421 1.353 20 30 DDEDLO C#CC[NH+]1CCC(NC(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC000928650472 713250931 /nfs/dbraw/zinc/25/09/31/713250931.db2.gz CHLDIYOLJPUQPX-UHFFFAOYSA-N 1 2 309.373 1.299 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2cc(F)ccc2OC(F)F)CCCN1O ZINC000895158049 711436061 /nfs/dbraw/zinc/43/60/61/711436061.db2.gz VXQGDNIFUREROB-SNVBAGLBSA-N 1 2 304.268 1.897 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2c(F)cccc2N2CCCC2)CCCN1O ZINC000895166229 711440006 /nfs/dbraw/zinc/44/00/06/711440006.db2.gz PELBGVIRGQVUNF-CQSZACIVSA-N 1 2 307.369 1.896 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2cc(O)ccc2Br)CCCN1O ZINC000895167617 711440412 /nfs/dbraw/zinc/44/04/12/711440412.db2.gz YWUAHXGIMZPDKA-NSHDSACASA-N 1 2 315.167 1.625 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C[NH+]1CC(C2CS(=O)(=O)C2)C1 ZINC000895719514 711587591 /nfs/dbraw/zinc/58/75/91/711587591.db2.gz OJESWSMSOUNPTA-UHFFFAOYSA-N 1 2 304.415 1.652 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)NCCCCn2cc[nH+]c2)cc1 ZINC000907097087 712486343 /nfs/dbraw/zinc/48/63/43/712486343.db2.gz YEXFEFRUTZOQFR-UHFFFAOYSA-N 1 2 303.387 1.623 20 30 DDEDLO C#C[C@H](CCC)NC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000907717408 712618058 /nfs/dbraw/zinc/61/80/58/712618058.db2.gz XJUXWOQFYVWJMS-OAHLLOKOSA-N 1 2 303.406 1.352 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000908118083 712692055 /nfs/dbraw/zinc/69/20/55/712692055.db2.gz KQOCSDCQFFFDCK-CYBMUJFWSA-N 1 2 305.422 1.760 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)CC[NH+]1CCN(CC)CC1 ZINC000908647833 712828455 /nfs/dbraw/zinc/82/84/55/712828455.db2.gz NLSITISGZKEFQK-INIZCTEOSA-N 1 2 321.465 1.540 20 30 DDEDLO COC[C@@H](C)NC(=S)NN=C1CCN(c2cccc[nH+]2)CC1 ZINC000915945912 713436191 /nfs/dbraw/zinc/43/61/91/713436191.db2.gz YOZRRHKAPHNCJH-GFCCVEGCSA-N 1 2 321.450 1.537 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+][C@H](CO)[C@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000916576808 713458960 /nfs/dbraw/zinc/45/89/60/713458960.db2.gz CAYOJPZYVCEKAV-KCPJHIHWSA-N 1 2 318.333 1.022 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2ccsc2C#N)C[C@@H]1C ZINC000919590096 713618197 /nfs/dbraw/zinc/61/81/97/713618197.db2.gz AKQFLICLUYURFX-PHIMTYICSA-N 1 2 313.448 1.723 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2ccsc2C#N)C[C@@H]1C ZINC000919590096 713618199 /nfs/dbraw/zinc/61/81/99/713618199.db2.gz AKQFLICLUYURFX-PHIMTYICSA-N 1 2 313.448 1.723 20 30 DDEDLO CC[C@@](O)(C[NH+]1CCN([C@H](C#N)C(C)C)CC1)C(F)(F)F ZINC000930116517 713760223 /nfs/dbraw/zinc/76/02/23/713760223.db2.gz YFSCZXGWPKPIAN-CHWSQXEVSA-N 1 2 307.360 1.856 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](C[C@@H]3C[C@@H]4COC[C@@H]4O3)CCO2)c1 ZINC000930133953 713763837 /nfs/dbraw/zinc/76/38/37/713763837.db2.gz CPGKGLYNSNVWGN-OWSLCNJRSA-N 1 2 314.385 1.735 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](C[C@@H]3C[C@@H]4COC[C@@H]4O3)CCO2)c1 ZINC000930133953 713763840 /nfs/dbraw/zinc/76/38/40/713763840.db2.gz CPGKGLYNSNVWGN-OWSLCNJRSA-N 1 2 314.385 1.735 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](C[C@H](O)C3(O)CCC3)CCO2)c1 ZINC000930135119 713764322 /nfs/dbraw/zinc/76/43/22/713764322.db2.gz LBRRBHZUFWFTLH-HOTGVXAUSA-N 1 2 302.374 1.207 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](C[C@H](O)C3(O)CCC3)CCO2)c1 ZINC000930135119 713764325 /nfs/dbraw/zinc/76/43/25/713764325.db2.gz LBRRBHZUFWFTLH-HOTGVXAUSA-N 1 2 302.374 1.207 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2ccc(C#N)cc2F)CC1 ZINC000921438678 713771370 /nfs/dbraw/zinc/77/13/70/713771370.db2.gz GZMLERXRRNMPBN-UHFFFAOYSA-N 1 2 321.377 1.073 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C)C(=O)Nc2ccnn2C(C)C)CC1 ZINC000931143205 714014079 /nfs/dbraw/zinc/01/40/79/714014079.db2.gz XENHITYOGGYLRS-ZDUSSCGKSA-N 1 2 304.394 1.251 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1CCCC[C@H]1C#N ZINC000923253174 714285676 /nfs/dbraw/zinc/28/56/76/714285676.db2.gz TXCIWPBDHFQZSO-RRFJBIMHSA-N 1 2 308.426 1.479 20 30 DDEDLO Cc1cc(F)c(C#N)cc1NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000932247309 714291218 /nfs/dbraw/zinc/29/12/18/714291218.db2.gz ZETKQVSEBGPSPJ-LBPRGKRZSA-N 1 2 320.368 1.848 20 30 DDEDLO Cc1cc(F)c(C#N)cc1NC(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000932247309 714291220 /nfs/dbraw/zinc/29/12/20/714291220.db2.gz ZETKQVSEBGPSPJ-LBPRGKRZSA-N 1 2 320.368 1.848 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(CCC#N)cc2)CCO1 ZINC000932559729 714359522 /nfs/dbraw/zinc/35/95/22/714359522.db2.gz WRVXIZCRZIBUFR-CQSZACIVSA-N 1 2 316.405 1.985 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(CCC#N)cc2)CCO1 ZINC000932559729 714359526 /nfs/dbraw/zinc/35/95/26/714359526.db2.gz WRVXIZCRZIBUFR-CQSZACIVSA-N 1 2 316.405 1.985 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC000923554249 714399674 /nfs/dbraw/zinc/39/96/74/714399674.db2.gz ODNVHKJZHPNCOD-SECBINFHSA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC000923554249 714399679 /nfs/dbraw/zinc/39/96/79/714399679.db2.gz ODNVHKJZHPNCOD-SECBINFHSA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2sc(COCC)nc2C)C1 ZINC000923557088 714401747 /nfs/dbraw/zinc/40/17/47/714401747.db2.gz KFVZGDTXARCKOS-CYBMUJFWSA-N 1 2 321.446 1.815 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc(COCC)nc2C)C1 ZINC000923557088 714401749 /nfs/dbraw/zinc/40/17/49/714401749.db2.gz KFVZGDTXARCKOS-CYBMUJFWSA-N 1 2 321.446 1.815 20 30 DDEDLO N#CC[C@H](NC(=O)NCc1ccn2cc[nH+]c2c1)C(F)(F)F ZINC000932908976 714435219 /nfs/dbraw/zinc/43/52/19/714435219.db2.gz AFICQWKXSHNOSK-JTQLQIEISA-N 1 2 311.267 1.978 20 30 DDEDLO Cn1nccc1[C@H]1C[N@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC000933532119 714610693 /nfs/dbraw/zinc/61/06/93/714610693.db2.gz YJCAGJYYXWEBER-QGZVFWFLSA-N 1 2 312.373 1.896 20 30 DDEDLO Cn1nccc1[C@H]1C[N@@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC000933532119 714610695 /nfs/dbraw/zinc/61/06/95/714610695.db2.gz YJCAGJYYXWEBER-QGZVFWFLSA-N 1 2 312.373 1.896 20 30 DDEDLO C=CCCC[C@H]1NC(=O)N(Cc2cn3c([nH+]2)CCCC3)C1=O ZINC000924575831 714611775 /nfs/dbraw/zinc/61/17/75/714611775.db2.gz NTNNBESXQUUXEJ-CYBMUJFWSA-N 1 2 302.378 1.996 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@H+](C[C@@H]3CCC4(COC4)O3)CCO2)cc1 ZINC000933633010 714634936 /nfs/dbraw/zinc/63/49/36/714634936.db2.gz UIETZMVBKQMNTN-DLBZAZTESA-N 1 2 314.385 1.880 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@@H+](C[C@@H]3CCC4(COC4)O3)CCO2)cc1 ZINC000933633010 714634938 /nfs/dbraw/zinc/63/49/38/714634938.db2.gz UIETZMVBKQMNTN-DLBZAZTESA-N 1 2 314.385 1.880 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCOC[C@@H]1C[C@@H]1CCOC1 ZINC000933671099 714644352 /nfs/dbraw/zinc/64/43/52/714644352.db2.gz MJADGMAZDAOXIF-PMPSAXMXSA-N 1 2 323.437 1.122 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCOC[C@@H]1C[C@@H]1CCOC1 ZINC000933671099 714644353 /nfs/dbraw/zinc/64/43/53/714644353.db2.gz MJADGMAZDAOXIF-PMPSAXMXSA-N 1 2 323.437 1.122 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NC(C)(C)C)Cc1cc(C#N)cs1 ZINC000933779761 714669745 /nfs/dbraw/zinc/66/97/45/714669745.db2.gz GQRVDILDZDOWJY-UHFFFAOYSA-N 1 2 308.407 1.676 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NC(C)(C)C)Cc1cc(C#N)cs1 ZINC000933779761 714669748 /nfs/dbraw/zinc/66/97/48/714669748.db2.gz GQRVDILDZDOWJY-UHFFFAOYSA-N 1 2 308.407 1.676 20 30 DDEDLO Cc1cc(N(CCC#N)C(=O)C[N@@H+]2CC[C@H]2CO)ccc1Cl ZINC000934027108 714725489 /nfs/dbraw/zinc/72/54/89/714725489.db2.gz SKCVOHANGRXQSQ-AWEZNQCLSA-N 1 2 321.808 1.962 20 30 DDEDLO Cc1cc(N(CCC#N)C(=O)C[N@H+]2CC[C@H]2CO)ccc1Cl ZINC000934027108 714725491 /nfs/dbraw/zinc/72/54/91/714725491.db2.gz SKCVOHANGRXQSQ-AWEZNQCLSA-N 1 2 321.808 1.962 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000934513708 714839934 /nfs/dbraw/zinc/83/99/34/714839934.db2.gz SLXMEWPQDPYPAT-QGZVFWFLSA-N 1 2 322.412 1.976 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000934513708 714839935 /nfs/dbraw/zinc/83/99/35/714839935.db2.gz SLXMEWPQDPYPAT-QGZVFWFLSA-N 1 2 322.412 1.976 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@H](CC)C[NH+]2CCOCC2)C1 ZINC000925610260 714899454 /nfs/dbraw/zinc/89/94/54/714899454.db2.gz FTPIQKDHLDAICZ-HZPDHXFCSA-N 1 2 307.438 1.542 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2scc3c2OCCO3)C1 ZINC000957088572 715740117 /nfs/dbraw/zinc/74/01/17/715740117.db2.gz XIZWBGJKVCZXMS-UHFFFAOYSA-N 1 2 320.414 1.689 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnc(OCC3CC3)cn2)CC1 ZINC000957301660 715824195 /nfs/dbraw/zinc/82/41/95/715824195.db2.gz OIEAMENIAYAFSH-UHFFFAOYSA-N 1 2 316.405 1.599 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](C[C@H]3CC[C@@H](C)O3)CC2)nc1 ZINC000957378798 715870490 /nfs/dbraw/zinc/87/04/90/715870490.db2.gz IOPGXFYABLGCBB-GDBMZVCRSA-N 1 2 313.401 1.388 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000957595983 715964209 /nfs/dbraw/zinc/96/42/09/715964209.db2.gz ONTBCRVKSRIBFI-ZIAGYGMSSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000939308382 716188674 /nfs/dbraw/zinc/18/86/74/716188674.db2.gz VLEXZQYKLYDQDH-ZDUSSCGKSA-N 1 2 316.405 1.368 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960617872 716633874 /nfs/dbraw/zinc/63/38/74/716633874.db2.gz OBDDQVUWDIAGRX-IUODEOHRSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960617872 716633877 /nfs/dbraw/zinc/63/38/77/716633877.db2.gz OBDDQVUWDIAGRX-IUODEOHRSA-N 1 2 316.405 1.775 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2cc(CC)on2)C1 ZINC000958602590 716655841 /nfs/dbraw/zinc/65/58/41/716655841.db2.gz IQCIEXBITDEZHV-GWCFXTLKSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2cc(CC)on2)C1 ZINC000958602590 716655845 /nfs/dbraw/zinc/65/58/45/716655845.db2.gz IQCIEXBITDEZHV-GWCFXTLKSA-N 1 2 313.785 1.012 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC=CCCC3)CC2)C1 ZINC000941451031 717179316 /nfs/dbraw/zinc/17/93/16/717179316.db2.gz HCGWPENHOLRSTK-KRWDZBQOSA-N 1 2 315.461 1.585 20 30 DDEDLO CN(C(=O)C(C)(C)c1c[nH+]c[nH]1)C1CCC(NCC#N)CC1 ZINC000964208966 717198355 /nfs/dbraw/zinc/19/83/55/717198355.db2.gz ZOGVHCQJPFEKLD-UHFFFAOYSA-N 1 2 303.410 1.570 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCCCC3(C)C)CC2)C1 ZINC000941679268 717253568 /nfs/dbraw/zinc/25/35/68/717253568.db2.gz XQZUJYGKUWWORR-QGZVFWFLSA-N 1 2 317.477 1.664 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@H]([C@H]2CCCN2CC#N)C1 ZINC000963382504 717768842 /nfs/dbraw/zinc/76/88/42/717768842.db2.gz CEDPGRHEUSCRCT-GOEBONIOSA-N 1 2 315.421 1.189 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000966665975 718621935 /nfs/dbraw/zinc/62/19/35/718621935.db2.gz ZKTYKHKRFQKMPB-RISCZKNCSA-N 1 2 318.421 1.436 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966896156 718699488 /nfs/dbraw/zinc/69/94/88/718699488.db2.gz NGEWJDZFLFEDHD-BXKDBHETSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966896156 718699489 /nfs/dbraw/zinc/69/94/89/718699489.db2.gz NGEWJDZFLFEDHD-BXKDBHETSA-N 1 2 310.785 1.375 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1ncccn1 ZINC000947205402 719073815 /nfs/dbraw/zinc/07/38/15/719073815.db2.gz PVXHVHYTUVSUCL-OCCSQVGLSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1ncccn1 ZINC000947205402 719073818 /nfs/dbraw/zinc/07/38/18/719073818.db2.gz PVXHVHYTUVSUCL-OCCSQVGLSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnc(C)n2C)C1 ZINC000968015022 719123952 /nfs/dbraw/zinc/12/39/52/719123952.db2.gz GWNNLCLOSBIHLJ-ZWNOBZJWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnc(C)n2C)C1 ZINC000968015022 719123955 /nfs/dbraw/zinc/12/39/55/719123955.db2.gz GWNNLCLOSBIHLJ-ZWNOBZJWSA-N 1 2 310.829 1.921 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2nnc3ccccc3c2O)C[C@H]1C ZINC000947771093 719265393 /nfs/dbraw/zinc/26/53/93/719265393.db2.gz GBAKTTCQTVONEY-OLZOCXBDSA-N 1 2 324.384 1.551 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnn(C)c2C(F)(F)F)CC1 ZINC000949081556 719895814 /nfs/dbraw/zinc/89/58/14/719895814.db2.gz PRHZBSWWVHVCEJ-UHFFFAOYSA-N 1 2 316.327 1.773 20 30 DDEDLO C=CC[NH+]1CCC2(CC1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC000949095386 719906029 /nfs/dbraw/zinc/90/60/29/719906029.db2.gz JPQUYBSBCAXUBV-NSHDSACASA-N 1 2 324.318 1.766 20 30 DDEDLO C=CC[NH+]1CCC2(CC1)CN(C(=O)[C@H](F)C(F)(F)F)CCO2 ZINC000949095386 719906033 /nfs/dbraw/zinc/90/60/33/719906033.db2.gz JPQUYBSBCAXUBV-NSHDSACASA-N 1 2 324.318 1.766 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCO[C@H]3CC)CC2)C1 ZINC000949423693 720078794 /nfs/dbraw/zinc/07/87/94/720078794.db2.gz LGJQMNAIZSOXSX-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCO[C@H]3CC)CC2)C1 ZINC000949423693 720078797 /nfs/dbraw/zinc/07/87/97/720078797.db2.gz LGJQMNAIZSOXSX-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)C2CCC(O)CC2)C1 ZINC000969568821 720195069 /nfs/dbraw/zinc/19/50/69/720195069.db2.gz KODBQXKFZCMERS-LKSINWNRSA-N 1 2 300.830 1.727 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3n2[C@H](C)CCC3)C1 ZINC000950385196 720607965 /nfs/dbraw/zinc/60/79/65/720607965.db2.gz HXVKEWZYWQVDIU-CQSZACIVSA-N 1 2 314.433 1.950 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc3[nH]c(=O)[nH]c3c2)C1 ZINC000950687769 720735974 /nfs/dbraw/zinc/73/59/74/720735974.db2.gz SYLCFZGRYDSLHQ-UHFFFAOYSA-N 1 2 315.377 1.386 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000951531704 721060832 /nfs/dbraw/zinc/06/08/32/721060832.db2.gz NUXOFEOKVPDROH-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)CSC)C1 ZINC000971034211 721070326 /nfs/dbraw/zinc/07/03/26/721070326.db2.gz LEKIKDWDJXTMMD-JTQLQIEISA-N 1 2 307.257 1.791 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)CSC)C1 ZINC000971034211 721070331 /nfs/dbraw/zinc/07/03/31/721070331.db2.gz LEKIKDWDJXTMMD-JTQLQIEISA-N 1 2 307.257 1.791 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCC[C@@H](C(N)=O)C2)C1 ZINC000952383243 721430480 /nfs/dbraw/zinc/43/04/80/721430480.db2.gz LPVGOEOHRUXPCN-ZIAGYGMSSA-N 1 2 307.438 1.387 20 30 DDEDLO C=CC[NH+]1CCN(c2nnc(Cl)c3c2N(C)CCO3)CC1 ZINC001165032294 721869545 /nfs/dbraw/zinc/86/95/45/721869545.db2.gz NEGCAGHSVCKWOD-UHFFFAOYSA-N 1 2 309.801 1.267 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@]3(C)CCCOC3)C2)C1 ZINC000972618191 735360282 /nfs/dbraw/zinc/36/02/82/735360282.db2.gz XACRXTNKKOHNPK-ZWKOTPCHSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@]3(C)CCCOC3)C2)C1 ZINC000972618191 735360283 /nfs/dbraw/zinc/36/02/83/735360283.db2.gz XACRXTNKKOHNPK-ZWKOTPCHSA-N 1 2 320.433 1.130 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@@H](NC(=O)C(C)(C)F)C2)C1=O ZINC001006564146 736473802 /nfs/dbraw/zinc/47/38/02/736473802.db2.gz UTUSXACIZMMCES-CHWSQXEVSA-N 1 2 311.401 1.102 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@@H](NC(=O)C(C)(C)F)C2)C1=O ZINC001006564146 736473804 /nfs/dbraw/zinc/47/38/04/736473804.db2.gz UTUSXACIZMMCES-CHWSQXEVSA-N 1 2 311.401 1.102 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(F)cn3)C2)c1 ZINC001010457848 732363070 /nfs/dbraw/zinc/36/30/70/732363070.db2.gz OLXWZHGWKYLBTO-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3ccc(F)cn3)C2)c1 ZINC001010457848 732363075 /nfs/dbraw/zinc/36/30/75/732363075.db2.gz OLXWZHGWKYLBTO-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccn(C)c3CC)[C@H]2C1 ZINC001083192789 732567535 /nfs/dbraw/zinc/56/75/35/732567535.db2.gz PDIGTBTXOWQRDK-DLBZAZTESA-N 1 2 315.417 1.136 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccn(C)c3CC)[C@H]2C1 ZINC001083192789 732567539 /nfs/dbraw/zinc/56/75/39/732567539.db2.gz PDIGTBTXOWQRDK-DLBZAZTESA-N 1 2 315.417 1.136 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@H+](Cc3ncccn3)CC[C@@H]21 ZINC001021584968 733342814 /nfs/dbraw/zinc/34/28/14/733342814.db2.gz NGXMQNAEZOANAG-IRXDYDNUSA-N 1 2 324.428 1.703 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@@H+](Cc3ncccn3)CC[C@@H]21 ZINC001021584968 733342820 /nfs/dbraw/zinc/34/28/20/733342820.db2.gz NGXMQNAEZOANAG-IRXDYDNUSA-N 1 2 324.428 1.703 20 30 DDEDLO N#CCN1CC[C@H]2[C@H](CCCN2C(=O)c2cccc3[nH+]ccn32)C1 ZINC001021634376 733388745 /nfs/dbraw/zinc/38/87/45/733388745.db2.gz ZJNRHYHHKFVCEF-CABCVRRESA-N 1 2 323.400 1.784 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccn(C(C)C)n3)[C@H]2C1 ZINC001083212254 733493849 /nfs/dbraw/zinc/49/38/49/733493849.db2.gz KBEXQNUWJDIZKC-JKSUJKDBSA-N 1 2 316.405 1.013 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccn(C(C)C)n3)[C@H]2C1 ZINC001083212254 733493850 /nfs/dbraw/zinc/49/38/50/733493850.db2.gz KBEXQNUWJDIZKC-JKSUJKDBSA-N 1 2 316.405 1.013 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](OC)C3CCCC3)[C@H]2C1 ZINC001083260517 735214417 /nfs/dbraw/zinc/21/44/17/735214417.db2.gz FRRDPNZGEQHSFK-GVDBMIGSSA-N 1 2 320.433 1.127 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](OC)C3CCCC3)[C@H]2C1 ZINC001083260517 735214418 /nfs/dbraw/zinc/21/44/18/735214418.db2.gz FRRDPNZGEQHSFK-GVDBMIGSSA-N 1 2 320.433 1.127 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@@]3(C)C[C@H](O)C[N@@H+]3C)n2C)C1 ZINC001121373504 782478521 /nfs/dbraw/zinc/47/85/21/782478521.db2.gz ZUINYVZFJSBFTE-JKIFEVAISA-N 1 2 319.453 1.519 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@@]3(C)C[C@H](O)C[N@H+]3C)n2C)C1 ZINC001121373504 782478532 /nfs/dbraw/zinc/47/85/32/782478532.db2.gz ZUINYVZFJSBFTE-JKIFEVAISA-N 1 2 319.453 1.519 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104489472 735242318 /nfs/dbraw/zinc/24/23/18/735242318.db2.gz VQRYVKPJONQMJM-NSHDSACASA-N 1 2 302.382 1.588 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C4CC4)n[nH]3)C2)C1 ZINC000972570685 735252243 /nfs/dbraw/zinc/25/22/43/735252243.db2.gz DNLVDYVOTXCSFW-KRWDZBQOSA-N 1 2 316.405 1.390 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C4CC4)n[nH]3)C2)C1 ZINC000972570685 735252246 /nfs/dbraw/zinc/25/22/46/735252246.db2.gz DNLVDYVOTXCSFW-KRWDZBQOSA-N 1 2 316.405 1.390 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)C1=COCCO1 ZINC001024308623 735741066 /nfs/dbraw/zinc/74/10/66/735741066.db2.gz LPYJFYDQLWWEMU-GFCCVEGCSA-N 1 2 300.786 1.598 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)C1=COCCO1 ZINC001024308623 735741063 /nfs/dbraw/zinc/74/10/63/735741063.db2.gz LPYJFYDQLWWEMU-GFCCVEGCSA-N 1 2 300.786 1.598 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001024483215 735859434 /nfs/dbraw/zinc/85/94/34/735859434.db2.gz OZYLQHILDBZMET-GFCCVEGCSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001024483215 735859437 /nfs/dbraw/zinc/85/94/37/735859437.db2.gz OZYLQHILDBZMET-GFCCVEGCSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001024490181 735866540 /nfs/dbraw/zinc/86/65/40/735866540.db2.gz IZRMUHWOBRDNEX-IHRRRGAJSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001024490181 735866546 /nfs/dbraw/zinc/86/65/46/735866546.db2.gz IZRMUHWOBRDNEX-IHRRRGAJSA-N 1 2 316.829 1.514 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3ccccc3Cl)[C@H]2C1 ZINC001083267125 735911248 /nfs/dbraw/zinc/91/12/48/735911248.db2.gz KOZQFPOIKBUZAJ-JKSUJKDBSA-N 1 2 318.804 1.427 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3ccccc3Cl)[C@H]2C1 ZINC001083267125 735911251 /nfs/dbraw/zinc/91/12/51/735911251.db2.gz KOZQFPOIKBUZAJ-JKSUJKDBSA-N 1 2 318.804 1.427 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1snnc1C(C)C ZINC001024727891 736053443 /nfs/dbraw/zinc/05/34/43/736053443.db2.gz QZCFUXPDMGBOHF-GFCCVEGCSA-N 1 2 306.435 1.879 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1snnc1C(C)C ZINC001024727891 736053446 /nfs/dbraw/zinc/05/34/46/736053446.db2.gz QZCFUXPDMGBOHF-GFCCVEGCSA-N 1 2 306.435 1.879 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)c(F)c(C)c3)[C@H]2C1 ZINC001083278520 736313152 /nfs/dbraw/zinc/31/31/52/736313152.db2.gz BNZNFXFRGLDZTR-JKSUJKDBSA-N 1 2 316.376 1.601 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)c(F)c(C)c3)[C@H]2C1 ZINC001083278520 736313154 /nfs/dbraw/zinc/31/31/54/736313154.db2.gz BNZNFXFRGLDZTR-JKSUJKDBSA-N 1 2 316.376 1.601 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H]3CC=CCC3)C2)nn1 ZINC001098608589 737251805 /nfs/dbraw/zinc/25/18/05/737251805.db2.gz ZTOPEWHXSBYEFI-GOEBONIOSA-N 1 2 313.405 1.131 20 30 DDEDLO CC(C)[C@H](CNC(=O)[C@H](C)C#N)Nc1[nH+]cnc2c1cnn2C ZINC001105140139 737577864 /nfs/dbraw/zinc/57/78/64/737577864.db2.gz VWMAMZFXIMHCSV-PWSUYJOCSA-N 1 2 315.381 1.076 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3C[C@H]3CC(C)C)C2)nn1 ZINC001105330193 737882068 /nfs/dbraw/zinc/88/20/68/737882068.db2.gz ULURDIOHAROOIA-CZUORRHYSA-N 1 2 315.421 1.066 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H](C)CC)C2)nn1 ZINC001098622588 737903678 /nfs/dbraw/zinc/90/36/78/737903678.db2.gz LUOGPPRFZIBHNV-UKRRQHHQSA-N 1 2 303.410 1.211 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)[C@H]2CC2(F)F)C1 ZINC001014381991 742145558 /nfs/dbraw/zinc/14/55/58/742145558.db2.gz GFPPVNFNCCXARK-ZIAGYGMSSA-N 1 2 305.328 1.904 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CC2(F)F)C1 ZINC001014381991 742145559 /nfs/dbraw/zinc/14/55/59/742145559.db2.gz GFPPVNFNCCXARK-ZIAGYGMSSA-N 1 2 305.328 1.904 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001038719464 738203788 /nfs/dbraw/zinc/20/37/88/738203788.db2.gz GLZCUUQRXMBSGH-YNEHKIRRSA-N 1 2 308.813 1.467 20 30 DDEDLO CN(CC#N)[C@@H]1CCCN(C(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001027422085 738278584 /nfs/dbraw/zinc/27/85/84/738278584.db2.gz WRDRDKNFAKUNCM-OAHLLOKOSA-N 1 2 315.421 1.208 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)CC(C)C)C2)nn1 ZINC001105354945 738991692 /nfs/dbraw/zinc/99/16/92/738991692.db2.gz ZZSQQEAKXSCOPE-AWEZNQCLSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](CC)CC(C)C)C2)nn1 ZINC001105359786 739178620 /nfs/dbraw/zinc/17/86/20/739178620.db2.gz WAJIBTLFAXNKQO-CQSZACIVSA-N 1 2 317.437 1.457 20 30 DDEDLO Cn1cnc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)c1 ZINC001038164195 739248002 /nfs/dbraw/zinc/24/80/02/739248002.db2.gz QGWVGHKTEATZMR-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cnc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)c1 ZINC001038164195 739248008 /nfs/dbraw/zinc/24/80/08/739248008.db2.gz QGWVGHKTEATZMR-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2[nH]cnc2C(C)(C)C)C1 ZINC001035372710 751437165 /nfs/dbraw/zinc/43/71/65/751437165.db2.gz PRISUWYUALVBSE-GFCCVEGCSA-N 1 2 306.410 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2[nH]cnc2C(C)(C)C)C1 ZINC001035372710 751437167 /nfs/dbraw/zinc/43/71/67/751437167.db2.gz PRISUWYUALVBSE-GFCCVEGCSA-N 1 2 306.410 1.324 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C(F)F)nc2)C1 ZINC001035393507 751462570 /nfs/dbraw/zinc/46/25/70/751462570.db2.gz CAQVLBXLCHZBBW-CYBMUJFWSA-N 1 2 323.343 1.473 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C(F)F)nc2)C1 ZINC001035393507 751462574 /nfs/dbraw/zinc/46/25/74/751462574.db2.gz CAQVLBXLCHZBBW-CYBMUJFWSA-N 1 2 323.343 1.473 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2nccc3cccnc32)C1 ZINC001035395414 751465738 /nfs/dbraw/zinc/46/57/38/751465738.db2.gz CXQZWBOCHSHDDV-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2nccc3cccnc32)C1 ZINC001035395414 751465743 /nfs/dbraw/zinc/46/57/43/751465743.db2.gz CXQZWBOCHSHDDV-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001035419074 751477437 /nfs/dbraw/zinc/47/74/37/751477437.db2.gz MYOKXIZFQUDSDS-CQSZACIVSA-N 1 2 306.410 1.255 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001035419074 751477438 /nfs/dbraw/zinc/47/74/38/751477438.db2.gz MYOKXIZFQUDSDS-CQSZACIVSA-N 1 2 306.410 1.255 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C)c2CCC)C1 ZINC001035428157 751486619 /nfs/dbraw/zinc/48/66/19/751486619.db2.gz UQNSTAAPFBYUQA-AWEZNQCLSA-N 1 2 320.437 1.379 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C)c2CCC)C1 ZINC001035428157 751486621 /nfs/dbraw/zinc/48/66/21/751486621.db2.gz UQNSTAAPFBYUQA-AWEZNQCLSA-N 1 2 320.437 1.379 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(C(C)C)n2)C1 ZINC001035432709 751509584 /nfs/dbraw/zinc/50/95/84/751509584.db2.gz RJQWLHYCWAPCFF-HNNXBMFYSA-N 1 2 315.417 1.659 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(C(C)C)n2)C1 ZINC001035432709 751509589 /nfs/dbraw/zinc/50/95/89/751509589.db2.gz RJQWLHYCWAPCFF-HNNXBMFYSA-N 1 2 315.417 1.659 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2nncc3ccccc32)C1 ZINC001035439952 751517187 /nfs/dbraw/zinc/51/71/87/751517187.db2.gz ITMSKGVZGCOCJU-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2nncc3ccccc32)C1 ZINC001035439952 751517193 /nfs/dbraw/zinc/51/71/93/751517193.db2.gz ITMSKGVZGCOCJU-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccn3ccccc23)C1 ZINC001035441694 751518404 /nfs/dbraw/zinc/51/84/04/751518404.db2.gz DWOSHTPRQHCEKU-HNNXBMFYSA-N 1 2 311.385 1.393 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccn3ccccc23)C1 ZINC001035441694 751518407 /nfs/dbraw/zinc/51/84/07/751518407.db2.gz DWOSHTPRQHCEKU-HNNXBMFYSA-N 1 2 311.385 1.393 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@]2(C)c2ccccc2)C1 ZINC001035449637 751526771 /nfs/dbraw/zinc/52/67/71/751526771.db2.gz NBOHGYYYRZFKNS-ZIFCJYIRSA-N 1 2 314.429 1.967 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@]2(C)c2ccccc2)C1 ZINC001035449637 751526775 /nfs/dbraw/zinc/52/67/75/751526775.db2.gz NBOHGYYYRZFKNS-ZIFCJYIRSA-N 1 2 314.429 1.967 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001098698116 740423267 /nfs/dbraw/zinc/42/32/67/740423267.db2.gz QGOWZQKQPZYGKT-HNNXBMFYSA-N 1 2 315.421 1.377 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)c(C)o1 ZINC001075780391 740449781 /nfs/dbraw/zinc/44/97/81/740449781.db2.gz KQMDTKHAJSQDEI-DOTOQJQBSA-N 1 2 313.401 1.738 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)c(C)o1 ZINC001075780391 740449785 /nfs/dbraw/zinc/44/97/85/740449785.db2.gz KQMDTKHAJSQDEI-DOTOQJQBSA-N 1 2 313.401 1.738 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Br)o2)C1 ZINC001078065696 753698698 /nfs/dbraw/zinc/69/86/98/753698698.db2.gz OPZVPVUOSKHYIS-RKDXNWHRSA-N 1 2 315.167 1.003 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Br)o2)C1 ZINC001078065696 753698704 /nfs/dbraw/zinc/69/87/04/753698704.db2.gz OPZVPVUOSKHYIS-RKDXNWHRSA-N 1 2 315.167 1.003 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ocnc2C2CC2)C1 ZINC001035514299 751570931 /nfs/dbraw/zinc/57/09/31/751570931.db2.gz ZNBZDEISZACVDR-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ocnc2C2CC2)C1 ZINC001035514299 751570934 /nfs/dbraw/zinc/57/09/34/751570934.db2.gz ZNBZDEISZACVDR-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1OC ZINC001211879802 740763801 /nfs/dbraw/zinc/76/38/01/740763801.db2.gz DMPYKXSVKURERH-KBRIMQKVSA-N 1 2 315.417 1.920 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1OC ZINC001211879802 740763802 /nfs/dbraw/zinc/76/38/02/740763802.db2.gz DMPYKXSVKURERH-KBRIMQKVSA-N 1 2 315.417 1.920 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@@H](CC)C(N)=O ZINC001029456751 740835533 /nfs/dbraw/zinc/83/55/33/740835533.db2.gz PJYRMNAVTFZQBG-QDEZUTFSSA-N 1 2 307.438 1.528 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@@H](CC)C(N)=O ZINC001029456751 740835535 /nfs/dbraw/zinc/83/55/35/740835535.db2.gz PJYRMNAVTFZQBG-QDEZUTFSSA-N 1 2 307.438 1.528 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCO[C@H]2C(=C)C)C1 ZINC001035540476 751586929 /nfs/dbraw/zinc/58/69/29/751586929.db2.gz BIIPDONLQJVKTG-JYJNAYRXSA-N 1 2 308.422 1.361 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCO[C@H]2C(=C)C)C1 ZINC001035540476 751586932 /nfs/dbraw/zinc/58/69/32/751586932.db2.gz BIIPDONLQJVKTG-JYJNAYRXSA-N 1 2 308.422 1.361 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2C1 ZINC001087973351 740899691 /nfs/dbraw/zinc/89/96/91/740899691.db2.gz VAYWIEAVYUXTTQ-WOPDTQHZSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2C1 ZINC001087973351 740899692 /nfs/dbraw/zinc/89/96/92/740899692.db2.gz VAYWIEAVYUXTTQ-WOPDTQHZSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]2C1 ZINC001087973351 740899695 /nfs/dbraw/zinc/89/96/95/740899695.db2.gz VAYWIEAVYUXTTQ-WOPDTQHZSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]2C1 ZINC001087973351 740899697 /nfs/dbraw/zinc/89/96/97/740899697.db2.gz VAYWIEAVYUXTTQ-WOPDTQHZSA-N 1 2 306.303 1.690 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@]2(C1)CCC[N@H+](Cc1cnns1)C2 ZINC001040127802 741393516 /nfs/dbraw/zinc/39/35/16/741393516.db2.gz VZOOVXSYSKCYLX-SWLSCSKDSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@]2(C1)CCC[N@@H+](Cc1cnns1)C2 ZINC001040127802 741393517 /nfs/dbraw/zinc/39/35/17/741393517.db2.gz VZOOVXSYSKCYLX-SWLSCSKDSA-N 1 2 319.434 1.512 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3nsnc32)C1 ZINC001035565304 751647359 /nfs/dbraw/zinc/64/73/59/751647359.db2.gz ISECFLMVZQMVEO-LLVKDONJSA-N 1 2 318.402 1.308 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3nsnc32)C1 ZINC001035565304 751647363 /nfs/dbraw/zinc/64/73/63/751647363.db2.gz ISECFLMVZQMVEO-LLVKDONJSA-N 1 2 318.402 1.308 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(F)cc2F)C1 ZINC001035594680 751651229 /nfs/dbraw/zinc/65/12/29/751651229.db2.gz FWRYQIRXWVIZIQ-ZDUSSCGKSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(F)cc2F)C1 ZINC001035594680 751651231 /nfs/dbraw/zinc/65/12/31/751651231.db2.gz FWRYQIRXWVIZIQ-ZDUSSCGKSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCc2nnc(C[NH2+]CCF)n2CC1 ZINC001128028176 751655481 /nfs/dbraw/zinc/65/54/81/751655481.db2.gz ZNMJZXPTAYDKTK-GFCCVEGCSA-N 1 2 323.416 1.371 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001075884569 741705476 /nfs/dbraw/zinc/70/54/76/741705476.db2.gz GLFQZHHAIFLLLP-BFHYXJOUSA-N 1 2 318.421 1.501 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001038474655 741819960 /nfs/dbraw/zinc/81/99/60/741819960.db2.gz HFRZXZBYCJSQFK-HIFRSBDPSA-N 1 2 304.777 1.467 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001038474655 741819963 /nfs/dbraw/zinc/81/99/63/741819963.db2.gz HFRZXZBYCJSQFK-HIFRSBDPSA-N 1 2 304.777 1.467 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(OC)nc2)C[C@H]1OC ZINC001211997273 741932124 /nfs/dbraw/zinc/93/21/24/741932124.db2.gz NMNZKUDMHJHRSG-HUUCEWRRSA-N 1 2 319.405 1.372 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(OC)nc2)C[C@H]1OC ZINC001211997273 741932125 /nfs/dbraw/zinc/93/21/25/741932125.db2.gz NMNZKUDMHJHRSG-HUUCEWRRSA-N 1 2 319.405 1.372 20 30 DDEDLO Cc1nc(N2CCC[C@H](CNC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001059919698 741976581 /nfs/dbraw/zinc/97/65/81/741976581.db2.gz NZGNLNRYODLBQP-CYBMUJFWSA-N 1 2 324.388 1.631 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1OC ZINC001212085789 741987348 /nfs/dbraw/zinc/98/73/48/741987348.db2.gz WXZPXAXHJOCPMC-KMVAXQJASA-N 1 2 316.829 1.537 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1OC ZINC001212085789 741987352 /nfs/dbraw/zinc/98/73/52/741987352.db2.gz WXZPXAXHJOCPMC-KMVAXQJASA-N 1 2 316.829 1.537 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2csc(C3CC3)n2)C1 ZINC001035619460 751689823 /nfs/dbraw/zinc/68/98/23/751689823.db2.gz KVQLXGKPTXJJGG-CYBMUJFWSA-N 1 2 319.430 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2csc(C3CC3)n2)C1 ZINC001035619460 751689826 /nfs/dbraw/zinc/68/98/26/751689826.db2.gz KVQLXGKPTXJJGG-CYBMUJFWSA-N 1 2 319.430 1.474 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(C(C)(C)C)nc2)C1 ZINC001035627837 751699544 /nfs/dbraw/zinc/69/95/44/751699544.db2.gz PUDIWMYXXRHUFC-AWEZNQCLSA-N 1 2 318.421 1.391 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc(C(C)(C)C)nc2)C1 ZINC001035627837 751699547 /nfs/dbraw/zinc/69/95/47/751699547.db2.gz PUDIWMYXXRHUFC-AWEZNQCLSA-N 1 2 318.421 1.391 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)[C@H]1C ZINC001088808571 742411120 /nfs/dbraw/zinc/41/11/20/742411120.db2.gz LWRBTGUPPZBCQB-TYNCELHUSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)[C@H]1C ZINC001088808571 742411124 /nfs/dbraw/zinc/41/11/24/742411124.db2.gz LWRBTGUPPZBCQB-TYNCELHUSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cn(C)nc3OC)[C@@H]2C1 ZINC001076125967 742555769 /nfs/dbraw/zinc/55/57/69/742555769.db2.gz HBQLADZHOYOGFC-WCQYABFASA-N 1 2 324.812 1.328 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cn(C)nc3OC)[C@@H]2C1 ZINC001076125967 742555772 /nfs/dbraw/zinc/55/57/72/742555772.db2.gz HBQLADZHOYOGFC-WCQYABFASA-N 1 2 324.812 1.328 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2CCN(C(=O)c3cc(C(F)F)[nH]n3)[C@@H]2C1 ZINC001076230376 742612061 /nfs/dbraw/zinc/61/20/61/742612061.db2.gz SBWLGLHRVSRAAO-GXFFZTMASA-N 1 2 308.332 1.517 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(C(F)F)[nH]n3)[C@@H]2C1 ZINC001076230376 742612064 /nfs/dbraw/zinc/61/20/64/742612064.db2.gz SBWLGLHRVSRAAO-GXFFZTMASA-N 1 2 308.332 1.517 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001076688445 742909553 /nfs/dbraw/zinc/90/95/53/742909553.db2.gz NZIQYUBWLUITTG-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO N#Cc1ccc2ncc(N[C@@H]3CCC[N@@H+](C4COC4)C3)nc2c1 ZINC001168368084 743233902 /nfs/dbraw/zinc/23/39/02/743233902.db2.gz GHNIWBBEJMYMBK-CYBMUJFWSA-N 1 2 309.373 1.777 20 30 DDEDLO N#Cc1ccc2ncc(N[C@@H]3CCC[N@H+](C4COC4)C3)nc2c1 ZINC001168368084 743233908 /nfs/dbraw/zinc/23/39/08/743233908.db2.gz GHNIWBBEJMYMBK-CYBMUJFWSA-N 1 2 309.373 1.777 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccccc2COC)C1 ZINC001108047493 743234800 /nfs/dbraw/zinc/23/48/00/743234800.db2.gz HLSHXWJXUQDLAC-GOSISDBHSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccccc2COC)C1 ZINC001108047493 743234807 /nfs/dbraw/zinc/23/48/07/743234807.db2.gz HLSHXWJXUQDLAC-GOSISDBHSA-N 1 2 318.417 1.840 20 30 DDEDLO N#Cc1cc(C(=O)NC2CC[NH+](Cc3nccs3)CC2)c[nH]1 ZINC001002639316 743301737 /nfs/dbraw/zinc/30/17/37/743301737.db2.gz PFXNSIGICMPTOD-UHFFFAOYSA-N 1 2 315.402 1.737 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC001182214654 743530809 /nfs/dbraw/zinc/53/08/09/743530809.db2.gz DTEXYHRHMYJFLV-CQSZACIVSA-N 1 2 316.405 1.516 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)COC(C)(C)C)CC2)C1 ZINC001105702730 743586954 /nfs/dbraw/zinc/58/69/54/743586954.db2.gz COSVLCLDCQNVKL-UHFFFAOYSA-N 1 2 310.438 1.679 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001182440967 743622907 /nfs/dbraw/zinc/62/29/07/743622907.db2.gz IYDJPTLRYCLQBL-QWHCGFSZSA-N 1 2 318.421 1.933 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1scnc1C)C2 ZINC001110311992 743642778 /nfs/dbraw/zinc/64/27/78/743642778.db2.gz BGOWGRAYZKXLRW-MCIONIFRSA-N 1 2 319.430 1.436 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1scnc1C)C2 ZINC001110311992 743642780 /nfs/dbraw/zinc/64/27/80/743642780.db2.gz BGOWGRAYZKXLRW-MCIONIFRSA-N 1 2 319.430 1.436 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C=C(/C)CC)C2)nn1 ZINC001098673040 743653522 /nfs/dbraw/zinc/65/35/22/743653522.db2.gz LUIOIDXELXCFFR-DNXIFWLFSA-N 1 2 315.421 1.521 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC001127050949 743677423 /nfs/dbraw/zinc/67/74/23/743677423.db2.gz ACSOGOBVKOLFLX-GFCCVEGCSA-N 1 2 307.394 1.216 20 30 DDEDLO C=C(C)CC[NH2+]C[C@]1(C)CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001182741747 743714637 /nfs/dbraw/zinc/71/46/37/743714637.db2.gz ULCFEMVQVMMKPF-RHSMWYFYSA-N 1 2 304.438 1.993 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001182933300 743759046 /nfs/dbraw/zinc/75/90/46/743759046.db2.gz PKVKAMCSMROJIK-CYBMUJFWSA-N 1 2 304.394 1.994 20 30 DDEDLO N#CCN1CC2(C1)CCN(C(=O)c1cccc3[nH+]ccn31)CC2 ZINC001035747789 751860245 /nfs/dbraw/zinc/86/02/45/751860245.db2.gz DHCZPXSNJLCTBK-UHFFFAOYSA-N 1 2 309.373 1.396 20 30 DDEDLO C=CCN1CC(NC(=O)[C@@H]2CN(c3cccc[nH+]3)C[C@H]2C)C1 ZINC001030326497 744051006 /nfs/dbraw/zinc/05/10/06/744051006.db2.gz KOSDBDMNZKSFNJ-UKRRQHHQSA-N 1 2 300.406 1.140 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001184890447 744115711 /nfs/dbraw/zinc/11/57/11/744115711.db2.gz PDMHQSYNZDUOCN-CYBMUJFWSA-N 1 2 306.435 1.812 20 30 DDEDLO Cc1cc(N[C@@H](CO)CNC(=O)C#CC(C)C)nc(C2CC2)[nH+]1 ZINC001121520160 782546850 /nfs/dbraw/zinc/54/68/50/782546850.db2.gz JGRRHPWHPYFFOY-CQSZACIVSA-N 1 2 316.405 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)/C(C)=C/C)C2)nn1 ZINC001185916648 744313850 /nfs/dbraw/zinc/31/38/50/744313850.db2.gz QYKMGSDXTOHEOI-DTHCKZEYSA-N 1 2 301.394 1.131 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)/C(C)=C\C)C2)nn1 ZINC001185916653 744314168 /nfs/dbraw/zinc/31/41/68/744314168.db2.gz QYKMGSDXTOHEOI-XNISGLLXSA-N 1 2 301.394 1.131 20 30 DDEDLO C=C(C)CC[NH+]1CC(NC(=O)Cc2cc(=O)n(CC(C)C)[nH]2)C1 ZINC001030623844 744343005 /nfs/dbraw/zinc/34/30/05/744343005.db2.gz AXTHTOLRNHIWPR-UHFFFAOYSA-N 1 2 320.437 1.142 20 30 DDEDLO CC(C)[C@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001110380449 744386706 /nfs/dbraw/zinc/38/67/06/744386706.db2.gz VUYOYERYBRPGIV-TUVASFSCSA-N 1 2 320.437 1.030 20 30 DDEDLO CC(C)[C@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001110380449 744386709 /nfs/dbraw/zinc/38/67/09/744386709.db2.gz VUYOYERYBRPGIV-TUVASFSCSA-N 1 2 320.437 1.030 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)[C@H]1C ZINC001089012731 744443560 /nfs/dbraw/zinc/44/35/60/744443560.db2.gz KXIDKXMCHBDLHC-AGIUHOORSA-N 1 2 313.829 1.329 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)[C@H]1C ZINC001089012731 744443562 /nfs/dbraw/zinc/44/35/62/744443562.db2.gz KXIDKXMCHBDLHC-AGIUHOORSA-N 1 2 313.829 1.329 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001187699468 744593966 /nfs/dbraw/zinc/59/39/66/744593966.db2.gz ZBCWLPOXYASSRY-BJJXKVORSA-N 1 2 321.446 1.503 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001187699468 744593967 /nfs/dbraw/zinc/59/39/67/744593967.db2.gz ZBCWLPOXYASSRY-BJJXKVORSA-N 1 2 321.446 1.503 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001187736506 744601600 /nfs/dbraw/zinc/60/16/00/744601600.db2.gz IUYNKEFRFSURKW-GOEBONIOSA-N 1 2 301.390 1.819 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001187736506 744601602 /nfs/dbraw/zinc/60/16/02/744601602.db2.gz IUYNKEFRFSURKW-GOEBONIOSA-N 1 2 301.390 1.819 20 30 DDEDLO C[C@@H](CCNC(=O)Cc1c[nH+]cn1C)NC(=O)C#CC(C)(C)C ZINC001077498124 744643499 /nfs/dbraw/zinc/64/34/99/744643499.db2.gz IHSPVOQDUIKRKZ-ZDUSSCGKSA-N 1 2 318.421 1.023 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001188999295 744806936 /nfs/dbraw/zinc/80/69/36/744806936.db2.gz JIJHELQXRXGDOK-UONOGXRCSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001188999295 744806940 /nfs/dbraw/zinc/80/69/40/744806940.db2.gz JIJHELQXRXGDOK-UONOGXRCSA-N 1 2 304.394 1.767 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C/C=C/Cl)C[C@@H]2O)cc1 ZINC001083691582 744819747 /nfs/dbraw/zinc/81/97/47/744819747.db2.gz WOVXOJQMKMOWBL-CXAXABNOSA-N 1 2 304.777 1.195 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C/C=C/Cl)C[C@@H]2O)cc1 ZINC001083691582 744819752 /nfs/dbraw/zinc/81/97/52/744819752.db2.gz WOVXOJQMKMOWBL-CXAXABNOSA-N 1 2 304.777 1.195 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001189242991 744852127 /nfs/dbraw/zinc/85/21/27/744852127.db2.gz OHRVFPPMZFGYHS-XJKSGUPXSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001189242991 744852131 /nfs/dbraw/zinc/85/21/31/744852131.db2.gz OHRVFPPMZFGYHS-XJKSGUPXSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2nc(CC)no2)C1 ZINC001189371400 744878474 /nfs/dbraw/zinc/87/84/74/744878474.db2.gz QOXBDEUGORFASD-CHWSQXEVSA-N 1 2 322.409 1.428 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2nc(CC)no2)C1 ZINC001189371400 744878477 /nfs/dbraw/zinc/87/84/77/744878477.db2.gz QOXBDEUGORFASD-CHWSQXEVSA-N 1 2 322.409 1.428 20 30 DDEDLO Cc1nc(N[C@@H](C)C[C@@H](C)NC(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001089281507 744905491 /nfs/dbraw/zinc/90/54/91/744905491.db2.gz UEYCUVDRLCJZRM-WDEREUQCSA-N 1 2 312.377 1.994 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cc(OC)ncn2)C1 ZINC001046320163 744958932 /nfs/dbraw/zinc/95/89/32/744958932.db2.gz PSHZBXNACHPMAC-AWEZNQCLSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cc(OC)ncn2)C1 ZINC001046320163 744958934 /nfs/dbraw/zinc/95/89/34/744958934.db2.gz PSHZBXNACHPMAC-AWEZNQCLSA-N 1 2 310.785 1.432 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](CCc3ccccn3)CC2)nc1 ZINC001190589821 745265783 /nfs/dbraw/zinc/26/57/83/745265783.db2.gz KUVGXQGDEFQASR-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CCC[N@H+](Cc3cncs3)C2)c1 ZINC001007032283 751991733 /nfs/dbraw/zinc/99/17/33/751991733.db2.gz ZYZKEEISGITRGP-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CCC[N@@H+](Cc3cncs3)C2)c1 ZINC001007032283 751991738 /nfs/dbraw/zinc/99/17/38/751991738.db2.gz ZYZKEEISGITRGP-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNc1[nH+]cnc2c1cnn2C ZINC001106303248 745576004 /nfs/dbraw/zinc/57/60/04/745576004.db2.gz NDZPIVIWJVNCIT-LBPRGKRZSA-N 1 2 314.393 1.474 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC1([NH+]2CCCC2)COC1 ZINC001192268377 745738531 /nfs/dbraw/zinc/73/85/31/745738531.db2.gz KBXZTANHHZJLMI-UHFFFAOYSA-N 1 2 315.373 1.161 20 30 DDEDLO Cn1nccc1C[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007133534 752031455 /nfs/dbraw/zinc/03/14/55/752031455.db2.gz IUHJNQVHVSDGPD-ZDUSSCGKSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1nccc1C[N@H+]1CCC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007133534 752031460 /nfs/dbraw/zinc/03/14/60/752031460.db2.gz IUHJNQVHVSDGPD-ZDUSSCGKSA-N 1 2 312.377 1.014 20 30 DDEDLO N#Cc1ccncc1C(=O)N1CC[NH+](CCc2ccccn2)CC1 ZINC001192971564 745952053 /nfs/dbraw/zinc/95/20/53/745952053.db2.gz RHOSPUBFYZNZLT-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CCCN(CC#N)[C@@H]2C)c[nH+]1 ZINC000993499142 746178554 /nfs/dbraw/zinc/17/85/54/746178554.db2.gz YHPTWQDRBQTYDY-KGLIPLIRSA-N 1 2 303.410 1.419 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H]2CC=CCC2)CC1 ZINC001194802735 746463930 /nfs/dbraw/zinc/46/39/30/746463930.db2.gz PXCBQKHEEDVGKC-JKSUJKDBSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@H]2CC=CCC2)CC1 ZINC001194802735 746463932 /nfs/dbraw/zinc/46/39/32/746463932.db2.gz PXCBQKHEEDVGKC-JKSUJKDBSA-N 1 2 317.433 1.015 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCCc2c(cnn2C)C1 ZINC001195112311 746530324 /nfs/dbraw/zinc/53/03/24/746530324.db2.gz HZXLMBJUILVAKV-GFCCVEGCSA-N 1 2 301.394 1.119 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCCc2c(cnn2C)C1 ZINC001195112311 746530327 /nfs/dbraw/zinc/53/03/27/746530327.db2.gz HZXLMBJUILVAKV-GFCCVEGCSA-N 1 2 301.394 1.119 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)N2CCCCCC2)CC1 ZINC001195192359 746542346 /nfs/dbraw/zinc/54/23/46/746542346.db2.gz XPLIHVKQVCYQBW-UHFFFAOYSA-N 1 2 321.465 1.890 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)N2CCCCCC2)CC1 ZINC001195192359 746542348 /nfs/dbraw/zinc/54/23/48/746542348.db2.gz XPLIHVKQVCYQBW-UHFFFAOYSA-N 1 2 321.465 1.890 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)nsc2C)C[C@H]1O ZINC001195287755 746569519 /nfs/dbraw/zinc/56/95/19/746569519.db2.gz SNNFIMHXDYVJSE-ZIAGYGMSSA-N 1 2 323.462 1.633 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)nsc2C)C[C@H]1O ZINC001195287755 746569524 /nfs/dbraw/zinc/56/95/24/746569524.db2.gz SNNFIMHXDYVJSE-ZIAGYGMSSA-N 1 2 323.462 1.633 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001195732882 746679440 /nfs/dbraw/zinc/67/94/40/746679440.db2.gz NZNNEQONWZIHDW-QZTJIDSGSA-N 1 2 312.413 1.556 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001195732882 746679442 /nfs/dbraw/zinc/67/94/42/746679442.db2.gz NZNNEQONWZIHDW-QZTJIDSGSA-N 1 2 312.413 1.556 20 30 DDEDLO CCC(C)(C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001195762460 746689974 /nfs/dbraw/zinc/68/99/74/746689974.db2.gz YORJRZXHXKJMFO-IAGOWNOFSA-N 1 2 314.429 1.636 20 30 DDEDLO CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001195762460 746689976 /nfs/dbraw/zinc/68/99/76/746689976.db2.gz YORJRZXHXKJMFO-IAGOWNOFSA-N 1 2 314.429 1.636 20 30 DDEDLO COc1ncccc1C[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195774817 746701208 /nfs/dbraw/zinc/70/12/08/746701208.db2.gz CIZFURNHQPLPRP-UHFFFAOYSA-N 1 2 315.417 1.784 20 30 DDEDLO COc1ncccc1C[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195774817 746701214 /nfs/dbraw/zinc/70/12/14/746701214.db2.gz CIZFURNHQPLPRP-UHFFFAOYSA-N 1 2 315.417 1.784 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3conc3C)C[C@@H]2O)C1 ZINC001083756098 746714679 /nfs/dbraw/zinc/71/46/79/746714679.db2.gz BZNVBWFHRLWLEK-KGLIPLIRSA-N 1 2 305.378 1.001 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3conc3C)C[C@@H]2O)C1 ZINC001083756098 746714682 /nfs/dbraw/zinc/71/46/82/746714682.db2.gz BZNVBWFHRLWLEK-KGLIPLIRSA-N 1 2 305.378 1.001 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@@H+]([C@H](C)c2ncc(C)o2)CC1 ZINC001195824021 746717294 /nfs/dbraw/zinc/71/72/94/746717294.db2.gz GTSXBYMYNKCYJL-OAHLLOKOSA-N 1 2 319.405 1.618 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@H+]([C@H](C)c2ncc(C)o2)CC1 ZINC001195824021 746717298 /nfs/dbraw/zinc/71/72/98/746717298.db2.gz GTSXBYMYNKCYJL-OAHLLOKOSA-N 1 2 319.405 1.618 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@@H+](Cc2cc(C)no2)CC1 ZINC001195824237 746717403 /nfs/dbraw/zinc/71/74/03/746717403.db2.gz ODEQHVMRSLKBCA-UHFFFAOYSA-N 1 2 305.378 1.057 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@H+](Cc2cc(C)no2)CC1 ZINC001195824237 746717408 /nfs/dbraw/zinc/71/74/08/746717408.db2.gz ODEQHVMRSLKBCA-UHFFFAOYSA-N 1 2 305.378 1.057 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1ncccc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089381602 746762844 /nfs/dbraw/zinc/76/28/44/746762844.db2.gz TTYAFTFCTARYOE-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc3cc[nH]cc-3c2=O)C1 ZINC001007414956 752133572 /nfs/dbraw/zinc/13/35/72/752133572.db2.gz CVWYZDKYBRRKEX-CYBMUJFWSA-N 1 2 324.384 1.141 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc3cc[nH]cc-3c2=O)C1 ZINC001007414956 752133573 /nfs/dbraw/zinc/13/35/73/752133573.db2.gz CVWYZDKYBRRKEX-CYBMUJFWSA-N 1 2 324.384 1.141 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)c3cccnc3)C2)c1 ZINC001031512181 746924936 /nfs/dbraw/zinc/92/49/36/746924936.db2.gz HPJBPZXHQZFMCZ-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC001196853265 746991018 /nfs/dbraw/zinc/99/10/18/746991018.db2.gz JHKGEJLCMKWMPV-ZIAGYGMSSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC001196853265 746991022 /nfs/dbraw/zinc/99/10/22/746991022.db2.gz JHKGEJLCMKWMPV-ZIAGYGMSSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001128405188 747001791 /nfs/dbraw/zinc/00/17/91/747001791.db2.gz SNSITCNGPVVZLY-UHFFFAOYSA-N 1 2 304.350 1.892 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](CC(=O)NCCCC)CC1 ZINC001196984711 747024747 /nfs/dbraw/zinc/02/47/47/747024747.db2.gz MORKEONUAILETB-UHFFFAOYSA-N 1 2 309.454 1.793 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](CC(=O)NCCCC)CC1 ZINC001196984711 747024754 /nfs/dbraw/zinc/02/47/54/747024754.db2.gz MORKEONUAILETB-UHFFFAOYSA-N 1 2 309.454 1.793 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1ccc(C#N)nc1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089490846 747092024 /nfs/dbraw/zinc/09/20/24/747092024.db2.gz VIUHXOIFMMWYQR-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO CCc1cc(C)c(C(=O)N2CCC[N@H+](CC#CCOC)CC2)o1 ZINC001197824770 747290677 /nfs/dbraw/zinc/29/06/77/747290677.db2.gz SXWFQMVUVNQKNG-UHFFFAOYSA-N 1 2 318.417 1.948 20 30 DDEDLO CCc1cc(C)c(C(=O)N2CCC[N@@H+](CC#CCOC)CC2)o1 ZINC001197824770 747290682 /nfs/dbraw/zinc/29/06/82/747290682.db2.gz SXWFQMVUVNQKNG-UHFFFAOYSA-N 1 2 318.417 1.948 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2ccc(F)cn2)CC1 ZINC001198315670 747448418 /nfs/dbraw/zinc/44/84/18/747448418.db2.gz CEKZAWMECKDFKH-UHFFFAOYSA-N 1 2 321.396 1.848 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2ccc(F)cn2)CC1 ZINC001198315670 747448419 /nfs/dbraw/zinc/44/84/19/747448419.db2.gz CEKZAWMECKDFKH-UHFFFAOYSA-N 1 2 321.396 1.848 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cnc(C)cn2)CC1 ZINC001198344966 747460243 /nfs/dbraw/zinc/46/02/43/747460243.db2.gz YAUPQMNDRNLHAN-OAHLLOKOSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cnc(C)cn2)CC1 ZINC001198344966 747460250 /nfs/dbraw/zinc/46/02/50/747460250.db2.gz YAUPQMNDRNLHAN-OAHLLOKOSA-N 1 2 318.421 1.410 20 30 DDEDLO C#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1[nH]nc(C)c1C ZINC001089619864 747482086 /nfs/dbraw/zinc/48/20/86/747482086.db2.gz XIXCYNNBAMOAIA-CQSZACIVSA-N 1 2 302.422 1.880 20 30 DDEDLO C#CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1[nH]nc(C)c1C ZINC001089619864 747482092 /nfs/dbraw/zinc/48/20/92/747482092.db2.gz XIXCYNNBAMOAIA-CQSZACIVSA-N 1 2 302.422 1.880 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ncc[nH]1)C2 ZINC001110510219 747511140 /nfs/dbraw/zinc/51/11/40/747511140.db2.gz DGDGWAFSBBPKBU-UPJWGTAASA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ncc[nH]1)C2 ZINC001110510219 747511144 /nfs/dbraw/zinc/51/11/44/747511144.db2.gz DGDGWAFSBBPKBU-UPJWGTAASA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001031725395 747549034 /nfs/dbraw/zinc/54/90/34/747549034.db2.gz GMMMXQOOHSPGKE-ZDUSSCGKSA-N 1 2 320.824 1.382 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC000998746386 752192789 /nfs/dbraw/zinc/19/27/89/752192789.db2.gz NMISBPBDAHXALI-NWDGAFQWSA-N 1 2 305.382 1.433 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cn(C)nc2C)cc1 ZINC001038589842 747714411 /nfs/dbraw/zinc/71/44/11/747714411.db2.gz SVVWNHOBANEPOX-SFHVURJKSA-N 1 2 322.412 1.714 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cn(C)nc2C)cc1 ZINC001038589842 747714414 /nfs/dbraw/zinc/71/44/14/747714414.db2.gz SVVWNHOBANEPOX-SFHVURJKSA-N 1 2 322.412 1.714 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001107987610 752219440 /nfs/dbraw/zinc/21/94/40/752219440.db2.gz QKCNTWRZRCNULX-DZGCQCFKSA-N 1 2 314.376 1.652 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001107987610 752219446 /nfs/dbraw/zinc/21/94/46/752219446.db2.gz QKCNTWRZRCNULX-DZGCQCFKSA-N 1 2 314.376 1.652 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001033010235 747911016 /nfs/dbraw/zinc/91/10/16/747911016.db2.gz MNBCGVJIQZHMRQ-NWDGAFQWSA-N 1 2 300.786 1.225 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001033010235 747911019 /nfs/dbraw/zinc/91/10/19/747911019.db2.gz MNBCGVJIQZHMRQ-NWDGAFQWSA-N 1 2 300.786 1.225 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccnn2C)C[C@H]1OC ZINC001212347026 748062598 /nfs/dbraw/zinc/06/25/98/748062598.db2.gz PDGHILLVRHZNFK-HUUCEWRRSA-N 1 2 306.410 1.092 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccnn2C)C[C@H]1OC ZINC001212347026 748062606 /nfs/dbraw/zinc/06/26/06/748062606.db2.gz PDGHILLVRHZNFK-HUUCEWRRSA-N 1 2 306.410 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(C)nc2)[C@@H](O)C1 ZINC001090073511 748062965 /nfs/dbraw/zinc/06/29/65/748062965.db2.gz GFJUBAUDTMRPTM-KGLIPLIRSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)nc2)[C@@H](O)C1 ZINC001090073511 748062970 /nfs/dbraw/zinc/06/29/70/748062970.db2.gz GFJUBAUDTMRPTM-KGLIPLIRSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cncn2C)C[C@H]1OC ZINC001212347156 748072541 /nfs/dbraw/zinc/07/25/41/748072541.db2.gz XGSDXUTUWNKWSI-HUUCEWRRSA-N 1 2 306.410 1.092 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cncn2C)C[C@H]1OC ZINC001212347156 748072552 /nfs/dbraw/zinc/07/25/52/748072552.db2.gz XGSDXUTUWNKWSI-HUUCEWRRSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@@H](C[NH2+][C@H](C)c2nc(C)no2)C1 ZINC001200101581 748123436 /nfs/dbraw/zinc/12/34/36/748123436.db2.gz YTOCGFATAIPEST-OCCSQVGLSA-N 1 2 322.409 1.612 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cnc3n2CCCC3)C1 ZINC001031921246 748215841 /nfs/dbraw/zinc/21/58/41/748215841.db2.gz BQVBVGANFOYVLT-UHFFFAOYSA-N 1 2 308.813 1.634 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077574636 748268762 /nfs/dbraw/zinc/26/87/62/748268762.db2.gz MHPVSVVEOLRZER-CABCVRRESA-N 1 2 320.437 1.887 20 30 DDEDLO CC#CC[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200554870 748277096 /nfs/dbraw/zinc/27/70/96/748277096.db2.gz MMNSZRXAMVWLJW-MNOVXSKESA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[NH2+]C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCCO1 ZINC001200554870 748277102 /nfs/dbraw/zinc/27/71/02/748277102.db2.gz MMNSZRXAMVWLJW-MNOVXSKESA-N 1 2 310.291 1.117 20 30 DDEDLO Cc1ncn(C)c1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004462026 748452970 /nfs/dbraw/zinc/45/29/70/748452970.db2.gz BQBGYDOPWVUDCO-OAHLLOKOSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1ncn(C)c1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004462026 748452974 /nfs/dbraw/zinc/45/29/74/748452974.db2.gz BQBGYDOPWVUDCO-OAHLLOKOSA-N 1 2 315.421 1.569 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc3n[nH]nc3n2)C1 ZINC001033130133 748481449 /nfs/dbraw/zinc/48/14/49/748481449.db2.gz FFYIUWJTBLLAGJ-JTQLQIEISA-N 1 2 320.784 1.252 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc3n[nH]nc3n2)C1 ZINC001033130133 748481454 /nfs/dbraw/zinc/48/14/54/748481454.db2.gz FFYIUWJTBLLAGJ-JTQLQIEISA-N 1 2 320.784 1.252 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001004515271 748503828 /nfs/dbraw/zinc/50/38/28/748503828.db2.gz MXKIAODSNXYUEU-QXSJWSMHSA-N 1 2 303.406 1.105 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001004515271 748503834 /nfs/dbraw/zinc/50/38/34/748503834.db2.gz MXKIAODSNXYUEU-QXSJWSMHSA-N 1 2 303.406 1.105 20 30 DDEDLO Cc1nc(C)c(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)[nH]1 ZINC001004552302 748550909 /nfs/dbraw/zinc/55/09/09/748550909.db2.gz MVKMSLNZWRDOOL-HNNXBMFYSA-N 1 2 315.421 1.867 20 30 DDEDLO Cc1nc(C)c(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)[nH]1 ZINC001004552302 748550914 /nfs/dbraw/zinc/55/09/14/748550914.db2.gz MVKMSLNZWRDOOL-HNNXBMFYSA-N 1 2 315.421 1.867 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cc(C)no3)C2)nc1 ZINC001032135387 748727601 /nfs/dbraw/zinc/72/76/01/748727601.db2.gz SKZATVITXQCVDX-UHFFFAOYSA-N 1 2 310.357 1.221 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)c2cnn(C)c2)C1 ZINC001108094583 748838392 /nfs/dbraw/zinc/83/83/92/748838392.db2.gz WVEIGYDQURHLKM-RHSMWYFYSA-N 1 2 320.437 1.307 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)c2cnn(C)c2)C1 ZINC001108094583 748838397 /nfs/dbraw/zinc/83/83/97/748838397.db2.gz WVEIGYDQURHLKM-RHSMWYFYSA-N 1 2 320.437 1.307 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cncc(C)c2)C1 ZINC001108099171 749106522 /nfs/dbraw/zinc/10/65/22/749106522.db2.gz IPMXBVAMZDCDKD-SFHVURJKSA-N 1 2 315.417 1.163 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cncc(C)c2)C1 ZINC001108099171 749106528 /nfs/dbraw/zinc/10/65/28/749106528.db2.gz IPMXBVAMZDCDKD-SFHVURJKSA-N 1 2 315.417 1.163 20 30 DDEDLO CC#CCCCC(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115251330 749200392 /nfs/dbraw/zinc/20/03/92/749200392.db2.gz VWOKADIBEVBBPZ-UHFFFAOYSA-N 1 2 318.421 1.548 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@@H]2CC[N@H+](Cc3ccn(C)n3)C2)c1 ZINC001033404573 749324541 /nfs/dbraw/zinc/32/45/41/749324541.db2.gz CULSWPZHKBIWKA-QGZVFWFLSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3ccn(C)n3)C2)c1 ZINC001033404573 749324545 /nfs/dbraw/zinc/32/45/45/749324545.db2.gz CULSWPZHKBIWKA-QGZVFWFLSA-N 1 2 323.400 1.143 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001033427462 749345580 /nfs/dbraw/zinc/34/55/80/749345580.db2.gz CJMYWHDJFZZRPN-AGIUHOORSA-N 1 2 313.829 1.173 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001033427462 749345586 /nfs/dbraw/zinc/34/55/86/749345586.db2.gz CJMYWHDJFZZRPN-AGIUHOORSA-N 1 2 313.829 1.173 20 30 DDEDLO C[C@@H]1CN(C2C[NH+](Cc3ccc(OCC#N)cc3)C2)C[C@H](C)O1 ZINC001137123979 749346201 /nfs/dbraw/zinc/34/62/01/749346201.db2.gz KBLMFPRCPJWYSX-GASCZTMLSA-N 1 2 315.417 1.882 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(Cc3ccc(OCC#N)cc3)C2)C[C@H](C)O1 ZINC001137123979 749346206 /nfs/dbraw/zinc/34/62/06/749346206.db2.gz KBLMFPRCPJWYSX-GASCZTMLSA-N 1 2 315.417 1.882 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(Cc3ccc(OCC#N)cc3)C2)C[C@H](C)O1 ZINC001137123979 749346213 /nfs/dbraw/zinc/34/62/13/749346213.db2.gz KBLMFPRCPJWYSX-GASCZTMLSA-N 1 2 315.417 1.882 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cnnn2-c2ccccc2)C[C@H](C)N1CC#N ZINC000878772941 749356543 /nfs/dbraw/zinc/35/65/43/749356543.db2.gz URSAKYCMMKWEAT-GASCZTMLSA-N 1 2 310.405 1.685 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cnnn2-c2ccccc2)C[C@H](C)N1CC#N ZINC000878772941 749356549 /nfs/dbraw/zinc/35/65/49/749356549.db2.gz URSAKYCMMKWEAT-GASCZTMLSA-N 1 2 310.405 1.685 20 30 DDEDLO C#CC[NH2+][C@@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)CC1(C)C ZINC000996124470 749382647 /nfs/dbraw/zinc/38/26/47/749382647.db2.gz GKUQIPDRUDCRFD-OAHLLOKOSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C(F)=C(C)C)nn2)C1 ZINC001107155387 749423043 /nfs/dbraw/zinc/42/30/43/749423043.db2.gz BYTLDPZIMPDWLG-UHFFFAOYSA-N 1 2 307.373 1.591 20 30 DDEDLO C=CCNC(=O)N1CCC2(CC1)CN(c1cccc[nH+]1)CCO2 ZINC001202565703 749431562 /nfs/dbraw/zinc/43/15/62/749431562.db2.gz UYGSXZVVIMHDTN-UHFFFAOYSA-N 1 2 316.405 1.648 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)/C=C(\C)CC)nn2)C1 ZINC001107168671 749475327 /nfs/dbraw/zinc/47/53/27/749475327.db2.gz ZCXXMKQWQDBIFX-MDWZMJQESA-N 1 2 301.394 1.131 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)n1cncn1 ZINC001039359532 761963160 /nfs/dbraw/zinc/96/31/60/761963160.db2.gz TYPGIHMMGYQLNQ-MJBXVCDLSA-N 1 2 323.828 1.657 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)n1cncn1 ZINC001039359532 761963166 /nfs/dbraw/zinc/96/31/66/761963166.db2.gz TYPGIHMMGYQLNQ-MJBXVCDLSA-N 1 2 323.828 1.657 20 30 DDEDLO CC1(C)CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C[C@H]1NCC#N ZINC000996479293 749615217 /nfs/dbraw/zinc/61/52/17/749615217.db2.gz IJGNBTBYMBLDLE-OAHLLOKOSA-N 1 2 324.388 1.231 20 30 DDEDLO CC1(C)CN(C(=O)c2ccc(-n3cc[nH+]c3)cn2)C[C@H]1NCC#N ZINC000996504345 749626465 /nfs/dbraw/zinc/62/64/65/749626465.db2.gz DBJSWRPEHKJFAV-OAHLLOKOSA-N 1 2 324.388 1.231 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)n2cccc2)C1 ZINC001108351686 761971072 /nfs/dbraw/zinc/97/10/72/761971072.db2.gz NOXUKNRORHGJEU-RDJZCZTQSA-N 1 2 305.422 1.832 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)n2cccc2)C1 ZINC001108351686 761971076 /nfs/dbraw/zinc/97/10/76/761971076.db2.gz NOXUKNRORHGJEU-RDJZCZTQSA-N 1 2 305.422 1.832 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CCF)CC1)C2 ZINC001110846807 749754336 /nfs/dbraw/zinc/75/43/36/749754336.db2.gz DIPCLVZVGRGPAF-MCIONIFRSA-N 1 2 323.412 1.150 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CCF)CC1)C2 ZINC001110846807 749754344 /nfs/dbraw/zinc/75/43/44/749754344.db2.gz DIPCLVZVGRGPAF-MCIONIFRSA-N 1 2 323.412 1.150 20 30 DDEDLO N#CCN1CC[C@@]2(CNC(=O)CCc3[nH]cc[nH+]3)CCC[C@@H]12 ZINC001107386509 749888913 /nfs/dbraw/zinc/88/89/13/749888913.db2.gz SYHFDNMXEMMMEK-CZUORRHYSA-N 1 2 301.394 1.227 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(F)cccc2F)C1 ZINC001108364098 761994404 /nfs/dbraw/zinc/99/44/04/761994404.db2.gz MTNROTYZFMNPRK-MRXNPFEDSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(F)cccc2F)C1 ZINC001108364098 761994408 /nfs/dbraw/zinc/99/44/08/761994408.db2.gz MTNROTYZFMNPRK-MRXNPFEDSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001033882283 750049360 /nfs/dbraw/zinc/04/93/60/750049360.db2.gz PYWQYNRABDBHSS-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001033882283 750049367 /nfs/dbraw/zinc/04/93/67/750049367.db2.gz PYWQYNRABDBHSS-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C[C@H](CC(=O)NC/C=C/CNc1ccc(C#N)nc1)n1cc[nH+]c1 ZINC001107545610 750110759 /nfs/dbraw/zinc/11/07/59/750110759.db2.gz JDNUPCBRFMPGSZ-BAABZTOOSA-N 1 2 324.388 1.885 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC/C=C\CNc1ccncc1C#N ZINC001107549954 750115282 /nfs/dbraw/zinc/11/52/82/750115282.db2.gz UAXGWVYWKWCLNG-ZRMMWKCHSA-N 1 2 324.388 1.061 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC/C=C\CNc1ccncc1C#N ZINC001107549954 750115286 /nfs/dbraw/zinc/11/52/86/750115286.db2.gz UAXGWVYWKWCLNG-ZRMMWKCHSA-N 1 2 324.388 1.061 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC/C=C/CNc1ccc(C#N)cn1 ZINC001107548954 750122164 /nfs/dbraw/zinc/12/21/64/750122164.db2.gz DBQZAKPYAMALNL-IBUXWKBASA-N 1 2 324.388 1.639 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC/C=C/CNc1ccc(C#N)cn1 ZINC001107548954 750122167 /nfs/dbraw/zinc/12/21/67/750122167.db2.gz DBQZAKPYAMALNL-IBUXWKBASA-N 1 2 324.388 1.639 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cnc(OC)nc2)C1 ZINC001034003719 750264592 /nfs/dbraw/zinc/26/45/92/750264592.db2.gz HCXXWVXSCIOVNE-ZDUSSCGKSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cnc(OC)nc2)C1 ZINC001034003719 750264596 /nfs/dbraw/zinc/26/45/96/750264596.db2.gz HCXXWVXSCIOVNE-ZDUSSCGKSA-N 1 2 324.812 1.774 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2sc(COC)nc2C)C1 ZINC001034027765 750273191 /nfs/dbraw/zinc/27/31/91/750273191.db2.gz CVMLRFJEUCYMSN-CYBMUJFWSA-N 1 2 321.446 1.768 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2sc(COC)nc2C)C1 ZINC001034027765 750273196 /nfs/dbraw/zinc/27/31/96/750273196.db2.gz CVMLRFJEUCYMSN-CYBMUJFWSA-N 1 2 321.446 1.768 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NC(C)C)C1 ZINC001111002156 750295148 /nfs/dbraw/zinc/29/51/48/750295148.db2.gz XOCVITMXTRATJM-KFWWJZLASA-N 1 2 319.449 1.589 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NC(C)C)C1 ZINC001111002156 750295152 /nfs/dbraw/zinc/29/51/52/750295152.db2.gz XOCVITMXTRATJM-KFWWJZLASA-N 1 2 319.449 1.589 20 30 DDEDLO CN(c1ccncc1C#N)[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001056906863 762031783 /nfs/dbraw/zinc/03/17/83/762031783.db2.gz RNLVLRSDYBUGDT-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001107697496 750398860 /nfs/dbraw/zinc/39/88/60/750398860.db2.gz QXPFYAGOKLMUKD-CYBMUJFWSA-N 1 2 315.421 1.185 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001107697496 750398866 /nfs/dbraw/zinc/39/88/66/750398866.db2.gz QXPFYAGOKLMUKD-CYBMUJFWSA-N 1 2 315.421 1.185 20 30 DDEDLO CC(C)(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001111168296 750401204 /nfs/dbraw/zinc/40/12/04/750401204.db2.gz LVDNOLPFDPXOKB-MCIONIFRSA-N 1 2 320.437 1.174 20 30 DDEDLO CC(C)(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001111168296 750401209 /nfs/dbraw/zinc/40/12/09/750401209.db2.gz LVDNOLPFDPXOKB-MCIONIFRSA-N 1 2 320.437 1.174 20 30 DDEDLO N#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(-n3cc[nH+]c3)cc1)C2 ZINC001095827788 750485911 /nfs/dbraw/zinc/48/59/11/750485911.db2.gz DTWRBZVNJGECEG-IKGGRYGDSA-N 1 2 321.384 1.731 20 30 DDEDLO C#CCCCCCC(=O)NCC[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001077747279 750494463 /nfs/dbraw/zinc/49/44/63/750494463.db2.gz WDUDQBQDHVRVGF-HNNXBMFYSA-N 1 2 318.421 1.478 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)/C=C/c2ccc[nH]2)C1 ZINC001107897678 750593562 /nfs/dbraw/zinc/59/35/62/750593562.db2.gz MOLQPQCJUPCPAX-IWAYRVGRSA-N 1 2 301.390 1.258 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)/C=C/c2ccc[nH]2)C1 ZINC001107897678 750593567 /nfs/dbraw/zinc/59/35/67/750593567.db2.gz MOLQPQCJUPCPAX-IWAYRVGRSA-N 1 2 301.390 1.258 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC2(OC)CCC2)C1 ZINC001107900870 750597878 /nfs/dbraw/zinc/59/78/78/750597878.db2.gz DODZQBIGEQIYJU-INIZCTEOSA-N 1 2 308.422 1.176 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC2(OC)CCC2)C1 ZINC001107900870 750597884 /nfs/dbraw/zinc/59/78/84/750597884.db2.gz DODZQBIGEQIYJU-INIZCTEOSA-N 1 2 308.422 1.176 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2sccc2F)[C@H](O)C1 ZINC001090227460 750650502 /nfs/dbraw/zinc/65/05/02/750650502.db2.gz LSDLXCWMEGGVQS-GHMZBOCLSA-N 1 2 318.801 1.805 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2sccc2F)[C@H](O)C1 ZINC001090227460 750650506 /nfs/dbraw/zinc/65/05/06/750650506.db2.gz LSDLXCWMEGGVQS-GHMZBOCLSA-N 1 2 318.801 1.805 20 30 DDEDLO C[C@H](CNc1nc(Cl)c(C#N)s1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001108136332 750659306 /nfs/dbraw/zinc/65/93/06/750659306.db2.gz RQSRMRRGVDBCTN-SSDOTTSWSA-N 1 2 324.797 1.551 20 30 DDEDLO C#CC[NH2+][C@H]1CC[C@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC001034703778 750740910 /nfs/dbraw/zinc/74/09/10/750740910.db2.gz FOENFKJORXHHNM-WKILWMFISA-N 1 2 323.400 1.736 20 30 DDEDLO C[C@@H](NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)C1CCN(CC#N)CC1 ZINC000997296472 750756468 /nfs/dbraw/zinc/75/64/68/750756468.db2.gz AJNSUAVGXMGOPN-TZMCWYRMSA-N 1 2 315.421 1.570 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001115716591 750786511 /nfs/dbraw/zinc/78/65/11/750786511.db2.gz UDXWXJVBVMLQRR-LBPRGKRZSA-N 1 2 314.393 1.282 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)[C@@H]2CCCCO2)C1 ZINC001107950125 750815207 /nfs/dbraw/zinc/81/52/07/750815207.db2.gz YMEWSBOBNCIKOB-YJBOKZPZSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)[C@@H]2CCCCO2)C1 ZINC001107950125 750815214 /nfs/dbraw/zinc/81/52/14/750815214.db2.gz YMEWSBOBNCIKOB-YJBOKZPZSA-N 1 2 324.465 1.975 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(NC(=O)CC)c1 ZINC001032431965 750819819 /nfs/dbraw/zinc/81/98/19/750819819.db2.gz JRJOMAIVDNOMMQ-HOTGVXAUSA-N 1 2 311.385 1.567 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(NC(=O)CC)c1 ZINC001032431965 750819825 /nfs/dbraw/zinc/81/98/25/750819825.db2.gz JRJOMAIVDNOMMQ-HOTGVXAUSA-N 1 2 311.385 1.567 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2Cc3ccc(F)cc32)C1 ZINC001108161159 750900265 /nfs/dbraw/zinc/90/02/65/750900265.db2.gz AERNVRSCEBUMPW-AEFFLSMTSA-N 1 2 318.392 1.859 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2Cc3ccc(F)cc32)C1 ZINC001108161159 750900270 /nfs/dbraw/zinc/90/02/70/750900270.db2.gz AERNVRSCEBUMPW-AEFFLSMTSA-N 1 2 318.392 1.859 20 30 DDEDLO C#CC[NH+]1CCC([C@@H](C)NC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000997420852 750922525 /nfs/dbraw/zinc/92/25/25/750922525.db2.gz NUJYICZVLJZOQF-GFCCVEGCSA-N 1 2 311.389 1.421 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H]2CCCOC2)C1 ZINC001108402638 762083843 /nfs/dbraw/zinc/08/38/43/762083843.db2.gz JAKMOHLSOODCQR-NVXWUHKLSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H]2CCCOC2)C1 ZINC001108402638 762083853 /nfs/dbraw/zinc/08/38/53/762083853.db2.gz JAKMOHLSOODCQR-NVXWUHKLSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2nccs2)C1 ZINC001108406238 762083422 /nfs/dbraw/zinc/08/34/22/762083422.db2.gz PYLCFVBRNWMWIZ-INIZCTEOSA-N 1 2 321.446 1.306 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2nccs2)C1 ZINC001108406238 762083428 /nfs/dbraw/zinc/08/34/28/762083428.db2.gz PYLCFVBRNWMWIZ-INIZCTEOSA-N 1 2 321.446 1.306 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC001032474968 750962587 /nfs/dbraw/zinc/96/25/87/750962587.db2.gz CSOCQUYUQGZUPX-KKUMJFAQSA-N 1 2 312.417 1.387 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC001032474968 750962589 /nfs/dbraw/zinc/96/25/89/750962589.db2.gz CSOCQUYUQGZUPX-KKUMJFAQSA-N 1 2 312.417 1.387 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1C[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001114775232 751072794 /nfs/dbraw/zinc/07/27/94/751072794.db2.gz HPDTWICRPUEJPR-UBHSHLNASA-N 1 2 304.394 1.683 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1c[nH+]cn1C ZINC001039531427 762102881 /nfs/dbraw/zinc/10/28/81/762102881.db2.gz OSAJTRSYACWLDN-MELADBBJSA-N 1 2 301.394 1.145 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)[C@@](C)(F)CCCC)[C@@H](n2ccnn2)C1 ZINC001129080108 751246030 /nfs/dbraw/zinc/24/60/30/751246030.db2.gz OHZMSEYKCMWWJE-YCPHGPKFSA-N 1 2 323.416 1.724 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)[C@@](C)(F)CCCC)[C@@H](n2ccnn2)C1 ZINC001129080108 751246035 /nfs/dbraw/zinc/24/60/35/751246035.db2.gz OHZMSEYKCMWWJE-YCPHGPKFSA-N 1 2 323.416 1.724 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C)c1-n1cccc1 ZINC001032553166 751284383 /nfs/dbraw/zinc/28/43/83/751284383.db2.gz QANSNCFVFIIKPF-GJZGRUSLSA-N 1 2 323.400 1.133 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C)c1-n1cccc1 ZINC001032553166 751284384 /nfs/dbraw/zinc/28/43/84/751284384.db2.gz QANSNCFVFIIKPF-GJZGRUSLSA-N 1 2 323.400 1.133 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc([C@H](C)OC)no2)CCC1 ZINC001129120870 751295457 /nfs/dbraw/zinc/29/54/57/751295457.db2.gz IAEPNXSDPCBBHJ-LBPRGKRZSA-N 1 2 320.393 1.176 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnnn3C)C2)CC1 ZINC001008402528 752709691 /nfs/dbraw/zinc/70/96/91/752709691.db2.gz BMLJVGBWPURJNK-OAHLLOKOSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CCC[N@H+](Cc3cnnn3C)C2)CC1 ZINC001008402528 752709696 /nfs/dbraw/zinc/70/96/96/752709696.db2.gz BMLJVGBWPURJNK-OAHLLOKOSA-N 1 2 317.437 1.642 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1cccnc1 ZINC001032686477 752725144 /nfs/dbraw/zinc/72/51/44/752725144.db2.gz UYMPMFHUUDNRPG-GJZGRUSLSA-N 1 2 321.384 1.394 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1cccnc1 ZINC001032686477 752725147 /nfs/dbraw/zinc/72/51/47/752725147.db2.gz UYMPMFHUUDNRPG-GJZGRUSLSA-N 1 2 321.384 1.394 20 30 DDEDLO CN(C[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1)c1ccncc1C#N ZINC001062138950 752785161 /nfs/dbraw/zinc/78/51/61/752785161.db2.gz SCYPISRWEKZYFY-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO C=CC[N@H+]1CCC[C@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001009045667 753048088 /nfs/dbraw/zinc/04/80/88/753048088.db2.gz NWEPNOYPNOMSDP-STQMWFEESA-N 1 2 302.422 1.915 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001009045667 753048091 /nfs/dbraw/zinc/04/80/91/753048091.db2.gz NWEPNOYPNOMSDP-STQMWFEESA-N 1 2 302.422 1.915 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2COc3ccccc32)C1 ZINC001108441344 762194632 /nfs/dbraw/zinc/19/46/32/762194632.db2.gz MRCSEHCLFCKSDI-MAUKXSAKSA-N 1 2 316.401 1.556 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2COc3ccccc32)C1 ZINC001108441344 762194633 /nfs/dbraw/zinc/19/46/33/762194633.db2.gz MRCSEHCLFCKSDI-MAUKXSAKSA-N 1 2 316.401 1.556 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001128084723 753248618 /nfs/dbraw/zinc/24/86/18/753248618.db2.gz AKOLDGIBFGXQLR-UHFFFAOYSA-N 1 2 318.421 1.996 20 30 DDEDLO N#CCN1CCC[C@@H](NC(=O)C[N@@H+]2CCc3sccc3C2)C1 ZINC001009603543 753272834 /nfs/dbraw/zinc/27/28/34/753272834.db2.gz PTSZBGRKBJXAIL-CQSZACIVSA-N 1 2 318.446 1.210 20 30 DDEDLO N#CCN1CCC[C@@H](NC(=O)C[N@H+]2CCc3sccc3C2)C1 ZINC001009603543 753272836 /nfs/dbraw/zinc/27/28/36/753272836.db2.gz PTSZBGRKBJXAIL-CQSZACIVSA-N 1 2 318.446 1.210 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001009651707 753298441 /nfs/dbraw/zinc/29/84/41/753298441.db2.gz SZYJGTXJDUSWCJ-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001009651707 753298445 /nfs/dbraw/zinc/29/84/45/753298445.db2.gz SZYJGTXJDUSWCJ-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO CC#CCCCC(=O)NCC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001077899152 753369152 /nfs/dbraw/zinc/36/91/52/753369152.db2.gz RVFWYBMXDFQMOP-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001108011687 753510564 /nfs/dbraw/zinc/51/05/64/753510564.db2.gz HUSDYPNBFSQMEX-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001108011687 753510569 /nfs/dbraw/zinc/51/05/69/753510569.db2.gz HUSDYPNBFSQMEX-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO CC1(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C[C@@H]2O)CC1 ZINC001090286611 753632918 /nfs/dbraw/zinc/63/29/18/753632918.db2.gz PGUAVUAXOQOPRI-CVEARBPZSA-N 1 2 313.401 1.410 20 30 DDEDLO CC1(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C[C@@H]2O)CC1 ZINC001090286611 753632922 /nfs/dbraw/zinc/63/29/22/753632922.db2.gz PGUAVUAXOQOPRI-CVEARBPZSA-N 1 2 313.401 1.410 20 30 DDEDLO C[C@H](CNc1ncc(C#N)cc1F)NC(=O)CCc1c[nH]c[nH+]1 ZINC001108186251 753646932 /nfs/dbraw/zinc/64/69/32/753646932.db2.gz NFYANIRCOAJCIK-SNVBAGLBSA-N 1 2 316.340 1.365 20 30 DDEDLO C[C@H](CNc1ncc(C#N)cc1F)NC(=O)CCc1c[nH+]c[nH]1 ZINC001108186251 753646934 /nfs/dbraw/zinc/64/69/34/753646934.db2.gz NFYANIRCOAJCIK-SNVBAGLBSA-N 1 2 316.340 1.365 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)n(C)n1 ZINC001010337952 753778488 /nfs/dbraw/zinc/77/84/88/753778488.db2.gz IVSKLMMMQFKMLW-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n(C)n1 ZINC001010337952 753778495 /nfs/dbraw/zinc/77/84/95/753778495.db2.gz IVSKLMMMQFKMLW-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)CCCn2cc[nH+]c2)CCC1 ZINC001063509284 754199701 /nfs/dbraw/zinc/19/97/01/754199701.db2.gz JNEQAFSHNXUGHR-UHFFFAOYSA-N 1 2 318.421 1.785 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@H]1Nc1ccc(C#N)cn1 ZINC001063801916 754353525 /nfs/dbraw/zinc/35/35/25/754353525.db2.gz DQWBUEVYHBKMQL-SWLSCSKDSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(F)c(F)c1 ZINC001032816781 754432649 /nfs/dbraw/zinc/43/26/49/754432649.db2.gz CXGWFUXYQNYCHC-RYUDHWBXSA-N 1 2 306.312 1.262 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(F)c(F)c1 ZINC001032816781 754432654 /nfs/dbraw/zinc/43/26/54/754432654.db2.gz CXGWFUXYQNYCHC-RYUDHWBXSA-N 1 2 306.312 1.262 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CCC(CNC(=O)c2ncn[nH]2)CC1 ZINC001001953075 754592634 /nfs/dbraw/zinc/59/26/34/754592634.db2.gz RQGXMXUKALGYQJ-UHFFFAOYSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CCC(CNC(=O)c2nc[nH]n2)CC1 ZINC001001953075 754592639 /nfs/dbraw/zinc/59/26/39/754592639.db2.gz RQGXMXUKALGYQJ-UHFFFAOYSA-N 1 2 324.388 1.318 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064704716 754783333 /nfs/dbraw/zinc/78/33/33/754783333.db2.gz MNTBPPCWMBFUGZ-STQMWFEESA-N 1 2 318.421 1.566 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2CCC(F)CC2)C1 ZINC001108189711 754841260 /nfs/dbraw/zinc/84/12/60/754841260.db2.gz ISQJISYVSBPEQS-VMBOVVBDSA-N 1 2 310.413 1.745 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2CCC(F)CC2)C1 ZINC001108189711 754841264 /nfs/dbraw/zinc/84/12/64/754841264.db2.gz ISQJISYVSBPEQS-VMBOVVBDSA-N 1 2 310.413 1.745 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@H](NC(=O)c3ncc[nH]3)C2)c1 ZINC001014382639 755709725 /nfs/dbraw/zinc/70/97/25/755709725.db2.gz VRKZVRMMXHQSGC-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@H](NC(=O)c3ncc[nH]3)C2)c1 ZINC001014382639 755709727 /nfs/dbraw/zinc/70/97/27/755709727.db2.gz VRKZVRMMXHQSGC-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080289479 755828728 /nfs/dbraw/zinc/82/87/28/755828728.db2.gz NZXBGZCUDCENAX-QWHCGFSZSA-N 1 2 318.421 1.470 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080631639 756013951 /nfs/dbraw/zinc/01/39/51/756013951.db2.gz ABHGHVWFDOBSSI-CHWSQXEVSA-N 1 2 318.421 1.470 20 30 DDEDLO N#CCN[C@H]1CCCN(C(=O)C[N@@H+]2CCc3sccc3C2)C1 ZINC001001001101 762456393 /nfs/dbraw/zinc/45/63/93/762456393.db2.gz IQHMZSOBHIJMBX-AWEZNQCLSA-N 1 2 318.446 1.210 20 30 DDEDLO N#CCN[C@H]1CCCN(C(=O)C[N@H+]2CCc3sccc3C2)C1 ZINC001001001101 762456399 /nfs/dbraw/zinc/45/63/99/762456399.db2.gz IQHMZSOBHIJMBX-AWEZNQCLSA-N 1 2 318.446 1.210 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001015444827 756306026 /nfs/dbraw/zinc/30/60/26/756306026.db2.gz CTDIKSKUSQOANW-GFCCVEGCSA-N 1 2 309.797 1.961 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001015444827 756306032 /nfs/dbraw/zinc/30/60/32/756306032.db2.gz CTDIKSKUSQOANW-GFCCVEGCSA-N 1 2 309.797 1.961 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067114530 756345626 /nfs/dbraw/zinc/34/56/26/756345626.db2.gz RPJLDMIJNZCQQA-OCCSQVGLSA-N 1 2 310.361 1.109 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)Cc2cnoc2)C1 ZINC001015598228 756398890 /nfs/dbraw/zinc/39/88/90/756398890.db2.gz JERZLADWSZDRRT-INIZCTEOSA-N 1 2 310.357 1.479 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)Cc2cnoc2)C1 ZINC001015598228 756398895 /nfs/dbraw/zinc/39/88/95/756398895.db2.gz JERZLADWSZDRRT-INIZCTEOSA-N 1 2 310.357 1.479 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)[C@@]1(F)CCOC1 ZINC001015607810 756408013 /nfs/dbraw/zinc/40/80/13/756408013.db2.gz MVMNDSQRJUMUFG-SJLPKXTDSA-N 1 2 316.376 1.357 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)[C@@]1(F)CCOC1 ZINC001015607810 756408015 /nfs/dbraw/zinc/40/80/15/756408015.db2.gz MVMNDSQRJUMUFG-SJLPKXTDSA-N 1 2 316.376 1.357 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H](Nc3cc[nH+]c(C)n3)[C@@H](C)C2)nc1 ZINC001067123124 756590706 /nfs/dbraw/zinc/59/07/06/756590706.db2.gz QFEHQRZXLDAOEZ-LRDDRELGSA-N 1 2 321.384 1.734 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1cccnn1 ZINC001015889221 756623481 /nfs/dbraw/zinc/62/34/81/756623481.db2.gz QHQIXJYSLQRVNV-MRXNPFEDSA-N 1 2 324.359 1.472 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1cccnn1 ZINC001015889221 756623482 /nfs/dbraw/zinc/62/34/82/756623482.db2.gz QHQIXJYSLQRVNV-MRXNPFEDSA-N 1 2 324.359 1.472 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2scnc2C2CC2)[C@H](OC)C1 ZINC001082213779 756687344 /nfs/dbraw/zinc/68/73/44/756687344.db2.gz DJKMAECWZFXBLX-VXGBXAGGSA-N 1 2 307.419 1.636 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2scnc2C2CC2)[C@H](OC)C1 ZINC001082213779 756687347 /nfs/dbraw/zinc/68/73/47/756687347.db2.gz DJKMAECWZFXBLX-VXGBXAGGSA-N 1 2 307.419 1.636 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2csc(C(C)C)n2)[C@H](OC)C1 ZINC001082243829 756699044 /nfs/dbraw/zinc/69/90/44/756699044.db2.gz SCGWXSQNEQHKSK-DGCLKSJQSA-N 1 2 307.419 1.329 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2csc(C(C)C)n2)[C@H](OC)C1 ZINC001082243829 756699048 /nfs/dbraw/zinc/69/90/48/756699048.db2.gz SCGWXSQNEQHKSK-DGCLKSJQSA-N 1 2 307.419 1.329 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)CN2CCC(C)CC2)[C@H](OC)C1 ZINC001082271462 756708201 /nfs/dbraw/zinc/70/82/01/756708201.db2.gz BHBCOVISXFLNQP-HZPDHXFCSA-N 1 2 309.454 1.110 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)CN2CCC(C)CC2)[C@H](OC)C1 ZINC001082271462 756708197 /nfs/dbraw/zinc/70/81/97/756708197.db2.gz BHBCOVISXFLNQP-HZPDHXFCSA-N 1 2 309.454 1.110 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(F)c2OCC)[C@H](OC)C1 ZINC001082277786 756720836 /nfs/dbraw/zinc/72/08/36/756720836.db2.gz HIKYSVFCBZFCPD-HUUCEWRRSA-N 1 2 320.364 1.287 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(F)c2OCC)[C@H](OC)C1 ZINC001082277786 756720840 /nfs/dbraw/zinc/72/08/40/756720840.db2.gz HIKYSVFCBZFCPD-HUUCEWRRSA-N 1 2 320.364 1.287 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001016105412 756763933 /nfs/dbraw/zinc/76/39/33/756763933.db2.gz VBZXJMLWWBVFNI-KGLIPLIRSA-N 1 2 305.809 1.739 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001016105412 756763938 /nfs/dbraw/zinc/76/39/38/756763938.db2.gz VBZXJMLWWBVFNI-KGLIPLIRSA-N 1 2 305.809 1.739 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)cc(C)n2)[C@H](O)C1 ZINC001090380922 756923784 /nfs/dbraw/zinc/92/37/84/756923784.db2.gz HNDOQUMFBOWBCS-DZGCQCFKSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)cc(C)n2)[C@H](O)C1 ZINC001090380922 756923787 /nfs/dbraw/zinc/92/37/87/756923787.db2.gz HNDOQUMFBOWBCS-DZGCQCFKSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn[nH]c(=O)c1)C2 ZINC001097279951 757049307 /nfs/dbraw/zinc/04/93/07/757049307.db2.gz KFOIKPNDHFBKSD-UTUOFQBUSA-N 1 2 308.769 1.270 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn[nH]c(=O)c1)C2 ZINC001097279951 757049319 /nfs/dbraw/zinc/04/93/19/757049319.db2.gz KFOIKPNDHFBKSD-UTUOFQBUSA-N 1 2 308.769 1.270 20 30 DDEDLO O=C(C#CC1CC1)N1CCO[C@@H]2C[N@@H+](CCC(F)(F)F)C[C@@H]21 ZINC001083076878 757089699 /nfs/dbraw/zinc/08/96/99/757089699.db2.gz INWVTNIXNFSQFG-QWHCGFSZSA-N 1 2 316.323 1.264 20 30 DDEDLO O=C(C#CC1CC1)N1CCO[C@@H]2C[N@H+](CCC(F)(F)F)C[C@@H]21 ZINC001083076878 757089708 /nfs/dbraw/zinc/08/97/08/757089708.db2.gz INWVTNIXNFSQFG-QWHCGFSZSA-N 1 2 316.323 1.264 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3c[nH]c4ccccc34)[C@H]2C1 ZINC001083133542 757142598 /nfs/dbraw/zinc/14/25/98/757142598.db2.gz BNVSJEIFQXTCGJ-DLBZAZTESA-N 1 2 309.369 1.326 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3c[nH]c4ccccc34)[C@H]2C1 ZINC001083133542 757142601 /nfs/dbraw/zinc/14/26/01/757142601.db2.gz BNVSJEIFQXTCGJ-DLBZAZTESA-N 1 2 309.369 1.326 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3ccc(F)c(F)c3)[C@H]2C1 ZINC001083178110 757182290 /nfs/dbraw/zinc/18/22/90/757182290.db2.gz BTURVMCMBFNEPV-JKSUJKDBSA-N 1 2 320.339 1.052 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3ccc(F)c(F)c3)[C@H]2C1 ZINC001083178110 757182292 /nfs/dbraw/zinc/18/22/92/757182292.db2.gz BTURVMCMBFNEPV-JKSUJKDBSA-N 1 2 320.339 1.052 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)c(F)c3F)[C@H]2C1 ZINC001083179229 757183210 /nfs/dbraw/zinc/18/32/10/757183210.db2.gz LZMOJNRSJJVILN-QWHCGFSZSA-N 1 2 324.302 1.262 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)c(F)c3F)[C@H]2C1 ZINC001083179229 757183216 /nfs/dbraw/zinc/18/32/16/757183216.db2.gz LZMOJNRSJJVILN-QWHCGFSZSA-N 1 2 324.302 1.262 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@H]2CNC(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001097437071 757183608 /nfs/dbraw/zinc/18/36/08/757183608.db2.gz MZUGXCQLJQQODL-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2coc3ccc(F)cc23)[C@@H](O)C1 ZINC001084003400 757243028 /nfs/dbraw/zinc/24/30/28/757243028.db2.gz AMVLNFQLYSWIJU-CABCVRRESA-N 1 2 316.332 1.370 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2coc3ccc(F)cc23)[C@@H](O)C1 ZINC001084003400 757243030 /nfs/dbraw/zinc/24/30/30/757243030.db2.gz AMVLNFQLYSWIJU-CABCVRRESA-N 1 2 316.332 1.370 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3ccc(C#N)s3)C[C@H]21 ZINC001084236807 757439847 /nfs/dbraw/zinc/43/98/47/757439847.db2.gz RDEMPVJWCPGGOK-LALPHHSUSA-N 1 2 314.414 1.812 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccc(C#N)s3)C[C@H]21 ZINC001084236807 757439850 /nfs/dbraw/zinc/43/98/50/757439850.db2.gz RDEMPVJWCPGGOK-LALPHHSUSA-N 1 2 314.414 1.812 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CC[C@@H]2CN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001084333961 757496086 /nfs/dbraw/zinc/49/60/86/757496086.db2.gz IULYJHDKJWRVBC-YSVLISHTSA-N 1 2 310.401 1.484 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CC[C@@H]2CN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001084333961 757496095 /nfs/dbraw/zinc/49/60/95/757496095.db2.gz IULYJHDKJWRVBC-YSVLISHTSA-N 1 2 310.401 1.484 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C2=NC(=O)N(C)C2)CC1 ZINC001052680319 757640250 /nfs/dbraw/zinc/64/02/50/757640250.db2.gz IYFLSDZBENLCIU-LLVKDONJSA-N 1 2 312.801 1.463 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C2=NC(=O)N(C)C2)CC1 ZINC001052680319 757640256 /nfs/dbraw/zinc/64/02/56/757640256.db2.gz IYFLSDZBENLCIU-LLVKDONJSA-N 1 2 312.801 1.463 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099826164 757895796 /nfs/dbraw/zinc/89/57/96/757895796.db2.gz HBWJOVORCUZICR-NEPJUHHUSA-N 1 2 317.216 1.270 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1CC[N@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099826164 757895804 /nfs/dbraw/zinc/89/58/04/757895804.db2.gz HBWJOVORCUZICR-NEPJUHHUSA-N 1 2 317.216 1.270 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H](C)CCC)CC2=O)C1 ZINC001108522513 762584222 /nfs/dbraw/zinc/58/42/22/762584222.db2.gz UCZJFZAQQDJASR-GJZGRUSLSA-N 1 2 321.465 1.790 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2cn[nH]c21 ZINC001017555451 758024483 /nfs/dbraw/zinc/02/44/83/758024483.db2.gz NMPXIXKXFZMQAZ-OWCLPIDISA-N 1 2 312.417 1.528 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2cn[nH]c21 ZINC001017555451 758024493 /nfs/dbraw/zinc/02/44/93/758024493.db2.gz NMPXIXKXFZMQAZ-OWCLPIDISA-N 1 2 312.417 1.528 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(F)cn1 ZINC001017671724 758140380 /nfs/dbraw/zinc/14/03/80/758140380.db2.gz AYNWQEKNYMXLQE-IYBDPMFKSA-N 1 2 301.365 1.462 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(F)cn1 ZINC001017671724 758140388 /nfs/dbraw/zinc/14/03/88/758140388.db2.gz AYNWQEKNYMXLQE-IYBDPMFKSA-N 1 2 301.365 1.462 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncn2ccc(C)cc12 ZINC001017690004 758155748 /nfs/dbraw/zinc/15/57/48/758155748.db2.gz XKGISMIIXKGOMS-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncn2ccc(C)cc12 ZINC001017690004 758155750 /nfs/dbraw/zinc/15/57/50/758155750.db2.gz XKGISMIIXKGOMS-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001053136885 758195074 /nfs/dbraw/zinc/19/50/74/758195074.db2.gz GKSQPCSNQFMFPX-UONOGXRCSA-N 1 2 318.421 1.306 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccoc1CC)CCO2 ZINC001053238940 758295732 /nfs/dbraw/zinc/29/57/32/758295732.db2.gz APRLFLVQIPYLEK-UHFFFAOYSA-N 1 2 304.390 1.945 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1csnn1)CCO2 ZINC001053360417 758401754 /nfs/dbraw/zinc/40/17/54/758401754.db2.gz QTZCSCFLFKHKGF-UHFFFAOYSA-N 1 2 308.407 1.031 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001053503551 758509592 /nfs/dbraw/zinc/50/95/92/758509592.db2.gz MRYSUIJCBJYYOY-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnc(C)s1)O2 ZINC001053581833 758594089 /nfs/dbraw/zinc/59/40/89/758594089.db2.gz UYORAISAZZOSSZ-ZDUSSCGKSA-N 1 2 321.446 1.991 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-c2ncon2)cc1 ZINC001018188428 758614465 /nfs/dbraw/zinc/61/44/65/758614465.db2.gz YBVXZOKKHVNUQH-IYBDPMFKSA-N 1 2 322.368 1.659 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-c2ncon2)cc1 ZINC001018188428 758614469 /nfs/dbraw/zinc/61/44/69/758614469.db2.gz YBVXZOKKHVNUQH-IYBDPMFKSA-N 1 2 322.368 1.659 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(CC)cc1 ZINC001018232460 758654481 /nfs/dbraw/zinc/65/44/81/758654481.db2.gz ZFVINCFMHSRZEM-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(CC)cc1 ZINC001018232460 758654485 /nfs/dbraw/zinc/65/44/85/758654485.db2.gz ZFVINCFMHSRZEM-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccn(CC)c1C)O2 ZINC001053649296 758661829 /nfs/dbraw/zinc/66/18/29/758661829.db2.gz IQQUTQBHHIXOIW-HNNXBMFYSA-N 1 2 317.433 1.966 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CCC)C2)CC1 ZINC001065680054 758698713 /nfs/dbraw/zinc/69/87/13/758698713.db2.gz FNNQXDWNTNJWAQ-MRXNPFEDSA-N 1 2 321.465 1.888 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1csc(CC)n1 ZINC001018302806 758713265 /nfs/dbraw/zinc/71/32/65/758713265.db2.gz RKHDXMXEUZAZBO-GASCZTMLSA-N 1 2 317.458 1.947 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1csc(CC)n1 ZINC001018302806 758713271 /nfs/dbraw/zinc/71/32/71/758713271.db2.gz RKHDXMXEUZAZBO-GASCZTMLSA-N 1 2 317.458 1.947 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C(C)C)CC2)C1 ZINC001065711996 758730244 /nfs/dbraw/zinc/73/02/44/758730244.db2.gz SOGSJKFCFQOCRB-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C[C@@H]1CCCO1 ZINC001018325967 758731929 /nfs/dbraw/zinc/73/19/29/758731929.db2.gz YXFGEUBLFSVIFU-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C[C@@H]1CCCO1 ZINC001018325967 758731937 /nfs/dbraw/zinc/73/19/37/758731937.db2.gz YXFGEUBLFSVIFU-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1Cc3ccccc31)CO2 ZINC001053911868 758946546 /nfs/dbraw/zinc/94/65/46/758946546.db2.gz OOAIKUMCHWQWFR-RDJZCZTQSA-N 1 2 310.397 1.309 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccsc1Cl)CO2 ZINC001053945952 758983199 /nfs/dbraw/zinc/98/31/99/758983199.db2.gz KCXQNFYAFMCZOK-LLVKDONJSA-N 1 2 324.833 1.998 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)nc(C)n1)CO2 ZINC001053955773 758992029 /nfs/dbraw/zinc/99/20/29/758992029.db2.gz ROGLFKFYNZMEAX-CQSZACIVSA-N 1 2 316.405 1.243 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)c(CC)o1)CO2 ZINC001053981155 759020679 /nfs/dbraw/zinc/02/06/79/759020679.db2.gz ZOZPGBMNLANKFF-CQSZACIVSA-N 1 2 316.401 1.747 20 30 DDEDLO CC(C)(C(=O)N1CCC[C@@]2(CCN(CC#N)C2)C1)c1c[nH+]c[nH]1 ZINC001054228694 759318596 /nfs/dbraw/zinc/31/85/96/759318596.db2.gz XOCPFKRESSVQGO-KRWDZBQOSA-N 1 2 315.421 1.525 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@]2(CCN(CC#N)C2)C1 ZINC001054233722 759324968 /nfs/dbraw/zinc/32/49/68/759324968.db2.gz QUCAKDVAOWKORT-MRXNPFEDSA-N 1 2 301.394 1.099 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C2=CCCC2)C1=O ZINC001085547896 759674961 /nfs/dbraw/zinc/67/49/61/759674961.db2.gz HJMUMSNDTCPHSF-HZPDHXFCSA-N 1 2 317.433 1.416 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C2=CCCC2)C1=O ZINC001085547896 759674962 /nfs/dbraw/zinc/67/49/62/759674962.db2.gz HJMUMSNDTCPHSF-HZPDHXFCSA-N 1 2 317.433 1.416 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553500 759683028 /nfs/dbraw/zinc/68/30/28/759683028.db2.gz MRDDGQVGKJOKFT-OCCSQVGLSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553500 759683034 /nfs/dbraw/zinc/68/30/34/759683034.db2.gz MRDDGQVGKJOKFT-OCCSQVGLSA-N 1 2 324.388 1.584 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(C2CCC2)nn1 ZINC001085600336 759815163 /nfs/dbraw/zinc/81/51/63/759815163.db2.gz QLIZBZPSSXVTMX-AWEZNQCLSA-N 1 2 301.394 1.173 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(C2CCC2)nn1 ZINC001085600336 759815172 /nfs/dbraw/zinc/81/51/72/759815172.db2.gz QLIZBZPSSXVTMX-AWEZNQCLSA-N 1 2 301.394 1.173 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(C)nc1C1CCCC1 ZINC001085810400 760279127 /nfs/dbraw/zinc/27/91/27/760279127.db2.gz DEVLQNABRYXFTG-HNNXBMFYSA-N 1 2 314.433 1.857 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(C)nc1C1CCCC1 ZINC001085810400 760279129 /nfs/dbraw/zinc/27/91/29/760279129.db2.gz DEVLQNABRYXFTG-HNNXBMFYSA-N 1 2 314.433 1.857 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#CC)nn1 ZINC001085863748 760406326 /nfs/dbraw/zinc/40/63/26/760406326.db2.gz IUSLLUCWRCIVBP-AWEZNQCLSA-N 1 2 301.394 1.024 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#CC)nn1 ZINC001085863748 760406331 /nfs/dbraw/zinc/40/63/31/760406331.db2.gz IUSLLUCWRCIVBP-AWEZNQCLSA-N 1 2 301.394 1.024 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(CCC)CC2)[C@@H](O)C1 ZINC001100004563 760454775 /nfs/dbraw/zinc/45/47/75/760454775.db2.gz YZHAZCLQOZACMT-STQMWFEESA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(CCC)CC2)[C@@H](O)C1 ZINC001100004563 760454782 /nfs/dbraw/zinc/45/47/82/760454782.db2.gz YZHAZCLQOZACMT-STQMWFEESA-N 1 2 300.830 1.871 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc2c(s1)CCOC2 ZINC001085932354 760561979 /nfs/dbraw/zinc/56/19/79/760561979.db2.gz WMALDNNHPGWRCF-CYBMUJFWSA-N 1 2 304.415 1.600 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc2c(s1)CCOC2 ZINC001085932354 760561985 /nfs/dbraw/zinc/56/19/85/760561985.db2.gz WMALDNNHPGWRCF-CYBMUJFWSA-N 1 2 304.415 1.600 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]nc1-c1ccccc1 ZINC001085989311 760668041 /nfs/dbraw/zinc/66/80/41/760668041.db2.gz ODXGZUKUQWPZDK-AWEZNQCLSA-N 1 2 309.373 1.251 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]nc1-c1ccccc1 ZINC001085989311 760668042 /nfs/dbraw/zinc/66/80/42/760668042.db2.gz ODXGZUKUQWPZDK-AWEZNQCLSA-N 1 2 309.373 1.251 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(N=NC(N)=O)cc1 ZINC001085996552 760674278 /nfs/dbraw/zinc/67/42/78/760674278.db2.gz QOVYHNDYXMELHP-AWEZNQCLSA-N 1 2 313.361 1.629 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(N=NC(N)=O)cc1 ZINC001085996552 760674287 /nfs/dbraw/zinc/67/42/87/760674287.db2.gz QOVYHNDYXMELHP-AWEZNQCLSA-N 1 2 313.361 1.629 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)s1 ZINC001038169822 760883946 /nfs/dbraw/zinc/88/39/46/760883946.db2.gz PMULCWBHJISKMZ-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)s1 ZINC001038169822 760883959 /nfs/dbraw/zinc/88/39/59/760883959.db2.gz PMULCWBHJISKMZ-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2CCO[C@H]2CC2(F)F)[nH]1 ZINC001038172699 760886163 /nfs/dbraw/zinc/88/61/63/760886163.db2.gz JTEXRRACIBCMFJ-AAEUAGOBSA-N 1 2 324.331 1.115 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2CCO[C@H]2CC2(F)F)[nH]1 ZINC001038172699 760886179 /nfs/dbraw/zinc/88/61/79/760886179.db2.gz JTEXRRACIBCMFJ-AAEUAGOBSA-N 1 2 324.331 1.115 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C1=CCOCC1 ZINC001038185643 760902496 /nfs/dbraw/zinc/90/24/96/760902496.db2.gz XSUZDMWNLVRNEI-GOSISDBHSA-N 1 2 310.397 1.575 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)C1=CCOCC1 ZINC001038185643 760902502 /nfs/dbraw/zinc/90/25/02/760902502.db2.gz XSUZDMWNLVRNEI-GOSISDBHSA-N 1 2 310.397 1.575 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001046870826 767999124 /nfs/dbraw/zinc/99/91/24/767999124.db2.gz SFJXGZHJDFSOLA-HNNXBMFYSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001046870826 767999128 /nfs/dbraw/zinc/99/91/28/767999128.db2.gz SFJXGZHJDFSOLA-HNNXBMFYSA-N 1 2 322.796 1.127 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001038593102 761222408 /nfs/dbraw/zinc/22/24/08/761222408.db2.gz PLCAUDNOWHFYPA-HNNXBMFYSA-N 1 2 311.389 1.852 20 30 DDEDLO C=CCC[N@H+]1CC[C@H]1CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001038593102 761222411 /nfs/dbraw/zinc/22/24/11/761222411.db2.gz PLCAUDNOWHFYPA-HNNXBMFYSA-N 1 2 311.389 1.852 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2[C@@H]2CCN(CC)C2=O)CC1 ZINC001038665007 761264951 /nfs/dbraw/zinc/26/49/51/761264951.db2.gz CPBOJCZGQCOSLW-HZPDHXFCSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2[C@@H]2CCN(CC)C2=O)CC1 ZINC001038665007 761264956 /nfs/dbraw/zinc/26/49/56/761264956.db2.gz CPBOJCZGQCOSLW-HZPDHXFCSA-N 1 2 319.449 1.544 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@H+]2Cc2oc(C)nc2C)c1 ZINC001038684270 761285346 /nfs/dbraw/zinc/28/53/46/761285346.db2.gz IJWPHJSMPAXVGB-MRXNPFEDSA-N 1 2 324.384 1.672 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@@H+]2Cc2oc(C)nc2C)c1 ZINC001038684270 761285349 /nfs/dbraw/zinc/28/53/49/761285349.db2.gz IJWPHJSMPAXVGB-MRXNPFEDSA-N 1 2 324.384 1.672 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1nccnc1C ZINC001038714764 761318562 /nfs/dbraw/zinc/31/85/62/761318562.db2.gz UQBGVEZTFLPWHQ-FMKPAKJESA-N 1 2 316.405 1.067 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1nccnc1C ZINC001038714764 761318574 /nfs/dbraw/zinc/31/85/74/761318574.db2.gz UQBGVEZTFLPWHQ-FMKPAKJESA-N 1 2 316.405 1.067 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)c2ccnnc2)c1 ZINC001038740422 761346938 /nfs/dbraw/zinc/34/69/38/761346938.db2.gz QUWIJULGCBMKOT-MRXNPFEDSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2ccnnc2)c1 ZINC001038740422 761346945 /nfs/dbraw/zinc/34/69/45/761346945.db2.gz QUWIJULGCBMKOT-MRXNPFEDSA-N 1 2 307.357 1.353 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn(CC)nc1C(C)C ZINC001038751654 761363704 /nfs/dbraw/zinc/36/37/04/761363704.db2.gz BQYUOPWFJBRVHF-CQSZACIVSA-N 1 2 302.422 1.854 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn(CC)nc1C(C)C ZINC001038751654 761363712 /nfs/dbraw/zinc/36/37/12/761363712.db2.gz BQYUOPWFJBRVHF-CQSZACIVSA-N 1 2 302.422 1.854 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001038796401 761416796 /nfs/dbraw/zinc/41/67/96/761416796.db2.gz GJAUABQWPVQBMH-KBMXLJTQSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001038796401 761416803 /nfs/dbraw/zinc/41/68/03/761416803.db2.gz GJAUABQWPVQBMH-KBMXLJTQSA-N 1 2 319.405 1.655 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2cccnn2)cc1 ZINC001038850036 761474366 /nfs/dbraw/zinc/47/43/66/761474366.db2.gz XSJCDCFIICBLST-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cccnn2)cc1 ZINC001038850036 761474368 /nfs/dbraw/zinc/47/43/68/761474368.db2.gz XSJCDCFIICBLST-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ncccc1OC(C)C ZINC001038976307 761609751 /nfs/dbraw/zinc/60/97/51/761609751.db2.gz NEPPJIPITGIRGQ-CQSZACIVSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ncccc1OC(C)C ZINC001038976307 761609756 /nfs/dbraw/zinc/60/97/56/761609756.db2.gz NEPPJIPITGIRGQ-CQSZACIVSA-N 1 2 301.390 1.696 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3(CF)CCC3)CC2=O)C1 ZINC001108577692 762728641 /nfs/dbraw/zinc/72/86/41/762728641.db2.gz NRZHKCLNHPVTSL-CYBMUJFWSA-N 1 2 323.412 1.104 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@]2(CC[N@H+](Cc3cnns3)C2)C1 ZINC001041271918 762923733 /nfs/dbraw/zinc/92/37/33/762923733.db2.gz CSZVDHKCCBTKSC-INIZCTEOSA-N 1 2 316.430 1.376 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@]2(CC[N@@H+](Cc3cnns3)C2)C1 ZINC001041271918 762923738 /nfs/dbraw/zinc/92/37/38/762923738.db2.gz CSZVDHKCCBTKSC-INIZCTEOSA-N 1 2 316.430 1.376 20 30 DDEDLO CCc1nnc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)o1 ZINC001050000311 763003585 /nfs/dbraw/zinc/00/35/85/763003585.db2.gz UUSCPFUYDOAUAM-RWMBFGLXSA-N 1 2 317.393 1.214 20 30 DDEDLO CCc1nnc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)o1 ZINC001050000311 763003588 /nfs/dbraw/zinc/00/35/88/763003588.db2.gz UUSCPFUYDOAUAM-RWMBFGLXSA-N 1 2 317.393 1.214 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(F)F)C2 ZINC001108860006 763021550 /nfs/dbraw/zinc/02/15/50/763021550.db2.gz YAADNYXDWATFJL-USZNOCQGSA-N 1 2 315.364 1.054 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(F)F)C2 ZINC001108860006 763021557 /nfs/dbraw/zinc/02/15/57/763021557.db2.gz YAADNYXDWATFJL-USZNOCQGSA-N 1 2 315.364 1.054 20 30 DDEDLO Cc1cc(N(C)C[C@H](C)NC(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001108881045 763037220 /nfs/dbraw/zinc/03/72/20/763037220.db2.gz WCRJCMWXSFQHPD-JQWIXIFHSA-N 1 2 301.394 1.763 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@]2(CCN(CC#N)C2)C1 ZINC001041732447 763267007 /nfs/dbraw/zinc/26/70/07/763267007.db2.gz JIEUFWJGBDCRGH-CZUORRHYSA-N 1 2 301.394 1.036 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@@]2(CCN(CC#N)C2)C1 ZINC001041732447 763267016 /nfs/dbraw/zinc/26/70/16/763267016.db2.gz JIEUFWJGBDCRGH-CZUORRHYSA-N 1 2 301.394 1.036 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CN(C)c1[nH+]cnc2c1cnn2C ZINC001109194287 763452485 /nfs/dbraw/zinc/45/24/85/763452485.db2.gz PDUIIOHAXCKAKQ-LLVKDONJSA-N 1 2 316.409 1.516 20 30 DDEDLO Cc1cnc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001109257637 763522266 /nfs/dbraw/zinc/52/22/66/763522266.db2.gz GCYZPZREPCKJAX-IKGGRYGDSA-N 1 2 310.401 1.420 20 30 DDEDLO Cc1cnc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001109257637 763522271 /nfs/dbraw/zinc/52/22/71/763522271.db2.gz GCYZPZREPCKJAX-IKGGRYGDSA-N 1 2 310.401 1.420 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(C)(C)CC)C2 ZINC001109331806 763582937 /nfs/dbraw/zinc/58/29/37/763582937.db2.gz QXCUYYRZOOCJLV-ILXRZTDVSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(C)(C)CC)C2 ZINC001109331806 763582944 /nfs/dbraw/zinc/58/29/44/763582944.db2.gz QXCUYYRZOOCJLV-ILXRZTDVSA-N 1 2 319.449 1.284 20 30 DDEDLO N#CCN1CC[C@H]2CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@@H]21 ZINC001042118665 763672254 /nfs/dbraw/zinc/67/22/54/763672254.db2.gz YEUKAHMLPKQIHB-LRDDRELGSA-N 1 2 309.373 1.623 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1nccn1C)C2 ZINC001109545705 763810847 /nfs/dbraw/zinc/81/08/47/763810847.db2.gz JJBPGNFALWZMQU-RDBSUJKOSA-N 1 2 322.840 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1nccn1C)C2 ZINC001109545705 763810854 /nfs/dbraw/zinc/81/08/54/763810854.db2.gz JJBPGNFALWZMQU-RDBSUJKOSA-N 1 2 322.840 1.827 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnc(F)c1 ZINC001050847224 764173074 /nfs/dbraw/zinc/17/30/74/764173074.db2.gz JXMZPAMTWILZNM-CQSZACIVSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnc(F)c1 ZINC001050847224 764173075 /nfs/dbraw/zinc/17/30/75/764173075.db2.gz JXMZPAMTWILZNM-CQSZACIVSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnccc1C ZINC001050855986 764189757 /nfs/dbraw/zinc/18/97/57/764189757.db2.gz AXEAWIXEJDXMEB-HNNXBMFYSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnccc1C ZINC001050855986 764189762 /nfs/dbraw/zinc/18/97/62/764189762.db2.gz AXEAWIXEJDXMEB-HNNXBMFYSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001050882959 764232212 /nfs/dbraw/zinc/23/22/12/764232212.db2.gz CURDZBPIEWDIJV-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3OCC[C@H]3C)c2C1 ZINC001069874035 768204511 /nfs/dbraw/zinc/20/45/11/768204511.db2.gz IFQFQIXPNKOCCG-CJNGLKHVSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3OCC[C@H]3C)c2C1 ZINC001069874035 768204514 /nfs/dbraw/zinc/20/45/14/768204514.db2.gz IFQFQIXPNKOCCG-CJNGLKHVSA-N 1 2 318.421 1.316 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001057684369 764329638 /nfs/dbraw/zinc/32/96/38/764329638.db2.gz OKBOUUJRLAHBSR-CYBMUJFWSA-N 1 2 310.361 1.322 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1OCC[C@H]1CC ZINC001050961520 764355411 /nfs/dbraw/zinc/35/54/11/764355411.db2.gz CGYKCQFPZPPKGX-PMPSAXMXSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1OCC[C@H]1CC ZINC001050961520 764355424 /nfs/dbraw/zinc/35/54/24/764355424.db2.gz CGYKCQFPZPPKGX-PMPSAXMXSA-N 1 2 310.438 1.585 20 30 DDEDLO C[C@H]1CN(c2ncccc2C#N)CC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067407317 764401029 /nfs/dbraw/zinc/40/10/29/764401029.db2.gz FHYHHHRQMWDYQU-GXTWGEPZSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1CCC ZINC001050999805 764403334 /nfs/dbraw/zinc/40/33/34/764403334.db2.gz PNVAVLRTVKVRKB-ZDUSSCGKSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1CCC ZINC001050999805 764403337 /nfs/dbraw/zinc/40/33/37/764403337.db2.gz PNVAVLRTVKVRKB-ZDUSSCGKSA-N 1 2 306.410 1.369 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ncn3cc(Cl)ccc23)C1 ZINC001042747748 764410002 /nfs/dbraw/zinc/41/00/02/764410002.db2.gz OLPRZHCAFNCVDZ-UHFFFAOYSA-N 1 2 316.792 1.767 20 30 DDEDLO C=CCCC(=O)NCC1(Nc2ncnc3c2C[N@H+](C)CC3)CC1 ZINC001110080285 764419577 /nfs/dbraw/zinc/41/95/77/764419577.db2.gz VCGDZWFICFHUKA-UHFFFAOYSA-N 1 2 315.421 1.491 20 30 DDEDLO C=CCCC(=O)NCC1(Nc2ncnc3c2C[N@@H+](C)CC3)CC1 ZINC001110080285 764419585 /nfs/dbraw/zinc/41/95/85/764419585.db2.gz VCGDZWFICFHUKA-UHFFFAOYSA-N 1 2 315.421 1.491 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@]12CCC[C@H]1OCC2 ZINC001051020381 764425179 /nfs/dbraw/zinc/42/51/79/764425179.db2.gz UJDVSWYBKVAPNM-UXLLHSPISA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@]12CCC[C@H]1OCC2 ZINC001051020381 764425183 /nfs/dbraw/zinc/42/51/83/764425183.db2.gz UJDVSWYBKVAPNM-UXLLHSPISA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(C)nc1OC ZINC001051154514 764562465 /nfs/dbraw/zinc/56/24/65/764562465.db2.gz WUDFQEMKHSDPBY-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(C)nc1OC ZINC001051154514 764562468 /nfs/dbraw/zinc/56/24/68/764562468.db2.gz WUDFQEMKHSDPBY-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1conc1COC ZINC001051205045 764618136 /nfs/dbraw/zinc/61/81/36/764618136.db2.gz UJQGIOODEFAUNX-ZDUSSCGKSA-N 1 2 323.393 1.218 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1conc1COC ZINC001051205045 764618142 /nfs/dbraw/zinc/61/81/42/764618142.db2.gz UJQGIOODEFAUNX-ZDUSSCGKSA-N 1 2 323.393 1.218 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)c3cc(C#N)c[nH]3)C[C@H]2C)cc[nH+]1 ZINC001043124660 764689581 /nfs/dbraw/zinc/68/95/81/764689581.db2.gz ZUNVRLCBMROSPZ-QMTHXVAHSA-N 1 2 310.361 1.557 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001051311793 764732507 /nfs/dbraw/zinc/73/25/07/764732507.db2.gz MBLTUQGHHWZSDL-JSGCOSHPSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](CCc2ccns2)CC1 ZINC001112813984 764800355 /nfs/dbraw/zinc/80/03/55/764800355.db2.gz GDWFUJGAPPCVRW-HNNXBMFYSA-N 1 2 309.435 1.157 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[NH+](CCCN2CCCC2=O)CC1 ZINC001112834134 764830142 /nfs/dbraw/zinc/83/01/42/764830142.db2.gz DMGAOTWOIWKJMH-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C2C[NH+](C[C@@H]3CC[C@H](C)O3)C2)c1 ZINC001043821983 765116731 /nfs/dbraw/zinc/11/67/31/765116731.db2.gz QPXRILULENMKSW-GUYCJALGSA-N 1 2 313.401 1.387 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001043839917 765125711 /nfs/dbraw/zinc/12/57/11/765125711.db2.gz VHTBIFYCJTWKII-GFCCVEGCSA-N 1 2 308.813 1.725 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H](C[NH2+][C@H](C)c2csnn2)C1 ZINC001051757208 765131265 /nfs/dbraw/zinc/13/12/65/765131265.db2.gz ZIDHQOITLBKJFY-NEPJUHHUSA-N 1 2 324.450 1.628 20 30 DDEDLO Cc1nc(NC2(CNC(=O)[C@H](C)C#N)CC2)c2c([nH+]1)CCCC2 ZINC001110087661 765153334 /nfs/dbraw/zinc/15/33/34/765153334.db2.gz HELNIUXUDWUWPN-LLVKDONJSA-N 1 2 313.405 1.884 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ncc(F)cc2F)CC1 ZINC001113020446 765155372 /nfs/dbraw/zinc/15/53/72/765155372.db2.gz HAZUFVCNEOCIMN-UHFFFAOYSA-N 1 2 311.332 1.320 20 30 DDEDLO CS(=O)(=O)N1CC(Nc2[nH+]ccc3ccc(C#N)cc32)C1 ZINC001157438280 765183901 /nfs/dbraw/zinc/18/39/01/765183901.db2.gz JDRMQDFKKYCPSW-UHFFFAOYSA-N 1 2 302.359 1.162 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2CCc3cccc(OC)c32)C1 ZINC001044017744 765234995 /nfs/dbraw/zinc/23/49/95/765234995.db2.gz HSJNFJHBYAWSRV-INIZCTEOSA-N 1 2 312.413 1.891 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)/C(C)=C\C)C2)CC1 ZINC001052009243 765378636 /nfs/dbraw/zinc/37/86/36/765378636.db2.gz MDDAJKOSXSKLRA-KJVZHSBYSA-N 1 2 311.857 1.924 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)c3cc[nH]c3)C2)CC1 ZINC001052030301 765405559 /nfs/dbraw/zinc/40/55/59/765405559.db2.gz LMGDBIPFSRAEKA-OAHLLOKOSA-N 1 2 322.840 1.599 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn3c2C[C@@H](C)CC3)C1 ZINC001044242488 765419485 /nfs/dbraw/zinc/41/94/85/765419485.db2.gz YNVMAXLIWREEOB-ZDUSSCGKSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(C(F)(F)F)cnn2C)C1 ZINC001044250283 765424696 /nfs/dbraw/zinc/42/46/96/765424696.db2.gz VXWKOKQRJUDNSO-UHFFFAOYSA-N 1 2 314.311 1.218 20 30 DDEDLO CC#CCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H](F)C(C)C)C2)CC1 ZINC001052066730 765439184 /nfs/dbraw/zinc/43/91/84/765439184.db2.gz XUADZNSXHZVXTH-HZPDHXFCSA-N 1 2 309.429 1.222 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC[NH+](CCc2cnn(C)c2)CC1 ZINC001113230132 765441862 /nfs/dbraw/zinc/44/18/62/765441862.db2.gz XXGPNWWDTOKSRS-LSDHHAIUSA-N 1 2 304.438 1.565 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)c3occc3Cl)C2)CC1 ZINC001052076323 765448092 /nfs/dbraw/zinc/44/80/92/765448092.db2.gz HVODUMHBGCMKMT-LBPRGKRZSA-N 1 2 322.796 1.289 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](CCO[C@@H]2CC2(F)F)CC1 ZINC001113232295 765448774 /nfs/dbraw/zinc/44/87/74/765448774.db2.gz KTIIOJMCUWMPEA-CQSZACIVSA-N 1 2 314.376 1.748 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)(C)C(F)F)C2)CC1 ZINC001052082115 765455982 /nfs/dbraw/zinc/45/59/82/765455982.db2.gz QLSPHDMTBRDDDF-ZDUSSCGKSA-N 1 2 313.392 1.129 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccc(F)c2F)CC1 ZINC001113268356 765493966 /nfs/dbraw/zinc/49/39/66/765493966.db2.gz YOYCIFIACOSNHK-UHFFFAOYSA-N 1 2 310.344 1.925 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3[nH]c(=O)oc3c2)C1 ZINC001044343041 765495292 /nfs/dbraw/zinc/49/52/92/765495292.db2.gz ISNQKTJUYZTFKA-UHFFFAOYSA-N 1 2 301.346 1.866 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(NC(C)=O)ccc2C)C1 ZINC001044378130 765520691 /nfs/dbraw/zinc/52/06/91/765520691.db2.gz XBRAHNQUSUOVNV-UHFFFAOYSA-N 1 2 313.401 1.733 20 30 DDEDLO C[C@@H](NC(=O)Cc1c[nH]c[nH+]1)[C@H](C)Nc1ncc(C#N)cc1F ZINC001113314269 765554654 /nfs/dbraw/zinc/55/46/54/765554654.db2.gz QXSIQZTWDZNJDG-ZJUUUORDSA-N 1 2 316.340 1.363 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ocnc2C2CC2)CC1 ZINC001113344094 765594371 /nfs/dbraw/zinc/59/43/71/765594371.db2.gz MQOUWDJJMIBGCY-UHFFFAOYSA-N 1 2 305.378 1.512 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C(C)C)n[nH]2)CC1 ZINC001113368839 765623276 /nfs/dbraw/zinc/62/32/76/765623276.db2.gz XABOIXLKUXZGHR-UHFFFAOYSA-N 1 2 306.410 1.494 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)C2(NC(N)=O)CCCCC2)CC1 ZINC001113402900 765653661 /nfs/dbraw/zinc/65/36/61/765653661.db2.gz JZXITGDQCYIOOM-UHFFFAOYSA-N 1 2 308.426 1.078 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn(CCC)c2C)CC1 ZINC001113454628 765712692 /nfs/dbraw/zinc/71/26/92/765712692.db2.gz SPKHSEDKZIHBNW-UHFFFAOYSA-N 1 2 320.437 1.562 20 30 DDEDLO N#CCN1CCC[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC001052406846 765797068 /nfs/dbraw/zinc/79/70/68/765797068.db2.gz NKNXWMRGIXVYBJ-CQSZACIVSA-N 1 2 315.421 1.256 20 30 DDEDLO Cc1nc(N(C)[C@H](C)CNC(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001113536957 765822146 /nfs/dbraw/zinc/82/21/46/765822146.db2.gz FAFWJVFOGLSTCN-NWDGAFQWSA-N 1 2 315.421 1.764 20 30 DDEDLO C[C@@H]1C[C@H](NCC#N)CCN1C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001044808527 765827540 /nfs/dbraw/zinc/82/75/40/765827540.db2.gz MIJWQKLEIRJOBN-ZIAGYGMSSA-N 1 2 324.388 1.374 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2sccc2COC)CC1 ZINC001113534756 765833992 /nfs/dbraw/zinc/83/39/92/765833992.db2.gz RCXQNIHJZMGTNV-UHFFFAOYSA-N 1 2 324.446 1.855 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](C)NC(=O)c2ccccc2)CC1 ZINC001113611523 765935375 /nfs/dbraw/zinc/93/53/75/765935375.db2.gz SOFLZBWCGXRHCB-AWEZNQCLSA-N 1 2 301.390 1.135 20 30 DDEDLO Cc1nc(N(C)[C@H](C)CNC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001113644839 765969361 /nfs/dbraw/zinc/96/93/61/765969361.db2.gz LBMBFQCRYJQDEY-GFCCVEGCSA-N 1 2 312.377 1.099 20 30 DDEDLO C[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)N(C)c1cccc(F)c1C#N ZINC001113696217 766028716 /nfs/dbraw/zinc/02/87/16/766028716.db2.gz UQDCWIRPTKOMIH-NSHDSACASA-N 1 2 315.352 1.604 20 30 DDEDLO N#CCN1CCC([C@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)CC1 ZINC001047266113 768348152 /nfs/dbraw/zinc/34/81/52/768348152.db2.gz QHBCPZNEZVBRSS-INIZCTEOSA-N 1 2 315.421 1.357 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C)CCCC2)[C@@H](O)C1 ZINC001090470380 766295618 /nfs/dbraw/zinc/29/56/18/766295618.db2.gz WMGFSGNDPAEYGB-OLZOCXBDSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C)CCCC2)[C@@H](O)C1 ZINC001090470380 766295622 /nfs/dbraw/zinc/29/56/22/766295622.db2.gz WMGFSGNDPAEYGB-OLZOCXBDSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COC(C)C ZINC001113985375 766480002 /nfs/dbraw/zinc/48/00/02/766480002.db2.gz RRRTYVBRPQSIKF-PJXYFTJBSA-N 1 2 317.227 1.366 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COC(C)C ZINC001113985375 766480006 /nfs/dbraw/zinc/48/00/06/766480006.db2.gz RRRTYVBRPQSIKF-PJXYFTJBSA-N 1 2 317.227 1.366 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC[C@@H]1C ZINC001114023599 766551058 /nfs/dbraw/zinc/55/10/58/766551058.db2.gz HJVLSMFQJZKUQR-DZGCQCFKSA-N 1 2 300.406 1.920 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114111861 766648933 /nfs/dbraw/zinc/64/89/33/766648933.db2.gz PXACNLYMPSGERC-NHAGDIPZSA-N 1 2 322.434 1.067 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114111861 766648940 /nfs/dbraw/zinc/64/89/40/766648940.db2.gz PXACNLYMPSGERC-NHAGDIPZSA-N 1 2 322.434 1.067 20 30 DDEDLO CN(C[C@H]1CCCN1C(=O)Cn1cc[nH+]c1)c1ccc(C#N)nc1 ZINC001067765755 766690076 /nfs/dbraw/zinc/69/00/76/766690076.db2.gz WJLMBPANYGKHNY-MRXNPFEDSA-N 1 2 324.388 1.277 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+][C@H](C)c1nnc(C)o1 ZINC001129502432 766906429 /nfs/dbraw/zinc/90/64/29/766906429.db2.gz DOZKGVKJDNUDPJ-LLVKDONJSA-N 1 2 303.366 1.446 20 30 DDEDLO Cc1nc(N[C@H](C)[C@H]2CN(C(=O)C#CC3CC3)CCO2)cc[nH+]1 ZINC001068230719 767019705 /nfs/dbraw/zinc/01/97/05/767019705.db2.gz JOIFIFKBKJGVHF-IUODEOHRSA-N 1 2 314.389 1.226 20 30 DDEDLO CN(c1ccncc1C#N)C1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001068689366 767523710 /nfs/dbraw/zinc/52/37/10/767523710.db2.gz XEMDBLMMHUMXRQ-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cn3cccnc3n2)C1 ZINC001046444321 767578731 /nfs/dbraw/zinc/57/87/31/767578731.db2.gz PXHIVVCXDRXUBA-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cn3cccnc3n2)C1 ZINC001046444321 767578734 /nfs/dbraw/zinc/57/87/34/767578734.db2.gz PXHIVVCXDRXUBA-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001046467504 767602015 /nfs/dbraw/zinc/60/20/15/767602015.db2.gz IOIFXAHKESYCAN-AWEZNQCLSA-N 1 2 311.769 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001046467504 767602020 /nfs/dbraw/zinc/60/20/20/767602020.db2.gz IOIFXAHKESYCAN-AWEZNQCLSA-N 1 2 311.769 1.325 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H](Nc3cc[nH+]c(C)n3)[C@H]2C)nc1 ZINC001068875162 767672611 /nfs/dbraw/zinc/67/26/11/767672611.db2.gz BHAGRENFMCDSFD-IUODEOHRSA-N 1 2 321.384 1.876 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1c[nH+]cn1C ZINC001068871984 767672624 /nfs/dbraw/zinc/67/26/24/767672624.db2.gz CCCRGTVEVZMMGD-WBMJQRKESA-N 1 2 324.388 1.331 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@]2(C)CC[N@@H+](Cc3ccn(C)n3)C2)c1 ZINC001046606383 767732184 /nfs/dbraw/zinc/73/21/84/767732184.db2.gz DCQYSFHUEIWJDP-GOSISDBHSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@]2(C)CC[N@H+](Cc3ccn(C)n3)C2)c1 ZINC001046606383 767732189 /nfs/dbraw/zinc/73/21/89/767732189.db2.gz DCQYSFHUEIWJDP-GOSISDBHSA-N 1 2 323.400 1.191 20 30 DDEDLO Cn1ncc(C(=O)N[C@]2(C)CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001046695256 767813916 /nfs/dbraw/zinc/81/39/16/767813916.db2.gz KMXFKHCYAFXCOT-QGZVFWFLSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1ncc(C(=O)N[C@]2(C)CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001046695256 767813924 /nfs/dbraw/zinc/81/39/24/767813924.db2.gz KMXFKHCYAFXCOT-QGZVFWFLSA-N 1 2 324.388 1.081 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001096176088 768369695 /nfs/dbraw/zinc/36/96/95/768369695.db2.gz XDNQWHAYSALDJD-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2ncccc2O)CC[C@H]1C ZINC001131971821 768516471 /nfs/dbraw/zinc/51/64/71/768516471.db2.gz NFRRHZNQYZLOFE-ZIAGYGMSSA-N 1 2 317.389 1.020 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2ncccc2O)CC[C@H]1C ZINC001131971821 768516474 /nfs/dbraw/zinc/51/64/74/768516474.db2.gz NFRRHZNQYZLOFE-ZIAGYGMSSA-N 1 2 317.389 1.020 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2coc(C)c2)CC[C@@H]1C ZINC001131985194 768539146 /nfs/dbraw/zinc/53/91/46/768539146.db2.gz UWEXDUNVMZMYEH-WFASDCNBSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2coc(C)c2)CC[C@@H]1C ZINC001131985194 768539150 /nfs/dbraw/zinc/53/91/50/768539150.db2.gz UWEXDUNVMZMYEH-WFASDCNBSA-N 1 2 319.405 1.473 20 30 DDEDLO CCCNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132018621 768576515 /nfs/dbraw/zinc/57/65/15/768576515.db2.gz XZHDTJNMEOVTER-HUUCEWRRSA-N 1 2 307.438 1.141 20 30 DDEDLO CCCNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132018621 768576517 /nfs/dbraw/zinc/57/65/17/768576517.db2.gz XZHDTJNMEOVTER-HUUCEWRRSA-N 1 2 307.438 1.141 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[N@@H+]1Cc1ccn(C)n1 ZINC001132034594 768580289 /nfs/dbraw/zinc/58/02/89/768580289.db2.gz SSXNDYTVDYXBSZ-LSDHHAIUSA-N 1 2 316.449 1.939 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[N@H+]1Cc1ccn(C)n1 ZINC001132034594 768580293 /nfs/dbraw/zinc/58/02/93/768580293.db2.gz SSXNDYTVDYXBSZ-LSDHHAIUSA-N 1 2 316.449 1.939 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]nc3ccccc32)C1 ZINC001047615286 768613490 /nfs/dbraw/zinc/61/34/90/768613490.db2.gz MULADBXFMBGNIL-GJZGRUSLSA-N 1 2 314.389 1.256 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]nc3ccccc32)C1 ZINC001047615286 768613494 /nfs/dbraw/zinc/61/34/94/768613494.db2.gz MULADBXFMBGNIL-GJZGRUSLSA-N 1 2 314.389 1.256 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)C(C)C)CC[C@@H]1C ZINC001132065179 768615028 /nfs/dbraw/zinc/61/50/28/768615028.db2.gz QQHGSDRGIJKRRB-GJZGRUSLSA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(C)(C)C(C)C)CC[C@@H]1C ZINC001132065179 768615030 /nfs/dbraw/zinc/61/50/30/768615030.db2.gz QQHGSDRGIJKRRB-GJZGRUSLSA-N 1 2 321.465 1.387 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2C2CC2)C1 ZINC001047617942 768615639 /nfs/dbraw/zinc/61/56/39/768615639.db2.gz IADZTAFRFQLGKR-GJZGRUSLSA-N 1 2 318.442 1.766 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2C2CC2)C1 ZINC001047617942 768615642 /nfs/dbraw/zinc/61/56/42/768615642.db2.gz IADZTAFRFQLGKR-GJZGRUSLSA-N 1 2 318.442 1.766 20 30 DDEDLO CCCC1(C(=O)N[C@H]2CC[C@@H](C)[N@H+](CC(=O)NCC#N)C2)CC1 ZINC001132304929 768747730 /nfs/dbraw/zinc/74/77/30/768747730.db2.gz LIXQKMVWPTXIAG-KGLIPLIRSA-N 1 2 320.437 1.176 20 30 DDEDLO CCCC1(C(=O)N[C@H]2CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C2)CC1 ZINC001132304929 768747733 /nfs/dbraw/zinc/74/77/33/768747733.db2.gz LIXQKMVWPTXIAG-KGLIPLIRSA-N 1 2 320.437 1.176 20 30 DDEDLO CCCCC(=O)NCC[NH+]1CCN(c2ncccc2C#N)CC1 ZINC001096266601 768849965 /nfs/dbraw/zinc/84/99/65/768849965.db2.gz RHGXPTRIGSCWML-UHFFFAOYSA-N 1 2 315.421 1.382 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC001096294642 769032201 /nfs/dbraw/zinc/03/22/01/769032201.db2.gz LOLIMOJHNRQEDD-HNNXBMFYSA-N 1 2 317.437 1.317 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[NH2+][C@H](C)c1nc(COC)no1 ZINC001132660202 769070431 /nfs/dbraw/zinc/07/04/31/769070431.db2.gz KLATWXCEMPXEKX-NEPJUHHUSA-N 1 2 310.398 1.585 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[NH2+][C@@H](C)c1nc(COC)no1 ZINC001132660203 769070741 /nfs/dbraw/zinc/07/07/41/769070741.db2.gz KLATWXCEMPXEKX-NWDGAFQWSA-N 1 2 310.398 1.585 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(c2ncnc3c2C[N@H+](C)CC3)C1 ZINC001096350862 769340316 /nfs/dbraw/zinc/34/03/16/769340316.db2.gz BJCYTXNRKKKEEZ-CYBMUJFWSA-N 1 2 315.421 1.126 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(c2ncnc3c2C[N@@H+](C)CC3)C1 ZINC001096350862 769340324 /nfs/dbraw/zinc/34/03/24/769340324.db2.gz BJCYTXNRKKKEEZ-CYBMUJFWSA-N 1 2 315.421 1.126 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2nccnc2N)CC[C@H]1C ZINC001071395534 769382264 /nfs/dbraw/zinc/38/22/64/769382264.db2.gz BQSULMZAZLZXKI-GHMZBOCLSA-N 1 2 309.801 1.394 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2nccnc2N)CC[C@H]1C ZINC001071395534 769382272 /nfs/dbraw/zinc/38/22/72/769382272.db2.gz BQSULMZAZLZXKI-GHMZBOCLSA-N 1 2 309.801 1.394 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nc(N(C)C)no2)CCC1 ZINC001133392390 769772528 /nfs/dbraw/zinc/77/25/28/769772528.db2.gz UWTIPNPAWMMARL-UHFFFAOYSA-N 1 2 307.398 1.088 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2cnon2)C[N@@H+]1CC#Cc1ccccc1 ZINC001071914316 770277821 /nfs/dbraw/zinc/27/78/21/770277821.db2.gz NRMDQGVUSGREBW-GOEBONIOSA-N 1 2 324.384 1.704 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2cnon2)C[N@H+]1CC#Cc1ccccc1 ZINC001071914316 770277827 /nfs/dbraw/zinc/27/78/27/770277827.db2.gz NRMDQGVUSGREBW-GOEBONIOSA-N 1 2 324.384 1.704 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)C[C@H](C)[NH2+]CC(F)(F)C(F)F ZINC001133913669 770449387 /nfs/dbraw/zinc/44/93/87/770449387.db2.gz VBLJRKMRSPKZFP-UWVGGRQHSA-N 1 2 314.323 1.962 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001096490595 770460078 /nfs/dbraw/zinc/46/00/78/770460078.db2.gz CKDYUKHBSWLUOV-GXTWGEPZSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001096490595 770460084 /nfs/dbraw/zinc/46/00/84/770460084.db2.gz CKDYUKHBSWLUOV-GXTWGEPZSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001072317574 770754467 /nfs/dbraw/zinc/75/44/67/770754467.db2.gz RIAPMHWLTVTYSD-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001072317574 770754472 /nfs/dbraw/zinc/75/44/72/770754472.db2.gz RIAPMHWLTVTYSD-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)n1 ZINC001049382184 770762301 /nfs/dbraw/zinc/76/23/01/770762301.db2.gz NCSJGNHQFTZGQY-CYZMBNFOSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)n1 ZINC001049382184 770762313 /nfs/dbraw/zinc/76/23/13/770762313.db2.gz NCSJGNHQFTZGQY-CYZMBNFOSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)o1 ZINC001049998082 771351985 /nfs/dbraw/zinc/35/19/85/771351985.db2.gz AYXSAZBEOSYIPN-FPMFFAJLSA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)o1 ZINC001049998082 771351988 /nfs/dbraw/zinc/35/19/88/771351988.db2.gz AYXSAZBEOSYIPN-FPMFFAJLSA-N 1 2 302.378 1.566 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096682171 771390353 /nfs/dbraw/zinc/39/03/53/771390353.db2.gz UUBVRLZARJDMEU-SMDDNHRTSA-N 1 2 304.394 1.683 20 30 DDEDLO Cc1cc(N[C@H]2C[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001097018562 771580225 /nfs/dbraw/zinc/58/02/25/771580225.db2.gz RAPXCDGXCABEAX-HDJSIYSDSA-N 1 2 324.388 1.099 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cn2)C[C@H]1NC(=O)CCc1[nH]cc[nH+]1 ZINC001090695589 772119106 /nfs/dbraw/zinc/11/91/06/772119106.db2.gz NEMLCTAHENGBBF-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C ZINC001171025689 772131881 /nfs/dbraw/zinc/13/18/81/772131881.db2.gz GVCAJWSOZHHXAC-KFWWJZLASA-N 1 2 308.422 1.823 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C ZINC001171025689 772131882 /nfs/dbraw/zinc/13/18/82/772131882.db2.gz GVCAJWSOZHHXAC-KFWWJZLASA-N 1 2 308.422 1.823 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1 ZINC001171264248 772776045 /nfs/dbraw/zinc/77/60/45/772776045.db2.gz WVZSNYMINAIIKE-UJJTZGENSA-N 1 2 324.428 1.620 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3=COCCC3)C[C@@H]21 ZINC001074191206 773714794 /nfs/dbraw/zinc/71/47/94/773714794.db2.gz UZZXSSOXHIODHF-JKSUJKDBSA-N 1 2 304.390 1.006 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3=COCCC3)C[C@@H]21 ZINC001074191206 773714801 /nfs/dbraw/zinc/71/48/01/773714801.db2.gz UZZXSSOXHIODHF-JKSUJKDBSA-N 1 2 304.390 1.006 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3c(C)ccn3C)C[C@@H]21 ZINC001074226348 773750752 /nfs/dbraw/zinc/75/07/52/773750752.db2.gz NYXMCGMQGJQILA-HOTGVXAUSA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3c(C)ccn3C)C[C@@H]21 ZINC001074226348 773750759 /nfs/dbraw/zinc/75/07/59/773750759.db2.gz NYXMCGMQGJQILA-HOTGVXAUSA-N 1 2 317.433 1.825 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCO2)C1 ZINC000586062811 773751560 /nfs/dbraw/zinc/75/15/60/773751560.db2.gz GDCCAZFLWNRNBD-SJORKVTESA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCO2)C1 ZINC000586062811 773751565 /nfs/dbraw/zinc/75/15/65/773751565.db2.gz GDCCAZFLWNRNBD-SJORKVTESA-N 1 2 313.401 1.818 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@H]21 ZINC001074241435 773764324 /nfs/dbraw/zinc/76/43/24/773764324.db2.gz IJVJUCMOLWNVRI-CHWSQXEVSA-N 1 2 314.376 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@H]21 ZINC001074241435 773764327 /nfs/dbraw/zinc/76/43/27/773764327.db2.gz IJVJUCMOLWNVRI-CHWSQXEVSA-N 1 2 314.376 1.910 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C(C)C)C[C@H]21 ZINC001074253537 773771825 /nfs/dbraw/zinc/77/18/25/773771825.db2.gz WRGALHQXRQRIQT-HZPDHXFCSA-N 1 2 306.450 1.994 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C(C)C)C[C@H]21 ZINC001074253537 773771828 /nfs/dbraw/zinc/77/18/28/773771828.db2.gz WRGALHQXRQRIQT-HZPDHXFCSA-N 1 2 306.450 1.994 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccn(C)c3C)C[C@H]21 ZINC001074336204 773832809 /nfs/dbraw/zinc/83/28/09/773832809.db2.gz LKZIKTLLSZJXMB-SJORKVTESA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccn(C)c3C)C[C@H]21 ZINC001074336204 773832813 /nfs/dbraw/zinc/83/28/13/773832813.db2.gz LKZIKTLLSZJXMB-SJORKVTESA-N 1 2 315.417 1.272 20 30 DDEDLO C=C(Cl)CN1CCO[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C[C@@H]21 ZINC001074346892 773844131 /nfs/dbraw/zinc/84/41/31/773844131.db2.gz VZQLWAXHDKOKON-UONOGXRCSA-N 1 2 324.812 1.006 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1occc1C)c1nccn12 ZINC001092349484 774067213 /nfs/dbraw/zinc/06/72/13/774067213.db2.gz HSYAXNHNVJOCRK-CYBMUJFWSA-N 1 2 312.373 1.856 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1=COCCC1)c1nccn12 ZINC001092352841 774070037 /nfs/dbraw/zinc/07/00/37/774070037.db2.gz RMHPNAGCLONAKV-CQSZACIVSA-N 1 2 314.389 1.335 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnccc1C)c1nccn12 ZINC001092357010 774072590 /nfs/dbraw/zinc/07/25/90/774072590.db2.gz NUHYOYWNEBFXPV-OAHLLOKOSA-N 1 2 323.400 1.658 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001092359989 774074878 /nfs/dbraw/zinc/07/48/78/774074878.db2.gz UHSUTFOTWDWLQQ-UKRRQHHQSA-N 1 2 300.406 1.777 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)o1)c1nccn12 ZINC001092343447 774092994 /nfs/dbraw/zinc/09/29/94/774092994.db2.gz ITKLSVQLYKBOMG-CQSZACIVSA-N 1 2 324.384 1.694 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001092479521 774139667 /nfs/dbraw/zinc/13/96/67/774139667.db2.gz AMMCIAKJQYEEHP-UKRRQHHQSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001075020676 774302915 /nfs/dbraw/zinc/30/29/15/774302915.db2.gz TYTSQNWQSIDOPA-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001075046288 774318645 /nfs/dbraw/zinc/31/86/45/774318645.db2.gz TVSCNQWEXOEXLO-GJZGRUSLSA-N 1 2 316.405 1.038 20 30 DDEDLO CCc1cc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H](C)C#N)C3)nc(C)[nH+]1 ZINC001092966515 774341037 /nfs/dbraw/zinc/34/10/37/774341037.db2.gz CCMUKWJLCXQIFL-QZKOUSHUSA-N 1 2 313.405 1.733 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@H]1C ZINC001075210697 774425441 /nfs/dbraw/zinc/42/54/41/774425441.db2.gz FPKVBAWXIPPESE-KGLIPLIRSA-N 1 2 316.405 1.107 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098550714 774608392 /nfs/dbraw/zinc/60/83/92/774608392.db2.gz AXRGBWVZGWOOHO-OCCSQVGLSA-N 1 2 302.378 1.084 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)c3ccccc3)C2)nn1 ZINC001098598932 774618590 /nfs/dbraw/zinc/61/85/90/774618590.db2.gz OEJPKWFFUNUOFA-INIZCTEOSA-N 1 2 309.373 1.088 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)c3ccccc3C)C2)nn1 ZINC001098600825 774619445 /nfs/dbraw/zinc/61/94/45/774619445.db2.gz HATOJKHPLNLXLY-MRXNPFEDSA-N 1 2 323.400 1.397 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)Cc3cc(C)on3)CC2)C1 ZINC001093516551 774767660 /nfs/dbraw/zinc/76/76/60/774767660.db2.gz AONSKWIDRFKTML-UHFFFAOYSA-N 1 2 319.405 1.403 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@H]1CCC(C)(C)C[N@@H+]1CC(N)=O ZINC001099070124 774809175 /nfs/dbraw/zinc/80/91/75/774809175.db2.gz SUKQVNISDJBCAZ-ZDUSSCGKSA-N 1 2 307.438 1.128 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@H]1CCC(C)(C)C[N@H+]1CC(N)=O ZINC001099070124 774809182 /nfs/dbraw/zinc/80/91/82/774809182.db2.gz SUKQVNISDJBCAZ-ZDUSSCGKSA-N 1 2 307.438 1.128 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)C1 ZINC001093595996 774900381 /nfs/dbraw/zinc/90/03/81/774900381.db2.gz YWSSOWQEKJDXJB-UHFFFAOYSA-N 1 2 317.389 1.279 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@@H]3CCCOC3)CC2)C1 ZINC001093598313 774903084 /nfs/dbraw/zinc/90/30/84/774903084.db2.gz HSJALQUAPRLNGX-HNNXBMFYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCn1cc(C(=O)NCC[C@H](C)Nc2cc[nH+]c(C)n2)nn1 ZINC001099721564 775182038 /nfs/dbraw/zinc/18/20/38/775182038.db2.gz CIOIJKZLTKRQTB-NSHDSACASA-N 1 2 315.381 1.183 20 30 DDEDLO C[C@@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccncc1C#N ZINC001099765318 775242429 /nfs/dbraw/zinc/24/24/29/775242429.db2.gz PNVGMGPSOUYVMT-IACUBPJLSA-N 1 2 324.388 1.209 20 30 DDEDLO C[C@@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccncc1C#N ZINC001099765318 775242436 /nfs/dbraw/zinc/24/24/36/775242436.db2.gz PNVGMGPSOUYVMT-IACUBPJLSA-N 1 2 324.388 1.209 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099855607 775356955 /nfs/dbraw/zinc/35/69/55/775356955.db2.gz KFNIQNPKWGSHIJ-LSDHHAIUSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099855607 775356967 /nfs/dbraw/zinc/35/69/67/775356967.db2.gz KFNIQNPKWGSHIJ-LSDHHAIUSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001099859173 775361210 /nfs/dbraw/zinc/36/12/10/775361210.db2.gz XXXXJRRRXSOOCN-ZFWWWQNUSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001099859173 775361220 /nfs/dbraw/zinc/36/12/20/775361220.db2.gz XXXXJRRRXSOOCN-ZFWWWQNUSA-N 1 2 307.394 1.391 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CCCC[C@@H]3C)nn2)C1 ZINC001094314047 775669197 /nfs/dbraw/zinc/66/91/97/775669197.db2.gz MYNLSCKIALECET-BBRMVZONSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@]34C[C@@H]3CCCC4)nn2)C1 ZINC001094316912 775674005 /nfs/dbraw/zinc/67/40/05/775674005.db2.gz PRSWPDTZSKXEAQ-GUYCJALGSA-N 1 2 315.421 1.517 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@]3(C)CC)nn2)C1 ZINC001094331562 775713208 /nfs/dbraw/zinc/71/32/08/775713208.db2.gz BQLGOAFMQFQHMA-HOCLYGCPSA-N 1 2 303.410 1.373 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCN(CC)c1nc(C)[nH+]c(C)c1C ZINC001100620675 776372146 /nfs/dbraw/zinc/37/21/46/776372146.db2.gz UBWDOSWZLVEGJF-CQSZACIVSA-N 1 2 318.421 1.383 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(N2CCOC[C@H]2C#N)CC1 ZINC001172893438 776926963 /nfs/dbraw/zinc/92/69/63/776926963.db2.gz BKPOLNRZGZERBQ-CQSZACIVSA-N 1 2 309.410 1.017 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2C[N@@H+](Cc3cnn(C)n3)C[C@@]2(C)C1 ZINC001101346910 777087177 /nfs/dbraw/zinc/08/71/77/777087177.db2.gz MSOGJJWQVMBCCU-PBHICJAKSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2C[N@H+](Cc3cnn(C)n3)C[C@@]2(C)C1 ZINC001101346910 777087180 /nfs/dbraw/zinc/08/71/80/777087180.db2.gz MSOGJJWQVMBCCU-PBHICJAKSA-N 1 2 317.437 1.452 20 30 DDEDLO N#Cc1cccnc1N(CCNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001101452673 777149953 /nfs/dbraw/zinc/14/99/53/777149953.db2.gz ZEIPALSYGQXNEB-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CCCOCC(=O)N1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001095231001 777284349 /nfs/dbraw/zinc/28/43/49/777284349.db2.gz PBFONXVZRCSUAN-UHFFFAOYSA-N 1 2 304.394 1.781 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CO[C@H](C)CC)c1nccn12 ZINC001101623044 777316768 /nfs/dbraw/zinc/31/67/68/777316768.db2.gz WQBIPXPPOZUZHM-ZIAGYGMSSA-N 1 2 318.421 1.456 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)CC(F)F)c1nccn12 ZINC001101634048 777330032 /nfs/dbraw/zinc/33/00/32/777330032.db2.gz LOMBRODKCRPDMF-NWDGAFQWSA-N 1 2 324.375 1.932 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001095281101 777409140 /nfs/dbraw/zinc/40/91/40/777409140.db2.gz OCOUZORXGDDKHS-LBPRGKRZSA-N 1 2 314.393 1.732 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095295623 777460923 /nfs/dbraw/zinc/46/09/23/777460923.db2.gz FLCPJCPWIOEKSU-CZUORRHYSA-N 1 2 304.394 1.515 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001101826453 777572338 /nfs/dbraw/zinc/57/23/38/777572338.db2.gz PQRPUUWCQPHRNG-ZDUSSCGKSA-N 1 2 324.388 1.283 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101913383 777672599 /nfs/dbraw/zinc/67/25/99/777672599.db2.gz HWPDAJKGYOWDOR-NEPJUHHUSA-N 1 2 320.441 1.575 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1C[N@H+](Cc2nncs2)C[C@H]1C ZINC001102254543 777977968 /nfs/dbraw/zinc/97/79/68/777977968.db2.gz DRKVEBMSZLYUCR-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1C[N@@H+](Cc2nncs2)C[C@H]1C ZINC001102254543 777977977 /nfs/dbraw/zinc/97/79/77/777977977.db2.gz DRKVEBMSZLYUCR-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(c2ccnc(C)n2)CC1 ZINC001102414270 778124668 /nfs/dbraw/zinc/12/46/68/778124668.db2.gz UNQFKBSUYAUDOA-UHFFFAOYSA-N 1 2 317.437 1.332 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCCCOC)C[C@@H]21 ZINC001176944079 778372234 /nfs/dbraw/zinc/37/22/34/778372234.db2.gz SGMCICWSUQCRNW-JKSUJKDBSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCCOC)C[C@@H]21 ZINC001176944079 778372240 /nfs/dbraw/zinc/37/22/40/778372240.db2.gz SGMCICWSUQCRNW-JKSUJKDBSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]2OCC[N@H+](CCCO)[C@@H]2C1 ZINC001177020030 778396139 /nfs/dbraw/zinc/39/61/39/778396139.db2.gz VHADUWRPZBLTEP-HUUCEWRRSA-N 1 2 310.438 1.273 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]2OCC[N@@H+](CCCO)[C@@H]2C1 ZINC001177020030 778396143 /nfs/dbraw/zinc/39/61/43/778396143.db2.gz VHADUWRPZBLTEP-HUUCEWRRSA-N 1 2 310.438 1.273 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102857717 778453681 /nfs/dbraw/zinc/45/36/81/778453681.db2.gz GDFCIQQURUVNTJ-PBHICJAKSA-N 1 2 318.421 1.587 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]2OCC[N@@H+](CCCF)[C@@H]2C1 ZINC001177161354 778468987 /nfs/dbraw/zinc/46/89/87/778468987.db2.gz CPQJWQVQOLRNPC-CABCVRRESA-N 1 2 314.401 1.240 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]2OCC[N@H+](CCCF)[C@@H]2C1 ZINC001177161354 778468990 /nfs/dbraw/zinc/46/89/90/778468990.db2.gz CPQJWQVQOLRNPC-CABCVRRESA-N 1 2 314.401 1.240 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(CCC)n1 ZINC001177268973 778523812 /nfs/dbraw/zinc/52/38/12/778523812.db2.gz BQCNIMVFGAQSKC-LBPRGKRZSA-N 1 2 310.398 1.209 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001177269164 778523924 /nfs/dbraw/zinc/52/39/24/778523924.db2.gz FZLSPIBDVPBANJ-LBPRGKRZSA-N 1 2 324.425 1.554 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1cnc(C#N)cn1 ZINC001103056097 778602546 /nfs/dbraw/zinc/60/25/46/778602546.db2.gz BKBSXUJTDKEPMN-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1ncc(C#N)cc1F ZINC001103334556 778797058 /nfs/dbraw/zinc/79/70/58/778797058.db2.gz GCJDUGNTZUNPLG-NSHDSACASA-N 1 2 316.340 1.365 20 30 DDEDLO C#CCOCCC(=O)NC1(CNc2cc[nH+]c(C)n2)CCCC1 ZINC001103442362 778871184 /nfs/dbraw/zinc/87/11/84/778871184.db2.gz NWJWPROYAAUVRB-UHFFFAOYSA-N 1 2 316.405 1.666 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CCNC(=O)Cc2c[nH]c[nH+]2)cn1 ZINC001103784247 779044479 /nfs/dbraw/zinc/04/44/79/779044479.db2.gz NVOQECKHGXOGBP-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO N#CCNCCCCCCNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001178865663 779204211 /nfs/dbraw/zinc/20/42/11/779204211.db2.gz QPGSPPWWLDNIBI-CQSZACIVSA-N 1 2 303.410 1.235 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)C#CC3CC3)C2)o1 ZINC001111740960 779458767 /nfs/dbraw/zinc/45/87/67/779458767.db2.gz VXRCRJPTWBRBRJ-YJBOKZPZSA-N 1 2 313.401 1.867 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)C#CC3CC3)C2)o1 ZINC001111740960 779458770 /nfs/dbraw/zinc/45/87/70/779458770.db2.gz VXRCRJPTWBRBRJ-YJBOKZPZSA-N 1 2 313.401 1.867 20 30 DDEDLO CC#CCCCC(=O)N1CCO[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111957870 779578764 /nfs/dbraw/zinc/57/87/64/779578764.db2.gz GBCGTPKRLSRXPJ-OAHLLOKOSA-N 1 2 316.405 1.618 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001115331725 780045133 /nfs/dbraw/zinc/04/51/33/780045133.db2.gz CLJOVICRMMOJLF-NYTXWWLZSA-N 1 2 318.421 1.041 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001115331725 780045137 /nfs/dbraw/zinc/04/51/37/780045137.db2.gz CLJOVICRMMOJLF-NYTXWWLZSA-N 1 2 318.421 1.041 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001115331912 780045174 /nfs/dbraw/zinc/04/51/74/780045174.db2.gz GKRMBTDGZIZHHJ-RZFFKMDDSA-N 1 2 305.378 1.121 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001115331912 780045177 /nfs/dbraw/zinc/04/51/77/780045177.db2.gz GKRMBTDGZIZHHJ-RZFFKMDDSA-N 1 2 305.378 1.121 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@H+](C)CC(=O)N1CCCCCCC1 ZINC001267194954 837511591 /nfs/dbraw/zinc/51/15/91/837511591.db2.gz JAVDPFBDTOTLBZ-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@@H+](C)CC(=O)N1CCCCCCC1 ZINC001267194954 837511597 /nfs/dbraw/zinc/51/15/97/837511597.db2.gz JAVDPFBDTOTLBZ-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)C(C)(C)C(C)(F)F ZINC001267227290 837565416 /nfs/dbraw/zinc/56/54/16/837565416.db2.gz KMHHKRBWHIROPI-UHFFFAOYSA-N 1 2 305.369 1.018 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)C(C)(C)C(C)(F)F ZINC001267227290 837565417 /nfs/dbraw/zinc/56/54/17/837565417.db2.gz KMHHKRBWHIROPI-UHFFFAOYSA-N 1 2 305.369 1.018 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H]1CNC(=O)c1cccs1 ZINC001267260542 837686106 /nfs/dbraw/zinc/68/61/06/837686106.db2.gz ZLKSVHPWOWGGIJ-CHWSQXEVSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H]1CNC(=O)c1cccs1 ZINC001267260542 837686111 /nfs/dbraw/zinc/68/61/11/837686111.db2.gz ZLKSVHPWOWGGIJ-CHWSQXEVSA-N 1 2 319.430 1.080 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)CSC(C)C ZINC001267261214 837687663 /nfs/dbraw/zinc/68/76/63/837687663.db2.gz MXKHBDBYNAVMSN-CYBMUJFWSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)CSC(C)C ZINC001267261214 837687667 /nfs/dbraw/zinc/68/76/67/837687667.db2.gz MXKHBDBYNAVMSN-CYBMUJFWSA-N 1 2 313.467 1.011 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1cc2n(n1)CCC2 ZINC001267266944 837702493 /nfs/dbraw/zinc/70/24/93/837702493.db2.gz MZQIGIYIVKRMTD-KRWDZBQOSA-N 1 2 314.433 1.569 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCC[N@H+]1Cc1cc2n(n1)CCC2 ZINC001267266944 837702500 /nfs/dbraw/zinc/70/25/00/837702500.db2.gz MZQIGIYIVKRMTD-KRWDZBQOSA-N 1 2 314.433 1.569 20 30 DDEDLO CCC[N@H+](CCNC(=O)C#CC1CC1)[C@@H](C)c1ncccn1 ZINC001267312790 837804622 /nfs/dbraw/zinc/80/46/22/837804622.db2.gz OBRFJRUQJATOSP-AWEZNQCLSA-N 1 2 300.406 1.779 20 30 DDEDLO CCC[N@@H+](CCNC(=O)C#CC1CC1)[C@@H](C)c1ncccn1 ZINC001267312790 837804635 /nfs/dbraw/zinc/80/46/35/837804635.db2.gz OBRFJRUQJATOSP-AWEZNQCLSA-N 1 2 300.406 1.779 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](CCCS(=O)(=O)CC)C1 ZINC001266323139 836119863 /nfs/dbraw/zinc/11/98/63/836119863.db2.gz VUJPCAJCNIUNMB-CQSZACIVSA-N 1 2 316.467 1.358 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](CCCS(=O)(=O)CC)C1 ZINC001266323139 836119866 /nfs/dbraw/zinc/11/98/66/836119866.db2.gz VUJPCAJCNIUNMB-CQSZACIVSA-N 1 2 316.467 1.358 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CC23CCCC3)C1 ZINC001266350218 836157297 /nfs/dbraw/zinc/15/72/97/836157297.db2.gz RPLNVQQWQOQEKR-KGLIPLIRSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CC23CCCC3)C1 ZINC001266350218 836157304 /nfs/dbraw/zinc/15/73/04/836157304.db2.gz RPLNVQQWQOQEKR-KGLIPLIRSA-N 1 2 305.422 1.059 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)CCCC2CCCC2)C1 ZINC001266361738 836172509 /nfs/dbraw/zinc/17/25/09/836172509.db2.gz RFZCIHOPIPBNEM-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)CCCC2CCCC2)C1 ZINC001266361738 836172514 /nfs/dbraw/zinc/17/25/14/836172514.db2.gz RFZCIHOPIPBNEM-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC12CC[NH+](Cc1nnc(C)[nH]1)CC2 ZINC001266377491 836187937 /nfs/dbraw/zinc/18/79/37/836187937.db2.gz XHHZLFSXEIVNJK-AWEZNQCLSA-N 1 2 317.437 1.940 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1nn(CC)nc1C ZINC001266450585 836269929 /nfs/dbraw/zinc/26/99/29/836269929.db2.gz QPFCZSLLIPDWDP-AWEZNQCLSA-N 1 2 305.426 1.653 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1nn(CC)nc1C ZINC001266450585 836269934 /nfs/dbraw/zinc/26/99/34/836269934.db2.gz QPFCZSLLIPDWDP-AWEZNQCLSA-N 1 2 305.426 1.653 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CCC(C)C)NC(C)=O ZINC001266501648 836357402 /nfs/dbraw/zinc/35/74/02/836357402.db2.gz FVKOGAQAKCOTDM-BBWFWOEESA-N 1 2 319.449 1.236 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CCC(C)C)NC(C)=O ZINC001266501648 836357412 /nfs/dbraw/zinc/35/74/12/836357412.db2.gz FVKOGAQAKCOTDM-BBWFWOEESA-N 1 2 319.449 1.236 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CC[N@H+](Cc2nnc(C3CC3)o2)C1 ZINC001266511633 836386897 /nfs/dbraw/zinc/38/68/97/836386897.db2.gz ORMPXPMGUNCGIX-ZDUSSCGKSA-N 1 2 316.405 1.545 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(C3CC3)o2)C1 ZINC001266511633 836386908 /nfs/dbraw/zinc/38/69/08/836386908.db2.gz ORMPXPMGUNCGIX-ZDUSSCGKSA-N 1 2 316.405 1.545 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@@H]1CCC[N@H+](Cc2nnnn2C)C1 ZINC001266894272 837015700 /nfs/dbraw/zinc/01/57/00/837015700.db2.gz HMBQLMOSBNSFSN-ZIAGYGMSSA-N 1 2 320.441 1.283 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@@H]1CCC[N@@H+](Cc2nnnn2C)C1 ZINC001266894272 837015710 /nfs/dbraw/zinc/01/57/10/837015710.db2.gz HMBQLMOSBNSFSN-ZIAGYGMSSA-N 1 2 320.441 1.283 20 30 DDEDLO CCCCCC#CC(=O)N1CC2(CCN2CCn2cc[nH+]c2)C1 ZINC001271959601 844240900 /nfs/dbraw/zinc/24/09/00/844240900.db2.gz WCNORVMMMATJBN-UHFFFAOYSA-N 1 2 314.433 1.754 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)C(C)C ZINC001267396832 837986653 /nfs/dbraw/zinc/98/66/53/837986653.db2.gz CMMAUZBXOMXJLB-GASCZTMLSA-N 1 2 307.438 1.495 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(C)C(C)C ZINC001267396832 837986663 /nfs/dbraw/zinc/98/66/63/837986663.db2.gz CMMAUZBXOMXJLB-GASCZTMLSA-N 1 2 307.438 1.495 20 30 DDEDLO C[C@@H](CN(C)C(=O)C#CC1CC1)[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001283608280 838172646 /nfs/dbraw/zinc/17/26/46/838172646.db2.gz QCRZDFHPIVHPNU-LBPRGKRZSA-N 1 2 318.421 1.717 20 30 DDEDLO CCc1[nH]ccc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#CCOC ZINC001267529835 838256517 /nfs/dbraw/zinc/25/65/17/838256517.db2.gz SNYWWUFEQJVXDJ-GASCZTMLSA-N 1 2 315.417 1.516 20 30 DDEDLO CCc1[nH]ccc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#CCOC ZINC001267529835 838256521 /nfs/dbraw/zinc/25/65/21/838256521.db2.gz SNYWWUFEQJVXDJ-GASCZTMLSA-N 1 2 315.417 1.516 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCC[C@@H]3CCN(CC#N)C3)ccn12 ZINC001267531512 838258473 /nfs/dbraw/zinc/25/84/73/838258473.db2.gz SSMHZNKMNMBXKL-CQSZACIVSA-N 1 2 311.389 1.608 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@H]3[C@@H]2CCCN3C(=O)C#CC(C)C)n1 ZINC001272016793 844326938 /nfs/dbraw/zinc/32/69/38/844326938.db2.gz UEUSJRUMECNXLY-GJZGRUSLSA-N 1 2 316.405 1.603 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@H]3[C@@H]2CCCN3C(=O)C#CC(C)C)n1 ZINC001272016793 844326945 /nfs/dbraw/zinc/32/69/45/844326945.db2.gz UEUSJRUMECNXLY-GJZGRUSLSA-N 1 2 316.405 1.603 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)CC[C@H]2CCCO2)CC1 ZINC001267606005 838430000 /nfs/dbraw/zinc/43/00/00/838430000.db2.gz KIWGHAQRTXILIX-MRXNPFEDSA-N 1 2 309.454 1.208 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)C2(C)CC(F)(F)C2)CC1 ZINC001267617691 838496742 /nfs/dbraw/zinc/49/67/42/838496742.db2.gz GELQKCUJDJQWST-UHFFFAOYSA-N 1 2 313.392 1.131 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](NC(=O)c2cc(F)c[nH]2)C1 ZINC001267629343 838530718 /nfs/dbraw/zinc/53/07/18/838530718.db2.gz VFPQGEYGEBLKGT-YPMHNXCESA-N 1 2 322.384 1.039 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](NC(=O)c2cc(F)c[nH]2)C1 ZINC001267629343 838530723 /nfs/dbraw/zinc/53/07/23/838530723.db2.gz VFPQGEYGEBLKGT-YPMHNXCESA-N 1 2 322.384 1.039 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1C[NH+](CCCc2ccsc2)C1 ZINC001267645239 838565620 /nfs/dbraw/zinc/56/56/20/838565620.db2.gz QLHMLIYZMKKJKP-CYBMUJFWSA-N 1 2 306.431 1.519 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)CCOc2ccccc2OC)C1 ZINC001267669049 838612947 /nfs/dbraw/zinc/61/29/47/838612947.db2.gz VQWPTTRJTDPDOG-UHFFFAOYSA-N 1 2 302.374 1.288 20 30 DDEDLO C=CCOCC(=O)NCC1C[NH+](Cc2cnn(C(C)(C)C)c2)C1 ZINC001267679385 838631237 /nfs/dbraw/zinc/63/12/37/838631237.db2.gz PGJSWGLHLADRKE-UHFFFAOYSA-N 1 2 320.437 1.389 20 30 DDEDLO CC#CCCCC(=O)NCC1C[NH+](Cc2cc(OC)ns2)C1 ZINC001267688980 838641727 /nfs/dbraw/zinc/64/17/27/838641727.db2.gz BKBNWPYTBRFPCR-UHFFFAOYSA-N 1 2 321.446 1.893 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@H]2CCC[C@H]2CCC)C1 ZINC001267688794 838641872 /nfs/dbraw/zinc/64/18/72/838641872.db2.gz VUWPQFCGXJAZOZ-CVEARBPZSA-N 1 2 321.465 1.553 20 30 DDEDLO CCCC[C@H](C(N)=O)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)(C)C ZINC001267706337 838684790 /nfs/dbraw/zinc/68/47/90/838684790.db2.gz KHHHFBACQIYLQJ-SOUVJXGZSA-N 1 2 319.449 1.365 20 30 DDEDLO CCCC[C@H](C(N)=O)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)(C)C ZINC001267706337 838684792 /nfs/dbraw/zinc/68/47/92/838684792.db2.gz KHHHFBACQIYLQJ-SOUVJXGZSA-N 1 2 319.449 1.365 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001267729308 838765992 /nfs/dbraw/zinc/76/59/92/838765992.db2.gz RFULCKRFBJWVRV-GJZGRUSLSA-N 1 2 307.438 1.285 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001267729308 838765996 /nfs/dbraw/zinc/76/59/96/838765996.db2.gz RFULCKRFBJWVRV-GJZGRUSLSA-N 1 2 307.438 1.285 20 30 DDEDLO C=CCOCCCC(=O)N(C)[C@H]1CC[N@H+](Cc2cc(C)on2)C1 ZINC001267758367 838875428 /nfs/dbraw/zinc/87/54/28/838875428.db2.gz AZJUDCFCTYSRKF-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2cc(C)on2)C1 ZINC001267758367 838875443 /nfs/dbraw/zinc/87/54/43/838875443.db2.gz AZJUDCFCTYSRKF-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H](N(C)C(=O)C#CC3CC3)C2)on1 ZINC001267762924 838897106 /nfs/dbraw/zinc/89/71/06/838897106.db2.gz USVIARGLHHGJOJ-CYBMUJFWSA-N 1 2 303.362 1.129 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H](N(C)C(=O)C#CC3CC3)C2)on1 ZINC001267762924 838897120 /nfs/dbraw/zinc/89/71/20/838897120.db2.gz USVIARGLHHGJOJ-CYBMUJFWSA-N 1 2 303.362 1.129 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)[C@H]1CC[N@H+](CCS(C)(=O)=O)C1 ZINC001267769573 838925705 /nfs/dbraw/zinc/92/57/05/838925705.db2.gz BZLIXTLINSFIKK-KGLIPLIRSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)[C@H]1CC[N@@H+](CCS(C)(=O)=O)C1 ZINC001267769573 838925717 /nfs/dbraw/zinc/92/57/17/838925717.db2.gz BZLIXTLINSFIKK-KGLIPLIRSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)COCCOCC)C1 ZINC001267779159 838959722 /nfs/dbraw/zinc/95/97/22/838959722.db2.gz OMIBCEKJRYQLDW-ZDUSSCGKSA-N 1 2 304.818 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)COCCOCC)C1 ZINC001267779159 838959731 /nfs/dbraw/zinc/95/97/31/838959731.db2.gz OMIBCEKJRYQLDW-ZDUSSCGKSA-N 1 2 304.818 1.325 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H](OC)C2CCCCC2)C1 ZINC001268028880 839442179 /nfs/dbraw/zinc/44/21/79/839442179.db2.gz PFTKGBLHOWKOIE-IAGOWNOFSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H](OC)C2CCCCC2)C1 ZINC001268028880 839442182 /nfs/dbraw/zinc/44/21/82/839442182.db2.gz PFTKGBLHOWKOIE-IAGOWNOFSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)CCc2nccs2)C1 ZINC001268029856 839446225 /nfs/dbraw/zinc/44/62/25/839446225.db2.gz ZXZTUTPFCXOHQK-ZDUSSCGKSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)CCc2nccs2)C1 ZINC001268029856 839446233 /nfs/dbraw/zinc/44/62/33/839446233.db2.gz ZXZTUTPFCXOHQK-ZDUSSCGKSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1C[NH+](CC[C@@H]2CC2(Cl)Cl)C1 ZINC001268295081 839959167 /nfs/dbraw/zinc/95/91/67/839959167.db2.gz CXWWLPQEQGTQFS-MFKMUULPSA-N 1 2 321.248 1.698 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1C[NH+](CC[C@@H]2CC2(Cl)Cl)C1 ZINC001268295082 839959353 /nfs/dbraw/zinc/95/93/53/839959353.db2.gz CXWWLPQEQGTQFS-ZWNOBZJWSA-N 1 2 321.248 1.698 20 30 DDEDLO CCN(CC[NH2+]Cc1nnc(C(C)(C)C)o1)C(=O)C#CC(C)C ZINC001268448483 840205514 /nfs/dbraw/zinc/20/55/14/840205514.db2.gz KSPDXCDJMZAGMH-UHFFFAOYSA-N 1 2 320.437 1.965 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@H]2CCO[C@@H](C(C)C)C2)C1 ZINC001268467284 840248461 /nfs/dbraw/zinc/24/84/61/840248461.db2.gz CEQSTBJNQIQZSC-DOTOQJQBSA-N 1 2 324.465 1.831 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@H](C)n2nc(C)cc2C)C1 ZINC001268484684 840284218 /nfs/dbraw/zinc/28/42/18/840284218.db2.gz LHOAQBMRLBOXMP-HNNXBMFYSA-N 1 2 320.437 1.454 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)C[N@@H+](C)Cc1cc(OC)no1 ZINC001268747202 840751261 /nfs/dbraw/zinc/75/12/61/840751261.db2.gz UTKIHKXHFGTCAN-BNOWGMLFSA-N 1 2 323.393 1.211 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)C[N@H+](C)Cc1cc(OC)no1 ZINC001268747202 840751277 /nfs/dbraw/zinc/75/12/77/840751277.db2.gz UTKIHKXHFGTCAN-BNOWGMLFSA-N 1 2 323.393 1.211 20 30 DDEDLO C=CCOCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)ns1 ZINC001268944262 841023892 /nfs/dbraw/zinc/02/38/92/841023892.db2.gz QEVKJPPBTNHTTA-RYUDHWBXSA-N 1 2 323.418 1.253 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)ns1 ZINC001268944262 841023907 /nfs/dbraw/zinc/02/39/07/841023907.db2.gz QEVKJPPBTNHTTA-RYUDHWBXSA-N 1 2 323.418 1.253 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N[C@@H]1CC[N@H+](CC(=C)Cl)C1 ZINC001269177206 841298669 /nfs/dbraw/zinc/29/86/69/841298669.db2.gz ABQBSPLIFIAXFS-KGLIPLIRSA-N 1 2 313.829 1.400 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N[C@@H]1CC[N@@H+](CC(=C)Cl)C1 ZINC001269177206 841298679 /nfs/dbraw/zinc/29/86/79/841298679.db2.gz ABQBSPLIFIAXFS-KGLIPLIRSA-N 1 2 313.829 1.400 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(Cl)c(F)c1 ZINC001269307953 841500548 /nfs/dbraw/zinc/50/05/48/841500548.db2.gz AEHLKCKNIDPBJO-CYBMUJFWSA-N 1 2 324.783 1.933 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(Cl)c(F)c1 ZINC001269307953 841500553 /nfs/dbraw/zinc/50/05/53/841500553.db2.gz AEHLKCKNIDPBJO-CYBMUJFWSA-N 1 2 324.783 1.933 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(F)cc(Cl)c1 ZINC001269311799 841502068 /nfs/dbraw/zinc/50/20/68/841502068.db2.gz VYOUZMRUZXXMLE-OAHLLOKOSA-N 1 2 324.783 1.933 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(F)cc(Cl)c1 ZINC001269311799 841502070 /nfs/dbraw/zinc/50/20/70/841502070.db2.gz VYOUZMRUZXXMLE-OAHLLOKOSA-N 1 2 324.783 1.933 20 30 DDEDLO CC(C)(C)[C@@H](C[NH+]1CCOCC1)NC(=O)C#Cc1ccccc1 ZINC001142864111 861288260 /nfs/dbraw/zinc/28/82/60/861288260.db2.gz SHZFVIOWFFKAFZ-QGZVFWFLSA-N 1 2 314.429 1.901 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](C)Oc2cccc(F)c2)C1 ZINC001270648105 842770987 /nfs/dbraw/zinc/77/09/87/842770987.db2.gz AHNNEFUUQMXKML-CJNGLKHVSA-N 1 2 322.380 1.596 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H](C)Oc2cccc(F)c2)C1 ZINC001270648105 842770990 /nfs/dbraw/zinc/77/09/90/842770990.db2.gz AHNNEFUUQMXKML-CJNGLKHVSA-N 1 2 322.380 1.596 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H](C)c2c(C)nn(C)c2C)C1 ZINC001270701448 842833367 /nfs/dbraw/zinc/83/33/67/842833367.db2.gz TUASVUJTWJEUJX-SWLSCSKDSA-N 1 2 320.437 1.143 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H](C)c2c(C)nn(C)c2C)C1 ZINC001270701448 842833381 /nfs/dbraw/zinc/83/33/81/842833381.db2.gz TUASVUJTWJEUJX-SWLSCSKDSA-N 1 2 320.437 1.143 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C[C@H]2CCO[C@@H](C(C)C)C2)C1 ZINC001271373734 843532719 /nfs/dbraw/zinc/53/27/19/843532719.db2.gz FLPCQLUIXVKLBA-JKSUJKDBSA-N 1 2 322.449 1.014 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C(C)(C)c2ccccc2C)C1 ZINC001271401273 843560420 /nfs/dbraw/zinc/56/04/20/843560420.db2.gz ZWZSKDDSZMXKLT-UHFFFAOYSA-N 1 2 314.429 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cnc2c(c1)nnn2C ZINC001409584102 845365916 /nfs/dbraw/zinc/36/59/16/845365916.db2.gz PEAYKKJLKSHYNY-SNVBAGLBSA-N 1 2 322.800 1.166 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cnc2c(c1)nnn2C ZINC001409584102 845365919 /nfs/dbraw/zinc/36/59/19/845365919.db2.gz PEAYKKJLKSHYNY-SNVBAGLBSA-N 1 2 322.800 1.166 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@@H]1CCc2cnn(C)c2C1 ZINC001409614121 845422914 /nfs/dbraw/zinc/42/29/14/845422914.db2.gz NQXUGRJPCFGBBY-QWHCGFSZSA-N 1 2 324.856 1.714 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@@H]1CCc2cnn(C)c2C1 ZINC001409614121 845422924 /nfs/dbraw/zinc/42/29/24/845422924.db2.gz NQXUGRJPCFGBBY-QWHCGFSZSA-N 1 2 324.856 1.714 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O ZINC001154958025 861602939 /nfs/dbraw/zinc/60/29/39/861602939.db2.gz WVFJFRZPIHZBFZ-CYBMUJFWSA-N 1 2 304.456 1.214 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O ZINC001154958025 861602943 /nfs/dbraw/zinc/60/29/43/861602943.db2.gz WVFJFRZPIHZBFZ-CYBMUJFWSA-N 1 2 304.456 1.214 20 30 DDEDLO CC[C@@H](C)N1C(=O)COCC12C[NH+](Cc1cccc(C#N)c1)C2 ZINC001272646108 846459730 /nfs/dbraw/zinc/45/97/30/846459730.db2.gz LXSDNEBNVPKJCY-CQSZACIVSA-N 1 2 313.401 1.770 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cncc(Cl)c2)C1=O ZINC001272855960 847557609 /nfs/dbraw/zinc/55/76/09/847557609.db2.gz IXWKNAZNBNCIIZ-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cncc(Cl)c2)C1=O ZINC001272855960 847557615 /nfs/dbraw/zinc/55/76/15/847557615.db2.gz IXWKNAZNBNCIIZ-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1C[C@]2(CC[N@@H+](Cc3cccc(Cl)n3)C2)OCC1=O ZINC001272961519 847710108 /nfs/dbraw/zinc/71/01/08/847710108.db2.gz CVCUFQRXBPIUJE-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@]2(CC[N@H+](Cc3cccc(Cl)n3)C2)OCC1=O ZINC001272961519 847710113 /nfs/dbraw/zinc/71/01/13/847710113.db2.gz CVCUFQRXBPIUJE-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)CCC(F)F)C2)CC1 ZINC001327080440 861859917 /nfs/dbraw/zinc/85/99/17/861859917.db2.gz CNYSNCPAXMFVLX-CQSZACIVSA-N 1 2 313.392 1.274 20 30 DDEDLO CCOC(=O)c1c[nH]c2cc(N3CCO[C@@H](C#N)C3)[nH+]cc21 ZINC001155338089 861975633 /nfs/dbraw/zinc/97/56/33/861975633.db2.gz CCUVBSOIQOSPDZ-JTQLQIEISA-N 1 2 300.318 1.468 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cc(O)ccc2F)C1=O ZINC001273286284 849335257 /nfs/dbraw/zinc/33/52/57/849335257.db2.gz FNSCFDVUTATJIX-QGZVFWFLSA-N 1 2 302.349 1.731 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cc(O)ccc2F)C1=O ZINC001273286284 849335263 /nfs/dbraw/zinc/33/52/63/849335263.db2.gz FNSCFDVUTATJIX-QGZVFWFLSA-N 1 2 302.349 1.731 20 30 DDEDLO C=CCOCC(=O)N1CCOC2(C[NH+](CC/C=C/CC)C2)C1 ZINC001327315507 862056101 /nfs/dbraw/zinc/05/61/01/862056101.db2.gz VJOUAJFVGVAWHA-AATRIKPKSA-N 1 2 308.422 1.459 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+](C)[C@@H](C)CNC(=O)[C@@H](C)C#N ZINC001440905429 850579168 /nfs/dbraw/zinc/57/91/68/850579168.db2.gz BCUMQBSOGZWLIN-UWVGGRQHSA-N 1 2 311.817 1.478 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+](C)[C@@H](C)CNC(=O)[C@@H](C)C#N ZINC001440905429 850579173 /nfs/dbraw/zinc/57/91/73/850579173.db2.gz BCUMQBSOGZWLIN-UWVGGRQHSA-N 1 2 311.817 1.478 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCC[N@H+](C)Cc1nnc(C(C)C)[nH]1 ZINC001273508248 851040996 /nfs/dbraw/zinc/04/09/96/851040996.db2.gz XMJBYPVGLYXEGB-UHFFFAOYSA-N 1 2 319.453 1.868 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCC[N@@H+](C)Cc1nnc(C(C)C)[nH]1 ZINC001273508248 851041001 /nfs/dbraw/zinc/04/10/01/851041001.db2.gz XMJBYPVGLYXEGB-UHFFFAOYSA-N 1 2 319.453 1.868 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CCC[N@H+](C)Cc2nccn2C)cc1 ZINC001273540855 851075566 /nfs/dbraw/zinc/07/55/66/851075566.db2.gz FJPUCSAFKAHNAZ-UHFFFAOYSA-N 1 2 324.428 1.996 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CCC[N@@H+](C)Cc2nccn2C)cc1 ZINC001273540855 851075578 /nfs/dbraw/zinc/07/55/78/851075578.db2.gz FJPUCSAFKAHNAZ-UHFFFAOYSA-N 1 2 324.428 1.996 20 30 DDEDLO C#CCCC[N@H+]1C[C@]2(F)CN(CCC(F)(F)F)C(=O)[C@]2(F)C1 ZINC001273541826 851077357 /nfs/dbraw/zinc/07/73/57/851077357.db2.gz KYTQHRUWTKXJJT-QWHCGFSZSA-N 1 2 324.293 1.927 20 30 DDEDLO C#CCCC[N@@H+]1C[C@]2(F)CN(CCC(F)(F)F)C(=O)[C@]2(F)C1 ZINC001273541826 851077366 /nfs/dbraw/zinc/07/73/66/851077366.db2.gz KYTQHRUWTKXJJT-QWHCGFSZSA-N 1 2 324.293 1.927 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1COC2(CN(Cc3c[nH+]cn3C)C2)C1 ZINC001273713352 851263167 /nfs/dbraw/zinc/26/31/67/851263167.db2.gz QUQFLSZOABBKCP-AWEZNQCLSA-N 1 2 318.421 1.236 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](CCc3ccc(C)cc3)C[C@@]2(F)C1=O ZINC001273721649 851273945 /nfs/dbraw/zinc/27/39/45/851273945.db2.gz QGHJJFXOOAQDDJ-ZWKOTPCHSA-N 1 2 318.367 1.745 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](CCc3ccc(C)cc3)C[C@@]2(F)C1=O ZINC001273721649 851273951 /nfs/dbraw/zinc/27/39/51/851273951.db2.gz QGHJJFXOOAQDDJ-ZWKOTPCHSA-N 1 2 318.367 1.745 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H](C)n1cncn1 ZINC001327509624 862227149 /nfs/dbraw/zinc/22/71/49/862227149.db2.gz WOUNZKZNGHNHDB-PBHICJAKSA-N 1 2 323.400 1.015 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H](C)n1cncn1 ZINC001327509624 862227162 /nfs/dbraw/zinc/22/71/62/862227162.db2.gz WOUNZKZNGHNHDB-PBHICJAKSA-N 1 2 323.400 1.015 20 30 DDEDLO C=CCN1CC2(CCC1=O)CC[NH+](Cc1nncn1C)CC2 ZINC001273821862 851385775 /nfs/dbraw/zinc/38/57/75/851385775.db2.gz BZVCCJJLZASMDT-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CCF)CCOCC1 ZINC001110651140 851565398 /nfs/dbraw/zinc/56/53/98/851565398.db2.gz PFHAKZXDMIDVLQ-KFWWJZLASA-N 1 2 308.397 1.498 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CCF)CCOCC1 ZINC001110651140 851565401 /nfs/dbraw/zinc/56/54/01/851565401.db2.gz PFHAKZXDMIDVLQ-KFWWJZLASA-N 1 2 308.397 1.498 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@]2(C)CCOC2)C1 ZINC001150498547 862277890 /nfs/dbraw/zinc/27/78/90/862277890.db2.gz PKVYZBXRLWKYJX-HIFRSBDPSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@]2(C)CCOC2)C1 ZINC001150498547 862277902 /nfs/dbraw/zinc/27/79/02/862277902.db2.gz PKVYZBXRLWKYJX-HIFRSBDPSA-N 1 2 316.829 1.373 20 30 DDEDLO Cc1noc(C)c1CNC(=O)[C@@H]1CC12CC[NH+](CCC#N)CC2 ZINC001274030973 851869870 /nfs/dbraw/zinc/86/98/70/851869870.db2.gz CUWTWDGKDIEOBD-HNNXBMFYSA-N 1 2 316.405 1.923 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)CN(Cc3cccc(N)[nH+]3)C[C@@]2(F)C1=O ZINC001274159598 851987553 /nfs/dbraw/zinc/98/75/53/851987553.db2.gz RXXJOQZHNNUURX-JKSUJKDBSA-N 1 2 322.359 1.314 20 30 DDEDLO C#CCN1C[C@]2(CC[N@@H+](Cc3ncccc3Cl)C2)OCC1=O ZINC001274246185 852089618 /nfs/dbraw/zinc/08/96/18/852089618.db2.gz IQPKXMSSBWZHLJ-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@]2(CC[N@H+](Cc3ncccc3Cl)C2)OCC1=O ZINC001274246185 852089634 /nfs/dbraw/zinc/08/96/34/852089634.db2.gz IQPKXMSSBWZHLJ-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@@H+](Cc3nc(C)co3)C2)OCC1=O ZINC001274381019 852216222 /nfs/dbraw/zinc/21/62/22/852216222.db2.gz WJXKFQVQBMTMGW-MRXNPFEDSA-N 1 2 305.378 1.362 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@H+](Cc3nc(C)co3)C2)OCC1=O ZINC001274381019 852216216 /nfs/dbraw/zinc/21/62/16/852216216.db2.gz WJXKFQVQBMTMGW-MRXNPFEDSA-N 1 2 305.378 1.362 20 30 DDEDLO C=C(C)CCC(=O)NCCN(C)C(=O)Cc1c[nH+]ccc1OC ZINC001296105099 852476551 /nfs/dbraw/zinc/47/65/51/852476551.db2.gz SBPUZGMVQXWSED-UHFFFAOYSA-N 1 2 319.405 1.564 20 30 DDEDLO C#CCN(C(=O)CCCn1cc[nH+]c1)C1CCN(C(C)=O)CC1 ZINC001299463146 852919620 /nfs/dbraw/zinc/91/96/20/852919620.db2.gz JXJDLLJWDCVHIL-UHFFFAOYSA-N 1 2 316.405 1.136 20 30 DDEDLO CC(C)NC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cccc(C#N)n1 ZINC001275605046 853424550 /nfs/dbraw/zinc/42/45/50/853424550.db2.gz DFVAZDFILRVPJP-IYBDPMFKSA-N 1 2 313.405 1.720 20 30 DDEDLO CC(C)NC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cccc(C#N)n1 ZINC001275605046 853424556 /nfs/dbraw/zinc/42/45/56/853424556.db2.gz DFVAZDFILRVPJP-IYBDPMFKSA-N 1 2 313.405 1.720 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[NH2+]Cc1noc(C2CCCCC2)n1 ZINC001155836348 862488106 /nfs/dbraw/zinc/48/81/06/862488106.db2.gz MXISHDIVVBIKJN-GFCCVEGCSA-N 1 2 319.409 1.873 20 30 DDEDLO CC#CC[N@H+](C)[C@@H](C)CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001276003000 854128909 /nfs/dbraw/zinc/12/89/09/854128909.db2.gz OYPDHWVWCMZJNJ-ZDUSSCGKSA-N 1 2 302.422 1.752 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H](C)CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001276003000 854128913 /nfs/dbraw/zinc/12/89/13/854128913.db2.gz OYPDHWVWCMZJNJ-ZDUSSCGKSA-N 1 2 302.422 1.752 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2cccc3cccnc32)C1 ZINC001276062446 854690026 /nfs/dbraw/zinc/69/00/26/854690026.db2.gz KKQNAAGSUMOPRL-UHFFFAOYSA-N 1 2 323.396 1.425 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H](C)[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111245154 855217882 /nfs/dbraw/zinc/21/78/82/855217882.db2.gz DMUURFQNQMKIHS-OCCSQVGLSA-N 1 2 304.394 1.494 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001298082475 855484246 /nfs/dbraw/zinc/48/42/46/855484246.db2.gz YRPQRBSDEINSLF-UHFFFAOYSA-N 1 2 306.410 1.615 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001298082475 855484248 /nfs/dbraw/zinc/48/42/48/855484248.db2.gz YRPQRBSDEINSLF-UHFFFAOYSA-N 1 2 306.410 1.615 20 30 DDEDLO C=CCOCCCC(=O)NCCC[NH2+]Cc1noc(C2CC2)n1 ZINC001156165103 862766164 /nfs/dbraw/zinc/76/61/64/862766164.db2.gz ACPLODIRCHROQS-UHFFFAOYSA-N 1 2 322.409 1.526 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001072629799 857532522 /nfs/dbraw/zinc/53/25/22/857532522.db2.gz MQMWFPWTWJTTTP-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H](C)NC(=O)CC(C)(C)C ZINC001442562544 858559206 /nfs/dbraw/zinc/55/92/06/858559206.db2.gz BMJWVHBEJXJJOH-LBPRGKRZSA-N 1 2 317.861 1.728 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H](C)NC(=O)CC(C)(C)C ZINC001442562544 858559209 /nfs/dbraw/zinc/55/92/09/858559209.db2.gz BMJWVHBEJXJJOH-LBPRGKRZSA-N 1 2 317.861 1.728 20 30 DDEDLO C=CCn1cc(C[N@@H+]2Cc3nnn(C)c3[C@@H](COCC)C2)cn1 ZINC001139771978 860478403 /nfs/dbraw/zinc/47/84/03/860478403.db2.gz VXMZZPOKLZOFRQ-CQSZACIVSA-N 1 2 316.409 1.334 20 30 DDEDLO C=CCn1cc(C[N@H+]2Cc3nnn(C)c3[C@@H](COCC)C2)cn1 ZINC001139771978 860478409 /nfs/dbraw/zinc/47/84/09/860478409.db2.gz VXMZZPOKLZOFRQ-CQSZACIVSA-N 1 2 316.409 1.334 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001140139707 860582238 /nfs/dbraw/zinc/58/22/38/860582238.db2.gz JPECPLSUPUNPCH-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001140139707 860582240 /nfs/dbraw/zinc/58/22/40/860582240.db2.gz JPECPLSUPUNPCH-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO Cc1nocc1C[N@H+]1CCC[C@](CO)(NC(=O)C#CC(C)C)C1 ZINC001325832580 860866303 /nfs/dbraw/zinc/86/63/03/860866303.db2.gz CMUVUZCYLTYXNW-KRWDZBQOSA-N 1 2 319.405 1.086 20 30 DDEDLO Cc1nocc1C[N@@H+]1CCC[C@](CO)(NC(=O)C#CC(C)C)C1 ZINC001325832580 860866310 /nfs/dbraw/zinc/86/63/10/860866310.db2.gz CMUVUZCYLTYXNW-KRWDZBQOSA-N 1 2 319.405 1.086 20 30 DDEDLO C#CC[N@H+]1CCC[C@](CO)(NC(=O)c2ccc(CC)s2)C1 ZINC001325852292 860887240 /nfs/dbraw/zinc/88/72/40/860887240.db2.gz PJDFPRKSYSFHLN-INIZCTEOSA-N 1 2 306.431 1.500 20 30 DDEDLO C#CC[N@@H+]1CCC[C@](CO)(NC(=O)c2ccc(CC)s2)C1 ZINC001325852292 860887245 /nfs/dbraw/zinc/88/72/45/860887245.db2.gz PJDFPRKSYSFHLN-INIZCTEOSA-N 1 2 306.431 1.500 20 30 DDEDLO O=C(CC#Cc1ccccc1)NCC[NH2+]Cc1cnsn1 ZINC001151953067 863078393 /nfs/dbraw/zinc/07/83/93/863078393.db2.gz RULYDURKHYMLFY-UHFFFAOYSA-N 1 2 300.387 1.186 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1ccn(C)n1 ZINC001328721111 863175575 /nfs/dbraw/zinc/17/55/75/863175575.db2.gz SACZBMIEENPIGN-MRXNPFEDSA-N 1 2 302.422 1.502 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1ccn(C)n1 ZINC001328721111 863175578 /nfs/dbraw/zinc/17/55/78/863175578.db2.gz SACZBMIEENPIGN-MRXNPFEDSA-N 1 2 302.422 1.502 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)c1cccc2c(C#N)c[nH]c21 ZINC001157173868 863632905 /nfs/dbraw/zinc/63/29/05/863632905.db2.gz PWSBDAORWBUEEA-UHFFFAOYSA-N 1 2 323.356 1.812 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(C2CCC2)no1 ZINC001153148517 863733290 /nfs/dbraw/zinc/73/32/90/863733290.db2.gz FIQQIHCMHMRZDN-NSHDSACASA-N 1 2 308.382 1.134 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001153160594 863738733 /nfs/dbraw/zinc/73/87/33/863738733.db2.gz RPQHFDCUMULLSH-NWDGAFQWSA-N 1 2 324.425 1.725 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@H]2CCC=CCCC2)C1 ZINC001329669505 863781354 /nfs/dbraw/zinc/78/13/54/863781354.db2.gz UTTWJFDLXJICRX-INIZCTEOSA-N 1 2 304.434 1.699 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cc(C)on1 ZINC001153302505 863812634 /nfs/dbraw/zinc/81/26/34/863812634.db2.gz NPVUTCZISXHNFQ-CYBMUJFWSA-N 1 2 307.394 1.350 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cc(C)on1 ZINC001153302505 863812639 /nfs/dbraw/zinc/81/26/39/863812639.db2.gz NPVUTCZISXHNFQ-CYBMUJFWSA-N 1 2 307.394 1.350 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cscn1 ZINC001153306014 863814025 /nfs/dbraw/zinc/81/40/25/863814025.db2.gz DALWBARSLQBJNG-CYBMUJFWSA-N 1 2 309.435 1.510 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cscn1 ZINC001153306014 863814028 /nfs/dbraw/zinc/81/40/28/863814028.db2.gz DALWBARSLQBJNG-CYBMUJFWSA-N 1 2 309.435 1.510 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)cs1 ZINC001153327133 863826374 /nfs/dbraw/zinc/82/63/74/863826374.db2.gz JSRNNJYYTLQMHG-KGLIPLIRSA-N 1 2 323.462 1.816 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)cs1 ZINC001153327133 863826379 /nfs/dbraw/zinc/82/63/79/863826379.db2.gz JSRNNJYYTLQMHG-KGLIPLIRSA-N 1 2 323.462 1.816 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1cnnn1C ZINC001157884917 864222516 /nfs/dbraw/zinc/22/25/16/864222516.db2.gz JSMSVVRWFQLRIQ-OAHLLOKOSA-N 1 2 317.437 1.432 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1cnnn1C ZINC001157884917 864222521 /nfs/dbraw/zinc/22/25/21/864222521.db2.gz JSMSVVRWFQLRIQ-OAHLLOKOSA-N 1 2 317.437 1.432 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC001331264232 864974171 /nfs/dbraw/zinc/97/41/71/864974171.db2.gz CFWDRODWOHQLCC-ZDUSSCGKSA-N 1 2 312.373 1.375 20 30 DDEDLO CCn1cc(C[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)nn1 ZINC001159587535 865415171 /nfs/dbraw/zinc/41/51/71/865415171.db2.gz SVUZJKSUGZHDKT-ZDUSSCGKSA-N 1 2 316.409 1.096 20 30 DDEDLO CCn1cc(C[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)nn1 ZINC001159587535 865415180 /nfs/dbraw/zinc/41/51/80/865415180.db2.gz SVUZJKSUGZHDKT-ZDUSSCGKSA-N 1 2 316.409 1.096 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C[C@@H]1C ZINC001331956533 865458391 /nfs/dbraw/zinc/45/83/91/865458391.db2.gz FJSVEUBCYFATFR-AAEUAGOBSA-N 1 2 304.394 1.992 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@@H]1[N@H+]([C@@H](C)c1nncn1C)CC2 ZINC001332085055 865562931 /nfs/dbraw/zinc/56/29/31/865562931.db2.gz YTUKJPBVCDWVOQ-ZQIUZPCESA-N 1 2 317.437 1.956 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@@H]1[N@@H+]([C@@H](C)c1nncn1C)CC2 ZINC001332085055 865562934 /nfs/dbraw/zinc/56/29/34/865562934.db2.gz YTUKJPBVCDWVOQ-ZQIUZPCESA-N 1 2 317.437 1.956 20 30 DDEDLO O=C(C#CC1CC1)N[C@@]12CCC[C@H]1[N@H+](Cc1cnon1)CC2 ZINC001332093234 865571653 /nfs/dbraw/zinc/57/16/53/865571653.db2.gz WWRUYZBKUXGOOI-GDBMZVCRSA-N 1 2 300.362 1.096 20 30 DDEDLO O=C(C#CC1CC1)N[C@@]12CCC[C@H]1[N@@H+](Cc1cnon1)CC2 ZINC001332093234 865571660 /nfs/dbraw/zinc/57/16/60/865571660.db2.gz WWRUYZBKUXGOOI-GDBMZVCRSA-N 1 2 300.362 1.096 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC1=CC[N@H+](Cc2cnon2)CC1 ZINC001159979024 865627342 /nfs/dbraw/zinc/62/73/42/865627342.db2.gz GINYWSFUYXWKCQ-INIZCTEOSA-N 1 2 320.393 1.035 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC1=CC[N@@H+](Cc2cnon2)CC1 ZINC001159979024 865627352 /nfs/dbraw/zinc/62/73/52/865627352.db2.gz GINYWSFUYXWKCQ-INIZCTEOSA-N 1 2 320.393 1.035 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@H](NC(=O)C#CC(C)C)[C@@H]2C)cn1 ZINC001332176160 865640295 /nfs/dbraw/zinc/64/02/95/865640295.db2.gz LIDYCFYLTYWDPY-RDJZCZTQSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@H](NC(=O)C#CC(C)C)[C@@H]2C)cn1 ZINC001332176160 865640303 /nfs/dbraw/zinc/64/03/03/865640303.db2.gz LIDYCFYLTYWDPY-RDJZCZTQSA-N 1 2 314.433 1.914 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC[N@H+](C)CC(=O)N[C@@H](C)C(C)C ZINC001319996339 866423499 /nfs/dbraw/zinc/42/34/99/866423499.db2.gz ZRLZWEPYUJLBAR-HNNXBMFYSA-N 1 2 309.454 1.197 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC[N@@H+](C)CC(=O)N[C@@H](C)C(C)C ZINC001319996339 866423502 /nfs/dbraw/zinc/42/35/02/866423502.db2.gz ZRLZWEPYUJLBAR-HNNXBMFYSA-N 1 2 309.454 1.197 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@H+]1CC(=O)N(C)C(C)C ZINC001323278347 866494472 /nfs/dbraw/zinc/49/44/72/866494472.db2.gz PSKUHJSDGSVPRA-CQSZACIVSA-N 1 2 309.454 1.646 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@@H+]1CC(=O)N(C)C(C)C ZINC001323278347 866494477 /nfs/dbraw/zinc/49/44/77/866494477.db2.gz PSKUHJSDGSVPRA-CQSZACIVSA-N 1 2 309.454 1.646 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2([NH2+][C@@H](C)c3ncc(C)o3)CC2)cn1 ZINC001323595664 866711616 /nfs/dbraw/zinc/71/16/16/866711616.db2.gz HKBNYABKFDCDKB-ZDUSSCGKSA-N 1 2 324.384 1.973 20 30 DDEDLO C=CC[C@H](NC(=O)Cc1cn2cccc(C)c2[nH+]1)C(=O)OCC ZINC001320419706 866721988 /nfs/dbraw/zinc/72/19/88/866721988.db2.gz HKARFQYMRASWNV-AWEZNQCLSA-N 1 2 315.373 1.809 20 30 DDEDLO C[C@@H](CC[NH2+]Cc1noc(C2CC2)n1)NC(=O)C#CC1CC1 ZINC001320425241 866725846 /nfs/dbraw/zinc/72/58/46/866725846.db2.gz XEIHBQNSMAQONB-NSHDSACASA-N 1 2 302.378 1.345 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC([N@H+](CC#N)CC2CC2)CC1 ZINC001323735918 866806600 /nfs/dbraw/zinc/80/66/00/866806600.db2.gz GVSYDLJDGGKVDN-SJORKVTESA-N 1 2 317.433 1.804 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC([N@@H+](CC#N)CC2CC2)CC1 ZINC001323735918 866806618 /nfs/dbraw/zinc/80/66/18/866806618.db2.gz GVSYDLJDGGKVDN-SJORKVTESA-N 1 2 317.433 1.804 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CO[C@@H]2CCCC[C@H]2C)C1 ZINC001323992642 866998474 /nfs/dbraw/zinc/99/84/74/866998474.db2.gz GAWGSQPEPGUEME-NVXWUHKLSA-N 1 2 324.465 1.927 20 30 DDEDLO C[C@H](CC(=O)N[C@@H](CNCC#N)C1CCCC1)n1cc[nH+]c1 ZINC001324507785 867318976 /nfs/dbraw/zinc/31/89/76/867318976.db2.gz XHBSAJNQAPUSAE-HIFRSBDPSA-N 1 2 303.410 1.622 20 30 DDEDLO C#CCCCC(=O)NCC1(O)C[NH+](CCc2ccc(C)cc2)C1 ZINC001325201076 867860350 /nfs/dbraw/zinc/86/03/50/867860350.db2.gz MEXBEEKIMWIWMF-UHFFFAOYSA-N 1 2 314.429 1.504 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cccc3ncccc32)C1 ZINC001325246728 867887211 /nfs/dbraw/zinc/88/72/11/867887211.db2.gz NLTSHAKXGDAYRC-UHFFFAOYSA-N 1 2 309.369 1.035 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)N[C@](CCC)(C2CC2)C1=O ZINC001325277576 867909674 /nfs/dbraw/zinc/90/96/74/867909674.db2.gz HPKVYJFZKGFLCB-QGZVFWFLSA-N 1 2 303.406 1.790 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)N[C@](CCC)(C2CC2)C1=O ZINC001325277576 867909685 /nfs/dbraw/zinc/90/96/85/867909685.db2.gz HPKVYJFZKGFLCB-QGZVFWFLSA-N 1 2 303.406 1.790 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001325286200 867915389 /nfs/dbraw/zinc/91/53/89/867915389.db2.gz URPKBGKUMMOHDW-INIZCTEOSA-N 1 2 314.429 1.757 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)COC2CCC(C)CC2)C1 ZINC001325318451 867940400 /nfs/dbraw/zinc/94/04/00/867940400.db2.gz LQFRSOXXPUBZQN-UHFFFAOYSA-N 1 2 310.438 1.321 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)C[N@H+](C)CC=C(Cl)Cl ZINC001322159017 868012993 /nfs/dbraw/zinc/01/29/93/868012993.db2.gz XUOQRRBGTJWLIU-WDEREUQCSA-N 1 2 307.221 1.780 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)C[N@@H+](C)CC=C(Cl)Cl ZINC001322159017 868013009 /nfs/dbraw/zinc/01/30/09/868013009.db2.gz XUOQRRBGTJWLIU-WDEREUQCSA-N 1 2 307.221 1.780 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001335067046 868040078 /nfs/dbraw/zinc/04/00/78/868040078.db2.gz JFLVJSVSWVDKJA-GUYCJALGSA-N 1 2 318.421 1.449 20 30 DDEDLO Cc1nc(N2CCOCC2)sc1C=[NH+]OC1CCOCC1 ZINC001325764914 868335139 /nfs/dbraw/zinc/33/51/39/868335139.db2.gz YOXSNDYPLCWVBR-UHFFFAOYSA-N 1 2 311.407 1.818 20 30 DDEDLO C#CC[N@@H+](CC(=O)c1[nH]c(C)c(C(=O)OC)c1C)CC1CC1 ZINC001335588620 868403254 /nfs/dbraw/zinc/40/32/54/868403254.db2.gz KDCBEQMFXCLEKU-UHFFFAOYSA-N 1 2 302.374 1.946 20 30 DDEDLO C#CC[N@H+](CC(=O)c1[nH]c(C)c(C(=O)OC)c1C)CC1CC1 ZINC001335588620 868403259 /nfs/dbraw/zinc/40/32/59/868403259.db2.gz KDCBEQMFXCLEKU-UHFFFAOYSA-N 1 2 302.374 1.946 20 30 DDEDLO CC#CCCCC(=O)NCCC[NH2+]Cc1nsc(N(C)C)n1 ZINC001164262837 869071927 /nfs/dbraw/zinc/07/19/27/869071927.db2.gz MYPLNZVXOSEHQE-UHFFFAOYSA-N 1 2 323.466 1.394 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCCC3(CNCC#N)CC3)ccn12 ZINC001164536158 869267187 /nfs/dbraw/zinc/26/71/87/869267187.db2.gz GPIUHAGZNNSYQP-UHFFFAOYSA-N 1 2 311.389 1.656 20 30 DDEDLO CN(C)c1[nH+]ccc2c1CN(c1ncc(C#N)cc1[N+](=O)[O-])CC2 ZINC001337376158 869458736 /nfs/dbraw/zinc/45/87/36/869458736.db2.gz PRUZAOFCWSIJCD-UHFFFAOYSA-N 1 2 324.344 1.885 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[NH2+]Cc2noc(CCOC)n2)C1 ZINC001166245912 869920250 /nfs/dbraw/zinc/92/02/50/869920250.db2.gz VFWMLNRTQHCPHD-UHFFFAOYSA-N 1 2 322.409 1.211 20 30 DDEDLO CC(C)=C[C@@H]1[C@@H](C(=O)NCC[NH+]2CCN(CC#N)CC2)C1(C)C ZINC001316966178 870007025 /nfs/dbraw/zinc/00/70/25/870007025.db2.gz YVFIFVWJMMDYAB-CVEARBPZSA-N 1 2 318.465 1.482 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)c2cc(C)co2)C1 ZINC001316984994 870066614 /nfs/dbraw/zinc/06/66/14/870066614.db2.gz GWPIDWQIGVTJNN-CHWSQXEVSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(=O)c2cc(C)co2)C1 ZINC001316984994 870066628 /nfs/dbraw/zinc/06/66/28/870066628.db2.gz GWPIDWQIGVTJNN-CHWSQXEVSA-N 1 2 305.378 1.083 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)/C=C(/C)CC ZINC001317043386 870156182 /nfs/dbraw/zinc/15/61/82/870156182.db2.gz RFFLQPAWNNANKT-XRQILJBCSA-N 1 2 317.433 1.156 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)/C=C(/C)CC ZINC001317043386 870156188 /nfs/dbraw/zinc/15/61/88/870156188.db2.gz RFFLQPAWNNANKT-XRQILJBCSA-N 1 2 317.433 1.156 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC001317046620 870162110 /nfs/dbraw/zinc/16/21/10/870162110.db2.gz YGIDXIBINUIMTQ-LSDHHAIUSA-N 1 2 315.421 1.139 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H](C)CNC(=O)Cn1cc[nH+]c1 ZINC001297757040 870172898 /nfs/dbraw/zinc/17/28/98/870172898.db2.gz KXADPNNVLHHJHG-ZDUSSCGKSA-N 1 2 306.410 1.354 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001317304398 870610305 /nfs/dbraw/zinc/61/03/05/870610305.db2.gz VKIYVXJINDDGDA-WFASDCNBSA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@]1(C)CCC[C@@H]1CC ZINC001317451745 870862948 /nfs/dbraw/zinc/86/29/48/870862948.db2.gz PUXIPNRFIUUHNM-WMLDXEAASA-N 1 2 309.454 1.553 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@]1(C)CCC[C@@H]1CC ZINC001317451745 870862956 /nfs/dbraw/zinc/86/29/56/870862956.db2.gz PUXIPNRFIUUHNM-WMLDXEAASA-N 1 2 309.454 1.553 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001299096655 870895712 /nfs/dbraw/zinc/89/57/12/870895712.db2.gz VZSQTHLGOITJKF-YOEHRIQHSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001299096655 870895720 /nfs/dbraw/zinc/89/57/20/870895720.db2.gz VZSQTHLGOITJKF-YOEHRIQHSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(CCC(=O)OC)C(=O)NCCCN(C)c1cccc[nH+]1 ZINC001302975325 871091459 /nfs/dbraw/zinc/09/14/59/871091459.db2.gz AJXCXGALUBBWFW-UHFFFAOYSA-N 1 2 305.378 1.534 20 30 DDEDLO Cc1[nH+]c[nH]c1CN1CCN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC001204587718 871164227 /nfs/dbraw/zinc/16/42/27/871164227.db2.gz JDQNKIHKKZUWJL-GFCCVEGCSA-N 1 2 305.382 1.663 20 30 DDEDLO CC(C)[C@@H](CCNC(=O)[C@@H](C)C#N)NC(=O)CCc1[nH]cc[nH+]1 ZINC001381691612 882491658 /nfs/dbraw/zinc/49/16/58/882491658.db2.gz DJJSBXPDJOJLLU-QWHCGFSZSA-N 1 2 319.409 1.149 20 30 DDEDLO COCC#CC[NH2+]CC1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001205350257 871506580 /nfs/dbraw/zinc/50/65/80/871506580.db2.gz UFHAFDMANSHXIS-GFCCVEGCSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[NH2+]CC1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001205350257 871506589 /nfs/dbraw/zinc/50/65/89/871506589.db2.gz UFHAFDMANSHXIS-GFCCVEGCSA-N 1 2 324.318 1.365 20 30 DDEDLO C=CC[N@@H+](C)CCN(CC)S(=O)(=O)c1cc(O)cc(F)c1 ZINC001309664136 871587373 /nfs/dbraw/zinc/58/73/73/871587373.db2.gz FAJSQLGICDOVMQ-UHFFFAOYSA-N 1 2 316.398 1.660 20 30 DDEDLO C=CC[N@H+](C)CCN(CC)S(=O)(=O)c1cc(O)cc(F)c1 ZINC001309664136 871587394 /nfs/dbraw/zinc/58/73/94/871587394.db2.gz FAJSQLGICDOVMQ-UHFFFAOYSA-N 1 2 316.398 1.660 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2C=CC=CC=C2)C1 ZINC001317933791 871635236 /nfs/dbraw/zinc/63/52/36/871635236.db2.gz HTKZAGOHQWGKIW-MRXNPFEDSA-N 1 2 315.417 1.168 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C2C=CC=CC=C2)C1 ZINC001317933791 871635245 /nfs/dbraw/zinc/63/52/45/871635245.db2.gz HTKZAGOHQWGKIW-MRXNPFEDSA-N 1 2 315.417 1.168 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+]([C@H](C)c2nnc(C)s2)CC1 ZINC001226598814 882531059 /nfs/dbraw/zinc/53/10/59/882531059.db2.gz LHULSKWVAOIHFK-LLVKDONJSA-N 1 2 324.450 1.691 20 30 DDEDLO CCn1nnc(C)c1C[N@@H+]1CCC[C@H](NC(=O)C#CC2CC2)C1 ZINC001317968895 871658759 /nfs/dbraw/zinc/65/87/59/871658759.db2.gz JWOAMNOMZTVFNZ-HNNXBMFYSA-N 1 2 315.421 1.100 20 30 DDEDLO CCn1nnc(C)c1C[N@H+]1CCC[C@H](NC(=O)C#CC2CC2)C1 ZINC001317968895 871658764 /nfs/dbraw/zinc/65/87/64/871658764.db2.gz JWOAMNOMZTVFNZ-HNNXBMFYSA-N 1 2 315.421 1.100 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001317993739 871673703 /nfs/dbraw/zinc/67/37/03/871673703.db2.gz BJEBRSHVBNNEKP-INIZCTEOSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@H+](Cc2cnc(C)nc2)C1 ZINC001317993739 871673712 /nfs/dbraw/zinc/67/37/12/871673712.db2.gz BJEBRSHVBNNEKP-INIZCTEOSA-N 1 2 318.421 1.458 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001317987848 871674908 /nfs/dbraw/zinc/67/49/08/871674908.db2.gz MTKBTXBOEMTEJL-JYJNAYRXSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001317987848 871674914 /nfs/dbraw/zinc/67/49/14/871674914.db2.gz MTKBTXBOEMTEJL-JYJNAYRXSA-N 1 2 319.449 1.143 20 30 DDEDLO N#CCSCC(=O)NC1C[NH+](CCCc2cccc(F)c2)C1 ZINC001318002622 871679290 /nfs/dbraw/zinc/67/92/90/871679290.db2.gz NRMWLBHITDIQKT-UHFFFAOYSA-N 1 2 321.421 1.815 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1C[NH+](C[C@H](O)Cc2ccccc2)C1 ZINC001318060584 871720010 /nfs/dbraw/zinc/72/00/10/871720010.db2.gz IHFLTWCEBQBWKT-MJGOQNOKSA-N 1 2 316.445 1.993 20 30 DDEDLO Cc1nc(C[N@@H+](C)CCOCCN(C)C(=O)[C@@H](C)C#N)co1 ZINC001316761586 871829781 /nfs/dbraw/zinc/82/97/81/871829781.db2.gz OJFBUEPCSHKKLV-LBPRGKRZSA-N 1 2 308.382 1.050 20 30 DDEDLO Cc1nc(C[N@H+](C)CCOCCN(C)C(=O)[C@@H](C)C#N)co1 ZINC001316761586 871829800 /nfs/dbraw/zinc/82/98/00/871829800.db2.gz OJFBUEPCSHKKLV-LBPRGKRZSA-N 1 2 308.382 1.050 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2ccnc(Cl)c2)C1 ZINC001318240841 871866392 /nfs/dbraw/zinc/86/63/92/871866392.db2.gz PLBLIXRFNXXYDW-ZDUSSCGKSA-N 1 2 309.797 1.628 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2ccnc(Cl)c2)C1 ZINC001318240841 871866411 /nfs/dbraw/zinc/86/64/11/871866411.db2.gz PLBLIXRFNXXYDW-ZDUSSCGKSA-N 1 2 309.797 1.628 20 30 DDEDLO CC(C)n1ccc(C[NH+]2CCC(NC(=O)[C@H](C)C#N)CC2)n1 ZINC001226640966 882561686 /nfs/dbraw/zinc/56/16/86/882561686.db2.gz SOCINECIZCZQMF-CYBMUJFWSA-N 1 2 303.410 1.704 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001318331338 871938940 /nfs/dbraw/zinc/93/89/40/871938940.db2.gz OPVFFHUTEOHXKC-GOSISDBHSA-N 1 2 310.372 1.781 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001318331338 871938951 /nfs/dbraw/zinc/93/89/51/871938951.db2.gz OPVFFHUTEOHXKC-GOSISDBHSA-N 1 2 310.372 1.781 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CCC(c2c[nH+]cn2C)CC1 ZINC001342009770 871947901 /nfs/dbraw/zinc/94/79/01/871947901.db2.gz YLHIZMIQOGPFIU-OAHLLOKOSA-N 1 2 318.421 1.738 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(C)CC1 ZINC001342060935 871979508 /nfs/dbraw/zinc/97/95/08/871979508.db2.gz BASCYGRJURZSJC-UONOGXRCSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(C)CC1 ZINC001342060935 871979515 /nfs/dbraw/zinc/97/95/15/871979515.db2.gz BASCYGRJURZSJC-UONOGXRCSA-N 1 2 305.426 1.438 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001318425436 872028270 /nfs/dbraw/zinc/02/82/70/872028270.db2.gz PLQYIOGYKQWSTA-QGZVFWFLSA-N 1 2 316.449 1.955 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001318425436 872028286 /nfs/dbraw/zinc/02/82/86/872028286.db2.gz PLQYIOGYKQWSTA-QGZVFWFLSA-N 1 2 316.449 1.955 20 30 DDEDLO C=CCOCCCC(=O)NC1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001226760928 882635529 /nfs/dbraw/zinc/63/55/29/882635529.db2.gz VSTOJVLMHMTGIO-UHFFFAOYSA-N 1 2 320.437 1.484 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1Cc1ccc[nH]c1=O ZINC001207005201 872919792 /nfs/dbraw/zinc/91/97/92/872919792.db2.gz YNYHWIDIBUDLGS-CQSZACIVSA-N 1 2 315.377 1.218 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1Cc1ccc[nH]c1=O ZINC001207005201 872919797 /nfs/dbraw/zinc/91/97/97/872919797.db2.gz YNYHWIDIBUDLGS-CQSZACIVSA-N 1 2 315.377 1.218 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)Cc2cnn(CC)c2)C1 ZINC001381808258 882709869 /nfs/dbraw/zinc/70/98/69/882709869.db2.gz ICXHXWFUOLEANV-GFCCVEGCSA-N 1 2 310.829 1.635 20 30 DDEDLO C=CCN(C)c1nnc(C[NH+]2CCCCC2)n1C[C@H]1CCOC1 ZINC001345340827 873367080 /nfs/dbraw/zinc/36/70/80/873367080.db2.gz HQOMYGZUCRGZSG-OAHLLOKOSA-N 1 2 319.453 1.923 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2csnn2)C1 ZINC001207622921 873423264 /nfs/dbraw/zinc/42/32/64/873423264.db2.gz LTXYYICBHQPDCV-OLZOCXBDSA-N 1 2 324.450 1.600 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NC[C@H](C)[NH+]2CCN(C)CC2)CC1 ZINC001346843751 873911818 /nfs/dbraw/zinc/91/18/18/873911818.db2.gz SNUJZXUJWZPJHL-JYJNAYRXSA-N 1 2 306.454 1.114 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(Br)ncn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227038199 882788325 /nfs/dbraw/zinc/78/83/25/882788325.db2.gz UPGSVZHRUXFLFZ-NTBMCGCHSA-N 1 2 312.167 1.230 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(Br)ncn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227038199 882788330 /nfs/dbraw/zinc/78/83/30/882788330.db2.gz UPGSVZHRUXFLFZ-NTBMCGCHSA-N 1 2 312.167 1.230 20 30 DDEDLO C#CCC1(C(=O)N2CCC[C@H]([NH2+]Cc3nc(C)no3)C2)CCC1 ZINC001208319790 874004471 /nfs/dbraw/zinc/00/44/71/874004471.db2.gz ZGTWRHOXIDRDBF-AWEZNQCLSA-N 1 2 316.405 1.652 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2c(C)n[nH]c2C)C1 ZINC001378133432 874214225 /nfs/dbraw/zinc/21/42/25/874214225.db2.gz VXSKAHVAPHXVEK-CYBMUJFWSA-N 1 2 310.829 1.902 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2c(C)n[nH]c2C)C1 ZINC001378133432 874214241 /nfs/dbraw/zinc/21/42/41/874214241.db2.gz VXSKAHVAPHXVEK-CYBMUJFWSA-N 1 2 310.829 1.902 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+]([C@H](C)c2nncn2C)CC1 ZINC001227084828 882818057 /nfs/dbraw/zinc/81/80/57/882818057.db2.gz LPECJEBJJILXDR-CYBMUJFWSA-N 1 2 303.410 1.260 20 30 DDEDLO CC(C)(C)OC(=O)N1CCOC[C@@H]1C[N@@H+]1CC[C@](C)(C#N)C1 ZINC001208985210 874582895 /nfs/dbraw/zinc/58/28/95/874582895.db2.gz QRGHOTMMXJRAHR-XJKSGUPXSA-N 1 2 309.410 1.858 20 30 DDEDLO CC(C)(C)OC(=O)N1CCOC[C@@H]1C[N@H+]1CC[C@](C)(C#N)C1 ZINC001208985210 874582908 /nfs/dbraw/zinc/58/29/08/874582908.db2.gz QRGHOTMMXJRAHR-XJKSGUPXSA-N 1 2 309.410 1.858 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001209111738 874673408 /nfs/dbraw/zinc/67/34/08/874673408.db2.gz PYSZMIYIOJBZBH-CQSZACIVSA-N 1 2 304.394 1.652 20 30 DDEDLO C#CCOc1ccc(CN2CC[NH2+]CC(F)(F)C2)cc1OC ZINC001211341066 875759587 /nfs/dbraw/zinc/75/95/87/875759587.db2.gz GSBLWKAXZTYOBE-UHFFFAOYSA-N 1 2 310.344 1.748 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213322724 875898636 /nfs/dbraw/zinc/89/86/36/875898636.db2.gz PGNCUILHIFOAAI-FVQBIDKESA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213322724 875898644 /nfs/dbraw/zinc/89/86/44/875898644.db2.gz PGNCUILHIFOAAI-FVQBIDKESA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213497692 875954463 /nfs/dbraw/zinc/95/44/63/875954463.db2.gz BLVPUELADOWNSG-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213497692 875954472 /nfs/dbraw/zinc/95/44/72/875954472.db2.gz BLVPUELADOWNSG-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001214582068 876403970 /nfs/dbraw/zinc/40/39/70/876403970.db2.gz HRBONVDKCLVGER-ZIAGYGMSSA-N 1 2 318.421 1.789 20 30 DDEDLO C#CC1CCN(c2nnc([C@]3(C)C[C@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001352310924 876687326 /nfs/dbraw/zinc/68/73/26/876687326.db2.gz VTOMZLUBSRIAJC-YOEHRIQHSA-N 1 2 317.437 1.059 20 30 DDEDLO C#CC1CCN(c2nnc([C@]3(C)C[C@H](O)C[N@H+]3C)n2CC)CC1 ZINC001352310924 876687328 /nfs/dbraw/zinc/68/73/28/876687328.db2.gz VTOMZLUBSRIAJC-YOEHRIQHSA-N 1 2 317.437 1.059 20 30 DDEDLO CC[C@@H](C)CC(=O)NCCC[NH+]1CCN(C(=O)[C@H](C)C#N)CC1 ZINC001352652108 876853763 /nfs/dbraw/zinc/85/37/63/876853763.db2.gz GXUMCZYOTLLJAY-HUUCEWRRSA-N 1 2 322.453 1.233 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@@H](C)NC(=O)c2c[nH]c(C#N)c2)n1 ZINC001379350176 876890614 /nfs/dbraw/zinc/89/06/14/876890614.db2.gz GJJUQWOAIITGDD-SNVBAGLBSA-N 1 2 316.365 1.130 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@@H]2C[C@H](O)C[N@@H+]2C)n1CC ZINC001352904101 876997518 /nfs/dbraw/zinc/99/75/18/876997518.db2.gz YZXLKOYLIUMYTM-ILXRZTDVSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@@H]2C[C@H](O)C[N@H+]2C)n1CC ZINC001352904101 876997520 /nfs/dbraw/zinc/99/75/20/876997520.db2.gz YZXLKOYLIUMYTM-ILXRZTDVSA-N 1 2 319.453 1.971 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001352903404 876998248 /nfs/dbraw/zinc/99/82/48/876998248.db2.gz OXLBYXALCYQWLP-CQSZACIVSA-N 1 2 316.405 1.171 20 30 DDEDLO C=C1CC[NH2+]C[C@H]1Oc1[nH]c(=O)nc2cc(C(=O)OC)ccc21 ZINC001218207236 877418704 /nfs/dbraw/zinc/41/87/04/877418704.db2.gz HMWQWSXABRDDAX-CYBMUJFWSA-N 1 2 315.329 1.419 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H](NC(=O)CCc2[nH+]ccn2C)C1 ZINC001353629941 877456738 /nfs/dbraw/zinc/45/67/38/877456738.db2.gz ZATTYGHJHPRKJW-HDJSIYSDSA-N 1 2 318.421 1.472 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCCC3CC3)[C@H]2C1 ZINC001218504416 877511421 /nfs/dbraw/zinc/51/14/21/877511421.db2.gz VWSLOTVUTZWCCY-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2OCCN(C(=O)CCCC3CC3)[C@H]2C1 ZINC001218504416 877511440 /nfs/dbraw/zinc/51/14/40/877511440.db2.gz VWSLOTVUTZWCCY-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@H](C)NC(=O)c2ccc(C#N)[nH]2)nn1C ZINC001379646011 877632011 /nfs/dbraw/zinc/63/20/11/877632011.db2.gz QBURHQGKFJOCIT-NSHDSACASA-N 1 2 314.393 1.179 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@H](C)NC(=O)c2ccc(C#N)[nH]2)nn1C ZINC001379646011 877632016 /nfs/dbraw/zinc/63/20/16/877632016.db2.gz QBURHQGKFJOCIT-NSHDSACASA-N 1 2 314.393 1.179 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@H](CC)C(C)(C)C)[C@H]2C1 ZINC001218958994 877834097 /nfs/dbraw/zinc/83/40/97/877834097.db2.gz KWBXRUIZWAQNCK-HRCADAONSA-N 1 2 306.450 1.994 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@H](CC)C(C)(C)C)[C@H]2C1 ZINC001218958994 877834108 /nfs/dbraw/zinc/83/41/08/877834108.db2.gz KWBXRUIZWAQNCK-HRCADAONSA-N 1 2 306.450 1.994 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001379814606 878091154 /nfs/dbraw/zinc/09/11/54/878091154.db2.gz RZCBCLMHCKTRFE-SWLSCSKDSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001379814606 878091173 /nfs/dbraw/zinc/09/11/73/878091173.db2.gz RZCBCLMHCKTRFE-SWLSCSKDSA-N 1 2 315.845 1.624 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001354912908 878309272 /nfs/dbraw/zinc/30/92/72/878309272.db2.gz QGCVCAXAKZXSFS-GFCCVEGCSA-N 1 2 304.394 1.129 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219596453 878374270 /nfs/dbraw/zinc/37/42/70/878374270.db2.gz VZBOMWFOYVQRGD-CABCVRRESA-N 1 2 319.405 1.002 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219596453 878374286 /nfs/dbraw/zinc/37/42/86/878374286.db2.gz VZBOMWFOYVQRGD-CABCVRRESA-N 1 2 319.405 1.002 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CN(C)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001287616092 912351326 /nfs/dbraw/zinc/35/13/26/912351326.db2.gz DFHOWUSTQNUCOH-LSDHHAIUSA-N 1 2 318.421 1.601 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@@H]1O ZINC001220124105 878715758 /nfs/dbraw/zinc/71/57/58/878715758.db2.gz VTLHEVYGMNXVGQ-CABCVRRESA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@@H]1O ZINC001220124105 878715770 /nfs/dbraw/zinc/71/57/70/878715770.db2.gz VTLHEVYGMNXVGQ-CABCVRRESA-N 1 2 303.406 1.345 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)CC1CCC1 ZINC001355920593 878778954 /nfs/dbraw/zinc/77/89/54/878778954.db2.gz UDGOTPVFHGPVDP-LSDHHAIUSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)CC1CCC1 ZINC001355920593 878778974 /nfs/dbraw/zinc/77/89/74/878778974.db2.gz UDGOTPVFHGPVDP-LSDHHAIUSA-N 1 2 319.453 1.828 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001355956891 878796157 /nfs/dbraw/zinc/79/61/57/878796157.db2.gz BBTBBUIYHPUAKR-JJRVBVJISA-N 1 2 317.437 1.224 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC(=C)C ZINC001355956891 878796174 /nfs/dbraw/zinc/79/61/74/878796174.db2.gz BBTBBUIYHPUAKR-JJRVBVJISA-N 1 2 317.437 1.224 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220206059 878821783 /nfs/dbraw/zinc/82/17/83/878821783.db2.gz WPJOLUXWPRFLEZ-YUELXQCFSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220206059 878821792 /nfs/dbraw/zinc/82/17/92/878821792.db2.gz WPJOLUXWPRFLEZ-YUELXQCFSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)C[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001287697601 912402900 /nfs/dbraw/zinc/40/29/00/912402900.db2.gz XCIDHDOKVLVCGH-OLZOCXBDSA-N 1 2 306.410 1.518 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001356302623 878978432 /nfs/dbraw/zinc/97/84/32/878978432.db2.gz RUBWOHDQOFXWPN-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001356417965 879044159 /nfs/dbraw/zinc/04/41/59/879044159.db2.gz WCUCPCCQWZRAED-PBHICJAKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](CC)CNC(=O)Cn1cc[nH+]c1 ZINC001356899392 879560378 /nfs/dbraw/zinc/56/03/78/879560378.db2.gz AZSBPRYZEGPXHG-CJNGLKHVSA-N 1 2 306.410 1.496 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C(C)=C1CCCC1 ZINC001276825024 880065462 /nfs/dbraw/zinc/06/54/62/880065462.db2.gz XPSXSCPQGVNBFG-ZBFHGGJFSA-N 1 2 319.449 1.758 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C(C)=C1CCCC1 ZINC001276825024 880065474 /nfs/dbraw/zinc/06/54/74/880065474.db2.gz XPSXSCPQGVNBFG-ZBFHGGJFSA-N 1 2 319.449 1.758 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001358347275 880407904 /nfs/dbraw/zinc/40/79/04/880407904.db2.gz CUPMGUQVBKPCPV-ZIAGYGMSSA-N 1 2 318.421 1.423 20 30 DDEDLO Cn1cc(C[N@@H+]2CCC23CN(Cc2[nH]ccc2C#N)C3)cc1C#N ZINC001276840476 880708920 /nfs/dbraw/zinc/70/89/20/880708920.db2.gz PEAUTHXDDONLJA-UHFFFAOYSA-N 1 2 320.400 1.557 20 30 DDEDLO Cn1cc(C[N@H+]2CCC23CN(Cc2[nH]ccc2C#N)C3)cc1C#N ZINC001276840476 880708931 /nfs/dbraw/zinc/70/89/31/880708931.db2.gz PEAUTHXDDONLJA-UHFFFAOYSA-N 1 2 320.400 1.557 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1(CNC(=O)Cc2c[nH+]cn2C)CC1 ZINC001358678392 880745656 /nfs/dbraw/zinc/74/56/56/880745656.db2.gz OXJGYCBVXVFTGT-STQMWFEESA-N 1 2 318.421 1.186 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CCC[N@@H+](Cc1cn(C)nn1)C2 ZINC001276862170 880815075 /nfs/dbraw/zinc/81/50/75/880815075.db2.gz KIUYNOFCBRFHBZ-UHFFFAOYSA-N 1 2 317.437 1.596 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CCC[N@H+](Cc1cn(C)nn1)C2 ZINC001276862170 880815090 /nfs/dbraw/zinc/81/50/90/880815090.db2.gz KIUYNOFCBRFHBZ-UHFFFAOYSA-N 1 2 317.437 1.596 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCC(C)CC1 ZINC001276862687 880818471 /nfs/dbraw/zinc/81/84/71/880818471.db2.gz XCZAAXRGHUGKBK-INIZCTEOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCC(C)CC1 ZINC001276862687 880818487 /nfs/dbraw/zinc/81/84/87/880818487.db2.gz XCZAAXRGHUGKBK-INIZCTEOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(C)CCC(=O)NCC1CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001223352723 880928949 /nfs/dbraw/zinc/92/89/49/880928949.db2.gz GQDUQDYCHLFEOL-UHFFFAOYSA-N 1 2 305.426 1.798 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001358836031 880976540 /nfs/dbraw/zinc/97/65/40/880976540.db2.gz KPYXYQTWUHAEIK-INIZCTEOSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CC[NH+]([C@H](C)c2nnnn2C)CC1 ZINC001224051234 881193592 /nfs/dbraw/zinc/19/35/92/881193592.db2.gz PJGBGBODUYCCDG-GFCCVEGCSA-N 1 2 320.441 1.312 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC1CC[NH+](Cc2nnnn2C)CC1 ZINC001224187719 881264217 /nfs/dbraw/zinc/26/42/17/881264217.db2.gz YMFVBKHXZUQOCJ-ZDUSSCGKSA-N 1 2 320.441 1.141 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001288010680 912663461 /nfs/dbraw/zinc/66/34/61/912663461.db2.gz CSPWBFFQOOXEQN-CABCVRRESA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001288010686 912663588 /nfs/dbraw/zinc/66/35/88/912663588.db2.gz CSPWBFFQOOXEQN-LSDHHAIUSA-N 1 2 318.421 1.735 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccnc(Cl)c3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001228056367 883311395 /nfs/dbraw/zinc/31/13/95/883311395.db2.gz CQRONJKGWXQJTJ-NTBMCGCHSA-N 1 2 311.725 1.634 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccnc(Cl)c3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001228056367 883311413 /nfs/dbraw/zinc/31/14/13/883311413.db2.gz CQRONJKGWXQJTJ-NTBMCGCHSA-N 1 2 311.725 1.634 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288054216 912698433 /nfs/dbraw/zinc/69/84/33/912698433.db2.gz ZOJJTMWYZRPFCA-UONOGXRCSA-N 1 2 318.421 1.710 20 30 DDEDLO N#CCC1CN(C(=O)c2n[nH]c3c2C[N@H+](CC2CCC2)CC3)C1 ZINC001277303320 883517160 /nfs/dbraw/zinc/51/71/60/883517160.db2.gz QFOYNDNLEXCTOF-UHFFFAOYSA-N 1 2 313.405 1.554 20 30 DDEDLO N#CCC1CN(C(=O)c2n[nH]c3c2C[N@@H+](CC2CCC2)CC3)C1 ZINC001277303320 883517167 /nfs/dbraw/zinc/51/71/67/883517167.db2.gz QFOYNDNLEXCTOF-UHFFFAOYSA-N 1 2 313.405 1.554 20 30 DDEDLO COCC#CC[N@H+](C)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001277397371 884038400 /nfs/dbraw/zinc/03/84/00/884038400.db2.gz ICEZWHANWMNYLU-GFCCVEGCSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001277397371 884038416 /nfs/dbraw/zinc/03/84/16/884038416.db2.gz ICEZWHANWMNYLU-GFCCVEGCSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@H+](C)C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001277397371 884038429 /nfs/dbraw/zinc/03/84/29/884038429.db2.gz ICEZWHANWMNYLU-GFCCVEGCSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001277397371 884038442 /nfs/dbraw/zinc/03/84/42/884038442.db2.gz ICEZWHANWMNYLU-GFCCVEGCSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1cccc(Cl)c1 ZINC001230538656 884556668 /nfs/dbraw/zinc/55/66/68/884556668.db2.gz LTIUWMPWVYXOJQ-MRXNPFEDSA-N 1 2 320.820 1.871 20 30 DDEDLO COCC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1cccc(Cl)c1 ZINC001230538656 884556681 /nfs/dbraw/zinc/55/66/81/884556681.db2.gz LTIUWMPWVYXOJQ-MRXNPFEDSA-N 1 2 320.820 1.871 20 30 DDEDLO C#CCOCCC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230569550 884600114 /nfs/dbraw/zinc/60/01/14/884600114.db2.gz OXIPLAKCXWMHIZ-INIZCTEOSA-N 1 2 303.406 1.253 20 30 DDEDLO C#CCOCCC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230569550 884600121 /nfs/dbraw/zinc/60/01/21/884600121.db2.gz OXIPLAKCXWMHIZ-INIZCTEOSA-N 1 2 303.406 1.253 20 30 DDEDLO COCC[C@@H](C)C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230622956 884666161 /nfs/dbraw/zinc/66/61/61/884666161.db2.gz UCIYMSGXXRNEAD-GDBMZVCRSA-N 1 2 307.438 1.886 20 30 DDEDLO COCC[C@@H](C)C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230622956 884666167 /nfs/dbraw/zinc/66/61/67/884666167.db2.gz UCIYMSGXXRNEAD-GDBMZVCRSA-N 1 2 307.438 1.886 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815341 884914922 /nfs/dbraw/zinc/91/49/22/884914922.db2.gz NDALCMFSBXJAFS-QWHCGFSZSA-N 1 2 319.434 1.327 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815341 884914941 /nfs/dbraw/zinc/91/49/41/884914941.db2.gz NDALCMFSBXJAFS-QWHCGFSZSA-N 1 2 319.434 1.327 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC(F)(F)F ZINC001231044267 885159963 /nfs/dbraw/zinc/15/99/63/885159963.db2.gz YFBUJBPQYRZXKW-QWRGUYRKSA-N 1 2 321.343 1.162 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC(F)(F)F ZINC001231044267 885159968 /nfs/dbraw/zinc/15/99/68/885159968.db2.gz YFBUJBPQYRZXKW-QWRGUYRKSA-N 1 2 321.343 1.162 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@H](C)CCC ZINC001231130845 885271043 /nfs/dbraw/zinc/27/10/43/885271043.db2.gz KVLIHFQFKKIJAJ-CABCVRRESA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@H](C)CCC ZINC001231130845 885271062 /nfs/dbraw/zinc/27/10/62/885271062.db2.gz KVLIHFQFKKIJAJ-CABCVRRESA-N 1 2 307.438 1.095 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cccnc1OC ZINC001231226956 885405982 /nfs/dbraw/zinc/40/59/82/885405982.db2.gz UGUJNBGTQKGQON-OAHLLOKOSA-N 1 2 319.405 1.326 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cccnc1OC ZINC001231226956 885405984 /nfs/dbraw/zinc/40/59/84/885405984.db2.gz UGUJNBGTQKGQON-OAHLLOKOSA-N 1 2 319.405 1.326 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)nc1 ZINC001231256527 885440388 /nfs/dbraw/zinc/44/03/88/885440388.db2.gz XUDUKVYDTQXRGH-CQSZACIVSA-N 1 2 305.353 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)nc1 ZINC001231256527 885440392 /nfs/dbraw/zinc/44/03/92/885440392.db2.gz XUDUKVYDTQXRGH-CQSZACIVSA-N 1 2 305.353 1.017 20 30 DDEDLO Cc1[nH]c(CN2CCN(C(=O)OC(C)(C)C)C[C@H]2C#N)c[nH+]1 ZINC001232459226 886400357 /nfs/dbraw/zinc/40/03/57/886400357.db2.gz BELGDBLGKUWVGT-CYBMUJFWSA-N 1 2 305.382 1.663 20 30 DDEDLO Cc1[nH]cc(CN2CCN(C(=O)OC(C)(C)C)C[C@H]2C#N)[nH+]1 ZINC001232459226 886400372 /nfs/dbraw/zinc/40/03/72/886400372.db2.gz BELGDBLGKUWVGT-CYBMUJFWSA-N 1 2 305.382 1.663 20 30 DDEDLO COCCOC[N@@H+]1CC[C@H]2[C@@H]1CCN2c1ccc(C#N)cn1 ZINC001277687160 886490195 /nfs/dbraw/zinc/49/01/95/886490195.db2.gz GUIGWVVSQPJOHV-GJZGRUSLSA-N 1 2 302.378 1.227 20 30 DDEDLO COCCOC[N@H+]1CC[C@H]2[C@@H]1CCN2c1ccc(C#N)cn1 ZINC001277687160 886490202 /nfs/dbraw/zinc/49/02/02/886490202.db2.gz GUIGWVVSQPJOHV-GJZGRUSLSA-N 1 2 302.378 1.227 20 30 DDEDLO N#Cc1ccc(O)c(C[N@H+]2C[C@](O)(C(F)(F)F)C[C@H]2CO)c1 ZINC001232674303 886527059 /nfs/dbraw/zinc/52/70/59/886527059.db2.gz VLUDRVVFZLFURG-AAEUAGOBSA-N 1 2 316.279 1.124 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2C[C@](O)(C(F)(F)F)C[C@H]2CO)c1 ZINC001232674303 886527070 /nfs/dbraw/zinc/52/70/70/886527070.db2.gz VLUDRVVFZLFURG-AAEUAGOBSA-N 1 2 316.279 1.124 20 30 DDEDLO Cc1ccc(N2CC3(CC[N@H+]3Cc3cc(C#N)n(C)c3)C2)nn1 ZINC001277767125 886810516 /nfs/dbraw/zinc/81/05/16/886810516.db2.gz LAYVGLWDUDSCFC-UHFFFAOYSA-N 1 2 308.389 1.460 20 30 DDEDLO Cc1ccc(N2CC3(CC[N@@H+]3Cc3cc(C#N)n(C)c3)C2)nn1 ZINC001277767125 886810527 /nfs/dbraw/zinc/81/05/27/886810527.db2.gz LAYVGLWDUDSCFC-UHFFFAOYSA-N 1 2 308.389 1.460 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cccc2ncnn21 ZINC001374512822 913111330 /nfs/dbraw/zinc/11/13/30/913111330.db2.gz PNSPOCPKBCNJSB-UHFFFAOYSA-N 1 2 307.785 1.486 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cccc2ncnn21 ZINC001374512822 913111343 /nfs/dbraw/zinc/11/13/43/913111343.db2.gz PNSPOCPKBCNJSB-UHFFFAOYSA-N 1 2 307.785 1.486 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCC1CCC1 ZINC001233548660 887099353 /nfs/dbraw/zinc/09/93/53/887099353.db2.gz HBRBQIYVZAPUHD-ZBFHGGJFSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCC1CCC1 ZINC001233548660 887099369 /nfs/dbraw/zinc/09/93/69/887099369.db2.gz HBRBQIYVZAPUHD-ZBFHGGJFSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)COCc1ncc(C)o1 ZINC001374515262 913118142 /nfs/dbraw/zinc/11/81/42/913118142.db2.gz IIQIKJVQLRDXEO-UHFFFAOYSA-N 1 2 315.801 1.642 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)COCc1ncc(C)o1 ZINC001374515262 913118152 /nfs/dbraw/zinc/11/81/52/913118152.db2.gz IIQIKJVQLRDXEO-UHFFFAOYSA-N 1 2 315.801 1.642 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NCCC ZINC001233947434 887486474 /nfs/dbraw/zinc/48/64/74/887486474.db2.gz MCWFMDXCYFOLIM-HUUCEWRRSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCCC ZINC001233947434 887486479 /nfs/dbraw/zinc/48/64/79/887486479.db2.gz MCWFMDXCYFOLIM-HUUCEWRRSA-N 1 2 307.438 1.237 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccncc1C ZINC001234087402 887633350 /nfs/dbraw/zinc/63/33/50/887633350.db2.gz JOBCPZOYVMELFC-HNNXBMFYSA-N 1 2 301.390 1.186 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccncc1C ZINC001234087402 887633362 /nfs/dbraw/zinc/63/33/62/887633362.db2.gz JOBCPZOYVMELFC-HNNXBMFYSA-N 1 2 301.390 1.186 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NC(C)(C)C ZINC001234132959 887675493 /nfs/dbraw/zinc/67/54/93/887675493.db2.gz YHJHVPUXJUMNQL-CABCVRRESA-N 1 2 321.465 1.482 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NC(C)(C)C ZINC001234132959 887675497 /nfs/dbraw/zinc/67/54/97/887675497.db2.gz YHJHVPUXJUMNQL-CABCVRRESA-N 1 2 321.465 1.482 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)cn1 ZINC001234178870 887715097 /nfs/dbraw/zinc/71/50/97/887715097.db2.gz PNWMIDKMZDEERX-GOEBONIOSA-N 1 2 312.417 1.792 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)cn1 ZINC001234178870 887715107 /nfs/dbraw/zinc/71/51/07/887715107.db2.gz PNWMIDKMZDEERX-GOEBONIOSA-N 1 2 312.417 1.792 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1oc(C)nc1C ZINC001234266246 887804524 /nfs/dbraw/zinc/80/45/24/887804524.db2.gz OEJYQEQBTVUNNY-HIFRSBDPSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1oc(C)nc1C ZINC001234266246 887804527 /nfs/dbraw/zinc/80/45/27/887804527.db2.gz OEJYQEQBTVUNNY-HIFRSBDPSA-N 1 2 319.405 1.362 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2c(C)cccc2C#N)c[nH+]1 ZINC001364063357 887833404 /nfs/dbraw/zinc/83/34/04/887833404.db2.gz UILMRPFEPABTLW-UHFFFAOYSA-N 1 2 304.375 1.631 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)c2c(C)cccc2C#N)[nH+]1 ZINC001364063357 887833420 /nfs/dbraw/zinc/83/34/20/887833420.db2.gz UILMRPFEPABTLW-UHFFFAOYSA-N 1 2 304.375 1.631 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234545988 888076354 /nfs/dbraw/zinc/07/63/54/888076354.db2.gz JHCBEKROYZDHBS-LSDHHAIUSA-N 1 2 323.481 1.892 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234545988 888076369 /nfs/dbraw/zinc/07/63/69/888076369.db2.gz JHCBEKROYZDHBS-LSDHHAIUSA-N 1 2 323.481 1.892 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](CC)C(N)=O ZINC001234555942 888089562 /nfs/dbraw/zinc/08/95/62/888089562.db2.gz ZLRQCHYEUMOZOB-VXGBXAGGSA-N 1 2 315.845 1.562 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@H](CC)C(N)=O ZINC001234555942 888089542 /nfs/dbraw/zinc/08/95/42/888089542.db2.gz ZLRQCHYEUMOZOB-VXGBXAGGSA-N 1 2 315.845 1.562 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@@H](C)CC ZINC001234603481 888134820 /nfs/dbraw/zinc/13/48/20/888134820.db2.gz CYVOCKLYXLRODQ-LSDHHAIUSA-N 1 2 309.454 1.790 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@@H](C)CC ZINC001234603481 888134825 /nfs/dbraw/zinc/13/48/25/888134825.db2.gz CYVOCKLYXLRODQ-LSDHHAIUSA-N 1 2 309.454 1.790 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)[C@]1(C)CCOC1 ZINC001374547223 913226998 /nfs/dbraw/zinc/22/69/98/913226998.db2.gz TZXWPTWLMMZMMM-GOSISDBHSA-N 1 2 315.417 1.875 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)[C@]1(C)CCOC1 ZINC001374547223 913227016 /nfs/dbraw/zinc/22/70/16/913227016.db2.gz TZXWPTWLMMZMMM-GOSISDBHSA-N 1 2 315.417 1.875 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)COC(C)C ZINC001235097987 888410200 /nfs/dbraw/zinc/41/02/00/888410200.db2.gz YUTAXOXLQDQFOR-UHFFFAOYSA-N 1 2 307.232 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)COC(C)C ZINC001235097987 888410209 /nfs/dbraw/zinc/41/02/09/888410209.db2.gz YUTAXOXLQDQFOR-UHFFFAOYSA-N 1 2 307.232 1.758 20 30 DDEDLO N#CCc1ccccc1C[NH+]1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001235511018 888696255 /nfs/dbraw/zinc/69/62/55/888696255.db2.gz DMKHHZSZBWDCSS-QGZVFWFLSA-N 1 2 313.401 1.576 20 30 DDEDLO C[N@H+](CC(F)F)C1CCN(c2cnc(C#N)c(C#N)n2)CC1 ZINC001364544867 888894492 /nfs/dbraw/zinc/89/44/92/888894492.db2.gz UFCDAEHHAGDYRO-UHFFFAOYSA-N 1 2 306.320 1.386 20 30 DDEDLO C[N@@H+](CC(F)F)C1CCN(c2cnc(C#N)c(C#N)n2)CC1 ZINC001364544867 888894509 /nfs/dbraw/zinc/89/45/09/888894509.db2.gz UFCDAEHHAGDYRO-UHFFFAOYSA-N 1 2 306.320 1.386 20 30 DDEDLO COC(=O)Cn1cc(C[NH2+]C2(c3cccc(C#N)c3)CC2)nn1 ZINC001364710908 889249252 /nfs/dbraw/zinc/24/92/52/889249252.db2.gz PTCXUHAPGBNHRJ-UHFFFAOYSA-N 1 2 311.345 1.102 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H]1CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001290010808 913332944 /nfs/dbraw/zinc/33/29/44/913332944.db2.gz NMJWNACYZXOZIC-YOEHRIQHSA-N 1 2 318.421 1.614 20 30 DDEDLO CCOC[C@H]1C[N@H+](Cc2ccnc(C#N)c2)Cc2nnn(C)c21 ZINC001237466268 889651344 /nfs/dbraw/zinc/65/13/44/889651344.db2.gz XNMMBZXKIQDKDS-CYBMUJFWSA-N 1 2 312.377 1.218 20 30 DDEDLO CCOC[C@H]1C[N@@H+](Cc2ccnc(C#N)c2)Cc2nnn(C)c21 ZINC001237466268 889651352 /nfs/dbraw/zinc/65/13/52/889651352.db2.gz XNMMBZXKIQDKDS-CYBMUJFWSA-N 1 2 312.377 1.218 20 30 DDEDLO CC(C)C[N@@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001278119208 889731637 /nfs/dbraw/zinc/73/16/37/889731637.db2.gz XVXGWJHVSLZMQF-UHFFFAOYSA-N 1 2 301.394 1.600 20 30 DDEDLO CC(C)C[N@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001278119208 889731644 /nfs/dbraw/zinc/73/16/44/889731644.db2.gz XVXGWJHVSLZMQF-UHFFFAOYSA-N 1 2 301.394 1.600 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2cncc(C3CC3)c2)CC1 ZINC001238191434 890128225 /nfs/dbraw/zinc/12/82/25/890128225.db2.gz WQATUQTUZBXDTA-UHFFFAOYSA-N 1 2 320.400 1.943 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CCC)no2)[C@@H]1C ZINC001278234740 890221023 /nfs/dbraw/zinc/22/10/23/890221023.db2.gz SYDQGOLYSMZXPD-QWHCGFSZSA-N 1 2 322.409 1.304 20 30 DDEDLO N#Cc1csc(C[N@H+]2CC[C@@H](c3cc(=O)[nH]c(=O)[nH]3)C2)n1 ZINC001365313862 890566790 /nfs/dbraw/zinc/56/67/90/890566790.db2.gz KFXWNZSOAFTXCE-MRVPVSSYSA-N 1 2 303.347 1.205 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CC[C@@H](c3cc(=O)[nH]c(=O)[nH]3)C2)n1 ZINC001365313862 890566797 /nfs/dbraw/zinc/56/67/97/890566797.db2.gz KFXWNZSOAFTXCE-MRVPVSSYSA-N 1 2 303.347 1.205 20 30 DDEDLO CCCCCCCOC(=O)N1CC[NH2+]C[C@H]1C(=O)OCC ZINC001239328291 890602447 /nfs/dbraw/zinc/60/24/47/890602447.db2.gz GVKSMFJWVGTRGB-ZDUSSCGKSA-N 1 2 300.399 1.930 20 30 DDEDLO Cc1[nH]c([C@@H]2CCCN2S(=O)(=O)CC2(C#N)CC2)[nH+]c1C ZINC001365737016 891425646 /nfs/dbraw/zinc/42/56/46/891425646.db2.gz OFIGLUOPOJEVEQ-LBPRGKRZSA-N 1 2 308.407 1.797 20 30 DDEDLO CN(C[C@H]1CCN1Cc1ccccc1C#N)C(=O)Cn1cc[nH+]c1 ZINC001365799731 891593754 /nfs/dbraw/zinc/59/37/54/891593754.db2.gz BRSNQCLIGZYSBD-QGZVFWFLSA-N 1 2 323.400 1.488 20 30 DDEDLO C=CCCC(=O)N1C[C@H]([NH2+]Cc2nc(N(C)C)no2)C[C@@H]1C ZINC001278358364 891598141 /nfs/dbraw/zinc/59/81/41/891598141.db2.gz XTPCJVOEXXBLBO-NWDGAFQWSA-N 1 2 307.398 1.181 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001365981490 892118332 /nfs/dbraw/zinc/11/83/32/892118332.db2.gz MHCLYQMKJSUAEB-CYBMUJFWSA-N 1 2 309.797 1.663 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001365981490 892118336 /nfs/dbraw/zinc/11/83/36/892118336.db2.gz MHCLYQMKJSUAEB-CYBMUJFWSA-N 1 2 309.797 1.663 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]([NH2+]Cc2cnsn2)C2CC2)c[nH]1 ZINC001366035298 892288723 /nfs/dbraw/zinc/28/87/23/892288723.db2.gz KIWNZWRDTHAPKF-ZDUSSCGKSA-N 1 2 316.390 1.036 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@]12CCC[C@H]1N(CC#N)CC2 ZINC001278465759 892894723 /nfs/dbraw/zinc/89/47/23/892894723.db2.gz CFEWUKWQUXRNHJ-XNRPHZJLSA-N 1 2 301.394 1.225 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@]12CCC[C@H]1N(CC#N)CC2 ZINC001278465759 892894740 /nfs/dbraw/zinc/89/47/40/892894740.db2.gz CFEWUKWQUXRNHJ-XNRPHZJLSA-N 1 2 301.394 1.225 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)COCc1cc[nH]c(=O)c1 ZINC001366541721 893951404 /nfs/dbraw/zinc/95/14/04/893951404.db2.gz RGFJCUISYLFHRV-UHFFFAOYSA-N 1 2 313.785 1.104 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)COCc1cc[nH]c(=O)c1 ZINC001366541721 893951421 /nfs/dbraw/zinc/95/14/21/893951421.db2.gz RGFJCUISYLFHRV-UHFFFAOYSA-N 1 2 313.785 1.104 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(Cc3cccc(C#N)c3F)C2)CCO1 ZINC001249687422 894106368 /nfs/dbraw/zinc/10/63/68/894106368.db2.gz CNNMWXDCSKZBNA-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO CC1(C)C[N@H+](C2CN(Cc3cccc(C#N)c3F)C2)CCO1 ZINC001249687422 894106380 /nfs/dbraw/zinc/10/63/80/894106380.db2.gz CNNMWXDCSKZBNA-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO CC(C)S(=O)(=O)NC1CC[NH+](Cc2ccccc2C#N)CC1 ZINC001252590218 895294232 /nfs/dbraw/zinc/29/42/32/895294232.db2.gz PCJWUNDYGAOFMG-UHFFFAOYSA-N 1 2 321.446 1.850 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H](CC)NC(C)=O)C1 ZINC001367060757 895713024 /nfs/dbraw/zinc/71/30/24/895713024.db2.gz XNCOSJHTKVCRAZ-OLZOCXBDSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H](CC)NC(C)=O)C1 ZINC001367060757 895713040 /nfs/dbraw/zinc/71/30/40/895713040.db2.gz XNCOSJHTKVCRAZ-OLZOCXBDSA-N 1 2 301.818 1.186 20 30 DDEDLO C=CCCCCCC[C@H](O)C[NH+]1CCN(S(C)(=O)=O)CC1 ZINC001253238587 895744285 /nfs/dbraw/zinc/74/42/85/895744285.db2.gz GIELHULLWJAOFR-HNNXBMFYSA-N 1 2 318.483 1.451 20 30 DDEDLO C=CC[C@@H]([NH2+]C[C@H](O)CCOCc1ccccc1)C(=O)OC ZINC001253290839 895773912 /nfs/dbraw/zinc/77/39/12/895773912.db2.gz GMHRJJQQFXIYRA-HZPDHXFCSA-N 1 2 307.390 1.662 20 30 DDEDLO CC[C@@H](CC#N)[N@@H+]1CC[C@H]2[C@H]1CCN2C(=O)c1cnn(C)c1 ZINC001255168498 896763644 /nfs/dbraw/zinc/76/36/44/896763644.db2.gz RQKQFLFHLVIFGD-ZNMIVQPWSA-N 1 2 301.394 1.401 20 30 DDEDLO CC[C@@H](CC#N)[N@H+]1CC[C@H]2[C@H]1CCN2C(=O)c1cnn(C)c1 ZINC001255168498 896763649 /nfs/dbraw/zinc/76/36/49/896763649.db2.gz RQKQFLFHLVIFGD-ZNMIVQPWSA-N 1 2 301.394 1.401 20 30 DDEDLO CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@@H](N1CC[NH2+]C[C@H]1C#N)C2 ZINC001255236241 896804490 /nfs/dbraw/zinc/80/44/90/896804490.db2.gz GYXVVPRBKLUFJR-CBBWQLFWSA-N 1 2 320.437 1.714 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)CC(C)(C)C(F)(F)F)C1 ZINC001278927738 897358662 /nfs/dbraw/zinc/35/86/62/897358662.db2.gz YJCJYQMXLNHWHF-UHFFFAOYSA-N 1 2 306.328 1.151 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2csc(CC(C)C)n2)C1 ZINC001278936799 897437788 /nfs/dbraw/zinc/43/77/88/897437788.db2.gz NVLCWFRGNLPWJQ-UHFFFAOYSA-N 1 2 321.446 1.141 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@@H](NC(=O)[C@H](C)C#N)C2CC2)n1 ZINC001367896529 898142462 /nfs/dbraw/zinc/14/24/62/898142462.db2.gz QXHGTSSUVYZEIE-ZYHUDNBSSA-N 1 2 305.382 1.166 20 30 DDEDLO N#CCCNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000356061638 898613978 /nfs/dbraw/zinc/61/39/78/898613978.db2.gz SMZDDZYGEXUHBL-AWEZNQCLSA-N 1 2 317.393 1.047 20 30 DDEDLO N#CCCNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000356061638 898613989 /nfs/dbraw/zinc/61/39/89/898613989.db2.gz SMZDDZYGEXUHBL-AWEZNQCLSA-N 1 2 317.393 1.047 20 30 DDEDLO COc1ncccc1C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H](C)C#N ZINC001390103588 898687749 /nfs/dbraw/zinc/68/77/49/898687749.db2.gz GKPRBBCYXTUKMB-HIFRSBDPSA-N 1 2 316.405 1.721 20 30 DDEDLO COc1ncccc1C[N@H+]1CCCC[C@H]1CNC(=O)[C@H](C)C#N ZINC001390103588 898687762 /nfs/dbraw/zinc/68/77/62/898687762.db2.gz GKPRBBCYXTUKMB-HIFRSBDPSA-N 1 2 316.405 1.721 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)COC[C@@H]2CCCO2)C1 ZINC001368169826 898885948 /nfs/dbraw/zinc/88/59/48/898885948.db2.gz HIHMRKLSFYCMCR-ZFWWWQNUSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)COC[C@@H]2CCCO2)C1 ZINC001368169826 898885954 /nfs/dbraw/zinc/88/59/54/898885954.db2.gz HIHMRKLSFYCMCR-ZFWWWQNUSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(C)CCC(=O)NCCCNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001293369147 914439019 /nfs/dbraw/zinc/43/90/19/914439019.db2.gz XGEGLSZRLFWOPX-AWEZNQCLSA-N 1 2 318.421 1.424 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)CC=C ZINC001264372666 901051984 /nfs/dbraw/zinc/05/19/84/901051984.db2.gz JPGAAFFINQGBSY-GJZGRUSLSA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)CC=C ZINC001264372666 901051992 /nfs/dbraw/zinc/05/19/92/901051992.db2.gz JPGAAFFINQGBSY-GJZGRUSLSA-N 1 2 321.465 1.812 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](Cc2cn(C)nn2)[C@@H]1CC ZINC001264609164 901212328 /nfs/dbraw/zinc/21/23/28/901212328.db2.gz ZHQCTTQKWDORBB-LSDHHAIUSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](Cc2cn(C)nn2)[C@@H]1CC ZINC001264609164 901212338 /nfs/dbraw/zinc/21/23/38/901212338.db2.gz ZHQCTTQKWDORBB-LSDHHAIUSA-N 1 2 319.453 1.887 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2ncccn2)[C@@H]1CC ZINC001264606620 901212557 /nfs/dbraw/zinc/21/25/57/901212557.db2.gz WUCYNVYAZCUZNM-LSDHHAIUSA-N 1 2 300.406 1.749 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ncccn2)[C@@H]1CC ZINC001264606620 901212567 /nfs/dbraw/zinc/21/25/67/901212567.db2.gz WUCYNVYAZCUZNM-LSDHHAIUSA-N 1 2 300.406 1.749 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@H+](C)CCN(C(=O)[C@@H](C)C#N)C(C)C ZINC001369515826 901429005 /nfs/dbraw/zinc/42/90/05/901429005.db2.gz NFRSSCPNXVXEFK-KBPBESRZSA-N 1 2 310.442 1.230 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@@H+](C)CCN(C(=O)[C@@H](C)C#N)C(C)C ZINC001369515826 901429009 /nfs/dbraw/zinc/42/90/09/901429009.db2.gz NFRSSCPNXVXEFK-KBPBESRZSA-N 1 2 310.442 1.230 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@@H+]([C@@H](C)c2cnccn2)C1 ZINC001265213222 901717789 /nfs/dbraw/zinc/71/77/89/901717789.db2.gz NTDACLQOYJDNMD-LSDHHAIUSA-N 1 2 300.406 1.778 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@H+]([C@@H](C)c2cnccn2)C1 ZINC001265213222 901717798 /nfs/dbraw/zinc/71/77/98/901717798.db2.gz NTDACLQOYJDNMD-LSDHHAIUSA-N 1 2 300.406 1.778 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CCCCCC)C1 ZINC001265233426 901750665 /nfs/dbraw/zinc/75/06/65/901750665.db2.gz SSDWBDFNADUXMC-HNNXBMFYSA-N 1 2 307.438 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CCCCCC)C1 ZINC001265233426 901750671 /nfs/dbraw/zinc/75/06/71/901750671.db2.gz SSDWBDFNADUXMC-HNNXBMFYSA-N 1 2 307.438 1.287 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2cccc(F)c2C)C1 ZINC001265286178 901826066 /nfs/dbraw/zinc/82/60/66/901826066.db2.gz PDTDAKZVZBDPCV-CYBMUJFWSA-N 1 2 319.380 1.240 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(F)c2C)C1 ZINC001265286178 901826073 /nfs/dbraw/zinc/82/60/73/901826073.db2.gz PDTDAKZVZBDPCV-CYBMUJFWSA-N 1 2 319.380 1.240 20 30 DDEDLO Cn1ncnc1C[N@@H+]1CCC[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001265582258 902159293 /nfs/dbraw/zinc/15/92/93/902159293.db2.gz ODXYAMZEELMQBH-CQSZACIVSA-N 1 2 317.437 1.193 20 30 DDEDLO Cn1ncnc1C[N@H+]1CCC[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001265582258 902159299 /nfs/dbraw/zinc/15/92/99/902159299.db2.gz ODXYAMZEELMQBH-CQSZACIVSA-N 1 2 317.437 1.193 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)CN2CCCC2=O)C1 ZINC001391621475 902163949 /nfs/dbraw/zinc/16/39/49/902163949.db2.gz ASIMXRPFLILOIR-CYBMUJFWSA-N 1 2 313.829 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)CN2CCCC2=O)C1 ZINC001391621475 902163953 /nfs/dbraw/zinc/16/39/53/902163953.db2.gz ASIMXRPFLILOIR-CYBMUJFWSA-N 1 2 313.829 1.284 20 30 DDEDLO C=CCOCC(=O)NC[C@H](C)[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001265759067 902375817 /nfs/dbraw/zinc/37/58/17/902375817.db2.gz OSXOQFFULARDFY-RYUDHWBXSA-N 1 2 324.425 1.725 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001293677599 914631566 /nfs/dbraw/zinc/63/15/66/914631566.db2.gz TUWYBRDHGPUPGU-CQSZACIVSA-N 1 2 318.421 1.545 20 30 DDEDLO C[C@H](CCNC(=O)C#CC(C)(C)C)[NH2+]Cc1noc(C2CC2)n1 ZINC001266144694 903017535 /nfs/dbraw/zinc/01/75/35/903017535.db2.gz XVBPTQSKKAGDHK-GFCCVEGCSA-N 1 2 318.421 1.981 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001266209208 903115956 /nfs/dbraw/zinc/11/59/56/903115956.db2.gz LCAPOQCIAFNVJN-CABCVRRESA-N 1 2 320.437 1.151 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001266209208 903115963 /nfs/dbraw/zinc/11/59/63/903115963.db2.gz LCAPOQCIAFNVJN-CABCVRRESA-N 1 2 320.437 1.151 20 30 DDEDLO CC(C)CNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001266218009 903132166 /nfs/dbraw/zinc/13/21/66/903132166.db2.gz JXYSYPYBLOHICI-HNNXBMFYSA-N 1 2 321.465 1.389 20 30 DDEDLO CC(C)CNC(=O)C[N@H+]1CCC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001266218009 903132172 /nfs/dbraw/zinc/13/21/72/903132172.db2.gz JXYSYPYBLOHICI-HNNXBMFYSA-N 1 2 321.465 1.389 20 30 DDEDLO CCN(C)C(=O)C[N@@H+]1CCC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001266219439 903136289 /nfs/dbraw/zinc/13/62/89/903136289.db2.gz ZRCIAHXJEHCSLN-AWEZNQCLSA-N 1 2 307.438 1.095 20 30 DDEDLO CCN(C)C(=O)C[N@H+]1CCC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001266219439 903136294 /nfs/dbraw/zinc/13/62/94/903136294.db2.gz ZRCIAHXJEHCSLN-AWEZNQCLSA-N 1 2 307.438 1.095 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H]3[C@H]2CCCN3C(=O)C#CC(C)C)o1 ZINC001279555079 903324437 /nfs/dbraw/zinc/32/44/37/903324437.db2.gz LOKYLFMSLUDYOX-CABCVRRESA-N 1 2 316.405 1.603 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H]3[C@H]2CCCN3C(=O)C#CC(C)C)o1 ZINC001279555079 903324449 /nfs/dbraw/zinc/32/44/49/903324449.db2.gz LOKYLFMSLUDYOX-CABCVRRESA-N 1 2 316.405 1.603 20 30 DDEDLO CC#CCCCC(=O)NC1CC(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001280092233 903512606 /nfs/dbraw/zinc/51/26/06/903512606.db2.gz SGGDQJVFEDGZTA-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280318015 903592629 /nfs/dbraw/zinc/59/26/29/903592629.db2.gz LBXJXJIMWBYMHI-RYUDHWBXSA-N 1 2 306.410 1.564 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280318015 903592637 /nfs/dbraw/zinc/59/26/37/903592637.db2.gz LBXJXJIMWBYMHI-RYUDHWBXSA-N 1 2 306.410 1.564 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH+]cn1C ZINC001280457685 903700037 /nfs/dbraw/zinc/70/00/37/903700037.db2.gz XLNITABBUHKJLK-CQSZACIVSA-N 1 2 318.421 1.119 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001280511799 903749749 /nfs/dbraw/zinc/74/97/49/903749749.db2.gz HZWIVEMOJHDEEA-GFCCVEGCSA-N 1 2 306.410 1.138 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)Cc1ccco1 ZINC001316604274 903791044 /nfs/dbraw/zinc/79/10/44/903791044.db2.gz SJPAFGGGABWMJZ-HOTGVXAUSA-N 1 2 318.417 1.641 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)Cc1ccco1 ZINC001316604274 903791053 /nfs/dbraw/zinc/79/10/53/903791053.db2.gz SJPAFGGGABWMJZ-HOTGVXAUSA-N 1 2 318.417 1.641 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)C1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001280635804 903871534 /nfs/dbraw/zinc/87/15/34/903871534.db2.gz MIETVIGGCTTZII-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H]2CC[N@H+]2[C@@H](C)C(=O)NC2CC2)C1 ZINC001316605662 903969474 /nfs/dbraw/zinc/96/94/74/903969474.db2.gz PQUJWSCLRHGFBZ-DZGCQCFKSA-N 1 2 319.449 1.543 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@@H](C)C(=O)NC2CC2)C1 ZINC001316605662 903969477 /nfs/dbraw/zinc/96/94/77/903969477.db2.gz PQUJWSCLRHGFBZ-DZGCQCFKSA-N 1 2 319.449 1.543 20 30 DDEDLO CO[C@H](C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C#CC(C)(C)C)CO2 ZINC001280949274 904233806 /nfs/dbraw/zinc/23/38/06/904233806.db2.gz ZMPKJAGPVRNPEC-ZIAGYGMSSA-N 1 2 308.422 1.030 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001281048211 904355200 /nfs/dbraw/zinc/35/52/00/904355200.db2.gz UNGARVDRCUBNKU-YOEHRIQHSA-N 1 2 320.437 1.839 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H](C)CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001371165606 904393312 /nfs/dbraw/zinc/39/33/12/904393312.db2.gz LRCPEEVJRQDXOF-SNVBAGLBSA-N 1 2 316.365 1.301 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001281224945 904586017 /nfs/dbraw/zinc/58/60/17/904586017.db2.gz FWBYBLAUMBZITO-HUUCEWRRSA-N 1 2 316.405 1.088 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001281234056 904598975 /nfs/dbraw/zinc/59/89/75/904598975.db2.gz FGLGGFBEAXWOLQ-LSDHHAIUSA-N 1 2 318.421 1.641 20 30 DDEDLO Cc1ccc(NC(=O)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@H](C)C#N)cc1 ZINC001392635216 904677937 /nfs/dbraw/zinc/67/79/37/904677937.db2.gz BYSGKWVVYQUNDU-UONOGXRCSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1ccc(NC(=O)C[N@H+](C)C[C@@H](C)NC(=O)[C@@H](C)C#N)cc1 ZINC001392635216 904677947 /nfs/dbraw/zinc/67/79/47/904677947.db2.gz BYSGKWVVYQUNDU-UONOGXRCSA-N 1 2 316.405 1.530 20 30 DDEDLO C=CCCC(=O)N1CCC([C@H](C)NC(=O)Cn2cc[nH+]c2)CC1 ZINC001281333613 904687223 /nfs/dbraw/zinc/68/72/23/904687223.db2.gz VJKIUIYUGFRDHI-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)C#CC2CC2)CC[N@@H+]1Cc1nccn1C ZINC001281663507 905140594 /nfs/dbraw/zinc/14/05/94/905140594.db2.gz DLJHGZANDYYYMO-ZFWWWQNUSA-N 1 2 300.406 1.303 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)C#CC2CC2)CC[N@H+]1Cc1nccn1C ZINC001281663507 905140603 /nfs/dbraw/zinc/14/06/03/905140603.db2.gz DLJHGZANDYYYMO-ZFWWWQNUSA-N 1 2 300.406 1.303 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@H](NC(=O)[C@@H](C)C#N)C2CC2)n1 ZINC001392775163 905215709 /nfs/dbraw/zinc/21/57/09/905215709.db2.gz WCIKNGQSIJBDQU-JQWIXIFHSA-N 1 2 305.382 1.337 20 30 DDEDLO CCCC[C@@H](CNCC#N)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001282643140 905914647 /nfs/dbraw/zinc/91/46/47/905914647.db2.gz JVISZKSYHZUOHS-KBPBESRZSA-N 1 2 303.410 1.234 20 30 DDEDLO C=CC[N@H+]1CCCCC[C@H]1CNC(=O)CS(=O)(=O)CCC ZINC001282677546 905941228 /nfs/dbraw/zinc/94/12/28/905941228.db2.gz BNEIJMMPMGUTQD-AWEZNQCLSA-N 1 2 316.467 1.358 20 30 DDEDLO C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)CS(=O)(=O)CCC ZINC001282677546 905941245 /nfs/dbraw/zinc/94/12/45/905941245.db2.gz BNEIJMMPMGUTQD-AWEZNQCLSA-N 1 2 316.467 1.358 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)c2ccccc2C)C1 ZINC001282682946 905948401 /nfs/dbraw/zinc/94/84/01/905948401.db2.gz UUDJIGABCKMJII-AWEZNQCLSA-N 1 2 315.417 1.347 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C1C[NH+](Cc2cc(C)n(C)n2)C1 ZINC001282705160 905967703 /nfs/dbraw/zinc/96/77/03/905967703.db2.gz BUKVOWRFGONTII-CQSZACIVSA-N 1 2 302.422 1.469 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCCNC(=O)C#CC2CC2)c(C)[nH+]1 ZINC001283244459 906982229 /nfs/dbraw/zinc/98/22/29/906982229.db2.gz FKVKDYGIRISKIW-UHFFFAOYSA-N 1 2 313.401 1.656 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001283345621 907184821 /nfs/dbraw/zinc/18/48/21/907184821.db2.gz MNBNKOLKSCFMGL-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001283345621 907184827 /nfs/dbraw/zinc/18/48/27/907184827.db2.gz MNBNKOLKSCFMGL-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001283438680 907356337 /nfs/dbraw/zinc/35/63/37/907356337.db2.gz MUVYUDRKXJUKOZ-KRWDZBQOSA-N 1 2 318.421 1.662 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](CO)[NH2+]Cc1csc(C)n1 ZINC001283797668 907975149 /nfs/dbraw/zinc/97/51/49/907975149.db2.gz QRMIGJBIFVPADE-CYBMUJFWSA-N 1 2 309.435 1.212 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001284043181 908372543 /nfs/dbraw/zinc/37/25/43/908372543.db2.gz UDUNCARQAMNXFN-MGPQQGTHSA-N 1 2 316.405 1.175 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@H]1CCN(C)C(=O)C1)C1CC1 ZINC001372830907 908374779 /nfs/dbraw/zinc/37/47/79/908374779.db2.gz VLJMYOXEZVTTLL-LBPRGKRZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@H]1CCN(C)C(=O)C1)C1CC1 ZINC001372830907 908374794 /nfs/dbraw/zinc/37/47/94/908374794.db2.gz VLJMYOXEZVTTLL-LBPRGKRZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001284105405 908483774 /nfs/dbraw/zinc/48/37/74/908483774.db2.gz FCJDBIPAASJWTO-OAHLLOKOSA-N 1 2 318.421 1.594 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@H+](Cc2ncc(C)o2)[C@@H]1C ZINC001284127755 908519220 /nfs/dbraw/zinc/51/92/20/908519220.db2.gz FBOUPJNNOUTGBK-HUUCEWRRSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ncc(C)o2)[C@@H]1C ZINC001284127755 908519234 /nfs/dbraw/zinc/51/92/34/908519234.db2.gz FBOUPJNNOUTGBK-HUUCEWRRSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@H+](Cc2ncc(C)o2)[C@H]1C ZINC001284127756 908519463 /nfs/dbraw/zinc/51/94/63/908519463.db2.gz FBOUPJNNOUTGBK-LSDHHAIUSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ncc(C)o2)[C@H]1C ZINC001284127756 908519469 /nfs/dbraw/zinc/51/94/69/908519469.db2.gz FBOUPJNNOUTGBK-LSDHHAIUSA-N 1 2 319.405 1.492 20 30 DDEDLO C[C@@H](CNC(=O)C#CC(C)(C)C)NC(=O)CCc1[nH+]ccn1C ZINC001284233862 908704046 /nfs/dbraw/zinc/70/40/46/908704046.db2.gz PXMIAKIXZDSFMZ-ZDUSSCGKSA-N 1 2 318.421 1.023 20 30 DDEDLO C[C@@H](CNC(=O)c1cnco1)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001394083188 908743998 /nfs/dbraw/zinc/74/39/98/908743998.db2.gz HTVUGRRSWWBPRK-NSHDSACASA-N 1 2 316.336 1.936 20 30 DDEDLO C[C@@H](CNC(=O)c1cnco1)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001394083188 908744007 /nfs/dbraw/zinc/74/40/07/908744007.db2.gz HTVUGRRSWWBPRK-NSHDSACASA-N 1 2 316.336 1.936 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001284271692 908756771 /nfs/dbraw/zinc/75/67/71/908756771.db2.gz XAWPDANUBSXRLA-GFCCVEGCSA-N 1 2 306.410 1.514 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001284682566 909348439 /nfs/dbraw/zinc/34/84/39/909348439.db2.gz YAWMXEHXXVIFPS-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)CCCc2nc(C)no2)C1 ZINC001373552721 910162334 /nfs/dbraw/zinc/16/23/34/910162334.db2.gz PPCUAOJUASDNCF-UHFFFAOYSA-N 1 2 312.801 1.501 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CCCN2C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001285198337 910196761 /nfs/dbraw/zinc/19/67/61/910196761.db2.gz GYBKIZBSGULXEC-CYBMUJFWSA-N 1 2 316.405 1.416 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373623606 910390951 /nfs/dbraw/zinc/39/09/51/910390951.db2.gz DCWJCRZJWHSVSW-SMDDNHRTSA-N 1 2 300.337 1.548 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373623606 910390957 /nfs/dbraw/zinc/39/09/57/910390957.db2.gz DCWJCRZJWHSVSW-SMDDNHRTSA-N 1 2 300.337 1.548 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001285376313 910440182 /nfs/dbraw/zinc/44/01/82/910440182.db2.gz SCSORQKLKCKUSF-STQMWFEESA-N 1 2 306.410 1.708 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001285376313 910440192 /nfs/dbraw/zinc/44/01/92/910440192.db2.gz SCSORQKLKCKUSF-STQMWFEESA-N 1 2 306.410 1.708 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)N(C)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001285624223 910903299 /nfs/dbraw/zinc/90/32/99/910903299.db2.gz AAUUKBCYZJKQCJ-ZIAGYGMSSA-N 1 2 318.421 1.375 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001285639883 910946365 /nfs/dbraw/zinc/94/63/65/910946365.db2.gz WIYFWZCTDJTNSN-KGLIPLIRSA-N 1 2 304.394 1.259 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](C)N(C)C(=O)Cn2cc[nH+]c2)CCC1 ZINC001285846475 911284670 /nfs/dbraw/zinc/28/46/70/911284670.db2.gz CGYXAHVRGIWMAA-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCC(C)(C)C(=O)NC1(CNC(=O)CCn2cc[nH+]c2)CC1 ZINC001285944649 911479085 /nfs/dbraw/zinc/47/90/85/911479085.db2.gz DFOICQPLTNQFNY-UHFFFAOYSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1(CNC(=O)CCn2cc[nH+]c2)CC1 ZINC001285945692 911480959 /nfs/dbraw/zinc/48/09/59/911480959.db2.gz YEDWNCHKRFAPGO-MRXNPFEDSA-N 1 2 318.421 1.641 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC[C@@H](NC(=O)[C@@H](C)C#N)C(C)C ZINC001395469591 912052245 /nfs/dbraw/zinc/05/22/45/912052245.db2.gz LDYJGNDJCGMVSF-WCQYABFASA-N 1 2 319.409 1.067 20 30 DDEDLO CC(C)C#CC(=O)NCCCN(C)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001294057546 914890745 /nfs/dbraw/zinc/89/07/45/914890745.db2.gz VDHVSVZDPZRAPF-CQSZACIVSA-N 1 2 318.421 1.212 20 30 DDEDLO CC(C)C#CC(=O)NCCCN(C)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001294057546 914890766 /nfs/dbraw/zinc/89/07/66/914890766.db2.gz VDHVSVZDPZRAPF-CQSZACIVSA-N 1 2 318.421 1.212 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@H](C)CCC)CC1 ZINC001296825147 916580253 /nfs/dbraw/zinc/58/02/53/916580253.db2.gz RPNJJEXAWKYONY-MRXNPFEDSA-N 1 2 323.481 1.991 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H](C)CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001376713676 919139739 /nfs/dbraw/zinc/13/97/39/919139739.db2.gz PERZNGMHDABYGU-SNVBAGLBSA-N 1 2 316.365 1.301 20 30 DDEDLO CC(C)Cc1noc(C[NH2+]C2(CNC(=O)[C@H](C)C#N)CCC2)n1 ZINC001376717998 919156101 /nfs/dbraw/zinc/15/61/01/919156101.db2.gz AKFCWXCXNSBGGJ-GFCCVEGCSA-N 1 2 319.409 1.556 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cccc(C(=O)NC)c1 ZINC001377269662 920846690 /nfs/dbraw/zinc/84/66/90/920846690.db2.gz IQHOWAAWKHYRNJ-UHFFFAOYSA-N 1 2 309.797 1.460 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cccc(C(=O)NC)c1 ZINC001377269662 920846695 /nfs/dbraw/zinc/84/66/95/920846695.db2.gz IQHOWAAWKHYRNJ-UHFFFAOYSA-N 1 2 309.797 1.460 20 30 DDEDLO N#Cc1cnc(NC[C@@H]2C[N@@H+](C3CC3)CCO2)c([N+](=O)[O-])c1 ZINC000450314647 230713995 /nfs/dbraw/zinc/71/39/95/230713995.db2.gz BZINACKXUCNIJL-GFCCVEGCSA-N 1 2 303.322 1.137 20 30 DDEDLO N#Cc1cnc(NC[C@@H]2C[N@H+](C3CC3)CCO2)c([N+](=O)[O-])c1 ZINC000450314647 230713997 /nfs/dbraw/zinc/71/39/97/230713997.db2.gz BZINACKXUCNIJL-GFCCVEGCSA-N 1 2 303.322 1.137 20 30 DDEDLO Cc1cc(C#N)ccc1CN1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000614402907 361840713 /nfs/dbraw/zinc/84/07/13/361840713.db2.gz YPEGSLYPJMKOOI-UHFFFAOYSA-N 1 2 300.406 1.248 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CC[C@](O)(C(F)F)C1 ZINC000451440392 231095494 /nfs/dbraw/zinc/09/54/94/231095494.db2.gz PNTNTPIEIHVSII-MEBBXXQBSA-N 1 2 309.316 1.587 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CC[C@](O)(C(F)F)C1 ZINC000451440392 231095497 /nfs/dbraw/zinc/09/54/97/231095497.db2.gz PNTNTPIEIHVSII-MEBBXXQBSA-N 1 2 309.316 1.587 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000285199227 222629059 /nfs/dbraw/zinc/62/90/59/222629059.db2.gz OOICZYSVLLREMH-MRXNPFEDSA-N 1 2 304.394 1.876 20 30 DDEDLO O=C(C[N@@H+]1CCCC[C@@H]1C(=O)N1CCOCC1)NCC1CC1 ZINC000328804976 539299087 /nfs/dbraw/zinc/29/90/87/539299087.db2.gz BWTICCPSWIIVGZ-CQSZACIVSA-N 1 2 309.410 1.066 20 30 DDEDLO O=C(C[N@H+]1CCCC[C@@H]1C(=O)N1CCOCC1)NCC1CC1 ZINC000328804976 539299088 /nfs/dbraw/zinc/29/90/88/539299088.db2.gz BWTICCPSWIIVGZ-CQSZACIVSA-N 1 2 309.410 1.066 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1)[C@@H]1CCCCO1 ZINC000328887728 539299483 /nfs/dbraw/zinc/29/94/83/539299483.db2.gz PQMRHPBBRFKZEJ-XGUBFFRZSA-N 1 2 311.426 1.263 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1)[C@@H]1CCCCO1 ZINC000328887728 539299484 /nfs/dbraw/zinc/29/94/84/539299484.db2.gz PQMRHPBBRFKZEJ-XGUBFFRZSA-N 1 2 311.426 1.263 20 30 DDEDLO Cc1ncsc1C(=O)NCC(=O)NCC[NH+]1CCOCC1 ZINC000329230071 539301929 /nfs/dbraw/zinc/30/19/29/539301929.db2.gz JTABFTJBMZJUIB-UHFFFAOYSA-N 1 2 312.395 1.045 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N[C@H]2CSc3ccccc32)C1 ZINC000329500952 539305145 /nfs/dbraw/zinc/30/51/45/539305145.db2.gz GBNSMQWPEHDNPX-YPMHNXCESA-N 1 2 307.419 1.668 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N[C@H]2CSc3ccccc32)C1 ZINC000329500952 539305146 /nfs/dbraw/zinc/30/51/46/539305146.db2.gz GBNSMQWPEHDNPX-YPMHNXCESA-N 1 2 307.419 1.668 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(CC(=O)Nc3ccc(Cl)cn3)C[C@@H]21 ZINC000330344433 529786827 /nfs/dbraw/zinc/78/68/27/529786827.db2.gz CXYWYCSGLSPOEB-NWDGAFQWSA-N 1 2 310.785 1.338 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(CC(=O)Nc3ccc(Cl)cn3)C[C@@H]21 ZINC000330344433 529786828 /nfs/dbraw/zinc/78/68/28/529786828.db2.gz CXYWYCSGLSPOEB-NWDGAFQWSA-N 1 2 310.785 1.338 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)N[C@H]2CCC[C@H]2C#N)C1 ZINC000333147414 529788378 /nfs/dbraw/zinc/78/83/78/529788378.db2.gz LKSAEZAQWASEQI-JYJNAYRXSA-N 1 2 320.437 1.431 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)N[C@H]2CCC[C@H]2C#N)C1 ZINC000333147414 529788379 /nfs/dbraw/zinc/78/83/79/529788379.db2.gz LKSAEZAQWASEQI-JYJNAYRXSA-N 1 2 320.437 1.431 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000616868568 362854723 /nfs/dbraw/zinc/85/47/23/362854723.db2.gz QGTRGBXVNXSSPF-STQMWFEESA-N 1 2 309.410 1.577 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(F)cc2[N+](=O)[O-])CC1 ZINC000133771629 186011073 /nfs/dbraw/zinc/01/10/73/186011073.db2.gz PWPQOPMFHADOBB-UHFFFAOYSA-N 1 2 305.309 1.515 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3cnn(C)c3)CC2)c1C#N ZINC000287159470 219349891 /nfs/dbraw/zinc/34/98/91/219349891.db2.gz GNSUINZVLMCILH-UHFFFAOYSA-N 1 2 302.407 1.379 20 30 DDEDLO C[C@H](NC(=O)COc1ccc(C#N)cc1)[C@H](C)[NH+]1CCOCC1 ZINC000264248927 186326866 /nfs/dbraw/zinc/32/68/66/186326866.db2.gz KPXPXUQYRGKTFG-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H](CC#N)N(C)S(=O)(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000282356710 295822119 /nfs/dbraw/zinc/82/21/19/295822119.db2.gz SWFMABNXEDEZTP-NWDGAFQWSA-N 1 2 311.411 1.415 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)NCc1cn2ccccc2[nH+]1 ZINC000007050324 352121050 /nfs/dbraw/zinc/12/10/50/352121050.db2.gz CICLVFJZEZKOLC-UHFFFAOYSA-N 1 2 312.354 1.684 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[NH+]1CCC(O)CC1 ZINC000023993338 352186681 /nfs/dbraw/zinc/18/66/81/352186681.db2.gz VPKDAXLSEAHELP-UHFFFAOYSA-N 1 2 305.403 1.697 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)CSc1[nH+]cnc2c1cnn2C ZINC000011679412 352145647 /nfs/dbraw/zinc/14/56/47/352145647.db2.gz PPYPXYOWBABVPG-CQSZACIVSA-N 1 2 318.406 1.510 20 30 DDEDLO CN(C)c1ccc(CNC(=O)C(=O)Nc2ccc(C#N)cc2)c[nH+]1 ZINC000041637626 352310833 /nfs/dbraw/zinc/31/08/33/352310833.db2.gz SPNHYPTVDOCDJF-UHFFFAOYSA-N 1 2 323.356 1.274 20 30 DDEDLO CC(C)(CNC(=O)Nc1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000043329965 352365744 /nfs/dbraw/zinc/36/57/44/352365744.db2.gz AOSXGRMGTCUWOA-UHFFFAOYSA-N 1 2 302.378 1.791 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000045491027 352414074 /nfs/dbraw/zinc/41/40/74/352414074.db2.gz BYOWBGFQIAHOOJ-CYBMUJFWSA-N 1 2 301.394 1.983 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)CCc1ccc(C#N)cc1 ZINC000046884469 352468162 /nfs/dbraw/zinc/46/81/62/352468162.db2.gz BILYQNDRDGAIGQ-AWEZNQCLSA-N 1 2 301.390 1.328 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](Cc1ccc(F)cc1F)C1CC1 ZINC000052076130 352599596 /nfs/dbraw/zinc/59/95/96/352599596.db2.gz IRSMVGDRUZYYKA-UHFFFAOYSA-N 1 2 323.343 1.941 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](Cc1ccc(F)cc1F)C1CC1 ZINC000052076130 352599597 /nfs/dbraw/zinc/59/95/97/352599597.db2.gz IRSMVGDRUZYYKA-UHFFFAOYSA-N 1 2 323.343 1.941 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(CCOc2ccccc2)CC1 ZINC000052659538 352622182 /nfs/dbraw/zinc/62/21/82/352622182.db2.gz VRTBPWBXSYOONK-MRXNPFEDSA-N 1 2 317.433 1.374 20 30 DDEDLO CC[N@@H+](CC(=O)NCc1ccccc1COC)C[C@H](C)C#N ZINC000064249215 352932138 /nfs/dbraw/zinc/93/21/38/352932138.db2.gz VQIAWUWZRPEHNE-CQSZACIVSA-N 1 2 303.406 1.931 20 30 DDEDLO CC[N@H+](CC(=O)NCc1ccccc1COC)C[C@H](C)C#N ZINC000064249215 352932141 /nfs/dbraw/zinc/93/21/41/352932141.db2.gz VQIAWUWZRPEHNE-CQSZACIVSA-N 1 2 303.406 1.931 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C(=O)C[C@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC000491773385 234282635 /nfs/dbraw/zinc/28/26/35/234282635.db2.gz UXNCQUIUZWHNKL-IAQYHMDHSA-N 1 2 303.318 1.443 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C(=O)C[C@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC000491773385 234282639 /nfs/dbraw/zinc/28/26/39/234282639.db2.gz UXNCQUIUZWHNKL-IAQYHMDHSA-N 1 2 303.318 1.443 20 30 DDEDLO C=CC[N@H+](CC(=O)NC[C@H]1CCCO1)Cc1cccc(C#N)c1 ZINC000068855643 353133627 /nfs/dbraw/zinc/13/36/27/353133627.db2.gz RCZBMRSMZWMDFT-QGZVFWFLSA-N 1 2 313.401 1.841 20 30 DDEDLO C=CC[N@@H+](CC(=O)NC[C@H]1CCCO1)Cc1cccc(C#N)c1 ZINC000068855643 353133629 /nfs/dbraw/zinc/13/36/29/353133629.db2.gz RCZBMRSMZWMDFT-QGZVFWFLSA-N 1 2 313.401 1.841 20 30 DDEDLO CC(C)N1C(=O)C[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)CC1(C)C ZINC000075924759 353386119 /nfs/dbraw/zinc/38/61/19/353386119.db2.gz KCJAVMUAHUPTLQ-ZDUSSCGKSA-N 1 2 308.426 1.078 20 30 DDEDLO CC(C)N1C(=O)C[N@H+]([C@@H](C)C(=O)N(C)CCC#N)CC1(C)C ZINC000075924759 353386120 /nfs/dbraw/zinc/38/61/20/353386120.db2.gz KCJAVMUAHUPTLQ-ZDUSSCGKSA-N 1 2 308.426 1.078 20 30 DDEDLO C=C(Cl)CNC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000077573006 353476820 /nfs/dbraw/zinc/47/68/20/353476820.db2.gz NKPJJVXVTHIUDA-ZDUSSCGKSA-N 1 2 319.858 1.246 20 30 DDEDLO N#CCCN(CCO[C@H]1CCCCO1)CC[NH+]1CCOCC1 ZINC000181729940 354270159 /nfs/dbraw/zinc/27/01/59/354270159.db2.gz JGEVKHQYXBZJNU-INIZCTEOSA-N 1 2 311.426 1.077 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCN(Cc2ccccc2)[C@@H](C#N)C1 ZINC000185659539 354281505 /nfs/dbraw/zinc/28/15/05/354281505.db2.gz SCQYCGPGACFMTC-KRWDZBQOSA-N 1 2 323.400 1.199 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2cc(C#N)c[nH]2)C1 ZINC000276443992 283023319 /nfs/dbraw/zinc/02/33/19/283023319.db2.gz MFPNUEPQNZIFRY-AWEZNQCLSA-N 1 2 302.378 1.069 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2cc(C#N)c[nH]2)C1 ZINC000276443992 283023322 /nfs/dbraw/zinc/02/33/22/283023322.db2.gz MFPNUEPQNZIFRY-AWEZNQCLSA-N 1 2 302.378 1.069 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000521639187 354688748 /nfs/dbraw/zinc/68/87/48/354688748.db2.gz BNYKXCUZBTWNEQ-ZDUSSCGKSA-N 1 2 302.378 1.609 20 30 DDEDLO COc1cc(NC[C@@H](O)C[N@H+](C)CCC#N)c(C)c(OC)c1 ZINC000581425481 354733988 /nfs/dbraw/zinc/73/39/88/354733988.db2.gz XKTLEYMHSWXOLX-CYBMUJFWSA-N 1 2 307.394 1.631 20 30 DDEDLO COc1cc(NC[C@@H](O)C[N@@H+](C)CCC#N)c(C)c(OC)c1 ZINC000581425481 354733991 /nfs/dbraw/zinc/73/39/91/354733991.db2.gz XKTLEYMHSWXOLX-CYBMUJFWSA-N 1 2 307.394 1.631 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]Cc1cc(Cl)cc(S(N)(=O)=O)c1 ZINC000584789124 354769861 /nfs/dbraw/zinc/76/98/61/354769861.db2.gz UQGQEYKUURKFLD-NSHDSACASA-N 1 2 301.799 1.769 20 30 DDEDLO C[C@@H](NC(=O)c1cc(O)cc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000589179963 354968297 /nfs/dbraw/zinc/96/82/97/354968297.db2.gz STMOWEPYEYRWEU-NEPJUHHUSA-N 1 2 303.362 1.103 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)c1cccc(C#N)n1 ZINC000589454866 354991988 /nfs/dbraw/zinc/99/19/88/354991988.db2.gz SARWXOHWJMXDBY-UHFFFAOYSA-N 1 2 323.356 1.746 20 30 DDEDLO Cc1c(CC(=O)N[C@](C)(C#N)C[NH+](C)C)cccc1[N+](=O)[O-] ZINC000589826937 355022712 /nfs/dbraw/zinc/02/27/12/355022712.db2.gz HOUDRUAXIXLGAU-OAHLLOKOSA-N 1 2 304.350 1.406 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H](N2CCn3c[nH+]cc3C2)C1=O ZINC000590599059 355125891 /nfs/dbraw/zinc/12/58/91/355125891.db2.gz FULNVTFJOASXIY-ZDUSSCGKSA-N 1 2 313.386 1.437 20 30 DDEDLO CS(=O)(=O)C[C@H]([NH2+]C[C@H](C#N)CCC#N)c1ccccc1 ZINC000591747826 355376257 /nfs/dbraw/zinc/37/62/57/355376257.db2.gz MGBZFKJIWNOCJE-ZFWWWQNUSA-N 1 2 305.403 1.805 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)NCc2cccc(Cl)c2)C1 ZINC000592146518 355511480 /nfs/dbraw/zinc/51/14/80/355511480.db2.gz IXSSBLUBDPYKQL-OAHLLOKOSA-N 1 2 307.781 1.307 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)NCc2cccc(Cl)c2)C1 ZINC000592146518 355511482 /nfs/dbraw/zinc/51/14/82/355511482.db2.gz IXSSBLUBDPYKQL-OAHLLOKOSA-N 1 2 307.781 1.307 20 30 DDEDLO CCN(C(=O)[C@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1 ZINC000592147167 355512512 /nfs/dbraw/zinc/51/25/12/355512512.db2.gz IVVVSHLETOQZKR-YOEHRIQHSA-N 1 2 301.390 1.778 20 30 DDEDLO CCN(C(=O)[C@H](C)[N@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1 ZINC000592147167 355512515 /nfs/dbraw/zinc/51/25/15/355512515.db2.gz IVVVSHLETOQZKR-YOEHRIQHSA-N 1 2 301.390 1.778 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2ccnn2-c2ccc(F)cc2)C1 ZINC000592521671 355611102 /nfs/dbraw/zinc/61/11/02/355611102.db2.gz ADPVZQFUYDFNTN-INIZCTEOSA-N 1 2 300.337 1.862 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2ccnn2-c2ccc(F)cc2)C1 ZINC000592521671 355611107 /nfs/dbraw/zinc/61/11/07/355611107.db2.gz ADPVZQFUYDFNTN-INIZCTEOSA-N 1 2 300.337 1.862 20 30 DDEDLO N#CC[C@]1(O)CCN(C(=O)c2cccc(Cn3cc[nH+]c3)c2)C1 ZINC000592843009 355712156 /nfs/dbraw/zinc/71/21/56/355712156.db2.gz NNVLDCNNHYFNKX-KRWDZBQOSA-N 1 2 310.357 1.422 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000594009036 356086002 /nfs/dbraw/zinc/08/60/02/356086002.db2.gz ZUKFZWBVDXHXKU-NSHDSACASA-N 1 2 309.391 1.310 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ccnc(C#N)n3)c[nH+]2)C[C@@H](C)O1 ZINC000594544727 356246243 /nfs/dbraw/zinc/24/62/43/356246243.db2.gz DGSUPSUCUHQCFR-CHWSQXEVSA-N 1 2 324.388 1.391 20 30 DDEDLO C[C@H](NC(=O)[C@]1(C#N)CC12CCCC2)[C@H](C)[NH+]1CCOCC1 ZINC000333235130 299239592 /nfs/dbraw/zinc/23/95/92/299239592.db2.gz VLKYAFPIUHOASO-GRDNDAEWSA-N 1 2 305.422 1.686 20 30 DDEDLO Cc1cn2cc(NC(=O)C(=O)N3CC[C@](C)(C#N)C3)ccc2[nH+]1 ZINC000595208999 356408332 /nfs/dbraw/zinc/40/83/32/356408332.db2.gz ZETXJBMZAPICEW-MRXNPFEDSA-N 1 2 311.345 1.343 20 30 DDEDLO Cc1cc(C)c(NC(=O)C(=O)N2CC[C@@](C)(C#N)C2)c(C)[nH+]1 ZINC000595212725 356409914 /nfs/dbraw/zinc/40/99/14/356409914.db2.gz NHZDRYSLCBSQQW-INIZCTEOSA-N 1 2 300.362 1.708 20 30 DDEDLO C[C@@H](NS(=O)(=O)CC1(C#N)CCCCC1)c1[nH+]ccn1C ZINC000595382396 356473301 /nfs/dbraw/zinc/47/33/01/356473301.db2.gz HEZBCZICDWDPJK-GFCCVEGCSA-N 1 2 310.423 1.875 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3cnccc3C#N)CC2)nc(C)n1 ZINC000595501961 356520915 /nfs/dbraw/zinc/52/09/15/356520915.db2.gz OLPSAYOOZHRYJN-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N1CCC[C@@H](CC#N)C1)[NH+]1CCOCC1 ZINC000595514751 356526719 /nfs/dbraw/zinc/52/67/19/356526719.db2.gz FEONNGLEIDTOIX-ZNMIVQPWSA-N 1 2 308.426 1.431 20 30 DDEDLO Cc1ccc(C#N)nc1NC[C@H](C(C)C)N1CC[NH+](C)CC1 ZINC000596070940 356761810 /nfs/dbraw/zinc/76/18/10/356761810.db2.gz VMEKPOJHDOFZNC-MRXNPFEDSA-N 1 2 301.438 1.946 20 30 DDEDLO Cc1nc(CN2CC[NH+](CCCCC(C)(C)C#N)CC2)n[nH]1 ZINC000618288433 363567025 /nfs/dbraw/zinc/56/70/25/363567025.db2.gz NALDLQAOIKJLSJ-UHFFFAOYSA-N 1 2 304.442 1.951 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2cccc(CC#N)c2)[C@@H](C)CO1 ZINC000597999214 357415872 /nfs/dbraw/zinc/41/58/72/357415872.db2.gz RHQAVGPSEFUYCQ-KBPBESRZSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2cccc(CC#N)c2)[C@@H](C)CO1 ZINC000597999214 357415875 /nfs/dbraw/zinc/41/58/75/357415875.db2.gz RHQAVGPSEFUYCQ-KBPBESRZSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@@H]1C[C@@H](CCNC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)CCO1 ZINC000329629333 223005928 /nfs/dbraw/zinc/00/59/28/223005928.db2.gz UYZRGQCKGYNWNE-CBBWQLFWSA-N 1 2 311.426 1.120 20 30 DDEDLO C[C@@H]1C[C@@H](CCNC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)CCO1 ZINC000329629333 223005932 /nfs/dbraw/zinc/00/59/32/223005932.db2.gz UYZRGQCKGYNWNE-CBBWQLFWSA-N 1 2 311.426 1.120 20 30 DDEDLO Cc1cc2c(cc1NC(=O)N1C[C@@H]3[C@H](C1)OCC[N@H+]3C)OCO2 ZINC000329711136 223017728 /nfs/dbraw/zinc/01/77/28/223017728.db2.gz OEXMUCQEZDYQKF-DOMZBBRYSA-N 1 2 319.361 1.284 20 30 DDEDLO Cc1cc2c(cc1NC(=O)N1C[C@@H]3[C@H](C1)OCC[N@@H+]3C)OCO2 ZINC000329711136 223017731 /nfs/dbraw/zinc/01/77/31/223017731.db2.gz OEXMUCQEZDYQKF-DOMZBBRYSA-N 1 2 319.361 1.284 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N[C@H]2CCn3c[nH+]cc3C2)cc1 ZINC000598948464 357772031 /nfs/dbraw/zinc/77/20/31/357772031.db2.gz QBQHLHZAVFKAKQ-HOTGVXAUSA-N 1 2 319.368 1.568 20 30 DDEDLO CCOC(=O)C[N@H+](CC)C[C@H](O)COc1ccccc1C#N ZINC000599281565 357873768 /nfs/dbraw/zinc/87/37/68/357873768.db2.gz FXYHNCDTTRGQQA-AWEZNQCLSA-N 1 2 306.362 1.183 20 30 DDEDLO CCOC(=O)C[N@@H+](CC)C[C@H](O)COc1ccccc1C#N ZINC000599281565 357873771 /nfs/dbraw/zinc/87/37/71/357873771.db2.gz FXYHNCDTTRGQQA-AWEZNQCLSA-N 1 2 306.362 1.183 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(Cc3nccn3C)CC2)cc1C#N ZINC000599289167 357876889 /nfs/dbraw/zinc/87/68/89/357876889.db2.gz AYBSASXHDFULAP-UHFFFAOYSA-N 1 2 309.417 1.918 20 30 DDEDLO COC(=O)C1([NH2+]C[C@@H](O)CC2(C#N)CCOCC2)CCCC1 ZINC000599343401 357902787 /nfs/dbraw/zinc/90/27/87/357902787.db2.gz RKIZFEJLTMSUEZ-ZDUSSCGKSA-N 1 2 310.394 1.133 20 30 DDEDLO CC(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000599699162 358031326 /nfs/dbraw/zinc/03/13/26/358031326.db2.gz CTXKKHNFTUZLHA-MRXNPFEDSA-N 1 2 314.389 1.487 20 30 DDEDLO CC(=O)NC[C@H]1CCCC[N@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000599699162 358031329 /nfs/dbraw/zinc/03/13/29/358031329.db2.gz CTXKKHNFTUZLHA-MRXNPFEDSA-N 1 2 314.389 1.487 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+]C1(c2c(F)cccc2F)CCC1 ZINC000599701950 358032326 /nfs/dbraw/zinc/03/23/26/358032326.db2.gz ZSOLYWNFQBSPCT-UHFFFAOYSA-N 1 2 323.343 1.945 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000599730621 358042127 /nfs/dbraw/zinc/04/21/27/358042127.db2.gz OICFWIBVEXOLBN-GFCCVEGCSA-N 1 2 304.350 1.019 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NC[C@@H]1COCC[N@H+]1C ZINC000599730621 358042130 /nfs/dbraw/zinc/04/21/30/358042130.db2.gz OICFWIBVEXOLBN-GFCCVEGCSA-N 1 2 304.350 1.019 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccc(C#N)s1 ZINC000180589408 199180239 /nfs/dbraw/zinc/18/02/39/199180239.db2.gz TYQRWUXFYFZFCB-LBPRGKRZSA-N 1 2 324.413 1.992 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccc(C#N)s1 ZINC000180589408 199180241 /nfs/dbraw/zinc/18/02/41/199180241.db2.gz TYQRWUXFYFZFCB-LBPRGKRZSA-N 1 2 324.413 1.992 20 30 DDEDLO C[C@H](NC(=O)c1ccccc1CC#N)[C@H](C)[NH+]1CCOCC1 ZINC000601040364 358390417 /nfs/dbraw/zinc/39/04/17/358390417.db2.gz WVATUSOMSBHXAY-KBPBESRZSA-N 1 2 301.390 1.592 20 30 DDEDLO N#CC1(NC(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CCOCC1 ZINC000601181432 358436745 /nfs/dbraw/zinc/43/67/45/358436745.db2.gz JBEYDBMFWLHZSY-UHFFFAOYSA-N 1 2 310.357 1.604 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCNC(=O)CC2)c(Br)c1 ZINC000181081893 199249819 /nfs/dbraw/zinc/24/98/19/199249819.db2.gz FTNSSCHFURHWEK-UHFFFAOYSA-N 1 2 308.179 1.643 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCNC(=O)CC2)c(Br)c1 ZINC000181081893 199249821 /nfs/dbraw/zinc/24/98/21/199249821.db2.gz FTNSSCHFURHWEK-UHFFFAOYSA-N 1 2 308.179 1.643 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N[C@H]2CCn3c[nH+]cc3C2)cc1 ZINC000601966734 358756746 /nfs/dbraw/zinc/75/67/46/358756746.db2.gz DHAYBOLRIYQYAE-LBPRGKRZSA-N 1 2 302.359 1.048 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601978677 358763698 /nfs/dbraw/zinc/76/36/98/358763698.db2.gz ZQMPPECNXIPFIV-WDEREUQCSA-N 1 2 301.337 1.293 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601978677 358763700 /nfs/dbraw/zinc/76/37/00/358763700.db2.gz ZQMPPECNXIPFIV-WDEREUQCSA-N 1 2 301.337 1.293 20 30 DDEDLO CC[N@@H+](Cc1ccc(Cl)c(S(N)(=O)=O)c1)C[C@H](C)C#N ZINC000602448088 358983467 /nfs/dbraw/zinc/98/34/67/358983467.db2.gz RZPMMRDIVYHKOP-SNVBAGLBSA-N 1 2 315.826 1.969 20 30 DDEDLO CC[N@H+](Cc1ccc(Cl)c(S(N)(=O)=O)c1)C[C@H](C)C#N ZINC000602448088 358983474 /nfs/dbraw/zinc/98/34/74/358983474.db2.gz RZPMMRDIVYHKOP-SNVBAGLBSA-N 1 2 315.826 1.969 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)c1C ZINC000602619411 359077103 /nfs/dbraw/zinc/07/71/03/359077103.db2.gz YXZFHLLIHPIFGC-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO N#Cc1cccc(CNc2cccc(CN3CCOCC3)[nH+]2)n1 ZINC000602577710 359052405 /nfs/dbraw/zinc/05/24/05/359052405.db2.gz JOGMTAUOCOYXRV-UHFFFAOYSA-N 1 2 309.373 1.793 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)N(C)Cc2cccs2)C[C@H](C)N1CC#N ZINC000602854302 359240346 /nfs/dbraw/zinc/24/03/46/359240346.db2.gz BCOXGIVGXDJRND-KBPBESRZSA-N 1 2 320.462 1.625 20 30 DDEDLO CC[C@@H]1CCCCN1C(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602856583 359242438 /nfs/dbraw/zinc/24/24/38/359242438.db2.gz LDRLXDYCXDJXHM-BZUAXINKSA-N 1 2 306.454 1.696 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)N(C)CC2CCCCC2)C[C@@H](C)N1CC#N ZINC000602859239 359244663 /nfs/dbraw/zinc/24/46/63/359244663.db2.gz XQKVAQHYDUZCIU-HZPDHXFCSA-N 1 2 320.481 1.943 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)Nc1cccc(N2CCCC2=O)c1 ZINC000602862751 359247395 /nfs/dbraw/zinc/24/73/95/359247395.db2.gz JREUMYJEFVYESK-LBPRGKRZSA-N 1 2 300.362 1.644 20 30 DDEDLO C[C@@H]1CN(C(=O)Nc2ccc3[nH+]ccn3c2)C[C@H](C)N1CC#N ZINC000602866713 359251558 /nfs/dbraw/zinc/25/15/58/359251558.db2.gz XBHORLBTWQGQLB-BETUJISGSA-N 1 2 312.377 1.784 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@H]2CCN(C3CCC3)C2=O)CC1 ZINC000602796800 359198168 /nfs/dbraw/zinc/19/81/68/359198168.db2.gz SOIWQLYGDJDXQR-HOTGVXAUSA-N 1 2 304.438 1.306 20 30 DDEDLO CCN1OC[C@@H]([NH+]2CCC(Nc3ccccc3C#N)CC2)C1=O ZINC000602897759 359279213 /nfs/dbraw/zinc/27/92/13/359279213.db2.gz ZEFCNLRUKQVOBC-MRXNPFEDSA-N 1 2 314.389 1.597 20 30 DDEDLO COCC[N@H+](C)Cc1cn(Cc2ccc(Cl)cc2C#N)nn1 ZINC000603242966 359508580 /nfs/dbraw/zinc/50/85/80/359508580.db2.gz KQDBEKMBHQLVSO-UHFFFAOYSA-N 1 2 319.796 1.930 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(Cc2ccc(Cl)cc2C#N)nn1 ZINC000603242966 359508584 /nfs/dbraw/zinc/50/85/84/359508584.db2.gz KQDBEKMBHQLVSO-UHFFFAOYSA-N 1 2 319.796 1.930 20 30 DDEDLO COC1CC[NH+](Cc2cn(Cc3nc(C#N)cs3)nn2)CC1 ZINC000603248399 359514394 /nfs/dbraw/zinc/51/43/94/359514394.db2.gz JEKRZXCJGLOZEP-UHFFFAOYSA-N 1 2 318.406 1.265 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc([C@@H](C)SCC)no2)nn1 ZINC000603252391 359517060 /nfs/dbraw/zinc/51/70/60/359517060.db2.gz YRRHRJAFPGVAGE-LLVKDONJSA-N 1 2 320.422 1.636 20 30 DDEDLO N#Cc1ccc2c(c1)N(S(=O)(=O)CCn1cc[nH+]c1)CC2 ZINC000603303052 359555317 /nfs/dbraw/zinc/55/53/17/359555317.db2.gz GUMWKIICIMPXQE-UHFFFAOYSA-N 1 2 302.359 1.147 20 30 DDEDLO N#Cc1cc(N2CC(n3cc[nH+]c3)C2)ncc1Br ZINC000603311970 359561836 /nfs/dbraw/zinc/56/18/36/359561836.db2.gz WLTODTLYAHJMFE-UHFFFAOYSA-N 1 2 304.151 1.974 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@@H+]2CC(=O)N[C@](C)(C#N)C2CC2)on1 ZINC000603851164 359687796 /nfs/dbraw/zinc/68/77/96/359687796.db2.gz PDDVBQXPNUQQCE-XJKSGUPXSA-N 1 2 302.378 1.928 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@H+]2CC(=O)N[C@](C)(C#N)C2CC2)on1 ZINC000603851164 359687798 /nfs/dbraw/zinc/68/77/98/359687798.db2.gz PDDVBQXPNUQQCE-XJKSGUPXSA-N 1 2 302.378 1.928 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000618548862 363666959 /nfs/dbraw/zinc/66/69/59/363666959.db2.gz LCINJMJFYUVPNR-NSHDSACASA-N 1 2 321.425 1.539 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000618548862 363666967 /nfs/dbraw/zinc/66/69/67/363666967.db2.gz LCINJMJFYUVPNR-NSHDSACASA-N 1 2 321.425 1.539 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[NH2+][C@H]1CCC[C@@H]1C#N ZINC000329832142 223035502 /nfs/dbraw/zinc/03/55/02/223035502.db2.gz KHCACBBLMDMKJY-HIFRSBDPSA-N 1 2 303.410 1.347 20 30 DDEDLO N#CCN1CCC(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000609329508 360293857 /nfs/dbraw/zinc/29/38/57/360293857.db2.gz PACHZVXRQGJLFC-UHFFFAOYSA-N 1 2 309.373 1.590 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2cc(F)ccc2[C@H]1C ZINC000610003951 360371684 /nfs/dbraw/zinc/37/16/84/360371684.db2.gz SIVZQOCUVJXAKI-LLVKDONJSA-N 1 2 305.353 1.757 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2cc(F)ccc2[C@H]1C ZINC000610003951 360371690 /nfs/dbraw/zinc/37/16/90/360371690.db2.gz SIVZQOCUVJXAKI-LLVKDONJSA-N 1 2 305.353 1.757 20 30 DDEDLO C[N@@H+](Cc1cc[nH]n1)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000610216374 360392046 /nfs/dbraw/zinc/39/20/46/360392046.db2.gz AKDCZGNQJCBXAH-HNNXBMFYSA-N 1 2 300.362 1.347 20 30 DDEDLO C[N@H+](Cc1cc[nH]n1)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000610216374 360392048 /nfs/dbraw/zinc/39/20/48/360392048.db2.gz AKDCZGNQJCBXAH-HNNXBMFYSA-N 1 2 300.362 1.347 20 30 DDEDLO COc1cc(C#N)ccc1OCC[NH+]1CCN(CCC#N)CC1 ZINC000611174239 360646359 /nfs/dbraw/zinc/64/63/59/360646359.db2.gz BQYOBJWFWYPPMT-UHFFFAOYSA-N 1 2 314.389 1.477 20 30 DDEDLO CC1(C#N)CC[NH+]([C@@H]2CC(=O)N(c3cccc(O)c3)C2=O)CC1 ZINC000611537138 360752069 /nfs/dbraw/zinc/75/20/69/360752069.db2.gz YNWINYMKOVFJEB-CQSZACIVSA-N 1 2 313.357 1.650 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000611552230 360756123 /nfs/dbraw/zinc/75/61/23/360756123.db2.gz SLIZWEMPYFIBFD-MRXNPFEDSA-N 1 2 318.396 1.533 20 30 DDEDLO N#CCNC(=O)C[N@H+](Cc1ccccc1)C[C@H](O)C(F)(F)F ZINC000611578124 360766245 /nfs/dbraw/zinc/76/62/45/360766245.db2.gz YJNMYPFRRNYQEN-LBPRGKRZSA-N 1 2 315.295 1.052 20 30 DDEDLO N#CCNC(=O)C[N@@H+](Cc1ccccc1)C[C@H](O)C(F)(F)F ZINC000611578124 360766248 /nfs/dbraw/zinc/76/62/48/360766248.db2.gz YJNMYPFRRNYQEN-LBPRGKRZSA-N 1 2 315.295 1.052 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H](OC(F)F)C1 ZINC000611579014 360766603 /nfs/dbraw/zinc/76/66/03/360766603.db2.gz GXASNIGJMULSRN-RISCZKNCSA-N 1 2 303.353 1.744 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H](OC(F)F)C1 ZINC000611579014 360766606 /nfs/dbraw/zinc/76/66/06/360766606.db2.gz GXASNIGJMULSRN-RISCZKNCSA-N 1 2 303.353 1.744 20 30 DDEDLO C[C@@H]1CC[N@@H+]([C@@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C[C@@H]1F ZINC000611491205 360733603 /nfs/dbraw/zinc/73/36/03/360733603.db2.gz QADNTJPTLJSXPH-BYCMXARLSA-N 1 2 315.348 1.870 20 30 DDEDLO C[C@@H]1CC[N@H+]([C@@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C[C@@H]1F ZINC000611491205 360733610 /nfs/dbraw/zinc/73/36/10/360733610.db2.gz QADNTJPTLJSXPH-BYCMXARLSA-N 1 2 315.348 1.870 20 30 DDEDLO C[C@@H]1CC[N@@H+]([C@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C[C@@H]1F ZINC000611491208 360733908 /nfs/dbraw/zinc/73/39/08/360733908.db2.gz QADNTJPTLJSXPH-UGFHNGPFSA-N 1 2 315.348 1.870 20 30 DDEDLO C[C@@H]1CC[N@H+]([C@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C[C@@H]1F ZINC000611491208 360733909 /nfs/dbraw/zinc/73/39/09/360733909.db2.gz QADNTJPTLJSXPH-UGFHNGPFSA-N 1 2 315.348 1.870 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2C[N@H+](Cc3ccccc3)CCO2)c[nH]1 ZINC000271958124 209339555 /nfs/dbraw/zinc/33/95/55/209339555.db2.gz FSEIMAVNMRNXIT-KRWDZBQOSA-N 1 2 324.384 1.517 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)c[nH]1 ZINC000271958124 209339558 /nfs/dbraw/zinc/33/95/58/209339558.db2.gz FSEIMAVNMRNXIT-KRWDZBQOSA-N 1 2 324.384 1.517 20 30 DDEDLO C[C@]1(CNC([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)CCCC[C@@H]1O ZINC000330313011 223102730 /nfs/dbraw/zinc/10/27/30/223102730.db2.gz WWRKFTLZAYTVKX-DVOMOZLQSA-N 1 2 306.410 1.643 20 30 DDEDLO C[C@]1(C[NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)CCCC[C@@H]1O ZINC000330313011 223102732 /nfs/dbraw/zinc/10/27/32/223102732.db2.gz WWRKFTLZAYTVKX-DVOMOZLQSA-N 1 2 306.410 1.643 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNS(=O)(=O)CCCCC#N)CCC2 ZINC000331294626 223199999 /nfs/dbraw/zinc/19/99/99/223199999.db2.gz RVVFTUTYHZXXBW-ZDUSSCGKSA-N 1 2 310.423 1.682 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000612901944 361188092 /nfs/dbraw/zinc/18/80/92/361188092.db2.gz MNIBLMPZGSVUCF-CABCVRRESA-N 1 2 316.405 1.247 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@@H](c1ccc(Cl)cc1)[C@@H]1C[N@H+](C)CCO1 ZINC000613153907 361295957 /nfs/dbraw/zinc/29/59/57/361295957.db2.gz HTVPLHANYVRKID-KKUMJFAQSA-N 1 2 324.808 1.375 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@@H](c1ccc(Cl)cc1)[C@@H]1C[N@@H+](C)CCO1 ZINC000613153907 361295960 /nfs/dbraw/zinc/29/59/60/361295960.db2.gz HTVPLHANYVRKID-KKUMJFAQSA-N 1 2 324.808 1.375 20 30 DDEDLO C=C[C@H](N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1)C(=O)OC ZINC000619701723 364119912 /nfs/dbraw/zinc/11/99/12/364119912.db2.gz SJAPXRHDGJZOSG-IRXDYDNUSA-N 1 2 318.417 1.247 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000619883474 364173985 /nfs/dbraw/zinc/17/39/85/364173985.db2.gz JZKZKZNTVHERNJ-SFHVURJKSA-N 1 2 324.465 1.786 20 30 DDEDLO C#CCOc1ccccc1C[N@H+](CCOC)CC(=O)N(C)C ZINC000091774278 193106047 /nfs/dbraw/zinc/10/60/47/193106047.db2.gz JTHVCSSBPXQZCW-UHFFFAOYSA-N 1 2 304.390 1.235 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+](CCOC)CC(=O)N(C)C ZINC000091774278 193106048 /nfs/dbraw/zinc/10/60/48/193106048.db2.gz JTHVCSSBPXQZCW-UHFFFAOYSA-N 1 2 304.390 1.235 20 30 DDEDLO C[C@@H](NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C)c1ccc(C#N)cc1 ZINC000264755831 204130877 /nfs/dbraw/zinc/13/08/77/204130877.db2.gz SEHFDZBAHQRQOE-CJNGLKHVSA-N 1 2 315.421 1.164 20 30 DDEDLO C[C@@H](NC(=O)NC[C@H]1CN(C)CC[N@H+]1C)c1ccc(C#N)cc1 ZINC000264755831 204130881 /nfs/dbraw/zinc/13/08/81/204130881.db2.gz SEHFDZBAHQRQOE-CJNGLKHVSA-N 1 2 315.421 1.164 20 30 DDEDLO C[C@H](CN(C)C(=O)CCn1cc[nH+]c1)Nc1ccc(C#N)cn1 ZINC001120462168 781960944 /nfs/dbraw/zinc/96/09/44/781960944.db2.gz WRPUEFFYWRZQCL-CYBMUJFWSA-N 1 2 312.377 1.499 20 30 DDEDLO C=CCCOCCNC(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000271727202 209162071 /nfs/dbraw/zinc/16/20/71/209162071.db2.gz BKHKETHRELCAKY-UHFFFAOYSA-N 1 2 316.361 1.290 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cnccn3)CC2)cc1 ZINC000017843307 182087133 /nfs/dbraw/zinc/08/71/33/182087133.db2.gz QJYAVBNNVJHPKU-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO CCOCCO[C@H]1CC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000157711223 248310210 /nfs/dbraw/zinc/31/02/10/248310210.db2.gz QCABFZRFSFZZIB-HOTGVXAUSA-N 1 2 317.389 1.212 20 30 DDEDLO CCOCCO[C@H]1CC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000157711223 248310215 /nfs/dbraw/zinc/31/02/15/248310215.db2.gz QCABFZRFSFZZIB-HOTGVXAUSA-N 1 2 317.389 1.212 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1CC[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000284634979 218108719 /nfs/dbraw/zinc/10/87/19/218108719.db2.gz OEAPKLOBUBIGDA-HZPDHXFCSA-N 1 2 314.389 1.804 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1CC[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000284634979 218108722 /nfs/dbraw/zinc/10/87/22/218108722.db2.gz OEAPKLOBUBIGDA-HZPDHXFCSA-N 1 2 314.389 1.804 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cncnc3)CC2)cc1 ZINC000273856695 211118701 /nfs/dbraw/zinc/11/87/01/211118701.db2.gz TXNZPRBYWWLARH-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO C[C@H](C(=O)Nc1ccc2[nH]c(N(C)C)[nH+]c2c1)n1cnc(C#N)n1 ZINC000338923827 250199687 /nfs/dbraw/zinc/19/96/87/250199687.db2.gz HDBXWUIDQZRYJY-SECBINFHSA-N 1 2 324.348 1.292 20 30 DDEDLO Cc1[nH]c(=O)c(C#N)c(C)c1CCC(=O)Nc1cc[nH+]cc1O ZINC000109889141 194295209 /nfs/dbraw/zinc/29/52/09/194295209.db2.gz LBBRUEAHZJNJNN-UHFFFAOYSA-N 1 2 312.329 1.535 20 30 DDEDLO CNC(=O)NC[C@@H]1C[N@@H+]([C@@H](C)c2ccc(C#N)cc2)CCO1 ZINC000528629613 269696688 /nfs/dbraw/zinc/69/66/88/269696688.db2.gz WLHBJYPHTNVGCC-SWLSCSKDSA-N 1 2 302.378 1.249 20 30 DDEDLO CNC(=O)NC[C@@H]1C[N@H+]([C@@H](C)c2ccc(C#N)cc2)CCO1 ZINC000528629613 269696689 /nfs/dbraw/zinc/69/66/89/269696689.db2.gz WLHBJYPHTNVGCC-SWLSCSKDSA-N 1 2 302.378 1.249 20 30 DDEDLO COCC[N@H+](CC(=O)N(C)C1CC1)Cc1ccc(C#N)cc1 ZINC000058397648 184113326 /nfs/dbraw/zinc/11/33/26/184113326.db2.gz LYFMFSFZPNXRHN-UHFFFAOYSA-N 1 2 301.390 1.627 20 30 DDEDLO COCC[N@@H+](CC(=O)N(C)C1CC1)Cc1ccc(C#N)cc1 ZINC000058397648 184113328 /nfs/dbraw/zinc/11/33/28/184113328.db2.gz LYFMFSFZPNXRHN-UHFFFAOYSA-N 1 2 301.390 1.627 20 30 DDEDLO CCOC(=O)C1(O)CC[NH+](Cc2cccc(C#N)c2F)CC1 ZINC000340880388 251259012 /nfs/dbraw/zinc/25/90/12/251259012.db2.gz USOWWMZLQCJGHU-UHFFFAOYSA-N 1 2 306.337 1.587 20 30 DDEDLO Cc1c(Cl)cnc(NC[C@H](O)C[NH+]2CCOCC2)c1C#N ZINC000273018892 210399531 /nfs/dbraw/zinc/39/95/31/210399531.db2.gz KPCALPAFYBJQRH-NSHDSACASA-N 1 2 310.785 1.020 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)NCc2ccccc2F)CC1 ZINC000341981676 533109167 /nfs/dbraw/zinc/10/91/67/533109167.db2.gz FYJSBHMCDRMLMF-UHFFFAOYSA-N 1 2 319.380 1.694 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCNC(=O)C1(C)C ZINC000157881064 197223827 /nfs/dbraw/zinc/22/38/27/197223827.db2.gz KDNSIRCTAUTCGA-UHFFFAOYSA-N 1 2 303.362 1.156 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCNC(=O)C1(C)C ZINC000157881064 197223829 /nfs/dbraw/zinc/22/38/29/197223829.db2.gz KDNSIRCTAUTCGA-UHFFFAOYSA-N 1 2 303.362 1.156 20 30 DDEDLO COc1ccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cn1 ZINC000155553343 197066107 /nfs/dbraw/zinc/06/61/07/197066107.db2.gz VQDOLSJHTLNDJS-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO COc1ccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cn1 ZINC000155553343 197066108 /nfs/dbraw/zinc/06/61/08/197066108.db2.gz VQDOLSJHTLNDJS-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCC[N@@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000027565693 406911005 /nfs/dbraw/zinc/91/10/05/406911005.db2.gz ZSURZQBPOPVGNV-AWEZNQCLSA-N 1 2 300.362 1.049 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCC[N@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000027565693 406911008 /nfs/dbraw/zinc/91/10/08/406911008.db2.gz ZSURZQBPOPVGNV-AWEZNQCLSA-N 1 2 300.362 1.049 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccccc2OCC)CC1 ZINC000025511090 406897831 /nfs/dbraw/zinc/89/78/31/406897831.db2.gz GBUJELVHUVSULY-OAHLLOKOSA-N 1 2 315.417 1.345 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000066835373 407260595 /nfs/dbraw/zinc/26/05/95/407260595.db2.gz JXADIBBGNYTALH-CYBMUJFWSA-N 1 2 301.346 1.382 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000066835373 407260597 /nfs/dbraw/zinc/26/05/97/407260597.db2.gz JXADIBBGNYTALH-CYBMUJFWSA-N 1 2 301.346 1.382 20 30 DDEDLO C=CC[N@H+](CC(=O)NC(=O)NC1CC1)Cc1cccc(C#N)c1 ZINC000063300805 407232309 /nfs/dbraw/zinc/23/23/09/407232309.db2.gz CFCNHBGSSSFGMT-UHFFFAOYSA-N 1 2 312.373 1.534 20 30 DDEDLO C=CC[N@@H+](CC(=O)NC(=O)NC1CC1)Cc1cccc(C#N)c1 ZINC000063300805 407232311 /nfs/dbraw/zinc/23/23/11/407232311.db2.gz CFCNHBGSSSFGMT-UHFFFAOYSA-N 1 2 312.373 1.534 20 30 DDEDLO COc1cccc(CCCO[NH+]=C(N)CN2CCOCC2)c1 ZINC000124160525 407350426 /nfs/dbraw/zinc/35/04/26/407350426.db2.gz NBUJFFMZGCKPSM-UHFFFAOYSA-N 1 2 307.394 1.249 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)C2(C#N)CCCC2)CC1 ZINC000106031777 407357987 /nfs/dbraw/zinc/35/79/87/407357987.db2.gz ACMATNNHANGGHY-UHFFFAOYSA-N 1 2 301.394 1.148 20 30 DDEDLO CCOCCN1CC[NH+](C[C@H](O)c2ccc(C#N)cc2)CC1 ZINC000127429599 407436705 /nfs/dbraw/zinc/43/67/05/407436705.db2.gz YIAKMEXPQRBGDM-KRWDZBQOSA-N 1 2 303.406 1.246 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+][C@](C)(C(N)=O)c1ccccc1 ZINC000170645131 407463464 /nfs/dbraw/zinc/46/34/64/407463464.db2.gz ATTCQQVLQQDXBN-KRWDZBQOSA-N 1 2 301.390 1.177 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000128480652 407540531 /nfs/dbraw/zinc/54/05/31/407540531.db2.gz SOZDRVGYLGNMIX-LLVKDONJSA-N 1 2 323.256 1.395 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000128480652 407540537 /nfs/dbraw/zinc/54/05/37/407540537.db2.gz SOZDRVGYLGNMIX-LLVKDONJSA-N 1 2 323.256 1.395 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC(n3cc[nH+]c3)CC2)cn1 ZINC000171105552 407600212 /nfs/dbraw/zinc/60/02/12/407600212.db2.gz AJRLLLSZVWNZSR-UHFFFAOYSA-N 1 2 317.374 1.176 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1 ZINC000266902624 407668682 /nfs/dbraw/zinc/66/86/82/407668682.db2.gz GTZLZBIKUKNXCC-ZDUSSCGKSA-N 1 2 319.380 1.074 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1 ZINC000266902624 407668688 /nfs/dbraw/zinc/66/86/88/407668688.db2.gz GTZLZBIKUKNXCC-ZDUSSCGKSA-N 1 2 319.380 1.074 20 30 DDEDLO CC(C)N1CCC[C@@H](O[NH+]=C(N)c2ccc3c(c2)CCO3)C1=O ZINC000178977883 407678720 /nfs/dbraw/zinc/67/87/20/407678720.db2.gz MVVHTUFRVUOGAE-OAHLLOKOSA-N 1 2 317.389 1.658 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)c1 ZINC000131294412 407727955 /nfs/dbraw/zinc/72/79/55/407727955.db2.gz ARPVBFOSDSPKFR-CQSZACIVSA-N 1 2 322.368 1.182 20 30 DDEDLO C#CC[N@H+](CC)Cc1nc(=O)c2c(C)c(C(=O)OC)sc2[nH]1 ZINC000266895736 407664832 /nfs/dbraw/zinc/66/48/32/407664832.db2.gz FAYPAMUKPNKRMH-UHFFFAOYSA-N 1 2 319.386 1.947 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@H]1c1ccc(COC)o1 ZINC000131398181 407733407 /nfs/dbraw/zinc/73/34/07/407733407.db2.gz ODFRUGPOULGRNZ-ZDUSSCGKSA-N 1 2 321.377 1.575 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@H]1c1ccc(COC)o1 ZINC000131398181 407733411 /nfs/dbraw/zinc/73/34/11/407733411.db2.gz ODFRUGPOULGRNZ-ZDUSSCGKSA-N 1 2 321.377 1.575 20 30 DDEDLO C[C@@H](C(=O)NCc1cc(C#N)ccc1F)[NH+]1CCSCC1 ZINC000153070136 407747584 /nfs/dbraw/zinc/74/75/84/407747584.db2.gz NFVIYLKXJBWUQZ-NSHDSACASA-N 1 2 307.394 1.751 20 30 DDEDLO C=CCOc1ccc(C(=O)NCCc2[nH]cc[nH+]2)cc1OC ZINC000171757329 407761450 /nfs/dbraw/zinc/76/14/50/407761450.db2.gz SRJPFTWRWBCREJ-UHFFFAOYSA-N 1 2 301.346 1.956 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](C[C@H](O)COc2ccccc2)CC1 ZINC000117312087 407831701 /nfs/dbraw/zinc/83/17/01/407831701.db2.gz YJYVPMVMTZGUGB-INIZCTEOSA-N 1 2 318.417 1.537 20 30 DDEDLO C#CCSCCNC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000153601780 407861243 /nfs/dbraw/zinc/86/12/43/407861243.db2.gz SKMITIPGWFDPBS-UHFFFAOYSA-N 1 2 306.435 1.428 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCc2ccccc2[C@H]1CO)C1CC1 ZINC000268142484 407909964 /nfs/dbraw/zinc/90/99/64/407909964.db2.gz QFULRXYKJJWHJZ-SJLPKXTDSA-N 1 2 313.401 1.387 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCc2ccccc2[C@H]1CO)C1CC1 ZINC000268142484 407909970 /nfs/dbraw/zinc/90/99/70/407909970.db2.gz QFULRXYKJJWHJZ-SJLPKXTDSA-N 1 2 313.401 1.387 20 30 DDEDLO COc1ccc(OC)c(C[NH+]2CCN(C(=O)CC#N)CC2)c1 ZINC000153535782 407846679 /nfs/dbraw/zinc/84/66/79/407846679.db2.gz FTBLMKPEIKNDIW-UHFFFAOYSA-N 1 2 303.362 1.262 20 30 DDEDLO CSCCCON=C(N)C[NH+]1CCN(c2ccccc2)CC1 ZINC000174119604 407918355 /nfs/dbraw/zinc/91/83/55/407918355.db2.gz XGZVJPLUZMHSIJ-UHFFFAOYSA-N 1 2 322.478 1.851 20 30 DDEDLO CC(C)N(C)C(=O)[C@H](C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000175036812 408036171 /nfs/dbraw/zinc/03/61/71/408036171.db2.gz XFSFFFBCUGKWST-AWEZNQCLSA-N 1 2 315.421 1.331 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC000268445384 408047204 /nfs/dbraw/zinc/04/72/04/408047204.db2.gz HULMFJYDBYKAMG-CYBMUJFWSA-N 1 2 304.398 1.055 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC000268445384 408047209 /nfs/dbraw/zinc/04/72/09/408047209.db2.gz HULMFJYDBYKAMG-CYBMUJFWSA-N 1 2 304.398 1.055 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000268445384 408047214 /nfs/dbraw/zinc/04/72/14/408047214.db2.gz HULMFJYDBYKAMG-CYBMUJFWSA-N 1 2 304.398 1.055 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000268445384 408047219 /nfs/dbraw/zinc/04/72/19/408047219.db2.gz HULMFJYDBYKAMG-CYBMUJFWSA-N 1 2 304.398 1.055 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000268445384 408047223 /nfs/dbraw/zinc/04/72/23/408047223.db2.gz HULMFJYDBYKAMG-CYBMUJFWSA-N 1 2 304.398 1.055 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000268445384 408047229 /nfs/dbraw/zinc/04/72/29/408047229.db2.gz HULMFJYDBYKAMG-CYBMUJFWSA-N 1 2 304.398 1.055 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+](C)[C@@H](C)[C@@H](C)S(C)(=O)=O ZINC000154303073 408015741 /nfs/dbraw/zinc/01/57/41/408015741.db2.gz DNVAMNNXUPTBRO-NWDGAFQWSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+](C)[C@@H](C)[C@@H](C)S(C)(=O)=O ZINC000154303073 408015746 /nfs/dbraw/zinc/01/57/46/408015746.db2.gz DNVAMNNXUPTBRO-NWDGAFQWSA-N 1 2 310.419 1.820 20 30 DDEDLO COC(=O)CN(CC#N)C(=O)/C=C/c1ccc(-n2cc[nH+]c2)cc1 ZINC000120627880 408135065 /nfs/dbraw/zinc/13/50/65/408135065.db2.gz ZSDDPEDDJZEFFK-QPJJXVBHSA-N 1 2 324.340 1.411 20 30 DDEDLO CC[C@H](C#N)NC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000120784790 408140838 /nfs/dbraw/zinc/14/08/38/408140838.db2.gz PENVNEPHCCSRAI-GFCCVEGCSA-N 1 2 323.418 1.054 20 30 DDEDLO C[C@H]([NH2+][C@@H](C)c1nncn1C)C(=O)NC1(C#N)CCCCC1 ZINC000273987724 408299761 /nfs/dbraw/zinc/29/97/61/408299761.db2.gz JJYCUHNCNFXWSW-RYUDHWBXSA-N 1 2 304.398 1.197 20 30 DDEDLO CCn1nccc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000246813813 408346103 /nfs/dbraw/zinc/34/61/03/408346103.db2.gz RDIOCWDZRLEQDE-CYBMUJFWSA-N 1 2 311.393 1.275 20 30 DDEDLO CCn1nccc1C[N@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000246813813 408346107 /nfs/dbraw/zinc/34/61/07/408346107.db2.gz RDIOCWDZRLEQDE-CYBMUJFWSA-N 1 2 311.393 1.275 20 30 DDEDLO CC[NH+]1CCN(S(=O)(=O)c2cc(C#N)ccc2Cl)CC1 ZINC000160764191 408522574 /nfs/dbraw/zinc/52/25/74/408522574.db2.gz QDKQBMSLYJMCKB-UHFFFAOYSA-N 1 2 313.810 1.538 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCO[C@@H](CCc2ccccc2)C1 ZINC000248606989 408595897 /nfs/dbraw/zinc/59/58/97/408595897.db2.gz PIISSFHBKJTBSA-RDJZCZTQSA-N 1 2 300.402 1.458 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCO[C@@H](CCc2ccccc2)C1 ZINC000248606989 408595900 /nfs/dbraw/zinc/59/59/00/408595900.db2.gz PIISSFHBKJTBSA-RDJZCZTQSA-N 1 2 300.402 1.458 20 30 DDEDLO C#CCOCCC(=O)N[C@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000161392851 408597857 /nfs/dbraw/zinc/59/78/57/408597857.db2.gz DQJRWSRGJOKZOP-INIZCTEOSA-N 1 2 315.348 1.805 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+](C)[C@H]1CCN(C)C1=O ZINC000265653303 408731834 /nfs/dbraw/zinc/73/18/34/408731834.db2.gz OYFLIEHJSYXTJO-FZMZJTMJSA-N 1 2 300.362 1.048 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+](C)[C@H]1CCN(C)C1=O ZINC000265653303 408731839 /nfs/dbraw/zinc/73/18/39/408731839.db2.gz OYFLIEHJSYXTJO-FZMZJTMJSA-N 1 2 300.362 1.048 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCNC(=O)[C@H]1c1ccc(F)cc1C ZINC000184747567 408684024 /nfs/dbraw/zinc/68/40/24/408684024.db2.gz DOUCWBCYQJSSNB-GDBMZVCRSA-N 1 2 306.381 1.934 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCNC(=O)[C@H]1c1ccc(F)cc1C ZINC000184747567 408684026 /nfs/dbraw/zinc/68/40/26/408684026.db2.gz DOUCWBCYQJSSNB-GDBMZVCRSA-N 1 2 306.381 1.934 20 30 DDEDLO COC[C@@H]1C[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)C[C@@H](C)O1 ZINC000251914302 408789124 /nfs/dbraw/zinc/78/91/24/408789124.db2.gz RXXOLIQVSWLCSG-CABCVRRESA-N 1 2 323.437 1.407 20 30 DDEDLO COC[C@@H]1C[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)C[C@@H](C)O1 ZINC000251914302 408789127 /nfs/dbraw/zinc/78/91/27/408789127.db2.gz RXXOLIQVSWLCSG-CABCVRRESA-N 1 2 323.437 1.407 20 30 DDEDLO C=CCCOCCNC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000185055818 408747985 /nfs/dbraw/zinc/74/79/85/408747985.db2.gz LBABSZJXAMDYGX-UHFFFAOYSA-N 1 2 319.409 1.209 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2cncc(F)c2)CC1 ZINC000270933794 408749480 /nfs/dbraw/zinc/74/94/80/408749480.db2.gz QKIPBKVNWCQIJD-UHFFFAOYSA-N 1 2 320.412 1.767 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CCN(S(C)(=O)=O)CC2)c1 ZINC000178059890 408767112 /nfs/dbraw/zinc/76/71/12/408767112.db2.gz GTDYYOKDCQFWHP-UHFFFAOYSA-N 1 2 310.419 1.329 20 30 DDEDLO C[C@H](O)[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000167746524 408817914 /nfs/dbraw/zinc/81/79/14/408817914.db2.gz UZJKRIRWPGONCQ-JSGCOSHPSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H](O)[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000167746524 408817915 /nfs/dbraw/zinc/81/79/15/408817915.db2.gz UZJKRIRWPGONCQ-JSGCOSHPSA-N 1 2 308.403 1.035 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)c1ccnc(-n2cc[nH+]c2)c1 ZINC000281642404 408901800 /nfs/dbraw/zinc/90/18/00/408901800.db2.gz YDVTUZDLCHJLSJ-ZBFHGGJFSA-N 1 2 312.373 1.978 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCc2cc[nH]c(=O)c2C1 ZINC000277021307 408904577 /nfs/dbraw/zinc/90/45/77/408904577.db2.gz ZVNIPJSLNUSMLO-INIZCTEOSA-N 1 2 302.378 1.200 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCc2cc[nH]c(=O)c2C1 ZINC000277021307 408904580 /nfs/dbraw/zinc/90/45/80/408904580.db2.gz ZVNIPJSLNUSMLO-INIZCTEOSA-N 1 2 302.378 1.200 20 30 DDEDLO CNc1ccc(C#N)cc1NC(=O)[C@@H](c1c[nH+]cn1C)N(C)C ZINC000286016766 408908781 /nfs/dbraw/zinc/90/87/81/408908781.db2.gz RNSYGTUMTGRTSA-OAHLLOKOSA-N 1 2 312.377 1.575 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NCc2ccccc2F)C1=O ZINC000281491333 408888100 /nfs/dbraw/zinc/88/81/00/408888100.db2.gz XKXRAQLRVTWZMU-OAHLLOKOSA-N 1 2 319.380 1.161 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NCc2ccccc2F)C1=O ZINC000281491333 408888103 /nfs/dbraw/zinc/88/81/03/408888103.db2.gz XKXRAQLRVTWZMU-OAHLLOKOSA-N 1 2 319.380 1.161 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)CC(=O)Nc1nc(CC(=O)OC)cs1 ZINC000286200635 408940283 /nfs/dbraw/zinc/94/02/83/408940283.db2.gz PZJPBEIRGDYGLN-UHFFFAOYSA-N 1 2 309.391 1.141 20 30 DDEDLO C#CC(C)(C)[N@H+](C)CC(=O)Nc1nc(CC(=O)OC)cs1 ZINC000286200635 408940286 /nfs/dbraw/zinc/94/02/86/408940286.db2.gz PZJPBEIRGDYGLN-UHFFFAOYSA-N 1 2 309.391 1.141 20 30 DDEDLO N#Cc1cc(N2CC[NH+]([C@@H](C(N)=O)c3ccccc3)CC2)ccn1 ZINC000286266442 408951703 /nfs/dbraw/zinc/95/17/03/408951703.db2.gz WDMWWLNCLQMRJY-QGZVFWFLSA-N 1 2 321.384 1.302 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@@H](CS(C)(=O)=O)c2ccccc2)C1=O ZINC000281916830 408953809 /nfs/dbraw/zinc/95/38/09/408953809.db2.gz GWOQSODMSLUEMR-CABCVRRESA-N 1 2 322.430 1.149 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN([C@@H](C)c3cccnc3)CC2)C1=O ZINC000282027912 408975018 /nfs/dbraw/zinc/97/50/18/408975018.db2.gz PVAUKIZWYBBNAG-RDJZCZTQSA-N 1 2 314.433 1.547 20 30 DDEDLO C=CCN1CC[C@H](N2CC[NH+]([C@@H](C)c3cccnc3)CC2)C1=O ZINC000282027912 408975020 /nfs/dbraw/zinc/97/50/20/408975020.db2.gz PVAUKIZWYBBNAG-RDJZCZTQSA-N 1 2 314.433 1.547 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1ccc([N+](=O)[O-])cc1C#N ZINC000286406494 408979146 /nfs/dbraw/zinc/97/91/46/408979146.db2.gz PZBIETQXLCTTGW-IUODEOHRSA-N 1 2 316.361 1.766 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@@H](C)C[NH+]2CCOCC2)cc1 ZINC000292596268 409037761 /nfs/dbraw/zinc/03/77/61/409037761.db2.gz JJXDNPRTTCJXJP-HNNXBMFYSA-N 1 2 300.402 1.437 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCN(C2=[NH+]C[C@@H](C)S2)CC1 ZINC000279015120 409131406 /nfs/dbraw/zinc/13/14/06/409131406.db2.gz IAFSNZNRINMYRZ-MGPQQGTHSA-N 1 2 323.462 1.603 20 30 DDEDLO CO/N=C/C(=O)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000282953785 409071898 /nfs/dbraw/zinc/07/18/98/409071898.db2.gz LEEBFLOPZJHMDB-MHWRWJLKSA-N 1 2 305.382 1.189 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@H](C)c1nc(C(F)(F)F)cs1 ZINC000278940461 409116976 /nfs/dbraw/zinc/11/69/76/409116976.db2.gz NMPLCQDXQZRXFH-JGVFFNPUSA-N 1 2 305.325 1.950 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)NCc1c[nH+]ccc1OC ZINC000293494614 409125152 /nfs/dbraw/zinc/12/51/52/409125152.db2.gz YMPNRFCKUCIXSP-IUODEOHRSA-N 1 2 305.378 1.871 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1Cc2ccccc2C[C@H]1c1nnc(C)o1 ZINC000293549368 409136495 /nfs/dbraw/zinc/13/64/95/409136495.db2.gz RDBKVUILJQJFBI-INIZCTEOSA-N 1 2 324.384 1.617 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1Cc2ccccc2C[C@H]1c1nnc(C)o1 ZINC000293549368 409136498 /nfs/dbraw/zinc/13/64/98/409136498.db2.gz RDBKVUILJQJFBI-INIZCTEOSA-N 1 2 324.384 1.617 20 30 DDEDLO N#Cc1cccnc1N1CCN(C(=O)C=Cc2c[nH]c[nH+]2)CC1 ZINC000283390284 409148380 /nfs/dbraw/zinc/14/83/80/409148380.db2.gz GLZWTFCWXPEWED-ONEGZZNKSA-N 1 2 308.345 1.038 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000288648214 409172003 /nfs/dbraw/zinc/17/20/03/409172003.db2.gz UFOLHDGXTAXJPT-ZIAGYGMSSA-N 1 2 304.394 1.375 20 30 DDEDLO C=CCOCC[N@H+]1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000293972824 409217263 /nfs/dbraw/zinc/21/72/63/409217263.db2.gz DEEQDDSULCQMJN-UHFFFAOYSA-N 1 2 324.446 1.498 20 30 DDEDLO C=CCOCC[N@@H+]1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000293972824 409217266 /nfs/dbraw/zinc/21/72/66/409217266.db2.gz DEEQDDSULCQMJN-UHFFFAOYSA-N 1 2 324.446 1.498 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC2(C1)Oc1ccccc1O2 ZINC000289148078 409225539 /nfs/dbraw/zinc/22/55/39/409225539.db2.gz ZEXCNWGOJDUUOV-UHFFFAOYSA-N 1 2 315.373 1.622 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC2(C1)Oc1ccccc1O2 ZINC000289148078 409225541 /nfs/dbraw/zinc/22/55/41/409225541.db2.gz ZEXCNWGOJDUUOV-UHFFFAOYSA-N 1 2 315.373 1.622 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3ccsn3)CC2)cc1 ZINC000294237409 409264450 /nfs/dbraw/zinc/26/44/50/409264450.db2.gz DVMCBBKFUDCGEM-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO Cn1nc([C@@H]2CCC[N@@H+]2CCOc2ccccc2C#N)nc1N ZINC000283893478 409234712 /nfs/dbraw/zinc/23/47/12/409234712.db2.gz FIFXALSZVBNDCQ-ZDUSSCGKSA-N 1 2 312.377 1.485 20 30 DDEDLO Cn1nc([C@@H]2CCC[N@H+]2CCOc2ccccc2C#N)nc1N ZINC000283893478 409234714 /nfs/dbraw/zinc/23/47/14/409234714.db2.gz FIFXALSZVBNDCQ-ZDUSSCGKSA-N 1 2 312.377 1.485 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)[C@@H](C#N)Cc1ccc(C#N)cc1 ZINC000279692550 409246472 /nfs/dbraw/zinc/24/64/72/409246472.db2.gz IDAGOJHKIPZLME-OAHLLOKOSA-N 1 2 307.357 1.633 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cnc(C3OCCO3)s2)C1=O ZINC000289908931 409290028 /nfs/dbraw/zinc/29/00/28/409290028.db2.gz XWBAKNMIIIFXSI-GFCCVEGCSA-N 1 2 323.418 1.407 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cnc(C3OCCO3)s2)C1=O ZINC000289908931 409290031 /nfs/dbraw/zinc/29/00/31/409290031.db2.gz XWBAKNMIIIFXSI-GFCCVEGCSA-N 1 2 323.418 1.407 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1F ZINC000294522773 409293549 /nfs/dbraw/zinc/29/35/49/409293549.db2.gz RKZBBQSAFKBSCC-DOMZBBRYSA-N 1 2 315.348 1.803 20 30 DDEDLO Cc1ccc(NC(=O)[C@H](C)O[NH+]=C(N)[C@H]2CCCO2)cc1F ZINC000284464777 409346264 /nfs/dbraw/zinc/34/62/64/409346264.db2.gz QWZPFOCTHIFXLI-GXFFZTMASA-N 1 2 309.341 1.929 20 30 DDEDLO NC(=[NH+]OCCCOc1ccc2c(c1)OCO2)[C@@H]1CCCO1 ZINC000284469702 409346346 /nfs/dbraw/zinc/34/63/46/409346346.db2.gz RGARRCTZXXXXNO-ZDUSSCGKSA-N 1 2 308.334 1.652 20 30 DDEDLO C[N@H+](Cc1ccccc1)C1CN(S(=O)(=O)CCCC#N)C1 ZINC000285322060 409408454 /nfs/dbraw/zinc/40/84/54/409408454.db2.gz NDOGISAGBKAPFM-UHFFFAOYSA-N 1 2 307.419 1.436 20 30 DDEDLO C[N@@H+](Cc1ccccc1)C1CN(S(=O)(=O)CCCC#N)C1 ZINC000285322060 409408459 /nfs/dbraw/zinc/40/84/59/409408459.db2.gz NDOGISAGBKAPFM-UHFFFAOYSA-N 1 2 307.419 1.436 20 30 DDEDLO CC(C)[C@H](NS(=O)(=O)c1cccnc1C#N)c1[nH]cc[nH+]1 ZINC000296124766 409439418 /nfs/dbraw/zinc/43/94/18/409439418.db2.gz SBDPASWCGIUVDP-LBPRGKRZSA-N 1 2 305.363 1.352 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000316495876 164022749 /nfs/dbraw/zinc/02/27/49/164022749.db2.gz YGCBLNKJEOUOBJ-NSHDSACASA-N 1 2 321.764 1.645 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000316495876 164022750 /nfs/dbraw/zinc/02/27/50/164022750.db2.gz YGCBLNKJEOUOBJ-NSHDSACASA-N 1 2 321.764 1.645 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000353932603 409568760 /nfs/dbraw/zinc/56/87/60/409568760.db2.gz KTIKATISZYHDTB-WMLDXEAASA-N 1 2 320.437 1.081 20 30 DDEDLO COC(=O)[C@H]1[C@@H](C(=O)OC)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000354035551 409604655 /nfs/dbraw/zinc/60/46/55/409604655.db2.gz ZAYXAGORNUYPTE-LSDHHAIUSA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@H]1[C@@H](C(=O)OC)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000354035551 409604660 /nfs/dbraw/zinc/60/46/60/409604660.db2.gz ZAYXAGORNUYPTE-LSDHHAIUSA-N 1 2 316.357 1.485 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N(C)Cc1cnccn1)CCC2 ZINC000328638308 409940948 /nfs/dbraw/zinc/94/09/48/409940948.db2.gz BHXPYUUMHNSQJH-ZDUSSCGKSA-N 1 2 314.393 1.905 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NC[C@@]1(O)CCSC1)CCC2 ZINC000328658148 409948734 /nfs/dbraw/zinc/94/87/34/409948734.db2.gz QNTOSCFGOZYSJG-WFASDCNBSA-N 1 2 324.450 1.441 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CCCC[C@@H]1c1[nH+]ccn1CC ZINC000297817418 410011334 /nfs/dbraw/zinc/01/13/34/410011334.db2.gz PTOCOZLIIIKLFV-CQSZACIVSA-N 1 2 318.421 1.991 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000297795774 410002610 /nfs/dbraw/zinc/00/26/10/410002610.db2.gz IQTCBVGRCQQMSY-UHFFFAOYSA-N 1 2 316.405 1.155 20 30 DDEDLO Cc1cc(CNC(=O)C2([NH+]3CCOCC3)CCC2)nc(C)n1 ZINC000328909814 410004731 /nfs/dbraw/zinc/00/47/31/410004731.db2.gz NAQJWARWXHMHIM-UHFFFAOYSA-N 1 2 304.394 1.805 20 30 DDEDLO Cc1noc([C@@H]2CC[N@@H+]([C@H](C)C(=O)Nc3ncccn3)C2)n1 ZINC000329045696 410069231 /nfs/dbraw/zinc/06/92/31/410069231.db2.gz PTCAJIMRGLKXOF-MWLCHTKSSA-N 1 2 302.338 1.634 20 30 DDEDLO Cc1noc([C@@H]2CC[N@H+]([C@H](C)C(=O)Nc3ncccn3)C2)n1 ZINC000329045696 410069236 /nfs/dbraw/zinc/06/92/36/410069236.db2.gz PTCAJIMRGLKXOF-MWLCHTKSSA-N 1 2 302.338 1.634 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2CC[C@H]3OCC[N@H+](C)[C@@H]3C2)c1C ZINC000329054577 410075371 /nfs/dbraw/zinc/07/53/71/410075371.db2.gz SDBAHDOTQXIMGU-ZIAGYGMSSA-N 1 2 321.425 1.470 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@@H]3C2)c1C ZINC000329054577 410075376 /nfs/dbraw/zinc/07/53/76/410075376.db2.gz SDBAHDOTQXIMGU-ZIAGYGMSSA-N 1 2 321.425 1.470 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)CN1CCOCC1)C1CCOCC1 ZINC000329088858 410095151 /nfs/dbraw/zinc/09/51/51/410095151.db2.gz VTVCUXRSQJXOBM-OAHLLOKOSA-N 1 2 322.409 1.177 20 30 DDEDLO CC(=O)N1CCCC[C@H]1C(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000329092540 410097576 /nfs/dbraw/zinc/09/75/76/410097576.db2.gz JYCWHXLALVZDSM-AWEZNQCLSA-N 1 2 309.410 1.209 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+]1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000339407931 410166784 /nfs/dbraw/zinc/16/67/84/410166784.db2.gz QWPNEQIQWKWGKW-CQSZACIVSA-N 1 2 315.352 1.618 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+]1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000339407931 410166788 /nfs/dbraw/zinc/16/67/88/410166788.db2.gz QWPNEQIQWKWGKW-CQSZACIVSA-N 1 2 315.352 1.618 20 30 DDEDLO C=C[C@H](C)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000355035272 410255825 /nfs/dbraw/zinc/25/58/25/410255825.db2.gz IAUFJDDTRSDRDK-WFASDCNBSA-N 1 2 318.421 1.708 20 30 DDEDLO C=C[C@H](C)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000355035272 410255833 /nfs/dbraw/zinc/25/58/33/410255833.db2.gz IAUFJDDTRSDRDK-WFASDCNBSA-N 1 2 318.421 1.708 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CCN(C(=O)CCOC)CC2)cc1 ZINC000355054484 410274133 /nfs/dbraw/zinc/27/41/33/410274133.db2.gz PWJRKANCBZRCFL-UHFFFAOYSA-N 1 2 318.417 1.932 20 30 DDEDLO CSc1ccc(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)nc1 ZINC000329495085 410326260 /nfs/dbraw/zinc/32/62/60/410326260.db2.gz DKKRSRDCOUZRAW-RYUDHWBXSA-N 1 2 308.407 1.364 20 30 DDEDLO CSc1ccc(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)nc1 ZINC000329495085 410326265 /nfs/dbraw/zinc/32/62/65/410326265.db2.gz DKKRSRDCOUZRAW-RYUDHWBXSA-N 1 2 308.407 1.364 20 30 DDEDLO C[C@@H]1CN(C([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)C[C@H](C2CC2)O1 ZINC000329507114 410331853 /nfs/dbraw/zinc/33/18/53/410331853.db2.gz ASNOIYQLFPICRX-IXPVHAAZSA-N 1 2 304.394 1.680 20 30 DDEDLO C[C@@H]1CN(C([O-])=[NH+][C@@H]2CCc3[nH+]c[nH]c3C2)C[C@H](C2CC2)O1 ZINC000329507114 410331857 /nfs/dbraw/zinc/33/18/57/410331857.db2.gz ASNOIYQLFPICRX-IXPVHAAZSA-N 1 2 304.394 1.680 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1CC[C@H](NC(=O)NC2CC2)C1 ZINC000355098113 410314393 /nfs/dbraw/zinc/31/43/93/410314393.db2.gz KWUXSSNHACKFFU-HNNXBMFYSA-N 1 2 302.353 1.733 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1CC[C@H](NC(=O)NC2CC2)C1 ZINC000355098113 410314401 /nfs/dbraw/zinc/31/44/01/410314401.db2.gz KWUXSSNHACKFFU-HNNXBMFYSA-N 1 2 302.353 1.733 20 30 DDEDLO Cn1cc[nH+]c1CNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000355106355 410317017 /nfs/dbraw/zinc/31/70/17/410317017.db2.gz YYPMIAQQLPOGMF-UHFFFAOYSA-N 1 2 312.301 1.048 20 30 DDEDLO CC(C)C[C@@H]1COCCN1C([O-])=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000329625541 410392321 /nfs/dbraw/zinc/39/23/21/410392321.db2.gz SVMPSBOWWPAPPF-CHWSQXEVSA-N 1 2 306.410 1.928 20 30 DDEDLO C[C@@H](C(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1)c1cccc(C#N)c1 ZINC000343367499 410398164 /nfs/dbraw/zinc/39/81/64/410398164.db2.gz HXKIVCMQXYMXQZ-DYVFJYSZSA-N 1 2 313.401 1.641 20 30 DDEDLO C[C@@H](C(=O)NC[C@H]1C[N@H+](C2CC2)CCO1)c1cccc(C#N)c1 ZINC000343367499 410398170 /nfs/dbraw/zinc/39/81/70/410398170.db2.gz HXKIVCMQXYMXQZ-DYVFJYSZSA-N 1 2 313.401 1.641 20 30 DDEDLO C[NH+](C)Cc1nc(C(C)(C)NC(=O)c2ccc(C#N)o2)no1 ZINC000339710704 410410508 /nfs/dbraw/zinc/41/05/08/410410508.db2.gz IZZYVHICLDMZGB-UHFFFAOYSA-N 1 2 303.322 1.261 20 30 DDEDLO Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3CCc4[nH]c[nH+]c4C3)C2)c1 ZINC000329719540 410423048 /nfs/dbraw/zinc/42/30/48/410423048.db2.gz MNOAIKCVJFPYDN-CHWSQXEVSA-N 1 2 314.393 1.633 20 30 DDEDLO Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3CCc4[nH+]c[nH]c4C3)C2)c1 ZINC000329719540 410423054 /nfs/dbraw/zinc/42/30/54/410423054.db2.gz MNOAIKCVJFPYDN-CHWSQXEVSA-N 1 2 314.393 1.633 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CC1=CCCCC1 ZINC000358875760 410536035 /nfs/dbraw/zinc/53/60/35/410536035.db2.gz UHXGYTCINUTEKH-UHFFFAOYSA-N 1 2 305.422 1.951 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000352316920 410620946 /nfs/dbraw/zinc/62/09/46/410620946.db2.gz YZCCUAMEFZOLFD-UONOGXRCSA-N 1 2 318.421 1.977 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(S(=O)(=O)CC2(C#N)CCCC2)C1 ZINC000352954587 410699598 /nfs/dbraw/zinc/69/95/98/410699598.db2.gz RGWGYMZLYWRRMF-CYBMUJFWSA-N 1 2 322.434 1.623 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)o1 ZINC000340170107 410724425 /nfs/dbraw/zinc/72/44/25/410724425.db2.gz ZLVCDWJYJUIIGD-ZDUSSCGKSA-N 1 2 303.362 1.478 20 30 DDEDLO CN(CCCc1[nH]nc(N)c1C#N)c1nc(N)c2ccccc2[nH+]1 ZINC000301754935 410788182 /nfs/dbraw/zinc/78/81/82/410788182.db2.gz CWBKSZSXYUMPPH-UHFFFAOYSA-N 1 2 322.376 1.458 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C[C@@H](O)COc2ccc(C#N)cc2)n1 ZINC000348094538 410831642 /nfs/dbraw/zinc/83/16/42/410831642.db2.gz YFDSCJARCNDVCV-ZWNOBZJWSA-N 1 2 302.334 1.340 20 30 DDEDLO CC[C@@H]1CO[C@H](C)C[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000330690597 410879894 /nfs/dbraw/zinc/87/98/94/410879894.db2.gz FTUUUNOZABRJEJ-CZUORRHYSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H]1CO[C@H](C)C[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000330690597 410879897 /nfs/dbraw/zinc/87/98/97/410879897.db2.gz FTUUUNOZABRJEJ-CZUORRHYSA-N 1 2 301.390 1.674 20 30 DDEDLO N#Cc1csc(C[N@@H+](CCC(N)=O)Cc2ccccc2)n1 ZINC000356081101 410817151 /nfs/dbraw/zinc/81/71/51/410817151.db2.gz FJZKFNNEOFHLPF-UHFFFAOYSA-N 1 2 300.387 1.892 20 30 DDEDLO N#Cc1csc(C[N@H+](CCC(N)=O)Cc2ccccc2)n1 ZINC000356081101 410817156 /nfs/dbraw/zinc/81/71/56/410817156.db2.gz FJZKFNNEOFHLPF-UHFFFAOYSA-N 1 2 300.387 1.892 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@H+](CCO)CCN1CCOCC1 ZINC000359879655 410931191 /nfs/dbraw/zinc/93/11/91/410931191.db2.gz RAFTVMKGLVJVAN-UHFFFAOYSA-N 1 2 323.824 1.338 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@@H+](CCO)CCN1CCOCC1 ZINC000359879655 410931195 /nfs/dbraw/zinc/93/11/95/410931195.db2.gz RAFTVMKGLVJVAN-UHFFFAOYSA-N 1 2 323.824 1.338 20 30 DDEDLO N#Cc1cc(Cl)ccc1CN(CCO)CC[NH+]1CCOCC1 ZINC000359879655 410931199 /nfs/dbraw/zinc/93/11/99/410931199.db2.gz RAFTVMKGLVJVAN-UHFFFAOYSA-N 1 2 323.824 1.338 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ncccc1C(F)(F)F ZINC000580558008 422941708 /nfs/dbraw/zinc/94/17/08/422941708.db2.gz ZZZDRLFYMKWTNG-GFCCVEGCSA-N 1 2 300.284 1.674 20 30 DDEDLO Cc1cc(NC(=O)N(C)CCCc2[nH]nc(N)c2C#N)cc[nH+]1 ZINC000541882919 416616076 /nfs/dbraw/zinc/61/60/76/416616076.db2.gz KAETYZVYWLSZTF-UHFFFAOYSA-N 1 2 313.365 1.085 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)N2CCN(c3cccc[nH+]3)CC2)n1 ZINC000610564947 416659540 /nfs/dbraw/zinc/65/95/40/416659540.db2.gz NMWAWTLYIXTTRM-UHFFFAOYSA-N 1 2 311.349 1.041 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCC[C@]2(CCCCO2)[C@H]1C#N ZINC000373756486 418457743 /nfs/dbraw/zinc/45/77/43/418457743.db2.gz HEJRVYMZNGVBKL-NVXWUHKLSA-N 1 2 316.405 1.807 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](S(=O)(=O)NC3CC3)C2)s1 ZINC000374252813 418509746 /nfs/dbraw/zinc/50/97/46/418509746.db2.gz AGGNHWPURNZDBC-ZDUSSCGKSA-N 1 2 311.432 1.276 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](S(=O)(=O)NC3CC3)C2)s1 ZINC000374252813 418509748 /nfs/dbraw/zinc/50/97/48/418509748.db2.gz AGGNHWPURNZDBC-ZDUSSCGKSA-N 1 2 311.432 1.276 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH2+][C@@H](c2ccccc2OC)C1 ZINC000374336314 418519763 /nfs/dbraw/zinc/51/97/63/418519763.db2.gz DQPNXTOKHCOHHR-OAHLLOKOSA-N 1 2 302.374 1.208 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCC(c3n[nH]c(CC)n3)CC2)C1=O ZINC000366865518 418528858 /nfs/dbraw/zinc/52/88/58/418528858.db2.gz OMLXKAGIXXGWMP-ZDUSSCGKSA-N 1 2 303.410 1.333 20 30 DDEDLO CO[C@@H](C)CN1CC(=O)C(=C2N(C)c3ccccc3N2C)C1=[NH2+] ZINC000188133347 222007592 /nfs/dbraw/zinc/00/75/92/222007592.db2.gz OGMPBGSYSBJJAJ-NSHDSACASA-N 1 2 314.389 1.681 20 30 DDEDLO N#CCC1(O)CN(C(=O)c2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000193970781 222167324 /nfs/dbraw/zinc/16/73/24/222167324.db2.gz GDQOEPKFMWKCFT-UHFFFAOYSA-N 1 2 309.325 1.974 20 30 DDEDLO C[C@@H]1C[C@@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)C[C@H](C)O1 ZINC000246194457 222220668 /nfs/dbraw/zinc/22/06/68/222220668.db2.gz LKRZFXVPXFRAKQ-MUJYYYPQSA-N 1 2 323.437 1.264 20 30 DDEDLO N#CCCn1ccc(NC(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)n1 ZINC000331798315 418615421 /nfs/dbraw/zinc/61/54/21/418615421.db2.gz WQAOTSUKZNKDPU-GFCCVEGCSA-N 1 2 313.365 1.931 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCC[C@H](/[NH+]=C/c2ccc(O)c(O)c2O)C1 ZINC000265115428 222347113 /nfs/dbraw/zinc/34/71/13/222347113.db2.gz FPRHIXWZFXNIJK-JXLOGGMLSA-N 1 2 306.362 1.869 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2cccc(O)c2)CC1 ZINC000265975452 222357845 /nfs/dbraw/zinc/35/78/45/222357845.db2.gz XFWQTEAUZGUOTG-CQSZACIVSA-N 1 2 316.405 1.275 20 30 DDEDLO CC(C)CO[C@@H](C)C(N)=[NH+]OCC(=O)NCc1ccccc1 ZINC000266247207 222361085 /nfs/dbraw/zinc/36/10/85/222361085.db2.gz ZDVKAJGCWSVIKV-ZDUSSCGKSA-N 1 2 307.394 1.653 20 30 DDEDLO COc1cc(C(N)=NOCc2c[nH+]cn2C)cc(Cl)c1OC ZINC000267798049 222399129 /nfs/dbraw/zinc/39/91/29/222399129.db2.gz PFGDCTVAACPLRL-UHFFFAOYSA-N 1 2 324.768 1.928 20 30 DDEDLO C=CCNc1nnc(SCC[N@H+]2CCOC[C@H]2C)s1 ZINC000340598316 418623676 /nfs/dbraw/zinc/62/36/76/418623676.db2.gz ZOMGGKPHCUWGIA-SNVBAGLBSA-N 1 2 300.453 1.949 20 30 DDEDLO C=CCNc1nnc(SCC[N@@H+]2CCOC[C@H]2C)s1 ZINC000340598316 418623678 /nfs/dbraw/zinc/62/36/78/418623678.db2.gz ZOMGGKPHCUWGIA-SNVBAGLBSA-N 1 2 300.453 1.949 20 30 DDEDLO COCC1(C#N)CC[NH+](Cc2nc(N)c3ccccc3n2)CC1 ZINC000341998197 418627185 /nfs/dbraw/zinc/62/71/85/418627185.db2.gz XCBFLLAVKYVKSL-UHFFFAOYSA-N 1 2 311.389 1.964 20 30 DDEDLO CN(C)S(=O)(=O)CC[N@@H+]1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000375574467 418657236 /nfs/dbraw/zinc/65/72/36/418657236.db2.gz OMOXZOITFBMHDS-JKSUJKDBSA-N 1 2 321.446 1.650 20 30 DDEDLO CN(C)S(=O)(=O)CC[N@H+]1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000375574467 418657240 /nfs/dbraw/zinc/65/72/40/418657240.db2.gz OMOXZOITFBMHDS-JKSUJKDBSA-N 1 2 321.446 1.650 20 30 DDEDLO O=S1(=O)CC[C@H]2C[N@@H+](CC#Cc3ccc(Cl)cc3)C[C@H]21 ZINC000375769486 418683707 /nfs/dbraw/zinc/68/37/07/418683707.db2.gz QIELRKJSZSHSKV-DZGCQCFKSA-N 1 2 309.818 1.810 20 30 DDEDLO O=S1(=O)CC[C@H]2C[N@H+](CC#Cc3ccc(Cl)cc3)C[C@H]21 ZINC000375769486 418683708 /nfs/dbraw/zinc/68/37/08/418683708.db2.gz QIELRKJSZSHSKV-DZGCQCFKSA-N 1 2 309.818 1.810 20 30 DDEDLO C#CCCCS(=O)(=O)NCc1cccnc1-n1cc[nH+]c1 ZINC000376294828 418695456 /nfs/dbraw/zinc/69/54/56/418695456.db2.gz VTFYMNCLQAEQMQ-UHFFFAOYSA-N 1 2 304.375 1.100 20 30 DDEDLO C=CCSCCNC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000376353820 418696222 /nfs/dbraw/zinc/69/62/22/418696222.db2.gz ROMHJOKWVIZYKV-UONOGXRCSA-N 1 2 313.467 1.410 20 30 DDEDLO C=C[C@@H](C)NC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000362371211 418753454 /nfs/dbraw/zinc/75/34/54/418753454.db2.gz PZYOSZWKOUAKRO-GDBMZVCRSA-N 1 2 303.406 1.934 20 30 DDEDLO C[C@H]1C[C@@H](CO)C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000398621757 418763361 /nfs/dbraw/zinc/76/33/61/418763361.db2.gz FWMGTYLMPYHLNE-DZGCQCFKSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H]1C[C@@H](CO)C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000398621757 418763364 /nfs/dbraw/zinc/76/33/64/418763364.db2.gz FWMGTYLMPYHLNE-DZGCQCFKSA-N 1 2 322.430 1.282 20 30 DDEDLO C=CC[C@@H](C)NC(=O)NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000363811700 418770255 /nfs/dbraw/zinc/77/02/55/418770255.db2.gz CLBOYMNDHMIMBK-ZBFHGGJFSA-N 1 2 311.426 1.132 20 30 DDEDLO COC(=O)C[N@@H+]1CCCN(Cc2ccc(Cl)cc2C#N)CC1 ZINC000364343579 418776497 /nfs/dbraw/zinc/77/64/97/418776497.db2.gz OVSDZRWAPPJQQE-UHFFFAOYSA-N 1 2 321.808 1.892 20 30 DDEDLO COC(=O)C[N@H+]1CCCN(Cc2ccc(Cl)cc2C#N)CC1 ZINC000364343579 418776500 /nfs/dbraw/zinc/77/65/00/418776500.db2.gz OVSDZRWAPPJQQE-UHFFFAOYSA-N 1 2 321.808 1.892 20 30 DDEDLO COC(=O)CN1CCC[N@H+](Cc2ccc(Cl)cc2C#N)CC1 ZINC000364343579 418776501 /nfs/dbraw/zinc/77/65/01/418776501.db2.gz OVSDZRWAPPJQQE-UHFFFAOYSA-N 1 2 321.808 1.892 20 30 DDEDLO COC(=O)CN1CCC[N@@H+](Cc2ccc(Cl)cc2C#N)CC1 ZINC000364343579 418776503 /nfs/dbraw/zinc/77/65/03/418776503.db2.gz OVSDZRWAPPJQQE-UHFFFAOYSA-N 1 2 321.808 1.892 20 30 DDEDLO CS(=O)(=O)N1CC[N@@H+]([C@H]2CC[C@@H](C#N)C2)Cc2ccccc21 ZINC000407991529 418780546 /nfs/dbraw/zinc/78/05/46/418780546.db2.gz VZYXFMAWTVTOQQ-HIFRSBDPSA-N 1 2 319.430 1.960 20 30 DDEDLO CS(=O)(=O)N1CC[N@H+]([C@H]2CC[C@@H](C#N)C2)Cc2ccccc21 ZINC000407991529 418780547 /nfs/dbraw/zinc/78/05/47/418780547.db2.gz VZYXFMAWTVTOQQ-HIFRSBDPSA-N 1 2 319.430 1.960 20 30 DDEDLO CCOC(=O)C(C)(C)[NH2+]CCC(=O)Nc1cccc(C#N)c1 ZINC000411232815 418883007 /nfs/dbraw/zinc/88/30/07/418883007.db2.gz TTXUCXPTPOATHL-UHFFFAOYSA-N 1 2 303.362 1.818 20 30 DDEDLO N#C[C@@H]1COCCN1C(=O)[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000365643125 418887670 /nfs/dbraw/zinc/88/76/70/418887670.db2.gz YXQWPRGDGXSJRB-UONOGXRCSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1ccc(F)cc1C#N ZINC000435635949 420270679 /nfs/dbraw/zinc/27/06/79/420270679.db2.gz ICTXLDQPCNKUDT-SNVBAGLBSA-N 1 2 315.308 1.037 20 30 DDEDLO Cc1cc(N2CC[NH+]([C@@H](C)C(=O)NC3CC3)CC2)c(C#N)cn1 ZINC000425208299 420327764 /nfs/dbraw/zinc/32/77/64/420327764.db2.gz MTDYYZALQKIGMQ-ZDUSSCGKSA-N 1 2 313.405 1.051 20 30 DDEDLO COc1ccccc1[C@@H](CNS(=O)(=O)[C@H](C)C#N)[NH+](C)C ZINC000416366528 420345692 /nfs/dbraw/zinc/34/56/92/420345692.db2.gz CVQVWUVWRVMPHN-DGCLKSJQSA-N 1 2 311.407 1.129 20 30 DDEDLO CC(C)(CNC(=O)NCc1ccc(C#N)s1)[NH+]1CCOCC1 ZINC000420777038 420385929 /nfs/dbraw/zinc/38/59/29/420385929.db2.gz WVHIIPKVFBHBEH-UHFFFAOYSA-N 1 2 322.434 1.530 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)NCc2[nH+]ccn2CC(F)(F)F)C1 ZINC000425466540 420392563 /nfs/dbraw/zinc/39/25/63/420392563.db2.gz BGFTVGBLAPOCLT-VHSXEESVSA-N 1 2 315.299 1.937 20 30 DDEDLO C=CCC[C@H](NC(=O)c1ccc2[nH+]ccn2c1)C(=O)OCC ZINC000456625383 420518249 /nfs/dbraw/zinc/51/82/49/420518249.db2.gz JYEPRVGZRBHOFD-ZDUSSCGKSA-N 1 2 301.346 1.962 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)/C=C/c2[nH+]ccn2CC)C1=O ZINC000492571475 420595217 /nfs/dbraw/zinc/59/52/17/420595217.db2.gz ZYJYHGPDZRSAOV-KTRBRXNASA-N 1 2 302.378 1.162 20 30 DDEDLO CC[N@H+](Cc1ccc(C#N)cc1OC(F)F)[C@H]1CCNC1=O ZINC000440969479 420625115 /nfs/dbraw/zinc/62/51/15/420625115.db2.gz PWGJFSKNNBNXNK-LBPRGKRZSA-N 1 2 309.316 1.870 20 30 DDEDLO CC[N@@H+](Cc1ccc(C#N)cc1OC(F)F)[C@H]1CCNC1=O ZINC000440969479 420625118 /nfs/dbraw/zinc/62/51/18/420625118.db2.gz PWGJFSKNNBNXNK-LBPRGKRZSA-N 1 2 309.316 1.870 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)NCC1CCC(C#N)CC1 ZINC000441197835 420640348 /nfs/dbraw/zinc/64/03/48/420640348.db2.gz IBPWSMLBIKNXML-NFOMZHRRSA-N 1 2 308.426 1.336 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cccc(Cl)c1C#N ZINC000443092962 420744929 /nfs/dbraw/zinc/74/49/29/420744929.db2.gz HTQVSUIQZPZDQL-SNVBAGLBSA-N 1 2 324.793 1.775 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1ncc(C#N)cc1Cl ZINC000447662291 420793077 /nfs/dbraw/zinc/79/30/77/420793077.db2.gz ZSBYQLQLWYSEFG-NSHDSACASA-N 1 2 322.796 1.399 20 30 DDEDLO COCCCOCC[N@H+](C)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459465726 420835840 /nfs/dbraw/zinc/83/58/40/420835840.db2.gz JEJAYPVYRGQIQI-UHFFFAOYSA-N 1 2 307.350 1.951 20 30 DDEDLO COCCCOCC[N@@H+](C)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459465726 420835841 /nfs/dbraw/zinc/83/58/41/420835841.db2.gz JEJAYPVYRGQIQI-UHFFFAOYSA-N 1 2 307.350 1.951 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Nc1cccc(C#N)n1 ZINC000514671461 421445928 /nfs/dbraw/zinc/44/59/28/421445928.db2.gz NUKOBLQHYMKPTH-OCCSQVGLSA-N 1 2 315.377 1.280 20 30 DDEDLO N#Cc1ccc(CC(=O)NCCc2cn3c([nH+]2)CCCC3)cn1 ZINC000527862521 421450752 /nfs/dbraw/zinc/45/07/52/421450752.db2.gz FXFVZUHRMZTEJZ-UHFFFAOYSA-N 1 2 309.373 1.387 20 30 DDEDLO CCOC(=O)c1c(C)[nH]c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1C ZINC000563220405 421474335 /nfs/dbraw/zinc/47/43/35/421474335.db2.gz NCJOAOUDYLBQMP-INIZCTEOSA-N 1 2 320.393 1.382 20 30 DDEDLO C=CCN(C(=O)C[C@H](C)n1cc[nH+]c1)[C@@H]1CCS(=O)(=O)C1 ZINC000515063679 421477830 /nfs/dbraw/zinc/47/78/30/421477830.db2.gz XLSLUVYOSWCOLV-QWHCGFSZSA-N 1 2 311.407 1.036 20 30 DDEDLO C[C@@H]1OCC[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)[C@H]1C ZINC000528408463 421485414 /nfs/dbraw/zinc/48/54/14/421485414.db2.gz WNXRFZVFVBWZNX-KBPBESRZSA-N 1 2 312.369 1.395 20 30 DDEDLO C[C@@H]1OCC[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)[C@H]1C ZINC000528408463 421485415 /nfs/dbraw/zinc/48/54/15/421485415.db2.gz WNXRFZVFVBWZNX-KBPBESRZSA-N 1 2 312.369 1.395 20 30 DDEDLO Cc1cc(C[NH+]2CCN(S(=O)(=O)C3CC3)CC2)ccc1C#N ZINC000528614597 421501853 /nfs/dbraw/zinc/50/18/53/421501853.db2.gz BVCQMPJKBJPFSE-UHFFFAOYSA-N 1 2 319.430 1.477 20 30 DDEDLO CNC(=O)NC[C@H]1C[N@H+](CCC2(C#N)CCCCC2)CCO1 ZINC000528620024 421503013 /nfs/dbraw/zinc/50/30/13/421503013.db2.gz KHMYVGJGUVMSOK-AWEZNQCLSA-N 1 2 308.426 1.480 20 30 DDEDLO CNC(=O)NC[C@H]1C[N@@H+](CCC2(C#N)CCCCC2)CCO1 ZINC000528620024 421503016 /nfs/dbraw/zinc/50/30/16/421503016.db2.gz KHMYVGJGUVMSOK-AWEZNQCLSA-N 1 2 308.426 1.480 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)C[C@@H](O)COc2ccc(C#N)cc2)n1 ZINC000563821188 421546393 /nfs/dbraw/zinc/54/63/93/421546393.db2.gz OMWKKGPFLNAICO-SMDDNHRTSA-N 1 2 316.361 1.682 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)C[C@@H](O)COc2ccc(C#N)cc2)n1 ZINC000563821188 421546396 /nfs/dbraw/zinc/54/63/96/421546396.db2.gz OMWKKGPFLNAICO-SMDDNHRTSA-N 1 2 316.361 1.682 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCO[C@@H](CNC(=O)NC)C2)cc1 ZINC000529642696 421548601 /nfs/dbraw/zinc/54/86/01/421548601.db2.gz MXABBYLHFZQGHG-INIZCTEOSA-N 1 2 319.405 1.381 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCO[C@@H](CNC(=O)NC)C2)cc1 ZINC000529642696 421548603 /nfs/dbraw/zinc/54/86/03/421548603.db2.gz MXABBYLHFZQGHG-INIZCTEOSA-N 1 2 319.405 1.381 20 30 DDEDLO Cn1cc(Br)cc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000563626933 421530432 /nfs/dbraw/zinc/53/04/32/421530432.db2.gz VZBYEIVHUNZMGU-LBPRGKRZSA-N 1 2 313.199 1.361 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C1CCC(OC(F)F)CC1 ZINC000566308571 421604820 /nfs/dbraw/zinc/60/48/20/421604820.db2.gz IVQFOERCKBHTPM-QIMFLAQGSA-N 1 2 303.353 1.744 20 30 DDEDLO CC(=O)c1cccc(O[C@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000566977478 421609648 /nfs/dbraw/zinc/60/96/48/421609648.db2.gz WULNKEPYZAIHET-CXAGYDPISA-N 1 2 317.389 1.617 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000567467037 421612671 /nfs/dbraw/zinc/61/26/71/421612671.db2.gz NEEFFUKRLOISNG-ZDUSSCGKSA-N 1 2 318.402 1.457 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N[C@@H]2CCCC[C@@H]2C)C1=O ZINC000532205722 421652147 /nfs/dbraw/zinc/65/21/47/421652147.db2.gz GDSLBFKWWHOFOC-JJXSEGSLSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N[C@@H]2CCCC[C@@H]2C)C1=O ZINC000532205722 421652149 /nfs/dbraw/zinc/65/21/49/421652149.db2.gz GDSLBFKWWHOFOC-JJXSEGSLSA-N 1 2 321.465 1.789 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)[C@@H]2C[C@H]2c2cccc(C#N)c2)CCO1 ZINC000519751057 421724255 /nfs/dbraw/zinc/72/42/55/421724255.db2.gz IPUJWCXGCFHBPD-RRQGHBQHSA-N 1 2 313.401 1.499 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)[C@@H]2C[C@H]2c2cccc(C#N)c2)CCO1 ZINC000519751057 421724256 /nfs/dbraw/zinc/72/42/56/421724256.db2.gz IPUJWCXGCFHBPD-RRQGHBQHSA-N 1 2 313.401 1.499 20 30 DDEDLO CN(CC[NH+]1CCOCC1)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000581193295 421918430 /nfs/dbraw/zinc/91/84/30/421918430.db2.gz LNZXBGYMQZZWDH-UHFFFAOYSA-N 1 2 304.350 1.230 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNc2nnc(C)c(C)c2C#N)C1 ZINC000573492370 421968840 /nfs/dbraw/zinc/96/88/40/421968840.db2.gz FYMQIYCOECQARN-CYBMUJFWSA-N 1 2 317.393 1.262 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNc2nnc(C)c(C)c2C#N)C1 ZINC000573492370 421968843 /nfs/dbraw/zinc/96/88/43/421968843.db2.gz FYMQIYCOECQARN-CYBMUJFWSA-N 1 2 317.393 1.262 20 30 DDEDLO CCn1cc[nH+]c1[C@H]1CCCCN1CC(=O)NC1(C#N)CCC1 ZINC000574654563 422152924 /nfs/dbraw/zinc/15/29/24/422152924.db2.gz BRDBWNYOMLVQIE-CQSZACIVSA-N 1 2 315.421 1.992 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNc1ccc(C(F)(F)F)c(C#N)n1 ZINC000574714021 422164164 /nfs/dbraw/zinc/16/41/64/422164164.db2.gz SEUWAWSAIGUXOQ-JTQLQIEISA-N 1 2 314.311 2.105 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNc1ccc(C(F)(F)F)c(C#N)n1 ZINC000574714021 422164169 /nfs/dbraw/zinc/16/41/69/422164169.db2.gz SEUWAWSAIGUXOQ-JTQLQIEISA-N 1 2 314.311 2.105 20 30 DDEDLO C=C1CC(C)(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)C1 ZINC000630703450 422278567 /nfs/dbraw/zinc/27/85/67/422278567.db2.gz QDCUXBQHUPFCMF-UHFFFAOYSA-N 1 2 301.390 1.891 20 30 DDEDLO CN1CC[NH+]([C@H](CNC(=O)CCCC#N)c2cccs2)CC1 ZINC000584206020 422281677 /nfs/dbraw/zinc/28/16/77/422281677.db2.gz FDASXIZRLHBLMW-CQSZACIVSA-N 1 2 320.462 1.847 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC000594371831 422345908 /nfs/dbraw/zinc/34/59/08/422345908.db2.gz GFWDUNWNJLXBAG-JTQLQIEISA-N 1 2 308.345 2.283 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC000594371831 422345913 /nfs/dbraw/zinc/34/59/13/422345913.db2.gz GFWDUNWNJLXBAG-JTQLQIEISA-N 1 2 308.345 2.283 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)nc1 ZINC000599183736 422405008 /nfs/dbraw/zinc/40/50/08/422405008.db2.gz SLVPSVCJIVBZGF-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)nc1 ZINC000599183736 422405012 /nfs/dbraw/zinc/40/50/12/422405012.db2.gz SLVPSVCJIVBZGF-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2cc(C)ccc2C)nn1 ZINC000640762763 423170958 /nfs/dbraw/zinc/17/09/58/423170958.db2.gz HAAYRMRLUDEUNX-UHFFFAOYSA-N 1 2 311.389 1.647 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N2CCCC[C@H]2CC)nn1 ZINC000640817657 423211668 /nfs/dbraw/zinc/21/16/68/423211668.db2.gz OHLAZBQGISTLTE-GDBMZVCRSA-N 1 2 317.437 1.743 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cnc(OC)c(Cl)c2)nn1 ZINC000641121881 423402781 /nfs/dbraw/zinc/40/27/81/423402781.db2.gz PNQYQXSHUIGVIE-UHFFFAOYSA-N 1 2 305.769 1.496 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000649245829 423697398 /nfs/dbraw/zinc/69/73/98/423697398.db2.gz GHPJQTGWZXWFBH-MRXNPFEDSA-N 1 2 322.380 1.286 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H](C)C(=O)N2CCCC[C@H]2C)nn1 ZINC000657342654 424294321 /nfs/dbraw/zinc/29/43/21/424294321.db2.gz QABKFLYGOYYSPQ-KGLIPLIRSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2ccc(S(C)(=O)=O)cc2)nn1 ZINC000657331345 424287620 /nfs/dbraw/zinc/28/76/20/424287620.db2.gz ZEIGEZIZSBCPPT-UHFFFAOYSA-N 1 2 320.418 1.548 20 30 DDEDLO CC#CC[C@H](CO)Nc1nc2c(cnn2C(C)(C)C)c(N)[nH+]1 ZINC000664057130 424366648 /nfs/dbraw/zinc/36/66/48/424366648.db2.gz WRUSNPZUTNKVRG-SNVBAGLBSA-N 1 2 302.382 1.350 20 30 DDEDLO C=C(CNC(=O)N1CC[NH+]([C@H]2CCOC2)CC1)c1ccccc1 ZINC000664898767 424715341 /nfs/dbraw/zinc/71/53/41/424715341.db2.gz FODOQHWFILQOGG-KRWDZBQOSA-N 1 2 315.417 1.816 20 30 DDEDLO C=CCCNC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000660389449 424670351 /nfs/dbraw/zinc/67/03/51/424670351.db2.gz UKKVCMRXGHNUJL-ZDUSSCGKSA-N 1 2 304.394 1.682 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccccc2C#N)C[C@H]1C ZINC000345506208 266159729 /nfs/dbraw/zinc/15/97/29/266159729.db2.gz LNQLIKMBLVHOTJ-OLZOCXBDSA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccccc2C#N)C[C@H]1C ZINC000345506208 266159733 /nfs/dbraw/zinc/15/97/33/266159733.db2.gz LNQLIKMBLVHOTJ-OLZOCXBDSA-N 1 2 307.419 1.661 20 30 DDEDLO C[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@H](C)S1(=O)=O ZINC000356516758 267056489 /nfs/dbraw/zinc/05/64/89/267056489.db2.gz BCNYZDJQQBSLCV-TXEJJXNPSA-N 1 2 318.402 1.213 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)C(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000522997757 267315734 /nfs/dbraw/zinc/31/57/34/267315734.db2.gz FJDPPFUMIDLWRF-UHFFFAOYSA-N 1 2 318.340 1.806 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)c1cccc(C#N)c1)C2 ZINC000516437490 268079447 /nfs/dbraw/zinc/07/94/47/268079447.db2.gz ORHWCCYJQJANLH-OAHLLOKOSA-N 1 2 324.384 1.343 20 30 DDEDLO N#Cc1cccc(C#N)c1N1CC[NH+](C2(C(N)=O)CCCC2)CC1 ZINC000370061097 268235374 /nfs/dbraw/zinc/23/53/74/268235374.db2.gz FHUMKDHBSLETGQ-UHFFFAOYSA-N 1 2 323.400 1.350 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCC(F)(F)[C@@H](CO)C2)c1 ZINC000361403728 268249629 /nfs/dbraw/zinc/24/96/29/268249629.db2.gz PGTQXYAIHMVCSQ-CQSZACIVSA-N 1 2 323.343 1.124 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCC(F)(F)[C@@H](CO)C2)c1 ZINC000361403728 268249633 /nfs/dbraw/zinc/24/96/33/268249633.db2.gz PGTQXYAIHMVCSQ-CQSZACIVSA-N 1 2 323.343 1.124 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@H+](CC#Cc3ccc(F)cc3)CCN2C1=O ZINC000362792229 276356768 /nfs/dbraw/zinc/35/67/68/276356768.db2.gz OCJKTTLURQOLDL-HNNXBMFYSA-N 1 2 315.348 1.146 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@@H+](CC#Cc3ccc(F)cc3)CCN2C1=O ZINC000362792229 276356769 /nfs/dbraw/zinc/35/67/69/276356769.db2.gz OCJKTTLURQOLDL-HNNXBMFYSA-N 1 2 315.348 1.146 20 30 DDEDLO CCn1cc[nH+]c1CN1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000369515423 276715448 /nfs/dbraw/zinc/71/54/48/276715448.db2.gz JLPNESLQPMZAHW-FZKQIMNGSA-N 1 2 321.384 1.748 20 30 DDEDLO CC#CCNC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000291037392 276932265 /nfs/dbraw/zinc/93/22/65/276932265.db2.gz PEBHGAJJOPESES-KRWDZBQOSA-N 1 2 315.417 1.252 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000330164894 298243815 /nfs/dbraw/zinc/24/38/15/298243815.db2.gz WLTUFJBFQGQKJC-UEKVPHQBSA-N 1 2 311.382 1.288 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000330164894 298243820 /nfs/dbraw/zinc/24/38/20/298243820.db2.gz WLTUFJBFQGQKJC-UEKVPHQBSA-N 1 2 311.382 1.288 20 30 DDEDLO C[C@H](CN1CC[NH+](C)CC1)/N=C/c1cc([N+](=O)[O-])ccc1O ZINC000106586437 298614514 /nfs/dbraw/zinc/61/45/14/298614514.db2.gz VHAASPWEVJFOJU-HMHNVIDESA-N 1 2 306.366 1.355 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)ccc1F ZINC000541124605 307691519 /nfs/dbraw/zinc/69/15/19/307691519.db2.gz SZEMSLBYAMBLDK-UHFFFAOYSA-N 1 2 306.322 1.139 20 30 DDEDLO N#Cc1cc(Br)cnc1N1CC(n2cc[nH+]c2)C1 ZINC000565520918 308033174 /nfs/dbraw/zinc/03/31/74/308033174.db2.gz JNTWSTCXVAYDFF-UHFFFAOYSA-N 1 2 304.151 1.974 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)C1(C#N)CC(C)C1)C2 ZINC000570521252 332767128 /nfs/dbraw/zinc/76/71/28/332767128.db2.gz LLQMDOKRNZCYNU-OFKYTCTHSA-N 1 2 316.405 1.097 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000518212002 331997415 /nfs/dbraw/zinc/99/74/15/331997415.db2.gz LLOKRSWOHHLTBD-JTQLQIEISA-N 1 2 314.345 1.133 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CC[NH+]([C@@H](C)c2cccc(F)c2)CC1 ZINC000091689741 332079937 /nfs/dbraw/zinc/07/99/37/332079937.db2.gz SAGIPNXBWHLLRF-LSDHHAIUSA-N 1 2 317.408 1.642 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN([C@@H](C)c2cccc(F)c2)CC1 ZINC000091689741 332079938 /nfs/dbraw/zinc/07/99/38/332079938.db2.gz SAGIPNXBWHLLRF-LSDHHAIUSA-N 1 2 317.408 1.642 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000560490455 332345398 /nfs/dbraw/zinc/34/53/98/332345398.db2.gz SWFBZVOXBYUCMP-AWEZNQCLSA-N 1 2 303.406 1.351 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000560621384 332369753 /nfs/dbraw/zinc/36/97/53/332369753.db2.gz RIBXXRCJRQYDDU-UHFFFAOYSA-N 1 2 307.419 1.037 20 30 DDEDLO COCCOc1ccc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000563220000 333486182 /nfs/dbraw/zinc/48/61/82/333486182.db2.gz DAUISNMPIIPNRO-QGZVFWFLSA-N 1 2 319.405 1.214 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+](C)[C@H]1CCNC1=O ZINC000340221995 334151299 /nfs/dbraw/zinc/15/12/99/334151299.db2.gz SMGQOSYGZMYAHY-ZDUSSCGKSA-N 1 2 319.430 1.724 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+](C)[C@H]1CCNC1=O ZINC000340221995 334151300 /nfs/dbraw/zinc/15/13/00/334151300.db2.gz SMGQOSYGZMYAHY-ZDUSSCGKSA-N 1 2 319.430 1.724 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[NH+](CCN2CC(C)(C#N)C2)CC1 ZINC000496555925 340007728 /nfs/dbraw/zinc/00/77/28/340007728.db2.gz YKASWXRCBQPWNC-UHFFFAOYSA-N 1 2 308.426 1.385 20 30 DDEDLO C[C@H](NC(=O)N1CCC(CC#N)CC1)[C@H](C)[NH+]1CCOCC1 ZINC000543783682 341058622 /nfs/dbraw/zinc/05/86/22/341058622.db2.gz ZJUIJKOAPQFPLY-KBPBESRZSA-N 1 2 308.426 1.431 20 30 DDEDLO CC[C@](C)(C#N)NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000132402747 341122129 /nfs/dbraw/zinc/12/21/29/341122129.db2.gz ZDZJTAWQRNMJQR-DOTOQJQBSA-N 1 2 301.390 1.696 20 30 DDEDLO CC[C@](C)(C#N)NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000132402747 341122130 /nfs/dbraw/zinc/12/21/30/341122130.db2.gz ZDZJTAWQRNMJQR-DOTOQJQBSA-N 1 2 301.390 1.696 20 30 DDEDLO Cc1ccn(-c2ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc2)n1 ZINC000565776421 341537832 /nfs/dbraw/zinc/53/78/32/341537832.db2.gz FQTNZBMBELXAIF-QGZVFWFLSA-N 1 2 311.389 1.754 20 30 DDEDLO COc1nc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc2ccccc21 ZINC000576764255 341862106 /nfs/dbraw/zinc/86/21/06/341862106.db2.gz DPEDVNGOUUMLLI-QGZVFWFLSA-N 1 2 312.373 1.817 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000661119817 484924200 /nfs/dbraw/zinc/92/42/00/484924200.db2.gz KHOXRPJDFONLHE-JYJNAYRXSA-N 1 2 323.437 1.084 20 30 DDEDLO C=CCOCCNC(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000679557507 485920456 /nfs/dbraw/zinc/92/04/56/485920456.db2.gz PJWYYRUDDQPTDU-UHFFFAOYSA-N 1 2 319.405 1.843 20 30 DDEDLO COc1ccccc1C[N@H+](CCO)CC(=O)NC1(C#N)CCC1 ZINC000346859301 534067649 /nfs/dbraw/zinc/06/76/49/534067649.db2.gz XBZMDTQJULVDAG-UHFFFAOYSA-N 1 2 317.389 1.052 20 30 DDEDLO COc1ccccc1C[N@@H+](CCO)CC(=O)NC1(C#N)CCC1 ZINC000346859301 534067655 /nfs/dbraw/zinc/06/76/55/534067655.db2.gz XBZMDTQJULVDAG-UHFFFAOYSA-N 1 2 317.389 1.052 20 30 DDEDLO C[C@H](C(=O)N(C)Cc1cccc(C#N)c1)[NH+]1CCN(C)CC1 ZINC000330429455 534291894 /nfs/dbraw/zinc/29/18/94/534291894.db2.gz YKAFVBSSFGSRMA-CQSZACIVSA-N 1 2 300.406 1.153 20 30 DDEDLO N#CCC[N@@H+](CC(=O)NCCOc1ccc(F)cc1)CC1CC1 ZINC000348553166 534632684 /nfs/dbraw/zinc/63/26/84/534632684.db2.gz NWYDHAWBBRCUTE-UHFFFAOYSA-N 1 2 319.380 1.946 20 30 DDEDLO N#CCC[N@H+](CC(=O)NCCOc1ccc(F)cc1)CC1CC1 ZINC000348553166 534632688 /nfs/dbraw/zinc/63/26/88/534632688.db2.gz NWYDHAWBBRCUTE-UHFFFAOYSA-N 1 2 319.380 1.946 20 30 DDEDLO Cc1nn(C)cc1[C@H](C)NC([O-])=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330202677 534844790 /nfs/dbraw/zinc/84/47/90/534844790.db2.gz ZPLGIHVFGNKFNH-CMPLNLGQSA-N 1 2 302.382 1.505 20 30 DDEDLO C=CC[N@@H+](CCN1C(=O)N[C@@H](C)C1=O)Cc1ccccc1F ZINC000459312901 526276109 /nfs/dbraw/zinc/27/61/09/526276109.db2.gz WVTHRUQSYPPBCP-LBPRGKRZSA-N 1 2 305.353 1.754 20 30 DDEDLO C=CC[N@H+](CCN1C(=O)N[C@@H](C)C1=O)Cc1ccccc1F ZINC000459312901 526276112 /nfs/dbraw/zinc/27/61/12/526276112.db2.gz WVTHRUQSYPPBCP-LBPRGKRZSA-N 1 2 305.353 1.754 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2ccc(C)c(F)c2)C1 ZINC000330957857 526400364 /nfs/dbraw/zinc/40/03/64/526400364.db2.gz WDISOKFOSRMPOC-WCQYABFASA-N 1 2 322.384 1.669 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2ccc(C)c(F)c2)C1 ZINC000330957857 526400372 /nfs/dbraw/zinc/40/03/72/526400372.db2.gz WDISOKFOSRMPOC-WCQYABFASA-N 1 2 322.384 1.669 20 30 DDEDLO CNC(=O)c1ccc(NC(=O)[C@H]2C[N@H+](C)CCO2)cc1Cl ZINC000330972510 526409097 /nfs/dbraw/zinc/40/90/97/526409097.db2.gz GBQODXGUHLDASV-GFCCVEGCSA-N 1 2 311.769 1.544 20 30 DDEDLO CNC(=O)c1ccc(NC(=O)[C@H]2C[N@@H+](C)CCO2)cc1Cl ZINC000330972510 526409105 /nfs/dbraw/zinc/40/91/05/526409105.db2.gz GBQODXGUHLDASV-GFCCVEGCSA-N 1 2 311.769 1.544 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(CC(F)(F)F)CC2(C)C)C1=O ZINC000337176415 526480543 /nfs/dbraw/zinc/48/05/43/526480543.db2.gz IWRZORNRWNSCNM-GFCCVEGCSA-N 1 2 319.371 1.732 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(CC(F)(F)F)CC2(C)C)C1=O ZINC000337176415 526480546 /nfs/dbraw/zinc/48/05/46/526480546.db2.gz IWRZORNRWNSCNM-GFCCVEGCSA-N 1 2 319.371 1.732 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCN(CC)[C@@H](c2ncc[nH]2)C1 ZINC000348411996 526523313 /nfs/dbraw/zinc/52/33/13/526523313.db2.gz VCZQWAZYWZXSFO-OAHLLOKOSA-N 1 2 319.453 1.513 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCN(CC)[C@@H](c2ncc[nH]2)C1 ZINC000348411996 526523319 /nfs/dbraw/zinc/52/33/19/526523319.db2.gz VCZQWAZYWZXSFO-OAHLLOKOSA-N 1 2 319.453 1.513 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2nc(CC)cc(CC)n2)CC1 ZINC000341458536 526540431 /nfs/dbraw/zinc/54/04/31/526540431.db2.gz PPWVLGPSGFPMMS-UHFFFAOYSA-N 1 2 317.437 1.026 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)Cc1ccc(S(=O)(=O)N(C)C)s1 ZINC000491784494 526656241 /nfs/dbraw/zinc/65/62/41/526656241.db2.gz QTNRREJBUGGKPX-UHFFFAOYSA-N 1 2 300.449 1.842 20 30 DDEDLO C#CC(C)(C)[N@H+](C)Cc1ccc(S(=O)(=O)N(C)C)s1 ZINC000491784494 526656244 /nfs/dbraw/zinc/65/62/44/526656244.db2.gz QTNRREJBUGGKPX-UHFFFAOYSA-N 1 2 300.449 1.842 20 30 DDEDLO C=C(C)COCCNc1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000413209458 526658351 /nfs/dbraw/zinc/65/83/51/526658351.db2.gz UNIGBMHWVNAUJF-KGLIPLIRSA-N 1 2 306.410 1.688 20 30 DDEDLO C=C(C)COCCNc1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000413209458 526658354 /nfs/dbraw/zinc/65/83/54/526658354.db2.gz UNIGBMHWVNAUJF-KGLIPLIRSA-N 1 2 306.410 1.688 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NC(C)(C)c1noc(C[NH+](C)C)n1)OCC ZINC000339192466 526748023 /nfs/dbraw/zinc/74/80/23/526748023.db2.gz GAVAFMGGQMBFAS-GFCCVEGCSA-N 1 2 324.425 1.854 20 30 DDEDLO C#CCN(C)C(=O)C(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000491104460 526787172 /nfs/dbraw/zinc/78/71/72/526787172.db2.gz STLJITHJVYCSIF-UHFFFAOYSA-N 1 2 309.325 1.904 20 30 DDEDLO C#CC[N@@H+](CC#CC)Cc1c(C)nn(CC(=O)OC(C)C)c1C ZINC000490913202 526863755 /nfs/dbraw/zinc/86/37/55/526863755.db2.gz CKTCJXDMWXLQEF-UHFFFAOYSA-N 1 2 315.417 1.910 20 30 DDEDLO C#CC[N@H+](CC#CC)Cc1c(C)nn(CC(=O)OC(C)C)c1C ZINC000490913202 526863761 /nfs/dbraw/zinc/86/37/61/526863761.db2.gz CKTCJXDMWXLQEF-UHFFFAOYSA-N 1 2 315.417 1.910 20 30 DDEDLO C#CC[N@H+](Cc1cccc2cc[nH]c21)[C@H]1CCS(=O)(=O)C1 ZINC000490897901 526908649 /nfs/dbraw/zinc/90/86/49/526908649.db2.gz GKZVCGUPXHEEDO-HNNXBMFYSA-N 1 2 302.399 1.790 20 30 DDEDLO C#CC[N@@H+](Cc1cccc2cc[nH]c21)[C@H]1CCS(=O)(=O)C1 ZINC000490897901 526908653 /nfs/dbraw/zinc/90/86/53/526908653.db2.gz GKZVCGUPXHEEDO-HNNXBMFYSA-N 1 2 302.399 1.790 20 30 DDEDLO C=CC(=O)NCc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000490734099 526937181 /nfs/dbraw/zinc/93/71/81/526937181.db2.gz PJZCYHJIYFFUHG-QGZVFWFLSA-N 1 2 314.389 1.062 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000491071437 526945701 /nfs/dbraw/zinc/94/57/01/526945701.db2.gz KRAWDHHBRXJINF-HNNXBMFYSA-N 1 2 310.357 1.726 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000491071437 526945706 /nfs/dbraw/zinc/94/57/06/526945706.db2.gz KRAWDHHBRXJINF-HNNXBMFYSA-N 1 2 310.357 1.726 20 30 DDEDLO C#CCNC(=O)C1CCN(c2cc(N3CCCC3)[nH+]cn2)CC1 ZINC000491517408 526972831 /nfs/dbraw/zinc/97/28/31/526972831.db2.gz YKLRWZICRCIDBZ-UHFFFAOYSA-N 1 2 313.405 1.043 20 30 DDEDLO C#CCNC(=O)C1CCN(c2cc(N3CCCC3)nc[nH+]2)CC1 ZINC000491517408 526972835 /nfs/dbraw/zinc/97/28/35/526972835.db2.gz YKLRWZICRCIDBZ-UHFFFAOYSA-N 1 2 313.405 1.043 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C(C)=C(\C)CC)CC1 ZINC000490950018 526990466 /nfs/dbraw/zinc/99/04/66/526990466.db2.gz OCXLEEADVMBNCR-CCEZHUSRSA-N 1 2 305.422 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C(C)=C(\C)CC)CC1 ZINC000490950018 526990470 /nfs/dbraw/zinc/99/04/70/526990470.db2.gz OCXLEEADVMBNCR-CCEZHUSRSA-N 1 2 305.422 1.017 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(Cc2ccc(F)c(Cl)c2)CC1 ZINC000491661565 527003910 /nfs/dbraw/zinc/00/39/10/527003910.db2.gz KZTAVRGXKOAPFT-UHFFFAOYSA-N 1 2 323.799 1.346 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000491699238 527202613 /nfs/dbraw/zinc/20/26/13/527202613.db2.gz ULKCYGUHWUAJQR-WBVHZDCISA-N 1 2 315.417 1.723 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1ccccc1SCC(N)=O ZINC000491641806 527212461 /nfs/dbraw/zinc/21/24/61/527212461.db2.gz TYONCPDGZJBMSF-LLVKDONJSA-N 1 2 305.403 1.156 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1ccccc1SCC(N)=O ZINC000491641806 527212467 /nfs/dbraw/zinc/21/24/67/527212467.db2.gz TYONCPDGZJBMSF-LLVKDONJSA-N 1 2 305.403 1.156 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000343811313 527263594 /nfs/dbraw/zinc/26/35/94/527263594.db2.gz HLQWRVBMSLUVBK-OAHLLOKOSA-N 1 2 319.453 1.992 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@H+]1CCOC[C@@H]1C)c1ccc(F)cc1 ZINC000491696467 527276262 /nfs/dbraw/zinc/27/62/62/527276262.db2.gz JCCZGGHUYCXHMR-XJKSGUPXSA-N 1 2 319.380 1.520 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1ccc(F)cc1 ZINC000491696467 527276267 /nfs/dbraw/zinc/27/62/67/527276267.db2.gz JCCZGGHUYCXHMR-XJKSGUPXSA-N 1 2 319.380 1.520 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)NCc1cn2ccccc2[nH+]1 ZINC000339704298 527281677 /nfs/dbraw/zinc/28/16/77/527281677.db2.gz ZWRMPYZHCVOQNE-ZDUSSCGKSA-N 1 2 314.389 1.812 20 30 DDEDLO C#C[C@@H](NC(=O)c1ccc(C[NH+]2CCOCC2)cn1)C(C)(C)C ZINC000491523623 527288053 /nfs/dbraw/zinc/28/80/53/527288053.db2.gz GALRIJQQLZAUBL-MRXNPFEDSA-N 1 2 315.417 1.692 20 30 DDEDLO C=CCn1c(CC(C)(C)C)nnc1N(C)CC[NH+]1CCOCC1 ZINC000337707423 527309230 /nfs/dbraw/zinc/30/92/30/527309230.db2.gz AGFPXJLSANLRFG-UHFFFAOYSA-N 1 2 321.469 1.821 20 30 DDEDLO C#C[C@H](CCC)NC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000491220384 527371996 /nfs/dbraw/zinc/37/19/96/527371996.db2.gz MXYIYQWLEMXSEQ-CQSZACIVSA-N 1 2 319.409 1.139 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[NH2+][C@H](C)c2ccon2)CC1 ZINC000349240963 528337593 /nfs/dbraw/zinc/33/75/93/528337593.db2.gz MYGNRMGVUWDFAG-UKRRQHHQSA-N 1 2 319.409 1.018 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CC(N)=O)[C@@H](C)c1ccccc1 ZINC000342607647 528354308 /nfs/dbraw/zinc/35/43/08/528354308.db2.gz RBUHOSBIYOGVDH-AWEZNQCLSA-N 1 2 316.405 1.297 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CC(N)=O)[C@@H](C)c1ccccc1 ZINC000342607647 528354312 /nfs/dbraw/zinc/35/43/12/528354312.db2.gz RBUHOSBIYOGVDH-AWEZNQCLSA-N 1 2 316.405 1.297 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCOC[C@H]1CC1CCC1 ZINC000339769395 528623560 /nfs/dbraw/zinc/62/35/60/528623560.db2.gz MHCMXDPZEFHRNC-GOEBONIOSA-N 1 2 307.438 1.886 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCOC[C@H]1CC1CCC1 ZINC000339769395 528623567 /nfs/dbraw/zinc/62/35/67/528623567.db2.gz MHCMXDPZEFHRNC-GOEBONIOSA-N 1 2 307.438 1.886 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)N[C@H](C)c1[nH+]ccn1CC ZINC000451923731 528646202 /nfs/dbraw/zinc/64/62/02/528646202.db2.gz AXOFDHWGSDOHCL-NWDGAFQWSA-N 1 2 313.427 1.280 20 30 DDEDLO CCC(CC)[C@H](C(=O)N[C@@H]1CC(=O)N(C)C1)[NH+]1CCOCC1 ZINC000329650956 528842568 /nfs/dbraw/zinc/84/25/68/528842568.db2.gz KDIOUOGKNXDBBR-UKRRQHHQSA-N 1 2 311.426 1.311 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)[C@]2(C#N)CC23CCCC3)CCO1 ZINC000333061509 529118865 /nfs/dbraw/zinc/11/88/65/529118865.db2.gz BEAKPVCOVCBUOJ-QGZVFWFLSA-N 1 2 305.422 1.687 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)[C@]2(C#N)CC23CCCC3)CCO1 ZINC000333061509 529118869 /nfs/dbraw/zinc/11/88/69/529118869.db2.gz BEAKPVCOVCBUOJ-QGZVFWFLSA-N 1 2 305.422 1.687 20 30 DDEDLO CCN1CCN(C(=O)NCC2(C#N)CCC2)C[C@H]1c1[nH]cc[nH+]1 ZINC000419629851 529127292 /nfs/dbraw/zinc/12/72/92/529127292.db2.gz SCTYIYXRSAGYCB-ZDUSSCGKSA-N 1 2 316.409 1.492 20 30 DDEDLO CCN1CC[NH+](CC(C)(C)Nc2ncc(C#N)cc2F)CC1 ZINC000413309362 529140420 /nfs/dbraw/zinc/14/04/20/529140420.db2.gz ARWVSDHTGWLDTP-UHFFFAOYSA-N 1 2 305.401 1.920 20 30 DDEDLO CC[C@H](CCO)NC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000330863865 529322966 /nfs/dbraw/zinc/32/29/66/529322966.db2.gz NABQOHRNWWZJEP-CYBMUJFWSA-N 1 2 306.410 1.715 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)N[C@](C)(C#N)C1CC1 ZINC000745088094 699971442 /nfs/dbraw/zinc/97/14/42/699971442.db2.gz TWPOJBCHSDDIKM-CZUORRHYSA-N 1 2 307.394 1.212 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)N[C@](C)(C#N)C1CC1 ZINC000745088094 699971443 /nfs/dbraw/zinc/97/14/43/699971443.db2.gz TWPOJBCHSDDIKM-CZUORRHYSA-N 1 2 307.394 1.212 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3sccc3C)C2)C1 ZINC000972227924 695182401 /nfs/dbraw/zinc/18/24/01/695182401.db2.gz KDFCNHHUAZUOFI-QGZVFWFLSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3sccc3C)C2)C1 ZINC000972227924 695182402 /nfs/dbraw/zinc/18/24/02/695182402.db2.gz KDFCNHHUAZUOFI-QGZVFWFLSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCCO3)C2)C1 ZINC000972274463 695200554 /nfs/dbraw/zinc/20/05/54/695200554.db2.gz GILABHVSIWISBV-SJLPKXTDSA-N 1 2 320.433 1.272 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCCO3)C2)C1 ZINC000972274463 695200556 /nfs/dbraw/zinc/20/05/56/695200556.db2.gz GILABHVSIWISBV-SJLPKXTDSA-N 1 2 320.433 1.272 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)sn3)C2)C1 ZINC000972353593 695224793 /nfs/dbraw/zinc/22/47/93/695224793.db2.gz SMVWJQJJRLJLJS-HNNXBMFYSA-N 1 2 307.419 1.554 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)sn3)C2)C1 ZINC000972353593 695224795 /nfs/dbraw/zinc/22/47/95/695224795.db2.gz SMVWJQJJRLJLJS-HNNXBMFYSA-N 1 2 307.419 1.554 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3CC(C)(C)CO3)C2)C1 ZINC000972463929 695256790 /nfs/dbraw/zinc/25/67/90/695256790.db2.gz ZFZQIQJOPZYOCT-YJBOKZPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3CC(C)(C)CO3)C2)C1 ZINC000972463929 695256792 /nfs/dbraw/zinc/25/67/92/695256792.db2.gz ZFZQIQJOPZYOCT-YJBOKZPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cn(C(C)C)cn3)C2)C1 ZINC000972484380 695261682 /nfs/dbraw/zinc/26/16/82/695261682.db2.gz NXXFMGACXFNTNU-KRWDZBQOSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cn(C(C)C)cn3)C2)C1 ZINC000972484380 695261683 /nfs/dbraw/zinc/26/16/83/695261683.db2.gz NXXFMGACXFNTNU-KRWDZBQOSA-N 1 2 318.421 1.567 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C(F)(F)F)C2)C1 ZINC000972493177 695264289 /nfs/dbraw/zinc/26/42/89/695264289.db2.gz BZPFCPHPFIAZAZ-OCCSQVGLSA-N 1 2 318.339 1.511 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C(F)(F)F)C2)C1 ZINC000972493177 695264288 /nfs/dbraw/zinc/26/42/88/695264288.db2.gz BZPFCPHPFIAZAZ-OCCSQVGLSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3Cc4ccccc43)C2)C1 ZINC000972525798 695272514 /nfs/dbraw/zinc/27/25/14/695272514.db2.gz CJSYRDBPPPJALX-HKUYNNGSSA-N 1 2 310.397 1.263 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3Cc4ccccc43)C2)C1 ZINC000972525798 695272515 /nfs/dbraw/zinc/27/25/15/695272515.db2.gz CJSYRDBPPPJALX-HKUYNNGSSA-N 1 2 310.397 1.263 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@]2(C1)C[N@H+](CC#CC)CCO2 ZINC000972532634 695274312 /nfs/dbraw/zinc/27/43/12/695274312.db2.gz SZUZMKGROAKDQT-ROUUACIJSA-N 1 2 304.434 1.915 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@]2(C1)C[N@@H+](CC#CC)CCO2 ZINC000972532634 695274314 /nfs/dbraw/zinc/27/43/14/695274314.db2.gz SZUZMKGROAKDQT-ROUUACIJSA-N 1 2 304.434 1.915 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@]34C[C@@H]3CCCC4)C2)C1 ZINC000972544135 695277956 /nfs/dbraw/zinc/27/79/56/695277956.db2.gz SDJWTTGXGAYPNT-JQHSSLGASA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@]34C[C@@H]3CCCC4)C2)C1 ZINC000972544135 695277957 /nfs/dbraw/zinc/27/79/57/695277957.db2.gz SDJWTTGXGAYPNT-JQHSSLGASA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C(C)(F)F)C2)C1 ZINC000972556402 695281665 /nfs/dbraw/zinc/28/16/65/695281665.db2.gz GJFHZMFWSXFKNX-IUODEOHRSA-N 1 2 300.349 1.214 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C(C)(F)F)C2)C1 ZINC000972556402 695281667 /nfs/dbraw/zinc/28/16/67/695281667.db2.gz GJFHZMFWSXFKNX-IUODEOHRSA-N 1 2 300.349 1.214 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cn3cc(Cl)cn3)C2)C1 ZINC000972572099 695286621 /nfs/dbraw/zinc/28/66/21/695286621.db2.gz GQYJUDMFSXUJHW-OAHLLOKOSA-N 1 2 324.812 1.026 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cn3cc(Cl)cn3)C2)C1 ZINC000972572099 695286622 /nfs/dbraw/zinc/28/66/22/695286622.db2.gz GQYJUDMFSXUJHW-OAHLLOKOSA-N 1 2 324.812 1.026 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc4ccccn4c3)C2)C1 ZINC000972583548 695290285 /nfs/dbraw/zinc/29/02/85/695290285.db2.gz VKWSVHZTFIOVAH-LJQANCHMSA-N 1 2 323.396 1.489 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc4ccccn4c3)C2)C1 ZINC000972583548 695290287 /nfs/dbraw/zinc/29/02/87/695290287.db2.gz VKWSVHZTFIOVAH-LJQANCHMSA-N 1 2 323.396 1.489 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c[nH]cc3C3CC3)C2)C1 ZINC000972620830 695301386 /nfs/dbraw/zinc/30/13/86/695301386.db2.gz BLECOPMJGUCIPP-GOSISDBHSA-N 1 2 313.401 1.442 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c[nH]cc3C3CC3)C2)C1 ZINC000972620830 695301391 /nfs/dbraw/zinc/30/13/91/695301391.db2.gz BLECOPMJGUCIPP-GOSISDBHSA-N 1 2 313.401 1.442 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3cccnc3)C2)C1 ZINC000972639582 695306335 /nfs/dbraw/zinc/30/63/35/695306335.db2.gz UVXWIQIARLIDCM-QAPCUYQASA-N 1 2 313.401 1.122 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3cccnc3)C2)C1 ZINC000972639582 695306336 /nfs/dbraw/zinc/30/63/36/695306336.db2.gz UVXWIQIARLIDCM-QAPCUYQASA-N 1 2 313.401 1.122 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(CC)on3)C2)C1 ZINC000972639993 695306860 /nfs/dbraw/zinc/30/68/60/695306860.db2.gz CXZBHCLVYAYUKB-MRXNPFEDSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(CC)on3)C2)C1 ZINC000972639993 695306861 /nfs/dbraw/zinc/30/68/61/695306861.db2.gz CXZBHCLVYAYUKB-MRXNPFEDSA-N 1 2 305.378 1.340 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(C)(F)F)C2)C1 ZINC000972655124 695310462 /nfs/dbraw/zinc/31/04/62/695310462.db2.gz COCGFRKZZTZYSG-INIZCTEOSA-N 1 2 314.376 1.604 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(C)(F)F)C2)C1 ZINC000972655124 695310464 /nfs/dbraw/zinc/31/04/64/695310464.db2.gz COCGFRKZZTZYSG-INIZCTEOSA-N 1 2 314.376 1.604 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3CCCOC3)C2)C1 ZINC000972683028 695316381 /nfs/dbraw/zinc/31/63/81/695316381.db2.gz KYZLOPQYKIYWGC-RDJZCZTQSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3CCCOC3)C2)C1 ZINC000972683028 695316382 /nfs/dbraw/zinc/31/63/82/695316382.db2.gz KYZLOPQYKIYWGC-RDJZCZTQSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3cnn(C)c3)C2)C1 ZINC000972702812 695320148 /nfs/dbraw/zinc/32/01/48/695320148.db2.gz MYLSZWYCBZFEBL-PBHICJAKSA-N 1 2 318.421 1.013 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3cnn(C)c3)C2)C1 ZINC000972702812 695320149 /nfs/dbraw/zinc/32/01/49/695320149.db2.gz MYLSZWYCBZFEBL-PBHICJAKSA-N 1 2 318.421 1.013 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc(C)ncn2)C(C)(C)C1 ZINC000973050096 695405153 /nfs/dbraw/zinc/40/51/53/695405153.db2.gz GLDMUSWYHOBEDM-ZDUSSCGKSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc(C)ncn2)C(C)(C)C1 ZINC000973050096 695405154 /nfs/dbraw/zinc/40/51/54/695405154.db2.gz GLDMUSWYHOBEDM-ZDUSSCGKSA-N 1 2 308.813 1.978 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCc2ccc(C(N)=O)cc2)cc1 ZINC000060454916 696310861 /nfs/dbraw/zinc/31/08/61/696310861.db2.gz PYGFJJFODSVABT-UHFFFAOYSA-N 1 2 313.357 1.826 20 30 DDEDLO C[C@@H](O[NH+]=C(N)Cc1ccccc1)C(=O)NCC(F)(F)F ZINC000072001935 696392963 /nfs/dbraw/zinc/39/29/63/696392963.db2.gz PGTXUCOSLZRLIW-SECBINFHSA-N 1 2 303.284 1.585 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CCC[C@@H]([C@@H]3CCOC3)C2)c1=S ZINC000747826953 700088364 /nfs/dbraw/zinc/08/83/64/700088364.db2.gz FGQDWDBNYIPBHK-CHWSQXEVSA-N 1 2 309.439 1.701 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CCC[C@@H]([C@@H]3CCOC3)C2)c1=S ZINC000747826953 700088367 /nfs/dbraw/zinc/08/83/67/700088367.db2.gz FGQDWDBNYIPBHK-CHWSQXEVSA-N 1 2 309.439 1.701 20 30 DDEDLO C#CCOc1ccc(NC(=O)N[C@H](C)C[NH+]2CCOCC2)cc1 ZINC000108520859 696634056 /nfs/dbraw/zinc/63/40/56/696634056.db2.gz DAJGZGWHKBLRSK-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCCN(C(=O)C(C)(C)F)CC2)C1=O ZINC000980608127 696813405 /nfs/dbraw/zinc/81/34/05/696813405.db2.gz KJSLYGVPEXIPNM-CYBMUJFWSA-N 1 2 311.401 1.056 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCCN(C(=O)C(C)(C)F)CC2)C1=O ZINC000980608127 696813407 /nfs/dbraw/zinc/81/34/07/696813407.db2.gz KJSLYGVPEXIPNM-CYBMUJFWSA-N 1 2 311.401 1.056 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC2(CN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC000981683655 696873369 /nfs/dbraw/zinc/87/33/69/696873369.db2.gz VRADAGMOXHOQRQ-UHFFFAOYSA-N 1 2 308.813 1.629 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC2(CN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC000981683655 696873371 /nfs/dbraw/zinc/87/33/71/696873371.db2.gz VRADAGMOXHOQRQ-UHFFFAOYSA-N 1 2 308.813 1.629 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cc3c(C)nn(C)c3s2)CC1 ZINC000981716990 696888314 /nfs/dbraw/zinc/88/83/14/696888314.db2.gz WCLHAPWZNFWTAA-UHFFFAOYSA-N 1 2 316.430 1.724 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cc3c(C)nn(C)c3s2)CC1 ZINC000981716990 696888317 /nfs/dbraw/zinc/88/83/17/696888317.db2.gz WCLHAPWZNFWTAA-UHFFFAOYSA-N 1 2 316.430 1.724 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCCN(C(=O)c3ccc(C#N)[nH]3)CC2)o1 ZINC000980991523 696973543 /nfs/dbraw/zinc/97/35/43/696973543.db2.gz NUXPECQMRSQOEM-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO Cc1ncc(C[N@H+]2CCCN(C(=O)c3ccc(C#N)[nH]3)CC2)o1 ZINC000980991523 696973546 /nfs/dbraw/zinc/97/35/46/696973546.db2.gz NUXPECQMRSQOEM-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)C[C@H](C)n2ccnc2CC)CC1 ZINC000981197431 697031866 /nfs/dbraw/zinc/03/18/66/697031866.db2.gz BCYBSDBEKWZAGK-INIZCTEOSA-N 1 2 316.449 1.954 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)C[C@H](C)n2ccnc2CC)CC1 ZINC000981197431 697031868 /nfs/dbraw/zinc/03/18/68/697031868.db2.gz BCYBSDBEKWZAGK-INIZCTEOSA-N 1 2 316.449 1.954 20 30 DDEDLO Cc1c[nH]c(C(=O)N2CCC[N@H+](Cc3ccccc3C#N)CC2)n1 ZINC000982139715 697040214 /nfs/dbraw/zinc/04/02/14/697040214.db2.gz ZOJDWVPIXHRNNE-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO Cc1c[nH]c(C(=O)N2CCC[N@@H+](Cc3ccccc3C#N)CC2)n1 ZINC000982139715 697040215 /nfs/dbraw/zinc/04/02/15/697040215.db2.gz ZOJDWVPIXHRNNE-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@]2(C)C[N@H+](Cc3ccon3)C[C@]2(C)C1 ZINC000982232799 697067894 /nfs/dbraw/zinc/06/78/94/697067894.db2.gz CSAQRXJGMQLYGF-WQVCFCJDSA-N 1 2 302.378 1.505 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@]2(C)C[N@@H+](Cc3ccon3)C[C@]2(C)C1 ZINC000982232799 697067897 /nfs/dbraw/zinc/06/78/97/697067897.db2.gz CSAQRXJGMQLYGF-WQVCFCJDSA-N 1 2 302.378 1.505 20 30 DDEDLO CC(=NNCCC[NH+]1CCOCC1)c1cc(F)cc(F)c1O ZINC000799488789 700145676 /nfs/dbraw/zinc/14/56/76/700145676.db2.gz LHMCKQRTYRLYCC-UHFFFAOYSA-N 1 2 313.348 1.706 20 30 DDEDLO Cc1nc([C@@H](C)[N@@H+](C)C2CCN(C(=O)[C@H](C)C#N)CC2)no1 ZINC000985339137 697493887 /nfs/dbraw/zinc/49/38/87/697493887.db2.gz RDQGCGCPFHVWCT-GHMZBOCLSA-N 1 2 305.382 1.522 20 30 DDEDLO Cc1nc([C@@H](C)[N@H+](C)C2CCN(C(=O)[C@H](C)C#N)CC2)no1 ZINC000985339137 697493889 /nfs/dbraw/zinc/49/38/89/697493889.db2.gz RDQGCGCPFHVWCT-GHMZBOCLSA-N 1 2 305.382 1.522 20 30 DDEDLO C[C@H](c1csnn1)[N@@H+](C)C1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC000985339681 697494373 /nfs/dbraw/zinc/49/43/73/697494373.db2.gz YFRZFBQPNMPOBH-WDEREUQCSA-N 1 2 307.423 1.682 20 30 DDEDLO C[C@H](c1csnn1)[N@H+](C)C1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC000985339681 697494374 /nfs/dbraw/zinc/49/43/74/697494374.db2.gz YFRZFBQPNMPOBH-WDEREUQCSA-N 1 2 307.423 1.682 20 30 DDEDLO C=CCNC(=O)c1ccccc1NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000749352003 700157882 /nfs/dbraw/zinc/15/78/82/700157882.db2.gz KUPOQDHOLYNPMB-ZDUSSCGKSA-N 1 2 324.384 2.000 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCN(c2cccc3cnccc32)C[C@H]1C ZINC000773961644 697828262 /nfs/dbraw/zinc/82/82/62/697828262.db2.gz SXJKJLIFYBBXDR-OAHLLOKOSA-N 1 2 322.412 1.495 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCN(c2cccc3cnccc32)C[C@H]1C ZINC000773961644 697828266 /nfs/dbraw/zinc/82/82/66/697828266.db2.gz SXJKJLIFYBBXDR-OAHLLOKOSA-N 1 2 322.412 1.495 20 30 DDEDLO C[C@H]1C[N@H+](CCOC(=O)Cc2ccccc2CC#N)CCO1 ZINC000800195006 700201239 /nfs/dbraw/zinc/20/12/39/700201239.db2.gz OPSABYDRGVJYQD-AWEZNQCLSA-N 1 2 302.374 1.559 20 30 DDEDLO C[C@H]1C[N@@H+](CCOC(=O)Cc2ccccc2CC#N)CCO1 ZINC000800195006 700201240 /nfs/dbraw/zinc/20/12/40/700201240.db2.gz OPSABYDRGVJYQD-AWEZNQCLSA-N 1 2 302.374 1.559 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](C/C=C\c2ccccc2)CC1 ZINC000255552251 698420156 /nfs/dbraw/zinc/42/01/56/698420156.db2.gz QMYFAITWMHSMFC-TWGQIWQCSA-N 1 2 312.413 1.884 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCn2cc(C)cn2)CC1 ZINC000989735043 698745139 /nfs/dbraw/zinc/74/51/39/698745139.db2.gz PPZKYVDHIMSSLG-UHFFFAOYSA-N 1 2 310.829 1.868 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCn2cc(C)cn2)CC1 ZINC000989735043 698745144 /nfs/dbraw/zinc/74/51/44/698745144.db2.gz PPZKYVDHIMSSLG-UHFFFAOYSA-N 1 2 310.829 1.868 20 30 DDEDLO Cc1nonc1C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000989793288 698765809 /nfs/dbraw/zinc/76/58/09/698765809.db2.gz OMYPZPZFBZTWDS-UHFFFAOYSA-N 1 2 324.384 1.578 20 30 DDEDLO Cc1nonc1C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000989793288 698765811 /nfs/dbraw/zinc/76/58/11/698765811.db2.gz OMYPZPZFBZTWDS-UHFFFAOYSA-N 1 2 324.384 1.578 20 30 DDEDLO CC[N@@H+]1CC[C@H]1CNS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000712276386 699261010 /nfs/dbraw/zinc/26/10/10/699261010.db2.gz GAWNNKPSURFGKU-NSHDSACASA-N 1 2 313.810 1.584 20 30 DDEDLO CC[N@H+]1CC[C@H]1CNS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000712276386 699261014 /nfs/dbraw/zinc/26/10/14/699261014.db2.gz GAWNNKPSURFGKU-NSHDSACASA-N 1 2 313.810 1.584 20 30 DDEDLO Nc1nc(Cl)c(C=[NH+]N[C@@H]2CCOC2)c(N2CCCC2)n1 ZINC000788440176 699313930 /nfs/dbraw/zinc/31/39/30/699313930.db2.gz QLBMSCNZYKUYHP-SECBINFHSA-N 1 2 310.789 1.025 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)OCC)cc1 ZINC000733123527 699579737 /nfs/dbraw/zinc/57/97/37/699579737.db2.gz QBIYXOLFOQLNGI-HNNXBMFYSA-N 1 2 300.358 1.899 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)OCC)cc1 ZINC000733123527 699579738 /nfs/dbraw/zinc/57/97/38/699579738.db2.gz QBIYXOLFOQLNGI-HNNXBMFYSA-N 1 2 300.358 1.899 20 30 DDEDLO C[C@H](C(=O)Nc1cnn(CC#N)c1)[N@@H+]1CCc2ccccc2C1 ZINC000736073491 699717237 /nfs/dbraw/zinc/71/72/37/699717237.db2.gz KBAHFTWWZXYDLS-CYBMUJFWSA-N 1 2 309.373 1.792 20 30 DDEDLO C[C@H](C(=O)Nc1cnn(CC#N)c1)[N@H+]1CCc2ccccc2C1 ZINC000736073491 699717238 /nfs/dbraw/zinc/71/72/38/699717238.db2.gz KBAHFTWWZXYDLS-CYBMUJFWSA-N 1 2 309.373 1.792 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000738339095 699757249 /nfs/dbraw/zinc/75/72/49/699757249.db2.gz IQVACPIQOCHERP-CQSZACIVSA-N 1 2 302.349 1.470 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000738339095 699757251 /nfs/dbraw/zinc/75/72/51/699757251.db2.gz IQVACPIQOCHERP-CQSZACIVSA-N 1 2 302.349 1.470 20 30 DDEDLO CN1CC[C@H]([N@H+](C)Cc2cc(Cl)ccc2OCC#N)C1=O ZINC000738330512 699757430 /nfs/dbraw/zinc/75/74/30/699757430.db2.gz HDUJUIFIZIVMJJ-ZDUSSCGKSA-N 1 2 307.781 1.905 20 30 DDEDLO CN1CC[C@H]([N@@H+](C)Cc2cc(Cl)ccc2OCC#N)C1=O ZINC000738330512 699757434 /nfs/dbraw/zinc/75/74/34/699757434.db2.gz HDUJUIFIZIVMJJ-ZDUSSCGKSA-N 1 2 307.781 1.905 20 30 DDEDLO C[C@]1(O)CCC[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)CC1 ZINC000793515444 699762602 /nfs/dbraw/zinc/76/26/02/699762602.db2.gz JPEMVTZUWUVAOG-SFHVURJKSA-N 1 2 315.417 1.793 20 30 DDEDLO C[C@]1(O)CCC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)CC1 ZINC000793515444 699762604 /nfs/dbraw/zinc/76/26/04/699762604.db2.gz JPEMVTZUWUVAOG-SFHVURJKSA-N 1 2 315.417 1.793 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCCO[C@@H](C)C#N)cc1OC ZINC000802730408 700420213 /nfs/dbraw/zinc/42/02/13/700420213.db2.gz WOFJXIFUSBOBML-NSHDSACASA-N 1 2 307.350 1.673 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+][C@H](CCO)c2cccs2)C(N)=O)cc1 ZINC000754383157 700498710 /nfs/dbraw/zinc/49/87/10/700498710.db2.gz CIDSGGHQOAKLMU-HIFRSBDPSA-N 1 2 315.398 1.859 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[NH+]1CCC(C)(CO)CC1 ZINC000762624431 700900569 /nfs/dbraw/zinc/90/05/69/700900569.db2.gz WNDXESSBNKKMRA-OAHLLOKOSA-N 1 2 302.374 1.867 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)C(=O)Nc2c(C)cccc2C)CC1 ZINC000765360098 701008198 /nfs/dbraw/zinc/00/81/98/701008198.db2.gz SDVHWXKMOJHROQ-UHFFFAOYSA-N 1 2 313.401 1.409 20 30 DDEDLO N#CCC[C@H](C#N)C[N@H+]1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000810068306 701719858 /nfs/dbraw/zinc/71/98/58/701719858.db2.gz MLUNSBFHLVKDRU-NEPJUHHUSA-N 1 2 316.327 1.820 20 30 DDEDLO N#CCC[C@H](C#N)C[N@@H+]1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000810068306 701719865 /nfs/dbraw/zinc/71/98/65/701719865.db2.gz MLUNSBFHLVKDRU-NEPJUHHUSA-N 1 2 316.327 1.820 20 30 DDEDLO N#Cc1csc(C[NH2+]Cc2cnc(N3CCOCC3)s2)n1 ZINC000810155186 701737953 /nfs/dbraw/zinc/73/79/53/701737953.db2.gz MPDZSWUWEBGDLB-UHFFFAOYSA-N 1 2 321.431 1.598 20 30 DDEDLO C#CCC(C)(C)C(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000816563503 702113419 /nfs/dbraw/zinc/11/34/19/702113419.db2.gz AGQGBWBKSOCKMF-ZDUSSCGKSA-N 1 2 303.406 1.207 20 30 DDEDLO CCNC(=O)N1CC[C@H]([NH2+][C@@H](C)c2cccc(C#N)c2O)C1 ZINC000866306862 706670372 /nfs/dbraw/zinc/67/03/72/706670372.db2.gz WNYLHELURPUOJU-AAEUAGOBSA-N 1 2 302.378 1.718 20 30 DDEDLO C[C@H]([NH2+]C[C@H]1CCCN1S(C)(=O)=O)c1cccc(C#N)c1O ZINC000866309568 706670730 /nfs/dbraw/zinc/67/07/30/706670730.db2.gz HVTHZCJXLNOFKN-WCQYABFASA-N 1 2 323.418 1.338 20 30 DDEDLO COc1ccc(-n2c(C)cc(C=NNC3=[NH+]CCN3)c2C)cc1 ZINC000841663245 702523458 /nfs/dbraw/zinc/52/34/58/702523458.db2.gz VZTMBARTEWFDPK-UHFFFAOYSA-N 1 2 311.389 1.985 20 30 DDEDLO C[C@H]([NH2+]C[C@@H]1CCCN1CCCO)c1cccc(C#N)c1O ZINC000866366876 706682462 /nfs/dbraw/zinc/68/24/62/706682462.db2.gz UIPNVZMBHMXPQL-ZFWWWQNUSA-N 1 2 303.406 1.761 20 30 DDEDLO Cc1nn2c([nH]c3ccccc3c2=O)c1C=[NH+]NC12CC(C1)C2 ZINC000842546582 702733706 /nfs/dbraw/zinc/73/37/06/702733706.db2.gz MEPMOZORNIQVDY-UHFFFAOYSA-N 1 2 307.357 1.960 20 30 DDEDLO COc1c(O)c(C[N@@H+]2CC[C@@](O)(CC#N)C2)ccc1[N+](=O)[O-] ZINC000843425795 702878816 /nfs/dbraw/zinc/87/88/16/702878816.db2.gz VXNIXJMCUDXGSN-AWEZNQCLSA-N 1 2 307.306 1.159 20 30 DDEDLO COc1c(O)c(C[N@H+]2CC[C@@](O)(CC#N)C2)ccc1[N+](=O)[O-] ZINC000843425795 702878817 /nfs/dbraw/zinc/87/88/17/702878817.db2.gz VXNIXJMCUDXGSN-AWEZNQCLSA-N 1 2 307.306 1.159 20 30 DDEDLO COC(=O)[C@@]1(C(F)(F)F)CC[N@H+](Cc2ccc(C#N)cn2)C1 ZINC000846900152 703348920 /nfs/dbraw/zinc/34/89/20/703348920.db2.gz UXHSGOZCOZRUGB-CYBMUJFWSA-N 1 2 313.279 1.881 20 30 DDEDLO COC(=O)[C@@]1(C(F)(F)F)CC[N@@H+](Cc2ccc(C#N)cn2)C1 ZINC000846900152 703348921 /nfs/dbraw/zinc/34/89/21/703348921.db2.gz UXHSGOZCOZRUGB-CYBMUJFWSA-N 1 2 313.279 1.881 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CC(=O)Nc2ccc([N+](=O)[O-])cc2OC)C1 ZINC000847026218 703367804 /nfs/dbraw/zinc/36/78/04/703367804.db2.gz PAXXFKXPEXXRAK-LBPRGKRZSA-N 1 2 317.345 1.887 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CC(=O)Nc2ccc([N+](=O)[O-])cc2OC)C1 ZINC000847026218 703367807 /nfs/dbraw/zinc/36/78/07/703367807.db2.gz PAXXFKXPEXXRAK-LBPRGKRZSA-N 1 2 317.345 1.887 20 30 DDEDLO COc1cccc([C@H]2CN(C(=O)c3cc(C#N)ccn3)CC[NH2+]2)c1 ZINC000870154103 703935719 /nfs/dbraw/zinc/93/57/19/703935719.db2.gz YQSPCPCGDGMOIL-QGZVFWFLSA-N 1 2 322.368 1.749 20 30 DDEDLO CC[C@@H](C#N)C(=O)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000870159024 703937968 /nfs/dbraw/zinc/93/79/68/703937968.db2.gz SPOKPYDYYJTKMB-HNNXBMFYSA-N 1 2 301.390 1.889 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCCC[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000831782686 706779171 /nfs/dbraw/zinc/77/91/71/706779171.db2.gz VEEWHLUMBNFQTP-OAHLLOKOSA-N 1 2 322.430 1.988 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCCC[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000831782686 706779173 /nfs/dbraw/zinc/77/91/73/706779173.db2.gz VEEWHLUMBNFQTP-OAHLLOKOSA-N 1 2 322.430 1.988 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@@H+](CCC(=O)N2CCCC2)C1 ZINC000852333260 704015044 /nfs/dbraw/zinc/01/50/44/704015044.db2.gz ISGXBXVKWKVBFE-SFHVURJKSA-N 1 2 312.417 1.951 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@H+](CCC(=O)N2CCCC2)C1 ZINC000852333260 704015046 /nfs/dbraw/zinc/01/50/46/704015046.db2.gz ISGXBXVKWKVBFE-SFHVURJKSA-N 1 2 312.417 1.951 20 30 DDEDLO C=CCC(F)(F)C(=O)Nc1cc(N2CCN(C)CC2)cc[nH+]1 ZINC000870665149 704098918 /nfs/dbraw/zinc/09/89/18/704098918.db2.gz JZIYIMFVIDHNSP-UHFFFAOYSA-N 1 2 310.348 1.983 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc2c(c1)OCCCO2 ZINC000819394535 704119932 /nfs/dbraw/zinc/11/99/32/704119932.db2.gz YJDPQLYYUGHXDO-CQSZACIVSA-N 1 2 305.378 1.466 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc2c(c1)OCCCO2 ZINC000819394535 704119935 /nfs/dbraw/zinc/11/99/35/704119935.db2.gz YJDPQLYYUGHXDO-CQSZACIVSA-N 1 2 305.378 1.466 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CN2CCN(Cc3cccnc3)C2=O)C1 ZINC000853524719 704259716 /nfs/dbraw/zinc/25/97/16/704259716.db2.gz OQGPBQFPYOYESX-HNNXBMFYSA-N 1 2 313.405 1.902 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CN2CCN(Cc3cccnc3)C2=O)C1 ZINC000853524719 704259718 /nfs/dbraw/zinc/25/97/18/704259718.db2.gz OQGPBQFPYOYESX-HNNXBMFYSA-N 1 2 313.405 1.902 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C(C)(C)[C@@H]1c1cnn(C)c1)[C@@H](C)COC ZINC000853535638 704262178 /nfs/dbraw/zinc/26/21/78/704262178.db2.gz YHAUBPRNSNTWQV-ZFWWWQNUSA-N 1 2 320.437 1.810 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C(C)(C)[C@@H]1c1cnn(C)c1)[C@@H](C)COC ZINC000853535638 704262179 /nfs/dbraw/zinc/26/21/79/704262179.db2.gz YHAUBPRNSNTWQV-ZFWWWQNUSA-N 1 2 320.437 1.810 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H](C#N)[C@@H](Nc2cc[nH+]c(CO)c2)C1 ZINC000858481741 704716785 /nfs/dbraw/zinc/71/67/85/704716785.db2.gz OHSYWZFZPYUWED-RISCZKNCSA-N 1 2 318.377 1.745 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(C)c(C(=O)OC)o2)CC1 ZINC000859003665 704782828 /nfs/dbraw/zinc/78/28/28/704782828.db2.gz SYWBBXVWFHJWNO-UHFFFAOYSA-N 1 2 304.346 1.156 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2C[C@@H]3COC(=O)[C@@H]3C2)c(C#N)c1C ZINC000860165321 705121066 /nfs/dbraw/zinc/12/10/66/705121066.db2.gz PYNMXQCXCYIFEA-ZYHUDNBSSA-N 1 2 319.386 1.280 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2C[C@@H]3COC(=O)[C@@H]3C2)c(C#N)c1C ZINC000860165321 705121068 /nfs/dbraw/zinc/12/10/68/705121068.db2.gz PYNMXQCXCYIFEA-ZYHUDNBSSA-N 1 2 319.386 1.280 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)C(=O)NCCCCC#N ZINC000875177871 705304746 /nfs/dbraw/zinc/30/47/46/705304746.db2.gz JUYXJULLWQOTFC-HNNXBMFYSA-N 1 2 314.389 1.099 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)C(=O)NCCCCC#N ZINC000875177871 705304749 /nfs/dbraw/zinc/30/47/49/705304749.db2.gz JUYXJULLWQOTFC-HNNXBMFYSA-N 1 2 314.389 1.099 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000875924146 705561884 /nfs/dbraw/zinc/56/18/84/705561884.db2.gz OHDKVOUTAQVSSZ-KFWWJZLASA-N 1 2 304.394 1.514 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)NCC(C)(C)[NH+]2CCOCC2)C1 ZINC000825527015 705687894 /nfs/dbraw/zinc/68/78/94/705687894.db2.gz XKWAVDWEVOCHHU-OAHLLOKOSA-N 1 2 307.438 1.542 20 30 DDEDLO C#CC[C@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000826578502 705818955 /nfs/dbraw/zinc/81/89/55/705818955.db2.gz FODDXXYKTSGHKV-HOTGVXAUSA-N 1 2 314.433 1.925 20 30 DDEDLO C#CC[C@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000826578502 705818958 /nfs/dbraw/zinc/81/89/58/705818958.db2.gz FODDXXYKTSGHKV-HOTGVXAUSA-N 1 2 314.433 1.925 20 30 DDEDLO C[C@@H](C(=O)NC[C@H]1c2ccccc2C[N@H+]1C)n1cnc(C#N)n1 ZINC000826696837 705849869 /nfs/dbraw/zinc/84/98/69/705849869.db2.gz QPWBRWYRSKUGNL-FZMZJTMJSA-N 1 2 310.361 1.014 20 30 DDEDLO C[C@@H](C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C)n1cnc(C#N)n1 ZINC000826696837 705849870 /nfs/dbraw/zinc/84/98/70/705849870.db2.gz QPWBRWYRSKUGNL-FZMZJTMJSA-N 1 2 310.361 1.014 20 30 DDEDLO Cn1cc(C=NNCCCn2cc[nH+]c2)c(Br)n1 ZINC000863127069 705863743 /nfs/dbraw/zinc/86/37/43/705863743.db2.gz SOBFCQZCVOKTGE-UHFFFAOYSA-N 1 2 311.187 1.393 20 30 DDEDLO COc1ncnc(N)c1C=[NH+]NCCCOCc1ccccc1 ZINC000863178195 705872958 /nfs/dbraw/zinc/87/29/58/705872958.db2.gz GPCTYQMIGIGTKP-UHFFFAOYSA-N 1 2 315.377 1.598 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)o2)C1 ZINC000827077413 705922723 /nfs/dbraw/zinc/92/27/23/705922723.db2.gz VJVVHIFYPIXWJP-ZIAGYGMSSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)o2)C1 ZINC000827077413 705922729 /nfs/dbraw/zinc/92/27/29/705922729.db2.gz VJVVHIFYPIXWJP-ZIAGYGMSSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)[C@H](CC)[N@@H+]1CCO[C@H](CC)C1 ZINC000863780542 706009262 /nfs/dbraw/zinc/00/92/62/706009262.db2.gz BYEAQMIJNQVVHQ-OAGGEKHMSA-N 1 2 310.438 1.420 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)[C@H](CC)[N@H+]1CCO[C@H](CC)C1 ZINC000863780542 706009265 /nfs/dbraw/zinc/00/92/65/706009265.db2.gz BYEAQMIJNQVVHQ-OAGGEKHMSA-N 1 2 310.438 1.420 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)Cc1cc(C#N)cnc1Cl ZINC000877484505 706119458 /nfs/dbraw/zinc/11/94/58/706119458.db2.gz CDUUVNYSUNBDEM-GFCCVEGCSA-N 1 2 308.813 1.759 20 30 DDEDLO S=C(NCC1CCC1)NN=C1CCC[N@@H+]2CCSC[C@@H]12 ZINC000872348407 707386612 /nfs/dbraw/zinc/38/66/12/707386612.db2.gz WQPZSBNHLLDIMJ-ZDUSSCGKSA-N 1 2 312.508 1.818 20 30 DDEDLO S=C(NCC1CCC1)NN=C1CCC[N@H+]2CCSC[C@@H]12 ZINC000872348407 707386618 /nfs/dbraw/zinc/38/66/18/707386618.db2.gz WQPZSBNHLLDIMJ-ZDUSSCGKSA-N 1 2 312.508 1.818 20 30 DDEDLO N#CCOc1cccc(NC(=O)/C=C/C[NH+]2CCOCC2)c1 ZINC000864902225 706296882 /nfs/dbraw/zinc/29/68/82/706296882.db2.gz QCJZDRLEJSEMPF-GORDUTHDSA-N 1 2 301.346 1.416 20 30 DDEDLO C=CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000878236431 706334254 /nfs/dbraw/zinc/33/42/54/706334254.db2.gz XQUQMXQQHDJDBQ-LLVKDONJSA-N 1 2 315.464 1.722 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnc(C(C)C)nc2C)CC1 ZINC000878424737 706383775 /nfs/dbraw/zinc/38/37/75/706383775.db2.gz YAYXGXHIDYODBF-UHFFFAOYSA-N 1 2 300.406 1.690 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)C1(C#N)CCSCC1 ZINC000830162642 706467581 /nfs/dbraw/zinc/46/75/81/706467581.db2.gz NKOYRNUKOSYLDY-CYBMUJFWSA-N 1 2 311.451 1.250 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000878817105 706507739 /nfs/dbraw/zinc/50/77/39/706507739.db2.gz ABDUPRFHNVHSFC-ZDUSSCGKSA-N 1 2 302.378 1.353 20 30 DDEDLO C#CC1(F)CN(C(=O)Nc2ccc(N3CCOCC3)[nH+]c2C)C1 ZINC000878973068 706548520 /nfs/dbraw/zinc/54/85/20/706548520.db2.gz SLNFEMYAYOWYNG-UHFFFAOYSA-N 1 2 318.352 1.416 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)N(CC(F)(F)F)C(C)C)CC1 ZINC000880478538 706986839 /nfs/dbraw/zinc/98/68/39/706986839.db2.gz BCJKDSRVJUURHP-UHFFFAOYSA-N 1 2 320.355 1.636 20 30 DDEDLO C[C@@H](NC(=S)NN=C1CCCc2[nH+]c(N)ccc21)C1CC1 ZINC000834963958 707153468 /nfs/dbraw/zinc/15/34/68/707153468.db2.gz HMXBSUZXMIIOPX-SECBINFHSA-N 1 2 303.435 1.967 20 30 DDEDLO Cc1ccc(CN[NH+]=Cc2cnc(N3CCN(C)CC3)nc2)cc1 ZINC000835007883 707155720 /nfs/dbraw/zinc/15/57/20/707155720.db2.gz AZLVWWMIRSGQAK-UHFFFAOYSA-N 1 2 324.432 1.661 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C(=O)OCC ZINC000881753841 707352411 /nfs/dbraw/zinc/35/24/11/707352411.db2.gz ZRHURSYXIPGBSP-GXTWGEPZSA-N 1 2 305.378 1.460 20 30 DDEDLO C(=NN[C@H]1CCOC1)c1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872371065 707393015 /nfs/dbraw/zinc/39/30/15/707393015.db2.gz IOCWUHPXWQUOSB-INIZCTEOSA-N 1 2 319.405 1.110 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1C[C@@H](C)[N@H+](C)[C@@H](C)C1 ZINC000872490812 707442838 /nfs/dbraw/zinc/44/28/38/707442838.db2.gz LEPZFUHPPGYVQF-BETUJISGSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)[C@@H](C)C1 ZINC000872490812 707442840 /nfs/dbraw/zinc/44/28/40/707442840.db2.gz LEPZFUHPPGYVQF-BETUJISGSA-N 1 2 307.419 1.580 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNc1nc2c(cc1C#N)CCC2 ZINC000872772268 707593111 /nfs/dbraw/zinc/59/31/11/707593111.db2.gz RYSGSQAOOTZYDW-HNNXBMFYSA-N 1 2 300.406 1.965 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNc1nc2c(cc1C#N)CCC2 ZINC000872772268 707593114 /nfs/dbraw/zinc/59/31/14/707593114.db2.gz RYSGSQAOOTZYDW-HNNXBMFYSA-N 1 2 300.406 1.965 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(Br)co2)CC1 ZINC000837382034 707633236 /nfs/dbraw/zinc/63/32/36/707633236.db2.gz SKKSPAYADQNKBL-UHFFFAOYSA-N 1 2 311.179 1.823 20 30 DDEDLO C#CC1(F)CN(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)C1 ZINC000883096502 707924714 /nfs/dbraw/zinc/92/47/14/707924714.db2.gz JEAXOXMJROJBSL-UHFFFAOYSA-N 1 2 302.353 1.549 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc(OC(F)F)c1 ZINC000884069506 708125258 /nfs/dbraw/zinc/12/52/58/708125258.db2.gz FRZDPZUWZVBNQW-NSHDSACASA-N 1 2 314.288 1.673 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)Cc1ccccc1F ZINC000884080817 708130157 /nfs/dbraw/zinc/13/01/57/708130157.db2.gz GLFZSPNYMOJUIG-RISCZKNCSA-N 1 2 308.353 1.320 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2ccc(Cl)cc21 ZINC000884113036 708145057 /nfs/dbraw/zinc/14/50/57/708145057.db2.gz CYIZBLRZGGXCND-LBPRGKRZSA-N 1 2 308.765 1.676 20 30 DDEDLO CSc1ccc(CNC(=O)NCCn2cc[nH+]c2)cc1C#N ZINC000884195305 708182584 /nfs/dbraw/zinc/18/25/84/708182584.db2.gz IWVMTJYHRZSHFW-UHFFFAOYSA-N 1 2 315.402 1.976 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCn4nc(C5CC5)cc4C3)cnc12 ZINC000896939497 708187945 /nfs/dbraw/zinc/18/79/45/708187945.db2.gz IERNSQCPCDBUID-UHFFFAOYSA-N 1 2 319.372 1.691 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCn4nc(C5CC5)cc4C3)cnc12 ZINC000896939497 708187947 /nfs/dbraw/zinc/18/79/47/708187947.db2.gz IERNSQCPCDBUID-UHFFFAOYSA-N 1 2 319.372 1.691 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCCN(C)c2ccccc21 ZINC000884221832 708196880 /nfs/dbraw/zinc/19/68/80/708196880.db2.gz AYSJHLNEGUOOSF-ZDUSSCGKSA-N 1 2 317.389 1.306 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)/C=C\c2ccc(C)cc2)C1 ZINC000885509204 708562270 /nfs/dbraw/zinc/56/22/70/708562270.db2.gz PTXOFYZZCCFOGD-QFSNBGPKSA-N 1 2 318.442 1.983 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)/C=C\c2ccc(C)cc2)C1 ZINC000885509204 708562273 /nfs/dbraw/zinc/56/22/73/708562273.db2.gz PTXOFYZZCCFOGD-QFSNBGPKSA-N 1 2 318.442 1.983 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)CCc2ccc(F)cc2)C1 ZINC000885509394 708562505 /nfs/dbraw/zinc/56/25/05/708562505.db2.gz SBRCEYNTNZYADB-INIZCTEOSA-N 1 2 324.421 1.385 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)CCc2ccc(F)cc2)C1 ZINC000885509394 708562507 /nfs/dbraw/zinc/56/25/07/708562507.db2.gz SBRCEYNTNZYADB-INIZCTEOSA-N 1 2 324.421 1.385 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N[C@H]1CCC[N@H+](CCF)C1 ZINC000899220634 709025259 /nfs/dbraw/zinc/02/52/59/709025259.db2.gz NDZCOBSZGDRPHD-ZDUSSCGKSA-N 1 2 305.353 1.731 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N[C@H]1CCC[N@@H+](CCF)C1 ZINC000899220634 709025262 /nfs/dbraw/zinc/02/52/62/709025262.db2.gz NDZCOBSZGDRPHD-ZDUSSCGKSA-N 1 2 305.353 1.731 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000887735460 709114456 /nfs/dbraw/zinc/11/44/56/709114456.db2.gz WLUYOIVUHSXICK-MRXNPFEDSA-N 1 2 310.357 1.732 20 30 DDEDLO N#Cc1cccnc1N1CCN(C(=O)CNc2cccc[nH+]2)CC1 ZINC000900045610 709275992 /nfs/dbraw/zinc/27/59/92/709275992.db2.gz XAQLOXYHFUZESV-UHFFFAOYSA-N 1 2 322.372 1.109 20 30 DDEDLO C#CCCCc1nnc(N2CC[NH+](CC#C)CC2)n1CC(C)C ZINC000909625192 709545733 /nfs/dbraw/zinc/54/57/33/709545733.db2.gz QOPIAFGCGRXMJE-UHFFFAOYSA-N 1 2 313.449 1.645 20 30 DDEDLO C=CC[C@H]1CCN1C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000890554913 709914527 /nfs/dbraw/zinc/91/45/27/709914527.db2.gz ZGLUKYBERWVLRW-GJZGRUSLSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CC[C@H]1CCN1C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000890554913 709914531 /nfs/dbraw/zinc/91/45/31/709914531.db2.gz ZGLUKYBERWVLRW-GJZGRUSLSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CC[N@@H+](C[C@@H]1C[C@@]1(C)Br)[C@H]1CCS(=O)(=O)C1 ZINC000891769173 710290309 /nfs/dbraw/zinc/29/03/09/710290309.db2.gz HGGCSPAYNHELKU-SDDRHHMPSA-N 1 2 322.268 1.835 20 30 DDEDLO C=CC[N@H+](C[C@@H]1C[C@@]1(C)Br)[C@H]1CCS(=O)(=O)C1 ZINC000891769173 710290313 /nfs/dbraw/zinc/29/03/13/710290313.db2.gz HGGCSPAYNHELKU-SDDRHHMPSA-N 1 2 322.268 1.835 20 30 DDEDLO N#C[C@H](CCc1ccccc1)C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC000913451622 713221309 /nfs/dbraw/zinc/22/13/09/713221309.db2.gz QFFRLWGCTGDJFO-DOTOQJQBSA-N 1 2 323.400 1.655 20 30 DDEDLO CC[C@H]([NH2+]CCCc1nnnn1C)c1cccc(C#N)c1O ZINC000903350876 711100309 /nfs/dbraw/zinc/10/03/09/711100309.db2.gz FNCJGOZCCNNSRJ-ZDUSSCGKSA-N 1 2 300.366 1.461 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)/C=C/c3ccccc3C#N)CC[NH2+]2)cn1 ZINC000913472777 713231270 /nfs/dbraw/zinc/23/12/70/713231270.db2.gz GZFNINVKVHRAIN-DKRLNXSXSA-N 1 2 321.384 1.478 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@H]2C[N@H+](C)CCO2)cc(C)c1C#N ZINC000913507567 713240643 /nfs/dbraw/zinc/24/06/43/713240643.db2.gz PJOBWSUDKAYMQM-OAHLLOKOSA-N 1 2 301.390 1.578 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@H]2C[N@@H+](C)CCO2)cc(C)c1C#N ZINC000913507567 713240644 /nfs/dbraw/zinc/24/06/44/713240644.db2.gz PJOBWSUDKAYMQM-OAHLLOKOSA-N 1 2 301.390 1.578 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2c(F)cccc2F)no1 ZINC000904085757 711377275 /nfs/dbraw/zinc/37/72/75/711377275.db2.gz RKRZEMPDTXDDLR-LBPRGKRZSA-N 1 2 323.299 1.538 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2c(O)cccc2Br)CCCN1O ZINC000895156456 711435504 /nfs/dbraw/zinc/43/55/04/711435504.db2.gz CHXONBFWSAIXOI-JTQLQIEISA-N 1 2 315.167 1.625 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCC1(S(N)(=O)=O)CCC1 ZINC000895606107 711556292 /nfs/dbraw/zinc/55/62/92/711556292.db2.gz LQZUDZBFSYFNHC-UHFFFAOYSA-N 1 2 323.418 1.497 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC000908309750 712736467 /nfs/dbraw/zinc/73/64/67/712736467.db2.gz CHOXTYVSFRURGM-INIZCTEOSA-N 1 2 312.373 1.109 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)Cc2csc(C#N)c2)[C@@H](C)C[N@@H+]1C ZINC000914317205 713377318 /nfs/dbraw/zinc/37/73/18/713377318.db2.gz QKCDPDBUYUKEIU-MNOVXSKESA-N 1 2 313.448 1.474 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)Cc2csc(C#N)c2)[C@@H](C)C[N@H+]1C ZINC000914317205 713377319 /nfs/dbraw/zinc/37/73/19/713377319.db2.gz QKCDPDBUYUKEIU-MNOVXSKESA-N 1 2 313.448 1.474 20 30 DDEDLO CC(=NN1CC[NH+](C)CC1)c1ccc(N2CCOCC2)c(F)c1 ZINC000916604171 713460274 /nfs/dbraw/zinc/46/02/74/713460274.db2.gz BVNSBYWYMLKMHF-UHFFFAOYSA-N 1 2 320.412 1.634 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+](Cc2ccc(N)nc2)CC1 ZINC000929108612 713548011 /nfs/dbraw/zinc/54/80/11/713548011.db2.gz XIRMBTWALOOQNY-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO C#CCCS(=O)(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccc1 ZINC000919341666 713599877 /nfs/dbraw/zinc/59/98/77/713599877.db2.gz OPOFAJLOCYZTGF-HOTGVXAUSA-N 1 2 304.415 1.298 20 30 DDEDLO C#CCCS(=O)(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1 ZINC000919341666 713599879 /nfs/dbraw/zinc/59/98/79/713599879.db2.gz OPOFAJLOCYZTGF-HOTGVXAUSA-N 1 2 304.415 1.298 20 30 DDEDLO Cn1cc(C2=NC[C@@H](C[N@H+](CCC#N)CC(C)(C)C)O2)cn1 ZINC000929692048 713670552 /nfs/dbraw/zinc/67/05/52/713670552.db2.gz UESQVWMIPPAMKT-AWEZNQCLSA-N 1 2 303.410 1.827 20 30 DDEDLO Cn1cc(C2=NC[C@@H](C[N@@H+](CCC#N)CC(C)(C)C)O2)cn1 ZINC000929692048 713670556 /nfs/dbraw/zinc/67/05/56/713670556.db2.gz UESQVWMIPPAMKT-AWEZNQCLSA-N 1 2 303.410 1.827 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)N[C@@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000920874055 713699769 /nfs/dbraw/zinc/69/97/69/713699769.db2.gz GFQUVNPNHYSZHL-ZFWWWQNUSA-N 1 2 312.373 1.617 20 30 DDEDLO C=CCN(C(=O)c1cc2c[nH+]ccc2[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC000930378853 713818931 /nfs/dbraw/zinc/81/89/31/713818931.db2.gz FGTNHBLOPQXTAV-LBPRGKRZSA-N 1 2 319.386 1.378 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2ccc([N+](=O)[O-])cc2C)CC1 ZINC000931145009 714015231 /nfs/dbraw/zinc/01/52/31/714015231.db2.gz AIOCASUGACVQJK-UHFFFAOYSA-N 1 2 317.345 1.302 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CN(CC#N)CC[C@@H]3C)ccn12 ZINC000966107458 717954364 /nfs/dbraw/zinc/95/43/64/717954364.db2.gz WLGNWFXAIRUVHY-SWLSCSKDSA-N 1 2 311.389 1.607 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(C)c(C(=O)OC)o2)C1 ZINC000923549015 714396946 /nfs/dbraw/zinc/39/69/46/714396946.db2.gz DMYSYMDZROTVER-LBPRGKRZSA-N 1 2 304.346 1.202 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C)c(C(=O)OC)o2)C1 ZINC000923549015 714396948 /nfs/dbraw/zinc/39/69/48/714396948.db2.gz DMYSYMDZROTVER-LBPRGKRZSA-N 1 2 304.346 1.202 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2sc(COCC)nc2C)C1 ZINC000923557089 714401519 /nfs/dbraw/zinc/40/15/19/714401519.db2.gz KFVZGDTXARCKOS-ZDUSSCGKSA-N 1 2 321.446 1.815 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2sc(COCC)nc2C)C1 ZINC000923557089 714401521 /nfs/dbraw/zinc/40/15/21/714401521.db2.gz KFVZGDTXARCKOS-ZDUSSCGKSA-N 1 2 321.446 1.815 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NC1CCCC1)Cc1cc(C#N)cs1 ZINC000933774758 714669413 /nfs/dbraw/zinc/66/94/13/714669413.db2.gz FFDWZRHPQPDGIF-UHFFFAOYSA-N 1 2 320.418 1.820 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NC1CCCC1)Cc1cc(C#N)cs1 ZINC000933774758 714669415 /nfs/dbraw/zinc/66/94/15/714669415.db2.gz FFDWZRHPQPDGIF-UHFFFAOYSA-N 1 2 320.418 1.820 20 30 DDEDLO C[C@@H](C(=O)NC[C@H]1CCCO1)[N@H+](C)Cc1cc(C#N)cs1 ZINC000933776135 714669522 /nfs/dbraw/zinc/66/95/22/714669522.db2.gz UADZBERIUOKVFG-WCQYABFASA-N 1 2 307.419 1.735 20 30 DDEDLO C[C@@H](C(=O)NC[C@H]1CCCO1)[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933776135 714669523 /nfs/dbraw/zinc/66/95/23/714669523.db2.gz UADZBERIUOKVFG-WCQYABFASA-N 1 2 307.419 1.735 20 30 DDEDLO C=C(C)C[C@@H]1NC(=O)N(Cc2nc(C[NH+](C)C)cs2)C1=O ZINC000925182502 714769339 /nfs/dbraw/zinc/76/93/39/714769339.db2.gz PQMIIYFEISCCLS-NSHDSACASA-N 1 2 308.407 1.591 20 30 DDEDLO C#CC[C@H]1NC(=O)N([C@H]2CC[C@H](Nc3cccc[nH+]3)CC2)C1=O ZINC000925371718 714833147 /nfs/dbraw/zinc/83/31/47/714833147.db2.gz GZBZRZUYGMYFEQ-MGPQQGTHSA-N 1 2 312.373 1.748 20 30 DDEDLO C#CCC1(NC(=O)[C@@H](CC)[N@@H+]2CCO[C@@H](CC)C2)CCOCC1 ZINC000925423037 714851750 /nfs/dbraw/zinc/85/17/50/714851750.db2.gz CKPIJTJJQZAJOA-JKSUJKDBSA-N 1 2 322.449 1.565 20 30 DDEDLO C#CCC1(NC(=O)[C@@H](CC)[N@H+]2CCO[C@@H](CC)C2)CCOCC1 ZINC000925423037 714851754 /nfs/dbraw/zinc/85/17/54/714851754.db2.gz CKPIJTJJQZAJOA-JKSUJKDBSA-N 1 2 322.449 1.565 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2noc3c2C[C@H](C)CC3)CC1 ZINC000957034700 715714370 /nfs/dbraw/zinc/71/43/70/715714370.db2.gz PITSJIQSWWPTBI-CYBMUJFWSA-N 1 2 301.390 1.581 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2nccn3cc(C)nc23)C1 ZINC000957108513 715751154 /nfs/dbraw/zinc/75/11/54/715751154.db2.gz GIXMFVCTQCCACD-UHFFFAOYSA-N 1 2 311.389 1.207 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000955442889 715775596 /nfs/dbraw/zinc/77/55/96/715775596.db2.gz PAOGRIDZWFYSSE-MRXNPFEDSA-N 1 2 304.394 1.224 20 30 DDEDLO C=C(C)CC[NH+]1CCN(C(=O)[C@H]2CCc3[nH]nnc3C2)CC1 ZINC000957319284 715832909 /nfs/dbraw/zinc/83/29/09/715832909.db2.gz GGSPHFULKSWJCQ-ZDUSSCGKSA-N 1 2 303.410 1.020 20 30 DDEDLO C=C(C)CC[NH+]1CCN(C(=O)[C@H]2CCc3nn[nH]c3C2)CC1 ZINC000957319284 715832913 /nfs/dbraw/zinc/83/29/13/715832913.db2.gz GGSPHFULKSWJCQ-ZDUSSCGKSA-N 1 2 303.410 1.020 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccc(C(=O)N(C)C)c2)CC1 ZINC000957365421 715861857 /nfs/dbraw/zinc/86/18/57/715861857.db2.gz LDNMSQUFUDXYOZ-UHFFFAOYSA-N 1 2 315.417 1.722 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@@H](Cc2ccccc2)OC)CC1 ZINC000957708885 716009912 /nfs/dbraw/zinc/00/99/12/716009912.db2.gz OLSDQNRXEIWCPO-QGZVFWFLSA-N 1 2 302.418 1.964 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000939483131 716279443 /nfs/dbraw/zinc/27/94/43/716279443.db2.gz WVFYFFRMTBNHBS-DYVFJYSZSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccco1 ZINC000958089027 716319836 /nfs/dbraw/zinc/31/98/36/716319836.db2.gz MQXKUVNLNMNGSN-IAZYJMLFSA-N 1 2 311.179 1.848 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccco1 ZINC000958089027 716319841 /nfs/dbraw/zinc/31/98/41/716319841.db2.gz MQXKUVNLNMNGSN-IAZYJMLFSA-N 1 2 311.179 1.848 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnccn1 ZINC000958119689 716340276 /nfs/dbraw/zinc/34/02/76/716340276.db2.gz JDTSHTDNJBEARH-IAZYJMLFSA-N 1 2 323.194 1.045 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnccn1 ZINC000958119689 716340287 /nfs/dbraw/zinc/34/02/87/716340287.db2.gz JDTSHTDNJBEARH-IAZYJMLFSA-N 1 2 323.194 1.045 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC[C@H]3CC)CC2)C1 ZINC000941553120 717207195 /nfs/dbraw/zinc/20/71/95/717207195.db2.gz LYCZLJDIPLVSJT-WBVHZDCISA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2nc(C)[nH]c21 ZINC000962233562 717365524 /nfs/dbraw/zinc/36/55/24/717365524.db2.gz BVRCDFDNJZRHDL-FOLVSLTJSA-N 1 2 308.385 1.555 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2nc(C)[nH]c21 ZINC000962233562 717365529 /nfs/dbraw/zinc/36/55/29/717365529.db2.gz BVRCDFDNJZRHDL-FOLVSLTJSA-N 1 2 308.385 1.555 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](NC(=O)Cc3[nH]c[nH+]c3C)C2)C1 ZINC000941931911 717393430 /nfs/dbraw/zinc/39/34/30/717393430.db2.gz OOGVKKWUOJPTIG-CYBMUJFWSA-N 1 2 316.405 1.334 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC000965845557 717780261 /nfs/dbraw/zinc/78/02/61/717780261.db2.gz MOFDMFHRSICDEN-ZWNOBZJWSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC000965845557 717780262 /nfs/dbraw/zinc/78/02/62/717780262.db2.gz MOFDMFHRSICDEN-ZWNOBZJWSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cnnn2CC)C1 ZINC000965980893 717845705 /nfs/dbraw/zinc/84/57/05/717845705.db2.gz QEVWUFRLRNIODQ-CMPLNLGQSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cnnn2CC)C1 ZINC000965980893 717845708 /nfs/dbraw/zinc/84/57/08/717845708.db2.gz QEVWUFRLRNIODQ-CMPLNLGQSA-N 1 2 311.817 1.491 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC000945438985 718462162 /nfs/dbraw/zinc/46/21/62/718462162.db2.gz LYSUGDNHBRLXNW-UONOGXRCSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000966662875 718620774 /nfs/dbraw/zinc/62/07/74/718620774.db2.gz NUGXDAKJTOXQCT-NEPJUHHUSA-N 1 2 304.394 1.128 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC000967336858 718848420 /nfs/dbraw/zinc/84/84/20/718848420.db2.gz NBNMDRCCLLHWLI-IAQYHMDHSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC000967336858 718848425 /nfs/dbraw/zinc/84/84/25/718848425.db2.gz NBNMDRCCLLHWLI-IAQYHMDHSA-N 1 2 324.856 1.850 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CCCC3)no2)C1 ZINC000967943389 719097159 /nfs/dbraw/zinc/09/71/59/719097159.db2.gz JNIHMZBQHMFNNT-WCQYABFASA-N 1 2 317.393 1.577 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CCN(CC#N)[C@@H](C)C2)c[nH+]1 ZINC000947440502 719164229 /nfs/dbraw/zinc/16/42/29/719164229.db2.gz AOGRLWPGASWCIJ-KBPBESRZSA-N 1 2 303.410 1.419 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2scnc2COC)C1 ZINC000968399487 719559952 /nfs/dbraw/zinc/55/99/52/719559952.db2.gz DQYVJIKHXANFFO-VXGBXAGGSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2scnc2COC)C1 ZINC000968399487 719559957 /nfs/dbraw/zinc/55/99/57/719559957.db2.gz DQYVJIKHXANFFO-VXGBXAGGSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(Cl)o3)CC2)C1 ZINC000949298632 720000704 /nfs/dbraw/zinc/00/07/04/720000704.db2.gz NREYBECGTDADOE-UHFFFAOYSA-N 1 2 322.792 1.873 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(Cl)o3)CC2)C1 ZINC000949298632 720000707 /nfs/dbraw/zinc/00/07/07/720000707.db2.gz NREYBECGTDADOE-UHFFFAOYSA-N 1 2 322.792 1.873 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@H]3C(C)C)CC2)C1 ZINC000949504955 720129439 /nfs/dbraw/zinc/12/94/39/720129439.db2.gz YAOHOTBCPOECSZ-JKSUJKDBSA-N 1 2 304.434 1.605 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@H]3C(C)C)CC2)C1 ZINC000949504955 720129442 /nfs/dbraw/zinc/12/94/42/720129442.db2.gz YAOHOTBCPOECSZ-JKSUJKDBSA-N 1 2 304.434 1.605 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(F)s3)CC2)C1 ZINC000949534272 720144474 /nfs/dbraw/zinc/14/44/74/720144474.db2.gz UQFVMFWBBGBVNX-UHFFFAOYSA-N 1 2 322.405 1.827 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(F)s3)CC2)C1 ZINC000949534272 720144477 /nfs/dbraw/zinc/14/44/77/720144477.db2.gz UQFVMFWBBGBVNX-UHFFFAOYSA-N 1 2 322.405 1.827 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc([C@@H](C)OC)no2)C1 ZINC000969576378 720197534 /nfs/dbraw/zinc/19/75/34/720197534.db2.gz IWZCNIGZRNSXRD-VXGBXAGGSA-N 1 2 322.409 1.680 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnc3n2CCC3)C1 ZINC000969867752 720541327 /nfs/dbraw/zinc/54/13/27/720541327.db2.gz FZHZMMPPDCYKMP-NSHDSACASA-N 1 2 308.813 1.632 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([NH2+][C@@H](C)c3nnc(C)o3)C2)C1 ZINC000969883201 720546969 /nfs/dbraw/zinc/54/69/69/720546969.db2.gz OXNPSLBKNLVSCH-WCQYABFASA-N 1 2 304.394 1.986 20 30 DDEDLO C[C@H](NC(=O)c1cnco1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970232278 720671845 /nfs/dbraw/zinc/67/18/45/720671845.db2.gz SLRDSLCYLDQBBZ-LBPRGKRZSA-N 1 2 310.357 1.797 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)C1C[NH+](Cc2nocc2C)C1 ZINC000970446746 720765226 /nfs/dbraw/zinc/76/52/26/720765226.db2.gz IMZVRTAABPVIBS-BJJXKVORSA-N 1 2 319.405 1.511 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc3ncccn3n2)C1 ZINC000970619648 720851633 /nfs/dbraw/zinc/85/16/33/720851633.db2.gz PVKRULPSIZCSFJ-NSHDSACASA-N 1 2 319.796 1.532 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@H](C)C1C[NH+](CCF)C1 ZINC000970713896 720904167 /nfs/dbraw/zinc/90/41/67/720904167.db2.gz MLSACUGFBGSMHW-GFCCVEGCSA-N 1 2 307.369 1.666 20 30 DDEDLO C#CC[NH+]1CC([C@H](C)NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000970823882 720949061 /nfs/dbraw/zinc/94/90/61/720949061.db2.gz DUOPJKCXSBXZDA-LBPRGKRZSA-N 1 2 310.353 1.476 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@@H]2OCC[C@@H]2Cn2cccn2)CC1 ZINC000951710505 721148408 /nfs/dbraw/zinc/14/84/08/721148408.db2.gz OEWGPKVSEXGABN-HZPDHXFCSA-N 1 2 318.421 1.009 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc3n(n2)CCCC3)C1 ZINC000971212215 721181028 /nfs/dbraw/zinc/18/10/28/721181028.db2.gz WXBTXOQNQGRKIE-XJKSGUPXSA-N 1 2 315.421 1.412 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc3n(n2)CCCC3)C1 ZINC000971212215 721181033 /nfs/dbraw/zinc/18/10/33/721181033.db2.gz WXBTXOQNQGRKIE-XJKSGUPXSA-N 1 2 315.421 1.412 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000951950701 721252159 /nfs/dbraw/zinc/25/21/59/721252159.db2.gz ITSKROKHTGFBQF-CYBMUJFWSA-N 1 2 316.405 1.416 20 30 DDEDLO CCc1noc([C@@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971505483 721326927 /nfs/dbraw/zinc/32/69/27/721326927.db2.gz SSFZAGXFILZHPU-TZMCWYRMSA-N 1 2 316.405 1.639 20 30 DDEDLO CCc1noc([C@@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971505483 721326931 /nfs/dbraw/zinc/32/69/31/721326931.db2.gz SSFZAGXFILZHPU-TZMCWYRMSA-N 1 2 316.405 1.639 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)C(=O)N2[C@H](C)CCC[C@H]2C)CC1 ZINC000952442223 721449733 /nfs/dbraw/zinc/44/97/33/721449733.db2.gz FIJFBQFQTDJUQL-HUUCEWRRSA-N 1 2 307.438 1.496 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(C)c(NC(C)=O)c1 ZINC001038285420 735331043 /nfs/dbraw/zinc/33/10/43/735331043.db2.gz DGWLWVIBZXDPBE-INIZCTEOSA-N 1 2 313.401 1.781 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(C)c(NC(C)=O)c1 ZINC001038285420 735331046 /nfs/dbraw/zinc/33/10/46/735331046.db2.gz DGWLWVIBZXDPBE-INIZCTEOSA-N 1 2 313.401 1.781 20 30 DDEDLO C=CCN(CC[N@@H+]1Cc2ccnn2CC[C@H]1CO)C(=O)OCC ZINC001209024682 732563825 /nfs/dbraw/zinc/56/38/25/732563825.db2.gz WGIJTMGNBHWRBM-HNNXBMFYSA-N 1 2 322.409 1.094 20 30 DDEDLO C=CCN(CC[N@H+]1Cc2ccnn2CC[C@H]1CO)C(=O)OCC ZINC001209024682 732563829 /nfs/dbraw/zinc/56/38/29/732563829.db2.gz WGIJTMGNBHWRBM-HNNXBMFYSA-N 1 2 322.409 1.094 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](Cc2cccc3nonc32)CC1 ZINC001203142199 732866375 /nfs/dbraw/zinc/86/63/75/732866375.db2.gz DTSHHLYHHOBFNX-UHFFFAOYSA-N 1 2 302.334 1.663 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)cc3Cl)[C@H]2C1 ZINC001083201945 733125963 /nfs/dbraw/zinc/12/59/63/733125963.db2.gz PJWKNWOKZULHMU-JKSUJKDBSA-N 1 2 318.804 1.807 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)cc3Cl)[C@H]2C1 ZINC001083201945 733125964 /nfs/dbraw/zinc/12/59/64/733125964.db2.gz PJWKNWOKZULHMU-JKSUJKDBSA-N 1 2 318.804 1.807 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C3[C@H]4CCCCCC[C@H]34)[C@H]2C1 ZINC001083203557 733168407 /nfs/dbraw/zinc/16/84/07/733168407.db2.gz VRSSWGWWZHMBGB-LUKYLMHMSA-N 1 2 316.445 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C3[C@H]4CCCCCC[C@H]34)[C@H]2C1 ZINC001083203557 733168412 /nfs/dbraw/zinc/16/84/12/733168412.db2.gz VRSSWGWWZHMBGB-LUKYLMHMSA-N 1 2 316.445 1.748 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H](CCCN2C(=O)CCn2cc[nH+]c2)C1 ZINC001021647228 733400552 /nfs/dbraw/zinc/40/05/52/733400552.db2.gz WXPSHWDODHRSIA-LSDHHAIUSA-N 1 2 301.394 1.110 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(c2cc(C)[nH+]c(CC)n2)CCO1 ZINC001104311902 733446838 /nfs/dbraw/zinc/44/68/38/733446838.db2.gz NUCXWCZHURHKGM-AWEZNQCLSA-N 1 2 318.421 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc2n1CCC2 ZINC001027940032 738840853 /nfs/dbraw/zinc/84/08/53/738840853.db2.gz FJXRHUAXVNRHOA-GFCCVEGCSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnc2n1CCC2 ZINC001027940032 738840856 /nfs/dbraw/zinc/84/08/56/738840856.db2.gz FJXRHUAXVNRHOA-GFCCVEGCSA-N 1 2 308.813 1.776 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067441609 735023216 /nfs/dbraw/zinc/02/32/16/735023216.db2.gz KSOXADBUQUZFRQ-UHFFFAOYSA-N 1 2 320.437 1.861 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(C)cccc3C)C2)C1 ZINC000972573799 735262382 /nfs/dbraw/zinc/26/23/82/735262382.db2.gz XJDDCNSSKTUPIX-LJQANCHMSA-N 1 2 312.413 1.854 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(C)cccc3C)C2)C1 ZINC000972573799 735262385 /nfs/dbraw/zinc/26/23/85/735262385.db2.gz XJDDCNSSKTUPIX-LJQANCHMSA-N 1 2 312.413 1.854 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001023483537 735268974 /nfs/dbraw/zinc/26/89/74/735268974.db2.gz VFMIFSKCBXAGMJ-ZDUSSCGKSA-N 1 2 323.824 1.580 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001023483537 735268978 /nfs/dbraw/zinc/26/89/78/735268978.db2.gz VFMIFSKCBXAGMJ-ZDUSSCGKSA-N 1 2 323.824 1.580 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C)c3ccccc3)[C@H]2C1 ZINC001083266195 735780675 /nfs/dbraw/zinc/78/06/75/735780675.db2.gz MLLTUMVYIJITPM-BPQIPLTHSA-N 1 2 312.413 1.725 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C)c3ccccc3)[C@H]2C1 ZINC001083266195 735780676 /nfs/dbraw/zinc/78/06/76/735780676.db2.gz MLLTUMVYIJITPM-BPQIPLTHSA-N 1 2 312.413 1.725 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1COCCO1 ZINC001024338760 735781504 /nfs/dbraw/zinc/78/15/04/735781504.db2.gz CAELMYDJAGKACQ-OLZOCXBDSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1COCCO1 ZINC001024338760 735781507 /nfs/dbraw/zinc/78/15/07/735781507.db2.gz CAELMYDJAGKACQ-OLZOCXBDSA-N 1 2 302.802 1.125 20 30 DDEDLO CC1(C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H]2CCCN(CC#N)[C@@H]21 ZINC001087309358 736036768 /nfs/dbraw/zinc/03/67/68/736036768.db2.gz FYJQWPZYYFQGIL-GLQYFDAESA-N 1 2 301.394 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1ccc(=O)n(C)n1 ZINC001024791259 736093969 /nfs/dbraw/zinc/09/39/69/736093969.db2.gz WMPOLCROMOWZES-GFCCVEGCSA-N 1 2 324.812 1.117 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccc(=O)n(C)n1 ZINC001024791259 736093973 /nfs/dbraw/zinc/09/39/73/736093973.db2.gz WMPOLCROMOWZES-GFCCVEGCSA-N 1 2 324.812 1.117 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)n1 ZINC001024902010 736159561 /nfs/dbraw/zinc/15/95/61/736159561.db2.gz HCBRAPMFVSQRBU-QWHCGFSZSA-N 1 2 319.409 1.652 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccc4ocnc43)n2C)CC1 ZINC001121462170 782516793 /nfs/dbraw/zinc/51/67/93/782516793.db2.gz ICHDWFGRAXWHIR-UHFFFAOYSA-N 1 2 322.372 1.379 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1[C@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001025268014 736399175 /nfs/dbraw/zinc/39/91/75/736399175.db2.gz UOWWWSANEDUXLX-LSDHHAIUSA-N 1 2 318.421 1.771 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1[C@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001025268014 736399179 /nfs/dbraw/zinc/39/91/79/736399179.db2.gz UOWWWSANEDUXLX-LSDHHAIUSA-N 1 2 318.421 1.771 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@H]2C[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)no1 ZINC001020091010 736989348 /nfs/dbraw/zinc/98/93/48/736989348.db2.gz ANXLVDBTVGXDKP-UWJYBYFXSA-N 1 2 314.349 1.189 20 30 DDEDLO CC(C)[C@H](CNC(=O)Cn1cc[nH+]c1)Nc1ccc(C#N)nc1 ZINC001105196906 737608015 /nfs/dbraw/zinc/60/80/15/737608015.db2.gz YXEIBRBUPZYOSR-HNNXBMFYSA-N 1 2 312.377 1.403 20 30 DDEDLO C#CC[NH2+]C1CCC(NC(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC001026539105 737646765 /nfs/dbraw/zinc/64/67/65/737646765.db2.gz FOENFKJORXHHNM-UHFFFAOYSA-N 1 2 323.400 1.736 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C=C(/C)C3CC3)C2)nn1 ZINC001098622995 737973865 /nfs/dbraw/zinc/97/38/65/737973865.db2.gz UZDPJVYRAVBOLW-OVHOFWQVSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnn2c1OCCC2 ZINC001027998651 738922958 /nfs/dbraw/zinc/92/29/58/738922958.db2.gz QWOQMVSBDQHGSA-GFCCVEGCSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnn2c1OCCC2 ZINC001027998651 738922962 /nfs/dbraw/zinc/92/29/62/738922962.db2.gz QWOQMVSBDQHGSA-GFCCVEGCSA-N 1 2 324.812 1.612 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@H+]2Cc2ccn(C)n2)cc1 ZINC001028065460 738982509 /nfs/dbraw/zinc/98/25/09/738982509.db2.gz BCRPMJWGDHQTBN-GOSISDBHSA-N 1 2 322.412 1.796 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2ccn(C)n2)cc1 ZINC001028065460 738982513 /nfs/dbraw/zinc/98/25/13/738982513.db2.gz BCRPMJWGDHQTBN-GOSISDBHSA-N 1 2 322.412 1.796 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](CC)CCC)C2)nn1 ZINC001105354461 738989900 /nfs/dbraw/zinc/98/99/00/738989900.db2.gz LVOFICXXENDBNI-AWEZNQCLSA-N 1 2 317.437 1.601 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)[C@@H](C)CC)C2)nn1 ZINC001105354472 738990159 /nfs/dbraw/zinc/99/01/59/738990159.db2.gz MBFCQOISKBONLF-KBPBESRZSA-N 1 2 317.437 1.457 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001038866110 739017649 /nfs/dbraw/zinc/01/76/49/739017649.db2.gz WNMGAYLIYRWLFZ-QWHCGFSZSA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001038866110 739017653 /nfs/dbraw/zinc/01/76/53/739017653.db2.gz WNMGAYLIYRWLFZ-QWHCGFSZSA-N 1 2 300.406 1.362 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3ccncc3Cl)C[C@H]21 ZINC001075620034 739219437 /nfs/dbraw/zinc/21/94/37/739219437.db2.gz WTUBNVGXJHXXIN-CORIIIEPSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3ccncc3Cl)C[C@H]21 ZINC001075620034 739219439 /nfs/dbraw/zinc/21/94/39/739219439.db2.gz WTUBNVGXJHXXIN-CORIIIEPSA-N 1 2 318.808 1.927 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C(F)(F)F)cnn1C ZINC001038970391 739235069 /nfs/dbraw/zinc/23/50/69/739235069.db2.gz RKHRWLUOQCBLEQ-SNVBAGLBSA-N 1 2 314.311 1.266 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C(F)(F)F)cnn1C ZINC001038970391 739235070 /nfs/dbraw/zinc/23/50/70/739235070.db2.gz RKHRWLUOQCBLEQ-SNVBAGLBSA-N 1 2 314.311 1.266 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(F)ccc2F)C1 ZINC001035396034 751466107 /nfs/dbraw/zinc/46/61/07/751466107.db2.gz BRTWMBDJUKUBQC-ZDUSSCGKSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(F)ccc2F)C1 ZINC001035396034 751466108 /nfs/dbraw/zinc/46/61/08/751466108.db2.gz BRTWMBDJUKUBQC-ZDUSSCGKSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001126384457 739666336 /nfs/dbraw/zinc/66/63/36/739666336.db2.gz OCUYCQJFGHJFKP-MEBBXXQBSA-N 1 2 308.382 1.041 20 30 DDEDLO Cc1[nH]ccc1C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083396232 739764857 /nfs/dbraw/zinc/76/48/57/739764857.db2.gz DLUIQOIRYJZYAI-MSOLQXFVSA-N 1 2 323.396 1.150 20 30 DDEDLO Cc1[nH]ccc1C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083396232 739764861 /nfs/dbraw/zinc/76/48/61/739764861.db2.gz DLUIQOIRYJZYAI-MSOLQXFVSA-N 1 2 323.396 1.150 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2ncc(C)o2)CCOCC1 ZINC001149305605 740056511 /nfs/dbraw/zinc/05/65/11/740056511.db2.gz BYDJCFUYTQRIJM-UHFFFAOYSA-N 1 2 307.394 1.562 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC)cc2F)C1 ZINC001035436361 751514405 /nfs/dbraw/zinc/51/44/05/751514405.db2.gz QRMYXOQVQIGUEG-CQSZACIVSA-N 1 2 320.364 1.288 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC)cc2F)C1 ZINC001035436361 751514408 /nfs/dbraw/zinc/51/44/08/751514408.db2.gz QRMYXOQVQIGUEG-CQSZACIVSA-N 1 2 320.364 1.288 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001038423813 740287702 /nfs/dbraw/zinc/28/77/02/740287702.db2.gz FTUWOZPKYKICRR-STQMWFEESA-N 1 2 308.813 1.389 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1OCCC ZINC001032600911 751530653 /nfs/dbraw/zinc/53/06/53/751530653.db2.gz AMAYFJAUPYAXGJ-GJZGRUSLSA-N 1 2 313.401 1.792 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1OCCC ZINC001032600911 751530658 /nfs/dbraw/zinc/53/06/58/751530658.db2.gz AMAYFJAUPYAXGJ-GJZGRUSLSA-N 1 2 313.401 1.792 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)Nc1ccncc1C#N ZINC001098267230 740405835 /nfs/dbraw/zinc/40/58/35/740405835.db2.gz AECNEEGCLBPGPY-DGCLKSJQSA-N 1 2 324.388 1.135 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ncoc1C(F)(F)F ZINC001038432420 740431174 /nfs/dbraw/zinc/43/11/74/740431174.db2.gz VOJVCIDDJMDWIC-VIFPVBQESA-N 1 2 301.268 1.521 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ncoc1C(F)(F)F ZINC001038432420 740431176 /nfs/dbraw/zinc/43/11/76/740431176.db2.gz VOJVCIDDJMDWIC-VIFPVBQESA-N 1 2 301.268 1.521 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2conc2C2CC2)C1 ZINC001035505817 751561237 /nfs/dbraw/zinc/56/12/37/751561237.db2.gz NXQIZCUOHJFJOV-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2conc2C2CC2)C1 ZINC001035505817 751561240 /nfs/dbraw/zinc/56/12/40/751561240.db2.gz NXQIZCUOHJFJOV-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1OC ZINC001211879801 740763915 /nfs/dbraw/zinc/76/39/15/740763915.db2.gz DMPYKXSVKURERH-IAOVAPTHSA-N 1 2 315.417 1.920 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1OC ZINC001211879801 740763917 /nfs/dbraw/zinc/76/39/17/740763917.db2.gz DMPYKXSVKURERH-IAOVAPTHSA-N 1 2 315.417 1.920 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1OC ZINC001211880388 740772806 /nfs/dbraw/zinc/77/28/06/740772806.db2.gz SYCZGFLEHSKKFV-KCPJHIHWSA-N 1 2 321.446 1.981 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1OC ZINC001211880388 740772808 /nfs/dbraw/zinc/77/28/08/740772808.db2.gz SYCZGFLEHSKKFV-KCPJHIHWSA-N 1 2 321.446 1.981 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098709244 740799313 /nfs/dbraw/zinc/79/93/13/740799313.db2.gz DWOUFSXEXCCMNG-HNNXBMFYSA-N 1 2 316.405 1.252 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2nccc3ccccc32)C1 ZINC001035495917 751579031 /nfs/dbraw/zinc/57/90/31/751579031.db2.gz KYDLGDXAKXNOFY-INIZCTEOSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2nccc3ccccc32)C1 ZINC001035495917 751579036 /nfs/dbraw/zinc/57/90/36/751579036.db2.gz KYDLGDXAKXNOFY-INIZCTEOSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(Cl)cs2)C1 ZINC001035537301 751582709 /nfs/dbraw/zinc/58/27/09/751582709.db2.gz OBYKFGLZLXMVLU-GFCCVEGCSA-N 1 2 312.822 1.855 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(Cl)cs2)C1 ZINC001035537301 751582713 /nfs/dbraw/zinc/58/27/13/751582713.db2.gz OBYKFGLZLXMVLU-GFCCVEGCSA-N 1 2 312.822 1.855 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCOc3ccccc32)C1 ZINC001035543425 751590490 /nfs/dbraw/zinc/59/04/90/751590490.db2.gz XOVYDWYQJPXTIZ-GOEBONIOSA-N 1 2 316.401 1.556 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCOc3ccccc32)C1 ZINC001035543425 751590495 /nfs/dbraw/zinc/59/04/95/751590495.db2.gz XOVYDWYQJPXTIZ-GOEBONIOSA-N 1 2 316.401 1.556 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(F)ccc2Cl)C1 ZINC001035534380 751615843 /nfs/dbraw/zinc/61/58/43/751615843.db2.gz TZYKYONXUKARSH-ZDUSSCGKSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(F)ccc2Cl)C1 ZINC001035534380 751615845 /nfs/dbraw/zinc/61/58/45/751615845.db2.gz TZYKYONXUKARSH-ZDUSSCGKSA-N 1 2 324.783 1.933 20 30 DDEDLO CCn1c(C)nnc1C[N@H+](C)C[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001029679005 741220302 /nfs/dbraw/zinc/22/03/02/741220302.db2.gz VTGUHONXVAITPU-OCCSQVGLSA-N 1 2 318.425 1.046 20 30 DDEDLO CCn1c(C)nnc1C[N@@H+](C)C[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001029679005 741220305 /nfs/dbraw/zinc/22/03/05/741220305.db2.gz VTGUHONXVAITPU-OCCSQVGLSA-N 1 2 318.425 1.046 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@]2(C1)CCC[N@H+](Cc1cnon1)C2 ZINC001040125400 741373872 /nfs/dbraw/zinc/37/38/72/741373872.db2.gz DXRCLFGGSMLXAW-WFASDCNBSA-N 1 2 303.366 1.044 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@]2(C1)CCC[N@@H+](Cc1cnon1)C2 ZINC001040125400 741373874 /nfs/dbraw/zinc/37/38/74/741373874.db2.gz DXRCLFGGSMLXAW-WFASDCNBSA-N 1 2 303.366 1.044 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC)c(Cl)c2)C1 ZINC001035598084 751655120 /nfs/dbraw/zinc/65/51/20/751655120.db2.gz SBKCMPUIJQNHND-CYBMUJFWSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC)c(Cl)c2)C1 ZINC001035598084 751655125 /nfs/dbraw/zinc/65/51/25/751655125.db2.gz SBKCMPUIJQNHND-CYBMUJFWSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001075883223 741658317 /nfs/dbraw/zinc/65/83/17/741658317.db2.gz YHAWSUHKYRJPAA-ZDUSSCGKSA-N 1 2 306.410 1.330 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(CNC(C)=O)cc1 ZINC001038472460 741760138 /nfs/dbraw/zinc/76/01/38/741760138.db2.gz YTEYMEHBEYPPQG-KRWDZBQOSA-N 1 2 313.401 1.150 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(CNC(C)=O)cc1 ZINC001038472460 741760141 /nfs/dbraw/zinc/76/01/41/741760141.db2.gz YTEYMEHBEYPPQG-KRWDZBQOSA-N 1 2 313.401 1.150 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212039491 741949984 /nfs/dbraw/zinc/94/99/84/741949984.db2.gz MPZJXYNTXQDXEY-DJIMGWMZSA-N 1 2 311.385 1.013 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212039491 741949988 /nfs/dbraw/zinc/94/99/88/741949988.db2.gz MPZJXYNTXQDXEY-DJIMGWMZSA-N 1 2 311.385 1.013 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(COC)c(F)c2)C1 ZINC001035589332 751683468 /nfs/dbraw/zinc/68/34/68/751683468.db2.gz HLKUSQOPPPZMGK-OAHLLOKOSA-N 1 2 322.380 1.589 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(COC)c(F)c2)C1 ZINC001035589332 751683473 /nfs/dbraw/zinc/68/34/73/751683473.db2.gz HLKUSQOPPPZMGK-OAHLLOKOSA-N 1 2 322.380 1.589 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1OC ZINC001212096602 741988748 /nfs/dbraw/zinc/98/87/48/741988748.db2.gz FTTCNIXIFHERMT-DSHURVMMSA-N 1 2 319.430 1.901 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1OC ZINC001212096602 741988750 /nfs/dbraw/zinc/98/87/50/741988750.db2.gz FTTCNIXIFHERMT-DSHURVMMSA-N 1 2 319.430 1.901 20 30 DDEDLO Cc1cnc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)cn1 ZINC001038025658 751687291 /nfs/dbraw/zinc/68/72/91/751687291.db2.gz MSRMHEDRALZPNL-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cnc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)cn1 ZINC001038025658 751687294 /nfs/dbraw/zinc/68/72/94/751687294.db2.gz MSRMHEDRALZPNL-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2nn(C)c3ccccc23)C1 ZINC001042729974 742192559 /nfs/dbraw/zinc/19/25/59/742192559.db2.gz SXLATALULNUSLQ-UHFFFAOYSA-N 1 2 312.417 1.835 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C23CC(C(F)(F)F)(C2)C3)C1 ZINC001035616710 751722890 /nfs/dbraw/zinc/72/28/90/751722890.db2.gz MKUPREDIBYYZMK-LMWSTFAQSA-N 1 2 318.339 1.722 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C23CC(C(F)(F)F)(C2)C3)C1 ZINC001035616710 751722893 /nfs/dbraw/zinc/72/28/93/751722893.db2.gz MKUPREDIBYYZMK-LMWSTFAQSA-N 1 2 318.339 1.722 20 30 DDEDLO C[C@@H]([NH2+]CCNC(=O)C#CC(C)(C)C)c1nc(C2CC2)no1 ZINC001126907063 742466992 /nfs/dbraw/zinc/46/69/92/742466992.db2.gz FBOMBERKOSEJJH-LLVKDONJSA-N 1 2 304.394 1.763 20 30 DDEDLO CN(C(=O)CCc1[nH]cc[nH+]1)C1CC(Nc2ccc(C#N)nc2)C1 ZINC001126919577 742567935 /nfs/dbraw/zinc/56/79/35/742567935.db2.gz CUIVLAGCJATDCP-UHFFFAOYSA-N 1 2 324.388 1.710 20 30 DDEDLO C#CCCCCC(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001076209381 742603246 /nfs/dbraw/zinc/60/32/46/742603246.db2.gz LVQUAGXAQNIZOS-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)C1=COCCO1)CC2 ZINC001035642305 751747015 /nfs/dbraw/zinc/74/70/15/751747015.db2.gz KNFKVJRRYJIPKP-UHFFFAOYSA-N 1 2 312.797 1.552 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1ccnn1C)CC2 ZINC001035667022 751771953 /nfs/dbraw/zinc/77/19/53/751771953.db2.gz FUPIHARWTASSJX-UHFFFAOYSA-N 1 2 308.813 1.711 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)Nc2c(C#N)cnnc2-c2ccccc2)C1 ZINC001180757461 742915785 /nfs/dbraw/zinc/91/57/85/742915785.db2.gz UTJGKRBWXIITRL-CQSZACIVSA-N 1 2 323.356 1.284 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)Nc2c(C#N)cnnc2-c2ccccc2)C1 ZINC001180757461 742915792 /nfs/dbraw/zinc/91/57/92/742915792.db2.gz UTJGKRBWXIITRL-CQSZACIVSA-N 1 2 323.356 1.284 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)Nc2ccc3nc(C#N)sc3c2)C1 ZINC001180760946 742918906 /nfs/dbraw/zinc/91/89/06/742918906.db2.gz IJHOCYILAACRAN-NSHDSACASA-N 1 2 302.359 1.437 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)Nc2ccc3nc(C#N)sc3c2)C1 ZINC001180760946 742918909 /nfs/dbraw/zinc/91/89/09/742918909.db2.gz IJHOCYILAACRAN-NSHDSACASA-N 1 2 302.359 1.437 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)[C@H](O)C(C)C)CC2 ZINC001035697095 751785026 /nfs/dbraw/zinc/78/50/26/751785026.db2.gz PZOOOKCSQMQDCE-CYBMUJFWSA-N 1 2 300.830 1.680 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H](C)CCCC)C2)nn1 ZINC001098666877 742989152 /nfs/dbraw/zinc/98/91/52/742989152.db2.gz JOYJXUOYPGUSEU-HOCLYGCPSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCCNC(=O)c2ccccc2)CC1 ZINC001180961232 742999756 /nfs/dbraw/zinc/99/97/56/742999756.db2.gz VLOSKNPBZRBMHF-UHFFFAOYSA-N 1 2 315.417 1.527 20 30 DDEDLO O=C(C=C1CCC1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076867763 743066467 /nfs/dbraw/zinc/06/64/67/743066467.db2.gz IAIHOTDJKZZWHF-QZTJIDSGSA-N 1 2 310.397 1.310 20 30 DDEDLO O=C(C=C1CCC1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076867763 743066475 /nfs/dbraw/zinc/06/64/75/743066475.db2.gz IAIHOTDJKZZWHF-QZTJIDSGSA-N 1 2 310.397 1.310 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCCN(C(=O)Cc2cc[nH+]c(N)c2)C1 ZINC001181257808 743145414 /nfs/dbraw/zinc/14/54/14/743145414.db2.gz VRNITIXZSOSMHI-ZDUSSCGKSA-N 1 2 318.377 1.110 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077015728 743180210 /nfs/dbraw/zinc/18/02/10/743180210.db2.gz JTNFEXGEHWXTPJ-ZDUSSCGKSA-N 1 2 306.410 1.710 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077015728 743180217 /nfs/dbraw/zinc/18/02/17/743180217.db2.gz JTNFEXGEHWXTPJ-ZDUSSCGKSA-N 1 2 306.410 1.710 20 30 DDEDLO N#Cc1cnccc1N[C@H]1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001061095184 743183114 /nfs/dbraw/zinc/18/31/14/743183114.db2.gz KDUKYKDLYHGIGW-GJZGRUSLSA-N 1 2 324.388 1.111 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001061108053 743262823 /nfs/dbraw/zinc/26/28/23/743262823.db2.gz RZWQUCAKJFCYTM-CHWSQXEVSA-N 1 2 324.388 1.758 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001182442869 743628267 /nfs/dbraw/zinc/62/82/67/743628267.db2.gz UAWDDHZJLHWGKV-DGCLKSJQSA-N 1 2 304.394 1.679 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([NH2+]Cc3csnn3)C2)CCC1 ZINC001183185595 743792691 /nfs/dbraw/zinc/79/26/91/743792691.db2.gz NMCBNNYARMRKQI-GFCCVEGCSA-N 1 2 304.419 1.422 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnnn3C)[C@@H]2C)C1 ZINC001088840323 743834133 /nfs/dbraw/zinc/83/41/33/743834133.db2.gz DRYOKHJDUAXQQT-OCCSQVGLSA-N 1 2 303.410 1.250 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnnn3C)[C@@H]2C)C1 ZINC001088840323 743834137 /nfs/dbraw/zinc/83/41/37/743834137.db2.gz DRYOKHJDUAXQQT-OCCSQVGLSA-N 1 2 303.410 1.250 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](CCc2cn(C)c[nH+]2)C(=O)OC)C1 ZINC001184794299 744102006 /nfs/dbraw/zinc/10/20/06/744102006.db2.gz BBCGZNQWZYJVQG-ZDUSSCGKSA-N 1 2 305.378 1.367 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)nc2C(C)C)[C@@H](O)C1 ZINC001083677740 744292437 /nfs/dbraw/zinc/29/24/37/744292437.db2.gz KPDXAURMTFTMBX-CVEARBPZSA-N 1 2 315.417 1.312 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)nc2C(C)C)[C@@H](O)C1 ZINC001083677740 744292438 /nfs/dbraw/zinc/29/24/38/744292438.db2.gz KPDXAURMTFTMBX-CVEARBPZSA-N 1 2 315.417 1.312 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CC(=O)N[C@@H](CC(C)C)C2)C1 ZINC001030585819 744292585 /nfs/dbraw/zinc/29/25/85/744292585.db2.gz KBYVJMRHYFSCJM-KGLIPLIRSA-N 1 2 307.438 1.304 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2nccs2)[C@@H]1C ZINC001088868147 744294409 /nfs/dbraw/zinc/29/44/09/744294409.db2.gz MXRLDPOQSAWSIX-MQYQWHSLSA-N 1 2 321.446 1.813 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2nccs2)[C@@H]1C ZINC001088868147 744294414 /nfs/dbraw/zinc/29/44/14/744294414.db2.gz MXRLDPOQSAWSIX-MQYQWHSLSA-N 1 2 321.446 1.813 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C3CC(C)C3)C2)nn1 ZINC001185915237 744313661 /nfs/dbraw/zinc/31/36/61/744313661.db2.gz AIQQFSPFOBYDSH-XUJLQICISA-N 1 2 315.421 1.211 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C(N)=O)cc2)[C@H]1C ZINC001089033463 744461215 /nfs/dbraw/zinc/46/12/15/744461215.db2.gz UOFNBKQYKPPVHY-RISCZKNCSA-N 1 2 321.808 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C(N)=O)cc2)[C@H]1C ZINC001089033463 744461216 /nfs/dbraw/zinc/46/12/16/744461216.db2.gz UOFNBKQYKPPVHY-RISCZKNCSA-N 1 2 321.808 1.731 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C(C)(C)C)C2)nn1 ZINC001098773778 744478877 /nfs/dbraw/zinc/47/88/77/744478877.db2.gz IPQOJOVGULBLOL-AWEZNQCLSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](O)CCCc2ccccc2)CC1 ZINC001187045144 744490649 /nfs/dbraw/zinc/49/06/49/744490649.db2.gz DQCGJHJNTPOKCN-KRWDZBQOSA-N 1 2 302.418 1.700 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H](C)[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001089178397 744673705 /nfs/dbraw/zinc/67/37/05/744673705.db2.gz VMPVSUBKESNAAK-HIFRSBDPSA-N 1 2 318.421 1.884 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nccs2)C1 ZINC001190094006 745097263 /nfs/dbraw/zinc/09/72/63/745097263.db2.gz GHMVBOUHLXCZKD-QWHCGFSZSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nccs2)C1 ZINC001190094006 745097270 /nfs/dbraw/zinc/09/72/70/745097270.db2.gz GHMVBOUHLXCZKD-QWHCGFSZSA-N 1 2 307.419 1.214 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cccc(OCC)n2)C1 ZINC001015340469 745197969 /nfs/dbraw/zinc/19/79/69/745197969.db2.gz MOPCCVAMHOYHQS-CQSZACIVSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cccc(OCC)n2)C1 ZINC001015340469 745197973 /nfs/dbraw/zinc/19/79/73/745197973.db2.gz MOPCCVAMHOYHQS-CQSZACIVSA-N 1 2 323.824 1.966 20 30 DDEDLO CC/C=C(/C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191482110 745518794 /nfs/dbraw/zinc/51/87/94/745518794.db2.gz HGMYGKCNAYOCMV-JADFEHNVSA-N 1 2 312.413 1.556 20 30 DDEDLO CC/C=C(/C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191482110 745518798 /nfs/dbraw/zinc/51/87/98/745518798.db2.gz HGMYGKCNAYOCMV-JADFEHNVSA-N 1 2 312.413 1.556 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001191859534 745618786 /nfs/dbraw/zinc/61/87/86/745618786.db2.gz MYFFOKXBYAGIPP-CQSZACIVSA-N 1 2 319.405 1.910 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001191859534 745618790 /nfs/dbraw/zinc/61/87/90/745618790.db2.gz MYFFOKXBYAGIPP-CQSZACIVSA-N 1 2 319.405 1.910 20 30 DDEDLO Cc1nc(NCC[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001106426012 745685179 /nfs/dbraw/zinc/68/51/79/745685179.db2.gz AFSISJCTUXBSPQ-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO C[C@H](CCNc1ccncc1C#N)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106621201 745847034 /nfs/dbraw/zinc/84/70/34/745847034.db2.gz YSNDJTMKBDBCRZ-GFCCVEGCSA-N 1 2 312.377 1.038 20 30 DDEDLO C[C@H](CCNc1ccncc1C#N)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106621201 745847038 /nfs/dbraw/zinc/84/70/38/745847038.db2.gz YSNDJTMKBDBCRZ-GFCCVEGCSA-N 1 2 312.377 1.038 20 30 DDEDLO C[C@H](CCNc1ncccc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001106625435 745853834 /nfs/dbraw/zinc/85/38/34/745853834.db2.gz KBMJPXMTAWBHLM-MRVWCRGKSA-N 1 2 324.388 1.787 20 30 DDEDLO C[C@H](CCNc1ncccc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001106625435 745853836 /nfs/dbraw/zinc/85/38/36/745853836.db2.gz KBMJPXMTAWBHLM-MRVWCRGKSA-N 1 2 324.388 1.787 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2cccc(F)c2)C[C@H]1O ZINC001192813924 745902280 /nfs/dbraw/zinc/90/22/80/745902280.db2.gz SCNOQZSHFWJERK-HZPDHXFCSA-N 1 2 318.392 1.537 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2cccc(F)c2)C[C@H]1O ZINC001192813924 745902282 /nfs/dbraw/zinc/90/22/82/745902282.db2.gz SCNOQZSHFWJERK-HZPDHXFCSA-N 1 2 318.392 1.537 20 30 DDEDLO N#CCS(=O)(=O)NCc1c[nH+]cn1Cc1cccc(F)c1 ZINC001193002211 745947936 /nfs/dbraw/zinc/94/79/36/745947936.db2.gz ZNFOGOXKRDVQMR-UHFFFAOYSA-N 1 2 308.338 1.013 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CCCN(CC#N)[C@@H]2C)c[nH+]1 ZINC000993499151 746178550 /nfs/dbraw/zinc/17/85/50/746178550.db2.gz YHPTWQDRBQTYDY-ZIAGYGMSSA-N 1 2 303.410 1.419 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc3c2CCCC3)[C@@H]1C ZINC000993529532 746198622 /nfs/dbraw/zinc/19/86/22/746198622.db2.gz MCDPQGXUOKNIRC-DZGCQCFKSA-N 1 2 314.433 1.895 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]nc3c2CCCC3)[C@@H]1C ZINC000993529532 746198625 /nfs/dbraw/zinc/19/86/25/746198625.db2.gz MCDPQGXUOKNIRC-DZGCQCFKSA-N 1 2 314.433 1.895 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cc(C)nn2C)[C@@H]1C ZINC000993603596 746227695 /nfs/dbraw/zinc/22/76/95/746227695.db2.gz QCQHYWKKVPQYKB-DZGCQCFKSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cc(C)nn2C)[C@@H]1C ZINC000993603596 746227699 /nfs/dbraw/zinc/22/76/99/746227699.db2.gz QCQHYWKKVPQYKB-DZGCQCFKSA-N 1 2 324.856 1.993 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(CC)n2)C1 ZINC001194407334 746369625 /nfs/dbraw/zinc/36/96/25/746369625.db2.gz KWLOVIKQGXIONP-GDBMZVCRSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(CC)n2)C1 ZINC001194407334 746369630 /nfs/dbraw/zinc/36/96/30/746369630.db2.gz KWLOVIKQGXIONP-GDBMZVCRSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001194411180 746371146 /nfs/dbraw/zinc/37/11/46/746371146.db2.gz YCYXBGQYXLBDPH-CHWSQXEVSA-N 1 2 323.393 1.307 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001194411180 746371151 /nfs/dbraw/zinc/37/11/51/746371151.db2.gz YCYXBGQYXLBDPH-CHWSQXEVSA-N 1 2 323.393 1.307 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2nonc2C)[C@@H]1C ZINC000994123613 746454618 /nfs/dbraw/zinc/45/46/18/746454618.db2.gz LHLJOHVQUNUFPN-NWDGAFQWSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2nonc2C)[C@@H]1C ZINC000994123613 746454620 /nfs/dbraw/zinc/45/46/20/746454620.db2.gz LHLJOHVQUNUFPN-NWDGAFQWSA-N 1 2 312.801 1.642 20 30 DDEDLO C#Cc1cccc(NC(=O)NC(=O)CN2CCn3c[nH+]cc3C2)c1 ZINC001194854107 746472638 /nfs/dbraw/zinc/47/26/38/746472638.db2.gz JQIITYAOWLQCSB-UHFFFAOYSA-N 1 2 323.356 1.028 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1O ZINC001195254289 746557541 /nfs/dbraw/zinc/55/75/41/746557541.db2.gz AFFLXRPDFWOWKS-HUUCEWRRSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1O ZINC001195254289 746557545 /nfs/dbraw/zinc/55/75/45/746557545.db2.gz AFFLXRPDFWOWKS-HUUCEWRRSA-N 1 2 321.421 1.501 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3ccnnc3)C2)s1 ZINC001015747774 746605224 /nfs/dbraw/zinc/60/52/24/746605224.db2.gz GONIYSJWNWHLLN-LBPRGKRZSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3ccnnc3)C2)s1 ZINC001015747774 746605227 /nfs/dbraw/zinc/60/52/27/746605227.db2.gz GONIYSJWNWHLLN-LBPRGKRZSA-N 1 2 313.386 1.414 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C=C(CC)CC)CC1 ZINC001195480615 746621264 /nfs/dbraw/zinc/62/12/64/746621264.db2.gz LIQMDUIIBWTGKR-UHFFFAOYSA-N 1 2 307.438 1.569 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C=C(CC)CC)CC1 ZINC001195480615 746621267 /nfs/dbraw/zinc/62/12/67/746621267.db2.gz LIQMDUIIBWTGKR-UHFFFAOYSA-N 1 2 307.438 1.569 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cc(C)ns2)CC1 ZINC001195806668 746711454 /nfs/dbraw/zinc/71/14/54/746711454.db2.gz PTWYFCIFXUHGEL-AWEZNQCLSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cc(C)ns2)CC1 ZINC001195806668 746711457 /nfs/dbraw/zinc/71/14/57/746711457.db2.gz PTWYFCIFXUHGEL-AWEZNQCLSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)C(C)(C)c2ccc(OC)cc2)C1 ZINC001031424447 746782433 /nfs/dbraw/zinc/78/24/33/746782433.db2.gz QLAZXJZXQUBIMT-UHFFFAOYSA-N 1 2 300.402 1.797 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1O ZINC001196481648 746872029 /nfs/dbraw/zinc/87/20/29/746872029.db2.gz DRFGEHCESPFSMK-CHWSQXEVSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1O ZINC001196481648 746872036 /nfs/dbraw/zinc/87/20/36/746872036.db2.gz DRFGEHCESPFSMK-CHWSQXEVSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cc(=O)[nH]c3c2CCCC3)C1 ZINC001031493505 746901288 /nfs/dbraw/zinc/90/12/88/746901288.db2.gz STEDFGDGIJTMHJ-UHFFFAOYSA-N 1 2 301.390 1.656 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)CC(=C)C)CC1 ZINC001196895112 747002964 /nfs/dbraw/zinc/00/29/64/747002964.db2.gz GNEBUVPIHTVMTQ-HZPDHXFCSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@H](C)CC(=C)C)CC1 ZINC001196895112 747002972 /nfs/dbraw/zinc/00/29/72/747002972.db2.gz GNEBUVPIHTVMTQ-HZPDHXFCSA-N 1 2 319.449 1.261 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@@H](NCC#N)[C@@H](C)C3)ccn12 ZINC001036035810 752141748 /nfs/dbraw/zinc/14/17/48/752141748.db2.gz VQCGNPUDSKLZEV-SWLSCSKDSA-N 1 2 311.389 1.607 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+](CC(=O)NC3CC3)CC2)CCC1 ZINC001197055155 747038194 /nfs/dbraw/zinc/03/81/94/747038194.db2.gz KDKMVTUDXCWKLD-UHFFFAOYSA-N 1 2 319.449 1.546 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+](CC(=O)NC3CC3)CC2)CCC1 ZINC001197055155 747038201 /nfs/dbraw/zinc/03/82/01/747038201.db2.gz KDKMVTUDXCWKLD-UHFFFAOYSA-N 1 2 319.449 1.546 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001089490224 747087354 /nfs/dbraw/zinc/08/73/54/747087354.db2.gz OSEBKORJJZSKCK-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@H]1CCN(CC#N)CC(C)(C)C1 ZINC001089541969 747176184 /nfs/dbraw/zinc/17/61/84/747176184.db2.gz PBAZWEJREFWCPD-CYBMUJFWSA-N 1 2 303.410 1.093 20 30 DDEDLO Cc1cnc([C@@H](C)[NH+]2CC(CNC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001031620512 747212614 /nfs/dbraw/zinc/21/26/14/747212614.db2.gz KZIRYCSHHRQRAH-LLVKDONJSA-N 1 2 313.361 1.606 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)CC2CC2)[C@H](O)C1 ZINC001090009709 747224553 /nfs/dbraw/zinc/22/45/53/747224553.db2.gz MBGGNFZACHUZKR-DLBZAZTESA-N 1 2 313.401 1.410 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)CC2CC2)[C@H](O)C1 ZINC001090009709 747224554 /nfs/dbraw/zinc/22/45/54/747224554.db2.gz MBGGNFZACHUZKR-DLBZAZTESA-N 1 2 313.401 1.410 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC[NH+](Cc3cn(C)nn3)CC2)C1 ZINC001003596437 747310161 /nfs/dbraw/zinc/31/01/61/747310161.db2.gz UDFRGTQDYZUYOK-UHFFFAOYSA-N 1 2 303.410 1.252 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2ccc(C)cc2)CC1 ZINC001197933848 747312376 /nfs/dbraw/zinc/31/23/76/747312376.db2.gz GELWJHBVWSMTAC-UHFFFAOYSA-N 1 2 315.417 1.445 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2ccc(C)cc2)CC1 ZINC001197933848 747312382 /nfs/dbraw/zinc/31/23/82/747312382.db2.gz GELWJHBVWSMTAC-UHFFFAOYSA-N 1 2 315.417 1.445 20 30 DDEDLO Cc1ccc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)nn1 ZINC001031659121 747332983 /nfs/dbraw/zinc/33/29/83/747332983.db2.gz NRNUATWBSQXSFK-UHFFFAOYSA-N 1 2 321.384 1.519 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+]([C@H](C)c2cnccn2)CC1 ZINC001198344426 747459413 /nfs/dbraw/zinc/45/94/13/747459413.db2.gz UZCNOJUWENIIHN-CABCVRRESA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+]([C@H](C)c2cnccn2)CC1 ZINC001198344426 747459416 /nfs/dbraw/zinc/45/94/16/747459416.db2.gz UZCNOJUWENIIHN-CABCVRRESA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+]([C@H](C)C(=O)NC)CC2)CCCC1 ZINC001198423172 747487906 /nfs/dbraw/zinc/48/79/06/747487906.db2.gz LVKHBJWJRQMPLI-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+]([C@H](C)C(=O)NC)CC2)CCCC1 ZINC001198423172 747487909 /nfs/dbraw/zinc/48/79/09/747487909.db2.gz LVKHBJWJRQMPLI-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc(C)c2)[C@H](O)C1 ZINC001090039520 747489983 /nfs/dbraw/zinc/48/99/83/747489983.db2.gz VEJHYZAWCAUMIR-HUUCEWRRSA-N 1 2 308.809 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(C)c2)[C@H](O)C1 ZINC001090039520 747489989 /nfs/dbraw/zinc/48/99/89/747489989.db2.gz VEJHYZAWCAUMIR-HUUCEWRRSA-N 1 2 308.809 1.913 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC000998745365 752191612 /nfs/dbraw/zinc/19/16/12/752191612.db2.gz HFJVAUBFESUUKL-IUCAKERBSA-N 1 2 313.308 1.247 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001110543458 748033486 /nfs/dbraw/zinc/03/34/86/748033486.db2.gz SHJNQCZVRQKUGQ-KFWWJZLASA-N 1 2 317.433 1.036 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001110543458 748033492 /nfs/dbraw/zinc/03/34/92/748033492.db2.gz SHJNQCZVRQKUGQ-KFWWJZLASA-N 1 2 317.433 1.036 20 30 DDEDLO Cc1n[nH]cc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004498915 748486611 /nfs/dbraw/zinc/48/66/11/748486611.db2.gz JDYKBUUPMAVXAN-HNNXBMFYSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1n[nH]cc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004498915 748486613 /nfs/dbraw/zinc/48/66/13/748486613.db2.gz JDYKBUUPMAVXAN-HNNXBMFYSA-N 1 2 301.394 1.558 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001033134355 748497028 /nfs/dbraw/zinc/49/70/28/748497028.db2.gz AJAVGUOLKXRLBU-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001033134355 748497022 /nfs/dbraw/zinc/49/70/22/748497022.db2.gz AJAVGUOLKXRLBU-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001033142270 748529759 /nfs/dbraw/zinc/52/97/59/748529759.db2.gz NYHIWZRXXNZKCV-ZDUSSCGKSA-N 1 2 323.824 1.986 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001033142270 748529761 /nfs/dbraw/zinc/52/97/61/748529761.db2.gz NYHIWZRXXNZKCV-ZDUSSCGKSA-N 1 2 323.824 1.986 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001108086671 748751400 /nfs/dbraw/zinc/75/14/00/748751400.db2.gz SNRGOWZMHOQDLG-HLLBOEOZSA-N 1 2 308.422 1.195 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001108086671 748751405 /nfs/dbraw/zinc/75/14/05/748751405.db2.gz SNRGOWZMHOQDLG-HLLBOEOZSA-N 1 2 308.422 1.195 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnn(CCF)c2)C1 ZINC001033214641 748940778 /nfs/dbraw/zinc/94/07/78/748940778.db2.gz NZIZQQMMDCHDJR-CYBMUJFWSA-N 1 2 314.792 1.751 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnn(CCF)c2)C1 ZINC001033214641 748940783 /nfs/dbraw/zinc/94/07/83/748940783.db2.gz NZIZQQMMDCHDJR-CYBMUJFWSA-N 1 2 314.792 1.751 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccnc(OC)c2)C1 ZINC001033223402 748979288 /nfs/dbraw/zinc/97/92/88/748979288.db2.gz PKZFNVLWCHNQNB-CYBMUJFWSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccnc(OC)c2)C1 ZINC001033223402 748979295 /nfs/dbraw/zinc/97/92/95/748979295.db2.gz PKZFNVLWCHNQNB-CYBMUJFWSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnn(C)c2CC)C1 ZINC001033227513 748985498 /nfs/dbraw/zinc/98/54/98/748985498.db2.gz FMGXEYJFNZXSNB-LBPRGKRZSA-N 1 2 310.829 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnn(C)c2CC)C1 ZINC001033227513 748985501 /nfs/dbraw/zinc/98/55/01/748985501.db2.gz FMGXEYJFNZXSNB-LBPRGKRZSA-N 1 2 310.829 1.881 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(C(C)C)nn3)C[C@H]21 ZINC001114348820 749101583 /nfs/dbraw/zinc/10/15/83/749101583.db2.gz QRAIXQXKFUGFRR-QLPKVWCKSA-N 1 2 317.437 1.762 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(C(C)C)nn3)C[C@H]21 ZINC001114348820 749101585 /nfs/dbraw/zinc/10/15/85/749101585.db2.gz QRAIXQXKFUGFRR-QLPKVWCKSA-N 1 2 317.437 1.762 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](C)CCOC)c2C1 ZINC001128580545 749105620 /nfs/dbraw/zinc/10/56/20/749105620.db2.gz CXQCCKXHBGGIDL-AWEZNQCLSA-N 1 2 320.437 1.564 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H](C)CCOC)c2C1 ZINC001128580545 749105622 /nfs/dbraw/zinc/10/56/22/749105622.db2.gz CXQCCKXHBGGIDL-AWEZNQCLSA-N 1 2 320.437 1.564 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ncccn4)C[C@H]32)CCC1 ZINC001114397217 749137752 /nfs/dbraw/zinc/13/77/52/749137752.db2.gz JFQBNOAXWVVNTD-FOLVSLTJSA-N 1 2 310.401 1.217 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ncccn4)C[C@H]32)CCC1 ZINC001114397217 749137757 /nfs/dbraw/zinc/13/77/57/749137757.db2.gz JFQBNOAXWVVNTD-FOLVSLTJSA-N 1 2 310.401 1.217 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccc(C)n4)C[C@H]32)CCC1 ZINC001114397584 749138530 /nfs/dbraw/zinc/13/85/30/749138530.db2.gz PHZGEJXKMUPISY-QLPKVWCKSA-N 1 2 324.428 1.525 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccc(C)n4)C[C@H]32)CCC1 ZINC001114397584 749138536 /nfs/dbraw/zinc/13/85/36/749138536.db2.gz PHZGEJXKMUPISY-QLPKVWCKSA-N 1 2 324.428 1.525 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)/C=C\c3ccco3)nn2)C1 ZINC001107117214 749140955 /nfs/dbraw/zinc/14/09/55/749140955.db2.gz PETKAXANRQJUIB-WAYWQWQTSA-N 1 2 313.361 1.243 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)/C=C/C(C)(C)C)nn2)C1 ZINC001107146339 749400703 /nfs/dbraw/zinc/40/07/03/749400703.db2.gz FYMFKLCDDVESEK-BQYQJAHWSA-N 1 2 315.421 1.377 20 30 DDEDLO C#CCCCC(=O)NC/C=C/CNc1[nH+]cnc2c1cnn2C ZINC001107147988 749405318 /nfs/dbraw/zinc/40/53/18/749405318.db2.gz ZQBGDAJNSOOSIU-VOTSOKGWSA-N 1 2 312.377 1.251 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2nc3ncccn3n2)C1 ZINC001033520509 749465097 /nfs/dbraw/zinc/46/50/97/749465097.db2.gz FFYOPNCNVURTNS-LLVKDONJSA-N 1 2 320.784 1.023 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2nc3ncccn3n2)C1 ZINC001033520509 749465101 /nfs/dbraw/zinc/46/51/01/749465101.db2.gz FFYOPNCNVURTNS-LLVKDONJSA-N 1 2 320.784 1.023 20 30 DDEDLO N#Cc1ccc(NC/C=C\CNC(=O)CCc2[nH]cc[nH+]2)nc1 ZINC001107174007 749492958 /nfs/dbraw/zinc/49/29/58/749492958.db2.gz SUTKILYGCFHLIH-UPHRSURJSA-N 1 2 310.361 1.393 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C3(C)CC(=C)C3)nn2)C1 ZINC001107210837 749598775 /nfs/dbraw/zinc/59/87/75/749598775.db2.gz GCGSZUWMEKZTGG-UHFFFAOYSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCO[C@H](CNc3cc[nH+]c(C)n3)C2)C1 ZINC001066673277 749619687 /nfs/dbraw/zinc/61/96/87/749619687.db2.gz QKNHSHFGTSUKSH-CQSZACIVSA-N 1 2 316.405 1.781 20 30 DDEDLO Cc1nc(NC/C=C\CNC(=O)Cc2c[nH+]cn2C)ccc1C#N ZINC001107262617 749701126 /nfs/dbraw/zinc/70/11/26/749701126.db2.gz CSMNMDAHCSSDBY-ARJAWSKDSA-N 1 2 324.388 1.322 20 30 DDEDLO N#Cc1cnc(NC/C=C\CNC(=O)Cc2[nH]cc[nH+]2)c(F)c1 ZINC001107311185 749781935 /nfs/dbraw/zinc/78/19/35/749781935.db2.gz ACMLESZXWUUZEW-UPHRSURJSA-N 1 2 314.324 1.142 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)c3cc(C#N)c[nH]3)CC2)cc[nH+]1 ZINC001066734599 749849003 /nfs/dbraw/zinc/84/90/03/749849003.db2.gz OFNNIHWOMVBJIY-AWEZNQCLSA-N 1 2 324.388 1.774 20 30 DDEDLO C=CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCc3[nH]ncc31)C2 ZINC001095416352 749877042 /nfs/dbraw/zinc/87/70/42/749877042.db2.gz XUVFGHARLMRMDL-KNPMLCFXSA-N 1 2 300.406 1.737 20 30 DDEDLO C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCc3[nH]ncc31)C2 ZINC001095416352 749877050 /nfs/dbraw/zinc/87/70/50/749877050.db2.gz XUVFGHARLMRMDL-KNPMLCFXSA-N 1 2 300.406 1.737 20 30 DDEDLO N#Cc1ccc(N2CCC(NC(=O)CCc3c[nH]c[nH+]3)CC2)cn1 ZINC001095450713 749909986 /nfs/dbraw/zinc/90/99/86/749909986.db2.gz STXFHOFPEFUBJM-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCC(NC(=O)CCc3c[nH+]c[nH]3)CC2)cn1 ZINC001095450713 749909992 /nfs/dbraw/zinc/90/99/92/749909992.db2.gz STXFHOFPEFUBJM-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(C)CCC(=O)NCCCN(C)c1[nH+]cnc2c1cnn2C ZINC001095656211 750115039 /nfs/dbraw/zinc/11/50/39/750115039.db2.gz PLIWBBOZQYGYRK-UHFFFAOYSA-N 1 2 316.409 1.662 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(F)ccc(C)c2F)C1 ZINC001077620541 750135455 /nfs/dbraw/zinc/13/54/55/750135455.db2.gz QTSHUPLVWWTHCR-CHWSQXEVSA-N 1 2 308.328 1.071 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(F)ccc(C)c2F)C1 ZINC001077620541 750135459 /nfs/dbraw/zinc/13/54/59/750135459.db2.gz QTSHUPLVWWTHCR-CHWSQXEVSA-N 1 2 308.328 1.071 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2c(C)noc2C)C1 ZINC001108390043 762011390 /nfs/dbraw/zinc/01/13/90/762011390.db2.gz RODSCQZUTLJUAA-QGZVFWFLSA-N 1 2 321.421 1.617 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2c(C)noc2C)C1 ZINC001108390043 762011400 /nfs/dbraw/zinc/01/14/00/762011400.db2.gz RODSCQZUTLJUAA-QGZVFWFLSA-N 1 2 321.421 1.617 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001108382041 762016265 /nfs/dbraw/zinc/01/62/65/762016265.db2.gz HGYTWBGXFBYCOQ-QGZVFWFLSA-N 1 2 322.355 1.809 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001108382041 762016275 /nfs/dbraw/zinc/01/62/75/762016275.db2.gz HGYTWBGXFBYCOQ-QGZVFWFLSA-N 1 2 322.355 1.809 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001108382040 762016361 /nfs/dbraw/zinc/01/63/61/762016361.db2.gz HGYTWBGXFBYCOQ-KRWDZBQOSA-N 1 2 322.355 1.809 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001108382040 762016370 /nfs/dbraw/zinc/01/63/70/762016370.db2.gz HGYTWBGXFBYCOQ-KRWDZBQOSA-N 1 2 322.355 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cn[nH]c1)C2 ZINC001111123652 750379220 /nfs/dbraw/zinc/37/92/20/750379220.db2.gz CDDPYZNRLBIOLN-MCIONIFRSA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cn[nH]c1)C2 ZINC001111123652 750379227 /nfs/dbraw/zinc/37/92/27/750379227.db2.gz CDDPYZNRLBIOLN-MCIONIFRSA-N 1 2 308.813 1.816 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@H](C)CNc1cc[nH+]c(C)n1 ZINC001107864941 750479103 /nfs/dbraw/zinc/47/91/03/750479103.db2.gz JEMXUIWWKIUUPM-CYBMUJFWSA-N 1 2 308.385 1.926 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCCC[N@H+](Cc3ccon3)C2)cn1 ZINC001034492620 750480632 /nfs/dbraw/zinc/48/06/32/750480632.db2.gz GUVCTYMKWDSAEX-INIZCTEOSA-N 1 2 324.384 1.835 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCCC[N@@H+](Cc3ccon3)C2)cn1 ZINC001034492620 750480635 /nfs/dbraw/zinc/48/06/35/750480635.db2.gz GUVCTYMKWDSAEX-INIZCTEOSA-N 1 2 324.384 1.835 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032386590 750497895 /nfs/dbraw/zinc/49/78/95/750497895.db2.gz LZDUWSCSJMHEGF-YESZJQIVSA-N 1 2 313.401 1.360 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032386590 750497898 /nfs/dbraw/zinc/49/78/98/750497898.db2.gz LZDUWSCSJMHEGF-YESZJQIVSA-N 1 2 313.401 1.360 20 30 DDEDLO C[C@H](CNc1nc(C#N)c(Cl)s1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001107899049 750594519 /nfs/dbraw/zinc/59/45/19/750594519.db2.gz AGTNWILHMSWPMR-SSDOTTSWSA-N 1 2 324.797 1.551 20 30 DDEDLO C#CCCCC(=O)NC[C@@]1(C)C[N@H+](CCC(F)(F)F)CCO1 ZINC001107903287 750604014 /nfs/dbraw/zinc/60/40/14/750604014.db2.gz TVIYPGJSLPHUIQ-AWEZNQCLSA-N 1 2 320.355 1.949 20 30 DDEDLO C#CCCCC(=O)NC[C@@]1(C)C[N@@H+](CCC(F)(F)F)CCO1 ZINC001107903287 750604017 /nfs/dbraw/zinc/60/40/17/750604017.db2.gz TVIYPGJSLPHUIQ-AWEZNQCLSA-N 1 2 320.355 1.949 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)[C@@H]1CCCCO1 ZINC001032408492 750612557 /nfs/dbraw/zinc/61/25/57/750612557.db2.gz VBICJHCDWRNDFX-JYJNAYRXSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)[C@@H]1CCCCO1 ZINC001032408492 750612561 /nfs/dbraw/zinc/61/25/61/750612561.db2.gz VBICJHCDWRNDFX-JYJNAYRXSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)NC(=O)C2 ZINC001032419626 750751367 /nfs/dbraw/zinc/75/13/67/750751367.db2.gz CQJCKDNUXZDUBP-GJZGRUSLSA-N 1 2 309.369 1.103 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)NC(=O)C2 ZINC001032419626 750751371 /nfs/dbraw/zinc/75/13/71/750751371.db2.gz CQJCKDNUXZDUBP-GJZGRUSLSA-N 1 2 309.369 1.103 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)OCCCO2 ZINC001032445633 750848204 /nfs/dbraw/zinc/84/82/04/750848204.db2.gz NCNMPDZTEDUNTH-GJZGRUSLSA-N 1 2 312.369 1.380 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)OCCCO2 ZINC001032445633 750848213 /nfs/dbraw/zinc/84/82/13/750848213.db2.gz NCNMPDZTEDUNTH-GJZGRUSLSA-N 1 2 312.369 1.380 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114712970 751016081 /nfs/dbraw/zinc/01/60/81/751016081.db2.gz UORRDQQXRBTKEI-MUYACECFSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114712970 751016085 /nfs/dbraw/zinc/01/60/85/751016085.db2.gz UORRDQQXRBTKEI-MUYACECFSA-N 1 2 304.394 1.527 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114718605 751019835 /nfs/dbraw/zinc/01/98/35/751019835.db2.gz RDTWHJDCDXPOEY-HWWDLCQESA-N 1 2 312.417 1.525 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114718605 751019841 /nfs/dbraw/zinc/01/98/41/751019841.db2.gz RDTWHJDCDXPOEY-HWWDLCQESA-N 1 2 312.417 1.525 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114759864 751056164 /nfs/dbraw/zinc/05/61/64/751056164.db2.gz PROGXBAEHDIQEQ-FOCJUVANSA-N 1 2 317.437 1.831 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114759864 751056173 /nfs/dbraw/zinc/05/61/73/751056173.db2.gz PROGXBAEHDIQEQ-FOCJUVANSA-N 1 2 317.437 1.831 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)ncn1 ZINC001032516158 751165704 /nfs/dbraw/zinc/16/57/04/751165704.db2.gz JNAIWXCBVVSYMN-UWVGGRQHSA-N 1 2 312.295 1.580 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)ncn1 ZINC001032516158 751165709 /nfs/dbraw/zinc/16/57/09/751165709.db2.gz JNAIWXCBVVSYMN-UWVGGRQHSA-N 1 2 312.295 1.580 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)CCCn1cc[nH+]c1 ZINC001108420678 762119549 /nfs/dbraw/zinc/11/95/49/762119549.db2.gz FHYBPLJFQSFTCB-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(C(CC)CC)n1 ZINC001032665790 752672004 /nfs/dbraw/zinc/67/20/04/752672004.db2.gz GHBWRBXJLURXMN-GJZGRUSLSA-N 1 2 300.406 1.776 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(C(CC)CC)n1 ZINC001032665790 752672006 /nfs/dbraw/zinc/67/20/06/752672006.db2.gz GHBWRBXJLURXMN-GJZGRUSLSA-N 1 2 300.406 1.776 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCCCCOCC)C1 ZINC001108430160 762148564 /nfs/dbraw/zinc/14/85/64/762148564.db2.gz XCAUHNFWEJHIKO-GOSISDBHSA-N 1 2 324.465 1.814 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCCCCOCC)C1 ZINC001108430160 762148569 /nfs/dbraw/zinc/14/85/69/762148569.db2.gz XCAUHNFWEJHIKO-GOSISDBHSA-N 1 2 324.465 1.814 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2ccnc(OC)c2)C1 ZINC001008542315 752790802 /nfs/dbraw/zinc/79/08/02/752790802.db2.gz SNGVLZOBSARLDY-CQSZACIVSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2ccnc(OC)c2)C1 ZINC001008542315 752790808 /nfs/dbraw/zinc/79/08/08/752790808.db2.gz SNGVLZOBSARLDY-CQSZACIVSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2ccnc(OC)c2)C1 ZINC001008542308 752791592 /nfs/dbraw/zinc/79/15/92/752791592.db2.gz SNGVLZOBSARLDY-AWEZNQCLSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2ccnc(OC)c2)C1 ZINC001008542308 752791593 /nfs/dbraw/zinc/79/15/93/752791593.db2.gz SNGVLZOBSARLDY-AWEZNQCLSA-N 1 2 323.824 1.966 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cncc(C(F)(F)F)c1 ZINC001032714316 752875240 /nfs/dbraw/zinc/87/52/40/752875240.db2.gz XKYIVQKFHWBOGP-STQMWFEESA-N 1 2 309.291 1.632 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cncc(C(F)(F)F)c1 ZINC001032714316 752875246 /nfs/dbraw/zinc/87/52/46/752875246.db2.gz XKYIVQKFHWBOGP-STQMWFEESA-N 1 2 309.291 1.632 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(F)c(F)c2)C1 ZINC001108165323 752921799 /nfs/dbraw/zinc/92/17/99/752921799.db2.gz DOOJAHRKIBOAMM-QGZVFWFLSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(F)c(F)c2)C1 ZINC001108165323 752921804 /nfs/dbraw/zinc/92/18/04/752921804.db2.gz DOOJAHRKIBOAMM-QGZVFWFLSA-N 1 2 324.371 1.900 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C2CCCCC2)c1 ZINC001032717937 753073326 /nfs/dbraw/zinc/07/33/26/753073326.db2.gz ZOBTVLGKQONXIT-IRXDYDNUSA-N 1 2 312.417 1.920 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C2CCCCC2)c1 ZINC001032717937 753073328 /nfs/dbraw/zinc/07/33/28/753073328.db2.gz ZOBTVLGKQONXIT-IRXDYDNUSA-N 1 2 312.417 1.920 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnc(C)o3)C2)nc1 ZINC001009269860 753135241 /nfs/dbraw/zinc/13/52/41/753135241.db2.gz QNQUEQKDOGVPJS-OAHLLOKOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3cnc(C)o3)C2)nc1 ZINC001009269860 753135247 /nfs/dbraw/zinc/13/52/47/753135247.db2.gz QNQUEQKDOGVPJS-OAHLLOKOSA-N 1 2 324.384 1.754 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(OC)cc2Cl)C1 ZINC001077854609 753325839 /nfs/dbraw/zinc/32/58/39/753325839.db2.gz SXTBTXFPMFFPHS-HUUCEWRRSA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(OC)cc2Cl)C1 ZINC001077854609 753325844 /nfs/dbraw/zinc/32/58/44/753325844.db2.gz SXTBTXFPMFFPHS-HUUCEWRRSA-N 1 2 322.792 1.147 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H](NC(=O)C(C)(F)F)C2)cc1C#N ZINC001009846352 753407204 /nfs/dbraw/zinc/40/72/04/753407204.db2.gz BWVKVYQULCYWQL-CYBMUJFWSA-N 1 2 323.343 1.913 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H](NC(=O)C(C)(F)F)C2)cc1C#N ZINC001009846352 753407211 /nfs/dbraw/zinc/40/72/11/753407211.db2.gz BWVKVYQULCYWQL-CYBMUJFWSA-N 1 2 323.343 1.913 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001077929073 753437155 /nfs/dbraw/zinc/43/71/55/753437155.db2.gz YGFVEZKADSUBRA-KGLIPLIRSA-N 1 2 306.410 1.496 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2ccncc2)C1 ZINC001009899228 753447131 /nfs/dbraw/zinc/44/71/31/753447131.db2.gz LHBLODIIZMLFCF-QGZVFWFLSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2ccncc2)C1 ZINC001009899228 753447135 /nfs/dbraw/zinc/44/71/35/753447135.db2.gz LHBLODIIZMLFCF-QGZVFWFLSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060913686 753454339 /nfs/dbraw/zinc/45/43/39/753454339.db2.gz MHPAZUHQIURCEU-LBPRGKRZSA-N 1 2 310.361 1.179 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(O[C@@H](C)CC)cc2)C1 ZINC001077958967 753509723 /nfs/dbraw/zinc/50/97/23/753509723.db2.gz WBIDZFHHLOSCTD-IAOVAPTHSA-N 1 2 316.401 1.272 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(O[C@@H](C)CC)cc2)C1 ZINC001077958967 753509728 /nfs/dbraw/zinc/50/97/28/753509728.db2.gz WBIDZFHHLOSCTD-IAOVAPTHSA-N 1 2 316.401 1.272 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001108018129 753524297 /nfs/dbraw/zinc/52/42/97/753524297.db2.gz AZRVYHZFLGNXGL-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001108018129 753524299 /nfs/dbraw/zinc/52/42/99/753524299.db2.gz AZRVYHZFLGNXGL-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ccncc1C#N ZINC001039818649 762228684 /nfs/dbraw/zinc/22/86/84/762228684.db2.gz PJONLHCEDUMLJS-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ccc(C#N)nc1 ZINC001039819523 762229549 /nfs/dbraw/zinc/22/95/49/762229549.db2.gz VPAWJIRGARFGMA-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(F)F)cc2F)[C@@H](O)C1 ZINC001083890853 753665379 /nfs/dbraw/zinc/66/53/79/753665379.db2.gz PVXRVTLITCHSBO-OLZOCXBDSA-N 1 2 312.291 1.171 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(F)F)cc2F)[C@@H](O)C1 ZINC001083890853 753665380 /nfs/dbraw/zinc/66/53/80/753665380.db2.gz PVXRVTLITCHSBO-OLZOCXBDSA-N 1 2 312.291 1.171 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CC23CCOCC3)C1 ZINC001108447887 762234574 /nfs/dbraw/zinc/23/45/74/762234574.db2.gz YOUDTRKEJJEEPZ-ZBFHGGJFSA-N 1 2 308.422 1.196 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CC23CCOCC3)C1 ZINC001108447887 762234579 /nfs/dbraw/zinc/23/45/79/762234579.db2.gz YOUDTRKEJJEEPZ-ZBFHGGJFSA-N 1 2 308.422 1.196 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cn[nH]c3)C2)cc1F ZINC001010303222 753749008 /nfs/dbraw/zinc/74/90/08/753749008.db2.gz PRDGIWZGQAPYGH-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cn[nH]c3)C2)cc1F ZINC001010303222 753749014 /nfs/dbraw/zinc/74/90/14/753749014.db2.gz PRDGIWZGQAPYGH-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2scnc2Cl)C1 ZINC001078187099 753825693 /nfs/dbraw/zinc/82/56/93/753825693.db2.gz UODKKHKCKTXZMO-RKDXNWHRSA-N 1 2 301.799 1.148 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2scnc2Cl)C1 ZINC001078187099 753825704 /nfs/dbraw/zinc/82/57/04/753825704.db2.gz UODKKHKCKTXZMO-RKDXNWHRSA-N 1 2 301.799 1.148 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001108033976 753852049 /nfs/dbraw/zinc/85/20/49/753852049.db2.gz QLDNWARCKLQXPR-RHSMWYFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001108033976 753852056 /nfs/dbraw/zinc/85/20/56/753852056.db2.gz QLDNWARCKLQXPR-RHSMWYFYSA-N 1 2 318.421 1.154 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2ccc3cc(C#N)c(C#N)cc3c2)C[NH2+]1 ZINC001169137110 762251654 /nfs/dbraw/zinc/25/16/54/762251654.db2.gz VJLQZOFAUGCWCT-SJORKVTESA-N 1 2 320.352 1.899 20 30 DDEDLO Cc1nc(N2CC[C@H](N(C)C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001063046056 753938545 /nfs/dbraw/zinc/93/85/45/753938545.db2.gz QSKJGLXVHVVBDN-HNNXBMFYSA-N 1 2 324.388 1.265 20 30 DDEDLO Cc1cc(CC(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)n[nH]1 ZINC001010515718 753985351 /nfs/dbraw/zinc/98/53/51/753985351.db2.gz FRJWAENNBPCRKO-KRWDZBQOSA-N 1 2 322.412 1.503 20 30 DDEDLO Cc1cc(CC(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n[nH]1 ZINC001010515718 753985358 /nfs/dbraw/zinc/98/53/58/753985358.db2.gz FRJWAENNBPCRKO-KRWDZBQOSA-N 1 2 322.412 1.503 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001010654347 754082450 /nfs/dbraw/zinc/08/24/50/754082450.db2.gz FSLNDBQWOPLIST-NSHDSACASA-N 1 2 316.390 1.051 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001010654347 754082456 /nfs/dbraw/zinc/08/24/56/754082456.db2.gz FSLNDBQWOPLIST-NSHDSACASA-N 1 2 316.390 1.051 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001063376596 754124421 /nfs/dbraw/zinc/12/44/21/754124421.db2.gz PETXVUHTMZHZJL-SHTZXODSSA-N 1 2 324.388 1.689 20 30 DDEDLO N#Cc1ccc(N[C@H]2CC[C@H](NC(=O)Cc3c[nH]c[nH+]3)CC2)nc1 ZINC001063406443 754130846 /nfs/dbraw/zinc/13/08/46/754130846.db2.gz FYASJGVISOTAGW-HDJSIYSDSA-N 1 2 324.388 1.758 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](OC)c1ccc(F)cc1 ZINC001032810588 754192211 /nfs/dbraw/zinc/19/22/11/754192211.db2.gz HFOZVEJASSZCLY-JYJNAYRXSA-N 1 2 302.349 1.432 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](OC)c1ccc(F)cc1 ZINC001032810588 754192215 /nfs/dbraw/zinc/19/22/15/754192215.db2.gz HFOZVEJASSZCLY-JYJNAYRXSA-N 1 2 302.349 1.432 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)CC(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129301543 754229517 /nfs/dbraw/zinc/22/95/17/754229517.db2.gz UQZFQDADUSBYJN-ZNMIVQPWSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)CC(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129301543 754229520 /nfs/dbraw/zinc/22/95/20/754229520.db2.gz UQZFQDADUSBYJN-ZNMIVQPWSA-N 1 2 317.437 1.325 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064069537 754481328 /nfs/dbraw/zinc/48/13/28/754481328.db2.gz WAILMJUNEVYVSB-STQMWFEESA-N 1 2 318.421 1.566 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064072034 754484154 /nfs/dbraw/zinc/48/41/54/754484154.db2.gz OJNHSUZJJCGSMU-QWHCGFSZSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064109384 754518155 /nfs/dbraw/zinc/51/81/55/754518155.db2.gz LCWWGMQOICEIBW-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001064111966 754520176 /nfs/dbraw/zinc/52/01/76/754520176.db2.gz DRABAQIDNHBWLU-UONOGXRCSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCC[C@@H]2NC(=O)Cc2c[nH]c[nH+]2)cn1 ZINC001064141342 754538415 /nfs/dbraw/zinc/53/84/15/754538415.db2.gz SATNALOAHSZLSL-LRDDRELGSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1(NC(=O)C[C@H](C)n2cc[nH+]c2)CCC1 ZINC001064309437 754606855 /nfs/dbraw/zinc/60/68/55/754606855.db2.gz WIWNSFKRWMOMQW-STQMWFEESA-N 1 2 317.393 1.149 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)nnc2C)[C@H](O)C1 ZINC001090291851 754772752 /nfs/dbraw/zinc/77/27/52/754772752.db2.gz KFMFISNFRVROCX-UONOGXRCSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)nnc2C)[C@H](O)C1 ZINC001090291851 754772754 /nfs/dbraw/zinc/77/27/54/754772754.db2.gz KFMFISNFRVROCX-UONOGXRCSA-N 1 2 324.812 1.011 20 30 DDEDLO C=CC(C)(C)CC(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001079845961 755606384 /nfs/dbraw/zinc/60/63/84/755606384.db2.gz UDZINOSGHWZPKX-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080291815 755830367 /nfs/dbraw/zinc/83/03/67/755830367.db2.gz UMHUKCXJKGQPLY-STQMWFEESA-N 1 2 318.421 1.470 20 30 DDEDLO C=C1CC(C)(C(=O)N(CC)C2CN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001080500638 755959547 /nfs/dbraw/zinc/95/95/47/755959547.db2.gz ZFTZJNGBZLMSOF-UHFFFAOYSA-N 1 2 316.405 1.368 20 30 DDEDLO COC1CC(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)C1 ZINC001014853093 755980270 /nfs/dbraw/zinc/98/02/70/755980270.db2.gz ZEFMLHINFQVVSQ-CGZBRXJRSA-N 1 2 313.401 1.674 20 30 DDEDLO COC1CC(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)C1 ZINC001014853093 755980273 /nfs/dbraw/zinc/98/02/73/755980273.db2.gz ZEFMLHINFQVVSQ-CGZBRXJRSA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@@H](CNc1cccc(F)c1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001108485552 762435216 /nfs/dbraw/zinc/43/52/16/762435216.db2.gz BPBCOPCXUGFJQA-JTQLQIEISA-N 1 2 301.325 1.580 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001080721372 756080566 /nfs/dbraw/zinc/08/05/66/756080566.db2.gz OKYUHNOZSHJFMV-BXKDBHETSA-N 1 2 310.785 1.288 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001080721372 756080568 /nfs/dbraw/zinc/08/05/68/756080568.db2.gz OKYUHNOZSHJFMV-BXKDBHETSA-N 1 2 310.785 1.288 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1csnn1 ZINC001015424804 756294308 /nfs/dbraw/zinc/29/43/08/756294308.db2.gz ORVJMZINAGIPJL-AWEZNQCLSA-N 1 2 312.398 1.394 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1csnn1 ZINC001015424804 756294311 /nfs/dbraw/zinc/29/43/11/756294311.db2.gz ORVJMZINAGIPJL-AWEZNQCLSA-N 1 2 312.398 1.394 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015460933 756317383 /nfs/dbraw/zinc/31/73/83/756317383.db2.gz YOQZKQNZOUGTDB-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015460933 756317388 /nfs/dbraw/zinc/31/73/88/756317388.db2.gz YOQZKQNZOUGTDB-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cnn(C)c1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015568510 756380098 /nfs/dbraw/zinc/38/00/98/756380098.db2.gz LNUWPHNEUKXEAX-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cnn(C)c1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015568510 756380100 /nfs/dbraw/zinc/38/01/00/756380100.db2.gz LNUWPHNEUKXEAX-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001015812225 756567771 /nfs/dbraw/zinc/56/77/71/756567771.db2.gz ANPHBHDXCXDGTK-QWHCGFSZSA-N 1 2 319.430 1.822 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001015812225 756567775 /nfs/dbraw/zinc/56/77/75/756567775.db2.gz ANPHBHDXCXDGTK-QWHCGFSZSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001015813729 756568335 /nfs/dbraw/zinc/56/83/35/756568335.db2.gz XVAGCUKBRRNONL-NWDGAFQWSA-N 1 2 305.403 1.432 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001015813729 756568337 /nfs/dbraw/zinc/56/83/37/756568337.db2.gz XVAGCUKBRRNONL-NWDGAFQWSA-N 1 2 305.403 1.432 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@H]1Nc1ncccc1C#N ZINC001067122917 756585817 /nfs/dbraw/zinc/58/58/17/756585817.db2.gz MFJIAAMAFLCWSO-SWLSCSKDSA-N 1 2 324.388 1.188 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@H]1Nc1ccc(C#N)nc1 ZINC001067122958 756585880 /nfs/dbraw/zinc/58/58/80/756585880.db2.gz MYYHTVUCUBEUIX-BLLLJJGKSA-N 1 2 324.388 1.188 20 30 DDEDLO Cc1conc1C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001015951027 756673345 /nfs/dbraw/zinc/67/33/45/756673345.db2.gz JTPXAHMTNCUVEL-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1conc1C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001015951027 756673348 /nfs/dbraw/zinc/67/33/48/756673348.db2.gz JTPXAHMTNCUVEL-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2coc(C3CCC3)n2)[C@H](OC)C1 ZINC001082310661 756730122 /nfs/dbraw/zinc/73/01/22/756730122.db2.gz YKCZKVGJRYQCQN-TZMCWYRMSA-N 1 2 303.362 1.004 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2coc(C3CCC3)n2)[C@H](OC)C1 ZINC001082310661 756730126 /nfs/dbraw/zinc/73/01/26/756730126.db2.gz YKCZKVGJRYQCQN-TZMCWYRMSA-N 1 2 303.362 1.004 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cncs3)C2)nc1 ZINC001016028462 756731859 /nfs/dbraw/zinc/73/18/59/756731859.db2.gz YLBGHBFITBLYDR-CYBMUJFWSA-N 1 2 312.398 1.524 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cncs3)C2)nc1 ZINC001016028462 756731861 /nfs/dbraw/zinc/73/18/61/756731861.db2.gz YLBGHBFITBLYDR-CYBMUJFWSA-N 1 2 312.398 1.524 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCC(F)(F)F)[C@H](O)C1 ZINC001099757864 756874418 /nfs/dbraw/zinc/87/44/18/756874418.db2.gz KTZHQCQPWJMIRL-VHSXEESVSA-N 1 2 314.735 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCC(F)(F)F)[C@H](O)C1 ZINC001099757864 756874425 /nfs/dbraw/zinc/87/44/25/756874425.db2.gz KTZHQCQPWJMIRL-VHSXEESVSA-N 1 2 314.735 1.633 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2sc(Cl)nc2Cl)C1 ZINC001016266969 756889195 /nfs/dbraw/zinc/88/91/95/756889195.db2.gz ANHTXDHWHCMHPL-ZETCQYMHSA-N 1 2 304.202 1.887 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2sc(Cl)nc2Cl)C1 ZINC001016266969 756889200 /nfs/dbraw/zinc/88/92/00/756889200.db2.gz ANHTXDHWHCMHPL-ZETCQYMHSA-N 1 2 304.202 1.887 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)o3)[C@H]2C1 ZINC001083001687 757036596 /nfs/dbraw/zinc/03/65/96/757036596.db2.gz ZQFFAUYTLNMEAT-WCQYABFASA-N 1 2 308.765 1.482 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)o3)[C@H]2C1 ZINC001083001687 757036604 /nfs/dbraw/zinc/03/66/04/757036604.db2.gz ZQFFAUYTLNMEAT-WCQYABFASA-N 1 2 308.765 1.482 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCC(=O)N1)C2 ZINC001097290380 757076286 /nfs/dbraw/zinc/07/62/86/757076286.db2.gz UCELCPKFZNLMBR-LPWJVIDDSA-N 1 2 311.813 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCC(=O)N1)C2 ZINC001097290380 757076293 /nfs/dbraw/zinc/07/62/93/757076293.db2.gz UCELCPKFZNLMBR-LPWJVIDDSA-N 1 2 311.813 1.129 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001097348202 757101575 /nfs/dbraw/zinc/10/15/75/757101575.db2.gz ATHOROKVQMPDBI-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccoc3CC)[C@H]2C1 ZINC001083067807 757115140 /nfs/dbraw/zinc/11/51/40/757115140.db2.gz DZSKKIMWHWQASJ-GOEBONIOSA-N 1 2 302.374 1.391 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccoc3CC)[C@H]2C1 ZINC001083067807 757115142 /nfs/dbraw/zinc/11/51/42/757115142.db2.gz DZSKKIMWHWQASJ-GOEBONIOSA-N 1 2 302.374 1.391 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccccc3CC)[C@H]2C1 ZINC001083178534 757182424 /nfs/dbraw/zinc/18/24/24/757182424.db2.gz ZIMMWEDCNDJHMK-ZWKOTPCHSA-N 1 2 312.413 1.798 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccccc3CC)[C@H]2C1 ZINC001083178534 757182429 /nfs/dbraw/zinc/18/24/29/757182429.db2.gz ZIMMWEDCNDJHMK-ZWKOTPCHSA-N 1 2 312.413 1.798 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(C)c2Cl)[C@@H](O)C1 ZINC001083951695 757198445 /nfs/dbraw/zinc/19/84/45/757198445.db2.gz JUXVGTNYEDFXQJ-CABCVRRESA-N 1 2 320.820 1.755 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)c(C)c2Cl)[C@@H](O)C1 ZINC001083951695 757198448 /nfs/dbraw/zinc/19/84/48/757198448.db2.gz JUXVGTNYEDFXQJ-CABCVRRESA-N 1 2 320.820 1.755 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083981846 757204449 /nfs/dbraw/zinc/20/44/49/757204449.db2.gz MXLNOBIOLFHIHK-CVEARBPZSA-N 1 2 300.402 1.246 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083981846 757204455 /nfs/dbraw/zinc/20/44/55/757204455.db2.gz MXLNOBIOLFHIHK-CVEARBPZSA-N 1 2 300.402 1.246 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(Cl)c2)[C@@H](O)C1 ZINC001083985945 757208871 /nfs/dbraw/zinc/20/88/71/757208871.db2.gz XRPPFAOEJBZJRE-OLZOCXBDSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(Cl)c2)[C@@H](O)C1 ZINC001083985945 757208878 /nfs/dbraw/zinc/20/88/78/757208878.db2.gz XRPPFAOEJBZJRE-OLZOCXBDSA-N 1 2 313.184 1.402 20 30 DDEDLO CCn1ncnc1C[N@H+](C)C[C@@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001017077547 757620314 /nfs/dbraw/zinc/62/03/14/757620314.db2.gz OAFPTFDSTBZTKD-KGLIPLIRSA-N 1 2 318.425 1.128 20 30 DDEDLO CCn1ncnc1C[N@@H+](C)C[C@@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001017077547 757620319 /nfs/dbraw/zinc/62/03/19/757620319.db2.gz OAFPTFDSTBZTKD-KGLIPLIRSA-N 1 2 318.425 1.128 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)c2ncn[nH]2)CC1 ZINC001052800029 757781116 /nfs/dbraw/zinc/78/11/16/757781116.db2.gz AXJJLNRQAAFGBK-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)c2ncn[nH]2)CC1 ZINC001052800029 757781124 /nfs/dbraw/zinc/78/11/24/757781124.db2.gz AXJJLNRQAAFGBK-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)c2nc[nH]n2)CC1 ZINC001052800029 757781132 /nfs/dbraw/zinc/78/11/32/757781132.db2.gz AXJJLNRQAAFGBK-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)c2nc[nH]n2)CC1 ZINC001052800029 757781137 /nfs/dbraw/zinc/78/11/37/757781137.db2.gz AXJJLNRQAAFGBK-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001052867333 757902776 /nfs/dbraw/zinc/90/27/76/757902776.db2.gz MUTNJQGUKRQZLP-KBPBESRZSA-N 1 2 318.421 1.306 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)/C(C)=C/C)C1=O ZINC001017458208 757947831 /nfs/dbraw/zinc/94/78/31/757947831.db2.gz FFIGOERVFGOIJU-OEJKPDTDSA-N 1 2 317.433 1.415 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)/C(C)=C/C)C1=O ZINC001017458208 757947838 /nfs/dbraw/zinc/94/78/38/757947838.db2.gz FFIGOERVFGOIJU-OEJKPDTDSA-N 1 2 317.433 1.415 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCCN1C(=O)CC ZINC001017582170 758048848 /nfs/dbraw/zinc/04/88/48/758048848.db2.gz IRJAJGRNJYZDDG-XHSDSOJGSA-N 1 2 317.433 1.086 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCCN1C(=O)CC ZINC001017582170 758048858 /nfs/dbraw/zinc/04/88/58/758048858.db2.gz IRJAJGRNJYZDDG-XHSDSOJGSA-N 1 2 317.433 1.086 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn2c(c1C)OCCC2 ZINC001017602519 758070327 /nfs/dbraw/zinc/07/03/27/758070327.db2.gz WNQXZEBXIYOKNP-OKILXGFUSA-N 1 2 316.405 1.449 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn2c(c1C)OCCC2 ZINC001017602519 758070337 /nfs/dbraw/zinc/07/03/37/758070337.db2.gz WNQXZEBXIYOKNP-OKILXGFUSA-N 1 2 316.405 1.449 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2ccnn21 ZINC001017611230 758078545 /nfs/dbraw/zinc/07/85/45/758078545.db2.gz OQPUTSNVBUUEQL-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2ccnn21 ZINC001017611230 758078551 /nfs/dbraw/zinc/07/85/51/758078551.db2.gz OQPUTSNVBUUEQL-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)/C=C/C(C)(C)C)CC2=O)C1 ZINC001108525151 762599315 /nfs/dbraw/zinc/59/93/15/762599315.db2.gz HXPZKJBLNYHWSO-PSKZRQQASA-N 1 2 319.449 1.566 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(CCOC)CC2)[C@@H](O)C1 ZINC001099871239 758168822 /nfs/dbraw/zinc/16/88/22/758168822.db2.gz AUEINUSGUWPWHK-STQMWFEESA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(CCOC)CC2)[C@@H](O)C1 ZINC001099871239 758168826 /nfs/dbraw/zinc/16/88/26/758168826.db2.gz AUEINUSGUWPWHK-STQMWFEESA-N 1 2 316.829 1.107 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(F)nc1)CCO2 ZINC001053193574 758250590 /nfs/dbraw/zinc/25/05/90/758250590.db2.gz PAHCHOZQVKIKMM-UHFFFAOYSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c(C)coc1C)CCO2 ZINC001053242566 758299904 /nfs/dbraw/zinc/29/99/04/758299904.db2.gz HUVPRBMHGPEOOJ-UHFFFAOYSA-N 1 2 304.390 1.999 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCOC2(C[NH+](C[C@@H](C)OC)C2)C1 ZINC001053408944 758439342 /nfs/dbraw/zinc/43/93/42/758439342.db2.gz QEUYWDUANWBNNH-ZBFHGGJFSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn(C)c(C)c1Cl ZINC001018006906 758467696 /nfs/dbraw/zinc/46/76/96/758467696.db2.gz GPJSPLGIZKCSSM-TXEJJXNPSA-N 1 2 308.813 1.857 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn(C)c(C)c1Cl ZINC001018006906 758467700 /nfs/dbraw/zinc/46/77/00/758467700.db2.gz GPJSPLGIZKCSSM-TXEJJXNPSA-N 1 2 308.813 1.857 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C#N)c[nH]1)O2 ZINC001053588511 758604859 /nfs/dbraw/zinc/60/48/59/758604859.db2.gz WSFUAVJNVZZCHN-CYBMUJFWSA-N 1 2 300.362 1.036 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Oc1ccccn1 ZINC001018182218 758609439 /nfs/dbraw/zinc/60/94/39/758609439.db2.gz BTCTXZQIXUNUHY-HRCADAONSA-N 1 2 313.401 1.547 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Oc1ccccn1 ZINC001018182218 758609446 /nfs/dbraw/zinc/60/94/46/758609446.db2.gz BTCTXZQIXUNUHY-HRCADAONSA-N 1 2 313.401 1.547 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnn(C)c1Cl)O2 ZINC001053618917 758636799 /nfs/dbraw/zinc/63/67/99/758636799.db2.gz GEHMZXTUNPWVAG-NSHDSACASA-N 1 2 324.812 1.223 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccnc(OC)c1)O2 ZINC001053621911 758640211 /nfs/dbraw/zinc/64/02/11/758640211.db2.gz LILDBBDHLYBMKL-AWEZNQCLSA-N 1 2 317.389 1.239 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(C)n[nH]c1C)O2 ZINC001053680858 758690958 /nfs/dbraw/zinc/69/09/58/758690958.db2.gz AQDRDUCZGQKGLJ-CQSZACIVSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(CC)on1)O2 ZINC001053690143 758698896 /nfs/dbraw/zinc/69/88/96/758698896.db2.gz FFHOAWYLPRLXIN-ZDUSSCGKSA-N 1 2 305.378 1.386 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2cc(C)c(C)cc2O1 ZINC001018287437 758700658 /nfs/dbraw/zinc/70/06/58/758700658.db2.gz BLSCXPNLGFZNIM-SCTDSRPQSA-N 1 2 324.424 1.915 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2cc(C)c(C)cc2O1 ZINC001018287437 758700664 /nfs/dbraw/zinc/70/06/64/758700664.db2.gz BLSCXPNLGFZNIM-SCTDSRPQSA-N 1 2 324.424 1.915 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(C)nsc1C)O2 ZINC001053701952 758711002 /nfs/dbraw/zinc/71/10/02/758711002.db2.gz JYWNNUMNSZOOPX-CYBMUJFWSA-N 1 2 321.446 1.909 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2cccc(C)c2O1 ZINC001018354083 758753247 /nfs/dbraw/zinc/75/32/47/758753247.db2.gz OXPZAQVJIPGULG-FGTMMUONSA-N 1 2 324.424 1.997 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2cccc(C)c2O1 ZINC001018354083 758753254 /nfs/dbraw/zinc/75/32/54/758753254.db2.gz OXPZAQVJIPGULG-FGTMMUONSA-N 1 2 324.424 1.997 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C=C1CCCCC1)CO2 ZINC001053751557 758769098 /nfs/dbraw/zinc/76/90/98/758769098.db2.gz LJVUPHAHNJRUFO-INIZCTEOSA-N 1 2 302.418 1.860 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(F)c(F)c1)CO2 ZINC001053830198 758860767 /nfs/dbraw/zinc/86/07/67/758860767.db2.gz UEKWZENQCLBSHL-CYBMUJFWSA-N 1 2 320.339 1.561 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)CC1CC(F)(F)C1)CO2 ZINC001053850707 758883023 /nfs/dbraw/zinc/88/30/23/758883023.db2.gz CRHRWCBZRMCAKH-ZDUSSCGKSA-N 1 2 314.376 1.957 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@H]1C(C)(C)C)CO2 ZINC001053868995 758901728 /nfs/dbraw/zinc/90/17/28/758901728.db2.gz AJMBDDKTDJMEHK-RRFJBIMHSA-N 1 2 304.434 1.651 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccn(C)c1CC)CO2 ZINC001053926437 758962759 /nfs/dbraw/zinc/96/27/59/758962759.db2.gz FPYVIHCUCSRZMY-AWEZNQCLSA-N 1 2 315.417 1.184 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnoc1CC)CO2 ZINC001053943271 758978791 /nfs/dbraw/zinc/97/87/91/758978791.db2.gz BZBKCCAKRZOWBX-GFCCVEGCSA-N 1 2 305.378 1.386 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccn(CC)n1)CO2 ZINC001053945677 758981582 /nfs/dbraw/zinc/98/15/82/758981582.db2.gz DIAHNBAJQPQFER-CYBMUJFWSA-N 1 2 304.394 1.052 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cn(C)nc1Cl)CO2 ZINC001053979648 759018466 /nfs/dbraw/zinc/01/84/66/759018466.db2.gz VKIYEDCMHUTZTO-NSHDSACASA-N 1 2 324.812 1.223 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1cccc(F)c1)CO2 ZINC001054001107 759047117 /nfs/dbraw/zinc/04/71/17/759047117.db2.gz PVWFTJCKPKUZKK-MRXNPFEDSA-N 1 2 318.392 1.904 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1sc(C)nc1C)CO2 ZINC001054002093 759048554 /nfs/dbraw/zinc/04/85/54/759048554.db2.gz QMOCAPNBGJBQQB-ZDUSSCGKSA-N 1 2 319.430 1.356 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cn(C)nc1C ZINC001054034645 759089635 /nfs/dbraw/zinc/08/96/35/759089635.db2.gz VCDBHSOTGPZGIP-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cn(C)nc1C ZINC001054034645 759089642 /nfs/dbraw/zinc/08/96/42/759089642.db2.gz VCDBHSOTGPZGIP-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccn(C)n1 ZINC001054060629 759113756 /nfs/dbraw/zinc/11/37/56/759113756.db2.gz PMWORMVAMQZWTJ-MRXNPFEDSA-N 1 2 308.385 1.210 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccn(C)n1 ZINC001054060629 759113761 /nfs/dbraw/zinc/11/37/61/759113761.db2.gz PMWORMVAMQZWTJ-MRXNPFEDSA-N 1 2 308.385 1.210 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccc(=O)[nH]n3)cc2C1 ZINC001054253638 759347969 /nfs/dbraw/zinc/34/79/69/759347969.db2.gz FQJYMYWGLJFZDM-UHFFFAOYSA-N 1 2 308.341 1.061 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccc(=O)[nH]n3)cc2C1 ZINC001054253638 759347983 /nfs/dbraw/zinc/34/79/83/759347983.db2.gz FQJYMYWGLJFZDM-UHFFFAOYSA-N 1 2 308.341 1.061 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccnnc3C)cc2C1 ZINC001054272934 759379379 /nfs/dbraw/zinc/37/93/79/759379379.db2.gz LDUSHAUUMUVHAX-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccnnc3C)cc2C1 ZINC001054272934 759379391 /nfs/dbraw/zinc/37/93/91/759379391.db2.gz LDUSHAUUMUVHAX-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cc(CC)nn2C)C1 ZINC001046730940 767862019 /nfs/dbraw/zinc/86/20/19/767862019.db2.gz QZBBYQNWMPWUCE-HNNXBMFYSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cc(CC)nn2C)C1 ZINC001046730940 767862027 /nfs/dbraw/zinc/86/20/27/767862027.db2.gz QZBBYQNWMPWUCE-HNNXBMFYSA-N 1 2 310.829 1.929 20 30 DDEDLO Cc1cc(N[C@H]2C[C@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001069138972 767875522 /nfs/dbraw/zinc/87/55/22/767875522.db2.gz ZMPNAJSZRHAAAB-JSGCOSHPSA-N 1 2 324.388 1.051 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@](C)(NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001046744328 767878565 /nfs/dbraw/zinc/87/85/65/767878565.db2.gz WCMDMEZSXOHNPA-SCLBCKFNSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001046744328 767878573 /nfs/dbraw/zinc/87/85/73/767878573.db2.gz WCMDMEZSXOHNPA-SCLBCKFNSA-N 1 2 314.433 1.752 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC001019236804 759707237 /nfs/dbraw/zinc/70/72/37/759707237.db2.gz DDWBJBQBQMDSMD-ZDUSSCGKSA-N 1 2 318.421 1.925 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc(OC(C)C)cn1 ZINC001085595783 759797857 /nfs/dbraw/zinc/79/78/57/759797857.db2.gz MSSOFIXDINCYQJ-ZDUSSCGKSA-N 1 2 302.378 1.043 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc(OC(C)C)cn1 ZINC001085595783 759797861 /nfs/dbraw/zinc/79/78/61/759797861.db2.gz MSSOFIXDINCYQJ-ZDUSSCGKSA-N 1 2 302.378 1.043 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([NH2+][C@@H](C)c3nnc(C)o3)C2)C1 ZINC001019352382 759838981 /nfs/dbraw/zinc/83/89/81/759838981.db2.gz OXNPSLBKNLVSCH-AAEUAGOBSA-N 1 2 304.394 1.986 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]([NH2+]Cc3ncc(CC)o3)C2)c1 ZINC001019356838 759846210 /nfs/dbraw/zinc/84/62/10/759846210.db2.gz INYPDYAOMALRJD-HNNXBMFYSA-N 1 2 324.384 1.618 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)ncn1 ZINC001085720389 760104420 /nfs/dbraw/zinc/10/44/20/760104420.db2.gz TYZNAFIZBZDGKM-JTQLQIEISA-N 1 2 312.295 1.275 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)ncn1 ZINC001085720389 760104425 /nfs/dbraw/zinc/10/44/25/760104425.db2.gz TYZNAFIZBZDGKM-JTQLQIEISA-N 1 2 312.295 1.275 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1onc2c1CCCC2 ZINC001085719695 760104532 /nfs/dbraw/zinc/10/45/32/760104532.db2.gz AWJXJCUDNCQWEN-CYBMUJFWSA-N 1 2 301.390 1.723 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1onc2c1CCCC2 ZINC001085719695 760104535 /nfs/dbraw/zinc/10/45/35/760104535.db2.gz AWJXJCUDNCQWEN-CYBMUJFWSA-N 1 2 301.390 1.723 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(F)ccc(F)c1OC ZINC001085759178 760182514 /nfs/dbraw/zinc/18/25/14/760182514.db2.gz AKGKDRQJIKBSMS-LLVKDONJSA-N 1 2 308.328 1.753 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(F)ccc(F)c1OC ZINC001085759178 760182517 /nfs/dbraw/zinc/18/25/17/760182517.db2.gz AKGKDRQJIKBSMS-LLVKDONJSA-N 1 2 308.328 1.753 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncoc1[C@H]1CCCO1 ZINC001085764024 760196947 /nfs/dbraw/zinc/19/69/47/760196947.db2.gz OGFOBYQPBJRDCH-CHWSQXEVSA-N 1 2 303.362 1.306 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncoc1[C@H]1CCCO1 ZINC001085764024 760196953 /nfs/dbraw/zinc/19/69/53/760196953.db2.gz OGFOBYQPBJRDCH-CHWSQXEVSA-N 1 2 303.362 1.306 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncoc1[C@@H]1CCCO1 ZINC001085764025 760197355 /nfs/dbraw/zinc/19/73/55/760197355.db2.gz OGFOBYQPBJRDCH-OLZOCXBDSA-N 1 2 303.362 1.306 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncoc1[C@@H]1CCCO1 ZINC001085764025 760197363 /nfs/dbraw/zinc/19/73/63/760197363.db2.gz OGFOBYQPBJRDCH-OLZOCXBDSA-N 1 2 303.362 1.306 20 30 DDEDLO C#CC[NH2+][C@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@H]1C ZINC001054967775 760242411 /nfs/dbraw/zinc/24/24/11/760242411.db2.gz ZJTDBGUXRBPQHY-DOMZBBRYSA-N 1 2 309.373 1.155 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(CCC(C)C)nn1 ZINC001085816088 760284756 /nfs/dbraw/zinc/28/47/56/760284756.db2.gz MPXRARAPIDCDRQ-HNNXBMFYSA-N 1 2 317.437 1.494 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(CCC(C)C)nn1 ZINC001085816088 760284762 /nfs/dbraw/zinc/28/47/62/760284762.db2.gz MPXRARAPIDCDRQ-HNNXBMFYSA-N 1 2 317.437 1.494 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(C(F)(F)F)n1 ZINC001085886705 760471529 /nfs/dbraw/zinc/47/15/29/760471529.db2.gz HPWDBOPHACNGOH-NSHDSACASA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(C(F)(F)F)n1 ZINC001085886705 760471531 /nfs/dbraw/zinc/47/15/31/760471531.db2.gz HPWDBOPHACNGOH-NSHDSACASA-N 1 2 311.307 1.880 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(C(C)(F)F)CC2)C1 ZINC001108219412 760472825 /nfs/dbraw/zinc/47/28/25/760472825.db2.gz GCMWCNORYMLWOW-CQSZACIVSA-N 1 2 314.376 1.652 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2(C(C)(F)F)CC2)C1 ZINC001108219412 760472828 /nfs/dbraw/zinc/47/28/28/760472828.db2.gz GCMWCNORYMLWOW-CQSZACIVSA-N 1 2 314.376 1.652 20 30 DDEDLO CN(C[C@@H]1CCN1CC#N)C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001085905387 760508925 /nfs/dbraw/zinc/50/89/25/760508925.db2.gz DWSPYLXLQZQZBF-INIZCTEOSA-N 1 2 309.373 1.542 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CCN2Cc2c[nH+]cn2C)cn1 ZINC001085933705 760564692 /nfs/dbraw/zinc/56/46/92/760564692.db2.gz ILWKYQJDTCHUQV-MRXNPFEDSA-N 1 2 323.400 1.143 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(OC)cccc1OC ZINC001085944422 760585080 /nfs/dbraw/zinc/58/50/80/760585080.db2.gz IYOCGJQUFFSXOM-AWEZNQCLSA-N 1 2 316.401 1.873 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(OC)cccc1OC ZINC001085944422 760585084 /nfs/dbraw/zinc/58/50/84/760585084.db2.gz IYOCGJQUFFSXOM-AWEZNQCLSA-N 1 2 316.401 1.873 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)CCCC)C1 ZINC001131304777 767980250 /nfs/dbraw/zinc/98/02/50/767980250.db2.gz SULCLBIJIIIYNU-KFWWJZLASA-N 1 2 321.421 1.469 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)CCCC)C1 ZINC001131304777 767980255 /nfs/dbraw/zinc/98/02/55/767980255.db2.gz SULCLBIJIIIYNU-KFWWJZLASA-N 1 2 321.421 1.469 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC001038414684 761097850 /nfs/dbraw/zinc/09/78/50/761097850.db2.gz ZWFGNECXPZIKLI-UONOGXRCSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC001038414684 761097859 /nfs/dbraw/zinc/09/78/59/761097859.db2.gz ZWFGNECXPZIKLI-UONOGXRCSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(N2CCCC2)ccn1 ZINC001038478798 761152049 /nfs/dbraw/zinc/15/20/49/761152049.db2.gz MMGXNPUXHNEQRO-INIZCTEOSA-N 1 2 312.417 1.509 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(N2CCCC2)ccn1 ZINC001038478798 761152054 /nfs/dbraw/zinc/15/20/54/761152054.db2.gz MMGXNPUXHNEQRO-INIZCTEOSA-N 1 2 312.417 1.509 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccc(C)cc2)[C@@H](O)C1 ZINC001090407561 761172977 /nfs/dbraw/zinc/17/29/77/761172977.db2.gz OTPZVTYYSNDKQE-CVEARBPZSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccc(C)cc2)[C@@H](O)C1 ZINC001090407561 761172982 /nfs/dbraw/zinc/17/29/82/761172982.db2.gz OTPZVTYYSNDKQE-CVEARBPZSA-N 1 2 322.836 1.841 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCC2CCOCC2)C1 ZINC001108256453 761217089 /nfs/dbraw/zinc/21/70/89/761217089.db2.gz YDBSLOJQYMZWPB-SFHVURJKSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCC2CCOCC2)C1 ZINC001108256453 761217091 /nfs/dbraw/zinc/21/70/91/761217091.db2.gz YDBSLOJQYMZWPB-SFHVURJKSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn2c1nc(C)cc2C ZINC001038594915 761222273 /nfs/dbraw/zinc/22/22/73/761222273.db2.gz YLDUVZUVTJUVQM-AWEZNQCLSA-N 1 2 311.389 1.174 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn2c1nc(C)cc2C ZINC001038594915 761222277 /nfs/dbraw/zinc/22/22/77/761222277.db2.gz YLDUVZUVTJUVQM-AWEZNQCLSA-N 1 2 311.389 1.174 20 30 DDEDLO CC1(Nc2ccc(C#N)cn2)CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001067265691 761333518 /nfs/dbraw/zinc/33/35/18/761333518.db2.gz IEZALIXKAIHFIF-UHFFFAOYSA-N 1 2 324.388 1.643 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnccc1C(F)(F)F ZINC001038757507 761371686 /nfs/dbraw/zinc/37/16/86/761371686.db2.gz YNPFCBBTKGUNKL-NSHDSACASA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnccc1C(F)(F)F ZINC001038757507 761371699 /nfs/dbraw/zinc/37/16/99/761371699.db2.gz YNPFCBBTKGUNKL-NSHDSACASA-N 1 2 311.307 1.928 20 30 DDEDLO CCn1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038783851 761406188 /nfs/dbraw/zinc/40/61/88/761406188.db2.gz ZARSYLKGCHXAKT-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038783851 761406191 /nfs/dbraw/zinc/40/61/91/761406191.db2.gz ZARSYLKGCHXAKT-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn(C)nc1C(C)(C)C ZINC001038814754 761432102 /nfs/dbraw/zinc/43/21/02/761432102.db2.gz LTFZGYDNIMLEGR-ZDUSSCGKSA-N 1 2 302.422 1.545 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn(C)nc1C(C)(C)C ZINC001038814754 761432106 /nfs/dbraw/zinc/43/21/06/761432106.db2.gz LTFZGYDNIMLEGR-ZDUSSCGKSA-N 1 2 302.422 1.545 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccnc1OCC(C)C ZINC001038935538 761566909 /nfs/dbraw/zinc/56/69/09/761566909.db2.gz PFORXKBWKIQJPW-OAHLLOKOSA-N 1 2 315.417 1.944 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccnc1OCC(C)C ZINC001038935538 761566919 /nfs/dbraw/zinc/56/69/19/761566919.db2.gz PFORXKBWKIQJPW-OAHLLOKOSA-N 1 2 315.417 1.944 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001000230634 761588270 /nfs/dbraw/zinc/58/82/70/761588270.db2.gz BTTOWSLUOUNOKT-BNOWGMLFSA-N 1 2 322.434 1.210 20 30 DDEDLO C#CCCCC(=O)N(C)CCCN(C)C(=O)CCn1cc[nH+]c1 ZINC001067289478 761820366 /nfs/dbraw/zinc/82/03/66/761820366.db2.gz SKPJBQNOOPRSNS-UHFFFAOYSA-N 1 2 318.421 1.384 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn(CCC)c2C)C1 ZINC001108301252 761860332 /nfs/dbraw/zinc/86/03/32/761860332.db2.gz OIJOIHAZRRWSMD-QGZVFWFLSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn(CCC)c2C)C1 ZINC001108301252 761860339 /nfs/dbraw/zinc/86/03/39/761860339.db2.gz OIJOIHAZRRWSMD-QGZVFWFLSA-N 1 2 320.437 1.608 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2ccnc(C)n2)CC1 ZINC001001456898 762880679 /nfs/dbraw/zinc/88/06/79/762880679.db2.gz QTNLWDLBXXIEEA-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2ccnc(C)n2)CC1 ZINC001001456898 762880685 /nfs/dbraw/zinc/88/06/85/762880685.db2.gz QTNLWDLBXXIEEA-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO Cc1nc(NC2CC(N(C)C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001069722995 768113476 /nfs/dbraw/zinc/11/34/76/768113476.db2.gz DYRBFPBXNFYYPN-UHFFFAOYSA-N 1 2 310.361 1.700 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C#N)C2 ZINC001109087805 763303932 /nfs/dbraw/zinc/30/39/32/763303932.db2.gz CDFUBCILRIAAHM-ATGSNQNLSA-N 1 2 320.437 1.172 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C#N)C2 ZINC001109087805 763303940 /nfs/dbraw/zinc/30/39/40/763303940.db2.gz CDFUBCILRIAAHM-ATGSNQNLSA-N 1 2 320.437 1.172 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001050258736 763382694 /nfs/dbraw/zinc/38/26/94/763382694.db2.gz DKPVCZRSDGXJLO-ZIAGYGMSSA-N 1 2 304.394 1.203 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)o1 ZINC001042058705 763602051 /nfs/dbraw/zinc/60/20/51/763602051.db2.gz UTLCDPYPWRBVRM-GJZGRUSLSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)o1 ZINC001042058705 763602053 /nfs/dbraw/zinc/60/20/53/763602053.db2.gz UTLCDPYPWRBVRM-GJZGRUSLSA-N 1 2 314.389 1.214 20 30 DDEDLO CC(C)C[C@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N)n1cc[nH+]c1 ZINC001109431645 763695576 /nfs/dbraw/zinc/69/55/76/763695576.db2.gz ZFVJOAXDFAEQTI-FXUDXRNXSA-N 1 2 315.421 1.715 20 30 DDEDLO Cc1cc(N2C[C@@H](C)[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001042158572 763723898 /nfs/dbraw/zinc/72/38/98/763723898.db2.gz VSDUMAJSCOSHIV-BXUZGUMPSA-N 1 2 324.388 1.168 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(CN(C(=O)c3[nH]nnc3C)C2)CC1 ZINC001050613001 763822770 /nfs/dbraw/zinc/82/27/70/763822770.db2.gz IUSHAMVUPFKZMT-UHFFFAOYSA-N 1 2 309.801 1.404 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1CC ZINC001109656429 763917023 /nfs/dbraw/zinc/91/70/23/763917023.db2.gz URXJOICGHHCIHT-KBMXLJTQSA-N 1 2 317.437 1.876 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1CC ZINC001109656429 763917030 /nfs/dbraw/zinc/91/70/30/763917030.db2.gz URXJOICGHHCIHT-KBMXLJTQSA-N 1 2 317.437 1.876 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C(C)C)CC1)C2 ZINC001109700281 763963861 /nfs/dbraw/zinc/96/38/61/763963861.db2.gz FVRCPVUHACAJCC-ILXRZTDVSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C(C)C)CC1)C2 ZINC001109700281 763963864 /nfs/dbraw/zinc/96/38/64/763963864.db2.gz FVRCPVUHACAJCC-ILXRZTDVSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3ocnc3C)c2C1 ZINC001069856475 768187790 /nfs/dbraw/zinc/18/77/90/768187790.db2.gz XZLKAXRISBDHLY-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3ocnc3C)c2C1 ZINC001069856475 768187794 /nfs/dbraw/zinc/18/77/94/768187794.db2.gz XZLKAXRISBDHLY-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCn1ccnc1C)C2 ZINC001109840423 764113450 /nfs/dbraw/zinc/11/34/50/764113450.db2.gz PSDQUTSXYKUSHF-PMPSAXMXSA-N 1 2 300.406 1.326 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCn1ccnc1C)C2 ZINC001109840423 764113458 /nfs/dbraw/zinc/11/34/58/764113458.db2.gz PSDQUTSXYKUSHF-PMPSAXMXSA-N 1 2 300.406 1.326 20 30 DDEDLO CC(C)[N@@H+]1CCn2ncc(CNC(=O)c3ccc(C#N)[nH]3)c2C1 ZINC001069857715 768189846 /nfs/dbraw/zinc/18/98/46/768189846.db2.gz HXRXHYWYBISVNO-UHFFFAOYSA-N 1 2 312.377 1.237 20 30 DDEDLO CC(C)[N@H+]1CCn2ncc(CNC(=O)c3ccc(C#N)[nH]3)c2C1 ZINC001069857715 768189853 /nfs/dbraw/zinc/18/98/53/768189853.db2.gz HXRXHYWYBISVNO-UHFFFAOYSA-N 1 2 312.377 1.237 20 30 DDEDLO Cc1nc(NC[C@@H](NC(=O)Cn2cc[nH+]c2)C2CC2)ccc1C#N ZINC001109865927 764147659 /nfs/dbraw/zinc/14/76/59/764147659.db2.gz LXRYAJIQXNVSGW-OAHLLOKOSA-N 1 2 324.388 1.465 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C2CC2)n1 ZINC001109891174 764170515 /nfs/dbraw/zinc/17/05/15/764170515.db2.gz IPBLBVVYTHEMKD-CQSZACIVSA-N 1 2 324.388 1.534 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccn2nccc12 ZINC001051005323 764409054 /nfs/dbraw/zinc/40/90/54/764409054.db2.gz WYWKURGCZNTXCV-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccn2nccc12 ZINC001051005323 764409058 /nfs/dbraw/zinc/40/90/58/764409058.db2.gz WYWKURGCZNTXCV-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCN2C(=O)C(C)(C)C)C1 ZINC001042768743 764429497 /nfs/dbraw/zinc/42/94/97/764429497.db2.gz WVALHIKSJSRKFQ-OAHLLOKOSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2COCC[N@H+]2C[C@@H](C)OC)CC1 ZINC001051100164 764504903 /nfs/dbraw/zinc/50/49/03/764504903.db2.gz QERLYBYZFKKBMI-GDBMZVCRSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2COCC[N@@H+]2C[C@@H](C)OC)CC1 ZINC001051100164 764504912 /nfs/dbraw/zinc/50/49/12/764504912.db2.gz QERLYBYZFKKBMI-GDBMZVCRSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C1C[NH+](C[C@H]2CC[C@H](C)O2)C1 ZINC001042930160 764534087 /nfs/dbraw/zinc/53/40/87/764534087.db2.gz CUYZJAIGIHYQGH-GOEBONIOSA-N 1 2 317.433 1.998 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](CCOCCCC)CC1 ZINC001112671933 764569193 /nfs/dbraw/zinc/56/91/93/764569193.db2.gz XBZICEXVPNPFPU-INIZCTEOSA-N 1 2 312.454 1.929 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncccc1F ZINC001051182646 764588154 /nfs/dbraw/zinc/58/81/54/764588154.db2.gz DQGFGIFLUAFILT-CYBMUJFWSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ncccc1F ZINC001051182646 764588159 /nfs/dbraw/zinc/58/81/59/764588159.db2.gz DQGFGIFLUAFILT-CYBMUJFWSA-N 1 2 307.369 1.618 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](CCOC[C@H]2CCCCO2)CC1 ZINC001112688535 764599285 /nfs/dbraw/zinc/59/92/85/764599285.db2.gz QVSZTENCQBRRNT-MRXNPFEDSA-N 1 2 310.438 1.683 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2coc(C)c2)[C@@H](n2ccnn2)C1 ZINC001069915257 768226454 /nfs/dbraw/zinc/22/64/54/768226454.db2.gz UTMVCQVYKCQBMM-KGLIPLIRSA-N 1 2 301.350 1.021 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2coc(C)c2)[C@@H](n2ccnn2)C1 ZINC001069915257 768226456 /nfs/dbraw/zinc/22/64/56/768226456.db2.gz UTMVCQVYKCQBMM-KGLIPLIRSA-N 1 2 301.350 1.021 20 30 DDEDLO C=CCOc1ccccc1C(=O)N(C)C1C[NH+](CCOC)C1 ZINC001043117816 764684425 /nfs/dbraw/zinc/68/44/25/764684425.db2.gz TZIWHRBLUPYARA-UHFFFAOYSA-N 1 2 304.390 1.654 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](CCCCC(F)(F)F)CC1 ZINC001112846305 764860395 /nfs/dbraw/zinc/86/03/95/764860395.db2.gz KVGLTWFUKLIOEK-CYBMUJFWSA-N 1 2 320.355 1.902 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC001112842780 764865169 /nfs/dbraw/zinc/86/51/69/764865169.db2.gz BOTSOMHSPWXRQS-UHFFFAOYSA-N 1 2 311.385 1.242 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C)nnc2C)CC1 ZINC001112888374 764926505 /nfs/dbraw/zinc/92/65/05/764926505.db2.gz ZCVTXPBKNPZNFI-UHFFFAOYSA-N 1 2 304.394 1.054 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCCC(C)=O)CC[C@H]1C ZINC001131802246 768378834 /nfs/dbraw/zinc/37/88/34/768378834.db2.gz GFAJEFYCUDJTIB-ZBFHGGJFSA-N 1 2 308.422 1.365 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCCC(C)=O)CC[C@H]1C ZINC001131802246 768378842 /nfs/dbraw/zinc/37/88/42/768378842.db2.gz GFAJEFYCUDJTIB-ZBFHGGJFSA-N 1 2 308.422 1.365 20 30 DDEDLO C[C@@H](Nc1ncccc1C#N)[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001112993240 765101874 /nfs/dbraw/zinc/10/18/74/765101874.db2.gz FOAPDUCMSOICNY-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C2C[NH+](C[C@@H]3CC[C@@H](C)O3)C2)c1 ZINC001043821979 765116825 /nfs/dbraw/zinc/11/68/25/765116825.db2.gz QPXRILULENMKSW-DYVFJYSZSA-N 1 2 313.401 1.387 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H](C[NH2+]Cc2nc(CC)no2)C1 ZINC001051755592 765127550 /nfs/dbraw/zinc/12/75/50/765127550.db2.gz JGWRYJBNXLGHHH-GFCCVEGCSA-N 1 2 322.409 1.161 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113004252 765138245 /nfs/dbraw/zinc/13/82/45/765138245.db2.gz MDXDYKNEQYIVOC-GHMZBOCLSA-N 1 2 312.377 1.082 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cn2c3ccccc3[nH]c2=O)C1 ZINC001043972526 765196103 /nfs/dbraw/zinc/19/61/03/765196103.db2.gz CZBOUHRKANBVEY-UHFFFAOYSA-N 1 2 314.389 1.461 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnnn2-c2ccccc2)C1 ZINC001043985560 765209294 /nfs/dbraw/zinc/20/92/94/765209294.db2.gz AFAFXICDYAHQNZ-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(C)nc2OCC)C1 ZINC001044123706 765324635 /nfs/dbraw/zinc/32/46/35/765324635.db2.gz AGSFCHAMMRRRQH-UHFFFAOYSA-N 1 2 301.390 1.568 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc(C)o3)C2)CC1 ZINC001051977478 765338652 /nfs/dbraw/zinc/33/86/52/765338652.db2.gz XHUFHLCOCRRJQV-OAHLLOKOSA-N 1 2 303.406 1.606 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[NH+](CCn2cccn2)CC1 ZINC001113158981 765348372 /nfs/dbraw/zinc/34/83/72/765348372.db2.gz SIZWBOPYAOQSOW-KRWDZBQOSA-N 1 2 320.437 1.256 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)CC3CCC3)C2)CC1 ZINC001052004027 765369611 /nfs/dbraw/zinc/36/96/11/765369611.db2.gz HRYHCLXSMMWZEW-QGZVFWFLSA-N 1 2 303.450 1.418 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@@H]3CC[C@H](C)O3)C2)nc1 ZINC001044202628 765392125 /nfs/dbraw/zinc/39/21/25/765392125.db2.gz ACSSXPJHIWVROG-BBRMVZONSA-N 1 2 313.401 1.387 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)CC3(O)CCC3)C2)CC1 ZINC001052024590 765399434 /nfs/dbraw/zinc/39/94/34/765399434.db2.gz HOMAFFPAYBELAX-MRXNPFEDSA-N 1 2 321.465 1.086 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)c3cc[nH]c3)C2)CC1 ZINC001052030098 765405093 /nfs/dbraw/zinc/40/50/93/765405093.db2.gz HJFJDVLSAZVZQE-INIZCTEOSA-N 1 2 302.422 1.423 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H](C)C3CCC3)C2)CC1 ZINC001052042315 765414006 /nfs/dbraw/zinc/41/40/06/765414006.db2.gz ARYAISSAMTVOIO-WMZOPIPTSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@]34C[C@H]3CCC4)C2)CC1 ZINC001052053557 765425388 /nfs/dbraw/zinc/42/53/88/765425388.db2.gz NDRCFXVCEXPYSB-ZIFCJYIRSA-N 1 2 317.477 1.971 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@]3(C)C=CCC3)C2)CC1 ZINC001052060246 765432700 /nfs/dbraw/zinc/43/27/00/765432700.db2.gz ANVZHCSNXOTCFI-PKOBYXMFSA-N 1 2 315.461 1.585 20 30 DDEDLO CC#CCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H](F)C(C)C)C2)CC1 ZINC001052066725 765439120 /nfs/dbraw/zinc/43/91/20/765439120.db2.gz XUADZNSXHZVXTH-CVEARBPZSA-N 1 2 309.429 1.222 20 30 DDEDLO C[C@H](NC(=O)CCn1cc[nH+]c1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113237977 765457106 /nfs/dbraw/zinc/45/71/06/765457106.db2.gz FYQIMVQVKJNXOJ-STQMWFEESA-N 1 2 312.377 1.545 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CCCCN2C(=O)CCC)C1 ZINC001044328449 765485589 /nfs/dbraw/zinc/48/55/89/765485589.db2.gz ZUCDPPSAQFAOIA-OAHLLOKOSA-N 1 2 307.438 1.496 20 30 DDEDLO C[C@@H](Nc1ncccc1C#N)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001113351502 765614364 /nfs/dbraw/zinc/61/43/64/765614364.db2.gz BSPBGMMESZDALM-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@@H](Nc1ncccc1C#N)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001113351502 765614367 /nfs/dbraw/zinc/61/43/67/765614367.db2.gz BSPBGMMESZDALM-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCNC(=O)C2CCCCC2)CC1 ZINC001113426244 765682024 /nfs/dbraw/zinc/68/20/24/765682024.db2.gz QODSSYSAZNNJDO-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2coc(C3CCC3)n2)CC1 ZINC001113505835 765779813 /nfs/dbraw/zinc/77/98/13/765779813.db2.gz MZMCVZWQXJPDGJ-UHFFFAOYSA-N 1 2 319.405 1.903 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001052472010 765861203 /nfs/dbraw/zinc/86/12/03/765861203.db2.gz OBBDXIZRLYUEEW-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)C(C)(C)NC(=O)C(F)(F)F)CC1 ZINC001113670875 766008262 /nfs/dbraw/zinc/00/82/62/766008262.db2.gz XTRKVGKMTFGVBU-UHFFFAOYSA-N 1 2 321.343 1.164 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](Cc2ccc(CO)cc2)CC1 ZINC001113709678 766048642 /nfs/dbraw/zinc/04/86/42/766048642.db2.gz YCNJVZJLWOJWOP-UHFFFAOYSA-N 1 2 318.417 1.416 20 30 DDEDLO Cc1nc(N2CCC(NC(=O)c3c[nH]c(C#N)c3)CC2)cc[nH+]1 ZINC001057845712 766092457 /nfs/dbraw/zinc/09/24/57/766092457.db2.gz VACUCQQMQGHMJD-UHFFFAOYSA-N 1 2 310.361 1.384 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CCCN(c2ccc(C#N)cn2)C1 ZINC001058182148 766291183 /nfs/dbraw/zinc/29/11/83/766291183.db2.gz UFLNGINHYQXZHK-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)c(C)c(C)[nH+]1 ZINC001058330100 766417289 /nfs/dbraw/zinc/41/72/89/766417289.db2.gz KLOLRMLZDJZJFK-CQSZACIVSA-N 1 2 324.388 1.610 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csc(COC)n3)C[C@H]21 ZINC001114005542 766524520 /nfs/dbraw/zinc/52/45/20/766524520.db2.gz RZIIJFIVSGJDKA-VIKVFOODSA-N 1 2 321.446 1.802 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csc(COC)n3)C[C@H]21 ZINC001114005542 766524524 /nfs/dbraw/zinc/52/45/24/766524524.db2.gz RZIIJFIVSGJDKA-VIKVFOODSA-N 1 2 321.446 1.802 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccc(C#N)cn1 ZINC001067550841 766610778 /nfs/dbraw/zinc/61/07/78/766610778.db2.gz YOZDHEKVJMWZBN-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO N#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001086615533 766683892 /nfs/dbraw/zinc/68/38/92/766683892.db2.gz FJEPNVDAYJMJSV-LSDHHAIUSA-N 1 2 309.373 1.060 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114162774 766716196 /nfs/dbraw/zinc/71/61/96/766716196.db2.gz XVYFEFAUFNOBBC-UYHMYPTGSA-N 1 2 316.405 1.679 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114162774 766716203 /nfs/dbraw/zinc/71/62/03/766716203.db2.gz XVYFEFAUFNOBBC-UYHMYPTGSA-N 1 2 316.405 1.679 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csc(C)n3)C[C@H]21 ZINC001114189220 766737443 /nfs/dbraw/zinc/73/74/43/766737443.db2.gz KZVATJAVALUGDA-BFJAYTPKSA-N 1 2 321.446 1.325 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csc(C)n3)C[C@H]21 ZINC001114189220 766737451 /nfs/dbraw/zinc/73/74/51/766737451.db2.gz KZVATJAVALUGDA-BFJAYTPKSA-N 1 2 321.446 1.325 20 30 DDEDLO N#Cc1cccnc1NCC1CC(NC(=O)Cn2cc[nH+]c2)C1 ZINC001067855048 766757398 /nfs/dbraw/zinc/75/73/98/766757398.db2.gz NFVOOYKMFLPEHI-UHFFFAOYSA-N 1 2 310.361 1.157 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(CC)o3)C[C@H]21 ZINC001114201938 766761476 /nfs/dbraw/zinc/76/14/76/766761476.db2.gz XHESKGQLPYWJPA-FOCJUVANSA-N 1 2 316.405 1.543 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(CC)o3)C[C@H]21 ZINC001114201938 766761478 /nfs/dbraw/zinc/76/14/78/766761478.db2.gz XHESKGQLPYWJPA-FOCJUVANSA-N 1 2 316.405 1.543 20 30 DDEDLO C[C@H]1CCN(c2ccncc2C#N)C[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067965214 766842559 /nfs/dbraw/zinc/84/25/59/766842559.db2.gz LSJBYTDLNFYLPJ-DZGCQCFKSA-N 1 2 324.388 1.181 20 30 DDEDLO CC(C)(C)[C@@H]1C[C@H]1C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046043871 766849941 /nfs/dbraw/zinc/84/99/41/766849941.db2.gz LWSAINCWVCTDOD-ARFHVFGLSA-N 1 2 318.465 1.411 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C3CCC(F)CC3)CC2)C1 ZINC001046061250 766872693 /nfs/dbraw/zinc/87/26/93/766872693.db2.gz KJSXJJKJUHEVQR-GPANFISMSA-N 1 2 322.428 1.257 20 30 DDEDLO C#CC[C@H](CC)c1nnc(N(C)CC[NH+]2CCOCC2)n1CC ZINC001121649254 782611627 /nfs/dbraw/zinc/61/16/27/782611627.db2.gz WMEJJIVYIXNYCY-HNNXBMFYSA-N 1 2 319.453 1.583 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCCCS2(=O)=O)C1 ZINC001046370818 767480773 /nfs/dbraw/zinc/48/07/73/767480773.db2.gz CXWVNYXQDWNGKA-HIFRSBDPSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCCCS2(=O)=O)C1 ZINC001046370818 767480780 /nfs/dbraw/zinc/48/07/80/767480780.db2.gz CXWVNYXQDWNGKA-HIFRSBDPSA-N 1 2 314.451 1.110 20 30 DDEDLO CN(c1ccc(C#N)nc1)C1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001068683195 767519462 /nfs/dbraw/zinc/51/94/62/767519462.db2.gz KDEVGULQGUCFLN-UHFFFAOYSA-N 1 2 324.388 1.277 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2n[nH]c3c2CCC3)C1 ZINC001046440833 767573871 /nfs/dbraw/zinc/57/38/71/767573871.db2.gz VUHXCENGOJKNGO-HNNXBMFYSA-N 1 2 308.813 1.845 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2n[nH]c3c2CCC3)C1 ZINC001046440833 767573873 /nfs/dbraw/zinc/57/38/73/767573873.db2.gz VUHXCENGOJKNGO-HNNXBMFYSA-N 1 2 308.813 1.845 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccccc1)c1[nH]nc2c1CCCC2 ZINC001130741036 767705392 /nfs/dbraw/zinc/70/53/92/767705392.db2.gz HNEUAKOOEONLRA-UHFFFAOYSA-N 1 2 322.412 1.660 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098084208 767832104 /nfs/dbraw/zinc/83/21/04/767832104.db2.gz DTMWIRDMHQIDID-NSHDSACASA-N 1 2 306.414 1.519 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+]Cc1nc(CC)no1 ZINC001131870384 768431491 /nfs/dbraw/zinc/43/14/91/768431491.db2.gz RRUBAIHURQHMDF-OAHLLOKOSA-N 1 2 310.398 1.067 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(C3CC3)nc2C)C1 ZINC001047376883 768434511 /nfs/dbraw/zinc/43/45/11/768434511.db2.gz ZBVMAEHLVMMFJG-STQMWFEESA-N 1 2 321.446 1.632 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(C3CC3)nc2C)C1 ZINC001047376883 768434516 /nfs/dbraw/zinc/43/45/16/768434516.db2.gz ZBVMAEHLVMMFJG-STQMWFEESA-N 1 2 321.446 1.632 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cncc(OC)n2)C1 ZINC001131896774 768478827 /nfs/dbraw/zinc/47/88/27/768478827.db2.gz VJTJWPNWKQYRRU-ZIAGYGMSSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cncc(OC)n2)C1 ZINC001131896774 768478832 /nfs/dbraw/zinc/47/88/32/768478832.db2.gz VJTJWPNWKQYRRU-ZIAGYGMSSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2ccc(F)cn2)C1 ZINC001131946403 768503546 /nfs/dbraw/zinc/50/35/46/768503546.db2.gz IYYMTWCCHBEHLU-CZUORRHYSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2ccc(F)cn2)C1 ZINC001131946403 768503551 /nfs/dbraw/zinc/50/35/51/768503551.db2.gz IYYMTWCCHBEHLU-CZUORRHYSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2ncc(C)o2)C1 ZINC001131947925 768507212 /nfs/dbraw/zinc/50/72/12/768507212.db2.gz ZLLLXEHJNKBEDX-GXTWGEPZSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2ncc(C)o2)C1 ZINC001131947925 768507213 /nfs/dbraw/zinc/50/72/13/768507213.db2.gz ZLLLXEHJNKBEDX-GXTWGEPZSA-N 1 2 307.394 1.655 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001132002524 768553547 /nfs/dbraw/zinc/55/35/47/768553547.db2.gz KNKVBZXNPLICAC-HUUCEWRRSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001132002524 768553550 /nfs/dbraw/zinc/55/35/50/768553550.db2.gz KNKVBZXNPLICAC-HUUCEWRRSA-N 1 2 302.422 1.693 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC2CC2)C1 ZINC001132016310 768573192 /nfs/dbraw/zinc/57/31/92/768573192.db2.gz GGRCIDKYRSRIIH-GOEBONIOSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC2CC2)C1 ZINC001132016310 768573193 /nfs/dbraw/zinc/57/31/93/768573193.db2.gz GGRCIDKYRSRIIH-GOEBONIOSA-N 1 2 319.449 1.141 20 30 DDEDLO CCC(CC)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070620948 768625330 /nfs/dbraw/zinc/62/53/30/768625330.db2.gz AVUFHUFZHAUYPM-ZIAGYGMSSA-N 1 2 303.410 1.264 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H](c3c[nH+]cn3C)[C@H](NCC#N)C2)co1 ZINC001070642075 768638867 /nfs/dbraw/zinc/63/88/67/768638867.db2.gz MHNWAABWAPBTAB-ZIAGYGMSSA-N 1 2 313.361 1.043 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(C)(C)CCC)CC[C@@H]1C ZINC001132253099 768703896 /nfs/dbraw/zinc/70/38/96/768703896.db2.gz OKNWHJYBOWDARM-LSDHHAIUSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(C)(C)CCC)CC[C@@H]1C ZINC001132253099 768703897 /nfs/dbraw/zinc/70/38/97/768703897.db2.gz OKNWHJYBOWDARM-LSDHHAIUSA-N 1 2 321.465 1.531 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CCC2(C)CC2)C[N@@H+]1CC(=O)NCC#N ZINC001132397035 768802903 /nfs/dbraw/zinc/80/29/03/768802903.db2.gz OUMNFAKUXKCYDK-ZIAGYGMSSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CCC2(C)CC2)C[N@H+]1CC(=O)NCC#N ZINC001132397035 768802911 /nfs/dbraw/zinc/80/29/11/768802911.db2.gz OUMNFAKUXKCYDK-ZIAGYGMSSA-N 1 2 320.437 1.176 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C2CCC2)CC1 ZINC001070973538 768823872 /nfs/dbraw/zinc/82/38/72/768823872.db2.gz ORQLYYQIEUTVOV-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C2CCC2)CC1 ZINC001070973538 768823877 /nfs/dbraw/zinc/82/38/77/768823877.db2.gz ORQLYYQIEUTVOV-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC2(C)C)CC1 ZINC001070990112 768844597 /nfs/dbraw/zinc/84/45/97/768844597.db2.gz NTANPOMMLKGBNX-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC2(C)C)CC1 ZINC001070990112 768844605 /nfs/dbraw/zinc/84/46/05/768844605.db2.gz NTANPOMMLKGBNX-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(C)(CC)CC)CC[C@H]1C ZINC001132493578 768927178 /nfs/dbraw/zinc/92/71/78/768927178.db2.gz MGPOMFOTHQTKRR-HUUCEWRRSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(C)(CC)CC)CC[C@H]1C ZINC001132493578 768927183 /nfs/dbraw/zinc/92/71/83/768927183.db2.gz MGPOMFOTHQTKRR-HUUCEWRRSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001071208557 769137692 /nfs/dbraw/zinc/13/76/92/769137692.db2.gz OYIGDFMTFUBFSA-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)C#CC(C)C)C2)c(C)c(C)[nH+]1 ZINC001096369219 769441709 /nfs/dbraw/zinc/44/17/09/769441709.db2.gz VEUKDRSJDFXZHU-HNNXBMFYSA-N 1 2 300.406 1.756 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](NC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001096370594 769447530 /nfs/dbraw/zinc/44/75/30/769447530.db2.gz QSCPASWUPZREHD-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cncnc2)CC[C@H]1C ZINC001071469853 769480766 /nfs/dbraw/zinc/48/07/66/769480766.db2.gz CHKLKQHLRCYDSV-OCCSQVGLSA-N 1 2 308.813 1.741 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cncnc2)CC[C@H]1C ZINC001071469853 769480775 /nfs/dbraw/zinc/48/07/75/769480775.db2.gz CHKLKQHLRCYDSV-OCCSQVGLSA-N 1 2 308.813 1.741 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccn(C)c(=O)c2)CC[C@H]1C ZINC001071613090 769721807 /nfs/dbraw/zinc/72/18/07/769721807.db2.gz RKCWWZBGTQSAET-TZMCWYRMSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccn(C)c(=O)c2)CC[C@H]1C ZINC001071613090 769721816 /nfs/dbraw/zinc/72/18/16/769721816.db2.gz RKCWWZBGTQSAET-TZMCWYRMSA-N 1 2 323.824 1.721 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[NH2+]Cc2ncc(C3CC3)o2)c1 ZINC001133593220 770043555 /nfs/dbraw/zinc/04/35/55/770043555.db2.gz UGIJIHXATPBBGG-UHFFFAOYSA-N 1 2 310.357 1.448 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(OC)nn2)CC[C@H]1C ZINC001071966237 770360642 /nfs/dbraw/zinc/36/06/42/770360642.db2.gz CVQOVEWSSNWXCQ-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(OC)nn2)CC[C@H]1C ZINC001071966237 770360655 /nfs/dbraw/zinc/36/06/55/770360655.db2.gz CVQOVEWSSNWXCQ-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001071989618 770396174 /nfs/dbraw/zinc/39/61/74/770396174.db2.gz XSXSVTXDPXGAKH-ZIAGYGMSSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1C ZINC001072425969 770875183 /nfs/dbraw/zinc/87/51/83/770875183.db2.gz BEXZQYGBDKCHGG-ZFWWWQNUSA-N 1 2 318.421 1.282 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049484007 770914405 /nfs/dbraw/zinc/91/44/05/770914405.db2.gz FEJAIWFIOGPQLQ-QJPTWQEYSA-N 1 2 306.303 1.975 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049484007 770914409 /nfs/dbraw/zinc/91/44/09/770914409.db2.gz FEJAIWFIOGPQLQ-QJPTWQEYSA-N 1 2 306.303 1.975 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC[C@@H]2[C@H]1CCN2CC#N ZINC001049785664 771157494 /nfs/dbraw/zinc/15/74/94/771157494.db2.gz YIDCRRWUVHUVRN-BPLDGKMQSA-N 1 2 301.394 1.177 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC[C@@H]2[C@H]1CCN2CC#N ZINC001049785664 771157499 /nfs/dbraw/zinc/15/74/99/771157499.db2.gz YIDCRRWUVHUVRN-BPLDGKMQSA-N 1 2 301.394 1.177 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049890990 771237141 /nfs/dbraw/zinc/23/71/41/771237141.db2.gz CGZMCZSTXNGBCF-CVEARBPZSA-N 1 2 311.389 1.823 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049890990 771237143 /nfs/dbraw/zinc/23/71/43/771237143.db2.gz CGZMCZSTXNGBCF-CVEARBPZSA-N 1 2 311.389 1.823 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](Nc1ccc(C#N)cn1)C1CC1 ZINC001096706421 771404685 /nfs/dbraw/zinc/40/46/85/771404685.db2.gz MYIDTDIDJNWYNT-OAHLLOKOSA-N 1 2 324.388 1.534 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)CCCCCO)CC2)cc1 ZINC001135335434 771454529 /nfs/dbraw/zinc/45/45/29/771454529.db2.gz BLPGCZIGEBJXHR-UHFFFAOYSA-N 1 2 315.417 1.755 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C#N)[nH]1)C2 ZINC001096971881 771538876 /nfs/dbraw/zinc/53/88/76/771538876.db2.gz ZNMRHSOAXCVACE-BNOWGMLFSA-N 1 2 304.781 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C#N)[nH]1)C2 ZINC001096971881 771538880 /nfs/dbraw/zinc/53/88/80/771538880.db2.gz ZNMRHSOAXCVACE-BNOWGMLFSA-N 1 2 304.781 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)[C@H](O)C1 ZINC001090840495 772255888 /nfs/dbraw/zinc/25/58/88/772255888.db2.gz APJAFEFZSJAVQM-FYFPFLJLSA-N 1 2 324.852 1.582 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)[C@H](O)C1 ZINC001090840495 772255892 /nfs/dbraw/zinc/25/58/92/772255892.db2.gz APJAFEFZSJAVQM-FYFPFLJLSA-N 1 2 324.852 1.582 20 30 DDEDLO C#Cc1ccc(C[NH+]2CCN(CCN3CCOCC3)CC2)cc1 ZINC001144573167 772569177 /nfs/dbraw/zinc/56/91/77/772569177.db2.gz CTOFIBNRDDJYFT-UHFFFAOYSA-N 1 2 313.445 1.118 20 30 DDEDLO C#Cc1ccc(CN2CC[NH+](CCN3CCOCC3)CC2)cc1 ZINC001144573167 772569181 /nfs/dbraw/zinc/56/91/81/772569181.db2.gz CTOFIBNRDDJYFT-UHFFFAOYSA-N 1 2 313.445 1.118 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+]Cc1nccc(C)n1 ZINC001149117920 772807329 /nfs/dbraw/zinc/80/73/29/772807329.db2.gz XQQJQSGATLNLQN-UHFFFAOYSA-N 1 2 308.385 1.215 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccnnc2C)C1 ZINC001073679720 773299403 /nfs/dbraw/zinc/29/94/03/773299403.db2.gz RWTUKRZGHMEMFN-ZDUSSCGKSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccnnc2C)C1 ZINC001073679720 773299407 /nfs/dbraw/zinc/29/94/07/773299407.db2.gz RWTUKRZGHMEMFN-ZDUSSCGKSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001148146491 773366082 /nfs/dbraw/zinc/36/60/82/773366082.db2.gz DZEVFDOCMGVCEK-ZDUSSCGKSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001148146491 773366092 /nfs/dbraw/zinc/36/60/92/773366092.db2.gz DZEVFDOCMGVCEK-ZDUSSCGKSA-N 1 2 304.394 1.706 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)no1 ZINC001073972962 773566025 /nfs/dbraw/zinc/56/60/25/773566025.db2.gz LLMHQCNVOWOPDU-SWLSCSKDSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)no1 ZINC001073972962 773566031 /nfs/dbraw/zinc/56/60/31/773566031.db2.gz LLMHQCNVOWOPDU-SWLSCSKDSA-N 1 2 304.394 1.956 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(F)F)C[C@@H]21 ZINC001074132899 773658618 /nfs/dbraw/zinc/65/86/18/773658618.db2.gz CAQGRXKWSSLVSA-QWHCGFSZSA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(F)F)C[C@@H]21 ZINC001074132899 773658624 /nfs/dbraw/zinc/65/86/24/773658624.db2.gz CAQGRXKWSSLVSA-QWHCGFSZSA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c(=O)c3)C[C@H]21 ZINC001074204709 773727010 /nfs/dbraw/zinc/72/70/10/773727010.db2.gz HDPUSJNUOHQMBZ-HUUCEWRRSA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c(=O)c3)C[C@H]21 ZINC001074204709 773727016 /nfs/dbraw/zinc/72/70/16/773727016.db2.gz HDPUSJNUOHQMBZ-HUUCEWRRSA-N 1 2 317.389 1.279 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1scc3c1OCCO3)C2 ZINC001098145066 773768278 /nfs/dbraw/zinc/76/82/78/773768278.db2.gz KIDWFLBPASHRTF-UTUOFQBUSA-N 1 2 318.398 1.488 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1scc3c1OCCO3)C2 ZINC001098145066 773768286 /nfs/dbraw/zinc/76/82/86/773768286.db2.gz KIDWFLBPASHRTF-UTUOFQBUSA-N 1 2 318.398 1.488 20 30 DDEDLO C=C(C)CN1CCO[C@H]2CCN(C(=O)CCn3cc[nH+]c3)C[C@H]21 ZINC001074307866 773811166 /nfs/dbraw/zinc/81/11/66/773811166.db2.gz PSCYUYOTEWNGOI-CVEARBPZSA-N 1 2 318.421 1.151 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(F)F)C[C@@H]21 ZINC001074329773 773825266 /nfs/dbraw/zinc/82/52/66/773825266.db2.gz PWDUAEZAKWQZQC-QWHCGFSZSA-N 1 2 300.349 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(F)F)C[C@@H]21 ZINC001074329773 773825270 /nfs/dbraw/zinc/82/52/70/773825270.db2.gz PWDUAEZAKWQZQC-QWHCGFSZSA-N 1 2 300.349 1.357 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CCCCO1)c1nccn12 ZINC001092362929 774077016 /nfs/dbraw/zinc/07/70/16/774077016.db2.gz FCFZJZOMHOEPPY-ZIAGYGMSSA-N 1 2 316.405 1.210 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccnc1C)c1nccn12 ZINC001092391311 774098424 /nfs/dbraw/zinc/09/84/24/774098424.db2.gz STDSTROKMJMPOQ-OAHLLOKOSA-N 1 2 323.400 1.658 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)nn1)c1nccn12 ZINC001092368604 774106576 /nfs/dbraw/zinc/10/65/76/774106576.db2.gz NAQKMICOZSPFCP-AWEZNQCLSA-N 1 2 324.388 1.053 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001075128171 774372710 /nfs/dbraw/zinc/37/27/10/774372710.db2.gz VWZABVRMQOEXKP-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CC3(C)CC3)C2)nn1 ZINC001098691227 774644289 /nfs/dbraw/zinc/64/42/89/774644289.db2.gz ZOJCWSZWIVNBGW-OAHLLOKOSA-N 1 2 315.421 1.355 20 30 DDEDLO CC[C@H](C)C(=O)NC[C@@H]1CCC(C)(C)C[N@@H+]1CC(=O)NCC#N ZINC001098904292 774712947 /nfs/dbraw/zinc/71/29/47/774712947.db2.gz CEYAKKZSSZSQOZ-KBPBESRZSA-N 1 2 322.453 1.279 20 30 DDEDLO CC[C@H](C)C(=O)NC[C@@H]1CCC(C)(C)C[N@H+]1CC(=O)NCC#N ZINC001098904292 774712950 /nfs/dbraw/zinc/71/29/50/774712950.db2.gz CEYAKKZSSZSQOZ-KBPBESRZSA-N 1 2 322.453 1.279 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCCO[C@@H]3C)CC2)C1 ZINC001093526873 774779004 /nfs/dbraw/zinc/77/90/04/774779004.db2.gz FSCQFFSWRPTFKP-RHSMWYFYSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3C[C@H](C)CO3)CC2)C1 ZINC001093553699 774798684 /nfs/dbraw/zinc/79/86/84/774798684.db2.gz TWORLNOCNBZCNW-BBRMVZONSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3conc3C)CC2)C1 ZINC001093565975 774836234 /nfs/dbraw/zinc/83/62/34/774836234.db2.gz JXFAKOQZRSYNMI-UHFFFAOYSA-N 1 2 319.405 1.865 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3oncc3C)CC2)C1 ZINC001093589362 774871183 /nfs/dbraw/zinc/87/11/83/774871183.db2.gz TYXNXRRMKYSFOO-UHFFFAOYSA-N 1 2 319.405 1.865 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2nonc2C)C1 ZINC001099184612 774886830 /nfs/dbraw/zinc/88/68/30/774886830.db2.gz XUZAPIHHEPVXDR-FZMZJTMJSA-N 1 2 310.785 1.715 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2nonc2C)C1 ZINC001099184612 774886834 /nfs/dbraw/zinc/88/68/34/774886834.db2.gz XUZAPIHHEPVXDR-FZMZJTMJSA-N 1 2 310.785 1.715 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cccn3CC)CC2)C1 ZINC001093598270 774902892 /nfs/dbraw/zinc/90/28/92/774902892.db2.gz FANNOLFONUDEFZ-UHFFFAOYSA-N 1 2 317.433 1.999 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC[C@@H](C)Nc1ccc(C#N)nc1 ZINC001099361467 774963326 /nfs/dbraw/zinc/96/33/26/774963326.db2.gz GMYDTUOAGKOKBA-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO C#Cc1ccc(C(=O)NCCNc2cc(C)[nH+]c(C3CC3)n2)cn1 ZINC001093766386 775064846 /nfs/dbraw/zinc/06/48/46/775064846.db2.gz HTQKICCVSWVIDP-UHFFFAOYSA-N 1 2 321.384 1.881 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)nn1 ZINC001099765418 775242654 /nfs/dbraw/zinc/24/26/54/775242654.db2.gz SEEFMPGTBWWKRL-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)nn1 ZINC001099765418 775242661 /nfs/dbraw/zinc/24/26/61/775242661.db2.gz SEEFMPGTBWWKRL-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@@H]2CCn3c[nH+]cc3C2)n1 ZINC001093951733 775258344 /nfs/dbraw/zinc/25/83/44/775258344.db2.gz WAQCQZXTHMYEBR-CYBMUJFWSA-N 1 2 324.388 1.249 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@H]2CCn3cc[nH+]c3C2)n1 ZINC001093957534 775269249 /nfs/dbraw/zinc/26/92/49/775269249.db2.gz JIMKTRYIWKOIJQ-ZDUSSCGKSA-N 1 2 324.388 1.249 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094045600 775368237 /nfs/dbraw/zinc/36/82/37/775368237.db2.gz CLBTWWGISWQUGL-OLZOCXBDSA-N 1 2 317.437 1.451 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094045600 775368247 /nfs/dbraw/zinc/36/82/47/775368247.db2.gz CLBTWWGISWQUGL-OLZOCXBDSA-N 1 2 317.437 1.451 20 30 DDEDLO N#Cc1c(Cl)nsc1NCCNC(=O)Cc1c[nH]c[nH+]1 ZINC001094150501 775491485 /nfs/dbraw/zinc/49/14/85/775491485.db2.gz LHCRUGZONAFAPL-UHFFFAOYSA-N 1 2 310.770 1.162 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099975770 775507249 /nfs/dbraw/zinc/50/72/49/775507249.db2.gz GOFPWLYUPRASDR-HOTGVXAUSA-N 1 2 321.396 1.628 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099975770 775507252 /nfs/dbraw/zinc/50/72/52/775507252.db2.gz GOFPWLYUPRASDR-HOTGVXAUSA-N 1 2 321.396 1.628 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCCNc1ncc(C#N)cc1F ZINC001094188667 775550172 /nfs/dbraw/zinc/55/01/72/775550172.db2.gz IBTWYXDLFSCDLQ-JTQLQIEISA-N 1 2 316.340 1.222 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCCNc1ncc(C#N)cc1F ZINC001094188667 775550180 /nfs/dbraw/zinc/55/01/80/775550180.db2.gz IBTWYXDLFSCDLQ-JTQLQIEISA-N 1 2 316.340 1.222 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCCNc1cccc(F)c1C#N ZINC001094188719 775550334 /nfs/dbraw/zinc/55/03/34/775550334.db2.gz KSFVEBOMTANBEH-LLVKDONJSA-N 1 2 315.352 1.827 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCCNc1cccc(F)c1C#N ZINC001094188719 775550344 /nfs/dbraw/zinc/55/03/44/775550344.db2.gz KSFVEBOMTANBEH-LLVKDONJSA-N 1 2 315.352 1.827 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CC[C@@H](F)C3)nn2)C1 ZINC001094314620 775670707 /nfs/dbraw/zinc/67/07/07/775670707.db2.gz YULYNUDFPSYFJP-NWDGAFQWSA-N 1 2 307.373 1.075 20 30 DDEDLO C=CC(C)(C)C(=O)NCCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094708992 776177162 /nfs/dbraw/zinc/17/71/62/776177162.db2.gz GGOKJGSHUVEVLP-UHFFFAOYSA-N 1 2 317.437 1.595 20 30 DDEDLO C=CC(C)(C)C(=O)NCCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094708992 776177165 /nfs/dbraw/zinc/17/71/65/776177165.db2.gz GGOKJGSHUVEVLP-UHFFFAOYSA-N 1 2 317.437 1.595 20 30 DDEDLO CCCCCCCCC(=O)NCCC[NH2+]Cc1nnn(C)n1 ZINC001171822869 776465707 /nfs/dbraw/zinc/46/57/07/776465707.db2.gz QVBUDJZRFABDQB-UHFFFAOYSA-N 1 2 310.446 1.557 20 30 DDEDLO C#CCCCC(=O)NCC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102315253 778047237 /nfs/dbraw/zinc/04/72/37/778047237.db2.gz PFBLMOHZZAAFCZ-OAHLLOKOSA-N 1 2 300.406 1.921 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)Nc1ccsc1C#N ZINC001176345103 778121682 /nfs/dbraw/zinc/12/16/82/778121682.db2.gz LKZLHNZJQNPJOM-LLVKDONJSA-N 1 2 303.347 1.029 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccsc1C#N ZINC001176345103 778121686 /nfs/dbraw/zinc/12/16/86/778121686.db2.gz LKZLHNZJQNPJOM-LLVKDONJSA-N 1 2 303.347 1.029 20 30 DDEDLO C=CCOCCCC(=O)N(C)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102700113 778326786 /nfs/dbraw/zinc/32/67/86/778326786.db2.gz KPHPNBBZLKVHPI-OAHLLOKOSA-N 1 2 318.421 1.805 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)COCCCC)C[C@@H]21 ZINC001176976796 778361470 /nfs/dbraw/zinc/36/14/70/778361470.db2.gz LSCNHCNVNVCZBX-HOTGVXAUSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)COCCCC)C[C@@H]21 ZINC001176976796 778361476 /nfs/dbraw/zinc/36/14/76/778361476.db2.gz LSCNHCNVNVCZBX-HOTGVXAUSA-N 1 2 308.422 1.128 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCO)[C@H]3C2)CC1 ZINC001177102470 778447599 /nfs/dbraw/zinc/44/75/99/778447599.db2.gz WCNHVRQNDPXSQP-HOTGVXAUSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CCCO)[C@H]3C2)CC1 ZINC001177102470 778447602 /nfs/dbraw/zinc/44/76/02/778447602.db2.gz WCNHVRQNDPXSQP-HOTGVXAUSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2OCC[N@@H+](CCCF)[C@@H]2C1 ZINC001177161356 778468583 /nfs/dbraw/zinc/46/85/83/778468583.db2.gz CPQJWQVQOLRNPC-HUUCEWRRSA-N 1 2 314.401 1.240 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2OCC[N@H+](CCCF)[C@@H]2C1 ZINC001177161356 778468590 /nfs/dbraw/zinc/46/85/90/778468590.db2.gz CPQJWQVQOLRNPC-HUUCEWRRSA-N 1 2 314.401 1.240 20 30 DDEDLO Cc1nc(N2CCC[C@@H](CCNC(=O)[C@H](C)C#N)C2)cc[nH+]1 ZINC001102911424 778485778 /nfs/dbraw/zinc/48/57/78/778485778.db2.gz VCVSJTHMQWBRFV-OCCSQVGLSA-N 1 2 301.394 1.667 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)cn1 ZINC001103056627 778604042 /nfs/dbraw/zinc/60/40/42/778604042.db2.gz PXZNWCOWYREKGY-ZDUSSCGKSA-N 1 2 312.377 1.616 20 30 DDEDLO CC[NH+]1CC(O)(CN(Cc2ccccc2)C(=O)[C@H](C)C#N)C1 ZINC001177516541 778631643 /nfs/dbraw/zinc/63/16/43/778631643.db2.gz WGBCFOKFFMAVPF-CQSZACIVSA-N 1 2 301.390 1.241 20 30 DDEDLO O=C(C#Cc1cccnc1)N1CC[N@@H+]2CCc3ccccc3[C@H]2C1 ZINC001177776457 778714413 /nfs/dbraw/zinc/71/44/13/778714413.db2.gz COFVQMSWYJJNCF-LJQANCHMSA-N 1 2 317.392 1.875 20 30 DDEDLO O=C(C#Cc1cccnc1)N1CC[N@H+]2CCc3ccccc3[C@H]2C1 ZINC001177776457 778714418 /nfs/dbraw/zinc/71/44/18/778714418.db2.gz COFVQMSWYJJNCF-LJQANCHMSA-N 1 2 317.392 1.875 20 30 DDEDLO C=CCOCC(=O)N[C@@](C)(CNc1cc[nH+]c(C)n1)C1CC1 ZINC001103504506 778910407 /nfs/dbraw/zinc/91/04/07/778910407.db2.gz YVAAQQHERLZQIN-INIZCTEOSA-N 1 2 304.394 1.684 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001103890557 779136699 /nfs/dbraw/zinc/13/66/99/779136699.db2.gz ZTGUPBOTOYJUST-ZDUSSCGKSA-N 1 2 317.437 1.595 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001103890557 779136706 /nfs/dbraw/zinc/13/67/06/779136706.db2.gz ZTGUPBOTOYJUST-ZDUSSCGKSA-N 1 2 317.437 1.595 20 30 DDEDLO CCCC[N@@H+]1CCOC[C@H]1C(=O)NCCCCCCNCC#N ZINC001179134114 779290823 /nfs/dbraw/zinc/29/08/23/779290823.db2.gz GKSFTSMPZYLGJT-INIZCTEOSA-N 1 2 324.469 1.277 20 30 DDEDLO CCCC[N@H+]1CCOC[C@H]1C(=O)NCCCCCCNCC#N ZINC001179134114 779290825 /nfs/dbraw/zinc/29/08/25/779290825.db2.gz GKSFTSMPZYLGJT-INIZCTEOSA-N 1 2 324.469 1.277 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nccn1C)C2 ZINC001111660336 779423650 /nfs/dbraw/zinc/42/36/50/779423650.db2.gz FHBJZCLTKVNVAQ-WWGRRREGSA-N 1 2 301.394 1.050 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nccn1C)C2 ZINC001111660336 779423655 /nfs/dbraw/zinc/42/36/55/779423655.db2.gz FHBJZCLTKVNVAQ-WWGRRREGSA-N 1 2 301.394 1.050 20 30 DDEDLO CC(C)C#CC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ncccn1)C2 ZINC001111736628 779456615 /nfs/dbraw/zinc/45/66/15/779456615.db2.gz OZKNOCMCGDTCSE-YJBOKZPZSA-N 1 2 312.417 1.607 20 30 DDEDLO CC(C)C#CC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ncccn1)C2 ZINC001111736628 779456617 /nfs/dbraw/zinc/45/66/17/779456617.db2.gz OZKNOCMCGDTCSE-YJBOKZPZSA-N 1 2 312.417 1.607 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C[C@H]2OC(=O)c3ccccc32)CC1 ZINC001180182160 779639488 /nfs/dbraw/zinc/63/94/88/779639488.db2.gz VBSRZFNAFSRXNC-OAHLLOKOSA-N 1 2 300.358 1.618 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCNC(=O)CCC=C)c1 ZINC001266262144 836003044 /nfs/dbraw/zinc/00/30/44/836003044.db2.gz IBWTYALYUILUQJ-UHFFFAOYSA-N 1 2 313.401 1.621 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCNC(=O)CCC=C)c1 ZINC001266262144 836003053 /nfs/dbraw/zinc/00/30/53/836003053.db2.gz IBWTYALYUILUQJ-UHFFFAOYSA-N 1 2 313.401 1.621 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)CCC2CCCCC2)CC1 ZINC001266297664 836074079 /nfs/dbraw/zinc/07/40/79/836074079.db2.gz ROUWHNLZFYPLOA-UHFFFAOYSA-N 1 2 306.454 1.604 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](CC)Cc1cc(OC)no1 ZINC001266418353 836228602 /nfs/dbraw/zinc/22/86/02/836228602.db2.gz WWQWLDWABVHRDB-KGLIPLIRSA-N 1 2 323.393 1.212 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](CC)Cc1cc(OC)no1 ZINC001266418353 836228612 /nfs/dbraw/zinc/22/86/12/836228612.db2.gz WWQWLDWABVHRDB-KGLIPLIRSA-N 1 2 323.393 1.212 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@H+]1[C@H](C)c1ncccn1 ZINC001266453338 836278799 /nfs/dbraw/zinc/27/87/99/836278799.db2.gz DAZVCMOTAVODEQ-ZIAGYGMSSA-N 1 2 304.394 1.321 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@@H+]1[C@H](C)c1ncccn1 ZINC001266453338 836278815 /nfs/dbraw/zinc/27/88/15/836278815.db2.gz DAZVCMOTAVODEQ-ZIAGYGMSSA-N 1 2 304.394 1.321 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CC[N@@H+](Cc2nc(CC)no2)C1 ZINC001266508205 836374995 /nfs/dbraw/zinc/37/49/95/836374995.db2.gz HACDVHHADMJBKC-CQSZACIVSA-N 1 2 318.421 1.764 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CC[N@H+](Cc2nc(CC)no2)C1 ZINC001266508205 836375008 /nfs/dbraw/zinc/37/50/08/836375008.db2.gz HACDVHHADMJBKC-CQSZACIVSA-N 1 2 318.421 1.764 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CC[N@H+](Cc2nnc(C(C)C)o2)C1 ZINC001266510676 836383089 /nfs/dbraw/zinc/38/30/89/836383089.db2.gz DXKDLXXUZYMPLD-CQSZACIVSA-N 1 2 318.421 1.791 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CC[N@@H+](Cc2nnc(C(C)C)o2)C1 ZINC001266510676 836383102 /nfs/dbraw/zinc/38/31/02/836383102.db2.gz DXKDLXXUZYMPLD-CQSZACIVSA-N 1 2 318.421 1.791 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CC[N@H+](Cc2nnc(C3CC3)o2)C1 ZINC001266511632 836386727 /nfs/dbraw/zinc/38/67/27/836386727.db2.gz ORMPXPMGUNCGIX-CYBMUJFWSA-N 1 2 316.405 1.545 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CC[N@@H+](Cc2nnc(C3CC3)o2)C1 ZINC001266511632 836386734 /nfs/dbraw/zinc/38/67/34/836386734.db2.gz ORMPXPMGUNCGIX-CYBMUJFWSA-N 1 2 316.405 1.545 20 30 DDEDLO Cc1ncoc1C[N@H+]1CCC[C@](CO)(NC(=O)C#CC(C)C)C1 ZINC001279479724 836671253 /nfs/dbraw/zinc/67/12/53/836671253.db2.gz KXQRZPBTLTWKHG-KRWDZBQOSA-N 1 2 319.405 1.086 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CCC[C@](CO)(NC(=O)C#CC(C)C)C1 ZINC001279479724 836671256 /nfs/dbraw/zinc/67/12/56/836671256.db2.gz KXQRZPBTLTWKHG-KRWDZBQOSA-N 1 2 319.405 1.086 20 30 DDEDLO CC[C@H](C)CC(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001266840669 836911201 /nfs/dbraw/zinc/91/12/01/836911201.db2.gz YYUZUAHKMWAQGY-KBPBESRZSA-N 1 2 308.426 1.033 20 30 DDEDLO CC[C@H](C)CC(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001266840669 836911208 /nfs/dbraw/zinc/91/12/08/836911208.db2.gz YYUZUAHKMWAQGY-KBPBESRZSA-N 1 2 308.426 1.033 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)CCOCCNC(=O)[C@@H](C)C#N)o1 ZINC001283360516 837187470 /nfs/dbraw/zinc/18/74/70/837187470.db2.gz WKUYMUIHCLBUFG-AAEUAGOBSA-N 1 2 308.382 1.268 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)CCOCCNC(=O)[C@@H](C)C#N)o1 ZINC001283360516 837187474 /nfs/dbraw/zinc/18/74/74/837187474.db2.gz WKUYMUIHCLBUFG-AAEUAGOBSA-N 1 2 308.382 1.268 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2nnc(CC)o2)C1 ZINC001267026255 837213213 /nfs/dbraw/zinc/21/32/13/837213213.db2.gz BMGDGLWLMWMFMQ-STQMWFEESA-N 1 2 322.409 1.476 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](N(CCC)C(=O)[C@H](F)CC)C1 ZINC001267547316 838284757 /nfs/dbraw/zinc/28/47/57/838284757.db2.gz WMYHKQANMGOPGH-ZIAGYGMSSA-N 1 2 313.417 1.350 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](N(CCC)C(=O)[C@H](F)CC)C1 ZINC001267547316 838284762 /nfs/dbraw/zinc/28/47/62/838284762.db2.gz WMYHKQANMGOPGH-ZIAGYGMSSA-N 1 2 313.417 1.350 20 30 DDEDLO CC(C)C[C@H](C(=O)NCC[C@H]1CCN(CC#N)C1)n1cc[nH+]c1 ZINC001267558115 838303200 /nfs/dbraw/zinc/30/32/00/838303200.db2.gz SHDUCQYVQXBNAE-JKSUJKDBSA-N 1 2 317.437 1.822 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)C(C)(C)C(F)(F)F ZINC001267575357 838343678 /nfs/dbraw/zinc/34/36/78/838343678.db2.gz BVSIKFXBVFSKQQ-UHFFFAOYSA-N 1 2 320.359 1.174 20 30 DDEDLO CC(C)N(C)C(=O)C[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267614501 838471556 /nfs/dbraw/zinc/47/15/56/838471556.db2.gz LAURCSKPPZXRAF-OAHLLOKOSA-N 1 2 321.465 1.483 20 30 DDEDLO CC(C)N(C)C(=O)C[N@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267614501 838471563 /nfs/dbraw/zinc/47/15/63/838471563.db2.gz LAURCSKPPZXRAF-OAHLLOKOSA-N 1 2 321.465 1.483 20 30 DDEDLO CC[C@@H](CC(=O)N(C)CC[NH+]1CCN(CC#N)CC1)C(C)C ZINC001267617328 838494565 /nfs/dbraw/zinc/49/45/65/838494565.db2.gz BWPAQGSHRUNNKH-INIZCTEOSA-N 1 2 308.470 1.658 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc3cc(C)cn3c2)C1 ZINC001267648941 838575182 /nfs/dbraw/zinc/57/51/82/838575182.db2.gz PLQZNDFBBAYGFV-UHFFFAOYSA-N 1 2 313.401 1.864 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1ncnn1C ZINC001272023529 844345709 /nfs/dbraw/zinc/34/57/09/844345709.db2.gz IPNVAIFITRAZKP-LSDHHAIUSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1ncnn1C ZINC001272023529 844345719 /nfs/dbraw/zinc/34/57/19/844345719.db2.gz IPNVAIFITRAZKP-LSDHHAIUSA-N 1 2 317.437 1.737 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COCc1ccc(OC)cc1 ZINC001267710646 838693548 /nfs/dbraw/zinc/69/35/48/838693548.db2.gz XCKYWSOVHNKRDS-HOTGVXAUSA-N 1 2 314.385 1.130 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COCc1ccc(OC)cc1 ZINC001267710646 838693553 /nfs/dbraw/zinc/69/35/53/838693553.db2.gz XCKYWSOVHNKRDS-HOTGVXAUSA-N 1 2 314.385 1.130 20 30 DDEDLO C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CS(=O)(=O)C(C)C ZINC001267717346 838718443 /nfs/dbraw/zinc/71/84/43/838718443.db2.gz SVLSQFSKUXTCMV-KBPBESRZSA-N 1 2 314.451 1.061 20 30 DDEDLO C=C(C)CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CS(=O)(=O)C(C)C ZINC001267717346 838718447 /nfs/dbraw/zinc/71/84/47/838718447.db2.gz SVLSQFSKUXTCMV-KBPBESRZSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCC(C)=C(C)C2)C1 ZINC001267741846 838812858 /nfs/dbraw/zinc/81/28/58/838812858.db2.gz PAMFJVHSOIZJAX-HZPDHXFCSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCC(C)=C(C)C2)C1 ZINC001267741846 838812871 /nfs/dbraw/zinc/81/28/71/838812871.db2.gz PAMFJVHSOIZJAX-HZPDHXFCSA-N 1 2 319.449 1.616 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1C[N@H+](CCOCC2CC2)CCO1 ZINC001268021254 839394949 /nfs/dbraw/zinc/39/49/49/839394949.db2.gz CRNUTIMGAGKFMV-INIZCTEOSA-N 1 2 322.449 1.280 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1C[N@@H+](CCOCC2CC2)CCO1 ZINC001268021254 839394964 /nfs/dbraw/zinc/39/49/64/839394964.db2.gz CRNUTIMGAGKFMV-INIZCTEOSA-N 1 2 322.449 1.280 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H](OC)C2CCCCC2)C1 ZINC001268028882 839442351 /nfs/dbraw/zinc/44/23/51/839442351.db2.gz PFTKGBLHOWKOIE-SJORKVTESA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H](OC)C2CCCCC2)C1 ZINC001268028882 839442356 /nfs/dbraw/zinc/44/23/56/839442356.db2.gz PFTKGBLHOWKOIE-SJORKVTESA-N 1 2 324.465 1.975 20 30 DDEDLO CN(CC[N@H+](C)CC(=O)NCC#N)C(=O)[C@H]1CCC=CCCC1 ZINC001268239253 839878777 /nfs/dbraw/zinc/87/87/77/839878777.db2.gz ADOWOQQZNFICMT-HNNXBMFYSA-N 1 2 320.437 1.153 20 30 DDEDLO CN(CC[N@@H+](C)CC(=O)NCC#N)C(=O)[C@H]1CCC=CCCC1 ZINC001268239253 839878787 /nfs/dbraw/zinc/87/87/87/839878787.db2.gz ADOWOQQZNFICMT-HNNXBMFYSA-N 1 2 320.437 1.153 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001268454509 840222199 /nfs/dbraw/zinc/22/21/99/840222199.db2.gz CVJCKZPJYXUVPD-SJORKVTESA-N 1 2 300.402 1.793 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC/C=C\C[NH2+]Cc1nonc1C ZINC001268602736 840558351 /nfs/dbraw/zinc/55/83/51/840558351.db2.gz MYCPEGCVMUIJBI-CWHGNCJQSA-N 1 2 320.393 1.121 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H](C)C[NH2+]Cc1cnsn1 ZINC001268713624 840701527 /nfs/dbraw/zinc/70/15/27/840701527.db2.gz UZOXBLGBYPFOMJ-LBPRGKRZSA-N 1 2 314.414 1.356 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)=C1CCC1 ZINC001268939744 841018838 /nfs/dbraw/zinc/01/88/38/841018838.db2.gz PISMWQRZNDAZEB-KBMXLJTQSA-N 1 2 317.433 1.463 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)=C1CCC1 ZINC001268939744 841018846 /nfs/dbraw/zinc/01/88/46/841018846.db2.gz PISMWQRZNDAZEB-KBMXLJTQSA-N 1 2 317.433 1.463 20 30 DDEDLO CCC(CC)[C@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#CCOC ZINC001268951960 841033491 /nfs/dbraw/zinc/03/34/91/841033491.db2.gz LBJGIYBJUCHVQC-XIRDDKMYSA-N 1 2 306.450 1.994 20 30 DDEDLO CCC(CC)[C@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC#CCOC ZINC001268951960 841033496 /nfs/dbraw/zinc/03/34/96/841033496.db2.gz LBJGIYBJUCHVQC-XIRDDKMYSA-N 1 2 306.450 1.994 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C3CC3)no2)C1 ZINC001269129976 841245224 /nfs/dbraw/zinc/24/52/24/841245224.db2.gz XBGYFEIHCYRVNK-GXTWGEPZSA-N 1 2 317.389 1.281 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C3CC3)no2)C1 ZINC001269129976 841245231 /nfs/dbraw/zinc/24/52/31/841245231.db2.gz XBGYFEIHCYRVNK-GXTWGEPZSA-N 1 2 317.389 1.281 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)c1cc[nH]c1 ZINC001269236060 841402810 /nfs/dbraw/zinc/40/28/10/841402810.db2.gz UPEFWBLZDQGWBC-OAHLLOKOSA-N 1 2 318.421 1.243 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)c1cc[nH]c1 ZINC001269236060 841402816 /nfs/dbraw/zinc/40/28/16/841402816.db2.gz UPEFWBLZDQGWBC-OAHLLOKOSA-N 1 2 318.421 1.243 20 30 DDEDLO C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)Cn1cc[nH+]c1 ZINC001269298775 841488924 /nfs/dbraw/zinc/48/89/24/841488924.db2.gz PHKHKPQMMVDLQD-ZIAGYGMSSA-N 1 2 302.378 1.051 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cnn(C(=O)OC(C)(C)C)c2)CC1 ZINC001142801972 861264709 /nfs/dbraw/zinc/26/47/09/861264709.db2.gz TUFXDCHKUBKOOD-UHFFFAOYSA-N 1 2 304.394 1.417 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)COc2ccccc2F)C1 ZINC001269886209 842132089 /nfs/dbraw/zinc/13/20/89/842132089.db2.gz AGYIMUHNNYOEBL-UHFFFAOYSA-N 1 2 322.380 1.550 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@@H+]([C@H](C)c2nnc(C)[nH]2)C1 ZINC001271185214 843394159 /nfs/dbraw/zinc/39/41/59/843394159.db2.gz UTUVAZIJKSUJCA-WBMJQRKESA-N 1 2 321.425 1.083 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@H+]([C@H](C)c2nnc(C)[nH]2)C1 ZINC001271185214 843394167 /nfs/dbraw/zinc/39/41/67/843394167.db2.gz UTUVAZIJKSUJCA-WBMJQRKESA-N 1 2 321.425 1.083 20 30 DDEDLO CC(C)C[C@@H](CNCC#N)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001271278921 843464225 /nfs/dbraw/zinc/46/42/25/843464225.db2.gz UIOWFHFTHOTCDS-KGLIPLIRSA-N 1 2 303.410 1.090 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)[C@@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001409531933 845255427 /nfs/dbraw/zinc/25/54/27/845255427.db2.gz GPHXYNBEPHYSJJ-CMPLNLGQSA-N 1 2 315.377 1.994 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)[C@@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001409531933 845255432 /nfs/dbraw/zinc/25/54/32/845255432.db2.gz GPHXYNBEPHYSJJ-CMPLNLGQSA-N 1 2 315.377 1.994 20 30 DDEDLO C[C@@H](CNC(=O)c1cn[nH]n1)[N@H+](C)Cc1ccc(C#N)cc1F ZINC001409563302 845322427 /nfs/dbraw/zinc/32/24/27/845322427.db2.gz FRASIRUHAPZVEY-JTQLQIEISA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@@H](CNC(=O)c1cn[nH]n1)[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001409563302 845322433 /nfs/dbraw/zinc/32/24/33/845322433.db2.gz FRASIRUHAPZVEY-JTQLQIEISA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@@H](CNC(=O)c1cnn[nH]1)[N@H+](C)Cc1ccc(C#N)cc1F ZINC001409563302 845322442 /nfs/dbraw/zinc/32/24/42/845322442.db2.gz FRASIRUHAPZVEY-JTQLQIEISA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@@H](CNC(=O)c1cnn[nH]1)[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001409563302 845322446 /nfs/dbraw/zinc/32/24/46/845322446.db2.gz FRASIRUHAPZVEY-JTQLQIEISA-N 1 2 316.340 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cncc2ncn(C)c21 ZINC001409574872 845340967 /nfs/dbraw/zinc/34/09/67/845340967.db2.gz XSROIEMLMURBHH-LLVKDONJSA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cncc2ncn(C)c21 ZINC001409574872 845340973 /nfs/dbraw/zinc/34/09/73/845340973.db2.gz XSROIEMLMURBHH-LLVKDONJSA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)COC(C)C)C1 ZINC001149349602 861559784 /nfs/dbraw/zinc/55/97/84/861559784.db2.gz RRZPTYFAMCANQB-CYBMUJFWSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)COC(C)C)C1 ZINC001149349602 861559797 /nfs/dbraw/zinc/55/97/97/861559797.db2.gz RRZPTYFAMCANQB-CYBMUJFWSA-N 1 2 304.818 1.371 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2cnc(C)cn2)CCCO1 ZINC001149363246 861570065 /nfs/dbraw/zinc/57/00/65/861570065.db2.gz VQQGRDKJZANOFP-MRXNPFEDSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2cnc(C)cn2)CCCO1 ZINC001149363246 861570077 /nfs/dbraw/zinc/57/00/77/861570077.db2.gz VQQGRDKJZANOFP-MRXNPFEDSA-N 1 2 318.421 1.458 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1C[N@H+](Cc2cncc(F)c2)CCCO1 ZINC001149408964 861599845 /nfs/dbraw/zinc/59/98/45/861599845.db2.gz SHPNBAGGTXDOHS-DOMZBBRYSA-N 1 2 320.368 1.087 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1C[N@@H+](Cc2cncc(F)c2)CCCO1 ZINC001149408964 861599858 /nfs/dbraw/zinc/59/98/58/861599858.db2.gz SHPNBAGGTXDOHS-DOMZBBRYSA-N 1 2 320.368 1.087 20 30 DDEDLO CC#CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC001089690151 846588222 /nfs/dbraw/zinc/58/82/22/846588222.db2.gz MHCMDJPILRHHSS-CYBMUJFWSA-N 1 2 318.421 1.369 20 30 DDEDLO CC#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC001089690151 846588230 /nfs/dbraw/zinc/58/82/30/846588230.db2.gz MHCMDJPILRHHSS-CYBMUJFWSA-N 1 2 318.421 1.369 20 30 DDEDLO Cn1cnc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccc(C#N)c2)c1 ZINC001032354635 846875105 /nfs/dbraw/zinc/87/51/05/846875105.db2.gz RVMQLJVNPNSBNM-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO Cn1cnc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccc(C#N)c2)c1 ZINC001032354635 846875115 /nfs/dbraw/zinc/87/51/15/846875115.db2.gz RVMQLJVNPNSBNM-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CCC(F)(F)F)[nH]1 ZINC001032362352 847005084 /nfs/dbraw/zinc/00/50/84/847005084.db2.gz XEEWOCNJPCZJKM-QWRGUYRKSA-N 1 2 312.295 1.737 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CCC(F)(F)F)[nH]1 ZINC001032362352 847005088 /nfs/dbraw/zinc/00/50/88/847005088.db2.gz XEEWOCNJPCZJKM-QWRGUYRKSA-N 1 2 312.295 1.737 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1COCC[N@@H+]1CC[C@H]1CCCCO1 ZINC001272876639 847578933 /nfs/dbraw/zinc/57/89/33/847578933.db2.gz IZCYYIAAGAYCJP-JKSUJKDBSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1COCC[N@H+]1CC[C@H]1CCCCO1 ZINC001272876639 847578937 /nfs/dbraw/zinc/57/89/37/847578937.db2.gz IZCYYIAAGAYCJP-JKSUJKDBSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC001034173057 848071018 /nfs/dbraw/zinc/07/10/18/848071018.db2.gz FWBQMFUHAINTAQ-NSHDSACASA-N 1 2 311.817 1.339 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC001034173057 848071022 /nfs/dbraw/zinc/07/10/22/848071022.db2.gz FWBQMFUHAINTAQ-NSHDSACASA-N 1 2 311.817 1.339 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](N2CC[NH+](CC#CC)CC2)C1 ZINC001327082199 861863419 /nfs/dbraw/zinc/86/34/19/861863419.db2.gz LGWXGWWJYFGUSU-KRWDZBQOSA-N 1 2 301.434 1.032 20 30 DDEDLO C=C(C)C[N@@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744769 861864373 /nfs/dbraw/zinc/86/43/73/861864373.db2.gz DDXZZYQKZIYPQZ-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[N@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744769 861864396 /nfs/dbraw/zinc/86/43/96/861864396.db2.gz DDXZZYQKZIYPQZ-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149744769 861864410 /nfs/dbraw/zinc/86/44/10/861864410.db2.gz DDXZZYQKZIYPQZ-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149744769 861864421 /nfs/dbraw/zinc/86/44/21/861864421.db2.gz DDXZZYQKZIYPQZ-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@H](C1CC1)N(C)C(=O)C1=NC(=O)N(C)C1 ZINC001410300518 849046666 /nfs/dbraw/zinc/04/66/66/849046666.db2.gz AVBJKFRRGMMMHY-GFCCVEGCSA-N 1 2 312.801 1.318 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cccc3nc[nH]c31)C2 ZINC001273380837 849757792 /nfs/dbraw/zinc/75/77/92/849757792.db2.gz RCVHGNVDSMOLNX-UHFFFAOYSA-N 1 2 312.373 1.162 20 30 DDEDLO C#CCCCCCC(=O)N1CCOC2(C[NH+](CCCOC)C2)C1 ZINC001327325618 862063412 /nfs/dbraw/zinc/06/34/12/862063412.db2.gz CEEKGGSMKOXXFW-UHFFFAOYSA-N 1 2 322.449 1.520 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@H](C)C[NH2+]Cc1nc(C(F)F)no1 ZINC001438631607 850286932 /nfs/dbraw/zinc/28/69/32/850286932.db2.gz FBYUEDYPRITANS-HTQZYQBOSA-N 1 2 301.297 1.103 20 30 DDEDLO CC(C)c1ocnc1C[N@H+](C)C[C@H](O)CN(C)C(=O)[C@H](C)C#N ZINC001411167168 850313717 /nfs/dbraw/zinc/31/37/17/850313717.db2.gz PGXOBAYNUNCTMP-OLZOCXBDSA-N 1 2 322.409 1.209 20 30 DDEDLO CC(C)c1ocnc1C[N@@H+](C)C[C@H](O)CN(C)C(=O)[C@H](C)C#N ZINC001411167168 850313730 /nfs/dbraw/zinc/31/37/30/850313730.db2.gz PGXOBAYNUNCTMP-OLZOCXBDSA-N 1 2 322.409 1.209 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](CC(C)(C)OCC)C[C@@]2(F)C1=O ZINC001273472736 850996263 /nfs/dbraw/zinc/99/62/63/850996263.db2.gz LHFAYXHOPLPHMA-LSDHHAIUSA-N 1 2 300.349 1.009 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](CC(C)(C)OCC)C[C@@]2(F)C1=O ZINC001273472736 850996272 /nfs/dbraw/zinc/99/62/72/850996272.db2.gz LHFAYXHOPLPHMA-LSDHHAIUSA-N 1 2 300.349 1.009 20 30 DDEDLO C#CCN1CCC2(CCN(Cc3[nH+]cn4ccccc34)CC2)C1=O ZINC001273674742 851211218 /nfs/dbraw/zinc/21/12/18/851211218.db2.gz YKUJLFBGCFBICN-UHFFFAOYSA-N 1 2 322.412 1.782 20 30 DDEDLO C=C(C)CN1C[C@]2(CCN(Cc3[nH]c(C)[nH+]c3C)C2)OCC1=O ZINC001273908937 851493665 /nfs/dbraw/zinc/49/36/65/851493665.db2.gz YGUPMMSZICHIHF-QGZVFWFLSA-N 1 2 318.421 1.406 20 30 DDEDLO COCc1noc([C@@H](C)[NH2+]C/C=C\CNC(=O)C#CC(C)C)n1 ZINC001274009773 851843584 /nfs/dbraw/zinc/84/35/84/851843584.db2.gz MBMYQRDIMVYISD-CFHLNLSMSA-N 1 2 320.393 1.198 20 30 DDEDLO CC1(C)OC[C@@H](CNC(=O)[C@@H]2CC23CC[NH+](CCC#N)CC3)O1 ZINC001274031253 851869824 /nfs/dbraw/zinc/86/98/24/851869824.db2.gz LVAUVOSPFYJFRS-KGLIPLIRSA-N 1 2 321.421 1.270 20 30 DDEDLO C=C(C)CNC(=O)[C@@H]1CC12CC[NH+](CC(=O)OC(C)C)CC2 ZINC001274063181 851898358 /nfs/dbraw/zinc/89/83/58/851898358.db2.gz LRKMCYAVNBVWHC-AWEZNQCLSA-N 1 2 308.422 1.732 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1nccnc1Cl ZINC001274442977 852275434 /nfs/dbraw/zinc/27/54/34/852275434.db2.gz AMYSMWAKLLWQMP-CHWSQXEVSA-N 1 2 306.797 1.881 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1nccnc1Cl ZINC001274442977 852275445 /nfs/dbraw/zinc/27/54/45/852275445.db2.gz AMYSMWAKLLWQMP-CHWSQXEVSA-N 1 2 306.797 1.881 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1C[C@]2(F)CN(CC=C)C(=O)[C@]2(F)C1 ZINC001274621218 852453115 /nfs/dbraw/zinc/45/31/15/852453115.db2.gz PSMOVQDYIMXBKQ-VHDGCEQUSA-N 1 2 300.349 1.074 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1C[C@]2(F)CN(CC=C)C(=O)[C@]2(F)C1 ZINC001274621218 852453119 /nfs/dbraw/zinc/45/31/19/852453119.db2.gz PSMOVQDYIMXBKQ-VHDGCEQUSA-N 1 2 300.349 1.074 20 30 DDEDLO N#Cc1cccc(F)c1C(=O)N1CC2(C1)C[NH+](Cc1ccc[nH]1)C2 ZINC001275015350 852752604 /nfs/dbraw/zinc/75/26/04/852752604.db2.gz MAFHBUHGDOXTAC-UHFFFAOYSA-N 1 2 324.359 1.983 20 30 DDEDLO C=CCOCC(=O)NCCC[NH2+]Cc1noc(CC(C)C)n1 ZINC001155732316 862414719 /nfs/dbraw/zinc/41/47/19/862414719.db2.gz ZXRBSLVPDZNZRX-UHFFFAOYSA-N 1 2 310.398 1.067 20 30 DDEDLO C=CCn1cc(C[NH+]2CC3(CN(C(=O)NC(C)C)C3)C2)cn1 ZINC001275381210 853041210 /nfs/dbraw/zinc/04/12/10/853041210.db2.gz MFESQWCUKCYDOL-UHFFFAOYSA-N 1 2 303.410 1.305 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)CCCn1cc[nH+]c1 ZINC001328113247 862701112 /nfs/dbraw/zinc/70/11/12/862701112.db2.gz MJYQNOPQOPZMRC-AWEZNQCLSA-N 1 2 303.410 1.404 20 30 DDEDLO CCn1nncc1C[NH+]1CC([C@H](C)NC(=O)C#CC(C)(C)C)C1 ZINC001276376341 856299668 /nfs/dbraw/zinc/29/96/68/856299668.db2.gz MCJNUCUSOWUGAL-ZDUSSCGKSA-N 1 2 317.437 1.284 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2cc(F)cc(C#N)c2)c[nH+]1 ZINC001413462530 856843199 /nfs/dbraw/zinc/84/31/99/856843199.db2.gz WMXGCNHNVYPHOZ-UHFFFAOYSA-N 1 2 308.338 1.461 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)c2cc(F)cc(C#N)c2)[nH+]1 ZINC001413462530 856843209 /nfs/dbraw/zinc/84/32/09/856843209.db2.gz WMXGCNHNVYPHOZ-UHFFFAOYSA-N 1 2 308.338 1.461 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)C1C[NH+](CC(=O)NCC(C)C)C1 ZINC001276381960 857225310 /nfs/dbraw/zinc/22/53/10/857225310.db2.gz PSNOGONQEKVTFE-CQSZACIVSA-N 1 2 323.481 1.797 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001072544241 857426036 /nfs/dbraw/zinc/42/60/36/857426036.db2.gz FUCNWZCZJZOCAJ-WDEREUQCSA-N 1 2 303.366 1.133 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001072544241 857426037 /nfs/dbraw/zinc/42/60/37/857426037.db2.gz FUCNWZCZJZOCAJ-WDEREUQCSA-N 1 2 303.366 1.133 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC3(C2)CC[N@H+](Cc2ccon2)C3)nc1 ZINC001073134460 858130592 /nfs/dbraw/zinc/13/05/92/858130592.db2.gz CLFMRPVAWMJHIF-UHFFFAOYSA-N 1 2 322.368 1.399 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC3(C2)CC[N@@H+](Cc2ccon2)C3)nc1 ZINC001073134460 858130597 /nfs/dbraw/zinc/13/05/97/858130597.db2.gz CLFMRPVAWMJHIF-UHFFFAOYSA-N 1 2 322.368 1.399 20 30 DDEDLO C=CCCC(=O)NC1(C)CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001073277292 858232491 /nfs/dbraw/zinc/23/24/91/858232491.db2.gz RBVKHYYMHBRMJG-UHFFFAOYSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H]2CCCOC2)C1 ZINC001073548054 858430100 /nfs/dbraw/zinc/43/01/00/858430100.db2.gz AZBSQYFPBXUDSQ-UONOGXRCSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H]2CCCOC2)C1 ZINC001073548054 858430103 /nfs/dbraw/zinc/43/01/03/858430103.db2.gz AZBSQYFPBXUDSQ-UONOGXRCSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C2CC(F)(F)C2)C1 ZINC001073564351 858444741 /nfs/dbraw/zinc/44/47/41/858444741.db2.gz XDKJKRAZYHQQBW-GFCCVEGCSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C2CC(F)(F)C2)C1 ZINC001073564351 858444742 /nfs/dbraw/zinc/44/47/42/858444742.db2.gz XDKJKRAZYHQQBW-GFCCVEGCSA-N 1 2 322.783 1.991 20 30 DDEDLO CN(CCCN(C)c1ccc(C#N)cn1)C(=O)Cn1cc[nH+]c1 ZINC001112100741 858569943 /nfs/dbraw/zinc/56/99/43/858569943.db2.gz ZPWCDMJGWCZSLU-UHFFFAOYSA-N 1 2 312.377 1.135 20 30 DDEDLO C#CCOCCC(=O)N1C[C@@H](Nc2cc[nH+]c(C)n2)C[C@@H]1C ZINC001123281356 859160942 /nfs/dbraw/zinc/16/09/42/859160942.db2.gz VANZYSKYVGSCRO-JSGCOSHPSA-N 1 2 302.378 1.226 20 30 DDEDLO CC(C)(CNC(=O)[C@@H](CC#N)c1ccccc1)[NH+]1CCOCC1 ZINC001361289896 881396577 /nfs/dbraw/zinc/39/65/77/881396577.db2.gz JTZZKUWPNBWMJJ-INIZCTEOSA-N 1 2 315.417 1.911 20 30 DDEDLO C=CCCC(=O)NCC[NH2+]Cc1nc(-c2cccs2)no1 ZINC001124640847 859759457 /nfs/dbraw/zinc/75/94/57/859759457.db2.gz QUKXBSFFLOKBHE-UHFFFAOYSA-N 1 2 306.391 1.970 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](O)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001124959090 859855255 /nfs/dbraw/zinc/85/52/55/859855255.db2.gz ZYRXKKRIJTXGBZ-HNNXBMFYSA-N 1 2 320.437 1.624 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125223432 859925820 /nfs/dbraw/zinc/92/58/20/859925820.db2.gz MGXMFPXNZNTFQK-HNNXBMFYSA-N 1 2 318.421 1.234 20 30 DDEDLO CN(CCC#N)Cc1cccc(OCC[NH+]2CCOCC2)c1 ZINC001139759996 860473470 /nfs/dbraw/zinc/47/34/70/860473470.db2.gz IMTAHMRYPBSPQD-UHFFFAOYSA-N 1 2 303.406 1.743 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(Cc3ccc(C#N)cc3F)C2)C[C@H](C)O1 ZINC001140140721 860581842 /nfs/dbraw/zinc/58/18/42/860581842.db2.gz JDIRVKGXDBFUNQ-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(Cc3ccc(C#N)cc3F)C2)C[C@H](C)O1 ZINC001140140721 860581848 /nfs/dbraw/zinc/58/18/48/860581848.db2.gz JDIRVKGXDBFUNQ-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)cn1 ZINC001140544247 860653943 /nfs/dbraw/zinc/65/39/43/860653943.db2.gz IKHGPGWRCFPGJF-MRXNPFEDSA-N 1 2 314.389 1.167 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)cn1 ZINC001140544247 860653949 /nfs/dbraw/zinc/65/39/49/860653949.db2.gz IKHGPGWRCFPGJF-MRXNPFEDSA-N 1 2 314.389 1.167 20 30 DDEDLO C=CCOCc1ncn2c1C[N@H+](Cc1cncn1C)CCC2 ZINC001204382962 860856862 /nfs/dbraw/zinc/85/68/62/860856862.db2.gz VJKAFSAPGZBWCT-UHFFFAOYSA-N 1 2 301.394 1.725 20 30 DDEDLO C=CCOCc1ncn2c1C[N@@H+](Cc1cncn1C)CCC2 ZINC001204382962 860856873 /nfs/dbraw/zinc/85/68/73/860856873.db2.gz VJKAFSAPGZBWCT-UHFFFAOYSA-N 1 2 301.394 1.725 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)C(=O)/C=C/c2ccc(F)cc2)c1 ZINC001141920908 860969323 /nfs/dbraw/zinc/96/93/23/860969323.db2.gz AHHDJRHNTMRDHK-QPJJXVBHSA-N 1 2 301.321 1.500 20 30 DDEDLO C[C@@H]([NH2+]CCNC(=O)CC#Cc1ccccc1)c1csnn1 ZINC001151958386 863081192 /nfs/dbraw/zinc/08/11/92/863081192.db2.gz FQQKHWRWRXBZPV-CYBMUJFWSA-N 1 2 314.414 1.747 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H](C)[NH2+]Cc1nc(C(F)F)no1 ZINC001328993968 863403695 /nfs/dbraw/zinc/40/36/95/863403695.db2.gz AMRSTKBOOFHLTL-IUCAKERBSA-N 1 2 302.325 1.956 20 30 DDEDLO CC#CC[NH2+][C@@H](C)[C@@H](C)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001329090394 863469978 /nfs/dbraw/zinc/46/99/78/863469978.db2.gz LFVVYVXJGQERFC-QWHCGFSZSA-N 1 2 311.389 1.591 20 30 DDEDLO C=C(CCC(=O)OC)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC001152865761 863576835 /nfs/dbraw/zinc/57/68/35/863576835.db2.gz FOSPSUFDVUOCCL-UHFFFAOYSA-N 1 2 313.357 1.998 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)[N@@H+](C)Cc1c(C)nnn1CC ZINC001329524954 863711864 /nfs/dbraw/zinc/71/18/64/863711864.db2.gz UTTJLARSHUMRQT-LBPRGKRZSA-N 1 2 307.442 1.755 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)[N@H+](C)Cc1c(C)nnn1CC ZINC001329524954 863711870 /nfs/dbraw/zinc/71/18/70/863711870.db2.gz UTTJLARSHUMRQT-LBPRGKRZSA-N 1 2 307.442 1.755 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(C2CCCC2)no1 ZINC001153135736 863731442 /nfs/dbraw/zinc/73/14/42/863731442.db2.gz ZVWYGIPHGSIRKE-GFCCVEGCSA-N 1 2 322.409 1.524 20 30 DDEDLO C=CCC[NH+]1CC(CCO)(NC(=O)c2oc(CC)nc2C)C1 ZINC001329708168 863803566 /nfs/dbraw/zinc/80/35/66/863803566.db2.gz VNGAQRFMJKIIBO-UHFFFAOYSA-N 1 2 307.394 1.288 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](C)C1C[NH+](Cc2ccc(F)cn2)C1 ZINC001329983155 863992098 /nfs/dbraw/zinc/99/20/98/863992098.db2.gz IGIYQBWUDAGLDV-YVEFUNNKSA-N 1 2 321.396 1.484 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccc(C)o2)C(C)(C)C1 ZINC001330170629 864120573 /nfs/dbraw/zinc/12/05/73/864120573.db2.gz KXXHOXZBVXMCGK-AWEZNQCLSA-N 1 2 319.405 1.330 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccc(C)o2)C(C)(C)C1 ZINC001330170629 864120579 /nfs/dbraw/zinc/12/05/79/864120579.db2.gz KXXHOXZBVXMCGK-AWEZNQCLSA-N 1 2 319.405 1.330 20 30 DDEDLO Cc1ccc([C@@H](C#N)c2ccc(-n3[nH]c(=O)nc3C[NH3+])nn2)cc1 ZINC001157933395 864280786 /nfs/dbraw/zinc/28/07/86/864280786.db2.gz VCKGPPKLQFITCF-GFCCVEGCSA-N 1 2 321.344 1.186 20 30 DDEDLO COc1cc(N2CCN(c3cc(C)c(C#N)cn3)CC2)cc[nH+]1 ZINC001158098966 864426834 /nfs/dbraw/zinc/42/68/34/864426834.db2.gz GUCGGCOHTDHQTM-UHFFFAOYSA-N 1 2 309.373 1.992 20 30 DDEDLO COC(=O)c1c[nH]c2nc[nH+]c(NC=CC(=O)C(F)(F)F)c21 ZINC001158557975 864725771 /nfs/dbraw/zinc/72/57/71/864725771.db2.gz OAPVZMIIPCWULU-NSCUHMNNSA-N 1 2 314.223 1.753 20 30 DDEDLO Cc1nc(-n2cncn2)cc(NCc2ccc(C#N)c(F)c2)[nH+]1 ZINC001159087245 865099991 /nfs/dbraw/zinc/09/99/91/865099991.db2.gz YZIVFVRUZHOAHE-UHFFFAOYSA-N 1 2 309.308 1.989 20 30 DDEDLO N#CCSCC(=O)NCCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001159333056 865235940 /nfs/dbraw/zinc/23/59/40/865235940.db2.gz WIBRRAWBTJOALV-UHFFFAOYSA-N 1 2 320.418 1.570 20 30 DDEDLO N#CCSCC(=O)NCCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001159333056 865235956 /nfs/dbraw/zinc/23/59/56/865235956.db2.gz WIBRRAWBTJOALV-UHFFFAOYSA-N 1 2 320.418 1.570 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@H+](Cc2cnns2)CC1 ZINC001159575760 865406577 /nfs/dbraw/zinc/40/65/77/865406577.db2.gz BNPHSHUZEBMVFI-UHFFFAOYSA-N 1 2 322.434 1.379 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@@H+](Cc2cnns2)CC1 ZINC001159575760 865406585 /nfs/dbraw/zinc/40/65/85/865406585.db2.gz BNPHSHUZEBMVFI-UHFFFAOYSA-N 1 2 322.434 1.379 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@H+](Cc2nonc2C)CC1 ZINC001159957484 865614801 /nfs/dbraw/zinc/61/48/01/865614801.db2.gz DJVDKZPRPKEJFL-UHFFFAOYSA-N 1 2 316.405 1.820 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@@H+](Cc2nonc2C)CC1 ZINC001159957484 865614803 /nfs/dbraw/zinc/61/48/03/865614803.db2.gz DJVDKZPRPKEJFL-UHFFFAOYSA-N 1 2 316.405 1.820 20 30 DDEDLO O=C(C#CC1CC1)NCCC1=CC[N@H+](Cc2ncccn2)CC1 ZINC001160081506 865671873 /nfs/dbraw/zinc/67/18/73/865671873.db2.gz ODANOEQQDQQKDE-UHFFFAOYSA-N 1 2 310.401 1.528 20 30 DDEDLO O=C(C#CC1CC1)NCCC1=CC[N@@H+](Cc2ncccn2)CC1 ZINC001160081506 865671879 /nfs/dbraw/zinc/67/18/79/865671879.db2.gz ODANOEQQDQQKDE-UHFFFAOYSA-N 1 2 310.401 1.528 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@H+](Cc2ccn(C)n2)[C@H]1C ZINC001332221318 865676921 /nfs/dbraw/zinc/67/69/21/865676921.db2.gz XJDRTCDMUSETMB-GOEBONIOSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccn(C)n2)[C@H]1C ZINC001332221318 865676929 /nfs/dbraw/zinc/67/69/29/865676929.db2.gz XJDRTCDMUSETMB-GOEBONIOSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+]1CCNC(=O)NC(C)(C)C ZINC001323198175 866423670 /nfs/dbraw/zinc/42/36/70/866423670.db2.gz HTROCPKZEYGKHM-ZDUSSCGKSA-N 1 2 310.442 1.241 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+]1CCNC(=O)NC(C)(C)C ZINC001323198175 866423673 /nfs/dbraw/zinc/42/36/73/866423673.db2.gz HTROCPKZEYGKHM-ZDUSSCGKSA-N 1 2 310.442 1.241 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001323199838 866425615 /nfs/dbraw/zinc/42/56/15/866425615.db2.gz SEDYQKJLVZVBJE-LBPRGKRZSA-N 1 2 305.403 1.513 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001323199838 866425618 /nfs/dbraw/zinc/42/56/18/866425618.db2.gz SEDYQKJLVZVBJE-LBPRGKRZSA-N 1 2 305.403 1.513 20 30 DDEDLO CC#CCCCC(=O)N(C)CC[N@@H+](C)Cc1cncc(OC)n1 ZINC001320033763 866439358 /nfs/dbraw/zinc/43/93/58/866439358.db2.gz DDEJUSGPBQBXIL-UHFFFAOYSA-N 1 2 318.421 1.569 20 30 DDEDLO CC#CCCCC(=O)N(C)CC[N@H+](C)Cc1cncc(OC)n1 ZINC001320033763 866439368 /nfs/dbraw/zinc/43/93/68/866439368.db2.gz DDEJUSGPBQBXIL-UHFFFAOYSA-N 1 2 318.421 1.569 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CC(=O)Nc2ccc(OC)cc2OC)C1 ZINC001320040023 866442016 /nfs/dbraw/zinc/44/20/16/866442016.db2.gz URGACPRQACNHCE-AWEZNQCLSA-N 1 2 320.389 1.919 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CC(=O)Nc2ccc(OC)cc2OC)C1 ZINC001320040023 866442017 /nfs/dbraw/zinc/44/20/17/866442017.db2.gz URGACPRQACNHCE-AWEZNQCLSA-N 1 2 320.389 1.919 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)Cc1ccccc1 ZINC001323261395 866483675 /nfs/dbraw/zinc/48/36/75/866483675.db2.gz JEARQXMVHWMFGS-GOEBONIOSA-N 1 2 315.417 1.110 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)Cc1ccccc1 ZINC001323261395 866483682 /nfs/dbraw/zinc/48/36/82/866483682.db2.gz JEARQXMVHWMFGS-GOEBONIOSA-N 1 2 315.417 1.110 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C(C)(C)CC=C ZINC001323309290 866519068 /nfs/dbraw/zinc/51/90/68/866519068.db2.gz NYQWRUDSTCDVSA-ZIAGYGMSSA-N 1 2 307.438 1.470 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C(C)(C)CC=C ZINC001323309290 866519078 /nfs/dbraw/zinc/51/90/78/866519078.db2.gz NYQWRUDSTCDVSA-ZIAGYGMSSA-N 1 2 307.438 1.470 20 30 DDEDLO COC(=O)c1cc(C#N)cnc1NC1CN(c2cccc[nH+]2)C1 ZINC001161325816 866535276 /nfs/dbraw/zinc/53/52/76/866535276.db2.gz ITIBOHLWDBQNNM-UHFFFAOYSA-N 1 2 309.329 1.436 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C1C[NH+](Cc2ccc(CC)cc2)C1 ZINC001323921595 866950295 /nfs/dbraw/zinc/95/02/95/866950295.db2.gz RKKZRETZVMFAKS-HNNXBMFYSA-N 1 2 314.429 1.930 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C1C[NH+](CCc2ccnn2C)C1 ZINC001323977711 866988172 /nfs/dbraw/zinc/98/81/72/866988172.db2.gz BSOXDVCULVGKMT-QGZVFWFLSA-N 1 2 304.438 1.708 20 30 DDEDLO CC1(CC(=O)N[C@@]2(C)CC[N@H+](CC(=O)NCC#N)C2)CCCC1 ZINC001324608817 867402480 /nfs/dbraw/zinc/40/24/80/867402480.db2.gz RNLCQUGXNRLUSE-KRWDZBQOSA-N 1 2 320.437 1.177 20 30 DDEDLO CC1(CC(=O)N[C@@]2(C)CC[N@@H+](CC(=O)NCC#N)C2)CCCC1 ZINC001324608817 867402490 /nfs/dbraw/zinc/40/24/90/867402490.db2.gz RNLCQUGXNRLUSE-KRWDZBQOSA-N 1 2 320.437 1.177 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@](C)(NC(=O)C#CC(C)C)C2)on1 ZINC001324615134 867407091 /nfs/dbraw/zinc/40/70/91/867407091.db2.gz MGSNTCUTJSIYQQ-INIZCTEOSA-N 1 2 305.378 1.423 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@](C)(NC(=O)C#CC(C)C)C2)on1 ZINC001324615134 867407103 /nfs/dbraw/zinc/40/71/03/867407103.db2.gz MGSNTCUTJSIYQQ-INIZCTEOSA-N 1 2 305.378 1.423 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC001334604849 867703185 /nfs/dbraw/zinc/70/31/85/867703185.db2.gz YBGOEKLFHMLRGP-UHFFFAOYSA-N 1 2 301.390 1.153 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1C[N@H+]([C@@H](C)C(N)=O)CCC1(F)F ZINC001325049962 867720845 /nfs/dbraw/zinc/72/08/45/867720845.db2.gz GHCUYMPDPOAKTB-RYUDHWBXSA-N 1 2 317.380 1.290 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1C[N@@H+]([C@@H](C)C(N)=O)CCC1(F)F ZINC001325049962 867720855 /nfs/dbraw/zinc/72/08/55/867720855.db2.gz GHCUYMPDPOAKTB-RYUDHWBXSA-N 1 2 317.380 1.290 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNc2cc(C#N)cc(C(F)(F)F)n2)C1 ZINC001162682557 867724963 /nfs/dbraw/zinc/72/49/63/867724963.db2.gz DKVFFPOWAOZQDH-JTQLQIEISA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNc2cc(C#N)cc(C(F)(F)F)n2)C1 ZINC001162682557 867724971 /nfs/dbraw/zinc/72/49/71/867724971.db2.gz DKVFFPOWAOZQDH-JTQLQIEISA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNc2nc3ccccc3nc2C(C#N)C#N)C1 ZINC001162683301 867727003 /nfs/dbraw/zinc/72/70/03/867727003.db2.gz SPWXQPLOCCGQFR-ZDUSSCGKSA-N 1 2 322.372 1.503 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNc2nc3ccccc3nc2C(C#N)C#N)C1 ZINC001162683301 867727008 /nfs/dbraw/zinc/72/70/08/867727008.db2.gz SPWXQPLOCCGQFR-ZDUSSCGKSA-N 1 2 322.372 1.503 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@](O)(CNC(=O)C#CC(C)(C)C)C2)co1 ZINC001325097744 867767820 /nfs/dbraw/zinc/76/78/20/867767820.db2.gz OIUDPNJCZJNKDR-QGZVFWFLSA-N 1 2 319.405 1.086 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@](O)(CNC(=O)C#CC(C)(C)C)C2)co1 ZINC001325097744 867767829 /nfs/dbraw/zinc/76/78/29/867767829.db2.gz OIUDPNJCZJNKDR-QGZVFWFLSA-N 1 2 319.405 1.086 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC001325222023 867872759 /nfs/dbraw/zinc/87/27/59/867872759.db2.gz DOFLGBPGFQVSKS-GHMZBOCLSA-N 1 2 323.418 1.451 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC001325222023 867872764 /nfs/dbraw/zinc/87/27/64/867872764.db2.gz DOFLGBPGFQVSKS-GHMZBOCLSA-N 1 2 323.418 1.451 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccc(C)c3cccnc23)C1 ZINC001325246921 867889638 /nfs/dbraw/zinc/88/96/38/867889638.db2.gz WOYFPVWPYXAMBW-UHFFFAOYSA-N 1 2 323.396 1.343 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cnc(C(C)C)s2)C1 ZINC001325317862 867938629 /nfs/dbraw/zinc/93/86/29/867938629.db2.gz FSIHWJAUWMEUNL-UHFFFAOYSA-N 1 2 307.419 1.066 20 30 DDEDLO CC#CC[N@H+](C)C[C@@H](C)NC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001322274123 868093055 /nfs/dbraw/zinc/09/30/55/868093055.db2.gz MAJDBGDILBGWLD-CHWSQXEVSA-N 1 2 302.422 1.608 20 30 DDEDLO CC#CC[N@@H+](C)C[C@@H](C)NC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001322274123 868093066 /nfs/dbraw/zinc/09/30/66/868093066.db2.gz MAJDBGDILBGWLD-CHWSQXEVSA-N 1 2 302.422 1.608 20 30 DDEDLO C=CC1(CC(=O)N2CC[NH2+]C[C@H]2C(=O)OCC)CCCCC1 ZINC001335265589 868188156 /nfs/dbraw/zinc/18/81/56/868188156.db2.gz QXDQZVNQWJNEQC-AWEZNQCLSA-N 1 2 308.422 1.877 20 30 DDEDLO CN(CCC[NH2+]Cc1noc(C2CCC2)n1)C(=O)C#CC1CC1 ZINC001322502877 868206508 /nfs/dbraw/zinc/20/65/08/868206508.db2.gz RQLAHMANHQMZQO-UHFFFAOYSA-N 1 2 316.405 1.689 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H]2C[N@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001325740489 868311412 /nfs/dbraw/zinc/31/14/12/868311412.db2.gz KBMKFWPKIAAGAI-OKILXGFUSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H]2C[N@@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001325740489 868311431 /nfs/dbraw/zinc/31/14/31/868311431.db2.gz KBMKFWPKIAAGAI-OKILXGFUSA-N 1 2 317.437 1.308 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(-c2ncc(Cl)cc2C#N)cn1 ZINC001163411024 868428524 /nfs/dbraw/zinc/42/85/24/868428524.db2.gz BJVJOLGYDBVECO-LBPRGKRZSA-N 1 2 319.752 1.225 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001164223442 869035094 /nfs/dbraw/zinc/03/50/94/869035094.db2.gz SNSAOUYJDFFFKL-OLZOCXBDSA-N 1 2 322.457 1.482 20 30 DDEDLO CC#CCCCC(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001164245054 869053275 /nfs/dbraw/zinc/05/32/75/869053275.db2.gz UMXQTLRAVKDMAV-UHFFFAOYSA-N 1 2 320.441 1.218 20 30 DDEDLO Cc1[nH+]cn(-c2ccc(NC(=NC#N)c3ccncc3)nn2)c1C ZINC001164505801 869240806 /nfs/dbraw/zinc/24/08/06/869240806.db2.gz IWCQTRPGODHKTL-UHFFFAOYSA-N 1 2 318.344 1.823 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001338281997 869901871 /nfs/dbraw/zinc/90/18/71/869901871.db2.gz HGTFFMSVXLKXRR-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)[C@H]2CCC(C)(C)C2)CC1 ZINC001316967920 870010773 /nfs/dbraw/zinc/01/07/73/870010773.db2.gz JFHMOPZQIRSPQG-INIZCTEOSA-N 1 2 305.466 1.570 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)C(F)F)C1 ZINC001316968822 870013634 /nfs/dbraw/zinc/01/36/34/870013634.db2.gz ZUYFVKOZTPVKBL-NEPJUHHUSA-N 1 2 317.380 1.255 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)C(F)F)C1 ZINC001316968822 870013646 /nfs/dbraw/zinc/01/36/46/870013646.db2.gz ZUYFVKOZTPVKBL-NEPJUHHUSA-N 1 2 317.380 1.255 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ncoc2C(C)C)C1 ZINC001316977793 870044055 /nfs/dbraw/zinc/04/40/55/870044055.db2.gz YCVJMWMLKKBAKH-DYVFJYSZSA-N 1 2 321.421 1.816 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ncoc2C(C)C)C1 ZINC001316977793 870044061 /nfs/dbraw/zinc/04/40/61/870044061.db2.gz YCVJMWMLKKBAKH-DYVFJYSZSA-N 1 2 321.421 1.816 20 30 DDEDLO CC#CC[NH2+][C@@H]1CCCC[C@H]1NC(=O)c1cncc2nc[nH]c21 ZINC001226348696 882376361 /nfs/dbraw/zinc/37/63/61/882376361.db2.gz VIRMLKOTUHQONI-ZIAGYGMSSA-N 1 2 311.389 1.612 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001317035322 870144450 /nfs/dbraw/zinc/14/44/50/870144450.db2.gz KOSFVQSEYZGABI-CQSZACIVSA-N 1 2 305.426 1.452 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001317035322 870144454 /nfs/dbraw/zinc/14/44/54/870144454.db2.gz KOSFVQSEYZGABI-CQSZACIVSA-N 1 2 305.426 1.452 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N1CC[C@@H](OC)C1 ZINC001338748786 870146780 /nfs/dbraw/zinc/14/67/80/870146780.db2.gz FYQXWAFMADBCIF-ZIAGYGMSSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N1CC[C@@H](OC)C1 ZINC001338748786 870146787 /nfs/dbraw/zinc/14/67/87/870146787.db2.gz FYQXWAFMADBCIF-ZIAGYGMSSA-N 1 2 305.426 1.846 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)c3ccccn3)n2C)CC1 ZINC001339054111 870322519 /nfs/dbraw/zinc/32/25/19/870322519.db2.gz WXVXXYKOAHYAEN-CQSZACIVSA-N 1 2 310.405 1.117 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)C1(CCC)CC1 ZINC001317177912 870423266 /nfs/dbraw/zinc/42/32/66/870423266.db2.gz JVTDYZMKLOKUCN-OAHLLOKOSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)C1(CCC)CC1 ZINC001317177912 870423278 /nfs/dbraw/zinc/42/32/78/870423278.db2.gz JVTDYZMKLOKUCN-OAHLLOKOSA-N 1 2 319.449 1.287 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1C ZINC001339282311 870436159 /nfs/dbraw/zinc/43/61/59/870436159.db2.gz FVXWHLCYPCNKRU-HUUCEWRRSA-N 1 2 317.437 1.589 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1C ZINC001339282311 870436171 /nfs/dbraw/zinc/43/61/71/870436171.db2.gz FVXWHLCYPCNKRU-HUUCEWRRSA-N 1 2 317.437 1.589 20 30 DDEDLO C=C1CCN(c2nnc([C@@]3(C)C[C@@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001339354605 870458478 /nfs/dbraw/zinc/45/84/78/870458478.db2.gz RKVTZSPUTSRTFJ-CZUORRHYSA-N 1 2 305.426 1.366 20 30 DDEDLO C=C1CCN(c2nnc([C@@]3(C)C[C@@H](O)C[N@H+]3C)n2CC)CC1 ZINC001339354605 870458490 /nfs/dbraw/zinc/45/84/90/870458490.db2.gz RKVTZSPUTSRTFJ-CZUORRHYSA-N 1 2 305.426 1.366 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C1C[NH+](CC(=O)NC2CCCC2)C1 ZINC001276414335 870673883 /nfs/dbraw/zinc/67/38/83/870673883.db2.gz OQNKMIGOCGOSDN-CYBMUJFWSA-N 1 2 321.465 1.694 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NCCC[C@@H]1CCCN1CC#N ZINC001317425848 870808589 /nfs/dbraw/zinc/80/85/89/870808589.db2.gz CERFJUBKJRCRPP-CQSZACIVSA-N 1 2 303.410 1.237 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC(c2c[nH+]cn2C)CC1)[C@@H]1CCCO1 ZINC001340076144 870877627 /nfs/dbraw/zinc/87/76/27/870877627.db2.gz UIOWQJJUBRRCAK-HOCLYGCPSA-N 1 2 316.405 1.490 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@@H+](Cc2cnn(C)n2)C1 ZINC001317528366 870998482 /nfs/dbraw/zinc/99/84/82/870998482.db2.gz RSCRPSOCNLGXDG-OAHLLOKOSA-N 1 2 317.437 1.337 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@H+](Cc2cnn(C)n2)C1 ZINC001317528366 870998499 /nfs/dbraw/zinc/99/84/99/870998499.db2.gz RSCRPSOCNLGXDG-OAHLLOKOSA-N 1 2 317.437 1.337 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](CCS(C)(=O)=O)[C@@H]1CC ZINC001316733533 871213150 /nfs/dbraw/zinc/21/31/50/871213150.db2.gz UNXVWCCBDBENFO-UONOGXRCSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](CCS(C)(=O)=O)[C@@H]1CC ZINC001316733533 871213163 /nfs/dbraw/zinc/21/31/63/871213163.db2.gz UNXVWCCBDBENFO-UONOGXRCSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CC1CCN(c2nnc([C@@]3(C)C[C@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001340762415 871336490 /nfs/dbraw/zinc/33/64/90/871336490.db2.gz KHEVSXKVLQJSFX-WMLDXEAASA-N 1 2 319.453 1.612 20 30 DDEDLO C=CC1CCN(c2nnc([C@@]3(C)C[C@H](O)C[N@H+]3C)n2CC)CC1 ZINC001340762415 871336507 /nfs/dbraw/zinc/33/65/07/871336507.db2.gz KHEVSXKVLQJSFX-WMLDXEAASA-N 1 2 319.453 1.612 20 30 DDEDLO C#CCOc1cccc(NC(=O)NCC[N@@H+]2CCO[C@H](C)C2)c1 ZINC001340783359 871347579 /nfs/dbraw/zinc/34/75/79/871347579.db2.gz NUQJMDHVMXONFR-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CCOc1cccc(NC(=O)NCC[N@H+]2CCO[C@H](C)C2)c1 ZINC001340783359 871347600 /nfs/dbraw/zinc/34/76/00/871347600.db2.gz NUQJMDHVMXONFR-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)CC2CC(F)(F)C2)CC1 ZINC001317819283 871544056 /nfs/dbraw/zinc/54/40/56/871544056.db2.gz WBVSVNPFQXZUMA-UHFFFAOYSA-N 1 2 315.408 1.684 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@]2(CC)CCNC2=O)C1 ZINC001317973506 871664482 /nfs/dbraw/zinc/66/44/82/871664482.db2.gz YPQKUFZMEWILHI-SWLSCSKDSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@]2(CC)CCNC2=O)C1 ZINC001317973506 871664487 /nfs/dbraw/zinc/66/44/87/871664487.db2.gz YPQKUFZMEWILHI-SWLSCSKDSA-N 1 2 313.829 1.236 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]([N@@H+](CCO)Cc2ccn(C)n2)C1 ZINC001316744356 871713664 /nfs/dbraw/zinc/71/36/64/871713664.db2.gz OBVGAMZVNVTGJL-MRXNPFEDSA-N 1 2 320.437 1.172 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]([N@H+](CCO)Cc2ccn(C)n2)C1 ZINC001316744356 871713671 /nfs/dbraw/zinc/71/36/71/871713671.db2.gz OBVGAMZVNVTGJL-MRXNPFEDSA-N 1 2 320.437 1.172 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1C[NH+](Cc2cc(F)ccc2F)C1 ZINC001318117120 871757549 /nfs/dbraw/zinc/75/75/49/871757549.db2.gz AKQDZINLZVDZHA-QGZVFWFLSA-N 1 2 324.371 1.840 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1ccc(C)o1 ZINC001318219531 871846089 /nfs/dbraw/zinc/84/60/89/871846089.db2.gz HOVCXGUVQUSXLT-PMPSAXMXSA-N 1 2 316.401 1.626 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1ccc(C)o1 ZINC001318219531 871846098 /nfs/dbraw/zinc/84/60/98/871846098.db2.gz HOVCXGUVQUSXLT-PMPSAXMXSA-N 1 2 316.401 1.626 20 30 DDEDLO CCOCC(=O)N[C@@H]1C[N@H+](Cc2cc(F)ccc2C#N)C[C@H]1C ZINC001205834233 871846744 /nfs/dbraw/zinc/84/67/44/871846744.db2.gz NOEHZJZDMDXNJV-MLGOLLRUSA-N 1 2 319.380 1.670 20 30 DDEDLO CCOCC(=O)N[C@@H]1C[N@@H+](Cc2cc(F)ccc2C#N)C[C@H]1C ZINC001205834233 871846761 /nfs/dbraw/zinc/84/67/61/871846761.db2.gz NOEHZJZDMDXNJV-MLGOLLRUSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@@H]1C[C@H]1C[N@@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001277143282 882554706 /nfs/dbraw/zinc/55/47/06/882554706.db2.gz SRFCKOKZRJQIRN-YPMHNXCESA-N 1 2 313.405 1.409 20 30 DDEDLO C[C@@H]1C[C@H]1C[N@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001277143282 882554724 /nfs/dbraw/zinc/55/47/24/882554724.db2.gz SRFCKOKZRJQIRN-YPMHNXCESA-N 1 2 313.405 1.409 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N(C)[C@@H](C)CC#N ZINC001341868465 871868138 /nfs/dbraw/zinc/86/81/38/871868138.db2.gz OMJOOZYJHNZISU-ZDUSSCGKSA-N 1 2 313.409 1.917 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1CC[NH+](Cc2nc3c(o2)CCCC3)CC1 ZINC001226639796 882560519 /nfs/dbraw/zinc/56/05/19/882560519.db2.gz GZNBFNLZDYSOGI-LBPRGKRZSA-N 1 2 316.405 1.794 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@H+](Cc2nccs2)C1 ZINC001318403445 871999979 /nfs/dbraw/zinc/99/99/79/871999979.db2.gz RYDCRUBXBMBYIL-ZDUSSCGKSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2nccs2)C1 ZINC001318403445 871999993 /nfs/dbraw/zinc/99/99/93/871999993.db2.gz RYDCRUBXBMBYIL-ZDUSSCGKSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cocn3)n2CC(=C)C)CC1 ZINC001342105136 872006909 /nfs/dbraw/zinc/00/69/09/872006909.db2.gz YVOAFTQAXUVFIN-UHFFFAOYSA-N 1 2 312.377 1.264 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(CC)C(=O)C[C@@H]2CCCS2(=O)=O)C1 ZINC001318479565 872103932 /nfs/dbraw/zinc/10/39/32/872103932.db2.gz RKBMIOFMQMSLOE-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)C[C@@H]2CCCS2(=O)=O)C1 ZINC001318479565 872103939 /nfs/dbraw/zinc/10/39/39/872103939.db2.gz RKBMIOFMQMSLOE-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[C@H](NC(=O)NCC[N@H+]1CCOC[C@H]1C)c1ccncc1 ZINC001318521552 872127442 /nfs/dbraw/zinc/12/74/42/872127442.db2.gz BZIJHVKVCPNWLH-ZBFHGGJFSA-N 1 2 318.421 1.719 20 30 DDEDLO C=CC[C@H](NC(=O)NCC[N@@H+]1CCOC[C@H]1C)c1ccncc1 ZINC001318521552 872127447 /nfs/dbraw/zinc/12/74/47/872127447.db2.gz BZIJHVKVCPNWLH-ZBFHGGJFSA-N 1 2 318.421 1.719 20 30 DDEDLO CCc1nnc(C[N@H+](CC)CCCNC(=O)[C@@H](C)C#N)s1 ZINC001316887379 872225020 /nfs/dbraw/zinc/22/50/20/872225020.db2.gz HIPQXBIJOCHWDG-NSHDSACASA-N 1 2 309.439 1.588 20 30 DDEDLO CCc1nnc(C[N@@H+](CC)CCCNC(=O)[C@@H](C)C#N)s1 ZINC001316887379 872225029 /nfs/dbraw/zinc/22/50/29/872225029.db2.gz HIPQXBIJOCHWDG-NSHDSACASA-N 1 2 309.439 1.588 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1nnc(CCCC)s1 ZINC001319551911 872688800 /nfs/dbraw/zinc/68/88/00/872688800.db2.gz IRIRAQXAPMBOEZ-UHFFFAOYSA-N 1 2 310.423 1.401 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1nnc(CCCC)s1 ZINC001319551911 872688806 /nfs/dbraw/zinc/68/88/06/872688806.db2.gz IRIRAQXAPMBOEZ-UHFFFAOYSA-N 1 2 310.423 1.401 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001206915836 872761733 /nfs/dbraw/zinc/76/17/33/872761733.db2.gz LVHZDKNECSIMRJ-JDSLSITLSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001206915836 872761746 /nfs/dbraw/zinc/76/17/46/872761746.db2.gz LVHZDKNECSIMRJ-JDSLSITLSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1Cc1ccc[nH]c1=O ZINC001207005200 872919118 /nfs/dbraw/zinc/91/91/18/872919118.db2.gz YNYHWIDIBUDLGS-AWEZNQCLSA-N 1 2 315.377 1.218 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1Cc1ccc[nH]c1=O ZINC001207005200 872919129 /nfs/dbraw/zinc/91/91/29/872919129.db2.gz YNYHWIDIBUDLGS-AWEZNQCLSA-N 1 2 315.377 1.218 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([NH2+][C@@H](C)c2nc(CC)no2)C1 ZINC001207681077 873473991 /nfs/dbraw/zinc/47/39/91/873473991.db2.gz ZLJVHULLKALFBI-STQMWFEESA-N 1 2 322.409 1.476 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](CC)CC(C)C)C2)C1 ZINC001276549816 873652375 /nfs/dbraw/zinc/65/23/75/873652375.db2.gz WCNALMINYOYAJN-SJLPKXTDSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](CC)CC(C)C)C2)C1 ZINC001276549816 873652385 /nfs/dbraw/zinc/65/23/85/873652385.db2.gz WCNALMINYOYAJN-SJLPKXTDSA-N 1 2 306.450 1.995 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncnc4cnc(Cl)cc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226969003 882746765 /nfs/dbraw/zinc/74/67/65/882746765.db2.gz IYMPOMZCMDHCSJ-PEUDNIOHSA-N 1 2 318.764 1.669 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncnc4cnc(Cl)cc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226969003 882746783 /nfs/dbraw/zinc/74/67/83/882746783.db2.gz IYMPOMZCMDHCSJ-PEUDNIOHSA-N 1 2 318.764 1.669 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cnc2n[nH]cc2c1 ZINC001378069733 874069282 /nfs/dbraw/zinc/06/92/82/874069282.db2.gz SUFGZULPJKWXLH-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cnc2n[nH]cc2c1 ZINC001378069733 874069299 /nfs/dbraw/zinc/06/92/99/874069299.db2.gz SUFGZULPJKWXLH-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnns2)C[C@H]1C ZINC001208374759 874080522 /nfs/dbraw/zinc/08/05/22/874080522.db2.gz WATNVPWAIAHRFC-TZMCWYRMSA-N 1 2 306.435 1.668 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnns2)C[C@H]1C ZINC001208374759 874080531 /nfs/dbraw/zinc/08/05/31/874080531.db2.gz WATNVPWAIAHRFC-TZMCWYRMSA-N 1 2 306.435 1.668 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001209146329 874690619 /nfs/dbraw/zinc/69/06/19/874690619.db2.gz NPYHPHGFQSCGJA-CYBMUJFWSA-N 1 2 306.435 1.812 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1([C@@H]2CCCCO2)CCC1 ZINC001349818442 875391950 /nfs/dbraw/zinc/39/19/50/875391950.db2.gz SKTMKVPWRYONQW-STQMWFEESA-N 1 2 310.394 1.041 20 30 DDEDLO CC(C)C#CC(=O)NC1CC[NH+]([C@H](C)c2nncn2C)CC1 ZINC001227265824 882944585 /nfs/dbraw/zinc/94/45/85/882944585.db2.gz QKSBZLHGVGMPIT-CYBMUJFWSA-N 1 2 303.410 1.116 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001350513097 875737526 /nfs/dbraw/zinc/73/75/26/875737526.db2.gz SVRLGJGJHAXCJL-HUUCEWRRSA-N 1 2 318.421 1.908 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cncn2C)C[C@H]1OC ZINC001213320163 875896003 /nfs/dbraw/zinc/89/60/03/875896003.db2.gz OMMXVBOJOWUENF-FVQBIDKESA-N 1 2 320.437 1.338 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cncn2C)C[C@H]1OC ZINC001213320163 875896013 /nfs/dbraw/zinc/89/60/13/875896013.db2.gz OMMXVBOJOWUENF-FVQBIDKESA-N 1 2 320.437 1.338 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213496171 875951344 /nfs/dbraw/zinc/95/13/44/875951344.db2.gz KOZDDNFCTCZAEO-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213496171 875951354 /nfs/dbraw/zinc/95/13/54/875951354.db2.gz KOZDDNFCTCZAEO-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C#CCCCC(=O)N1CCC(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001350974505 875998744 /nfs/dbraw/zinc/99/87/44/875998744.db2.gz QODQVDDLTXPMAD-UHFFFAOYSA-N 1 2 316.405 1.041 20 30 DDEDLO CC[C@H](CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC)C(C)C ZINC001213747997 876043090 /nfs/dbraw/zinc/04/30/90/876043090.db2.gz ZHFQCETYYSKYMG-BRWVUGGUSA-N 1 2 324.465 1.524 20 30 DDEDLO CC[C@H](CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC)C(C)C ZINC001213747997 876043108 /nfs/dbraw/zinc/04/31/08/876043108.db2.gz ZHFQCETYYSKYMG-BRWVUGGUSA-N 1 2 324.465 1.524 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001214301845 876270828 /nfs/dbraw/zinc/27/08/28/876270828.db2.gz MAEPLWAHYBMLJN-DGCLKSJQSA-N 1 2 304.394 1.850 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2csnn2)C1 ZINC001215363198 876675856 /nfs/dbraw/zinc/67/58/56/876675856.db2.gz BDGBPEAGHFSUDL-TZMCWYRMSA-N 1 2 306.435 1.668 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3conc3CC)C[C@@H]21 ZINC001217475631 877205550 /nfs/dbraw/zinc/20/55/50/877205550.db2.gz CUJTXVPPEKYJGB-JKSUJKDBSA-N 1 2 319.405 1.615 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3conc3CC)C[C@@H]21 ZINC001217475631 877205564 /nfs/dbraw/zinc/20/55/64/877205564.db2.gz CUJTXVPPEKYJGB-JKSUJKDBSA-N 1 2 319.405 1.615 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001353452401 877343202 /nfs/dbraw/zinc/34/32/02/877343202.db2.gz BZLMHYWFRVXXOP-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001353452401 877343222 /nfs/dbraw/zinc/34/32/22/877343222.db2.gz BZLMHYWFRVXXOP-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO CC#CC[C@@H](NC(=O)OC(C)(C)C)C(=O)NCc1[nH]c[nH+]c1C ZINC001353597781 877439756 /nfs/dbraw/zinc/43/97/56/877439756.db2.gz FSAOHPZVDNKLFM-GFCCVEGCSA-N 1 2 320.393 1.641 20 30 DDEDLO Cc1csc(C[N@@H+](C)C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001379655121 877662596 /nfs/dbraw/zinc/66/25/96/877662596.db2.gz QXKOVNJBUAKMHL-SNVBAGLBSA-N 1 2 317.418 1.902 20 30 DDEDLO Cc1csc(C[N@H+](C)C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001379655121 877662604 /nfs/dbraw/zinc/66/26/04/877662604.db2.gz QXKOVNJBUAKMHL-SNVBAGLBSA-N 1 2 317.418 1.902 20 30 DDEDLO N#Cc1ccc(-c2csc(NC(=O)[C@@H]3COCC[NH2+]3)n2)s1 ZINC001218787163 877723282 /nfs/dbraw/zinc/72/32/82/877723282.db2.gz BEMCTOYCMCQPKB-VIFPVBQESA-N 1 2 320.399 1.670 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)C)n2CCOCC)CC1 ZINC001300681959 878130684 /nfs/dbraw/zinc/13/06/84/878130684.db2.gz FXHYHIDJOBODLT-UHFFFAOYSA-N 1 2 305.426 1.193 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219434638 878212722 /nfs/dbraw/zinc/21/27/22/878212722.db2.gz JAWZNDZUQQVNIT-MAHJEJCTSA-N 1 2 316.376 1.305 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219434638 878212737 /nfs/dbraw/zinc/21/27/37/878212737.db2.gz JAWZNDZUQQVNIT-MAHJEJCTSA-N 1 2 316.376 1.305 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CCNC(=O)c1cccc2[nH+]ccn21 ZINC001355216628 878465713 /nfs/dbraw/zinc/46/57/13/878465713.db2.gz RILMYRLATCDTNG-CYBMUJFWSA-N 1 2 314.389 1.925 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001219718628 878477477 /nfs/dbraw/zinc/47/74/77/878477477.db2.gz LIXCVJHUUPWVRM-KGLIPLIRSA-N 1 2 307.394 1.309 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001219718628 878477490 /nfs/dbraw/zinc/47/74/90/878477490.db2.gz LIXCVJHUUPWVRM-KGLIPLIRSA-N 1 2 307.394 1.309 20 30 DDEDLO COCc1cccc(C[NH+]2CCN(C(=O)[C@@H](C)C#N)CC2)c1 ZINC001374262617 912358144 /nfs/dbraw/zinc/35/81/44/912358144.db2.gz GKOKXUGJGJFUQW-AWEZNQCLSA-N 1 2 301.390 1.637 20 30 DDEDLO C=CCN(c1nnc([C@H]2C[N@@H+]3CCCC[C@H]3CO2)n1C)C1CC1 ZINC001355733769 878693804 /nfs/dbraw/zinc/69/38/04/878693804.db2.gz BRFSGRBFPUAPJV-LSDHHAIUSA-N 1 2 317.437 1.896 20 30 DDEDLO C=CCN(c1nnc([C@H]2C[N@H+]3CCCC[C@H]3CO2)n1C)C1CC1 ZINC001355733769 878693812 /nfs/dbraw/zinc/69/38/12/878693812.db2.gz BRFSGRBFPUAPJV-LSDHHAIUSA-N 1 2 317.437 1.896 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@@H](C)C1 ZINC001355929489 878783860 /nfs/dbraw/zinc/78/38/60/878783860.db2.gz KIAVWPZPJWVVEV-KBPBESRZSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N1CCO[C@@H](C)C1 ZINC001355929489 878783872 /nfs/dbraw/zinc/78/38/72/878783872.db2.gz KIAVWPZPJWVVEV-KBPBESRZSA-N 1 2 305.426 1.846 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001220205438 878817984 /nfs/dbraw/zinc/81/79/84/878817984.db2.gz ACLXDTBOLLLVEB-IMJJTQAJSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001220205438 878818006 /nfs/dbraw/zinc/81/80/06/878818006.db2.gz ACLXDTBOLLLVEB-IMJJTQAJSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220435507 879013495 /nfs/dbraw/zinc/01/34/95/879013495.db2.gz DRFGEHCESPFSMK-OLZOCXBDSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220435507 879013507 /nfs/dbraw/zinc/01/35/07/879013507.db2.gz DRFGEHCESPFSMK-OLZOCXBDSA-N 1 2 307.394 1.247 20 30 DDEDLO CCc1nnc([C@H](C)[NH+]2CCC(C)(NC(=O)[C@H](C)C#N)CC2)[nH]1 ZINC001380300799 879275001 /nfs/dbraw/zinc/27/50/01/879275001.db2.gz UMAQISCWYZWBEB-NEPJUHHUSA-N 1 2 318.425 1.558 20 30 DDEDLO C=C(C)CCC(=O)NC1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001356766907 879320939 /nfs/dbraw/zinc/32/09/39/879320939.db2.gz RDBGHNTXRSGALT-UHFFFAOYSA-N 1 2 304.394 1.371 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)CCc2c[nH]c[nH+]2)CCCC1 ZINC001356938757 879606768 /nfs/dbraw/zinc/60/67/68/879606768.db2.gz GOPZGBNUHUVJLS-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)CCc2c[nH+]c[nH]2)CCCC1 ZINC001356938757 879606776 /nfs/dbraw/zinc/60/67/76/879606776.db2.gz GOPZGBNUHUVJLS-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@]34C[C@H]3CCC4)[C@@H]2C1 ZINC001221701221 880013342 /nfs/dbraw/zinc/01/33/42/880013342.db2.gz IRGZGOPRLPZJLK-ATNYBXOESA-N 1 2 317.433 1.012 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@]34C[C@H]3CCC4)[C@@H]2C1 ZINC001221701221 880013351 /nfs/dbraw/zinc/01/33/51/880013351.db2.gz IRGZGOPRLPZJLK-ATNYBXOESA-N 1 2 317.433 1.012 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001358512833 880470124 /nfs/dbraw/zinc/47/01/24/880470124.db2.gz ZHAYJKFBQOOGFX-QWHCGFSZSA-N 1 2 306.410 1.667 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H](CC)C1 ZINC001358576042 880547668 /nfs/dbraw/zinc/54/76/68/880547668.db2.gz NFDROGIESWPVEV-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1CC[NH+](Cc2nc(C3CC3)no2)CC1 ZINC001222640562 880614729 /nfs/dbraw/zinc/61/47/29/880614729.db2.gz APHCKUGDHHFUDR-LLVKDONJSA-N 1 2 317.393 1.435 20 30 DDEDLO C=CCCC(=O)N1CC[C@]2(NC(=O)Cn3cc[nH+]c3)CCC[C@H]12 ZINC001287975626 912635706 /nfs/dbraw/zinc/63/57/06/912635706.db2.gz VBOHOIOKTBDHQF-WMLDXEAASA-N 1 2 316.405 1.489 20 30 DDEDLO CC#CCCCC(=O)NCC1CC[NH+](Cc2nc(C)no2)CC1 ZINC001224158569 881250139 /nfs/dbraw/zinc/25/01/39/881250139.db2.gz VTDLXVZDIJGQEF-UHFFFAOYSA-N 1 2 318.421 1.900 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001382056222 883287015 /nfs/dbraw/zinc/28/70/15/883287015.db2.gz JQEJATUHDBWMKW-QWRGUYRKSA-N 1 2 307.398 1.463 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2=O)cc1 ZINC001362338280 883597643 /nfs/dbraw/zinc/59/76/43/883597643.db2.gz STYKJWTWIMFQBL-HNNXBMFYSA-N 1 2 323.356 1.136 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2CC(=O)N(C)C(C)C ZINC001277418708 884154886 /nfs/dbraw/zinc/15/48/86/884154886.db2.gz JAQAGZYZYXKMAW-IYBDPMFKSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2CC(=O)N(C)C(C)C ZINC001277418708 884154890 /nfs/dbraw/zinc/15/48/90/884154890.db2.gz JAQAGZYZYXKMAW-IYBDPMFKSA-N 1 2 321.465 1.885 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)COCC2CC2)CC1 ZINC001230522157 884536888 /nfs/dbraw/zinc/53/68/88/884536888.db2.gz HZPMNMBLGQIKDL-MRXNPFEDSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)COCC2CC2)CC1 ZINC001230522157 884536902 /nfs/dbraw/zinc/53/69/02/884536902.db2.gz HZPMNMBLGQIKDL-MRXNPFEDSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CCNCCCN(Cc1ccccc1)C(=O)Cn1cc[nH+]c1 ZINC001277461662 884723770 /nfs/dbraw/zinc/72/37/70/884723770.db2.gz PAJCXDSSOLMBGE-UHFFFAOYSA-N 1 2 311.389 1.415 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)oc1C ZINC001230721407 884795641 /nfs/dbraw/zinc/79/56/41/884795641.db2.gz OXEFFJJJMKWRBM-OAHLLOKOSA-N 1 2 304.390 1.693 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)oc1C ZINC001230721407 884795653 /nfs/dbraw/zinc/79/56/53/884795653.db2.gz OXEFFJJJMKWRBM-OAHLLOKOSA-N 1 2 304.390 1.693 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)CC(C)(C)C)C1=O ZINC001230788778 884881983 /nfs/dbraw/zinc/88/19/83/884881983.db2.gz ULHTVPOYBHFLNW-GJZGRUSLSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CC(C)(C)C)C1=O ZINC001230788778 884882003 /nfs/dbraw/zinc/88/20/03/884882003.db2.gz ULHTVPOYBHFLNW-GJZGRUSLSA-N 1 2 321.465 1.742 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815340 884916259 /nfs/dbraw/zinc/91/62/59/884916259.db2.gz NDALCMFSBXJAFS-OLZOCXBDSA-N 1 2 319.434 1.327 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815340 884916278 /nfs/dbraw/zinc/91/62/78/884916278.db2.gz NDALCMFSBXJAFS-OLZOCXBDSA-N 1 2 319.434 1.327 20 30 DDEDLO CCc1[nH]c(CNC(=O)[C@@H](C#N)Cc2ccc(C#N)cc2)c[nH+]1 ZINC001362954092 885073224 /nfs/dbraw/zinc/07/32/24/885073224.db2.gz JPNMSCKGFFMYIP-CQSZACIVSA-N 1 2 307.357 1.842 20 30 DDEDLO CCc1[nH]cc(CNC(=O)[C@@H](C#N)Cc2ccc(C#N)cc2)[nH+]1 ZINC001362954092 885073239 /nfs/dbraw/zinc/07/32/39/885073239.db2.gz JPNMSCKGFFMYIP-CQSZACIVSA-N 1 2 307.357 1.842 20 30 DDEDLO CCc1cnc(C[N@H+](C)CCOCCNC(=O)C#CC(C)C)o1 ZINC001277487764 885240122 /nfs/dbraw/zinc/24/01/22/885240122.db2.gz RIEZGGLMYWAZJL-UHFFFAOYSA-N 1 2 321.421 1.461 20 30 DDEDLO CCc1cnc(C[N@@H+](C)CCOCCNC(=O)C#CC(C)C)o1 ZINC001277487764 885240146 /nfs/dbraw/zinc/24/01/46/885240146.db2.gz RIEZGGLMYWAZJL-UHFFFAOYSA-N 1 2 321.421 1.461 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C\C(C)(C)C ZINC001231281230 885466346 /nfs/dbraw/zinc/46/63/46/885466346.db2.gz GMSDBIBRKZMALC-IUCKJTJTSA-N 1 2 307.438 1.424 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C\C(C)(C)C ZINC001231281230 885466349 /nfs/dbraw/zinc/46/63/49/885466349.db2.gz GMSDBIBRKZMALC-IUCKJTJTSA-N 1 2 307.438 1.424 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C1C(C)(C)C1(C)C ZINC001231405860 885618299 /nfs/dbraw/zinc/61/82/99/885618299.db2.gz REQWOYJNIUBVSY-AWEZNQCLSA-N 1 2 306.450 1.851 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)C1C(C)(C)C1(C)C ZINC001231405860 885618304 /nfs/dbraw/zinc/61/83/04/885618304.db2.gz REQWOYJNIUBVSY-AWEZNQCLSA-N 1 2 306.450 1.851 20 30 DDEDLO CCOC(=O)C1(C#N)CC[NH+](Cc2cn(C)nc2C2CC2)CC1 ZINC001232174991 886141154 /nfs/dbraw/zinc/14/11/54/886141154.db2.gz RDCMOMXCDNUZIM-UHFFFAOYSA-N 1 2 316.405 1.966 20 30 DDEDLO N#CCC1CN(C(=O)[C@@H]2CC23CC[NH+](Cc2cocn2)CC3)C1 ZINC001277650919 886260424 /nfs/dbraw/zinc/26/04/24/886260424.db2.gz AIKFWQBMNKZFLY-HNNXBMFYSA-N 1 2 314.389 1.649 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[NH+]1CCC2(CNC(=O)N2)CC1 ZINC001232609605 886495961 /nfs/dbraw/zinc/49/59/61/886495961.db2.gz MBRMVXWXOLGKCC-UHFFFAOYSA-N 1 2 304.781 1.859 20 30 DDEDLO CN(C)C(=O)[C@@H]1C[C@@H]2[C@@H](CC[N@@H+]2Cc2cc(F)ccc2C#N)O1 ZINC001232889962 886653893 /nfs/dbraw/zinc/65/38/93/886653893.db2.gz VBTQVKMKTVPZRI-OAGGEKHMSA-N 1 2 317.364 1.517 20 30 DDEDLO CN(C)C(=O)[C@@H]1C[C@@H]2[C@@H](CC[N@H+]2Cc2cc(F)ccc2C#N)O1 ZINC001232889962 886653927 /nfs/dbraw/zinc/65/39/27/886653927.db2.gz VBTQVKMKTVPZRI-OAGGEKHMSA-N 1 2 317.364 1.517 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001233976311 887515866 /nfs/dbraw/zinc/51/58/66/887515866.db2.gz DUBRANHJDITDDK-GDBMZVCRSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001233976311 887515882 /nfs/dbraw/zinc/51/58/82/887515882.db2.gz DUBRANHJDITDDK-GDBMZVCRSA-N 1 2 307.394 1.343 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)o1 ZINC001234178867 887715713 /nfs/dbraw/zinc/71/57/13/887715713.db2.gz PNIBPIQCDKWSGX-HNNXBMFYSA-N 1 2 301.390 1.738 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)o1 ZINC001234178867 887715730 /nfs/dbraw/zinc/71/57/30/887715730.db2.gz PNIBPIQCDKWSGX-HNNXBMFYSA-N 1 2 301.390 1.738 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186854 887725151 /nfs/dbraw/zinc/72/51/51/887725151.db2.gz OSTLCCBYGNOOKE-ZBFHGGJFSA-N 1 2 319.449 1.710 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186854 887725162 /nfs/dbraw/zinc/72/51/62/887725162.db2.gz OSTLCCBYGNOOKE-ZBFHGGJFSA-N 1 2 319.449 1.710 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001234214775 887753042 /nfs/dbraw/zinc/75/30/42/887753042.db2.gz DWAYNRNQJFLJQX-MJGOQNOKSA-N 1 2 324.424 1.609 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001234214775 887753052 /nfs/dbraw/zinc/75/30/52/887753052.db2.gz DWAYNRNQJFLJQX-MJGOQNOKSA-N 1 2 324.424 1.609 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)c1cnccn1 ZINC001234247140 887790789 /nfs/dbraw/zinc/79/07/89/887790789.db2.gz XXKIRVNRUFPAEF-CABCVRRESA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)c1cnccn1 ZINC001234247140 887790799 /nfs/dbraw/zinc/79/07/99/887790799.db2.gz XXKIRVNRUFPAEF-CABCVRRESA-N 1 2 316.405 1.110 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)[C@H](C)c1nc(C)no1 ZINC001235228978 888492231 /nfs/dbraw/zinc/49/22/31/888492231.db2.gz LOVDZPRMZASHKH-CHWSQXEVSA-N 1 2 324.425 1.858 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)[C@H](C)c1nc(C)no1 ZINC001235228978 888492241 /nfs/dbraw/zinc/49/22/41/888492241.db2.gz LOVDZPRMZASHKH-CHWSQXEVSA-N 1 2 324.425 1.858 20 30 DDEDLO C[C@H]([NH2+]Cc1ccncc1C#N)c1cccc(S(N)(=O)=O)c1 ZINC001364377665 888492587 /nfs/dbraw/zinc/49/25/87/888492587.db2.gz MTFJCKXVLNJMHZ-NSHDSACASA-N 1 2 316.386 1.451 20 30 DDEDLO CCc1cccc(C[NH+]2CCN(c3nccnc3C#N)CC2)n1 ZINC001235260838 888511348 /nfs/dbraw/zinc/51/13/48/888511348.db2.gz ONUFASOZOZFTMK-UHFFFAOYSA-N 1 2 308.389 1.628 20 30 DDEDLO N#Cc1cccc2c1C[N@H+](C[C@](O)(C(N)=O)c1ccccc1)C2 ZINC001364454267 888673121 /nfs/dbraw/zinc/67/31/21/888673121.db2.gz OJQVIRZFTQSJCE-GOSISDBHSA-N 1 2 307.353 1.247 20 30 DDEDLO N#Cc1cccc2c1C[N@@H+](C[C@](O)(C(N)=O)c1ccccc1)C2 ZINC001364454267 888673127 /nfs/dbraw/zinc/67/31/27/888673127.db2.gz OJQVIRZFTQSJCE-GOSISDBHSA-N 1 2 307.353 1.247 20 30 DDEDLO N#Cc1csc(C[N@@H+]2C[C@@]3(CO)CCCC[C@@]3(CO)C2)n1 ZINC001364837931 889514895 /nfs/dbraw/zinc/51/48/95/889514895.db2.gz XCOGYNQIXBLECL-GASCZTMLSA-N 1 2 307.419 1.362 20 30 DDEDLO N#Cc1csc(C[N@H+]2C[C@@]3(CO)CCCC[C@@]3(CO)C2)n1 ZINC001364837931 889514900 /nfs/dbraw/zinc/51/49/00/889514900.db2.gz XCOGYNQIXBLECL-GASCZTMLSA-N 1 2 307.419 1.362 20 30 DDEDLO Cn1cc(C[N@H+]2CC(=O)N3CCC[C@@H]3C2)c2cc(C#N)ccc21 ZINC001237473843 889654245 /nfs/dbraw/zinc/65/42/45/889654245.db2.gz WPLUKXGBLKRWPV-OAHLLOKOSA-N 1 2 308.385 1.857 20 30 DDEDLO Cn1cc(C[N@@H+]2CC(=O)N3CCC[C@@H]3C2)c2cc(C#N)ccc21 ZINC001237473843 889654252 /nfs/dbraw/zinc/65/42/52/889654252.db2.gz WPLUKXGBLKRWPV-OAHLLOKOSA-N 1 2 308.385 1.857 20 30 DDEDLO CC(C)(C)C[N@@H+]1CCc2c([nH]nc2C(=O)NC2(C#N)CCC2)C1 ZINC001278110149 889682923 /nfs/dbraw/zinc/68/29/23/889682923.db2.gz RTABMMQGVWJBII-UHFFFAOYSA-N 1 2 315.421 1.990 20 30 DDEDLO CC(C)(C)C[N@H+]1CCc2c([nH]nc2C(=O)NC2(C#N)CCC2)C1 ZINC001278110149 889682934 /nfs/dbraw/zinc/68/29/34/889682934.db2.gz RTABMMQGVWJBII-UHFFFAOYSA-N 1 2 315.421 1.990 20 30 DDEDLO CC(C)(C)C[N@@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001278110149 889682940 /nfs/dbraw/zinc/68/29/40/889682940.db2.gz RTABMMQGVWJBII-UHFFFAOYSA-N 1 2 315.421 1.990 20 30 DDEDLO CC(C)(C)C[N@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001278110149 889682948 /nfs/dbraw/zinc/68/29/48/889682948.db2.gz RTABMMQGVWJBII-UHFFFAOYSA-N 1 2 315.421 1.990 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(Cl)cc(C#N)c2)C1 ZINC001237752857 889835789 /nfs/dbraw/zinc/83/57/89/889835789.db2.gz AJTQQFJIGMFSRM-OAHLLOKOSA-N 1 2 321.808 1.939 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(Cl)cc(C#N)c2)C1 ZINC001237752857 889835798 /nfs/dbraw/zinc/83/57/98/889835798.db2.gz AJTQQFJIGMFSRM-OAHLLOKOSA-N 1 2 321.808 1.939 20 30 DDEDLO N#CCC1CN(C(=O)c2n[nH]c3c2C[N@H+](CCC2CC2)CC3)C1 ZINC001278211121 890156796 /nfs/dbraw/zinc/15/67/96/890156796.db2.gz HVRKVSZIQXHEIQ-UHFFFAOYSA-N 1 2 313.405 1.554 20 30 DDEDLO N#CCC1CN(C(=O)c2n[nH]c3c2C[N@@H+](CCC2CC2)CC3)C1 ZINC001278211121 890156805 /nfs/dbraw/zinc/15/68/05/890156805.db2.gz HVRKVSZIQXHEIQ-UHFFFAOYSA-N 1 2 313.405 1.554 20 30 DDEDLO COc1cc(C[N@@H+]2CCO[C@@H]3C[C@H](CO)C[C@@H]32)ccc1C#N ZINC001238408978 890198900 /nfs/dbraw/zinc/19/89/00/890198900.db2.gz ANNSJRQGFHFLPF-UKPHBRMFSA-N 1 2 302.374 1.539 20 30 DDEDLO COc1cc(C[N@H+]2CCO[C@@H]3C[C@H](CO)C[C@@H]32)ccc1C#N ZINC001238408978 890198906 /nfs/dbraw/zinc/19/89/06/890198906.db2.gz ANNSJRQGFHFLPF-UKPHBRMFSA-N 1 2 302.374 1.539 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(C3CC3)no2)[C@H]1C ZINC001278249861 890272853 /nfs/dbraw/zinc/27/28/53/890272853.db2.gz DAWVUFFWAHMAIG-OCCSQVGLSA-N 1 2 316.405 1.830 20 30 DDEDLO CN(C)c1cc[nH+]c(CNS(=O)(=O)c2ccsc2C#N)c1 ZINC001365736687 891425915 /nfs/dbraw/zinc/42/59/15/891425915.db2.gz DFMMVANVKYYBCX-UHFFFAOYSA-N 1 2 322.415 1.559 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1nonc1C ZINC001365741890 891436019 /nfs/dbraw/zinc/43/60/19/891436019.db2.gz VBTJWGCRQIFVLQ-OLZOCXBDSA-N 1 2 324.812 1.738 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1nonc1C ZINC001365741890 891436027 /nfs/dbraw/zinc/43/60/27/891436027.db2.gz VBTJWGCRQIFVLQ-OLZOCXBDSA-N 1 2 324.812 1.738 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]([NH2+]Cc2nc(COC)no2)C[C@H]1C ZINC001278380153 891828733 /nfs/dbraw/zinc/82/87/33/891828733.db2.gz XFIDDJQFMXCEQZ-OLZOCXBDSA-N 1 2 322.409 1.651 20 30 DDEDLO CCc1noc([C@H](C)[NH+]2CCC(N(C)C(=O)[C@H](C)C#N)CC2)n1 ZINC001365920459 891918797 /nfs/dbraw/zinc/91/87/97/891918797.db2.gz XEQNOBNDVHUCPV-NEPJUHHUSA-N 1 2 319.409 1.775 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@]12CCC[C@@H]1N(CC#N)CC2 ZINC001278465758 892895760 /nfs/dbraw/zinc/89/57/60/892895760.db2.gz CFEWUKWQUXRNHJ-IVMMDQJWSA-N 1 2 301.394 1.225 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@]12CCC[C@@H]1N(CC#N)CC2 ZINC001278465758 892895770 /nfs/dbraw/zinc/89/57/70/892895770.db2.gz CFEWUKWQUXRNHJ-IVMMDQJWSA-N 1 2 301.394 1.225 20 30 DDEDLO C[C@H](CNC(=O)Nc1cc(F)cc(C#N)c1)[NH+]1CCN(C)CC1 ZINC001366394284 893423050 /nfs/dbraw/zinc/42/30/50/893423050.db2.gz QOSMNDKZGDOHLR-GFCCVEGCSA-N 1 2 319.384 1.455 20 30 DDEDLO N#Cc1oc2ccccc2c1NC[C@H](O)C[NH+]1CCOCC1 ZINC001251006510 894563927 /nfs/dbraw/zinc/56/39/27/894563927.db2.gz HBGNLFWYWAMSOC-LBPRGKRZSA-N 1 2 301.346 1.409 20 30 DDEDLO C=CCOC[C@H](O)C[NH+]1CC(N2Cc3ccccc3NC2=O)C1 ZINC001252471081 895184865 /nfs/dbraw/zinc/18/48/65/895184865.db2.gz BNSBFXBJLGLYJB-OAHLLOKOSA-N 1 2 317.389 1.282 20 30 DDEDLO C=C[C@@H](O)C[NH+]1CCN(c2nnc(C(F)(F)F)s2)CC1 ZINC001253578439 895908013 /nfs/dbraw/zinc/90/80/13/895908013.db2.gz BBVIIJVBRVXFQM-MRVPVSSYSA-N 1 2 308.329 1.226 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H](O)C[NH2+]Cc1csnn1 ZINC001278761096 896252215 /nfs/dbraw/zinc/25/22/15/896252215.db2.gz AZPRNQTWPCAHEZ-LBPRGKRZSA-N 1 2 312.439 1.049 20 30 DDEDLO C=C[C@H]1C[C@]1(NC1CC[NH+](CC(=O)OCC)CC1)C(=O)OCC ZINC001254399223 896418509 /nfs/dbraw/zinc/41/85/09/896418509.db2.gz HBABHGUVFZZOMH-SUMWQHHRSA-N 1 2 324.421 1.111 20 30 DDEDLO C=C(Cl)CN(C)CCN(C)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001367517313 897012772 /nfs/dbraw/zinc/01/27/72/897012772.db2.gz FHYAHCJFQUUDLP-CYBMUJFWSA-N 1 2 310.829 1.588 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@H+](C)CCN(C(=O)C#CC1CC1)C(C)C ZINC001278907405 897250259 /nfs/dbraw/zinc/25/02/59/897250259.db2.gz CSUBGPVKMFIXGV-HNNXBMFYSA-N 1 2 321.465 1.483 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@@H+](C)CCN(C(=O)C#CC1CC1)C(C)C ZINC001278907405 897250269 /nfs/dbraw/zinc/25/02/69/897250269.db2.gz CSUBGPVKMFIXGV-HNNXBMFYSA-N 1 2 321.465 1.483 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2c(C)nn(C)c2C)C1 ZINC001389486096 897336822 /nfs/dbraw/zinc/33/68/22/897336822.db2.gz ODNZNIBRHIJDNM-CQSZACIVSA-N 1 2 324.856 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2c(C)nn(C)c2C)C1 ZINC001389486096 897336832 /nfs/dbraw/zinc/33/68/32/897336832.db2.gz ODNZNIBRHIJDNM-CQSZACIVSA-N 1 2 324.856 1.913 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC001256347384 897398674 /nfs/dbraw/zinc/39/86/74/897398674.db2.gz RFINLMILTBMIPN-JKSUJKDBSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC001256347384 897398691 /nfs/dbraw/zinc/39/86/91/897398691.db2.gz RFINLMILTBMIPN-JKSUJKDBSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccnn2CC(F)(F)F)CC1 ZINC001258310169 898134582 /nfs/dbraw/zinc/13/45/82/898134582.db2.gz PCVYKFQHXRMBEO-UHFFFAOYSA-N 1 2 302.300 1.389 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@](C)(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001299579417 898634221 /nfs/dbraw/zinc/63/42/21/898634221.db2.gz ZNWPQRKAMMEPME-GUYCJALGSA-N 1 2 318.421 1.662 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)NCc2c[nH+]cn2CCF)cc1 ZINC001260049270 899028697 /nfs/dbraw/zinc/02/86/97/899028697.db2.gz ZRJJHKPMTHQJKT-UHFFFAOYSA-N 1 2 322.365 1.344 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@H](C)[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001390648278 899858128 /nfs/dbraw/zinc/85/81/28/899858128.db2.gz RBLGSSYLWVPXQO-MNOVXSKESA-N 1 2 307.398 1.511 20 30 DDEDLO COCC(C)(C)C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001390786027 900177756 /nfs/dbraw/zinc/17/77/56/900177756.db2.gz VBGAYPFOVRQGNT-UHFFFAOYSA-N 1 2 321.396 1.918 20 30 DDEDLO COCC(C)(C)C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001390786027 900177760 /nfs/dbraw/zinc/17/77/60/900177760.db2.gz VBGAYPFOVRQGNT-UHFFFAOYSA-N 1 2 321.396 1.918 20 30 DDEDLO C[N@H+](CCNC(=O)c1cnco1)Cc1cc(C#N)ccc1F ZINC001390795379 900202413 /nfs/dbraw/zinc/20/24/13/900202413.db2.gz NLMQNAOARWRVTN-UHFFFAOYSA-N 1 2 302.309 1.547 20 30 DDEDLO C[N@@H+](CCNC(=O)c1cnco1)Cc1cc(C#N)ccc1F ZINC001390795379 900202425 /nfs/dbraw/zinc/20/24/25/900202425.db2.gz NLMQNAOARWRVTN-UHFFFAOYSA-N 1 2 302.309 1.547 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CCC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001263285234 900558429 /nfs/dbraw/zinc/55/84/29/900558429.db2.gz AUMVLBWSWSDXRD-PBHICJAKSA-N 1 2 319.453 1.755 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CCC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001263285234 900558434 /nfs/dbraw/zinc/55/84/34/900558434.db2.gz AUMVLBWSWSDXRD-PBHICJAKSA-N 1 2 319.453 1.755 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001263680396 900670022 /nfs/dbraw/zinc/67/00/22/900670022.db2.gz ZKLOHMNKDNQUFA-RVSPLBMKSA-N 1 2 317.437 1.058 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC1CC1 ZINC001263680396 900670029 /nfs/dbraw/zinc/67/00/29/900670029.db2.gz ZKLOHMNKDNQUFA-RVSPLBMKSA-N 1 2 317.437 1.058 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](Cc2cnnn2CC)[C@@H]1C ZINC001264142809 900976591 /nfs/dbraw/zinc/97/65/91/900976591.db2.gz BJZJXGFGRDHAKN-HIFRSBDPSA-N 1 2 319.453 1.979 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](Cc2cnnn2CC)[C@@H]1C ZINC001264142809 900976606 /nfs/dbraw/zinc/97/66/06/900976606.db2.gz BJZJXGFGRDHAKN-HIFRSBDPSA-N 1 2 319.453 1.979 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCCC[C@H]1C[N@@H+](C)Cc1ccon1 ZINC001264740137 901321424 /nfs/dbraw/zinc/32/14/24/901321424.db2.gz FVXIEVGJBSVQSK-RDJZCZTQSA-N 1 2 321.421 1.815 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCCC[C@H]1C[N@H+](C)Cc1ccon1 ZINC001264740137 901321430 /nfs/dbraw/zinc/32/14/30/901321430.db2.gz FVXIEVGJBSVQSK-RDJZCZTQSA-N 1 2 321.421 1.815 20 30 DDEDLO C#CCCCCC(=O)N1CCc2sc(C[NH2+]CC#C)nc2C1 ZINC001264747038 901327274 /nfs/dbraw/zinc/32/72/74/901327274.db2.gz NWTBJFNWCKQVDK-UHFFFAOYSA-N 1 2 315.442 1.944 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C1CC[NH+](Cc2ncc(C)o2)CC1 ZINC001265191803 901686242 /nfs/dbraw/zinc/68/62/42/901686242.db2.gz DYDMERYESARRHQ-CQSZACIVSA-N 1 2 319.405 1.444 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001265285657 901827842 /nfs/dbraw/zinc/82/78/42/901827842.db2.gz DZCDDJNUKPJYLR-HIFRSBDPSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001265285657 901827854 /nfs/dbraw/zinc/82/78/54/901827854.db2.gz DZCDDJNUKPJYLR-HIFRSBDPSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1CCC[N@H+](Cc2cnns2)C1 ZINC001265597390 902178084 /nfs/dbraw/zinc/17/80/84/902178084.db2.gz XLELVQGNJFHGGJ-CYBMUJFWSA-N 1 2 324.450 1.459 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1CCC[N@@H+](Cc2cnns2)C1 ZINC001265597390 902178090 /nfs/dbraw/zinc/17/80/90/902178090.db2.gz XLELVQGNJFHGGJ-CYBMUJFWSA-N 1 2 324.450 1.459 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@H](C)CNC(=O)C#CC(C)(C)C)n1 ZINC001265773130 902393681 /nfs/dbraw/zinc/39/36/81/902393681.db2.gz PLBVZVZGUXDOKE-VXGBXAGGSA-N 1 2 306.410 1.837 20 30 DDEDLO C[C@H](C[N@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1cn[nH]c1 ZINC001375015761 914644856 /nfs/dbraw/zinc/64/48/56/914644856.db2.gz ZVSUARPBMTWRGR-LLVKDONJSA-N 1 2 315.352 1.671 20 30 DDEDLO C[C@H](C[N@@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1cn[nH]c1 ZINC001375015761 914644863 /nfs/dbraw/zinc/64/48/63/914644863.db2.gz ZVSUARPBMTWRGR-LLVKDONJSA-N 1 2 315.352 1.671 20 30 DDEDLO C#CCCCCC(=O)N(C)C1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001266179447 903070454 /nfs/dbraw/zinc/07/04/54/903070454.db2.gz CKSZPAJDJZKYMF-UHFFFAOYSA-N 1 2 318.421 1.994 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2CCC(=C)CC2)C1 ZINC001266237281 903170534 /nfs/dbraw/zinc/17/05/34/903170534.db2.gz SHISMJQSDNLARO-INIZCTEOSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2CCC(=C)CC2)C1 ZINC001266237281 903170538 /nfs/dbraw/zinc/17/05/38/903170538.db2.gz SHISMJQSDNLARO-INIZCTEOSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H](OC)C1CCCC1 ZINC001280420981 903658471 /nfs/dbraw/zinc/65/84/71/903658471.db2.gz IVJPCOYGLHXQKH-IAGOWNOFSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H](OC)C1CCCC1 ZINC001280420981 903658479 /nfs/dbraw/zinc/65/84/79/903658479.db2.gz IVJPCOYGLHXQKH-IAGOWNOFSA-N 1 2 324.465 1.975 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H](C)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001280487259 903730417 /nfs/dbraw/zinc/73/04/17/903730417.db2.gz MIMHOPPBNUHCNY-UONOGXRCSA-N 1 2 318.421 1.211 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H](C)CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001280487259 903730428 /nfs/dbraw/zinc/73/04/28/903730428.db2.gz MIMHOPPBNUHCNY-UONOGXRCSA-N 1 2 318.421 1.211 20 30 DDEDLO CCc1nc(C)c(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#CCOC)o1 ZINC001316606149 904066295 /nfs/dbraw/zinc/06/62/95/904066295.db2.gz JSXLYXXGJPKLAG-AWEZNQCLSA-N 1 2 319.405 1.342 20 30 DDEDLO CCc1nc(C)c(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#CCOC)o1 ZINC001316606149 904066311 /nfs/dbraw/zinc/06/63/11/904066311.db2.gz JSXLYXXGJPKLAG-AWEZNQCLSA-N 1 2 319.405 1.342 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C)C[NH2+]Cc1ncn(-c2ccccc2)n1 ZINC001392465376 904183764 /nfs/dbraw/zinc/18/37/64/904183764.db2.gz KNGBAZRBAGNHEV-CHWSQXEVSA-N 1 2 312.377 1.021 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001281017915 904324122 /nfs/dbraw/zinc/32/41/22/904324122.db2.gz OHKJFXXGPJYUFS-AWEZNQCLSA-N 1 2 320.437 1.672 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)C1(COC)CC1 ZINC001281063248 904368628 /nfs/dbraw/zinc/36/86/28/904368628.db2.gz NUFFIXXQGHCBIT-KRWDZBQOSA-N 1 2 312.413 1.589 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)C1(COC)CC1 ZINC001281063248 904368642 /nfs/dbraw/zinc/36/86/42/904368642.db2.gz NUFFIXXQGHCBIT-KRWDZBQOSA-N 1 2 312.413 1.589 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001392551322 904439105 /nfs/dbraw/zinc/43/91/05/904439105.db2.gz UQUQBLRFZFXJKW-ZJUUUORDSA-N 1 2 303.322 1.084 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001281163424 904510381 /nfs/dbraw/zinc/51/03/81/904510381.db2.gz FCGPKICUHKTGFC-LSDHHAIUSA-N 1 2 316.405 1.182 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001281334463 904687911 /nfs/dbraw/zinc/68/79/11/904687911.db2.gz GFGBYYRAQOMWIA-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+]1CCC[C@@H]1CNC(=O)[C@H](C)C#N ZINC001377374778 921165202 /nfs/dbraw/zinc/16/52/02/921165202.db2.gz DMFCIDUPMKQJER-ZYHUDNBSSA-N 1 2 323.828 1.622 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H](C)C#N ZINC001377374778 921165208 /nfs/dbraw/zinc/16/52/08/921165208.db2.gz DMFCIDUPMKQJER-ZYHUDNBSSA-N 1 2 323.828 1.622 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@@H]1CC[C@H]2CN(CC#N)C[C@H]2C1 ZINC001282957827 906332605 /nfs/dbraw/zinc/33/26/05/906332605.db2.gz IZRVJIBLFPIFPO-RRFJBIMHSA-N 1 2 315.421 1.093 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001283349150 907190862 /nfs/dbraw/zinc/19/08/62/907190862.db2.gz CTTBGFYMIJUYOB-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1([NH2+]Cc2nc3c(o2)CCCC3)CCC1 ZINC001393534990 907250959 /nfs/dbraw/zinc/25/09/59/907250959.db2.gz VCNHQROGQNBJJO-LBPRGKRZSA-N 1 2 316.405 1.842 20 30 DDEDLO C=C(Cl)CN1CC2(C1)CC[C@H](CNC(=O)Cc1c[nH]c[nH+]1)O2 ZINC001393668283 907651905 /nfs/dbraw/zinc/65/19/05/907651905.db2.gz LAWMIOFYBOFJEY-CYBMUJFWSA-N 1 2 324.812 1.054 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)[C@@H](CC)OCC)CC1 ZINC001393846491 908064858 /nfs/dbraw/zinc/06/48/58/908064858.db2.gz UTFQIDLJJOUOGX-CYBMUJFWSA-N 1 2 318.845 1.497 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001283884976 908131151 /nfs/dbraw/zinc/13/11/51/908131151.db2.gz BPEVMZGCSQGXIS-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C[C@@H]([NH2+]Cc1cc(F)ccc1C#N)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001393978085 908439455 /nfs/dbraw/zinc/43/94/55/908439455.db2.gz YMTAVKNXKSKVEM-ZJUUUORDSA-N 1 2 316.340 1.112 20 30 DDEDLO C[C@@H]([NH2+]Cc1cc(F)ccc1C#N)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001393978085 908439469 /nfs/dbraw/zinc/43/94/69/908439469.db2.gz YMTAVKNXKSKVEM-ZJUUUORDSA-N 1 2 316.340 1.112 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CNC(=O)CCc1c[nH+]cn1C ZINC001284304107 908799132 /nfs/dbraw/zinc/79/91/32/908799132.db2.gz TWZZYCFPQJHNQV-KBPBESRZSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)CCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001284531469 909151332 /nfs/dbraw/zinc/15/13/32/909151332.db2.gz MFUJLGWKOXWLQW-CXAGYDPISA-N 1 2 320.437 1.765 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)CCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001284531469 909151338 /nfs/dbraw/zinc/15/13/38/909151338.db2.gz MFUJLGWKOXWLQW-CXAGYDPISA-N 1 2 320.437 1.765 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)CCN2CCCC2=O)C1 ZINC001394254055 909196122 /nfs/dbraw/zinc/19/61/22/909196122.db2.gz PEKKBIPOMZMNOG-GFCCVEGCSA-N 1 2 313.829 1.188 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)sn1 ZINC001394292342 909280893 /nfs/dbraw/zinc/28/08/93/909280893.db2.gz QXOVOKQJZOUQND-PWSUYJOCSA-N 1 2 322.434 1.638 20 30 DDEDLO COc1cc(C[N@H+]2C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)sn1 ZINC001394292342 909280901 /nfs/dbraw/zinc/28/09/01/909280901.db2.gz QXOVOKQJZOUQND-PWSUYJOCSA-N 1 2 322.434 1.638 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001284857441 909576345 /nfs/dbraw/zinc/57/63/45/909576345.db2.gz XNITTWHYNFXKQL-ZDUSSCGKSA-N 1 2 304.394 1.036 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001285347770 910388157 /nfs/dbraw/zinc/38/81/57/910388157.db2.gz OYZRBAHDLZTZAN-CQSZACIVSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@H](C)NC(=O)CC)C(C)C ZINC001394798063 910586667 /nfs/dbraw/zinc/58/66/67/910586667.db2.gz HJYRXPRALBCZQM-ZDUSSCGKSA-N 1 2 317.861 1.822 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@H](C)NC(=O)CC)C(C)C ZINC001394798063 910586675 /nfs/dbraw/zinc/58/66/75/910586675.db2.gz HJYRXPRALBCZQM-ZDUSSCGKSA-N 1 2 317.861 1.822 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001285755573 911155633 /nfs/dbraw/zinc/15/56/33/911155633.db2.gz HYNNBOHPWOFWGX-STQMWFEESA-N 1 2 306.410 1.186 20 30 DDEDLO C=CCCC(=O)N(C)C1CN(C(=O)Cc2c[nH+]ccc2OC)C1 ZINC001285999232 911539077 /nfs/dbraw/zinc/53/90/77/911539077.db2.gz MUIQOLIHMRCJOE-UHFFFAOYSA-N 1 2 317.389 1.268 20 30 DDEDLO CC(C)C#CC(=O)N[C@]1(C)CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001286090100 911678884 /nfs/dbraw/zinc/67/88/84/911678884.db2.gz UREXHQOSEIHZOO-QGZVFWFLSA-N 1 2 316.405 1.109 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)CCCNC(=O)CCn1cc[nH+]c1 ZINC001286830408 912103477 /nfs/dbraw/zinc/10/34/77/912103477.db2.gz UZJROYOLWSHORT-OAHLLOKOSA-N 1 2 318.421 1.334 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001294360502 915080048 /nfs/dbraw/zinc/08/00/48/915080048.db2.gz RZZRJHLFKHNSJM-LSDHHAIUSA-N 1 2 318.421 1.735 20 30 DDEDLO C=C(C)CCC(=O)N1CC(NC(=O)CCc2[nH+]ccn2C)C1 ZINC001297117913 916740274 /nfs/dbraw/zinc/74/02/74/916740274.db2.gz XQDDNPDWMULXEA-UHFFFAOYSA-N 1 2 304.394 1.036 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)C[C@H]1COC(=O)C1 ZINC001376562947 918762972 /nfs/dbraw/zinc/76/29/72/918762972.db2.gz BCLTWHCFSISAQR-CHWSQXEVSA-N 1 2 314.813 1.663 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)C[C@H]1COC(=O)C1 ZINC001376562947 918762984 /nfs/dbraw/zinc/76/29/84/918762984.db2.gz BCLTWHCFSISAQR-CHWSQXEVSA-N 1 2 314.813 1.663 20 30 DDEDLO C[N@H+](CCNC(=O)c1n[nH]cc1F)Cc1ccc(C#N)cc1F ZINC001377212126 920647925 /nfs/dbraw/zinc/64/79/25/920647925.db2.gz CHWDNBARPVYKCJ-UHFFFAOYSA-N 1 2 319.315 1.421 20 30 DDEDLO C[N@@H+](CCNC(=O)c1n[nH]cc1F)Cc1ccc(C#N)cc1F ZINC001377212126 920647934 /nfs/dbraw/zinc/64/79/34/920647934.db2.gz CHWDNBARPVYKCJ-UHFFFAOYSA-N 1 2 319.315 1.421 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1ccnc2c1CC(=O)N2 ZINC001377318458 921032145 /nfs/dbraw/zinc/03/21/45/921032145.db2.gz IAQKDOBZRCXXCB-UHFFFAOYSA-N 1 2 322.796 1.380 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1ccnc2c1CC(=O)N2 ZINC001377318458 921032156 /nfs/dbraw/zinc/03/21/56/921032156.db2.gz IAQKDOBZRCXXCB-UHFFFAOYSA-N 1 2 322.796 1.380 20 30 DDEDLO Cc1cccc(NC(=O)C[N@@H+](C)[C@H](C)CNC(=O)[C@H](C)C#N)c1 ZINC001378020068 923721832 /nfs/dbraw/zinc/72/18/32/923721832.db2.gz KSHVWVRGWOPDMA-ZIAGYGMSSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1cccc(NC(=O)C[N@H+](C)[C@H](C)CNC(=O)[C@H](C)C#N)c1 ZINC001378020068 923721842 /nfs/dbraw/zinc/72/18/42/923721842.db2.gz KSHVWVRGWOPDMA-ZIAGYGMSSA-N 1 2 316.405 1.530 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C[C@]2(CCOC2)O1 ZINC000331569496 529386171 /nfs/dbraw/zinc/38/61/71/529386171.db2.gz HEMAUTWMYYHVJS-PVAVHDDUSA-N 1 2 323.437 1.311 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C[C@]2(CCOC2)O1 ZINC000331569496 529386172 /nfs/dbraw/zinc/38/61/72/529386172.db2.gz HEMAUTWMYYHVJS-PVAVHDDUSA-N 1 2 323.437 1.311 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](Cc2ccccc2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000329033155 539300536 /nfs/dbraw/zinc/30/05/36/539300536.db2.gz QJFOWNROPYGZJZ-GJZGRUSLSA-N 1 2 322.430 1.652 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](Cc2ccccc2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000329033155 539300537 /nfs/dbraw/zinc/30/05/37/539300537.db2.gz QJFOWNROPYGZJZ-GJZGRUSLSA-N 1 2 322.430 1.652 20 30 DDEDLO Cc1cc2c[nH]nc2c(C(=O)NCC[N@H+]2CCOC[C@@H]2C)c1 ZINC000329099306 539300987 /nfs/dbraw/zinc/30/09/87/539300987.db2.gz MEVVYOSZUNVCJA-LBPRGKRZSA-N 1 2 302.378 1.897 20 30 DDEDLO Cc1cc2c[nH]nc2c(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)c1 ZINC000329099306 539300989 /nfs/dbraw/zinc/30/09/89/539300989.db2.gz MEVVYOSZUNVCJA-LBPRGKRZSA-N 1 2 302.378 1.897 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)c1cn2c(n1)CCCC2 ZINC000328689179 539298570 /nfs/dbraw/zinc/29/85/70/539298570.db2.gz CXWXUBYZQLRXKK-UHFFFAOYSA-N 1 2 306.410 1.635 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)c1cn2c(n1)CCCC2 ZINC000328689179 539298571 /nfs/dbraw/zinc/29/85/71/539298571.db2.gz CXWXUBYZQLRXKK-UHFFFAOYSA-N 1 2 306.410 1.635 20 30 DDEDLO C[N@@H+]1CCO[C@H](C[NH+]=C([O-])N[C@@H]2CCc3ccccc3C2)C1 ZINC000329124867 539301295 /nfs/dbraw/zinc/30/12/95/539301295.db2.gz SPRUEGQPMXCKCV-HZPDHXFCSA-N 1 2 303.406 1.378 20 30 DDEDLO C[N@H+]1CCO[C@H](C[NH+]=C([O-])N[C@@H]2CCc3ccccc3C2)C1 ZINC000329124867 539301297 /nfs/dbraw/zinc/30/12/97/539301297.db2.gz SPRUEGQPMXCKCV-HZPDHXFCSA-N 1 2 303.406 1.378 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N[C@@H]2CCc3ccccc3C2)C1 ZINC000329124867 539301299 /nfs/dbraw/zinc/30/12/99/539301299.db2.gz SPRUEGQPMXCKCV-HZPDHXFCSA-N 1 2 303.406 1.378 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N[C@@H]2CCc3ccccc3C2)C1 ZINC000329124867 539301301 /nfs/dbraw/zinc/30/13/01/539301301.db2.gz SPRUEGQPMXCKCV-HZPDHXFCSA-N 1 2 303.406 1.378 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)N[C@@H]2CCC[C@H]2C#N)C1 ZINC000333147413 529788469 /nfs/dbraw/zinc/78/84/69/529788469.db2.gz LKSAEZAQWASEQI-HRCADAONSA-N 1 2 320.437 1.431 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)N[C@@H]2CCC[C@H]2C#N)C1 ZINC000333147413 529788470 /nfs/dbraw/zinc/78/84/70/529788470.db2.gz LKSAEZAQWASEQI-HRCADAONSA-N 1 2 320.437 1.431 20 30 DDEDLO CN(C)c1ccccc1NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C ZINC000330694969 529795404 /nfs/dbraw/zinc/79/54/04/529795404.db2.gz BPEUKRDFKLFPGI-CYBMUJFWSA-N 1 2 305.426 1.324 20 30 DDEDLO CN(C)c1ccccc1NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C ZINC000330694969 529795405 /nfs/dbraw/zinc/79/54/05/529795405.db2.gz BPEUKRDFKLFPGI-CYBMUJFWSA-N 1 2 305.426 1.324 20 30 DDEDLO CN1CCC[C@H](NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1=O ZINC000330012467 530060109 /nfs/dbraw/zinc/06/01/09/530060109.db2.gz KPWPHSJRAKVCFL-UONOGXRCSA-N 1 2 309.410 1.207 20 30 DDEDLO CN1CCC[C@H](NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1=O ZINC000330012467 530060110 /nfs/dbraw/zinc/06/01/10/530060110.db2.gz KPWPHSJRAKVCFL-UONOGXRCSA-N 1 2 309.410 1.207 20 30 DDEDLO COc1cc[nH+]c(CN2CCN([C@@H](C#N)C(C)C)CC2)c1OC ZINC000616111889 362551035 /nfs/dbraw/zinc/55/10/35/362551035.db2.gz TXGKQVXFECUCTO-HNNXBMFYSA-N 1 2 318.421 1.765 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000029447080 352238975 /nfs/dbraw/zinc/23/89/75/352238975.db2.gz SWUDYCHSGKCFCU-CYBMUJFWSA-N 1 2 315.421 1.378 20 30 DDEDLO CC(C)(CNC(=O)c1cccc(CC#N)c1)[NH+]1CCOCC1 ZINC000042341149 352335209 /nfs/dbraw/zinc/33/52/09/352335209.db2.gz BZNZZQHPWLOFGK-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO COC[C@@H]1C[N@H+](CCOc2ccc(C#N)cc2OC)CCO1 ZINC000172890665 198164000 /nfs/dbraw/zinc/16/40/00/198164000.db2.gz WELXWGWXZRKZBJ-AWEZNQCLSA-N 1 2 306.362 1.293 20 30 DDEDLO COC[C@@H]1C[N@@H+](CCOc2ccc(C#N)cc2OC)CCO1 ZINC000172890665 198164002 /nfs/dbraw/zinc/16/40/02/198164002.db2.gz WELXWGWXZRKZBJ-AWEZNQCLSA-N 1 2 306.362 1.293 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@H](NC(=O)OCC)C1 ZINC000047795114 352512793 /nfs/dbraw/zinc/51/27/93/352512793.db2.gz ZJNLTMSRYMRKDT-AWEZNQCLSA-N 1 2 311.426 1.622 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@H](NC(=O)OCC)C1 ZINC000047795114 352512795 /nfs/dbraw/zinc/51/27/95/352512795.db2.gz ZJNLTMSRYMRKDT-AWEZNQCLSA-N 1 2 311.426 1.622 20 30 DDEDLO CCOC(=O)N1CC[NH+](CCOc2cccc(C#N)c2)CC1 ZINC000051182160 352581084 /nfs/dbraw/zinc/58/10/84/352581084.db2.gz HQNAUCMCBGILIG-UHFFFAOYSA-N 1 2 303.362 1.711 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000052636595 352621588 /nfs/dbraw/zinc/62/15/88/352621588.db2.gz BUZGJSNWJZUFQE-GFCCVEGCSA-N 1 2 304.390 1.753 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000052636595 352621590 /nfs/dbraw/zinc/62/15/90/352621590.db2.gz BUZGJSNWJZUFQE-GFCCVEGCSA-N 1 2 304.390 1.753 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)C(C)(C)C#N)c[nH+]2)C[C@@H](C)O1 ZINC000055380039 352731377 /nfs/dbraw/zinc/73/13/77/352731377.db2.gz MMAXXZADBYUHHO-CHWSQXEVSA-N 1 2 316.405 1.861 20 30 DDEDLO C[C@@H]1C[NH+]=C(N2CCN(C(=O)c3cccc(C#N)c3)CC2)S1 ZINC000173071716 198183110 /nfs/dbraw/zinc/18/31/10/198183110.db2.gz WUVSFNDIUWJMCF-GFCCVEGCSA-N 1 2 314.414 1.807 20 30 DDEDLO CCN(CC)C(=O)[C@H]1CCC[N@@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000065296150 352949837 /nfs/dbraw/zinc/94/98/37/352949837.db2.gz GLHVHIOUAMKUEL-RHSMWYFYSA-N 1 2 322.453 1.374 20 30 DDEDLO CCN(CC)C(=O)[C@H]1CCC[N@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000065296150 352949841 /nfs/dbraw/zinc/94/98/41/352949841.db2.gz GLHVHIOUAMKUEL-RHSMWYFYSA-N 1 2 322.453 1.374 20 30 DDEDLO CC[N@@H+](CC(=O)NCc1ccccc1COC)C[C@@H](C)C#N ZINC000066424941 352996031 /nfs/dbraw/zinc/99/60/31/352996031.db2.gz VQIAWUWZRPEHNE-AWEZNQCLSA-N 1 2 303.406 1.931 20 30 DDEDLO CC[N@H+](CC(=O)NCc1ccccc1COC)C[C@@H](C)C#N ZINC000066424941 352996034 /nfs/dbraw/zinc/99/60/34/352996034.db2.gz VQIAWUWZRPEHNE-AWEZNQCLSA-N 1 2 303.406 1.931 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000072666201 353208140 /nfs/dbraw/zinc/20/81/40/353208140.db2.gz ZBGKOOKZPFPCOC-GPAUDHNESA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000072666201 353208143 /nfs/dbraw/zinc/20/81/43/353208143.db2.gz ZBGKOOKZPFPCOC-GPAUDHNESA-N 1 2 319.430 1.728 20 30 DDEDLO C#CC[NH+]1CCN(c2nc(N(C)C)cc(C(F)(F)F)n2)CC1 ZINC000073877623 353276306 /nfs/dbraw/zinc/27/63/06/353276306.db2.gz HCVLISRIBJXEJJ-UHFFFAOYSA-N 1 2 313.327 1.317 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+](C)Cc2ccccc2F)CC1 ZINC000081666581 353687629 /nfs/dbraw/zinc/68/76/29/353687629.db2.gz KGJBDNAUJWYFMX-UHFFFAOYSA-N 1 2 303.381 1.035 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+](C)Cc2ccccc2F)CC1 ZINC000081666581 353687630 /nfs/dbraw/zinc/68/76/30/353687630.db2.gz KGJBDNAUJWYFMX-UHFFFAOYSA-N 1 2 303.381 1.035 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(C)Cc2ccccc2F)CC1 ZINC000081666581 353687635 /nfs/dbraw/zinc/68/76/35/353687635.db2.gz KGJBDNAUJWYFMX-UHFFFAOYSA-N 1 2 303.381 1.035 20 30 DDEDLO C[N@H+](CC(=O)NCC(=O)c1ccccc1)Cc1cccc(C#N)c1 ZINC000083168404 353706491 /nfs/dbraw/zinc/70/64/91/353706491.db2.gz OQGFIWOVMDNKME-UHFFFAOYSA-N 1 2 321.380 1.989 20 30 DDEDLO C[N@@H+](CC(=O)NCC(=O)c1ccccc1)Cc1cccc(C#N)c1 ZINC000083168404 353706494 /nfs/dbraw/zinc/70/64/94/353706494.db2.gz OQGFIWOVMDNKME-UHFFFAOYSA-N 1 2 321.380 1.989 20 30 DDEDLO COC1CC[NH+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000083132946 353706511 /nfs/dbraw/zinc/70/65/11/353706511.db2.gz BRPGGVZSWDLANN-CYBMUJFWSA-N 1 2 305.403 1.836 20 30 DDEDLO COC(=O)N1CC[NH+](Cc2ccc(O[C@H](C)C#N)cc2)CC1 ZINC000092217098 353847582 /nfs/dbraw/zinc/84/75/82/353847582.db2.gz DQGHOQFMFGSTKW-CYBMUJFWSA-N 1 2 303.362 1.861 20 30 DDEDLO C[C@@H]1[C@H](C)S(=O)(=O)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000093559680 353902782 /nfs/dbraw/zinc/90/27/82/353902782.db2.gz MMYWADHKOLLNJZ-NEPJUHHUSA-N 1 2 318.402 1.213 20 30 DDEDLO CN(C1CC[NH+](Cc2ccccc2C#N)CC1)S(C)(=O)=O ZINC000143427597 354149670 /nfs/dbraw/zinc/14/96/70/354149670.db2.gz XVGUCYQTXSGZBW-UHFFFAOYSA-N 1 2 307.419 1.414 20 30 DDEDLO Cn1ccnc1C[N@H+](C)C[C@H](O)COc1ccccc1C#N ZINC000314940525 354489776 /nfs/dbraw/zinc/48/97/76/354489776.db2.gz BAROASSCNVIOOG-AWEZNQCLSA-N 1 2 300.362 1.163 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)C[C@H](O)COc1ccccc1C#N ZINC000314940525 354489782 /nfs/dbraw/zinc/48/97/82/354489782.db2.gz BAROASSCNVIOOG-AWEZNQCLSA-N 1 2 300.362 1.163 20 30 DDEDLO CC[N@@H+]1CCN(CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1C ZINC000316553205 354503378 /nfs/dbraw/zinc/50/33/78/354503378.db2.gz DUJQECVIAOAMGH-CQSZACIVSA-N 1 2 321.446 1.358 20 30 DDEDLO CC[N@H+]1CCN(CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1C ZINC000316553205 354503380 /nfs/dbraw/zinc/50/33/80/354503380.db2.gz DUJQECVIAOAMGH-CQSZACIVSA-N 1 2 321.446 1.358 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCc1cccc2c1OCO2 ZINC000581492067 354734325 /nfs/dbraw/zinc/73/43/25/354734325.db2.gz SMRVMFGHGXWSEJ-INIZCTEOSA-N 1 2 303.362 1.308 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNS(=O)(=O)CC1(C#N)CCC1)CCC2 ZINC000581753809 354737060 /nfs/dbraw/zinc/73/70/60/354737060.db2.gz PHGDQZCEBNUYIX-CYBMUJFWSA-N 1 2 322.434 1.682 20 30 DDEDLO C[C@@H]1CO[C@H](C(F)(F)F)C[N@@H+]1CCC(=O)N(C)CCC#N ZINC000586777466 354864717 /nfs/dbraw/zinc/86/47/17/354864717.db2.gz PQJWMNCAKAFTTP-MNOVXSKESA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@@H]1CO[C@H](C(F)(F)F)C[N@H+]1CCC(=O)N(C)CCC#N ZINC000586777466 354864719 /nfs/dbraw/zinc/86/47/19/354864719.db2.gz PQJWMNCAKAFTTP-MNOVXSKESA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@H]1C[C@H]([NH2+]Cc2nc(C#N)cs2)C(=O)N1c1cnn(C)c1 ZINC000589314069 354983663 /nfs/dbraw/zinc/98/36/63/354983663.db2.gz GMAFHEFFTQTJDI-CABZTGNLSA-N 1 2 316.390 1.032 20 30 DDEDLO N#C[C@H]1C[N@@H+](Cc2cncs2)C[C@]12C(=O)Nc1ccccc12 ZINC000376644928 283069340 /nfs/dbraw/zinc/06/93/40/283069340.db2.gz SFBVIDUXYTYWRC-ZBEGNZNMSA-N 1 2 310.382 1.989 20 30 DDEDLO N#C[C@H]1C[N@H+](Cc2cncs2)C[C@]12C(=O)Nc1ccccc12 ZINC000376644928 283069343 /nfs/dbraw/zinc/06/93/43/283069343.db2.gz SFBVIDUXYTYWRC-ZBEGNZNMSA-N 1 2 310.382 1.989 20 30 DDEDLO CCCCNC(=O)C[NH+]1CCN(c2ccc(C#N)c(C)n2)CC1 ZINC000589859200 355027526 /nfs/dbraw/zinc/02/75/26/355027526.db2.gz LNEHDZRIHLAWRJ-UHFFFAOYSA-N 1 2 315.421 1.300 20 30 DDEDLO C[C@H]1CN(c2ccc(CNC(=O)CC3(C#N)CC3)c[nH+]2)CCO1 ZINC000590154806 355053878 /nfs/dbraw/zinc/05/38/78/355053878.db2.gz HPRCQGULLJLXBO-ZDUSSCGKSA-N 1 2 314.389 1.617 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)[C@@H]1C[C@H]1c1cccc(C#N)c1 ZINC000591021749 355234417 /nfs/dbraw/zinc/23/44/17/355234417.db2.gz WFYSGSOFMUDCCW-RRQGHBQHSA-N 1 2 313.401 1.499 20 30 DDEDLO CN(C[C@H]1CCC[N@H+](Cc2ccncc2C#N)C1)S(C)(=O)=O ZINC000592121136 355505312 /nfs/dbraw/zinc/50/53/12/355505312.db2.gz FPKXBBNNGNVPJJ-CYBMUJFWSA-N 1 2 322.434 1.057 20 30 DDEDLO CN(C[C@H]1CCC[N@@H+](Cc2ccncc2C#N)C1)S(C)(=O)=O ZINC000592121136 355505317 /nfs/dbraw/zinc/50/53/17/355505317.db2.gz FPKXBBNNGNVPJJ-CYBMUJFWSA-N 1 2 322.434 1.057 20 30 DDEDLO Cc1cccc(NC(=O)[C@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)c1C ZINC000592148055 355514140 /nfs/dbraw/zinc/51/41/40/355514140.db2.gz QQUZXXPETKMZQZ-WMLDXEAASA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1cccc(NC(=O)[C@H](C)[N@H+]2CC[C@](O)(CC#N)C2)c1C ZINC000592148055 355514143 /nfs/dbraw/zinc/51/41/43/355514143.db2.gz QQUZXXPETKMZQZ-WMLDXEAASA-N 1 2 301.390 1.981 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)N2CCSc3ccccc32)C1 ZINC000592148152 355514272 /nfs/dbraw/zinc/51/42/72/355514272.db2.gz RPHXAYAWCJNVQL-INIZCTEOSA-N 1 2 317.414 1.476 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)N2CCSc3ccccc32)C1 ZINC000592148152 355514276 /nfs/dbraw/zinc/51/42/76/355514276.db2.gz RPHXAYAWCJNVQL-INIZCTEOSA-N 1 2 317.414 1.476 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000592150934 355517402 /nfs/dbraw/zinc/51/74/02/355517402.db2.gz JYKKJOYRBQDFFP-GOEBONIOSA-N 1 2 303.337 1.281 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000592150934 355517406 /nfs/dbraw/zinc/51/74/06/355517406.db2.gz JYKKJOYRBQDFFP-GOEBONIOSA-N 1 2 303.337 1.281 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H](C[C@@H]1CCCO1)C(F)(F)F ZINC000593153580 355812554 /nfs/dbraw/zinc/81/25/54/355812554.db2.gz VNZHMFWQXYZICA-LOWVWBTDSA-N 1 2 321.343 1.694 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593153444 355812904 /nfs/dbraw/zinc/81/29/04/355812904.db2.gz QCGSLPZLTHXQNP-AWEZNQCLSA-N 1 2 308.313 1.236 20 30 DDEDLO N#CC1(NC(=O)Cc2cn3c([nH+]2)CCCC3)CCSCC1 ZINC000593552591 355922832 /nfs/dbraw/zinc/92/28/32/355922832.db2.gz MJMZFXNUSUFNQS-UHFFFAOYSA-N 1 2 304.419 1.667 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(c2cccc(C(F)F)c2C#N)CC1 ZINC000594539387 356245446 /nfs/dbraw/zinc/24/54/46/356245446.db2.gz HCTMVIGJRDDNEY-UHFFFAOYSA-N 1 2 322.359 1.706 20 30 DDEDLO C[C@@H](NS(=O)(=O)Cc1cccc(C#N)c1)c1[nH+]ccn1C ZINC000595382012 356473288 /nfs/dbraw/zinc/47/32/88/356473288.db2.gz BCQSOEHPKKTBCP-LLVKDONJSA-N 1 2 304.375 1.472 20 30 DDEDLO C[C@H](C#N)C[N@H+](C1CC1)[C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595389556 356475589 /nfs/dbraw/zinc/47/55/89/356475589.db2.gz PNHAOPKONQQEOK-TZMCWYRMSA-N 1 2 321.421 1.553 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C1CC1)[C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595389556 356475594 /nfs/dbraw/zinc/47/55/94/356475594.db2.gz PNHAOPKONQQEOK-TZMCWYRMSA-N 1 2 321.421 1.553 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)N2CCn3c[nH+]cc3C2)c(C#N)c1C ZINC000595489848 356515624 /nfs/dbraw/zinc/51/56/24/356515624.db2.gz JIWFBUPWRCWWRH-LLVKDONJSA-N 1 2 313.361 1.807 20 30 DDEDLO CO[C@]1(CO)CCC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000595658183 356594845 /nfs/dbraw/zinc/59/48/45/356594845.db2.gz IAHSRYFMMYWLBP-OAHLLOKOSA-N 1 2 305.334 1.440 20 30 DDEDLO CO[C@]1(CO)CCC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000595658183 356594847 /nfs/dbraw/zinc/59/48/47/356594847.db2.gz IAHSRYFMMYWLBP-OAHLLOKOSA-N 1 2 305.334 1.440 20 30 DDEDLO C[C@@H]1CN(CC[NH+]2CCN(c3ccsc3C#N)CC2)CCO1 ZINC000595630077 356584145 /nfs/dbraw/zinc/58/41/45/356584145.db2.gz SBXGOEFRYANIOG-CQSZACIVSA-N 1 2 320.462 1.462 20 30 DDEDLO CC(C)N(C)C(=O)[C@H](C)[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595630586 356584639 /nfs/dbraw/zinc/58/46/39/356584639.db2.gz FTTZMJCUTCHPEY-ZDUSSCGKSA-N 1 2 320.462 1.997 20 30 DDEDLO C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)c2ccccc2CC#N)C1 ZINC000601342099 358506937 /nfs/dbraw/zinc/50/69/37/358506937.db2.gz PZUBBYUIXCLINH-CQSZACIVSA-N 1 2 311.382 1.075 20 30 DDEDLO C[C@H]1COC2(CCC2)C[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000595677326 356606027 /nfs/dbraw/zinc/60/60/27/356606027.db2.gz ZEXCOKMUJOLGDC-AWEZNQCLSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@H]1COC2(CCC2)C[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000595677326 356606030 /nfs/dbraw/zinc/60/60/30/356606030.db2.gz ZEXCOKMUJOLGDC-AWEZNQCLSA-N 1 2 313.401 1.818 20 30 DDEDLO Cn1cc(C[N@H+](C)CCC(=O)Nc2sccc2C#N)nn1 ZINC000595760310 356640618 /nfs/dbraw/zinc/64/06/18/356640618.db2.gz RKOCSGRUMNELFN-UHFFFAOYSA-N 1 2 304.379 1.209 20 30 DDEDLO Cn1cc(C[N@@H+](C)CCC(=O)Nc2sccc2C#N)nn1 ZINC000595760310 356640621 /nfs/dbraw/zinc/64/06/21/356640621.db2.gz RKOCSGRUMNELFN-UHFFFAOYSA-N 1 2 304.379 1.209 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H]3COC[C@@H]3C2)c(C#N)c1C ZINC000595836629 356671474 /nfs/dbraw/zinc/67/14/74/356671474.db2.gz XNPJBMJFEZYMIS-OLZOCXBDSA-N 1 2 303.362 1.675 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H]3COC[C@@H]3C2)c(C#N)c1C ZINC000595836629 356671477 /nfs/dbraw/zinc/67/14/77/356671477.db2.gz XNPJBMJFEZYMIS-OLZOCXBDSA-N 1 2 303.362 1.675 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000596594887 356929419 /nfs/dbraw/zinc/92/94/19/356929419.db2.gz XLSCBOSUADSJBH-OCCSQVGLSA-N 1 2 316.405 1.865 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000596594887 356929421 /nfs/dbraw/zinc/92/94/21/356929421.db2.gz XLSCBOSUADSJBH-OCCSQVGLSA-N 1 2 316.405 1.865 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1Cc2c[nH]nc2CC(C)(C)C1 ZINC000596599744 356929983 /nfs/dbraw/zinc/92/99/83/356929983.db2.gz XWINCSIYCOWQNO-CYBMUJFWSA-N 1 2 317.437 1.802 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1Cc2c[nH]nc2CC(C)(C)C1 ZINC000596599744 356929985 /nfs/dbraw/zinc/92/99/85/356929985.db2.gz XWINCSIYCOWQNO-CYBMUJFWSA-N 1 2 317.437 1.802 20 30 DDEDLO N#CCCN(Cc1cccnc1)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000596965135 357028507 /nfs/dbraw/zinc/02/85/07/357028507.db2.gz REIXDLNUQGJBSK-HNNXBMFYSA-N 1 2 309.373 1.783 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2ccc(C3(C#N)CC3)cc2)CCO1 ZINC000596994451 357035380 /nfs/dbraw/zinc/03/53/80/357035380.db2.gz AFXPFACNJMVJLE-OAHLLOKOSA-N 1 2 300.358 1.616 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2ccc(C3(C#N)CC3)cc2)CCO1 ZINC000596994451 357035383 /nfs/dbraw/zinc/03/53/83/357035383.db2.gz AFXPFACNJMVJLE-OAHLLOKOSA-N 1 2 300.358 1.616 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2cc(F)c(C#N)c(F)c2)[C@@H](C)CO1 ZINC000598000265 357415589 /nfs/dbraw/zinc/41/55/89/357415589.db2.gz YREAZVAANHTCGZ-WDEREUQCSA-N 1 2 323.343 1.675 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2cc(F)c(C#N)c(F)c2)[C@@H](C)CO1 ZINC000598000265 357415595 /nfs/dbraw/zinc/41/55/95/357415595.db2.gz YREAZVAANHTCGZ-WDEREUQCSA-N 1 2 323.343 1.675 20 30 DDEDLO C[C@@H](C#N)N(C(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1)C1CC1 ZINC000598127268 357468981 /nfs/dbraw/zinc/46/89/81/357468981.db2.gz ACUYKBGXRJAQCF-WMLDXEAASA-N 1 2 313.401 1.790 20 30 DDEDLO C[C@@H](C#N)N(C(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1)C1CC1 ZINC000598127268 357468987 /nfs/dbraw/zinc/46/89/87/357468987.db2.gz ACUYKBGXRJAQCF-WMLDXEAASA-N 1 2 313.401 1.790 20 30 DDEDLO Cc1ncc(CNC(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)s1 ZINC000329737537 223019804 /nfs/dbraw/zinc/01/98/04/223019804.db2.gz MGLBKRSHWHEMGR-GFCCVEGCSA-N 1 2 310.423 1.272 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2ccnn2CCc2ccccc2)C1 ZINC000598676040 357682054 /nfs/dbraw/zinc/68/20/54/357682054.db2.gz LIXHGYGBODEZDW-SFHVURJKSA-N 1 2 310.401 1.976 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2ccnn2CCc2ccccc2)C1 ZINC000598676040 357682059 /nfs/dbraw/zinc/68/20/59/357682059.db2.gz LIXHGYGBODEZDW-SFHVURJKSA-N 1 2 310.401 1.976 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C[C@@H](n1cccn1)C(F)(F)F ZINC000598836209 357740002 /nfs/dbraw/zinc/74/00/02/357740002.db2.gz CPCHPPYZCLBJHU-PWSUYJOCSA-N 1 2 317.315 1.337 20 30 DDEDLO O=C(Cc1ccon1)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000329779433 223027327 /nfs/dbraw/zinc/02/73/27/223027327.db2.gz LWXOCQPSZAKJKK-CQSZACIVSA-N 1 2 311.407 1.382 20 30 DDEDLO C[C@H](NC(=O)NC[C@H]1COCC[N@@H+]1C)c1cccc(C#N)c1 ZINC000598998235 357787513 /nfs/dbraw/zinc/78/75/13/357787513.db2.gz IMTHAJGQBJSGKZ-WFASDCNBSA-N 1 2 302.378 1.249 20 30 DDEDLO C[C@H](NC(=O)NC[C@H]1COCC[N@H+]1C)c1cccc(C#N)c1 ZINC000598998235 357787519 /nfs/dbraw/zinc/78/75/19/357787519.db2.gz IMTHAJGQBJSGKZ-WFASDCNBSA-N 1 2 302.378 1.249 20 30 DDEDLO CCC(C#N)(CC)C(=O)N1CC[NH+]([C@H](C)CC(=O)OC)CC1 ZINC000599620085 357994793 /nfs/dbraw/zinc/99/47/93/357994793.db2.gz UIAPQDUXLCHOBN-CYBMUJFWSA-N 1 2 309.410 1.412 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N2CCc3[nH]c[nH+]c3C2)cc1 ZINC000599953343 358089377 /nfs/dbraw/zinc/08/93/77/358089377.db2.gz WQUZQGKVQLPNDO-AWEZNQCLSA-N 1 2 305.341 1.549 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N2CCc3[nH+]c[nH]c3C2)cc1 ZINC000599953343 358089378 /nfs/dbraw/zinc/08/93/78/358089378.db2.gz WQUZQGKVQLPNDO-AWEZNQCLSA-N 1 2 305.341 1.549 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)CSCc1ccc(C#N)cc1 ZINC000600418588 358215755 /nfs/dbraw/zinc/21/57/55/358215755.db2.gz DHLUZVFYEGFNGO-OAHLLOKOSA-N 1 2 319.430 1.238 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)CSCc1ccc(C#N)cc1 ZINC000600418588 358215760 /nfs/dbraw/zinc/21/57/60/358215760.db2.gz DHLUZVFYEGFNGO-OAHLLOKOSA-N 1 2 319.430 1.238 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)[C@H]3CCc4c[nH+]cn4C3)C2)c1 ZINC000601680892 358652394 /nfs/dbraw/zinc/65/23/94/358652394.db2.gz SMMDBNXAYGTHDC-AWEZNQCLSA-N 1 2 321.384 1.640 20 30 DDEDLO C[C@@H]1C[NH+](Cc2nnc(-c3ccccc3)n2C)C[C@@H](C)N1CC#N ZINC000602854748 359241333 /nfs/dbraw/zinc/24/13/33/359241333.db2.gz RCZPJSANUCCUCT-HUUCEWRRSA-N 1 2 324.432 1.900 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)N(C)C2CCCCC2)C[C@H](C)N1CC#N ZINC000602857099 359243476 /nfs/dbraw/zinc/24/34/76/359243476.db2.gz OPQOGRBTRZSXJM-GJZGRUSLSA-N 1 2 306.454 1.696 20 30 DDEDLO CC(C)CN(C(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)C1CC1 ZINC000602862390 359248058 /nfs/dbraw/zinc/24/80/58/359248058.db2.gz NQFUDTDABRHVFZ-GASCZTMLSA-N 1 2 306.454 1.552 20 30 DDEDLO CC(C)CN(C(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)C1CC1 ZINC000602862390 359248064 /nfs/dbraw/zinc/24/80/64/359248064.db2.gz NQFUDTDABRHVFZ-GASCZTMLSA-N 1 2 306.454 1.552 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2cccc(F)c2)CC1 ZINC000603012815 359356610 /nfs/dbraw/zinc/35/66/10/359356610.db2.gz FIBQMISLBGTBST-CQSZACIVSA-N 1 2 318.396 1.708 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)C[N@H+](C)[C@@H]1CCC[C@@H]1C#N)C(=O)OC ZINC000602973453 359332907 /nfs/dbraw/zinc/33/29/07/359332907.db2.gz AHMWWBKPPVGWBE-JLNYLFASSA-N 1 2 309.410 1.314 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)C[N@@H+](C)[C@@H]1CCC[C@@H]1C#N)C(=O)OC ZINC000602973453 359332912 /nfs/dbraw/zinc/33/29/12/359332912.db2.gz AHMWWBKPPVGWBE-JLNYLFASSA-N 1 2 309.410 1.314 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)c2ccc(C(=O)OC)o2)nn1 ZINC000603204251 359478938 /nfs/dbraw/zinc/47/89/38/359478938.db2.gz MGZCQXTYAJVUDX-NSHDSACASA-N 1 2 302.334 1.380 20 30 DDEDLO CCOC(=O)C1CC[NH+](Cc2cn(CC3(C#N)CC3)nn2)CC1 ZINC000603226481 359497363 /nfs/dbraw/zinc/49/73/63/359497363.db2.gz BOXHSUDWYLXJEZ-UHFFFAOYSA-N 1 2 317.393 1.357 20 30 DDEDLO CC(C)c1nc(N2C[C@H](C)N(CC#N)[C@@H](C)C2)nc(N(C)C)[nH+]1 ZINC000603400602 359617607 /nfs/dbraw/zinc/61/76/07/359617607.db2.gz MBFMOTQLNYWKIF-STQMWFEESA-N 1 2 317.441 1.484 20 30 DDEDLO COc1ccc(C)cc1C[NH+]1CCN(c2nccnc2C#N)CC1 ZINC000608830357 360249647 /nfs/dbraw/zinc/24/96/47/360249647.db2.gz HKMNWAMPXPXHJM-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCCN1c1ccc(C#N)cc1 ZINC000609108326 360260452 /nfs/dbraw/zinc/26/04/52/360260452.db2.gz AJJMRCANFHSFSB-RHSMWYFYSA-N 1 2 323.400 1.928 20 30 DDEDLO O=C(NCc1nccn1C(F)F)NC[C@H]1CCc2[nH+]ccn2C1 ZINC000329949830 223052048 /nfs/dbraw/zinc/05/20/48/223052048.db2.gz LQIZTWAJZDOPLG-SNVBAGLBSA-N 1 2 324.335 1.741 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@@H](O)COc1c(C)cccc1C ZINC000610391686 360424262 /nfs/dbraw/zinc/42/42/62/360424262.db2.gz HHKKGJGMDAZLFX-MRXNPFEDSA-N 1 2 306.406 1.905 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@@H](O)COc1c(C)cccc1C ZINC000610391686 360424265 /nfs/dbraw/zinc/42/42/65/360424265.db2.gz HHKKGJGMDAZLFX-MRXNPFEDSA-N 1 2 306.406 1.905 20 30 DDEDLO CCCC[N@H+](Cc1ccnc(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000610994458 360595394 /nfs/dbraw/zinc/59/53/94/360595394.db2.gz DICRXMARAISISL-OAHLLOKOSA-N 1 2 307.419 1.742 20 30 DDEDLO CCCC[N@@H+](Cc1ccnc(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000610994458 360595401 /nfs/dbraw/zinc/59/54/01/360595401.db2.gz DICRXMARAISISL-OAHLLOKOSA-N 1 2 307.419 1.742 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)N[C@](C)(C#N)C(C)C)cn1 ZINC000610999153 360597577 /nfs/dbraw/zinc/59/75/77/360597577.db2.gz CVCQPSXFNORSSI-MRXNPFEDSA-N 1 2 304.394 1.576 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)N[C@](C)(C#N)C(C)C)cn1 ZINC000610999153 360597581 /nfs/dbraw/zinc/59/75/81/360597581.db2.gz CVCQPSXFNORSSI-MRXNPFEDSA-N 1 2 304.394 1.576 20 30 DDEDLO C[C@@H]([NH2+]Cc1nc2c(cnn2C)c(=O)[nH]1)c1ccc(C#N)cc1 ZINC000611381798 360708096 /nfs/dbraw/zinc/70/80/96/360708096.db2.gz ACVFSJQKURQAOC-SNVBAGLBSA-N 1 2 308.345 1.791 20 30 DDEDLO Cc1onc(CC(=O)NCC[NH+]2Cc3ccccc3C2)c1C#N ZINC000611527666 360747936 /nfs/dbraw/zinc/74/79/36/360747936.db2.gz QXMDXYJKSOEUER-UHFFFAOYSA-N 1 2 310.357 1.529 20 30 DDEDLO [O-]C(N[C@@H]1CCO[C@H](C2CC2)C1)=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330200148 223086259 /nfs/dbraw/zinc/08/62/59/223086259.db2.gz WJCVUCJHIVNSST-MCIONIFRSA-N 1 2 304.394 1.659 20 30 DDEDLO [O-]C(N[C@@H]1CCn2cc[nH+]c2C1)=[NH+][C@@H]1CCO[C@H](C2CC2)C1 ZINC000330200148 223086262 /nfs/dbraw/zinc/08/62/62/223086262.db2.gz WJCVUCJHIVNSST-MCIONIFRSA-N 1 2 304.394 1.659 20 30 DDEDLO COc1cnc(NC(=O)N[C@H](C)C[NH+]2CCOCC2)s1 ZINC000330489534 223122674 /nfs/dbraw/zinc/12/26/74/223122674.db2.gz LUHWOUGSYYOBAL-SECBINFHSA-N 1 2 300.384 1.198 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000613004998 361235801 /nfs/dbraw/zinc/23/58/01/361235801.db2.gz JRARCDXUQIYCDF-ZIAGYGMSSA-N 1 2 304.394 1.207 20 30 DDEDLO COCC(=O)NC1CC[NH+](Cc2ccc(Cl)cc2C#N)CC1 ZINC000614159730 361730000 /nfs/dbraw/zinc/73/00/00/361730000.db2.gz PTVBJAAHNQJOOM-UHFFFAOYSA-N 1 2 321.808 1.939 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)CCc2c[nH+]cn2C)CC1 ZINC000619433279 364007078 /nfs/dbraw/zinc/00/70/78/364007078.db2.gz FKHFKUXJQIJQGK-HNNXBMFYSA-N 1 2 303.410 1.045 20 30 DDEDLO C=C[C@@H](N[C@H](Cc1ccccc1)C[NH+]1CCOCC1)C(=O)OC ZINC000619701722 364120161 /nfs/dbraw/zinc/12/01/61/364120161.db2.gz SJAPXRHDGJZOSG-IAGOWNOFSA-N 1 2 318.417 1.247 20 30 DDEDLO C[C@@H]([NH2+]CC1(C#N)CC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000343578340 223323060 /nfs/dbraw/zinc/32/30/60/223323060.db2.gz FOWBNJRJGWKZPF-GFCCVEGCSA-N 1 2 307.419 1.891 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2)[C@@H]1C(=O)OC ZINC000156330445 291361734 /nfs/dbraw/zinc/36/17/34/291361734.db2.gz DXCRVDFGYMTYKJ-CABCVRRESA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2)[C@@H]1C(=O)OC ZINC000156330445 291361736 /nfs/dbraw/zinc/36/17/36/291361736.db2.gz DXCRVDFGYMTYKJ-CABCVRRESA-N 1 2 316.357 1.485 20 30 DDEDLO COCC[N@H+](CC(=O)NC1(C#N)CCC1)Cc1cccs1 ZINC000346866778 223361379 /nfs/dbraw/zinc/36/13/79/223361379.db2.gz KTWJGRITRHYRQK-UHFFFAOYSA-N 1 2 307.419 1.759 20 30 DDEDLO COCC[N@@H+](CC(=O)NC1(C#N)CCC1)Cc1cccs1 ZINC000346866778 223361382 /nfs/dbraw/zinc/36/13/82/223361382.db2.gz KTWJGRITRHYRQK-UHFFFAOYSA-N 1 2 307.419 1.759 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1C[C@@H](C)O[C@H](c2ccc(F)cc2)C1 ZINC000266019486 205032383 /nfs/dbraw/zinc/03/23/83/205032383.db2.gz OMLSBEPRINZPTQ-WWGRRREGSA-N 1 2 304.365 1.725 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1C[C@@H](C)O[C@H](c2ccc(F)cc2)C1 ZINC000266019486 205032386 /nfs/dbraw/zinc/03/23/86/205032386.db2.gz OMLSBEPRINZPTQ-WWGRRREGSA-N 1 2 304.365 1.725 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CC)CC(=O)NCc1ccc(F)cc1 ZINC000016559721 182049231 /nfs/dbraw/zinc/04/92/31/182049231.db2.gz RVSXDFYDZUPBER-UHFFFAOYSA-N 1 2 307.369 1.066 20 30 DDEDLO C=CCNC(=O)C[N@H+](CC)CC(=O)NCc1ccc(F)cc1 ZINC000016559721 182049233 /nfs/dbraw/zinc/04/92/33/182049233.db2.gz RVSXDFYDZUPBER-UHFFFAOYSA-N 1 2 307.369 1.066 20 30 DDEDLO CC[N@H+](CC(=O)N1CCCCCC1)[C@H](C)C(=O)N(C)CCC#N ZINC000353174594 284387063 /nfs/dbraw/zinc/38/70/63/284387063.db2.gz QRSUIXRSDLCDHN-OAHLLOKOSA-N 1 2 322.453 1.471 20 30 DDEDLO CC[N@@H+](CC(=O)N1CCCCCC1)[C@H](C)C(=O)N(C)CCC#N ZINC000353174594 284387064 /nfs/dbraw/zinc/38/70/64/284387064.db2.gz QRSUIXRSDLCDHN-OAHLLOKOSA-N 1 2 322.453 1.471 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[NH+](C2CC2)CC1 ZINC000077387613 291672339 /nfs/dbraw/zinc/67/23/39/291672339.db2.gz VEQBJUHAKNGANO-UHFFFAOYSA-N 1 2 305.403 1.335 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCOC[C@@H]1[C@H]1CCC[C@H]1O ZINC000284830419 218197174 /nfs/dbraw/zinc/19/71/74/218197174.db2.gz OYDYUMJSOILNOT-BRWVUGGUSA-N 1 2 316.401 1.929 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCOC[C@@H]1[C@H]1CCC[C@H]1O ZINC000284830419 218197177 /nfs/dbraw/zinc/19/71/77/218197177.db2.gz OYDYUMJSOILNOT-BRWVUGGUSA-N 1 2 316.401 1.929 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)c1cc(C#N)ccc1Cl ZINC000285348231 218391379 /nfs/dbraw/zinc/39/13/79/218391379.db2.gz PSXLPWYFSSSZTJ-UHFFFAOYSA-N 1 2 307.781 1.616 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)NC(C2CC2)C2CC2)CC1 ZINC000341990153 533108784 /nfs/dbraw/zinc/10/87/84/533108784.db2.gz KRUXILDFKNAXMY-UHFFFAOYSA-N 1 2 305.422 1.543 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)N[C@H]2CCCC[C@@H]2C)CC1 ZINC000341994250 533110146 /nfs/dbraw/zinc/11/01/46/533110146.db2.gz SETOZANKLRYBAV-GJZGRUSLSA-N 1 2 307.438 1.933 20 30 DDEDLO Cc1cc(C[NH2+][C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)nn1C ZINC000414442632 224338234 /nfs/dbraw/zinc/33/82/34/224338234.db2.gz YMTOYVCTPMSRGA-KRWDZBQOSA-N 1 2 323.400 1.491 20 30 DDEDLO COCC[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCCS1(=O)=O ZINC000330017778 533342828 /nfs/dbraw/zinc/34/28/28/533342828.db2.gz RSKYSCRGFWHHCI-CHWSQXEVSA-N 1 2 318.439 1.021 20 30 DDEDLO COCC[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCCS1(=O)=O ZINC000330017778 533342834 /nfs/dbraw/zinc/34/28/34/533342834.db2.gz RSKYSCRGFWHHCI-CHWSQXEVSA-N 1 2 318.439 1.021 20 30 DDEDLO COc1ccc(C(N)=[NH+]O[C@@H](C)C(=O)N2CCC(C)CC2)cc1 ZINC000078695825 407040805 /nfs/dbraw/zinc/04/08/05/407040805.db2.gz RPCCXVXEXRVPSQ-ZDUSSCGKSA-N 1 2 319.405 1.979 20 30 DDEDLO N#Cc1ccc(CSCC(=O)NCCn2cc[nH+]c2)cc1 ZINC000048141725 407090115 /nfs/dbraw/zinc/09/01/15/407090115.db2.gz JKGZWTWFVQBMHN-UHFFFAOYSA-N 1 2 300.387 1.804 20 30 DDEDLO C[C@@H](CNC(=O)N(C)Cc1ccc(C#N)cc1)[NH+]1CCOCC1 ZINC000046262354 407058652 /nfs/dbraw/zinc/05/86/52/407058652.db2.gz UMBWIDICTUIVJO-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO C=CCn1c(C)nnc1S[C@@H](C)C(=O)N1CC[NH+](CC)CC1 ZINC000049583223 407122490 /nfs/dbraw/zinc/12/24/90/407122490.db2.gz HMPZLRMCEQOQJR-LBPRGKRZSA-N 1 2 323.466 1.417 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(N(C)C)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000092360939 407188656 /nfs/dbraw/zinc/18/86/56/407188656.db2.gz MBFOVYACCUHDDW-MRXNPFEDSA-N 1 2 308.447 1.928 20 30 DDEDLO C=CC[N@H+](Cc1ccc(N(C)C)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000092360939 407188659 /nfs/dbraw/zinc/18/86/59/407188659.db2.gz MBFOVYACCUHDDW-MRXNPFEDSA-N 1 2 308.447 1.928 20 30 DDEDLO C=CC[N@H+](CCC(=O)NC1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000066559956 407254551 /nfs/dbraw/zinc/25/45/51/407254551.db2.gz KADKNEGKQSNEQK-CQSZACIVSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CC[N@@H+](CCC(=O)NC1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000066559956 407254552 /nfs/dbraw/zinc/25/45/52/407254552.db2.gz KADKNEGKQSNEQK-CQSZACIVSA-N 1 2 314.451 1.110 20 30 DDEDLO CC[N@H+](CCCNC(=O)N1CCO[C@@H](C#N)C1)c1ccccc1 ZINC000106329403 407358971 /nfs/dbraw/zinc/35/89/71/407358971.db2.gz IVZLQMIGRNREED-INIZCTEOSA-N 1 2 316.405 1.837 20 30 DDEDLO CC[N@@H+](CCCNC(=O)N1CCO[C@@H](C#N)C1)c1ccccc1 ZINC000106329403 407358972 /nfs/dbraw/zinc/35/89/72/407358972.db2.gz IVZLQMIGRNREED-INIZCTEOSA-N 1 2 316.405 1.837 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)[C@@H](C)c1sc(C)nc1C ZINC000106877501 407363730 /nfs/dbraw/zinc/36/37/30/407363730.db2.gz RJIRIJLYCRBCOX-JTQLQIEISA-N 1 2 310.423 1.765 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)[C@@H](C)c1sc(C)nc1C ZINC000106877501 407363731 /nfs/dbraw/zinc/36/37/31/407363731.db2.gz RJIRIJLYCRBCOX-JTQLQIEISA-N 1 2 310.423 1.765 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2C[C@@H](OC)C[C@H]2C(=O)OC)cc1 ZINC000125809027 407398447 /nfs/dbraw/zinc/39/84/47/407398447.db2.gz MYBODAUMHKNTPR-IRXDYDNUSA-N 1 2 317.385 1.851 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2C[C@@H](OC)C[C@H]2C(=O)OC)cc1 ZINC000125809027 407398448 /nfs/dbraw/zinc/39/84/48/407398448.db2.gz MYBODAUMHKNTPR-IRXDYDNUSA-N 1 2 317.385 1.851 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)NCc1[nH+]ccn1C ZINC000127315835 407433829 /nfs/dbraw/zinc/43/38/29/407433829.db2.gz REEVSKWNIRGDFL-UHFFFAOYSA-N 1 2 301.346 1.924 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H](CC)C(C)C)CC1 ZINC000112904293 407445247 /nfs/dbraw/zinc/44/52/47/407445247.db2.gz VZIZVXITCAZUPB-MRXNPFEDSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H](CC)C(C)C)CC1 ZINC000112904293 407445252 /nfs/dbraw/zinc/44/52/52/407445252.db2.gz VZIZVXITCAZUPB-MRXNPFEDSA-N 1 2 321.465 1.342 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3cccs3)CC2)[nH]1 ZINC000151851923 407513629 /nfs/dbraw/zinc/51/36/29/407513629.db2.gz ZNWNPBKQOVGLKT-UHFFFAOYSA-N 1 2 300.387 1.906 20 30 DDEDLO Cc1cc(NC(=O)N(C)CCCn2cc[nH+]c2)nn1CCC#N ZINC000271169549 407550451 /nfs/dbraw/zinc/55/04/51/407550451.db2.gz LKRYQIACNSYXID-UHFFFAOYSA-N 1 2 315.381 1.856 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@@H]1c1ccc(COC)o1 ZINC000131398358 407733628 /nfs/dbraw/zinc/73/36/28/407733628.db2.gz ODFRUGPOULGRNZ-CYBMUJFWSA-N 1 2 321.377 1.575 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@@H]1c1ccc(COC)o1 ZINC000131398358 407733634 /nfs/dbraw/zinc/73/36/34/407733634.db2.gz ODFRUGPOULGRNZ-CYBMUJFWSA-N 1 2 321.377 1.575 20 30 DDEDLO C#CCCN1CC[NH+](CCOc2cccc(C(=O)OC)c2)CC1 ZINC000272065552 407760900 /nfs/dbraw/zinc/76/09/00/407760900.db2.gz XTPXGNKRTAJMCZ-UHFFFAOYSA-N 1 2 316.401 1.493 20 30 DDEDLO N#CCSCC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000116644014 407767667 /nfs/dbraw/zinc/76/76/67/407767667.db2.gz DRXXAJCFNPUJBO-UHFFFAOYSA-N 1 2 305.403 1.714 20 30 DDEDLO COCCOCCO[NH+]=C(N)COc1cc(F)cc(F)c1 ZINC000186840717 407783843 /nfs/dbraw/zinc/78/38/43/407783843.db2.gz LJXRDWDMFPTXAU-UHFFFAOYSA-N 1 2 304.293 1.295 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Nc1nccs1 ZINC000172041624 407799892 /nfs/dbraw/zinc/79/98/92/407799892.db2.gz GZFBQZVMCAKJEI-UHFFFAOYSA-N 1 2 309.395 1.223 20 30 DDEDLO CO/N=C/c1ccc(C(=O)NC[C@@H](C)Cn2cc[nH+]c2)cc1 ZINC000172996564 407856352 /nfs/dbraw/zinc/85/63/52/407856352.db2.gz LFWQICFGJXZBGV-BUESGFEBSA-N 1 2 300.362 1.930 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CC[NH+](Cc3ccccc3)CC2)CC1 ZINC000180379250 407880005 /nfs/dbraw/zinc/88/00/05/407880005.db2.gz MMWNREUSDAODNY-UHFFFAOYSA-N 1 2 319.430 1.438 20 30 DDEDLO CN(C)c1[nH+]cccc1NC(=O)CNC(=O)c1ccc(C#N)cc1 ZINC000154040822 407959851 /nfs/dbraw/zinc/95/98/51/407959851.db2.gz ZZHYAIYWYJOABS-UHFFFAOYSA-N 1 2 323.356 1.388 20 30 DDEDLO CC#CCNC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000135117638 407978153 /nfs/dbraw/zinc/97/81/53/407978153.db2.gz SINUMWREZFFFCE-ZDUSSCGKSA-N 1 2 302.378 1.129 20 30 DDEDLO N#Cc1nc(-c2ccco2)oc1N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000268167297 407926233 /nfs/dbraw/zinc/92/62/33/407926233.db2.gz ULRDMWVCVDAOSI-LBPRGKRZSA-N 1 2 314.345 1.717 20 30 DDEDLO CN(Cc1[nH+]ccn1C)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000272462868 407931785 /nfs/dbraw/zinc/93/17/85/407931785.db2.gz KNESDRKMQFLSQY-INIZCTEOSA-N 1 2 323.400 1.919 20 30 DDEDLO CC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C[C@@H](O)C(F)(F)F ZINC000189501714 408036112 /nfs/dbraw/zinc/03/61/12/408036112.db2.gz ZVSFKSZXIWBKOZ-PWSUYJOCSA-N 1 2 309.332 1.286 20 30 DDEDLO CC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C[C@@H](O)C(F)(F)F ZINC000189501714 408036117 /nfs/dbraw/zinc/03/61/17/408036117.db2.gz ZVSFKSZXIWBKOZ-PWSUYJOCSA-N 1 2 309.332 1.286 20 30 DDEDLO CC(C)N(C)C(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000175118093 408056502 /nfs/dbraw/zinc/05/65/02/408056502.db2.gz VSPVKCMJWFBNRZ-CQSZACIVSA-N 1 2 315.421 1.331 20 30 DDEDLO C#CC[N@@H+](CCOc1c(C)cccc1C)[C@H]1CCS(=O)(=O)C1 ZINC000120435676 408128810 /nfs/dbraw/zinc/12/88/10/408128810.db2.gz DRGKDVCRKPPSJR-INIZCTEOSA-N 1 2 321.442 1.805 20 30 DDEDLO C#CC[N@H+](CCOc1c(C)cccc1C)[C@H]1CCS(=O)(=O)C1 ZINC000120435676 408128816 /nfs/dbraw/zinc/12/88/16/408128816.db2.gz DRGKDVCRKPPSJR-INIZCTEOSA-N 1 2 321.442 1.805 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@H](n2ccc(C(F)(F)F)n2)C1 ZINC000182851028 408266010 /nfs/dbraw/zinc/26/60/10/408266010.db2.gz ZNMTYZVLBFQXTI-JTQLQIEISA-N 1 2 315.299 1.179 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@H](n2ccc(C(F)(F)F)n2)C1 ZINC000182851028 408266016 /nfs/dbraw/zinc/26/60/16/408266016.db2.gz ZNMTYZVLBFQXTI-JTQLQIEISA-N 1 2 315.299 1.179 20 30 DDEDLO CCCc1noc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)n1 ZINC000158186003 408331059 /nfs/dbraw/zinc/33/10/59/408331059.db2.gz UHTDFYYEEGIHGW-UHFFFAOYSA-N 1 2 302.334 1.794 20 30 DDEDLO N#CCCCCS(=O)(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000151140664 408266966 /nfs/dbraw/zinc/26/69/66/408266966.db2.gz CENPIBFYFUOFCY-AWEZNQCLSA-N 1 2 309.391 1.558 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(SCC#N)c2)C1 ZINC000176034132 408270621 /nfs/dbraw/zinc/27/06/21/408270621.db2.gz YEQIMLVLXKHLRP-ZDUSSCGKSA-N 1 2 305.403 1.363 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)c2cccc(SCC#N)c2)C1 ZINC000176034132 408270625 /nfs/dbraw/zinc/27/06/25/408270625.db2.gz YEQIMLVLXKHLRP-ZDUSSCGKSA-N 1 2 305.403 1.363 20 30 DDEDLO CCOC(=O)N1CCC(NC(=O)C[N@H+](CC)C[C@@H](C)C#N)CC1 ZINC000157619017 408309255 /nfs/dbraw/zinc/30/92/55/408309255.db2.gz BQLLKRPLKHSPGF-ZDUSSCGKSA-N 1 2 324.425 1.205 20 30 DDEDLO CCOC(=O)N1CCC(NC(=O)C[N@@H+](CC)C[C@@H](C)C#N)CC1 ZINC000157619017 408309261 /nfs/dbraw/zinc/30/92/61/408309261.db2.gz BQLLKRPLKHSPGF-ZDUSSCGKSA-N 1 2 324.425 1.205 20 30 DDEDLO CCCCC[N@H+](CC(N)=O)CC(=O)Nc1cccc(C#N)c1 ZINC000183043438 408312625 /nfs/dbraw/zinc/31/26/25/408312625.db2.gz WFGJGELBUUDAIA-UHFFFAOYSA-N 1 2 302.378 1.474 20 30 DDEDLO CCCCC[N@@H+](CC(N)=O)CC(=O)Nc1cccc(C#N)c1 ZINC000183043438 408312627 /nfs/dbraw/zinc/31/26/27/408312627.db2.gz WFGJGELBUUDAIA-UHFFFAOYSA-N 1 2 302.378 1.474 20 30 DDEDLO CC#CCCCC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000274268504 408347538 /nfs/dbraw/zinc/34/75/38/408347538.db2.gz GLBPTYUUSDTQGI-UHFFFAOYSA-N 1 2 301.390 1.728 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2ccc(CC)cc2)CC1 ZINC000274516917 408435653 /nfs/dbraw/zinc/43/56/53/408435653.db2.gz WJUJSUVIWFAACG-UHFFFAOYSA-N 1 2 320.458 1.720 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)N(C)CC[NH+]1CCOCC1 ZINC000177070584 408510744 /nfs/dbraw/zinc/51/07/44/408510744.db2.gz SBFXXYPVYIUFGD-MRXNPFEDSA-N 1 2 317.389 1.036 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1ccnc(C#N)c1)[NH+]1CCOCC1 ZINC000192475574 408594591 /nfs/dbraw/zinc/59/45/91/408594591.db2.gz MJKPLMHIGAZAEO-OAHLLOKOSA-N 1 2 302.378 1.040 20 30 DDEDLO C#CC[C@H](NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C)c1ccccc1 ZINC000270470942 408541910 /nfs/dbraw/zinc/54/19/10/408541910.db2.gz VLCPXMHDMIDLSR-IRXDYDNUSA-N 1 2 314.433 1.296 20 30 DDEDLO C#CC[C@H](NC(=O)NC[C@H]1CN(C)CC[N@H+]1C)c1ccccc1 ZINC000270470942 408541915 /nfs/dbraw/zinc/54/19/15/408541915.db2.gz VLCPXMHDMIDLSR-IRXDYDNUSA-N 1 2 314.433 1.296 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1ncn(C)n1 ZINC000275601471 408616596 /nfs/dbraw/zinc/61/65/96/408616596.db2.gz JGNCUGCBKDMGAJ-WFASDCNBSA-N 1 2 324.388 1.533 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@@H](O)C[N@@H+](C)[C@H](C)CC#N)cc1 ZINC000161724752 408630819 /nfs/dbraw/zinc/63/08/19/408630819.db2.gz IDILQAJLQXGJCM-DOMZBBRYSA-N 1 2 305.378 1.619 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@@H](O)C[N@H+](C)[C@H](C)CC#N)cc1 ZINC000161724752 408630823 /nfs/dbraw/zinc/63/08/23/408630823.db2.gz IDILQAJLQXGJCM-DOMZBBRYSA-N 1 2 305.378 1.619 20 30 DDEDLO C[C@H](CC#N)N(C)CC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000177501672 408638534 /nfs/dbraw/zinc/63/85/34/408638534.db2.gz HYJPUBHBHSNFCR-CQSZACIVSA-N 1 2 320.462 1.626 20 30 DDEDLO COC[C@H]([NH2+]CC(=O)N[C@](C)(C#N)C(C)C)c1ccc(C)o1 ZINC000184672492 408665406 /nfs/dbraw/zinc/66/54/06/408665406.db2.gz VSUUXTLFJBUKIZ-XJKSGUPXSA-N 1 2 307.394 1.920 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc3nc(C)cc(C)n3c2=S)CC1 ZINC000193257029 408708462 /nfs/dbraw/zinc/70/84/62/408708462.db2.gz DTPLQTNDDVOGMY-UHFFFAOYSA-N 1 2 316.434 1.085 20 30 DDEDLO N#CCSCC(=O)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000178232037 408791107 /nfs/dbraw/zinc/79/11/07/408791107.db2.gz FOTDCYSLCIQZAN-UHFFFAOYSA-N 1 2 307.394 1.727 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(OC)cc2OC)C1=O ZINC000281160548 408873653 /nfs/dbraw/zinc/87/36/53/408873653.db2.gz GDXVAJSYSJASME-HNNXBMFYSA-N 1 2 304.390 1.923 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(OC)cc2OC)C1=O ZINC000281160548 408873655 /nfs/dbraw/zinc/87/36/55/408873655.db2.gz GDXVAJSYSJASME-HNNXBMFYSA-N 1 2 304.390 1.923 20 30 DDEDLO C=C[C@@H](CO)NC(=O)N[C@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000291151687 408860631 /nfs/dbraw/zinc/86/06/31/408860631.db2.gz XVKBDHJWBYSYHV-OCCSQVGLSA-N 1 2 300.362 1.779 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@H+](CC#Cc1ccc(F)cc1)C2 ZINC000281023295 408869384 /nfs/dbraw/zinc/86/93/84/408869384.db2.gz ONURHZQOOSZELD-UHFFFAOYSA-N 1 2 311.360 1.812 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@@H+](CC#Cc1ccc(F)cc1)C2 ZINC000281023295 408869388 /nfs/dbraw/zinc/86/93/88/408869388.db2.gz ONURHZQOOSZELD-UHFFFAOYSA-N 1 2 311.360 1.812 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3cccn3C)CC2)c1C#N ZINC000291660887 408903402 /nfs/dbraw/zinc/90/34/02/408903402.db2.gz VKCMWOBIHDVIJG-UHFFFAOYSA-N 1 2 301.419 1.984 20 30 DDEDLO COCCN1CCC[N@H+](Cc2ccc(C#N)cc2OC)CC1=O ZINC000190795818 163159606 /nfs/dbraw/zinc/15/96/06/163159606.db2.gz NCZPFGDWURZMOY-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO COCCN1CCC[N@@H+](Cc2ccc(C#N)cc2OC)CC1=O ZINC000190795818 163159607 /nfs/dbraw/zinc/15/96/07/163159607.db2.gz NCZPFGDWURZMOY-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCN1CCN(c2ccccc2C#N)CC1 ZINC000191469763 163188481 /nfs/dbraw/zinc/18/84/81/163188481.db2.gz DDUNXDKATFXLSX-INIZCTEOSA-N 1 2 314.433 1.401 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCN1CCN(c2ccccc2C#N)CC1 ZINC000191469763 163188484 /nfs/dbraw/zinc/18/84/84/163188484.db2.gz DDUNXDKATFXLSX-INIZCTEOSA-N 1 2 314.433 1.401 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCC[C@@H]2C(=O)NC2CC2)cc1 ZINC000193962693 163273088 /nfs/dbraw/zinc/27/30/88/163273088.db2.gz IUAUFFIUOVIVMV-OAHLLOKOSA-N 1 2 312.373 1.240 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCC[C@@H]2C(=O)NC2CC2)cc1 ZINC000193962693 163273089 /nfs/dbraw/zinc/27/30/89/163273089.db2.gz IUAUFFIUOVIVMV-OAHLLOKOSA-N 1 2 312.373 1.240 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(Cc3nc(C)c(C)o3)CC2)C1=O ZINC000281940585 408958132 /nfs/dbraw/zinc/95/81/32/408958132.db2.gz IUBCBVQZSOOLQT-HNNXBMFYSA-N 1 2 318.421 1.196 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCNC(=O)C[C@@H]2c2ccccc2)C1=O ZINC000282005711 408969760 /nfs/dbraw/zinc/96/97/60/408969760.db2.gz NZTWPTKDRVMHDV-HZPDHXFCSA-N 1 2 313.401 1.337 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCNC(=O)C[C@@H]2c2ccccc2)C1=O ZINC000282005711 408969761 /nfs/dbraw/zinc/96/97/61/408969761.db2.gz NZTWPTKDRVMHDV-HZPDHXFCSA-N 1 2 313.401 1.337 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](CC(N)=O)[C@H](C)c2ccccc2)C1=O ZINC000282095024 408990080 /nfs/dbraw/zinc/99/00/80/408990080.db2.gz VQADUOBYGALOCG-HIFRSBDPSA-N 1 2 301.390 1.322 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](CC(N)=O)[C@H](C)c2ccccc2)C1=O ZINC000282095024 408990086 /nfs/dbraw/zinc/99/00/86/408990086.db2.gz VQADUOBYGALOCG-HIFRSBDPSA-N 1 2 301.390 1.322 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2cccc(OC)c2)C1=O ZINC000282141130 408999256 /nfs/dbraw/zinc/99/92/56/408999256.db2.gz ZNRRDKBHQAVQDU-HNNXBMFYSA-N 1 2 317.389 1.352 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2cccc(OC)c2)C1=O ZINC000282141130 408999257 /nfs/dbraw/zinc/99/92/57/408999257.db2.gz ZNRRDKBHQAVQDU-HNNXBMFYSA-N 1 2 317.389 1.352 20 30 DDEDLO C#CCN(C)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000292458818 409029697 /nfs/dbraw/zinc/02/96/97/409029697.db2.gz OXGRZFQZDCMTBE-MRXNPFEDSA-N 1 2 301.390 1.162 20 30 DDEDLO C#CCN(C)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000292458818 409029701 /nfs/dbraw/zinc/02/97/01/409029701.db2.gz OXGRZFQZDCMTBE-MRXNPFEDSA-N 1 2 301.390 1.162 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)[C@H](C#N)c2nc3ccccc3c(=O)[nH]2)[C@@H]1C ZINC000292980973 409048054 /nfs/dbraw/zinc/04/80/54/409048054.db2.gz LGHFLPCKEYVHQP-WZRBSPASSA-N 1 2 310.357 1.852 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)[C@H](C#N)c2nc3ccccc3c(=O)[nH]2)[C@@H]1C ZINC000292980973 409048057 /nfs/dbraw/zinc/04/80/57/409048057.db2.gz LGHFLPCKEYVHQP-WZRBSPASSA-N 1 2 310.357 1.852 20 30 DDEDLO CC#CC[N@@H+](C)[C@H](C)C(=O)Nc1cc(NC(C)=O)ccc1OC ZINC000293151178 409058944 /nfs/dbraw/zinc/05/89/44/409058944.db2.gz OCLSBMWPHIQQKA-GFCCVEGCSA-N 1 2 317.389 1.936 20 30 DDEDLO CC#CC[N@H+](C)[C@H](C)C(=O)Nc1cc(NC(C)=O)ccc1OC ZINC000293151178 409058947 /nfs/dbraw/zinc/05/89/47/409058947.db2.gz OCLSBMWPHIQQKA-GFCCVEGCSA-N 1 2 317.389 1.936 20 30 DDEDLO C#CCNc1nc(N2CCC[C@H]2C)nc(N2CCC[C@H]2C)[nH+]1 ZINC000288314944 409161514 /nfs/dbraw/zinc/16/15/14/409161514.db2.gz ISBGAUWUEVNEHI-CHWSQXEVSA-N 1 2 300.410 1.894 20 30 DDEDLO C#CCNc1nc(N2CCC[C@H]2C)[nH+]c(N2CCC[C@H]2C)n1 ZINC000288314944 409161518 /nfs/dbraw/zinc/16/15/18/409161518.db2.gz ISBGAUWUEVNEHI-CHWSQXEVSA-N 1 2 300.410 1.894 20 30 DDEDLO N#Cc1ccnc(C(=O)N2CC[NH+](Cc3cccc(O)c3)CC2)c1 ZINC000283473119 409163041 /nfs/dbraw/zinc/16/30/41/409163041.db2.gz PJMHYPRGYUKYNH-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO NC(=[NH+]OCC(=O)NCc1cccc(Cl)c1)[C@@H]1CCCO1 ZINC000283868360 409230870 /nfs/dbraw/zinc/23/08/70/409230870.db2.gz CKHRMLLWJYZSRD-LBPRGKRZSA-N 1 2 311.769 1.424 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCC[C@H]1c1nc(=O)[nH][nH]1 ZINC000289283553 409242601 /nfs/dbraw/zinc/24/26/01/409242601.db2.gz GLMWOCFAHVMDMG-LBPRGKRZSA-N 1 2 307.398 1.050 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCC[C@H]1c1nc(=O)[nH][nH]1 ZINC000289283553 409242604 /nfs/dbraw/zinc/24/26/04/409242604.db2.gz GLMWOCFAHVMDMG-LBPRGKRZSA-N 1 2 307.398 1.050 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CCC[C@@H](c3nc(=O)[nH][nH]3)C2)c1 ZINC000289387574 409259537 /nfs/dbraw/zinc/25/95/37/409259537.db2.gz JSVIHSPCAUXZLT-LLVKDONJSA-N 1 2 301.325 1.488 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CCC[C@@H](c3nc(=O)[nH][nH]3)C2)c1 ZINC000289387574 409259541 /nfs/dbraw/zinc/25/95/41/409259541.db2.gz JSVIHSPCAUXZLT-LLVKDONJSA-N 1 2 301.325 1.488 20 30 DDEDLO N#CC1(c2nc(Cc3cn4ccccc4[nH+]3)no2)CCOCC1 ZINC000288940939 409199700 /nfs/dbraw/zinc/19/97/00/409199700.db2.gz JMOQAVHPHXNFFH-UHFFFAOYSA-N 1 2 309.329 1.880 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000294324312 409280206 /nfs/dbraw/zinc/28/02/06/409280206.db2.gz FARJDFJJRHAPMS-CQSZACIVSA-N 1 2 301.390 1.726 20 30 DDEDLO C#CCO[C@H](C)C(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000294477758 409291494 /nfs/dbraw/zinc/29/14/94/409291494.db2.gz QOMXDECLCACCPT-CQSZACIVSA-N 1 2 302.374 1.496 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000290000920 409291599 /nfs/dbraw/zinc/29/15/99/409291599.db2.gz TVDONUFCNVEZCV-TZMCWYRMSA-N 1 2 323.828 1.791 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000290000920 409291600 /nfs/dbraw/zinc/29/16/00/409291600.db2.gz TVDONUFCNVEZCV-TZMCWYRMSA-N 1 2 323.828 1.791 20 30 DDEDLO C#CC[C@@H](Cc1ccccc1)NC(=O)NCC[NH+]1CCOCC1 ZINC000285113215 409399954 /nfs/dbraw/zinc/39/99/54/409399954.db2.gz PKRBVWJNNPHRQZ-KRWDZBQOSA-N 1 2 315.417 1.252 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000280631737 409387627 /nfs/dbraw/zinc/38/76/27/409387627.db2.gz TWWKPDFPHIASHS-UHFFFAOYSA-N 1 2 324.446 1.589 20 30 DDEDLO C=C[C@@H](CO)NC(=O)Nc1cccc([C@@H](C)[NH+]2CCOCC2)c1 ZINC000290414788 409393791 /nfs/dbraw/zinc/39/37/91/409393791.db2.gz NBTVKUISHMJVKU-HIFRSBDPSA-N 1 2 319.405 1.748 20 30 DDEDLO NC(=[NH+]OCc1ccc(Cl)cc1-n1cncn1)[C@H]1CCCO1 ZINC000284421100 409340988 /nfs/dbraw/zinc/34/09/88/409340988.db2.gz OFJPVTLQSGIWET-CYBMUJFWSA-N 1 2 321.768 1.889 20 30 DDEDLO N#Cc1ccc(OC2CC[NH+](Cc3nc(=O)[nH][nH]3)CC2)c(F)c1 ZINC000285595390 409502781 /nfs/dbraw/zinc/50/27/81/409502781.db2.gz WEHHPMCUASYNDR-UHFFFAOYSA-N 1 2 317.324 1.152 20 30 DDEDLO N#Cc1ccncc1C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000345169142 409656047 /nfs/dbraw/zinc/65/60/47/409656047.db2.gz OZNLHTVGFSUQKX-UHFFFAOYSA-N 1 2 307.357 1.747 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)c2cccc(C#N)c2C)CCO1 ZINC000338131443 409741305 /nfs/dbraw/zinc/74/13/05/409741305.db2.gz MXPUFAJIHBXDTN-HNNXBMFYSA-N 1 2 301.390 1.707 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)c2cccc(C#N)c2C)CCO1 ZINC000338131443 409741311 /nfs/dbraw/zinc/74/13/11/409741311.db2.gz MXPUFAJIHBXDTN-HNNXBMFYSA-N 1 2 301.390 1.707 20 30 DDEDLO C=C(C)COc1cc(C[NH+]2CC(O)(COC)C2)ccc1OC ZINC000297380994 409914185 /nfs/dbraw/zinc/91/41/85/409914185.db2.gz JLLCVOYOIZIILF-UHFFFAOYSA-N 1 2 307.390 1.843 20 30 DDEDLO O=C(NCC[NH+]1CCN(C(=O)C2CCC2)CC1)[C@@H]1CCC(=O)N1 ZINC000328953059 410021324 /nfs/dbraw/zinc/02/13/24/410021324.db2.gz XWMWLWKNZHZMAF-ZDUSSCGKSA-N 1 2 322.409 1.006 20 30 DDEDLO CC[N@@H+]1CCOC[C@@H]1C(=O)N1CCC[C@@H](C(=O)NCC2CC2)C1 ZINC000328993089 410042814 /nfs/dbraw/zinc/04/28/14/410042814.db2.gz FWVHPKCQMKUQIQ-HUUCEWRRSA-N 1 2 323.437 1.312 20 30 DDEDLO CC[N@H+]1CCOC[C@@H]1C(=O)N1CCC[C@@H](C(=O)NCC2CC2)C1 ZINC000328993089 410042823 /nfs/dbraw/zinc/04/28/23/410042823.db2.gz FWVHPKCQMKUQIQ-HUUCEWRRSA-N 1 2 323.437 1.312 20 30 DDEDLO CC1=CC[N@H+](CCNC(=O)[C@@H]2CCCCS2(=O)=O)CC1 ZINC000329006577 410049015 /nfs/dbraw/zinc/04/90/15/410049015.db2.gz NBHSSVTXMKNQMM-ZDUSSCGKSA-N 1 2 300.424 1.562 20 30 DDEDLO CC1=CC[N@@H+](CCNC(=O)[C@@H]2CCCCS2(=O)=O)CC1 ZINC000329006577 410049019 /nfs/dbraw/zinc/04/90/19/410049019.db2.gz NBHSSVTXMKNQMM-ZDUSSCGKSA-N 1 2 300.424 1.562 20 30 DDEDLO O=C(N[C@H]1CCc2ncnn2C1)C1CC[NH+](CC(F)F)CC1 ZINC000329296638 410216784 /nfs/dbraw/zinc/21/67/84/410216784.db2.gz SYJOLHLMZUMSCO-NSHDSACASA-N 1 2 313.352 1.527 20 30 DDEDLO Cc1nn(C)c(C)c1CCC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C ZINC000329234816 410183148 /nfs/dbraw/zinc/18/31/48/410183148.db2.gz MZHQNCNMVLDDAG-CQSZACIVSA-N 1 2 307.442 1.172 20 30 DDEDLO Cc1nn(C)c(C)c1CCC(=O)NC[C@@H]1CN(C)CC[N@H+]1C ZINC000329234816 410183156 /nfs/dbraw/zinc/18/31/56/410183156.db2.gz MZHQNCNMVLDDAG-CQSZACIVSA-N 1 2 307.442 1.172 20 30 DDEDLO C=CCNC(=O)C[NH+](CCc1cccs1)CC(=O)NCC=C ZINC000298457781 410239742 /nfs/dbraw/zinc/23/97/42/410239742.db2.gz YKXYIBBCEVZEBA-UHFFFAOYSA-N 1 2 321.446 1.197 20 30 DDEDLO O=C(NCCOc1ncccc1F)C1([NH+]2CCOCC2)CCC1 ZINC000329349373 410248337 /nfs/dbraw/zinc/24/83/37/410248337.db2.gz WLBOZTVZOGFONX-UHFFFAOYSA-N 1 2 323.368 1.811 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)N[C@@H]1CCO[C@]2(CCSC2)C1 ZINC000329370921 410262884 /nfs/dbraw/zinc/26/28/84/410262884.db2.gz JXGIRCOEFNKUGY-IUODEOHRSA-N 1 2 324.450 1.821 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)NCc1nnc(C2CC2)o1 ZINC000329413726 410287059 /nfs/dbraw/zinc/28/70/59/410287059.db2.gz DVWUJMTYKCYMAO-UHFFFAOYSA-N 1 2 323.397 1.061 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)NCc1nnc(C2CC2)o1 ZINC000329413726 410287065 /nfs/dbraw/zinc/28/70/65/410287065.db2.gz DVWUJMTYKCYMAO-UHFFFAOYSA-N 1 2 323.397 1.061 20 30 DDEDLO Cn1nc(NC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)cc1C1CC1 ZINC000329544475 410353517 /nfs/dbraw/zinc/35/35/17/410353517.db2.gz YTVSDOIJIGBOOB-SNVBAGLBSA-N 1 2 300.366 1.904 20 30 DDEDLO Cn1nc(NC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)cc1C1CC1 ZINC000329544475 410353525 /nfs/dbraw/zinc/35/35/25/410353525.db2.gz YTVSDOIJIGBOOB-SNVBAGLBSA-N 1 2 300.366 1.904 20 30 DDEDLO O=C1NC(=O)N2CC[N@@H+](CC#Cc3ccccc3Cl)C[C@H]12 ZINC000329428391 410293225 /nfs/dbraw/zinc/29/32/25/410293225.db2.gz JNDQNQOSGQSVAJ-CYBMUJFWSA-N 1 2 303.749 1.768 20 30 DDEDLO O=C1NC(=O)N2CC[N@H+](CC#Cc3ccccc3Cl)C[C@H]12 ZINC000329428391 410293231 /nfs/dbraw/zinc/29/32/31/410293231.db2.gz JNDQNQOSGQSVAJ-CYBMUJFWSA-N 1 2 303.749 1.768 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000298783640 410395270 /nfs/dbraw/zinc/39/52/70/410395270.db2.gz HMLYOBZBAPZUNN-LLVKDONJSA-N 1 2 321.764 1.645 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000298783640 410395275 /nfs/dbraw/zinc/39/52/75/410395275.db2.gz HMLYOBZBAPZUNN-LLVKDONJSA-N 1 2 321.764 1.645 20 30 DDEDLO CC(=O)c1ccc(C#N)cc1N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000347421553 410538622 /nfs/dbraw/zinc/53/86/22/410538622.db2.gz RGINWEOLLZBRFV-UHFFFAOYSA-N 1 2 323.400 1.817 20 30 DDEDLO CCOC(=O)c1cnn2c1[nH]c(C[N@H+](C)C[C@@H](C)C#N)cc2=O ZINC000299175946 410555936 /nfs/dbraw/zinc/55/59/36/410555936.db2.gz XETYSSPUBQTGPL-JTQLQIEISA-N 1 2 317.349 1.203 20 30 DDEDLO CCOC(=O)c1cnn2c1[nH]c(C[N@@H+](C)C[C@@H](C)C#N)cc2=O ZINC000299175946 410555942 /nfs/dbraw/zinc/55/59/42/410555942.db2.gz XETYSSPUBQTGPL-JTQLQIEISA-N 1 2 317.349 1.203 20 30 DDEDLO [O-]C(N[C@@H]1CCn2cc[nH+]c2C1)=[NH+]CC1(O)CCCCCC1 ZINC000330284849 410612184 /nfs/dbraw/zinc/61/21/84/410612184.db2.gz YBISYGMLICQJLK-CYBMUJFWSA-N 1 2 306.410 1.787 20 30 DDEDLO [O-]C(NCC1(O)CCCCCC1)=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330284849 410612188 /nfs/dbraw/zinc/61/21/88/410612188.db2.gz YBISYGMLICQJLK-CYBMUJFWSA-N 1 2 306.410 1.787 20 30 DDEDLO COCc1cccc(CNC(=O)C[NH+]2CCC(F)(C#N)CC2)c1 ZINC000352463266 410659144 /nfs/dbraw/zinc/65/91/44/410659144.db2.gz ISKMCCQKFPEFNM-UHFFFAOYSA-N 1 2 319.380 1.777 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Nc1ccccn1 ZINC000359613064 410770618 /nfs/dbraw/zinc/77/06/18/410770618.db2.gz UHDGQDYQUNRKFF-UHFFFAOYSA-N 1 2 303.366 1.161 20 30 DDEDLO C=CCc1ccc(OCC(=O)NCc2c[nH+]c[nH]2)c(OC)c1 ZINC000359696025 410821059 /nfs/dbraw/zinc/82/10/59/410821059.db2.gz BMYKQNXXIHBICW-UHFFFAOYSA-N 1 2 301.346 1.842 20 30 DDEDLO C=CCc1ccc(OCC(=O)NCc2c[nH]c[nH+]2)c(OC)c1 ZINC000359696025 410821071 /nfs/dbraw/zinc/82/10/71/410821071.db2.gz BMYKQNXXIHBICW-UHFFFAOYSA-N 1 2 301.346 1.842 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356220306 410904310 /nfs/dbraw/zinc/90/43/10/410904310.db2.gz MJQWOIFOVUANJA-AWEZNQCLSA-N 1 2 319.453 1.788 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356220306 410904316 /nfs/dbraw/zinc/90/43/16/410904316.db2.gz MJQWOIFOVUANJA-AWEZNQCLSA-N 1 2 319.453 1.788 20 30 DDEDLO CCOC(=O)C[C@H]1C[C@@H](OC)C[N@@H+]1Cc1nc(C#N)cs1 ZINC000356433866 411029666 /nfs/dbraw/zinc/02/96/66/411029666.db2.gz VEYAURWNCQWUPT-VXGBXAGGSA-N 1 2 309.391 1.557 20 30 DDEDLO CCOC(=O)C[C@H]1C[C@@H](OC)C[N@H+]1Cc1nc(C#N)cs1 ZINC000356433866 411029672 /nfs/dbraw/zinc/02/96/72/411029672.db2.gz VEYAURWNCQWUPT-VXGBXAGGSA-N 1 2 309.391 1.557 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@@H](c1ccccc1OC)[NH+](C)C ZINC000360191009 411094813 /nfs/dbraw/zinc/09/48/13/411094813.db2.gz UVNBADZKEKXWMF-AWEZNQCLSA-N 1 2 312.435 1.793 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N2CCC(F)(C#N)CC2)c(C)[nH+]1 ZINC000356608425 411105944 /nfs/dbraw/zinc/10/59/44/411105944.db2.gz VDXQOIBCZYEVIW-UHFFFAOYSA-N 1 2 304.325 1.491 20 30 DDEDLO CCOC(=O)C1(COC)CC[NH+](C[C@H](C#N)CCC#N)CC1 ZINC000373735954 418454220 /nfs/dbraw/zinc/45/42/20/418454220.db2.gz KTDYTVAVLQTPBW-AWEZNQCLSA-N 1 2 307.394 1.722 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CC1)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000160809271 418517124 /nfs/dbraw/zinc/51/71/24/418517124.db2.gz LDEFJSCWTOLALC-ZDUSSCGKSA-N 1 2 316.380 1.509 20 30 DDEDLO C=C(C)CS(=O)(=O)NCC(C)(C)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000184330754 221938189 /nfs/dbraw/zinc/93/81/89/221938189.db2.gz MVPPJSXEYLYCDS-CHWSQXEVSA-N 1 2 304.456 1.370 20 30 DDEDLO COc1cc(C[N@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)ccc1C#N ZINC000282200760 418571493 /nfs/dbraw/zinc/57/14/93/418571493.db2.gz GTQIXFCJFAOLJI-OAHLLOKOSA-N 1 2 320.414 1.718 20 30 DDEDLO COc1cc(C[N@@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)ccc1C#N ZINC000282200760 418571494 /nfs/dbraw/zinc/57/14/94/418571494.db2.gz GTQIXFCJFAOLJI-OAHLLOKOSA-N 1 2 320.414 1.718 20 30 DDEDLO CC(C)(Oc1ccc(C#N)cc1)C(=O)N1CCn2c[nH+]cc2C1 ZINC000290941189 222017331 /nfs/dbraw/zinc/01/73/31/222017331.db2.gz ONTINIQELIUREF-UHFFFAOYSA-N 1 2 310.357 1.955 20 30 DDEDLO C[C@H]1CN(C(=O)c2ccc(C#N)c(O)c2)C[C@@H]1[NH+]1CCOCC1 ZINC000188581412 222018081 /nfs/dbraw/zinc/01/80/81/222018081.db2.gz TVMAMPMCEOGEQM-WFASDCNBSA-N 1 2 315.373 1.057 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CCCN(CCOC)C(=O)C1 ZINC000191698288 222106975 /nfs/dbraw/zinc/10/69/75/222106975.db2.gz JQEDIWZDCOJNRL-UHFFFAOYSA-N 1 2 316.401 1.379 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CCCN(CCOC)C(=O)C1 ZINC000191698288 222106979 /nfs/dbraw/zinc/10/69/79/222106979.db2.gz JQEDIWZDCOJNRL-UHFFFAOYSA-N 1 2 316.401 1.379 20 30 DDEDLO CCc1nnc(C2CC[NH+]([C@H](C)C(=O)N(C)CCC#N)CC2)[nH]1 ZINC000190693775 222086211 /nfs/dbraw/zinc/08/62/11/222086211.db2.gz AELGYUHSHRCWBO-GFCCVEGCSA-N 1 2 318.425 1.307 20 30 DDEDLO CCc1n[nH]c(C2CC[NH+]([C@H](C)C(=O)N(C)CCC#N)CC2)n1 ZINC000190693775 222086215 /nfs/dbraw/zinc/08/62/15/222086215.db2.gz AELGYUHSHRCWBO-GFCCVEGCSA-N 1 2 318.425 1.307 20 30 DDEDLO CCc1nc(C2CC[NH+]([C@H](C)C(=O)N(C)CCC#N)CC2)n[nH]1 ZINC000190693775 222086218 /nfs/dbraw/zinc/08/62/18/222086218.db2.gz AELGYUHSHRCWBO-GFCCVEGCSA-N 1 2 318.425 1.307 20 30 DDEDLO CNc1[nH+]cnc2c1cnn2CC(=O)Nc1ccc(C#N)cc1 ZINC000194431333 222175629 /nfs/dbraw/zinc/17/56/29/222175629.db2.gz RRNMIHMZLLUEBM-UHFFFAOYSA-N 1 2 307.317 1.378 20 30 DDEDLO C=CCN(CC=C)C(=O)CSc1[nH+]cnc2c1cnn2C ZINC000248033748 222233174 /nfs/dbraw/zinc/23/31/74/222233174.db2.gz LYLGGLRGTLRYCV-UHFFFAOYSA-N 1 2 303.391 1.656 20 30 DDEDLO CC(C)N1C[C@H](NC(=O)NC[C@@H]2CCCn3cc[nH+]c32)CC1=O ZINC000328599627 418605409 /nfs/dbraw/zinc/60/54/09/418605409.db2.gz CHGNGPHTAWUSRY-QWHCGFSZSA-N 1 2 319.409 1.273 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC(F)(F)[C@H](CO)C1 ZINC000291372145 222347528 /nfs/dbraw/zinc/34/75/28/222347528.db2.gz AKELTYSKBSPGJI-AAEUAGOBSA-N 1 2 323.343 1.835 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC(F)(F)[C@H](CO)C1 ZINC000291372145 222347530 /nfs/dbraw/zinc/34/75/30/222347530.db2.gz AKELTYSKBSPGJI-AAEUAGOBSA-N 1 2 323.343 1.835 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CC[N@H+](C)[C@@H](c2ccccc2Cl)C1 ZINC000377523744 418710516 /nfs/dbraw/zinc/71/05/16/418710516.db2.gz KVVJHZXCQSSPFN-XJKSGUPXSA-N 1 2 319.836 1.766 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CC[N@@H+](C)[C@@H](c2ccccc2Cl)C1 ZINC000377523744 418710519 /nfs/dbraw/zinc/71/05/19/418710519.db2.gz KVVJHZXCQSSPFN-XJKSGUPXSA-N 1 2 319.836 1.766 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC(C)(C)[C@H]1[C@@H]1CCCO1 ZINC000377683761 418713549 /nfs/dbraw/zinc/71/35/49/418713549.db2.gz TUKWCNPNJXEJMB-LXZKKBNFSA-N 1 2 307.438 1.930 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC(C)(C)[C@H]1[C@@H]1CCCO1 ZINC000377683761 418713552 /nfs/dbraw/zinc/71/35/52/418713552.db2.gz TUKWCNPNJXEJMB-LXZKKBNFSA-N 1 2 307.438 1.930 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@@H]2c2nncn2CC(C)C)C1=O ZINC000375606836 418661551 /nfs/dbraw/zinc/66/15/51/418661551.db2.gz JXDMVJBITFEIFT-HUUCEWRRSA-N 1 2 317.437 1.858 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@@H]2c2nncn2CC(C)C)C1=O ZINC000375606836 418661552 /nfs/dbraw/zinc/66/15/52/418661552.db2.gz JXDMVJBITFEIFT-HUUCEWRRSA-N 1 2 317.437 1.858 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC=C(c2ccnn2C)CC1 ZINC000375690841 418674107 /nfs/dbraw/zinc/67/41/07/418674107.db2.gz RDNIYYCCOQBUFJ-UHFFFAOYSA-N 1 2 301.394 1.271 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC=C(c2ccnn2C)CC1 ZINC000375690841 418674109 /nfs/dbraw/zinc/67/41/09/418674109.db2.gz RDNIYYCCOQBUFJ-UHFFFAOYSA-N 1 2 301.394 1.271 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1C[C@]2(CCOC2)OC(C)(C)C1 ZINC000376018676 418691411 /nfs/dbraw/zinc/69/14/11/418691411.db2.gz KDXBKAFQJGQHAP-IRXDYDNUSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1C[C@]2(CCOC2)OC(C)(C)C1 ZINC000376018676 418691414 /nfs/dbraw/zinc/69/14/14/418691414.db2.gz KDXBKAFQJGQHAP-IRXDYDNUSA-N 1 2 323.437 1.311 20 30 DDEDLO CC#CCCNC(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000361640001 418695155 /nfs/dbraw/zinc/69/51/55/418695155.db2.gz RLCBGBJAKJSAMH-UHFFFAOYSA-N 1 2 306.435 1.774 20 30 DDEDLO CC#CCCNC(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000361640001 418695157 /nfs/dbraw/zinc/69/51/57/418695157.db2.gz RLCBGBJAKJSAMH-UHFFFAOYSA-N 1 2 306.435 1.774 20 30 DDEDLO CCS(=O)(=O)NCC[N@@H+]1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000369803309 418737724 /nfs/dbraw/zinc/73/77/24/418737724.db2.gz KZMNDPZOQYGOFV-HOTGVXAUSA-N 1 2 321.446 1.697 20 30 DDEDLO CCS(=O)(=O)NCC[N@H+]1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000369803309 418737727 /nfs/dbraw/zinc/73/77/27/418737727.db2.gz KZMNDPZOQYGOFV-HOTGVXAUSA-N 1 2 321.446 1.697 20 30 DDEDLO CC1(O)C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)Nc1sccc1C#N ZINC000371158525 418765877 /nfs/dbraw/zinc/76/58/77/418765877.db2.gz UMWBKPQZKCXADN-ODOQXGPZSA-N 1 2 305.403 1.936 20 30 DDEDLO CC1(O)C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)Nc1sccc1C#N ZINC000371158525 418765881 /nfs/dbraw/zinc/76/58/81/418765881.db2.gz UMWBKPQZKCXADN-ODOQXGPZSA-N 1 2 305.403 1.936 20 30 DDEDLO Cn1nccc1C[N@@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000371454556 418792830 /nfs/dbraw/zinc/79/28/30/418792830.db2.gz ACJNADKCRJDXDR-SJCJKPOMSA-N 1 2 307.357 1.266 20 30 DDEDLO Cn1nccc1C[N@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000371454556 418792832 /nfs/dbraw/zinc/79/28/32/418792832.db2.gz ACJNADKCRJDXDR-SJCJKPOMSA-N 1 2 307.357 1.266 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ccc(F)cn2)CC1 ZINC000371554872 418800853 /nfs/dbraw/zinc/80/08/53/418800853.db2.gz RLEHRDCPUKZBRH-ZDUSSCGKSA-N 1 2 319.384 1.103 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC000410453123 418816524 /nfs/dbraw/zinc/81/65/24/418816524.db2.gz RZOFFAJCZIXOOB-UHFFFAOYSA-N 1 2 319.405 1.700 20 30 DDEDLO Cc1nn(C)cc1[C@H]1CCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000371944736 418826343 /nfs/dbraw/zinc/82/63/43/418826343.db2.gz XOHBOJHBAQMNFJ-WBVHZDCISA-N 1 2 315.421 1.674 20 30 DDEDLO Cc1nn(C)cc1[C@H]1CCC[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000371944736 418826345 /nfs/dbraw/zinc/82/63/45/418826345.db2.gz XOHBOJHBAQMNFJ-WBVHZDCISA-N 1 2 315.421 1.674 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH+]1CCC(c2nc(C)n[nH]2)CC1 ZINC000371969498 418827803 /nfs/dbraw/zinc/82/78/03/418827803.db2.gz PUUYVYMQAAQJNS-LBPRGKRZSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH+]1CCC(c2n[nH]c(C)n2)CC1 ZINC000371969498 418827805 /nfs/dbraw/zinc/82/78/05/418827805.db2.gz PUUYVYMQAAQJNS-LBPRGKRZSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH+]1CCC(c2nnc(C)[nH]2)CC1 ZINC000371969498 418827807 /nfs/dbraw/zinc/82/78/07/418827807.db2.gz PUUYVYMQAAQJNS-LBPRGKRZSA-N 1 2 318.425 1.301 20 30 DDEDLO C[C@H]([NH2+]CC(=O)NCc1cccc(C#N)c1)c1nccs1 ZINC000411886273 419455552 /nfs/dbraw/zinc/45/55/52/419455552.db2.gz ZZTINYPPQSDOCB-NSHDSACASA-N 1 2 300.387 1.982 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[NH+](CCc2cccs2)CC1 ZINC000416395981 420355268 /nfs/dbraw/zinc/35/52/68/420355268.db2.gz YQUSFJXJYLNVMV-GFCCVEGCSA-N 1 2 313.448 1.150 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CCC(CCCn2cc[nH+]c2)CC1 ZINC000416460187 420381426 /nfs/dbraw/zinc/38/14/26/420381426.db2.gz VVIUKZWWXWGPTH-CYBMUJFWSA-N 1 2 310.423 1.617 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000456774301 420542428 /nfs/dbraw/zinc/54/24/28/420542428.db2.gz ZXRMFZNGWIWDAZ-MRXNPFEDSA-N 1 2 313.401 1.917 20 30 DDEDLO C[NH+]1CCN(S(=O)(=O)c2ccc(CC#N)cc2Cl)CC1 ZINC000450960368 420569977 /nfs/dbraw/zinc/56/99/77/420569977.db2.gz RKGIGDVEXZDWHD-UHFFFAOYSA-N 1 2 313.810 1.342 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N(C[C@@H](C)C#N)C1CC1 ZINC000441914216 420665371 /nfs/dbraw/zinc/66/53/71/420665371.db2.gz IHBWRPZEUGSDGX-JTQLQIEISA-N 1 2 302.334 1.179 20 30 DDEDLO N#Cc1cccnc1-n1cc(NC(=O)C=Cc2c[nH]c[nH+]2)cn1 ZINC000493633304 420903528 /nfs/dbraw/zinc/90/35/28/420903528.db2.gz QJLMDHNBDDNEHW-ARJAWSKDSA-N 1 2 305.301 1.514 20 30 DDEDLO COc1ccc(C)cc1/C=C\C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000493546260 420878942 /nfs/dbraw/zinc/87/89/42/420878942.db2.gz DRATUHUTYQILER-KMDWOBIYSA-N 1 2 301.390 1.977 20 30 DDEDLO Cc1n[nH]c(C)c1C[NH+]1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000471827330 420950779 /nfs/dbraw/zinc/95/07/79/420950779.db2.gz PUDGYOAZOLZGLZ-UHFFFAOYSA-N 1 2 313.409 1.262 20 30 DDEDLO Cc1nc(N2CCN(c3ccccc3C#N)CC2)nc(N(C)C)[nH+]1 ZINC000488355666 421085418 /nfs/dbraw/zinc/08/54/18/421085418.db2.gz LWSJVXNVJRVNCY-UHFFFAOYSA-N 1 2 323.404 1.444 20 30 DDEDLO Cc1nc(N(C)C)nc(N2CCN(c3ccccc3C#N)CC2)[nH+]1 ZINC000488355666 421085422 /nfs/dbraw/zinc/08/54/22/421085422.db2.gz LWSJVXNVJRVNCY-UHFFFAOYSA-N 1 2 323.404 1.444 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000495910597 421089359 /nfs/dbraw/zinc/08/93/59/421089359.db2.gz BJWCVMMTLXKOLG-QWHCGFSZSA-N 1 2 322.409 1.100 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000495910597 421089361 /nfs/dbraw/zinc/08/93/61/421089361.db2.gz BJWCVMMTLXKOLG-QWHCGFSZSA-N 1 2 322.409 1.100 20 30 DDEDLO CCCCNC(=O)OC[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC000495812911 421068309 /nfs/dbraw/zinc/06/83/09/421068309.db2.gz DIKZQNNXUDBYHG-ZDUSSCGKSA-N 1 2 310.398 1.007 20 30 DDEDLO CCCCNC(=O)OC[C@@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC000495812911 421068311 /nfs/dbraw/zinc/06/83/11/421068311.db2.gz DIKZQNNXUDBYHG-ZDUSSCGKSA-N 1 2 310.398 1.007 20 30 DDEDLO CN(C)C(=O)O[C@H]1CC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000496205975 421151106 /nfs/dbraw/zinc/15/11/06/421151106.db2.gz LVOSPTAAUNFMOA-ZDUSSCGKSA-N 1 2 318.333 1.739 20 30 DDEDLO CN(C)C(=O)O[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000496205975 421151108 /nfs/dbraw/zinc/15/11/08/421151108.db2.gz LVOSPTAAUNFMOA-ZDUSSCGKSA-N 1 2 318.333 1.739 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)Nc1cccc(C#N)c1[N+](=O)[O-] ZINC000450314110 421179821 /nfs/dbraw/zinc/17/98/21/421179821.db2.gz ALUDPGFQXGGLPJ-LBPRGKRZSA-N 1 2 303.366 1.514 20 30 DDEDLO C=CCCCS(=O)(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000489884602 421178055 /nfs/dbraw/zinc/17/80/55/421178055.db2.gz JZXCKGLUVSNEOG-KGLIPLIRSA-N 1 2 302.440 1.077 20 30 DDEDLO C[C@H]1CN(c2cccc(C#N)c2[N+](=O)[O-])C[C@@H]1[NH+]1CCOCC1 ZINC000450370684 421189341 /nfs/dbraw/zinc/18/93/41/421189341.db2.gz AIGVDNCWUOMSDE-WFASDCNBSA-N 1 2 316.361 1.623 20 30 DDEDLO COc1cc(C[N@@H+]2CCN3C(=O)OCC[C@@H]3C2)ccc1C#N ZINC000496745904 421309591 /nfs/dbraw/zinc/30/95/91/421309591.db2.gz PSVQKOQAOMFBBT-CQSZACIVSA-N 1 2 301.346 1.593 20 30 DDEDLO COc1cc(C[N@H+]2CCN3C(=O)OCC[C@@H]3C2)ccc1C#N ZINC000496745904 421309593 /nfs/dbraw/zinc/30/95/93/421309593.db2.gz PSVQKOQAOMFBBT-CQSZACIVSA-N 1 2 301.346 1.593 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@H](C)O[C@]2(CCO[C@@H]2C)C1 ZINC000562502849 421374496 /nfs/dbraw/zinc/37/44/96/421374496.db2.gz VXZSPMMXJWZXRO-VMUDFCTBSA-N 1 2 321.421 1.206 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@H](C)O[C@]2(CCO[C@@H]2C)C1 ZINC000562502849 421374499 /nfs/dbraw/zinc/37/44/99/421374499.db2.gz VXZSPMMXJWZXRO-VMUDFCTBSA-N 1 2 321.421 1.206 20 30 DDEDLO Cc1cc(C#N)cnc1-c1nc([C@@H]2C[N@@H+](C3CC3)CCO2)no1 ZINC000550998768 421526631 /nfs/dbraw/zinc/52/66/31/421526631.db2.gz RQFVVTGWLWUHMN-ZDUSSCGKSA-N 1 2 311.345 1.847 20 30 DDEDLO Cc1cc(C#N)cnc1-c1nc([C@@H]2C[N@H+](C3CC3)CCO2)no1 ZINC000550998768 421526633 /nfs/dbraw/zinc/52/66/33/421526633.db2.gz RQFVVTGWLWUHMN-ZDUSSCGKSA-N 1 2 311.345 1.847 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(CC#N)cc1 ZINC000515379264 421498156 /nfs/dbraw/zinc/49/81/56/421498156.db2.gz PWNAMDUACJTSKF-AWEZNQCLSA-N 1 2 316.405 1.273 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(CC#N)cc1 ZINC000515379264 421498160 /nfs/dbraw/zinc/49/81/60/421498160.db2.gz PWNAMDUACJTSKF-AWEZNQCLSA-N 1 2 316.405 1.273 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNCc1c(F)cc(F)c(F)c1F ZINC000564862450 421594131 /nfs/dbraw/zinc/59/41/31/421594131.db2.gz OGHVAWZZIJMJQP-SECBINFHSA-N 1 2 319.302 1.539 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNCc1c(F)cc(F)c(F)c1F ZINC000564862450 421594133 /nfs/dbraw/zinc/59/41/33/421594133.db2.gz OGHVAWZZIJMJQP-SECBINFHSA-N 1 2 319.302 1.539 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1csc([C@H]2CCCO2)n1 ZINC000566741228 421608014 /nfs/dbraw/zinc/60/80/14/421608014.db2.gz UJTVRNRMGMCKBQ-RISCZKNCSA-N 1 2 308.407 1.568 20 30 DDEDLO CCOc1ccc(CCC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000567506676 421612664 /nfs/dbraw/zinc/61/26/64/421612664.db2.gz ZCHNGGUFBDPAIU-KRWDZBQOSA-N 1 2 303.406 1.978 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc(-n2cccn2)cc1 ZINC000567568706 421613381 /nfs/dbraw/zinc/61/33/81/421613381.db2.gz WMNOVEGHTLYFFW-HNNXBMFYSA-N 1 2 300.362 1.457 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc(-n2cccn2)cc1 ZINC000567568706 421613384 /nfs/dbraw/zinc/61/33/84/421613384.db2.gz WMNOVEGHTLYFFW-HNNXBMFYSA-N 1 2 300.362 1.457 20 30 DDEDLO N#CC1(CNC(=O)NCc2ccc[nH+]c2N2CCOCC2)CC1 ZINC000517478597 421614254 /nfs/dbraw/zinc/61/42/54/421614254.db2.gz NMGAJVUZQUSHAW-UHFFFAOYSA-N 1 2 315.377 1.021 20 30 DDEDLO C=CCCCCCNS(=O)(=O)CCC[NH+]1CCOCC1 ZINC000568900802 421623482 /nfs/dbraw/zinc/62/34/82/421623482.db2.gz FOMOTFPKRYZKAB-UHFFFAOYSA-N 1 2 304.456 1.375 20 30 DDEDLO Cc1cc(NC(=O)[C@@H](C)[NH+]2CCSCC2)n(CCC#N)n1 ZINC000533089519 421667653 /nfs/dbraw/zinc/66/76/53/421667653.db2.gz AUAMFZKREVAETO-GFCCVEGCSA-N 1 2 307.423 1.481 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)C[C@]2(CCOC2)O1 ZINC000565809506 421600527 /nfs/dbraw/zinc/60/05/27/421600527.db2.gz HVPHBXGJIDLPCD-VBQJREDUSA-N 1 2 321.421 1.207 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)C[C@]2(CCOC2)O1 ZINC000565809506 421600528 /nfs/dbraw/zinc/60/05/28/421600528.db2.gz HVPHBXGJIDLPCD-VBQJREDUSA-N 1 2 321.421 1.207 20 30 DDEDLO Cc1ccn(-c2ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc2)n1 ZINC000565776420 421600695 /nfs/dbraw/zinc/60/06/95/421600695.db2.gz FQTNZBMBELXAIF-KRWDZBQOSA-N 1 2 311.389 1.754 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCSc2ccccc2F)CC1 ZINC000571175182 421694026 /nfs/dbraw/zinc/69/40/26/421694026.db2.gz CCJWTRJTDBJRIR-UHFFFAOYSA-N 1 2 307.394 1.976 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](CC(N)=O)CC(C)C)c1C#N ZINC000535226483 421702520 /nfs/dbraw/zinc/70/25/20/421702520.db2.gz NMNIUJSMEDIGBY-UHFFFAOYSA-N 1 2 303.362 1.288 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](CC(N)=O)CC(C)C)c1C#N ZINC000535226483 421702523 /nfs/dbraw/zinc/70/25/23/421702523.db2.gz NMNIUJSMEDIGBY-UHFFFAOYSA-N 1 2 303.362 1.288 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000572933281 421887318 /nfs/dbraw/zinc/88/73/18/421887318.db2.gz VAWIXWBTLQRLPI-CKEIUWERSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000572933281 421887322 /nfs/dbraw/zinc/88/73/22/421887322.db2.gz VAWIXWBTLQRLPI-CKEIUWERSA-N 1 2 321.421 1.207 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCOCC(F)(F)C(F)F ZINC000574104686 422065126 /nfs/dbraw/zinc/06/51/26/422065126.db2.gz BADCGAWLKBQWFD-LLVKDONJSA-N 1 2 313.295 1.254 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)c2ccc(C[NH+]3CCOCC3)cn2)C1 ZINC000574151058 422066828 /nfs/dbraw/zinc/06/68/28/422066828.db2.gz MATJGZOMGFEXFG-DZGCQCFKSA-N 1 2 314.389 1.336 20 30 DDEDLO N#C[C@@H]1CN(C(=O)C[C@@H](c2[nH]cc[nH+]2)c2ccccc2)CCO1 ZINC000582177634 422125198 /nfs/dbraw/zinc/12/51/98/422125198.db2.gz RZSRIZILJYSJJJ-HUUCEWRRSA-N 1 2 310.357 1.683 20 30 DDEDLO C=CC[N@H+](Cc1ccc2nccnc2c1)[C@H]1CCS(=O)(=O)C1 ZINC000637962086 422511939 /nfs/dbraw/zinc/51/19/39/422511939.db2.gz ILFDRDWUKSJVFH-AWEZNQCLSA-N 1 2 317.414 1.805 20 30 DDEDLO C=CC[N@@H+](Cc1ccc2nccnc2c1)[C@H]1CCS(=O)(=O)C1 ZINC000637962086 422511944 /nfs/dbraw/zinc/51/19/44/422511944.db2.gz ILFDRDWUKSJVFH-AWEZNQCLSA-N 1 2 317.414 1.805 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000636005874 422556891 /nfs/dbraw/zinc/55/68/91/422556891.db2.gz QMZDFEDUIXVYIF-WDEREUQCSA-N 1 2 305.300 1.820 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(C(C)C)C2CCCC2)nn1 ZINC000640977286 423308112 /nfs/dbraw/zinc/30/81/12/423308112.db2.gz WNWAUUTUKFYQFT-UHFFFAOYSA-N 1 2 317.437 1.571 20 30 DDEDLO C=CCn1cc(C(=O)NCCCCNc2cccc[nH+]2)nn1 ZINC000648770489 423451230 /nfs/dbraw/zinc/45/12/30/423451230.db2.gz JSOQNABESVNQTJ-UHFFFAOYSA-N 1 2 300.366 1.481 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H]([C@@H](O)C(F)(F)F)C2)nn1 ZINC000653643274 423563341 /nfs/dbraw/zinc/56/33/41/423563341.db2.gz KIJMAMDSNANQDF-DGCLKSJQSA-N 1 2 318.343 1.989 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H]([C@@H](O)C(F)(F)F)C2)nn1 ZINC000653643274 423563345 /nfs/dbraw/zinc/56/33/45/423563345.db2.gz KIJMAMDSNANQDF-DGCLKSJQSA-N 1 2 318.343 1.989 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H](CNC(=O)C(C)C)C2)nn1 ZINC000653535451 423516122 /nfs/dbraw/zinc/51/61/22/423516122.db2.gz RSZPSPWBKSBBOS-HNNXBMFYSA-N 1 2 319.453 1.838 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H](CNC(=O)C(C)C)C2)nn1 ZINC000653535451 423516125 /nfs/dbraw/zinc/51/61/25/423516125.db2.gz RSZPSPWBKSBBOS-HNNXBMFYSA-N 1 2 319.453 1.838 20 30 DDEDLO Cn1cnnc1N1CC[NH+](CC#Cc2ccc(Cl)cc2)CC1 ZINC000653819265 423617297 /nfs/dbraw/zinc/61/72/97/423617297.db2.gz SPBDUEUFSLIKMM-UHFFFAOYSA-N 1 2 315.808 1.642 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCc2nc(C)ncc2C1 ZINC000642531324 424188308 /nfs/dbraw/zinc/18/83/08/424188308.db2.gz IQWYZNSJZCZPRA-ZDUSSCGKSA-N 1 2 302.422 1.956 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCc2nc(C)ncc2C1 ZINC000642531324 424188313 /nfs/dbraw/zinc/18/83/13/424188313.db2.gz IQWYZNSJZCZPRA-ZDUSSCGKSA-N 1 2 302.422 1.956 20 30 DDEDLO C=CCCn1cc(C[NH2+]CC(=O)Nc2cccc(F)c2)nn1 ZINC000657337907 424293840 /nfs/dbraw/zinc/29/38/40/424293840.db2.gz LOUAVRRLGNLDLX-UHFFFAOYSA-N 1 2 303.341 1.722 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cnc3ccc(C#N)cn23)C[C@@]2(CCOC2)O1 ZINC000376014783 267055139 /nfs/dbraw/zinc/05/51/39/267055139.db2.gz OJIBIFZFNKUWPH-CXAGYDPISA-N 1 2 312.373 1.586 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cnc3ccc(C#N)cn23)C[C@@]2(CCOC2)O1 ZINC000376014783 267055141 /nfs/dbraw/zinc/05/51/41/267055141.db2.gz OJIBIFZFNKUWPH-CXAGYDPISA-N 1 2 312.373 1.586 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)N(C)Cc1ccc(C#N)cc1 ZINC000356343638 267067843 /nfs/dbraw/zinc/06/78/43/267067843.db2.gz AWNVJMJXGAHZGS-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)N(C)Cc1ccc(C#N)cc1 ZINC000356343638 267067844 /nfs/dbraw/zinc/06/78/44/267067844.db2.gz AWNVJMJXGAHZGS-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO C[C@@H]1OCC[C@@]12C[N@H+](Cc1cnc3ccc(C#N)cn13)CCO2 ZINC000373465099 267100041 /nfs/dbraw/zinc/10/00/41/267100041.db2.gz JCFMHZAVADSCPL-SUMWQHHRSA-N 1 2 312.373 1.586 20 30 DDEDLO C[C@@H]1OCC[C@@]12C[N@@H+](Cc1cnc3ccc(C#N)cn13)CCO2 ZINC000373465099 267100045 /nfs/dbraw/zinc/10/00/45/267100045.db2.gz JCFMHZAVADSCPL-SUMWQHHRSA-N 1 2 312.373 1.586 20 30 DDEDLO Cn1cc(C[N@@H+]2CCNC(=O)[C@H]2Cc2ccccc2)cc1C#N ZINC000361594117 267794972 /nfs/dbraw/zinc/79/49/72/267794972.db2.gz LUWAXVNAWFKGQC-QGZVFWFLSA-N 1 2 308.385 1.440 20 30 DDEDLO Cn1cc(C[N@H+]2CCNC(=O)[C@H]2Cc2ccccc2)cc1C#N ZINC000361594117 267794976 /nfs/dbraw/zinc/79/49/76/267794976.db2.gz LUWAXVNAWFKGQC-QGZVFWFLSA-N 1 2 308.385 1.440 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)nc1 ZINC000522678349 268200576 /nfs/dbraw/zinc/20/05/76/268200576.db2.gz BYSJGOAVZIVBKP-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](Cc3cnc4ccccn34)CC2)n1 ZINC000355079627 268261666 /nfs/dbraw/zinc/26/16/66/268261666.db2.gz KEDRKSOKWMFRPN-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N1CCN(c2ccccc2C#N)CC1 ZINC000091510210 274219996 /nfs/dbraw/zinc/21/99/96/274219996.db2.gz SOCIWYNETHHPFM-INIZCTEOSA-N 1 2 314.433 1.401 20 30 DDEDLO C#Cc1cccc(NC(=O)N2C[C@H]([NH+]3CCOCC3)C[C@H]2C)c1 ZINC000286928839 275388504 /nfs/dbraw/zinc/38/85/04/275388504.db2.gz GAWSQEMQCDWXFE-RHSMWYFYSA-N 1 2 313.401 1.995 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)N(C)Cc1c[nH+]c2ccc(C#N)cn12 ZINC000093416966 276388354 /nfs/dbraw/zinc/38/83/54/276388354.db2.gz FQHKWIVKWSZOOP-GFCCVEGCSA-N 1 2 320.418 1.461 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@@H]([NH+]=C([O-])N1CC[C@](C)(O)C1)C2 ZINC000330103359 277270112 /nfs/dbraw/zinc/27/01/12/277270112.db2.gz IPONANQKNHXFQI-PXAZEXFGSA-N 1 2 320.437 1.866 20 30 DDEDLO C=CCNC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000377371542 277870637 /nfs/dbraw/zinc/87/06/37/277870637.db2.gz DPQNNOUWJUTGAJ-IUODEOHRSA-N 1 2 304.394 1.412 20 30 DDEDLO CC1(C)C[NH+]=C(N2CCN(c3nccnc3C#N)CC2)S1 ZINC000267946543 278348092 /nfs/dbraw/zinc/34/80/92/278348092.db2.gz PBEWWLCVOSFZMM-UHFFFAOYSA-N 1 2 302.407 1.352 20 30 DDEDLO CC[C@@H](C)[C@H](NC(=O)C[NH+]1CCC(C)(C#N)CC1)C(=O)OC ZINC000356447397 280124274 /nfs/dbraw/zinc/12/42/74/280124274.db2.gz PFHBZLVKSUVCKO-OCCSQVGLSA-N 1 2 309.410 1.316 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC000075506339 294965838 /nfs/dbraw/zinc/96/58/38/294965838.db2.gz YUYKZGKSVIMFPY-MRXNPFEDSA-N 1 2 314.389 1.887 20 30 DDEDLO CC(C)c1nc(C[N@H+](C)CCCc2[nH]nc(N)c2C#N)no1 ZINC000162698633 298201510 /nfs/dbraw/zinc/20/15/10/298201510.db2.gz VFGYYMKXMYTNLI-UHFFFAOYSA-N 1 2 303.370 1.435 20 30 DDEDLO CC(C)c1nc(C[N@@H+](C)CCCc2[nH]nc(N)c2C#N)no1 ZINC000162698633 298201511 /nfs/dbraw/zinc/20/15/11/298201511.db2.gz VFGYYMKXMYTNLI-UHFFFAOYSA-N 1 2 303.370 1.435 20 30 DDEDLO N#Cc1ncc(NC(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1Cl ZINC000573562874 304601291 /nfs/dbraw/zinc/60/12/91/304601291.db2.gz HDPUUQVKXKNARX-VIFPVBQESA-N 1 2 316.752 1.940 20 30 DDEDLO C[C@H]1C[N@@H+]2CCCC[C@@H]2CN1S(=O)(=O)c1cncc(C#N)c1 ZINC000319802200 300309589 /nfs/dbraw/zinc/30/95/89/300309589.db2.gz YTHRBVGDAOIITB-GXTWGEPZSA-N 1 2 320.418 1.201 20 30 DDEDLO C[C@H]1C[N@H+]2CCCC[C@@H]2CN1S(=O)(=O)c1cncc(C#N)c1 ZINC000319802200 300309594 /nfs/dbraw/zinc/30/95/94/300309594.db2.gz YTHRBVGDAOIITB-GXTWGEPZSA-N 1 2 320.418 1.201 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+]([C@@H]3CCCCNC3=O)CC2)s1 ZINC000371312747 301448948 /nfs/dbraw/zinc/44/89/48/301448948.db2.gz DURATKVIOFDDMO-OAHLLOKOSA-N 1 2 318.446 1.406 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Cc1cccc(C#N)c1 ZINC000552660117 303663228 /nfs/dbraw/zinc/66/32/28/303663228.db2.gz QHLOCXOPCXTXIJ-RHSMWYFYSA-N 1 2 313.401 1.422 20 30 DDEDLO COC(=O)[C@](C)([NH2+]CCC(=O)Nc1ccccc1C#N)C1CC1 ZINC000560713461 303824172 /nfs/dbraw/zinc/82/41/72/303824172.db2.gz MQQJNQMMVNJGLI-QGZVFWFLSA-N 1 2 315.373 1.818 20 30 DDEDLO C[C@@H]1C[C@@H](NS(=O)(=O)c2ccc(C#N)cc2)c2[nH+]ccn21 ZINC000578716174 308479510 /nfs/dbraw/zinc/47/95/10/308479510.db2.gz VESAMTOURWZYBW-ZWNOBZJWSA-N 1 2 302.359 1.739 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)c2cccc3c2CC(=O)CC3)CC1 ZINC000574964956 332897490 /nfs/dbraw/zinc/89/74/90/332897490.db2.gz XJYLGMIVNLLCOK-UHFFFAOYSA-N 1 2 311.385 1.462 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@](O)(C(F)(F)F)C1 ZINC000314548085 333142394 /nfs/dbraw/zinc/14/23/94/333142394.db2.gz MXWKFJXFUFCOQA-RYUDHWBXSA-N 1 2 307.316 1.040 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@@](O)(C(F)(F)F)C1 ZINC000314548085 333142396 /nfs/dbraw/zinc/14/23/96/333142396.db2.gz MXWKFJXFUFCOQA-RYUDHWBXSA-N 1 2 307.316 1.040 20 30 DDEDLO C#CC[NH+]1CCN(CN2CC[C@@](C)(c3ccccc3)C2=O)CC1 ZINC000188631662 333381495 /nfs/dbraw/zinc/38/14/95/333381495.db2.gz LBDCJGDWVHQSFL-IBGZPJMESA-N 1 2 311.429 1.385 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCN(C(=O)C2CC2)CC1(C)C ZINC000345969408 335364741 /nfs/dbraw/zinc/36/47/41/335364741.db2.gz XWNGGGLBCOBSDH-UHFFFAOYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCN(C(=O)C2CC2)CC1(C)C ZINC000345969408 335364743 /nfs/dbraw/zinc/36/47/43/335364743.db2.gz XWNGGGLBCOBSDH-UHFFFAOYSA-N 1 2 321.465 1.744 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[N@H+](Cc2ccccc2)[C@@H](C)C1 ZINC000396341125 336278946 /nfs/dbraw/zinc/27/89/46/336278946.db2.gz BCVCNHVOFYCPIR-UONOGXRCSA-N 1 2 307.419 1.435 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[N@@H+](Cc2ccccc2)[C@@H](C)C1 ZINC000396341125 336278947 /nfs/dbraw/zinc/27/89/47/336278947.db2.gz BCVCNHVOFYCPIR-UONOGXRCSA-N 1 2 307.419 1.435 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N[C@@H](c1[nH+]ccn1C)C1CC1 ZINC000582343499 336934914 /nfs/dbraw/zinc/93/49/14/336934914.db2.gz WDQTUAGVGRVCDQ-HZSPNIEDSA-N 1 2 304.394 1.904 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000582767939 337141193 /nfs/dbraw/zinc/14/11/93/337141193.db2.gz VQRPYPJWDZKWKI-CABCVRRESA-N 1 2 316.405 1.825 20 30 DDEDLO CCn1ccnc1C[NH2+]CCS(=O)(=O)c1cccc(C#N)c1 ZINC000395442725 337219805 /nfs/dbraw/zinc/21/98/05/337219805.db2.gz KZUVOIGXISUTCY-UHFFFAOYSA-N 1 2 318.402 1.338 20 30 DDEDLO N#Cc1ncccc1NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000583056039 337230393 /nfs/dbraw/zinc/23/03/93/337230393.db2.gz BPTYOWINAMRASY-UHFFFAOYSA-N 1 2 313.405 1.309 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CN(C(=O)OC(C)(C)C)CC[N@H+]1C ZINC000496308721 340002720 /nfs/dbraw/zinc/00/27/20/340002720.db2.gz NYWCBBGZNMPIKK-CQSZACIVSA-N 1 2 323.437 1.457 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CN(C(=O)OC(C)(C)C)CC[N@@H+]1C ZINC000496308721 340002721 /nfs/dbraw/zinc/00/27/21/340002721.db2.gz NYWCBBGZNMPIKK-CQSZACIVSA-N 1 2 323.437 1.457 20 30 DDEDLO C[C@@H](C#N)OCC[N@@H+]1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000496937927 340016643 /nfs/dbraw/zinc/01/66/43/340016643.db2.gz MMNGNEOTPVEAGZ-STQMWFEESA-N 1 2 313.398 1.141 20 30 DDEDLO C[C@@H](C#N)OCC[N@H+]1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000496937927 340016644 /nfs/dbraw/zinc/01/66/44/340016644.db2.gz MMNGNEOTPVEAGZ-STQMWFEESA-N 1 2 313.398 1.141 20 30 DDEDLO N#CCC[N@@H+](CCC(=O)N1CCOCC1)Cc1ccccn1 ZINC000174832559 340396887 /nfs/dbraw/zinc/39/68/87/340396887.db2.gz IKQIXMGBBJOPFB-UHFFFAOYSA-N 1 2 302.378 1.046 20 30 DDEDLO N#CCC[N@H+](CCC(=O)N1CCOCC1)Cc1ccccn1 ZINC000174832559 340396888 /nfs/dbraw/zinc/39/68/88/340396888.db2.gz IKQIXMGBBJOPFB-UHFFFAOYSA-N 1 2 302.378 1.046 20 30 DDEDLO CC1(C)C[C@]1(C#N)NC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000565398880 341526271 /nfs/dbraw/zinc/52/62/71/341526271.db2.gz WCGHADMFIPINPH-QGZVFWFLSA-N 1 2 314.389 1.336 20 30 DDEDLO CN(C)C(=O)CN1CCC[N@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000160805727 484069399 /nfs/dbraw/zinc/06/93/99/484069399.db2.gz PZXBVZHTJILQEX-UHFFFAOYSA-N 1 2 318.396 1.293 20 30 DDEDLO CN(C)C(=O)CN1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000160805727 484069406 /nfs/dbraw/zinc/06/94/06/484069406.db2.gz PZXBVZHTJILQEX-UHFFFAOYSA-N 1 2 318.396 1.293 20 30 DDEDLO C[C@@H]1CC[C@H](O)C[N@@H+]1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000421025285 484112030 /nfs/dbraw/zinc/11/20/30/484112030.db2.gz SKNYTWRTYXVYFO-MFKMUULPSA-N 1 2 307.781 1.995 20 30 DDEDLO C[C@@H]1CC[C@H](O)C[N@H+]1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000421025285 484112034 /nfs/dbraw/zinc/11/20/34/484112034.db2.gz SKNYTWRTYXVYFO-MFKMUULPSA-N 1 2 307.781 1.995 20 30 DDEDLO C=C[C@@H](CC(=O)NC[C@H]1CN2CC[N@@H+]1CCC2)c1ccccc1 ZINC000670407366 484814347 /nfs/dbraw/zinc/81/43/47/484814347.db2.gz PHEZSAMZCMNORA-WMZOPIPTSA-N 1 2 313.445 1.852 20 30 DDEDLO C=C[C@@H](CC(=O)NC[C@H]1CN2CC[N@H+]1CCC2)c1ccccc1 ZINC000670407366 484814352 /nfs/dbraw/zinc/81/43/52/484814352.db2.gz PHEZSAMZCMNORA-WMZOPIPTSA-N 1 2 313.445 1.852 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NC(=O)C(=O)NCCCn1cc[nH+]c1 ZINC000668332651 485112184 /nfs/dbraw/zinc/11/21/84/485112184.db2.gz SQJXRHJOMMRMAS-HUUCEWRRSA-N 1 2 318.421 1.641 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC000673282675 485404091 /nfs/dbraw/zinc/40/40/91/485404091.db2.gz PKEYWUPFQHOSRS-INIZCTEOSA-N 1 2 315.417 1.714 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC000673282675 485404093 /nfs/dbraw/zinc/40/40/93/485404093.db2.gz PKEYWUPFQHOSRS-INIZCTEOSA-N 1 2 315.417 1.714 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000677047904 486385575 /nfs/dbraw/zinc/38/55/75/486385575.db2.gz JRCGWIJCZYKNCC-OAHLLOKOSA-N 1 2 310.344 1.660 20 30 DDEDLO COCC#CC[NH+]1CCN(CCOc2ccccc2Cl)CC1 ZINC000677132530 486397845 /nfs/dbraw/zinc/39/78/45/486397845.db2.gz CQIQLVHXXUEKHA-UHFFFAOYSA-N 1 2 322.836 1.986 20 30 DDEDLO COCC#CCN1CC[NH+](CCOc2ccccc2Cl)CC1 ZINC000677132530 486397850 /nfs/dbraw/zinc/39/78/50/486397850.db2.gz CQIQLVHXXUEKHA-UHFFFAOYSA-N 1 2 322.836 1.986 20 30 DDEDLO COC(=O)c1cnc([C@@H](C)[NH2+][C@@H](C)C(=O)N(C)CCC#N)s1 ZINC000425493290 534308508 /nfs/dbraw/zinc/30/85/08/534308508.db2.gz VCYHJVJVXFASDX-ZJUUUORDSA-N 1 2 324.406 1.341 20 30 DDEDLO Cc1nnc([C@@H]2C[N@H+](CCCC3(C#N)CCOCC3)CCO2)[nH]1 ZINC000332142091 534585640 /nfs/dbraw/zinc/58/56/40/534585640.db2.gz LLQSTDFCVVZRHU-AWEZNQCLSA-N 1 2 319.409 1.587 20 30 DDEDLO Cc1nnc([C@@H]2C[N@@H+](CCCC3(C#N)CCOCC3)CCO2)[nH]1 ZINC000332142091 534585647 /nfs/dbraw/zinc/58/56/47/534585647.db2.gz LLQSTDFCVVZRHU-AWEZNQCLSA-N 1 2 319.409 1.587 20 30 DDEDLO Cc1nc([C@@H]2C[N@H+](CCCC3(C#N)CCOCC3)CCO2)n[nH]1 ZINC000332142091 534585648 /nfs/dbraw/zinc/58/56/48/534585648.db2.gz LLQSTDFCVVZRHU-AWEZNQCLSA-N 1 2 319.409 1.587 20 30 DDEDLO Cc1nc([C@@H]2C[N@@H+](CCCC3(C#N)CCOCC3)CCO2)n[nH]1 ZINC000332142091 534585655 /nfs/dbraw/zinc/58/56/55/534585655.db2.gz LLQSTDFCVVZRHU-AWEZNQCLSA-N 1 2 319.409 1.587 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000360068785 534626525 /nfs/dbraw/zinc/62/65/25/534626525.db2.gz LKOYEYNYSDYYJI-UHFFFAOYSA-N 1 2 304.375 1.595 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2sc(C)c(C)c2C)C1 ZINC000330945392 526401537 /nfs/dbraw/zinc/40/15/37/526401537.db2.gz JWCWIEPGYMZLNP-GFCCVEGCSA-N 1 2 324.450 1.820 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2sc(C)c(C)c2C)C1 ZINC000330945392 526401544 /nfs/dbraw/zinc/40/15/44/526401544.db2.gz JWCWIEPGYMZLNP-GFCCVEGCSA-N 1 2 324.450 1.820 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2ccc(C)cc2C)C1 ZINC000330960868 526403058 /nfs/dbraw/zinc/40/30/58/526403058.db2.gz XYEIFXVMJCOEPW-ZIAGYGMSSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2ccc(C)cc2C)C1 ZINC000330960868 526403061 /nfs/dbraw/zinc/40/30/61/526403061.db2.gz XYEIFXVMJCOEPW-ZIAGYGMSSA-N 1 2 318.421 1.838 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(CC(C)C)C2CC2)C1=O ZINC000337203247 526467866 /nfs/dbraw/zinc/46/78/66/526467866.db2.gz GFKJVPOZWDKDPN-OAHLLOKOSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(CC(C)C)C2CC2)C1=O ZINC000337203247 526467873 /nfs/dbraw/zinc/46/78/73/526467873.db2.gz GFKJVPOZWDKDPN-OAHLLOKOSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccccc2F)C1=O ZINC000337200968 526469048 /nfs/dbraw/zinc/46/90/48/526469048.db2.gz CJXGBWWWAWKPEV-CQSZACIVSA-N 1 2 305.353 1.483 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccccc2F)C1=O ZINC000337200968 526469054 /nfs/dbraw/zinc/46/90/54/526469054.db2.gz CJXGBWWWAWKPEV-CQSZACIVSA-N 1 2 305.353 1.483 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(C(=O)C3CC3)CC2(C)C)C1=O ZINC000337190530 526479935 /nfs/dbraw/zinc/47/99/35/526479935.db2.gz SPAXQRZCZZZRGQ-CQSZACIVSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(C(=O)C3CC3)CC2(C)C)C1=O ZINC000337190530 526479936 /nfs/dbraw/zinc/47/99/36/526479936.db2.gz SPAXQRZCZZZRGQ-CQSZACIVSA-N 1 2 305.422 1.106 20 30 DDEDLO CC(=O)N1CC[C@H](NC(=O)N2CC[C@H](C)[C@H](n3cc[nH+]c3)C2)C1 ZINC000329945390 526655587 /nfs/dbraw/zinc/65/55/87/526655587.db2.gz FUHPQNLTOYCSOF-AEGPPILISA-N 1 2 319.409 1.301 20 30 DDEDLO CC(=O)N1CC[C@H]([NH+]=C([O-])N2CC[C@H](C)[C@H](n3cc[nH+]c3)C2)C1 ZINC000329945390 526655592 /nfs/dbraw/zinc/65/55/92/526655592.db2.gz FUHPQNLTOYCSOF-AEGPPILISA-N 1 2 319.409 1.301 20 30 DDEDLO C#CCN(C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1)C1CC1 ZINC000491230105 526769830 /nfs/dbraw/zinc/76/98/30/526769830.db2.gz KVYSMRGPNYTEGP-MRXNPFEDSA-N 1 2 323.462 1.002 20 30 DDEDLO C#CCN(C)C(=O)N[C@H]1CC[N@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000491441090 526794867 /nfs/dbraw/zinc/79/48/67/526794867.db2.gz UATVEICOGQPYRW-GJZGRUSLSA-N 1 2 307.344 1.985 20 30 DDEDLO C#CCN(C)C(=O)N[C@H]1CC[N@@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000491441090 526794871 /nfs/dbraw/zinc/79/48/71/526794871.db2.gz UATVEICOGQPYRW-GJZGRUSLSA-N 1 2 307.344 1.985 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NC(=O)Nc1ccc2c(c1)OCCCO2 ZINC000491242672 526801661 /nfs/dbraw/zinc/80/16/61/526801661.db2.gz ROHHLVNOCUITDF-UHFFFAOYSA-N 1 2 317.345 1.061 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NC(=O)Nc1ccc2c(c1)OCCCO2 ZINC000491242672 526801663 /nfs/dbraw/zinc/80/16/63/526801663.db2.gz ROHHLVNOCUITDF-UHFFFAOYSA-N 1 2 317.345 1.061 20 30 DDEDLO C=C(CC)CNC(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000454826353 526858869 /nfs/dbraw/zinc/85/88/69/526858869.db2.gz VJUHLRKRAISRFA-CYBMUJFWSA-N 1 2 319.409 1.177 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000346231586 526934299 /nfs/dbraw/zinc/93/42/99/526934299.db2.gz HHZFCIVUIHRIBR-CQSZACIVSA-N 1 2 324.446 1.717 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000346231586 526934303 /nfs/dbraw/zinc/93/43/03/526934303.db2.gz HHZFCIVUIHRIBR-CQSZACIVSA-N 1 2 324.446 1.717 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1ccc(S(C)(=O)=O)cc1 ZINC000490616908 526940126 /nfs/dbraw/zinc/94/01/26/526940126.db2.gz MDMGLPLIXZZRGA-CQSZACIVSA-N 1 2 321.398 1.345 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1ccc(S(C)(=O)=O)cc1 ZINC000490616908 526940133 /nfs/dbraw/zinc/94/01/33/526940133.db2.gz MDMGLPLIXZZRGA-CQSZACIVSA-N 1 2 321.398 1.345 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(F)c(OC)c2)CC1 ZINC000491044510 526951473 /nfs/dbraw/zinc/95/14/73/526951473.db2.gz HKUJRDOCVIVJJO-UHFFFAOYSA-N 1 2 305.353 1.024 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)C(C)(C)[C@@H]2c2ccccc2)CC1 ZINC000490779852 526952782 /nfs/dbraw/zinc/95/27/82/526952782.db2.gz BAKYXEIRFNRJLR-KRWDZBQOSA-N 1 2 311.429 1.804 20 30 DDEDLO C#CCNC(=O)C1CC[NH+]([C@H](C)c2nc(C(C)(C)C)no2)CC1 ZINC000491203271 526972238 /nfs/dbraw/zinc/97/22/38/526972238.db2.gz USBYGJQKMKZSKU-GFCCVEGCSA-N 1 2 318.421 1.890 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000342151387 527179934 /nfs/dbraw/zinc/17/99/34/527179934.db2.gz AGCCKQQQFREKMU-GOEBONIOSA-N 1 2 312.454 1.424 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@H]2CCC(C)(C)O2)n1 ZINC000491737769 527191984 /nfs/dbraw/zinc/19/19/84/527191984.db2.gz RYIUJVTYAWVYAX-MRXNPFEDSA-N 1 2 305.422 1.922 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@H]2CCC(C)(C)O2)n1 ZINC000491737769 527191986 /nfs/dbraw/zinc/19/19/86/527191986.db2.gz RYIUJVTYAWVYAX-MRXNPFEDSA-N 1 2 305.422 1.922 20 30 DDEDLO C#C[C@@H](NC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1)C(C)C ZINC000491327506 527262611 /nfs/dbraw/zinc/26/26/11/527262611.db2.gz VZCDMYIZGSXZRR-OAHLLOKOSA-N 1 2 310.357 1.585 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)NCC(=O)Nc1cccc(Cl)c1C ZINC000491730689 527331241 /nfs/dbraw/zinc/33/12/41/527331241.db2.gz HQECSEZQQGTSHM-NSHDSACASA-N 1 2 321.808 1.657 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)NCC(=O)Nc1cccc(Cl)c1C ZINC000491730689 527331247 /nfs/dbraw/zinc/33/12/47/527331247.db2.gz HQECSEZQQGTSHM-NSHDSACASA-N 1 2 321.808 1.657 20 30 DDEDLO C#C[C@H](CC)NC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000491653341 527351766 /nfs/dbraw/zinc/35/17/66/527351766.db2.gz CPGQZDRKHHWRNK-QGZVFWFLSA-N 1 2 310.401 1.797 20 30 DDEDLO C#C[C@H](CC)NC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000491762168 527360793 /nfs/dbraw/zinc/36/07/93/527360793.db2.gz ZCRCXZXZBJWOSZ-CYBMUJFWSA-N 1 2 322.430 1.164 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000334099013 527468674 /nfs/dbraw/zinc/46/86/74/527468674.db2.gz VELISKNWGUHWKM-CABCVRRESA-N 1 2 304.394 1.963 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@H](CC)[N@@H+]1CCO[C@@H](CC)C1 ZINC000424923833 527496941 /nfs/dbraw/zinc/49/69/41/527496941.db2.gz KNDWLDVYIMPPCG-JONQDZQNSA-N 1 2 310.438 1.583 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@H](CC)[N@H+]1CCO[C@@H](CC)C1 ZINC000424923833 527496946 /nfs/dbraw/zinc/49/69/46/527496946.db2.gz KNDWLDVYIMPPCG-JONQDZQNSA-N 1 2 310.438 1.583 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](CCO)Cc1cccc(F)c1 ZINC000494282775 527608636 /nfs/dbraw/zinc/60/86/36/527608636.db2.gz PZARWUMGVZIKJJ-UHFFFAOYSA-N 1 2 306.381 1.821 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](CCO)Cc1cccc(F)c1 ZINC000494282775 527608639 /nfs/dbraw/zinc/60/86/39/527608639.db2.gz PZARWUMGVZIKJJ-UHFFFAOYSA-N 1 2 306.381 1.821 20 30 DDEDLO CC(C)[C@H]1OCC[C@@H]1CNC(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000330325217 527914787 /nfs/dbraw/zinc/91/47/87/527914787.db2.gz JBKSWAGFXHXJAT-RBSFLKMASA-N 1 2 313.442 1.272 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2cc(C)ncc2C#N)CC1 ZINC000425213994 528024845 /nfs/dbraw/zinc/02/48/45/528024845.db2.gz ZCUFMFZNMPIEHK-UHFFFAOYSA-N 1 2 315.421 1.252 20 30 DDEDLO CC(C)Oc1cc(C(=O)NCC[N@@H+]2CCOC[C@H]2C)ccn1 ZINC000330984677 528096698 /nfs/dbraw/zinc/09/66/98/528096698.db2.gz QJWZGVAWAOVLEK-CYBMUJFWSA-N 1 2 307.394 1.894 20 30 DDEDLO CC(C)Oc1cc(C(=O)NCC[N@H+]2CCOC[C@H]2C)ccn1 ZINC000330984677 528096701 /nfs/dbraw/zinc/09/67/01/528096701.db2.gz QJWZGVAWAOVLEK-CYBMUJFWSA-N 1 2 307.394 1.894 20 30 DDEDLO CCN1CCN(C(=O)NCC2(C)COC2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000329962184 529107359 /nfs/dbraw/zinc/10/73/59/529107359.db2.gz PBLQLPVDDURLIQ-GFCCVEGCSA-N 1 2 307.398 1.039 20 30 DDEDLO CCN1CCN(C(=O)NC[C@H](OC)C(C)C)C[C@@H]1c1[nH]cc[nH+]1 ZINC000331041427 529107797 /nfs/dbraw/zinc/10/77/97/529107797.db2.gz QULJJJJSKJTNRW-KGLIPLIRSA-N 1 2 323.441 1.673 20 30 DDEDLO CC[C@@H](C#N)NC(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000340138014 529267332 /nfs/dbraw/zinc/26/73/32/529267332.db2.gz KZKVXEZPZGRKLH-AWEZNQCLSA-N 1 2 317.389 1.480 20 30 DDEDLO CC[C@@H](C#N)NC(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000340138014 529267335 /nfs/dbraw/zinc/26/73/35/529267335.db2.gz KZKVXEZPZGRKLH-AWEZNQCLSA-N 1 2 317.389 1.480 20 30 DDEDLO CC[C@@H](C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1)[C@H](C)O ZINC000419846077 529277648 /nfs/dbraw/zinc/27/76/48/529277648.db2.gz QZPSJVRWSJBHNS-WMLDXEAASA-N 1 2 315.417 1.609 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000073108755 535164621 /nfs/dbraw/zinc/16/46/21/535164621.db2.gz AYPYIIMOFLZZOX-CQSZACIVSA-N 1 2 315.421 1.236 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2cnc(C)c(C#N)c2)CC1 ZINC000336863409 535566002 /nfs/dbraw/zinc/56/60/02/535566002.db2.gz BKFJYVREAKWWHT-GFCCVEGCSA-N 1 2 322.434 1.367 20 30 DDEDLO Cc1cn2cc(NC(=O)N[C@H]3CCCS(=O)(=O)C3)ccc2[nH+]1 ZINC000328607833 545656829 /nfs/dbraw/zinc/65/68/29/545656829.db2.gz LMOCUARXXZPLKE-LBPRGKRZSA-N 1 2 322.390 1.355 20 30 DDEDLO CC[N@@H+](C[C@H](O)c1ccc(-c2nn[nH]n2)cc1)C[C@H](C)C#N ZINC000821170779 606891672 /nfs/dbraw/zinc/89/16/72/606891672.db2.gz WOROQQLUXFJZSR-RISCZKNCSA-N 1 2 300.366 1.382 20 30 DDEDLO CC[N@H+](C[C@H](O)c1ccc(-c2nn[nH]n2)cc1)C[C@H](C)C#N ZINC000821170779 606891674 /nfs/dbraw/zinc/89/16/74/606891674.db2.gz WOROQQLUXFJZSR-RISCZKNCSA-N 1 2 300.366 1.382 20 30 DDEDLO C=C(C)C[N@@H+](C)[C@@H](C)C(=O)Nc1oc(C)c(C)c1-c1nn[nH]n1 ZINC000823872558 609369518 /nfs/dbraw/zinc/36/95/18/609369518.db2.gz AXERNTCHVVIXRQ-JTQLQIEISA-N 1 2 318.381 1.911 20 30 DDEDLO C=C(C)C[N@H+](C)[C@@H](C)C(=O)Nc1oc(C)c(C)c1-c1nn[nH]n1 ZINC000823872558 609369521 /nfs/dbraw/zinc/36/95/21/609369521.db2.gz AXERNTCHVVIXRQ-JTQLQIEISA-N 1 2 318.381 1.911 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)c2c(C)nc[nH]c2=O)C1 ZINC000972141844 695163484 /nfs/dbraw/zinc/16/34/84/695163484.db2.gz SHVBDVRJBCBBBG-NSHDSACASA-N 1 2 310.785 1.389 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)c2c(C)nc[nH]c2=O)C1 ZINC000972141844 695163485 /nfs/dbraw/zinc/16/34/85/695163485.db2.gz SHVBDVRJBCBBBG-NSHDSACASA-N 1 2 310.785 1.389 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)cc3)C2)C1 ZINC000972223710 695181072 /nfs/dbraw/zinc/18/10/72/695181072.db2.gz FRYDVDFOLOFWDJ-SFHVURJKSA-N 1 2 316.376 1.766 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)cc3)C2)C1 ZINC000972223710 695181073 /nfs/dbraw/zinc/18/10/73/695181073.db2.gz FRYDVDFOLOFWDJ-SFHVURJKSA-N 1 2 316.376 1.766 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(C)noc3C)C2)C1 ZINC000972249128 695189617 /nfs/dbraw/zinc/18/96/17/695189617.db2.gz NACQXNUCTUHXDP-MRXNPFEDSA-N 1 2 305.378 1.394 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(C)noc3C)C2)C1 ZINC000972249128 695189618 /nfs/dbraw/zinc/18/96/18/695189618.db2.gz NACQXNUCTUHXDP-MRXNPFEDSA-N 1 2 305.378 1.394 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)oc3C)C2)C1 ZINC000972256001 695192884 /nfs/dbraw/zinc/19/28/84/695192884.db2.gz DRWFULDTPBBPGW-GOSISDBHSA-N 1 2 316.401 1.837 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)oc3C)C2)C1 ZINC000972256001 695192886 /nfs/dbraw/zinc/19/28/86/695192886.db2.gz DRWFULDTPBBPGW-GOSISDBHSA-N 1 2 316.401 1.837 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@]2(CCN(C(=O)C(=O)C(C)(C)C)C2)C1 ZINC000972301961 695211075 /nfs/dbraw/zinc/21/10/75/695211075.db2.gz QAGGQDXEFUAWGS-KRWDZBQOSA-N 1 2 308.422 1.481 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@]2(CCN(C(=O)C(=O)C(C)(C)C)C2)C1 ZINC000972301961 695211076 /nfs/dbraw/zinc/21/10/76/695211076.db2.gz QAGGQDXEFUAWGS-KRWDZBQOSA-N 1 2 308.422 1.481 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCCOCC3)C2)C1 ZINC000972361737 695228575 /nfs/dbraw/zinc/22/85/75/695228575.db2.gz JVEJYGUVECYASM-FUHWJXTLSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCCOCC3)C2)C1 ZINC000972361737 695228578 /nfs/dbraw/zinc/22/85/78/695228578.db2.gz JVEJYGUVECYASM-FUHWJXTLSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(F)c3C)C2)C1 ZINC000972395255 695239391 /nfs/dbraw/zinc/23/93/91/695239391.db2.gz CPIHBKLRRUYGHD-SFHVURJKSA-N 1 2 316.376 1.684 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(F)c3C)C2)C1 ZINC000972395255 695239394 /nfs/dbraw/zinc/23/93/94/695239394.db2.gz CPIHBKLRRUYGHD-SFHVURJKSA-N 1 2 316.376 1.684 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3snnc3CC)C2)C1 ZINC000972456095 695255235 /nfs/dbraw/zinc/25/52/35/695255235.db2.gz RMDXOJJVKAZXLN-HNNXBMFYSA-N 1 2 322.434 1.203 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3snnc3CC)C2)C1 ZINC000972456095 695255237 /nfs/dbraw/zinc/25/52/37/695255237.db2.gz RMDXOJJVKAZXLN-HNNXBMFYSA-N 1 2 322.434 1.203 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(OC)nc3)C2)C1 ZINC000972459072 695256126 /nfs/dbraw/zinc/25/61/26/695256126.db2.gz RHJRIAZKXMDLRX-QGZVFWFLSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(OC)nc3)C2)C1 ZINC000972459072 695256128 /nfs/dbraw/zinc/25/61/28/695256128.db2.gz RHJRIAZKXMDLRX-QGZVFWFLSA-N 1 2 317.389 1.193 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C4CCC4)CCC3)C2)C1 ZINC000972486805 695262570 /nfs/dbraw/zinc/26/25/70/695262570.db2.gz IASYTTVLXIRODK-SFHVURJKSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C4CCC4)CCC3)C2)C1 ZINC000972486805 695262571 /nfs/dbraw/zinc/26/25/71/695262571.db2.gz IASYTTVLXIRODK-SFHVURJKSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C)CCCCC3)C2)C1 ZINC000972533861 695274420 /nfs/dbraw/zinc/27/44/20/695274420.db2.gz GUPCNQZTSYFWJF-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C)CCCCC3)C2)C1 ZINC000972533861 695274424 /nfs/dbraw/zinc/27/44/24/695274424.db2.gz GUPCNQZTSYFWJF-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(CF)CCC3)C2)C1 ZINC000972561723 695283711 /nfs/dbraw/zinc/28/37/11/695283711.db2.gz WBNYKCUMCDJQTE-KRWDZBQOSA-N 1 2 308.397 1.453 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(CF)CCC3)C2)C1 ZINC000972561723 695283713 /nfs/dbraw/zinc/28/37/13/695283713.db2.gz WBNYKCUMCDJQTE-KRWDZBQOSA-N 1 2 308.397 1.453 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)[nH]c3C)C2)C1 ZINC000972570041 695285928 /nfs/dbraw/zinc/28/59/28/695285928.db2.gz REUWSECTEYRHLA-KRWDZBQOSA-N 1 2 301.390 1.182 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)[nH]c3C)C2)C1 ZINC000972570041 695285932 /nfs/dbraw/zinc/28/59/32/695285932.db2.gz REUWSECTEYRHLA-KRWDZBQOSA-N 1 2 301.390 1.182 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C4CC4)no3)C2)C1 ZINC000972581676 695289096 /nfs/dbraw/zinc/28/90/96/695289096.db2.gz JSRMOGJZGYZLPY-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C4CC4)no3)C2)C1 ZINC000972581676 695289099 /nfs/dbraw/zinc/28/90/99/695289099.db2.gz JSRMOGJZGYZLPY-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3ccccc3)C2)C1 ZINC000972648608 695308496 /nfs/dbraw/zinc/30/84/96/695308496.db2.gz CGSRFZLWZRJOOO-LJQANCHMSA-N 1 2 312.413 1.556 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3ccccc3)C2)C1 ZINC000972648608 695308497 /nfs/dbraw/zinc/30/84/97/695308497.db2.gz CGSRFZLWZRJOOO-LJQANCHMSA-N 1 2 312.413 1.556 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](OC)C3CCCC3)C2)C1 ZINC000972656946 695310919 /nfs/dbraw/zinc/31/09/19/695310919.db2.gz XYGLHXLXAFZAMT-AEFFLSMTSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](OC)C3CCCC3)C2)C1 ZINC000972656946 695310921 /nfs/dbraw/zinc/31/09/21/695310921.db2.gz XYGLHXLXAFZAMT-AEFFLSMTSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CC)n(C)n3)C2)C1 ZINC000972703373 695319814 /nfs/dbraw/zinc/31/98/14/695319814.db2.gz QDMNKJUKHKXMHJ-KRWDZBQOSA-N 1 2 318.421 1.085 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CC)n(C)n3)C2)C1 ZINC000972703373 695319816 /nfs/dbraw/zinc/31/98/16/695319816.db2.gz QDMNKJUKHKXMHJ-KRWDZBQOSA-N 1 2 318.421 1.085 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(-c2nnc(C)n2C)c1 ZINC000746206301 700010782 /nfs/dbraw/zinc/01/07/82/700010782.db2.gz JAEXUXIUYZLHEU-INIZCTEOSA-N 1 2 323.400 1.827 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(-c2nnc(C)n2C)c1 ZINC000746206301 700010784 /nfs/dbraw/zinc/01/07/84/700010784.db2.gz JAEXUXIUYZLHEU-INIZCTEOSA-N 1 2 323.400 1.827 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnc[nH]c2=O)C(C)(C)C1 ZINC000974715193 695717278 /nfs/dbraw/zinc/71/72/78/695717278.db2.gz HYICHWFWGJXVCH-NSHDSACASA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnc[nH]c2=O)C(C)(C)C1 ZINC000974715193 695717279 /nfs/dbraw/zinc/71/72/79/695717279.db2.gz HYICHWFWGJXVCH-NSHDSACASA-N 1 2 310.785 1.375 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cnnn3C)CC2(C)C)C1 ZINC000975011090 695777038 /nfs/dbraw/zinc/77/70/38/695777038.db2.gz FCDZTRLIKQHBJB-CQSZACIVSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cnnn3C)CC2(C)C)C1 ZINC000975011090 695777040 /nfs/dbraw/zinc/77/70/40/695777040.db2.gz FCDZTRLIKQHBJB-CQSZACIVSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cn2nccc2C)C(C)(C)C1 ZINC000975091344 695792320 /nfs/dbraw/zinc/79/23/20/695792320.db2.gz CPEMFHCLZKKIGN-CYBMUJFWSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cn2nccc2C)C(C)(C)C1 ZINC000975091344 695792322 /nfs/dbraw/zinc/79/23/22/695792322.db2.gz CPEMFHCLZKKIGN-CYBMUJFWSA-N 1 2 310.829 1.771 20 30 DDEDLO C[C@H](C(=O)N[C@@H](Cn1cc[nH+]c1)C(C)(C)C)n1cnc(C#N)n1 ZINC000798927339 700105699 /nfs/dbraw/zinc/10/56/99/700105699.db2.gz RQKCPIKUUFAFIG-NEPJUHHUSA-N 1 2 315.381 1.138 20 30 DDEDLO C=CCSCCNC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000157072229 696972924 /nfs/dbraw/zinc/97/29/24/696972924.db2.gz ACJAWEFPPKHJJA-UHFFFAOYSA-N 1 2 322.434 1.430 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)c2ccnc3[nH]cnc32)CC1 ZINC000981258370 697048551 /nfs/dbraw/zinc/04/85/51/697048551.db2.gz GLSNNDRQNJYNQW-UHFFFAOYSA-N 1 2 319.796 1.858 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)c2ccnc3[nH]cnc32)CC1 ZINC000981258370 697048554 /nfs/dbraw/zinc/04/85/54/697048554.db2.gz GLSNNDRQNJYNQW-UHFFFAOYSA-N 1 2 319.796 1.858 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cc2cn(C)nc2C)CC1 ZINC000981347749 697072447 /nfs/dbraw/zinc/07/24/47/697072447.db2.gz HMHDSVCWGAVOQX-UHFFFAOYSA-N 1 2 310.829 1.558 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cc2cn(C)nc2C)CC1 ZINC000981347749 697072450 /nfs/dbraw/zinc/07/24/50/697072450.db2.gz HMHDSVCWGAVOQX-UHFFFAOYSA-N 1 2 310.829 1.558 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](C[C@H](O)c2ccc(F)cn2)CC1 ZINC000799310254 700134326 /nfs/dbraw/zinc/13/43/26/700134326.db2.gz FBHUWDREXZTGJZ-CVEARBPZSA-N 1 2 306.385 1.420 20 30 DDEDLO C#CCCCCNC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000159099365 697307150 /nfs/dbraw/zinc/30/71/50/697307150.db2.gz NGWAFIOQBIHPOT-UHFFFAOYSA-N 1 2 310.357 1.731 20 30 DDEDLO O=C1C=C([NH2+]Nc2nc(-c3ccncc3)no2)[C@H]2CCCCN12 ZINC000799479439 700143850 /nfs/dbraw/zinc/14/38/50/700143850.db2.gz RKPRYHRUDNRVOJ-GFCCVEGCSA-N 1 2 312.333 1.684 20 30 DDEDLO COC[C@@H](C)ON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181843414 697465638 /nfs/dbraw/zinc/46/56/38/697465638.db2.gz KUZVYPDPXOSANA-UKRRQHHQSA-N 1 2 307.394 1.211 20 30 DDEDLO COC[C@@H](C)ON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181843414 697465640 /nfs/dbraw/zinc/46/56/40/697465640.db2.gz KUZVYPDPXOSANA-UKRRQHHQSA-N 1 2 307.394 1.211 20 30 DDEDLO C#C[C@@H](C)NC(=O)c1cccc(NC(=O)CCn2cc[nH+]c2)c1 ZINC000185372576 697517385 /nfs/dbraw/zinc/51/73/85/697517385.db2.gz XNIFWFCOBPNEQC-CYBMUJFWSA-N 1 2 310.357 1.663 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)CCc2c[nH]c[nH+]2)c1 ZINC000189171874 697572358 /nfs/dbraw/zinc/57/23/58/697572358.db2.gz WHDLNTRBMJRDEO-UHFFFAOYSA-N 1 2 310.357 1.421 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)CCc2c[nH+]c[nH]2)c1 ZINC000189171874 697572361 /nfs/dbraw/zinc/57/23/61/697572361.db2.gz WHDLNTRBMJRDEO-UHFFFAOYSA-N 1 2 310.357 1.421 20 30 DDEDLO C[C@@H]1[C@@H]([NH2+]Cc2cnsn2)CCN1C(=O)c1ccc(C#N)[nH]1 ZINC000986164855 697713029 /nfs/dbraw/zinc/71/30/29/697713029.db2.gz BPLWQMIICHNFEU-SKDRFNHKSA-N 1 2 316.390 1.131 20 30 DDEDLO C[C@H]1C[N@H+](CCOC(=O)COc2ccc(C#N)cc2)CCO1 ZINC000800082163 700184986 /nfs/dbraw/zinc/18/49/86/700184986.db2.gz KBIMHRNXGVKADP-ZDUSSCGKSA-N 1 2 304.346 1.201 20 30 DDEDLO C[C@H]1C[N@@H+](CCOC(=O)COc2ccc(C#N)cc2)CCO1 ZINC000800082163 700184989 /nfs/dbraw/zinc/18/49/89/700184989.db2.gz KBIMHRNXGVKADP-ZDUSSCGKSA-N 1 2 304.346 1.201 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@H+](C[C@H](C)O)C[C@@H]1C ZINC000249454627 698392297 /nfs/dbraw/zinc/39/22/97/698392297.db2.gz GEOQYBGNHFLPLO-GJZGRUSLSA-N 1 2 320.499 1.674 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@@H+](C[C@H](C)O)C[C@@H]1C ZINC000249454627 698392304 /nfs/dbraw/zinc/39/23/04/698392304.db2.gz GEOQYBGNHFLPLO-GJZGRUSLSA-N 1 2 320.499 1.674 20 30 DDEDLO C[C@H]1C[C@H]([NH2+]Cc2cnsn2)CN1C(=O)c1c[nH]c(C#N)c1 ZINC000988620194 698420698 /nfs/dbraw/zinc/42/06/98/698420698.db2.gz HMQYAXXUAMZWNS-CABZTGNLSA-N 1 2 316.390 1.131 20 30 DDEDLO COc1ccc(N[NH+]=Cc2ccc(N(C)CCO)cc2)nc1 ZINC000780271857 698502747 /nfs/dbraw/zinc/50/27/47/698502747.db2.gz YMBBYUUASBAEPT-UHFFFAOYSA-N 1 2 300.362 1.965 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)N2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000780848119 698562914 /nfs/dbraw/zinc/56/29/14/698562914.db2.gz JZOGJCOCSUACEN-QGZVFWFLSA-N 1 2 322.368 1.335 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cc2c(C)n[nH]c2C)CC1 ZINC000989645831 698706034 /nfs/dbraw/zinc/70/60/34/698706034.db2.gz AWIKWRDHIZILQB-UHFFFAOYSA-N 1 2 310.829 1.856 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cc2c(C)n[nH]c2C)CC1 ZINC000989645831 698706037 /nfs/dbraw/zinc/70/60/37/698706037.db2.gz AWIKWRDHIZILQB-UHFFFAOYSA-N 1 2 310.829 1.856 20 30 DDEDLO Cn1cc(CO[NH+]=C(N)c2ccc(Br)cc2)nn1 ZINC000782949468 698788176 /nfs/dbraw/zinc/78/81/76/698788176.db2.gz IEWQGSRITCGELM-UHFFFAOYSA-N 1 2 310.155 1.415 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)[C@H](C(C)C)[NH+]1CCCCC1 ZINC000783618074 698859594 /nfs/dbraw/zinc/85/95/94/698859594.db2.gz KZQMVIIMGLXIIC-AWEZNQCLSA-N 1 2 316.467 1.214 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](CCC(=O)Nc2sccc2C(N)=O)C1 ZINC000784452985 698939562 /nfs/dbraw/zinc/93/95/62/698939562.db2.gz GXUJFCIXUDPEAO-HNNXBMFYSA-N 1 2 320.418 1.801 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](CCC(=O)Nc2sccc2C(N)=O)C1 ZINC000784452985 698939564 /nfs/dbraw/zinc/93/95/64/698939564.db2.gz GXUJFCIXUDPEAO-HNNXBMFYSA-N 1 2 320.418 1.801 20 30 DDEDLO CN(C(=O)OC(C)(C)C)[C@H]1CCC[N@H+](CC(=O)NCCC#N)C1 ZINC000725811174 699335060 /nfs/dbraw/zinc/33/50/60/699335060.db2.gz DHPWIPSTVGMYEC-ZDUSSCGKSA-N 1 2 324.425 1.348 20 30 DDEDLO CN(C(=O)OC(C)(C)C)[C@H]1CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000725811174 699335064 /nfs/dbraw/zinc/33/50/64/699335064.db2.gz DHPWIPSTVGMYEC-ZDUSSCGKSA-N 1 2 324.425 1.348 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(Cn2cncn2)cc1 ZINC000730277705 699506093 /nfs/dbraw/zinc/50/60/93/699506093.db2.gz WJDMDFMJXZRYOP-INIZCTEOSA-N 1 2 309.373 1.363 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(Cn2cncn2)cc1 ZINC000730277705 699506094 /nfs/dbraw/zinc/50/60/94/699506094.db2.gz WJDMDFMJXZRYOP-INIZCTEOSA-N 1 2 309.373 1.363 20 30 DDEDLO COCCN(C)[NH+]=Cc1c(Cl)nc(N)nc1N1CCCC1 ZINC000794972175 699838870 /nfs/dbraw/zinc/83/88/70/699838870.db2.gz ZYLUREFOCKVABB-UHFFFAOYSA-N 1 2 312.805 1.225 20 30 DDEDLO CNC(=O)[C@@H](C#N)C(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000796643460 699944327 /nfs/dbraw/zinc/94/43/27/699944327.db2.gz MQQFTCBGHNYHRE-QMMMGPOBSA-N 1 2 306.272 1.078 20 30 DDEDLO CCc1ccc(C(=O)N2CC[NH+](CCO[C@H](C)C#N)CC2)cc1 ZINC000801911013 700352183 /nfs/dbraw/zinc/35/21/83/700352183.db2.gz RULBTYRYBWPKQI-OAHLLOKOSA-N 1 2 315.417 1.935 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](CCO[C@@H](C)C#N)CC2)c(C)o1 ZINC000801916251 700352885 /nfs/dbraw/zinc/35/28/85/700352885.db2.gz SXSMOVDAEABVFF-ZDUSSCGKSA-N 1 2 305.378 1.583 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)O[C@@H]1CC[N@H+](CCF)C1 ZINC000802242329 700388476 /nfs/dbraw/zinc/38/84/76/700388476.db2.gz ZXOATVXJEOHRLR-IUODEOHRSA-N 1 2 306.337 1.913 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)O[C@@H]1CC[N@@H+](CCF)C1 ZINC000802242329 700388480 /nfs/dbraw/zinc/38/84/80/700388480.db2.gz ZXOATVXJEOHRLR-IUODEOHRSA-N 1 2 306.337 1.913 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1COc2cc(F)cc(F)c21 ZINC000753304615 700420726 /nfs/dbraw/zinc/42/07/26/700420726.db2.gz RLOMZANLRUKYQG-STQMWFEESA-N 1 2 306.312 1.612 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1COc2cc(F)cc(F)c21 ZINC000753304615 700420729 /nfs/dbraw/zinc/42/07/29/700420729.db2.gz RLOMZANLRUKYQG-STQMWFEESA-N 1 2 306.312 1.612 20 30 DDEDLO C[N@H+](CC(=O)[C@H](C#N)C(=O)Nc1ccccn1)[C@@H]1CCSC1 ZINC000753951931 700470989 /nfs/dbraw/zinc/47/09/89/700470989.db2.gz ZNCWIZPWYOYHFN-NEPJUHHUSA-N 1 2 318.402 1.166 20 30 DDEDLO C[N@@H+](CC(=O)[C@H](C#N)C(=O)Nc1ccccn1)[C@@H]1CCSC1 ZINC000753951931 700470990 /nfs/dbraw/zinc/47/09/90/700470990.db2.gz ZNCWIZPWYOYHFN-NEPJUHHUSA-N 1 2 318.402 1.166 20 30 DDEDLO Cc1ccn(C[N@@H+](C)[C@H]2CCCc3c2cnn3C)c(=O)c1C#N ZINC000758241161 700693411 /nfs/dbraw/zinc/69/34/11/700693411.db2.gz SCWPPGIOQSFNAM-HNNXBMFYSA-N 1 2 311.389 1.729 20 30 DDEDLO Cc1ccn(C[N@H+](C)[C@H]2CCCc3c2cnn3C)c(=O)c1C#N ZINC000758241161 700693412 /nfs/dbraw/zinc/69/34/12/700693412.db2.gz SCWPPGIOQSFNAM-HNNXBMFYSA-N 1 2 311.389 1.729 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@H+]1CC[C@H](N2CC(=O)Nc3ccccc32)C1 ZINC000759898276 700780503 /nfs/dbraw/zinc/78/05/03/700780503.db2.gz KPJWJZSFQIIZOK-GJZGRUSLSA-N 1 2 323.400 1.963 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@@H+]1CC[C@H](N2CC(=O)Nc3ccccc32)C1 ZINC000759898276 700780504 /nfs/dbraw/zinc/78/05/04/700780504.db2.gz KPJWJZSFQIIZOK-GJZGRUSLSA-N 1 2 323.400 1.963 20 30 DDEDLO N#CCOc1cccc(CC(=O)NCCNc2cccc[nH+]2)c1 ZINC000760312551 700801586 /nfs/dbraw/zinc/80/15/86/700801586.db2.gz JLAAVUSISKBJSP-UHFFFAOYSA-N 1 2 310.357 1.755 20 30 DDEDLO COc1ccc(OC)c([C@@H](O)C[NH+]2CCN(CCC#N)CC2)c1 ZINC000760945175 700830834 /nfs/dbraw/zinc/83/08/34/700830834.db2.gz WMNIATHBWCIAQL-INIZCTEOSA-N 1 2 319.405 1.268 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(OCC)c(CO)c1 ZINC000763027904 700920703 /nfs/dbraw/zinc/92/07/03/700920703.db2.gz FZHKRZRZHDOICG-HNNXBMFYSA-N 1 2 302.374 1.614 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(OCC)c(CO)c1 ZINC000763027904 700920705 /nfs/dbraw/zinc/92/07/05/700920705.db2.gz FZHKRZRZHDOICG-HNNXBMFYSA-N 1 2 302.374 1.614 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cccc([N+](=O)[O-])c2NC)CC1 ZINC000765438811 701012798 /nfs/dbraw/zinc/01/27/98/701012798.db2.gz OOTMGVKVPMJYFT-UHFFFAOYSA-N 1 2 316.361 1.418 20 30 DDEDLO CC(=[NH+]NC1=NC(=O)C(=O)N1)c1ccc(N2CCCCC2)cc1 ZINC000765595289 701020396 /nfs/dbraw/zinc/02/03/96/701020396.db2.gz IDWRWSXGCDZBJE-UHFFFAOYSA-N 1 2 313.361 1.003 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2sc(NC3CC3)nc2C)CC1 ZINC000771005499 701303436 /nfs/dbraw/zinc/30/34/36/701303436.db2.gz MIYHMAAOSPXLJK-UHFFFAOYSA-N 1 2 318.446 1.807 20 30 DDEDLO CC(=[NH+]Nc1ccc(S(N)(=O)=O)cc1)c1ccc(N)nc1 ZINC000814681144 701740845 /nfs/dbraw/zinc/74/08/45/701740845.db2.gz YSWFNGPDJSIDSR-UHFFFAOYSA-N 1 2 305.363 1.147 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)C[N@@H+]1CC(=O)NCCC#N ZINC000879221265 706619266 /nfs/dbraw/zinc/61/92/66/706619266.db2.gz JWBHUKLARAKCAA-NWDGAFQWSA-N 1 2 310.398 1.004 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)C[N@H+]1CC(=O)NCCC#N ZINC000879221265 706619269 /nfs/dbraw/zinc/61/92/69/706619269.db2.gz JWBHUKLARAKCAA-NWDGAFQWSA-N 1 2 310.398 1.004 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CCCC[C@@H]2[C@H]2CCC[C@@H]2O)c1=S ZINC000840114447 701975854 /nfs/dbraw/zinc/97/58/54/701975854.db2.gz ILXCCBNDHQCCTM-MCIONIFRSA-N 1 2 323.466 1.968 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CCCC[C@@H]2[C@H]2CCC[C@@H]2O)c1=S ZINC000840114447 701975859 /nfs/dbraw/zinc/97/58/59/701975859.db2.gz ILXCCBNDHQCCTM-MCIONIFRSA-N 1 2 323.466 1.968 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)Cc3ncc[nH]3)CC2)cc1 ZINC000816805706 702194478 /nfs/dbraw/zinc/19/44/78/702194478.db2.gz GKOFHZMVLBGZKE-UHFFFAOYSA-N 1 2 309.373 1.168 20 30 DDEDLO N#Cc1ccc(CNC(=O)/C=C\C[NH+]2CCOCC2)cc1F ZINC000868792335 702322675 /nfs/dbraw/zinc/32/26/75/702322675.db2.gz GQPQHEFEEYMSNV-UPHRSURJSA-N 1 2 303.337 1.202 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC(F)(F)[C@@H](C(=O)OCC)C2)C1=O ZINC000879368483 706664173 /nfs/dbraw/zinc/66/41/73/706664173.db2.gz QDQMXGFMRJPHKQ-VXGBXAGGSA-N 1 2 316.348 1.294 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC(F)(F)[C@@H](C(=O)OCC)C2)C1=O ZINC000879368483 706664174 /nfs/dbraw/zinc/66/41/74/706664174.db2.gz QDQMXGFMRJPHKQ-VXGBXAGGSA-N 1 2 316.348 1.294 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@H]2CCC[N@@H+]2Cc2ccccn2)nc1 ZINC000813708950 702403062 /nfs/dbraw/zinc/40/30/62/702403062.db2.gz BLZQVOQUTCTFBW-QGZVFWFLSA-N 1 2 321.384 1.629 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@H]2CCC[N@H+]2Cc2ccccn2)nc1 ZINC000813708950 702403066 /nfs/dbraw/zinc/40/30/66/702403066.db2.gz BLZQVOQUTCTFBW-QGZVFWFLSA-N 1 2 321.384 1.629 20 30 DDEDLO C[C@H]([NH2+]CC1(OCCO)CCOCC1)c1cccc(C#N)c1O ZINC000866353460 706679738 /nfs/dbraw/zinc/67/97/38/706679738.db2.gz HAMWNGZSFWRDEE-ZDUSSCGKSA-N 1 2 320.389 1.473 20 30 DDEDLO COc1ccc(C(N)=[NH+]O[C@@H]2CCN(C(C)(C)C)C2=O)cc1 ZINC000818076004 702571765 /nfs/dbraw/zinc/57/17/65/702571765.db2.gz BAROPFCAYYYDIU-CYBMUJFWSA-N 1 2 305.378 1.732 20 30 DDEDLO C#CC1CC[NH+]([C@H]2CC(=O)N(c3ccccc3F)C2=O)CC1 ZINC000843269207 702852316 /nfs/dbraw/zinc/85/23/16/702852316.db2.gz LSFJAVPACULBNQ-HNNXBMFYSA-N 1 2 300.333 1.803 20 30 DDEDLO C#C[C@H]1CCCN(C(=O)C2CC[NH+](Cc3nccn3C)CC2)C1 ZINC000848282638 703535724 /nfs/dbraw/zinc/53/57/24/703535724.db2.gz XWEQDHSFVBYZJX-HNNXBMFYSA-N 1 2 314.433 1.504 20 30 DDEDLO Cn1cc(C=NNCCCn2cc[nH+]c2)c(-c2cccnc2)n1 ZINC000848418527 703548220 /nfs/dbraw/zinc/54/82/20/703548220.db2.gz PCQYLQDSZGUIAO-UHFFFAOYSA-N 1 2 309.377 1.692 20 30 DDEDLO Cc1cc2c(c(F)c1)NC(=O)C2=NNCCCn1cc[nH+]c1 ZINC000848418890 703548536 /nfs/dbraw/zinc/54/85/36/703548536.db2.gz OFEHGIQZQPYFMK-UHFFFAOYSA-N 1 2 301.325 1.667 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000870158611 703937200 /nfs/dbraw/zinc/93/72/00/703937200.db2.gz LUDPVUCYAHIVJJ-KRWDZBQOSA-N 1 2 304.390 1.276 20 30 DDEDLO CC(C)CC(=O)N1CCC[N@H+](CC(=O)NC2(C#N)CCC2)CC1 ZINC000879760656 706782818 /nfs/dbraw/zinc/78/28/18/706782818.db2.gz IIUFAEUAXFAHOS-UHFFFAOYSA-N 1 2 320.437 1.129 20 30 DDEDLO CC(C)CC(=O)N1CCC[N@@H+](CC(=O)NC2(C#N)CCC2)CC1 ZINC000879760656 706782820 /nfs/dbraw/zinc/78/28/20/706782820.db2.gz IIUFAEUAXFAHOS-UHFFFAOYSA-N 1 2 320.437 1.129 20 30 DDEDLO N#Cc1ccc2[nH]c(NC(=O)C=CC[NH+]3CCOCC3)nc2c1 ZINC000871072415 704213481 /nfs/dbraw/zinc/21/34/81/704213481.db2.gz LJMABUQHTOMIHO-OWOJBTEDSA-N 1 2 311.345 1.261 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C[C@]2(CCSC2)C1=O)[C@@H](C)COC ZINC000853532779 704261153 /nfs/dbraw/zinc/26/11/53/704261153.db2.gz MOSJCZNWVXBYIG-WFASDCNBSA-N 1 2 312.435 1.349 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C[C@]2(CCSC2)C1=O)[C@@H](C)COC ZINC000853532779 704261155 /nfs/dbraw/zinc/26/11/55/704261155.db2.gz MOSJCZNWVXBYIG-WFASDCNBSA-N 1 2 312.435 1.349 20 30 DDEDLO C=CC[N@H+](CN1C(=O)[C@]2(CCCO2)[C@H]1C1CC1)[C@H](C)COC ZINC000853535153 704262196 /nfs/dbraw/zinc/26/21/96/704262196.db2.gz PUEUQRDYMZUWBY-UNEWFSDZSA-N 1 2 308.422 1.637 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)[C@]2(CCCO2)[C@H]1C1CC1)[C@H](C)COC ZINC000853535153 704262197 /nfs/dbraw/zinc/26/21/97/704262197.db2.gz PUEUQRDYMZUWBY-UNEWFSDZSA-N 1 2 308.422 1.637 20 30 DDEDLO C#C[C@@H](NC(=O)N(C)CC[NH+]1CCOCC1)c1ccccc1 ZINC000820606186 704309545 /nfs/dbraw/zinc/30/95/45/704309545.db2.gz UUVPTOAPMQLHRN-MRXNPFEDSA-N 1 2 301.390 1.335 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)c1 ZINC000854263096 704373240 /nfs/dbraw/zinc/37/32/40/704373240.db2.gz VATAOROQYKCRNZ-KBPBESRZSA-N 1 2 301.390 1.899 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)c1 ZINC000854263096 704373243 /nfs/dbraw/zinc/37/32/43/704373243.db2.gz VATAOROQYKCRNZ-KBPBESRZSA-N 1 2 301.390 1.899 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000832761238 706977461 /nfs/dbraw/zinc/97/74/61/706977461.db2.gz UBVNOVNJPUMZAS-CYBMUJFWSA-N 1 2 310.357 1.535 20 30 DDEDLO C=CCONC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000834919990 707147658 /nfs/dbraw/zinc/14/76/58/707147658.db2.gz DZQWJNHCCHQOLD-OAHLLOKOSA-N 1 2 305.378 1.304 20 30 DDEDLO C=CCONC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000834919990 707147661 /nfs/dbraw/zinc/14/76/61/707147661.db2.gz DZQWJNHCCHQOLD-OAHLLOKOSA-N 1 2 305.378 1.304 20 30 DDEDLO C=CCONC(=O)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000875649564 705462907 /nfs/dbraw/zinc/46/29/07/705462907.db2.gz RVIWNDJIZKPKHC-MRXNPFEDSA-N 1 2 319.405 1.613 20 30 DDEDLO C=CCONC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000875649564 705462909 /nfs/dbraw/zinc/46/29/09/705462909.db2.gz RVIWNDJIZKPKHC-MRXNPFEDSA-N 1 2 319.405 1.613 20 30 DDEDLO C#CC[C@H](COC)NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000825079919 705595846 /nfs/dbraw/zinc/59/58/46/705595846.db2.gz ALRYOJCPLDTXBV-WBVHZDCISA-N 1 2 316.401 1.424 20 30 DDEDLO C#CC[C@H](COC)NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000825079919 705595850 /nfs/dbraw/zinc/59/58/50/705595850.db2.gz ALRYOJCPLDTXBV-WBVHZDCISA-N 1 2 316.401 1.424 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+]1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000876652745 705803711 /nfs/dbraw/zinc/80/37/11/705803711.db2.gz ROWCVYLYVNLAGS-NVXWUHKLSA-N 1 2 312.417 1.867 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+]1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000876652745 705803713 /nfs/dbraw/zinc/80/37/13/705803713.db2.gz ROWCVYLYVNLAGS-NVXWUHKLSA-N 1 2 312.417 1.867 20 30 DDEDLO C=CCNC(=O)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000864421436 706163919 /nfs/dbraw/zinc/16/39/19/706163919.db2.gz DDCAFKRVHVEDPO-MRXNPFEDSA-N 1 2 303.406 1.681 20 30 DDEDLO C=CCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000864421436 706163921 /nfs/dbraw/zinc/16/39/21/706163921.db2.gz DDCAFKRVHVEDPO-MRXNPFEDSA-N 1 2 303.406 1.681 20 30 DDEDLO C=C(Cl)C[C@@H]([NH2+]CC1CCS(=O)(=O)CC1)C(=O)OCC ZINC000877671999 706176301 /nfs/dbraw/zinc/17/63/01/706176301.db2.gz UIRFKBDWLKKRIR-GFCCVEGCSA-N 1 2 323.842 1.475 20 30 DDEDLO C#CCC[NH+]1CCN(Cc2ccc(S(=O)(=O)CC)cc2)CC1 ZINC000828519596 706193355 /nfs/dbraw/zinc/19/33/55/706193355.db2.gz VDYPFKGZMRCUIV-UHFFFAOYSA-N 1 2 320.458 1.621 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)C1(C#N)CCSCC1 ZINC000828933268 706258645 /nfs/dbraw/zinc/25/86/45/706258645.db2.gz KWKHHPACTHPXJU-ZDUSSCGKSA-N 1 2 311.451 1.250 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)C1(C#N)CCSCC1 ZINC000828933268 706258647 /nfs/dbraw/zinc/25/86/47/706258647.db2.gz KWKHHPACTHPXJU-ZDUSSCGKSA-N 1 2 311.451 1.250 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)[C@]2(C#N)CCCOC2)c1C ZINC000865064584 706341017 /nfs/dbraw/zinc/34/10/17/706341017.db2.gz UPJWDSRQYXQTEH-INIZCTEOSA-N 1 2 303.362 1.644 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2nc(CC(F)(F)F)no2)CC1 ZINC000880479745 706987384 /nfs/dbraw/zinc/98/73/84/706987384.db2.gz WQIANGXOIBZORG-UHFFFAOYSA-N 1 2 303.284 1.525 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cccc(CC#N)n1 ZINC000881143490 707135215 /nfs/dbraw/zinc/13/52/15/707135215.db2.gz SVEBFQMBJCCHIN-CQSZACIVSA-N 1 2 317.393 1.380 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cccc(CC#N)n1 ZINC000881143490 707135218 /nfs/dbraw/zinc/13/52/18/707135218.db2.gz SVEBFQMBJCCHIN-CQSZACIVSA-N 1 2 317.393 1.380 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cccc(CC#N)n1 ZINC000881143488 707135325 /nfs/dbraw/zinc/13/53/25/707135325.db2.gz SVEBFQMBJCCHIN-AWEZNQCLSA-N 1 2 317.393 1.380 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1cccc(CC#N)n1 ZINC000881143488 707135327 /nfs/dbraw/zinc/13/53/27/707135327.db2.gz SVEBFQMBJCCHIN-AWEZNQCLSA-N 1 2 317.393 1.380 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cccc(C(=O)OCC)n2)nn1 ZINC000881298103 707186139 /nfs/dbraw/zinc/18/61/39/707186139.db2.gz SGUAJTBMWMZJJD-UHFFFAOYSA-N 1 2 313.361 1.011 20 30 DDEDLO CN(CN1C[C@H](c2c[nH+]cn2C)CC1=O)[C@H]1CCC[C@@H]1C#N ZINC000872684556 707558087 /nfs/dbraw/zinc/55/80/87/707558087.db2.gz OYZJKEZTBBOFIM-MCIONIFRSA-N 1 2 301.394 1.318 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)CC1 ZINC000872901934 707645978 /nfs/dbraw/zinc/64/59/78/707645978.db2.gz JFJOSLBYIGHPNY-KLHDSHLOSA-N 1 2 307.438 1.587 20 30 DDEDLO CC(C)(C)C#CC(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000837586181 707688893 /nfs/dbraw/zinc/68/88/93/707688893.db2.gz ZJDOMDLISDIEHX-UHFFFAOYSA-N 1 2 313.401 1.518 20 30 DDEDLO CC(C)(C)C#CC(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000837586181 707688896 /nfs/dbraw/zinc/68/88/96/707688896.db2.gz ZJDOMDLISDIEHX-UHFFFAOYSA-N 1 2 313.401 1.518 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)c1cc(C)sc1C ZINC000884078019 708129425 /nfs/dbraw/zinc/12/94/25/708129425.db2.gz DKJCKCFMTVZIIY-GWCFXTLKSA-N 1 2 310.419 1.989 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1cnn(C(C)C)c1 ZINC000884136834 708156574 /nfs/dbraw/zinc/15/65/74/708156574.db2.gz WSHJCQXNEYJEEB-YPMHNXCESA-N 1 2 308.382 1.088 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCO[C@@H](c2ccsc2)C1 ZINC000884162323 708166683 /nfs/dbraw/zinc/16/66/83/708166683.db2.gz BTNJTANOVLVWRH-QWHCGFSZSA-N 1 2 324.402 1.095 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCC[C@@H]1SCC ZINC000884169502 708170149 /nfs/dbraw/zinc/17/01/49/708170149.db2.gz DVTDBYZOUYXVME-TUAOUCFPSA-N 1 2 300.424 1.223 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1c2ccccc2CC[C@H]1C ZINC000884316955 708241087 /nfs/dbraw/zinc/24/10/87/708241087.db2.gz HPOXNHSECHIWDF-PVUWLOKVSA-N 1 2 316.401 1.873 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC2(CC2(F)F)CC1 ZINC000884383124 708273011 /nfs/dbraw/zinc/27/30/11/708273011.db2.gz ATKOAEZRJRUUEB-JTQLQIEISA-N 1 2 302.321 1.081 20 30 DDEDLO CC1=C[C@@H](C)C[C@H](C[N@@H+]2C[C@@H]3CS(=O)(=O)C[C@@]3(C#N)C2)C1 ZINC000897294127 708281654 /nfs/dbraw/zinc/28/16/54/708281654.db2.gz KWZOIPZZYIVOHR-SLBVQIDZSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=C[C@@H](C)C[C@H](C[N@H+]2C[C@@H]3CS(=O)(=O)C[C@@]3(C#N)C2)C1 ZINC000897294127 708281656 /nfs/dbraw/zinc/28/16/56/708281656.db2.gz KWZOIPZZYIVOHR-SLBVQIDZSA-N 1 2 308.447 1.849 20 30 DDEDLO C#C[C@H]1CCCCN1c1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000897360408 708305017 /nfs/dbraw/zinc/30/50/17/708305017.db2.gz GBYHVOZOOXPYMG-AWEZNQCLSA-N 1 2 300.406 1.820 20 30 DDEDLO C#C[C@H]1CCCCN1c1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000897360408 708305019 /nfs/dbraw/zinc/30/50/19/708305019.db2.gz GBYHVOZOOXPYMG-AWEZNQCLSA-N 1 2 300.406 1.820 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)/C=C\c2ccc(F)cc2)C1 ZINC000885508014 708561951 /nfs/dbraw/zinc/56/19/51/708561951.db2.gz BBLDUSRMZLVPHM-CKDIWJPDSA-N 1 2 322.405 1.813 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)/C=C\c2ccc(F)cc2)C1 ZINC000885508014 708561953 /nfs/dbraw/zinc/56/19/53/708561953.db2.gz BBLDUSRMZLVPHM-CKDIWJPDSA-N 1 2 322.405 1.813 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)C[C@@H]2C(C)(C)C2(F)F)C1 ZINC000885509611 708562537 /nfs/dbraw/zinc/56/25/37/708562537.db2.gz UUGQJYVOBLSUPM-VXGBXAGGSA-N 1 2 320.405 1.295 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)C[C@@H]2C(C)(C)C2(F)F)C1 ZINC000885509611 708562540 /nfs/dbraw/zinc/56/25/40/708562540.db2.gz UUGQJYVOBLSUPM-VXGBXAGGSA-N 1 2 320.405 1.295 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)C2Cc3ccccc3C2)C1 ZINC000885511567 708563098 /nfs/dbraw/zinc/56/30/98/708563098.db2.gz JUKXRKJQWGICMN-MRXNPFEDSA-N 1 2 318.442 1.171 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)C2Cc3ccccc3C2)C1 ZINC000885511567 708563099 /nfs/dbraw/zinc/56/30/99/708563099.db2.gz JUKXRKJQWGICMN-MRXNPFEDSA-N 1 2 318.442 1.171 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccccc2CC)C1 ZINC000885511585 708563144 /nfs/dbraw/zinc/56/31/44/708563144.db2.gz KFLCLJYGEJQGTO-HNNXBMFYSA-N 1 2 306.431 1.625 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccccc2CC)C1 ZINC000885511585 708563146 /nfs/dbraw/zinc/56/31/46/708563146.db2.gz KFLCLJYGEJQGTO-HNNXBMFYSA-N 1 2 306.431 1.625 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000927765369 713050715 /nfs/dbraw/zinc/05/07/15/713050715.db2.gz NTTIHCJIFVXOEX-UHFFFAOYSA-N 1 2 304.375 1.908 20 30 DDEDLO N#Cc1ccc([C@@H]2CN(C(=O)CNc3cccc[nH+]3)CCO2)cc1 ZINC000887736583 709114494 /nfs/dbraw/zinc/11/44/94/709114494.db2.gz DVQLYLKDMPPVRZ-INIZCTEOSA-N 1 2 322.368 1.965 20 30 DDEDLO O=C(CNc1cccc[nH+]1)N1CCC2(CC1)CC(=O)C=CO2 ZINC000887769303 709120596 /nfs/dbraw/zinc/12/05/96/709120596.db2.gz CSEBRWZPCJXXSA-UHFFFAOYSA-N 1 2 301.346 1.358 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+]3CCSC[C@@H]23)cc1O ZINC000928088392 713124976 /nfs/dbraw/zinc/12/49/76/713124976.db2.gz HEHYUBWWTHQYAF-KBPBESRZSA-N 1 2 317.414 1.573 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCC[N@H+]3CCSC[C@@H]23)cc1O ZINC000928088392 713124979 /nfs/dbraw/zinc/12/49/79/713124979.db2.gz HEHYUBWWTHQYAF-KBPBESRZSA-N 1 2 317.414 1.573 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)OCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000910248365 709838862 /nfs/dbraw/zinc/83/88/62/709838862.db2.gz ZDLXWXKLTJARFH-OKILXGFUSA-N 1 2 316.357 1.587 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000910248365 709838866 /nfs/dbraw/zinc/83/88/66/709838866.db2.gz ZDLXWXKLTJARFH-OKILXGFUSA-N 1 2 316.357 1.587 20 30 DDEDLO C[C@@H]1CCN(C(=O)c2cccc(C#CCO)c2)C[C@H]1n1cc[nH+]c1 ZINC000901042331 709896361 /nfs/dbraw/zinc/89/63/61/709896361.db2.gz OXTRFERCEVFCBC-CRAIPNDOSA-N 1 2 323.396 1.950 20 30 DDEDLO C=CCOC[C@H]([NH2+]Cc1ccc(-n2cc[nH+]c2)cc1)C(=O)[O-] ZINC000901808296 710152386 /nfs/dbraw/zinc/15/23/86/710152386.db2.gz CZFUDXMOLOVXOD-HNNXBMFYSA-N 1 2 301.346 1.618 20 30 DDEDLO CCOCC[NH+]1CCN(c2cc(C#N)sc2[N+](=O)[O-])CC1 ZINC000892354508 710422425 /nfs/dbraw/zinc/42/24/25/710422425.db2.gz NTWMEZYTQNYCSD-UHFFFAOYSA-N 1 2 310.379 1.686 20 30 DDEDLO CC1(C)CN(c2cc(C#N)sc2[N+](=O)[O-])CC[N@@H+]1CCO ZINC000893368476 710620287 /nfs/dbraw/zinc/62/02/87/710620287.db2.gz KKICMGQWRNAXGK-UHFFFAOYSA-N 1 2 310.379 1.421 20 30 DDEDLO CC1(C)CN(c2cc(C#N)sc2[N+](=O)[O-])CC[N@H+]1CCO ZINC000893368476 710620290 /nfs/dbraw/zinc/62/02/90/710620290.db2.gz KKICMGQWRNAXGK-UHFFFAOYSA-N 1 2 310.379 1.421 20 30 DDEDLO N#Cc1c(=O)n(Cc2[nH+]ccn2C(F)F)cc2c1CCCC2=O ZINC000902469805 710763488 /nfs/dbraw/zinc/76/34/88/710763488.db2.gz AWPSHUGYDKFPCN-UHFFFAOYSA-N 1 2 318.283 1.879 20 30 DDEDLO CCS(=O)(=O)NC1CC[NH+](CC#Cc2ccc(F)cc2)CC1 ZINC000903009261 710994330 /nfs/dbraw/zinc/99/43/30/710994330.db2.gz XJVYJVOBZFTUCM-UHFFFAOYSA-N 1 2 324.421 1.581 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)CCOCC1 ZINC000913458581 713224825 /nfs/dbraw/zinc/22/48/25/713224825.db2.gz QOGNFFFZVSAHHX-AWEZNQCLSA-N 1 2 304.394 1.256 20 30 DDEDLO CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1cccc(C)c1C#N ZINC000903198028 711061752 /nfs/dbraw/zinc/06/17/52/711061752.db2.gz CXRUEUVCWUYDPG-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cccc(C)c1C#N ZINC000903198028 711061755 /nfs/dbraw/zinc/06/17/55/711061755.db2.gz CXRUEUVCWUYDPG-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[NH2+][C@@H](c2cnn(C)c2)C1)OCC ZINC000913464365 713227831 /nfs/dbraw/zinc/22/78/31/713227831.db2.gz RSAHLGOTLFFUAP-CABCVRRESA-N 1 2 306.410 1.264 20 30 DDEDLO C=CCOCCCNC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000912500423 711354327 /nfs/dbraw/zinc/35/43/27/711354327.db2.gz KHFCSABGDANQPC-UHFFFAOYSA-N 1 2 321.421 1.143 20 30 DDEDLO COc1ccc2oc(C[NH+]3CCN(C(=O)CC#N)CC3)cc2c1 ZINC000895066435 711394607 /nfs/dbraw/zinc/39/46/07/711394607.db2.gz RNDISRKPVVGHMQ-UHFFFAOYSA-N 1 2 313.357 1.999 20 30 DDEDLO COC(=O)c1cc(C[N@@H+]2CC[C@@](O)(CC#N)C2)[nH]c1C(C)C ZINC000895079288 711399343 /nfs/dbraw/zinc/39/93/43/711399343.db2.gz QLRIMBWQJNVRHD-INIZCTEOSA-N 1 2 305.378 1.775 20 30 DDEDLO COC(=O)c1cc(C[N@H+]2CC[C@@](O)(CC#N)C2)[nH]c1C(C)C ZINC000895079288 711399349 /nfs/dbraw/zinc/39/93/49/711399349.db2.gz QLRIMBWQJNVRHD-INIZCTEOSA-N 1 2 305.378 1.775 20 30 DDEDLO CCCn1c2ccccc2nc1C[NH2+][C@@H]1CCCN(O)C1=O ZINC000895164511 711439187 /nfs/dbraw/zinc/43/91/87/711439187.db2.gz DAELPIKOZHSBGP-CYBMUJFWSA-N 1 2 302.378 1.916 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(CC#N)cc1)[C@@H]1CN(C)CC[N@@H+]1C ZINC000896551833 711749024 /nfs/dbraw/zinc/74/90/24/711749024.db2.gz HMPYANDRIARCQB-CJNGLKHVSA-N 1 2 300.406 1.117 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(CC#N)cc1)[C@@H]1CN(C)CC[N@H+]1C ZINC000896551833 711749025 /nfs/dbraw/zinc/74/90/25/711749025.db2.gz HMPYANDRIARCQB-CJNGLKHVSA-N 1 2 300.406 1.117 20 30 DDEDLO C=CC[C@@H]([NH2+]C1CCC(O)(c2cccnc2)CC1)C(=O)OC ZINC000905765100 712141818 /nfs/dbraw/zinc/14/18/18/712141818.db2.gz MUTVWFUFKRWALA-ISXOHVOVSA-N 1 2 304.390 1.919 20 30 DDEDLO C[C@H]1CN(C(=O)[C@]2(C#N)CC3CCC2CC3)CC[N@@H+]1CCO ZINC000907544399 712592352 /nfs/dbraw/zinc/59/23/52/712592352.db2.gz QLNIBJNVKPVTLN-GIOUXUJVSA-N 1 2 305.422 1.231 20 30 DDEDLO C[C@H]1CN(C(=O)[C@]2(C#N)CC3CCC2CC3)CC[N@H+]1CCO ZINC000907544399 712592353 /nfs/dbraw/zinc/59/23/53/712592353.db2.gz QLNIBJNVKPVTLN-GIOUXUJVSA-N 1 2 305.422 1.231 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)c2c(C)cc(C)[nH+]c2C)C1=O ZINC000907960863 712655695 /nfs/dbraw/zinc/65/56/95/712655695.db2.gz XVARYOTZGUNZQU-CQSZACIVSA-N 1 2 301.390 1.866 20 30 DDEDLO C#C[C@@H](NC(=O)/C=C(/C)C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000908324952 712742060 /nfs/dbraw/zinc/74/20/60/712742060.db2.gz VZYYEKGVIMLYKB-BHEFUSTPSA-N 1 2 316.376 1.895 20 30 DDEDLO Cc1ccc(CNC(=O)N[C@H](C)C[NH+]2CCOCC2)cc1C#N ZINC000914083576 713340970 /nfs/dbraw/zinc/34/09/70/713340970.db2.gz UWUXFHFVYDQTTQ-CQSZACIVSA-N 1 2 316.405 1.387 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000908649579 712828856 /nfs/dbraw/zinc/82/88/56/712828856.db2.gz AOPQYHSVTMLBKJ-KGLIPLIRSA-N 1 2 301.390 1.972 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(CCO[C@H]3CCOC3)CC2)cc1 ZINC000929237111 713573525 /nfs/dbraw/zinc/57/35/25/713573525.db2.gz PELWJJMERJTZMN-SFHVURJKSA-N 1 2 315.417 1.481 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(Cc2cncc(C#N)c2)CC1 ZINC000929899431 713715113 /nfs/dbraw/zinc/71/51/13/713715113.db2.gz DASZUENPXGQLMV-UHFFFAOYSA-N 1 2 311.389 1.876 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1nc(C[NH+](C)C)cs1)[C@@H]1CCCO1 ZINC000922046258 713945551 /nfs/dbraw/zinc/94/55/51/713945551.db2.gz BWPOVQJAFKGGRA-OLZOCXBDSA-N 1 2 322.434 1.185 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C)C(=O)Nc2ccc(C)cc2F)CC1 ZINC000931144842 714015141 /nfs/dbraw/zinc/01/51/41/714015141.db2.gz HPHQFGHGKHQQFI-CYBMUJFWSA-N 1 2 304.365 1.921 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2ccc(SC)cc2)CC1 ZINC000931145829 714015672 /nfs/dbraw/zinc/01/56/72/714015672.db2.gz GNRCJCOCQHQVKV-UHFFFAOYSA-N 1 2 304.415 1.807 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCCC[C@H]1CS(N)(=O)=O ZINC000931229946 714042806 /nfs/dbraw/zinc/04/28/06/714042806.db2.gz QUKWEXJNAKWWMV-AWEZNQCLSA-N 1 2 323.418 1.210 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCCC[C@H]1CS(N)(=O)=O ZINC000931229946 714042810 /nfs/dbraw/zinc/04/28/10/714042810.db2.gz QUKWEXJNAKWWMV-AWEZNQCLSA-N 1 2 323.418 1.210 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)NCC1(C#N)CCCC1 ZINC000923060334 714225021 /nfs/dbraw/zinc/22/50/21/714225021.db2.gz RGDZYYJDJWQNIK-AWEZNQCLSA-N 1 2 308.426 1.480 20 30 DDEDLO CN(C[C@@](C)(O)C[N@@H+]1CCC[C@@H](C#N)C1)C(=O)OC(C)(C)C ZINC000932154925 714272914 /nfs/dbraw/zinc/27/29/14/714272914.db2.gz RTPZVFRDDYZMOO-XJKSGUPXSA-N 1 2 311.426 1.840 20 30 DDEDLO CN(C[C@@](C)(O)C[N@H+]1CCC[C@@H](C#N)C1)C(=O)OC(C)(C)C ZINC000932154925 714272916 /nfs/dbraw/zinc/27/29/16/714272916.db2.gz RTPZVFRDDYZMOO-XJKSGUPXSA-N 1 2 311.426 1.840 20 30 DDEDLO N#C[C@H]1CCC[N@@H+](C[C@@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000932161979 714275286 /nfs/dbraw/zinc/27/52/86/714275286.db2.gz CURYQXPCUMPWAA-TZMCWYRMSA-N 1 2 305.334 1.570 20 30 DDEDLO N#C[C@H]1CCC[N@H+](C[C@@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000932161979 714275289 /nfs/dbraw/zinc/27/52/89/714275289.db2.gz CURYQXPCUMPWAA-TZMCWYRMSA-N 1 2 305.334 1.570 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)c2cnn(C(C)(C)C)c2)C1 ZINC000923582150 714415485 /nfs/dbraw/zinc/41/54/85/714415485.db2.gz GGYRIIQQESJXIR-CQSZACIVSA-N 1 2 316.405 1.035 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)c2cnn(C(C)(C)C)c2)C1 ZINC000923582150 714415487 /nfs/dbraw/zinc/41/54/87/714415487.db2.gz GGYRIIQQESJXIR-CQSZACIVSA-N 1 2 316.405 1.035 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@H+](CCO[C@H]3CCOC3)CCO2)cc1 ZINC000933630153 714634507 /nfs/dbraw/zinc/63/45/07/714634507.db2.gz GDCHNABJGKODJD-DLBZAZTESA-N 1 2 302.374 1.737 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@@H+](CCO[C@H]3CCOC3)CCO2)cc1 ZINC000933630153 714634508 /nfs/dbraw/zinc/63/45/08/714634508.db2.gz GDCHNABJGKODJD-DLBZAZTESA-N 1 2 302.374 1.737 20 30 DDEDLO C#CCCN(CCOC)C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000924784108 714663425 /nfs/dbraw/zinc/66/34/25/714663425.db2.gz ANHNKPFPCXYTAX-UHFFFAOYSA-N 1 2 324.450 1.386 20 30 DDEDLO C=CC[C@H]1CC[N@H+]1CC(=O)N(CC(=O)N(C)C)CC(F)(F)F ZINC000934459736 714826561 /nfs/dbraw/zinc/82/65/61/714826561.db2.gz CVUSDIYKGXGPTQ-NSHDSACASA-N 1 2 321.343 1.116 20 30 DDEDLO C=CC[C@H]1CC[N@@H+]1CC(=O)N(CC(=O)N(C)C)CC(F)(F)F ZINC000934459736 714826567 /nfs/dbraw/zinc/82/65/67/714826567.db2.gz CVUSDIYKGXGPTQ-NSHDSACASA-N 1 2 321.343 1.116 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)NC(=O)Nc1ccc(OC)cc1 ZINC000934513489 714840000 /nfs/dbraw/zinc/84/00/00/714840000.db2.gz PPUVGGHSXXOJAA-CQSZACIVSA-N 1 2 315.373 1.831 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)NC(=O)Nc1ccc(OC)cc1 ZINC000934513489 714840001 /nfs/dbraw/zinc/84/00/01/714840001.db2.gz PPUVGGHSXXOJAA-CQSZACIVSA-N 1 2 315.373 1.831 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@@](C)(C#N)C1 ZINC000925593692 714895184 /nfs/dbraw/zinc/89/51/84/714895184.db2.gz IAPOISIZXBWZQS-HOCLYGCPSA-N 1 2 308.426 1.432 20 30 DDEDLO CC[N@@H+](Cc1cnsn1)CC1CCN(C(=O)[C@H](C)C#N)CC1 ZINC000957692537 716004344 /nfs/dbraw/zinc/00/43/44/716004344.db2.gz WRDWSUJZMXAERV-GFCCVEGCSA-N 1 2 321.450 1.758 20 30 DDEDLO CC[N@H+](Cc1cnsn1)CC1CCN(C(=O)[C@H](C)C#N)CC1 ZINC000957692537 716004351 /nfs/dbraw/zinc/00/43/51/716004351.db2.gz WRDWSUJZMXAERV-GFCCVEGCSA-N 1 2 321.450 1.758 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C[C@H]1NCC#N ZINC000939455987 716261818 /nfs/dbraw/zinc/26/18/18/716261818.db2.gz BOVIWTHKJLGSLZ-CZUORRHYSA-N 1 2 309.373 1.446 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2csnc2C)C1 ZINC000957983046 716262041 /nfs/dbraw/zinc/26/20/41/716262041.db2.gz QGMUXJHWNBWKIC-CMPLNLGQSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2csnc2C)C1 ZINC000957983046 716262045 /nfs/dbraw/zinc/26/20/45/716262045.db2.gz QGMUXJHWNBWKIC-CMPLNLGQSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2occc2CC)C1 ZINC000958374341 716509850 /nfs/dbraw/zinc/50/98/50/716509850.db2.gz MFNFFLVHELIPNJ-QWHCGFSZSA-N 1 2 312.797 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2occc2CC)C1 ZINC000958374341 716509852 /nfs/dbraw/zinc/50/98/52/716509852.db2.gz MFNFFLVHELIPNJ-QWHCGFSZSA-N 1 2 312.797 1.617 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960617870 716633942 /nfs/dbraw/zinc/63/39/42/716633942.db2.gz OBDDQVUWDIAGRX-DOMZBBRYSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960617870 716633945 /nfs/dbraw/zinc/63/39/45/716633945.db2.gz OBDDQVUWDIAGRX-DOMZBBRYSA-N 1 2 316.405 1.775 20 30 DDEDLO Cn1ccc(C[N@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960628977 716638369 /nfs/dbraw/zinc/63/83/69/716638369.db2.gz ZTWVOYCDKQMGHM-INIZCTEOSA-N 1 2 300.406 1.256 20 30 DDEDLO Cn1ccc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960628977 716638374 /nfs/dbraw/zinc/63/83/74/716638374.db2.gz ZTWVOYCDKQMGHM-INIZCTEOSA-N 1 2 300.406 1.256 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@H]([C@H]2CCN(CC#N)C2)C1 ZINC000961259760 716886069 /nfs/dbraw/zinc/88/60/69/716886069.db2.gz FHHHEDKGEHLZHN-GJZGRUSLSA-N 1 2 315.421 1.047 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nnc(C)o4)C[C@H]32)cc1 ZINC000961441361 716948216 /nfs/dbraw/zinc/94/82/16/716948216.db2.gz LRAVCSJGWPMVES-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nnc(C)o4)C[C@H]32)cc1 ZINC000961441361 716948218 /nfs/dbraw/zinc/94/82/18/716948218.db2.gz LRAVCSJGWPMVES-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)CC1 ZINC000941306204 717151489 /nfs/dbraw/zinc/15/14/89/717151489.db2.gz KPORUKIFINLERV-OAHLLOKOSA-N 1 2 316.405 1.347 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@]3(C)CCC[C@@H]3CC)CC2)C1 ZINC000941456862 717180539 /nfs/dbraw/zinc/18/05/39/717180539.db2.gz UXNPUYODUAKTSN-LPHOPBHVSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)Cc3ccccc3)CC2)C1 ZINC000941463035 717181639 /nfs/dbraw/zinc/18/16/39/717181639.db2.gz JUHFSBANZWDQAA-UHFFFAOYSA-N 1 2 311.429 1.081 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cccc(F)c3F)CC2)C1 ZINC000941538876 717199905 /nfs/dbraw/zinc/19/99/05/717199905.db2.gz OFMCMRVWYUTQJV-UHFFFAOYSA-N 1 2 319.355 1.040 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc(C)c(CC)o3)CC2)C1 ZINC000941636678 717238901 /nfs/dbraw/zinc/23/89/01/717238901.db2.gz SWCWNEOJGARFDU-UHFFFAOYSA-N 1 2 315.417 1.226 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC000964986686 717499023 /nfs/dbraw/zinc/49/90/23/717499023.db2.gz GZWMIEIOTFEYQP-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC000965845555 717780452 /nfs/dbraw/zinc/78/04/52/717780452.db2.gz MOFDMFHRSICDEN-GXFFZTMASA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC000965845555 717780457 /nfs/dbraw/zinc/78/04/57/717780457.db2.gz MOFDMFHRSICDEN-GXFFZTMASA-N 1 2 309.797 1.980 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC000945438983 718462170 /nfs/dbraw/zinc/46/21/70/718462170.db2.gz LYSUGDNHBRLXNW-KBPBESRZSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC000966669949 718623513 /nfs/dbraw/zinc/62/35/13/718623513.db2.gz JRPRLALJJFAGKK-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966896159 718699543 /nfs/dbraw/zinc/69/95/43/718699543.db2.gz NGEWJDZFLFEDHD-SKDRFNHKSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966896159 718699545 /nfs/dbraw/zinc/69/95/45/718699545.db2.gz NGEWJDZFLFEDHD-SKDRFNHKSA-N 1 2 310.785 1.375 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CN(CC#N)CC[C@H]2C)c[nH+]1 ZINC000966907909 718706854 /nfs/dbraw/zinc/70/68/54/718706854.db2.gz HVIXQKXVHVGJCS-OCCSQVGLSA-N 1 2 303.410 1.277 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC000968609770 719690412 /nfs/dbraw/zinc/69/04/12/719690412.db2.gz LAJNSEXLXOJOFS-GXFFZTMASA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC000968609770 719690414 /nfs/dbraw/zinc/69/04/14/719690414.db2.gz LAJNSEXLXOJOFS-GXFFZTMASA-N 1 2 309.797 1.980 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnsn1 ZINC000948894846 719771869 /nfs/dbraw/zinc/77/18/69/719771869.db2.gz QVWBTZZNPJAQDU-HNNXBMFYSA-N 1 2 312.398 1.501 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnsn1 ZINC000948894846 719771871 /nfs/dbraw/zinc/77/18/71/719771871.db2.gz QVWBTZZNPJAQDU-HNNXBMFYSA-N 1 2 312.398 1.501 20 30 DDEDLO N#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000968925508 719874627 /nfs/dbraw/zinc/87/46/27/719874627.db2.gz FWWYEXKYHJWHRL-GJZGRUSLSA-N 1 2 315.421 1.330 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2c(OC)cccc2OC)CC1 ZINC000949129969 719922442 /nfs/dbraw/zinc/92/24/42/719922442.db2.gz CUUBBDDGJZMJEF-UHFFFAOYSA-N 1 2 304.390 1.577 20 30 DDEDLO C=CCC[NH+]1CCN(C(=O)Cc2cc(=O)n(CC(C)C)[nH]2)CC1 ZINC000949141216 719927561 /nfs/dbraw/zinc/92/75/61/719927561.db2.gz UEYHVJQLOMNCBP-UHFFFAOYSA-N 1 2 320.437 1.095 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccsn3)CC2)C1 ZINC000949394810 720059578 /nfs/dbraw/zinc/05/95/78/720059578.db2.gz FJRWIIHNPCTAEF-UHFFFAOYSA-N 1 2 305.403 1.083 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccsn3)CC2)C1 ZINC000949394810 720059583 /nfs/dbraw/zinc/05/95/83/720059583.db2.gz FJRWIIHNPCTAEF-UHFFFAOYSA-N 1 2 305.403 1.083 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccncc2OC(C)C)CC1 ZINC000949468382 720109114 /nfs/dbraw/zinc/10/91/14/720109114.db2.gz JXZPXSWSSUACDA-UHFFFAOYSA-N 1 2 301.390 1.650 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc(OCC)n[nH]2)C1 ZINC000969936810 720566893 /nfs/dbraw/zinc/56/68/93/720566893.db2.gz VGVXYFCKGJHENW-JTQLQIEISA-N 1 2 312.801 1.611 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc3n(n2)CCCO3)C1 ZINC000970124422 720634429 /nfs/dbraw/zinc/63/44/29/720634429.db2.gz MDCNVGTZMMGJBN-NSHDSACASA-N 1 2 324.812 1.468 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCc3ncncc3C2)C1 ZINC000950500634 720659408 /nfs/dbraw/zinc/65/94/08/720659408.db2.gz HIDZNXBXVMFLOM-ZDUSSCGKSA-N 1 2 300.406 1.300 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cncc3ncn(C)c32)C1 ZINC000950540215 720675628 /nfs/dbraw/zinc/67/56/28/720675628.db2.gz OMBXGLGKWOJRPK-UHFFFAOYSA-N 1 2 313.405 1.691 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@H]2CCc3[nH+]c(C)[nH]c3C2)C1 ZINC000950662694 720725110 /nfs/dbraw/zinc/72/51/10/720725110.db2.gz MERZCZTZEAXGEX-AWEZNQCLSA-N 1 2 314.433 1.379 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2ccc(CNC(C)=O)cc2)C1 ZINC000950787720 720775179 /nfs/dbraw/zinc/77/51/79/720775179.db2.gz SSYSFAQSHUTVIK-UHFFFAOYSA-N 1 2 315.417 1.655 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2conc2C(F)(F)F)C1 ZINC000950882300 720810939 /nfs/dbraw/zinc/81/09/39/720810939.db2.gz NBMMPHIRYJDCIR-UHFFFAOYSA-N 1 2 315.295 1.863 20 30 DDEDLO C[C@H](NC(=O)c1ncccn1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970625535 720856050 /nfs/dbraw/zinc/85/60/50/720856050.db2.gz PECQSKBGWIFSSE-ZDUSSCGKSA-N 1 2 321.384 1.599 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)C2CCN(C(=O)C(C)C)CC2)C1 ZINC000951108153 720920328 /nfs/dbraw/zinc/92/03/28/720920328.db2.gz SGTMZOLTKOAUBD-UHFFFAOYSA-N 1 2 321.465 1.600 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H](CC)OC)C1 ZINC000971190784 721165437 /nfs/dbraw/zinc/16/54/37/721165437.db2.gz JABJMJGRUGQZMH-NWDGAFQWSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@@H](CC)OC)C1 ZINC000971190784 721165439 /nfs/dbraw/zinc/16/54/39/721165439.db2.gz JABJMJGRUGQZMH-NWDGAFQWSA-N 1 2 319.243 1.853 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)[C@H](C)C#N)C2)sc1C ZINC000971212422 721180902 /nfs/dbraw/zinc/18/09/02/721180902.db2.gz YQFYUYFOIXHWRM-MFKMUULPSA-N 1 2 306.435 1.952 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)[C@H](C)C#N)C2)sc1C ZINC000971212422 721180904 /nfs/dbraw/zinc/18/09/04/721180904.db2.gz YQFYUYFOIXHWRM-MFKMUULPSA-N 1 2 306.435 1.952 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)cs1 ZINC000971504159 721326132 /nfs/dbraw/zinc/32/61/32/721326132.db2.gz KGOLOYFZMSNPDB-OAHLLOKOSA-N 1 2 303.431 1.898 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)cs1 ZINC000971504159 721326135 /nfs/dbraw/zinc/32/61/35/721326135.db2.gz KGOLOYFZMSNPDB-OAHLLOKOSA-N 1 2 303.431 1.898 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)Cn2cccn2)C1 ZINC001006744590 738506650 /nfs/dbraw/zinc/50/66/50/738506650.db2.gz IQASACUWBNPKEP-KRWDZBQOSA-N 1 2 323.400 1.536 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)Cn2cccn2)C1 ZINC001006744590 738506653 /nfs/dbraw/zinc/50/66/53/738506653.db2.gz IQASACUWBNPKEP-KRWDZBQOSA-N 1 2 323.400 1.536 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCN(c3cc[nH+]c(C)n3)C2)cc1 ZINC001058510794 732409283 /nfs/dbraw/zinc/40/92/83/732409283.db2.gz FGCOHFVEQNQKFN-MRXNPFEDSA-N 1 2 306.369 1.775 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCc4ccccc4C3)[C@H]2C1 ZINC001083206271 733234509 /nfs/dbraw/zinc/23/45/09/733234509.db2.gz QQDTVHNIHNXGHT-CEXWTWQISA-N 1 2 324.424 1.336 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCc4ccccc4C3)[C@H]2C1 ZINC001083206271 733234511 /nfs/dbraw/zinc/23/45/11/733234511.db2.gz QQDTVHNIHNXGHT-CEXWTWQISA-N 1 2 324.424 1.336 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001167363227 733297532 /nfs/dbraw/zinc/29/75/32/733297532.db2.gz RGVDIMMIKXEDTH-UHFFFAOYSA-N 1 2 322.457 1.626 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccc(C#N)nc1 ZINC001067578400 733713122 /nfs/dbraw/zinc/71/31/22/733713122.db2.gz WQLBMMIOLMTVFU-STQMWFEESA-N 1 2 324.388 1.393 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001022081387 734377310 /nfs/dbraw/zinc/37/73/10/734377310.db2.gz DRHHQYRPABNZCD-GRYCIOLGSA-N 1 2 308.813 1.819 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCC[C@H](NC(=O)c2ccccc2)C1 ZINC001167482430 734402017 /nfs/dbraw/zinc/40/20/17/734402017.db2.gz KNCIORCVHSCOIC-HOTGVXAUSA-N 1 2 316.401 1.999 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCC[C@H](NC(=O)c2ccccc2)C1 ZINC001167482430 734402020 /nfs/dbraw/zinc/40/20/20/734402020.db2.gz KNCIORCVHSCOIC-HOTGVXAUSA-N 1 2 316.401 1.999 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCCS1(=O)=O ZINC001027928636 738822794 /nfs/dbraw/zinc/82/27/94/738822794.db2.gz VBPGNPLGLRGYGK-ZIAGYGMSSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCCS1(=O)=O ZINC001027928636 738822799 /nfs/dbraw/zinc/82/27/99/738822799.db2.gz VBPGNPLGLRGYGK-ZIAGYGMSSA-N 1 2 314.451 1.110 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1CNC(=O)c1scnc1C(F)(F)F ZINC001027939367 738841398 /nfs/dbraw/zinc/84/13/98/738841398.db2.gz NUCWTHPPLGOXCV-VIFPVBQESA-N 1 2 317.336 1.989 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1scnc1C(F)(F)F ZINC001027939367 738841401 /nfs/dbraw/zinc/84/14/01/738841401.db2.gz NUCWTHPPLGOXCV-VIFPVBQESA-N 1 2 317.336 1.989 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001038259576 735071225 /nfs/dbraw/zinc/07/12/25/735071225.db2.gz HNXTWBZYNCRNJI-XHSDSOJGSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001038259576 735071227 /nfs/dbraw/zinc/07/12/27/735071227.db2.gz HNXTWBZYNCRNJI-XHSDSOJGSA-N 1 2 318.421 1.092 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2CCC[N@H+](Cc3ncccn3)C2)[nH]1 ZINC001023319340 735154342 /nfs/dbraw/zinc/15/43/42/735154342.db2.gz YPLUPYOPIDECMQ-ZDUSSCGKSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2CCC[N@@H+](Cc3ncccn3)C2)[nH]1 ZINC001023319340 735154348 /nfs/dbraw/zinc/15/43/48/735154348.db2.gz YPLUPYOPIDECMQ-ZDUSSCGKSA-N 1 2 324.388 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](CNC(=O)c2ncccc2O)C1 ZINC001023326441 735154995 /nfs/dbraw/zinc/15/49/95/735154995.db2.gz WNLZPKSFMRGSHG-LBPRGKRZSA-N 1 2 309.797 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](CNC(=O)c2ncccc2O)C1 ZINC001023326441 735154997 /nfs/dbraw/zinc/15/49/97/735154997.db2.gz WNLZPKSFMRGSHG-LBPRGKRZSA-N 1 2 309.797 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001027973534 738893059 /nfs/dbraw/zinc/89/30/59/738893059.db2.gz STQUAYLHDAETRG-KGLIPLIRSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001027973534 738893062 /nfs/dbraw/zinc/89/30/62/738893062.db2.gz STQUAYLHDAETRG-KGLIPLIRSA-N 1 2 322.840 1.779 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@H+](C)Cc3coc(C)n3)C2)cn1 ZINC000972756230 735598457 /nfs/dbraw/zinc/59/84/57/735598457.db2.gz JXJYNXSBEWVEEM-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@@H+](C)Cc3coc(C)n3)C2)cn1 ZINC000972756230 735598461 /nfs/dbraw/zinc/59/84/61/735598461.db2.gz JXJYNXSBEWVEEM-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1COCCN1C ZINC001024392827 735810018 /nfs/dbraw/zinc/81/00/18/735810018.db2.gz WREIQMDMJTVEEB-KGLIPLIRSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1COCCN1C ZINC001024392827 735810021 /nfs/dbraw/zinc/81/00/21/735810021.db2.gz WREIQMDMJTVEEB-KGLIPLIRSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnn(CCF)c1 ZINC001027985743 738910521 /nfs/dbraw/zinc/91/05/21/738910521.db2.gz NYRUXPFASXTQKC-ZDUSSCGKSA-N 1 2 314.792 1.799 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnn(CCF)c1 ZINC001027985743 738910523 /nfs/dbraw/zinc/91/05/23/738910523.db2.gz NYRUXPFASXTQKC-ZDUSSCGKSA-N 1 2 314.792 1.799 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](NC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)cn1 ZINC001058591176 736027250 /nfs/dbraw/zinc/02/72/50/736027250.db2.gz YGNJCRNXOGXGDR-NWANDNLSSA-N 1 2 322.372 1.175 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](NC(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)cn1 ZINC001058591176 736027253 /nfs/dbraw/zinc/02/72/53/736027253.db2.gz YGNJCRNXOGXGDR-NWANDNLSSA-N 1 2 322.372 1.175 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cccn(C)c1=O ZINC001024766327 736076792 /nfs/dbraw/zinc/07/67/92/736076792.db2.gz PJBPCPIWWGOHOF-ZDUSSCGKSA-N 1 2 323.824 1.722 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cccn(C)c1=O ZINC001024766327 736076799 /nfs/dbraw/zinc/07/67/99/736076799.db2.gz PJBPCPIWWGOHOF-ZDUSSCGKSA-N 1 2 323.824 1.722 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@H]2CCCCN2C(=O)C#CC2CC2)no1 ZINC001024960465 736184970 /nfs/dbraw/zinc/18/49/70/736184970.db2.gz UKYOVFUQLWSWOG-SWLSCSKDSA-N 1 2 316.405 1.823 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001111993978 736392049 /nfs/dbraw/zinc/39/20/49/736392049.db2.gz TVAIYRMRNZLFOJ-HNNXBMFYSA-N 1 2 318.421 1.853 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129147691 751384198 /nfs/dbraw/zinc/38/41/98/751384198.db2.gz LWHDYTFMWQDZTC-CABCVRRESA-N 1 2 315.421 1.245 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129147691 751384200 /nfs/dbraw/zinc/38/42/00/751384200.db2.gz LWHDYTFMWQDZTC-CABCVRRESA-N 1 2 315.421 1.245 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@@H]2C[N@@H+](Cc3cscn3)CC[C@@H]2C1 ZINC001087961861 740887886 /nfs/dbraw/zinc/88/78/86/740887886.db2.gz MROLBLYISINYBY-CABCVRRESA-N 1 2 315.442 1.837 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@@H]2C[N@H+](Cc3cscn3)CC[C@@H]2C1 ZINC001087961861 740887890 /nfs/dbraw/zinc/88/78/90/740887890.db2.gz MROLBLYISINYBY-CABCVRRESA-N 1 2 315.442 1.837 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001104858114 737293738 /nfs/dbraw/zinc/29/37/38/737293738.db2.gz ZEJFURRQQUUSDN-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)N(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001104858114 737293740 /nfs/dbraw/zinc/29/37/40/737293740.db2.gz ZEJFURRQQUUSDN-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO O=C(NC[C@@H]1CCC[N@@H+]1CC#Cc1ccccc1)c1cnco1 ZINC001028053121 738969902 /nfs/dbraw/zinc/96/99/02/738969902.db2.gz UZTGZKKXQLVPQP-INIZCTEOSA-N 1 2 309.369 1.921 20 30 DDEDLO O=C(NC[C@@H]1CCC[N@H+]1CC#Cc1ccccc1)c1cnco1 ZINC001028053121 738969903 /nfs/dbraw/zinc/96/99/03/738969903.db2.gz UZTGZKKXQLVPQP-INIZCTEOSA-N 1 2 309.369 1.921 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CC(C)(C)C)C2)nn1 ZINC001098614808 737410624 /nfs/dbraw/zinc/41/06/24/737410624.db2.gz ACFSUMPEFXEFOC-AWEZNQCLSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C3=CCCCCC3)C2)nn1 ZINC001105219910 737620686 /nfs/dbraw/zinc/62/06/86/737620686.db2.gz XEXBNJCODFRJBO-UHFFFAOYSA-N 1 2 313.405 1.275 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)C(C)(C)C)C2)nn1 ZINC001105270651 737699710 /nfs/dbraw/zinc/69/97/10/737699710.db2.gz GSDMDAPWSAHTPB-GFCCVEGCSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105305482 737794887 /nfs/dbraw/zinc/79/48/87/737794887.db2.gz DPIGGLFVDXBWIO-VXGBXAGGSA-N 1 2 309.389 1.179 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105305481 737795040 /nfs/dbraw/zinc/79/50/40/737795040.db2.gz DPIGGLFVDXBWIO-RYUDHWBXSA-N 1 2 309.389 1.179 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cnc(C)s1 ZINC001038707587 738154664 /nfs/dbraw/zinc/15/46/64/738154664.db2.gz HQGFFWLIBFTCSB-CFVMTHIKSA-N 1 2 321.446 1.733 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnc(C)s1 ZINC001038707587 738154665 /nfs/dbraw/zinc/15/46/65/738154665.db2.gz HQGFFWLIBFTCSB-CFVMTHIKSA-N 1 2 321.446 1.733 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC001027402914 738264022 /nfs/dbraw/zinc/26/40/22/738264022.db2.gz VCUWHQSBCWQNDN-IUODEOHRSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC001027402914 738264023 /nfs/dbraw/zinc/26/40/23/738264023.db2.gz VCUWHQSBCWQNDN-IUODEOHRSA-N 1 2 316.405 1.775 20 30 DDEDLO C#CC[N@@H+](C)[C@@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001027410543 738270965 /nfs/dbraw/zinc/27/09/65/738270965.db2.gz FLDKMJPJIAZFHZ-KGLIPLIRSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@H+](C)[C@@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001027410543 738270969 /nfs/dbraw/zinc/27/09/69/738270969.db2.gz FLDKMJPJIAZFHZ-KGLIPLIRSA-N 1 2 300.406 1.386 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@H](CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001035316752 751403215 /nfs/dbraw/zinc/40/32/15/751403215.db2.gz AKOYYVQXQRVSFB-CQSZACIVSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@H](CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001035316752 751403218 /nfs/dbraw/zinc/40/32/18/751403218.db2.gz AKOYYVQXQRVSFB-CQSZACIVSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001028145817 739090466 /nfs/dbraw/zinc/09/04/66/739090466.db2.gz QYHNLOLREHRPNT-BFHYXJOUSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001028145817 739090468 /nfs/dbraw/zinc/09/04/68/739090468.db2.gz QYHNLOLREHRPNT-BFHYXJOUSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccnn2C2CCC2)C1 ZINC001035367974 751432656 /nfs/dbraw/zinc/43/26/56/751432656.db2.gz KKOMHPOTIFJATN-HNNXBMFYSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccnn2C2CCC2)C1 ZINC001035367974 751432661 /nfs/dbraw/zinc/43/26/61/751432661.db2.gz KKOMHPOTIFJATN-HNNXBMFYSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001028293315 739313059 /nfs/dbraw/zinc/31/30/59/739313059.db2.gz HJNCPDCXQFXUCJ-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001028293315 739313062 /nfs/dbraw/zinc/31/30/62/739313062.db2.gz HJNCPDCXQFXUCJ-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)C(F)F)C1 ZINC001087451138 739336553 /nfs/dbraw/zinc/33/65/53/739336553.db2.gz DWWCGJGCRJUEOT-ZIAGYGMSSA-N 1 2 310.319 1.998 20 30 DDEDLO C#CC[N@H+]1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)C(F)F)C1 ZINC001087451138 739336554 /nfs/dbraw/zinc/33/65/54/739336554.db2.gz DWWCGJGCRJUEOT-ZIAGYGMSSA-N 1 2 310.319 1.998 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3cc(C)ccn32)C1 ZINC001035380502 751449361 /nfs/dbraw/zinc/44/93/61/751449361.db2.gz RZONAZAMCKCSEE-AWEZNQCLSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3cc(C)ccn32)C1 ZINC001035380502 751449365 /nfs/dbraw/zinc/44/93/65/751449365.db2.gz RZONAZAMCKCSEE-AWEZNQCLSA-N 1 2 314.389 1.259 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccn(C(F)F)n2)C1 ZINC001035381950 751452121 /nfs/dbraw/zinc/45/21/21/751452121.db2.gz VZQOKSJNYNKAGF-NSHDSACASA-N 1 2 314.336 1.285 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccn(C(F)F)n2)C1 ZINC001035381950 751452125 /nfs/dbraw/zinc/45/21/25/751452125.db2.gz VZQOKSJNYNKAGF-NSHDSACASA-N 1 2 314.336 1.285 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccn(CC(C)C)n2)C1 ZINC001035387767 751457909 /nfs/dbraw/zinc/45/79/09/751457909.db2.gz QRWRMWWWLYPNEQ-AWEZNQCLSA-N 1 2 306.410 1.156 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccn(CC(C)C)n2)C1 ZINC001035387767 751457911 /nfs/dbraw/zinc/45/79/11/751457911.db2.gz QRWRMWWWLYPNEQ-AWEZNQCLSA-N 1 2 306.410 1.156 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(C3CC3)o2)C1 ZINC001035401243 751470988 /nfs/dbraw/zinc/47/09/88/751470988.db2.gz XEVHACKFDPHHAS-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnc(C3CC3)o2)C1 ZINC001035401243 751470990 /nfs/dbraw/zinc/47/09/90/751470990.db2.gz XEVHACKFDPHHAS-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(C(C)C)n2)C1 ZINC001035431769 751508593 /nfs/dbraw/zinc/50/85/93/751508593.db2.gz KDCAPEWSNXFWEP-AWEZNQCLSA-N 1 2 303.406 1.822 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(C(C)C)n2)C1 ZINC001035431769 751508599 /nfs/dbraw/zinc/50/85/99/751508599.db2.gz KDCAPEWSNXFWEP-AWEZNQCLSA-N 1 2 303.406 1.822 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001035511280 751568205 /nfs/dbraw/zinc/56/82/05/751568205.db2.gz PNKXMZTZJPLWNW-RDJZCZTQSA-N 1 2 315.417 1.190 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001035511280 751568211 /nfs/dbraw/zinc/56/82/11/751568211.db2.gz PNKXMZTZJPLWNW-RDJZCZTQSA-N 1 2 315.417 1.190 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2cnon2)[C@@H]1CC ZINC001087732287 740725226 /nfs/dbraw/zinc/72/52/26/740725226.db2.gz MWQHSLFOOWKXER-BARDWOONSA-N 1 2 320.393 1.130 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2cnon2)[C@@H]1CC ZINC001087732287 740725228 /nfs/dbraw/zinc/72/52/28/740725228.db2.gz MWQHSLFOOWKXER-BARDWOONSA-N 1 2 320.393 1.130 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N1CCCC1 ZINC001029421978 740757092 /nfs/dbraw/zinc/75/70/92/740757092.db2.gz PNKLYPAELSKDBL-GASCZTMLSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N1CCCC1 ZINC001029421978 740757095 /nfs/dbraw/zinc/75/70/95/740757095.db2.gz PNKLYPAELSKDBL-GASCZTMLSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@H](C)C(=O)NC ZINC001029456452 740834674 /nfs/dbraw/zinc/83/46/74/740834674.db2.gz DNLWVUYIFWCTGI-WVZRYYJFSA-N 1 2 307.438 1.398 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)NC ZINC001029456452 740834677 /nfs/dbraw/zinc/83/46/77/740834677.db2.gz DNLWVUYIFWCTGI-WVZRYYJFSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)nn(CC)c2C)C1 ZINC001035545164 751593179 /nfs/dbraw/zinc/59/31/79/751593179.db2.gz HFMJIMSDUVARBY-AWEZNQCLSA-N 1 2 306.410 1.136 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)nn(CC)c2C)C1 ZINC001035545164 751593185 /nfs/dbraw/zinc/59/31/85/751593185.db2.gz HFMJIMSDUVARBY-AWEZNQCLSA-N 1 2 306.410 1.136 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@](C)(C=C)CC)C2)nn1 ZINC001098721120 741073189 /nfs/dbraw/zinc/07/31/89/741073189.db2.gz BFFSVVWEAMERQI-NVXWUHKLSA-N 1 2 315.421 1.377 20 30 DDEDLO N#CCN[C@H]1CCCCCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001088337924 741410699 /nfs/dbraw/zinc/41/06/99/741410699.db2.gz QQNNLKRDYHRGLN-GJZGRUSLSA-N 1 2 315.421 1.330 20 30 DDEDLO COC(=O)[C@H](Cc1ccc(C#N)cc1)[NH2+][C@H]1CCO[C@@H](C)C1 ZINC001168102912 741447268 /nfs/dbraw/zinc/44/72/68/741447268.db2.gz HGRMIVVNUQZMFS-RCBQFDQVSA-N 1 2 302.374 1.799 20 30 DDEDLO N#CCN[C@H]1CCCCCN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001088381107 741450505 /nfs/dbraw/zinc/45/05/05/741450505.db2.gz XDCIEWMRIBRUJX-KBPBESRZSA-N 1 2 315.421 1.714 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@@H](NC(=O)Cc3c[nH+]cn3C)C2)n1 ZINC001059681588 741537435 /nfs/dbraw/zinc/53/74/35/741537435.db2.gz XZHNJOIJPOSZPY-OKILXGFUSA-N 1 2 324.388 1.297 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cnn(C)c2)C1 ZINC001032616233 751652142 /nfs/dbraw/zinc/65/21/42/751652142.db2.gz DSDQYTRBFBGBOT-GJZGRUSLSA-N 1 2 300.406 1.562 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cnn(C)c2)C1 ZINC001032616233 751652146 /nfs/dbraw/zinc/65/21/46/751652146.db2.gz DSDQYTRBFBGBOT-GJZGRUSLSA-N 1 2 300.406 1.562 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2sccc2COC)C1 ZINC001035578712 751667451 /nfs/dbraw/zinc/66/74/51/751667451.db2.gz YWKFBJRPSXQWLM-AWEZNQCLSA-N 1 2 322.430 1.348 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2sccc2COC)C1 ZINC001035578712 751667455 /nfs/dbraw/zinc/66/74/55/751667455.db2.gz YWKFBJRPSXQWLM-AWEZNQCLSA-N 1 2 322.430 1.348 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc3c(s2)CCOC3)C1 ZINC001035582489 751674640 /nfs/dbraw/zinc/67/46/40/751674640.db2.gz HTJUXWHUZBDCGH-CYBMUJFWSA-N 1 2 322.430 1.437 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc3c(s2)CCOC3)C1 ZINC001035582489 751674644 /nfs/dbraw/zinc/67/46/44/751674644.db2.gz HTJUXWHUZBDCGH-CYBMUJFWSA-N 1 2 322.430 1.437 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1OC ZINC001211999509 741935464 /nfs/dbraw/zinc/93/54/64/741935464.db2.gz NTIMFFBYDAATSG-HUUCEWRRSA-N 1 2 307.394 1.519 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1OC ZINC001211999509 741935466 /nfs/dbraw/zinc/93/54/66/741935466.db2.gz NTIMFFBYDAATSG-HUUCEWRRSA-N 1 2 307.394 1.519 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnc(C)cn2)C[C@H]1OC ZINC001212022258 741944754 /nfs/dbraw/zinc/94/47/54/741944754.db2.gz IUGRPVMIDNTDTL-NUEKZKHPSA-N 1 2 318.421 1.628 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnc(C)cn2)C[C@H]1OC ZINC001212022258 741944757 /nfs/dbraw/zinc/94/47/57/741944757.db2.gz IUGRPVMIDNTDTL-NUEKZKHPSA-N 1 2 318.421 1.628 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212039309 741950021 /nfs/dbraw/zinc/95/00/21/741950021.db2.gz JMQJGMPGPUSTCV-LERXQTSPSA-N 1 2 318.402 1.095 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212039309 741950023 /nfs/dbraw/zinc/95/00/23/741950023.db2.gz JMQJGMPGPUSTCV-LERXQTSPSA-N 1 2 318.402 1.095 20 30 DDEDLO Cc1nc(N2CCC[C@H](CNC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001059912010 741963494 /nfs/dbraw/zinc/96/34/94/741963494.db2.gz UJPCIBRVUQFTQP-CYBMUJFWSA-N 1 2 324.388 1.631 20 30 DDEDLO C=C(C)C(=O)N1CCC2(CC1)CN(c1cccc[nH+]1)CCO2 ZINC001142512441 742139499 /nfs/dbraw/zinc/13/94/99/742139499.db2.gz YCBOJXCGXJUEPO-UHFFFAOYSA-N 1 2 301.390 1.856 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001035618383 751725313 /nfs/dbraw/zinc/72/53/13/751725313.db2.gz FSCLDOJJWMMZLI-PHPOFCCKSA-N 1 2 304.434 1.672 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001035618383 751725315 /nfs/dbraw/zinc/72/53/15/751725315.db2.gz FSCLDOJJWMMZLI-PHPOFCCKSA-N 1 2 304.434 1.672 20 30 DDEDLO CCCCc1noc([C@@H](C)[NH2+]CCNC(=O)C#CC2CC2)n1 ZINC001126885058 742424378 /nfs/dbraw/zinc/42/43/78/742424378.db2.gz ANVSPJKOVPHWRX-GFCCVEGCSA-N 1 2 304.394 1.592 20 30 DDEDLO CCCCc1noc(C[NH2+]CCNC(=O)C#CC(C)(C)C)n1 ZINC001126893216 742439922 /nfs/dbraw/zinc/43/99/22/742439922.db2.gz GVJULLSMZCZWEO-UHFFFAOYSA-N 1 2 306.410 1.668 20 30 DDEDLO Cn1cccc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038063910 742490905 /nfs/dbraw/zinc/49/09/05/742490905.db2.gz IIQQIHQFJMKJNL-INIZCTEOSA-N 1 2 308.385 1.901 20 30 DDEDLO Cn1cccc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038063910 742490907 /nfs/dbraw/zinc/49/09/07/742490907.db2.gz IIQQIHQFJMKJNL-INIZCTEOSA-N 1 2 308.385 1.901 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(F)CC1 ZINC001121509821 782541289 /nfs/dbraw/zinc/54/12/89/782541289.db2.gz JPFZFCGQXKGCPD-QWHCGFSZSA-N 1 2 309.389 1.140 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(F)CC1 ZINC001121509821 782541296 /nfs/dbraw/zinc/54/12/96/782541296.db2.gz JPFZFCGQXKGCPD-QWHCGFSZSA-N 1 2 309.389 1.140 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](C)CCN1C(=O)CC1(C#N)CCCCC1 ZINC001181354322 743163801 /nfs/dbraw/zinc/16/38/01/743163801.db2.gz QDAQHOFOVLGKQR-CYBMUJFWSA-N 1 2 307.394 1.166 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](C)CCN1C(=O)CC1(C#N)CCCCC1 ZINC001181354322 743163803 /nfs/dbraw/zinc/16/38/03/743163803.db2.gz QDAQHOFOVLGKQR-CYBMUJFWSA-N 1 2 307.394 1.166 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001077104213 743245699 /nfs/dbraw/zinc/24/56/99/743245699.db2.gz SYFHEEFGUKHURA-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3cc(C)ccc3n2)C1 ZINC001077355859 743418696 /nfs/dbraw/zinc/41/86/96/743418696.db2.gz VJWNMQQOFSXJIR-QZTJIDSGSA-N 1 2 323.396 1.341 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3cc(C)ccc3n2)C1 ZINC001077355859 743418700 /nfs/dbraw/zinc/41/87/00/743418700.db2.gz VJWNMQQOFSXJIR-QZTJIDSGSA-N 1 2 323.396 1.341 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2occc2-c2ccccc2)[C@@H](O)C1 ZINC001083623058 743598554 /nfs/dbraw/zinc/59/85/54/743598554.db2.gz QBRUXIGBQWLMRP-SJORKVTESA-N 1 2 324.380 1.745 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2occc2-c2ccccc2)[C@@H](O)C1 ZINC001083623058 743598559 /nfs/dbraw/zinc/59/85/59/743598559.db2.gz QBRUXIGBQWLMRP-SJORKVTESA-N 1 2 324.380 1.745 20 30 DDEDLO C#CCOCCC(=O)NCC[NH2+][C@H](C)c1nc(CCCC)no1 ZINC001127027043 743612345 /nfs/dbraw/zinc/61/23/45/743612345.db2.gz FQFDKEUFXHSPPB-CYBMUJFWSA-N 1 2 322.409 1.219 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(C3CC3)no2)C1 ZINC001182414388 743618101 /nfs/dbraw/zinc/61/81/01/743618101.db2.gz JRWMWDPZAHGZAR-GXTWGEPZSA-N 1 2 316.405 1.858 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001182442879 743628190 /nfs/dbraw/zinc/62/81/90/743628190.db2.gz UAWDDHZJLHWGKV-WCQYABFASA-N 1 2 304.394 1.679 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1n[nH]nc1C)CC2 ZINC001035762078 751845123 /nfs/dbraw/zinc/84/51/23/751845123.db2.gz IJKNUWILMHVEDM-UHFFFAOYSA-N 1 2 309.801 1.404 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H](C)CC(C)C)C2)nn1 ZINC001098756800 744185822 /nfs/dbraw/zinc/18/58/22/744185822.db2.gz DPINWYSFRBMRDB-ZBFHGGJFSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C3CCCC3)C2)nn1 ZINC001185835208 744293121 /nfs/dbraw/zinc/29/31/21/744293121.db2.gz WJZXNRTWRQEGJW-INIZCTEOSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2nocc2C)[C@@H]1C ZINC001088868439 744293734 /nfs/dbraw/zinc/29/37/34/744293734.db2.gz DUBGIANNOPHABA-NYTXWWLZSA-N 1 2 319.405 1.653 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)[C@@H]1C ZINC001088868439 744293737 /nfs/dbraw/zinc/29/37/37/744293737.db2.gz DUBGIANNOPHABA-NYTXWWLZSA-N 1 2 319.405 1.653 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CCCC)C2)nn1 ZINC001185871865 744297119 /nfs/dbraw/zinc/29/71/19/744297119.db2.gz DGVGBDRKAUUAIP-OAHLLOKOSA-N 1 2 303.410 1.355 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)/C=C(\C)CC)C2)nn1 ZINC001185932016 744316489 /nfs/dbraw/zinc/31/64/89/744316489.db2.gz CYZSCSGRABYVQP-DKGMDFAASA-N 1 2 315.421 1.521 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@H](F)C(C)C)C2)nn1 ZINC001185984970 744317029 /nfs/dbraw/zinc/31/70/29/744317029.db2.gz UUHKYFWHAJIPJB-HUUCEWRRSA-N 1 2 321.400 1.159 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncc(OC)n2)[C@H]1C ZINC001088900260 744353687 /nfs/dbraw/zinc/35/36/87/744353687.db2.gz ANOVVPJVQBRGPO-MNOVXSKESA-N 1 2 310.785 1.430 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncc(OC)n2)[C@H]1C ZINC001088900260 744353692 /nfs/dbraw/zinc/35/36/92/744353692.db2.gz ANOVVPJVQBRGPO-MNOVXSKESA-N 1 2 310.785 1.430 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2Cc3cc(Cl)ccc3O2)C1 ZINC001030634157 744362347 /nfs/dbraw/zinc/36/23/47/744362347.db2.gz JYPRBKNMMUXHRN-HNNXBMFYSA-N 1 2 304.777 1.467 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](NC(=O)OCCCC)C2CC2)CC1 ZINC001186288727 744371744 /nfs/dbraw/zinc/37/17/44/744371744.db2.gz FWJBFBQLHDPNOS-HNNXBMFYSA-N 1 2 323.437 1.622 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)[C@H]1C ZINC001088957142 744404474 /nfs/dbraw/zinc/40/44/74/744404474.db2.gz LXTHLPCFUQJLOP-CJNGLKHVSA-N 1 2 323.400 1.688 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)[C@H]1C ZINC001088957142 744404477 /nfs/dbraw/zinc/40/44/77/744404477.db2.gz LXTHLPCFUQJLOP-CJNGLKHVSA-N 1 2 323.400 1.688 20 30 DDEDLO COCC#CC(=O)N1CC[NH+](C[C@@H](OC)c2ccccc2)CC1 ZINC001187127597 744507081 /nfs/dbraw/zinc/50/70/81/744507081.db2.gz BIRRECPWZDPEPZ-QGZVFWFLSA-N 1 2 316.401 1.168 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001077500607 744660841 /nfs/dbraw/zinc/66/08/41/744660841.db2.gz CUXZQVCBBNVBPH-GUYCJALGSA-N 1 2 320.437 1.576 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188959853 744799723 /nfs/dbraw/zinc/79/97/23/744799723.db2.gz ZSVMIGIGYOTEIS-STQMWFEESA-N 1 2 322.434 1.834 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188959853 744799725 /nfs/dbraw/zinc/79/97/25/744799725.db2.gz ZSVMIGIGYOTEIS-STQMWFEESA-N 1 2 322.434 1.834 20 30 DDEDLO C#Cc1cncc(C(=O)NC2C[NH+](CCc3ccccc3)C2)c1 ZINC001030928217 744856690 /nfs/dbraw/zinc/85/66/90/744856690.db2.gz JGAMBNOZCQSEOW-UHFFFAOYSA-N 1 2 305.381 1.720 20 30 DDEDLO C=CCOCC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc(C)c1C)C2 ZINC001110407777 744892065 /nfs/dbraw/zinc/89/20/65/744892065.db2.gz AVJPCLVCCAKKNK-KFWWJZLASA-N 1 2 318.421 1.564 20 30 DDEDLO C=CCOCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc(C)c1C)C2 ZINC001110407777 744892066 /nfs/dbraw/zinc/89/20/66/744892066.db2.gz AVJPCLVCCAKKNK-KFWWJZLASA-N 1 2 318.421 1.564 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)nn1C ZINC001190046745 745087281 /nfs/dbraw/zinc/08/72/81/745087281.db2.gz KPRILYQYPFRCMK-MRXNPFEDSA-N 1 2 302.422 1.421 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)nn1C ZINC001190046745 745087288 /nfs/dbraw/zinc/08/72/88/745087288.db2.gz KPRILYQYPFRCMK-MRXNPFEDSA-N 1 2 302.422 1.421 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001190441392 745221459 /nfs/dbraw/zinc/22/14/59/745221459.db2.gz TWUGIYWMONDUMR-HZSPNIEDSA-N 1 2 321.446 1.767 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001190441392 745221465 /nfs/dbraw/zinc/22/14/65/745221465.db2.gz TWUGIYWMONDUMR-HZSPNIEDSA-N 1 2 321.446 1.767 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)[C@@]3(F)CCOC3)C2)s1 ZINC001015611296 745839517 /nfs/dbraw/zinc/83/95/17/745839517.db2.gz ICHVGBHRPULIQR-XHDPSFHLSA-N 1 2 323.393 1.439 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)[C@@]3(F)CCOC3)C2)s1 ZINC001015611296 745839522 /nfs/dbraw/zinc/83/95/22/745839522.db2.gz ICHVGBHRPULIQR-XHDPSFHLSA-N 1 2 323.393 1.439 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cn3cc(C)nc3s2)[C@@H]1C ZINC000993406829 746078519 /nfs/dbraw/zinc/07/85/19/746078519.db2.gz ALZVTGFVHVTIFQ-QWHCGFSZSA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cn3cc(C)nc3s2)[C@@H]1C ZINC000993406829 746078524 /nfs/dbraw/zinc/07/85/24/746078524.db2.gz ALZVTGFVHVTIFQ-QWHCGFSZSA-N 1 2 316.430 1.920 20 30 DDEDLO CCN(CCNc1ccc(C#N)cn1)C(=O)Cc1[nH]c[nH+]c1C ZINC001106813637 746149574 /nfs/dbraw/zinc/14/95/74/746149574.db2.gz LJSGDOFLQZHAGL-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO COc1ccc(C#N)c(S(=O)(=O)N2CC[NH+](C(C)C)CC2)c1 ZINC001193645470 746164695 /nfs/dbraw/zinc/16/46/95/746164695.db2.gz PLXBNQXOJPCFST-UHFFFAOYSA-N 1 2 323.418 1.282 20 30 DDEDLO C=CCOC(=O)NCc1cccc(OCC[NH+]2CCOCC2)c1 ZINC001194283369 746339037 /nfs/dbraw/zinc/33/90/37/746339037.db2.gz XTULVRKVBNDRAV-UHFFFAOYSA-N 1 2 320.389 1.810 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001194691924 746438573 /nfs/dbraw/zinc/43/85/73/746438573.db2.gz UJYVBNLQUPGMNE-CQSZACIVSA-N 1 2 324.421 1.818 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001194691924 746438579 /nfs/dbraw/zinc/43/85/79/746438579.db2.gz UJYVBNLQUPGMNE-CQSZACIVSA-N 1 2 324.421 1.818 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC1C[NH+](C[C@H]2CC[C@@H](C)O2)C1 ZINC001031314410 746534001 /nfs/dbraw/zinc/53/40/01/746534001.db2.gz SOCJAUITYPFGGR-RDTXWAMCSA-N 1 2 312.413 1.578 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2nccnc2C)CC1 ZINC001195283883 746568119 /nfs/dbraw/zinc/56/81/19/746568119.db2.gz LREBWTCCUMTYMC-UHFFFAOYSA-N 1 2 304.394 1.022 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2nccnc2C)CC1 ZINC001195283883 746568121 /nfs/dbraw/zinc/56/81/21/746568121.db2.gz LREBWTCCUMTYMC-UHFFFAOYSA-N 1 2 304.394 1.022 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2sc(COC)nc2C)[C@@H]1C ZINC000994298035 746586891 /nfs/dbraw/zinc/58/68/91/746586891.db2.gz KHFAKFWSOITKJH-QWHCGFSZSA-N 1 2 321.446 1.814 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc(COC)nc2C)[C@@H]1C ZINC000994298035 746586894 /nfs/dbraw/zinc/58/68/94/746586894.db2.gz KHFAKFWSOITKJH-QWHCGFSZSA-N 1 2 321.446 1.814 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC001195418594 746596384 /nfs/dbraw/zinc/59/63/84/746596384.db2.gz IEMNNXVOXIJCGX-QLPKVWCKSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC001195418594 746596386 /nfs/dbraw/zinc/59/63/86/746596386.db2.gz IEMNNXVOXIJCGX-QLPKVWCKSA-N 1 2 319.449 1.259 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](Cc2cncc(OC)n2)CC1 ZINC001195675258 746668922 /nfs/dbraw/zinc/66/89/22/746668922.db2.gz UZASNNGNLWINAQ-UHFFFAOYSA-N 1 2 316.405 1.323 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](Cc2cncc(OC)n2)CC1 ZINC001195675258 746668924 /nfs/dbraw/zinc/66/89/24/746668924.db2.gz UZASNNGNLWINAQ-UHFFFAOYSA-N 1 2 316.405 1.323 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)CCC)CC1 ZINC001195681915 746670859 /nfs/dbraw/zinc/67/08/59/746670859.db2.gz LHJROSOPYVMGKO-INIZCTEOSA-N 1 2 321.465 1.629 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)CCC)CC1 ZINC001195681915 746670860 /nfs/dbraw/zinc/67/08/60/746670860.db2.gz LHJROSOPYVMGKO-INIZCTEOSA-N 1 2 321.465 1.629 20 30 DDEDLO CCCC(CCC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001195683854 746671366 /nfs/dbraw/zinc/67/13/66/746671366.db2.gz KTMWHFRXOBOWSK-HZPDHXFCSA-N 1 2 310.438 1.014 20 30 DDEDLO CCCC(CCC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001195683854 746671369 /nfs/dbraw/zinc/67/13/69/746671369.db2.gz KTMWHFRXOBOWSK-HZPDHXFCSA-N 1 2 310.438 1.014 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195754670 746687423 /nfs/dbraw/zinc/68/74/23/746687423.db2.gz RNNOSYWJYYYDTB-HZPDHXFCSA-N 1 2 321.465 1.483 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195754670 746687424 /nfs/dbraw/zinc/68/74/24/746687424.db2.gz RNNOSYWJYYYDTB-HZPDHXFCSA-N 1 2 321.465 1.483 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCCc3ccc(C[NH3+])nc32)c(O)c1 ZINC001195764599 746690650 /nfs/dbraw/zinc/69/06/50/746690650.db2.gz MPEGFQFRANZATC-UHFFFAOYSA-N 1 2 308.341 1.711 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@@H+]([C@H](C)c2cnccn2)CC1 ZINC001195792501 746706336 /nfs/dbraw/zinc/70/63/36/746706336.db2.gz UJCQEHLVDFDJJO-HUUCEWRRSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@H+]([C@H](C)c2cnccn2)CC1 ZINC001195792501 746706339 /nfs/dbraw/zinc/70/63/39/746706339.db2.gz UJCQEHLVDFDJJO-HUUCEWRRSA-N 1 2 316.405 1.110 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195923781 746737406 /nfs/dbraw/zinc/73/74/06/746737406.db2.gz LRCCLVNKCDFDLZ-CPUCHLNUSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195923781 746737412 /nfs/dbraw/zinc/73/74/12/746737412.db2.gz LRCCLVNKCDFDLZ-CPUCHLNUSA-N 1 2 321.421 1.555 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=S)Nc1ccccc1C#N ZINC001196286060 746827482 /nfs/dbraw/zinc/82/74/82/746827482.db2.gz HSXKBSRLTSXVBS-UHFFFAOYSA-N 1 2 304.419 1.519 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)C(=C)C)CC1 ZINC001196724382 746945888 /nfs/dbraw/zinc/94/58/88/746945888.db2.gz INPLBZYYWIMTGS-OAHLLOKOSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C(C)(C)C(=C)C)CC1 ZINC001196724382 746945894 /nfs/dbraw/zinc/94/58/94/746945894.db2.gz INPLBZYYWIMTGS-OAHLLOKOSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(Cl)oc3ccccc32)C1 ZINC001077552027 747038960 /nfs/dbraw/zinc/03/89/60/747038960.db2.gz DLSBCJZEDZTFBW-VXGBXAGGSA-N 1 2 318.760 1.494 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(Cl)oc3ccccc32)C1 ZINC001077552027 747038963 /nfs/dbraw/zinc/03/89/63/747038963.db2.gz DLSBCJZEDZTFBW-VXGBXAGGSA-N 1 2 318.760 1.494 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2cnsn2)C1 ZINC001031562635 747045136 /nfs/dbraw/zinc/04/51/36/747045136.db2.gz MHTHBXICISDNQW-UHFFFAOYSA-N 1 2 313.386 1.272 20 30 DDEDLO Cc1ncc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)[nH]1 ZINC001031582544 747100233 /nfs/dbraw/zinc/10/02/33/747100233.db2.gz ZANGGDGHOWJXFA-UHFFFAOYSA-N 1 2 309.373 1.452 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(C)n1 ZINC001110493108 747171548 /nfs/dbraw/zinc/17/15/48/747171548.db2.gz DCNSOWBWXJNSDT-OAGGEKHMSA-N 1 2 300.406 1.445 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(C)n1 ZINC001110493108 747171555 /nfs/dbraw/zinc/17/15/55/747171555.db2.gz DCNSOWBWXJNSDT-OAGGEKHMSA-N 1 2 300.406 1.445 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1CC ZINC001110493632 747201437 /nfs/dbraw/zinc/20/14/37/747201437.db2.gz YORKIAFVQHAWGP-BMFZPTHFSA-N 1 2 315.421 1.323 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1CC ZINC001110493632 747201445 /nfs/dbraw/zinc/20/14/45/747201445.db2.gz YORKIAFVQHAWGP-BMFZPTHFSA-N 1 2 315.421 1.323 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2C[C@@H]2C(C)C)CC1 ZINC001197629496 747209295 /nfs/dbraw/zinc/20/92/95/747209295.db2.gz IOZMXNAIYFFQBR-CABCVRRESA-N 1 2 307.438 1.115 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2C[C@@H]2C(C)C)CC1 ZINC001197629496 747209297 /nfs/dbraw/zinc/20/92/97/747209297.db2.gz IOZMXNAIYFFQBR-CABCVRRESA-N 1 2 307.438 1.115 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)[C@H](C)CC)CC1 ZINC001197586509 747213377 /nfs/dbraw/zinc/21/33/77/747213377.db2.gz IKKXZRLUPXBRRX-HUUCEWRRSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)[C@H](C)CC)CC1 ZINC001197586509 747213378 /nfs/dbraw/zinc/21/33/78/747213378.db2.gz IKKXZRLUPXBRRX-HUUCEWRRSA-N 1 2 309.454 1.505 20 30 DDEDLO CCc1nc(C)c(C(=O)N2CCC[N@H+](CC#CCOC)CC2)o1 ZINC001197684238 747236637 /nfs/dbraw/zinc/23/66/37/747236637.db2.gz RBWZZTZNLJZQSM-UHFFFAOYSA-N 1 2 319.405 1.343 20 30 DDEDLO CCc1nc(C)c(C(=O)N2CCC[N@@H+](CC#CCOC)CC2)o1 ZINC001197684238 747236645 /nfs/dbraw/zinc/23/66/45/747236645.db2.gz RBWZZTZNLJZQSM-UHFFFAOYSA-N 1 2 319.405 1.343 20 30 DDEDLO Cc1c[nH]nc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031659596 747329979 /nfs/dbraw/zinc/32/99/79/747329979.db2.gz JYUCQMAKHGLVLH-UHFFFAOYSA-N 1 2 309.373 1.452 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CCC)c2ccccc2)C1 ZINC001198392438 747492605 /nfs/dbraw/zinc/49/26/05/747492605.db2.gz JASORNYJYWDGOZ-BRWVUGGUSA-N 1 2 300.402 1.365 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CCC)c2ccccc2)C1 ZINC001198392438 747492611 /nfs/dbraw/zinc/49/26/11/747492611.db2.gz JASORNYJYWDGOZ-BRWVUGGUSA-N 1 2 300.402 1.365 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)C[C@@H]1C ZINC001110520576 747632290 /nfs/dbraw/zinc/63/22/90/747632290.db2.gz QKTRPHKVLAQGLM-DZGCQCFKSA-N 1 2 316.405 1.616 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000998748501 752199296 /nfs/dbraw/zinc/19/92/96/752199296.db2.gz XDMDRBJBBFQURP-VXGBXAGGSA-N 1 2 305.382 1.433 20 30 DDEDLO O=C(CC#Cc1ccccc1)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1O ZINC001198933759 747653679 /nfs/dbraw/zinc/65/36/79/747653679.db2.gz IKRIQIKGMTVSRR-CKOVFFODSA-N 1 2 318.804 1.342 20 30 DDEDLO O=C(CC#Cc1ccccc1)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1O ZINC001198933759 747653685 /nfs/dbraw/zinc/65/36/85/747653685.db2.gz IKRIQIKGMTVSRR-CKOVFFODSA-N 1 2 318.804 1.342 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001031750060 747733557 /nfs/dbraw/zinc/73/35/57/747733557.db2.gz CIXRHBSQXKVRTN-UHFFFAOYSA-N 1 2 304.781 1.929 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3ccn(C)n3)CC2)cn1 ZINC001003998555 747888790 /nfs/dbraw/zinc/88/87/90/747888790.db2.gz WJAWIGAHWFRJOF-UHFFFAOYSA-N 1 2 323.400 1.191 20 30 DDEDLO Cc1ncc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC(C)C)C3)o1 ZINC001110538059 747919972 /nfs/dbraw/zinc/91/99/72/747919972.db2.gz LFNRGVGAVKFZKM-BMFZPTHFSA-N 1 2 301.390 1.864 20 30 DDEDLO Cc1ncc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC(C)C)C3)o1 ZINC001110538059 747919977 /nfs/dbraw/zinc/91/99/77/747919977.db2.gz LFNRGVGAVKFZKM-BMFZPTHFSA-N 1 2 301.390 1.864 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncc(F)c1 ZINC001110539100 747934897 /nfs/dbraw/zinc/93/48/97/747934897.db2.gz DPRXAHUGZGUYKM-ZACQAIPSSA-N 1 2 313.376 1.856 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncc(F)c1 ZINC001110539100 747934899 /nfs/dbraw/zinc/93/48/99/747934899.db2.gz DPRXAHUGZGUYKM-ZACQAIPSSA-N 1 2 313.376 1.856 20 30 DDEDLO Cn1cncc1C(=O)NCC1C[NH+](Cc2ccc(C#N)s2)C1 ZINC001031819656 747941592 /nfs/dbraw/zinc/94/15/92/747941592.db2.gz XMLSCVAUJXZZOR-UHFFFAOYSA-N 1 2 315.402 1.215 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cnc(Cl)n2C)C1 ZINC001031847501 748011800 /nfs/dbraw/zinc/01/18/00/748011800.db2.gz UEXPFPSKPYVEIE-UHFFFAOYSA-N 1 2 303.193 1.488 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077573214 748188895 /nfs/dbraw/zinc/18/88/95/748188895.db2.gz DORGAZBPFIEPIC-AWEZNQCLSA-N 1 2 306.410 1.641 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)[nH]n1 ZINC001004356655 748352769 /nfs/dbraw/zinc/35/27/69/748352769.db2.gz JYDNBARBFRZAJB-OAHLLOKOSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)[nH]n1 ZINC001004356655 748352778 /nfs/dbraw/zinc/35/27/78/748352778.db2.gz JYDNBARBFRZAJB-OAHLLOKOSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1nnc(CC(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)o1 ZINC001004434842 748421496 /nfs/dbraw/zinc/42/14/96/748421496.db2.gz LKZOGZCNQJIJJJ-CQSZACIVSA-N 1 2 317.393 1.147 20 30 DDEDLO Cc1nnc(CC(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)o1 ZINC001004434842 748421502 /nfs/dbraw/zinc/42/15/02/748421502.db2.gz LKZOGZCNQJIJJJ-CQSZACIVSA-N 1 2 317.393 1.147 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2sc3nccn3c2C)C1 ZINC001033156305 748653445 /nfs/dbraw/zinc/65/34/45/748653445.db2.gz RKJHKMVQEHBWPG-GFCCVEGCSA-N 1 2 302.403 1.484 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2sc3nccn3c2C)C1 ZINC001033156305 748653448 /nfs/dbraw/zinc/65/34/48/748653448.db2.gz RKJHKMVQEHBWPG-GFCCVEGCSA-N 1 2 302.403 1.484 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001110597674 748812935 /nfs/dbraw/zinc/81/29/35/748812935.db2.gz LJCIJZSLTRSBLB-MCIONIFRSA-N 1 2 320.437 1.030 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001110597674 748812940 /nfs/dbraw/zinc/81/29/40/748812940.db2.gz LJCIJZSLTRSBLB-MCIONIFRSA-N 1 2 320.437 1.030 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]([NH2+]Cc2nn(C)cc2Cl)C(C)(C)C1 ZINC000995546306 748908440 /nfs/dbraw/zinc/90/84/40/748908440.db2.gz BWAZPNFAXJBBSD-GWCFXTLKSA-N 1 2 323.828 1.560 20 30 DDEDLO CN(C(=O)c1cncn1C)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033236526 749016509 /nfs/dbraw/zinc/01/65/09/749016509.db2.gz GNVVGCAZDYKNOP-MRXNPFEDSA-N 1 2 323.400 1.638 20 30 DDEDLO CN(C(=O)c1cncn1C)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033236526 749016510 /nfs/dbraw/zinc/01/65/10/749016510.db2.gz GNVVGCAZDYKNOP-MRXNPFEDSA-N 1 2 323.400 1.638 20 30 DDEDLO C[C@@]1(NC(=O)Cc2c[nH]c[nH+]2)CCN(c2ccc(C#N)cn2)C1 ZINC001110817798 749040183 /nfs/dbraw/zinc/04/01/83/749040183.db2.gz LGCQCIIHRFXTCX-MRXNPFEDSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@](C)(C=C)CCOC)C1 ZINC001108098868 749100150 /nfs/dbraw/zinc/10/01/50/749100150.db2.gz BHCUBTFOPHMJRJ-DLBZAZTESA-N 1 2 310.438 1.608 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@](C)(C=C)CCOC)C1 ZINC001108098868 749100152 /nfs/dbraw/zinc/10/01/52/749100152.db2.gz BHCUBTFOPHMJRJ-DLBZAZTESA-N 1 2 310.438 1.608 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CC3CCCC3)nn2)C1 ZINC001107120440 749154790 /nfs/dbraw/zinc/15/47/90/749154790.db2.gz PBNWFXDGNXQECX-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(F)ccc2C)C1 ZINC001108106922 749216138 /nfs/dbraw/zinc/21/61/38/749216138.db2.gz KWJUOVBIDFUGGP-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(F)ccc2C)C1 ZINC001108106922 749216143 /nfs/dbraw/zinc/21/61/43/749216143.db2.gz KWJUOVBIDFUGGP-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CC(C)(C)CC)nn2)C1 ZINC001107173736 749490571 /nfs/dbraw/zinc/49/05/71/749490571.db2.gz GYWFUCXNXZZJRD-UHFFFAOYSA-N 1 2 305.426 1.763 20 30 DDEDLO Cc1nc(NC/C=C/CNC(=O)CCc2[nH]cc[nH+]2)ccc1C#N ZINC001107173578 749490596 /nfs/dbraw/zinc/49/05/96/749490596.db2.gz MXQNKONVXGOPHL-NSCUHMNNSA-N 1 2 324.388 1.702 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)C(C)(C)C)nn2)C1 ZINC001107182518 749499814 /nfs/dbraw/zinc/49/98/14/749499814.db2.gz UYESYYOGFGMWDS-CYBMUJFWSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107198978 749567521 /nfs/dbraw/zinc/56/75/21/749567521.db2.gz ZUOJPVNIMYXOHZ-AWEZNQCLSA-N 1 2 317.437 1.929 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C(C)(C)CC)nn2)C1 ZINC001107202516 749578829 /nfs/dbraw/zinc/57/88/29/749578829.db2.gz JUDSGFVZWOOXAR-UHFFFAOYSA-N 1 2 305.426 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)c3ccco3)nn2)C1 ZINC001107211128 749599852 /nfs/dbraw/zinc/59/98/52/749599852.db2.gz MEUGZEGNODWYRN-GFCCVEGCSA-N 1 2 315.377 1.334 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cncnc2CC)C1 ZINC001033664407 749672562 /nfs/dbraw/zinc/67/25/62/749672562.db2.gz ATSUIBOXIDMNET-LBPRGKRZSA-N 1 2 308.813 1.938 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cncnc2CC)C1 ZINC001033664407 749672568 /nfs/dbraw/zinc/67/25/68/749672568.db2.gz ATSUIBOXIDMNET-LBPRGKRZSA-N 1 2 308.813 1.938 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)n2cccc2)C1 ZINC001108351685 761971059 /nfs/dbraw/zinc/97/10/59/761971059.db2.gz NOXUKNRORHGJEU-NVXWUHKLSA-N 1 2 305.422 1.832 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)n2cccc2)C1 ZINC001108351685 761971063 /nfs/dbraw/zinc/97/10/63/761971063.db2.gz NOXUKNRORHGJEU-NVXWUHKLSA-N 1 2 305.422 1.832 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C(C)(CC)CC)nn2)C1 ZINC001107238417 749679975 /nfs/dbraw/zinc/67/99/75/749679975.db2.gz VSCDYYBGWZQNHK-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO Cc1cc(N2CCC(NC(=O)[C@H](C)C#N)CC2)nc(C2CC2)[nH+]1 ZINC001095398976 749714813 /nfs/dbraw/zinc/71/48/13/749714813.db2.gz KMGZKIYUVKVXFF-LLVKDONJSA-N 1 2 313.405 1.907 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@](C)(CNc2cc[nH+]c(C)n2)C1 ZINC001110841473 749747759 /nfs/dbraw/zinc/74/77/59/749747759.db2.gz FCFYCDIBDGEQAY-DLBZAZTESA-N 1 2 318.421 1.763 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC/C=C\CNc1ccc(C#N)nc1 ZINC001107298630 749754193 /nfs/dbraw/zinc/75/41/93/749754193.db2.gz YOTJLJJMJZSMLS-IHWYPQMZSA-N 1 2 324.388 1.404 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)c3cc(C#N)c[nH]3)CC2)cc[nH+]1 ZINC001066734600 749848976 /nfs/dbraw/zinc/84/89/76/749848976.db2.gz OFNNIHWOMVBJIY-CQSZACIVSA-N 1 2 324.388 1.774 20 30 DDEDLO N#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cn3c([nH+]1)CCCC3)C2 ZINC001095448554 749907519 /nfs/dbraw/zinc/90/75/19/749907519.db2.gz HEAMWPXXULYZNF-ILXRZTDVSA-N 1 2 313.405 1.007 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066757839 749951053 /nfs/dbraw/zinc/95/10/53/749951053.db2.gz BCRBVOIOXHVQOI-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO N#CCN1CC[C@@]2(CNC(=O)CCn3cc[nH+]c3)CCC[C@@H]12 ZINC001107491585 750047668 /nfs/dbraw/zinc/04/76/68/750047668.db2.gz HOQWBFUKABXHHU-GDBMZVCRSA-N 1 2 301.394 1.158 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001033882281 750048909 /nfs/dbraw/zinc/04/89/09/750048909.db2.gz PYWQYNRABDBHSS-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001033882281 750048914 /nfs/dbraw/zinc/04/89/14/750048914.db2.gz PYWQYNRABDBHSS-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCCC ZINC001110964355 750258247 /nfs/dbraw/zinc/25/82/47/750258247.db2.gz HINOZWDQJJQJSZ-OAGGEKHMSA-N 1 2 321.465 1.981 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCCC ZINC001110964355 750258251 /nfs/dbraw/zinc/25/82/51/750258251.db2.gz HINOZWDQJJQJSZ-OAGGEKHMSA-N 1 2 321.465 1.981 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001077740845 750477333 /nfs/dbraw/zinc/47/73/33/750477333.db2.gz FQZLDFKAOCXTRD-YNEHKIRRSA-N 1 2 318.421 1.736 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001077740845 750477337 /nfs/dbraw/zinc/47/73/37/750477337.db2.gz FQZLDFKAOCXTRD-YNEHKIRRSA-N 1 2 318.421 1.736 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)n2cccc2)C1 ZINC001107874762 750518013 /nfs/dbraw/zinc/51/80/13/750518013.db2.gz RAXABKCIEJNJHU-RDJZCZTQSA-N 1 2 303.406 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)n2cccc2)C1 ZINC001107874762 750518017 /nfs/dbraw/zinc/51/80/17/750518017.db2.gz RAXABKCIEJNJHU-RDJZCZTQSA-N 1 2 303.406 1.280 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccncc2C)[C@H](O)C1 ZINC001090238182 750689500 /nfs/dbraw/zinc/68/95/00/750689500.db2.gz VKPDWAHGAUCEHN-UONOGXRCSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccncc2C)[C@H](O)C1 ZINC001090238182 750689505 /nfs/dbraw/zinc/68/95/05/750689505.db2.gz VKPDWAHGAUCEHN-UONOGXRCSA-N 1 2 309.797 1.308 20 30 DDEDLO Cc1nc(NC[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001060844508 750977370 /nfs/dbraw/zinc/97/73/70/750977370.db2.gz NCSMFWMJZCAQFG-GFCCVEGCSA-N 1 2 310.361 1.559 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001114678196 750980061 /nfs/dbraw/zinc/98/00/61/750980061.db2.gz KVFAPLYAORPJRY-IMRBUKKESA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001114678196 750980065 /nfs/dbraw/zinc/98/00/65/750980065.db2.gz KVFAPLYAORPJRY-IMRBUKKESA-N 1 2 304.394 1.527 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114683541 750985363 /nfs/dbraw/zinc/98/53/63/750985363.db2.gz QVRKWNJXKUHTMD-NHAGDIPZSA-N 1 2 300.406 1.544 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114683541 750985365 /nfs/dbraw/zinc/98/53/65/750985365.db2.gz QVRKWNJXKUHTMD-NHAGDIPZSA-N 1 2 300.406 1.544 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCF ZINC001114706598 751009372 /nfs/dbraw/zinc/00/93/72/751009372.db2.gz XMVIDQNHNAMXNG-QLPKVWCKSA-N 1 2 319.355 1.993 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCF ZINC001114706598 751009377 /nfs/dbraw/zinc/00/93/77/751009377.db2.gz XMVIDQNHNAMXNG-QLPKVWCKSA-N 1 2 319.355 1.993 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114724562 751029931 /nfs/dbraw/zinc/02/99/31/751029931.db2.gz PAIHUACHDFBTQR-OJLVUWQFSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114724562 751029937 /nfs/dbraw/zinc/02/99/37/751029937.db2.gz PAIHUACHDFBTQR-OJLVUWQFSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114724911 751030722 /nfs/dbraw/zinc/03/07/22/751030722.db2.gz VLXBEUKCBZZHRX-FOCJUVANSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114724911 751030730 /nfs/dbraw/zinc/03/07/30/751030730.db2.gz VLXBEUKCBZZHRX-FOCJUVANSA-N 1 2 318.421 1.781 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114759096 751055148 /nfs/dbraw/zinc/05/51/48/751055148.db2.gz BUOQOFDBSZCVCB-ATCWAGBWSA-N 1 2 304.394 1.535 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114759096 751055155 /nfs/dbraw/zinc/05/51/55/751055155.db2.gz BUOQOFDBSZCVCB-ATCWAGBWSA-N 1 2 304.394 1.535 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nnc(C)o4)C[C@H]32)CC1 ZINC001114794158 751088959 /nfs/dbraw/zinc/08/89/59/751088959.db2.gz CUJWZYOYGYCXGK-NHAGDIPZSA-N 1 2 316.405 1.671 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nnc(C)o4)C[C@H]32)CC1 ZINC001114794158 751088964 /nfs/dbraw/zinc/08/89/64/751088964.db2.gz CUJWZYOYGYCXGK-NHAGDIPZSA-N 1 2 316.405 1.671 20 30 DDEDLO N#Cc1cc(N)c(Nc2ccc[nH+]c2N2CCOCC2)cc1C#N ZINC001212364312 751114154 /nfs/dbraw/zinc/11/41/54/751114154.db2.gz DEYJYEMJAGZCDN-UHFFFAOYSA-N 1 2 320.356 1.987 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[C@@H]2C[NH2+]Cc2nnn(C)n2)CC1 ZINC001035039725 751142799 /nfs/dbraw/zinc/14/27/99/751142799.db2.gz PCGGJZQCHQQMOE-CQSZACIVSA-N 1 2 318.425 1.037 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1c(F)cccc1F ZINC001032521005 751182461 /nfs/dbraw/zinc/18/24/61/751182461.db2.gz QJIOXXLDIYISGV-AVGNSLFASA-N 1 2 304.340 1.987 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1c(F)cccc1F ZINC001032521005 751182467 /nfs/dbraw/zinc/18/24/67/751182467.db2.gz QJIOXXLDIYISGV-AVGNSLFASA-N 1 2 304.340 1.987 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnnn1-c1ccccc1 ZINC001032656845 752615479 /nfs/dbraw/zinc/61/54/79/752615479.db2.gz OLUJWWXJWGSFHZ-GJZGRUSLSA-N 1 2 309.373 1.352 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnnn1-c1ccccc1 ZINC001032656845 752615487 /nfs/dbraw/zinc/61/54/87/752615487.db2.gz OLUJWWXJWGSFHZ-GJZGRUSLSA-N 1 2 309.373 1.352 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(CCOC)CCCC2)C1 ZINC001108428844 762146205 /nfs/dbraw/zinc/14/62/05/762146205.db2.gz AFBZYODYMZJECR-KRWDZBQOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(CCOC)CCCC2)C1 ZINC001108428844 762146209 /nfs/dbraw/zinc/14/62/09/762146209.db2.gz AFBZYODYMZJECR-KRWDZBQOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001008337018 752680340 /nfs/dbraw/zinc/68/03/40/752680340.db2.gz BYERGGFQQJSAKI-NEPJUHHUSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001008337018 752680344 /nfs/dbraw/zinc/68/03/44/752680344.db2.gz BYERGGFQQJSAKI-NEPJUHHUSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)CCc3c[nH+]cn3C)C2)C1 ZINC000999277568 752778034 /nfs/dbraw/zinc/77/80/34/752778034.db2.gz KRBAQMQMZLMUGH-UHFFFAOYSA-N 1 2 316.405 1.036 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001062171627 752808291 /nfs/dbraw/zinc/80/82/91/752808291.db2.gz MPPZOAPYGWWPDU-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCCC(=O)N1CCCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001062332077 752892550 /nfs/dbraw/zinc/89/25/50/752892550.db2.gz QEGTZKVGRMGVND-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)c2cccnn2)C1 ZINC001008947828 753001861 /nfs/dbraw/zinc/00/18/61/753001861.db2.gz XWJVIQSBAICKIO-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)c2cccnn2)C1 ZINC001008947828 753001866 /nfs/dbraw/zinc/00/18/66/753001866.db2.gz XWJVIQSBAICKIO-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO Cc1cc(N2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)nc(C2CC2)[nH+]1 ZINC001062488357 753057660 /nfs/dbraw/zinc/05/76/60/753057660.db2.gz ZFISBOQKRKNYEY-HOTGVXAUSA-N 1 2 322.412 1.865 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@H]2CCCNC2=O)CC1 ZINC001000799533 762210460 /nfs/dbraw/zinc/21/04/60/762210460.db2.gz YONWPCBGYHJRPP-ZDUSSCGKSA-N 1 2 311.813 1.013 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@H]2CCCNC2=O)CC1 ZINC001000799533 762210465 /nfs/dbraw/zinc/21/04/65/762210465.db2.gz YONWPCBGYHJRPP-ZDUSSCGKSA-N 1 2 311.813 1.013 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2c(cccc2C)O1 ZINC001032735166 753398324 /nfs/dbraw/zinc/39/83/24/753398324.db2.gz QIGKPTLGSAGLFI-MPGHIAIKSA-N 1 2 310.397 1.607 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2c(cccc2C)O1 ZINC001032735166 753398328 /nfs/dbraw/zinc/39/83/28/753398328.db2.gz QIGKPTLGSAGLFI-MPGHIAIKSA-N 1 2 310.397 1.607 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001108012199 753511724 /nfs/dbraw/zinc/51/17/24/753511724.db2.gz PPOGNPWTLWWPGS-QGZVFWFLSA-N 1 2 314.389 1.521 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001108012199 753511730 /nfs/dbraw/zinc/51/17/30/753511730.db2.gz PPOGNPWTLWWPGS-QGZVFWFLSA-N 1 2 314.389 1.521 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cnccc2OC)C1 ZINC001108022177 753566032 /nfs/dbraw/zinc/56/60/32/753566032.db2.gz DGLZUZVWIRRVPT-KRWDZBQOSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cnccc2OC)C1 ZINC001108022177 753566037 /nfs/dbraw/zinc/56/60/37/753566037.db2.gz DGLZUZVWIRRVPT-KRWDZBQOSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3nc(C)ccc3o2)C1 ZINC001078045714 753678904 /nfs/dbraw/zinc/67/89/04/753678904.db2.gz AJAJSLHOYJTUJS-CHWSQXEVSA-N 1 2 301.346 1.097 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3nc(C)ccc3o2)C1 ZINC001078045714 753678910 /nfs/dbraw/zinc/67/89/10/753678910.db2.gz AJAJSLHOYJTUJS-CHWSQXEVSA-N 1 2 301.346 1.097 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1ccc2ccccc21 ZINC001032789774 753734098 /nfs/dbraw/zinc/73/40/98/753734098.db2.gz HJZAOIAFYXHBLH-IRXDYDNUSA-N 1 2 307.397 1.950 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1ccc2ccccc21 ZINC001032789774 753734104 /nfs/dbraw/zinc/73/41/04/753734104.db2.gz HJZAOIAFYXHBLH-IRXDYDNUSA-N 1 2 307.397 1.950 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3ccc(Cl)cc3)CC2)C1 ZINC001078132857 753772752 /nfs/dbraw/zinc/77/27/52/753772752.db2.gz MEKMVINOTMVEEM-HUUCEWRRSA-N 1 2 318.804 1.166 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3ccc(Cl)cc3)CC2)C1 ZINC001078132857 753772755 /nfs/dbraw/zinc/77/27/55/753772755.db2.gz MEKMVINOTMVEEM-HUUCEWRRSA-N 1 2 318.804 1.166 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001078214886 753848390 /nfs/dbraw/zinc/84/83/90/753848390.db2.gz BLDYCWHHLHTRIH-CHWSQXEVSA-N 1 2 320.458 1.844 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001078214886 753848396 /nfs/dbraw/zinc/84/83/96/753848396.db2.gz BLDYCWHHLHTRIH-CHWSQXEVSA-N 1 2 320.458 1.844 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CCN(c3cc[nH+]c(C)n3)C2)cn1 ZINC001062958272 753885054 /nfs/dbraw/zinc/88/50/54/753885054.db2.gz LKROXGZILDHXJW-INIZCTEOSA-N 1 2 321.384 1.512 20 30 DDEDLO Cc1ncoc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010441420 753919827 /nfs/dbraw/zinc/91/98/27/753919827.db2.gz IEZJEHAMHHHSNR-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1ncoc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010441420 753919831 /nfs/dbraw/zinc/91/98/31/753919831.db2.gz IEZJEHAMHHHSNR-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001063175704 754022315 /nfs/dbraw/zinc/02/23/15/754022315.db2.gz YUOGLDROQBHQQF-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO N#Cc1cccnc1N1CCCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001063197797 754038488 /nfs/dbraw/zinc/03/84/88/754038488.db2.gz FCOAQUVQEMKONB-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCO[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001078440506 754080448 /nfs/dbraw/zinc/08/04/48/754080448.db2.gz AKVDMIGKSFRQHH-CYBMUJFWSA-N 1 2 324.450 1.457 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)ncn1 ZINC001010652194 754080743 /nfs/dbraw/zinc/08/07/43/754080743.db2.gz SUPNMWYAGUEXRP-KRWDZBQOSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)ncn1 ZINC001010652194 754080750 /nfs/dbraw/zinc/08/07/50/754080750.db2.gz SUPNMWYAGUEXRP-KRWDZBQOSA-N 1 2 320.396 1.641 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@@H](NC(=O)c2[nH]ncc2F)C12CCC2 ZINC001078718732 754339597 /nfs/dbraw/zinc/33/95/97/754339597.db2.gz UNQQJZQOVFPOIZ-WDEREUQCSA-N 1 2 312.776 1.932 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)CCc2c[nH+]cn2C)CC1 ZINC001011910290 754766381 /nfs/dbraw/zinc/76/63/81/754766381.db2.gz LXOVKHJPPGWHGF-UHFFFAOYSA-N 1 2 318.421 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cnccc2C)[C@@H](O)C1 ZINC001090332677 754955312 /nfs/dbraw/zinc/95/53/12/754955312.db2.gz CGHMKCPGTCNUMS-GJZGRUSLSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cnccc2C)[C@@H](O)C1 ZINC001090332677 754955316 /nfs/dbraw/zinc/95/53/16/754955316.db2.gz CGHMKCPGTCNUMS-GJZGRUSLSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C23CCC(CC2)C3)[C@@H](O)C1 ZINC001090363424 755082628 /nfs/dbraw/zinc/08/26/28/755082628.db2.gz OBBKIYHRTMPGQN-IQXANGCESA-N 1 2 312.841 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C23CCC(CC2)C3)[C@@H](O)C1 ZINC001090363424 755082631 /nfs/dbraw/zinc/08/26/31/755082631.db2.gz OBBKIYHRTMPGQN-IQXANGCESA-N 1 2 312.841 1.871 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)co1 ZINC001079601152 755480876 /nfs/dbraw/zinc/48/08/76/755480876.db2.gz KUDMPTWMJXHQDM-MEBBXXQBSA-N 1 2 313.361 1.433 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)co1 ZINC001079601152 755480877 /nfs/dbraw/zinc/48/08/77/755480877.db2.gz KUDMPTWMJXHQDM-MEBBXXQBSA-N 1 2 313.361 1.433 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc3[nH]cnc3c2)C1 ZINC001079776033 755580999 /nfs/dbraw/zinc/58/09/99/755580999.db2.gz FINVDECLNYTMDN-NOZJJQNGSA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc3[nH]cnc3c2)C1 ZINC001079776033 755581001 /nfs/dbraw/zinc/58/10/01/755581001.db2.gz FINVDECLNYTMDN-NOZJJQNGSA-N 1 2 319.796 1.761 20 30 DDEDLO C[C@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)Cn1cc[nH+]c1 ZINC001040145621 762408339 /nfs/dbraw/zinc/40/83/39/762408339.db2.gz VVUWWFFHXWAOCM-JSGCOSHPSA-N 1 2 310.361 1.251 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080031919 755691419 /nfs/dbraw/zinc/69/14/19/755691419.db2.gz KZSVQFNWQWDHSZ-CQSZACIVSA-N 1 2 318.421 1.545 20 30 DDEDLO CCn1nncc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001014372834 755704338 /nfs/dbraw/zinc/70/43/38/755704338.db2.gz ZGJCGEOEMSZFEZ-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1nncc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001014372834 755704341 /nfs/dbraw/zinc/70/43/41/755704341.db2.gz ZGJCGEOEMSZFEZ-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001014429119 755732401 /nfs/dbraw/zinc/73/24/01/755732401.db2.gz DNHREYGHADACJJ-OLZOCXBDSA-N 1 2 308.813 1.773 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001014429119 755732406 /nfs/dbraw/zinc/73/24/06/755732406.db2.gz DNHREYGHADACJJ-OLZOCXBDSA-N 1 2 308.813 1.773 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001014430278 755732844 /nfs/dbraw/zinc/73/28/44/755732844.db2.gz AYIYDTHRLVCMQL-NEPJUHHUSA-N 1 2 308.813 1.773 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001014430278 755732847 /nfs/dbraw/zinc/73/28/47/755732847.db2.gz AYIYDTHRLVCMQL-NEPJUHHUSA-N 1 2 308.813 1.773 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001014492403 755758147 /nfs/dbraw/zinc/75/81/47/755758147.db2.gz HKGWRUXTWQQIRQ-ZDUSSCGKSA-N 1 2 322.840 1.705 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2c(F)c(F)cc(F)c2F)C1 ZINC001014534549 755784216 /nfs/dbraw/zinc/78/42/16/755784216.db2.gz VKFBPIFTNUMFJA-MRVPVSSYSA-N 1 2 300.255 1.680 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2c(F)c(F)cc(F)c2F)C1 ZINC001014534549 755784217 /nfs/dbraw/zinc/78/42/17/755784217.db2.gz VKFBPIFTNUMFJA-MRVPVSSYSA-N 1 2 300.255 1.680 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1c1nc2ccccc2nc1N1CCCC1 ZINC001156327529 762446470 /nfs/dbraw/zinc/44/64/70/762446470.db2.gz DEGLISFWDZPKPZ-ZDUSSCGKSA-N 1 2 308.389 1.532 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001080977923 756162213 /nfs/dbraw/zinc/16/22/13/756162213.db2.gz CZFUCWJXRURZKJ-IXPVHAAZSA-N 1 2 322.840 1.704 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001080977923 756162217 /nfs/dbraw/zinc/16/22/17/756162217.db2.gz CZFUCWJXRURZKJ-IXPVHAAZSA-N 1 2 322.840 1.704 20 30 DDEDLO CO[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC001081565834 756381087 /nfs/dbraw/zinc/38/10/87/756381087.db2.gz PVLBIZKCCDHGKD-XCUNLHGCSA-N 1 2 308.769 1.068 20 30 DDEDLO CO[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC001081565834 756381090 /nfs/dbraw/zinc/38/10/90/756381090.db2.gz PVLBIZKCCDHGKD-XCUNLHGCSA-N 1 2 308.769 1.068 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@H]2C)n1 ZINC001040260848 762466081 /nfs/dbraw/zinc/46/60/81/762466081.db2.gz QPTHJGCHIXNJTH-WFASDCNBSA-N 1 2 324.388 1.629 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1nccs1 ZINC001015893720 756626495 /nfs/dbraw/zinc/62/64/95/756626495.db2.gz QFGNIKYPODCIIZ-HNNXBMFYSA-N 1 2 311.410 1.999 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1nccs1 ZINC001015893720 756626500 /nfs/dbraw/zinc/62/65/00/756626500.db2.gz QFGNIKYPODCIIZ-HNNXBMFYSA-N 1 2 311.410 1.999 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(F)cn3)C2)cn1 ZINC001016027575 756731451 /nfs/dbraw/zinc/73/14/51/756731451.db2.gz QZKYBLFZSYWKRC-KRWDZBQOSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccc(F)cn3)C2)cn1 ZINC001016027575 756731456 /nfs/dbraw/zinc/73/14/56/756731456.db2.gz QZKYBLFZSYWKRC-KRWDZBQOSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)nc3)C2)cn1 ZINC001016030343 756732489 /nfs/dbraw/zinc/73/24/89/756732489.db2.gz IGUANFFMIUHUSP-QGZVFWFLSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)nc3)C2)cn1 ZINC001016030343 756732492 /nfs/dbraw/zinc/73/24/92/756732492.db2.gz IGUANFFMIUHUSP-QGZVFWFLSA-N 1 2 321.384 1.166 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCOC3)[C@H](OC)C1 ZINC001082332071 756734966 /nfs/dbraw/zinc/73/49/66/756734966.db2.gz SAWYQPCXASVBIY-CHWSQXEVSA-N 1 2 322.430 1.436 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCOC3)[C@H](OC)C1 ZINC001082332071 756734968 /nfs/dbraw/zinc/73/49/68/756734968.db2.gz SAWYQPCXASVBIY-CHWSQXEVSA-N 1 2 322.430 1.436 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(C3CCCCC3)CC2)[C@H](OC)C1 ZINC001082347578 756742406 /nfs/dbraw/zinc/74/24/06/756742406.db2.gz KBAWZVNTNIGHQY-HZPDHXFCSA-N 1 2 304.434 1.796 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(C3CCCCC3)CC2)[C@H](OC)C1 ZINC001082347578 756742410 /nfs/dbraw/zinc/74/24/10/756742410.db2.gz KBAWZVNTNIGHQY-HZPDHXFCSA-N 1 2 304.434 1.796 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001097257376 757024231 /nfs/dbraw/zinc/02/42/31/757024231.db2.gz KWEYCTSEFHHROG-DFBGVHRSSA-N 1 2 324.388 1.614 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001097257376 757024235 /nfs/dbraw/zinc/02/42/35/757024235.db2.gz KWEYCTSEFHHROG-DFBGVHRSSA-N 1 2 324.388 1.614 20 30 DDEDLO C/C=C(\C)C(=O)N1CCO[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001083043490 757094625 /nfs/dbraw/zinc/09/46/25/757094625.db2.gz FZYCAZMSKSOHKK-RRJGLFJVSA-N 1 2 324.424 1.916 20 30 DDEDLO C/C=C(\C)C(=O)N1CCO[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001083043490 757094631 /nfs/dbraw/zinc/09/46/31/757094631.db2.gz FZYCAZMSKSOHKK-RRJGLFJVSA-N 1 2 324.424 1.916 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(CCC)c(C)s2)[C@@H](O)C1 ZINC001084036761 757238302 /nfs/dbraw/zinc/23/83/02/757238302.db2.gz OKYWBMDKZDBMRM-CABCVRRESA-N 1 2 320.458 1.807 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(CCC)c(C)s2)[C@@H](O)C1 ZINC001084036761 757238311 /nfs/dbraw/zinc/23/83/11/757238311.db2.gz OKYWBMDKZDBMRM-CABCVRRESA-N 1 2 320.458 1.807 20 30 DDEDLO C#CCCCCC(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001097766414 757512970 /nfs/dbraw/zinc/51/29/70/757512970.db2.gz MELNEISEUMVQKP-LBPRGKRZSA-N 1 2 314.393 1.474 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(C)c(=O)[nH]1)C2 ZINC001097786096 757553851 /nfs/dbraw/zinc/55/38/51/757553851.db2.gz OGIWMGGWSGOVPM-FOGDFJRCSA-N 1 2 310.785 1.213 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(C)c(=O)[nH]1)C2 ZINC001097786096 757553860 /nfs/dbraw/zinc/55/38/60/757553860.db2.gz OGIWMGGWSGOVPM-FOGDFJRCSA-N 1 2 310.785 1.213 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3ccon3)[C@@H]2C1 ZINC001084518785 757633269 /nfs/dbraw/zinc/63/32/69/757633269.db2.gz JZMNLVXUWWNVCB-TZMCWYRMSA-N 1 2 309.797 1.892 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3ccon3)[C@@H]2C1 ZINC001084518785 757633276 /nfs/dbraw/zinc/63/32/76/757633276.db2.gz JZMNLVXUWWNVCB-TZMCWYRMSA-N 1 2 309.797 1.892 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@]2(C1)CCCN(CC#N)C2 ZINC001040509151 762570584 /nfs/dbraw/zinc/57/05/84/762570584.db2.gz DXVPUKBNHZNKKW-MRXNPFEDSA-N 1 2 301.394 1.099 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2cn[nH]c21 ZINC001017555452 758024301 /nfs/dbraw/zinc/02/43/01/758024301.db2.gz NMPXIXKXFZMQAZ-XHSDSOJGSA-N 1 2 312.417 1.528 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2cn[nH]c21 ZINC001017555452 758024312 /nfs/dbraw/zinc/02/43/12/758024312.db2.gz NMPXIXKXFZMQAZ-XHSDSOJGSA-N 1 2 312.417 1.528 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[N@H+](Cc3cnns3)[C@H]2C1 ZINC001084906968 758048061 /nfs/dbraw/zinc/04/80/61/758048061.db2.gz OSTPNQMDCOLKIM-LOWVWBTDSA-N 1 2 305.407 1.121 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[N@@H+](Cc3cnns3)[C@H]2C1 ZINC001084906968 758048072 /nfs/dbraw/zinc/04/80/72/758048072.db2.gz OSTPNQMDCOLKIM-LOWVWBTDSA-N 1 2 305.407 1.121 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C[C@@H]21 ZINC001084946073 758086996 /nfs/dbraw/zinc/08/69/96/758086996.db2.gz JEFUXUOXSJDEML-WOPDTQHZSA-N 1 2 306.303 1.833 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C[C@@H]21 ZINC001084946073 758087001 /nfs/dbraw/zinc/08/70/01/758087001.db2.gz JEFUXUOXSJDEML-WOPDTQHZSA-N 1 2 306.303 1.833 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2cc(OC)no2)C[C@@H]1O ZINC001099859186 758123572 /nfs/dbraw/zinc/12/35/72/758123572.db2.gz YHRLEDIEMFQYNX-KBPBESRZSA-N 1 2 323.393 1.091 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2cc(OC)no2)C[C@@H]1O ZINC001099859186 758123579 /nfs/dbraw/zinc/12/35/79/758123579.db2.gz YHRLEDIEMFQYNX-KBPBESRZSA-N 1 2 323.393 1.091 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001053139146 758197258 /nfs/dbraw/zinc/19/72/58/758197258.db2.gz VBTHMMCWGMONQG-CHWSQXEVSA-N 1 2 318.421 1.375 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2n[nH]cc21 ZINC001017785455 758239291 /nfs/dbraw/zinc/23/92/91/758239291.db2.gz LMVBAILEDPFCJV-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2n[nH]cc21 ZINC001017785455 758239296 /nfs/dbraw/zinc/23/92/96/758239296.db2.gz LMVBAILEDPFCJV-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cn(C)nc1CC)CCO2 ZINC001053298368 758354541 /nfs/dbraw/zinc/35/45/41/758354541.db2.gz PJDONPINKLYQTN-UHFFFAOYSA-N 1 2 318.421 1.085 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1conc1C)CCO2 ZINC001053406526 758436676 /nfs/dbraw/zinc/43/66/76/758436676.db2.gz IRXMDYNUCFZRON-UHFFFAOYSA-N 1 2 305.378 1.476 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@@H]1CC[C@@H](C)O1)CCO2 ZINC001053408603 758439488 /nfs/dbraw/zinc/43/94/88/758439488.db2.gz LMENYGHJJNHCPR-CABCVRRESA-N 1 2 308.422 1.433 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1n[nH]cc1F)CCO2 ZINC001053416788 758447055 /nfs/dbraw/zinc/44/70/55/758447055.db2.gz DTMUFQQXRDUWFM-UHFFFAOYSA-N 1 2 308.357 1.042 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCOC3(C[NH+](C[C@H](C)OC)C3)C2)C1 ZINC001053418601 758448158 /nfs/dbraw/zinc/44/81/58/758448158.db2.gz XHSLDLCBYSGFKW-AWEZNQCLSA-N 1 2 308.422 1.291 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067218047 758515006 /nfs/dbraw/zinc/51/50/06/758515006.db2.gz PWVYOUYSVUIWNG-LRDDRELGSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067218047 758515014 /nfs/dbraw/zinc/51/50/14/758515014.db2.gz PWVYOUYSVUIWNG-LRDDRELGSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1csc(C)n1)CCO2 ZINC001053526676 758534538 /nfs/dbraw/zinc/53/45/38/758534538.db2.gz RAOGHWRFJIEDCF-UHFFFAOYSA-N 1 2 321.446 1.483 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1CC(F)(F)C1)O2 ZINC001053586598 758601815 /nfs/dbraw/zinc/60/18/15/758601815.db2.gz VQBFJDGYPNWHOH-ZDUSSCGKSA-N 1 2 314.376 1.957 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1CC2(C1)CCOCC2 ZINC001018176036 758603421 /nfs/dbraw/zinc/60/34/21/758603421.db2.gz XSVMMXGMNUFJGD-CALCHBBNSA-N 1 2 316.445 1.892 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1CC2(C1)CCOCC2 ZINC001018176036 758603423 /nfs/dbraw/zinc/60/34/23/758603423.db2.gz XSVMMXGMNUFJGD-CALCHBBNSA-N 1 2 316.445 1.892 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1[nH]ccc1C)O2 ZINC001053597957 758619708 /nfs/dbraw/zinc/61/97/08/758619708.db2.gz LYUKTDVCBMNXNP-AWEZNQCLSA-N 1 2 303.406 1.862 20 30 DDEDLO C#CCN1CC2(C1)CC[C@@H](CNC(=O)c1cc3c[nH+]ccc3[nH]1)O2 ZINC001053618214 758636268 /nfs/dbraw/zinc/63/62/68/758636268.db2.gz SEOQQBPDKVNWJU-AWEZNQCLSA-N 1 2 324.384 1.159 20 30 DDEDLO C#CCN1CC2(C1)CC[C@H](CNC(=O)c1cc3c[nH+]ccc3[nH]1)O2 ZINC001053618215 758637204 /nfs/dbraw/zinc/63/72/04/758637204.db2.gz SEOQQBPDKVNWJU-CQSZACIVSA-N 1 2 324.384 1.159 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccnc(OC)c1)O2 ZINC001053621913 758640189 /nfs/dbraw/zinc/64/01/89/758640189.db2.gz LILDBBDHLYBMKL-CQSZACIVSA-N 1 2 317.389 1.239 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2cccnc2C1 ZINC001018222882 758645285 /nfs/dbraw/zinc/64/52/85/758645285.db2.gz KNKWWTHIOYLMBI-YESZJQIVSA-N 1 2 309.413 1.495 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2cccnc2C1 ZINC001018222882 758645288 /nfs/dbraw/zinc/64/52/88/758645288.db2.gz KNKWWTHIOYLMBI-YESZJQIVSA-N 1 2 309.413 1.495 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnc(C)nc1C)O2 ZINC001053681722 758690986 /nfs/dbraw/zinc/69/09/86/758690986.db2.gz RJUXXLFBSLJAKR-AWEZNQCLSA-N 1 2 316.405 1.243 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)n1C)CO2 ZINC001053736230 758750688 /nfs/dbraw/zinc/75/06/88/758750688.db2.gz RNPJONKNXZXGBY-CQSZACIVSA-N 1 2 303.406 1.483 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C#CC(C)(C)C)CC2=O)C1 ZINC001108544733 762658173 /nfs/dbraw/zinc/65/81/73/762658173.db2.gz JMBANQSVKCTLKQ-AWEZNQCLSA-N 1 2 317.433 1.013 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C#CC(C)(C)C)CC2=O)C1 ZINC001108544734 762658652 /nfs/dbraw/zinc/65/86/52/762658652.db2.gz JMBANQSVKCTLKQ-CQSZACIVSA-N 1 2 317.433 1.013 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ncccc1CC)CO2 ZINC001053853706 758885183 /nfs/dbraw/zinc/88/51/83/758885183.db2.gz NBGWEWPULAQPFF-HNNXBMFYSA-N 1 2 313.401 1.240 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(CC)s1)CO2 ZINC001053864084 758896822 /nfs/dbraw/zinc/89/68/22/758896822.db2.gz VMUONUUJLBJSPE-CYBMUJFWSA-N 1 2 318.442 1.907 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc[nH]c1C1CC1)CO2 ZINC001053908267 758941238 /nfs/dbraw/zinc/94/12/38/758941238.db2.gz OLGDPPYGUGXYAW-CQSZACIVSA-N 1 2 313.401 1.489 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1conc1CC)CO2 ZINC001053919108 758953804 /nfs/dbraw/zinc/95/38/04/758953804.db2.gz FALNYRWCWIMRTM-LBPRGKRZSA-N 1 2 305.378 1.386 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)ccc1C)CO2 ZINC001053993964 759040549 /nfs/dbraw/zinc/04/05/49/759040549.db2.gz YFYWRHGIQSUKBV-INIZCTEOSA-N 1 2 312.413 1.900 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC001018633177 759052703 /nfs/dbraw/zinc/05/27/03/759052703.db2.gz UXJDPPBCIIOVND-MNOVXSKESA-N 1 2 305.382 1.217 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(F)s1)CO2 ZINC001054021088 759071684 /nfs/dbraw/zinc/07/16/84/759071684.db2.gz BZVKFOOCYQGBGT-LLVKDONJSA-N 1 2 308.378 1.484 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnon1 ZINC001054057009 759110942 /nfs/dbraw/zinc/11/09/42/759110942.db2.gz ZGACCFAFDQSMIX-HNNXBMFYSA-N 1 2 310.357 1.250 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnon1 ZINC001054057009 759110946 /nfs/dbraw/zinc/11/09/46/759110946.db2.gz ZGACCFAFDQSMIX-HNNXBMFYSA-N 1 2 310.357 1.250 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001066225557 759136615 /nfs/dbraw/zinc/13/66/15/759136615.db2.gz HJZXUQCWFUMUFG-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC001018830402 759317189 /nfs/dbraw/zinc/31/71/89/759317189.db2.gz JJCDIKYRMGPHSJ-AWEZNQCLSA-N 1 2 314.389 1.441 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cccc(=O)[nH]3)cc2C1 ZINC001054260176 759359004 /nfs/dbraw/zinc/35/90/04/759359004.db2.gz DMTXNRCEQSOTNR-UHFFFAOYSA-N 1 2 307.353 1.666 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cccc(=O)[nH]3)cc2C1 ZINC001054260176 759359014 /nfs/dbraw/zinc/35/90/14/759359014.db2.gz DMTXNRCEQSOTNR-UHFFFAOYSA-N 1 2 307.353 1.666 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1ccnn1C ZINC001085511881 759585043 /nfs/dbraw/zinc/58/50/43/759585043.db2.gz FRHIEUOQVFWKGF-QGZVFWFLSA-N 1 2 322.412 1.618 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1ccnn1C ZINC001085511881 759585049 /nfs/dbraw/zinc/58/50/49/759585049.db2.gz FRHIEUOQVFWKGF-QGZVFWFLSA-N 1 2 322.412 1.618 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc(CCOC)no2)C1 ZINC001019238163 759708413 /nfs/dbraw/zinc/70/84/13/759708413.db2.gz OYDKXKHQKMDCDT-LBPRGKRZSA-N 1 2 322.409 1.161 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]([NH2+]Cc3nncs3)C2)CC1 ZINC001019331363 759809693 /nfs/dbraw/zinc/80/96/93/759809693.db2.gz VWQMXLJRDMWRJP-ZDUSSCGKSA-N 1 2 306.435 1.975 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2conc2COC)C1 ZINC001046766141 767904299 /nfs/dbraw/zinc/90/42/99/767904299.db2.gz QAQKNCYTPSQSOX-AWEZNQCLSA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2conc2COC)C1 ZINC001046766141 767904304 /nfs/dbraw/zinc/90/43/04/767904304.db2.gz QAQKNCYTPSQSOX-AWEZNQCLSA-N 1 2 313.785 1.768 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)n([C@@H](C)C2CC2)n1 ZINC001085684763 760009698 /nfs/dbraw/zinc/00/96/98/760009698.db2.gz ARNXIXBGTBXHSH-HOCLYGCPSA-N 1 2 314.433 1.942 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)n([C@@H](C)C2CC2)n1 ZINC001085684763 760009711 /nfs/dbraw/zinc/00/97/11/760009711.db2.gz ARNXIXBGTBXHSH-HOCLYGCPSA-N 1 2 314.433 1.942 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nc2c(s1)CCC2 ZINC001085723490 760121598 /nfs/dbraw/zinc/12/15/98/760121598.db2.gz XQTHREUMFXGICK-LBPRGKRZSA-N 1 2 303.431 1.801 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nc2c(s1)CCC2 ZINC001085723490 760121604 /nfs/dbraw/zinc/12/16/04/760121604.db2.gz XQTHREUMFXGICK-LBPRGKRZSA-N 1 2 303.431 1.801 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nn(C)c2c1CCCC2 ZINC001085829639 760321155 /nfs/dbraw/zinc/32/11/55/760321155.db2.gz CPYFLSQOYWCEAQ-CQSZACIVSA-N 1 2 314.433 1.469 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nn(C)c2c1CCCC2 ZINC001085829639 760321168 /nfs/dbraw/zinc/32/11/68/760321168.db2.gz CPYFLSQOYWCEAQ-CQSZACIVSA-N 1 2 314.433 1.469 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2cnccc2n1 ZINC001085843660 760347606 /nfs/dbraw/zinc/34/76/06/760347606.db2.gz SDCJVQXZJMPIEX-HNNXBMFYSA-N 1 2 308.385 1.799 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc2cnccc2n1 ZINC001085843660 760347614 /nfs/dbraw/zinc/34/76/14/760347614.db2.gz SDCJVQXZJMPIEX-HNNXBMFYSA-N 1 2 308.385 1.799 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn2cccc(OC)c12 ZINC001085877376 760436020 /nfs/dbraw/zinc/43/60/20/760436020.db2.gz ZXMZBNXIUAAZMA-CYBMUJFWSA-N 1 2 312.373 1.122 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn2cccc(OC)c12 ZINC001085877376 760436023 /nfs/dbraw/zinc/43/60/23/760436023.db2.gz ZXMZBNXIUAAZMA-CYBMUJFWSA-N 1 2 312.373 1.122 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(OC2CCC2)c1 ZINC001085896842 760496532 /nfs/dbraw/zinc/49/65/32/760496532.db2.gz OOXNRPPMLPARSH-HNNXBMFYSA-N 1 2 313.401 1.792 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(OC2CCC2)c1 ZINC001085896842 760496538 /nfs/dbraw/zinc/49/65/38/760496538.db2.gz OOXNRPPMLPARSH-HNNXBMFYSA-N 1 2 313.401 1.792 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nc2ccccn2c1F ZINC001085910138 760518641 /nfs/dbraw/zinc/51/86/41/760518641.db2.gz YTZKAAAILGXDLZ-LBPRGKRZSA-N 1 2 300.337 1.253 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nc2ccccn2c1F ZINC001085910138 760518648 /nfs/dbraw/zinc/51/86/48/760518648.db2.gz YTZKAAAILGXDLZ-LBPRGKRZSA-N 1 2 300.337 1.253 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ccc(C#N)nc1 ZINC001069374785 767998653 /nfs/dbraw/zinc/99/86/53/767998653.db2.gz AYGDVJPWNIACRG-BBRMVZONSA-N 1 2 324.388 1.323 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C3CC3)no2)C1 ZINC001108251578 761153572 /nfs/dbraw/zinc/15/35/72/761153572.db2.gz IGEITKWPNDQEQN-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C3CC3)no2)C1 ZINC001108251578 761153576 /nfs/dbraw/zinc/15/35/76/761153576.db2.gz IGEITKWPNDQEQN-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)n1 ZINC001056619949 761294898 /nfs/dbraw/zinc/29/48/98/761294898.db2.gz QPXIEEACFHVSEW-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO N#Cc1cnc(N[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)c(F)c1 ZINC001056658456 761322132 /nfs/dbraw/zinc/32/21/32/761322132.db2.gz RTFXQCMBZPFEEC-GFCCVEGCSA-N 1 2 314.324 1.002 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(Br)co1 ZINC001038773610 761364999 /nfs/dbraw/zinc/36/49/99/761364999.db2.gz SFGTUYPDTORNLP-NSHDSACASA-N 1 2 311.179 1.870 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(Br)co1 ZINC001038773610 761365008 /nfs/dbraw/zinc/36/50/08/761365008.db2.gz SFGTUYPDTORNLP-NSHDSACASA-N 1 2 311.179 1.870 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@@H](Nc2ccc(C#N)cn2)C1)n1cc[nH+]c1 ZINC001056792885 761433684 /nfs/dbraw/zinc/43/36/84/761433684.db2.gz LAIUFTQOZSCIGS-UKRRQHHQSA-N 1 2 324.388 1.814 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(C(CC)CC)c1C ZINC001038904270 761530069 /nfs/dbraw/zinc/53/00/69/761530069.db2.gz UQLKBMGOTLSSAT-OAHLLOKOSA-N 1 2 302.422 1.990 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(C(CC)CC)c1C ZINC001038904270 761530072 /nfs/dbraw/zinc/53/00/72/761530072.db2.gz UQLKBMGOTLSSAT-OAHLLOKOSA-N 1 2 302.422 1.990 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2ccnn2)cc1 ZINC001038912089 761538521 /nfs/dbraw/zinc/53/85/21/761538521.db2.gz JBJWXUUFOOJYGA-MRXNPFEDSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2ccnn2)cc1 ZINC001038912089 761538524 /nfs/dbraw/zinc/53/85/24/761538524.db2.gz JBJWXUUFOOJYGA-MRXNPFEDSA-N 1 2 309.373 1.095 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccn3ccnc23)C1 ZINC001108275738 761595757 /nfs/dbraw/zinc/59/57/57/761595757.db2.gz JNDBARNUYRPPCY-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccn3ccnc23)C1 ZINC001108275738 761595766 /nfs/dbraw/zinc/59/57/66/761595766.db2.gz JNDBARNUYRPPCY-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(N=NC(N)=O)cc1 ZINC001039061479 761700794 /nfs/dbraw/zinc/70/07/94/761700794.db2.gz QASHYTNTLNRLMC-AWEZNQCLSA-N 1 2 313.361 1.676 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(N=NC(N)=O)cc1 ZINC001039061479 761700799 /nfs/dbraw/zinc/70/07/99/761700799.db2.gz QASHYTNTLNRLMC-AWEZNQCLSA-N 1 2 313.361 1.676 20 30 DDEDLO N#CCN1CC=C(CNC(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC001001326057 762764112 /nfs/dbraw/zinc/76/41/12/762764112.db2.gz YCRHVJYNDKJTAN-UHFFFAOYSA-N 1 2 321.384 1.758 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108672317 762842387 /nfs/dbraw/zinc/84/23/87/762842387.db2.gz TVYBCCMZIHXFHL-ZBEGNZNMSA-N 1 2 320.441 1.765 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccco1)C2 ZINC001108864441 763029616 /nfs/dbraw/zinc/02/96/16/763029616.db2.gz OAACIHCXRLNUPJ-CRWXNKLISA-N 1 2 317.389 1.305 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccco1)C2 ZINC001108864441 763029623 /nfs/dbraw/zinc/02/96/23/763029623.db2.gz OAACIHCXRLNUPJ-CRWXNKLISA-N 1 2 317.389 1.305 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001050258734 763382508 /nfs/dbraw/zinc/38/25/08/763382508.db2.gz DKPVCZRSDGXJLO-KGLIPLIRSA-N 1 2 304.394 1.203 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)C)C2 ZINC001109245985 763507552 /nfs/dbraw/zinc/50/75/52/763507552.db2.gz JSDVGBPCGBNXIL-PMPSAXMXSA-N 1 2 319.449 1.284 20 30 DDEDLO CCCCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)C)C2 ZINC001109245985 763507556 /nfs/dbraw/zinc/50/75/56/763507556.db2.gz JSDVGBPCGBNXIL-PMPSAXMXSA-N 1 2 319.449 1.284 20 30 DDEDLO Cc1cc(N(C)C[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)c(C#N)cn1 ZINC001109265245 763527671 /nfs/dbraw/zinc/52/76/71/763527671.db2.gz NYTNQZZYXCVIMT-LBPRGKRZSA-N 1 2 312.377 1.168 20 30 DDEDLO CN(CCCN(C)C(=O)Cc1[nH]cc[nH+]1)C(=O)C#CC(C)(C)C ZINC001067381363 763539776 /nfs/dbraw/zinc/53/97/76/763539776.db2.gz BQSWIXMEYGOSIP-UHFFFAOYSA-N 1 2 318.421 1.309 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)no1 ZINC001042058376 763601958 /nfs/dbraw/zinc/60/19/58/763601958.db2.gz QSODIHTVFHDRSH-WBVHZDCISA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)no1 ZINC001042058376 763601962 /nfs/dbraw/zinc/60/19/62/763601962.db2.gz QSODIHTVFHDRSH-WBVHZDCISA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)o1 ZINC001042058704 763602123 /nfs/dbraw/zinc/60/21/23/763602123.db2.gz UTLCDPYPWRBVRM-CABCVRRESA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)o1 ZINC001042058704 763602125 /nfs/dbraw/zinc/60/21/25/763602125.db2.gz UTLCDPYPWRBVRM-CABCVRRESA-N 1 2 314.389 1.214 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@H]21 ZINC001042066507 763609560 /nfs/dbraw/zinc/60/95/60/763609560.db2.gz GHPBXFWSNIOHBH-CZUORRHYSA-N 1 2 323.400 1.518 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@H]21 ZINC001042066507 763609561 /nfs/dbraw/zinc/60/95/61/763609561.db2.gz GHPBXFWSNIOHBH-CZUORRHYSA-N 1 2 323.400 1.518 20 30 DDEDLO Cc1nc([C@H](C)[NH+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)CC2)no1 ZINC001050463644 763625894 /nfs/dbraw/zinc/62/58/94/763625894.db2.gz WIXPMKACHWZGCB-NEPJUHHUSA-N 1 2 317.393 1.523 20 30 DDEDLO Cc1nnc(C[NH+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)CC2)s1 ZINC001050463745 763626276 /nfs/dbraw/zinc/62/62/76/763626276.db2.gz ZHDJBBCRCILQNK-NSHDSACASA-N 1 2 319.434 1.431 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NCC)CC1 ZINC001109674362 763938881 /nfs/dbraw/zinc/93/88/81/763938881.db2.gz DODCBPVXMSOEBZ-PMPSAXMXSA-N 1 2 319.449 1.590 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NCC)CC1 ZINC001109674362 763938885 /nfs/dbraw/zinc/93/88/85/763938885.db2.gz DODCBPVXMSOEBZ-PMPSAXMXSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cnns3)c2C1 ZINC001069854331 768184427 /nfs/dbraw/zinc/18/44/27/768184427.db2.gz DUZXDZSVDXGCGC-UHFFFAOYSA-N 1 2 318.406 1.056 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cnns3)c2C1 ZINC001069854331 768184428 /nfs/dbraw/zinc/18/44/28/768184428.db2.gz DUZXDZSVDXGCGC-UHFFFAOYSA-N 1 2 318.406 1.056 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C2CC2)nn1C ZINC001050940383 764313446 /nfs/dbraw/zinc/31/34/46/764313446.db2.gz QTFAHXIVTHUEBH-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C2CC2)nn1C ZINC001050940383 764313450 /nfs/dbraw/zinc/31/34/50/764313450.db2.gz QTFAHXIVTHUEBH-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccccc1COC ZINC001051001694 764404474 /nfs/dbraw/zinc/40/44/74/764404474.db2.gz VXOWLERLWDBZQB-INIZCTEOSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccccc1COC ZINC001051001694 764404484 /nfs/dbraw/zinc/40/44/84/764404484.db2.gz VXOWLERLWDBZQB-INIZCTEOSA-N 1 2 318.417 1.840 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1coc(OCC)n1 ZINC001051006549 764409326 /nfs/dbraw/zinc/40/93/26/764409326.db2.gz TUYNLPIQEXDAEK-CYBMUJFWSA-N 1 2 323.393 1.470 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1coc(OCC)n1 ZINC001051006549 764409329 /nfs/dbraw/zinc/40/93/29/764409329.db2.gz TUYNLPIQEXDAEK-CYBMUJFWSA-N 1 2 323.393 1.470 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001051055571 764458611 /nfs/dbraw/zinc/45/86/11/764458611.db2.gz SLPZMOHXPNLVKO-CQSZACIVSA-N 1 2 306.410 1.044 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001051055571 764458617 /nfs/dbraw/zinc/45/86/17/764458617.db2.gz SLPZMOHXPNLVKO-CQSZACIVSA-N 1 2 306.410 1.044 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2CCCN2c2ccccc2)C1 ZINC001042878987 764503056 /nfs/dbraw/zinc/50/30/56/764503056.db2.gz PVZGZTQIJKCEIG-GOSISDBHSA-N 1 2 311.429 1.821 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCCC[C@H]2n2cccn2)C1 ZINC001042959691 764553716 /nfs/dbraw/zinc/55/37/16/764553716.db2.gz YGFAHIXOUPNDDJ-HZPDHXFCSA-N 1 2 302.422 1.943 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(C(C)C)c1 ZINC001051205319 764618716 /nfs/dbraw/zinc/61/87/16/764618716.db2.gz CVAQEUDEQWRBAC-MRXNPFEDSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(C(C)C)c1 ZINC001051205319 764618722 /nfs/dbraw/zinc/61/87/22/764618722.db2.gz CVAQEUDEQWRBAC-MRXNPFEDSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CCc2csc(C)n2)CC1 ZINC001112708659 764629143 /nfs/dbraw/zinc/62/91/43/764629143.db2.gz RKUMPHZWLSSMMX-UHFFFAOYSA-N 1 2 309.435 1.341 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc3n2CCCCC3)C1 ZINC001043065164 764629893 /nfs/dbraw/zinc/62/98/93/764629893.db2.gz LVLPIHMZIMYDAI-UHFFFAOYSA-N 1 2 300.406 1.389 20 30 DDEDLO CC(C)CCOCC[NH+]1CCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC001112749917 764691230 /nfs/dbraw/zinc/69/12/30/764691230.db2.gz NTJHTZFRYYNKMK-UHFFFAOYSA-N 1 2 318.421 1.707 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112796402 764776377 /nfs/dbraw/zinc/77/63/77/764776377.db2.gz UQUKUXRXLQEEOB-HIFRSBDPSA-N 1 2 318.421 1.803 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([C@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001051463556 764906611 /nfs/dbraw/zinc/90/66/11/764906611.db2.gz DZBBHFFDYZAJGR-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCNC(=O)c2ccsc2)CC1 ZINC001112986123 765087996 /nfs/dbraw/zinc/08/79/96/765087996.db2.gz ZNHBDTVLROXFSH-UHFFFAOYSA-N 1 2 307.419 1.198 20 30 DDEDLO C[C@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1ccncc1C#N ZINC001112993581 765102528 /nfs/dbraw/zinc/10/25/28/765102528.db2.gz JYEGYOLPFIEESM-RYUDHWBXSA-N 1 2 312.377 1.036 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCO[C@@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001051752922 765124928 /nfs/dbraw/zinc/12/49/28/765124928.db2.gz SHTYWUIBPAEWBL-ZDUSSCGKSA-N 1 2 322.409 1.297 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3cc(C)oc3C)C2)CC1 ZINC001051982032 765345377 /nfs/dbraw/zinc/34/53/77/765345377.db2.gz WVMNAFKVPZSFTK-MRXNPFEDSA-N 1 2 315.417 1.362 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cc(C)sn3)C2)CC1 ZINC001052018136 765390445 /nfs/dbraw/zinc/39/04/45/765390445.db2.gz DHHKTVWQMUTEPD-AWEZNQCLSA-N 1 2 320.462 1.470 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC[NH+](CCc2cnn(C)c2)CC1 ZINC001113230130 765441786 /nfs/dbraw/zinc/44/17/86/765441786.db2.gz XXGPNWWDTOKSRS-GJZGRUSLSA-N 1 2 304.438 1.565 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3csc(C)n3)C2)CC1 ZINC001052071508 765444290 /nfs/dbraw/zinc/44/42/90/765444290.db2.gz ULGYSKVFGBGQAB-AWEZNQCLSA-N 1 2 320.462 1.470 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113296801 765526865 /nfs/dbraw/zinc/52/68/65/765526865.db2.gz QVIRVMOSYYSLFT-NEPJUHHUSA-N 1 2 320.441 1.907 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccnc2OC(C)C)C1 ZINC001044387282 765527320 /nfs/dbraw/zinc/52/73/20/765527320.db2.gz RMCJPAJDVOUAPM-UHFFFAOYSA-N 1 2 301.390 1.648 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccc3c2OCC3)CC1 ZINC001113369966 765623596 /nfs/dbraw/zinc/62/35/96/765623596.db2.gz IIZMRZVENHMAHN-UHFFFAOYSA-N 1 2 316.401 1.582 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC001113388751 765651410 /nfs/dbraw/zinc/65/14/10/765651410.db2.gz NTXAITTYUDMYFN-UHFFFAOYSA-N 1 2 319.453 1.641 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@H](NCC#N)C[C@H]3C)ccn12 ZINC001044605262 765693372 /nfs/dbraw/zinc/69/33/72/765693372.db2.gz OWGGJQQVAIHQOG-DOMZBBRYSA-N 1 2 311.389 1.749 20 30 DDEDLO C#CC[NH2+][C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)[C@@H](C)C1 ZINC001044704123 765764576 /nfs/dbraw/zinc/76/45/76/765764576.db2.gz YEFGIRHRBSCYFK-BBRMVZONSA-N 1 2 323.400 1.688 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113529793 765814828 /nfs/dbraw/zinc/81/48/28/765814828.db2.gz AZDHHSZSKQRUFX-STQMWFEESA-N 1 2 306.410 1.707 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC001052435226 765824244 /nfs/dbraw/zinc/82/42/44/765824244.db2.gz MJCWJUHZWPAAHJ-AWEZNQCLSA-N 1 2 323.400 1.689 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC001052435226 765824251 /nfs/dbraw/zinc/82/42/51/765824251.db2.gz MJCWJUHZWPAAHJ-AWEZNQCLSA-N 1 2 323.400 1.689 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]1C[NH2+]Cc1nc(C(F)F)no1 ZINC001044962564 765971957 /nfs/dbraw/zinc/97/19/57/765971957.db2.gz NGADOVCYVSDQBV-IUCAKERBSA-N 1 2 313.308 1.247 20 30 DDEDLO CC(C)(C)C#CC(=O)NCCC[NH2+]Cc1nnsc1Cl ZINC001157718988 766263926 /nfs/dbraw/zinc/26/39/26/766263926.db2.gz LZYZFFMAPWSMOR-UHFFFAOYSA-N 1 2 314.842 1.837 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCC[C@H]2NC(=O)Cn2cc[nH+]c2)nc1 ZINC001045512154 766367822 /nfs/dbraw/zinc/36/78/22/766367822.db2.gz PPWPCSXICJMKGM-HUUCEWRRSA-N 1 2 324.388 1.689 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001058393178 766480662 /nfs/dbraw/zinc/48/06/62/766480662.db2.gz QERUUMPLVIUYBV-LBPRGKRZSA-N 1 2 313.336 1.289 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@H]3CC[C@@H](C2)N3CC#N)c[nH+]1 ZINC001045801361 766597216 /nfs/dbraw/zinc/59/72/16/766597216.db2.gz DZYSLGXCXFKVAH-CABCVRRESA-N 1 2 315.421 1.516 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccc(C#N)nc1 ZINC001067542275 766604482 /nfs/dbraw/zinc/60/44/82/766604482.db2.gz JLPVYUOKDASEKV-ZIAGYGMSSA-N 1 2 324.388 1.323 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccncc1C#N ZINC001067541957 766604936 /nfs/dbraw/zinc/60/49/36/766604936.db2.gz GRMMTZCXUAVCTI-HIFRSBDPSA-N 1 2 324.388 1.323 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccc(C#N)nc1 ZINC001067542272 766605194 /nfs/dbraw/zinc/60/51/94/766605194.db2.gz JLPVYUOKDASEKV-KBPBESRZSA-N 1 2 324.388 1.323 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001114107779 766644408 /nfs/dbraw/zinc/64/44/08/766644408.db2.gz FSZMQPQAGNERSJ-FOLVSLTJSA-N 1 2 305.378 1.204 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001114107779 766644411 /nfs/dbraw/zinc/64/44/11/766644411.db2.gz FSZMQPQAGNERSJ-FOLVSLTJSA-N 1 2 305.378 1.204 20 30 DDEDLO C[C@H]1CCN(c2ncccc2C#N)C[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067966572 766843560 /nfs/dbraw/zinc/84/35/60/766843560.db2.gz CSFZOJPCCYLFSS-JSGCOSHPSA-N 1 2 324.388 1.250 20 30 DDEDLO CC(C)C[C@@H]1C[C@H]1C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046065381 766878714 /nfs/dbraw/zinc/87/87/14/766878714.db2.gz NRYDOKFUWMPIFA-IXDOHACOSA-N 1 2 318.465 1.411 20 30 DDEDLO CC(C)C[C@@H]1C[C@H]1C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046065380 766879693 /nfs/dbraw/zinc/87/96/93/766879693.db2.gz NRYDOKFUWMPIFA-BRWVUGGUSA-N 1 2 318.465 1.411 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+][C@H](C)c1csnn1 ZINC001129502990 766908008 /nfs/dbraw/zinc/90/80/08/766908008.db2.gz URLBJNTZNAZCMW-LLVKDONJSA-N 1 2 305.407 1.606 20 30 DDEDLO C=CCn1c(N2CC3CC2(C)C3)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001121607653 782590170 /nfs/dbraw/zinc/59/01/70/782590170.db2.gz PCJCUYMTXSVZBX-DCTKJOLLSA-N 1 2 317.437 1.364 20 30 DDEDLO C=CCn1c(N2CC3CC2(C)C3)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121607653 782590179 /nfs/dbraw/zinc/59/01/79/782590179.db2.gz PCJCUYMTXSVZBX-DCTKJOLLSA-N 1 2 317.437 1.364 20 30 DDEDLO CC1(C)CN(c2ccncc2C#N)C[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001068139357 766944680 /nfs/dbraw/zinc/94/46/80/766944680.db2.gz AQCMAQWJBGIIMD-HNNXBMFYSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H]1C[C@H](CNc2ccc(C#N)nc2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068348553 767161476 /nfs/dbraw/zinc/16/14/76/767161476.db2.gz SAWCGRBXZZKTBT-CHWSQXEVSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCC[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001046319085 767417246 /nfs/dbraw/zinc/41/72/46/767417246.db2.gz PDQCQFZFFRACDK-YOEHRIQHSA-N 1 2 300.406 1.434 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC001068595464 767445001 /nfs/dbraw/zinc/44/50/01/767445001.db2.gz BMSPVADINZGJSS-MQMHXKEQSA-N 1 2 324.388 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnc(C)nc2OC)C1 ZINC001046361837 767468904 /nfs/dbraw/zinc/46/89/04/767468904.db2.gz JFLMORNWBIALGK-OAHLLOKOSA-N 1 2 324.812 1.740 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnc(C)nc2OC)C1 ZINC001046361837 767468909 /nfs/dbraw/zinc/46/89/09/767468909.db2.gz JFLMORNWBIALGK-OAHLLOKOSA-N 1 2 324.812 1.740 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cc(OCC)n[nH]2)C1 ZINC001046412072 767529379 /nfs/dbraw/zinc/52/93/79/767529379.db2.gz HQOLJDCCTRVSNI-CQSZACIVSA-N 1 2 312.801 1.755 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc(OCC)n[nH]2)C1 ZINC001046412072 767529383 /nfs/dbraw/zinc/52/93/83/767529383.db2.gz HQOLJDCCTRVSNI-CQSZACIVSA-N 1 2 312.801 1.755 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnn(CCF)c2)C1 ZINC001046431549 767554812 /nfs/dbraw/zinc/55/48/12/767554812.db2.gz FJPBZWKGJLPLAZ-AWEZNQCLSA-N 1 2 314.792 1.799 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnn(CCF)c2)C1 ZINC001046431549 767554815 /nfs/dbraw/zinc/55/48/15/767554815.db2.gz FJPBZWKGJLPLAZ-AWEZNQCLSA-N 1 2 314.792 1.799 20 30 DDEDLO Cn1ncc(C(=O)N[C@@]2(C)CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001046695253 767814957 /nfs/dbraw/zinc/81/49/57/767814957.db2.gz KMXFKHCYAFXCOT-KRWDZBQOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1ncc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001046695253 767814965 /nfs/dbraw/zinc/81/49/65/767814965.db2.gz KMXFKHCYAFXCOT-KRWDZBQOSA-N 1 2 324.388 1.081 20 30 DDEDLO C[C@@]1(NC(=O)c2nnc[nH]2)CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001046715070 767839279 /nfs/dbraw/zinc/83/92/79/767839279.db2.gz UQILIZKNXSAXEC-CQSZACIVSA-N 1 2 316.390 1.132 20 30 DDEDLO C[C@@]1(NC(=O)c2nnc[nH]2)CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001046715070 767839285 /nfs/dbraw/zinc/83/92/85/767839285.db2.gz UQILIZKNXSAXEC-CQSZACIVSA-N 1 2 316.390 1.132 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098084765 767845205 /nfs/dbraw/zinc/84/52/05/767845205.db2.gz UFKLQSKTZMEULJ-LLVKDONJSA-N 1 2 302.382 1.636 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2C[N@H+](Cc3ccns3)C[C@@H]2O)C1 ZINC001047519853 768539058 /nfs/dbraw/zinc/53/90/58/768539058.db2.gz UIIJZLMZMZLDSM-KBPBESRZSA-N 1 2 321.446 1.503 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2C[N@@H+](Cc3ccns3)C[C@@H]2O)C1 ZINC001047519853 768539059 /nfs/dbraw/zinc/53/90/59/768539059.db2.gz UIIJZLMZMZLDSM-KBPBESRZSA-N 1 2 321.446 1.503 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCn2ccnn2)CC[C@H]1C ZINC001131992528 768545396 /nfs/dbraw/zinc/54/53/96/768545396.db2.gz MORBWFRAZZBVDC-OLZOCXBDSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCn2ccnn2)CC[C@H]1C ZINC001131992528 768545402 /nfs/dbraw/zinc/54/54/02/768545402.db2.gz MORBWFRAZZBVDC-OLZOCXBDSA-N 1 2 311.817 1.390 20 30 DDEDLO CCCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132018620 768576200 /nfs/dbraw/zinc/57/62/00/768576200.db2.gz XZHDTJNMEOVTER-GJZGRUSLSA-N 1 2 307.438 1.141 20 30 DDEDLO CCCNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132018620 768576206 /nfs/dbraw/zinc/57/62/06/768576206.db2.gz XZHDTJNMEOVTER-GJZGRUSLSA-N 1 2 307.438 1.141 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2oc(CCC)nc2C)C1 ZINC001047596604 768599161 /nfs/dbraw/zinc/59/91/61/768599161.db2.gz UAIDTJWHYYNRMK-KBPBESRZSA-N 1 2 319.405 1.076 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2oc(CCC)nc2C)C1 ZINC001047596604 768599163 /nfs/dbraw/zinc/59/91/63/768599163.db2.gz UAIDTJWHYYNRMK-KBPBESRZSA-N 1 2 319.405 1.076 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(F)cc2Cl)C1 ZINC001047616737 768614070 /nfs/dbraw/zinc/61/40/70/768614070.db2.gz UTWRQFVGGNHBPM-KBPBESRZSA-N 1 2 310.756 1.229 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(F)cc2Cl)C1 ZINC001047616737 768614073 /nfs/dbraw/zinc/61/40/73/768614073.db2.gz UTWRQFVGGNHBPM-KBPBESRZSA-N 1 2 310.756 1.229 20 30 DDEDLO CC(C)C(C)(C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070650011 768644902 /nfs/dbraw/zinc/64/49/02/768644902.db2.gz CTVMEEDJJRWDCN-ZIAGYGMSSA-N 1 2 317.437 1.510 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCc2c[nH+]cn2C1)Nc1ncccc1C#N ZINC001098125751 768658171 /nfs/dbraw/zinc/65/81/71/768658171.db2.gz MIUUEFZXKUPIGU-JSGCOSHPSA-N 1 2 324.388 1.329 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001132301987 768739207 /nfs/dbraw/zinc/73/92/07/768739207.db2.gz KKLZYWLBUSBQTE-NSHDSACASA-N 1 2 310.398 1.585 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C(N)=O)c[nH]1)C2 ZINC001096248743 768752590 /nfs/dbraw/zinc/75/25/90/768752590.db2.gz UOQQIXQMCFGRSZ-MDZLAQPJSA-N 1 2 322.796 1.201 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C(N)=O)c[nH]1)C2 ZINC001096248743 768752591 /nfs/dbraw/zinc/75/25/91/768752591.db2.gz UOQQIXQMCFGRSZ-MDZLAQPJSA-N 1 2 322.796 1.201 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2nccs2)CC[C@@H]1C ZINC001132373069 768791212 /nfs/dbraw/zinc/79/12/12/768791212.db2.gz XZPHOAVSCDCKIJ-QWHCGFSZSA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2nccs2)CC[C@@H]1C ZINC001132373069 768791217 /nfs/dbraw/zinc/79/12/17/768791217.db2.gz XZPHOAVSCDCKIJ-QWHCGFSZSA-N 1 2 307.419 1.376 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070933811 768792182 /nfs/dbraw/zinc/79/21/82/768792182.db2.gz FOGRWFWUMOBRBY-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)c2[nH]ccc2C)CC1 ZINC001070959763 768813033 /nfs/dbraw/zinc/81/30/33/768813033.db2.gz HBIDOSSNSDWCTG-UHFFFAOYSA-N 1 2 318.421 1.163 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)c2[nH]ccc2C)CC1 ZINC001070959763 768813042 /nfs/dbraw/zinc/81/30/42/768813042.db2.gz HBIDOSSNSDWCTG-UHFFFAOYSA-N 1 2 318.421 1.163 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001071057460 768920275 /nfs/dbraw/zinc/92/02/75/768920275.db2.gz QVPBWYBUDZKQRF-CQSZACIVSA-N 1 2 318.421 1.724 20 30 DDEDLO C/C=C(/C)C(=O)NCC[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC001096281050 768927557 /nfs/dbraw/zinc/92/75/57/768927557.db2.gz RFBADNOUFACTHS-BNNQUZSASA-N 1 2 313.405 1.158 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)CC[C@H]1C ZINC001071588748 769671940 /nfs/dbraw/zinc/67/19/40/769671940.db2.gz KCQIYWRGXCREHE-KOLCDFICSA-N 1 2 312.801 1.759 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)CC[C@H]1C ZINC001071588748 769671943 /nfs/dbraw/zinc/67/19/43/769671943.db2.gz KCQIYWRGXCREHE-KOLCDFICSA-N 1 2 312.801 1.759 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2nc(C3CCC3)no2)C1 ZINC001133564331 769991112 /nfs/dbraw/zinc/99/11/12/769991112.db2.gz NLXYELGVJWJMCY-UHFFFAOYSA-N 1 2 304.394 1.899 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@@H](C)C1 ZINC001071788632 770076333 /nfs/dbraw/zinc/07/63/33/770076333.db2.gz ZVRAPDCGJDLANH-FZMZJTMJSA-N 1 2 304.394 1.190 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2nnc[nH]2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071908390 770265138 /nfs/dbraw/zinc/26/51/38/770265138.db2.gz UEFZPQZSQUWXFH-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2nnc[nH]2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071908390 770265143 /nfs/dbraw/zinc/26/51/43/770265143.db2.gz UEFZPQZSQUWXFH-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2ncn[nH]2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071908390 770265146 /nfs/dbraw/zinc/26/51/46/770265146.db2.gz UEFZPQZSQUWXFH-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2ncn[nH]2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071908390 770265149 /nfs/dbraw/zinc/26/51/49/770265149.db2.gz UEFZPQZSQUWXFH-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2ncn[nH]2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071912171 770273302 /nfs/dbraw/zinc/27/33/02/770273302.db2.gz XFOGWEOIPYUADZ-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2ncn[nH]2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071912171 770273308 /nfs/dbraw/zinc/27/33/08/770273308.db2.gz XFOGWEOIPYUADZ-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2nc[nH]n2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071912171 770273313 /nfs/dbraw/zinc/27/33/13/770273313.db2.gz XFOGWEOIPYUADZ-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2nc[nH]n2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071912171 770273318 /nfs/dbraw/zinc/27/33/18/770273318.db2.gz XFOGWEOIPYUADZ-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)CC[C@@H]1C ZINC001071942880 770318955 /nfs/dbraw/zinc/31/89/55/770318955.db2.gz UQBXLHWJABDURO-CMPLNLGQSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)CC[C@@H]1C ZINC001071942880 770318965 /nfs/dbraw/zinc/31/89/65/770318965.db2.gz UQBXLHWJABDURO-CMPLNLGQSA-N 1 2 324.812 1.449 20 30 DDEDLO C#CCC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1n[nH]c3ccccc31)C2 ZINC001096474412 770410960 /nfs/dbraw/zinc/41/09/60/770410960.db2.gz LKVYKNHKPUXXPN-KCXAZCMYSA-N 1 2 308.385 1.921 20 30 DDEDLO C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1n[nH]c3ccccc31)C2 ZINC001096474412 770410964 /nfs/dbraw/zinc/41/09/64/770410964.db2.gz LKVYKNHKPUXXPN-KCXAZCMYSA-N 1 2 308.385 1.921 20 30 DDEDLO C[C@@H](CC(=O)N[C@@H]1CCN(c2ccncc2C#N)C1)n1cc[nH+]c1 ZINC001096487746 770445807 /nfs/dbraw/zinc/44/58/07/770445807.db2.gz CITQGBFZSNEGBI-DZGCQCFKSA-N 1 2 324.388 1.496 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072187750 770640193 /nfs/dbraw/zinc/64/01/93/770640193.db2.gz QSOYZILICSEHNT-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)CCn2cc[nH+]c2)[C@@H](C)C1 ZINC001072226638 770681297 /nfs/dbraw/zinc/68/12/97/770681297.db2.gz RZELRUVVKXNTDP-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC001049895565 771240252 /nfs/dbraw/zinc/24/02/52/771240252.db2.gz IZIIMYROBDNTNU-SJORKVTESA-N 1 2 324.384 1.982 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC001049895565 771240255 /nfs/dbraw/zinc/24/02/55/771240255.db2.gz IZIIMYROBDNTNU-SJORKVTESA-N 1 2 324.384 1.982 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001097094125 771621023 /nfs/dbraw/zinc/62/10/23/771621023.db2.gz ISRMGYVATNPLDX-JOCQHMNTSA-N 1 2 318.425 1.661 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C3CC3)n[nH]2)[C@@H](O)C1 ZINC001090584348 772009385 /nfs/dbraw/zinc/00/93/85/772009385.db2.gz HAKUUOBZYLYCBF-RISCZKNCSA-N 1 2 324.812 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C3CC3)n[nH]2)[C@@H](O)C1 ZINC001090584348 772009392 /nfs/dbraw/zinc/00/93/92/772009392.db2.gz HAKUUOBZYLYCBF-RISCZKNCSA-N 1 2 324.812 1.205 20 30 DDEDLO COC(=O)c1ccc(C(=[NH2+])Nc2cnc(N3CCC3)nc2)cc1 ZINC001170985018 772021369 /nfs/dbraw/zinc/02/13/69/772021369.db2.gz LHYUAVLRTGMDOG-UHFFFAOYSA-N 1 2 311.345 1.510 20 30 DDEDLO C=C(C(=O)N[C@@H](CCc1cn(C)c[nH+]1)C(=O)OC)C(F)(F)F ZINC001144214900 772442697 /nfs/dbraw/zinc/44/26/97/772442697.db2.gz AQCNNLXRUMDCPK-JTQLQIEISA-N 1 2 319.283 1.129 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091354024 772701510 /nfs/dbraw/zinc/70/15/10/772701510.db2.gz NAONKKLGVJULLT-OKILXGFUSA-N 1 2 304.394 1.684 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001091495617 772785873 /nfs/dbraw/zinc/78/58/73/772785873.db2.gz HBTNCXCFJZKRKK-SHTZXODSSA-N 1 2 324.388 1.547 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nnc(CC)[nH]1)C2 ZINC001147187691 773062176 /nfs/dbraw/zinc/06/21/76/773062176.db2.gz NISXZAWKKKURHU-ZDUSSCGKSA-N 1 2 317.437 1.929 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nnc(CC)[nH]1)C2 ZINC001147187691 773062178 /nfs/dbraw/zinc/06/21/78/773062178.db2.gz NISXZAWKKKURHU-ZDUSSCGKSA-N 1 2 317.437 1.929 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[NH2+]Cc1cnsn1 ZINC001147290234 773101749 /nfs/dbraw/zinc/10/17/49/773101749.db2.gz BQKBDCLQQVKNNI-UHFFFAOYSA-N 1 2 319.390 1.018 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CC[C@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001091639760 773179793 /nfs/dbraw/zinc/17/97/93/773179793.db2.gz SHHXJGXFLPKFCK-GXTWGEPZSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CC[N@@H+]1CCCO[C@H](CNC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001073674943 773292315 /nfs/dbraw/zinc/29/23/15/773292315.db2.gz SNYXUMLMTMUKOU-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CC[N@H+]1CCCO[C@H](CNC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001073674943 773292320 /nfs/dbraw/zinc/29/23/20/773292320.db2.gz SNYXUMLMTMUKOU-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nccs1)C2 ZINC001148874077 773610641 /nfs/dbraw/zinc/61/06/41/773610641.db2.gz IGLOEHMMTFAWSQ-CYBMUJFWSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nccs1)C2 ZINC001148874077 773610645 /nfs/dbraw/zinc/61/06/45/773610645.db2.gz IGLOEHMMTFAWSQ-CYBMUJFWSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3oc(C)nc3C)C[C@H]21 ZINC001074195191 773718496 /nfs/dbraw/zinc/71/84/96/773718496.db2.gz QGBLRDAQPNBJLX-CABCVRRESA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3oc(C)nc3C)C[C@H]21 ZINC001074195191 773718502 /nfs/dbraw/zinc/71/85/02/773718502.db2.gz QGBLRDAQPNBJLX-CABCVRRESA-N 1 2 319.405 1.783 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)sn3)C[C@@H]21 ZINC001074222274 773745940 /nfs/dbraw/zinc/74/59/40/773745940.db2.gz FCOQXERERQCBER-LSDHHAIUSA-N 1 2 319.430 1.390 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)sn3)C[C@@H]21 ZINC001074222274 773745942 /nfs/dbraw/zinc/74/59/42/773745942.db2.gz FCOQXERERQCBER-LSDHHAIUSA-N 1 2 319.430 1.390 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)ccn3C)C[C@H]21 ZINC001074226349 773750697 /nfs/dbraw/zinc/75/06/97/773750697.db2.gz NYXMCGMQGJQILA-HZPDHXFCSA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)ccn3C)C[C@H]21 ZINC001074226349 773750704 /nfs/dbraw/zinc/75/07/04/773750704.db2.gz NYXMCGMQGJQILA-HZPDHXFCSA-N 1 2 317.433 1.825 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CC(C)(C)C3)C[C@H]21 ZINC001074233287 773756851 /nfs/dbraw/zinc/75/68/51/773756851.db2.gz NRTRDCDVUFKAEQ-CVEARBPZSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CC(C)(C)C3)C[C@H]21 ZINC001074233287 773756856 /nfs/dbraw/zinc/75/68/56/773756856.db2.gz NRTRDCDVUFKAEQ-CVEARBPZSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCCC3)C[C@@H]21 ZINC001074308259 773811134 /nfs/dbraw/zinc/81/11/34/773811134.db2.gz WHJZXDVWGCYUGY-HOTGVXAUSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCCC3)C[C@@H]21 ZINC001074308259 773811139 /nfs/dbraw/zinc/81/11/39/773811139.db2.gz WHJZXDVWGCYUGY-HOTGVXAUSA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ocnc3CC)C[C@H]21 ZINC001074335979 773833011 /nfs/dbraw/zinc/83/30/11/773833011.db2.gz HXYVYQBBRGWMLR-HUUCEWRRSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ocnc3CC)C[C@H]21 ZINC001074335979 773833017 /nfs/dbraw/zinc/83/30/17/773833017.db2.gz HXYVYQBBRGWMLR-HUUCEWRRSA-N 1 2 319.405 1.728 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cn3ccc(C)n3)C[C@@H]21 ZINC001074341168 773838697 /nfs/dbraw/zinc/83/86/97/773838697.db2.gz QHENAEUMBGQAKU-HOTGVXAUSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cn3ccc(C)n3)C[C@@H]21 ZINC001074341168 773838701 /nfs/dbraw/zinc/83/87/01/773838701.db2.gz QHENAEUMBGQAKU-HOTGVXAUSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cscn3)C[C@@H]21 ZINC001074353882 773849448 /nfs/dbraw/zinc/84/94/48/773849448.db2.gz IANVPDQXHSXMFO-LSDHHAIUSA-N 1 2 321.446 1.563 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cscn3)C[C@@H]21 ZINC001074353882 773849450 /nfs/dbraw/zinc/84/94/50/773849450.db2.gz IANVPDQXHSXMFO-LSDHHAIUSA-N 1 2 321.446 1.563 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]c(C#N)c1)c1nccn12 ZINC001092361633 774075491 /nfs/dbraw/zinc/07/54/91/774075491.db2.gz LWWLHCKNDHWDTQ-AWEZNQCLSA-N 1 2 322.372 1.155 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001074964486 774270367 /nfs/dbraw/zinc/27/03/67/774270367.db2.gz ZQOVCPQCITYLBN-ZIAGYGMSSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C[C@@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(N)=O)c1ccccc1 ZINC001110137020 774280080 /nfs/dbraw/zinc/28/00/80/774280080.db2.gz XHKGEISKWZHNIR-WCVJEAGWSA-N 1 2 313.401 1.163 20 30 DDEDLO C=C[C@@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(N)=O)c1ccccc1 ZINC001110137020 774280083 /nfs/dbraw/zinc/28/00/83/774280083.db2.gz XHKGEISKWZHNIR-WCVJEAGWSA-N 1 2 313.401 1.163 20 30 DDEDLO C[C@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1ccc(C#N)nn1 ZINC001098303486 774377239 /nfs/dbraw/zinc/37/72/39/774377239.db2.gz UIZMLQRDLPTOKW-NEPJUHHUSA-N 1 2 313.365 1.113 20 30 DDEDLO CC#CC[N@H+](CCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001098371448 774551503 /nfs/dbraw/zinc/55/15/03/774551503.db2.gz RGKHVQIENMHGPV-GFCCVEGCSA-N 1 2 324.318 1.195 20 30 DDEDLO CC#CC[N@@H+](CCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001098371448 774551506 /nfs/dbraw/zinc/55/15/06/774551506.db2.gz RGKHVQIENMHGPV-GFCCVEGCSA-N 1 2 324.318 1.195 20 30 DDEDLO CC#CC[N@H+](CCO)C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001098371448 774551509 /nfs/dbraw/zinc/55/15/09/774551509.db2.gz RGKHVQIENMHGPV-GFCCVEGCSA-N 1 2 324.318 1.195 20 30 DDEDLO CC#CC[N@@H+](CCO)C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001098371448 774551512 /nfs/dbraw/zinc/55/15/12/774551512.db2.gz RGKHVQIENMHGPV-GFCCVEGCSA-N 1 2 324.318 1.195 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001098388117 774558094 /nfs/dbraw/zinc/55/80/94/774558094.db2.gz NVCPECGOLQILCY-CYZMBNFOSA-N 1 2 310.361 1.397 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001098388117 774558100 /nfs/dbraw/zinc/55/81/00/774558100.db2.gz NVCPECGOLQILCY-CYZMBNFOSA-N 1 2 310.361 1.397 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3ccon3)CC2)C1 ZINC001093510826 774758046 /nfs/dbraw/zinc/75/80/46/774758046.db2.gz IOKNGANHZAYDKN-UHFFFAOYSA-N 1 2 305.378 1.556 20 30 DDEDLO C=CCN1CC(OC2CCN(C(=O)CCc3[nH]cc[nH+]3)CC2)C1 ZINC001093531263 774783670 /nfs/dbraw/zinc/78/36/70/774783670.db2.gz TVZCBTJWIUBRHY-UHFFFAOYSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)Cc3cnoc3)CC2)C1 ZINC001093563777 774833459 /nfs/dbraw/zinc/83/34/59/774833459.db2.gz DKWSJGYHMGTDKE-UHFFFAOYSA-N 1 2 319.405 1.485 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCCNc1nc(Cl)c(C#N)s1 ZINC001093750181 775053129 /nfs/dbraw/zinc/05/31/29/775053129.db2.gz OIDWFWWNJGVPAN-UHFFFAOYSA-N 1 2 324.797 1.172 20 30 DDEDLO C#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)[C@H]3C[C@@H]3C)c2)nn1 ZINC001099600719 775059406 /nfs/dbraw/zinc/05/94/06/775059406.db2.gz ZZECFZQOSKCKPH-GUYCJALGSA-N 1 2 323.400 1.262 20 30 DDEDLO CCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C[C@H]1O ZINC001099626669 775076014 /nfs/dbraw/zinc/07/60/14/775076014.db2.gz CFFQWZYTKCGWEZ-HZPDHXFCSA-N 1 2 319.380 1.549 20 30 DDEDLO CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C[C@H]1O ZINC001099626669 775076020 /nfs/dbraw/zinc/07/60/20/775076020.db2.gz CFFQWZYTKCGWEZ-HZPDHXFCSA-N 1 2 319.380 1.549 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCCCOC)[C@H](O)C1 ZINC001099711999 775170127 /nfs/dbraw/zinc/17/01/27/775170127.db2.gz ITLQZGPYEJJZCC-CHWSQXEVSA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCCCOC)[C@H](O)C1 ZINC001099711999 775170129 /nfs/dbraw/zinc/17/01/29/775170129.db2.gz ITLQZGPYEJJZCC-CHWSQXEVSA-N 1 2 304.818 1.107 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cc2F)C[C@H]1O ZINC001099727132 775193121 /nfs/dbraw/zinc/19/31/21/775193121.db2.gz MUERTAVEMVUJAS-HZPDHXFCSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cc2F)C[C@H]1O ZINC001099727132 775193128 /nfs/dbraw/zinc/19/31/28/775193128.db2.gz MUERTAVEMVUJAS-HZPDHXFCSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C3CC3)no2)C[C@@H]1O ZINC001099729116 775196909 /nfs/dbraw/zinc/19/69/09/775196909.db2.gz CGVBHELFJVWTTO-HOCLYGCPSA-N 1 2 319.405 1.570 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cc(C3CC3)no2)C[C@@H]1O ZINC001099729116 775196917 /nfs/dbraw/zinc/19/69/17/775196917.db2.gz CGVBHELFJVWTTO-HOCLYGCPSA-N 1 2 319.405 1.570 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001099765198 775242173 /nfs/dbraw/zinc/24/21/73/775242173.db2.gz MRBWATJRNBWSIT-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001099765198 775242182 /nfs/dbraw/zinc/24/21/82/775242182.db2.gz MRBWATJRNBWSIT-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1ccncc1C#N ZINC001099765155 775243763 /nfs/dbraw/zinc/24/37/63/775243763.db2.gz LNEYLFBZPJMISH-LBPRGKRZSA-N 1 2 312.377 1.038 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1ccncc1C#N ZINC001099765155 775243770 /nfs/dbraw/zinc/24/37/70/775243770.db2.gz LNEYLFBZPJMISH-LBPRGKRZSA-N 1 2 312.377 1.038 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001094051502 775376039 /nfs/dbraw/zinc/37/60/39/775376039.db2.gz XRSCSOGNKSKDPH-NSHDSACASA-N 1 2 302.382 1.494 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)CC(F)F)[C@H](O)C1 ZINC001099876291 775377820 /nfs/dbraw/zinc/37/78/20/775377820.db2.gz ARPIOWPYIRWAMK-WDEREUQCSA-N 1 2 324.799 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)CC(F)F)[C@H](O)C1 ZINC001099876291 775377832 /nfs/dbraw/zinc/37/78/32/775377832.db2.gz ARPIOWPYIRWAMK-WDEREUQCSA-N 1 2 324.799 1.972 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099944901 775456506 /nfs/dbraw/zinc/45/65/06/775456506.db2.gz SFRLMZNAGXVZBN-QWHCGFSZSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099944901 775456514 /nfs/dbraw/zinc/45/65/14/775456514.db2.gz SFRLMZNAGXVZBN-QWHCGFSZSA-N 1 2 323.462 1.715 20 30 DDEDLO C#Cc1cncc(C(=O)NCCNc2cc(C)[nH+]c(C3CC3)n2)c1 ZINC001094126334 775461022 /nfs/dbraw/zinc/46/10/22/775461022.db2.gz JDRDWJUWJDBNOF-UHFFFAOYSA-N 1 2 321.384 1.881 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001094131875 775467813 /nfs/dbraw/zinc/46/78/13/775467813.db2.gz FLYHEZOEADSHRI-KGLIPLIRSA-N 1 2 316.405 1.782 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099960371 775483769 /nfs/dbraw/zinc/48/37/69/775483769.db2.gz TTZMRTGPKHTHJU-CHWSQXEVSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099960371 775483774 /nfs/dbraw/zinc/48/37/74/775483774.db2.gz TTZMRTGPKHTHJU-CHWSQXEVSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099976814 775508919 /nfs/dbraw/zinc/50/89/19/775508919.db2.gz RFDXPAUJBJCAPI-HZPDHXFCSA-N 1 2 321.396 1.628 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099976814 775508926 /nfs/dbraw/zinc/50/89/26/775508926.db2.gz RFDXPAUJBJCAPI-HZPDHXFCSA-N 1 2 321.396 1.628 20 30 DDEDLO Cc1cc(NCCNC(=O)C[C@H](C)n2cc[nH+]c2)c(C#N)cn1 ZINC001094167447 775510919 /nfs/dbraw/zinc/51/09/19/775510919.db2.gz BJKLTMWFKYCMPV-ZDUSSCGKSA-N 1 2 312.377 1.060 20 30 DDEDLO N#Cc1nc(NCCNC(=O)CCc2c[nH]c[nH+]2)sc1Cl ZINC001094225544 775595178 /nfs/dbraw/zinc/59/51/78/775595178.db2.gz YBWXOGUGKRCRAN-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO N#Cc1nc(NCCNC(=O)CCc2c[nH+]c[nH]2)sc1Cl ZINC001094225544 775595185 /nfs/dbraw/zinc/59/51/85/775595185.db2.gz YBWXOGUGKRCRAN-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO CN(CCNC(=O)c1cccc2[nH+]ccn21)c1ccc(C#N)nc1 ZINC001100226226 775853066 /nfs/dbraw/zinc/85/30/66/775853066.db2.gz MDGFYIXDAAVIQC-UHFFFAOYSA-N 1 2 320.356 1.467 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCCNc1cccc(F)c1C#N ZINC001094524650 775983504 /nfs/dbraw/zinc/98/35/04/775983504.db2.gz ORCLRBNIIHYEDI-UHFFFAOYSA-N 1 2 315.352 1.890 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3CCC3(C)C)CC2=O)C1 ZINC001094770541 776259435 /nfs/dbraw/zinc/25/94/35/776259435.db2.gz DIEVOVQKJMXIHJ-OCCSQVGLSA-N 1 2 305.422 1.010 20 30 DDEDLO C[C@@H](CCCCNCC#N)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001171606005 776367143 /nfs/dbraw/zinc/36/71/43/776367143.db2.gz SFXOKOGZRHFXRD-UONOGXRCSA-N 1 2 303.410 1.234 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]([NH+]2CCN(CCC#N)CC2)CC1=O ZINC001171711050 776415795 /nfs/dbraw/zinc/41/57/95/776415795.db2.gz QDRVRRPWBAYLTJ-ZDUSSCGKSA-N 1 2 322.409 1.054 20 30 DDEDLO CCc1cc(N(CCNC(=O)[C@H](C)C#N)C2CC2)nc(C)[nH+]1 ZINC001101319703 777065433 /nfs/dbraw/zinc/06/54/33/777065433.db2.gz DJAIFOONWRAGSH-LLVKDONJSA-N 1 2 301.394 1.592 20 30 DDEDLO Cc1cc(N(CCNC(=O)Cc2[nH]cc[nH+]2)C2CC2)c(C#N)cn1 ZINC001101374307 777105756 /nfs/dbraw/zinc/10/57/56/777105756.db2.gz AWMCJQWNBXCAAK-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO C#CCCCC(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101585120 777275941 /nfs/dbraw/zinc/27/59/41/777275941.db2.gz RMEZKUUTYUVBII-UHFFFAOYSA-N 1 2 300.366 1.037 20 30 DDEDLO C#CCOCCC(=O)N1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001095238357 777291571 /nfs/dbraw/zinc/29/15/71/777291571.db2.gz OPSYKISFNHJKDZ-UHFFFAOYSA-N 1 2 302.378 1.228 20 30 DDEDLO CN(CCNc1ncc(C#N)cc1Cl)C(=O)Cn1cc[nH+]c1 ZINC001101672026 777374732 /nfs/dbraw/zinc/37/47/32/777374732.db2.gz RWJQMSSBYSUPLO-UHFFFAOYSA-N 1 2 318.768 1.374 20 30 DDEDLO C#Cc1nccnc1Nc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC001174431589 777475707 /nfs/dbraw/zinc/47/57/07/777475707.db2.gz FLBMOTFWEYDUTK-UHFFFAOYSA-N 1 2 324.384 1.913 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101912604 777671421 /nfs/dbraw/zinc/67/14/21/777671421.db2.gz SDMDWFNCMHANIF-NEPJUHHUSA-N 1 2 316.409 1.692 20 30 DDEDLO C[N@@H+]1CCCn2nc(C(=O)Nc3ccsc3C#N)cc2C1 ZINC001175047491 777671818 /nfs/dbraw/zinc/67/18/18/777671818.db2.gz OSBRSEJNDSKNFZ-UHFFFAOYSA-N 1 2 301.375 1.904 20 30 DDEDLO C[N@H+]1CCCn2nc(C(=O)Nc3ccsc3C#N)cc2C1 ZINC001175047491 777671822 /nfs/dbraw/zinc/67/18/22/777671822.db2.gz OSBRSEJNDSKNFZ-UHFFFAOYSA-N 1 2 301.375 1.904 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCCN(c1cc[nH+]c(C)n1)C(C)C ZINC001102119918 777886881 /nfs/dbraw/zinc/88/68/81/777886881.db2.gz IHJACIRMSPLWCK-AWEZNQCLSA-N 1 2 318.421 1.544 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(c2cnc(F)cn2)CC1 ZINC001102413867 778123412 /nfs/dbraw/zinc/12/34/12/778123412.db2.gz FHUJLCMYRPAGLG-UHFFFAOYSA-N 1 2 321.400 1.162 20 30 DDEDLO C=CCN(C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(C)=O)C1CCCCC1 ZINC001176355389 778132916 /nfs/dbraw/zinc/13/29/16/778132916.db2.gz QZAWUYQMSITVIP-MRXNPFEDSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCN(C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(C)=O)C1CCCCC1 ZINC001176355389 778132919 /nfs/dbraw/zinc/13/29/19/778132919.db2.gz QZAWUYQMSITVIP-MRXNPFEDSA-N 1 2 318.421 1.804 20 30 DDEDLO CN(C(=O)CCc1[nH]cc[nH+]1)[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001102695523 778322361 /nfs/dbraw/zinc/32/23/61/778322361.db2.gz IAAUARMAQDVVTM-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCC(C)=O)C[C@H]21 ZINC001176936001 778323069 /nfs/dbraw/zinc/32/30/69/778323069.db2.gz AUWULKFGLPHLNT-CVEARBPZSA-N 1 2 308.422 1.624 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCC(C)=O)C[C@H]21 ZINC001176936001 778323077 /nfs/dbraw/zinc/32/30/77/778323077.db2.gz AUWULKFGLPHLNT-CVEARBPZSA-N 1 2 308.422 1.624 20 30 DDEDLO N#C[C@@H]1C[C@H](F)CN1C(=O)[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC001176965303 778352417 /nfs/dbraw/zinc/35/24/17/778352417.db2.gz OOZGQMYFWXPAOO-IHRRRGAJSA-N 1 2 302.353 1.761 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)C=C)C[C@H]21 ZINC001177020065 778395185 /nfs/dbraw/zinc/39/51/85/778395185.db2.gz VROVBQUKKRXDTE-CVEARBPZSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)C=C)C[C@H]21 ZINC001177020065 778395190 /nfs/dbraw/zinc/39/51/90/778395190.db2.gz VROVBQUKKRXDTE-CVEARBPZSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CCOC)CC3)C[C@@H]21 ZINC001177029356 778398874 /nfs/dbraw/zinc/39/88/74/778398874.db2.gz FOEJFLNQGKTFKA-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CCOC)CC3)C[C@@H]21 ZINC001177029356 778398878 /nfs/dbraw/zinc/39/88/78/778398878.db2.gz FOEJFLNQGKTFKA-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCCO)[C@H]2C1 ZINC001177060884 778419922 /nfs/dbraw/zinc/41/99/22/778419922.db2.gz GPDBRBOAGALJMZ-IRXDYDNUSA-N 1 2 322.449 1.254 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]2OCC[N@H+](CCCO)[C@H]2C1 ZINC001177060884 778419925 /nfs/dbraw/zinc/41/99/25/778419925.db2.gz GPDBRBOAGALJMZ-IRXDYDNUSA-N 1 2 322.449 1.254 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CC=C)C[C@@H]21 ZINC001177107071 778449024 /nfs/dbraw/zinc/44/90/24/778449024.db2.gz MIJKRISTUBODLG-JKSUJKDBSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CC=C)C[C@@H]21 ZINC001177107071 778449028 /nfs/dbraw/zinc/44/90/28/778449028.db2.gz MIJKRISTUBODLG-JKSUJKDBSA-N 1 2 304.434 1.914 20 30 DDEDLO N#CCNCCCN(CC1CCCC1)C(=O)CCc1c[nH]c[nH+]1 ZINC001177339436 778546427 /nfs/dbraw/zinc/54/64/27/778546427.db2.gz FUANJCFSVNRCHB-UHFFFAOYSA-N 1 2 317.437 1.864 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001103163150 778681996 /nfs/dbraw/zinc/68/19/96/778681996.db2.gz YUUSYEUTFZYSRJ-RBSFLKMASA-N 1 2 315.421 1.043 20 30 DDEDLO N#Cc1cc(N)c(NC(=O)[C@@H]2CCc3[nH+]ccn3C2)cc1C#N ZINC001178117734 778881321 /nfs/dbraw/zinc/88/13/21/778881321.db2.gz FVSVTLFNZFBLIF-SNVBAGLBSA-N 1 2 306.329 1.410 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@](C)(CNc1cc[nH+]c(C)n1)C1CC1 ZINC001103526567 778923087 /nfs/dbraw/zinc/92/30/87/778923087.db2.gz ULEXKQLLBNPYDT-SJORKVTESA-N 1 2 318.421 1.809 20 30 DDEDLO Cc1nc(NC2(CNC(=O)c3cc(C#N)c[nH]3)CCC2)cc[nH+]1 ZINC001111792123 779483427 /nfs/dbraw/zinc/48/34/27/779483427.db2.gz OQHNIYQCCLPMQF-UHFFFAOYSA-N 1 2 310.361 1.749 20 30 DDEDLO Cc1nc(NC2(CNC(=O)Cc3[nH]cc[nH+]3)CCC2)ccc1C#N ZINC001111839560 779509571 /nfs/dbraw/zinc/50/95/71/779509571.db2.gz FPGRPASRUQIFFW-UHFFFAOYSA-N 1 2 324.388 1.678 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)CSCC#N)C[C@H]2C)cc[nH+]1 ZINC001112298339 779699101 /nfs/dbraw/zinc/69/91/01/779699101.db2.gz SMEBCCJNBLDTRR-PWSUYJOCSA-N 1 2 305.407 1.301 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csc(C)n3)C[C@H]21 ZINC001115330253 780042767 /nfs/dbraw/zinc/04/27/67/780042767.db2.gz JRMSGTUXVZCROO-FOLVSLTJSA-N 1 2 321.446 1.591 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csc(C)n3)C[C@H]21 ZINC001115330253 780042775 /nfs/dbraw/zinc/04/27/75/780042775.db2.gz JRMSGTUXVZCROO-FOLVSLTJSA-N 1 2 321.446 1.591 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)[C@@H](CNc1ccc(C#N)cn1)C1CC1 ZINC001115534051 780219672 /nfs/dbraw/zinc/21/96/72/780219672.db2.gz CBSNMSXTZYZJSZ-AWEZNQCLSA-N 1 2 324.388 1.568 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)[C@@H](CNc1ncccc1C#N)C1CC1 ZINC001115576940 780244942 /nfs/dbraw/zinc/24/49/42/780244942.db2.gz JHDSPJGYBDIXSO-HNNXBMFYSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C[C@@H](CC(=O)NCC[N@@H+](C)CC(=O)N(C)C)c1ccccc1 ZINC001267231186 837571098 /nfs/dbraw/zinc/57/10/98/837571098.db2.gz QUUIWNKTNPQHTE-HNNXBMFYSA-N 1 2 317.433 1.483 20 30 DDEDLO C=C[C@@H](CC(=O)NCC[N@H+](C)CC(=O)N(C)C)c1ccccc1 ZINC001267231186 837571106 /nfs/dbraw/zinc/57/11/06/837571106.db2.gz QUUIWNKTNPQHTE-HNNXBMFYSA-N 1 2 317.433 1.483 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CCC[N@@H+]1CCS(C)(=O)=O ZINC001267274426 837722660 /nfs/dbraw/zinc/72/26/60/837722660.db2.gz OVWAYRCSGBABQV-UKRRQHHQSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CCC[N@H+]1CCS(C)(=O)=O ZINC001267274426 837722665 /nfs/dbraw/zinc/72/26/65/837722665.db2.gz OVWAYRCSGBABQV-UKRRQHHQSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CCC[N@H+]1Cc1nocc1C ZINC001267283422 837739507 /nfs/dbraw/zinc/73/95/07/837739507.db2.gz MFODBTHVGNGULS-AWEZNQCLSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1nocc1C ZINC001267283422 837739511 /nfs/dbraw/zinc/73/95/11/837739511.db2.gz MFODBTHVGNGULS-AWEZNQCLSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[N@@H+](Cc2nc(C(C)C)no2)C1 ZINC001267288704 837751071 /nfs/dbraw/zinc/75/10/71/837751071.db2.gz TYCOILMFODCRPM-CYBMUJFWSA-N 1 2 322.409 1.334 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[N@H+](Cc2nc(C(C)C)no2)C1 ZINC001267288704 837751078 /nfs/dbraw/zinc/75/10/78/837751078.db2.gz TYCOILMFODCRPM-CYBMUJFWSA-N 1 2 322.409 1.334 20 30 DDEDLO C=CCCC(=O)NCC[N@@H+](C)CC(=O)Nc1ccc(C)cc1 ZINC001266261907 836003474 /nfs/dbraw/zinc/00/34/74/836003474.db2.gz CQAHGGAEAWYRTC-UHFFFAOYSA-N 1 2 303.406 1.948 20 30 DDEDLO C=CCCC(=O)NCC[N@H+](C)CC(=O)Nc1ccc(C)cc1 ZINC001266261907 836003481 /nfs/dbraw/zinc/00/34/81/836003481.db2.gz CQAHGGAEAWYRTC-UHFFFAOYSA-N 1 2 303.406 1.948 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NC(C)C)C1 ZINC001266321778 836117454 /nfs/dbraw/zinc/11/74/54/836117454.db2.gz WJJPUVNBLWSXNF-HNNXBMFYSA-N 1 2 307.438 1.470 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CC[N@H+](CC(=O)NC(C)C)C1 ZINC001266321778 836117458 /nfs/dbraw/zinc/11/74/58/836117458.db2.gz WJJPUVNBLWSXNF-HNNXBMFYSA-N 1 2 307.438 1.470 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001266341659 836143404 /nfs/dbraw/zinc/14/34/04/836143404.db2.gz LMIBLBTZWBIMHG-DYVFJYSZSA-N 1 2 318.421 1.778 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001266341659 836143411 /nfs/dbraw/zinc/14/34/11/836143411.db2.gz LMIBLBTZWBIMHG-DYVFJYSZSA-N 1 2 318.421 1.778 20 30 DDEDLO CC1CCC(C)(C(=O)N[C@@H]2CC[N@H+](CC(=O)NCC#N)C2)CC1 ZINC001266362004 836173461 /nfs/dbraw/zinc/17/34/61/836173461.db2.gz WPHKBRAXNIYQEN-XOYHFGRZSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1CCC(C)(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NCC#N)C2)CC1 ZINC001266362004 836173467 /nfs/dbraw/zinc/17/34/67/836173467.db2.gz WPHKBRAXNIYQEN-XOYHFGRZSA-N 1 2 320.437 1.033 20 30 DDEDLO CC[N@H+](CCNC(=O)C#CC(C)(C)C)Cc1cc(C)n(C)n1 ZINC001266390666 836197514 /nfs/dbraw/zinc/19/75/14/836197514.db2.gz HAXOLWSQVYXKAC-UHFFFAOYSA-N 1 2 304.438 1.716 20 30 DDEDLO CC[N@@H+](CCNC(=O)C#CC(C)(C)C)Cc1cc(C)n(C)n1 ZINC001266390666 836197519 /nfs/dbraw/zinc/19/75/19/836197519.db2.gz HAXOLWSQVYXKAC-UHFFFAOYSA-N 1 2 304.438 1.716 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CCC[N@H+]2Cc2nccn2C)C1 ZINC001266483034 836328807 /nfs/dbraw/zinc/32/88/07/836328807.db2.gz MQICFZANXXGDCM-AWEZNQCLSA-N 1 2 302.422 1.857 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2nccn2C)C1 ZINC001266483034 836328818 /nfs/dbraw/zinc/32/88/18/836328818.db2.gz MQICFZANXXGDCM-AWEZNQCLSA-N 1 2 302.422 1.857 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@@H+]([C@H](C)c2nnnn2C)C1 ZINC001266528724 836420132 /nfs/dbraw/zinc/42/01/32/836420132.db2.gz XHCOOAWXDYXGCS-CHWSQXEVSA-N 1 2 306.414 1.066 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@H+]([C@H](C)c2nnnn2C)C1 ZINC001266528724 836420135 /nfs/dbraw/zinc/42/01/35/836420135.db2.gz XHCOOAWXDYXGCS-CHWSQXEVSA-N 1 2 306.414 1.066 20 30 DDEDLO C=CC[N@@H+](CCNC(=O)c1ccc(S(C)(=O)=O)o1)C1CC1 ZINC001266613643 836535829 /nfs/dbraw/zinc/53/58/29/836535829.db2.gz AZRLRKXWEOMLIE-UHFFFAOYSA-N 1 2 312.391 1.063 20 30 DDEDLO C=CC[N@H+](CCNC(=O)c1ccc(S(C)(=O)=O)o1)C1CC1 ZINC001266613643 836535831 /nfs/dbraw/zinc/53/58/31/836535831.db2.gz AZRLRKXWEOMLIE-UHFFFAOYSA-N 1 2 312.391 1.063 20 30 DDEDLO C#CCCCCC(=O)N[C@]1(CO)CCC[N@H+](CC(=C)Cl)C1 ZINC001279480430 836683982 /nfs/dbraw/zinc/68/39/82/836683982.db2.gz QIXINKBGDIYIMQ-MRXNPFEDSA-N 1 2 312.841 1.876 20 30 DDEDLO C#CCCCCC(=O)N[C@]1(CO)CCC[N@@H+](CC(=C)Cl)C1 ZINC001279480430 836683993 /nfs/dbraw/zinc/68/39/93/836683993.db2.gz QIXINKBGDIYIMQ-MRXNPFEDSA-N 1 2 312.841 1.876 20 30 DDEDLO CC(C)CCC(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001266831185 836897354 /nfs/dbraw/zinc/89/73/54/836897354.db2.gz AZPQWCIANAWHRB-CQSZACIVSA-N 1 2 308.426 1.033 20 30 DDEDLO CC(C)CCC(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001266831185 836897368 /nfs/dbraw/zinc/89/73/68/836897368.db2.gz AZPQWCIANAWHRB-CQSZACIVSA-N 1 2 308.426 1.033 20 30 DDEDLO C=CCCC(=O)N[C@]1(CO)CCC[N@@H+]([C@H](C)c2ncc(C)o2)C1 ZINC001271949423 844229034 /nfs/dbraw/zinc/22/90/34/844229034.db2.gz IMQLLUQHTLGIHP-RHSMWYFYSA-N 1 2 321.421 1.953 20 30 DDEDLO C=CCCC(=O)N[C@]1(CO)CCC[N@H+]([C@H](C)c2ncc(C)o2)C1 ZINC001271949423 844229042 /nfs/dbraw/zinc/22/90/42/844229042.db2.gz IMQLLUQHTLGIHP-RHSMWYFYSA-N 1 2 321.421 1.953 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)C#CC(C)C)o1 ZINC001279555082 837183460 /nfs/dbraw/zinc/18/34/60/837183460.db2.gz LOKYLFMSLUDYOX-LSDHHAIUSA-N 1 2 316.405 1.603 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)C#CC(C)C)o1 ZINC001279555082 837183464 /nfs/dbraw/zinc/18/34/64/837183464.db2.gz LOKYLFMSLUDYOX-LSDHHAIUSA-N 1 2 316.405 1.603 20 30 DDEDLO Cc1nc([C@H](C)[N@@H+]2CC[C@H](CCNC(=O)C#CC3CC3)C2)no1 ZINC001267531648 838259208 /nfs/dbraw/zinc/25/92/08/838259208.db2.gz WHINWNVLYCPDPY-WFASDCNBSA-N 1 2 316.405 1.681 20 30 DDEDLO Cc1nc([C@H](C)[N@H+]2CC[C@H](CCNC(=O)C#CC3CC3)C2)no1 ZINC001267531648 838259214 /nfs/dbraw/zinc/25/92/14/838259214.db2.gz WHINWNVLYCPDPY-WFASDCNBSA-N 1 2 316.405 1.681 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@]23C[C@H]2CCC3)C1 ZINC001267615676 838477378 /nfs/dbraw/zinc/47/73/78/838477378.db2.gz IMFDSYOBTUJGFG-CKEIUWERSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@]23C[C@H]2CCC3)C1 ZINC001267615676 838477381 /nfs/dbraw/zinc/47/73/81/838477381.db2.gz IMFDSYOBTUJGFG-CKEIUWERSA-N 1 2 305.422 1.059 20 30 DDEDLO Cn1cc(C[NH+]2CC(CNC(=O)C#CC3CC3)C2)c(C(F)F)n1 ZINC001267682016 838636312 /nfs/dbraw/zinc/63/63/12/838636312.db2.gz SAOPIGPKILYPBX-UHFFFAOYSA-N 1 2 322.359 1.319 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](C)C(=O)NCCCC ZINC001267705982 838683685 /nfs/dbraw/zinc/68/36/85/838683685.db2.gz VUXUSSZSYRCQBW-PMPSAXMXSA-N 1 2 321.465 1.933 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](C)C(=O)NCCCC ZINC001267705982 838683691 /nfs/dbraw/zinc/68/36/91/838683691.db2.gz VUXUSSZSYRCQBW-PMPSAXMXSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@@H](C)CCC)C1 ZINC001267727366 838759108 /nfs/dbraw/zinc/75/91/08/838759108.db2.gz DWHVTSMWHHRXBU-KBPBESRZSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)N[C@@H](C)CCC)C1 ZINC001267727366 838759116 /nfs/dbraw/zinc/75/91/16/838759116.db2.gz DWHVTSMWHHRXBU-KBPBESRZSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001267754066 838862152 /nfs/dbraw/zinc/86/21/52/838862152.db2.gz ULOGLKIYMWHETA-OAHLLOKOSA-N 1 2 307.369 1.504 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C1 ZINC001267754066 838862170 /nfs/dbraw/zinc/86/21/70/838862170.db2.gz ULOGLKIYMWHETA-OAHLLOKOSA-N 1 2 307.369 1.504 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001267770572 838929938 /nfs/dbraw/zinc/92/99/38/838929938.db2.gz NPLMICHOJCZQTH-OAHLLOKOSA-N 1 2 317.437 1.524 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001267770572 838929948 /nfs/dbraw/zinc/92/99/48/838929948.db2.gz NPLMICHOJCZQTH-OAHLLOKOSA-N 1 2 317.437 1.524 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)c2cccnc2C)C1 ZINC001268026680 839429810 /nfs/dbraw/zinc/42/98/10/839429810.db2.gz KAIODWHFRSRRIT-OAHLLOKOSA-N 1 2 319.405 1.023 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)c2cccnc2C)C1 ZINC001268026680 839429814 /nfs/dbraw/zinc/42/98/14/839429814.db2.gz KAIODWHFRSRRIT-OAHLLOKOSA-N 1 2 319.405 1.023 20 30 DDEDLO C#CCC[N@H+](C)CCC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001268199454 839831049 /nfs/dbraw/zinc/83/10/49/839831049.db2.gz HKMQKOIPMMTNQH-UHFFFAOYSA-N 1 2 302.422 1.536 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2(CC)CCC2)C1 ZINC001268216830 839850465 /nfs/dbraw/zinc/85/04/65/839850465.db2.gz RDLVRSYCGNQXRU-AWEZNQCLSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2(CC)CCC2)C1 ZINC001268216830 839850475 /nfs/dbraw/zinc/85/04/75/839850475.db2.gz RDLVRSYCGNQXRU-AWEZNQCLSA-N 1 2 307.438 1.450 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001268238859 839877150 /nfs/dbraw/zinc/87/71/50/839877150.db2.gz IJFLFEQFXGROEL-MRXNPFEDSA-N 1 2 319.449 1.263 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001268238859 839877155 /nfs/dbraw/zinc/87/71/55/839877155.db2.gz IJFLFEQFXGROEL-MRXNPFEDSA-N 1 2 319.449 1.263 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cncc3sccc32)C1 ZINC001268437923 840187754 /nfs/dbraw/zinc/18/77/54/840187754.db2.gz MJLWZHYMFRRSCP-UHFFFAOYSA-N 1 2 317.414 1.913 20 30 DDEDLO C=CCC1(C(=O)NC2C[NH+](C[C@@H]3CC[C@@H](C)O3)C2)CCOCC1 ZINC001268479183 840273884 /nfs/dbraw/zinc/27/38/84/840273884.db2.gz SOXJAGAEAICEGW-ZBFHGGJFSA-N 1 2 322.449 1.727 20 30 DDEDLO C[C@@H]([NH2+]C/C=C\CNC(=O)c1cc(C#N)c[nH]1)c1csnn1 ZINC001268519388 840366806 /nfs/dbraw/zinc/36/68/06/840366806.db2.gz CXBOORMAXAKIAN-MXQLGCADSA-N 1 2 316.390 1.375 20 30 DDEDLO C=CC[C@@H](C)C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001268968204 841072284 /nfs/dbraw/zinc/07/22/84/841072284.db2.gz LTRDHLMHSDIJIX-CQSZACIVSA-N 1 2 312.417 1.753 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@H+](CC(=O)N(C)CC2CC2)C1 ZINC001269061199 841160128 /nfs/dbraw/zinc/16/01/28/841160128.db2.gz FSCLGRQUUPSHSI-MAUKXSAKSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)N(C)CC2CC2)C1 ZINC001269061199 841160134 /nfs/dbraw/zinc/16/01/34/841160134.db2.gz FSCLGRQUUPSHSI-MAUKXSAKSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)[C@H]1CC12CCN(C(=O)[C@@H](C)n1cc[nH+]c1)CC2 ZINC001269158397 841278725 /nfs/dbraw/zinc/27/87/25/841278725.db2.gz YZXNSZDKZZSXGA-ZIAGYGMSSA-N 1 2 316.405 1.375 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+]1[C@H](C)C(=O)NC1CCCCC1 ZINC001269206409 841344966 /nfs/dbraw/zinc/34/49/66/841344966.db2.gz WQTKCFKYDJXWJL-GDBMZVCRSA-N 1 2 321.465 1.981 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CCCCC1 ZINC001269206409 841344977 /nfs/dbraw/zinc/34/49/77/841344977.db2.gz WQTKCFKYDJXWJL-GDBMZVCRSA-N 1 2 321.465 1.981 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)c4ccccc4)C3)C2)cc1C#N ZINC001269550663 841756215 /nfs/dbraw/zinc/75/62/15/841756215.db2.gz CTSJVQQQCFPLDI-UHFFFAOYSA-N 1 2 320.396 1.855 20 30 DDEDLO C=CCN1CC[C@@]2(CCCN2C(=O)CCc2c[nH]c[nH+]2)C1=O ZINC001269661371 841911911 /nfs/dbraw/zinc/91/19/11/841911911.db2.gz NKMKALUMJAJLDF-INIZCTEOSA-N 1 2 302.378 1.122 20 30 DDEDLO C=CCN1CC[C@@]2(CCCN2C(=O)CCc2c[nH+]c[nH]2)C1=O ZINC001269661371 841911917 /nfs/dbraw/zinc/91/19/17/841911917.db2.gz NKMKALUMJAJLDF-INIZCTEOSA-N 1 2 302.378 1.122 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)C[C@H](C)NC(=O)C2CCCC2)C1 ZINC001269899664 842140998 /nfs/dbraw/zinc/14/09/98/842140998.db2.gz OQIKKYWFVCEEOG-ZDUSSCGKSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CCN(CC#N)C(=O)c1ccc([N+](=O)[O-])c(-n2cc[nH+]c2)c1 ZINC001270093209 842290714 /nfs/dbraw/zinc/29/07/14/842290714.db2.gz GUUQCKKXDMXUFR-UHFFFAOYSA-N 1 2 309.285 1.379 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](CCc2cnn(CC)c2)CCO1 ZINC001270629269 842755355 /nfs/dbraw/zinc/75/53/55/842755355.db2.gz NSQHGWVDIMSTSN-MRXNPFEDSA-N 1 2 320.437 1.229 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](CCc2cnn(CC)c2)CCO1 ZINC001270629269 842755362 /nfs/dbraw/zinc/75/53/62/842755362.db2.gz NSQHGWVDIMSTSN-MRXNPFEDSA-N 1 2 320.437 1.229 20 30 DDEDLO C=CCC1(C(=O)NC[C@]2(O)CC[N@H+](Cc3ccon3)C2)CCC1 ZINC001271185090 843391535 /nfs/dbraw/zinc/39/15/35/843391535.db2.gz RZPXSGZAERCAQR-QGZVFWFLSA-N 1 2 319.405 1.474 20 30 DDEDLO C=CCC1(C(=O)NC[C@]2(O)CC[N@@H+](Cc3ccon3)C2)CCC1 ZINC001271185090 843391545 /nfs/dbraw/zinc/39/15/45/843391545.db2.gz RZPXSGZAERCAQR-QGZVFWFLSA-N 1 2 319.405 1.474 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1COCC[N@@H+]1CCC[C@@H]1CCOC1 ZINC001326631200 861493451 /nfs/dbraw/zinc/49/34/51/861493451.db2.gz BIPWKQHGAQQTMZ-SJORKVTESA-N 1 2 322.449 1.280 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1COCC[N@H+]1CCC[C@@H]1CCOC1 ZINC001326631200 861493459 /nfs/dbraw/zinc/49/34/59/861493459.db2.gz BIPWKQHGAQQTMZ-SJORKVTESA-N 1 2 322.449 1.280 20 30 DDEDLO CC[C@H](CNC(=O)[C@@H](C)C#N)NC(=O)c1cccc2[nH+]ccn21 ZINC001409385649 845014249 /nfs/dbraw/zinc/01/42/49/845014249.db2.gz ZUHPVYIMFFYMLD-NWDGAFQWSA-N 1 2 313.361 1.119 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1C[N@H+](CC=C(Cl)Cl)CCCO1 ZINC001149410108 861600391 /nfs/dbraw/zinc/60/03/91/861600391.db2.gz XUFFBWZIFJXPME-MNOVXSKESA-N 1 2 320.220 1.672 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1C[N@@H+](CC=C(Cl)Cl)CCCO1 ZINC001149410108 861600395 /nfs/dbraw/zinc/60/03/95/861600395.db2.gz XUFFBWZIFJXPME-MNOVXSKESA-N 1 2 320.220 1.672 20 30 DDEDLO C#CCN1CC2(CCC1=O)CC[NH+](Cc1cc(C)[nH]n1)CC2 ZINC001272542689 846296392 /nfs/dbraw/zinc/29/63/92/846296392.db2.gz FFZCLKJGUOMKGZ-UHFFFAOYSA-N 1 2 300.406 1.556 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(O)c(C)c1)C2 ZINC001272616482 846414079 /nfs/dbraw/zinc/41/40/79/846414079.db2.gz KQVZUDKUVJWEPB-UHFFFAOYSA-N 1 2 302.374 1.300 20 30 DDEDLO C=CCCCCC[N@@H+]1C[C@]2(F)CN(C3COC3)C(=O)[C@]2(F)C1 ZINC001272700983 846660721 /nfs/dbraw/zinc/66/07/21/846660721.db2.gz AOVVHIRYOPEENA-JKSUJKDBSA-N 1 2 314.376 1.706 20 30 DDEDLO C=CCCCCC[N@H+]1C[C@]2(F)CN(C3COC3)C(=O)[C@]2(F)C1 ZINC001272700983 846660726 /nfs/dbraw/zinc/66/07/26/846660726.db2.gz AOVVHIRYOPEENA-JKSUJKDBSA-N 1 2 314.376 1.706 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C3CC3)nc2C)C1 ZINC001077695016 847116574 /nfs/dbraw/zinc/11/65/74/847116574.db2.gz SXEYZNXSLOVVBV-IAGOWNOFSA-N 1 2 313.401 1.066 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C3CC3)nc2C)C1 ZINC001077695016 847116580 /nfs/dbraw/zinc/11/65/80/847116580.db2.gz SXEYZNXSLOVVBV-IAGOWNOFSA-N 1 2 313.401 1.066 20 30 DDEDLO Cc1ncccc1C[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107819128 847194799 /nfs/dbraw/zinc/19/47/99/847194799.db2.gz LLASWNAMQOKDGG-CXAGYDPISA-N 1 2 316.405 1.257 20 30 DDEDLO Cc1ncccc1C[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107819128 847194807 /nfs/dbraw/zinc/19/48/07/847194807.db2.gz LLASWNAMQOKDGG-CXAGYDPISA-N 1 2 316.405 1.257 20 30 DDEDLO C#CCNC(=O)[C@@H]1CC12CC[NH+](Cc1c(F)cncc1F)CC2 ZINC001272780379 847419805 /nfs/dbraw/zinc/41/98/05/847419805.db2.gz HAVMOONKGTVRJU-ZDUSSCGKSA-N 1 2 319.355 1.711 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnc(C)cn1 ZINC001272835059 847528931 /nfs/dbraw/zinc/52/89/31/847528931.db2.gz RGHQYVZVDVTFRD-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnc(C)cn1 ZINC001272835059 847528941 /nfs/dbraw/zinc/52/89/41/847528941.db2.gz RGHQYVZVDVTFRD-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@@H+](Cc3cc(Cl)ccn3)C2)OCC1=O ZINC001272966646 847719164 /nfs/dbraw/zinc/71/91/64/847719164.db2.gz VTDUZBVQKRZAAE-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@H+](Cc3cc(Cl)ccn3)C2)OCC1=O ZINC001272966646 847719171 /nfs/dbraw/zinc/71/91/71/847719171.db2.gz VTDUZBVQKRZAAE-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@@H+](COCCOC)C3)C1 ZINC001273134963 847982141 /nfs/dbraw/zinc/98/21/41/847982141.db2.gz HXRNDVNDLDLMTQ-UHFFFAOYSA-N 1 2 308.422 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@H+](COCCOC)C3)C1 ZINC001273134963 847982147 /nfs/dbraw/zinc/98/21/47/847982147.db2.gz HXRNDVNDLDLMTQ-UHFFFAOYSA-N 1 2 308.422 1.498 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CCC[N@H+](Cc2nncs2)CC1 ZINC001327126943 861910136 /nfs/dbraw/zinc/91/01/36/861910136.db2.gz SYTZUBXDFUZGRD-STQMWFEESA-N 1 2 322.434 1.047 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CCC[N@@H+](Cc2nncs2)CC1 ZINC001327126943 861910148 /nfs/dbraw/zinc/91/01/48/861910148.db2.gz SYTZUBXDFUZGRD-STQMWFEESA-N 1 2 322.434 1.047 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001280510183 848931671 /nfs/dbraw/zinc/93/16/71/848931671.db2.gz XQWPXSQOSACSAZ-CQSZACIVSA-N 1 2 306.410 1.593 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2c(C)nn(C)c2Cl)C1=O ZINC001273246872 849063884 /nfs/dbraw/zinc/06/38/84/849063884.db2.gz YVFYWBSUGKNWLS-INIZCTEOSA-N 1 2 320.824 1.582 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2c(C)nn(C)c2Cl)C1=O ZINC001273246872 849063893 /nfs/dbraw/zinc/06/38/93/849063893.db2.gz YVFYWBSUGKNWLS-INIZCTEOSA-N 1 2 320.824 1.582 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2ccc(C#N)cc2F)C1=O ZINC001273304067 849374315 /nfs/dbraw/zinc/37/43/15/849374315.db2.gz RSROWYDXIXZHGI-GOSISDBHSA-N 1 2 311.360 1.897 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2ccc(C#N)cc2F)C1=O ZINC001273304067 849374325 /nfs/dbraw/zinc/37/43/25/849374325.db2.gz RSROWYDXIXZHGI-GOSISDBHSA-N 1 2 311.360 1.897 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(CCC)n1 ZINC001155427769 862074337 /nfs/dbraw/zinc/07/43/37/862074337.db2.gz VLXJBLZFIYZBQP-ZDUSSCGKSA-N 1 2 324.425 1.599 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)C[C@H]1CCN(C)C1=O ZINC001437597743 850025004 /nfs/dbraw/zinc/02/50/04/850025004.db2.gz IXEZPEUBWIKDCM-GFCCVEGCSA-N 1 2 301.818 1.045 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)C[C@H]1CCN(C)C1=O ZINC001437597743 850025007 /nfs/dbraw/zinc/02/50/07/850025007.db2.gz IXEZPEUBWIKDCM-GFCCVEGCSA-N 1 2 301.818 1.045 20 30 DDEDLO Cc1nnc(C[NH+]2CCC([C@H](C)NC(=O)[C@H](C)C#N)CC2)o1 ZINC001411231308 850448165 /nfs/dbraw/zinc/44/81/65/850448165.db2.gz TYRIMBODDDQDBN-MNOVXSKESA-N 1 2 305.382 1.254 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2CC(=O)c1cccs1 ZINC001273779318 851333413 /nfs/dbraw/zinc/33/34/13/851333413.db2.gz VGIIHWMIECNNKV-CHWSQXEVSA-N 1 2 302.399 1.629 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2CC(=O)c1cccs1 ZINC001273779318 851333421 /nfs/dbraw/zinc/33/34/21/851333421.db2.gz VGIIHWMIECNNKV-CHWSQXEVSA-N 1 2 302.399 1.629 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](CCC(=O)OC(C)(C)C)C2 ZINC001273788223 851344206 /nfs/dbraw/zinc/34/42/06/851344206.db2.gz JZXJLXYNUGRSDH-UHFFFAOYSA-N 1 2 324.421 1.208 20 30 DDEDLO C=C(C)CNC(=O)[C@@H]1CC12CC[NH+](Cc1nnc(C)o1)CC2 ZINC001273792235 851348327 /nfs/dbraw/zinc/34/83/27/851348327.db2.gz LSPBKHNRMCLTRZ-ZDUSSCGKSA-N 1 2 304.394 1.672 20 30 DDEDLO C#Cc1cccc(C[NH+]2CC3(C2)COCC(=O)N3CC(=C)C)c1 ZINC001273800832 851359122 /nfs/dbraw/zinc/35/91/22/851359122.db2.gz XAAZRDMFDIWJCZ-UHFFFAOYSA-N 1 2 310.397 1.657 20 30 DDEDLO CC(C)Cn1ncnc1C[NH2+]C/C=C/CNC(=O)C#CC1CC1 ZINC001274015636 851851613 /nfs/dbraw/zinc/85/16/13/851851613.db2.gz JUHCZFSHTNATAE-ONEGZZNKSA-N 1 2 315.421 1.110 20 30 DDEDLO C=CCN1C[C@@H](C(=O)OCC)C2(CC[NH+](CCC#N)CC2)C1=O ZINC001274031069 851869896 /nfs/dbraw/zinc/86/98/96/851869896.db2.gz GWSNUFOQNFOOMO-AWEZNQCLSA-N 1 2 319.405 1.190 20 30 DDEDLO C=CCN1C[C@@]2(F)CN(Cc3cc(OC)cc[nH+]3)C[C@@]2(F)C1=O ZINC001274251367 852096185 /nfs/dbraw/zinc/09/61/85/852096185.db2.gz QQMHYEOQNZUDFJ-JKSUJKDBSA-N 1 2 323.343 1.351 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2ccc(C(=O)OC)[nH]2)C1=O ZINC001274477880 852312978 /nfs/dbraw/zinc/31/29/78/852312978.db2.gz WREDMOCTDKJMBC-QGZVFWFLSA-N 1 2 315.373 1.001 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2ccc(C(=O)OC)[nH]2)C1=O ZINC001274477880 852312986 /nfs/dbraw/zinc/31/29/86/852312986.db2.gz WREDMOCTDKJMBC-QGZVFWFLSA-N 1 2 315.373 1.001 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](C[C@@H](O)CCCC)C[C@@]2(F)C1=O ZINC001274570724 852403951 /nfs/dbraw/zinc/40/39/51/852403951.db2.gz CDNHVGZULHDBCS-CWRNSKLLSA-N 1 2 316.392 1.688 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](C[C@@H](O)CCCC)C[C@@]2(F)C1=O ZINC001274570724 852403957 /nfs/dbraw/zinc/40/39/57/852403957.db2.gz CDNHVGZULHDBCS-CWRNSKLLSA-N 1 2 316.392 1.688 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@H+](Cc2coc(C)n2)[C@@H](C)C1 ZINC001274622114 852456858 /nfs/dbraw/zinc/45/68/58/852456858.db2.gz AXSJRUMVQTVGPO-DZGCQCFKSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@@H+](Cc2coc(C)n2)[C@@H](C)C1 ZINC001274622114 852456861 /nfs/dbraw/zinc/45/68/61/852456861.db2.gz AXSJRUMVQTVGPO-DZGCQCFKSA-N 1 2 319.405 1.492 20 30 DDEDLO C[C@@H](C[N@H+](C)[C@@H](C)c1ncccn1)NC(=O)CSCC#N ZINC001275507252 853247299 /nfs/dbraw/zinc/24/72/99/853247299.db2.gz YGKLODVEWDGZQI-RYUDHWBXSA-N 1 2 307.423 1.231 20 30 DDEDLO C[C@@H](C[N@@H+](C)[C@@H](C)c1ncccn1)NC(=O)CSCC#N ZINC001275507252 853247302 /nfs/dbraw/zinc/24/73/02/853247302.db2.gz YGKLODVEWDGZQI-RYUDHWBXSA-N 1 2 307.423 1.231 20 30 DDEDLO CN1CCO[C@@]2(CCC[N@H+](Cc3ccc(C#N)cc3F)C2)C1 ZINC001275956585 854015010 /nfs/dbraw/zinc/01/50/10/854015010.db2.gz NGCVFWVNXOTPRC-KRWDZBQOSA-N 1 2 303.381 1.994 20 30 DDEDLO CN1CCO[C@@]2(CCC[N@@H+](Cc3ccc(C#N)cc3F)C2)C1 ZINC001275956585 854015014 /nfs/dbraw/zinc/01/50/14/854015014.db2.gz NGCVFWVNXOTPRC-KRWDZBQOSA-N 1 2 303.381 1.994 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)Cc2cc(C)ccc2C)C1 ZINC001276083022 854725459 /nfs/dbraw/zinc/72/54/59/854725459.db2.gz POUQCWYZYHJFFN-UHFFFAOYSA-N 1 2 314.429 1.422 20 30 DDEDLO C=CCCC(=O)N(C)CCN(C)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001298044261 855042259 /nfs/dbraw/zinc/04/22/59/855042259.db2.gz QDCQCDDSFGBQNT-CYBMUJFWSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCCC(=O)N(C)CCN(C)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001298044261 855042263 /nfs/dbraw/zinc/04/22/63/855042263.db2.gz QDCQCDDSFGBQNT-CYBMUJFWSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]2C1 ZINC001111293452 855450715 /nfs/dbraw/zinc/45/07/15/855450715.db2.gz GIILNTSBAGTXJG-JKIFEVAISA-N 1 2 316.405 1.007 20 30 DDEDLO N#CCOc1ccc(C[NH+]2CCN(Cc3ccno3)CC2)cc1 ZINC001413049906 856142822 /nfs/dbraw/zinc/14/28/22/856142822.db2.gz RFONRAMOPVTFAV-UHFFFAOYSA-N 1 2 312.373 1.895 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2ccc(C3(C#N)CCC3)cn2)C[NH2+]1 ZINC001156242148 862856381 /nfs/dbraw/zinc/85/63/81/862856381.db2.gz CABNOWCGGKPVEO-STQMWFEESA-N 1 2 300.362 1.342 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072797662 857713435 /nfs/dbraw/zinc/71/34/35/857713435.db2.gz CLIPZQKRCWHOBE-HIFRSBDPSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@H+](Cc2cnns2)C3)C1 ZINC001072964059 857942610 /nfs/dbraw/zinc/94/26/10/857942610.db2.gz HSOFEAXGMQUJNW-UHFFFAOYSA-N 1 2 318.446 1.929 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@@H+](Cc2cnns2)C3)C1 ZINC001072964059 857942618 /nfs/dbraw/zinc/94/26/18/857942618.db2.gz HSOFEAXGMQUJNW-UHFFFAOYSA-N 1 2 318.446 1.929 20 30 DDEDLO C=C(C)CCC(=O)NC1(C)CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001073277080 858232391 /nfs/dbraw/zinc/23/23/91/858232391.db2.gz LTNGFKAUXBGREH-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC(c2nnc3n2CC[NH2+]C3)CC1 ZINC001121937645 858639583 /nfs/dbraw/zinc/63/95/83/858639583.db2.gz SHXIGNNDLLHGKQ-CYBMUJFWSA-N 1 2 317.437 1.690 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(Cc2[nH+]ccn2C)n1CC(C)C ZINC001122838862 858984108 /nfs/dbraw/zinc/98/41/08/858984108.db2.gz KJYCMVZWTRUAKJ-CYBMUJFWSA-N 1 2 300.410 1.716 20 30 DDEDLO CC1(C)CN(Cc2cc(C#N)ccc2F)CC[N@@H+]1CC(N)=O ZINC001139651152 860448567 /nfs/dbraw/zinc/44/85/67/860448567.db2.gz GIDKOQIAPRWZEV-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC1(C)CN(Cc2cc(C#N)ccc2F)CC[N@H+]1CC(N)=O ZINC001139651152 860448569 /nfs/dbraw/zinc/44/85/69/860448569.db2.gz GIDKOQIAPRWZEV-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO N#Cc1ccc2[nH]cc(C[NH+]3CCN([C@H]4CCOC4)CC3)c2c1 ZINC001140112413 860576064 /nfs/dbraw/zinc/57/60/64/860576064.db2.gz UGNSDTDTGLLMJZ-INIZCTEOSA-N 1 2 310.401 1.946 20 30 DDEDLO N#C[C@@H]1CNCCN1C(=O)/C=C/c1ccc(Cn2cc[nH+]c2)cc1 ZINC001142365812 861137024 /nfs/dbraw/zinc/13/70/24/861137024.db2.gz ULTAEKGLJVTTGF-FUTAKVPZSA-N 1 2 321.384 1.269 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC(C[NH2+]CC(F)(F)C(F)F)C1 ZINC001328859258 863285109 /nfs/dbraw/zinc/28/51/09/863285109.db2.gz MWCHYYYGWRDNNC-KPPDAEKUSA-N 1 2 324.318 1.410 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+]Cc1nc(C(C)C)ns1 ZINC001153085706 863701925 /nfs/dbraw/zinc/70/19/25/863701925.db2.gz ILIMFXHVJWDFEF-UHFFFAOYSA-N 1 2 312.439 1.460 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H](C)C1C[NH+](Cc2ccc(F)cn2)C1 ZINC001329983153 863991780 /nfs/dbraw/zinc/99/17/80/863991780.db2.gz IGIYQBWUDAGLDV-SJKOYZFVSA-N 1 2 321.396 1.484 20 30 DDEDLO COC(=O)c1cc(C#N)cnc1NCC1([NH+]2CCCC2)COC1 ZINC001157654186 864016412 /nfs/dbraw/zinc/01/64/12/864016412.db2.gz BYQVJZOTCBGLRM-UHFFFAOYSA-N 1 2 316.361 1.017 20 30 DDEDLO C#CC[N@@H+](C)C[C@H]1CCCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001157957709 864303538 /nfs/dbraw/zinc/30/35/38/864303538.db2.gz GJANBYNBYULHER-HUUCEWRRSA-N 1 2 314.433 1.776 20 30 DDEDLO C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001157957709 864303547 /nfs/dbraw/zinc/30/35/47/864303547.db2.gz GJANBYNBYULHER-HUUCEWRRSA-N 1 2 314.433 1.776 20 30 DDEDLO Cc1nc(C[N@@H+](C)CCOCCNC(=O)[C@@H](C)C#N)oc1C ZINC001331102360 864856501 /nfs/dbraw/zinc/85/65/01/864856501.db2.gz HHHIOWYTYNVXPW-NSHDSACASA-N 1 2 308.382 1.016 20 30 DDEDLO Cc1nc(C[N@H+](C)CCOCCNC(=O)[C@@H](C)C#N)oc1C ZINC001331102360 864856516 /nfs/dbraw/zinc/85/65/16/864856516.db2.gz HHHIOWYTYNVXPW-NSHDSACASA-N 1 2 308.382 1.016 20 30 DDEDLO C#CC[N@@H+](C)C[C@H]1CCCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC001158847417 864934945 /nfs/dbraw/zinc/93/49/45/864934945.db2.gz WEECUFWMMZUCPO-CQSZACIVSA-N 1 2 311.389 1.518 20 30 DDEDLO C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC001158847417 864934951 /nfs/dbraw/zinc/93/49/51/864934951.db2.gz WEECUFWMMZUCPO-CQSZACIVSA-N 1 2 311.389 1.518 20 30 DDEDLO COC(=O)c1ncc(=O)[nH]c1Nc1[nH+]ccc2[nH]cc(C#N)c21 ZINC001159419608 865281619 /nfs/dbraw/zinc/28/16/19/865281619.db2.gz KIHWHLMIVOVUTL-UHFFFAOYSA-N 1 2 310.273 1.048 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@@H+]([C@H](C)c2nncn2C)CC1 ZINC001159587679 865414939 /nfs/dbraw/zinc/41/49/39/865414939.db2.gz WNWVGLQFUQIFRE-CHWSQXEVSA-N 1 2 316.409 1.174 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@H+]([C@H](C)c2nncn2C)CC1 ZINC001159587679 865414948 /nfs/dbraw/zinc/41/49/48/865414948.db2.gz WNWVGLQFUQIFRE-CHWSQXEVSA-N 1 2 316.409 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C(C)(C)C(N)=O)[C@H]1C ZINC001332184215 865647551 /nfs/dbraw/zinc/64/75/51/865647551.db2.gz HOLPYCJEFXVPPY-MNOVXSKESA-N 1 2 301.818 1.220 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C(N)=O)[C@H]1C ZINC001332184215 865647553 /nfs/dbraw/zinc/64/75/53/865647553.db2.gz HOLPYCJEFXVPPY-MNOVXSKESA-N 1 2 301.818 1.220 20 30 DDEDLO C=CCOc1ccc(C[NH2+][C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC001332475690 865867001 /nfs/dbraw/zinc/86/70/01/865867001.db2.gz GLRWDMQFXQJQBD-HNNXBMFYSA-N 1 2 301.346 1.760 20 30 DDEDLO C[C@@H](CC(=O)NCCC1=CCN(CC#N)CC1)n1cc[nH+]c1 ZINC001161349575 866572951 /nfs/dbraw/zinc/57/29/51/866572951.db2.gz QATBSRJWWIWUPI-AWEZNQCLSA-N 1 2 301.394 1.496 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2CC(=O)N1CCC1 ZINC001323789063 866844699 /nfs/dbraw/zinc/84/46/99/866844699.db2.gz SBWRRJAQNXUGAU-IYBDPMFKSA-N 1 2 319.449 1.640 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2CC(=O)N1CCC1 ZINC001323789063 866844720 /nfs/dbraw/zinc/84/47/20/866844720.db2.gz SBWRRJAQNXUGAU-IYBDPMFKSA-N 1 2 319.449 1.640 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)COC2CCCCC2)C1 ZINC001323945829 866967736 /nfs/dbraw/zinc/96/77/36/866967736.db2.gz OOQRLJFLDNFSLB-UHFFFAOYSA-N 1 2 310.438 1.681 20 30 DDEDLO CCCC[C@H](C)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001324561819 867359273 /nfs/dbraw/zinc/35/92/73/867359273.db2.gz XZVMXOAVUALTGP-HOTGVXAUSA-N 1 2 306.454 1.555 20 30 DDEDLO C#CCCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001324608545 867402515 /nfs/dbraw/zinc/40/25/15/867402515.db2.gz QIWQWZOSPYFHBU-KRWDZBQOSA-N 1 2 316.405 1.369 20 30 DDEDLO C#CCCCC(=O)N[C@@]1(C)CC[N@H+](Cc2cncc(OC)n2)C1 ZINC001324608545 867402526 /nfs/dbraw/zinc/40/25/26/867402526.db2.gz QIWQWZOSPYFHBU-KRWDZBQOSA-N 1 2 316.405 1.369 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001334474502 867602140 /nfs/dbraw/zinc/60/21/40/867602140.db2.gz BNUNMGMPDTYKDT-CQSZACIVSA-N 1 2 318.421 1.499 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)N(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001334474502 867602151 /nfs/dbraw/zinc/60/21/51/867602151.db2.gz BNUNMGMPDTYKDT-CQSZACIVSA-N 1 2 318.421 1.499 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H](C)N(C)C(=O)Cn1cc[nH+]c1 ZINC001334475688 867602567 /nfs/dbraw/zinc/60/25/67/867602567.db2.gz BJBUABSKQCKTGX-KBPBESRZSA-N 1 2 306.410 1.449 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCCCC1 ZINC001334754351 867815354 /nfs/dbraw/zinc/81/53/54/867815354.db2.gz PUIBVGHWWQHCSL-UHFFFAOYSA-N 1 2 318.421 1.785 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cccc(Cl)c2C)C1 ZINC001325216672 867871113 /nfs/dbraw/zinc/87/11/13/867871113.db2.gz DIVGVZZUGZURMK-UHFFFAOYSA-N 1 2 306.793 1.448 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)C2(CCOCC)CCCC2)C1 ZINC001325293698 867922099 /nfs/dbraw/zinc/92/20/99/867922099.db2.gz KJYAKVNWJPKHNK-UHFFFAOYSA-N 1 2 324.465 1.712 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C2(CC#C)CCCCC2)C1 ZINC001325319335 867941570 /nfs/dbraw/zinc/94/15/70/867941570.db2.gz ULLVVPYTONCOAC-UHFFFAOYSA-N 1 2 302.418 1.146 20 30 DDEDLO N#CCC1CN(C(=O)[C@@H]2CC23C[NH+](Cc2ccnc(F)c2)C3)C1 ZINC001277096272 882183973 /nfs/dbraw/zinc/18/39/73/882183973.db2.gz IOSNXGPJDQWXJS-AWEZNQCLSA-N 1 2 314.364 1.415 20 30 DDEDLO C#C[C@H]1CCCCN1C(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC001336540655 869003438 /nfs/dbraw/zinc/00/34/38/869003438.db2.gz OXUGLEWHRSLQNO-KBPBESRZSA-N 1 2 314.389 1.130 20 30 DDEDLO CCOC(=O)c1cnc(Cl)c(C)c1N1CC[NH2+]C[C@H]1C#N ZINC001164652548 869342125 /nfs/dbraw/zinc/34/21/25/869342125.db2.gz RMZCAFATCOCWOJ-SNVBAGLBSA-N 1 2 308.769 1.522 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)Cn2cc[nH+]c2)CCN1C(=O)C#CC(C)(C)C ZINC001337938758 869701259 /nfs/dbraw/zinc/70/12/59/869701259.db2.gz NIJJFGSACUUTPM-ZIAGYGMSSA-N 1 2 316.405 1.038 20 30 DDEDLO CC(C)OCC(=O)N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001316970440 870015797 /nfs/dbraw/zinc/01/57/97/870015797.db2.gz CMKWMHKUBWSWLP-KRWDZBQOSA-N 1 2 318.392 1.793 20 30 DDEDLO CC(C)OCC(=O)N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001316970440 870015812 /nfs/dbraw/zinc/01/58/12/870015812.db2.gz CMKWMHKUBWSWLP-KRWDZBQOSA-N 1 2 318.392 1.793 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001316976111 870037998 /nfs/dbraw/zinc/03/79/98/870037998.db2.gz JXGLTMRGDLKSMY-HUUCEWRRSA-N 1 2 300.406 1.696 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001316976111 870038009 /nfs/dbraw/zinc/03/80/09/870038009.db2.gz JXGLTMRGDLKSMY-HUUCEWRRSA-N 1 2 300.406 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001316980815 870053121 /nfs/dbraw/zinc/05/31/21/870053121.db2.gz LJOVCPCDVQVTSE-QKPAOTATSA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001316980815 870053130 /nfs/dbraw/zinc/05/31/30/870053130.db2.gz LJOVCPCDVQVTSE-QKPAOTATSA-N 1 2 319.449 1.305 20 30 DDEDLO CC(C)C#CC(=O)N[C@@](C)(CNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001297556671 870101427 /nfs/dbraw/zinc/10/14/27/870101427.db2.gz GWKFVMJCWCWCDA-KRWDZBQOSA-N 1 2 316.405 1.013 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@](C)(NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001297573528 870108403 /nfs/dbraw/zinc/10/84/03/870108403.db2.gz AKWUODZCGCQDJK-QGZVFWFLSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@H]2C[N@@H+]3CCCC[C@H]3CO2)n1C ZINC001338672429 870109296 /nfs/dbraw/zinc/10/92/96/870109296.db2.gz ZGHPCCSVLWSYMK-UONOGXRCSA-N 1 2 305.426 1.753 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@H]2C[N@H+]3CCCC[C@H]3CO2)n1C ZINC001338672429 870109301 /nfs/dbraw/zinc/10/93/01/870109301.db2.gz ZGHPCCSVLWSYMK-UONOGXRCSA-N 1 2 305.426 1.753 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(C)cc3C)n2C)CC1 ZINC001338758306 870154150 /nfs/dbraw/zinc/15/41/50/870154150.db2.gz PCTDSDYWDUJPGR-UHFFFAOYSA-N 1 2 309.417 1.854 20 30 DDEDLO CN(CC#N)C[C@H]1CCCN(C(=O)c2cccc3[nH+]ccn32)C1 ZINC001317046630 870162586 /nfs/dbraw/zinc/16/25/86/870162586.db2.gz YMBIVAARFWWBRK-CQSZACIVSA-N 1 2 311.389 1.642 20 30 DDEDLO C#CCOc1ccc(F)cc1NC(=O)C[NH+]1CCC(CO)CC1 ZINC001338876192 870219941 /nfs/dbraw/zinc/21/99/41/870219941.db2.gz DMOJYJBBXDHUHY-UHFFFAOYSA-N 1 2 320.364 1.481 20 30 DDEDLO N#CCN1CC[C@H]2[C@H](CCCN2C(=O)CCCn2cc[nH+]c2)C1 ZINC001317099284 870242470 /nfs/dbraw/zinc/24/24/70/870242470.db2.gz AEILBMGPLJWEMN-CVEARBPZSA-N 1 2 315.421 1.500 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(C)(C)C=C)n2CC=C)CC1 ZINC001339184235 870393286 /nfs/dbraw/zinc/39/32/86/870393286.db2.gz LAYBFWRNKSCDBU-UHFFFAOYSA-N 1 2 313.449 1.974 20 30 DDEDLO C#CC1CCN(c2nnc([C@H]3C[N@H+](C(C)C)CCO3)n2C)CC1 ZINC001339284178 870436512 /nfs/dbraw/zinc/43/65/12/870436512.db2.gz TXOHXSCYXDFCLU-OAHLLOKOSA-N 1 2 317.437 1.446 20 30 DDEDLO C#CC1CCN(c2nnc([C@H]3C[N@@H+](C(C)C)CCO3)n2C)CC1 ZINC001339284178 870436517 /nfs/dbraw/zinc/43/65/17/870436517.db2.gz TXOHXSCYXDFCLU-OAHLLOKOSA-N 1 2 317.437 1.446 20 30 DDEDLO C=C1CCN(c2nnc([C@@]3(C)C[C@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001339354607 870457865 /nfs/dbraw/zinc/45/78/65/870457865.db2.gz RKVTZSPUTSRTFJ-XJKSGUPXSA-N 1 2 305.426 1.366 20 30 DDEDLO C=C1CCN(c2nnc([C@@]3(C)C[C@H](O)C[N@H+]3C)n2CC)CC1 ZINC001339354607 870457872 /nfs/dbraw/zinc/45/78/72/870457872.db2.gz RKVTZSPUTSRTFJ-XJKSGUPXSA-N 1 2 305.426 1.366 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC001299035422 870855862 /nfs/dbraw/zinc/85/58/62/870855862.db2.gz DACUFNMVXOAQNW-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]1CNC(=O)CCCn1cc[nH+]c1 ZINC001299054474 870867165 /nfs/dbraw/zinc/86/71/65/870867165.db2.gz BCBFXZBYNMOYRE-OAHLLOKOSA-N 1 2 316.405 1.040 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@H+]1[C@@H](C)c1nncn1C ZINC001317499987 870926644 /nfs/dbraw/zinc/92/66/44/870926644.db2.gz SZVJQYFXZFFYPN-UONOGXRCSA-N 1 2 303.410 1.260 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@@H+]1[C@@H](C)c1nncn1C ZINC001317499987 870926652 /nfs/dbraw/zinc/92/66/52/870926652.db2.gz SZVJQYFXZFFYPN-UONOGXRCSA-N 1 2 303.410 1.260 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CC[N@@H+](Cc3ncnn3C)C2)C1 ZINC001317530391 871005221 /nfs/dbraw/zinc/00/52/21/871005221.db2.gz GJTIYRKPWZRMDF-CYBMUJFWSA-N 1 2 303.410 1.110 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CC[N@H+](Cc3ncnn3C)C2)C1 ZINC001317530391 871005235 /nfs/dbraw/zinc/00/52/35/871005235.db2.gz GJTIYRKPWZRMDF-CYBMUJFWSA-N 1 2 303.410 1.110 20 30 DDEDLO CCc1nnc(N(C)[C@H](C)CC#N)n1CC[N@@H+]1CCOC[C@H]1C ZINC001381676808 882466065 /nfs/dbraw/zinc/46/60/65/882466065.db2.gz OPFNSAGLBQTOQV-ZIAGYGMSSA-N 1 2 320.441 1.300 20 30 DDEDLO CCc1nnc(N(C)[C@H](C)CC#N)n1CC[N@H+]1CCOC[C@H]1C ZINC001381676808 882466072 /nfs/dbraw/zinc/46/60/72/882466072.db2.gz OPFNSAGLBQTOQV-ZIAGYGMSSA-N 1 2 320.441 1.300 20 30 DDEDLO C#CC1CCN(c2nnc(C[N@@H+]3CCC[C@@H](O)C3)n2CC)CC1 ZINC001340318914 871030638 /nfs/dbraw/zinc/03/06/38/871030638.db2.gz OPWSFHTYMDHTEV-OAHLLOKOSA-N 1 2 317.437 1.104 20 30 DDEDLO C#CC1CCN(c2nnc(C[N@H+]3CCC[C@@H](O)C3)n2CC)CC1 ZINC001340318914 871030659 /nfs/dbraw/zinc/03/06/59/871030659.db2.gz OPWSFHTYMDHTEV-OAHLLOKOSA-N 1 2 317.437 1.104 20 30 DDEDLO C=CCn1c(N(C)CCCC)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001340558268 871196855 /nfs/dbraw/zinc/19/68/55/871196855.db2.gz FGDFCMJMEMRKGN-CZUORRHYSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CCn1c(N(C)CCCC)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001340558268 871196861 /nfs/dbraw/zinc/19/68/61/871196861.db2.gz FGDFCMJMEMRKGN-CZUORRHYSA-N 1 2 307.442 1.612 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNc1ccc([N+](=O)[O-])c(N)c1C#N ZINC001306961407 871387091 /nfs/dbraw/zinc/38/70/91/871387091.db2.gz XYDIIVLLCRHHOX-SNVBAGLBSA-N 1 2 305.338 1.181 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNc1ccc([N+](=O)[O-])c(N)c1C#N ZINC001306961407 871387106 /nfs/dbraw/zinc/38/71/06/871387106.db2.gz XYDIIVLLCRHHOX-SNVBAGLBSA-N 1 2 305.338 1.181 20 30 DDEDLO C#CCCCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)C ZINC001317739866 871456026 /nfs/dbraw/zinc/45/60/26/871456026.db2.gz PFIMVMAKSUJZFP-IYBDPMFKSA-N 1 2 319.449 1.334 20 30 DDEDLO C#CCCCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(C)C ZINC001317739866 871456038 /nfs/dbraw/zinc/45/60/38/871456038.db2.gz PFIMVMAKSUJZFP-IYBDPMFKSA-N 1 2 319.449 1.334 20 30 DDEDLO C=CCNC(=O)C[NH2+]Cc1cc(Br)cnc1F ZINC001308643442 871517167 /nfs/dbraw/zinc/51/71/67/871517167.db2.gz VPQWIFYTZFTGGA-UHFFFAOYSA-N 1 2 302.147 1.375 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2C[N@@H+]3CCCC[C@H]3CO2)n1CC ZINC001341208726 871559549 /nfs/dbraw/zinc/55/95/49/871559549.db2.gz BGQASRZGNULEQZ-GJZGRUSLSA-N 1 2 317.437 1.683 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2C[N@H+]3CCCC[C@H]3CO2)n1CC ZINC001341208726 871559566 /nfs/dbraw/zinc/55/95/66/871559566.db2.gz BGQASRZGNULEQZ-GJZGRUSLSA-N 1 2 317.437 1.683 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2nc(C)cs2)C1 ZINC001317960594 871650402 /nfs/dbraw/zinc/65/04/02/871650402.db2.gz BSZJSOCWFPXPSC-ZDUSSCGKSA-N 1 2 309.435 1.735 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@H+](Cc2nc(C)cs2)C1 ZINC001317960594 871650414 /nfs/dbraw/zinc/65/04/14/871650414.db2.gz BSZJSOCWFPXPSC-ZDUSSCGKSA-N 1 2 309.435 1.735 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)CCOc2cccc(C)c2C)C1 ZINC001318028996 871699456 /nfs/dbraw/zinc/69/94/56/871699456.db2.gz HPICTIQIUASXSU-UHFFFAOYSA-N 1 2 300.402 1.896 20 30 DDEDLO C=C(C)CN(CC)c1nnc(C[NH+]2CCCC2)n1CCOC ZINC001341604480 871765103 /nfs/dbraw/zinc/76/51/03/871765103.db2.gz MPIXHGCUILLMCX-UHFFFAOYSA-N 1 2 307.442 1.923 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@@H](CC)C1 ZINC001342062562 871979530 /nfs/dbraw/zinc/97/95/30/871979530.db2.gz XHEFCVGYOQPDLO-KFWWJZLASA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@@H](CC)C1 ZINC001342062562 871979536 /nfs/dbraw/zinc/97/95/36/871979536.db2.gz XHEFCVGYOQPDLO-KFWWJZLASA-N 1 2 319.453 1.828 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC)[C@H]1CC[N@H+](Cc2cc(C)on2)C1 ZINC001318471691 872093600 /nfs/dbraw/zinc/09/36/00/872093600.db2.gz UGKZJUIOWABHSF-HOCLYGCPSA-N 1 2 319.405 1.444 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC)[C@H]1CC[N@@H+](Cc2cc(C)on2)C1 ZINC001318471691 872093623 /nfs/dbraw/zinc/09/36/23/872093623.db2.gz UGKZJUIOWABHSF-HOCLYGCPSA-N 1 2 319.405 1.444 20 30 DDEDLO CC[N@H+](CCCNC(=O)[C@H](C)C#N)Cc1nnc(C2CC2)s1 ZINC001316886998 872221804 /nfs/dbraw/zinc/22/18/04/872221804.db2.gz AXJKNLXPRHMWOM-LLVKDONJSA-N 1 2 321.450 1.903 20 30 DDEDLO CC[N@@H+](CCCNC(=O)[C@H](C)C#N)Cc1nnc(C2CC2)s1 ZINC001316886998 872221820 /nfs/dbraw/zinc/22/18/20/872221820.db2.gz AXJKNLXPRHMWOM-LLVKDONJSA-N 1 2 321.450 1.903 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nocc2C)C[C@H]1C ZINC001206429395 872314039 /nfs/dbraw/zinc/31/40/39/872314039.db2.gz ZUNBHCLPTOEXTG-VHDGCEQUSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nocc2C)C[C@H]1C ZINC001206429395 872314060 /nfs/dbraw/zinc/31/40/60/872314060.db2.gz ZUNBHCLPTOEXTG-VHDGCEQUSA-N 1 2 321.421 1.901 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3C[C@@H]3C#N)n2CC(C)C)CC1 ZINC001342889750 872425453 /nfs/dbraw/zinc/42/54/53/872425453.db2.gz LOYRCTACFUBZBZ-CABCVRRESA-N 1 2 312.421 1.316 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nncn2C)C[C@H]1C ZINC001206913693 872754856 /nfs/dbraw/zinc/75/48/56/872754856.db2.gz UXZJUNPXEPZTPH-HZSPNIEDSA-N 1 2 303.410 1.116 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nncn2C)C[C@H]1C ZINC001206913693 872754863 /nfs/dbraw/zinc/75/48/63/872754863.db2.gz UXZJUNPXEPZTPH-HZSPNIEDSA-N 1 2 303.410 1.116 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2nccc(C)n2)C[C@H]1C ZINC001206914465 872757951 /nfs/dbraw/zinc/75/79/51/872757951.db2.gz GMUFELGCNMJMND-MRRJBJDNSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2nccc(C)n2)C[C@H]1C ZINC001206914465 872757964 /nfs/dbraw/zinc/75/79/64/872757964.db2.gz GMUFELGCNMJMND-MRRJBJDNSA-N 1 2 318.421 1.049 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C2)o1 ZINC001206950938 872834306 /nfs/dbraw/zinc/83/43/06/872834306.db2.gz PILAKWMBGMRGGI-TZMCWYRMSA-N 1 2 303.406 1.969 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C2)o1 ZINC001206950938 872834311 /nfs/dbraw/zinc/83/43/11/872834311.db2.gz PILAKWMBGMRGGI-TZMCWYRMSA-N 1 2 303.406 1.969 20 30 DDEDLO CCn1ccc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C2)n1 ZINC001206952000 872836077 /nfs/dbraw/zinc/83/60/77/872836077.db2.gz SSURJNPDLTVAAU-GDBMZVCRSA-N 1 2 316.449 1.889 20 30 DDEDLO CCn1ccc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C2)n1 ZINC001206952000 872836082 /nfs/dbraw/zinc/83/60/82/872836082.db2.gz SSURJNPDLTVAAU-GDBMZVCRSA-N 1 2 316.449 1.889 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001206963997 872855292 /nfs/dbraw/zinc/85/52/92/872855292.db2.gz UAVKUXIWQSZXIG-KWCYVHTRSA-N 1 2 321.446 1.426 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001206963997 872855305 /nfs/dbraw/zinc/85/53/05/872855305.db2.gz UAVKUXIWQSZXIG-KWCYVHTRSA-N 1 2 321.446 1.426 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001381788189 882669039 /nfs/dbraw/zinc/66/90/39/882669039.db2.gz OYFGALRBKSWXJY-NWDGAFQWSA-N 1 2 313.829 1.092 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001207623351 873423098 /nfs/dbraw/zinc/42/30/98/873423098.db2.gz ROJCKGHWGOLSSQ-STQMWFEESA-N 1 2 324.450 1.600 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@H]2CCC[N@@H+]2C)n1CCOC ZINC001345707107 873483433 /nfs/dbraw/zinc/48/34/33/873483433.db2.gz WETLPNIBRJAYDJ-CABCVRRESA-N 1 2 317.437 1.683 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@H]2CCC[N@H+]2C)n1CCOC ZINC001345707107 873483440 /nfs/dbraw/zinc/48/34/40/873483440.db2.gz WETLPNIBRJAYDJ-CABCVRRESA-N 1 2 317.437 1.683 20 30 DDEDLO C#CCCCCC(=O)NC1CC[NH+](Cc2ncccn2)CC1 ZINC001226951728 882740325 /nfs/dbraw/zinc/74/03/25/882740325.db2.gz HZXPHUFMJUGMNI-UHFFFAOYSA-N 1 2 300.406 1.751 20 30 DDEDLO C=CCOCC[NH2+][C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001208083334 873821104 /nfs/dbraw/zinc/82/11/04/873821104.db2.gz CMMPJURQQJRLAM-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCOCC[NH2+][C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001208083334 873821109 /nfs/dbraw/zinc/82/11/09/873821109.db2.gz CMMPJURQQJRLAM-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@]2(COC)CCOC2)C1 ZINC001208188206 873900212 /nfs/dbraw/zinc/90/02/12/873900212.db2.gz GXEKQDFFUDEZDL-KYOSRNDESA-N 1 2 316.829 1.229 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@]2(COC)CCOC2)C1 ZINC001208188206 873900226 /nfs/dbraw/zinc/90/02/26/873900226.db2.gz GXEKQDFFUDEZDL-KYOSRNDESA-N 1 2 316.829 1.229 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2nonc2C)C[C@H]1C ZINC001208371158 874074395 /nfs/dbraw/zinc/07/43/95/874074395.db2.gz HUTFMABIHWQGNL-TZMCWYRMSA-N 1 2 304.394 1.508 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2nonc2C)C[C@H]1C ZINC001208371158 874074412 /nfs/dbraw/zinc/07/44/12/874074412.db2.gz HUTFMABIHWQGNL-TZMCWYRMSA-N 1 2 304.394 1.508 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2nonc2C)C[C@H]1C ZINC001208392969 874101957 /nfs/dbraw/zinc/10/19/57/874101957.db2.gz HLKBNSAWNPEBNS-KWCYVHTRSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2nonc2C)C[C@H]1C ZINC001208392969 874101964 /nfs/dbraw/zinc/10/19/64/874101964.db2.gz HLKBNSAWNPEBNS-KWCYVHTRSA-N 1 2 306.410 1.917 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)s1 ZINC001381855171 882811683 /nfs/dbraw/zinc/81/16/83/882811683.db2.gz MRKBLPDPNCQYAO-JQWIXIFHSA-N 1 2 306.435 1.938 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)s1 ZINC001381855171 882811697 /nfs/dbraw/zinc/81/16/97/882811697.db2.gz MRKBLPDPNCQYAO-JQWIXIFHSA-N 1 2 306.435 1.938 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCCC(=O)NC)C(C)(C)C1 ZINC001378633395 875278607 /nfs/dbraw/zinc/27/86/07/875278607.db2.gz DSAMXXDUFCJKFR-LBPRGKRZSA-N 1 2 315.845 1.482 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCCC(=O)NC)C(C)(C)C1 ZINC001378633395 875278597 /nfs/dbraw/zinc/27/85/97/875278597.db2.gz DSAMXXDUFCJKFR-LBPRGKRZSA-N 1 2 315.845 1.482 20 30 DDEDLO CC(C)C#CC(=O)NC1CC[NH+]([C@@H](C)c2nncn2C)CC1 ZINC001227265825 882943878 /nfs/dbraw/zinc/94/38/78/882943878.db2.gz QKSBZLHGVGMPIT-ZDUSSCGKSA-N 1 2 303.410 1.116 20 30 DDEDLO CCOC(=O)c1c[nH+]c(N)n1-c1cc(C#N)cc([N+](=O)[O-])c1 ZINC001211327948 875754949 /nfs/dbraw/zinc/75/49/49/875754949.db2.gz ZVLOWOFVUVOCAI-UHFFFAOYSA-N 1 2 301.262 1.411 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)CC(F)(F)F)[C@H](OC)C1 ZINC001213678832 876019411 /nfs/dbraw/zinc/01/94/11/876019411.db2.gz QCJMZCRQPZMKNU-GHMZBOCLSA-N 1 2 306.328 1.414 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)CC(F)(F)F)[C@H](OC)C1 ZINC001213678832 876019427 /nfs/dbraw/zinc/01/94/27/876019427.db2.gz QCJMZCRQPZMKNU-GHMZBOCLSA-N 1 2 306.328 1.414 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)Oc1ccc2cc(C#N)c(=O)oc2c1 ZINC001227380243 882989178 /nfs/dbraw/zinc/98/91/78/882989178.db2.gz WXCLDTXZGBBZSY-GFCCVEGCSA-N 1 2 314.341 1.764 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)Oc2cccc(C)c2)[C@H](OC)C1 ZINC001213824921 876069722 /nfs/dbraw/zinc/06/97/22/876069722.db2.gz BNUHVCQKSYRHMH-USXIJHARSA-N 1 2 316.401 1.211 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)Oc2cccc(C)c2)[C@H](OC)C1 ZINC001213824921 876069733 /nfs/dbraw/zinc/06/97/33/876069733.db2.gz BNUHVCQKSYRHMH-USXIJHARSA-N 1 2 316.401 1.211 20 30 DDEDLO C=CCCC(C)(C)CNC(=O)NC[C@@H](C)[NH+]1CCN(C)CC1 ZINC001351268960 876154279 /nfs/dbraw/zinc/15/42/79/876154279.db2.gz TZXVKQOBTAJPPD-OAHLLOKOSA-N 1 2 310.486 1.914 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@@H]2CN(C(=O)C#CC(C)C)C[C@H]2C)no1 ZINC001214584228 876403961 /nfs/dbraw/zinc/40/39/61/876403961.db2.gz SMEZTNRCKCZKSQ-MBNYWOFBSA-N 1 2 304.394 1.535 20 30 DDEDLO C=C(C)CN(CC)c1nnnn1CC(C)(C)[NH+]1CCOCC1 ZINC001352200526 876644491 /nfs/dbraw/zinc/64/44/91/876644491.db2.gz OYARNSLQZFEGJG-UHFFFAOYSA-N 1 2 308.430 1.186 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001353506818 877385988 /nfs/dbraw/zinc/38/59/88/877385988.db2.gz VUQLYOQYMMBDON-AWEZNQCLSA-N 1 2 316.405 1.438 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001353789645 877565775 /nfs/dbraw/zinc/56/57/75/877565775.db2.gz WFBBHOOZNSUCLG-BETUJISGSA-N 1 2 304.394 1.082 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cccc(C(F)F)c2)C[C@@H]1O ZINC001219381080 878165089 /nfs/dbraw/zinc/16/50/89/878165089.db2.gz HHSRAEKDYAYUDC-HONMWMINSA-N 1 2 323.343 1.445 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(C(F)F)c2)C[C@@H]1O ZINC001219381080 878165091 /nfs/dbraw/zinc/16/50/91/878165091.db2.gz HHSRAEKDYAYUDC-HONMWMINSA-N 1 2 323.343 1.445 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@@H]1O ZINC001219708251 878472764 /nfs/dbraw/zinc/47/27/64/878472764.db2.gz QPTBQFVQAHLVLO-OLZOCXBDSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@@H]1O ZINC001219708251 878472765 /nfs/dbraw/zinc/47/27/65/878472765.db2.gz QPTBQFVQAHLVLO-OLZOCXBDSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219715727 878475619 /nfs/dbraw/zinc/47/56/19/878475619.db2.gz JZLFZBLSPLTBBX-SJORKVTESA-N 1 2 313.401 1.576 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219715727 878475628 /nfs/dbraw/zinc/47/56/28/878475628.db2.gz JZLFZBLSPLTBBX-SJORKVTESA-N 1 2 313.401 1.576 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CN(C)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001287617344 912355717 /nfs/dbraw/zinc/35/57/17/912355717.db2.gz STTGPLMBJULKNV-ZIAGYGMSSA-N 1 2 306.410 1.764 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001287678422 912388821 /nfs/dbraw/zinc/38/88/21/912388821.db2.gz GZGAJMZDHQBYEB-CYBMUJFWSA-N 1 2 320.437 1.528 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC)CC2CCCCC2)[C@@H](O)C1 ZINC001220470325 879042978 /nfs/dbraw/zinc/04/29/78/879042978.db2.gz XTFAERCETVMPEB-BBWFWOEESA-N 1 2 306.450 1.778 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)CC2CCCCC2)[C@@H](O)C1 ZINC001220470325 879042993 /nfs/dbraw/zinc/04/29/93/879042993.db2.gz XTFAERCETVMPEB-BBWFWOEESA-N 1 2 306.450 1.778 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](CCN(C)C(=O)[C@H]2C[C@H]2C)CC1 ZINC001356698141 879200338 /nfs/dbraw/zinc/20/03/38/879200338.db2.gz AEEVFLRAGFURSM-CABCVRRESA-N 1 2 307.438 1.211 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](CCN(C)C(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001356698143 879202505 /nfs/dbraw/zinc/20/25/05/879202505.db2.gz AEEVFLRAGFURSM-LSDHHAIUSA-N 1 2 307.438 1.211 20 30 DDEDLO Cc1noc([C@@H](C)[NH+]2CCC(C)(NC(=O)[C@H](C)C#N)CC2)n1 ZINC001380296448 879261709 /nfs/dbraw/zinc/26/17/09/879261709.db2.gz BRBLQURJJIFYKO-GHMZBOCLSA-N 1 2 305.382 1.569 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(CC)(CC)c2ccccc2)[C@@H](O)C1 ZINC001221040359 879464434 /nfs/dbraw/zinc/46/44/34/879464434.db2.gz JEMNZHJQPUCULY-SJORKVTESA-N 1 2 314.429 1.539 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(CC)(CC)c2ccccc2)[C@@H](O)C1 ZINC001221040359 879464437 /nfs/dbraw/zinc/46/44/37/879464437.db2.gz JEMNZHJQPUCULY-SJORKVTESA-N 1 2 314.429 1.539 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@H+](Cc3ccc(F)cn3)C[C@H]21 ZINC001221529883 879925788 /nfs/dbraw/zinc/92/57/88/879925788.db2.gz QIBFOFATXPZUCV-RHSMWYFYSA-N 1 2 315.392 1.913 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@@H+](Cc3ccc(F)cn3)C[C@H]21 ZINC001221529883 879925796 /nfs/dbraw/zinc/92/57/96/879925796.db2.gz QIBFOFATXPZUCV-RHSMWYFYSA-N 1 2 315.392 1.913 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1CC ZINC001358580120 880551656 /nfs/dbraw/zinc/55/16/56/880551656.db2.gz OSAYUVVYQYAKKP-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1CC ZINC001358580122 880552242 /nfs/dbraw/zinc/55/22/42/880552242.db2.gz OSAYUVVYQYAKKP-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H]3CCN(C(=O)C#CC(C)C)C[C@@H]32)n1 ZINC001222635729 880612701 /nfs/dbraw/zinc/61/27/01/880612701.db2.gz BTOSZOKIWVZYFJ-CABCVRRESA-N 1 2 316.405 1.460 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H]3CCN(C(=O)C#CC(C)C)C[C@@H]32)n1 ZINC001222635729 880612711 /nfs/dbraw/zinc/61/27/11/880612711.db2.gz BTOSZOKIWVZYFJ-CABCVRRESA-N 1 2 316.405 1.460 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001358665066 880726175 /nfs/dbraw/zinc/72/61/75/880726175.db2.gz MNUBNXMCBUYTQS-UHFFFAOYSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@@H]1CCN(C2CCC2)C1=O ZINC001276915570 881079418 /nfs/dbraw/zinc/07/94/18/881079418.db2.gz CRPZLPRCYUYARD-HZPDHXFCSA-N 1 2 319.449 1.687 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@H+]1[C@@H]1CCN(C2CCC2)C1=O ZINC001276915570 881079435 /nfs/dbraw/zinc/07/94/35/881079435.db2.gz CRPZLPRCYUYARD-HZPDHXFCSA-N 1 2 319.449 1.687 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1nnn(C)n1 ZINC001228111899 883341030 /nfs/dbraw/zinc/34/10/30/883341030.db2.gz GYYKWVLFPFHJIG-BFHYXJOUSA-N 1 2 320.441 1.329 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2cc(C#N)cs2)C[C@H]1C ZINC001362397490 883718790 /nfs/dbraw/zinc/71/87/90/883718790.db2.gz OZKKDNGCPSKPCI-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2cc(C#N)cs2)C[C@H]1C ZINC001362397490 883718796 /nfs/dbraw/zinc/71/87/96/883718796.db2.gz OZKKDNGCPSKPCI-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO C=CCCCC(=O)NC1CC[NH+]([C@H](C)c2nncn2C)CC1 ZINC001228927483 883734528 /nfs/dbraw/zinc/73/45/28/883734528.db2.gz BYEDEASYEKSIQH-CYBMUJFWSA-N 1 2 305.426 1.813 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001229048390 883791581 /nfs/dbraw/zinc/79/15/81/883791581.db2.gz CPKULNQXINVFHR-INIZCTEOSA-N 1 2 305.426 1.498 20 30 DDEDLO N#Cc1cccc([C@@H]2C[C@H]2C(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC001362518580 883989805 /nfs/dbraw/zinc/98/98/05/883989805.db2.gz JVYUDTHZTRPZTL-OWCLPIDISA-N 1 2 306.369 1.989 20 30 DDEDLO CCc1noc(C[NH2+]C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C)n1 ZINC001382528359 884189242 /nfs/dbraw/zinc/18/92/42/884189242.db2.gz SRYLHASWBXAWAX-QWRGUYRKSA-N 1 2 307.398 1.412 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1sccc1C ZINC001230587126 884622993 /nfs/dbraw/zinc/62/29/93/884622993.db2.gz BVFPLYOFLHKCFJ-CQSZACIVSA-N 1 2 306.431 1.853 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1sccc1C ZINC001230587126 884623003 /nfs/dbraw/zinc/62/30/03/884623003.db2.gz BVFPLYOFLHKCFJ-CQSZACIVSA-N 1 2 306.431 1.853 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC[N@H+](CCF)Cc1cccnc1 ZINC001230745118 884825928 /nfs/dbraw/zinc/82/59/28/884825928.db2.gz BBEQDQLDUCSOKQ-KRWDZBQOSA-N 1 2 323.412 1.687 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC[N@@H+](CCF)Cc1cccnc1 ZINC001230745118 884825941 /nfs/dbraw/zinc/82/59/41/884825941.db2.gz BBEQDQLDUCSOKQ-KRWDZBQOSA-N 1 2 323.412 1.687 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CCCCC)C1=O ZINC001230776051 884863079 /nfs/dbraw/zinc/86/30/79/884863079.db2.gz PAMFIJKKFLMLJQ-CVEARBPZSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CCCCC)C1=O ZINC001230776051 884863092 /nfs/dbraw/zinc/86/30/92/884863092.db2.gz PAMFIJKKFLMLJQ-CVEARBPZSA-N 1 2 321.465 1.886 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(CC)CC ZINC001230834358 884936319 /nfs/dbraw/zinc/93/63/19/884936319.db2.gz CPPHSXJIHQVMHA-UKRRQHHQSA-N 1 2 307.438 1.093 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(CC)CC ZINC001230834358 884936334 /nfs/dbraw/zinc/93/63/34/884936334.db2.gz CPPHSXJIHQVMHA-UKRRQHHQSA-N 1 2 307.438 1.093 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001231102506 885225950 /nfs/dbraw/zinc/22/59/50/885225950.db2.gz JBCJFZIAEIELIC-ZFWWWQNUSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001231102506 885225963 /nfs/dbraw/zinc/22/59/63/885225963.db2.gz JBCJFZIAEIELIC-ZFWWWQNUSA-N 1 2 321.421 1.997 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cnn(C)c2-c2ccc(OC)cc2)CC1 ZINC001232109549 886102384 /nfs/dbraw/zinc/10/23/84/886102384.db2.gz BOUSFCQQEYYVFE-UHFFFAOYSA-N 1 2 324.428 1.847 20 30 DDEDLO COC[C@H]1Cn2nccc2C[N@H+](Cc2cc(C#N)ccc2O)C1 ZINC001232676563 886530954 /nfs/dbraw/zinc/53/09/54/886530954.db2.gz MDLCAXOAZZCHCW-CQSZACIVSA-N 1 2 312.373 1.739 20 30 DDEDLO COC[C@H]1Cn2nccc2C[N@@H+](Cc2cc(C#N)ccc2O)C1 ZINC001232676563 886530969 /nfs/dbraw/zinc/53/09/69/886530969.db2.gz MDLCAXOAZZCHCW-CQSZACIVSA-N 1 2 312.373 1.739 20 30 DDEDLO COC(=O)[C@@H]1COCCC12C[NH+](Cc1cc(C#N)ccc1O)C2 ZINC001232678855 886531620 /nfs/dbraw/zinc/53/16/20/886531620.db2.gz RKTQDPOWAGLHQB-AWEZNQCLSA-N 1 2 316.357 1.275 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCC(NC(=O)C(F)(F)F)CC2)n1 ZINC001232925903 886678520 /nfs/dbraw/zinc/67/85/20/886678520.db2.gz RSPVVSQXQFMBIY-UHFFFAOYSA-N 1 2 312.295 1.596 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3nccnc3C#N)CC2)cnc1C ZINC001233161853 886819597 /nfs/dbraw/zinc/81/95/97/886819597.db2.gz JOKORQKQVVMXON-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO N#Cc1nccnc1N1CCN(Cc2cccc3[nH+]ccn32)CC1 ZINC001233363363 886937788 /nfs/dbraw/zinc/93/77/88/886937788.db2.gz YFLPEOAEFQLDHH-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C=C(CC)CC ZINC001233643210 887181039 /nfs/dbraw/zinc/18/10/39/887181039.db2.gz CVLIYDWPYHZBSA-HNNXBMFYSA-N 1 2 305.422 1.015 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C=C(CC)CC ZINC001233643210 887181046 /nfs/dbraw/zinc/18/10/46/887181046.db2.gz CVLIYDWPYHZBSA-HNNXBMFYSA-N 1 2 305.422 1.015 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C=C(CC)CC ZINC001233643212 887182069 /nfs/dbraw/zinc/18/20/69/887182069.db2.gz CVLIYDWPYHZBSA-OAHLLOKOSA-N 1 2 305.422 1.015 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C=C(CC)CC ZINC001233643212 887182071 /nfs/dbraw/zinc/18/20/71/887182071.db2.gz CVLIYDWPYHZBSA-OAHLLOKOSA-N 1 2 305.422 1.015 20 30 DDEDLO N#Cc1cccc([C@@H](O)C[N@@H+]2CCc3ccccc3[C@@H]2C(N)=O)c1 ZINC001363778294 887196058 /nfs/dbraw/zinc/19/60/58/887196058.db2.gz CRFKOJHECQACNS-ZWKOTPCHSA-N 1 2 321.380 1.676 20 30 DDEDLO N#Cc1cccc([C@@H](O)C[N@H+]2CCc3ccccc3[C@@H]2C(N)=O)c1 ZINC001363778294 887196067 /nfs/dbraw/zinc/19/60/67/887196067.db2.gz CRFKOJHECQACNS-ZWKOTPCHSA-N 1 2 321.380 1.676 20 30 DDEDLO CCOC(=O)C[N@H+](CC)C[C@H](O)COc1ccc(CC#N)cc1 ZINC001363779018 887198645 /nfs/dbraw/zinc/19/86/45/887198645.db2.gz ORFCBKIBSXPCIZ-HNNXBMFYSA-N 1 2 320.389 1.377 20 30 DDEDLO CCOC(=O)C[N@@H+](CC)C[C@H](O)COc1ccc(CC#N)cc1 ZINC001363779018 887198650 /nfs/dbraw/zinc/19/86/50/887198650.db2.gz ORFCBKIBSXPCIZ-HNNXBMFYSA-N 1 2 320.389 1.377 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001233976642 887515056 /nfs/dbraw/zinc/51/50/56/887515056.db2.gz HHRBWDARESBYPI-BBRMVZONSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001233976642 887515065 /nfs/dbraw/zinc/51/50/65/887515065.db2.gz HHRBWDARESBYPI-BBRMVZONSA-N 1 2 307.394 1.343 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001234066828 887612613 /nfs/dbraw/zinc/61/26/13/887612613.db2.gz CJDVGOBNYGCWKX-QZTJIDSGSA-N 1 2 314.429 1.588 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001234066828 887612621 /nfs/dbraw/zinc/61/26/21/887612621.db2.gz CJDVGOBNYGCWKX-QZTJIDSGSA-N 1 2 314.429 1.588 20 30 DDEDLO CN(C[C@@H]1CCN1Cc1c[nH+]cn1C)C(=O)C#CC(C)(C)C ZINC001234196973 887738970 /nfs/dbraw/zinc/73/89/70/887738970.db2.gz XDWCZMLLYMHFAQ-AWEZNQCLSA-N 1 2 302.422 1.502 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H]1CCNC1=O ZINC001234555575 888090573 /nfs/dbraw/zinc/09/05/73/888090573.db2.gz WYUHYLBDJTWDJM-NWDGAFQWSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H]1CCNC1=O ZINC001234555575 888090587 /nfs/dbraw/zinc/09/05/87/888090587.db2.gz WYUHYLBDJTWDJM-NWDGAFQWSA-N 1 2 313.829 1.186 20 30 DDEDLO CCCS(=O)(=O)NC1CC[NH+](Cc2cc(C#N)ccn2)CC1 ZINC001364305488 888346434 /nfs/dbraw/zinc/34/64/34/888346434.db2.gz IZMGHNVETSNDGM-UHFFFAOYSA-N 1 2 322.434 1.247 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1ncccn1 ZINC001235245626 888499161 /nfs/dbraw/zinc/49/91/61/888499161.db2.gz MVIIXMKKXJTDDE-AWEZNQCLSA-N 1 2 306.410 1.396 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1ncccn1 ZINC001235245626 888499169 /nfs/dbraw/zinc/49/91/69/888499169.db2.gz MVIIXMKKXJTDDE-AWEZNQCLSA-N 1 2 306.410 1.396 20 30 DDEDLO CCn1cc2c(n1)C[N@@H+](Cc1ccnc(C#N)c1)C[C@H]2COC ZINC001237464829 889648407 /nfs/dbraw/zinc/64/84/07/889648407.db2.gz VCXZVENMJQXBDD-AWEZNQCLSA-N 1 2 311.389 1.915 20 30 DDEDLO CCn1cc2c(n1)C[N@H+](Cc1ccnc(C#N)c1)C[C@H]2COC ZINC001237464829 889648415 /nfs/dbraw/zinc/64/84/15/889648415.db2.gz VCXZVENMJQXBDD-AWEZNQCLSA-N 1 2 311.389 1.915 20 30 DDEDLO C#CCN(C(=O)[C@@H]1C[C@H]1C)C1CC[NH+](Cc2ncccn2)CC1 ZINC001278115192 889705571 /nfs/dbraw/zinc/70/55/71/889705571.db2.gz IXWLDQCAJPBLQB-GDBMZVCRSA-N 1 2 312.417 1.559 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]([NH2+]Cc2nc(N(C)C)no2)C[C@H]1C ZINC001278358361 891599001 /nfs/dbraw/zinc/59/90/01/891599001.db2.gz XTPCJVOEXXBLBO-NEPJUHHUSA-N 1 2 307.398 1.181 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](N2CCC(C#Cc3ccccc3)CC2)C[NH2+]1 ZINC001246925594 892992568 /nfs/dbraw/zinc/99/25/68/892992568.db2.gz ZBCFIKRBQOVPHS-ROUUACIJSA-N 1 2 312.413 1.654 20 30 DDEDLO C=C/C(C)=C\CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001278515494 893945589 /nfs/dbraw/zinc/94/55/89/893945589.db2.gz HZQGKANKBSKKHK-KWLXYHDNSA-N 1 2 318.421 1.118 20 30 DDEDLO C=C/C(C)=C\CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001278515494 893945605 /nfs/dbraw/zinc/94/56/05/893945605.db2.gz HZQGKANKBSKKHK-KWLXYHDNSA-N 1 2 318.421 1.118 20 30 DDEDLO C=C/C(C)=C\CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)[C@H]1C ZINC001278515496 893947171 /nfs/dbraw/zinc/94/71/71/893947171.db2.gz HZQGKANKBSKKHK-VBUBNCOFSA-N 1 2 318.421 1.118 20 30 DDEDLO C=C/C(C)=C\CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)[C@H]1C ZINC001278515496 893947175 /nfs/dbraw/zinc/94/71/75/893947175.db2.gz HZQGKANKBSKKHK-VBUBNCOFSA-N 1 2 318.421 1.118 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(C)C)[C@H]1C ZINC001278516616 893993034 /nfs/dbraw/zinc/99/30/34/893993034.db2.gz VFCQYXQRUTYNMS-LSDHHAIUSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(C)C)[C@H]1C ZINC001278516616 893993040 /nfs/dbraw/zinc/99/30/40/893993040.db2.gz VFCQYXQRUTYNMS-LSDHHAIUSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CCOC[C@@H](O)C[NH+]1CC(N(C)C(=O)OC(C)(C)C)C1 ZINC001252454936 895165717 /nfs/dbraw/zinc/16/57/17/895165717.db2.gz WOPUNGXDRHZILM-ZDUSSCGKSA-N 1 2 300.399 1.101 20 30 DDEDLO C=CCOC[C@@H](O)CN1CC[C@H]([NH+]2CCCCC2)C(F)(F)C1 ZINC001252471034 895184020 /nfs/dbraw/zinc/18/40/20/895184020.db2.gz BATRJIHLVNGPSL-GJZGRUSLSA-N 1 2 318.408 1.745 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCCn2c(Cn3cccc3)nnc2C1 ZINC001252597585 895302058 /nfs/dbraw/zinc/30/20/58/895302058.db2.gz BIPWQZGAKMPTBT-OAHLLOKOSA-N 1 2 315.421 1.661 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCCn2c(Cn3cccc3)nnc2C1 ZINC001252597585 895302065 /nfs/dbraw/zinc/30/20/65/895302065.db2.gz BIPWQZGAKMPTBT-OAHLLOKOSA-N 1 2 315.421 1.661 20 30 DDEDLO C=CCOC[C@H]1C[N@@H+](C[C@H](O)C=C)Cc2nnn(CC3CC3)c21 ZINC001253577802 895905998 /nfs/dbraw/zinc/90/59/98/895905998.db2.gz PVFHSLHHQWQEKE-HUUCEWRRSA-N 1 2 318.421 1.337 20 30 DDEDLO C=CCOC[C@H]1C[N@H+](C[C@H](O)C=C)Cc2nnn(CC3CC3)c21 ZINC001253577802 895906010 /nfs/dbraw/zinc/90/60/10/895906010.db2.gz PVFHSLHHQWQEKE-HUUCEWRRSA-N 1 2 318.421 1.337 20 30 DDEDLO C=CCOC[C@@H]1C[N@@H+](C[C@@H](O)C=C)Cc2nnn(CC3CC3)c21 ZINC001253577800 895907171 /nfs/dbraw/zinc/90/71/71/895907171.db2.gz PVFHSLHHQWQEKE-GJZGRUSLSA-N 1 2 318.421 1.337 20 30 DDEDLO C=CCOC[C@@H]1C[N@H+](C[C@@H](O)C=C)Cc2nnn(CC3CC3)c21 ZINC001253577800 895907188 /nfs/dbraw/zinc/90/71/88/895907188.db2.gz PVFHSLHHQWQEKE-GJZGRUSLSA-N 1 2 318.421 1.337 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CC[N@H+](C)Cc1nc2ccccc2n1C ZINC001367509896 896977778 /nfs/dbraw/zinc/97/77/78/896977778.db2.gz JUUOMZIAGNFKAS-CYBMUJFWSA-N 1 2 313.405 1.623 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CC[N@@H+](C)Cc1nc2ccccc2n1C ZINC001367509896 896977798 /nfs/dbraw/zinc/97/77/98/896977798.db2.gz JUUOMZIAGNFKAS-CYBMUJFWSA-N 1 2 313.405 1.623 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](C3CCS(=O)(=O)CC3)CC2)c1 ZINC001256392852 897420930 /nfs/dbraw/zinc/42/09/30/897420930.db2.gz ISNIGBGCKUFLDU-UHFFFAOYSA-N 1 2 319.430 1.257 20 30 DDEDLO COCC[N@H+](CCNC(=O)C#CC(C)C)Cc1cncs1 ZINC001279044541 897947702 /nfs/dbraw/zinc/94/77/02/897947702.db2.gz JRLYWMBZLWMISO-UHFFFAOYSA-N 1 2 309.435 1.367 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C#CC(C)C)Cc1cncs1 ZINC001279044541 897947714 /nfs/dbraw/zinc/94/77/14/897947714.db2.gz JRLYWMBZLWMISO-UHFFFAOYSA-N 1 2 309.435 1.367 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@@H+](CCOC)Cc2cc(C)no2)C1 ZINC001279048854 897951884 /nfs/dbraw/zinc/95/18/84/897951884.db2.gz DADHKRKWLUQEEJ-UHFFFAOYSA-N 1 2 321.421 1.904 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@H+](CCOC)Cc2cc(C)no2)C1 ZINC001279048854 897951893 /nfs/dbraw/zinc/95/18/93/897951893.db2.gz DADHKRKWLUQEEJ-UHFFFAOYSA-N 1 2 321.421 1.904 20 30 DDEDLO CCN(CCn1cc[nH+]c1)S(=O)(=O)c1ccccc1C#N ZINC001258257042 898120588 /nfs/dbraw/zinc/12/05/88/898120588.db2.gz UJHNGHSIXIWZTF-UHFFFAOYSA-N 1 2 304.375 1.466 20 30 DDEDLO C=CCCC(=O)N1CC[C@](C)(NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001299562335 898516780 /nfs/dbraw/zinc/51/67/80/898516780.db2.gz FTQIRQJFFDPBAX-INIZCTEOSA-N 1 2 304.394 1.334 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)CN(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001281058443 898734486 /nfs/dbraw/zinc/73/44/86/898734486.db2.gz MTKONRTVOPDSFY-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCS(=O)(=O)NCc1c[nH+]cn1Cc1cccc(OC)c1 ZINC001259935693 898952485 /nfs/dbraw/zinc/95/24/85/898952485.db2.gz PGTFPENIBAPJDG-UHFFFAOYSA-N 1 2 321.402 1.545 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1(CNC(=O)CCc2[nH]cc[nH+]2)CCCC1 ZINC001390770336 900142990 /nfs/dbraw/zinc/14/29/90/900142990.db2.gz KEQXXOTXMZAVAK-LBPRGKRZSA-N 1 2 317.393 1.047 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@H]2CCN(CC)C2=O)C1 ZINC001263811916 900725747 /nfs/dbraw/zinc/72/57/47/900725747.db2.gz CJNUARVZUGQIGO-GJZGRUSLSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@H]2CCN(CC)C2=O)C1 ZINC001263811916 900725756 /nfs/dbraw/zinc/72/57/56/900725756.db2.gz CJNUARVZUGQIGO-GJZGRUSLSA-N 1 2 319.449 1.496 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1c1ccccc1 ZINC001263884563 900798169 /nfs/dbraw/zinc/79/81/69/900798169.db2.gz UQQOSNRKPGIVTH-HYVNUMGLSA-N 1 2 318.392 1.578 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1c1ccccc1 ZINC001263884563 900798177 /nfs/dbraw/zinc/79/81/77/900798177.db2.gz UQQOSNRKPGIVTH-HYVNUMGLSA-N 1 2 318.392 1.578 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[N@H+](Cc3cnn(CC)n3)CC[C@@H]2C1 ZINC001264084484 900923431 /nfs/dbraw/zinc/92/34/31/900923431.db2.gz MVQPNIFIQNTUNZ-CABCVRRESA-N 1 2 317.437 1.545 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[N@@H+](Cc3cnn(CC)n3)CC[C@@H]2C1 ZINC001264084484 900923437 /nfs/dbraw/zinc/92/34/37/900923437.db2.gz MVQPNIFIQNTUNZ-CABCVRRESA-N 1 2 317.437 1.545 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(OC)n2)[C@@H]1C ZINC001264158842 900987831 /nfs/dbraw/zinc/98/78/31/900987831.db2.gz CDEUGANBOMBFHB-HIFRSBDPSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cncc(OC)n2)[C@@H]1C ZINC001264158842 900987835 /nfs/dbraw/zinc/98/78/35/900987835.db2.gz CDEUGANBOMBFHB-HIFRSBDPSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCCC[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)S(C)(=O)=O ZINC001264373684 901054917 /nfs/dbraw/zinc/05/49/17/901054917.db2.gz YIENRVFIGNJXRW-CYBMUJFWSA-N 1 2 316.467 1.309 20 30 DDEDLO C=CCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)S(C)(=O)=O ZINC001264373684 901054929 /nfs/dbraw/zinc/05/49/29/901054929.db2.gz YIENRVFIGNJXRW-CYBMUJFWSA-N 1 2 316.467 1.309 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@@H](C)CC(C)C ZINC001264376017 901059841 /nfs/dbraw/zinc/05/98/41/901059841.db2.gz ALTRCKMLVUKQEB-HOTGVXAUSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@@H](C)CC(C)C ZINC001264376017 901059857 /nfs/dbraw/zinc/05/98/57/901059857.db2.gz ALTRCKMLVUKQEB-HOTGVXAUSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@H](CC)OC)C2 ZINC001264747216 901327647 /nfs/dbraw/zinc/32/76/47/901327647.db2.gz UWYSBLYFGZQCTH-LBPRGKRZSA-N 1 2 307.419 1.176 20 30 DDEDLO COc1cccc(C[N@@H+]2CCC[C@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001391387330 901643677 /nfs/dbraw/zinc/64/36/77/901643677.db2.gz GYIUIDGNOZXFSX-OCCSQVGLSA-N 1 2 302.378 1.330 20 30 DDEDLO COc1cccc(C[N@H+]2CCC[C@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001391387330 901643685 /nfs/dbraw/zinc/64/36/85/901643685.db2.gz GYIUIDGNOZXFSX-OCCSQVGLSA-N 1 2 302.378 1.330 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001391399443 901664747 /nfs/dbraw/zinc/66/47/47/901664747.db2.gz NIYNYAQDSWMLFQ-UONOGXRCSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001391399443 901664753 /nfs/dbraw/zinc/66/47/53/901664753.db2.gz NIYNYAQDSWMLFQ-UONOGXRCSA-N 1 2 315.845 1.480 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@H+](CC(=O)N2CCCCC2)C1 ZINC001265212411 901714184 /nfs/dbraw/zinc/71/41/84/901714184.db2.gz BGSSJEFHYRGASN-MRXNPFEDSA-N 1 2 319.449 1.239 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N2CCCCC2)C1 ZINC001265212411 901714193 /nfs/dbraw/zinc/71/41/93/901714193.db2.gz BGSSJEFHYRGASN-MRXNPFEDSA-N 1 2 319.449 1.239 20 30 DDEDLO N#CCSCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001265271024 901795643 /nfs/dbraw/zinc/79/56/43/901795643.db2.gz QFJKZEWFUPYXJV-LLVKDONJSA-N 1 2 320.443 1.567 20 30 DDEDLO N#CCSCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001265271024 901795656 /nfs/dbraw/zinc/79/56/56/901795656.db2.gz QFJKZEWFUPYXJV-LLVKDONJSA-N 1 2 320.443 1.567 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[N@H+](CC(=O)N2CCC[C@H](C)C2)C1 ZINC001265280492 901813617 /nfs/dbraw/zinc/81/36/17/901813617.db2.gz CEYLYTHTMGWIHX-JKSUJKDBSA-N 1 2 319.449 1.095 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[N@@H+](CC(=O)N2CCC[C@H](C)C2)C1 ZINC001265280492 901813627 /nfs/dbraw/zinc/81/36/27/901813627.db2.gz CEYLYTHTMGWIHX-JKSUJKDBSA-N 1 2 319.449 1.095 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1CC12CCN(CC#N)CC2 ZINC001265334239 901897538 /nfs/dbraw/zinc/89/75/38/901897538.db2.gz MTCYWSIBVDISSI-JSGCOSHPSA-N 1 2 301.394 1.083 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1CC12CCN(CC#N)CC2 ZINC001265334239 901897545 /nfs/dbraw/zinc/89/75/45/901897545.db2.gz MTCYWSIBVDISSI-JSGCOSHPSA-N 1 2 301.394 1.083 20 30 DDEDLO C=CCOCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1C ZINC001265401286 901971602 /nfs/dbraw/zinc/97/16/02/901971602.db2.gz PQRKJBNSZZTBFF-BETUJISGSA-N 1 2 322.434 1.338 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1C ZINC001265401286 901971610 /nfs/dbraw/zinc/97/16/10/901971610.db2.gz PQRKJBNSZZTBFF-BETUJISGSA-N 1 2 322.434 1.338 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC(C)C)NC(C)=O ZINC001265414151 901988265 /nfs/dbraw/zinc/98/82/65/901988265.db2.gz CQFWBAOHQBQDGP-BBWFWOEESA-N 1 2 319.449 1.236 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC(C)C)NC(C)=O ZINC001265414151 901988276 /nfs/dbraw/zinc/98/82/76/901988276.db2.gz CQFWBAOHQBQDGP-BBWFWOEESA-N 1 2 319.449 1.236 20 30 DDEDLO CO[C@H](C)CC(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391579673 902056358 /nfs/dbraw/zinc/05/63/58/902056358.db2.gz VFBLPYWRJZYEOE-IUODEOHRSA-N 1 2 319.380 1.813 20 30 DDEDLO CO[C@H](C)CC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391579673 902056362 /nfs/dbraw/zinc/05/63/62/902056362.db2.gz VFBLPYWRJZYEOE-IUODEOHRSA-N 1 2 319.380 1.813 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@@H]([NH2+]Cc2nsc(N(C)C)n2)C1 ZINC001265554503 902130006 /nfs/dbraw/zinc/13/00/06/902130006.db2.gz TXFFGGQOMDSICA-TXEJJXNPSA-N 1 2 323.466 1.697 20 30 DDEDLO CC[C@@H](CNC(=O)[C@H](C)C#N)[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001391668882 902300222 /nfs/dbraw/zinc/30/02/22/902300222.db2.gz MAARSEPIDVXCPW-PWSUYJOCSA-N 1 2 317.349 1.474 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1cnn(C)n1 ZINC001279574636 903335995 /nfs/dbraw/zinc/33/59/95/903335995.db2.gz ARXBKUWPNPZHQN-CVEARBPZSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1cnn(C)n1 ZINC001279574636 903336010 /nfs/dbraw/zinc/33/60/10/903336010.db2.gz ARXBKUWPNPZHQN-CVEARBPZSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)n[nH]1 ZINC001280397042 903633466 /nfs/dbraw/zinc/63/34/66/903633466.db2.gz QIRBXTMGGAUGTR-HNNXBMFYSA-N 1 2 320.437 1.895 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)n[nH]1 ZINC001280397042 903633472 /nfs/dbraw/zinc/63/34/72/903633472.db2.gz QIRBXTMGGAUGTR-HNNXBMFYSA-N 1 2 320.437 1.895 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001280462693 903705509 /nfs/dbraw/zinc/70/55/09/903705509.db2.gz CCQQYTGJWLQPBE-ZDUSSCGKSA-N 1 2 304.394 1.109 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)N(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001280462693 903705522 /nfs/dbraw/zinc/70/55/22/903705522.db2.gz CCQQYTGJWLQPBE-ZDUSSCGKSA-N 1 2 304.394 1.109 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)N(C)C(=O)CCc1c[nH+]cn1C ZINC001280492341 903736312 /nfs/dbraw/zinc/73/63/12/903736312.db2.gz NULHAHHHOUCZQI-CQSZACIVSA-N 1 2 320.437 1.672 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)CC1CCOCC1)O2 ZINC001280920504 904188653 /nfs/dbraw/zinc/18/86/53/904188653.db2.gz SIPUINFOUQKZKJ-MRXNPFEDSA-N 1 2 322.449 1.729 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001282093140 905539322 /nfs/dbraw/zinc/53/93/22/905539322.db2.gz OLBGPHQBFFBEAO-XDQVBPFNSA-N 1 2 318.421 1.421 20 30 DDEDLO CC(C)=CC[NH+]1CC(CCO)(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001282513741 905843075 /nfs/dbraw/zinc/84/30/75/905843075.db2.gz CRGNPIBXECUTKO-UHFFFAOYSA-N 1 2 302.378 1.019 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)C2C[NH+](Cc3cnnn3C)C2)C1 ZINC001282743347 906008969 /nfs/dbraw/zinc/00/89/69/906008969.db2.gz FMWOVXXKGINROJ-GFCCVEGCSA-N 1 2 303.410 1.108 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001282860866 906114337 /nfs/dbraw/zinc/11/43/37/906114337.db2.gz KBKXIOVJLXNZRX-ZSOGYDGISA-N 1 2 318.421 1.782 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001282860866 906114348 /nfs/dbraw/zinc/11/43/48/906114348.db2.gz KBKXIOVJLXNZRX-ZSOGYDGISA-N 1 2 318.421 1.782 20 30 DDEDLO C#CCCCCC(=O)NCCNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001282980685 906389917 /nfs/dbraw/zinc/38/99/17/906389917.db2.gz YZCYVNKFNNKXRY-UHFFFAOYSA-N 1 2 318.421 1.353 20 30 DDEDLO C=CC(C)(C)C(=O)NCCNC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001283031368 906500636 /nfs/dbraw/zinc/50/06/36/906500636.db2.gz LPPDICAZEBNNOI-UHFFFAOYSA-N 1 2 314.389 1.701 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001283856205 908077923 /nfs/dbraw/zinc/07/79/23/908077923.db2.gz PLDKBLCBQDTMHR-ZDUSSCGKSA-N 1 2 316.405 1.027 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284014340 908317875 /nfs/dbraw/zinc/31/78/75/908317875.db2.gz HCSKCZTYJJTFTL-AWEZNQCLSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284014340 908317887 /nfs/dbraw/zinc/31/78/87/908317887.db2.gz HCSKCZTYJJTFTL-AWEZNQCLSA-N 1 2 304.394 1.416 20 30 DDEDLO CCn1nncc1C[N@@H+]1CCC[C@@H](NC(=O)C#CC2CC2)[C@@H]1C ZINC001284123380 908512099 /nfs/dbraw/zinc/51/20/99/908512099.db2.gz RBNFNIPTEDBFHK-XJKSGUPXSA-N 1 2 315.421 1.181 20 30 DDEDLO CCn1nncc1C[N@H+]1CCC[C@@H](NC(=O)C#CC2CC2)[C@@H]1C ZINC001284123380 908512108 /nfs/dbraw/zinc/51/21/08/908512108.db2.gz RBNFNIPTEDBFHK-XJKSGUPXSA-N 1 2 315.421 1.181 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001284444116 909008614 /nfs/dbraw/zinc/00/86/14/909008614.db2.gz LPESVCZXWZDCKV-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@@H]2C[C@H]2C)CC1 ZINC001284821189 909528682 /nfs/dbraw/zinc/52/86/82/909528682.db2.gz MYIMWHCIXXXHAL-HZPDHXFCSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001285347769 910389873 /nfs/dbraw/zinc/38/98/73/910389873.db2.gz OYZRBAHDLZTZAN-AWEZNQCLSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@@H](O)CN(C)C(=O)c1cccc2n[nH]cc21 ZINC001394747277 910453115 /nfs/dbraw/zinc/45/31/15/910453115.db2.gz RXYSRAPPJHVPJS-LLVKDONJSA-N 1 2 322.796 1.338 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@H]1CC[N@H+](CC(=O)NC2CCCCC2)C1 ZINC001373672486 910573211 /nfs/dbraw/zinc/57/32/11/910573211.db2.gz YKYXHNFIRCAJPY-HIFRSBDPSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NC2CCCCC2)C1 ZINC001373672486 910573221 /nfs/dbraw/zinc/57/32/21/910573221.db2.gz YKYXHNFIRCAJPY-HIFRSBDPSA-N 1 2 320.437 1.128 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)CCCC(=O)NCC)C1 ZINC001373686059 910617478 /nfs/dbraw/zinc/61/74/78/910617478.db2.gz CPSJVKRIHMLSRD-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)CCCC(=O)NCC)C1 ZINC001373686059 910617486 /nfs/dbraw/zinc/61/74/86/910617486.db2.gz CPSJVKRIHMLSRD-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO CCc1nc(C[NH2+]C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C)no1 ZINC001394813297 910634915 /nfs/dbraw/zinc/63/49/15/910634915.db2.gz UAKYLTZFPITDLH-WDEREUQCSA-N 1 2 307.398 1.412 20 30 DDEDLO CCCCc1nc(C[NH2+]C2CC(N(C)C(=O)[C@@H](C)C#N)C2)no1 ZINC001394852532 910744790 /nfs/dbraw/zinc/74/47/90/910744790.db2.gz VSWGGXNEAYWFPH-HIFPTAJRSA-N 1 2 319.409 1.651 20 30 DDEDLO C=C(C)CCC(=O)NCC(C)(C)CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001285571590 910810680 /nfs/dbraw/zinc/81/06/80/910810680.db2.gz XNWVZUSZVPIUCB-UHFFFAOYSA-N 1 2 320.437 1.909 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)Cc1c[nH+]ccc1OC ZINC001285666907 911002966 /nfs/dbraw/zinc/00/29/66/911002966.db2.gz VTGMOVJPFWEWCO-GFCCVEGCSA-N 1 2 319.405 1.466 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](C)N(C)C(=O)Cn2cc[nH+]c2)CCC1 ZINC001285846476 911285382 /nfs/dbraw/zinc/28/53/82/911285382.db2.gz CGYXAHVRGIWMAA-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC001286023967 911584983 /nfs/dbraw/zinc/58/49/83/911584983.db2.gz IBGURFWBENUWSC-QWHCGFSZSA-N 1 2 318.421 1.090 20 30 DDEDLO CCCN(CCNC(=O)[C@H](C)C#N)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001374169626 912063420 /nfs/dbraw/zinc/06/34/20/912063420.db2.gz VMGLQKLLTTZYIQ-QWHCGFSZSA-N 1 2 319.409 1.103 20 30 DDEDLO CCCN(CCNC(=O)[C@H](C)C#N)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001374169626 912063433 /nfs/dbraw/zinc/06/34/33/912063433.db2.gz VMGLQKLLTTZYIQ-QWHCGFSZSA-N 1 2 319.409 1.103 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001295213561 915657081 /nfs/dbraw/zinc/65/70/81/915657081.db2.gz OULQGAWQGTVZPH-XJFOESAGSA-N 1 2 316.405 1.633 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001295213561 915657096 /nfs/dbraw/zinc/65/70/96/915657096.db2.gz OULQGAWQGTVZPH-XJFOESAGSA-N 1 2 316.405 1.633 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001295806355 916066465 /nfs/dbraw/zinc/06/64/65/916066465.db2.gz LKBWWVOWIUXRPU-OAHLLOKOSA-N 1 2 316.405 1.061 20 30 DDEDLO C=CCCCC(=O)NCC1CN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001297258555 916843887 /nfs/dbraw/zinc/84/38/87/916843887.db2.gz TTYBZRJBROIOGY-UHFFFAOYSA-N 1 2 318.421 1.284 20 30 DDEDLO CCc1nc(C[N@@H+]2CC[C@](C)(NC(=O)[C@@H](C)C#N)C2)cs1 ZINC001375823147 917055053 /nfs/dbraw/zinc/05/50/53/917055053.db2.gz UXTZYEJJPHIBNW-NHYWBVRUSA-N 1 2 306.435 1.946 20 30 DDEDLO CCc1nc(C[N@H+]2CC[C@](C)(NC(=O)[C@@H](C)C#N)C2)cs1 ZINC001375823147 917055068 /nfs/dbraw/zinc/05/50/68/917055068.db2.gz UXTZYEJJPHIBNW-NHYWBVRUSA-N 1 2 306.435 1.946 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H](CNC(=O)[C@@H](C)C#N)C2CC2)o1 ZINC001376203794 917953523 /nfs/dbraw/zinc/95/35/23/917953523.db2.gz WVVBIBFJWBLZPR-JQWIXIFHSA-N 1 2 305.382 1.337 20 30 DDEDLO CCCC(=O)N[C@]1(CO)CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001376452303 918525420 /nfs/dbraw/zinc/52/54/20/918525420.db2.gz NOKLSQXSFQINSJ-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO CCCC(=O)N[C@]1(CO)CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001376452303 918525433 /nfs/dbraw/zinc/52/54/33/918525433.db2.gz NOKLSQXSFQINSJ-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H](C)Cc2cnn(C)c2)C1 ZINC001378008728 923675774 /nfs/dbraw/zinc/67/57/74/923675774.db2.gz RISZXSBGZWHSTP-LLVKDONJSA-N 1 2 310.829 1.399 20 30 DDEDLO Cc1cccc(NC(=O)C[N@@H+](C)[C@@H](C)CNC(=O)[C@H](C)C#N)c1 ZINC001378020063 923721061 /nfs/dbraw/zinc/72/10/61/923721061.db2.gz KSHVWVRGWOPDMA-KGLIPLIRSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1cccc(NC(=O)C[N@H+](C)[C@@H](C)CNC(=O)[C@H](C)C#N)c1 ZINC001378020063 923721072 /nfs/dbraw/zinc/72/10/72/923721072.db2.gz KSHVWVRGWOPDMA-KGLIPLIRSA-N 1 2 316.405 1.530 20 30 DDEDLO C=CCCC[N@@H+](C)CC(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000076736052 185036866 /nfs/dbraw/zinc/03/68/66/185036866.db2.gz KXYROVIREGNSJS-UHFFFAOYSA-N 1 2 310.419 1.927 20 30 DDEDLO C=CCCC[N@H+](C)CC(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000076736052 185036867 /nfs/dbraw/zinc/03/68/67/185036867.db2.gz KXYROVIREGNSJS-UHFFFAOYSA-N 1 2 310.419 1.927 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000452024738 231272870 /nfs/dbraw/zinc/27/28/70/231272870.db2.gz WFOHATQTGBTDLM-MRXNPFEDSA-N 1 2 303.381 1.913 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000452024738 231272874 /nfs/dbraw/zinc/27/28/74/231272874.db2.gz WFOHATQTGBTDLM-MRXNPFEDSA-N 1 2 303.381 1.913 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[NH+]1CCC(CC(N)=O)CC1 ZINC000083175808 185215729 /nfs/dbraw/zinc/21/57/29/185215729.db2.gz ADRZAQFYQGMRJE-INIZCTEOSA-N 1 2 315.373 1.360 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000158046965 539216490 /nfs/dbraw/zinc/21/64/90/539216490.db2.gz CEPBTKKLJYCWLZ-OAHLLOKOSA-N 1 2 310.394 1.191 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000158046965 539216491 /nfs/dbraw/zinc/21/64/91/539216491.db2.gz CEPBTKKLJYCWLZ-OAHLLOKOSA-N 1 2 310.394 1.191 20 30 DDEDLO CN1CCO[C@@H]2C[N@H+](CC(=O)NCc3cccc(C#N)c3)C[C@H]21 ZINC000330276033 529786223 /nfs/dbraw/zinc/78/62/23/529786223.db2.gz MIPFKHSKBWAWBZ-HZPDHXFCSA-N 1 2 314.389 1.030 20 30 DDEDLO CN1CCO[C@@H]2C[N@@H+](CC(=O)NCc3cccc(C#N)c3)C[C@H]21 ZINC000330276033 529786224 /nfs/dbraw/zinc/78/62/24/529786224.db2.gz MIPFKHSKBWAWBZ-HZPDHXFCSA-N 1 2 314.389 1.030 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(CC(=O)NCc3cccc(C#N)c3)C[C@H]21 ZINC000330276033 529786225 /nfs/dbraw/zinc/78/62/25/529786225.db2.gz MIPFKHSKBWAWBZ-HZPDHXFCSA-N 1 2 314.389 1.030 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(CC(=O)NCc3cccc(C#N)c3)C[C@H]21 ZINC000330276033 529786227 /nfs/dbraw/zinc/78/62/27/529786227.db2.gz MIPFKHSKBWAWBZ-HZPDHXFCSA-N 1 2 314.389 1.030 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)N[C@@H]2CC[C@H](C#N)C2)C1 ZINC000333454673 529788648 /nfs/dbraw/zinc/78/86/48/529788648.db2.gz ZHTZMMKUECBRSU-XHSDSOJGSA-N 1 2 320.437 1.431 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)N[C@@H]2CC[C@H](C#N)C2)C1 ZINC000333454673 529788649 /nfs/dbraw/zinc/78/86/49/529788649.db2.gz ZHTZMMKUECBRSU-XHSDSOJGSA-N 1 2 320.437 1.431 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cccc4cccnc43)C[C@H]21 ZINC000329950201 529790891 /nfs/dbraw/zinc/79/08/91/529790891.db2.gz MGHINTVYYFEIER-CABCVRRESA-N 1 2 312.373 1.795 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cccc4cccnc43)C[C@H]21 ZINC000329950201 529790892 /nfs/dbraw/zinc/79/08/92/529790892.db2.gz MGHINTVYYFEIER-CABCVRRESA-N 1 2 312.373 1.795 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)c1ccc(C#N)cn1 ZINC000155655986 290699905 /nfs/dbraw/zinc/69/99/05/290699905.db2.gz SHKIOYQQXLGFHA-UHFFFAOYSA-N 1 2 323.356 1.746 20 30 DDEDLO C[N@H+](CC(=O)NCCOc1cccc(F)c1)CC(C)(C)C#N ZINC000433310627 529834151 /nfs/dbraw/zinc/83/41/51/529834151.db2.gz ITMVBVNEMBHLDD-UHFFFAOYSA-N 1 2 307.369 1.802 20 30 DDEDLO C[N@@H+](CC(=O)NCCOc1cccc(F)c1)CC(C)(C)C#N ZINC000433310627 529834153 /nfs/dbraw/zinc/83/41/53/529834153.db2.gz ITMVBVNEMBHLDD-UHFFFAOYSA-N 1 2 307.369 1.802 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)[C@H](C#N)CCc1ccccc1 ZINC000346313870 529911310 /nfs/dbraw/zinc/91/13/10/529911310.db2.gz YGODUJYHQQGQMX-KRWDZBQOSA-N 1 2 315.417 1.550 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1F)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000292381162 529983973 /nfs/dbraw/zinc/98/39/73/529983973.db2.gz ZFIVYCFOZIYZKQ-CYBMUJFWSA-N 1 2 311.382 1.163 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1F)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000292381162 529983974 /nfs/dbraw/zinc/98/39/74/529983974.db2.gz ZFIVYCFOZIYZKQ-CYBMUJFWSA-N 1 2 311.382 1.163 20 30 DDEDLO C=CCCCN(CC)C(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC001120413586 781926497 /nfs/dbraw/zinc/92/64/97/781926497.db2.gz WTASFXVDPCCNAG-UHFFFAOYSA-N 1 2 318.421 1.930 20 30 DDEDLO C=CCCCN(CC)C(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC001120413586 781926504 /nfs/dbraw/zinc/92/65/04/781926504.db2.gz WTASFXVDPCCNAG-UHFFFAOYSA-N 1 2 318.421 1.930 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@@H](C)C(=O)N2CCC(C)CC2)n1 ZINC000279710124 215081071 /nfs/dbraw/zinc/08/10/71/215081071.db2.gz KGGQXUVWUQEPSN-INIZCTEOSA-N 1 2 316.449 1.985 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@@H](C)C(=O)N2CCC(C)CC2)n1 ZINC000279710124 215081074 /nfs/dbraw/zinc/08/10/74/215081074.db2.gz KGGQXUVWUQEPSN-INIZCTEOSA-N 1 2 316.449 1.985 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC(C)(C)CC#N ZINC000459226980 233006496 /nfs/dbraw/zinc/00/64/96/233006496.db2.gz AXPWCTNEUNDTPA-KBPBESRZSA-N 1 2 308.426 1.431 20 30 DDEDLO C=CC[C@H]1CCCC[C@@H]1NC(=O)C[N@@H+](C)CC(=O)NC(C)C ZINC000617037256 362932147 /nfs/dbraw/zinc/93/21/47/362932147.db2.gz KFMBJJIGZBEQNH-GJZGRUSLSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC[C@H]1CCCC[C@@H]1NC(=O)C[N@H+](C)CC(=O)NC(C)C ZINC000617037256 362932152 /nfs/dbraw/zinc/93/21/52/362932152.db2.gz KFMBJJIGZBEQNH-GJZGRUSLSA-N 1 2 309.454 1.694 20 30 DDEDLO N#Cc1csc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC000084112770 192403765 /nfs/dbraw/zinc/40/37/65/192403765.db2.gz JTJDSMDTGPZHBL-CQSZACIVSA-N 1 2 319.430 1.947 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000287182436 219365163 /nfs/dbraw/zinc/36/51/63/219365163.db2.gz HJTXFIMAEQNPFN-HOCLYGCPSA-N 1 2 313.401 1.802 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@@H](OCCO)C2)c(C#N)c1C ZINC000172294390 198072783 /nfs/dbraw/zinc/07/27/83/198072783.db2.gz WXGUDZLDASMAMQ-CYBMUJFWSA-N 1 2 321.377 1.180 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@@H](OCCO)C2)c(C#N)c1C ZINC000172294390 198072785 /nfs/dbraw/zinc/07/27/85/198072785.db2.gz WXGUDZLDASMAMQ-CYBMUJFWSA-N 1 2 321.377 1.180 20 30 DDEDLO C=CCOc1ccc(C(=O)N[C@H](C)C[NH+]2CCOCC2)cc1 ZINC000047151618 352484117 /nfs/dbraw/zinc/48/41/17/352484117.db2.gz FQFYOXWAYZXRAI-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](CCOc2cccc(F)c2)CC1 ZINC000048054516 352522860 /nfs/dbraw/zinc/52/28/60/352522860.db2.gz CCPRTJSRNNFWNT-UHFFFAOYSA-N 1 2 321.396 1.124 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(Cc2cc(C)on2)CC1 ZINC000053134900 352641149 /nfs/dbraw/zinc/64/11/49/352641149.db2.gz JDDFXLRLMBZTML-UHFFFAOYSA-N 1 2 320.437 1.525 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)c2ccsc2)CC1 ZINC000054363021 352689430 /nfs/dbraw/zinc/68/94/30/352689430.db2.gz FVDSTDFBFHWNHM-CYBMUJFWSA-N 1 2 321.446 1.587 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)c2ccsc2)CC1 ZINC000054363021 352689438 /nfs/dbraw/zinc/68/94/38/352689438.db2.gz FVDSTDFBFHWNHM-CYBMUJFWSA-N 1 2 321.446 1.587 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)[nH]n1 ZINC000054042263 352677419 /nfs/dbraw/zinc/67/74/19/352677419.db2.gz KDSMMZAQFKONLF-UHFFFAOYSA-N 1 2 309.373 1.548 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)n[nH]1 ZINC000054042263 352677420 /nfs/dbraw/zinc/67/74/20/352677420.db2.gz KDSMMZAQFKONLF-UHFFFAOYSA-N 1 2 309.373 1.548 20 30 DDEDLO C=C(C)CN(CC)C(=O)CN1CC[NH+](Cc2ccccn2)CC1 ZINC000054187310 352683131 /nfs/dbraw/zinc/68/31/31/352683131.db2.gz MZHOVJDQJNGOKV-UHFFFAOYSA-N 1 2 316.449 1.624 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(Cc2ccccn2)CC1 ZINC000054187310 352683133 /nfs/dbraw/zinc/68/31/33/352683133.db2.gz MZHOVJDQJNGOKV-UHFFFAOYSA-N 1 2 316.449 1.624 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NCC[NH+]1CCOCC1 ZINC000064743161 352943580 /nfs/dbraw/zinc/94/35/80/352943580.db2.gz WCCZDLAWHYTVPS-UHFFFAOYSA-N 1 2 304.350 1.021 20 30 DDEDLO Cc1cccc(OCCNC(=O)[C@H](C)[N@@H+](C)C[C@@H](C)C#N)c1 ZINC000064795888 352944013 /nfs/dbraw/zinc/94/40/13/352944013.db2.gz JLGPQSKHVVCPCD-GJZGRUSLSA-N 1 2 303.406 1.970 20 30 DDEDLO Cc1cccc(OCCNC(=O)[C@H](C)[N@H+](C)C[C@@H](C)C#N)c1 ZINC000064795888 352944014 /nfs/dbraw/zinc/94/40/14/352944014.db2.gz JLGPQSKHVVCPCD-GJZGRUSLSA-N 1 2 303.406 1.970 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2ccc(O)cc2)CC1 ZINC000066861642 353019971 /nfs/dbraw/zinc/01/99/71/353019971.db2.gz XYXQOCWPYHRVKF-UHFFFAOYSA-N 1 2 317.433 1.939 20 30 DDEDLO N#CCC[N@@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)CC1CC1 ZINC000081514684 353680507 /nfs/dbraw/zinc/68/05/07/353680507.db2.gz JZCTYORPNSIWHE-INIZCTEOSA-N 1 2 313.401 1.732 20 30 DDEDLO N#CCC[N@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)CC1CC1 ZINC000081514684 353680510 /nfs/dbraw/zinc/68/05/10/353680510.db2.gz JZCTYORPNSIWHE-INIZCTEOSA-N 1 2 313.401 1.732 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000089912204 353782117 /nfs/dbraw/zinc/78/21/17/353782117.db2.gz HKVJMSKTPZJSCT-QGZVFWFLSA-N 1 2 312.417 1.319 20 30 DDEDLO CN1CC[N@H+](C)C[C@@H]1c1noc(Cc2c(F)cccc2C#N)n1 ZINC000350047642 354596312 /nfs/dbraw/zinc/59/63/12/354596312.db2.gz KNBFXJFPXIODTD-CQSZACIVSA-N 1 2 315.352 1.589 20 30 DDEDLO CN1CC[N@@H+](C)C[C@@H]1c1noc(Cc2c(F)cccc2C#N)n1 ZINC000350047642 354596318 /nfs/dbraw/zinc/59/63/18/354596318.db2.gz KNBFXJFPXIODTD-CQSZACIVSA-N 1 2 315.352 1.589 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C[C@@H]1OCCc2ccccc21 ZINC000578390508 354707578 /nfs/dbraw/zinc/70/75/78/354707578.db2.gz KODWLXJLJQLERM-DOTOQJQBSA-N 1 2 301.390 1.651 20 30 DDEDLO N#CC1(C(=O)NC[C@H]2CCC[N@H+](Cc3ncon3)C2)CCCC1 ZINC000588842944 354940735 /nfs/dbraw/zinc/94/07/35/354940735.db2.gz RXPBVMDOVVPAHJ-CYBMUJFWSA-N 1 2 317.393 1.482 20 30 DDEDLO N#CC1(C(=O)NC[C@H]2CCC[N@@H+](Cc3ncon3)C2)CCCC1 ZINC000588842944 354940741 /nfs/dbraw/zinc/94/07/41/354940741.db2.gz RXPBVMDOVVPAHJ-CYBMUJFWSA-N 1 2 317.393 1.482 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000590206984 355058271 /nfs/dbraw/zinc/05/82/71/355058271.db2.gz RXXUIQZRNNOUPB-HNNXBMFYSA-N 1 2 319.361 1.290 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](O)C[N@H+](Cc2cn3ccccc3c2C#N)C1 ZINC000592197570 355533267 /nfs/dbraw/zinc/53/32/67/355533267.db2.gz DYYQFWJCLQRTGF-TZMCWYRMSA-N 1 2 313.357 1.167 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](O)C[N@@H+](Cc2cn3ccccc3c2C#N)C1 ZINC000592197570 355533270 /nfs/dbraw/zinc/53/32/70/355533270.db2.gz DYYQFWJCLQRTGF-TZMCWYRMSA-N 1 2 313.357 1.167 20 30 DDEDLO C[C@H]1CCc2ccccc2N1C(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592145306 355510701 /nfs/dbraw/zinc/51/07/01/355510701.db2.gz SCBUWBOTJDDHKP-KBXCAEBGSA-N 1 2 313.401 1.705 20 30 DDEDLO C[C@H]1CCc2ccccc2N1C(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592145306 355510705 /nfs/dbraw/zinc/51/07/05/355510705.db2.gz SCBUWBOTJDDHKP-KBXCAEBGSA-N 1 2 313.401 1.705 20 30 DDEDLO CS(=O)(=O)N1CC[C@H](C[N@@H+]2Cc3cccc(C#N)c3C2)C1 ZINC000593127383 355807768 /nfs/dbraw/zinc/80/77/68/355807768.db2.gz OODYRDZGXMPAHK-GFCCVEGCSA-N 1 2 305.403 1.155 20 30 DDEDLO CS(=O)(=O)N1CC[C@H](C[N@H+]2Cc3cccc(C#N)c3C2)C1 ZINC000593127383 355807772 /nfs/dbraw/zinc/80/77/72/355807772.db2.gz OODYRDZGXMPAHK-GFCCVEGCSA-N 1 2 305.403 1.155 20 30 DDEDLO CCO[C@H](C)c1nc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)cs1 ZINC000593154422 355812537 /nfs/dbraw/zinc/81/25/37/355812537.db2.gz JWUJXUZUBGCTTJ-IAQYHMDHSA-N 1 2 324.450 1.743 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc(=O)[nH]c2ccc(F)cc21 ZINC000593154595 355812545 /nfs/dbraw/zinc/81/25/45/355812545.db2.gz MKAJHDDAPHAJMN-INIZCTEOSA-N 1 2 316.336 1.653 20 30 DDEDLO N#C[C@H]1N(C(=O)CCc2[nH]cc[nH+]2)CCC[C@]12CCCCO2 ZINC000593895873 356046690 /nfs/dbraw/zinc/04/66/90/356046690.db2.gz SKLYCDLFKILQLA-CZUORRHYSA-N 1 2 302.378 1.796 20 30 DDEDLO N#CCCCCC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000593959125 356072469 /nfs/dbraw/zinc/07/24/69/356072469.db2.gz GSJJGQXQLFNLBB-UHFFFAOYSA-N 1 2 302.378 1.618 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+]([C@@H]2CC(=O)N(CC(F)(F)F)C2=O)C1 ZINC000594661402 356279840 /nfs/dbraw/zinc/27/98/40/356279840.db2.gz YCFPAFDYONKISC-VHSXEESVSA-N 1 2 303.284 1.302 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+]([C@@H]2CC(=O)N(CC(F)(F)F)C2=O)C1 ZINC000594661402 356279845 /nfs/dbraw/zinc/27/98/45/356279845.db2.gz YCFPAFDYONKISC-VHSXEESVSA-N 1 2 303.284 1.302 20 30 DDEDLO N#CCc1cccc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC000080943227 192204180 /nfs/dbraw/zinc/20/41/80/192204180.db2.gz YQIAMQYTEKRWLW-QGZVFWFLSA-N 1 2 313.401 1.689 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@@H]2C[C@@H]3CCCC[C@@H]3NC2=O)CC1 ZINC000595396981 356477352 /nfs/dbraw/zinc/47/73/52/356477352.db2.gz GLKHHNVGGATTPN-NXOAAHMSSA-N 1 2 318.465 1.599 20 30 DDEDLO N#CC1CCC(CNC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CC1 ZINC000595606300 356573273 /nfs/dbraw/zinc/57/32/73/356573273.db2.gz IHFCPTMINOJVLE-GPANFISMSA-N 1 2 320.437 1.432 20 30 DDEDLO CC(C)(C#N)CC[N@@H+]1C[C@H](C(F)(F)F)[C@@H](S(C)(=O)=O)C1 ZINC000595625641 356582304 /nfs/dbraw/zinc/58/23/04/356582304.db2.gz GQSLKYWUGQTXHS-UWVGGRQHSA-N 1 2 312.357 1.834 20 30 DDEDLO CC(C)(C#N)CC[N@H+]1C[C@H](C(F)(F)F)[C@@H](S(C)(=O)=O)C1 ZINC000595625641 356582307 /nfs/dbraw/zinc/58/23/07/356582307.db2.gz GQSLKYWUGQTXHS-UWVGGRQHSA-N 1 2 312.357 1.834 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595631177 356585210 /nfs/dbraw/zinc/58/52/10/356585210.db2.gz AQEVVUYSNNAKDX-ZDUSSCGKSA-N 1 2 320.462 1.902 20 30 DDEDLO CS(=O)(=O)[C@@H]1C[N@@H+]([C@@H]2CC[C@@H](C#N)C2)C[C@H]1C(F)(F)F ZINC000595634602 356586821 /nfs/dbraw/zinc/58/68/21/356586821.db2.gz CTTQTIJMTCTXSG-GWOFURMSSA-N 1 2 310.341 1.586 20 30 DDEDLO CS(=O)(=O)[C@@H]1C[N@H+]([C@@H]2CC[C@@H](C#N)C2)C[C@H]1C(F)(F)F ZINC000595634602 356586825 /nfs/dbraw/zinc/58/68/25/356586825.db2.gz CTTQTIJMTCTXSG-GWOFURMSSA-N 1 2 310.341 1.586 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CC[C@H]2NC(=O)CC[C@@H]2C1 ZINC000595729413 356625450 /nfs/dbraw/zinc/62/54/50/356625450.db2.gz RMKPHWGFGJPCQQ-TZMCWYRMSA-N 1 2 314.345 1.567 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CC[C@H]2NC(=O)CC[C@@H]2C1 ZINC000595729413 356625451 /nfs/dbraw/zinc/62/54/51/356625451.db2.gz RMKPHWGFGJPCQQ-TZMCWYRMSA-N 1 2 314.345 1.567 20 30 DDEDLO COc1cccc(OC)c1OC[C@H](O)C[N@@H+]1CC[C@](C)(C#N)C1 ZINC000595842733 356674103 /nfs/dbraw/zinc/67/41/03/356674103.db2.gz ZGSKTBUGGRADPF-CXAGYDPISA-N 1 2 320.389 1.679 20 30 DDEDLO COc1cccc(OC)c1OC[C@H](O)C[N@H+]1CC[C@](C)(C#N)C1 ZINC000595842733 356674108 /nfs/dbraw/zinc/67/41/08/356674108.db2.gz ZGSKTBUGGRADPF-CXAGYDPISA-N 1 2 320.389 1.679 20 30 DDEDLO CCOC(=O)C12CC(C1)C[N@@H+]2CCOc1cccc(C#N)c1 ZINC000595859865 356680650 /nfs/dbraw/zinc/68/06/50/356680650.db2.gz HZZVTUXDNKMMFP-UHFFFAOYSA-N 1 2 300.358 1.965 20 30 DDEDLO CCOC(=O)C12CC(C1)C[N@H+]2CCOc1cccc(C#N)c1 ZINC000595859865 356680657 /nfs/dbraw/zinc/68/06/57/356680657.db2.gz HZZVTUXDNKMMFP-UHFFFAOYSA-N 1 2 300.358 1.965 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(N(C)C)cc2)CC1 ZINC000276905656 213165403 /nfs/dbraw/zinc/16/54/03/213165403.db2.gz MHEZETRVYQBHBZ-UHFFFAOYSA-N 1 2 321.446 1.082 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNc1ccc(C#N)cc1[N+](=O)[O-] ZINC000596429427 356877143 /nfs/dbraw/zinc/87/71/43/356877143.db2.gz JIDCYZNQIAEYMG-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNc1ccc(C#N)cc1[N+](=O)[O-] ZINC000596429427 356877149 /nfs/dbraw/zinc/87/71/49/356877149.db2.gz JIDCYZNQIAEYMG-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO COC(=O)CCn1nc(C)c(C[N@@H+]2CC[C@@](C)(C#N)C2)c1C ZINC000597230676 357103050 /nfs/dbraw/zinc/10/30/50/357103050.db2.gz HSUKXDVEOLWILP-INIZCTEOSA-N 1 2 304.394 1.799 20 30 DDEDLO COC(=O)CCn1nc(C)c(C[N@H+]2CC[C@@](C)(C#N)C2)c1C ZINC000597230676 357103054 /nfs/dbraw/zinc/10/30/54/357103054.db2.gz HSUKXDVEOLWILP-INIZCTEOSA-N 1 2 304.394 1.799 20 30 DDEDLO N#Cc1csc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)c1 ZINC000597706451 357293661 /nfs/dbraw/zinc/29/36/61/357293661.db2.gz GIYXUYFOQACOPV-CYBMUJFWSA-N 1 2 305.403 1.557 20 30 DDEDLO Cc1[nH]c(=O)c(C#N)c(C)c1CCC(=O)N(C)Cc1c[nH+]c[nH]1 ZINC000597866525 357364393 /nfs/dbraw/zinc/36/43/93/357364393.db2.gz XMKCCBHYHVXPSV-UHFFFAOYSA-N 1 2 313.361 1.590 20 30 DDEDLO Cc1[nH]c(=O)c(C#N)c(C)c1CCC(=O)N(C)Cc1c[nH]c[nH+]1 ZINC000597866525 357364396 /nfs/dbraw/zinc/36/43/96/357364396.db2.gz XMKCCBHYHVXPSV-UHFFFAOYSA-N 1 2 313.361 1.590 20 30 DDEDLO CCN(C(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1)[C@H](C)C#N ZINC000598360804 357551723 /nfs/dbraw/zinc/55/17/23/357551723.db2.gz VKADMKRESJGSNN-GDBMZVCRSA-N 1 2 301.390 1.648 20 30 DDEDLO CCN(C(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1)[C@H](C)C#N ZINC000598360804 357551726 /nfs/dbraw/zinc/55/17/26/357551726.db2.gz VKADMKRESJGSNN-GDBMZVCRSA-N 1 2 301.390 1.648 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[NH2+][C@@H](C(C)C)C2)cc1C#N ZINC000598569686 357635050 /nfs/dbraw/zinc/63/50/50/357635050.db2.gz CNWDVJGJIPRIQK-GFCCVEGCSA-N 1 2 320.418 1.451 20 30 DDEDLO O=C(N[C@H]1CCc2[nH+]c[nH]c2C1)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000329728869 223020516 /nfs/dbraw/zinc/02/05/16/223020516.db2.gz PCMWLSAFPSNOJS-STQMWFEESA-N 1 2 316.405 1.872 20 30 DDEDLO O=C(N[C@H]1CCc2[nH]c[nH+]c2C1)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000329728869 223020518 /nfs/dbraw/zinc/02/05/18/223020518.db2.gz PCMWLSAFPSNOJS-STQMWFEESA-N 1 2 316.405 1.872 20 30 DDEDLO C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000599229215 357857009 /nfs/dbraw/zinc/85/70/09/357857009.db2.gz DVAUMQCSOMUKSB-QXSJWSMHSA-N 1 2 323.437 1.120 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@H](O)COc2ccccc2C#N)o1 ZINC000599466035 357947368 /nfs/dbraw/zinc/94/73/68/357947368.db2.gz YJMASFFBBMZZES-ZDUSSCGKSA-N 1 2 302.334 1.121 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@H](O)COc2ccccc2C#N)o1 ZINC000599466035 357947372 /nfs/dbraw/zinc/94/73/72/357947372.db2.gz YJMASFFBBMZZES-ZDUSSCGKSA-N 1 2 302.334 1.121 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CC[C@H]2CC[C@@H](C1)[S@@]2=O ZINC000599634501 358000181 /nfs/dbraw/zinc/00/01/81/358000181.db2.gz CDAPCTRKNLMTLM-GPAUDHNESA-N 1 2 319.386 1.952 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CC[C@H]2CC[C@@H](C1)[S@@]2=O ZINC000599634501 358000186 /nfs/dbraw/zinc/00/01/86/358000186.db2.gz CDAPCTRKNLMTLM-GPAUDHNESA-N 1 2 319.386 1.952 20 30 DDEDLO CCOCCNC(=O)C[N@@H+]1CCc2c(CC#N)cccc2C1 ZINC000599679693 358021954 /nfs/dbraw/zinc/02/19/54/358021954.db2.gz WXAOSOPXYNZGGK-UHFFFAOYSA-N 1 2 301.390 1.263 20 30 DDEDLO CCOCCNC(=O)C[N@H+]1CCc2c(CC#N)cccc2C1 ZINC000599679693 358021956 /nfs/dbraw/zinc/02/19/56/358021956.db2.gz WXAOSOPXYNZGGK-UHFFFAOYSA-N 1 2 301.390 1.263 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H]3CC[C@@H](O)[C@@H]32)c(C#N)c1C ZINC000599681846 358022709 /nfs/dbraw/zinc/02/27/09/358022709.db2.gz QGJLSTFJLLJLCW-NJZAAPMLSA-N 1 2 303.362 1.552 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H]3CC[C@@H](O)[C@@H]32)c(C#N)c1C ZINC000599681846 358022711 /nfs/dbraw/zinc/02/27/11/358022711.db2.gz QGJLSTFJLLJLCW-NJZAAPMLSA-N 1 2 303.362 1.552 20 30 DDEDLO N#Cc1nccn1CC(=O)Nc1nc(C[NH+]2CCCC2)cs1 ZINC000179865283 199089549 /nfs/dbraw/zinc/08/95/49/199089549.db2.gz MMIBOXNMNCMUKK-UHFFFAOYSA-N 1 2 316.390 1.446 20 30 DDEDLO COc1cc(NC(=O)NC[C@@H]2COCC[N@@H+]2C)c(F)cc1C#N ZINC000599810937 358064358 /nfs/dbraw/zinc/06/43/58/358064358.db2.gz NDUOLHDRGPNBRO-LLVKDONJSA-N 1 2 322.340 1.158 20 30 DDEDLO COc1cc(NC(=O)NC[C@@H]2COCC[N@H+]2C)c(F)cc1C#N ZINC000599810937 358064363 /nfs/dbraw/zinc/06/43/63/358064363.db2.gz NDUOLHDRGPNBRO-LLVKDONJSA-N 1 2 322.340 1.158 20 30 DDEDLO Cc1cc(C#N)cc(Nc2ccc(N3CCNC(=O)C3)[nH+]c2)n1 ZINC000601103837 358403678 /nfs/dbraw/zinc/40/36/78/358403678.db2.gz JBVPQWMCAFUJLX-UHFFFAOYSA-N 1 2 308.345 1.337 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000601730926 358667748 /nfs/dbraw/zinc/66/77/48/358667748.db2.gz ZVFLGMSWQAYTPI-KRWDZBQOSA-N 1 2 301.390 1.976 20 30 DDEDLO COc1cc(N2CC[NH+](Cc3cccc(C#N)n3)CC2)ncn1 ZINC000602619528 359079161 /nfs/dbraw/zinc/07/91/61/359079161.db2.gz WQGGDCWBVWWHCF-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO N#CCC1(C[N@@H+](C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000602793536 359196776 /nfs/dbraw/zinc/19/67/76/359196776.db2.gz AGKXVLMKTQCYCS-KBPBESRZSA-N 1 2 312.435 1.348 20 30 DDEDLO N#CCC1(C[N@H+](C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000602793536 359196778 /nfs/dbraw/zinc/19/67/78/359196778.db2.gz AGKXVLMKTQCYCS-KBPBESRZSA-N 1 2 312.435 1.348 20 30 DDEDLO CCOC(=O)c1cc(C[NH+]2CCN(CCC#N)CC2)[nH]c1C ZINC000602748641 359166833 /nfs/dbraw/zinc/16/68/33/359166833.db2.gz OUHOVHGFVHSEGJ-UHFFFAOYSA-N 1 2 304.394 1.531 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)Nc2cccc(F)c2)C[C@@H](C)N1CC#N ZINC000602858118 359244612 /nfs/dbraw/zinc/24/46/12/359244612.db2.gz ZHIOSZFPZDGFCM-CHWSQXEVSA-N 1 2 304.369 1.682 20 30 DDEDLO COCCOc1ccc(CNC(=O)C[NH2+][C@@H](C)CC#N)cc1 ZINC000602865378 359250356 /nfs/dbraw/zinc/25/03/56/359250356.db2.gz XSQVWZIGUVFRDE-ZDUSSCGKSA-N 1 2 305.378 1.220 20 30 DDEDLO Cc1c(Cl)cccc1NC(=O)CNC(=O)C[NH2+][C@H](C)CC#N ZINC000602868944 359252840 /nfs/dbraw/zinc/25/28/40/359252840.db2.gz LCONVKJHSNJJKK-SNVBAGLBSA-N 1 2 322.796 1.595 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000602868963 359253639 /nfs/dbraw/zinc/25/36/39/359253639.db2.gz LEZYZSRJEUUDMR-NSHDSACASA-N 1 2 300.362 1.409 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@H](OC)C[N@@H+]1CCOc1cccc(C#N)c1 ZINC000602956711 359320612 /nfs/dbraw/zinc/32/06/12/359320612.db2.gz VCKIWWMGSUMESH-DOTOQJQBSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@H](OC)C[N@H+]1CCOc1cccc(C#N)c1 ZINC000602956711 359320617 /nfs/dbraw/zinc/32/06/17/359320617.db2.gz VCKIWWMGSUMESH-DOTOQJQBSA-N 1 2 318.373 1.589 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)C[N@H+](C)[C@H]1CCC[C@H]1C#N)C(=O)OC ZINC000602973452 359332374 /nfs/dbraw/zinc/33/23/74/359332374.db2.gz AHMWWBKPPVGWBE-ABHRYQDASA-N 1 2 309.410 1.314 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)C[N@@H+](C)[C@H]1CCC[C@H]1C#N)C(=O)OC ZINC000602973452 359332375 /nfs/dbraw/zinc/33/23/75/359332375.db2.gz AHMWWBKPPVGWBE-ABHRYQDASA-N 1 2 309.410 1.314 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2ccnc(C#N)c2)[C@@H](C)CO1 ZINC000603130032 359422423 /nfs/dbraw/zinc/42/24/23/359422423.db2.gz WQFLJTKCKDCCPZ-RYUDHWBXSA-N 1 2 303.366 1.184 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2ccnc(C#N)c2)[C@@H](C)CO1 ZINC000603130032 359422429 /nfs/dbraw/zinc/42/24/29/359422429.db2.gz WQFLJTKCKDCCPZ-RYUDHWBXSA-N 1 2 303.366 1.184 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1cc(F)cc(C#N)c1 ZINC000603080104 359391928 /nfs/dbraw/zinc/39/19/28/359391928.db2.gz HZHJMGDJLLIOFP-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1cc(F)cc(C#N)c1 ZINC000603080104 359391931 /nfs/dbraw/zinc/39/19/31/359391931.db2.gz HZHJMGDJLLIOFP-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO COCC[N@H+](C)Cc1cn(Cc2cn3ccccc3c2C#N)nn1 ZINC000603191996 359469850 /nfs/dbraw/zinc/46/98/50/359469850.db2.gz VXWWEZHWJARHPR-UHFFFAOYSA-N 1 2 324.388 1.529 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(Cc2cn3ccccc3c2C#N)nn1 ZINC000603191996 359469857 /nfs/dbraw/zinc/46/98/57/359469857.db2.gz VXWWEZHWJARHPR-UHFFFAOYSA-N 1 2 324.388 1.529 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2c(F)cc([N+](=O)[O-])cc2F)nn1 ZINC000603246371 359513346 /nfs/dbraw/zinc/51/33/46/359513346.db2.gz DEGCOJZCTWNNDE-UHFFFAOYSA-N 1 2 321.287 1.626 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)[C@H]1CCCN1c1ccc(C#N)cc1 ZINC000609131228 360262784 /nfs/dbraw/zinc/26/27/84/360262784.db2.gz GZOKDXYBQWVGAN-OAHLLOKOSA-N 1 2 309.373 1.577 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[N@@H+]1CCN(C)[C@@H](c2nccn2C)C1 ZINC000329952915 223052729 /nfs/dbraw/zinc/05/27/29/223052729.db2.gz NLIVZSXCBDJXHT-UKRRQHHQSA-N 1 2 319.453 1.996 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[N@H+]1CCN(C)[C@@H](c2nccn2C)C1 ZINC000329952915 223052731 /nfs/dbraw/zinc/05/27/31/223052731.db2.gz NLIVZSXCBDJXHT-UKRRQHHQSA-N 1 2 319.453 1.996 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@H](C)C(=O)N2CCCCCC2)CC1 ZINC000609484384 360312459 /nfs/dbraw/zinc/31/24/59/360312459.db2.gz BFYNVNLZCJRDFA-SJORKVTESA-N 1 2 320.481 1.943 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@@H]1CCC[N@H+]1CCN1C(=O)CCC1=O ZINC000610604313 360480281 /nfs/dbraw/zinc/48/02/81/360480281.db2.gz OLEUZGZLKVYNDO-CVEARBPZSA-N 1 2 311.385 1.907 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@@H]1CCC[N@@H+]1CCN1C(=O)CCC1=O ZINC000610604313 360480286 /nfs/dbraw/zinc/48/02/86/360480286.db2.gz OLEUZGZLKVYNDO-CVEARBPZSA-N 1 2 311.385 1.907 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)C1CCCC1 ZINC000610520857 360459544 /nfs/dbraw/zinc/45/95/44/360459544.db2.gz WPFRFOFHJPQAHS-HNNXBMFYSA-N 1 2 307.438 1.887 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)NCCCc2ccccc2)CC1 ZINC000611174200 360646509 /nfs/dbraw/zinc/64/65/09/360646509.db2.gz FOMJFIMJPSSQOB-UHFFFAOYSA-N 1 2 314.433 1.267 20 30 DDEDLO C[C@H]1CC(=O)NCC[N@@H+]1Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000611178075 360650707 /nfs/dbraw/zinc/65/07/07/360650707.db2.gz PCAQIMWJPNTLBL-NSHDSACASA-N 1 2 311.345 1.319 20 30 DDEDLO C[C@H]1CC(=O)NCC[N@H+]1Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000611178075 360650709 /nfs/dbraw/zinc/65/07/09/360650709.db2.gz PCAQIMWJPNTLBL-NSHDSACASA-N 1 2 311.345 1.319 20 30 DDEDLO CN1CC[C@@H]([NH+]2CCN([C@@H](C#N)c3ccc(F)cc3)CC2)C1=O ZINC000611550341 360756478 /nfs/dbraw/zinc/75/64/78/360756478.db2.gz DITNQEMFPXGUCR-CVEARBPZSA-N 1 2 316.380 1.239 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)N2CCO[C@H](C[N@H+](C)CC(N)=O)C2)C1 ZINC000331264267 223198177 /nfs/dbraw/zinc/19/81/77/223198177.db2.gz APSAABBNHMWPLU-FRRDWIJNSA-N 1 2 312.414 1.257 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)N2CCO[C@H](C[N@@H+](C)CC(N)=O)C2)C1 ZINC000331264267 223198179 /nfs/dbraw/zinc/19/81/79/223198179.db2.gz APSAABBNHMWPLU-FRRDWIJNSA-N 1 2 312.414 1.257 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@@H]1CC[N@@H+](C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000612995006 361232099 /nfs/dbraw/zinc/23/20/99/361232099.db2.gz KLNUEASUNIBVOM-RDBSUJKOSA-N 1 2 312.772 1.887 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@@H]1CC[N@H+](C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000612995006 361232102 /nfs/dbraw/zinc/23/21/02/361232102.db2.gz KLNUEASUNIBVOM-RDBSUJKOSA-N 1 2 312.772 1.887 20 30 DDEDLO CCn1ncnc1C[N@@H+]1CCCN(c2ccccc2C#N)CC1 ZINC000193307223 201026593 /nfs/dbraw/zinc/02/65/93/201026593.db2.gz UHTZCPXHRIQTTP-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO CCn1ncnc1C[N@H+]1CCCN(c2ccccc2C#N)CC1 ZINC000193307223 201026596 /nfs/dbraw/zinc/02/65/96/201026596.db2.gz UHTZCPXHRIQTTP-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)Nc1cc[nH+]cc1N(C)C ZINC000614050550 361676205 /nfs/dbraw/zinc/67/62/05/361676205.db2.gz IFPPVVTZNBQHGU-LBPRGKRZSA-N 1 2 310.357 1.847 20 30 DDEDLO C[C@@]1(C#N)CCCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000619442791 364011283 /nfs/dbraw/zinc/01/12/83/364011283.db2.gz SLLNKVSWSKVHJQ-WBVHZDCISA-N 1 2 305.422 1.782 20 30 DDEDLO C[C@@]1(C#N)CCCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000619442791 364011286 /nfs/dbraw/zinc/01/12/86/364011286.db2.gz SLLNKVSWSKVHJQ-WBVHZDCISA-N 1 2 305.422 1.782 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)c1cccc(CC#N)c1 ZINC000619492090 364035986 /nfs/dbraw/zinc/03/59/86/364035986.db2.gz SGBKOCQULKBDSB-UHFFFAOYSA-N 1 2 318.402 1.660 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@H](c2nnc3ccccn32)C1 ZINC000619718372 364129415 /nfs/dbraw/zinc/12/94/15/364129415.db2.gz HYTJCMRRWAVENJ-QWHCGFSZSA-N 1 2 300.362 1.636 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@H](c2nnc3ccccn32)C1 ZINC000619718372 364129418 /nfs/dbraw/zinc/12/94/18/364129418.db2.gz HYTJCMRRWAVENJ-QWHCGFSZSA-N 1 2 300.362 1.636 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(NC(=O)CC2CCCC2)CC1 ZINC000619717863 364129629 /nfs/dbraw/zinc/12/96/29/364129629.db2.gz RNNIENLPWBJHEB-OAHLLOKOSA-N 1 2 308.422 1.875 20 30 DDEDLO N#CC1(F)CCN(c2cc(N3CCSCC3)nc[nH+]2)CC1 ZINC000343237446 223318701 /nfs/dbraw/zinc/31/87/01/223318701.db2.gz VQOMHASKFGUOJB-UHFFFAOYSA-N 1 2 307.398 1.862 20 30 DDEDLO N#CC1(F)CCN(c2cc(N3CCSCC3)[nH+]cn2)CC1 ZINC000343237446 223318704 /nfs/dbraw/zinc/31/87/04/223318704.db2.gz VQOMHASKFGUOJB-UHFFFAOYSA-N 1 2 307.398 1.862 20 30 DDEDLO CC1(C)C[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)CC[C@@H]1O ZINC000275494850 212372672 /nfs/dbraw/zinc/37/26/72/212372672.db2.gz QDVXVZZUOQBKOB-STQMWFEESA-N 1 2 319.430 1.818 20 30 DDEDLO CC1(C)C[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)CC[C@@H]1O ZINC000275494850 212372677 /nfs/dbraw/zinc/37/26/77/212372677.db2.gz QDVXVZZUOQBKOB-STQMWFEESA-N 1 2 319.430 1.818 20 30 DDEDLO C[C@@H]1C[NH+](CC#CCN2C(=O)c3ccccc3C2=O)C[C@@H](C)C1=O ZINC000625551955 367006218 /nfs/dbraw/zinc/00/62/18/367006218.db2.gz KDELRQFWTRMAOX-ZIAGYGMSSA-N 1 2 324.380 1.443 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]CC(=O)N(C)C2(C#N)CCCCC2)n1 ZINC000348092666 223379422 /nfs/dbraw/zinc/37/94/22/223379422.db2.gz PRYCIYLZLRMJNE-LLVKDONJSA-N 1 2 305.382 1.714 20 30 DDEDLO C=CC[N@@H+](CC(=O)N(C)CC(=O)OCC)Cc1ccccc1F ZINC000264644437 204051349 /nfs/dbraw/zinc/05/13/49/204051349.db2.gz WBGIFQKRFWRZJH-UHFFFAOYSA-N 1 2 322.380 1.835 20 30 DDEDLO C=CC[N@H+](CC(=O)N(C)CC(=O)OCC)Cc1ccccc1F ZINC000264644437 204051354 /nfs/dbraw/zinc/05/13/54/204051354.db2.gz WBGIFQKRFWRZJH-UHFFFAOYSA-N 1 2 322.380 1.835 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](C)[C@H]1CCN(c2ccccc2F)C1=O ZINC000264899243 204251348 /nfs/dbraw/zinc/25/13/48/204251348.db2.gz YQTLWOUMGZOPKD-WFASDCNBSA-N 1 2 317.364 1.001 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](C)[C@H]1CCN(c2ccccc2F)C1=O ZINC000264899243 204251351 /nfs/dbraw/zinc/25/13/51/204251351.db2.gz YQTLWOUMGZOPKD-WFASDCNBSA-N 1 2 317.364 1.001 20 30 DDEDLO C#CCCNC(=O)C(=O)Nc1ccc2c(c1)[nH+]c1n2CCCCC1 ZINC000264957562 204303818 /nfs/dbraw/zinc/30/38/18/204303818.db2.gz BWHKQLNKSWDTED-UHFFFAOYSA-N 1 2 324.384 1.841 20 30 DDEDLO C#CCCNC(=O)NC[C@@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000264988736 204327269 /nfs/dbraw/zinc/32/72/69/204327269.db2.gz GXMLEHLEIVSJRR-KRWDZBQOSA-N 1 2 315.417 1.691 20 30 DDEDLO CCC[N@H+](Cc1ccc(C#N)cc1OC)[C@@H]1CC(=O)N(C)C1=O ZINC000282576214 217099768 /nfs/dbraw/zinc/09/97/68/217099768.db2.gz VNBPGUSFNORNKT-CQSZACIVSA-N 1 2 315.373 1.536 20 30 DDEDLO CCC[N@@H+](Cc1ccc(C#N)cc1OC)[C@@H]1CC(=O)N(C)C1=O ZINC000282576214 217099769 /nfs/dbraw/zinc/09/97/69/217099769.db2.gz VNBPGUSFNORNKT-CQSZACIVSA-N 1 2 315.373 1.536 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3cccc(O)c3)CC2)[nH]1 ZINC000161704377 197512198 /nfs/dbraw/zinc/51/21/98/197512198.db2.gz AVYXLIDBOBBSRB-UHFFFAOYSA-N 1 2 310.357 1.550 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CCC)CC(=O)Nc1ccccc1C ZINC000016590078 182051086 /nfs/dbraw/zinc/05/10/86/182051086.db2.gz DIZQJNKPUXJLTF-UHFFFAOYSA-N 1 2 303.406 1.948 20 30 DDEDLO C=CCNC(=O)C[N@H+](CCC)CC(=O)Nc1ccccc1C ZINC000016590078 182051087 /nfs/dbraw/zinc/05/10/87/182051087.db2.gz DIZQJNKPUXJLTF-UHFFFAOYSA-N 1 2 303.406 1.948 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)C(=O)c1ccn(-c2cccc(C#N)c2)n1 ZINC000337001468 249309552 /nfs/dbraw/zinc/30/95/52/249309552.db2.gz UQAGHDVEZVUXCT-UHFFFAOYSA-N 1 2 306.329 1.739 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC000636295117 422790038 /nfs/dbraw/zinc/79/00/38/422790038.db2.gz BLEMRFNTFPCBRD-MRXNPFEDSA-N 1 2 317.433 1.750 20 30 DDEDLO CNC(=O)NC[C@@H]1C[N@@H+]([C@H](C)c2ccc(C#N)cc2)CCO1 ZINC000528629612 269696684 /nfs/dbraw/zinc/69/66/84/269696684.db2.gz WLHBJYPHTNVGCC-IUODEOHRSA-N 1 2 302.378 1.249 20 30 DDEDLO CNC(=O)NC[C@@H]1C[N@H+]([C@H](C)c2ccc(C#N)cc2)CCO1 ZINC000528629612 269696685 /nfs/dbraw/zinc/69/66/85/269696685.db2.gz WLHBJYPHTNVGCC-IUODEOHRSA-N 1 2 302.378 1.249 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2ccc(OC)cc2)CC1 ZINC000157819027 197217910 /nfs/dbraw/zinc/21/79/10/197217910.db2.gz TZZMMPJGRBCUER-UHFFFAOYSA-N 1 2 300.402 1.795 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H](c2ccccc2)[NH+]2CCOCC2)[nH]1 ZINC000155529617 197063849 /nfs/dbraw/zinc/06/38/49/197063849.db2.gz HGZCYEUYNVEVFZ-KRWDZBQOSA-N 1 2 324.384 1.690 20 30 DDEDLO COc1ccc(C)cc1/C=C/C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000493546261 533375611 /nfs/dbraw/zinc/37/56/11/533375611.db2.gz DRATUHUTYQILER-LKUPDRCFSA-N 1 2 301.390 1.977 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1C[C@@H](C)[N@H+](C)[C@@H](C)C1 ZINC000135260440 292046099 /nfs/dbraw/zinc/04/60/99/292046099.db2.gz FEHCQSSTPXKUCW-BETUJISGSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)[C@@H](C)C1 ZINC000135260440 292046101 /nfs/dbraw/zinc/04/61/01/292046101.db2.gz FEHCQSSTPXKUCW-BETUJISGSA-N 1 2 307.419 1.580 20 30 DDEDLO COc1ccccc1N1CC[C@H](O[NH+]=C(N)[C@H]2CCCO2)C1=O ZINC000283760991 286505199 /nfs/dbraw/zinc/50/51/99/286505199.db2.gz AZJOZGDNRNOOEN-KGLIPLIRSA-N 1 2 319.361 1.268 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+]1Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000075646474 406938468 /nfs/dbraw/zinc/93/84/68/406938468.db2.gz LQZZZRGERKBLDL-CYBMUJFWSA-N 1 2 311.345 1.319 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+]1Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000075646474 406938471 /nfs/dbraw/zinc/93/84/71/406938471.db2.gz LQZZZRGERKBLDL-CYBMUJFWSA-N 1 2 311.345 1.319 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)C(=O)Nc1cccc(C#N)c1 ZINC000041639022 407009084 /nfs/dbraw/zinc/00/90/84/407009084.db2.gz BQXKKWQKJPWMBM-UHFFFAOYSA-N 1 2 323.356 1.274 20 30 DDEDLO COc1ccc(C(N)=[NH+]O[C@H](C)C(=O)NCC(F)(F)F)cc1 ZINC000078695783 407040761 /nfs/dbraw/zinc/04/07/61/407040761.db2.gz QOVVIHBTQFLGPZ-MRVPVSSYSA-N 1 2 319.283 1.399 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+](C)CC(=O)Nc1ccccc1 ZINC000047592773 407076133 /nfs/dbraw/zinc/07/61/33/407076133.db2.gz SJSJMWCXJSSFSY-ZDUSSCGKSA-N 1 2 302.378 1.317 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+](C)CC(=O)Nc1ccccc1 ZINC000047592773 407076135 /nfs/dbraw/zinc/07/61/35/407076135.db2.gz SJSJMWCXJSSFSY-ZDUSSCGKSA-N 1 2 302.378 1.317 20 30 DDEDLO N#Cc1csc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)c1 ZINC000084112771 407083813 /nfs/dbraw/zinc/08/38/13/407083813.db2.gz JTJDSMDTGPZHBL-AWEZNQCLSA-N 1 2 319.430 1.947 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC000052670766 407154776 /nfs/dbraw/zinc/15/47/76/407154776.db2.gz QUGXZXROCNVNHO-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC000052670766 407154778 /nfs/dbraw/zinc/15/47/78/407154778.db2.gz QUGXZXROCNVNHO-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(C(=O)OC(C)(C)C)CC1 ZINC000102335444 407322134 /nfs/dbraw/zinc/32/21/34/407322134.db2.gz ARBXFCZLVIKLMD-UHFFFAOYSA-N 1 2 311.426 1.622 20 30 DDEDLO COC(=O)c1cc(C[N@H+](C)[C@@H](C)C(=O)N(C)CCC#N)oc1C ZINC000096990696 407275113 /nfs/dbraw/zinc/27/51/13/407275113.db2.gz DWQRKEOVBFGMJH-NSHDSACASA-N 1 2 321.377 1.567 20 30 DDEDLO COC(=O)c1cc(C[N@@H+](C)[C@@H](C)C(=O)N(C)CCC#N)oc1C ZINC000096990696 407275116 /nfs/dbraw/zinc/27/51/16/407275116.db2.gz DWQRKEOVBFGMJH-NSHDSACASA-N 1 2 321.377 1.567 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccc[nH+]c2N2CCOCC2)nc1 ZINC000107443706 407374991 /nfs/dbraw/zinc/37/49/91/407374991.db2.gz DUNQRSYSFWFLPY-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO C#CC[N@@H+](CCOc1cc(C)ccc1C)[C@H]1CCS(=O)(=O)C1 ZINC000108866888 407391773 /nfs/dbraw/zinc/39/17/73/407391773.db2.gz AJAFAXRJHPQIMI-INIZCTEOSA-N 1 2 321.442 1.805 20 30 DDEDLO C#CC[N@H+](CCOc1cc(C)ccc1C)[C@H]1CCS(=O)(=O)C1 ZINC000108866888 407391775 /nfs/dbraw/zinc/39/17/75/407391775.db2.gz AJAFAXRJHPQIMI-INIZCTEOSA-N 1 2 321.442 1.805 20 30 DDEDLO CC[N@@H+](CC(=O)NCc1ccc(OC)c(OC)c1)C[C@@H](C)C#N ZINC000108974661 407393953 /nfs/dbraw/zinc/39/39/53/407393953.db2.gz YFJWVIDOQLRFDZ-ZDUSSCGKSA-N 1 2 319.405 1.802 20 30 DDEDLO CC[N@H+](CC(=O)NCc1ccc(OC)c(OC)c1)C[C@@H](C)C#N ZINC000108974661 407393955 /nfs/dbraw/zinc/39/39/55/407393955.db2.gz YFJWVIDOQLRFDZ-ZDUSSCGKSA-N 1 2 319.405 1.802 20 30 DDEDLO CC[N@H+](CCOc1ccccc1C#N)[C@@H](C)CS(C)(=O)=O ZINC000112705215 407436910 /nfs/dbraw/zinc/43/69/10/407436910.db2.gz RHPJUQAZFRQRGA-ZDUSSCGKSA-N 1 2 310.419 1.692 20 30 DDEDLO CC[N@@H+](CCOc1ccccc1C#N)[C@@H](C)CS(C)(=O)=O ZINC000112705215 407436912 /nfs/dbraw/zinc/43/69/12/407436912.db2.gz RHPJUQAZFRQRGA-ZDUSSCGKSA-N 1 2 310.419 1.692 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(F)c(Cl)c2)CC1 ZINC000128421268 407532248 /nfs/dbraw/zinc/53/22/48/407532248.db2.gz OAEKKUKTNYLDRR-UHFFFAOYSA-N 1 2 310.756 1.635 20 30 DDEDLO CCCC[C@H](C(=O)OC)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000171234677 407637520 /nfs/dbraw/zinc/63/75/20/407637520.db2.gz WYOOCFACUPRBRA-OAHLLOKOSA-N 1 2 316.405 1.807 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(C)c2)C[C@@H]1C ZINC000233491685 407705011 /nfs/dbraw/zinc/70/50/11/407705011.db2.gz HSGXIXNWSWNYTB-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(C)c2)C[C@@H]1C ZINC000233491685 407705017 /nfs/dbraw/zinc/70/50/17/407705017.db2.gz HSGXIXNWSWNYTB-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO C=CCN(Cc1cn2ccccc2[nH+]1)[C@H]1CCS(=O)(=O)C1 ZINC000171748071 407758508 /nfs/dbraw/zinc/75/85/08/407758508.db2.gz BFOSXAXRZMVNHL-AWEZNQCLSA-N 1 2 305.403 1.509 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(S(=O)(=O)CC3CC3)CC2)cc1 ZINC000267183235 407782202 /nfs/dbraw/zinc/78/22/02/407782202.db2.gz KLTJNNDMXICOQH-UHFFFAOYSA-N 1 2 319.430 1.416 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2ccccc2SCC#N)CC[C@@H]1O ZINC000186902492 407791854 /nfs/dbraw/zinc/79/18/54/407791854.db2.gz WZEKLIIGKDJPHS-OCCSQVGLSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2ccccc2SCC#N)CC[C@@H]1O ZINC000186902492 407791859 /nfs/dbraw/zinc/79/18/59/407791859.db2.gz WZEKLIIGKDJPHS-OCCSQVGLSA-N 1 2 319.430 1.943 20 30 DDEDLO C#CC[N@@H+](CC)[C@@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000267227309 407798619 /nfs/dbraw/zinc/79/86/19/407798619.db2.gz BWHUGGJKYCVQIG-GFCCVEGCSA-N 1 2 306.387 1.595 20 30 DDEDLO C#CC[N@H+](CC)[C@@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000267227309 407798625 /nfs/dbraw/zinc/79/86/25/407798625.db2.gz BWHUGGJKYCVQIG-GFCCVEGCSA-N 1 2 306.387 1.595 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CC[C@@](F)(C(=O)OC)C1 ZINC000267679335 407851070 /nfs/dbraw/zinc/85/10/70/407851070.db2.gz QHMMSQITCFEGAN-DOMZBBRYSA-N 1 2 300.374 1.387 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@@](F)(C(=O)OC)C1 ZINC000267679335 407851075 /nfs/dbraw/zinc/85/10/75/407851075.db2.gz QHMMSQITCFEGAN-DOMZBBRYSA-N 1 2 300.374 1.387 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000118979711 407970055 /nfs/dbraw/zinc/97/00/55/407970055.db2.gz BDPSQWYHWPXSGI-CQSZACIVSA-N 1 2 310.357 1.512 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCC(=O)N2CCCC[C@@H]2C)c1 ZINC000174214148 407921089 /nfs/dbraw/zinc/92/10/89/407921089.db2.gz TYXXNZPJBMTVBQ-LBPRGKRZSA-N 1 2 305.378 1.733 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@@H+]2CCNC(=O)[C@@H]2c2ccccc2)cc1 ZINC000268638316 408133403 /nfs/dbraw/zinc/13/34/03/408133403.db2.gz GOPLPWZODPJHMR-MSOLQXFVSA-N 1 2 321.380 1.765 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@H+]2CCNC(=O)[C@@H]2c2ccccc2)cc1 ZINC000268638316 408133410 /nfs/dbraw/zinc/13/34/10/408133410.db2.gz GOPLPWZODPJHMR-MSOLQXFVSA-N 1 2 321.380 1.765 20 30 DDEDLO C#CCSCCNC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC000141860192 408179982 /nfs/dbraw/zinc/17/99/82/408179982.db2.gz DOIOLJFKEQYQTQ-AWEZNQCLSA-N 1 2 320.462 1.751 20 30 DDEDLO CCOCC[N@H+](CC)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000147432349 408189976 /nfs/dbraw/zinc/18/99/76/408189976.db2.gz FXKYCTHGAVJOHV-UHFFFAOYSA-N 1 2 310.419 1.690 20 30 DDEDLO CCOCC[N@@H+](CC)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000147432349 408189981 /nfs/dbraw/zinc/18/99/81/408189981.db2.gz FXKYCTHGAVJOHV-UHFFFAOYSA-N 1 2 310.419 1.690 20 30 DDEDLO CCc1nn(Cc2c[nH+]c3ccccn23)c(=O)c(C#N)c1CC ZINC000155956133 408230805 /nfs/dbraw/zinc/23/08/05/408230805.db2.gz RFLHXJPLBVMYGP-UHFFFAOYSA-N 1 2 307.357 1.936 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(S(=O)(=O)N2CCCC2)o1)C1CC1 ZINC000274214396 408326771 /nfs/dbraw/zinc/32/67/71/408326771.db2.gz UFBIXQIEMXYNLO-UHFFFAOYSA-N 1 2 308.403 1.662 20 30 DDEDLO C#CC[N@H+](Cc1ccc(S(=O)(=O)N2CCCC2)o1)C1CC1 ZINC000274214396 408326776 /nfs/dbraw/zinc/32/67/76/408326776.db2.gz UFBIXQIEMXYNLO-UHFFFAOYSA-N 1 2 308.403 1.662 20 30 DDEDLO CCC[C@@H](C)NC(=O)[C@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158160133 408331232 /nfs/dbraw/zinc/33/12/32/408331232.db2.gz ILJKFKKLDKIQKZ-NEPJUHHUSA-N 1 2 319.405 1.952 20 30 DDEDLO CCCCCNC(=O)CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158218927 408331923 /nfs/dbraw/zinc/33/19/23/408331923.db2.gz CKECGWDCIUWYDK-UHFFFAOYSA-N 1 2 305.378 1.565 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](Cc2cnc3ccccn23)CC1 ZINC000158294011 408336376 /nfs/dbraw/zinc/33/63/76/408336376.db2.gz TYYYJZGWYKDKOW-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO CC(C)COC[C@@H](O)C[NH+]1CC(Oc2ccc(C#N)cc2)C1 ZINC000158585262 408346745 /nfs/dbraw/zinc/34/67/45/408346745.db2.gz AMCKGFYUNBBOCX-HNNXBMFYSA-N 1 2 304.390 1.655 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)[C@@H]2C1 ZINC000247115870 408412304 /nfs/dbraw/zinc/41/23/04/408412304.db2.gz WRDINWMIMMTQEL-AIANPOQGSA-N 1 2 323.437 1.309 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)[C@@H]2C1 ZINC000247115870 408412307 /nfs/dbraw/zinc/41/23/07/408412307.db2.gz WRDINWMIMMTQEL-AIANPOQGSA-N 1 2 323.437 1.309 20 30 DDEDLO C=CCCCCNC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000274650797 408482097 /nfs/dbraw/zinc/48/20/97/408482097.db2.gz FAQGBPNRLRQJRY-UHFFFAOYSA-N 1 2 302.422 1.676 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NCc1ccc(C(=O)N2CCCC2)cc1 ZINC000192222531 408561682 /nfs/dbraw/zinc/56/16/82/408561682.db2.gz ZJARZYJVOIFIDW-UHFFFAOYSA-N 1 2 313.401 1.104 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NCc1ccc(C(=O)N2CCCC2)cc1 ZINC000192222531 408561688 /nfs/dbraw/zinc/56/16/88/408561688.db2.gz ZJARZYJVOIFIDW-UHFFFAOYSA-N 1 2 313.401 1.104 20 30 DDEDLO C[C@@H](CC#N)N(C)CC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000177369063 408591444 /nfs/dbraw/zinc/59/14/44/408591444.db2.gz VQHMVUYSDXDMPV-AWEZNQCLSA-N 1 2 304.394 1.158 20 30 DDEDLO CC(C)CNC(=O)C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000248140802 408538076 /nfs/dbraw/zinc/53/80/76/408538076.db2.gz BZNHUVJEDHLMCU-UHFFFAOYSA-N 1 2 300.406 1.452 20 30 DDEDLO CC[C@@](C)([NH2+]C[C@H](O)COc1ccc(C#N)cc1)C(=O)OC ZINC000265408202 408640526 /nfs/dbraw/zinc/64/05/26/408640526.db2.gz CRPPCNMLMAQDRW-XJKSGUPXSA-N 1 2 306.362 1.229 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2ccn3cc[nH+]c3c2)cn1 ZINC000177727412 408696104 /nfs/dbraw/zinc/69/61/04/408696104.db2.gz GQDBAEZPTLOMQY-UHFFFAOYSA-N 1 2 313.342 1.079 20 30 DDEDLO C=CCCN(C)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000276159843 408823377 /nfs/dbraw/zinc/82/33/77/408823377.db2.gz RHPFVWINTLECBD-UHFFFAOYSA-N 1 2 304.394 1.636 20 30 DDEDLO N#Cc1ccc(CN2CC[C@H]([NH2+]Cc3cscn3)C2=O)cc1 ZINC000192243179 163220017 /nfs/dbraw/zinc/22/00/17/163220017.db2.gz QYSGENMMYKGESG-HNNXBMFYSA-N 1 2 312.398 1.905 20 30 DDEDLO C#CCNc1nc(N2CC[C@@H](C)C2)nc(N2CC[C@H](C)C2)[nH+]1 ZINC000286182974 408938220 /nfs/dbraw/zinc/93/82/20/408938220.db2.gz JIUJKEVMUVGLGE-BETUJISGSA-N 1 2 300.410 1.609 20 30 DDEDLO C#CCNc1nc(N2CC[C@H](C)C2)nc(N2CC[C@@H](C)C2)[nH+]1 ZINC000286182974 408938223 /nfs/dbraw/zinc/93/82/23/408938223.db2.gz JIUJKEVMUVGLGE-BETUJISGSA-N 1 2 300.410 1.609 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC(=O)N(C)[C@@H](C)C1 ZINC000281987159 408966063 /nfs/dbraw/zinc/96/60/63/408966063.db2.gz CGOZXJBBTHDBGF-LBPRGKRZSA-N 1 2 303.362 1.108 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC(=O)N(C)[C@@H](C)C1 ZINC000281987159 408966065 /nfs/dbraw/zinc/96/60/65/408966065.db2.gz CGOZXJBBTHDBGF-LBPRGKRZSA-N 1 2 303.362 1.108 20 30 DDEDLO C#CCC(CC#C)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000277579253 408968598 /nfs/dbraw/zinc/96/85/98/408968598.db2.gz YQSSMKLTZWIAPI-IBGZPJMESA-N 1 2 324.424 1.839 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(Cc3cccc(C)c3)CC2)C1=O ZINC000282019832 408973833 /nfs/dbraw/zinc/97/38/33/408973833.db2.gz PCIPLDXZTBEABO-SFHVURJKSA-N 1 2 313.445 1.900 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NCc2cccs2)C1=O ZINC000282026015 408974821 /nfs/dbraw/zinc/97/48/21/408974821.db2.gz PRBHBWQKYQPPKD-ZDUSSCGKSA-N 1 2 307.419 1.083 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NCc2cccs2)C1=O ZINC000282026015 408974823 /nfs/dbraw/zinc/97/48/23/408974823.db2.gz PRBHBWQKYQPPKD-ZDUSSCGKSA-N 1 2 307.419 1.083 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1ccc([N+](=O)[O-])cc1C#N ZINC000286406501 408978679 /nfs/dbraw/zinc/97/86/79/408978679.db2.gz PZBIETQXLCTTGW-WFASDCNBSA-N 1 2 316.361 1.766 20 30 DDEDLO CCCS(=O)(=O)CC[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292187839 408996346 /nfs/dbraw/zinc/99/63/46/408996346.db2.gz MWASUFSOBUJCOZ-CQSZACIVSA-N 1 2 323.418 1.231 20 30 DDEDLO CCCS(=O)(=O)CC[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292187839 408996349 /nfs/dbraw/zinc/99/63/49/408996349.db2.gz MWASUFSOBUJCOZ-CQSZACIVSA-N 1 2 323.418 1.231 20 30 DDEDLO N#CCCCC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000292493145 409031050 /nfs/dbraw/zinc/03/10/50/409031050.db2.gz FEEILVFIHPIDOA-MRXNPFEDSA-N 1 2 301.390 1.870 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cccc(=O)[nH]3)CC2)cc1 ZINC000282330328 409034561 /nfs/dbraw/zinc/03/45/61/409034561.db2.gz AVIPYPBVGNSHKQ-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO C=CC[N@H+](CCN1CCc2ccccc21)[C@H]1CCS(=O)(=O)C1 ZINC000293132389 409055326 /nfs/dbraw/zinc/05/53/26/409055326.db2.gz LJIJEYVRUIWQQX-INIZCTEOSA-N 1 2 320.458 1.724 20 30 DDEDLO C=CC[N@@H+](CCN1CCc2ccccc21)[C@H]1CCS(=O)(=O)C1 ZINC000293132389 409055329 /nfs/dbraw/zinc/05/53/29/409055329.db2.gz LJIJEYVRUIWQQX-INIZCTEOSA-N 1 2 320.458 1.724 20 30 DDEDLO C=CCn1c(C2CCC2)nnc1N(C)CC[NH+]1CCOCC1 ZINC000282514948 409057257 /nfs/dbraw/zinc/05/72/57/409057257.db2.gz GCBAHYHTVWYXCI-UHFFFAOYSA-N 1 2 305.426 1.500 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)C)n2CC(=C)C)CC1 ZINC000282955480 409071467 /nfs/dbraw/zinc/07/14/67/409071467.db2.gz NRJGCKACHJWIRD-UHFFFAOYSA-N 1 2 301.438 1.907 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)c2cc(C#N)ccn2)CC1 ZINC000283125013 409093648 /nfs/dbraw/zinc/09/36/48/409093648.db2.gz QXZHXNRDKQJDRC-AWEZNQCLSA-N 1 2 321.384 1.867 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000278948278 409118228 /nfs/dbraw/zinc/11/82/28/409118228.db2.gz VIMPIJOIUQTCMO-MRXNPFEDSA-N 1 2 305.378 1.492 20 30 DDEDLO COC(=O)C[N@H+](C)Cc1cn(CCC#N)nc1-c1ccncc1 ZINC000278990337 409127216 /nfs/dbraw/zinc/12/72/16/409127216.db2.gz BFDPTEWJONXWST-UHFFFAOYSA-N 1 2 313.361 1.464 20 30 DDEDLO COC(=O)C[N@@H+](C)Cc1cn(CCC#N)nc1-c1ccncc1 ZINC000278990337 409127219 /nfs/dbraw/zinc/12/72/19/409127219.db2.gz BFDPTEWJONXWST-UHFFFAOYSA-N 1 2 313.361 1.464 20 30 DDEDLO C=CCN(C)C(=O)C(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000283673765 409194344 /nfs/dbraw/zinc/19/43/44/409194344.db2.gz ZATYZPJRROWEOY-UHFFFAOYSA-N 1 2 300.362 1.105 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@H](C)C(=O)NC[C@H]2CCCO2)n1 ZINC000279672007 409246505 /nfs/dbraw/zinc/24/65/05/409246505.db2.gz FIPLEGDNDYWHRA-GDBMZVCRSA-N 1 2 318.421 1.022 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@H](C)C(=O)NC[C@H]2CCCO2)n1 ZINC000279672007 409246507 /nfs/dbraw/zinc/24/65/07/409246507.db2.gz FIPLEGDNDYWHRA-GDBMZVCRSA-N 1 2 318.421 1.022 20 30 DDEDLO COc1cccc(CN(C)C(=O)CO[NH+]=C(N)[C@H]2CCCO2)c1 ZINC000284013500 409257775 /nfs/dbraw/zinc/25/77/75/409257775.db2.gz HTSCOQBGHDEMGK-CQSZACIVSA-N 1 2 321.377 1.121 20 30 DDEDLO CN(C)C1(C#N)CCN(C(=O)Nc2ccn3cc[nH+]c3c2)CC1 ZINC000289532086 409281507 /nfs/dbraw/zinc/28/15/07/409281507.db2.gz AHCKBANSPAYXLA-UHFFFAOYSA-N 1 2 312.377 1.786 20 30 DDEDLO CC(C)n1nccc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000280656996 409395745 /nfs/dbraw/zinc/39/57/45/409395745.db2.gz TUNHTWLFPCZOJG-UHFFFAOYSA-N 1 2 305.426 1.512 20 30 DDEDLO Cc1cc(C(N)=[NH+]OC[C@H]2CCCS(=O)(=O)C2)ccc1F ZINC000284406349 409341607 /nfs/dbraw/zinc/34/16/07/409341607.db2.gz NJAGPNMZVAAJQH-LLVKDONJSA-N 1 2 314.382 1.596 20 30 DDEDLO COc1ccccc1CN(C)C(=O)CO[NH+]=C(N)[C@H]1CCCO1 ZINC000284529966 409347806 /nfs/dbraw/zinc/34/78/06/409347806.db2.gz UZDYWZNMNWCOFE-CQSZACIVSA-N 1 2 321.377 1.121 20 30 DDEDLO C=CCN(C)C(=O)C(=O)NCc1ccc(N(CC)CC)[nH+]c1 ZINC000284572806 409352303 /nfs/dbraw/zinc/35/23/03/409352303.db2.gz UYKZWZKPNSAARQ-UHFFFAOYSA-N 1 2 304.394 1.188 20 30 DDEDLO NC(=[NH+]OC[C@H]1CCCS(=O)(=O)C1)c1ccc(F)c(F)c1 ZINC000284605945 409355693 /nfs/dbraw/zinc/35/56/93/409355693.db2.gz YRWFQUYAOCGUMQ-SECBINFHSA-N 1 2 318.345 1.427 20 30 DDEDLO C=CC[C@H](C)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000354435148 409851475 /nfs/dbraw/zinc/85/14/75/409851475.db2.gz RAIBRKMWUGLTAD-JSGCOSHPSA-N 1 2 306.410 1.564 20 30 DDEDLO C=CC[C@H](C)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000354435148 409851479 /nfs/dbraw/zinc/85/14/79/409851479.db2.gz RAIBRKMWUGLTAD-JSGCOSHPSA-N 1 2 306.410 1.564 20 30 DDEDLO CC(C)(CNC(=O)N[C@@H]1CSC1(C)C)[NH+]1CCOCC1 ZINC000328743973 409968891 /nfs/dbraw/zinc/96/88/91/409968891.db2.gz NSBNGAAMLGAVNS-LLVKDONJSA-N 1 2 301.456 1.495 20 30 DDEDLO O=C(NC1CC1)[C@H]1CCCN1C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000328941783 410016280 /nfs/dbraw/zinc/01/62/80/410016280.db2.gz IFZYNJJRUWMUMB-BXUZGUMPSA-N 1 2 302.378 1.555 20 30 DDEDLO Cc1ccc(NC(=O)N2CCC(N3CN=NC3=O)CC2)c(C)[nH+]1 ZINC000328967336 410024072 /nfs/dbraw/zinc/02/40/72/410024072.db2.gz NIINUASNPBBXNO-UHFFFAOYSA-N 1 2 316.365 1.878 20 30 DDEDLO CC(=O)N[C@H](CC1CCC1)C(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000328877910 410000303 /nfs/dbraw/zinc/00/03/03/410000303.db2.gz KUIJYSCUTGIQNB-OAGGEKHMSA-N 1 2 323.437 1.453 20 30 DDEDLO CC(=O)N[C@H](CC1CCC1)C(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000328877910 410000308 /nfs/dbraw/zinc/00/03/08/410000308.db2.gz KUIJYSCUTGIQNB-OAGGEKHMSA-N 1 2 323.437 1.453 20 30 DDEDLO CN1CCOC[C@H]1C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000329056329 410074946 /nfs/dbraw/zinc/07/49/46/410074946.db2.gz XXVLVVFYOKZKFU-VIFPVBQESA-N 1 2 306.288 1.233 20 30 DDEDLO CCCc1csc(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)n1 ZINC000329189739 410156100 /nfs/dbraw/zinc/15/61/00/410156100.db2.gz CCSRLUGQDNAROG-RYUDHWBXSA-N 1 2 310.423 1.656 20 30 DDEDLO CCCc1csc(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)n1 ZINC000329189739 410156103 /nfs/dbraw/zinc/15/61/03/410156103.db2.gz CCSRLUGQDNAROG-RYUDHWBXSA-N 1 2 310.423 1.656 20 30 DDEDLO C#CC[NH+](CC#C)[C@@H](C)C(=O)N(C)Cc1ccc(OC)c(F)c1 ZINC000298337894 410185883 /nfs/dbraw/zinc/18/58/83/410185883.db2.gz AFMMYUJFBLAVTB-AWEZNQCLSA-N 1 2 316.376 1.750 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000357764285 410237825 /nfs/dbraw/zinc/23/78/25/410237825.db2.gz VRMDKDWABFWAGT-AWEZNQCLSA-N 1 2 306.410 1.046 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000355055283 410273477 /nfs/dbraw/zinc/27/34/77/410273477.db2.gz AMHMVFFBTYNYHO-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000355055283 410273480 /nfs/dbraw/zinc/27/34/80/410273480.db2.gz AMHMVFFBTYNYHO-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO CSc1cc(CNC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)ccn1 ZINC000329544277 410355064 /nfs/dbraw/zinc/35/50/64/410355064.db2.gz FWVLNNQVOZAVQL-QWHCGFSZSA-N 1 2 322.434 1.232 20 30 DDEDLO CSc1cc(CNC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)ccn1 ZINC000329544277 410355067 /nfs/dbraw/zinc/35/50/67/410355067.db2.gz FWVLNNQVOZAVQL-QWHCGFSZSA-N 1 2 322.434 1.232 20 30 DDEDLO O=C(N[C@@H]1CCc2[nH+]c[nH]c2C1)N1CCOC[C@@H]1[C@H]1CCCO1 ZINC000329507109 410332635 /nfs/dbraw/zinc/33/26/35/410332635.db2.gz ASMWVIVMWFYUHQ-KCPJHIHWSA-N 1 2 320.393 1.061 20 30 DDEDLO O=C(N[C@@H]1CCc2[nH]c[nH+]c2C1)N1CCOC[C@@H]1[C@H]1CCCO1 ZINC000329507109 410332642 /nfs/dbraw/zinc/33/26/42/410332642.db2.gz ASMWVIVMWFYUHQ-KCPJHIHWSA-N 1 2 320.393 1.061 20 30 DDEDLO CC(C)(C(=O)NCc1ccn2cc[nH+]c2c1)N1CCOCC1 ZINC000329534931 410347119 /nfs/dbraw/zinc/34/71/19/410347119.db2.gz LAPWOSDFHNQRBF-UHFFFAOYSA-N 1 2 302.378 1.902 20 30 DDEDLO CC(=O)N1CCC(NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)CC1 ZINC000329427505 410292698 /nfs/dbraw/zinc/29/26/98/410292698.db2.gz HIRJQXUPLAOUCS-INIZCTEOSA-N 1 2 323.437 1.597 20 30 DDEDLO CC(=O)N1CCC(NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)CC1 ZINC000329427505 410292705 /nfs/dbraw/zinc/29/27/05/410292705.db2.gz HIRJQXUPLAOUCS-INIZCTEOSA-N 1 2 323.437 1.597 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@H]3CCc4c3cccc4O)C[C@@H]21 ZINC000329662435 410404544 /nfs/dbraw/zinc/40/45/44/410404544.db2.gz OUQHFMUTSRZFNS-OFQRWUPVSA-N 1 2 317.389 1.308 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@H]3CCc4c3cccc4O)C[C@@H]21 ZINC000329662435 410404548 /nfs/dbraw/zinc/40/45/48/410404548.db2.gz OUQHFMUTSRZFNS-OFQRWUPVSA-N 1 2 317.389 1.308 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2C[C@H](C)[N@H+](C)C[C@H]2C)cc1C#N ZINC000352007709 410409162 /nfs/dbraw/zinc/40/91/62/410409162.db2.gz YJAQRQPHKKLVIB-VHSXEESVSA-N 1 2 320.418 1.545 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2C[C@H](C)[N@@H+](C)C[C@H]2C)cc1C#N ZINC000352007709 410409167 /nfs/dbraw/zinc/40/91/67/410409167.db2.gz YJAQRQPHKKLVIB-VHSXEESVSA-N 1 2 320.418 1.545 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCCO1)[N@@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000330089271 410540503 /nfs/dbraw/zinc/54/05/03/410540503.db2.gz VSSSRYFNJXDHEI-HGTKMLMNSA-N 1 2 312.410 1.390 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCCO1)[N@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000330089271 410540508 /nfs/dbraw/zinc/54/05/08/410540508.db2.gz VSSSRYFNJXDHEI-HGTKMLMNSA-N 1 2 312.410 1.390 20 30 DDEDLO COCc1ccc(CNC(=O)C[NH+]2CCC(F)(C#N)CC2)cc1 ZINC000352484037 410659920 /nfs/dbraw/zinc/65/99/20/410659920.db2.gz KSNLXYBPOALYIY-UHFFFAOYSA-N 1 2 319.380 1.777 20 30 DDEDLO CC[C@@H](C)[N@H+](CC(=O)NC1(C#N)CCCCC1)CC(=O)OC ZINC000337248290 410672814 /nfs/dbraw/zinc/67/28/14/410672814.db2.gz POKLZWBUNFNDDM-CYBMUJFWSA-N 1 2 309.410 1.603 20 30 DDEDLO CC[C@@H](C)[N@@H+](CC(=O)NC1(C#N)CCCCC1)CC(=O)OC ZINC000337248290 410672820 /nfs/dbraw/zinc/67/28/20/410672820.db2.gz POKLZWBUNFNDDM-CYBMUJFWSA-N 1 2 309.410 1.603 20 30 DDEDLO N#C[C@H]1CSCCN1C(=O)C1CC[NH+](CC(F)F)CC1 ZINC000355639602 410621204 /nfs/dbraw/zinc/62/12/04/410621204.db2.gz XLRICXBKSJKLHC-NSHDSACASA-N 1 2 303.378 1.431 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(c2ccc(Cl)c(C#N)n2)CC1 ZINC000301559973 410744244 /nfs/dbraw/zinc/74/42/44/410744244.db2.gz XRCQJSALIDMHQM-UHFFFAOYSA-N 1 2 322.796 1.682 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(c2ccc(Cl)c(C#N)n2)CC1 ZINC000301559973 410744251 /nfs/dbraw/zinc/74/42/51/410744251.db2.gz XRCQJSALIDMHQM-UHFFFAOYSA-N 1 2 322.796 1.682 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](CC(=C)C)Cc2ccc(CO)o2)C1=O ZINC000352800024 410680588 /nfs/dbraw/zinc/68/05/88/410680588.db2.gz NVDNGWXPDCKFTQ-MRXNPFEDSA-N 1 2 304.390 1.937 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](CC(=C)C)Cc2ccc(CO)o2)C1=O ZINC000352800024 410680594 /nfs/dbraw/zinc/68/05/94/410680594.db2.gz NVDNGWXPDCKFTQ-MRXNPFEDSA-N 1 2 304.390 1.937 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1[C@H]1CCC[C@H]1O ZINC000330550393 410768483 /nfs/dbraw/zinc/76/84/83/410768483.db2.gz DTXROUBHOQFOLX-BZUAXINKSA-N 1 2 310.438 1.273 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1[C@H]1CCC[C@H]1O ZINC000330550393 410768487 /nfs/dbraw/zinc/76/84/87/410768487.db2.gz DTXROUBHOQFOLX-BZUAXINKSA-N 1 2 310.438 1.273 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@@H+]1Cc1cccc(C#N)c1F ZINC000330635000 410842207 /nfs/dbraw/zinc/84/22/07/410842207.db2.gz CKFNHEURVRCLSM-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@H+]1Cc1cccc(C#N)c1F ZINC000330635000 410842211 /nfs/dbraw/zinc/84/22/11/410842211.db2.gz CKFNHEURVRCLSM-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO N#Cc1cccc(NCC(=O)N2CC[NH2+][C@H](c3ccncc3)C2)c1 ZINC000337596417 410886048 /nfs/dbraw/zinc/88/60/48/410886048.db2.gz PDMYMXOPCDWTOH-KRWDZBQOSA-N 1 2 321.384 1.538 20 30 DDEDLO C[C@H]1CC[C@H](C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)O1 ZINC000331054222 410921296 /nfs/dbraw/zinc/92/12/96/410921296.db2.gz NXSPYIBPPSEHIV-WMLDXEAASA-N 1 2 313.401 1.770 20 30 DDEDLO Cc1ccc2[nH+]c(CNS(=O)(=O)c3ccc(C#N)o3)cn2c1 ZINC000344310377 411085208 /nfs/dbraw/zinc/08/52/08/411085208.db2.gz SQOFHZCCMPIISR-UHFFFAOYSA-N 1 2 316.342 1.586 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)C(=O)Nc1cccc(C#N)c1 ZINC000129797358 196076386 /nfs/dbraw/zinc/07/63/86/196076386.db2.gz QCCYVATXBOEJAD-UHFFFAOYSA-N 1 2 311.345 1.242 20 30 DDEDLO C=C[C@@H](C)NC(=O)c1ccc(NCC[NH+]2CCOCC2)c(F)c1 ZINC000631756084 422907599 /nfs/dbraw/zinc/90/75/99/422907599.db2.gz LYNJHHYTRSUECU-CYBMUJFWSA-N 1 2 321.396 1.874 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)c1ccc(F)cc1C#N ZINC000134541600 196399961 /nfs/dbraw/zinc/39/99/61/196399961.db2.gz QDAPQIQJSHKIEW-UHFFFAOYSA-N 1 2 322.365 1.605 20 30 DDEDLO C[C@@H](CNc1cc(C#N)nc(C2CC2)n1)[NH+]1CCN(C)CC1 ZINC000601111455 416621583 /nfs/dbraw/zinc/62/15/83/416621583.db2.gz SBPMYZSSNJUCGB-LBPRGKRZSA-N 1 2 300.410 1.273 20 30 DDEDLO COCc1cc(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)no1 ZINC000297377071 227086304 /nfs/dbraw/zinc/08/63/04/227086304.db2.gz IDTHXVHVMARFHI-CQSZACIVSA-N 1 2 314.345 1.741 20 30 DDEDLO COCc1cc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)no1 ZINC000297377071 227086308 /nfs/dbraw/zinc/08/63/08/227086308.db2.gz IDTHXVHVMARFHI-CQSZACIVSA-N 1 2 314.345 1.741 20 30 DDEDLO CC#CCC[N@@H+](C)Cc1nnnn1-c1cc(OC)cc(OC)c1 ZINC000360438973 418434543 /nfs/dbraw/zinc/43/45/43/418434543.db2.gz UOFVIRNOFNIPDV-UHFFFAOYSA-N 1 2 315.377 1.525 20 30 DDEDLO CC#CCC[N@H+](C)Cc1nnnn1-c1cc(OC)cc(OC)c1 ZINC000360438973 418434545 /nfs/dbraw/zinc/43/45/45/418434545.db2.gz UOFVIRNOFNIPDV-UHFFFAOYSA-N 1 2 315.377 1.525 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)c1cnn(CC[NH+]2CCOCC2)c1 ZINC000373957322 418476465 /nfs/dbraw/zinc/47/64/65/418476465.db2.gz UJVIHVINWLQDGA-OAHLLOKOSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)N1CCn2cc(C)[nH+]c2C1 ZINC000366713289 418507407 /nfs/dbraw/zinc/50/74/07/418507407.db2.gz ZERIUBYWJCZWIW-UKRRQHHQSA-N 1 2 304.394 1.698 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](S(=O)(=O)NC3CC3)C2)s1 ZINC000374252812 418509674 /nfs/dbraw/zinc/50/96/74/418509674.db2.gz AGGNHWPURNZDBC-CYBMUJFWSA-N 1 2 311.432 1.276 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](S(=O)(=O)NC3CC3)C2)s1 ZINC000374252812 418509676 /nfs/dbraw/zinc/50/96/76/418509676.db2.gz AGGNHWPURNZDBC-CYBMUJFWSA-N 1 2 311.432 1.276 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@@](F)(c2ccccc2C)C1 ZINC000374338331 418520150 /nfs/dbraw/zinc/52/01/50/418520150.db2.gz SWIUDTGZLHEHID-KRWDZBQOSA-N 1 2 319.380 1.877 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@@](F)(c2ccccc2C)C1 ZINC000374338331 418520153 /nfs/dbraw/zinc/52/01/53/418520153.db2.gz SWIUDTGZLHEHID-KRWDZBQOSA-N 1 2 319.380 1.877 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN([C@H](C)c2nccs2)CC1 ZINC000184619660 221940529 /nfs/dbraw/zinc/94/05/29/221940529.db2.gz HTFLKUPGZKVPRK-QWHCGFSZSA-N 1 2 308.451 1.512 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCC(c3n[nH]c(CC)n3)CC2)C1=O ZINC000366865516 418528547 /nfs/dbraw/zinc/52/85/47/418528547.db2.gz OMLXKAGIXXGWMP-CYBMUJFWSA-N 1 2 303.410 1.333 20 30 DDEDLO N#CCCCC(=O)N1C[C@H]2OCC[N@H+](Cc3ccccc3)[C@H]2C1 ZINC000360583135 418470698 /nfs/dbraw/zinc/47/06/98/418470698.db2.gz ODZVOHHAMJJJQH-DLBZAZTESA-N 1 2 313.401 1.792 20 30 DDEDLO N#CCCCC(=O)N1C[C@H]2OCC[N@@H+](Cc3ccccc3)[C@H]2C1 ZINC000360583135 418470701 /nfs/dbraw/zinc/47/07/01/418470701.db2.gz ODZVOHHAMJJJQH-DLBZAZTESA-N 1 2 313.401 1.792 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC=C(c2cccnc2)CC1 ZINC000374875615 418573732 /nfs/dbraw/zinc/57/37/32/418573732.db2.gz ARVOUIJATWWAGV-UHFFFAOYSA-N 1 2 300.362 1.183 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC=C(c2cccnc2)CC1 ZINC000374875615 418573735 /nfs/dbraw/zinc/57/37/35/418573735.db2.gz ARVOUIJATWWAGV-UHFFFAOYSA-N 1 2 300.362 1.183 20 30 DDEDLO C[C@@H](O)CN1CC[NH+](Cc2ccccc2C(C)(C)C#N)CC1 ZINC000189359674 222042434 /nfs/dbraw/zinc/04/24/34/222042434.db2.gz CMKRFKYRQORMNW-OAHLLOKOSA-N 1 2 301.434 1.986 20 30 DDEDLO C#CCCCC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000192099171 222119170 /nfs/dbraw/zinc/11/91/70/222119170.db2.gz IGSFIZHCGFGMKY-SFHVURJKSA-N 1 2 314.429 1.850 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N[C@H](C)c1cc(OC)c(OC)cc1C ZINC000192235971 222123792 /nfs/dbraw/zinc/12/37/92/222123792.db2.gz YINYYCIZYYTVLP-CYBMUJFWSA-N 1 2 304.390 1.754 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N[C@H](C)c1cc(OC)c(OC)cc1C ZINC000192235971 222123794 /nfs/dbraw/zinc/12/37/94/222123794.db2.gz YINYYCIZYYTVLP-CYBMUJFWSA-N 1 2 304.390 1.754 20 30 DDEDLO C=C(Br)C[NH+]1CCC(c2ccnn2CCO)CC1 ZINC000195513445 222203119 /nfs/dbraw/zinc/20/31/19/222203119.db2.gz IHFJULORLZVQFW-UHFFFAOYSA-N 1 2 314.227 1.963 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](C)[C@H]1CCN(c2ccccc2F)C1=O ZINC000246650253 222223714 /nfs/dbraw/zinc/22/37/14/222223714.db2.gz YXWDAXYKEVPGIF-WFASDCNBSA-N 1 2 319.380 1.554 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](C)[C@H]1CCN(c2ccccc2F)C1=O ZINC000246650253 222223719 /nfs/dbraw/zinc/22/37/19/222223719.db2.gz YXWDAXYKEVPGIF-WFASDCNBSA-N 1 2 319.380 1.554 20 30 DDEDLO C=CCCS(=O)(=O)N1CCC[C@@H]1C[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000246965684 222226428 /nfs/dbraw/zinc/22/64/28/222226428.db2.gz ITKONFMWOXZPHP-RBSFLKMASA-N 1 2 316.467 1.466 20 30 DDEDLO Cc1noc([C@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)n1 ZINC000249749910 222241928 /nfs/dbraw/zinc/24/19/28/222241928.db2.gz BZWPKWBTMTUFPR-BLLLJJGKSA-N 1 2 317.393 1.366 20 30 DDEDLO Cc1noc([C@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)n1 ZINC000249749910 222241932 /nfs/dbraw/zinc/24/19/32/222241932.db2.gz BZWPKWBTMTUFPR-BLLLJJGKSA-N 1 2 317.393 1.366 20 30 DDEDLO [NH2+]=C(NCc1nc(-c2ccncc2)n[nH]1)NC1CCCCCC1 ZINC000263058295 222303070 /nfs/dbraw/zinc/30/30/70/222303070.db2.gz FFNUEROHHNLDLH-UHFFFAOYSA-N 1 2 313.409 1.994 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@H](O)c1ccc(F)cc1 ZINC000267056591 222368618 /nfs/dbraw/zinc/36/86/18/222368618.db2.gz HQYFWZIDZFWXFO-KRWDZBQOSA-N 1 2 321.396 1.407 20 30 DDEDLO N#Cc1c(NC(=O)[C@@H]2CC[N@@H+]2Cc2ccccc2)n[nH]c1C1CC1 ZINC000377083453 418705320 /nfs/dbraw/zinc/70/53/20/418705320.db2.gz QUHKEVCPIIBHKY-HNNXBMFYSA-N 1 2 321.384 2.372 20 30 DDEDLO N#Cc1c(NC(=O)[C@@H]2CC[N@H+]2Cc2ccccc2)n[nH]c1C1CC1 ZINC000377083453 418705322 /nfs/dbraw/zinc/70/53/22/418705322.db2.gz QUHKEVCPIIBHKY-HNNXBMFYSA-N 1 2 321.384 2.372 20 30 DDEDLO C=CCN(C(=O)c1cnn(CC[NH+]2CCOCC2)c1)C1CC1 ZINC000368582824 418721882 /nfs/dbraw/zinc/72/18/82/418721882.db2.gz LTQZSDNKQDQCRL-UHFFFAOYSA-N 1 2 304.394 1.006 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)CCC1 ZINC000377757698 418715060 /nfs/dbraw/zinc/71/50/60/418715060.db2.gz COKQNKPDSFGQPY-ZFWWWQNUSA-N 1 2 303.406 1.582 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)CCC1 ZINC000377757698 418715061 /nfs/dbraw/zinc/71/50/61/418715061.db2.gz COKQNKPDSFGQPY-ZFWWWQNUSA-N 1 2 303.406 1.582 20 30 DDEDLO N#Cc1c2c(sc1NC(=O)CC[N@@H+]1CCO[C@H]3C[C@H]31)CCC2 ZINC000368327406 418719294 /nfs/dbraw/zinc/71/92/94/418719294.db2.gz FXHVJCSYBZLEFB-OLZOCXBDSA-N 1 2 317.414 1.910 20 30 DDEDLO N#Cc1c2c(sc1NC(=O)CC[N@H+]1CCO[C@H]3C[C@H]31)CCC2 ZINC000368327406 418719295 /nfs/dbraw/zinc/71/92/95/418719295.db2.gz FXHVJCSYBZLEFB-OLZOCXBDSA-N 1 2 317.414 1.910 20 30 DDEDLO N#CCc1cccc(C(=O)N2CC[NH2+][C@@H](c3ccncc3)C2)c1 ZINC000368526175 418721075 /nfs/dbraw/zinc/72/10/75/418721075.db2.gz HBXUYNSLSIDOKK-QGZVFWFLSA-N 1 2 306.369 1.934 20 30 DDEDLO CC(C)[C@@]1(CO)CC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000368068532 418683895 /nfs/dbraw/zinc/68/38/95/418683895.db2.gz IRPSANYXHPHKPL-HNNXBMFYSA-N 1 2 307.419 1.899 20 30 DDEDLO CC(C)[C@@]1(CO)CC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000368068532 418683897 /nfs/dbraw/zinc/68/38/97/418683897.db2.gz IRPSANYXHPHKPL-HNNXBMFYSA-N 1 2 307.419 1.899 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1C[C@]2(CCOC2)OC(C)(C)C1 ZINC000376018677 418691552 /nfs/dbraw/zinc/69/15/52/418691552.db2.gz KDXBKAFQJGQHAP-SJORKVTESA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1C[C@]2(CCOC2)OC(C)(C)C1 ZINC000376018677 418691554 /nfs/dbraw/zinc/69/15/54/418691554.db2.gz KDXBKAFQJGQHAP-SJORKVTESA-N 1 2 323.437 1.311 20 30 DDEDLO N#Cc1cncnc1NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000382073585 418730410 /nfs/dbraw/zinc/73/04/10/418730410.db2.gz AGVYFCVRVYZPBR-INIZCTEOSA-N 1 2 309.373 1.083 20 30 DDEDLO N#Cc1cncnc1NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000382073585 418730412 /nfs/dbraw/zinc/73/04/12/418730412.db2.gz AGVYFCVRVYZPBR-INIZCTEOSA-N 1 2 309.373 1.083 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)N(C)c1ccccc1C(=O)OC ZINC000361995400 418736040 /nfs/dbraw/zinc/73/60/40/418736040.db2.gz GFNJGGBHHPFPHS-UHFFFAOYSA-N 1 2 320.389 1.570 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)N(C)c1ccccc1C(=O)OC ZINC000361995400 418736042 /nfs/dbraw/zinc/73/60/42/418736042.db2.gz GFNJGGBHHPFPHS-UHFFFAOYSA-N 1 2 320.389 1.570 20 30 DDEDLO CN(C(=O)C[N@@H+]1CC[C@H]2CCN(C)C[C@@H]21)C1(C#N)CCCCC1 ZINC000370204763 418742070 /nfs/dbraw/zinc/74/20/70/418742070.db2.gz TWXPCTPUNFEZJT-CVEARBPZSA-N 1 2 318.465 1.697 20 30 DDEDLO CN(C(=O)C[N@H+]1CC[C@H]2CCN(C)C[C@@H]21)C1(C#N)CCCCC1 ZINC000370204763 418742072 /nfs/dbraw/zinc/74/20/72/418742072.db2.gz TWXPCTPUNFEZJT-CVEARBPZSA-N 1 2 318.465 1.697 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@H+](CCC#N)CCC(C)C)CC1 ZINC000362173307 418750076 /nfs/dbraw/zinc/75/00/76/418750076.db2.gz LSJHOFKXSRTAOA-UHFFFAOYSA-N 1 2 323.437 1.660 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@@H+](CCC#N)CCC(C)C)CC1 ZINC000362173307 418750078 /nfs/dbraw/zinc/75/00/78/418750078.db2.gz LSJHOFKXSRTAOA-UHFFFAOYSA-N 1 2 323.437 1.660 20 30 DDEDLO CC(C)CC[N@H+](CCC#N)C[C@@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000362172864 418750363 /nfs/dbraw/zinc/75/03/63/418750363.db2.gz IKVNAJJAQJCKBA-IXDOHACOSA-N 1 2 311.470 1.718 20 30 DDEDLO CC(C)CC[N@@H+](CCC#N)C[C@@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000362172864 418750366 /nfs/dbraw/zinc/75/03/66/418750366.db2.gz IKVNAJJAQJCKBA-IXDOHACOSA-N 1 2 311.470 1.718 20 30 DDEDLO N#C[C@@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)nc2)CCN1C1CC1 ZINC000370999130 418756268 /nfs/dbraw/zinc/75/62/68/418756268.db2.gz LQZMBYXQNZPXJF-OAHLLOKOSA-N 1 2 322.372 1.080 20 30 DDEDLO Cc1n[nH]c(C2CC[NH+](CC(=O)N[C@](C)(C#N)C3CC3)CC2)n1 ZINC000362870324 418760408 /nfs/dbraw/zinc/76/04/08/418760408.db2.gz AKLMAWBWTDHADN-MRXNPFEDSA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1nc(C2CC[NH+](CC(=O)N[C@](C)(C#N)C3CC3)CC2)n[nH]1 ZINC000362870324 418760410 /nfs/dbraw/zinc/76/04/10/418760410.db2.gz AKLMAWBWTDHADN-MRXNPFEDSA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1nnc(C2CC[NH+](CC(=O)N[C@](C)(C#N)C3CC3)CC2)[nH]1 ZINC000362870324 418760413 /nfs/dbraw/zinc/76/04/13/418760413.db2.gz AKLMAWBWTDHADN-MRXNPFEDSA-N 1 2 316.409 1.101 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[NH+]1CCN(c2cccc(Cl)c2)CC1 ZINC000361915203 418725036 /nfs/dbraw/zinc/72/50/36/418725036.db2.gz HXTWBAFTAKLBEM-ZDUSSCGKSA-N 1 2 320.824 1.738 20 30 DDEDLO Cc1[nH+]c2ccccn2c1[C@@H]1C(=O)NCCN1CCCCC#N ZINC000365161065 418841118 /nfs/dbraw/zinc/84/11/18/418841118.db2.gz CRZMXNNFJWEAOR-MRXNPFEDSA-N 1 2 311.389 1.810 20 30 DDEDLO CC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000420982091 419405814 /nfs/dbraw/zinc/40/58/14/419405814.db2.gz GSTNYWDIKGIYAM-ZDUSSCGKSA-N 1 2 320.780 1.361 20 30 DDEDLO CC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000420982091 419405819 /nfs/dbraw/zinc/40/58/19/419405819.db2.gz GSTNYWDIKGIYAM-ZDUSSCGKSA-N 1 2 320.780 1.361 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1C[C@H](CO)OC(C)(C)C1 ZINC000420940854 419368957 /nfs/dbraw/zinc/36/89/57/419368957.db2.gz OTNVSJTYGUKWHH-CQSZACIVSA-N 1 2 320.389 1.417 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1C[C@H](CO)OC(C)(C)C1 ZINC000420940854 419368963 /nfs/dbraw/zinc/36/89/63/419368963.db2.gz OTNVSJTYGUKWHH-CQSZACIVSA-N 1 2 320.389 1.417 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)c2ncc(C#N)cc2Cl)CCO1 ZINC000428067544 419805488 /nfs/dbraw/zinc/80/54/88/419805488.db2.gz UTRFXKIMZQHLAH-LBPRGKRZSA-N 1 2 322.796 1.447 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)c2ncc(C#N)cc2Cl)CCO1 ZINC000428067544 419805495 /nfs/dbraw/zinc/80/54/95/419805495.db2.gz UTRFXKIMZQHLAH-LBPRGKRZSA-N 1 2 322.796 1.447 20 30 DDEDLO C[C@@H](O)[C@H]1CCN(c2cc(N[C@@H]3CC[C@H](C#N)C3)[nH+]cn2)C1 ZINC000425276831 420336516 /nfs/dbraw/zinc/33/65/16/420336516.db2.gz WKAQPTHMWYGPOU-ZOBORPQBSA-N 1 2 301.394 1.788 20 30 DDEDLO C[C@@H](O)[C@H]1CCN(c2cc(N[C@@H]3CC[C@H](C#N)C3)nc[nH+]2)C1 ZINC000425276831 420336518 /nfs/dbraw/zinc/33/65/18/420336518.db2.gz WKAQPTHMWYGPOU-ZOBORPQBSA-N 1 2 301.394 1.788 20 30 DDEDLO C=CC[C@@H](C(=O)N[C@@H](CO)C[NH+]1CCOCC1)c1ccccc1 ZINC000425294781 420342232 /nfs/dbraw/zinc/34/22/32/420342232.db2.gz NXQCGQHOCLZQGD-IAGOWNOFSA-N 1 2 318.417 1.156 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)NCCCNc1cccc[nH+]1 ZINC000425443579 420386204 /nfs/dbraw/zinc/38/62/04/420386204.db2.gz AMWRKFYYQJJHPO-KBPBESRZSA-N 1 2 304.394 1.774 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CCC[C@@H](c2[nH+]cc3n2CCCC3)C1 ZINC000416528832 420407716 /nfs/dbraw/zinc/40/77/16/420407716.db2.gz QYFPRTOKKSWNIQ-CHWSQXEVSA-N 1 2 322.434 1.641 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[N@H+](C)Cc1nc(N)nc(N(C)C)n1 ZINC000425534189 420411751 /nfs/dbraw/zinc/41/17/51/420411751.db2.gz LPVOKYPADVZDQB-NSHDSACASA-N 1 2 311.393 1.584 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[N@@H+](C)Cc1nc(N)nc(N(C)C)n1 ZINC000425534189 420411755 /nfs/dbraw/zinc/41/17/55/420411755.db2.gz LPVOKYPADVZDQB-NSHDSACASA-N 1 2 311.393 1.584 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCSC[C@@H]2CCO)c1 ZINC000438642829 420463007 /nfs/dbraw/zinc/46/30/07/420463007.db2.gz BZWUYHKORXENRQ-AWEZNQCLSA-N 1 2 305.403 1.297 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCSC[C@@H]2CCO)c1 ZINC000438642829 420463010 /nfs/dbraw/zinc/46/30/10/420463010.db2.gz BZWUYHKORXENRQ-AWEZNQCLSA-N 1 2 305.403 1.297 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2Cl)C1 ZINC000439458209 420512943 /nfs/dbraw/zinc/51/29/43/420512943.db2.gz SDEMTDZBKUPMJQ-GFCCVEGCSA-N 1 2 313.810 1.335 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2Cl)C1 ZINC000439458209 420512945 /nfs/dbraw/zinc/51/29/45/420512945.db2.gz SDEMTDZBKUPMJQ-GFCCVEGCSA-N 1 2 313.810 1.335 20 30 DDEDLO C=CCC[C@@H](CO)Nc1nc(NC(C)(C)C)nc(NCC)[nH+]1 ZINC000450912967 420560098 /nfs/dbraw/zinc/56/00/98/420560098.db2.gz MBGBDIVKZPMYHV-NSHDSACASA-N 1 2 308.430 1.097 20 30 DDEDLO C=CCC[C@@H](CO)Nc1nc(NCC)[nH+]c(NC(C)(C)C)n1 ZINC000450912967 420560103 /nfs/dbraw/zinc/56/01/03/420560103.db2.gz MBGBDIVKZPMYHV-NSHDSACASA-N 1 2 308.430 1.097 20 30 DDEDLO C=CCC[C@@H](CO)Nc1nc(NCC)nc(NC(C)(C)C)[nH+]1 ZINC000450912967 420560106 /nfs/dbraw/zinc/56/01/06/420560106.db2.gz MBGBDIVKZPMYHV-NSHDSACASA-N 1 2 308.430 1.097 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(C#N)c(F)c1 ZINC000454643724 420900233 /nfs/dbraw/zinc/90/02/33/420900233.db2.gz GVBKOUNECUUHSR-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(C#N)c(F)c1 ZINC000454643724 420900237 /nfs/dbraw/zinc/90/02/37/420900237.db2.gz GVBKOUNECUUHSR-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000448590141 420867031 /nfs/dbraw/zinc/86/70/31/420867031.db2.gz AOEXIPQZYTWBMT-UHFFFAOYSA-N 1 2 324.384 1.981 20 30 DDEDLO CCn1nnc(C)c1C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000449011420 420935189 /nfs/dbraw/zinc/93/51/89/420935189.db2.gz ZGOLQYXXJMFUFK-UHFFFAOYSA-N 1 2 311.393 1.195 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000455388582 421006120 /nfs/dbraw/zinc/00/61/20/421006120.db2.gz RTGCZPYIPDKFDS-CYBMUJFWSA-N 1 2 305.426 1.630 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCC[C@H](OC(=O)N(C)C)C2)C1=O ZINC000496146843 421139429 /nfs/dbraw/zinc/13/94/29/421139429.db2.gz MSNVIDAEEYRMJU-ZDUSSCGKSA-N 1 2 310.398 1.030 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCC[C@H](OC(=O)N(C)C)C2)C1=O ZINC000496146843 421139432 /nfs/dbraw/zinc/13/94/32/421139432.db2.gz MSNVIDAEEYRMJU-ZDUSSCGKSA-N 1 2 310.398 1.030 20 30 DDEDLO COCC[N@H+](CC(=O)Nc1oc(C)c(C)c1C#N)[C@H]1CCOC1 ZINC000490071892 421188319 /nfs/dbraw/zinc/18/83/19/421188319.db2.gz MGKXIOHDVQPNRV-ZDUSSCGKSA-N 1 2 321.377 1.444 20 30 DDEDLO COCC[N@@H+](CC(=O)Nc1oc(C)c(C)c1C#N)[C@H]1CCOC1 ZINC000490071892 421188322 /nfs/dbraw/zinc/18/83/22/421188322.db2.gz MGKXIOHDVQPNRV-ZDUSSCGKSA-N 1 2 321.377 1.444 20 30 DDEDLO C#CC[N@H+](Cc1ccc(F)cc1)[C@@H](C)C(=O)NC(=O)NCC ZINC000490866432 421193433 /nfs/dbraw/zinc/19/34/33/421193433.db2.gz OVXCWCZAGMLAPI-LBPRGKRZSA-N 1 2 305.353 1.495 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(F)cc1)[C@@H](C)C(=O)NC(=O)NCC ZINC000490866432 421193435 /nfs/dbraw/zinc/19/34/35/421193435.db2.gz OVXCWCZAGMLAPI-LBPRGKRZSA-N 1 2 305.353 1.495 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)c1ccc(Cl)c(C#N)c1 ZINC000544628182 421226726 /nfs/dbraw/zinc/22/67/26/421226726.db2.gz WQFSMXVENOWXHM-UHFFFAOYSA-N 1 2 307.781 1.616 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000491473735 421197823 /nfs/dbraw/zinc/19/78/23/421197823.db2.gz HGEWSNWUEDCPTK-NVXWUHKLSA-N 1 2 315.417 1.551 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000491473735 421197824 /nfs/dbraw/zinc/19/78/24/421197824.db2.gz HGEWSNWUEDCPTK-NVXWUHKLSA-N 1 2 315.417 1.551 20 30 DDEDLO Cc1ccc(N[C@@H]2CCCN(Cc3[nH+]ccn3C)C2=O)nc1C#N ZINC000526052951 421326761 /nfs/dbraw/zinc/32/67/61/421326761.db2.gz NKDULUJRBAYEFM-CYBMUJFWSA-N 1 2 324.388 1.598 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)COCCOc1ccccc1 ZINC000565051675 421595612 /nfs/dbraw/zinc/59/56/12/421595612.db2.gz PFJJNHXEDYAWQC-MRXNPFEDSA-N 1 2 305.378 1.042 20 30 DDEDLO CN1CC[NH+](C[C@@H](Nc2nccc(C#N)n2)c2ccccc2)CC1 ZINC000556201575 421704712 /nfs/dbraw/zinc/70/47/12/421704712.db2.gz QDVXXKOMZOBJBG-QGZVFWFLSA-N 1 2 322.416 1.749 20 30 DDEDLO N#Cc1csc(C[N@H+]2CCC[C@@H](c3ccnc(N)n3)C2)n1 ZINC000571338879 421707286 /nfs/dbraw/zinc/70/72/86/421707286.db2.gz HTPGNZKUPSJKTI-SNVBAGLBSA-N 1 2 300.391 1.767 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CCC[C@@H](c3ccnc(N)n3)C2)n1 ZINC000571338879 421707288 /nfs/dbraw/zinc/70/72/88/421707288.db2.gz HTPGNZKUPSJKTI-SNVBAGLBSA-N 1 2 300.391 1.767 20 30 DDEDLO Cc1cc(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)n(CCC#N)n1 ZINC000537608496 421733270 /nfs/dbraw/zinc/73/32/70/421733270.db2.gz OLZRVLOGDBXKMK-UHFFFAOYSA-N 1 2 321.344 1.938 20 30 DDEDLO CN1CCC[C@@H]([NH+]2CCN([C@@H](C#N)c3ccccc3)CC2)C1=O ZINC000537610462 421733484 /nfs/dbraw/zinc/73/34/84/421733484.db2.gz PORDGQNTVXQDPJ-SJORKVTESA-N 1 2 312.417 1.490 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCCOCCc1ccccc1 ZINC000573210209 421932445 /nfs/dbraw/zinc/93/24/45/421932445.db2.gz DVBSKGBEYZKQJV-SFHVURJKSA-N 1 2 317.433 1.986 20 30 DDEDLO CC(=O)c1c(F)cc(F)cc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000573782428 422013779 /nfs/dbraw/zinc/01/37/79/422013779.db2.gz TUORUMCIFWJITQ-GFCCVEGCSA-N 1 2 312.316 1.753 20 30 DDEDLO CC(=O)c1c(F)cc(F)cc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000573782428 422013782 /nfs/dbraw/zinc/01/37/82/422013782.db2.gz TUORUMCIFWJITQ-GFCCVEGCSA-N 1 2 312.316 1.753 20 30 DDEDLO Cc1ccc([C@H](CNc2cc(C#N)cnn2)[NH+]2CCOCC2)cc1 ZINC000596086571 422364351 /nfs/dbraw/zinc/36/43/51/422364351.db2.gz RPCVUNBFZPTTBZ-KRWDZBQOSA-N 1 2 323.400 2.142 20 30 DDEDLO C[C@H]([C@H](C)Nc1nc(C#N)c(Cl)s1)[NH+]1CCOCC1 ZINC000596113354 422364589 /nfs/dbraw/zinc/36/45/89/422364589.db2.gz AHYDOJKCJLERFA-DTWKUNHWSA-N 1 2 300.815 2.189 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000634304885 422379469 /nfs/dbraw/zinc/37/94/69/422379469.db2.gz YVPSSCTUZCBYFF-OAHLLOKOSA-N 1 2 307.419 1.188 20 30 DDEDLO C=CCN(CCOC)C(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635847359 422404201 /nfs/dbraw/zinc/40/42/01/422404201.db2.gz VWUOLMINWAWBIF-QGZVFWFLSA-N 1 2 318.417 1.931 20 30 DDEDLO C=CCN(CCOC)C(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635847359 422404205 /nfs/dbraw/zinc/40/42/05/422404205.db2.gz VWUOLMINWAWBIF-QGZVFWFLSA-N 1 2 318.417 1.931 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000634508756 422463362 /nfs/dbraw/zinc/46/33/62/422463362.db2.gz KZRWQVQSHTZGPJ-UHFFFAOYSA-N 1 2 302.422 1.766 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000634510648 422464454 /nfs/dbraw/zinc/46/44/54/422464454.db2.gz IHQZQHNJJUBDQG-UHFFFAOYSA-N 1 2 314.433 1.932 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(C)C2CCC(C)CC2)nn1 ZINC000640856780 423233116 /nfs/dbraw/zinc/23/31/16/423233116.db2.gz GRBFMYXMHHLJFU-UHFFFAOYSA-N 1 2 317.437 1.428 20 30 DDEDLO C=CCCCCCn1cc(C[NH2+][C@H]2CCS(=O)(=O)C2)nn1 ZINC000641043581 423346797 /nfs/dbraw/zinc/34/67/97/423346797.db2.gz FMQDBPDRDHUPKS-ZDUSSCGKSA-N 1 2 312.439 1.301 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)COc2ccccc2C#N)CCO1 ZINC000194904925 263528642 /nfs/dbraw/zinc/52/86/42/263528642.db2.gz NCGWORDHSVVEDI-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)COc2ccccc2C#N)CCO1 ZINC000194904925 263528644 /nfs/dbraw/zinc/52/86/44/263528644.db2.gz NCGWORDHSVVEDI-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2csc(N(C)C3CC3)n2)nn1 ZINC000641146590 423420932 /nfs/dbraw/zinc/42/09/32/423420932.db2.gz ZFIKZODSMLOOSA-UHFFFAOYSA-N 1 2 316.434 1.494 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@H](C)O[C@@]3(CCO[C@@H]3C)C2)nn1 ZINC000653650164 423564972 /nfs/dbraw/zinc/56/49/72/423564972.db2.gz QKGOIUYYQQOVKG-LZWOXQAQSA-N 1 2 306.410 1.623 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@H](C)O[C@@]3(CCO[C@@H]3C)C2)nn1 ZINC000653650164 423564975 /nfs/dbraw/zinc/56/49/75/423564975.db2.gz QKGOIUYYQQOVKG-LZWOXQAQSA-N 1 2 306.410 1.623 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH2+][C@H](c1nccn1C)C(C)(C)CO ZINC000639771388 423724178 /nfs/dbraw/zinc/72/41/78/423724178.db2.gz BXDFHWDNWWCRGR-OAHLLOKOSA-N 1 2 322.453 1.494 20 30 DDEDLO C=CCNS(=O)(=O)c1ccccc1NCCc1cn(C)c[nH+]1 ZINC000641626929 423768135 /nfs/dbraw/zinc/76/81/35/423768135.db2.gz ICETUMQASVYGID-UHFFFAOYSA-N 1 2 320.418 1.539 20 30 DDEDLO C=C[C@H](CO)NC(=O)Nc1ccc2c(cc[nH+]c2N(C)C)c1 ZINC000640180286 424239296 /nfs/dbraw/zinc/23/92/96/424239296.db2.gz XQJSZDJZEHMGBL-GFCCVEGCSA-N 1 2 300.362 1.969 20 30 DDEDLO C=CC[N@@H+](C[C@@H](OC)C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000660070386 424500775 /nfs/dbraw/zinc/50/07/75/424500775.db2.gz PUUZGYOGVJRYSZ-HUUCEWRRSA-N 1 2 301.452 1.867 20 30 DDEDLO C=CC[N@H+](C[C@@H](OC)C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000660070386 424500781 /nfs/dbraw/zinc/50/07/81/424500781.db2.gz PUUZGYOGVJRYSZ-HUUCEWRRSA-N 1 2 301.452 1.867 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@H+]1C[C@H](C)OC[C@H]1C ZINC000665038744 424733485 /nfs/dbraw/zinc/73/34/85/424733485.db2.gz RSEFPFSLZFBMHC-BARDWOONSA-N 1 2 311.426 1.128 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@@H+]1C[C@H](C)OC[C@H]1C ZINC000665038744 424733486 /nfs/dbraw/zinc/73/34/86/424733486.db2.gz RSEFPFSLZFBMHC-BARDWOONSA-N 1 2 311.426 1.128 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@H+](Cc3ccc(F)c(C#N)c3)CCN2C1=O ZINC000371957187 266142898 /nfs/dbraw/zinc/14/28/98/266142898.db2.gz NTWWCZBMHBASFS-AWEZNQCLSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@@H+](Cc3ccc(F)c(C#N)c3)CCN2C1=O ZINC000371957187 266142900 /nfs/dbraw/zinc/14/29/00/266142900.db2.gz NTWWCZBMHBASFS-AWEZNQCLSA-N 1 2 316.336 1.166 20 30 DDEDLO CCOCCOCC[N@H+](C)[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000346683074 266279988 /nfs/dbraw/zinc/27/99/88/266279988.db2.gz FODCYDQZXINHRD-OAHLLOKOSA-N 1 2 305.378 1.070 20 30 DDEDLO CCOCCOCC[N@@H+](C)[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000346683074 266279992 /nfs/dbraw/zinc/27/99/92/266279992.db2.gz FODCYDQZXINHRD-OAHLLOKOSA-N 1 2 305.378 1.070 20 30 DDEDLO C[C@@H]1C[NH+](CCN(C)C(=O)c2ccc(F)c(C#N)c2)C[C@@H](C)O1 ZINC000355488707 267051331 /nfs/dbraw/zinc/05/13/31/267051331.db2.gz DEFGIXZVBBVXEY-CHWSQXEVSA-N 1 2 319.380 1.879 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(F)cc1C#N ZINC000356077802 267108033 /nfs/dbraw/zinc/10/80/33/267108033.db2.gz LJBMAFUVKCDJQX-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(F)cc1C#N ZINC000356077802 267108035 /nfs/dbraw/zinc/10/80/35/267108035.db2.gz LJBMAFUVKCDJQX-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)cc1 ZINC000354377173 268056056 /nfs/dbraw/zinc/05/60/56/268056056.db2.gz CPNLGVWNHYOAKY-UHFFFAOYSA-N 1 2 309.373 1.168 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000493636296 289219441 /nfs/dbraw/zinc/21/94/41/289219441.db2.gz YARDSFXGBKGNOK-AJHXJQRKSA-N 1 2 302.334 1.568 20 30 DDEDLO N#Cc1cncnc1NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000574484891 304656224 /nfs/dbraw/zinc/65/62/24/304656224.db2.gz XISQGOIBOKYMJU-CYBMUJFWSA-N 1 2 315.402 1.317 20 30 DDEDLO N#Cc1cccc(CS(=O)(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)c1 ZINC000364723921 300436721 /nfs/dbraw/zinc/43/67/21/300436721.db2.gz PEXZVMKSPQJOGY-CQSZACIVSA-N 1 2 316.386 1.948 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[NH+]1CCC(S(N)(=O)=O)CC1 ZINC000372796293 301615137 /nfs/dbraw/zinc/61/51/37/301615137.db2.gz AXCNTAOCKGDLDN-UHFFFAOYSA-N 1 2 313.810 1.465 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NS(=O)(=O)c1cccnc1C#N)C1CC1 ZINC000560473774 303816609 /nfs/dbraw/zinc/81/66/09/303816609.db2.gz PTZVWYSFLAYJAW-ZDUSSCGKSA-N 1 2 317.374 1.116 20 30 DDEDLO CN(C)c1ncc(C[NH+]2CCN(c3cnccc3C#N)CC2)cn1 ZINC000576534135 308321984 /nfs/dbraw/zinc/32/19/84/308321984.db2.gz OZSYWEBKYQJVQX-UHFFFAOYSA-N 1 2 323.404 1.131 20 30 DDEDLO COC(=O)COc1ccc(C[NH2+]C[C@@H](C)C#N)cc1[N+](=O)[O-] ZINC000530547885 331593752 /nfs/dbraw/zinc/59/37/52/331593752.db2.gz WEYKMXHNZGLTCI-JTQLQIEISA-N 1 2 307.306 1.396 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)[C@@]2(C#N)C[C@@H]2C)nc[nH+]1 ZINC000560178695 332317443 /nfs/dbraw/zinc/31/74/43/332317443.db2.gz UEBWVMVBVXMXBE-HWWQOWPSSA-N 1 2 314.393 1.153 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)[C@@]2(C#N)C[C@@H]2C)[nH+]cn1 ZINC000560178695 332317444 /nfs/dbraw/zinc/31/74/44/332317444.db2.gz UEBWVMVBVXMXBE-HWWQOWPSSA-N 1 2 314.393 1.153 20 30 DDEDLO CCCc1ccc([C@H](C)[N@H+](CC(N)=O)CC(=O)NCC#N)cc1 ZINC000108856674 333211694 /nfs/dbraw/zinc/21/16/94/333211694.db2.gz IRAYWCDGXJWUAV-ZDUSSCGKSA-N 1 2 316.405 1.127 20 30 DDEDLO CCCc1ccc([C@H](C)[N@@H+](CC(N)=O)CC(=O)NCC#N)cc1 ZINC000108856674 333211696 /nfs/dbraw/zinc/21/16/96/333211696.db2.gz IRAYWCDGXJWUAV-ZDUSSCGKSA-N 1 2 316.405 1.127 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000495676366 333359196 /nfs/dbraw/zinc/35/91/96/333359196.db2.gz GWYOOFFCCVESNI-AWEZNQCLSA-N 1 2 310.442 1.631 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000495676366 333359197 /nfs/dbraw/zinc/35/91/97/333359197.db2.gz GWYOOFFCCVESNI-AWEZNQCLSA-N 1 2 310.442 1.631 20 30 DDEDLO C[C@@H](C#N)CN(C)CC[NH+]1CCN(C(=O)OC(C)(C)C)CC1 ZINC000495676366 333359198 /nfs/dbraw/zinc/35/91/98/333359198.db2.gz GWYOOFFCCVESNI-AWEZNQCLSA-N 1 2 310.442 1.631 20 30 DDEDLO N#CC1(CNC(=O)N2CC[NH+](Cc3ccncc3)CC2)CCC1 ZINC000563627536 333640678 /nfs/dbraw/zinc/64/06/78/333640678.db2.gz BIEDYBVKNGVGPK-UHFFFAOYSA-N 1 2 313.405 1.603 20 30 DDEDLO C#CC[N@@H+](CCC(=O)Nc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000104092742 336245320 /nfs/dbraw/zinc/24/53/20/336245320.db2.gz DSADHOCWAIYCNT-HNNXBMFYSA-N 1 2 320.414 1.138 20 30 DDEDLO C#CC[N@H+](CCC(=O)Nc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000104092742 336245321 /nfs/dbraw/zinc/24/53/21/336245321.db2.gz DSADHOCWAIYCNT-HNNXBMFYSA-N 1 2 320.414 1.138 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000582364434 336945642 /nfs/dbraw/zinc/94/56/42/336945642.db2.gz HCMWPAZPWOQTEC-BLLLJJGKSA-N 1 2 304.394 1.097 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000582968236 337223952 /nfs/dbraw/zinc/22/39/52/337223952.db2.gz GWDUGLIYHBWBNA-CYBMUJFWSA-N 1 2 305.422 1.760 20 30 DDEDLO COC(=O)Nc1cccc(OC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000496570172 340008071 /nfs/dbraw/zinc/00/80/71/340008071.db2.gz ZEXOIBRYBRLNKC-CYBMUJFWSA-N 1 2 307.350 1.450 20 30 DDEDLO COC(=O)Nc1cccc(OC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000496570172 340008072 /nfs/dbraw/zinc/00/80/72/340008072.db2.gz ZEXOIBRYBRLNKC-CYBMUJFWSA-N 1 2 307.350 1.450 20 30 DDEDLO N#CC1(c2ccccn2)CC[NH+](Cc2cc(=O)c(O)co2)CC1 ZINC000548864775 341278802 /nfs/dbraw/zinc/27/88/02/341278802.db2.gz DTMCDSIGPXZUOR-UHFFFAOYSA-N 1 2 311.341 1.798 20 30 DDEDLO CC(C)(CNC(=O)N1CCC(CCC#N)CC1)[NH+]1CCOCC1 ZINC000574871403 341771344 /nfs/dbraw/zinc/77/13/44/341771344.db2.gz HJFQVUXLBNJAQE-UHFFFAOYSA-N 1 2 322.453 1.823 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1CC(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000489474969 484224263 /nfs/dbraw/zinc/22/42/63/484224263.db2.gz GGPPGTXMGKSFJP-CYBMUJFWSA-N 1 2 323.418 1.313 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1CC(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000489474969 484224267 /nfs/dbraw/zinc/22/42/67/484224267.db2.gz GGPPGTXMGKSFJP-CYBMUJFWSA-N 1 2 323.418 1.313 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000672783578 485347423 /nfs/dbraw/zinc/34/74/23/485347423.db2.gz MRBZWJUITYENLK-GJZGRUSLSA-N 1 2 318.421 1.908 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(Cc2ccc(OC)cc2)C(=O)C1 ZINC000681037456 486327293 /nfs/dbraw/zinc/32/72/93/486327293.db2.gz HWIOFKGQKZRXIG-UHFFFAOYSA-N 1 2 316.401 1.379 20 30 DDEDLO COCC#CC[N@H+]1CCCN(Cc2ccc(OC)cc2)C(=O)C1 ZINC000681037456 486327297 /nfs/dbraw/zinc/32/72/97/486327297.db2.gz HWIOFKGQKZRXIG-UHFFFAOYSA-N 1 2 316.401 1.379 20 30 DDEDLO COCC#CCN1CC[NH+](CC(=O)N(C)C2CCCCC2)CC1 ZINC000677818975 486548162 /nfs/dbraw/zinc/54/81/62/486548162.db2.gz ZGQNRMSKNZOEHR-UHFFFAOYSA-N 1 2 321.465 1.045 20 30 DDEDLO COCC#CC[NH+]1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC000677818975 486548167 /nfs/dbraw/zinc/54/81/67/486548167.db2.gz ZGQNRMSKNZOEHR-UHFFFAOYSA-N 1 2 321.465 1.045 20 30 DDEDLO COCC#CC[NH+]1CCN(c2ccccc2OCCOC)CC1 ZINC000677818791 486548651 /nfs/dbraw/zinc/54/86/51/486548651.db2.gz IOXRVWPREPBJCZ-UHFFFAOYSA-N 1 2 318.417 1.484 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc(Cl)cn1 ZINC000558408671 501028845 /nfs/dbraw/zinc/02/88/45/501028845.db2.gz PMJMVRJZLKHSAG-UHFFFAOYSA-N 1 2 308.813 1.783 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000432299965 533732802 /nfs/dbraw/zinc/73/28/02/533732802.db2.gz CTPASQSFNWIMIZ-LBPRGKRZSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000432299965 533732809 /nfs/dbraw/zinc/73/28/09/533732809.db2.gz CTPASQSFNWIMIZ-LBPRGKRZSA-N 1 2 302.378 1.709 20 30 DDEDLO COc1ccccc1NC(=O)CN1C[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000330349889 534162819 /nfs/dbraw/zinc/16/28/19/534162819.db2.gz KMAKNRKKPXYAJD-UKRRQHHQSA-N 1 2 305.378 1.298 20 30 DDEDLO COc1ccccc1NC(=O)CN1C[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000330349889 534162829 /nfs/dbraw/zinc/16/28/29/534162829.db2.gz KMAKNRKKPXYAJD-UKRRQHHQSA-N 1 2 305.378 1.298 20 30 DDEDLO COC(=O)c1ccccc1NC(=O)C[NH2+][C@@H]1CCC[C@@H]1C#N ZINC000459475221 534292912 /nfs/dbraw/zinc/29/29/12/534292912.db2.gz ZJRRJQMEWUOPQM-DGCLKSJQSA-N 1 2 301.346 1.694 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC[C@@H]2CCCO2)C[C@]2(CCCOC2)O1 ZINC000330130444 534449584 /nfs/dbraw/zinc/44/95/84/534449584.db2.gz PBAYUMWLBXHKNB-YCPHGPKFSA-N 1 2 312.410 1.392 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC[C@@H]2CCCO2)C[C@]2(CCCOC2)O1 ZINC000330130444 534449591 /nfs/dbraw/zinc/44/95/91/534449591.db2.gz PBAYUMWLBXHKNB-YCPHGPKFSA-N 1 2 312.410 1.392 20 30 DDEDLO N#CCC1(O)CN(C(=O)/C=C\c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000491985832 534590114 /nfs/dbraw/zinc/59/01/14/534590114.db2.gz YBAIVRHAFBTZQK-UTCJRWHESA-N 1 2 308.341 1.372 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCOC[C@@H]1CC1CCC1 ZINC000339767392 534642747 /nfs/dbraw/zinc/64/27/47/534642747.db2.gz JKQHJRXMWQHZAK-INIZCTEOSA-N 1 2 318.421 1.533 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCOC[C@@H]1CC1CCC1 ZINC000339767392 534642754 /nfs/dbraw/zinc/64/27/54/534642754.db2.gz JKQHJRXMWQHZAK-INIZCTEOSA-N 1 2 318.421 1.533 20 30 DDEDLO Cn1[nH]c(C[NH2+]C(C)(C)C(=O)NCC2CCCCC2)nc1=O ZINC000329639888 534664994 /nfs/dbraw/zinc/66/49/94/534664994.db2.gz ZFURKWWKHWFBJN-UHFFFAOYSA-N 1 2 309.414 1.513 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)N1CCO[C@@H](C2CC2)C1 ZINC000330147190 534761809 /nfs/dbraw/zinc/76/18/09/534761809.db2.gz TXHIVNPURMVYPT-HUUCEWRRSA-N 1 2 316.405 1.685 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C(=O)OCC)co2)C1=O ZINC000343598933 526471326 /nfs/dbraw/zinc/47/13/26/526471326.db2.gz GFWWDXWIJGZQQA-CQSZACIVSA-N 1 2 306.362 1.675 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C(=O)OCC)co2)C1=O ZINC000343598933 526471329 /nfs/dbraw/zinc/47/13/29/526471329.db2.gz GFWWDXWIJGZQQA-CQSZACIVSA-N 1 2 306.362 1.675 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccc(C#N)cc2)C1=O ZINC000337234692 526499535 /nfs/dbraw/zinc/49/95/35/526499535.db2.gz XCASQTGCSVLRIV-HNNXBMFYSA-N 1 2 312.373 1.215 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccc(C#N)cc2)C1=O ZINC000337234692 526499536 /nfs/dbraw/zinc/49/95/36/526499536.db2.gz XCASQTGCSVLRIV-HNNXBMFYSA-N 1 2 312.373 1.215 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2cc(F)cc(C)c2C1 ZINC000337428063 526593581 /nfs/dbraw/zinc/59/35/81/526593581.db2.gz XSMAFKRHMZAVFT-UHFFFAOYSA-N 1 2 305.353 1.504 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2cc(F)cc(C)c2C1 ZINC000337428063 526593586 /nfs/dbraw/zinc/59/35/86/526593586.db2.gz XSMAFKRHMZAVFT-UHFFFAOYSA-N 1 2 305.353 1.504 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000435435770 526682924 /nfs/dbraw/zinc/68/29/24/526682924.db2.gz ZMKKBGCWWBBLNR-OAHLLOKOSA-N 1 2 318.483 1.619 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000341331822 526712800 /nfs/dbraw/zinc/71/28/00/526712800.db2.gz QXLPBGSVNSQETQ-UONOGXRCSA-N 1 2 318.421 1.630 20 30 DDEDLO CC(=O)NC[C@@H]1CCCN(C([O-])=[NH+]CCCn2cc[nH+]c2C)C1 ZINC000330440441 526766857 /nfs/dbraw/zinc/76/68/57/526766857.db2.gz OMOBYGITWMTSCC-HNNXBMFYSA-N 1 2 321.425 1.344 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C(C)=O)cc2C)CC1 ZINC000490799809 526951384 /nfs/dbraw/zinc/95/13/84/526951384.db2.gz JZDCWFZFTWWXKE-UHFFFAOYSA-N 1 2 314.385 1.354 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)C[C@@H]2c2ccc(Cl)cc2)CC1 ZINC000490700733 526953560 /nfs/dbraw/zinc/95/35/60/526953560.db2.gz ULPUFRRBUGGCFJ-MRXNPFEDSA-N 1 2 317.820 1.822 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(Cc2ccc(Cl)c(F)c2)CC1 ZINC000491221746 527002897 /nfs/dbraw/zinc/00/28/97/527002897.db2.gz ZKVZJAVNJISWDS-UHFFFAOYSA-N 1 2 323.799 1.346 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCC([C@@](C)(O)C(F)(F)F)CC1 ZINC000491013155 527018657 /nfs/dbraw/zinc/01/86/57/527018657.db2.gz INGGLAFQVIFXTQ-ZWNOBZJWSA-N 1 2 306.328 1.150 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000341847073 527066175 /nfs/dbraw/zinc/06/61/75/527066175.db2.gz VVUNLCVOIBDPDO-ZIAGYGMSSA-N 1 2 304.394 1.144 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1F ZINC000363165291 527066326 /nfs/dbraw/zinc/06/63/26/527066326.db2.gz BMPMYPCTVCITLO-MRXNPFEDSA-N 1 2 315.348 1.805 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)Cc2nc(C)ccc2O)n1 ZINC000491666356 527191528 /nfs/dbraw/zinc/19/15/28/527191528.db2.gz HBBIHGLXDZNIPS-UHFFFAOYSA-N 1 2 314.389 1.574 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)Cc2nc(C)ccc2O)n1 ZINC000491666356 527191530 /nfs/dbraw/zinc/19/15/30/527191530.db2.gz HBBIHGLXDZNIPS-UHFFFAOYSA-N 1 2 314.389 1.574 20 30 DDEDLO C#CCn1ccc(CN(CCOC)Cc2c[nH+]c3ccccn23)n1 ZINC000491287775 527191960 /nfs/dbraw/zinc/19/19/60/527191960.db2.gz OBJZQMWWBFWQAA-UHFFFAOYSA-N 1 2 323.400 1.813 20 30 DDEDLO C#CCn1ccc(CN(CCOC)c2cc(CC)[nH+]c(C)n2)n1 ZINC000491668029 527192868 /nfs/dbraw/zinc/19/28/68/527192868.db2.gz YTARVGVZDRKTBE-UHFFFAOYSA-N 1 2 313.405 1.830 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@H+]1CCOC[C@H]1C)c1ccc(F)cc1 ZINC000491696466 527276465 /nfs/dbraw/zinc/27/64/65/527276465.db2.gz JCCZGGHUYCXHMR-CZUORRHYSA-N 1 2 319.380 1.520 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@@H+]1CCOC[C@H]1C)c1ccc(F)cc1 ZINC000491696466 527276470 /nfs/dbraw/zinc/27/64/70/527276470.db2.gz JCCZGGHUYCXHMR-CZUORRHYSA-N 1 2 319.380 1.520 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1[nH+]ccn1CC(F)(F)F)C(C)C ZINC000490972638 527278479 /nfs/dbraw/zinc/27/84/79/527278479.db2.gz GYUUMIQKXGDLNN-SNVBAGLBSA-N 1 2 302.300 1.902 20 30 DDEDLO C=CCCOCCNc1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000413210754 527369335 /nfs/dbraw/zinc/36/93/35/527369335.db2.gz PARBWCKAHLVGCE-ZIAGYGMSSA-N 1 2 306.410 1.688 20 30 DDEDLO C=CCCOCCNc1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000413210754 527369328 /nfs/dbraw/zinc/36/93/28/527369328.db2.gz PARBWCKAHLVGCE-ZIAGYGMSSA-N 1 2 306.410 1.688 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000491546394 527419238 /nfs/dbraw/zinc/41/92/38/527419238.db2.gz VRFROSRIJUYFOH-KBPBESRZSA-N 1 2 302.378 1.113 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000457589266 527470419 /nfs/dbraw/zinc/47/04/19/527470419.db2.gz CUAXSTANWJYYEA-OAHLLOKOSA-N 1 2 318.421 1.808 20 30 DDEDLO C=C[C@H](C)NC(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000429105980 527560818 /nfs/dbraw/zinc/56/08/18/527560818.db2.gz UXJFOVNQANKHFO-HLIUYOAVSA-N 1 2 311.426 1.130 20 30 DDEDLO C=C[C@H](CC(=O)N[C@@H](CO)C[NH+]1CCOCC1)c1ccccc1 ZINC000339215996 527577366 /nfs/dbraw/zinc/57/73/66/527577366.db2.gz NMRKNCQHQKVUBA-NVXWUHKLSA-N 1 2 318.417 1.156 20 30 DDEDLO CCO[C@@H]1C[C@@H](NC(=O)N2CCn3cc[nH+]c3C2)C12CCC2 ZINC000329821950 527790951 /nfs/dbraw/zinc/79/09/51/527790951.db2.gz YXIHPVBQGQDZCI-CHWSQXEVSA-N 1 2 304.394 1.960 20 30 DDEDLO CCCN1CCC[N@@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1=O ZINC000332012917 528218485 /nfs/dbraw/zinc/21/84/85/528218485.db2.gz PVUWYRTZTNTWJL-WMLDXEAASA-N 1 2 322.453 1.374 20 30 DDEDLO CCCN1CCC[N@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1=O ZINC000332012917 528218486 /nfs/dbraw/zinc/21/84/86/528218486.db2.gz PVUWYRTZTNTWJL-WMLDXEAASA-N 1 2 322.453 1.374 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1cscc1C#N)[NH+]1CCOCC1 ZINC000432069305 528460695 /nfs/dbraw/zinc/46/06/95/528460695.db2.gz LRMWSJUAPKZLIK-CQSZACIVSA-N 1 2 307.419 1.706 20 30 DDEDLO CC(C)Cn1cc(NC(=O)N(C)CC[NH+]2CCOCC2)cn1 ZINC000331251938 528714041 /nfs/dbraw/zinc/71/40/41/528714041.db2.gz PWMZCSKCMWNWRE-UHFFFAOYSA-N 1 2 309.414 1.349 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@@H+]2CC[C@@](C)(F)C2)CC1 ZINC000341129491 528900203 /nfs/dbraw/zinc/90/02/03/528900203.db2.gz QDIUUUXDTHXKMH-GOEBONIOSA-N 1 2 310.417 1.113 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@H+]2CC[C@@](C)(F)C2)CC1 ZINC000341129491 528900209 /nfs/dbraw/zinc/90/02/09/528900209.db2.gz QDIUUUXDTHXKMH-GOEBONIOSA-N 1 2 310.417 1.113 20 30 DDEDLO C=CC[N@@H+](CCC(=O)OCCCC)[C@H]1CCS(=O)(=O)C1 ZINC000121179579 696706745 /nfs/dbraw/zinc/70/67/45/696706745.db2.gz RHQZXVLTMYBFCC-ZDUSSCGKSA-N 1 2 303.424 1.395 20 30 DDEDLO C=CC[N@H+](CCC(=O)OCCCC)[C@H]1CCS(=O)(=O)C1 ZINC000121179579 696706747 /nfs/dbraw/zinc/70/67/47/696706747.db2.gz RHQZXVLTMYBFCC-ZDUSSCGKSA-N 1 2 303.424 1.395 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3oc(C)nc3C)C2)C1 ZINC000972316877 695214275 /nfs/dbraw/zinc/21/42/75/695214275.db2.gz PSUXCWQPSSNVKV-KRWDZBQOSA-N 1 2 317.389 1.232 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3oc(C)nc3C)C2)C1 ZINC000972316877 695214276 /nfs/dbraw/zinc/21/42/76/695214276.db2.gz PSUXCWQPSSNVKV-KRWDZBQOSA-N 1 2 317.389 1.232 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3C)C2)C1 ZINC000972326055 695216842 /nfs/dbraw/zinc/21/68/42/695216842.db2.gz CMDRBFXBBBXYJT-QGZVFWFLSA-N 1 2 301.390 1.263 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3C)C2)C1 ZINC000972326055 695216844 /nfs/dbraw/zinc/21/68/44/695216844.db2.gz CMDRBFXBBBXYJT-QGZVFWFLSA-N 1 2 301.390 1.263 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccncc3C)C2)C1 ZINC000972380103 695235245 /nfs/dbraw/zinc/23/52/45/695235245.db2.gz DOBJEOMFILDUCI-SFHVURJKSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccncc3C)C2)C1 ZINC000972380103 695235246 /nfs/dbraw/zinc/23/52/46/695235246.db2.gz DOBJEOMFILDUCI-SFHVURJKSA-N 1 2 315.417 1.422 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C(F)F)CC3)C2)C1 ZINC000972381580 695235559 /nfs/dbraw/zinc/23/55/59/695235559.db2.gz RAMAUCBCGXLQCT-HNNXBMFYSA-N 1 2 312.360 1.358 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C(F)F)CC3)C2)C1 ZINC000972381580 695235561 /nfs/dbraw/zinc/23/55/61/695235561.db2.gz RAMAUCBCGXLQCT-HNNXBMFYSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C34CCC(CC3)C4)C2)C1 ZINC000972433944 695250310 /nfs/dbraw/zinc/25/03/10/695250310.db2.gz DHEJMTARCPNKGL-VMWRSERWSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C34CCC(CC3)C4)C2)C1 ZINC000972433944 695250312 /nfs/dbraw/zinc/25/03/12/695250312.db2.gz DHEJMTARCPNKGL-VMWRSERWSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(Cl)[nH]3)C2)C1 ZINC000972537846 695276214 /nfs/dbraw/zinc/27/62/14/695276214.db2.gz LAJMAYJLHPIKFO-MRXNPFEDSA-N 1 2 321.808 1.608 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(Cl)[nH]3)C2)C1 ZINC000972537846 695276217 /nfs/dbraw/zinc/27/62/17/695276217.db2.gz LAJMAYJLHPIKFO-MRXNPFEDSA-N 1 2 321.808 1.608 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C(C)(F)F)CC3)C2)C1 ZINC000972553287 695280563 /nfs/dbraw/zinc/28/05/63/695280563.db2.gz DLHNYJUGSJLCDV-OAHLLOKOSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C(C)(F)F)CC3)C2)C1 ZINC000972553287 695280565 /nfs/dbraw/zinc/28/05/65/695280565.db2.gz DLHNYJUGSJLCDV-OAHLLOKOSA-N 1 2 312.360 1.358 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC34CCCC4)C2)C1 ZINC000972623590 695301764 /nfs/dbraw/zinc/30/17/64/695301764.db2.gz AZAWCIGQGKTUEO-APWZRJJASA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC34CCCC4)C2)C1 ZINC000972623590 695301768 /nfs/dbraw/zinc/30/17/68/695301768.db2.gz AZAWCIGQGKTUEO-APWZRJJASA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(OC)cc3)C2)C1 ZINC000972651943 695309310 /nfs/dbraw/zinc/30/93/10/695309310.db2.gz ZIMUDGSZXIHOBZ-SFHVURJKSA-N 1 2 314.385 1.245 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(OC)cc3)C2)C1 ZINC000972651943 695309312 /nfs/dbraw/zinc/30/93/12/695309312.db2.gz ZIMUDGSZXIHOBZ-SFHVURJKSA-N 1 2 314.385 1.245 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3sc(C)nc3C)C2)C1 ZINC000972667308 695313434 /nfs/dbraw/zinc/31/34/34/695313434.db2.gz NDWVLSSEYCPDDL-MRXNPFEDSA-N 1 2 321.446 1.863 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3sc(C)nc3C)C2)C1 ZINC000972667308 695313436 /nfs/dbraw/zinc/31/34/36/695313436.db2.gz NDWVLSSEYCPDDL-MRXNPFEDSA-N 1 2 321.446 1.863 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)N1CC[NH+](CC[S@@](C)=O)CC1 ZINC000746466050 700025588 /nfs/dbraw/zinc/02/55/88/700025588.db2.gz AEZBNZLATZBGTA-LHSJRXKWSA-N 1 2 305.447 1.615 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1ncn[nH]1 ZINC000977358039 696127448 /nfs/dbraw/zinc/12/74/48/696127448.db2.gz VOFHPQGQCYSMJH-AWEZNQCLSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1ncn[nH]1 ZINC000977358039 696127450 /nfs/dbraw/zinc/12/74/50/696127450.db2.gz VOFHPQGQCYSMJH-AWEZNQCLSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3cn(C)ccc3=O)[C@@H]2C1 ZINC000978487504 696391669 /nfs/dbraw/zinc/39/16/69/696391669.db2.gz PSDHDEQCOZRLMO-XYYAHUGASA-N 1 2 321.808 1.045 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3cn(C)ccc3=O)[C@@H]2C1 ZINC000978487504 696391672 /nfs/dbraw/zinc/39/16/72/696391672.db2.gz PSDHDEQCOZRLMO-XYYAHUGASA-N 1 2 321.808 1.045 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H]([C@@H](C)[NH2+]Cc2nnc(C)o2)C1 ZINC000979378037 696540327 /nfs/dbraw/zinc/54/03/27/696540327.db2.gz QGDJNAHOMFYBEM-YPMHNXCESA-N 1 2 322.409 1.296 20 30 DDEDLO CC(C)C[C@H](C)N(C)C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000092915096 696597761 /nfs/dbraw/zinc/59/77/61/696597761.db2.gz GIKOJRGSYRDGSW-ZDUSSCGKSA-N 1 2 306.410 1.806 20 30 DDEDLO N#Cc1cccc(NC(=O)CO[NH+]=C(N)Cc2cccnc2)c1 ZINC000137653776 696859187 /nfs/dbraw/zinc/85/91/87/696859187.db2.gz ZPKNCQXWYNKOMS-UHFFFAOYSA-N 1 2 309.329 1.423 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC000981668887 696867522 /nfs/dbraw/zinc/86/75/22/696867522.db2.gz GCLQLFXXUWFZBG-NWDGAFQWSA-N 1 2 317.393 1.523 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC000981668887 696867525 /nfs/dbraw/zinc/86/75/25/696867525.db2.gz GCLQLFXXUWFZBG-NWDGAFQWSA-N 1 2 317.393 1.523 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2CCNC(=O)CC2)CC1 ZINC000981694066 696878205 /nfs/dbraw/zinc/87/82/05/696878205.db2.gz ZICZDYAUYQYWFE-CYBMUJFWSA-N 1 2 313.829 1.190 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2CCNC(=O)CC2)CC1 ZINC000981694066 696878208 /nfs/dbraw/zinc/87/82/08/696878208.db2.gz ZICZDYAUYQYWFE-CYBMUJFWSA-N 1 2 313.829 1.190 20 30 DDEDLO COc1ccnc(C[N@@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)c1 ZINC000980847560 696916168 /nfs/dbraw/zinc/91/61/68/696916168.db2.gz FTGMMGBWFRULNC-CYBMUJFWSA-N 1 2 302.378 1.284 20 30 DDEDLO COc1ccnc(C[N@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)c1 ZINC000980847560 696916170 /nfs/dbraw/zinc/91/61/70/696916170.db2.gz FTGMMGBWFRULNC-CYBMUJFWSA-N 1 2 302.378 1.284 20 30 DDEDLO CC(C)[C@@]1(C2CC2)NC(=O)N(C[NH+]2CCC(CC#N)CC2)C1=O ZINC000799137866 700121897 /nfs/dbraw/zinc/12/18/97/700121897.db2.gz HOLXTRKANAXJHO-KRWDZBQOSA-N 1 2 318.421 1.926 20 30 DDEDLO C[C@]12CN(CC#N)C[C@@]1(C)CN(C(=O)CCc1c[nH]c[nH+]1)C2 ZINC000982293546 697085691 /nfs/dbraw/zinc/08/56/91/697085691.db2.gz AIBWJKRCPQXUTP-IYBDPMFKSA-N 1 2 301.394 1.036 20 30 DDEDLO C[C@]12CN(CC#N)C[C@@]1(C)CN(C(=O)CCc1c[nH+]c[nH]1)C2 ZINC000982293546 697085695 /nfs/dbraw/zinc/08/56/95/697085695.db2.gz AIBWJKRCPQXUTP-IYBDPMFKSA-N 1 2 301.394 1.036 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCc2ncccn2)CC1 ZINC000982317688 697094655 /nfs/dbraw/zinc/09/46/55/697094655.db2.gz LHHKMOCVJYBDJH-UHFFFAOYSA-N 1 2 308.813 1.696 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCc2ncccn2)CC1 ZINC000982317688 697094657 /nfs/dbraw/zinc/09/46/57/697094657.db2.gz LHHKMOCVJYBDJH-UHFFFAOYSA-N 1 2 308.813 1.696 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](C[C@@H](O)c2ccc(F)cn2)CC1 ZINC000799310256 700134332 /nfs/dbraw/zinc/13/43/32/700134332.db2.gz FBHUWDREXZTGJZ-HZPDHXFCSA-N 1 2 306.385 1.420 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)c1)CN1CC2(CC1=O)CCOCC2 ZINC000176712839 697405321 /nfs/dbraw/zinc/40/53/21/697405321.db2.gz OBULYCKKKDMJQF-UHFFFAOYSA-N 1 2 313.401 1.977 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)c1)CN1CC2(CC1=O)CCOCC2 ZINC000176712839 697405324 /nfs/dbraw/zinc/40/53/24/697405324.db2.gz OBULYCKKKDMJQF-UHFFFAOYSA-N 1 2 313.401 1.977 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[NH+](C[C@H](C)O)CC1 ZINC000195461668 697744638 /nfs/dbraw/zinc/74/46/38/697744638.db2.gz NXSNWDIBYISJTB-AWEZNQCLSA-N 1 2 306.472 1.285 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+]Cc3nnc(C)o3)[C@H]2C)cc1 ZINC000986636432 697860608 /nfs/dbraw/zinc/86/06/08/697860608.db2.gz IJOGTXISSXTAIR-MLGOLLRUSA-N 1 2 324.384 1.752 20 30 DDEDLO C#C[C@H]([NH2+][C@@H]1CCS(=O)(=O)c2ccc(F)cc21)[C@@H]1CCCO1 ZINC000775572417 698010663 /nfs/dbraw/zinc/01/06/63/698010663.db2.gz AQDYGZRAPRHOMJ-ZNMIVQPWSA-N 1 2 323.389 1.815 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N[C@H]1CCn2cc[nH+]c2C1 ZINC000779213639 698401869 /nfs/dbraw/zinc/40/18/69/698401869.db2.gz LXMQNNDGWIDPDF-ZDUSSCGKSA-N 1 2 309.325 1.095 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)/C=C\c2ccc(O)c(OCC)c2)CC1 ZINC000255228117 698414493 /nfs/dbraw/zinc/41/44/93/698414493.db2.gz RQCCRTCFXREKSC-VURMDHGXSA-N 1 2 314.385 1.582 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)N2CC(Oc3cc[nH+]cc3)C2)cc1 ZINC000780926557 698572477 /nfs/dbraw/zinc/57/24/77/698572477.db2.gz JPBJIXYYCQQVNN-INIZCTEOSA-N 1 2 309.325 1.276 20 30 DDEDLO Fc1cc(N[NH+]=Cc2cnc(N3CCOCC3)s2)ccn1 ZINC000781133839 698592156 /nfs/dbraw/zinc/59/21/56/698592156.db2.gz UZFMMKZARRDVIJ-UHFFFAOYSA-N 1 2 307.354 1.960 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](C/C=C/Cl)CC2)nc1 ZINC000989512575 698652163 /nfs/dbraw/zinc/65/21/63/698652163.db2.gz OLGLXOITGWHUCN-XVNBXDOJSA-N 1 2 303.793 1.963 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](C/C=C/Cl)CC2)nc1 ZINC000989512575 698652166 /nfs/dbraw/zinc/65/21/66/698652166.db2.gz OLGLXOITGWHUCN-XVNBXDOJSA-N 1 2 303.793 1.963 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)COc2cccc(C#N)c2)CCO1 ZINC000750758425 700244640 /nfs/dbraw/zinc/24/46/40/700244640.db2.gz JULLOHQYUODYOI-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)COc2cccc(C#N)c2)CCO1 ZINC000750758425 700244642 /nfs/dbraw/zinc/24/46/42/700244642.db2.gz JULLOHQYUODYOI-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC(C)c1nc(C[NH2+]C2CCN(C(=O)[C@H](C)C#N)CC2)no1 ZINC000990262695 699013316 /nfs/dbraw/zinc/01/33/16/699013316.db2.gz UKKOQPLFCTUFJN-LLVKDONJSA-N 1 2 305.382 1.433 20 30 DDEDLO C[N@H+](CCc1cnccn1)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793512890 699762446 /nfs/dbraw/zinc/76/24/46/699762446.db2.gz WIONQJSLCQXRRA-UHFFFAOYSA-N 1 2 323.400 1.521 20 30 DDEDLO C[N@@H+](CCc1cnccn1)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793512890 699762448 /nfs/dbraw/zinc/76/24/48/699762448.db2.gz WIONQJSLCQXRRA-UHFFFAOYSA-N 1 2 323.400 1.521 20 30 DDEDLO CC1(C)CC(=O)N(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793606073 699767200 /nfs/dbraw/zinc/76/72/00/699767200.db2.gz HEGRSVJFKJXNDK-AWEZNQCLSA-N 1 2 314.389 1.622 20 30 DDEDLO CC1(C)CC(=O)N(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793606073 699767202 /nfs/dbraw/zinc/76/72/02/699767202.db2.gz HEGRSVJFKJXNDK-AWEZNQCLSA-N 1 2 314.389 1.622 20 30 DDEDLO NC(=[NH+]OCC1OCCO1)c1ccc(Br)cc1 ZINC000794720577 699826870 /nfs/dbraw/zinc/82/68/70/699826870.db2.gz QQJCEZZPZPPBFP-UHFFFAOYSA-N 1 2 301.140 1.459 20 30 DDEDLO CCOCC[N@H+](CC)Cc1nc2ccccc2c(=O)n1CC#N ZINC000744398221 699947923 /nfs/dbraw/zinc/94/79/23/699947923.db2.gz MJUSUFGAGCVISD-UHFFFAOYSA-N 1 2 314.389 1.778 20 30 DDEDLO CCOCC[N@@H+](CC)Cc1nc2ccccc2c(=O)n1CC#N ZINC000744398221 699947924 /nfs/dbraw/zinc/94/79/24/699947924.db2.gz MJUSUFGAGCVISD-UHFFFAOYSA-N 1 2 314.389 1.778 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(C(=O)c2ccc(O)cc2)CC1 ZINC000801930592 700356146 /nfs/dbraw/zinc/35/61/46/700356146.db2.gz SAPAODZOEMIOLH-CYBMUJFWSA-N 1 2 303.362 1.079 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1nnc(CC(C)C)s1 ZINC000761110815 700844067 /nfs/dbraw/zinc/84/40/67/700844067.db2.gz VZTYEIUFDZBINT-UHFFFAOYSA-N 1 2 310.423 1.257 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1nnc(CC(C)C)s1 ZINC000761110815 700844069 /nfs/dbraw/zinc/84/40/69/700844069.db2.gz VZTYEIUFDZBINT-UHFFFAOYSA-N 1 2 310.423 1.257 20 30 DDEDLO COCC#CCOC(=O)C[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000762834069 700909594 /nfs/dbraw/zinc/90/95/94/700909594.db2.gz LZPAEHLGEJKLFX-QGZVFWFLSA-N 1 2 317.385 1.471 20 30 DDEDLO COCC#CCOC(=O)C[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000762834069 700909595 /nfs/dbraw/zinc/90/95/95/700909595.db2.gz LZPAEHLGEJKLFX-QGZVFWFLSA-N 1 2 317.385 1.471 20 30 DDEDLO Cc1ccnc(NC(=O)C[N@H+](C)C[C@H](O)c2ccc(C#N)cc2)c1 ZINC000763404840 700937096 /nfs/dbraw/zinc/93/70/96/700937096.db2.gz XXSFXNWFBMQIHU-INIZCTEOSA-N 1 2 324.384 1.866 20 30 DDEDLO Cc1ccnc(NC(=O)C[N@@H+](C)C[C@H](O)c2ccc(C#N)cc2)c1 ZINC000763404840 700937098 /nfs/dbraw/zinc/93/70/98/700937098.db2.gz XXSFXNWFBMQIHU-INIZCTEOSA-N 1 2 324.384 1.866 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)C[C@@H]2CC3(CC3)C(=O)O2)c1 ZINC000763671027 700943965 /nfs/dbraw/zinc/94/39/65/700943965.db2.gz HGYVNIKLBXFPHN-HNNXBMFYSA-N 1 2 312.369 1.634 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)C[C@@H]2CC3(CC3)C(=O)O2)c1 ZINC000763671027 700943967 /nfs/dbraw/zinc/94/39/67/700943967.db2.gz HGYVNIKLBXFPHN-HNNXBMFYSA-N 1 2 312.369 1.634 20 30 DDEDLO N#CCCNC(=O)C[N@@H+](Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000764494285 700973838 /nfs/dbraw/zinc/97/38/38/700973838.db2.gz XHRAVRVQYTUMNS-UHFFFAOYSA-N 1 2 302.334 1.589 20 30 DDEDLO N#CCCNC(=O)C[N@H+](Cc1ccc([N+](=O)[O-])cc1)C1CC1 ZINC000764494285 700973839 /nfs/dbraw/zinc/97/38/39/700973839.db2.gz XHRAVRVQYTUMNS-UHFFFAOYSA-N 1 2 302.334 1.589 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+]2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000764678518 700981881 /nfs/dbraw/zinc/98/18/81/700981881.db2.gz MMMXFJVLVXNKPX-CJNGLKHVSA-N 1 2 322.430 1.986 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+]2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000764678518 700981883 /nfs/dbraw/zinc/98/18/83/700981883.db2.gz MMMXFJVLVXNKPX-CJNGLKHVSA-N 1 2 322.430 1.986 20 30 DDEDLO C#CCCCS(=O)(=O)N(C)CC(C)(C)C[NH+]1CCOCC1 ZINC000805046826 701228779 /nfs/dbraw/zinc/22/87/79/701228779.db2.gz XCIOBMYZPGQJPP-UHFFFAOYSA-N 1 2 316.467 1.020 20 30 DDEDLO C#CCOc1ccccc1C[N@H+](C)C[C@@H]1CCS(=O)(=O)C1 ZINC000769468384 701246431 /nfs/dbraw/zinc/24/64/31/701246431.db2.gz HFIRGHBYLYRRAB-AWEZNQCLSA-N 1 2 307.415 1.565 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+](C)C[C@@H]1CCS(=O)(=O)C1 ZINC000769468384 701246433 /nfs/dbraw/zinc/24/64/33/701246433.db2.gz HFIRGHBYLYRRAB-AWEZNQCLSA-N 1 2 307.415 1.565 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)Nc1sccc1C(N)=O ZINC000839506093 701719462 /nfs/dbraw/zinc/71/94/62/701719462.db2.gz WOGGFUKGGSRKLK-UHFFFAOYSA-N 1 2 324.406 1.038 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)Nc1sccc1C(N)=O ZINC000839506093 701719467 /nfs/dbraw/zinc/71/94/67/701719467.db2.gz WOGGFUKGGSRKLK-UHFFFAOYSA-N 1 2 324.406 1.038 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCCCS(=O)(=O)NC ZINC000866061691 706607456 /nfs/dbraw/zinc/60/74/56/706607456.db2.gz ATJSCLSKVSVEOC-UHFFFAOYSA-N 1 2 311.407 1.225 20 30 DDEDLO C=C(C)[C@@H](CO)[N@@H+]1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000814664521 701738410 /nfs/dbraw/zinc/73/84/10/701738410.db2.gz YWRSPAYTCDWNLZ-CYBMUJFWSA-N 1 2 312.410 1.245 20 30 DDEDLO C=C(C)[C@@H](CO)[N@H+]1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000814664521 701738416 /nfs/dbraw/zinc/73/84/16/701738416.db2.gz YWRSPAYTCDWNLZ-CYBMUJFWSA-N 1 2 312.410 1.245 20 30 DDEDLO C=CCN1CCN(CN2CC[NH+](Cc3ccncc3)CC2)C1=O ZINC000839952775 701874485 /nfs/dbraw/zinc/87/44/85/701874485.db2.gz IHCGJLBQSXQKAO-UHFFFAOYSA-N 1 2 315.421 1.080 20 30 DDEDLO CN(C)c1ncc(C=[NH+]Nc2ccc(S(C)(=O)=O)cc2)n1C ZINC000811638010 702019736 /nfs/dbraw/zinc/01/97/36/702019736.db2.gz DQDSNBQUVQIZDE-UHFFFAOYSA-N 1 2 321.406 1.336 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@H](C)C[C@@H](CO)C1 ZINC000817278148 702323968 /nfs/dbraw/zinc/32/39/68/702323968.db2.gz SUQAAJDNDDPYEB-XPKDYRNWSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@H](C)C[C@@H](CO)C1 ZINC000817278148 702323975 /nfs/dbraw/zinc/32/39/75/702323975.db2.gz SUQAAJDNDDPYEB-XPKDYRNWSA-N 1 2 302.374 1.723 20 30 DDEDLO CC(=NNC1=[NH+]CCN1)c1cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c1C ZINC000841658577 702521684 /nfs/dbraw/zinc/52/16/84/702521684.db2.gz GIWYHISTSLEWMZ-UHFFFAOYSA-N 1 2 320.309 1.393 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)CN1CC(F)(F)CC1=O ZINC000841675852 702530040 /nfs/dbraw/zinc/53/00/40/702530040.db2.gz RKEUGOVFANJHMY-UHFFFAOYSA-N 1 2 323.343 1.832 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)CN1CC(F)(F)CC1=O ZINC000841675852 702530044 /nfs/dbraw/zinc/53/00/44/702530044.db2.gz RKEUGOVFANJHMY-UHFFFAOYSA-N 1 2 323.343 1.832 20 30 DDEDLO C[C@@H](C#N)OCC[N@@H+]1Cc2ccccc2C[C@@H]1C(=O)NC1CC1 ZINC000844358651 703019373 /nfs/dbraw/zinc/01/93/73/703019373.db2.gz XKDKLPCGRIYYML-SUMWQHHRSA-N 1 2 313.401 1.621 20 30 DDEDLO C[C@@H](C#N)OCC[N@H+]1Cc2ccccc2C[C@@H]1C(=O)NC1CC1 ZINC000844358651 703019375 /nfs/dbraw/zinc/01/93/75/703019375.db2.gz XKDKLPCGRIYYML-SUMWQHHRSA-N 1 2 313.401 1.621 20 30 DDEDLO C[N@@H+](Cc1cn(CC2CC2)nn1)C[C@@H](O)c1cccc(C#N)c1 ZINC000844371058 703021996 /nfs/dbraw/zinc/02/19/96/703021996.db2.gz MASQKMPDNACQKX-QGZVFWFLSA-N 1 2 311.389 1.725 20 30 DDEDLO C[N@H+](Cc1cn(CC2CC2)nn1)C[C@@H](O)c1cccc(C#N)c1 ZINC000844371058 703021998 /nfs/dbraw/zinc/02/19/98/703021998.db2.gz MASQKMPDNACQKX-QGZVFWFLSA-N 1 2 311.389 1.725 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3ccc(C#N)cc3)CC2)C1=O ZINC000879586800 706728779 /nfs/dbraw/zinc/72/87/79/706728779.db2.gz VHKXGJWWPALRDV-KRWDZBQOSA-N 1 2 310.401 1.467 20 30 DDEDLO N#Cc1ccc(N2CC[NH+]([C@@H]3CCN(C4CCC4)C3=O)CC2)cc1 ZINC000879587010 706729150 /nfs/dbraw/zinc/72/91/50/706729150.db2.gz IMQXDYVQQGHPPD-GOSISDBHSA-N 1 2 324.428 1.834 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1CC[C@H](c2ccc([N+](=O)[O-])cc2)C1=O ZINC000848485737 703556253 /nfs/dbraw/zinc/55/62/53/703556253.db2.gz VUSZVTXVGSTPEW-SWLSCSKDSA-N 1 2 301.346 1.822 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1CC[C@H](c2ccc([N+](=O)[O-])cc2)C1=O ZINC000848485737 703556255 /nfs/dbraw/zinc/55/62/55/703556255.db2.gz VUSZVTXVGSTPEW-SWLSCSKDSA-N 1 2 301.346 1.822 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOc2cc(N)ccc2C1 ZINC000866691184 706766609 /nfs/dbraw/zinc/76/66/09/706766609.db2.gz FTIRHAJEBQSRQZ-UHFFFAOYSA-N 1 2 301.390 1.664 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOc2cc(N)ccc2C1 ZINC000866691184 706766612 /nfs/dbraw/zinc/76/66/12/706766612.db2.gz FTIRHAJEBQSRQZ-UHFFFAOYSA-N 1 2 301.390 1.664 20 30 DDEDLO C#CCCNC(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000852107727 703925596 /nfs/dbraw/zinc/92/55/96/703925596.db2.gz PJYDGOJMTUTOEN-QGZVFWFLSA-N 1 2 314.433 1.125 20 30 DDEDLO C#CCCNC(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000852107727 703925598 /nfs/dbraw/zinc/92/55/98/703925598.db2.gz PJYDGOJMTUTOEN-QGZVFWFLSA-N 1 2 314.433 1.125 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH2+][C@H](c3cccc(OC)c3)C2)nc1 ZINC000870150299 703933042 /nfs/dbraw/zinc/93/30/42/703933042.db2.gz STPSRQSWQIXEAS-SFHVURJKSA-N 1 2 321.380 1.858 20 30 DDEDLO CC(C)(C)OC(=O)N1CC([N@H+](CC(=O)NCC#N)C2CCC2)C1 ZINC000852447563 704051758 /nfs/dbraw/zinc/05/17/58/704051758.db2.gz YALIEIIHQHJWIA-UHFFFAOYSA-N 1 2 322.409 1.100 20 30 DDEDLO CC(C)(C)OC(=O)N1CC([N@@H+](CC(=O)NCC#N)C2CCC2)C1 ZINC000852447563 704051760 /nfs/dbraw/zinc/05/17/60/704051760.db2.gz YALIEIIHQHJWIA-UHFFFAOYSA-N 1 2 322.409 1.100 20 30 DDEDLO CC(C)(C)n1nnc(CCO[NH+]=C(N)c2ccc(F)cc2)n1 ZINC000853646411 704292179 /nfs/dbraw/zinc/29/21/79/704292179.db2.gz RXQMSKKYNYTECZ-UHFFFAOYSA-N 1 2 306.345 1.447 20 30 DDEDLO NC(=[NH+]OCc1ncnn1CCF)c1ccc2c(c1)CCO2 ZINC000853680054 704298121 /nfs/dbraw/zinc/29/81/21/704298121.db2.gz VJCREHDFDWLMRD-UHFFFAOYSA-N 1 2 305.313 1.020 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000871543564 704311719 /nfs/dbraw/zinc/31/17/19/704311719.db2.gz OMCWAISXTCKQRB-KRWDZBQOSA-N 1 2 323.437 1.849 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@](C#N)(c2ccccn2)C1 ZINC000855301728 704478147 /nfs/dbraw/zinc/47/81/47/704478147.db2.gz QZDWCKLINTYUDW-QGZVFWFLSA-N 1 2 309.373 1.442 20 30 DDEDLO N#CCOc1cccc(CC(=O)N[C@H]2CCn3c[nH+]cc3C2)c1 ZINC000857949348 704648234 /nfs/dbraw/zinc/64/82/34/704648234.db2.gz ZUEVHRZMOCJVBD-AWEZNQCLSA-N 1 2 310.357 1.459 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N[C@@H]2CCn3c[nH+]cc32)cc1 ZINC000857980234 704654586 /nfs/dbraw/zinc/65/45/86/704654586.db2.gz VLRQJZWWXCHLNR-LSDHHAIUSA-N 1 2 305.341 1.698 20 30 DDEDLO Cc1ccnn1CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000858154517 704671591 /nfs/dbraw/zinc/67/15/91/704671591.db2.gz KMDDRCCMKOFDQR-UHFFFAOYSA-N 1 2 323.400 1.408 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000859025132 704786424 /nfs/dbraw/zinc/78/64/24/704786424.db2.gz NFIFKDJMLAPNGW-ZIAGYGMSSA-N 1 2 304.394 1.347 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2cccc3[nH]ccc32)CC1 ZINC000859831533 705015700 /nfs/dbraw/zinc/01/57/00/705015700.db2.gz YEPJRROIAFAEED-CQSZACIVSA-N 1 2 310.401 1.428 20 30 DDEDLO C#CCO[C@H](C)C(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC000822743296 705025005 /nfs/dbraw/zinc/02/50/05/705025005.db2.gz PNNPBQWMKHVXAQ-CQSZACIVSA-N 1 2 302.374 1.496 20 30 DDEDLO N#Cc1ccccc1NC1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000824600516 705491143 /nfs/dbraw/zinc/49/11/43/705491143.db2.gz AKPZYVAPRLLDDY-UHFFFAOYSA-N 1 2 309.373 1.927 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2c(C)nc3ccccn32)CC1 ZINC000824867940 705546819 /nfs/dbraw/zinc/54/68/19/705546819.db2.gz ZHYBPQNDJXITIK-UHFFFAOYSA-N 1 2 312.373 1.922 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cn(C)nc2C(C)(C)C)CC1 ZINC000824871865 705548498 /nfs/dbraw/zinc/54/84/98/705548498.db2.gz LAMPUBAJHPTPBJ-UHFFFAOYSA-N 1 2 318.421 1.996 20 30 DDEDLO C#CCCCCCC(=O)NC[C@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000862641448 705760486 /nfs/dbraw/zinc/76/04/86/705760486.db2.gz ARKNOCRXGYXRCT-SFHVURJKSA-N 1 2 322.449 1.302 20 30 DDEDLO C#CCCCCCC(=O)NC[C@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000862641448 705760491 /nfs/dbraw/zinc/76/04/91/705760491.db2.gz ARKNOCRXGYXRCT-SFHVURJKSA-N 1 2 322.449 1.302 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)N2CC[C@](O)(CC#N)C2)c1C ZINC000826030831 705760815 /nfs/dbraw/zinc/76/08/15/705760815.db2.gz SBOZDLNKGJJDBC-MRXNPFEDSA-N 1 2 318.377 1.267 20 30 DDEDLO C=C(Cl)C[C@H]1NC(=O)N(CCc2cn3c([nH+]2)CCCC3)C1=O ZINC000865298929 706398075 /nfs/dbraw/zinc/39/80/75/706398075.db2.gz JJNWGMSDXKAOIM-GFCCVEGCSA-N 1 2 322.796 1.825 20 30 DDEDLO C#CC1CC[NH+](Cc2csc(N(CCOC)C(C)=O)n2)CC1 ZINC000830326737 706496699 /nfs/dbraw/zinc/49/66/99/706496699.db2.gz ZMZNNQPYYMGMSP-UHFFFAOYSA-N 1 2 321.446 1.988 20 30 DDEDLO COC(=O)[C@H]([NH2+]CCc1ccc(C#N)cc1)C1CCOCC1 ZINC000880339853 706946413 /nfs/dbraw/zinc/94/64/13/706946413.db2.gz FYDPIIBHACOBIC-MRXNPFEDSA-N 1 2 302.374 1.659 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)Nc2cc(F)cc(F)c2)CC1 ZINC000880478413 706986248 /nfs/dbraw/zinc/98/62/48/706986248.db2.gz DFTZHXHSWMSFAU-UHFFFAOYSA-N 1 2 308.328 1.754 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)C[N@@H+]2CC=C[C@@H]2CO)cc1C ZINC000880485911 706990256 /nfs/dbraw/zinc/99/02/56/706990256.db2.gz LVCMCTMTKWEJEH-QGZVFWFLSA-N 1 2 313.401 1.783 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)C[N@H+]2CC=C[C@@H]2CO)cc1C ZINC000880485911 706990257 /nfs/dbraw/zinc/99/02/57/706990257.db2.gz LVCMCTMTKWEJEH-QGZVFWFLSA-N 1 2 313.401 1.783 20 30 DDEDLO C[C@@H](NC(=O)Nc1cccc(CC#N)n1)[C@H](C)[NH+]1CCOCC1 ZINC000881153789 707139082 /nfs/dbraw/zinc/13/90/82/707139082.db2.gz HSMCKOVIUPEHLJ-OLZOCXBDSA-N 1 2 317.393 1.378 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CSc1nc2ncccc2s1 ZINC000871989956 707270552 /nfs/dbraw/zinc/27/05/52/707270552.db2.gz VOTUFMHWWSURAY-JTQLQIEISA-N 1 2 308.432 1.990 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CSc1nc2ncccc2s1 ZINC000871989956 707270554 /nfs/dbraw/zinc/27/05/54/707270554.db2.gz VOTUFMHWWSURAY-JTQLQIEISA-N 1 2 308.432 1.990 20 30 DDEDLO C[N@H+](CCc1cccc(C#N)c1)CN1C(=O)CC2(CCC2)C1=O ZINC000872704706 707564639 /nfs/dbraw/zinc/56/46/39/707564639.db2.gz BCWBQEOUUGXWDS-UHFFFAOYSA-N 1 2 311.385 1.919 20 30 DDEDLO C[N@@H+](CCc1cccc(C#N)c1)CN1C(=O)CC2(CCC2)C1=O ZINC000872704706 707564641 /nfs/dbraw/zinc/56/46/41/707564641.db2.gz BCWBQEOUUGXWDS-UHFFFAOYSA-N 1 2 311.385 1.919 20 30 DDEDLO C[N@@H+]1CCCC[C@H]1C(=O)OCc1c(C#N)cccc1[N+](=O)[O-] ZINC000837539686 707675946 /nfs/dbraw/zinc/67/59/46/707675946.db2.gz VVBXUXFPISUJMR-AWEZNQCLSA-N 1 2 303.318 1.994 20 30 DDEDLO C[N@H+]1CCCC[C@H]1C(=O)OCc1c(C#N)cccc1[N+](=O)[O-] ZINC000837539686 707675949 /nfs/dbraw/zinc/67/59/49/707675949.db2.gz VVBXUXFPISUJMR-AWEZNQCLSA-N 1 2 303.318 1.994 20 30 DDEDLO C[N@@H+]1CCCC[C@@H]1C(=O)OCc1c(C#N)cccc1[N+](=O)[O-] ZINC000837539687 707676164 /nfs/dbraw/zinc/67/61/64/707676164.db2.gz VVBXUXFPISUJMR-CQSZACIVSA-N 1 2 303.318 1.994 20 30 DDEDLO C[N@H+]1CCCC[C@@H]1C(=O)OCc1c(C#N)cccc1[N+](=O)[O-] ZINC000837539687 707676166 /nfs/dbraw/zinc/67/61/66/707676166.db2.gz VVBXUXFPISUJMR-CQSZACIVSA-N 1 2 303.318 1.994 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000882895928 707842848 /nfs/dbraw/zinc/84/28/48/707842848.db2.gz XPMCUGWBTOAOHQ-JKSUJKDBSA-N 1 2 312.454 1.567 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccnn3)n2CC(=C)C)CC1 ZINC000909302494 712986146 /nfs/dbraw/zinc/98/61/46/712986146.db2.gz VJFFZBKCERNPNL-UHFFFAOYSA-N 1 2 323.404 1.066 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000883005127 707893646 /nfs/dbraw/zinc/89/36/46/707893646.db2.gz FMUCDVQBIRGUAF-MGPQQGTHSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000883005127 707893651 /nfs/dbraw/zinc/89/36/51/707893651.db2.gz FMUCDVQBIRGUAF-MGPQQGTHSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000883096672 707924756 /nfs/dbraw/zinc/92/47/56/707924756.db2.gz NXDLVVXNKLZYJE-HNNXBMFYSA-N 1 2 318.421 1.808 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000883551978 708025558 /nfs/dbraw/zinc/02/55/58/708025558.db2.gz DXNLHZQAMMCIEB-KBPBESRZSA-N 1 2 304.394 1.287 20 30 DDEDLO N#CCCNC(=O)C[NH+]1CCC(Oc2ccc(Cl)cn2)CC1 ZINC000839201143 708036277 /nfs/dbraw/zinc/03/62/77/708036277.db2.gz PGBXATBEDYMXQA-UHFFFAOYSA-N 1 2 322.796 1.608 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1nc2c(s1)CCCC2 ZINC000884049442 708117514 /nfs/dbraw/zinc/11/75/14/708117514.db2.gz RAHKCUCVTRMXLJ-VIFPVBQESA-N 1 2 309.391 1.407 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1ccc(F)cc1F ZINC000884067042 708124359 /nfs/dbraw/zinc/12/43/59/708124359.db2.gz SAOZXBXPKKLPED-ZDUSSCGKSA-N 1 2 312.316 1.370 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCO[C@@H]1CCCC[C@@H]1C ZINC000884081371 708130586 /nfs/dbraw/zinc/13/05/86/708130586.db2.gz XDMAHMCQMKRYRC-MELADBBJSA-N 1 2 312.410 1.145 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)c1cc(F)ccc1OC ZINC000884088059 708133380 /nfs/dbraw/zinc/13/33/80/708133380.db2.gz WTBRNUITUYPOAS-GWCFXTLKSA-N 1 2 324.352 1.458 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)Oc1cccc(F)c1 ZINC000884155455 708163751 /nfs/dbraw/zinc/16/37/51/708163751.db2.gz CEJPIGRHVCKFGK-FZMZJTMJSA-N 1 2 324.352 1.156 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCC[C@H]1SCC ZINC000884169500 708169833 /nfs/dbraw/zinc/16/98/33/708169833.db2.gz DVTDBYZOUYXVME-SDDRHHMPSA-N 1 2 300.424 1.223 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccccc1NCCC ZINC000884305725 708235329 /nfs/dbraw/zinc/23/53/29/708235329.db2.gz GBFZCLFYPHIJGT-LBPRGKRZSA-N 1 2 305.378 1.894 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)C(C)(C)n2c[nH+]c(C)c2)C1=O ZINC000897650432 708405940 /nfs/dbraw/zinc/40/59/40/708405940.db2.gz IQSAHSMDIMZNST-ZDUSSCGKSA-N 1 2 304.394 1.172 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000885081343 708465794 /nfs/dbraw/zinc/46/57/94/708465794.db2.gz OYGHZJTWDHTFTC-ZIAGYGMSSA-N 1 2 321.446 1.856 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000885081343 708465795 /nfs/dbraw/zinc/46/57/95/708465795.db2.gz OYGHZJTWDHTFTC-ZIAGYGMSSA-N 1 2 321.446 1.856 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(CC)c2)C1 ZINC000885511936 708562783 /nfs/dbraw/zinc/56/27/83/708562783.db2.gz PHJCYRSGXVALHB-OAHLLOKOSA-N 1 2 306.431 1.625 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(CC)c2)C1 ZINC000885511936 708562786 /nfs/dbraw/zinc/56/27/86/708562786.db2.gz PHJCYRSGXVALHB-OAHLLOKOSA-N 1 2 306.431 1.625 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)C2Cc3ccccc3C2)C1 ZINC000885511566 708563073 /nfs/dbraw/zinc/56/30/73/708563073.db2.gz JUKXRKJQWGICMN-INIZCTEOSA-N 1 2 318.442 1.171 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)C2Cc3ccccc3C2)C1 ZINC000885511566 708563075 /nfs/dbraw/zinc/56/30/75/708563075.db2.gz JUKXRKJQWGICMN-INIZCTEOSA-N 1 2 318.442 1.171 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(OC)cc2C)C1 ZINC000885513729 708563378 /nfs/dbraw/zinc/56/33/78/708563378.db2.gz DKBLJFLJZFHKFT-AWEZNQCLSA-N 1 2 322.430 1.380 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(OC)cc2C)C1 ZINC000885513729 708563380 /nfs/dbraw/zinc/56/33/80/708563380.db2.gz DKBLJFLJZFHKFT-AWEZNQCLSA-N 1 2 322.430 1.380 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)N(CCC)CCC)C1 ZINC000885513882 708563566 /nfs/dbraw/zinc/56/35/66/708563566.db2.gz ITQNQXPJGXUWEF-AWEZNQCLSA-N 1 2 301.456 1.040 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)N(CCC)CCC)C1 ZINC000885513882 708563568 /nfs/dbraw/zinc/56/35/68/708563568.db2.gz ITQNQXPJGXUWEF-AWEZNQCLSA-N 1 2 301.456 1.040 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@]1(CO)CNC(=O)OC(C)(C)C ZINC000886291996 708736613 /nfs/dbraw/zinc/73/66/13/708736613.db2.gz ANULLCQEUAVOAZ-MRXNPFEDSA-N 1 2 314.426 1.541 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@]1(CO)CNC(=O)OC(C)(C)C ZINC000886291996 708736615 /nfs/dbraw/zinc/73/66/15/708736615.db2.gz ANULLCQEUAVOAZ-MRXNPFEDSA-N 1 2 314.426 1.541 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1 ZINC000899153020 709002310 /nfs/dbraw/zinc/00/23/10/709002310.db2.gz ZTTMQNRNSMNPJS-WFASDCNBSA-N 1 2 304.394 1.335 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1 ZINC000899153020 709002313 /nfs/dbraw/zinc/00/23/13/709002313.db2.gz ZTTMQNRNSMNPJS-WFASDCNBSA-N 1 2 304.394 1.335 20 30 DDEDLO C/C(=C\C(=O)N[C@@H](C#N)c1ccc(F)cc1)C[NH+]1CCOCC1 ZINC000900073374 709281903 /nfs/dbraw/zinc/28/19/03/709281903.db2.gz LBABLXJJSRIYNO-ISBHARSQSA-N 1 2 317.364 1.785 20 30 DDEDLO C[C@H](NC(=O)Nc1ccc(C#N)cn1)[C@H](C)[NH+]1CCOCC1 ZINC000889225180 709464466 /nfs/dbraw/zinc/46/44/66/709464466.db2.gz KAHIZRCYAUALBB-RYUDHWBXSA-N 1 2 303.366 1.184 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+]3CCSC[C@H]23)cc1O ZINC000928088398 713124726 /nfs/dbraw/zinc/12/47/26/713124726.db2.gz HEHYUBWWTHQYAF-UONOGXRCSA-N 1 2 317.414 1.573 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCC[N@H+]3CCSC[C@H]23)cc1O ZINC000928088398 713124727 /nfs/dbraw/zinc/12/47/27/713124727.db2.gz HEHYUBWWTHQYAF-UONOGXRCSA-N 1 2 317.414 1.573 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCC#C[C@@H]2CCCCO2)[nH]n1 ZINC000891112606 710095940 /nfs/dbraw/zinc/09/59/40/710095940.db2.gz MHQUSLQCSAGMBI-BBRMVZONSA-N 1 2 316.405 1.479 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)NCC#C[C@@H]2CCCCO2)[nH]n1 ZINC000891112606 710095946 /nfs/dbraw/zinc/09/59/46/710095946.db2.gz MHQUSLQCSAGMBI-BBRMVZONSA-N 1 2 316.405 1.479 20 30 DDEDLO C=CCOC[C@@H]([NH2+]Cc1cc(-n2cc[nH+]c2)cs1)C(=O)[O-] ZINC000901812103 710153665 /nfs/dbraw/zinc/15/36/65/710153665.db2.gz ZUFXSMUPVXREKP-CYBMUJFWSA-N 1 2 307.375 1.679 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCC(F)(F)C1(O)CCCCC1 ZINC000891352196 710169137 /nfs/dbraw/zinc/16/91/37/710169137.db2.gz WWZHYKZSRIHCEC-ZDUSSCGKSA-N 1 2 314.376 1.531 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCC(F)(F)C1(O)CCCCC1 ZINC000891352196 710169139 /nfs/dbraw/zinc/16/91/39/710169139.db2.gz WWZHYKZSRIHCEC-ZDUSSCGKSA-N 1 2 314.376 1.531 20 30 DDEDLO C=CCCC[C@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C(=O)OC ZINC000928318056 713174189 /nfs/dbraw/zinc/17/41/89/713174189.db2.gz QCRQFEMUORKISJ-OLZOCXBDSA-N 1 2 305.378 1.460 20 30 DDEDLO CC[C@H]([NH2+]Cc1nnc2n1CCNC2=O)c1cccc(C#N)c1 ZINC000903088955 711028453 /nfs/dbraw/zinc/02/84/53/711028453.db2.gz ORUUSHYRPPTZBK-ZDUSSCGKSA-N 1 2 310.361 1.134 20 30 DDEDLO C=C1CCC(C(=O)N2CCO[C@@H](C[NH+]3CCOCC3)C2)CC1 ZINC000913473696 713231444 /nfs/dbraw/zinc/23/14/44/713231444.db2.gz COSAGQROWAZFSH-INIZCTEOSA-N 1 2 308.422 1.292 20 30 DDEDLO Cc1cc(N[C@@H](C)[C@H]2CN(C)CC[N@@H+]2C)c(C#N)cc1[N+](=O)[O-] ZINC000895246107 711463817 /nfs/dbraw/zinc/46/38/17/711463817.db2.gz LIQUDKPJCJZODP-BLLLJJGKSA-N 1 2 317.393 1.821 20 30 DDEDLO Cc1cc(N[C@@H](C)[C@H]2CN(C)CC[N@H+]2C)c(C#N)cc1[N+](=O)[O-] ZINC000895246107 711463818 /nfs/dbraw/zinc/46/38/18/711463818.db2.gz LIQUDKPJCJZODP-BLLLJJGKSA-N 1 2 317.393 1.821 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)Nc1cccc(C#N)c1[N+](=O)[O-] ZINC000895800850 711609600 /nfs/dbraw/zinc/60/96/00/711609600.db2.gz HTHGUZRQQVNPRV-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO Cc1cc(C(=O)N[C@H](C)C[NH+]2CCOCC2)cc(C)c1C#N ZINC000906177123 712260380 /nfs/dbraw/zinc/26/03/80/712260380.db2.gz PJTWIYVZEMTJPC-CQSZACIVSA-N 1 2 301.390 1.626 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N2CC[C@H](c3[nH+]ccn3C)C2)cc1 ZINC000907072626 712481058 /nfs/dbraw/zinc/48/10/58/712481058.db2.gz CRWLRSKZDSLZSR-AWEZNQCLSA-N 1 2 315.398 1.580 20 30 DDEDLO CNc1ccc(C#N)cc1NC(=O)/C=C(/C)C[NH+]1CCOCC1 ZINC000907931349 712650935 /nfs/dbraw/zinc/65/09/35/712650935.db2.gz BDMFBJQTEQJIQD-LCYFTJDESA-N 1 2 314.389 1.817 20 30 DDEDLO C[C@H]1CN(c2ccccc2C=[NH+]NC(=S)NC2CC2)CCO1 ZINC000915945521 713436235 /nfs/dbraw/zinc/43/62/35/713436235.db2.gz RQNSYRLRBVIYNH-LBPRGKRZSA-N 1 2 318.446 1.872 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2csc(C#N)c2)C1 ZINC000919874753 713639743 /nfs/dbraw/zinc/63/97/43/713639743.db2.gz DPDDAUKJWFKNCS-CYBMUJFWSA-N 1 2 323.443 1.137 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2csc(C#N)c2)C1 ZINC000919874753 713639746 /nfs/dbraw/zinc/63/97/46/713639746.db2.gz DPDDAUKJWFKNCS-CYBMUJFWSA-N 1 2 323.443 1.137 20 30 DDEDLO C#CC[C@H](CO)NC(=O)N[C@@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000920874052 713699895 /nfs/dbraw/zinc/69/98/95/713699895.db2.gz GFQUVNPNHYSZHL-DZGCQCFKSA-N 1 2 312.373 1.617 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](C[C@H](O)C3(O)CCCC3)CCO2)c1 ZINC000930135398 713764179 /nfs/dbraw/zinc/76/41/79/713764179.db2.gz NATBXNPENSSCSP-SJORKVTESA-N 1 2 316.401 1.598 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](C[C@H](O)C3(O)CCCC3)CCO2)c1 ZINC000930135398 713764181 /nfs/dbraw/zinc/76/41/81/713764181.db2.gz NATBXNPENSSCSP-SJORKVTESA-N 1 2 316.401 1.598 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+]2CCO[C@H](C(F)F)C2)cc1 ZINC000930343121 713808841 /nfs/dbraw/zinc/80/88/41/713808841.db2.gz ORJAYMYADKXNGO-AWEZNQCLSA-N 1 2 308.328 1.962 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+]2CCO[C@H](C(F)F)C2)cc1 ZINC000930343121 713808843 /nfs/dbraw/zinc/80/88/43/713808843.db2.gz ORJAYMYADKXNGO-AWEZNQCLSA-N 1 2 308.328 1.962 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000930505843 713852674 /nfs/dbraw/zinc/85/26/74/713852674.db2.gz PEQHIACMQROXGE-GDBMZVCRSA-N 1 2 312.479 1.913 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)CC ZINC001113885036 766351978 /nfs/dbraw/zinc/35/19/78/766351978.db2.gz AMLWXQUEPXOYQZ-OHBODLIOSA-N 1 2 301.228 1.988 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)CC ZINC001113885036 766351982 /nfs/dbraw/zinc/35/19/82/766351982.db2.gz AMLWXQUEPXOYQZ-OHBODLIOSA-N 1 2 301.228 1.988 20 30 DDEDLO CO[C@@H]1COC[C@H]1n1cc(C[NH2+]Cc2csc(C#N)c2)nn1 ZINC000922141875 713972709 /nfs/dbraw/zinc/97/27/09/713972709.db2.gz SRVHCZZOQOKYHY-ZIAGYGMSSA-N 1 2 319.390 1.087 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2n[nH]c(C)n2)CC1 ZINC000931627804 714142488 /nfs/dbraw/zinc/14/24/88/714142488.db2.gz HTDYTMLCJSRLOZ-UHFFFAOYSA-N 1 2 312.377 1.316 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)Nc2cccc(C#N)n2)n1 ZINC000931805844 714188873 /nfs/dbraw/zinc/18/88/73/714188873.db2.gz JLZNYORMRAHSBY-UHFFFAOYSA-N 1 2 316.390 1.793 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@@H+]2CCC[C@@H](C#N)C2)cc1 ZINC000932155147 714273267 /nfs/dbraw/zinc/27/32/67/714273267.db2.gz XKZWMTLBEVPPAY-DLBZAZTESA-N 1 2 318.417 1.851 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@H+]2CCC[C@@H](C#N)C2)cc1 ZINC000932155147 714273270 /nfs/dbraw/zinc/27/32/70/714273270.db2.gz XKZWMTLBEVPPAY-DLBZAZTESA-N 1 2 318.417 1.851 20 30 DDEDLO N#C[C@@H]1CCC[N@@H+](C[C@@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000932161860 714275294 /nfs/dbraw/zinc/27/52/94/714275294.db2.gz CURYQXPCUMPWAA-GXTWGEPZSA-N 1 2 305.334 1.570 20 30 DDEDLO N#C[C@@H]1CCC[N@H+](C[C@@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000932161860 714275297 /nfs/dbraw/zinc/27/52/97/714275297.db2.gz CURYQXPCUMPWAA-GXTWGEPZSA-N 1 2 305.334 1.570 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-])C(C)C ZINC000932391275 714321993 /nfs/dbraw/zinc/32/19/93/714321993.db2.gz WJOKGTBXQSTNEH-UHFFFAOYSA-N 1 2 305.334 1.886 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-])C(C)C ZINC000932391275 714321994 /nfs/dbraw/zinc/32/19/94/714321994.db2.gz WJOKGTBXQSTNEH-UHFFFAOYSA-N 1 2 305.334 1.886 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cccc(CC#N)n1 ZINC000932424125 714327357 /nfs/dbraw/zinc/32/73/57/714327357.db2.gz CFCASGVLIXJEHH-ZDUSSCGKSA-N 1 2 317.393 1.332 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2c(C)noc2C(F)(F)F)C1 ZINC000923572611 714411268 /nfs/dbraw/zinc/41/12/68/714411268.db2.gz KDNBYIJIHAIKOF-JTQLQIEISA-N 1 2 315.295 1.829 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2c(C)noc2C(F)(F)F)C1 ZINC000923572611 714411270 /nfs/dbraw/zinc/41/12/70/714411270.db2.gz KDNBYIJIHAIKOF-JTQLQIEISA-N 1 2 315.295 1.829 20 30 DDEDLO COC(=O)[C@]12C[C@H]1CCC[N@@H+]2C[C@@H](O)CC1(C#N)CCOCC1 ZINC000933166103 714526381 /nfs/dbraw/zinc/52/63/81/714526381.db2.gz LRMUIJKVYDNBTN-KEYYUXOJSA-N 1 2 322.405 1.085 20 30 DDEDLO COC(=O)[C@]12C[C@H]1CCC[N@H+]2C[C@@H](O)CC1(C#N)CCOCC1 ZINC000933166103 714526385 /nfs/dbraw/zinc/52/63/85/714526385.db2.gz LRMUIJKVYDNBTN-KEYYUXOJSA-N 1 2 322.405 1.085 20 30 DDEDLO CCn1c[nH+]c2c1CCN(CC(=O)N[C@@](C)(C#N)C(C)C)C2 ZINC000933262738 714549099 /nfs/dbraw/zinc/54/90/99/714549099.db2.gz IGVSUQQPABHYLE-INIZCTEOSA-N 1 2 303.410 1.316 20 30 DDEDLO C=CCC1(O)CCN(C(=O)[C@H]2COCC[N@H+]2CCCC)CC1 ZINC000924436873 714581859 /nfs/dbraw/zinc/58/18/59/714581859.db2.gz WKACCEMYVWZXFC-OAHLLOKOSA-N 1 2 310.438 1.417 20 30 DDEDLO C=CCC1(O)CCN(C(=O)[C@H]2COCC[N@@H+]2CCCC)CC1 ZINC000924436873 714581861 /nfs/dbraw/zinc/58/18/61/714581861.db2.gz WKACCEMYVWZXFC-OAHLLOKOSA-N 1 2 310.438 1.417 20 30 DDEDLO C#CCC1(NC(=O)NCc2nc(C[NH+](C)C)cs2)CCC1 ZINC000924792444 714665225 /nfs/dbraw/zinc/66/52/25/714665225.db2.gz XOPBKZWAAAIRCS-UHFFFAOYSA-N 1 2 306.435 1.950 20 30 DDEDLO CC[C@H]([NH2+][C@@H]1CCc2c(nnn2C)C1)c1cccc(C#N)c1O ZINC000926668668 715110033 /nfs/dbraw/zinc/11/00/33/715110033.db2.gz PUKAXERHHNUOLS-OCCSQVGLSA-N 1 2 311.389 1.991 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)C2C[NH+](CCn3cccn3)C2)nc1 ZINC000957294710 715820777 /nfs/dbraw/zinc/82/07/77/715820777.db2.gz MJBJUMVURGRYLT-UHFFFAOYSA-N 1 2 323.400 1.106 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3nccnc3c2)C1 ZINC000957366956 715862610 /nfs/dbraw/zinc/86/26/10/715862610.db2.gz AGGXQKSNYPMHOI-UHFFFAOYSA-N 1 2 308.385 1.799 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)C2CCN(C(=O)CCC)CC2)C1 ZINC000957416349 715891827 /nfs/dbraw/zinc/89/18/27/715891827.db2.gz UZBYQFBMQFIHNP-UHFFFAOYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3nc(C)no3)C2)C1 ZINC000939102524 716135134 /nfs/dbraw/zinc/13/51/34/716135134.db2.gz JAQUVQICPBLMKA-DGCLKSJQSA-N 1 2 304.394 1.671 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2scnc2C)C1 ZINC000957884110 716216859 /nfs/dbraw/zinc/21/68/59/716216859.db2.gz ZLALDKYDOMDNRM-QWRGUYRKSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2scnc2C)C1 ZINC000957884110 716216866 /nfs/dbraw/zinc/21/68/66/716216866.db2.gz ZLALDKYDOMDNRM-QWRGUYRKSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2ccc(CC)o2)[C@H](O)C1 ZINC000958187340 716383842 /nfs/dbraw/zinc/38/38/42/716383842.db2.gz OSOJSMTXCQLJJO-DGCLKSJQSA-N 1 2 312.797 1.617 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2ccc(CC)o2)[C@H](O)C1 ZINC000958187340 716383845 /nfs/dbraw/zinc/38/38/45/716383845.db2.gz OSOJSMTXCQLJJO-DGCLKSJQSA-N 1 2 312.797 1.617 20 30 DDEDLO COc1nscc1C[N@H+](C)C[C@H]1CCCN1C(=O)[C@H](C)C#N ZINC000960499708 716582573 /nfs/dbraw/zinc/58/25/73/716582573.db2.gz GAIUASVDLOHOKA-DGCLKSJQSA-N 1 2 322.434 1.734 20 30 DDEDLO COc1nscc1C[N@@H+](C)C[C@H]1CCCN1C(=O)[C@H](C)C#N ZINC000960499708 716582579 /nfs/dbraw/zinc/58/25/79/716582579.db2.gz GAIUASVDLOHOKA-DGCLKSJQSA-N 1 2 322.434 1.734 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2nc(C)oc2C)C1 ZINC000958516445 716606068 /nfs/dbraw/zinc/60/60/68/716606068.db2.gz SBKSJIDOAUCPLQ-NWDGAFQWSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2nc(C)oc2C)C1 ZINC000958516445 716606072 /nfs/dbraw/zinc/60/60/72/716606072.db2.gz SBKSJIDOAUCPLQ-NWDGAFQWSA-N 1 2 313.785 1.066 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@H]([C@@H]2CCN(CC#N)C2)C1 ZINC000961259764 716886356 /nfs/dbraw/zinc/88/63/56/716886356.db2.gz FHHHEDKGEHLZHN-LSDHHAIUSA-N 1 2 315.421 1.047 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@]3(C)CC=CCC3)CC2)C1 ZINC000941422165 717174341 /nfs/dbraw/zinc/17/43/41/717174341.db2.gz GCTVTYSENTZZQY-LJQANCHMSA-N 1 2 315.461 1.585 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC(C)(C)C3)CC2)C1 ZINC000941555915 717209104 /nfs/dbraw/zinc/20/91/04/717209104.db2.gz UDYSROLOJMFQFD-MRXNPFEDSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H](C=C)c3ccccc3)CC2)C1 ZINC000941671284 717250129 /nfs/dbraw/zinc/25/01/29/717250129.db2.gz GVWQXTRIYCNXFT-LJQANCHMSA-N 1 2 323.440 1.418 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3C[C@H](C)C[C@@H](C)C3)CC2)C1 ZINC000941678679 717253077 /nfs/dbraw/zinc/25/30/77/717253077.db2.gz DFVPKEGPOHVSMR-HZPDHXFCSA-N 1 2 317.477 1.520 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cn(C)ccc2=O)[C@H](C)C1 ZINC000942445539 717680290 /nfs/dbraw/zinc/68/02/90/717680290.db2.gz WDTHBXZFOTZPIF-BXUZGUMPSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cn(C)ccc2=O)[C@H](C)C1 ZINC000942445539 717680291 /nfs/dbraw/zinc/68/02/91/717680291.db2.gz WDTHBXZFOTZPIF-BXUZGUMPSA-N 1 2 323.824 1.578 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)[C@H](C)C1 ZINC000944831613 718351107 /nfs/dbraw/zinc/35/11/07/718351107.db2.gz QOTVCNAJMYZYKF-OCCSQVGLSA-N 1 2 311.389 1.421 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)[C@H](C)C1 ZINC000944831613 718351108 /nfs/dbraw/zinc/35/11/08/718351108.db2.gz QOTVCNAJMYZYKF-OCCSQVGLSA-N 1 2 311.389 1.421 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2snnc2CC)C1 ZINC000967278296 718812505 /nfs/dbraw/zinc/81/25/05/718812505.db2.gz CHQRVBIRCVTNRU-YPMHNXCESA-N 1 2 306.435 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2snnc2CC)C1 ZINC000967278296 718812509 /nfs/dbraw/zinc/81/25/09/718812509.db2.gz CHQRVBIRCVTNRU-YPMHNXCESA-N 1 2 306.435 1.564 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967723551 718989009 /nfs/dbraw/zinc/98/90/09/718989009.db2.gz OTZFDESOQMPMHP-MWLCHTKSSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967723551 718989011 /nfs/dbraw/zinc/98/90/11/718989011.db2.gz OTZFDESOQMPMHP-MWLCHTKSSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cnnn3C)CC[C@H]2C)C1 ZINC000968275288 719483575 /nfs/dbraw/zinc/48/35/75/719483575.db2.gz QRTLYQPXFJKRHA-UKRRQHHQSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cnnn3C)CC[C@H]2C)C1 ZINC000968275288 719483580 /nfs/dbraw/zinc/48/35/80/719483580.db2.gz QRTLYQPXFJKRHA-UKRRQHHQSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000968480273 719610309 /nfs/dbraw/zinc/61/03/09/719610309.db2.gz JFTDLBNRIWGYJL-RNCFNFMXSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000968480273 719610312 /nfs/dbraw/zinc/61/03/12/719610312.db2.gz JFTDLBNRIWGYJL-RNCFNFMXSA-N 1 2 324.812 1.306 20 30 DDEDLO C[C@@H]1CCN(CC#N)C[C@@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC000968533754 719649891 /nfs/dbraw/zinc/64/98/91/719649891.db2.gz MMDLDYTYZIOFQL-CJNGLKHVSA-N 1 2 324.388 1.231 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cc(OC)ccc2OC)CC1 ZINC000948878262 719762424 /nfs/dbraw/zinc/76/24/24/719762424.db2.gz NFLZIMOTDHHZBK-UHFFFAOYSA-N 1 2 316.401 1.414 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccc(-n3ccnc3)n2)CC1 ZINC000949019256 719847391 /nfs/dbraw/zinc/84/73/91/719847391.db2.gz LDENEAVXXHXGIB-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@H](F)C3)CC2)C1 ZINC000949455159 720097655 /nfs/dbraw/zinc/09/76/55/720097655.db2.gz UPEXSGUUAMBRJE-GJZGRUSLSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@H](F)C3)CC2)C1 ZINC000949455159 720097662 /nfs/dbraw/zinc/09/76/62/720097662.db2.gz UPEXSGUUAMBRJE-GJZGRUSLSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H](C)[C@@H]3CCCO3)CC2)C1 ZINC000949466956 720107396 /nfs/dbraw/zinc/10/73/96/720107396.db2.gz XQUXWJHTHIROEH-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H](C)[C@@H]3CCCO3)CC2)C1 ZINC000949466956 720107398 /nfs/dbraw/zinc/10/73/98/720107398.db2.gz XQUXWJHTHIROEH-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(C#C)cn3)CC2)C1 ZINC000949509903 720132624 /nfs/dbraw/zinc/13/26/24/720132624.db2.gz YKBJKKZZPVPPOU-UHFFFAOYSA-N 1 2 323.396 1.003 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(C#C)cn3)CC2)C1 ZINC000949509903 720132628 /nfs/dbraw/zinc/13/26/28/720132628.db2.gz YKBJKKZZPVPPOU-UHFFFAOYSA-N 1 2 323.396 1.003 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)C2CC(OCC)C2)C1 ZINC000969584886 720201123 /nfs/dbraw/zinc/20/11/23/720201123.db2.gz PVQAGJXIUDZVPK-DCBWTQNWSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+](CCc2cnn(C)c2)CC1 ZINC000949627526 720221255 /nfs/dbraw/zinc/22/12/55/720221255.db2.gz HXQYYUQZHVSTQE-UHFFFAOYSA-N 1 2 304.438 1.709 20 30 DDEDLO C=C(Br)CN1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000950787545 720775094 /nfs/dbraw/zinc/77/50/94/720775094.db2.gz RIXKGSBGHYEWPF-UHFFFAOYSA-N 1 2 313.199 1.005 20 30 DDEDLO C=CC[NH+]1CC([C@H](C)NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000970685490 720887873 /nfs/dbraw/zinc/88/78/73/720887873.db2.gz GIGBPIYEQBBPCI-LBPRGKRZSA-N 1 2 311.389 1.708 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C2C[NH+](CC(=C)Cl)C2)cn1 ZINC000970767066 720928787 /nfs/dbraw/zinc/92/87/87/720928787.db2.gz PFINRFCTZBVLGI-GFCCVEGCSA-N 1 2 303.793 1.866 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC000951252228 720970888 /nfs/dbraw/zinc/97/08/88/720970888.db2.gz CKSSIFKORUKNIL-AWEZNQCLSA-N 1 2 316.405 1.347 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@@H](C)OC)C1 ZINC000971159517 721146766 /nfs/dbraw/zinc/14/67/66/721146766.db2.gz ZIPHIPCDTOCLCC-MNOVXSKESA-N 1 2 305.216 1.463 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H](C)OC)C1 ZINC000971159517 721146761 /nfs/dbraw/zinc/14/67/61/721146761.db2.gz ZIPHIPCDTOCLCC-MNOVXSKESA-N 1 2 305.216 1.463 20 30 DDEDLO C[N@H+](Cc1nccs1)[C@@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971292508 721222438 /nfs/dbraw/zinc/22/24/38/721222438.db2.gz VFVXQHKKFXMELW-GFCCVEGCSA-N 1 2 315.402 1.689 20 30 DDEDLO C[N@@H+](Cc1nccs1)[C@@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971292508 721222440 /nfs/dbraw/zinc/22/24/40/721222440.db2.gz VFVXQHKKFXMELW-GFCCVEGCSA-N 1 2 315.402 1.689 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)[C@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971377326 721265262 /nfs/dbraw/zinc/26/52/62/721265262.db2.gz XNRVLVQANGNXRI-DOMZBBRYSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)[C@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971377326 721265265 /nfs/dbraw/zinc/26/52/65/721265265.db2.gz XNRVLVQANGNXRI-DOMZBBRYSA-N 1 2 324.388 1.584 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)nn1C ZINC000971507859 721328937 /nfs/dbraw/zinc/32/89/37/721328937.db2.gz LGWHMRNFLHVVLU-INIZCTEOSA-N 1 2 300.406 1.175 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)nn1C ZINC000971507859 721328943 /nfs/dbraw/zinc/32/89/43/721328943.db2.gz LGWHMRNFLHVVLU-INIZCTEOSA-N 1 2 300.406 1.175 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H](NC(=O)C(F)F)C2)cc1C#N ZINC001009811485 738458098 /nfs/dbraw/zinc/45/80/98/738458098.db2.gz YLILMOCLSVOVOM-GFCCVEGCSA-N 1 2 309.316 1.522 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H](NC(=O)C(F)F)C2)cc1C#N ZINC001009811485 738458102 /nfs/dbraw/zinc/45/81/02/738458102.db2.gz YLILMOCLSVOVOM-GFCCVEGCSA-N 1 2 309.316 1.522 20 30 DDEDLO CN(CC#N)[C@@H]1CCCN(C(=O)[C@@H](c2cccnc2)[NH+](C)C)C1 ZINC001027697948 738563444 /nfs/dbraw/zinc/56/34/44/738563444.db2.gz ZTDZNEBAKHZQAJ-HZPDHXFCSA-N 1 2 315.421 1.131 20 30 DDEDLO C=CCN(CC[NH+]1CCN(c2cnccn2)CC1)C(=O)OCC ZINC001209016898 732557076 /nfs/dbraw/zinc/55/70/76/732557076.db2.gz HQMJKXDLLHLHOE-UHFFFAOYSA-N 1 2 319.409 1.243 20 30 DDEDLO C=CCN1CC[N@@H+](C)C2(CCN(Cc3cncs3)CC2)C1=O ZINC001209546567 732784378 /nfs/dbraw/zinc/78/43/78/732784378.db2.gz KOPWEJZZFIQION-UHFFFAOYSA-N 1 2 320.462 1.438 20 30 DDEDLO C=CCN1CC[N@H+](C)C2(CCN(Cc3cncs3)CC2)C1=O ZINC001209546567 732784380 /nfs/dbraw/zinc/78/43/80/732784380.db2.gz KOPWEJZZFIQION-UHFFFAOYSA-N 1 2 320.462 1.438 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCOC1 ZINC001027781408 738655261 /nfs/dbraw/zinc/65/52/61/738655261.db2.gz HQLJVNDNWKPLLK-NEPJUHHUSA-N 1 2 317.227 1.512 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCOC1 ZINC001027781408 738655264 /nfs/dbraw/zinc/65/52/64/738655264.db2.gz HQLJVNDNWKPLLK-NEPJUHHUSA-N 1 2 317.227 1.512 20 30 DDEDLO C[C@H]([NH2+]CCNC(=O)c1cc(C#N)c[nH]1)c1nc(C2CC2)no1 ZINC001125370028 732922335 /nfs/dbraw/zinc/92/23/35/732922335.db2.gz GLOQGCDYGQSXLN-VIFPVBQESA-N 1 2 314.349 1.227 20 30 DDEDLO N#CCN1CC[C@H]([C@@H]2CCCCN2C(=O)CCn2cc[nH+]c2)C1 ZINC001039226196 733288123 /nfs/dbraw/zinc/28/81/23/733288123.db2.gz SRJFTYBDMNWFLR-HOTGVXAUSA-N 1 2 315.421 1.500 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H](CCCN2C(=O)c2cccc3[nH+]ccn32)C1 ZINC001021634380 733388994 /nfs/dbraw/zinc/38/89/94/733388994.db2.gz ZJNRHYHHKFVCEF-HUUCEWRRSA-N 1 2 323.400 1.784 20 30 DDEDLO N#CCN1CC[C@H]2[C@H](CCCN2C(=O)CCn2cc[nH+]c2)C1 ZINC001021647225 733400222 /nfs/dbraw/zinc/40/02/22/733400222.db2.gz WXPSHWDODHRSIA-CABCVRRESA-N 1 2 301.394 1.110 20 30 DDEDLO Cc1ccnc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038211816 733699105 /nfs/dbraw/zinc/69/91/05/733699105.db2.gz HAXPQBCFUKNEBR-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ccnc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038211816 733699108 /nfs/dbraw/zinc/69/91/08/733699108.db2.gz HAXPQBCFUKNEBR-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO CC#CC[NH2+]C1CC2(CC(NC(=O)C(F)C(F)(F)F)C2)C1 ZINC001121145149 782378365 /nfs/dbraw/zinc/37/83/65/782378365.db2.gz RTQAOQIBYIOKRM-FOBZMYGMSA-N 1 2 306.303 1.927 20 30 DDEDLO CC#CC[NH2+]C1CC2(CC(NC(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001121145149 782378369 /nfs/dbraw/zinc/37/83/69/782378369.db2.gz RTQAOQIBYIOKRM-FOBZMYGMSA-N 1 2 306.303 1.927 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cc(OC)ncn1 ZINC001027884071 738770502 /nfs/dbraw/zinc/77/05/02/738770502.db2.gz GXIBFFGSVIBPJG-NSHDSACASA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc(OC)ncn1 ZINC001027884071 738770503 /nfs/dbraw/zinc/77/05/03/738770503.db2.gz GXIBFFGSVIBPJG-NSHDSACASA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCc2cn[nH]c21 ZINC001027885209 738772919 /nfs/dbraw/zinc/77/29/19/738772919.db2.gz BJAOIOSZLAVOIV-LSDHHAIUSA-N 1 2 302.422 1.986 20 30 DDEDLO CCN(CCNC(=O)C#CC1CC1)c1nc(C)[nH+]c(C)c1C ZINC001100523238 734365935 /nfs/dbraw/zinc/36/59/35/734365935.db2.gz IFVGIFBYRVKMHP-UHFFFAOYSA-N 1 2 300.406 1.758 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccccc3Cl)[C@H]2C1 ZINC001083255541 734980245 /nfs/dbraw/zinc/98/02/45/734980245.db2.gz AITRFPPIEIXUGB-JKSUJKDBSA-N 1 2 318.804 1.889 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccccc3Cl)[C@H]2C1 ZINC001083255541 734980247 /nfs/dbraw/zinc/98/02/47/734980247.db2.gz AITRFPPIEIXUGB-JKSUJKDBSA-N 1 2 318.804 1.889 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C(C)C)cc3)[C@H]2C1 ZINC001083256859 735005191 /nfs/dbraw/zinc/00/51/91/735005191.db2.gz UMJRWBOJVMAODE-ZWKOTPCHSA-N 1 2 312.413 1.968 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C(C)C)cc3)[C@H]2C1 ZINC001083256859 735005195 /nfs/dbraw/zinc/00/51/95/735005195.db2.gz UMJRWBOJVMAODE-ZWKOTPCHSA-N 1 2 312.413 1.968 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1csc([C@H]2CCCO2)n1 ZINC001038253363 735015597 /nfs/dbraw/zinc/01/55/97/735015597.db2.gz NOAHGXRUSINJSY-GXTWGEPZSA-N 1 2 319.430 1.822 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1csc([C@H]2CCCO2)n1 ZINC001038253363 735015600 /nfs/dbraw/zinc/01/56/00/735015600.db2.gz NOAHGXRUSINJSY-GXTWGEPZSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3C[C@H]3OCCCC)n2C)CC1 ZINC001121350667 782467555 /nfs/dbraw/zinc/46/75/55/782467555.db2.gz JIWZMZFIBKSJHL-HUUCEWRRSA-N 1 2 317.437 1.243 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2CCC[N@H+](Cc3ncccn3)C2)c1 ZINC001023296184 735140084 /nfs/dbraw/zinc/14/00/84/735140084.db2.gz QQARYZQDQXEQKL-CYBMUJFWSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2CCC[N@@H+](Cc3ncccn3)C2)c1 ZINC001023296184 735140086 /nfs/dbraw/zinc/14/00/86/735140086.db2.gz QQARYZQDQXEQKL-CYBMUJFWSA-N 1 2 324.388 1.318 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001023368203 735202921 /nfs/dbraw/zinc/20/29/21/735202921.db2.gz JGSBQSWYPQPTHQ-GJZGRUSLSA-N 1 2 314.433 1.681 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001023368203 735202922 /nfs/dbraw/zinc/20/29/22/735202922.db2.gz JGSBQSWYPQPTHQ-GJZGRUSLSA-N 1 2 314.433 1.681 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001023368640 735203189 /nfs/dbraw/zinc/20/31/89/735203189.db2.gz SBHJGMPDZNKSPQ-KBPBESRZSA-N 1 2 300.406 1.291 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001023368640 735203190 /nfs/dbraw/zinc/20/31/90/735203190.db2.gz SBHJGMPDZNKSPQ-KBPBESRZSA-N 1 2 300.406 1.291 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCC[C@H]1CNC(=O)c1cn[nH]n1 ZINC001024525720 735900634 /nfs/dbraw/zinc/90/06/34/735900634.db2.gz QWSQISFSRQXASN-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCC[C@H]1CNC(=O)c1cn[nH]n1 ZINC001024525720 735900637 /nfs/dbraw/zinc/90/06/37/735900637.db2.gz QWSQISFSRQXASN-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1cnn(-c2cc[nH+]cc2)c1 ZINC001024734276 736054942 /nfs/dbraw/zinc/05/49/42/736054942.db2.gz UQWFDJRIOZKSJQ-QGZVFWFLSA-N 1 2 323.400 1.485 20 30 DDEDLO C#CCN1CCCC[C@@H]1CNC(=O)c1cnn(-c2cc[nH+]cc2)c1 ZINC001024734276 736054949 /nfs/dbraw/zinc/05/49/49/736054949.db2.gz UQWFDJRIOZKSJQ-QGZVFWFLSA-N 1 2 323.400 1.485 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](C)c2ccnn2C)C1 ZINC001007356399 736314590 /nfs/dbraw/zinc/31/45/90/736314590.db2.gz JSOBVACSFXYQCG-QWHCGFSZSA-N 1 2 310.829 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](C)c2ccnn2C)C1 ZINC001007356399 736314592 /nfs/dbraw/zinc/31/45/92/736314592.db2.gz JSOBVACSFXYQCG-QWHCGFSZSA-N 1 2 310.829 1.857 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)N(C)C(=O)Cc1c[nH+]cn1C ZINC001104625200 736463917 /nfs/dbraw/zinc/46/39/17/736463917.db2.gz ZOEFTQWRAKAYTN-LBPRGKRZSA-N 1 2 312.377 1.188 20 30 DDEDLO C[C@@H](NC(=O)c1cccc2[nH+]ccn21)[C@H]1CCCN(CC#N)C1 ZINC001025481743 736715644 /nfs/dbraw/zinc/71/56/44/736715644.db2.gz KBRLEFIWNJXQJH-KGLIPLIRSA-N 1 2 311.389 1.688 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@]3(C)C=CCC3)C2)nn1 ZINC001105303954 737789115 /nfs/dbraw/zinc/78/91/15/737789115.db2.gz WGXFVAWEANHRIF-KRWDZBQOSA-N 1 2 313.405 1.131 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3CCCC[C@@H]3C)C2)nn1 ZINC001105315375 737822969 /nfs/dbraw/zinc/82/29/69/737822969.db2.gz KDNCUMPPVYUYCT-BBRMVZONSA-N 1 2 315.421 1.211 20 30 DDEDLO CCOC(=O)CN1CC[NH+](Cc2ccc(C)c(C#N)c2)CC1 ZINC001139722709 739109125 /nfs/dbraw/zinc/10/91/25/739109125.db2.gz FNVXBOVKDKQGJW-UHFFFAOYSA-N 1 2 301.390 1.547 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H]1CNC(=O)c1cnon1 ZINC001028216601 739180253 /nfs/dbraw/zinc/18/02/53/739180253.db2.gz LEZSRFVDCFBOMJ-CQSZACIVSA-N 1 2 311.345 1.336 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnon1 ZINC001028216601 739180256 /nfs/dbraw/zinc/18/02/56/739180256.db2.gz LEZSRFVDCFBOMJ-CQSZACIVSA-N 1 2 311.345 1.336 20 30 DDEDLO CC#CCN1CCO[C@@H](CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001035415612 751496973 /nfs/dbraw/zinc/49/69/73/751496973.db2.gz CGJOEIQBKLFQMO-AWEZNQCLSA-N 1 2 312.373 1.017 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](CNC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001028733661 739972491 /nfs/dbraw/zinc/97/24/91/739972491.db2.gz HFXRPFXZWCRMJV-ZDUSSCGKSA-N 1 2 311.389 1.709 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](CNC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001028733661 739972495 /nfs/dbraw/zinc/97/24/95/739972495.db2.gz HFXRPFXZWCRMJV-ZDUSSCGKSA-N 1 2 311.389 1.709 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(COC)c2)C1 ZINC001035435631 751513408 /nfs/dbraw/zinc/51/34/08/751513408.db2.gz RVHSHXRKTOHEHY-MRXNPFEDSA-N 1 2 304.390 1.450 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc(COC)c2)C1 ZINC001035435631 751513411 /nfs/dbraw/zinc/51/34/11/751513411.db2.gz RVHSHXRKTOHEHY-MRXNPFEDSA-N 1 2 304.390 1.450 20 30 DDEDLO CC[C@H]1CN(C(=O)CCc2[nH+]ccn2C)CC[C@@H]1NCC#N ZINC001037896525 751544412 /nfs/dbraw/zinc/54/44/12/751544412.db2.gz XZFAFOUWWORMKY-KBPBESRZSA-N 1 2 303.410 1.093 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001059283886 740559119 /nfs/dbraw/zinc/55/91/19/740559119.db2.gz QBFPCLUMWRNDPD-JOCQHMNTSA-N 1 2 310.361 1.287 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1ncccn1 ZINC001087534829 740596718 /nfs/dbraw/zinc/59/67/18/740596718.db2.gz LCVBDCVFDUNEPE-DZGCQCFKSA-N 1 2 324.388 1.459 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1ncccn1 ZINC001087534829 740596720 /nfs/dbraw/zinc/59/67/20/740596720.db2.gz LCVBDCVFDUNEPE-DZGCQCFKSA-N 1 2 324.388 1.459 20 30 DDEDLO Cc1ncc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)[nH]1 ZINC001038103232 740657510 /nfs/dbraw/zinc/65/75/10/740657510.db2.gz MUMOCSRBILQXLG-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1ncc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)[nH]1 ZINC001038103232 740657513 /nfs/dbraw/zinc/65/75/13/740657513.db2.gz MUMOCSRBILQXLG-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)C2CCC(OC)CC2)C1 ZINC001035495232 751579153 /nfs/dbraw/zinc/57/91/53/751579153.db2.gz HFPVSIWDRRBOCZ-GPANFISMSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)C2CCC(OC)CC2)C1 ZINC001035495232 751579161 /nfs/dbraw/zinc/57/91/61/751579161.db2.gz HFPVSIWDRRBOCZ-GPANFISMSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2nc(CC)oc2C)C1 ZINC001035539206 751585941 /nfs/dbraw/zinc/58/59/41/751585941.db2.gz DBEOTRYJPKGQJI-ZDUSSCGKSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2nc(CC)oc2C)C1 ZINC001035539206 751585947 /nfs/dbraw/zinc/58/59/47/751585947.db2.gz DBEOTRYJPKGQJI-ZDUSSCGKSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001012442331 740942060 /nfs/dbraw/zinc/94/20/60/740942060.db2.gz BBYKQLDWGABWKY-INIZCTEOSA-N 1 2 304.394 1.225 20 30 DDEDLO N#CCN1CC[C@@H]2CN(C(=O)[C@H]3CCCc4[nH+]c[nH]c43)C[C@@H]2C1 ZINC001088102307 741031130 /nfs/dbraw/zinc/03/11/30/741031130.db2.gz ZCKXKVREEUAROB-RDBSUJKOSA-N 1 2 313.405 1.133 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccncc2Cl)C1 ZINC001035531516 751612633 /nfs/dbraw/zinc/61/26/33/751612633.db2.gz CHYHOUQPDNDQLY-GFCCVEGCSA-N 1 2 309.797 1.742 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccncc2Cl)C1 ZINC001035531516 751612635 /nfs/dbraw/zinc/61/26/35/751612635.db2.gz CHYHOUQPDNDQLY-GFCCVEGCSA-N 1 2 309.797 1.742 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001059549925 741186096 /nfs/dbraw/zinc/18/60/96/741186096.db2.gz TYJIKYIVJRGSIR-CQSZACIVSA-N 1 2 316.405 1.111 20 30 DDEDLO C[N@@H+](Cc1nncs1)C[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001029733500 741260121 /nfs/dbraw/zinc/26/01/21/741260121.db2.gz LGNOZPRBUSXHAA-ZDUSSCGKSA-N 1 2 304.419 1.232 20 30 DDEDLO C[N@H+](Cc1nncs1)C[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001029733500 741260125 /nfs/dbraw/zinc/26/01/25/741260125.db2.gz LGNOZPRBUSXHAA-ZDUSSCGKSA-N 1 2 304.419 1.232 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3scnc3c2)C1 ZINC001035570651 751624108 /nfs/dbraw/zinc/62/41/08/751624108.db2.gz UMLKAJGMNNKVAN-ZDUSSCGKSA-N 1 2 317.414 1.913 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3scnc3c2)C1 ZINC001035570651 751624112 /nfs/dbraw/zinc/62/41/12/751624112.db2.gz UMLKAJGMNNKVAN-ZDUSSCGKSA-N 1 2 317.414 1.913 20 30 DDEDLO CCc1nc(C[NH2+][C@H]2CCCCCN(C(=O)[C@@H](C)C#N)C2)no1 ZINC001088279824 741317923 /nfs/dbraw/zinc/31/79/23/741317923.db2.gz BEYVSDIOSRVLAL-STQMWFEESA-N 1 2 319.409 1.652 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(CCCC)c2C)C1 ZINC001035573386 751627554 /nfs/dbraw/zinc/62/75/54/751627554.db2.gz HGUYOBOQQUZWPR-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnn(CCCC)c2C)C1 ZINC001035573386 751627559 /nfs/dbraw/zinc/62/75/59/751627559.db2.gz HGUYOBOQQUZWPR-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2csc(C(C)C)n2)C1 ZINC001035550713 751632773 /nfs/dbraw/zinc/63/27/73/751632773.db2.gz XEACTSFVORAOOR-ZDUSSCGKSA-N 1 2 321.446 1.720 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2csc(C(C)C)n2)C1 ZINC001035550713 751632778 /nfs/dbraw/zinc/63/27/78/751632778.db2.gz XEACTSFVORAOOR-ZDUSSCGKSA-N 1 2 321.446 1.720 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)[nH]nc2C(C)C)C1 ZINC001035575911 751637430 /nfs/dbraw/zinc/63/74/30/751637430.db2.gz VYRFWMRTZGJEDC-AWEZNQCLSA-N 1 2 318.421 1.295 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)[nH]nc2C(C)C)C1 ZINC001035575911 751637433 /nfs/dbraw/zinc/63/74/33/751637433.db2.gz VYRFWMRTZGJEDC-AWEZNQCLSA-N 1 2 318.421 1.295 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001035575911 751637440 /nfs/dbraw/zinc/63/74/40/751637440.db2.gz VYRFWMRTZGJEDC-AWEZNQCLSA-N 1 2 318.421 1.295 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001035575911 751637443 /nfs/dbraw/zinc/63/74/43/751637443.db2.gz VYRFWMRTZGJEDC-AWEZNQCLSA-N 1 2 318.421 1.295 20 30 DDEDLO C#CCC1(C(=O)N(C)CCN(C)c2cc[nH+]c(C)n2)CCC1 ZINC001105482291 742078922 /nfs/dbraw/zinc/07/89/22/742078922.db2.gz AKGGXNZWRYCNKP-UHFFFAOYSA-N 1 2 300.406 1.873 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+][C@H](C)c1nnc(CC(C)C)o1 ZINC001126823229 742306141 /nfs/dbraw/zinc/30/61/41/742306141.db2.gz SGSGGTPJLFAIMN-CYBMUJFWSA-N 1 2 306.410 1.694 20 30 DDEDLO Cc1ncoc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038132857 742408394 /nfs/dbraw/zinc/40/83/94/742408394.db2.gz LOILAXOEVGOIHJ-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1ncoc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038132857 742408396 /nfs/dbraw/zinc/40/83/96/742408396.db2.gz LOILAXOEVGOIHJ-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2conc2C2CCCC2)[C@@H](O)C1 ZINC001083516953 742442613 /nfs/dbraw/zinc/44/26/13/742442613.db2.gz DSQVNSSNLLXPCC-CABCVRRESA-N 1 2 317.389 1.130 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2conc2C2CCCC2)[C@@H](O)C1 ZINC001083516953 742442617 /nfs/dbraw/zinc/44/26/17/742442617.db2.gz DSQVNSSNLLXPCC-CABCVRRESA-N 1 2 317.389 1.130 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001076307644 742656887 /nfs/dbraw/zinc/65/68/87/742656887.db2.gz SRDMQIFARGTSMK-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC(C)(C)C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001076433632 742732218 /nfs/dbraw/zinc/73/22/18/742732218.db2.gz JRNDYIJHRHYESZ-ZDUSSCGKSA-N 1 2 318.421 1.403 20 30 DDEDLO Cc1cc(C[NH+]2CC3(C2)CCN(C(=O)C#CC2CC2)CC3)no1 ZINC001035702382 751789051 /nfs/dbraw/zinc/78/90/51/751789051.db2.gz RXCIJAXWWAEAIP-UHFFFAOYSA-N 1 2 313.401 1.821 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)Cc1ccc(F)c(C#N)c1 ZINC001181195932 743101902 /nfs/dbraw/zinc/10/19/02/743101902.db2.gz RMUMSDQGRXQRNA-UHFFFAOYSA-N 1 2 316.336 1.399 20 30 DDEDLO CC#CC[NH+]1CC2(C1)CCN(C(=O)C(F)C(F)(F)F)CC2 ZINC001035709475 751795926 /nfs/dbraw/zinc/79/59/26/751795926.db2.gz HEDROHOYJRMOQG-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077017053 743175186 /nfs/dbraw/zinc/17/51/86/743175186.db2.gz LRLMSCCRQZCAJN-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077017053 743175189 /nfs/dbraw/zinc/17/51/89/743175189.db2.gz LRLMSCCRQZCAJN-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2scc(C)c2Cl)C1 ZINC001077050214 743197338 /nfs/dbraw/zinc/19/73/38/743197338.db2.gz AJRCVOHEWKVOFI-NXEZZACHSA-N 1 2 300.811 1.671 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2scc(C)c2Cl)C1 ZINC001077050214 743197342 /nfs/dbraw/zinc/19/73/42/743197342.db2.gz AJRCVOHEWKVOFI-NXEZZACHSA-N 1 2 300.811 1.671 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1 ZINC001181469009 743207019 /nfs/dbraw/zinc/20/70/19/743207019.db2.gz HSLPUNCKOBNAKI-NWDGAFQWSA-N 1 2 310.423 1.210 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1cnccn1 ZINC001006634006 751804574 /nfs/dbraw/zinc/80/45/74/751804574.db2.gz XFUFWTYNTQYOGQ-KRWDZBQOSA-N 1 2 320.396 1.723 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1cnccn1 ZINC001006634006 751804581 /nfs/dbraw/zinc/80/45/81/751804581.db2.gz XFUFWTYNTQYOGQ-KRWDZBQOSA-N 1 2 320.396 1.723 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CCNC(=O)Cn1cc[nH+]c1 ZINC001077186662 743304174 /nfs/dbraw/zinc/30/41/74/743304174.db2.gz JDUKRBMUMUJWJT-AWEZNQCLSA-N 1 2 304.394 1.088 20 30 DDEDLO C=CCOc1ccccc1C(=O)N[C@@H]1C[N@H+](CC#CC)C[C@H]1O ZINC001077246765 743349689 /nfs/dbraw/zinc/34/96/89/743349689.db2.gz FORADOACOJLOJK-HZPDHXFCSA-N 1 2 314.385 1.050 20 30 DDEDLO C=CCOc1ccccc1C(=O)N[C@@H]1C[N@@H+](CC#CC)C[C@H]1O ZINC001077246765 743349696 /nfs/dbraw/zinc/34/96/96/743349696.db2.gz FORADOACOJLOJK-HZPDHXFCSA-N 1 2 314.385 1.050 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CCc2ccc(F)cc2O1 ZINC001038510397 743368564 /nfs/dbraw/zinc/36/85/64/743368564.db2.gz CKSUAOOPHYWZQN-HUUCEWRRSA-N 1 2 302.349 1.343 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CCc2ccc(F)cc2O1 ZINC001038510397 743368566 /nfs/dbraw/zinc/36/85/66/743368566.db2.gz CKSUAOOPHYWZQN-HUUCEWRRSA-N 1 2 302.349 1.343 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2csnn2)C1 ZINC001182112686 743480809 /nfs/dbraw/zinc/48/08/09/743480809.db2.gz AMJONVZCLYRRJI-QWHCGFSZSA-N 1 2 306.435 1.983 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3c([nH]2)c(F)ccc3C)[C@@H](O)C1 ZINC001083586506 743485826 /nfs/dbraw/zinc/48/58/26/743485826.db2.gz YBCOPGDEAOHJNJ-CABCVRRESA-N 1 2 315.348 1.024 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c([nH]2)c(F)ccc3C)[C@@H](O)C1 ZINC001083586506 743485829 /nfs/dbraw/zinc/48/58/29/743485829.db2.gz YBCOPGDEAOHJNJ-CABCVRRESA-N 1 2 315.348 1.024 20 30 DDEDLO Cc1ncn(C)c1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038377933 743563268 /nfs/dbraw/zinc/56/32/68/743563268.db2.gz PVRWSCHYRNCZNP-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1ncn(C)c1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038377933 743563272 /nfs/dbraw/zinc/56/32/72/743563272.db2.gz PVRWSCHYRNCZNP-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCN(C(=O)Cc2c[nH+]cn2C)CC1 ZINC001011645363 743569009 /nfs/dbraw/zinc/56/90/09/743569009.db2.gz ATKPMSRJQUMXAO-UHFFFAOYSA-N 1 2 318.421 1.236 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001182373077 743595132 /nfs/dbraw/zinc/59/51/32/743595132.db2.gz SHWXUYHBIRGPDS-CYBMUJFWSA-N 1 2 304.394 1.543 20 30 DDEDLO CCCC#CC(=O)N1CCCn2c(C[N@H+](C)C(C)C)nnc2C1 ZINC001184271199 743996825 /nfs/dbraw/zinc/99/68/25/743996825.db2.gz PNHFPLLBLQJSBT-UHFFFAOYSA-N 1 2 317.437 1.654 20 30 DDEDLO CCCC#CC(=O)N1CCCn2c(C[N@@H+](C)C(C)C)nnc2C1 ZINC001184271199 743996828 /nfs/dbraw/zinc/99/68/28/743996828.db2.gz PNHFPLLBLQJSBT-UHFFFAOYSA-N 1 2 317.437 1.654 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001060312477 744020472 /nfs/dbraw/zinc/02/04/72/744020472.db2.gz ANSYQFJDGDTVHH-HNNXBMFYSA-N 1 2 324.388 1.134 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)cn1 ZINC001060312874 744021757 /nfs/dbraw/zinc/02/17/57/744021757.db2.gz KGEGSLORWWKDCA-MRXNPFEDSA-N 1 2 324.388 1.712 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2COc3cc(F)ccc3C2)C1 ZINC001030310274 744039261 /nfs/dbraw/zinc/03/92/61/744039261.db2.gz FNBIVOAEYZJQOI-ZDUSSCGKSA-N 1 2 304.365 1.753 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001185071426 744155888 /nfs/dbraw/zinc/15/58/88/744155888.db2.gz WEZNKTVPWDBMOH-CHWSQXEVSA-N 1 2 321.425 1.428 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H](CC)CCC)C2)nn1 ZINC001098757692 744218111 /nfs/dbraw/zinc/21/81/11/744218111.db2.gz RLKWTDACAKSPCY-ZBFHGGJFSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2CC=CC[C@H]2C(F)(F)F)C1 ZINC001030528397 744237931 /nfs/dbraw/zinc/23/79/31/744237931.db2.gz GHJFIWKPOSUTRU-CHWSQXEVSA-N 1 2 300.324 1.955 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CCC=C)C2)nn1 ZINC001185907500 744306411 /nfs/dbraw/zinc/30/64/11/744306411.db2.gz MNGMEQPXPFXFJC-HNNXBMFYSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001185959390 744319805 /nfs/dbraw/zinc/31/98/05/744319805.db2.gz ITZHOXTZKSAERV-GFCCVEGCSA-N 1 2 306.410 1.925 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1ccon1 ZINC001006841814 751908295 /nfs/dbraw/zinc/90/82/95/751908295.db2.gz XAJKEXFTWBCBEI-MRXNPFEDSA-N 1 2 309.369 1.921 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1ccon1 ZINC001006841814 751908297 /nfs/dbraw/zinc/90/82/97/751908297.db2.gz XAJKEXFTWBCBEI-MRXNPFEDSA-N 1 2 309.369 1.921 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccnnc2)CC[N@@H+]1Cc1ccccc1C#N ZINC001088878517 744335124 /nfs/dbraw/zinc/33/51/24/744335124.db2.gz ADPMKVAWKFDXBN-DYVFJYSZSA-N 1 2 321.384 1.741 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccnnc2)CC[N@H+]1Cc1ccccc1C#N ZINC001088878517 744335127 /nfs/dbraw/zinc/33/51/27/744335127.db2.gz ADPMKVAWKFDXBN-DYVFJYSZSA-N 1 2 321.384 1.741 20 30 DDEDLO C[C@H](CC(=O)N1CCC2(CN(CC#N)C2)CC1)n1cc[nH+]c1 ZINC001035806858 751910119 /nfs/dbraw/zinc/91/01/19/751910119.db2.gz BNIANNHNYWGMDW-CQSZACIVSA-N 1 2 301.394 1.282 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001187239668 744522626 /nfs/dbraw/zinc/52/26/26/744522626.db2.gz HEHRZKXSOHHTIO-KWCYVHTRSA-N 1 2 304.394 1.938 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001187239668 744522629 /nfs/dbraw/zinc/52/26/29/744522629.db2.gz HEHRZKXSOHHTIO-KWCYVHTRSA-N 1 2 304.394 1.938 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001187736960 744601654 /nfs/dbraw/zinc/60/16/54/744601654.db2.gz NFFNHANUHRZYPC-AEGPPILISA-N 1 2 315.421 1.510 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001187736960 744601655 /nfs/dbraw/zinc/60/16/55/744601655.db2.gz NFFNHANUHRZYPC-AEGPPILISA-N 1 2 315.421 1.510 20 30 DDEDLO Cc1nc(N[C@@H](C)C[C@H](C)NC(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001089263544 744824633 /nfs/dbraw/zinc/82/46/33/744824633.db2.gz BFONNIQMVQCQBY-QWRGUYRKSA-N 1 2 312.377 1.994 20 30 DDEDLO C#Cc1cncc(C(=O)NC2C[NH+](Cc3ccccc3C)C2)c1 ZINC001030927846 744854582 /nfs/dbraw/zinc/85/45/82/744854582.db2.gz BAOKTRSEQCAFHI-UHFFFAOYSA-N 1 2 305.381 1.986 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001189918103 745032376 /nfs/dbraw/zinc/03/23/76/745032376.db2.gz NCSVOKARLREMDP-HOCLYGCPSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001189918103 745032383 /nfs/dbraw/zinc/03/23/83/745032383.db2.gz NCSVOKARLREMDP-HOCLYGCPSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2nccs2)C1 ZINC001189918994 745035493 /nfs/dbraw/zinc/03/54/93/745035493.db2.gz XYAQXOHQWWTHAX-WFASDCNBSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2nccs2)C1 ZINC001189918994 745035500 /nfs/dbraw/zinc/03/55/00/745035500.db2.gz XYAQXOHQWWTHAX-WFASDCNBSA-N 1 2 309.435 1.503 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001190112271 745118143 /nfs/dbraw/zinc/11/81/43/745118143.db2.gz DSKAEZCDPBKHQA-GJZGRUSLSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001190112271 745118146 /nfs/dbraw/zinc/11/81/46/745118146.db2.gz DSKAEZCDPBKHQA-GJZGRUSLSA-N 1 2 316.405 1.110 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001060462646 745141777 /nfs/dbraw/zinc/14/17/77/745141777.db2.gz HMTRFLJCTLPVJX-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001190424993 745216963 /nfs/dbraw/zinc/21/69/63/745216963.db2.gz JOOZOTWVHHPSKL-DZGCQCFKSA-N 1 2 305.378 1.300 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001190424993 745216967 /nfs/dbraw/zinc/21/69/67/745216967.db2.gz JOOZOTWVHHPSKL-DZGCQCFKSA-N 1 2 305.378 1.300 20 30 DDEDLO CCN(CCn1cc[nH+]c1)S(=O)(=O)c1cc(C#N)ccc1F ZINC001190642661 745283046 /nfs/dbraw/zinc/28/30/46/745283046.db2.gz LPLNTTIDJYLROW-UHFFFAOYSA-N 1 2 322.365 1.605 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001191838385 745627334 /nfs/dbraw/zinc/62/73/34/745627334.db2.gz JRGDGVBODJFAJN-OAHLLOKOSA-N 1 2 317.437 1.524 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001191838385 745627336 /nfs/dbraw/zinc/62/73/36/745627336.db2.gz JRGDGVBODJFAJN-OAHLLOKOSA-N 1 2 317.437 1.524 20 30 DDEDLO C[C@H](CCNc1cncc(C#N)n1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106620515 745841485 /nfs/dbraw/zinc/84/14/85/745841485.db2.gz FVTNIDWFSVCQQU-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@H](CCNc1cncc(C#N)n1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106620515 745841489 /nfs/dbraw/zinc/84/14/89/745841489.db2.gz FVTNIDWFSVCQQU-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO Cc1nc(N[C@H](C)CCNC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001099261459 746018092 /nfs/dbraw/zinc/01/80/92/746018092.db2.gz DHLRPERXCRLAJZ-GFCCVEGCSA-N 1 2 312.377 1.465 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cc(OC)ncn2)[C@H]1C ZINC000993368015 746039365 /nfs/dbraw/zinc/03/93/65/746039365.db2.gz ROGHKVFLQIRASE-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cc(OC)ncn2)[C@H]1C ZINC000993368015 746039371 /nfs/dbraw/zinc/03/93/71/746039371.db2.gz ROGHKVFLQIRASE-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CCC[N@@H+]1Cc1ccc(C#N)cc1 ZINC000994025193 746426276 /nfs/dbraw/zinc/42/62/76/746426276.db2.gz VHHDIBJBLSAJGE-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000994025193 746426278 /nfs/dbraw/zinc/42/62/78/746426278.db2.gz VHHDIBJBLSAJGE-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CCC[N@@H+]1Cc1ccc(C#N)cc1 ZINC000994025193 746426279 /nfs/dbraw/zinc/42/62/79/746426279.db2.gz VHHDIBJBLSAJGE-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000994025193 746426280 /nfs/dbraw/zinc/42/62/80/746426280.db2.gz VHHDIBJBLSAJGE-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cn(CC)nn2)[C@@H]1C ZINC000994106435 746459406 /nfs/dbraw/zinc/45/94/06/746459406.db2.gz MMDZZXYCICDPNN-NWDGAFQWSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cn(CC)nn2)[C@@H]1C ZINC000994106435 746459407 /nfs/dbraw/zinc/45/94/07/746459407.db2.gz MMDZZXYCICDPNN-NWDGAFQWSA-N 1 2 311.817 1.633 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)c2occc2C)CC1 ZINC001194831055 746469998 /nfs/dbraw/zinc/46/99/98/746469998.db2.gz PYWKKJAZELBQKI-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)c2occc2C)CC1 ZINC001194831055 746470002 /nfs/dbraw/zinc/47/00/02/746470002.db2.gz PYWKKJAZELBQKI-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO N#CCSCC(=O)N1CCC[N@H+](Cc2cncs2)CC1 ZINC001194918822 746486650 /nfs/dbraw/zinc/48/66/50/746486650.db2.gz BZCCXLJTOQKEOP-UHFFFAOYSA-N 1 2 310.448 1.434 20 30 DDEDLO N#CCSCC(=O)N1CCC[N@@H+](Cc2cncs2)CC1 ZINC001194918822 746486654 /nfs/dbraw/zinc/48/66/54/746486654.db2.gz BZCCXLJTOQKEOP-UHFFFAOYSA-N 1 2 310.448 1.434 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195245105 746554853 /nfs/dbraw/zinc/55/48/53/746554853.db2.gz CXWJUAWAUPDKLN-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195245105 746554855 /nfs/dbraw/zinc/55/48/55/746554855.db2.gz CXWJUAWAUPDKLN-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CCC[N@H+]1CCC[C@H](NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001007343804 752104765 /nfs/dbraw/zinc/10/47/65/752104765.db2.gz OJXOUWZGXYPUPM-GJZGRUSLSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001007343804 752104769 /nfs/dbraw/zinc/10/47/69/752104769.db2.gz OJXOUWZGXYPUPM-GJZGRUSLSA-N 1 2 300.406 1.434 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1O ZINC001195533404 746639316 /nfs/dbraw/zinc/63/93/16/746639316.db2.gz ZXBKJFFDPKKKSZ-NILFDRSVSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1O ZINC001195533404 746639319 /nfs/dbraw/zinc/63/93/19/746639319.db2.gz ZXBKJFFDPKKKSZ-NILFDRSVSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001195541054 746639982 /nfs/dbraw/zinc/63/99/82/746639982.db2.gz NBBQHEVIYPPWJY-UHFFFAOYSA-N 1 2 307.438 1.241 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001195541054 746639984 /nfs/dbraw/zinc/63/99/84/746639984.db2.gz NBBQHEVIYPPWJY-UHFFFAOYSA-N 1 2 307.438 1.241 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC001196003526 746751965 /nfs/dbraw/zinc/75/19/65/746751965.db2.gz LEOBVGNKVAHVAH-UHFFFAOYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC001196003526 746751967 /nfs/dbraw/zinc/75/19/67/746751967.db2.gz LEOBVGNKVAHVAH-UHFFFAOYSA-N 1 2 309.454 1.792 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1)Nc1ccncc1C#N ZINC001089379004 746752866 /nfs/dbraw/zinc/75/28/66/746752866.db2.gz ANGCOMRALPHDBD-NEPJUHHUSA-N 1 2 312.377 1.036 20 30 DDEDLO CC1(C)C[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CCN(CC#N)C1 ZINC001089410111 746823627 /nfs/dbraw/zinc/82/36/27/746823627.db2.gz NMRTWRJTYFFZIF-BFHYXJOUSA-N 1 2 315.421 1.644 20 30 DDEDLO CC(C)[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001196559701 746906048 /nfs/dbraw/zinc/90/60/48/746906048.db2.gz IITNLLDLVCDHJY-KBAYOESNSA-N 1 2 314.429 1.492 20 30 DDEDLO CC(C)[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001196559701 746906054 /nfs/dbraw/zinc/90/60/54/746906054.db2.gz IITNLLDLVCDHJY-KBAYOESNSA-N 1 2 314.429 1.492 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)CC2CCCC2)C1 ZINC001196590478 746914536 /nfs/dbraw/zinc/91/45/36/746914536.db2.gz OERWWVNCYLKSHM-DJIMGWMZSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)CC2CCCC2)C1 ZINC001196590478 746914542 /nfs/dbraw/zinc/91/45/42/746914542.db2.gz OERWWVNCYLKSHM-DJIMGWMZSA-N 1 2 322.449 1.014 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccccn3)C2)cc1F ZINC001031506967 746917505 /nfs/dbraw/zinc/91/75/05/746917505.db2.gz YDYPQWYBDUHWBM-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@H+](Cc2cncn2C)CC1 ZINC001196852881 746990899 /nfs/dbraw/zinc/99/08/99/746990899.db2.gz DYSFFXARSWYSKH-GJZGRUSLSA-N 1 2 304.438 1.913 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@@H+](Cc2cncn2C)CC1 ZINC001196852881 746990901 /nfs/dbraw/zinc/99/09/01/746990901.db2.gz DYSFFXARSWYSKH-GJZGRUSLSA-N 1 2 304.438 1.913 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2CCC(=C)CC2)CC1 ZINC001197044970 747035467 /nfs/dbraw/zinc/03/54/67/747035467.db2.gz XWISGHROIXZRBW-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2CCC(=C)CC2)CC1 ZINC001197044970 747035474 /nfs/dbraw/zinc/03/54/74/747035474.db2.gz XWISGHROIXZRBW-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCC2C[NH+](CC=C(Cl)Cl)C2)c1 ZINC001031604333 747151999 /nfs/dbraw/zinc/15/19/99/747151999.db2.gz JQOZDPLIZOQFDF-UHFFFAOYSA-N 1 2 313.188 1.867 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2cncc(F)c2)C1 ZINC001031607526 747176905 /nfs/dbraw/zinc/17/69/05/747176905.db2.gz UZQUUIUQVOMIDA-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO N#Cc1cc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)c[nH]1 ZINC001031622549 747218512 /nfs/dbraw/zinc/21/85/12/747218512.db2.gz WDEAHTFGEDMWQW-UHFFFAOYSA-N 1 2 319.368 1.620 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](F)CC2CCCCC2)C1 ZINC001197841690 747275580 /nfs/dbraw/zinc/27/55/80/747275580.db2.gz RWASBRFTAZERLH-BZUAXINKSA-N 1 2 310.413 1.480 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](F)CC2CCCCC2)C1 ZINC001197841690 747275584 /nfs/dbraw/zinc/27/55/84/747275584.db2.gz RWASBRFTAZERLH-BZUAXINKSA-N 1 2 310.413 1.480 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](F)CC2CCCCC2)C1 ZINC001197841689 747275830 /nfs/dbraw/zinc/27/58/30/747275830.db2.gz RWASBRFTAZERLH-ARFHVFGLSA-N 1 2 310.413 1.480 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](F)CC2CCCCC2)C1 ZINC001197841689 747275833 /nfs/dbraw/zinc/27/58/33/747275833.db2.gz RWASBRFTAZERLH-ARFHVFGLSA-N 1 2 310.413 1.480 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(C)cc2)C1 ZINC001108058792 747397878 /nfs/dbraw/zinc/39/78/78/747397878.db2.gz GIGRQQLBDSVJAQ-IBGZPJMESA-N 1 2 314.429 1.768 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(C)cc2)C1 ZINC001108058792 747397881 /nfs/dbraw/zinc/39/78/81/747397881.db2.gz GIGRQQLBDSVJAQ-IBGZPJMESA-N 1 2 314.429 1.768 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2ccns2)C1 ZINC001031706815 747464313 /nfs/dbraw/zinc/46/43/13/747464313.db2.gz GJVNZUSXINJFOE-UHFFFAOYSA-N 1 2 312.398 1.877 20 30 DDEDLO C[NH+]1CCC(C#N)(NS(=O)(=O)c2ccc3occc3c2)CC1 ZINC001198894690 747637496 /nfs/dbraw/zinc/63/74/96/747637496.db2.gz DMRMKBDEUCVNQR-UHFFFAOYSA-N 1 2 319.386 1.699 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC000998756029 752208988 /nfs/dbraw/zinc/20/89/88/752208988.db2.gz SYMWHBBGFAVVDF-DGCLKSJQSA-N 1 2 317.393 1.577 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](C[C@H](F)CC)C[C@H]2O)CCCCC1 ZINC001199277886 747787201 /nfs/dbraw/zinc/78/72/01/747787201.db2.gz FXUJNPPPTZOFQR-BZUAXINKSA-N 1 2 324.440 1.870 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](C[C@H](F)CC)C[C@H]2O)CCCCC1 ZINC001199277886 747787205 /nfs/dbraw/zinc/78/72/05/747787205.db2.gz FXUJNPPPTZOFQR-BZUAXINKSA-N 1 2 324.440 1.870 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)[C@H]2CCc3n[nH]nc3C2)CC1 ZINC001003957000 747803529 /nfs/dbraw/zinc/80/35/29/747803529.db2.gz DEFUSIXCQFOKRS-NSHDSACASA-N 1 2 323.828 1.243 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@]2(C)CC=CCC2)[C@H](OC)C1 ZINC001212316076 747839625 /nfs/dbraw/zinc/83/96/25/747839625.db2.gz GULHTSKMQFTDTG-JFIYKMOQSA-N 1 2 320.433 1.198 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@]2(C)CC=CCC2)[C@H](OC)C1 ZINC001212316076 747839632 /nfs/dbraw/zinc/83/96/32/747839632.db2.gz GULHTSKMQFTDTG-JFIYKMOQSA-N 1 2 320.433 1.198 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccnn2C)C[C@H]1OC ZINC001212324840 747864745 /nfs/dbraw/zinc/86/47/45/747864745.db2.gz JELZZWNTMWDEOO-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccnn2C)C[C@H]1OC ZINC001212324840 747864752 /nfs/dbraw/zinc/86/47/52/747864752.db2.gz JELZZWNTMWDEOO-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001107987612 752219963 /nfs/dbraw/zinc/21/99/63/752219963.db2.gz QKCNTWRZRCNULX-UKRRQHHQSA-N 1 2 314.376 1.652 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001107987612 752219968 /nfs/dbraw/zinc/21/99/68/752219968.db2.gz QKCNTWRZRCNULX-UKRRQHHQSA-N 1 2 314.376 1.652 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)nc(C(C)C)[nH]c1=O ZINC001038592702 747993907 /nfs/dbraw/zinc/99/39/07/747993907.db2.gz LXYKJBCARISVAI-LBPRGKRZSA-N 1 2 302.378 1.051 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)nc(C(C)C)[nH]c1=O ZINC001038592702 747993913 /nfs/dbraw/zinc/99/39/13/747993913.db2.gz LXYKJBCARISVAI-LBPRGKRZSA-N 1 2 302.378 1.051 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1ncc(C(C)C)o1 ZINC001124495688 748285919 /nfs/dbraw/zinc/28/59/19/748285919.db2.gz RCWJCIDCCHXKHA-CYBMUJFWSA-N 1 2 309.410 1.985 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1C[NH+](Cc2cncc(F)c2)C1 ZINC001031987726 748363572 /nfs/dbraw/zinc/36/35/72/748363572.db2.gz YBWAHZCVBJWGFT-CVEARBPZSA-N 1 2 319.380 1.360 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)[C@]23C[C@H]2COC3)CC1 ZINC001004443648 748432899 /nfs/dbraw/zinc/43/28/99/748432899.db2.gz UBUZLZMYFPYTSI-UXLLHSPISA-N 1 2 303.406 1.249 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)[C@]23C[C@H]2COC3)CC1 ZINC001004443648 748432903 /nfs/dbraw/zinc/43/29/03/748432903.db2.gz UBUZLZMYFPYTSI-UXLLHSPISA-N 1 2 303.406 1.249 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001033134352 748497377 /nfs/dbraw/zinc/49/73/77/748497377.db2.gz AJAVGUOLKXRLBU-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001033134352 748497379 /nfs/dbraw/zinc/49/73/79/748497379.db2.gz AJAVGUOLKXRLBU-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO Cc1cnoc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004592665 748572773 /nfs/dbraw/zinc/57/27/73/748572773.db2.gz NUMIHXGEFITWPX-CQSZACIVSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1cnoc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004592665 748572778 /nfs/dbraw/zinc/57/27/78/748572778.db2.gz NUMIHXGEFITWPX-CQSZACIVSA-N 1 2 302.378 1.823 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033159023 748674986 /nfs/dbraw/zinc/67/49/86/748674986.db2.gz RXMZZBHBODTNMU-XQQFMLRXSA-N 1 2 302.802 1.076 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033159023 748674992 /nfs/dbraw/zinc/67/49/92/748674992.db2.gz RXMZZBHBODTNMU-XQQFMLRXSA-N 1 2 302.802 1.076 20 30 DDEDLO CC1(NC(=O)Cc2c[nH]c[nH+]2)CCN(c2ccncc2C#N)CC1 ZINC001110610148 748823304 /nfs/dbraw/zinc/82/33/04/748823304.db2.gz PJNSNTMCGQKDSO-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)c2cnn(C)c2)C1 ZINC001108094585 748838439 /nfs/dbraw/zinc/83/84/39/748838439.db2.gz WVEIGYDQURHLKM-YOEHRIQHSA-N 1 2 320.437 1.307 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)c2cnn(C)c2)C1 ZINC001108094585 748838443 /nfs/dbraw/zinc/83/84/43/748838443.db2.gz WVEIGYDQURHLKM-YOEHRIQHSA-N 1 2 320.437 1.307 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCn1ccnn1)C2 ZINC001110656201 748866103 /nfs/dbraw/zinc/86/61/03/748866103.db2.gz YRTDKUYITUZSEO-MCIONIFRSA-N 1 2 323.828 1.532 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCn1ccnn1)C2 ZINC001110656201 748866109 /nfs/dbraw/zinc/86/61/09/748866109.db2.gz YRTDKUYITUZSEO-MCIONIFRSA-N 1 2 323.828 1.532 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001033209029 748937702 /nfs/dbraw/zinc/93/77/02/748937702.db2.gz QEUFPJWTIJABGX-ZIAGYGMSSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001033209029 748937708 /nfs/dbraw/zinc/93/77/08/748937708.db2.gz QEUFPJWTIJABGX-ZIAGYGMSSA-N 1 2 322.840 1.731 20 30 DDEDLO C[C@@]1(NC(=O)Cc2[nH]cc[nH+]2)CCN(c2ccc(C#N)nc2)C1 ZINC001110779148 749005288 /nfs/dbraw/zinc/00/52/88/749005288.db2.gz WAZZYLHCZYKTPJ-MRXNPFEDSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114454864 749237122 /nfs/dbraw/zinc/23/71/22/749237122.db2.gz NVXXVLDMERSGBP-DYVFJYSZSA-N 1 2 318.421 1.443 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001033413114 749308754 /nfs/dbraw/zinc/30/87/54/749308754.db2.gz MKMADYKUPBGWRY-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001033413114 749308758 /nfs/dbraw/zinc/30/87/58/749308758.db2.gz MKMADYKUPBGWRY-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)[C@@H]2CC[N@H+](CC(=C)Cl)C2)nn1 ZINC001033482746 749409587 /nfs/dbraw/zinc/40/95/87/749409587.db2.gz AKGAYWRVOYCTDF-CYBMUJFWSA-N 1 2 323.828 1.753 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)[C@@H]2CC[N@@H+](CC(=C)Cl)C2)nn1 ZINC001033482746 749409590 /nfs/dbraw/zinc/40/95/90/749409590.db2.gz AKGAYWRVOYCTDF-CYBMUJFWSA-N 1 2 323.828 1.753 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@H]3C=CCCC3)nn2)C1 ZINC001107181653 749498017 /nfs/dbraw/zinc/49/80/17/749498017.db2.gz GCMDZMMCEYLLAA-AWEZNQCLSA-N 1 2 315.421 1.683 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@]3(C)C[C@H]4C[C@H]4C3)nn2)C1 ZINC001107190337 749526573 /nfs/dbraw/zinc/52/65/73/749526573.db2.gz RYXQQTPUPPYQSB-FVCICTRLSA-N 1 2 315.421 1.373 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107197846 749559872 /nfs/dbraw/zinc/55/98/72/749559872.db2.gz KDNKDSMQLBBIAD-KBPBESRZSA-N 1 2 317.437 1.785 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CC3CC(C)(C)C3)nn2)C1 ZINC001107198485 749563737 /nfs/dbraw/zinc/56/37/37/749563737.db2.gz IUBPMCSMKXATBC-UHFFFAOYSA-N 1 2 317.437 1.763 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cccn(C)c2=O)C1 ZINC001033590269 749575596 /nfs/dbraw/zinc/57/55/96/749575596.db2.gz PLZPWEPSJVTMAO-LBPRGKRZSA-N 1 2 309.797 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cccn(C)c2=O)C1 ZINC001033590269 749575600 /nfs/dbraw/zinc/57/56/00/749575600.db2.gz PLZPWEPSJVTMAO-LBPRGKRZSA-N 1 2 309.797 1.284 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(OC)cc2)C1 ZINC001108362308 761967084 /nfs/dbraw/zinc/96/70/84/761967084.db2.gz MJZIRIUGKZDRJJ-GOSISDBHSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(OC)cc2)C1 ZINC001108362308 761967090 /nfs/dbraw/zinc/96/70/90/761967090.db2.gz MJZIRIUGKZDRJJ-GOSISDBHSA-N 1 2 316.401 1.539 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)cc2)C1 ZINC001033649686 749664919 /nfs/dbraw/zinc/66/49/19/749664919.db2.gz FXQRWXKGQJPJNS-AWEZNQCLSA-N 1 2 321.808 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)cc2)C1 ZINC001033649686 749664924 /nfs/dbraw/zinc/66/49/24/749664924.db2.gz FXQRWXKGQJPJNS-AWEZNQCLSA-N 1 2 321.808 1.684 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncccc2Cl)C1 ZINC001108353496 761973802 /nfs/dbraw/zinc/97/38/02/761973802.db2.gz JPMLQQDMWGZEBS-HNNXBMFYSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncccc2Cl)C1 ZINC001108353496 761973806 /nfs/dbraw/zinc/97/38/06/761973806.db2.gz JPMLQQDMWGZEBS-HNNXBMFYSA-N 1 2 309.797 1.742 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC/C=C/CNc1ccc(C#N)nc1 ZINC001107298632 749754562 /nfs/dbraw/zinc/75/45/62/749754562.db2.gz YOTJLJJMJZSMLS-NSCUHMNNSA-N 1 2 324.388 1.404 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2nccnc2N)C1 ZINC001033782718 749879094 /nfs/dbraw/zinc/87/90/94/749879094.db2.gz ZIHFEXLXFGLCHN-NSHDSACASA-N 1 2 309.801 1.348 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2nccnc2N)C1 ZINC001033782718 749879098 /nfs/dbraw/zinc/87/90/98/749879098.db2.gz ZIHFEXLXFGLCHN-NSHDSACASA-N 1 2 309.801 1.348 20 30 DDEDLO CCc1nc(C)c(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](C)C#N)o1 ZINC001032318047 749944941 /nfs/dbraw/zinc/94/49/41/749944941.db2.gz GATFUTOTPCTISH-DRZSPHRISA-N 1 2 302.378 1.490 20 30 DDEDLO CCc1nc(C)c(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](C)C#N)o1 ZINC001032318047 749944945 /nfs/dbraw/zinc/94/49/45/749944945.db2.gz GATFUTOTPCTISH-DRZSPHRISA-N 1 2 302.378 1.490 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cn(C)c(=O)cn2)C1 ZINC001033906320 750101176 /nfs/dbraw/zinc/10/11/76/750101176.db2.gz ZGIHSTYKPXLFNN-GFCCVEGCSA-N 1 2 324.812 1.069 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cn(C)c(=O)cn2)C1 ZINC001033906320 750101181 /nfs/dbraw/zinc/10/11/81/750101181.db2.gz ZGIHSTYKPXLFNN-GFCCVEGCSA-N 1 2 324.812 1.069 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2c(C)noc2C)C1 ZINC001108391423 762014781 /nfs/dbraw/zinc/01/47/81/762014781.db2.gz YZQCJDOTQLDNFS-QGZVFWFLSA-N 1 2 319.405 1.064 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2c(C)noc2C)C1 ZINC001108391423 762014783 /nfs/dbraw/zinc/01/47/83/762014783.db2.gz YZQCJDOTQLDNFS-QGZVFWFLSA-N 1 2 319.405 1.064 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CCCC(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001128806984 750242526 /nfs/dbraw/zinc/24/25/26/750242526.db2.gz IVESDVKKHHKZTM-CABCVRRESA-N 1 2 317.437 1.469 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CCCC(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001128806984 750242529 /nfs/dbraw/zinc/24/25/29/750242529.db2.gz IVESDVKKHHKZTM-CABCVRRESA-N 1 2 317.437 1.469 20 30 DDEDLO CCc1nocc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#CCOC ZINC001110991028 750285609 /nfs/dbraw/zinc/28/56/09/750285609.db2.gz ZMPDQLKHWULTEZ-WQVCFCJDSA-N 1 2 317.389 1.222 20 30 DDEDLO CCc1nocc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#CCOC ZINC001110991028 750285611 /nfs/dbraw/zinc/28/56/11/750285611.db2.gz ZMPDQLKHWULTEZ-WQVCFCJDSA-N 1 2 317.389 1.222 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C(C)C)C2 ZINC001111086510 750356502 /nfs/dbraw/zinc/35/65/02/750356502.db2.gz UDVQJROWOYNETL-TUVASFSCSA-N 1 2 307.438 1.302 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C(C)C)C2 ZINC001111086510 750356507 /nfs/dbraw/zinc/35/65/07/750356507.db2.gz UDVQJROWOYNETL-TUVASFSCSA-N 1 2 307.438 1.302 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001034473440 750478662 /nfs/dbraw/zinc/47/86/62/750478662.db2.gz ZARWYSKPOAZKEV-INIZCTEOSA-N 1 2 323.400 1.689 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001034473440 750478664 /nfs/dbraw/zinc/47/86/64/750478664.db2.gz ZARWYSKPOAZKEV-INIZCTEOSA-N 1 2 323.400 1.689 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001107949691 750813681 /nfs/dbraw/zinc/81/36/81/750813681.db2.gz PTRCLAQSGRDKJJ-ZOBUZTSGSA-N 1 2 310.438 1.441 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001107949691 750813688 /nfs/dbraw/zinc/81/36/88/750813688.db2.gz PTRCLAQSGRDKJJ-ZOBUZTSGSA-N 1 2 310.438 1.441 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C(C)(C)C)c1C ZINC001032434102 750844297 /nfs/dbraw/zinc/84/42/97/750844297.db2.gz ZTBCBNSWWIGHMF-KBPBESRZSA-N 1 2 300.406 1.478 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C(C)(C)C)c1C ZINC001032434102 750844307 /nfs/dbraw/zinc/84/43/07/750844307.db2.gz ZTBCBNSWWIGHMF-KBPBESRZSA-N 1 2 300.406 1.478 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCc2nnc(C[NH2+]CCF)n2CC1 ZINC001127959024 750854747 /nfs/dbraw/zinc/85/47/47/750854747.db2.gz QKTARLHQUKNLQQ-GFCCVEGCSA-N 1 2 309.389 1.125 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnc3n2CCCC3)C1 ZINC001108157515 750861209 /nfs/dbraw/zinc/86/12/09/750861209.db2.gz YLSJTUFPMPKCRB-KRWDZBQOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnc3n2CCCC3)C1 ZINC001108157515 750861216 /nfs/dbraw/zinc/86/12/16/750861216.db2.gz YLSJTUFPMPKCRB-KRWDZBQOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCOCC(=O)N1CCO[C@H]([C@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001114634177 750897160 /nfs/dbraw/zinc/89/71/60/750897160.db2.gz MALZTZLRAUVMAD-JSGCOSHPSA-N 1 2 320.393 1.015 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nc2sccn21 ZINC001032475304 750961384 /nfs/dbraw/zinc/96/13/84/750961384.db2.gz KBUPNSAZGHAIHV-RYUDHWBXSA-N 1 2 300.387 1.236 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nc2sccn21 ZINC001032475304 750961389 /nfs/dbraw/zinc/96/13/89/750961389.db2.gz KBUPNSAZGHAIHV-RYUDHWBXSA-N 1 2 300.387 1.236 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cncnc2C(C)C)C1 ZINC001108402845 762084346 /nfs/dbraw/zinc/08/43/46/762084346.db2.gz OJTDUEKAWUSAOA-KRWDZBQOSA-N 1 2 318.421 1.607 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cncnc2C(C)C)C1 ZINC001108402845 762084353 /nfs/dbraw/zinc/08/43/53/762084353.db2.gz OJTDUEKAWUSAOA-KRWDZBQOSA-N 1 2 318.421 1.607 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccncc1 ZINC001032496782 751079347 /nfs/dbraw/zinc/07/93/47/751079347.db2.gz QQJHFJHSMAFOPM-KBPBESRZSA-N 1 2 307.357 1.004 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccncc1 ZINC001032496782 751079353 /nfs/dbraw/zinc/07/93/53/751079353.db2.gz QQJHFJHSMAFOPM-KBPBESRZSA-N 1 2 307.357 1.004 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)F)n(C)n1 ZINC001032521854 751186579 /nfs/dbraw/zinc/18/65/79/751186579.db2.gz TZNLUHKMQKTUSN-QWRGUYRKSA-N 1 2 308.332 1.280 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)F)n(C)n1 ZINC001032521854 751186581 /nfs/dbraw/zinc/18/65/81/751186581.db2.gz TZNLUHKMQKTUSN-QWRGUYRKSA-N 1 2 308.332 1.280 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc3c(o2)CCCC3)CCC1 ZINC001129125464 751300218 /nfs/dbraw/zinc/30/02/18/751300218.db2.gz WKPGWLKHQGXGFF-UHFFFAOYSA-N 1 2 315.417 1.953 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001008005123 752492993 /nfs/dbraw/zinc/49/29/93/752492993.db2.gz YRVVKBAPPNWFDH-JEWKUQAESA-N 1 2 310.825 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001008005123 752492996 /nfs/dbraw/zinc/49/29/96/752492996.db2.gz YRVVKBAPPNWFDH-JEWKUQAESA-N 1 2 310.825 1.743 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccco2)n[nH]1 ZINC001032644127 752541711 /nfs/dbraw/zinc/54/17/11/752541711.db2.gz UPRKOTWKHCGAHS-STQMWFEESA-N 1 2 310.357 1.592 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccco2)n[nH]1 ZINC001032644127 752541718 /nfs/dbraw/zinc/54/17/18/752541718.db2.gz UPRKOTWKHCGAHS-STQMWFEESA-N 1 2 310.357 1.592 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001008146634 752578719 /nfs/dbraw/zinc/57/87/19/752578719.db2.gz CYNQISFZZZMJGL-OAHLLOKOSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001008146634 752578724 /nfs/dbraw/zinc/57/87/24/752578724.db2.gz CYNQISFZZZMJGL-OAHLLOKOSA-N 1 2 324.388 1.379 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C)nc1C(C)C ZINC001032665001 752654227 /nfs/dbraw/zinc/65/42/27/752654227.db2.gz VGMNSSBJJCRTMP-GJZGRUSLSA-N 1 2 312.417 1.830 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C)nc1C(C)C ZINC001032665001 752654234 /nfs/dbraw/zinc/65/42/34/752654234.db2.gz VGMNSSBJJCRTMP-GJZGRUSLSA-N 1 2 312.417 1.830 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(OC2CCC2)c1 ZINC001032706450 752765574 /nfs/dbraw/zinc/76/55/74/752765574.db2.gz MOWUAVOSPOSRMF-GJZGRUSLSA-N 1 2 311.385 1.545 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(OC2CCC2)c1 ZINC001032706450 752765576 /nfs/dbraw/zinc/76/55/76/752765576.db2.gz MOWUAVOSPOSRMF-GJZGRUSLSA-N 1 2 311.385 1.545 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001008680415 752865157 /nfs/dbraw/zinc/86/51/57/752865157.db2.gz GJEHREMWOHPMBN-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001008680415 752865163 /nfs/dbraw/zinc/86/51/63/752865163.db2.gz GJEHREMWOHPMBN-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO C=CCCCC(=O)N1CCCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001062331558 752891613 /nfs/dbraw/zinc/89/16/13/752891613.db2.gz VUGSBJOZKLDSTC-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C)c2C2CC2)C1 ZINC001108440715 762193617 /nfs/dbraw/zinc/19/36/17/762193617.db2.gz CYCMVHXNCQMWCP-KRWDZBQOSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C)c2C2CC2)C1 ZINC001108440715 762193618 /nfs/dbraw/zinc/19/36/18/762193618.db2.gz CYCMVHXNCQMWCP-KRWDZBQOSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC000999897453 753210837 /nfs/dbraw/zinc/21/08/37/753210837.db2.gz CZHCCMNFVBRGCE-LBPRGKRZSA-N 1 2 322.409 1.509 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001060913630 753454233 /nfs/dbraw/zinc/45/42/33/753454233.db2.gz LFCBSYYOZQBHRS-ZDUSSCGKSA-N 1 2 324.388 1.488 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)COCC2CCCC2)C1 ZINC001108004010 753482974 /nfs/dbraw/zinc/48/29/74/753482974.db2.gz OJIQXKOMCWTGSG-QGZVFWFLSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)COCC2CCCC2)C1 ZINC001108004010 753482976 /nfs/dbraw/zinc/48/29/76/753482976.db2.gz OJIQXKOMCWTGSG-QGZVFWFLSA-N 1 2 310.438 1.586 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)c(OCC)c1 ZINC001032770318 753579958 /nfs/dbraw/zinc/57/99/58/753579958.db2.gz SMYPMAUHLZWIHV-GJZGRUSLSA-N 1 2 314.385 1.626 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)c(OCC)c1 ZINC001032770318 753579959 /nfs/dbraw/zinc/57/99/59/753579959.db2.gz SMYPMAUHLZWIHV-GJZGRUSLSA-N 1 2 314.385 1.626 20 30 DDEDLO C#CCOCCC(=O)NCCC1(CNc2cc[nH+]c(C)n2)CC1 ZINC001089962956 753599596 /nfs/dbraw/zinc/59/95/96/753599596.db2.gz LSDVNLSXFLGUMN-UHFFFAOYSA-N 1 2 316.405 1.523 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001078035971 753667495 /nfs/dbraw/zinc/66/74/95/753667495.db2.gz AJRCMZMELPQEEU-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(-n2ccnc2)c1 ZINC001032789507 753726956 /nfs/dbraw/zinc/72/69/56/753726956.db2.gz UYPOSDBMTVTQDL-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(-n2ccnc2)c1 ZINC001032789507 753726959 /nfs/dbraw/zinc/72/69/59/753726959.db2.gz UYPOSDBMTVTQDL-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccsc2C(F)F)C1 ZINC001078096886 753737227 /nfs/dbraw/zinc/73/72/27/753737227.db2.gz SXXYZDQQSJIPEJ-GHMZBOCLSA-N 1 2 314.357 1.484 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccsc2C(F)F)C1 ZINC001078096886 753737228 /nfs/dbraw/zinc/73/72/28/753737228.db2.gz SXXYZDQQSJIPEJ-GHMZBOCLSA-N 1 2 314.357 1.484 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)n(C)n1 ZINC001010338285 753778952 /nfs/dbraw/zinc/77/89/52/753778952.db2.gz LAIRSWJRNVZLDU-QGZVFWFLSA-N 1 2 322.412 1.584 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n(C)n1 ZINC001010338285 753778955 /nfs/dbraw/zinc/77/89/55/753778955.db2.gz LAIRSWJRNVZLDU-QGZVFWFLSA-N 1 2 322.412 1.584 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2nc(-c3ccccc3)ccc2C#N)C[NH2+]1 ZINC001169129478 762245534 /nfs/dbraw/zinc/24/55/34/762245534.db2.gz PICKWRMATGRAIJ-ZBFHGGJFSA-N 1 2 322.368 1.936 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2cnc(C#N)c(C(F)(F)F)c2)C[NH2+]1 ZINC001169130583 762247075 /nfs/dbraw/zinc/24/70/75/762247075.db2.gz NLSZWKRMLKOALB-SCZZXKLOSA-N 1 2 314.267 1.287 20 30 DDEDLO CC(C)C#CC(=O)NCC1(NC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001062956225 753882188 /nfs/dbraw/zinc/88/21/88/753882188.db2.gz DBDBZDYAFSUFDA-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(CC(C)C)s2)C1 ZINC001078269276 753926303 /nfs/dbraw/zinc/92/63/03/753926303.db2.gz YHLVHYJCPPYOQS-VXGBXAGGSA-N 1 2 309.435 1.303 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(CC(C)C)s2)C1 ZINC001078269276 753926308 /nfs/dbraw/zinc/92/63/08/753926308.db2.gz YHLVHYJCPPYOQS-VXGBXAGGSA-N 1 2 309.435 1.303 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001010644870 754076612 /nfs/dbraw/zinc/07/66/12/754076612.db2.gz QQJTXVKNYDYWFP-YPMHNXCESA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001010644870 754076616 /nfs/dbraw/zinc/07/66/16/754076616.db2.gz QQJTXVKNYDYWFP-YPMHNXCESA-N 1 2 313.361 1.748 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1)n1cncn1 ZINC001010685627 754098524 /nfs/dbraw/zinc/09/85/24/754098524.db2.gz ABUIHENYEOUSSA-CZUORRHYSA-N 1 2 324.388 1.101 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1)n1cncn1 ZINC001010685627 754098535 /nfs/dbraw/zinc/09/85/35/754098535.db2.gz ABUIHENYEOUSSA-CZUORRHYSA-N 1 2 324.388 1.101 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(F)cc(F)c1 ZINC001032806305 754124814 /nfs/dbraw/zinc/12/48/14/754124814.db2.gz LRLHLXXGMWJNNM-KBPBESRZSA-N 1 2 306.312 1.262 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(F)cc(F)c1 ZINC001032806305 754124817 /nfs/dbraw/zinc/12/48/17/754124817.db2.gz LRLHLXXGMWJNNM-KBPBESRZSA-N 1 2 306.312 1.262 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]nc1-c1ccccc1 ZINC001032806330 754125233 /nfs/dbraw/zinc/12/52/33/754125233.db2.gz MLESKZFHOOJHOU-KBPBESRZSA-N 1 2 307.357 1.004 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]nc1-c1ccccc1 ZINC001032806330 754125238 /nfs/dbraw/zinc/12/52/38/754125238.db2.gz MLESKZFHOOJHOU-KBPBESRZSA-N 1 2 307.357 1.004 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2ccnn2)c1 ZINC001032817876 754456277 /nfs/dbraw/zinc/45/62/77/754456277.db2.gz HKRPASCJTHBIPG-IRXDYDNUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2ccnn2)c1 ZINC001032817876 754456281 /nfs/dbraw/zinc/45/62/81/754456281.db2.gz HKRPASCJTHBIPG-IRXDYDNUSA-N 1 2 321.384 1.189 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCC[C@H]2NC(=O)Cn2cc[nH+]c2)nc1 ZINC001064099788 754500887 /nfs/dbraw/zinc/50/08/87/754500887.db2.gz RMRCZCBQCJJZPJ-LSDHHAIUSA-N 1 2 324.388 1.547 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064208633 754563477 /nfs/dbraw/zinc/56/34/77/754563477.db2.gz HSQHOIGLDGSHCX-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2[nH]c(C)cc2C)[C@@H](O)C1 ZINC001090363098 755081937 /nfs/dbraw/zinc/08/19/37/755081937.db2.gz JKZAOAVKCBRPGR-OLZOCXBDSA-N 1 2 311.813 1.549 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2[nH]c(C)cc2C)[C@@H](O)C1 ZINC001090363098 755081941 /nfs/dbraw/zinc/08/19/41/755081941.db2.gz JKZAOAVKCBRPGR-OLZOCXBDSA-N 1 2 311.813 1.549 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001079475487 755370578 /nfs/dbraw/zinc/37/05/78/755370578.db2.gz QCIGXXASHYYHRT-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001079475487 755370580 /nfs/dbraw/zinc/37/05/80/755370580.db2.gz QCIGXXASHYYHRT-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001079700589 755536650 /nfs/dbraw/zinc/53/66/50/755536650.db2.gz FCAZMSJGSKDEMY-VHDGCEQUSA-N 1 2 300.406 1.289 20 30 DDEDLO C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2scnc2C(F)(F)F)C1 ZINC001079875003 755616446 /nfs/dbraw/zinc/61/64/46/755616446.db2.gz QKLMOYZEAFWLPW-RKDXNWHRSA-N 1 2 317.336 1.845 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2scnc2C(F)(F)F)C1 ZINC001079875003 755616449 /nfs/dbraw/zinc/61/64/49/755616449.db2.gz QKLMOYZEAFWLPW-RKDXNWHRSA-N 1 2 317.336 1.845 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001079991486 755668025 /nfs/dbraw/zinc/66/80/25/755668025.db2.gz JQJLIQGDIJOGMJ-KWCYVHTRSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001079991486 755668027 /nfs/dbraw/zinc/66/80/27/755668027.db2.gz JQJLIQGDIJOGMJ-KWCYVHTRSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001079977112 755676138 /nfs/dbraw/zinc/67/61/38/755676138.db2.gz IATNZUFTKZLQAD-KGYLQXTDSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001079977112 755676143 /nfs/dbraw/zinc/67/61/43/755676143.db2.gz IATNZUFTKZLQAD-KGYLQXTDSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080031662 755691718 /nfs/dbraw/zinc/69/17/18/755691718.db2.gz DWGATVJQQDYRFC-AWEZNQCLSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001014492399 755758068 /nfs/dbraw/zinc/75/80/68/755758068.db2.gz HKGWRUXTWQQIRQ-CYBMUJFWSA-N 1 2 322.840 1.705 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001040173998 762423419 /nfs/dbraw/zinc/42/34/19/762423419.db2.gz YMQAWUFKJMANAR-AAEUAGOBSA-N 1 2 310.361 1.320 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2scnc2C(F)(F)F)C1 ZINC001014767109 755929709 /nfs/dbraw/zinc/92/97/09/755929709.db2.gz MFAJTNXAIGUNJQ-VIFPVBQESA-N 1 2 317.336 1.989 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2scnc2C(F)(F)F)C1 ZINC001014767109 755929714 /nfs/dbraw/zinc/92/97/14/755929714.db2.gz MFAJTNXAIGUNJQ-VIFPVBQESA-N 1 2 317.336 1.989 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1ccsn1 ZINC001014875880 755995064 /nfs/dbraw/zinc/99/50/64/755995064.db2.gz TZNTVIHBJKWXBV-OAHLLOKOSA-N 1 2 311.410 1.999 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1ccsn1 ZINC001014875880 755995068 /nfs/dbraw/zinc/99/50/68/755995068.db2.gz TZNTVIHBJKWXBV-OAHLLOKOSA-N 1 2 311.410 1.999 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cnccc2OC)C1 ZINC001014917530 756014637 /nfs/dbraw/zinc/01/46/37/756014637.db2.gz VDGXHAYWBUUQBC-ZDUSSCGKSA-N 1 2 309.797 1.576 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cnccc2OC)C1 ZINC001014917530 756014641 /nfs/dbraw/zinc/01/46/41/756014641.db2.gz VDGXHAYWBUUQBC-ZDUSSCGKSA-N 1 2 309.797 1.576 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cccc(OC)n2)C1 ZINC001080700108 756058876 /nfs/dbraw/zinc/05/88/76/756058876.db2.gz MKNZGKIUPSAYKI-ZWNOBZJWSA-N 1 2 309.797 1.893 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cccc(OC)n2)C1 ZINC001080700108 756058881 /nfs/dbraw/zinc/05/88/81/756058881.db2.gz MKNZGKIUPSAYKI-ZWNOBZJWSA-N 1 2 309.797 1.893 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001014999355 756063794 /nfs/dbraw/zinc/06/37/94/756063794.db2.gz ILNCLYXYTSIMKR-STQMWFEESA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001014999355 756063802 /nfs/dbraw/zinc/06/38/02/756063802.db2.gz ILNCLYXYTSIMKR-STQMWFEESA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001014998745 756063847 /nfs/dbraw/zinc/06/38/47/756063847.db2.gz DURRUWLRKROMSP-STQMWFEESA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001080813297 756111979 /nfs/dbraw/zinc/11/19/79/756111979.db2.gz VNZRPBZVYLMAGO-HONMWMINSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001080813297 756111984 /nfs/dbraw/zinc/11/19/84/756111984.db2.gz VNZRPBZVYLMAGO-HONMWMINSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ncccc2OC)C1 ZINC001015613633 756413530 /nfs/dbraw/zinc/41/35/30/756413530.db2.gz HOOLNOWDANMZPR-GFCCVEGCSA-N 1 2 309.797 1.576 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ncccc2OC)C1 ZINC001015613633 756413534 /nfs/dbraw/zinc/41/35/34/756413534.db2.gz HOOLNOWDANMZPR-GFCCVEGCSA-N 1 2 309.797 1.576 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001015710622 756487265 /nfs/dbraw/zinc/48/72/65/756487265.db2.gz OXKLLYGTEBZZJB-DZGCQCFKSA-N 1 2 305.809 1.739 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001015710622 756487268 /nfs/dbraw/zinc/48/72/68/756487268.db2.gz OXKLLYGTEBZZJB-DZGCQCFKSA-N 1 2 305.809 1.739 20 30 DDEDLO Cc1ccn(CC(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001015718372 756490941 /nfs/dbraw/zinc/49/09/41/756490941.db2.gz NOHMYAWOJXWQGF-KRWDZBQOSA-N 1 2 323.400 1.454 20 30 DDEDLO Cc1ccn(CC(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001015718372 756490946 /nfs/dbraw/zinc/49/09/46/756490946.db2.gz NOHMYAWOJXWQGF-KRWDZBQOSA-N 1 2 323.400 1.454 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3cnccc3n2)[C@H](OC)C1 ZINC001082174632 756661831 /nfs/dbraw/zinc/66/18/31/756661831.db2.gz OVRQMLYJPDTQCX-IAGOWNOFSA-N 1 2 324.384 1.082 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc3cnccc3n2)[C@H](OC)C1 ZINC001082174632 756661834 /nfs/dbraw/zinc/66/18/34/756661834.db2.gz OVRQMLYJPDTQCX-IAGOWNOFSA-N 1 2 324.384 1.082 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnn3c2CCCC3)C1 ZINC001015951817 756672945 /nfs/dbraw/zinc/67/29/45/756672945.db2.gz UEMKTZAOGBJJJJ-GFCCVEGCSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnn3c2CCCC3)C1 ZINC001015951817 756672947 /nfs/dbraw/zinc/67/29/47/756672947.db2.gz UEMKTZAOGBJJJJ-GFCCVEGCSA-N 1 2 308.813 1.776 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCC3)[C@H](OC)C1 ZINC001082357439 756754597 /nfs/dbraw/zinc/75/45/97/756754597.db2.gz ZNEDIQIPRUTCIZ-CHWSQXEVSA-N 1 2 304.415 1.299 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCC3)[C@H](OC)C1 ZINC001082357439 756754602 /nfs/dbraw/zinc/75/46/02/756754602.db2.gz ZNEDIQIPRUTCIZ-CHWSQXEVSA-N 1 2 304.415 1.299 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncccc2CC)[C@H](O)C1 ZINC001090374000 756822014 /nfs/dbraw/zinc/82/20/14/756822014.db2.gz KVZHBCBXFCIWEL-ZIAGYGMSSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncccc2CC)[C@H](O)C1 ZINC001090374000 756822021 /nfs/dbraw/zinc/82/20/21/756822021.db2.gz KVZHBCBXFCIWEL-ZIAGYGMSSA-N 1 2 323.824 1.562 20 30 DDEDLO Cn1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)n1 ZINC001016254653 756875526 /nfs/dbraw/zinc/87/55/26/756875526.db2.gz XBWDNNTUSKSURS-NSHDSACASA-N 1 2 315.402 1.358 20 30 DDEDLO Cn1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)n1 ZINC001016254653 756875530 /nfs/dbraw/zinc/87/55/30/756875530.db2.gz XBWDNNTUSKSURS-NSHDSACASA-N 1 2 315.402 1.358 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)CCCn3cc[nH+]c3)C2)cn1 ZINC001097197293 756967676 /nfs/dbraw/zinc/96/76/76/756967676.db2.gz RPAXUZPIQKJLIN-IYBDPMFKSA-N 1 2 324.388 1.689 20 30 DDEDLO Cc1nc(N2CCC[C@H](CNC(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001097298234 757061426 /nfs/dbraw/zinc/06/14/26/757061426.db2.gz OJRFHJQRUZCHHN-IAQYHMDHSA-N 1 2 315.421 1.894 20 30 DDEDLO C=C(C)CC[N@@H+]1C[C@H]2OCCN(C(=O)C(F)C(F)(F)F)[C@H]2C1 ZINC001083089464 757128691 /nfs/dbraw/zinc/12/86/91/757128691.db2.gz HKMZNARIQONVCY-TUAOUCFPSA-N 1 2 324.318 1.765 20 30 DDEDLO C=C(C)CC[N@H+]1C[C@H]2OCCN(C(=O)C(F)C(F)(F)F)[C@H]2C1 ZINC001083089464 757128700 /nfs/dbraw/zinc/12/87/00/757128700.db2.gz HKMZNARIQONVCY-TUAOUCFPSA-N 1 2 324.318 1.765 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C(F)F)nc3)[C@H]2C1 ZINC001083101097 757136324 /nfs/dbraw/zinc/13/63/24/757136324.db2.gz ODYDIPZGTRBYBL-UONOGXRCSA-N 1 2 321.327 1.178 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C(F)F)nc3)[C@H]2C1 ZINC001083101097 757136326 /nfs/dbraw/zinc/13/63/26/757136326.db2.gz ODYDIPZGTRBYBL-UONOGXRCSA-N 1 2 321.327 1.178 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCc3ccsc3)[C@H]2C1 ZINC001083105815 757138982 /nfs/dbraw/zinc/13/89/82/757138982.db2.gz UFAVUCDKPFCDIG-LSDHHAIUSA-N 1 2 304.415 1.226 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCc3ccsc3)[C@H]2C1 ZINC001083105815 757138988 /nfs/dbraw/zinc/13/89/88/757138988.db2.gz UFAVUCDKPFCDIG-LSDHHAIUSA-N 1 2 304.415 1.226 20 30 DDEDLO C=CCOC[C@@H]1c2c(ncn2C)CC[N@@H+]1[C@H]1CCN(C(C)=O)C1 ZINC001169546344 762524038 /nfs/dbraw/zinc/52/40/38/762524038.db2.gz RVIQKQKBDIQXJN-GOEBONIOSA-N 1 2 318.421 1.143 20 30 DDEDLO C=CCOC[C@@H]1c2c(ncn2C)CC[N@H+]1[C@H]1CCN(C(C)=O)C1 ZINC001169546344 762524044 /nfs/dbraw/zinc/52/40/44/762524044.db2.gz RVIQKQKBDIQXJN-GOEBONIOSA-N 1 2 318.421 1.143 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3coc(C(F)F)c3)[C@H]2C1 ZINC001083176821 757180372 /nfs/dbraw/zinc/18/03/72/757180372.db2.gz QUYBRHAGSAMASU-WCQYABFASA-N 1 2 312.316 1.928 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3coc(C(F)F)c3)[C@H]2C1 ZINC001083176821 757180376 /nfs/dbraw/zinc/18/03/76/757180376.db2.gz QUYBRHAGSAMASU-WCQYABFASA-N 1 2 312.316 1.928 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@]2(C1)CCCN(CC#N)C2)n1cc[nH+]c1 ZINC001040425914 762531808 /nfs/dbraw/zinc/53/18/08/762531808.db2.gz UJJDILQJLDTBFS-DOTOQJQBSA-N 1 2 315.421 1.672 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)c2cccc(Cl)c2)[C@@H](O)C1 ZINC001084075844 757253359 /nfs/dbraw/zinc/25/33/59/757253359.db2.gz VNVKZRWIGTZCQZ-GLQYFDAESA-N 1 2 306.793 1.238 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)c2cccc(Cl)c2)[C@@H](O)C1 ZINC001084075844 757253363 /nfs/dbraw/zinc/25/33/63/757253363.db2.gz VNVKZRWIGTZCQZ-GLQYFDAESA-N 1 2 306.793 1.238 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(Cl)cccc2OC)[C@@H](O)C1 ZINC001084089629 757278658 /nfs/dbraw/zinc/27/86/58/757278658.db2.gz TXLOQVZTIVMHNL-OLZOCXBDSA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(Cl)cccc2OC)[C@@H](O)C1 ZINC001084089629 757278662 /nfs/dbraw/zinc/27/86/62/757278662.db2.gz TXLOQVZTIVMHNL-OLZOCXBDSA-N 1 2 322.792 1.147 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3cnn(C)c3)[C@@H]2C1 ZINC001084221179 757421034 /nfs/dbraw/zinc/42/10/34/757421034.db2.gz JLQWUHZTWKJYPM-ZIAGYGMSSA-N 1 2 308.813 1.248 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cnn(C)c3)[C@@H]2C1 ZINC001084221179 757421040 /nfs/dbraw/zinc/42/10/40/757421040.db2.gz JLQWUHZTWKJYPM-ZIAGYGMSSA-N 1 2 308.813 1.248 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3ccnn3C)[C@@H]2C1 ZINC001084309417 757476489 /nfs/dbraw/zinc/47/64/89/757476489.db2.gz ISNGUVMMWCXRHO-TZMCWYRMSA-N 1 2 308.813 1.248 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3ccnn3C)[C@@H]2C1 ZINC001084309417 757476498 /nfs/dbraw/zinc/47/64/98/757476498.db2.gz ISNGUVMMWCXRHO-TZMCWYRMSA-N 1 2 308.813 1.248 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C2=NC(=O)N(C)C2)CC1 ZINC001052680320 757639328 /nfs/dbraw/zinc/63/93/28/757639328.db2.gz IYFLSDZBENLCIU-NSHDSACASA-N 1 2 312.801 1.463 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C2=NC(=O)N(C)C2)CC1 ZINC001052680320 757639334 /nfs/dbraw/zinc/63/93/34/757639334.db2.gz IYFLSDZBENLCIU-NSHDSACASA-N 1 2 312.801 1.463 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001017417949 757914128 /nfs/dbraw/zinc/91/41/28/757914128.db2.gz FACUHUCYAXBJIC-FPMFFAJLSA-N 1 2 316.405 1.880 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001017417949 757914134 /nfs/dbraw/zinc/91/41/34/757914134.db2.gz FACUHUCYAXBJIC-FPMFFAJLSA-N 1 2 316.405 1.880 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2n[nH]nc21 ZINC001017582183 758048749 /nfs/dbraw/zinc/04/87/49/758048749.db2.gz JIABBPSTAUFUST-BETUJISGSA-N 1 2 309.373 1.270 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2n[nH]nc21 ZINC001017582183 758048759 /nfs/dbraw/zinc/04/87/59/758048759.db2.gz JIABBPSTAUFUST-BETUJISGSA-N 1 2 309.373 1.270 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1CC(C)C ZINC001017590196 758056362 /nfs/dbraw/zinc/05/63/62/758056362.db2.gz QJUBAVHCTVNVBI-GASCZTMLSA-N 1 2 300.406 1.461 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1CC(C)C ZINC001017590196 758056377 /nfs/dbraw/zinc/05/63/77/758056377.db2.gz QJUBAVHCTVNVBI-GASCZTMLSA-N 1 2 300.406 1.461 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(C2CC2)no1 ZINC001017611437 758080372 /nfs/dbraw/zinc/08/03/72/758080372.db2.gz QIXHFOONMHDRLT-GASCZTMLSA-N 1 2 313.401 1.793 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(C2CC2)no1 ZINC001017611437 758080382 /nfs/dbraw/zinc/08/03/82/758080382.db2.gz QIXHFOONMHDRLT-GASCZTMLSA-N 1 2 313.401 1.793 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)/C=C/C(C)(C)C)CC2=O)C1 ZINC001108524806 762598339 /nfs/dbraw/zinc/59/83/39/762598339.db2.gz CMSUNAACDTXJIV-YBJDMEARSA-N 1 2 305.422 1.176 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc2c(c1)NC(=O)C2 ZINC001017626021 758096327 /nfs/dbraw/zinc/09/63/27/758096327.db2.gz BLSOWKQMPXMQJE-IYBDPMFKSA-N 1 2 323.396 1.032 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc2c(c1)NC(=O)C2 ZINC001017626021 758096336 /nfs/dbraw/zinc/09/63/36/758096336.db2.gz BLSOWKQMPXMQJE-IYBDPMFKSA-N 1 2 323.396 1.032 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCn2nccc21 ZINC001017675605 758145117 /nfs/dbraw/zinc/14/51/17/758145117.db2.gz JEBVBLHDNAQSNP-OWCLPIDISA-N 1 2 312.417 1.459 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCn2nccc21 ZINC001017675605 758145124 /nfs/dbraw/zinc/14/51/24/758145124.db2.gz JEBVBLHDNAQSNP-OWCLPIDISA-N 1 2 312.417 1.459 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]nc(C)c2c1 ZINC001017726020 758184741 /nfs/dbraw/zinc/18/47/41/758184741.db2.gz LSAXBOFUGPZEEN-GASCZTMLSA-N 1 2 308.385 1.793 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]nc(C)c2c1 ZINC001017726020 758184746 /nfs/dbraw/zinc/18/47/46/758184746.db2.gz LSAXBOFUGPZEEN-GASCZTMLSA-N 1 2 308.385 1.793 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2ccnc2)cc1 ZINC001017776302 758226445 /nfs/dbraw/zinc/22/64/45/758226445.db2.gz DPBNGXUTSDZIKM-HDICACEKSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2ccnc2)cc1 ZINC001017776302 758226454 /nfs/dbraw/zinc/22/64/54/758226454.db2.gz DPBNGXUTSDZIKM-HDICACEKSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2[nH]c1=O ZINC001017782793 758235074 /nfs/dbraw/zinc/23/50/74/758235074.db2.gz LVNRJPBYCZHORO-GASCZTMLSA-N 1 2 321.380 1.862 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2[nH]c1=O ZINC001017782793 758235082 /nfs/dbraw/zinc/23/50/82/758235082.db2.gz LVNRJPBYCZHORO-GASCZTMLSA-N 1 2 321.380 1.862 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1C[C@@H]1C(F)F)CCO2 ZINC001053241800 758299925 /nfs/dbraw/zinc/29/99/25/758299925.db2.gz RKJKTHYXOFJAAY-RYUDHWBXSA-N 1 2 300.349 1.377 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)C(F)(F)F)CCO2 ZINC001053377647 758392989 /nfs/dbraw/zinc/39/29/89/758392989.db2.gz MDASVAQCUWZHDU-NSHDSACASA-N 1 2 306.328 1.674 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CCN(c3cc[nH+]c(C)n3)C2)nc1 ZINC001065269976 758426593 /nfs/dbraw/zinc/42/65/93/758426593.db2.gz UIIXJYACMSJNJU-SFHVURJKSA-N 1 2 321.384 1.560 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001065333989 758469324 /nfs/dbraw/zinc/46/93/24/758469324.db2.gz SWIWDCNOTLJUCJ-KEYYUXOJSA-N 1 2 316.405 1.461 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC[C@@H]1CCCCO1)CCO2 ZINC001053452177 758471374 /nfs/dbraw/zinc/47/13/74/758471374.db2.gz OTASCPLDOSTCQU-INIZCTEOSA-N 1 2 322.449 1.825 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067218158 758519175 /nfs/dbraw/zinc/51/91/75/758519175.db2.gz QWJHBUQHLQKASX-WFASDCNBSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067218158 758519184 /nfs/dbraw/zinc/51/91/84/758519184.db2.gz QWJHBUQHLQKASX-WFASDCNBSA-N 1 2 324.388 1.568 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nccn2cc(C)nc12 ZINC001018160717 758590619 /nfs/dbraw/zinc/59/06/19/758590619.db2.gz WZIMEQILQCQGFP-GASCZTMLSA-N 1 2 323.400 1.350 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nccn2cc(C)nc12 ZINC001018160717 758590620 /nfs/dbraw/zinc/59/06/20/758590620.db2.gz WZIMEQILQCQGFP-GASCZTMLSA-N 1 2 323.400 1.350 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnc(C)s1)O2 ZINC001053582959 758595694 /nfs/dbraw/zinc/59/56/94/758595694.db2.gz QLHGJJGHRAGRBU-GFCCVEGCSA-N 1 2 307.419 1.601 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1CCC(O)CC1)O2 ZINC001053594429 758613396 /nfs/dbraw/zinc/61/33/96/758613396.db2.gz LQTKBOPSBOYTDU-NRXISQOPSA-N 1 2 308.422 1.073 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1C=CC=CC=C1)O2 ZINC001053604563 758624246 /nfs/dbraw/zinc/62/42/46/758624246.db2.gz JJWKYAKMRQVCMC-INIZCTEOSA-N 1 2 300.402 1.820 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)cc(OC)c1 ZINC001018213133 758637395 /nfs/dbraw/zinc/63/73/95/758637395.db2.gz LUCAMEODAWFBCS-GASCZTMLSA-N 1 2 314.385 1.626 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)cc(OC)c1 ZINC001018213133 758637397 /nfs/dbraw/zinc/63/73/97/758637397.db2.gz LUCAMEODAWFBCS-GASCZTMLSA-N 1 2 314.385 1.626 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2c1C[C@@H](C)CC2 ZINC001018224828 758646338 /nfs/dbraw/zinc/64/63/38/758646338.db2.gz UILFSQJZKZQGGR-SOUVJXGZSA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2c1C[C@@H](C)CC2 ZINC001018224828 758646340 /nfs/dbraw/zinc/64/63/40/758646340.db2.gz UILFSQJZKZQGGR-SOUVJXGZSA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc2c(c1)CCC2 ZINC001018239758 758660086 /nfs/dbraw/zinc/66/00/86/758660086.db2.gz VSCGZYSSSZOSND-HDICACEKSA-N 1 2 324.424 1.863 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc2c(c1)CCC2 ZINC001018239758 758660095 /nfs/dbraw/zinc/66/00/95/758660095.db2.gz VSCGZYSSSZOSND-HDICACEKSA-N 1 2 324.424 1.863 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)[nH]c1C)O2 ZINC001053669072 758681201 /nfs/dbraw/zinc/68/12/01/758681201.db2.gz QSGKOHCWIRODMM-AWEZNQCLSA-N 1 2 303.406 1.781 20 30 DDEDLO CCCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC001065688437 758706849 /nfs/dbraw/zinc/70/68/49/758706849.db2.gz HRKGAGYKHKMVBH-CABCVRRESA-N 1 2 320.437 1.081 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(Cl)cn1C)CO2 ZINC001053863859 758896710 /nfs/dbraw/zinc/89/67/10/758896710.db2.gz RVUZICKMKSXOTP-ZDUSSCGKSA-N 1 2 323.824 1.828 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@]1(C)C[C@H]3C[C@H]3C1)CO2 ZINC001053880506 758914632 /nfs/dbraw/zinc/91/46/32/758914632.db2.gz QKLQTYCYMLHHPP-IVSAIRAKSA-N 1 2 304.434 1.958 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc(F)c1F)CO2 ZINC001053904701 758937665 /nfs/dbraw/zinc/93/76/65/758937665.db2.gz BJYLPNVJPFSZFQ-LBPRGKRZSA-N 1 2 320.339 1.561 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001066101159 759061697 /nfs/dbraw/zinc/06/16/97/759061697.db2.gz VQTZPWQBBVEIQE-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001066146348 759087146 /nfs/dbraw/zinc/08/71/46/759087146.db2.gz LSQXLAQYXWSHIB-CQSZACIVSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001054039343 759097542 /nfs/dbraw/zinc/09/75/42/759097542.db2.gz YZARGEIRSZVQCX-KRWDZBQOSA-N 1 2 318.380 1.676 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001054039343 759097550 /nfs/dbraw/zinc/09/75/50/759097550.db2.gz YZARGEIRSZVQCX-KRWDZBQOSA-N 1 2 318.380 1.676 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1n[nH]cc1C ZINC001054041774 759100343 /nfs/dbraw/zinc/10/03/43/759100343.db2.gz STDBRIRPGDNYEY-MRXNPFEDSA-N 1 2 308.385 1.508 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1n[nH]cc1C ZINC001054041774 759100348 /nfs/dbraw/zinc/10/03/48/759100348.db2.gz STDBRIRPGDNYEY-MRXNPFEDSA-N 1 2 308.385 1.508 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cccnn1 ZINC001054057281 759110845 /nfs/dbraw/zinc/11/08/45/759110845.db2.gz HNQYEKJDYLCHMJ-KRWDZBQOSA-N 1 2 320.396 1.657 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cccnn1 ZINC001054057281 759110848 /nfs/dbraw/zinc/11/08/48/759110848.db2.gz HNQYEKJDYLCHMJ-KRWDZBQOSA-N 1 2 320.396 1.657 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)cc[nH+]1 ZINC001066235740 759140303 /nfs/dbraw/zinc/14/03/03/759140303.db2.gz PEAZKXSCWPUHSO-JKSUJKDBSA-N 1 2 310.401 1.626 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@](C)(C=C)CC)CC2=O)C1 ZINC001108569631 762697206 /nfs/dbraw/zinc/69/72/06/762697206.db2.gz LZZXZMGCEKTDTN-CXAGYDPISA-N 1 2 305.422 1.176 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(C1)CCCN(C(=O)c1cc(C(F)F)[nH]n1)C2 ZINC001054194957 759279902 /nfs/dbraw/zinc/27/99/02/759279902.db2.gz AYSZVXQJDBSRHT-INIZCTEOSA-N 1 2 322.359 1.909 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(C1)CCCN(C(=O)c1cc(C(F)F)[nH]n1)C2 ZINC001054194957 759279907 /nfs/dbraw/zinc/27/99/07/759279907.db2.gz AYSZVXQJDBSRHT-INIZCTEOSA-N 1 2 322.359 1.909 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)Cc1c[nH+]cn1C ZINC001069122030 767860789 /nfs/dbraw/zinc/86/07/89/767860789.db2.gz MPLOLHPVBCOSKA-WFASDCNBSA-N 1 2 324.388 1.331 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnn1CC(F)(F)F ZINC001085658126 759943459 /nfs/dbraw/zinc/94/34/59/759943459.db2.gz JPKDBGHMVLMZOQ-LLVKDONJSA-N 1 2 314.311 1.225 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnn1CC(F)(F)F ZINC001085658126 759943461 /nfs/dbraw/zinc/94/34/61/759943461.db2.gz JPKDBGHMVLMZOQ-LLVKDONJSA-N 1 2 314.311 1.225 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(-n2cccc2)c1 ZINC001085657263 759943584 /nfs/dbraw/zinc/94/35/84/759943584.db2.gz MPMWAXJSIFERJH-MRXNPFEDSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(-n2cccc2)c1 ZINC001085657263 759943587 /nfs/dbraw/zinc/94/35/87/759943587.db2.gz MPMWAXJSIFERJH-MRXNPFEDSA-N 1 2 308.385 1.652 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1coc(-c2cccnc2)n1 ZINC001085697074 760034669 /nfs/dbraw/zinc/03/46/69/760034669.db2.gz BAZIHFVSCVRDGZ-OAHLLOKOSA-N 1 2 324.384 1.906 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1coc(-c2cccnc2)n1 ZINC001085697074 760034679 /nfs/dbraw/zinc/03/46/79/760034679.db2.gz BAZIHFVSCVRDGZ-OAHLLOKOSA-N 1 2 324.384 1.906 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]nc(C2CC2)c1Cl ZINC001085694596 760049088 /nfs/dbraw/zinc/04/90/88/760049088.db2.gz KDBJPYLKRIXWFJ-NSHDSACASA-N 1 2 306.797 1.720 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]nc(C2CC2)c1Cl ZINC001085694596 760049101 /nfs/dbraw/zinc/04/91/01/760049101.db2.gz KDBJPYLKRIXWFJ-NSHDSACASA-N 1 2 306.797 1.720 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3ncc(C)o3)C2)cn1 ZINC001046783376 767922128 /nfs/dbraw/zinc/92/21/28/767922128.db2.gz OAVFKAKBKFZTKG-SFHVURJKSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@H+](Cc3ncc(C)o3)C2)cn1 ZINC001046783376 767922130 /nfs/dbraw/zinc/92/21/30/767922130.db2.gz OAVFKAKBKFZTKG-SFHVURJKSA-N 1 2 324.384 1.754 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2cccnc2)on1 ZINC001085795248 760255601 /nfs/dbraw/zinc/25/56/01/760255601.db2.gz OYBJBURJJCGXTB-HNNXBMFYSA-N 1 2 324.384 1.906 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2cccnc2)on1 ZINC001085795248 760255603 /nfs/dbraw/zinc/25/56/03/760255603.db2.gz OYBJBURJJCGXTB-HNNXBMFYSA-N 1 2 324.384 1.906 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(C)(C)C)nn1C ZINC001085799623 760268467 /nfs/dbraw/zinc/26/84/67/760268467.db2.gz WDUSXTUJPWWLFI-ZDUSSCGKSA-N 1 2 302.422 1.497 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(C)(C)C)nn1C ZINC001085799623 760268469 /nfs/dbraw/zinc/26/84/69/760268469.db2.gz WDUSXTUJPWWLFI-ZDUSSCGKSA-N 1 2 302.422 1.497 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cncn1C ZINC001085822408 760309115 /nfs/dbraw/zinc/30/91/15/760309115.db2.gz HCQSRYFYPYTASY-BMFZPTHFSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cncn1C ZINC001085822408 760309123 /nfs/dbraw/zinc/30/91/23/760309123.db2.gz HCQSRYFYPYTASY-BMFZPTHFSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CCN1Cc1c[nH+]cn1C ZINC001085822408 760309133 /nfs/dbraw/zinc/30/91/33/760309133.db2.gz HCQSRYFYPYTASY-BMFZPTHFSA-N 1 2 318.421 1.044 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001085850021 760372329 /nfs/dbraw/zinc/37/23/29/760372329.db2.gz MIRLQGVLCKTRPQ-ZBFHGGJFSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001085850021 760372340 /nfs/dbraw/zinc/37/23/40/760372340.db2.gz MIRLQGVLCKTRPQ-ZBFHGGJFSA-N 1 2 302.349 1.295 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cccnc2C)C1 ZINC001108212446 760380109 /nfs/dbraw/zinc/38/01/09/760380109.db2.gz DJXXOXVRYDAOQU-QGZVFWFLSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cccnc2C)C1 ZINC001108212446 760380124 /nfs/dbraw/zinc/38/01/24/760380124.db2.gz DJXXOXVRYDAOQU-QGZVFWFLSA-N 1 2 303.406 1.326 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)nc1OCCC ZINC001085901057 760491973 /nfs/dbraw/zinc/49/19/73/760491973.db2.gz XCTVZXYTYAHXQD-HNNXBMFYSA-N 1 2 315.417 1.958 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)nc1OCCC ZINC001085901057 760491974 /nfs/dbraw/zinc/49/19/74/760491974.db2.gz XCTVZXYTYAHXQD-HNNXBMFYSA-N 1 2 315.417 1.958 20 30 DDEDLO C#CCCCC(=O)NCC1CC([NH2+]Cc2noc(C(C)C)n2)C1 ZINC001100282372 760572990 /nfs/dbraw/zinc/57/29/90/760572990.db2.gz JJYJWPKIDCYUOR-UHFFFAOYSA-N 1 2 318.421 1.981 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]nc1-c1ccccc1 ZINC001085989312 760667950 /nfs/dbraw/zinc/66/79/50/760667950.db2.gz ODXGZUKUQWPZDK-CQSZACIVSA-N 1 2 309.373 1.251 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]nc1-c1ccccc1 ZINC001085989312 760667955 /nfs/dbraw/zinc/66/79/55/760667955.db2.gz ODXGZUKUQWPZDK-CQSZACIVSA-N 1 2 309.373 1.251 20 30 DDEDLO Cc1nonc1C(=O)N[C@]1(C)CC[N@H+](CC#Cc2ccccc2)C1 ZINC001046870115 767998045 /nfs/dbraw/zinc/99/80/45/767998045.db2.gz HZQUUIJQHAVOND-GOSISDBHSA-N 1 2 324.384 1.624 20 30 DDEDLO Cc1nonc1C(=O)N[C@]1(C)CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001046870115 767998054 /nfs/dbraw/zinc/99/80/54/767998054.db2.gz HZQUUIJQHAVOND-GOSISDBHSA-N 1 2 324.384 1.624 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ncccc1C#N ZINC001069383323 768003506 /nfs/dbraw/zinc/00/35/06/768003506.db2.gz HQNUNVQVCIRNLQ-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)[nH]nc1C(F)(F)F ZINC001038516451 761169884 /nfs/dbraw/zinc/16/98/84/761169884.db2.gz JGCYUZAWDGMUHN-VIFPVBQESA-N 1 2 300.284 1.174 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)[nH]nc1C(F)(F)F ZINC001038516451 761169888 /nfs/dbraw/zinc/16/98/88/761169888.db2.gz JGCYUZAWDGMUHN-VIFPVBQESA-N 1 2 300.284 1.174 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-n2ccnc2)nc1 ZINC001038566852 761202990 /nfs/dbraw/zinc/20/29/90/761202990.db2.gz JTSHBYQILCBVQE-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-n2ccnc2)nc1 ZINC001038566852 761202996 /nfs/dbraw/zinc/20/29/96/761202996.db2.gz JTSHBYQILCBVQE-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2nc(C)n(C)c2c1 ZINC001038592775 761222058 /nfs/dbraw/zinc/22/20/58/761222058.db2.gz NCXVQEDODIZELF-OAHLLOKOSA-N 1 2 310.401 1.709 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2nc(C)n(C)c2c1 ZINC001038592775 761222063 /nfs/dbraw/zinc/22/20/63/761222063.db2.gz NCXVQEDODIZELF-OAHLLOKOSA-N 1 2 310.401 1.709 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2[C@H]2CCCNC2=O)CC1 ZINC001038662576 761261563 /nfs/dbraw/zinc/26/15/63/761261563.db2.gz SCMGAHMXKCFDIH-CABCVRRESA-N 1 2 305.422 1.202 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2[C@H]2CCCNC2=O)CC1 ZINC001038662576 761261566 /nfs/dbraw/zinc/26/15/66/761261566.db2.gz SCMGAHMXKCFDIH-CABCVRRESA-N 1 2 305.422 1.202 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@H+]2Cc2cnccc2C)c1 ZINC001038685708 761287759 /nfs/dbraw/zinc/28/77/59/761287759.db2.gz WJNWBQIEJRVLNU-GOSISDBHSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cnccc2C)c1 ZINC001038685708 761287763 /nfs/dbraw/zinc/28/77/63/761287763.db2.gz WJNWBQIEJRVLNU-GOSISDBHSA-N 1 2 320.396 1.771 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn(C)nc1C(F)(F)F ZINC001038749815 761359971 /nfs/dbraw/zinc/35/99/71/761359971.db2.gz OCKAZCAEMWJSEY-SNVBAGLBSA-N 1 2 314.311 1.266 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn(C)nc1C(F)(F)F ZINC001038749815 761359980 /nfs/dbraw/zinc/35/99/80/761359980.db2.gz OCKAZCAEMWJSEY-SNVBAGLBSA-N 1 2 314.311 1.266 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001069484047 768034589 /nfs/dbraw/zinc/03/45/89/768034589.db2.gz QOSLJTRYOLGQBJ-GXTWGEPZSA-N 1 2 324.388 1.616 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cn(C)nc2C)cn1 ZINC001038934191 761565323 /nfs/dbraw/zinc/56/53/23/761565323.db2.gz BHYNOTYOGCTXDW-KRWDZBQOSA-N 1 2 323.400 1.109 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cn(C)nc2C)cn1 ZINC001038934191 761565327 /nfs/dbraw/zinc/56/53/27/761565327.db2.gz BHYNOTYOGCTXDW-KRWDZBQOSA-N 1 2 323.400 1.109 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc3c(c2)COC3)C1 ZINC001108274731 761593223 /nfs/dbraw/zinc/59/32/23/761593223.db2.gz MVPXORORLLLZSG-GOSISDBHSA-N 1 2 316.401 1.724 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc3c(c2)COC3)C1 ZINC001108274731 761593230 /nfs/dbraw/zinc/59/32/30/761593230.db2.gz MVPXORORLLLZSG-GOSISDBHSA-N 1 2 316.401 1.724 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cccc(F)c1F ZINC001039028486 761667148 /nfs/dbraw/zinc/66/71/48/761667148.db2.gz KZCOWEAUSKHLOA-FPMFFAJLSA-N 1 2 304.340 1.892 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cccc(F)c1F ZINC001039028486 761667154 /nfs/dbraw/zinc/66/71/54/761667154.db2.gz KZCOWEAUSKHLOA-FPMFFAJLSA-N 1 2 304.340 1.892 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)c2c(C)n[nH]c2C)C1 ZINC001108284317 761753039 /nfs/dbraw/zinc/75/30/39/761753039.db2.gz RXDZGWIIOMNWIY-SJKOYZFVSA-N 1 2 320.437 1.523 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)c2c(C)n[nH]c2C)C1 ZINC001108284317 761753045 /nfs/dbraw/zinc/75/30/45/761753045.db2.gz RXDZGWIIOMNWIY-SJKOYZFVSA-N 1 2 320.437 1.523 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCn2cccc2)C1 ZINC001108302054 761879426 /nfs/dbraw/zinc/87/94/26/761879426.db2.gz CZYFANRQRJMNIE-KRWDZBQOSA-N 1 2 303.406 1.109 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCn2cccc2)C1 ZINC001108302054 761879438 /nfs/dbraw/zinc/87/94/38/761879438.db2.gz CZYFANRQRJMNIE-KRWDZBQOSA-N 1 2 303.406 1.109 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001108589193 762774295 /nfs/dbraw/zinc/77/42/95/762774295.db2.gz YCFIAKRIYODHCI-GXTWGEPZSA-N 1 2 324.388 1.329 20 30 DDEDLO N#Cc1ccc(N2CCCN(C(=O)CCn3cc[nH+]c3)CC2)nc1 ZINC001057208517 762942102 /nfs/dbraw/zinc/94/21/02/762942102.db2.gz KEFKTDWXKWQSPI-UHFFFAOYSA-N 1 2 324.388 1.279 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001108867065 763035268 /nfs/dbraw/zinc/03/52/68/763035268.db2.gz JMBQCIJNFKCQBP-NSHDSACASA-N 1 2 306.410 1.545 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)N[C@@H](C)C1CC1 ZINC001109058904 763301742 /nfs/dbraw/zinc/30/17/42/763301742.db2.gz OLDXRVRWYKFSFX-UKMLZYKCSA-N 1 2 319.449 1.589 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)N[C@@H](C)C1CC1 ZINC001109058904 763301749 /nfs/dbraw/zinc/30/17/49/763301749.db2.gz OLDXRVRWYKFSFX-UKMLZYKCSA-N 1 2 319.449 1.589 20 30 DDEDLO CCc1nc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC#CCOC)co1 ZINC001109174292 763428852 /nfs/dbraw/zinc/42/88/52/763428852.db2.gz LPNLWVCWEDEUDW-IPYPFGDCSA-N 1 2 317.389 1.222 20 30 DDEDLO CCc1nc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC#CCOC)co1 ZINC001109174292 763428857 /nfs/dbraw/zinc/42/88/57/763428857.db2.gz LPNLWVCWEDEUDW-IPYPFGDCSA-N 1 2 317.389 1.222 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)[C@H](C)C2)cc[nH+]1 ZINC001067376013 763439503 /nfs/dbraw/zinc/43/95/03/763439503.db2.gz ZLYFMRPCEGEYTR-ABAIWWIYSA-N 1 2 324.388 1.630 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)C1CC(Nc2ccc(C#N)nc2)C1 ZINC001069797792 768147743 /nfs/dbraw/zinc/14/77/43/768147743.db2.gz KXDIKQNCAZWRFG-UHFFFAOYSA-N 1 2 310.361 1.320 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1COCCN1c1cc(CC)[nH+]c(C)n1 ZINC001111673269 763973506 /nfs/dbraw/zinc/97/35/06/763973506.db2.gz CFGRIDZYXFDIHQ-OAHLLOKOSA-N 1 2 318.421 1.635 20 30 DDEDLO C#CC[NH+]1CCC2(CN(C(=O)c3[nH]nc4ccccc43)C2)CC1 ZINC001050744114 763989545 /nfs/dbraw/zinc/98/95/45/763989545.db2.gz FLUNSVQFBQZLHP-UHFFFAOYSA-N 1 2 308.385 1.734 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3CCCCO3)c2C1 ZINC001069857991 768189740 /nfs/dbraw/zinc/18/97/40/768189740.db2.gz XIMJWEOHIVEEML-INIZCTEOSA-N 1 2 318.421 1.460 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3CCCCO3)c2C1 ZINC001069857991 768189745 /nfs/dbraw/zinc/18/97/45/768189745.db2.gz XIMJWEOHIVEEML-INIZCTEOSA-N 1 2 318.421 1.460 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3ccc(C#N)[nH]3)c2C1 ZINC001069857930 768190428 /nfs/dbraw/zinc/19/04/28/768190428.db2.gz ULHJKOBPTDIFCX-UHFFFAOYSA-N 1 2 324.388 1.405 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3ccc(C#N)[nH]3)c2C1 ZINC001069857930 768190433 /nfs/dbraw/zinc/19/04/33/768190433.db2.gz ULHJKOBPTDIFCX-UHFFFAOYSA-N 1 2 324.388 1.405 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCN(C(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001057631957 764186389 /nfs/dbraw/zinc/18/63/89/764186389.db2.gz XTMRQKBDCMRFEX-OAHLLOKOSA-N 1 2 324.388 1.712 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cnco3)c2C1 ZINC001069869325 768200699 /nfs/dbraw/zinc/20/06/99/768200699.db2.gz HJIPULOGYMWPBK-UHFFFAOYSA-N 1 2 301.350 1.193 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cnco3)c2C1 ZINC001069869325 768200702 /nfs/dbraw/zinc/20/07/02/768200702.db2.gz HJIPULOGYMWPBK-UHFFFAOYSA-N 1 2 301.350 1.193 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2csc([C@H](C)OC)n2)C1 ZINC001042722730 764388692 /nfs/dbraw/zinc/38/86/92/764388692.db2.gz BNELWRNZLVYXGO-NSHDSACASA-N 1 2 307.419 1.630 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnc2ccccn21 ZINC001050990032 764389099 /nfs/dbraw/zinc/38/90/99/764389099.db2.gz AUYMECWISPGNJQ-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnc2ccccn21 ZINC001050990032 764389105 /nfs/dbraw/zinc/38/91/05/764389105.db2.gz AUYMECWISPGNJQ-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCCN2C(=O)CC)C1 ZINC001042770144 764432083 /nfs/dbraw/zinc/43/20/83/764432083.db2.gz GYXSRABTUITURC-HNNXBMFYSA-N 1 2 307.438 1.496 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1csc(C)n1 ZINC001051082491 764486830 /nfs/dbraw/zinc/48/68/30/764486830.db2.gz POMLRYBTXIZMDU-ZDUSSCGKSA-N 1 2 309.435 1.848 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1csc(C)n1 ZINC001051082491 764486835 /nfs/dbraw/zinc/48/68/35/764486835.db2.gz POMLRYBTXIZMDU-ZDUSSCGKSA-N 1 2 309.435 1.848 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc2c(c1)CCO2 ZINC001051129350 764529509 /nfs/dbraw/zinc/52/95/09/764529509.db2.gz HPVICNHYXYRFCQ-INIZCTEOSA-N 1 2 316.401 1.628 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc2c(c1)CCO2 ZINC001051129350 764529520 /nfs/dbraw/zinc/52/95/20/764529520.db2.gz HPVICNHYXYRFCQ-INIZCTEOSA-N 1 2 316.401 1.628 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)n[nH]c1C ZINC001051170029 764574660 /nfs/dbraw/zinc/57/46/60/764574660.db2.gz IYSNTTANLKWESX-AWEZNQCLSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)n[nH]c1C ZINC001051170029 764574667 /nfs/dbraw/zinc/57/46/67/764574667.db2.gz IYSNTTANLKWESX-AWEZNQCLSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)nn(CC)c1C ZINC001051169327 764575516 /nfs/dbraw/zinc/57/55/16/764575516.db2.gz WRCSESQKPLFIDK-OAHLLOKOSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)nn(CC)c1C ZINC001051169327 764575520 /nfs/dbraw/zinc/57/55/20/764575520.db2.gz WRCSESQKPLFIDK-OAHLLOKOSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CC)c1C ZINC001051180040 764584345 /nfs/dbraw/zinc/58/43/45/764584345.db2.gz AULBWCRHDXMFMK-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CC)c1C ZINC001051180040 764584347 /nfs/dbraw/zinc/58/43/47/764584347.db2.gz AULBWCRHDXMFMK-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001051263621 764686484 /nfs/dbraw/zinc/68/64/84/764686484.db2.gz GEZAIBHCHNAWAW-PHPOFCCKSA-N 1 2 304.434 1.672 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001051263621 764686490 /nfs/dbraw/zinc/68/64/90/764686490.db2.gz GEZAIBHCHNAWAW-PHPOFCCKSA-N 1 2 304.434 1.672 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)N[C@@H](CC(C)C)C2)C1 ZINC001043183135 764742556 /nfs/dbraw/zinc/74/25/56/764742556.db2.gz HRAIHMSPOCXNSN-GJZGRUSLSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2c3c[nH]nc3CC[C@H]2C)C1 ZINC001043385663 764876791 /nfs/dbraw/zinc/87/67/91/764876791.db2.gz KRMLYRGZFSJNGW-MLGOLLRUSA-N 1 2 302.422 1.794 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+](CCOCCOC)CC1 ZINC001112885634 764924395 /nfs/dbraw/zinc/92/43/95/764924395.db2.gz JDCGFPPQNDSDEG-UHFFFAOYSA-N 1 2 310.438 1.562 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccnn2CCC)CC1 ZINC001112893283 764934333 /nfs/dbraw/zinc/93/43/33/764934333.db2.gz AKMMPFQSRJABSY-UHFFFAOYSA-N 1 2 306.410 1.254 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(OCCOC)nc2)CC1 ZINC001112922626 764979812 /nfs/dbraw/zinc/97/98/12/764979812.db2.gz LZWYSWFCYAEADI-UHFFFAOYSA-N 1 2 305.378 1.051 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051671774 765056453 /nfs/dbraw/zinc/05/64/53/765056453.db2.gz MHSJUWBFOPDWHM-KGLIPLIRSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc3c[nH]ccc-3n2)CC1 ZINC001113015857 765148104 /nfs/dbraw/zinc/14/81/04/765148104.db2.gz HBCWSXIANLTZCG-UHFFFAOYSA-N 1 2 314.389 1.523 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(c3cc[nH+]c(C)n3)C[C@H]2O)C1 ZINC001051781977 765159775 /nfs/dbraw/zinc/15/97/75/765159775.db2.gz SUBOQSJJOMVGSW-UONOGXRCSA-N 1 2 316.405 1.197 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(Br)co2)C1 ZINC001044007678 765226935 /nfs/dbraw/zinc/22/69/35/765226935.db2.gz FUKVPOORGHWGIE-UHFFFAOYSA-N 1 2 311.179 1.822 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@H](C)NC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001113083388 765238454 /nfs/dbraw/zinc/23/84/54/765238454.db2.gz ZAUNTHKBGDIMDZ-RYUDHWBXSA-N 1 2 312.377 1.464 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(-n3cnnc3)cc2)C1 ZINC001044050552 765257772 /nfs/dbraw/zinc/25/77/72/765257772.db2.gz QHRXYUKPPHROLH-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccc(C)s3)C2)CC1 ZINC001051968283 765324801 /nfs/dbraw/zinc/32/48/01/765324801.db2.gz BIQDOUIOPLECML-OAHLLOKOSA-N 1 2 317.458 1.522 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc(C)s3)C2)CC1 ZINC001051968283 765324808 /nfs/dbraw/zinc/32/48/08/765324808.db2.gz BIQDOUIOPLECML-OAHLLOKOSA-N 1 2 317.458 1.522 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccc(F)cc3)C2)CC1 ZINC001051969227 765326190 /nfs/dbraw/zinc/32/61/90/765326190.db2.gz JESSEQBNERJUII-INIZCTEOSA-N 1 2 316.380 1.181 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccccc3F)C2)CC1 ZINC001051972708 765331518 /nfs/dbraw/zinc/33/15/18/765331518.db2.gz PRZJKSGILMTINS-OAHLLOKOSA-N 1 2 315.392 1.291 20 30 DDEDLO CC#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccoc3)C2)CC1 ZINC001051983670 765347713 /nfs/dbraw/zinc/34/77/13/765347713.db2.gz SMEFWDLOUIMGMN-MRXNPFEDSA-N 1 2 301.390 1.135 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C3CC=CC3)C2)CC1 ZINC001052003153 765368461 /nfs/dbraw/zinc/36/84/61/765368461.db2.gz ONIQYCZIDULNFI-KRWDZBQOSA-N 1 2 303.450 1.747 20 30 DDEDLO CN(C(=O)Cc1c[nH+]cn1C)C1CN(Cc2ccc(C#N)cc2)C1 ZINC001044188053 765375330 /nfs/dbraw/zinc/37/53/30/765375330.db2.gz GYAMMYPWLSKSCX-UHFFFAOYSA-N 1 2 323.400 1.177 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3csnc3C)C2)CC1 ZINC001052012141 765382741 /nfs/dbraw/zinc/38/27/41/765382741.db2.gz DIGQMOHLYFQWJJ-CQSZACIVSA-N 1 2 320.462 1.470 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(CC)CCC3)C2)CC1 ZINC001052066892 765440825 /nfs/dbraw/zinc/44/08/25/765440825.db2.gz YTGWZTMWTSVHAD-QGZVFWFLSA-N 1 2 317.477 1.809 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(CC)CCC3)C2)CC1 ZINC001052066948 765440974 /nfs/dbraw/zinc/44/09/74/765440974.db2.gz ZYRFBTIDWZGPBV-MRXNPFEDSA-N 1 2 303.450 1.418 20 30 DDEDLO CCN(CCCNC(=O)Cc1c[nH]c[nH+]1)c1ncccc1C#N ZINC001095973474 765452473 /nfs/dbraw/zinc/45/24/73/765452473.db2.gz ZTVNPQFVGCZPAL-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(C)CC(=C)C3)C2)CC1 ZINC001052080913 765453858 /nfs/dbraw/zinc/45/38/58/765453858.db2.gz HXSLXUQPDWEFQM-INIZCTEOSA-N 1 2 301.434 1.194 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCCCN2C(=O)C(C)C)C1 ZINC001044326063 765482865 /nfs/dbraw/zinc/48/28/65/765482865.db2.gz NAULRXDCUOMOTM-HNNXBMFYSA-N 1 2 307.438 1.352 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3[nH]c(=O)n(C)c3c2)C1 ZINC001044378522 765520420 /nfs/dbraw/zinc/52/04/20/765520420.db2.gz SEACAQPCWJGNJA-UHFFFAOYSA-N 1 2 314.389 1.611 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001052187120 765561335 /nfs/dbraw/zinc/56/13/35/765561335.db2.gz GWGPDQIMDHQXOR-KGLIPLIRSA-N 1 2 304.394 1.060 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCCc2nc(C3CC3)no2)CC1 ZINC001113414286 765679074 /nfs/dbraw/zinc/67/90/74/765679074.db2.gz PVCJOAQKVQWNGA-UHFFFAOYSA-N 1 2 304.394 1.600 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)N(C)c1ncnc2c1C[N@H+](C)CC2 ZINC001113562249 765868196 /nfs/dbraw/zinc/86/81/96/765868196.db2.gz HLFMYULOVWOFKI-CYBMUJFWSA-N 1 2 317.437 1.372 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)N(C)c1ncnc2c1C[N@@H+](C)CC2 ZINC001113562249 765868202 /nfs/dbraw/zinc/86/82/02/765868202.db2.gz HLFMYULOVWOFKI-CYBMUJFWSA-N 1 2 317.437 1.372 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]1C[NH2+]Cc1nc(C2CC2)no1 ZINC001044962952 765972717 /nfs/dbraw/zinc/97/27/17/765972717.db2.gz SMLVNIFCVDATFP-PWSUYJOCSA-N 1 2 303.366 1.187 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(CCOC)CCCC2)CC1 ZINC001113703947 766038981 /nfs/dbraw/zinc/03/89/81/766038981.db2.gz UDPQJXIQMRWHPY-UHFFFAOYSA-N 1 2 324.465 1.930 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[NH+](CCc2scnc2C)CC1 ZINC001113712716 766051389 /nfs/dbraw/zinc/05/13/89/766051389.db2.gz DFASRMZOXWHPSE-AWEZNQCLSA-N 1 2 323.462 1.729 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001113999693 766513445 /nfs/dbraw/zinc/51/34/45/766513445.db2.gz RNIKGPWUHAPUEJ-SPWCGHHHSA-N 1 2 321.446 1.671 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001113999693 766513449 /nfs/dbraw/zinc/51/34/49/766513449.db2.gz RNIKGPWUHAPUEJ-SPWCGHHHSA-N 1 2 321.446 1.671 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001113999155 766513682 /nfs/dbraw/zinc/51/36/82/766513682.db2.gz KSXABTOLWRRRPY-SPWCGHHHSA-N 1 2 305.378 1.202 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001113999155 766513690 /nfs/dbraw/zinc/51/36/90/766513690.db2.gz KSXABTOLWRRRPY-SPWCGHHHSA-N 1 2 305.378 1.202 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCCN(C)C(=O)CCCn1cc[nH+]c1 ZINC001067714932 766647274 /nfs/dbraw/zinc/64/72/74/766647274.db2.gz QTNLEYXXKGKOFT-CQSZACIVSA-N 1 2 319.409 1.130 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCCN2C(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001067748458 766675524 /nfs/dbraw/zinc/67/55/24/766675524.db2.gz YQUKNEJSIWPARX-OAHLLOKOSA-N 1 2 324.388 1.726 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)/C=C/c3ccco3)CC2)C1 ZINC001045995572 766777356 /nfs/dbraw/zinc/77/73/56/766777356.db2.gz NONOUBMJIWKQGT-BWPKMQGJSA-N 1 2 314.389 1.035 20 30 DDEDLO N#Cc1ccc(NCC2CC(NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001067890029 766785845 /nfs/dbraw/zinc/78/58/45/766785845.db2.gz JOTPUIZGPIQNQF-UHFFFAOYSA-N 1 2 310.361 1.226 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)o1 ZINC001114258594 766829407 /nfs/dbraw/zinc/82/94/07/766829407.db2.gz VZWWXCIBYWRUQT-CNXAATOLSA-N 1 2 314.389 1.153 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)o1 ZINC001114258594 766829419 /nfs/dbraw/zinc/82/94/19/766829419.db2.gz VZWWXCIBYWRUQT-CNXAATOLSA-N 1 2 314.389 1.153 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C[C@@H]3C=CCCC3)CC2)C1 ZINC001046038813 766845200 /nfs/dbraw/zinc/84/52/00/766845200.db2.gz JRSMSNUMXXHRDB-IAGOWNOFSA-N 1 2 316.449 1.475 20 30 DDEDLO C[C@H]1CCN(c2ccc(C#N)nc2)C[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067992653 766859849 /nfs/dbraw/zinc/85/98/49/766859849.db2.gz ACEKJBSTFUQUQL-LRDDRELGSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H](C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C(C)(F)F ZINC001046062043 766875102 /nfs/dbraw/zinc/87/51/02/766875102.db2.gz AKNOXGSWRFDOAW-QWHCGFSZSA-N 1 2 314.380 1.020 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H](C)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001098061796 766991628 /nfs/dbraw/zinc/99/16/28/766991628.db2.gz VEAABERSGWMXQM-STQMWFEESA-N 1 2 316.405 1.617 20 30 DDEDLO C[C@@H]1C[C@H](CNc2ccc(C#N)cn2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068376266 767220548 /nfs/dbraw/zinc/22/05/48/767220548.db2.gz SBDZULLOKDFBHB-TZMCWYRMSA-N 1 2 324.388 1.568 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2nnc[nH]2)C3)cc1 ZINC001098066646 767269756 /nfs/dbraw/zinc/26/97/56/767269756.db2.gz SXSUGZNVSURFDU-KFWWJZLASA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1ccc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2nnc[nH]2)C3)cc1 ZINC001098066646 767269760 /nfs/dbraw/zinc/26/97/60/767269760.db2.gz SXSUGZNVSURFDU-KFWWJZLASA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2ncn[nH]2)C3)cc1 ZINC001098066646 767269763 /nfs/dbraw/zinc/26/97/63/767269763.db2.gz SXSUGZNVSURFDU-KFWWJZLASA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1ccc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2ncn[nH]2)C3)cc1 ZINC001098066646 767269767 /nfs/dbraw/zinc/26/97/67/767269767.db2.gz SXSUGZNVSURFDU-KFWWJZLASA-N 1 2 322.372 1.212 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CC[C@@](C)(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001046252861 767302482 /nfs/dbraw/zinc/30/24/82/767302482.db2.gz LGCLEXIHASFJKW-SJKOYZFVSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CC[C@@](C)(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001046252861 767302486 /nfs/dbraw/zinc/30/24/86/767302486.db2.gz LGCLEXIHASFJKW-SJKOYZFVSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CC[C@](C)(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001046252860 767302497 /nfs/dbraw/zinc/30/24/97/767302497.db2.gz LGCLEXIHASFJKW-SJCJKPOMSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CC[C@](C)(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001046252860 767302501 /nfs/dbraw/zinc/30/25/01/767302501.db2.gz LGCLEXIHASFJKW-SJCJKPOMSA-N 1 2 324.388 1.632 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001068514637 767391483 /nfs/dbraw/zinc/39/14/83/767391483.db2.gz FSKXHWUPJHCTII-YEORSEQZSA-N 1 2 310.361 1.226 20 30 DDEDLO Cc1cc(N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@@H]2C)c(C#N)cn1 ZINC001068888292 767684340 /nfs/dbraw/zinc/68/43/40/767684340.db2.gz XXSRCOWFNMRWEZ-TZMCWYRMSA-N 1 2 324.388 1.051 20 30 DDEDLO Cc1cc(N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@@H]2C)c(C#N)cn1 ZINC001068963581 767731408 /nfs/dbraw/zinc/73/14/08/767731408.db2.gz YGXRFEZLBWCVPP-IUODEOHRSA-N 1 2 324.388 1.051 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccc3nncn3c2)C1 ZINC001046700124 767817320 /nfs/dbraw/zinc/81/73/20/767817320.db2.gz VZORAPIXPOEBQT-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccc3nncn3c2)C1 ZINC001046700124 767817328 /nfs/dbraw/zinc/81/73/28/767817328.db2.gz VZORAPIXPOEBQT-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2cncs2)CC[C@@H]1C ZINC001131839931 768404871 /nfs/dbraw/zinc/40/48/71/768404871.db2.gz WUIBQUPKGIYVCE-QWHCGFSZSA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2cncs2)CC[C@@H]1C ZINC001131839931 768404874 /nfs/dbraw/zinc/40/48/74/768404874.db2.gz WUIBQUPKGIYVCE-QWHCGFSZSA-N 1 2 307.419 1.376 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2nocc2C)C1 ZINC001132028803 768587373 /nfs/dbraw/zinc/58/73/73/768587373.db2.gz BTCREXOGRLHANP-HUUCEWRRSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2nocc2C)C1 ZINC001132028803 768587379 /nfs/dbraw/zinc/58/73/79/768587379.db2.gz BTCREXOGRLHANP-HUUCEWRRSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CC)c(C)s2)C1 ZINC001047621430 768618583 /nfs/dbraw/zinc/61/85/83/768618583.db2.gz OMDPYNPJUGBXSS-KBPBESRZSA-N 1 2 306.431 1.369 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CC)c(C)s2)C1 ZINC001047621430 768618586 /nfs/dbraw/zinc/61/85/86/768618586.db2.gz OMDPYNPJUGBXSS-KBPBESRZSA-N 1 2 306.431 1.369 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C2(CCC)CC2)CC[C@@H]1C ZINC001132274621 768731784 /nfs/dbraw/zinc/73/17/84/768731784.db2.gz XKKWYEHYPBTYKB-LSDHHAIUSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C2(CCC)CC2)CC[C@@H]1C ZINC001132274621 768731785 /nfs/dbraw/zinc/73/17/85/768731785.db2.gz XKKWYEHYPBTYKB-LSDHHAIUSA-N 1 2 319.449 1.285 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132326512 768766514 /nfs/dbraw/zinc/76/65/14/768766514.db2.gz DZIKBKSCOAYABW-KGLIPLIRSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132326512 768766517 /nfs/dbraw/zinc/76/65/17/768766517.db2.gz DZIKBKSCOAYABW-KGLIPLIRSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)/C(C)=C/C)C1 ZINC001070909885 768781855 /nfs/dbraw/zinc/78/18/55/768781855.db2.gz BWMWFXLAPMSCHC-PDWYJCOFSA-N 1 2 319.405 1.245 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)/C(C)=C/C)C1 ZINC001070909885 768781859 /nfs/dbraw/zinc/78/18/59/768781859.db2.gz BWMWFXLAPMSCHC-PDWYJCOFSA-N 1 2 319.405 1.245 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070933959 768793729 /nfs/dbraw/zinc/79/37/29/768793729.db2.gz LLSMHIYOAVLLAB-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C23CCC(CC2)C3)CC1 ZINC001070973148 768824206 /nfs/dbraw/zinc/82/42/06/768824206.db2.gz DJHUABHLVMRFBI-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C23CCC(CC2)C3)CC1 ZINC001070973148 768824219 /nfs/dbraw/zinc/82/42/19/768824219.db2.gz DJHUABHLVMRFBI-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO CCCC(=O)NCC[NH+]1CCN(c2cc(C)ncc2C#N)CC1 ZINC001096267927 768844323 /nfs/dbraw/zinc/84/43/23/768844323.db2.gz QJWRVOXCGQZBED-UHFFFAOYSA-N 1 2 315.421 1.300 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CC[C@@H](C)[N@@H+](CC(N)=O)C2)CCCCC1 ZINC001132530178 768949792 /nfs/dbraw/zinc/94/97/92/768949792.db2.gz VZUAVUSMNGDNTK-HUUCEWRRSA-N 1 2 319.449 1.415 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CC[C@@H](C)[N@H+](CC(N)=O)C2)CCCCC1 ZINC001132530178 768949794 /nfs/dbraw/zinc/94/97/94/768949794.db2.gz VZUAVUSMNGDNTK-HUUCEWRRSA-N 1 2 319.449 1.415 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1nc(CC(C)C)no1 ZINC001132568722 768991404 /nfs/dbraw/zinc/99/14/04/768991404.db2.gz CSNIKFZAFYALKR-CHWSQXEVSA-N 1 2 308.426 1.932 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001132667603 769075341 /nfs/dbraw/zinc/07/53/41/769075341.db2.gz OPHFHQVWDMKBTO-NWDGAFQWSA-N 1 2 310.398 1.585 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCN(c2cc(C)[nH+]c(C(C)C)n2)C1 ZINC001096335817 769265595 /nfs/dbraw/zinc/26/55/95/769265595.db2.gz XZVJCXCNSSWBDN-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001096414642 769807483 /nfs/dbraw/zinc/80/74/83/769807483.db2.gz ZNLHZLAQIKTRKA-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2nc(CC3CC3)no2)C1 ZINC001133564716 769993582 /nfs/dbraw/zinc/99/35/82/769993582.db2.gz VYMSKJQTCOANIO-UHFFFAOYSA-N 1 2 304.394 1.584 20 30 DDEDLO C#CCN1C[C@@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)CC[C@@H]1C ZINC001071940062 770316615 /nfs/dbraw/zinc/31/66/15/770316615.db2.gz VLBONANGHOCDLF-HOCLYGCPSA-N 1 2 323.400 1.483 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(=O)n(C)n2)CC[C@H]1C ZINC001072052439 770487134 /nfs/dbraw/zinc/48/71/34/770487134.db2.gz MXENGJIXEAUHNX-NEPJUHHUSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(=O)n(C)n2)CC[C@H]1C ZINC001072052439 770487139 /nfs/dbraw/zinc/48/71/39/770487139.db2.gz MXENGJIXEAUHNX-NEPJUHHUSA-N 1 2 324.812 1.116 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072111069 770555225 /nfs/dbraw/zinc/55/52/25/770555225.db2.gz HFYWHFIKYXYHRM-UONOGXRCSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001072215506 770671504 /nfs/dbraw/zinc/67/15/04/770671504.db2.gz UNNFCYZRUIZHHC-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)C#N ZINC001049383676 770763413 /nfs/dbraw/zinc/76/34/13/770763413.db2.gz STAFDPMKMZFIFE-LEWSCRJBSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)C#N ZINC001049383676 770763418 /nfs/dbraw/zinc/76/34/18/770763418.db2.gz STAFDPMKMZFIFE-LEWSCRJBSA-N 1 2 303.366 1.103 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049494941 770927309 /nfs/dbraw/zinc/92/73/09/770927309.db2.gz MBDRDGFOJFPIFC-HZPDHXFCSA-N 1 2 323.400 1.660 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049494941 770927314 /nfs/dbraw/zinc/92/73/14/770927314.db2.gz MBDRDGFOJFPIFC-HZPDHXFCSA-N 1 2 323.400 1.660 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001072504479 770995955 /nfs/dbraw/zinc/99/59/55/770995955.db2.gz JXNISNATLQYFNW-KGLIPLIRSA-N 1 2 318.421 1.449 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001049715162 771108321 /nfs/dbraw/zinc/10/83/21/771108321.db2.gz SYZBUEKFUOGGAO-BPLDGKMQSA-N 1 2 313.405 1.418 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+]Cc1nccc(C)n1 ZINC001135058615 771297759 /nfs/dbraw/zinc/29/77/59/771297759.db2.gz XZGLQPKNZPAKCR-HOCLYGCPSA-N 1 2 318.421 1.362 20 30 DDEDLO Cc1nc(N[C@@H](CNC(=O)Cn2cc[nH+]c2)C2CC2)ccc1C#N ZINC001096684066 771391967 /nfs/dbraw/zinc/39/19/67/771391967.db2.gz YQLUVQOVOUAHBY-HNNXBMFYSA-N 1 2 324.388 1.465 20 30 DDEDLO COC(=O)[C@@H](Cc1ccc(C#N)cc1)[NH2+][C@H]1CCCOCC1 ZINC001170802971 771393695 /nfs/dbraw/zinc/39/36/95/771393695.db2.gz GHJKYYAEGLDSIR-JKSUJKDBSA-N 1 2 302.374 1.801 20 30 DDEDLO N#Cc1cnccc1N[C@H](CNC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001096839085 771479300 /nfs/dbraw/zinc/47/93/00/771479300.db2.gz GKDSRPZAMVBJJI-MRXNPFEDSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1cnccc1N[C@H](CNC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001096839085 771479304 /nfs/dbraw/zinc/47/93/04/771479304.db2.gz GKDSRPZAMVBJJI-MRXNPFEDSA-N 1 2 324.388 1.038 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)/C=C\c1ccc(F)cc1 ZINC001136226835 771919394 /nfs/dbraw/zinc/91/93/94/771919394.db2.gz OCYVYMQEKHANOO-KMSCOEIWSA-N 1 2 301.321 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(Cl)no2)[C@@H](O)C1 ZINC001090745084 772155165 /nfs/dbraw/zinc/15/51/65/772155165.db2.gz ZDYXYNOMEWTHHT-BDAKNGLRSA-N 1 2 320.176 1.246 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(Cl)no2)[C@@H](O)C1 ZINC001090745084 772155167 /nfs/dbraw/zinc/15/51/67/772155167.db2.gz ZDYXYNOMEWTHHT-BDAKNGLRSA-N 1 2 320.176 1.246 20 30 DDEDLO CC(=O)/C=C(/C)NC(=O)[C@@H]1[C@@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143675805 772291825 /nfs/dbraw/zinc/29/18/25/772291825.db2.gz KHDQSWKCGUNCPG-PLLXSZFYSA-N 1 2 302.374 1.231 20 30 DDEDLO CC(=O)/C=C(/C)NC(=O)[C@@H]1[C@@H](O)CC[N@H+]1Cc1ccccc1 ZINC001143675805 772291828 /nfs/dbraw/zinc/29/18/28/772291828.db2.gz KHDQSWKCGUNCPG-PLLXSZFYSA-N 1 2 302.374 1.231 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@H](CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001091450967 772772681 /nfs/dbraw/zinc/77/26/81/772772681.db2.gz SBBPBMLYYCDGHW-MQMHXKEQSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccns2)CCCO1 ZINC001149414198 772804403 /nfs/dbraw/zinc/80/44/03/772804403.db2.gz CEYQCGAXFYLZAM-RYUDHWBXSA-N 1 2 308.407 1.010 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccns2)CCCO1 ZINC001149414198 772804404 /nfs/dbraw/zinc/80/44/04/772804404.db2.gz CEYQCGAXFYLZAM-RYUDHWBXSA-N 1 2 308.407 1.010 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1csc(C)n1)C2 ZINC001147255875 773081880 /nfs/dbraw/zinc/08/18/80/773081880.db2.gz BNLGBUXCTOPABB-UHFFFAOYSA-N 1 2 321.446 1.688 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+](Cc1csc(C)n1)C2 ZINC001147255875 773081883 /nfs/dbraw/zinc/08/18/83/773081883.db2.gz BNLGBUXCTOPABB-UHFFFAOYSA-N 1 2 321.446 1.688 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ncccc3C)C[C@H]21 ZINC001074208333 773731494 /nfs/dbraw/zinc/73/14/94/773731494.db2.gz GXOYRZIXMBSSTO-HZPDHXFCSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ncccc3C)C[C@H]21 ZINC001074208333 773731498 /nfs/dbraw/zinc/73/14/98/773731498.db2.gz GXOYRZIXMBSSTO-HZPDHXFCSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)ccn3C)C[C@@H]21 ZINC001074226626 773752042 /nfs/dbraw/zinc/75/20/42/773752042.db2.gz UEIRMHMWDPECJL-JKSUJKDBSA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)ccn3C)C[C@@H]21 ZINC001074226626 773752045 /nfs/dbraw/zinc/75/20/45/773752045.db2.gz UEIRMHMWDPECJL-JKSUJKDBSA-N 1 2 315.417 1.272 20 30 DDEDLO CC(C)=CC[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001074237115 773759710 /nfs/dbraw/zinc/75/97/10/773759710.db2.gz UIYJTAIJVZZUHH-DLBZAZTESA-N 1 2 302.418 1.668 20 30 DDEDLO CC(C)=CC[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001074237115 773759714 /nfs/dbraw/zinc/75/97/14/773759714.db2.gz UIYJTAIJVZZUHH-DLBZAZTESA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)=C3CCC3)C[C@H]21 ZINC001074238620 773761959 /nfs/dbraw/zinc/76/19/59/773761959.db2.gz QQZKLXCVNIEKCS-IAGOWNOFSA-N 1 2 302.418 1.812 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)=C3CCC3)C[C@H]21 ZINC001074238620 773761965 /nfs/dbraw/zinc/76/19/65/773761965.db2.gz QQZKLXCVNIEKCS-IAGOWNOFSA-N 1 2 302.418 1.812 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C(F)F)C[C@@H]21 ZINC001074334502 773831855 /nfs/dbraw/zinc/83/18/55/773831855.db2.gz SRBMGPYKKMNAMX-QWHCGFSZSA-N 1 2 314.376 1.603 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C(F)F)C[C@@H]21 ZINC001074334502 773831859 /nfs/dbraw/zinc/83/18/59/773831859.db2.gz SRBMGPYKKMNAMX-QWHCGFSZSA-N 1 2 314.376 1.603 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CN(C(=O)Cn3cncc3C)C[C@]2(C)C1 ZINC001091857653 773840623 /nfs/dbraw/zinc/84/06/23/773840623.db2.gz QCWLVENXGFGBCS-ZBFHGGJFSA-N 1 2 322.840 1.724 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CN(C(=O)Cn3cncc3C)C[C@]2(C)C1 ZINC001091857653 773840627 /nfs/dbraw/zinc/84/06/27/773840627.db2.gz QCWLVENXGFGBCS-ZBFHGGJFSA-N 1 2 322.840 1.724 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001092070541 773935486 /nfs/dbraw/zinc/93/54/86/773935486.db2.gz OGMPBEJWWZDMEK-HNNXBMFYSA-N 1 2 318.421 1.805 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092165257 773969624 /nfs/dbraw/zinc/96/96/24/773969624.db2.gz VRCLBNSSMWJYPY-UONOGXRCSA-N 1 2 318.421 1.443 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nnc(C3CC3)o2)[C@@H]1C ZINC001074567930 773999839 /nfs/dbraw/zinc/99/98/39/773999839.db2.gz CRWWJOPRUQDNSP-SCVCMEIPSA-N 1 2 303.366 1.186 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001075097221 774347848 /nfs/dbraw/zinc/34/78/48/774347848.db2.gz MPHDCBYQRGQIKV-ZIAGYGMSSA-N 1 2 318.421 1.591 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1ncc(C#N)cc1F ZINC001098344359 774543507 /nfs/dbraw/zinc/54/35/07/774543507.db2.gz KKAYDXBFBDXOGG-SNVBAGLBSA-N 1 2 316.340 1.365 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1ncc(C#N)cc1F ZINC001098344359 774543513 /nfs/dbraw/zinc/54/35/13/774543513.db2.gz KKAYDXBFBDXOGG-SNVBAGLBSA-N 1 2 316.340 1.365 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C[C@H](C)CC)C2)nn1 ZINC001098664567 774634297 /nfs/dbraw/zinc/63/42/97/774634297.db2.gz PIXZVMRYKFYGEK-GDBMZVCRSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098678850 774639097 /nfs/dbraw/zinc/63/90/97/774639097.db2.gz OGKDZCJWZFSECE-AWEZNQCLSA-N 1 2 323.416 1.713 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)[C@@H]3CCCO3)CC2)C1 ZINC001093570548 774841774 /nfs/dbraw/zinc/84/17/74/774841774.db2.gz CBKJPOQNFRQXBW-YOEHRIQHSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CCOC[C@H]3C)CC2)C1 ZINC001093570159 774842026 /nfs/dbraw/zinc/84/20/26/774842026.db2.gz NUZMOJXCOSHGIQ-PBHICJAKSA-N 1 2 322.449 1.537 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3OCC[C@H]3C)CC2)C1 ZINC001093574158 774847180 /nfs/dbraw/zinc/84/71/80/774847180.db2.gz PJKONBPKQDYMRU-CJNGLKHVSA-N 1 2 308.422 1.289 20 30 DDEDLO C[C@@H](CCNC(=O)CCn1cc[nH+]c1)Nc1ccc(C#N)cn1 ZINC001099625646 775075143 /nfs/dbraw/zinc/07/51/43/775075143.db2.gz DPBNLJZWDUDWIY-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099729433 775196168 /nfs/dbraw/zinc/19/61/68/775196168.db2.gz HWHDTMZHBWCVKY-ZFWWWQNUSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099729433 775196175 /nfs/dbraw/zinc/19/61/75/775196175.db2.gz HWHDTMZHBWCVKY-ZFWWWQNUSA-N 1 2 307.394 1.309 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)nn1 ZINC001099765419 775242776 /nfs/dbraw/zinc/24/27/76/775242776.db2.gz SEEFMPGTBWWKRL-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)nn1 ZINC001099765419 775242781 /nfs/dbraw/zinc/24/27/81/775242781.db2.gz SEEFMPGTBWWKRL-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099806569 775305168 /nfs/dbraw/zinc/30/51/68/775305168.db2.gz CUTXMDSYVUCVIG-JKSUJKDBSA-N 1 2 319.380 1.076 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099806569 775305183 /nfs/dbraw/zinc/30/51/83/775305183.db2.gz CUTXMDSYVUCVIG-JKSUJKDBSA-N 1 2 319.380 1.076 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C(F)F)CC2)[C@@H](O)C1 ZINC001099829197 775334467 /nfs/dbraw/zinc/33/44/67/775334467.db2.gz CXZCKPLOMSFDRX-UWVGGRQHSA-N 1 2 308.756 1.336 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C(F)F)CC2)[C@@H](O)C1 ZINC001099829197 775334471 /nfs/dbraw/zinc/33/44/71/775334471.db2.gz CXZCKPLOMSFDRX-UWVGGRQHSA-N 1 2 308.756 1.336 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099854978 775357563 /nfs/dbraw/zinc/35/75/63/775357563.db2.gz AKSJIKFFRNFAHR-HZPDHXFCSA-N 1 2 321.396 1.628 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099854978 775357573 /nfs/dbraw/zinc/35/75/73/775357573.db2.gz AKSJIKFFRNFAHR-HZPDHXFCSA-N 1 2 321.396 1.628 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099944902 775456025 /nfs/dbraw/zinc/45/60/25/775456025.db2.gz SFRLMZNAGXVZBN-STQMWFEESA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099944902 775456034 /nfs/dbraw/zinc/45/60/34/775456034.db2.gz SFRLMZNAGXVZBN-STQMWFEESA-N 1 2 323.462 1.715 20 30 DDEDLO C=C1CC(C)(C(=O)NCCNc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001094123643 775457545 /nfs/dbraw/zinc/45/75/45/775457545.db2.gz HLRYPGGMBVBQIA-UHFFFAOYSA-N 1 2 304.398 1.130 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCN(C)c1cc(C)[nH+]c(C(C)C)n1 ZINC001100040109 775605717 /nfs/dbraw/zinc/60/57/17/775605717.db2.gz CWGHLEPSPMMINN-KRWDZBQOSA-N 1 2 320.437 1.788 20 30 DDEDLO C=CCCC(=O)NCC1CC([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001100169409 775753716 /nfs/dbraw/zinc/75/37/16/775753716.db2.gz QMCHLIIIUNFEDO-UHFFFAOYSA-N 1 2 304.394 1.898 20 30 DDEDLO Cc1nc(N(C)C)nc(NCCCNC(=O)C#CC(C)(C)C)[nH+]1 ZINC001094401862 775813146 /nfs/dbraw/zinc/81/31/46/775813146.db2.gz MTOISBAYAMZNLB-UHFFFAOYSA-N 1 2 318.425 1.214 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100276075 775924616 /nfs/dbraw/zinc/92/46/16/775924616.db2.gz ROQRTAWIKWEZBG-GFCCVEGCSA-N 1 2 316.409 1.518 20 30 DDEDLO CN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)c1ccc(C#N)nc1 ZINC001100391912 776090030 /nfs/dbraw/zinc/09/00/30/776090030.db2.gz KAJBMJITXBDXNT-ZIAGYGMSSA-N 1 2 310.361 1.032 20 30 DDEDLO CN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)c1ccc(C#N)nc1 ZINC001100391912 776090035 /nfs/dbraw/zinc/09/00/35/776090035.db2.gz KAJBMJITXBDXNT-ZIAGYGMSSA-N 1 2 310.361 1.032 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(C)=C3CCC3)CC2=O)C1 ZINC001094735949 776237674 /nfs/dbraw/zinc/23/76/74/776237674.db2.gz XKKJSJLYFTXFGO-CQSZACIVSA-N 1 2 303.406 1.074 20 30 DDEDLO CCN(CCNC(=O)CCc1c[nH]c[nH+]1)c1ccncc1C#N ZINC001100756273 776505161 /nfs/dbraw/zinc/50/51/61/776505161.db2.gz MAAZZJOHSKDXFG-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CCN(CCNC(=O)CCc1c[nH+]c[nH]1)c1ccncc1C#N ZINC001100756273 776505166 /nfs/dbraw/zinc/50/51/66/776505166.db2.gz MAAZZJOHSKDXFG-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CNC(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001100859154 776641458 /nfs/dbraw/zinc/64/14/58/776641458.db2.gz MIOXYTTUMWBCQS-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(N2CCO[C@H](C#N)C2)CC1 ZINC001172896508 776928865 /nfs/dbraw/zinc/92/88/65/776928865.db2.gz GBAKNPKINOWWDK-CQSZACIVSA-N 1 2 309.410 1.017 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001172978918 776949128 /nfs/dbraw/zinc/94/91/28/776949128.db2.gz BAYRVDOAACWPRZ-MCIONIFRSA-N 1 2 309.410 1.999 20 30 DDEDLO C[C@@H]1COCC[N@H+]1[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001172978918 776949135 /nfs/dbraw/zinc/94/91/35/776949135.db2.gz BAYRVDOAACWPRZ-MCIONIFRSA-N 1 2 309.410 1.999 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@@]2(C)C1 ZINC001101168749 776961307 /nfs/dbraw/zinc/96/13/07/776961307.db2.gz STURHNCOIRWZPZ-DYVFJYSZSA-N 1 2 318.421 1.879 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@@]2(C)C1 ZINC001101168749 776961312 /nfs/dbraw/zinc/96/13/12/776961312.db2.gz STURHNCOIRWZPZ-DYVFJYSZSA-N 1 2 318.421 1.879 20 30 DDEDLO N#Cc1cnccc1N(CCNC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001101463107 777154772 /nfs/dbraw/zinc/15/47/72/777154772.db2.gz FBMWLVJLUCYFIR-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cnccc1N(CCNC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001101463107 777154774 /nfs/dbraw/zinc/15/47/74/777154774.db2.gz FBMWLVJLUCYFIR-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C(F)=C(C)C)c1nccn12 ZINC001101623068 777316192 /nfs/dbraw/zinc/31/61/92/777316192.db2.gz XCLCMRWPIZVBNZ-LBPRGKRZSA-N 1 2 304.369 1.904 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](OC)C(C)C)c1nccn12 ZINC001101640466 777337579 /nfs/dbraw/zinc/33/75/79/777337579.db2.gz AQDUVKMTRKZYGZ-KGLIPLIRSA-N 1 2 318.421 1.312 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC2(C[NH+](CC=C)C2)n2ccnc21 ZINC001101654029 777348186 /nfs/dbraw/zinc/34/81/86/777348186.db2.gz JINPJAQALUVJFJ-CQSZACIVSA-N 1 2 316.405 1.234 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1CC2(C[NH+](CC=C)C2)n2ccnc21 ZINC001101654091 777348225 /nfs/dbraw/zinc/34/82/25/777348225.db2.gz KLRQUSXRMJTDRU-KGLIPLIRSA-N 1 2 316.405 1.232 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@H+]([C@H](C)c2noc(C)n2)C[C@H]1C ZINC001101818304 777560755 /nfs/dbraw/zinc/56/07/55/777560755.db2.gz LYFKCZQKHPTEMK-YRGRVCCFSA-N 1 2 322.409 1.326 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@@H+]([C@H](C)c2noc(C)n2)C[C@H]1C ZINC001101818304 777560761 /nfs/dbraw/zinc/56/07/61/777560761.db2.gz LYFKCZQKHPTEMK-YRGRVCCFSA-N 1 2 322.409 1.326 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2nncs2)C[C@H]1CNC(=O)C#CC1CC1 ZINC001101952791 777724804 /nfs/dbraw/zinc/72/48/04/777724804.db2.gz FJHQVWBWNIUGAM-DGCLKSJQSA-N 1 2 304.419 1.136 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2nncs2)C[C@H]1CNC(=O)C#CC1CC1 ZINC001101952791 777724813 /nfs/dbraw/zinc/72/48/13/777724813.db2.gz FJHQVWBWNIUGAM-DGCLKSJQSA-N 1 2 304.419 1.136 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(c2ncccn2)CC1 ZINC001102413799 778125128 /nfs/dbraw/zinc/12/51/28/778125128.db2.gz BELCVAQPDMCSHX-UHFFFAOYSA-N 1 2 303.410 1.023 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102675815 778296972 /nfs/dbraw/zinc/29/69/72/778296972.db2.gz YPSNQCAAJPRZAM-HIFRSBDPSA-N 1 2 318.421 1.803 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CSC(C)C)C[C@H]21 ZINC001176930060 778319581 /nfs/dbraw/zinc/31/95/81/778319581.db2.gz PGTIMUMXMBFFMJ-HUUCEWRRSA-N 1 2 310.463 1.453 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CSC(C)C)C[C@H]21 ZINC001176930060 778319589 /nfs/dbraw/zinc/31/95/89/778319589.db2.gz PGTIMUMXMBFFMJ-HUUCEWRRSA-N 1 2 310.463 1.453 20 30 DDEDLO C=CCCOCC(=O)NCCC[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001177264750 778522872 /nfs/dbraw/zinc/52/28/72/778522872.db2.gz XRZLVBJSCLWRHH-UHFFFAOYSA-N 1 2 324.425 1.556 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(CCCNCC#N)CC1CCCC1 ZINC001177469160 778601169 /nfs/dbraw/zinc/60/11/69/778601169.db2.gz XHSMBPREHJQOSE-UHFFFAOYSA-N 1 2 317.437 1.783 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](CC)Nc1[nH+]cnc2c1cnn2C ZINC001103260545 778743625 /nfs/dbraw/zinc/74/36/25/778743625.db2.gz ATAMVTLWOWPXRR-NSHDSACASA-N 1 2 316.409 1.882 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)[C@@H](C#N)Cc1cccs1 ZINC001177915312 778782006 /nfs/dbraw/zinc/78/20/06/778782006.db2.gz LSODNJIEIHGOTG-GFCCVEGCSA-N 1 2 318.402 1.590 20 30 DDEDLO N#Cc1cnccc1NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCCC1 ZINC001103461130 778878149 /nfs/dbraw/zinc/87/81/49/778878149.db2.gz PTDBSRAXPCWHMR-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO C#Cc1cc(NC(=O)[C@@H]2C[N@H+](Cc3ccccc3)CCO2)ccn1 ZINC001178986913 779252311 /nfs/dbraw/zinc/25/23/11/779252311.db2.gz SBYCBLMKGPINLW-SFHVURJKSA-N 1 2 321.380 1.324 20 30 DDEDLO C#Cc1cc(NC(=O)[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)ccn1 ZINC001178986913 779252315 /nfs/dbraw/zinc/25/23/15/779252315.db2.gz SBYCBLMKGPINLW-SFHVURJKSA-N 1 2 321.380 1.324 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)CCc2nc[nH]n2)C1 ZINC001111687638 779505582 /nfs/dbraw/zinc/50/55/82/779505582.db2.gz HVKDXPYXGPGILB-WFASDCNBSA-N 1 2 323.828 1.461 20 30 DDEDLO Cc1nc(N(C)CCOCCNC(=O)[C@H](C)C#N)c(C)c(C)[nH+]1 ZINC001115186734 779931460 /nfs/dbraw/zinc/93/14/60/779931460.db2.gz APKRZVBRBBPHHN-LLVKDONJSA-N 1 2 319.409 1.131 20 30 DDEDLO O=C(CC#Cc1ccccc1)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001115279643 780001995 /nfs/dbraw/zinc/00/19/95/780001995.db2.gz GTMDLCYUFNLZAZ-DZFIZOCASA-N 1 2 321.380 1.663 20 30 DDEDLO O=C(CC#Cc1ccccc1)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001115279643 780002006 /nfs/dbraw/zinc/00/20/06/780002006.db2.gz GTMDLCYUFNLZAZ-DZFIZOCASA-N 1 2 321.380 1.663 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1cc(OC)ccc1OC ZINC001116397024 780505009 /nfs/dbraw/zinc/50/50/09/780505009.db2.gz XQNNVQTXFNSJNQ-UHFFFAOYSA-N 1 2 306.362 1.224 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1cc(OC)ccc1OC ZINC001116397024 780505015 /nfs/dbraw/zinc/50/50/15/780505015.db2.gz XQNNVQTXFNSJNQ-UHFFFAOYSA-N 1 2 306.362 1.224 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@@H]1CC[N@H+](Cc2cnon2)C1 ZINC001267297625 837774864 /nfs/dbraw/zinc/77/48/64/837774864.db2.gz DRRDKMISPQMQNI-XJKSGUPXSA-N 1 2 322.409 1.237 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@@H]1CC[N@@H+](Cc2cnon2)C1 ZINC001267297625 837774869 /nfs/dbraw/zinc/77/48/69/837774869.db2.gz DRRDKMISPQMQNI-XJKSGUPXSA-N 1 2 322.409 1.237 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CC[N@@H+](Cc2ncnn2CC)C1 ZINC001267302258 837785121 /nfs/dbraw/zinc/78/51/21/837785121.db2.gz OBJIIJGJPHSMSC-PBHICJAKSA-N 1 2 319.453 1.838 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CC[N@H+](Cc2ncnn2CC)C1 ZINC001267302258 837785124 /nfs/dbraw/zinc/78/51/24/837785124.db2.gz OBJIIJGJPHSMSC-PBHICJAKSA-N 1 2 319.453 1.838 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)CCCc1ccccn1 ZINC001280422427 844101955 /nfs/dbraw/zinc/10/19/55/844101955.db2.gz YGKSLHRVSHSNGL-QGZVFWFLSA-N 1 2 315.417 1.245 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)CCCc1ccccn1 ZINC001280422427 844101962 /nfs/dbraw/zinc/10/19/62/844101962.db2.gz YGKSLHRVSHSNGL-QGZVFWFLSA-N 1 2 315.417 1.245 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001266316834 836108089 /nfs/dbraw/zinc/10/80/89/836108089.db2.gz JGWHSHGKALIOPY-IUODEOHRSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001266316834 836108093 /nfs/dbraw/zinc/10/80/93/836108093.db2.gz JGWHSHGKALIOPY-IUODEOHRSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001266343178 836146048 /nfs/dbraw/zinc/14/60/48/836146048.db2.gz ZLJYSJNWRVMONH-XJKSGUPXSA-N 1 2 305.426 1.591 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001266343178 836146052 /nfs/dbraw/zinc/14/60/52/836146052.db2.gz ZLJYSJNWRVMONH-XJKSGUPXSA-N 1 2 305.426 1.591 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C(C)(C)C(=C)C)C1 ZINC001282735090 836218951 /nfs/dbraw/zinc/21/89/51/836218951.db2.gz VAAWETFMULZFJF-CYBMUJFWSA-N 1 2 307.438 1.327 20 30 DDEDLO CC(C)n1cc(C[N@@H+]2CC[C@@H](CNC(=O)C#CC3CC3)C2)nn1 ZINC001266510849 836383200 /nfs/dbraw/zinc/38/32/00/836383200.db2.gz GTEXNPFNWNMDKA-HNNXBMFYSA-N 1 2 315.421 1.211 20 30 DDEDLO CC(C)n1cc(C[N@H+]2CC[C@@H](CNC(=O)C#CC3CC3)C2)nn1 ZINC001266510849 836383208 /nfs/dbraw/zinc/38/32/08/836383208.db2.gz GTEXNPFNWNMDKA-HNNXBMFYSA-N 1 2 315.421 1.211 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@@H+](Cc2ncnn2C)C1 ZINC001266537047 836433043 /nfs/dbraw/zinc/43/30/43/836433043.db2.gz CWIPBSAHOIVKBR-CYBMUJFWSA-N 1 2 305.426 1.356 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@H+](Cc2ncnn2C)C1 ZINC001266537047 836433049 /nfs/dbraw/zinc/43/30/49/836433049.db2.gz CWIPBSAHOIVKBR-CYBMUJFWSA-N 1 2 305.426 1.356 20 30 DDEDLO CCCN(CC#N)CCNC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001266566756 836477840 /nfs/dbraw/zinc/47/78/40/836477840.db2.gz MLJHJUNDFIQRCR-UHFFFAOYSA-N 1 2 311.389 1.838 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001266569615 836480612 /nfs/dbraw/zinc/48/06/12/836480612.db2.gz DREZTOGYYUDVMM-ZDUSSCGKSA-N 1 2 320.393 1.230 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2nc(COC)no2)C1 ZINC001267009368 837181268 /nfs/dbraw/zinc/18/12/68/837181268.db2.gz ZPTNCLYSQRAXTH-STQMWFEESA-N 1 2 320.393 1.271 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(CCC)C(=O)c2ncccc2O)C1 ZINC001267455286 838079778 /nfs/dbraw/zinc/07/97/78/838079778.db2.gz XNQXJZYWBXYSMT-AWEZNQCLSA-N 1 2 301.390 1.737 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(CCC)C(=O)c2ncccc2O)C1 ZINC001267455286 838079794 /nfs/dbraw/zinc/07/97/94/838079794.db2.gz XNQXJZYWBXYSMT-AWEZNQCLSA-N 1 2 301.390 1.737 20 30 DDEDLO C=C[C@H](C(=O)N(C)CC[NH2+]Cc1ncccn1)c1ccccc1 ZINC001267472489 838129367 /nfs/dbraw/zinc/12/93/67/838129367.db2.gz OLKGEJHTEITJAO-INIZCTEOSA-N 1 2 310.401 1.994 20 30 DDEDLO CC[C@@H]1CCC[C@@]1(C)C(=O)N(C)CC[NH+]1CCN(CC#N)CC1 ZINC001267573357 838337476 /nfs/dbraw/zinc/33/74/76/838337476.db2.gz PPDOSJDPLKSTLL-SJLPKXTDSA-N 1 2 320.481 1.802 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)Cc2cccc(F)c2)CC1 ZINC001267618323 838503861 /nfs/dbraw/zinc/50/38/61/838503861.db2.gz MFKIJIBDBGZGCA-UHFFFAOYSA-N 1 2 319.424 1.630 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)COc2ccc(OCC)cc2)C1 ZINC001267668611 838612602 /nfs/dbraw/zinc/61/26/02/838612602.db2.gz QHOFYBQRBAZQPE-UHFFFAOYSA-N 1 2 304.390 1.841 20 30 DDEDLO CC(C)C#CC(=O)N1CCC(C[N@H+](C)Cc2csnn2)CC1 ZINC001267729549 838768746 /nfs/dbraw/zinc/76/87/46/838768746.db2.gz AHZVMNCJGPTYMU-UHFFFAOYSA-N 1 2 320.462 1.868 20 30 DDEDLO CC(C)C#CC(=O)N1CCC(C[N@@H+](C)Cc2csnn2)CC1 ZINC001267729549 838768748 /nfs/dbraw/zinc/76/87/48/838768748.db2.gz AHZVMNCJGPTYMU-UHFFFAOYSA-N 1 2 320.462 1.868 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)C(=O)NCC(F)F)C1 ZINC001267787782 838978693 /nfs/dbraw/zinc/97/86/93/838978693.db2.gz KAMINPLLLQRVAI-JTQLQIEISA-N 1 2 323.771 1.043 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)C(=O)NCC(F)F)C1 ZINC001267787782 838978698 /nfs/dbraw/zinc/97/86/98/838978698.db2.gz KAMINPLLLQRVAI-JTQLQIEISA-N 1 2 323.771 1.043 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)C=C2CCCCC2)C1 ZINC001268016494 839377040 /nfs/dbraw/zinc/37/70/40/839377040.db2.gz CNIUWOXQVZAEJU-QGZVFWFLSA-N 1 2 322.449 1.897 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)C=C2CCCCC2)C1 ZINC001268016494 839377054 /nfs/dbraw/zinc/37/70/54/839377054.db2.gz CNIUWOXQVZAEJU-QGZVFWFLSA-N 1 2 322.449 1.897 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)CCc2cn(C)nc2C)C1 ZINC001268026054 839425775 /nfs/dbraw/zinc/42/57/75/839425775.db2.gz LPRMBIIQIFWEBJ-INIZCTEOSA-N 1 2 320.437 1.054 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)CCc2cn(C)nc2C)C1 ZINC001268026054 839425780 /nfs/dbraw/zinc/42/57/80/839425780.db2.gz LPRMBIIQIFWEBJ-INIZCTEOSA-N 1 2 320.437 1.054 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)COc2cccc(C)c2)C1 ZINC001268029847 839445829 /nfs/dbraw/zinc/44/58/29/839445829.db2.gz ZXBGUVRQLWNSCT-MRXNPFEDSA-N 1 2 304.390 1.377 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)COc2cccc(C)c2)C1 ZINC001268029847 839445837 /nfs/dbraw/zinc/44/58/37/839445837.db2.gz ZXBGUVRQLWNSCT-MRXNPFEDSA-N 1 2 304.390 1.377 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@]2(C)CCC[C@@H]2C)C1 ZINC001268874222 840928737 /nfs/dbraw/zinc/92/87/37/840928737.db2.gz HIRCOORIMFPFRF-SUMWQHHRSA-N 1 2 307.438 1.163 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H]1CC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001269239712 841404454 /nfs/dbraw/zinc/40/44/54/841404454.db2.gz CSOZDFOCXHYXBO-HOCLYGCPSA-N 1 2 319.449 1.093 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H]1CC[N@@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001269239712 841404458 /nfs/dbraw/zinc/40/44/58/841404458.db2.gz CSOZDFOCXHYXBO-HOCLYGCPSA-N 1 2 319.449 1.093 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@H+]1[C@@H](C)C(=O)N(C)C(C)C ZINC001269278324 841459285 /nfs/dbraw/zinc/45/92/85/841459285.db2.gz GXKVGTAUQAGMHI-LSDHHAIUSA-N 1 2 309.454 1.789 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)C(=O)N(C)C(C)C ZINC001269278324 841459292 /nfs/dbraw/zinc/45/92/92/841459292.db2.gz GXKVGTAUQAGMHI-LSDHHAIUSA-N 1 2 309.454 1.789 20 30 DDEDLO C=CCC[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C(C)(C)S(C)(=O)=O ZINC001269310559 841505778 /nfs/dbraw/zinc/50/57/78/841505778.db2.gz MZSRQKRJIRYAAP-OLZOCXBDSA-N 1 2 316.467 1.355 20 30 DDEDLO C=CCC[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C(C)(C)S(C)(=O)=O ZINC001269310559 841505790 /nfs/dbraw/zinc/50/57/90/841505790.db2.gz MZSRQKRJIRYAAP-OLZOCXBDSA-N 1 2 316.467 1.355 20 30 DDEDLO CCN(C(=O)CCCC1CC1)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269338051 841536909 /nfs/dbraw/zinc/53/69/09/841536909.db2.gz BTOYXDCSTVYBKH-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO CCN(C(=O)CCCC1CC1)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269338051 841536916 /nfs/dbraw/zinc/53/69/16/841536916.db2.gz BTOYXDCSTVYBKH-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H](C)NC(=O)c2cccs2)C1 ZINC001269825915 842082407 /nfs/dbraw/zinc/08/24/07/842082407.db2.gz NSDCEFAALMTFQJ-LLVKDONJSA-N 1 2 307.419 1.195 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2coc(C3CCC3)n2)C1 ZINC001269876255 842124724 /nfs/dbraw/zinc/12/47/24/842124724.db2.gz QKZIXNMBFVPQOL-UHFFFAOYSA-N 1 2 319.405 1.901 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cc[nH]c2)cc1C#N ZINC001270156320 842341368 /nfs/dbraw/zinc/34/13/68/842341368.db2.gz RAQSGKHONZCRQQ-IYBDPMFKSA-N 1 2 323.400 1.714 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cc[nH]c2)cc1C#N ZINC001270156320 842341379 /nfs/dbraw/zinc/34/13/79/842341379.db2.gz RAQSGKHONZCRQQ-IYBDPMFKSA-N 1 2 323.400 1.714 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(C)CC[NH+](Cc2ncnn2C)CC1 ZINC001270899410 843023002 /nfs/dbraw/zinc/02/30/02/843023002.db2.gz LVGCCYWMLIYEPY-UHFFFAOYSA-N 1 2 319.453 1.746 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001154742560 861412037 /nfs/dbraw/zinc/41/20/37/861412037.db2.gz HSSBOVTUWJTWBP-UONOGXRCSA-N 1 2 307.442 1.744 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001154742560 861412043 /nfs/dbraw/zinc/41/20/43/861412043.db2.gz HSSBOVTUWJTWBP-UONOGXRCSA-N 1 2 307.442 1.744 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncccn1 ZINC001326658587 861519840 /nfs/dbraw/zinc/51/98/40/861519840.db2.gz MTYLLJSFWISTKD-HNNXBMFYSA-N 1 2 318.421 1.654 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncccn1 ZINC001326658587 861519848 /nfs/dbraw/zinc/51/98/48/861519848.db2.gz MTYLLJSFWISTKD-HNNXBMFYSA-N 1 2 318.421 1.654 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](Cc2cc(OC)no2)CCCO1 ZINC001149362314 861571524 /nfs/dbraw/zinc/57/15/24/861571524.db2.gz QDLKTCLGCOOIKY-AWEZNQCLSA-N 1 2 323.393 1.357 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](Cc2cc(OC)no2)CCCO1 ZINC001149362314 861571533 /nfs/dbraw/zinc/57/15/33/861571533.db2.gz QDLKTCLGCOOIKY-AWEZNQCLSA-N 1 2 323.393 1.357 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCO[C@@H](CNC(=O)[C@H](C)C#N)C2)c(C)o1 ZINC001149409063 861599733 /nfs/dbraw/zinc/59/97/33/861599733.db2.gz STGLPKOTURBKBB-RISCZKNCSA-N 1 2 320.393 1.158 20 30 DDEDLO Cc1nc(C[N@H+]2CCCO[C@@H](CNC(=O)[C@H](C)C#N)C2)c(C)o1 ZINC001149409063 861599746 /nfs/dbraw/zinc/59/97/46/861599746.db2.gz STGLPKOTURBKBB-RISCZKNCSA-N 1 2 320.393 1.158 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1sc(C)nc1C)C2 ZINC001272727945 846726714 /nfs/dbraw/zinc/72/67/14/846726714.db2.gz AEGWUMICEYQXNH-UHFFFAOYSA-N 1 2 321.446 1.749 20 30 DDEDLO Cc1ccncc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032362573 846993542 /nfs/dbraw/zinc/99/35/42/846993542.db2.gz HWAPFSFXHCGSLV-IRXDYDNUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1ccncc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032362573 846993551 /nfs/dbraw/zinc/99/35/51/846993551.db2.gz HWAPFSFXHCGSLV-IRXDYDNUSA-N 1 2 321.384 1.689 20 30 DDEDLO C[N@H+](CC(=O)Nc1sccc1C#N)C1CCS(=O)CC1 ZINC001434460611 847388915 /nfs/dbraw/zinc/38/89/15/847388915.db2.gz OLAKDLIHXDSJNC-UHFFFAOYSA-N 1 2 311.432 1.401 20 30 DDEDLO C[N@@H+](CC(=O)Nc1sccc1C#N)C1CCS(=O)CC1 ZINC001434460611 847388925 /nfs/dbraw/zinc/38/89/25/847388925.db2.gz OLAKDLIHXDSJNC-UHFFFAOYSA-N 1 2 311.432 1.401 20 30 DDEDLO Cn1cc(C[N@@H+]2CCC[C@]23CCN(CC(F)F)C3=O)cc1C#N ZINC001272820681 847501178 /nfs/dbraw/zinc/50/11/78/847501178.db2.gz DGIOREQFEFYZON-MRXNPFEDSA-N 1 2 322.359 1.729 20 30 DDEDLO Cn1cc(C[N@H+]2CCC[C@]23CCN(CC(F)F)C3=O)cc1C#N ZINC001272820681 847501185 /nfs/dbraw/zinc/50/11/85/847501185.db2.gz DGIOREQFEFYZON-MRXNPFEDSA-N 1 2 322.359 1.729 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001034207418 848113237 /nfs/dbraw/zinc/11/32/37/848113237.db2.gz LGKZJEHYMXVWON-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001034207418 848113243 /nfs/dbraw/zinc/11/32/43/848113243.db2.gz LGKZJEHYMXVWON-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@H+](Cc3ncc(C)s3)C2)OCC1=O ZINC001273222185 848806206 /nfs/dbraw/zinc/80/62/06/848806206.db2.gz SCXJAJJREZXCDH-MRXNPFEDSA-N 1 2 321.446 1.831 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@@H+](Cc3ncc(C)s3)C2)OCC1=O ZINC001273222185 848806215 /nfs/dbraw/zinc/80/62/15/848806215.db2.gz SCXJAJJREZXCDH-MRXNPFEDSA-N 1 2 321.446 1.831 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)cn1 ZINC001273324892 849533584 /nfs/dbraw/zinc/53/35/84/849533584.db2.gz DIMBDGHQUBMQSR-UHFFFAOYSA-N 1 2 307.357 1.239 20 30 DDEDLO CC#CCCCC(=O)N1CCOC2(C[NH+](CCC[C@@H](C)O)C2)C1 ZINC001327330965 862068522 /nfs/dbraw/zinc/06/85/22/862068522.db2.gz IHMBQYFMXITXFT-MRXNPFEDSA-N 1 2 322.449 1.254 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1CC13CC3)O2 ZINC001327365066 862105854 /nfs/dbraw/zinc/10/58/54/862105854.db2.gz QJMCIEZTKLMSNK-HUUCEWRRSA-N 1 2 320.433 1.339 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@H](O)CN(C)C(=O)[C@@H](C)CC ZINC001411156974 850297590 /nfs/dbraw/zinc/29/75/90/850297590.db2.gz SATRDKZQMLOWBM-JQWIXIFHSA-N 1 2 321.259 1.692 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@H](O)CN(C)C(=O)[C@@H](C)CC ZINC001411156974 850297601 /nfs/dbraw/zinc/29/76/01/850297601.db2.gz SATRDKZQMLOWBM-JQWIXIFHSA-N 1 2 321.259 1.692 20 30 DDEDLO C#CCN1CC2(C[NH+](CCCc3ccc(C#N)cc3)C2)OCC1=O ZINC001273439420 850476090 /nfs/dbraw/zinc/47/60/90/850476090.db2.gz IQELOELRTMQXJX-UHFFFAOYSA-N 1 2 323.396 1.037 20 30 DDEDLO C=CCCOCCCCC(=O)N1CCOC2(C[NH+](CC)C2)C1 ZINC001273618515 851155984 /nfs/dbraw/zinc/15/59/84/851155984.db2.gz OEYPXFLLCOUJTR-UHFFFAOYSA-N 1 2 310.438 1.683 20 30 DDEDLO C=CCN1CC2(C[NH+](CCc3ccc(O)cc3)C2)OCC1=O ZINC001273734733 851286553 /nfs/dbraw/zinc/28/65/53/851286553.db2.gz NEPHZYJHFZGQLE-UHFFFAOYSA-N 1 2 302.374 1.034 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)CSCC#N ZINC001273814927 851378161 /nfs/dbraw/zinc/37/81/61/851378161.db2.gz USOLCKYKKNPTQM-INIZCTEOSA-N 1 2 313.426 1.419 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)CSCC#N ZINC001273814927 851378171 /nfs/dbraw/zinc/37/81/71/851378171.db2.gz USOLCKYKKNPTQM-INIZCTEOSA-N 1 2 313.426 1.419 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@](CO)([NH2+]Cc2ccon2)C1 ZINC001273910345 851495391 /nfs/dbraw/zinc/49/53/91/851495391.db2.gz GXYHDDQLCRCRGL-INIZCTEOSA-N 1 2 307.394 1.474 20 30 DDEDLO N#CCC[N@H+]1CCOC[C@]2(CC(=O)N(CCC(F)(F)F)C2)C1 ZINC001274030997 851870154 /nfs/dbraw/zinc/87/01/54/851870154.db2.gz DMZUQIRIGZQZHQ-CYBMUJFWSA-N 1 2 319.327 1.403 20 30 DDEDLO N#CCC[N@@H+]1CCOC[C@]2(CC(=O)N(CCC(F)(F)F)C2)C1 ZINC001274030997 851870161 /nfs/dbraw/zinc/87/01/61/851870161.db2.gz DMZUQIRIGZQZHQ-CYBMUJFWSA-N 1 2 319.327 1.403 20 30 DDEDLO Cn1cncc1CNC(=O)[C@@H]1CC12CC[NH+](CCC#N)CC2 ZINC001274031931 851871305 /nfs/dbraw/zinc/87/13/05/851871305.db2.gz CHGSDXCYOVXKQZ-AWEZNQCLSA-N 1 2 301.394 1.052 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cc(F)cc(C)c1O)C2 ZINC001274099447 851928627 /nfs/dbraw/zinc/92/86/27/851928627.db2.gz IDIHBDRPSTVCNZ-UHFFFAOYSA-N 1 2 320.364 1.439 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)[nH]1 ZINC001274122152 851953135 /nfs/dbraw/zinc/95/31/35/851953135.db2.gz BHFUECUKOGKQKQ-OKILXGFUSA-N 1 2 310.361 1.098 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)[nH]1 ZINC001274122152 851953138 /nfs/dbraw/zinc/95/31/38/851953138.db2.gz BHFUECUKOGKQKQ-OKILXGFUSA-N 1 2 310.361 1.098 20 30 DDEDLO C=CCCC(=O)N1C[C@H]([NH2+]Cc2nc(COC)no2)[C@@H](C)C1 ZINC001274178466 852008053 /nfs/dbraw/zinc/00/80/53/852008053.db2.gz AFONWYAYGVMADD-RYUDHWBXSA-N 1 2 308.382 1.119 20 30 DDEDLO C=CCN1CC[C@]2(CC[N@@H+](Cc3ncc(Cl)cn3)C2)C1=O ZINC001274324502 852155878 /nfs/dbraw/zinc/15/58/78/852155878.db2.gz MGOHPNUWKBZLGE-HNNXBMFYSA-N 1 2 306.797 1.740 20 30 DDEDLO C=CCN1CC[C@]2(CC[N@H+](Cc3ncc(Cl)cn3)C2)C1=O ZINC001274324502 852155887 /nfs/dbraw/zinc/15/58/87/852155887.db2.gz MGOHPNUWKBZLGE-HNNXBMFYSA-N 1 2 306.797 1.740 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(COC)cc1)C2 ZINC001274535602 852372952 /nfs/dbraw/zinc/37/29/52/852372952.db2.gz AKRHEGRNIRMEHC-UHFFFAOYSA-N 1 2 316.401 1.432 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](Cc2nnc(C)s2)[C@H](C)C1 ZINC001274608291 852436262 /nfs/dbraw/zinc/43/62/62/852436262.db2.gz DHOVZWDTJSLCQH-DGCLKSJQSA-N 1 2 324.450 1.518 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2nnc(C)s2)[C@H](C)C1 ZINC001274608291 852436267 /nfs/dbraw/zinc/43/62/67/852436267.db2.gz DHOVZWDTJSLCQH-DGCLKSJQSA-N 1 2 324.450 1.518 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2nncn2C)[C@@H](C)C1 ZINC001274632643 852468286 /nfs/dbraw/zinc/46/82/86/852468286.db2.gz ASZITXFIDXNVOB-KBPBESRZSA-N 1 2 319.453 1.887 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2nncn2C)[C@@H](C)C1 ZINC001274632643 852468288 /nfs/dbraw/zinc/46/82/88/852468288.db2.gz ASZITXFIDXNVOB-KBPBESRZSA-N 1 2 319.453 1.887 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](C[C@@H](O)CCC)C[C@@]2(F)C1=O ZINC001274637941 852469868 /nfs/dbraw/zinc/46/98/68/852469868.db2.gz VSNIUYAMPQAFHQ-AEGPPILISA-N 1 2 302.365 1.298 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](C[C@@H](O)CCC)C[C@@]2(F)C1=O ZINC001274637941 852469874 /nfs/dbraw/zinc/46/98/74/852469874.db2.gz VSNIUYAMPQAFHQ-AEGPPILISA-N 1 2 302.365 1.298 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnon2)[C@@H](C)C1 ZINC001274644164 852479674 /nfs/dbraw/zinc/47/96/74/852479674.db2.gz OAMSVOCVGKCXBE-UONOGXRCSA-N 1 2 304.394 1.732 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnon2)[C@@H](C)C1 ZINC001274644164 852479680 /nfs/dbraw/zinc/47/96/80/852479680.db2.gz OAMSVOCVGKCXBE-UONOGXRCSA-N 1 2 304.394 1.732 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)Cc3cncs3)cc2C1 ZINC001327684875 862386173 /nfs/dbraw/zinc/38/61/73/862386173.db2.gz HZYZYHNKIPKTJV-UHFFFAOYSA-N 1 2 311.410 1.951 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)Cc3cncs3)cc2C1 ZINC001327684875 862386190 /nfs/dbraw/zinc/38/61/90/862386190.db2.gz HZYZYHNKIPKTJV-UHFFFAOYSA-N 1 2 311.410 1.951 20 30 DDEDLO CC(C)NC(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)ccc1O)C2 ZINC001275550595 853320124 /nfs/dbraw/zinc/32/01/24/853320124.db2.gz MOBSJHAFULVYAQ-UHFFFAOYSA-N 1 2 314.389 1.499 20 30 DDEDLO Cc1[nH]c([C@@H]2CCCN2C(=O)[C@@H](C)n2cnc(C#N)n2)[nH+]c1C ZINC001412603703 854796890 /nfs/dbraw/zinc/79/68/90/854796890.db2.gz IGBRZAVGMRXRBX-NEPJUHHUSA-N 1 2 313.365 1.414 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2ncccc2C#C[Si](C)(C)C)C[NH2+]1 ZINC001156248696 862863613 /nfs/dbraw/zinc/86/36/13/862863613.db2.gz GECODSAVSVLIOT-KBPBESRZSA-N 1 2 317.465 1.626 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@H+](Cc1nc(C3CC3)no1)C2 ZINC001072553151 857438053 /nfs/dbraw/zinc/43/80/53/857438053.db2.gz WDOHGFGOZCPOSA-NSHDSACASA-N 1 2 315.377 1.141 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C3CC3)no1)C2 ZINC001072553151 857438061 /nfs/dbraw/zinc/43/80/61/857438061.db2.gz WDOHGFGOZCPOSA-NSHDSACASA-N 1 2 315.377 1.141 20 30 DDEDLO C#CC[N@H+]1CCC2(CN(C(=O)c3cc(-c4ccn(C)c4)[nH]n3)C2)C1 ZINC001072805683 857728281 /nfs/dbraw/zinc/72/82/81/857728281.db2.gz KKJVPCWTKSFVCD-UHFFFAOYSA-N 1 2 323.400 1.196 20 30 DDEDLO C#CC[N@@H+]1CCC2(CN(C(=O)c3cc(-c4ccn(C)c4)[nH]n3)C2)C1 ZINC001072805683 857728284 /nfs/dbraw/zinc/72/82/84/857728284.db2.gz KKJVPCWTKSFVCD-UHFFFAOYSA-N 1 2 323.400 1.196 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1c1cc([N+](=O)[O-])cc2c(Cl)ncnc21 ZINC001156331923 862943971 /nfs/dbraw/zinc/94/39/71/862943971.db2.gz CEZOMHHHNMLUAF-VIFPVBQESA-N 1 2 318.724 1.493 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001206641647 862963249 /nfs/dbraw/zinc/96/32/49/862963249.db2.gz XLPMUJHEAXVFAW-OPQQBVKSSA-N 1 2 323.828 1.478 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001206641647 862963263 /nfs/dbraw/zinc/96/32/63/862963263.db2.gz XLPMUJHEAXVFAW-OPQQBVKSSA-N 1 2 323.828 1.478 20 30 DDEDLO C=CCCC(=O)NC1(C)CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001073128834 858124440 /nfs/dbraw/zinc/12/44/40/858124440.db2.gz VHYMBDICHZKQIC-UHFFFAOYSA-N 1 2 304.394 1.347 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)C1C[NH+](CCCS(C)(=O)=O)C1 ZINC001276388104 858355192 /nfs/dbraw/zinc/35/51/92/858355192.db2.gz CGBIUUMTRLGSPG-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cnc(C)[nH]2)C1 ZINC001073552411 858434542 /nfs/dbraw/zinc/43/45/42/858434542.db2.gz QLCKFKDVIRZABF-LBPRGKRZSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cnc(C)[nH]2)C1 ZINC001073552411 858434547 /nfs/dbraw/zinc/43/45/47/858434547.db2.gz QLCKFKDVIRZABF-LBPRGKRZSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC001073565803 858444763 /nfs/dbraw/zinc/44/47/63/858444763.db2.gz KQEUHQPKYDAGPT-CYBMUJFWSA-N 1 2 322.796 1.460 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC001073565803 858444764 /nfs/dbraw/zinc/44/47/64/858444764.db2.gz KQEUHQPKYDAGPT-CYBMUJFWSA-N 1 2 322.796 1.460 20 30 DDEDLO C=CCN(C)c1nnc(Cc2[nH+]ccn2C)n1C[C@@H]1CCOC1 ZINC001123123849 859097331 /nfs/dbraw/zinc/09/73/31/859097331.db2.gz XYJUUJMFYVLEML-ZDUSSCGKSA-N 1 2 316.409 1.261 20 30 DDEDLO C=CCCC1(C(=O)N(C)C[C@@H](O)CNc2cc[nH+]c(C)n2)CC1 ZINC001124728221 859796176 /nfs/dbraw/zinc/79/61/76/859796176.db2.gz NBZDDQMMLQJOMH-AWEZNQCLSA-N 1 2 318.421 1.763 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CCN(CCC#N)CC2)cc1OC ZINC001138345997 860065312 /nfs/dbraw/zinc/06/53/12/860065312.db2.gz TZEMDUVMBZBHIW-UHFFFAOYSA-N 1 2 313.401 1.738 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224683007 881496310 /nfs/dbraw/zinc/49/63/10/881496310.db2.gz QEQMVIHYAXIGMS-UHFFFAOYSA-N 1 2 319.453 1.746 20 30 DDEDLO C=C(C)COc1ccccc1C[N@@H+]1CCN(C)[C@H](C(=O)OC)C1 ZINC001138666595 860157240 /nfs/dbraw/zinc/15/72/40/860157240.db2.gz ZAWNZRCLCSEPDF-INIZCTEOSA-N 1 2 318.417 1.931 20 30 DDEDLO C=C(C)COc1ccccc1C[N@H+]1CCN(C)[C@H](C(=O)OC)C1 ZINC001138666595 860157247 /nfs/dbraw/zinc/15/72/47/860157247.db2.gz ZAWNZRCLCSEPDF-INIZCTEOSA-N 1 2 318.417 1.931 20 30 DDEDLO CCc1noc(C[NH2+][C@@H](C)[C@H](C)NC(=O)C#CC(C)(C)C)n1 ZINC001329040245 863439431 /nfs/dbraw/zinc/43/94/31/863439431.db2.gz XGJAYJAYSVMTEF-RYUDHWBXSA-N 1 2 306.410 1.664 20 30 DDEDLO CC[N@H+](Cc1coc(C)n1)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152641939 863473270 /nfs/dbraw/zinc/47/32/70/863473270.db2.gz XGPUDGHZKKXGTI-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO CC[N@@H+](Cc1coc(C)n1)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152641939 863473276 /nfs/dbraw/zinc/47/32/76/863473276.db2.gz XGPUDGHZKKXGTI-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@@H]([NH3+])CCCC#N ZINC001329226577 863548244 /nfs/dbraw/zinc/54/82/44/863548244.db2.gz PHZDFAIQVSOESO-VIFPVBQESA-N 1 2 319.390 1.376 20 30 DDEDLO CCc1nc(C[NH2+]CC(C)(C)CN(C)C(=O)C#CC2CC2)no1 ZINC001329291787 863582226 /nfs/dbraw/zinc/58/22/26/863582226.db2.gz KDWCYPYXZRLWBM-UHFFFAOYSA-N 1 2 318.421 1.620 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nnc(C)o2)CCCCC1 ZINC001153009207 863648848 /nfs/dbraw/zinc/64/88/48/863648848.db2.gz KLLUBFFFYJBXGW-UHFFFAOYSA-N 1 2 304.394 1.558 20 30 DDEDLO C=CCN(C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C)C1CC1 ZINC001329425022 863657484 /nfs/dbraw/zinc/65/74/84/863657484.db2.gz WBUUCLRIOGWCIV-MRXNPFEDSA-N 1 2 313.401 1.466 20 30 DDEDLO C=CCN(C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C)C1CC1 ZINC001329425022 863657489 /nfs/dbraw/zinc/65/74/89/863657489.db2.gz WBUUCLRIOGWCIV-MRXNPFEDSA-N 1 2 313.401 1.466 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(CCCC)no1 ZINC001153135243 863728938 /nfs/dbraw/zinc/72/89/38/863728938.db2.gz OHXLISYEBUHMQZ-LBPRGKRZSA-N 1 2 310.398 1.209 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(CCCC)no1 ZINC001153135242 863729564 /nfs/dbraw/zinc/72/95/64/863729564.db2.gz OHXLISYEBUHMQZ-GFCCVEGCSA-N 1 2 310.398 1.209 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001153161510 863739576 /nfs/dbraw/zinc/73/95/76/863739576.db2.gz ZCQDIHTYCRDGGJ-QWRGUYRKSA-N 1 2 308.382 1.305 20 30 DDEDLO CC[N@H+](Cc1ncc(Cl)n1C)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153232523 863774958 /nfs/dbraw/zinc/77/49/58/863774958.db2.gz GPBNIQPDDPRESN-GFCCVEGCSA-N 1 2 322.840 1.814 20 30 DDEDLO CC[N@@H+](Cc1ncc(Cl)n1C)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153232523 863774961 /nfs/dbraw/zinc/77/49/61/863774961.db2.gz GPBNIQPDDPRESN-GFCCVEGCSA-N 1 2 322.840 1.814 20 30 DDEDLO C=C1CC(C)(C(=O)NC2(CCO)C[NH+](CCOCC3CC3)C2)C1 ZINC001329695620 863795351 /nfs/dbraw/zinc/79/53/51/863795351.db2.gz YUNUNVDOMPKUJU-UHFFFAOYSA-N 1 2 322.449 1.322 20 30 DDEDLO C=CCC[NH+]1CC(CCO)(NC(=O)c2ccc(C(F)F)o2)C1 ZINC001329707911 863803390 /nfs/dbraw/zinc/80/33/90/863803390.db2.gz QOQVGDDNSMQXSL-UHFFFAOYSA-N 1 2 314.332 1.960 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cscn1 ZINC001153306598 863814470 /nfs/dbraw/zinc/81/44/70/863814470.db2.gz LXPOAPXTXVVVAK-OLZOCXBDSA-N 1 2 309.435 1.508 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cscn1 ZINC001153306598 863814473 /nfs/dbraw/zinc/81/44/73/863814473.db2.gz LXPOAPXTXVVVAK-OLZOCXBDSA-N 1 2 309.435 1.508 20 30 DDEDLO C=CCn1cc(C[N@H+]2CC[C@H]3C[C@]32C(=O)N(C)CCOC)cn1 ZINC001277022282 881714217 /nfs/dbraw/zinc/71/42/17/881714217.db2.gz LNKYPCMXFSKYFV-DOTOQJQBSA-N 1 2 318.421 1.138 20 30 DDEDLO C=CCn1cc(C[N@@H+]2CC[C@H]3C[C@]32C(=O)N(C)CCOC)cn1 ZINC001277022282 881714237 /nfs/dbraw/zinc/71/42/37/881714237.db2.gz LNKYPCMXFSKYFV-DOTOQJQBSA-N 1 2 318.421 1.138 20 30 DDEDLO C[C@@H](NC(=O)CSCC#N)C1C[NH+](CC=C(Cl)Cl)C1 ZINC001329969616 863981886 /nfs/dbraw/zinc/98/18/86/863981886.db2.gz NBJUMOKLRWOTQG-SECBINFHSA-N 1 2 322.261 1.999 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)C1C[NH+](Cc2ccc(F)cn2)C1 ZINC001329997934 864005830 /nfs/dbraw/zinc/00/58/30/864005830.db2.gz PEWLQVQCDQHCMW-STQMWFEESA-N 1 2 319.380 1.196 20 30 DDEDLO C#CCCCCNC(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC001330174475 864125505 /nfs/dbraw/zinc/12/55/05/864125505.db2.gz DMHMSLNEIHZTDU-INIZCTEOSA-N 1 2 313.401 1.209 20 30 DDEDLO C#CCCCCNC(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC001330174475 864125507 /nfs/dbraw/zinc/12/55/07/864125507.db2.gz DMHMSLNEIHZTDU-INIZCTEOSA-N 1 2 313.401 1.209 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(F)s2)C(C)(C)C1 ZINC001330263345 864196317 /nfs/dbraw/zinc/19/63/17/864196317.db2.gz TUSUFKDGLZMDOE-CYBMUJFWSA-N 1 2 324.421 1.977 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(F)s2)C(C)(C)C1 ZINC001330263345 864196328 /nfs/dbraw/zinc/19/63/28/864196328.db2.gz TUSUFKDGLZMDOE-CYBMUJFWSA-N 1 2 324.421 1.977 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC1=CC[N@@H+]([C@@H](C)c2nncn2C)CC1 ZINC001159587682 865415651 /nfs/dbraw/zinc/41/56/51/865415651.db2.gz WNWVGLQFUQIFRE-STQMWFEESA-N 1 2 316.409 1.174 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC1=CC[N@H+]([C@@H](C)c2nncn2C)CC1 ZINC001159587682 865415654 /nfs/dbraw/zinc/41/56/54/865415654.db2.gz WNWVGLQFUQIFRE-STQMWFEESA-N 1 2 316.409 1.174 20 30 DDEDLO Cc1cc(=O)oc2cc(NC[C@@H](O)C[N@H+](C)CCC#N)ccc12 ZINC001331970606 865469872 /nfs/dbraw/zinc/46/98/72/865469872.db2.gz IWSYPPRRRPLUJG-CQSZACIVSA-N 1 2 315.373 1.720 20 30 DDEDLO Cc1cc(=O)oc2cc(NC[C@@H](O)C[N@@H+](C)CCC#N)ccc12 ZINC001331970606 865469881 /nfs/dbraw/zinc/46/98/81/865469881.db2.gz IWSYPPRRRPLUJG-CQSZACIVSA-N 1 2 315.373 1.720 20 30 DDEDLO N#Cc1nc(Cl)cnc1N[C@H]1CCC[C@@H]1[NH+]1CCOCC1 ZINC001160721957 866063061 /nfs/dbraw/zinc/06/30/61/866063061.db2.gz DOIFCBLSVCNJSD-JQWIXIFHSA-N 1 2 307.785 1.667 20 30 DDEDLO C=CCCOCC(=O)NCC1CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001225625677 881946082 /nfs/dbraw/zinc/94/60/82/881946082.db2.gz LWHIQCNXHLOVKF-UHFFFAOYSA-N 1 2 321.425 1.034 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)C1CC[NH+](Cc2nnnn2C)CC1 ZINC001332984666 866312253 /nfs/dbraw/zinc/31/22/53/866312253.db2.gz GIDKMEDBKUEODU-ZDUSSCGKSA-N 1 2 320.441 1.283 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001323233925 866457609 /nfs/dbraw/zinc/45/76/09/866457609.db2.gz XIPYBNJXMXMTLC-GJZGRUSLSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001323233925 866457611 /nfs/dbraw/zinc/45/76/11/866457611.db2.gz XIPYBNJXMXMTLC-GJZGRUSLSA-N 1 2 307.438 1.141 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001323292459 866505988 /nfs/dbraw/zinc/50/59/88/866505988.db2.gz FIAPNZCLDBMRFP-HUUCEWRRSA-N 1 2 307.438 1.543 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001323292459 866506003 /nfs/dbraw/zinc/50/60/03/866506003.db2.gz FIAPNZCLDBMRFP-HUUCEWRRSA-N 1 2 307.438 1.543 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@H+]2[C@@H](CC)C(N)=O)CCCC1 ZINC001323369594 866565534 /nfs/dbraw/zinc/56/55/34/866565534.db2.gz DCQCWMBKQVZDJB-KGLIPLIRSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@@H+]2[C@@H](CC)C(N)=O)CCCC1 ZINC001323369594 866565537 /nfs/dbraw/zinc/56/55/37/866565537.db2.gz DCQCWMBKQVZDJB-KGLIPLIRSA-N 1 2 307.438 1.577 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1c[nH+]cn1C ZINC001323775250 866834770 /nfs/dbraw/zinc/83/47/70/866834770.db2.gz HTPGJKFPKVZISQ-IYBDPMFKSA-N 1 2 314.433 1.789 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C1C[NH+](CCc2ccnn2C)C1 ZINC001323894888 866930678 /nfs/dbraw/zinc/93/06/78/866930678.db2.gz HMWAWKALLLNNDK-AWEZNQCLSA-N 1 2 320.437 1.086 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)CC[NH2+]Cc2ncccn2)nc1 ZINC001320900166 867109534 /nfs/dbraw/zinc/10/95/34/867109534.db2.gz CJBHEBKJBYECTK-UHFFFAOYSA-N 1 2 309.373 1.105 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)[C@@H](C)NC(=O)CCCn1cc[nH+]c1 ZINC001333902574 867138643 /nfs/dbraw/zinc/13/86/43/867138643.db2.gz LEJWECRVFVNKKF-ZIAGYGMSSA-N 1 2 316.405 1.086 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1sccc1C(=O)OCC ZINC001324515809 867324111 /nfs/dbraw/zinc/32/41/11/867324111.db2.gz IYVXNXAHEXFWCW-UHFFFAOYSA-N 1 2 324.402 1.445 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1sccc1C(=O)OCC ZINC001324515809 867324128 /nfs/dbraw/zinc/32/41/28/867324128.db2.gz IYVXNXAHEXFWCW-UHFFFAOYSA-N 1 2 324.402 1.445 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1([NH2+]Cc2cnsn2)CCOCC1 ZINC001325016892 867698709 /nfs/dbraw/zinc/69/87/09/867698709.db2.gz SGIPISKULUIYQQ-UHFFFAOYSA-N 1 2 324.450 1.505 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@H]1CCn2cncc2C1 ZINC001381542185 882123175 /nfs/dbraw/zinc/12/31/75/882123175.db2.gz PSGUHJNZZQSIEH-OLZOCXBDSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@H]1CCn2cncc2C1 ZINC001381542185 882123190 /nfs/dbraw/zinc/12/31/90/882123190.db2.gz PSGUHJNZZQSIEH-OLZOCXBDSA-N 1 2 310.829 1.635 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@]1(O)CC[N@@H+](Cc2nocc2C)C1 ZINC001325122784 867789776 /nfs/dbraw/zinc/78/97/76/867789776.db2.gz JGOBXKZVRBCHNQ-MRXNPFEDSA-N 1 2 307.394 1.248 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@]1(O)CC[N@H+](Cc2nocc2C)C1 ZINC001325122784 867789785 /nfs/dbraw/zinc/78/97/85/867789785.db2.gz JGOBXKZVRBCHNQ-MRXNPFEDSA-N 1 2 307.394 1.248 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCCC[NH2+][C@@H](C)c1noc(C)n1 ZINC001163345028 868378704 /nfs/dbraw/zinc/37/87/04/868378704.db2.gz QHUSRDSHOJTCEB-LRDDRELGSA-N 1 2 324.425 1.764 20 30 DDEDLO Cc1cc(/C=N/[S@](=O)C(C)(C)C)cc(NC[C@@H](O)CO)[nH+]1 ZINC001163353357 868387375 /nfs/dbraw/zinc/38/73/75/868387375.db2.gz UKPYNWSVFPDISY-YBOOSZPXSA-N 1 2 313.423 1.036 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2nc(C(F)(F)F)ccc2C#N)C[NH2+]1 ZINC001164302345 869103483 /nfs/dbraw/zinc/10/34/83/869103483.db2.gz RISVAFSPCOZIQO-IUCAKERBSA-N 1 2 314.267 1.287 20 30 DDEDLO C#Cc1cncc(C(=O)NCCC[NH2+][C@@H](C)c2noc(C)n2)c1 ZINC001166299013 869944473 /nfs/dbraw/zinc/94/44/73/869944473.db2.gz GNOHBLHQCPVPNI-NSHDSACASA-N 1 2 313.361 1.225 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@@H](NC(C)=O)[C@@H](C)CC ZINC001381622774 882359462 /nfs/dbraw/zinc/35/94/62/882359462.db2.gz WSCUJXRWZSAAON-JKOKRWQUSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@@H](NC(C)=O)[C@@H](C)CC ZINC001381622774 882359481 /nfs/dbraw/zinc/35/94/81/882359481.db2.gz WSCUJXRWZSAAON-JKOKRWQUSA-N 1 2 317.861 1.726 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001297690994 870139182 /nfs/dbraw/zinc/13/91/82/870139182.db2.gz KWDAIMVBLSDINR-CYBMUJFWSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCc1nnc(N2CCc3cc[nH+]c(N(C)C)c3C2)n1C ZINC001338767493 870156400 /nfs/dbraw/zinc/15/64/00/870156400.db2.gz VAKVUIDVJCKMSG-UHFFFAOYSA-N 1 2 324.432 1.795 20 30 DDEDLO CN(CC#N)C[C@H]1CCCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC001317046619 870162295 /nfs/dbraw/zinc/16/22/95/870162295.db2.gz YGIDXIBINUIMTQ-HUUCEWRRSA-N 1 2 315.421 1.139 20 30 DDEDLO C#CCCCCC(=O)N(C)CCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001298060126 870263045 /nfs/dbraw/zinc/26/30/45/870263045.db2.gz MRVXQVGBSOFPGG-UHFFFAOYSA-N 1 2 304.394 1.063 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001317133306 870328087 /nfs/dbraw/zinc/32/80/87/870328087.db2.gz YFYCYORPUWYGPM-AWEZNQCLSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001317133306 870328106 /nfs/dbraw/zinc/32/81/06/870328106.db2.gz YFYCYORPUWYGPM-AWEZNQCLSA-N 1 2 319.453 1.746 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)/C=C/CC)n2CC=C)CC1 ZINC001339136323 870362738 /nfs/dbraw/zinc/36/27/38/870362738.db2.gz PDLTZAROQDXLND-KIUWMYQTSA-N 1 2 315.421 1.219 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001317302165 870606153 /nfs/dbraw/zinc/60/61/53/870606153.db2.gz PKVKAMCSMROJIK-ZDUSSCGKSA-N 1 2 304.394 1.994 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001339826008 870718029 /nfs/dbraw/zinc/71/80/29/870718029.db2.gz CRSRTUUCJXOUTA-STQMWFEESA-N 1 2 304.394 1.155 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnon2)[C@@H]1CC ZINC001316736098 871267953 /nfs/dbraw/zinc/26/79/53/871267953.db2.gz BLCGWVPLTIHLAS-LSDHHAIUSA-N 1 2 304.394 1.732 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnon2)[C@@H]1CC ZINC001316736098 871267961 /nfs/dbraw/zinc/26/79/61/871267961.db2.gz BLCGWVPLTIHLAS-LSDHHAIUSA-N 1 2 304.394 1.732 20 30 DDEDLO C#CC[N@H+]1CC[C@H](CCNC(=O)c2ccc3oc(=O)nc-3[nH]2)C1 ZINC001317807769 871532028 /nfs/dbraw/zinc/53/20/28/871532028.db2.gz BHXZBWUTQVGXRU-NSHDSACASA-N 1 2 314.345 1.003 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](CCNC(=O)c2ccc3oc(=O)nc-3[nH]2)C1 ZINC001317807769 871532042 /nfs/dbraw/zinc/53/20/42/871532042.db2.gz BHXZBWUTQVGXRU-NSHDSACASA-N 1 2 314.345 1.003 20 30 DDEDLO O=C(NCC#CCO)NCc1c(Cl)cccc1-n1cc[nH+]c1 ZINC001313190847 871782198 /nfs/dbraw/zinc/78/21/98/871782198.db2.gz IFZOWBIUEPWDRA-UHFFFAOYSA-N 1 2 318.764 1.321 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CC[C@H](C)CC)C1 ZINC001316955024 871865468 /nfs/dbraw/zinc/86/54/68/871865468.db2.gz HXAIUDSWNQSVAU-HUUCEWRRSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CC[C@H](C)CC)C1 ZINC001316955024 871865487 /nfs/dbraw/zinc/86/54/87/871865487.db2.gz HXAIUDSWNQSVAU-HUUCEWRRSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)[C@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001318387006 871977991 /nfs/dbraw/zinc/97/79/91/871977991.db2.gz QFIMFKGYPWHGPZ-HOCLYGCPSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001318387006 871978014 /nfs/dbraw/zinc/97/80/14/871978014.db2.gz QFIMFKGYPWHGPZ-HOCLYGCPSA-N 1 2 320.437 1.434 20 30 DDEDLO C[C@@H](CC(=O)N1CCCC[C@H]1CN(C)CC#N)n1cc[nH+]c1 ZINC001316804341 872069403 /nfs/dbraw/zinc/06/94/03/872069403.db2.gz GVVTYBUSRPNSSX-GJZGRUSLSA-N 1 2 303.410 1.671 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCCN1C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001316805395 872078667 /nfs/dbraw/zinc/07/86/67/872078667.db2.gz YZIWHRCNKAJEMA-GJZGRUSLSA-N 1 2 315.421 1.282 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@H]1[C@@H]3CCC[C@@H]31)C2 ZINC001316810992 872087487 /nfs/dbraw/zinc/08/74/87/872087487.db2.gz ZNNQWBOAPDGQDX-ATCWAGBWSA-N 1 2 315.442 1.797 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H](CC)CNC(=O)C#CC(C)C)n1 ZINC001318520278 872128619 /nfs/dbraw/zinc/12/86/19/872128619.db2.gz XHPIGUBEAQOMTD-ZDUSSCGKSA-N 1 2 306.410 1.666 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C[C@H](C)OC)C1 ZINC001206517986 872405913 /nfs/dbraw/zinc/40/59/13/872405913.db2.gz JZFUAABXHXQVSK-ADEWGFFLSA-N 1 2 319.243 1.757 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C[C@H](C)OC)C1 ZINC001206517986 872405923 /nfs/dbraw/zinc/40/59/23/872405923.db2.gz JZFUAABXHXQVSK-ADEWGFFLSA-N 1 2 319.243 1.757 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)c2oc(C)cc2C)C1 ZINC001319296455 872547187 /nfs/dbraw/zinc/54/71/87/872547187.db2.gz DMMMEBWKQAKEFD-HNNXBMFYSA-N 1 2 322.405 1.530 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)c2oc(C)cc2C)C1 ZINC001319296455 872547199 /nfs/dbraw/zinc/54/71/99/872547199.db2.gz DMMMEBWKQAKEFD-HNNXBMFYSA-N 1 2 322.405 1.530 20 30 DDEDLO N#CCNCC1CCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CC1 ZINC001206768641 872562307 /nfs/dbraw/zinc/56/23/07/872562307.db2.gz HXFLZVWPDCDOLS-UHFFFAOYSA-N 1 2 324.388 1.233 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@@]23C[C@@H]2CCCC3)C1 ZINC001319321449 872573864 /nfs/dbraw/zinc/57/38/64/872573864.db2.gz CRORMZALUJODRK-JZXOWHBKSA-N 1 2 322.449 1.586 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@@]23C[C@@H]2CCCC3)C1 ZINC001319321449 872573882 /nfs/dbraw/zinc/57/38/82/872573882.db2.gz CRORMZALUJODRK-JZXOWHBKSA-N 1 2 322.449 1.586 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CN(Cc2c[nH+]cn2C)CCO1 ZINC001319323167 872575371 /nfs/dbraw/zinc/57/53/71/872575371.db2.gz VFMGOTMQXVMJBH-OAHLLOKOSA-N 1 2 320.437 1.339 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nc(C)no2)C[C@H]1C ZINC001206912459 872752372 /nfs/dbraw/zinc/75/23/72/872752372.db2.gz NDGGFXFUAYFKRJ-MBNYWOFBSA-N 1 2 304.394 1.679 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nc(C)no2)C[C@H]1C ZINC001206912459 872752375 /nfs/dbraw/zinc/75/23/75/872752375.db2.gz NDGGFXFUAYFKRJ-MBNYWOFBSA-N 1 2 304.394 1.679 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@@H+](Cc2csc(C)n2)C[C@H]1C ZINC001206957965 872846089 /nfs/dbraw/zinc/84/60/89/872846089.db2.gz UQKFTQAYQKLAMG-IUODEOHRSA-N 1 2 321.446 1.428 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@H+](Cc2csc(C)n2)C[C@H]1C ZINC001206957965 872846093 /nfs/dbraw/zinc/84/60/93/872846093.db2.gz UQKFTQAYQKLAMG-IUODEOHRSA-N 1 2 321.446 1.428 20 30 DDEDLO CCn1cc2c(n1)C[N@@H+](Cc1cc(C#N)n(C)c1)C[C@@H]2COC ZINC001207103949 873017563 /nfs/dbraw/zinc/01/75/63/873017563.db2.gz DCQCZBMJLBMQRI-CQSZACIVSA-N 1 2 313.405 1.859 20 30 DDEDLO CCn1cc2c(n1)C[N@H+](Cc1cc(C#N)n(C)c1)C[C@@H]2COC ZINC001207103949 873017583 /nfs/dbraw/zinc/01/75/83/873017583.db2.gz DCQCZBMJLBMQRI-CQSZACIVSA-N 1 2 313.405 1.859 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001207623349 873423864 /nfs/dbraw/zinc/42/38/64/873423864.db2.gz ROJCKGHWGOLSSQ-OLZOCXBDSA-N 1 2 324.450 1.600 20 30 DDEDLO COCC#CC[NH2+][C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001208083050 873818301 /nfs/dbraw/zinc/81/83/01/873818301.db2.gz AVFHEEPMQTXLMW-GHMZBOCLSA-N 1 2 310.291 1.117 20 30 DDEDLO COCC#CC[NH2+][C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001208083050 873818315 /nfs/dbraw/zinc/81/83/15/873818315.db2.gz AVFHEEPMQTXLMW-GHMZBOCLSA-N 1 2 310.291 1.117 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@H+](CCc2ccc(C#N)cc2)C1 ZINC001208925493 874528863 /nfs/dbraw/zinc/52/88/63/874528863.db2.gz DOMAZHHRCGXFHK-OAHLLOKOSA-N 1 2 300.358 1.555 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@@H+](CCc2ccc(C#N)cc2)C1 ZINC001208925493 874528873 /nfs/dbraw/zinc/52/88/73/874528873.db2.gz DOMAZHHRCGXFHK-OAHLLOKOSA-N 1 2 300.358 1.555 20 30 DDEDLO CCc1cccc(C[NH+]2CC(CCO)(NC(=O)[C@@H](C)C#N)C2)c1 ZINC001378276560 874597637 /nfs/dbraw/zinc/59/76/37/874597637.db2.gz RIQNBULXQGSNIM-AWEZNQCLSA-N 1 2 315.417 1.462 20 30 DDEDLO CC(C)(C)C#CC(=O)NCCNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001348930778 874880050 /nfs/dbraw/zinc/88/00/50/874880050.db2.gz BKIIMFHPZVDICG-GFCCVEGCSA-N 1 2 316.405 1.112 20 30 DDEDLO CC(C)NC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C#N)ccn1 ZINC001276689061 875510850 /nfs/dbraw/zinc/51/08/50/875510850.db2.gz ZLTCNAKHSIJKME-IYBDPMFKSA-N 1 2 313.405 1.720 20 30 DDEDLO CC(C)NC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C#N)ccn1 ZINC001276689061 875510854 /nfs/dbraw/zinc/51/08/54/875510854.db2.gz ZLTCNAKHSIJKME-IYBDPMFKSA-N 1 2 313.405 1.720 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001350532707 875747247 /nfs/dbraw/zinc/74/72/47/875747247.db2.gz OVTVKUUOFKDZTP-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO CC[C@H](C)CC(=O)NCCC[NH+]1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC001352652104 876853580 /nfs/dbraw/zinc/85/35/80/876853580.db2.gz GXUMCZYOTLLJAY-GJZGRUSLSA-N 1 2 322.453 1.233 20 30 DDEDLO C[C@H](C[NH2+]Cc1nnc(C(F)F)s1)NC(=O)[C@@H](C)C#N ZINC001379341473 876866996 /nfs/dbraw/zinc/86/69/96/876866996.db2.gz GDMMGIXFBVGWJJ-NKWVEPMBSA-N 1 2 303.338 1.230 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2([NH2+]Cc3cscn3)CC2)cn1 ZINC001277224071 883097791 /nfs/dbraw/zinc/09/77/91/883097791.db2.gz CXBSKSMPCJYBNK-UHFFFAOYSA-N 1 2 312.398 1.572 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)CCC1 ZINC001353513115 877389900 /nfs/dbraw/zinc/38/99/00/877389900.db2.gz SPQCLWCYNRAZAN-ZDUSSCGKSA-N 1 2 316.405 1.416 20 30 DDEDLO Cc1cccnc1C[N@@H+]1CC[C@H]1CNC(=O)CSCC#N ZINC001276792996 877668846 /nfs/dbraw/zinc/66/88/46/877668846.db2.gz NXLGEWFVVSVBGD-ZDUSSCGKSA-N 1 2 304.419 1.337 20 30 DDEDLO Cc1cccnc1C[N@H+]1CC[C@H]1CNC(=O)CSCC#N ZINC001276792996 877668854 /nfs/dbraw/zinc/66/88/54/877668854.db2.gz NXLGEWFVVSVBGD-ZDUSSCGKSA-N 1 2 304.419 1.337 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)C[C@H]1CCN(C)C1=O ZINC001379685093 877738017 /nfs/dbraw/zinc/73/80/17/877738017.db2.gz PDYPXPWCTYLNLU-NWDGAFQWSA-N 1 2 301.818 1.044 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)C[C@H]1CCN(C)C1=O ZINC001379685093 877738028 /nfs/dbraw/zinc/73/80/28/877738028.db2.gz PDYPXPWCTYLNLU-NWDGAFQWSA-N 1 2 301.818 1.044 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](CCCC)C(C)C)[C@H]2C1 ZINC001219057519 877893582 /nfs/dbraw/zinc/89/35/82/877893582.db2.gz BDHHBBBRRPHBIU-YESZJQIVSA-N 1 2 306.450 1.994 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](CCCC)C(C)C)[C@H]2C1 ZINC001219057519 877893603 /nfs/dbraw/zinc/89/36/03/877893603.db2.gz BDHHBBBRRPHBIU-YESZJQIVSA-N 1 2 306.450 1.994 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001354576400 878082086 /nfs/dbraw/zinc/08/20/86/878082086.db2.gz JECVCXKASYUYON-GFCCVEGCSA-N 1 2 306.410 1.186 20 30 DDEDLO Cc1nsc(C)c1C[N@H+]1C[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001219580862 878358796 /nfs/dbraw/zinc/35/87/96/878358796.db2.gz CVINOYZOGWKZTL-CABCVRRESA-N 1 2 321.446 1.081 20 30 DDEDLO Cc1nsc(C)c1C[N@@H+]1C[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001219580862 878358808 /nfs/dbraw/zinc/35/88/08/878358808.db2.gz CVINOYZOGWKZTL-CABCVRRESA-N 1 2 321.446 1.081 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220203260 878817342 /nfs/dbraw/zinc/81/73/42/878817342.db2.gz AYCKBKYYWHBPPH-YUELXQCFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220203260 878817346 /nfs/dbraw/zinc/81/73/46/878817346.db2.gz AYCKBKYYWHBPPH-YUELXQCFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220434494 879007585 /nfs/dbraw/zinc/00/75/85/879007585.db2.gz MFXWGAWEOPKGJJ-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220434494 879007594 /nfs/dbraw/zinc/00/75/94/879007594.db2.gz MFXWGAWEOPKGJJ-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](CN(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001356718389 879228033 /nfs/dbraw/zinc/22/80/33/879228033.db2.gz MEICSJDLOXPAHM-AWEZNQCLSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2[nH+]ccn2C)[C@@H]1C ZINC001287858005 912509415 /nfs/dbraw/zinc/50/94/15/912509415.db2.gz GXDRGOUSRWZRQC-UONOGXRCSA-N 1 2 318.421 1.425 20 30 DDEDLO CCN(C)C(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C#CC(C)(C)C)[C@@H]2C1 ZINC001221536423 879931641 /nfs/dbraw/zinc/93/16/41/879931641.db2.gz OFYMNWLKTSSFPD-HUUCEWRRSA-N 1 2 319.449 1.047 20 30 DDEDLO CCN(C)C(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C#CC(C)(C)C)[C@@H]2C1 ZINC001221536423 879931653 /nfs/dbraw/zinc/93/16/53/879931653.db2.gz OFYMNWLKTSSFPD-HUUCEWRRSA-N 1 2 319.449 1.047 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3C[C@H]3CCC)[C@@H]2C1 ZINC001221799759 880092820 /nfs/dbraw/zinc/09/28/20/880092820.db2.gz ZSUCLFMJGIFNPD-KLHDSHLOSA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3C[C@H]3CCC)[C@@H]2C1 ZINC001221799759 880092835 /nfs/dbraw/zinc/09/28/35/880092835.db2.gz ZSUCLFMJGIFNPD-KLHDSHLOSA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3ncnn3C)[C@H]2C1 ZINC001222406806 880443104 /nfs/dbraw/zinc/44/31/04/880443104.db2.gz GAAJPGYPBVVEEA-KGLIPLIRSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@H+](Cc3ncnn3C)[C@H]2C1 ZINC001222406806 880443110 /nfs/dbraw/zinc/44/31/10/880443110.db2.gz GAAJPGYPBVVEEA-KGLIPLIRSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1CC ZINC001358580119 880551311 /nfs/dbraw/zinc/55/13/11/880551311.db2.gz OSAYUVVYQYAKKP-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO CCc1noc(C[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001222663504 880626117 /nfs/dbraw/zinc/62/61/17/880626117.db2.gz ATVZUSJNEWPUDQ-NSHDSACASA-N 1 2 305.382 1.120 20 30 DDEDLO CCc1nnc([C@H](C)[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)[nH]1 ZINC001222659356 880626483 /nfs/dbraw/zinc/62/64/83/880626483.db2.gz WDHMRFLRQLBEPI-NEPJUHHUSA-N 1 2 318.425 1.416 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001358627490 880639807 /nfs/dbraw/zinc/63/98/07/880639807.db2.gz JZXVWEGOQYNWRS-ZDUSSCGKSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001358627490 880639813 /nfs/dbraw/zinc/63/98/13/880639813.db2.gz JZXVWEGOQYNWRS-ZDUSSCGKSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CC[N@H+]1Cc1cccc(OC)n1 ZINC001276833135 880662635 /nfs/dbraw/zinc/66/26/35/880662635.db2.gz CBAJDVFVFFQGGX-PBHICJAKSA-N 1 2 319.405 1.108 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(OC)n1 ZINC001276833135 880662643 /nfs/dbraw/zinc/66/26/43/880662643.db2.gz CBAJDVFVFFQGGX-PBHICJAKSA-N 1 2 319.405 1.108 20 30 DDEDLO N#Cc1cc[nH]c1CN1CC2(C1)C[NH+](Cc1cccc(=O)[nH]1)C2 ZINC001276838428 880698605 /nfs/dbraw/zinc/69/86/05/880698605.db2.gz WHQGSZITPJXYHM-UHFFFAOYSA-N 1 2 309.373 1.305 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001358673972 880737033 /nfs/dbraw/zinc/73/70/33/880737033.db2.gz NPPDMMXBPHDTEV-QWHCGFSZSA-N 1 2 304.394 1.106 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)CC(C)(C)CC ZINC001276862456 880818558 /nfs/dbraw/zinc/81/85/58/880818558.db2.gz VHFHWIJKYATUMN-KBPBESRZSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)CC(C)(C)CC ZINC001276862456 880818574 /nfs/dbraw/zinc/81/85/74/880818574.db2.gz VHFHWIJKYATUMN-KBPBESRZSA-N 1 2 307.438 1.141 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001358746274 880847120 /nfs/dbraw/zinc/84/71/20/880847120.db2.gz OTBKHZQEUOUYDL-GFCCVEGCSA-N 1 2 304.394 1.224 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)COCc1ccc(OC)cc1 ZINC001276877231 880900845 /nfs/dbraw/zinc/90/08/45/880900845.db2.gz WVTVXSNIRBNIGG-INIZCTEOSA-N 1 2 316.401 1.426 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)COCc1ccc(OC)cc1 ZINC001276877231 880900858 /nfs/dbraw/zinc/90/08/58/880900858.db2.gz WVTVXSNIRBNIGG-INIZCTEOSA-N 1 2 316.401 1.426 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCCC(C)C ZINC001276898813 880999268 /nfs/dbraw/zinc/99/92/68/880999268.db2.gz LQPXQVBPRGKIAL-LSDHHAIUSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCC(C)C ZINC001276898813 880999276 /nfs/dbraw/zinc/99/92/76/880999276.db2.gz LQPXQVBPRGKIAL-LSDHHAIUSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CCCC[N@H+]1CC[C@@H]1CNC(=O)CS(=O)(=O)C(C)(C)C ZINC001276956903 881275947 /nfs/dbraw/zinc/27/59/47/881275947.db2.gz PNADVPHHGBSBMY-CYBMUJFWSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCC[N@@H+]1CC[C@@H]1CNC(=O)CS(=O)(=O)C(C)(C)C ZINC001276956903 881275963 /nfs/dbraw/zinc/27/59/63/881275963.db2.gz PNADVPHHGBSBMY-CYBMUJFWSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)o2)CC1 ZINC001230411268 884477712 /nfs/dbraw/zinc/47/77/12/884477712.db2.gz BKWWDUKCWONABY-LBPRGKRZSA-N 1 2 322.409 1.612 20 30 DDEDLO CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC001230658991 884709532 /nfs/dbraw/zinc/70/95/32/884709532.db2.gz KXFWSHRIVKMPJP-KRWDZBQOSA-N 1 2 318.392 1.746 20 30 DDEDLO CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccc(F)cc1 ZINC001230658991 884709539 /nfs/dbraw/zinc/70/95/39/884709539.db2.gz KXFWSHRIVKMPJP-KRWDZBQOSA-N 1 2 318.392 1.746 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)Cn1cccn1 ZINC001230762025 884843886 /nfs/dbraw/zinc/84/38/86/884843886.db2.gz FDFHAODEOFICGU-GOSISDBHSA-N 1 2 322.412 1.468 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)Cn1cccn1 ZINC001230762025 884843899 /nfs/dbraw/zinc/84/38/99/884843899.db2.gz FDFHAODEOFICGU-GOSISDBHSA-N 1 2 322.412 1.468 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCCCCC1 ZINC001231122674 885257153 /nfs/dbraw/zinc/25/71/53/885257153.db2.gz MTGJWPYAWKEDGY-INIZCTEOSA-N 1 2 321.465 1.888 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCCCCC1 ZINC001231122674 885257171 /nfs/dbraw/zinc/25/71/71/885257171.db2.gz MTGJWPYAWKEDGY-INIZCTEOSA-N 1 2 321.465 1.888 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)nc1 ZINC001231240757 885418677 /nfs/dbraw/zinc/41/86/77/885418677.db2.gz NYZPOWPGAGXSOI-HNNXBMFYSA-N 1 2 304.394 1.020 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)nc1 ZINC001231240757 885418688 /nfs/dbraw/zinc/41/86/88/885418688.db2.gz NYZPOWPGAGXSOI-HNNXBMFYSA-N 1 2 304.394 1.020 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1oc(C)nc1C ZINC001231398729 885607689 /nfs/dbraw/zinc/60/76/89/885607689.db2.gz OROXMYWAMDXHMZ-CQSZACIVSA-N 1 2 305.378 1.088 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1oc(C)nc1C ZINC001231398729 885607700 /nfs/dbraw/zinc/60/77/00/885607700.db2.gz OROXMYWAMDXHMZ-CQSZACIVSA-N 1 2 305.378 1.088 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@@H]2C[C@H](CO)C[C@H]21 ZINC001231617331 885761041 /nfs/dbraw/zinc/76/10/41/885761041.db2.gz TTXROWPHBWQUNI-FRFSOERESA-N 1 2 302.374 1.539 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@@H]2C[C@H](CO)C[C@H]21 ZINC001231617331 885761054 /nfs/dbraw/zinc/76/10/54/885761054.db2.gz TTXROWPHBWQUNI-FRFSOERESA-N 1 2 302.374 1.539 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2cc3ccncc3[nH]2)CC1 ZINC001232094242 886088774 /nfs/dbraw/zinc/08/87/74/886088774.db2.gz OSCAZYOAFBMNPR-UHFFFAOYSA-N 1 2 319.372 1.547 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(CC)C(=O)Cn1cc[nH+]c1 ZINC001288654894 913022118 /nfs/dbraw/zinc/02/21/18/913022118.db2.gz XWPXQAQUCUORDI-RHSMWYFYSA-N 1 2 320.437 1.839 20 30 DDEDLO CC(C)OCC[NH+]1CC2(CCN2C(=O)C2(C#N)CCOCC2)C1 ZINC001277656763 886320943 /nfs/dbraw/zinc/32/09/43/886320943.db2.gz BBBPKLBQUBSMLE-UHFFFAOYSA-N 1 2 321.421 1.018 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2C[NH+](CCOC[C@H]3CCCO3)C2)C1 ZINC001277660701 886341266 /nfs/dbraw/zinc/34/12/66/886341266.db2.gz ZAXZLSFBPXHRQA-MRXNPFEDSA-N 1 2 322.449 1.681 20 30 DDEDLO N#Cc1ccc(O)c(C[NH+]2CCN(c3ncc(O)cn3)CC2)c1 ZINC001232678075 886532813 /nfs/dbraw/zinc/53/28/13/886532813.db2.gz PFEMGJDVMHWLDF-UHFFFAOYSA-N 1 2 311.345 1.082 20 30 DDEDLO CC1=NC2(CC[NH+](Cc3cc(C#N)ccc3O)CC2)C(=O)N1C ZINC001232681600 886534623 /nfs/dbraw/zinc/53/46/23/886534623.db2.gz SMTZQYYLPUKNJP-UHFFFAOYSA-N 1 2 312.373 1.489 20 30 DDEDLO COc1nccc(C[NH+]2CCC(C#N)(c3ccccn3)CC2)n1 ZINC001363578350 886680588 /nfs/dbraw/zinc/68/05/88/886680588.db2.gz PVBYFGLFKPPMFE-UHFFFAOYSA-N 1 2 309.373 1.938 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)CC2CCC2)C1=O ZINC001233521543 887071285 /nfs/dbraw/zinc/07/12/85/887071285.db2.gz WDTFPLMNNRYWCD-HOTGVXAUSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CC2CCC2)C1=O ZINC001233521543 887071291 /nfs/dbraw/zinc/07/12/91/887071291.db2.gz WDTFPLMNNRYWCD-HOTGVXAUSA-N 1 2 319.449 1.496 20 30 DDEDLO COC(=O)c1ccc(O[C@H](C)C[NH+]2CCOCC2)c(C#N)c1 ZINC001233626259 887166827 /nfs/dbraw/zinc/16/68/27/887166827.db2.gz GFKQYPXFGGRAIJ-GFCCVEGCSA-N 1 2 304.346 1.444 20 30 DDEDLO CCOC(=O)C[NH+]1CCC2(C[C@@H]2C(=O)NC2(C#N)CCC2)CC1 ZINC001277814286 887408289 /nfs/dbraw/zinc/40/82/89/887408289.db2.gz HXDZCCUAOOOCES-CYBMUJFWSA-N 1 2 319.405 1.214 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NC(C)(C)C ZINC001233948311 887491040 /nfs/dbraw/zinc/49/10/40/887491040.db2.gz UWUIIDMZLPEOGE-LSDHHAIUSA-N 1 2 321.465 1.626 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NC(C)(C)C ZINC001233948311 887491047 /nfs/dbraw/zinc/49/10/47/887491047.db2.gz UWUIIDMZLPEOGE-LSDHHAIUSA-N 1 2 321.465 1.626 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234030193 887567404 /nfs/dbraw/zinc/56/74/04/887567404.db2.gz OMASJODEEZEXHX-UKRRQHHQSA-N 1 2 319.449 1.093 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234030193 887567417 /nfs/dbraw/zinc/56/74/17/887567417.db2.gz OMASJODEEZEXHX-UKRRQHHQSA-N 1 2 319.449 1.093 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001234538260 888070001 /nfs/dbraw/zinc/07/00/01/888070001.db2.gz XEWGMEAPJDTQJQ-HNNXBMFYSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001234538260 888070015 /nfs/dbraw/zinc/07/00/15/888070015.db2.gz XEWGMEAPJDTQJQ-HNNXBMFYSA-N 1 2 321.465 1.742 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](Oc2cc(C(N)=O)on2)C1 ZINC001234590387 888123286 /nfs/dbraw/zinc/12/32/86/888123286.db2.gz GNNXWYKGXSPWRT-CYBMUJFWSA-N 1 2 312.329 1.298 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](Oc2cc(C(N)=O)on2)C1 ZINC001234590387 888123295 /nfs/dbraw/zinc/12/32/95/888123295.db2.gz GNNXWYKGXSPWRT-CYBMUJFWSA-N 1 2 312.329 1.298 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1cn(CC)nn1 ZINC001235228432 888489055 /nfs/dbraw/zinc/48/90/55/888489055.db2.gz KGIZJXIANOTIIV-CQSZACIVSA-N 1 2 323.441 1.217 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1cn(CC)nn1 ZINC001235228432 888489063 /nfs/dbraw/zinc/48/90/63/888489063.db2.gz KGIZJXIANOTIIV-CQSZACIVSA-N 1 2 323.441 1.217 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H](C)[NH2+]Cc2nc(C)no2)c1 ZINC001277930269 888502484 /nfs/dbraw/zinc/50/24/84/888502484.db2.gz ZGGBBOFLNPPUBI-LLVKDONJSA-N 1 2 313.361 1.005 20 30 DDEDLO N#Cc1csc(C[NH2+]Cc2nc3c(c(=O)[nH]2)COCC3)c1 ZINC001364655283 889133035 /nfs/dbraw/zinc/13/30/35/889133035.db2.gz CTZVIXSDHJKNNQ-UHFFFAOYSA-N 1 2 302.359 1.478 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3ncc(C)cn3)CC2)ccc1C#N ZINC001238416360 890203790 /nfs/dbraw/zinc/20/37/90/890203790.db2.gz MANHFYQJLBJWGR-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC2(C[C@H]2C(=O)N2CCCCO2)C1 ZINC001278239735 890241705 /nfs/dbraw/zinc/24/17/05/890241705.db2.gz WYABVABJGZIVKJ-INIZCTEOSA-N 1 2 311.385 1.934 20 30 DDEDLO C[C@H](CNC(=O)Nc1cccc(CC#N)c1)[NH+]1CCN(C)CC1 ZINC001365199400 890326182 /nfs/dbraw/zinc/32/61/82/890326182.db2.gz FFMRPNCQSXVUHA-CQSZACIVSA-N 1 2 315.421 1.510 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCN1CCN(c2ccc(C#N)cc2)CC1 ZINC001365321777 890583650 /nfs/dbraw/zinc/58/36/50/890583650.db2.gz DLNRUWYHGCIIQB-MRXNPFEDSA-N 1 2 314.433 1.401 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCN1CCN(c2ccc(C#N)cc2)CC1 ZINC001365321777 890583670 /nfs/dbraw/zinc/58/36/70/890583670.db2.gz DLNRUWYHGCIIQB-MRXNPFEDSA-N 1 2 314.433 1.401 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)[C@H]1C ZINC001278334579 891247817 /nfs/dbraw/zinc/24/78/17/891247817.db2.gz JZWRYYPCNDWHDH-OLZOCXBDSA-N 1 2 322.409 1.304 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1CC[C@H]2C[C@]21C(=O)NC1(C#N)CCC1 ZINC001278343601 891377401 /nfs/dbraw/zinc/37/74/01/891377401.db2.gz VJLWPIKTQJMSKI-WMLDXEAASA-N 1 2 313.405 1.255 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1CC[C@H]2C[C@]21C(=O)NC1(C#N)CCC1 ZINC001278343601 891377414 /nfs/dbraw/zinc/37/74/14/891377414.db2.gz VJLWPIKTQJMSKI-WMLDXEAASA-N 1 2 313.405 1.255 20 30 DDEDLO COCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365965949 892060003 /nfs/dbraw/zinc/06/00/03/892060003.db2.gz GOORYJNFEBCULC-AWEZNQCLSA-N 1 2 305.353 1.424 20 30 DDEDLO COCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365965949 892060020 /nfs/dbraw/zinc/06/00/20/892060020.db2.gz GOORYJNFEBCULC-AWEZNQCLSA-N 1 2 305.353 1.424 20 30 DDEDLO CC1(C)CCC[C@H]1C(=O)N[C@@]1(C)CC[N@H+](CC(=O)NCC#N)C1 ZINC001278413829 892206596 /nfs/dbraw/zinc/20/65/96/892206596.db2.gz LDIOHLWAKLWKPR-GUYCJALGSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CCC[C@H]1C(=O)N[C@@]1(C)CC[N@@H+](CC(=O)NCC#N)C1 ZINC001278413829 892206611 /nfs/dbraw/zinc/20/66/11/892206611.db2.gz LDIOHLWAKLWKPR-GUYCJALGSA-N 1 2 320.437 1.033 20 30 DDEDLO Cc1nc(C[N@H+]2CCCC[C@H]2CNC(=O)[C@@H](C)C#N)oc1C ZINC001366202023 892725270 /nfs/dbraw/zinc/72/52/70/892725270.db2.gz SLIOYKIAEAUWQH-FZMZJTMJSA-N 1 2 304.394 1.922 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCC[C@H]2CNC(=O)[C@@H](C)C#N)oc1C ZINC001366202023 892725274 /nfs/dbraw/zinc/72/52/74/892725274.db2.gz SLIOYKIAEAUWQH-FZMZJTMJSA-N 1 2 304.394 1.922 20 30 DDEDLO COC(=O)[C@@H]([NH3+])Cc1nc(-c2ccc(C#N)c3ccccc23)no1 ZINC001247629720 893264237 /nfs/dbraw/zinc/26/42/37/893264237.db2.gz BLAROAPKOLWZNT-AWEZNQCLSA-N 1 2 322.324 1.804 20 30 DDEDLO C[N@H+](CCNC(=O)c1n[nH]cc1F)Cc1cc(C#N)ccc1F ZINC001366558506 894021990 /nfs/dbraw/zinc/02/19/90/894021990.db2.gz ACBCMKLPOGETFD-UHFFFAOYSA-N 1 2 319.315 1.421 20 30 DDEDLO C[N@@H+](CCNC(=O)c1n[nH]cc1F)Cc1cc(C#N)ccc1F ZINC001366558506 894022008 /nfs/dbraw/zinc/02/20/08/894022008.db2.gz ACBCMKLPOGETFD-UHFFFAOYSA-N 1 2 319.315 1.421 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](CC(=O)NCCC)[C@@H]2C)C1 ZINC001278522279 894068899 /nfs/dbraw/zinc/06/88/99/894068899.db2.gz WAEJAIIJICTMSM-CABCVRRESA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](CC(=O)NCCC)[C@@H]2C)C1 ZINC001278522279 894068919 /nfs/dbraw/zinc/06/89/19/894068919.db2.gz WAEJAIIJICTMSM-CABCVRRESA-N 1 2 321.465 1.838 20 30 DDEDLO CC(C)c1nnc(C[N@@H+]2CC[C@@H](CNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001366687546 894588228 /nfs/dbraw/zinc/58/82/28/894588228.db2.gz JNCSCZXTNJNLDJ-RYUDHWBXSA-N 1 2 304.398 1.026 20 30 DDEDLO CC(C)c1nnc(C[N@H+]2CC[C@@H](CNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001366687546 894588239 /nfs/dbraw/zinc/58/82/39/894588239.db2.gz JNCSCZXTNJNLDJ-RYUDHWBXSA-N 1 2 304.398 1.026 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1C[C@@H](O)CCCC ZINC001252086489 895006386 /nfs/dbraw/zinc/00/63/86/895006386.db2.gz NYYLLRDMELUXBT-DZGCQCFKSA-N 1 2 308.426 1.468 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1C[C@@H](O)CCCC ZINC001252086489 895006402 /nfs/dbraw/zinc/00/64/02/895006402.db2.gz NYYLLRDMELUXBT-DZGCQCFKSA-N 1 2 308.426 1.468 20 30 DDEDLO CC(C)C#CC(=O)NCCNC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001292708462 913942450 /nfs/dbraw/zinc/94/24/50/913942450.db2.gz BBIMPKJQHJWPQE-UHFFFAOYSA-N 1 2 324.384 1.378 20 30 DDEDLO C=CCOC[C@@H](O)CN1CC[C@@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001252472166 895189922 /nfs/dbraw/zinc/18/99/22/895189922.db2.gz OSGHNDOLHYSPNW-UONOGXRCSA-N 1 2 304.381 1.355 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1CCc2c(ncn2C(C)C)[C@H]1CNC(C)=O ZINC001252552867 895274166 /nfs/dbraw/zinc/27/41/66/895274166.db2.gz NEYHOUHDJDFDCW-NVXWUHKLSA-N 1 2 320.437 1.436 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1CCc2c(ncn2C(C)C)[C@H]1CNC(C)=O ZINC001252552867 895274183 /nfs/dbraw/zinc/27/41/83/895274183.db2.gz NEYHOUHDJDFDCW-NVXWUHKLSA-N 1 2 320.437 1.436 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@@H](C)CNC(=O)[C@H](C)C#N)c(C)[nH+]1 ZINC001388475080 895298384 /nfs/dbraw/zinc/29/83/84/895298384.db2.gz HWWJUEMGHPYAHA-CMPLNLGQSA-N 1 2 316.405 1.649 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCCn2c(Cn3cccn3)nnc2C1 ZINC001252599300 895309022 /nfs/dbraw/zinc/30/90/22/895309022.db2.gz ZTTWYVRYFMTWGF-AWEZNQCLSA-N 1 2 316.409 1.056 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCCn2c(Cn3cccn3)nnc2C1 ZINC001252599300 895309027 /nfs/dbraw/zinc/30/90/27/895309027.db2.gz ZTTWYVRYFMTWGF-AWEZNQCLSA-N 1 2 316.409 1.056 20 30 DDEDLO COC[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001367028620 895618224 /nfs/dbraw/zinc/61/82/24/895618224.db2.gz QRUVOPRNCGJNCA-SWLSCSKDSA-N 1 2 319.380 1.670 20 30 DDEDLO COC[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001367028620 895618230 /nfs/dbraw/zinc/61/82/30/895618230.db2.gz QRUVOPRNCGJNCA-SWLSCSKDSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@@](O)(C[N@@H+]1CCC[C@H](C#N)C1)C(=O)OCc1ccccc1 ZINC001253636317 895950667 /nfs/dbraw/zinc/95/06/67/895950667.db2.gz MZOIZSYXYNTICA-NVXWUHKLSA-N 1 2 302.374 1.716 20 30 DDEDLO C[C@@](O)(C[N@H+]1CCC[C@H](C#N)C1)C(=O)OCc1ccccc1 ZINC001253636317 895950682 /nfs/dbraw/zinc/95/06/82/895950682.db2.gz MZOIZSYXYNTICA-NVXWUHKLSA-N 1 2 302.374 1.716 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1C[N@H+](CCF)CCC1(F)F ZINC001278740384 896088566 /nfs/dbraw/zinc/08/85/66/896088566.db2.gz FFQRDIAUCPYVBD-WCQYABFASA-N 1 2 308.344 1.356 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1C[N@@H+](CCF)CCC1(F)F ZINC001278740384 896088582 /nfs/dbraw/zinc/08/85/82/896088582.db2.gz FFQRDIAUCPYVBD-WCQYABFASA-N 1 2 308.344 1.356 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@](O)(CNC(=O)C#CC(C)C)C2)c(C)o1 ZINC001278776611 896346821 /nfs/dbraw/zinc/34/68/21/896346821.db2.gz KXBXRYCJHLCRAN-KRWDZBQOSA-N 1 2 319.405 1.004 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@](O)(CNC(=O)C#CC(C)C)C2)c(C)o1 ZINC001278776611 896346838 /nfs/dbraw/zinc/34/68/38/896346838.db2.gz KXBXRYCJHLCRAN-KRWDZBQOSA-N 1 2 319.405 1.004 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1C[N@@H+]([C@@H]2CC[C@H](C#N)C2)CCO1 ZINC001254668662 896567150 /nfs/dbraw/zinc/56/71/50/896567150.db2.gz HPBPPPOTZDDEJT-MJBXVCDLSA-N 1 2 309.410 1.904 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1C[N@H+]([C@@H]2CC[C@H](C#N)C2)CCO1 ZINC001254668662 896567161 /nfs/dbraw/zinc/56/71/61/896567161.db2.gz HPBPPPOTZDDEJT-MJBXVCDLSA-N 1 2 309.410 1.904 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cc(Cl)ccc2OC)C1 ZINC001278927051 897354299 /nfs/dbraw/zinc/35/42/99/897354299.db2.gz JQWZOKCDRZWMKN-UHFFFAOYSA-N 1 2 322.792 1.148 20 30 DDEDLO COCC[N@H+](CCNC(=O)C#CC(C)C)Cc1ccc(F)cn1 ZINC001279045209 897947079 /nfs/dbraw/zinc/94/70/79/897947079.db2.gz SLSYCPJZEIKSJC-UHFFFAOYSA-N 1 2 321.396 1.445 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C#CC(C)C)Cc1ccc(F)cn1 ZINC001279045209 897947085 /nfs/dbraw/zinc/94/70/85/897947085.db2.gz SLSYCPJZEIKSJC-UHFFFAOYSA-N 1 2 321.396 1.445 20 30 DDEDLO CC(C)n1cc(C[N@@H+]2CCC[C@H](CNC(=O)[C@@H](C)C#N)C2)nn1 ZINC001390034739 898528127 /nfs/dbraw/zinc/52/81/27/898528127.db2.gz CBOSBAGFLPTZNC-UONOGXRCSA-N 1 2 318.425 1.347 20 30 DDEDLO CC(C)n1cc(C[N@H+]2CCC[C@H](CNC(=O)[C@@H](C)C#N)C2)nn1 ZINC001390034739 898528136 /nfs/dbraw/zinc/52/81/36/898528136.db2.gz CBOSBAGFLPTZNC-UONOGXRCSA-N 1 2 318.425 1.347 20 30 DDEDLO C=CCCCC(=O)N[C@@]1(C)CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001299568720 898541079 /nfs/dbraw/zinc/54/10/79/898541079.db2.gz NLBGJBXTQDLGAT-INIZCTEOSA-N 1 2 304.394 1.416 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1cnsn1)C1CCCC1 ZINC001368140311 898789851 /nfs/dbraw/zinc/78/98/51/898789851.db2.gz XTQDMMDRNSPEPU-GWCFXTLKSA-N 1 2 307.423 1.462 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@H]2C[C@@H](O)C[N@@H+]2C)n1CC ZINC001263055183 900477100 /nfs/dbraw/zinc/47/71/00/900477100.db2.gz RXNGPKJEFKIROA-MGPQQGTHSA-N 1 2 303.410 1.028 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@H]2C[C@@H](O)C[N@H+]2C)n1CC ZINC001263055183 900477106 /nfs/dbraw/zinc/47/71/06/900477106.db2.gz RXNGPKJEFKIROA-MGPQQGTHSA-N 1 2 303.410 1.028 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@H]1CCCC(=O)N1C)C1CC1 ZINC001391200812 901204375 /nfs/dbraw/zinc/20/43/75/901204375.db2.gz WKNPFEVQFZAIAF-CYBMUJFWSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@H]1CCCC(=O)N1C)C1CC1 ZINC001391200812 901204390 /nfs/dbraw/zinc/20/43/90/901204390.db2.gz WKNPFEVQFZAIAF-CYBMUJFWSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCc2nccn2C)C1 ZINC001391384702 901634552 /nfs/dbraw/zinc/63/45/52/901634552.db2.gz UPZMFTPKXRBXND-CYBMUJFWSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCc2nccn2C)C1 ZINC001391384702 901634557 /nfs/dbraw/zinc/63/45/57/901634557.db2.gz UPZMFTPKXRBXND-CYBMUJFWSA-N 1 2 310.829 1.686 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@H+](CC(=O)NC3CC3)C2)CCCC1 ZINC001265322057 901883491 /nfs/dbraw/zinc/88/34/91/901883491.db2.gz XBQLAZUNSQUJRY-OAHLLOKOSA-N 1 2 319.449 1.592 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NC3CC3)C2)CCCC1 ZINC001265322057 901883501 /nfs/dbraw/zinc/88/35/01/901883501.db2.gz XBQLAZUNSQUJRY-OAHLLOKOSA-N 1 2 319.449 1.592 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]([NH2+]Cc1cnsn1)C1CC1 ZINC001265372905 901936587 /nfs/dbraw/zinc/93/65/87/901936587.db2.gz SBLJFZVVHWSCER-MCIONIFRSA-N 1 2 322.434 1.114 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1C ZINC001265401231 901971902 /nfs/dbraw/zinc/97/19/02/901971902.db2.gz NREREXYVWSILKQ-CALCHBBNSA-N 1 2 312.413 1.934 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1C ZINC001265401231 901971918 /nfs/dbraw/zinc/97/19/18/901971918.db2.gz NREREXYVWSILKQ-CALCHBBNSA-N 1 2 312.413 1.934 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCCC(=O)NCCC)C1 ZINC001370040964 902439534 /nfs/dbraw/zinc/43/95/34/902439534.db2.gz HYZYBPRXKFCMOB-CYBMUJFWSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCCC(=O)NCCC)C1 ZINC001370040964 902439541 /nfs/dbraw/zinc/43/95/41/902439541.db2.gz HYZYBPRXKFCMOB-CYBMUJFWSA-N 1 2 315.845 1.626 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001266217288 903132978 /nfs/dbraw/zinc/13/29/78/903132978.db2.gz ZBXUJVLHYSYPSO-UONOGXRCSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001266217288 903132987 /nfs/dbraw/zinc/13/29/87/903132987.db2.gz ZBXUJVLHYSYPSO-UONOGXRCSA-N 1 2 308.426 1.033 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H]3[C@@H]2CCCN3C(=O)C#CC(C)C)o1 ZINC001279555080 903324157 /nfs/dbraw/zinc/32/41/57/903324157.db2.gz LOKYLFMSLUDYOX-GJZGRUSLSA-N 1 2 316.405 1.603 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H]3[C@@H]2CCCN3C(=O)C#CC(C)C)o1 ZINC001279555080 903324166 /nfs/dbraw/zinc/32/41/66/903324166.db2.gz LOKYLFMSLUDYOX-GJZGRUSLSA-N 1 2 316.405 1.603 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](C)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001280486901 903730460 /nfs/dbraw/zinc/73/04/60/903730460.db2.gz DRHPNRORXAPPBC-CHWSQXEVSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](C)CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001280486901 903730467 /nfs/dbraw/zinc/73/04/67/903730467.db2.gz DRHPNRORXAPPBC-CHWSQXEVSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)CC(C)(C)C=C)CO2 ZINC001280943470 904224823 /nfs/dbraw/zinc/22/48/23/904224823.db2.gz DLZIRRXOCIIFLD-HNNXBMFYSA-N 1 2 322.449 1.751 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1COC2(C[NH+]([C@@H](C)COC)C2)C1 ZINC001280969969 904256160 /nfs/dbraw/zinc/25/61/60/904256160.db2.gz VUWHMWOKJWSTLO-GJZGRUSLSA-N 1 2 308.422 1.174 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H](C)SC ZINC001281063345 904365100 /nfs/dbraw/zinc/36/51/00/904365100.db2.gz OKMPASQNMRHTTL-CZUORRHYSA-N 1 2 302.443 1.914 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H](C)SC ZINC001281063345 904365116 /nfs/dbraw/zinc/36/51/16/904365116.db2.gz OKMPASQNMRHTTL-CZUORRHYSA-N 1 2 302.443 1.914 20 30 DDEDLO CC(C)c1cnc(C[NH2+][C@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001371166387 904395098 /nfs/dbraw/zinc/39/50/98/904395098.db2.gz PKJNSBBGWUVGDX-LLVKDONJSA-N 1 2 315.377 1.906 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001281173235 904524663 /nfs/dbraw/zinc/52/46/63/904524663.db2.gz UCZMXAMUBLJPEY-ZIAGYGMSSA-N 1 2 318.421 1.591 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)Cc1ccon1 ZINC001281777280 905213667 /nfs/dbraw/zinc/21/36/67/905213667.db2.gz UAKZWMVZOPKHFW-QGZVFWFLSA-N 1 2 309.369 1.566 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)Cc1ccon1 ZINC001281777280 905213685 /nfs/dbraw/zinc/21/36/85/905213685.db2.gz UAKZWMVZOPKHFW-QGZVFWFLSA-N 1 2 309.369 1.566 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)CNC(=O)C(C)(C)C ZINC001377364787 921141513 /nfs/dbraw/zinc/14/15/13/921141513.db2.gz KIRDDTWNTRREAS-UHFFFAOYSA-N 1 2 303.834 1.339 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)CNC(=O)C(C)(C)C ZINC001377364787 921141519 /nfs/dbraw/zinc/14/15/19/921141519.db2.gz KIRDDTWNTRREAS-UHFFFAOYSA-N 1 2 303.834 1.339 20 30 DDEDLO CCC(C)(C)NC(=O)C[NH+]1CC([C@H](C)NC(=O)C#CC2CC2)C1 ZINC001282705425 905967575 /nfs/dbraw/zinc/96/75/75/905967575.db2.gz FRKKPHQTTYDWKS-ZDUSSCGKSA-N 1 2 319.449 1.141 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001283884977 908132051 /nfs/dbraw/zinc/13/20/51/908132051.db2.gz BPEVMZGCSQGXIS-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1C[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001284091632 908465192 /nfs/dbraw/zinc/46/51/92/908465192.db2.gz AMHRCRRWUDCQLV-GASCZTMLSA-N 1 2 316.405 1.415 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001284099292 908473203 /nfs/dbraw/zinc/47/32/03/908473203.db2.gz YCYLKURCEYXSFZ-ZQDZILKHSA-N 1 2 318.421 1.495 20 30 DDEDLO CC#CCCCC(=O)N(C)CCNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001284525115 909141416 /nfs/dbraw/zinc/14/14/16/909141416.db2.gz UTTMISFDEWEKBJ-HNNXBMFYSA-N 1 2 318.421 1.602 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCn2cccn2)C(C)(C)C1 ZINC001394276961 909235246 /nfs/dbraw/zinc/23/52/46/909235246.db2.gz DHMLEMXQLVWJHF-CYBMUJFWSA-N 1 2 310.829 1.852 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCn2cccn2)C(C)(C)C1 ZINC001394276961 909235259 /nfs/dbraw/zinc/23/52/59/909235259.db2.gz DHMLEMXQLVWJHF-CYBMUJFWSA-N 1 2 310.829 1.852 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(CC)C(=O)CCc1c[nH]c[nH+]1 ZINC001284637949 909282392 /nfs/dbraw/zinc/28/23/92/909282392.db2.gz PXHOHFYCQBATKO-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(CC)C(=O)CCc1c[nH+]c[nH]1 ZINC001284637949 909282405 /nfs/dbraw/zinc/28/24/05/909282405.db2.gz PXHOHFYCQBATKO-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO C#CCCCC(=O)NCC1=CC[N@H+](Cc2cc(C)n(C)n2)CC1 ZINC001284908599 909707026 /nfs/dbraw/zinc/70/70/26/909707026.db2.gz IANSDPMBMRPRMF-UHFFFAOYSA-N 1 2 314.433 1.780 20 30 DDEDLO C#CCCCC(=O)NCC1=CC[N@@H+](Cc2cc(C)n(C)n2)CC1 ZINC001284908599 909707033 /nfs/dbraw/zinc/70/70/33/909707033.db2.gz IANSDPMBMRPRMF-UHFFFAOYSA-N 1 2 314.433 1.780 20 30 DDEDLO C#CCCCC(=O)NCC1=CC[N@H+]([C@@H](C)c2nnc(C)o2)CC1 ZINC001284910335 909715620 /nfs/dbraw/zinc/71/56/20/909715620.db2.gz XSOKTRSAWJNNIW-ZDUSSCGKSA-N 1 2 316.405 1.991 20 30 DDEDLO C#CCCCC(=O)NCC1=CC[N@@H+]([C@@H](C)c2nnc(C)o2)CC1 ZINC001284910335 909715629 /nfs/dbraw/zinc/71/56/29/909715629.db2.gz XSOKTRSAWJNNIW-ZDUSSCGKSA-N 1 2 316.405 1.991 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001284997288 909912210 /nfs/dbraw/zinc/91/22/10/909912210.db2.gz NIXYQBHUNWTEQI-GFCCVEGCSA-N 1 2 304.394 1.129 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001285235810 910254984 /nfs/dbraw/zinc/25/49/84/910254984.db2.gz TVCDDGVUPUNQDN-ZDUSSCGKSA-N 1 2 320.437 1.433 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001285874503 911345509 /nfs/dbraw/zinc/34/55/09/911345509.db2.gz BGYOXGWXQXPISW-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001285874379 911345548 /nfs/dbraw/zinc/34/55/48/911345548.db2.gz HBLIQUGGOSZWTR-UONOGXRCSA-N 1 2 304.394 1.203 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]1CNC(=O)c1cccc2[nH+]ccn21 ZINC001285874750 911345709 /nfs/dbraw/zinc/34/57/09/911345709.db2.gz OAUFNYDELOHYSF-CQSZACIVSA-N 1 2 324.384 1.469 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)[C@H](C)CNC(=O)[C@@H](C)C#N)c(C)[nH+]1 ZINC001395156038 911480657 /nfs/dbraw/zinc/48/06/57/911480657.db2.gz CXRXPCVJZMBGHO-WCQYABFASA-N 1 2 316.405 1.743 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@](C)(NC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC001286107409 911702142 /nfs/dbraw/zinc/70/21/42/911702142.db2.gz BNGBAOJREOQFGW-QGZVFWFLSA-N 1 2 316.405 1.416 20 30 DDEDLO C#CCN(C)c1nnc(C2CCC2)n1CC[N@H+]1CCOC[C@H]1C ZINC001286372033 911945169 /nfs/dbraw/zinc/94/51/69/911945169.db2.gz LEQZADZARRGXNB-CQSZACIVSA-N 1 2 317.437 1.336 20 30 DDEDLO C#CCN(C)c1nnc(C2CCC2)n1CC[N@@H+]1CCOC[C@H]1C ZINC001286372033 911945178 /nfs/dbraw/zinc/94/51/78/911945178.db2.gz LEQZADZARRGXNB-CQSZACIVSA-N 1 2 317.437 1.336 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](NC(=O)[C@H]2CCc3[nH+]ccn3C2)C1 ZINC001295181415 915628564 /nfs/dbraw/zinc/62/85/64/915628564.db2.gz FYHMRBQUTSIUDY-MELADBBJSA-N 1 2 316.405 1.175 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@@H](C)CCC)CC1 ZINC001296825146 916579978 /nfs/dbraw/zinc/57/99/78/916579978.db2.gz RPNJJEXAWKYONY-INIZCTEOSA-N 1 2 323.481 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](CC)NC(C)=O)[C@H]1C ZINC001375661170 916628498 /nfs/dbraw/zinc/62/84/98/916628498.db2.gz KEVOGIQOSZEDRW-WXHSDQCUSA-N 1 2 301.818 1.233 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](CC)NC(C)=O)[C@H]1C ZINC001375661170 916628507 /nfs/dbraw/zinc/62/85/07/916628507.db2.gz KEVOGIQOSZEDRW-WXHSDQCUSA-N 1 2 301.818 1.233 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001375994609 917434134 /nfs/dbraw/zinc/43/41/34/917434134.db2.gz VKONMWSYISCOPL-MWLCHTKSSA-N 1 2 305.756 1.972 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001375994609 917434149 /nfs/dbraw/zinc/43/41/49/917434149.db2.gz VKONMWSYISCOPL-MWLCHTKSSA-N 1 2 305.756 1.972 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](O)(CNC(=O)c2ccsn2)C1 ZINC001376061283 917590966 /nfs/dbraw/zinc/59/09/66/917590966.db2.gz XHMGYLUFJOMQQQ-GFCCVEGCSA-N 1 2 301.799 1.062 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](O)(CNC(=O)c2ccsn2)C1 ZINC001376061283 917590974 /nfs/dbraw/zinc/59/09/74/917590974.db2.gz XHMGYLUFJOMQQQ-GFCCVEGCSA-N 1 2 301.799 1.062 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCC[N@H+](C)Cc1nc(C2CC2)no1 ZINC001376908845 919746798 /nfs/dbraw/zinc/74/67/98/919746798.db2.gz HXCHIRFTYABOIE-LLVKDONJSA-N 1 2 305.382 1.387 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCC[N@@H+](C)Cc1nc(C2CC2)no1 ZINC001376908845 919746811 /nfs/dbraw/zinc/74/68/11/919746811.db2.gz HXCHIRFTYABOIE-LLVKDONJSA-N 1 2 305.382 1.387 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@H+](Cc2nnc(C3CC3)s2)C1 ZINC001377436886 921905020 /nfs/dbraw/zinc/90/50/20/921905020.db2.gz KCZWKPCUWUUZHU-MNOVXSKESA-N 1 2 319.434 1.513 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(C3CC3)s2)C1 ZINC001377436886 921905033 /nfs/dbraw/zinc/90/50/33/921905033.db2.gz KCZWKPCUWUUZHU-MNOVXSKESA-N 1 2 319.434 1.513 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000448647667 230541697 /nfs/dbraw/zinc/54/16/97/230541697.db2.gz JSCRSTXXQMDNED-HFTRVMKXSA-N 1 2 313.401 1.726 20 30 DDEDLO COc1ccc(NC(=O)[C@@H](C)O[NH+]=C(N)[C@@H]2CCCO2)cc1 ZINC000283872721 222610237 /nfs/dbraw/zinc/61/02/37/222610237.db2.gz CPBZTCDIKICFPW-MFKMUULPSA-N 1 2 307.350 1.490 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)N1CCn2ccnc2C1 ZINC000328745835 539298924 /nfs/dbraw/zinc/29/89/24/539298924.db2.gz PLUNSGIBBHCFEX-CYBMUJFWSA-N 1 2 312.377 1.287 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@@H](c3cccnc3)C3CC3)C[C@@H]21 ZINC000329597291 529785171 /nfs/dbraw/zinc/78/51/71/529785171.db2.gz IXXXBRJZKRGMFU-ARFHVFGLSA-N 1 2 316.405 1.462 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@@H](c3cccnc3)C3CC3)C[C@@H]21 ZINC000329597291 529785173 /nfs/dbraw/zinc/78/51/73/529785173.db2.gz IXXXBRJZKRGMFU-ARFHVFGLSA-N 1 2 316.405 1.462 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CSc1nnnn1C1CCCCC1 ZINC000414107403 529868288 /nfs/dbraw/zinc/86/82/88/529868288.db2.gz KBOGWRNWIUKJME-CYBMUJFWSA-N 1 2 324.454 1.477 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CSc1nnnn1C1CCCCC1 ZINC000414107403 529868289 /nfs/dbraw/zinc/86/82/89/529868289.db2.gz KBOGWRNWIUKJME-CYBMUJFWSA-N 1 2 324.454 1.477 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCC[C@@H](OC(F)F)C1 ZINC000615795504 362444656 /nfs/dbraw/zinc/44/46/56/362444656.db2.gz IRLHXUOHKBDRCV-WDEREUQCSA-N 1 2 301.337 1.641 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@@H](OC(F)F)C1 ZINC000615795504 362444662 /nfs/dbraw/zinc/44/46/62/362444662.db2.gz IRLHXUOHKBDRCV-WDEREUQCSA-N 1 2 301.337 1.641 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000179714259 186209110 /nfs/dbraw/zinc/20/91/10/186209110.db2.gz KNXNDXBNXRSWCI-KRWDZBQOSA-N 1 2 302.374 1.036 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000179714259 186209111 /nfs/dbraw/zinc/20/91/11/186209111.db2.gz KNXNDXBNXRSWCI-KRWDZBQOSA-N 1 2 302.374 1.036 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+](CCO)Cc1cccnc1 ZINC000269572507 186388247 /nfs/dbraw/zinc/38/82/47/186388247.db2.gz BNGPWNNJQAPLRK-CQSZACIVSA-N 1 2 324.384 1.775 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](CCO)Cc1cccnc1 ZINC000269572507 186388249 /nfs/dbraw/zinc/38/82/49/186388249.db2.gz BNGPWNNJQAPLRK-CQSZACIVSA-N 1 2 324.384 1.775 20 30 DDEDLO COCCc1noc(C[N@H+](C)CCOc2cccc(C#N)c2)n1 ZINC000171963228 198016743 /nfs/dbraw/zinc/01/67/43/198016743.db2.gz PABZZELQNZIYSO-UHFFFAOYSA-N 1 2 316.361 1.641 20 30 DDEDLO COCCc1noc(C[N@@H+](C)CCOc2cccc(C#N)c2)n1 ZINC000171963228 198016745 /nfs/dbraw/zinc/01/67/45/198016745.db2.gz PABZZELQNZIYSO-UHFFFAOYSA-N 1 2 316.361 1.641 20 30 DDEDLO N#CCSCC(=O)NCC[NH+]1CCN(c2ccccc2)CC1 ZINC000024928798 352192847 /nfs/dbraw/zinc/19/28/47/352192847.db2.gz UJWHORRUWZEVOT-UHFFFAOYSA-N 1 2 318.446 1.182 20 30 DDEDLO CC(=O)N1CCC[N@@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)CC1 ZINC000027564728 352219419 /nfs/dbraw/zinc/21/94/19/352219419.db2.gz KLXPVDCYAYMWQM-CYBMUJFWSA-N 1 2 314.389 1.439 20 30 DDEDLO CC(=O)N1CCC[N@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)CC1 ZINC000027564728 352219421 /nfs/dbraw/zinc/21/94/21/352219421.db2.gz KLXPVDCYAYMWQM-CYBMUJFWSA-N 1 2 314.389 1.439 20 30 DDEDLO Cc1nc(N2CCN(CCC#N)CC2)c(Br)c(C)[nH+]1 ZINC000601261492 358469117 /nfs/dbraw/zinc/46/91/17/358469117.db2.gz GNRZSJDNWYJGLD-UHFFFAOYSA-N 1 2 324.226 1.892 20 30 DDEDLO CC(C)C[C@@H](CNC(=O)C1(C#N)CCCC1)[NH+]1CCOCC1 ZINC000042029869 352326350 /nfs/dbraw/zinc/32/63/50/352326350.db2.gz MHJBNRZKCAMGPY-HNNXBMFYSA-N 1 2 307.438 1.933 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000045844773 352425366 /nfs/dbraw/zinc/42/53/66/352425366.db2.gz JCVPLOGWIFWVNU-CYBMUJFWSA-N 1 2 301.394 1.983 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)NCc2cn3ccccc3[nH+]2)cc1 ZINC000048939912 352548186 /nfs/dbraw/zinc/54/81/86/352548186.db2.gz UXGYVQRWRZLLLI-UHFFFAOYSA-N 1 2 319.324 1.461 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)NCC(F)(F)F)cc1 ZINC000047538581 352500951 /nfs/dbraw/zinc/50/09/51/352500951.db2.gz IZNXIVFJPIZZQI-UHFFFAOYSA-N 1 2 305.256 1.011 20 30 DDEDLO Cc1noc(C2CC[NH+](CC(=O)N[C@](C)(C#N)C(C)C)CC2)n1 ZINC000053641128 352663241 /nfs/dbraw/zinc/66/32/41/352663241.db2.gz MAGCWADQNFXEFI-MRXNPFEDSA-N 1 2 319.409 1.612 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)c2ccc(C#N)s2)CCN1C ZINC000331801130 234137948 /nfs/dbraw/zinc/13/79/48/234137948.db2.gz JPGKYCOQVMZBMO-LBPRGKRZSA-N 1 2 315.402 1.482 20 30 DDEDLO N#Cc1cccnc1N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000058452591 352843776 /nfs/dbraw/zinc/84/37/76/352843776.db2.gz KTGSQBPVSQWVSR-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO C=CCNC(=O)C[NH+](CCC1CCCC1)CC(=O)NCC=C ZINC000298436637 222849811 /nfs/dbraw/zinc/84/98/11/222849811.db2.gz PYWKLEWLOWYSLA-UHFFFAOYSA-N 1 2 307.438 1.473 20 30 DDEDLO COCC[N@H+](CCC#N)CCN1C(=O)c2ccccc2C1=O ZINC000072689996 353209289 /nfs/dbraw/zinc/20/92/89/353209289.db2.gz KTEQBHPJLVDEFY-UHFFFAOYSA-N 1 2 301.346 1.145 20 30 DDEDLO COCC[N@@H+](CCC#N)CCN1C(=O)c2ccccc2C1=O ZINC000072689996 353209292 /nfs/dbraw/zinc/20/92/92/353209292.db2.gz KTEQBHPJLVDEFY-UHFFFAOYSA-N 1 2 301.346 1.145 20 30 DDEDLO CC[NH+]1CCN(S(=O)(=O)c2cccc(Cl)c2C#N)CC1 ZINC000072989581 353230859 /nfs/dbraw/zinc/23/08/59/353230859.db2.gz QTVSHBCWUAKXBI-UHFFFAOYSA-N 1 2 313.810 1.538 20 30 DDEDLO N#C[C@H]1CN(C(=O)Cn2cc[nH+]c2)CCN1Cc1ccccc1 ZINC000081408128 353670911 /nfs/dbraw/zinc/67/09/11/353670911.db2.gz NAWRLZMTYSFMKL-INIZCTEOSA-N 1 2 309.373 1.120 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCC3(C)CCOCC3)C[C@H]21 ZINC000328885658 222906982 /nfs/dbraw/zinc/90/69/82/222906982.db2.gz OMGMSXBUIYUZJH-ZIAGYGMSSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCC3(C)CCOCC3)C[C@H]21 ZINC000328885658 222906985 /nfs/dbraw/zinc/90/69/85/222906985.db2.gz OMGMSXBUIYUZJH-ZIAGYGMSSA-N 1 2 311.426 1.122 20 30 DDEDLO CC(C)Cn1cc(CN(CCC#N)CC[NH+]2CCOCC2)cn1 ZINC000182918409 354277322 /nfs/dbraw/zinc/27/73/22/354277322.db2.gz ZCKOLZKXJPIIPO-UHFFFAOYSA-N 1 2 319.453 1.587 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC000185210391 354281745 /nfs/dbraw/zinc/28/17/45/354281745.db2.gz YXPXYJPOVXLAKU-LLVKDONJSA-N 1 2 307.398 1.780 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cccc(CC#N)c2)[C@@H](C)C1 ZINC000586772629 354862948 /nfs/dbraw/zinc/86/29/48/354862948.db2.gz SCTXVJFFFIXBHA-ZDUSSCGKSA-N 1 2 307.419 1.467 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cccc(CC#N)c2)[C@@H](C)C1 ZINC000586772629 354862951 /nfs/dbraw/zinc/86/29/51/354862951.db2.gz SCTXVJFFFIXBHA-ZDUSSCGKSA-N 1 2 307.419 1.467 20 30 DDEDLO Cn1c2nnc(C[NH2+][C@H]3CCC[C@@H]3C#N)n2c2ccccc2c1=O ZINC000589163888 354966623 /nfs/dbraw/zinc/96/66/23/354966623.db2.gz HQNDGZXLOGPCGV-YPMHNXCESA-N 1 2 322.372 1.363 20 30 DDEDLO COc1ccc2c(c1)C[C@H]([NH+]1CCN(CCC#N)CC1)CO2 ZINC000601315864 358492780 /nfs/dbraw/zinc/49/27/80/358492780.db2.gz DWDPTXUOXKMASR-HNNXBMFYSA-N 1 2 301.390 1.530 20 30 DDEDLO N#C[C@]1(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CC12CCCC2 ZINC000590677622 355145384 /nfs/dbraw/zinc/14/53/84/355145384.db2.gz IFPYOXFAACEIOY-PBHICJAKSA-N 1 2 303.406 1.394 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCC[C@@H]3COC[C@@H]32)c1C#N ZINC000590971894 355227173 /nfs/dbraw/zinc/22/71/73/355227173.db2.gz UMNXDRTXMCIHCU-CJNGLKHVSA-N 1 2 300.358 1.956 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCC[C@@H]3COC[C@@H]32)c1C#N ZINC000590971894 355227178 /nfs/dbraw/zinc/22/71/78/355227178.db2.gz UMNXDRTXMCIHCU-CJNGLKHVSA-N 1 2 300.358 1.956 20 30 DDEDLO Cc1cc(C)c(NC(=O)C(=O)N2CC[C@](F)(C#N)C2)c(C)[nH+]1 ZINC000591023318 355234925 /nfs/dbraw/zinc/23/49/25/355234925.db2.gz ZRZOWLSPYNBDDB-HNNXBMFYSA-N 1 2 304.325 1.410 20 30 DDEDLO CCN(C1CC[NH+](Cc2ccncc2C#N)CC1)S(C)(=O)=O ZINC000592080153 355487848 /nfs/dbraw/zinc/48/78/48/355487848.db2.gz PHUGIGCJRCKSJY-UHFFFAOYSA-N 1 2 322.434 1.199 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)N[C@H]2CCCc3ccccc32)C1 ZINC000592148059 355514373 /nfs/dbraw/zinc/51/43/73/355514373.db2.gz VPJDZMZJLVHZGL-FUHWJXTLSA-N 1 2 313.401 1.531 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)N[C@H]2CCCc3ccccc32)C1 ZINC000592148059 355514375 /nfs/dbraw/zinc/51/43/75/355514375.db2.gz VPJDZMZJLVHZGL-FUHWJXTLSA-N 1 2 313.401 1.531 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CCCS(=O)(=O)c2ccccc2)C1 ZINC000592150383 355517651 /nfs/dbraw/zinc/51/76/51/355517651.db2.gz FJNXIHBEURIUKM-HNNXBMFYSA-N 1 2 308.403 1.201 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CCCS(=O)(=O)c2ccccc2)C1 ZINC000592150383 355517655 /nfs/dbraw/zinc/51/76/55/355517655.db2.gz FJNXIHBEURIUKM-HNNXBMFYSA-N 1 2 308.403 1.201 20 30 DDEDLO COc1ccccc1N1CC[C@@H]([N@@H+]2CC[C@](O)(CC#N)C2)C1=O ZINC000592151968 355518792 /nfs/dbraw/zinc/51/87/92/355518792.db2.gz SMECKYYXQQMLEE-RHSMWYFYSA-N 1 2 315.373 1.151 20 30 DDEDLO COc1ccccc1N1CC[C@@H]([N@H+]2CC[C@](O)(CC#N)C2)C1=O ZINC000592151968 355518794 /nfs/dbraw/zinc/51/87/94/355518794.db2.gz SMECKYYXQQMLEE-RHSMWYFYSA-N 1 2 315.373 1.151 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC23CCCC3)nc1 ZINC000593000693 355765617 /nfs/dbraw/zinc/76/56/17/355765617.db2.gz RWBVSCAGYGVJIG-UHFFFAOYSA-N 1 2 305.403 1.745 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC23CCCC3)nc1 ZINC000593000693 355765618 /nfs/dbraw/zinc/76/56/18/355765618.db2.gz RWBVSCAGYGVJIG-UHFFFAOYSA-N 1 2 305.403 1.745 20 30 DDEDLO Cc1cc(C[N@H+](CCC#N)C2CC2)cc(S(N)(=O)=O)c1C ZINC000593062229 355783858 /nfs/dbraw/zinc/78/38/58/355783858.db2.gz DDMCUEHHOIIBET-UHFFFAOYSA-N 1 2 307.419 1.829 20 30 DDEDLO Cc1cc(C[N@@H+](CCC#N)C2CC2)cc(S(N)(=O)=O)c1C ZINC000593062229 355783860 /nfs/dbraw/zinc/78/38/60/355783860.db2.gz DDMCUEHHOIIBET-UHFFFAOYSA-N 1 2 307.419 1.829 20 30 DDEDLO COc1ccc([N+](=O)[O-])c(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000593155251 355813983 /nfs/dbraw/zinc/81/39/83/355813983.db2.gz FMNPECOTBOUUJT-CQSZACIVSA-N 1 2 306.322 1.177 20 30 DDEDLO Cc1noc(C[N@H+](C)CCC(=O)Nc2sccc2C#N)n1 ZINC000594052069 356099667 /nfs/dbraw/zinc/09/96/67/356099667.db2.gz DCFOWAGWFCKSLB-UHFFFAOYSA-N 1 2 305.363 1.772 20 30 DDEDLO Cc1noc(C[N@@H+](C)CCC(=O)Nc2sccc2C#N)n1 ZINC000594052069 356099672 /nfs/dbraw/zinc/09/96/72/356099672.db2.gz DCFOWAGWFCKSLB-UHFFFAOYSA-N 1 2 305.363 1.772 20 30 DDEDLO Cc1noc(C[N@H+](C)C[C@@H](O)COc2ccc(CC#N)cc2)n1 ZINC000594053103 356100259 /nfs/dbraw/zinc/10/02/59/356100259.db2.gz LPPAOJYODVUHJE-CQSZACIVSA-N 1 2 316.361 1.316 20 30 DDEDLO Cc1noc(C[N@@H+](C)C[C@@H](O)COc2ccc(CC#N)cc2)n1 ZINC000594053103 356100262 /nfs/dbraw/zinc/10/02/62/356100262.db2.gz LPPAOJYODVUHJE-CQSZACIVSA-N 1 2 316.361 1.316 20 30 DDEDLO Cn1ncc(C2CCC2)c1C[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000594717040 356294591 /nfs/dbraw/zinc/29/45/91/356294591.db2.gz HFYSKEVWQBEIIY-QGZVFWFLSA-N 1 2 323.400 1.875 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)sn1 ZINC000595291737 356436061 /nfs/dbraw/zinc/43/60/61/356436061.db2.gz SZOAEOQLLFTKGX-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO C[C@@]1(C#N)CCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000595441764 356493937 /nfs/dbraw/zinc/49/39/37/356493937.db2.gz MXAGUKCKJIYXAY-INIZCTEOSA-N 1 2 301.394 1.707 20 30 DDEDLO C=CCCSCCNC(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000595443741 356494463 /nfs/dbraw/zinc/49/44/63/356494463.db2.gz ILNKZJVIKMBHGQ-CYBMUJFWSA-N 1 2 301.456 1.316 20 30 DDEDLO Cc1cc(N2CC[C@H]([N@@H+]3CCC[C@H](CC#N)C3)C2=O)n(C)n1 ZINC000595614683 356578476 /nfs/dbraw/zinc/57/84/76/356578476.db2.gz MMZGOGVJFNTFQY-KGLIPLIRSA-N 1 2 301.394 1.460 20 30 DDEDLO Cc1cc(N2CC[C@H]([N@H+]3CCC[C@H](CC#N)C3)C2=O)n(C)n1 ZINC000595614683 356578479 /nfs/dbraw/zinc/57/84/79/356578479.db2.gz MMZGOGVJFNTFQY-KGLIPLIRSA-N 1 2 301.394 1.460 20 30 DDEDLO C[C@H]1COC2(CCC2)C[N@@H+]1CC(=O)NC1(C#N)CCCCC1 ZINC000595674955 356605302 /nfs/dbraw/zinc/60/53/02/356605302.db2.gz MLXZFKPFZANNAX-AWEZNQCLSA-N 1 2 305.422 1.972 20 30 DDEDLO C[C@H]1COC2(CCC2)C[N@H+]1CC(=O)NC1(C#N)CCCCC1 ZINC000595674955 356605304 /nfs/dbraw/zinc/60/53/04/356605304.db2.gz MLXZFKPFZANNAX-AWEZNQCLSA-N 1 2 305.422 1.972 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000595915957 356704485 /nfs/dbraw/zinc/70/44/85/356704485.db2.gz JRCPQVAPDSSJHQ-BXUZGUMPSA-N 1 2 303.353 1.493 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000595915957 356704486 /nfs/dbraw/zinc/70/44/86/356704486.db2.gz JRCPQVAPDSSJHQ-BXUZGUMPSA-N 1 2 303.353 1.493 20 30 DDEDLO C[C@H](CC#N)C(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000596779646 356975696 /nfs/dbraw/zinc/97/56/96/356975696.db2.gz ZIRNIEIIOIBPSW-CYBMUJFWSA-N 1 2 314.389 1.562 20 30 DDEDLO C[C@@H](O)C(=O)N1CC[NH+](Cc2ccc(C3(C#N)CC3)cc2)CC1 ZINC000597013666 357040491 /nfs/dbraw/zinc/04/04/91/357040491.db2.gz LFNBDNYBGXHNNB-CQSZACIVSA-N 1 2 313.401 1.267 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)c1cc(F)c(C#N)c(F)c1)[NH+]1CCOCC1 ZINC000597162132 357077471 /nfs/dbraw/zinc/07/74/71/357077471.db2.gz RRSPUKQBARNGSV-WDEREUQCSA-N 1 2 323.343 1.675 20 30 DDEDLO N#CC1(CC(=O)N(CC[NH+]2CCOCC2)[C@@H]2CCSC2)CC1 ZINC000597679981 357282218 /nfs/dbraw/zinc/28/22/18/357282218.db2.gz UDWANNUZRZCQRE-CQSZACIVSA-N 1 2 323.462 1.347 20 30 DDEDLO Cc1cc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)ncc1C#N ZINC000597709814 357296478 /nfs/dbraw/zinc/29/64/78/357296478.db2.gz MERSEZYEEYMPMQ-OAHLLOKOSA-N 1 2 314.389 1.199 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)CNc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000598000945 357416992 /nfs/dbraw/zinc/41/69/92/357416992.db2.gz WBIDJLNKHILGHG-KBPBESRZSA-N 1 2 316.405 1.196 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)CNc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000598000945 357416995 /nfs/dbraw/zinc/41/69/95/357416995.db2.gz WBIDJLNKHILGHG-KBPBESRZSA-N 1 2 316.405 1.196 20 30 DDEDLO C[C@H](C#N)N(C(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1)C1CC1 ZINC000598127267 357468767 /nfs/dbraw/zinc/46/87/67/357468767.db2.gz ACUYKBGXRJAQCF-RHSMWYFYSA-N 1 2 313.401 1.790 20 30 DDEDLO C[C@H](C#N)N(C(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1)C1CC1 ZINC000598127267 357468770 /nfs/dbraw/zinc/46/87/70/357468770.db2.gz ACUYKBGXRJAQCF-RHSMWYFYSA-N 1 2 313.401 1.790 20 30 DDEDLO Cc1nc(C)c(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)[nH]1 ZINC000598587132 357641603 /nfs/dbraw/zinc/64/16/03/357641603.db2.gz NHAOXNGTCWMBBB-UHFFFAOYSA-N 1 2 323.400 1.856 20 30 DDEDLO CNS(=O)(=O)CC[N@@H+]1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000598597623 357647431 /nfs/dbraw/zinc/64/74/31/357647431.db2.gz ZOCMRDNXCRHMNV-HUUCEWRRSA-N 1 2 307.419 1.307 20 30 DDEDLO CNS(=O)(=O)CC[N@H+]1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000598597623 357647434 /nfs/dbraw/zinc/64/74/34/357647434.db2.gz ZOCMRDNXCRHMNV-HUUCEWRRSA-N 1 2 307.419 1.307 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](C)[C@@H](CO)C1 ZINC000598646038 357667028 /nfs/dbraw/zinc/66/70/28/357667028.db2.gz JKTNWAHBCOHGJK-MAZHCROVSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](C)[C@@H](CO)C1 ZINC000598646038 357667032 /nfs/dbraw/zinc/66/70/32/357667032.db2.gz JKTNWAHBCOHGJK-MAZHCROVSA-N 1 2 302.374 1.723 20 30 DDEDLO COc1ccc([C@@H]2C[C@H]2C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000598836597 357739832 /nfs/dbraw/zinc/73/98/32/357739832.db2.gz NRWPBWBBDVPNSZ-ZMSDIMECSA-N 1 2 301.390 1.759 20 30 DDEDLO Cc1cc(F)cc2c1ncc(C#N)c2NC[C@@H]1COCC[N@H+]1C ZINC000599160388 357833399 /nfs/dbraw/zinc/83/33/99/357833399.db2.gz HCPHDPRHJHJBBQ-CQSZACIVSA-N 1 2 314.364 1.718 20 30 DDEDLO Cc1cc(F)cc2c1ncc(C#N)c2NC[C@@H]1COCC[N@@H+]1C ZINC000599160388 357833403 /nfs/dbraw/zinc/83/34/03/357833403.db2.gz HCPHDPRHJHJBBQ-CQSZACIVSA-N 1 2 314.364 1.718 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCCN(c2cc(C)[nH+]cc2C#N)C1 ZINC000599201215 357848238 /nfs/dbraw/zinc/84/82/38/357848238.db2.gz GJRXORHTXNHSJX-GFCCVEGCSA-N 1 2 308.407 1.027 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C[C@@H](O)COc2ccccc2C#N)n1 ZINC000599359667 357908556 /nfs/dbraw/zinc/90/85/56/357908556.db2.gz RXUCRDOZHWFTPT-ZWNOBZJWSA-N 1 2 302.334 1.340 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)C1 ZINC000599361437 357909606 /nfs/dbraw/zinc/90/96/06/357909606.db2.gz GSAYPQKFLSCOOE-IBGZPJMESA-N 1 2 321.380 1.912 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)C1 ZINC000599361437 357909612 /nfs/dbraw/zinc/90/96/12/357909612.db2.gz GSAYPQKFLSCOOE-IBGZPJMESA-N 1 2 321.380 1.912 20 30 DDEDLO COc1ccc(CN2CC[NH+](Cc3cn[nH]c3)CC2)cc1C#N ZINC000599439268 357937125 /nfs/dbraw/zinc/93/71/25/357937125.db2.gz MWFFQXVQVBPBMJ-UHFFFAOYSA-N 1 2 311.389 1.608 20 30 DDEDLO COc1ccc(C[NH+]2CCN(Cc3cn[nH]c3)CC2)cc1C#N ZINC000599439268 357937128 /nfs/dbraw/zinc/93/71/28/357937128.db2.gz MWFFQXVQVBPBMJ-UHFFFAOYSA-N 1 2 311.389 1.608 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2c(CC#N)cccc2C1 ZINC000599676815 358020904 /nfs/dbraw/zinc/02/09/04/358020904.db2.gz VINJOJFKLYGCKB-UHFFFAOYSA-N 1 2 312.373 1.123 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2c(CC#N)cccc2C1 ZINC000599676815 358020907 /nfs/dbraw/zinc/02/09/07/358020907.db2.gz VINJOJFKLYGCKB-UHFFFAOYSA-N 1 2 312.373 1.123 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)NC[C@H]1COCC[N@@H+]1C ZINC000600418495 358215837 /nfs/dbraw/zinc/21/58/37/358215837.db2.gz DWJKVFBKEQNIJQ-BBRMVZONSA-N 1 2 301.390 1.184 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)NC[C@H]1COCC[N@H+]1C ZINC000600418495 358215840 /nfs/dbraw/zinc/21/58/40/358215840.db2.gz DWJKVFBKEQNIJQ-BBRMVZONSA-N 1 2 301.390 1.184 20 30 DDEDLO CC(C)(CNS(=O)(=O)c1cccc(C#N)c1)n1cc[nH+]c1 ZINC000601525596 358588438 /nfs/dbraw/zinc/58/84/38/358588438.db2.gz CZFIEKMUKBBXSB-UHFFFAOYSA-N 1 2 304.375 1.468 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC(Nc2cccc(C#N)c2)C1 ZINC000601679770 358651676 /nfs/dbraw/zinc/65/16/76/358651676.db2.gz YMWCGSHMMFWVQP-GFCCVEGCSA-N 1 2 309.373 1.783 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC(Nc2cccc(C#N)c2)C1 ZINC000601679770 358651679 /nfs/dbraw/zinc/65/16/79/358651679.db2.gz YMWCGSHMMFWVQP-GFCCVEGCSA-N 1 2 309.373 1.783 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@H](C(F)F)CC1 ZINC000601978113 358763748 /nfs/dbraw/zinc/76/37/48/358763748.db2.gz XLKNOVYQSAZRLC-TYNCELHUSA-N 1 2 317.380 1.785 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@H](C(F)F)CC1 ZINC000601978113 358763750 /nfs/dbraw/zinc/76/37/50/358763750.db2.gz XLKNOVYQSAZRLC-TYNCELHUSA-N 1 2 317.380 1.785 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N(C)[C@H]2CCC[C@H]2C#N)c(C)[nH+]1 ZINC000602066418 358798561 /nfs/dbraw/zinc/79/85/61/358798561.db2.gz HJNOTGUKDIKVFC-JSGCOSHPSA-N 1 2 300.362 1.788 20 30 DDEDLO Cn1ccnc1[C@H](C1CC1)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000602433421 358973472 /nfs/dbraw/zinc/97/34/72/358973472.db2.gz MTZKFBTVEMIXLC-INIZCTEOSA-N 1 2 322.416 1.960 20 30 DDEDLO Cn1cc[nH+]c1[C@H](C1CC1)N1CCN(c2ncccc2C#N)CC1 ZINC000602433421 358973477 /nfs/dbraw/zinc/97/34/77/358973477.db2.gz MTZKFBTVEMIXLC-INIZCTEOSA-N 1 2 322.416 1.960 20 30 DDEDLO C[C@@H](CC#N)[N@H+](C)Cc1ccc(Cl)c(S(N)(=O)=O)c1 ZINC000602461954 358993770 /nfs/dbraw/zinc/99/37/70/358993770.db2.gz JHIONDSVAPWIDN-VIFPVBQESA-N 1 2 301.799 1.721 20 30 DDEDLO C[C@@H](CC#N)[N@@H+](C)Cc1ccc(Cl)c(S(N)(=O)=O)c1 ZINC000602461954 358993773 /nfs/dbraw/zinc/99/37/73/358993773.db2.gz JHIONDSVAPWIDN-VIFPVBQESA-N 1 2 301.799 1.721 20 30 DDEDLO CCc1oc(C[NH+]2CCN(CCC#N)CC2)cc1C(=O)OC ZINC000602748109 359165141 /nfs/dbraw/zinc/16/51/41/359165141.db2.gz KKSZEEGGWRQYRE-UHFFFAOYSA-N 1 2 305.378 1.660 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N(C)Cc2cccs2)C[C@H](C)N1CC#N ZINC000602854303 359240012 /nfs/dbraw/zinc/24/00/12/359240012.db2.gz BCOXGIVGXDJRND-OKILXGFUSA-N 1 2 320.462 1.625 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N(C)Cc2cccs2)C[C@H](C)N1CC#N ZINC000602854303 359240016 /nfs/dbraw/zinc/24/00/16/359240016.db2.gz BCOXGIVGXDJRND-OKILXGFUSA-N 1 2 320.462 1.625 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2nnc(-c3ccccc3)n2C)C[C@H](C)N1CC#N ZINC000602854746 359241290 /nfs/dbraw/zinc/24/12/90/359241290.db2.gz RCZPJSANUCCUCT-GASCZTMLSA-N 1 2 324.432 1.900 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2nnc(-c3ccccc3)n2C)C[C@H](C)N1CC#N ZINC000602854746 359241293 /nfs/dbraw/zinc/24/12/93/359241293.db2.gz RCZPJSANUCCUCT-GASCZTMLSA-N 1 2 324.432 1.900 20 30 DDEDLO COC(=O)c1ccc(CNC(=O)[C@H](C)[NH2+][C@@H](C)CC#N)cc1 ZINC000602870612 359254081 /nfs/dbraw/zinc/25/40/81/359254081.db2.gz UWHGPSFOPMISAE-RYUDHWBXSA-N 1 2 303.362 1.370 20 30 DDEDLO Cc1nnc(N2CC[NH+](Cc3cccc(C#N)c3F)CC2)n1C ZINC000602945657 359313484 /nfs/dbraw/zinc/31/34/84/359313484.db2.gz ZKWBVZDIGMPHHY-UHFFFAOYSA-N 1 2 314.368 1.457 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2cccc(F)c2)CC1 ZINC000603014863 359358091 /nfs/dbraw/zinc/35/80/91/359358091.db2.gz NFUBLFVSKNNPDB-UHFFFAOYSA-N 1 2 318.396 1.710 20 30 DDEDLO CN(c1cccc(C#N)c1)[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC000603159724 359445416 /nfs/dbraw/zinc/44/54/16/359445416.db2.gz YIARKJUMLYUJGK-INIZCTEOSA-N 1 2 323.400 1.951 20 30 DDEDLO CC(C)(C#N)c1ccccc1Cn1cc([C@H]2COCC[NH2+]2)nn1 ZINC000603251872 359516822 /nfs/dbraw/zinc/51/68/22/359516822.db2.gz XEWLZBYSJFLZOP-MRXNPFEDSA-N 1 2 311.389 1.788 20 30 DDEDLO Cc1cc(C#N)nc(NCc2ccc[nH+]c2N2CCOCC2)n1 ZINC000281002669 216014483 /nfs/dbraw/zinc/01/44/83/216014483.db2.gz PUWIMDLCHMXNEQ-UHFFFAOYSA-N 1 2 310.361 1.500 20 30 DDEDLO CNc1ccc(C#N)cc1NC(=O)[C@H](C)[NH+]1CCSCC1 ZINC000281112033 216087196 /nfs/dbraw/zinc/08/71/96/216087196.db2.gz HDQYBFIICWUDGW-NSHDSACASA-N 1 2 304.419 1.976 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)N3CCO[C@@H](C#N)C3)[nH+]c12 ZINC000610462161 360442978 /nfs/dbraw/zinc/44/29/78/360442978.db2.gz VHUONMJCGQJSTG-AWEZNQCLSA-N 1 2 313.361 1.119 20 30 DDEDLO COC(=O)c1ccsc1N1CC[C@H]([NH2+][C@H](C)CC#N)C1=O ZINC000610475791 360445354 /nfs/dbraw/zinc/44/53/54/360445354.db2.gz KJWRMOXUCLSBHH-KOLCDFICSA-N 1 2 307.375 1.532 20 30 DDEDLO Cn1c[nH+]c2c1CCN(S(=O)(=O)c1cccc(C#N)c1)C2 ZINC000610613264 360482111 /nfs/dbraw/zinc/48/21/11/360482111.db2.gz XADNAXICJACPCO-UHFFFAOYSA-N 1 2 302.359 1.039 20 30 DDEDLO N#Cc1cc(CN2CCCC[C@H]2C[NH+]2CCOCC2)ccn1 ZINC000610993673 360594899 /nfs/dbraw/zinc/59/48/99/360594899.db2.gz ATKGNSMLJAJBDH-KRWDZBQOSA-N 1 2 300.406 1.640 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C)[C@@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000611204863 360658583 /nfs/dbraw/zinc/65/85/83/360658583.db2.gz CZMCMSKGBNGKAY-NSHDSACASA-N 1 2 320.393 1.505 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C)[C@@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000611204863 360658585 /nfs/dbraw/zinc/65/85/85/360658585.db2.gz CZMCMSKGBNGKAY-NSHDSACASA-N 1 2 320.393 1.505 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCC[C@H](OC(F)F)C1)C1CC1 ZINC000611580643 360766760 /nfs/dbraw/zinc/76/67/60/360766760.db2.gz YUMWADZQYDBBRA-FZMZJTMJSA-N 1 2 301.337 1.498 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@H](OC(F)F)C1)C1CC1 ZINC000611580643 360766763 /nfs/dbraw/zinc/76/67/63/360766763.db2.gz YUMWADZQYDBBRA-FZMZJTMJSA-N 1 2 301.337 1.498 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](C[C@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000612166023 360938785 /nfs/dbraw/zinc/93/87/85/360938785.db2.gz QVLCYHPWMXCHJX-GOEBONIOSA-N 1 2 319.361 1.960 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](C[C@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000612166023 360938788 /nfs/dbraw/zinc/93/87/88/360938788.db2.gz QVLCYHPWMXCHJX-GOEBONIOSA-N 1 2 319.361 1.960 20 30 DDEDLO COc1cnc(NC(=O)NC[C@@H](C)[NH+]2CCOCC2)s1 ZINC000330485496 223121290 /nfs/dbraw/zinc/12/12/90/223121290.db2.gz BJUYCYVUBOGDIS-SECBINFHSA-N 1 2 300.384 1.198 20 30 DDEDLO COC(=O)[C@@H]1CCCN(C(=O)NCc2[nH+]ccn2CC(C)C)C1 ZINC000330969307 223159542 /nfs/dbraw/zinc/15/95/42/223159542.db2.gz FSTBILWJNJAAIE-CYBMUJFWSA-N 1 2 322.409 1.838 20 30 DDEDLO N#C[C@H]1CC[C@@H](Nc2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000333378623 223220755 /nfs/dbraw/zinc/22/07/55/223220755.db2.gz BCDLODWPRZPZHI-BFHYXJOUSA-N 1 2 301.394 1.789 20 30 DDEDLO N#C[C@H]1CC[C@@H](Nc2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000333378623 223220757 /nfs/dbraw/zinc/22/07/57/223220757.db2.gz BCDLODWPRZPZHI-BFHYXJOUSA-N 1 2 301.394 1.789 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)CC1(C#N)CCCCC1)CC2 ZINC000613945179 361637280 /nfs/dbraw/zinc/63/72/80/361637280.db2.gz CPKBCCJDFNTUSS-UHFFFAOYSA-N 1 2 322.434 1.811 20 30 DDEDLO N#CCc1cccc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)c1 ZINC000619495834 364038515 /nfs/dbraw/zinc/03/85/15/364038515.db2.gz DYKHVYKOZJOXJT-UHFFFAOYSA-N 1 2 302.359 1.195 20 30 DDEDLO C[C@H]1C[C@H](NS(=O)(=O)c2ccc(C#N)c(F)c2)c2[nH+]ccn21 ZINC000579555961 422787392 /nfs/dbraw/zinc/78/73/92/422787392.db2.gz NAQGQEYSKTYXBI-ZANVPECISA-N 1 2 320.349 1.878 20 30 DDEDLO CC(C)(CNc1ccc(C#N)cc1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000039514894 183019608 /nfs/dbraw/zinc/01/96/08/183019608.db2.gz MYFZRZGPICIVFE-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO N#Cc1ccc(CNc2cnn(CC[NH+]3CCOCC3)c2)o1 ZINC000271867105 209281585 /nfs/dbraw/zinc/28/15/85/209281585.db2.gz WJMULEJDSHLAES-UHFFFAOYSA-N 1 2 301.350 1.292 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCOc3cc[nH+]cc32)cc1F ZINC000339153261 250315878 /nfs/dbraw/zinc/31/58/78/250315878.db2.gz GYPXNVBLEPLUPM-UHFFFAOYSA-N 1 2 319.317 1.680 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCc2ccc(OC)cc2C1 ZINC000065164498 184313191 /nfs/dbraw/zinc/31/31/91/184313191.db2.gz LJHTVUGOCOQKID-UHFFFAOYSA-N 1 2 301.390 1.815 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCc2ccc(OC)cc2C1 ZINC000065164498 184313192 /nfs/dbraw/zinc/31/31/92/184313192.db2.gz LJHTVUGOCOQKID-UHFFFAOYSA-N 1 2 301.390 1.815 20 30 DDEDLO Cc1[nH+]c(-c2ccncc2)nc(NCCn2cnc(C#N)n2)c1C ZINC000286524397 219036741 /nfs/dbraw/zinc/03/67/41/219036741.db2.gz YAFFOURMPWWGHZ-UHFFFAOYSA-N 1 2 320.360 1.731 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)CC2CCOCC2)CC1 ZINC000329668795 533096097 /nfs/dbraw/zinc/09/60/97/533096097.db2.gz SDXJRNHBRSMLCK-ZDUSSCGKSA-N 1 2 323.437 1.455 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2ncccn2)CC1 ZINC000120498465 195178241 /nfs/dbraw/zinc/17/82/41/195178241.db2.gz XQHQKFNEFOLGGN-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000331147635 533233558 /nfs/dbraw/zinc/23/35/58/533233558.db2.gz SWQBBYDRNRSVFU-ZDUSSCGKSA-N 1 2 322.453 1.564 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000331147635 533233562 /nfs/dbraw/zinc/23/35/62/533233562.db2.gz SWQBBYDRNRSVFU-ZDUSSCGKSA-N 1 2 322.453 1.564 20 30 DDEDLO COC(=O)[C@H]([NH2+]CCC(=O)Nc1ccccc1C#N)C1CC1 ZINC000299472328 533332241 /nfs/dbraw/zinc/33/22/41/533332241.db2.gz YPRKFAWKKFOVNY-OAHLLOKOSA-N 1 2 301.346 1.428 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[NH+](C2CCC2)CC1 ZINC000265120852 292045962 /nfs/dbraw/zinc/04/59/62/292045962.db2.gz JYNXATLCDDBHIL-UHFFFAOYSA-N 1 2 319.430 1.726 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(C3CC3)n(CC=C)c2=S)CC1 ZINC000071852636 406852994 /nfs/dbraw/zinc/85/29/94/406852994.db2.gz OJRDQIKTJINAKS-UHFFFAOYSA-N 1 2 317.462 1.686 20 30 DDEDLO C#CCN(CC#C)C(=O)CSCc1cn2cc(C)ccc2[nH+]1 ZINC000075399617 406931592 /nfs/dbraw/zinc/93/15/92/406931592.db2.gz KTZJDCLWYNRVAZ-UHFFFAOYSA-N 1 2 311.410 1.971 20 30 DDEDLO CC(C)[N@H+](CC(N)=O)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000031345494 406939500 /nfs/dbraw/zinc/93/95/00/406939500.db2.gz KBVDDQJEYSTDPN-UHFFFAOYSA-N 1 2 308.769 1.346 20 30 DDEDLO CC(C)[N@@H+](CC(N)=O)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000031345494 406939502 /nfs/dbraw/zinc/93/95/02/406939502.db2.gz KBVDDQJEYSTDPN-UHFFFAOYSA-N 1 2 308.769 1.346 20 30 DDEDLO Cc1nc(C)c(CN(CCC#N)CC[NH+]2CCOCC2)s1 ZINC000081515521 407077793 /nfs/dbraw/zinc/07/77/93/407077793.db2.gz UPCNFUKCSXQRLW-UHFFFAOYSA-N 1 2 308.451 1.808 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+]1CC(=O)N(CCC#N)c1ccccc1 ZINC000054992497 407192516 /nfs/dbraw/zinc/19/25/16/407192516.db2.gz KPXUADMUXKRGPD-HNNXBMFYSA-N 1 2 314.389 1.144 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+]1CC(=O)N(CCC#N)c1ccccc1 ZINC000054992497 407192520 /nfs/dbraw/zinc/19/25/20/407192520.db2.gz KPXUADMUXKRGPD-HNNXBMFYSA-N 1 2 314.389 1.144 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ccccc2O)CC1 ZINC000052635711 407155704 /nfs/dbraw/zinc/15/57/04/407155704.db2.gz JTDKBGCJZKOQBC-AWEZNQCLSA-N 1 2 316.405 1.275 20 30 DDEDLO COC(=O)[C@H]1CCC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000066833779 407260497 /nfs/dbraw/zinc/26/04/97/407260497.db2.gz LWVRJFOYUJGYTP-LBPRGKRZSA-N 1 2 319.361 1.592 20 30 DDEDLO COC(=O)[C@H]1CCC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000066833779 407260498 /nfs/dbraw/zinc/26/04/98/407260498.db2.gz LWVRJFOYUJGYTP-LBPRGKRZSA-N 1 2 319.361 1.592 20 30 DDEDLO C[C@@H]1CCCC[C@H]1OCCNC(=O)C[NH+]1CCC(C#N)CC1 ZINC000057874582 407218017 /nfs/dbraw/zinc/21/80/17/407218017.db2.gz PRNDOTAFDIYAHO-GDBMZVCRSA-N 1 2 307.438 1.933 20 30 DDEDLO COCCN(c1cc[nH+]cc1)S(=O)(=O)c1ccc(C#N)cc1 ZINC000127456692 407437693 /nfs/dbraw/zinc/43/76/93/407437693.db2.gz OOUCKIWCNQXWRD-UHFFFAOYSA-N 1 2 317.370 1.795 20 30 DDEDLO Cc1cc(N)nc(S[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)[nH+]1 ZINC000198117085 407471834 /nfs/dbraw/zinc/47/18/34/407471834.db2.gz UVIKZBTWPSJBLK-HZMBPMFUSA-N 1 2 307.423 1.902 20 30 DDEDLO CN(C)c1ncc(C[NH+]2CCN(c3ccccc3C#N)CC2)cn1 ZINC000271038478 407482165 /nfs/dbraw/zinc/48/21/65/407482165.db2.gz KXWZTKZHHBNZDT-UHFFFAOYSA-N 1 2 322.416 1.736 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@H+](C)CCOc1ccc(C#N)cc1 ZINC000113004382 407459009 /nfs/dbraw/zinc/45/90/09/407459009.db2.gz HXRIETFDQFITJW-ZDUSSCGKSA-N 1 2 310.419 1.692 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@@H+](C)CCOc1ccc(C#N)cc1 ZINC000113004382 407459014 /nfs/dbraw/zinc/45/90/14/407459014.db2.gz HXRIETFDQFITJW-ZDUSSCGKSA-N 1 2 310.419 1.692 20 30 DDEDLO C[N@@H+](CC(=O)OC(C)(C)C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000170646027 407462728 /nfs/dbraw/zinc/46/27/28/407462728.db2.gz WKWSESZHIQHEMH-CQSZACIVSA-N 1 2 320.389 1.571 20 30 DDEDLO C[N@H+](CC(=O)OC(C)(C)C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000170646027 407462732 /nfs/dbraw/zinc/46/27/32/407462732.db2.gz WKWSESZHIQHEMH-CQSZACIVSA-N 1 2 320.389 1.571 20 30 DDEDLO CC(C)NC(=O)CN1CC[NH+]([C@H](C)c2cccc(C#N)c2)CC1 ZINC000186286645 407628791 /nfs/dbraw/zinc/62/87/91/407628791.db2.gz FIERILIPOAIRMP-OAHLLOKOSA-N 1 2 314.433 1.761 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2OC)CC1 ZINC000115550348 407690018 /nfs/dbraw/zinc/69/00/18/407690018.db2.gz WMOOOZOTONNBKR-CYBMUJFWSA-N 1 2 319.380 1.094 20 30 DDEDLO C=C(C)COCCNc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000131986335 407765265 /nfs/dbraw/zinc/76/52/65/407765265.db2.gz XHKMCUREWKRDNK-CQSZACIVSA-N 1 2 306.410 1.690 20 30 DDEDLO C=C(C)COCCNc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000131986335 407765270 /nfs/dbraw/zinc/76/52/70/407765270.db2.gz XHKMCUREWKRDNK-CQSZACIVSA-N 1 2 306.410 1.690 20 30 DDEDLO CCNC(=O)C[N@H+](CC)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000133398657 407838456 /nfs/dbraw/zinc/83/84/56/407838456.db2.gz RBTZSYPIGGZZJV-GFCCVEGCSA-N 1 2 320.418 1.183 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000133398657 407838463 /nfs/dbraw/zinc/83/84/63/407838463.db2.gz RBTZSYPIGGZZJV-GFCCVEGCSA-N 1 2 320.418 1.183 20 30 DDEDLO CCS(=O)(=O)NCCC[N@H+](CCC#N)Cc1ccccn1 ZINC000133451204 407848109 /nfs/dbraw/zinc/84/81/09/407848109.db2.gz MTGOEEBNXGIKAY-UHFFFAOYSA-N 1 2 310.423 1.127 20 30 DDEDLO CCS(=O)(=O)NCCC[N@@H+](CCC#N)Cc1ccccn1 ZINC000133451204 407848114 /nfs/dbraw/zinc/84/81/14/407848114.db2.gz MTGOEEBNXGIKAY-UHFFFAOYSA-N 1 2 310.423 1.127 20 30 DDEDLO C=C(C)C[N@@H+](C)Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1 ZINC000181269307 407971167 /nfs/dbraw/zinc/97/11/67/407971167.db2.gz BJGPYWCIYLTIBA-UHFFFAOYSA-N 1 2 303.362 1.948 20 30 DDEDLO C=C(C)C[N@H+](C)Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1 ZINC000181269307 407971174 /nfs/dbraw/zinc/97/11/74/407971174.db2.gz BJGPYWCIYLTIBA-UHFFFAOYSA-N 1 2 303.362 1.948 20 30 DDEDLO CCN(CCC#N)C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000118996782 407974332 /nfs/dbraw/zinc/97/43/32/407974332.db2.gz IIXCHXWEFQRTFO-UHFFFAOYSA-N 1 2 317.393 1.994 20 30 DDEDLO CCOC(=O)C1(O)CC[NH+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000268170991 407927499 /nfs/dbraw/zinc/92/74/99/407927499.db2.gz AJTXYUZHACDVHU-UHFFFAOYSA-N 1 2 306.337 1.587 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)c1cccnc1 ZINC000174416623 407944799 /nfs/dbraw/zinc/94/47/99/407944799.db2.gz WIAMZBGBKJLWEI-HNNXBMFYSA-N 1 2 316.405 1.260 20 30 DDEDLO N#Cc1ccc(Cl)c(NC(=O)C(=O)NCCn2cc[nH+]c2)c1 ZINC000154389732 408029626 /nfs/dbraw/zinc/02/96/26/408029626.db2.gz CEWBFBLZUYBWMY-UHFFFAOYSA-N 1 2 317.736 1.163 20 30 DDEDLO Cn1ncc2c1CCC[C@H]2[N@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000135640206 408043963 /nfs/dbraw/zinc/04/39/63/408043963.db2.gz MHSVYGZEJLJOHX-PBHICJAKSA-N 1 2 315.421 1.538 20 30 DDEDLO Cn1ncc2c1CCC[C@H]2[N@@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000135640206 408043970 /nfs/dbraw/zinc/04/39/70/408043970.db2.gz MHSVYGZEJLJOHX-PBHICJAKSA-N 1 2 315.421 1.538 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)NC(=O)NCc2cccs2)CC1 ZINC000272644074 408004298 /nfs/dbraw/zinc/00/42/98/408004298.db2.gz YAAFUXVAPCBYEX-UHFFFAOYSA-N 1 2 320.418 1.700 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCOC2(C1)CCCCCC2 ZINC000119455959 408073106 /nfs/dbraw/zinc/07/31/06/408073106.db2.gz DVJIYTJCRYIELZ-CQSZACIVSA-N 1 2 323.437 1.812 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCOC2(C1)CCCCCC2 ZINC000119455959 408073112 /nfs/dbraw/zinc/07/31/12/408073112.db2.gz DVJIYTJCRYIELZ-CQSZACIVSA-N 1 2 323.437 1.812 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+][C@H](CO)c1c(F)cccc1F ZINC000273141628 408076892 /nfs/dbraw/zinc/07/68/92/408076892.db2.gz UNPVFZKWQXEDSR-CQSZACIVSA-N 1 2 310.344 1.788 20 30 DDEDLO CCC[N@H+](Cc1ccc(C#N)cc1OC)[C@@H]1CCS(=O)(=O)C1 ZINC000120630118 408134195 /nfs/dbraw/zinc/13/41/95/408134195.db2.gz HFTBZDMODPJAGF-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO CCC[N@@H+](Cc1ccc(C#N)cc1OC)[C@@H]1CCS(=O)(=O)C1 ZINC000120630118 408134203 /nfs/dbraw/zinc/13/42/03/408134203.db2.gz HFTBZDMODPJAGF-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2cccs2)CC1 ZINC000121303832 408181533 /nfs/dbraw/zinc/18/15/33/408181533.db2.gz DASZSWNDRGCZDB-ZDUSSCGKSA-N 1 2 306.435 1.631 20 30 DDEDLO C#CCSCCNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000121330960 408185996 /nfs/dbraw/zinc/18/59/96/408185996.db2.gz WLRYRPIGUPCLTM-CYBMUJFWSA-N 1 2 304.419 1.326 20 30 DDEDLO C[C@H](NC(=O)[C@H](C)O[NH+]=C(N)CCO)c1cc2ccccc2o1 ZINC000121338662 408186126 /nfs/dbraw/zinc/18/61/26/408186126.db2.gz OWCWMGMKTXKSBY-QWRGUYRKSA-N 1 2 319.361 1.670 20 30 DDEDLO Cc1cc(C(=O)CO[NH+]=C(N)CCO)c(C)n1CC(F)(F)F ZINC000121362802 408192316 /nfs/dbraw/zinc/19/23/16/408192316.db2.gz KNHXVFNJXSHSJD-UHFFFAOYSA-N 1 2 321.299 1.521 20 30 DDEDLO C=C(C)CNC(=O)N1CC[NH+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000156497187 408257662 /nfs/dbraw/zinc/25/76/62/408257662.db2.gz UERPIPJKOZRYRX-OAHLLOKOSA-N 1 2 322.453 1.291 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(F)ccc2[N+](=O)[O-])CC1 ZINC000263678688 408201827 /nfs/dbraw/zinc/20/18/27/408201827.db2.gz GRSABFNHTYWKPF-UHFFFAOYSA-N 1 2 305.309 1.515 20 30 DDEDLO CC(C)n1ccnc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000176266376 408325859 /nfs/dbraw/zinc/32/58/59/408325859.db2.gz SHKGSLUUBXHLJN-UHFFFAOYSA-N 1 2 305.426 1.512 20 30 DDEDLO CCC[C@H](C)NC(=O)[C@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158160265 408330947 /nfs/dbraw/zinc/33/09/47/408330947.db2.gz ILJKFKKLDKIQKZ-RYUDHWBXSA-N 1 2 319.405 1.952 20 30 DDEDLO N#Cc1ccc(C(F)(F)F)nc1NC[C@@H]1C[NH+]2CCN1CC2 ZINC000269528291 408343032 /nfs/dbraw/zinc/34/30/32/408343032.db2.gz XWRDRAYAPXHWRH-LLVKDONJSA-N 1 2 311.311 1.384 20 30 DDEDLO N#Cc1cccnc1N1CCC[N@@H+](Cc2nccc(N)n2)CC1 ZINC000135003041 162093171 /nfs/dbraw/zinc/09/31/71/162093171.db2.gz NIZZEKOISBZNKI-UHFFFAOYSA-N 1 2 309.377 1.038 20 30 DDEDLO N#Cc1cccnc1N1CCC[N@H+](Cc2nccc(N)n2)CC1 ZINC000135003041 162093176 /nfs/dbraw/zinc/09/31/76/162093176.db2.gz NIZZEKOISBZNKI-UHFFFAOYSA-N 1 2 309.377 1.038 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000183219843 408356983 /nfs/dbraw/zinc/35/69/83/408356983.db2.gz MIAAMMUTDZXTMQ-ZDUSSCGKSA-N 1 2 318.352 1.748 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000274364359 408378781 /nfs/dbraw/zinc/37/87/81/408378781.db2.gz TXPPMQBBXLBRIT-CQSZACIVSA-N 1 2 306.410 1.756 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCC(=O)OC)Cc1ccc(Cl)s1 ZINC000176844791 408457247 /nfs/dbraw/zinc/45/72/47/408457247.db2.gz JIWNJKWJDSLBGH-UHFFFAOYSA-N 1 2 316.810 1.679 20 30 DDEDLO C=CC[N@H+](CC(=O)NCC(=O)OC)Cc1ccc(Cl)s1 ZINC000176844791 408457252 /nfs/dbraw/zinc/45/72/52/408457252.db2.gz JIWNJKWJDSLBGH-UHFFFAOYSA-N 1 2 316.810 1.679 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC000191249521 408394220 /nfs/dbraw/zinc/39/42/20/408394220.db2.gz ORGORXDOXMYWEI-UHFFFAOYSA-N 1 2 313.405 1.819 20 30 DDEDLO Cc1noc(C)c1CN1CC[NH+](Cc2cc(C#N)n(C)c2)CC1 ZINC000191249521 408394226 /nfs/dbraw/zinc/39/42/26/408394226.db2.gz ORGORXDOXMYWEI-UHFFFAOYSA-N 1 2 313.405 1.819 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)c1ccc(F)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000264572321 408536699 /nfs/dbraw/zinc/53/66/99/408536699.db2.gz SFWPJERHCJZJCV-HUUCEWRRSA-N 1 2 313.394 1.534 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)c1ccc(F)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000264572321 408536705 /nfs/dbraw/zinc/53/67/05/408536705.db2.gz SFWPJERHCJZJCV-HUUCEWRRSA-N 1 2 313.394 1.534 20 30 DDEDLO CC(C)[N@H+](CC(N)=O)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000192530116 408604789 /nfs/dbraw/zinc/60/47/89/408604789.db2.gz HPUBQRYTRNJFMX-HNNXBMFYSA-N 1 2 314.389 1.249 20 30 DDEDLO CC(C)[N@@H+](CC(N)=O)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000192530116 408604798 /nfs/dbraw/zinc/60/47/98/408604798.db2.gz HPUBQRYTRNJFMX-HNNXBMFYSA-N 1 2 314.389 1.249 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+](C)[C@H]1CCN(C)C1=O ZINC000265653305 408732217 /nfs/dbraw/zinc/73/22/17/408732217.db2.gz OYFLIEHJSYXTJO-RISCZKNCSA-N 1 2 300.362 1.048 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+](C)[C@H]1CCN(C)C1=O ZINC000265653305 408732223 /nfs/dbraw/zinc/73/22/23/408732223.db2.gz OYFLIEHJSYXTJO-RISCZKNCSA-N 1 2 300.362 1.048 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)N[C@](C)(C#N)C1CC1)c1c(F)cncc1F ZINC000285617312 408829284 /nfs/dbraw/zinc/82/92/84/408829284.db2.gz KDNRPOIXQGARGI-RFAUZJTJSA-N 1 2 308.332 1.819 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000285783224 408863633 /nfs/dbraw/zinc/86/36/33/408863633.db2.gz LVKHNNLWUVMXHU-WCQYABFASA-N 1 2 303.362 1.395 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CCOC)Cc1cccnc1 ZINC000277029887 408904308 /nfs/dbraw/zinc/90/43/08/408904308.db2.gz FJDMSSMXEYXYLL-HNNXBMFYSA-N 1 2 318.421 1.538 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CCOC)Cc1cccnc1 ZINC000277029887 408904311 /nfs/dbraw/zinc/90/43/11/408904311.db2.gz FJDMSSMXEYXYLL-HNNXBMFYSA-N 1 2 318.421 1.538 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000286966326 409002053 /nfs/dbraw/zinc/00/20/53/409002053.db2.gz CJJKBPYMWRWJCY-MRXNPFEDSA-N 1 2 315.417 1.552 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1nc2c(cc1C#N)CCC2 ZINC000286464603 408988969 /nfs/dbraw/zinc/98/89/69/408988969.db2.gz VGKOFJRBIHPVOC-BBRMVZONSA-N 1 2 312.417 1.741 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000287466120 409040602 /nfs/dbraw/zinc/04/06/02/409040602.db2.gz MDGYNQWWWYKILO-KRWDZBQOSA-N 1 2 301.390 1.976 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CC[C@@H](CS(C)(=O)=O)C1 ZINC000292756103 409040568 /nfs/dbraw/zinc/04/05/68/409040568.db2.gz JAZPCIRADOLIIP-CQSZACIVSA-N 1 2 307.415 1.565 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CC[C@@H](CS(C)(=O)=O)C1 ZINC000292756103 409040572 /nfs/dbraw/zinc/04/05/72/409040572.db2.gz JAZPCIRADOLIIP-CQSZACIVSA-N 1 2 307.415 1.565 20 30 DDEDLO N#CCc1ccc(NC(=O)NCC2([NH+]3CCOCC3)CC2)cc1 ZINC000278255555 409077843 /nfs/dbraw/zinc/07/78/43/409077843.db2.gz YTJUNZQCBFXGNK-UHFFFAOYSA-N 1 2 314.389 1.739 20 30 DDEDLO COc1ccc(C(=O)N2CC[NH+](CCOCCC#N)CC2)cc1 ZINC000293437173 409113451 /nfs/dbraw/zinc/11/34/51/409113451.db2.gz JSKPONQAIGSORN-UHFFFAOYSA-N 1 2 317.389 1.383 20 30 DDEDLO COc1ccncc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000287966081 409123156 /nfs/dbraw/zinc/12/31/56/409123156.db2.gz YZWMPEKGBIGXIP-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO COc1ccncc1C[N@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000287966081 409123159 /nfs/dbraw/zinc/12/31/59/409123159.db2.gz YZWMPEKGBIGXIP-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO CCc1cc(N)nc(SCC(=O)N[C@@](C)(C#N)C2CC2)[nH+]1 ZINC000279128805 409154319 /nfs/dbraw/zinc/15/43/19/409154319.db2.gz VTALIQFYWPQGGX-AWEZNQCLSA-N 1 2 305.407 1.522 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(C=C)cc2)CC1 ZINC000289115910 409220731 /nfs/dbraw/zinc/22/07/31/409220731.db2.gz KCRASPXJQRVBOJ-UHFFFAOYSA-N 1 2 304.415 1.659 20 30 DDEDLO NC(=[NH+]OCC(=O)NCc1ccc(Cl)cc1)[C@@H]1CCCO1 ZINC000283961476 409248386 /nfs/dbraw/zinc/24/83/86/409248386.db2.gz GBPKFINKTPLPMC-LBPRGKRZSA-N 1 2 311.769 1.424 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCCC[C@@H]1c1nc(=O)[nH][nH]1 ZINC000289321476 409248410 /nfs/dbraw/zinc/24/84/10/409248410.db2.gz KBRBEBSMKJUPKS-CYBMUJFWSA-N 1 2 313.361 1.705 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCCC[C@@H]1c1nc(=O)[nH][nH]1 ZINC000289321476 409248413 /nfs/dbraw/zinc/24/84/13/409248413.db2.gz KBRBEBSMKJUPKS-CYBMUJFWSA-N 1 2 313.361 1.705 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCc3cccc(C#N)c3)C[C@@H]21 ZINC000284031662 409261695 /nfs/dbraw/zinc/26/16/95/409261695.db2.gz OGQMZFPZFRUEKP-JKSUJKDBSA-N 1 2 314.389 1.173 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCc3cccc(C#N)c3)C[C@@H]21 ZINC000284031662 409261696 /nfs/dbraw/zinc/26/16/96/409261696.db2.gz OGQMZFPZFRUEKP-JKSUJKDBSA-N 1 2 314.389 1.173 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000280258875 409280802 /nfs/dbraw/zinc/28/08/02/409280802.db2.gz NOSGHLAUUNNCKP-QLFBSQMISA-N 1 2 318.421 1.216 20 30 DDEDLO COc1ccc(C[N@@H+]2CCO[C@]3(CCO[C@H]3C)C2)cc1C#N ZINC000280306841 409289212 /nfs/dbraw/zinc/28/92/12/409289212.db2.gz FVVGJCNYZQFNFQ-SUMWQHHRSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C[N@H+]2CCO[C@]3(CCO[C@H]3C)C2)cc1C#N ZINC000280306841 409289213 /nfs/dbraw/zinc/28/92/13/409289213.db2.gz FVVGJCNYZQFNFQ-SUMWQHHRSA-N 1 2 302.374 1.947 20 30 DDEDLO C#CCO[C@H](C)C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000294470991 409291438 /nfs/dbraw/zinc/29/14/38/409291438.db2.gz NIEWQRDQXDJJGE-CQSZACIVSA-N 1 2 302.374 1.496 20 30 DDEDLO COc1nccc(C[NH+]2CCN([C@@H](C#N)c3ccccc3)CC2)n1 ZINC000294532721 409293132 /nfs/dbraw/zinc/29/31/32/409293132.db2.gz HITYEGNXVYKNMC-KRWDZBQOSA-N 1 2 323.400 1.868 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@@]2(CCO[C@H]2C)C1 ZINC000280530635 409344425 /nfs/dbraw/zinc/34/44/25/409344425.db2.gz SJBREBYJLUQGCE-GUYCJALGSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@@]2(CCO[C@H]2C)C1 ZINC000280530635 409344430 /nfs/dbraw/zinc/34/44/30/409344430.db2.gz SJBREBYJLUQGCE-GUYCJALGSA-N 1 2 302.374 1.947 20 30 DDEDLO NC(=[NH+]O[C@@H]1CCCN(c2cccc(F)c2)C1=O)[C@H]1CCCO1 ZINC000284596259 409351452 /nfs/dbraw/zinc/35/14/52/409351452.db2.gz YFJURZTXEWKJME-ZIAGYGMSSA-N 1 2 321.352 1.789 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3cccc(C#N)c3)C[C@H]21 ZINC000285379072 409417923 /nfs/dbraw/zinc/41/79/23/409417923.db2.gz XTHDUFXAOZNPCO-CABCVRRESA-N 1 2 300.362 1.495 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3cccc(C#N)c3)C[C@H]21 ZINC000285379072 409417929 /nfs/dbraw/zinc/41/79/29/409417929.db2.gz XTHDUFXAOZNPCO-CABCVRRESA-N 1 2 300.362 1.495 20 30 DDEDLO CCN(c1cc[nH+]cc1)S(=O)(=O)c1cnc(C)c(C#N)c1 ZINC000314909639 164017097 /nfs/dbraw/zinc/01/70/97/164017097.db2.gz OFSFEJRIRBLXRQ-UHFFFAOYSA-N 1 2 302.359 1.872 20 30 DDEDLO COC1(C)CC[NH+](CCS(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000320649089 164042241 /nfs/dbraw/zinc/04/22/41/164042241.db2.gz WKKBIDIAEZJOKQ-UHFFFAOYSA-N 1 2 322.430 1.833 20 30 DDEDLO Cc1onc(CC(=O)NCc2cn3ccc(C)cc3[nH+]2)c1C#N ZINC000353880939 409534761 /nfs/dbraw/zinc/53/47/61/409534761.db2.gz LTBREEMWJWXVTP-UHFFFAOYSA-N 1 2 309.329 1.670 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000342277468 409570451 /nfs/dbraw/zinc/57/04/51/409570451.db2.gz DMRBHKGZPMCZRX-VGWMRTNUSA-N 1 2 308.422 1.289 20 30 DDEDLO CN(C)c1[nH+]cccc1CNS(=O)(=O)CC1(C#N)CCC1 ZINC000354114361 409665069 /nfs/dbraw/zinc/66/50/69/409665069.db2.gz GIQKTNLCVKQHFQ-UHFFFAOYSA-N 1 2 308.407 1.261 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)CCc1ccc(C#N)cc1 ZINC000345387763 409676603 /nfs/dbraw/zinc/67/66/03/409676603.db2.gz ACEZAYIDOFVDKL-HNNXBMFYSA-N 1 2 315.417 1.670 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@@H](C)[C@H]2CO)c(C#N)c1C ZINC000305258792 409747116 /nfs/dbraw/zinc/74/71/16/409747116.db2.gz QDXOEDXWDGZMRO-QMTHXVAHSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@@H](C)[C@H]2CO)c(C#N)c1C ZINC000305258792 409747121 /nfs/dbraw/zinc/74/71/21/409747121.db2.gz QDXOEDXWDGZMRO-QMTHXVAHSA-N 1 2 305.378 1.799 20 30 DDEDLO N#CCNC(=O)C[N@@H+](CCO)Cc1ccc(Cl)c(Cl)c1 ZINC000305744985 409762936 /nfs/dbraw/zinc/76/29/36/409762936.db2.gz UZHSGKIWWRBILY-UHFFFAOYSA-N 1 2 316.188 1.427 20 30 DDEDLO N#CCNC(=O)C[N@H+](CCO)Cc1ccc(Cl)c(Cl)c1 ZINC000305744985 409762941 /nfs/dbraw/zinc/76/29/41/409762941.db2.gz UZHSGKIWWRBILY-UHFFFAOYSA-N 1 2 316.188 1.427 20 30 DDEDLO C[C@@H]1CC[S@@](=O)CC[N@@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000332045480 409831527 /nfs/dbraw/zinc/83/15/27/409831527.db2.gz WLBSNGNUPUGAGT-DZKLMBRESA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[S@@](=O)CC[N@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000332045480 409831533 /nfs/dbraw/zinc/83/15/33/409831533.db2.gz WLBSNGNUPUGAGT-DZKLMBRESA-N 1 2 322.430 1.141 20 30 DDEDLO CN(CCOCc1ccc(C#N)cc1)C(=O)CCn1cc[nH+]c1 ZINC000346199131 409854598 /nfs/dbraw/zinc/85/45/98/409854598.db2.gz LFCUIAJMVFLCKE-UHFFFAOYSA-N 1 2 312.373 1.820 20 30 DDEDLO Nc1nccc([C@@H]2CCC[N@H+](CC(=O)NC(=O)NC3CC3)C2)n1 ZINC000328710840 409960110 /nfs/dbraw/zinc/96/01/10/409960110.db2.gz AVYCCGZWDWGDEV-SNVBAGLBSA-N 1 2 318.381 1.271 20 30 DDEDLO Nc1nccc([C@@H]2CCC[N@@H+](CC(=O)NC(=O)NC3CC3)C2)n1 ZINC000328710840 409960115 /nfs/dbraw/zinc/96/01/15/409960115.db2.gz AVYCCGZWDWGDEV-SNVBAGLBSA-N 1 2 318.381 1.271 20 30 DDEDLO Cc1cccc2[nH+]c(CNC(=O)N3C[C@@H]4COC[C@H](C3)O4)cn21 ZINC000328728050 409963975 /nfs/dbraw/zinc/96/39/75/409963975.db2.gz GPRIWTYXEPFBEL-OKILXGFUSA-N 1 2 316.361 1.156 20 30 DDEDLO Cc1ccn2cc(CNC(=O)N3C[C@@H]4COC[C@H](C3)O4)[nH+]c2c1 ZINC000328744197 409968095 /nfs/dbraw/zinc/96/80/95/409968095.db2.gz OUTHBYMHLNTBCY-OKILXGFUSA-N 1 2 316.361 1.156 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cc(C(C)(C)C)on2)CC1 ZINC000351346745 410064511 /nfs/dbraw/zinc/06/45/11/410064511.db2.gz ZSLXKJRNAAWOLX-UHFFFAOYSA-N 1 2 320.437 1.392 20 30 DDEDLO C=CCCCCNC(=O)N1CC[NH+]([C@@H](C)C(=O)NC2CC2)CC1 ZINC000351689446 410151244 /nfs/dbraw/zinc/15/12/44/410151244.db2.gz AQHCVEJFGZUEJU-AWEZNQCLSA-N 1 2 322.453 1.337 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1cnc2c(c1)NC(=O)CO2 ZINC000329089769 410093791 /nfs/dbraw/zinc/09/37/91/410093791.db2.gz IPODTRONTLFJNS-JTQLQIEISA-N 1 2 320.349 1.087 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1cnc2c(c1)NC(=O)CO2 ZINC000329089769 410093796 /nfs/dbraw/zinc/09/37/96/410093796.db2.gz IPODTRONTLFJNS-JTQLQIEISA-N 1 2 320.349 1.087 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000298273430 410162982 /nfs/dbraw/zinc/16/29/82/410162982.db2.gz GSWDEDPTCIAURQ-GOEBONIOSA-N 1 2 301.390 1.820 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000298273430 410162985 /nfs/dbraw/zinc/16/29/85/410162985.db2.gz GSWDEDPTCIAURQ-GOEBONIOSA-N 1 2 301.390 1.820 20 30 DDEDLO CC1CC(NC(=O)NCC[NH+]2CCN(C(=O)C3CCC3)CC2)C1 ZINC000329281546 410210007 /nfs/dbraw/zinc/21/00/07/410210007.db2.gz MPBDGKHACIYFIC-UHFFFAOYSA-N 1 2 322.453 1.233 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)NCC(=O)C2CC2)CC1 ZINC000329334656 410240231 /nfs/dbraw/zinc/24/02/31/410240231.db2.gz ODVSDYROYHBXPP-UHFFFAOYSA-N 1 2 304.394 1.568 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC(C(=O)NCC(=O)C2CC2)CC1 ZINC000329334656 410240237 /nfs/dbraw/zinc/24/02/37/410240237.db2.gz ODVSDYROYHBXPP-UHFFFAOYSA-N 1 2 304.394 1.568 20 30 DDEDLO CN(C)C(=O)[C@H]1CC[C@@H](C(=O)NC[C@H]2CCCn3cc[nH+]c32)O1 ZINC000329391461 410272155 /nfs/dbraw/zinc/27/21/55/410272155.db2.gz SUSMOJIVLKZBTH-FRRDWIJNSA-N 1 2 320.393 1.353 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCN2CCOC[C@@H]2C1 ZINC000329403235 410279786 /nfs/dbraw/zinc/27/97/86/410279786.db2.gz CBGKFLHJDDCTPH-BBRMVZONSA-N 1 2 314.389 1.551 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCN2CCOC[C@@H]2C1 ZINC000329403235 410279792 /nfs/dbraw/zinc/27/97/92/410279792.db2.gz CBGKFLHJDDCTPH-BBRMVZONSA-N 1 2 314.389 1.551 20 30 DDEDLO O=C(CSc1n[nH]c(=O)[nH]1)NC1CC([NH+]2CCCCC2)C1 ZINC000329534795 410346516 /nfs/dbraw/zinc/34/65/16/410346516.db2.gz YVUPNEFTXKXDIX-UHFFFAOYSA-N 1 2 311.411 1.576 20 30 DDEDLO NC(=O)[C@@H]1CC[C@H](C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)O1 ZINC000329535352 410348225 /nfs/dbraw/zinc/34/82/25/410348225.db2.gz LINOMOBLIBQWCL-GRYCIOLGSA-N 1 2 304.350 1.700 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@@H+]([C@H](C)CCC#N)C2 ZINC000298579204 410300561 /nfs/dbraw/zinc/30/05/61/410300561.db2.gz QXDIMZHHWSHUQH-GFCCVEGCSA-N 1 2 307.419 1.645 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@H+]([C@H](C)CCC#N)C2 ZINC000298579204 410300565 /nfs/dbraw/zinc/30/05/65/410300565.db2.gz QXDIMZHHWSHUQH-GFCCVEGCSA-N 1 2 307.419 1.645 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)[C@]1(C#N)CC12CCCC2 ZINC000333118266 410366835 /nfs/dbraw/zinc/36/68/35/410366835.db2.gz UNLKPZPVJIASGL-RVKKMQEKSA-N 1 2 317.433 1.782 20 30 DDEDLO N#CCN1CCC[C@@H](CNC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000358185172 410395940 /nfs/dbraw/zinc/39/59/40/410395940.db2.gz PFCVIUYZFUSOMI-HNNXBMFYSA-N 1 2 323.400 1.838 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)[C@@H](C)[N@H+](C)CC(N)=O)cc1 ZINC000299047438 410498759 /nfs/dbraw/zinc/49/87/59/410498759.db2.gz OVUFMDRDCSNMEJ-CYBMUJFWSA-N 1 2 302.378 1.047 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)[C@@H](C)[N@@H+](C)CC(N)=O)cc1 ZINC000299047438 410498764 /nfs/dbraw/zinc/49/87/64/410498764.db2.gz OVUFMDRDCSNMEJ-CYBMUJFWSA-N 1 2 302.378 1.047 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)CCO2 ZINC000329937156 410489757 /nfs/dbraw/zinc/48/97/57/410489757.db2.gz LIJVJUDWQRUSIC-RCBQFDQVSA-N 1 2 307.394 1.515 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)CCO2 ZINC000329937156 410489761 /nfs/dbraw/zinc/48/97/61/410489761.db2.gz LIJVJUDWQRUSIC-RCBQFDQVSA-N 1 2 307.394 1.515 20 30 DDEDLO C[C@@H](NC(=O)Cc1c(F)cccc1C#N)[C@@H](C)[NH+]1CCOCC1 ZINC000352260900 410585274 /nfs/dbraw/zinc/58/52/74/410585274.db2.gz FZFWEWTYIKPLRQ-CHWSQXEVSA-N 1 2 319.380 1.465 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+]([C@@H]2CCCNC2=O)CC1 ZINC000330141555 410559783 /nfs/dbraw/zinc/55/97/83/410559783.db2.gz XQTRKIHPSFJTKL-OAHLLOKOSA-N 1 2 302.353 1.938 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1cc(C#N)ccc1Cl ZINC000352584809 410668955 /nfs/dbraw/zinc/66/89/55/410668955.db2.gz WKJHQYKXSKYOAQ-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1cc(C#N)ccc1Cl ZINC000352584809 410668964 /nfs/dbraw/zinc/66/89/64/410668964.db2.gz WKJHQYKXSKYOAQ-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO CC(C)(CNC(=O)N1CCC(C)(C#N)CC1)[NH+]1CCOCC1 ZINC000355794896 410684962 /nfs/dbraw/zinc/68/49/62/410684962.db2.gz ZKCPTNDIVVSLKG-UHFFFAOYSA-N 1 2 308.426 1.432 20 30 DDEDLO N#Cc1ccnc(NC[C@@H]2C[N@@H+](C3CC3)CCO2)c1[N+](=O)[O-] ZINC000301672308 410766227 /nfs/dbraw/zinc/76/62/27/410766227.db2.gz QZBWHAWXGABSSJ-GFCCVEGCSA-N 1 2 303.322 1.137 20 30 DDEDLO N#Cc1ccnc(NC[C@@H]2C[N@H+](C3CC3)CCO2)c1[N+](=O)[O-] ZINC000301672308 410766239 /nfs/dbraw/zinc/76/62/39/410766239.db2.gz QZBWHAWXGABSSJ-GFCCVEGCSA-N 1 2 303.322 1.137 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@@H]2CNc2ccc(C#N)cn2)cn1 ZINC000341738398 411051912 /nfs/dbraw/zinc/05/19/12/411051912.db2.gz NFLMHUWPDUTVPJ-LSDHHAIUSA-N 1 2 314.368 1.711 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@@H]2CNc2ccc(C#N)cn2)cn1 ZINC000341738398 411051916 /nfs/dbraw/zinc/05/19/16/411051916.db2.gz NFLMHUWPDUTVPJ-LSDHHAIUSA-N 1 2 314.368 1.711 20 30 DDEDLO CC(=O)NCC[N@@H+](C)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000579953791 422864258 /nfs/dbraw/zinc/86/42/58/422864258.db2.gz KMNHMINKBIZPHR-UHFFFAOYSA-N 1 2 308.769 1.218 20 30 DDEDLO CC(=O)NCC[N@H+](C)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000579953791 422864273 /nfs/dbraw/zinc/86/42/73/422864273.db2.gz KMNHMINKBIZPHR-UHFFFAOYSA-N 1 2 308.769 1.218 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NCc1[nH+]cc(C)n1C ZINC000341394761 411037664 /nfs/dbraw/zinc/03/76/64/411037664.db2.gz NMZCASUUNCFACD-UHFFFAOYSA-N 1 2 307.361 2.101 20 30 DDEDLO CCOC(=O)[C@H](C)[N@H+](C)CCOc1ccc(C#N)cc1OC ZINC000353658055 411099918 /nfs/dbraw/zinc/09/99/18/411099918.db2.gz PDFSMYZKXRGIFR-LBPRGKRZSA-N 1 2 306.362 1.829 20 30 DDEDLO CCOC(=O)[C@H](C)[N@@H+](C)CCOc1ccc(C#N)cc1OC ZINC000353658055 411099921 /nfs/dbraw/zinc/09/99/21/411099921.db2.gz PDFSMYZKXRGIFR-LBPRGKRZSA-N 1 2 306.362 1.829 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)[C@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000356640223 411114550 /nfs/dbraw/zinc/11/45/50/411114550.db2.gz ZYWRZWMZARUATQ-STQMWFEESA-N 1 2 305.422 1.939 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)[C@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000356640223 411114553 /nfs/dbraw/zinc/11/45/53/411114553.db2.gz ZYWRZWMZARUATQ-STQMWFEESA-N 1 2 305.422 1.939 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)NCCc2cn3ccccc3[nH+]2)n1 ZINC000610561911 416658086 /nfs/dbraw/zinc/65/80/86/416658086.db2.gz SRFUTOXNMRMREJ-UHFFFAOYSA-N 1 2 309.333 1.304 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCC[C@H](O)[C@H]2C(=O)OC)cc1 ZINC000188783334 222025342 /nfs/dbraw/zinc/02/53/42/222025342.db2.gz TXQFEZZWTGGXPV-IRXDYDNUSA-N 1 2 317.385 1.587 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCC[C@H](O)[C@H]2C(=O)OC)cc1 ZINC000188783334 222025347 /nfs/dbraw/zinc/02/53/47/222025347.db2.gz TXQFEZZWTGGXPV-IRXDYDNUSA-N 1 2 317.385 1.587 20 30 DDEDLO COc1cc(C[N@@H+]2Cc3ccccc3C[C@H]2C(N)=O)ccc1C#N ZINC000367043408 418553954 /nfs/dbraw/zinc/55/39/54/418553954.db2.gz PXHYKMAEALRRLP-KRWDZBQOSA-N 1 2 321.380 1.979 20 30 DDEDLO COc1cc(C[N@H+]2Cc3ccccc3C[C@H]2C(N)=O)ccc1C#N ZINC000367043408 418553955 /nfs/dbraw/zinc/55/39/55/418553955.db2.gz PXHYKMAEALRRLP-KRWDZBQOSA-N 1 2 321.380 1.979 20 30 DDEDLO CCO[C@@H]1C[C@]([NH2+]CCCSCC#N)(C(=O)OC)C1(C)C ZINC000189863732 222059344 /nfs/dbraw/zinc/05/93/44/222059344.db2.gz DKSWCXWBXFDOGK-DOMZBBRYSA-N 1 2 314.451 1.970 20 30 DDEDLO C=CCN(CCOC)C(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000361069029 418577211 /nfs/dbraw/zinc/57/72/11/418577211.db2.gz OCTIEGATANWVDY-UHFFFAOYSA-N 1 2 318.417 1.793 20 30 DDEDLO C=CCNC(=O)C[NH2+]Cc1c(F)cccc1Br ZINC000192671712 222133457 /nfs/dbraw/zinc/13/34/57/222133457.db2.gz YHPUYGZIKLIQHO-UHFFFAOYSA-N 1 2 301.159 1.980 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)N[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000367320063 418584874 /nfs/dbraw/zinc/58/48/74/418584874.db2.gz PPMXXVFREXTZOY-ZIAGYGMSSA-N 1 2 301.394 1.851 20 30 DDEDLO Cn1ncc2c1CCC[C@@H]2[N@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000246270382 222221844 /nfs/dbraw/zinc/22/18/44/222221844.db2.gz MHSVYGZEJLJOHX-YOEHRIQHSA-N 1 2 315.421 1.538 20 30 DDEDLO Cn1ncc2c1CCC[C@@H]2[N@@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000246270382 222221847 /nfs/dbraw/zinc/22/18/47/222221847.db2.gz MHSVYGZEJLJOHX-YOEHRIQHSA-N 1 2 315.421 1.538 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCO[C@@H]2CC[C@H](OC)C[C@@H]21 ZINC000246959544 222225893 /nfs/dbraw/zinc/22/58/93/222225893.db2.gz SNQOQVICQDGCLG-HRCADAONSA-N 1 2 308.422 1.455 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@H](OC)C[C@@H]21 ZINC000246959544 222225896 /nfs/dbraw/zinc/22/58/96/222225896.db2.gz SNQOQVICQDGCLG-HRCADAONSA-N 1 2 308.422 1.455 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000247481285 222229516 /nfs/dbraw/zinc/22/95/16/222229516.db2.gz WCJYFBBPGZMHGH-HRCADAONSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000247481285 222229519 /nfs/dbraw/zinc/22/95/19/222229519.db2.gz WCJYFBBPGZMHGH-HRCADAONSA-N 1 2 310.438 1.679 20 30 DDEDLO CC1(C#N)CN(C(=O)c2ccc(-n3cc[nH+]c3)c([N+](=O)[O-])c2)C1 ZINC000361210558 418605519 /nfs/dbraw/zinc/60/55/19/418605519.db2.gz YMCFUPDRUAGVPM-UHFFFAOYSA-N 1 2 311.301 1.766 20 30 DDEDLO CCn1cc(C[N@H+](CCO)Cc2cc(C#N)ccc2OC)cn1 ZINC000361356948 418634474 /nfs/dbraw/zinc/63/44/74/418634474.db2.gz PWTVLOYAIBCMRB-UHFFFAOYSA-N 1 2 314.389 1.778 20 30 DDEDLO CCn1cc(C[N@@H+](CCO)Cc2cc(C#N)ccc2OC)cn1 ZINC000361356948 418634478 /nfs/dbraw/zinc/63/44/78/418634478.db2.gz PWTVLOYAIBCMRB-UHFFFAOYSA-N 1 2 314.389 1.778 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)C#Cc2ccc3c(c2)OCO3)C1 ZINC000378265760 418720394 /nfs/dbraw/zinc/72/03/94/418720394.db2.gz OQRXMDULVQHPOV-CQSZACIVSA-N 1 2 323.352 1.516 20 30 DDEDLO CC1(C#N)CCN(C(=O)[C@@H]2COCC[N@@H+]2CC2CCC2)CC1 ZINC000376978384 418702917 /nfs/dbraw/zinc/70/29/17/418702917.db2.gz JNBOCGWSTXNYMO-HNNXBMFYSA-N 1 2 305.422 1.640 20 30 DDEDLO CC1(C#N)CCN(C(=O)[C@@H]2COCC[N@H+]2CC2CCC2)CC1 ZINC000376978384 418702919 /nfs/dbraw/zinc/70/29/19/418702919.db2.gz JNBOCGWSTXNYMO-HNNXBMFYSA-N 1 2 305.422 1.640 20 30 DDEDLO CS[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000382883342 418732156 /nfs/dbraw/zinc/73/21/56/418732156.db2.gz FZBQKPPPQCMRAA-ZDUSSCGKSA-N 1 2 310.444 1.769 20 30 DDEDLO CS[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000382883342 418732159 /nfs/dbraw/zinc/73/21/59/418732159.db2.gz FZBQKPPPQCMRAA-ZDUSSCGKSA-N 1 2 310.444 1.769 20 30 DDEDLO C#CC[C@H](C)NC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000369896547 418739156 /nfs/dbraw/zinc/73/91/56/418739156.db2.gz RWFOWLDBIHKHAQ-RDJZCZTQSA-N 1 2 315.417 1.598 20 30 DDEDLO C#CC[C@H](C)NC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000369896547 418739159 /nfs/dbraw/zinc/73/91/59/418739159.db2.gz RWFOWLDBIHKHAQ-RDJZCZTQSA-N 1 2 315.417 1.598 20 30 DDEDLO CC1(C)C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1O ZINC000388154944 418745283 /nfs/dbraw/zinc/74/52/83/418745283.db2.gz IJAWPADERPIYEI-AWEZNQCLSA-N 1 2 308.403 1.035 20 30 DDEDLO CC1(C)C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1O ZINC000388154944 418745285 /nfs/dbraw/zinc/74/52/85/418745285.db2.gz IJAWPADERPIYEI-AWEZNQCLSA-N 1 2 308.403 1.035 20 30 DDEDLO Cc1n[nH]c(C)c1[C@H]1COCC[N@H+]1CCC(=O)N(C)CCC#N ZINC000362361571 418753026 /nfs/dbraw/zinc/75/30/26/418753026.db2.gz RMKWIJPFSDQZFG-CQSZACIVSA-N 1 2 319.409 1.162 20 30 DDEDLO Cc1n[nH]c(C)c1[C@H]1COCC[N@@H+]1CCC(=O)N(C)CCC#N ZINC000362361571 418753029 /nfs/dbraw/zinc/75/30/29/418753029.db2.gz RMKWIJPFSDQZFG-CQSZACIVSA-N 1 2 319.409 1.162 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCS[C@@H](c2ccccc2)C1 ZINC000364596097 418790420 /nfs/dbraw/zinc/79/04/20/418790420.db2.gz RWEHXQCBBFGIAR-CQSZACIVSA-N 1 2 319.430 1.788 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCS[C@@H](c2ccccc2)C1 ZINC000364596097 418790424 /nfs/dbraw/zinc/79/04/24/418790424.db2.gz RWEHXQCBBFGIAR-CQSZACIVSA-N 1 2 319.430 1.788 20 30 DDEDLO Cc1n[nH]cc1C[N@@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000371469996 418794364 /nfs/dbraw/zinc/79/43/64/418794364.db2.gz HWHSNRLCXAUGNP-SUMWQHHRSA-N 1 2 307.357 1.564 20 30 DDEDLO Cc1n[nH]cc1C[N@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000371469996 418794366 /nfs/dbraw/zinc/79/43/66/418794366.db2.gz HWHSNRLCXAUGNP-SUMWQHHRSA-N 1 2 307.357 1.564 20 30 DDEDLO Cc1cc(N2CC[NH+](CC(=O)N3CCCC3)CC2)c(C#N)cn1 ZINC000425201611 228389697 /nfs/dbraw/zinc/38/96/97/228389697.db2.gz NPXCJHAHBJUEJE-UHFFFAOYSA-N 1 2 313.405 1.006 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCO[C@@H]([C@H](C)O)C1 ZINC000412099386 419656640 /nfs/dbraw/zinc/65/66/40/419656640.db2.gz VBWWNUPVESZUPQ-BLLLJJGKSA-N 1 2 306.362 1.027 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCO[C@@H]([C@H](C)O)C1 ZINC000412099386 419656650 /nfs/dbraw/zinc/65/66/50/419656650.db2.gz VBWWNUPVESZUPQ-BLLLJJGKSA-N 1 2 306.362 1.027 20 30 DDEDLO C=C[C@@H](CO)NC(=O)c1c2ccccc2nc2c1C[N@H+](C)CC2 ZINC000416234014 420290634 /nfs/dbraw/zinc/29/06/34/420290634.db2.gz LNTQHBGKVGMATD-LBPRGKRZSA-N 1 2 311.385 1.499 20 30 DDEDLO C=C[C@@H](CO)NC(=O)c1c2ccccc2nc2c1C[N@@H+](C)CC2 ZINC000416234014 420290637 /nfs/dbraw/zinc/29/06/37/420290637.db2.gz LNTQHBGKVGMATD-LBPRGKRZSA-N 1 2 311.385 1.499 20 30 DDEDLO Cc1cccc(C[NH+]2CCN(S(=O)(=O)[C@@H](C)C#N)CC2)c1 ZINC000416372929 420346474 /nfs/dbraw/zinc/34/64/74/420346474.db2.gz OKNYWZFYSTVXCL-AWEZNQCLSA-N 1 2 307.419 1.355 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2c(F)cc(C)cc2F)CC1 ZINC000425328397 420353958 /nfs/dbraw/zinc/35/39/58/420353958.db2.gz QWSAJZZSTHAERS-UHFFFAOYSA-N 1 2 323.387 1.693 20 30 DDEDLO CCC(CC)C[N@H+](CCC#N)CCN1CCCS1(=O)=O ZINC000437577229 420412725 /nfs/dbraw/zinc/41/27/25/420412725.db2.gz JLSXRTJWQBOGEZ-UHFFFAOYSA-N 1 2 301.456 1.674 20 30 DDEDLO CCC(CC)C[N@@H+](CCC#N)CCN1CCCS1(=O)=O ZINC000437577229 420412728 /nfs/dbraw/zinc/41/27/28/420412728.db2.gz JLSXRTJWQBOGEZ-UHFFFAOYSA-N 1 2 301.456 1.674 20 30 DDEDLO Cn1nccc1[C@H]1[C@H]([NH2+]CC2(C#N)CCC2)CC(=O)N1C1CC1 ZINC000450954110 420568524 /nfs/dbraw/zinc/56/85/24/420568524.db2.gz BECSPWBFXBZNIV-CZUORRHYSA-N 1 2 313.405 1.508 20 30 DDEDLO N#CCOc1ccc(/C=C\C(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000493167909 420776423 /nfs/dbraw/zinc/77/64/23/420776423.db2.gz QOPURLJAFIORPS-BYPUDFOVSA-N 1 2 322.368 1.930 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)N[C@H]2CC[C@@H](CC#N)C2)CCO1 ZINC000459005866 420780053 /nfs/dbraw/zinc/78/00/53/420780053.db2.gz INGFULUQJWHSRN-KKUMJFAQSA-N 1 2 308.426 1.479 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)N[C@H]2CC[C@@H](CC#N)C2)CCO1 ZINC000459005866 420780055 /nfs/dbraw/zinc/78/00/55/420780055.db2.gz INGFULUQJWHSRN-KKUMJFAQSA-N 1 2 308.426 1.479 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](CCOC)Cc1cncs1 ZINC000447849047 420807178 /nfs/dbraw/zinc/80/71/78/420807178.db2.gz GGGXEFDXPLGYPO-CYBMUJFWSA-N 1 2 324.450 1.600 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CCOC)Cc1cncs1 ZINC000447849047 420807181 /nfs/dbraw/zinc/80/71/81/420807181.db2.gz GGGXEFDXPLGYPO-CYBMUJFWSA-N 1 2 324.450 1.600 20 30 DDEDLO CCNC(=O)OC[C@@H]1CCCC[N@@H+]1CC(=O)NC1(C#N)CCC1 ZINC000495678853 421046087 /nfs/dbraw/zinc/04/60/87/421046087.db2.gz DGJAKTBKTPZGOY-ZDUSSCGKSA-N 1 2 322.409 1.149 20 30 DDEDLO CCNC(=O)OC[C@@H]1CCCC[N@H+]1CC(=O)NC1(C#N)CCC1 ZINC000495678853 421046089 /nfs/dbraw/zinc/04/60/89/421046089.db2.gz DGJAKTBKTPZGOY-ZDUSSCGKSA-N 1 2 322.409 1.149 20 30 DDEDLO CS(=O)(=O)c1cccnc1C[NH2+]Cc1cccc(C#N)c1 ZINC000487203547 421010552 /nfs/dbraw/zinc/01/05/52/421010552.db2.gz UPPIBPGUHWHSMJ-UHFFFAOYSA-N 1 2 301.371 1.647 20 30 DDEDLO N#Cc1ccc(NC(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)cc1F ZINC000455453609 421017122 /nfs/dbraw/zinc/01/71/22/421017122.db2.gz BPMPJCCUYMJWIK-CQSZACIVSA-N 1 2 318.352 1.682 20 30 DDEDLO N#Cc1ccc(NC(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)cc1F ZINC000455453609 421017126 /nfs/dbraw/zinc/01/71/26/421017126.db2.gz BPMPJCCUYMJWIK-CQSZACIVSA-N 1 2 318.352 1.682 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NC[C@H](C)[NH+]2CCOCC2)c1 ZINC000455997566 421085748 /nfs/dbraw/zinc/08/57/48/421085748.db2.gz JKFJKIGXJRVKOK-ZDUSSCGKSA-N 1 2 302.378 1.709 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)CCO1 ZINC000488309554 421081524 /nfs/dbraw/zinc/08/15/24/421081524.db2.gz DZPHOWPMXAEUIU-AWEZNQCLSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)CCO1 ZINC000488309554 421081527 /nfs/dbraw/zinc/08/15/27/421081527.db2.gz DZPHOWPMXAEUIU-AWEZNQCLSA-N 1 2 320.368 1.930 20 30 DDEDLO COCC[N@H+](CC(=O)Nc1sccc1C#N)[C@@H]1CCO[C@@H]1C ZINC000489463838 421157839 /nfs/dbraw/zinc/15/78/39/421157839.db2.gz AHPDKGODRBFNQR-DGCLKSJQSA-N 1 2 323.418 1.684 20 30 DDEDLO COCC[N@@H+](CC(=O)Nc1sccc1C#N)[C@@H]1CCO[C@@H]1C ZINC000489463838 421157842 /nfs/dbraw/zinc/15/78/42/421157842.db2.gz AHPDKGODRBFNQR-DGCLKSJQSA-N 1 2 323.418 1.684 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)c1F ZINC000528777914 421515687 /nfs/dbraw/zinc/51/56/87/421515687.db2.gz FVXSTOUTDPKERR-KGLIPLIRSA-N 1 2 308.378 1.849 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)c1F ZINC000528777914 421515690 /nfs/dbraw/zinc/51/56/90/421515690.db2.gz FVXSTOUTDPKERR-KGLIPLIRSA-N 1 2 308.378 1.849 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1)C1CC1 ZINC000528790264 421519347 /nfs/dbraw/zinc/51/93/47/421519347.db2.gz KZPXAQVCJCMHGD-CZUORRHYSA-N 1 2 315.377 1.128 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1)C1CC1 ZINC000528790264 421519349 /nfs/dbraw/zinc/51/93/49/421519349.db2.gz KZPXAQVCJCMHGD-CZUORRHYSA-N 1 2 315.377 1.128 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)C[C@H](CC#N)c2ccccc2)CCO1 ZINC000530278293 421590313 /nfs/dbraw/zinc/59/03/13/421590313.db2.gz QCRHLXYDHCLNKH-WBVHZDCISA-N 1 2 315.417 1.911 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)C[C@H](CC#N)c2ccccc2)CCO1 ZINC000530278293 421590317 /nfs/dbraw/zinc/59/03/17/421590317.db2.gz QCRHLXYDHCLNKH-WBVHZDCISA-N 1 2 315.417 1.911 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](CO)[C@@H](O)C2)c(OC(F)F)c1 ZINC000563769729 421540408 /nfs/dbraw/zinc/54/04/08/421540408.db2.gz HAMDISWSPLDHFM-STQMWFEESA-N 1 2 312.316 1.335 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](CO)[C@@H](O)C2)c(OC(F)F)c1 ZINC000563769729 421540410 /nfs/dbraw/zinc/54/04/10/421540410.db2.gz HAMDISWSPLDHFM-STQMWFEESA-N 1 2 312.316 1.335 20 30 DDEDLO CC(C)C[C@H](CNC(=O)C1(C#N)CC(C)C1)[NH+]1CCOCC1 ZINC000532073735 421647708 /nfs/dbraw/zinc/64/77/08/421647708.db2.gz SQKWISIJUDWBSL-ISXOHVOVSA-N 1 2 307.438 1.789 20 30 DDEDLO N#CC1(NS(=O)(=O)c2ccccc2Cn2cc[nH+]c2)CC1 ZINC000539813346 421753688 /nfs/dbraw/zinc/75/36/88/421753688.db2.gz MRXFTMVQNJOYGJ-UHFFFAOYSA-N 1 2 302.359 1.266 20 30 DDEDLO Cc1nn(C)c2sc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc12 ZINC000558899087 421827307 /nfs/dbraw/zinc/82/73/07/421827307.db2.gz PZNKPEQJEROFIQ-CQSZACIVSA-N 1 2 305.407 1.517 20 30 DDEDLO C[C@H](NC(=O)C1CCCCC1)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000572726092 421848315 /nfs/dbraw/zinc/84/83/15/421848315.db2.gz JAQKXRMQGDKURC-LRDDRELGSA-N 1 2 308.426 1.031 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCC[C@@H](n3ccnn3)C2)cc1 ZINC000573085756 421913294 /nfs/dbraw/zinc/91/32/94/421913294.db2.gz WVMSBBHXRRJSCX-OAHLLOKOSA-N 1 2 310.361 1.425 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCC[C@@H](n3ccnn3)C2)cc1 ZINC000573085756 421913298 /nfs/dbraw/zinc/91/32/98/421913298.db2.gz WVMSBBHXRRJSCX-OAHLLOKOSA-N 1 2 310.361 1.425 20 30 DDEDLO C=CCNC(=O)c1ccc(NCC[NH+]2CCOCC2)c(F)c1 ZINC000630409767 422083281 /nfs/dbraw/zinc/08/32/81/422083281.db2.gz GEDHHIODPZAYIM-UHFFFAOYSA-N 1 2 307.369 1.486 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000628577487 422235464 /nfs/dbraw/zinc/23/54/64/422235464.db2.gz ZIVZCMAPJTWVKC-QGZVFWFLSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000628577487 422235470 /nfs/dbraw/zinc/23/54/70/422235470.db2.gz ZIVZCMAPJTWVKC-QGZVFWFLSA-N 1 2 310.438 1.321 20 30 DDEDLO CCN(C)C(=O)c1cccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000584175776 422276910 /nfs/dbraw/zinc/27/69/10/422276910.db2.gz LEQFFINBGOSXMP-KRWDZBQOSA-N 1 2 316.405 1.352 20 30 DDEDLO CCc1nc(C#N)cc(NCc2ccc(N3CCOCC3)[nH+]c2)n1 ZINC000596065829 422362990 /nfs/dbraw/zinc/36/29/90/422362990.db2.gz VDZBVXHJWYGVCR-UHFFFAOYSA-N 1 2 324.388 1.754 20 30 DDEDLO C[C@@H]1C[C@@H](NS(=O)(=O)c2ccc(F)c(C#N)c2)c2[nH+]ccn21 ZINC000577817771 422432177 /nfs/dbraw/zinc/43/21/77/422432177.db2.gz CNLLHILSHYVILE-NOZJJQNGSA-N 1 2 320.349 1.878 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](CCc3cnccn3)CC2)CCC1 ZINC000634509400 422462424 /nfs/dbraw/zinc/46/24/24/422462424.db2.gz KLGDWVKYGAWPFS-UHFFFAOYSA-N 1 2 314.433 1.910 20 30 DDEDLO CC(=O)NCC[N@@H+](C)[C@@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000578440905 422569779 /nfs/dbraw/zinc/56/97/79/422569779.db2.gz RZNDGEOIYFMQSR-JTQLQIEISA-N 1 2 306.366 1.163 20 30 DDEDLO CC(=O)NCC[N@H+](C)[C@@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000578440905 422569783 /nfs/dbraw/zinc/56/97/83/422569783.db2.gz RZNDGEOIYFMQSR-JTQLQIEISA-N 1 2 306.366 1.163 20 30 DDEDLO CC(=O)NCC[N@@H+](C)[C@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000578440906 422570631 /nfs/dbraw/zinc/57/06/31/422570631.db2.gz RZNDGEOIYFMQSR-SNVBAGLBSA-N 1 2 306.366 1.163 20 30 DDEDLO CC(=O)NCC[N@H+](C)[C@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000578440906 422570632 /nfs/dbraw/zinc/57/06/32/422570632.db2.gz RZNDGEOIYFMQSR-SNVBAGLBSA-N 1 2 306.366 1.163 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N[C@@H]2CCCC[C@@H]2C)nn1 ZINC000640829500 423216916 /nfs/dbraw/zinc/21/69/16/423216916.db2.gz MYFZDLGBHKXWQI-SQWLQELKSA-N 1 2 317.437 1.647 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(CC(C)C)C2CC2)nn1 ZINC000640971917 423305959 /nfs/dbraw/zinc/30/59/59/423305959.db2.gz SFVBILDERXEXDD-UHFFFAOYSA-N 1 2 303.410 1.038 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nnc(-c3ccccc3)s2)nn1 ZINC000641099713 423385646 /nfs/dbraw/zinc/38/56/46/423385646.db2.gz OJLVMGNWTRIIMX-UHFFFAOYSA-N 1 2 324.413 1.958 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)Cc2cccc(Cl)c2)nn1 ZINC000641227065 423494155 /nfs/dbraw/zinc/49/41/55/423494155.db2.gz PAKMGNYWYARMSO-INIZCTEOSA-N 1 2 318.808 1.648 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H]([C@H](O)C(F)(F)F)C2)nn1 ZINC000653643273 423563464 /nfs/dbraw/zinc/56/34/64/423563464.db2.gz KIJMAMDSNANQDF-AAEUAGOBSA-N 1 2 318.343 1.989 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H]([C@H](O)C(F)(F)F)C2)nn1 ZINC000653643273 423563471 /nfs/dbraw/zinc/56/34/71/423563471.db2.gz KIJMAMDSNANQDF-AAEUAGOBSA-N 1 2 318.343 1.989 20 30 DDEDLO C=CCC[C@@H](CO)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000641526829 423696479 /nfs/dbraw/zinc/69/64/79/423696479.db2.gz USJZAFLOOJMMQP-AWEZNQCLSA-N 1 2 324.446 1.280 20 30 DDEDLO C=CCC[C@@H](CO)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000641526829 423696482 /nfs/dbraw/zinc/69/64/82/423696482.db2.gz USJZAFLOOJMMQP-AWEZNQCLSA-N 1 2 324.446 1.280 20 30 DDEDLO Fc1ccc(C#CC[N@@H+]2CCO[C@H](Cn3ccnn3)C2)cc1 ZINC000639858362 423837050 /nfs/dbraw/zinc/83/70/50/423837050.db2.gz SENUQIGLHPPQEQ-INIZCTEOSA-N 1 2 300.337 1.170 20 30 DDEDLO Fc1ccc(C#CC[N@H+]2CCO[C@H](Cn3ccnn3)C2)cc1 ZINC000639858362 423837064 /nfs/dbraw/zinc/83/70/64/423837064.db2.gz SENUQIGLHPPQEQ-INIZCTEOSA-N 1 2 300.337 1.170 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCC#Cc2ccccc2)[C@@H](C)CO1 ZINC000663547891 423934756 /nfs/dbraw/zinc/93/47/56/423934756.db2.gz JVUCXRPOCCKYHL-HOTGVXAUSA-N 1 2 315.417 1.447 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCC#Cc2ccccc2)[C@@H](C)CO1 ZINC000663547891 423934768 /nfs/dbraw/zinc/93/47/68/423934768.db2.gz JVUCXRPOCCKYHL-HOTGVXAUSA-N 1 2 315.417 1.447 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(C)C[C@H]2Cc2ccccc2)C1=O ZINC000649827126 424227655 /nfs/dbraw/zinc/22/76/55/424227655.db2.gz INXUYECAVUCHSO-MSOLQXFVSA-N 1 2 313.445 1.632 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(C)C[C@H]2Cc2ccccc2)C1=O ZINC000649827126 424227661 /nfs/dbraw/zinc/22/76/61/424227661.db2.gz INXUYECAVUCHSO-MSOLQXFVSA-N 1 2 313.445 1.632 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@H](CC)c2nnc3n2CCCCC3)C1=O ZINC000661941782 424279125 /nfs/dbraw/zinc/27/91/25/424279125.db2.gz RABCMYBCWDZINP-ZIAGYGMSSA-N 1 2 317.437 1.832 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H]2CCN(CC(F)(F)F)C2=O)nn1 ZINC000657369427 424314959 /nfs/dbraw/zinc/31/49/59/424314959.db2.gz FZNUVGWADMVNTD-LLVKDONJSA-N 1 2 317.315 1.107 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@H+](Cc3ccc(C#N)c(F)c3)CCN2C1=O ZINC000375982162 266142838 /nfs/dbraw/zinc/14/28/38/266142838.db2.gz VXPUTFXDEKTQET-AWEZNQCLSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@@H+](Cc3ccc(C#N)c(F)c3)CCN2C1=O ZINC000375982162 266142843 /nfs/dbraw/zinc/14/28/43/266142843.db2.gz VXPUTFXDEKTQET-AWEZNQCLSA-N 1 2 316.336 1.166 20 30 DDEDLO C[C@@H]1C[NH+](CCN(C)C(=O)c2ccc(C#N)nc2)C[C@@H](C)O1 ZINC000345417456 267051212 /nfs/dbraw/zinc/05/12/12/267051212.db2.gz YZNCNNSYKSVZCL-CHWSQXEVSA-N 1 2 302.378 1.134 20 30 DDEDLO C[C@H](C(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1)c1cccc(C#N)c1 ZINC000343367500 267133587 /nfs/dbraw/zinc/13/35/87/267133587.db2.gz HXKIVCMQXYMXQZ-GUYCJALGSA-N 1 2 313.401 1.641 20 30 DDEDLO C[C@H](C(=O)NC[C@H]1C[N@H+](C2CC2)CCO1)c1cccc(C#N)c1 ZINC000343367500 267133591 /nfs/dbraw/zinc/13/35/91/267133591.db2.gz HXKIVCMQXYMXQZ-GUYCJALGSA-N 1 2 313.401 1.641 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[NH2+]C[C@@H]1C(F)F ZINC000367468842 267307708 /nfs/dbraw/zinc/30/77/08/267307708.db2.gz DZCVONPIQMGHKO-LLVKDONJSA-N 1 2 315.345 1.094 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N(C)C(C)(C)C[NH+]1CCOCC1 ZINC000518490245 267974612 /nfs/dbraw/zinc/97/46/12/267974612.db2.gz ROSRAVIGKGSDBZ-UHFFFAOYSA-N 1 2 316.405 1.445 20 30 DDEDLO N#Cc1cc(F)ccc1NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000371214901 267991988 /nfs/dbraw/zinc/99/19/88/267991988.db2.gz ZNMHSQVIDVAFTJ-KRWDZBQOSA-N 1 2 319.380 1.991 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CC[C@H]3CC[C@@H](C2)[S@@]3=O)cc1 ZINC000528780941 268241568 /nfs/dbraw/zinc/24/15/68/268241568.db2.gz BKDGUJUVDFKIIA-GTQRCTGISA-N 1 2 317.414 1.482 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CC[C@H]3CC[C@@H](C2)[S@@]3=O)cc1 ZINC000528780941 268241570 /nfs/dbraw/zinc/24/15/70/268241570.db2.gz BKDGUJUVDFKIIA-GTQRCTGISA-N 1 2 317.414 1.482 20 30 DDEDLO N#Cc1cccc(NC(=O)C[NH+]2CCC3(CCOC3=O)CC2)c1 ZINC000377995411 268265758 /nfs/dbraw/zinc/26/57/58/268265758.db2.gz LLQHPPZEUYMBEW-UHFFFAOYSA-N 1 2 313.357 1.526 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@@H+]1CCC(=O)CC12CCC2 ZINC000528528923 268299211 /nfs/dbraw/zinc/29/92/11/268299211.db2.gz YMOKJYULBUTJPM-MRXNPFEDSA-N 1 2 314.385 1.886 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@H+]1CCC(=O)CC12CCC2 ZINC000528528923 268299214 /nfs/dbraw/zinc/29/92/14/268299214.db2.gz YMOKJYULBUTJPM-MRXNPFEDSA-N 1 2 314.385 1.886 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2ccn3cc[nH+]c3c2)o1 ZINC000344529134 272050438 /nfs/dbraw/zinc/05/04/38/272050438.db2.gz RAYKYYGNWNWIPT-UHFFFAOYSA-N 1 2 302.315 1.277 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@]3(C)CCCO3)n2CC=C)CC1 ZINC000491698209 275717577 /nfs/dbraw/zinc/71/75/77/275717577.db2.gz MASSBWNEEHPLIQ-KRWDZBQOSA-N 1 2 315.421 1.245 20 30 DDEDLO C=CCCS(=O)(=O)N[C@@H](C)C1([NH+]2CCOCC2)CCCC1 ZINC000121385127 277836344 /nfs/dbraw/zinc/83/63/44/277836344.db2.gz AHTPFXFQIKHIJB-AWEZNQCLSA-N 1 2 316.467 1.515 20 30 DDEDLO CC(C)C[C@H]1CCC(=O)N(C[C@@H](O)C[N@H+](C)CCC#N)C1=O ZINC000414137463 279202763 /nfs/dbraw/zinc/20/27/63/279202763.db2.gz IFQXRWOIIUGKRE-KGLIPLIRSA-N 1 2 309.410 1.004 20 30 DDEDLO CC(C)C[C@H]1CCC(=O)N(C[C@@H](O)C[N@@H+](C)CCC#N)C1=O ZINC000414137463 279202765 /nfs/dbraw/zinc/20/27/65/279202765.db2.gz IFQXRWOIIUGKRE-KGLIPLIRSA-N 1 2 309.410 1.004 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+]([C@@H]2CCCCNC2=O)CC1 ZINC000128731066 279495896 /nfs/dbraw/zinc/49/58/96/279495896.db2.gz DCKGQOFFBOOIJL-MRXNPFEDSA-N 1 2 316.380 1.488 20 30 DDEDLO CC[C@]1(O)CC[N@@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000425924997 281352712 /nfs/dbraw/zinc/35/27/12/281352712.db2.gz IEUMVAWXENDKHC-ZBEGNZNMSA-N 1 2 305.378 1.942 20 30 DDEDLO CC[C@]1(O)CC[N@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000425924997 281352713 /nfs/dbraw/zinc/35/27/13/281352713.db2.gz IEUMVAWXENDKHC-ZBEGNZNMSA-N 1 2 305.378 1.942 20 30 DDEDLO CN(Cc1cn2c([nH+]1)CCCC2)C(=O)C1(C#N)CCOCC1 ZINC000365401951 289769411 /nfs/dbraw/zinc/76/94/11/289769411.db2.gz HGNCTGDNWNDDSL-UHFFFAOYSA-N 1 2 302.378 1.498 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)CCCC#N)[C@H](c2ccccc2)C1 ZINC000104108222 290049121 /nfs/dbraw/zinc/04/91/21/290049121.db2.gz XXTLEKMEEZTTNS-HNNXBMFYSA-N 1 2 307.419 1.609 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)CCCC#N)[C@H](c2ccccc2)C1 ZINC000104108222 290049124 /nfs/dbraw/zinc/04/91/24/290049124.db2.gz XXTLEKMEEZTTNS-HNNXBMFYSA-N 1 2 307.419 1.609 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000285783222 294027531 /nfs/dbraw/zinc/02/75/31/294027531.db2.gz LVKHNNLWUVMXHU-DGCLKSJQSA-N 1 2 303.362 1.395 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)N2CCO[C@H]3CCCC[C@H]32)CC1 ZINC000352492162 303144487 /nfs/dbraw/zinc/14/44/87/303144487.db2.gz WGRCSPGYZAOZEO-KGLIPLIRSA-N 1 2 309.385 1.484 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCO[C@H](C3CCC3)C2)CCCCC1 ZINC000334035887 303145776 /nfs/dbraw/zinc/14/57/76/303145776.db2.gz NHGYQCTVYLNGEI-HNNXBMFYSA-N 1 2 305.422 1.830 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCO[C@H](C3CCC3)C2)CCCCC1 ZINC000334035887 303145779 /nfs/dbraw/zinc/14/57/79/303145779.db2.gz NHGYQCTVYLNGEI-HNNXBMFYSA-N 1 2 305.422 1.830 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)cc1 ZINC000110955539 303187794 /nfs/dbraw/zinc/18/77/94/303187794.db2.gz BJHLIZDCDQXATE-QGZVFWFLSA-N 1 2 313.401 1.885 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)C2(C(N)=O)CCCC2)c1C#N ZINC000536752852 303369405 /nfs/dbraw/zinc/36/94/05/303369405.db2.gz WLYJOPNKQRQOFW-UHFFFAOYSA-N 1 2 315.373 1.575 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)C2(C(N)=O)CCCC2)c1C#N ZINC000536752852 303369406 /nfs/dbraw/zinc/36/94/06/303369406.db2.gz WLYJOPNKQRQOFW-UHFFFAOYSA-N 1 2 315.373 1.575 20 30 DDEDLO CCCCNC(=O)[C@H](C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000555650271 307847091 /nfs/dbraw/zinc/84/70/91/307847091.db2.gz CLKGHURODHSZKZ-AWEZNQCLSA-N 1 2 315.421 1.380 20 30 DDEDLO COCC[N@H+](Cc1c(C)nn(C)c1C)Cc1ccc(C#N)cn1 ZINC000572375680 308219862 /nfs/dbraw/zinc/21/98/62/308219862.db2.gz SZNILXSGHASHBV-UHFFFAOYSA-N 1 2 313.405 1.952 20 30 DDEDLO COCC[N@@H+](Cc1c(C)nn(C)c1C)Cc1ccc(C#N)cn1 ZINC000572375680 308219863 /nfs/dbraw/zinc/21/98/63/308219863.db2.gz SZNILXSGHASHBV-UHFFFAOYSA-N 1 2 313.405 1.952 20 30 DDEDLO CC1(C)C(=O)N[C@H]1C1CC[NH+](Cc2nc(C#N)cs2)CC1 ZINC000578335387 308454963 /nfs/dbraw/zinc/45/49/63/308454963.db2.gz GDLLZUQFRCUCPI-ZDUSSCGKSA-N 1 2 304.419 1.751 20 30 DDEDLO Cc1nc2ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc2nc1C ZINC000584116949 331834379 /nfs/dbraw/zinc/83/43/79/331834379.db2.gz PRGLAHFCRFDPKO-KRWDZBQOSA-N 1 2 311.389 1.820 20 30 DDEDLO CCC[C@H](C#N)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000569396610 332075599 /nfs/dbraw/zinc/07/55/99/332075599.db2.gz GGUKJPJXFAMFLX-CQSZACIVSA-N 1 2 318.402 1.902 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000119619036 332374858 /nfs/dbraw/zinc/37/48/58/332374858.db2.gz DSXLUOKXAHTNKY-NVXWUHKLSA-N 1 2 310.401 1.007 20 30 DDEDLO N#CCC[NH2+]Cc1ccccc1CS(=O)(=O)N1CCCC1 ZINC000562991278 333020865 /nfs/dbraw/zinc/02/08/65/333020865.db2.gz NWJOUADPDUVCPX-UHFFFAOYSA-N 1 2 307.419 1.615 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](C)Cc1ccc(OC)c(O)c1 ZINC000177218061 333125870 /nfs/dbraw/zinc/12/58/70/333125870.db2.gz PZEVKDPFBPDUCN-ZDUSSCGKSA-N 1 2 319.405 1.841 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)Cc1ccc(OC)c(O)c1 ZINC000177218061 333125871 /nfs/dbraw/zinc/12/58/71/333125871.db2.gz PZEVKDPFBPDUCN-ZDUSSCGKSA-N 1 2 319.405 1.841 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@H]1CC(=O)Nc2cc(F)ccc21 ZINC000582939973 337219905 /nfs/dbraw/zinc/21/99/05/337219905.db2.gz DUKBFUXWSBARFY-LRDDRELGSA-N 1 2 318.352 1.212 20 30 DDEDLO COc1cccc(CCC(=O)N[C@](C)(C#N)C[NH+](C)C)c1OC ZINC000583148737 337246332 /nfs/dbraw/zinc/24/63/32/337246332.db2.gz NYJSYJUDSJBSRA-QGZVFWFLSA-N 1 2 319.405 1.596 20 30 DDEDLO COC(=O)c1cc(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)c[nH]1 ZINC000330455766 533716712 /nfs/dbraw/zinc/71/67/12/533716712.db2.gz FEQPILHLVCTHCY-UHFFFAOYSA-N 1 2 309.366 1.217 20 30 DDEDLO COC(=O)c1cc(C(=O)NCC[N@H+]2CCOC(C)(C)C2)c[nH]1 ZINC000330455766 533716719 /nfs/dbraw/zinc/71/67/19/533716719.db2.gz FEQPILHLVCTHCY-UHFFFAOYSA-N 1 2 309.366 1.217 20 30 DDEDLO CC(C)[C@@H](CNC(=O)OC(C)(C)C)C[NH+]1CC(O)(CC#N)C1 ZINC000496289864 340002249 /nfs/dbraw/zinc/00/22/49/340002249.db2.gz FSUNRMIBYDHMIT-ZDUSSCGKSA-N 1 2 311.426 1.744 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000496937924 340016639 /nfs/dbraw/zinc/01/66/39/340016639.db2.gz MMNGNEOTPVEAGZ-CHWSQXEVSA-N 1 2 313.398 1.141 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000496937924 340016640 /nfs/dbraw/zinc/01/66/40/340016640.db2.gz MMNGNEOTPVEAGZ-CHWSQXEVSA-N 1 2 313.398 1.141 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[NH+]([C@H]2CCN(C(C)(C)C#N)C2)CC1 ZINC000497003594 340018018 /nfs/dbraw/zinc/01/80/18/340018018.db2.gz XYTSPFWLXSJDGE-AWEZNQCLSA-N 1 2 322.453 1.916 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cccc(N2CCOC2=O)c1 ZINC000497070219 340019561 /nfs/dbraw/zinc/01/95/61/340019561.db2.gz FXXFWIDPZMAZHG-INIZCTEOSA-N 1 2 316.361 1.217 20 30 DDEDLO C=CCOCCCNc1[nH+]cnc2c1cnn2-c1ncccn1 ZINC000656473428 484156547 /nfs/dbraw/zinc/15/65/47/484156547.db2.gz NERVNHJOOQUTLE-UHFFFAOYSA-N 1 2 311.349 1.610 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NC(=O)C(=O)NCc1[nH+]ccn1C ZINC000668811316 485329996 /nfs/dbraw/zinc/32/99/96/485329996.db2.gz RCKIQAMLZODFGF-CHWSQXEVSA-N 1 2 304.394 1.287 20 30 DDEDLO C=CC[N@H+](C[C@@H]1COc2ccccc2O1)[C@H]1CCS(=O)(=O)C1 ZINC000676700698 486294367 /nfs/dbraw/zinc/29/43/67/486294367.db2.gz CBEMICQJOPDZTN-UONOGXRCSA-N 1 2 323.414 1.502 20 30 DDEDLO C=CC[N@@H+](C[C@@H]1COc2ccccc2O1)[C@H]1CCS(=O)(=O)C1 ZINC000676700698 486294371 /nfs/dbraw/zinc/29/43/71/486294371.db2.gz CBEMICQJOPDZTN-UONOGXRCSA-N 1 2 323.414 1.502 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)Cc2ccccc2C)CC1 ZINC000677478442 486477950 /nfs/dbraw/zinc/47/79/50/486477950.db2.gz YNTXKFUXSSITME-UHFFFAOYSA-N 1 2 300.402 1.332 20 30 DDEDLO C[C@H](CCC#N)[NH+]1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000411334427 533786332 /nfs/dbraw/zinc/78/63/32/533786332.db2.gz DOBNXLLYVVIFPI-CYBMUJFWSA-N 1 2 308.407 1.080 20 30 DDEDLO COC(=O)c1ccccc1NC(=O)C[NH2+][C@H]1CCC[C@H]1C#N ZINC000459475208 534292916 /nfs/dbraw/zinc/29/29/16/534292916.db2.gz ZJRRJQMEWUOPQM-AAEUAGOBSA-N 1 2 301.346 1.694 20 30 DDEDLO COC(=O)c1cnc([C@H](C)[NH2+]Cc2cc(C#N)n(C)c2)s1 ZINC000424199976 534309878 /nfs/dbraw/zinc/30/98/78/534309878.db2.gz LPRSAODTXJJCGK-VIFPVBQESA-N 1 2 304.375 1.991 20 30 DDEDLO Cc1noc([C@@H]2CC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000332390307 534459686 /nfs/dbraw/zinc/45/96/86/534459686.db2.gz RCWYMMADBXUYQW-DOMZBBRYSA-N 1 2 305.382 1.222 20 30 DDEDLO Cc1noc([C@@H]2CC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000332390307 534459698 /nfs/dbraw/zinc/45/96/98/534459698.db2.gz RCWYMMADBXUYQW-DOMZBBRYSA-N 1 2 305.382 1.222 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)[C@@H](CO)COC(C)C)c(C#N)c1C ZINC000433148401 534546573 /nfs/dbraw/zinc/54/65/73/534546573.db2.gz ARERNKAZTWZIDD-ZDUSSCGKSA-N 1 2 323.393 1.424 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)[C@@H](CO)COC(C)C)c(C#N)c1C ZINC000433148401 534546581 /nfs/dbraw/zinc/54/65/81/534546581.db2.gz ARERNKAZTWZIDD-ZDUSSCGKSA-N 1 2 323.393 1.424 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2C[C@@H](C)C[C@H](O)C2)c(C#N)c1C ZINC000452073944 534549360 /nfs/dbraw/zinc/54/93/60/534549360.db2.gz ZTWHNZKTFABIFR-GAFUQQFSSA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2C[C@@H](C)C[C@H](O)C2)c(C#N)c1C ZINC000452073944 534549363 /nfs/dbraw/zinc/54/93/63/534549363.db2.gz ZTWHNZKTFABIFR-GAFUQQFSSA-N 1 2 305.378 1.798 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CC[C@H](Oc3cc[nH+]cc3)C2)CCC1 ZINC000349657364 534556031 /nfs/dbraw/zinc/55/60/31/534556031.db2.gz QVCPEQIAZDVPPF-AWEZNQCLSA-N 1 2 321.402 1.558 20 30 DDEDLO O=C(NC1CN(C([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)C1)C1CC1 ZINC000330284579 534749702 /nfs/dbraw/zinc/74/97/02/534749702.db2.gz XRFIDXFMAIRTLH-LLVKDONJSA-N 1 2 303.366 1.163 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)N[C@H]2CCC[C@@H]2C#N)CCO1 ZINC000333004743 534814378 /nfs/dbraw/zinc/81/43/78/534814378.db2.gz DOYZPUDFSYLHAV-CXTNEJHOSA-N 1 2 317.393 1.632 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cnc(C4CC4)nc3)CC2)c1 ZINC000459315664 534822047 /nfs/dbraw/zinc/82/20/47/534822047.db2.gz RSXFLTUEXLAZKA-UHFFFAOYSA-N 1 2 320.400 1.943 20 30 DDEDLO CNC(=O)c1cc(NC(=O)[C@H]2C[N@H+](C)CCO2)ccc1Cl ZINC000330890731 526407471 /nfs/dbraw/zinc/40/74/71/526407471.db2.gz JOUOVIQBFGHAKJ-GFCCVEGCSA-N 1 2 311.769 1.544 20 30 DDEDLO CNC(=O)c1cc(NC(=O)[C@H]2C[N@@H+](C)CCO2)ccc1Cl ZINC000330890731 526407474 /nfs/dbraw/zinc/40/74/74/526407474.db2.gz JOUOVIQBFGHAKJ-GFCCVEGCSA-N 1 2 311.769 1.544 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@](C)(CC(=O)OC)c2ccccn2)C1=O ZINC000351815648 526485199 /nfs/dbraw/zinc/48/51/99/526485199.db2.gz NGFBXMLHPLUSFD-CXAGYDPISA-N 1 2 317.389 1.236 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccccc2Cl)C1=O ZINC000337126044 526499401 /nfs/dbraw/zinc/49/94/01/526499401.db2.gz FMPGCQPUKQCZRH-AWEZNQCLSA-N 1 2 321.808 1.997 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccccc2Cl)C1=O ZINC000337126044 526499402 /nfs/dbraw/zinc/49/94/02/526499402.db2.gz FMPGCQPUKQCZRH-AWEZNQCLSA-N 1 2 321.808 1.997 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451845713 526587570 /nfs/dbraw/zinc/58/75/70/526587570.db2.gz VESQCLJYMNQLQV-AWEZNQCLSA-N 1 2 303.362 1.818 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451845713 526587575 /nfs/dbraw/zinc/58/75/75/526587575.db2.gz VESQCLJYMNQLQV-AWEZNQCLSA-N 1 2 303.362 1.818 20 30 DDEDLO C#CCC1(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CCCCC1 ZINC000373753768 526675917 /nfs/dbraw/zinc/67/59/17/526675917.db2.gz LOAHVUGLUHHFSP-INIZCTEOSA-N 1 2 304.434 1.893 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[C@H]([NH+]2CCN(CC)CC2)C1)OCC ZINC000339354178 526809816 /nfs/dbraw/zinc/80/98/16/526809816.db2.gz DTWUPWSEJNCMAO-IRXDYDNUSA-N 1 2 323.481 1.596 20 30 DDEDLO C#CC[NH+](CC#C)[C@@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000491808106 526847972 /nfs/dbraw/zinc/84/79/72/526847972.db2.gz VHFHWCPPVJZMFF-QGZVFWFLSA-N 1 2 312.369 1.378 20 30 DDEDLO C#CCN(CC#N)C(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000490971798 526876006 /nfs/dbraw/zinc/87/60/06/526876006.db2.gz DHMGHMZWEYQJGZ-UHFFFAOYSA-N 1 2 309.285 1.379 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H](C#N)C1CCCCC1 ZINC000339228367 526893417 /nfs/dbraw/zinc/89/34/17/526893417.db2.gz QAPYNBZIXVKLRQ-HUUCEWRRSA-N 1 2 317.393 1.046 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H](C#N)C1CCCCC1 ZINC000339228367 526893422 /nfs/dbraw/zinc/89/34/22/526893422.db2.gz QAPYNBZIXVKLRQ-HUUCEWRRSA-N 1 2 317.393 1.046 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2c(F)ccc(O)c2F)CC1 ZINC000491071875 526969150 /nfs/dbraw/zinc/96/91/50/526969150.db2.gz OCKBYXKPDYAAPO-UHFFFAOYSA-N 1 2 308.328 1.632 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2cc(F)ccc2C)CC1 ZINC000491192130 527002720 /nfs/dbraw/zinc/00/27/20/527002720.db2.gz LKXNHCOQRQXFGV-UHFFFAOYSA-N 1 2 303.381 1.001 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCc1ccc(N(CC)CC)[nH+]c1 ZINC000341857947 527173394 /nfs/dbraw/zinc/17/33/94/527173394.db2.gz FURPSYNKMDGRJO-HNNXBMFYSA-N 1 2 320.437 1.912 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@H](O)COc1ccc(SC)cc1 ZINC000491700299 527311343 /nfs/dbraw/zinc/31/13/43/527311343.db2.gz GACFANQDYDQOJC-KGLIPLIRSA-N 1 2 307.415 1.482 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@H](O)COc1ccc(SC)cc1 ZINC000491700299 527311347 /nfs/dbraw/zinc/31/13/47/527311347.db2.gz GACFANQDYDQOJC-KGLIPLIRSA-N 1 2 307.415 1.482 20 30 DDEDLO C=CCn1c(CCC(C)C)nnc1N(C)CC[NH+]1CCOCC1 ZINC000337852929 527315988 /nfs/dbraw/zinc/31/59/88/527315988.db2.gz JYUOUENLDDGSNG-UHFFFAOYSA-N 1 2 321.469 1.821 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000348998820 527601712 /nfs/dbraw/zinc/60/17/12/527601712.db2.gz KXDHSXAOYARXEO-UHFFFAOYSA-N 1 2 324.384 1.689 20 30 DDEDLO CC(C)OC[C@@H](CO)[N@H+](C)CC(=O)Nc1sccc1C#N ZINC000433247784 528009773 /nfs/dbraw/zinc/00/97/73/528009773.db2.gz PSFPSEHYHOHKJF-GFCCVEGCSA-N 1 2 311.407 1.276 20 30 DDEDLO CC(C)OC[C@@H](CO)[N@@H+](C)CC(=O)Nc1sccc1C#N ZINC000433247784 528009778 /nfs/dbraw/zinc/00/97/78/528009778.db2.gz PSFPSEHYHOHKJF-GFCCVEGCSA-N 1 2 311.407 1.276 20 30 DDEDLO CC(C)CO[C@@H]1CCN(C([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000330179870 528123587 /nfs/dbraw/zinc/12/35/87/528123587.db2.gz FOTQDBFNRHPDIF-ZIAGYGMSSA-N 1 2 306.410 1.859 20 30 DDEDLO CC(C)CO[C@@H]1CCN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000330179870 528123593 /nfs/dbraw/zinc/12/35/93/528123593.db2.gz FOTQDBFNRHPDIF-ZIAGYGMSSA-N 1 2 306.410 1.859 20 30 DDEDLO CCOC1CC(CNc2ccc(C#N)nn2)([NH+]2CCOCC2)C1 ZINC000302830248 528503276 /nfs/dbraw/zinc/50/32/76/528503276.db2.gz PVYREYJYNNBOOL-UHFFFAOYSA-N 1 2 317.393 1.030 20 30 DDEDLO CC(C)n1ncnc1C[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292364439 528692996 /nfs/dbraw/zinc/69/29/96/528692996.db2.gz YDFTVSFSNAEPSD-AWEZNQCLSA-N 1 2 312.377 1.779 20 30 DDEDLO CC(C)n1ncnc1C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292364439 528693004 /nfs/dbraw/zinc/69/30/04/528693004.db2.gz YDFTVSFSNAEPSD-AWEZNQCLSA-N 1 2 312.377 1.779 20 30 DDEDLO CCN1CCN(C(=O)NCCOC(C)C)C[C@H]1c1[nH]cc[nH+]1 ZINC000331030147 529107786 /nfs/dbraw/zinc/10/77/86/529107786.db2.gz FIUPXLVXDPWSKA-ZDUSSCGKSA-N 1 2 309.414 1.427 20 30 DDEDLO CCN1CCN(C(=O)NCCCSC)C[C@H]1c1[nH]cc[nH+]1 ZINC000331027297 529107990 /nfs/dbraw/zinc/10/79/90/529107990.db2.gz CBQFTWMZQBSIIM-LBPRGKRZSA-N 1 2 311.455 1.755 20 30 DDEDLO CCN1CCN(C(=O)NCC(F)(F)F)C[C@@H]1c1[nH]cc[nH+]1 ZINC000331024705 529107995 /nfs/dbraw/zinc/10/79/95/529107995.db2.gz NVEBJWNUMIFMSA-SECBINFHSA-N 1 2 305.304 1.565 20 30 DDEDLO CCN1CCN(C(=O)NCc2ccncc2)C[C@H]1c1[nH]cc[nH+]1 ZINC000330322931 529108163 /nfs/dbraw/zinc/10/81/63/529108163.db2.gz HFCBLIPVVAHDTH-AWEZNQCLSA-N 1 2 314.393 1.598 20 30 DDEDLO C[C@@H]1C[N@H+](C)CC1N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC000820751902 606460936 /nfs/dbraw/zinc/46/09/36/606460936.db2.gz IUUXOFUZVYMRTC-MRVPVSSYSA-N 1 2 318.385 1.502 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CC1N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC000820751902 606460938 /nfs/dbraw/zinc/46/09/38/606460938.db2.gz IUUXOFUZVYMRTC-MRVPVSSYSA-N 1 2 318.385 1.502 20 30 DDEDLO CC(C)C[N@H+](CCC#N)Cc1cnc2ccc(-c3nn[nH]n3)cn12 ZINC000824255745 608497332 /nfs/dbraw/zinc/49/73/32/608497332.db2.gz WDCGBNYBPIGSNK-UHFFFAOYSA-N 1 2 324.392 1.886 20 30 DDEDLO CC(C)C[N@@H+](CCC#N)Cc1cnc2ccc(-c3nn[nH]n3)cn12 ZINC000824255745 608497333 /nfs/dbraw/zinc/49/73/33/608497333.db2.gz WDCGBNYBPIGSNK-UHFFFAOYSA-N 1 2 324.392 1.886 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C=C3CCCCC3)C2)C1 ZINC000972286158 695205471 /nfs/dbraw/zinc/20/54/71/695205471.db2.gz RGHBSNYSTQEDML-SFHVURJKSA-N 1 2 302.418 1.813 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C=C3CCCCC3)C2)C1 ZINC000972286158 695205472 /nfs/dbraw/zinc/20/54/72/695205472.db2.gz RGHBSNYSTQEDML-SFHVURJKSA-N 1 2 302.418 1.813 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3csnc3C)C2)C1 ZINC000972348289 695223431 /nfs/dbraw/zinc/22/34/31/695223431.db2.gz JPYQUDZBVAPRLD-MRXNPFEDSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3csnc3C)C2)C1 ZINC000972348289 695223432 /nfs/dbraw/zinc/22/34/32/695223432.db2.gz JPYQUDZBVAPRLD-MRXNPFEDSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C(F)F)CC3)C2)C1 ZINC000972381581 695235477 /nfs/dbraw/zinc/23/54/77/695235477.db2.gz RAMAUCBCGXLQCT-OAHLLOKOSA-N 1 2 312.360 1.358 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C(F)F)CC3)C2)C1 ZINC000972381581 695235480 /nfs/dbraw/zinc/23/54/80/695235480.db2.gz RAMAUCBCGXLQCT-OAHLLOKOSA-N 1 2 312.360 1.358 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3ccnn3C)C2)C1 ZINC000972387288 695237563 /nfs/dbraw/zinc/23/75/63/695237563.db2.gz OBKYKDRMKATMHN-WMLDXEAASA-N 1 2 318.421 1.013 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3ccnn3C)C2)C1 ZINC000972387288 695237564 /nfs/dbraw/zinc/23/75/64/695237564.db2.gz OBKYKDRMKATMHN-WMLDXEAASA-N 1 2 318.421 1.013 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccccc3)C2)C1 ZINC000972441656 695252444 /nfs/dbraw/zinc/25/24/44/695252444.db2.gz ULQKSNCXRHREPR-LJQANCHMSA-N 1 2 312.413 1.556 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccccc3)C2)C1 ZINC000972441656 695252447 /nfs/dbraw/zinc/25/24/47/695252447.db2.gz ULQKSNCXRHREPR-LJQANCHMSA-N 1 2 312.413 1.556 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCC3(C)C)C2)C1 ZINC000972510928 695268849 /nfs/dbraw/zinc/26/88/49/695268849.db2.gz QMWDPIMKXSHAKR-MAUKXSAKSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCC3(C)C)C2)C1 ZINC000972510928 695268851 /nfs/dbraw/zinc/26/88/51/695268851.db2.gz QMWDPIMKXSHAKR-MAUKXSAKSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCC3(C)C)C2)C1 ZINC000972510930 695269122 /nfs/dbraw/zinc/26/91/22/695269122.db2.gz QMWDPIMKXSHAKR-YJBOKZPZSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCC3(C)C)C2)C1 ZINC000972510930 695269124 /nfs/dbraw/zinc/26/91/24/695269124.db2.gz QMWDPIMKXSHAKR-YJBOKZPZSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3C3CC3)C2)C1 ZINC000972523661 695272755 /nfs/dbraw/zinc/27/27/55/695272755.db2.gz KKXYKCGRCOUWGE-GOSISDBHSA-N 1 2 313.401 1.442 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3C3CC3)C2)C1 ZINC000972523661 695272757 /nfs/dbraw/zinc/27/27/57/695272757.db2.gz KKXYKCGRCOUWGE-GOSISDBHSA-N 1 2 313.401 1.442 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCO[C@H]3C(=C)C)C2)C1 ZINC000972589471 695291376 /nfs/dbraw/zinc/29/13/76/695291376.db2.gz IBEVGSTXJIIGMS-BQFCYCMXSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCO[C@H]3C(=C)C)C2)C1 ZINC000972589471 695291377 /nfs/dbraw/zinc/29/13/77/695291377.db2.gz IBEVGSTXJIIGMS-BQFCYCMXSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnn(CC)c3C)C2)C1 ZINC000972609672 695297754 /nfs/dbraw/zinc/29/77/54/695297754.db2.gz VGHLTCGWJDZWFE-QGZVFWFLSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnn(CC)c3C)C2)C1 ZINC000972609672 695297755 /nfs/dbraw/zinc/29/77/55/695297755.db2.gz VGHLTCGWJDZWFE-QGZVFWFLSA-N 1 2 318.421 1.314 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cn(C)nc2C)C(C)(C)C1 ZINC000972972986 695384399 /nfs/dbraw/zinc/38/43/99/695384399.db2.gz MLDCKXYRKYLRIH-CYBMUJFWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cn(C)nc2C)C(C)(C)C1 ZINC000972972986 695384402 /nfs/dbraw/zinc/38/44/02/695384402.db2.gz MLDCKXYRKYLRIH-CYBMUJFWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC000973526636 695490613 /nfs/dbraw/zinc/49/06/13/695490613.db2.gz VOROYTIOVIUGTC-HDJSIYSDSA-N 1 2 316.405 1.462 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CC[NH+](CCN2CCOC2=O)CC1 ZINC000746450820 700024745 /nfs/dbraw/zinc/02/47/45/700024745.db2.gz PJBWCQXINPDNLO-INIZCTEOSA-N 1 2 314.389 1.321 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nc3c2CCCC3)C(C)(C)C1 ZINC000974793085 695735840 /nfs/dbraw/zinc/73/58/40/695735840.db2.gz KMSWLOLQGRFEFQ-HNNXBMFYSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2[nH]nc3c2CCCC3)C(C)(C)C1 ZINC000974793085 695735841 /nfs/dbraw/zinc/73/58/41/695735841.db2.gz KMSWLOLQGRFEFQ-HNNXBMFYSA-N 1 2 314.433 1.752 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cc[nH+]c1 ZINC000976763498 696002061 /nfs/dbraw/zinc/00/20/61/696002061.db2.gz CPDXNXPDWRLICG-NHAGDIPZSA-N 1 2 316.405 1.058 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCN(c3cc[nH+]c(C)n3)CC2)c1 ZINC000798303004 700055804 /nfs/dbraw/zinc/05/58/04/700055804.db2.gz SVQXWOXZXDCFQM-UHFFFAOYSA-N 1 2 307.357 1.124 20 30 DDEDLO C#CC[NH+]1CCC(Nc2ccccc2S(=O)(=O)CC)CC1 ZINC000037315376 696132303 /nfs/dbraw/zinc/13/23/03/696132303.db2.gz OBMMVFWHXIBIAL-UHFFFAOYSA-N 1 2 306.431 1.990 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCC(=O)N2CCCC[C@@H]2C)cc1 ZINC000060455267 696310877 /nfs/dbraw/zinc/31/08/77/696310877.db2.gz UAXFHKUGENYZDP-ZDUSSCGKSA-N 1 2 319.405 1.928 20 30 DDEDLO C[C@@H]1C[C@@H](C)CN(C(=O)CO[NH+]=C(N)Cc2cccnc2)C1 ZINC000092915369 696598306 /nfs/dbraw/zinc/59/83/06/696598306.db2.gz OCLKXCSLCIGMQJ-CHWSQXEVSA-N 1 2 304.394 1.417 20 30 DDEDLO CCCCC[C@@H](C)NC(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000092915650 696598329 /nfs/dbraw/zinc/59/83/29/696598329.db2.gz MWEIUGVWHJFRAK-CYBMUJFWSA-N 1 2 306.410 1.998 20 30 DDEDLO O=C(c1cnco1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000981712889 696886464 /nfs/dbraw/zinc/88/64/64/696886464.db2.gz PVKWZSREMRNFTE-UHFFFAOYSA-N 1 2 309.369 1.874 20 30 DDEDLO O=C(c1cnco1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000981712889 696886467 /nfs/dbraw/zinc/88/64/67/696886467.db2.gz PVKWZSREMRNFTE-UHFFFAOYSA-N 1 2 309.369 1.874 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)Cc2ccon2)CC1 ZINC000980857089 696920802 /nfs/dbraw/zinc/92/08/02/696920802.db2.gz XMTWKQXJTKYMTI-UHFFFAOYSA-N 1 2 324.384 1.823 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)Cc2ccon2)CC1 ZINC000980857089 696920804 /nfs/dbraw/zinc/92/08/04/696920804.db2.gz XMTWKQXJTKYMTI-UHFFFAOYSA-N 1 2 324.384 1.823 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CC2(C1)CCCN(CC#N)C2 ZINC000981842257 696940310 /nfs/dbraw/zinc/94/03/10/696940310.db2.gz WGQNCDOPFAJZRP-UHFFFAOYSA-N 1 2 314.389 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H](C)[C@H]2CCC(=O)N2)CC1 ZINC000982004237 696999873 /nfs/dbraw/zinc/99/98/73/696999873.db2.gz VHEVCIWDHUGZFE-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H](C)[C@H]2CCC(=O)N2)CC1 ZINC000982004237 696999876 /nfs/dbraw/zinc/99/98/76/696999876.db2.gz VHEVCIWDHUGZFE-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000981126434 697012077 /nfs/dbraw/zinc/01/20/77/697012077.db2.gz VUPSMKIFDQHEDQ-UHFFFAOYSA-N 1 2 306.409 1.986 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000981126434 697012080 /nfs/dbraw/zinc/01/20/80/697012080.db2.gz VUPSMKIFDQHEDQ-UHFFFAOYSA-N 1 2 306.409 1.986 20 30 DDEDLO C#CCOc1ccc(F)cc1NC(=O)NCCn1cc[nH+]c1 ZINC000173792573 697381154 /nfs/dbraw/zinc/38/11/54/697381154.db2.gz PDQYGXXCSMSZSK-UHFFFAOYSA-N 1 2 302.309 1.856 20 30 DDEDLO COCc1noc([C@H](C)O[NH+]=C(N)Cc2ccc(OC)cc2)n1 ZINC000182915634 697481292 /nfs/dbraw/zinc/48/12/92/697481292.db2.gz KCYKAASBLMMWEZ-JTQLQIEISA-N 1 2 320.349 1.817 20 30 DDEDLO CCc1nnc(C[N@H+](C)C2CCN(C(=O)[C@@H](C)C#N)CC2)s1 ZINC000985338998 697494002 /nfs/dbraw/zinc/49/40/02/697494002.db2.gz OMYSUEZOHPGHJO-NSHDSACASA-N 1 2 321.450 1.683 20 30 DDEDLO CCc1nnc(C[N@@H+](C)C2CCN(C(=O)[C@@H](C)C#N)CC2)s1 ZINC000985338998 697494004 /nfs/dbraw/zinc/49/40/04/697494004.db2.gz OMYSUEZOHPGHJO-NSHDSACASA-N 1 2 321.450 1.683 20 30 DDEDLO C[C@@H](c1csnn1)[N@H+](C)C1CCN(C(=O)C#CC2CC2)CC1 ZINC000985431184 697510119 /nfs/dbraw/zinc/51/01/19/697510119.db2.gz MTMOUIZYNHEPPQ-LBPRGKRZSA-N 1 2 318.446 1.935 20 30 DDEDLO C[C@@H](c1csnn1)[N@@H+](C)C1CCN(C(=O)C#CC2CC2)CC1 ZINC000985431184 697510121 /nfs/dbraw/zinc/51/01/21/697510121.db2.gz MTMOUIZYNHEPPQ-LBPRGKRZSA-N 1 2 318.446 1.935 20 30 DDEDLO C#C[C@H](NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)[C@@H]1CCCO1 ZINC000773421718 697757487 /nfs/dbraw/zinc/75/74/87/697757487.db2.gz GRFOPZNHTUZDMA-KKUMJFAQSA-N 1 2 314.389 1.140 20 30 DDEDLO C[C@H]1[C@H]([NH2+]Cc2nc(C3CC3)no2)CCN1C(=O)C#CC1CC1 ZINC000986285692 697767455 /nfs/dbraw/zinc/76/74/55/697767455.db2.gz KJXSYFNESWAIAF-SMDDNHRTSA-N 1 2 314.389 1.439 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)Nc1nnc(-c2ccco2)o1)[C@H]1CCCO1 ZINC000775789076 698033457 /nfs/dbraw/zinc/03/34/57/698033457.db2.gz BMOHUKZASZHHIZ-WDEREUQCSA-N 1 2 316.317 1.039 20 30 DDEDLO C=C(C)[C@@H](CO)[N@@H+]1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000775850572 698044701 /nfs/dbraw/zinc/04/47/01/698044701.db2.gz NNEWEBGDXPDHOE-QWHCGFSZSA-N 1 2 300.399 1.149 20 30 DDEDLO C=C(C)[C@@H](CO)[N@H+]1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000775850572 698044704 /nfs/dbraw/zinc/04/47/04/698044704.db2.gz NNEWEBGDXPDHOE-QWHCGFSZSA-N 1 2 300.399 1.149 20 30 DDEDLO C[C@H](CNC(=O)Cc1ccccc1CC#N)[NH+]1CCN(C)CC1 ZINC000777400306 698186910 /nfs/dbraw/zinc/18/69/10/698186910.db2.gz GVQRGEBFMKUIBJ-OAHLLOKOSA-N 1 2 314.433 1.047 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@H+](CCO)[C@@H](C)C1 ZINC000249630053 698395887 /nfs/dbraw/zinc/39/58/87/698395887.db2.gz UZNZNJYVYSOVJH-AWEZNQCLSA-N 1 2 306.472 1.285 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@@H+](CCO)[C@@H](C)C1 ZINC000249630053 698395892 /nfs/dbraw/zinc/39/58/92/698395892.db2.gz UZNZNJYVYSOVJH-AWEZNQCLSA-N 1 2 306.472 1.285 20 30 DDEDLO N#CCOc1cccc(NC(=O)N[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000779402542 698420362 /nfs/dbraw/zinc/42/03/62/698420362.db2.gz FHKLCRMHNBSWOE-ZDUSSCGKSA-N 1 2 311.345 1.922 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2C[C@@H](C)N(C(=O)C#CC3CC3)C2)n1 ZINC000988827573 698478223 /nfs/dbraw/zinc/47/82/23/698478223.db2.gz SNECRKYIILYQIX-TZMCWYRMSA-N 1 2 316.405 1.685 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](C/C=C\Cl)CC2)nc1 ZINC000989512573 698652508 /nfs/dbraw/zinc/65/25/08/698652508.db2.gz OLGLXOITGWHUCN-CLTKARDFSA-N 1 2 303.793 1.963 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](C/C=C\Cl)CC2)nc1 ZINC000989512573 698652509 /nfs/dbraw/zinc/65/25/09/698652509.db2.gz OLGLXOITGWHUCN-CLTKARDFSA-N 1 2 303.793 1.963 20 30 DDEDLO CN(C)c1cc(CN(CCC#N)CC[NH+]2CCOCC2)ccn1 ZINC000782175047 698693582 /nfs/dbraw/zinc/69/35/82/698693582.db2.gz KFUSNONVDXOCMG-UHFFFAOYSA-N 1 2 317.437 1.195 20 30 DDEDLO C=C[C@@H](C(=O)N1CCC[N@@H+]([C@@H](C)C(N)=O)CC1)c1ccccc1 ZINC000989720055 698739632 /nfs/dbraw/zinc/73/96/32/698739632.db2.gz OPWIXSZNGAQRJD-GOEBONIOSA-N 1 2 315.417 1.364 20 30 DDEDLO C=C[C@@H](C(=O)N1CCC[N@H+]([C@@H](C)C(N)=O)CC1)c1ccccc1 ZINC000989720055 698739635 /nfs/dbraw/zinc/73/96/35/698739635.db2.gz OPWIXSZNGAQRJD-GOEBONIOSA-N 1 2 315.417 1.364 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2nnc(C(C)C)n2c1 ZINC000783763447 698875499 /nfs/dbraw/zinc/87/54/99/698875499.db2.gz NHXDCCDATNQYNO-AWEZNQCLSA-N 1 2 311.389 1.889 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2nnc(C(C)C)n2c1 ZINC000783763447 698875501 /nfs/dbraw/zinc/87/55/01/698875501.db2.gz NHXDCCDATNQYNO-AWEZNQCLSA-N 1 2 311.389 1.889 20 30 DDEDLO N#Cc1cccc(OCC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)c1 ZINC000728538759 699448810 /nfs/dbraw/zinc/44/88/10/699448810.db2.gz PFESSZLMIYBMCL-OAHLLOKOSA-N 1 2 310.357 1.997 20 30 DDEDLO COCC(=O)NC1CC[NH+](Cc2ccc(F)cc2C#N)CC1 ZINC000728569895 699449475 /nfs/dbraw/zinc/44/94/75/699449475.db2.gz SGUNYXLGGNGFPU-UHFFFAOYSA-N 1 2 305.353 1.424 20 30 DDEDLO C[N@@H+](Cc1nc2ccccc2c(=O)n1CC#N)C[C@H]1CCCO1 ZINC000728927779 699460494 /nfs/dbraw/zinc/46/04/94/699460494.db2.gz GMNVVOJVAIVMJA-CYBMUJFWSA-N 1 2 312.373 1.531 20 30 DDEDLO C[N@H+](Cc1nc2ccccc2c(=O)n1CC#N)C[C@H]1CCCO1 ZINC000728927779 699460496 /nfs/dbraw/zinc/46/04/96/699460496.db2.gz GMNVVOJVAIVMJA-CYBMUJFWSA-N 1 2 312.373 1.531 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(OCCOC)c(F)c1 ZINC000730280394 699506257 /nfs/dbraw/zinc/50/62/57/699506257.db2.gz QWYIXYBUWDUYOO-HNNXBMFYSA-N 1 2 320.364 1.887 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(OCCOC)c(F)c1 ZINC000730280394 699506258 /nfs/dbraw/zinc/50/62/58/699506258.db2.gz QWYIXYBUWDUYOO-HNNXBMFYSA-N 1 2 320.364 1.887 20 30 DDEDLO COC[C@@H]1CCC[N@@H+]1Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793506984 699762354 /nfs/dbraw/zinc/76/23/54/699762354.db2.gz ZCEYFECSIVUQII-AWEZNQCLSA-N 1 2 301.390 1.667 20 30 DDEDLO COC[C@@H]1CCC[N@H+]1Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793506984 699762355 /nfs/dbraw/zinc/76/23/55/699762355.db2.gz ZCEYFECSIVUQII-AWEZNQCLSA-N 1 2 301.390 1.667 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+]([C@H](C(=O)OC)c2ccc(C#N)cc2)C1 ZINC000739914812 699782760 /nfs/dbraw/zinc/78/27/60/699782760.db2.gz LDYNYUDTVDBWFL-CABCVRRESA-N 1 2 315.373 1.230 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+]([C@H](C(=O)OC)c2ccc(C#N)cc2)C1 ZINC000739914812 699782761 /nfs/dbraw/zinc/78/27/61/699782761.db2.gz LDYNYUDTVDBWFL-CABCVRRESA-N 1 2 315.373 1.230 20 30 DDEDLO COCCN(C)[NH+]=C(C)c1c(F)cccc1N1CCOCC1 ZINC000794973479 699839411 /nfs/dbraw/zinc/83/94/11/699839411.db2.gz FFRUVWQFYJCPLA-UHFFFAOYSA-N 1 2 309.385 1.965 20 30 DDEDLO Cc1nn2c(nc3ccccc3c2=O)c1=C[NH2+]Nc1cncnc1 ZINC000794978486 699839656 /nfs/dbraw/zinc/83/96/56/699839656.db2.gz NHAJXPYMAZAGHE-UHFFFAOYSA-N 1 2 319.328 1.720 20 30 DDEDLO COCC[C@@H](C)ON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000755943196 700594430 /nfs/dbraw/zinc/59/44/30/700594430.db2.gz FQFATJMGTALJHE-CQSZACIVSA-N 1 2 321.421 1.581 20 30 DDEDLO C=C(Br)CNC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000756053156 700600808 /nfs/dbraw/zinc/60/08/08/700600808.db2.gz MQGLALGVAWMJNG-SNVBAGLBSA-N 1 2 313.199 1.828 20 30 DDEDLO Cc1cc[nH+]c(N2CCN(Cn3cccc(C#N)c3=O)CC2)c1 ZINC000758238695 700693159 /nfs/dbraw/zinc/69/31/59/700693159.db2.gz JUFOIZWUTJAHTK-UHFFFAOYSA-N 1 2 309.373 1.203 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@H+]1CC[C@@H](N2CC(=O)Nc3ccccc32)C1 ZINC000759898281 700780554 /nfs/dbraw/zinc/78/05/54/700780554.db2.gz KPJWJZSFQIIZOK-LSDHHAIUSA-N 1 2 323.400 1.963 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@@H+]1CC[C@@H](N2CC(=O)Nc3ccccc32)C1 ZINC000759898281 700780555 /nfs/dbraw/zinc/78/05/55/700780555.db2.gz KPJWJZSFQIIZOK-LSDHHAIUSA-N 1 2 323.400 1.963 20 30 DDEDLO Cn1c[nH+]cc1Cc1noc(Cc2ccc(OCC#N)cc2)n1 ZINC000762412921 700891548 /nfs/dbraw/zinc/89/15/48/700891548.db2.gz XTJZHIZICMGXDX-UHFFFAOYSA-N 1 2 309.329 1.887 20 30 DDEDLO CON=CC(=O)N[C@@H]1CC[N@H+](C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000809736196 701684217 /nfs/dbraw/zinc/68/42/17/701684217.db2.gz JMIZKQMBLSUWNM-OCCSQVGLSA-N 1 2 313.760 1.973 20 30 DDEDLO CON=CC(=O)N[C@@H]1CC[N@@H+](C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000809736196 701684218 /nfs/dbraw/zinc/68/42/18/701684218.db2.gz JMIZKQMBLSUWNM-OCCSQVGLSA-N 1 2 313.760 1.973 20 30 DDEDLO C[C@H]1CC(=Nn2c(=O)cn[nH]c2=S)C[N@@H+]1Cc1ccccc1 ZINC000766482524 701053652 /nfs/dbraw/zinc/05/36/52/701053652.db2.gz NYBDHBLTNYMXFE-NSHDSACASA-N 1 2 315.402 1.426 20 30 DDEDLO C[C@H]1CC(=Nn2c(=O)cn[nH]c2=S)C[N@H+]1Cc1ccccc1 ZINC000766482524 701053654 /nfs/dbraw/zinc/05/36/54/701053654.db2.gz NYBDHBLTNYMXFE-NSHDSACASA-N 1 2 315.402 1.426 20 30 DDEDLO N#CCc1ccccc1C(=O)OCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000767469253 701109560 /nfs/dbraw/zinc/10/95/60/701109560.db2.gz DXRJEVFNQYVWDE-GASCZTMLSA-N 1 2 300.358 1.773 20 30 DDEDLO N#CCc1ccccc1C(=O)OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000767469253 701109562 /nfs/dbraw/zinc/10/95/62/701109562.db2.gz DXRJEVFNQYVWDE-GASCZTMLSA-N 1 2 300.358 1.773 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@H+](C)Cc3ncc(C)o3)C2)cn1 ZINC000768953397 701218530 /nfs/dbraw/zinc/21/85/30/701218530.db2.gz RUPKCLQTLFVBJE-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ncc(C)o3)C2)cn1 ZINC000768953397 701218532 /nfs/dbraw/zinc/21/85/32/701218532.db2.gz RUPKCLQTLFVBJE-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1cccc(F)c1C#N ZINC000770722548 701291955 /nfs/dbraw/zinc/29/19/55/701291955.db2.gz HKEPBUITEVAZHO-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cccc(F)c1C#N ZINC000770722548 701291956 /nfs/dbraw/zinc/29/19/56/701291956.db2.gz HKEPBUITEVAZHO-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO Cc1cc(C)n(-c2nncn2N=Cc2cccc3[nH+]ccn32)n1 ZINC000771095883 701309833 /nfs/dbraw/zinc/30/98/33/701309833.db2.gz AACFHUXPLQJZHJ-UHFFFAOYSA-N 1 2 306.333 1.611 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)OC(C)(C)C)CC[N@@H+]1CC(=O)NCCC#N ZINC000805799356 701410337 /nfs/dbraw/zinc/41/03/37/701410337.db2.gz REWRTIAXRMWRHE-RYUDHWBXSA-N 1 2 310.398 1.004 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)OC(C)(C)C)CC[N@H+]1CC(=O)NCCC#N ZINC000805799356 701410338 /nfs/dbraw/zinc/41/03/38/701410338.db2.gz REWRTIAXRMWRHE-RYUDHWBXSA-N 1 2 310.398 1.004 20 30 DDEDLO COC(=O)c1ccc(N[NH+]=Cc2cnn(C)c2N)cc1Cl ZINC000814902695 701768015 /nfs/dbraw/zinc/76/80/15/701768015.db2.gz YCWIZLJOPQBLHW-UHFFFAOYSA-N 1 2 307.741 1.888 20 30 DDEDLO CC(C)[C@@H]1N(C[NH+]2CCC(C)(C#N)CC2)C(=O)[C@@]12CCCO2 ZINC000840043657 701933981 /nfs/dbraw/zinc/93/39/81/701933981.db2.gz VARIIBUOQXQDNX-WMLDXEAASA-N 1 2 305.422 1.986 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000811493630 701994020 /nfs/dbraw/zinc/99/40/20/701994020.db2.gz MBENFKYLSFAKMA-KRWDZBQOSA-N 1 2 311.385 1.364 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000868515591 702172192 /nfs/dbraw/zinc/17/21/92/702172192.db2.gz ZYIVGTLBBJPQQM-WBVHZDCISA-N 1 2 315.417 1.517 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000868515591 702172195 /nfs/dbraw/zinc/17/21/95/702172195.db2.gz ZYIVGTLBBJPQQM-WBVHZDCISA-N 1 2 315.417 1.517 20 30 DDEDLO COc1ccc(C(N)=[NH+]O[C@H]2CCN(C(C)(C)C)C2=O)cc1 ZINC000818076005 702571783 /nfs/dbraw/zinc/57/17/83/702571783.db2.gz BAROPFCAYYYDIU-ZDUSSCGKSA-N 1 2 305.378 1.732 20 30 DDEDLO COC[C@H](C[N@@H+]1CCO[C@@H](C)C1)OC(=O)c1cccc(C#N)c1 ZINC000842962183 702794560 /nfs/dbraw/zinc/79/45/60/702794560.db2.gz MNHJONCCOJQLBX-BBRMVZONSA-N 1 2 318.373 1.451 20 30 DDEDLO COC[C@H](C[N@H+]1CCO[C@@H](C)C1)OC(=O)c1cccc(C#N)c1 ZINC000842962183 702794561 /nfs/dbraw/zinc/79/45/61/702794561.db2.gz MNHJONCCOJQLBX-BBRMVZONSA-N 1 2 318.373 1.451 20 30 DDEDLO COC[C@H](C[N@@H+]1CCO[C@H](C)C1)OC(=O)c1cc(C#N)[nH]c1C ZINC000842969835 702796478 /nfs/dbraw/zinc/79/64/78/702796478.db2.gz XVRFRQSDWYZUTQ-RISCZKNCSA-N 1 2 321.377 1.087 20 30 DDEDLO COC[C@H](C[N@H+]1CCO[C@H](C)C1)OC(=O)c1cc(C#N)[nH]c1C ZINC000842969835 702796481 /nfs/dbraw/zinc/79/64/81/702796481.db2.gz XVRFRQSDWYZUTQ-RISCZKNCSA-N 1 2 321.377 1.087 20 30 DDEDLO CC(C)[NH+]1CCN(S(=O)(=O)c2cc(F)cc(C#N)c2)CC1 ZINC000866469058 706706719 /nfs/dbraw/zinc/70/67/19/706706719.db2.gz OGDQMTUOXHLOSC-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc3cc[nH]c(=O)c3s2)CC1 ZINC000843781556 702923063 /nfs/dbraw/zinc/92/30/63/702923063.db2.gz WQJXDCJRCQZWBM-UHFFFAOYSA-N 1 2 315.398 1.371 20 30 DDEDLO C=C(C)CONC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000844283833 703004165 /nfs/dbraw/zinc/00/41/65/703004165.db2.gz HYWNHSBPNPKHAU-MRXNPFEDSA-N 1 2 319.405 1.694 20 30 DDEDLO C=C(C)CONC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000844283833 703004167 /nfs/dbraw/zinc/00/41/67/703004167.db2.gz HYWNHSBPNPKHAU-MRXNPFEDSA-N 1 2 319.405 1.694 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1Cc2ccccc2C[C@@H]1C(=O)NC1CC1 ZINC000844358650 703019404 /nfs/dbraw/zinc/01/94/04/703019404.db2.gz XKDKLPCGRIYYML-CXAGYDPISA-N 1 2 313.401 1.621 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1Cc2ccccc2C[C@@H]1C(=O)NC1CC1 ZINC000844358650 703019406 /nfs/dbraw/zinc/01/94/06/703019406.db2.gz XKDKLPCGRIYYML-CXAGYDPISA-N 1 2 313.401 1.621 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845276124 703137516 /nfs/dbraw/zinc/13/75/16/703137516.db2.gz IGPXMRISIFBGGB-WWGRRREGSA-N 1 2 320.393 1.820 20 30 DDEDLO N#CCOc1ccc(Cl)cc1C[N@@H+]1CCn2nncc2C1 ZINC000847033652 703369700 /nfs/dbraw/zinc/36/97/00/703369700.db2.gz FUZHVCCGJKCETM-UHFFFAOYSA-N 1 2 303.753 1.850 20 30 DDEDLO N#CCOc1ccc(Cl)cc1C[N@H+]1CCn2nncc2C1 ZINC000847033652 703369701 /nfs/dbraw/zinc/36/97/01/703369701.db2.gz FUZHVCCGJKCETM-UHFFFAOYSA-N 1 2 303.753 1.850 20 30 DDEDLO CS(=O)(=O)CC1CCC(=NNCCCn2cc[nH+]c2)CC1 ZINC000848416508 703547849 /nfs/dbraw/zinc/54/78/49/703547849.db2.gz NNNBMOGMHLIJSD-UHFFFAOYSA-N 1 2 312.439 1.454 20 30 DDEDLO COc1ccc2c(c1F)NC(=O)C2=NNCCCn1cc[nH+]c1 ZINC000848416485 703547859 /nfs/dbraw/zinc/54/78/59/703547859.db2.gz MQGLSCDQLQXWQG-UHFFFAOYSA-N 1 2 317.324 1.367 20 30 DDEDLO COC(=O)c1cnc(C(C)=NNCCCn2cc[nH+]c2)s1 ZINC000848416815 703547888 /nfs/dbraw/zinc/54/78/88/703547888.db2.gz ZLCCXIZQWHIOKU-UHFFFAOYSA-N 1 2 307.379 1.530 20 30 DDEDLO CC(=NNCCCn1cc[nH+]c1)c1ccc(S(C)(=O)=O)cc1 ZINC000848419249 703548545 /nfs/dbraw/zinc/54/85/45/703548545.db2.gz RZHLNNZMQUOVME-UHFFFAOYSA-N 1 2 320.418 1.691 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CN2C(=O)N[C@](C(C)C)(C3CC3)C2=O)C1 ZINC000848493724 703557483 /nfs/dbraw/zinc/55/74/83/703557483.db2.gz KUVUSXJWWKUYMZ-SUMWQHHRSA-N 1 2 303.406 1.646 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CN2C(=O)N[C@](C(C)C)(C3CC3)C2=O)C1 ZINC000848493724 703557484 /nfs/dbraw/zinc/55/74/84/703557484.db2.gz KUVUSXJWWKUYMZ-SUMWQHHRSA-N 1 2 303.406 1.646 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1Cc2cccnc2N2CCC[C@H]2C1 ZINC000879693236 706762098 /nfs/dbraw/zinc/76/20/98/706762098.db2.gz KQNQJLYFWGYCNN-GJZGRUSLSA-N 1 2 301.390 1.984 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1Cc2cccnc2N2CCC[C@H]2C1 ZINC000879693236 706762099 /nfs/dbraw/zinc/76/20/99/706762099.db2.gz KQNQJLYFWGYCNN-GJZGRUSLSA-N 1 2 301.390 1.984 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000851748615 703843591 /nfs/dbraw/zinc/84/35/91/703843591.db2.gz UDOXSVRXEMBEGM-UHFFFAOYSA-N 1 2 308.328 1.372 20 30 DDEDLO C#CCOCCN1CC[NH+](CCOc2cccc(OC)c2)CC1 ZINC000851812620 703862476 /nfs/dbraw/zinc/86/24/76/703862476.db2.gz IATWHJCESFSPHX-UHFFFAOYSA-N 1 2 318.417 1.341 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@@H](O)Cn2cccn2)C1 ZINC000852328628 704013476 /nfs/dbraw/zinc/01/34/76/704013476.db2.gz JKBYNCDVJZZLDI-NVXWUHKLSA-N 1 2 311.389 1.196 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@@H](O)Cn2cccn2)C1 ZINC000852328628 704013480 /nfs/dbraw/zinc/01/34/80/704013480.db2.gz JKBYNCDVJZZLDI-NVXWUHKLSA-N 1 2 311.389 1.196 20 30 DDEDLO Cn1ccc(C[N@@H+]2CCC[C@](C#N)(c3ccccn3)C2)cc1=O ZINC000852328016 704013828 /nfs/dbraw/zinc/01/38/28/704013828.db2.gz YMGAEICKZDRDFQ-GOSISDBHSA-N 1 2 308.385 1.838 20 30 DDEDLO Cn1ccc(C[N@H+]2CCC[C@](C#N)(c3ccccn3)C2)cc1=O ZINC000852328016 704013830 /nfs/dbraw/zinc/01/38/30/704013830.db2.gz YMGAEICKZDRDFQ-GOSISDBHSA-N 1 2 308.385 1.838 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000852633563 704088522 /nfs/dbraw/zinc/08/85/22/704088522.db2.gz GTOGVZOTHVTCAD-RDJZCZTQSA-N 1 2 318.373 1.246 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000852633563 704088524 /nfs/dbraw/zinc/08/85/24/704088524.db2.gz GTOGVZOTHVTCAD-RDJZCZTQSA-N 1 2 318.373 1.246 20 30 DDEDLO C=CC[N@H+](CN1C[C@H](c2ccccn2)CC1=O)[C@@H](C)COC ZINC000853532891 704261303 /nfs/dbraw/zinc/26/13/03/704261303.db2.gz OTQHSLVGRTYPGT-LSDHHAIUSA-N 1 2 303.406 1.878 20 30 DDEDLO C=CC[N@@H+](CN1C[C@H](c2ccccn2)CC1=O)[C@@H](C)COC ZINC000853532891 704261304 /nfs/dbraw/zinc/26/13/04/704261304.db2.gz OTQHSLVGRTYPGT-LSDHHAIUSA-N 1 2 303.406 1.878 20 30 DDEDLO C#C[C@@](C)(CC)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000854703719 704442347 /nfs/dbraw/zinc/44/23/47/704442347.db2.gz CMIQNLXTKVGKCR-PBHICJAKSA-N 1 2 318.421 1.401 20 30 DDEDLO C#C[C@@](C)(CC)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000854703719 704442349 /nfs/dbraw/zinc/44/23/49/704442349.db2.gz CMIQNLXTKVGKCR-PBHICJAKSA-N 1 2 318.421 1.401 20 30 DDEDLO C#CCCN(CCOC)C(=O)[C@H](CC)[N@@H+]1CCO[C@H](CC)C1 ZINC000856389656 704526047 /nfs/dbraw/zinc/52/60/47/704526047.db2.gz AVXPROSHFODZRA-CVEARBPZSA-N 1 2 310.438 1.374 20 30 DDEDLO C#CCCN(CCOC)C(=O)[C@H](CC)[N@H+]1CCO[C@H](CC)C1 ZINC000856389656 704526048 /nfs/dbraw/zinc/52/60/48/704526048.db2.gz AVXPROSHFODZRA-CVEARBPZSA-N 1 2 310.438 1.374 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000859025977 704786666 /nfs/dbraw/zinc/78/66/66/704786666.db2.gz ZNKKNVGLQLJHSH-CQSZACIVSA-N 1 2 300.362 1.562 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](C[C@@H](O)CC3(O)CCC3)CCO2)c1 ZINC000859219273 704826117 /nfs/dbraw/zinc/82/61/17/704826117.db2.gz TZURMQVDEJSVEZ-IRXDYDNUSA-N 1 2 316.401 1.598 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](C[C@@H](O)CC3(O)CCC3)CCO2)c1 ZINC000859219273 704826121 /nfs/dbraw/zinc/82/61/21/704826121.db2.gz TZURMQVDEJSVEZ-IRXDYDNUSA-N 1 2 316.401 1.598 20 30 DDEDLO C#CCn1ccc(CN(CCOC)Cc2[nH]c(C)c(C)[nH+]2)n1 ZINC000862350796 705699282 /nfs/dbraw/zinc/69/92/82/705699282.db2.gz BNBCXFFNMFPISM-UHFFFAOYSA-N 1 2 301.394 1.505 20 30 DDEDLO CC(=[NH+]N[C@@H]1CCCS(=O)(=O)C1)c1cc(F)c(N)c(F)c1 ZINC000863136110 705868675 /nfs/dbraw/zinc/86/86/75/705868675.db2.gz WKRTXUWJGHTYJQ-SNVBAGLBSA-N 1 2 317.361 1.438 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC[N@@H+]1CCC2(C1)OCCO2 ZINC000826940659 705896057 /nfs/dbraw/zinc/89/60/57/705896057.db2.gz DUCLBIBYJJYTQJ-UHFFFAOYSA-N 1 2 315.373 1.045 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC[N@H+]1CCC2(C1)OCCO2 ZINC000826940659 705896060 /nfs/dbraw/zinc/89/60/60/705896060.db2.gz DUCLBIBYJJYTQJ-UHFFFAOYSA-N 1 2 315.373 1.045 20 30 DDEDLO N#C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)CCN1Cc1ccccc1 ZINC000827799257 706069176 /nfs/dbraw/zinc/06/91/76/706069176.db2.gz MWRIFYCJVGAONP-OAHLLOKOSA-N 1 2 309.373 1.189 20 30 DDEDLO C#CCn1ccc(CN(CC)CC(C)(C)[NH+]2CCOCC2)n1 ZINC000877484900 706120193 /nfs/dbraw/zinc/12/01/93/706120193.db2.gz SGFJFWMCYOYNML-UHFFFAOYSA-N 1 2 304.438 1.449 20 30 DDEDLO CCOC[C@H]1C[N@H+](Cc2cc(C#N)ccc2N(C)C)CCO1 ZINC000877656171 706172218 /nfs/dbraw/zinc/17/22/18/706172218.db2.gz ZFSIJWNPMOHNPY-MRXNPFEDSA-N 1 2 303.406 1.862 20 30 DDEDLO CCOC[C@H]1C[N@@H+](Cc2cc(C#N)ccc2N(C)C)CCO1 ZINC000877656171 706172219 /nfs/dbraw/zinc/17/22/19/706172219.db2.gz ZFSIJWNPMOHNPY-MRXNPFEDSA-N 1 2 303.406 1.862 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)C[C@@H]2C(C)(C)C2(F)F)CC1 ZINC000881812017 707375416 /nfs/dbraw/zinc/37/54/16/707375416.db2.gz YZHMPESBAXNMAE-GFCCVEGCSA-N 1 2 320.405 1.248 20 30 DDEDLO Cc1cc(C)c(CNC(=O)NCCNc2ccc(C#N)cn2)c[nH+]1 ZINC000836238132 707422830 /nfs/dbraw/zinc/42/28/30/707422830.db2.gz YXWAJUSEIKWWJB-UHFFFAOYSA-N 1 2 324.388 1.876 20 30 DDEDLO C#C[C@@H](CO)NC(=O)Nc1ccccc1OCCn1cc[nH+]c1 ZINC000878663625 706461945 /nfs/dbraw/zinc/46/19/45/706461945.db2.gz MULXVDZTTXKPRF-ZDUSSCGKSA-N 1 2 314.345 1.078 20 30 DDEDLO CCCCC[C@H](C)NC(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000878776169 706496632 /nfs/dbraw/zinc/49/66/32/706496632.db2.gz IIOIUURGKYIXOK-HRCADAONSA-N 1 2 308.470 1.990 20 30 DDEDLO CCCCC[C@H](C)NC(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000878776169 706496634 /nfs/dbraw/zinc/49/66/34/706496634.db2.gz IIOIUURGKYIXOK-HRCADAONSA-N 1 2 308.470 1.990 20 30 DDEDLO COCC1([N@H+](C)CCS(=O)(=O)c2ccc(C#N)cn2)CCC1 ZINC000880185080 706905018 /nfs/dbraw/zinc/90/50/18/706905018.db2.gz DVMKBQCPSJOAGD-UHFFFAOYSA-N 1 2 323.418 1.228 20 30 DDEDLO COCC1([N@@H+](C)CCS(=O)(=O)c2ccc(C#N)cn2)CCC1 ZINC000880185080 706905022 /nfs/dbraw/zinc/90/50/22/706905022.db2.gz DVMKBQCPSJOAGD-UHFFFAOYSA-N 1 2 323.418 1.228 20 30 DDEDLO COC(=O)CC[N@H+](CC(=O)OC(C)(C)C)[C@@H]1CC[C@@H](C#N)C1 ZINC000880573502 707022996 /nfs/dbraw/zinc/02/29/96/707022996.db2.gz IGTUWSSIHVILFZ-CHWSQXEVSA-N 1 2 310.394 1.885 20 30 DDEDLO COC(=O)CC[N@@H+](CC(=O)OC(C)(C)C)[C@@H]1CC[C@@H](C#N)C1 ZINC000880573502 707022997 /nfs/dbraw/zinc/02/29/97/707022997.db2.gz IGTUWSSIHVILFZ-CHWSQXEVSA-N 1 2 310.394 1.885 20 30 DDEDLO CN(c1cccc(C#N)c1)[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC000880657074 707049505 /nfs/dbraw/zinc/04/95/05/707049505.db2.gz FNSKMVKKOVMLKP-QGZVFWFLSA-N 1 2 323.400 1.882 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H]2CCC3(CCOCC3)CO2)nn1 ZINC000880951499 707097722 /nfs/dbraw/zinc/09/77/22/707097722.db2.gz CSVKIQXCWOAIII-MRXNPFEDSA-N 1 2 318.421 1.367 20 30 DDEDLO CCN(CC(F)(F)F)C(=O)CO[NH+]=C(N)c1ccc(F)cc1 ZINC000871722234 707176900 /nfs/dbraw/zinc/17/69/00/707176900.db2.gz KMHAPQADMPCKRZ-UHFFFAOYSA-N 1 2 321.274 1.873 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)c1cccc2[nH+]ccn21)C(=O)OCC ZINC000881752810 707351468 /nfs/dbraw/zinc/35/14/68/707351468.db2.gz ABWKXVJLDHUBBD-GFCCVEGCSA-N 1 2 301.346 1.962 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCCc2cn(C)c[nH+]2)c(C#N)c1 ZINC000872494464 707445041 /nfs/dbraw/zinc/44/50/41/707445041.db2.gz DARPMLYYGWDGHZ-UHFFFAOYSA-N 1 2 304.375 1.121 20 30 DDEDLO C#C[C@@](C)(CC)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000882227598 707548250 /nfs/dbraw/zinc/54/82/50/707548250.db2.gz NLFBOVZYJVFHBK-INIZCTEOSA-N 1 2 306.431 1.755 20 30 DDEDLO C#C[C@@](C)(CC)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000882227598 707548253 /nfs/dbraw/zinc/54/82/53/707548253.db2.gz NLFBOVZYJVFHBK-INIZCTEOSA-N 1 2 306.431 1.755 20 30 DDEDLO C[NH+]1CCN(C(=O)c2ccccc2NC(=O)C#CC2CC2)CC1 ZINC000837336552 707623394 /nfs/dbraw/zinc/62/33/94/707623394.db2.gz BPLRHVPMUOPVEI-UHFFFAOYSA-N 1 2 311.385 1.426 20 30 DDEDLO Cn1c[nH+]cc1CCOC(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C ZINC000837623284 707698463 /nfs/dbraw/zinc/69/84/63/707698463.db2.gz IQZOPSQWOHOEPI-MRXNPFEDSA-N 1 2 321.377 1.767 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000883007071 707894856 /nfs/dbraw/zinc/89/48/56/707894856.db2.gz KWLVQHPQLYSZPS-UONOGXRCSA-N 1 2 306.410 1.424 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000883007071 707894860 /nfs/dbraw/zinc/89/48/60/707894860.db2.gz KWLVQHPQLYSZPS-UONOGXRCSA-N 1 2 306.410 1.424 20 30 DDEDLO COCCCNC(=S)NN=C1CC[N@H+](Cc2ccccc2)C1 ZINC000839394356 708046147 /nfs/dbraw/zinc/04/61/47/708046147.db2.gz FWEDLAHUKBJZKM-UHFFFAOYSA-N 1 2 320.462 1.749 20 30 DDEDLO COCCCNC(=S)NN=C1CC[N@@H+](Cc2ccccc2)C1 ZINC000839394356 708046149 /nfs/dbraw/zinc/04/61/49/708046149.db2.gz FWEDLAHUKBJZKM-UHFFFAOYSA-N 1 2 320.462 1.749 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H](C)c1ccccc1F ZINC000884071951 708126795 /nfs/dbraw/zinc/12/67/95/708126795.db2.gz LVLLMIMXZAYEJE-FZMZJTMJSA-N 1 2 308.353 1.792 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CC)Cc1ccccc1C ZINC000884079800 708129645 /nfs/dbraw/zinc/12/96/45/708129645.db2.gz BJRFFRAYKQALSR-HNNXBMFYSA-N 1 2 304.390 1.790 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)N[C@@H](C)Cc2cc(C)[nH]n2)C1 ZINC000884228198 708199981 /nfs/dbraw/zinc/19/99/81/708199981.db2.gz KJPFPSIAUPMDHG-JSGCOSHPSA-N 1 2 303.410 1.046 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)N[C@@H](C)Cc2cc(C)[nH]n2)C1 ZINC000884228198 708199984 /nfs/dbraw/zinc/19/99/84/708199984.db2.gz KJPFPSIAUPMDHG-JSGCOSHPSA-N 1 2 303.410 1.046 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1[C@H](c2ccccc2)C1(C)C ZINC000884429350 708293449 /nfs/dbraw/zinc/29/34/49/708293449.db2.gz GANBCUHCWJCOGZ-BPUTZDHNSA-N 1 2 316.401 1.741 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=Cc2cc(Br)cc(F)c2O)N1 ZINC000884447857 708301152 /nfs/dbraw/zinc/30/11/52/708301152.db2.gz LOEDGADEXNUKPN-LURJTMIESA-N 1 2 315.146 1.565 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cccc(COC)c2)C1 ZINC000885511247 708562460 /nfs/dbraw/zinc/56/24/60/708562460.db2.gz DIPMFQGYYGMABU-HNNXBMFYSA-N 1 2 322.430 1.209 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cccc(COC)c2)C1 ZINC000885511247 708562462 /nfs/dbraw/zinc/56/24/62/708562462.db2.gz DIPMFQGYYGMABU-HNNXBMFYSA-N 1 2 322.430 1.209 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@](CO)(C(F)(F)F)C1 ZINC000886793648 708845598 /nfs/dbraw/zinc/84/55/98/708845598.db2.gz QETZRJAZYQCFJH-OLZOCXBDSA-N 1 2 321.343 1.288 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@](CO)(C(F)(F)F)C1 ZINC000886793648 708845600 /nfs/dbraw/zinc/84/56/00/708845600.db2.gz QETZRJAZYQCFJH-OLZOCXBDSA-N 1 2 321.343 1.288 20 30 DDEDLO C/C(=C\C(=O)N(C)Cc1ccc(C#N)cc1)C[NH+]1CCOCC1 ZINC000900128360 709300757 /nfs/dbraw/zinc/30/07/57/709300757.db2.gz RYGVBPJNMRDHFR-RVDMUPIBSA-N 1 2 313.401 1.795 20 30 DDEDLO N#Cc1c(F)cccc1N1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000900175655 709316076 /nfs/dbraw/zinc/31/60/76/709316076.db2.gz BWOYOJHFAUNLAL-UHFFFAOYSA-N 1 2 313.336 1.312 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)N2CCC[C@H](C#N)C2)CC1 ZINC000928219646 713154240 /nfs/dbraw/zinc/15/42/40/713154240.db2.gz BFHGHRFBVHZESW-CQSZACIVSA-N 1 2 315.421 1.394 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](Cc2cn3ccnc3s2)CC1 ZINC000891540104 710230847 /nfs/dbraw/zinc/23/08/47/710230847.db2.gz HWRPJXNDWXHYIW-UHFFFAOYSA-N 1 2 324.413 1.985 20 30 DDEDLO C/C(=C\C(=O)N1CCc2c1cccc2C#N)C[NH+]1CCOCC1 ZINC000911185155 710673867 /nfs/dbraw/zinc/67/38/67/710673867.db2.gz WPPBUEXAAGRPOP-SDNWHVSQSA-N 1 2 311.385 1.726 20 30 DDEDLO N#Cc1ccc(N2CCN(c3nccn4c[nH+]cc34)CC2)cn1 ZINC000893704311 710786319 /nfs/dbraw/zinc/78/63/19/710786319.db2.gz YQCADNMFEFBDOR-UHFFFAOYSA-N 1 2 305.345 1.323 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(-c2cc(C)cc(F)c2)no1 ZINC000904084546 711377323 /nfs/dbraw/zinc/37/73/23/711377323.db2.gz DDOFMMHTPSWIFY-LBPRGKRZSA-N 1 2 305.309 1.783 20 30 DDEDLO CCOc1ccc(C[NH+]2CCN(C(=O)CC#N)CC2)c(C)c1 ZINC000895066330 711394463 /nfs/dbraw/zinc/39/44/63/711394463.db2.gz IGSWKSRDURKSSQ-UHFFFAOYSA-N 1 2 301.390 1.952 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCN(C(=O)CC#N)CC2)cc1C ZINC000895072992 711397597 /nfs/dbraw/zinc/39/75/97/711397597.db2.gz FNFGSCISXXLOAF-UHFFFAOYSA-N 1 2 315.373 1.340 20 30 DDEDLO C[C@@H](Nc1cccc(C#N)c1[N+](=O)[O-])[C@H]1CN(C)CC[N@@H+]1C ZINC000895245913 711463434 /nfs/dbraw/zinc/46/34/34/711463434.db2.gz JQILEPDPMGQANQ-BXUZGUMPSA-N 1 2 303.366 1.513 20 30 DDEDLO C[C@@H](Nc1cccc(C#N)c1[N+](=O)[O-])[C@H]1CN(C)CC[N@H+]1C ZINC000895245913 711463435 /nfs/dbraw/zinc/46/34/35/711463435.db2.gz JQILEPDPMGQANQ-BXUZGUMPSA-N 1 2 303.366 1.513 20 30 DDEDLO C=C(C[NH+](C)C)C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC000913559147 713260023 /nfs/dbraw/zinc/26/00/23/713260023.db2.gz DULMAKXTDQPTOI-CYBMUJFWSA-N 1 2 315.377 1.028 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)/N=C/c1c(CO)cnc(C)c1O ZINC000905002076 711908760 /nfs/dbraw/zinc/90/87/60/711908760.db2.gz FNCXAMQRRWGYHA-RMNBPEERSA-N 1 2 307.394 1.118 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)[C@H](C)C[NH+]1CCOCC1 ZINC000908653808 712829928 /nfs/dbraw/zinc/82/99/28/712829928.db2.gz WNPIHVIOGZSPPF-CABCVRRESA-N 1 2 308.422 1.481 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H](NS(=O)(=O)CC(C)(C)C#N)C1 ZINC000914298241 713372685 /nfs/dbraw/zinc/37/26/85/713372685.db2.gz RRFJFOSNZHXFSU-CQSZACIVSA-N 1 2 307.419 1.642 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H](NS(=O)(=O)CC(C)(C)C#N)C1 ZINC000914298241 713372687 /nfs/dbraw/zinc/37/26/87/713372687.db2.gz RRFJFOSNZHXFSU-CQSZACIVSA-N 1 2 307.419 1.642 20 30 DDEDLO Fc1ccc(CNC(=S)NN=C2C[NH+]3CCC2CC3)cc1 ZINC000915048322 713407237 /nfs/dbraw/zinc/40/72/37/713407237.db2.gz CUKFLJPFNZRGFG-UHFFFAOYSA-N 1 2 306.410 1.871 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2ccccc2C#N)C[C@@H]1C ZINC000919571423 713616133 /nfs/dbraw/zinc/61/61/33/713616133.db2.gz UYGBEHWYGUNJIN-BETUJISGSA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2ccccc2C#N)C[C@@H]1C ZINC000919571423 713616134 /nfs/dbraw/zinc/61/61/34/713616134.db2.gz UYGBEHWYGUNJIN-BETUJISGSA-N 1 2 307.419 1.661 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)N(C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000931146656 714016138 /nfs/dbraw/zinc/01/61/38/714016138.db2.gz PLQJFPDSWCCOIP-UHFFFAOYSA-N 1 2 317.345 1.018 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)OCc2cc(Cl)cc(C#N)c2)[N@H+](C)C1 ZINC000922717932 714126605 /nfs/dbraw/zinc/12/66/05/714126605.db2.gz ZJQGEZHTMPZULN-KBPBESRZSA-N 1 2 308.765 1.974 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)OCc2cc(Cl)cc(C#N)c2)[N@@H+](C)C1 ZINC000922717932 714126606 /nfs/dbraw/zinc/12/66/06/714126606.db2.gz ZJQGEZHTMPZULN-KBPBESRZSA-N 1 2 308.765 1.974 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@@H+]2CCC[C@H](C#N)C2)cc1 ZINC000932155150 714273177 /nfs/dbraw/zinc/27/31/77/714273177.db2.gz XKZWMTLBEVPPAY-SJORKVTESA-N 1 2 318.417 1.851 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@H+]2CCC[C@H](C#N)C2)cc1 ZINC000932155150 714273179 /nfs/dbraw/zinc/27/31/79/714273179.db2.gz XKZWMTLBEVPPAY-SJORKVTESA-N 1 2 318.417 1.851 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC000923490172 714375037 /nfs/dbraw/zinc/37/50/37/714375037.db2.gz SSUMFKHTBFAWLR-OAHLLOKOSA-N 1 2 316.405 1.706 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](c2ncc(C(=O)OC)s2)C1 ZINC000932660966 714380641 /nfs/dbraw/zinc/38/06/41/714380641.db2.gz UNHGQUINAIDTDA-SNVBAGLBSA-N 1 2 309.391 1.021 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](c2ncc(C(=O)OC)s2)C1 ZINC000932660966 714380644 /nfs/dbraw/zinc/38/06/44/714380644.db2.gz UNHGQUINAIDTDA-SNVBAGLBSA-N 1 2 309.391 1.021 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(-c3ccccn3)no2)C1 ZINC000923573332 714411797 /nfs/dbraw/zinc/41/17/97/714411797.db2.gz MAHKOPHUHMNAIC-CYBMUJFWSA-N 1 2 310.357 1.564 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(-c3ccccn3)no2)C1 ZINC000923573332 714411802 /nfs/dbraw/zinc/41/18/02/714411802.db2.gz MAHKOPHUHMNAIC-CYBMUJFWSA-N 1 2 310.357 1.564 20 30 DDEDLO C=CC[C@H]1CC[N@H+]1Cc1nnc2n1c1ccccc1c(=O)n2C ZINC000934473002 714828582 /nfs/dbraw/zinc/82/85/82/714828582.db2.gz TVTMVESIUFVJTC-LBPRGKRZSA-N 1 2 309.373 1.732 20 30 DDEDLO C=CC[C@H]1CC[N@@H+]1Cc1nnc2n1c1ccccc1c(=O)n2C ZINC000934473002 714828583 /nfs/dbraw/zinc/82/85/83/714828583.db2.gz TVTMVESIUFVJTC-LBPRGKRZSA-N 1 2 309.373 1.732 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC(C)(C#N)CC1 ZINC000925592291 714894665 /nfs/dbraw/zinc/89/46/65/714894665.db2.gz HIXKVPSAZAEDOL-CQSZACIVSA-N 1 2 308.426 1.432 20 30 DDEDLO CC[C@@H]([NH2+][C@@H]1CCN(S(C)(=O)=O)C1)c1cccc(C#N)c1O ZINC000926646626 715105360 /nfs/dbraw/zinc/10/53/60/715105360.db2.gz BMEWYVMNOPPCSV-TZMCWYRMSA-N 1 2 323.418 1.338 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2C(=O)CCc1c[nH]c[nH+]1 ZINC000937493377 715386753 /nfs/dbraw/zinc/38/67/53/715386753.db2.gz AFLXHPAYVJNSMI-KBPBESRZSA-N 1 2 316.405 1.366 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2C(=O)CCc1c[nH+]c[nH]1 ZINC000937493377 715386756 /nfs/dbraw/zinc/38/67/56/715386756.db2.gz AFLXHPAYVJNSMI-KBPBESRZSA-N 1 2 316.405 1.366 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH+]2CCN(C(=O)C3CC3)CC2)C1 ZINC000956572190 715474714 /nfs/dbraw/zinc/47/47/14/715474714.db2.gz YITSVIJEWVWGAO-HNNXBMFYSA-N 1 2 319.449 1.354 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC)C2)CC1 ZINC000956604695 715485352 /nfs/dbraw/zinc/48/53/52/715485352.db2.gz GATZGJLCGHSHII-YJBOKZPZSA-N 1 2 321.465 1.744 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](C[C@@H]3CC[C@@H](C)O3)CC2)nc1 ZINC000957378801 715870807 /nfs/dbraw/zinc/87/08/07/715870807.db2.gz IOPGXFYABLGCBB-ZBFHGGJFSA-N 1 2 313.401 1.388 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC000939007173 716083171 /nfs/dbraw/zinc/08/31/71/716083171.db2.gz VQXJBXXMNJJXGP-ZDUSSCGKSA-N 1 2 304.394 1.155 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2ccccc2-n2ccnn2)CC1 ZINC000957933256 716238006 /nfs/dbraw/zinc/23/80/06/716238006.db2.gz BGVMLTAHSCUMPU-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2sccc2F)C1 ZINC000958031775 716285728 /nfs/dbraw/zinc/28/57/28/716285728.db2.gz WKRCJCNMPRIADH-KOLCDFICSA-N 1 2 318.801 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2sccc2F)C1 ZINC000958031775 716285731 /nfs/dbraw/zinc/28/57/31/716285731.db2.gz WKRCJCNMPRIADH-KOLCDFICSA-N 1 2 318.801 1.662 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)no1 ZINC000960620837 716634386 /nfs/dbraw/zinc/63/43/86/716634386.db2.gz BDXBCHORJSHGQO-MRXNPFEDSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)no1 ZINC000960620837 716634389 /nfs/dbraw/zinc/63/43/89/716634389.db2.gz BDXBCHORJSHGQO-MRXNPFEDSA-N 1 2 301.390 1.819 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000961459454 716954960 /nfs/dbraw/zinc/95/49/60/716954960.db2.gz OALNJYNEJYETCR-FICVDOATSA-N 1 2 309.373 1.318 20 30 DDEDLO C=CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000961459454 716954962 /nfs/dbraw/zinc/95/49/62/716954962.db2.gz OALNJYNEJYETCR-FICVDOATSA-N 1 2 309.373 1.318 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000940811289 716970834 /nfs/dbraw/zinc/97/08/34/716970834.db2.gz FTGZYYNFBKLYLV-YRGRVCCFSA-N 1 2 301.394 1.253 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000940811289 716970835 /nfs/dbraw/zinc/97/08/35/716970835.db2.gz FTGZYYNFBKLYLV-YRGRVCCFSA-N 1 2 301.394 1.253 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000940844292 716987237 /nfs/dbraw/zinc/98/72/37/716987237.db2.gz GTUDLEJAPZFDDS-KBPBESRZSA-N 1 2 315.421 1.186 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4coc(C)n4)C[C@H]32)C1 ZINC000961735251 717079014 /nfs/dbraw/zinc/07/90/14/717079014.db2.gz SNYYJLCATFCHPU-FICVDOATSA-N 1 2 301.390 1.886 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4coc(C)n4)C[C@H]32)C1 ZINC000961735251 717079017 /nfs/dbraw/zinc/07/90/17/717079017.db2.gz SNYYJLCATFCHPU-FICVDOATSA-N 1 2 301.390 1.886 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc4occc4c3)CC2)C1 ZINC000941417172 717173649 /nfs/dbraw/zinc/17/36/49/717173649.db2.gz VVZRCJMSJDVBLD-UHFFFAOYSA-N 1 2 323.396 1.508 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)CC2)C1 ZINC000941483497 717185143 /nfs/dbraw/zinc/18/51/43/717185143.db2.gz AAWTUTKITAUOJA-HZPDHXFCSA-N 1 2 303.450 1.130 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1CC ZINC000961824658 717220396 /nfs/dbraw/zinc/22/03/96/717220396.db2.gz PKWKPNODEFZKBB-KVSVUVNWSA-N 1 2 313.239 1.988 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1CC ZINC000961824658 717220400 /nfs/dbraw/zinc/22/04/00/717220400.db2.gz PKWKPNODEFZKBB-KVSVUVNWSA-N 1 2 313.239 1.988 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cccn3C(C)C)CC2)C1 ZINC000941670297 717249328 /nfs/dbraw/zinc/24/93/28/717249328.db2.gz MZQDKJNSVIEXSB-UHFFFAOYSA-N 1 2 314.433 1.144 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000966669948 718623537 /nfs/dbraw/zinc/62/35/37/718623537.db2.gz JRPRLALJJFAGKK-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000966671356 718624257 /nfs/dbraw/zinc/62/42/57/718624257.db2.gz ONTBCRVKSRIBFI-KGLIPLIRSA-N 1 2 318.421 1.449 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2sc3nccn3c2C)C1 ZINC000966818015 718673303 /nfs/dbraw/zinc/67/33/03/718673303.db2.gz YHQYHXHGMPPHAF-YPMHNXCESA-N 1 2 316.430 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2sc3nccn3c2C)C1 ZINC000966818015 718673304 /nfs/dbraw/zinc/67/33/04/718673304.db2.gz YHQYHXHGMPPHAF-YPMHNXCESA-N 1 2 316.430 1.778 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000967187219 718787794 /nfs/dbraw/zinc/78/77/94/718787794.db2.gz UPQYEOTUSZQNAE-RISCZKNCSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000967187219 718787795 /nfs/dbraw/zinc/78/77/95/718787795.db2.gz UPQYEOTUSZQNAE-RISCZKNCSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)Cn2c[nH+]cc2C)C[C@H]1C ZINC000947552681 719209418 /nfs/dbraw/zinc/20/94/18/719209418.db2.gz XAYJRFUVOLZTQR-OCCSQVGLSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC000968609771 719690287 /nfs/dbraw/zinc/69/02/87/719690287.db2.gz LAJNSEXLXOJOFS-MFKMUULPSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC000968609771 719690299 /nfs/dbraw/zinc/69/02/99/719690299.db2.gz LAJNSEXLXOJOFS-MFKMUULPSA-N 1 2 309.797 1.980 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000968684875 719735139 /nfs/dbraw/zinc/73/51/39/719735139.db2.gz JZUFIRWWBUKCTC-ZFWWWQNUSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000968684875 719735140 /nfs/dbraw/zinc/73/51/40/719735140.db2.gz JZUFIRWWBUKCTC-ZFWWWQNUSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CCCCO1 ZINC000948910169 719781179 /nfs/dbraw/zinc/78/11/79/719781179.db2.gz KXFSCNHXDPAPEP-MSOLQXFVSA-N 1 2 312.413 1.904 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CCCCO1 ZINC000948910169 719781184 /nfs/dbraw/zinc/78/11/84/719781184.db2.gz KXFSCNHXDPAPEP-MSOLQXFVSA-N 1 2 312.413 1.904 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC000948919614 719785977 /nfs/dbraw/zinc/78/59/77/719785977.db2.gz MFNHYKAUHAORPX-IEZWGBDMSA-N 1 2 310.397 1.372 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC000948919614 719785980 /nfs/dbraw/zinc/78/59/80/719785980.db2.gz MFNHYKAUHAORPX-IEZWGBDMSA-N 1 2 310.397 1.372 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@H]2CCc3[nH+]c(C)[nH]c3C2)CC1 ZINC000948957029 719802147 /nfs/dbraw/zinc/80/21/47/719802147.db2.gz FEIWBWQOZRPLBL-ZDUSSCGKSA-N 1 2 322.840 1.720 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@H]2CCc3[nH]c(C)[nH+]c3C2)CC1 ZINC000948957029 719802149 /nfs/dbraw/zinc/80/21/49/719802149.db2.gz FEIWBWQOZRPLBL-ZDUSSCGKSA-N 1 2 322.840 1.720 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ncccn1 ZINC000948974716 719819364 /nfs/dbraw/zinc/81/93/64/719819364.db2.gz KALYGOCBLKURIL-QGZVFWFLSA-N 1 2 320.396 1.829 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ncccn1 ZINC000948974716 719819367 /nfs/dbraw/zinc/81/93/67/719819367.db2.gz KALYGOCBLKURIL-QGZVFWFLSA-N 1 2 320.396 1.829 20 30 DDEDLO N#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000968920016 719869164 /nfs/dbraw/zinc/86/91/64/719869164.db2.gz NPIOUYARYXMSIU-GJZGRUSLSA-N 1 2 315.421 1.330 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCCCCN1CC#N ZINC000969038053 719934092 /nfs/dbraw/zinc/93/40/92/719934092.db2.gz UGZFWLMGFHGRSO-RRFJBIMHSA-N 1 2 315.421 1.408 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@@H](CC)O3)CC2)C1 ZINC000949379009 720049500 /nfs/dbraw/zinc/04/95/00/720049500.db2.gz JQHYJLYVCXVYGP-HZPDHXFCSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@@H](CC)O3)CC2)C1 ZINC000949379009 720049505 /nfs/dbraw/zinc/04/95/05/720049505.db2.gz JQHYJLYVCXVYGP-HZPDHXFCSA-N 1 2 320.433 1.271 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2c[nH]nc2[C@@H]2CCOC2)CC1 ZINC000949499373 720124904 /nfs/dbraw/zinc/12/49/04/720124904.db2.gz VOWQAYCDOYWXNM-CYBMUJFWSA-N 1 2 304.394 1.248 20 30 DDEDLO C=CCN1CCOC2(CCN(C(=O)Cn3cc[nH+]c3C)CC2)C1 ZINC000949526116 720140425 /nfs/dbraw/zinc/14/04/25/720140425.db2.gz DHQOXPSQDQWKLS-UHFFFAOYSA-N 1 2 318.421 1.071 20 30 DDEDLO C=CC[N@@H+]1CCOC2(CCN(C(=O)Cn3ccnc3C)CC2)C1 ZINC000949526116 720140426 /nfs/dbraw/zinc/14/04/26/720140426.db2.gz DHQOXPSQDQWKLS-UHFFFAOYSA-N 1 2 318.421 1.071 20 30 DDEDLO C=CC[N@H+]1CCOC2(CCN(C(=O)Cn3ccnc3C)CC2)C1 ZINC000949526116 720140428 /nfs/dbraw/zinc/14/04/28/720140428.db2.gz DHQOXPSQDQWKLS-UHFFFAOYSA-N 1 2 318.421 1.071 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(C3CC3)cnn2C)C1 ZINC000950492989 720656675 /nfs/dbraw/zinc/65/66/75/720656675.db2.gz JOIYSCQHIOBJMT-UHFFFAOYSA-N 1 2 300.406 1.467 20 30 DDEDLO C=CCOc1ccc(C(=O)N(CC)C2C[NH+](CCO)C2)cc1 ZINC000950645216 720719324 /nfs/dbraw/zinc/71/93/24/720719324.db2.gz IRASVYKRMWFIAG-UHFFFAOYSA-N 1 2 304.390 1.390 20 30 DDEDLO C#CC[NH+]1CC([C@H](C)NC(=O)c2nnc3ccccc3c2O)C1 ZINC000970508189 720790821 /nfs/dbraw/zinc/79/08/21/720790821.db2.gz FKEXHTIKTHZUDK-NSHDSACASA-N 1 2 310.357 1.019 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc3ccccc3nn2)C1 ZINC000951103121 720918191 /nfs/dbraw/zinc/91/81/91/720918191.db2.gz CLDHRJLKHLAYJU-UHFFFAOYSA-N 1 2 308.385 1.799 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC000970909728 720981977 /nfs/dbraw/zinc/98/19/77/720981977.db2.gz BWKDELWTJLDBAW-NWDGAFQWSA-N 1 2 322.840 1.704 20 30 DDEDLO CC(C)n1ccc(C[N@H+](C)[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000971211090 721180263 /nfs/dbraw/zinc/18/02/63/721180263.db2.gz NFDOSPFYEQMETB-DZGCQCFKSA-N 1 2 303.410 1.656 20 30 DDEDLO CC(C)n1ccc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000971211090 721180269 /nfs/dbraw/zinc/18/02/69/721180269.db2.gz NFDOSPFYEQMETB-DZGCQCFKSA-N 1 2 303.410 1.656 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+](C)[C@@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971364290 721259278 /nfs/dbraw/zinc/25/92/78/721259278.db2.gz SRPDYIJBNSBKOZ-GXTWGEPZSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+](C)[C@@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971364290 721259280 /nfs/dbraw/zinc/25/92/80/721259280.db2.gz SRPDYIJBNSBKOZ-GXTWGEPZSA-N 1 2 324.388 1.584 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccn3C(C)C)C2)C1 ZINC000972676268 735463233 /nfs/dbraw/zinc/46/32/33/735463233.db2.gz IEIOQBXHJPUNPV-SFHVURJKSA-N 1 2 315.417 1.619 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccn3C(C)C)C2)C1 ZINC000972676268 735463234 /nfs/dbraw/zinc/46/32/34/735463234.db2.gz IEIOQBXHJPUNPV-SFHVURJKSA-N 1 2 315.417 1.619 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc(C(C)C)nc1C ZINC001038772325 738544916 /nfs/dbraw/zinc/54/49/16/738544916.db2.gz IFEBCUSCQIKMMN-AWEZNQCLSA-N 1 2 300.406 1.736 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc(C(C)C)nc1C ZINC001038772325 738544918 /nfs/dbraw/zinc/54/49/18/738544918.db2.gz IFEBCUSCQIKMMN-AWEZNQCLSA-N 1 2 300.406 1.736 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCOC1 ZINC001027781411 738655225 /nfs/dbraw/zinc/65/52/25/738655225.db2.gz HQLJVNDNWKPLLK-VXGBXAGGSA-N 1 2 317.227 1.512 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCOC1 ZINC001027781411 738655226 /nfs/dbraw/zinc/65/52/26/738655226.db2.gz HQLJVNDNWKPLLK-VXGBXAGGSA-N 1 2 317.227 1.512 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001027812840 738687724 /nfs/dbraw/zinc/68/77/24/738687724.db2.gz JSDLOTBLYUEOLN-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001027812840 738687728 /nfs/dbraw/zinc/68/77/28/738687728.db2.gz JSDLOTBLYUEOLN-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCc3ccccc3C)[C@H]2C1 ZINC001083204392 733189996 /nfs/dbraw/zinc/18/99/96/733189996.db2.gz OEZFACBFHKIPSJ-ZWKOTPCHSA-N 1 2 312.413 1.472 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCc3ccccc3C)[C@H]2C1 ZINC001083204392 733189999 /nfs/dbraw/zinc/18/99/99/733189999.db2.gz OEZFACBFHKIPSJ-ZWKOTPCHSA-N 1 2 312.413 1.472 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001027831581 738708525 /nfs/dbraw/zinc/70/85/25/738708525.db2.gz RTDYIHVSIWRZQE-JSGCOSHPSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CCC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001027831581 738708526 /nfs/dbraw/zinc/70/85/26/738708526.db2.gz RTDYIHVSIWRZQE-JSGCOSHPSA-N 1 2 324.388 1.632 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001021668330 733422617 /nfs/dbraw/zinc/42/26/17/733422617.db2.gz NEINJFSFCDSQCK-SMDDNHRTSA-N 1 2 322.359 1.907 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001021668330 733422619 /nfs/dbraw/zinc/42/26/19/733422619.db2.gz NEINJFSFCDSQCK-SMDDNHRTSA-N 1 2 322.359 1.907 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(c2nc(C)[nH+]c(C)c2C)CCO1 ZINC001104309963 733441842 /nfs/dbraw/zinc/44/18/42/733441842.db2.gz LPNYXDILPBCGKB-HNNXBMFYSA-N 1 2 318.421 1.689 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)[C@@H]2C1 ZINC001022038125 734351585 /nfs/dbraw/zinc/35/15/85/734351585.db2.gz IKYOYQODYTUGDJ-UONOGXRCSA-N 1 2 316.405 1.155 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(F)ccc2F)C1 ZINC000891606926 734423542 /nfs/dbraw/zinc/42/35/42/734423542.db2.gz QPVPDXHRAUKTIK-LBPRGKRZSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(F)ccc2F)C1 ZINC000891606926 734423544 /nfs/dbraw/zinc/42/35/44/734423544.db2.gz QPVPDXHRAUKTIK-LBPRGKRZSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C(F)F)cc3)[C@H]2C1 ZINC001083224885 734477605 /nfs/dbraw/zinc/47/76/05/734477605.db2.gz VFBFOZHNTNATGZ-LSDHHAIUSA-N 1 2 320.339 1.783 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C(F)F)cc3)[C@H]2C1 ZINC001083224885 734477607 /nfs/dbraw/zinc/47/76/07/734477607.db2.gz VFBFOZHNTNATGZ-LSDHHAIUSA-N 1 2 320.339 1.783 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnn2ncccc12 ZINC001027935415 738835841 /nfs/dbraw/zinc/83/58/41/738835841.db2.gz KEPBWSKTTIZSEM-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnn2ncccc12 ZINC001027935415 738835847 /nfs/dbraw/zinc/83/58/47/738835847.db2.gz KEPBWSKTTIZSEM-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)c(CC)o3)[C@H]2C1 ZINC001083246276 734775470 /nfs/dbraw/zinc/77/54/70/734775470.db2.gz JCCRRWVFELNQNT-XJKSGUPXSA-N 1 2 304.390 1.862 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)c(CC)o3)[C@H]2C1 ZINC001083246276 734775475 /nfs/dbraw/zinc/77/54/75/734775475.db2.gz JCCRRWVFELNQNT-XJKSGUPXSA-N 1 2 304.390 1.862 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(CC(C)C)c1CC ZINC001038249971 734980808 /nfs/dbraw/zinc/98/08/08/734980808.db2.gz SUWCVUXHCFJOKJ-OAHLLOKOSA-N 1 2 316.449 1.929 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(CC(C)C)c1CC ZINC001038249971 734980811 /nfs/dbraw/zinc/98/08/11/734980811.db2.gz SUWCVUXHCFJOKJ-OAHLLOKOSA-N 1 2 316.449 1.929 20 30 DDEDLO C[C@H]1CN(c2ncccc2C#N)CC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067441319 735019394 /nfs/dbraw/zinc/01/93/94/735019394.db2.gz XUIQCXSEEOLZNM-SWLSCSKDSA-N 1 2 324.388 1.250 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001023368202 735202960 /nfs/dbraw/zinc/20/29/60/735202960.db2.gz JGSBQSWYPQPTHQ-CABCVRRESA-N 1 2 314.433 1.681 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001023368202 735202961 /nfs/dbraw/zinc/20/29/61/735202961.db2.gz JGSBQSWYPQPTHQ-CABCVRRESA-N 1 2 314.433 1.681 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001023368642 735203100 /nfs/dbraw/zinc/20/31/00/735203100.db2.gz SBHJGMPDZNKSPQ-UONOGXRCSA-N 1 2 300.406 1.291 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001023368642 735203101 /nfs/dbraw/zinc/20/31/01/735203101.db2.gz SBHJGMPDZNKSPQ-UONOGXRCSA-N 1 2 300.406 1.291 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC000972719593 735569394 /nfs/dbraw/zinc/56/93/94/735569394.db2.gz XDWBXLTXXNHOTD-RYUDHWBXSA-N 1 2 323.828 1.195 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC000972719593 735569395 /nfs/dbraw/zinc/56/93/95/735569395.db2.gz XDWBXLTXXNHOTD-RYUDHWBXSA-N 1 2 323.828 1.195 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1COCCN1C ZINC001024392828 735810048 /nfs/dbraw/zinc/81/00/48/735810048.db2.gz WREIQMDMJTVEEB-UONOGXRCSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1COCCN1C ZINC001024392828 735810051 /nfs/dbraw/zinc/81/00/51/735810051.db2.gz WREIQMDMJTVEEB-UONOGXRCSA-N 1 2 315.845 1.040 20 30 DDEDLO C#CCC[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCCc2cn[nH]c21 ZINC001024440132 735829011 /nfs/dbraw/zinc/82/90/11/735829011.db2.gz KOVRNUJNEOTMFM-HZPDHXFCSA-N 1 2 314.433 1.824 20 30 DDEDLO C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCCc2cn[nH]c21 ZINC001024440132 735829016 /nfs/dbraw/zinc/82/90/16/735829016.db2.gz KOVRNUJNEOTMFM-HZPDHXFCSA-N 1 2 314.433 1.824 20 30 DDEDLO CCc1noc(C[NH2+]C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)n1 ZINC001024899554 736157291 /nfs/dbraw/zinc/15/72/91/736157291.db2.gz WTXYGGXDIZRRDH-NWDGAFQWSA-N 1 2 305.382 1.262 20 30 DDEDLO C=CCn1cc(C(=O)N(C)[C@H](C)CNc2cc[nH+]c(C)n2)nn1 ZINC001104836992 737264213 /nfs/dbraw/zinc/26/42/13/737264213.db2.gz HNGSREMBIARLIK-LLVKDONJSA-N 1 2 315.381 1.135 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001104858115 737294090 /nfs/dbraw/zinc/29/40/90/737294090.db2.gz ZEJFURRQQUUSDN-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)N(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001104858115 737294094 /nfs/dbraw/zinc/29/40/94/737294094.db2.gz ZEJFURRQQUUSDN-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO C#CCCCC(=O)N1CC(n2cc(C[NH2+]C[C@H](F)CC)nn2)C1 ZINC001105225048 737631850 /nfs/dbraw/zinc/63/18/50/737631850.db2.gz IJQZNXYLUBMKLZ-CYBMUJFWSA-N 1 2 321.400 1.303 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3C[C@H]3CCC)C2)nn1 ZINC001105323988 737858225 /nfs/dbraw/zinc/85/82/25/737858225.db2.gz NFFCFCFYCNEOIN-CZUORRHYSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001125951911 737916385 /nfs/dbraw/zinc/91/63/85/737916385.db2.gz ZZTMRDXOBHCGCX-UHFFFAOYSA-N 1 2 310.423 1.336 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C=C(/C)C3CC3)C2)nn1 ZINC001098622996 737974058 /nfs/dbraw/zinc/97/40/58/737974058.db2.gz UZDPJVYRAVBOLW-RCBBPTIPSA-N 1 2 313.405 1.131 20 30 DDEDLO CC(C)c1nsc(C[NH2+]CCNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001125995105 738107808 /nfs/dbraw/zinc/10/78/08/738107808.db2.gz UDAXQSIIJUHVQU-UHFFFAOYSA-N 1 2 318.406 1.381 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1C(F)(F)F ZINC001038707054 738149414 /nfs/dbraw/zinc/14/94/14/738149414.db2.gz UEGGCBCMNXITSN-SNVBAGLBSA-N 1 2 314.311 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1C(F)(F)F ZINC001038707054 738149415 /nfs/dbraw/zinc/14/94/15/738149415.db2.gz UEGGCBCMNXITSN-SNVBAGLBSA-N 1 2 314.311 1.564 20 30 DDEDLO Cc1conc1C[N@@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027408041 738268071 /nfs/dbraw/zinc/26/80/71/738268071.db2.gz GZZXGGFZEHYPRH-OAHLLOKOSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1conc1C[N@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027408041 738268072 /nfs/dbraw/zinc/26/80/72/738268072.db2.gz GZZXGGFZEHYPRH-OAHLLOKOSA-N 1 2 301.390 1.819 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001028256018 739240404 /nfs/dbraw/zinc/24/04/04/739240404.db2.gz VGOWYQNOQROTNR-JSGCOSHPSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001028256018 739240407 /nfs/dbraw/zinc/24/04/07/739240407.db2.gz VGOWYQNOQROTNR-JSGCOSHPSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)CCc3nnc[nH]3)[C@@H]2C1 ZINC001075662044 739720261 /nfs/dbraw/zinc/72/02/61/739720261.db2.gz PLEVBOIOEDJYPQ-NWDGAFQWSA-N 1 2 309.801 1.023 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)CCc3nnc[nH]3)[C@@H]2C1 ZINC001075662044 739720265 /nfs/dbraw/zinc/72/02/65/739720265.db2.gz PLEVBOIOEDJYPQ-NWDGAFQWSA-N 1 2 309.801 1.023 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001038426734 740328966 /nfs/dbraw/zinc/32/89/66/740328966.db2.gz KSEBUWMYIMKQCL-STQMWFEESA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccn(CC)c2C)C1 ZINC001035481324 751534860 /nfs/dbraw/zinc/53/48/60/751534860.db2.gz GOGAFEBONFTTDR-HNNXBMFYSA-N 1 2 305.422 1.823 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccn(CC)c2C)C1 ZINC001035481324 751534862 /nfs/dbraw/zinc/53/48/62/751534862.db2.gz GOGAFEBONFTTDR-HNNXBMFYSA-N 1 2 305.422 1.823 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001114899500 751537243 /nfs/dbraw/zinc/53/72/43/751537243.db2.gz SZGFLVPRZGOYFW-NHAGDIPZSA-N 1 2 300.406 1.625 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001114899500 751537247 /nfs/dbraw/zinc/53/72/47/751537247.db2.gz SZGFLVPRZGOYFW-NHAGDIPZSA-N 1 2 300.406 1.625 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N1CCC1 ZINC001029422877 740758182 /nfs/dbraw/zinc/75/81/82/740758182.db2.gz JZSBFPKKXYJHOL-OKILXGFUSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N1CCC1 ZINC001029422877 740758185 /nfs/dbraw/zinc/75/81/85/740758185.db2.gz JZSBFPKKXYJHOL-OKILXGFUSA-N 1 2 305.422 1.106 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2CCCCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001088281169 741320172 /nfs/dbraw/zinc/32/01/72/741320172.db2.gz ITAIGWMTTGRIOX-QWHCGFSZSA-N 1 2 319.409 1.652 20 30 DDEDLO Cc1nsc(N(C)CCN(C)C(=O)Cn2cc[nH+]c2)c1C#N ZINC001105419447 741407562 /nfs/dbraw/zinc/40/75/62/741407562.db2.gz ZCTGNTLOLXSPGW-UHFFFAOYSA-N 1 2 318.406 1.115 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(CC(C)C)c2)C1 ZINC001035559498 751641266 /nfs/dbraw/zinc/64/12/66/751641266.db2.gz IKSRNEFKMLWJJA-INIZCTEOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn(CC(C)C)c2)C1 ZINC001035559498 751641269 /nfs/dbraw/zinc/64/12/69/751641269.db2.gz IKSRNEFKMLWJJA-INIZCTEOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H](NC(=O)C(C)(F)F)[C@H]2C)C1=O ZINC001088442935 741504734 /nfs/dbraw/zinc/50/47/34/741504734.db2.gz DSNXXFQOMAJIPS-WOPDTQHZSA-N 1 2 315.364 1.008 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H](NC(=O)C(C)(F)F)[C@H]2C)C1=O ZINC001088442935 741504736 /nfs/dbraw/zinc/50/47/36/741504736.db2.gz DSNXXFQOMAJIPS-WOPDTQHZSA-N 1 2 315.364 1.008 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3ccn(C)c3c2)C1 ZINC001035564011 751646639 /nfs/dbraw/zinc/64/66/39/751646639.db2.gz LMXYTHXDZGXEHX-MRXNPFEDSA-N 1 2 313.401 1.795 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3ccn(C)c3c2)C1 ZINC001035564011 751646641 /nfs/dbraw/zinc/64/66/41/751646641.db2.gz LMXYTHXDZGXEHX-MRXNPFEDSA-N 1 2 313.401 1.795 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001059697561 741582664 /nfs/dbraw/zinc/58/26/64/741582664.db2.gz QBFPCLUMWRNDPD-BETUJISGSA-N 1 2 310.361 1.287 20 30 DDEDLO Cc1nc(N2CCC([C@H](C)NC(=O)[C@@H](C)C#N)CC2)cc[nH+]1 ZINC001126714921 741799654 /nfs/dbraw/zinc/79/96/54/741799654.db2.gz FSOJMRLLTUOHQS-RYUDHWBXSA-N 1 2 301.394 1.666 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)nc2)C[C@H]1OC ZINC001212022538 741945982 /nfs/dbraw/zinc/94/59/82/741945982.db2.gz WKMWDHXKVZXNSV-HUUCEWRRSA-N 1 2 304.394 1.067 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)nc2)C[C@H]1OC ZINC001212022538 741945983 /nfs/dbraw/zinc/94/59/83/741945983.db2.gz WKMWDHXKVZXNSV-HUUCEWRRSA-N 1 2 304.394 1.067 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCc3cncn3C2)[C@H]1C ZINC001088679514 742073680 /nfs/dbraw/zinc/07/36/80/742073680.db2.gz RTZWEQXPCGBLSC-NFAWXSAZSA-N 1 2 322.840 1.777 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCc3cncn3C2)[C@H]1C ZINC001088679514 742073683 /nfs/dbraw/zinc/07/36/83/742073683.db2.gz RTZWEQXPCGBLSC-NFAWXSAZSA-N 1 2 322.840 1.777 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)CCN(C)c2cc[nH+]c(C)n2)c1 ZINC001105551102 742115245 /nfs/dbraw/zinc/11/52/45/742115245.db2.gz PCXXLZACSRGCSS-UHFFFAOYSA-N 1 2 309.373 1.370 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001060039637 742259351 /nfs/dbraw/zinc/25/93/51/742259351.db2.gz YAFBABNBOMVUIL-AWEZNQCLSA-N 1 2 324.388 1.500 20 30 DDEDLO C=CCCC(=O)NCC[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001060040481 742262007 /nfs/dbraw/zinc/26/20/07/742262007.db2.gz XQUVIKICSBJEEJ-AWEZNQCLSA-N 1 2 318.421 1.582 20 30 DDEDLO C#CCN1CC[C@H](NC(=O)c2ccn(-c3cc[nH+]cc3)n2)[C@H]1C ZINC001088737337 742265793 /nfs/dbraw/zinc/26/57/93/742265793.db2.gz OIHHLASPVMLSBK-HIFRSBDPSA-N 1 2 309.373 1.093 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2coc(C(N)=O)c2)[C@H]1C ZINC001088769152 742337419 /nfs/dbraw/zinc/33/74/19/742337419.db2.gz UFGOEZFHVAZTBV-KOLCDFICSA-N 1 2 311.769 1.324 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2coc(C(N)=O)c2)[C@H]1C ZINC001088769152 742337424 /nfs/dbraw/zinc/33/74/24/742337424.db2.gz UFGOEZFHVAZTBV-KOLCDFICSA-N 1 2 311.769 1.324 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2scc(C)c2Cl)[C@@H](O)C1 ZINC001083485674 742361780 /nfs/dbraw/zinc/36/17/80/742361780.db2.gz LZZYEGUISYOWTM-MNOVXSKESA-N 1 2 312.822 1.508 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2scc(C)c2Cl)[C@@H](O)C1 ZINC001083485674 742361785 /nfs/dbraw/zinc/36/17/85/742361785.db2.gz LZZYEGUISYOWTM-MNOVXSKESA-N 1 2 312.822 1.508 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[NH2+]Cc1nc(C2CCC2)no1 ZINC001126916609 742486795 /nfs/dbraw/zinc/48/67/95/742486795.db2.gz WXMODJQXMGAVAN-UHFFFAOYSA-N 1 2 304.394 1.592 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cnn(C)c1)CC2 ZINC001035646098 751750660 /nfs/dbraw/zinc/75/06/60/751750660.db2.gz TYCLDZXZLGPUSR-UHFFFAOYSA-N 1 2 308.813 1.711 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001076297933 742651745 /nfs/dbraw/zinc/65/17/45/742651745.db2.gz QSLKDSSDVMBTCR-AWEZNQCLSA-N 1 2 318.421 1.167 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cnc(-c4ccccc4)[nH]3)[C@@H]2C1 ZINC001076300104 742653034 /nfs/dbraw/zinc/65/30/34/742653034.db2.gz XUJPPCMONZOOLG-DOTOQJQBSA-N 1 2 320.396 1.856 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cnc(-c4ccccc4)[nH]3)[C@@H]2C1 ZINC001076300104 742653036 /nfs/dbraw/zinc/65/30/36/742653036.db2.gz XUJPPCMONZOOLG-DOTOQJQBSA-N 1 2 320.396 1.856 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2C(C)(C)C)[C@@H](O)C1 ZINC001083553244 742946617 /nfs/dbraw/zinc/94/66/17/742946617.db2.gz RSJZSDRIVLHASJ-CVEARBPZSA-N 1 2 300.402 1.392 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2C(C)(C)C)[C@@H](O)C1 ZINC001083553244 742946621 /nfs/dbraw/zinc/94/66/21/742946621.db2.gz RSJZSDRIVLHASJ-CVEARBPZSA-N 1 2 300.402 1.392 20 30 DDEDLO CC1CC(C(=O)N[C@@H]2C[N@H+](Cc3ccc(C#N)s3)C[C@H]2O)C1 ZINC001076864512 743061448 /nfs/dbraw/zinc/06/14/48/743061448.db2.gz KHOHTLLRVNLUBX-FWFPMQDGSA-N 1 2 319.430 1.327 20 30 DDEDLO CC1CC(C(=O)N[C@@H]2C[N@@H+](Cc3ccc(C#N)s3)C[C@H]2O)C1 ZINC001076864512 743061454 /nfs/dbraw/zinc/06/14/54/743061454.db2.gz KHOHTLLRVNLUBX-FWFPMQDGSA-N 1 2 319.430 1.327 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NCC[NH2+]Cc1nnc(C2CC2)o1 ZINC001128208505 743287051 /nfs/dbraw/zinc/28/70/51/743287051.db2.gz DDWGTFMECLKTIO-UHFFFAOYSA-N 1 2 312.801 1.932 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C[C@H]3CCCO3)c2C1 ZINC001128217729 743299781 /nfs/dbraw/zinc/29/97/81/743299781.db2.gz CDROPGHGPLGOCM-OAHLLOKOSA-N 1 2 318.421 1.460 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C[C@H]3CCCO3)c2C1 ZINC001128217729 743299785 /nfs/dbraw/zinc/29/97/85/743299785.db2.gz CDROPGHGPLGOCM-OAHLLOKOSA-N 1 2 318.421 1.460 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2occc2-c2ccccc2)C1 ZINC001077344519 743417253 /nfs/dbraw/zinc/41/72/53/743417253.db2.gz MNBIDBKTUYMSGR-HZPDHXFCSA-N 1 2 310.353 1.355 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2occc2-c2ccccc2)C1 ZINC001077344519 743417257 /nfs/dbraw/zinc/41/72/57/743417257.db2.gz MNBIDBKTUYMSGR-HZPDHXFCSA-N 1 2 310.353 1.355 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2scnc2C(F)F)C1 ZINC001077369332 743431401 /nfs/dbraw/zinc/43/14/01/743431401.db2.gz QUSXYIYSRBEDSR-HTQZYQBOSA-N 1 2 303.334 1.042 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2scnc2C(F)F)C1 ZINC001077369332 743431402 /nfs/dbraw/zinc/43/14/02/743431402.db2.gz QUSXYIYSRBEDSR-HTQZYQBOSA-N 1 2 303.334 1.042 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](C)OCC(C)C)CC2)C1 ZINC001105693959 743473653 /nfs/dbraw/zinc/47/36/53/743473653.db2.gz JKICHZOCWZQLLC-HNNXBMFYSA-N 1 2 324.465 1.925 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2ncc(C3CC3)o2)C1 ZINC001182372745 743595643 /nfs/dbraw/zinc/59/56/43/743595643.db2.gz QSFBRCCJMMRBPX-CQSZACIVSA-N 1 2 301.390 1.902 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC(C)C)no2)C1 ZINC001182389270 743607715 /nfs/dbraw/zinc/60/77/15/743607715.db2.gz SHXPLWBSDKSHLZ-CQSZACIVSA-N 1 2 318.421 1.618 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H](OC)C3CC3)c2C1 ZINC001128337417 743620753 /nfs/dbraw/zinc/62/07/53/743620753.db2.gz KMJZBCKBRIYFHN-MRXNPFEDSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H](OC)C3CC3)c2C1 ZINC001128337417 743620754 /nfs/dbraw/zinc/62/07/54/743620754.db2.gz KMJZBCKBRIYFHN-MRXNPFEDSA-N 1 2 318.421 1.316 20 30 DDEDLO C#CC(C)(C)C(=O)N1Cc2c[nH+]cn2C[C@H](COCC2CC2)C1 ZINC001182635202 743677828 /nfs/dbraw/zinc/67/78/28/743677828.db2.gz FIJCVROOVOVOPX-OAHLLOKOSA-N 1 2 315.417 1.928 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2nc3ccccc3cc2C)[C@@H](O)C1 ZINC001083655062 743690537 /nfs/dbraw/zinc/69/05/37/743690537.db2.gz TUWIYUGPLWDJCL-SJORKVTESA-N 1 2 323.396 1.341 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2nc3ccccc3cc2C)[C@@H](O)C1 ZINC001083655062 743690541 /nfs/dbraw/zinc/69/05/41/743690541.db2.gz TUWIYUGPLWDJCL-SJORKVTESA-N 1 2 323.396 1.341 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CCCN(C)c2cc[nH+]c(C)n2)nc1 ZINC001112103792 743946761 /nfs/dbraw/zinc/94/67/61/743946761.db2.gz AYKVAIISTVHWOC-UHFFFAOYSA-N 1 2 323.400 1.760 20 30 DDEDLO CC#CC[NH+]1CC2(C1)CCN(C(=O)c1cc(C(F)F)[nH]n1)CC2 ZINC001035793532 751880165 /nfs/dbraw/zinc/88/01/65/751880165.db2.gz LCJZCHRMVVECLR-UHFFFAOYSA-N 1 2 322.359 1.909 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2ccc(Cn3cccn3)o2)C1 ZINC001030353486 744071126 /nfs/dbraw/zinc/07/11/26/744071126.db2.gz HHMVKWPABFBOHP-UHFFFAOYSA-N 1 2 300.362 1.515 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1 ZINC001184645399 744077830 /nfs/dbraw/zinc/07/78/30/744077830.db2.gz DJNDVPQBGGVWOD-DOMZBBRYSA-N 1 2 324.450 1.457 20 30 DDEDLO C[C@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC[C@@H]1CNCC#N ZINC001185493451 744237098 /nfs/dbraw/zinc/23/70/98/744237098.db2.gz WWCMXVVAPKUJRC-QWHCGFSZSA-N 1 2 303.410 1.285 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2ccn(C)n2)[C@@H]1C ZINC001088868299 744293483 /nfs/dbraw/zinc/29/34/83/744293483.db2.gz VPYPWYZSSZUCQL-OJLVUWQFSA-N 1 2 318.421 1.090 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2ccn(C)n2)[C@@H]1C ZINC001088868299 744293485 /nfs/dbraw/zinc/29/34/85/744293485.db2.gz VPYPWYZSSZUCQL-OJLVUWQFSA-N 1 2 318.421 1.090 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@@H]3C[C@H]3C)C2)nn1 ZINC001185974237 744323177 /nfs/dbraw/zinc/32/31/77/744323177.db2.gz JCUMAKXSTGFCSH-FVQBIDKESA-N 1 2 315.421 1.211 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3cccc(F)c3)CCC2)C1 ZINC001077485367 744492000 /nfs/dbraw/zinc/49/20/00/744492000.db2.gz RXPBXBSQPANFOQ-HZPDHXFCSA-N 1 2 316.376 1.042 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3cccc(F)c3)CCC2)C1 ZINC001077485367 744492001 /nfs/dbraw/zinc/49/20/01/744492001.db2.gz RXPBXBSQPANFOQ-HZPDHXFCSA-N 1 2 316.376 1.042 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2csc(-c3ncc[nH]3)n2)C1 ZINC001030705902 744500616 /nfs/dbraw/zinc/50/06/16/744500616.db2.gz OMXVZUIUYXXYFI-UHFFFAOYSA-N 1 2 303.391 1.523 20 30 DDEDLO COCC#CC(=O)N1CC[NH+](C[C@H](OC)c2ccccc2)CC1 ZINC001187127596 744507166 /nfs/dbraw/zinc/50/71/66/744507166.db2.gz BIRRECPWZDPEPZ-KRWDZBQOSA-N 1 2 316.401 1.168 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CNC(=O)c1cc2c(C)nn(C)c2s1 ZINC001038566417 744528978 /nfs/dbraw/zinc/52/89/78/744528978.db2.gz DRPSFIKWICPXLU-LLVKDONJSA-N 1 2 304.419 1.933 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc2c(C)nn(C)c2s1 ZINC001038566417 744528979 /nfs/dbraw/zinc/52/89/79/744528979.db2.gz DRPSFIKWICPXLU-LLVKDONJSA-N 1 2 304.419 1.933 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001187296983 744543852 /nfs/dbraw/zinc/54/38/52/744543852.db2.gz WEMIJWBEQXLOAL-UONOGXRCSA-N 1 2 305.378 1.218 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001187296983 744543855 /nfs/dbraw/zinc/54/38/55/744543855.db2.gz WEMIJWBEQXLOAL-UONOGXRCSA-N 1 2 305.378 1.218 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001187706119 744595036 /nfs/dbraw/zinc/59/50/36/744595036.db2.gz CYLGIOCVYMMXQQ-AEGPPILISA-N 1 2 316.405 1.775 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001187706119 744595039 /nfs/dbraw/zinc/59/50/39/744595039.db2.gz CYLGIOCVYMMXQQ-AEGPPILISA-N 1 2 316.405 1.775 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001187881625 744632105 /nfs/dbraw/zinc/63/21/05/744632105.db2.gz AVIRPEZGJOIDCX-DUVNUKRYSA-N 1 2 317.389 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001187881625 744632107 /nfs/dbraw/zinc/63/21/07/744632107.db2.gz AVIRPEZGJOIDCX-DUVNUKRYSA-N 1 2 317.389 1.054 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001188310352 744688051 /nfs/dbraw/zinc/68/80/51/744688051.db2.gz UJPZXLFMKHGIBE-DGCLKSJQSA-N 1 2 320.393 1.229 20 30 DDEDLO COCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC001188572208 744724258 /nfs/dbraw/zinc/72/42/58/744724258.db2.gz FSQWZHRKFAVLTQ-MRXNPFEDSA-N 1 2 319.380 1.767 20 30 DDEDLO COCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC001188572208 744724260 /nfs/dbraw/zinc/72/42/60/744724260.db2.gz FSQWZHRKFAVLTQ-MRXNPFEDSA-N 1 2 319.380 1.767 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([N@H+](C)Cc3ccon3)C2)CCC1 ZINC001190595790 745267941 /nfs/dbraw/zinc/26/79/41/745267941.db2.gz TYQKFEJMDJIECU-OAHLLOKOSA-N 1 2 301.390 1.901 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ccon3)C2)CCC1 ZINC001190595790 745267944 /nfs/dbraw/zinc/26/79/44/745267944.db2.gz TYQKFEJMDJIECU-OAHLLOKOSA-N 1 2 301.390 1.901 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2c(Cl)nn(C)c2Cl)C1 ZINC001031092170 745356620 /nfs/dbraw/zinc/35/66/20/745356620.db2.gz OTIPWCCQUFFPBZ-UHFFFAOYSA-N 1 2 303.193 1.717 20 30 DDEDLO Cc1nc(NC2(C)CCN(C(=O)CSCC#N)CC2)cc[nH+]1 ZINC001112348278 745402287 /nfs/dbraw/zinc/40/22/87/745402287.db2.gz AFWPBYBSYUGLIH-UHFFFAOYSA-N 1 2 319.434 1.835 20 30 DDEDLO Cc1nc(NCCOCCN(C)C(=O)C#CC2CC2)cc[nH+]1 ZINC001127315239 745552273 /nfs/dbraw/zinc/55/22/73/745552273.db2.gz KLRSQGPYAZNLTJ-UHFFFAOYSA-N 1 2 302.378 1.085 20 30 DDEDLO Cc1nc(N(C)C)nc(NCC[C@@H](C)NC(=O)C#CC(C)C)[nH+]1 ZINC001106302099 745571443 /nfs/dbraw/zinc/57/14/43/745571443.db2.gz VITWXFZLOIUDEX-GFCCVEGCSA-N 1 2 318.425 1.212 20 30 DDEDLO CC[N@H+](Cc1nccn1C)[C@H](C)CNC(=O)CSCC#N ZINC001151965285 745583633 /nfs/dbraw/zinc/58/36/33/745583633.db2.gz IDYMIYALGZTRBB-GFCCVEGCSA-N 1 2 309.439 1.003 20 30 DDEDLO CC[N@@H+](Cc1nccn1C)[C@H](C)CNC(=O)CSCC#N ZINC001151965285 745583636 /nfs/dbraw/zinc/58/36/36/745583636.db2.gz IDYMIYALGZTRBB-GFCCVEGCSA-N 1 2 309.439 1.003 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)CCC3CC3)C2)s1 ZINC001191907538 745633044 /nfs/dbraw/zinc/63/30/44/745633044.db2.gz ULPLLXMYBXMZSN-HUUCEWRRSA-N 1 2 319.430 1.471 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)CCC3CC3)C2)s1 ZINC001191907538 745633046 /nfs/dbraw/zinc/63/30/46/745633046.db2.gz ULPLLXMYBXMZSN-HUUCEWRRSA-N 1 2 319.430 1.471 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H](O)[C@H](NC(=O)/C=C/C2CC2)C1 ZINC001192087717 745684997 /nfs/dbraw/zinc/68/49/97/745684997.db2.gz MRTNRHOHVSAMSR-NXVGNOFTSA-N 1 2 311.385 1.186 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)/C=C/C2CC2)C1 ZINC001192087717 745685000 /nfs/dbraw/zinc/68/50/00/745685000.db2.gz MRTNRHOHVSAMSR-NXVGNOFTSA-N 1 2 311.385 1.186 20 30 DDEDLO COCC#CC[N@H+](C)[C@H]1CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC001192481536 745808019 /nfs/dbraw/zinc/80/80/19/745808019.db2.gz PPWHQPFJUFLGMM-AWEZNQCLSA-N 1 2 316.405 1.083 20 30 DDEDLO COCC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC001192481536 745808025 /nfs/dbraw/zinc/80/80/25/745808025.db2.gz PPWHQPFJUFLGMM-AWEZNQCLSA-N 1 2 316.405 1.083 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3cncs3)C2)[nH]1 ZINC001007152863 752039213 /nfs/dbraw/zinc/03/92/13/752039213.db2.gz SDAYDKIDIQNOEP-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cncs3)C2)[nH]1 ZINC001007152863 752039215 /nfs/dbraw/zinc/03/92/15/752039215.db2.gz SDAYDKIDIQNOEP-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO Cc1ncsc1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192871868 745910104 /nfs/dbraw/zinc/91/01/04/745910104.db2.gz XJEDEXZBUIBJGU-CHWSQXEVSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1ncsc1C[N@H+]1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192871868 745910106 /nfs/dbraw/zinc/91/01/06/745910106.db2.gz XJEDEXZBUIBJGU-CHWSQXEVSA-N 1 2 321.446 1.162 20 30 DDEDLO CCN1CC[NH+](Cc2ccc(NS(=O)(=O)CC#N)cc2)CC1 ZINC001192972329 745952079 /nfs/dbraw/zinc/95/20/79/745952079.db2.gz SSWIXPICARMWEO-UHFFFAOYSA-N 1 2 322.434 1.089 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007152444 745998943 /nfs/dbraw/zinc/99/89/43/745998943.db2.gz OGOARXGVWLCBRX-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1nccnc1C[N@H+]1CCC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007152444 745998944 /nfs/dbraw/zinc/99/89/44/745998944.db2.gz OGOARXGVWLCBRX-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N[C@@H]1CC[N@H+](Cc2ccccc2F)C1 ZINC001193178903 746020415 /nfs/dbraw/zinc/02/04/15/746020415.db2.gz SBEIJODBRPEHHJ-WCQYABFASA-N 1 2 311.382 1.231 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2F)C1 ZINC001193178903 746020420 /nfs/dbraw/zinc/02/04/20/746020420.db2.gz SBEIJODBRPEHHJ-WCQYABFASA-N 1 2 311.382 1.231 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2snnc2CC)[C@H]1C ZINC000993571563 746210475 /nfs/dbraw/zinc/21/04/75/746210475.db2.gz NGIGGCGXDJPZII-DGCLKSJQSA-N 1 2 306.435 1.707 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2snnc2CC)[C@H]1C ZINC000993571563 746210477 /nfs/dbraw/zinc/21/04/77/746210477.db2.gz NGIGGCGXDJPZII-DGCLKSJQSA-N 1 2 306.435 1.707 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2c[nH]c(=O)n2C)[C@H]1C ZINC000993696496 746293371 /nfs/dbraw/zinc/29/33/71/746293371.db2.gz CAYNMPFLCVOANR-GHMZBOCLSA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(=O)n2C)[C@H]1C ZINC000993696496 746293374 /nfs/dbraw/zinc/29/33/74/746293374.db2.gz CAYNMPFLCVOANR-GHMZBOCLSA-N 1 2 312.801 1.461 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](Cc3cnnn3C)[C@H]2C)C1 ZINC000993793520 746316698 /nfs/dbraw/zinc/31/66/98/746316698.db2.gz ULOLZXFJTJGIJU-ZFWWWQNUSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](Cc3cnnn3C)[C@H]2C)C1 ZINC000993793520 746316704 /nfs/dbraw/zinc/31/67/04/746316704.db2.gz ULOLZXFJTJGIJU-ZFWWWQNUSA-N 1 2 317.437 1.641 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nocc2C)C1 ZINC001194407063 746369396 /nfs/dbraw/zinc/36/93/96/746369396.db2.gz GRQOEKBBFGDDKP-KGLIPLIRSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001194407063 746369400 /nfs/dbraw/zinc/36/94/00/746369400.db2.gz GRQOEKBBFGDDKP-KGLIPLIRSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001194407607 746369421 /nfs/dbraw/zinc/36/94/21/746369421.db2.gz MIGXYSUSWMKPCG-UKRRQHHQSA-N 1 2 321.421 1.915 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001194407607 746369424 /nfs/dbraw/zinc/36/94/24/746369424.db2.gz MIGXYSUSWMKPCG-UKRRQHHQSA-N 1 2 321.421 1.915 20 30 DDEDLO C=CCCOc1ccc(C(=O)N[C@@H](CO)Cc2c[nH]c[nH+]2)cc1 ZINC001195142295 746537499 /nfs/dbraw/zinc/53/74/99/746537499.db2.gz MFPHRJCRKMMISV-OAHLLOKOSA-N 1 2 315.373 1.698 20 30 DDEDLO C=CCCOc1ccc(C(=O)N[C@@H](CO)Cc2c[nH+]c[nH]2)cc1 ZINC001195142295 746537502 /nfs/dbraw/zinc/53/75/02/746537502.db2.gz MFPHRJCRKMMISV-OAHLLOKOSA-N 1 2 315.373 1.698 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cc(C)no2)CC1 ZINC001195174478 746545533 /nfs/dbraw/zinc/54/55/33/746545533.db2.gz OIGIHKZSQXVQLE-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cc(C)no2)CC1 ZINC001195174478 746545535 /nfs/dbraw/zinc/54/55/35/746545535.db2.gz OIGIHKZSQXVQLE-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[N@@H+](Cc2ccnn2C)CC1 ZINC001195452057 746604363 /nfs/dbraw/zinc/60/43/63/746604363.db2.gz LYLVKLLYQVIWHT-UHFFFAOYSA-N 1 2 320.437 1.437 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[N@H+](Cc2ccnn2C)CC1 ZINC001195452057 746604365 /nfs/dbraw/zinc/60/43/65/746604365.db2.gz LYLVKLLYQVIWHT-UHFFFAOYSA-N 1 2 320.437 1.437 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](Cc2cc(OC)no2)CC1 ZINC001195555704 746630728 /nfs/dbraw/zinc/63/07/28/746630728.db2.gz ISEYXFLPDZWEHB-UHFFFAOYSA-N 1 2 319.405 1.911 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](Cc2cc(OC)no2)CC1 ZINC001195555704 746630730 /nfs/dbraw/zinc/63/07/30/746630730.db2.gz ISEYXFLPDZWEHB-UHFFFAOYSA-N 1 2 319.405 1.911 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195566627 746655279 /nfs/dbraw/zinc/65/52/79/746655279.db2.gz AJIDPDFPDOULGA-BPLDGKMQSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195566627 746655283 /nfs/dbraw/zinc/65/52/83/746655283.db2.gz AJIDPDFPDOULGA-BPLDGKMQSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195566628 746655481 /nfs/dbraw/zinc/65/54/81/746655481.db2.gz AJIDPDFPDOULGA-NWANDNLSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195566628 746655484 /nfs/dbraw/zinc/65/54/84/746655484.db2.gz AJIDPDFPDOULGA-NWANDNLSSA-N 1 2 307.394 1.247 20 30 DDEDLO Cc1nc(N2CC[C@@]3(CCN(C(=O)C#CC(C)C)C3)C2)cc[nH+]1 ZINC001110473070 746660018 /nfs/dbraw/zinc/66/00/18/746660018.db2.gz XBIGNJKOXUVSBN-GOSISDBHSA-N 1 2 312.417 1.873 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+](Cc2ccns2)CC1 ZINC001195681486 746670197 /nfs/dbraw/zinc/67/01/97/746670197.db2.gz DOEKOCUFRMJVGC-HNNXBMFYSA-N 1 2 309.435 1.505 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+](Cc2ccns2)CC1 ZINC001195681486 746670198 /nfs/dbraw/zinc/67/01/98/746670198.db2.gz DOEKOCUFRMJVGC-HNNXBMFYSA-N 1 2 309.435 1.505 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cc(C)no2)CC1 ZINC001195652463 746673555 /nfs/dbraw/zinc/67/35/55/746673555.db2.gz PRDZXSBEZIHVPY-MRXNPFEDSA-N 1 2 307.394 1.344 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+](Cc2cc(C)no2)CC1 ZINC001195652463 746673558 /nfs/dbraw/zinc/67/35/58/746673558.db2.gz PRDZXSBEZIHVPY-MRXNPFEDSA-N 1 2 307.394 1.344 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)C(C)C)CC1 ZINC001195774864 746700995 /nfs/dbraw/zinc/70/09/95/746700995.db2.gz FOTKAUDCUVIFEH-MRXNPFEDSA-N 1 2 321.465 1.341 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)C(C)C)CC1 ZINC001195774864 746700997 /nfs/dbraw/zinc/70/09/97/746700997.db2.gz FOTKAUDCUVIFEH-MRXNPFEDSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cncs2)CC1 ZINC001195807723 746712490 /nfs/dbraw/zinc/71/24/90/746712490.db2.gz WZEDOFUOHUMYBF-ZDUSSCGKSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cncs2)CC1 ZINC001195807723 746712493 /nfs/dbraw/zinc/71/24/93/746712493.db2.gz WZEDOFUOHUMYBF-ZDUSSCGKSA-N 1 2 307.419 1.216 20 30 DDEDLO COCCC[N@@H+]1CCn2ncc(CNC(=O)C#CC(C)C)c2C1 ZINC001128347156 746887760 /nfs/dbraw/zinc/88/77/60/746887760.db2.gz NFJWUWKTARNVEI-UHFFFAOYSA-N 1 2 318.421 1.011 20 30 DDEDLO COCCC[N@H+]1CCn2ncc(CNC(=O)C#CC(C)C)c2C1 ZINC001128347156 746887764 /nfs/dbraw/zinc/88/77/64/746887764.db2.gz NFJWUWKTARNVEI-UHFFFAOYSA-N 1 2 318.421 1.011 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)C2CC2)CC1 ZINC001196732926 746952537 /nfs/dbraw/zinc/95/25/37/746952537.db2.gz XSORCYBRBJSVSS-CQSZACIVSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N[C@H](C)C2CC2)CC1 ZINC001196732926 746952544 /nfs/dbraw/zinc/95/25/44/746952544.db2.gz XSORCYBRBJSVSS-CQSZACIVSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCCC2(C)C)CC1 ZINC001196790966 746963601 /nfs/dbraw/zinc/96/36/01/746963601.db2.gz RZRTVVNTKRCVGJ-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCC2(C)C)CC1 ZINC001196790966 746963605 /nfs/dbraw/zinc/96/36/05/746963605.db2.gz RZRTVVNTKRCVGJ-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H]2CCC2(C)C)CC1 ZINC001196829190 746974905 /nfs/dbraw/zinc/97/49/05/746974905.db2.gz DMUWUDYQIGTGOH-GJZGRUSLSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@H]2CCC2(C)C)CC1 ZINC001196829190 746974912 /nfs/dbraw/zinc/97/49/12/746974912.db2.gz DMUWUDYQIGTGOH-GJZGRUSLSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H](OC)C(C)C)c2C1 ZINC001128472188 747283639 /nfs/dbraw/zinc/28/36/39/747283639.db2.gz VRWBQISKPMKKJO-MRXNPFEDSA-N 1 2 320.437 1.562 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H](OC)C(C)C)c2C1 ZINC001128472188 747283641 /nfs/dbraw/zinc/28/36/41/747283641.db2.gz VRWBQISKPMKKJO-MRXNPFEDSA-N 1 2 320.437 1.562 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC[NH+](Cc3nccn3C)CC2)C1 ZINC001003596225 747310309 /nfs/dbraw/zinc/31/03/09/747310309.db2.gz QRJBDQOLZBULFT-UHFFFAOYSA-N 1 2 302.422 1.857 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@@H](NCC#N)[C@H](C)C2)c[nH+]1 ZINC001036077778 752170019 /nfs/dbraw/zinc/17/00/19/752170019.db2.gz PKWNJHLSMQYIIK-TZMCWYRMSA-N 1 2 303.410 1.277 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C[C@@H]3C[C@H]3C)c2C1 ZINC001128476466 747354949 /nfs/dbraw/zinc/35/49/49/747354949.db2.gz VACWWKILVJQIRY-KGLIPLIRSA-N 1 2 302.422 1.937 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C[C@@H]3C[C@H]3C)c2C1 ZINC001128476466 747354958 /nfs/dbraw/zinc/35/49/58/747354958.db2.gz VACWWKILVJQIRY-KGLIPLIRSA-N 1 2 302.422 1.937 20 30 DDEDLO CN(C(=O)C(F)F)[C@H]1CC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001032855807 747460588 /nfs/dbraw/zinc/46/05/88/747460588.db2.gz LQAPICFMZIXDLO-LBPRGKRZSA-N 1 2 311.307 1.995 20 30 DDEDLO CN(C(=O)C(F)F)[C@H]1CC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001032855807 747460593 /nfs/dbraw/zinc/46/05/93/747460593.db2.gz LQAPICFMZIXDLO-LBPRGKRZSA-N 1 2 311.307 1.995 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]([NH2+]CCF)c1ccccc1OC ZINC001198723932 747579215 /nfs/dbraw/zinc/57/92/15/747579215.db2.gz MZJPNMUQKIUHKT-OAHLLOKOSA-N 1 2 322.380 1.452 20 30 DDEDLO C#CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001089665343 747615190 /nfs/dbraw/zinc/61/51/90/747615190.db2.gz WMZWCUDQWPBPEC-ZDUSSCGKSA-N 1 2 314.433 1.752 20 30 DDEDLO C#CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001089665343 747615194 /nfs/dbraw/zinc/61/51/94/747615194.db2.gz WMZWCUDQWPBPEC-ZDUSSCGKSA-N 1 2 314.433 1.752 20 30 DDEDLO CN(C(=O)c1ccn[nH]1)[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001032927094 747658396 /nfs/dbraw/zinc/65/83/96/747658396.db2.gz WQPWGOXMZIRWJK-MRXNPFEDSA-N 1 2 308.385 1.608 20 30 DDEDLO CN(C(=O)c1ccn[nH]1)[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001032927094 747658399 /nfs/dbraw/zinc/65/83/99/747658399.db2.gz WQPWGOXMZIRWJK-MRXNPFEDSA-N 1 2 308.385 1.608 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCCN2C(=O)C(C)C)C1 ZINC001044325412 747702512 /nfs/dbraw/zinc/70/25/12/747702512.db2.gz BXRAHIFHZWOBNH-MRXNPFEDSA-N 1 2 321.465 1.742 20 30 DDEDLO CCN(c1ccc(C#N)cn1)[C@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001089754676 747736085 /nfs/dbraw/zinc/73/60/85/747736085.db2.gz SQVJRIJNBUTOHL-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC)c2ccc(F)cc2)C1 ZINC001199240778 747757670 /nfs/dbraw/zinc/75/76/70/747757670.db2.gz ZGEWXCLFQIJZMO-BZUAXINKSA-N 1 2 304.365 1.114 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC)c2ccc(F)cc2)C1 ZINC001199240778 747757665 /nfs/dbraw/zinc/75/76/65/747757665.db2.gz ZGEWXCLFQIJZMO-BZUAXINKSA-N 1 2 304.365 1.114 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001033008841 747895100 /nfs/dbraw/zinc/89/51/00/747895100.db2.gz GCESIKQLLNYOHV-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001033008841 747895105 /nfs/dbraw/zinc/89/51/05/747895105.db2.gz GCESIKQLLNYOHV-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1c[nH+]c2n1CCC21CCN(C(=O)c2cnc(C#N)cn2)CC1 ZINC001199664875 747941999 /nfs/dbraw/zinc/94/19/99/747941999.db2.gz LLIQDYOUZWMLNP-UHFFFAOYSA-N 1 2 322.372 1.431 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cccc(OC)c1 ZINC001032641865 752226773 /nfs/dbraw/zinc/22/67/73/752226773.db2.gz MIJIOLHPMRDXGG-GJZGRUSLSA-N 1 2 314.385 1.382 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cccc(OC)c1 ZINC001032641865 752226778 /nfs/dbraw/zinc/22/67/78/752226778.db2.gz MIJIOLHPMRDXGG-GJZGRUSLSA-N 1 2 314.385 1.382 20 30 DDEDLO Cc1nccnc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110540434 747952304 /nfs/dbraw/zinc/95/23/04/747952304.db2.gz RPDPXHHAZAWHFI-INMHGKMJSA-N 1 2 310.401 1.420 20 30 DDEDLO Cc1nccnc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110540434 747952308 /nfs/dbraw/zinc/95/23/08/747952308.db2.gz RPDPXHHAZAWHFI-INMHGKMJSA-N 1 2 310.401 1.420 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@]23CCC[C@H]2OCC3)C1 ZINC001108067518 748204281 /nfs/dbraw/zinc/20/42/81/748204281.db2.gz DOQXIPHBKKXBIA-OIISXLGYSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@]23CCC[C@H]2OCC3)C1 ZINC001108067518 748204288 /nfs/dbraw/zinc/20/42/88/748204288.db2.gz DOQXIPHBKKXBIA-OIISXLGYSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001124530154 748314449 /nfs/dbraw/zinc/31/44/49/748314449.db2.gz QNNVDQIEYOJAOD-HNNXBMFYSA-N 1 2 318.421 1.785 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)n(C)n1 ZINC001004373453 748379168 /nfs/dbraw/zinc/37/91/68/748379168.db2.gz WLROZIHSMOIUGM-HNNXBMFYSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)n(C)n1 ZINC001004373453 748379178 /nfs/dbraw/zinc/37/91/78/748379178.db2.gz WLROZIHSMOIUGM-HNNXBMFYSA-N 1 2 315.421 1.569 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)[C@@H]2CCCCO2)CC1 ZINC001004409458 748408627 /nfs/dbraw/zinc/40/86/27/748408627.db2.gz WNLIPFXGYHRAMY-HOTGVXAUSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)[C@@H]2CCCCO2)CC1 ZINC001004409458 748408631 /nfs/dbraw/zinc/40/86/31/748408631.db2.gz WNLIPFXGYHRAMY-HOTGVXAUSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2cnc[nH]c2=O)CC1 ZINC001004465277 748454196 /nfs/dbraw/zinc/45/41/96/748454196.db2.gz CBEAMSOAQLDHAM-AWEZNQCLSA-N 1 2 315.377 1.022 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2cnc[nH]c2=O)CC1 ZINC001004465277 748454199 /nfs/dbraw/zinc/45/41/99/748454199.db2.gz CBEAMSOAQLDHAM-AWEZNQCLSA-N 1 2 315.377 1.022 20 30 DDEDLO N#CCN1CCC[C@@H]1C1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001004499036 748487734 /nfs/dbraw/zinc/48/77/34/748487734.db2.gz KCULCNBVJSDKKU-MRXNPFEDSA-N 1 2 315.421 1.500 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2cnn(C)c2C)C1 ZINC001033141246 748526062 /nfs/dbraw/zinc/52/60/62/748526062.db2.gz CDDJAIXTAAZDPL-CQSZACIVSA-N 1 2 310.829 1.556 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2cnn(C)c2C)C1 ZINC001033141246 748526069 /nfs/dbraw/zinc/52/60/69/748526069.db2.gz CDDJAIXTAAZDPL-CQSZACIVSA-N 1 2 310.829 1.556 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)CCc2cn[nH]c2)CC1 ZINC001004580327 748564643 /nfs/dbraw/zinc/56/46/43/748564643.db2.gz RBWJGDXHOLTUAV-MRXNPFEDSA-N 1 2 315.421 1.569 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)CCc2cn[nH]c2)CC1 ZINC001004580327 748564646 /nfs/dbraw/zinc/56/46/46/748564646.db2.gz RBWJGDXHOLTUAV-MRXNPFEDSA-N 1 2 315.421 1.569 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cn(C)nc2CC)C1 ZINC001033174279 748729812 /nfs/dbraw/zinc/72/98/12/748729812.db2.gz VBLOWMAMKWNATH-GFCCVEGCSA-N 1 2 310.829 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cn(C)nc2CC)C1 ZINC001033174279 748729819 /nfs/dbraw/zinc/72/98/19/748729819.db2.gz VBLOWMAMKWNATH-GFCCVEGCSA-N 1 2 310.829 1.881 20 30 DDEDLO Cc1nc(N2CC[C@@H](CNC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001061557256 748763318 /nfs/dbraw/zinc/76/33/18/748763318.db2.gz QIUGDJWUKZAVGO-LBPRGKRZSA-N 1 2 310.361 1.241 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C1CCC1)C2 ZINC001110704416 748915650 /nfs/dbraw/zinc/91/56/50/748915650.db2.gz QYSLFEBETSVSMU-XZDPQHSOSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C1CCC1)C2 ZINC001110704416 748915655 /nfs/dbraw/zinc/91/56/55/748915655.db2.gz QYSLFEBETSVSMU-XZDPQHSOSA-N 1 2 319.449 1.446 20 30 DDEDLO C[C@@]1(NC(=O)Cc2[nH]cc[nH+]2)CCN(c2ncccc2C#N)C1 ZINC001110778992 749004555 /nfs/dbraw/zinc/00/45/55/749004555.db2.gz STNKBHWYKMCXRJ-MRXNPFEDSA-N 1 2 310.361 1.004 20 30 DDEDLO C[C@]1(NC(=O)Cc2c[nH]c[nH+]2)CCN(c2ccncc2C#N)C1 ZINC001110818231 749040816 /nfs/dbraw/zinc/04/08/16/749040816.db2.gz WOJRFKOLZNVWRQ-INIZCTEOSA-N 1 2 310.361 1.004 20 30 DDEDLO C[C@@]1(NC(=O)CCc2c[nH]c[nH+]2)CCN(c2ccc(C#N)cn2)C1 ZINC001110819180 749041696 /nfs/dbraw/zinc/04/16/96/749041696.db2.gz HKUORXOZMKMPII-QGZVFWFLSA-N 1 2 324.388 1.394 20 30 DDEDLO C[C@@]1(NC(=O)CCc2c[nH+]c[nH]2)CCN(c2ccc(C#N)cn2)C1 ZINC001110819180 749041701 /nfs/dbraw/zinc/04/17/01/749041701.db2.gz HKUORXOZMKMPII-QGZVFWFLSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21)OCC ZINC001114350806 749102130 /nfs/dbraw/zinc/10/21/30/749102130.db2.gz HQSZZRZVNDIRCN-QSJFSLAZSA-N 1 2 319.405 1.592 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21)OCC ZINC001114350806 749102134 /nfs/dbraw/zinc/10/21/34/749102134.db2.gz HQSZZRZVNDIRCN-QSJFSLAZSA-N 1 2 319.405 1.592 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108099897 749103943 /nfs/dbraw/zinc/10/39/43/749103943.db2.gz UZFMLOUFZZPRHU-LLVKDONJSA-N 1 2 302.382 1.636 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cscn4)C[C@H]32)CCC1 ZINC001114397709 749138453 /nfs/dbraw/zinc/13/84/53/749138453.db2.gz RCEXKAAICWEYIR-FICVDOATSA-N 1 2 315.442 1.883 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cscn4)C[C@H]32)CCC1 ZINC001114397709 749138457 /nfs/dbraw/zinc/13/84/57/749138457.db2.gz RCEXKAAICWEYIR-FICVDOATSA-N 1 2 315.442 1.883 20 30 DDEDLO Cc1nc(N2C[C@H](NC(=O)C#CC(C)C)C(C)(C)C2)cc[nH+]1 ZINC001114453615 749235523 /nfs/dbraw/zinc/23/55/23/749235523.db2.gz YWSWCPWDLUJMLG-AWEZNQCLSA-N 1 2 300.406 1.775 20 30 DDEDLO CC1(C)CN(C(=O)Cc2cn3c([nH+]2)CCCC3)C[C@@H]1NCC#N ZINC000995845193 749257955 /nfs/dbraw/zinc/25/79/55/749257955.db2.gz IKFHIXHXNNBWFH-AWEZNQCLSA-N 1 2 315.421 1.112 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001108342283 761957510 /nfs/dbraw/zinc/95/75/10/761957510.db2.gz ZURSUQLMSFWVSH-ZDUSSCGKSA-N 1 2 315.421 1.041 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001108342283 761957514 /nfs/dbraw/zinc/95/75/14/761957514.db2.gz ZURSUQLMSFWVSH-ZDUSSCGKSA-N 1 2 315.421 1.041 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001033575851 749552546 /nfs/dbraw/zinc/55/25/46/749552546.db2.gz CHRMNTBNGXHDNT-VXGBXAGGSA-N 1 2 323.828 1.195 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001033575851 749552550 /nfs/dbraw/zinc/55/25/50/749552550.db2.gz CHRMNTBNGXHDNT-VXGBXAGGSA-N 1 2 323.828 1.195 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)[C@@H](C)C=C)nn2)C1 ZINC001107198417 749563519 /nfs/dbraw/zinc/56/35/19/749563519.db2.gz GPODLKOWDJXJIM-KBPBESRZSA-N 1 2 315.421 1.233 20 30 DDEDLO Cc1nc(N2CCC(CNC(=O)[C@H](C)C#N)CC2)c(C)c(C)[nH+]1 ZINC001095344544 749583244 /nfs/dbraw/zinc/58/32/44/749583244.db2.gz CLDMHJGPYYKOTL-LLVKDONJSA-N 1 2 315.421 1.894 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2sc(COC)nc2C)C1 ZINC001033628546 749644306 /nfs/dbraw/zinc/64/43/06/749644306.db2.gz UDRCERUHKVDFAX-LBPRGKRZSA-N 1 2 307.419 1.377 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2sc(COC)nc2C)C1 ZINC001033628546 749644308 /nfs/dbraw/zinc/64/43/08/749644308.db2.gz UDRCERUHKVDFAX-LBPRGKRZSA-N 1 2 307.419 1.377 20 30 DDEDLO N#Cc1cnccc1NC/C=C/CNC(=O)CCCn1cc[nH+]c1 ZINC001107237292 749678695 /nfs/dbraw/zinc/67/86/95/749678695.db2.gz JTCXHEHKHNSPJF-OWOJBTEDSA-N 1 2 324.388 1.136 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]12CCC[C@H]1[N@H+](Cc1csnn1)CC2 ZINC001107336991 749827446 /nfs/dbraw/zinc/82/74/46/749827446.db2.gz TYLGHUGJKSYYSU-UXIGCNINSA-N 1 2 319.434 1.559 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]12CCC[C@H]1[N@@H+](Cc1csnn1)CC2 ZINC001107336991 749827450 /nfs/dbraw/zinc/82/74/50/749827450.db2.gz TYLGHUGJKSYYSU-UXIGCNINSA-N 1 2 319.434 1.559 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2COCCO2)C1 ZINC001033761141 749836870 /nfs/dbraw/zinc/83/68/70/749836870.db2.gz GLAQBSLFPIBIBR-CHWSQXEVSA-N 1 2 302.802 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2COCCO2)C1 ZINC001033761141 749836877 /nfs/dbraw/zinc/83/68/77/749836877.db2.gz GLAQBSLFPIBIBR-CHWSQXEVSA-N 1 2 302.802 1.077 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cn[nH]n2)C3)c1 ZINC001095674766 750133136 /nfs/dbraw/zinc/13/31/36/750133136.db2.gz JDDAQEDCZPRUBM-YCPHGPKFSA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1cccc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cn[nH]n2)C3)c1 ZINC001095674766 750133141 /nfs/dbraw/zinc/13/31/41/750133141.db2.gz JDDAQEDCZPRUBM-YCPHGPKFSA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cnn[nH]2)C3)c1 ZINC001095674766 750133145 /nfs/dbraw/zinc/13/31/45/750133145.db2.gz JDDAQEDCZPRUBM-YCPHGPKFSA-N 1 2 322.372 1.212 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC)[C@H]1CC[N@H+](Cc2ccon2)C1 ZINC001033953555 750175174 /nfs/dbraw/zinc/17/51/74/750175174.db2.gz FLLIQKFTNDHPCT-XHSDSOJGSA-N 1 2 319.405 1.689 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC)[C@H]1CC[N@@H+](Cc2ccon2)C1 ZINC001033953555 750175178 /nfs/dbraw/zinc/17/51/78/750175178.db2.gz FLLIQKFTNDHPCT-XHSDSOJGSA-N 1 2 319.405 1.689 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2scnc2COC)C1 ZINC001033980228 750218483 /nfs/dbraw/zinc/21/84/83/750218483.db2.gz QQTYELJEVWVQLE-GFCCVEGCSA-N 1 2 307.419 1.459 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2scnc2COC)C1 ZINC001033980228 750218485 /nfs/dbraw/zinc/21/84/85/750218485.db2.gz QQTYELJEVWVQLE-GFCCVEGCSA-N 1 2 307.419 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(F)CCOC1 ZINC001039424877 762022479 /nfs/dbraw/zinc/02/24/79/762022479.db2.gz KIXOTNBFOPDKCQ-IPYPFGDCSA-N 1 2 316.804 1.933 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(F)CCOC1 ZINC001039424877 762022491 /nfs/dbraw/zinc/02/24/91/762022491.db2.gz KIXOTNBFOPDKCQ-IPYPFGDCSA-N 1 2 316.804 1.933 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NCCC)C1 ZINC001111002135 750295176 /nfs/dbraw/zinc/29/51/76/750295176.db2.gz WPKSNGHGSXSLTI-KFWWJZLASA-N 1 2 319.449 1.590 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NCCC)C1 ZINC001111002135 750295179 /nfs/dbraw/zinc/29/51/79/750295179.db2.gz WPKSNGHGSXSLTI-KFWWJZLASA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1n1ccnn1 ZINC001128820965 750301313 /nfs/dbraw/zinc/30/13/13/750301313.db2.gz KTHUQVLVTDQOAT-RMKKPPKKSA-N 1 2 309.801 1.338 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1n1ccnn1 ZINC001128820965 750301320 /nfs/dbraw/zinc/30/13/20/750301320.db2.gz KTHUQVLVTDQOAT-RMKKPPKKSA-N 1 2 309.801 1.338 20 30 DDEDLO CCC(=O)NC[C@@]1(C)C[N@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107658842 750323433 /nfs/dbraw/zinc/32/34/33/750323433.db2.gz AIQVRPPLYXYJMI-KRWDZBQOSA-N 1 2 301.390 1.675 20 30 DDEDLO CCC(=O)NC[C@@]1(C)C[N@@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107658842 750323440 /nfs/dbraw/zinc/32/34/40/750323440.db2.gz AIQVRPPLYXYJMI-KRWDZBQOSA-N 1 2 301.390 1.675 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)n2ccc(C)n2)C1 ZINC001108117549 750413225 /nfs/dbraw/zinc/41/32/25/750413225.db2.gz LMHIIYJZSFKKDD-NVXWUHKLSA-N 1 2 320.437 1.536 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)n2ccc(C)n2)C1 ZINC001108117549 750413227 /nfs/dbraw/zinc/41/32/27/750413227.db2.gz LMHIIYJZSFKKDD-NVXWUHKLSA-N 1 2 320.437 1.536 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCOc2ccccc2)C1 ZINC001108393699 762036215 /nfs/dbraw/zinc/03/62/15/762036215.db2.gz YLTMWRPWMNNBDH-SFHVURJKSA-N 1 2 318.417 1.849 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCOc2ccccc2)C1 ZINC001108393699 762036225 /nfs/dbraw/zinc/03/62/25/762036225.db2.gz YLTMWRPWMNNBDH-SFHVURJKSA-N 1 2 318.417 1.849 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)COc2ccccc2F)C1 ZINC001108393657 762036344 /nfs/dbraw/zinc/03/63/44/762036344.db2.gz XLFPFWOYVFVWBB-QGZVFWFLSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)COc2ccccc2F)C1 ZINC001108393657 762036353 /nfs/dbraw/zinc/03/63/53/762036353.db2.gz XLFPFWOYVFVWBB-QGZVFWFLSA-N 1 2 322.380 1.598 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C[C@@H](C)CC)[C@@H](n2ccnn2)C1 ZINC001128953853 750482926 /nfs/dbraw/zinc/48/29/26/750482926.db2.gz XVNVNPVHAQCURD-ZNMIVQPWSA-N 1 2 303.410 1.079 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C[C@@H](C)CC)[C@@H](n2ccnn2)C1 ZINC001128953853 750482928 /nfs/dbraw/zinc/48/29/28/750482928.db2.gz XVNVNPVHAQCURD-ZNMIVQPWSA-N 1 2 303.410 1.079 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)cs2)[C@H](O)C1 ZINC001090249820 750764775 /nfs/dbraw/zinc/76/47/75/750764775.db2.gz SDWTVEXMOCRHGT-NWDGAFQWSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)cs2)[C@H](O)C1 ZINC001090249820 750764778 /nfs/dbraw/zinc/76/47/78/750764778.db2.gz SDWTVEXMOCRHGT-NWDGAFQWSA-N 1 2 314.838 1.974 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2cc(C)ccn12 ZINC001032420564 750772292 /nfs/dbraw/zinc/77/22/92/750772292.db2.gz WEJLNMXLRMANTB-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2cc(C)ccn12 ZINC001032420564 750772297 /nfs/dbraw/zinc/77/22/97/750772297.db2.gz WEJLNMXLRMANTB-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC001077802590 750818520 /nfs/dbraw/zinc/81/85/20/750818520.db2.gz OPDUSPOOYGKONC-BSDSXHPESA-N 1 2 312.413 1.201 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC001077802590 750818526 /nfs/dbraw/zinc/81/85/26/750818526.db2.gz OPDUSPOOYGKONC-BSDSXHPESA-N 1 2 312.413 1.201 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@](C)(OC)c1ccccc1F ZINC001032440398 750839801 /nfs/dbraw/zinc/83/98/01/750839801.db2.gz VTXDRMGREHNPTE-DEYYWGMASA-N 1 2 316.376 1.606 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@](C)(OC)c1ccccc1F ZINC001032440398 750839809 /nfs/dbraw/zinc/83/98/09/750839809.db2.gz VTXDRMGREHNPTE-DEYYWGMASA-N 1 2 316.376 1.606 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(C2CCC2)no1 ZINC001034887619 750985970 /nfs/dbraw/zinc/98/59/70/750985970.db2.gz YKQNJZWWQINTQN-WCQYABFASA-N 1 2 317.393 1.577 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001114687001 750988922 /nfs/dbraw/zinc/98/89/22/750988922.db2.gz VVTIKROERUBXPB-MQYQWHSLSA-N 1 2 300.406 1.796 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001114687001 750988925 /nfs/dbraw/zinc/98/89/25/750988925.db2.gz VVTIKROERUBXPB-MQYQWHSLSA-N 1 2 300.406 1.796 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001114714829 751018102 /nfs/dbraw/zinc/01/81/02/751018102.db2.gz ZFISZPNXDANJBE-PBOSXPJTSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001114714829 751018108 /nfs/dbraw/zinc/01/81/08/751018108.db2.gz ZFISZPNXDANJBE-PBOSXPJTSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114725818 751027840 /nfs/dbraw/zinc/02/78/40/751027840.db2.gz BPUKNBZMOLJVBP-BCUIYNNISA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114725818 751027847 /nfs/dbraw/zinc/02/78/47/751027847.db2.gz BPUKNBZMOLJVBP-BCUIYNNISA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001114727873 751029080 /nfs/dbraw/zinc/02/90/80/751029080.db2.gz ZFNCJWOLDLBDPF-BCUIYNNISA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001114727873 751029085 /nfs/dbraw/zinc/02/90/85/751029085.db2.gz ZFNCJWOLDLBDPF-BCUIYNNISA-N 1 2 304.394 1.527 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114756830 751060832 /nfs/dbraw/zinc/06/08/32/751060832.db2.gz AELDQLHQGGSKKN-PBOSXPJTSA-N 1 2 303.410 1.577 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114756830 751060840 /nfs/dbraw/zinc/06/08/40/751060840.db2.gz AELDQLHQGGSKKN-PBOSXPJTSA-N 1 2 303.410 1.577 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C1CCN(CC#N)CC1 ZINC000997646536 751179699 /nfs/dbraw/zinc/17/96/99/751179699.db2.gz MXBDPZHVRKXGHQ-DZGCQCFKSA-N 1 2 315.421 1.186 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2nn1 ZINC001032552162 751280326 /nfs/dbraw/zinc/28/03/26/751280326.db2.gz ZMBKIPBPTDKHED-GJZGRUSLSA-N 1 2 306.369 1.552 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2nn1 ZINC001032552162 751280332 /nfs/dbraw/zinc/28/03/32/751280332.db2.gz ZMBKIPBPTDKHED-GJZGRUSLSA-N 1 2 306.369 1.552 20 30 DDEDLO Cc1n[nH]cc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032552311 751281996 /nfs/dbraw/zinc/28/19/96/751281996.db2.gz HTLZZMIHYZDEKH-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1n[nH]cc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032552311 751282000 /nfs/dbraw/zinc/28/20/00/751282000.db2.gz HTLZZMIHYZDEKH-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC000999128857 752527734 /nfs/dbraw/zinc/52/77/34/752527734.db2.gz NIFKKIIAGBCMMZ-NSHDSACASA-N 1 2 324.331 1.501 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC000999130710 752565617 /nfs/dbraw/zinc/56/56/17/752565617.db2.gz XQPMVEIQLURPCJ-AWEZNQCLSA-N 1 2 314.389 1.441 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(-c2ccccc2)n1 ZINC001032653817 752606744 /nfs/dbraw/zinc/60/67/44/752606744.db2.gz FTQYTHAABJYOLU-HOTGVXAUSA-N 1 2 320.396 1.999 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(-c2ccccc2)n1 ZINC001032653817 752606747 /nfs/dbraw/zinc/60/67/47/752606747.db2.gz FTQYTHAABJYOLU-HOTGVXAUSA-N 1 2 320.396 1.999 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2CCC[N@@H+](C/C=C/Cl)C2)nn1 ZINC001008827609 752937419 /nfs/dbraw/zinc/93/74/19/752937419.db2.gz ZXMOFIHIBARSHA-VUDGCMKMSA-N 1 2 323.828 1.801 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2CCC[N@H+](C/C=C/Cl)C2)nn1 ZINC001008827609 752937424 /nfs/dbraw/zinc/93/74/24/752937424.db2.gz ZXMOFIHIBARSHA-VUDGCMKMSA-N 1 2 323.828 1.801 20 30 DDEDLO CC#CCCCC(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001077901128 753374829 /nfs/dbraw/zinc/37/48/29/753374829.db2.gz BFNNSWFQSZQWCZ-HNNXBMFYSA-N 1 2 318.421 1.478 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccccc1Cl ZINC001032779597 753620223 /nfs/dbraw/zinc/62/02/23/753620223.db2.gz ONHWNHXJPLVQKE-STQMWFEESA-N 1 2 304.777 1.637 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccccc1Cl ZINC001032779597 753620229 /nfs/dbraw/zinc/62/02/29/753620229.db2.gz ONHWNHXJPLVQKE-STQMWFEESA-N 1 2 304.777 1.637 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ccc(C#N)cn1 ZINC001039819581 762229983 /nfs/dbraw/zinc/22/99/83/762229983.db2.gz VVZOLEGIMKWTMV-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCC1(CNc2cc[nH+]c(C)n2)CC1 ZINC001089964808 753660040 /nfs/dbraw/zinc/66/00/40/753660040.db2.gz ZVZSMVLEBRBJDR-ZDUSSCGKSA-N 1 2 316.405 1.522 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1O ZINC001078082135 753719978 /nfs/dbraw/zinc/71/99/78/753719978.db2.gz DCIUEDHSSZZLGJ-IDSJHHPBSA-N 1 2 318.804 1.124 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1O ZINC001078082135 753719985 /nfs/dbraw/zinc/71/99/85/753719985.db2.gz DCIUEDHSSZZLGJ-IDSJHHPBSA-N 1 2 318.804 1.124 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)CCCC(C)C)[C@@H](n2ccnn2)C1 ZINC001129288433 753747882 /nfs/dbraw/zinc/74/78/82/753747882.db2.gz BASLJSXXHTWPRX-CVEARBPZSA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)CCCC(C)C)[C@@H](n2ccnn2)C1 ZINC001129288433 753747888 /nfs/dbraw/zinc/74/78/88/753747888.db2.gz BASLJSXXHTWPRX-CVEARBPZSA-N 1 2 317.437 1.469 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@@H](N(C)C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001062965647 753876401 /nfs/dbraw/zinc/87/64/01/753876401.db2.gz MXDAFJWKGQMYEI-CQSZACIVSA-N 1 2 324.388 1.265 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[C@H](C)NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001078272915 753909874 /nfs/dbraw/zinc/90/98/74/753909874.db2.gz SBHYHLLGBSDGOV-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2ccccc2C)C1 ZINC001078282386 753918817 /nfs/dbraw/zinc/91/88/17/753918817.db2.gz YDWYRYGUFSWTJJ-HZPDHXFCSA-N 1 2 300.402 1.067 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2ccccc2C)C1 ZINC001078282386 753918822 /nfs/dbraw/zinc/91/88/22/753918822.db2.gz YDWYRYGUFSWTJJ-HZPDHXFCSA-N 1 2 300.402 1.067 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2C[C@H](NCC#N)C23CCC3)c[nH+]1 ZINC001078657350 754255322 /nfs/dbraw/zinc/25/53/22/754255322.db2.gz VITMEZKLBYOHIV-KBPBESRZSA-N 1 2 315.421 1.467 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001078754117 754351409 /nfs/dbraw/zinc/35/14/09/754351409.db2.gz PKJTVYCBJCIEJY-LBPRGKRZSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC001011319904 754433928 /nfs/dbraw/zinc/43/39/28/754433928.db2.gz MDIHJJZEGMNKAY-CHWSQXEVSA-N 1 2 316.405 1.396 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CC1 ZINC001011319904 754433931 /nfs/dbraw/zinc/43/39/31/754433931.db2.gz MDIHJJZEGMNKAY-CHWSQXEVSA-N 1 2 316.405 1.396 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccncc2CC)C1 ZINC001108039327 754460865 /nfs/dbraw/zinc/46/08/65/754460865.db2.gz BEXSJQIMZBTKTG-SFHVURJKSA-N 1 2 315.417 1.488 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccncc2CC)C1 ZINC001108039327 754460871 /nfs/dbraw/zinc/46/08/71/754460871.db2.gz BEXSJQIMZBTKTG-SFHVURJKSA-N 1 2 315.417 1.488 20 30 DDEDLO N#CCN1CCC(CNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001001821412 754523948 /nfs/dbraw/zinc/52/39/48/754523948.db2.gz CIEUEGIHOOIBHU-ZDUSSCGKSA-N 1 2 301.394 1.181 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064704715 754783820 /nfs/dbraw/zinc/78/38/20/754783820.db2.gz MNTBPPCWMBFUGZ-QWHCGFSZSA-N 1 2 318.421 1.566 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064791717 754858157 /nfs/dbraw/zinc/85/81/57/754858157.db2.gz KLGXPFZEXIOIKC-JSGCOSHPSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccccc2)[C@@H](O)C1 ZINC001090365208 755212276 /nfs/dbraw/zinc/21/22/76/755212276.db2.gz OHOUWUILRLQHKK-CABCVRRESA-N 1 2 308.809 1.533 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccccc2)[C@@H](O)C1 ZINC001090365208 755212281 /nfs/dbraw/zinc/21/22/81/755212281.db2.gz OHOUWUILRLQHKK-CABCVRRESA-N 1 2 308.809 1.533 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001079862936 755611304 /nfs/dbraw/zinc/61/13/04/755611304.db2.gz PPODYEVMCCBEDZ-PRHODGIISA-N 1 2 320.784 1.156 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001079862936 755611306 /nfs/dbraw/zinc/61/13/06/755611306.db2.gz PPODYEVMCCBEDZ-PRHODGIISA-N 1 2 320.784 1.156 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H](Nc3cc[nH+]c(C)n3)[C@@H]2C)cn1 ZINC001040158879 762414911 /nfs/dbraw/zinc/41/49/11/762414911.db2.gz SSGHXTWYIQVMTH-LRDDRELGSA-N 1 2 321.384 1.876 20 30 DDEDLO C=CCCCC(=O)N1CC(N(CC)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001080199919 755773814 /nfs/dbraw/zinc/77/38/14/755773814.db2.gz VEDQVZMSVVZYOM-UHFFFAOYSA-N 1 2 318.421 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001080470471 755931486 /nfs/dbraw/zinc/93/14/86/755931486.db2.gz XCWUJVJGHNCCNN-FMKGYKFTSA-N 1 2 322.840 1.713 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001080470471 755931490 /nfs/dbraw/zinc/93/14/90/755931490.db2.gz XCWUJVJGHNCCNN-FMKGYKFTSA-N 1 2 322.840 1.713 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCn3cc(C)nc3C2)C1 ZINC001014936328 756025025 /nfs/dbraw/zinc/02/50/25/756025025.db2.gz RIGJFIUNJTWEAC-KBPBESRZSA-N 1 2 322.840 1.697 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCn3cc(C)nc3C2)C1 ZINC001014936328 756025029 /nfs/dbraw/zinc/02/50/29/756025029.db2.gz RIGJFIUNJTWEAC-KBPBESRZSA-N 1 2 322.840 1.697 20 30 DDEDLO Cc1nnccc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015120753 756132906 /nfs/dbraw/zinc/13/29/06/756132906.db2.gz RLNOXDJXIJGVTB-QGZVFWFLSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1nnccc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015120753 756132912 /nfs/dbraw/zinc/13/29/12/756132912.db2.gz RLNOXDJXIJGVTB-QGZVFWFLSA-N 1 2 320.396 1.641 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001080946830 756156126 /nfs/dbraw/zinc/15/61/26/756156126.db2.gz NCLBUBUVNRCEDX-CZUORRHYSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001080946830 756156128 /nfs/dbraw/zinc/15/61/28/756156128.db2.gz NCLBUBUVNRCEDX-CZUORRHYSA-N 1 2 314.433 1.752 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3snnc3C)C2)C1 ZINC001015675727 756458222 /nfs/dbraw/zinc/45/82/22/756458222.db2.gz DCPWPSQVCQLARX-LBPRGKRZSA-N 1 2 306.435 1.893 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3snnc3C)C2)C1 ZINC001015675727 756458223 /nfs/dbraw/zinc/45/82/23/756458223.db2.gz DCPWPSQVCQLARX-LBPRGKRZSA-N 1 2 306.435 1.893 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001040271227 762470654 /nfs/dbraw/zinc/47/06/54/762470654.db2.gz GGZQUWAYOOCAHV-WFASDCNBSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001040271227 762470657 /nfs/dbraw/zinc/47/06/57/762470657.db2.gz GGZQUWAYOOCAHV-WFASDCNBSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)O1 ZINC001015728335 756500427 /nfs/dbraw/zinc/50/04/27/756500427.db2.gz BNZRCHIIKYKQOD-XOKHGSTOSA-N 1 2 313.401 1.816 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)O1 ZINC001015728335 756500429 /nfs/dbraw/zinc/50/04/29/756500429.db2.gz BNZRCHIIKYKQOD-XOKHGSTOSA-N 1 2 313.401 1.816 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)cc2C)[C@H](OC)C1 ZINC001082093650 756626549 /nfs/dbraw/zinc/62/65/49/756626549.db2.gz BUPPVOKAAFDXAP-HUUCEWRRSA-N 1 2 306.793 1.711 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)cc2C)[C@H](OC)C1 ZINC001082093650 756626553 /nfs/dbraw/zinc/62/65/53/756626553.db2.gz BUPPVOKAAFDXAP-HUUCEWRRSA-N 1 2 306.793 1.711 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc([C@H](C)CC)no2)[C@H](OC)C1 ZINC001082213205 756686498 /nfs/dbraw/zinc/68/64/98/756686498.db2.gz PTAYDJHNNWUWQD-XNRPHZJLSA-N 1 2 319.405 1.640 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc([C@H](C)CC)no2)[C@H](OC)C1 ZINC001082213205 756686502 /nfs/dbraw/zinc/68/65/02/756686502.db2.gz PTAYDJHNNWUWQD-XNRPHZJLSA-N 1 2 319.405 1.640 20 30 DDEDLO CCN(CC[NH+]1CCOCC1)C(=O)Nc1cccc(C#N)c1 ZINC001202786097 756759664 /nfs/dbraw/zinc/75/96/64/756759664.db2.gz WGYHISVRJSNQLE-UHFFFAOYSA-N 1 2 302.378 1.744 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cn3ccccc3n2)C1 ZINC001016164970 756800195 /nfs/dbraw/zinc/80/01/95/756800195.db2.gz OSJRVZUUUSOGJK-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cn3ccccc3n2)C1 ZINC001016164970 756800200 /nfs/dbraw/zinc/80/02/00/756800200.db2.gz OSJRVZUUUSOGJK-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO CN(c1ccc(C#N)cn1)[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001057059355 762502046 /nfs/dbraw/zinc/50/20/46/762502046.db2.gz QCQSIHUSLSQXPB-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(c1ccc(C#N)cn1)[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001057059355 762502050 /nfs/dbraw/zinc/50/20/50/762502050.db2.gz QCQSIHUSLSQXPB-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001082721721 756903671 /nfs/dbraw/zinc/90/36/71/756903671.db2.gz GXRTXBGJRWVKBW-KFNAQCHYSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccncc2CC)[C@H](O)C1 ZINC001090379021 756921955 /nfs/dbraw/zinc/92/19/55/756921955.db2.gz UYGJIZSVRJIUQV-LSDHHAIUSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccncc2CC)[C@H](O)C1 ZINC001090379021 756921956 /nfs/dbraw/zinc/92/19/56/756921956.db2.gz UYGJIZSVRJIUQV-LSDHHAIUSA-N 1 2 323.824 1.562 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](NC(=O)CCn3cc[nH+]c3)C2)ccc1C#N ZINC001097236023 757007566 /nfs/dbraw/zinc/00/75/66/757007566.db2.gz LLDANQCINFTJOI-GASCZTMLSA-N 1 2 324.388 1.608 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001097257021 757023099 /nfs/dbraw/zinc/02/30/99/757023099.db2.gz DWYPMERHBYEZGA-FPMFFAJLSA-N 1 2 324.388 1.614 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001097257021 757023105 /nfs/dbraw/zinc/02/31/05/757023105.db2.gz DWYPMERHBYEZGA-FPMFFAJLSA-N 1 2 324.388 1.614 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001097374058 757118686 /nfs/dbraw/zinc/11/86/86/757118686.db2.gz DJYNSZJUWNKGLM-DZGCQCFKSA-N 1 2 316.405 1.474 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4cnccc4o3)[C@H]2C1 ZINC001083131493 757146911 /nfs/dbraw/zinc/14/69/11/757146911.db2.gz XEKWICBDGNHZST-XJKSGUPXSA-N 1 2 313.357 1.539 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4cnccc4o3)[C@H]2C1 ZINC001083131493 757146914 /nfs/dbraw/zinc/14/69/14/757146914.db2.gz XEKWICBDGNHZST-XJKSGUPXSA-N 1 2 313.357 1.539 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3coc(C(F)F)c3)[C@H]2C1 ZINC001083177330 757182241 /nfs/dbraw/zinc/18/22/41/757182241.db2.gz SCMWWUXSMSZDDY-GXTWGEPZSA-N 1 2 324.327 1.766 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3coc(C(F)F)c3)[C@H]2C1 ZINC001083177330 757182245 /nfs/dbraw/zinc/18/22/45/757182245.db2.gz SCMWWUXSMSZDDY-GXTWGEPZSA-N 1 2 324.327 1.766 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc(C3CC3)c1Cl)C2 ZINC001097433782 757182291 /nfs/dbraw/zinc/18/22/91/757182291.db2.gz UQRBVZCYPOXXQB-UTUOFQBUSA-N 1 2 318.808 1.909 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc(C3CC3)c1Cl)C2 ZINC001097433782 757182296 /nfs/dbraw/zinc/18/22/96/757182296.db2.gz UQRBVZCYPOXXQB-UTUOFQBUSA-N 1 2 318.808 1.909 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)c(C)c3)[C@H]2C1 ZINC001083182999 757191958 /nfs/dbraw/zinc/19/19/58/757191958.db2.gz IOIPLXZZLWKCKZ-JKSUJKDBSA-N 1 2 318.804 1.807 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)c(C)c3)[C@H]2C1 ZINC001083182999 757191961 /nfs/dbraw/zinc/19/19/61/757191961.db2.gz IOIPLXZZLWKCKZ-JKSUJKDBSA-N 1 2 318.804 1.807 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)COC(C)(C)C)[C@H](O)C1 ZINC001099794507 757225284 /nfs/dbraw/zinc/22/52/84/757225284.db2.gz NVNKKZXZBBCCRX-VXGBXAGGSA-N 1 2 304.818 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)COC(C)(C)C)[C@H](O)C1 ZINC001099794507 757225285 /nfs/dbraw/zinc/22/52/85/757225285.db2.gz NVNKKZXZBBCCRX-VXGBXAGGSA-N 1 2 304.818 1.105 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3c(C)ncn3C)[C@@H]2C1 ZINC001084428332 757546730 /nfs/dbraw/zinc/54/67/30/757546730.db2.gz GJLVWVPRYXSLAW-CHWSQXEVSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3c(C)ncn3C)[C@@H]2C1 ZINC001084428332 757546733 /nfs/dbraw/zinc/54/67/33/757546733.db2.gz GJLVWVPRYXSLAW-CHWSQXEVSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](Cc3ncnn3C)CC2)C1 ZINC001052689396 757649702 /nfs/dbraw/zinc/64/97/02/757649702.db2.gz GZVHEPVHCBYXQI-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](Cc3ncnn3C)CC2)C1 ZINC001052689396 757649707 /nfs/dbraw/zinc/64/97/07/757649707.db2.gz GZVHEPVHCBYXQI-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1Nc1ncccc1C#N ZINC001067174019 757660132 /nfs/dbraw/zinc/66/01/32/757660132.db2.gz DCPNDHQANSCPLL-ZFWWWQNUSA-N 1 2 324.388 1.499 20 30 DDEDLO Cc1nc(CC[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)cs1 ZINC001017422867 757919530 /nfs/dbraw/zinc/91/95/30/757919530.db2.gz PADAGRYBYSXVCC-TUKIKUTGSA-N 1 2 318.446 1.829 20 30 DDEDLO Cc1nc(CC[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)cs1 ZINC001017422867 757919536 /nfs/dbraw/zinc/91/95/36/757919536.db2.gz PADAGRYBYSXVCC-TUKIKUTGSA-N 1 2 318.446 1.829 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(CC(C)C)[nH]1 ZINC001017551630 758018874 /nfs/dbraw/zinc/01/88/74/758018874.db2.gz HCYKWWRHKTWRSH-GASCZTMLSA-N 1 2 314.433 1.920 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(CC(C)C)[nH]1 ZINC001017551630 758018882 /nfs/dbraw/zinc/01/88/82/758018882.db2.gz HCYKWWRHKTWRSH-GASCZTMLSA-N 1 2 314.433 1.920 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)NC(=O)C2 ZINC001017579367 758046521 /nfs/dbraw/zinc/04/65/21/758046521.db2.gz INFPLVPRBCQIFF-GASCZTMLSA-N 1 2 309.369 1.103 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)NC(=O)C2 ZINC001017579367 758046532 /nfs/dbraw/zinc/04/65/32/758046532.db2.gz INFPLVPRBCQIFF-GASCZTMLSA-N 1 2 309.369 1.103 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(Cl)cnn1CC ZINC001017582843 758050606 /nfs/dbraw/zinc/05/06/06/758050606.db2.gz CZVIYKHXBZIIJQ-TXEJJXNPSA-N 1 2 306.797 1.478 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(Cl)cnn1CC ZINC001017582843 758050618 /nfs/dbraw/zinc/05/06/18/758050618.db2.gz CZVIYKHXBZIIJQ-TXEJJXNPSA-N 1 2 306.797 1.478 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1-c1ccccc1 ZINC001017668575 758137409 /nfs/dbraw/zinc/13/74/09/758137409.db2.gz VHEAHWQPGWJPAB-CALCHBBNSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1-c1ccccc1 ZINC001017668575 758137413 /nfs/dbraw/zinc/13/74/13/758137413.db2.gz VHEAHWQPGWJPAB-CALCHBBNSA-N 1 2 320.396 1.794 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1c(C)occc1=O ZINC001017724794 758185298 /nfs/dbraw/zinc/18/52/98/758185298.db2.gz ZVMSQPISFVBIGU-OKILXGFUSA-N 1 2 318.373 1.188 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1c(C)occc1=O ZINC001017724794 758185303 /nfs/dbraw/zinc/18/53/03/758185303.db2.gz ZVMSQPISFVBIGU-OKILXGFUSA-N 1 2 318.373 1.188 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccnc1C(F)F ZINC001017726409 758186075 /nfs/dbraw/zinc/18/60/75/758186075.db2.gz VWHHZAXFJRETDU-TXEJJXNPSA-N 1 2 305.328 1.941 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccnc1C(F)F ZINC001017726409 758186076 /nfs/dbraw/zinc/18/60/76/758186076.db2.gz VWHHZAXFJRETDU-TXEJJXNPSA-N 1 2 305.328 1.941 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001001162825 762609174 /nfs/dbraw/zinc/60/91/74/762609174.db2.gz IOVWQZIJBQFMNK-CVEARBPZSA-N 1 2 317.389 1.514 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001001162825 762609177 /nfs/dbraw/zinc/60/91/77/762609177.db2.gz IOVWQZIJBQFMNK-CVEARBPZSA-N 1 2 317.389 1.514 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1cnsn1)CCO2 ZINC001053173450 758231229 /nfs/dbraw/zinc/23/12/29/758231229.db2.gz VYFIVQWGLQJCCB-UHFFFAOYSA-N 1 2 308.407 1.031 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@@H]2C)ccc1C#N ZINC001067207223 758240274 /nfs/dbraw/zinc/24/02/74/758240274.db2.gz LPHSLPJAPMNACD-XHDPSFHLSA-N 1 2 324.388 1.486 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccnc(F)c1)CCO2 ZINC001053182591 758242952 /nfs/dbraw/zinc/24/29/52/758242952.db2.gz LBJJPVGKLGYLBA-UHFFFAOYSA-N 1 2 305.353 1.324 20 30 DDEDLO C[C@@H](F)CC[NH+]1CC2(C1)CN(C(=O)c1c[nH]c(C#N)c1)CCO2 ZINC001053227227 758284147 /nfs/dbraw/zinc/28/41/47/758284147.db2.gz UIFUTHRCODEOPL-GFCCVEGCSA-N 1 2 320.368 1.161 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)cc(C)[nH]c1=O ZINC001017839096 758287086 /nfs/dbraw/zinc/28/70/86/758287086.db2.gz IVCYPSHOPQZYCC-GASCZTMLSA-N 1 2 313.401 1.255 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)cc(C)[nH]c1=O ZINC001017839096 758287095 /nfs/dbraw/zinc/28/70/95/758287095.db2.gz IVCYPSHOPQZYCC-GASCZTMLSA-N 1 2 313.401 1.255 20 30 DDEDLO Cc1nc(NCC[C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)cc[nH+]1 ZINC001053315146 758367617 /nfs/dbraw/zinc/36/76/17/758367617.db2.gz UAJNFJJPTZAIMP-YRGRVCCFSA-N 1 2 301.394 1.841 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001018126504 758566504 /nfs/dbraw/zinc/56/65/04/758566504.db2.gz HFOORWDYYYOSHF-MELADBBJSA-N 1 2 312.417 1.457 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001018126504 758566506 /nfs/dbraw/zinc/56/65/06/758566506.db2.gz HFOORWDYYYOSHF-MELADBBJSA-N 1 2 312.417 1.457 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCCCO1)O2 ZINC001053590862 758608167 /nfs/dbraw/zinc/60/81/67/758608167.db2.gz RJBBUMVFXLFYJX-CABCVRRESA-N 1 2 308.422 1.481 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncnc1C(C)C ZINC001018279964 758692326 /nfs/dbraw/zinc/69/23/26/758692326.db2.gz ICRNONXVMHYBHU-GASCZTMLSA-N 1 2 312.417 1.912 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncnc1C(C)C ZINC001018279964 758692331 /nfs/dbraw/zinc/69/23/31/758692331.db2.gz ICRNONXVMHYBHU-GASCZTMLSA-N 1 2 312.417 1.912 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[NH+]([C@H]2CCN(C(C)=O)C2)CC1 ZINC001065675522 758693917 /nfs/dbraw/zinc/69/39/17/758693917.db2.gz RTNGAXIAGRHVAP-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)C(C)C)CC2)C1 ZINC001065704122 758705326 /nfs/dbraw/zinc/70/53/26/758705326.db2.gz IVWBALOEWOJTOA-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(C)(C)C(C)C)CC2=O)C1 ZINC001108549656 762651701 /nfs/dbraw/zinc/65/17/01/762651701.db2.gz NDKJNJHZCHKKEP-CYBMUJFWSA-N 1 2 307.438 1.256 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CCC)CC2)C1 ZINC001065700966 758716995 /nfs/dbraw/zinc/71/69/95/758716995.db2.gz VLEDLDVZFQCPIM-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1oc(C)nc1C)CO2 ZINC001053764765 758783520 /nfs/dbraw/zinc/78/35/20/758783520.db2.gz YARCITDJGNLDMS-CYBMUJFWSA-N 1 2 305.378 1.441 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccc(C)o1)CO2 ZINC001053780911 758804553 /nfs/dbraw/zinc/80/45/53/758804553.db2.gz WULCUNWDVOSDFC-CQSZACIVSA-N 1 2 302.374 1.113 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCCOCC1)CO2 ZINC001053790546 758819123 /nfs/dbraw/zinc/81/91/23/758819123.db2.gz YSJKVFLGLCFKSD-HUUCEWRRSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc[nH]c1CC)CO2 ZINC001053791530 758819237 /nfs/dbraw/zinc/81/92/37/758819237.db2.gz MSTLRSJBZKUIHA-ZDUSSCGKSA-N 1 2 301.390 1.174 20 30 DDEDLO Cc1nc(NC[C@@H]2CN(C(=O)c3cc(C#N)c[nH]3)C[C@@H]2C)cc[nH+]1 ZINC001065838708 758849180 /nfs/dbraw/zinc/84/91/80/758849180.db2.gz JCPXJYINDWAEDQ-SMDDNHRTSA-N 1 2 324.388 1.805 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1nc(CC)c[nH]1)CO2 ZINC001053836713 758867574 /nfs/dbraw/zinc/86/75/74/758867574.db2.gz PIAGQFAIRZABFF-AWEZNQCLSA-N 1 2 318.421 1.050 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1nc(C)sc1C)CO2 ZINC001053843145 758873556 /nfs/dbraw/zinc/87/35/56/758873556.db2.gz GDAJWIFBFFDCSV-ZDUSSCGKSA-N 1 2 319.430 1.356 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)c(C)n1)CO2 ZINC001053870097 758903896 /nfs/dbraw/zinc/90/38/96/758903896.db2.gz BPTLTEVPUQSNNS-OAHLLOKOSA-N 1 2 315.417 1.848 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1cscn1)CO2 ZINC001053947380 758984587 /nfs/dbraw/zinc/98/45/87/758984587.db2.gz QFWJXCNPPXREKW-CYBMUJFWSA-N 1 2 307.419 1.221 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC13CCCC3)CO2 ZINC001053974710 759012464 /nfs/dbraw/zinc/01/24/64/759012464.db2.gz SKYJUDKWIAJJRD-LSDHHAIUSA-N 1 2 302.418 1.550 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC001018618295 759039197 /nfs/dbraw/zinc/03/91/97/759039197.db2.gz NOYRPWYWGLISSS-MNOVXSKESA-N 1 2 305.382 1.217 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc3c(o2)CCCC3)C1 ZINC001018632000 759051551 /nfs/dbraw/zinc/05/15/51/759051551.db2.gz LKPXTIDFPGOIDO-NEPJUHHUSA-N 1 2 302.378 1.404 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc3c(c1)CCC3)CO2 ZINC001054004876 759051714 /nfs/dbraw/zinc/05/17/14/759051714.db2.gz ZCUAREMTEXXQQS-GOSISDBHSA-N 1 2 324.424 1.772 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnccn1 ZINC001054030679 759083873 /nfs/dbraw/zinc/08/38/73/759083873.db2.gz OWKNWPHPVMSXGI-MRXNPFEDSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnccn1 ZINC001054030679 759083878 /nfs/dbraw/zinc/08/38/78/759083878.db2.gz OWKNWPHPVMSXGI-MRXNPFEDSA-N 1 2 306.369 1.267 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn(C)c1 ZINC001054032184 759085375 /nfs/dbraw/zinc/08/53/75/759085375.db2.gz UNVZEKWBFKJFIT-GOSISDBHSA-N 1 2 322.412 1.600 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn(C)c1 ZINC001054032184 759085384 /nfs/dbraw/zinc/08/53/84/759085384.db2.gz UNVZEKWBFKJFIT-GOSISDBHSA-N 1 2 322.412 1.600 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(F)c[nH]1 ZINC001054047729 759104965 /nfs/dbraw/zinc/10/49/65/759104965.db2.gz LCPCXYCYMQVXSP-INIZCTEOSA-N 1 2 311.360 1.944 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(F)c[nH]1 ZINC001054047729 759104971 /nfs/dbraw/zinc/10/49/71/759104971.db2.gz LCPCXYCYMQVXSP-INIZCTEOSA-N 1 2 311.360 1.944 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@]2(CC[N@H+](Cc3nncs3)C2)C1 ZINC001054101667 759166044 /nfs/dbraw/zinc/16/60/44/759166044.db2.gz CDKPOANGSJTAMV-DOMZBBRYSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@]2(CC[N@@H+](Cc3nncs3)C2)C1 ZINC001054101667 759166048 /nfs/dbraw/zinc/16/60/48/759166048.db2.gz CDKPOANGSJTAMV-DOMZBBRYSA-N 1 2 319.434 1.512 20 30 DDEDLO C=CCn1cc(C(=O)NCC2=CC[N@H+](CC(=C)Cl)CC2)nn1 ZINC001001242146 762689219 /nfs/dbraw/zinc/68/92/19/762689219.db2.gz ONGNFMSEIBEBFV-UHFFFAOYSA-N 1 2 321.812 1.579 20 30 DDEDLO C=CCn1cc(C(=O)NCC2=CC[N@@H+](CC(=C)Cl)CC2)nn1 ZINC001001242146 762689223 /nfs/dbraw/zinc/68/92/23/762689223.db2.gz ONGNFMSEIBEBFV-UHFFFAOYSA-N 1 2 321.812 1.579 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2snnc2C)C[C@H]1O ZINC001099960418 759233695 /nfs/dbraw/zinc/23/36/95/759233695.db2.gz UDSLZGLXKRGEJT-VXGBXAGGSA-N 1 2 324.450 1.110 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2snnc2C)C[C@H]1O ZINC001099960418 759233699 /nfs/dbraw/zinc/23/36/99/759233699.db2.gz UDSLZGLXKRGEJT-VXGBXAGGSA-N 1 2 324.450 1.110 20 30 DDEDLO CC(C)(C)c1nnc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC001018818051 759302071 /nfs/dbraw/zinc/30/20/71/759302071.db2.gz AGQJSFWOFGOAAR-ZDUSSCGKSA-N 1 2 316.405 1.471 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnn(C)c3C)cc2C1 ZINC001054254822 759350377 /nfs/dbraw/zinc/35/03/77/759350377.db2.gz JTAAEVAMKBDNDD-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnn(C)c3C)cc2C1 ZINC001054254822 759350387 /nfs/dbraw/zinc/35/03/87/759350387.db2.gz JTAAEVAMKBDNDD-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001066260120 759411367 /nfs/dbraw/zinc/41/13/67/759411367.db2.gz FEADLRWXCYTNOQ-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001066260120 759411373 /nfs/dbraw/zinc/41/13/73/759411373.db2.gz FEADLRWXCYTNOQ-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO CC#CC[N@@H+]1CC[C@](C)(NC(=O)c2cnn(-c3ccncc3)c2)C1 ZINC001046730495 767861169 /nfs/dbraw/zinc/86/11/69/767861169.db2.gz DUVWUGBCZNFFHQ-SFHVURJKSA-N 1 2 323.400 1.485 20 30 DDEDLO CC#CC[N@H+]1CC[C@](C)(NC(=O)c2cnn(-c3ccncc3)c2)C1 ZINC001046730495 767861175 /nfs/dbraw/zinc/86/11/75/767861175.db2.gz DUVWUGBCZNFFHQ-SFHVURJKSA-N 1 2 323.400 1.485 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(Cl)n[nH]c1CC ZINC001085634880 759899794 /nfs/dbraw/zinc/89/97/94/759899794.db2.gz CQRBKLPELRCGMF-NSHDSACASA-N 1 2 308.813 1.795 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(Cl)n[nH]c1CC ZINC001085634880 759899803 /nfs/dbraw/zinc/89/98/03/759899803.db2.gz CQRBKLPELRCGMF-NSHDSACASA-N 1 2 308.813 1.795 20 30 DDEDLO Cc1cc(N[C@@H]2C[C@@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)c(C#N)cn1 ZINC001069215489 767921092 /nfs/dbraw/zinc/92/10/92/767921092.db2.gz UUCJWMHTBDMBMA-IUODEOHRSA-N 1 2 324.388 1.051 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-n2cccn2)nc1 ZINC001085753780 760158505 /nfs/dbraw/zinc/15/85/05/760158505.db2.gz PFHVPPQRXHUKSW-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-n2cccn2)nc1 ZINC001085753780 760158512 /nfs/dbraw/zinc/15/85/12/760158512.db2.gz PFHVPPQRXHUKSW-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H](C)[C@@H]([NH2+]Cc3nc(C)no3)C2)cc1 ZINC001054961685 760237076 /nfs/dbraw/zinc/23/70/76/760237076.db2.gz JNNRXBJSCCEJLV-WBMJQRKESA-N 1 2 324.384 1.610 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(CC)ncnc1CC ZINC001085823370 760312447 /nfs/dbraw/zinc/31/24/47/760312447.db2.gz HJSDDUBATXLJEI-AWEZNQCLSA-N 1 2 314.433 1.771 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(CC)ncnc1CC ZINC001085823370 760312463 /nfs/dbraw/zinc/31/24/63/760312463.db2.gz HJSDDUBATXLJEI-AWEZNQCLSA-N 1 2 314.433 1.771 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2CN(C(=O)CCc3[nH]cc[nH+]3)C[C@@H]2C1 ZINC001055233825 760410718 /nfs/dbraw/zinc/41/07/18/760410718.db2.gz XPFSFVLHMWYIHL-OKILXGFUSA-N 1 2 316.405 1.225 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccnc(OC)c2)C1 ZINC001108215873 760416183 /nfs/dbraw/zinc/41/61/83/760416183.db2.gz MALQOLIISGJNMZ-KRWDZBQOSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccnc(OC)c2)C1 ZINC001108215873 760416188 /nfs/dbraw/zinc/41/61/88/760416188.db2.gz MALQOLIISGJNMZ-KRWDZBQOSA-N 1 2 319.405 1.026 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001085907282 760502726 /nfs/dbraw/zinc/50/27/26/760502726.db2.gz OUHKOHIUUVFUEQ-MRXNPFEDSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001085907282 760502739 /nfs/dbraw/zinc/50/27/39/760502739.db2.gz OUHKOHIUUVFUEQ-MRXNPFEDSA-N 1 2 323.400 1.641 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cc(C)no2)nc1 ZINC001085929708 760555501 /nfs/dbraw/zinc/55/55/01/760555501.db2.gz DMPUJEJBTPGQPK-HNNXBMFYSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cc(C)no2)nc1 ZINC001085929708 760555508 /nfs/dbraw/zinc/55/55/08/760555508.db2.gz DMPUJEJBTPGQPK-HNNXBMFYSA-N 1 2 324.384 1.706 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C(F)(F)F)cnn1C ZINC001085953559 760604643 /nfs/dbraw/zinc/60/46/43/760604643.db2.gz CRPWMFHXBISOAJ-SNVBAGLBSA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C(F)(F)F)cnn1C ZINC001085953559 760604646 /nfs/dbraw/zinc/60/46/46/760604646.db2.gz CRPWMFHXBISOAJ-SNVBAGLBSA-N 1 2 314.311 1.218 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCS1(=O)=O ZINC001085979941 760649178 /nfs/dbraw/zinc/64/91/78/760649178.db2.gz GBJCFROWONPGMS-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCS1(=O)=O ZINC001085979941 760649183 /nfs/dbraw/zinc/64/91/83/760649183.db2.gz GBJCFROWONPGMS-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)ncn1 ZINC001038160655 760869180 /nfs/dbraw/zinc/86/91/80/760869180.db2.gz GYWWQJXYHZCFIA-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)ncn1 ZINC001038160655 760869194 /nfs/dbraw/zinc/86/91/94/760869194.db2.gz GYWWQJXYHZCFIA-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO C[C@H](CC(=O)N1CCN(c2ccncc2C#N)CC1)n1cc[nH+]c1 ZINC001056039318 760923409 /nfs/dbraw/zinc/92/34/09/760923409.db2.gz LUESLXBYXCPQFB-CQSZACIVSA-N 1 2 324.388 1.450 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccccn1 ZINC001038260330 760955860 /nfs/dbraw/zinc/95/58/60/760955860.db2.gz QJOMXWNASXCPJK-BBWFWOEESA-N 1 2 315.417 1.753 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccccn1 ZINC001038260330 760955864 /nfs/dbraw/zinc/95/58/64/760955864.db2.gz QJOMXWNASXCPJK-BBWFWOEESA-N 1 2 315.417 1.753 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001086135849 761043616 /nfs/dbraw/zinc/04/36/16/761043616.db2.gz AEBARVKWXCDIEF-PHIMTYICSA-N 1 2 308.813 1.749 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC001038417967 761102206 /nfs/dbraw/zinc/10/22/06/761102206.db2.gz VPYUKFZZOZUCHD-JHJVBQTASA-N 1 2 300.324 1.955 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC001038417967 761102210 /nfs/dbraw/zinc/10/22/10/761102210.db2.gz VPYUKFZZOZUCHD-JHJVBQTASA-N 1 2 300.324 1.955 20 30 DDEDLO CC#CCN1CCO[C@@](C)(CNC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001108249620 761127190 /nfs/dbraw/zinc/12/71/90/761127190.db2.gz INHGTJTZYMAMCR-RDJZCZTQSA-N 1 2 318.421 1.065 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001038796399 761416905 /nfs/dbraw/zinc/41/69/05/761416905.db2.gz GJAUABQWPVQBMH-BPUTZDHNSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001038796399 761416910 /nfs/dbraw/zinc/41/69/10/761416910.db2.gz GJAUABQWPVQBMH-BPUTZDHNSA-N 1 2 319.405 1.655 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccsc2C)C1 ZINC001108263218 761423666 /nfs/dbraw/zinc/42/36/66/761423666.db2.gz LCJNLQZBELFDHA-KRWDZBQOSA-N 1 2 320.458 1.829 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccsc2C)C1 ZINC001108263218 761423672 /nfs/dbraw/zinc/42/36/72/761423672.db2.gz LCJNLQZBELFDHA-KRWDZBQOSA-N 1 2 320.458 1.829 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2cnnc2)cc1 ZINC001038807286 761424247 /nfs/dbraw/zinc/42/42/47/761424247.db2.gz AODVGZXAYAYAPR-MRXNPFEDSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2cnnc2)cc1 ZINC001038807286 761424251 /nfs/dbraw/zinc/42/42/51/761424251.db2.gz AODVGZXAYAYAPR-MRXNPFEDSA-N 1 2 309.373 1.095 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccnc2C)cn1 ZINC001038941457 761573896 /nfs/dbraw/zinc/57/38/96/761573896.db2.gz KNOSQDPLBSAVPL-GOSISDBHSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccnc2C)cn1 ZINC001038941457 761573906 /nfs/dbraw/zinc/57/39/06/761573906.db2.gz KNOSQDPLBSAVPL-GOSISDBHSA-N 1 2 320.396 1.771 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCO[C@H]2C(=C)C)C1 ZINC001108272564 761577469 /nfs/dbraw/zinc/57/74/69/761577469.db2.gz KEOJRCDMYWBQTH-YQQAZPJKSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCO[C@H]2C(=C)C)C1 ZINC001108272564 761577475 /nfs/dbraw/zinc/57/74/75/761577475.db2.gz KEOJRCDMYWBQTH-YQQAZPJKSA-N 1 2 308.422 1.361 20 30 DDEDLO C[C@@H](CNc1ncc(C#N)cc1F)NC(=O)CCn1cc[nH+]c1 ZINC001108624664 762810102 /nfs/dbraw/zinc/81/01/02/762810102.db2.gz XFGWURLVKKJTIT-NSHDSACASA-N 1 2 316.340 1.296 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCN(c2ncccc2C#N)CC1 ZINC001057163013 762810347 /nfs/dbraw/zinc/81/03/47/762810347.db2.gz YLBUTHRJIYEJHB-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)nc1 ZINC001050000271 763003805 /nfs/dbraw/zinc/00/38/05/763003805.db2.gz TUBXZXQKJKYDTO-SOUVJXGZSA-N 1 2 313.405 1.368 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)nc1 ZINC001050000271 763003812 /nfs/dbraw/zinc/00/38/12/763003812.db2.gz TUBXZXQKJKYDTO-SOUVJXGZSA-N 1 2 313.405 1.368 20 30 DDEDLO Cc1nc(N(C)C[C@H](C)NC(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001108880336 763063921 /nfs/dbraw/zinc/06/39/21/763063921.db2.gz PUFPKIILODZTPX-RYUDHWBXSA-N 1 2 315.421 1.764 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CCCN(C)C(=O)C#CC(C)C ZINC001067358448 763146368 /nfs/dbraw/zinc/14/63/68/763146368.db2.gz AROQHPRKSXHFCH-UHFFFAOYSA-N 1 2 318.421 1.227 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC1CCC1 ZINC001109046467 763262853 /nfs/dbraw/zinc/26/28/53/763262853.db2.gz QGTVCQOMSWZCQT-PMPSAXMXSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC1CCC1 ZINC001109046467 763262861 /nfs/dbraw/zinc/26/28/61/763262861.db2.gz QGTVCQOMSWZCQT-PMPSAXMXSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@H](C)NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001050267400 763391105 /nfs/dbraw/zinc/39/11/05/763391105.db2.gz BXNSKVXXSFPTFT-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@@H](CN(C)c1ccncc1C#N)NC(=O)CCn1cc[nH+]c1 ZINC001109172713 763427573 /nfs/dbraw/zinc/42/75/73/763427573.db2.gz STYQTNHEXIJWLM-ZDUSSCGKSA-N 1 2 312.377 1.181 20 30 DDEDLO C[C@@H](CN(C)c1ccncc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001109294947 763555734 /nfs/dbraw/zinc/55/57/34/763555734.db2.gz YVMVAFXZJLWVFO-IACUBPJLSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@@H](CN(C)c1ccncc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001109294947 763555742 /nfs/dbraw/zinc/55/57/42/763555742.db2.gz YVMVAFXZJLWVFO-IACUBPJLSA-N 1 2 324.388 1.421 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(C)C ZINC001109681867 763941227 /nfs/dbraw/zinc/94/12/27/763941227.db2.gz KSZVGHLIDOCPEL-LLDVTBCESA-N 1 2 321.465 1.835 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC(C)C ZINC001109681867 763941229 /nfs/dbraw/zinc/94/12/29/763941229.db2.gz KSZVGHLIDOCPEL-LLDVTBCESA-N 1 2 321.465 1.835 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3ccon3)c2C1 ZINC001069853585 768183324 /nfs/dbraw/zinc/18/33/24/768183324.db2.gz YYBRTGSZYMJUPA-UHFFFAOYSA-N 1 2 301.350 1.193 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3ccon3)c2C1 ZINC001069853585 768183329 /nfs/dbraw/zinc/18/33/29/768183329.db2.gz YYBRTGSZYMJUPA-UHFFFAOYSA-N 1 2 301.350 1.193 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CN(c3cc[nH+]c(C)n3)C[C@H]2C)c1 ZINC001042473134 764085421 /nfs/dbraw/zinc/08/54/21/764085421.db2.gz NYDARHOPRXADKU-MLGOLLRUSA-N 1 2 321.384 1.416 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3CC3(F)F)c2C1 ZINC001069860560 768193446 /nfs/dbraw/zinc/19/34/46/768193446.db2.gz YQJXJVAYUXXLLX-GFCCVEGCSA-N 1 2 310.348 1.546 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3CC3(F)F)c2C1 ZINC001069860560 768193448 /nfs/dbraw/zinc/19/34/48/768193448.db2.gz YQJXJVAYUXXLLX-GFCCVEGCSA-N 1 2 310.348 1.546 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(F)nc1 ZINC001050846110 764171287 /nfs/dbraw/zinc/17/12/87/764171287.db2.gz XHGGKYXGTDJUCO-CQSZACIVSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(F)nc1 ZINC001050846110 764171293 /nfs/dbraw/zinc/17/12/93/764171293.db2.gz XHGGKYXGTDJUCO-CQSZACIVSA-N 1 2 307.369 1.618 20 30 DDEDLO C[C@@H](F)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001050867870 764208878 /nfs/dbraw/zinc/20/88/78/764208878.db2.gz JZTINKNFMLUZPX-DGCLKSJQSA-N 1 2 308.357 1.065 20 30 DDEDLO C[C@@H](F)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001050867870 764208880 /nfs/dbraw/zinc/20/88/80/764208880.db2.gz JZTINKNFMLUZPX-DGCLKSJQSA-N 1 2 308.357 1.065 20 30 DDEDLO N#Cc1ccc(NC[C@@H](NC(=O)CCn2cc[nH+]c2)C2CC2)cn1 ZINC001109954061 764226118 /nfs/dbraw/zinc/22/61/18/764226118.db2.gz HSIKZUFSVKWICI-MRXNPFEDSA-N 1 2 324.388 1.547 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C[C@@H]2COc3ccccc3O2)C1 ZINC001042801079 764455926 /nfs/dbraw/zinc/45/59/26/764455926.db2.gz RGMJPNCRBGDIHK-OAHLLOKOSA-N 1 2 316.401 1.935 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(Cl)n[nH]c2C2CC2)C1 ZINC001042888124 764509162 /nfs/dbraw/zinc/50/91/62/764509162.db2.gz GROPSNVZZGKZSI-UHFFFAOYSA-N 1 2 306.797 1.720 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nccc2[nH]ccc21 ZINC001051121436 764521480 /nfs/dbraw/zinc/52/14/80/764521480.db2.gz ROTURPJGDNOZGJ-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1nccc2[nH]ccc21 ZINC001051121436 764521484 /nfs/dbraw/zinc/52/14/84/764521484.db2.gz ROTURPJGDNOZGJ-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nn1CC ZINC001051132537 764533407 /nfs/dbraw/zinc/53/34/07/764533407.db2.gz VZUIVOWRKSJBTE-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nn1CC ZINC001051132537 764533412 /nfs/dbraw/zinc/53/34/12/764533412.db2.gz VZUIVOWRKSJBTE-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(OC)o1 ZINC001051177289 764581349 /nfs/dbraw/zinc/58/13/49/764581349.db2.gz FQZSTFBZJBMLKZ-CYBMUJFWSA-N 1 2 308.378 1.685 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(OC)o1 ZINC001051177289 764581351 /nfs/dbraw/zinc/58/13/51/764581351.db2.gz FQZSTFBZJBMLKZ-CYBMUJFWSA-N 1 2 308.378 1.685 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(F)cccc1F ZINC001051224211 764641487 /nfs/dbraw/zinc/64/14/87/764641487.db2.gz VIVTZTCVNVQUGP-LBPRGKRZSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(F)cccc1F ZINC001051224211 764641492 /nfs/dbraw/zinc/64/14/92/764641492.db2.gz VIVTZTCVNVQUGP-LBPRGKRZSA-N 1 2 310.344 1.972 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[NH+](CCOCc2ccccc2)CC1 ZINC001112710521 764646838 /nfs/dbraw/zinc/64/68/38/764646838.db2.gz DHOIOXPXFOXAJK-HNNXBMFYSA-N 1 2 301.390 1.507 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)COCC)C[C@H](C)O2 ZINC001131640073 768234214 /nfs/dbraw/zinc/23/42/14/768234214.db2.gz YAGBLPDAFMMZTI-DZGCQCFKSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)COCC)C[C@H](C)O2 ZINC001131640073 768234217 /nfs/dbraw/zinc/23/42/17/768234217.db2.gz YAGBLPDAFMMZTI-DZGCQCFKSA-N 1 2 316.829 1.467 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn(C)nc2C2CCC2)C1 ZINC001043130204 764693840 /nfs/dbraw/zinc/69/38/40/764693840.db2.gz BZQKILSRCNTHJZ-UHFFFAOYSA-N 1 2 300.406 1.467 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2ncccc2C)CC1 ZINC001112801095 764789970 /nfs/dbraw/zinc/78/99/70/764789970.db2.gz FHXVKFGVLZSMSL-QGZVFWFLSA-N 1 2 303.406 1.361 20 30 DDEDLO N#Cc1cnccc1NCC1CC(NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001112832863 764826479 /nfs/dbraw/zinc/82/64/79/764826479.db2.gz VHGYXZPUVVSRMV-UHFFFAOYSA-N 1 2 324.388 1.038 20 30 DDEDLO CC(C)C#CC(=O)N1CC[NH+](CCOC[C@H]2CCCCO2)CC1 ZINC001112834153 764829965 /nfs/dbraw/zinc/82/99/65/764829965.db2.gz FATMPWRBJOHIGA-QGZVFWFLSA-N 1 2 322.449 1.376 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccn(C(F)F)n2)CC1 ZINC001112885852 764924185 /nfs/dbraw/zinc/92/41/85/764924185.db2.gz UGOCRISQLAXYQI-UHFFFAOYSA-N 1 2 314.336 1.239 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[NH+](CCOCC2CC2)CC1)OCC ZINC001112908861 764955408 /nfs/dbraw/zinc/95/54/08/764955408.db2.gz HGIKKCNGOMAHEQ-KRWDZBQOSA-N 1 2 324.465 1.929 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@@H](C)Nc1cnc(C#N)cn1 ZINC001112994123 765105391 /nfs/dbraw/zinc/10/53/91/765105391.db2.gz SDLPVQAZDDXFHN-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2nc(C)sc2C)CC1 ZINC001113000739 765125242 /nfs/dbraw/zinc/12/52/42/765125242.db2.gz CPKYBXSVLUDRJL-UHFFFAOYSA-N 1 2 309.435 1.720 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn(CC)nc2C(C)C)C1 ZINC001043986170 765209155 /nfs/dbraw/zinc/20/91/55/765209155.db2.gz XOUZRGXPNAARGP-UHFFFAOYSA-N 1 2 302.422 1.806 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(Cl)n(C)n2)CC1 ZINC001113083860 765239394 /nfs/dbraw/zinc/23/93/94/765239394.db2.gz VBZSKCMVRSNYOQ-UHFFFAOYSA-N 1 2 312.801 1.034 20 30 DDEDLO Cc1nc(NC2CC(CNC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001051906626 765266725 /nfs/dbraw/zinc/26/67/25/765266725.db2.gz FOCUGDBOUXKILJ-UHFFFAOYSA-N 1 2 310.361 1.605 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)[C@@H](C)Nc1ccc(C#N)cn1 ZINC001113141089 765317840 /nfs/dbraw/zinc/31/78/40/765317840.db2.gz UNCXOOWGKSIQOC-MNOVXSKESA-N 1 2 312.377 1.533 20 30 DDEDLO Cc1ccsc1C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001051970095 765327509 /nfs/dbraw/zinc/32/75/09/765327509.db2.gz WMGUIKVQKNREEG-CQSZACIVSA-N 1 2 318.446 1.412 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)CC3CCCC3)C2)CC1 ZINC001051976065 765336829 /nfs/dbraw/zinc/33/68/29/765336829.db2.gz ZAVYUTBGTOQAKY-GOSISDBHSA-N 1 2 317.477 1.809 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3occc3C)C2)CC1 ZINC001051983046 765347891 /nfs/dbraw/zinc/34/78/91/765347891.db2.gz KVULIOXUFVCNRF-HNNXBMFYSA-N 1 2 303.406 1.606 20 30 DDEDLO CC#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccoc3)C2)CC1 ZINC001051983669 765347932 /nfs/dbraw/zinc/34/79/32/765347932.db2.gz SMEFWDLOUIMGMN-INIZCTEOSA-N 1 2 301.390 1.135 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-n3ccnc3)cn2)C1 ZINC001044175953 765366829 /nfs/dbraw/zinc/36/68/29/765366829.db2.gz IFCKEWHLFNULHJ-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3=CCCC3)C2)CC1 ZINC001052012367 765382107 /nfs/dbraw/zinc/38/21/07/765382107.db2.gz GJBYEADWTFGORR-KRWDZBQOSA-N 1 2 301.434 1.339 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(C4CC4)CC3)C2)CC1 ZINC001052041653 765414218 /nfs/dbraw/zinc/41/42/18/765414218.db2.gz OFCULDPIJNKJSV-INIZCTEOSA-N 1 2 301.434 1.028 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3CCC3(C)C)C2)CC1 ZINC001052066969 765440672 /nfs/dbraw/zinc/44/06/72/765440672.db2.gz AMNUHKFXFKBHTA-IRXDYDNUSA-N 1 2 317.477 1.664 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2CC(Nc3cc[nH+]c(C)n3)C2)c1 ZINC001052076828 765448860 /nfs/dbraw/zinc/44/88/60/765448860.db2.gz XSGGLPBMZBUXKP-UHFFFAOYSA-N 1 2 321.384 1.782 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3CC34CCC4)C2)CC1 ZINC001052091806 765463230 /nfs/dbraw/zinc/46/32/30/765463230.db2.gz MJUIPZBTSFMFHT-DLBZAZTESA-N 1 2 317.477 1.971 20 30 DDEDLO C=CCC1(C(=O)N2CCN(Cc3c[nH+]cn3C)CC2)CCC1 ZINC001113312507 765552226 /nfs/dbraw/zinc/55/22/26/765552226.db2.gz AAHIKUHRHZAQPU-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001052193496 765568647 /nfs/dbraw/zinc/56/86/47/765568647.db2.gz JCTOFOWFGMQFLS-STQMWFEESA-N 1 2 304.394 1.129 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CCCN(CC#N)CC3)ccn12 ZINC001052380010 765775158 /nfs/dbraw/zinc/77/51/58/765775158.db2.gz AXFLOTKFVXRLID-OAHLLOKOSA-N 1 2 311.389 1.751 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113582640 765913235 /nfs/dbraw/zinc/91/32/35/765913235.db2.gz LYMFNTYRXJUWFT-GTNSWQLSSA-N 1 2 320.437 1.670 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCOCc2ccccc2)CC1 ZINC001113648467 765977651 /nfs/dbraw/zinc/97/76/51/765977651.db2.gz VVSWZYOWHBJVDN-UHFFFAOYSA-N 1 2 300.402 1.761 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC001113712767 766051717 /nfs/dbraw/zinc/05/17/17/766051717.db2.gz FJJPCEBFQIGNHO-HNNXBMFYSA-N 1 2 318.417 1.931 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C3CC3)CCC2)[C@H](O)C1 ZINC001090462742 766171122 /nfs/dbraw/zinc/17/11/22/766171122.db2.gz IOGPXAFQPRFZKB-UONOGXRCSA-N 1 2 312.841 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C3CC3)CCC2)[C@H](O)C1 ZINC001090462742 766171130 /nfs/dbraw/zinc/17/11/30/766171130.db2.gz IOGPXAFQPRFZKB-UONOGXRCSA-N 1 2 312.841 1.871 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CCCN(c2ccncc2C#N)C1 ZINC001058182135 766291678 /nfs/dbraw/zinc/29/16/78/766291678.db2.gz TVQIJUADWCUAAR-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001058393177 766480081 /nfs/dbraw/zinc/48/00/81/766480081.db2.gz QERUUMPLVIUYBV-GFCCVEGCSA-N 1 2 313.336 1.289 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1nccs1 ZINC001045735639 766544738 /nfs/dbraw/zinc/54/47/38/766544738.db2.gz QRVBWFKLGLMGTM-XQQFMLRXSA-N 1 2 304.419 1.868 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1nccs1 ZINC001045735639 766544745 /nfs/dbraw/zinc/54/47/45/766544745.db2.gz QRVBWFKLGLMGTM-XQQFMLRXSA-N 1 2 304.419 1.868 20 30 DDEDLO N#CCN1CC[C@]2(C1)CCCCN(C(=O)Cc1[nH]cc[nH+]1)C2 ZINC001045975364 766753237 /nfs/dbraw/zinc/75/32/37/766753237.db2.gz AUCAIELIIATHJD-INIZCTEOSA-N 1 2 301.394 1.180 20 30 DDEDLO Cc1cscc1C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046018360 766816746 /nfs/dbraw/zinc/81/67/46/766816746.db2.gz WCCHFTGNYAFFTK-AWEZNQCLSA-N 1 2 318.446 1.412 20 30 DDEDLO CC1(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)CC=CC1 ZINC001046057792 766866992 /nfs/dbraw/zinc/86/69/92/766866992.db2.gz WYFJLJAEIVZORV-HNNXBMFYSA-N 1 2 302.422 1.085 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)CC1CCCC1 ZINC001121611105 782591234 /nfs/dbraw/zinc/59/12/34/782591234.db2.gz XBIUCGJCFUIZOS-HUUCEWRRSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)CC1CCCC1 ZINC001121611105 782591238 /nfs/dbraw/zinc/59/12/38/782591238.db2.gz XBIUCGJCFUIZOS-HUUCEWRRSA-N 1 2 319.453 1.828 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCCN(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001068118596 766928841 /nfs/dbraw/zinc/92/88/41/766928841.db2.gz DHDNHVOBZOWMLG-UHFFFAOYSA-N 1 2 318.421 1.615 20 30 DDEDLO Cc1nc(N2C[C@@H]3[C@@H](CNC(=O)c4ccc(C#N)[nH]4)[C@@H]3C2)cc[nH+]1 ZINC001068168255 766970485 /nfs/dbraw/zinc/97/04/85/766970485.db2.gz PGYOISAZDNOFFB-ZSOGYDGISA-N 1 2 322.372 1.097 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001068514625 767391277 /nfs/dbraw/zinc/39/12/77/767391277.db2.gz FKVQUMIXIZLWLF-MQMHXKEQSA-N 1 2 324.388 1.534 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001068595717 767445030 /nfs/dbraw/zinc/44/50/30/767445030.db2.gz PARPFEXCAMGTAN-AULYBMBSSA-N 1 2 310.361 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001046361525 767469280 /nfs/dbraw/zinc/46/92/80/767469280.db2.gz DEQOGBYYFDKKRJ-WFASDCNBSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001046361525 767469284 /nfs/dbraw/zinc/46/92/84/767469284.db2.gz DEQOGBYYFDKKRJ-WFASDCNBSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cc3n(n2)CCC3)C1 ZINC001046363145 767471995 /nfs/dbraw/zinc/47/19/95/767471995.db2.gz WPAZVMFXTRBWSO-OAHLLOKOSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc3n(n2)CCC3)C1 ZINC001046363145 767471998 /nfs/dbraw/zinc/47/19/98/767471998.db2.gz WPAZVMFXTRBWSO-OAHLLOKOSA-N 1 2 308.813 1.776 20 30 DDEDLO C#CCN1CC[C@@](C)(NC(=O)c2ccn(-c3cc[nH+]cc3)n2)C1 ZINC001046470303 767604537 /nfs/dbraw/zinc/60/45/37/767604537.db2.gz IGEFKSWCDMTUDI-QGZVFWFLSA-N 1 2 309.373 1.095 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](Nc2ccncc2C#N)[C@@H]1C ZINC001068873032 767672692 /nfs/dbraw/zinc/67/26/92/767672692.db2.gz WAUHTOQSWUHWBI-GXTWGEPZSA-N 1 2 324.388 1.051 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2coc(C)n2)C1 ZINC001046629881 767753746 /nfs/dbraw/zinc/75/37/46/767753746.db2.gz KLQWEZINSZAVRU-HLLBOEOZSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2coc(C)n2)C1 ZINC001046629881 767753753 /nfs/dbraw/zinc/75/37/53/767753753.db2.gz KLQWEZINSZAVRU-HLLBOEOZSA-N 1 2 319.405 1.655 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccncc2C#N)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069077878 767827006 /nfs/dbraw/zinc/82/70/06/767827006.db2.gz AMEDFWJSXJMVMS-JSGCOSHPSA-N 1 2 324.388 1.132 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccccc2OCC=C)C1 ZINC001047433293 768473496 /nfs/dbraw/zinc/47/34/96/768473496.db2.gz ILSOFXLSOYMNLZ-HOTGVXAUSA-N 1 2 314.385 1.002 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccccc2OCC=C)C1 ZINC001047433293 768473499 /nfs/dbraw/zinc/47/34/99/768473499.db2.gz ILSOFXLSOYMNLZ-HOTGVXAUSA-N 1 2 314.385 1.002 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCNC(=O)NC)CC[C@H]1C ZINC001132013660 768570673 /nfs/dbraw/zinc/57/06/73/768570673.db2.gz MQIIRKWAXQGZDM-VXGBXAGGSA-N 1 2 316.833 1.027 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCNC(=O)NC)CC[C@H]1C ZINC001132013660 768570677 /nfs/dbraw/zinc/57/06/77/768570677.db2.gz MQIIRKWAXQGZDM-VXGBXAGGSA-N 1 2 316.833 1.027 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C(C)C)oc2C)C1 ZINC001047582528 768588036 /nfs/dbraw/zinc/58/80/36/768588036.db2.gz UYJFHPILEHGFQN-GJZGRUSLSA-N 1 2 304.390 1.462 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C(C)C)oc2C)C1 ZINC001047582528 768588043 /nfs/dbraw/zinc/58/80/43/768588043.db2.gz UYJFHPILEHGFQN-GJZGRUSLSA-N 1 2 304.390 1.462 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132065218 768615192 /nfs/dbraw/zinc/61/51/92/768615192.db2.gz RREGXXOHWLWAAI-KBPBESRZSA-N 1 2 305.426 1.641 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132065218 768615193 /nfs/dbraw/zinc/61/51/93/768615193.db2.gz RREGXXOHWLWAAI-KBPBESRZSA-N 1 2 305.426 1.641 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCc2c[nH+]cn2C1)Nc1ccc(C#N)nc1 ZINC001098125377 768647164 /nfs/dbraw/zinc/64/71/64/768647164.db2.gz DQCOXMFKMHAAEM-STQMWFEESA-N 1 2 324.388 1.329 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(C)(C)C2CC2)CC[C@@H]1C ZINC001132237327 768708906 /nfs/dbraw/zinc/70/89/06/768708906.db2.gz HJBSPLSULFUKHY-ZFWWWQNUSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)C2CC2)CC[C@@H]1C ZINC001132237327 768708911 /nfs/dbraw/zinc/70/89/11/768708911.db2.gz HJBSPLSULFUKHY-ZFWWWQNUSA-N 1 2 319.449 1.141 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132257085 768713933 /nfs/dbraw/zinc/71/39/33/768713933.db2.gz TYAFCLDBRFCPCJ-KGLIPLIRSA-N 1 2 305.426 1.641 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132257085 768713935 /nfs/dbraw/zinc/71/39/35/768713935.db2.gz TYAFCLDBRFCPCJ-KGLIPLIRSA-N 1 2 305.426 1.641 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[NH2+][C@@H](C)c1nc(COC)no1 ZINC001132304199 768747740 /nfs/dbraw/zinc/74/77/40/768747740.db2.gz JMYTXDQTJAMBSA-NSHDSACASA-N 1 2 310.398 1.585 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001132407838 768813107 /nfs/dbraw/zinc/81/31/07/768813107.db2.gz PSPXBQMTADSJEF-UHFFFAOYSA-N 1 2 324.450 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCOCCOC)CC[C@@H]1C ZINC001132529479 768949939 /nfs/dbraw/zinc/94/99/39/768949939.db2.gz NIJTYQCTGPGAAE-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCOCCOC)CC[C@@H]1C ZINC001132529479 768949943 /nfs/dbraw/zinc/94/99/43/768949943.db2.gz NIJTYQCTGPGAAE-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001132663580 769073949 /nfs/dbraw/zinc/07/39/49/769073949.db2.gz YRIYJPVMHWNNCI-CHWSQXEVSA-N 1 2 324.425 1.975 20 30 DDEDLO C#CCC[N@H+]1CCN([C@@H]2CCCN(C(=O)C(C)(C)C)C2)C(=O)C1 ZINC001071276876 769223671 /nfs/dbraw/zinc/22/36/71/769223671.db2.gz DASZMCJSPHNLBU-OAHLLOKOSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@@H]2CCCN(C(=O)C(C)(C)C)C2)C(=O)C1 ZINC001071276876 769223676 /nfs/dbraw/zinc/22/36/76/769223676.db2.gz DASZMCJSPHNLBU-OAHLLOKOSA-N 1 2 319.449 1.191 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2)CC[C@@H]1C ZINC001071384357 769365645 /nfs/dbraw/zinc/36/56/45/769365645.db2.gz WBCBYDSRABLUEI-JSGCOSHPSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2)CC[C@@H]1C ZINC001071384357 769365654 /nfs/dbraw/zinc/36/56/54/769365654.db2.gz WBCBYDSRABLUEI-JSGCOSHPSA-N 1 2 310.829 1.684 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)C#CC3CC3)C2)c2c([nH+]1)CCCC2 ZINC001096365152 769434088 /nfs/dbraw/zinc/43/40/88/769434088.db2.gz UUVSIUZBHQQMDH-OAHLLOKOSA-N 1 2 324.428 1.772 20 30 DDEDLO C[C@H]1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C[C@@H]1CNCC#N ZINC001133240811 769617472 /nfs/dbraw/zinc/61/74/72/769617472.db2.gz AIYSLIBHLNZUQB-STQMWFEESA-N 1 2 303.410 1.285 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CC[C@@H](C)N(CC#N)C2)c[nH+]1 ZINC001071606342 769703221 /nfs/dbraw/zinc/70/32/21/769703221.db2.gz IBVJQQNYUWPEEF-ZIAGYGMSSA-N 1 2 303.410 1.419 20 30 DDEDLO C=CCCC1(C(=O)NCC[NH2+]Cc2nc([C@H](C)OC)no2)CC1 ZINC001133375986 769766813 /nfs/dbraw/zinc/76/68/13/769766813.db2.gz QUDXWNXQEUNQBJ-LBPRGKRZSA-N 1 2 322.409 1.729 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001071775274 770047412 /nfs/dbraw/zinc/04/74/12/770047412.db2.gz ZGURYICSRKHRDF-TZMCWYRMSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001071775274 770047423 /nfs/dbraw/zinc/04/74/23/770047423.db2.gz ZGURYICSRKHRDF-TZMCWYRMSA-N 1 2 304.394 1.272 20 30 DDEDLO CCn1ccc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)n1 ZINC001049373385 770752702 /nfs/dbraw/zinc/75/27/02/770752702.db2.gz SDUZWGQTEQVYCF-FVQBIDKESA-N 1 2 315.421 1.628 20 30 DDEDLO CCn1ccc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)n1 ZINC001049373385 770752707 /nfs/dbraw/zinc/75/27/07/770752707.db2.gz SDUZWGQTEQVYCF-FVQBIDKESA-N 1 2 315.421 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049457139 770868779 /nfs/dbraw/zinc/86/87/79/770868779.db2.gz RVDJRZNQZFXMNC-RYUDHWBXSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049457139 770868793 /nfs/dbraw/zinc/86/87/93/770868793.db2.gz RVDJRZNQZFXMNC-RYUDHWBXSA-N 1 2 309.801 1.247 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001072483586 770959957 /nfs/dbraw/zinc/95/99/57/770959957.db2.gz IOUAXWGMJIKXNC-SMDDNHRTSA-N 1 2 318.421 1.436 20 30 DDEDLO N#Cc1ccc(N[C@H](CNC(=O)CCc2[nH]cc[nH+]2)C2CC2)cn1 ZINC001096646951 771369834 /nfs/dbraw/zinc/36/98/34/771369834.db2.gz WOHCHRFIMLNEHE-OAHLLOKOSA-N 1 2 324.388 1.616 20 30 DDEDLO N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cn3c([nH+]1)CCCC3)C2 ZINC001097111397 771628755 /nfs/dbraw/zinc/62/87/55/771628755.db2.gz HEAMWPXXULYZNF-KFWWJZLASA-N 1 2 313.405 1.007 20 30 DDEDLO O=C(/C=C/c1ccc(F)cc1)C(=O)NCC[NH+]1CCSCC1 ZINC001136727293 772113030 /nfs/dbraw/zinc/11/30/30/772113030.db2.gz DSMUWIVGJKRKMA-ZZXKWVIFSA-N 1 2 322.405 1.573 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(OC)cc2)[C@H](O)C1 ZINC001090751951 772167403 /nfs/dbraw/zinc/16/74/03/772167403.db2.gz MPBWSXQPBOMUFO-HUUCEWRRSA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(OC)cc2)[C@H](O)C1 ZINC001090751951 772167404 /nfs/dbraw/zinc/16/74/04/772167404.db2.gz MPBWSXQPBOMUFO-HUUCEWRRSA-N 1 2 324.808 1.613 20 30 DDEDLO C#CC[NH+]1CCN(Cc2[nH]c(C)c(CCC(=O)OC)c2C)CC1 ZINC001136920719 772210467 /nfs/dbraw/zinc/21/04/67/772210467.db2.gz ACZVEYOYLFLAMZ-UHFFFAOYSA-N 1 2 317.433 1.488 20 30 DDEDLO O=C(NC1=CC(=O)CCC1)[C@@H]1[C@@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143677823 772291810 /nfs/dbraw/zinc/29/18/10/772291810.db2.gz QWTBHZRCIRCOKX-IRXDYDNUSA-N 1 2 314.385 1.375 20 30 DDEDLO O=C(NC1=CC(=O)CCC1)[C@@H]1[C@@H](O)CC[N@H+]1Cc1ccccc1 ZINC001143677823 772291812 /nfs/dbraw/zinc/29/18/12/772291812.db2.gz QWTBHZRCIRCOKX-IRXDYDNUSA-N 1 2 314.385 1.375 20 30 DDEDLO CCOC(=O)c1noc(CNc2cc(C3(C#N)CC3)cc[nH+]2)n1 ZINC001171235380 772658856 /nfs/dbraw/zinc/65/88/56/772658856.db2.gz BYKXFCXUFZWCGE-UHFFFAOYSA-N 1 2 313.317 1.809 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+]Cc1nc(C2CC2)no1 ZINC001149117725 772806653 /nfs/dbraw/zinc/80/66/53/772806653.db2.gz QBMSYEMYXZIURN-UHFFFAOYSA-N 1 2 324.384 1.377 20 30 DDEDLO C#CCC1(C(=O)N2CC3(C2)CC[N@H+](Cc2cnon2)C3)CCC1 ZINC001147711252 773208608 /nfs/dbraw/zinc/20/86/08/773208608.db2.gz KOYGQYPBXPXZPK-UHFFFAOYSA-N 1 2 314.389 1.298 20 30 DDEDLO C#CCC1(C(=O)N2CC3(C2)CC[N@@H+](Cc2cnon2)C3)CCC1 ZINC001147711252 773208609 /nfs/dbraw/zinc/20/86/09/773208609.db2.gz KOYGQYPBXPXZPK-UHFFFAOYSA-N 1 2 314.389 1.298 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CN(C(=O)C#CC4CC4)C[C@]3(C)C2)nc1 ZINC001091659712 773235563 /nfs/dbraw/zinc/23/55/63/773235563.db2.gz SCBLIVQTUUPXOT-APWZRJJASA-N 1 2 324.428 1.479 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CN(C(=O)C#CC4CC4)C[C@]3(C)C2)nc1 ZINC001091659712 773235569 /nfs/dbraw/zinc/23/55/69/773235569.db2.gz SCBLIVQTUUPXOT-APWZRJJASA-N 1 2 324.428 1.479 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nc(C)no1)C2 ZINC001148087728 773359676 /nfs/dbraw/zinc/35/96/76/773359676.db2.gz FPGULCRGGXQYNP-OLZOCXBDSA-N 1 2 318.421 1.871 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C)no1)C2 ZINC001148087728 773359682 /nfs/dbraw/zinc/35/96/82/773359682.db2.gz FPGULCRGGXQYNP-OLZOCXBDSA-N 1 2 318.421 1.871 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](C)CCN1C(=O)c1cccc([C@H](C)C#N)c1 ZINC001148615883 773553114 /nfs/dbraw/zinc/55/31/14/773553114.db2.gz SDMVGFKYEGPEHN-IUODEOHRSA-N 1 2 315.373 1.243 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](C)CCN1C(=O)c1cccc([C@H](C)C#N)c1 ZINC001148615883 773553119 /nfs/dbraw/zinc/55/31/19/773553119.db2.gz SDMVGFKYEGPEHN-IUODEOHRSA-N 1 2 315.373 1.243 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c(=O)c3)C[C@@H]21 ZINC001074204708 773726501 /nfs/dbraw/zinc/72/65/01/773726501.db2.gz HDPUSJNUOHQMBZ-GJZGRUSLSA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c(=O)c3)C[C@@H]21 ZINC001074204708 773726503 /nfs/dbraw/zinc/72/65/03/773726503.db2.gz HDPUSJNUOHQMBZ-GJZGRUSLSA-N 1 2 317.389 1.279 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)cn3)C[C@@H]21 ZINC001074222756 773748120 /nfs/dbraw/zinc/74/81/20/773748120.db2.gz MNCNKESXWOUSMH-HOTGVXAUSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)cn3)C[C@@H]21 ZINC001074222756 773748121 /nfs/dbraw/zinc/74/81/21/773748121.db2.gz MNCNKESXWOUSMH-HOTGVXAUSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)coc3C)C[C@H]21 ZINC001074233713 773757805 /nfs/dbraw/zinc/75/78/05/773757805.db2.gz VIBJFWVUINXVEF-HZPDHXFCSA-N 1 2 316.401 1.835 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)coc3C)C[C@H]21 ZINC001074233713 773757806 /nfs/dbraw/zinc/75/78/06/773757806.db2.gz VIBJFWVUINXVEF-HZPDHXFCSA-N 1 2 316.401 1.835 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3c(C)coc3C)C[C@H]21 ZINC001074233711 773757941 /nfs/dbraw/zinc/75/79/41/773757941.db2.gz VIBJFWVUINXVEF-CVEARBPZSA-N 1 2 316.401 1.835 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3c(C)coc3C)C[C@H]21 ZINC001074233711 773757946 /nfs/dbraw/zinc/75/79/46/773757946.db2.gz VIBJFWVUINXVEF-CVEARBPZSA-N 1 2 316.401 1.835 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001074263681 773777697 /nfs/dbraw/zinc/77/76/97/773777697.db2.gz NTEWVCNJDFUFHC-HIFRSBDPSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3[nH]c(C)nc3C)C[C@@H]21 ZINC001074341701 773838199 /nfs/dbraw/zinc/83/81/99/773838199.db2.gz WJFRVTWRMMNGSW-GJZGRUSLSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3[nH]c(C)nc3C)C[C@@H]21 ZINC001074341701 773838205 /nfs/dbraw/zinc/83/82/05/773838205.db2.gz WJFRVTWRMMNGSW-GJZGRUSLSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cn3nccc3C)C[C@H]21 ZINC001074348018 773843911 /nfs/dbraw/zinc/84/39/11/773843911.db2.gz GGOAAYPZBURLAN-HZPDHXFCSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cn3nccc3C)C[C@H]21 ZINC001074348018 773843915 /nfs/dbraw/zinc/84/39/15/773843915.db2.gz GGOAAYPZBURLAN-HZPDHXFCSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)COC(C)(C)C)C1 ZINC001149579316 773846246 /nfs/dbraw/zinc/84/62/46/773846246.db2.gz CUIIOFPFWHKZCQ-ZDUSSCGKSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)COC(C)(C)C)C1 ZINC001149579316 773846251 /nfs/dbraw/zinc/84/62/51/773846251.db2.gz CUIIOFPFWHKZCQ-ZDUSSCGKSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCc3cn[nH]c3)C[C@H]21 ZINC001074353218 773848458 /nfs/dbraw/zinc/84/84/58/773848458.db2.gz CBPYTDUTUVONMX-HZPDHXFCSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCc3cn[nH]c3)C[C@H]21 ZINC001074353218 773848461 /nfs/dbraw/zinc/84/84/61/773848461.db2.gz CBPYTDUTUVONMX-HZPDHXFCSA-N 1 2 318.421 1.220 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cccn3CC)C[C@@H]21 ZINC001074405683 773900159 /nfs/dbraw/zinc/90/01/59/773900159.db2.gz WAJZHMHYYMFQQC-IRXDYDNUSA-N 1 2 315.417 1.447 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccn3CC)C[C@@H]21 ZINC001074405683 773900163 /nfs/dbraw/zinc/90/01/63/773900163.db2.gz WAJZHMHYYMFQQC-IRXDYDNUSA-N 1 2 315.417 1.447 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3c[nH]cc3C)C[C@@H]21 ZINC001074409703 773904421 /nfs/dbraw/zinc/90/44/21/773904421.db2.gz GRUAZYSVTCPNNP-HOTGVXAUSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3c[nH]cc3C)C[C@@H]21 ZINC001074409703 773904428 /nfs/dbraw/zinc/90/44/28/773904428.db2.gz GRUAZYSVTCPNNP-HOTGVXAUSA-N 1 2 303.406 1.815 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C)CC=CC1)c1nccn12 ZINC001092393472 774099422 /nfs/dbraw/zinc/09/94/22/774099422.db2.gz JGPLPDUDVRDVHE-OAHLLOKOSA-N 1 2 324.428 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC13CCC3)c1nccn12 ZINC001092399218 774101678 /nfs/dbraw/zinc/10/16/78/774101678.db2.gz AZGVJKCTNTXMII-UONOGXRCSA-N 1 2 312.417 1.831 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](CNC(=O)c2nnc3ccccc3c2O)[C@H](C)C1 ZINC001093015459 774354038 /nfs/dbraw/zinc/35/40/38/774354038.db2.gz IMXDKFDHRJZDGQ-CHWSQXEVSA-N 1 2 324.384 1.266 20 30 DDEDLO C#CC[N@H+]1C[C@@H](CNC(=O)c2nnc3ccccc3c2O)[C@H](C)C1 ZINC001093015459 774354046 /nfs/dbraw/zinc/35/40/46/774354046.db2.gz IMXDKFDHRJZDGQ-CHWSQXEVSA-N 1 2 324.384 1.266 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001075128526 774372509 /nfs/dbraw/zinc/37/25/09/774372509.db2.gz ZQJUXBJNEYSJJY-UONOGXRCSA-N 1 2 316.405 1.107 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2[C@H](CC[N@@H+]2Cc2csnn2)C1 ZINC001036714337 774387341 /nfs/dbraw/zinc/38/73/41/774387341.db2.gz WPEDZYMZPWMOFA-UKRRQHHQSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2[C@H](CC[N@H+]2Cc2csnn2)C1 ZINC001036714337 774387347 /nfs/dbraw/zinc/38/73/47/774387347.db2.gz WPEDZYMZPWMOFA-UKRRQHHQSA-N 1 2 316.430 1.374 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001098636812 774627780 /nfs/dbraw/zinc/62/77/80/774627780.db2.gz KNODFBWRELNFDL-CABCVRRESA-N 1 2 316.405 1.664 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C/C=C(\C)C=C)C2)nn1 ZINC001098700613 774647097 /nfs/dbraw/zinc/64/70/97/774647097.db2.gz PZKBYCLVRFPZGM-QHDPKREISA-N 1 2 313.405 1.297 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cncn3C)CC2)C1 ZINC001093549807 774827652 /nfs/dbraw/zinc/82/76/52/774827652.db2.gz ABYATVVXNKUMCQ-UHFFFAOYSA-N 1 2 318.421 1.302 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CCOC[C@@H]3C)CC2)C1 ZINC001093570162 774842401 /nfs/dbraw/zinc/84/24/01/774842401.db2.gz NUZMOJXCOSHGIQ-YOEHRIQHSA-N 1 2 322.449 1.537 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001099404969 774981821 /nfs/dbraw/zinc/98/18/21/774981821.db2.gz KYUANTUNDXKDAX-GFCCVEGCSA-N 1 2 312.377 1.534 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[N@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099824159 775330978 /nfs/dbraw/zinc/33/09/78/775330978.db2.gz PUVKRAUETJILGF-VXGBXAGGSA-N 1 2 319.232 1.516 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099824159 775330990 /nfs/dbraw/zinc/33/09/90/775330990.db2.gz PUVKRAUETJILGF-VXGBXAGGSA-N 1 2 319.232 1.516 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(CC)CCC2)[C@@H](O)C1 ZINC001099965271 775491651 /nfs/dbraw/zinc/49/16/51/775491651.db2.gz WHJBINWOAFFNDQ-STQMWFEESA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(CC)CCC2)[C@@H](O)C1 ZINC001099965271 775491656 /nfs/dbraw/zinc/49/16/56/775491656.db2.gz WHJBINWOAFFNDQ-STQMWFEESA-N 1 2 300.830 1.871 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccn(C)n2)C[C@@H]1O ZINC001100020230 775581408 /nfs/dbraw/zinc/58/14/08/775581408.db2.gz LWVGZTCDBSZBNZ-GJZGRUSLSA-N 1 2 320.437 1.074 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccn(C)n2)C[C@@H]1O ZINC001100020230 775581418 /nfs/dbraw/zinc/58/14/18/775581418.db2.gz LWVGZTCDBSZBNZ-GJZGRUSLSA-N 1 2 320.437 1.074 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3ccncc3)nn2)C1 ZINC001094266978 775606045 /nfs/dbraw/zinc/60/60/45/775606045.db2.gz MNRYJNYQZBSZJO-UHFFFAOYSA-N 1 2 312.377 1.036 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CCC(C)(C)C3)nn2)C1 ZINC001094315928 775672956 /nfs/dbraw/zinc/67/29/56/775672956.db2.gz CXLWGQAVGRUCOM-ZDUSSCGKSA-N 1 2 317.437 1.763 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCNc2ccc(C#N)nn2)c(C)[nH+]1 ZINC001094292296 775693440 /nfs/dbraw/zinc/69/34/40/775693440.db2.gz STUDBLUXYMMRRB-UHFFFAOYSA-N 1 2 310.361 1.510 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCN(C)c2nccnc2C#N)c(C)[nH+]1 ZINC001100418132 776126178 /nfs/dbraw/zinc/12/61/78/776126178.db2.gz ZFXZROFEAWOUFK-UHFFFAOYSA-N 1 2 324.388 1.535 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001100910927 776695490 /nfs/dbraw/zinc/69/54/90/776695490.db2.gz WCGXAJKCOLPIST-CQSZACIVSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100988674 776798012 /nfs/dbraw/zinc/79/80/12/776798012.db2.gz SOIMYBYOOQTWQT-CQSZACIVSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)COCCC)c1nccn12 ZINC001101617785 777310622 /nfs/dbraw/zinc/31/06/22/777310622.db2.gz UAEGLCCJWQIRJB-CYBMUJFWSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C/c1ccc[nH]1)c1nccn12 ZINC001101623956 777317361 /nfs/dbraw/zinc/31/73/61/777317361.db2.gz MDSQVCRVQIYOQR-LLYBFZRZSA-N 1 2 323.400 1.683 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H](C)COC)c1nccn12 ZINC001101649567 777345624 /nfs/dbraw/zinc/34/56/24/777345624.db2.gz PXWIEVDAOSBOSD-KGLIPLIRSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1CC2(C[NH+](CC=C)C2)n2ccnc21 ZINC001101654093 777348141 /nfs/dbraw/zinc/34/81/41/777348141.db2.gz KLRQUSXRMJTDRU-ZIAGYGMSSA-N 1 2 316.405 1.232 20 30 DDEDLO CN(CCNc1nc(C#N)c(Cl)s1)C(=O)Cn1cc[nH+]c1 ZINC001101673051 777375921 /nfs/dbraw/zinc/37/59/21/777375921.db2.gz VAWATGBCOMOBLN-UHFFFAOYSA-N 1 2 324.797 1.435 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](CCNC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001102338239 778076174 /nfs/dbraw/zinc/07/61/74/778076174.db2.gz INPISDSXSZXGJH-AWEZNQCLSA-N 1 2 324.388 1.183 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCSCC)C[C@H]21 ZINC001176923627 778310247 /nfs/dbraw/zinc/31/02/47/778310247.db2.gz HTXDSJOPTJTPKJ-CABCVRRESA-N 1 2 310.463 1.455 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCSCC)C[C@H]21 ZINC001176923627 778310250 /nfs/dbraw/zinc/31/02/50/778310250.db2.gz HTXDSJOPTJTPKJ-CABCVRRESA-N 1 2 310.463 1.455 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCOCC)C[C@H]21 ZINC001176929829 778317449 /nfs/dbraw/zinc/31/74/49/778317449.db2.gz NDCHEFQDPGPVDC-CVEARBPZSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCOCC)C[C@H]21 ZINC001176929829 778317454 /nfs/dbraw/zinc/31/74/54/778317454.db2.gz NDCHEFQDPGPVDC-CVEARBPZSA-N 1 2 308.422 1.128 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C=C3CCC3)C[C@H]21 ZINC001176978896 778364674 /nfs/dbraw/zinc/36/46/74/778364674.db2.gz XSLKGPGRADYPBW-SJORKVTESA-N 1 2 320.433 1.601 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C=C3CCC3)C[C@H]21 ZINC001176978896 778364676 /nfs/dbraw/zinc/36/46/76/778364676.db2.gz XSLKGPGRADYPBW-SJORKVTESA-N 1 2 320.433 1.601 20 30 DDEDLO CN(C(=O)CCc1c[nH]c[nH+]1)[C@H]1CCN(c2ccncc2C#N)C1 ZINC001102766828 778381158 /nfs/dbraw/zinc/38/11/58/778381158.db2.gz KVXLCPXYOGRWJE-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(C(=O)CCc1c[nH+]c[nH]1)[C@H]1CCN(c2ccncc2C#N)C1 ZINC001102766828 778381160 /nfs/dbraw/zinc/38/11/60/778381160.db2.gz KVXLCPXYOGRWJE-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC(=C)C)C[C@H]21 ZINC001177025186 778398013 /nfs/dbraw/zinc/39/80/13/778398013.db2.gz OWKFFNLYBUXPRU-SJORKVTESA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC(=C)C)C[C@H]21 ZINC001177025186 778398020 /nfs/dbraw/zinc/39/80/20/778398020.db2.gz OWKFFNLYBUXPRU-SJORKVTESA-N 1 2 322.449 1.847 20 30 DDEDLO N#Cc1ccc2c(c1)CCN(C(=O)[C@H]1CCc3[nH+]ccn3C1)C2 ZINC001178102096 778870240 /nfs/dbraw/zinc/87/02/40/778870240.db2.gz HSXSDUPWBBFWNG-INIZCTEOSA-N 1 2 306.369 1.902 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[C@H](Nc2cc[nH+]c(C)n2)CC1 ZINC001103641710 778977264 /nfs/dbraw/zinc/97/72/64/778977264.db2.gz ZCSCIEWBBGFSTC-ZQIUZPCESA-N 1 2 318.421 1.951 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)[C@@H]1C ZINC001178503497 779090750 /nfs/dbraw/zinc/09/07/50/779090750.db2.gz BZRBZCIXICLXDZ-JSGCOSHPSA-N 1 2 304.394 1.651 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)CNc1ccc(C#N)nc1 ZINC001104039370 779264657 /nfs/dbraw/zinc/26/46/57/779264657.db2.gz PHPWWVRYMFNRJK-LLVKDONJSA-N 1 2 312.377 1.392 20 30 DDEDLO C=CCOCC(=O)N1CCC(C)(Nc2cc[nH+]c(C)n2)CC1 ZINC001112351805 779733191 /nfs/dbraw/zinc/73/31/91/779733191.db2.gz IFPFVJIGSXEMRV-UHFFFAOYSA-N 1 2 304.394 1.781 20 30 DDEDLO CCc1nc(C[N@@H+]2CCC[C@@H]2CCCNC(=O)[C@H](C)C#N)no1 ZINC001267162801 837442357 /nfs/dbraw/zinc/44/23/57/837442357.db2.gz JBXUENJVLYHVEW-OLZOCXBDSA-N 1 2 319.409 1.652 20 30 DDEDLO CCc1nc(C[N@H+]2CCC[C@@H]2CCCNC(=O)[C@H](C)C#N)no1 ZINC001267162801 837442364 /nfs/dbraw/zinc/44/23/64/837442364.db2.gz JBXUENJVLYHVEW-OLZOCXBDSA-N 1 2 319.409 1.652 20 30 DDEDLO CC[C@@H]1CCCCN1C(=O)C[N@H+](C)CCNC(=O)C#CC1CC1 ZINC001267194443 837512944 /nfs/dbraw/zinc/51/29/44/837512944.db2.gz PRESOOUQFXJVDM-MRXNPFEDSA-N 1 2 319.449 1.239 20 30 DDEDLO CC[C@@H]1CCCCN1C(=O)C[N@@H+](C)CCNC(=O)C#CC1CC1 ZINC001267194443 837512951 /nfs/dbraw/zinc/51/29/51/837512951.db2.gz PRESOOUQFXJVDM-MRXNPFEDSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)c1ccc(CCC)cc1 ZINC001267213418 837544920 /nfs/dbraw/zinc/54/49/20/837544920.db2.gz LFFZUMRASGXVTN-UHFFFAOYSA-N 1 2 315.417 1.050 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)c1ccc(CCC)cc1 ZINC001267213418 837544925 /nfs/dbraw/zinc/54/49/25/837544925.db2.gz LFFZUMRASGXVTN-UHFFFAOYSA-N 1 2 315.417 1.050 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@@H+](C)CC(=O)NCC2CCC2)C1 ZINC001267215209 837546677 /nfs/dbraw/zinc/54/66/77/837546677.db2.gz JACZSENXTGFYHK-UHFFFAOYSA-N 1 2 307.438 1.307 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@H+](C)CC(=O)NCC2CCC2)C1 ZINC001267215209 837546680 /nfs/dbraw/zinc/54/66/80/837546680.db2.gz JACZSENXTGFYHK-UHFFFAOYSA-N 1 2 307.438 1.307 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@@H+](C)CC(=O)N2CCCCC2)CC1 ZINC001267215582 837548506 /nfs/dbraw/zinc/54/85/06/837548506.db2.gz MLEADFCHQJFAKE-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@H+](C)CC(=O)N2CCCCC2)CC1 ZINC001267215582 837548510 /nfs/dbraw/zinc/54/85/10/837548510.db2.gz MLEADFCHQJFAKE-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@@H+](CC)Cc2nocc2C)nc1 ZINC001267256059 837677037 /nfs/dbraw/zinc/67/70/37/837677037.db2.gz WZOMOFYEBLBWOZ-UHFFFAOYSA-N 1 2 312.373 1.611 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@H+](CC)Cc2nocc2C)nc1 ZINC001267256059 837677043 /nfs/dbraw/zinc/67/70/43/837677043.db2.gz WZOMOFYEBLBWOZ-UHFFFAOYSA-N 1 2 312.373 1.611 20 30 DDEDLO Cn1ccc(C[N@@H+]2CCC[C@H]2CNC(=O)C#CC(C)(C)C)n1 ZINC001267266371 837701620 /nfs/dbraw/zinc/70/16/20/837701620.db2.gz DRKSUXJSQVHLNL-HNNXBMFYSA-N 1 2 302.422 1.550 20 30 DDEDLO Cn1ccc(C[N@H+]2CCC[C@H]2CNC(=O)C#CC(C)(C)C)n1 ZINC001267266371 837701629 /nfs/dbraw/zinc/70/16/29/837701629.db2.gz DRKSUXJSQVHLNL-HNNXBMFYSA-N 1 2 302.422 1.550 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@H+](Cc2nnc(C)s2)C1 ZINC001267299415 837780772 /nfs/dbraw/zinc/78/07/72/837780772.db2.gz CDNUQOOAZWDGBB-CQSZACIVSA-N 1 2 320.462 1.978 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@@H+](Cc2nnc(C)s2)C1 ZINC001267299415 837780776 /nfs/dbraw/zinc/78/07/76/837780776.db2.gz CDNUQOOAZWDGBB-CQSZACIVSA-N 1 2 320.462 1.978 20 30 DDEDLO CCCOCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001266307436 836092187 /nfs/dbraw/zinc/09/21/87/836092187.db2.gz DQXRYFMJLHLWJZ-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO CCCOCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001266307436 836092198 /nfs/dbraw/zinc/09/21/98/836092198.db2.gz DQXRYFMJLHLWJZ-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001266307776 836093783 /nfs/dbraw/zinc/09/37/83/836093783.db2.gz KOJAWZHDIWJFCA-STQMWFEESA-N 1 2 323.393 1.355 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001266307776 836093794 /nfs/dbraw/zinc/09/37/94/836093794.db2.gz KOJAWZHDIWJFCA-STQMWFEESA-N 1 2 323.393 1.355 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccncc2Cl)C1 ZINC001266318879 836112468 /nfs/dbraw/zinc/11/24/68/836112468.db2.gz CHSKLNFJVRLGBQ-CQSZACIVSA-N 1 2 321.808 1.465 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@H+](Cc2ccncc2Cl)C1 ZINC001266318879 836112472 /nfs/dbraw/zinc/11/24/72/836112472.db2.gz CHSKLNFJVRLGBQ-CQSZACIVSA-N 1 2 321.808 1.465 20 30 DDEDLO CCn1nnc(C)c1C[N@H+](CC)CCNC(=O)C#CC(C)C ZINC001266388226 836196468 /nfs/dbraw/zinc/19/64/68/836196468.db2.gz VZERCSRIPRBZGV-UHFFFAOYSA-N 1 2 305.426 1.204 20 30 DDEDLO CCn1nnc(C)c1C[N@@H+](CC)CCNC(=O)C#CC(C)C ZINC001266388226 836196469 /nfs/dbraw/zinc/19/64/69/836196469.db2.gz VZERCSRIPRBZGV-UHFFFAOYSA-N 1 2 305.426 1.204 20 30 DDEDLO C=C(C)C[NH2+][C@@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001266982604 837147239 /nfs/dbraw/zinc/14/72/39/837147239.db2.gz FGHJZABFJMYMAI-NSHDSACASA-N 1 2 302.382 1.094 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1nncn1C ZINC001272002901 844295328 /nfs/dbraw/zinc/29/53/28/844295328.db2.gz AWLVTKSHPWAXAP-KGLIPLIRSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1nncn1C ZINC001272002901 844295337 /nfs/dbraw/zinc/29/53/37/844295337.db2.gz AWLVTKSHPWAXAP-KGLIPLIRSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](C[N@@H+](C)Cc2nc(N(C)C)no2)C1 ZINC001267578353 838351091 /nfs/dbraw/zinc/35/10/91/838351091.db2.gz JPRGZRUFGYUCAB-CYBMUJFWSA-N 1 2 321.425 1.382 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](C[N@H+](C)Cc2nc(N(C)C)no2)C1 ZINC001267578353 838351094 /nfs/dbraw/zinc/35/10/94/838351094.db2.gz JPRGZRUFGYUCAB-CYBMUJFWSA-N 1 2 321.425 1.382 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@@H+](Cc2cnc(OC)nc2C)C1 ZINC001267623787 838516850 /nfs/dbraw/zinc/51/68/50/838516850.db2.gz XDWDDDGMTNQXIU-HNNXBMFYSA-N 1 2 318.421 1.840 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@H+](Cc2cnc(OC)nc2C)C1 ZINC001267623787 838516858 /nfs/dbraw/zinc/51/68/58/838516858.db2.gz XDWDDDGMTNQXIU-HNNXBMFYSA-N 1 2 318.421 1.840 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cnnn2CC)C1 ZINC001267624926 838520234 /nfs/dbraw/zinc/52/02/34/838520234.db2.gz QEHYHSZFCKPRQN-AWEZNQCLSA-N 1 2 303.410 1.182 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2cnnn2CC)C1 ZINC001267624926 838520236 /nfs/dbraw/zinc/52/02/36/838520236.db2.gz QEHYHSZFCKPRQN-AWEZNQCLSA-N 1 2 303.410 1.182 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)CCc2cccc(C)c2)C1 ZINC001267654952 838589158 /nfs/dbraw/zinc/58/91/58/838589158.db2.gz GDYYOOHXIOWSMG-UHFFFAOYSA-N 1 2 302.418 1.931 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[N@@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267741072 838810734 /nfs/dbraw/zinc/81/07/34/838810734.db2.gz HWEBXQAQNJWCNU-HUUCEWRRSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267741072 838810737 /nfs/dbraw/zinc/81/07/37/838810737.db2.gz HWEBXQAQNJWCNU-HUUCEWRRSA-N 1 2 321.465 1.387 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](CC(=O)NCC3CCC3)C2)C1 ZINC001267749125 838841284 /nfs/dbraw/zinc/84/12/84/838841284.db2.gz KQQRQWPLSADGDN-OAHLLOKOSA-N 1 2 319.449 1.450 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NCC3CCC3)C2)C1 ZINC001267749125 838841296 /nfs/dbraw/zinc/84/12/96/838841296.db2.gz KQQRQWPLSADGDN-OAHLLOKOSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCOCCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2cc(C)on2)C1 ZINC001267758369 838875131 /nfs/dbraw/zinc/87/51/31/838875131.db2.gz AZJUDCFCTYSRKF-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2cc(C)on2)C1 ZINC001267758369 838875132 /nfs/dbraw/zinc/87/51/32/838875132.db2.gz AZJUDCFCTYSRKF-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](N(CC)C(=O)c2cc(C)co2)C1 ZINC001267790878 838985512 /nfs/dbraw/zinc/98/55/12/838985512.db2.gz NFXOKOMOMSAWAF-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(C)co2)C1 ZINC001267790878 838985519 /nfs/dbraw/zinc/98/55/19/838985519.db2.gz NFXOKOMOMSAWAF-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO C=C1CC(C)(C(=O)N(CCO)CC[NH2+][C@@H](C)c2csnn2)C1 ZINC001279820468 839309691 /nfs/dbraw/zinc/30/96/91/839309691.db2.gz LGDVBRTWILNTBL-LBPRGKRZSA-N 1 2 324.450 1.366 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)CCc2cncc(C)c2)C1 ZINC001268024040 839410536 /nfs/dbraw/zinc/41/05/36/839410536.db2.gz VVOIYABEWHFUAQ-INIZCTEOSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)CCc2cncc(C)c2)C1 ZINC001268024040 839410544 /nfs/dbraw/zinc/41/05/44/839410544.db2.gz VVOIYABEWHFUAQ-INIZCTEOSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)CCOc2ccccc2F)C1 ZINC001268029113 839442138 /nfs/dbraw/zinc/44/21/38/839442138.db2.gz RNKDHJHRHVVWCR-AWEZNQCLSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)CCOc2ccccc2F)C1 ZINC001268029113 839442144 /nfs/dbraw/zinc/44/21/44/839442144.db2.gz RNKDHJHRHVVWCR-AWEZNQCLSA-N 1 2 322.380 1.598 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)CC[N@H+](C)CC(=O)NC(C)(C)C ZINC001268246410 839890439 /nfs/dbraw/zinc/89/04/39/839890439.db2.gz YWGOKCQQLBFHGD-CQSZACIVSA-N 1 2 311.470 1.894 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)CC[N@@H+](C)CC(=O)NC(C)(C)C ZINC001268246410 839890450 /nfs/dbraw/zinc/89/04/50/839890450.db2.gz YWGOKCQQLBFHGD-CQSZACIVSA-N 1 2 311.470 1.894 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H](CC(C)C)C(N)=O ZINC001268260349 839908951 /nfs/dbraw/zinc/90/89/51/839908951.db2.gz UGZCWZAUUXIORK-GFCCVEGCSA-N 1 2 303.834 1.277 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H](CC(C)C)C(N)=O ZINC001268260349 839908956 /nfs/dbraw/zinc/90/89/56/839908956.db2.gz UGZCWZAUUXIORK-GFCCVEGCSA-N 1 2 303.834 1.277 20 30 DDEDLO CC#CCCCC(=O)NC1C[NH+](CCOC[C@@H]2CCCCO2)C1 ZINC001268438990 840190613 /nfs/dbraw/zinc/19/06/13/840190613.db2.gz WODVQHXCWMCOAT-KRWDZBQOSA-N 1 2 322.449 1.566 20 30 DDEDLO Cc1nc(C[NH2+]C/C=C\CNC(=O)[C@H](C)C#N)nc2ccccc12 ZINC001268523195 840381089 /nfs/dbraw/zinc/38/10/89/840381089.db2.gz PZJKDSJTGPGZEW-CFHLNLSMSA-N 1 2 323.400 1.860 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]C/C=C/CNC(=O)C#CC(C)(C)C)o1 ZINC001268536106 840408811 /nfs/dbraw/zinc/40/88/11/840408811.db2.gz HHFTXFXIXYLQOM-NNNHXZLVSA-N 1 2 304.394 1.751 20 30 DDEDLO CCCCc1noc([C@H](C)[NH2+]C[C@H](C)NC(=O)C#CC2CC2)n1 ZINC001268685913 840672825 /nfs/dbraw/zinc/67/28/25/840672825.db2.gz MVIKYXZGOCNEOR-STQMWFEESA-N 1 2 318.421 1.981 20 30 DDEDLO CCCc1noc(C[N@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)n1 ZINC001424272863 844569330 /nfs/dbraw/zinc/56/93/30/844569330.db2.gz IGEXPOUFAUMAPW-LBPRGKRZSA-N 1 2 307.398 1.462 20 30 DDEDLO CCCc1noc(C[N@@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)n1 ZINC001424272863 844569337 /nfs/dbraw/zinc/56/93/37/844569337.db2.gz IGEXPOUFAUMAPW-LBPRGKRZSA-N 1 2 307.398 1.462 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](C)C(=O)NC1CC1 ZINC001268934349 841005372 /nfs/dbraw/zinc/00/53/72/841005372.db2.gz DJKHGEROKVJJNM-BPUTZDHNSA-N 1 2 317.433 1.132 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](C)C(=O)NC1CC1 ZINC001268934349 841005381 /nfs/dbraw/zinc/00/53/81/841005381.db2.gz DJKHGEROKVJJNM-BPUTZDHNSA-N 1 2 317.433 1.132 20 30 DDEDLO N#CCSCC(=O)N[C@H]1CC[N@H+](Cc2cc(C3CC3)no2)C1 ZINC001268999981 841099759 /nfs/dbraw/zinc/09/97/59/841099759.db2.gz ASLSRVHJPHIPIW-LBPRGKRZSA-N 1 2 320.418 1.499 20 30 DDEDLO N#CCSCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C3CC3)no2)C1 ZINC001268999981 841099763 /nfs/dbraw/zinc/09/97/63/841099763.db2.gz ASLSRVHJPHIPIW-LBPRGKRZSA-N 1 2 320.418 1.499 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C(CC)(CC)CC)C1 ZINC001269073612 841172913 /nfs/dbraw/zinc/17/29/13/841172913.db2.gz YBURQVDKFGPXOH-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C(CC)(CC)CC)C1 ZINC001269073612 841172918 /nfs/dbraw/zinc/17/29/18/841172918.db2.gz YBURQVDKFGPXOH-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001269122071 841232159 /nfs/dbraw/zinc/23/21/59/841232159.db2.gz PQWBSLDSZKEKHV-OAHLLOKOSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001269122071 841232169 /nfs/dbraw/zinc/23/21/69/841232169.db2.gz PQWBSLDSZKEKHV-OAHLLOKOSA-N 1 2 307.438 1.143 20 30 DDEDLO C=CCNC(=O)[C@@H]1CC12CCN(C(=O)[C@@H](C)n1cc[nH+]c1)CC2 ZINC001269158394 841279290 /nfs/dbraw/zinc/27/92/90/841279290.db2.gz YZXNSZDKZZSXGA-KGLIPLIRSA-N 1 2 316.405 1.375 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1nccc2cccnc21 ZINC001269247021 841417497 /nfs/dbraw/zinc/41/74/97/841417497.db2.gz FHDIZAWAVRDAIT-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1nccc2cccnc21 ZINC001269247021 841417504 /nfs/dbraw/zinc/41/75/04/841417504.db2.gz FHDIZAWAVRDAIT-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO CCOC1CC(CC(=O)NC[C@H]2CC[N@@H+]2CC#CCOC)C1 ZINC001269278577 841458984 /nfs/dbraw/zinc/45/89/84/841458984.db2.gz LDKGLGWKMNYZEA-HWOWSKLDSA-N 1 2 308.422 1.032 20 30 DDEDLO CCOC1CC(CC(=O)NC[C@H]2CC[N@H+]2CC#CCOC)C1 ZINC001269278577 841458996 /nfs/dbraw/zinc/45/89/96/841458996.db2.gz LDKGLGWKMNYZEA-HWOWSKLDSA-N 1 2 308.422 1.032 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@H]1CNC(=O)[C@]1(C)CCCS1(=O)=O ZINC001269321627 841518936 /nfs/dbraw/zinc/51/89/36/841518936.db2.gz ZMXHIACNRSXJCA-ZFWWWQNUSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@H]1CNC(=O)[C@]1(C)CCCS1(=O)=O ZINC001269321627 841518943 /nfs/dbraw/zinc/51/89/43/841518943.db2.gz ZMXHIACNRSXJCA-ZFWWWQNUSA-N 1 2 314.451 1.110 20 30 DDEDLO CCC[C@H](CC)C(=O)N(CC)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269349066 841551551 /nfs/dbraw/zinc/55/15/51/841551551.db2.gz QCCOMNKABXPZQZ-LSDHHAIUSA-N 1 2 322.453 1.375 20 30 DDEDLO CCC[C@H](CC)C(=O)N(CC)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269349066 841551562 /nfs/dbraw/zinc/55/15/62/841551562.db2.gz QCCOMNKABXPZQZ-LSDHHAIUSA-N 1 2 322.453 1.375 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)[C@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC001299172183 842522840 /nfs/dbraw/zinc/52/28/40/842522840.db2.gz SQYPSBXDXYVVPG-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)[C@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC001299172183 842522850 /nfs/dbraw/zinc/52/28/50/842522850.db2.gz SQYPSBXDXYVVPG-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1C[N@H+](CC(N)=O)CCC1(F)F ZINC001271101459 843216179 /nfs/dbraw/zinc/21/61/79/843216179.db2.gz SCRPZMRGAJOTPA-NSHDSACASA-N 1 2 317.380 1.147 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1C[N@@H+](CC(N)=O)CCC1(F)F ZINC001271101459 843216189 /nfs/dbraw/zinc/21/61/89/843216189.db2.gz SCRPZMRGAJOTPA-NSHDSACASA-N 1 2 317.380 1.147 20 30 DDEDLO C#CC[NH2+][C@H](C)c1ccc(CNC(=O)c2[nH]ncc2F)cc1 ZINC001326481076 861397845 /nfs/dbraw/zinc/39/78/45/861397845.db2.gz PEABZBYTGDMMNX-LLVKDONJSA-N 1 2 300.337 1.763 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@]1(O)CC[N@H+](Cc2nccs2)C1 ZINC001271175574 843380815 /nfs/dbraw/zinc/38/08/15/843380815.db2.gz QAUAFPZSYXGCBU-INIZCTEOSA-N 1 2 323.462 1.798 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@]1(O)CC[N@@H+](Cc2nccs2)C1 ZINC001271175574 843380825 /nfs/dbraw/zinc/38/08/25/843380825.db2.gz QAUAFPZSYXGCBU-INIZCTEOSA-N 1 2 323.462 1.798 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@@H](C)Cc2ccc(F)cc2)C1 ZINC001271400408 843560501 /nfs/dbraw/zinc/56/05/01/843560501.db2.gz PZPAYDAWBPDTKB-AWEZNQCLSA-N 1 2 320.408 1.743 20 30 DDEDLO CC#CC[N@H+](C)CCN(C)C(=O)c1n[nH]cc1Br ZINC001272138412 844646696 /nfs/dbraw/zinc/64/66/96/844646696.db2.gz VBZMHAUZIMOHIW-UHFFFAOYSA-N 1 2 313.199 1.199 20 30 DDEDLO CC#CC[N@@H+](C)CCN(C)C(=O)c1n[nH]cc1Br ZINC001272138412 844646699 /nfs/dbraw/zinc/64/66/99/844646699.db2.gz VBZMHAUZIMOHIW-UHFFFAOYSA-N 1 2 313.199 1.199 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C1C[C@H](C)C[C@@H](C)C1 ZINC001272178774 844680137 /nfs/dbraw/zinc/68/01/37/844680137.db2.gz QDZPSEXKUKPRSW-HUUCEWRRSA-N 1 2 323.481 1.751 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)C1C[C@H](C)C[C@@H](C)C1 ZINC001272178774 844680141 /nfs/dbraw/zinc/68/01/41/844680141.db2.gz QDZPSEXKUKPRSW-HUUCEWRRSA-N 1 2 323.481 1.751 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCCC[C@@H]1C[NH2+]Cc1cnsn1 ZINC001326700285 861554206 /nfs/dbraw/zinc/55/42/06/861554206.db2.gz QNOKTPNZBGZXLP-HIFRSBDPSA-N 1 2 324.450 1.336 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)CCC(=O)NCC)C1 ZINC001409848177 845901724 /nfs/dbraw/zinc/90/17/24/845901724.db2.gz PRNKLBUBZBHBCP-LLVKDONJSA-N 1 2 301.818 1.092 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cc(Cl)ccc1O)C2 ZINC001272629741 846429804 /nfs/dbraw/zinc/42/98/04/846429804.db2.gz QDZRIXSYHSEUIN-UHFFFAOYSA-N 1 2 320.776 1.092 20 30 DDEDLO Cn1ccc(C[N@@H+]2CCC[C@]23CCN(CCCC#N)C3=O)n1 ZINC001272736097 846739374 /nfs/dbraw/zinc/73/93/74/846739374.db2.gz TWZQRSXEINEWNQ-MRXNPFEDSA-N 1 2 301.394 1.291 20 30 DDEDLO Cn1ccc(C[N@H+]2CCC[C@]23CCN(CCCC#N)C3=O)n1 ZINC001272736097 846739383 /nfs/dbraw/zinc/73/93/83/846739383.db2.gz TWZQRSXEINEWNQ-MRXNPFEDSA-N 1 2 301.394 1.291 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H](C)[N@H+](CC)Cc2ccon2)c1 ZINC001155149301 861772898 /nfs/dbraw/zinc/77/28/98/861772898.db2.gz IZIWGLFVLHRYIQ-CYBMUJFWSA-N 1 2 312.373 1.691 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2ccon2)c1 ZINC001155149301 861772904 /nfs/dbraw/zinc/77/29/04/861772904.db2.gz IZIWGLFVLHRYIQ-CYBMUJFWSA-N 1 2 312.373 1.691 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001107844416 847303085 /nfs/dbraw/zinc/30/30/85/847303085.db2.gz SEPDVIKQDCTNQH-MUQADHOPSA-N 1 2 304.434 1.653 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001107844416 847303095 /nfs/dbraw/zinc/30/30/95/847303095.db2.gz SEPDVIKQDCTNQH-MUQADHOPSA-N 1 2 304.434 1.653 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1ncccn1 ZINC001272794103 847434647 /nfs/dbraw/zinc/43/46/47/847434647.db2.gz PCBVALFSNPXFMA-KRWDZBQOSA-N 1 2 313.405 1.737 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1ncccn1 ZINC001272794103 847434653 /nfs/dbraw/zinc/43/46/53/847434653.db2.gz PCBVALFSNPXFMA-KRWDZBQOSA-N 1 2 313.405 1.737 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@H+](Cc3ncccc3F)C2)OCC1=O ZINC001272802347 847446599 /nfs/dbraw/zinc/44/65/99/847446599.db2.gz MAGLYOGCMLUNIW-KRWDZBQOSA-N 1 2 319.380 1.600 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@@H+](Cc3ncccc3F)C2)OCC1=O ZINC001272802347 847446603 /nfs/dbraw/zinc/44/66/03/847446603.db2.gz MAGLYOGCMLUNIW-KRWDZBQOSA-N 1 2 319.380 1.600 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@H+](Cc3nccs3)C2)OCC1=O ZINC001273016084 847785668 /nfs/dbraw/zinc/78/56/68/847785668.db2.gz MMWXYUJUGCQHHJ-HNNXBMFYSA-N 1 2 307.419 1.523 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@@H+](Cc3nccs3)C2)OCC1=O ZINC001273016084 847785673 /nfs/dbraw/zinc/78/56/73/847785673.db2.gz MMWXYUJUGCQHHJ-HNNXBMFYSA-N 1 2 307.419 1.523 20 30 DDEDLO C=CCOCCN1CC[NH+]([C@H]2CCN(C(=O)[C@H](C)CC)C2)CC1 ZINC001327082744 861861370 /nfs/dbraw/zinc/86/13/70/861861370.db2.gz NWQZDJSBVWJXCW-SJORKVTESA-N 1 2 323.481 1.454 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2CCCC[N@@H+](CC(=C)Cl)C2)nn1 ZINC001034431023 848515937 /nfs/dbraw/zinc/51/59/37/848515937.db2.gz DNZYXQQYCVKURN-CYBMUJFWSA-N 1 2 323.828 1.801 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2CCCC[N@H+](CC(=C)Cl)C2)nn1 ZINC001034431023 848515942 /nfs/dbraw/zinc/51/59/42/848515942.db2.gz DNZYXQQYCVKURN-CYBMUJFWSA-N 1 2 323.828 1.801 20 30 DDEDLO CN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1cc(C#N)ccc1F)C2 ZINC001273206333 848758092 /nfs/dbraw/zinc/75/80/92/848758092.db2.gz RUUAOEFLSFYZAL-QGZVFWFLSA-N 1 2 317.364 1.378 20 30 DDEDLO CN1C[C@@]2(CC1=O)COCC[N@H+](Cc1cc(C#N)ccc1F)C2 ZINC001273206333 848758090 /nfs/dbraw/zinc/75/80/90/848758090.db2.gz RUUAOEFLSFYZAL-QGZVFWFLSA-N 1 2 317.364 1.378 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C[C@@H](C)SC)C2)CC1 ZINC001273374111 849731741 /nfs/dbraw/zinc/73/17/41/849731741.db2.gz HRORKKXOVZYQCV-HZPDHXFCSA-N 1 2 323.506 1.370 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cc(F)c(F)cc2O)C1=O ZINC001273375254 849736975 /nfs/dbraw/zinc/73/69/75/849736975.db2.gz WQUBBKZVFIEZKG-QGZVFWFLSA-N 1 2 320.339 1.871 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cc(F)c(F)cc2O)C1=O ZINC001273375254 849736978 /nfs/dbraw/zinc/73/69/78/849736978.db2.gz WQUBBKZVFIEZKG-QGZVFWFLSA-N 1 2 320.339 1.871 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)CC(F)F)C3)C2)cc1C#N ZINC001273377158 849740438 /nfs/dbraw/zinc/74/04/38/849740438.db2.gz APYZXTHPPZLNSV-UHFFFAOYSA-N 1 2 308.332 1.196 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@H+](Cc3cccnc3F)C2)OCC1=O ZINC001273398956 849905823 /nfs/dbraw/zinc/90/58/23/849905823.db2.gz AXNKBTYJKSOXAY-QGZVFWFLSA-N 1 2 319.380 1.600 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@@H+](Cc3cccnc3F)C2)OCC1=O ZINC001273398956 849905833 /nfs/dbraw/zinc/90/58/33/849905833.db2.gz AXNKBTYJKSOXAY-QGZVFWFLSA-N 1 2 319.380 1.600 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1cccnc1F)C2 ZINC001273400343 849919640 /nfs/dbraw/zinc/91/96/40/849919640.db2.gz QBKHOVMINUIEAR-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1cccnc1F)C2 ZINC001273400343 849919648 /nfs/dbraw/zinc/91/96/48/849919648.db2.gz QBKHOVMINUIEAR-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[NH2+]Cc1noc(C(C)C)n1 ZINC001155427099 862074775 /nfs/dbraw/zinc/07/47/75/862074775.db2.gz ODQCZHNTADEATE-CYBMUJFWSA-N 1 2 324.425 1.770 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@H+](Cc2nnnn2C)CC1 ZINC001273417670 850169444 /nfs/dbraw/zinc/16/94/44/850169444.db2.gz JASNVKADWQPEPU-CYBMUJFWSA-N 1 2 306.414 1.037 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@@H+](Cc2nnnn2C)CC1 ZINC001273417670 850169438 /nfs/dbraw/zinc/16/94/38/850169438.db2.gz JASNVKADWQPEPU-CYBMUJFWSA-N 1 2 306.414 1.037 20 30 DDEDLO CCn1ncc(C[N@@H+]2CCC[C@H](NC(=O)C#CC(C)C)CC2)n1 ZINC001273417817 850172638 /nfs/dbraw/zinc/17/26/38/850172638.db2.gz KDBQUGYHIHUDBL-HNNXBMFYSA-N 1 2 317.437 1.428 20 30 DDEDLO CCn1ncc(C[N@H+]2CCC[C@H](NC(=O)C#CC(C)C)CC2)n1 ZINC001273417817 850172641 /nfs/dbraw/zinc/17/26/41/850172641.db2.gz KDBQUGYHIHUDBL-HNNXBMFYSA-N 1 2 317.437 1.428 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H](CC(C)C)OC)O2 ZINC001327366028 862107518 /nfs/dbraw/zinc/10/75/18/862107518.db2.gz WCMWSSGJBYGTIO-HOTGVXAUSA-N 1 2 324.465 1.973 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](CCCc3ccncc3)C[C@@]2(F)C1=O ZINC001273493759 851026284 /nfs/dbraw/zinc/02/62/84/851026284.db2.gz QTRXSTXHEHDGPX-DLBZAZTESA-N 1 2 321.371 1.775 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](CCCc3ccncc3)C[C@@]2(F)C1=O ZINC001273493759 851026287 /nfs/dbraw/zinc/02/62/87/851026287.db2.gz QTRXSTXHEHDGPX-DLBZAZTESA-N 1 2 321.371 1.775 20 30 DDEDLO C#CCCC[NH+]1CC2(C1)CN(Cc1csc(C)n1)C(=O)CO2 ZINC001273543323 851080155 /nfs/dbraw/zinc/08/01/55/851080155.db2.gz XTAVMQQBXJNJIQ-UHFFFAOYSA-N 1 2 319.430 1.278 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@](CO)([NH2+]Cc2nncs2)C1 ZINC001273912589 851500767 /nfs/dbraw/zinc/50/07/67/851500767.db2.gz XQHZZKJAYBKIQT-HNNXBMFYSA-N 1 2 324.450 1.338 20 30 DDEDLO CCCCCCCC[C@H](O)C[N@@H+]1CCO[C@H](C(=O)OC)C1 ZINC001252124519 851546966 /nfs/dbraw/zinc/54/69/66/851546966.db2.gz RSIDDNZJDHPKQI-GJZGRUSLSA-N 1 2 301.427 1.972 20 30 DDEDLO CCCCCCCC[C@H](O)C[N@H+]1CCO[C@H](C(=O)OC)C1 ZINC001252124519 851546977 /nfs/dbraw/zinc/54/69/77/851546977.db2.gz RSIDDNZJDHPKQI-GJZGRUSLSA-N 1 2 301.427 1.972 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H](NC(=O)CSCC#N)C2)sn1 ZINC001318235162 851741771 /nfs/dbraw/zinc/74/17/71/851741771.db2.gz ABASKLSASUUSPA-NSHDSACASA-N 1 2 310.448 1.399 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H](NC(=O)CSCC#N)C2)sn1 ZINC001318235162 851741777 /nfs/dbraw/zinc/74/17/77/851741777.db2.gz ABASKLSASUUSPA-NSHDSACASA-N 1 2 310.448 1.399 20 30 DDEDLO Cn1cncc1CNC(=O)[C@H]1CC12CC[NH+](CCC#N)CC2 ZINC001274031932 851871286 /nfs/dbraw/zinc/87/12/86/851871286.db2.gz CHGSDXCYOVXKQZ-CQSZACIVSA-N 1 2 301.394 1.052 20 30 DDEDLO Cc1noc(CNC(=O)[C@@H]2CC23CC[NH+](CCC#N)CC3)n1 ZINC001274031989 851871336 /nfs/dbraw/zinc/87/13/36/851871336.db2.gz DDIZDXQPPIIHOK-LBPRGKRZSA-N 1 2 303.366 1.010 20 30 DDEDLO C=CCOC(=O)N1CC[C@]2(CCN(Cc3c[nH+]cn3C)C2=O)C1 ZINC001274347882 852177104 /nfs/dbraw/zinc/17/71/04/852177104.db2.gz XHPUGDRMEUCLMF-MRXNPFEDSA-N 1 2 318.377 1.167 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2nc(CC)cs2)C1=O ZINC001274579282 852412329 /nfs/dbraw/zinc/41/23/29/852412329.db2.gz IIKDKEGAEPCVPQ-INIZCTEOSA-N 1 2 303.431 1.906 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2nc(CC)cs2)C1=O ZINC001274579282 852412332 /nfs/dbraw/zinc/41/23/32/852412332.db2.gz IIKDKEGAEPCVPQ-INIZCTEOSA-N 1 2 303.431 1.906 20 30 DDEDLO N#Cc1cccnc1C(=O)N1CC2(C1)C[NH+](Cc1ccc[nH]1)C2 ZINC001274591083 852421878 /nfs/dbraw/zinc/42/18/78/852421878.db2.gz LMQUPKSXGYFPPX-UHFFFAOYSA-N 1 2 307.357 1.239 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nncn2C)[C@H](C)C1 ZINC001274623275 852454569 /nfs/dbraw/zinc/45/45/69/852454569.db2.gz KRWZKRNTDGLUQG-ZIAGYGMSSA-N 1 2 303.410 1.088 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nncn2C)[C@H](C)C1 ZINC001274623275 852454563 /nfs/dbraw/zinc/45/45/63/852454563.db2.gz KRWZKRNTDGLUQG-ZIAGYGMSSA-N 1 2 303.410 1.088 20 30 DDEDLO Cc1cc(C(=O)N2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)ccc1C#N ZINC001275066382 852784364 /nfs/dbraw/zinc/78/43/64/852784364.db2.gz YTUNMWLQKCPJSA-UHFFFAOYSA-N 1 2 321.384 1.548 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cnnn1C ZINC001275144295 852838954 /nfs/dbraw/zinc/83/89/54/852838954.db2.gz XGWSEIDRHWKTHR-CQSZACIVSA-N 1 2 319.453 1.840 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cnnn1C ZINC001275144295 852838957 /nfs/dbraw/zinc/83/89/57/852838957.db2.gz XGWSEIDRHWKTHR-CQSZACIVSA-N 1 2 319.453 1.840 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C1CC([NH2+]Cc2nnsc2Cl)C1 ZINC001382569509 884270609 /nfs/dbraw/zinc/27/06/09/884270609.db2.gz LKHBPBNQOSAXSE-UEJVZZJDSA-N 1 2 313.814 1.430 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2cc[nH]c2C(C)C)C1 ZINC001276076226 854710318 /nfs/dbraw/zinc/71/03/18/854710318.db2.gz UXVNOZOYMKWWOH-UHFFFAOYSA-N 1 2 305.422 1.881 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)S(C)(=O)=O ZINC001049548330 856961146 /nfs/dbraw/zinc/96/11/46/856961146.db2.gz JMFYNICJOZRQQP-MGPQQGTHSA-N 1 2 314.451 1.061 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)S(C)(=O)=O ZINC001049548330 856961152 /nfs/dbraw/zinc/96/11/52/856961152.db2.gz JMFYNICJOZRQQP-MGPQQGTHSA-N 1 2 314.451 1.061 20 30 DDEDLO CCCc1noc(C[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001072551059 857434039 /nfs/dbraw/zinc/43/40/39/857434039.db2.gz AEGVJJCSYRQZFG-GFCCVEGCSA-N 1 2 317.393 1.216 20 30 DDEDLO CCCc1noc(C[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001072551059 857434042 /nfs/dbraw/zinc/43/40/42/857434042.db2.gz AEGVJJCSYRQZFG-GFCCVEGCSA-N 1 2 317.393 1.216 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072604388 857505889 /nfs/dbraw/zinc/50/58/89/857505889.db2.gz XKEHZGXOGUIVFS-ZIAGYGMSSA-N 1 2 316.405 1.109 20 30 DDEDLO CSc1nc(Cl)cc(C(F)(F)N2CC[NH2+]C[C@@H]2C#N)n1 ZINC001156321305 862931016 /nfs/dbraw/zinc/93/10/16/862931016.db2.gz YOPPCZVPLXDYQA-ZETCQYMHSA-N 1 2 319.768 1.699 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)c1nc(Cl)cc(Cl)n1 ZINC001156321214 862932639 /nfs/dbraw/zinc/93/26/39/862932639.db2.gz UTEBVGNTIGJSRW-LURJTMIESA-N 1 2 308.119 1.630 20 30 DDEDLO C=CC[C@H](C(=O)NCC[NH2+]Cc1nonc1C)c1ccccc1 ZINC001151838032 862997221 /nfs/dbraw/zinc/99/72/21/862997221.db2.gz GCTRMFPWQWARPA-HNNXBMFYSA-N 1 2 314.389 1.944 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C2=CCOCC2)C1 ZINC001073583831 858461988 /nfs/dbraw/zinc/46/19/88/858461988.db2.gz FVAQHWPZQURCFC-AWEZNQCLSA-N 1 2 314.813 1.293 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C2=CCOCC2)C1 ZINC001073583831 858461991 /nfs/dbraw/zinc/46/19/91/858461991.db2.gz FVAQHWPZQURCFC-AWEZNQCLSA-N 1 2 314.813 1.293 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC001328540975 863047561 /nfs/dbraw/zinc/04/75/61/863047561.db2.gz FKLUTRJUTMEUQE-OAHLLOKOSA-N 1 2 301.390 1.324 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC001328540975 863047571 /nfs/dbraw/zinc/04/75/71/863047571.db2.gz FKLUTRJUTMEUQE-OAHLLOKOSA-N 1 2 301.390 1.324 20 30 DDEDLO C=CCN(C)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001122396200 858791481 /nfs/dbraw/zinc/79/14/81/858791481.db2.gz YAXYRSWZCWIFHF-BBRMVZONSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCN(C)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC(=C)C ZINC001122396200 858791487 /nfs/dbraw/zinc/79/14/87/858791487.db2.gz YAXYRSWZCWIFHF-BBRMVZONSA-N 1 2 305.426 1.388 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H](Nc2cc[nH+]c(C)n2)C[C@@H]1C ZINC001123281355 859160865 /nfs/dbraw/zinc/16/08/65/859160865.db2.gz VANZYSKYVGSCRO-GXTWGEPZSA-N 1 2 302.378 1.226 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001123759055 859399324 /nfs/dbraw/zinc/39/93/24/859399324.db2.gz BXQLOEBIWSXEIV-ZIAGYGMSSA-N 1 2 304.394 1.684 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)CSCC#N)CC2(C)C)cc[nH+]1 ZINC001123901052 859461897 /nfs/dbraw/zinc/46/18/97/859461897.db2.gz IEILLLFUFHIJEF-GFCCVEGCSA-N 1 2 319.434 1.691 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001124487530 859719970 /nfs/dbraw/zinc/71/99/70/859719970.db2.gz DJDDFIDQIHVATE-GFCCVEGCSA-N 1 2 324.425 1.554 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2C[C@@H](F)C[C@H](CO)C2)cc1OC ZINC001138343968 860064075 /nfs/dbraw/zinc/06/40/75/860064075.db2.gz TZUYACUBBGAYED-GJZGRUSLSA-N 1 2 307.365 1.860 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2C[C@@H](F)C[C@H](CO)C2)cc1OC ZINC001138343968 860064083 /nfs/dbraw/zinc/06/40/83/860064083.db2.gz TZUYACUBBGAYED-GJZGRUSLSA-N 1 2 307.365 1.860 20 30 DDEDLO C=CCn1cc(C[N@@H+]2Cc3nnn(CC)c3[C@@H](COC)C2)cn1 ZINC001139767881 860476283 /nfs/dbraw/zinc/47/62/83/860476283.db2.gz COQHRNGBMLLDHB-CQSZACIVSA-N 1 2 316.409 1.426 20 30 DDEDLO C=CCn1cc(C[N@H+]2Cc3nnn(CC)c3[C@@H](COC)C2)cn1 ZINC001139767881 860476285 /nfs/dbraw/zinc/47/62/85/860476285.db2.gz COQHRNGBMLLDHB-CQSZACIVSA-N 1 2 316.409 1.426 20 30 DDEDLO N#Cc1cccc(NC(=O)CC[NH2+]Cc2nc(C3CC3)no2)c1 ZINC001325871550 860903762 /nfs/dbraw/zinc/90/37/62/860903762.db2.gz PEVKYKPQRVDUAI-UHFFFAOYSA-N 1 2 311.345 1.937 20 30 DDEDLO CSc1nc(C[N@@H+]2CC[C@@H](N(C)C(C)=O)C2)ccc1C#N ZINC001141945788 860977706 /nfs/dbraw/zinc/97/77/06/860977706.db2.gz MWDLTNPJSBDMSK-CQSZACIVSA-N 1 2 304.419 1.728 20 30 DDEDLO CSc1nc(C[N@H+]2CC[C@@H](N(C)C(C)=O)C2)ccc1C#N ZINC001141945788 860977717 /nfs/dbraw/zinc/97/77/17/860977717.db2.gz MWDLTNPJSBDMSK-CQSZACIVSA-N 1 2 304.419 1.728 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nonc1C ZINC001152228240 863228584 /nfs/dbraw/zinc/22/85/84/863228584.db2.gz OYFTVIQKWLSJLE-OCCSQVGLSA-N 1 2 324.425 1.686 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nonc1C ZINC001152228240 863228595 /nfs/dbraw/zinc/22/85/95/863228595.db2.gz OYFTVIQKWLSJLE-OCCSQVGLSA-N 1 2 324.425 1.686 20 30 DDEDLO CC[N@H+](Cc1ncc(C)o1)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152620874 863459340 /nfs/dbraw/zinc/45/93/40/863459340.db2.gz PPKGPBADWQMUOD-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO CC[N@@H+](Cc1ncc(C)o1)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152620874 863459346 /nfs/dbraw/zinc/45/93/46/863459346.db2.gz PPKGPBADWQMUOD-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)cn1 ZINC001153018608 863654430 /nfs/dbraw/zinc/65/44/30/863654430.db2.gz KAGFVDXTGRBCPF-RHSMWYFYSA-N 1 2 320.437 1.439 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)cn1 ZINC001153018608 863654434 /nfs/dbraw/zinc/65/44/34/863654434.db2.gz KAGFVDXTGRBCPF-RHSMWYFYSA-N 1 2 320.437 1.439 20 30 DDEDLO N#Cc1ccc2cc[nH+]c(N3CCC4(CNC(=O)N4)CC3)c2c1 ZINC001157246849 863680123 /nfs/dbraw/zinc/68/01/23/863680123.db2.gz PFMUWTBKYWRINA-UHFFFAOYSA-N 1 2 307.357 1.758 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001153091588 863705799 /nfs/dbraw/zinc/70/57/99/863705799.db2.gz UZTVVDYIGMWSOB-NSHDSACASA-N 1 2 308.382 1.307 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)[N@@H+](C)Cc1c(C)nnn1CC ZINC001329524952 863712993 /nfs/dbraw/zinc/71/29/93/863712993.db2.gz UTTJLARSHUMRQT-GFCCVEGCSA-N 1 2 307.442 1.755 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)[N@H+](C)Cc1c(C)nnn1CC ZINC001329524952 863712999 /nfs/dbraw/zinc/71/29/99/863712999.db2.gz UTTJLARSHUMRQT-GFCCVEGCSA-N 1 2 307.442 1.755 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(C2CCC2)no1 ZINC001153148516 863732875 /nfs/dbraw/zinc/73/28/75/863732875.db2.gz FIQQIHCMHMRZDN-LLVKDONJSA-N 1 2 308.382 1.134 20 30 DDEDLO C=CCOCC[NH+]1CC(CCO)(NC(=O)[C@@H]2CC[C@H](C)C2)C1 ZINC001329706038 863802113 /nfs/dbraw/zinc/80/21/13/863802113.db2.gz UFPYDQDGCORYNI-LSDHHAIUSA-N 1 2 310.438 1.178 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)cn1 ZINC001153331595 863831432 /nfs/dbraw/zinc/83/14/32/863831432.db2.gz IGLJHIJXJQTSGO-HUUCEWRRSA-N 1 2 318.421 1.150 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)cn1 ZINC001153331595 863831439 /nfs/dbraw/zinc/83/14/39/863831439.db2.gz IGLJHIJXJQTSGO-HUUCEWRRSA-N 1 2 318.421 1.150 20 30 DDEDLO Cc1ccc(CC#N)c(N2CC([NH+]3C[C@H](C)O[C@@H](C)C3)C2)n1 ZINC001158727006 864860078 /nfs/dbraw/zinc/86/00/78/864860078.db2.gz JNPQVENNGGGERQ-KBPBESRZSA-N 1 2 300.406 1.754 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC001331232429 864956395 /nfs/dbraw/zinc/95/63/95/864956395.db2.gz HZFPQYXNLYFTJA-KBPBESRZSA-N 1 2 316.405 1.303 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)CCCn1cc[nH+]c1 ZINC001158955855 864992886 /nfs/dbraw/zinc/99/28/86/864992886.db2.gz VRRVBJMJIRQNNV-OAHLLOKOSA-N 1 2 303.410 1.500 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H](C)[NH2+]Cc2nnc(C)o2)c1 ZINC001331547390 865148760 /nfs/dbraw/zinc/14/87/60/865148760.db2.gz NHWZZIVHISNKRJ-NSHDSACASA-N 1 2 313.361 1.005 20 30 DDEDLO C[C@H]([NH2+]CCN1CCC(CO)CC1)c1cccc(C#N)c1O ZINC001331907240 865425723 /nfs/dbraw/zinc/42/57/23/865425723.db2.gz HERZYKHNTUXKOZ-ZDUSSCGKSA-N 1 2 303.406 1.619 20 30 DDEDLO C[C@H]1CN(O)C(=O)[C@H]1Nc1cc(N2CCC[C@H](C)C2)nc[nH+]1 ZINC001160179038 865714874 /nfs/dbraw/zinc/71/48/74/865714874.db2.gz UJWXHMIZDYXHFL-MJVIPROJSA-N 1 2 305.382 1.361 20 30 DDEDLO C[C@H]1CN(O)C(=O)[C@H]1Nc1cc(N2CCC[C@H](C)C2)[nH+]cn1 ZINC001160179038 865714878 /nfs/dbraw/zinc/71/48/78/865714878.db2.gz UJWXHMIZDYXHFL-MJVIPROJSA-N 1 2 305.382 1.361 20 30 DDEDLO Cc1nc(-n2cncn2)cc(NCCOc2ccc(C#N)cc2)[nH+]1 ZINC001160287631 865764120 /nfs/dbraw/zinc/76/41/20/865764120.db2.gz QOSMJZPSRWIKOQ-UHFFFAOYSA-N 1 2 321.344 1.728 20 30 DDEDLO CC[C@H]([NH2+]Cc1nnc2n1CCOC2)c1cccc(C#N)c1O ZINC001332435848 865839121 /nfs/dbraw/zinc/83/91/21/865839121.db2.gz ZMGSRFXSAUXDDP-ZDUSSCGKSA-N 1 2 313.361 1.626 20 30 DDEDLO CC(C)N(CC[N@H+](C)CC(=O)NCC#N)C(=O)C1CC(C)(C)C1 ZINC001332700414 866079019 /nfs/dbraw/zinc/07/90/19/866079019.db2.gz UPFIZDJKDWHMRU-UHFFFAOYSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)N(CC[N@@H+](C)CC(=O)NCC#N)C(=O)C1CC(C)(C)C1 ZINC001332700414 866079031 /nfs/dbraw/zinc/07/90/31/866079031.db2.gz UPFIZDJKDWHMRU-UHFFFAOYSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C1CC[NH+](Cc2cnon2)CC1 ZINC001332984236 866310668 /nfs/dbraw/zinc/31/06/68/866310668.db2.gz BYNPNSNOGUSIGA-ZDUSSCGKSA-N 1 2 304.394 1.446 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccn(C(C)(C)C)n1 ZINC001323240228 866460902 /nfs/dbraw/zinc/46/09/02/866460902.db2.gz BFJDEZJHXCDKGH-AWEZNQCLSA-N 1 2 318.421 1.092 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccn(C(C)(C)C)n1 ZINC001323240228 866460910 /nfs/dbraw/zinc/46/09/10/866460910.db2.gz BFJDEZJHXCDKGH-AWEZNQCLSA-N 1 2 318.421 1.092 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)CCCc2nc(CCC)no2)C1 ZINC001324017857 867011566 /nfs/dbraw/zinc/01/15/66/867011566.db2.gz PWMYZJCRYILIMN-UHFFFAOYSA-N 1 2 306.410 1.673 20 30 DDEDLO C#CCN(C(=O)CCC)C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001324364947 867231260 /nfs/dbraw/zinc/23/12/60/867231260.db2.gz ONDCRRUWFBPVHT-UHFFFAOYSA-N 1 2 302.422 1.646 20 30 DDEDLO C=CCCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2cn(CC)nn2)C1 ZINC001324645095 867424304 /nfs/dbraw/zinc/42/43/04/867424304.db2.gz AUQOGBLMNZPAJI-INIZCTEOSA-N 1 2 305.426 1.735 20 30 DDEDLO C=CCCCC(=O)N[C@@]1(C)CC[N@H+](Cc2cn(CC)nn2)C1 ZINC001324645095 867424319 /nfs/dbraw/zinc/42/43/19/867424319.db2.gz AUQOGBLMNZPAJI-INIZCTEOSA-N 1 2 305.426 1.735 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)Nc1ccc([N+](=O)[O-])c(N)c1C#N ZINC001162449792 867542355 /nfs/dbraw/zinc/54/23/55/867542355.db2.gz BGJHDHHAEDMZEO-LLVKDONJSA-N 1 2 318.381 1.096 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cc3ccncc3s2)C1 ZINC001325224089 867873658 /nfs/dbraw/zinc/87/36/58/867873658.db2.gz CCMULRHGCLCFRA-UHFFFAOYSA-N 1 2 315.398 1.096 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001325285223 867914991 /nfs/dbraw/zinc/91/49/91/867914991.db2.gz MIWIAXRCPOBFAI-AEFFLSMTSA-N 1 2 314.429 1.919 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C[NH2+][C@H](C)c2csnn2)nc1 ZINC001322050065 867959403 /nfs/dbraw/zinc/95/94/03/867959403.db2.gz MFTZLYSTIZSLPO-WDEREUQCSA-N 1 2 315.402 1.384 20 30 DDEDLO C=C[C@H](COC)NC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC001325633794 868201806 /nfs/dbraw/zinc/20/18/06/868201806.db2.gz BJQXXPDAFDUIKW-UONOGXRCSA-N 1 2 306.410 1.585 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[N@@H+](Cc3nncs3)C[C@@H]2C1 ZINC001325741247 868310975 /nfs/dbraw/zinc/31/09/75/868310975.db2.gz WMAGFPROMQDHPM-BETUJISGSA-N 1 2 306.435 1.785 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[N@H+](Cc3nncs3)C[C@@H]2C1 ZINC001325741247 868310990 /nfs/dbraw/zinc/31/09/90/868310990.db2.gz WMAGFPROMQDHPM-BETUJISGSA-N 1 2 306.435 1.785 20 30 DDEDLO C#CCCC1(CCNc2cc(N3CCOCC3)nc[nH+]2)N=N1 ZINC001163377847 868405624 /nfs/dbraw/zinc/40/56/24/868405624.db2.gz OBBQUHUWOSKLNB-UHFFFAOYSA-N 1 2 300.366 1.691 20 30 DDEDLO C#CCCC1(CCNc2cc(N3CCOCC3)[nH+]cn2)N=N1 ZINC001163377847 868405636 /nfs/dbraw/zinc/40/56/36/868405636.db2.gz OBBQUHUWOSKLNB-UHFFFAOYSA-N 1 2 300.366 1.691 20 30 DDEDLO C=CCCC(=O)N1CCC(N(C)C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001336421091 868936207 /nfs/dbraw/zinc/93/62/07/868936207.db2.gz ONRIBIOELZAMSP-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCC(=O)N1CCC(N(C)C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001336421091 868936218 /nfs/dbraw/zinc/93/62/18/868936218.db2.gz ONRIBIOELZAMSP-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO Cc1nc(C(F)(F)N2CC[NH2+]C[C@H]2C#N)nc(Cl)c1C ZINC001164652506 869342382 /nfs/dbraw/zinc/34/23/82/869342382.db2.gz RKVKBRGFJKQACE-SECBINFHSA-N 1 2 301.728 1.594 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]cn2C)[C@H]1C ZINC001337982836 869727560 /nfs/dbraw/zinc/72/75/60/869727560.db2.gz GNIALQNNIPVZMU-GXTWGEPZSA-N 1 2 318.421 1.280 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)[C@H]1C ZINC001338269052 869895730 /nfs/dbraw/zinc/89/57/30/869895730.db2.gz YFZHUJOLBCSIOP-LSDHHAIUSA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2ccncc2Cl)C1 ZINC001316975135 870034784 /nfs/dbraw/zinc/03/47/84/870034784.db2.gz XUKXGPAKMVHCHJ-ZDUSSCGKSA-N 1 2 309.797 1.628 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2ccncc2Cl)C1 ZINC001316975135 870034793 /nfs/dbraw/zinc/03/47/93/870034793.db2.gz XUKXGPAKMVHCHJ-ZDUSSCGKSA-N 1 2 309.797 1.628 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001316982412 870058832 /nfs/dbraw/zinc/05/88/32/870058832.db2.gz VWEWDBBXLYGHJL-XUWVNRHRSA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001316982412 870058842 /nfs/dbraw/zinc/05/88/42/870058842.db2.gz VWEWDBBXLYGHJL-XUWVNRHRSA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)C[C@@H](C)CC ZINC001338774090 870160213 /nfs/dbraw/zinc/16/02/13/870160213.db2.gz RJNNDWSPVFSKPE-MJBXVCDLSA-N 1 2 307.442 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)C[C@@H](C)CC ZINC001338774090 870160223 /nfs/dbraw/zinc/16/02/23/870160223.db2.gz RJNNDWSPVFSKPE-MJBXVCDLSA-N 1 2 307.442 1.684 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([NH2+]Cc2nc(CCOC)no2)C1 ZINC001317057703 870179065 /nfs/dbraw/zinc/17/90/65/870179065.db2.gz ZZBQTTULLWOPIR-ZDUSSCGKSA-N 1 2 322.409 1.305 20 30 DDEDLO C#CCN(CC)c1nnc(C[NH+]2CCC(CO)CC2)n1CC=C ZINC001338833339 870194808 /nfs/dbraw/zinc/19/48/08/870194808.db2.gz BFVKCDLYSZSHPI-UHFFFAOYSA-N 1 2 317.437 1.128 20 30 DDEDLO C=CCn1c(N(C)CC(=C)C)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001339069207 870329291 /nfs/dbraw/zinc/32/92/91/870329291.db2.gz MKJNPNNPHDTMQO-CZUORRHYSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCn1c(N(C)CC(=C)C)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001339069207 870329310 /nfs/dbraw/zinc/32/93/10/870329310.db2.gz MKJNPNNPHDTMQO-CZUORRHYSA-N 1 2 305.426 1.388 20 30 DDEDLO CSc1cc[nH+]c(N2CCN(c3nccnc3C#N)CC2)c1 ZINC001166921564 870376439 /nfs/dbraw/zinc/37/64/39/870376439.db2.gz MFASCEKFMPMXMC-UHFFFAOYSA-N 1 2 312.402 1.792 20 30 DDEDLO C=CCn1c(N2CC[C@H](CF)C2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001339195581 870398848 /nfs/dbraw/zinc/39/88/48/870398848.db2.gz HNADKXHNTAULRU-IOASZLSFSA-N 1 2 323.416 1.172 20 30 DDEDLO C=CCn1c(N2CC[C@H](CF)C2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001339195581 870398869 /nfs/dbraw/zinc/39/88/69/870398869.db2.gz HNADKXHNTAULRU-IOASZLSFSA-N 1 2 323.416 1.172 20 30 DDEDLO C=CCn1c(N(C)CCC2CC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001339198534 870401202 /nfs/dbraw/zinc/40/12/02/870401202.db2.gz YJKGLZFBBUMMQZ-WMLDXEAASA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N(C)CCC2CC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001339198534 870401207 /nfs/dbraw/zinc/40/12/07/870401207.db2.gz YJKGLZFBBUMMQZ-WMLDXEAASA-N 1 2 319.453 1.612 20 30 DDEDLO C#CC1CCN(c2nnc([C@@H]3C[N@H+](C(C)C)CCO3)n2C)CC1 ZINC001339284177 870436352 /nfs/dbraw/zinc/43/63/52/870436352.db2.gz TXOHXSCYXDFCLU-HNNXBMFYSA-N 1 2 317.437 1.446 20 30 DDEDLO C#CC1CCN(c2nnc([C@@H]3C[N@@H+](C(C)C)CCO3)n2C)CC1 ZINC001339284177 870436357 /nfs/dbraw/zinc/43/63/57/870436357.db2.gz TXOHXSCYXDFCLU-HNNXBMFYSA-N 1 2 317.437 1.446 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3coc(C#N)c3)n2CC)CC1 ZINC001339578050 870564706 /nfs/dbraw/zinc/56/47/06/870564706.db2.gz DXDRRHWQADEYMR-UHFFFAOYSA-N 1 2 310.361 1.185 20 30 DDEDLO C#CCC(C)(C)c1nnc(N(C)CC[NH+]2CCOCC2)n1CC ZINC001339663814 870615688 /nfs/dbraw/zinc/61/56/88/870615688.db2.gz CAUOOKXZIKZNLP-UHFFFAOYSA-N 1 2 319.453 1.367 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC1CC[NH+](Cc2ccon2)CC1 ZINC001226447844 882436548 /nfs/dbraw/zinc/43/65/48/882436548.db2.gz QPTNJNKSGPJUEW-ZDUSSCGKSA-N 1 2 307.394 1.736 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(F)cc3C)n2C)CC1 ZINC001339838140 870725628 /nfs/dbraw/zinc/72/56/28/870725628.db2.gz QXXGSRRWLYMYRJ-UHFFFAOYSA-N 1 2 313.380 1.685 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)Cc1ccon1 ZINC001339880929 870758378 /nfs/dbraw/zinc/75/83/78/870758378.db2.gz YPYIPJMGWKQRIL-UHFFFAOYSA-N 1 2 313.365 1.413 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(OC(C)=O)c(OC)c2)CC1 ZINC001203830002 870781988 /nfs/dbraw/zinc/78/19/88/870781988.db2.gz LYXAIEMUQNWSLQ-UHFFFAOYSA-N 1 2 302.374 1.371 20 30 DDEDLO C#CCN1CC[NH+](Cc2ccc(OC(C)=O)c(OC)c2)CC1 ZINC001203830002 870781993 /nfs/dbraw/zinc/78/19/93/870781993.db2.gz LYXAIEMUQNWSLQ-UHFFFAOYSA-N 1 2 302.374 1.371 20 30 DDEDLO Cc1ccc(C[NH+]2CC(CCO)(NC(=O)[C@@H](C)C#N)C2)cc1C ZINC001381666370 882447020 /nfs/dbraw/zinc/44/70/20/882447020.db2.gz YDQGJMJTMQBFGN-HNNXBMFYSA-N 1 2 315.417 1.516 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001299091000 870889874 /nfs/dbraw/zinc/88/98/74/870889874.db2.gz SGYIRGJJMWAXRS-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)Cn1nnnc1N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC001340221964 870967978 /nfs/dbraw/zinc/96/79/78/870967978.db2.gz UQJAADZRHHQZOC-UHFFFAOYSA-N 1 2 302.382 1.906 20 30 DDEDLO CC#CCCCC(=O)NCC[N@H+](Cc1ccnn1C)C1CC1 ZINC001317554897 871063409 /nfs/dbraw/zinc/06/34/09/871063409.db2.gz KZABYVRJZFZSRA-UHFFFAOYSA-N 1 2 302.422 1.694 20 30 DDEDLO CC#CCCCC(=O)NCC[N@@H+](Cc1ccnn1C)C1CC1 ZINC001317554897 871063429 /nfs/dbraw/zinc/06/34/29/871063429.db2.gz KZABYVRJZFZSRA-UHFFFAOYSA-N 1 2 302.422 1.694 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CCN1Cc1[nH]c[nH+]c1C ZINC001204588840 871165655 /nfs/dbraw/zinc/16/56/55/871165655.db2.gz BZTJJPBURHKDTP-CQSZACIVSA-N 1 2 302.382 1.149 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)C2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001340703722 871294519 /nfs/dbraw/zinc/29/45/19/871294519.db2.gz ZMMTYCGNFKRDLA-ZENOOKHLSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)C2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001340703722 871294534 /nfs/dbraw/zinc/29/45/34/871294534.db2.gz ZMMTYCGNFKRDLA-ZENOOKHLSA-N 1 2 305.426 1.222 20 30 DDEDLO CCCN(C(=O)CC1CCC1)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001317755462 871467015 /nfs/dbraw/zinc/46/70/15/871467015.db2.gz VGUODCQQXUBDQZ-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO CCCN(C(=O)CC1CCC1)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001317755462 871467048 /nfs/dbraw/zinc/46/70/48/871467048.db2.gz VGUODCQQXUBDQZ-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)CCc2cccnc2)CC1 ZINC001317819081 871544249 /nfs/dbraw/zinc/54/42/49/871544249.db2.gz PKYXHIAMOCICEA-UHFFFAOYSA-N 1 2 316.449 1.276 20 30 DDEDLO C=CCCC(=O)N(C)CCN1CC[NH+](CCC(F)(F)F)CC1 ZINC001317817248 871544824 /nfs/dbraw/zinc/54/48/24/871544824.db2.gz YWQMIUPUURBQRA-UHFFFAOYSA-N 1 2 321.387 1.981 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)o2)C1 ZINC000756003077 871589758 /nfs/dbraw/zinc/58/97/58/871589758.db2.gz QTROGJJRMYQYNF-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)o2)C1 ZINC000756003077 871589768 /nfs/dbraw/zinc/58/97/68/871589768.db2.gz QTROGJJRMYQYNF-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cl)cn1C ZINC001318205317 871827881 /nfs/dbraw/zinc/82/78/81/871827881.db2.gz DLNXIEQIDGFCCI-KBPBESRZSA-N 1 2 321.808 1.227 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cl)cn1C ZINC001318205317 871827893 /nfs/dbraw/zinc/82/78/93/871827893.db2.gz DLNXIEQIDGFCCI-KBPBESRZSA-N 1 2 321.808 1.227 20 30 DDEDLO C[C@H](C#N)C(=O)NC1CC[NH+]([C@@H](C)c2nc(C3CC3)no2)CC1 ZINC001226648873 882564893 /nfs/dbraw/zinc/56/48/93/882564893.db2.gz OOCBBANONYXCBC-MNOVXSKESA-N 1 2 317.393 1.748 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COCCOCC)[C@H]1C ZINC001316800041 872060055 /nfs/dbraw/zinc/06/00/55/872060055.db2.gz PYYWHICQQALDGQ-OLZOCXBDSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COCCOCC)[C@H]1C ZINC001316800041 872060075 /nfs/dbraw/zinc/06/00/75/872060075.db2.gz PYYWHICQQALDGQ-OLZOCXBDSA-N 1 2 304.818 1.371 20 30 DDEDLO C=CCn1c(N(C)C[C@H](C)CC)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001342386512 872186314 /nfs/dbraw/zinc/18/63/14/872186314.db2.gz VLPBPRLYDFWWKL-CKEIUWERSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(C)C[C@H](C)CC)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001342386512 872186321 /nfs/dbraw/zinc/18/63/21/872186321.db2.gz VLPBPRLYDFWWKL-CKEIUWERSA-N 1 2 321.469 1.858 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3cccc(C#N)c3)CC2)cn1 ZINC001206427219 872310547 /nfs/dbraw/zinc/31/05/47/872310547.db2.gz ZYAIJENNFFHPCP-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2conc2C)C1 ZINC001316943899 872431374 /nfs/dbraw/zinc/43/13/74/872431374.db2.gz JPTCDIXAJYCILI-DZGCQCFKSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2conc2C)C1 ZINC001316943899 872431383 /nfs/dbraw/zinc/43/13/83/872431383.db2.gz JPTCDIXAJYCILI-DZGCQCFKSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NC(C)C)C1 ZINC001316947264 872443413 /nfs/dbraw/zinc/44/34/13/872443413.db2.gz JRQYKBYGVXTLEM-INIZCTEOSA-N 1 2 321.465 1.675 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NC(C)C)C1 ZINC001316947264 872443419 /nfs/dbraw/zinc/44/34/19/872443419.db2.gz JRQYKBYGVXTLEM-INIZCTEOSA-N 1 2 321.465 1.675 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1C[N@H+](CCOC(C)C)CCO1 ZINC001319322836 872571450 /nfs/dbraw/zinc/57/14/50/872571450.db2.gz QGTIEMQXRLCPEE-OAHLLOKOSA-N 1 2 312.454 1.831 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1C[N@@H+](CCOC(C)C)CCO1 ZINC001319322836 872571455 /nfs/dbraw/zinc/57/14/55/872571455.db2.gz QGTIEMQXRLCPEE-OAHLLOKOSA-N 1 2 312.454 1.831 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N1CCO[C@@H](C#N)C1 ZINC001343457637 872631249 /nfs/dbraw/zinc/63/12/49/872631249.db2.gz QNGXKOBDGYNQNI-KBPBESRZSA-N 1 2 316.409 1.350 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CCO[C@@H](C#N)C1 ZINC001343457637 872631252 /nfs/dbraw/zinc/63/12/52/872631252.db2.gz QNGXKOBDGYNQNI-KBPBESRZSA-N 1 2 316.409 1.350 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2coc(C)n2)C[C@H]1C ZINC001206915706 872761403 /nfs/dbraw/zinc/76/14/03/872761403.db2.gz HWCTZHOMSAWGTE-DJSGYFEHSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2coc(C)n2)C[C@H]1C ZINC001206915706 872761406 /nfs/dbraw/zinc/76/14/06/872761406.db2.gz HWCTZHOMSAWGTE-DJSGYFEHSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001344051925 872845890 /nfs/dbraw/zinc/84/58/90/872845890.db2.gz LJYGFEKOVYJRPC-CHWSQXEVSA-N 1 2 304.394 1.462 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001344236429 872960512 /nfs/dbraw/zinc/96/05/12/872960512.db2.gz OIEYHTGEPFGOAG-AHIWAGSCSA-N 1 2 318.421 1.566 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@H]1CCCO1 ZINC001345322677 873355013 /nfs/dbraw/zinc/35/50/13/873355013.db2.gz SVUTUTUWGSLICS-ZIAGYGMSSA-N 1 2 303.410 1.293 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@H]1CCCO1 ZINC001345322677 873355026 /nfs/dbraw/zinc/35/50/26/873355026.db2.gz SVUTUTUWGSLICS-ZIAGYGMSSA-N 1 2 303.410 1.293 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@H]1CCOC1 ZINC001345414698 873396129 /nfs/dbraw/zinc/39/61/29/873396129.db2.gz SHURCTILAFOXDN-KGLIPLIRSA-N 1 2 305.426 1.704 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@H]1CCOC1 ZINC001345414698 873396143 /nfs/dbraw/zinc/39/61/43/873396143.db2.gz SHURCTILAFOXDN-KGLIPLIRSA-N 1 2 305.426 1.704 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001207945113 873679506 /nfs/dbraw/zinc/67/95/06/873679506.db2.gz XCHVBPWYNRTRMA-CQSZACIVSA-N 1 2 316.405 1.831 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2CC)C[C@H]1C ZINC001208389034 874100073 /nfs/dbraw/zinc/10/00/73/874100073.db2.gz XNRIHXRMGHKDES-SQWLQELKSA-N 1 2 319.453 1.837 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2CC)C[C@H]1C ZINC001208389034 874100078 /nfs/dbraw/zinc/10/00/78/874100078.db2.gz XNRIHXRMGHKDES-SQWLQELKSA-N 1 2 319.453 1.837 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)CCCn2cccn2)C1 ZINC001378184346 874360409 /nfs/dbraw/zinc/36/04/09/874360409.db2.gz CHLRAKZDLAQZFC-AWEZNQCLSA-N 1 2 310.829 1.949 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)CCCn2cccn2)C1 ZINC001378184346 874360424 /nfs/dbraw/zinc/36/04/24/874360424.db2.gz CHLRAKZDLAQZFC-AWEZNQCLSA-N 1 2 310.829 1.949 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C[NH2+]Cc1nnc(CC)o1)C1CC1 ZINC001276634951 874827417 /nfs/dbraw/zinc/82/74/17/874827417.db2.gz YKFVIDLALFPSJU-GFCCVEGCSA-N 1 2 306.410 1.829 20 30 DDEDLO CC(C)[C@@H]1CC[C@@H](C)C[C@H]1OC(=O)CN1CC[NH2+]C[C@H]1C#N ZINC001210122987 875236017 /nfs/dbraw/zinc/23/60/17/875236017.db2.gz XGVDEHXGSROKBH-LVQVYYBASA-N 1 2 307.438 1.788 20 30 DDEDLO Cc1noc([C@@H](C)[NH+]2CCC(NC(=O)C#CC(C)C)CC2)n1 ZINC001227221814 882916698 /nfs/dbraw/zinc/91/66/98/882916698.db2.gz ZSYGCKVFXJWKOD-GFCCVEGCSA-N 1 2 304.394 1.679 20 30 DDEDLO CCc1noc([C@@H](C)[NH+]2CCC(NC(=O)C#CC3CC3)CC2)n1 ZINC001227273929 882946807 /nfs/dbraw/zinc/94/68/07/882946807.db2.gz YJZFBUYGEOHBIS-GFCCVEGCSA-N 1 2 316.405 1.687 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](Cc1[nH+]ccn1C)c1ccccc1 ZINC001351044060 876033857 /nfs/dbraw/zinc/03/38/57/876033857.db2.gz CMOSHZGNRLUZTB-ZBFHGGJFSA-N 1 2 311.385 1.858 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001351091606 876051463 /nfs/dbraw/zinc/05/14/63/876051463.db2.gz GIPYLSLDDVEGBU-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO Cc1nnc(C[NH2+][C@@H]2CN(C(=O)C#CC(C)(C)C)C[C@H]2C)o1 ZINC001214589720 876408695 /nfs/dbraw/zinc/40/86/95/876408695.db2.gz PLXMYZDMTOJHFT-DGCLKSJQSA-N 1 2 304.394 1.364 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+]([C@H](C)c3cnccn3)C[C@@H]21 ZINC001217503667 877214249 /nfs/dbraw/zinc/21/42/49/877214249.db2.gz JUHNJLJWUJALTC-VNQPRFMTSA-N 1 2 316.405 1.415 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+]([C@H](C)c3cnccn3)C[C@@H]21 ZINC001217503667 877214268 /nfs/dbraw/zinc/21/42/68/877214268.db2.gz JUHNJLJWUJALTC-VNQPRFMTSA-N 1 2 316.405 1.415 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001353379474 877288209 /nfs/dbraw/zinc/28/82/09/877288209.db2.gz WLBBZNLOGVBBIR-BFHYXJOUSA-N 1 2 316.405 1.207 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](CC)CC(F)F)[C@H]2C1 ZINC001218100834 877394436 /nfs/dbraw/zinc/39/44/36/877394436.db2.gz CRJXRMCZZCTMLA-RWMBFGLXSA-N 1 2 300.349 1.213 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](CC)CC(F)F)[C@H]2C1 ZINC001218100834 877394448 /nfs/dbraw/zinc/39/44/48/877394448.db2.gz CRJXRMCZZCTMLA-RWMBFGLXSA-N 1 2 300.349 1.213 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219591640 878371567 /nfs/dbraw/zinc/37/15/67/878371567.db2.gz NZYOADODXVVIEK-CVEARBPZSA-N 1 2 318.804 1.415 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219591640 878371581 /nfs/dbraw/zinc/37/15/81/878371581.db2.gz NZYOADODXVVIEK-CVEARBPZSA-N 1 2 318.804 1.415 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cncs3)C[C@@H]2O)CCC1 ZINC001220295667 878893023 /nfs/dbraw/zinc/89/30/23/878893023.db2.gz WLMPZFNOTQSXEE-KGLIPLIRSA-N 1 2 321.446 1.551 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3cncs3)C[C@@H]2O)CCC1 ZINC001220295667 878893037 /nfs/dbraw/zinc/89/30/37/878893037.db2.gz WLMPZFNOTQSXEE-KGLIPLIRSA-N 1 2 321.446 1.551 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)C[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001287697598 912402110 /nfs/dbraw/zinc/40/21/10/912402110.db2.gz XCIDHDOKVLVCGH-CHWSQXEVSA-N 1 2 306.410 1.518 20 30 DDEDLO CC(C)[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220455497 879032044 /nfs/dbraw/zinc/03/20/44/879032044.db2.gz IITNLLDLVCDHJY-NXHRZFHOSA-N 1 2 314.429 1.492 20 30 DDEDLO CC(C)[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220455497 879032058 /nfs/dbraw/zinc/03/20/58/879032058.db2.gz IITNLLDLVCDHJY-NXHRZFHOSA-N 1 2 314.429 1.492 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]1(C)CCC[N@H+](Cc2cnns2)C1 ZINC001380458271 879692391 /nfs/dbraw/zinc/69/23/91/879692391.db2.gz BSZBEEDMUODKFG-FZMZJTMJSA-N 1 2 307.423 1.416 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]1(C)CCC[N@@H+](Cc2cnns2)C1 ZINC001380458271 879692396 /nfs/dbraw/zinc/69/23/96/879692396.db2.gz BSZBEEDMUODKFG-FZMZJTMJSA-N 1 2 307.423 1.416 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001357319494 879910793 /nfs/dbraw/zinc/91/07/93/879910793.db2.gz QCVPPMAXFRYMDR-UONOGXRCSA-N 1 2 304.394 1.250 20 30 DDEDLO C=CCCC(=O)NC/C=C/CNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001357655785 880119213 /nfs/dbraw/zinc/11/92/13/880119213.db2.gz YBTRHLVTKXFCKC-NNTXTVRGSA-N 1 2 316.405 1.200 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)[C@@H]2CCCOC2)C1 ZINC001380732784 880297784 /nfs/dbraw/zinc/29/77/84/880297784.db2.gz YGTBYSLDYJLVIF-HIFRSBDPSA-N 1 2 316.829 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)[C@@H]2CCCOC2)C1 ZINC001380732784 880297798 /nfs/dbraw/zinc/29/77/98/880297798.db2.gz YGTBYSLDYJLVIF-HIFRSBDPSA-N 1 2 316.829 1.109 20 30 DDEDLO C=CCOCC(=O)NCC1CC[NH+](Cc2nc(CC)no2)CC1 ZINC001222619555 880607167 /nfs/dbraw/zinc/60/71/67/880607167.db2.gz RQFGCWTXNSQOSK-UHFFFAOYSA-N 1 2 322.409 1.163 20 30 DDEDLO C=CCOCC(=O)NCC1CC[NH+](Cc2nnc(CC)o2)CC1 ZINC001222635667 880613326 /nfs/dbraw/zinc/61/33/26/880613326.db2.gz AWOXCHDVCMNFSD-UHFFFAOYSA-N 1 2 322.409 1.163 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCC[N@H+](C)[C@H](C)c1nc(C2CC2)no1 ZINC001380946765 880715086 /nfs/dbraw/zinc/71/50/86/880715086.db2.gz GFODIGFJLVHSDU-VXGBXAGGSA-N 1 2 319.409 1.948 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCC[N@@H+](C)[C@H](C)c1nc(C2CC2)no1 ZINC001380946765 880715095 /nfs/dbraw/zinc/71/50/95/880715095.db2.gz GFODIGFJLVHSDU-VXGBXAGGSA-N 1 2 319.409 1.948 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001358674806 880739414 /nfs/dbraw/zinc/73/94/14/880739414.db2.gz SVEAVTLAFOYLQO-UHFFFAOYSA-N 1 2 312.373 1.908 20 30 DDEDLO C#CCCCC(=O)N1CC[C@](C)(NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001358797203 880932737 /nfs/dbraw/zinc/93/27/37/880932737.db2.gz KNKHHQKNPZEBPH-KRWDZBQOSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCCCC(=O)N1CC[C@](C)(NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001358797203 880932742 /nfs/dbraw/zinc/93/27/42/880932742.db2.gz KNKHHQKNPZEBPH-KRWDZBQOSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnn(C)n3)[C@H]2C1 ZINC001223393635 880944368 /nfs/dbraw/zinc/94/43/68/880944368.db2.gz QBGNGBHCWBYQPP-ZBFHGGJFSA-N 1 2 317.437 1.594 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3cnn(C)n3)[C@H]2C1 ZINC001223393635 880944373 /nfs/dbraw/zinc/94/43/73/880944373.db2.gz QBGNGBHCWBYQPP-ZBFHGGJFSA-N 1 2 317.437 1.594 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@](C)(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001358828621 880969865 /nfs/dbraw/zinc/96/98/65/880969865.db2.gz MPOMNCGUUHXSCR-KRWDZBQOSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1nnn(C)n1 ZINC001228413680 883487761 /nfs/dbraw/zinc/48/77/61/883487761.db2.gz POLDOUPRHALQLO-IOASZLSFSA-N 1 2 320.441 1.329 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nc4cnccc4n3C3CC3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228601791 883568540 /nfs/dbraw/zinc/56/85/40/883568540.db2.gz WHLBTAIMATXNRX-BDOHNGBXSA-N 1 2 312.373 1.757 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nc4cnccc4n3C3CC3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228601791 883568552 /nfs/dbraw/zinc/56/85/52/883568552.db2.gz WHLBTAIMATXNRX-BDOHNGBXSA-N 1 2 312.373 1.757 20 30 DDEDLO C=CCCOCC(=O)N1CCC([N@H+](CC#N)CC2CC2)CC1 ZINC001277398286 884042918 /nfs/dbraw/zinc/04/29/18/884042918.db2.gz IOWMYXFSMWWRGD-UHFFFAOYSA-N 1 2 305.422 1.806 20 30 DDEDLO C=CCCOCC(=O)N1CCC([N@@H+](CC#N)CC2CC2)CC1 ZINC001277398286 884042935 /nfs/dbraw/zinc/04/29/35/884042935.db2.gz IOWMYXFSMWWRGD-UHFFFAOYSA-N 1 2 305.422 1.806 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2cc(C)on2)CC1 ZINC001230407561 884475393 /nfs/dbraw/zinc/47/53/93/884475393.db2.gz UDWOHFIRXQLKAM-ZDUSSCGKSA-N 1 2 307.394 1.655 20 30 DDEDLO COCC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccc(Cl)cc1 ZINC001230538875 884554844 /nfs/dbraw/zinc/55/48/44/884554844.db2.gz NONMXCGBVJFBHJ-MRXNPFEDSA-N 1 2 320.820 1.871 20 30 DDEDLO COCC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccc(Cl)cc1 ZINC001230538875 884554849 /nfs/dbraw/zinc/55/48/49/884554849.db2.gz NONMXCGBVJFBHJ-MRXNPFEDSA-N 1 2 320.820 1.871 20 30 DDEDLO N#CCSCC(=O)NCCC[N@@H+](CCF)Cc1cccnc1 ZINC001230674493 884730681 /nfs/dbraw/zinc/73/06/81/884730681.db2.gz BCJNLOLNFXXRKL-UHFFFAOYSA-N 1 2 324.425 1.616 20 30 DDEDLO N#CCSCC(=O)NCCC[N@H+](CCF)Cc1cccnc1 ZINC001230674493 884730690 /nfs/dbraw/zinc/73/06/90/884730690.db2.gz BCJNLOLNFXXRKL-UHFFFAOYSA-N 1 2 324.425 1.616 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccnn1C ZINC001231095076 885213907 /nfs/dbraw/zinc/21/39/07/885213907.db2.gz MRLNAHVXLNETFO-GOEBONIOSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccnn1C ZINC001231095076 885213924 /nfs/dbraw/zinc/21/39/24/885213924.db2.gz MRLNAHVXLNETFO-GOEBONIOSA-N 1 2 320.437 1.434 20 30 DDEDLO COC[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001231215442 885384899 /nfs/dbraw/zinc/38/48/99/885384899.db2.gz GRVRYVZHTRDMQK-QWHCGFSZSA-N 1 2 321.446 1.935 20 30 DDEDLO COC[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001231215442 885384918 /nfs/dbraw/zinc/38/49/18/885384918.db2.gz GRVRYVZHTRDMQK-QWHCGFSZSA-N 1 2 321.446 1.935 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CCCC(C)(C)C ZINC001231225625 885403474 /nfs/dbraw/zinc/40/34/74/885403474.db2.gz FXJUCZULTBEJQB-OAHLLOKOSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CCCC(C)(C)C ZINC001231225625 885403477 /nfs/dbraw/zinc/40/34/77/885403477.db2.gz FXJUCZULTBEJQB-OAHLLOKOSA-N 1 2 321.465 1.485 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1CCC1 ZINC001231413394 885642730 /nfs/dbraw/zinc/64/27/30/885642730.db2.gz RVALICIDRBGUBQ-DZGCQCFKSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC1CCC1 ZINC001231413394 885642743 /nfs/dbraw/zinc/64/27/43/885642743.db2.gz RVALICIDRBGUBQ-DZGCQCFKSA-N 1 2 307.438 1.400 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](CCOC(C)C)C2)cc1 ZINC001277635995 886183890 /nfs/dbraw/zinc/18/38/90/886183890.db2.gz DESRNQQMAUPPAQ-UHFFFAOYSA-N 1 2 300.402 1.849 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[NH+]1CCC2(CC1)CNC(=O)CO2 ZINC001232609620 886495987 /nfs/dbraw/zinc/49/59/87/886495987.db2.gz MRJSHGGKGXDGGO-UHFFFAOYSA-N 1 2 319.792 1.693 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2C[C@@H](OCC3CC3)[C@H]3COC[C@H]32)c1 ZINC001232675902 886525590 /nfs/dbraw/zinc/52/55/90/886525590.db2.gz FGGWXJBLSHBRBI-LZLYRXPVSA-N 1 2 314.385 1.890 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH2+][C@H](c3ccc(F)cc3)C2)cn1 ZINC001363558477 886631052 /nfs/dbraw/zinc/63/10/52/886631052.db2.gz KWRDJXWCSSWNFK-INIZCTEOSA-N 1 2 310.332 1.879 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C)cc2C#N)C1 ZINC001232968591 886700957 /nfs/dbraw/zinc/70/09/57/886700957.db2.gz KFFCOSUOFRCHSZ-MRXNPFEDSA-N 1 2 301.390 1.594 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C)cc2C#N)C1 ZINC001232968591 886700970 /nfs/dbraw/zinc/70/09/70/886700970.db2.gz KFFCOSUOFRCHSZ-MRXNPFEDSA-N 1 2 301.390 1.594 20 30 DDEDLO C=CCCC[N@@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001277759747 886782359 /nfs/dbraw/zinc/78/23/59/886782359.db2.gz JVIQWTUWFAZFBU-UHFFFAOYSA-N 1 2 313.405 1.720 20 30 DDEDLO C=CCCC[N@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001277759747 886782375 /nfs/dbraw/zinc/78/23/75/886782375.db2.gz JVIQWTUWFAZFBU-UHFFFAOYSA-N 1 2 313.405 1.720 20 30 DDEDLO COc1ccc(N2CC3(CC[N@@H+]3Cc3cc(C#N)n(C)c3)C2)cn1 ZINC001277777007 886850837 /nfs/dbraw/zinc/85/08/37/886850837.db2.gz XXHGOVKHUIGNIO-UHFFFAOYSA-N 1 2 323.400 1.765 20 30 DDEDLO COc1ccc(N2CC3(CC[N@H+]3Cc3cc(C#N)n(C)c3)C2)cn1 ZINC001277777007 886850856 /nfs/dbraw/zinc/85/08/56/886850856.db2.gz XXHGOVKHUIGNIO-UHFFFAOYSA-N 1 2 323.400 1.765 20 30 DDEDLO COc1ncccc1N1CC2(CC[N@@H+]2Cc2cc(C#N)n(C)c2)C1 ZINC001277782018 886867878 /nfs/dbraw/zinc/86/78/78/886867878.db2.gz VJEVHOXMVCTTQF-UHFFFAOYSA-N 1 2 323.400 1.765 20 30 DDEDLO COc1ncccc1N1CC2(CC[N@H+]2Cc2cc(C#N)n(C)c2)C1 ZINC001277782018 886867895 /nfs/dbraw/zinc/86/78/95/886867895.db2.gz VJEVHOXMVCTTQF-UHFFFAOYSA-N 1 2 323.400 1.765 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)CC ZINC001233761469 887294215 /nfs/dbraw/zinc/29/42/15/887294215.db2.gz KMPYLWCJXAPUAI-OAHLLOKOSA-N 1 2 307.438 1.191 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)CC ZINC001233761469 887294229 /nfs/dbraw/zinc/29/42/29/887294229.db2.gz KMPYLWCJXAPUAI-OAHLLOKOSA-N 1 2 307.438 1.191 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@H](C)CN(C)C(=O)C#CC(C)(C)C)o1 ZINC001277820006 887483594 /nfs/dbraw/zinc/48/35/94/887483594.db2.gz UYZNVJMOEUZWKV-VXGBXAGGSA-N 1 2 306.410 1.925 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(OC)nc1 ZINC001233966030 887506716 /nfs/dbraw/zinc/50/67/16/887506716.db2.gz ICRXSUHDJRJMFP-MRXNPFEDSA-N 1 2 315.417 1.926 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(OC)nc1 ZINC001233966030 887506724 /nfs/dbraw/zinc/50/67/24/887506724.db2.gz ICRXSUHDJRJMFP-MRXNPFEDSA-N 1 2 315.417 1.926 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C1(C(F)(F)F)CCOCC1 ZINC001234414925 887949732 /nfs/dbraw/zinc/94/97/32/887949732.db2.gz QIZXUGJBKGUYKU-GFCCVEGCSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C1(C(F)(F)F)CCOCC1 ZINC001234414925 887949738 /nfs/dbraw/zinc/94/97/38/887949738.db2.gz QIZXUGJBKGUYKU-GFCCVEGCSA-N 1 2 318.339 1.511 20 30 DDEDLO Cc1cc(N2CC[NH+]([C@@H](C)C(=O)NC3CC3)CC2)ncc1C#N ZINC001364130008 887988291 /nfs/dbraw/zinc/98/82/91/887988291.db2.gz CLJVDUTWEXLSGP-ZDUSSCGKSA-N 1 2 313.405 1.051 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC(C)C ZINC001234509778 888039083 /nfs/dbraw/zinc/03/90/83/888039083.db2.gz PPXVUZUTDFVOPA-INIZCTEOSA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC(C)C ZINC001234509778 888039093 /nfs/dbraw/zinc/03/90/93/888039093.db2.gz PPXVUZUTDFVOPA-INIZCTEOSA-N 1 2 321.465 1.812 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234528552 888061178 /nfs/dbraw/zinc/06/11/78/888061178.db2.gz UFMLVMAEJRCJRO-CQSZACIVSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234528552 888061189 /nfs/dbraw/zinc/06/11/89/888061189.db2.gz UFMLVMAEJRCJRO-CQSZACIVSA-N 1 2 307.438 1.095 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001234538083 888070636 /nfs/dbraw/zinc/07/06/36/888070636.db2.gz VDVXBYNDVHOVTI-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001234538083 888070645 /nfs/dbraw/zinc/07/06/45/888070645.db2.gz VDVXBYNDVHOVTI-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1nccn1CC ZINC001235245188 888498720 /nfs/dbraw/zinc/49/87/20/888498720.db2.gz LJAKLDPSUYOXTJ-HNNXBMFYSA-N 1 2 322.453 1.822 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1nccn1CC ZINC001235245188 888498726 /nfs/dbraw/zinc/49/87/26/888498726.db2.gz LJAKLDPSUYOXTJ-HNNXBMFYSA-N 1 2 322.453 1.822 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C2)no1 ZINC001364510514 888804205 /nfs/dbraw/zinc/80/42/05/888804205.db2.gz OVJPHEZVBDKOPR-KGLIPLIRSA-N 1 2 311.345 1.266 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C2)no1 ZINC001364510514 888804221 /nfs/dbraw/zinc/80/42/21/888804221.db2.gz OVJPHEZVBDKOPR-KGLIPLIRSA-N 1 2 311.345 1.266 20 30 DDEDLO CC[C@H](C)C[N@@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001278131157 889801807 /nfs/dbraw/zinc/80/18/07/889801807.db2.gz FZTXRJOKTDHXKK-LBPRGKRZSA-N 1 2 315.421 1.990 20 30 DDEDLO CC[C@H](C)C[N@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001278131157 889801814 /nfs/dbraw/zinc/80/18/14/889801814.db2.gz FZTXRJOKTDHXKK-LBPRGKRZSA-N 1 2 315.421 1.990 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2cc(Cl)cc(C#N)c2)CCCO1 ZINC001237755434 889836028 /nfs/dbraw/zinc/83/60/28/889836028.db2.gz NWALDQQOKJAXEU-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2cc(Cl)cc(C#N)c2)CCCO1 ZINC001237755434 889836039 /nfs/dbraw/zinc/83/60/39/889836039.db2.gz NWALDQQOKJAXEU-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@@H+](Cc1c[nH]ccc1=O)CC2 ZINC001237837262 889877785 /nfs/dbraw/zinc/87/77/85/889877785.db2.gz MFLYWVPSRULRRQ-UHFFFAOYSA-N 1 2 314.389 1.369 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@H+](Cc1c[nH]ccc1=O)CC2 ZINC001237837262 889877792 /nfs/dbraw/zinc/87/77/92/889877792.db2.gz MFLYWVPSRULRRQ-UHFFFAOYSA-N 1 2 314.389 1.369 20 30 DDEDLO COc1cc(CN2CC([N@@H+]3CCOC(C)(C)C3)C2)ccc1C#N ZINC001238409523 890201256 /nfs/dbraw/zinc/20/12/56/890201256.db2.gz MKZREKUAMASSDX-UHFFFAOYSA-N 1 2 315.417 1.862 20 30 DDEDLO COc1cc(CN2CC([N@H+]3CCOC(C)(C)C3)C2)ccc1C#N ZINC001238409523 890201267 /nfs/dbraw/zinc/20/12/67/890201267.db2.gz MKZREKUAMASSDX-UHFFFAOYSA-N 1 2 315.417 1.862 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCN1CCN(c2ccc(C#N)cc2)CC1 ZINC001365321775 890583232 /nfs/dbraw/zinc/58/32/32/890583232.db2.gz DLNRUWYHGCIIQB-INIZCTEOSA-N 1 2 314.433 1.401 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCN1CCN(c2ccc(C#N)cc2)CC1 ZINC001365321775 890583239 /nfs/dbraw/zinc/58/32/39/890583239.db2.gz DLNRUWYHGCIIQB-INIZCTEOSA-N 1 2 314.433 1.401 20 30 DDEDLO N#Cc1nccnc1-c1ccc(OCC[NH+]2CCOCC2)cc1 ZINC001240447205 890930884 /nfs/dbraw/zinc/93/08/84/890930884.db2.gz PPQDBEOCVSMMRV-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO N#CCC1(CS(=O)(=O)N2CCC(c3[nH]cc[nH+]3)CC2)CC1 ZINC001365679264 891302038 /nfs/dbraw/zinc/30/20/38/891302038.db2.gz WEMFSVYWRPGCCA-UHFFFAOYSA-N 1 2 308.407 1.613 20 30 DDEDLO C[NH+]1CCN(C(=O)c2ccnc(-c3ccncc3C#N)c2)CC1 ZINC001244332869 891834122 /nfs/dbraw/zinc/83/41/22/891834122.db2.gz SMNBXKTZGNMOFN-UHFFFAOYSA-N 1 2 307.357 1.403 20 30 DDEDLO C#CCOCCC(=O)N[C@]1(C)CC[N@@H+](Cc2ncc(CC)o2)C1 ZINC001278396056 891980984 /nfs/dbraw/zinc/98/09/84/891980984.db2.gz MAWPSYVXDWHKGR-QGZVFWFLSA-N 1 2 319.405 1.358 20 30 DDEDLO C#CCOCCC(=O)N[C@]1(C)CC[N@H+](Cc2ncc(CC)o2)C1 ZINC001278396056 891980990 /nfs/dbraw/zinc/98/09/90/891980990.db2.gz MAWPSYVXDWHKGR-QGZVFWFLSA-N 1 2 319.405 1.358 20 30 DDEDLO N#Cc1ccn2ncc(C[N@@H+]3CC[C@@H]4SC(=O)C=C4C3)c2c1 ZINC001249086998 893813072 /nfs/dbraw/zinc/81/30/72/893813072.db2.gz UQXATWBKIMMBIK-HNNXBMFYSA-N 1 2 310.382 1.980 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1nnn(C(C)C)c1C ZINC001366642059 894408518 /nfs/dbraw/zinc/40/85/18/894408518.db2.gz WIDMQNHXOWFWLI-UHFFFAOYSA-N 1 2 313.833 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1nnn(C(C)C)c1C ZINC001366642059 894408524 /nfs/dbraw/zinc/40/85/24/894408524.db2.gz WIDMQNHXOWFWLI-UHFFFAOYSA-N 1 2 313.833 1.972 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1C[C@H](O)CCCC ZINC001252086703 895007328 /nfs/dbraw/zinc/00/73/28/895007328.db2.gz QMGOARSPDQDARJ-ZBFHGGJFSA-N 1 2 322.453 1.951 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1C[C@H](O)CCCC ZINC001252086703 895007338 /nfs/dbraw/zinc/00/73/38/895007338.db2.gz QMGOARSPDQDARJ-ZBFHGGJFSA-N 1 2 322.453 1.951 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)CCc2nc(C)no2)C1 ZINC001367049797 895675288 /nfs/dbraw/zinc/67/52/88/895675288.db2.gz KAHZNGCECHQFIM-LBPRGKRZSA-N 1 2 312.801 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)CCc2nc(C)no2)C1 ZINC001367049797 895675293 /nfs/dbraw/zinc/67/52/93/895675293.db2.gz KAHZNGCECHQFIM-LBPRGKRZSA-N 1 2 312.801 1.596 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001367071316 895751846 /nfs/dbraw/zinc/75/18/46/895751846.db2.gz MFJIURINZJEDMZ-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001367071316 895751861 /nfs/dbraw/zinc/75/18/61/895751861.db2.gz MFJIURINZJEDMZ-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)C[C@H]2CCNC2=O)C1 ZINC001367075606 895771282 /nfs/dbraw/zinc/77/12/82/895771282.db2.gz QTDPHIMTXFEHIN-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)C[C@H]2CCNC2=O)C1 ZINC001367075606 895771298 /nfs/dbraw/zinc/77/12/98/895771298.db2.gz QTDPHIMTXFEHIN-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO N#Cc1ccccc1O[C@@H]1CCC[N@@H+]([C@@H]2CCS(=O)(=O)C2)C1 ZINC001254340987 896374552 /nfs/dbraw/zinc/37/45/52/896374552.db2.gz IUNTYGFTZKMMPZ-HUUCEWRRSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1ccccc1O[C@@H]1CCC[N@H+]([C@@H]2CCS(=O)(=O)C2)C1 ZINC001254340987 896374564 /nfs/dbraw/zinc/37/45/64/896374564.db2.gz IUNTYGFTZKMMPZ-HUUCEWRRSA-N 1 2 320.414 1.589 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)COCc2ccccc2C)C1 ZINC001278913598 897290454 /nfs/dbraw/zinc/29/04/54/897290454.db2.gz HLFSVNJXXBZSCY-UHFFFAOYSA-N 1 2 318.417 1.251 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001256532055 897465186 /nfs/dbraw/zinc/46/51/86/897465186.db2.gz GWVOLJUCWHUDPD-SJORKVTESA-N 1 2 315.417 1.327 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001256532055 897465196 /nfs/dbraw/zinc/46/51/96/897465196.db2.gz GWVOLJUCWHUDPD-SJORKVTESA-N 1 2 315.417 1.327 20 30 DDEDLO N#CC1CCC([NH+]2CC3(C2)[C@@H](CF)CCS3(=O)=O)CC1 ZINC001256972810 897652335 /nfs/dbraw/zinc/65/23/35/897652335.db2.gz HCWOHQGCEJNASP-OTTFEQOBSA-N 1 2 300.399 1.527 20 30 DDEDLO C[C@H]1C[NH+](CCc2nnc(C#Cc3ccncc3)o2)C[C@H](C)O1 ZINC001258047830 898034837 /nfs/dbraw/zinc/03/48/37/898034837.db2.gz UYCGBQZPDRPTBU-KBPBESRZSA-N 1 2 312.373 1.516 20 30 DDEDLO COc1cc(C[C@H](C)N2CC[NH2+]C[C@H]2C#N)cc(OC)c1OC ZINC001258867262 898342798 /nfs/dbraw/zinc/34/27/98/898342798.db2.gz OIBAXLRAUNYTNM-GXTWGEPZSA-N 1 2 319.405 1.441 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[NH+](CC[C@@H]2CC2(Cl)Cl)CC1 ZINC001261279488 899448169 /nfs/dbraw/zinc/44/81/69/899448169.db2.gz YTESGVJUMQSPNL-GHMZBOCLSA-N 1 2 304.221 1.874 20 30 DDEDLO C[C@H]1CO[C@H](C(=O)NCC[N@H+](C)Cc2ccc(C#N)cc2F)C1 ZINC001390793464 900197984 /nfs/dbraw/zinc/19/79/84/900197984.db2.gz OLQIFOHQEOVJRK-WBMJQRKESA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@H]1CO[C@H](C(=O)NCC[N@@H+](C)Cc2ccc(C#N)cc2F)C1 ZINC001390793464 900197989 /nfs/dbraw/zinc/19/79/89/900197989.db2.gz OLQIFOHQEOVJRK-WBMJQRKESA-N 1 2 319.380 1.670 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)C[C@@H]1CCOC[C@H]1OC ZINC001390917474 900510050 /nfs/dbraw/zinc/51/00/50/900510050.db2.gz YQIUYORRNPFQFS-UONOGXRCSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)C[C@@H]1CCOC[C@H]1OC ZINC001390917474 900510060 /nfs/dbraw/zinc/51/00/60/900510060.db2.gz YQIUYORRNPFQFS-UONOGXRCSA-N 1 2 318.845 1.619 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)C(C)C)n2CCOC)CC1 ZINC001263276007 900553846 /nfs/dbraw/zinc/55/38/46/900553846.db2.gz SCCFGGCCYUXKRI-HNNXBMFYSA-N 1 2 319.453 1.439 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N(C)CCOCC ZINC001263287607 900557847 /nfs/dbraw/zinc/55/78/47/900557847.db2.gz NITQNLWAALIFNB-UHFFFAOYSA-N 1 2 307.442 1.923 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@H](C)CC ZINC001263806488 900717789 /nfs/dbraw/zinc/71/77/89/900717789.db2.gz BPSXCZVLQGFCJR-HZPDHXFCSA-N 1 2 321.465 1.627 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@H](C)CC ZINC001263806488 900717799 /nfs/dbraw/zinc/71/77/99/900717799.db2.gz BPSXCZVLQGFCJR-HZPDHXFCSA-N 1 2 321.465 1.627 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)CCCOC ZINC001263816622 900729861 /nfs/dbraw/zinc/72/98/61/900729861.db2.gz FZKSHKCXUZWBLL-HOTGVXAUSA-N 1 2 310.438 1.232 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)CCCOC ZINC001263816622 900729866 /nfs/dbraw/zinc/72/98/66/900729866.db2.gz FZKSHKCXUZWBLL-HOTGVXAUSA-N 1 2 310.438 1.232 20 30 DDEDLO CCC[N@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1nccn1C ZINC001391128292 900988943 /nfs/dbraw/zinc/98/89/43/900988943.db2.gz ZHJOTGOSQJVYLH-UHFFFAOYSA-N 1 2 314.393 1.262 20 30 DDEDLO CCC[N@@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1nccn1C ZINC001391128292 900988955 /nfs/dbraw/zinc/98/89/55/900988955.db2.gz ZHJOTGOSQJVYLH-UHFFFAOYSA-N 1 2 314.393 1.262 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1(C)CCCC1 ZINC001264367254 901046372 /nfs/dbraw/zinc/04/63/72/901046372.db2.gz UYTOECQAWFNCIN-LSDHHAIUSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1(C)CCCC1 ZINC001264367254 901046381 /nfs/dbraw/zinc/04/63/81/901046381.db2.gz UYTOECQAWFNCIN-LSDHHAIUSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C(C)(C)CC)C1=O ZINC001264370537 901049943 /nfs/dbraw/zinc/04/99/43/901049943.db2.gz FEEFFSKDXSSYMW-HUUCEWRRSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C(C)(C)CC)C1=O ZINC001264370537 901049953 /nfs/dbraw/zinc/04/99/53/901049953.db2.gz FEEFFSKDXSSYMW-HUUCEWRRSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cnn(C)n2)[C@@H]1CC ZINC001264619677 901218277 /nfs/dbraw/zinc/21/82/77/901218277.db2.gz CVVUQAYUFXTZBQ-LSDHHAIUSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cnn(C)n2)[C@@H]1CC ZINC001264619677 901218288 /nfs/dbraw/zinc/21/82/88/901218288.db2.gz CVVUQAYUFXTZBQ-LSDHHAIUSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[N@H+](Cc3cnn(C)n3)CC[C@@H]2C1 ZINC001264650472 901249811 /nfs/dbraw/zinc/24/98/11/901249811.db2.gz RYNRUTILUXBDQV-CABCVRRESA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[N@@H+](Cc3cnn(C)n3)CC[C@@H]2C1 ZINC001264650472 901249826 /nfs/dbraw/zinc/24/98/26/901249826.db2.gz RYNRUTILUXBDQV-CABCVRRESA-N 1 2 317.437 1.452 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2cnc(C)s2)[C@H]1C ZINC001264691520 901290504 /nfs/dbraw/zinc/29/05/04/901290504.db2.gz SOFQLGCILYWLPD-YPMHNXCESA-N 1 2 307.419 1.294 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2cnc(C)s2)[C@H]1C ZINC001264691520 901290520 /nfs/dbraw/zinc/29/05/20/901290520.db2.gz SOFQLGCILYWLPD-YPMHNXCESA-N 1 2 307.419 1.294 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@H]1C[N@H+](C)Cc1cnnn1C ZINC001264740238 901319952 /nfs/dbraw/zinc/31/99/52/901319952.db2.gz KLFVIHUIPVVTBO-HNNXBMFYSA-N 1 2 317.437 1.287 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@H]1C[N@@H+](C)Cc1cnnn1C ZINC001264740238 901319959 /nfs/dbraw/zinc/31/99/59/901319959.db2.gz KLFVIHUIPVVTBO-HNNXBMFYSA-N 1 2 317.437 1.287 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@@H]1CC[N@H+](Cc2nn(C)cc2Cl)C1 ZINC001391293215 901410524 /nfs/dbraw/zinc/41/05/24/901410524.db2.gz JZYMRZZVAGPLIH-NWDGAFQWSA-N 1 2 323.828 1.561 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@@H]1CC[N@@H+](Cc2nn(C)cc2Cl)C1 ZINC001391293215 901410528 /nfs/dbraw/zinc/41/05/28/901410528.db2.gz JZYMRZZVAGPLIH-NWDGAFQWSA-N 1 2 323.828 1.561 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2c(C)cccc2C)C1 ZINC001265303736 901858131 /nfs/dbraw/zinc/85/81/31/901858131.db2.gz NWFHHBSJDTVVGC-HNNXBMFYSA-N 1 2 315.417 1.410 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2c(C)cccc2C)C1 ZINC001265303736 901858138 /nfs/dbraw/zinc/85/81/38/901858138.db2.gz NWFHHBSJDTVVGC-HNNXBMFYSA-N 1 2 315.417 1.410 20 30 DDEDLO CCCN(C(=O)CCc1c[nH]c[nH+]1)C1CCN(CC#N)CC1 ZINC001265338923 901902741 /nfs/dbraw/zinc/90/27/41/901902741.db2.gz PVZUNDLTTRMZQD-UHFFFAOYSA-N 1 2 303.410 1.569 20 30 DDEDLO CCCN(C(=O)CCc1c[nH+]c[nH]1)C1CCN(CC#N)CC1 ZINC001265338923 901902751 /nfs/dbraw/zinc/90/27/51/901902751.db2.gz PVZUNDLTTRMZQD-UHFFFAOYSA-N 1 2 303.410 1.569 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](N(C)C(=O)CCn2cc[nH+]c2)C1 ZINC001293639679 914599549 /nfs/dbraw/zinc/59/95/49/914599549.db2.gz DRQCAVBDAATSEB-AWEZNQCLSA-N 1 2 304.394 1.299 20 30 DDEDLO CCC(CC)CC(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001265655887 902262447 /nfs/dbraw/zinc/26/24/47/902262447.db2.gz RIUJAYPQSFHJEC-OAHLLOKOSA-N 1 2 322.453 1.423 20 30 DDEDLO CCC(CC)CC(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001265655887 902262466 /nfs/dbraw/zinc/26/24/66/902262466.db2.gz RIUJAYPQSFHJEC-OAHLLOKOSA-N 1 2 322.453 1.423 20 30 DDEDLO CC(C)CN(C(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H](C)C#N)C1)C(C)C ZINC001369973575 902320254 /nfs/dbraw/zinc/32/02/54/902320254.db2.gz ZTSOVIBGQWULMM-HUUCEWRRSA-N 1 2 322.453 1.230 20 30 DDEDLO CC(C)CN(C(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H](C)C#N)C1)C(C)C ZINC001369973575 902320266 /nfs/dbraw/zinc/32/02/66/902320266.db2.gz ZTSOVIBGQWULMM-HUUCEWRRSA-N 1 2 322.453 1.230 20 30 DDEDLO CCCC[C@@H](CNC(=O)Cc1[nH]c[nH+]c1C)NC(=O)[C@H](C)C#N ZINC001370064402 902478214 /nfs/dbraw/zinc/47/82/14/902478214.db2.gz WRNFNMCWTKJAIZ-YPMHNXCESA-N 1 2 319.409 1.212 20 30 DDEDLO CCc1nnc([C@@H](C)[NH2+][C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001265888910 902544872 /nfs/dbraw/zinc/54/48/72/902544872.db2.gz YZOUCNIXHIBECI-MNOVXSKESA-N 1 2 323.422 1.140 20 30 DDEDLO C=CCOCC(=O)N(C)C1CC[NH+]([C@@H](C)c2nnc(C)o2)CC1 ZINC001266177918 903067145 /nfs/dbraw/zinc/06/71/45/903067145.db2.gz RMMMFBLLHDLMPP-LBPRGKRZSA-N 1 2 322.409 1.564 20 30 DDEDLO C#CCCCC(=O)N1CCC(NC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001293764859 914687828 /nfs/dbraw/zinc/68/78/28/914687828.db2.gz GTSQYNHPHQHILX-UHFFFAOYSA-N 1 2 316.405 1.171 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C=C(CC)CC)C1 ZINC001266212447 903119791 /nfs/dbraw/zinc/11/97/91/903119791.db2.gz NOTRPXOAKSASSB-OAHLLOKOSA-N 1 2 305.422 1.063 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C=C(CC)CC)C1 ZINC001266212447 903119797 /nfs/dbraw/zinc/11/97/97/903119797.db2.gz NOTRPXOAKSASSB-OAHLLOKOSA-N 1 2 305.422 1.063 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H](CC[NH2+]Cc2nnn(C)n2)C1 ZINC001280207834 903550649 /nfs/dbraw/zinc/55/06/49/903550649.db2.gz OWGKADJZONHWTE-CYBMUJFWSA-N 1 2 320.441 1.141 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC([C@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001280656749 903889403 /nfs/dbraw/zinc/88/94/03/903889403.db2.gz ZDYJBQZPIVWIFJ-YVEFUNNKSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)Cc1c(C)n[nH]c1C)O2 ZINC001280937996 904215817 /nfs/dbraw/zinc/21/58/17/904215817.db2.gz YSPWTSSNVIZKIS-CQSZACIVSA-N 1 2 318.421 1.105 20 30 DDEDLO C[C@@H](CN(C)C(=O)C#CC1CC1)NC(=O)c1cccc2[nH+]ccn21 ZINC001281000798 904303773 /nfs/dbraw/zinc/30/37/73/904303773.db2.gz JPXJXIQJWQJLAI-ZDUSSCGKSA-N 1 2 324.384 1.324 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](NC(=O)Cc2c[nH+]cn2C)C[C@@H]1C ZINC001281179039 904533420 /nfs/dbraw/zinc/53/34/20/904533420.db2.gz ILWVFRJAXKFJLY-KBPBESRZSA-N 1 2 318.421 1.425 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](OCC)c1ccccc1 ZINC001316611209 904900747 /nfs/dbraw/zinc/90/07/47/904900747.db2.gz OIVGVIWFUBWRRJ-IAGOWNOFSA-N 1 2 300.402 1.930 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](OCC)c1ccccc1 ZINC001316611209 904900758 /nfs/dbraw/zinc/90/07/58/904900758.db2.gz OIVGVIWFUBWRRJ-IAGOWNOFSA-N 1 2 300.402 1.930 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@H]3CN(C)CC#N)ccn12 ZINC001281941207 905334310 /nfs/dbraw/zinc/33/43/10/905334310.db2.gz ZDZDLHPQESCZSP-HNNXBMFYSA-N 1 2 311.389 1.703 20 30 DDEDLO Cc1nnc(C[NH2+]C2(CNC(=O)c3cc(C#N)c[nH]3)CC2)s1 ZINC001392896247 905628390 /nfs/dbraw/zinc/62/83/90/905628390.db2.gz JUMOQVOPHCUWOF-UHFFFAOYSA-N 1 2 316.390 1.099 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C[C@H]2C1 ZINC001282287616 905665548 /nfs/dbraw/zinc/66/55/48/905665548.db2.gz TXARTWYMMFXEJC-KGLIPLIRSA-N 1 2 316.405 1.225 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2cncs2)C(C)(C)C1 ZINC001282792756 906044916 /nfs/dbraw/zinc/04/49/16/906044916.db2.gz WKPQVYLKYNWTGG-ZDUSSCGKSA-N 1 2 307.419 1.233 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2cncs2)C(C)(C)C1 ZINC001282792756 906044923 /nfs/dbraw/zinc/04/49/23/906044923.db2.gz WKPQVYLKYNWTGG-ZDUSSCGKSA-N 1 2 307.419 1.233 20 30 DDEDLO Cc1ncoc1C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001371941718 906057500 /nfs/dbraw/zinc/05/75/00/906057500.db2.gz MCJUBKKBTJKOAL-UHFFFAOYSA-N 1 2 316.336 1.856 20 30 DDEDLO Cc1ncoc1C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001371941718 906057510 /nfs/dbraw/zinc/05/75/10/906057510.db2.gz MCJUBKKBTJKOAL-UHFFFAOYSA-N 1 2 316.336 1.856 20 30 DDEDLO C=CC1(CC(=O)N[C@@H]2C[N@@H+](CC(N)=O)CC2(C)C)CCCCC1 ZINC001282834841 906085983 /nfs/dbraw/zinc/08/59/83/906085983.db2.gz YTUYFRPYAKZPGO-CQSZACIVSA-N 1 2 321.465 1.825 20 30 DDEDLO C=CC1(CC(=O)N[C@@H]2C[N@H+](CC(N)=O)CC2(C)C)CCCCC1 ZINC001282834841 906085990 /nfs/dbraw/zinc/08/59/90/906085990.db2.gz YTUYFRPYAKZPGO-CQSZACIVSA-N 1 2 321.465 1.825 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001283372178 907238496 /nfs/dbraw/zinc/23/84/96/907238496.db2.gz UPGTVQUISZBFKU-CQSZACIVSA-N 1 2 304.394 1.368 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001283372178 907238515 /nfs/dbraw/zinc/23/85/15/907238515.db2.gz UPGTVQUISZBFKU-CQSZACIVSA-N 1 2 304.394 1.368 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001283400134 907287645 /nfs/dbraw/zinc/28/76/45/907287645.db2.gz JJBFTHZSQDKEPA-DZGCQCFKSA-N 1 2 318.421 1.614 20 30 DDEDLO C[C@@H](CN(C)C(=O)CSCC#N)[NH2+]Cc1nc(C2CC2)no1 ZINC001283571032 907617176 /nfs/dbraw/zinc/61/71/76/907617176.db2.gz GNQUMTPPPZBCSY-JTQLQIEISA-N 1 2 323.422 1.140 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(C)CCCNC(=O)Cn1cc[nH+]c1 ZINC001283582005 907635306 /nfs/dbraw/zinc/63/53/06/907635306.db2.gz QGQGNUUTGQBLCP-UONOGXRCSA-N 1 2 306.410 1.306 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001283865306 908095569 /nfs/dbraw/zinc/09/55/69/908095569.db2.gz XLRSLXGVUQVNES-HUUCEWRRSA-N 1 2 318.421 1.908 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001284568722 909196123 /nfs/dbraw/zinc/19/61/23/909196123.db2.gz XKYHRJGRISHUOQ-VXGBXAGGSA-N 1 2 304.394 1.300 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001284568722 909196129 /nfs/dbraw/zinc/19/61/29/909196129.db2.gz XKYHRJGRISHUOQ-VXGBXAGGSA-N 1 2 304.394 1.300 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C1 ZINC001394297773 909292255 /nfs/dbraw/zinc/29/22/55/909292255.db2.gz ZDJPVWJMVUTTSV-JOYOIKCWSA-N 1 2 307.423 1.333 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C1 ZINC001394297773 909292270 /nfs/dbraw/zinc/29/22/70/909292270.db2.gz ZDJPVWJMVUTTSV-JOYOIKCWSA-N 1 2 307.423 1.333 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCc2nc(C)no2)C1 ZINC001373375305 909670655 /nfs/dbraw/zinc/67/06/55/909670655.db2.gz GFQKBWZEPXIOOZ-GFCCVEGCSA-N 1 2 312.801 1.644 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCc2nc(C)no2)C1 ZINC001373375305 909670671 /nfs/dbraw/zinc/67/06/71/909670671.db2.gz GFQKBWZEPXIOOZ-GFCCVEGCSA-N 1 2 312.801 1.644 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001285170636 910137314 /nfs/dbraw/zinc/13/73/14/910137314.db2.gz NVGCACAROWWWRW-HDJSIYSDSA-N 1 2 316.405 1.155 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@]2(NC(=O)[C@@H](C)C#N)CCC[C@H]12 ZINC001394689335 910296348 /nfs/dbraw/zinc/29/63/48/910296348.db2.gz ZJHDFLAZAFNDMG-VZJVUDMVSA-N 1 2 303.366 1.151 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@]2(NC(=O)[C@@H](C)C#N)CCC[C@H]12 ZINC001394689335 910296356 /nfs/dbraw/zinc/29/63/56/910296356.db2.gz ZJHDFLAZAFNDMG-VZJVUDMVSA-N 1 2 303.366 1.151 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[C@@H]1NC(=O)CCn1cc[nH+]c1 ZINC001285413798 910488914 /nfs/dbraw/zinc/48/89/14/910488914.db2.gz XTJPEUZDRSXIOG-CABCVRRESA-N 1 2 318.421 1.641 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001285645977 910958224 /nfs/dbraw/zinc/95/82/24/910958224.db2.gz SNUKGFPQYJOZMW-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)c1cccc2[nH+]ccn21 ZINC001285753814 911151656 /nfs/dbraw/zinc/15/16/56/911151656.db2.gz DSAZFFRJWKXAKB-LBPRGKRZSA-N 1 2 314.389 1.781 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1(NC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001285950082 911484775 /nfs/dbraw/zinc/48/47/75/911484775.db2.gz QVNICLQCQVPXEY-UHFFFAOYSA-N 1 2 318.421 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2cn[nH]n2)C[C@H]1C ZINC001377393404 921744352 /nfs/dbraw/zinc/74/43/52/921744352.db2.gz ODFLVXMMVNTWNZ-NEPJUHHUSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2cn[nH]n2)C[C@H]1C ZINC001377393404 921744370 /nfs/dbraw/zinc/74/43/70/921744370.db2.gz ODFLVXMMVNTWNZ-NEPJUHHUSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2c[nH]nn2)C[C@H]1C ZINC001377393404 921744383 /nfs/dbraw/zinc/74/43/83/921744383.db2.gz ODFLVXMMVNTWNZ-NEPJUHHUSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2c[nH]nn2)C[C@H]1C ZINC001377393404 921744398 /nfs/dbraw/zinc/74/43/98/921744398.db2.gz ODFLVXMMVNTWNZ-NEPJUHHUSA-N 1 2 311.817 1.459 20 30 DDEDLO CCc1cnc(C[N@H+]2CC[C@H](NC(=O)[C@H](C)C#N)[C@H]2CC)o1 ZINC001397192342 915402189 /nfs/dbraw/zinc/40/21/89/915402189.db2.gz TYRGXZMJVIPPRI-KWCYVHTRSA-N 1 2 304.394 1.866 20 30 DDEDLO CCc1cnc(C[N@@H+]2CC[C@H](NC(=O)[C@H](C)C#N)[C@H]2CC)o1 ZINC001397192342 915402195 /nfs/dbraw/zinc/40/21/95/915402195.db2.gz TYRGXZMJVIPPRI-KWCYVHTRSA-N 1 2 304.394 1.866 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc2c(c1)OCCO2 ZINC001375298823 915519777 /nfs/dbraw/zinc/51/97/77/915519777.db2.gz YKDQZHDWAYEJEO-JSGCOSHPSA-N 1 2 315.373 1.308 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc2c(c1)OCCO2 ZINC001375298823 915519785 /nfs/dbraw/zinc/51/97/85/915519785.db2.gz YKDQZHDWAYEJEO-JSGCOSHPSA-N 1 2 315.373 1.308 20 30 DDEDLO C[C@@]1(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)s2)CCOC1 ZINC001375359347 915708067 /nfs/dbraw/zinc/70/80/67/915708067.db2.gz LXRDTDYWAZWARS-BLLLJJGKSA-N 1 2 319.430 1.737 20 30 DDEDLO C[C@@]1(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)s2)CCOC1 ZINC001375359347 915708082 /nfs/dbraw/zinc/70/80/82/915708082.db2.gz LXRDTDYWAZWARS-BLLLJJGKSA-N 1 2 319.430 1.737 20 30 DDEDLO CC(C)n1ncnc1C[NH+]1CCC(C)(NC(=O)[C@@H](C)C#N)CC1 ZINC001375773584 916925764 /nfs/dbraw/zinc/92/57/64/916925764.db2.gz MGQAGAMMBBXSCX-ZDUSSCGKSA-N 1 2 318.425 1.489 20 30 DDEDLO CCc1noc(C[NH2+]C[C@@H](NC(=O)[C@H](C)C#N)C2CCCC2)n1 ZINC001375782937 916948864 /nfs/dbraw/zinc/94/88/64/916948864.db2.gz DFAGPDQNSWSYRD-DGCLKSJQSA-N 1 2 319.409 1.556 20 30 DDEDLO CCn1nc(C)c(C[N@@H+]2CCC[C@@H](CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001376534342 918708744 /nfs/dbraw/zinc/70/87/44/918708744.db2.gz NDFSSGGJUKWNBT-JSGCOSHPSA-N 1 2 318.425 1.094 20 30 DDEDLO CCn1nc(C)c(C[N@H+]2CCC[C@@H](CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001376534342 918708749 /nfs/dbraw/zinc/70/87/49/918708749.db2.gz NDFSSGGJUKWNBT-JSGCOSHPSA-N 1 2 318.425 1.094 20 30 DDEDLO C[N@H+](CCNC(=O)c1cnccn1)Cc1cc(C#N)ccc1F ZINC001377120744 920383177 /nfs/dbraw/zinc/38/31/77/920383177.db2.gz IIWHASMECBJVFW-UHFFFAOYSA-N 1 2 313.336 1.349 20 30 DDEDLO C[N@@H+](CCNC(=O)c1cnccn1)Cc1cc(C#N)ccc1F ZINC001377120744 920383191 /nfs/dbraw/zinc/38/31/91/920383191.db2.gz IIWHASMECBJVFW-UHFFFAOYSA-N 1 2 313.336 1.349 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cn(-c2cccnc2)nn1 ZINC001377170428 920529735 /nfs/dbraw/zinc/52/97/35/920529735.db2.gz ZVDHEQBGUFGZCS-UHFFFAOYSA-N 1 2 320.784 1.076 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cn(-c2cccnc2)nn1 ZINC001377170428 920529750 /nfs/dbraw/zinc/52/97/50/920529750.db2.gz ZVDHEQBGUFGZCS-UHFFFAOYSA-N 1 2 320.784 1.076 20 30 DDEDLO CC(C)(C#N)c1ccc(C[NH+]2CCN(C(=O)CO)CC2)cc1 ZINC000614176947 361737854 /nfs/dbraw/zinc/73/78/54/361737854.db2.gz CINOZMPPKAVKNQ-UHFFFAOYSA-N 1 2 301.390 1.124 20 30 DDEDLO CC[C@]1(O)CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000495198647 529441534 /nfs/dbraw/zinc/44/15/34/529441534.db2.gz CMFVGXFUBCMQCO-HNNXBMFYSA-N 1 2 308.403 1.179 20 30 DDEDLO CC[C@]1(O)CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000495198647 529441537 /nfs/dbraw/zinc/44/15/37/529441537.db2.gz CMFVGXFUBCMQCO-HNNXBMFYSA-N 1 2 308.403 1.179 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)c1ccccc1C#N ZINC000451928240 529589461 /nfs/dbraw/zinc/58/94/61/529589461.db2.gz CWVDQSUWOSDGHF-LLVKDONJSA-N 1 2 304.375 1.814 20 30 DDEDLO O=C(N[C@H]1CCCNC1=O)c1ccccc1C[NH+]1CCOCC1 ZINC000329514556 539305206 /nfs/dbraw/zinc/30/52/06/539305206.db2.gz LXCPRJBCCRVVCV-HNNXBMFYSA-N 1 2 317.389 1.942 20 30 DDEDLO C[C@H](CNC(=O)Nc1cnc(C#N)c(Cl)c1)[NH+]1CCOCC1 ZINC000455756388 231755263 /nfs/dbraw/zinc/75/52/63/231755263.db2.gz NUIWNQOUGWJMSK-SNVBAGLBSA-N 1 2 323.784 1.449 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)c2coc(C3CCOCC3)n2)C1 ZINC000329923699 529782214 /nfs/dbraw/zinc/78/22/14/529782214.db2.gz HFEFZKNMWHNACK-LBPRGKRZSA-N 1 2 309.366 1.204 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)c2coc(C3CCOCC3)n2)C1 ZINC000329923699 529782216 /nfs/dbraw/zinc/78/22/16/529782216.db2.gz HFEFZKNMWHNACK-LBPRGKRZSA-N 1 2 309.366 1.204 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)S(=O)(=O)CCCCC#N ZINC000433357734 529910340 /nfs/dbraw/zinc/91/03/40/529910340.db2.gz YPVIZZVNXXKXOF-UHFFFAOYSA-N 1 2 317.455 1.053 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)S(=O)(=O)CCCCC#N ZINC000433357734 529910341 /nfs/dbraw/zinc/91/03/41/529910341.db2.gz YPVIZZVNXXKXOF-UHFFFAOYSA-N 1 2 317.455 1.053 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000615793776 362443098 /nfs/dbraw/zinc/44/30/98/362443098.db2.gz ZFKIIONCLFGABM-HUUCEWRRSA-N 1 2 317.437 1.103 20 30 DDEDLO COc1ccc(C[N@H+](C)[C@@H](C)C(=O)NC2(C#N)CCC2)cn1 ZINC000615793897 362443557 /nfs/dbraw/zinc/44/35/57/362443557.db2.gz UHNJVRYHDAOANT-LBPRGKRZSA-N 1 2 302.378 1.473 20 30 DDEDLO COc1ccc(C[N@@H+](C)[C@@H](C)C(=O)NC2(C#N)CCC2)cn1 ZINC000615793897 362443559 /nfs/dbraw/zinc/44/35/59/362443559.db2.gz UHNJVRYHDAOANT-LBPRGKRZSA-N 1 2 302.378 1.473 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)N[C@H]1CC(=O)N(C(C)(C)C)C1 ZINC000330706985 530026979 /nfs/dbraw/zinc/02/69/79/530026979.db2.gz PHTVQWJWXIGVOW-NSHDSACASA-N 1 2 307.398 1.165 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2ccc3ccccc3n2)CC1 ZINC000266860666 186362483 /nfs/dbraw/zinc/36/24/83/186362483.db2.gz JOVWZSREHARCCL-HNNXBMFYSA-N 1 2 322.412 1.495 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000279140562 186495026 /nfs/dbraw/zinc/49/50/26/186495026.db2.gz DAAIOZOIHCJTTM-MRXNPFEDSA-N 1 2 307.394 1.263 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000007795426 352127279 /nfs/dbraw/zinc/12/72/79/352127279.db2.gz VTGZUPWRPXVYAC-UHFFFAOYSA-N 1 2 308.426 1.128 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000007795426 352127282 /nfs/dbraw/zinc/12/72/82/352127282.db2.gz VTGZUPWRPXVYAC-UHFFFAOYSA-N 1 2 308.426 1.128 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cnn(C)c3)n2C(C)C)CC1 ZINC000296074344 222817732 /nfs/dbraw/zinc/81/77/32/222817732.db2.gz SHRXRCCUBCMCCI-UHFFFAOYSA-N 1 2 313.409 1.015 20 30 DDEDLO Cc1nc(C(=O)NCc2ccc(-n3cc[nH+]c3)nc2)ccc1C#N ZINC000033044605 352287728 /nfs/dbraw/zinc/28/77/28/352287728.db2.gz WBYISYGGIZJYBN-UHFFFAOYSA-N 1 2 318.340 1.772 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+](CC(N)=O)C2CCCC2)c1 ZINC000047274377 352488685 /nfs/dbraw/zinc/48/86/85/352488685.db2.gz VIMSDJGLZPODPR-UHFFFAOYSA-N 1 2 300.362 1.227 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+](CC(N)=O)C2CCCC2)c1 ZINC000047274377 352488687 /nfs/dbraw/zinc/48/86/87/352488687.db2.gz VIMSDJGLZPODPR-UHFFFAOYSA-N 1 2 300.362 1.227 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)[C@H](C)c1cc(F)ccc1F ZINC000052074789 352599207 /nfs/dbraw/zinc/59/92/07/352599207.db2.gz UHYXPBKNPMPWLY-SNVBAGLBSA-N 1 2 311.332 1.969 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)[C@H](C)c1cc(F)ccc1F ZINC000052074789 352599211 /nfs/dbraw/zinc/59/92/11/352599211.db2.gz UHYXPBKNPMPWLY-SNVBAGLBSA-N 1 2 311.332 1.969 20 30 DDEDLO CC[N@@H+](CC(=O)NCCOc1cccc(C)c1)C[C@@H](C)C#N ZINC000049982562 352559623 /nfs/dbraw/zinc/55/96/23/352559623.db2.gz HLKPDRFCIGCSKB-HNNXBMFYSA-N 1 2 303.406 1.972 20 30 DDEDLO CC[N@H+](CC(=O)NCCOc1cccc(C)c1)C[C@@H](C)C#N ZINC000049982562 352559627 /nfs/dbraw/zinc/55/96/27/352559627.db2.gz HLKPDRFCIGCSKB-HNNXBMFYSA-N 1 2 303.406 1.972 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](CCOc2ccc(F)cc2)CC1 ZINC000053422642 352654649 /nfs/dbraw/zinc/65/46/49/352654649.db2.gz JFKDACYLVYXMSB-UHFFFAOYSA-N 1 2 321.396 1.124 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C(=O)N[C@@]2(CC(C)(C)OC2(C)C)C1=O ZINC000491641814 234223585 /nfs/dbraw/zinc/22/35/85/234223585.db2.gz UAZILCPTXUQXOE-BZNIZROVSA-N 1 2 307.394 1.166 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C(=O)N[C@@]2(CC(C)(C)OC2(C)C)C1=O ZINC000491641814 234223588 /nfs/dbraw/zinc/22/35/88/234223588.db2.gz UAZILCPTXUQXOE-BZNIZROVSA-N 1 2 307.394 1.166 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@@H+](Cc1ccc(F)cc1C#N)CC2 ZINC000078618299 353525119 /nfs/dbraw/zinc/52/51/19/353525119.db2.gz WNXBAYFJPJOOMJ-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@H+](Cc1ccc(F)cc1C#N)CC2 ZINC000078618299 353525122 /nfs/dbraw/zinc/52/51/22/353525122.db2.gz WNXBAYFJPJOOMJ-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000081568204 353682814 /nfs/dbraw/zinc/68/28/14/353682814.db2.gz CWBAEBAGTIIUQV-UHFFFAOYSA-N 1 2 314.414 1.916 20 30 DDEDLO C=C(C)CNC(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000623908924 366108498 /nfs/dbraw/zinc/10/84/98/366108498.db2.gz XQOIZQBRHOKDOV-OAHLLOKOSA-N 1 2 304.394 1.158 20 30 DDEDLO C=C(C)CNC(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000623908924 366108501 /nfs/dbraw/zinc/10/85/01/366108501.db2.gz XQOIZQBRHOKDOV-OAHLLOKOSA-N 1 2 304.394 1.158 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)N[C@@H]2CCO[C@@H]2C2CC2)CC1 ZINC000328830003 222900949 /nfs/dbraw/zinc/90/09/49/222900949.db2.gz JQRZLYWLTBZTTJ-CHWSQXEVSA-N 1 2 324.425 1.399 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@H]3CCOC4(CCCC4)C3)C[C@@H]21 ZINC000329673810 283000052 /nfs/dbraw/zinc/00/00/52/283000052.db2.gz SYEIAXZRSIJUCX-SOUVJXGZSA-N 1 2 323.437 1.407 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@H]3CCOC4(CCCC4)C3)C[C@@H]21 ZINC000329673810 283000056 /nfs/dbraw/zinc/00/00/56/283000056.db2.gz SYEIAXZRSIJUCX-SOUVJXGZSA-N 1 2 323.437 1.407 20 30 DDEDLO C[C@H](C#N)CNC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000182378303 354271842 /nfs/dbraw/zinc/27/18/42/354271842.db2.gz ZHTVIOSTGQAJRD-ZBFHGGJFSA-N 1 2 316.405 1.519 20 30 DDEDLO COc1cccc(-c2noc([C@H](C)[NH2+]CC(=O)NCC#N)n2)c1 ZINC000185116809 354281212 /nfs/dbraw/zinc/28/12/12/354281212.db2.gz DTVJSUWUCMOAKJ-JTQLQIEISA-N 1 2 315.333 1.036 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cc(C#N)ccc2C)C[C@H]1C ZINC000250354992 354381325 /nfs/dbraw/zinc/38/13/25/354381325.db2.gz RYXFIHQZAPITOI-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cc(C#N)ccc2C)C[C@H]1C ZINC000250354992 354381328 /nfs/dbraw/zinc/38/13/28/354381328.db2.gz RYXFIHQZAPITOI-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO COC(=O)[C@H]([NH2+]C[C@@H](O)COc1ccccc1C#N)C(C)C ZINC000314621503 354487160 /nfs/dbraw/zinc/48/71/60/354487160.db2.gz WRRBQUQBNHBICC-UKRRQHHQSA-N 1 2 306.362 1.085 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@H](n3ccc(NC(C)=O)n3)C2)[nH]1 ZINC000328624464 354549625 /nfs/dbraw/zinc/54/96/25/354549625.db2.gz JYCNUCVKPKKFBU-NSHDSACASA-N 1 2 303.370 1.619 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@H](n3ccc(NC(C)=O)n3)C2)[nH]1 ZINC000328624464 354549626 /nfs/dbraw/zinc/54/96/26/354549626.db2.gz JYCNUCVKPKKFBU-NSHDSACASA-N 1 2 303.370 1.619 20 30 DDEDLO Cn1c[nH+]cc1CCNS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000401764662 354667086 /nfs/dbraw/zinc/66/70/86/354667086.db2.gz XQZIBLOCNODJNT-UHFFFAOYSA-N 1 2 324.793 1.466 20 30 DDEDLO CC[C@H](CC#N)[NH2+]Cc1cc(Cl)cc(S(N)(=O)=O)c1 ZINC000584789123 354769957 /nfs/dbraw/zinc/76/99/57/354769957.db2.gz UQGQEYKUURKFLD-LLVKDONJSA-N 1 2 301.799 1.769 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(N2CCOCC2)cc1 ZINC000584814986 354773326 /nfs/dbraw/zinc/77/33/26/354773326.db2.gz QGBAHIITTSGXND-KRWDZBQOSA-N 1 2 316.405 1.097 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)N1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O ZINC000586860120 354875051 /nfs/dbraw/zinc/87/50/51/354875051.db2.gz SYEQWYIYWREYTR-HZMBPMFUSA-N 1 2 309.329 1.505 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)N1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O ZINC000586860120 354875054 /nfs/dbraw/zinc/87/50/54/354875054.db2.gz SYEQWYIYWREYTR-HZMBPMFUSA-N 1 2 309.329 1.505 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H](NC(=O)C(=O)NCCCCC#N)C1 ZINC000588052465 354898019 /nfs/dbraw/zinc/89/80/19/354898019.db2.gz QIHIESNCILSOML-OAHLLOKOSA-N 1 2 314.389 1.099 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H](NC(=O)C(=O)NCCCCC#N)C1 ZINC000588052465 354898020 /nfs/dbraw/zinc/89/80/20/354898020.db2.gz QIHIESNCILSOML-OAHLLOKOSA-N 1 2 314.389 1.099 20 30 DDEDLO CCOC1CC[NH+](CCS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000588957131 354951635 /nfs/dbraw/zinc/95/16/35/354951635.db2.gz HWIMXBZYBHJCSS-UHFFFAOYSA-N 1 2 323.418 1.228 20 30 DDEDLO Cc1c(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)cccc1[N+](=O)[O-] ZINC000589826936 355022716 /nfs/dbraw/zinc/02/27/16/355022716.db2.gz HOUDRUAXIXLGAU-HNNXBMFYSA-N 1 2 304.350 1.406 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2cccc(CC#N)c2)C[C@H](C)[N@H+]1C ZINC000590172033 355056108 /nfs/dbraw/zinc/05/61/08/355056108.db2.gz ZHUVZMSYSQIEDW-BETUJISGSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2cccc(CC#N)c2)C[C@H](C)[N@@H+]1C ZINC000590172033 355056110 /nfs/dbraw/zinc/05/61/10/355056110.db2.gz ZHUVZMSYSQIEDW-BETUJISGSA-N 1 2 307.419 1.466 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)N(C)CC[NH+]1CCOCC1 ZINC000177070573 198661195 /nfs/dbraw/zinc/66/11/95/198661195.db2.gz SBFXXYPVYIUFGD-INIZCTEOSA-N 1 2 317.389 1.036 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)C1 ZINC000591859493 355396102 /nfs/dbraw/zinc/39/61/02/355396102.db2.gz JZXDGWDGLZXLPX-GZBFAFLISA-N 1 2 300.362 1.937 20 30 DDEDLO CS(=O)(=O)NCC[C@H]1CCCC[N@@H+]1Cc1ccncc1C#N ZINC000592060980 355480458 /nfs/dbraw/zinc/48/04/58/355480458.db2.gz GMUHOOJOYCVPII-OAHLLOKOSA-N 1 2 322.434 1.247 20 30 DDEDLO CS(=O)(=O)NCC[C@H]1CCCC[N@H+]1Cc1ccncc1C#N ZINC000592060980 355480461 /nfs/dbraw/zinc/48/04/61/355480461.db2.gz GMUHOOJOYCVPII-OAHLLOKOSA-N 1 2 322.434 1.247 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@H](C)OC2(CCCC2)C1 ZINC000592191520 355530652 /nfs/dbraw/zinc/53/06/52/355530652.db2.gz DKOCVTGPLZQWRC-ZIAGYGMSSA-N 1 2 305.422 1.971 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@H](C)OC2(CCCC2)C1 ZINC000592191520 355530654 /nfs/dbraw/zinc/53/06/54/355530654.db2.gz DKOCVTGPLZQWRC-ZIAGYGMSSA-N 1 2 305.422 1.971 20 30 DDEDLO CSc1cccc(NC(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592144872 355510869 /nfs/dbraw/zinc/51/08/69/355510869.db2.gz JXWUEZNCKDPESB-HNNXBMFYSA-N 1 2 305.403 1.697 20 30 DDEDLO CSc1cccc(NC(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592144872 355510872 /nfs/dbraw/zinc/51/08/72/355510872.db2.gz JXWUEZNCKDPESB-HNNXBMFYSA-N 1 2 305.403 1.697 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(F)cc1F)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592147557 355513239 /nfs/dbraw/zinc/51/32/39/355513239.db2.gz QAOYDUCSOHARQT-MEBBXXQBSA-N 1 2 309.316 1.642 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(F)cc1F)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592147557 355513241 /nfs/dbraw/zinc/51/32/41/355513241.db2.gz QAOYDUCSOHARQT-MEBBXXQBSA-N 1 2 309.316 1.642 20 30 DDEDLO CCc1ccccc1NC(=O)[C@@H](C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148006 355514193 /nfs/dbraw/zinc/51/41/93/355514193.db2.gz VDGVUJZTAIONSA-CXAGYDPISA-N 1 2 301.390 1.926 20 30 DDEDLO CCc1ccccc1NC(=O)[C@@H](C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148006 355514196 /nfs/dbraw/zinc/51/41/96/355514196.db2.gz VDGVUJZTAIONSA-CXAGYDPISA-N 1 2 301.390 1.926 20 30 DDEDLO COc1ccccc1CN(C)C(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148620 355515119 /nfs/dbraw/zinc/51/51/19/355515119.db2.gz XUADDAZGFGENEJ-QGZVFWFLSA-N 1 2 317.389 1.004 20 30 DDEDLO COc1ccccc1CN(C)C(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148620 355515121 /nfs/dbraw/zinc/51/51/21/355515121.db2.gz XUADDAZGFGENEJ-QGZVFWFLSA-N 1 2 317.389 1.004 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CCCS(=O)(=O)c2ccccc2)C1 ZINC000592150386 355517453 /nfs/dbraw/zinc/51/74/53/355517453.db2.gz FJNXIHBEURIUKM-OAHLLOKOSA-N 1 2 308.403 1.201 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CCCS(=O)(=O)c2ccccc2)C1 ZINC000592150386 355517457 /nfs/dbraw/zinc/51/74/57/355517457.db2.gz FJNXIHBEURIUKM-OAHLLOKOSA-N 1 2 308.403 1.201 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)[C@H](C)CO1 ZINC000593065192 355784676 /nfs/dbraw/zinc/78/46/76/355784676.db2.gz ZDROONFKTBMKHX-CZUORRHYSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)[C@H](C)CO1 ZINC000593065192 355784680 /nfs/dbraw/zinc/78/46/80/355784680.db2.gz ZDROONFKTBMKHX-CZUORRHYSA-N 1 2 301.390 1.674 20 30 DDEDLO Cn1ccc(N2CCC[C@H]([N@@H+]3Cc4cccc(C#N)c4C3)C2=O)n1 ZINC000593121178 355806288 /nfs/dbraw/zinc/80/62/88/355806288.db2.gz YJVLREBYUNQBJC-INIZCTEOSA-N 1 2 321.384 1.803 20 30 DDEDLO Cn1ccc(N2CCC[C@H]([N@H+]3Cc4cccc(C#N)c4C3)C2=O)n1 ZINC000593121178 355806290 /nfs/dbraw/zinc/80/62/90/355806290.db2.gz YJVLREBYUNQBJC-INIZCTEOSA-N 1 2 321.384 1.803 20 30 DDEDLO C[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)[C@@H]1OCCc2sccc21 ZINC000593153064 355812501 /nfs/dbraw/zinc/81/25/01/355812501.db2.gz GWOCKUBXZKYLRV-PJODQICGSA-N 1 2 321.446 1.958 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@@H](OC)C[C@H]2CC(=O)OCC)nn1 ZINC000593974453 356077846 /nfs/dbraw/zinc/07/78/46/356077846.db2.gz BZGHOXUUXZJZET-GJZGRUSLSA-N 1 2 322.409 1.397 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@@H](OC)C[C@H]2CC(=O)OCC)nn1 ZINC000593974453 356077848 /nfs/dbraw/zinc/07/78/48/356077848.db2.gz BZGHOXUUXZJZET-GJZGRUSLSA-N 1 2 322.409 1.397 20 30 DDEDLO COC1(CO)CC[NH+](Cc2c(C#N)cccc2[N+](=O)[O-])CC1 ZINC000594025370 356092846 /nfs/dbraw/zinc/09/28/46/356092846.db2.gz HUYUXYJOBMUOFB-UHFFFAOYSA-N 1 2 305.334 1.440 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1ccc(Cl)nc1 ZINC000080936652 192203356 /nfs/dbraw/zinc/20/33/56/192203356.db2.gz GSWYBTIQFKBHKY-JTQLQIEISA-N 1 2 310.785 1.567 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1ccc(Cl)nc1 ZINC000080936652 192203358 /nfs/dbraw/zinc/20/33/58/192203358.db2.gz GSWYBTIQFKBHKY-JTQLQIEISA-N 1 2 310.785 1.567 20 30 DDEDLO Cc1cn2ccc(NC(=O)C(=O)N3CC[C@@](F)(C#N)C3)cc2[nH+]1 ZINC000595016066 356372327 /nfs/dbraw/zinc/37/23/27/356372327.db2.gz GTWTZRAJCMHIME-OAHLLOKOSA-N 1 2 315.308 1.045 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cc(C2CC2)no1 ZINC000595338542 356454878 /nfs/dbraw/zinc/45/48/78/356454878.db2.gz JHDCJELPGNJFAZ-GFCCVEGCSA-N 1 2 324.388 1.924 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cc(C2CC2)no1 ZINC000595338542 356454880 /nfs/dbraw/zinc/45/48/80/356454880.db2.gz JHDCJELPGNJFAZ-GFCCVEGCSA-N 1 2 324.388 1.924 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3cnccc3C#N)CC2)sn1 ZINC000595501543 356520507 /nfs/dbraw/zinc/52/05/07/356520507.db2.gz MERMKYNQECXLPJ-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)N1CCC[C@@H](CC#N)C1 ZINC000595515396 356528140 /nfs/dbraw/zinc/52/81/40/356528140.db2.gz IBRJXCWVBOXUBO-AWEZNQCLSA-N 1 2 308.426 1.432 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)N1CCC[C@@H](CC#N)C1 ZINC000595515396 356528143 /nfs/dbraw/zinc/52/81/43/356528143.db2.gz IBRJXCWVBOXUBO-AWEZNQCLSA-N 1 2 308.426 1.432 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](CC(=O)OC)C1CCCCC1 ZINC000595663672 356599217 /nfs/dbraw/zinc/59/92/17/356599217.db2.gz KWHUZJZSFNDTBR-UHFFFAOYSA-N 1 2 308.422 1.995 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](CC(=O)OC)C1CCCCC1 ZINC000595663672 356599219 /nfs/dbraw/zinc/59/92/19/356599219.db2.gz KWHUZJZSFNDTBR-UHFFFAOYSA-N 1 2 308.422 1.995 20 30 DDEDLO C[N@@H+](CC(=O)N(CCC#N)c1ccccc1)C[C@H]1COCCO1 ZINC000595738452 356629143 /nfs/dbraw/zinc/62/91/43/356629143.db2.gz IHJYPIVWZPCRSH-INIZCTEOSA-N 1 2 317.389 1.280 20 30 DDEDLO C[N@H+](CC(=O)N(CCC#N)c1ccccc1)C[C@H]1COCCO1 ZINC000595738452 356629148 /nfs/dbraw/zinc/62/91/48/356629148.db2.gz IHJYPIVWZPCRSH-INIZCTEOSA-N 1 2 317.389 1.280 20 30 DDEDLO C[C@]1(C#N)CC[N@H+](C[C@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000595842854 356674330 /nfs/dbraw/zinc/67/43/30/356674330.db2.gz HTRLFESGLKEVKR-SWLSCSKDSA-N 1 2 305.334 1.570 20 30 DDEDLO C[C@]1(C#N)CC[N@@H+](C[C@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000595842854 356674333 /nfs/dbraw/zinc/67/43/33/356674333.db2.gz HTRLFESGLKEVKR-SWLSCSKDSA-N 1 2 305.334 1.570 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(c2c(C#N)cnn2C)CC1 ZINC000596079317 356764916 /nfs/dbraw/zinc/76/49/16/356764916.db2.gz OCAXSBNWBQMSNX-UHFFFAOYSA-N 1 2 300.366 1.219 20 30 DDEDLO CN1CC[N@H+](Cc2ccccc2)[C@@H](CNc2nccnc2C#N)C1 ZINC000596550213 356914210 /nfs/dbraw/zinc/91/42/10/356914210.db2.gz DPGACEITJDLBGE-INIZCTEOSA-N 1 2 322.416 1.576 20 30 DDEDLO CN1CC[N@@H+](Cc2ccccc2)[C@@H](CNc2nccnc2C#N)C1 ZINC000596550213 356914212 /nfs/dbraw/zinc/91/42/12/356914212.db2.gz DPGACEITJDLBGE-INIZCTEOSA-N 1 2 322.416 1.576 20 30 DDEDLO Cc1cnn(C)c1C[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000597184032 357086753 /nfs/dbraw/zinc/08/67/53/357086753.db2.gz RKTHTJHODKJGPS-UHFFFAOYSA-N 1 2 301.419 1.984 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)s1 ZINC000597708283 357295370 /nfs/dbraw/zinc/29/53/70/357295370.db2.gz XCZVTCJQEDQCSO-LBPRGKRZSA-N 1 2 305.403 1.557 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)c1 ZINC000597708640 357295755 /nfs/dbraw/zinc/29/57/55/357295755.db2.gz ZBMKIUJNWBRJST-OAHLLOKOSA-N 1 2 315.373 1.201 20 30 DDEDLO C[NH+](C)Cc1csc(NC(=O)CC2(C#N)CCOCC2)n1 ZINC000597757620 357319724 /nfs/dbraw/zinc/31/97/24/357319724.db2.gz KDBQITKVGZYVHH-UHFFFAOYSA-N 1 2 308.407 1.854 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1sccc1C#N)C(C)(C)CO ZINC000597845408 357356036 /nfs/dbraw/zinc/35/60/36/357356036.db2.gz GVUPCYRBYVAYDU-LBPRGKRZSA-N 1 2 318.402 1.843 20 30 DDEDLO CCO[C@@H](C)c1noc(C[N@H+](C)C[C@@H](O)CC(C)(C)C#N)n1 ZINC000598596822 357647019 /nfs/dbraw/zinc/64/70/19/357647019.db2.gz UCZGYAUTIPRGPB-RYUDHWBXSA-N 1 2 310.398 1.900 20 30 DDEDLO CCO[C@@H](C)c1noc(C[N@@H+](C)C[C@@H](O)CC(C)(C)C#N)n1 ZINC000598596822 357647021 /nfs/dbraw/zinc/64/70/21/357647021.db2.gz UCZGYAUTIPRGPB-RYUDHWBXSA-N 1 2 310.398 1.900 20 30 DDEDLO Cc1ccccc1[C@H]1C(=O)NCC[N@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598603751 357650653 /nfs/dbraw/zinc/65/06/53/357650653.db2.gz GGOPPZKPVCZASL-ZBFHGGJFSA-N 1 2 315.417 1.769 20 30 DDEDLO Cc1ccccc1[C@H]1C(=O)NCC[N@@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598603751 357650655 /nfs/dbraw/zinc/65/06/55/357650655.db2.gz GGOPPZKPVCZASL-ZBFHGGJFSA-N 1 2 315.417 1.769 20 30 DDEDLO Cc1cc2c(cc1NC(=O)N1C[C@@H]3OCC[N@@H+](C)[C@H]3C1)OCO2 ZINC000329711139 223017697 /nfs/dbraw/zinc/01/76/97/223017697.db2.gz OEXMUCQEZDYQKF-WFASDCNBSA-N 1 2 319.361 1.284 20 30 DDEDLO Cc1cc2c(cc1NC(=O)N1C[C@@H]3OCC[N@H+](C)[C@H]3C1)OCO2 ZINC000329711139 223017700 /nfs/dbraw/zinc/01/77/00/223017700.db2.gz OEXMUCQEZDYQKF-WFASDCNBSA-N 1 2 319.361 1.284 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1c(C#N)cnc2c(F)ccc(F)c21 ZINC000599161788 357834615 /nfs/dbraw/zinc/83/46/15/357834615.db2.gz VUZLRNYUBXRTQT-NSHDSACASA-N 1 2 318.327 1.549 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1c(C#N)cnc2c(F)ccc(F)c21 ZINC000599161788 357834619 /nfs/dbraw/zinc/83/46/19/357834619.db2.gz VUZLRNYUBXRTQT-NSHDSACASA-N 1 2 318.327 1.549 20 30 DDEDLO CCN(CC)C(=O)N1CC[NH+](Cc2cc(C#N)n(C)c2)CC1 ZINC000276752366 213087283 /nfs/dbraw/zinc/08/72/83/213087283.db2.gz LKUUULMSUXWBNS-UHFFFAOYSA-N 1 2 303.410 1.476 20 30 DDEDLO Cc1nc(C(C)(C)[NH2+]C[C@H](O)COc2ccccc2C#N)no1 ZINC000599325484 357895046 /nfs/dbraw/zinc/89/50/46/357895046.db2.gz HLZQLZLORNYHRO-ZDUSSCGKSA-N 1 2 316.361 1.514 20 30 DDEDLO CC(C)OCc1nc([C@@H](C)[NH2+]C[C@H](O)CC2(C#N)CC2)no1 ZINC000599424463 357930095 /nfs/dbraw/zinc/93/00/95/357930095.db2.gz QCGWXGTXIZDSKZ-VXGBXAGGSA-N 1 2 308.382 1.700 20 30 DDEDLO CCCN(CC(F)(F)F)C(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000599455376 357944467 /nfs/dbraw/zinc/94/44/67/357944467.db2.gz NSEUDLGELCYYPS-LBPRGKRZSA-N 1 2 307.316 1.138 20 30 DDEDLO CCCN(CC(F)(F)F)C(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000599455376 357944470 /nfs/dbraw/zinc/94/44/70/357944470.db2.gz NSEUDLGELCYYPS-LBPRGKRZSA-N 1 2 307.316 1.138 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)C[C@@H](O)COc2ccccc2C#N)n1 ZINC000599454906 357944556 /nfs/dbraw/zinc/94/45/56/357944556.db2.gz YAXFIZURAHVUEA-BXUZGUMPSA-N 1 2 316.361 1.682 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)C[C@@H](O)COc2ccccc2C#N)n1 ZINC000599454906 357944560 /nfs/dbraw/zinc/94/45/60/357944560.db2.gz YAXFIZURAHVUEA-BXUZGUMPSA-N 1 2 316.361 1.682 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@@]2(C)C(=O)OC(C)(C)C)C1=O ZINC000599499868 357956602 /nfs/dbraw/zinc/95/66/02/357956602.db2.gz MIAQLTZPCAATEO-GUYCJALGSA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@@]2(C)C(=O)OC(C)(C)C)C1=O ZINC000599499868 357956606 /nfs/dbraw/zinc/95/66/06/357956606.db2.gz MIAQLTZPCAATEO-GUYCJALGSA-N 1 2 308.422 1.970 20 30 DDEDLO COC(=O)[C@@H]1CC[C@H](C(=O)OC)[N@H+](Cc2cccc(C#N)c2)C1 ZINC000599587870 357984461 /nfs/dbraw/zinc/98/44/61/357984461.db2.gz UMWMAMWXMMAHBC-HUUCEWRRSA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@@H]1CC[C@H](C(=O)OC)[N@@H+](Cc2cccc(C#N)c2)C1 ZINC000599587870 357984462 /nfs/dbraw/zinc/98/44/62/357984462.db2.gz UMWMAMWXMMAHBC-HUUCEWRRSA-N 1 2 316.357 1.485 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N2CCc3[nH]c[nH+]c3C2)cc1 ZINC000599953344 358089481 /nfs/dbraw/zinc/08/94/81/358089481.db2.gz WQUZQGKVQLPNDO-CQSZACIVSA-N 1 2 305.341 1.549 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N2CCc3[nH+]c[nH]c3C2)cc1 ZINC000599953344 358089485 /nfs/dbraw/zinc/08/94/85/358089485.db2.gz WQUZQGKVQLPNDO-CQSZACIVSA-N 1 2 305.341 1.549 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2nnc(C3CCOCC3)[nH]2)c(F)c1 ZINC000601147135 358420953 /nfs/dbraw/zinc/42/09/53/358420953.db2.gz HZRYXIFSFWLSFH-UHFFFAOYSA-N 1 2 315.352 1.999 20 30 DDEDLO N#Cc1ccnc(C(=O)N2CC[NH+](Cc3ccc(O)cc3)CC2)c1 ZINC000601730100 358667418 /nfs/dbraw/zinc/66/74/18/358667418.db2.gz VOVLQKLUXJGWFI-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO Cc1cccn2cc(CC(=O)N3CCN(CCC#N)CC3)[nH+]c12 ZINC000602208368 358884353 /nfs/dbraw/zinc/88/43/53/358884353.db2.gz MOVCKBJABQFQBC-UHFFFAOYSA-N 1 2 311.389 1.243 20 30 DDEDLO Cn1ccnc1[C@H](C1CC1)N(CCC#N)CC[NH+]1CCOCC1 ZINC000602422982 358966692 /nfs/dbraw/zinc/96/66/92/358966692.db2.gz AVJMPIZLTOOPLH-INIZCTEOSA-N 1 2 317.437 1.419 20 30 DDEDLO N#Cc1n[nH]cc1NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000602364166 358947628 /nfs/dbraw/zinc/94/76/28/358947628.db2.gz OBYIGDDJDQHBKR-HNNXBMFYSA-N 1 2 311.345 1.121 20 30 DDEDLO N#Cc1n[nH]cc1NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000602364166 358947632 /nfs/dbraw/zinc/94/76/32/358947632.db2.gz OBYIGDDJDQHBKR-HNNXBMFYSA-N 1 2 311.345 1.121 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)NC(C)(C)c1cccc(C#N)c1 ZINC000602371092 358950669 /nfs/dbraw/zinc/95/06/69/358950669.db2.gz UNJWXSRRWYHFTR-OAHLLOKOSA-N 1 2 316.405 1.423 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)NC(C)(C)c1cccc(C#N)c1 ZINC000602371092 358950675 /nfs/dbraw/zinc/95/06/75/358950675.db2.gz UNJWXSRRWYHFTR-OAHLLOKOSA-N 1 2 316.405 1.423 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2cc(C)c(C(=O)OC)o2)nn1 ZINC000602743230 359161819 /nfs/dbraw/zinc/16/18/19/359161819.db2.gz JMJKQJOLLHTTMG-UHFFFAOYSA-N 1 2 304.350 1.832 20 30 DDEDLO C[C@@H]1C[NH+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C[C@@H](C)N1CC#N ZINC000602852361 359238854 /nfs/dbraw/zinc/23/88/54/359238854.db2.gz BIIZJEAKOOMFJY-XJKCOSOUSA-N 1 2 311.389 1.003 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854582 359241111 /nfs/dbraw/zinc/24/11/11/359241111.db2.gz CAMWKCNXDBSBHF-LJIGWXMPSA-N 1 2 319.453 1.348 20 30 DDEDLO COc1ccc2nc(C[NH+]3C[C@H](C)N(CC#N)[C@@H](C)C3)[nH]c2n1 ZINC000602854794 359241459 /nfs/dbraw/zinc/24/14/59/359241459.db2.gz RNZCXEAPRROVRY-RYUDHWBXSA-N 1 2 314.393 1.385 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)NCC2CCCCC2)C[C@@H](C)N1CC#N ZINC000602854365 359241676 /nfs/dbraw/zinc/24/16/76/359241676.db2.gz BQTWXQKVDFNIPQ-HUUCEWRRSA-N 1 2 306.454 1.601 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)NCc2ccccc2F)C[C@H](C)N1CC#N ZINC000602857833 359243385 /nfs/dbraw/zinc/24/33/85/359243385.db2.gz VQHGDCXYJVSYBT-KBPBESRZSA-N 1 2 318.396 1.360 20 30 DDEDLO Cn1[nH]c(C[NH+]2CCC(Nc3ccccc3C#N)CC2)nc1=O ZINC000602893745 359277141 /nfs/dbraw/zinc/27/71/41/359277141.db2.gz FXCCZMYSQBYLRJ-UHFFFAOYSA-N 1 2 312.377 1.057 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@H+](C)[C@H]2CCC[C@H]2C#N)CC1 ZINC000602974023 359333996 /nfs/dbraw/zinc/33/39/96/359333996.db2.gz IWRHZTIOLZTNQG-KBPBESRZSA-N 1 2 307.394 1.022 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@@H+](C)[C@H]2CCC[C@H]2C#N)CC1 ZINC000602974023 359334001 /nfs/dbraw/zinc/33/40/01/359334001.db2.gz IWRHZTIOLZTNQG-KBPBESRZSA-N 1 2 307.394 1.022 20 30 DDEDLO COC(=O)[C@H](CC(C)C)NC(=O)C[N@H+](C)[C@@H]1CCC[C@@H]1C#N ZINC000602976376 359335376 /nfs/dbraw/zinc/33/53/76/359335376.db2.gz OURIQSOEFAXKLB-HZSPNIEDSA-N 1 2 309.410 1.314 20 30 DDEDLO COC(=O)[C@H](CC(C)C)NC(=O)C[N@@H+](C)[C@@H]1CCC[C@@H]1C#N ZINC000602976376 359335379 /nfs/dbraw/zinc/33/53/79/359335379.db2.gz OURIQSOEFAXKLB-HZSPNIEDSA-N 1 2 309.410 1.314 20 30 DDEDLO C[N@H+](CC(=O)NCCOc1cccc(F)c1)[C@@H]1CCC[C@H]1C#N ZINC000602978284 359336733 /nfs/dbraw/zinc/33/67/33/359336733.db2.gz OETKBBMAMPDDFV-XJKSGUPXSA-N 1 2 319.380 1.945 20 30 DDEDLO C[N@@H+](CC(=O)NCCOc1cccc(F)c1)[C@@H]1CCC[C@H]1C#N ZINC000602978284 359336736 /nfs/dbraw/zinc/33/67/36/359336736.db2.gz OETKBBMAMPDDFV-XJKSGUPXSA-N 1 2 319.380 1.945 20 30 DDEDLO C=C(C)[C@@H](CO)n1cc(C[NH+]2CCC(C(=O)OCC)CC2)nn1 ZINC000603233725 359502614 /nfs/dbraw/zinc/50/26/14/359502614.db2.gz KCOXUWUPUHHTBI-OAHLLOKOSA-N 1 2 322.409 1.163 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)N2CCC[C@@H](n3cc[nH+]c3)C2)cc1 ZINC000609672110 360342728 /nfs/dbraw/zinc/34/27/28/360342728.db2.gz QGMZKOUDQONARN-CVEARBPZSA-N 1 2 309.373 1.618 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N2CCC(C)CC2)CC1 ZINC000609485986 360313620 /nfs/dbraw/zinc/31/36/20/360313620.db2.gz BGQGTIIJFWAOBC-INIZCTEOSA-N 1 2 306.454 1.411 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2N1CC[NH+](CC(N)=O)CC1 ZINC000610245439 360396857 /nfs/dbraw/zinc/39/68/57/360396857.db2.gz VYSIAHOKAKDWQX-UHFFFAOYSA-N 1 2 309.373 1.022 20 30 DDEDLO COC(=O)c1ccsc1N1CC[C@@H]([NH2+][C@@H](C)CC#N)C1=O ZINC000610475790 360445165 /nfs/dbraw/zinc/44/51/65/360445165.db2.gz KJWRMOXUCLSBHH-GXSJLCMTSA-N 1 2 307.375 1.532 20 30 DDEDLO COCC[NH+]1CCN(C(=O)C2(c3cccc(C#N)c3)CC2)CC1 ZINC000610932887 360574084 /nfs/dbraw/zinc/57/40/84/360574084.db2.gz MIWNTDBWIFILRT-UHFFFAOYSA-N 1 2 313.401 1.380 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@H+](C)CCOc1ccccc1C#N ZINC000610828522 360546334 /nfs/dbraw/zinc/54/63/34/360546334.db2.gz FVABEUQUEGMDRZ-OAHLLOKOSA-N 1 2 320.389 1.835 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@@H+](C)CCOc1ccccc1C#N ZINC000610828522 360546337 /nfs/dbraw/zinc/54/63/37/360546337.db2.gz FVABEUQUEGMDRZ-OAHLLOKOSA-N 1 2 320.389 1.835 20 30 DDEDLO C[C@H](C(=O)Nc1ncccn1)[N@@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000330124521 223077402 /nfs/dbraw/zinc/07/74/02/223077402.db2.gz LITYNDRLYPCCIO-IOASZLSFSA-N 1 2 320.393 1.723 20 30 DDEDLO C[C@H](C(=O)Nc1ncccn1)[N@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000330124521 223077404 /nfs/dbraw/zinc/07/74/04/223077404.db2.gz LITYNDRLYPCCIO-IOASZLSFSA-N 1 2 320.393 1.723 20 30 DDEDLO CO[C@@H]1CN(C(=O)NC[C@H]2CCCn3cc[nH+]c32)C[C@@H]1OC ZINC000330170097 223082278 /nfs/dbraw/zinc/08/22/78/223082278.db2.gz DMJDBILICSUBPL-UPJWGTAASA-N 1 2 308.382 1.020 20 30 DDEDLO Cc1nc2ccnn2c(C)c1C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330295223 223101200 /nfs/dbraw/zinc/10/12/00/223101200.db2.gz FPAPDMGLPNVVRM-GFCCVEGCSA-N 1 2 310.361 1.862 20 30 DDEDLO Cc1nnccc1NC(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000330317799 223102651 /nfs/dbraw/zinc/10/26/51/223102651.db2.gz BWWKHHAKZZPBNG-CYBMUJFWSA-N 1 2 315.381 1.053 20 30 DDEDLO C[C@@H](NC(=O)NCc1ccc(N(C)C)[nH+]c1)C1CCOCC1 ZINC000331031673 223181487 /nfs/dbraw/zinc/18/14/87/223181487.db2.gz TXVACDYPJNZTQN-GFCCVEGCSA-N 1 2 306.410 1.966 20 30 DDEDLO CCOc1nccc(C)c1C(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000331211981 223194322 /nfs/dbraw/zinc/19/43/22/223194322.db2.gz JVAUAQFYRFCIEK-ZDUSSCGKSA-N 1 2 307.394 1.814 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)N2CCO[C@@H](C[N@H+](C)CC(N)=O)C2)C1 ZINC000331264265 223197896 /nfs/dbraw/zinc/19/78/96/223197896.db2.gz APSAABBNHMWPLU-AGIUHOORSA-N 1 2 312.414 1.257 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)N2CCO[C@@H](C[N@@H+](C)CC(N)=O)C2)C1 ZINC000331264265 223197897 /nfs/dbraw/zinc/19/78/97/223197897.db2.gz APSAABBNHMWPLU-AGIUHOORSA-N 1 2 312.414 1.257 20 30 DDEDLO C[C@H]1CCN(S(=O)(=O)CC2(CC#N)CC2)C[C@H]1n1cc[nH+]c1 ZINC000333461405 223221870 /nfs/dbraw/zinc/22/18/70/223221870.db2.gz URSDIZCORZEHSG-UONOGXRCSA-N 1 2 322.434 1.790 20 30 DDEDLO CC[C@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000193768684 201119823 /nfs/dbraw/zinc/11/98/23/201119823.db2.gz WUVLNUWWIOBMRV-KBPBESRZSA-N 1 2 315.421 1.378 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@H](C)C(=O)Nc2cc(C)no2)CC1 ZINC000341979679 223298308 /nfs/dbraw/zinc/29/83/08/223298308.db2.gz BUOFIWMJIIVOTO-GFCCVEGCSA-N 1 2 306.366 1.562 20 30 DDEDLO N#CCc1cccc(S(=O)(=O)N2CC[NH+](C3CC3)CC2)c1 ZINC000619479169 364029463 /nfs/dbraw/zinc/02/94/63/364029463.db2.gz ALYQSVBEDJHSKQ-UHFFFAOYSA-N 1 2 305.403 1.221 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001120460465 781958393 /nfs/dbraw/zinc/95/83/93/781958393.db2.gz MJOGZHXTJASDGM-NSHDSACASA-N 1 2 320.441 1.717 20 30 DDEDLO N#CCC[C@H](C#N)C[N@H+]1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000344029812 223330000 /nfs/dbraw/zinc/33/00/00/223330000.db2.gz AWKHDXJAPHQILJ-ZIAGYGMSSA-N 1 2 324.450 1.224 20 30 DDEDLO N#CCC[C@H](C#N)C[N@@H+]1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000344029812 223330001 /nfs/dbraw/zinc/33/00/01/223330001.db2.gz AWKHDXJAPHQILJ-ZIAGYGMSSA-N 1 2 324.450 1.224 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC[C@H](n2ccnn2)C1 ZINC000566141112 304121849 /nfs/dbraw/zinc/12/18/49/304121849.db2.gz IHZNIODOCSVHPH-ZFWWWQNUSA-N 1 2 324.388 1.814 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC[C@H](n2ccnn2)C1 ZINC000566141112 304121851 /nfs/dbraw/zinc/12/18/51/304121851.db2.gz IHZNIODOCSVHPH-ZFWWWQNUSA-N 1 2 324.388 1.814 20 30 DDEDLO CO[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H](C)C1 ZINC000282508254 217050084 /nfs/dbraw/zinc/05/00/84/217050084.db2.gz XFMDQGVJEBYNGY-HIFRSBDPSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H](C)C1 ZINC000282508254 217050087 /nfs/dbraw/zinc/05/00/87/217050087.db2.gz XFMDQGVJEBYNGY-HIFRSBDPSA-N 1 2 322.430 1.831 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1CNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000271693258 209131271 /nfs/dbraw/zinc/13/12/71/209131271.db2.gz FTGCWBYSBSGPJT-SNVBAGLBSA-N 1 2 315.345 1.209 20 30 DDEDLO C[N@H+]1CCC[C@@H]1CNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000271693258 209131272 /nfs/dbraw/zinc/13/12/72/209131272.db2.gz FTGCWBYSBSGPJT-SNVBAGLBSA-N 1 2 315.345 1.209 20 30 DDEDLO CNC(=O)c1cccc(NC(=O)[C@H](C)[NH+]2CCC(C#N)CC2)c1 ZINC000057878154 184060277 /nfs/dbraw/zinc/06/02/77/184060277.db2.gz VSICUBDVCBMPEB-LBPRGKRZSA-N 1 2 314.389 1.609 20 30 DDEDLO CC[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@H](C)[S@]1=O ZINC000567793146 304242727 /nfs/dbraw/zinc/24/27/27/304242727.db2.gz CBNMEOIZLXEWHX-ZNVLZIIHSA-N 1 2 316.430 1.937 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000120269087 195132246 /nfs/dbraw/zinc/13/22/46/195132246.db2.gz OLAIBELPYCKSER-LBPRGKRZSA-N 1 2 321.402 1.976 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000120269087 195132249 /nfs/dbraw/zinc/13/22/49/195132249.db2.gz OLAIBELPYCKSER-LBPRGKRZSA-N 1 2 321.402 1.976 20 30 DDEDLO CC#CC[N@@H+]1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000157484703 197190743 /nfs/dbraw/zinc/19/07/43/197190743.db2.gz KGHKEOBHJDGZFU-UHFFFAOYSA-N 1 2 310.394 1.545 20 30 DDEDLO CC#CC[N@H+]1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000157484703 197190745 /nfs/dbraw/zinc/19/07/45/197190745.db2.gz KGHKEOBHJDGZFU-UHFFFAOYSA-N 1 2 310.394 1.545 20 30 DDEDLO C#CCOc1ccc(C[N@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000157054865 197157912 /nfs/dbraw/zinc/15/79/12/197157912.db2.gz ZOTRLUFTMKFKTI-MRXNPFEDSA-N 1 2 319.426 1.850 20 30 DDEDLO C#CCOc1ccc(C[N@@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000157054865 197157914 /nfs/dbraw/zinc/15/79/14/197157914.db2.gz ZOTRLUFTMKFKTI-MRXNPFEDSA-N 1 2 319.426 1.850 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)C(C)(C)Oc2ccc(C#N)cc2)C1 ZINC000156334066 197105000 /nfs/dbraw/zinc/10/50/00/197105000.db2.gz IPDXIKAXSHVOKO-OAHLLOKOSA-N 1 2 317.389 1.162 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)C(C)(C)Oc2ccc(C#N)cc2)C1 ZINC000156334066 197105001 /nfs/dbraw/zinc/10/50/01/197105001.db2.gz IPDXIKAXSHVOKO-OAHLLOKOSA-N 1 2 317.389 1.162 20 30 DDEDLO CCc1nnc(N2CC[C@@H]([NH+]3CCOCC3)C2)c(C#N)c1CC ZINC000120795489 195239467 /nfs/dbraw/zinc/23/94/67/195239467.db2.gz VGQUXWXDWANSKT-CYBMUJFWSA-N 1 2 315.421 1.384 20 30 DDEDLO COc1ccc(C(C)=O)c(OC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000414161693 533366718 /nfs/dbraw/zinc/36/67/18/533366718.db2.gz KLUBWUVIVICQBO-CYBMUJFWSA-N 1 2 306.362 1.483 20 30 DDEDLO COc1ccc(C(C)=O)c(OC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000414161693 533366721 /nfs/dbraw/zinc/36/67/21/533366721.db2.gz KLUBWUVIVICQBO-CYBMUJFWSA-N 1 2 306.362 1.483 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNC(=O)c2snnc2C)C1 ZINC000330597861 533506573 /nfs/dbraw/zinc/50/65/73/533506573.db2.gz FBFWOQRCERLIDT-SNVBAGLBSA-N 1 2 312.395 1.036 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNC(=O)c2snnc2C)C1 ZINC000330597861 533506577 /nfs/dbraw/zinc/50/65/77/533506577.db2.gz FBFWOQRCERLIDT-SNVBAGLBSA-N 1 2 312.395 1.036 20 30 DDEDLO COC(=O)c1cccc(OC)c1OC[C@H](O)C[N@H+](C)CCC#N ZINC000414145616 292019715 /nfs/dbraw/zinc/01/97/15/292019715.db2.gz PLLBTCFUCCDPNM-GFCCVEGCSA-N 1 2 322.361 1.067 20 30 DDEDLO COC(=O)c1cccc(OC)c1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000414145616 292019717 /nfs/dbraw/zinc/01/97/17/292019717.db2.gz PLLBTCFUCCDPNM-GFCCVEGCSA-N 1 2 322.361 1.067 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1C[C@H](C)[NH+](C)[C@@H](C)C1 ZINC000135260478 292046522 /nfs/dbraw/zinc/04/65/22/292046522.db2.gz FEHCQSSTPXKUCW-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@H+](Cc3ccc(C#N)cc3[N+](=O)[O-])C2)n1 ZINC000568889187 304320360 /nfs/dbraw/zinc/32/03/60/304320360.db2.gz OQWGSVWPJNQYTI-ZDUSSCGKSA-N 1 2 312.333 1.883 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@@H+](Cc3ccc(C#N)cc3[N+](=O)[O-])C2)n1 ZINC000568889187 304320362 /nfs/dbraw/zinc/32/03/62/304320362.db2.gz OQWGSVWPJNQYTI-ZDUSSCGKSA-N 1 2 312.333 1.883 20 30 DDEDLO Cc1nc([C@H]2CC[N@H+](Cc3ccc(C#N)cc3[N+](=O)[O-])C2)n[nH]1 ZINC000568889187 304320365 /nfs/dbraw/zinc/32/03/65/304320365.db2.gz OQWGSVWPJNQYTI-ZDUSSCGKSA-N 1 2 312.333 1.883 20 30 DDEDLO Cc1nc([C@H]2CC[N@@H+](Cc3ccc(C#N)cc3[N+](=O)[O-])C2)n[nH]1 ZINC000568889187 304320367 /nfs/dbraw/zinc/32/03/67/304320367.db2.gz OQWGSVWPJNQYTI-ZDUSSCGKSA-N 1 2 312.333 1.883 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1F ZINC000569167898 304339459 /nfs/dbraw/zinc/33/94/59/304339459.db2.gz KIDPWCUETNBURH-LBPRGKRZSA-N 1 2 301.325 1.901 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1F ZINC000569167898 304339460 /nfs/dbraw/zinc/33/94/60/304339460.db2.gz KIDPWCUETNBURH-LBPRGKRZSA-N 1 2 301.325 1.901 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)Cc1ccc(C#N)cc1 ZINC000048742530 407106926 /nfs/dbraw/zinc/10/69/26/407106926.db2.gz LONRNVJYKBXBFQ-LBPRGKRZSA-N 1 2 304.375 1.263 20 30 DDEDLO C#CC[NH+](CC#C)Cc1ccccc1OCC(=O)NCC1CC1 ZINC000093475520 407199036 /nfs/dbraw/zinc/19/90/36/407199036.db2.gz KNKADABKIVQOIG-UHFFFAOYSA-N 1 2 310.397 1.660 20 30 DDEDLO N#CCCCS(=O)(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000104107994 407346922 /nfs/dbraw/zinc/34/69/22/407346922.db2.gz FYEBIKQDWDXZLW-UHFFFAOYSA-N 1 2 313.448 1.499 20 30 DDEDLO C[C@H](CC#N)[N@H+](C)C[C@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000123721299 407337065 /nfs/dbraw/zinc/33/70/65/407337065.db2.gz JSRQBFARSSUCGH-OCCSQVGLSA-N 1 2 316.361 1.098 20 30 DDEDLO C[C@H](CC#N)[N@@H+](C)C[C@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000123721299 407337066 /nfs/dbraw/zinc/33/70/66/407337066.db2.gz JSRQBFARSSUCGH-OCCSQVGLSA-N 1 2 316.361 1.098 20 30 DDEDLO C[C@H](C#N)NC(=O)c1cccc(NC(=O)CCn2cc[nH+]c2)c1 ZINC000125082813 407378647 /nfs/dbraw/zinc/37/86/47/407378647.db2.gz REZSZEJTPCPMSA-GFCCVEGCSA-N 1 2 311.345 1.554 20 30 DDEDLO CCC[C@](C)([NH2+]C[C@H](O)COc1ccc(C#N)cc1)C(=O)OC ZINC000109803599 407398236 /nfs/dbraw/zinc/39/82/36/407398236.db2.gz PGSMIHCDRSMPPB-YOEHRIQHSA-N 1 2 320.389 1.619 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000126011002 407402759 /nfs/dbraw/zinc/40/27/59/407402759.db2.gz DKLHMTMBOQSFJY-INIZCTEOSA-N 1 2 313.401 1.804 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C[C@@H]1O ZINC000271013543 407469993 /nfs/dbraw/zinc/46/99/93/407469993.db2.gz ZZMRXJIZWDIABM-SUHUHFCYSA-N 1 2 305.378 1.798 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C[C@@H]1O ZINC000271013543 407469996 /nfs/dbraw/zinc/46/99/96/407469996.db2.gz ZZMRXJIZWDIABM-SUHUHFCYSA-N 1 2 305.378 1.798 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)Nc1nc2c(cc1C#N)CCCC2 ZINC000271025099 407474387 /nfs/dbraw/zinc/47/43/87/407474387.db2.gz ZZCJSCVQDWZGPO-CQSZACIVSA-N 1 2 313.449 1.880 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CC2=CCCCC2)CC1 ZINC000112904605 407444970 /nfs/dbraw/zinc/44/49/70/407444970.db2.gz BGYAQUWFLZLTCA-UHFFFAOYSA-N 1 2 317.433 1.161 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CC2=CCCCC2)CC1 ZINC000112904605 407444974 /nfs/dbraw/zinc/44/49/74/407444974.db2.gz BGYAQUWFLZLTCA-UHFFFAOYSA-N 1 2 317.433 1.161 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[NH+]([C@@H](CC)CO)CC2)s1 ZINC000129228435 407599323 /nfs/dbraw/zinc/59/93/23/407599323.db2.gz UOOYLOIVRPDTSY-LBPRGKRZSA-N 1 2 324.450 1.270 20 30 DDEDLO CC(C)N1CCC[C@H](O[NH+]=C(N)c2ccc3c(c2)CCO3)C1=O ZINC000178977873 407679831 /nfs/dbraw/zinc/67/98/31/407679831.db2.gz MVVHTUFRVUOGAE-HNNXBMFYSA-N 1 2 317.389 1.658 20 30 DDEDLO CC[C@H](C)NC(=O)[C@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000178978047 407681120 /nfs/dbraw/zinc/68/11/20/407681120.db2.gz CYQDTXXWPWXFCG-QWRGUYRKSA-N 1 2 305.378 1.562 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCN(Cc2cccc(F)c2)C(=O)C1 ZINC000131175075 407723750 /nfs/dbraw/zinc/72/37/50/407723750.db2.gz DLJKNUFZYPAVCK-INIZCTEOSA-N 1 2 306.381 1.797 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCN(Cc2cccc(F)c2)C(=O)C1 ZINC000131175075 407723755 /nfs/dbraw/zinc/72/37/55/407723755.db2.gz DLJKNUFZYPAVCK-INIZCTEOSA-N 1 2 306.381 1.797 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccn(CCC#N)n1)[C@@H](C)[NH+]1CCOCC1 ZINC000173338102 407875010 /nfs/dbraw/zinc/87/50/10/407875010.db2.gz ZXXZYNOUUDYATE-CHWSQXEVSA-N 1 2 320.397 1.027 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCc2ccccc2[C@@H]1CO)C1CC1 ZINC000268142492 407910685 /nfs/dbraw/zinc/91/06/85/407910685.db2.gz QFULRXYKJJWHJZ-WMZOPIPTSA-N 1 2 313.401 1.387 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCc2ccccc2[C@@H]1CO)C1CC1 ZINC000268142492 407910688 /nfs/dbraw/zinc/91/06/88/407910688.db2.gz QFULRXYKJJWHJZ-WMZOPIPTSA-N 1 2 313.401 1.387 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)cc1O ZINC000188575402 407958175 /nfs/dbraw/zinc/95/81/75/407958175.db2.gz KJGHPGDSBROWRU-HNNXBMFYSA-N 1 2 315.373 1.201 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@H+](C)Cc1ccc(OC)c(C#N)c1 ZINC000135078319 407972757 /nfs/dbraw/zinc/97/27/57/407972757.db2.gz LJPDROLOZNJWNI-LBPRGKRZSA-N 1 2 310.419 1.822 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@@H+](C)Cc1ccc(OC)c(C#N)c1 ZINC000135078319 407972762 /nfs/dbraw/zinc/97/27/62/407972762.db2.gz LJPDROLOZNJWNI-LBPRGKRZSA-N 1 2 310.419 1.822 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+]([C@H](C)c2ccc(C#N)cc2)CC1 ZINC000118395464 407914207 /nfs/dbraw/zinc/91/42/07/407914207.db2.gz UFWMJJHZGYOXCE-CYBMUJFWSA-N 1 2 307.419 1.587 20 30 DDEDLO N#Cc1cccc(NC(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)c1 ZINC000174356060 407938167 /nfs/dbraw/zinc/93/81/67/407938167.db2.gz MQWKYHDMIBPFDS-HNNXBMFYSA-N 1 2 300.362 1.543 20 30 DDEDLO N#Cc1cccc(NC(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)c1 ZINC000174356060 407938173 /nfs/dbraw/zinc/93/81/73/407938173.db2.gz MQWKYHDMIBPFDS-HNNXBMFYSA-N 1 2 300.362 1.543 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cc[nH]c(=O)c3)CC2)cc1 ZINC000268453455 408050176 /nfs/dbraw/zinc/05/01/76/408050176.db2.gz QSTXSCZYOXOQNN-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO COC(=O)[C@H]1[C@H](O)CCC[N@@H+]1Cc1ccccc1C(C)(C)C#N ZINC000189566649 408052662 /nfs/dbraw/zinc/05/26/62/408052662.db2.gz KPUVNLQVOWFQRF-HZPDHXFCSA-N 1 2 316.401 1.986 20 30 DDEDLO COC(=O)[C@H]1[C@H](O)CCC[N@H+]1Cc1ccccc1C(C)(C)C#N ZINC000189566649 408052668 /nfs/dbraw/zinc/05/26/68/408052668.db2.gz KPUVNLQVOWFQRF-HZPDHXFCSA-N 1 2 316.401 1.986 20 30 DDEDLO Cc1cc(C)n(-c2ccc(C(N)=[NH+]OCCOC(C)C)cn2)n1 ZINC000181610320 408017368 /nfs/dbraw/zinc/01/73/68/408017368.db2.gz IEYNOSMOBPIRGP-UHFFFAOYSA-N 1 2 317.393 1.946 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC[C@@H](n2cncn2)C1 ZINC000245827494 408074359 /nfs/dbraw/zinc/07/43/59/408074359.db2.gz PKLYBZORVPWJBR-UKRRQHHQSA-N 1 2 324.388 1.814 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC[C@@H](n2cncn2)C1 ZINC000245827494 408074366 /nfs/dbraw/zinc/07/43/66/408074366.db2.gz PKLYBZORVPWJBR-UKRRQHHQSA-N 1 2 324.388 1.814 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000120303790 408125523 /nfs/dbraw/zinc/12/55/23/408125523.db2.gz UVMQQRWBDNVFES-UHFFFAOYSA-N 1 2 324.446 1.719 20 30 DDEDLO CCc1nnc(N[C@@H](C)C[NH+]2CCOCC2)c(C#N)c1CC ZINC000136430038 408107092 /nfs/dbraw/zinc/10/70/92/408107092.db2.gz KPPLUXLAUKOQTF-LBPRGKRZSA-N 1 2 303.410 1.606 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)[C@@H](C)[NH+]1CCN(C)CC1 ZINC000273339255 408160076 /nfs/dbraw/zinc/16/00/76/408160076.db2.gz VKRIZPJMSVDVHJ-QGZVFWFLSA-N 1 2 315.461 1.880 20 30 DDEDLO Cc1c(Cl)cnc(N2CC[NH+](C(C)(C)C(N)=O)CC2)c1C#N ZINC000273377964 408173124 /nfs/dbraw/zinc/17/31/24/408173124.db2.gz SNAVSCWOJKRBRI-UHFFFAOYSA-N 1 2 321.812 1.301 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)/C=C/c1ccc(-n2cc[nH+]c2)cc1 ZINC000156398540 408254578 /nfs/dbraw/zinc/25/45/78/408254578.db2.gz IHVLVDHXRXNQTL-ZGRWHYIRSA-N 1 2 310.357 1.930 20 30 DDEDLO CO[C@@H]1CCC[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000246365081 408233221 /nfs/dbraw/zinc/23/32/21/408233221.db2.gz HBJGTXMCVUQYGF-OLZOCXBDSA-N 1 2 305.403 1.836 20 30 DDEDLO CO[C@@H]1CCC[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000246365081 408233225 /nfs/dbraw/zinc/23/32/25/408233225.db2.gz HBJGTXMCVUQYGF-OLZOCXBDSA-N 1 2 305.403 1.836 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)NCCC(C)(C)C)cs1 ZINC000151279500 408287683 /nfs/dbraw/zinc/28/76/83/408287683.db2.gz XGMAUBVHIAYOIL-UHFFFAOYSA-N 1 2 312.439 1.835 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCCC[C@H]2[C@H](C)O)c(C#N)c1C ZINC000269422609 408302864 /nfs/dbraw/zinc/30/28/64/408302864.db2.gz JFELLPCFOHTMRU-FZMZJTMJSA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCCC[C@H]2[C@H](C)O)c(C#N)c1C ZINC000269422609 408302871 /nfs/dbraw/zinc/30/28/71/408302871.db2.gz JFELLPCFOHTMRU-FZMZJTMJSA-N 1 2 305.378 1.942 20 30 DDEDLO CNC(=O)[C@@H]([NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1)C(C)C ZINC000183642727 408462246 /nfs/dbraw/zinc/46/22/46/408462246.db2.gz LNUVUAFHHORFHQ-AWEZNQCLSA-N 1 2 313.361 1.468 20 30 DDEDLO Cn1cc(C[N@H+](C)[C@@H]2CCCC[C@H]2S(C)(=O)=O)cc1C#N ZINC000248805209 408638743 /nfs/dbraw/zinc/63/87/43/408638743.db2.gz BCKRQWGAEPMDNC-HUUCEWRRSA-N 1 2 309.435 1.684 20 30 DDEDLO Cn1cc(C[N@@H+](C)[C@@H]2CCCC[C@H]2S(C)(=O)=O)cc1C#N ZINC000248805209 408638746 /nfs/dbraw/zinc/63/87/46/408638746.db2.gz BCKRQWGAEPMDNC-HUUCEWRRSA-N 1 2 309.435 1.684 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCCC[C@@H]2C(N)=O)cc(OC)c1O ZINC000162023214 408664337 /nfs/dbraw/zinc/66/43/37/408664337.db2.gz OCDAXQOVNUROLJ-CQSZACIVSA-N 1 2 304.390 1.969 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCCC[C@@H]2C(N)=O)cc(OC)c1O ZINC000162023214 408664342 /nfs/dbraw/zinc/66/43/42/408664342.db2.gz OCDAXQOVNUROLJ-CQSZACIVSA-N 1 2 304.390 1.969 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](CC(=O)N3CCCCC3)CC2)n1 ZINC000265595268 408705844 /nfs/dbraw/zinc/70/58/44/408705844.db2.gz YRVIVXJAQLPJJU-UHFFFAOYSA-N 1 2 313.405 1.088 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3ccc(CO)o3)CC2)c1C#N ZINC000291547200 408883701 /nfs/dbraw/zinc/88/37/01/408883701.db2.gz LVVWVWUVFJFNDI-UHFFFAOYSA-N 1 2 318.402 1.731 20 30 DDEDLO N#CCOc1ccc(CNC(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC000291171887 408861263 /nfs/dbraw/zinc/86/12/63/408861263.db2.gz GQTKKGKOUSUBOY-UHFFFAOYSA-N 1 2 311.345 1.511 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCc2c(ncn(CC)c2=O)C1 ZINC000280931325 408861856 /nfs/dbraw/zinc/86/18/56/408861856.db2.gz HDXDIVLNTGYJOZ-UHFFFAOYSA-N 1 2 318.421 1.046 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCc2c(ncn(CC)c2=O)C1 ZINC000280931325 408861858 /nfs/dbraw/zinc/86/18/58/408861858.db2.gz HDXDIVLNTGYJOZ-UHFFFAOYSA-N 1 2 318.421 1.046 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[N@@H+]3CCCC[C@H]3C2)cc1F ZINC000291620946 408896195 /nfs/dbraw/zinc/89/61/95/408896195.db2.gz AGSOOEHSYBUJGE-ZDUSSCGKSA-N 1 2 323.393 1.556 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[N@H+]3CCCC[C@H]3C2)cc1F ZINC000291620946 408896197 /nfs/dbraw/zinc/89/61/97/408896197.db2.gz AGSOOEHSYBUJGE-ZDUSSCGKSA-N 1 2 323.393 1.556 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2cccc(C)c2)C1=O ZINC000281443048 408886270 /nfs/dbraw/zinc/88/62/70/408886270.db2.gz UDCUNECZSHIWNL-OAHLLOKOSA-N 1 2 301.390 1.652 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2cccc(C)c2)C1=O ZINC000281443048 408886274 /nfs/dbraw/zinc/88/62/74/408886274.db2.gz UDCUNECZSHIWNL-OAHLLOKOSA-N 1 2 301.390 1.652 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CCOc2ccccc2C(C)=O)C1=O ZINC000281486701 408888000 /nfs/dbraw/zinc/88/80/00/408888000.db2.gz WZZWYLTYWFFZLR-MRXNPFEDSA-N 1 2 316.401 1.987 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CCOc2ccccc2C(C)=O)C1=O ZINC000281486701 408888003 /nfs/dbraw/zinc/88/80/03/408888003.db2.gz WZZWYLTYWFFZLR-MRXNPFEDSA-N 1 2 316.401 1.987 20 30 DDEDLO CC#CC(=O)N[C@H](c1[nH+]ccn1C)c1cc(OC)cc(OC)c1 ZINC000277394342 408931851 /nfs/dbraw/zinc/93/18/51/408931851.db2.gz KNQLIZWKUSGRMV-INIZCTEOSA-N 1 2 313.357 1.666 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1nccc(C#N)c1[N+](=O)[O-] ZINC000286426506 408982954 /nfs/dbraw/zinc/98/29/54/408982954.db2.gz RLRGZPZSVZZKAE-YPMHNXCESA-N 1 2 317.349 1.161 20 30 DDEDLO C=CCn1c(N(C)CC[NH+]2CCOCC2)nnc1C(C)(C)C ZINC000282225028 409014601 /nfs/dbraw/zinc/01/46/01/409014601.db2.gz IXXGHHRBFPTHEK-UHFFFAOYSA-N 1 2 307.442 1.530 20 30 DDEDLO CC(C)S(=O)(=O)CC[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292290590 409018230 /nfs/dbraw/zinc/01/82/30/409018230.db2.gz UCWFOJCZPZHNKR-CQSZACIVSA-N 1 2 323.418 1.230 20 30 DDEDLO CC(C)S(=O)(=O)CC[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292290590 409018232 /nfs/dbraw/zinc/01/82/32/409018232.db2.gz UCWFOJCZPZHNKR-CQSZACIVSA-N 1 2 323.418 1.230 20 30 DDEDLO C=C(CNC(=O)N[C@H](C)C[NH+]1CCOCC1)c1ccccc1 ZINC000287351200 409023923 /nfs/dbraw/zinc/02/39/23/409023923.db2.gz ZJQFLZZYZCGZSL-OAHLLOKOSA-N 1 2 303.406 1.720 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(C)o3)n2CC=C)CC1 ZINC000282327500 409034797 /nfs/dbraw/zinc/03/47/97/409034797.db2.gz QOZQVBPZCHUJED-UHFFFAOYSA-N 1 2 311.389 1.788 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000292568333 409036303 /nfs/dbraw/zinc/03/63/03/409036303.db2.gz LBTASZKTNPGTMZ-UHFFFAOYSA-N 1 2 310.423 1.203 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccn3C)n2CC(=C)C)CC1 ZINC000282670134 409060674 /nfs/dbraw/zinc/06/06/74/409060674.db2.gz AHJMTORJPGHMEB-UHFFFAOYSA-N 1 2 324.432 1.615 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CC(=O)N(C)[C@@H](C)C2)cc1OC ZINC000282752317 409062435 /nfs/dbraw/zinc/06/24/35/409062435.db2.gz OENIJAHPCVOERG-ZDUSSCGKSA-N 1 2 304.390 1.923 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CC(=O)N(C)[C@@H](C)C2)cc1OC ZINC000282752317 409062437 /nfs/dbraw/zinc/06/24/37/409062437.db2.gz OENIJAHPCVOERG-ZDUSSCGKSA-N 1 2 304.390 1.923 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccc(CC#N)cc1 ZINC000279296812 409187817 /nfs/dbraw/zinc/18/78/17/409187817.db2.gz LZOOFTKRDAARNW-CQSZACIVSA-N 1 2 301.390 1.545 20 30 DDEDLO C[C@H]1C[C@@H](CO)CC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000289031699 409210270 /nfs/dbraw/zinc/21/02/70/409210270.db2.gz OWRHBZDAJVEVMZ-ZFWWWQNUSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H]1C[C@@H](CO)CC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000289031699 409210273 /nfs/dbraw/zinc/21/02/73/409210273.db2.gz OWRHBZDAJVEVMZ-ZFWWWQNUSA-N 1 2 322.430 1.425 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)C[C@H]2CCCS(=O)(=O)C2)n1 ZINC000283901915 409236981 /nfs/dbraw/zinc/23/69/81/409236981.db2.gz BMZHXWZXGDXJQM-CQSZACIVSA-N 1 2 309.435 1.163 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)C[C@H]2CCCS(=O)(=O)C2)n1 ZINC000283901915 409236982 /nfs/dbraw/zinc/23/69/82/409236982.db2.gz BMZHXWZXGDXJQM-CQSZACIVSA-N 1 2 309.435 1.163 20 30 DDEDLO N#Cc1cc(F)ccc1CS(=O)(=O)N1CC[NH+](C2CC2)CC1 ZINC000294335187 409282314 /nfs/dbraw/zinc/28/23/14/409282314.db2.gz JPYCOFPNABJWJG-UHFFFAOYSA-N 1 2 323.393 1.307 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2cccc(C)c2C#N)CC1 ZINC000295241100 409393138 /nfs/dbraw/zinc/39/31/38/409393138.db2.gz DPVDEZUUSQSTNY-CQSZACIVSA-N 1 2 321.446 1.972 20 30 DDEDLO C#C[C@H](C)NC(=O)[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1c[nH+]cn1C ZINC000284590399 409352334 /nfs/dbraw/zinc/35/23/34/409352334.db2.gz AWESLJCYEBEWQY-NORZTCDRSA-N 1 2 314.389 1.000 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000284623839 409354472 /nfs/dbraw/zinc/35/44/72/409354472.db2.gz ZUEMTSPVNFBUDG-CABZTGNLSA-N 1 2 322.321 1.390 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[N@@H+](C)[C@H](C)[C@@H]2C)ccc1C#N ZINC000408437099 164331580 /nfs/dbraw/zinc/33/15/80/164331580.db2.gz OXAIPJCTOSEJQB-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[N@H+](C)[C@H](C)[C@@H]2C)ccc1C#N ZINC000408437099 164331581 /nfs/dbraw/zinc/33/15/81/164331581.db2.gz OXAIPJCTOSEJQB-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO CN(C(=O)C[N@H+](C)C[C@@H](O)C(F)(F)F)C1(C#N)CCCCC1 ZINC000305759260 409765640 /nfs/dbraw/zinc/76/56/40/409765640.db2.gz CQEGXNZNJXZTBV-LLVKDONJSA-N 1 2 321.343 1.526 20 30 DDEDLO CN(C(=O)C[N@@H+](C)C[C@@H](O)C(F)(F)F)C1(C#N)CCCCC1 ZINC000305759260 409765641 /nfs/dbraw/zinc/76/56/41/409765641.db2.gz CQEGXNZNJXZTBV-LLVKDONJSA-N 1 2 321.343 1.526 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)NC[C@@H]1CCCC[C@@H]1O ZINC000328607422 409933478 /nfs/dbraw/zinc/93/34/78/409933478.db2.gz LDOYXNWCSJNSNR-IHRRRGAJSA-N 1 2 306.410 1.815 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC([O-])=[NH+][C@@H](C)Cn1cncn1)CCC2 ZINC000328655076 409947335 /nfs/dbraw/zinc/94/73/35/409947335.db2.gz MKJIFNNQXYKWLT-STQMWFEESA-N 1 2 317.397 1.253 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](C[NH+]=C([O-])N[C@@H](C)Cn1cncn1)CCC2 ZINC000328655076 409947338 /nfs/dbraw/zinc/94/73/38/409947338.db2.gz MKJIFNNQXYKWLT-STQMWFEESA-N 1 2 317.397 1.253 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)NCC(C)(C)C#N)C1 ZINC000328656168 409949244 /nfs/dbraw/zinc/94/92/44/409949244.db2.gz ZBLLXYCNSQELQM-CQSZACIVSA-N 1 2 308.426 1.493 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)NCC(C)(C)C#N)C1 ZINC000328656168 409949254 /nfs/dbraw/zinc/94/92/54/409949254.db2.gz ZBLLXYCNSQELQM-CQSZACIVSA-N 1 2 308.426 1.493 20 30 DDEDLO C[C@H](NC(=O)C[N@@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1)c1ccccc1 ZINC000329160275 410138063 /nfs/dbraw/zinc/13/80/63/410138063.db2.gz FCQUYAXLGPKWNG-AEGPPILISA-N 1 2 322.430 1.823 20 30 DDEDLO C[C@H](NC(=O)C[N@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1)c1ccccc1 ZINC000329160275 410138068 /nfs/dbraw/zinc/13/80/68/410138068.db2.gz FCQUYAXLGPKWNG-AEGPPILISA-N 1 2 322.430 1.823 20 30 DDEDLO CCN1CC[NH+]([C@@H]2CCN(C(=O)NCc3nccs3)C2)CC1 ZINC000329167080 410141624 /nfs/dbraw/zinc/14/16/24/410141624.db2.gz IHGZJTJOHZJVCU-CYBMUJFWSA-N 1 2 323.466 1.269 20 30 DDEDLO Cc1nnc(CCNC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)[nH]1 ZINC000329268936 410204056 /nfs/dbraw/zinc/20/40/56/410204056.db2.gz GUKPYDGCCNAKSE-CYBMUJFWSA-N 1 2 307.398 1.256 20 30 DDEDLO Cc1nnc(CCNC(=O)[C@H]2COCC[N@H+]2C2CCCC2)[nH]1 ZINC000329268936 410204060 /nfs/dbraw/zinc/20/40/60/410204060.db2.gz GUKPYDGCCNAKSE-CYBMUJFWSA-N 1 2 307.398 1.256 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)Nc2ccccc2SCC#N)C[C@H]1O ZINC000351813327 410255668 /nfs/dbraw/zinc/25/56/68/410255668.db2.gz HCPBTPHATAEGOJ-WCQYABFASA-N 1 2 305.403 1.553 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)Nc2ccccc2SCC#N)C[C@H]1O ZINC000351813327 410255670 /nfs/dbraw/zinc/25/56/70/410255670.db2.gz HCPBTPHATAEGOJ-WCQYABFASA-N 1 2 305.403 1.553 20 30 DDEDLO Cc1cn2cc(NC(=O)N3C[C@H]4OCCN(C)[C@H]4C3)ccc2[nH+]1 ZINC000329504010 410334004 /nfs/dbraw/zinc/33/40/04/410334004.db2.gz IGLLOPHWYUMPTK-UONOGXRCSA-N 1 2 315.377 1.203 20 30 DDEDLO C[C@@H](CCC#N)[N@@H+]1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000298561664 410289992 /nfs/dbraw/zinc/28/99/92/410289992.db2.gz PLUQVFUERMLIJE-ZDUSSCGKSA-N 1 2 321.446 1.987 20 30 DDEDLO C[C@@H](CCC#N)[N@H+]1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000298561664 410289997 /nfs/dbraw/zinc/28/99/97/410289997.db2.gz PLUQVFUERMLIJE-ZDUSSCGKSA-N 1 2 321.446 1.987 20 30 DDEDLO O=C(NC[C@@H]1COc2ccccc2O1)N1CCn2cc[nH+]c2C1 ZINC000329444089 410302926 /nfs/dbraw/zinc/30/29/26/410302926.db2.gz NLTGXHHYOHURMI-GFCCVEGCSA-N 1 2 314.345 1.453 20 30 DDEDLO Cn1cc[nH+]c1CNS(=O)(=O)c1cccc(Cl)c1C#N ZINC000355090992 410306221 /nfs/dbraw/zinc/30/62/21/410306221.db2.gz HWAPKNMAXKKGAL-UHFFFAOYSA-N 1 2 310.766 1.424 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1cncn1C)C1CCOCC1 ZINC000329464824 410311396 /nfs/dbraw/zinc/31/13/96/410311396.db2.gz UTPHRSMXERAGRP-CYBMUJFWSA-N 1 2 303.366 1.626 20 30 DDEDLO C[C@@H]1NC(=O)CC[C@@H]1NC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000329653595 410401736 /nfs/dbraw/zinc/40/17/36/410401736.db2.gz LJGWYIZCOGRRRL-IHRRRGAJSA-N 1 2 324.425 1.204 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000298817141 410409903 /nfs/dbraw/zinc/40/99/03/410409903.db2.gz WLTAPBNEQUFFKN-JQWIXIFHSA-N 1 2 319.361 1.590 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000298817141 410409909 /nfs/dbraw/zinc/40/99/09/410409909.db2.gz WLTAPBNEQUFFKN-JQWIXIFHSA-N 1 2 319.361 1.590 20 30 DDEDLO Cn1ncc2c1CCC[C@@H]2NC(=O)N1CCn2cc[nH+]c2C1 ZINC000329854904 410463437 /nfs/dbraw/zinc/46/34/37/410463437.db2.gz SYYNOUUTMUHEAY-LBPRGKRZSA-N 1 2 300.366 1.424 20 30 DDEDLO C=C(CC)C[NH+]1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000358493402 410479303 /nfs/dbraw/zinc/47/93/03/410479303.db2.gz CGSRIHAFYJHVAW-UHFFFAOYSA-N 1 2 312.439 1.001 20 30 DDEDLO CC(C)(C#N)CNC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000358624135 410499417 /nfs/dbraw/zinc/49/94/17/410499417.db2.gz GCYPTQDOJQJEHC-UHFFFAOYSA-N 1 2 301.394 1.459 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)Cc1cccc(C#N)c1 ZINC000299079864 410513187 /nfs/dbraw/zinc/51/31/87/410513187.db2.gz RLTUDLJKBVDJML-GFCCVEGCSA-N 1 2 304.375 1.263 20 30 DDEDLO C[C@H](NC(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1)c1ncnn1C ZINC000329930170 410489832 /nfs/dbraw/zinc/48/98/32/410489832.db2.gz OFKNBTXFFVYVQH-WDEREUQCSA-N 1 2 303.370 1.740 20 30 DDEDLO CS(=O)(=O)C1(C(=O)N[C@H]2CCn3cc[nH+]c3C2)CCCC1 ZINC000330267376 410604398 /nfs/dbraw/zinc/60/43/98/410604398.db2.gz AMPAESZYHRYNNV-NSHDSACASA-N 1 2 311.407 1.512 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)NC[C@H]2Cc3ccccc3O2)CC1 ZINC000352462499 410660101 /nfs/dbraw/zinc/66/01/01/410660101.db2.gz CXWNKMOLYZMGGD-CQSZACIVSA-N 1 2 317.364 1.434 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000352370634 410649928 /nfs/dbraw/zinc/64/99/28/410649928.db2.gz BDQPWVSHERNTJE-RDJZCZTQSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000352370634 410649934 /nfs/dbraw/zinc/64/99/34/410649934.db2.gz BDQPWVSHERNTJE-RDJZCZTQSA-N 1 2 310.438 1.681 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(CC#N)cc1 ZINC000355891772 410721531 /nfs/dbraw/zinc/72/15/31/410721531.db2.gz OGQMARVNNRCXPR-CYBMUJFWSA-N 1 2 302.378 1.595 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(CC#N)cc1 ZINC000355891772 410721536 /nfs/dbraw/zinc/72/15/36/410721536.db2.gz OGQMARVNNRCXPR-CYBMUJFWSA-N 1 2 302.378 1.595 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000330422727 410682153 /nfs/dbraw/zinc/68/21/53/410682153.db2.gz QUGKAXOYUMOPHR-OAHLLOKOSA-N 1 2 314.433 1.841 20 30 DDEDLO C=CCN(CCOC)C(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000355984730 410760891 /nfs/dbraw/zinc/76/08/91/410760891.db2.gz LBXWQSSIUPZIEG-UHFFFAOYSA-N 1 2 319.405 1.188 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](C)C[C@@H]1CCS(=O)(=O)C1 ZINC000330562773 410778249 /nfs/dbraw/zinc/77/82/49/410778249.db2.gz JDZBYVOPBIGACY-ZDUSSCGKSA-N 1 2 308.403 1.433 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](C)C[C@@H]1CCS(=O)(=O)C1 ZINC000330562773 410778256 /nfs/dbraw/zinc/77/82/56/410778256.db2.gz JDZBYVOPBIGACY-ZDUSSCGKSA-N 1 2 308.403 1.433 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2ccc(C#N)cc2)C[C@H](C)[S@@]1=O ZINC000331140433 410975908 /nfs/dbraw/zinc/97/59/08/410975908.db2.gz FCBNOVOIOJBCDB-SACXKMNMSA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2ccc(C#N)cc2)C[C@H](C)[S@@]1=O ZINC000331140433 410975912 /nfs/dbraw/zinc/97/59/12/410975912.db2.gz FCBNOVOIOJBCDB-SACXKMNMSA-N 1 2 319.430 1.728 20 30 DDEDLO Cn1cc(-c2ncc(C[NH+]3CCC(F)(C#N)CC3)cn2)cn1 ZINC000348668766 411052650 /nfs/dbraw/zinc/05/26/50/411052650.db2.gz FDEWKCKIKGBSLW-UHFFFAOYSA-N 1 2 300.341 1.705 20 30 DDEDLO CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000331319970 411068332 /nfs/dbraw/zinc/06/83/32/411068332.db2.gz BPSZDRQDEZDZGZ-SNVBAGLBSA-N 1 2 309.414 1.578 20 30 DDEDLO CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000331319970 411068335 /nfs/dbraw/zinc/06/83/35/411068335.db2.gz BPSZDRQDEZDZGZ-SNVBAGLBSA-N 1 2 309.414 1.578 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@@H+]2CCOCC2(C)C)ncc1C#N ZINC000603119004 416632460 /nfs/dbraw/zinc/63/24/60/416632460.db2.gz UNFMXEPJHMMBGE-UHFFFAOYSA-N 1 2 317.393 1.494 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@H+]2CCOCC2(C)C)ncc1C#N ZINC000603119004 416632462 /nfs/dbraw/zinc/63/24/62/416632462.db2.gz UNFMXEPJHMMBGE-UHFFFAOYSA-N 1 2 317.393 1.494 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H](CN2CC[NH+](C)CC2)C1 ZINC000373350173 418423535 /nfs/dbraw/zinc/42/35/35/418423535.db2.gz ZBHWLQKJOCIKQI-KRWDZBQOSA-N 1 2 305.466 1.666 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000366630691 418499984 /nfs/dbraw/zinc/49/99/84/418499984.db2.gz YZAXLMWOWVBIEG-AWEZNQCLSA-N 1 2 302.440 1.079 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1Cc2ccccc2[C@H](C(=O)OCC)C1 ZINC000374395890 418527358 /nfs/dbraw/zinc/52/73/58/418527358.db2.gz WGKNVLPHUZLNGE-CZUORRHYSA-N 1 2 314.385 1.287 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1Cc2ccccc2[C@H](C(=O)OCC)C1 ZINC000374395890 418527362 /nfs/dbraw/zinc/52/73/62/418527362.db2.gz WGKNVLPHUZLNGE-CZUORRHYSA-N 1 2 314.385 1.287 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)cc1O ZINC000188722513 222022216 /nfs/dbraw/zinc/02/22/16/222022216.db2.gz AMTWHHYNEMSGCH-AWEZNQCLSA-N 1 2 308.341 1.668 20 30 DDEDLO C#CC[NH+](CC#C)CCN(Cc1ccccc1)S(C)(=O)=O ZINC000192051199 222118463 /nfs/dbraw/zinc/11/84/63/222118463.db2.gz ZTJLJUQMEFJGDJ-UHFFFAOYSA-N 1 2 304.415 1.017 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000294235962 418583711 /nfs/dbraw/zinc/58/37/11/418583711.db2.gz BMMMTBLYSRNVDQ-DOTOQJQBSA-N 1 2 316.401 1.214 20 30 DDEDLO Cc1nsc(N2CCC[N@H+](C[C@@H](C#N)CCC#N)CC2)n1 ZINC000246637597 222223800 /nfs/dbraw/zinc/22/38/00/222223800.db2.gz BSCYJDRTPSTGMZ-CYBMUJFWSA-N 1 2 304.423 1.802 20 30 DDEDLO Cc1nsc(N2CCC[N@@H+](C[C@@H](C#N)CCC#N)CC2)n1 ZINC000246637597 222223804 /nfs/dbraw/zinc/22/38/04/222223804.db2.gz BSCYJDRTPSTGMZ-CYBMUJFWSA-N 1 2 304.423 1.802 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC(F)(F)[C@@H](CO)C1 ZINC000291372160 222347634 /nfs/dbraw/zinc/34/76/34/222347634.db2.gz AKELTYSKBSPGJI-WCQYABFASA-N 1 2 323.343 1.835 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC(F)(F)[C@@H](CO)C1 ZINC000291372160 222347637 /nfs/dbraw/zinc/34/76/37/222347637.db2.gz AKELTYSKBSPGJI-WCQYABFASA-N 1 2 323.343 1.835 20 30 DDEDLO CC(C)[C@H](O)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000291422606 222379447 /nfs/dbraw/zinc/37/94/47/222379447.db2.gz ICCWCGTVAGPMOR-INIZCTEOSA-N 1 2 301.390 1.219 20 30 DDEDLO C=CCNc1nnc(SCC[N@@H+]2CCO[C@H](C)C2)s1 ZINC000340593029 418623623 /nfs/dbraw/zinc/62/36/23/418623623.db2.gz CZFYLDVGYLXUCT-SNVBAGLBSA-N 1 2 300.453 1.949 20 30 DDEDLO C=CCNc1nnc(SCC[N@H+]2CCO[C@H](C)C2)s1 ZINC000340593029 418623626 /nfs/dbraw/zinc/62/36/26/418623626.db2.gz CZFYLDVGYLXUCT-SNVBAGLBSA-N 1 2 300.453 1.949 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2ccc(F)cn2)CC1 ZINC000367741376 418630102 /nfs/dbraw/zinc/63/01/02/418630102.db2.gz RDSGEFLPXYATRQ-UHFFFAOYSA-N 1 2 318.396 1.543 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNc2ccncc2C#N)cn1 ZINC000367805084 418636551 /nfs/dbraw/zinc/63/65/51/418636551.db2.gz QXYYHZNBZYIFGH-GJZGRUSLSA-N 1 2 314.368 1.133 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNc2ccncc2C#N)cn1 ZINC000367805084 418636553 /nfs/dbraw/zinc/63/65/53/418636553.db2.gz QXYYHZNBZYIFGH-GJZGRUSLSA-N 1 2 314.368 1.133 20 30 DDEDLO COC(=O)C1(O)CC[NH+](Cc2cc(C#N)ccc2OC)CC1 ZINC000378368660 418722094 /nfs/dbraw/zinc/72/20/94/418722094.db2.gz WMMKSQLPLFQAIH-UHFFFAOYSA-N 1 2 304.346 1.067 20 30 DDEDLO C#Cc1ccccc1CC(=O)Nc1c[nH+]ccc1-n1ccnn1 ZINC000368274279 418714122 /nfs/dbraw/zinc/71/41/22/418714122.db2.gz ROGISSJHLWAIDX-UHFFFAOYSA-N 1 2 303.325 1.825 20 30 DDEDLO C=CCCC[C@@H](C)NS(=O)(=O)CCC[NH+]1CCOCC1 ZINC000361821827 418714684 /nfs/dbraw/zinc/71/46/84/418714684.db2.gz XHMPYMOFHUQOMM-CQSZACIVSA-N 1 2 304.456 1.373 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NCc2ccc(C#N)cc2)C1 ZINC000378265804 418720331 /nfs/dbraw/zinc/72/03/31/418720331.db2.gz PBUQDBRUHVPHKG-OAHLLOKOSA-N 1 2 309.373 1.991 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@H+](Cc1ccc(C#N)c(F)c1)C2 ZINC000375727164 418677812 /nfs/dbraw/zinc/67/78/12/418677812.db2.gz MUAUWLSPCMJVCR-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@@H+](Cc1ccc(C#N)c(F)c1)C2 ZINC000375727164 418677814 /nfs/dbraw/zinc/67/78/14/418677814.db2.gz MUAUWLSPCMJVCR-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H](c2ccncn2)C1 ZINC000361638206 418694653 /nfs/dbraw/zinc/69/46/53/418694653.db2.gz ZGFGEJPFRNQLAV-PBHICJAKSA-N 1 2 315.421 1.710 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H](c2ccncn2)C1 ZINC000361638206 418694655 /nfs/dbraw/zinc/69/46/55/418694655.db2.gz ZGFGEJPFRNQLAV-PBHICJAKSA-N 1 2 315.421 1.710 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCCC[C@H](CO)C2)c(C#N)c1C ZINC000376501213 418698144 /nfs/dbraw/zinc/69/81/44/418698144.db2.gz OEFDNZRSFFCJEY-ZDUSSCGKSA-N 1 2 305.378 1.801 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCCC[C@H](CO)C2)c(C#N)c1C ZINC000376501213 418698145 /nfs/dbraw/zinc/69/81/45/418698145.db2.gz OEFDNZRSFFCJEY-ZDUSSCGKSA-N 1 2 305.378 1.801 20 30 DDEDLO Cn1c[nH+]cc1CN1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000369520538 418734466 /nfs/dbraw/zinc/73/44/66/418734466.db2.gz RHTLTXYBVFDWLH-PXAZEXFGSA-N 1 2 307.357 1.266 20 30 DDEDLO Cc1nc(C2CC[NH+](CC(=O)N[C@@](C)(C#N)C3CC3)CC2)no1 ZINC000363444594 418766097 /nfs/dbraw/zinc/76/60/97/418766097.db2.gz URLAVJXZZAUCAW-INIZCTEOSA-N 1 2 317.393 1.366 20 30 DDEDLO C#C[C@H](C)NC(=O)N1CCC[N@@H+](Cc2csc(CC)n2)CC1 ZINC000364314829 418775422 /nfs/dbraw/zinc/77/54/22/418775422.db2.gz PRWYUQCKEKUPCH-ZDUSSCGKSA-N 1 2 320.462 1.945 20 30 DDEDLO C#C[C@H](C)NC(=O)N1CCC[N@H+](Cc2csc(CC)n2)CC1 ZINC000364314829 418775423 /nfs/dbraw/zinc/77/54/23/418775423.db2.gz PRWYUQCKEKUPCH-ZDUSSCGKSA-N 1 2 320.462 1.945 20 30 DDEDLO Cc1nsc(NC(=O)N[C@H](C)C[NH+]2CCN(C)CC2)c1C#N ZINC000297468203 227208984 /nfs/dbraw/zinc/20/89/84/227208984.db2.gz QYUNMRVYHFSNQU-SNVBAGLBSA-N 1 2 322.438 1.081 20 30 DDEDLO N#C[C@@H]1CN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CCN1C1CC1 ZINC000371733504 418812330 /nfs/dbraw/zinc/81/23/30/418812330.db2.gz VOXIZNNBWVOYIE-QGZVFWFLSA-N 1 2 321.384 1.685 20 30 DDEDLO Cc1cnn(C)c1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000411123560 418871675 /nfs/dbraw/zinc/87/16/75/418871675.db2.gz DVGFLBATXFPRIK-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO CC(C)OC[C@@H]1C[N@H+](C[C@H](O)c2ccc(C#N)cc2)CCO1 ZINC000372160030 418841431 /nfs/dbraw/zinc/84/14/31/418841431.db2.gz HXFOPKAAVGICPV-IRXDYDNUSA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@@H]1C[N@@H+](C[C@H](O)c2ccc(C#N)cc2)CCO1 ZINC000372160030 418841433 /nfs/dbraw/zinc/84/14/33/418841433.db2.gz HXFOPKAAVGICPV-IRXDYDNUSA-N 1 2 304.390 1.717 20 30 DDEDLO Cc1cc(C)c(NC(=O)C(=O)N(C)CC(C)(C)C#N)c(C)[nH+]1 ZINC000411560297 419345230 /nfs/dbraw/zinc/34/52/30/419345230.db2.gz OYGPYNYZXQEYLM-UHFFFAOYSA-N 1 2 302.378 1.954 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1C[C@@H](CO)OC(C)(C)C1 ZINC000420948033 419375658 /nfs/dbraw/zinc/37/56/58/419375658.db2.gz VDGRNDQEQJZXST-KBPBESRZSA-N 1 2 323.437 1.189 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1C[C@@H](CO)OC(C)(C)C1 ZINC000420948033 419375664 /nfs/dbraw/zinc/37/56/64/419375664.db2.gz VDGRNDQEQJZXST-KBPBESRZSA-N 1 2 323.437 1.189 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@@H+]1CCc2n[nH]nc2C1 ZINC000429294039 419998857 /nfs/dbraw/zinc/99/88/57/419998857.db2.gz MXGAQGXVDFJEFM-UHFFFAOYSA-N 1 2 302.363 1.125 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@H+]1CCc2n[nH]nc2C1 ZINC000429294039 419998863 /nfs/dbraw/zinc/99/88/63/419998863.db2.gz MXGAQGXVDFJEFM-UHFFFAOYSA-N 1 2 302.363 1.125 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000425393892 420371214 /nfs/dbraw/zinc/37/12/14/420371214.db2.gz JQELWGXYPMTVMV-QWHCGFSZSA-N 1 2 301.394 1.753 20 30 DDEDLO C=C[C@H](C)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000437773459 420423209 /nfs/dbraw/zinc/42/32/09/420423209.db2.gz JRYVEZIUOPBZIM-UAGQMJEPSA-N 1 2 315.417 1.856 20 30 DDEDLO C=C[C@H](C)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000437773459 420423212 /nfs/dbraw/zinc/42/32/12/420423212.db2.gz JRYVEZIUOPBZIM-UAGQMJEPSA-N 1 2 315.417 1.856 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000456774299 420542204 /nfs/dbraw/zinc/54/22/04/420542204.db2.gz ZXRMFZNGWIWDAZ-INIZCTEOSA-N 1 2 313.401 1.917 20 30 DDEDLO CCn1cc[nH+]c1/C=C/C(=O)NCCOc1ccc(C#N)cc1 ZINC000492406668 420549088 /nfs/dbraw/zinc/54/90/88/420549088.db2.gz HUPQKCIPZSSJQH-BQYQJAHWSA-N 1 2 310.357 1.983 20 30 DDEDLO N#Cc1ccc(N(CC[NH+]2CCOCC2)Cc2ccco2)nc1 ZINC000450946046 420567435 /nfs/dbraw/zinc/56/74/35/420567435.db2.gz XWQZPDGUYBEDHQ-UHFFFAOYSA-N 1 2 312.373 1.885 20 30 DDEDLO Cn1nc2c(cc1=O)C[N@@H+](CC#Cc1ccccc1Cl)CC2 ZINC000441163983 420635635 /nfs/dbraw/zinc/63/56/35/420635635.db2.gz FQRCZQASMKGMNH-UHFFFAOYSA-N 1 2 313.788 1.844 20 30 DDEDLO Cn1nc2c(cc1=O)C[N@H+](CC#Cc1ccccc1Cl)CC2 ZINC000441163983 420635638 /nfs/dbraw/zinc/63/56/38/420635638.db2.gz FQRCZQASMKGMNH-UHFFFAOYSA-N 1 2 313.788 1.844 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)C[C@@H]1CC[C@H]2C[C@H]21 ZINC000448836726 420904480 /nfs/dbraw/zinc/90/44/80/420904480.db2.gz QLKPDLRENTWPHG-HRCADAONSA-N 1 2 305.422 1.497 20 30 DDEDLO C[C@@H](NCC#CC[NH2+][C@H](C)c1ccn(C)n1)c1ccn(C)n1 ZINC000459791398 420873743 /nfs/dbraw/zinc/87/37/43/420873743.db2.gz NHXIQTTYWVOQJJ-ZIAGYGMSSA-N 1 2 300.410 1.158 20 30 DDEDLO CO[C@H]1C[NH+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C[C@@H]1OC ZINC000487976690 421061423 /nfs/dbraw/zinc/06/14/23/421061423.db2.gz ZWQQZWGFYZJDQX-KBPBESRZSA-N 1 2 323.780 1.496 20 30 DDEDLO CN1CCN(S(=O)(=O)c2cccc(C#N)c2)c2ccc[nH+]c21 ZINC000487131888 421005884 /nfs/dbraw/zinc/00/58/84/421005884.db2.gz XAYBAIBVWBOUMR-UHFFFAOYSA-N 1 2 314.370 1.598 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000449869203 421101037 /nfs/dbraw/zinc/10/10/37/421101037.db2.gz KJZISOPSNMNBPN-UAGQMJEPSA-N 1 2 315.417 1.878 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000456202842 421118626 /nfs/dbraw/zinc/11/86/26/421118626.db2.gz DXEYPPVGEREVHG-GASCZTMLSA-N 1 2 316.401 1.844 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000456202842 421118628 /nfs/dbraw/zinc/11/86/28/421118628.db2.gz DXEYPPVGEREVHG-GASCZTMLSA-N 1 2 316.401 1.844 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCC[C@@H](C[NH+]2CCOCC2)C1 ZINC000489271889 421144333 /nfs/dbraw/zinc/14/43/33/421144333.db2.gz SHMVRXRLXROFRG-HNNXBMFYSA-N 1 2 316.467 1.327 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489713577 421172193 /nfs/dbraw/zinc/17/21/93/421172193.db2.gz XOBNCBSIRCJOPC-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489713577 421172197 /nfs/dbraw/zinc/17/21/97/421172197.db2.gz XOBNCBSIRCJOPC-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C#C[C@@H]1COCCN1Cc1ccccc1C[N@@H+]1CCOC[C@H]1C#C ZINC000491767260 421202447 /nfs/dbraw/zinc/20/24/47/421202447.db2.gz IGNDQGAXOUMZCL-WOJBJXKFSA-N 1 2 324.424 1.355 20 30 DDEDLO C#C[C@@H]1COCCN1Cc1ccccc1C[N@H+]1CCOC[C@H]1C#C ZINC000491767260 421202448 /nfs/dbraw/zinc/20/24/48/421202448.db2.gz IGNDQGAXOUMZCL-WOJBJXKFSA-N 1 2 324.424 1.355 20 30 DDEDLO C=CCC[C@@H]1NC(=O)N(C[C@H]2CCCn3cc(C)[nH+]c32)C1=O ZINC000571186604 421695670 /nfs/dbraw/zinc/69/56/70/421695670.db2.gz VJKRUQKSVYKXKZ-OLZOCXBDSA-N 1 2 302.378 1.956 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)C3(c4cccc(C#N)c4)CC3)C[C@H]21 ZINC000533257131 421670647 /nfs/dbraw/zinc/67/06/47/421670647.db2.gz AKUAPXLVHPEDKM-HZPDHXFCSA-N 1 2 311.385 1.131 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)C3(c4cccc(C#N)c4)CC3)C[C@H]21 ZINC000533257131 421670649 /nfs/dbraw/zinc/67/06/49/421670649.db2.gz AKUAPXLVHPEDKM-HZPDHXFCSA-N 1 2 311.385 1.131 20 30 DDEDLO Cc1[nH]ncc1C[NH2+]C[C@H](O)COc1ccc(CC#N)cc1 ZINC000571039734 421682144 /nfs/dbraw/zinc/68/21/44/421682144.db2.gz IAMKRWLXJYEQAB-HNNXBMFYSA-N 1 2 300.362 1.314 20 30 DDEDLO C=CCN(C(=O)Nc1cc(C)[nH+]cc1C)[C@H]1CCS(=O)(=O)C1 ZINC000538327868 421738467 /nfs/dbraw/zinc/73/84/67/421738467.db2.gz DLQBNDXYQJCJJP-ZDUSSCGKSA-N 1 2 323.418 1.327 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N[C@@H]2CCO[C@H]2C=C)[nH+]cn1 ZINC000573196914 421930652 /nfs/dbraw/zinc/93/06/52/421930652.db2.gz WZLBBJCBZZWPKL-MQYQWHSLSA-N 1 2 302.378 1.987 20 30 DDEDLO C[C@H](c1nccc(N)n1)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000573054474 421904562 /nfs/dbraw/zinc/90/45/62/421904562.db2.gz KUFYXIQRCCTXTR-CYBMUJFWSA-N 1 2 308.389 1.814 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCOc2ccc([N+](=O)[O-])cc2)CC1 ZINC000583573162 422190658 /nfs/dbraw/zinc/19/06/58/422190658.db2.gz MICZVXOEGSYRCY-UHFFFAOYSA-N 1 2 318.333 1.031 20 30 DDEDLO C[C@H]1C[NH+](Cc2nc(N)c3ccccc3n2)C[C@H](C)N1CC#N ZINC000602862371 422437922 /nfs/dbraw/zinc/43/79/22/422437922.db2.gz NNZLJQFSBUDWRN-STQMWFEESA-N 1 2 310.405 1.630 20 30 DDEDLO Cc1cn2c([nH+]1)C[C@H](C(=O)Nc1n[nH]c(C3CC3)c1C#N)CC2 ZINC000600824612 422411849 /nfs/dbraw/zinc/41/18/49/422411849.db2.gz ISVSCLZCUBEBLH-LLVKDONJSA-N 1 2 310.361 1.865 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NS(=O)(=O)C[C@H]1C[N@H+](C)CCO1 ZINC000632544936 422426046 /nfs/dbraw/zinc/42/60/46/422426046.db2.gz QEQYICWMGQLCOS-RBSFLKMASA-N 1 2 316.467 1.371 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NS(=O)(=O)C[C@H]1C[N@@H+](C)CCO1 ZINC000632544936 422426051 /nfs/dbraw/zinc/42/60/51/422426051.db2.gz QEQYICWMGQLCOS-RBSFLKMASA-N 1 2 316.467 1.371 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N2[C@H](C)CCC[C@@H]2C)nn1 ZINC000640827661 423217036 /nfs/dbraw/zinc/21/70/36/423217036.db2.gz BDEVCMIYAHYALY-QLFBSQMISA-N 1 2 317.437 1.742 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nccn2Cc2ccccc2)nn1 ZINC000640943155 423289397 /nfs/dbraw/zinc/28/93/97/423289397.db2.gz USTUOSDZWWOXGC-UHFFFAOYSA-N 1 2 320.400 1.684 20 30 DDEDLO C=CCn1cc(C(=O)Nc2nc3c(s2)C[N@H+](C)CC3)nn1 ZINC000648743793 423439123 /nfs/dbraw/zinc/43/91/23/423439123.db2.gz UACDDYPGASGSDF-UHFFFAOYSA-N 1 2 304.379 1.161 20 30 DDEDLO C=CCn1cc(C(=O)Nc2nc3c(s2)C[N@@H+](C)CC3)nn1 ZINC000648743793 423439129 /nfs/dbraw/zinc/43/91/29/423439129.db2.gz UACDDYPGASGSDF-UHFFFAOYSA-N 1 2 304.379 1.161 20 30 DDEDLO C=CCn1cc(C(=O)NCc2ccc(N3CCCC3)[nH+]c2)nn1 ZINC000648727417 423429597 /nfs/dbraw/zinc/42/95/97/423429597.db2.gz IRPJJKGPTPWNGC-UHFFFAOYSA-N 1 2 312.377 1.389 20 30 DDEDLO C=CCCC[C@H](C)n1cc(C[NH2+][C@@H]2CCS(=O)(=O)C2)nn1 ZINC000641235519 423499804 /nfs/dbraw/zinc/49/98/04/423499804.db2.gz SUXYYCBDXDSPBM-QWHCGFSZSA-N 1 2 312.439 1.472 20 30 DDEDLO C=CCn1cc(CNC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)nn1 ZINC000645037011 424130598 /nfs/dbraw/zinc/13/05/98/424130598.db2.gz VJJNPEQFTZXAJM-CQSZACIVSA-N 1 2 315.381 1.207 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000663991054 424321234 /nfs/dbraw/zinc/32/12/34/424321234.db2.gz MKBYKHFYFWASQC-CYBMUJFWSA-N 1 2 306.410 1.567 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000663991054 424321243 /nfs/dbraw/zinc/32/12/43/424321243.db2.gz MKBYKHFYFWASQC-CYBMUJFWSA-N 1 2 306.410 1.567 20 30 DDEDLO C=CCN(C)C(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000662113284 424402292 /nfs/dbraw/zinc/40/22/92/424402292.db2.gz QSLMXDZIIACCKF-KRWDZBQOSA-N 1 2 316.449 1.630 20 30 DDEDLO C=CCN(C)C(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000662113284 424402300 /nfs/dbraw/zinc/40/23/00/424402300.db2.gz QSLMXDZIIACCKF-KRWDZBQOSA-N 1 2 316.449 1.630 20 30 DDEDLO C=CCOCCCNC(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000660589746 424724191 /nfs/dbraw/zinc/72/41/91/424724191.db2.gz KMKKDMKKVVQGHB-UHFFFAOYSA-N 1 2 320.315 1.837 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)C[C@H](C2CC2)O1 ZINC000373511369 267229817 /nfs/dbraw/zinc/22/98/17/267229817.db2.gz XUPCGGUCCXWPSX-SUMWQHHRSA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)C[C@H](C2CC2)O1 ZINC000373511369 267229820 /nfs/dbraw/zinc/22/98/20/267229820.db2.gz XUPCGGUCCXWPSX-SUMWQHHRSA-N 1 2 313.401 1.674 20 30 DDEDLO CCn1cc(C[N@H+](C)CC(=O)NCc2ccc(C#N)cc2)cn1 ZINC000524354699 267252561 /nfs/dbraw/zinc/25/25/61/267252561.db2.gz XTVPXIRHSLHRLM-UHFFFAOYSA-N 1 2 311.389 1.523 20 30 DDEDLO CCn1cc(C[N@@H+](C)CC(=O)NCc2ccc(C#N)cc2)cn1 ZINC000524354699 267252562 /nfs/dbraw/zinc/25/25/62/267252562.db2.gz XTVPXIRHSLHRLM-UHFFFAOYSA-N 1 2 311.389 1.523 20 30 DDEDLO Cc1cn2c([nH+]1)CN(CC(=O)Nc1sccc1C#N)CC2 ZINC000371737587 267532354 /nfs/dbraw/zinc/53/23/54/267532354.db2.gz VTEJSKDVMJNPTF-UHFFFAOYSA-N 1 2 301.375 1.579 20 30 DDEDLO N#Cc1cc(C(=O)Nc2c[nH+]ccc2-n2ccnn2)ccc1F ZINC000367407397 267982956 /nfs/dbraw/zinc/98/29/56/267982956.db2.gz DVRXRSABUWJMOK-UHFFFAOYSA-N 1 2 308.276 1.925 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@]2(CO)COCC3(CCC3)[N@H+]2C)n1 ZINC000528132845 268029258 /nfs/dbraw/zinc/02/92/58/268029258.db2.gz OQGFFNZGSBKLSA-QGZVFWFLSA-N 1 2 316.405 1.289 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@]2(CO)COCC3(CCC3)[N@@H+]2C)n1 ZINC000528132845 268029260 /nfs/dbraw/zinc/02/92/60/268029260.db2.gz OQGFFNZGSBKLSA-QGZVFWFLSA-N 1 2 316.405 1.289 20 30 DDEDLO N#Cc1ccc(CNC(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)cc1 ZINC000366248706 268071933 /nfs/dbraw/zinc/07/19/33/268071933.db2.gz YGAKELYTKZWHSD-INIZCTEOSA-N 1 2 314.389 1.221 20 30 DDEDLO N#Cc1ccc(CNC(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)cc1 ZINC000366248706 268071935 /nfs/dbraw/zinc/07/19/35/268071935.db2.gz YGAKELYTKZWHSD-INIZCTEOSA-N 1 2 314.389 1.221 20 30 DDEDLO COc1cc[nH+]cc1CN(c1cccc(C#N)c1)S(C)(=O)=O ZINC000341405109 271059930 /nfs/dbraw/zinc/05/99/30/271059930.db2.gz WYXVMDDPKCOEFI-UHFFFAOYSA-N 1 2 317.370 1.928 20 30 DDEDLO Cc1cccn2cc(CNS(=O)(=O)c3ccc(C#N)o3)[nH+]c12 ZINC000344745021 272107488 /nfs/dbraw/zinc/10/74/88/272107488.db2.gz VLUASYCTTCHRRU-UHFFFAOYSA-N 1 2 316.342 1.586 20 30 DDEDLO N#Cc1ccncc1C(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000345198555 272189247 /nfs/dbraw/zinc/18/92/47/272189247.db2.gz JIWHSYDCUVVBDW-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)Nc2ccc3c(c2)OCO3)CC1 ZINC000332579166 276096737 /nfs/dbraw/zinc/09/67/37/276096737.db2.gz HBEUSYZLJHLXTQ-UHFFFAOYSA-N 1 2 301.346 1.979 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(Cc3c(C#N)cccc3[N+](=O)[O-])C[C@@H]21 ZINC000459460141 277120882 /nfs/dbraw/zinc/12/08/82/277120882.db2.gz RQKGAGTZSKPUFI-JKSUJKDBSA-N 1 2 316.361 1.371 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(Cc3c(C#N)cccc3[N+](=O)[O-])C[C@@H]21 ZINC000459460141 277120884 /nfs/dbraw/zinc/12/08/84/277120884.db2.gz RQKGAGTZSKPUFI-JKSUJKDBSA-N 1 2 316.361 1.371 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(F)cc2C#N)C[C@H](C)[N@H+]1C ZINC000293679873 288241320 /nfs/dbraw/zinc/24/13/20/288241320.db2.gz AVNGBFOYVMYVKA-PHIMTYICSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(F)cc2C#N)C[C@H](C)[N@@H+]1C ZINC000293679873 288241323 /nfs/dbraw/zinc/24/13/23/288241323.db2.gz AVNGBFOYVMYVKA-PHIMTYICSA-N 1 2 311.382 1.410 20 30 DDEDLO C[N@H+](CCN1CCCC1=O)CC(=O)Nc1sccc1C#N ZINC000112703541 281069726 /nfs/dbraw/zinc/06/97/26/281069726.db2.gz PXSVZSPOLYQIPH-UHFFFAOYSA-N 1 2 306.391 1.112 20 30 DDEDLO C[N@@H+](CCN1CCCC1=O)CC(=O)Nc1sccc1C#N ZINC000112703541 281069729 /nfs/dbraw/zinc/06/97/29/281069729.db2.gz PXSVZSPOLYQIPH-UHFFFAOYSA-N 1 2 306.391 1.112 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1C(=O)c1cc(C#N)cs1 ZINC000291049526 289047564 /nfs/dbraw/zinc/04/75/64/289047564.db2.gz XEWNDNQHJDFUHD-DGCLKSJQSA-N 1 2 305.403 1.555 20 30 DDEDLO CN(C)[C@H](C(=O)N[C@@H]1CCC(=O)N[C@H]1C1CC1)c1c[nH+]cn1C ZINC000329093338 289342904 /nfs/dbraw/zinc/34/29/04/289342904.db2.gz ANFKVXBYQMNYPA-UGFHNGPFSA-N 1 2 319.409 1.877 20 30 DDEDLO [O-]C(N[C@@H]1CCC[C@@H]2OCC[C@H]21)=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330193586 295385859 /nfs/dbraw/zinc/38/58/59/295385859.db2.gz OHCYFLFRMMPPDT-RQJABVFESA-N 1 2 304.394 1.659 20 30 DDEDLO [O-]C(N[C@@H]1CCn2cc[nH+]c2C1)=[NH+][C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC000330193586 295385861 /nfs/dbraw/zinc/38/58/61/295385861.db2.gz OHCYFLFRMMPPDT-RQJABVFESA-N 1 2 304.394 1.659 20 30 DDEDLO COC(=O)CC[N@@H+](Cc1nc(C#N)cs1)C[C@@H]1CCCO1 ZINC000576156485 308292700 /nfs/dbraw/zinc/29/27/00/308292700.db2.gz RNWHEGWJJACGSK-LBPRGKRZSA-N 1 2 309.391 1.559 20 30 DDEDLO COC(=O)CC[N@H+](Cc1nc(C#N)cs1)C[C@@H]1CCCO1 ZINC000576156485 308292702 /nfs/dbraw/zinc/29/27/02/308292702.db2.gz RNWHEGWJJACGSK-LBPRGKRZSA-N 1 2 309.391 1.559 20 30 DDEDLO COCC(=O)Nc1cccc(C[NH2+]Cc2nc(C#N)cs2)c1 ZINC000579577969 308541660 /nfs/dbraw/zinc/54/16/60/308541660.db2.gz ILBGXDZZBADXDZ-UHFFFAOYSA-N 1 2 316.386 1.889 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000562611143 332608796 /nfs/dbraw/zinc/60/87/96/332608796.db2.gz SYSDAJBILZDENQ-KFWWJZLASA-N 1 2 303.362 1.588 20 30 DDEDLO C#CC[NH+]1CCN(Cn2ncc(Br)c2C2CC2)CC1 ZINC000151747579 332611313 /nfs/dbraw/zinc/61/13/13/332611313.db2.gz IEELZDXKFXLSEU-UHFFFAOYSA-N 1 2 323.238 1.731 20 30 DDEDLO COCc1noc([C@H](C)O[NH+]=C(N)Cc2csc(C)n2)n1 ZINC000182914279 333181104 /nfs/dbraw/zinc/18/11/04/333181104.db2.gz CWVWVTQXFIBENG-ZETCQYMHSA-N 1 2 311.367 1.573 20 30 DDEDLO C=CCNC(=O)NC(=O)CN1CCCC[C@@H]1c1[nH+]ccn1CC ZINC000339154298 333510381 /nfs/dbraw/zinc/51/03/81/333510381.db2.gz ZWQHBBGPZUTVDL-CYBMUJFWSA-N 1 2 319.409 1.442 20 30 DDEDLO C[C@@H](C(=O)N(C)C1CCCCC1)[NH+]1CCN(C(=O)CC#N)CC1 ZINC000563365582 333596940 /nfs/dbraw/zinc/59/69/40/333596940.db2.gz VAHCQKGDGRHGAE-AWEZNQCLSA-N 1 2 320.437 1.224 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)NCc1ccc[nH+]c1N(C)C ZINC000340238035 334153994 /nfs/dbraw/zinc/15/39/94/334153994.db2.gz XLWNTUAOAUXPHX-ZDUSSCGKSA-N 1 2 318.421 1.625 20 30 DDEDLO C#CC[N@@H+](CCCOc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000104093265 336245338 /nfs/dbraw/zinc/24/53/38/336245338.db2.gz BISBBCNCGGNKGG-OAHLLOKOSA-N 1 2 307.415 1.578 20 30 DDEDLO C#CC[N@H+](CCCOc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000104093265 336245339 /nfs/dbraw/zinc/24/53/39/336245339.db2.gz BISBBCNCGGNKGG-OAHLLOKOSA-N 1 2 307.415 1.578 20 30 DDEDLO N#C[C@H](c1cccc(Cl)c1)N1CC[NH+]([C@@H]2COC[C@H]2O)CC1 ZINC000361396473 336755175 /nfs/dbraw/zinc/75/51/75/336755175.db2.gz UJOBXDORCLVKQD-BZUAXINKSA-N 1 2 321.808 1.282 20 30 DDEDLO COC(=O)c1ccc(C)c(NC(=O)C[NH+]2CCC(C#N)CC2)c1 ZINC000057907689 337458305 /nfs/dbraw/zinc/45/83/05/337458305.db2.gz GKARGFCQOBIGSX-UHFFFAOYSA-N 1 2 315.373 1.956 20 30 DDEDLO C#CC[NH+]1CCN(Cn2sc3nc(C)cc(C)c3c2=O)CC1 ZINC000173300009 340361808 /nfs/dbraw/zinc/36/18/08/340361808.db2.gz SWDPKWPYIVCROZ-UHFFFAOYSA-N 1 2 316.430 1.283 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000566424144 341557473 /nfs/dbraw/zinc/55/74/73/341557473.db2.gz JFEOUEYCMCJWSC-UHFFFAOYSA-N 1 2 301.346 1.031 20 30 DDEDLO CC[C@H](C)C(=O)N1CCC[C@@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)C1 ZINC000567239608 341590675 /nfs/dbraw/zinc/59/06/75/341590675.db2.gz WGNPYQKLESSMEL-VBQJREDUSA-N 1 2 322.453 1.231 20 30 DDEDLO Cc1c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)nnn1-c1ccccc1 ZINC000580572560 341879231 /nfs/dbraw/zinc/87/92/31/341879231.db2.gz VNGOHXJFSXJARL-INIZCTEOSA-N 1 2 312.377 1.149 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@@H](C)Nc2cc[nH+]c(C)n2)nn1 ZINC001120759909 782127485 /nfs/dbraw/zinc/12/74/85/782127485.db2.gz VOKWLMOMWFYZGF-LLVKDONJSA-N 1 2 315.381 1.135 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000575477053 484351761 /nfs/dbraw/zinc/35/17/61/484351761.db2.gz IVGQHNSWTHQSGA-OAHLLOKOSA-N 1 2 316.405 1.224 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)Nc1c[nH+]ccc1OC ZINC000658867185 484511206 /nfs/dbraw/zinc/51/12/06/484511206.db2.gz SUPAKXZCJZSEER-TXEJJXNPSA-N 1 2 301.346 1.738 20 30 DDEDLO CCC#C[C@@H](C)[NH+]1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000677476823 486476968 /nfs/dbraw/zinc/47/69/68/486476968.db2.gz HCGNWYLLBSHDDS-FGTMMUONSA-N 1 2 319.493 1.910 20 30 DDEDLO CCC#C[C@@H](C)N1CC[NH+](CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000677476823 486476970 /nfs/dbraw/zinc/47/69/70/486476970.db2.gz HCGNWYLLBSHDDS-FGTMMUONSA-N 1 2 319.493 1.910 20 30 DDEDLO COCC#CCN1CC[NH+](Cc2ccc3c(c2)OCCO3)CC1 ZINC000677817530 486547596 /nfs/dbraw/zinc/54/75/96/486547596.db2.gz PJUCPHVRAFBNEK-UHFFFAOYSA-N 1 2 316.401 1.225 20 30 DDEDLO COCC#CC[NH+]1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC000677817530 486547597 /nfs/dbraw/zinc/54/75/97/486547597.db2.gz PJUCPHVRAFBNEK-UHFFFAOYSA-N 1 2 316.401 1.225 20 30 DDEDLO C[C@]1(O)CCCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)C1 ZINC000330565958 534183546 /nfs/dbraw/zinc/18/35/46/534183546.db2.gz LCPIVQWCHUSOQZ-LBPRGKRZSA-N 1 2 320.315 1.706 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)N[C@@H]2CCSC2)CCO1 ZINC000329988698 534813956 /nfs/dbraw/zinc/81/39/56/534813956.db2.gz ULXVDALKIONJIR-WZRBSPASSA-N 1 2 310.423 1.649 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N2CCCC[C@H]2CC)C1=O ZINC000337202375 526467440 /nfs/dbraw/zinc/46/74/40/526467440.db2.gz DXIDXGARLWOGBI-HUUCEWRRSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N2CCCC[C@H]2CC)C1=O ZINC000337202375 526467443 /nfs/dbraw/zinc/46/74/43/526467443.db2.gz DXIDXGARLWOGBI-HUUCEWRRSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N2[C@H](C)CCC[C@@H]2C)C1=O ZINC000337202395 526499193 /nfs/dbraw/zinc/49/91/93/526499193.db2.gz DYGKLDCBGSAAOO-ZNMIVQPWSA-N 1 2 307.438 1.495 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N2[C@H](C)CCC[C@@H]2C)C1=O ZINC000337202395 526499196 /nfs/dbraw/zinc/49/91/96/526499196.db2.gz DYGKLDCBGSAAOO-ZNMIVQPWSA-N 1 2 307.438 1.495 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1cc(Cl)ccc1F ZINC000444707445 526585905 /nfs/dbraw/zinc/58/59/05/526585905.db2.gz PWLFNCYPCMLZHD-UHFFFAOYSA-N 1 2 313.760 1.923 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1cc(Cl)ccc1F ZINC000444707445 526585910 /nfs/dbraw/zinc/58/59/10/526585910.db2.gz PWLFNCYPCMLZHD-UHFFFAOYSA-N 1 2 313.760 1.923 20 30 DDEDLO C#CC[N@H+](C[C@@H]1C[C@H]1c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000490876293 526902556 /nfs/dbraw/zinc/90/25/56/526902556.db2.gz TUHXHTSTANBXAR-ULQDDVLXSA-N 1 2 303.427 1.912 20 30 DDEDLO C#CC[N@@H+](C[C@@H]1C[C@H]1c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000490876293 526902561 /nfs/dbraw/zinc/90/25/61/526902561.db2.gz TUHXHTSTANBXAR-ULQDDVLXSA-N 1 2 303.427 1.912 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cccc3ncccc32)CC1 ZINC000490762748 526953403 /nfs/dbraw/zinc/95/34/03/526953403.db2.gz LSWYSSMNGKQCCM-UHFFFAOYSA-N 1 2 309.369 1.391 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCO[C@H]([C@@H](C)O)C2)cc(OC)c1O ZINC000414598440 527239143 /nfs/dbraw/zinc/23/91/43/527239143.db2.gz YHCOTOMKZGUUMC-WBMJQRKESA-N 1 2 307.390 1.711 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCO[C@H]([C@@H](C)O)C2)cc(OC)c1O ZINC000414598440 527239150 /nfs/dbraw/zinc/23/91/50/527239150.db2.gz YHCOTOMKZGUUMC-WBMJQRKESA-N 1 2 307.390 1.711 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000491636921 527310209 /nfs/dbraw/zinc/31/02/09/527310209.db2.gz UFTARVQJKRFIOE-CQSZACIVSA-N 1 2 317.776 1.874 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000491636921 527310218 /nfs/dbraw/zinc/31/02/18/527310218.db2.gz UFTARVQJKRFIOE-CQSZACIVSA-N 1 2 317.776 1.874 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@H](O)Cc1ccc(C(F)(F)F)cc1 ZINC000491659466 527311784 /nfs/dbraw/zinc/31/17/84/527311784.db2.gz BUDMTNZBZIRDDI-CABCVRRESA-N 1 2 313.319 1.943 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@H](O)Cc1ccc(C(F)(F)F)cc1 ZINC000491659466 527311790 /nfs/dbraw/zinc/31/17/90/527311790.db2.gz BUDMTNZBZIRDDI-CABCVRRESA-N 1 2 313.319 1.943 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)N[C@](C)(c2ccccc2F)C1=O ZINC000491809016 527332381 /nfs/dbraw/zinc/33/23/81/527332381.db2.gz WNABNBFUXRFDTI-MEDUHNTESA-N 1 2 303.337 1.504 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)N[C@](C)(c2ccccc2F)C1=O ZINC000491809016 527332388 /nfs/dbraw/zinc/33/23/88/527332388.db2.gz WNABNBFUXRFDTI-MEDUHNTESA-N 1 2 303.337 1.504 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1CCOC[C@@H]1C)c1ccc(F)cc1 ZINC000491696462 527392687 /nfs/dbraw/zinc/39/26/87/527392687.db2.gz JCCZGGHUYCXHMR-BBRMVZONSA-N 1 2 319.380 1.520 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1ccc(F)cc1 ZINC000491696462 527392696 /nfs/dbraw/zinc/39/26/96/527392696.db2.gz JCCZGGHUYCXHMR-BBRMVZONSA-N 1 2 319.380 1.520 20 30 DDEDLO C#C[C@H](NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C)c1ccc(F)cc1 ZINC000491352437 527394360 /nfs/dbraw/zinc/39/43/60/527394360.db2.gz ZNVGINZWTYLZHR-HOTGVXAUSA-N 1 2 318.396 1.045 20 30 DDEDLO C#C[C@H](NC(=O)NC[C@H]1CN(C)CC[N@H+]1C)c1ccc(F)cc1 ZINC000491352437 527394363 /nfs/dbraw/zinc/39/43/63/527394363.db2.gz ZNVGINZWTYLZHR-HOTGVXAUSA-N 1 2 318.396 1.045 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@H](O)c1ccc(Br)cc1 ZINC000491427992 527429054 /nfs/dbraw/zinc/42/90/54/527429054.db2.gz MWMMVOKPDDIXOO-KBPBESRZSA-N 1 2 310.191 1.817 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@H](O)c1ccc(Br)cc1 ZINC000491427992 527429060 /nfs/dbraw/zinc/42/90/60/527429060.db2.gz MWMMVOKPDDIXOO-KBPBESRZSA-N 1 2 310.191 1.817 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCN(c3cccc[nH+]3)CC2)nn1 ZINC000424542750 527519530 /nfs/dbraw/zinc/51/95/30/527519530.db2.gz WVXONEILAIYAQG-UHFFFAOYSA-N 1 2 312.377 1.212 20 30 DDEDLO CC1(C)[C@H](O)C[C@@H]1NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000330257732 527881157 /nfs/dbraw/zinc/88/11/57/527881157.db2.gz QVNLIARETKSWAP-JKSUJKDBSA-N 1 2 318.417 1.983 20 30 DDEDLO CCOc1cc(OC[C@@H](O)C[N@H+](C)CCC#N)ccc1[N+](=O)[O-] ZINC000414131604 528333734 /nfs/dbraw/zinc/33/37/34/528333734.db2.gz ZRBQOIROELEXFR-LBPRGKRZSA-N 1 2 323.349 1.579 20 30 DDEDLO CCOc1cc(OC[C@@H](O)C[N@@H+](C)CCC#N)ccc1[N+](=O)[O-] ZINC000414131604 528333739 /nfs/dbraw/zinc/33/37/39/528333739.db2.gz ZRBQOIROELEXFR-LBPRGKRZSA-N 1 2 323.349 1.579 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCN(C(=O)C2CC2)CC1(C)C ZINC000331485845 528359372 /nfs/dbraw/zinc/35/93/72/528359372.db2.gz XVGSDRIGMLSLJT-UHFFFAOYSA-N 1 2 320.437 1.081 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCN(C(=O)C2CC2)CC1(C)C ZINC000331485845 528359374 /nfs/dbraw/zinc/35/93/74/528359374.db2.gz XVGSDRIGMLSLJT-UHFFFAOYSA-N 1 2 320.437 1.081 20 30 DDEDLO CC(C)C[C@]1(CO)CCCN1C([O-])=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000329926211 528615761 /nfs/dbraw/zinc/61/57/61/528615761.db2.gz KJUHTPYDIPIWNL-PBHICJAKSA-N 1 2 320.437 1.985 20 30 DDEDLO CC(C)C[C@]1(CO)CCCN1C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000329926211 528615766 /nfs/dbraw/zinc/61/57/66/528615766.db2.gz KJUHTPYDIPIWNL-PBHICJAKSA-N 1 2 320.437 1.985 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCCC[C@H]1c1cnn(C)c1 ZINC000330518544 528621268 /nfs/dbraw/zinc/62/12/68/528621268.db2.gz YGABRQAEXMGFFP-HOCLYGCPSA-N 1 2 317.437 1.955 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCCC[C@H]1c1cnn(C)c1 ZINC000330518544 528621272 /nfs/dbraw/zinc/62/12/72/528621272.db2.gz YGABRQAEXMGFFP-HOCLYGCPSA-N 1 2 317.437 1.955 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)N[C@@H](C)c1[nH+]ccn1CC ZINC000451923730 528715355 /nfs/dbraw/zinc/71/53/55/528715355.db2.gz AXOFDHWGSDOHCL-NEPJUHHUSA-N 1 2 313.427 1.280 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[NH+]1CCC(O)(C(F)F)CC1 ZINC000331130649 528849167 /nfs/dbraw/zinc/84/91/67/528849167.db2.gz GLRJFGKEQBGLKT-CYBMUJFWSA-N 1 2 303.353 1.133 20 30 DDEDLO CC[N@H+](Cc1cnn(C)c1)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000343741562 528879182 /nfs/dbraw/zinc/87/91/82/528879182.db2.gz XFMGDVRDULWRSL-CJNGLKHVSA-N 1 2 305.426 1.685 20 30 DDEDLO CC[N@@H+](Cc1cnn(C)c1)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000343741562 528879187 /nfs/dbraw/zinc/87/91/87/528879187.db2.gz XFMGDVRDULWRSL-CJNGLKHVSA-N 1 2 305.426 1.685 20 30 DDEDLO CC(C)[C@H](CNc1ncc(C#N)cc1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000296592686 529018021 /nfs/dbraw/zinc/01/80/21/529018021.db2.gz SFMDRMZVLQTBMC-AWEZNQCLSA-N 1 2 319.365 1.630 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000490579475 529095301 /nfs/dbraw/zinc/09/53/01/529095301.db2.gz VIUJCUOYFHILAB-CZUORRHYSA-N 1 2 322.409 1.005 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000490579475 529095304 /nfs/dbraw/zinc/09/53/04/529095304.db2.gz VIUJCUOYFHILAB-CZUORRHYSA-N 1 2 322.409 1.005 20 30 DDEDLO COC(=O)C[C@H](C)[NH+]1CCN(C(=O)c2sccc2C#N)CC1 ZINC000174381979 536336560 /nfs/dbraw/zinc/33/65/60/536336560.db2.gz JMCOXQQPLADQEJ-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO C=CC[N@@H+](Cc1cccc(-c2nn[nH]n2)c1)CN1CCCC1=O ZINC000735425852 598828319 /nfs/dbraw/zinc/82/83/19/598828319.db2.gz IUGYRDLYVWISII-UHFFFAOYSA-N 1 2 312.377 1.435 20 30 DDEDLO C=CC[N@H+](Cc1cccc(-c2nn[nH]n2)c1)CN1CCCC1=O ZINC000735425852 598828320 /nfs/dbraw/zinc/82/83/20/598828320.db2.gz IUGYRDLYVWISII-UHFFFAOYSA-N 1 2 312.377 1.435 20 30 DDEDLO C[C@H](O[NH+]=C(N)CCO)C(=O)N1c2ccccc2CC[C@@H]1C ZINC000121333370 696708598 /nfs/dbraw/zinc/70/85/98/696708598.db2.gz DWJNNDPJMDXNKU-RYUDHWBXSA-N 1 2 305.378 1.414 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CC[C@H]2CN(CC#N)C[C@@H]2C1 ZINC000980212691 696709655 /nfs/dbraw/zinc/70/96/55/696709655.db2.gz FGHXBPHCOCANBP-MELADBBJSA-N 1 2 301.394 1.001 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)oc3C)C2)C1 ZINC000972256002 695192771 /nfs/dbraw/zinc/19/27/71/695192771.db2.gz DRWFULDTPBBPGW-SFHVURJKSA-N 1 2 316.401 1.837 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)oc3C)C2)C1 ZINC000972256002 695192773 /nfs/dbraw/zinc/19/27/73/695192773.db2.gz DRWFULDTPBBPGW-SFHVURJKSA-N 1 2 316.401 1.837 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)nc3)C2)C1 ZINC000972261223 695194374 /nfs/dbraw/zinc/19/43/74/695194374.db2.gz HYHABNUXYIXKQH-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)nc3)C2)C1 ZINC000972261223 695194376 /nfs/dbraw/zinc/19/43/76/695194376.db2.gz HYHABNUXYIXKQH-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3CCCCO3)C2)C1 ZINC000972332899 695219794 /nfs/dbraw/zinc/21/97/94/695219794.db2.gz NODFDICXGBNRFC-RDJZCZTQSA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3CCCCO3)C2)C1 ZINC000972332899 695219796 /nfs/dbraw/zinc/21/97/96/695219796.db2.gz NODFDICXGBNRFC-RDJZCZTQSA-N 1 2 308.422 1.435 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3coc(CC)n3)C2)C1 ZINC000972354356 695224634 /nfs/dbraw/zinc/22/46/34/695224634.db2.gz YCLISUFYQXINTO-QGZVFWFLSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3coc(CC)n3)C2)C1 ZINC000972354356 695224637 /nfs/dbraw/zinc/22/46/37/695224637.db2.gz YCLISUFYQXINTO-QGZVFWFLSA-N 1 2 317.389 1.177 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3scnc3CC)C2)C1 ZINC000972404670 695241028 /nfs/dbraw/zinc/24/10/28/695241028.db2.gz HETZUODEBRMUDV-MRXNPFEDSA-N 1 2 321.446 1.808 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3scnc3CC)C2)C1 ZINC000972404670 695241032 /nfs/dbraw/zinc/24/10/32/695241032.db2.gz HETZUODEBRMUDV-MRXNPFEDSA-N 1 2 321.446 1.808 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccns3)C2)C1 ZINC000972410989 695242366 /nfs/dbraw/zinc/24/23/66/695242366.db2.gz YROBWBRKCQDYFS-OAHLLOKOSA-N 1 2 305.403 1.083 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccns3)C2)C1 ZINC000972410989 695242369 /nfs/dbraw/zinc/24/23/69/695242369.db2.gz YROBWBRKCQDYFS-OAHLLOKOSA-N 1 2 305.403 1.083 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccn3CC=C)C2)C1 ZINC000972413691 695243085 /nfs/dbraw/zinc/24/30/85/695243085.db2.gz PARGKYUMNWYMJF-GOSISDBHSA-N 1 2 313.401 1.224 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccn3CC=C)C2)C1 ZINC000972413691 695243086 /nfs/dbraw/zinc/24/30/86/695243086.db2.gz PARGKYUMNWYMJF-GOSISDBHSA-N 1 2 313.401 1.224 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCCCO3)C2)C1 ZINC000972577830 695287779 /nfs/dbraw/zinc/28/77/79/695287779.db2.gz OVVGOLNDPDBVTB-WMZOPIPTSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCCCO3)C2)C1 ZINC000972577830 695287780 /nfs/dbraw/zinc/28/77/80/695287780.db2.gz OVVGOLNDPDBVTB-WMZOPIPTSA-N 1 2 320.433 1.272 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cncc(Cl)c3)C2)C1 ZINC000972596104 695294194 /nfs/dbraw/zinc/29/41/94/695294194.db2.gz HXQHBZKSNNQCOC-MRXNPFEDSA-N 1 2 321.808 1.838 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cncc(Cl)c3)C2)C1 ZINC000972596104 695294195 /nfs/dbraw/zinc/29/41/95/695294195.db2.gz HXQHBZKSNNQCOC-MRXNPFEDSA-N 1 2 321.808 1.838 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccnc(C)c3)C2)C1 ZINC000972604997 695296183 /nfs/dbraw/zinc/29/61/83/695296183.db2.gz KMFGWXWKOFJCRR-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccnc(C)c3)C2)C1 ZINC000972604997 695296185 /nfs/dbraw/zinc/29/61/85/695296185.db2.gz KMFGWXWKOFJCRR-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccco3)C2)C1 ZINC000972607398 695297265 /nfs/dbraw/zinc/29/72/65/695297265.db2.gz GBRWKQHWYXGHIY-QGZVFWFLSA-N 1 2 302.374 1.149 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccco3)C2)C1 ZINC000972607398 695297266 /nfs/dbraw/zinc/29/72/66/695297266.db2.gz GBRWKQHWYXGHIY-QGZVFWFLSA-N 1 2 302.374 1.149 20 30 DDEDLO C=CCC[N@@H+]1C[C@H](NC(=O)c2c[nH]cc3ncnc2-3)C(C)(C)C1 ZINC000974611859 695696105 /nfs/dbraw/zinc/69/61/05/695696105.db2.gz XGUMYTUVAOJTGW-AWEZNQCLSA-N 1 2 313.405 1.974 20 30 DDEDLO C=CCC[N@H+]1C[C@H](NC(=O)c2c[nH]cc3ncnc2-3)C(C)(C)C1 ZINC000974611859 695696108 /nfs/dbraw/zinc/69/61/08/695696108.db2.gz XGUMYTUVAOJTGW-AWEZNQCLSA-N 1 2 313.405 1.974 20 30 DDEDLO CC1(C)C[N@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1cn[nH]n1 ZINC000974794386 695736065 /nfs/dbraw/zinc/73/60/65/695736065.db2.gz PMYKEECYKKYYFU-OAHLLOKOSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1cn[nH]n1 ZINC000974794386 695736067 /nfs/dbraw/zinc/73/60/67/695736067.db2.gz PMYKEECYKKYYFU-OAHLLOKOSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC000974794386 695736069 /nfs/dbraw/zinc/73/60/69/695736069.db2.gz PMYKEECYKKYYFU-OAHLLOKOSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC000974794386 695736071 /nfs/dbraw/zinc/73/60/71/695736071.db2.gz PMYKEECYKKYYFU-OAHLLOKOSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H](NC(=O)c2ccc3[nH]nnc3c2)C(C)(C)C1 ZINC000977572517 696217890 /nfs/dbraw/zinc/21/78/90/696217890.db2.gz OVMDANUHZATNSR-HNNXBMFYSA-N 1 2 313.405 1.974 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H](NC(=O)c2ccc3[nH]nnc3c2)C(C)(C)C1 ZINC000977572517 696217892 /nfs/dbraw/zinc/21/78/92/696217892.db2.gz OVMDANUHZATNSR-HNNXBMFYSA-N 1 2 313.405 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccnc(C)n2)C(C)(C)C1 ZINC000977603144 696231048 /nfs/dbraw/zinc/23/10/48/696231048.db2.gz NDJLPRFJCMQECX-ZDUSSCGKSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccnc(C)n2)C(C)(C)C1 ZINC000977603144 696231051 /nfs/dbraw/zinc/23/10/51/696231051.db2.gz NDJLPRFJCMQECX-ZDUSSCGKSA-N 1 2 308.813 1.978 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)C2(C#N)CCOCC2)c1C ZINC000109141794 696636729 /nfs/dbraw/zinc/63/67/29/696636729.db2.gz ZUKXWEJEVCFIAE-UHFFFAOYSA-N 1 2 303.362 1.644 20 30 DDEDLO N#Cc1c(F)cccc1S(=O)(=O)N1CCc2c[nH+]ccc21 ZINC000125204407 696751012 /nfs/dbraw/zinc/75/10/12/696751012.db2.gz UJBUBWNGTCLRMW-UHFFFAOYSA-N 1 2 303.318 1.844 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCC(=O)N[C@H](C)C(C)C)cc1 ZINC000125462133 696753332 /nfs/dbraw/zinc/75/33/32/696753332.db2.gz WIGHHEXVOALYKS-GFCCVEGCSA-N 1 2 307.394 1.687 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2cccc(F)c2C#N)C[C@H](C)[N@H+]1C ZINC000135262272 696846230 /nfs/dbraw/zinc/84/62/30/696846230.db2.gz PQHQPCXCZFPBNU-PHIMTYICSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2cccc(F)c2C#N)C[C@H](C)[N@@H+]1C ZINC000135262272 696846234 /nfs/dbraw/zinc/84/62/34/696846234.db2.gz PQHQPCXCZFPBNU-PHIMTYICSA-N 1 2 311.382 1.410 20 30 DDEDLO Cc1cc(C[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)no1 ZINC000981670343 696868120 /nfs/dbraw/zinc/86/81/20/696868120.db2.gz RNDNBHNVEDKMIE-LBPRGKRZSA-N 1 2 302.378 1.567 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)no1 ZINC000981670343 696868123 /nfs/dbraw/zinc/86/81/23/696868123.db2.gz RNDNBHNVEDKMIE-LBPRGKRZSA-N 1 2 302.378 1.567 20 30 DDEDLO COc1ncccc1C[N@@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC000980847943 696916176 /nfs/dbraw/zinc/91/61/76/696916176.db2.gz NCSJEXZLOWGVLK-CYBMUJFWSA-N 1 2 302.378 1.284 20 30 DDEDLO COc1ncccc1C[N@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC000980847943 696916177 /nfs/dbraw/zinc/91/61/77/696916177.db2.gz NCSJEXZLOWGVLK-CYBMUJFWSA-N 1 2 302.378 1.284 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@@H+](Cc3ccnn3C)CC2)c1 ZINC000981923321 696969978 /nfs/dbraw/zinc/96/99/78/696969978.db2.gz PSRZAFGUSWBTQV-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@H+](Cc3ccnn3C)CC2)c1 ZINC000981923321 696969980 /nfs/dbraw/zinc/96/99/80/696969980.db2.gz PSRZAFGUSWBTQV-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@@H+](C/C=C\Cl)CC2)c1 ZINC000981925623 696971140 /nfs/dbraw/zinc/97/11/40/696971140.db2.gz KAWXYNOBZGVGKS-HYXAFXHYSA-N 1 2 303.793 1.963 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@H+](C/C=C\Cl)CC2)c1 ZINC000981925623 696971143 /nfs/dbraw/zinc/97/11/43/696971143.db2.gz KAWXYNOBZGVGKS-HYXAFXHYSA-N 1 2 303.793 1.963 20 30 DDEDLO CS(=O)(=O)c1cccc(N[NH2+]C2=CC(=O)N3CCC[C@H]23)c1 ZINC000799484835 700144326 /nfs/dbraw/zinc/14/43/26/700144326.db2.gz IUTFJAXGNHABMU-CYBMUJFWSA-N 1 2 307.375 1.253 20 30 DDEDLO Cc1nc([C@H](C)[N@@H+](C)C2CCN(C(=O)[C@@H](C)C#N)CC2)no1 ZINC000985339141 697493970 /nfs/dbraw/zinc/49/39/70/697493970.db2.gz RDQGCGCPFHVWCT-QWRGUYRKSA-N 1 2 305.382 1.522 20 30 DDEDLO Cc1nc([C@H](C)[N@H+](C)C2CCN(C(=O)[C@@H](C)C#N)CC2)no1 ZINC000985339141 697493971 /nfs/dbraw/zinc/49/39/71/697493971.db2.gz RDQGCGCPFHVWCT-QWRGUYRKSA-N 1 2 305.382 1.522 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)NCc2ccco2)cc1C#N ZINC000749513890 700167599 /nfs/dbraw/zinc/16/75/99/700167599.db2.gz GMPOXXMNCAKWKM-UHFFFAOYSA-N 1 2 313.357 1.908 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)NCc2ccco2)cc1C#N ZINC000749513890 700167600 /nfs/dbraw/zinc/16/76/00/700167600.db2.gz GMPOXXMNCAKWKM-UHFFFAOYSA-N 1 2 313.357 1.908 20 30 DDEDLO C[C@H]1[C@@H](NCC#N)CCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000986611576 697851798 /nfs/dbraw/zinc/85/17/98/697851798.db2.gz WKACJMUIENVRAU-BBRMVZONSA-N 1 2 309.373 1.588 20 30 DDEDLO C#C[C@H](NC(=O)Nc1ccc(C)[nH+]c1N(C)C)[C@H]1CCCO1 ZINC000775682989 698022197 /nfs/dbraw/zinc/02/21/97/698022197.db2.gz NNFRIHSIOZOHJC-GXTWGEPZSA-N 1 2 302.378 1.758 20 30 DDEDLO N#Cc1ccccc1CN1C(=O)CN(C[N@H+]2CC=CCC2)C1=O ZINC000778576377 698349215 /nfs/dbraw/zinc/34/92/15/698349215.db2.gz IUYUROVNLKAVLM-UHFFFAOYSA-N 1 2 310.357 1.542 20 30 DDEDLO N#Cc1ccccc1CN1C(=O)CN(C[N@@H+]2CC=CCC2)C1=O ZINC000778576377 698349220 /nfs/dbraw/zinc/34/92/20/698349220.db2.gz IUYUROVNLKAVLM-UHFFFAOYSA-N 1 2 310.357 1.542 20 30 DDEDLO CS(=O)(=O)CC1(CO[NH+]=C(N)c2ccc(Cl)cc2)CC1 ZINC000778589488 698350233 /nfs/dbraw/zinc/35/02/33/698350233.db2.gz QANRKBZKUCGGAI-UHFFFAOYSA-N 1 2 316.810 1.802 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000800369287 700219124 /nfs/dbraw/zinc/21/91/24/700219124.db2.gz KWZOSYFKTMPGBN-JHJVBQTASA-N 1 2 312.410 1.949 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000800369287 700219127 /nfs/dbraw/zinc/21/91/27/700219127.db2.gz KWZOSYFKTMPGBN-JHJVBQTASA-N 1 2 312.410 1.949 20 30 DDEDLO Cc1ccc(NC(=O)[C@@H](O)c2ccc(C#N)cc2)c(N(C)C)[nH+]1 ZINC000780993791 698580705 /nfs/dbraw/zinc/58/07/05/698580705.db2.gz QHWGXSSADFDFLJ-HNNXBMFYSA-N 1 2 310.357 2.000 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3conc3C)CC2)cn1 ZINC000989512217 698651733 /nfs/dbraw/zinc/65/17/33/698651733.db2.gz ACZDFVIGQOOBBS-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3conc3C)CC2)cn1 ZINC000989512217 698651736 /nfs/dbraw/zinc/65/17/36/698651736.db2.gz ACZDFVIGQOOBBS-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC[C@H](c3[nH+]ccn3C)C2)nn1 ZINC000424800980 699149369 /nfs/dbraw/zinc/14/93/69/699149369.db2.gz AMYHNKNIIRVIKJ-ZDUSSCGKSA-N 1 2 314.393 1.608 20 30 DDEDLO N#CCCNC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000426452083 699155189 /nfs/dbraw/zinc/15/51/89/699155189.db2.gz WOHYNMBIBNUFQC-INIZCTEOSA-N 1 2 316.405 1.143 20 30 DDEDLO NC(=O)COc1ccc(C=NNc2cc[nH+]c3ccccc23)cc1 ZINC000730148287 699502600 /nfs/dbraw/zinc/50/26/00/699502600.db2.gz PRFGJMQUHCSIBW-UHFFFAOYSA-N 1 2 320.352 1.967 20 30 DDEDLO CC1(C)CC(=O)N(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793606074 699767190 /nfs/dbraw/zinc/76/71/90/699767190.db2.gz HEGRSVJFKJXNDK-CQSZACIVSA-N 1 2 314.389 1.622 20 30 DDEDLO CC1(C)CC(=O)N(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793606074 699767192 /nfs/dbraw/zinc/76/71/92/699767192.db2.gz HEGRSVJFKJXNDK-CQSZACIVSA-N 1 2 314.389 1.622 20 30 DDEDLO CC(=NNc1[nH+]ccn1C)c1cc(S(N)(=O)=O)c(C)cc1C ZINC000794541433 699815303 /nfs/dbraw/zinc/81/53/03/699815303.db2.gz MKGWTTOFKMQSCS-UHFFFAOYSA-N 1 2 321.406 1.520 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@H+]([C@H](C)C(=O)Nc3ccccc3C#N)C[C@@H]21 ZINC000794974957 699839441 /nfs/dbraw/zinc/83/94/41/699839441.db2.gz TZMQXOQRQSETEG-PBOSXPJTSA-N 1 2 313.357 1.236 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@@H+]([C@H](C)C(=O)Nc3ccccc3C#N)C[C@@H]21 ZINC000794974957 699839444 /nfs/dbraw/zinc/83/94/44/699839444.db2.gz TZMQXOQRQSETEG-PBOSXPJTSA-N 1 2 313.357 1.236 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[NH+]2CCC(c3nnc[nH]3)CC2)cc1 ZINC000751501723 700295616 /nfs/dbraw/zinc/29/56/16/700295616.db2.gz YZRJGOBTSDGIGH-AWEZNQCLSA-N 1 2 310.361 1.082 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(C(=O)Cc2ccccc2F)CC1 ZINC000801916056 700353061 /nfs/dbraw/zinc/35/30/61/700353061.db2.gz QWLGNPVWRIQTNU-CQSZACIVSA-N 1 2 319.380 1.441 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)CCN2C(N)=O ZINC000753756277 700454862 /nfs/dbraw/zinc/45/48/62/700454862.db2.gz XWOBRORDMPUQHF-HNNXBMFYSA-N 1 2 312.373 1.164 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)CCN2C(N)=O ZINC000753756277 700454863 /nfs/dbraw/zinc/45/48/63/700454863.db2.gz XWOBRORDMPUQHF-HNNXBMFYSA-N 1 2 312.373 1.164 20 30 DDEDLO Cc1[nH+]cc(CN=Nc2nccn(C)c2=O)n1-c1ccccc1 ZINC000755754272 700581844 /nfs/dbraw/zinc/58/18/44/700581844.db2.gz BQGXBJJASPBXTL-UHFFFAOYSA-N 1 2 308.345 1.720 20 30 DDEDLO Cc1ccc(S(=O)(=O)NN=C2C[N@H+](C3CC3)C[C@H]2C)cc1 ZINC000755872271 700588729 /nfs/dbraw/zinc/58/87/29/700588729.db2.gz PHAZEPZLCMPXGP-GFCCVEGCSA-N 1 2 307.419 1.743 20 30 DDEDLO Cc1ccc(S(=O)(=O)NN=C2C[N@@H+](C3CC3)C[C@H]2C)cc1 ZINC000755872271 700588735 /nfs/dbraw/zinc/58/87/35/700588735.db2.gz PHAZEPZLCMPXGP-GFCCVEGCSA-N 1 2 307.419 1.743 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CC1CCCCC1)C(=O)OC ZINC000757648455 700667598 /nfs/dbraw/zinc/66/75/98/700667598.db2.gz UQJWEZIEBAFWJN-HOTGVXAUSA-N 1 2 320.433 1.712 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CC1CCCCC1)C(=O)OC ZINC000757648455 700667599 /nfs/dbraw/zinc/66/75/99/700667599.db2.gz UQJWEZIEBAFWJN-HOTGVXAUSA-N 1 2 320.433 1.712 20 30 DDEDLO COC(=O)C(C)(C)[C@@H]1CCC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758249449 700694537 /nfs/dbraw/zinc/69/45/37/700694537.db2.gz QEMBHTYWUKGGME-CQSZACIVSA-N 1 2 317.389 1.589 20 30 DDEDLO COC(=O)C(C)(C)[C@@H]1CCC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758249449 700694538 /nfs/dbraw/zinc/69/45/38/700694538.db2.gz QEMBHTYWUKGGME-CQSZACIVSA-N 1 2 317.389 1.589 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)[C@H]1C ZINC000766634052 701057729 /nfs/dbraw/zinc/05/77/29/701057729.db2.gz KHBGCJUBZXUQRO-WCQYABFASA-N 1 2 315.373 1.050 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)[C@H]1C ZINC000766634052 701057730 /nfs/dbraw/zinc/05/77/30/701057730.db2.gz KHBGCJUBZXUQRO-WCQYABFASA-N 1 2 315.373 1.050 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1cccc(F)c1C#N ZINC000768561370 701187088 /nfs/dbraw/zinc/18/70/88/701187088.db2.gz IBHZJQRMSGPRQZ-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1cccc(F)c1C#N ZINC000768561370 701187091 /nfs/dbraw/zinc/18/70/91/701187091.db2.gz IBHZJQRMSGPRQZ-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[N@@H+](C)[C@H](Cc2ccccc2)C1 ZINC000805095962 701231849 /nfs/dbraw/zinc/23/18/49/701231849.db2.gz CQIVRKAILBSVHT-QGZVFWFLSA-N 1 2 320.458 1.588 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[N@H+](C)[C@H](Cc2ccccc2)C1 ZINC000805095962 701231850 /nfs/dbraw/zinc/23/18/50/701231850.db2.gz CQIVRKAILBSVHT-QGZVFWFLSA-N 1 2 320.458 1.588 20 30 DDEDLO C=CCOc1ccccc1CN1CC[NH+](CC[S@@](C)=O)CC1 ZINC000769482038 701247023 /nfs/dbraw/zinc/24/70/23/701247023.db2.gz YBJQHYOJMPSLPP-JOCHJYFZSA-N 1 2 322.474 1.748 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000769961840 701262352 /nfs/dbraw/zinc/26/23/52/701262352.db2.gz OBKQGNXFUJJYCR-AWEZNQCLSA-N 1 2 301.390 1.415 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000769961840 701262354 /nfs/dbraw/zinc/26/23/54/701262354.db2.gz OBKQGNXFUJJYCR-AWEZNQCLSA-N 1 2 301.390 1.415 20 30 DDEDLO Nc1ccc2c(c1)C(=[NH+]NC(=S)NC[C@@H]1CCCO1)CCC2 ZINC000814727896 701743859 /nfs/dbraw/zinc/74/38/59/701743859.db2.gz DBSJVCUPGUQZFR-ZDUSSCGKSA-N 1 2 318.446 1.952 20 30 DDEDLO COCCCNC(=S)N[NH+]=C1CCCc2ccc(N)cc21 ZINC000814734955 701746051 /nfs/dbraw/zinc/74/60/51/701746051.db2.gz XHHKWOJLNPGFAN-UHFFFAOYSA-N 1 2 306.435 1.810 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@@H](CNC(=O)OC(C)(C)C)[C@H](C)C1 ZINC000879216052 706617464 /nfs/dbraw/zinc/61/74/64/706617464.db2.gz PSCSJCATOUOYNV-JHJVBQTASA-N 1 2 312.410 1.807 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@@H](CNC(=O)OC(C)(C)C)[C@H](C)C1 ZINC000879216052 706617465 /nfs/dbraw/zinc/61/74/65/706617465.db2.gz PSCSJCATOUOYNV-JHJVBQTASA-N 1 2 312.410 1.807 20 30 DDEDLO COc1cccc(C[NH+]2CCN(C(=O)c3cc(C#N)c[nH]3)CC2)c1 ZINC000866102531 706618334 /nfs/dbraw/zinc/61/83/34/706618334.db2.gz HREZTRKJQYXJQG-UHFFFAOYSA-N 1 2 324.384 1.853 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CCCC[C@@H]2[C@@H]2CCC[C@H]2O)c1=S ZINC000840114446 701975394 /nfs/dbraw/zinc/97/53/94/701975394.db2.gz ILXCCBNDHQCCTM-BFHYXJOUSA-N 1 2 323.466 1.968 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CCCC[C@@H]2[C@@H]2CCC[C@H]2O)c1=S ZINC000840114446 701975396 /nfs/dbraw/zinc/97/53/96/701975396.db2.gz ILXCCBNDHQCCTM-BFHYXJOUSA-N 1 2 323.466 1.968 20 30 DDEDLO CC(=O)N1CCN(CC[NH2+][C@H](C)c2cccc(C#N)c2O)CC1 ZINC000866233579 706656288 /nfs/dbraw/zinc/65/62/88/706656288.db2.gz WJHZXWSLRRYEDW-CYBMUJFWSA-N 1 2 316.405 1.079 20 30 DDEDLO CCNc1nc(N=NCc2c[nH+]cn2CC)nc(NCC)n1 ZINC000841607246 702509586 /nfs/dbraw/zinc/50/95/86/702509586.db2.gz SJXFIESQUHZSRJ-UHFFFAOYSA-N 1 2 303.374 1.398 20 30 DDEDLO C[C@H]([NH2+]C[C@H]1CCCCS1(=O)=O)c1cccc(C#N)c1O ZINC000866335399 706676068 /nfs/dbraw/zinc/67/60/68/706676068.db2.gz JENAWGFBEHZQLO-WCQYABFASA-N 1 2 308.403 1.882 20 30 DDEDLO C#CC1CCN(C(=O)C2CC[NH+](Cc3nccn3C)CC2)CC1 ZINC000843708799 702915508 /nfs/dbraw/zinc/91/55/08/702915508.db2.gz BDUXYUILVFLGSV-UHFFFAOYSA-N 1 2 314.433 1.504 20 30 DDEDLO C#CC[C@@H]1CCC[N@@H+](CN2C(=O)c3ccc(OC)cc3C2=O)C1 ZINC000844709662 703060712 /nfs/dbraw/zinc/06/07/12/703060712.db2.gz GACMDFYKCPRWHU-CYBMUJFWSA-N 1 2 312.369 1.984 20 30 DDEDLO C#CC[C@@H]1CCC[N@H+](CN2C(=O)c3ccc(OC)cc3C2=O)C1 ZINC000844709662 703060714 /nfs/dbraw/zinc/06/07/14/703060714.db2.gz GACMDFYKCPRWHU-CYBMUJFWSA-N 1 2 312.369 1.984 20 30 DDEDLO C[C@H](CC(=O)[C@@H](C#N)C(=O)NCc1ccccc1)n1cc[nH+]c1 ZINC000845440321 703157205 /nfs/dbraw/zinc/15/72/05/703157205.db2.gz DKQHWMXGFZBRQZ-UKRRQHHQSA-N 1 2 310.357 1.859 20 30 DDEDLO C=C[C@@H](C)ONC(=O)C[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000846546454 703294903 /nfs/dbraw/zinc/29/49/03/703294903.db2.gz VAGKEFUEJBVCQV-ZBFHGGJFSA-N 1 2 304.390 1.900 20 30 DDEDLO C=C[C@@H](C)ONC(=O)C[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000846546454 703294905 /nfs/dbraw/zinc/29/49/05/703294905.db2.gz VAGKEFUEJBVCQV-ZBFHGGJFSA-N 1 2 304.390 1.900 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](c2cccc(Br)c2)C1 ZINC000831592883 706735652 /nfs/dbraw/zinc/73/56/52/706735652.db2.gz GFWXNGFIWYWJRV-CYBMUJFWSA-N 1 2 321.218 1.988 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](c2cccc(Br)c2)C1 ZINC000831592883 706735653 /nfs/dbraw/zinc/73/56/53/706735653.db2.gz GFWXNGFIWYWJRV-CYBMUJFWSA-N 1 2 321.218 1.988 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000846981988 703360994 /nfs/dbraw/zinc/36/09/94/703360994.db2.gz OFLAKAPQKCLNRJ-LLVKDONJSA-N 1 2 304.412 1.025 20 30 DDEDLO Cn1ncc2c1nc(N=NC1CCC[N@@H+]3CCCC[C@H]13)[nH]c2=O ZINC000848405862 703547140 /nfs/dbraw/zinc/54/71/40/703547140.db2.gz BLBNFCQAVUEMHY-GFCCVEGCSA-N 1 2 315.381 1.485 20 30 DDEDLO Cn1ncc2c1nc(N=NC1CCC[N@H+]3CCCC[C@H]13)[nH]c2=O ZINC000848405862 703547141 /nfs/dbraw/zinc/54/71/41/703547141.db2.gz BLBNFCQAVUEMHY-GFCCVEGCSA-N 1 2 315.381 1.485 20 30 DDEDLO Cc1nn2c(C=NNCCCn3cc[nH+]c3)c(C)nc2s1 ZINC000848419176 703548511 /nfs/dbraw/zinc/54/85/11/703548511.db2.gz VGLJCGIDXWEADK-UHFFFAOYSA-N 1 2 303.395 1.618 20 30 DDEDLO COc1cc(OC)c(OC)cc1C=NNCCCn1cc[nH+]c1 ZINC000848418370 703548233 /nfs/dbraw/zinc/54/82/33/703548233.db2.gz IYAQULZGTNNJDL-UHFFFAOYSA-N 1 2 318.377 1.923 20 30 DDEDLO COC(=O)COc1ccc(C=NNCCCn2cc[nH+]c2)cc1 ZINC000848417592 703548272 /nfs/dbraw/zinc/54/82/72/703548272.db2.gz BSOPTYBQDHKBPH-UHFFFAOYSA-N 1 2 316.361 1.449 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](Cn2c(=O)oc3ccc([N+](=O)[O-])cc32)C1 ZINC000848494286 703557698 /nfs/dbraw/zinc/55/76/98/703557698.db2.gz VMACBEPMMGBRGZ-LLVKDONJSA-N 1 2 301.302 1.805 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](Cn2c(=O)oc3ccc([N+](=O)[O-])cc32)C1 ZINC000848494286 703557699 /nfs/dbraw/zinc/55/76/99/703557699.db2.gz VMACBEPMMGBRGZ-LLVKDONJSA-N 1 2 301.302 1.805 20 30 DDEDLO C#CCOCCN1CC[NH+](Cc2cc(C)ccc2OC)CC1 ZINC000851718484 703836135 /nfs/dbraw/zinc/83/61/35/703836135.db2.gz YQENHPLGFVDRRT-UHFFFAOYSA-N 1 2 302.418 1.771 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1ccccc1C(N)=O)[C@@H](C)COC ZINC000852356908 704022815 /nfs/dbraw/zinc/02/28/15/704022815.db2.gz RGRBJYCIDDRVGN-LBPRGKRZSA-N 1 2 305.378 1.247 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1ccccc1C(N)=O)[C@@H](C)COC ZINC000852356908 704022818 /nfs/dbraw/zinc/02/28/18/704022818.db2.gz RGRBJYCIDDRVGN-LBPRGKRZSA-N 1 2 305.378 1.247 20 30 DDEDLO C[C@@H](CC#N)C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000871545638 704312734 /nfs/dbraw/zinc/31/27/34/704312734.db2.gz TWOHYRCAPBTUNW-LBPRGKRZSA-N 1 2 309.410 1.458 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)cc1 ZINC000854101542 704356592 /nfs/dbraw/zinc/35/65/92/704356592.db2.gz ZNAVGRKKUUHSCB-LSDHHAIUSA-N 1 2 315.417 1.576 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)cc1 ZINC000854101542 704356594 /nfs/dbraw/zinc/35/65/94/704356594.db2.gz ZNAVGRKKUUHSCB-LSDHHAIUSA-N 1 2 315.417 1.576 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)cc1 ZINC000855412297 704483829 /nfs/dbraw/zinc/48/38/29/704483829.db2.gz SNNYQWQXWORQQH-GJZGRUSLSA-N 1 2 316.401 1.538 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)cc1 ZINC000855412297 704483830 /nfs/dbraw/zinc/48/38/30/704483830.db2.gz SNNYQWQXWORQQH-GJZGRUSLSA-N 1 2 316.401 1.538 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000858316500 704693613 /nfs/dbraw/zinc/69/36/13/704693613.db2.gz VUOOWOGNAYWKAC-CABCVRRESA-N 1 2 316.405 1.388 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000824175053 705391508 /nfs/dbraw/zinc/39/15/08/705391508.db2.gz MKCVPBAUAHYFPB-IIAWOOMASA-N 1 2 310.357 1.577 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000824175053 705391511 /nfs/dbraw/zinc/39/15/11/705391511.db2.gz MKCVPBAUAHYFPB-IIAWOOMASA-N 1 2 310.357 1.577 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1CCOC[C@@H]1CC)c1ccccc1 ZINC000875724886 705490079 /nfs/dbraw/zinc/49/00/79/705490079.db2.gz JBUOWWRFSVHGMJ-IRXDYDNUSA-N 1 2 315.417 1.771 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1CCOC[C@@H]1CC)c1ccccc1 ZINC000875724886 705490081 /nfs/dbraw/zinc/49/00/81/705490081.db2.gz JBUOWWRFSVHGMJ-IRXDYDNUSA-N 1 2 315.417 1.771 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc(OC)c(OC)c2)CC1 ZINC000824861564 705544305 /nfs/dbraw/zinc/54/43/05/705544305.db2.gz NDYDDYSPPMLUPC-UHFFFAOYSA-N 1 2 318.373 1.983 20 30 DDEDLO CCOC(=O)C[C@@H](O)CO[NH+]=C(N)c1ccc(Cl)cc1 ZINC000863455221 705933960 /nfs/dbraw/zinc/93/39/60/705933960.db2.gz ZPOLODAVTYJDOH-LLVKDONJSA-N 1 2 300.742 1.291 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N2CCC(C#N)CC2)C1 ZINC000881843630 707389854 /nfs/dbraw/zinc/38/98/54/707389854.db2.gz KPYSMZMBPMDXFH-UHFFFAOYSA-N 1 2 319.430 1.599 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N2CCC(C#N)CC2)C1 ZINC000881843630 707389856 /nfs/dbraw/zinc/38/98/56/707389856.db2.gz KPYSMZMBPMDXFH-UHFFFAOYSA-N 1 2 319.430 1.599 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC000877787582 706212608 /nfs/dbraw/zinc/21/26/08/706212608.db2.gz OREUNAJTLDTKRY-JKSUJKDBSA-N 1 2 319.426 1.565 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC000877787582 706212612 /nfs/dbraw/zinc/21/26/12/706212612.db2.gz OREUNAJTLDTKRY-JKSUJKDBSA-N 1 2 319.426 1.565 20 30 DDEDLO C=CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000877896415 706234873 /nfs/dbraw/zinc/23/48/73/706234873.db2.gz ZTLKIOMSXNOJNZ-NSHDSACASA-N 1 2 313.423 1.562 20 30 DDEDLO C=CCN(Cc1ccc(OC)cc1)C(=O)[C@@H](O)c1c[nH+]c[nH]1 ZINC000864952434 706309878 /nfs/dbraw/zinc/30/98/78/706309878.db2.gz PUVCZUCGJIYNEW-HNNXBMFYSA-N 1 2 301.346 1.667 20 30 DDEDLO CO[C@@]1(C(F)(F)F)CC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000880302137 706933600 /nfs/dbraw/zinc/93/36/00/706933600.db2.gz DWGOJPPABWNJLO-JQWIXIFHSA-N 1 2 307.316 1.400 20 30 DDEDLO CO[C@@]1(C(F)(F)F)CC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000880302137 706933604 /nfs/dbraw/zinc/93/36/04/706933604.db2.gz DWGOJPPABWNJLO-JQWIXIFHSA-N 1 2 307.316 1.400 20 30 DDEDLO COc1cccc2c1CC[C@]2(CO)[NH2+]Cc1ccncc1C#N ZINC000880381842 706957896 /nfs/dbraw/zinc/95/78/96/706957896.db2.gz GGMKVLJIFXMXAU-GOSISDBHSA-N 1 2 309.369 1.885 20 30 DDEDLO C#CCC1(O)CC[NH+]([C@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000880479134 706986719 /nfs/dbraw/zinc/98/67/19/706986719.db2.gz KMSPCSPNYVVLAT-CQSZACIVSA-N 1 2 311.389 1.574 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)c2cccc(Cl)c2)nn1 ZINC000881416350 707248386 /nfs/dbraw/zinc/24/83/86/707248386.db2.gz ZJFHQOZTSWEEEC-OAHLLOKOSA-N 1 2 304.781 1.778 20 30 DDEDLO C#CCOc1ccc(CNC(=O)[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000836870101 707536485 /nfs/dbraw/zinc/53/64/85/707536485.db2.gz NJTRVYIXHLJIPP-HNNXBMFYSA-N 1 2 309.369 1.774 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000883005125 707893442 /nfs/dbraw/zinc/89/34/42/707893442.db2.gz FMUCDVQBIRGUAF-HZSPNIEDSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000883005125 707893443 /nfs/dbraw/zinc/89/34/43/707893443.db2.gz FMUCDVQBIRGUAF-HZSPNIEDSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCONC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000838707612 707967239 /nfs/dbraw/zinc/96/72/39/707967239.db2.gz HMIATFRKWKYEFU-MRXNPFEDSA-N 1 2 319.405 1.347 20 30 DDEDLO COCCOC1C[NH+](Cc2ccc(N(C)CCC#N)cc2)C1 ZINC000838985742 708010278 /nfs/dbraw/zinc/01/02/78/708010278.db2.gz LPVCMAKLXWHOBC-UHFFFAOYSA-N 1 2 303.406 1.884 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1ccccc1Cl ZINC000884052780 708118656 /nfs/dbraw/zinc/11/86/56/708118656.db2.gz BMDKYDYRKOCGHQ-ZDUSSCGKSA-N 1 2 310.781 1.745 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCO[C@H]1CCCC[C@H]1C ZINC000884081372 708130691 /nfs/dbraw/zinc/13/06/91/708130691.db2.gz XDMAHMCQMKRYRC-RDBSUJKOSA-N 1 2 312.410 1.145 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2ccc(Cl)cc2)CC1 ZINC000884135703 708155472 /nfs/dbraw/zinc/15/54/72/708155472.db2.gz RIHKNPNIWAXRQF-ZDUSSCGKSA-N 1 2 322.792 1.892 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)CCN(C)c1ccccc1 ZINC000884217023 708194979 /nfs/dbraw/zinc/19/49/79/708194979.db2.gz BXOCXFNFCYBNAX-HNNXBMFYSA-N 1 2 319.405 1.028 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1CC(C)(c2ccccc2)C1 ZINC000884333585 708250022 /nfs/dbraw/zinc/25/00/22/708250022.db2.gz SDHQPSRLNJIENV-CSLYMUCUSA-N 1 2 316.401 1.670 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H](C)Cc1ccccc1C ZINC000884335363 708250667 /nfs/dbraw/zinc/25/06/67/708250667.db2.gz QJAPYUZGWLDSCB-ZBFHGGJFSA-N 1 2 318.417 1.831 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2ccccc2OC)CC1 ZINC000884374473 708268774 /nfs/dbraw/zinc/26/87/74/708268774.db2.gz RBFMJKQCVSHBGB-ZDUSSCGKSA-N 1 2 318.373 1.247 20 30 DDEDLO CC1=CCC[C@@H](C)[C@@H]1C[N@@H+]1C[C@@H]2CS(=O)(=O)C[C@@]2(C#N)C1 ZINC000897294663 708282141 /nfs/dbraw/zinc/28/21/41/708282141.db2.gz UEXDEKLRCNMAOY-KLHDSHLOSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=CCC[C@@H](C)[C@@H]1C[N@H+]1C[C@@H]2CS(=O)(=O)C[C@@]2(C#N)C1 ZINC000897294663 708282143 /nfs/dbraw/zinc/28/21/43/708282143.db2.gz UEXDEKLRCNMAOY-KLHDSHLOSA-N 1 2 308.447 1.849 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)C[C@H]2CCC2(F)F)C1 ZINC000885509008 708562016 /nfs/dbraw/zinc/56/20/16/708562016.db2.gz ODXXVVFDWPYZMO-NEPJUHHUSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)C[C@H]2CCC2(F)F)C1 ZINC000885509008 708562018 /nfs/dbraw/zinc/56/20/18/708562018.db2.gz ODXXVVFDWPYZMO-NEPJUHHUSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(OCC)c2)C1 ZINC000885508347 708562072 /nfs/dbraw/zinc/56/20/72/708562072.db2.gz GBKMDVUJNYXARL-CQSZACIVSA-N 1 2 322.430 1.461 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(OCC)c2)C1 ZINC000885508347 708562075 /nfs/dbraw/zinc/56/20/75/708562075.db2.gz GBKMDVUJNYXARL-CQSZACIVSA-N 1 2 322.430 1.461 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cccc(F)c2C)C1 ZINC000885511918 708562895 /nfs/dbraw/zinc/56/28/95/708562895.db2.gz OTSUMOYCLGRMKI-ZDUSSCGKSA-N 1 2 310.394 1.510 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cccc(F)c2C)C1 ZINC000885511918 708562898 /nfs/dbraw/zinc/56/28/98/708562898.db2.gz OTSUMOYCLGRMKI-ZDUSSCGKSA-N 1 2 310.394 1.510 20 30 DDEDLO CC(C)CN1CC[N@H+](C[C@@H](O)CC2(C#N)CCC2)[C@@H](C)C1=O ZINC000886147719 708705162 /nfs/dbraw/zinc/70/51/62/708705162.db2.gz ZUWCVCWFPZKQQB-GJZGRUSLSA-N 1 2 307.438 1.620 20 30 DDEDLO CC(C)CN1CC[N@@H+](C[C@@H](O)CC2(C#N)CCC2)[C@@H](C)C1=O ZINC000886147719 708705164 /nfs/dbraw/zinc/70/51/64/708705164.db2.gz ZUWCVCWFPZKQQB-GJZGRUSLSA-N 1 2 307.438 1.620 20 30 DDEDLO Cc1cc(=O)[nH]c(N2CC[NH+](Cc3cccc(C#N)c3)CC2)n1 ZINC000886653006 708806552 /nfs/dbraw/zinc/80/65/52/708806552.db2.gz SBGHVTGPZIPKAU-UHFFFAOYSA-N 1 2 309.373 1.685 20 30 DDEDLO C=CCC1(O)CC[NH+](CC(=O)NCC(=O)c2ccccc2)CC1 ZINC000886773951 708842540 /nfs/dbraw/zinc/84/25/40/708842540.db2.gz DZFBUXRZDVZABB-UHFFFAOYSA-N 1 2 316.401 1.389 20 30 DDEDLO C[C@]1(C#N)CN(C(=O)c2cc(-n3cc[nH+]c3)ccc2O)CCO1 ZINC000887690598 709104126 /nfs/dbraw/zinc/10/41/26/709104126.db2.gz PIAYVQJKFJGESE-INIZCTEOSA-N 1 2 312.329 1.333 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)C(F)(F)c2ccccc2C#N)c1 ZINC000909855560 709654709 /nfs/dbraw/zinc/65/47/09/709654709.db2.gz HHSJWYBNYRXGFQ-UHFFFAOYSA-N 1 2 304.300 1.742 20 30 DDEDLO C=CCCC[C@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C(=O)OC ZINC000928318679 713174469 /nfs/dbraw/zinc/17/44/69/713174469.db2.gz XAKCAFUOSIIKKV-JSGCOSHPSA-N 1 2 305.378 1.460 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(c2ccc(C#N)cc2CO)CC1 ZINC000892667319 710478784 /nfs/dbraw/zinc/47/87/84/710478784.db2.gz VZPKNFCKCIMBHL-UHFFFAOYSA-N 1 2 317.389 1.126 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(c2ccc(C#N)cc2CO)CC1 ZINC000892667319 710478785 /nfs/dbraw/zinc/47/87/85/710478785.db2.gz VZPKNFCKCIMBHL-UHFFFAOYSA-N 1 2 317.389 1.126 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](C/C=C/c2cccc(F)c2)CC1 ZINC000893914667 710884235 /nfs/dbraw/zinc/88/42/35/710884235.db2.gz KSYSZOKOXSYRAU-GQCTYLIASA-N 1 2 317.408 1.759 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)s1 ZINC000913440375 713216847 /nfs/dbraw/zinc/21/68/47/713216847.db2.gz RGKTYVAEOYNVDW-LLVKDONJSA-N 1 2 318.406 1.251 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(CC#N)cc1 ZINC000928643689 713247595 /nfs/dbraw/zinc/24/75/95/713247595.db2.gz UWZZWDLRGPBNEV-MRXNPFEDSA-N 1 2 301.390 1.593 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@H](CC)C[NH+]1CCOCC1 ZINC000928646635 713249229 /nfs/dbraw/zinc/24/92/29/713249229.db2.gz HQXXVNWGWWBGIX-QGZVFWFLSA-N 1 2 300.402 1.437 20 30 DDEDLO CN(C)c1ccc(/C=C\C[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000895065811 711394171 /nfs/dbraw/zinc/39/41/71/711394171.db2.gz DEYYOLPICRJMCV-ARJAWSKDSA-N 1 2 312.417 1.824 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2csc(-c3ccccn3)n2)CCCN1O ZINC000895164327 711439433 /nfs/dbraw/zinc/43/94/33/711439433.db2.gz FKHYZUUIXMPLLR-GFCCVEGCSA-N 1 2 304.375 1.675 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C2CCC(=C)CC2)CC1 ZINC000896181649 711687083 /nfs/dbraw/zinc/68/70/83/711687083.db2.gz MIUOADBZIGNMLK-UHFFFAOYSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C2CCC(=C)CC2)CC1 ZINC000896181649 711687085 /nfs/dbraw/zinc/68/70/85/711687085.db2.gz MIUOADBZIGNMLK-UHFFFAOYSA-N 1 2 317.433 1.017 20 30 DDEDLO Cc1cc(C)c(C(=O)NCC2CCN(CC#N)CC2)c(C)[nH+]1 ZINC000906796641 712413246 /nfs/dbraw/zinc/41/32/46/712413246.db2.gz BLOGAVRKTAOLOP-UHFFFAOYSA-N 1 2 300.406 1.972 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000907834677 712633074 /nfs/dbraw/zinc/63/30/74/712633074.db2.gz AJRFFIBMMPTVPG-USSHROBNSA-N 1 2 319.449 1.886 20 30 DDEDLO C[C@@H]1CN(C(=O)NCCCCn2cc[nH+]c2)C[C@H](C)N1CC#N ZINC000914308628 713374595 /nfs/dbraw/zinc/37/45/95/713374595.db2.gz WOFVOOYRKSYYNI-GASCZTMLSA-N 1 2 318.425 1.291 20 30 DDEDLO CC[C@]1(C)NC(=O)N(N=Cc2ccc(-n3cc[nH+]c3)cc2)C1=O ZINC000917027360 713478922 /nfs/dbraw/zinc/47/89/22/713478922.db2.gz HUSCAVONTFMGQX-INIZCTEOSA-N 1 2 311.345 1.927 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)C/C(C)=C\C(=O)OC)c1 ZINC000929290090 713583320 /nfs/dbraw/zinc/58/33/20/713583320.db2.gz MDNAYCTWOOCVEH-LCYFTJDESA-N 1 2 300.358 1.658 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)C/C(C)=C\C(=O)OC)c1 ZINC000929290090 713583323 /nfs/dbraw/zinc/58/33/23/713583323.db2.gz MDNAYCTWOOCVEH-LCYFTJDESA-N 1 2 300.358 1.658 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)N[C@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000920874053 713699787 /nfs/dbraw/zinc/69/97/87/713699787.db2.gz GFQUVNPNHYSZHL-HIFRSBDPSA-N 1 2 312.373 1.617 20 30 DDEDLO CC(C)[N@@H+](Cc1nc2ccccc2c(=O)[nH]1)C[C@H](O)CC#N ZINC000929894187 713714491 /nfs/dbraw/zinc/71/44/91/713714491.db2.gz ONHBKUKFVGDPPX-GFCCVEGCSA-N 1 2 300.362 1.820 20 30 DDEDLO CC(C)[N@H+](Cc1nc2ccccc2c(=O)[nH]1)C[C@H](O)CC#N ZINC000929894187 713714494 /nfs/dbraw/zinc/71/44/94/713714494.db2.gz ONHBKUKFVGDPPX-GFCCVEGCSA-N 1 2 300.362 1.820 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)[N@@H+]2Cc2cncc(C#N)c2)O1 ZINC000930352619 713811894 /nfs/dbraw/zinc/81/18/94/713811894.db2.gz AUPHPLUTROCLPL-MWNCTCPHSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)[N@H+]2Cc2cncc(C#N)c2)O1 ZINC000930352619 713811897 /nfs/dbraw/zinc/81/18/97/713811897.db2.gz AUPHPLUTROCLPL-MWNCTCPHSA-N 1 2 315.373 1.637 20 30 DDEDLO C[N@H+](C[C@@H](O)c1cccc(C#N)c1)C[C@H]1CCCS1(=O)=O ZINC000930845261 713935989 /nfs/dbraw/zinc/93/59/89/713935989.db2.gz MICMYQUKKTZUJT-HUUCEWRRSA-N 1 2 308.403 1.101 20 30 DDEDLO C[N@@H+](C[C@@H](O)c1cccc(C#N)c1)C[C@H]1CCCS1(=O)=O ZINC000930845261 713935990 /nfs/dbraw/zinc/93/59/90/713935990.db2.gz MICMYQUKKTZUJT-HUUCEWRRSA-N 1 2 308.403 1.101 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3n[nH]c(C)n3)CC2)cc1C#N ZINC000931626167 714141538 /nfs/dbraw/zinc/14/15/38/714141538.db2.gz BPTQRPKJZJMKTR-UHFFFAOYSA-N 1 2 312.377 1.316 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@H](C2OCCO2)C1 ZINC000932000023 714229345 /nfs/dbraw/zinc/22/93/45/714229345.db2.gz YHECHYCHRROJQE-LSDHHAIUSA-N 1 2 316.357 1.467 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@H](C2OCCO2)C1 ZINC000932000023 714229347 /nfs/dbraw/zinc/22/93/47/714229347.db2.gz YHECHYCHRROJQE-LSDHHAIUSA-N 1 2 316.357 1.467 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932886436 714430844 /nfs/dbraw/zinc/43/08/44/714430844.db2.gz BDWWRAJLUFKOEL-QWRGUYRKSA-N 1 2 322.331 1.193 20 30 DDEDLO CCn1c[nH+]c2c1CCN([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2 ZINC000933263945 714549656 /nfs/dbraw/zinc/54/96/56/714549656.db2.gz STVIDLKZBBDDAQ-DYVFJYSZSA-N 1 2 317.437 1.704 20 30 DDEDLO O=C(C[NH+]1CCC2(CC1)CC(=O)C=CO2)NC(C1CC1)C1CC1 ZINC000933649566 714638577 /nfs/dbraw/zinc/63/85/77/714638577.db2.gz GBJXSIIACAFWMK-UHFFFAOYSA-N 1 2 318.417 1.629 20 30 DDEDLO CO[C@H]1CC[C@H]1[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000934131555 714751452 /nfs/dbraw/zinc/75/14/52/714751452.db2.gz POZIGJICSWJWEY-CABCVRRESA-N 1 2 308.403 1.441 20 30 DDEDLO CO[C@H]1CC[C@H]1[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000934131555 714751455 /nfs/dbraw/zinc/75/14/55/714751455.db2.gz POZIGJICSWJWEY-CABCVRRESA-N 1 2 308.403 1.441 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CCOc1cccc(S(C)(=O)=O)c1 ZINC000934511986 714839604 /nfs/dbraw/zinc/83/96/04/714839604.db2.gz CJABPHSSJJYGOD-AWEZNQCLSA-N 1 2 307.415 1.957 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CCOc1cccc(S(C)(=O)=O)c1 ZINC000934511986 714839605 /nfs/dbraw/zinc/83/96/05/714839605.db2.gz CJABPHSSJJYGOD-AWEZNQCLSA-N 1 2 307.415 1.957 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C3CC3)C2)CC1 ZINC000956598549 715484176 /nfs/dbraw/zinc/48/41/76/715484176.db2.gz FJYUMNJGZDQVJX-OAHLLOKOSA-N 1 2 319.449 1.354 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2n[nH]c3c2C[C@@H](C)CC3)CC1 ZINC000956993711 715699224 /nfs/dbraw/zinc/69/92/24/715699224.db2.gz XSUTZEIVBGNQGW-ZDUSSCGKSA-N 1 2 302.422 1.868 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC000938317008 715797858 /nfs/dbraw/zinc/79/78/58/715797858.db2.gz MWNSGHGMETVJLM-SUMWQHHRSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc(C(=O)N(C)C)c2)CC1 ZINC000957365232 715861808 /nfs/dbraw/zinc/86/18/08/715861808.db2.gz GJIGPIIBAOZBPU-UHFFFAOYSA-N 1 2 301.390 1.332 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc(C(=O)N(C)C)cc2)CC1 ZINC000957363964 715862223 /nfs/dbraw/zinc/86/22/23/715862223.db2.gz XAFRSZHBHDPEGS-UHFFFAOYSA-N 1 2 315.417 1.722 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN2C(=O)C(C)C)C1 ZINC000957399443 715882367 /nfs/dbraw/zinc/88/23/67/715882367.db2.gz FOQDVRWMIZKKPZ-INIZCTEOSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN2C(=O)CCC)C1 ZINC000957420572 715894565 /nfs/dbraw/zinc/89/45/65/715894565.db2.gz RPCKRAJMDDVDJA-HNNXBMFYSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](N(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC000939008268 716082865 /nfs/dbraw/zinc/08/28/65/716082865.db2.gz CVMOFJUYYPXILK-ZDUSSCGKSA-N 1 2 318.421 1.234 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cc2n(n1)CCC2 ZINC000960499625 716583043 /nfs/dbraw/zinc/58/30/43/716583043.db2.gz FTHJCKFGWBDTEV-XJKSGUPXSA-N 1 2 315.421 1.412 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC000960499625 716583047 /nfs/dbraw/zinc/58/30/47/716583047.db2.gz FTHJCKFGWBDTEV-XJKSGUPXSA-N 1 2 315.421 1.412 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960615659 716631999 /nfs/dbraw/zinc/63/19/99/716631999.db2.gz XTTRNHYVDWGHOT-GDBMZVCRSA-N 1 2 312.417 1.874 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960615659 716632000 /nfs/dbraw/zinc/63/20/00/716632000.db2.gz XTTRNHYVDWGHOT-GDBMZVCRSA-N 1 2 312.417 1.874 20 30 DDEDLO CCn1nncc1C[N@H+](C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960627845 716637367 /nfs/dbraw/zinc/63/73/67/716637367.db2.gz SGJRRPJOMXFMQN-OAHLLOKOSA-N 1 2 315.421 1.134 20 30 DDEDLO CCn1nncc1C[N@@H+](C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960627845 716637372 /nfs/dbraw/zinc/63/73/72/716637372.db2.gz SGJRRPJOMXFMQN-OAHLLOKOSA-N 1 2 315.421 1.134 20 30 DDEDLO N#CCN1CC[C@H]([C@@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000961263275 716887425 /nfs/dbraw/zinc/88/74/25/716887425.db2.gz QRESJTIKMYMHGS-KGLIPLIRSA-N 1 2 301.394 1.036 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3cccc(F)c3)CC2)C1 ZINC000941293595 717148554 /nfs/dbraw/zinc/14/85/54/717148554.db2.gz WLYOZSYIPKULDR-UHFFFAOYSA-N 1 2 315.392 1.291 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCCC4(CC4)C3)CC2)C1 ZINC000941410818 717172245 /nfs/dbraw/zinc/17/22/45/717172245.db2.gz NRARDAXXOHTLQR-MRXNPFEDSA-N 1 2 315.461 1.418 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3(F)CCCC3)CC2)C1 ZINC000941468718 717182837 /nfs/dbraw/zinc/18/28/37/717182837.db2.gz YMUUSYGZIRACKG-UHFFFAOYSA-N 1 2 307.413 1.120 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc[nH]c3CCC)CC2)C1 ZINC000941529304 717195971 /nfs/dbraw/zinc/19/59/71/717195971.db2.gz NJRFCBUPJQMAOA-UHFFFAOYSA-N 1 2 314.433 1.042 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCN(C2C[NH+](CC#CC)C2)CC1 ZINC000941551035 717205659 /nfs/dbraw/zinc/20/56/59/717205659.db2.gz WHHOMMBRNPQRLI-SFHVURJKSA-N 1 2 303.450 1.440 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C(C)(C)C(F)F)CC2)C1 ZINC000941559171 717209738 /nfs/dbraw/zinc/20/97/38/717209738.db2.gz OKFJBIKFRSXCMZ-UHFFFAOYSA-N 1 2 313.392 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000965891154 717799919 /nfs/dbraw/zinc/79/99/19/717799919.db2.gz RIRLRCNEEHJZCS-QMTHXVAHSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000965891154 717799924 /nfs/dbraw/zinc/79/99/24/717799924.db2.gz RIRLRCNEEHJZCS-QMTHXVAHSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC000965891154 717799928 /nfs/dbraw/zinc/79/99/28/717799928.db2.gz RIRLRCNEEHJZCS-QMTHXVAHSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC000965891154 717799931 /nfs/dbraw/zinc/79/99/31/717799931.db2.gz RIRLRCNEEHJZCS-QMTHXVAHSA-N 1 2 310.829 1.840 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2sc3nccn3c2C)C1 ZINC000966818013 718673357 /nfs/dbraw/zinc/67/33/57/718673357.db2.gz YHQYHXHGMPPHAF-WCQYABFASA-N 1 2 316.430 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2sc3nccn3c2C)C1 ZINC000966818013 718673359 /nfs/dbraw/zinc/67/33/59/718673359.db2.gz YHQYHXHGMPPHAF-WCQYABFASA-N 1 2 316.430 1.778 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1ccon1 ZINC000947204943 719073844 /nfs/dbraw/zinc/07/38/44/719073844.db2.gz JMWDYOZKDWFDSX-YPMHNXCESA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1ccon1 ZINC000947204943 719073847 /nfs/dbraw/zinc/07/38/47/719073847.db2.gz JMWDYOZKDWFDSX-YPMHNXCESA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2nnc[nH]2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947828803 719281653 /nfs/dbraw/zinc/28/16/53/719281653.db2.gz IPGXHIKXWUNCJT-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2nnc[nH]2)CC[N@H+]1Cc1ccccc1C#N ZINC000947828803 719281655 /nfs/dbraw/zinc/28/16/55/719281655.db2.gz IPGXHIKXWUNCJT-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2ncn[nH]2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947828803 719281656 /nfs/dbraw/zinc/28/16/56/719281656.db2.gz IPGXHIKXWUNCJT-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2ncn[nH]2)CC[N@H+]1Cc1ccccc1C#N ZINC000947828803 719281658 /nfs/dbraw/zinc/28/16/58/719281658.db2.gz IPGXHIKXWUNCJT-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968692088 719739193 /nfs/dbraw/zinc/73/91/93/719739193.db2.gz LGSIDXMTYZQTFD-NEPJUHHUSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968692088 719739197 /nfs/dbraw/zinc/73/91/97/719739197.db2.gz LGSIDXMTYZQTFD-NEPJUHHUSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cc(C)n[nH]1 ZINC000948890447 719769470 /nfs/dbraw/zinc/76/94/70/719769470.db2.gz RBNNZKIUHTUNAH-QGZVFWFLSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cc(C)n[nH]1 ZINC000948890447 719769471 /nfs/dbraw/zinc/76/94/71/719769471.db2.gz RBNNZKIUHTUNAH-QGZVFWFLSA-N 1 2 308.385 1.681 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CC(=O)N[C@H](CC(C)C)C2)CC1 ZINC000949026054 719851104 /nfs/dbraw/zinc/85/11/04/719851104.db2.gz UEQVIAWMUOIROF-LSDHHAIUSA-N 1 2 307.438 1.258 20 30 DDEDLO N#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000969022706 719927898 /nfs/dbraw/zinc/92/78/98/719927898.db2.gz IBCSYEKDLSXJFR-ZIAGYGMSSA-N 1 2 315.421 1.714 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2ccc(OC)c(F)c2)CC1 ZINC000949160891 719935990 /nfs/dbraw/zinc/93/59/90/719935990.db2.gz QUOSAMLCTDKJBK-UHFFFAOYSA-N 1 2 304.365 1.544 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3coc(CC)n3)CC2)C1 ZINC000949343587 720029598 /nfs/dbraw/zinc/02/95/98/720029598.db2.gz JAZJICNQKJBRGH-UHFFFAOYSA-N 1 2 317.389 1.177 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3coc(CC)n3)CC2)C1 ZINC000949343587 720029600 /nfs/dbraw/zinc/02/96/00/720029600.db2.gz JAZJICNQKJBRGH-UHFFFAOYSA-N 1 2 317.389 1.177 20 30 DDEDLO C=CCOc1ccccc1C(=O)N(CC)C1C[NH+](C[C@H](C)O)C1 ZINC000950645135 720719391 /nfs/dbraw/zinc/71/93/91/720719391.db2.gz HWMXCRKDNGBQDL-AWEZNQCLSA-N 1 2 318.417 1.779 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H](C)C2C[NH+](CC(=C)Cl)C2)nn1 ZINC000970549650 720810439 /nfs/dbraw/zinc/81/04/39/720810439.db2.gz XUDZMUQODORMKU-LLVKDONJSA-N 1 2 309.801 1.267 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)N[C@@H](CC)C2)C1 ZINC000950960491 720852883 /nfs/dbraw/zinc/85/28/83/720852883.db2.gz BCVLOGWTQZTTIY-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2c3c(nn2C)CCC3)C1 ZINC000970732551 720913417 /nfs/dbraw/zinc/91/34/17/720913417.db2.gz XNHKJXQIOBWIGU-LLVKDONJSA-N 1 2 322.840 1.711 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000951543511 721065251 /nfs/dbraw/zinc/06/52/51/721065251.db2.gz UVJVSMUBOHTDRX-ZDUSSCGKSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H](C)OC)C1 ZINC000971159516 721146624 /nfs/dbraw/zinc/14/66/24/721146624.db2.gz ZIPHIPCDTOCLCC-GHMZBOCLSA-N 1 2 305.216 1.463 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H](C)OC)C1 ZINC000971159516 721146628 /nfs/dbraw/zinc/14/66/28/721146628.db2.gz ZIPHIPCDTOCLCC-GHMZBOCLSA-N 1 2 305.216 1.463 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc3ncn(C)c3n2)C1 ZINC000951742488 721162092 /nfs/dbraw/zinc/16/20/92/721162092.db2.gz WVGCRUUNHOKEAM-UHFFFAOYSA-N 1 2 313.405 1.691 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC000952378889 721428543 /nfs/dbraw/zinc/42/85/43/721428543.db2.gz SABXTBLMRPALSO-UHFFFAOYSA-N 1 2 312.373 1.438 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2csc3cncn32)C1 ZINC000952389845 721433612 /nfs/dbraw/zinc/43/36/12/721433612.db2.gz LMNOMNBLOGAZMT-UHFFFAOYSA-N 1 2 302.403 1.565 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3oc(CC)nc3C)[C@H]2C1 ZINC001083240894 734695968 /nfs/dbraw/zinc/69/59/68/734695968.db2.gz DAFHQOOAJZCIIW-UONOGXRCSA-N 1 2 317.389 1.094 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3oc(CC)nc3C)[C@H]2C1 ZINC001083240894 734695972 /nfs/dbraw/zinc/69/59/72/734695972.db2.gz DAFHQOOAJZCIIW-UONOGXRCSA-N 1 2 317.389 1.094 20 30 DDEDLO Cc1c[nH]nc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038239903 734771722 /nfs/dbraw/zinc/77/17/22/734771722.db2.gz NAONIGAFRMTFQH-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1c[nH]nc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038239903 734771725 /nfs/dbraw/zinc/77/17/25/734771725.db2.gz NAONIGAFRMTFQH-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)cc3OC)[C@H]2C1 ZINC001083253199 734891843 /nfs/dbraw/zinc/89/18/43/734891843.db2.gz BKFAGZLHXMCMMY-DOTOQJQBSA-N 1 2 314.385 1.162 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)cc3OC)[C@H]2C1 ZINC001083253199 734891845 /nfs/dbraw/zinc/89/18/45/734891845.db2.gz BKFAGZLHXMCMMY-DOTOQJQBSA-N 1 2 314.385 1.162 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001058582614 735895217 /nfs/dbraw/zinc/89/52/17/735895217.db2.gz NZVUBWIADLKZCX-AWEZNQCLSA-N 1 2 311.389 1.781 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001024775988 736084919 /nfs/dbraw/zinc/08/49/19/736084919.db2.gz NBDPTCPPXIEBET-GFCCVEGCSA-N 1 2 321.812 1.999 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001024775988 736084925 /nfs/dbraw/zinc/08/49/25/736084925.db2.gz NBDPTCPPXIEBET-GFCCVEGCSA-N 1 2 321.812 1.999 20 30 DDEDLO CC1(C)[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H]2CCCN(CC#N)[C@@H]21 ZINC001087319183 736085123 /nfs/dbraw/zinc/08/51/23/736085123.db2.gz JZHLUKISCJAIKL-CFVMTHIKSA-N 1 2 301.394 1.012 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1cnc(COC)s1 ZINC001024829016 736117686 /nfs/dbraw/zinc/11/76/86/736117686.db2.gz SHDLUKWTMMTWER-CYBMUJFWSA-N 1 2 321.446 1.897 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1cnc(COC)s1 ZINC001024829016 736117689 /nfs/dbraw/zinc/11/76/89/736117689.db2.gz SHDLUKWTMMTWER-CYBMUJFWSA-N 1 2 321.446 1.897 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)CSCC#N)CC2)cc[nH+]1 ZINC001111979921 736317488 /nfs/dbraw/zinc/31/74/88/736317488.db2.gz OQNPLOYGYIIFJB-CYBMUJFWSA-N 1 2 319.434 1.517 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2cncc3nc[nH]c32)C1 ZINC001025422288 736513233 /nfs/dbraw/zinc/51/32/33/736513233.db2.gz HGWMXZBYAGCGGT-CHWSQXEVSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2cncc3nc[nH]c32)C1 ZINC001025422288 736513236 /nfs/dbraw/zinc/51/32/36/736513236.db2.gz HGWMXZBYAGCGGT-CHWSQXEVSA-N 1 2 311.389 1.421 20 30 DDEDLO C[C@@H](CC(=O)N1C[C@@H](C)[C@H](CCNCC#N)C1)n1cc[nH+]c1 ZINC001104738415 736694764 /nfs/dbraw/zinc/69/47/64/736694764.db2.gz UPYJNJUJQYMCEI-QLFBSQMISA-N 1 2 303.410 1.432 20 30 DDEDLO Cc1nsc(NC[C@H](C)N(C)C(=O)Cc2c[nH+]c[nH]2)c1C#N ZINC001104826118 737252262 /nfs/dbraw/zinc/25/22/62/737252262.db2.gz RQODIBLRLKLMLK-VIFPVBQESA-N 1 2 318.406 1.548 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCc3ccc(C)s3)[C@H]2C1 ZINC001083292841 737277519 /nfs/dbraw/zinc/27/75/19/737277519.db2.gz ZMAPXYIBEYJDES-JKSUJKDBSA-N 1 2 318.442 1.534 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCc3ccc(C)s3)[C@H]2C1 ZINC001083292841 737277523 /nfs/dbraw/zinc/27/75/23/737277523.db2.gz ZMAPXYIBEYJDES-JKSUJKDBSA-N 1 2 318.442 1.534 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001104855760 737289193 /nfs/dbraw/zinc/28/91/93/737289193.db2.gz AVZRXFAVVHDGDW-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)N(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001104855760 737289195 /nfs/dbraw/zinc/28/91/95/737289195.db2.gz AVZRXFAVVHDGDW-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3ccn[nH]3)C2)s1 ZINC001010291187 738967082 /nfs/dbraw/zinc/96/70/82/738967082.db2.gz VESZPYVSRBSOSF-SNVBAGLBSA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3ccn[nH]3)C2)s1 ZINC001010291187 738967085 /nfs/dbraw/zinc/96/70/85/738967085.db2.gz VESZPYVSRBSOSF-SNVBAGLBSA-N 1 2 301.375 1.347 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001029827043 741363723 /nfs/dbraw/zinc/36/37/23/741363723.db2.gz ZPURMRUVPVSGDT-RHSMWYFYSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001029827043 741363728 /nfs/dbraw/zinc/36/37/28/741363728.db2.gz ZPURMRUVPVSGDT-RHSMWYFYSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001126719221 741814789 /nfs/dbraw/zinc/81/47/89/741814789.db2.gz FXCATWAAELBJKL-ZDUSSCGKSA-N 1 2 318.421 1.709 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001038683724 738049305 /nfs/dbraw/zinc/04/93/05/738049305.db2.gz JXKZOLBOJUKRID-NWDGAFQWSA-N 1 2 308.813 1.773 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CC2(F)F)C1 ZINC001014381986 742145598 /nfs/dbraw/zinc/14/55/98/742145598.db2.gz GFPPVNFNCCXARK-KGLIPLIRSA-N 1 2 305.328 1.904 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CC2(F)F)C1 ZINC001014381986 742145601 /nfs/dbraw/zinc/14/56/01/742145601.db2.gz GFPPVNFNCCXARK-KGLIPLIRSA-N 1 2 305.328 1.904 20 30 DDEDLO CN(CCN(C)c1ccncc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001105595898 742145922 /nfs/dbraw/zinc/14/59/22/742145922.db2.gz PPDJWPOBMJVTCJ-ZIAGYGMSSA-N 1 2 324.388 1.375 20 30 DDEDLO CN(CCN(C)c1ccncc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001105595898 742145926 /nfs/dbraw/zinc/14/59/26/742145926.db2.gz PPDJWPOBMJVTCJ-ZIAGYGMSSA-N 1 2 324.388 1.375 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC001027402915 738264015 /nfs/dbraw/zinc/26/40/15/738264015.db2.gz VCUWHQSBCWQNDN-SWLSCSKDSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC001027402915 738264017 /nfs/dbraw/zinc/26/40/17/738264017.db2.gz VCUWHQSBCWQNDN-SWLSCSKDSA-N 1 2 316.405 1.775 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1COCCN1CC ZINC001027984056 738908190 /nfs/dbraw/zinc/90/81/90/738908190.db2.gz TXAAWCQLBVNYLJ-ZIAGYGMSSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1COCCN1CC ZINC001027984056 738908192 /nfs/dbraw/zinc/90/81/92/738908192.db2.gz TXAAWCQLBVNYLJ-ZIAGYGMSSA-N 1 2 315.845 1.040 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)CCC(=C)C)CC2 ZINC001128011848 751400240 /nfs/dbraw/zinc/40/02/40/751400240.db2.gz FDRWYZJQYMORTK-AWEZNQCLSA-N 1 2 315.421 1.178 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C3(CC)CCCC3)C2)nn1 ZINC001105352097 738985890 /nfs/dbraw/zinc/98/58/90/738985890.db2.gz PKRWUPJJIUDALY-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)n1 ZINC001058884886 739028029 /nfs/dbraw/zinc/02/80/29/739028029.db2.gz QPXIEEACFHVSEW-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO CC#CCN1CCC[C@H]1CNC(=O)c1occc1C[NH+](C)C ZINC001028105163 739040459 /nfs/dbraw/zinc/04/04/59/739040459.db2.gz PCTJEDYKZQDDMG-HNNXBMFYSA-N 1 2 303.406 1.559 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCCC(=O)N1 ZINC001028130937 739074208 /nfs/dbraw/zinc/07/42/08/739074208.db2.gz YCIDYHILOBOQEB-QWHCGFSZSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCCC(=O)N1 ZINC001028130937 739074211 /nfs/dbraw/zinc/07/42/11/739074211.db2.gz YCIDYHILOBOQEB-QWHCGFSZSA-N 1 2 313.829 1.378 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H]1CNC(=O)c1ncccn1 ZINC001028218528 739182693 /nfs/dbraw/zinc/18/26/93/739182693.db2.gz MHSHYTIZSXIODU-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H]1CNC(=O)c1ncccn1 ZINC001028218528 739182695 /nfs/dbraw/zinc/18/26/95/739182695.db2.gz MHSHYTIZSXIODU-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#CC1(c2cc[nH+]c(NCCNC(=O)N3CCOCC3)c2)CC1 ZINC001167890892 739189591 /nfs/dbraw/zinc/18/95/91/739189591.db2.gz YHLOCXJWCWBXMH-UHFFFAOYSA-N 1 2 315.377 1.090 20 30 DDEDLO CC(C)=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001035338952 751423323 /nfs/dbraw/zinc/42/33/23/751423323.db2.gz WHHYALKDHOWSIR-HNNXBMFYSA-N 1 2 302.378 1.283 20 30 DDEDLO CC(C)=CC[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001035338952 751423326 /nfs/dbraw/zinc/42/33/26/751423326.db2.gz WHHYALKDHOWSIR-HNNXBMFYSA-N 1 2 302.378 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001028284646 739288519 /nfs/dbraw/zinc/28/85/19/739288519.db2.gz IPUGYTYIPRVWFP-NSHDSACASA-N 1 2 307.785 1.609 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001028284646 739288522 /nfs/dbraw/zinc/28/85/22/739288522.db2.gz IPUGYTYIPRVWFP-NSHDSACASA-N 1 2 307.785 1.609 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)[nH]nc2Cl)C1 ZINC001035394278 751465136 /nfs/dbraw/zinc/46/51/36/751465136.db2.gz KHJBDZIGRVFJEM-LLVKDONJSA-N 1 2 312.801 1.378 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)[nH]nc2Cl)C1 ZINC001035394278 751465137 /nfs/dbraw/zinc/46/51/37/751465137.db2.gz KHJBDZIGRVFJEM-LLVKDONJSA-N 1 2 312.801 1.378 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2[nH]cnc2C(F)(F)F)C1 ZINC001035399293 751469188 /nfs/dbraw/zinc/46/91/88/751469188.db2.gz TURNNWLMBKXWCG-VIFPVBQESA-N 1 2 318.299 1.045 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2[nH]cnc2C(F)(F)F)C1 ZINC001035399293 751469191 /nfs/dbraw/zinc/46/91/91/751469191.db2.gz TURNNWLMBKXWCG-VIFPVBQESA-N 1 2 318.299 1.045 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ncccc2CC)C1 ZINC001035424559 751483260 /nfs/dbraw/zinc/48/32/60/751483260.db2.gz PSOPLTPKIFASMK-OAHLLOKOSA-N 1 2 301.390 1.098 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ncccc2CC)C1 ZINC001035424559 751483263 /nfs/dbraw/zinc/48/32/63/751483263.db2.gz PSOPLTPKIFASMK-OAHLLOKOSA-N 1 2 301.390 1.098 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccncc2CC)C1 ZINC001035417588 751499115 /nfs/dbraw/zinc/49/91/15/751499115.db2.gz CJQHCYYCEGBVQP-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccncc2CC)C1 ZINC001035417588 751499116 /nfs/dbraw/zinc/49/91/16/751499116.db2.gz CJQHCYYCEGBVQP-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](CNC(=O)c2ccc3oc(=O)nc-3[nH]2)C1 ZINC001028925950 740178345 /nfs/dbraw/zinc/17/83/45/740178345.db2.gz HUGMJVVHOJBQNT-NSHDSACASA-N 1 2 314.345 1.003 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](CNC(=O)c2ccc3oc(=O)nc-3[nH]2)C1 ZINC001028925950 740178348 /nfs/dbraw/zinc/17/83/48/740178348.db2.gz HUGMJVVHOJBQNT-NSHDSACASA-N 1 2 314.345 1.003 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)nc(OC)c2)C1 ZINC001035482485 751535800 /nfs/dbraw/zinc/53/58/00/751535800.db2.gz ALIGYKBUVXWNSA-HNNXBMFYSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)nc(OC)c2)C1 ZINC001035482485 751535805 /nfs/dbraw/zinc/53/58/05/751535805.db2.gz ALIGYKBUVXWNSA-HNNXBMFYSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)c(Cl)[nH]2)C1 ZINC001035460518 751546849 /nfs/dbraw/zinc/54/68/49/751546849.db2.gz LENDHTFRTGDPNN-VIFPVBQESA-N 1 2 318.204 1.938 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)c(Cl)[nH]2)C1 ZINC001035460518 751546852 /nfs/dbraw/zinc/54/68/52/751546852.db2.gz LENDHTFRTGDPNN-VIFPVBQESA-N 1 2 318.204 1.938 20 30 DDEDLO CO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc(C#N)s1 ZINC001029228853 740469968 /nfs/dbraw/zinc/46/99/68/740469968.db2.gz DKFFEYUEKULJCW-UPJWGTAASA-N 1 2 319.430 1.830 20 30 DDEDLO CO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(C#N)s1 ZINC001029228853 740469971 /nfs/dbraw/zinc/46/99/71/740469971.db2.gz DKFFEYUEKULJCW-UPJWGTAASA-N 1 2 319.430 1.830 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CC(C)=C(C)C)C2)nn1 ZINC001098699774 740519019 /nfs/dbraw/zinc/51/90/19/740519019.db2.gz AFOZWRZXCQSXCP-MRXNPFEDSA-N 1 2 315.421 1.521 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(Cl)c(OC)c2)C1 ZINC001035537967 751584053 /nfs/dbraw/zinc/58/40/53/751584053.db2.gz WJQXVURRXSCVGI-ZDUSSCGKSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(Cl)c(OC)c2)C1 ZINC001035537967 751584056 /nfs/dbraw/zinc/58/40/56/751584056.db2.gz WJQXVURRXSCVGI-ZDUSSCGKSA-N 1 2 324.808 1.965 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098715860 740971218 /nfs/dbraw/zinc/97/12/18/740971218.db2.gz KRPOTXYJEHHSBC-ZBFHGGJFSA-N 1 2 323.416 1.713 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3cnon3)CC[C@@H]2C1 ZINC001088064648 740979113 /nfs/dbraw/zinc/97/91/13/740979113.db2.gz NWJOQBCLSFDPDP-KGLIPLIRSA-N 1 2 318.421 1.952 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3cnon3)CC[C@@H]2C1 ZINC001088064648 740979116 /nfs/dbraw/zinc/97/91/16/740979116.db2.gz NWJOQBCLSFDPDP-KGLIPLIRSA-N 1 2 318.421 1.952 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C)c(F)c2F)C1 ZINC001035520582 751596274 /nfs/dbraw/zinc/59/62/74/751596274.db2.gz SFLQGLQBCUVASA-CYBMUJFWSA-N 1 2 322.355 1.727 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C)c(F)c2F)C1 ZINC001035520582 751596279 /nfs/dbraw/zinc/59/62/79/751596279.db2.gz SFLQGLQBCUVASA-CYBMUJFWSA-N 1 2 322.355 1.727 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nnc(C3CC3)[nH]2)C1 ZINC001029677458 741218671 /nfs/dbraw/zinc/21/86/71/741218671.db2.gz MCYVTXOHHCQLOH-NEPJUHHUSA-N 1 2 316.409 1.122 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nnc(C3CC3)[nH]2)C1 ZINC001029677458 741218672 /nfs/dbraw/zinc/21/86/72/741218672.db2.gz MCYVTXOHHCQLOH-NEPJUHHUSA-N 1 2 316.409 1.122 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC001029679242 741220236 /nfs/dbraw/zinc/22/02/36/741220236.db2.gz YGRNIOCPMVYPBS-CMPLNLGQSA-N 1 2 307.423 1.287 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC001029679242 741220238 /nfs/dbraw/zinc/22/02/38/741220238.db2.gz YGRNIOCPMVYPBS-CMPLNLGQSA-N 1 2 307.423 1.287 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3[C@H](C)C(=O)N(C)C)C1 ZINC001032614427 751638722 /nfs/dbraw/zinc/63/87/22/751638722.db2.gz PLLMEYCEOSSJMN-RDBSUJKOSA-N 1 2 305.422 1.105 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3[C@H](C)C(=O)N(C)C)C1 ZINC001032614427 751638728 /nfs/dbraw/zinc/63/87/28/751638728.db2.gz PLLMEYCEOSSJMN-RDBSUJKOSA-N 1 2 305.422 1.105 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3[nH]c(C)nc3c2)C1 ZINC001035558439 751640173 /nfs/dbraw/zinc/64/01/73/751640173.db2.gz MXARUKIKRLQSOY-AWEZNQCLSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3[nH]c(C)nc3c2)C1 ZINC001035558439 751640178 /nfs/dbraw/zinc/64/01/78/751640178.db2.gz MXARUKIKRLQSOY-AWEZNQCLSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3nccnc32)C1 ZINC001035564979 751647146 /nfs/dbraw/zinc/64/71/46/751647146.db2.gz XNALKSWULBAPSW-CYBMUJFWSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3nccnc32)C1 ZINC001035564979 751647151 /nfs/dbraw/zinc/64/71/51/751647151.db2.gz XNALKSWULBAPSW-CYBMUJFWSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3ccccc3CO2)C1 ZINC001035595583 751652479 /nfs/dbraw/zinc/65/24/79/751652479.db2.gz PYHXPEIJIHBMET-SJORKVTESA-N 1 2 316.401 1.131 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3ccccc3CO2)C1 ZINC001035595583 751652482 /nfs/dbraw/zinc/65/24/82/751652482.db2.gz PYHXPEIJIHBMET-SJORKVTESA-N 1 2 316.401 1.131 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3ncsc3c2)C1 ZINC001035598205 751656678 /nfs/dbraw/zinc/65/66/78/751656678.db2.gz RECPZTDGGDUFFR-CYBMUJFWSA-N 1 2 317.414 1.913 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3ncsc3c2)C1 ZINC001035598205 751656682 /nfs/dbraw/zinc/65/66/82/751656682.db2.gz RECPZTDGGDUFFR-CYBMUJFWSA-N 1 2 317.414 1.913 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1nccs1 ZINC001088533297 741704768 /nfs/dbraw/zinc/70/47/68/741704768.db2.gz KZZWCUFMSNTLKW-MFKMUULPSA-N 1 2 315.402 1.736 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1nccs1 ZINC001088533297 741704774 /nfs/dbraw/zinc/70/47/74/741704774.db2.gz KZZWCUFMSNTLKW-MFKMUULPSA-N 1 2 315.402 1.736 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001075885584 741715053 /nfs/dbraw/zinc/71/50/53/741715053.db2.gz DKUDEZMDUXLGJU-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(Cl)ccc2OC)C1 ZINC001035603270 751661910 /nfs/dbraw/zinc/66/19/10/751661910.db2.gz PSAVZNDEDSAVIG-CYBMUJFWSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(Cl)ccc2OC)C1 ZINC001035603270 751661916 /nfs/dbraw/zinc/66/19/16/751661916.db2.gz PSAVZNDEDSAVIG-CYBMUJFWSA-N 1 2 324.808 1.965 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn(C)c2CC)[C@H]1C ZINC001088699793 742200083 /nfs/dbraw/zinc/20/00/83/742200083.db2.gz DZBALMXCHMHTQY-YPMHNXCESA-N 1 2 310.829 1.928 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn(C)c2CC)[C@H]1C ZINC001088699793 742200085 /nfs/dbraw/zinc/20/00/85/742200085.db2.gz DZBALMXCHMHTQY-YPMHNXCESA-N 1 2 310.829 1.928 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H](C)CCCNc1cc[nH+]c(C)n1 ZINC001114917691 751714789 /nfs/dbraw/zinc/71/47/89/751714789.db2.gz KJYQCPNZQPKGAF-MLGOLLRUSA-N 1 2 306.410 1.809 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2CCN(C(=O)c3cn[nH]n3)[C@@H]2C1 ZINC001075982987 742309820 /nfs/dbraw/zinc/30/98/20/742309820.db2.gz MFNXVLJCIVQHQY-GOEBONIOSA-N 1 2 322.372 1.023 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2CCN(C(=O)c3cn[nH]n3)[C@@H]2C1 ZINC001075982987 742309823 /nfs/dbraw/zinc/30/98/23/742309823.db2.gz MFNXVLJCIVQHQY-GOEBONIOSA-N 1 2 322.372 1.023 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001060070120 742402484 /nfs/dbraw/zinc/40/24/84/742402484.db2.gz NZKVIKRDEGOMSP-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C(F)(F)F)nn2C)C1 ZINC001042784002 742441533 /nfs/dbraw/zinc/44/15/33/742441533.db2.gz DBHNACVYGYQPJW-UHFFFAOYSA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3nnc4ccccc4c3O)[C@@H]2C1 ZINC001076313571 742659276 /nfs/dbraw/zinc/65/92/76/742659276.db2.gz XDPYFTMHWHRMJD-SWLSCSKDSA-N 1 2 322.368 1.115 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3nnc4ccccc4c3O)[C@@H]2C1 ZINC001076313571 742659279 /nfs/dbraw/zinc/65/92/79/742659279.db2.gz XDPYFTMHWHRMJD-SWLSCSKDSA-N 1 2 322.368 1.115 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)CC1(O)CCC1)CC2 ZINC001035703281 751789935 /nfs/dbraw/zinc/78/99/35/751789935.db2.gz HEPNYRSBBGUYBY-UHFFFAOYSA-N 1 2 312.841 1.968 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)[C@@H]3C[C@@H]3C)CC2)C1 ZINC001105685118 743132389 /nfs/dbraw/zinc/13/23/89/743132389.db2.gz UCXMYGKYJUNPFQ-WMLDXEAASA-N 1 2 322.449 1.537 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](C)CSC)CC2)C1 ZINC001105695690 743493493 /nfs/dbraw/zinc/49/34/93/743493493.db2.gz MXDQSBGBAXTSMO-CYBMUJFWSA-N 1 2 312.479 1.863 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001182372188 743595518 /nfs/dbraw/zinc/59/55/18/743595518.db2.gz KAGFYXSSKSWMQT-CYBMUJFWSA-N 1 2 302.378 1.297 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C[C@@](C)(O)C=C)c2C1 ZINC001128327220 743599515 /nfs/dbraw/zinc/59/95/15/743599515.db2.gz KPFGMHMUSILRRX-KRWDZBQOSA-N 1 2 318.421 1.218 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C[C@@](C)(O)C=C)c2C1 ZINC001128327220 743599519 /nfs/dbraw/zinc/59/95/19/743599519.db2.gz KPFGMHMUSILRRX-KRWDZBQOSA-N 1 2 318.421 1.218 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C#CC(C)C)CC2)C1 ZINC001105706956 743605577 /nfs/dbraw/zinc/60/55/77/743605577.db2.gz GOWXLGMVXLHBDN-UHFFFAOYSA-N 1 2 304.434 1.914 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CCOCC3CC3)CC2)C1 ZINC001105708715 743620921 /nfs/dbraw/zinc/62/09/21/743620921.db2.gz WJTOLCFCJHIWAE-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(Nc2nc(N(C)C)nc(C)[nH+]2)CC1 ZINC001110294547 743624629 /nfs/dbraw/zinc/62/46/29/743624629.db2.gz TYBPGGXFTDDRQJ-UHFFFAOYSA-N 1 2 318.425 1.519 20 30 DDEDLO C=CC(C)(C)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1c[nH]c[nH+]1)C2 ZINC001006728363 751845745 /nfs/dbraw/zinc/84/57/45/751845745.db2.gz NEPSDMVLHYOLBL-MCIONIFRSA-N 1 2 316.405 1.413 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnn1CC(F)(F)F ZINC001038382131 743667091 /nfs/dbraw/zinc/66/70/91/743667091.db2.gz BRSXQCVSBRTCJR-NSHDSACASA-N 1 2 314.311 1.273 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnn1CC(F)(F)F ZINC001038382131 743667093 /nfs/dbraw/zinc/66/70/93/743667093.db2.gz BRSXQCVSBRTCJR-NSHDSACASA-N 1 2 314.311 1.273 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+]Cc1nc(C)no1 ZINC001127125039 743808755 /nfs/dbraw/zinc/80/87/55/743808755.db2.gz QIWFYZAYDHDMGC-UHFFFAOYSA-N 1 2 310.398 1.347 20 30 DDEDLO N#C[C@H](Cc1ccc(O)cc1)C(=O)NCCOc1cc[nH+]cc1 ZINC001183616071 743874454 /nfs/dbraw/zinc/87/44/54/743874454.db2.gz IHYFIRCVEGVMAL-AWEZNQCLSA-N 1 2 311.341 1.665 20 30 DDEDLO C=C[C@H]1C[C@]1(NC(=O)CCc1ccc(N)[nH+]c1)C(=O)OCC ZINC001183935103 743930247 /nfs/dbraw/zinc/93/02/47/743930247.db2.gz GVNYGBMLEPJUOK-BLLLJJGKSA-N 1 2 303.362 1.220 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](O)CNc2cc[nH+]c(C)n2)CCCC1 ZINC001105886880 744012884 /nfs/dbraw/zinc/01/28/84/744012884.db2.gz VZYXMBHQACVISM-CQSZACIVSA-N 1 2 318.421 1.811 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001184637391 744076926 /nfs/dbraw/zinc/07/69/26/744076926.db2.gz GOXASHBUHJQLNT-DOMZBBRYSA-N 1 2 324.450 1.457 20 30 DDEDLO CN(CCCN(C)c1ccc(C#N)nc1)C(=O)Cc1[nH]cc[nH+]1 ZINC001112118520 744113968 /nfs/dbraw/zinc/11/39/68/744113968.db2.gz KKPOXOSIZZQSEE-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H](C)CC(C)C)C2)nn1 ZINC001098756798 744186553 /nfs/dbraw/zinc/18/65/53/744186553.db2.gz DPINWYSFRBMRDB-GOEBONIOSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CC(=O)N[C@H](CC(C)C)C2)C1 ZINC001030585820 744292375 /nfs/dbraw/zinc/29/23/75/744292375.db2.gz KBYVJMRHYFSCJM-UONOGXRCSA-N 1 2 307.438 1.304 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@H](C)C(C)C)C2)nn1 ZINC001186010554 744326225 /nfs/dbraw/zinc/32/62/25/744326225.db2.gz AJAQYALXCVNUQY-ZBFHGGJFSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C(C)(C)C)C2)nn1 ZINC001186018511 744326924 /nfs/dbraw/zinc/32/69/24/744326924.db2.gz HZQUJEBGAPTTON-AWEZNQCLSA-N 1 2 303.410 1.211 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnon2)CC[N@@H+]1CC#Cc1ccccc1 ZINC001088931476 744378234 /nfs/dbraw/zinc/37/82/34/744378234.db2.gz DKUPAEIOGOGHAB-HIFRSBDPSA-N 1 2 310.357 1.314 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnon2)CC[N@H+]1CC#Cc1ccccc1 ZINC001088931476 744378238 /nfs/dbraw/zinc/37/82/38/744378238.db2.gz DKUPAEIOGOGHAB-HIFRSBDPSA-N 1 2 310.357 1.314 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CCN1CC#N ZINC001088955544 744403634 /nfs/dbraw/zinc/40/36/34/744403634.db2.gz YHEMJDVLPXVBOE-CJNGLKHVSA-N 1 2 309.373 1.588 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2c(C)n[nH]c2C)[C@H]1C ZINC001089034954 744461247 /nfs/dbraw/zinc/46/12/47/744461247.db2.gz ZATTWWCCPDHOKG-OCCSQVGLSA-N 1 2 310.829 1.901 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2c(C)n[nH]c2C)[C@H]1C ZINC001089034954 744461249 /nfs/dbraw/zinc/46/12/49/744461249.db2.gz ZATTWWCCPDHOKG-OCCSQVGLSA-N 1 2 310.829 1.901 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2CC[C@H](Cc3ccccc3)O2)C1 ZINC001030695465 744483374 /nfs/dbraw/zinc/48/33/74/744483374.db2.gz VABMBMJHUMJXMC-MSOLQXFVSA-N 1 2 312.413 1.600 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(-c2cccs2)on1 ZINC001038566167 744505475 /nfs/dbraw/zinc/50/54/75/744505475.db2.gz AHEDWPBYTOVYSQ-NSHDSACASA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(-c2cccs2)on1 ZINC001038566167 744505476 /nfs/dbraw/zinc/50/54/76/744505476.db2.gz AHEDWPBYTOVYSQ-NSHDSACASA-N 1 2 301.371 1.840 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncc(F)c1 ZINC001110394870 744575258 /nfs/dbraw/zinc/57/52/58/744575258.db2.gz ONDHFFSVBBOOOX-QOWREQOWSA-N 1 2 302.353 1.602 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncc(F)c1 ZINC001110394870 744575261 /nfs/dbraw/zinc/57/52/61/744575261.db2.gz ONDHFFSVBBOOOX-QOWREQOWSA-N 1 2 302.353 1.602 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)no1 ZINC001187803489 744609588 /nfs/dbraw/zinc/60/95/88/744609588.db2.gz CDIKHZKILLBXPW-GOEBONIOSA-N 1 2 301.390 1.675 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)no1 ZINC001187803489 744609589 /nfs/dbraw/zinc/60/95/89/744609589.db2.gz CDIKHZKILLBXPW-GOEBONIOSA-N 1 2 301.390 1.675 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2CCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001187977966 744642088 /nfs/dbraw/zinc/64/20/88/744642088.db2.gz CDLIWJFRAIWALK-TUAOUCFPSA-N 1 2 322.302 1.069 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2CCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001187977966 744642091 /nfs/dbraw/zinc/64/20/91/744642091.db2.gz CDLIWJFRAIWALK-TUAOUCFPSA-N 1 2 322.302 1.069 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001077501032 744663587 /nfs/dbraw/zinc/66/35/87/744663587.db2.gz NAXBPUCEJUDZSU-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001189006570 744809415 /nfs/dbraw/zinc/80/94/15/744809415.db2.gz FLRMEUBDXOJJQD-GXTWGEPZSA-N 1 2 306.435 1.927 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001189006570 744809417 /nfs/dbraw/zinc/80/94/17/744809417.db2.gz FLRMEUBDXOJJQD-GXTWGEPZSA-N 1 2 306.435 1.927 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C[C@H](F)CC)C[C@@H]2O)cc1 ZINC001083691421 744818156 /nfs/dbraw/zinc/81/81/56/744818156.db2.gz OQAKSPWTLGMAHN-OAGGEKHMSA-N 1 2 304.365 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C[C@H](F)CC)C[C@@H]2O)cc1 ZINC001083691421 744818158 /nfs/dbraw/zinc/81/81/58/744818158.db2.gz OQAKSPWTLGMAHN-OAGGEKHMSA-N 1 2 304.365 1.191 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2ccc(CNC(C)=O)s2)C1 ZINC001031015612 745091518 /nfs/dbraw/zinc/09/15/18/745091518.db2.gz IBZGVJCXOUMRRV-UHFFFAOYSA-N 1 2 307.419 1.374 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2cc(C#N)ccc2F)C[C@H](C)[N@H+]1C ZINC001190636313 745281508 /nfs/dbraw/zinc/28/15/08/745281508.db2.gz GYEMUWCOKZEQAJ-PHIMTYICSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2cc(C#N)ccc2F)C[C@H](C)[N@@H+]1C ZINC001190636313 745281512 /nfs/dbraw/zinc/28/15/12/745281512.db2.gz GYEMUWCOKZEQAJ-PHIMTYICSA-N 1 2 311.382 1.410 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1CCOC ZINC001122288936 745310537 /nfs/dbraw/zinc/31/05/37/745310537.db2.gz RRKCJNONSZNUSS-AWEZNQCLSA-N 1 2 323.441 1.328 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1CCOC ZINC001122288936 745310542 /nfs/dbraw/zinc/31/05/42/745310542.db2.gz RRKCJNONSZNUSS-AWEZNQCLSA-N 1 2 323.441 1.328 20 30 DDEDLO C=CCC1(S(=O)(=O)Nc2c[nH+]ccc2N2CCOCC2)CC1 ZINC001190776853 745327589 /nfs/dbraw/zinc/32/75/89/745327589.db2.gz PBBHTESKFRYUAI-UHFFFAOYSA-N 1 2 323.418 1.769 20 30 DDEDLO CC[N@H+](Cc1ncccn1)[C@H](C)CNC(=O)CSCC#N ZINC001151965035 745576433 /nfs/dbraw/zinc/57/64/33/745576433.db2.gz FOVPVYOKRTWLAS-GFCCVEGCSA-N 1 2 307.423 1.060 20 30 DDEDLO CC[N@@H+](Cc1ncccn1)[C@H](C)CNC(=O)CSCC#N ZINC001151965035 745576438 /nfs/dbraw/zinc/57/64/38/745576438.db2.gz FOVPVYOKRTWLAS-GFCCVEGCSA-N 1 2 307.423 1.060 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@H]1O ZINC001191772224 745594591 /nfs/dbraw/zinc/59/45/91/745594591.db2.gz XRYMUZICZMKKPU-HUUCEWRRSA-N 1 2 324.808 1.204 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@H]1O ZINC001191772224 745594594 /nfs/dbraw/zinc/59/45/94/745594594.db2.gz XRYMUZICZMKKPU-HUUCEWRRSA-N 1 2 324.808 1.204 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001191753428 745602817 /nfs/dbraw/zinc/60/28/17/745602817.db2.gz CXMOAJRQXPGNMY-HNNXBMFYSA-N 1 2 317.437 1.432 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001191753428 745602820 /nfs/dbraw/zinc/60/28/20/745602820.db2.gz CXMOAJRQXPGNMY-HNNXBMFYSA-N 1 2 317.437 1.432 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001191838305 745626777 /nfs/dbraw/zinc/62/67/77/745626777.db2.gz IQKVEBWFMCEOMK-INIZCTEOSA-N 1 2 302.422 1.646 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001191838305 745626779 /nfs/dbraw/zinc/62/67/79/745626779.db2.gz IQKVEBWFMCEOMK-INIZCTEOSA-N 1 2 302.422 1.646 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CCNc1ccc(C#N)nc1 ZINC001106395454 745660967 /nfs/dbraw/zinc/66/09/67/745660967.db2.gz MHGWSRZEDMKULY-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO Cc1nc(NCC[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001106598535 745823530 /nfs/dbraw/zinc/82/35/30/745823530.db2.gz KROYKTGRTHPKTH-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2c(C)nn(C(C)C)c2C)C1 ZINC001031233019 745954198 /nfs/dbraw/zinc/95/41/98/745954198.db2.gz QVFYHZRLHATWKO-UHFFFAOYSA-N 1 2 302.422 1.447 20 30 DDEDLO C#CCOc1ncccc1C(=O)NC1C[NH+](CC[C@@H](C)F)C1 ZINC001031276015 746116018 /nfs/dbraw/zinc/11/60/18/746116018.db2.gz GPVWDXAUMKLVNI-GFCCVEGCSA-N 1 2 305.353 1.256 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](C[C@@H]3CC(C)(C)CO3)C2)cn1 ZINC001031279580 746125424 /nfs/dbraw/zinc/12/54/24/746125424.db2.gz JOUAATCVTMRAAU-INIZCTEOSA-N 1 2 313.401 1.292 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001194396588 746366162 /nfs/dbraw/zinc/36/61/62/746366162.db2.gz GDCDFOPXUGSNIH-GJZGRUSLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001194396588 746366166 /nfs/dbraw/zinc/36/61/66/746366166.db2.gz GDCDFOPXUGSNIH-GJZGRUSLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2snnc2C)C1 ZINC001194408045 746369163 /nfs/dbraw/zinc/36/91/63/746369163.db2.gz ODEKJIFPIMLACU-OLZOCXBDSA-N 1 2 324.450 1.470 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2snnc2C)C1 ZINC001194408045 746369170 /nfs/dbraw/zinc/36/91/70/746369170.db2.gz ODEKJIFPIMLACU-OLZOCXBDSA-N 1 2 324.450 1.470 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cncc(OC)n2)[C@H]1C ZINC000993936611 746382620 /nfs/dbraw/zinc/38/26/20/746382620.db2.gz GHVGCOOMDYPLQD-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cncc(OC)n2)[C@H]1C ZINC000993936611 746382626 /nfs/dbraw/zinc/38/26/26/746382626.db2.gz GHVGCOOMDYPLQD-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC1CN(Cc2ccccc2C#N)C1 ZINC001031313812 746528659 /nfs/dbraw/zinc/52/86/59/746528659.db2.gz WRZHQEJCYNIJMZ-UHFFFAOYSA-N 1 2 309.373 1.133 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3ccnnc3)C2)s1 ZINC001015747773 746605520 /nfs/dbraw/zinc/60/55/20/746605520.db2.gz GONIYSJWNWHLLN-GFCCVEGCSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3ccnnc3)C2)s1 ZINC001015747773 746605521 /nfs/dbraw/zinc/60/55/21/746605521.db2.gz GONIYSJWNWHLLN-GFCCVEGCSA-N 1 2 313.386 1.414 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195775307 746702138 /nfs/dbraw/zinc/70/21/38/746702138.db2.gz UZOZLVBIMGGGGW-UHFFFAOYSA-N 1 2 321.465 1.485 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195775307 746702139 /nfs/dbraw/zinc/70/21/39/746702139.db2.gz UZOZLVBIMGGGGW-UHFFFAOYSA-N 1 2 321.465 1.485 20 30 DDEDLO COc1cc(C[N@@H+]2CCCN(C(=O)C#CC(C)C)CC2)ccn1 ZINC001195775160 746702173 /nfs/dbraw/zinc/70/21/73/746702173.db2.gz ORAVOBILMAFCKG-UHFFFAOYSA-N 1 2 315.417 1.784 20 30 DDEDLO COc1cc(C[N@H+]2CCCN(C(=O)C#CC(C)C)CC2)ccn1 ZINC001195775160 746702176 /nfs/dbraw/zinc/70/21/76/746702176.db2.gz ORAVOBILMAFCKG-UHFFFAOYSA-N 1 2 315.417 1.784 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2Oc3ccccc3O[C@H]2C)C1 ZINC001031378510 746713013 /nfs/dbraw/zinc/71/30/13/746713013.db2.gz VGMXKHZFJZVHQV-BLLLJJGKSA-N 1 2 300.358 1.039 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cccnc3)C[C@H]2O)CCC1 ZINC001195897121 746725252 /nfs/dbraw/zinc/72/52/52/746725252.db2.gz PPYALHCPEHJDJP-HZPDHXFCSA-N 1 2 315.417 1.489 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3cccnc3)C[C@H]2O)CCC1 ZINC001195897121 746725257 /nfs/dbraw/zinc/72/52/57/746725257.db2.gz PPYALHCPEHJDJP-HZPDHXFCSA-N 1 2 315.417 1.489 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(C)C(C)C)CC1 ZINC001195958248 746749390 /nfs/dbraw/zinc/74/93/90/746749390.db2.gz SACSYOGDEUFZID-UHFFFAOYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)C(C)C)CC1 ZINC001195958248 746749395 /nfs/dbraw/zinc/74/93/95/746749395.db2.gz SACSYOGDEUFZID-UHFFFAOYSA-N 1 2 309.454 1.505 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)C[C@@H](C)Nc1cc[nH+]c(C)n1 ZINC001089397126 746785141 /nfs/dbraw/zinc/78/51/41/746785141.db2.gz SKIAWNJIYZCYPQ-CHWSQXEVSA-N 1 2 304.394 1.520 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1NC(=O)C#CC(C)C ZINC001212231290 746834480 /nfs/dbraw/zinc/83/44/80/746834480.db2.gz UEOMRTFNSSPDJK-HZPDHXFCSA-N 1 2 301.390 1.056 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1NC(=O)C#CC(C)C ZINC001212231290 746834486 /nfs/dbraw/zinc/83/44/86/746834486.db2.gz UEOMRTFNSSPDJK-HZPDHXFCSA-N 1 2 301.390 1.056 20 30 DDEDLO CCc1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)[nH]n1 ZINC001038068125 746850650 /nfs/dbraw/zinc/85/06/50/746850650.db2.gz PJANWUQDMKNVDP-INIZCTEOSA-N 1 2 323.400 1.848 20 30 DDEDLO CCc1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)[nH]n1 ZINC001038068125 746850657 /nfs/dbraw/zinc/85/06/57/746850657.db2.gz PJANWUQDMKNVDP-INIZCTEOSA-N 1 2 323.400 1.848 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)[C@@H]1CCCO1 ZINC001031534306 746971483 /nfs/dbraw/zinc/97/14/83/746971483.db2.gz FIPZNRMQJLNYGB-KRWDZBQOSA-N 1 2 316.376 1.404 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)CC)CC1 ZINC001196846830 746979656 /nfs/dbraw/zinc/97/96/56/746979656.db2.gz KPWLVAYQPSYWPF-MRXNPFEDSA-N 1 2 321.465 1.629 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)CC)CC1 ZINC001196846830 746979659 /nfs/dbraw/zinc/97/96/59/746979659.db2.gz KPWLVAYQPSYWPF-MRXNPFEDSA-N 1 2 321.465 1.629 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCC[C@H](C)C2)CC1 ZINC001196942927 747016137 /nfs/dbraw/zinc/01/61/37/747016137.db2.gz JJMAZNVBVMVJGV-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCC[C@H](C)C2)CC1 ZINC001196942927 747016143 /nfs/dbraw/zinc/01/61/43/747016143.db2.gz JJMAZNVBVMVJGV-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccon3)C2)cc1F ZINC001031561448 747040407 /nfs/dbraw/zinc/04/04/07/747040407.db2.gz MKHIGOQQHYKZEK-UHFFFAOYSA-N 1 2 314.320 1.547 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+]([C@H]3CCNC3=O)CC2)CCC1 ZINC001197077484 747057330 /nfs/dbraw/zinc/05/73/30/747057330.db2.gz TZKYVOAZRHLBBJ-AWEZNQCLSA-N 1 2 305.422 1.156 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+]([C@H]3CCNC3=O)CC2)CCC1 ZINC001197077484 747057337 /nfs/dbraw/zinc/05/73/37/747057337.db2.gz TZKYVOAZRHLBBJ-AWEZNQCLSA-N 1 2 305.422 1.156 20 30 DDEDLO CC#CCCCC(=O)NCc1cnn2c1C[N@H+](CCC)CC2 ZINC001128448651 747161329 /nfs/dbraw/zinc/16/13/29/747161329.db2.gz SLLCWKUAIJHIEM-UHFFFAOYSA-N 1 2 302.422 1.919 20 30 DDEDLO CC#CCCCC(=O)NCc1cnn2c1C[N@@H+](CCC)CC2 ZINC001128448651 747161332 /nfs/dbraw/zinc/16/13/32/747161332.db2.gz SLLCWKUAIJHIEM-UHFFFAOYSA-N 1 2 302.422 1.919 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@H](CC)CCC)CC1 ZINC001197579601 747194957 /nfs/dbraw/zinc/19/49/57/747194957.db2.gz ZSRORAYBPJOPET-HZPDHXFCSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@H](CC)CCC)CC1 ZINC001197579601 747194961 /nfs/dbraw/zinc/19/49/61/747194961.db2.gz ZSRORAYBPJOPET-HZPDHXFCSA-N 1 2 321.465 1.485 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccnc2OCC2CC2)CC1 ZINC001197663753 747227062 /nfs/dbraw/zinc/22/70/62/747227062.db2.gz DOVGSNPZTOPMPD-UHFFFAOYSA-N 1 2 301.390 1.814 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)C ZINC001110494037 747246060 /nfs/dbraw/zinc/24/60/60/747246060.db2.gz GALCADXJWYXEOO-OAGGEKHMSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)C ZINC001110494037 747246065 /nfs/dbraw/zinc/24/60/65/747246065.db2.gz GALCADXJWYXEOO-OAGGEKHMSA-N 1 2 319.449 1.284 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=S)Nc1cccc(C#N)c1 ZINC001197736438 747254107 /nfs/dbraw/zinc/25/41/07/747254107.db2.gz SURDEAKJZQNIJU-GFCCVEGCSA-N 1 2 304.419 1.565 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=S)Nc1cccc(C#N)c1 ZINC001197736438 747254112 /nfs/dbraw/zinc/25/41/12/747254112.db2.gz SURDEAKJZQNIJU-GFCCVEGCSA-N 1 2 304.419 1.565 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H](C)C(C)(C)C)CC1 ZINC001197942935 747317804 /nfs/dbraw/zinc/31/78/04/747317804.db2.gz IMRPYYVLSYKCFK-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H](C)C(C)(C)C)CC1 ZINC001197942935 747317805 /nfs/dbraw/zinc/31/78/05/747317805.db2.gz IMRPYYVLSYKCFK-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO C[NH+]1CCC(C#N)(NS(=O)(=O)c2cccc(Cl)n2)CC1 ZINC001198260130 747418215 /nfs/dbraw/zinc/41/82/15/747418215.db2.gz RLMCPVNJWCRQPJ-UHFFFAOYSA-N 1 2 314.798 1.001 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCCO[C@@H]2CC)C1 ZINC001031721813 747531094 /nfs/dbraw/zinc/53/10/94/747531094.db2.gz IAOOWNIXZICJLM-UONOGXRCSA-N 1 2 300.830 1.992 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(OCCCC)cc2)C1 ZINC001198580083 747551060 /nfs/dbraw/zinc/55/10/60/747551060.db2.gz SPGLNQHNVYRCOR-IAGOWNOFSA-N 1 2 316.401 1.274 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(OCCCC)cc2)C1 ZINC001198580083 747551068 /nfs/dbraw/zinc/55/10/68/747551068.db2.gz SPGLNQHNVYRCOR-IAGOWNOFSA-N 1 2 316.401 1.274 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)C[C@H]1C ZINC001110520578 747632349 /nfs/dbraw/zinc/63/23/49/747632349.db2.gz QKTRPHKVLAQGLM-UKRRQHHQSA-N 1 2 316.405 1.616 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]([NH2+]CC(N)=O)c1ccccc1OC ZINC001198875896 747643008 /nfs/dbraw/zinc/64/30/08/747643008.db2.gz LIPMESYSYWUQBC-CQSZACIVSA-N 1 2 319.405 1.284 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC=C)Cc2ccccc2)C1 ZINC001198904501 747657002 /nfs/dbraw/zinc/65/70/02/747657002.db2.gz GOCRTIMBRKXDBW-RCCFBDPRSA-N 1 2 312.413 1.216 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC=C)Cc2ccccc2)C1 ZINC001198904501 747657006 /nfs/dbraw/zinc/65/70/06/747657006.db2.gz GOCRTIMBRKXDBW-RCCFBDPRSA-N 1 2 312.413 1.216 20 30 DDEDLO C=CC[NH+]1CCN(C(=S)Nc2ccccc2C(=O)OC)CC1 ZINC001198962776 747662466 /nfs/dbraw/zinc/66/24/66/747662466.db2.gz NZLRRUNJTYDRFW-UHFFFAOYSA-N 1 2 319.430 1.974 20 30 DDEDLO CN(C(=O)c1cn(C)cn1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033008275 747890721 /nfs/dbraw/zinc/89/07/21/747890721.db2.gz GRHICSKXDCKSBZ-MRXNPFEDSA-N 1 2 323.400 1.638 20 30 DDEDLO CN(C(=O)c1cn(C)cn1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033008275 747890725 /nfs/dbraw/zinc/89/07/25/747890725.db2.gz GRHICSKXDCKSBZ-MRXNPFEDSA-N 1 2 323.400 1.638 20 30 DDEDLO C=C[C@@H](C(=O)N1CC(NC(=O)Cn2cc[nH+]c2)C1)c1ccccc1 ZINC000994914986 747901582 /nfs/dbraw/zinc/90/15/82/747901582.db2.gz FJRDMIWVQKWSLE-MRXNPFEDSA-N 1 2 324.384 1.180 20 30 DDEDLO Cn1nccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)(C)C)C2 ZINC001110542822 748024721 /nfs/dbraw/zinc/02/47/21/748024721.db2.gz ATGWWRUGFNVWMG-BMFZPTHFSA-N 1 2 314.433 1.691 20 30 DDEDLO Cn1nccc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)(C)C)C2 ZINC001110542822 748024727 /nfs/dbraw/zinc/02/47/27/748024727.db2.gz ATGWWRUGFNVWMG-BMFZPTHFSA-N 1 2 314.433 1.691 20 30 DDEDLO N#Cc1cnccc1N1CC=C(CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001127678324 748124302 /nfs/dbraw/zinc/12/43/02/748124302.db2.gz MXKYZMHAXVNTKG-UHFFFAOYSA-N 1 2 322.372 1.172 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@@H](C[NH2+]Cc2csnn2)C1 ZINC001200084051 748130366 /nfs/dbraw/zinc/13/03/66/748130366.db2.gz RZXGERGDSGJNCG-ZDUSSCGKSA-N 1 2 310.423 1.211 20 30 DDEDLO C#CCCCC(=O)N1CCCO[C@@H](C[NH2+]Cc2csnn2)C1 ZINC001200405116 748223324 /nfs/dbraw/zinc/22/33/24/748223324.db2.gz SGBICMNMUHOZNZ-AWEZNQCLSA-N 1 2 322.434 1.049 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H]2CC(C)(C)CO2)C1 ZINC001108068209 748226594 /nfs/dbraw/zinc/22/65/94/748226594.db2.gz MSMQKXDNBBNLLB-WMLDXEAASA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H]2CC(C)(C)CO2)C1 ZINC001108068209 748226596 /nfs/dbraw/zinc/22/65/96/748226596.db2.gz MSMQKXDNBBNLLB-WMLDXEAASA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCC[C@@H](OC)C2)C1 ZINC001031936348 748249934 /nfs/dbraw/zinc/24/99/34/748249934.db2.gz LOIRPPFYNPZFFI-ZIAGYGMSSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1C[NH+](Cc2nc(C)cs2)C1 ZINC001031987336 748363043 /nfs/dbraw/zinc/36/30/43/748363043.db2.gz JEROWEIYGPQSBO-KGLIPLIRSA-N 1 2 321.446 1.591 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2cc[nH]n2)CC1 ZINC001004376862 748383075 /nfs/dbraw/zinc/38/30/75/748383075.db2.gz AAAOYEROWQBNJZ-OAHLLOKOSA-N 1 2 301.394 1.179 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2cc[nH]n2)CC1 ZINC001004376862 748383082 /nfs/dbraw/zinc/38/30/82/748383082.db2.gz AAAOYEROWQBNJZ-OAHLLOKOSA-N 1 2 301.394 1.179 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)[C@]23C[C@H]2COC3)CC1 ZINC001004443649 748432579 /nfs/dbraw/zinc/43/25/79/748432579.db2.gz UBUZLZMYFPYTSI-ZOBUZTSGSA-N 1 2 303.406 1.249 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)[C@]23C[C@H]2COC3)CC1 ZINC001004443649 748432583 /nfs/dbraw/zinc/43/25/83/748432583.db2.gz UBUZLZMYFPYTSI-ZOBUZTSGSA-N 1 2 303.406 1.249 20 30 DDEDLO Cc1nnccc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004478447 748467989 /nfs/dbraw/zinc/46/79/89/748467989.db2.gz VUERPIKNWYZPDT-MRXNPFEDSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1nnccc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004478447 748467992 /nfs/dbraw/zinc/46/79/92/748467992.db2.gz VUERPIKNWYZPDT-MRXNPFEDSA-N 1 2 313.405 1.625 20 30 DDEDLO CCN(CCn1cc[nH+]c1)S(=O)(=O)c1ccc(C)c(C#N)c1 ZINC001201311947 748474516 /nfs/dbraw/zinc/47/45/16/748474516.db2.gz ONCFVJIAJGQYRB-UHFFFAOYSA-N 1 2 318.402 1.774 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC1C[NH+](Cc2cncs2)C1 ZINC001032047619 748498102 /nfs/dbraw/zinc/49/81/02/748498102.db2.gz JWUWVRPBVHLYAV-GJZGRUSLSA-N 1 2 321.446 1.672 20 30 DDEDLO CC(C)CO[C@@H](C)c1noc(C[NH2+]CCNC(=O)[C@@H](C)C#N)n1 ZINC001124902225 748623299 /nfs/dbraw/zinc/62/32/99/748623299.db2.gz HQIMGUMYBHVDLA-RYUDHWBXSA-N 1 2 323.397 1.169 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001032123799 748695235 /nfs/dbraw/zinc/69/52/35/748695235.db2.gz JZJLYMZZUJCXNH-LBPRGKRZSA-N 1 2 308.813 1.315 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001033178762 748726827 /nfs/dbraw/zinc/72/68/27/748726827.db2.gz YQJATQLHFGAIIK-QWHCGFSZSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001033178762 748726831 /nfs/dbraw/zinc/72/68/31/748726831.db2.gz YQJATQLHFGAIIK-QWHCGFSZSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001033184419 748833499 /nfs/dbraw/zinc/83/34/99/748833499.db2.gz AFIRWCKWQPLOSG-DOMZBBRYSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001033184419 748833503 /nfs/dbraw/zinc/83/35/03/748833503.db2.gz AFIRWCKWQPLOSG-DOMZBBRYSA-N 1 2 313.829 1.188 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2nnn(C(C)C)c2C)C1 ZINC001108310580 761902480 /nfs/dbraw/zinc/90/24/80/761902480.db2.gz PESVURWZUDMKHN-INIZCTEOSA-N 1 2 321.425 1.174 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2nnn(C(C)C)c2C)C1 ZINC001108310580 761902484 /nfs/dbraw/zinc/90/24/84/761902484.db2.gz PESVURWZUDMKHN-INIZCTEOSA-N 1 2 321.425 1.174 20 30 DDEDLO Cc1nc(NC/C=C/CNC(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001107079938 748954346 /nfs/dbraw/zinc/95/43/46/748954346.db2.gz FLBMCDVXHOEATO-BTDICHCPSA-N 1 2 313.405 1.908 20 30 DDEDLO CN(C(=O)c1cn[nH]n1)[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001033217085 748958784 /nfs/dbraw/zinc/95/87/84/748958784.db2.gz MBUNAYQPIDOYEV-OAHLLOKOSA-N 1 2 309.373 1.003 20 30 DDEDLO CN(C(=O)c1cn[nH]n1)[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001033217085 748958791 /nfs/dbraw/zinc/95/87/91/748958791.db2.gz MBUNAYQPIDOYEV-OAHLLOKOSA-N 1 2 309.373 1.003 20 30 DDEDLO C[C@]1(NC(=O)CCc2[nH]cc[nH+]2)CCN(c2ccncc2C#N)C1 ZINC001110751688 748980658 /nfs/dbraw/zinc/98/06/58/748980658.db2.gz GIKFJWFOWVJQSS-KRWDZBQOSA-N 1 2 324.388 1.394 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@H]2C[C@@H]2C)cc1C#N ZINC001038603027 749102662 /nfs/dbraw/zinc/10/26/62/749102662.db2.gz QFASYEOCCJEBSC-RCBQFDQVSA-N 1 2 313.401 1.913 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2CNC(=O)[C@H]2C[C@@H]2C)cc1C#N ZINC001038603027 749102666 /nfs/dbraw/zinc/10/26/66/749102666.db2.gz QFASYEOCCJEBSC-RCBQFDQVSA-N 1 2 313.401 1.913 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001033299506 749152291 /nfs/dbraw/zinc/15/22/91/749152291.db2.gz RVNMVRTTYDFPAH-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001033299506 749152299 /nfs/dbraw/zinc/15/22/99/749152299.db2.gz RVNMVRTTYDFPAH-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2ncccc2OC)C1 ZINC001033362374 749248189 /nfs/dbraw/zinc/24/81/89/749248189.db2.gz VKWWUPDDHANDQR-ZDUSSCGKSA-N 1 2 323.824 1.918 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2ncccc2OC)C1 ZINC001033362374 749248191 /nfs/dbraw/zinc/24/81/91/749248191.db2.gz VKWWUPDDHANDQR-ZDUSSCGKSA-N 1 2 323.824 1.918 20 30 DDEDLO Cc1nsc(NC[C@H](C)NC(=O)CCc2[nH]cc[nH+]2)c1C#N ZINC001108337857 761938870 /nfs/dbraw/zinc/93/88/70/761938870.db2.gz ODBUEGFTUYYEJV-VIFPVBQESA-N 1 2 318.406 1.596 20 30 DDEDLO CN(C(=O)c1cnn(C)n1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033501582 749423812 /nfs/dbraw/zinc/42/38/12/749423812.db2.gz HKYZBSOXNBXIGD-HNNXBMFYSA-N 1 2 324.388 1.033 20 30 DDEDLO CN(C(=O)c1cnn(C)n1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033501582 749423816 /nfs/dbraw/zinc/42/38/16/749423816.db2.gz HKYZBSOXNBXIGD-HNNXBMFYSA-N 1 2 324.388 1.033 20 30 DDEDLO C=CCNC(=O)N1CC[N@@H+](Cc2ccccc2)C[C@@H]1C(=O)OC ZINC001202568749 749435350 /nfs/dbraw/zinc/43/53/50/749435350.db2.gz DECCGZVPJIOVJE-OAHLLOKOSA-N 1 2 317.389 1.241 20 30 DDEDLO C=CCNC(=O)N1CC[N@H+](Cc2ccccc2)C[C@@H]1C(=O)OC ZINC001202568749 749435354 /nfs/dbraw/zinc/43/53/54/749435354.db2.gz DECCGZVPJIOVJE-OAHLLOKOSA-N 1 2 317.389 1.241 20 30 DDEDLO CC(C)=CC[NH+]1CC(n2cc(CNC(=O)C#CC(C)C)nn2)C1 ZINC001107169976 749463822 /nfs/dbraw/zinc/46/38/22/749463822.db2.gz XJZLYSPQEXNMPY-UHFFFAOYSA-N 1 2 315.421 1.377 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@H+](Cc3nocc3C)C2)cn1 ZINC001033603873 749600750 /nfs/dbraw/zinc/60/07/50/749600750.db2.gz PHONAIIMPFITNV-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3nocc3C)C2)cn1 ZINC001033603873 749600754 /nfs/dbraw/zinc/60/07/54/749600754.db2.gz PHONAIIMPFITNV-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001039375373 761976253 /nfs/dbraw/zinc/97/62/53/761976253.db2.gz ASMJRYSZBVJWNN-PMPSAXMXSA-N 1 2 312.417 1.528 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001039375373 761976257 /nfs/dbraw/zinc/97/62/57/761976257.db2.gz ASMJRYSZBVJWNN-PMPSAXMXSA-N 1 2 312.417 1.528 20 30 DDEDLO CCCc1nc(C)c(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H](C)C#N)o1 ZINC001032316785 749923246 /nfs/dbraw/zinc/92/32/46/749923246.db2.gz GOJZDPIYXAYPRI-XBFCOCLRSA-N 1 2 316.405 1.880 20 30 DDEDLO CCCc1nc(C)c(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H](C)C#N)o1 ZINC001032316785 749923253 /nfs/dbraw/zinc/92/32/53/749923253.db2.gz GOJZDPIYXAYPRI-XBFCOCLRSA-N 1 2 316.405 1.880 20 30 DDEDLO N#Cc1ccc(F)cc1C[NH2+]CCNC(=O)C(F)C(F)(F)F ZINC001127779337 749996666 /nfs/dbraw/zinc/99/66/66/749996666.db2.gz LPDCHNFFOYYVED-NSHDSACASA-N 1 2 321.249 1.804 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2nc3cccnc3s2)C1 ZINC001033859331 750000186 /nfs/dbraw/zinc/00/01/86/750000186.db2.gz VARYMXGJLAXIEV-GFCCVEGCSA-N 1 2 314.414 1.861 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2nc3cccnc3s2)C1 ZINC001033859331 750000188 /nfs/dbraw/zinc/00/01/88/750000188.db2.gz VARYMXGJLAXIEV-GFCCVEGCSA-N 1 2 314.414 1.861 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc(CC)c1Cl)C2 ZINC001095551820 750035681 /nfs/dbraw/zinc/03/56/81/750035681.db2.gz RWCOKDHIGYUDAO-WXHSDQCUSA-N 1 2 320.824 1.984 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc(CC)c1Cl)C2 ZINC001095551820 750035687 /nfs/dbraw/zinc/03/56/87/750035687.db2.gz RWCOKDHIGYUDAO-WXHSDQCUSA-N 1 2 320.824 1.984 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCC(=O)N1)C2 ZINC001095585538 750059068 /nfs/dbraw/zinc/05/90/68/750059068.db2.gz UCELCPKFZNLMBR-NDBYEHHHSA-N 1 2 311.813 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCC(=O)N1)C2 ZINC001095585538 750059071 /nfs/dbraw/zinc/05/90/71/750059071.db2.gz UCELCPKFZNLMBR-NDBYEHHHSA-N 1 2 311.813 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncccc2C)[C@@H](O)C1 ZINC001090165494 750149801 /nfs/dbraw/zinc/14/98/01/750149801.db2.gz IJHJZNFXBAIJOM-OLZOCXBDSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncccc2C)[C@@H](O)C1 ZINC001090165494 750149806 /nfs/dbraw/zinc/14/98/06/750149806.db2.gz IJHJZNFXBAIJOM-OLZOCXBDSA-N 1 2 309.797 1.308 20 30 DDEDLO C=CC(C)(C)C(=O)NCCCN(C)c1[nH+]cnc2c1cnn2C ZINC001095703452 750161446 /nfs/dbraw/zinc/16/14/46/750161446.db2.gz PPRYWWMDARQGGN-UHFFFAOYSA-N 1 2 316.409 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)N[C@H](C)CC ZINC001110897398 750185628 /nfs/dbraw/zinc/18/56/28/750185628.db2.gz MDYJYJMDLJQNQE-TUVASFSCSA-N 1 2 321.465 1.835 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)N[C@H](C)CC ZINC001110897398 750185631 /nfs/dbraw/zinc/18/56/31/750185631.db2.gz MDYJYJMDLJQNQE-TUVASFSCSA-N 1 2 321.465 1.835 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3[C@H](C)C(N)=O)CC1 ZINC001110987765 750283711 /nfs/dbraw/zinc/28/37/11/750283711.db2.gz DOAXSBAUDZDKCP-SYQHCUMBSA-N 1 2 305.422 1.328 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3[C@H](C)C(N)=O)CC1 ZINC001110987765 750283717 /nfs/dbraw/zinc/28/37/17/750283717.db2.gz DOAXSBAUDZDKCP-SYQHCUMBSA-N 1 2 305.422 1.328 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](C[C@@H](F)CC)C[C@@H]1n1ccnn1 ZINC001128817294 750319450 /nfs/dbraw/zinc/31/94/50/750319450.db2.gz ZLSKJVMJWRWULO-MJBXVCDLSA-N 1 2 309.389 1.334 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](C[C@@H](F)CC)C[C@@H]1n1ccnn1 ZINC001128817294 750319457 /nfs/dbraw/zinc/31/94/57/750319457.db2.gz ZLSKJVMJWRWULO-MJBXVCDLSA-N 1 2 309.389 1.334 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)NC(C)=O)C2 ZINC001111156273 750396083 /nfs/dbraw/zinc/39/60/83/750396083.db2.gz SNMDCZWCBYZSMX-UPJWGTAASA-N 1 2 313.829 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)NC(C)=O)C2 ZINC001111156273 750396087 /nfs/dbraw/zinc/39/60/87/750396087.db2.gz SNMDCZWCBYZSMX-UPJWGTAASA-N 1 2 313.829 1.375 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N(C)C1CCN(CC#N)CC1 ZINC001005579702 750655675 /nfs/dbraw/zinc/65/56/75/750655675.db2.gz DBBXTQMYEOBYBZ-UHFFFAOYSA-N 1 2 302.378 1.079 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001107944055 750765689 /nfs/dbraw/zinc/76/56/89/750765689.db2.gz LJSRVRRSIHFDBL-KRWDZBQOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001107944055 750765692 /nfs/dbraw/zinc/76/56/92/750765692.db2.gz LJSRVRRSIHFDBL-KRWDZBQOSA-N 1 2 318.421 1.615 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)/C(C)=C/CC)CC2 ZINC001127951032 750824107 /nfs/dbraw/zinc/82/41/07/750824107.db2.gz RUNNRJXMNMGCTB-YGLIYXGISA-N 1 2 315.421 1.178 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nnc(C2CC2)o1 ZINC001034885217 750982156 /nfs/dbraw/zinc/98/21/56/750982156.db2.gz QWCVNFJGNPUWCE-ZYHUDNBSSA-N 1 2 303.366 1.187 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114685943 750986284 /nfs/dbraw/zinc/98/62/84/750986284.db2.gz COUXMEFBYKJDMJ-FOLVSLTJSA-N 1 2 318.446 1.668 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114685943 750986289 /nfs/dbraw/zinc/98/62/89/750986289.db2.gz COUXMEFBYKJDMJ-FOLVSLTJSA-N 1 2 318.446 1.668 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)C(C)C ZINC001114708744 751012482 /nfs/dbraw/zinc/01/24/82/751012482.db2.gz DGFQJTCPYAQJQO-WHOHXGKFSA-N 1 2 319.218 1.936 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)C(C)C ZINC001114708744 751012485 /nfs/dbraw/zinc/01/24/85/751012485.db2.gz DGFQJTCPYAQJQO-WHOHXGKFSA-N 1 2 319.218 1.936 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114791700 751082990 /nfs/dbraw/zinc/08/29/90/751082990.db2.gz JGXIAYWGKYLXHX-NENTXLIVSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114791700 751082995 /nfs/dbraw/zinc/08/29/95/751082995.db2.gz JGXIAYWGKYLXHX-NENTXLIVSA-N 1 2 318.421 1.781 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nonc4C)C[C@H]32)CC1 ZINC001114794845 751089527 /nfs/dbraw/zinc/08/95/27/751089527.db2.gz QWTSITNUDMLBNA-NHAGDIPZSA-N 1 2 316.405 1.671 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nonc4C)C[C@H]32)CC1 ZINC001114794845 751089531 /nfs/dbraw/zinc/08/95/31/751089531.db2.gz QWTSITNUDMLBNA-NHAGDIPZSA-N 1 2 316.405 1.671 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COc2ccc(Cl)cc21 ZINC001032522112 751187225 /nfs/dbraw/zinc/18/72/25/751187225.db2.gz WASVKXWJGUUHCB-KCQAQPDRSA-N 1 2 316.788 1.734 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COc2ccc(Cl)cc21 ZINC001032522112 751187226 /nfs/dbraw/zinc/18/72/26/751187226.db2.gz WASVKXWJGUUHCB-KCQAQPDRSA-N 1 2 316.788 1.734 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(N(C)C(=O)c2csnn2)CC1 ZINC001005790613 751211262 /nfs/dbraw/zinc/21/12/62/751211262.db2.gz HQKJGKKJOJUDHD-UHFFFAOYSA-N 1 2 300.815 1.827 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2ccnc2)nc1 ZINC001032550566 751274902 /nfs/dbraw/zinc/27/49/02/751274902.db2.gz XRLVQROYRWZSOL-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2ccnc2)nc1 ZINC001032550566 751274907 /nfs/dbraw/zinc/27/49/07/751274907.db2.gz XRLVQROYRWZSOL-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC2(CCN(CC#N)C2)CC1 ZINC001035250596 751329825 /nfs/dbraw/zinc/32/98/25/751329825.db2.gz OPVLPVYFRZLVHO-UHFFFAOYSA-N 1 2 301.394 1.099 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001056935184 762133094 /nfs/dbraw/zinc/13/30/94/762133094.db2.gz HIIOSIXRBHXBLD-HNNXBMFYSA-N 1 2 324.388 1.196 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1c(C)noc1C ZINC001032682169 752710929 /nfs/dbraw/zinc/71/09/29/752710929.db2.gz AMTWQSSHKBJCOV-UGFHNGPFSA-N 1 2 301.390 1.703 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1c(C)noc1C ZINC001032682169 752710932 /nfs/dbraw/zinc/71/09/32/752710932.db2.gz AMTWQSSHKBJCOV-UGFHNGPFSA-N 1 2 301.390 1.703 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001008493850 752765279 /nfs/dbraw/zinc/76/52/79/752765279.db2.gz PTHJMCJEYCDDNI-CHWSQXEVSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001008493850 752765281 /nfs/dbraw/zinc/76/52/81/752765281.db2.gz PTHJMCJEYCDDNI-CHWSQXEVSA-N 1 2 313.829 1.378 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1noc2c1C[C@H](C)CC2 ZINC001032710984 752808203 /nfs/dbraw/zinc/80/82/03/752808203.db2.gz BCIQADKIEUDSTH-RDBSUJKOSA-N 1 2 313.401 1.721 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1noc2c1C[C@H](C)CC2 ZINC001032710984 752808209 /nfs/dbraw/zinc/80/82/09/752808209.db2.gz BCIQADKIEUDSTH-RDBSUJKOSA-N 1 2 313.401 1.721 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](N(C)c2ccncc2C#N)C1 ZINC001056949852 762166920 /nfs/dbraw/zinc/16/69/20/762166920.db2.gz WTEFFEYFKDMJDM-AWEZNQCLSA-N 1 2 324.388 1.265 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001060887585 753149404 /nfs/dbraw/zinc/14/94/04/753149404.db2.gz LWAPPVYABJLNMD-AWEZNQCLSA-N 1 2 324.388 1.419 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCCOC(C)C)C1 ZINC001108436890 762197918 /nfs/dbraw/zinc/19/79/18/762197918.db2.gz DXKRHOUNTLDSFZ-QGZVFWFLSA-N 1 2 310.438 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCCOC(C)C)C1 ZINC001108436890 762197924 /nfs/dbraw/zinc/19/79/24/762197924.db2.gz DXKRHOUNTLDSFZ-QGZVFWFLSA-N 1 2 310.438 1.422 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-n2cccc2)ccn1 ZINC001032722112 753288671 /nfs/dbraw/zinc/28/86/71/753288671.db2.gz PSDGOJUIQZJVNT-HOTGVXAUSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-n2cccc2)ccn1 ZINC001032722112 753288678 /nfs/dbraw/zinc/28/86/78/753288678.db2.gz PSDGOJUIQZJVNT-HOTGVXAUSA-N 1 2 306.369 1.404 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001108168957 753301647 /nfs/dbraw/zinc/30/16/47/753301647.db2.gz IZPYWMNWUDNONN-WMZOPIPTSA-N 1 2 300.402 1.719 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001108168957 753301650 /nfs/dbraw/zinc/30/16/50/753301650.db2.gz IZPYWMNWUDNONN-WMZOPIPTSA-N 1 2 300.402 1.719 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncc(C)cc2OC)C1 ZINC001107999860 753439531 /nfs/dbraw/zinc/43/95/31/753439531.db2.gz JOXLUFPKYUUJJG-KRWDZBQOSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncc(C)cc2OC)C1 ZINC001107999860 753439535 /nfs/dbraw/zinc/43/95/35/753439535.db2.gz JOXLUFPKYUUJJG-KRWDZBQOSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@H]2CCCC(=O)N2)CC1 ZINC001000815732 762225196 /nfs/dbraw/zinc/22/51/96/762225196.db2.gz HMRNJDPCRJLGKE-CYBMUJFWSA-N 1 2 311.813 1.156 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@H]2CCCC(=O)N2)CC1 ZINC001000815732 762225204 /nfs/dbraw/zinc/22/52/04/762225204.db2.gz HMRNJDPCRJLGKE-CYBMUJFWSA-N 1 2 311.813 1.156 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ccncc1C#N ZINC001039818652 762228608 /nfs/dbraw/zinc/22/86/08/762228608.db2.gz PJONLHCEDUMLJS-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@@H](CCNC(=O)Cc1[nH]cc[nH+]1)NC(=O)C#CC(C)(C)C ZINC001078035098 753654992 /nfs/dbraw/zinc/65/49/92/753654992.db2.gz MFFQQYFVTRLADC-LBPRGKRZSA-N 1 2 304.394 1.013 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3ccccc3n2)C1 ZINC001078058935 753691064 /nfs/dbraw/zinc/69/10/64/753691064.db2.gz OAZKDURKHDQMFI-IAGOWNOFSA-N 1 2 309.369 1.033 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3ccccc3n2)C1 ZINC001078058935 753691069 /nfs/dbraw/zinc/69/10/69/753691069.db2.gz OAZKDURKHDQMFI-IAGOWNOFSA-N 1 2 309.369 1.033 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC001078144189 753789028 /nfs/dbraw/zinc/78/90/28/753789028.db2.gz CSXAVORLZBAYDV-CHWSQXEVSA-N 1 2 306.431 1.417 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC001078144189 753789035 /nfs/dbraw/zinc/78/90/35/753789035.db2.gz CSXAVORLZBAYDV-CHWSQXEVSA-N 1 2 306.431 1.417 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC2CC(F)(F)C2)C1 ZINC001108032940 753796084 /nfs/dbraw/zinc/79/60/84/753796084.db2.gz GYVTTWBMJUCJIJ-OAHLLOKOSA-N 1 2 314.376 1.652 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC2CC(F)(F)C2)C1 ZINC001108032940 753796087 /nfs/dbraw/zinc/79/60/87/753796087.db2.gz GYVTTWBMJUCJIJ-OAHLLOKOSA-N 1 2 314.376 1.652 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(COC(C)C)cc2)C1 ZINC001078160435 753804824 /nfs/dbraw/zinc/80/48/24/753804824.db2.gz ZXPOBSWBJHXBAA-IAGOWNOFSA-N 1 2 316.401 1.020 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(COC(C)C)cc2)C1 ZINC001078160435 753804834 /nfs/dbraw/zinc/80/48/34/753804834.db2.gz ZXPOBSWBJHXBAA-IAGOWNOFSA-N 1 2 316.401 1.020 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001078219352 753852536 /nfs/dbraw/zinc/85/25/36/753852536.db2.gz XSFJJZPLZBQNNH-SUMWQHHRSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001078219327 753852818 /nfs/dbraw/zinc/85/28/18/753852818.db2.gz WXVQMUNFJMWYSG-GUYCJALGSA-N 1 2 320.437 1.576 20 30 DDEDLO Cc1nc(N2CC[C@@H](N(C)C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001062948348 753855720 /nfs/dbraw/zinc/85/57/20/753855720.db2.gz PKWIAAHDVJQOTL-OAHLLOKOSA-N 1 2 324.388 1.196 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sccc2C(C)C)C1 ZINC001078224416 753877091 /nfs/dbraw/zinc/87/70/91/753877091.db2.gz IOUYPQFBAYYHHH-ZIAGYGMSSA-N 1 2 306.431 1.670 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sccc2C(C)C)C1 ZINC001078224416 753877099 /nfs/dbraw/zinc/87/70/99/753877099.db2.gz IOUYPQFBAYYHHH-ZIAGYGMSSA-N 1 2 306.431 1.670 20 30 DDEDLO Cc1nc(NCCC2CCN(C(=O)[C@@H](C)C#N)CC2)cc[nH+]1 ZINC001063314759 754084757 /nfs/dbraw/zinc/08/47/57/754084757.db2.gz IZAPVLYSTBFGDQ-LBPRGKRZSA-N 1 2 301.394 1.985 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cccc(OCC)c1 ZINC001032805652 754133311 /nfs/dbraw/zinc/13/33/11/754133311.db2.gz XFPCVJHEPHUZAX-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cccc(OCC)c1 ZINC001032805652 754133314 /nfs/dbraw/zinc/13/33/14/754133314.db2.gz XFPCVJHEPHUZAX-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001063509863 754200465 /nfs/dbraw/zinc/20/04/65/754200465.db2.gz DJVUSRFJFMQARG-CYBMUJFWSA-N 1 2 310.361 1.322 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CCC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001064139415 754536396 /nfs/dbraw/zinc/53/63/96/754536396.db2.gz DXFJXDQSXDYNNR-WBMJQRKESA-N 1 2 324.388 1.038 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCN(C(=O)CSCC#N)[C@@H]3C2)cc[nH+]1 ZINC001090294520 754776346 /nfs/dbraw/zinc/77/63/46/754776346.db2.gz HGIKFTWJXRMAPV-QWHCGFSZSA-N 1 2 317.418 1.079 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccncc2C#N)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064791194 754858104 /nfs/dbraw/zinc/85/81/04/754858104.db2.gz FRWAZFNATDONJO-OCCSQVGLSA-N 1 2 324.388 1.132 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CCCN1C(=O)CCn1cc[nH+]c1 ZINC001064984358 754990917 /nfs/dbraw/zinc/99/09/17/754990917.db2.gz ZCNVZZXNEVRFCL-HNNXBMFYSA-N 1 2 324.388 1.065 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2ccc(CC)cc2)[C@@H](O)C1 ZINC001083930802 755067194 /nfs/dbraw/zinc/06/71/94/755067194.db2.gz XUSKSEYQMWIEHF-SJORKVTESA-N 1 2 314.429 1.321 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2ccc(CC)cc2)[C@@H](O)C1 ZINC001083930802 755067199 /nfs/dbraw/zinc/06/71/99/755067199.db2.gz XUSKSEYQMWIEHF-SJORKVTESA-N 1 2 314.429 1.321 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C23CCC(CC2)C3)[C@@H](O)C1 ZINC001090363426 755082809 /nfs/dbraw/zinc/08/28/09/755082809.db2.gz OBBKIYHRTMPGQN-WJEHIRDRSA-N 1 2 312.841 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C23CCC(CC2)C3)[C@@H](O)C1 ZINC001090363426 755082816 /nfs/dbraw/zinc/08/28/16/755082816.db2.gz OBBKIYHRTMPGQN-WJEHIRDRSA-N 1 2 312.841 1.871 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@]2(C1)CCC[N@H+](Cc1ncccn1)C2 ZINC001040120672 762394085 /nfs/dbraw/zinc/39/40/85/762394085.db2.gz ONNLSMHURMMEHO-WMLDXEAASA-N 1 2 313.405 1.451 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@]2(C1)CCC[N@@H+](Cc1ncccn1)C2 ZINC001040120672 762394090 /nfs/dbraw/zinc/39/40/90/762394090.db2.gz ONNLSMHURMMEHO-WMLDXEAASA-N 1 2 313.405 1.451 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001079859157 755610493 /nfs/dbraw/zinc/61/04/93/755610493.db2.gz JKNQAZPQVAJVQI-BXKDBHETSA-N 1 2 310.785 1.078 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001079859157 755610496 /nfs/dbraw/zinc/61/04/96/755610496.db2.gz JKNQAZPQVAJVQI-BXKDBHETSA-N 1 2 310.785 1.078 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001079975022 755674255 /nfs/dbraw/zinc/67/42/55/755674255.db2.gz JPHXZLYPIMLGDJ-QMTHXVAHSA-N 1 2 321.808 1.588 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001079975022 755674258 /nfs/dbraw/zinc/67/42/58/755674258.db2.gz JPHXZLYPIMLGDJ-QMTHXVAHSA-N 1 2 321.808 1.588 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)CCc2c[nH+]cn2C)C1 ZINC001080245546 755781735 /nfs/dbraw/zinc/78/17/35/755781735.db2.gz SHWXBVQLMOACNW-UHFFFAOYSA-N 1 2 318.421 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc3c(c2)nnn3C)C1 ZINC001014949467 756030700 /nfs/dbraw/zinc/03/07/00/756030700.db2.gz XXTFROGBAVDPNY-GFCCVEGCSA-N 1 2 319.796 1.525 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc3c(c2)nnn3C)C1 ZINC001014949467 756030706 /nfs/dbraw/zinc/03/07/06/756030706.db2.gz XXTFROGBAVDPNY-GFCCVEGCSA-N 1 2 319.796 1.525 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001014967747 756045606 /nfs/dbraw/zinc/04/56/06/756045606.db2.gz IGSODVFDWWGGEP-CYBMUJFWSA-N 1 2 307.781 1.342 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001014967747 756045609 /nfs/dbraw/zinc/04/56/09/756045609.db2.gz IGSODVFDWWGGEP-CYBMUJFWSA-N 1 2 307.781 1.342 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001015470028 756324388 /nfs/dbraw/zinc/32/43/88/756324388.db2.gz ALXDKYCFFCFSRX-LLVKDONJSA-N 1 2 310.785 1.356 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001015470028 756324393 /nfs/dbraw/zinc/32/43/93/756324393.db2.gz ALXDKYCFFCFSRX-LLVKDONJSA-N 1 2 310.785 1.356 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)C1(C)CC1 ZINC001081712238 756440421 /nfs/dbraw/zinc/44/04/21/756440421.db2.gz JHHDIGFSGGZSKC-HZPDHXFCSA-N 1 2 313.401 1.674 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)C1(C)CC1 ZINC001081712238 756440425 /nfs/dbraw/zinc/44/04/25/756440425.db2.gz JHHDIGFSGGZSKC-HZPDHXFCSA-N 1 2 313.401 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2nccn3ccnc23)C1 ZINC001015719222 756492424 /nfs/dbraw/zinc/49/24/24/756492424.db2.gz JHTOWBWDOTVRND-LLVKDONJSA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2nccn3ccnc23)C1 ZINC001015719222 756492429 /nfs/dbraw/zinc/49/24/29/756492429.db2.gz JHTOWBWDOTVRND-LLVKDONJSA-N 1 2 305.769 1.286 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001015819554 756570134 /nfs/dbraw/zinc/57/01/34/756570134.db2.gz KTIOPJDPBPJTGQ-MRXNPFEDSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001015819554 756570137 /nfs/dbraw/zinc/57/01/37/756570137.db2.gz KTIOPJDPBPJTGQ-MRXNPFEDSA-N 1 2 308.385 1.574 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(C)C)on2)[C@H](OC)C1 ZINC001081991189 756576315 /nfs/dbraw/zinc/57/63/15/756576315.db2.gz NQBLYXXOPANSSI-UKRRQHHQSA-N 1 2 305.378 1.250 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(C)C)on2)[C@H](OC)C1 ZINC001081991189 756576318 /nfs/dbraw/zinc/57/63/18/756576318.db2.gz NQBLYXXOPANSSI-UKRRQHHQSA-N 1 2 305.378 1.250 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ocnc2C(F)F)[C@H](OC)C1 ZINC001082140190 756650728 /nfs/dbraw/zinc/65/07/28/756650728.db2.gz ODMXZUAXIBVOSL-NXEZZACHSA-N 1 2 313.304 1.065 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ocnc2C(F)F)[C@H](OC)C1 ZINC001082140190 756650731 /nfs/dbraw/zinc/65/07/31/756650731.db2.gz ODMXZUAXIBVOSL-NXEZZACHSA-N 1 2 313.304 1.065 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)[C@H]1CC12CC2 ZINC001082171262 756660128 /nfs/dbraw/zinc/66/01/28/756660128.db2.gz QVFYKXQGALIAQD-KZNAEPCWSA-N 1 2 324.424 1.654 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)[C@H]1CC12CC2 ZINC001082171262 756660133 /nfs/dbraw/zinc/66/01/33/756660133.db2.gz QVFYKXQGALIAQD-KZNAEPCWSA-N 1 2 324.424 1.654 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+]([C@H](C)c3cnccn3)C2)nc1 ZINC001016015977 756724274 /nfs/dbraw/zinc/72/42/74/756724274.db2.gz DZOXFJMBKURLFV-HIFRSBDPSA-N 1 2 321.384 1.418 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+]([C@H](C)c3cnccn3)C2)nc1 ZINC001016015977 756724278 /nfs/dbraw/zinc/72/42/78/756724278.db2.gz DZOXFJMBKURLFV-HIFRSBDPSA-N 1 2 321.384 1.418 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C(C)(C)C ZINC001082339131 756745640 /nfs/dbraw/zinc/74/56/40/756745640.db2.gz WZGBEZUZNGLVSF-IAGOWNOFSA-N 1 2 314.429 1.900 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C(C)(C)C ZINC001082339131 756745644 /nfs/dbraw/zinc/74/56/44/756745644.db2.gz WZGBEZUZNGLVSF-IAGOWNOFSA-N 1 2 314.429 1.900 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097299861 757064356 /nfs/dbraw/zinc/06/43/56/757064356.db2.gz AWUAQQOOWILUIQ-YOEHRIQHSA-N 1 2 318.421 1.445 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3nc(Cl)cs3)[C@H]2C1 ZINC001083174389 757177777 /nfs/dbraw/zinc/17/77/77/757177777.db2.gz GUCCWBXGPBYLLY-VHSXEESVSA-N 1 2 313.810 1.508 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3nc(Cl)cs3)[C@H]2C1 ZINC001083174389 757177779 /nfs/dbraw/zinc/17/77/79/757177779.db2.gz GUCCWBXGPBYLLY-VHSXEESVSA-N 1 2 313.810 1.508 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001099794708 757230895 /nfs/dbraw/zinc/23/08/95/757230895.db2.gz QHHMGAVWUVYRRF-GDBMZVCRSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001099794708 757230902 /nfs/dbraw/zinc/23/09/02/757230902.db2.gz QHHMGAVWUVYRRF-GDBMZVCRSA-N 1 2 319.405 1.228 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2sccc2C2CC2)[C@@H](O)C1 ZINC001084027674 757233203 /nfs/dbraw/zinc/23/32/03/757233203.db2.gz TYLLCVXRMZBLEU-KGLIPLIRSA-N 1 2 304.415 1.424 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2sccc2C2CC2)[C@@H](O)C1 ZINC001084027674 757233209 /nfs/dbraw/zinc/23/32/09/757233209.db2.gz TYLLCVXRMZBLEU-KGLIPLIRSA-N 1 2 304.415 1.424 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H](CC)CCCC)CC2=O)C1 ZINC001108509050 762532783 /nfs/dbraw/zinc/53/27/83/762532783.db2.gz DMAHKIXSQXVJEM-LSDHHAIUSA-N 1 2 321.465 1.790 20 30 DDEDLO N#CCN1CCC2(C[C@H]2NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001016823909 757383602 /nfs/dbraw/zinc/38/36/02/757383602.db2.gz PSBPHIQZXYNTBE-TZMCWYRMSA-N 1 2 313.405 1.324 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3scnc3C(F)F)[C@@H]2C1 ZINC001084511713 757625998 /nfs/dbraw/zinc/62/59/98/757625998.db2.gz GVHTWBFJGJZSDF-NXEZZACHSA-N 1 2 311.357 1.860 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3scnc3C(F)F)[C@@H]2C1 ZINC001084511713 757626002 /nfs/dbraw/zinc/62/60/02/757626002.db2.gz GVHTWBFJGJZSDF-NXEZZACHSA-N 1 2 311.357 1.860 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001017540808 758009737 /nfs/dbraw/zinc/00/97/37/758009737.db2.gz OZLCDDVNSCWZMW-IYBDPMFKSA-N 1 2 313.401 1.880 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001017540808 758009747 /nfs/dbraw/zinc/00/97/47/758009747.db2.gz OZLCDDVNSCWZMW-IYBDPMFKSA-N 1 2 313.401 1.880 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001017563692 758031945 /nfs/dbraw/zinc/03/19/45/758031945.db2.gz HQGOCQHLHQKQEH-XWTMOSNGSA-N 1 2 309.413 1.884 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001017563692 758031954 /nfs/dbraw/zinc/03/19/54/758031954.db2.gz HQGOCQHLHQKQEH-XWTMOSNGSA-N 1 2 309.413 1.884 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)o1 ZINC001084906888 758047116 /nfs/dbraw/zinc/04/71/16/758047116.db2.gz LISJBOCVIAZKFR-UPJWGTAASA-N 1 2 317.393 1.214 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)o1 ZINC001084906888 758047126 /nfs/dbraw/zinc/04/71/26/758047126.db2.gz LISJBOCVIAZKFR-UPJWGTAASA-N 1 2 317.393 1.214 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C(C)(C)C)c1C ZINC001017600538 758065873 /nfs/dbraw/zinc/06/58/73/758065873.db2.gz MWXLBJZCKLBUAF-GASCZTMLSA-N 1 2 314.433 1.869 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C(C)(C)C)c1C ZINC001017600538 758065882 /nfs/dbraw/zinc/06/58/82/758065882.db2.gz MWXLBJZCKLBUAF-GASCZTMLSA-N 1 2 314.433 1.869 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC001017661633 758129170 /nfs/dbraw/zinc/12/91/70/758129170.db2.gz YYFWBSRACZLYOL-SOUVJXGZSA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC001017661633 758129174 /nfs/dbraw/zinc/12/91/74/758129174.db2.gz YYFWBSRACZLYOL-SOUVJXGZSA-N 1 2 312.417 1.387 20 30 DDEDLO N#CCN1CCC[C@@H]([C@H]2CCCCN2C(=O)Cn2cc[nH+]c2)C1 ZINC001053112895 758165755 /nfs/dbraw/zinc/16/57/55/758165755.db2.gz YHFODYPYNFWABN-HZPDHXFCSA-N 1 2 315.421 1.500 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C1CC(OCC)C1)CCO2 ZINC001053243230 758301198 /nfs/dbraw/zinc/30/11/98/758301198.db2.gz CAAMLBAWFXVIDK-UHFFFAOYSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c(Cl)cnn1C)CCO2 ZINC001053259216 758315466 /nfs/dbraw/zinc/31/54/66/758315466.db2.gz LFVKBJFBQHAXQS-UHFFFAOYSA-N 1 2 324.812 1.176 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc(-n2cccn2)c1 ZINC001017932405 758403237 /nfs/dbraw/zinc/40/32/37/758403237.db2.gz HRASDUPJLHPOFG-IYBDPMFKSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc(-n2cccn2)c1 ZINC001017932405 758403244 /nfs/dbraw/zinc/40/32/44/758403244.db2.gz HRASDUPJLHPOFG-IYBDPMFKSA-N 1 2 321.384 1.189 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C1(C(F)(F)F)CC1)CCO2 ZINC001053443996 758464826 /nfs/dbraw/zinc/46/48/26/758464826.db2.gz KNWPMPSPJHNISK-UHFFFAOYSA-N 1 2 318.339 1.818 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc(Cl)cn1 ZINC001018008144 758468484 /nfs/dbraw/zinc/46/84/84/758468484.db2.gz XTWRLEAQXWSOAY-OKILXGFUSA-N 1 2 306.797 1.235 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc(Cl)cn1 ZINC001018008144 758468487 /nfs/dbraw/zinc/46/84/87/758468487.db2.gz XTWRLEAQXWSOAY-OKILXGFUSA-N 1 2 306.797 1.235 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(OC)o1)CCO2 ZINC001053481714 758489886 /nfs/dbraw/zinc/48/98/86/758489886.db2.gz FFXZKBIKVYANPR-UHFFFAOYSA-N 1 2 306.362 1.391 20 30 DDEDLO C=C(C)CN1CC2(C1)CN(C(=O)C(C)(C)c1c[nH+]c[nH]1)CCO2 ZINC001053508658 758514689 /nfs/dbraw/zinc/51/46/89/758514689.db2.gz AUOISGQKMJAQSN-UHFFFAOYSA-N 1 2 318.421 1.177 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)c1cnn(C)c1)CCO2 ZINC001053551141 758555070 /nfs/dbraw/zinc/55/50/70/758555070.db2.gz OXYNSAJBEXVVCN-CQSZACIVSA-N 1 2 318.421 1.013 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1scnc1C)O2 ZINC001053577329 758588415 /nfs/dbraw/zinc/58/84/15/758588415.db2.gz HCNKKDPWLNNCRP-ZDUSSCGKSA-N 1 2 321.446 1.991 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(C)c(C)n1)O2 ZINC001053628411 758646393 /nfs/dbraw/zinc/64/63/93/758646393.db2.gz NHYXUKKSNYGWEN-OAHLLOKOSA-N 1 2 315.417 1.848 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CCC3(C[NH+](CCOC)C3)O2)CC1 ZINC001053658126 758671532 /nfs/dbraw/zinc/67/15/32/758671532.db2.gz QWGYCPBUUZMQDE-MRXNPFEDSA-N 1 2 322.449 1.729 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)nc1)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065722990 758726289 /nfs/dbraw/zinc/72/62/89/758726289.db2.gz KWRYWOXDOJCMNB-KGLIPLIRSA-N 1 2 324.388 1.499 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1cnn(C)c1 ZINC001018354280 758753181 /nfs/dbraw/zinc/75/31/81/758753181.db2.gz IZPHHIBTLMLEEF-BMFZPTHFSA-N 1 2 300.406 1.222 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1cnn(C)c1 ZINC001018354280 758753190 /nfs/dbraw/zinc/75/31/90/758753190.db2.gz IZPHHIBTLMLEEF-BMFZPTHFSA-N 1 2 300.406 1.222 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccncc1C)CO2 ZINC001053795697 758823967 /nfs/dbraw/zinc/82/39/67/758823967.db2.gz UYVCMBKPJQOVIT-AWEZNQCLSA-N 1 2 301.390 1.539 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1nn(C)cc1Cl)CO2 ZINC001053813847 758841951 /nfs/dbraw/zinc/84/19/51/758841951.db2.gz AYKJXTSTCLSUDX-NSHDSACASA-N 1 2 324.812 1.223 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CCC(F)(F)C1)CO2 ZINC001053825321 758856346 /nfs/dbraw/zinc/85/63/46/758856346.db2.gz OUBGYRBKYNKEBU-QWHCGFSZSA-N 1 2 314.376 1.957 20 30 DDEDLO C=CCN1CC2(C1)C[C@@H](NC(=O)c1cc3c[nH+]ccc3[nH]1)CO2 ZINC001053848425 758881331 /nfs/dbraw/zinc/88/13/31/758881331.db2.gz ZWBASQZFAWVSCY-CYBMUJFWSA-N 1 2 312.373 1.322 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(Cl)cn1C)CO2 ZINC001053864139 758896551 /nfs/dbraw/zinc/89/65/51/758896551.db2.gz VYHPSUNVRYRMHL-ZDUSSCGKSA-N 1 2 321.808 1.275 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)c(F)c1)CO2 ZINC001053865378 758898883 /nfs/dbraw/zinc/89/88/83/758898883.db2.gz XUFXBUQFSHQTNG-HNNXBMFYSA-N 1 2 316.376 1.731 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H]1C[C@H]1C1CC1)CO2 ZINC001053876139 758910528 /nfs/dbraw/zinc/91/05/28/758910528.db2.gz VPNUESBVCUAOEE-XHSDSOJGSA-N 1 2 304.434 1.958 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@]1(C)C[C@H]3C[C@H]3C1)CO2 ZINC001053880507 758914806 /nfs/dbraw/zinc/91/48/06/758914806.db2.gz QKLQTYCYMLHHPP-JYYAWHABSA-N 1 2 304.434 1.958 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C3CC3)no1)CO2 ZINC001053944353 758980451 /nfs/dbraw/zinc/98/04/51/758980451.db2.gz VPECIUONVRYHIA-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1csc(C)c1)CO2 ZINC001053956737 758993190 /nfs/dbraw/zinc/99/31/90/758993190.db2.gz NUWWHWPYVFDVSS-CQSZACIVSA-N 1 2 304.415 1.653 20 30 DDEDLO Cc1nc(NC[C@@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)ccc1C#N ZINC001108200312 758995051 /nfs/dbraw/zinc/99/50/51/758995051.db2.gz HSUAPKMMPLTIPJ-LERXQTSPSA-N 1 2 324.388 1.705 20 30 DDEDLO Cc1nc(NC[C@@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)ccc1C#N ZINC001108200312 758995059 /nfs/dbraw/zinc/99/50/59/758995059.db2.gz HSUAPKMMPLTIPJ-LERXQTSPSA-N 1 2 324.388 1.705 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(C3CCCC3)no2)C1 ZINC001018631729 759050013 /nfs/dbraw/zinc/05/00/13/759050013.db2.gz JNIHMZBQHMFNNT-AAEUAGOBSA-N 1 2 317.393 1.577 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(CC)n(C)n1)CO2 ZINC001054024292 759075514 /nfs/dbraw/zinc/07/55/14/759075514.db2.gz KSZQKLAIIDCLJV-CYBMUJFWSA-N 1 2 318.421 1.132 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cscn1 ZINC001054033872 759088686 /nfs/dbraw/zinc/08/86/86/759088686.db2.gz BSKCVGAPXPYDDE-OAHLLOKOSA-N 1 2 311.410 1.933 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cscn1 ZINC001054033872 759088692 /nfs/dbraw/zinc/08/86/92/759088692.db2.gz BSKCVGAPXPYDDE-OAHLLOKOSA-N 1 2 311.410 1.933 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC001018679710 759126428 /nfs/dbraw/zinc/12/64/28/759126428.db2.gz QMBMATNODTWHMU-CABZTGNLSA-N 1 2 314.349 1.143 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@]2(CC[N@H+](Cc3cnon3)C2)C1 ZINC001054102697 759168762 /nfs/dbraw/zinc/16/87/62/759168762.db2.gz RLOAQOJXGQTZOU-DOMZBBRYSA-N 1 2 303.366 1.044 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@]2(CC[N@@H+](Cc3cnon3)C2)C1 ZINC001054102697 759168767 /nfs/dbraw/zinc/16/87/67/759168767.db2.gz RLOAQOJXGQTZOU-DOMZBBRYSA-N 1 2 303.366 1.044 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@@H](C)N(C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001069114835 767856873 /nfs/dbraw/zinc/85/68/73/767856873.db2.gz XBPNBVLIORHKSV-HIFRSBDPSA-N 1 2 324.388 1.560 20 30 DDEDLO Cc1oncc1C[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534552 759646197 /nfs/dbraw/zinc/64/61/97/759646197.db2.gz NVKVGFBCIUGPJI-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1oncc1C[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534552 759646203 /nfs/dbraw/zinc/64/62/03/759646203.db2.gz NVKVGFBCIUGPJI-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@](C)(NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001046744327 767878512 /nfs/dbraw/zinc/87/85/12/767878512.db2.gz WCMDMEZSXOHNPA-FZKQIMNGSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001046744327 767878519 /nfs/dbraw/zinc/87/85/19/767878519.db2.gz WCMDMEZSXOHNPA-FZKQIMNGSA-N 1 2 314.433 1.752 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+]Cc3nncs3)C2)cc1 ZINC001019224595 759699401 /nfs/dbraw/zinc/69/94/01/759699401.db2.gz YIKVBJBFGUNMFR-AWEZNQCLSA-N 1 2 312.398 1.524 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1oc(CC2CC2)nc1C ZINC001085597008 759809433 /nfs/dbraw/zinc/80/94/33/759809433.db2.gz OEJYOUNAHQXGHJ-AWEZNQCLSA-N 1 2 301.390 1.715 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1oc(CC2CC2)nc1C ZINC001085597008 759809443 /nfs/dbraw/zinc/80/94/43/759809443.db2.gz OEJYOUNAHQXGHJ-AWEZNQCLSA-N 1 2 301.390 1.715 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)CCn1cc[nH+]c1 ZINC001069180662 767896526 /nfs/dbraw/zinc/89/65/26/767896526.db2.gz KMNKBKKZQNBMKX-CJNGLKHVSA-N 1 2 324.388 1.641 20 30 DDEDLO C[C@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)CCn1cc[nH+]c1 ZINC001069182044 767898164 /nfs/dbraw/zinc/89/81/64/767898164.db2.gz YCDIOCKDEHNKID-ZFWWWQNUSA-N 1 2 324.388 1.641 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(-c2ccccc2)n1 ZINC001085693592 760047302 /nfs/dbraw/zinc/04/73/02/760047302.db2.gz KZHONBUJCZDQAX-MRXNPFEDSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(-c2ccccc2)n1 ZINC001085693592 760047314 /nfs/dbraw/zinc/04/73/14/760047314.db2.gz KZHONBUJCZDQAX-MRXNPFEDSA-N 1 2 308.385 1.652 20 30 DDEDLO CN(C[C@@H]1CCN1CC#N)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001085746182 760146186 /nfs/dbraw/zinc/14/61/86/760146186.db2.gz ACJKLNSGEUJLIS-INIZCTEOSA-N 1 2 309.373 1.542 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001054975049 760248849 /nfs/dbraw/zinc/24/88/49/760248849.db2.gz ANPBTCUXDLYUSJ-NEPJUHHUSA-N 1 2 306.410 1.781 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2[C@H](C)C(=O)NC)CC1 ZINC001085800918 760270208 /nfs/dbraw/zinc/27/02/08/760270208.db2.gz FKOGDGMPOLUPKN-UKRRQHHQSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@H](C)C(=O)NC)CC1 ZINC001085800918 760270217 /nfs/dbraw/zinc/27/02/17/760270217.db2.gz FKOGDGMPOLUPKN-UKRRQHHQSA-N 1 2 307.438 1.400 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@@H+]2C/C=C\Cl)c1 ZINC001085810095 760279160 /nfs/dbraw/zinc/27/91/60/760279160.db2.gz UPUWKJLOCVPBSK-ZDTYVGOBSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@H+]2C/C=C\Cl)c1 ZINC001085810095 760279161 /nfs/dbraw/zinc/27/91/61/760279161.db2.gz UPUWKJLOCVPBSK-ZDTYVGOBSA-N 1 2 303.793 1.962 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@H](C)CNc2ccncc2C#N)c(C)[nH+]1 ZINC001108218466 760460271 /nfs/dbraw/zinc/46/02/71/760460271.db2.gz FWPOVWPNQIBGGM-CYBMUJFWSA-N 1 2 323.400 1.926 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1sc(COC)nc1C ZINC001085949652 760601825 /nfs/dbraw/zinc/60/18/25/760601825.db2.gz LJZRVJKNLUOYFX-CYBMUJFWSA-N 1 2 321.446 1.768 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1sc(COC)nc1C ZINC001085949652 760601828 /nfs/dbraw/zinc/60/18/28/760601828.db2.gz LJZRVJKNLUOYFX-CYBMUJFWSA-N 1 2 321.446 1.768 20 30 DDEDLO CC(C)=CC[N@@H+]1CCNC(=O)CCN(C(=O)C#CC(C)C)CC1 ZINC001131357102 768004228 /nfs/dbraw/zinc/00/42/28/768004228.db2.gz HJGWMBVGWVSWEK-UHFFFAOYSA-N 1 2 319.449 1.263 20 30 DDEDLO CC(C)=CC[N@H+]1CCNC(=O)CCN(C(=O)C#CC(C)C)CC1 ZINC001131357102 768004235 /nfs/dbraw/zinc/00/42/35/768004235.db2.gz HJGWMBVGWVSWEK-UHFFFAOYSA-N 1 2 319.449 1.263 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cn(CC)nc2C)C1 ZINC001108249444 761111808 /nfs/dbraw/zinc/11/18/08/761111808.db2.gz DDHWLTDOXOIDFF-INIZCTEOSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cn(CC)nc2C)C1 ZINC001108249444 761111810 /nfs/dbraw/zinc/11/18/10/761111810.db2.gz DDHWLTDOXOIDFF-INIZCTEOSA-N 1 2 306.410 1.218 20 30 DDEDLO Cc1nc(NC[C@@H]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001069452886 768026890 /nfs/dbraw/zinc/02/68/90/768026890.db2.gz BLBPERDKYMHPIU-OCCSQVGLSA-N 1 2 324.388 1.995 20 30 DDEDLO Cc1nonc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001039086568 761726131 /nfs/dbraw/zinc/72/61/31/761726131.db2.gz YISVCCCVSAIPGE-CQSZACIVSA-N 1 2 311.345 1.254 20 30 DDEDLO Cc1nonc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001039086568 761726134 /nfs/dbraw/zinc/72/61/34/761726134.db2.gz YISVCCCVSAIPGE-CQSZACIVSA-N 1 2 311.345 1.254 20 30 DDEDLO C#CCCCC(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]cn1C ZINC001067286039 761732551 /nfs/dbraw/zinc/73/25/51/761732551.db2.gz IRQOKBRRSRDDLO-UHFFFAOYSA-N 1 2 318.421 1.073 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)c2c(C)n[nH]c2C)C1 ZINC001108284315 761753014 /nfs/dbraw/zinc/75/30/14/761753014.db2.gz RXDZGWIIOMNWIY-PXAZEXFGSA-N 1 2 320.437 1.523 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)c2c(C)n[nH]c2C)C1 ZINC001108284315 761753020 /nfs/dbraw/zinc/75/30/20/761753020.db2.gz RXDZGWIIOMNWIY-PXAZEXFGSA-N 1 2 320.437 1.523 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001108583552 762750316 /nfs/dbraw/zinc/75/03/16/762750316.db2.gz XTSYJHCUWVAPHF-QWHCGFSZSA-N 1 2 324.388 1.329 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCCN(C(=O)c3cccc4nn[nH]c43)[C@@H]2C1 ZINC001050055478 763068428 /nfs/dbraw/zinc/06/84/28/763068428.db2.gz KPXJBMLUWVNWEM-XJKSGUPXSA-N 1 2 323.400 1.518 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCCN(C(=O)c3cccc4nn[nH]c43)[C@@H]2C1 ZINC001050055478 763068436 /nfs/dbraw/zinc/06/84/36/763068436.db2.gz KPXJBMLUWVNWEM-XJKSGUPXSA-N 1 2 323.400 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@]2(CC[N@@H+](Cc3cnn(C)n3)C2)C1 ZINC001041545337 763123114 /nfs/dbraw/zinc/12/31/14/763123114.db2.gz UTHRUCUCZUZDHG-KRWDZBQOSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@]2(CC[N@H+](Cc3cnn(C)n3)C2)C1 ZINC001041545337 763123120 /nfs/dbraw/zinc/12/31/20/763123120.db2.gz UTHRUCUCZUZDHG-KRWDZBQOSA-N 1 2 317.437 1.452 20 30 DDEDLO N#CCN1CC[C@@]2(CCN(C(=O)[C@H]3CCCc4[nH+]c[nH]c43)C2)C1 ZINC001041664568 763207687 /nfs/dbraw/zinc/20/76/87/763207687.db2.gz OCPDGEBGYHRSRB-SUMWQHHRSA-N 1 2 313.405 1.278 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CN(C)c1[nH+]cnc2c1cnn2C ZINC001109224019 763483005 /nfs/dbraw/zinc/48/30/05/763483005.db2.gz PWRRIVRIHVMNNG-LBPRGKRZSA-N 1 2 316.409 1.661 20 30 DDEDLO Cc1nc(N(C)C[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001109254600 763518598 /nfs/dbraw/zinc/51/85/98/763518598.db2.gz BWLBDERZXXDFOZ-NSHDSACASA-N 1 2 312.377 1.168 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)CCc3nnc[nH]3)C[C@@H]21 ZINC001042005034 763555839 /nfs/dbraw/zinc/55/58/39/763555839.db2.gz BUQPBHLEHPRKDH-STQMWFEESA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)CCc3nnc[nH]3)C[C@@H]21 ZINC001042005034 763555852 /nfs/dbraw/zinc/55/58/52/763555852.db2.gz BUQPBHLEHPRKDH-STQMWFEESA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)CCc3nc[nH]n3)C[C@@H]21 ZINC001042005034 763555858 /nfs/dbraw/zinc/55/58/58/763555858.db2.gz BUQPBHLEHPRKDH-STQMWFEESA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)CCc3nc[nH]n3)C[C@@H]21 ZINC001042005034 763555867 /nfs/dbraw/zinc/55/58/67/763555867.db2.gz BUQPBHLEHPRKDH-STQMWFEESA-N 1 2 323.828 1.413 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)[C@@H](C)C2)cc[nH+]1 ZINC001067383234 763590782 /nfs/dbraw/zinc/59/07/82/763590782.db2.gz HKPOKEWLCXGJMY-SMDDNHRTSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]2CCN(C(=O)Cn3c[nH+]cc3C)C[C@H]21 ZINC001042155316 763718511 /nfs/dbraw/zinc/71/85/11/763718511.db2.gz CHZFXTOSDMDTAC-HUUCEWRRSA-N 1 2 322.840 1.867 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CCCNc1ncccc1C#N ZINC001109490643 763753786 /nfs/dbraw/zinc/75/37/86/763753786.db2.gz HCKMUNUSXRLUAB-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109769688 764016939 /nfs/dbraw/zinc/01/69/39/764016939.db2.gz OJXQTKFCZKYRPD-ILXRZTDVSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109769688 764016950 /nfs/dbraw/zinc/01/69/50/764016950.db2.gz OJXQTKFCZKYRPD-ILXRZTDVSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)OCCOC)C2 ZINC001109791171 764059347 /nfs/dbraw/zinc/05/93/47/764059347.db2.gz RNOYBXHQLKOSKH-CRWXNKLISA-N 1 2 316.829 1.512 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)OCCOC)C2 ZINC001109791171 764059351 /nfs/dbraw/zinc/05/93/51/764059351.db2.gz RNOYBXHQLKOSKH-CRWXNKLISA-N 1 2 316.829 1.512 20 30 DDEDLO N#Cc1ccc(NC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C2CC2)cn1 ZINC001109895921 764176730 /nfs/dbraw/zinc/17/67/30/764176730.db2.gz ZTTVPBRQBKZDBM-CQSZACIVSA-N 1 2 310.361 1.226 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1n[nH]c(C)c1C ZINC001050854514 764188156 /nfs/dbraw/zinc/18/81/56/764188156.db2.gz TWJJEJASEZSTFC-CQSZACIVSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1n[nH]c(C)c1C ZINC001050854514 764188161 /nfs/dbraw/zinc/18/81/61/764188161.db2.gz TWJJEJASEZSTFC-CQSZACIVSA-N 1 2 306.410 1.423 20 30 DDEDLO C[C@@H](F)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001050867876 764209428 /nfs/dbraw/zinc/20/94/28/764209428.db2.gz JZTINKNFMLUZPX-YPMHNXCESA-N 1 2 308.357 1.065 20 30 DDEDLO C[C@@H](F)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001050867876 764209433 /nfs/dbraw/zinc/20/94/33/764209433.db2.gz JZTINKNFMLUZPX-YPMHNXCESA-N 1 2 308.357 1.065 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109983971 764263082 /nfs/dbraw/zinc/26/30/82/764263082.db2.gz DVCQQIQWSGLFAM-KFWWJZLASA-N 1 2 316.405 1.683 20 30 DDEDLO C[C@H]1CC[C@H](C[NH+]2CC(N(C)C(=O)c3ccc(C#N)[nH]3)C2)O1 ZINC001042628719 764292131 /nfs/dbraw/zinc/29/21/31/764292131.db2.gz NYOUHSHVLJAFAC-SMDDNHRTSA-N 1 2 302.378 1.210 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnn1C(C)C ZINC001050938206 764306186 /nfs/dbraw/zinc/30/61/86/764306186.db2.gz LWFBBOVRSWTMNT-CQSZACIVSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnn1C(C)C ZINC001050938206 764306192 /nfs/dbraw/zinc/30/61/92/764306192.db2.gz LWFBBOVRSWTMNT-CQSZACIVSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)[nH]nc1Cl ZINC001050953613 764342421 /nfs/dbraw/zinc/34/24/21/764342421.db2.gz IPIRTTDSSWDTAS-NSHDSACASA-N 1 2 312.801 1.378 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)[nH]nc1Cl ZINC001050953613 764342423 /nfs/dbraw/zinc/34/24/23/764342423.db2.gz IPIRTTDSSWDTAS-NSHDSACASA-N 1 2 312.801 1.378 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnc2cc[nH]c2c1 ZINC001050975261 764372320 /nfs/dbraw/zinc/37/23/20/764372320.db2.gz JKXKSNIJMPWSQG-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnc2cc[nH]c2c1 ZINC001050975261 764372325 /nfs/dbraw/zinc/37/23/25/764372325.db2.gz JKXKSNIJMPWSQG-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1C(C)C ZINC001050998489 764401293 /nfs/dbraw/zinc/40/12/93/764401293.db2.gz MQNDQLKALWBEDL-ZDUSSCGKSA-N 1 2 306.410 1.540 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1C(C)C ZINC001050998489 764401300 /nfs/dbraw/zinc/40/13/00/764401300.db2.gz MQNDQLKALWBEDL-ZDUSSCGKSA-N 1 2 306.410 1.540 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccc(C#N)nc1 ZINC001051068692 764471574 /nfs/dbraw/zinc/47/15/74/764471574.db2.gz OPXWAPQVCAXJSR-ABAIWWIYSA-N 1 2 310.361 1.002 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nc(OC)c1 ZINC001051085079 764490320 /nfs/dbraw/zinc/49/03/20/764490320.db2.gz ABKYHFQSXHIOQH-HNNXBMFYSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nc(OC)c1 ZINC001051085079 764490328 /nfs/dbraw/zinc/49/03/28/764490328.db2.gz ABKYHFQSXHIOQH-HNNXBMFYSA-N 1 2 319.405 1.405 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001096151990 768220725 /nfs/dbraw/zinc/22/07/25/768220725.db2.gz NDVDCTWMYFTYGX-HZMBPMFUSA-N 1 2 301.394 1.647 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](CCn2cccn2)CC1 ZINC001112671779 764568534 /nfs/dbraw/zinc/56/85/34/764568534.db2.gz QYZUTAZPTNHVHP-HNNXBMFYSA-N 1 2 306.410 1.009 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncnc1C1CC1 ZINC001051231026 764652043 /nfs/dbraw/zinc/65/20/43/764652043.db2.gz NIDSCWLCLLKXKC-CQSZACIVSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cncnc1C1CC1 ZINC001051231026 764652049 /nfs/dbraw/zinc/65/20/49/764652049.db2.gz NIDSCWLCLLKXKC-CQSZACIVSA-N 1 2 316.405 1.361 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](CCOCC3CCC3)CC2)[nH]1 ZINC001112769681 764729565 /nfs/dbraw/zinc/72/95/65/764729565.db2.gz SLXYTPCMEIWDOT-UHFFFAOYSA-N 1 2 316.405 1.461 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc([C@H]3CCOC3)c2)C1 ZINC001043170158 764733678 /nfs/dbraw/zinc/73/36/78/764733678.db2.gz REHKBFAHJJMZNH-KRWDZBQOSA-N 1 2 312.413 1.970 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](CCc2ccccc2F)CC1 ZINC001112813847 764800697 /nfs/dbraw/zinc/80/06/97/764800697.db2.gz CDLZEWVKRUIRKG-GOSISDBHSA-N 1 2 320.408 1.840 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](CCc2ccccc2F)CC1 ZINC001112843894 764867157 /nfs/dbraw/zinc/86/71/57/764867157.db2.gz LHUGTRHKOVHZDN-UHFFFAOYSA-N 1 2 318.392 1.552 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C2CCN(C(=O)C(C)C)CC2)C1 ZINC001043502195 764945251 /nfs/dbraw/zinc/94/52/51/764945251.db2.gz ORBCGALFHZBUMK-UHFFFAOYSA-N 1 2 321.465 1.600 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@@H]3CCCCO3)C2)cc1 ZINC001043505668 764949300 /nfs/dbraw/zinc/94/93/00/764949300.db2.gz AKUWJBLAUKDQOA-SFHVURJKSA-N 1 2 312.413 1.993 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)COCCc2cccc(F)c2)CC1 ZINC001112931961 764989056 /nfs/dbraw/zinc/98/90/56/764989056.db2.gz KZUGMCKNGUWOHF-UHFFFAOYSA-N 1 2 306.381 1.715 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051685110 765072092 /nfs/dbraw/zinc/07/20/92/765072092.db2.gz HNISXYVLSHKTLC-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncc(C)cc2C)[C@H](O)C1 ZINC001090446382 765202637 /nfs/dbraw/zinc/20/26/37/765202637.db2.gz RJZVHZAAGLTDLW-ZIAGYGMSSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncc(C)cc2C)[C@H](O)C1 ZINC001090446382 765202642 /nfs/dbraw/zinc/20/26/42/765202642.db2.gz RJZVHZAAGLTDLW-ZIAGYGMSSA-N 1 2 323.824 1.616 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC001051974081 765333822 /nfs/dbraw/zinc/33/38/22/765333822.db2.gz BLUXLOSWEASWGO-QGZVFWFLSA-N 1 2 303.450 1.418 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccnc(C(F)(F)F)c2)C1 ZINC001044137342 765334913 /nfs/dbraw/zinc/33/49/13/765334913.db2.gz ZNXSODZZJKXKEO-UHFFFAOYSA-N 1 2 311.307 1.880 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cccs3)C2)CC1 ZINC001051974720 765335656 /nfs/dbraw/zinc/33/56/56/765335656.db2.gz JNXRINZHXIXGOR-CYBMUJFWSA-N 1 2 304.419 1.104 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccc(Cl)o3)C2)CC1 ZINC001051984926 765349581 /nfs/dbraw/zinc/34/95/81/765349581.db2.gz PSVFNVKIEOVWLD-ZDUSSCGKSA-N 1 2 321.808 1.398 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccc(Cl)o3)C2)CC1 ZINC001051984926 765349586 /nfs/dbraw/zinc/34/95/86/765349586.db2.gz PSVFNVKIEOVWLD-ZDUSSCGKSA-N 1 2 321.808 1.398 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)C3(F)CCCC3)C2)CC1 ZINC001052044899 765418025 /nfs/dbraw/zinc/41/80/25/765418025.db2.gz MSMDNWXINMZPLQ-OAHLLOKOSA-N 1 2 309.429 1.673 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C3(CF)CCC3)C2)CC1 ZINC001052087481 765458196 /nfs/dbraw/zinc/45/81/96/765458196.db2.gz WWYKCGWIUIVIQM-MRXNPFEDSA-N 1 2 323.456 1.921 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnc3n2CCCC3)CC1 ZINC001113252520 765487751 /nfs/dbraw/zinc/48/77/51/765487751.db2.gz UPTNRGYPDVNTTK-UHFFFAOYSA-N 1 2 318.421 1.180 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001044339763 765494693 /nfs/dbraw/zinc/49/46/93/765494693.db2.gz XQSJTSYVVQKEAH-UHFFFAOYSA-N 1 2 309.373 1.251 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CN(C)C(=O)c2ccccc2)CC1 ZINC001113289709 765530564 /nfs/dbraw/zinc/53/05/64/765530564.db2.gz DBWHSTMDPXUPTG-UHFFFAOYSA-N 1 2 301.390 1.089 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(C(C)(F)F)CC2)CC1 ZINC001113351360 765614446 /nfs/dbraw/zinc/61/44/46/765614446.db2.gz YNNUSGXEZDTVCE-UHFFFAOYSA-N 1 2 302.365 1.769 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@@H](C)Nc1cncc(C#N)n1 ZINC001113352325 765616894 /nfs/dbraw/zinc/61/68/94/765616894.db2.gz QAGKMZZAKKRTBQ-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@@H](C)Nc1cncc(C#N)n1 ZINC001113352325 765616896 /nfs/dbraw/zinc/61/68/96/765616896.db2.gz QAGKMZZAKKRTBQ-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCCC(=O)N(CC)CC)CC1 ZINC001113435362 765696488 /nfs/dbraw/zinc/69/64/88/765696488.db2.gz CWBQTMLDZCYXQA-UHFFFAOYSA-N 1 2 307.438 1.193 20 30 DDEDLO C[C@H]1C[C@H](NCC#N)CCN1C(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC001044819507 765832471 /nfs/dbraw/zinc/83/24/71/765832471.db2.gz YLTBOBCIDKMPII-UONOGXRCSA-N 1 2 324.388 1.374 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001057771305 765901305 /nfs/dbraw/zinc/90/13/05/765901305.db2.gz AOBZDVGKVPFRSI-OAHLLOKOSA-N 1 2 324.388 1.134 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001057771305 765901307 /nfs/dbraw/zinc/90/13/07/765901307.db2.gz AOBZDVGKVPFRSI-OAHLLOKOSA-N 1 2 324.388 1.134 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCNC(=O)c2cccs2)CC1 ZINC001113623564 765950403 /nfs/dbraw/zinc/95/04/03/765950403.db2.gz OUACESITPFLHLM-UHFFFAOYSA-N 1 2 307.419 1.198 20 30 DDEDLO Cc1nc(N(C)[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001113695790 766027949 /nfs/dbraw/zinc/02/79/49/766027949.db2.gz LAJXLLRUEARUQW-LLVKDONJSA-N 1 2 312.377 1.168 20 30 DDEDLO Cc1nc(N2CCC(CNC(=O)c3ccc(C#N)[nH]3)CC2)cc[nH+]1 ZINC001057788454 766054032 /nfs/dbraw/zinc/05/40/32/766054032.db2.gz FZJHVQQIEXQNHF-UHFFFAOYSA-N 1 2 324.388 1.631 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@H]1CCCN(c2ncccc2C#N)C1 ZINC001058177053 766289360 /nfs/dbraw/zinc/28/93/60/766289360.db2.gz OKFDKALABNBFSQ-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC1(C)CCN(CC#N)CC1 ZINC001045562163 766402262 /nfs/dbraw/zinc/40/22/62/766402262.db2.gz RRKRAWBHJDFAQK-CHWSQXEVSA-N 1 2 301.394 1.018 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC[C@H]1C ZINC001114023600 766551314 /nfs/dbraw/zinc/55/13/14/766551314.db2.gz HJVLSMFQJZKUQR-HIFRSBDPSA-N 1 2 300.406 1.920 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001114063362 766600478 /nfs/dbraw/zinc/60/04/78/766600478.db2.gz BWKWFNDTVPQOCT-MZORAGNBSA-N 1 2 312.348 1.403 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001114063362 766600482 /nfs/dbraw/zinc/60/04/82/766600482.db2.gz BWKWFNDTVPQOCT-MZORAGNBSA-N 1 2 312.348 1.403 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(CC)o3)C[C@H]21 ZINC001114201937 766761505 /nfs/dbraw/zinc/76/15/05/766761505.db2.gz XHESKGQLPYWJPA-BCUIYNNISA-N 1 2 316.405 1.543 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(CC)o3)C[C@H]21 ZINC001114201937 766761507 /nfs/dbraw/zinc/76/15/07/766761507.db2.gz XHESKGQLPYWJPA-BCUIYNNISA-N 1 2 316.405 1.543 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)/C=C\c3ccco3)CC2)C1 ZINC001045995573 766777241 /nfs/dbraw/zinc/77/72/41/766777241.db2.gz NONOUBMJIWKQGT-JZIMQPMSSA-N 1 2 314.389 1.035 20 30 DDEDLO Cc1ccc(C#N)c(NCC2CC(NC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC001067889908 766786074 /nfs/dbraw/zinc/78/60/74/766786074.db2.gz BMSPVADINZGJSS-UHFFFAOYSA-N 1 2 324.388 1.534 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)[nH]1 ZINC001114256143 766827265 /nfs/dbraw/zinc/82/72/65/766827265.db2.gz VGUPUYSHYPAPAT-MUYACECFSA-N 1 2 315.421 1.270 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)[nH]1 ZINC001114256143 766827275 /nfs/dbraw/zinc/82/72/75/766827275.db2.gz VGUPUYSHYPAPAT-MUYACECFSA-N 1 2 315.421 1.270 20 30 DDEDLO Cc1coc(C)c1C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046025736 766828715 /nfs/dbraw/zinc/82/87/15/766828715.db2.gz KMQSIRWVCHJHSO-HNNXBMFYSA-N 1 2 316.405 1.252 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+]Cc1nc(CCC)no1 ZINC001129514169 766920904 /nfs/dbraw/zinc/92/09/04/766920904.db2.gz OJLUYIVDVDKRGC-UHFFFAOYSA-N 1 2 317.393 1.529 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NC[C@@H](C)Nc1cc[nH+]c(C)n1 ZINC001098058354 766968740 /nfs/dbraw/zinc/96/87/40/766968740.db2.gz ZJXTYCUFZNKDKG-BXUZGUMPSA-N 1 2 319.409 1.173 20 30 DDEDLO Cc1nc(NC[C@@H]2C[C@H](C)N(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001068316836 767092650 /nfs/dbraw/zinc/09/26/50/767092650.db2.gz JQQHZRUKLZODAJ-AAEUAGOBSA-N 1 2 324.388 1.948 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CC[C@](C)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001046223446 767209780 /nfs/dbraw/zinc/20/97/80/767209780.db2.gz LDFJIWGFJBIUKZ-SJCJKPOMSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CC[C@](C)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001046223446 767209783 /nfs/dbraw/zinc/20/97/83/767209783.db2.gz LDFJIWGFJBIUKZ-SJCJKPOMSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@]1(NC(=O)c2cncnc2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046260712 767317246 /nfs/dbraw/zinc/31/72/46/767317246.db2.gz PPFPCTPJKQUXST-SFHVURJKSA-N 1 2 321.384 1.743 20 30 DDEDLO C[C@]1(NC(=O)c2cncnc2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046260712 767317251 /nfs/dbraw/zinc/31/72/51/767317251.db2.gz PPFPCTPJKQUXST-SFHVURJKSA-N 1 2 321.384 1.743 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001046317495 767415410 /nfs/dbraw/zinc/41/54/10/767415410.db2.gz HFTWVRIOVASVON-RHSMWYFYSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001046317495 767415412 /nfs/dbraw/zinc/41/54/12/767415412.db2.gz HFTWVRIOVASVON-RHSMWYFYSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnn3c2CCC3)C1 ZINC001046367118 767475284 /nfs/dbraw/zinc/47/52/84/767475284.db2.gz XXTGYNQQRMPNHP-HNNXBMFYSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnn3c2CCC3)C1 ZINC001046367118 767475288 /nfs/dbraw/zinc/47/52/88/767475288.db2.gz XXTGYNQQRMPNHP-HNNXBMFYSA-N 1 2 308.813 1.776 20 30 DDEDLO Cc1nc(N2CCC(N(C)C(=O)CSCC#N)CC2)cc[nH+]1 ZINC001096095197 767495932 /nfs/dbraw/zinc/49/59/32/767495932.db2.gz KTSCJLKKYRJILD-UHFFFAOYSA-N 1 2 319.434 1.469 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cc(OCC)n[nH]2)C1 ZINC001046412071 767529861 /nfs/dbraw/zinc/52/98/61/767529861.db2.gz HQOLJDCCTRVSNI-AWEZNQCLSA-N 1 2 312.801 1.755 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cc(OCC)n[nH]2)C1 ZINC001046412071 767529864 /nfs/dbraw/zinc/52/98/64/767529864.db2.gz HQOLJDCCTRVSNI-AWEZNQCLSA-N 1 2 312.801 1.755 20 30 DDEDLO C#CCN1CC[C@](C)(NC(=O)c2ccn(-c3cc[nH+]cc3)n2)C1 ZINC001046470302 767605279 /nfs/dbraw/zinc/60/52/79/767605279.db2.gz IGEFKSWCDMTUDI-KRWDZBQOSA-N 1 2 309.373 1.095 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H](Nc3cc[nH+]c(C)n3)[C@H]2C)cn1 ZINC001068876673 767673573 /nfs/dbraw/zinc/67/35/73/767673573.db2.gz SSGHXTWYIQVMTH-WBMJQRKESA-N 1 2 321.384 1.876 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@@H]2C)ccc1C#N ZINC001068887534 767682667 /nfs/dbraw/zinc/68/26/67/767682667.db2.gz NMUWUOKEBADBSW-OCCSQVGLSA-N 1 2 324.388 1.629 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCn1cc[nH+]c1 ZINC001068929204 767706026 /nfs/dbraw/zinc/70/60/26/767706026.db2.gz ZEPMEEYRZCNTHD-CZUORRHYSA-N 1 2 324.388 1.641 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cscc2Cl)C1 ZINC001047419505 768463677 /nfs/dbraw/zinc/46/36/77/768463677.db2.gz MZVBYCRNSCALCC-STQMWFEESA-N 1 2 312.822 1.542 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cscc2Cl)C1 ZINC001047419505 768463680 /nfs/dbraw/zinc/46/36/80/768463680.db2.gz MZVBYCRNSCALCC-STQMWFEESA-N 1 2 312.822 1.542 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cnnn2CC)C1 ZINC001131900579 768465895 /nfs/dbraw/zinc/46/58/95/768465895.db2.gz ZZICEMDUJGGGOU-KBPBESRZSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cnnn2CC)C1 ZINC001131900579 768465897 /nfs/dbraw/zinc/46/58/97/768465897.db2.gz ZZICEMDUJGGGOU-KBPBESRZSA-N 1 2 305.426 1.733 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)/C=C\C(C)(C)C)C[N@@H+]1CC(=O)NCC#N ZINC001131911811 768475802 /nfs/dbraw/zinc/47/58/02/768475802.db2.gz OBALZIALENFBQK-JUBSNLHESA-N 1 2 320.437 1.198 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)/C=C\C(C)(C)C)C[N@H+]1CC(=O)NCC#N ZINC001131911811 768475807 /nfs/dbraw/zinc/47/58/07/768475807.db2.gz OBALZIALENFBQK-JUBSNLHESA-N 1 2 320.437 1.198 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(F)cc(C)cc2F)C1 ZINC001047452482 768488950 /nfs/dbraw/zinc/48/89/50/768488950.db2.gz LYHXJWGAFVVJBX-KBPBESRZSA-N 1 2 308.328 1.024 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(F)cc(C)cc2F)C1 ZINC001047452482 768488951 /nfs/dbraw/zinc/48/89/51/768488951.db2.gz LYHXJWGAFVVJBX-KBPBESRZSA-N 1 2 308.328 1.024 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2cc(F)c[nH]2)CC[C@H]1C ZINC001132183003 768669718 /nfs/dbraw/zinc/66/97/18/768669718.db2.gz KZRSFVYNIKCOIC-YPMHNXCESA-N 1 2 322.384 1.039 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2cc(F)c[nH]2)CC[C@H]1C ZINC001132183003 768669722 /nfs/dbraw/zinc/66/97/22/768669722.db2.gz KZRSFVYNIKCOIC-YPMHNXCESA-N 1 2 322.384 1.039 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)C=C(C)C)C1 ZINC001070900580 768775530 /nfs/dbraw/zinc/77/55/30/768775530.db2.gz QVTWIWINDAHDFN-KFWWJZLASA-N 1 2 319.405 1.245 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)C=C(C)C)C1 ZINC001070900580 768775534 /nfs/dbraw/zinc/77/55/34/768775534.db2.gz QVTWIWINDAHDFN-KFWWJZLASA-N 1 2 319.405 1.245 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)c2ccc(F)cc2)CC1 ZINC001070931315 768789876 /nfs/dbraw/zinc/78/98/76/768789876.db2.gz PKRHEHIEDBNPQO-UHFFFAOYSA-N 1 2 319.380 1.276 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)c2ccc(F)cc2)CC1 ZINC001070931315 768789881 /nfs/dbraw/zinc/78/98/81/768789881.db2.gz PKRHEHIEDBNPQO-UHFFFAOYSA-N 1 2 319.380 1.276 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070933917 768793846 /nfs/dbraw/zinc/79/38/46/768793846.db2.gz JOUVCQMLRKZATA-PBHICJAKSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001132396050 768802725 /nfs/dbraw/zinc/80/27/25/768802725.db2.gz ZOKYNIHNAHBGRO-UHFFFAOYSA-N 1 2 304.350 1.748 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@H]2CCC[C@H]2C)CC1 ZINC001070966852 768820648 /nfs/dbraw/zinc/82/06/48/768820648.db2.gz QQOVOYQUWKKWAU-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@H]2CCC[C@H]2C)CC1 ZINC001070966852 768820661 /nfs/dbraw/zinc/82/06/61/768820661.db2.gz QQOVOYQUWKKWAU-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@]23C[C@H]2CCCC3)CC1 ZINC001070997708 768859076 /nfs/dbraw/zinc/85/90/76/768859076.db2.gz VUJWODFGIYQICK-CRAIPNDOSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@]23C[C@H]2CCCC3)CC1 ZINC001070997708 768859090 /nfs/dbraw/zinc/85/90/90/768859090.db2.gz VUJWODFGIYQICK-CRAIPNDOSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC[C@H](C)C2)CC1 ZINC001071005809 768870399 /nfs/dbraw/zinc/87/03/99/768870399.db2.gz JDBXBYCGGADTMS-LSDHHAIUSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC[C@H](C)C2)CC1 ZINC001071005809 768870412 /nfs/dbraw/zinc/87/04/12/768870412.db2.gz JDBXBYCGGADTMS-LSDHHAIUSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C1)OCC ZINC001096437231 770060139 /nfs/dbraw/zinc/06/01/39/770060139.db2.gz AOUVPZBZISWVLI-GJZGRUSLSA-N 1 2 318.421 1.851 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071929554 770302801 /nfs/dbraw/zinc/30/28/01/770302801.db2.gz RDFBNVNLXBOEDQ-ZIAGYGMSSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001071933101 770309073 /nfs/dbraw/zinc/30/90/73/770309073.db2.gz QYNYLHNQQCOLFA-UKRRQHHQSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072195037 770648859 /nfs/dbraw/zinc/64/88/59/770648859.db2.gz HGIWTDVROIPLGZ-KGLIPLIRSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001072215508 770671356 /nfs/dbraw/zinc/67/13/56/770671356.db2.gz UNNFCYZRUIZHHC-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)Cc2c[nH+]cn2C)[C@@H](C)C1 ZINC001072219892 770674769 /nfs/dbraw/zinc/67/47/69/770674769.db2.gz ZNIHWCCEVKDPGC-ZFWWWQNUSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072219577 770675096 /nfs/dbraw/zinc/67/50/96/770675096.db2.gz VHLYXLSTEVAJAZ-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049379990 770759742 /nfs/dbraw/zinc/75/97/42/770759742.db2.gz WCTNQJFHZWZLPL-FRRDWIJNSA-N 1 2 317.393 1.357 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049379990 770759751 /nfs/dbraw/zinc/75/97/51/770759751.db2.gz WCTNQJFHZWZLPL-FRRDWIJNSA-N 1 2 317.393 1.357 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)CCc2[nH+]ccn2C)C[C@H]1C ZINC001072510037 770999858 /nfs/dbraw/zinc/99/98/58/770999858.db2.gz JCAQNSTWEWZILJ-ZIAGYGMSSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1cnn(C)n1 ZINC001049637012 771040273 /nfs/dbraw/zinc/04/02/73/771040273.db2.gz VZHCLUPXFJHDAA-CABCVRRESA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1cnn(C)n1 ZINC001049637012 771040280 /nfs/dbraw/zinc/04/02/80/771040280.db2.gz VZHCLUPXFJHDAA-CABCVRRESA-N 1 2 317.437 1.593 20 30 DDEDLO C=CCCC(=O)NC[C@@H](Nc1nc(N(C)C)nc(C)[nH+]1)C1CC1 ZINC001096594350 771297220 /nfs/dbraw/zinc/29/72/20/771297220.db2.gz RFZHTTRGIQHEFV-CYBMUJFWSA-N 1 2 318.425 1.519 20 30 DDEDLO CCc1cnc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)o1 ZINC001049999658 771354069 /nfs/dbraw/zinc/35/40/69/771354069.db2.gz MBXZQSNATAXIEA-KCQAQPDRSA-N 1 2 316.405 1.819 20 30 DDEDLO CCc1cnc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)o1 ZINC001049999658 771354073 /nfs/dbraw/zinc/35/40/73/771354073.db2.gz MBXZQSNATAXIEA-KCQAQPDRSA-N 1 2 316.405 1.819 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+][C@H](C)c1nc(C)no1 ZINC001135147566 771358268 /nfs/dbraw/zinc/35/82/68/771358268.db2.gz MOOBQRJOVDZFPF-WXHSDQCUSA-N 1 2 308.382 1.126 20 30 DDEDLO N#Cc1ccc(N[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C2CC2)cn1 ZINC001096712294 771410074 /nfs/dbraw/zinc/41/00/74/771410074.db2.gz PYUIBSRGJOSKFW-CQSZACIVSA-N 1 2 310.361 1.226 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn[nH]c1)C2 ZINC001096838517 771479336 /nfs/dbraw/zinc/47/93/36/771479336.db2.gz LKQARLFBDLNJMD-ZACQAIPSSA-N 1 2 321.384 1.817 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn[nH]c1)C2 ZINC001096838517 771479338 /nfs/dbraw/zinc/47/93/38/771479338.db2.gz LKQARLFBDLNJMD-ZACQAIPSSA-N 1 2 321.384 1.817 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001097073883 771607575 /nfs/dbraw/zinc/60/75/75/771607575.db2.gz AGIOKDLERIUMFY-JOCQHMNTSA-N 1 2 318.425 1.661 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@H+](Cc2nonc2C)CC1 ZINC001159538794 771659157 /nfs/dbraw/zinc/65/91/57/771659157.db2.gz MFNBGDDCROTGNC-UHFFFAOYSA-N 1 2 304.394 1.983 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@@H+](Cc2nonc2C)CC1 ZINC001159538794 771659159 /nfs/dbraw/zinc/65/91/59/771659159.db2.gz MFNBGDDCROTGNC-UHFFFAOYSA-N 1 2 304.394 1.983 20 30 DDEDLO C[C@H](C[C@@H](C)NC(=O)c1ccc(-n2cc[nH+]c2)cn1)NCC#N ZINC001135916605 771786919 /nfs/dbraw/zinc/78/69/19/771786919.db2.gz POFYQVSPQUQRSC-CHWSQXEVSA-N 1 2 312.377 1.277 20 30 DDEDLO C=CC[N@H+]1CC[C@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@H](O)C1 ZINC001090696716 772122081 /nfs/dbraw/zinc/12/20/81/772122081.db2.gz XGHGVNZHMPRQGG-DZGCQCFKSA-N 1 2 314.389 1.222 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@H](O)C1 ZINC001090696716 772122084 /nfs/dbraw/zinc/12/20/84/772122084.db2.gz XGHGVNZHMPRQGG-DZGCQCFKSA-N 1 2 314.389 1.222 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc(OC)c2)[C@H](O)C1 ZINC001090757837 772170777 /nfs/dbraw/zinc/17/07/77/772170777.db2.gz QDSQCSNCWYRJEE-HUUCEWRRSA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(OC)c2)[C@H](O)C1 ZINC001090757837 772170782 /nfs/dbraw/zinc/17/07/82/772170782.db2.gz QDSQCSNCWYRJEE-HUUCEWRRSA-N 1 2 324.808 1.613 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@@H](O)C1 ZINC001090811004 772232499 /nfs/dbraw/zinc/23/24/99/772232499.db2.gz ZWUAPTXJCOYPND-GJZGRUSLSA-N 1 2 318.421 1.030 20 30 DDEDLO C=CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@@H](O)C1 ZINC001090811004 772232500 /nfs/dbraw/zinc/23/25/00/772232500.db2.gz ZWUAPTXJCOYPND-GJZGRUSLSA-N 1 2 318.421 1.030 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001147652119 773193102 /nfs/dbraw/zinc/19/31/02/773193102.db2.gz AQTDGJHQEONDDH-UHFFFAOYSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001147652119 773193105 /nfs/dbraw/zinc/19/31/05/773193105.db2.gz AQTDGJHQEONDDH-UHFFFAOYSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nc(C)no1)C2 ZINC001148087730 773359606 /nfs/dbraw/zinc/35/96/06/773359606.db2.gz FPGULCRGGXQYNP-QWHCGFSZSA-N 1 2 318.421 1.871 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C)no1)C2 ZINC001148087730 773359613 /nfs/dbraw/zinc/35/96/13/773359613.db2.gz FPGULCRGGXQYNP-QWHCGFSZSA-N 1 2 318.421 1.871 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](C)CCN1C(=O)c1cccc([C@@H](C)C#N)c1 ZINC001148615884 773553927 /nfs/dbraw/zinc/55/39/27/773553927.db2.gz SDMVGFKYEGPEHN-SWLSCSKDSA-N 1 2 315.373 1.243 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](C)CCN1C(=O)c1cccc([C@@H](C)C#N)c1 ZINC001148615884 773553933 /nfs/dbraw/zinc/55/39/33/773553933.db2.gz SDMVGFKYEGPEHN-SWLSCSKDSA-N 1 2 315.373 1.243 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001073972766 773565904 /nfs/dbraw/zinc/56/59/04/773565904.db2.gz DJUPRZRZIBYKMP-WCQYABFASA-N 1 2 321.450 1.819 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001073972766 773565908 /nfs/dbraw/zinc/56/59/08/773565908.db2.gz DJUPRZRZIBYKMP-WCQYABFASA-N 1 2 321.450 1.819 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001073999492 773578910 /nfs/dbraw/zinc/57/89/10/773578910.db2.gz NAZPFOYYTJLZBM-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cc(C)on1)C2 ZINC001148874219 773610478 /nfs/dbraw/zinc/61/04/78/773610478.db2.gz NKNODLXDIIULHT-CQSZACIVSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cc(C)on1)C2 ZINC001148874219 773610481 /nfs/dbraw/zinc/61/04/81/773610481.db2.gz NKNODLXDIIULHT-CQSZACIVSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@@H](C)C1 ZINC001074090829 773625033 /nfs/dbraw/zinc/62/50/33/773625033.db2.gz REIIKSWLKHFANE-JSGCOSHPSA-N 1 2 318.421 1.580 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cncc(C)c3)C[C@@H]21 ZINC001074199821 773723128 /nfs/dbraw/zinc/72/31/28/773723128.db2.gz JCQXFCIVXXYCAZ-IRXDYDNUSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cncc(C)c3)C[C@@H]21 ZINC001074199821 773723131 /nfs/dbraw/zinc/72/31/31/773723131.db2.gz JCQXFCIVXXYCAZ-IRXDYDNUSA-N 1 2 313.401 1.329 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3csnc3C)C[C@@H]21 ZINC001074215416 773738417 /nfs/dbraw/zinc/73/84/17/773738417.db2.gz HWISYEJSRYDMDS-GJZGRUSLSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3csnc3C)C[C@@H]21 ZINC001074215416 773738423 /nfs/dbraw/zinc/73/84/23/773738423.db2.gz HWISYEJSRYDMDS-GJZGRUSLSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3csnn3)C[C@H]21 ZINC001074309466 773812521 /nfs/dbraw/zinc/81/25/21/773812521.db2.gz FKHYZEJMMQJXTC-CHWSQXEVSA-N 1 2 308.407 1.030 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3csnn3)C[C@H]21 ZINC001074309466 773812524 /nfs/dbraw/zinc/81/25/24/773812524.db2.gz FKHYZEJMMQJXTC-CHWSQXEVSA-N 1 2 308.407 1.030 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CC)CCC3)C[C@@H]21 ZINC001074316993 773817208 /nfs/dbraw/zinc/81/72/08/773817208.db2.gz IPWWTQXDAAZZEJ-JKSUJKDBSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CC)CCC3)C[C@@H]21 ZINC001074316993 773817214 /nfs/dbraw/zinc/81/72/14/773817214.db2.gz IPWWTQXDAAZZEJ-JKSUJKDBSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3csc(C)c3)C[C@H]21 ZINC001074357138 773852121 /nfs/dbraw/zinc/85/21/21/773852121.db2.gz VYIDRVGHTVHLBV-CVEARBPZSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3csc(C)c3)C[C@H]21 ZINC001074357138 773852124 /nfs/dbraw/zinc/85/21/24/773852124.db2.gz VYIDRVGHTVHLBV-CVEARBPZSA-N 1 2 318.442 1.995 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C[C@@H]1O ZINC001092131439 773956040 /nfs/dbraw/zinc/95/60/40/773956040.db2.gz HTHBRELCCKZMMO-GJZGRUSLSA-N 1 2 318.421 1.424 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccncc1)c1nccn12 ZINC001092335885 774085549 /nfs/dbraw/zinc/08/55/49/774085549.db2.gz HXQXDIXTISVQCH-HNNXBMFYSA-N 1 2 321.384 1.187 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001075127305 774371425 /nfs/dbraw/zinc/37/14/25/774371425.db2.gz LXOBZNHEMRIXHJ-KBPBESRZSA-N 1 2 316.405 1.251 20 30 DDEDLO N#CCN1CC[C@H]2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)CC[C@H]21 ZINC001036751011 774474368 /nfs/dbraw/zinc/47/43/68/774474368.db2.gz WNVZRKMAQDJXNH-BLLLJJGKSA-N 1 2 309.373 1.623 20 30 DDEDLO N#CCN1CC[C@H]2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)CC[C@@H]21 ZINC001036751012 774474463 /nfs/dbraw/zinc/47/44/63/774474463.db2.gz WNVZRKMAQDJXNH-LRDDRELGSA-N 1 2 309.373 1.623 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCN(c2nc(C)[nH+]c(C)c2C)CC1 ZINC001093161142 774484965 /nfs/dbraw/zinc/48/49/65/774484965.db2.gz QQWMUVUFEIWEBG-AWEZNQCLSA-N 1 2 318.421 1.642 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H](CC)OC)CC2)C1 ZINC001093513935 774763808 /nfs/dbraw/zinc/76/38/08/774763808.db2.gz WCVJMOXOXKHNNG-MRXNPFEDSA-N 1 2 310.438 1.679 20 30 DDEDLO C[C@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1ncccc1C#N ZINC001099032084 774783797 /nfs/dbraw/zinc/78/37/97/774783797.db2.gz NMSORJUKSZRSML-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](OC)C3CCC3)CC2)C1 ZINC001093557089 774881240 /nfs/dbraw/zinc/88/12/40/774881240.db2.gz KDLGUMXYGKKKDD-KRWDZBQOSA-N 1 2 322.449 1.679 20 30 DDEDLO C[C@@H](CCNC(=O)Cc1[nH]cc[nH+]1)Nc1ncc(C#N)cc1F ZINC001099405647 774982483 /nfs/dbraw/zinc/98/24/83/774982483.db2.gz REYHBXXPURROLP-JTQLQIEISA-N 1 2 316.340 1.365 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094050188 775374154 /nfs/dbraw/zinc/37/41/54/775374154.db2.gz DARLUZQEGOPFIQ-LBPRGKRZSA-N 1 2 306.414 1.376 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C3CCCCC3)nn2)C1 ZINC001094271365 775614847 /nfs/dbraw/zinc/61/48/47/775614847.db2.gz UNTWGJUZDGEQHJ-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3ccns3)nn2)C1 ZINC001094296279 775698671 /nfs/dbraw/zinc/69/86/71/775698671.db2.gz HPZNOKQYCWKULL-UHFFFAOYSA-N 1 2 318.406 1.097 20 30 DDEDLO N#Cc1c(Cl)nsc1NCCCNC(=O)Cn1cc[nH+]c1 ZINC001094471812 775926738 /nfs/dbraw/zinc/92/67/38/775926738.db2.gz QRGIBZLYKTWICL-UHFFFAOYSA-N 1 2 324.797 1.483 20 30 DDEDLO N#Cc1cccnc1NCCCNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001094656542 776175983 /nfs/dbraw/zinc/17/59/83/776175983.db2.gz NCMZPHHIQMCYGE-CQSZACIVSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC(C)(C)C(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094709782 776177379 /nfs/dbraw/zinc/17/73/79/776177379.db2.gz YFQCCGMNNQNFIJ-UHFFFAOYSA-N 1 2 306.414 1.376 20 30 DDEDLO C=CCCC(=O)NCCN(CC)c1[nH+]cnc2c1cnn2C ZINC001100465262 776192774 /nfs/dbraw/zinc/19/27/74/776192774.db2.gz LFMYVXNINUVRIP-UHFFFAOYSA-N 1 2 302.382 1.272 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCCCNc1cc[nH+]c(C)n1 ZINC001094683114 776193163 /nfs/dbraw/zinc/19/31/63/776193163.db2.gz ALGKMZPSIFJCKJ-MRXNPFEDSA-N 1 2 306.410 1.932 20 30 DDEDLO CC#CCCCC(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094704217 776215148 /nfs/dbraw/zinc/21/51/48/776215148.db2.gz XGTCWQAGIPSXDZ-UHFFFAOYSA-N 1 2 314.393 1.475 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@@H]3C[C@H]3C3CC3)CC2=O)C1 ZINC001094765892 776224517 /nfs/dbraw/zinc/22/45/17/776224517.db2.gz ZPURBWHKGZEPOX-LZWOXQAQSA-N 1 2 317.433 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@]3(C)CC=CCC3)CC2=O)C1 ZINC001094738547 776240718 /nfs/dbraw/zinc/24/07/18/776240718.db2.gz KXKVWHJVFBQMPL-KSSFIOAISA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)C3CCC3)CC2=O)C1 ZINC001094751093 776247022 /nfs/dbraw/zinc/24/70/22/776247022.db2.gz OEJSQCKDQFCLGW-GXTWGEPZSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3CCC(=C)CC3)CC2=O)C1 ZINC001094780293 776269753 /nfs/dbraw/zinc/26/97/53/776269753.db2.gz XLZIIJKVVVBQSX-HNNXBMFYSA-N 1 2 317.433 1.320 20 30 DDEDLO N#Cc1cnc(NCCCNC(=O)Cc2c[nH]c[nH+]2)c(Cl)c1 ZINC001094807738 776308048 /nfs/dbraw/zinc/30/80/48/776308048.db2.gz AMZFWBAUAWTAPI-UHFFFAOYSA-N 1 2 318.768 1.491 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](N(C)c2[nH+]cnc3c2cnn3C)C1 ZINC001095106881 777130517 /nfs/dbraw/zinc/13/05/17/777130517.db2.gz NXVLSKGWAFRSKF-GFCCVEGCSA-N 1 2 314.393 1.367 20 30 DDEDLO C=CCCC(=O)N(C)CCNc1ncnc2c1C[N@H+](C)CC2 ZINC001101541267 777232131 /nfs/dbraw/zinc/23/21/31/777232131.db2.gz WISNWQFVVZYXEA-UHFFFAOYSA-N 1 2 303.410 1.301 20 30 DDEDLO C=CCCC(=O)N(C)CCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001101541267 777232141 /nfs/dbraw/zinc/23/21/41/777232141.db2.gz WISNWQFVVZYXEA-UHFFFAOYSA-N 1 2 303.410 1.301 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)CCSC)c1nccn12 ZINC001101607834 777298286 /nfs/dbraw/zinc/29/82/86/777298286.db2.gz ZGAHBLMWYGZTOO-ZDUSSCGKSA-N 1 2 318.446 1.231 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001101826648 777573345 /nfs/dbraw/zinc/57/33/45/777573345.db2.gz VGIAVTGLELKBKR-CYBMUJFWSA-N 1 2 324.388 1.283 20 30 DDEDLO Cc1ccc(C#N)c(NC2(CNC(=O)Cc3c[nH+]cn3C)CC2)n1 ZINC001110182474 777715627 /nfs/dbraw/zinc/71/56/27/777715627.db2.gz HSECCGCPTBLPNG-UHFFFAOYSA-N 1 2 324.388 1.299 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C)no1 ZINC001110183350 777723315 /nfs/dbraw/zinc/72/33/15/777723315.db2.gz RNGZWQSBZUOZIM-XRGAULLZSA-N 1 2 319.405 1.796 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C)no1 ZINC001110183350 777723319 /nfs/dbraw/zinc/72/33/19/777723319.db2.gz RNGZWQSBZUOZIM-XRGAULLZSA-N 1 2 319.405 1.796 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](CCNC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001102344075 778081157 /nfs/dbraw/zinc/08/11/57/778081157.db2.gz TUZUSCHOTHLSKT-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO C=C(C)CCC(=O)N(C)CC[NH+]1CCN(c2ncccn2)CC1 ZINC001102420296 778127258 /nfs/dbraw/zinc/12/72/58/778127258.db2.gz SSPYUPSZKQCUFC-UHFFFAOYSA-N 1 2 317.437 1.413 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C(CC)CC)C[C@H]21 ZINC001176923541 778310601 /nfs/dbraw/zinc/31/06/01/778310601.db2.gz HDZUHSUTVZIQLV-SJORKVTESA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(CC)CC)C[C@H]21 ZINC001176923541 778310606 /nfs/dbraw/zinc/31/06/06/778310606.db2.gz HDZUHSUTVZIQLV-SJORKVTESA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CC=CC3)C[C@@H]21 ZINC001176970814 778357004 /nfs/dbraw/zinc/35/70/04/778357004.db2.gz YUAIAQDQBHPECC-IRXDYDNUSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CC=CC3)C[C@@H]21 ZINC001176970814 778357012 /nfs/dbraw/zinc/35/70/12/778357012.db2.gz YUAIAQDQBHPECC-IRXDYDNUSA-N 1 2 320.433 1.457 20 30 DDEDLO CN(C(=O)CCn1cc[nH+]c1)[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001102748007 778365036 /nfs/dbraw/zinc/36/50/36/778365036.db2.gz GECCEVVRMIVYOM-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3(COCC)CC3)C[C@@H]21 ZINC001177040969 778404618 /nfs/dbraw/zinc/40/46/18/778404618.db2.gz FPGKKEWFFHNJLS-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3(COCC)CC3)C[C@@H]21 ZINC001177040969 778404621 /nfs/dbraw/zinc/40/46/21/778404621.db2.gz FPGKKEWFFHNJLS-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCC(F)F)C[C@H]21 ZINC001177050174 778412626 /nfs/dbraw/zinc/41/26/26/778412626.db2.gz YGYDRHQSGWTNNX-OLZOCXBDSA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCC(F)F)C[C@H]21 ZINC001177050174 778412631 /nfs/dbraw/zinc/41/26/31/778412631.db2.gz YGYDRHQSGWTNNX-OLZOCXBDSA-N 1 2 302.365 1.910 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCC3)C[C@H]21 ZINC001177060163 778419983 /nfs/dbraw/zinc/41/99/83/778419983.db2.gz BDWBRBBUVIKORA-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCC3)C[C@H]21 ZINC001177060163 778419987 /nfs/dbraw/zinc/41/99/87/778419987.db2.gz BDWBRBBUVIKORA-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCC3)C[C@H]21 ZINC001177060161 778420205 /nfs/dbraw/zinc/42/02/05/778420205.db2.gz BDWBRBBUVIKORA-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCC3)C[C@H]21 ZINC001177060161 778420209 /nfs/dbraw/zinc/42/02/09/778420209.db2.gz BDWBRBBUVIKORA-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO CC(C)C[C@H](NC(=O)[C@@H]1CCC[N@@H+]1Cc1ccco1)C(=O)NO ZINC001177629769 778678668 /nfs/dbraw/zinc/67/86/68/778678668.db2.gz SVYSANWLYMLDNG-KBPBESRZSA-N 1 2 323.393 1.280 20 30 DDEDLO CC(C)C[C@H](NC(=O)[C@@H]1CCC[N@H+]1Cc1ccco1)C(=O)NO ZINC001177629769 778678672 /nfs/dbraw/zinc/67/86/72/778678672.db2.gz SVYSANWLYMLDNG-KBPBESRZSA-N 1 2 323.393 1.280 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([NH2+]Cc2nc(N(C)C)no2)[C@@H]1C ZINC001178802574 779177180 /nfs/dbraw/zinc/17/71/80/779177180.db2.gz NWYVFJVWKILZOC-STQMWFEESA-N 1 2 321.425 1.571 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ncnn1CC)C2 ZINC001111648582 779416333 /nfs/dbraw/zinc/41/63/33/779416333.db2.gz FTSIIDDJBSONKB-YOEHRIQHSA-N 1 2 317.437 1.735 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ncnn1CC)C2 ZINC001111648582 779416335 /nfs/dbraw/zinc/41/63/35/779416335.db2.gz FTSIIDDJBSONKB-YOEHRIQHSA-N 1 2 317.437 1.735 20 30 DDEDLO Cc1noc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)n1 ZINC001111660499 779423393 /nfs/dbraw/zinc/42/33/93/779423393.db2.gz KYOVIPQWLLLNLB-GMXABZIVSA-N 1 2 303.366 1.008 20 30 DDEDLO Cc1noc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)n1 ZINC001111660499 779423398 /nfs/dbraw/zinc/42/33/98/779423398.db2.gz KYOVIPQWLLLNLB-GMXABZIVSA-N 1 2 303.366 1.008 20 30 DDEDLO N#CCNC[C@]12CCC[C@H]1CN(C(=O)c1cc3c[nH+]ccc3[nH]1)C2 ZINC001112427638 779774738 /nfs/dbraw/zinc/77/47/38/779774738.db2.gz LVLZSVMUACGMKS-KSSFIOAISA-N 1 2 323.400 1.918 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCC2(NCC#N)CCCCC2)c[nH+]1 ZINC001115591714 780253461 /nfs/dbraw/zinc/25/34/61/780253461.db2.gz AZOYHXAJKLUGDD-UHFFFAOYSA-N 1 2 317.437 1.859 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001115674864 780331805 /nfs/dbraw/zinc/33/18/05/780331805.db2.gz SQJDYNMHQRBWEF-LBPRGKRZSA-N 1 2 314.393 1.426 20 30 DDEDLO N#CCNC1(CNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)CCCCC1 ZINC001115725479 780378666 /nfs/dbraw/zinc/37/86/66/780378666.db2.gz YCPRKRCWPRVPRG-ZDUSSCGKSA-N 1 2 315.421 1.762 20 30 DDEDLO C[C@@H](CN(C)C(=O)Cc1[nH]cc[nH+]1)Nc1ncc(C#N)cc1F ZINC001119978342 781705154 /nfs/dbraw/zinc/70/51/54/781705154.db2.gz GHPZFWUPKIJMGP-JTQLQIEISA-N 1 2 316.340 1.317 20 30 DDEDLO CC(C)Cc1nc(C[NH2+][C@@H](C)CCNC(=O)C#CC2CC2)no1 ZINC001267126443 837391890 /nfs/dbraw/zinc/39/18/90/837391890.db2.gz NOZSCSLFOVTNLR-ZDUSSCGKSA-N 1 2 318.421 1.666 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](C)CC(=O)N1CCC[C@@H](C)C1 ZINC001267212813 837543335 /nfs/dbraw/zinc/54/33/35/837543335.db2.gz UKSPDLGGAMMBHK-CQSZACIVSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](C)CC(=O)N1CCC[C@@H](C)C1 ZINC001267212813 837543346 /nfs/dbraw/zinc/54/33/46/837543346.db2.gz UKSPDLGGAMMBHK-CQSZACIVSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@H]1C[C@H]1C1CCCC1 ZINC001267225212 837561668 /nfs/dbraw/zinc/56/16/68/837561668.db2.gz RJRSTANDVUWTLM-GJZGRUSLSA-N 1 2 307.438 1.163 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H]1C[C@H]1C1CCCC1 ZINC001267225212 837561672 /nfs/dbraw/zinc/56/16/72/837561672.db2.gz RJRSTANDVUWTLM-GJZGRUSLSA-N 1 2 307.438 1.163 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1cc(C)c(F)c(C)c1 ZINC001266359678 836169508 /nfs/dbraw/zinc/16/95/08/836169508.db2.gz AKDADDMBSQGVTP-UHFFFAOYSA-N 1 2 321.396 1.406 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1cc(C)c(F)c(C)c1 ZINC001266359678 836169512 /nfs/dbraw/zinc/16/95/12/836169512.db2.gz AKDADDMBSQGVTP-UHFFFAOYSA-N 1 2 321.396 1.406 20 30 DDEDLO CN(CC#N)C[C@H]1CCCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001266459614 836290415 /nfs/dbraw/zinc/29/04/15/836290415.db2.gz RIYZFSXUAMNYMC-CABCVRRESA-N 1 2 315.421 1.139 20 30 DDEDLO CC[C@@H](CC(C)C)C(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266488676 836337800 /nfs/dbraw/zinc/33/78/00/836337800.db2.gz NJTUPIYQPDLWMO-LSDHHAIUSA-N 1 2 322.453 1.279 20 30 DDEDLO CC[C@@H](CC(C)C)C(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266488676 836337810 /nfs/dbraw/zinc/33/78/10/836337810.db2.gz NJTUPIYQPDLWMO-LSDHHAIUSA-N 1 2 322.453 1.279 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](CCC)Cc1ccon1 ZINC001266572962 836484325 /nfs/dbraw/zinc/48/43/25/836484325.db2.gz CGLNGAYJHRXSOW-CABCVRRESA-N 1 2 307.394 1.594 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](CCC)Cc1ccon1 ZINC001266572962 836484333 /nfs/dbraw/zinc/48/43/33/836484333.db2.gz CGLNGAYJHRXSOW-CABCVRRESA-N 1 2 307.394 1.594 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]([N@@H+](C)Cc2cn(C)nn2)C1 ZINC001267111815 837369923 /nfs/dbraw/zinc/36/99/23/837369923.db2.gz SXPYXNWQWGFWOQ-OAHLLOKOSA-N 1 2 305.426 1.594 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]([N@H+](C)Cc2cn(C)nn2)C1 ZINC001267111815 837369927 /nfs/dbraw/zinc/36/99/27/837369927.db2.gz SXPYXNWQWGFWOQ-OAHLLOKOSA-N 1 2 305.426 1.594 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1cscc1C ZINC001271989100 844272679 /nfs/dbraw/zinc/27/26/79/844272679.db2.gz FKPMXXLUAYUUCY-UHFFFAOYSA-N 1 2 309.435 1.363 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1cscc1C ZINC001271989100 844272696 /nfs/dbraw/zinc/27/26/96/844272696.db2.gz FKPMXXLUAYUUCY-UHFFFAOYSA-N 1 2 309.435 1.363 20 30 DDEDLO CCCCOCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#CCOC ZINC001267528876 838254364 /nfs/dbraw/zinc/25/43/64/838254364.db2.gz RKRHATUIUHSGTQ-IYBDPMFKSA-N 1 2 308.422 1.128 20 30 DDEDLO CCCCOCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#CCOC ZINC001267528876 838254368 /nfs/dbraw/zinc/25/43/68/838254368.db2.gz RKRHATUIUHSGTQ-IYBDPMFKSA-N 1 2 308.422 1.128 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)C1CC(C(C)(C)C)C1 ZINC001267578059 838348863 /nfs/dbraw/zinc/34/88/63/838348863.db2.gz VXRXMZYTKJDXPL-UHFFFAOYSA-N 1 2 320.481 1.658 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](C[N@@H+](C)Cc2ncnn2CC)C1 ZINC001267579453 838352942 /nfs/dbraw/zinc/35/29/42/838352942.db2.gz ZBAZJUWVVSPLPM-AWEZNQCLSA-N 1 2 305.426 1.545 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](C[N@H+](C)Cc2ncnn2CC)C1 ZINC001267579453 838352947 /nfs/dbraw/zinc/35/29/47/838352947.db2.gz ZBAZJUWVVSPLPM-AWEZNQCLSA-N 1 2 305.426 1.545 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+]([C@@H]3CCNC3=O)C2)CCC1 ZINC001267629765 838531731 /nfs/dbraw/zinc/53/17/31/838531731.db2.gz JGOJULJCWDVBOB-UONOGXRCSA-N 1 2 305.422 1.202 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+]([C@@H]3CCNC3=O)C2)CCC1 ZINC001267629765 838531738 /nfs/dbraw/zinc/53/17/38/838531738.db2.gz JGOJULJCWDVBOB-UONOGXRCSA-N 1 2 305.422 1.202 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001267632427 838537555 /nfs/dbraw/zinc/53/75/55/838537555.db2.gz PDZLJDZQTYKSKZ-HRCADAONSA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001267632427 838537559 /nfs/dbraw/zinc/53/75/59/838537559.db2.gz PDZLJDZQTYKSKZ-HRCADAONSA-N 1 2 319.449 1.305 20 30 DDEDLO CCC[C@H](CC)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001267633028 838540173 /nfs/dbraw/zinc/54/01/73/838540173.db2.gz YSTGQOAJPPQSFQ-KBPBESRZSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC[C@H](CC)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001267633028 838540175 /nfs/dbraw/zinc/54/01/75/838540175.db2.gz YSTGQOAJPPQSFQ-KBPBESRZSA-N 1 2 308.426 1.033 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C2(c3cccnc3)CC2)C1 ZINC001267655971 838593099 /nfs/dbraw/zinc/59/30/99/838593099.db2.gz ACJKQZSXTHAYCY-UHFFFAOYSA-N 1 2 301.390 1.116 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2ccoc2C2CC2)C1 ZINC001267689424 838645512 /nfs/dbraw/zinc/64/55/12/838645512.db2.gz KOONHTDKLLOYHF-UHFFFAOYSA-N 1 2 317.389 1.121 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1CC1 ZINC001267700269 838676348 /nfs/dbraw/zinc/67/63/48/838676348.db2.gz LXPWKHDLTRVRGE-HOTGVXAUSA-N 1 2 317.433 1.272 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1CC1 ZINC001267700269 838676350 /nfs/dbraw/zinc/67/63/50/838676350.db2.gz LXPWKHDLTRVRGE-HOTGVXAUSA-N 1 2 317.433 1.272 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@@H](C)CCC)C1 ZINC001267746983 838832003 /nfs/dbraw/zinc/83/20/03/838832003.db2.gz DWHVTSMWHHRXBU-UONOGXRCSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@@H](C)CCC)C1 ZINC001267746983 838832016 /nfs/dbraw/zinc/83/20/16/838832016.db2.gz DWHVTSMWHHRXBU-UONOGXRCSA-N 1 2 309.454 1.694 20 30 DDEDLO CCN(C(=O)C#CC(C)C)[C@@H]1CC[N@H+](Cc2ccn(CC)n2)C1 ZINC001267782629 838968585 /nfs/dbraw/zinc/96/85/85/838968585.db2.gz AINCMNXWEKBGOX-QGZVFWFLSA-N 1 2 316.449 1.985 20 30 DDEDLO CCN(C(=O)C#CC(C)C)[C@@H]1CC[N@@H+](Cc2ccn(CC)n2)C1 ZINC001267782629 838968591 /nfs/dbraw/zinc/96/85/91/838968591.db2.gz AINCMNXWEKBGOX-QGZVFWFLSA-N 1 2 316.449 1.985 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C2(CCOCC)CCC2)C1 ZINC001268021449 839394604 /nfs/dbraw/zinc/39/46/04/839394604.db2.gz GPODYIVKLFMREX-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C2(CCOCC)CCC2)C1 ZINC001268021449 839394616 /nfs/dbraw/zinc/39/46/16/839394616.db2.gz GPODYIVKLFMREX-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO CCc1nc(C[NH2+]CC[C@@H]2CCCCN2C(=O)[C@H](C)C#N)no1 ZINC001268149878 839755911 /nfs/dbraw/zinc/75/59/11/839755911.db2.gz KFPUZMYRWFRUBM-OLZOCXBDSA-N 1 2 319.409 1.652 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2cccnc2OC)C1 ZINC001268170453 839790549 /nfs/dbraw/zinc/79/05/49/839790549.db2.gz WVSDKPAVDVVRCN-HNNXBMFYSA-N 1 2 319.405 1.373 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@H+](Cc2cccnc2OC)C1 ZINC001268170453 839790558 /nfs/dbraw/zinc/79/05/58/839790558.db2.gz WVSDKPAVDVVRCN-HNNXBMFYSA-N 1 2 319.405 1.373 20 30 DDEDLO CCC[C@H]1CCC[C@@H]1C(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001272055671 844474322 /nfs/dbraw/zinc/47/43/22/844474322.db2.gz UXAFHSJCPOBTMO-GJZGRUSLSA-N 1 2 322.453 1.233 20 30 DDEDLO CCC[C@H]1CCC[C@@H]1C(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001272055671 844474326 /nfs/dbraw/zinc/47/43/26/844474326.db2.gz UXAFHSJCPOBTMO-GJZGRUSLSA-N 1 2 322.453 1.233 20 30 DDEDLO CCN(CC[NH2+]Cc1nc(C2CCC2)no1)C(=O)C#CC1CC1 ZINC001268449998 840210571 /nfs/dbraw/zinc/21/05/71/840210571.db2.gz MMKVFMNEZLTGFZ-UHFFFAOYSA-N 1 2 316.405 1.689 20 30 DDEDLO C#Cc1cncc(C(=O)N(CC)CC[NH2+]Cc2cnsn2)c1 ZINC001268478444 840269984 /nfs/dbraw/zinc/26/99/84/840269984.db2.gz PLBQFXSNBWZCLM-UHFFFAOYSA-N 1 2 315.402 1.166 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC/C=C/C[NH2+]Cc1nccc(C)n1 ZINC001268591713 840503344 /nfs/dbraw/zinc/50/33/44/840503344.db2.gz BIGMQNMQAUMZSL-YJQVQVLHSA-N 1 2 316.405 1.138 20 30 DDEDLO CCC#CC(=O)N1CC[C@H]2C[C@]21C(=O)Nc1cccc2[nH+]ccn21 ZINC001268873576 840932591 /nfs/dbraw/zinc/93/25/91/840932591.db2.gz XOZJCTPEGFUJFM-SCLBCKFNSA-N 1 2 322.368 1.677 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001269202865 841337358 /nfs/dbraw/zinc/33/73/58/841337358.db2.gz DZOYKHGRMAFKLC-CQSZACIVSA-N 1 2 307.394 1.575 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001269202865 841337372 /nfs/dbraw/zinc/33/73/72/841337372.db2.gz DZOYKHGRMAFKLC-CQSZACIVSA-N 1 2 307.394 1.575 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001269274145 841453098 /nfs/dbraw/zinc/45/30/98/841453098.db2.gz HIXRYTYPYXNAGF-PBHICJAKSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001269274145 841453105 /nfs/dbraw/zinc/45/31/05/841453105.db2.gz HIXRYTYPYXNAGF-PBHICJAKSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@H+]1[C@H]1CCN(C(C)(C)C)C1=O ZINC001269287818 841468931 /nfs/dbraw/zinc/46/89/31/841468931.db2.gz XSOCQRBJZIYMNM-CABCVRRESA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@H]1CCN(C(C)(C)C)C1=O ZINC001269287818 841468939 /nfs/dbraw/zinc/46/89/39/841468939.db2.gz XSOCQRBJZIYMNM-CABCVRRESA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](N(CC)C(=O)c2occc2C)C1 ZINC001269307077 841499293 /nfs/dbraw/zinc/49/92/93/841499293.db2.gz NFWWVDYUISVSKU-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](N(CC)C(=O)c2occc2C)C1 ZINC001269307077 841499299 /nfs/dbraw/zinc/49/92/99/841499299.db2.gz NFWWVDYUISVSKU-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)COCCOC)C1 ZINC001269312888 841502511 /nfs/dbraw/zinc/50/25/11/841502511.db2.gz HMLWBOURESEVNE-CYBMUJFWSA-N 1 2 304.818 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)COCCOC)C1 ZINC001269312888 841502513 /nfs/dbraw/zinc/50/25/13/841502513.db2.gz HMLWBOURESEVNE-CYBMUJFWSA-N 1 2 304.818 1.325 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C2(c3ccccn3)CC2)C1 ZINC001270648725 842772665 /nfs/dbraw/zinc/77/26/65/842772665.db2.gz CSBRXIQYGHJJLL-CQSZACIVSA-N 1 2 301.390 1.116 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C2(c3ccccn3)CC2)C1 ZINC001270648725 842772673 /nfs/dbraw/zinc/77/26/73/842772673.db2.gz CSBRXIQYGHJJLL-CQSZACIVSA-N 1 2 301.390 1.116 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2cc3cccnc3[nH]2)CC1 ZINC001143224430 861421805 /nfs/dbraw/zinc/42/18/05/861421805.db2.gz RSLGSCKYKXBPAZ-UHFFFAOYSA-N 1 2 319.372 1.547 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](CC)OCC)C1 ZINC001149309928 861536120 /nfs/dbraw/zinc/53/61/20/861536120.db2.gz KLQPROGULPMYBI-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H](CC)OCC)C1 ZINC001149309928 861536132 /nfs/dbraw/zinc/53/61/32/861536132.db2.gz KLQPROGULPMYBI-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+][C@H](C)c2nc(C)no2)CCOCC1 ZINC001149313527 861539579 /nfs/dbraw/zinc/53/95/79/861539579.db2.gz XQVHRFOXBKRJSI-GFCCVEGCSA-N 1 2 322.409 1.518 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@@H+](C)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001409500852 845191529 /nfs/dbraw/zinc/19/15/29/845191529.db2.gz HHTIVQHTYQEOCK-ZIAGYGMSSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@H+](C)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001409500852 845191534 /nfs/dbraw/zinc/19/15/34/845191534.db2.gz HHTIVQHTYQEOCK-ZIAGYGMSSA-N 1 2 316.405 1.530 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cnc2c(c1)nnn2C ZINC001409584099 845365544 /nfs/dbraw/zinc/36/55/44/845365544.db2.gz PEAYKKJLKSHYNY-JTQLQIEISA-N 1 2 322.800 1.166 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cnc2c(c1)nnn2C ZINC001409584099 845365549 /nfs/dbraw/zinc/36/55/49/845365549.db2.gz PEAYKKJLKSHYNY-JTQLQIEISA-N 1 2 322.800 1.166 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH+]cn1C ZINC001280527971 846038345 /nfs/dbraw/zinc/03/83/45/846038345.db2.gz YKLKFGKSOVQJCR-KGLIPLIRSA-N 1 2 320.437 1.528 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](Cc3cc(C)ccc3O)C[C@@]2(F)C1=O ZINC001272623211 846422204 /nfs/dbraw/zinc/42/22/04/846422204.db2.gz XURPWANEUFUNLN-DLBZAZTESA-N 1 2 320.339 1.408 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](Cc3cc(C)ccc3O)C[C@@]2(F)C1=O ZINC001272623211 846422207 /nfs/dbraw/zinc/42/22/07/846422207.db2.gz XURPWANEUFUNLN-DLBZAZTESA-N 1 2 320.339 1.408 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)CN(Cc3[nH]c[nH+]c3C)C[C@@]2(F)C1=O ZINC001272664907 846526026 /nfs/dbraw/zinc/52/60/26/846526026.db2.gz PBZBHFSPVOJKSN-LSDHHAIUSA-N 1 2 310.348 1.369 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(Cl)cc(C(=O)OC)c2)CC1 ZINC001236776989 846639522 /nfs/dbraw/zinc/63/95/22/846639522.db2.gz ZMHVRKVYJSWYAR-UHFFFAOYSA-N 1 2 306.793 1.877 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1[nH]cc(C)c1C)C2 ZINC001272706298 846679160 /nfs/dbraw/zinc/67/91/60/846679160.db2.gz RBTYEKFQEAITII-UHFFFAOYSA-N 1 2 303.406 1.621 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077678803 846940990 /nfs/dbraw/zinc/94/09/90/846940990.db2.gz XEVDULYFLGCWSW-SJCJKPOMSA-N 1 2 320.437 1.874 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC[C@H]2CCCO2)C1 ZINC001107757654 846960931 /nfs/dbraw/zinc/96/09/31/846960931.db2.gz RRTRJFPASRGOAG-WBVHZDCISA-N 1 2 308.422 1.176 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC[C@H]2CCCO2)C1 ZINC001107757654 846960935 /nfs/dbraw/zinc/96/09/35/846960935.db2.gz RRTRJFPASRGOAG-WBVHZDCISA-N 1 2 308.422 1.176 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)OCC(C)C)C1 ZINC001107783005 847057813 /nfs/dbraw/zinc/05/78/13/847057813.db2.gz CDWKFTQXXDAJOG-NVXWUHKLSA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)OCC(C)C)C1 ZINC001107783005 847057820 /nfs/dbraw/zinc/05/78/20/847057820.db2.gz CDWKFTQXXDAJOG-NVXWUHKLSA-N 1 2 310.438 1.278 20 30 DDEDLO Cc1ncccc1C[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107819130 847195027 /nfs/dbraw/zinc/19/50/27/847195027.db2.gz LLASWNAMQOKDGG-GUYCJALGSA-N 1 2 316.405 1.257 20 30 DDEDLO Cc1ncccc1C[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107819130 847195037 /nfs/dbraw/zinc/19/50/37/847195037.db2.gz LLASWNAMQOKDGG-GUYCJALGSA-N 1 2 316.405 1.257 20 30 DDEDLO C[C@H](CNc1cccc(F)c1C#N)NC(=O)Cc1c[nH+]cn1C ZINC001107851482 847343186 /nfs/dbraw/zinc/34/31/86/847343186.db2.gz NZPVCFLLQSGEIC-LLVKDONJSA-N 1 2 315.352 1.590 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1COCC[N@H+]1CC/C=C/CC ZINC001272851746 847550445 /nfs/dbraw/zinc/55/04/45/847550445.db2.gz UYEASALVLCMRGF-PDZIMXBZSA-N 1 2 310.438 1.487 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1COCC[N@@H+]1CC/C=C/CC ZINC001272851746 847550450 /nfs/dbraw/zinc/55/04/50/847550450.db2.gz UYEASALVLCMRGF-PDZIMXBZSA-N 1 2 310.438 1.487 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)Cc1sc(C)cc1C ZINC001272901610 847611494 /nfs/dbraw/zinc/61/14/94/847611494.db2.gz KUOBZLLBSUIRMS-OAHLLOKOSA-N 1 2 320.458 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)Cc1sc(C)cc1C ZINC001272901610 847611501 /nfs/dbraw/zinc/61/15/01/847611501.db2.gz KUOBZLLBSUIRMS-OAHLLOKOSA-N 1 2 320.458 1.748 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](CCc1cccc(F)c1)C2 ZINC001273133737 847978433 /nfs/dbraw/zinc/97/84/33/847978433.db2.gz FPPPKMAGLMICRM-UHFFFAOYSA-N 1 2 304.365 1.467 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(CCC)CC3)C2)CC1 ZINC001327094488 861876244 /nfs/dbraw/zinc/87/62/44/861876244.db2.gz KIVJHRJZRQPHJS-MRXNPFEDSA-N 1 2 303.450 1.418 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCCC[N@H+](Cc2ccon2)C1 ZINC001034403467 848471585 /nfs/dbraw/zinc/47/15/85/848471585.db2.gz HAUQWCICRDFJAB-BMFZPTHFSA-N 1 2 319.405 1.736 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCCC[N@@H+](Cc2ccon2)C1 ZINC001034403467 848471590 /nfs/dbraw/zinc/47/15/90/848471590.db2.gz HAUQWCICRDFJAB-BMFZPTHFSA-N 1 2 319.405 1.736 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C[C@@H](C)SC)C2)CC1 ZINC001273374108 849732292 /nfs/dbraw/zinc/73/22/92/849732292.db2.gz HRORKKXOVZYQCV-CVEARBPZSA-N 1 2 323.506 1.370 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C\c1ccco1)CO2 ZINC001327372312 862113548 /nfs/dbraw/zinc/11/35/48/862113548.db2.gz LMUVGXMOVYEVRM-LMVHVUTASA-N 1 2 300.358 1.276 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)CCc1ccncc1)CO2 ZINC001327397372 862137746 /nfs/dbraw/zinc/13/77/46/862137746.db2.gz QIZLAIQFLMJWIC-INIZCTEOSA-N 1 2 315.417 1.550 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H](C)OCC2CC2)C1 ZINC001441148767 850849395 /nfs/dbraw/zinc/84/93/95/850849395.db2.gz DEACXTXOYRPLBN-VXGBXAGGSA-N 1 2 300.830 1.991 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](CCCc3cccnc3)C[C@@]2(F)C1=O ZINC001273491992 851023506 /nfs/dbraw/zinc/02/35/06/851023506.db2.gz BTGFCDXPNAQRSI-DLBZAZTESA-N 1 2 319.355 1.222 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](CCCc3cccnc3)C[C@@]2(F)C1=O ZINC001273491992 851023511 /nfs/dbraw/zinc/02/35/11/851023511.db2.gz BTGFCDXPNAQRSI-DLBZAZTESA-N 1 2 319.355 1.222 20 30 DDEDLO CC(C)COCC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H](C)C#N)O2 ZINC001273653558 851188452 /nfs/dbraw/zinc/18/84/52/851188452.db2.gz AZMHQTNTDOVOJH-LSDHHAIUSA-N 1 2 323.437 1.168 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)Cc1occc1C)O2 ZINC001273668835 851204645 /nfs/dbraw/zinc/20/46/45/851204645.db2.gz HSWRECQGKNFRQH-CQSZACIVSA-N 1 2 304.390 1.666 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3cc(C)c(C)cc3C)C2)OCC1=O ZINC001273722028 851273088 /nfs/dbraw/zinc/27/30/88/851273088.db2.gz ZUSVFARVWIZUEP-UHFFFAOYSA-N 1 2 312.413 1.658 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)Cc1ccco1)CO2 ZINC001273722159 851274151 /nfs/dbraw/zinc/27/41/51/851274151.db2.gz AUIOXMSWVAHPAY-GJZGRUSLSA-N 1 2 318.417 1.994 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)OCCC(C)C)CO2 ZINC001273729237 851281501 /nfs/dbraw/zinc/28/15/01/851281501.db2.gz MBMUCWRGGXHLIK-JKSUJKDBSA-N 1 2 324.465 1.973 20 30 DDEDLO C#CCN1CC2(C[NH+](C[C@@H](C)CC(F)(F)F)C2)OCC1=O ZINC001273747688 851296354 /nfs/dbraw/zinc/29/63/54/851296354.db2.gz RAUAFOLXYDPVTM-NSHDSACASA-N 1 2 304.312 1.121 20 30 DDEDLO Cn1cnnc1C[N@@H+]1CCCC[C@@]12CC(=O)N(CCCC#N)C2 ZINC001273825649 851392019 /nfs/dbraw/zinc/39/20/19/851392019.db2.gz IOUCJCZDQGFTED-INIZCTEOSA-N 1 2 316.409 1.076 20 30 DDEDLO Cn1cnnc1C[N@H+]1CCCC[C@@]12CC(=O)N(CCCC#N)C2 ZINC001273825649 851392022 /nfs/dbraw/zinc/39/20/22/851392022.db2.gz IOUCJCZDQGFTED-INIZCTEOSA-N 1 2 316.409 1.076 20 30 DDEDLO Cc1nc(C[NH2+]C/C=C/CNC(=O)CSCC#N)oc1C ZINC001273862310 851433368 /nfs/dbraw/zinc/43/33/68/851433368.db2.gz WJEZWWBBZOWWNW-ONEGZZNKSA-N 1 2 308.407 1.310 20 30 DDEDLO C#CCN1CC2(CCC1=O)CC[NH+](Cc1ncc(F)cn1)CC2 ZINC001273940971 851531165 /nfs/dbraw/zinc/53/11/65/851531165.db2.gz FYFAXIOXUAHZMQ-UHFFFAOYSA-N 1 2 316.380 1.454 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@H](N(C)C(=O)CCOC)C1 ZINC001391603442 902116731 /nfs/dbraw/zinc/11/67/31/902116731.db2.gz KWLISLGJXFPMMB-LLVKDONJSA-N 1 2 305.216 1.464 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@H](N(C)C(=O)CCOC)C1 ZINC001391603442 902116735 /nfs/dbraw/zinc/11/67/35/902116735.db2.gz KWLISLGJXFPMMB-LLVKDONJSA-N 1 2 305.216 1.464 20 30 DDEDLO N#Cc1cccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccc[nH]2)n1 ZINC001274397221 852229058 /nfs/dbraw/zinc/22/90/58/852229058.db2.gz IJRLWMRQJOHSTK-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1cccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccc[nH]2)n1 ZINC001274397221 852229070 /nfs/dbraw/zinc/22/90/70/852229070.db2.gz IJRLWMRQJOHSTK-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H](NC(=O)C#CC2CC2)C(C)(C)C1 ZINC001274434228 852268892 /nfs/dbraw/zinc/26/88/92/852268892.db2.gz BQHSRMHYXBCWAA-OAHLLOKOSA-N 1 2 316.405 1.508 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H](NC(=O)C#CC2CC2)C(C)(C)C1 ZINC001274434228 852268912 /nfs/dbraw/zinc/26/89/12/852268912.db2.gz BQHSRMHYXBCWAA-OAHLLOKOSA-N 1 2 316.405 1.508 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1ncsc1C)C2 ZINC001274508601 852342598 /nfs/dbraw/zinc/34/25/98/852342598.db2.gz ZOGSMLNRUHLHNH-INIZCTEOSA-N 1 2 321.446 1.688 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1ncsc1C)C2 ZINC001274508601 852342602 /nfs/dbraw/zinc/34/26/02/852342602.db2.gz ZOGSMLNRUHLHNH-INIZCTEOSA-N 1 2 321.446 1.688 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1cnsc1)C2 ZINC001274516871 852352569 /nfs/dbraw/zinc/35/25/69/852352569.db2.gz VNCCXHJXAIXASK-OAHLLOKOSA-N 1 2 307.419 1.380 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1cnsc1)C2 ZINC001274516871 852352579 /nfs/dbraw/zinc/35/25/79/852352579.db2.gz VNCCXHJXAIXASK-OAHLLOKOSA-N 1 2 307.419 1.380 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@@H](NC(=O)C#CC3CC3)C[C@H]2C)n1 ZINC001274624855 852456674 /nfs/dbraw/zinc/45/66/74/852456674.db2.gz SHBVCUJFXYFCFF-TZMCWYRMSA-N 1 2 316.405 1.515 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@@H](NC(=O)C#CC3CC3)C[C@H]2C)n1 ZINC001274624855 852456681 /nfs/dbraw/zinc/45/66/81/852456681.db2.gz SHBVCUJFXYFCFF-TZMCWYRMSA-N 1 2 316.405 1.515 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C)C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001296185914 852501349 /nfs/dbraw/zinc/50/13/49/852501349.db2.gz PVAHFNPLXLFQMC-LBPRGKRZSA-N 1 2 318.421 1.616 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1CCC2(C[C@@H]2C(=O)NC2COC2)CC1 ZINC001274856112 852644288 /nfs/dbraw/zinc/64/42/88/852644288.db2.gz XRGPOWAFQDGSPG-CQSZACIVSA-N 1 2 314.389 1.003 20 30 DDEDLO COc1cc(C[N@H+](C)C[C@H](C)NC(=O)C#CC(C)(C)C)on1 ZINC001275552939 853326345 /nfs/dbraw/zinc/32/63/45/853326345.db2.gz VSMGCHVGOPHHSI-LBPRGKRZSA-N 1 2 307.394 1.669 20 30 DDEDLO COc1cc(C[N@@H+](C)C[C@H](C)NC(=O)C#CC(C)(C)C)on1 ZINC001275552939 853326353 /nfs/dbraw/zinc/32/63/53/853326353.db2.gz VSMGCHVGOPHHSI-LBPRGKRZSA-N 1 2 307.394 1.669 20 30 DDEDLO COCCOCN1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cccc(C#N)n1 ZINC001275606131 853428709 /nfs/dbraw/zinc/42/87/09/853428709.db2.gz WQHSBBQKNSILNG-CALCHBBNSA-N 1 2 316.405 1.222 20 30 DDEDLO COCCOCN1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cccc(C#N)n1 ZINC001275606131 853428716 /nfs/dbraw/zinc/42/87/16/853428716.db2.gz WQHSBBQKNSILNG-CALCHBBNSA-N 1 2 316.405 1.222 20 30 DDEDLO CC[N@H+](Cc1ccc(C#N)s1)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001155929917 862568549 /nfs/dbraw/zinc/56/85/49/862568549.db2.gz HIZUNDCTDLIESO-SNVBAGLBSA-N 1 2 318.406 1.378 20 30 DDEDLO CC[N@@H+](Cc1ccc(C#N)s1)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001155929917 862568558 /nfs/dbraw/zinc/56/85/58/862568558.db2.gz HIZUNDCTDLIESO-SNVBAGLBSA-N 1 2 318.406 1.378 20 30 DDEDLO CC[N@H+](Cc1ccc(C#N)s1)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001155929917 862568565 /nfs/dbraw/zinc/56/85/65/862568565.db2.gz HIZUNDCTDLIESO-SNVBAGLBSA-N 1 2 318.406 1.378 20 30 DDEDLO CC[N@@H+](Cc1ccc(C#N)s1)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001155929917 862568574 /nfs/dbraw/zinc/56/85/74/862568574.db2.gz HIZUNDCTDLIESO-SNVBAGLBSA-N 1 2 318.406 1.378 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4csnn4)C3)C2)cc1C#N ZINC001276181031 854910951 /nfs/dbraw/zinc/91/09/51/854910951.db2.gz HLFAXDKYVIWPCE-UHFFFAOYSA-N 1 2 314.418 1.066 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)nc2)C[C@H]1C ZINC001206640679 862736769 /nfs/dbraw/zinc/73/67/69/862736769.db2.gz IYSZJIUPGAIUCM-DMDPSCGWSA-N 1 2 306.797 1.831 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)nc2)C[C@H]1C ZINC001206640679 862736778 /nfs/dbraw/zinc/73/67/78/862736778.db2.gz IYSZJIUPGAIUCM-DMDPSCGWSA-N 1 2 306.797 1.831 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)COCCCOC)C1 ZINC001210118831 875234438 /nfs/dbraw/zinc/23/44/38/875234438.db2.gz VLOQZZMQFJSTAZ-DGCLKSJQSA-N 1 2 304.818 1.229 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COCCCOC)C1 ZINC001210118831 875234448 /nfs/dbraw/zinc/23/44/48/875234448.db2.gz VLOQZZMQFJSTAZ-DGCLKSJQSA-N 1 2 304.818 1.229 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cn(CC)nn2)[C@@H](C)C1 ZINC001328267018 862842827 /nfs/dbraw/zinc/84/28/27/862842827.db2.gz MPOZGBXPIPDOFA-KBPBESRZSA-N 1 2 319.453 1.979 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cn(CC)nn2)[C@@H](C)C1 ZINC001328267018 862842834 /nfs/dbraw/zinc/84/28/34/862842834.db2.gz MPOZGBXPIPDOFA-KBPBESRZSA-N 1 2 319.453 1.979 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2nc(-c3ccncc3)ccc2C#N)C[NH2+]1 ZINC001156248977 862861668 /nfs/dbraw/zinc/86/16/68/862861668.db2.gz SBSSUAPYNSCTHC-ZFWWWQNUSA-N 1 2 323.356 1.331 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072602341 857500690 /nfs/dbraw/zinc/50/06/90/857500690.db2.gz HDQLEAQDGKWMLF-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001072629797 857531574 /nfs/dbraw/zinc/53/15/74/857531574.db2.gz MQMWFPWTWJTTTP-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072801661 857718863 /nfs/dbraw/zinc/71/88/63/857718863.db2.gz XBUWQNVXBKTKOR-UKRRQHHQSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N1CCC(C)(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001072987439 857972220 /nfs/dbraw/zinc/97/22/20/857972220.db2.gz ZDBMYEPQXVYBBG-UHFFFAOYSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cncc(C)c2)C1 ZINC001073563892 858443635 /nfs/dbraw/zinc/44/36/35/858443635.db2.gz MDDFZVGOPBKSBE-OAHLLOKOSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cncc(C)c2)C1 ZINC001073563892 858443630 /nfs/dbraw/zinc/44/36/30/858443630.db2.gz MDDFZVGOPBKSBE-OAHLLOKOSA-N 1 2 323.824 1.963 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cccc(OC(C)=O)c2)CC1 ZINC001138046429 860003510 /nfs/dbraw/zinc/00/35/10/860003510.db2.gz MCPUQGURBBQJQL-UHFFFAOYSA-N 1 2 316.357 1.891 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1CC1(CC)COC1 ZINC001202936488 860406212 /nfs/dbraw/zinc/40/62/12/860406212.db2.gz LPSZKBAXTZPUPE-HNNXBMFYSA-N 1 2 320.437 1.826 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1CC1(CC)COC1 ZINC001202936488 860406215 /nfs/dbraw/zinc/40/62/15/860406215.db2.gz LPSZKBAXTZPUPE-HNNXBMFYSA-N 1 2 320.437 1.826 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H]([NH2+]Cc2nnn(C)n2)C12CCC2 ZINC001202988165 860524967 /nfs/dbraw/zinc/52/49/67/860524967.db2.gz QYLICKBZWOTTOA-OLZOCXBDSA-N 1 2 318.425 1.083 20 30 DDEDLO N#CC1(NC(=O)[C@H]2CC23CC[NH+](Cc2ncc[nH]2)CC3)CCC1 ZINC001277004484 881561820 /nfs/dbraw/zinc/56/18/20/881561820.db2.gz WRLFKPXXLIDZGL-CYBMUJFWSA-N 1 2 313.405 1.574 20 30 DDEDLO CC(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccc(F)cc3C#N)C[C@H]21 ZINC001141164541 860800782 /nfs/dbraw/zinc/80/07/82/860800782.db2.gz BHEFCBWQAWBJKQ-ZSHCYNCHSA-N 1 2 301.365 1.900 20 30 DDEDLO CC(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccc(F)cc3C#N)C[C@H]21 ZINC001141164541 860800785 /nfs/dbraw/zinc/80/07/85/860800785.db2.gz BHEFCBWQAWBJKQ-ZSHCYNCHSA-N 1 2 301.365 1.900 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc3c(c2)OC(F)(F)O3)CC1 ZINC001152362732 863303212 /nfs/dbraw/zinc/30/32/12/863303212.db2.gz YVVBSWXZVOMTRV-UHFFFAOYSA-N 1 2 310.300 1.952 20 30 DDEDLO CC[N@H+](Cc1cn(CC2CC2)nn1)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152449969 863357066 /nfs/dbraw/zinc/35/70/66/863357066.db2.gz OCUFYCDBXYETTH-CHWSQXEVSA-N 1 2 318.425 1.174 20 30 DDEDLO CC[N@@H+](Cc1cn(CC2CC2)nn1)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152449969 863357073 /nfs/dbraw/zinc/35/70/73/863357073.db2.gz OCUFYCDBXYETTH-CHWSQXEVSA-N 1 2 318.425 1.174 20 30 DDEDLO C=C(C)C(=O)NCCCNc1cc(CC(=O)OCC)cc[nH+]1 ZINC001156825079 863369951 /nfs/dbraw/zinc/36/99/51/863369951.db2.gz HHOJMNQGZKQWCO-UHFFFAOYSA-N 1 2 305.378 1.682 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)CCCCC#N)C2 ZINC001329065589 863454236 /nfs/dbraw/zinc/45/42/36/863454236.db2.gz FETYXYVHIFSQBU-CYBMUJFWSA-N 1 2 304.394 1.241 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O ZINC001152867506 863578291 /nfs/dbraw/zinc/57/82/91/863578291.db2.gz ZLRDEPBBPNUKJG-CQSZACIVSA-N 1 2 316.467 1.051 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O ZINC001152867506 863578298 /nfs/dbraw/zinc/57/82/98/863578298.db2.gz ZLRDEPBBPNUKJG-CQSZACIVSA-N 1 2 316.467 1.051 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(CC)o1 ZINC001153012436 863650760 /nfs/dbraw/zinc/65/07/60/863650760.db2.gz KWUORSPVKUHGNW-DYVFJYSZSA-N 1 2 323.437 1.891 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(CC)o1 ZINC001153012436 863650765 /nfs/dbraw/zinc/65/07/65/863650765.db2.gz KWUORSPVKUHGNW-DYVFJYSZSA-N 1 2 323.437 1.891 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cc(C)on1 ZINC001157213528 863656856 /nfs/dbraw/zinc/65/68/56/863656856.db2.gz MIWQXIIOGYPNIA-TZMCWYRMSA-N 1 2 309.410 1.901 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cc(C)on1 ZINC001157213528 863656864 /nfs/dbraw/zinc/65/68/64/863656864.db2.gz MIWQXIIOGYPNIA-TZMCWYRMSA-N 1 2 309.410 1.901 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2Cc3c(cccc3OC)C2=O)C1 ZINC001329568157 863733129 /nfs/dbraw/zinc/73/31/29/863733129.db2.gz LWQCBTFSKPYSPD-ZDUSSCGKSA-N 1 2 302.374 1.885 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2Cc3c(cccc3OC)C2=O)C1 ZINC001329568157 863733134 /nfs/dbraw/zinc/73/31/34/863733134.db2.gz LWQCBTFSKPYSPD-ZDUSSCGKSA-N 1 2 302.374 1.885 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001153160608 863739269 /nfs/dbraw/zinc/73/92/69/863739269.db2.gz RPQHFDCUMULLSH-VXGBXAGGSA-N 1 2 324.425 1.725 20 30 DDEDLO CC[N@H+](Cc1nccc(C)n1)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153232816 863776468 /nfs/dbraw/zinc/77/64/68/863776468.db2.gz LRKGRZJPDYTQQF-CQSZACIVSA-N 1 2 300.406 1.525 20 30 DDEDLO CC[N@@H+](Cc1nccc(C)n1)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153232816 863776474 /nfs/dbraw/zinc/77/64/74/863776474.db2.gz LRKGRZJPDYTQQF-CQSZACIVSA-N 1 2 300.406 1.525 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)Cc2cc(C)cc(C)c2)C1 ZINC001329692310 863793735 /nfs/dbraw/zinc/79/37/35/863793735.db2.gz CRJAGZLHOZNGQK-UHFFFAOYSA-N 1 2 316.445 1.975 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@H]2CCc3cccnc32)C1 ZINC001329692557 863793749 /nfs/dbraw/zinc/79/37/49/863793749.db2.gz FONHGLDBMHKNJC-HNNXBMFYSA-N 1 2 315.417 1.241 20 30 DDEDLO C=CCNC(=O)c1cccnc1N[C@@H]1CCC[N@@H+](C2COC2)C1 ZINC001157586193 863954591 /nfs/dbraw/zinc/95/45/91/863954591.db2.gz VFQICYGEYJZDAI-CYBMUJFWSA-N 1 2 316.405 1.273 20 30 DDEDLO C=CCNC(=O)c1cccnc1N[C@@H]1CCC[N@H+](C2COC2)C1 ZINC001157586193 863954600 /nfs/dbraw/zinc/95/46/00/863954600.db2.gz VFQICYGEYJZDAI-CYBMUJFWSA-N 1 2 316.405 1.273 20 30 DDEDLO CC(C)C#CC(=O)NCCC[NH2+]Cc1nnsc1Cl ZINC001157632233 863995419 /nfs/dbraw/zinc/99/54/19/863995419.db2.gz DRLKHDPDTHMXBA-UHFFFAOYSA-N 1 2 300.815 1.447 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nncn3C)C[C@H]21 ZINC001330331368 864246524 /nfs/dbraw/zinc/24/65/24/864246524.db2.gz ZJFIZPJXOXETIF-VWPFQQQWSA-N 1 2 317.437 1.211 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nncn3C)C[C@H]21 ZINC001330331368 864246539 /nfs/dbraw/zinc/24/65/39/864246539.db2.gz ZJFIZPJXOXETIF-VWPFQQQWSA-N 1 2 317.437 1.211 20 30 DDEDLO Cc1ccc2nc(-n3[nH]c(=O)nc3C[NH3+])c(C#N)c(Cl)c2c1 ZINC001157940013 864285257 /nfs/dbraw/zinc/28/52/57/864285257.db2.gz FZTYXNFCZIRHRN-UHFFFAOYSA-N 1 2 314.736 1.813 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](Cc2ccc(S(N)(=O)=O)s2)C1 ZINC001330619432 864490648 /nfs/dbraw/zinc/49/06/48/864490648.db2.gz OGEHDRDEQUWXCE-JTQLQIEISA-N 1 2 302.421 1.172 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](Cc2ccc(S(N)(=O)=O)s2)C1 ZINC001330619432 864490652 /nfs/dbraw/zinc/49/06/52/864490652.db2.gz OGEHDRDEQUWXCE-JTQLQIEISA-N 1 2 302.421 1.172 20 30 DDEDLO CCCc1cc(C#N)c(N[C@H]2C[NH2+][C@H](C(=O)OC)C2)nc1Cl ZINC001158255534 864515600 /nfs/dbraw/zinc/51/56/00/864515600.db2.gz XBNZZTSNSKIKPV-NEPJUHHUSA-N 1 2 322.796 1.875 20 30 DDEDLO C=CCCCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1cnnn1C ZINC001158419356 864640237 /nfs/dbraw/zinc/64/02/37/864640237.db2.gz LXILZKNOJPBCAC-OAHLLOKOSA-N 1 2 319.453 1.984 20 30 DDEDLO C=CCCCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1cnnn1C ZINC001158419356 864640244 /nfs/dbraw/zinc/64/02/44/864640244.db2.gz LXILZKNOJPBCAC-OAHLLOKOSA-N 1 2 319.453 1.984 20 30 DDEDLO C=CCC(CC=C)C(=O)NCCC[NH2+]Cc1noc(CC)n1 ZINC001158747021 864877638 /nfs/dbraw/zinc/87/76/38/864877638.db2.gz RJMUURFLXCOJTG-UHFFFAOYSA-N 1 2 306.410 1.996 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H](C)[NH2+]Cc2cnsn2)cc1 ZINC001331521140 865132504 /nfs/dbraw/zinc/13/25/04/865132504.db2.gz YRFIAMVZQJUCLO-GFCCVEGCSA-N 1 2 314.414 1.770 20 30 DDEDLO NC(=O)[C@@H]1CCCN1c1cc(NCC#Cc2ccccc2)[nH+]cn1 ZINC001159375559 865261265 /nfs/dbraw/zinc/26/12/65/865261265.db2.gz AKBIYAZTNIZSDA-HNNXBMFYSA-N 1 2 321.384 1.394 20 30 DDEDLO NC(=O)[C@@H]1CCCN1c1cc(NCC#Cc2ccccc2)nc[nH+]1 ZINC001159375559 865261280 /nfs/dbraw/zinc/26/12/80/865261280.db2.gz AKBIYAZTNIZSDA-HNNXBMFYSA-N 1 2 321.384 1.394 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)CSCC#N)CCC[N@@H+]1Cc1cncn1C ZINC001332160188 865623091 /nfs/dbraw/zinc/62/30/91/865623091.db2.gz QNNFCHTUFXKNDP-TZMCWYRMSA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)CSCC#N)CCC[N@H+]1Cc1cncn1C ZINC001332160188 865623095 /nfs/dbraw/zinc/62/30/95/865623095.db2.gz QNNFCHTUFXKNDP-TZMCWYRMSA-N 1 2 321.450 1.146 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@H](NC(=O)C#CC(C)C)[C@H]2C)cn1 ZINC001332176164 865640613 /nfs/dbraw/zinc/64/06/13/865640613.db2.gz LIDYCFYLTYWDPY-WBVHZDCISA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@H](NC(=O)C#CC(C)C)[C@H]2C)cn1 ZINC001332176164 865640616 /nfs/dbraw/zinc/64/06/16/865640616.db2.gz LIDYCFYLTYWDPY-WBVHZDCISA-N 1 2 314.433 1.914 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCC[C@@H]1[NH+]1CCOCC1 ZINC001160724131 866071248 /nfs/dbraw/zinc/07/12/48/866071248.db2.gz OQIDFPOTJJYRTM-KBPBESRZSA-N 1 2 317.349 1.527 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@@H]1C[C@@H]1C1CCCC1 ZINC001320066293 866453779 /nfs/dbraw/zinc/45/37/79/866453779.db2.gz FRGAVZVFFCZCOU-HZPDHXFCSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@@H]1C[C@@H]1C1CCCC1 ZINC001320066293 866453782 /nfs/dbraw/zinc/45/37/82/866453782.db2.gz FRGAVZVFFCZCOU-HZPDHXFCSA-N 1 2 321.465 1.505 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+]1[C@H](C)C(=O)NCC(C)C ZINC001323233928 866457550 /nfs/dbraw/zinc/45/75/50/866457550.db2.gz XIPYBNJXMXMTLC-HUUCEWRRSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCC(C)C ZINC001323233928 866457551 /nfs/dbraw/zinc/45/75/51/866457551.db2.gz XIPYBNJXMXMTLC-HUUCEWRRSA-N 1 2 307.438 1.141 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(F)c(OC)c1 ZINC001323309235 866518855 /nfs/dbraw/zinc/51/88/55/866518855.db2.gz MWPHICLAGKXKLH-CQSZACIVSA-N 1 2 320.364 1.288 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(F)c(OC)c1 ZINC001323309235 866518871 /nfs/dbraw/zinc/51/88/71/866518871.db2.gz MWPHICLAGKXKLH-CQSZACIVSA-N 1 2 320.364 1.288 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]1CNC(=O)CN(C)CCc1ccccc1 ZINC001323312430 866522269 /nfs/dbraw/zinc/52/22/69/866522269.db2.gz ZDUYCSBQNFAFMK-GOSISDBHSA-N 1 2 315.461 1.928 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]1CNC(=O)CN(C)CCc1ccccc1 ZINC001323312430 866522279 /nfs/dbraw/zinc/52/22/79/866522279.db2.gz ZDUYCSBQNFAFMK-GOSISDBHSA-N 1 2 315.461 1.928 20 30 DDEDLO C=C(C)CN1CC[C@@H]1CNC(=O)C[N@H+](C)CCc1ccccc1 ZINC001323312430 866522287 /nfs/dbraw/zinc/52/22/87/866522287.db2.gz ZDUYCSBQNFAFMK-GOSISDBHSA-N 1 2 315.461 1.928 20 30 DDEDLO C=C(C)CN1CC[C@@H]1CNC(=O)C[N@@H+](C)CCc1ccccc1 ZINC001323312430 866522296 /nfs/dbraw/zinc/52/22/96/866522296.db2.gz ZDUYCSBQNFAFMK-GOSISDBHSA-N 1 2 315.461 1.928 20 30 DDEDLO N#CCc1cccc2c1CC[N@@H+](CN1C[C@H]3CCO[C@H]3C1=O)C2 ZINC001333301223 866610666 /nfs/dbraw/zinc/61/06/66/866610666.db2.gz WBDXDTWOIQHAMU-NVXWUHKLSA-N 1 2 311.385 1.316 20 30 DDEDLO N#CCc1cccc2c1CC[N@H+](CN1C[C@H]3CCO[C@H]3C1=O)C2 ZINC001333301223 866610676 /nfs/dbraw/zinc/61/06/76/866610676.db2.gz WBDXDTWOIQHAMU-NVXWUHKLSA-N 1 2 311.385 1.316 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCCC1=CCN(CC#N)CC1 ZINC001161434494 866662608 /nfs/dbraw/zinc/66/26/08/866662608.db2.gz RPEWCNCCDVGHAI-ZDUSSCGKSA-N 1 2 301.394 1.250 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCCC1=CCN(CC#N)CC1 ZINC001161434494 866662618 /nfs/dbraw/zinc/66/26/18/866662618.db2.gz RPEWCNCCDVGHAI-ZDUSSCGKSA-N 1 2 301.394 1.250 20 30 DDEDLO C=CCC1(C(=O)NCC2([NH2+]Cc3nnc(C)[nH]3)CC2)CCC1 ZINC001323538716 866672058 /nfs/dbraw/zinc/67/20/58/866672058.db2.gz ASIJQILZLXKBLJ-UHFFFAOYSA-N 1 2 303.410 1.598 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H](C)[C@H](C)NC(=O)Cn2cc[nH+]c2)CC1 ZINC001333934646 867167521 /nfs/dbraw/zinc/16/75/21/867167521.db2.gz NJRYENHVQCZGOG-KBPBESRZSA-N 1 2 318.421 1.639 20 30 DDEDLO CCS(=O)(=O)c1ccc(CNc2[nH+]cccc2CC#N)cn1 ZINC001162029839 867200892 /nfs/dbraw/zinc/20/08/92/867200892.db2.gz SJDWDNPWMJTDSF-UHFFFAOYSA-N 1 2 316.386 1.948 20 30 DDEDLO C#CCN(C(=O)[C@@H]1C[C@H]1C)C1CC[NH+](Cc2nonc2C)CC1 ZINC001324365041 867231363 /nfs/dbraw/zinc/23/13/63/867231363.db2.gz QFEAAYQDGQNLFL-IUODEOHRSA-N 1 2 316.405 1.460 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C[NH2+]Cc1nnc(C)o1)C1CCCC1 ZINC001324467798 867294498 /nfs/dbraw/zinc/29/44/98/867294498.db2.gz VCDIODJJXOBDDG-HNNXBMFYSA-N 1 2 318.421 1.946 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001324559749 867356386 /nfs/dbraw/zinc/35/63/86/867356386.db2.gz JGGPTISFGYAAEN-DLBZAZTESA-N 1 2 320.481 1.801 20 30 DDEDLO CCCC[C@@H](C)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001324561821 867357222 /nfs/dbraw/zinc/35/72/22/867357222.db2.gz XZVMXOAVUALTGP-HZPDHXFCSA-N 1 2 306.454 1.555 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)N(C)C(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001334188408 867367293 /nfs/dbraw/zinc/36/72/93/867367293.db2.gz DWWRUEPRALPRBG-CQSZACIVSA-N 1 2 320.437 1.856 20 30 DDEDLO COCCCn1nccc1C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC001334383177 867530531 /nfs/dbraw/zinc/53/05/31/867530531.db2.gz FYVQZAGOULKGOR-GJZGRUSLSA-N 1 2 305.426 1.338 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNc2nccc(Br)c2C#N)C1 ZINC001162689724 867740059 /nfs/dbraw/zinc/74/00/59/867740059.db2.gz NNTKBYBXVXYNFT-SECBINFHSA-N 1 2 311.183 1.458 20 30 DDEDLO C[N@H+]1CCO[C@H](CNc2nccc(Br)c2C#N)C1 ZINC001162689724 867740064 /nfs/dbraw/zinc/74/00/64/867740064.db2.gz NNTKBYBXVXYNFT-SECBINFHSA-N 1 2 311.183 1.458 20 30 DDEDLO N#Cc1c[nH]nc1NC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC001162743354 867794445 /nfs/dbraw/zinc/79/44/45/867794445.db2.gz GYXDYWKIJXUAPO-UHFFFAOYSA-N 1 2 306.329 1.707 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1(C[NH2+]Cc2noc(C3CC3)n2)CC1 ZINC001163842240 868751363 /nfs/dbraw/zinc/75/13/63/868751363.db2.gz WNVGWADHMIVUNS-LLVKDONJSA-N 1 2 317.393 1.483 20 30 DDEDLO CCc1cnc(C[NH+]2CCC(NC(=O)CSCC#N)CC2)o1 ZINC001226145734 882232465 /nfs/dbraw/zinc/23/24/65/882232465.db2.gz YXCVKYRUZXBYSF-UHFFFAOYSA-N 1 2 322.434 1.574 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CN(C)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001337263248 869406761 /nfs/dbraw/zinc/40/67/61/869406761.db2.gz ZJMKFVAESFPPPN-KGLIPLIRSA-N 1 2 318.421 1.375 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)C=C2CCCCC2)CC1 ZINC001316963934 870002300 /nfs/dbraw/zinc/00/23/00/870002300.db2.gz WZBRAEMWRHIKLA-UHFFFAOYSA-N 1 2 303.450 1.634 20 30 DDEDLO N#CCc1cc[nH+]c(N2C[C@@H]3C(=O)N(c4cccnc4)C[C@@H]3C2)c1 ZINC001166520404 870036694 /nfs/dbraw/zinc/03/66/94/870036694.db2.gz IKRWJKYFSUDEIE-HOCLYGCPSA-N 1 2 319.368 1.642 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H](F)CC)C1 ZINC001316984545 870067628 /nfs/dbraw/zinc/06/76/28/870067628.db2.gz LHAYAPOEDJEOAI-ZIAGYGMSSA-N 1 2 313.417 1.350 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H](F)CC)C1 ZINC001316984545 870067636 /nfs/dbraw/zinc/06/76/36/870067636.db2.gz LHAYAPOEDJEOAI-ZIAGYGMSSA-N 1 2 313.417 1.350 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001316992164 870085739 /nfs/dbraw/zinc/08/57/39/870085739.db2.gz OHYVOANNBXCZSF-GJZGRUSLSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001316992164 870085747 /nfs/dbraw/zinc/08/57/47/870085747.db2.gz OHYVOANNBXCZSF-GJZGRUSLSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@](C)(CC)C1 ZINC001338773536 870160763 /nfs/dbraw/zinc/16/07/63/870160763.db2.gz PYRCVYGITSPPPG-ZQIUZPCESA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@](C)(CC)C1 ZINC001338773536 870160769 /nfs/dbraw/zinc/16/07/69/870160769.db2.gz PYRCVYGITSPPPG-ZQIUZPCESA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)C[C@H](C)CC ZINC001338774087 870161277 /nfs/dbraw/zinc/16/12/77/870161277.db2.gz RJNNDWSPVFSKPE-MCIONIFRSA-N 1 2 307.442 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)C[C@H](C)CC ZINC001338774087 870161282 /nfs/dbraw/zinc/16/12/82/870161282.db2.gz RJNNDWSPVFSKPE-MCIONIFRSA-N 1 2 307.442 1.684 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001317062547 870187375 /nfs/dbraw/zinc/18/73/75/870187375.db2.gz GTBZNVNMDHFHJV-AWEZNQCLSA-N 1 2 318.421 1.906 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N(C[C@H](C)O)C1CC1 ZINC001338894710 870229125 /nfs/dbraw/zinc/22/91/25/870229125.db2.gz AJZBANNNAFIEQU-ZFWWWQNUSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N(C[C@H](C)O)C1CC1 ZINC001338894710 870229134 /nfs/dbraw/zinc/22/91/34/870229134.db2.gz AJZBANNNAFIEQU-ZFWWWQNUSA-N 1 2 319.453 1.971 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@@H]1C[NH2+]Cc1nnc(C)o1 ZINC001317184361 870431885 /nfs/dbraw/zinc/43/18/85/870431885.db2.gz JFBURPIMSRLHPN-CQSZACIVSA-N 1 2 304.394 1.652 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001317202141 870461488 /nfs/dbraw/zinc/46/14/88/870461488.db2.gz FFLBNAPPHDOWMI-HUUCEWRRSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001317202141 870461505 /nfs/dbraw/zinc/46/15/05/870461505.db2.gz FFLBNAPPHDOWMI-HUUCEWRRSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)[NH2+]Cc2nc(CCC)no2)C1 ZINC001317267667 870559158 /nfs/dbraw/zinc/55/91/58/870559158.db2.gz UJNXKWIQUSSNCV-LBPRGKRZSA-N 1 2 306.410 1.973 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@H+]1[C@H](C)c1ncc(C)o1 ZINC001317494879 870914736 /nfs/dbraw/zinc/91/47/36/870914736.db2.gz HACYMEYMFRTCAJ-KGLIPLIRSA-N 1 2 307.394 1.827 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@@H+]1[C@H](C)c1ncc(C)o1 ZINC001317494879 870914742 /nfs/dbraw/zinc/91/47/42/870914742.db2.gz HACYMEYMFRTCAJ-KGLIPLIRSA-N 1 2 307.394 1.827 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@H+]1[C@@H](C)c1ncc(C)o1 ZINC001317494880 870915128 /nfs/dbraw/zinc/91/51/28/870915128.db2.gz HACYMEYMFRTCAJ-UONOGXRCSA-N 1 2 307.394 1.827 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@@H+]1[C@@H](C)c1ncc(C)o1 ZINC001317494880 870915136 /nfs/dbraw/zinc/91/51/36/870915136.db2.gz HACYMEYMFRTCAJ-UONOGXRCSA-N 1 2 307.394 1.827 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CC(N3CCN(C)C(=O)C3)C2)cc1 ZINC001204303479 871048939 /nfs/dbraw/zinc/04/89/39/871048939.db2.gz JZZYZNGGYURBOU-UHFFFAOYSA-N 1 2 315.417 1.210 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC=C ZINC001340569686 871202157 /nfs/dbraw/zinc/20/21/57/871202157.db2.gz DDYRUHMMWJZRFV-RHSMWYFYSA-N 1 2 317.437 1.554 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC=C ZINC001340569686 871202175 /nfs/dbraw/zinc/20/21/75/871202175.db2.gz DDYRUHMMWJZRFV-RHSMWYFYSA-N 1 2 317.437 1.554 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1Nc1ccn(CC)n1 ZINC001340733715 871316332 /nfs/dbraw/zinc/31/63/32/871316332.db2.gz SFCHPCRXHRCWGG-UHFFFAOYSA-N 1 2 312.381 1.748 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cncs1 ZINC001317733962 871452283 /nfs/dbraw/zinc/45/22/83/871452283.db2.gz DNXPBLAZYPIXJN-OKILXGFUSA-N 1 2 303.431 1.978 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cncs1 ZINC001317733962 871452298 /nfs/dbraw/zinc/45/22/98/871452298.db2.gz DNXPBLAZYPIXJN-OKILXGFUSA-N 1 2 303.431 1.978 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+]([C@H](C)c2nc(C)no2)CC1 ZINC001226571183 882516136 /nfs/dbraw/zinc/51/61/36/882516136.db2.gz POTVOBSHRMSNJM-LLVKDONJSA-N 1 2 308.382 1.222 20 30 DDEDLO CC1(CC(=O)N[C@@H]2CCC[N@H+](CC(=O)NCC#N)C2)CCCC1 ZINC001317929971 871631948 /nfs/dbraw/zinc/63/19/48/871631948.db2.gz FHAXRGGTIMBPOQ-CQSZACIVSA-N 1 2 320.437 1.177 20 30 DDEDLO CC1(CC(=O)N[C@@H]2CCC[N@@H+](CC(=O)NCC#N)C2)CCCC1 ZINC001317929971 871631960 /nfs/dbraw/zinc/63/19/60/871631960.db2.gz FHAXRGGTIMBPOQ-CQSZACIVSA-N 1 2 320.437 1.177 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001226599539 882531710 /nfs/dbraw/zinc/53/17/10/882531710.db2.gz YFFYSYUUZSSKCS-ZDUSSCGKSA-N 1 2 304.394 1.321 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2scnc2C)C1 ZINC001317956589 871650894 /nfs/dbraw/zinc/65/08/94/871650894.db2.gz SXAMELVLYYCCCX-ZDUSSCGKSA-N 1 2 307.419 1.296 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2scnc2C)C1 ZINC001317956589 871650897 /nfs/dbraw/zinc/65/08/97/871650897.db2.gz SXAMELVLYYCCCX-ZDUSSCGKSA-N 1 2 307.419 1.296 20 30 DDEDLO C[C@H]1C[C@H]1C[N@@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001277142218 882545041 /nfs/dbraw/zinc/54/50/41/882545041.db2.gz IOVXTUILXDIFNE-RYUDHWBXSA-N 1 2 313.405 1.600 20 30 DDEDLO C[C@H]1C[C@H]1C[N@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001277142218 882545054 /nfs/dbraw/zinc/54/50/54/882545054.db2.gz IOVXTUILXDIFNE-RYUDHWBXSA-N 1 2 313.405 1.600 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N1CCO[C@H](C)C1 ZINC001341903116 871889172 /nfs/dbraw/zinc/88/91/72/871889172.db2.gz LDZBUBFRABNZNK-ZIAGYGMSSA-N 1 2 305.426 1.846 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N1CCO[C@H](C)C1 ZINC001341903116 871889194 /nfs/dbraw/zinc/88/91/94/871889194.db2.gz LDZBUBFRABNZNK-ZIAGYGMSSA-N 1 2 305.426 1.846 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001317061577 871917820 /nfs/dbraw/zinc/91/78/20/871917820.db2.gz FKJRSIBEFFTEMW-AWEZNQCLSA-N 1 2 318.421 1.906 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@@H]1CC[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001318445052 872054049 /nfs/dbraw/zinc/05/40/49/872054049.db2.gz IUYWHLKOBDELAW-OAHLLOKOSA-N 1 2 321.421 1.917 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001318445052 872054074 /nfs/dbraw/zinc/05/40/74/872054074.db2.gz IUYWHLKOBDELAW-OAHLLOKOSA-N 1 2 321.421 1.917 20 30 DDEDLO CC[C@@H](CNC(=O)CSCC#N)[NH2+][C@@H](C)c1noc(C)n1 ZINC001318502636 872119197 /nfs/dbraw/zinc/11/91/97/872119197.db2.gz MAPGTHOWQIMZCO-ONGXEEELSA-N 1 2 311.411 1.180 20 30 DDEDLO N#CCNCC1CCN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001206238366 872154138 /nfs/dbraw/zinc/15/41/38/872154138.db2.gz NWNFCDQXTSJCIH-CYBMUJFWSA-N 1 2 301.394 1.181 20 30 DDEDLO C=CCOCC(=O)NCCC1CC[NH+](Cc2nncs2)CC1 ZINC001319019975 872402509 /nfs/dbraw/zinc/40/25/09/872402509.db2.gz SATHYKJLSCRBQO-UHFFFAOYSA-N 1 2 324.450 1.459 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CC23CCCC3)C1 ZINC001316955873 872464209 /nfs/dbraw/zinc/46/42/09/872464209.db2.gz MPLMCYATRWMFSG-HUUCEWRRSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CC23CCCC3)C1 ZINC001316955873 872464216 /nfs/dbraw/zinc/46/42/16/872464216.db2.gz MPLMCYATRWMFSG-HUUCEWRRSA-N 1 2 319.449 1.450 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)Cc2nc(C)sc2C)C1 ZINC001319309639 872561625 /nfs/dbraw/zinc/56/16/25/872561625.db2.gz RKIRSGYWJJLQQK-AWEZNQCLSA-N 1 2 323.462 1.696 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)Cc2nc(C)sc2C)C1 ZINC001319309639 872561629 /nfs/dbraw/zinc/56/16/29/872561629.db2.gz RKIRSGYWJJLQQK-AWEZNQCLSA-N 1 2 323.462 1.696 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](O)C(C)C)C1 ZINC001206929310 872788122 /nfs/dbraw/zinc/78/81/22/872788122.db2.gz DMPPYMRGLRHDJV-YUSALJHKSA-N 1 2 319.243 1.348 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](O)C(C)C)C1 ZINC001206929310 872788135 /nfs/dbraw/zinc/78/81/35/872788135.db2.gz DMPPYMRGLRHDJV-YUSALJHKSA-N 1 2 319.243 1.348 20 30 DDEDLO CCOC[C@H]1C[N@H+](Cc2cc(C#N)n(C)c2)Cc2c1cnn2C ZINC001207104880 873022043 /nfs/dbraw/zinc/02/20/43/873022043.db2.gz ZSLJNLVCCGAJMK-CQSZACIVSA-N 1 2 313.405 1.766 20 30 DDEDLO CCOC[C@H]1C[N@@H+](Cc2cc(C#N)n(C)c2)Cc2c1cnn2C ZINC001207104880 873022062 /nfs/dbraw/zinc/02/20/62/873022062.db2.gz ZSLJNLVCCGAJMK-CQSZACIVSA-N 1 2 313.405 1.766 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)C(=O)NCc2c[nH+]c(C)cc2C)C1 ZINC001345397605 873388477 /nfs/dbraw/zinc/38/84/77/873388477.db2.gz MSZLIMALCIWSKX-HNNXBMFYSA-N 1 2 317.389 1.118 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1C(=O)C#CC(C)(C)C ZINC001345574572 873443416 /nfs/dbraw/zinc/44/34/16/873443416.db2.gz LKMKYPDQQAWILF-OLZOCXBDSA-N 1 2 316.405 1.107 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@H]2CCCN(C(=O)C#CC(C)C)C2)n1 ZINC001207992031 873720061 /nfs/dbraw/zinc/72/00/61/873720061.db2.gz CZLUCMIGAALKKO-JSGCOSHPSA-N 1 2 304.394 1.679 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCc2ccn(C)n2)C(C)(C)C1 ZINC001381852124 882799818 /nfs/dbraw/zinc/79/98/18/882799818.db2.gz WWQFNNNVYUTFAO-AWEZNQCLSA-N 1 2 324.856 1.932 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCc2ccn(C)n2)C(C)(C)C1 ZINC001381852124 882799829 /nfs/dbraw/zinc/79/98/29/882799829.db2.gz WWQFNNNVYUTFAO-AWEZNQCLSA-N 1 2 324.856 1.932 20 30 DDEDLO CCOc1nnc(C[NH2+][C@H](CC)CNC(=O)[C@H](C)C#N)s1 ZINC001378250834 874546595 /nfs/dbraw/zinc/54/65/95/874546595.db2.gz PEHMTLOALLZEDT-NXEZZACHSA-N 1 2 311.411 1.081 20 30 DDEDLO CC(C)(C)OC(=O)N1CCOC[C@@H]1C[N@@H+]1CC[C@@](C)(C#N)C1 ZINC001208985207 874582184 /nfs/dbraw/zinc/58/21/84/874582184.db2.gz QRGHOTMMXJRAHR-BBRMVZONSA-N 1 2 309.410 1.858 20 30 DDEDLO CC(C)(C)OC(=O)N1CCOC[C@@H]1C[N@H+]1CC[C@@](C)(C#N)C1 ZINC001208985207 874582201 /nfs/dbraw/zinc/58/22/01/874582201.db2.gz QRGHOTMMXJRAHR-BBRMVZONSA-N 1 2 309.410 1.858 20 30 DDEDLO CCOC(=O)c1cccc(O)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001227160795 882885452 /nfs/dbraw/zinc/88/54/52/882885452.db2.gz VCSZOUMZVRRPOC-SHFISYCGSA-N 1 2 319.357 1.560 20 30 DDEDLO CCOC(=O)c1cccc(O)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001227160795 882885466 /nfs/dbraw/zinc/88/54/66/882885466.db2.gz VCSZOUMZVRRPOC-SHFISYCGSA-N 1 2 319.357 1.560 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@H+](CC(=O)NCC#N)C[C@H]2C)CCCC1 ZINC001209937572 875173896 /nfs/dbraw/zinc/17/38/96/875173896.db2.gz WXADHQGKZTVALU-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@@H+](CC(=O)NCC#N)C[C@H]2C)CCCC1 ZINC001209937572 875173901 /nfs/dbraw/zinc/17/39/01/875173901.db2.gz WXADHQGKZTVALU-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO Cc1noc([C@H](C)[NH+]2CCC(NC(=O)C#CC(C)C)CC2)n1 ZINC001227221816 882916599 /nfs/dbraw/zinc/91/65/99/882916599.db2.gz ZSYGCKVFXJWKOD-LBPRGKRZSA-N 1 2 304.394 1.679 20 30 DDEDLO CC[C@@H](F)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001213275617 875871807 /nfs/dbraw/zinc/87/18/07/875871807.db2.gz MIRADXJIYNNLMH-BRWVUGGUSA-N 1 2 318.392 1.602 20 30 DDEDLO CC[C@@H](F)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001213275617 875871813 /nfs/dbraw/zinc/87/18/13/875871813.db2.gz MIRADXJIYNNLMH-BRWVUGGUSA-N 1 2 318.392 1.602 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nocc2C)CC1 ZINC001227342815 882976772 /nfs/dbraw/zinc/97/67/72/882976772.db2.gz YWCIOSMZKMFTMN-ZDUSSCGKSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CCO[C@H]1CCN(c2nnc([C@@H]3CCCC[N@@H+]3C)n2C)C1 ZINC001351118036 876066227 /nfs/dbraw/zinc/06/62/27/876066227.db2.gz LVWGJPHQHIFGTE-KBPBESRZSA-N 1 2 305.426 1.753 20 30 DDEDLO C=CCO[C@H]1CCN(c2nnc([C@@H]3CCCC[N@H+]3C)n2C)C1 ZINC001351118036 876066241 /nfs/dbraw/zinc/06/62/41/876066241.db2.gz LVWGJPHQHIFGTE-KBPBESRZSA-N 1 2 305.426 1.753 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001214286049 876259312 /nfs/dbraw/zinc/25/93/12/876259312.db2.gz RGKSUAVOZGPRRG-MBNYWOFBSA-N 1 2 322.409 1.296 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc([C@@H](C)OC)no2)C1 ZINC001214301941 876271239 /nfs/dbraw/zinc/27/12/39/876271239.db2.gz PNHNTDYCWAWTCB-JHJVBQTASA-N 1 2 322.409 1.680 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(OCC)s2)C1 ZINC001214307565 876277376 /nfs/dbraw/zinc/27/73/76/876277376.db2.gz JLNBYKNQSGMWPW-VXGBXAGGSA-N 1 2 324.450 1.840 20 30 DDEDLO CN(CCCNC(=O)CCc1c[nH]c[nH+]1)C(=O)C#CC(C)(C)C ZINC001351612257 876349433 /nfs/dbraw/zinc/34/94/33/876349433.db2.gz PEALXOILUROPGR-UHFFFAOYSA-N 1 2 318.421 1.357 20 30 DDEDLO CN(CCCNC(=O)CCc1c[nH+]c[nH]1)C(=O)C#CC(C)(C)C ZINC001351612257 876349440 /nfs/dbraw/zinc/34/94/40/876349440.db2.gz PEALXOILUROPGR-UHFFFAOYSA-N 1 2 318.421 1.357 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2CC23C[NH+](Cc2cncs2)C3)CCC1 ZINC001277217362 883066662 /nfs/dbraw/zinc/06/66/62/883066662.db2.gz PKZAKRNNSVIGGC-LBPRGKRZSA-N 1 2 302.403 1.527 20 30 DDEDLO N#Cc1ccn(-c2cc(F)ccc2C[NH+]2CCOCC2)c1N ZINC001215817731 876813239 /nfs/dbraw/zinc/81/32/39/876813239.db2.gz NEEDUJOFSSUXNB-UHFFFAOYSA-N 1 2 300.337 1.902 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001353505066 877383569 /nfs/dbraw/zinc/38/35/69/877383569.db2.gz QAYLJWRTQOVIKW-AWEZNQCLSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001353505066 877383591 /nfs/dbraw/zinc/38/35/91/877383591.db2.gz QAYLJWRTQOVIKW-AWEZNQCLSA-N 1 2 304.394 1.416 20 30 DDEDLO N#C[C@H]1C[NH2+]C[C@H]1Oc1[nH]c(=O)nc2cc(-c3ccccc3)[nH]c21 ZINC001218199975 877417867 /nfs/dbraw/zinc/41/78/67/877417867.db2.gz IPZSLFVLXCYSEC-SMDDNHRTSA-N 1 2 321.340 1.821 20 30 DDEDLO C=CCN1C[C@H]2OCCN(C(=O)CCCn3cc[nH+]c3C)[C@H]2C1 ZINC001218789507 877727395 /nfs/dbraw/zinc/72/73/95/877727395.db2.gz LBNZRCJJQWEKKS-JKSUJKDBSA-N 1 2 318.421 1.069 20 30 DDEDLO N#Cc1ccc(NC(=O)[C@@H]2COCC[NH2+]2)c(OC(F)(F)F)c1 ZINC001218839919 877760755 /nfs/dbraw/zinc/76/07/55/877760755.db2.gz IIVKDQSBQDVIDU-JTQLQIEISA-N 1 2 315.251 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)c1c[nH]c(=O)n1C)C(C)C ZINC001380009139 878547437 /nfs/dbraw/zinc/54/74/37/878547437.db2.gz KKKSYOKJOBHQGH-UHFFFAOYSA-N 1 2 314.817 1.661 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)c1c[nH]c(=O)n1C)C(C)C ZINC001380009139 878547446 /nfs/dbraw/zinc/54/74/46/878547446.db2.gz KKKSYOKJOBHQGH-UHFFFAOYSA-N 1 2 314.817 1.661 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCNC(=O)CCn1cc[nH+]c1 ZINC001355765371 878705877 /nfs/dbraw/zinc/70/58/77/878705877.db2.gz GVDBGDDJKCKBPB-ZIAGYGMSSA-N 1 2 306.410 1.306 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)C[C@@H]1C ZINC001355920773 878777562 /nfs/dbraw/zinc/77/75/62/878777562.db2.gz XRHDTAIUTYSOFF-RFGFWPKPSA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@H](C)C[C@@H]1C ZINC001355920773 878777578 /nfs/dbraw/zinc/77/75/78/878777578.db2.gz XRHDTAIUTYSOFF-RFGFWPKPSA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N(C)CC1CC1 ZINC001355968119 878802428 /nfs/dbraw/zinc/80/24/28/878802428.db2.gz GIUMNMUHZXZBMV-UHFFFAOYSA-N 1 2 319.453 1.515 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3conc3C)C[C@@H]2O)CC1 ZINC001220282301 878881020 /nfs/dbraw/zinc/88/10/20/878881020.db2.gz JPDUWXKMQJUYDC-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3conc3C)C[C@@H]2O)CC1 ZINC001220282301 878881036 /nfs/dbraw/zinc/88/10/36/878881036.db2.gz JPDUWXKMQJUYDC-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3cnc(C)o3)C[C@@H]2O)CC1 ZINC001220290191 878886703 /nfs/dbraw/zinc/88/67/03/878886703.db2.gz QRQHBLXWOHOLGA-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cnc(C)o3)C[C@@H]2O)CC1 ZINC001220290191 878886717 /nfs/dbraw/zinc/88/67/17/878886717.db2.gz QRQHBLXWOHOLGA-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@@H]1O ZINC001220434423 879009099 /nfs/dbraw/zinc/00/90/99/879009099.db2.gz JFQINWRYBQAKPV-CFVMTHIKSA-N 1 2 318.421 1.301 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@@H]1O ZINC001220434423 879009111 /nfs/dbraw/zinc/00/91/11/879009111.db2.gz JFQINWRYBQAKPV-CFVMTHIKSA-N 1 2 318.421 1.301 20 30 DDEDLO CC(C)N(CCCNC(=O)[C@H](C)C#N)C(=O)CCc1[nH]cc[nH+]1 ZINC001356676313 879188116 /nfs/dbraw/zinc/18/81/16/879188116.db2.gz KWAREUOROHAJCJ-CYBMUJFWSA-N 1 2 319.409 1.245 20 30 DDEDLO C#CCCCC(=O)N1CC(NC(=O)c2cccc3[nH+]ccn32)C1 ZINC001356777329 879335079 /nfs/dbraw/zinc/33/50/79/879335079.db2.gz WHPZIEFYIJLXJS-UHFFFAOYSA-N 1 2 310.357 1.078 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]2CN(C(=O)c3ccco3)[C@@H]2C1 ZINC001221232100 879596196 /nfs/dbraw/zinc/59/61/96/879596196.db2.gz GCLLMQLINAUMRE-BFHYXJOUSA-N 1 2 317.389 1.117 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]2CN(C(=O)c3ccco3)[C@@H]2C1 ZINC001221232100 879596201 /nfs/dbraw/zinc/59/62/01/879596201.db2.gz GCLLMQLINAUMRE-BFHYXJOUSA-N 1 2 317.389 1.117 20 30 DDEDLO C[C@H](CC(=O)NC[C@H](C)CNC(=O)C#CC1CC1)n1cc[nH+]c1 ZINC001357021812 879717235 /nfs/dbraw/zinc/71/72/35/879717235.db2.gz AEXHMDXLJKSZMS-ZIAGYGMSSA-N 1 2 316.405 1.116 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001357033515 879727755 /nfs/dbraw/zinc/72/77/55/879727755.db2.gz UHNGIPZYDKWTMM-CYBMUJFWSA-N 1 2 320.437 1.433 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](CNC(=O)Cn1cc[nH+]c1)C(C)C ZINC001357104128 879792793 /nfs/dbraw/zinc/79/27/93/879792793.db2.gz XIGFEYCAMQVMLW-PBHICJAKSA-N 1 2 320.437 1.742 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(C)CCN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001357252641 879877451 /nfs/dbraw/zinc/87/74/51/879877451.db2.gz ZWSAQUXVUNEFSU-UONOGXRCSA-N 1 2 320.437 1.717 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(C)CCN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001357252641 879877471 /nfs/dbraw/zinc/87/74/71/879877471.db2.gz ZWSAQUXVUNEFSU-UONOGXRCSA-N 1 2 320.437 1.717 20 30 DDEDLO CCc1nc(C(=O)N2C[C@H]3CC[N@H+](CC#CCOC)C[C@H]32)co1 ZINC001221492996 879880831 /nfs/dbraw/zinc/88/08/31/879880831.db2.gz RIVJKNRQKZEISS-UKRRQHHQSA-N 1 2 317.389 1.033 20 30 DDEDLO CCc1nc(C(=O)N2C[C@H]3CC[N@@H+](CC#CCOC)C[C@H]32)co1 ZINC001221492996 879880849 /nfs/dbraw/zinc/88/08/49/879880849.db2.gz RIVJKNRQKZEISS-UKRRQHHQSA-N 1 2 317.389 1.033 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](O)(CNC(=O)c2cc(C)sn2)C1 ZINC001380537710 879891868 /nfs/dbraw/zinc/89/18/68/879891868.db2.gz TYBRPIMUDHWDMY-ZDUSSCGKSA-N 1 2 315.826 1.371 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](O)(CNC(=O)c2cc(C)sn2)C1 ZINC001380537710 879891876 /nfs/dbraw/zinc/89/18/76/879891876.db2.gz TYBRPIMUDHWDMY-ZDUSSCGKSA-N 1 2 315.826 1.371 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C3CC(C)(C)C3)[C@@H]2C1 ZINC001221519655 879909871 /nfs/dbraw/zinc/90/98/71/879909871.db2.gz ANGVMYQEAZGXJC-UKRRQHHQSA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C3CC(C)(C)C3)[C@@H]2C1 ZINC001221519655 879909891 /nfs/dbraw/zinc/90/98/91/879909891.db2.gz ANGVMYQEAZGXJC-UKRRQHHQSA-N 1 2 319.449 1.258 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](CC)NC(C)=O)[C@@H]2C1 ZINC001221825538 880109469 /nfs/dbraw/zinc/10/94/69/880109469.db2.gz HTSNSYWWMGMVMQ-MGPQQGTHSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](CC)NC(C)=O)[C@@H]2C1 ZINC001221825538 880109473 /nfs/dbraw/zinc/10/94/73/880109473.db2.gz HTSNSYWWMGMVMQ-MGPQQGTHSA-N 1 2 313.829 1.186 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(Cl)no3)[C@@H]2C1 ZINC001221965117 880167725 /nfs/dbraw/zinc/16/77/25/880167725.db2.gz BZSOYGDLNNLMEQ-VXGBXAGGSA-N 1 2 323.780 1.124 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(Cl)no3)[C@@H]2C1 ZINC001221965117 880167732 /nfs/dbraw/zinc/16/77/32/880167732.db2.gz BZSOYGDLNNLMEQ-VXGBXAGGSA-N 1 2 323.780 1.124 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](Cc2nnc(C)n2CC)CC1 ZINC001222579627 880573611 /nfs/dbraw/zinc/57/36/11/880573611.db2.gz UVAFZNWNWKQAGF-UHFFFAOYSA-N 1 2 319.453 1.901 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nonc3C)[C@H]2C1 ZINC001222613716 880599733 /nfs/dbraw/zinc/59/97/33/880599733.db2.gz LBRQKZOLRHJPJN-ZBFHGGJFSA-N 1 2 316.405 1.604 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3nonc3C)[C@H]2C1 ZINC001222613716 880599747 /nfs/dbraw/zinc/59/97/47/880599747.db2.gz LBRQKZOLRHJPJN-ZBFHGGJFSA-N 1 2 316.405 1.604 20 30 DDEDLO CC(C)c1nnc(C[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)o1 ZINC001222636765 880613096 /nfs/dbraw/zinc/61/30/96/880613096.db2.gz POZNHLYHKJDQBZ-GFCCVEGCSA-N 1 2 319.409 1.681 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]1CNC(=O)Cc1c[nH+]cn1C ZINC001358623905 880633853 /nfs/dbraw/zinc/63/38/53/880633853.db2.gz NTRACMMGMWYCJM-CYBMUJFWSA-N 1 2 304.394 1.036 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CC1(C)C ZINC001276835336 880681915 /nfs/dbraw/zinc/68/19/15/880681915.db2.gz QTXVGHQAGJOUOO-GJZGRUSLSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CC1(C)C ZINC001276835336 880681921 /nfs/dbraw/zinc/68/19/21/880681921.db2.gz QTXVGHQAGJOUOO-GJZGRUSLSA-N 1 2 319.449 1.424 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCN(C)C(=O)[C@@H](C)OC ZINC001380944797 880711192 /nfs/dbraw/zinc/71/11/92/880711192.db2.gz JKNJGGDTQKGWKC-LLVKDONJSA-N 1 2 307.232 1.710 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCN(C)C(=O)[C@@H](C)OC ZINC001380944797 880711197 /nfs/dbraw/zinc/71/11/97/880711197.db2.gz JKNJGGDTQKGWKC-LLVKDONJSA-N 1 2 307.232 1.710 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001223027996 880790867 /nfs/dbraw/zinc/79/08/67/880790867.db2.gz XBVFSFREJXBUMH-INIZCTEOSA-N 1 2 322.409 1.033 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@](C)(OC)c1ccccc1F ZINC001276861825 880816937 /nfs/dbraw/zinc/81/69/37/880816937.db2.gz QLTKZHOKACOWNF-GUYCJALGSA-N 1 2 304.365 1.511 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@](C)(OC)c1ccccc1F ZINC001276861825 880816953 /nfs/dbraw/zinc/81/69/53/880816953.db2.gz QLTKZHOKACOWNF-GUYCJALGSA-N 1 2 304.365 1.511 20 30 DDEDLO CNC(=O)c1cccc(C[NH+]2CCC(C#N)(C(=O)OC)CC2)c1 ZINC001414006513 880860569 /nfs/dbraw/zinc/86/05/69/880860569.db2.gz QTNQHGVEZWXDCQ-UHFFFAOYSA-N 1 2 315.373 1.325 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](O/C(=N\O)c3cc(F)ccc3F)[C@H]1CC(=O)C2 ZINC001223888233 881135587 /nfs/dbraw/zinc/13/55/87/881135587.db2.gz DDXNAPCJPGGXOS-GKKVCPTDSA-N 1 2 310.300 1.921 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](O/C(=N\O)c3cc(F)ccc3F)[C@H]1CC(=O)C2 ZINC001223888233 881135607 /nfs/dbraw/zinc/13/56/07/881135607.db2.gz DDXNAPCJPGGXOS-GKKVCPTDSA-N 1 2 310.300 1.921 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CC3)n2Cc2ccoc2)CC1 ZINC001359034220 881163414 /nfs/dbraw/zinc/16/34/14/881163414.db2.gz INBGMQFIZSUACG-UHFFFAOYSA-N 1 2 311.389 1.552 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001382056216 883286109 /nfs/dbraw/zinc/28/61/09/883286109.db2.gz JQEJATUHDBWMKW-GHMZBOCLSA-N 1 2 307.398 1.463 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)c1ncc(C#N)cc1Cl ZINC001362233110 883365775 /nfs/dbraw/zinc/36/57/75/883365775.db2.gz IDBMHHCKOKGSCK-UHFFFAOYSA-N 1 2 303.753 1.965 20 30 DDEDLO COC(=O)c1cccc(C)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001228402982 883483534 /nfs/dbraw/zinc/48/35/34/883483534.db2.gz QFOMCGQGFDDQJI-UCHAVSLVSA-N 1 2 303.358 1.773 20 30 DDEDLO COC(=O)c1cccc(C)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001228402982 883483550 /nfs/dbraw/zinc/48/35/50/883483550.db2.gz QFOMCGQGFDDQJI-UCHAVSLVSA-N 1 2 303.358 1.773 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001228809058 883682031 /nfs/dbraw/zinc/68/20/31/883682031.db2.gz DGGNRHSGBZQCSW-ZDUSSCGKSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2ncc(CC)o2)CC1 ZINC001230411303 884478089 /nfs/dbraw/zinc/47/80/89/884478089.db2.gz DFDJQJMOKSCFFI-UHFFFAOYSA-N 1 2 321.421 1.910 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+]([C@H](C)c2nnc(C)[nH]2)CC1 ZINC001230414983 884483715 /nfs/dbraw/zinc/48/37/15/884483715.db2.gz HNRSFMLDLOZZIS-NEPJUHHUSA-N 1 2 321.425 1.346 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230816217 884916809 /nfs/dbraw/zinc/91/68/09/884916809.db2.gz YNEYYPJUGNCUII-ZDUSSCGKSA-N 1 2 319.434 1.075 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230816217 884916827 /nfs/dbraw/zinc/91/68/27/884916827.db2.gz YNEYYPJUGNCUII-ZDUSSCGKSA-N 1 2 319.434 1.075 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C/CC ZINC001231006464 885130820 /nfs/dbraw/zinc/13/08/20/885130820.db2.gz TVMGZTTWDOFSFS-WAYTWBJWSA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C/CC ZINC001231006464 885130829 /nfs/dbraw/zinc/13/08/29/885130829.db2.gz TVMGZTTWDOFSFS-WAYTWBJWSA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1C ZINC001231094622 885213280 /nfs/dbraw/zinc/21/32/80/885213280.db2.gz IAGUMHMGHSISMW-HOCLYGCPSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1C ZINC001231094622 885213296 /nfs/dbraw/zinc/21/32/96/885213296.db2.gz IAGUMHMGHSISMW-HOCLYGCPSA-N 1 2 321.421 1.997 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N(C)[C@@H](C)CNC(=O)[C@@H](C)C#N)c[nH+]1 ZINC001383098438 885244137 /nfs/dbraw/zinc/24/41/37/885244137.db2.gz ZHDCMLDZZVDSNR-AAEUAGOBSA-N 1 2 319.409 1.049 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCCC[C@H]1C ZINC001231113225 885245897 /nfs/dbraw/zinc/24/58/97/885245897.db2.gz HLLTWFRZZJVJBD-HZPDHXFCSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCCC[C@H]1C ZINC001231113225 885245914 /nfs/dbraw/zinc/24/59/14/885245914.db2.gz HLLTWFRZZJVJBD-HZPDHXFCSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@H](C)CCC ZINC001231179927 885333652 /nfs/dbraw/zinc/33/36/52/885333652.db2.gz XVIMHCCWXFTKBN-CABCVRRESA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@H](C)CCC ZINC001231179927 885333672 /nfs/dbraw/zinc/33/36/72/885333672.db2.gz XVIMHCCWXFTKBN-CABCVRRESA-N 1 2 309.454 1.790 20 30 DDEDLO CCNC(=O)OC1CC[NH+](Cc2cc(C#N)ccc2O)CC1 ZINC001232677285 886531196 /nfs/dbraw/zinc/53/11/96/886531196.db2.gz ARFQBQWJRSHTRB-UHFFFAOYSA-N 1 2 303.362 1.974 20 30 DDEDLO C=CCCC[N@@H+]1CCc2cc(C(=O)NCc3cnc[nH]3)[nH]c2C1 ZINC001277779319 886853395 /nfs/dbraw/zinc/85/33/95/886853395.db2.gz FEOPVTRWYLKKAH-UHFFFAOYSA-N 1 2 313.405 1.992 20 30 DDEDLO C=CCCC[N@H+]1CCc2cc(C(=O)NCc3cnc[nH]3)[nH]c2C1 ZINC001277779319 886853413 /nfs/dbraw/zinc/85/34/13/886853413.db2.gz FEOPVTRWYLKKAH-UHFFFAOYSA-N 1 2 313.405 1.992 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCOC[C@](O)(C(F)(F)F)C2)c(O)c1 ZINC001233383452 886964382 /nfs/dbraw/zinc/96/43/82/886964382.db2.gz INKLFXDKTRKMLP-ZDUSSCGKSA-N 1 2 316.279 1.389 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCOC[C@](O)(C(F)(F)F)C2)c(O)c1 ZINC001233383452 886964383 /nfs/dbraw/zinc/96/43/83/886964383.db2.gz INKLFXDKTRKMLP-ZDUSSCGKSA-N 1 2 316.279 1.389 20 30 DDEDLO C[N@@H+]1C2(CCC2)COC[C@]1(CO)CNc1cc(F)ccc1C#N ZINC001363744991 887124618 /nfs/dbraw/zinc/12/46/18/887124618.db2.gz TXYAUOMORNBEPB-QGZVFWFLSA-N 1 2 319.380 1.725 20 30 DDEDLO C[N@H+]1C2(CCC2)COC[C@]1(CO)CNc1cc(F)ccc1C#N ZINC001363744991 887124626 /nfs/dbraw/zinc/12/46/26/887124626.db2.gz TXYAUOMORNBEPB-QGZVFWFLSA-N 1 2 319.380 1.725 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1O ZINC001233838039 887373064 /nfs/dbraw/zinc/37/30/64/887373064.db2.gz RUMOEPPTZSFIOY-CYBMUJFWSA-N 1 2 305.378 1.136 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1O ZINC001233838039 887373076 /nfs/dbraw/zinc/37/30/76/887373076.db2.gz RUMOEPPTZSFIOY-CYBMUJFWSA-N 1 2 305.378 1.136 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCCCC ZINC001233907358 887447743 /nfs/dbraw/zinc/44/77/43/887447743.db2.gz QYLFMCPSVKJWFG-JKSUJKDBSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCCC ZINC001233907358 887447749 /nfs/dbraw/zinc/44/77/49/887447749.db2.gz QYLFMCPSVKJWFG-JKSUJKDBSA-N 1 2 321.465 1.627 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)sn1 ZINC001233937603 887476417 /nfs/dbraw/zinc/47/64/17/887476417.db2.gz OYZZBBNHPXTZDU-ZDUSSCGKSA-N 1 2 307.419 1.248 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)sn1 ZINC001233937603 887476424 /nfs/dbraw/zinc/47/64/24/887476424.db2.gz OYZZBBNHPXTZDU-ZDUSSCGKSA-N 1 2 307.419 1.248 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@@H](C)CC ZINC001233965398 887504752 /nfs/dbraw/zinc/50/47/52/887504752.db2.gz CEMHKBBQQMQJLU-LSDHHAIUSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@@H](C)CC ZINC001233965398 887504761 /nfs/dbraw/zinc/50/47/61/887504761.db2.gz CEMHKBBQQMQJLU-LSDHHAIUSA-N 1 2 307.438 1.237 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)nc1 ZINC001233976823 887513426 /nfs/dbraw/zinc/51/34/26/887513426.db2.gz IQWBIPIUDVOPPW-NVXWUHKLSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)nc1 ZINC001233976823 887513438 /nfs/dbraw/zinc/51/34/38/887513438.db2.gz IQWBIPIUDVOPPW-NVXWUHKLSA-N 1 2 318.421 1.145 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc[nH]c1CC ZINC001234030062 887567532 /nfs/dbraw/zinc/56/75/32/887567532.db2.gz NRNDGHHZJJGGPC-CYBMUJFWSA-N 1 2 318.421 1.026 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc[nH]c1CC ZINC001234030062 887567543 /nfs/dbraw/zinc/56/75/43/887567543.db2.gz NRNDGHHZJJGGPC-CYBMUJFWSA-N 1 2 318.421 1.026 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)c1cnccn1 ZINC001234247142 887792399 /nfs/dbraw/zinc/79/23/99/887792399.db2.gz XXKIRVNRUFPAEF-GJZGRUSLSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)c1cnccn1 ZINC001234247142 887792412 /nfs/dbraw/zinc/79/24/12/887792412.db2.gz XXKIRVNRUFPAEF-GJZGRUSLSA-N 1 2 316.405 1.110 20 30 DDEDLO CCc1nc(C#N)cc(NC2CC[NH+]([C@H]3CCOC3=O)CC2)n1 ZINC001364178744 888089084 /nfs/dbraw/zinc/08/90/84/888089084.db2.gz WWMHNAKNRZZPOH-ZDUSSCGKSA-N 1 2 315.377 1.102 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@H](C)C1CC1 ZINC001234626225 888152874 /nfs/dbraw/zinc/15/28/74/888152874.db2.gz RATRTYUXCLLDMB-ZBFHGGJFSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@H](C)C1CC1 ZINC001234626225 888152884 /nfs/dbraw/zinc/15/28/84/888152884.db2.gz RATRTYUXCLLDMB-ZBFHGGJFSA-N 1 2 321.465 1.790 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](CO)C2)cc1Br ZINC001236856243 889385806 /nfs/dbraw/zinc/38/58/06/889385806.db2.gz KEHDBPLCXAPXBD-WCQYABFASA-N 1 2 311.179 1.106 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](CO)C2)cc1Br ZINC001236856243 889385821 /nfs/dbraw/zinc/38/58/21/889385821.db2.gz KEHDBPLCXAPXBD-WCQYABFASA-N 1 2 311.179 1.106 20 30 DDEDLO COCCN(C)C(=O)[C@]12C[C@H]1CC[N@@H+]2Cc1ccccc1C#N ZINC001278239760 890242067 /nfs/dbraw/zinc/24/20/67/890242067.db2.gz XQNDSCRSSRMZCY-AEFFLSMTSA-N 1 2 313.401 1.627 20 30 DDEDLO COCCN(C)C(=O)[C@]12C[C@H]1CC[N@H+]2Cc1ccccc1C#N ZINC001278239760 890242082 /nfs/dbraw/zinc/24/20/82/890242082.db2.gz XQNDSCRSSRMZCY-AEFFLSMTSA-N 1 2 313.401 1.627 20 30 DDEDLO N#Cc1ccc(-c2ccc(OCC[NH+]3CCOCC3)nc2)cn1 ZINC001241437941 891171099 /nfs/dbraw/zinc/17/10/99/891171099.db2.gz MCKROLULCOKETP-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)CC2CCCC2)CCC[N@@H+]1CC(=O)NCC#N ZINC001278495936 893498162 /nfs/dbraw/zinc/49/81/62/893498162.db2.gz XBEKSPAFNWUSST-ZFWWWQNUSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)CC2CCCC2)CCC[N@H+]1CC(=O)NCC#N ZINC001278495936 893498169 /nfs/dbraw/zinc/49/81/69/893498169.db2.gz XBEKSPAFNWUSST-ZFWWWQNUSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1[C@H](NC(=O)CC2CCCC2)CCC[N@@H+]1CC(=O)NCC#N ZINC001278495933 893498808 /nfs/dbraw/zinc/49/88/08/893498808.db2.gz XBEKSPAFNWUSST-DZGCQCFKSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1[C@H](NC(=O)CC2CCCC2)CCC[N@H+]1CC(=O)NCC#N ZINC001278495933 893498817 /nfs/dbraw/zinc/49/88/17/893498817.db2.gz XBEKSPAFNWUSST-DZGCQCFKSA-N 1 2 320.437 1.176 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2ccc3n2CCC3)CC1 ZINC001248823682 893720766 /nfs/dbraw/zinc/72/07/66/893720766.db2.gz IERANKJLYREVSU-UHFFFAOYSA-N 1 2 308.389 1.418 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](C[C@H](O)c2cccc(OC)c2)CC1 ZINC001250718788 894382234 /nfs/dbraw/zinc/38/22/34/894382234.db2.gz WSVWGNJYTNMSEJ-INIZCTEOSA-N 1 2 320.389 1.669 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CCC)C(=O)Cc2nnc[nH]2)C1 ZINC001366816691 894995453 /nfs/dbraw/zinc/99/54/53/894995453.db2.gz IOWQAWUXHKQBNP-GFCCVEGCSA-N 1 2 311.817 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CCC)C(=O)Cc2nnc[nH]2)C1 ZINC001366816691 894995461 /nfs/dbraw/zinc/99/54/61/894995461.db2.gz IOWQAWUXHKQBNP-GFCCVEGCSA-N 1 2 311.817 1.413 20 30 DDEDLO C=CCOC[C@@H](O)C[N@H+](Cc1cnc[nH]1)Cc1ccccc1 ZINC001252474304 895192782 /nfs/dbraw/zinc/19/27/82/895192782.db2.gz YQWARXSNWSWQGK-KRWDZBQOSA-N 1 2 301.390 1.975 20 30 DDEDLO C=CCOC[C@@H](O)C[N@@H+](Cc1cnc[nH]1)Cc1ccccc1 ZINC001252474304 895192787 /nfs/dbraw/zinc/19/27/87/895192787.db2.gz YQWARXSNWSWQGK-KRWDZBQOSA-N 1 2 301.390 1.975 20 30 DDEDLO C=CCOC[C@@H](O)C[N@H+](Cc1c[nH]cn1)Cc1ccccc1 ZINC001252474304 895192792 /nfs/dbraw/zinc/19/27/92/895192792.db2.gz YQWARXSNWSWQGK-KRWDZBQOSA-N 1 2 301.390 1.975 20 30 DDEDLO C=CCOC[C@@H](O)C[N@@H+](Cc1c[nH]cn1)Cc1ccccc1 ZINC001252474304 895192797 /nfs/dbraw/zinc/19/27/97/895192797.db2.gz YQWARXSNWSWQGK-KRWDZBQOSA-N 1 2 301.390 1.975 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1CCO[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001252549079 895261600 /nfs/dbraw/zinc/26/16/00/895261600.db2.gz GMGYAJCSCGVRTB-XJKCOSOUSA-N 1 2 312.410 1.244 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1CCO[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001252549079 895261614 /nfs/dbraw/zinc/26/16/14/895261614.db2.gz GMGYAJCSCGVRTB-XJKCOSOUSA-N 1 2 312.410 1.244 20 30 DDEDLO C=C[C@](C)(O)CNc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC001252564783 895284538 /nfs/dbraw/zinc/28/45/38/895284538.db2.gz KPBOGNXKRZBCNL-KRWDZBQOSA-N 1 2 306.406 1.747 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCCn2c(Cn3cccc3)nnc2C1 ZINC001252597584 895300618 /nfs/dbraw/zinc/30/06/18/895300618.db2.gz BIPWQZGAKMPTBT-HNNXBMFYSA-N 1 2 315.421 1.661 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCCn2c(Cn3cccc3)nnc2C1 ZINC001252597584 895300634 /nfs/dbraw/zinc/30/06/34/895300634.db2.gz BIPWQZGAKMPTBT-HNNXBMFYSA-N 1 2 315.421 1.661 20 30 DDEDLO N#C[C@@H]1CCC[N@@H+](C[C@@H](O)COc2ccc(CCO)cc2)C1 ZINC001253145302 895668471 /nfs/dbraw/zinc/66/84/71/895668471.db2.gz IZQJXCNETPXZTH-JKSUJKDBSA-N 1 2 304.390 1.197 20 30 DDEDLO N#C[C@@H]1CCC[N@H+](C[C@@H](O)COc2ccc(CCO)cc2)C1 ZINC001253145302 895668477 /nfs/dbraw/zinc/66/84/77/895668477.db2.gz IZQJXCNETPXZTH-JKSUJKDBSA-N 1 2 304.390 1.197 20 30 DDEDLO N#C[C@@H]1CC[C@H]([N@H+]2CCc3onc(C(=O)N4CCCC4)c3C2)C1 ZINC001254665216 896564270 /nfs/dbraw/zinc/56/42/70/896564270.db2.gz MDMWHBQAXHIQHL-OLZOCXBDSA-N 1 2 314.389 1.961 20 30 DDEDLO N#C[C@@H]1CC[C@H]([N@@H+]2CCc3onc(C(=O)N4CCCC4)c3C2)C1 ZINC001254665216 896564282 /nfs/dbraw/zinc/56/42/82/896564282.db2.gz MDMWHBQAXHIQHL-OLZOCXBDSA-N 1 2 314.389 1.961 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCCC(=O)N(C)C)C1 ZINC001389384204 897087760 /nfs/dbraw/zinc/08/77/60/897087760.db2.gz AOZYYAIGILBCNH-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCCC(=O)N(C)C)C1 ZINC001389384204 897087770 /nfs/dbraw/zinc/08/77/70/897087770.db2.gz AOZYYAIGILBCNH-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccc3ncsc3c2)C1 ZINC001278926961 897355378 /nfs/dbraw/zinc/35/53/78/897355378.db2.gz GIFFSECBNRKTSL-UHFFFAOYSA-N 1 2 315.398 1.096 20 30 DDEDLO COCC[N@H+](CCNC(=O)C#CC1CC1)Cc1cncc(F)c1 ZINC001279044321 897943999 /nfs/dbraw/zinc/94/39/99/897943999.db2.gz HGXWMSDDQPDXPF-UHFFFAOYSA-N 1 2 319.380 1.199 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C#CC1CC1)Cc1cncc(F)c1 ZINC001279044321 897944007 /nfs/dbraw/zinc/94/40/07/897944007.db2.gz HGXWMSDDQPDXPF-UHFFFAOYSA-N 1 2 319.380 1.199 20 30 DDEDLO C=C1C[C@@H]2CC([N@H+]3CCN(C(C)=O)C[C@H]3C(=O)OC)C[C@H](C1)C2 ZINC001257894151 897972637 /nfs/dbraw/zinc/97/26/37/897972637.db2.gz LNNDXENBGIIXQU-WFVVYAPDSA-N 1 2 320.433 1.827 20 30 DDEDLO C=C1C[C@@H]2CC([N@@H+]3CCN(C(C)=O)C[C@H]3C(=O)OC)C[C@H](C1)C2 ZINC001257894151 897972642 /nfs/dbraw/zinc/97/26/42/897972642.db2.gz LNNDXENBGIIXQU-WFVVYAPDSA-N 1 2 320.433 1.827 20 30 DDEDLO C=CCS(=O)(=O)N1CC[NH+](C2(c3ccccc3)CC2)CC1 ZINC001259928679 898944799 /nfs/dbraw/zinc/94/47/99/898944799.db2.gz GLISOYYLCOMADL-UHFFFAOYSA-N 1 2 306.431 1.809 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnc2c(=O)n(C)ccn12)c1cccc(C#N)c1 ZINC001262034380 899904438 /nfs/dbraw/zinc/90/44/38/899904438.db2.gz BKGZIEXWOMMEJU-LLVKDONJSA-N 1 2 308.345 1.150 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC001262036261 899905557 /nfs/dbraw/zinc/90/55/57/899905557.db2.gz KNKRQOYAMLLKMQ-OUAUKWLOSA-N 1 2 320.311 1.446 20 30 DDEDLO CCOC(=O)c1cc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)[nH]c1C ZINC001262112342 899950069 /nfs/dbraw/zinc/95/00/69/899950069.db2.gz GRBKUBKOQGAOPK-BETUJISGSA-N 1 2 318.421 1.918 20 30 DDEDLO CCOC(=O)c1cc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)[nH]c1C ZINC001262112342 899950079 /nfs/dbraw/zinc/95/00/79/899950079.db2.gz GRBKUBKOQGAOPK-BETUJISGSA-N 1 2 318.421 1.918 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CCN(Cc3nnc[nH]3)CC2)cc1 ZINC001262112752 899950114 /nfs/dbraw/zinc/95/01/14/899950114.db2.gz RUVNOSFLSQTHPY-UHFFFAOYSA-N 1 2 311.389 1.135 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CCN(Cc3nc[nH]n3)CC2)cc1 ZINC001262112752 899950121 /nfs/dbraw/zinc/95/01/21/899950121.db2.gz RUVNOSFLSQTHPY-UHFFFAOYSA-N 1 2 311.389 1.135 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@H](CF)C1 ZINC001262947601 900432355 /nfs/dbraw/zinc/43/23/55/900432355.db2.gz OWRSRUNNPASQOC-MCIONIFRSA-N 1 2 323.416 1.388 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@H](CF)C1 ZINC001262947601 900432365 /nfs/dbraw/zinc/43/23/65/900432365.db2.gz OWRSRUNNPASQOC-MCIONIFRSA-N 1 2 323.416 1.388 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1cc[nH+]c(NCC)c1)[C@H]1CCCO1 ZINC001263725247 900685390 /nfs/dbraw/zinc/68/53/90/900685390.db2.gz YQKNJEOSWIZALC-ZIAGYGMSSA-N 1 2 302.378 1.493 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@H](CC)C(N)=O)CCCC1 ZINC001263823065 900737227 /nfs/dbraw/zinc/73/72/27/900737227.db2.gz GZZMKNRQUQESNQ-LSDHHAIUSA-N 1 2 321.465 1.920 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@H](CC)C(N)=O)CCCC1 ZINC001263823065 900737238 /nfs/dbraw/zinc/73/72/38/900737238.db2.gz GZZMKNRQUQESNQ-LSDHHAIUSA-N 1 2 321.465 1.920 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1nc2ncccn2n1)C1CC1 ZINC001391179748 901152589 /nfs/dbraw/zinc/15/25/89/901152589.db2.gz HURXFUIUUQFIES-UHFFFAOYSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1nc2ncccn2n1)C1CC1 ZINC001391179748 901152594 /nfs/dbraw/zinc/15/25/94/901152594.db2.gz HURXFUIUUQFIES-UHFFFAOYSA-N 1 2 320.784 1.071 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@@H+](C)Cc1nc(N(C)C)no1 ZINC001265021323 901442840 /nfs/dbraw/zinc/44/28/40/901442840.db2.gz LTHAUYURDMRFPB-UHFFFAOYSA-N 1 2 309.414 1.286 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@H+](C)Cc1nc(N(C)C)no1 ZINC001265021323 901442846 /nfs/dbraw/zinc/44/28/46/901442846.db2.gz LTHAUYURDMRFPB-UHFFFAOYSA-N 1 2 309.414 1.286 20 30 DDEDLO CCOc1cccc(C[NH+]2CC(CNC(=O)[C@H](C)C#N)C2)n1 ZINC001391480633 901824083 /nfs/dbraw/zinc/82/40/83/901824083.db2.gz XVASKKDVBDABKN-GFCCVEGCSA-N 1 2 302.378 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCOCC(F)(F)F)C1 ZINC001265292527 901840133 /nfs/dbraw/zinc/84/01/33/901840133.db2.gz OGSXRSXHHFAVRR-JTQLQIEISA-N 1 2 314.735 1.898 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCOCC(F)(F)F)C1 ZINC001265292527 901840150 /nfs/dbraw/zinc/84/01/50/901840150.db2.gz OGSXRSXHHFAVRR-JTQLQIEISA-N 1 2 314.735 1.898 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC(=C)C ZINC001265406870 901979002 /nfs/dbraw/zinc/97/90/02/901979002.db2.gz IAMLFFRRJZXBBH-HRCADAONSA-N 1 2 317.433 1.156 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC(=C)C ZINC001265406870 901979014 /nfs/dbraw/zinc/97/90/14/901979014.db2.gz IAMLFFRRJZXBBH-HRCADAONSA-N 1 2 317.433 1.156 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CCC[N@H+](Cc2ncnn2C)C1 ZINC001265591695 902172393 /nfs/dbraw/zinc/17/23/93/902172393.db2.gz ZZNQVVQMZASCJR-PBHICJAKSA-N 1 2 319.453 1.746 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CCC[N@@H+](Cc2ncnn2C)C1 ZINC001265591695 902172401 /nfs/dbraw/zinc/17/24/01/902172401.db2.gz ZZNQVVQMZASCJR-PBHICJAKSA-N 1 2 319.453 1.746 20 30 DDEDLO C#CCOCCC(=O)N1CCCC[C@@H]1C[NH2+]Cc1cnsn1 ZINC001265670955 902282841 /nfs/dbraw/zinc/28/28/41/902282841.db2.gz YFCKHEIHXNRFCX-CQSZACIVSA-N 1 2 322.434 1.049 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001265704967 902320382 /nfs/dbraw/zinc/32/03/82/902320382.db2.gz CKEIGJRYVNRGGQ-KBPBESRZSA-N 1 2 319.453 1.744 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001265704967 902320392 /nfs/dbraw/zinc/32/03/92/902320392.db2.gz CKEIGJRYVNRGGQ-KBPBESRZSA-N 1 2 319.453 1.744 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@@H]1CCC[N@H+](Cc2ncnn2C)C1 ZINC001265706406 902321530 /nfs/dbraw/zinc/32/15/30/902321530.db2.gz VMIWNPZWVMNFLN-LSDHHAIUSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@@H]1CCC[N@@H+](Cc2ncnn2C)C1 ZINC001265706406 902321543 /nfs/dbraw/zinc/32/15/43/902321543.db2.gz VMIWNPZWVMNFLN-LSDHHAIUSA-N 1 2 319.453 1.888 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001293693796 914638524 /nfs/dbraw/zinc/63/85/24/914638524.db2.gz SCBHNBNAJKBOBW-CQSZACIVSA-N 1 2 316.405 1.205 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C[N@H+](C)Cc1nc(-c2ccoc2)no1 ZINC001375025795 914684745 /nfs/dbraw/zinc/68/47/45/914684745.db2.gz YMJZISUDKWJJNJ-MNOVXSKESA-N 1 2 317.349 1.426 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C[N@@H+](C)Cc1nc(-c2ccoc2)no1 ZINC001375025795 914684757 /nfs/dbraw/zinc/68/47/57/914684757.db2.gz YMJZISUDKWJJNJ-MNOVXSKESA-N 1 2 317.349 1.426 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)[C@H](C)NC(C)=O)CC2 ZINC001392021192 903106760 /nfs/dbraw/zinc/10/67/60/903106760.db2.gz JSQZZKCGSMJAGB-LBPRGKRZSA-N 1 2 313.829 1.188 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C[C@@H](C)N(C)C(=O)c2c[nH]c(C#N)c2)n1 ZINC001392060693 903198831 /nfs/dbraw/zinc/19/88/31/903198831.db2.gz MCCKRBARPJMABZ-NXEZZACHSA-N 1 2 316.365 1.389 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1cnn(C)n1 ZINC001279556412 903323879 /nfs/dbraw/zinc/32/38/79/903323879.db2.gz QVCQVMPUAYNVLI-HZPDHXFCSA-N 1 2 315.421 1.184 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1cnn(C)n1 ZINC001279556412 903323887 /nfs/dbraw/zinc/32/38/87/903323887.db2.gz QVCQVMPUAYNVLI-HZPDHXFCSA-N 1 2 315.421 1.184 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(CC[N@H+](C)Cc2csnn2)CC1 ZINC001392188456 903481222 /nfs/dbraw/zinc/48/12/22/903481222.db2.gz OHMCUMPXACXRHR-GFCCVEGCSA-N 1 2 321.450 1.758 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(CC[N@@H+](C)Cc2csnn2)CC1 ZINC001392188456 903481230 /nfs/dbraw/zinc/48/12/30/903481230.db2.gz OHMCUMPXACXRHR-GFCCVEGCSA-N 1 2 321.450 1.758 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)C(C)(C)C(F)(F)F ZINC001280422012 903658250 /nfs/dbraw/zinc/65/82/50/903658250.db2.gz TUSDTLVMHFAJLZ-LLVKDONJSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)C(C)(C)C(F)(F)F ZINC001280422012 903658258 /nfs/dbraw/zinc/65/82/58/903658258.db2.gz TUSDTLVMHFAJLZ-LLVKDONJSA-N 1 2 308.344 1.968 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001280510603 903746598 /nfs/dbraw/zinc/74/65/98/903746598.db2.gz CVVWEUJPBNEFMO-ZDUSSCGKSA-N 1 2 306.410 1.282 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH+]cn1C ZINC001280530976 903768248 /nfs/dbraw/zinc/76/82/48/903768248.db2.gz ZGUYSUFBGMGJKZ-CQSZACIVSA-N 1 2 318.421 1.119 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)N(C)C(=O)Cn1cc[nH+]c1 ZINC001280529285 903768729 /nfs/dbraw/zinc/76/87/29/903768729.db2.gz PYCHVFQYOFZCDL-CQSZACIVSA-N 1 2 304.394 1.040 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001293888887 914750748 /nfs/dbraw/zinc/75/07/48/914750748.db2.gz RLBLGSWZTYJRKQ-ZDUSSCGKSA-N 1 2 304.394 1.334 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)CC(C)=C(C)C)CO2 ZINC001280966081 904251991 /nfs/dbraw/zinc/25/19/91/904251991.db2.gz AMBQSMFTOMKLCG-INIZCTEOSA-N 1 2 322.449 1.895 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H](CNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001280965344 904252659 /nfs/dbraw/zinc/25/26/59/904252659.db2.gz PEROVSCQTGQBIO-AWEZNQCLSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](C)CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001281033268 904336337 /nfs/dbraw/zinc/33/63/37/904336337.db2.gz XZLHKDKFBDPTPB-PXAZEXFGSA-N 1 2 320.437 1.826 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H](CCC)OC ZINC001281072738 904373989 /nfs/dbraw/zinc/37/39/89/904373989.db2.gz QFFCWTAVBMWYAF-ZWKOTPCHSA-N 1 2 314.429 1.978 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H](CCC)OC ZINC001281072738 904374001 /nfs/dbraw/zinc/37/40/01/904374001.db2.gz QFFCWTAVBMWYAF-ZWKOTPCHSA-N 1 2 314.429 1.978 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001281214475 904572727 /nfs/dbraw/zinc/57/27/27/904572727.db2.gz MJOGCLCIHLEBON-CHWSQXEVSA-N 1 2 304.394 1.414 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001375075789 914848203 /nfs/dbraw/zinc/84/82/03/914848203.db2.gz HBMNCHHXUDULHE-VXGBXAGGSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001375075789 914848229 /nfs/dbraw/zinc/84/82/29/914848229.db2.gz HBMNCHHXUDULHE-VXGBXAGGSA-N 1 2 317.861 1.726 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1nccn1C ZINC001281967562 905375814 /nfs/dbraw/zinc/37/58/14/905375814.db2.gz INSNAHRLMDGWIK-HNNXBMFYSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1nccn1C ZINC001281967562 905375831 /nfs/dbraw/zinc/37/58/31/905375831.db2.gz INSNAHRLMDGWIK-HNNXBMFYSA-N 1 2 320.437 1.436 20 30 DDEDLO C[C@@H]([NH2+]Cc1nc(C2CCC2)no1)[C@H](C)NC(=O)C#CC1CC1 ZINC001282128315 905565610 /nfs/dbraw/zinc/56/56/10/905565610.db2.gz IVQBWGGHDJOPLW-NEPJUHHUSA-N 1 2 316.405 1.733 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)C2(CCOC)CCCC2)C1 ZINC001282544445 905864446 /nfs/dbraw/zinc/86/44/46/905864446.db2.gz CLQYEARGJJFVJS-UHFFFAOYSA-N 1 2 324.465 1.712 20 30 DDEDLO CC#CC[NH+]1CC([C@H](C)NC(=O)CCCn2ccnc2C)C1 ZINC001282738810 906005248 /nfs/dbraw/zinc/00/52/48/906005248.db2.gz HZEXXKVVMMTNSZ-AWEZNQCLSA-N 1 2 302.422 1.432 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](OCC)C3CC3)C2)C1 ZINC001282774370 906023953 /nfs/dbraw/zinc/02/39/53/906023953.db2.gz YCYKLVWANQRGNK-FUHWJXTLSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](OCC)C3CC3)C2)C1 ZINC001282774370 906023973 /nfs/dbraw/zinc/02/39/73/906023973.db2.gz YCYKLVWANQRGNK-FUHWJXTLSA-N 1 2 320.433 1.128 20 30 DDEDLO C[N@H+](CCNC(=O)c1csc(=O)[nH]1)Cc1ccccc1C#N ZINC001372075166 906398858 /nfs/dbraw/zinc/39/88/58/906398858.db2.gz LEHDSDUNZRMTPX-UHFFFAOYSA-N 1 2 316.386 1.582 20 30 DDEDLO C[N@@H+](CCNC(=O)c1csc(=O)[nH]1)Cc1ccccc1C#N ZINC001372075166 906398878 /nfs/dbraw/zinc/39/88/78/906398878.db2.gz LEHDSDUNZRMTPX-UHFFFAOYSA-N 1 2 316.386 1.582 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@H]1CCCN(C(C)=O)C1 ZINC001372361418 907126220 /nfs/dbraw/zinc/12/62/20/907126220.db2.gz QVUJMIFJZIMPND-AWEZNQCLSA-N 1 2 315.845 1.436 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@H]1CCCN(C(C)=O)C1 ZINC001372361418 907126228 /nfs/dbraw/zinc/12/62/28/907126228.db2.gz QVUJMIFJZIMPND-AWEZNQCLSA-N 1 2 315.845 1.436 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001283400135 907289614 /nfs/dbraw/zinc/28/96/14/907289614.db2.gz JJBFTHZSQDKEPA-HIFRSBDPSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)CCC(=O)N1CCC(CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001283447144 907367241 /nfs/dbraw/zinc/36/72/41/907367241.db2.gz VDQBEFNGQFXFJQ-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)CC2(C)CC2)CC1 ZINC001393842061 908058016 /nfs/dbraw/zinc/05/80/16/908058016.db2.gz IRMHQMBVMCBLKL-UHFFFAOYSA-N 1 2 300.830 1.872 20 30 DDEDLO C#CCCCC(=O)N[C@@]12CCC[C@@H]1[N@H+](Cc1cnon1)CC2 ZINC001284049795 908391665 /nfs/dbraw/zinc/39/16/65/908391665.db2.gz FLSCGRWZVZDMOO-GOEBONIOSA-N 1 2 302.378 1.486 20 30 DDEDLO C#CCCCC(=O)N[C@@]12CCC[C@@H]1[N@@H+](Cc1cnon1)CC2 ZINC001284049795 908391682 /nfs/dbraw/zinc/39/16/82/908391682.db2.gz FLSCGRWZVZDMOO-GOEBONIOSA-N 1 2 302.378 1.486 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@H+](Cc2cnnn2C)[C@@H]1C ZINC001284127802 908519019 /nfs/dbraw/zinc/51/90/19/908519019.db2.gz FLZXLJLAWUEYJV-HIFRSBDPSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@@H+](Cc2cnnn2C)[C@@H]1C ZINC001284127802 908519032 /nfs/dbraw/zinc/51/90/32/908519032.db2.gz FLZXLJLAWUEYJV-HIFRSBDPSA-N 1 2 319.453 1.887 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)[C@H]1C ZINC001284129462 908523627 /nfs/dbraw/zinc/52/36/27/908523627.db2.gz QZGNTDXFSPTNTG-KBPBESRZSA-N 1 2 322.453 1.277 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)[C@H]1C ZINC001284129462 908523636 /nfs/dbraw/zinc/52/36/36/908523636.db2.gz QZGNTDXFSPTNTG-KBPBESRZSA-N 1 2 322.453 1.277 20 30 DDEDLO C=CCCC(=O)NCC[C@@H](C)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001284419399 908968174 /nfs/dbraw/zinc/96/81/74/908968174.db2.gz HTBXJPTXTMLLPZ-KGLIPLIRSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@H]2CC2(C)C)CC1 ZINC001284820585 909525462 /nfs/dbraw/zinc/52/54/62/909525462.db2.gz XIASCFWEYSEGNP-OAHLLOKOSA-N 1 2 321.465 1.601 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1=CC[N@H+](Cc2cc(C)on2)CC1 ZINC001284909239 909711819 /nfs/dbraw/zinc/71/18/19/909711819.db2.gz IWXBNFROYWVFPF-AWEZNQCLSA-N 1 2 317.389 1.270 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1=CC[N@@H+](Cc2cc(C)on2)CC1 ZINC001284909239 909711828 /nfs/dbraw/zinc/71/18/28/909711828.db2.gz IWXBNFROYWVFPF-AWEZNQCLSA-N 1 2 317.389 1.270 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001285163109 910129958 /nfs/dbraw/zinc/12/99/58/910129958.db2.gz MDHOHVCTNOKCAV-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H]1CNC(=O)C#CC(C)C ZINC001285194266 910188396 /nfs/dbraw/zinc/18/83/96/910188396.db2.gz SHZLLWFRUFODOB-CQSZACIVSA-N 1 2 316.405 1.027 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)CCC(=O)NCC)C1 ZINC001373698241 910655076 /nfs/dbraw/zinc/65/50/76/910655076.db2.gz IKFQFNDCCZBUEN-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)CCC(=O)NCC)C1 ZINC001373698241 910655086 /nfs/dbraw/zinc/65/50/86/910655086.db2.gz IKFQFNDCCZBUEN-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)N(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001285708578 911068388 /nfs/dbraw/zinc/06/83/88/911068388.db2.gz YLHUULNQTHRXEG-ZDUSSCGKSA-N 1 2 306.410 1.662 20 30 DDEDLO CCCC[C@@H](CNC(=O)[C@@H](C)C#N)NC(=O)Cc1[nH]c[nH+]c1C ZINC001395242098 911622037 /nfs/dbraw/zinc/62/20/37/911622037.db2.gz BOXAKKSGAPZKJM-AAEUAGOBSA-N 1 2 319.409 1.212 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001286202731 911821003 /nfs/dbraw/zinc/82/10/03/911821003.db2.gz LPRWHATXEWBJCZ-UONOGXRCSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H](C)CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001295548220 915888893 /nfs/dbraw/zinc/88/88/93/915888893.db2.gz IBQYMSKVFLMJCE-ZDUSSCGKSA-N 1 2 304.394 1.250 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001296128661 916247623 /nfs/dbraw/zinc/24/76/23/916247623.db2.gz MECQREYIFOMOKN-BFHYXJOUSA-N 1 2 318.421 1.690 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001296128661 916247643 /nfs/dbraw/zinc/24/76/43/916247643.db2.gz MECQREYIFOMOKN-BFHYXJOUSA-N 1 2 318.421 1.690 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(CCNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001296619154 916507075 /nfs/dbraw/zinc/50/70/75/916507075.db2.gz UWOUGSSZIWYNGF-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CN(C)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001296840735 916591719 /nfs/dbraw/zinc/59/17/19/916591719.db2.gz PPOWXFHZDNQVAD-AWEZNQCLSA-N 1 2 318.421 1.534 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC(CNC(=O)Cn2cc[nH+]c2)C1 ZINC001297225687 916819172 /nfs/dbraw/zinc/81/91/72/916819172.db2.gz PRQLGNJHAVRHFJ-ZDUSSCGKSA-N 1 2 304.394 1.060 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)C(C)C)C1 ZINC001376219146 917997997 /nfs/dbraw/zinc/99/79/97/917997997.db2.gz SYJCQXDMGQKGFP-CABCVRRESA-N 1 2 322.453 1.231 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@H](NC(=O)[C@H](C)C(C)C)C1 ZINC001376219146 917998003 /nfs/dbraw/zinc/99/80/03/917998003.db2.gz SYJCQXDMGQKGFP-CABCVRRESA-N 1 2 322.453 1.231 20 30 DDEDLO CCn1nc(C)c(C[N@@H+]2CCC[C@H](CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001376534336 918708153 /nfs/dbraw/zinc/70/81/53/918708153.db2.gz NDFSSGGJUKWNBT-GXTWGEPZSA-N 1 2 318.425 1.094 20 30 DDEDLO CCn1nc(C)c(C[N@H+]2CCC[C@H](CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001376534336 918708164 /nfs/dbraw/zinc/70/81/64/918708164.db2.gz NDFSSGGJUKWNBT-GXTWGEPZSA-N 1 2 318.425 1.094 20 30 DDEDLO COc1ccccc1CCC[NH+]1CC(NC(=O)[C@@H](C)C#N)C1 ZINC001377876460 923303997 /nfs/dbraw/zinc/30/39/97/923303997.db2.gz VNYXAZAJWSGKMU-ZDUSSCGKSA-N 1 2 301.390 1.588 20 30 DDEDLO N#Cc1cc2c(nc1NCCC[N@@H+]1CCNC(=O)C1)CCCC2 ZINC000278273396 214060644 /nfs/dbraw/zinc/06/06/44/214060644.db2.gz AYLNJICIGHNLQH-UHFFFAOYSA-N 1 2 313.405 1.066 20 30 DDEDLO N#Cc1cc2c(nc1NCCC[N@H+]1CCNC(=O)C1)CCCC2 ZINC000278273396 214060647 /nfs/dbraw/zinc/06/06/47/214060647.db2.gz AYLNJICIGHNLQH-UHFFFAOYSA-N 1 2 313.405 1.066 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNc2nc(C(F)(F)F)ccc2C#N)C1 ZINC000078835286 185118290 /nfs/dbraw/zinc/11/82/90/185118290.db2.gz RNYANRHNOHSYQX-SNVBAGLBSA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCO[C@H](CNc2nc(C(F)(F)F)ccc2C#N)C1 ZINC000078835286 185118292 /nfs/dbraw/zinc/11/82/92/185118292.db2.gz RNYANRHNOHSYQX-SNVBAGLBSA-N 1 2 300.284 1.715 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)s1 ZINC000451931102 529389479 /nfs/dbraw/zinc/38/94/79/529389479.db2.gz LDEFRONXGCWXKX-LLVKDONJSA-N 1 2 313.448 1.725 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)s1 ZINC000451931102 529389480 /nfs/dbraw/zinc/38/94/80/529389480.db2.gz LDEFRONXGCWXKX-LLVKDONJSA-N 1 2 313.448 1.725 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000451931994 231239678 /nfs/dbraw/zinc/23/96/78/231239678.db2.gz MGSSLQMMSTZYCX-JTQLQIEISA-N 1 2 322.365 1.953 20 30 DDEDLO CNC(=O)C1CC[NH+]([C@H](C(=O)OC)c2cccc(C#N)c2)CC1 ZINC000083132893 185213668 /nfs/dbraw/zinc/21/36/68/185213668.db2.gz ZFQZJSOELKNRQZ-HNNXBMFYSA-N 1 2 315.373 1.230 20 30 DDEDLO CCn1cc[nH+]c1CNS(=O)(=O)CC1(C#N)CCCCC1 ZINC000451757742 529579731 /nfs/dbraw/zinc/57/97/31/529579731.db2.gz OSKFQFRWBZMUCM-UHFFFAOYSA-N 1 2 310.423 1.796 20 30 DDEDLO Cc1cc([C@@H]2CCCN2C(=O)NC[C@H]2C[N@H+](C)CCO2)on1 ZINC000329076954 539300759 /nfs/dbraw/zinc/30/07/59/539300759.db2.gz AZWGSGICTRMBEB-STQMWFEESA-N 1 2 308.382 1.365 20 30 DDEDLO Cc1cc([C@@H]2CCCN2C(=O)NC[C@H]2C[N@@H+](C)CCO2)on1 ZINC000329076954 539300760 /nfs/dbraw/zinc/30/07/60/539300760.db2.gz AZWGSGICTRMBEB-STQMWFEESA-N 1 2 308.382 1.365 20 30 DDEDLO O=C(Nc1scnc1C1CC1)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000328915350 539299629 /nfs/dbraw/zinc/29/96/29/539299629.db2.gz JOQMNROTIYDJQT-GFCCVEGCSA-N 1 2 322.434 1.973 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)NC[C@H]3CCCCO3)CC2)cn1 ZINC000329137299 539301442 /nfs/dbraw/zinc/30/14/42/539301442.db2.gz DDVRAIPLLYAKCY-OAHLLOKOSA-N 1 2 321.425 1.021 20 30 DDEDLO Cc1cnc([C@H](C)NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)o1 ZINC000329262655 539302180 /nfs/dbraw/zinc/30/21/80/539302180.db2.gz DIOHXXSQFFJAMQ-KBPBESRZSA-N 1 2 322.409 1.755 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)C1CCSCC1 ZINC000329448135 539304482 /nfs/dbraw/zinc/30/44/82/539304482.db2.gz OFWIHEWOTDGCJZ-UHFFFAOYSA-N 1 2 311.451 1.204 20 30 DDEDLO COc1cc(OC)c(OC)cc1C[NH+]1CCN(CC#N)CC1 ZINC000092936311 185349776 /nfs/dbraw/zinc/34/97/76/185349776.db2.gz RSZDQZOBRXAMEZ-UHFFFAOYSA-N 1 2 305.378 1.354 20 30 DDEDLO CCc1[nH+]ccn1[C@@H]1CCCN(C(=O)N[C@@H]2CC[S@@](=O)C2)C1 ZINC000329991773 529760216 /nfs/dbraw/zinc/76/02/16/529760216.db2.gz AGDRTMQGLLEASM-GIYNXVAASA-N 1 2 324.450 1.517 20 30 DDEDLO CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)NCc1[nH+]ccn1CC(C)C ZINC000330572974 529904255 /nfs/dbraw/zinc/90/42/55/529904255.db2.gz NNBRMSFFIAXNLX-OLZOCXBDSA-N 1 2 321.425 1.457 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cccc(CC#N)c1 ZINC000287629419 222657689 /nfs/dbraw/zinc/65/76/89/222657689.db2.gz ZNEFJCPEOAIYGJ-WMLDXEAASA-N 1 2 313.401 1.688 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)[C@@H]2CCC[N@@H+]2Cc2ccccn2)C1 ZINC000615825182 362454607 /nfs/dbraw/zinc/45/46/07/362454607.db2.gz NZAAUNZDYBVJGF-GOEBONIOSA-N 1 2 302.353 1.510 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)[C@@H]2CCC[N@H+]2Cc2ccccn2)C1 ZINC000615825182 362454610 /nfs/dbraw/zinc/45/46/10/362454610.db2.gz NZAAUNZDYBVJGF-GOEBONIOSA-N 1 2 302.353 1.510 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCC[C@@H](c3nc(=O)[nH][nH]3)C2)ccc1F ZINC000289457186 282349738 /nfs/dbraw/zinc/34/97/38/282349738.db2.gz PTUKUFYMBGNGAP-LLVKDONJSA-N 1 2 301.325 1.488 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCC[C@@H](c3nc(=O)[nH][nH]3)C2)ccc1F ZINC000289457186 282349744 /nfs/dbraw/zinc/34/97/44/282349744.db2.gz PTUKUFYMBGNGAP-LLVKDONJSA-N 1 2 301.325 1.488 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](CCOC(C)C)CC2)ncc1C#N ZINC000457616579 232091155 /nfs/dbraw/zinc/09/11/55/232091155.db2.gz PKZPJCPWWRKGEB-UHFFFAOYSA-N 1 2 316.405 1.445 20 30 DDEDLO N#Cc1ccc(NCC(=O)NCCCNc2cccc[nH+]2)cc1 ZINC000115160011 185663693 /nfs/dbraw/zinc/66/36/93/185663693.db2.gz PUWWHHCSKZACQZ-UHFFFAOYSA-N 1 2 309.373 1.984 20 30 DDEDLO CC(C)(C)[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000279742049 215107416 /nfs/dbraw/zinc/10/74/16/215107416.db2.gz KPSRQZXMNBZLAA-INIZCTEOSA-N 1 2 315.417 1.921 20 30 DDEDLO CC(C)(C)[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000279742049 215107419 /nfs/dbraw/zinc/10/74/19/215107419.db2.gz KPSRQZXMNBZLAA-INIZCTEOSA-N 1 2 315.417 1.921 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000253372576 186301361 /nfs/dbraw/zinc/30/13/61/186301361.db2.gz FVEUILVEVWABAB-CQSZACIVSA-N 1 2 313.405 1.086 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2SCC(=O)Nc1cccc(C#N)c1 ZINC000011676192 352145601 /nfs/dbraw/zinc/14/56/01/352145601.db2.gz ZTQFNUNASUKRBU-UHFFFAOYSA-N 1 2 324.369 1.966 20 30 DDEDLO CC(C)(CNC(=O)NCc1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000042310916 352334762 /nfs/dbraw/zinc/33/47/62/352334762.db2.gz VYTVHRVULXOSOS-UHFFFAOYSA-N 1 2 316.405 1.468 20 30 DDEDLO C=C(Br)C[N@H+]1CCCN(CC(=O)NC(C)C)CC1 ZINC000052441003 352611280 /nfs/dbraw/zinc/61/12/80/352611280.db2.gz WTEBOZAHLOBOCF-UHFFFAOYSA-N 1 2 318.259 1.427 20 30 DDEDLO C=C(Br)C[N@@H+]1CCCN(CC(=O)NC(C)C)CC1 ZINC000052441003 352611284 /nfs/dbraw/zinc/61/12/84/352611284.db2.gz WTEBOZAHLOBOCF-UHFFFAOYSA-N 1 2 318.259 1.427 20 30 DDEDLO C=C(Br)CN1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC000052441003 352611287 /nfs/dbraw/zinc/61/12/87/352611287.db2.gz WTEBOZAHLOBOCF-UHFFFAOYSA-N 1 2 318.259 1.427 20 30 DDEDLO C=C(Br)CN1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC000052441003 352611290 /nfs/dbraw/zinc/61/12/90/352611290.db2.gz WTEBOZAHLOBOCF-UHFFFAOYSA-N 1 2 318.259 1.427 20 30 DDEDLO N#CCCC[NH+]1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000054670892 352700360 /nfs/dbraw/zinc/70/03/60/352700360.db2.gz ZPXNQFYBHUOBMV-UHFFFAOYSA-N 1 2 300.406 1.224 20 30 DDEDLO CC#CCN(C)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000296938969 222830543 /nfs/dbraw/zinc/83/05/43/222830543.db2.gz AJBRJKKZAYGICE-UHFFFAOYSA-N 1 2 302.378 1.083 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H]2CC[N@@H+](C3CC3)C2)cc1C#N ZINC000057553005 352820263 /nfs/dbraw/zinc/82/02/63/352820263.db2.gz GMLUNNJQINZBPO-JTQLQIEISA-N 1 2 318.402 1.347 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H]2CC[N@H+](C3CC3)C2)cc1C#N ZINC000057553005 352820265 /nfs/dbraw/zinc/82/02/65/352820265.db2.gz GMLUNNJQINZBPO-JTQLQIEISA-N 1 2 318.402 1.347 20 30 DDEDLO C[C@H](C#N)CN(C)CC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000066470653 352998777 /nfs/dbraw/zinc/99/87/77/352998777.db2.gz ZQZMJSNFDHKJOG-CQSZACIVSA-N 1 2 320.462 1.484 20 30 DDEDLO N#Cc1nc(N2CC[NH+](CC(=O)NC3CC3)CC2)ccc1Cl ZINC000067347680 353044878 /nfs/dbraw/zinc/04/48/78/353044878.db2.gz FWGVXBGEQVTHRW-UHFFFAOYSA-N 1 2 319.796 1.007 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@H](C)[N@H+](C)C2CC2)cc1C#N ZINC000069534594 353166910 /nfs/dbraw/zinc/16/69/10/353166910.db2.gz XPXWNVNGYVTJAT-VIFPVBQESA-N 1 2 320.418 1.593 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@H](C)[N@@H+](C)C2CC2)cc1C#N ZINC000069534594 353166913 /nfs/dbraw/zinc/16/69/13/353166913.db2.gz XPXWNVNGYVTJAT-VIFPVBQESA-N 1 2 320.418 1.593 20 30 DDEDLO Cc1nc(C(=O)N2CC[NH+](Cc3cccnc3)CC2)ccc1C#N ZINC000073688930 353265830 /nfs/dbraw/zinc/26/58/30/353265830.db2.gz IBJCXIQKEBLIHE-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCC1(c2ccccc2)CCOCC1 ZINC000076185436 353403269 /nfs/dbraw/zinc/40/32/69/353403269.db2.gz SPVHFRLAKGXKNA-UHFFFAOYSA-N 1 2 324.424 1.419 20 30 DDEDLO CCN(CCC#N)[C@H](C)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000081514060 353680183 /nfs/dbraw/zinc/68/01/83/353680183.db2.gz HIEGFWXJUGFTMM-OAHLLOKOSA-N 1 2 315.421 1.354 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(C)cc2OCC)CC1 ZINC000085273471 353731718 /nfs/dbraw/zinc/73/17/18/353731718.db2.gz DDOCCVIZMLILQM-UHFFFAOYSA-N 1 2 315.417 1.583 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)[C@@H](C)C2CCOCC2)CC1 ZINC000328794820 222898066 /nfs/dbraw/zinc/89/80/66/222898066.db2.gz SRJKCCFPEFLXAE-ZDUSSCGKSA-N 1 2 311.426 1.170 20 30 DDEDLO C[C@H](C#N)CNC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000182832511 354276417 /nfs/dbraw/zinc/27/64/17/354276417.db2.gz ZUFPQOUKSUTFFT-OAHLLOKOSA-N 1 2 316.405 1.552 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1ccc(Cn2cc[nH+]c2)cc1 ZINC000183084897 354277699 /nfs/dbraw/zinc/27/76/99/354277699.db2.gz PAFNCNFNRKWLAK-INIZCTEOSA-N 1 2 314.389 1.668 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)c(C#N)c3)C[C@H]21 ZINC000284609657 283027541 /nfs/dbraw/zinc/02/75/41/283027541.db2.gz TXFFYKPNRVRCIK-CABCVRRESA-N 1 2 303.337 1.242 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)c(C#N)c3)C[C@H]21 ZINC000284609657 283027544 /nfs/dbraw/zinc/02/75/44/283027544.db2.gz TXFFYKPNRVRCIK-CABCVRRESA-N 1 2 303.337 1.242 20 30 DDEDLO Cc1ncc(S(=O)(=O)NCCCCn2cc[nH+]c2)cc1C#N ZINC000319985730 354523805 /nfs/dbraw/zinc/52/38/05/354523805.db2.gz SLAXIHRATIGUMM-UHFFFAOYSA-N 1 2 319.390 1.217 20 30 DDEDLO C#CC[N@H+](C)CCN1c2cccc3cccc(c23)S1(=O)=O ZINC000277010955 213237248 /nfs/dbraw/zinc/23/72/48/213237248.db2.gz PQOAQGFSJRKLBD-UHFFFAOYSA-N 1 2 300.383 1.914 20 30 DDEDLO C#CC[N@@H+](C)CCN1c2cccc3cccc(c23)S1(=O)=O ZINC000277010955 213237252 /nfs/dbraw/zinc/23/72/52/213237252.db2.gz PQOAQGFSJRKLBD-UHFFFAOYSA-N 1 2 300.383 1.914 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@H](C(=O)NC1(C#N)CCOCC1)C2 ZINC000526996706 354690009 /nfs/dbraw/zinc/69/00/09/354690009.db2.gz SXDIAYCMFIIKFI-LBPRGKRZSA-N 1 2 316.405 1.827 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@@H](C(=O)NC1(C#N)CCOCC1)CC2 ZINC000526996706 354690011 /nfs/dbraw/zinc/69/00/11/354690011.db2.gz SXDIAYCMFIIKFI-LBPRGKRZSA-N 1 2 316.405 1.827 20 30 DDEDLO COc1cccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1[N+](=O)[O-] ZINC000578196260 354705561 /nfs/dbraw/zinc/70/55/61/354705561.db2.gz ATLRRBQBKCWFHJ-CQSZACIVSA-N 1 2 306.322 1.177 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000581734736 354737462 /nfs/dbraw/zinc/73/74/62/354737462.db2.gz VFTSJXSELUFMMQ-QWHCGFSZSA-N 1 2 303.362 1.224 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)CC1(C#N)CC1 ZINC000590309483 355067792 /nfs/dbraw/zinc/06/77/92/355067792.db2.gz PRIHOEFIRMBELY-UHFFFAOYSA-N 1 2 300.362 1.859 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@@H+]1Cc1cc(C#N)ccc1F ZINC000591646800 355351418 /nfs/dbraw/zinc/35/14/18/355351418.db2.gz KLGVUYDXBILDBA-MRXNPFEDSA-N 1 2 305.353 1.424 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@H+]1Cc1cc(C#N)ccc1F ZINC000591646800 355351422 /nfs/dbraw/zinc/35/14/22/355351422.db2.gz KLGVUYDXBILDBA-MRXNPFEDSA-N 1 2 305.353 1.424 20 30 DDEDLO CN(C[C@@H]1CCC[N@H+](Cc2ccncc2C#N)C1)S(C)(=O)=O ZINC000592121137 355505544 /nfs/dbraw/zinc/50/55/44/355505544.db2.gz FPKXBBNNGNVPJJ-ZDUSSCGKSA-N 1 2 322.434 1.057 20 30 DDEDLO CN(C[C@@H]1CCC[N@@H+](Cc2ccncc2C#N)C1)S(C)(=O)=O ZINC000592121137 355505545 /nfs/dbraw/zinc/50/55/45/355505545.db2.gz FPKXBBNNGNVPJJ-ZDUSSCGKSA-N 1 2 322.434 1.057 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000592152644 355518725 /nfs/dbraw/zinc/51/87/25/355518725.db2.gz ZLRANVQKDFHZDI-GOEBONIOSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000592152644 355518726 /nfs/dbraw/zinc/51/87/26/355518726.db2.gz ZLRANVQKDFHZDI-GOEBONIOSA-N 1 2 319.792 1.796 20 30 DDEDLO COc1ncncc1CN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000592497100 355601432 /nfs/dbraw/zinc/60/14/32/355601432.db2.gz SXPGZTJFSRJJBT-UHFFFAOYSA-N 1 2 323.400 1.675 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc(F)cc2cccnc21 ZINC000593154731 355812943 /nfs/dbraw/zinc/81/29/43/355812943.db2.gz ARYXAABLVOMIPR-MRXNPFEDSA-N 1 2 300.337 1.948 20 30 DDEDLO CC[C@H](C#N)C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000593386309 355869256 /nfs/dbraw/zinc/86/92/56/355869256.db2.gz GIFAKICIMBINKJ-OAHLLOKOSA-N 1 2 301.390 1.759 20 30 DDEDLO CN(C)[C@@H](C(=O)N1Cc2ccc(C#N)cc2C1)c1c[nH+]cn1C ZINC000594400081 356197800 /nfs/dbraw/zinc/19/78/00/356197800.db2.gz OLUZOBQFORLLNY-MRXNPFEDSA-N 1 2 309.373 1.437 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+]([C@@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C1 ZINC000594659888 356278891 /nfs/dbraw/zinc/27/88/91/356278891.db2.gz JNRXXPRXHPXADF-GDBMZVCRSA-N 1 2 322.368 1.816 20 30 DDEDLO N#CC[C@H]1CCC[N@H+]([C@@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C1 ZINC000594659888 356278896 /nfs/dbraw/zinc/27/88/96/356278896.db2.gz JNRXXPRXHPXADF-GDBMZVCRSA-N 1 2 322.368 1.816 20 30 DDEDLO Cc1cn2ccc(NC(=O)C(=O)N[C@@H]3CC[C@H](C#N)C3)cc2[nH+]1 ZINC000595040914 356375823 /nfs/dbraw/zinc/37/58/23/356375823.db2.gz IKYSNNJOSINOOB-NWDGAFQWSA-N 1 2 311.345 1.390 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1CCO[C@@H]1CC1(F)F ZINC000595337501 356454035 /nfs/dbraw/zinc/45/40/35/356454035.db2.gz ATEUFNKNYLXBLU-DGCLKSJQSA-N 1 2 323.347 1.283 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1CCO[C@@H]1CC1(F)F ZINC000595337501 356454037 /nfs/dbraw/zinc/45/40/37/356454037.db2.gz ATEUFNKNYLXBLU-DGCLKSJQSA-N 1 2 323.347 1.283 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@@H+]2CCC[C@](C)(C#N)C2)cc1 ZINC000595423736 356486513 /nfs/dbraw/zinc/48/65/13/356486513.db2.gz GOXHKOLIUWYXAV-OAHLLOKOSA-N 1 2 307.419 1.720 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@H+]2CCC[C@](C)(C#N)C2)cc1 ZINC000595423736 356486517 /nfs/dbraw/zinc/48/65/17/356486517.db2.gz GOXHKOLIUWYXAV-OAHLLOKOSA-N 1 2 307.419 1.720 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@H]2NC(=O)CC[C@@H]2C1 ZINC000595736438 356627867 /nfs/dbraw/zinc/62/78/67/356627867.db2.gz GJHOLNSSBNWASW-VXGBXAGGSA-N 1 2 318.402 1.159 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@H]2NC(=O)CC[C@@H]2C1 ZINC000595736438 356627872 /nfs/dbraw/zinc/62/78/72/356627872.db2.gz GJHOLNSSBNWASW-VXGBXAGGSA-N 1 2 318.402 1.159 20 30 DDEDLO CCN(CC(=O)N1CC[NH+](Cc2cccs2)CC1)[C@@H](C)C#N ZINC000595811512 356660944 /nfs/dbraw/zinc/66/09/44/356660944.db2.gz CQWPBXXPUUCZDS-AWEZNQCLSA-N 1 2 320.462 1.626 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[NH+]1CCC(c2ccon2)CC1 ZINC000595837709 356672492 /nfs/dbraw/zinc/67/24/92/356672492.db2.gz RRYYUMJBBQZVBD-INIZCTEOSA-N 1 2 304.394 1.908 20 30 DDEDLO CN(C)S(=O)(=O)c1ccc(C[N@@H+]2CC[C@](C)(C#N)C2)cc1 ZINC000595842667 356674530 /nfs/dbraw/zinc/67/45/30/356674530.db2.gz GOCVIXRQIBMKLB-OAHLLOKOSA-N 1 2 307.419 1.672 20 30 DDEDLO CN(C)S(=O)(=O)c1ccc(C[N@H+]2CC[C@](C)(C#N)C2)cc1 ZINC000595842667 356674532 /nfs/dbraw/zinc/67/45/32/356674532.db2.gz GOCVIXRQIBMKLB-OAHLLOKOSA-N 1 2 307.419 1.672 20 30 DDEDLO C[C@](C#N)(NC(=O)C[NH2+][C@H](CO)c1ccc(F)cc1F)C1CC1 ZINC000595865613 356683739 /nfs/dbraw/zinc/68/37/39/356683739.db2.gz CDPAOVBNGHRBDN-GDBMZVCRSA-N 1 2 323.343 1.396 20 30 DDEDLO Cc1cc(N2CC[C@@](C)(O)C2)c(C#N)c(N2CC[C@@](C)(O)C2)[nH+]1 ZINC000596151555 356792468 /nfs/dbraw/zinc/79/24/68/356792468.db2.gz RXUMXVGXPNTPLV-IAGOWNOFSA-N 1 2 316.405 1.184 20 30 DDEDLO N#Cc1c2c(cnc1N1CCC[C@@H]([NH+]3CCOCC3)C1)CCC2 ZINC000596247584 356831451 /nfs/dbraw/zinc/83/14/51/356831451.db2.gz RHGAQSOIAHMFNQ-OAHLLOKOSA-N 1 2 312.417 1.743 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2c(C#N)cccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596426871 356875558 /nfs/dbraw/zinc/87/55/58/356875558.db2.gz CKLNCVMXBGHNFY-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2c(C#N)cccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596426871 356875560 /nfs/dbraw/zinc/87/55/60/356875560.db2.gz CKLNCVMXBGHNFY-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@H](CC#N)C(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000596692078 356954231 /nfs/dbraw/zinc/95/42/31/356954231.db2.gz VTBUGHXCDHFYNX-CQSZACIVSA-N 1 2 311.389 1.528 20 30 DDEDLO Cn1ncc(C2CC2)c1C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000596983332 357034091 /nfs/dbraw/zinc/03/40/91/357034091.db2.gz VTOLQPHXPCRXNX-UHFFFAOYSA-N 1 2 322.416 1.886 20 30 DDEDLO C[C@H](CC(=O)N(CCC#N)CC[NH+]1CCOCC1)[C@@H]1CCCO1 ZINC000597024099 357042541 /nfs/dbraw/zinc/04/25/41/357042541.db2.gz FPFCSEONATYERL-CVEARBPZSA-N 1 2 323.437 1.266 20 30 DDEDLO C[C@@H](Cc1ccco1)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000597024431 357042818 /nfs/dbraw/zinc/04/28/18/357042818.db2.gz JXTWPNVQSKSBLG-HNNXBMFYSA-N 1 2 319.405 1.533 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)CC1 ZINC000597050612 357048901 /nfs/dbraw/zinc/04/89/01/357048901.db2.gz XLQLXZBKRGFGNB-KFWWJZLASA-N 1 2 315.421 1.216 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[N@@H+]1CC[C@@](C)(C#N)C1 ZINC000597231184 357103479 /nfs/dbraw/zinc/10/34/79/357103479.db2.gz WYMTZKGRFRYAIR-INIZCTEOSA-N 1 2 303.410 1.301 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[N@H+]1CC[C@@](C)(C#N)C1 ZINC000597231184 357103484 /nfs/dbraw/zinc/10/34/84/357103484.db2.gz WYMTZKGRFRYAIR-INIZCTEOSA-N 1 2 303.410 1.301 20 30 DDEDLO N#Cc1sccc1N1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000597936242 357388480 /nfs/dbraw/zinc/38/84/80/357388480.db2.gz DBOBJNWVBNMNQE-UHFFFAOYSA-N 1 2 301.375 1.165 20 30 DDEDLO N#Cc1ccc(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)cn1 ZINC000598343076 357545153 /nfs/dbraw/zinc/54/51/53/357545153.db2.gz QPFVIGYPZUZBRK-UHFFFAOYSA-N 1 2 314.389 1.138 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2cc(C#N)c(SC)[nH]c2=O)C[C@H](C)[NH2+]1 ZINC000598535336 357624330 /nfs/dbraw/zinc/62/43/30/357624330.db2.gz BSTLQRQQVFMEBW-GXSJLCMTSA-N 1 2 320.418 1.593 20 30 DDEDLO C[C@@H]1CCCC[N@@H+]1CCNC(=O)[C@@H]1CCCCS1(=O)=O ZINC000329656238 223010178 /nfs/dbraw/zinc/01/01/78/223010178.db2.gz GEJOGZMPIKLVGD-OLZOCXBDSA-N 1 2 302.440 1.785 20 30 DDEDLO C[C@@H]1CCCC[N@H+]1CCNC(=O)[C@@H]1CCCCS1(=O)=O ZINC000329656238 223010180 /nfs/dbraw/zinc/01/01/80/223010180.db2.gz GEJOGZMPIKLVGD-OLZOCXBDSA-N 1 2 302.440 1.785 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2C[C@@H](OC)[C@@H](OC)C2)c1C#N ZINC000565398162 304066121 /nfs/dbraw/zinc/06/61/21/304066121.db2.gz PHYPARZZRWHELG-GASCZTMLSA-N 1 2 304.346 1.190 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2C[C@@H](OC)[C@@H](OC)C2)c1C#N ZINC000565398162 304066123 /nfs/dbraw/zinc/06/61/23/304066123.db2.gz PHYPARZZRWHELG-GASCZTMLSA-N 1 2 304.346 1.190 20 30 DDEDLO O=C(NCC(=O)N1CCn2cc[nH+]c2C1)NC1CCCCC1 ZINC000329709212 223016459 /nfs/dbraw/zinc/01/64/59/223016459.db2.gz MWXUJLKUXPYBTI-UHFFFAOYSA-N 1 2 305.382 1.062 20 30 DDEDLO Cc1nc(CCNC(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)cs1 ZINC000329728534 223021084 /nfs/dbraw/zinc/02/10/84/223021084.db2.gz HFUKOLCXCDNETJ-CQSZACIVSA-N 1 2 324.450 1.315 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2C(=O)N[C@H]2Cc3c[nH+]cn3C2)cc1 ZINC000598943311 357767443 /nfs/dbraw/zinc/76/74/43/357767443.db2.gz GTDNMSKNQPNIDX-YOEHRIQHSA-N 1 2 321.384 1.465 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N[C@H]2Cc3c[nH+]cn3C2)cc1 ZINC000598949338 357771987 /nfs/dbraw/zinc/77/19/87/357771987.db2.gz YGZIYCCQAQCTJR-CABCVRRESA-N 1 2 305.341 1.178 20 30 DDEDLO Cc1cc(NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)c(C#N)cn1 ZINC000599185020 357842475 /nfs/dbraw/zinc/84/24/75/357842475.db2.gz PQNTYGDUOYKYLI-QGZVFWFLSA-N 1 2 323.400 1.392 20 30 DDEDLO Cc1cc(NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)c(C#N)cn1 ZINC000599185020 357842477 /nfs/dbraw/zinc/84/24/77/357842477.db2.gz PQNTYGDUOYKYLI-QGZVFWFLSA-N 1 2 323.400 1.392 20 30 DDEDLO CC(C)(C#N)CC(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000600680575 358281681 /nfs/dbraw/zinc/28/16/81/358281681.db2.gz FTGZFALPESBDRM-OAHLLOKOSA-N 1 2 316.405 1.338 20 30 DDEDLO CC(C)(C#N)CC(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000600680575 358281683 /nfs/dbraw/zinc/28/16/83/358281683.db2.gz FTGZFALPESBDRM-OAHLLOKOSA-N 1 2 316.405 1.338 20 30 DDEDLO CCOCCOC1C[NH+](C[C@H](O)COc2ccccc2C#N)C1 ZINC000601960845 358754430 /nfs/dbraw/zinc/75/44/30/358754430.db2.gz WQGYKEFZMXZJKX-HNNXBMFYSA-N 1 2 320.389 1.035 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C)c(C(=O)OC)[nH]2)C1=O ZINC000602649548 359100141 /nfs/dbraw/zinc/10/01/41/359100141.db2.gz VVKFELJMXDILTA-ZDUSSCGKSA-N 1 2 305.378 1.328 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C)c(C(=O)OC)[nH]2)C1=O ZINC000602649548 359100144 /nfs/dbraw/zinc/10/01/44/359100144.db2.gz VVKFELJMXDILTA-ZDUSSCGKSA-N 1 2 305.378 1.328 20 30 DDEDLO Cn1ncc(Br)c1C[NH2+]Cc1cccc(C#N)n1 ZINC000602731700 359154023 /nfs/dbraw/zinc/15/40/23/359154023.db2.gz APXVYNYVSYHYCV-UHFFFAOYSA-N 1 2 306.167 1.739 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854577 359241131 /nfs/dbraw/zinc/24/11/31/359241131.db2.gz CAMWKCNXDBSBHF-DLTWYDFYSA-N 1 2 319.453 1.348 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854577 359241133 /nfs/dbraw/zinc/24/11/33/359241133.db2.gz CAMWKCNXDBSBHF-DLTWYDFYSA-N 1 2 319.453 1.348 20 30 DDEDLO CC[C@H]1CCCCN1C(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856586 359242360 /nfs/dbraw/zinc/24/23/60/359242360.db2.gz LDRLXDYCXDJXHM-XHSDSOJGSA-N 1 2 306.454 1.696 20 30 DDEDLO CC[C@H]1CCCCN1C(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856586 359242365 /nfs/dbraw/zinc/24/23/65/359242365.db2.gz LDRLXDYCXDJXHM-XHSDSOJGSA-N 1 2 306.454 1.696 20 30 DDEDLO C[C@H]1C[NH+](Cc2nc(-c3ccco3)no2)C[C@H](C)N1CC#N ZINC000602857013 359242410 /nfs/dbraw/zinc/24/24/10/359242410.db2.gz NXMLBZRAFHIIIB-RYUDHWBXSA-N 1 2 301.350 1.748 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1)[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602857068 359243619 /nfs/dbraw/zinc/24/36/19/359243619.db2.gz OOSOYYVGMKUTDA-RBSFLKMASA-N 1 2 300.406 1.932 20 30 DDEDLO CC1CCC(N(C)C(=O)C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)CC1 ZINC000602857318 359243874 /nfs/dbraw/zinc/24/38/74/359243874.db2.gz QQTQIDRVJUUKDV-YZUHTNEWSA-N 1 2 320.481 1.942 20 30 DDEDLO C[C@@H]1C[NH+](Cc2nc(-c3ccoc3)no2)C[C@@H](C)N1CC#N ZINC000602859853 359246328 /nfs/dbraw/zinc/24/63/28/359246328.db2.gz ZQBLKOLJNVGPRX-VXGBXAGGSA-N 1 2 301.350 1.748 20 30 DDEDLO Cc1cccc(C)c1NC(=O)CNC(=O)[C@H](C)[NH2+][C@@H](C)CC#N ZINC000602864337 359249200 /nfs/dbraw/zinc/24/92/00/359249200.db2.gz RXIFZVALTGYCMI-KBPBESRZSA-N 1 2 316.405 1.638 20 30 DDEDLO CN(C)C(=O)c1ccc(OC2CC[NH+](CCC#N)CC2)nc1 ZINC000602888917 359273722 /nfs/dbraw/zinc/27/37/22/359273722.db2.gz HCGIYOSPHYCKMA-UHFFFAOYSA-N 1 2 302.378 1.540 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@@H+]1CCC[C@H]1c1ncccn1 ZINC000602900290 359282398 /nfs/dbraw/zinc/28/23/98/359282398.db2.gz STVOOUZCGGFLMG-HOTGVXAUSA-N 1 2 324.384 1.925 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@H+]1CCC[C@H]1c1ncccn1 ZINC000602900290 359282400 /nfs/dbraw/zinc/28/24/00/359282400.db2.gz STVOOUZCGGFLMG-HOTGVXAUSA-N 1 2 324.384 1.925 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccnc(C#N)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000603126732 359419628 /nfs/dbraw/zinc/41/96/28/359419628.db2.gz BBHWSAQLKDXKII-VXGBXAGGSA-N 1 2 303.366 1.184 20 30 DDEDLO CC(C)(C#N)C[C@H](O)Cn1cc(C[NH+]2CCSCC2)nn1 ZINC000603268467 359527768 /nfs/dbraw/zinc/52/77/68/359527768.db2.gz WDLQTZMTIXEFIY-ZDUSSCGKSA-N 1 2 309.439 1.128 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)NCC(C)(C)CC#N)C1 ZINC000603360082 359591880 /nfs/dbraw/zinc/59/18/80/359591880.db2.gz SXUUXUFJMPBYOM-UHFFFAOYSA-N 1 2 321.446 1.893 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)NCC(C)(C)CC#N)C1 ZINC000603360082 359591884 /nfs/dbraw/zinc/59/18/84/359591884.db2.gz SXUUXUFJMPBYOM-UHFFFAOYSA-N 1 2 321.446 1.893 20 30 DDEDLO C[N@@H+](Cc1ccc(Cl)cc1C#N)C[C@@H](O)CN1CCOCC1 ZINC000187142669 200067221 /nfs/dbraw/zinc/06/72/21/200067221.db2.gz YOCDSLKABWTLMU-MRXNPFEDSA-N 1 2 323.824 1.337 20 30 DDEDLO C[N@H+](Cc1ccc(Cl)cc1C#N)C[C@@H](O)CN1CCOCC1 ZINC000187142669 200067223 /nfs/dbraw/zinc/06/72/23/200067223.db2.gz YOCDSLKABWTLMU-MRXNPFEDSA-N 1 2 323.824 1.337 20 30 DDEDLO CN(Cc1ccc(Cl)cc1C#N)C[C@@H](O)C[NH+]1CCOCC1 ZINC000187142669 200067225 /nfs/dbraw/zinc/06/72/25/200067225.db2.gz YOCDSLKABWTLMU-MRXNPFEDSA-N 1 2 323.824 1.337 20 30 DDEDLO C=CCC[C@@H](C(=O)NC[C@@H]1C[NH+]2CCN1CC2)c1ccccc1 ZINC000188742662 200298459 /nfs/dbraw/zinc/29/84/59/200298459.db2.gz UWSRJUASRMTRGL-QZTJIDSGSA-N 1 2 313.445 1.852 20 30 DDEDLO C=CCCN(C)C(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000624936513 366626876 /nfs/dbraw/zinc/62/68/76/366626876.db2.gz RQQQVXSGOSDVEW-INIZCTEOSA-N 1 2 318.421 1.500 20 30 DDEDLO C=CCCN(C)C(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000624936513 366626880 /nfs/dbraw/zinc/62/68/80/366626880.db2.gz RQQQVXSGOSDVEW-INIZCTEOSA-N 1 2 318.421 1.500 20 30 DDEDLO [O-]C(=[NH+]C[C@@H]1CCc2[nH+]ccn2C1)N1CC[C@@]2(CCOC2)C1 ZINC000329902009 223044729 /nfs/dbraw/zinc/04/47/29/223044729.db2.gz PBJMBUHNTUSVER-XJKSGUPXSA-N 1 2 304.394 1.472 20 30 DDEDLO Cc1nccc(CNC(=O)NC[C@@H]2CCc3[nH+]ccn3C2)n1 ZINC000329907273 223045690 /nfs/dbraw/zinc/04/56/90/223045690.db2.gz KUJOMORWIAIPJW-LBPRGKRZSA-N 1 2 300.366 1.248 20 30 DDEDLO Cc1ncsc1CNC(=O)NC[C@H]1CCc2[nH+]ccn2C1 ZINC000329938765 223049751 /nfs/dbraw/zinc/04/97/51/223049751.db2.gz FFHLQLRAOCNRFN-LLVKDONJSA-N 1 2 305.407 1.914 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1cc(=O)[nH]c(C2CC2)c1 ZINC000329977303 223056053 /nfs/dbraw/zinc/05/60/53/223056053.db2.gz ZODSCBIDZBGBIL-LLVKDONJSA-N 1 2 305.378 1.690 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1cc(=O)[nH]c(C2CC2)c1 ZINC000329977303 223056056 /nfs/dbraw/zinc/05/60/56/223056056.db2.gz ZODSCBIDZBGBIL-LLVKDONJSA-N 1 2 305.378 1.690 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@@H+]1CCOC[C@H]1C)c1cccc(C#N)c1 ZINC000610404833 360428365 /nfs/dbraw/zinc/42/83/65/360428365.db2.gz SGTBPKGDXQSGMH-ZIAGYGMSSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@H+]1CCOC[C@H]1C)c1cccc(C#N)c1 ZINC000610404833 360428366 /nfs/dbraw/zinc/42/83/66/360428366.db2.gz SGTBPKGDXQSGMH-ZIAGYGMSSA-N 1 2 316.405 1.639 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC000610863898 360555251 /nfs/dbraw/zinc/55/52/51/360555251.db2.gz WYSFVBOZOPZZGD-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC000610863898 360555256 /nfs/dbraw/zinc/55/52/56/360555256.db2.gz WYSFVBOZOPZZGD-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1[nH+]c2ccccn2c1[C@@H]1C(=O)NCCN1CC1(C#N)CC1 ZINC000611429932 360718648 /nfs/dbraw/zinc/71/86/48/360718648.db2.gz OBQNHLILJIFXQO-OAHLLOKOSA-N 1 2 309.373 1.419 20 30 DDEDLO Cn1cc(C[NH+]2CCN([C@@H](C#N)c3ccc(F)cc3)CC2)cn1 ZINC000611552858 360756067 /nfs/dbraw/zinc/75/60/67/360756067.db2.gz MSZKPOBPFIJYJZ-KRWDZBQOSA-N 1 2 313.380 1.942 20 30 DDEDLO C[C@@H](C#N)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000330447014 223117964 /nfs/dbraw/zinc/11/79/64/223117964.db2.gz HTBDAOUVGUDYEW-FZMZJTMJSA-N 1 2 317.393 1.046 20 30 DDEDLO C[C@@H](C#N)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000330447014 223117967 /nfs/dbraw/zinc/11/79/67/223117967.db2.gz HTBDAOUVGUDYEW-FZMZJTMJSA-N 1 2 317.393 1.046 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[NH+]1CCN(CC(=O)N(C)C)CC1 ZINC000612544511 361061224 /nfs/dbraw/zinc/06/12/24/361061224.db2.gz SPVFEWJCFLODGS-AWEZNQCLSA-N 1 2 300.406 1.325 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)N1CC[NH+](CC(=O)N(C)C)CC1 ZINC000612544511 361061226 /nfs/dbraw/zinc/06/12/26/361061226.db2.gz SPVFEWJCFLODGS-AWEZNQCLSA-N 1 2 300.406 1.325 20 30 DDEDLO COc1c(F)ccc(F)c1C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000331336788 223203876 /nfs/dbraw/zinc/20/38/76/223203876.db2.gz YXWMKEJTXKHDEG-JTQLQIEISA-N 1 2 314.332 1.999 20 30 DDEDLO COc1c(F)ccc(F)c1C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000331336788 223203878 /nfs/dbraw/zinc/20/38/78/223203878.db2.gz YXWMKEJTXKHDEG-JTQLQIEISA-N 1 2 314.332 1.999 20 30 DDEDLO C[C@]1(O)CCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)C1 ZINC000331087176 223185543 /nfs/dbraw/zinc/18/55/43/223185543.db2.gz QGKQFTGQGLIBIC-NSHDSACASA-N 1 2 306.288 1.316 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000331147633 223189707 /nfs/dbraw/zinc/18/97/07/223189707.db2.gz SWQBBYDRNRSVFU-CYBMUJFWSA-N 1 2 322.453 1.564 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000331147633 223189711 /nfs/dbraw/zinc/18/97/11/223189711.db2.gz SWQBBYDRNRSVFU-CYBMUJFWSA-N 1 2 322.453 1.564 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC[C@H](n3ccnn3)C2)CCCCC1 ZINC000333437641 223221486 /nfs/dbraw/zinc/22/14/86/223221486.db2.gz VUGNMHXCXNYVDM-AWEZNQCLSA-N 1 2 316.409 1.258 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC[C@H](n3ccnn3)C2)CCCCC1 ZINC000333437641 223221489 /nfs/dbraw/zinc/22/14/89/223221489.db2.gz VUGNMHXCXNYVDM-AWEZNQCLSA-N 1 2 316.409 1.258 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@@H]1[C@@H](O)C(F)(F)F)C1CC1 ZINC000331615761 223207373 /nfs/dbraw/zinc/20/73/73/223207373.db2.gz FYZRBKORWTZWQB-RAIGVLPGSA-N 1 2 319.327 1.182 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@@H]1[C@@H](O)C(F)(F)F)C1CC1 ZINC000331615761 223207374 /nfs/dbraw/zinc/20/73/74/223207374.db2.gz FYZRBKORWTZWQB-RAIGVLPGSA-N 1 2 319.327 1.182 20 30 DDEDLO CC(C)(C)CN1CCC[C@@H]([NH+]2CCN(CCC#N)CC2)C1=O ZINC000613127829 361281158 /nfs/dbraw/zinc/28/11/58/361281158.db2.gz UNQYKEJSCIVHMY-OAHLLOKOSA-N 1 2 306.454 1.555 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@@H](C)C(=O)NC(=O)NCC(C)C)CC1 ZINC000341997467 223299796 /nfs/dbraw/zinc/29/97/96/223299796.db2.gz SSFUFSFIQLVUIK-ZDUSSCGKSA-N 1 2 324.425 1.109 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@@H](C)O[C@H](C(N)=O)C2)cc1OC ZINC000092007160 193140574 /nfs/dbraw/zinc/14/05/74/193140574.db2.gz LMEOCCJZYFHWRR-WBMJQRKESA-N 1 2 320.389 1.335 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@@H](C)O[C@H](C(N)=O)C2)cc1OC ZINC000092007160 193140575 /nfs/dbraw/zinc/14/05/75/193140575.db2.gz LMEOCCJZYFHWRR-WBMJQRKESA-N 1 2 320.389 1.335 20 30 DDEDLO CCS(=O)(=O)N1CC[N@H+](Cc2ccc(CC#N)cc2)[C@@H](C)C1 ZINC000347287646 223369351 /nfs/dbraw/zinc/36/93/51/223369351.db2.gz JPHZIOOQXHNVPG-AWEZNQCLSA-N 1 2 321.446 1.608 20 30 DDEDLO CCS(=O)(=O)N1CC[N@@H+](Cc2ccc(CC#N)cc2)[C@@H](C)C1 ZINC000347287646 223369352 /nfs/dbraw/zinc/36/93/52/223369352.db2.gz JPHZIOOQXHNVPG-AWEZNQCLSA-N 1 2 321.446 1.608 20 30 DDEDLO COC1(C)CC[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000271766901 209196663 /nfs/dbraw/zinc/19/66/63/209196663.db2.gz PYDREYQONKPPCR-UHFFFAOYSA-N 1 2 322.430 1.833 20 30 DDEDLO COCC[N@H+](CCC(=O)Nc1ccccc1C#N)CC(=O)OC ZINC000264996022 204332067 /nfs/dbraw/zinc/33/20/67/204332067.db2.gz CTQUFOHKLWDTGP-UHFFFAOYSA-N 1 2 319.361 1.008 20 30 DDEDLO COCC[N@@H+](CCC(=O)Nc1ccccc1C#N)CC(=O)OC ZINC000264996022 204332070 /nfs/dbraw/zinc/33/20/70/204332070.db2.gz CTQUFOHKLWDTGP-UHFFFAOYSA-N 1 2 319.361 1.008 20 30 DDEDLO Cc1nc(N2CCN(c3nnc(C)c(C)c3C#N)CC2)cc[nH+]1 ZINC000266402015 205321255 /nfs/dbraw/zinc/32/12/55/205321255.db2.gz PLLRWEBZKOUUAH-UHFFFAOYSA-N 1 2 309.377 1.390 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)Nc2ccc(F)cc2C#N)C1 ZINC000267689076 206219986 /nfs/dbraw/zinc/21/99/86/206219986.db2.gz YAZXOAIDVNMFGI-ZDUSSCGKSA-N 1 2 305.357 1.065 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)Nc2ccc(F)cc2C#N)C1 ZINC000267689076 206219990 /nfs/dbraw/zinc/21/99/90/206219990.db2.gz YAZXOAIDVNMFGI-ZDUSSCGKSA-N 1 2 305.357 1.065 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(C(=O)OCC)CC1 ZINC000042249044 183246632 /nfs/dbraw/zinc/24/66/32/183246632.db2.gz BJOYNODXYXREIK-CQSZACIVSA-N 1 2 311.426 1.574 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1ccc(CC#N)cc1)[NH+]1CCOCC1 ZINC000104985765 194062628 /nfs/dbraw/zinc/06/26/28/194062628.db2.gz VJPYGPUGPQWJGH-UONOGXRCSA-N 1 2 316.405 1.983 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCOC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000284830424 218196135 /nfs/dbraw/zinc/19/61/35/218196135.db2.gz OYDYUMJSOILNOT-ZACQAIPSSA-N 1 2 316.401 1.929 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCOC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000284830424 218196137 /nfs/dbraw/zinc/19/61/37/218196137.db2.gz OYDYUMJSOILNOT-ZACQAIPSSA-N 1 2 316.401 1.929 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+](C)C[C@H]1CCC[C@H]1O ZINC000270096623 208156854 /nfs/dbraw/zinc/15/68/54/208156854.db2.gz KSEVZENOFLMRDX-OAGGEKHMSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+](C)C[C@H]1CCC[C@H]1O ZINC000270096623 208156863 /nfs/dbraw/zinc/15/68/63/208156863.db2.gz KSEVZENOFLMRDX-OAGGEKHMSA-N 1 2 302.374 1.865 20 30 DDEDLO Cc1cc(N[C@@H](C)C[NH+]2CCN(C)CC2)c(C#N)cc1[N+](=O)[O-] ZINC000413138701 224142589 /nfs/dbraw/zinc/14/25/89/224142589.db2.gz PUIZAHDNIHNKHU-ZDUSSCGKSA-N 1 2 317.393 1.823 20 30 DDEDLO COC(=O)C[N@H+](CCOc1ccc(C#N)cc1OC)C(C)C ZINC000157637355 197204068 /nfs/dbraw/zinc/20/40/68/197204068.db2.gz GVJDTQINGGSGEL-UHFFFAOYSA-N 1 2 306.362 1.829 20 30 DDEDLO COC(=O)C[N@@H+](CCOc1ccc(C#N)cc1OC)C(C)C ZINC000157637355 197204070 /nfs/dbraw/zinc/20/40/70/197204070.db2.gz GVJDTQINGGSGEL-UHFFFAOYSA-N 1 2 306.362 1.829 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+](C)[C@H]1CCS(=O)(=O)C1 ZINC000157338176 197180067 /nfs/dbraw/zinc/18/00/67/197180067.db2.gz YZWQQJOZAXSOHK-ZDUSSCGKSA-N 1 2 324.402 1.065 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+](C)[C@H]1CCS(=O)(=O)C1 ZINC000157338176 197180069 /nfs/dbraw/zinc/18/00/69/197180069.db2.gz YZWQQJOZAXSOHK-ZDUSSCGKSA-N 1 2 324.402 1.065 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)C(C)(C)Oc2ccc(C#N)cc2)C1 ZINC000156334190 197105530 /nfs/dbraw/zinc/10/55/30/197105530.db2.gz IPDXIKAXSHVOKO-HNNXBMFYSA-N 1 2 317.389 1.162 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)C(C)(C)Oc2ccc(C#N)cc2)C1 ZINC000156334190 197105532 /nfs/dbraw/zinc/10/55/32/197105532.db2.gz IPDXIKAXSHVOKO-HNNXBMFYSA-N 1 2 317.389 1.162 20 30 DDEDLO C=C(C)CNC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000156212349 197095435 /nfs/dbraw/zinc/09/54/35/197095435.db2.gz REYJWFXTSMRPJS-INIZCTEOSA-N 1 2 303.406 1.935 20 30 DDEDLO Cc1cc(NC(=O)N[C@@H](C)Cn2cc[nH+]c2)nn1CCC#N ZINC000271182728 407559534 /nfs/dbraw/zinc/55/95/34/407559534.db2.gz PIHHEMSUMAHMCV-NSHDSACASA-N 1 2 301.354 1.512 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCc1cccc2cccnc21 ZINC000078670494 407039845 /nfs/dbraw/zinc/03/98/45/407039845.db2.gz GEBVKHOIOSQTFZ-UHFFFAOYSA-N 1 2 300.362 1.938 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc([N+](=O)[O-])cc1 ZINC000078681282 407040792 /nfs/dbraw/zinc/04/07/92/407040792.db2.gz RZEFGLIANJLHMF-UHFFFAOYSA-N 1 2 318.377 1.643 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N(C)Cc1[nH+]ccn1C ZINC000077385521 406987644 /nfs/dbraw/zinc/98/76/44/406987644.db2.gz OCTIILOCZWXITJ-UHFFFAOYSA-N 1 2 304.375 1.421 20 30 DDEDLO N#CCSCC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000046017510 407052879 /nfs/dbraw/zinc/05/28/79/407052879.db2.gz ZPRDTUSEHLFZQV-OAHLLOKOSA-N 1 2 319.430 1.433 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+](CCC#N)CC2CC2)C1 ZINC000081514887 407077427 /nfs/dbraw/zinc/07/74/27/407077427.db2.gz QSOICRUDDCKHSE-OAHLLOKOSA-N 1 2 321.421 1.414 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+](CCC#N)CC2CC2)C1 ZINC000081514887 407077429 /nfs/dbraw/zinc/07/74/29/407077429.db2.gz QSOICRUDDCKHSE-OAHLLOKOSA-N 1 2 321.421 1.414 20 30 DDEDLO N#Cc1nccn1CC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000124010448 407345666 /nfs/dbraw/zinc/34/56/66/407345666.db2.gz WFIFYHBRMZRHPF-UHFFFAOYSA-N 1 2 315.402 1.161 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2C[C@H](OC)C[C@@H]2C(=O)OC)cc1 ZINC000125809217 407398551 /nfs/dbraw/zinc/39/85/51/407398551.db2.gz DSIOAXZWYMBSPI-HZPDHXFCSA-N 1 2 303.358 1.461 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2C[C@H](OC)C[C@@H]2C(=O)OC)cc1 ZINC000125809217 407398553 /nfs/dbraw/zinc/39/85/53/407398553.db2.gz DSIOAXZWYMBSPI-HZPDHXFCSA-N 1 2 303.358 1.461 20 30 DDEDLO C[N@@H+]1CCO[C@@H]([C@H](NC(=O)CC#N)c2ccc(Cl)cc2)C1 ZINC000185869368 407523342 /nfs/dbraw/zinc/52/33/42/407523342.db2.gz NHIOYDKVZFOCTN-UKRRQHHQSA-N 1 2 307.781 1.742 20 30 DDEDLO C[N@H+]1CCO[C@@H]([C@H](NC(=O)CC#N)c2ccc(Cl)cc2)C1 ZINC000185869368 407523346 /nfs/dbraw/zinc/52/33/46/407523346.db2.gz NHIOYDKVZFOCTN-UKRRQHHQSA-N 1 2 307.781 1.742 20 30 DDEDLO N#CC1(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CCOCC1 ZINC000152608525 407659800 /nfs/dbraw/zinc/65/98/00/407659800.db2.gz WQYPKRJKWHMANJ-CYBMUJFWSA-N 1 2 300.362 1.097 20 30 DDEDLO C[C@@H]1CO[C@H](CO)C[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000129685088 407623206 /nfs/dbraw/zinc/62/32/06/407623206.db2.gz KPRDBOWWGJZVQV-PWSUYJOCSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@@H]1CO[C@H](CO)C[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000129685088 407623213 /nfs/dbraw/zinc/62/32/13/407623213.db2.gz KPRDBOWWGJZVQV-PWSUYJOCSA-N 1 2 309.391 1.030 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000152430670 407634251 /nfs/dbraw/zinc/63/42/51/407634251.db2.gz PGZQUCPJNKJEJE-DLBZAZTESA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000152430670 407634261 /nfs/dbraw/zinc/63/42/61/407634261.db2.gz PGZQUCPJNKJEJE-DLBZAZTESA-N 1 2 302.374 1.817 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2nnc(C)s2)cc1OC ZINC000271433020 407683195 /nfs/dbraw/zinc/68/31/95/407683195.db2.gz DIRSIJNQJPMTHK-UHFFFAOYSA-N 1 2 308.363 1.701 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)N(C)CCCn1cc[nH+]c1 ZINC000130391035 407684022 /nfs/dbraw/zinc/68/40/22/407684022.db2.gz KTTYOORORRRFMD-MRXNPFEDSA-N 1 2 312.373 1.991 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2OC)CC1 ZINC000115550346 407689444 /nfs/dbraw/zinc/68/94/44/407689444.db2.gz WMOOOZOTONNBKR-ZDUSSCGKSA-N 1 2 319.380 1.094 20 30 DDEDLO Cc1cc(S(=O)(=O)N2C[C@@H](C)[N@H+](C)[C@@H](C)C2)ccc1C#N ZINC000235661474 407720064 /nfs/dbraw/zinc/72/00/64/407720064.db2.gz YOVXYQLDFAYHDB-BETUJISGSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(S(=O)(=O)N2C[C@@H](C)[N@@H+](C)[C@@H](C)C2)ccc1C#N ZINC000235661474 407720068 /nfs/dbraw/zinc/72/00/68/407720068.db2.gz YOVXYQLDFAYHDB-BETUJISGSA-N 1 2 307.419 1.580 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C2(C)CCCCC2)CC1 ZINC000152973072 407728395 /nfs/dbraw/zinc/72/83/95/407728395.db2.gz MZRLNMRTOJZKRS-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(C)CCCCC2)CC1 ZINC000152973072 407728400 /nfs/dbraw/zinc/72/84/00/407728400.db2.gz MZRLNMRTOJZKRS-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO N#CCC(=O)N1CCN(Cc2cn3cc(Cl)ccc3[nH+]2)CC1 ZINC000133814982 407873405 /nfs/dbraw/zinc/87/34/05/407873405.db2.gz YUDWEOHRVQTMEA-UHFFFAOYSA-N 1 2 317.780 1.546 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000272284331 407849711 /nfs/dbraw/zinc/84/97/11/407849711.db2.gz CCIQBUNVCKDTEN-OAHLLOKOSA-N 1 2 321.421 1.740 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000135110040 407974957 /nfs/dbraw/zinc/97/49/57/407974957.db2.gz HKOWWYOKORJPHA-QAPCUYQASA-N 1 2 321.465 1.744 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cnn(C)c3)CC2)cc1C#N ZINC000135115022 407977783 /nfs/dbraw/zinc/97/77/83/407977783.db2.gz JLYVRDRTNYDMDM-UHFFFAOYSA-N 1 2 311.389 1.623 20 30 DDEDLO C#CCSCCNC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000153838492 407914409 /nfs/dbraw/zinc/91/44/09/407914409.db2.gz KLHGFIWQPIJBRJ-UHFFFAOYSA-N 1 2 318.446 1.716 20 30 DDEDLO N#Cc1cc(C(=O)Nc2cccc(C[NH+]3CCOCC3)c2)c[nH]1 ZINC000180926245 407934258 /nfs/dbraw/zinc/93/42/58/407934258.db2.gz LIESUBPMFIJZAJ-UHFFFAOYSA-N 1 2 310.357 1.971 20 30 DDEDLO CNS(=O)(=O)c1cccc([C@H](C)[NH2+]C[C@@H](C#N)CCC#N)c1 ZINC000181915774 408052526 /nfs/dbraw/zinc/05/25/26/408052526.db2.gz QDEIIHYBPUTDKM-QWHCGFSZSA-N 1 2 320.418 1.689 20 30 DDEDLO CCCOc1ccc(/C=[NH+]/CCN2CCNC(=O)C2)c(O)c1 ZINC000272683311 408008447 /nfs/dbraw/zinc/00/84/47/408008447.db2.gz ZVBBPOOJXQVOOS-GZTJUZNOSA-N 1 2 305.378 1.032 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+](CCO)Cc1cccnc1 ZINC000268627566 408126687 /nfs/dbraw/zinc/12/66/87/408126687.db2.gz BEDXDGYMUBRKFT-HNNXBMFYSA-N 1 2 303.406 1.465 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+](CCO)Cc1cccnc1 ZINC000268627566 408126690 /nfs/dbraw/zinc/12/66/90/408126690.db2.gz BEDXDGYMUBRKFT-HNNXBMFYSA-N 1 2 303.406 1.465 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)[C@H](C)[NH+]1CCN(C)CC1 ZINC000273339252 408157732 /nfs/dbraw/zinc/15/77/32/408157732.db2.gz VKRIZPJMSVDVHJ-KRWDZBQOSA-N 1 2 315.461 1.880 20 30 DDEDLO COc1ccc(-c2noc([C@H](C)O[NH+]=C(N)CCO)n2)cc1 ZINC000121330433 408186602 /nfs/dbraw/zinc/18/66/02/408186602.db2.gz BIAKFZMFJNVLCM-VIFPVBQESA-N 1 2 306.322 1.477 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3nccnc3C#N)c[nH+]2)CCO1 ZINC000263775227 408250224 /nfs/dbraw/zinc/25/02/24/408250224.db2.gz HQKIJTCQMUYDKM-GFCCVEGCSA-N 1 2 310.361 1.580 20 30 DDEDLO N#Cc1nccnc1Nc1ccc([NH+]2CCC(C(N)=O)CC2)cc1 ZINC000263742325 408235252 /nfs/dbraw/zinc/23/52/52/408235252.db2.gz DJQORXHSLZYNJE-UHFFFAOYSA-N 1 2 322.372 1.794 20 30 DDEDLO CCC(C)(C)NC(=O)[C@@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158141792 408328192 /nfs/dbraw/zinc/32/81/92/408328192.db2.gz MNRZAUPYHGJMLE-LLVKDONJSA-N 1 2 319.405 1.952 20 30 DDEDLO Cc1cccc(S(=O)(=O)N(C)CCCn2cc[nH+]c2)c1C#N ZINC000151314294 408294397 /nfs/dbraw/zinc/29/43/97/408294397.db2.gz VEJRIMAMQTWCLH-UHFFFAOYSA-N 1 2 318.402 1.774 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCCCn1c(C)[nH+]c2ccccc21 ZINC000183000201 408304578 /nfs/dbraw/zinc/30/45/78/408304578.db2.gz MFBUBJADMYRZEN-CQSZACIVSA-N 1 2 316.405 1.971 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000183268454 408369110 /nfs/dbraw/zinc/36/91/10/408369110.db2.gz CYHDZXQDAYRVKK-ZDUSSCGKSA-N 1 2 320.393 1.285 20 30 DDEDLO N#Cc1ccnc(N[C@H]2CCN(c3cccc[nH+]3)C2)c1[N+](=O)[O-] ZINC000269888031 408460647 /nfs/dbraw/zinc/46/06/47/408460647.db2.gz VELXZKHBVNDWDQ-LBPRGKRZSA-N 1 2 310.317 1.947 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cccnc2OCC(C)C)CC1 ZINC000264197785 408398863 /nfs/dbraw/zinc/39/88/63/408398863.db2.gz RMNIPTLNQLUGCP-UHFFFAOYSA-N 1 2 315.417 1.898 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2ccc(OC)cc2OC)CC1 ZINC000159502900 408412345 /nfs/dbraw/zinc/41/23/45/408412345.db2.gz OWQKSSFINSQWQD-UHFFFAOYSA-N 1 2 302.374 1.485 20 30 DDEDLO Cn1cc(C[N@H+](C)[C@H]2CCCC[C@@H]2S(C)(=O)=O)cc1C#N ZINC000191400104 408420081 /nfs/dbraw/zinc/42/00/81/408420081.db2.gz BCKRQWGAEPMDNC-GJZGRUSLSA-N 1 2 309.435 1.684 20 30 DDEDLO Cn1cc(C[N@@H+](C)[C@H]2CCCC[C@@H]2S(C)(=O)=O)cc1C#N ZINC000191400104 408420086 /nfs/dbraw/zinc/42/00/86/408420086.db2.gz BCKRQWGAEPMDNC-GJZGRUSLSA-N 1 2 309.435 1.684 20 30 DDEDLO C#CCCCNC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000177059565 408508384 /nfs/dbraw/zinc/50/83/84/408508384.db2.gz PQBDFJGFKDFZAT-UHFFFAOYSA-N 1 2 310.357 1.555 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cncc(Cl)c2)CC1 ZINC000269938188 408474642 /nfs/dbraw/zinc/47/46/42/408474642.db2.gz DZLWMCMWBBJEFR-UHFFFAOYSA-N 1 2 313.810 1.065 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NCc1cccc(OCC(F)(F)F)c1 ZINC000192225782 408561799 /nfs/dbraw/zinc/56/17/99/408561799.db2.gz KVDYAGIKGOVYQI-UHFFFAOYSA-N 1 2 314.307 1.809 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NCc1cccc(OCC(F)(F)F)c1 ZINC000192225782 408561802 /nfs/dbraw/zinc/56/18/02/408561802.db2.gz KVDYAGIKGOVYQI-UHFFFAOYSA-N 1 2 314.307 1.809 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](Cc3cccnc3)CC2)ccn1 ZINC000192523120 408602205 /nfs/dbraw/zinc/60/22/05/408602205.db2.gz FMDOLCPQTIRLHI-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)NCCCn1cc[nH+]c1 ZINC000265247355 408591118 /nfs/dbraw/zinc/59/11/18/408591118.db2.gz XJCLSPZWMGDQGC-UHFFFAOYSA-N 1 2 312.373 1.785 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)c1ccc(F)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000264572304 408536637 /nfs/dbraw/zinc/53/66/37/408536637.db2.gz SFWPJERHCJZJCV-CABCVRRESA-N 1 2 313.394 1.534 20 30 DDEDLO C=CC[N@H+](C[C@H](O)c1ccc(F)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000264572304 408536642 /nfs/dbraw/zinc/53/66/42/408536642.db2.gz SFWPJERHCJZJCV-CABCVRRESA-N 1 2 313.394 1.534 20 30 DDEDLO COCC[N@H+](CC#Cc1ccc(Cl)cc1)CC(=O)N(C)C ZINC000177175407 408543939 /nfs/dbraw/zinc/54/39/39/408543939.db2.gz DORAVJGXBGRGBJ-UHFFFAOYSA-N 1 2 308.809 1.728 20 30 DDEDLO COCC[N@@H+](CC#Cc1ccc(Cl)cc1)CC(=O)N(C)C ZINC000177175407 408543943 /nfs/dbraw/zinc/54/39/43/408543943.db2.gz DORAVJGXBGRGBJ-UHFFFAOYSA-N 1 2 308.809 1.728 20 30 DDEDLO COC(=O)C[N@H+](C)CCC(=O)Nc1sc2c(c1C#N)CCC2 ZINC000192638262 408622914 /nfs/dbraw/zinc/62/29/14/408622914.db2.gz VMKIHFQFJKBSKL-UHFFFAOYSA-N 1 2 321.402 1.542 20 30 DDEDLO COC(=O)C[N@@H+](C)CCC(=O)Nc1sc2c(c1C#N)CCC2 ZINC000192638262 408622920 /nfs/dbraw/zinc/62/29/20/408622920.db2.gz VMKIHFQFJKBSKL-UHFFFAOYSA-N 1 2 321.402 1.542 20 30 DDEDLO CN1CC[C@H]([N@H+](C)CC(=O)Nc2cc(Cl)ccc2C#N)C1=O ZINC000265643747 408727851 /nfs/dbraw/zinc/72/78/51/408727851.db2.gz KSLJGJUXLIEIPG-ZDUSSCGKSA-N 1 2 320.780 1.313 20 30 DDEDLO CN1CC[C@H]([N@@H+](C)CC(=O)Nc2cc(Cl)ccc2C#N)C1=O ZINC000265643747 408727856 /nfs/dbraw/zinc/72/78/56/408727856.db2.gz KSLJGJUXLIEIPG-ZDUSSCGKSA-N 1 2 320.780 1.313 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000265670067 408741459 /nfs/dbraw/zinc/74/14/59/408741459.db2.gz ZJLZTOUEQFQSTI-IFMYKAFSSA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000265670067 408741463 /nfs/dbraw/zinc/74/14/63/408741463.db2.gz ZJLZTOUEQFQSTI-IFMYKAFSSA-N 1 2 319.430 1.728 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000185434074 408808050 /nfs/dbraw/zinc/80/80/50/408808050.db2.gz WIKWZJMNIBXTGQ-LRDDRELGSA-N 1 2 314.364 1.376 20 30 DDEDLO COCCCNC(=O)[C@H](C)O[NH+]=C(N)c1cccc(Cl)c1 ZINC000178133102 408778040 /nfs/dbraw/zinc/77/80/40/408778040.db2.gz NBAPRWRZCCFZPG-JTQLQIEISA-N 1 2 313.785 1.518 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000291058399 408857616 /nfs/dbraw/zinc/85/76/16/408857616.db2.gz MVBGMIFOSSDFQF-MAUKXSAKSA-N 1 2 312.413 1.532 20 30 DDEDLO C=Cn1cc(C[NH+]2CCN(c3snc(C)c3C#N)CC2)cn1 ZINC000291707703 408911252 /nfs/dbraw/zinc/91/12/52/408911252.db2.gz ZMTAJABKVMQZKY-UHFFFAOYSA-N 1 2 314.418 1.942 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N[C@@H](C)c2ccccc2)C1=O ZINC000281441232 408886377 /nfs/dbraw/zinc/88/63/77/408886377.db2.gz TZXOXCCHRVKLJL-HOCLYGCPSA-N 1 2 315.417 1.583 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N[C@@H](C)c2ccccc2)C1=O ZINC000281441232 408886380 /nfs/dbraw/zinc/88/63/80/408886380.db2.gz TZXOXCCHRVKLJL-HOCLYGCPSA-N 1 2 315.417 1.583 20 30 DDEDLO Cc1nc(NC[C@@H](C(C)C)N2CC[NH+](C)CC2)ccc1C#N ZINC000291751970 408917672 /nfs/dbraw/zinc/91/76/72/408917672.db2.gz ZVVQGYQIKKOQCO-INIZCTEOSA-N 1 2 301.438 1.946 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@H]1C ZINC000191853554 163205615 /nfs/dbraw/zinc/20/56/15/163205615.db2.gz JTIAUAIJKDJWBC-DOMZBBRYSA-N 1 2 315.373 1.628 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@H]1C ZINC000191853554 163205618 /nfs/dbraw/zinc/20/56/18/163205618.db2.gz JTIAUAIJKDJWBC-DOMZBBRYSA-N 1 2 315.373 1.628 20 30 DDEDLO C=CCN1CC[C@@H](N(C)c2nc(C)[nH+]c3c2CCCC3)C1=O ZINC000281925527 408955389 /nfs/dbraw/zinc/95/53/89/408955389.db2.gz QPJYWHWVFPXGMR-OAHLLOKOSA-N 1 2 300.406 1.887 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(Cc3ccc(C#N)cc3)CC2)C1=O ZINC000281933970 408957494 /nfs/dbraw/zinc/95/74/94/408957494.db2.gz IFNCSZWSLNRTHT-GOSISDBHSA-N 1 2 324.428 1.463 20 30 DDEDLO C=CCN1CC[C@@H](N2CC[NH+](Cc3ccc(C#N)cc3)CC2)C1=O ZINC000281933970 408957495 /nfs/dbraw/zinc/95/74/95/408957495.db2.gz IFNCSZWSLNRTHT-GOSISDBHSA-N 1 2 324.428 1.463 20 30 DDEDLO CC[N@H+](CCOCCO)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000282128055 408996797 /nfs/dbraw/zinc/99/67/97/408996797.db2.gz RDAWHAFKPMPFFZ-HNNXBMFYSA-N 1 2 306.362 1.103 20 30 DDEDLO CC[N@@H+](CCOCCO)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000282128055 408996799 /nfs/dbraw/zinc/99/67/99/408996799.db2.gz RDAWHAFKPMPFFZ-HNNXBMFYSA-N 1 2 306.362 1.103 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(Cc3cccc(O)c3)CC2)C1=O ZINC000282134213 408997592 /nfs/dbraw/zinc/99/75/92/408997592.db2.gz ZBRLSOQGGNKANO-KRWDZBQOSA-N 1 2 315.417 1.297 20 30 DDEDLO C=CCN1CC[C@H](N2CC[NH+](Cc3cccc(O)c3)CC2)C1=O ZINC000282134213 408997594 /nfs/dbraw/zinc/99/75/94/408997594.db2.gz ZBRLSOQGGNKANO-KRWDZBQOSA-N 1 2 315.417 1.297 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000292252612 409011052 /nfs/dbraw/zinc/01/10/52/409011052.db2.gz QXPDJMGNLADEKV-AWEZNQCLSA-N 1 2 323.418 1.033 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000292252612 409011054 /nfs/dbraw/zinc/01/10/54/409011054.db2.gz QXPDJMGNLADEKV-AWEZNQCLSA-N 1 2 323.418 1.033 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+][C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000292259445 409012157 /nfs/dbraw/zinc/01/21/57/409012157.db2.gz SPUIZHYCLANUPY-CYBMUJFWSA-N 1 2 312.439 1.617 20 30 DDEDLO N#Cc1ccc(NC(=O)NCC2([NH+]3CCOCC3)CC2)cc1 ZINC000278012126 409050416 /nfs/dbraw/zinc/05/04/16/409050416.db2.gz KHXMQWIQLPGMEJ-UHFFFAOYSA-N 1 2 300.362 1.545 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@]1(C#N)CCC[C@@H](C)C1 ZINC000282913071 409070306 /nfs/dbraw/zinc/07/03/06/409070306.db2.gz BQRLTPVTENSHRF-WPGHFRTFSA-N 1 2 317.393 1.046 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@]1(C#N)CCC[C@@H](C)C1 ZINC000282913071 409070307 /nfs/dbraw/zinc/07/03/07/409070307.db2.gz BQRLTPVTENSHRF-WPGHFRTFSA-N 1 2 317.393 1.046 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2ccc(C)c(C)c2)CC1 ZINC000288916903 409196206 /nfs/dbraw/zinc/19/62/06/409196206.db2.gz RSPNBMWTRDOOMC-UHFFFAOYSA-N 1 2 320.458 1.774 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc([N+](=O)[O-])c(F)cc2N)CC1 ZINC000283400973 409150598 /nfs/dbraw/zinc/15/05/98/409150598.db2.gz HESUDAUEWCEGRH-UHFFFAOYSA-N 1 2 320.324 1.097 20 30 DDEDLO COc1cc(CO[NH+]=C(N)[C@H]2CCCO2)c([N+](=O)[O-])cc1F ZINC000283939714 409243463 /nfs/dbraw/zinc/24/34/63/409243463.db2.gz FMDAYRHZYZAHDO-LLVKDONJSA-N 1 2 313.285 1.710 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCOC[C@@H]1CC(=O)c1ccccc1 ZINC000293921045 409206674 /nfs/dbraw/zinc/20/66/74/409206674.db2.gz XYQTVYPILLCADM-INIZCTEOSA-N 1 2 314.385 1.100 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCOC[C@@H]1CC(=O)c1ccccc1 ZINC000293921045 409206678 /nfs/dbraw/zinc/20/66/78/409206678.db2.gz XYQTVYPILLCADM-INIZCTEOSA-N 1 2 314.385 1.100 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CC[C@@H](n2cc(Cl)cn2)C1)C1CC1 ZINC000289714175 409284988 /nfs/dbraw/zinc/28/49/88/409284988.db2.gz CMWPFGXCQBRKDN-HIFRSBDPSA-N 1 2 321.812 1.592 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CC[C@@H](n2cc(Cl)cn2)C1)C1CC1 ZINC000289714175 409284991 /nfs/dbraw/zinc/28/49/91/409284991.db2.gz CMWPFGXCQBRKDN-HIFRSBDPSA-N 1 2 321.812 1.592 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2coc3c2C(=O)CCC3)CC1 ZINC000294456244 409291661 /nfs/dbraw/zinc/29/16/61/409291661.db2.gz HEONIIFHPUKERX-UHFFFAOYSA-N 1 2 300.358 1.580 20 30 DDEDLO C#CCCOc1ccc(C(=O)N[C@H](C)C[NH+]2CCOCC2)cc1 ZINC000294662809 409296325 /nfs/dbraw/zinc/29/63/25/409296325.db2.gz ZHJOSZKSVAWDEB-OAHLLOKOSA-N 1 2 316.401 1.539 20 30 DDEDLO Cc1cccc(C[NH+]2CCN(S(=O)(=O)CCC#N)CC2)c1 ZINC000294922994 409306044 /nfs/dbraw/zinc/30/60/44/409306044.db2.gz CKZRLVFHCSYSFX-UHFFFAOYSA-N 1 2 307.419 1.356 20 30 DDEDLO CN(C)c1ccc(CNS(=O)(=O)CC(C)(C)CC#N)c[nH+]1 ZINC000295187746 409364990 /nfs/dbraw/zinc/36/49/90/409364990.db2.gz KHTOKDFAHPQLIL-UHFFFAOYSA-N 1 2 310.423 1.507 20 30 DDEDLO NC(=[NH+]OCc1nc(Cc2cccc(F)c2)no1)[C@H]1CCCO1 ZINC000284605886 409354861 /nfs/dbraw/zinc/35/48/61/409354861.db2.gz YRQDOBVPBHATGP-GFCCVEGCSA-N 1 2 320.324 1.767 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(c2cccnc2C#N)CC1 ZINC000290501388 409424691 /nfs/dbraw/zinc/42/46/91/409424691.db2.gz AUDHCBPXUVIXGC-CQSZACIVSA-N 1 2 313.405 1.086 20 30 DDEDLO C=CC[N@@H+](CC[C@@H](O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000285582292 409496788 /nfs/dbraw/zinc/49/67/88/409496788.db2.gz KFWBBQJMYGQJAH-HZPDHXFCSA-N 1 2 309.431 1.785 20 30 DDEDLO C=CC[N@H+](CC[C@@H](O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000285582292 409496796 /nfs/dbraw/zinc/49/67/96/409496796.db2.gz KFWBBQJMYGQJAH-HZPDHXFCSA-N 1 2 309.431 1.785 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cscc1C#N ZINC000354266098 409742575 /nfs/dbraw/zinc/74/25/75/409742575.db2.gz MPICTMPJCHVRPW-AAEUAGOBSA-N 1 2 305.403 1.555 20 30 DDEDLO C[N@@H+](CC(=O)NC1(C#N)CCCCC1)C[C@H](O)C(F)(F)F ZINC000305770011 409763290 /nfs/dbraw/zinc/76/32/90/409763290.db2.gz JLQVZUKPHVMCGH-JTQLQIEISA-N 1 2 307.316 1.184 20 30 DDEDLO C[N@H+](CC(=O)NC1(C#N)CCCCC1)C[C@H](O)C(F)(F)F ZINC000305770011 409763302 /nfs/dbraw/zinc/76/33/02/409763302.db2.gz JLQVZUKPHVMCGH-JTQLQIEISA-N 1 2 307.316 1.184 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000346153089 409826949 /nfs/dbraw/zinc/82/69/49/409826949.db2.gz PWVRRXUNLVHXCS-SJLPKXTDSA-N 1 2 318.417 1.503 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000297118805 409812690 /nfs/dbraw/zinc/81/26/90/409812690.db2.gz MFZPJJDUFDEUEW-HFBAOOFYSA-N 1 2 322.355 1.865 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000297118805 409812696 /nfs/dbraw/zinc/81/26/96/409812696.db2.gz MFZPJJDUFDEUEW-HFBAOOFYSA-N 1 2 322.355 1.865 20 30 DDEDLO CC(C)[C@H](NC(=O)c1cn(CC2(C)COC2)nn1)c1[nH]cc[nH+]1 ZINC000328718998 409961967 /nfs/dbraw/zinc/96/19/67/409961967.db2.gz GCNPLCDSMKYFDJ-LBPRGKRZSA-N 1 2 318.381 1.740 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N1CCOC[C@H]1C1CC1 ZINC000328813757 409985761 /nfs/dbraw/zinc/98/57/61/409985761.db2.gz BVXITCZMALANRD-KBPBESRZSA-N 1 2 304.394 1.785 20 30 DDEDLO C[C@@H]1CCNC(=O)[C@@H]1NC(=O)NC[C@H]1CCc2[nH+]ccn2C1 ZINC000328601769 409935012 /nfs/dbraw/zinc/93/50/12/409935012.db2.gz GBSKGYRGCOQZJK-NQBHXWOUSA-N 1 2 305.382 1.314 20 30 DDEDLO Cc1cc(NC(=O)N[C@H]2CCO[C@@H]2c2nccn2C)cc[nH+]1 ZINC000328627383 409941254 /nfs/dbraw/zinc/94/12/54/409941254.db2.gz MTOANOYKHZAZMO-STQMWFEESA-N 1 2 301.350 1.402 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)NC[C@@H]2CCCS2)CCN1C ZINC000328634544 409941794 /nfs/dbraw/zinc/94/17/94/409941794.db2.gz UDHPTCJVYVZYMA-STQMWFEESA-N 1 2 323.466 1.518 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)NC[C@]2(C)CCCO2)CCN1C ZINC000328645963 409946914 /nfs/dbraw/zinc/94/69/14/409946914.db2.gz ZWKCHRBLDWUEHB-BBRMVZONSA-N 1 2 321.425 1.192 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000354677470 410012093 /nfs/dbraw/zinc/01/20/93/410012093.db2.gz SQCIPELIUBSGRP-OAHLLOKOSA-N 1 2 314.389 1.487 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000354677470 410012098 /nfs/dbraw/zinc/01/20/98/410012098.db2.gz SQCIPELIUBSGRP-OAHLLOKOSA-N 1 2 314.389 1.487 20 30 DDEDLO C=CCOCC(=O)NC[C@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000354694478 410023852 /nfs/dbraw/zinc/02/38/52/410023852.db2.gz KUHBIZNAHIWWPE-QGZVFWFLSA-N 1 2 318.417 1.687 20 30 DDEDLO CC[C@H](NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C)c1c(C)noc1C ZINC000328865724 409997147 /nfs/dbraw/zinc/99/71/47/409997147.db2.gz NMBYNADIGVERIO-KGLIPLIRSA-N 1 2 323.441 1.492 20 30 DDEDLO CC[C@H](NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)c1c(C)noc1C ZINC000328865724 409997153 /nfs/dbraw/zinc/99/71/53/409997153.db2.gz NMBYNADIGVERIO-KGLIPLIRSA-N 1 2 323.441 1.492 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[NH+]1CCN(Cc2cn(C)nn2)CC1 ZINC000328877840 410000216 /nfs/dbraw/zinc/00/02/16/410000216.db2.gz KSCZZZSYZVLLEN-CYBMUJFWSA-N 1 2 320.441 1.220 20 30 DDEDLO Cc1cc(-c2nc([C@@H]3C[N@@H+](C4CC4)CCO3)no2)ncc1C#N ZINC000351207430 410046468 /nfs/dbraw/zinc/04/64/68/410046468.db2.gz JYVYXTCBERAVEL-AWEZNQCLSA-N 1 2 311.345 1.847 20 30 DDEDLO Cc1cc(-c2nc([C@@H]3C[N@H+](C4CC4)CCO3)no2)ncc1C#N ZINC000351207430 410046475 /nfs/dbraw/zinc/04/64/75/410046475.db2.gz JYVYXTCBERAVEL-AWEZNQCLSA-N 1 2 311.345 1.847 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2sccc2C#N)[C@@H]1C ZINC000346663758 410067128 /nfs/dbraw/zinc/06/71/28/410067128.db2.gz OXSSOFYWXTZNEG-MWLCHTKSSA-N 1 2 307.375 1.442 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2sccc2C#N)[C@@H]1C ZINC000346663758 410067131 /nfs/dbraw/zinc/06/71/31/410067131.db2.gz OXSSOFYWXTZNEG-MWLCHTKSSA-N 1 2 307.375 1.442 20 30 DDEDLO C[C@@H](O)CNc1cc(NCc2ccc(OCC#N)cc2)nc[nH+]1 ZINC000298242586 410147341 /nfs/dbraw/zinc/14/73/41/410147341.db2.gz MAYFKLJYAAEBFL-GFCCVEGCSA-N 1 2 313.361 1.784 20 30 DDEDLO C[C@@H](O)CNc1cc(NCc2ccc(OCC#N)cc2)[nH+]cn1 ZINC000298242586 410147351 /nfs/dbraw/zinc/14/73/51/410147351.db2.gz MAYFKLJYAAEBFL-GFCCVEGCSA-N 1 2 313.361 1.784 20 30 DDEDLO COC[C@H](NC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C)C1CC1 ZINC000329201520 410162353 /nfs/dbraw/zinc/16/23/53/410162353.db2.gz BXNWXQIBQZPBSE-YUELXQCFSA-N 1 2 311.426 1.120 20 30 DDEDLO COc1cnc(NC(=O)N2CC[C@H]3OCC[N@H+](C)[C@H]3C2)s1 ZINC000329241072 410185319 /nfs/dbraw/zinc/18/53/19/410185319.db2.gz NDZYUTPOQPFERV-VHSXEESVSA-N 1 2 312.395 1.102 20 30 DDEDLO COc1cnc(NC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@H]3C2)s1 ZINC000329241072 410185325 /nfs/dbraw/zinc/18/53/25/410185325.db2.gz NDZYUTPOQPFERV-VHSXEESVSA-N 1 2 312.395 1.102 20 30 DDEDLO O=C(N[C@@H]1CCO[C@@]2(CCOC2)C1)C1([NH+]2CCOCC2)CCC1 ZINC000329338902 410242373 /nfs/dbraw/zinc/24/23/73/410242373.db2.gz QSDGVZJQZKOQSM-ZBFHGGJFSA-N 1 2 324.421 1.536 20 30 DDEDLO COC1(C)CN(C(=O)N[C@@H](c2[nH+]ccn2C)C2CCOCC2)C1 ZINC000329346632 410246168 /nfs/dbraw/zinc/24/61/68/410246168.db2.gz RKTXSGQIWBHIFE-CYBMUJFWSA-N 1 2 322.409 1.523 20 30 DDEDLO Cc1nc([C@@H]2CCCN2C(=O)NC[C@@H](C)[NH+]2CCOCC2)no1 ZINC000329384936 410269311 /nfs/dbraw/zinc/26/93/11/410269311.db2.gz PDHGKCINYOXARS-YPMHNXCESA-N 1 2 323.397 1.150 20 30 DDEDLO C[C@@H](Cc1cccc(O)c1)NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329543716 410355142 /nfs/dbraw/zinc/35/51/42/410355142.db2.gz FOHRCNMCFJYLCO-VBNZEHGJSA-N 1 2 319.405 1.252 20 30 DDEDLO C[C@@H](Cc1cccc(O)c1)NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329543716 410355145 /nfs/dbraw/zinc/35/51/45/410355145.db2.gz FOHRCNMCFJYLCO-VBNZEHGJSA-N 1 2 319.405 1.252 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1CCOC[C@H]1C ZINC000329508006 410337278 /nfs/dbraw/zinc/33/72/78/410337278.db2.gz WYFVHCWOLLTHEH-BARDWOONSA-N 1 2 311.426 1.120 20 30 DDEDLO CC[C@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C(=O)N1CCOCC1 ZINC000329426576 410292331 /nfs/dbraw/zinc/29/23/31/410292331.db2.gz HBKDQESDFBENKM-OCCSQVGLSA-N 1 2 320.393 1.039 20 30 DDEDLO O=C(NCC[NH+]1CCOCC1)N1CCC[C@H]1C1CCOCC1 ZINC000329442928 410302738 /nfs/dbraw/zinc/30/27/38/410302738.db2.gz KMKXRHUKKGDHLG-HNNXBMFYSA-N 1 2 311.426 1.124 20 30 DDEDLO Cc1nn(C)cc1[C@H](C)[NH+]=C([O-])N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000329545364 410354616 /nfs/dbraw/zinc/35/46/16/410354616.db2.gz GPCOTZAYJXESJR-ONGXEEELSA-N 1 2 302.382 1.574 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)[C@@H](C)Oc2cccc(C)c2)CC1 ZINC000357891468 410316348 /nfs/dbraw/zinc/31/63/48/410316348.db2.gz GPVQGXXQQINFQZ-MRXNPFEDSA-N 1 2 300.402 1.930 20 30 DDEDLO [O-]C(NCc1noc2c1CCCC2)=[NH+][C@H]1CCn2cc[nH+]c2C1 ZINC000329566963 410362314 /nfs/dbraw/zinc/36/23/14/410362314.db2.gz SYYGBDUDHRUQMO-NSHDSACASA-N 1 2 315.377 1.769 20 30 DDEDLO Cc1ccn2cc(CNC(=O)N3C[C@@H]4CC[C@H](O)[C@H]4C3)[nH+]c2c1 ZINC000329588349 410371017 /nfs/dbraw/zinc/37/10/17/410371017.db2.gz XPDDCRAMWURDHT-QEJZJMRPSA-N 1 2 314.389 1.759 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)C[C@H]1NC(=O)C1(S(C)(=O)=O)CCCC1 ZINC000329852795 410462710 /nfs/dbraw/zinc/46/27/10/410462710.db2.gz UZTKLSKNGZFZPQ-DGCLKSJQSA-N 1 2 314.451 1.783 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)C[C@H]1NC(=O)C1(S(C)(=O)=O)CCCC1 ZINC000329852795 410462714 /nfs/dbraw/zinc/46/27/14/410462714.db2.gz UZTKLSKNGZFZPQ-DGCLKSJQSA-N 1 2 314.451 1.783 20 30 DDEDLO C#CCn1ccc(CN(CC)c2cc(NC[C@@H](C)O)[nH+]cn2)n1 ZINC000298895439 410441536 /nfs/dbraw/zinc/44/15/36/410441536.db2.gz MVILAEYXPIXUNL-CYBMUJFWSA-N 1 2 314.393 1.126 20 30 DDEDLO C#CCn1ccc(CN(CC)c2cc(NC[C@@H](C)O)nc[nH+]2)n1 ZINC000298895439 410441543 /nfs/dbraw/zinc/44/15/43/410441543.db2.gz MVILAEYXPIXUNL-CYBMUJFWSA-N 1 2 314.393 1.126 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000352237794 410568996 /nfs/dbraw/zinc/56/89/96/410568996.db2.gz LFMGUWOFEBNBGR-ZDUSSCGKSA-N 1 2 318.421 1.977 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000352237794 410569005 /nfs/dbraw/zinc/56/90/05/410569005.db2.gz LFMGUWOFEBNBGR-ZDUSSCGKSA-N 1 2 318.421 1.977 20 30 DDEDLO CCOC(=O)[C@H](C)[N@H+](C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000343613171 410570684 /nfs/dbraw/zinc/57/06/84/410570684.db2.gz GDFIXHXCUXEUAS-GXTWGEPZSA-N 1 2 306.362 1.181 20 30 DDEDLO CCOC(=O)[C@H](C)[N@@H+](C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000343613171 410570690 /nfs/dbraw/zinc/57/06/90/410570690.db2.gz GDFIXHXCUXEUAS-GXTWGEPZSA-N 1 2 306.362 1.181 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1cc(C#N)cc(N(C)C)c1 ZINC000339954414 410575593 /nfs/dbraw/zinc/57/55/93/410575593.db2.gz FACYQFUSESNVKB-ZDUSSCGKSA-N 1 2 316.405 1.075 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1cc(C#N)cc(N(C)C)c1 ZINC000339954414 410575598 /nfs/dbraw/zinc/57/55/98/410575598.db2.gz FACYQFUSESNVKB-ZDUSSCGKSA-N 1 2 316.405 1.075 20 30 DDEDLO COc1cc(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)ccc1C#N ZINC000358956112 410541054 /nfs/dbraw/zinc/54/10/54/410541054.db2.gz STXSTPFQTLMEAJ-ZDUSSCGKSA-N 1 2 310.357 1.642 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCCC[C@@H]2C(=O)OC(C)(C)C)C1=O ZINC000337196410 410660650 /nfs/dbraw/zinc/66/06/50/410660650.db2.gz XLKPSXOEAKLSNB-ZIAGYGMSSA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCCC[C@@H]2C(=O)OC(C)(C)C)C1=O ZINC000337196410 410660654 /nfs/dbraw/zinc/66/06/54/410660654.db2.gz XLKPSXOEAKLSNB-ZIAGYGMSSA-N 1 2 308.422 1.970 20 30 DDEDLO CC#CCCNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000355673336 410637299 /nfs/dbraw/zinc/63/72/99/410637299.db2.gz OBQFZSNFORBSIX-AWEZNQCLSA-N 1 2 304.394 1.013 20 30 DDEDLO CC#CCCNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000355673336 410637306 /nfs/dbraw/zinc/63/73/06/410637306.db2.gz OBQFZSNFORBSIX-AWEZNQCLSA-N 1 2 304.394 1.013 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)c2ccc(C#N)nc2)CC1 ZINC000337285096 410692779 /nfs/dbraw/zinc/69/27/79/410692779.db2.gz LMGALBNFFNFYTA-UHFFFAOYSA-N 1 2 307.357 1.619 20 30 DDEDLO C[N@H+](CC[C@H]1CCCO1)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000343842435 410739963 /nfs/dbraw/zinc/73/99/63/410739963.db2.gz SNNQGPLXVVGBNJ-CQSZACIVSA-N 1 2 323.418 1.228 20 30 DDEDLO C[N@@H+](CC[C@H]1CCCO1)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000343842435 410739967 /nfs/dbraw/zinc/73/99/67/410739967.db2.gz SNNQGPLXVVGBNJ-CQSZACIVSA-N 1 2 323.418 1.228 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(c2ccc(C#N)cc2F)CC1 ZINC000301598117 410752770 /nfs/dbraw/zinc/75/27/70/410752770.db2.gz XMKXHXVFBNOOOE-UHFFFAOYSA-N 1 2 305.353 1.773 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(c2ccc(C#N)cc2F)CC1 ZINC000301598117 410752774 /nfs/dbraw/zinc/75/27/74/410752774.db2.gz XMKXHXVFBNOOOE-UHFFFAOYSA-N 1 2 305.353 1.773 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(F)c(C#N)c1 ZINC000356049224 410794077 /nfs/dbraw/zinc/79/40/77/410794077.db2.gz QWOIFKGWEBNRDR-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(F)c(C#N)c1 ZINC000356049224 410794088 /nfs/dbraw/zinc/79/40/88/410794088.db2.gz QWOIFKGWEBNRDR-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO N#CC[C@H](CC(=O)NC[C@@H]1C[NH+]2CCN1CC2)c1ccccc1 ZINC000356522822 411071383 /nfs/dbraw/zinc/07/13/83/411071383.db2.gz SWQSPTPUSCMRHL-IAGOWNOFSA-N 1 2 312.417 1.190 20 30 DDEDLO Cc1nc(N2CCN(Cc3nc(C#N)cs3)CC2)cc[nH+]1 ZINC000356443569 411032353 /nfs/dbraw/zinc/03/23/53/411032353.db2.gz XARXSPAONUQXFF-UHFFFAOYSA-N 1 2 300.391 1.435 20 30 DDEDLO N#Cc1cccc(CNC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000580403622 422926801 /nfs/dbraw/zinc/92/68/01/422926801.db2.gz LBGIAZRQNNGIFZ-IYBDPMFKSA-N 1 2 314.389 1.221 20 30 DDEDLO N#Cc1cccc(CNC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000580403622 422926805 /nfs/dbraw/zinc/92/68/05/422926805.db2.gz LBGIAZRQNNGIFZ-IYBDPMFKSA-N 1 2 314.389 1.221 20 30 DDEDLO Cc1[nH+]c2ccc(C(=O)N3CCN(C4CC4)[C@H](C#N)C3)cc2n1C ZINC000373574644 418441421 /nfs/dbraw/zinc/44/14/21/418441421.db2.gz FCYWLQZCELIYDX-OAHLLOKOSA-N 1 2 323.400 1.694 20 30 DDEDLO N#C[C@H]1C[N@@H+](Cc2ccccn2)C[C@]12c1ccccc1NC2=O ZINC000374356366 418522638 /nfs/dbraw/zinc/52/26/38/418522638.db2.gz HTCHCFJDWKPRLC-SCLBCKFNSA-N 1 2 304.353 1.927 20 30 DDEDLO N#C[C@H]1C[N@H+](Cc2ccccn2)C[C@]12c1ccccc1NC2=O ZINC000374356366 418522640 /nfs/dbraw/zinc/52/26/40/418522640.db2.gz HTCHCFJDWKPRLC-SCLBCKFNSA-N 1 2 304.353 1.927 20 30 DDEDLO COc1ccccc1[C@H]1CN(C(=O)c2ccc(C#N)nc2)CC[NH2+]1 ZINC000374379734 418524770 /nfs/dbraw/zinc/52/47/70/418524770.db2.gz XYUQJEXFIWRPKC-MRXNPFEDSA-N 1 2 322.368 1.749 20 30 DDEDLO COc1cc(C[N@@H+]2Cc3ccccc3C[C@@H]2C(N)=O)ccc1C#N ZINC000367043409 418554264 /nfs/dbraw/zinc/55/42/64/418554264.db2.gz PXHYKMAEALRRLP-QGZVFWFLSA-N 1 2 321.380 1.979 20 30 DDEDLO COc1cc(C[N@H+]2Cc3ccccc3C[C@@H]2C(N)=O)ccc1C#N ZINC000367043409 418554266 /nfs/dbraw/zinc/55/42/66/418554266.db2.gz PXHYKMAEALRRLP-QGZVFWFLSA-N 1 2 321.380 1.979 20 30 DDEDLO C=CC[N@@H+](CCOC)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000191102522 222097009 /nfs/dbraw/zinc/09/70/09/222097009.db2.gz JGHYWHKOABMCDY-ZDUSSCGKSA-N 1 2 305.403 1.859 20 30 DDEDLO C=CC[N@H+](CCOC)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000191102522 222097010 /nfs/dbraw/zinc/09/70/10/222097010.db2.gz JGHYWHKOABMCDY-ZDUSSCGKSA-N 1 2 305.403 1.859 20 30 DDEDLO CC(C)c1nnc2n1CC[N@@H+](CCC(=O)N(C)CCC#N)[C@@H]2C ZINC000189506546 222046174 /nfs/dbraw/zinc/04/61/74/222046174.db2.gz BLZQBDWPLDWISR-CYBMUJFWSA-N 1 2 318.425 1.540 20 30 DDEDLO CC(C)c1nnc2n1CC[N@H+](CCC(=O)N(C)CCC#N)[C@@H]2C ZINC000189506546 222046177 /nfs/dbraw/zinc/04/61/77/222046177.db2.gz BLZQBDWPLDWISR-CYBMUJFWSA-N 1 2 318.425 1.540 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH2+][C@H](c2cccc(Cl)c2)C1 ZINC000374909376 418575829 /nfs/dbraw/zinc/57/58/29/418575829.db2.gz IDYXCUKBVYDGFW-HNNXBMFYSA-N 1 2 306.793 1.853 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCN(c2cccc(Cl)c2)CC1 ZINC000192226820 222123411 /nfs/dbraw/zinc/12/34/11/222123411.db2.gz QYXFJBKEHLFOLT-UHFFFAOYSA-N 1 2 305.809 1.554 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCN(c2cccc(Cl)c2)CC1 ZINC000192226820 222123413 /nfs/dbraw/zinc/12/34/13/222123413.db2.gz QYXFJBKEHLFOLT-UHFFFAOYSA-N 1 2 305.809 1.554 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)N(C)CC[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000195312438 222197952 /nfs/dbraw/zinc/19/79/52/222197952.db2.gz WYKFRTOCULUGON-BBWFWOEESA-N 1 2 323.481 1.617 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)N(C)CC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000195312438 222197953 /nfs/dbraw/zinc/19/79/53/222197953.db2.gz WYKFRTOCULUGON-BBWFWOEESA-N 1 2 323.481 1.617 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@H]21 ZINC000246079440 222219554 /nfs/dbraw/zinc/21/95/54/222219554.db2.gz BXWCBQUFPTYOSC-BZUAXINKSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@H]21 ZINC000246079440 222219558 /nfs/dbraw/zinc/21/95/58/222219558.db2.gz BXWCBQUFPTYOSC-BZUAXINKSA-N 1 2 310.438 1.679 20 30 DDEDLO C#CCC(C)(C)C(=O)NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000375126960 418608009 /nfs/dbraw/zinc/60/80/09/418608009.db2.gz QSLVVWFJTQUWEH-KRWDZBQOSA-N 1 2 308.422 1.034 20 30 DDEDLO CC[C@H](C)n1nc(NC(=O)NC[C@H](C)[NH+]2CCOCC2)cc1C ZINC000330698766 418612279 /nfs/dbraw/zinc/61/22/79/418612279.db2.gz WTJMDDWECBMBHJ-JSGCOSHPSA-N 1 2 323.441 2.209 20 30 DDEDLO CCOc1ccccc1OCCO[NH+]=C(N)CN1CCOCC1 ZINC000266304201 222361352 /nfs/dbraw/zinc/36/13/52/222361352.db2.gz OLPFXJFZYQPIHF-UHFFFAOYSA-N 1 2 323.393 1.085 20 30 DDEDLO Cc1cccnc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000267391367 222384552 /nfs/dbraw/zinc/38/45/52/222384552.db2.gz VBUCWXGVCXDPBN-UHFFFAOYSA-N 1 2 302.378 1.078 20 30 DDEDLO C[C@@H](C(=O)Nc1ccn(CCC#N)n1)[N@@H+]1CCc2ccccc2C1 ZINC000346341415 418637306 /nfs/dbraw/zinc/63/73/06/418637306.db2.gz XKCNFOZKOBMCAJ-AWEZNQCLSA-N 1 2 323.400 2.182 20 30 DDEDLO C[C@@H](C(=O)Nc1ccn(CCC#N)n1)[N@H+]1CCc2ccccc2C1 ZINC000346341415 418637309 /nfs/dbraw/zinc/63/73/09/418637309.db2.gz XKCNFOZKOBMCAJ-AWEZNQCLSA-N 1 2 323.400 2.182 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@H]2CCCN(c3cccc[nH+]3)C2)c1C#N ZINC000377237401 418707311 /nfs/dbraw/zinc/70/73/11/418707311.db2.gz WWMIIKVGAYQRMN-LBPRGKRZSA-N 1 2 310.361 1.840 20 30 DDEDLO Cc1nc([C@H]2CCC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000375756754 418681323 /nfs/dbraw/zinc/68/13/23/418681323.db2.gz ILQPLZGHZSSFML-YPMHNXCESA-N 1 2 305.382 1.318 20 30 DDEDLO Cc1nc([C@H]2CCC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000375756754 418681325 /nfs/dbraw/zinc/68/13/25/418681325.db2.gz ILQPLZGHZSSFML-YPMHNXCESA-N 1 2 305.382 1.318 20 30 DDEDLO CCOC(=O)[C@H]([NH2+]Cc1cc(C#N)n(C)c1)C1CCOCC1 ZINC000361606977 418690911 /nfs/dbraw/zinc/69/09/11/418690911.db2.gz AYLPDMDZVHICSU-OAHLLOKOSA-N 1 2 305.378 1.345 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[N@H+](Cc2ccccc2)C[C@H]1C ZINC000383116848 418733667 /nfs/dbraw/zinc/73/36/67/418733667.db2.gz URPDGGZTSHLLIB-ZIAGYGMSSA-N 1 2 307.419 1.435 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[N@@H+](Cc2ccccc2)C[C@H]1C ZINC000383116848 418733668 /nfs/dbraw/zinc/73/36/68/418733668.db2.gz URPDGGZTSHLLIB-ZIAGYGMSSA-N 1 2 307.419 1.435 20 30 DDEDLO C=C[C@H](C)NC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000362371213 418752971 /nfs/dbraw/zinc/75/29/71/418752971.db2.gz PZYOSZWKOUAKRO-HOCLYGCPSA-N 1 2 303.406 1.934 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1C[C@@H](O)C2(CC2)C1 ZINC000364313637 418775886 /nfs/dbraw/zinc/77/58/86/418775886.db2.gz DLAAIHMYAUDWFB-CQSZACIVSA-N 1 2 317.414 1.697 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1C[C@@H](O)C2(CC2)C1 ZINC000364313637 418775887 /nfs/dbraw/zinc/77/58/87/418775887.db2.gz DLAAIHMYAUDWFB-CQSZACIVSA-N 1 2 317.414 1.697 20 30 DDEDLO N#C[C@H]1CN(C(=O)Nc2ccn3cc[nH+]c3c2)CCN1C1CC1 ZINC000368689347 418724944 /nfs/dbraw/zinc/72/49/44/418724944.db2.gz TUKLAUONWDWSRQ-AWEZNQCLSA-N 1 2 310.361 1.538 20 30 DDEDLO C[N@@H+]1CCN(C2CCN(c3ccc(F)cc3C#N)CC2)C(=O)C1 ZINC000378611687 418725173 /nfs/dbraw/zinc/72/51/73/418725173.db2.gz ZMQOSFRPXFMILD-UHFFFAOYSA-N 1 2 316.380 1.440 20 30 DDEDLO C[N@H+]1CCN(C2CCN(c3ccc(F)cc3C#N)CC2)C(=O)C1 ZINC000378611687 418725175 /nfs/dbraw/zinc/72/51/75/418725175.db2.gz ZMQOSFRPXFMILD-UHFFFAOYSA-N 1 2 316.380 1.440 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@H]1[C@@H]1CCCC1=O ZINC000365044571 418833080 /nfs/dbraw/zinc/83/30/80/418833080.db2.gz HEEIWJKNNRDXRV-GJZGRUSLSA-N 1 2 308.422 1.481 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@H]1[C@@H]1CCCC1=O ZINC000365044571 418833082 /nfs/dbraw/zinc/83/30/82/418833082.db2.gz HEEIWJKNNRDXRV-GJZGRUSLSA-N 1 2 308.422 1.481 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000411181800 418876615 /nfs/dbraw/zinc/87/66/15/418876615.db2.gz PQQTVGWSDHFZGQ-ZDUSSCGKSA-N 1 2 308.426 1.176 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000411181800 418876616 /nfs/dbraw/zinc/87/66/16/418876616.db2.gz PQQTVGWSDHFZGQ-ZDUSSCGKSA-N 1 2 308.426 1.176 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000410688909 418839040 /nfs/dbraw/zinc/83/90/40/418839040.db2.gz ONIKDGBAFRNMNX-HNNXBMFYSA-N 1 2 317.389 1.486 20 30 DDEDLO C=CC[N@H+](Cc1nnc(C)[nH]1)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000428251743 419837938 /nfs/dbraw/zinc/83/79/38/419837938.db2.gz YDPZPBQBRLIREH-LBPRGKRZSA-N 1 2 324.392 1.838 20 30 DDEDLO C=CC[N@@H+](Cc1nnc(C)[nH]1)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000428251743 419837942 /nfs/dbraw/zinc/83/79/42/419837942.db2.gz YDPZPBQBRLIREH-LBPRGKRZSA-N 1 2 324.392 1.838 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)c1ccc(F)cc1C#N ZINC000431578724 229043164 /nfs/dbraw/zinc/04/31/64/229043164.db2.gz LUPWFTKOFHAEGI-UHFFFAOYSA-N 1 2 321.333 1.579 20 30 DDEDLO N#CC1(CNC(=O)c2cccc(C[NH+]3CCOCC3)c2)CCC1 ZINC000416361394 420343939 /nfs/dbraw/zinc/34/39/39/420343939.db2.gz XIGFVOMADGDQDQ-UHFFFAOYSA-N 1 2 313.401 1.942 20 30 DDEDLO C[C@H]1C[N@H+](CCO)CCN1c1ccc(C#N)cc1Br ZINC000450954184 420569494 /nfs/dbraw/zinc/56/94/94/420569494.db2.gz MPOKNHFTHJIUQH-NSHDSACASA-N 1 2 324.222 1.824 20 30 DDEDLO C[C@H]1C[N@@H+](CCO)CCN1c1ccc(C#N)cc1Br ZINC000450954184 420569498 /nfs/dbraw/zinc/56/94/98/420569498.db2.gz MPOKNHFTHJIUQH-NSHDSACASA-N 1 2 324.222 1.824 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1CC[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000457776058 420635127 /nfs/dbraw/zinc/63/51/27/420635127.db2.gz ACLRKMNJUDYNGB-KLHDSHLOSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1CC[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000457776058 420635130 /nfs/dbraw/zinc/63/51/30/420635130.db2.gz ACLRKMNJUDYNGB-KLHDSHLOSA-N 1 2 308.422 1.289 20 30 DDEDLO COCC[N@H+](CCO)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000441507512 420653034 /nfs/dbraw/zinc/65/30/34/420653034.db2.gz WAZLGTCVDKMMPE-UHFFFAOYSA-N 1 2 302.334 1.049 20 30 DDEDLO COCC[N@@H+](CCO)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000441507512 420653037 /nfs/dbraw/zinc/65/30/37/420653037.db2.gz WAZLGTCVDKMMPE-UHFFFAOYSA-N 1 2 302.334 1.049 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3cccc(C#N)c3)C[C@H]21 ZINC000492912817 420707517 /nfs/dbraw/zinc/70/75/17/420707517.db2.gz PEHDCRCQQKWTGP-MBZYTVFJSA-N 1 2 311.385 1.503 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3cccc(C#N)c3)C[C@H]21 ZINC000492912817 420707520 /nfs/dbraw/zinc/70/75/20/420707520.db2.gz PEHDCRCQQKWTGP-MBZYTVFJSA-N 1 2 311.385 1.503 20 30 DDEDLO Cn1ccc(/C=C\C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000493233915 420794672 /nfs/dbraw/zinc/79/46/72/420794672.db2.gz CTUZBRSQVOYOSQ-ARJAWSKDSA-N 1 2 316.405 1.113 20 30 DDEDLO C[C@H]1C[C@]1(C#N)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000448592237 420867663 /nfs/dbraw/zinc/86/76/63/420867663.db2.gz MZPDOMHWGFHEFH-KBXCAEBGSA-N 1 2 313.401 1.685 20 30 DDEDLO N#Cc1cc(F)c(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c(F)c1 ZINC000456216950 421124698 /nfs/dbraw/zinc/12/46/98/421124698.db2.gz OZJXOAXODHJENM-TXEJJXNPSA-N 1 2 321.327 1.429 20 30 DDEDLO N#Cc1cc(F)c(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c(F)c1 ZINC000456216950 421124703 /nfs/dbraw/zinc/12/47/03/421124703.db2.gz OZJXOAXODHJENM-TXEJJXNPSA-N 1 2 321.327 1.429 20 30 DDEDLO C=C(CC)C[NH+]1CCN(S(=O)(=O)N(CCC)CCC)CC1 ZINC000488191284 421075946 /nfs/dbraw/zinc/07/59/46/421075946.db2.gz USWSIHZQMFXRPN-UHFFFAOYSA-N 1 2 317.499 1.937 20 30 DDEDLO C#CC[N@@H+](CCS(=O)(=O)N(C)C)[C@@H]1CCc2ccccc21 ZINC000491210795 421195451 /nfs/dbraw/zinc/19/54/51/421195451.db2.gz YVYIEUWSGLZBJH-MRXNPFEDSA-N 1 2 306.431 1.501 20 30 DDEDLO C#CC[N@H+](CCS(=O)(=O)N(C)C)[C@@H]1CCc2ccccc21 ZINC000491210795 421195452 /nfs/dbraw/zinc/19/54/52/421195452.db2.gz YVYIEUWSGLZBJH-MRXNPFEDSA-N 1 2 306.431 1.501 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000491337822 421196312 /nfs/dbraw/zinc/19/63/12/421196312.db2.gz CYVYPARGHZNCHK-YOEHRIQHSA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000491337822 421196313 /nfs/dbraw/zinc/19/63/13/421196313.db2.gz CYVYPARGHZNCHK-YOEHRIQHSA-N 1 2 308.422 1.968 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(Cc2cscn2)CC1 ZINC000523803306 421250632 /nfs/dbraw/zinc/25/06/32/421250632.db2.gz SFKKVIIKYWLERK-UHFFFAOYSA-N 1 2 322.478 1.685 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@H]2CCn3cc[nH+]c3C2)s1 ZINC000547674241 421352817 /nfs/dbraw/zinc/35/28/17/421352817.db2.gz BHJXCXPQUDMVLX-JTQLQIEISA-N 1 2 303.391 1.682 20 30 DDEDLO Cc1c(C[N@H+](C)[C@H]2CCN(c3ccc(C#N)cc3)C2=O)cnn1C ZINC000562498813 421374118 /nfs/dbraw/zinc/37/41/18/421374118.db2.gz KMBLJRSSUBIRRZ-KRWDZBQOSA-N 1 2 323.400 1.838 20 30 DDEDLO Cc1c(C[N@@H+](C)[C@H]2CCN(c3ccc(C#N)cc3)C2=O)cnn1C ZINC000562498813 421374121 /nfs/dbraw/zinc/37/41/21/421374121.db2.gz KMBLJRSSUBIRRZ-KRWDZBQOSA-N 1 2 323.400 1.838 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)C2(c3ccc(C#N)cc3)CC2)CCO1 ZINC000548702180 421464601 /nfs/dbraw/zinc/46/46/01/421464601.db2.gz ITCDQHSIZLLPFK-AWEZNQCLSA-N 1 2 313.401 1.427 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)C2(c3ccc(C#N)cc3)CC2)CCO1 ZINC000548702180 421464603 /nfs/dbraw/zinc/46/46/03/421464603.db2.gz ITCDQHSIZLLPFK-AWEZNQCLSA-N 1 2 313.401 1.427 20 30 DDEDLO CCO[C@@H](C)c1ncc(C(=O)N[C@](C)(C#N)C[NH+](C)C)s1 ZINC000563219961 421474732 /nfs/dbraw/zinc/47/47/32/421474732.db2.gz CRACTUKUHFZFJL-IINYFYTJSA-N 1 2 310.423 1.814 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CC#CCN1C(=O)c2ccccc2C1=O ZINC000528380327 421483804 /nfs/dbraw/zinc/48/38/04/421483804.db2.gz DXHCJIUXKIDOMC-AWEZNQCLSA-N 1 2 312.369 1.397 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CC#CCN1C(=O)c2ccccc2C1=O ZINC000528380327 421483806 /nfs/dbraw/zinc/48/38/06/421483806.db2.gz DXHCJIUXKIDOMC-AWEZNQCLSA-N 1 2 312.369 1.397 20 30 DDEDLO C[C@@H]1CC[N@H+](C[C@@H](O)COc2ccccc2C#N)CC[S@]1=O ZINC000528477765 421492904 /nfs/dbraw/zinc/49/29/04/421492904.db2.gz QZHAGWOYUVWYQT-DZKLMBRESA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[N@@H+](C[C@@H](O)COc2ccccc2C#N)CC[S@]1=O ZINC000528477765 421492907 /nfs/dbraw/zinc/49/29/07/421492907.db2.gz QZHAGWOYUVWYQT-DZKLMBRESA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Cc1cccc(C#N)c1 ZINC000552660116 421591121 /nfs/dbraw/zinc/59/11/21/421591121.db2.gz QHLOCXOPCXTXIJ-PBHICJAKSA-N 1 2 313.401 1.422 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1ccccc1Br ZINC000565050976 421595594 /nfs/dbraw/zinc/59/55/94/421595594.db2.gz KAIDIEVQAOVGJQ-CQSZACIVSA-N 1 2 324.222 1.952 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](CC(=O)N1CCOC[C@@H]1C#N)C(F)(F)F ZINC000553158055 421604333 /nfs/dbraw/zinc/60/43/33/421604333.db2.gz JZNHQKOEQDPKOJ-VHSXEESVSA-N 1 2 316.283 1.207 20 30 DDEDLO Cc1c(C[NH+]2CCN(C(=O)CC#N)CC2)cccc1[N+](=O)[O-] ZINC000568410288 421619823 /nfs/dbraw/zinc/61/98/23/421619823.db2.gz HMPCLMDBIORRPJ-UHFFFAOYSA-N 1 2 302.334 1.461 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2nc3cc(Cl)ccn3c2C#N)CCO1 ZINC000553053506 421598442 /nfs/dbraw/zinc/59/84/42/421598442.db2.gz ZLSASBKWPZVRHM-LLVKDONJSA-N 1 2 319.796 1.992 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2nc3cc(Cl)ccn3c2C#N)CCO1 ZINC000553053506 421598444 /nfs/dbraw/zinc/59/84/44/421598444.db2.gz ZLSASBKWPZVRHM-LLVKDONJSA-N 1 2 319.796 1.992 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000554986857 421668229 /nfs/dbraw/zinc/66/82/29/421668229.db2.gz ZXQBJLTVMSMLQD-LLVKDONJSA-N 1 2 311.345 1.969 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000554986857 421668231 /nfs/dbraw/zinc/66/82/31/421668231.db2.gz ZXQBJLTVMSMLQD-LLVKDONJSA-N 1 2 311.345 1.969 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCCN(C(C)=O)CC2)c1C#N ZINC000533778549 421682760 /nfs/dbraw/zinc/68/27/60/421682760.db2.gz ZGHDWCHWNVYWFG-UHFFFAOYSA-N 1 2 315.373 1.399 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCCN(C(C)=O)CC2)c1C#N ZINC000533778549 421682762 /nfs/dbraw/zinc/68/27/62/421682762.db2.gz ZGHDWCHWNVYWFG-UHFFFAOYSA-N 1 2 315.373 1.399 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(Cl)c(C#N)c1 ZINC000542727829 421828008 /nfs/dbraw/zinc/82/80/08/421828008.db2.gz IUDMDUPYXPUFQK-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(Cl)c(C#N)c1 ZINC000542727829 421828009 /nfs/dbraw/zinc/82/80/09/421828009.db2.gz IUDMDUPYXPUFQK-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO CC(C)C(=O)Nc1cccc(NC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000521614454 421794069 /nfs/dbraw/zinc/79/40/69/421794069.db2.gz PLZLPPIHCLDUKW-MRXNPFEDSA-N 1 2 318.421 1.899 20 30 DDEDLO CC(C)C(=O)Nc1cccc(NC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000521614454 421794070 /nfs/dbraw/zinc/79/40/70/421794070.db2.gz PLZLPPIHCLDUKW-MRXNPFEDSA-N 1 2 318.421 1.899 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1cc(OC)c(OC)c(OC)c1 ZINC000572972226 421890387 /nfs/dbraw/zinc/89/03/87/421890387.db2.gz SCFNNVHYZRMDNV-LLVKDONJSA-N 1 2 321.377 1.933 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2cc(C#N)cc(N(C)C)c2)CCO1 ZINC000559397336 421834246 /nfs/dbraw/zinc/83/42/46/421834246.db2.gz SJYDKPCBLOPGOU-CYBMUJFWSA-N 1 2 316.405 1.075 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2cc(C#N)cc(N(C)C)c2)CCO1 ZINC000559397336 421834250 /nfs/dbraw/zinc/83/42/50/421834250.db2.gz SJYDKPCBLOPGOU-CYBMUJFWSA-N 1 2 316.405 1.075 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)COc1ccc(Cl)c(F)c1 ZINC000573972768 422042597 /nfs/dbraw/zinc/04/25/97/422042597.db2.gz OJHLOJJWSLXBSW-AWEZNQCLSA-N 1 2 313.760 1.818 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)NCCCCn1cc[nH+]c1 ZINC000582339303 422143207 /nfs/dbraw/zinc/14/32/07/422143207.db2.gz YJCCVJKJTXYQLL-HUUCEWRRSA-N 1 2 306.410 1.944 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC2(S(C)(=O)=O)CCCCC2)nn1 ZINC000630619494 422225381 /nfs/dbraw/zinc/22/53/81/422225381.db2.gz XFEYOXDBCPPZOV-UHFFFAOYSA-N 1 2 324.450 1.139 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]Cc1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000575069614 422252041 /nfs/dbraw/zinc/25/20/41/422252041.db2.gz CGXGWTVDUMGDSR-LBPRGKRZSA-N 1 2 311.407 1.846 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000593844791 422340632 /nfs/dbraw/zinc/34/06/32/422340632.db2.gz QGWVOPJHHXRYFW-LLVKDONJSA-N 1 2 320.356 2.141 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNc1nc2c(cc1C#N)COCC2 ZINC000576699331 422376660 /nfs/dbraw/zinc/37/66/60/422376660.db2.gz KKKFOPIOKZDGNH-UHFFFAOYSA-N 1 2 311.389 2.491 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)n1cc(C[N@H+](C)CCOC)nn1 ZINC000640924398 423278716 /nfs/dbraw/zinc/27/87/16/423278716.db2.gz UYQQLNAXPKUGJK-CQSZACIVSA-N 1 2 323.441 1.342 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)n1cc(C[N@@H+](C)CCOC)nn1 ZINC000640924398 423278721 /nfs/dbraw/zinc/27/87/21/423278721.db2.gz UYQQLNAXPKUGJK-CQSZACIVSA-N 1 2 323.441 1.342 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)COc2ccc(C)cc2)nn1 ZINC000641195177 423467830 /nfs/dbraw/zinc/46/78/30/423467830.db2.gz URWIMWWIQQTANE-MRXNPFEDSA-N 1 2 314.389 1.139 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(c3nnc(CC)[nH]3)CC2)nn1 ZINC000653638110 423561577 /nfs/dbraw/zinc/56/15/77/423561577.db2.gz FGCMRUSSUYOJBT-UHFFFAOYSA-N 1 2 315.425 1.914 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(c3nc(CC)n[nH]3)CC2)nn1 ZINC000653638110 423561582 /nfs/dbraw/zinc/56/15/82/423561582.db2.gz FGCMRUSSUYOJBT-UHFFFAOYSA-N 1 2 315.425 1.914 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCNc1ccc2ccccc2[nH+]1 ZINC000648950207 423562285 /nfs/dbraw/zinc/56/22/85/423562285.db2.gz KPWFHQNHQCRFGM-ZBFHGGJFSA-N 1 2 311.385 1.776 20 30 DDEDLO C[C@@H]1CCC[N@H+](Cc2csc(NC(=O)NCC#CCO)n2)C1 ZINC000639465412 423511381 /nfs/dbraw/zinc/51/13/81/423511381.db2.gz OZHUZFBMJREPON-GFCCVEGCSA-N 1 2 322.434 1.492 20 30 DDEDLO C[C@@H]1CCC[N@@H+](Cc2csc(NC(=O)NCC#CCO)n2)C1 ZINC000639465412 423511387 /nfs/dbraw/zinc/51/13/87/423511387.db2.gz OZHUZFBMJREPON-GFCCVEGCSA-N 1 2 322.434 1.492 20 30 DDEDLO C=C[C@@H](O)C(=O)NC[C@@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000646669331 423569591 /nfs/dbraw/zinc/56/95/91/423569591.db2.gz IQJRFJIQWKZOPE-JKSUJKDBSA-N 1 2 304.390 1.031 20 30 DDEDLO C=CCCn1cc(CN2C[C@@H]([NH+]3CCOCC3)C[C@@H]2C)nn1 ZINC000653672179 423573518 /nfs/dbraw/zinc/57/35/18/423573518.db2.gz FZEUBINTFCFXQP-HOCLYGCPSA-N 1 2 305.426 1.149 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@@H](N3CCOCC3)C[C@@H]2C)nn1 ZINC000653672179 423573522 /nfs/dbraw/zinc/57/35/22/423573522.db2.gz FZEUBINTFCFXQP-HOCLYGCPSA-N 1 2 305.426 1.149 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@@H](N3CCOCC3)C[C@@H]2C)nn1 ZINC000653672179 423573528 /nfs/dbraw/zinc/57/35/28/423573528.db2.gz FZEUBINTFCFXQP-HOCLYGCPSA-N 1 2 305.426 1.149 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+][C@H](CO)c2ccsc2)C(N)=O)cc1 ZINC000352033926 269991682 /nfs/dbraw/zinc/99/16/82/269991682.db2.gz WZCJZWAKGFLXMY-ZIAGYGMSSA-N 1 2 301.371 1.469 20 30 DDEDLO C=CCN(C(=O)NCc1ccc[nH+]c1N(C)C)[C@@H](C)COC ZINC000663626175 424014251 /nfs/dbraw/zinc/01/42/51/424014251.db2.gz XJNQMARFKYZAOG-ZDUSSCGKSA-N 1 2 306.410 1.880 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1nc(-c2ccc(OC)cc2OC)no1 ZINC000662165991 424448478 /nfs/dbraw/zinc/44/84/78/424448478.db2.gz REGUZLCQOZUUKM-SNVBAGLBSA-N 1 2 305.334 1.390 20 30 DDEDLO C[C@@H]1CN(c2nccc(C#N)c2Cl)C[C@@H]1[NH+]1CCOCC1 ZINC000342573706 267065172 /nfs/dbraw/zinc/06/51/72/267065172.db2.gz OROHUJHMMLIQHS-YPMHNXCESA-N 1 2 306.797 1.764 20 30 DDEDLO C[C@H](C(=O)NCC[N@@H+]1CCOCC1(C)C)c1cccc(C#N)c1 ZINC000360147163 267132930 /nfs/dbraw/zinc/13/29/30/267132930.db2.gz AREPKDOKVCTGSE-AWEZNQCLSA-N 1 2 315.417 1.889 20 30 DDEDLO C[C@H](C(=O)NCC[N@H+]1CCOCC1(C)C)c1cccc(C#N)c1 ZINC000360147163 267132932 /nfs/dbraw/zinc/13/29/32/267132932.db2.gz AREPKDOKVCTGSE-AWEZNQCLSA-N 1 2 315.417 1.889 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)[C@H](C)c1cccc(C#N)c1 ZINC000360488314 267157053 /nfs/dbraw/zinc/15/70/53/267157053.db2.gz IXRBJXLLINMSMV-HUUCEWRRSA-N 1 2 315.417 1.841 20 30 DDEDLO C[C@H]1CC(=O)NCC[N@@H+]1Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000366901139 267209652 /nfs/dbraw/zinc/20/96/52/267209652.db2.gz BAJKBTJDVGZWSG-NSHDSACASA-N 1 2 311.345 1.319 20 30 DDEDLO C[C@H]1CC(=O)NCC[N@H+]1Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000366901139 267209654 /nfs/dbraw/zinc/20/96/54/267209654.db2.gz BAJKBTJDVGZWSG-NSHDSACASA-N 1 2 311.345 1.319 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1C(=O)C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000344343198 267228045 /nfs/dbraw/zinc/22/80/45/267228045.db2.gz AAZPJEVQTSCNDE-JTQLQIEISA-N 1 2 320.780 1.313 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1C(=O)C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000344343198 267228046 /nfs/dbraw/zinc/22/80/46/267228046.db2.gz AAZPJEVQTSCNDE-JTQLQIEISA-N 1 2 320.780 1.313 20 30 DDEDLO C[C@H]1C[N@@H+]2CCCC[C@@H]2CN1S(=O)(=O)c1cccc(C#N)c1 ZINC000316401658 267242154 /nfs/dbraw/zinc/24/21/54/267242154.db2.gz HFLSWJVRCHHXDN-DZGCQCFKSA-N 1 2 319.430 1.806 20 30 DDEDLO C[C@H]1C[N@H+]2CCCC[C@@H]2CN1S(=O)(=O)c1cccc(C#N)c1 ZINC000316401658 267242155 /nfs/dbraw/zinc/24/21/55/267242155.db2.gz HFLSWJVRCHHXDN-DZGCQCFKSA-N 1 2 319.430 1.806 20 30 DDEDLO C[C@H]1[C@H]([NH+]2CCOCC2)CCN1C(=O)c1cscc1C#N ZINC000356814020 267252318 /nfs/dbraw/zinc/25/23/18/267252318.db2.gz WJYCQOPYIXBSTD-SMDDNHRTSA-N 1 2 305.403 1.555 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)C(=O)Nc1ccc(F)c(C#N)c1 ZINC000527347198 267324551 /nfs/dbraw/zinc/32/45/51/267324551.db2.gz RAVNAEXHDOIWDF-UHFFFAOYSA-N 1 2 315.308 1.028 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNC(=O)Cc1noc(C)c1C#N ZINC000353875957 267642372 /nfs/dbraw/zinc/64/23/72/267642372.db2.gz WTUQKEBTFQCIBE-UHFFFAOYSA-N 1 2 323.356 1.872 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@H]3COC[C@H]32)cc1 ZINC000370300994 268187027 /nfs/dbraw/zinc/18/70/27/268187027.db2.gz ZHAXBCFCADXUMU-GOEBONIOSA-N 1 2 320.414 1.443 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC[C@H]3COC[C@H]32)cc1 ZINC000370300994 268187028 /nfs/dbraw/zinc/18/70/28/268187028.db2.gz ZHAXBCFCADXUMU-GOEBONIOSA-N 1 2 320.414 1.443 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CC[C@H]3CC[C@@H](C2)[S@]3=O)cc1 ZINC000528785139 268263826 /nfs/dbraw/zinc/26/38/26/268263826.db2.gz RXGFWIFZNPWBJK-YSOBRWQISA-N 1 2 317.414 1.070 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CC[C@H]3CC[C@@H](C2)[S@]3=O)cc1 ZINC000528785139 268263828 /nfs/dbraw/zinc/26/38/28/268263828.db2.gz RXGFWIFZNPWBJK-YSOBRWQISA-N 1 2 317.414 1.070 20 30 DDEDLO N#Cc1cncnc1N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000514690900 268316278 /nfs/dbraw/zinc/31/62/78/268316278.db2.gz KWBAMZSBZQJSAY-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO CCOCCOCC[N@H+](C)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459433408 276326212 /nfs/dbraw/zinc/32/62/12/276326212.db2.gz NJFBZPGWQCNZTN-UHFFFAOYSA-N 1 2 307.350 1.951 20 30 DDEDLO CCOCCOCC[N@@H+](C)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459433408 276326215 /nfs/dbraw/zinc/32/62/15/276326215.db2.gz NJFBZPGWQCNZTN-UHFFFAOYSA-N 1 2 307.350 1.951 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)o2)c2ccccc2C1 ZINC000286935200 277110101 /nfs/dbraw/zinc/11/01/01/277110101.db2.gz PWZMPIRIOLQOHO-UHFFFAOYSA-N 1 2 317.370 1.792 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)o2)c2ccccc2C1 ZINC000286935200 277110103 /nfs/dbraw/zinc/11/01/03/277110103.db2.gz PWZMPIRIOLQOHO-UHFFFAOYSA-N 1 2 317.370 1.792 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000355180391 279212584 /nfs/dbraw/zinc/21/25/84/279212584.db2.gz APVGZEYOJPVCKA-KSSFIOAISA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000355180391 279212586 /nfs/dbraw/zinc/21/25/86/279212586.db2.gz APVGZEYOJPVCKA-KSSFIOAISA-N 1 2 321.384 1.574 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)C#Cc2ccc3c(c2)OCO3)C1 ZINC000378265759 279379339 /nfs/dbraw/zinc/37/93/39/279379339.db2.gz OQRXMDULVQHPOV-AWEZNQCLSA-N 1 2 323.352 1.516 20 30 DDEDLO COC(=O)C[N@H+](Cc1ccc(F)c(C#N)c1)C1CCOCC1 ZINC000121604567 281140845 /nfs/dbraw/zinc/14/08/45/281140845.db2.gz POIDVXDBMCOGIG-UHFFFAOYSA-N 1 2 306.337 1.851 20 30 DDEDLO COC(=O)C[N@@H+](Cc1ccc(F)c(C#N)c1)C1CCOCC1 ZINC000121604567 281140846 /nfs/dbraw/zinc/14/08/46/281140846.db2.gz POIDVXDBMCOGIG-UHFFFAOYSA-N 1 2 306.337 1.851 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cccnn3)CC2)cc1C#N ZINC000135024986 281570649 /nfs/dbraw/zinc/57/06/49/281570649.db2.gz CFIAXADCETVGDO-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C\c1cnn(-c2ccccc2)c1 ZINC000493430848 289204191 /nfs/dbraw/zinc/20/41/91/289204191.db2.gz UOJRVTWUBMRWFX-HJCTWCACSA-N 1 2 323.400 1.846 20 30 DDEDLO C[NH+]1CCN(C([O-])=[NH+][C@H]2CCc3nc(C(C)(C)C)cn3C2)CC1 ZINC000329204819 290006260 /nfs/dbraw/zinc/00/62/60/290006260.db2.gz DGBWLVJRPDMFRR-ZDUSSCGKSA-N 1 2 319.453 1.657 20 30 DDEDLO C[C@@]1(C(N)=O)CCN(C(=O)NCC2([NH+]3CCOCC3)CC2)C1 ZINC000329999376 297854630 /nfs/dbraw/zinc/85/46/30/297854630.db2.gz UQPJGJRWEZCBQU-CQSZACIVSA-N 1 2 310.398 1.012 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c(F)c1 ZINC000370806572 301395412 /nfs/dbraw/zinc/39/54/12/301395412.db2.gz UNFUTKTWVMJBMC-LBPRGKRZSA-N 1 2 321.327 1.383 20 30 DDEDLO COCC1(O)CC[NH+](Cc2cccc(C(=O)OC)c2C#N)CC1 ZINC000534667599 303335410 /nfs/dbraw/zinc/33/54/10/303335410.db2.gz VAAZZOLAWHCIMV-UHFFFAOYSA-N 1 2 318.373 1.318 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC[C@@H](Nc2ncccc2C#N)C1=O ZINC000543047912 303453306 /nfs/dbraw/zinc/45/33/06/303453306.db2.gz HYEAJAZVAOBTFZ-CYBMUJFWSA-N 1 2 310.361 1.290 20 30 DDEDLO N#Cc1ccc(CN2CC[N@@H+](CCOC3CCC3)CC2=O)cc1 ZINC000367742575 307103270 /nfs/dbraw/zinc/10/32/70/307103270.db2.gz VIRXYMATEVYKSX-UHFFFAOYSA-N 1 2 313.401 1.772 20 30 DDEDLO N#Cc1ccc(CN2CC[N@H+](CCOC3CCC3)CC2=O)cc1 ZINC000367742575 307103271 /nfs/dbraw/zinc/10/32/71/307103271.db2.gz VIRXYMATEVYKSX-UHFFFAOYSA-N 1 2 313.401 1.772 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)Cc2cnn(C)c2C)c(C#N)c1C ZINC000567837376 308097673 /nfs/dbraw/zinc/09/76/73/308097673.db2.gz BMXJJBPSKSHDEP-UHFFFAOYSA-N 1 2 315.377 1.881 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)Cc2cnn(C)c2C)c(C#N)c1C ZINC000567837376 308097674 /nfs/dbraw/zinc/09/76/74/308097674.db2.gz BMXJJBPSKSHDEP-UHFFFAOYSA-N 1 2 315.377 1.881 20 30 DDEDLO C[C@H]([C@H](C)Nc1ncc2c(c1C#N)CCC2)[NH+]1CCOCC1 ZINC000577504230 308393589 /nfs/dbraw/zinc/39/35/89/308393589.db2.gz KTCVLUMXTGSLAP-QWHCGFSZSA-N 1 2 300.406 1.963 20 30 DDEDLO Cc1cc(NC[C@@H]2CS(=O)(=O)c3ccccc32)c(C#N)c[nH+]1 ZINC000580829372 308634151 /nfs/dbraw/zinc/63/41/51/308634151.db2.gz ATSTYWRSIMTGNS-CYBMUJFWSA-N 1 2 313.382 1.667 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnc(-c2cccnc2)s1 ZINC000517748176 331513540 /nfs/dbraw/zinc/51/35/40/331513540.db2.gz QKZVWQHDMIIBIM-OAHLLOKOSA-N 1 2 315.402 1.779 20 30 DDEDLO N#CCCC1CC[NH+](Cc2nnnn2C2CCOCC2)CC1 ZINC000518526054 332073919 /nfs/dbraw/zinc/07/39/19/332073919.db2.gz DGDBVXATKXYWDR-UHFFFAOYSA-N 1 2 304.398 1.540 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000560489650 332345735 /nfs/dbraw/zinc/34/57/35/332345735.db2.gz XAXUSRWHNUYXAH-OAHLLOKOSA-N 1 2 317.433 1.926 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000562323044 332577220 /nfs/dbraw/zinc/57/72/20/332577220.db2.gz KZIZQESJCUNDIR-MLGOLLRUSA-N 1 2 300.362 1.286 20 30 DDEDLO C=C(C)COCCNC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000151475271 332580441 /nfs/dbraw/zinc/58/04/41/332580441.db2.gz VHCGOSIRUDCXMX-UHFFFAOYSA-N 1 2 318.421 1.502 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCN(c3cccs3)CC2)CCC1 ZINC000525886350 335141597 /nfs/dbraw/zinc/14/15/97/335141597.db2.gz DAQUNTGTVMQDRN-UHFFFAOYSA-N 1 2 304.419 1.433 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H]1CCN(c2ccccc2F)C1 ZINC000563220402 333486315 /nfs/dbraw/zinc/48/63/15/333486315.db2.gz NBAUNFOBMLEVQM-DYVFJYSZSA-N 1 2 318.396 1.612 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)NCC(C)(C)CCC#N)[NH+]1CCOCC1 ZINC000352820777 336256199 /nfs/dbraw/zinc/25/61/99/336256199.db2.gz RDFUBUMEWHGJQC-UONOGXRCSA-N 1 2 310.442 1.725 20 30 DDEDLO Cc1ncc(S(=O)(=O)N[C@H]2C[C@@H](C)n3cc[nH+]c32)cc1C#N ZINC000582946054 337220829 /nfs/dbraw/zinc/22/08/29/337220829.db2.gz AZCHZEJZLAKQJB-RNCFNFMXSA-N 1 2 317.374 1.443 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1csc(-c2cnccn2)n1 ZINC000584414540 337322196 /nfs/dbraw/zinc/32/21/96/337322196.db2.gz XPYYREZAJZGAMK-CQSZACIVSA-N 1 2 316.390 1.174 20 30 DDEDLO C#CCNC(=O)NCc1ccc(N2C[C@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000077668414 341228521 /nfs/dbraw/zinc/22/85/21/341228521.db2.gz FSHKSQDQQRJHFK-STQMWFEESA-N 1 2 302.378 1.128 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H]([NH+]=C([O-])N1CCC[C@@H](O)C1)C2 ZINC000329269428 484420750 /nfs/dbraw/zinc/42/07/50/484420750.db2.gz IJALIMZWZSDKFI-QWHCGFSZSA-N 1 2 320.437 1.866 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+]([C@@H](C)c2cccc(OC)c2)CC1 ZINC000668239034 485047200 /nfs/dbraw/zinc/04/72/00/485047200.db2.gz FWMGZLLELOALEW-KBXCAEBGSA-N 1 2 318.417 1.837 20 30 DDEDLO O=C(NO)c1ccc(CNC(=O)c2cccc3[nH+]ccn32)cc1 ZINC000671995333 485215425 /nfs/dbraw/zinc/21/54/25/485215425.db2.gz FVHFKCMWKYCRKA-UHFFFAOYSA-N 1 2 310.313 1.383 20 30 DDEDLO C=CCN(CCOC)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000682858045 485802564 /nfs/dbraw/zinc/80/25/64/485802564.db2.gz YZTIYWVQEDFVMI-UHFFFAOYSA-N 1 2 303.406 1.846 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000684782720 486429177 /nfs/dbraw/zinc/42/91/77/486429177.db2.gz VIWSZEPWLVKBOV-WFASDCNBSA-N 1 2 313.361 1.373 20 30 DDEDLO COCC#CC[N@H+](C)CC(=O)Nc1cc(OC)cc(OC)c1 ZINC000677818938 486547893 /nfs/dbraw/zinc/54/78/93/486547893.db2.gz LWTURRFQVCNDHG-UHFFFAOYSA-N 1 2 306.362 1.224 20 30 DDEDLO COCC#CC[N@@H+](C)CC(=O)Nc1cc(OC)cc(OC)c1 ZINC000677818938 486547897 /nfs/dbraw/zinc/54/78/97/486547897.db2.gz LWTURRFQVCNDHG-UHFFFAOYSA-N 1 2 306.362 1.224 20 30 DDEDLO Cc1cc(N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)c(C#N)c[nH+]1 ZINC000425242101 533903897 /nfs/dbraw/zinc/90/38/97/533903897.db2.gz RCBZQYURRKCFCV-CQSZACIVSA-N 1 2 320.418 1.266 20 30 DDEDLO COc1cc(F)cc(CNC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)c1 ZINC000330601087 533994541 /nfs/dbraw/zinc/99/45/41/533994541.db2.gz HTHBJTXZQQFEHU-AWEZNQCLSA-N 1 2 324.400 1.084 20 30 DDEDLO COc1cc(F)cc(CNC(=O)NC[C@H]2CN(C)CC[N@H+]2C)c1 ZINC000330601087 533994556 /nfs/dbraw/zinc/99/45/56/533994556.db2.gz HTHBJTXZQQFEHU-AWEZNQCLSA-N 1 2 324.400 1.084 20 30 DDEDLO COC(=O)c1ccccc1N(C)C(=O)C[NH2+][C@H]1CCC[C@@H]1C#N ZINC000459474101 534291475 /nfs/dbraw/zinc/29/14/75/534291475.db2.gz YNCXUPDKLMMTRG-OCCSQVGLSA-N 1 2 315.373 1.718 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)NCC(=O)NC(C)(C)C)CCC2 ZINC000330380714 534509730 /nfs/dbraw/zinc/50/97/30/534509730.db2.gz MIMHUHWZPLETJC-GFCCVEGCSA-N 1 2 321.425 1.487 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NC[C@@H]1CC[C@@H](O)C1)CCC2 ZINC000329930368 534510833 /nfs/dbraw/zinc/51/08/33/534510833.db2.gz OJGIERFNPUOBRG-HZSPNIEDSA-N 1 2 306.410 1.734 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NC[C@H](O)C(F)(F)F)CCC2 ZINC000330407353 534510880 /nfs/dbraw/zinc/51/08/80/534510880.db2.gz RLEPBHIIISOZCI-UWVGGRQHSA-N 1 2 320.315 1.496 20 30 DDEDLO Cc1cnc(C(=O)NC[C@@H](C)CN2CC[NH+](C)CC2)c(O)c1 ZINC000330865222 534521501 /nfs/dbraw/zinc/52/15/01/534521501.db2.gz BUBMEWYWNLHUPC-CYBMUJFWSA-N 1 2 306.410 1.284 20 30 DDEDLO O=C(NC[C@H]1COCCO1)c1ccccc1C[NH+]1CCOCC1 ZINC000329865167 534755648 /nfs/dbraw/zinc/75/56/48/534755648.db2.gz ABBKXRVHZWVNFY-HNNXBMFYSA-N 1 2 320.389 1.239 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N(C[C@@H]1CCOC1)C1CC1 ZINC000329748417 534764703 /nfs/dbraw/zinc/76/47/03/534764703.db2.gz SZPRFCFKCSPACA-NWDGAFQWSA-N 1 2 304.394 1.682 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N(C[C@@H]1CCOC1)C1CC1 ZINC000329748417 534764704 /nfs/dbraw/zinc/76/47/04/534764704.db2.gz SZPRFCFKCSPACA-NWDGAFQWSA-N 1 2 304.394 1.682 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)Cc3ccncc3)CC2)cc1 ZINC000299259851 534780512 /nfs/dbraw/zinc/78/05/12/534780512.db2.gz XKKHXKVJBYJXOK-UHFFFAOYSA-N 1 2 320.396 1.840 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)nc1 ZINC000292408840 534797161 /nfs/dbraw/zinc/79/71/61/534797161.db2.gz OZTYBZZSIFHONJ-CQSZACIVSA-N 1 2 311.345 1.220 20 30 DDEDLO Cc1nc(C)c(CNC(=O)N2CC[C@H]([NH+]3CCOCC3)C2)s1 ZINC000329737370 534881440 /nfs/dbraw/zinc/88/14/40/534881440.db2.gz MCBSORLXVMKQJI-ZDUSSCGKSA-N 1 2 324.450 1.580 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000341331343 526302428 /nfs/dbraw/zinc/30/24/28/526302428.db2.gz OYKCHBFUHMVESK-AWEZNQCLSA-N 1 2 316.405 1.221 20 30 DDEDLO C=CC[N@@H+](CN1C[C@H](NC(=O)OC(C)(C)C)CC1=O)C1CC1 ZINC000495221727 526329808 /nfs/dbraw/zinc/32/98/08/526329808.db2.gz NTXWEAOIOUISDY-GFCCVEGCSA-N 1 2 309.410 1.720 20 30 DDEDLO C=CC[N@H+](CN1C[C@H](NC(=O)OC(C)(C)C)CC1=O)C1CC1 ZINC000495221727 526329816 /nfs/dbraw/zinc/32/98/16/526329816.db2.gz NTXWEAOIOUISDY-GFCCVEGCSA-N 1 2 309.410 1.720 20 30 DDEDLO C=CC[N@H+](Cc1cccc2c1NCC2)[C@H]1CCS(=O)(=O)C1 ZINC000346818142 526351638 /nfs/dbraw/zinc/35/16/38/526351638.db2.gz AXAHCAUMWBJQPC-HNNXBMFYSA-N 1 2 306.431 1.830 20 30 DDEDLO C=CC[N@@H+](Cc1cccc2c1NCC2)[C@H]1CCS(=O)(=O)C1 ZINC000346818142 526351639 /nfs/dbraw/zinc/35/16/39/526351639.db2.gz AXAHCAUMWBJQPC-HNNXBMFYSA-N 1 2 306.431 1.830 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2ccc(C)cc2C)C1 ZINC000330960867 526400508 /nfs/dbraw/zinc/40/05/08/526400508.db2.gz XYEIFXVMJCOEPW-UONOGXRCSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2ccc(C)cc2C)C1 ZINC000330960867 526400512 /nfs/dbraw/zinc/40/05/12/526400512.db2.gz XYEIFXVMJCOEPW-UONOGXRCSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)c1cc(NC(=O)[C@@H]2C[N@H+](C)CCO2)ccc1Cl ZINC000330890732 526407981 /nfs/dbraw/zinc/40/79/81/526407981.db2.gz JOUOVIQBFGHAKJ-LBPRGKRZSA-N 1 2 311.769 1.544 20 30 DDEDLO CNC(=O)c1cc(NC(=O)[C@@H]2C[N@@H+](C)CCO2)ccc1Cl ZINC000330890732 526407986 /nfs/dbraw/zinc/40/79/86/526407986.db2.gz JOUOVIQBFGHAKJ-LBPRGKRZSA-N 1 2 311.769 1.544 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NCC2CCCCC2)C1=O ZINC000337230463 526468294 /nfs/dbraw/zinc/46/82/94/526468294.db2.gz SUOZJVFFSKEPPQ-OAHLLOKOSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NCC2CCCCC2)C1=O ZINC000337230463 526468299 /nfs/dbraw/zinc/46/82/99/526468299.db2.gz SUOZJVFFSKEPPQ-OAHLLOKOSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494309736 526535797 /nfs/dbraw/zinc/53/57/97/526535797.db2.gz CRYKUDUOTVCNIW-ZIAGYGMSSA-N 1 2 309.410 1.326 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494309736 526535798 /nfs/dbraw/zinc/53/57/98/526535798.db2.gz CRYKUDUOTVCNIW-ZIAGYGMSSA-N 1 2 309.410 1.326 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH2+][C@H](c1nc(C)no1)C1CCOCC1 ZINC000431639977 526628417 /nfs/dbraw/zinc/62/84/17/526628417.db2.gz NWVNESIRAYCNIO-MFKMUULPSA-N 1 2 308.382 1.126 20 30 DDEDLO CC(=O)N1CC[NH+](Cc2ccccc2NC(=O)[C@@H](C)C#N)CC1 ZINC000444342290 526631957 /nfs/dbraw/zinc/63/19/57/526631957.db2.gz KXOHGPRHHREHCV-ZDUSSCGKSA-N 1 2 314.389 1.449 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH2+][C@H](CO)c1cccc(Cl)c1F ZINC000337230118 526636830 /nfs/dbraw/zinc/63/68/30/526636830.db2.gz SNKWNECGFCEMFM-JOYOIKCWSA-N 1 2 300.761 1.793 20 30 DDEDLO C=CCOC[C@H]([NH2+][C@@H]1CCO[C@@H](C(F)(F)F)C1)C(=O)OC ZINC000348361715 526810440 /nfs/dbraw/zinc/81/04/40/526810440.db2.gz UDTKTMLXAHJJQK-OUAUKWLOSA-N 1 2 311.300 1.430 20 30 DDEDLO C#CCN(CC#CC)C(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000490713504 526851537 /nfs/dbraw/zinc/85/15/37/526851537.db2.gz KMXCGCLVOBZIAG-UHFFFAOYSA-N 1 2 320.352 1.296 20 30 DDEDLO C#CC[N@@H+](CCCC1(C#N)CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000490680324 526900631 /nfs/dbraw/zinc/90/06/31/526900631.db2.gz ZICMEUMWGQXWTI-HNNXBMFYSA-N 1 2 324.446 1.209 20 30 DDEDLO C#CC[N@H+](CCCC1(C#N)CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000490680324 526900638 /nfs/dbraw/zinc/90/06/38/526900638.db2.gz ZICMEUMWGQXWTI-HNNXBMFYSA-N 1 2 324.446 1.209 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1cccc(S(C)(=O)=O)c1 ZINC000490892054 526942252 /nfs/dbraw/zinc/94/22/52/526942252.db2.gz JKUQLUQAAIFUMK-CQSZACIVSA-N 1 2 321.398 1.345 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1cccc(S(C)(=O)=O)c1 ZINC000490892054 526942257 /nfs/dbraw/zinc/94/22/57/526942257.db2.gz JKUQLUQAAIFUMK-CQSZACIVSA-N 1 2 321.398 1.345 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CON=Cc2ccc(Cl)cc2)CC1 ZINC000490763315 526951321 /nfs/dbraw/zinc/95/13/21/526951321.db2.gz PKJSIOCRYNCGEA-UHFFFAOYSA-N 1 2 319.792 1.468 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(Cl)cc2OC)CC1 ZINC000491729277 526951423 /nfs/dbraw/zinc/95/14/23/526951423.db2.gz NKEHUYIGVHEKIO-UHFFFAOYSA-N 1 2 322.792 1.505 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)CC[NH+]1CCOCC1 ZINC000341426005 526953420 /nfs/dbraw/zinc/95/34/20/526953420.db2.gz GIJOGRVJFZPSPP-UHFFFAOYSA-N 1 2 305.378 1.051 20 30 DDEDLO CC(=O)[C@H](Cc1ccccc1)NC(=O)C[NH2+][C@@H]1CCC[C@H]1C#N ZINC000459478138 527146871 /nfs/dbraw/zinc/14/68/71/527146871.db2.gz ZGJOHJFKMNIGOT-BBWFWOEESA-N 1 2 313.401 1.585 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@H](C)C(=O)NCCC(C)C)n1 ZINC000491633522 527184114 /nfs/dbraw/zinc/18/41/14/527184114.db2.gz RFCYVJMQDAMBRG-OAHLLOKOSA-N 1 2 304.438 1.889 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@H](C)C(=O)NCCC(C)C)n1 ZINC000491633522 527184116 /nfs/dbraw/zinc/18/41/16/527184116.db2.gz RFCYVJMQDAMBRG-OAHLLOKOSA-N 1 2 304.438 1.889 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CCN(CC)C(=O)C1 ZINC000348823380 527265469 /nfs/dbraw/zinc/26/54/69/527265469.db2.gz VVEAFZMEESFFHO-MRXNPFEDSA-N 1 2 318.417 1.319 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CCN(CC)C(=O)C1 ZINC000348823380 527265473 /nfs/dbraw/zinc/26/54/73/527265473.db2.gz VVEAFZMEESFFHO-MRXNPFEDSA-N 1 2 318.417 1.319 20 30 DDEDLO C#C[C@H](NC(=O)NCC[NH+]1CCOCC1)c1ccc(Cl)cc1 ZINC000491818137 527392247 /nfs/dbraw/zinc/39/22/47/527392247.db2.gz XKVPTHNDSVGKBD-HNNXBMFYSA-N 1 2 321.808 1.646 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000491621346 527416990 /nfs/dbraw/zinc/41/69/90/527416990.db2.gz GEYURXBQUVXPGR-JKSUJKDBSA-N 1 2 309.369 1.792 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@H](O)COc1ccc(SC)cc1 ZINC000491700292 527429092 /nfs/dbraw/zinc/42/90/92/527429092.db2.gz GACFANQDYDQOJC-KBPBESRZSA-N 1 2 307.415 1.482 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@H](O)COc1ccc(SC)cc1 ZINC000491700292 527429096 /nfs/dbraw/zinc/42/90/96/527429096.db2.gz GACFANQDYDQOJC-KBPBESRZSA-N 1 2 307.415 1.482 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@H+](C)CC(C)(C)C#N)C1 ZINC000433300646 527837612 /nfs/dbraw/zinc/83/76/12/527837612.db2.gz TYNZGRVHOOJURP-ZIAGYGMSSA-N 1 2 323.437 1.658 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@@H+](C)CC(C)(C)C#N)C1 ZINC000433300646 527837616 /nfs/dbraw/zinc/83/76/16/527837616.db2.gz TYNZGRVHOOJURP-ZIAGYGMSSA-N 1 2 323.437 1.658 20 30 DDEDLO CC(C)c1ccc(C(=O)N[C@H](CO)C[NH+]2CCOCC2)cn1 ZINC000330573570 528055409 /nfs/dbraw/zinc/05/54/09/528055409.db2.gz FBUAAPTWFIXNIA-AWEZNQCLSA-N 1 2 307.394 1.203 20 30 DDEDLO CCC(=O)N1CCCC[C@@H]1C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000330306557 528298022 /nfs/dbraw/zinc/29/80/22/528298022.db2.gz LIEGBSLKJPAVPE-QWHCGFSZSA-N 1 2 304.394 1.946 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@H](Oc2ccncc2)C1 ZINC000346485195 528851605 /nfs/dbraw/zinc/85/16/05/528851605.db2.gz FVFUWNVOQGCVFO-NVXWUHKLSA-N 1 2 316.405 1.589 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@@H](Oc2ccncc2)C1 ZINC000346485195 528851616 /nfs/dbraw/zinc/85/16/16/528851616.db2.gz FVFUWNVOQGCVFO-NVXWUHKLSA-N 1 2 316.405 1.589 20 30 DDEDLO CC(C)[C@H](C)NC(=O)N1CC[C@@H]([N@H+](C)Cc2ncccn2)C1 ZINC000331083001 528931968 /nfs/dbraw/zinc/93/19/68/528931968.db2.gz OZQIBNPLTIBOHQ-UONOGXRCSA-N 1 2 305.426 1.941 20 30 DDEDLO CC(C)[C@H](C)NC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000331083001 528931972 /nfs/dbraw/zinc/93/19/72/528931972.db2.gz OZQIBNPLTIBOHQ-UONOGXRCSA-N 1 2 305.426 1.941 20 30 DDEDLO C[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)NCc1cccc(C#N)c1 ZINC000745080231 699970790 /nfs/dbraw/zinc/97/07/90/699970790.db2.gz QTXZWKDWKYPYMZ-HNNXBMFYSA-N 1 2 315.373 1.202 20 30 DDEDLO C[N@H+]1CCCC[C@H]1C(=O)OCC(=O)NCc1cccc(C#N)c1 ZINC000745080231 699970791 /nfs/dbraw/zinc/97/07/91/699970791.db2.gz QTXZWKDWKYPYMZ-HNNXBMFYSA-N 1 2 315.373 1.202 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)O[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000745087750 699971368 /nfs/dbraw/zinc/97/13/68/699971368.db2.gz RQVSMOWXIBXOGO-HUUCEWRRSA-N 1 2 315.373 1.502 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)O[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000745087750 699971369 /nfs/dbraw/zinc/97/13/69/699971369.db2.gz RQVSMOWXIBXOGO-HUUCEWRRSA-N 1 2 315.373 1.502 20 30 DDEDLO CC[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1sccc1C#N ZINC000745087168 699971426 /nfs/dbraw/zinc/97/14/26/699971426.db2.gz WCPYAKMWHWTDPW-LBPRGKRZSA-N 1 2 321.402 1.976 20 30 DDEDLO CC[N@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1sccc1C#N ZINC000745087168 699971427 /nfs/dbraw/zinc/97/14/27/699971427.db2.gz WCPYAKMWHWTDPW-LBPRGKRZSA-N 1 2 321.402 1.976 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC000971822543 695103621 /nfs/dbraw/zinc/10/36/21/695103621.db2.gz WHAJWIIPBGWTAB-KGLIPLIRSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC000971822543 695103624 /nfs/dbraw/zinc/10/36/24/695103624.db2.gz WHAJWIIPBGWTAB-KGLIPLIRSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CC)n[nH]3)C2)C1 ZINC000972261909 695194883 /nfs/dbraw/zinc/19/48/83/695194883.db2.gz OTRZZNVGZKFGJN-INIZCTEOSA-N 1 2 304.394 1.075 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CC)n[nH]3)C2)C1 ZINC000972261909 695194884 /nfs/dbraw/zinc/19/48/84/695194884.db2.gz OTRZZNVGZKFGJN-INIZCTEOSA-N 1 2 304.394 1.075 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccc(C)n3)C2)C1 ZINC000972264545 695196258 /nfs/dbraw/zinc/19/62/58/695196258.db2.gz JBTNEILWNPAOOU-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccc(C)n3)C2)C1 ZINC000972264545 695196260 /nfs/dbraw/zinc/19/62/60/695196260.db2.gz JBTNEILWNPAOOU-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3scnc3C)C2)C1 ZINC000972271850 695199622 /nfs/dbraw/zinc/19/96/22/695199622.db2.gz JKWOHLLITWODLR-OAHLLOKOSA-N 1 2 307.419 1.554 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3scnc3C)C2)C1 ZINC000972271850 695199623 /nfs/dbraw/zinc/19/96/23/695199623.db2.gz JKWOHLLITWODLR-OAHLLOKOSA-N 1 2 307.419 1.554 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3CCOCC3)C2)C1 ZINC000972295063 695208972 /nfs/dbraw/zinc/20/89/72/695208972.db2.gz PXNHZEMFSZYFLD-GOSISDBHSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3CCOCC3)C2)C1 ZINC000972295063 695208974 /nfs/dbraw/zinc/20/89/74/695208974.db2.gz PXNHZEMFSZYFLD-GOSISDBHSA-N 1 2 320.433 1.130 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3oc(C)cc3C)C2)C1 ZINC000972324640 695216338 /nfs/dbraw/zinc/21/63/38/695216338.db2.gz PIURXABZGSRAHW-QGZVFWFLSA-N 1 2 304.390 1.999 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3oc(C)cc3C)C2)C1 ZINC000972324640 695216340 /nfs/dbraw/zinc/21/63/40/695216340.db2.gz PIURXABZGSRAHW-QGZVFWFLSA-N 1 2 304.390 1.999 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(F)CCCC3)C2)C1 ZINC000972444203 695253174 /nfs/dbraw/zinc/25/31/74/695253174.db2.gz PRZAEBYIUJXNJV-INIZCTEOSA-N 1 2 308.397 1.595 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(F)CCCC3)C2)C1 ZINC000972444203 695253175 /nfs/dbraw/zinc/25/31/75/695253175.db2.gz PRZAEBYIUJXNJV-INIZCTEOSA-N 1 2 308.397 1.595 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C(C)C)no3)C2)C1 ZINC000972583264 695290219 /nfs/dbraw/zinc/29/02/19/695290219.db2.gz RTUWITVAVZEHCG-KRWDZBQOSA-N 1 2 319.405 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C(C)C)no3)C2)C1 ZINC000972583264 695290220 /nfs/dbraw/zinc/29/02/20/695290220.db2.gz RTUWITVAVZEHCG-KRWDZBQOSA-N 1 2 319.405 1.901 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccccc3F)C2)C1 ZINC000972660993 695312253 /nfs/dbraw/zinc/31/22/53/695312253.db2.gz GNRKHMJDFCOEMU-SFHVURJKSA-N 1 2 316.376 1.305 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccccc3F)C2)C1 ZINC000972660993 695312256 /nfs/dbraw/zinc/31/22/56/695312256.db2.gz GNRKHMJDFCOEMU-SFHVURJKSA-N 1 2 316.376 1.305 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)onc3CC)C2)C1 ZINC000972669020 695314198 /nfs/dbraw/zinc/31/41/98/695314198.db2.gz GZTMPQXYPMRTHM-KRWDZBQOSA-N 1 2 319.405 1.648 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)onc3CC)C2)C1 ZINC000972669020 695314199 /nfs/dbraw/zinc/31/41/99/695314199.db2.gz GZTMPQXYPMRTHM-KRWDZBQOSA-N 1 2 319.405 1.648 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnc(C)cn2)C(C)(C)C1 ZINC000972775830 695333625 /nfs/dbraw/zinc/33/36/25/695333625.db2.gz GYITWYYAILYWIL-ZDUSSCGKSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnc(C)cn2)C(C)(C)C1 ZINC000972775830 695333626 /nfs/dbraw/zinc/33/36/26/695333626.db2.gz GYITWYYAILYWIL-ZDUSSCGKSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974771480 695731140 /nfs/dbraw/zinc/73/11/40/695731140.db2.gz YKEWXJQXFXLPRI-VXGBXAGGSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974771480 695731141 /nfs/dbraw/zinc/73/11/41/695731141.db2.gz YKEWXJQXFXLPRI-VXGBXAGGSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974881887 695752716 /nfs/dbraw/zinc/75/27/16/695752716.db2.gz SFRLDTKNGILDPY-ABAIWWIYSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974881887 695752718 /nfs/dbraw/zinc/75/27/18/695752718.db2.gz SFRLDTKNGILDPY-ABAIWWIYSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@]2(F)CCOC2)C(C)(C)C1 ZINC000974971960 695770803 /nfs/dbraw/zinc/77/08/03/695770803.db2.gz NRQFFAHBLGWRHG-SMDDNHRTSA-N 1 2 304.793 1.694 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@]2(F)CCOC2)C(C)(C)C1 ZINC000974971960 695770804 /nfs/dbraw/zinc/77/08/04/695770804.db2.gz NRQFFAHBLGWRHG-SMDDNHRTSA-N 1 2 304.793 1.694 20 30 DDEDLO CC1(C)C[N@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1nnc[nH]1 ZINC000977349226 696124104 /nfs/dbraw/zinc/12/41/04/696124104.db2.gz CJRHXRRKJDXFTO-CQSZACIVSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1nnc[nH]1 ZINC000977349226 696124108 /nfs/dbraw/zinc/12/41/08/696124108.db2.gz CJRHXRRKJDXFTO-CQSZACIVSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1ncn[nH]1 ZINC000977349226 696124112 /nfs/dbraw/zinc/12/41/12/696124112.db2.gz CJRHXRRKJDXFTO-CQSZACIVSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1ncn[nH]1 ZINC000977349226 696124114 /nfs/dbraw/zinc/12/41/14/696124114.db2.gz CJRHXRRKJDXFTO-CQSZACIVSA-N 1 2 324.388 1.317 20 30 DDEDLO C#CCC[C@@H](O)CNc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000798420012 700064111 /nfs/dbraw/zinc/06/41/11/700064111.db2.gz YNGCQBGZNWISMX-UONOGXRCSA-N 1 2 304.394 1.014 20 30 DDEDLO C#CCC[C@@H](O)CNc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000798420012 700064112 /nfs/dbraw/zinc/06/41/12/700064112.db2.gz YNGCQBGZNWISMX-UONOGXRCSA-N 1 2 304.394 1.014 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2C[C@@H](C)O[C@H](C(=O)OC)C2)cc1 ZINC000092013725 696592224 /nfs/dbraw/zinc/59/22/24/696592224.db2.gz QAWPPPHUASXQRS-PBHICJAKSA-N 1 2 317.385 1.851 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2C[C@@H](C)O[C@H](C(=O)OC)C2)cc1 ZINC000092013725 696592226 /nfs/dbraw/zinc/59/22/26/696592226.db2.gz QAWPPPHUASXQRS-PBHICJAKSA-N 1 2 317.385 1.851 20 30 DDEDLO C#CC[N@@H+](Cc1cc(C)c(C)cc1O)[C@H]1CCS(=O)(=O)C1 ZINC000092193589 696592916 /nfs/dbraw/zinc/59/29/16/696592916.db2.gz MQKOUYZYIYECIE-HNNXBMFYSA-N 1 2 307.415 1.631 20 30 DDEDLO C#CC[N@H+](Cc1cc(C)c(C)cc1O)[C@H]1CCS(=O)(=O)C1 ZINC000092193589 696592919 /nfs/dbraw/zinc/59/29/19/696592919.db2.gz MQKOUYZYIYECIE-HNNXBMFYSA-N 1 2 307.415 1.631 20 30 DDEDLO CC(=O)CC[NH+]1CCN(c2oc(-c3ccco3)nc2C#N)CC1 ZINC000125051049 696748981 /nfs/dbraw/zinc/74/89/81/696748981.db2.gz HABAAGQXEZGCCF-UHFFFAOYSA-N 1 2 314.345 1.907 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)C[C@@](C)(O)C2CC2)CC1 ZINC000981000049 696975633 /nfs/dbraw/zinc/97/56/33/696975633.db2.gz RXAYSBOVVJKCQX-OAHLLOKOSA-N 1 2 300.830 1.824 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)C[C@@](C)(O)C2CC2)CC1 ZINC000981000049 696975637 /nfs/dbraw/zinc/97/56/37/696975637.db2.gz RXAYSBOVVJKCQX-OAHLLOKOSA-N 1 2 300.830 1.824 20 30 DDEDLO CC(C)(O)CC(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC000981068955 696995480 /nfs/dbraw/zinc/99/54/80/696995480.db2.gz PYXGHTHIMHYNAZ-UHFFFAOYSA-N 1 2 315.417 1.754 20 30 DDEDLO CC(C)(O)CC(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC000981068955 696995483 /nfs/dbraw/zinc/99/54/83/696995483.db2.gz PYXGHTHIMHYNAZ-UHFFFAOYSA-N 1 2 315.417 1.754 20 30 DDEDLO C#CCC[N@H+]1CCCN(C(=O)c2n[nH]cc2C(F)(F)F)CC1 ZINC000981309549 697062646 /nfs/dbraw/zinc/06/26/46/697062646.db2.gz RXZQQWTUYBOYBH-UHFFFAOYSA-N 1 2 314.311 1.600 20 30 DDEDLO C#CCC[N@@H+]1CCCN(C(=O)c2n[nH]cc2C(F)(F)F)CC1 ZINC000981309549 697062648 /nfs/dbraw/zinc/06/26/48/697062648.db2.gz RXZQQWTUYBOYBH-UHFFFAOYSA-N 1 2 314.311 1.600 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@]3(C)CN(C(=O)[C@@H](C)C#N)C[C@@]3(C)C2)o1 ZINC000982237074 697069664 /nfs/dbraw/zinc/06/96/64/697069664.db2.gz UOOAFDBOSLXFRU-KNXALSJPSA-N 1 2 317.393 1.208 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@]3(C)CN(C(=O)[C@@H](C)C#N)C[C@@]3(C)C2)o1 ZINC000982237074 697069665 /nfs/dbraw/zinc/06/96/65/697069665.db2.gz UOOAFDBOSLXFRU-KNXALSJPSA-N 1 2 317.393 1.208 20 30 DDEDLO Cn1c(N[NH2+]C2=CC(=O)N3CCC[C@H]23)nnc1-c1ccccc1 ZINC000799477199 700143576 /nfs/dbraw/zinc/14/35/76/700143576.db2.gz LAXPWROQJFIQDS-CYBMUJFWSA-N 1 2 310.361 1.645 20 30 DDEDLO NC(=[NH+]OCC(=O)NCc1cc(F)cc(F)c1)c1ccccc1 ZINC000174068284 697383452 /nfs/dbraw/zinc/38/34/52/697383452.db2.gz BXVWIPFXRFNWJI-UHFFFAOYSA-N 1 2 319.311 1.918 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000183238579 697485107 /nfs/dbraw/zinc/48/51/07/697485107.db2.gz ACABKMGHYJCWBX-CQSZACIVSA-N 1 2 300.362 1.609 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC([N@@H+](C)Cc2ncnn2C)CC1 ZINC000985569451 697532584 /nfs/dbraw/zinc/53/25/84/697532584.db2.gz YQPVEUKYFBFAOT-UHFFFAOYSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC([N@H+](C)Cc2ncnn2C)CC1 ZINC000985569451 697532586 /nfs/dbraw/zinc/53/25/86/697532586.db2.gz YQPVEUKYFBFAOT-UHFFFAOYSA-N 1 2 319.453 1.840 20 30 DDEDLO C[C@@H]1[C@@H]([NH2+]Cc2csnn2)CCN1C(=O)c1cc(C#N)c[nH]1 ZINC000986132994 697698508 /nfs/dbraw/zinc/69/85/08/697698508.db2.gz LVEQLNNMQXSJJV-SKDRFNHKSA-N 1 2 316.390 1.131 20 30 DDEDLO C#C[C@H](NC(=O)[C@H]1CCC[N@@H+]1Cc1ccccn1)[C@H]1CCCO1 ZINC000775343418 697985973 /nfs/dbraw/zinc/98/59/73/697985973.db2.gz YJVJPQLNXUJQFB-GVDBMIGSSA-N 1 2 313.401 1.343 20 30 DDEDLO C#C[C@H](NC(=O)[C@H]1CCC[N@H+]1Cc1ccccn1)[C@H]1CCCO1 ZINC000775343418 697985978 /nfs/dbraw/zinc/98/59/78/697985978.db2.gz YJVJPQLNXUJQFB-GVDBMIGSSA-N 1 2 313.401 1.343 20 30 DDEDLO C=CCn1nnn(CN2CC[C@@H](C)[C@H](n3cc[nH+]c3)C2)c1=S ZINC000246614228 698352616 /nfs/dbraw/zinc/35/26/16/698352616.db2.gz NIONROQEXZTCRH-CHWSQXEVSA-N 1 2 319.438 1.732 20 30 DDEDLO C#CCCCCCC(=O)N1CC[NH+](CCn2cncn2)CC1 ZINC000780541629 698529636 /nfs/dbraw/zinc/52/96/36/698529636.db2.gz HNTLDXWQHWKGJZ-UHFFFAOYSA-N 1 2 303.410 1.006 20 30 DDEDLO C#CCCS(=O)(=O)NCc1cc[nH+]c(N2CCCCCC2)c1 ZINC000288378313 698563217 /nfs/dbraw/zinc/56/32/17/698563217.db2.gz OQOOVVQMSRWLAH-UHFFFAOYSA-N 1 2 321.446 1.905 20 30 DDEDLO C#CCCS(=O)(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000288820285 698564882 /nfs/dbraw/zinc/56/48/82/698564882.db2.gz JZGUPDFGWVTOSQ-UHFFFAOYSA-N 1 2 303.387 1.374 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)NCCCNc2cccc[nH+]2)cc1 ZINC000780946002 698574962 /nfs/dbraw/zinc/57/49/62/698574962.db2.gz UYZKMICYFJPUFI-MRXNPFEDSA-N 1 2 310.357 1.605 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)NCc2ccn3cc[nH+]c3c2)cc1 ZINC000780980617 698579181 /nfs/dbraw/zinc/57/91/81/698579181.db2.gz NAMYXTVFRONOAP-MRXNPFEDSA-N 1 2 306.325 1.556 20 30 DDEDLO Cc1onc(CC(=O)N2CCC[C@H](c3[nH+]ccn3C)C2)c1C#N ZINC000444670586 699175108 /nfs/dbraw/zinc/17/51/08/699175108.db2.gz YMIKKGCEDBZCRE-LBPRGKRZSA-N 1 2 313.361 1.537 20 30 DDEDLO COCCS(=O)(=O)CCC[N@H+](C)Cc1ccc(C#N)cc1 ZINC000727828442 699427241 /nfs/dbraw/zinc/42/72/41/699427241.db2.gz FHFRIXRKUYCDQB-UHFFFAOYSA-N 1 2 310.419 1.441 20 30 DDEDLO COCCS(=O)(=O)CCC[N@@H+](C)Cc1ccc(C#N)cc1 ZINC000727828442 699427242 /nfs/dbraw/zinc/42/72/42/699427242.db2.gz FHFRIXRKUYCDQB-UHFFFAOYSA-N 1 2 310.419 1.441 20 30 DDEDLO CC(=[NH+]N1CCN(C)CC1)c1c(F)cccc1N1CCOCC1 ZINC000741160356 699818639 /nfs/dbraw/zinc/81/86/39/699818639.db2.gz OGUCBCOTBZNXML-UHFFFAOYSA-N 1 2 320.412 1.634 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(C(=O)Cc2ccccc2)CC1 ZINC000801913953 700352511 /nfs/dbraw/zinc/35/25/11/700352511.db2.gz CTELDSLPCIIXLU-OAHLLOKOSA-N 1 2 301.390 1.302 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1ccc([S@@](C)=O)cc1 ZINC000752509176 700369442 /nfs/dbraw/zinc/36/94/42/700369442.db2.gz OBEUCPUSGOZWHI-YCRPNKLZSA-N 1 2 304.415 1.138 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1ccc([S@@](C)=O)cc1 ZINC000752509176 700369446 /nfs/dbraw/zinc/36/94/46/700369446.db2.gz OBEUCPUSGOZWHI-YCRPNKLZSA-N 1 2 304.415 1.138 20 30 DDEDLO C[C@H]1CC(=NNS(=O)(=O)Cc2ccccc2)C[N@@H+]1C1CC1 ZINC000753959031 700472030 /nfs/dbraw/zinc/47/20/30/700472030.db2.gz CSWDGFANOAACCX-LBPRGKRZSA-N 1 2 307.419 1.719 20 30 DDEDLO C[C@H]1CC(=NNS(=O)(=O)Cc2ccccc2)C[N@H+]1C1CC1 ZINC000753959031 700472031 /nfs/dbraw/zinc/47/20/31/700472031.db2.gz CSWDGFANOAACCX-LBPRGKRZSA-N 1 2 307.419 1.719 20 30 DDEDLO CCOC(=O)c1sc([C@@H](C)[NH2+]CC(=O)NCCC#N)nc1C ZINC000755031537 700545941 /nfs/dbraw/zinc/54/59/41/700545941.db2.gz FWQLBVSRQFYNKQ-SNVBAGLBSA-N 1 2 324.406 1.309 20 30 DDEDLO CC(=[NH+]Nc1nc2c(cnn2C)c(=O)[nH]1)c1ccc(N)cc1C ZINC000758109717 700684297 /nfs/dbraw/zinc/68/42/97/700684297.db2.gz KHRGEVMKDBSYOO-UHFFFAOYSA-N 1 2 311.349 1.796 20 30 DDEDLO N#Cc1cccn(C[NH+]2CCC(C3CCOCC3)CC2)c1=O ZINC000758244098 700693948 /nfs/dbraw/zinc/69/39/48/700693948.db2.gz VCAXIYLNGPSGMX-UHFFFAOYSA-N 1 2 301.390 1.816 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)OC)c(F)c1 ZINC000762253842 700886793 /nfs/dbraw/zinc/88/67/93/700886793.db2.gz MIUPWCHUZPHYSG-AWEZNQCLSA-N 1 2 304.321 1.648 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)OC)c(F)c1 ZINC000762253842 700886794 /nfs/dbraw/zinc/88/67/94/700886794.db2.gz MIUPWCHUZPHYSG-AWEZNQCLSA-N 1 2 304.321 1.648 20 30 DDEDLO C=C(C[NH+](C)C)C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000764071445 700959727 /nfs/dbraw/zinc/95/97/27/700959727.db2.gz IYCPUBNFJBRNLW-UHFFFAOYSA-N 1 2 306.391 1.473 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)c2cccc3[nH+]ccn32)CC1 ZINC000765865443 701029160 /nfs/dbraw/zinc/02/91/60/701029160.db2.gz IRMXEGHMYJDCBO-HNNXBMFYSA-N 1 2 311.389 1.640 20 30 DDEDLO C=CCNC(=O)Cc1noc(-c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000770992689 701302923 /nfs/dbraw/zinc/30/29/23/701302923.db2.gz SYSYUOFLPRPBAN-UHFFFAOYSA-N 1 2 309.329 1.767 20 30 DDEDLO COC[C@@H](C)NC(=S)N[NH+]=C1CCCc2ccc(N)cc21 ZINC000814734011 701745016 /nfs/dbraw/zinc/74/50/16/701745016.db2.gz ZVPZSCFMCXJUII-SNVBAGLBSA-N 1 2 306.435 1.808 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+](C)CCCN(C)C(=O)OC(C)(C)C ZINC000839657752 701769364 /nfs/dbraw/zinc/76/93/64/701769364.db2.gz NPYZWJOXLCMLLZ-GFCCVEGCSA-N 1 2 300.399 1.903 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+](C)CCCN(C)C(=O)OC(C)(C)C ZINC000839657752 701769368 /nfs/dbraw/zinc/76/93/68/701769368.db2.gz NPYZWJOXLCMLLZ-GFCCVEGCSA-N 1 2 300.399 1.903 20 30 DDEDLO C=CCN1CCN(C[N@@H+](C)Cc2ccc(C(=O)NC)cc2)C1=O ZINC000839955814 701875911 /nfs/dbraw/zinc/87/59/11/701875911.db2.gz KAITYJVMHZPLFS-UHFFFAOYSA-N 1 2 316.405 1.359 20 30 DDEDLO C=CCN1CCN(C[N@H+](C)Cc2ccc(C(=O)NC)cc2)C1=O ZINC000839955814 701875916 /nfs/dbraw/zinc/87/59/16/701875916.db2.gz KAITYJVMHZPLFS-UHFFFAOYSA-N 1 2 316.405 1.359 20 30 DDEDLO C=CCN1CCN(C[N@@H+](C)CCOc2ccccc2OC)C1=O ZINC000839969046 701884211 /nfs/dbraw/zinc/88/42/11/701884211.db2.gz JMXMFCLDAJVYEM-UHFFFAOYSA-N 1 2 319.405 1.887 20 30 DDEDLO C=CCN1CCN(C[N@H+](C)CCOc2ccccc2OC)C1=O ZINC000839969046 701884215 /nfs/dbraw/zinc/88/42/15/701884215.db2.gz JMXMFCLDAJVYEM-UHFFFAOYSA-N 1 2 319.405 1.887 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@@]3(CCOC3)OC(C)(C)C2)C1=O ZINC000840059522 701943939 /nfs/dbraw/zinc/94/39/39/701943939.db2.gz GRHGIOYQSPJZOS-MRXNPFEDSA-N 1 2 309.410 1.137 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@@]3(CCOC3)OC(C)(C)C2)C1=O ZINC000840059522 701943940 /nfs/dbraw/zinc/94/39/40/701943940.db2.gz GRHGIOYQSPJZOS-MRXNPFEDSA-N 1 2 309.410 1.137 20 30 DDEDLO C[C@H]1CCN(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1=O ZINC000840075407 701954188 /nfs/dbraw/zinc/95/41/88/701954188.db2.gz HQPUTGOWQSILTQ-GXTWGEPZSA-N 1 2 300.362 1.232 20 30 DDEDLO C[C@H]1CCN(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1=O ZINC000840075407 701954190 /nfs/dbraw/zinc/95/41/90/701954190.db2.gz HQPUTGOWQSILTQ-GXTWGEPZSA-N 1 2 300.362 1.232 20 30 DDEDLO Cn1cc([C@@H](O)C[C@H]2CCC[N@@H+]2Cc2nc(C#N)cs2)cn1 ZINC000811467948 701991567 /nfs/dbraw/zinc/99/15/67/701991567.db2.gz CLVWVSBBWTXKRE-KGLIPLIRSA-N 1 2 317.418 1.836 20 30 DDEDLO Cn1cc([C@@H](O)C[C@H]2CCC[N@H+]2Cc2nc(C#N)cs2)cn1 ZINC000811467948 701991571 /nfs/dbraw/zinc/99/15/71/701991571.db2.gz CLVWVSBBWTXKRE-KGLIPLIRSA-N 1 2 317.418 1.836 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@@H](CNc3cncc(C#N)n3)C2)c1 ZINC000866189740 706644048 /nfs/dbraw/zinc/64/40/48/706644048.db2.gz DESBIDLTEMSFFF-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@@H](CNc3cncc(C#N)n3)C2)c1 ZINC000866189740 706644052 /nfs/dbraw/zinc/64/40/52/706644052.db2.gz DESBIDLTEMSFFF-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000840767591 702232481 /nfs/dbraw/zinc/23/24/81/702232481.db2.gz WPTMATLDKNCIPI-JTQLQIEISA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc(Cl)c([N+](=O)[O-])c1 ZINC000840767591 702232487 /nfs/dbraw/zinc/23/24/87/702232487.db2.gz WPTMATLDKNCIPI-JTQLQIEISA-N 1 2 313.741 1.833 20 30 DDEDLO Cc1ncccc1OC[C@@H]1CCC[N@@H+]1Cn1cccc(C#N)c1=O ZINC000841689038 702538984 /nfs/dbraw/zinc/53/89/84/702538984.db2.gz BGOMHEOUNBWGKA-INIZCTEOSA-N 1 2 324.384 1.924 20 30 DDEDLO Cc1ncccc1OC[C@@H]1CCC[N@H+]1Cn1cccc(C#N)c1=O ZINC000841689038 702538987 /nfs/dbraw/zinc/53/89/87/702538987.db2.gz BGOMHEOUNBWGKA-INIZCTEOSA-N 1 2 324.384 1.924 20 30 DDEDLO C[C@H]([NH2+]CC1(N2CCOCC2)CC1)c1cccc(C#N)c1O ZINC000866347859 706678662 /nfs/dbraw/zinc/67/86/62/706678662.db2.gz LHUAUBAYKLAGGC-ZDUSSCGKSA-N 1 2 301.390 1.779 20 30 DDEDLO COC[C@@H](C[N@@H+]1CCO[C@@H](C)C1)OC(=O)c1cc(C#N)[nH]c1C ZINC000842969836 702796611 /nfs/dbraw/zinc/79/66/11/702796611.db2.gz XVRFRQSDWYZUTQ-SMDDNHRTSA-N 1 2 321.377 1.087 20 30 DDEDLO COC[C@@H](C[N@H+]1CCO[C@@H](C)C1)OC(=O)c1cc(C#N)[nH]c1C ZINC000842969836 702796613 /nfs/dbraw/zinc/79/66/13/702796613.db2.gz XVRFRQSDWYZUTQ-SMDDNHRTSA-N 1 2 321.377 1.087 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2ccnc(F)c2)CC1 ZINC000831442742 706707664 /nfs/dbraw/zinc/70/76/64/706707664.db2.gz QEFRYHIFOMHDBE-UHFFFAOYSA-N 1 2 320.412 1.767 20 30 DDEDLO CC(C)(C)OC(=O)C(=O)N1CC[NH+](CCC(C)(C)C#N)CC1 ZINC000844341624 703017791 /nfs/dbraw/zinc/01/77/91/703017791.db2.gz UAOFGLNEUKGJRG-UHFFFAOYSA-N 1 2 309.410 1.412 20 30 DDEDLO C=CCc1cc(OCC)cc(C[N@@H+]2CCN(C)C(=O)C2)c1O ZINC000844732322 703065151 /nfs/dbraw/zinc/06/51/51/703065151.db2.gz WYKHGVFCRFMALQ-UHFFFAOYSA-N 1 2 304.390 1.793 20 30 DDEDLO C=CCc1cc(OCC)cc(C[N@H+]2CCN(C)C(=O)C2)c1O ZINC000844732322 703065154 /nfs/dbraw/zinc/06/51/54/703065154.db2.gz WYKHGVFCRFMALQ-UHFFFAOYSA-N 1 2 304.390 1.793 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(C)CCC)CC1 ZINC000845443538 703157475 /nfs/dbraw/zinc/15/74/75/703157475.db2.gz YRHJLRNJYSRPLV-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)CCC)CC1 ZINC000845443538 703157476 /nfs/dbraw/zinc/15/74/76/703157476.db2.gz YRHJLRNJYSRPLV-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO N#Cc1cc(F)cc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)c1 ZINC000866548738 706726784 /nfs/dbraw/zinc/72/67/84/706726784.db2.gz OLNXSHVBZWGZOZ-UHFFFAOYSA-N 1 2 323.393 1.414 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]Cc1c(C)nn(CCS(C)(=O)=O)c1C ZINC000846980780 703360689 /nfs/dbraw/zinc/36/06/89/703360689.db2.gz IVVWQIALGLLCCJ-ZDUSSCGKSA-N 1 2 312.439 1.326 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)CCc2nc(C(C)=O)c(C)[nH]2)n1 ZINC000851995921 703899704 /nfs/dbraw/zinc/89/97/04/703899704.db2.gz BTYGQKGOQAKAEJ-UHFFFAOYSA-N 1 2 313.405 1.815 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)CCc2nc(C(C)=O)c(C)[nH]2)n1 ZINC000851995921 703899705 /nfs/dbraw/zinc/89/97/05/703899705.db2.gz BTYGQKGOQAKAEJ-UHFFFAOYSA-N 1 2 313.405 1.815 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC3(CCC3)[C@](F)(C(=O)OCC)C2)C1=O ZINC000852317915 704011037 /nfs/dbraw/zinc/01/10/37/704011037.db2.gz UIMWUJRBSIXJCR-SUMWQHHRSA-N 1 2 324.396 1.531 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC3(CCC3)[C@](F)(C(=O)OCC)C2)C1=O ZINC000852317915 704011038 /nfs/dbraw/zinc/01/10/38/704011038.db2.gz UIMWUJRBSIXJCR-SUMWQHHRSA-N 1 2 324.396 1.531 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000852633558 704088398 /nfs/dbraw/zinc/08/83/98/704088398.db2.gz GTOGVZOTHVTCAD-DOTOQJQBSA-N 1 2 318.373 1.246 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000852633558 704088400 /nfs/dbraw/zinc/08/84/00/704088400.db2.gz GTOGVZOTHVTCAD-DOTOQJQBSA-N 1 2 318.373 1.246 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)Nc1nnc(C(C)C)s1 ZINC000852751003 704113633 /nfs/dbraw/zinc/11/36/33/704113633.db2.gz MGXSNFKCWQDBCL-UHFFFAOYSA-N 1 2 310.423 1.572 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)Nc1nnc(C(C)C)s1 ZINC000852751003 704113636 /nfs/dbraw/zinc/11/36/36/704113636.db2.gz MGXSNFKCWQDBCL-UHFFFAOYSA-N 1 2 310.423 1.572 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCOC(C3CC3)(C3CC3)C2)C1=O ZINC000853582747 704275339 /nfs/dbraw/zinc/27/53/39/704275339.db2.gz LJVWMFODZLCSJV-UHFFFAOYSA-N 1 2 305.422 1.759 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCOC(C3CC3)(C3CC3)C2)C1=O ZINC000853582747 704275341 /nfs/dbraw/zinc/27/53/41/704275341.db2.gz LJVWMFODZLCSJV-UHFFFAOYSA-N 1 2 305.422 1.759 20 30 DDEDLO Cc1ccn(C[N@H+]2CCC[C@H](C(=O)NC(C)C)C2)c(=O)c1C#N ZINC000853601203 704282722 /nfs/dbraw/zinc/28/27/22/704282722.db2.gz FZGOUCOOYQHUEL-AWEZNQCLSA-N 1 2 316.405 1.223 20 30 DDEDLO Cc1ccn(C[N@@H+]2CCC[C@H](C(=O)NC(C)C)C2)c(=O)c1C#N ZINC000853601203 704282724 /nfs/dbraw/zinc/28/27/24/704282724.db2.gz FZGOUCOOYQHUEL-AWEZNQCLSA-N 1 2 316.405 1.223 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)OC[C@@H]1Cc1ccccc1 ZINC000853620809 704287250 /nfs/dbraw/zinc/28/72/50/704287250.db2.gz FJCDKXFEQREZHA-KRWDZBQOSA-N 1 2 316.401 1.979 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)OC[C@@H]1Cc1ccccc1 ZINC000853620809 704287253 /nfs/dbraw/zinc/28/72/53/704287253.db2.gz FJCDKXFEQREZHA-KRWDZBQOSA-N 1 2 316.401 1.979 20 30 DDEDLO C#CCCN(CCOC)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000853897408 704332061 /nfs/dbraw/zinc/33/20/61/704332061.db2.gz GJWJDYSBURRDPT-OAHLLOKOSA-N 1 2 316.405 1.342 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC000855303990 704478163 /nfs/dbraw/zinc/47/81/63/704478163.db2.gz JDDLVLRVUZJLGS-KRWDZBQOSA-N 1 2 309.373 1.752 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2ccccc2OCC#N)[C@@H](C)CO1 ZINC000855413645 704484217 /nfs/dbraw/zinc/48/42/17/704484217.db2.gz OQINFVOEFVTKKI-KBPBESRZSA-N 1 2 317.389 1.428 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2ccccc2OCC#N)[C@@H](C)CO1 ZINC000855413645 704484218 /nfs/dbraw/zinc/48/42/18/704484218.db2.gz OQINFVOEFVTKKI-KBPBESRZSA-N 1 2 317.389 1.428 20 30 DDEDLO C#C[C@@H](NC(=O)Cc1ccc(-n2cc[nH+]c2)cc1)[C@@H]1CCCO1 ZINC000867499522 707020277 /nfs/dbraw/zinc/02/02/77/707020277.db2.gz LYMOQSQOEHYDAX-SJORKVTESA-N 1 2 309.369 1.712 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000822710240 705015350 /nfs/dbraw/zinc/01/53/50/705015350.db2.gz KLBMAYIAYFZCII-UHFFFAOYSA-N 1 2 317.389 1.407 20 30 DDEDLO C#CC[C@H]1CCN(C(=O)C[NH+]2CCN(c3ccccn3)CC2)C1 ZINC000862568627 705737306 /nfs/dbraw/zinc/73/73/06/705737306.db2.gz ZNDSKLDSBHCUGG-INIZCTEOSA-N 1 2 312.417 1.075 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)NCC[N@H+]2CCOCC2(C)C)C1 ZINC000826045930 705763280 /nfs/dbraw/zinc/76/32/80/705763280.db2.gz DDRNHJAZVNVYOH-HNNXBMFYSA-N 1 2 307.438 1.542 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)NCC[N@@H+]2CCOCC2(C)C)C1 ZINC000826045930 705763282 /nfs/dbraw/zinc/76/32/82/705763282.db2.gz DDRNHJAZVNVYOH-HNNXBMFYSA-N 1 2 307.438 1.542 20 30 DDEDLO C#C[C@H](NC(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1)c1ccccc1 ZINC000826046076 705763352 /nfs/dbraw/zinc/76/33/52/705763352.db2.gz HZSGQBZAISLUTD-INMHGKMJSA-N 1 2 315.417 1.769 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000827087751 705926270 /nfs/dbraw/zinc/92/62/70/705926270.db2.gz AMPCIDDCKIUSRE-PBHICJAKSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000827087751 705926274 /nfs/dbraw/zinc/92/62/74/705926274.db2.gz AMPCIDDCKIUSRE-PBHICJAKSA-N 1 2 310.438 1.321 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(COC)c(OC)c1 ZINC000863531900 705950251 /nfs/dbraw/zinc/95/02/51/705950251.db2.gz BIZQSSHYBYKFJT-HNNXBMFYSA-N 1 2 302.374 1.878 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(COC)c(OC)c1 ZINC000863531900 705950253 /nfs/dbraw/zinc/95/02/53/705950253.db2.gz BIZQSSHYBYKFJT-HNNXBMFYSA-N 1 2 302.374 1.878 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)C[C@H]2C(C)(C)C2(F)F)CC1 ZINC000881812018 707375356 /nfs/dbraw/zinc/37/53/56/707375356.db2.gz YZHMPESBAXNMAE-LBPRGKRZSA-N 1 2 320.405 1.248 20 30 DDEDLO C(=NNC12CC(C1)C2)c1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872383939 707395960 /nfs/dbraw/zinc/39/59/60/707395960.db2.gz KKSQGRJJVYWSOB-UHFFFAOYSA-N 1 2 315.417 1.874 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCC[C@@H](c2nnc(C3CC3)[nH]2)C1 ZINC000878327234 706360758 /nfs/dbraw/zinc/36/07/58/706360758.db2.gz FKRRTAFNHXGUIM-CHWSQXEVSA-N 1 2 304.394 1.979 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCC[C@@H](c2nnc(C3CC3)[nH]2)C1 ZINC000878327234 706360760 /nfs/dbraw/zinc/36/07/60/706360760.db2.gz FKRRTAFNHXGUIM-CHWSQXEVSA-N 1 2 304.394 1.979 20 30 DDEDLO COCc1cc(C#N)ccc1N1CC[NH+](CC[S@](C)=O)CC1 ZINC000882008210 707463947 /nfs/dbraw/zinc/46/39/47/707463947.db2.gz FEAMOXBEMAADMX-QFIPXVFZSA-N 1 2 321.446 1.205 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)O[C@H](C)C(=O)C2CCOCC2)CC1 ZINC000909007485 712914713 /nfs/dbraw/zinc/91/47/13/712914713.db2.gz MQENWRGPBGJKCO-CYBMUJFWSA-N 1 2 307.390 1.259 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)Nc2ccc(F)c(F)c2)CC1 ZINC000880480355 706987631 /nfs/dbraw/zinc/98/76/31/706987631.db2.gz PWRCTWXKPNGBQO-UHFFFAOYSA-N 1 2 308.328 1.754 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)n1 ZINC000834705274 707105205 /nfs/dbraw/zinc/10/52/05/707105205.db2.gz ISYJZFVBUBSOKR-HUUCEWRRSA-N 1 2 311.389 1.402 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1cccc(CC#N)n1 ZINC000881153389 707138830 /nfs/dbraw/zinc/13/88/30/707138830.db2.gz BYUMGZSZYATOKR-UHFFFAOYSA-N 1 2 317.393 1.380 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1cccc(CC#N)n1 ZINC000881153389 707138834 /nfs/dbraw/zinc/13/88/34/707138834.db2.gz BYUMGZSZYATOKR-UHFFFAOYSA-N 1 2 317.393 1.380 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)c2ccc(Cl)c(F)c2)nn1 ZINC000881417291 707248734 /nfs/dbraw/zinc/24/87/34/707248734.db2.gz LAILJVCPMSIMQR-HNNXBMFYSA-N 1 2 322.771 1.917 20 30 DDEDLO C[C@H](NC(=S)NN=C1CCC[N@@H+]2CCSC[C@H]12)C1CC1 ZINC000872355999 707388818 /nfs/dbraw/zinc/38/88/18/707388818.db2.gz WDQKSBUTDWFFTF-GXFFZTMASA-N 1 2 312.508 1.816 20 30 DDEDLO C[C@H](NC(=S)NN=C1CCC[N@H+]2CCSC[C@H]12)C1CC1 ZINC000872355999 707388824 /nfs/dbraw/zinc/38/88/24/707388824.db2.gz WDQKSBUTDWFFTF-GXFFZTMASA-N 1 2 312.508 1.816 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)Oc1ccccc1 ZINC000884092951 708135789 /nfs/dbraw/zinc/13/57/89/708135789.db2.gz UNLMKVPPQCITKF-JSGCOSHPSA-N 1 2 306.362 1.017 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)Oc1cccc(C)c1 ZINC000884156022 708164196 /nfs/dbraw/zinc/16/41/96/708164196.db2.gz QIDHJNVDIPBFMF-ZFWWWQNUSA-N 1 2 320.389 1.325 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H]1CC12CCSCC2 ZINC000884347091 708255799 /nfs/dbraw/zinc/25/57/99/708255799.db2.gz JLSXTVQDDHNBSU-RYUDHWBXSA-N 1 2 312.435 1.083 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2ccc(C)cc2C1 ZINC000884400794 708281484 /nfs/dbraw/zinc/28/14/84/708281484.db2.gz UFHWVAKJFPOVDA-HNNXBMFYSA-N 1 2 302.374 1.326 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)N[C@H]2CC[C@@H](CC#N)C2)[nH]n1 ZINC000897889335 708483447 /nfs/dbraw/zinc/48/34/47/708483447.db2.gz PDMIWTRIYKWPPT-SLEUVZQESA-N 1 2 301.394 1.989 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)N[C@H]2CC[C@@H](CC#N)C2)[nH]n1 ZINC000897889335 708483448 /nfs/dbraw/zinc/48/34/48/708483448.db2.gz PDMIWTRIYKWPPT-SLEUVZQESA-N 1 2 301.394 1.989 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)C[C@]2(C)CC2(F)F)C1 ZINC000885508566 708562036 /nfs/dbraw/zinc/56/20/36/708562036.db2.gz IKRQLIFNVMRZQL-RYUDHWBXSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)C[C@]2(C)CC2(F)F)C1 ZINC000885508566 708562040 /nfs/dbraw/zinc/56/20/40/708562040.db2.gz IKRQLIFNVMRZQL-RYUDHWBXSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)/C=C\c2ccccc2F)C1 ZINC000885509258 708562263 /nfs/dbraw/zinc/56/22/63/708562263.db2.gz RBIFUVKXOVDMOT-HMVFGEFZSA-N 1 2 322.405 1.813 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)/C=C\c2ccccc2F)C1 ZINC000885509258 708562265 /nfs/dbraw/zinc/56/22/65/708562265.db2.gz RBIFUVKXOVDMOT-HMVFGEFZSA-N 1 2 322.405 1.813 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)C(F)(F)c1ccccc1C#N ZINC000912612167 713050132 /nfs/dbraw/zinc/05/01/32/713050132.db2.gz KOWOMBGSVIACMX-LBPRGKRZSA-N 1 2 320.299 1.233 20 30 DDEDLO C=C1CCC(C(=O)N2CC[NH+](CCOCCOC)CC2)CC1 ZINC000899066488 708972146 /nfs/dbraw/zinc/97/21/46/708972146.db2.gz UXCXODSQWXCBLV-UHFFFAOYSA-N 1 2 310.438 1.540 20 30 DDEDLO C=C1CCC(C(=O)NC[C@]2(CO)COCC3(CCC3)[N@H+]2C)CC1 ZINC000899129762 708994244 /nfs/dbraw/zinc/99/42/44/708994244.db2.gz IKHXPBMHTCPKHZ-SFHVURJKSA-N 1 2 322.449 1.465 20 30 DDEDLO C=C1CCC(C(=O)NC[C@]2(CO)COCC3(CCC3)[N@@H+]2C)CC1 ZINC000899129762 708994246 /nfs/dbraw/zinc/99/42/46/708994246.db2.gz IKHXPBMHTCPKHZ-SFHVURJKSA-N 1 2 322.449 1.465 20 30 DDEDLO C=CCC1(O)CCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CC1 ZINC000899590669 709119966 /nfs/dbraw/zinc/11/99/66/709119966.db2.gz JCBPGMKYERZXPM-UHFFFAOYSA-N 1 2 312.373 1.811 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2ccc(C#N)cn2)CCO1 ZINC000889225384 709464548 /nfs/dbraw/zinc/46/45/48/709464548.db2.gz QGCDXMHYEXJTSV-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2ccc(C#N)cn2)CCO1 ZINC000889225384 709464549 /nfs/dbraw/zinc/46/45/49/709464549.db2.gz QGCDXMHYEXJTSV-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H]2CCC[C@H](C(F)(F)F)O2)nn1 ZINC000900632488 709687301 /nfs/dbraw/zinc/68/73/01/709687301.db2.gz DTFHTYFNGZDQAF-QWHCGFSZSA-N 1 2 316.327 1.891 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000890245939 709799589 /nfs/dbraw/zinc/79/95/89/709799589.db2.gz CTMBVFUQUQARPO-IAGOWNOFSA-N 1 2 324.384 1.668 20 30 DDEDLO C=CC[C@@H]1CCN1C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000890557510 709914478 /nfs/dbraw/zinc/91/44/78/709914478.db2.gz AGVBQPUPOXKHFS-OAHLLOKOSA-N 1 2 300.406 1.381 20 30 DDEDLO C[C@@]1(C#N)CN(C(=O)NCCCNc2cccc[nH+]2)CCO1 ZINC000891974076 710343949 /nfs/dbraw/zinc/34/39/49/710343949.db2.gz BTIHIYGBUHIDRM-OAHLLOKOSA-N 1 2 303.366 1.208 20 30 DDEDLO CN(Cc1cc(C#N)cs1)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000894308259 711060809 /nfs/dbraw/zinc/06/08/09/711060809.db2.gz ISRUEDTUBIHZCQ-LBPRGKRZSA-N 1 2 315.402 1.973 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)Cc3cccc(C#N)c3)CC[NH2+]2)cn1 ZINC000913466352 713228110 /nfs/dbraw/zinc/22/81/10/713228110.db2.gz FEQNCPOJTKLYGW-MRXNPFEDSA-N 1 2 309.373 1.007 20 30 DDEDLO C/C(=C/C(=O)NCCC#C[Si](C)(C)C)C[NH+]1CCOCC1 ZINC000912331067 711282524 /nfs/dbraw/zinc/28/25/24/711282524.db2.gz YKKOQHBGLARXTN-SQFISAMPSA-N 1 2 308.498 1.652 20 30 DDEDLO C=C(C[NH+](C)C)C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[nH]1 ZINC000913559148 713259936 /nfs/dbraw/zinc/25/99/36/713259936.db2.gz DULMAKXTDQPTOI-ZDUSSCGKSA-N 1 2 315.377 1.028 20 30 DDEDLO C/C(=C\C(=O)NOc1cccc(C#N)c1)C[NH+]1CCOCC1 ZINC000913666665 713277937 /nfs/dbraw/zinc/27/79/37/713277937.db2.gz GTUVEVVSMLQPKN-UKTHLTGXSA-N 1 2 301.346 1.247 20 30 DDEDLO C=CC[C@H]([NH2+]C1CCN(C(=O)c2ccccc2)CC1)C(=O)OC ZINC000905747231 712138279 /nfs/dbraw/zinc/13/82/79/712138279.db2.gz MAUAYIVPZMOIKA-INIZCTEOSA-N 1 2 316.401 1.999 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)/C=C(\C)C[NH+]1CCOCC1 ZINC000907948815 712653754 /nfs/dbraw/zinc/65/37/54/712653754.db2.gz RMWSTXFLSDUDQK-IZMZXHESSA-N 1 2 308.422 1.362 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)/C=C(/C)C[NH+]1CCOCC1 ZINC000908175784 712702984 /nfs/dbraw/zinc/70/29/84/712702984.db2.gz AHEQATBIXJRUSP-VKAVYKQESA-N 1 2 312.413 1.927 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(C(=O)CNc3cccc[nH+]3)C2)nc1 ZINC000908346396 712747695 /nfs/dbraw/zinc/74/76/95/712747695.db2.gz LPJIWZSDLJFKIH-CQSZACIVSA-N 1 2 323.356 1.440 20 30 DDEDLO C[N@H+](CC(=O)Nc1cccc(C#N)c1)Cc1cccc(C(N)=O)c1 ZINC000918188818 713532921 /nfs/dbraw/zinc/53/29/21/713532921.db2.gz LDYWAQVHHBDZOI-UHFFFAOYSA-N 1 2 322.368 1.728 20 30 DDEDLO C[N@@H+](CC(=O)Nc1cccc(C#N)c1)Cc1cccc(C(N)=O)c1 ZINC000918188818 713532923 /nfs/dbraw/zinc/53/29/23/713532923.db2.gz LDYWAQVHHBDZOI-UHFFFAOYSA-N 1 2 322.368 1.728 20 30 DDEDLO CC(=O)NC[C@H]1C[N@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000929667976 713665065 /nfs/dbraw/zinc/66/50/65/713665065.db2.gz FIGYAWNSMAHFJV-INIZCTEOSA-N 1 2 301.390 1.512 20 30 DDEDLO CC(=O)NC[C@H]1C[N@@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000929667976 713665067 /nfs/dbraw/zinc/66/50/67/713665067.db2.gz FIGYAWNSMAHFJV-INIZCTEOSA-N 1 2 301.390 1.512 20 30 DDEDLO C[C@@H]1C(=O)N[C@@H](C)[C@@H](C)[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000930830648 713930855 /nfs/dbraw/zinc/93/08/55/713930855.db2.gz AZWKUSUHGRYQMJ-HBNTYKKESA-N 1 2 320.418 1.546 20 30 DDEDLO C[C@@H]1C(=O)N[C@@H](C)[C@@H](C)[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000930830648 713930856 /nfs/dbraw/zinc/93/08/56/713930856.db2.gz AZWKUSUHGRYQMJ-HBNTYKKESA-N 1 2 320.418 1.546 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@@H]2CCC3(COC3)O2)C1 ZINC000930924923 713961415 /nfs/dbraw/zinc/96/14/15/713961415.db2.gz XSYLQPDNAOCIRW-DOTOQJQBSA-N 1 2 313.401 1.887 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@@H]2CCC3(COC3)O2)C1 ZINC000930924923 713961417 /nfs/dbraw/zinc/96/14/17/713961417.db2.gz XSYLQPDNAOCIRW-DOTOQJQBSA-N 1 2 313.401 1.887 20 30 DDEDLO Cc1ccc(C[NH2+]Cc2cn(C[C@H]3CCOC3)nn2)cc1C#N ZINC000922760023 714139851 /nfs/dbraw/zinc/13/98/51/714139851.db2.gz NDSNSASEGUFGDC-OAHLLOKOSA-N 1 2 311.389 1.785 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH2+][C@H](c1ncccn1)C1CC1 ZINC000932362032 714317727 /nfs/dbraw/zinc/31/77/27/714317727.db2.gz ZMPAHMLGXBTQFL-WFASDCNBSA-N 1 2 301.394 1.525 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)[C@@H](C)Sc2ccccn2)C1 ZINC000923567139 714408255 /nfs/dbraw/zinc/40/82/55/714408255.db2.gz AIQGYQWLZDKHSC-KGLIPLIRSA-N 1 2 303.431 1.776 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)Sc2ccccn2)C1 ZINC000923567139 714408256 /nfs/dbraw/zinc/40/82/56/714408256.db2.gz AIQGYQWLZDKHSC-KGLIPLIRSA-N 1 2 303.431 1.776 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cccc([S@](C)=O)c2)C1 ZINC000923566787 714408379 /nfs/dbraw/zinc/40/83/79/714408379.db2.gz SGURDMIRGGGWPO-SZNDQCEHSA-N 1 2 304.415 1.251 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cccc([S@](C)=O)c2)C1 ZINC000923566787 714408380 /nfs/dbraw/zinc/40/83/80/714408380.db2.gz SGURDMIRGGGWPO-SZNDQCEHSA-N 1 2 304.415 1.251 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)c2ccc3c(c2)CCC3)C1 ZINC000923586180 714417955 /nfs/dbraw/zinc/41/79/55/714417955.db2.gz ZDZVGXKYZADXSX-KRWDZBQOSA-N 1 2 310.397 1.572 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)c2ccc3c(c2)CCC3)C1 ZINC000923586180 714417957 /nfs/dbraw/zinc/41/79/57/714417957.db2.gz ZDZVGXKYZADXSX-KRWDZBQOSA-N 1 2 310.397 1.572 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N[C@@H](CC)C[NH+]2CCOCC2)CC1 ZINC000923992289 714502659 /nfs/dbraw/zinc/50/26/59/714502659.db2.gz VXXOHCMUISVOBL-JYJNAYRXSA-N 1 2 307.438 1.588 20 30 DDEDLO C=CCC1(O)CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC000924441225 714582621 /nfs/dbraw/zinc/58/26/21/714582621.db2.gz XJTKPIKRJZEVAV-UHFFFAOYSA-N 1 2 303.406 1.692 20 30 DDEDLO Cc1cc(=O)[nH]c([C@H](C)[NH+]2CCC3(CC2)CC(=O)C=CO3)n1 ZINC000933646780 714638228 /nfs/dbraw/zinc/63/82/28/714638228.db2.gz OUQDOWWBKIYCJF-LBPRGKRZSA-N 1 2 303.362 1.889 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCC[C@@H]2C2OCCO2)c1 ZINC000933909174 714699474 /nfs/dbraw/zinc/69/94/74/714699474.db2.gz LWCOEVOBWVUMER-OAHLLOKOSA-N 1 2 315.373 1.012 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCC[C@@H]2C2OCCO2)c1 ZINC000933909174 714699475 /nfs/dbraw/zinc/69/94/75/714699475.db2.gz LWCOEVOBWVUMER-OAHLLOKOSA-N 1 2 315.373 1.012 20 30 DDEDLO C#CCC1(NC(=O)c2cc([C@H]3CCC[N@H+]3C)n[nH]2)CCOCC1 ZINC000925424287 714852318 /nfs/dbraw/zinc/85/23/18/714852318.db2.gz JQTYOZAFHBVHHN-OAHLLOKOSA-N 1 2 316.405 1.479 20 30 DDEDLO C#CCC1(NC(=O)c2cc([C@H]3CCC[N@@H+]3C)n[nH]2)CCOCC1 ZINC000925424287 714852321 /nfs/dbraw/zinc/85/23/21/714852321.db2.gz JQTYOZAFHBVHHN-OAHLLOKOSA-N 1 2 316.405 1.479 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CCC)C2)CC1 ZINC000956600632 715484760 /nfs/dbraw/zinc/48/47/60/715484760.db2.gz ZMMSCZDKJZENFX-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn(C(C)C)c2C)C1 ZINC000957117957 715756454 /nfs/dbraw/zinc/75/64/54/715756454.db2.gz OFAHDUGHNHKRSL-UHFFFAOYSA-N 1 2 302.422 1.942 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](C)Cc2c(C)nn(C)c2C)CC1 ZINC000957700787 716006104 /nfs/dbraw/zinc/00/61/04/716006104.db2.gz AUZOQQULKTYCJD-CYBMUJFWSA-N 1 2 304.438 1.546 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc(CNC(C)=O)c2)CC1 ZINC000957704689 716008604 /nfs/dbraw/zinc/00/86/04/716008604.db2.gz WUIDRADXYGGDHQ-UHFFFAOYSA-N 1 2 301.390 1.267 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000939001067 716079954 /nfs/dbraw/zinc/07/99/54/716079954.db2.gz QOMFTUHIDDDYTO-CQSZACIVSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC000939001168 716079980 /nfs/dbraw/zinc/07/99/80/716079980.db2.gz STHZSEDNZZCCJS-AWEZNQCLSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc3[nH]c(=O)n(C)c3c2)CC1 ZINC000957957209 716249861 /nfs/dbraw/zinc/24/98/61/716249861.db2.gz IYRGDVSHEBCZMI-UHFFFAOYSA-N 1 2 300.362 1.223 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2cccc3nsnc32)CC1 ZINC000957974171 716258079 /nfs/dbraw/zinc/25/80/79/716258079.db2.gz VUQKBDYPCOUILU-UHFFFAOYSA-N 1 2 302.403 1.564 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2onc(C)c2C)C1 ZINC000958172627 716374617 /nfs/dbraw/zinc/37/46/17/716374617.db2.gz WVCMZWVQIHEASO-RYUDHWBXSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2onc(C)c2C)C1 ZINC000958172627 716374623 /nfs/dbraw/zinc/37/46/23/716374623.db2.gz WVCMZWVQIHEASO-RYUDHWBXSA-N 1 2 313.785 1.066 20 30 DDEDLO CC(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC000958272171 716440778 /nfs/dbraw/zinc/44/07/78/716440778.db2.gz DTOYFSGEXKBZAP-ZSHCYNCHSA-N 1 2 301.365 1.900 20 30 DDEDLO CC(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC000958272171 716440782 /nfs/dbraw/zinc/44/07/82/716440782.db2.gz DTOYFSGEXKBZAP-ZSHCYNCHSA-N 1 2 301.365 1.900 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2conc2CC)[C@H](O)C1 ZINC000958383626 716516350 /nfs/dbraw/zinc/51/63/50/716516350.db2.gz BFZDEMONZSZQPX-ZWNOBZJWSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2conc2CC)[C@H](O)C1 ZINC000958383626 716516352 /nfs/dbraw/zinc/51/63/52/716516352.db2.gz BFZDEMONZSZQPX-ZWNOBZJWSA-N 1 2 313.785 1.012 20 30 DDEDLO C[N@@H+](Cc1ccon1)C[C@H]1CCCN1C(=O)c1cc(C#N)c[nH]1 ZINC000960538426 716602604 /nfs/dbraw/zinc/60/26/04/716602604.db2.gz GISWYKBGOMCWSM-CQSZACIVSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@H+](Cc1ccon1)C[C@H]1CCCN1C(=O)c1cc(C#N)c[nH]1 ZINC000960538426 716602605 /nfs/dbraw/zinc/60/26/05/716602605.db2.gz GISWYKBGOMCWSM-CQSZACIVSA-N 1 2 313.361 1.611 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c2ccc(C)cc21 ZINC000960768683 716682186 /nfs/dbraw/zinc/68/21/86/716682186.db2.gz HBTADDYNNMYSRM-FOLVSLTJSA-N 1 2 308.385 1.555 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c2ccc(C)cc21 ZINC000960768683 716682188 /nfs/dbraw/zinc/68/21/88/716682188.db2.gz HBTADDYNNMYSRM-FOLVSLTJSA-N 1 2 308.385 1.555 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cscc3Cl)CC2)C1 ZINC000941447339 717178330 /nfs/dbraw/zinc/17/83/30/717178330.db2.gz VYJRUXUCZGFALT-UHFFFAOYSA-N 1 2 323.849 1.477 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3(C4CC4)CCC3)CC2)C1 ZINC000941502670 717187890 /nfs/dbraw/zinc/18/78/90/717187890.db2.gz WXRNDONVSUQCLS-UHFFFAOYSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCCC3(C)C)CC2)C1 ZINC000941524613 717193560 /nfs/dbraw/zinc/19/35/60/717193560.db2.gz QITBTYUBQRHBSO-INIZCTEOSA-N 1 2 303.450 1.274 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCN(C2C[NH+](CC#CC)C2)CC1 ZINC000941551034 717205852 /nfs/dbraw/zinc/20/58/52/717205852.db2.gz WHHOMMBRNPQRLI-GOSISDBHSA-N 1 2 303.450 1.440 20 30 DDEDLO C[C@@H]1CN(CC#N)CC[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000942532237 717724987 /nfs/dbraw/zinc/72/49/87/717724987.db2.gz ICWQSTSWFAMZKH-RHSMWYFYSA-N 1 2 323.400 1.836 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@@H](C)C1 ZINC000944014755 718242374 /nfs/dbraw/zinc/24/23/74/718242374.db2.gz FVAWWGBOMCEXND-WFASDCNBSA-N 1 2 310.401 1.945 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@@H](C)C1 ZINC000944014755 718242376 /nfs/dbraw/zinc/24/23/76/718242376.db2.gz FVAWWGBOMCEXND-WFASDCNBSA-N 1 2 310.401 1.945 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230259 719465213 /nfs/dbraw/zinc/46/52/13/719465213.db2.gz DHDDZTXSOVCEPC-GXSJLCMTSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230259 719465217 /nfs/dbraw/zinc/46/52/17/719465217.db2.gz DHDDZTXSOVCEPC-GXSJLCMTSA-N 1 2 312.801 1.318 20 30 DDEDLO C=CCn1cccc1C(=O)N1CCN(Cc2c[nH+]cn2C)CC1 ZINC000948772682 719699054 /nfs/dbraw/zinc/69/90/54/719699054.db2.gz CPTMBHMADBTSMN-UHFFFAOYSA-N 1 2 313.405 1.366 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cc(C)n[nH]1 ZINC000948890445 719770001 /nfs/dbraw/zinc/77/00/01/719770001.db2.gz RBNNZKIUHTUNAH-KRWDZBQOSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cc(C)n[nH]1 ZINC000948890445 719770007 /nfs/dbraw/zinc/77/00/07/719770007.db2.gz RBNNZKIUHTUNAH-KRWDZBQOSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CCCOC1 ZINC000948898875 719773609 /nfs/dbraw/zinc/77/36/09/719773609.db2.gz OIZBNQHYMGEXSX-WMZOPIPTSA-N 1 2 312.413 1.762 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CCCOC1 ZINC000948898875 719773613 /nfs/dbraw/zinc/77/36/13/719773613.db2.gz OIZBNQHYMGEXSX-WMZOPIPTSA-N 1 2 312.413 1.762 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn(C)cn1 ZINC000948906866 719778734 /nfs/dbraw/zinc/77/87/34/719778734.db2.gz STJUCWULHSQDKD-QGZVFWFLSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn(C)cn1 ZINC000948906866 719778736 /nfs/dbraw/zinc/77/87/36/719778736.db2.gz STJUCWULHSQDKD-QGZVFWFLSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1[nH]c(C)nc1C ZINC000948958168 719803147 /nfs/dbraw/zinc/80/31/47/719803147.db2.gz LBCMYCRMHDNTQP-QGZVFWFLSA-N 1 2 322.412 1.989 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1[nH]c(C)nc1C ZINC000948958168 719803155 /nfs/dbraw/zinc/80/31/55/719803155.db2.gz LBCMYCRMHDNTQP-QGZVFWFLSA-N 1 2 322.412 1.989 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2nc3ccccc3[nH]2)CC1 ZINC000949149554 719931377 /nfs/dbraw/zinc/93/13/77/719931377.db2.gz JXGCCAFEJFBEPW-UHFFFAOYSA-N 1 2 310.401 1.663 20 30 DDEDLO C[C@@H](NC(=O)c1cnn(C)c1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969275681 720037132 /nfs/dbraw/zinc/03/71/32/720037132.db2.gz FFBYJEJWLAIMLE-CYBMUJFWSA-N 1 2 323.400 1.542 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCCC34CC4)CC2)C1 ZINC000949395091 720059613 /nfs/dbraw/zinc/05/96/13/720059613.db2.gz MRGFXZGQNMAXRH-INIZCTEOSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCCC34CC4)CC2)C1 ZINC000949395091 720059618 /nfs/dbraw/zinc/05/96/18/720059618.db2.gz MRGFXZGQNMAXRH-INIZCTEOSA-N 1 2 316.445 1.893 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccnc3n[nH]nc32)C1 ZINC000970121930 720633506 /nfs/dbraw/zinc/63/35/06/720633506.db2.gz YZTGGBSIILQLIU-VIFPVBQESA-N 1 2 320.784 1.156 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2c(CC)c(CC)n[nH]c2=O)C1 ZINC000950453147 720639923 /nfs/dbraw/zinc/63/99/23/720639923.db2.gz QXKDHDJTRKJACQ-UHFFFAOYSA-N 1 2 318.421 1.639 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)N(CC(C)C)C2)C1 ZINC000950462771 720643330 /nfs/dbraw/zinc/64/33/30/720643330.db2.gz ONXCXNVZGMZHOG-OAHLLOKOSA-N 1 2 321.465 1.600 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc3c2OCCO3)C1 ZINC000950465746 720645433 /nfs/dbraw/zinc/64/54/33/720645433.db2.gz FZJKEHUSOVKVFH-UHFFFAOYSA-N 1 2 314.385 1.627 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ncc(OC)cc2F)C1 ZINC000950480816 720651487 /nfs/dbraw/zinc/65/14/87/720651487.db2.gz SQMYZISXVMRKKF-UHFFFAOYSA-N 1 2 307.369 1.952 20 30 DDEDLO CC#CC[NH+]1CC([C@H](C)NC(=O)c2nnc3ccccc3c2O)C1 ZINC000970522221 720796067 /nfs/dbraw/zinc/79/60/67/720796067.db2.gz SPZYEIMUFXHHQT-LBPRGKRZSA-N 1 2 324.384 1.409 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cncc(OC)n2)C1 ZINC000970534807 720801589 /nfs/dbraw/zinc/80/15/89/720801589.db2.gz IOEMARQDGVBHTB-JTQLQIEISA-N 1 2 310.785 1.288 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnc(OCC)cn2)C1 ZINC000970985729 721036028 /nfs/dbraw/zinc/03/60/28/721036028.db2.gz LZNSTWLCCSHBLP-LLVKDONJSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001011280348 735332144 /nfs/dbraw/zinc/33/21/44/735332144.db2.gz JHKVCPFBTGSISR-KRWDZBQOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001011280348 735332148 /nfs/dbraw/zinc/33/21/48/735332148.db2.gz JHKVCPFBTGSISR-KRWDZBQOSA-N 1 2 318.421 1.615 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2CC[N@H+]2CCO[C@H]2CC2(F)F)c1 ZINC001038145034 735368458 /nfs/dbraw/zinc/36/84/58/735368458.db2.gz KEMWSWLYMLPEBU-AAEUAGOBSA-N 1 2 324.331 1.115 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2CC[N@@H+]2CCO[C@H]2CC2(F)F)c1 ZINC001038145034 735368461 /nfs/dbraw/zinc/36/84/61/735368461.db2.gz KEMWSWLYMLPEBU-AAEUAGOBSA-N 1 2 324.331 1.115 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3coc(CCC)n3)C2)C1 ZINC000972637674 735396743 /nfs/dbraw/zinc/39/67/43/735396743.db2.gz CYZMHJRXGQIXCG-KRWDZBQOSA-N 1 2 319.405 1.730 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3coc(CCC)n3)C2)C1 ZINC000972637674 735396744 /nfs/dbraw/zinc/39/67/44/735396744.db2.gz CYZMHJRXGQIXCG-KRWDZBQOSA-N 1 2 319.405 1.730 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccn3CC)C2)C1 ZINC000972678019 735467558 /nfs/dbraw/zinc/46/75/58/735467558.db2.gz UKLOIWCLVZMGAN-SFHVURJKSA-N 1 2 315.417 1.448 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccn3CC)C2)C1 ZINC000972678019 735467561 /nfs/dbraw/zinc/46/75/61/735467561.db2.gz UKLOIWCLVZMGAN-SFHVURJKSA-N 1 2 315.417 1.448 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)nc3C)C2)C1 ZINC000972689405 735475344 /nfs/dbraw/zinc/47/53/44/735475344.db2.gz XOEWGWXURDSZME-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)nc3C)C2)C1 ZINC000972689405 735475346 /nfs/dbraw/zinc/47/53/46/735475346.db2.gz XOEWGWXURDSZME-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H]1CCN(CC)C1=O ZINC001032561834 751340596 /nfs/dbraw/zinc/34/05/96/751340596.db2.gz CXLVRLHMGBAVPQ-IHRRRGAJSA-N 1 2 305.422 1.105 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H]1CCN(CC)C1=O ZINC001032561834 751340603 /nfs/dbraw/zinc/34/06/03/751340603.db2.gz CXLVRLHMGBAVPQ-IHRRRGAJSA-N 1 2 305.422 1.105 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)C[C@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125272105 732311941 /nfs/dbraw/zinc/31/19/41/732311941.db2.gz NJWBADGFKQEHQB-HUUCEWRRSA-N 1 2 320.437 1.643 20 30 DDEDLO C[C@@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1cnc(C#N)cn1 ZINC001098153415 732318181 /nfs/dbraw/zinc/31/81/81/732318181.db2.gz RSCQZIFMRNLRNB-NSHDSACASA-N 1 2 321.344 1.226 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)CNc1ncc(C#N)cc1F ZINC001104225717 732490786 /nfs/dbraw/zinc/49/07/86/732490786.db2.gz ALOACFVSVOXFBL-JTQLQIEISA-N 1 2 316.340 1.222 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2CC[N@H+]2CCO[C@@H]2CC2(F)F)c[nH]1 ZINC001038173591 732639109 /nfs/dbraw/zinc/63/91/09/732639109.db2.gz AUTJXSKAGSYGNJ-CHWSQXEVSA-N 1 2 324.331 1.115 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2CCO[C@@H]2CC2(F)F)c[nH]1 ZINC001038173591 732639110 /nfs/dbraw/zinc/63/91/10/732639110.db2.gz AUTJXSKAGSYGNJ-CHWSQXEVSA-N 1 2 324.331 1.115 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098536458 732934795 /nfs/dbraw/zinc/93/47/95/732934795.db2.gz NRICSENNKVZJII-AWEZNQCLSA-N 1 2 304.394 1.638 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCC[C@@H]1[C@H]1CCN(CC#N)C1 ZINC001039252117 733374613 /nfs/dbraw/zinc/37/46/13/733374613.db2.gz ZWNABIBBHKECEN-GOEBONIOSA-N 1 2 315.421 1.487 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)Cn2cc[nH+]c2)CCCC1 ZINC001104397776 734627794 /nfs/dbraw/zinc/62/77/94/734627794.db2.gz AMCAXQLOGCWCQT-UHFFFAOYSA-N 1 2 324.388 1.691 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H]1CNC(=O)c1snnc1C1CC1 ZINC001027948209 738849887 /nfs/dbraw/zinc/84/98/87/738849887.db2.gz BYMSPHKKMLGGGH-LBPRGKRZSA-N 1 2 304.419 1.633 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1snnc1C1CC1 ZINC001027948209 738849890 /nfs/dbraw/zinc/84/98/90/738849890.db2.gz BYMSPHKKMLGGGH-LBPRGKRZSA-N 1 2 304.419 1.633 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001111987114 736348944 /nfs/dbraw/zinc/34/89/44/736348944.db2.gz ACQPJBOZWRJUNI-PBHICJAKSA-N 1 2 318.421 1.587 20 30 DDEDLO C=CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC001025908785 737021440 /nfs/dbraw/zinc/02/14/40/737021440.db2.gz XVCNPOMXZNPJLH-LLKOPVJYSA-N 1 2 300.406 1.308 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC001025908785 737021442 /nfs/dbraw/zinc/02/14/42/737021442.db2.gz XVCNPOMXZNPJLH-LLKOPVJYSA-N 1 2 300.406 1.308 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)COC3CCC(C)CC3)[C@H]2C1 ZINC001083290438 737120101 /nfs/dbraw/zinc/12/01/01/737120101.db2.gz ZTBBWHXAZLPAJW-SJJHQCBESA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)COC3CCC(C)CC3)[C@H]2C1 ZINC001083290438 737120103 /nfs/dbraw/zinc/12/01/03/737120103.db2.gz ZTBBWHXAZLPAJW-SJJHQCBESA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C[C@@H]3C=CCC3)C2)nn1 ZINC001098607923 737222512 /nfs/dbraw/zinc/22/25/12/737222512.db2.gz IWZZMYPOFKUDGV-ZBFHGGJFSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)CCCN(C)c1cc[nH+]c(C)n1 ZINC001112071476 737458338 /nfs/dbraw/zinc/45/83/38/737458338.db2.gz FYOKPTFVLXMGQQ-MRXNPFEDSA-N 1 2 306.410 1.397 20 30 DDEDLO CC(C)(C(=O)N1C[C@@H]2CC[C@@H](NCC#N)[C@@H]2C1)c1c[nH+]c[nH]1 ZINC001026399896 737480271 /nfs/dbraw/zinc/48/02/71/737480271.db2.gz AEXBGNLDARXBHM-YNEHKIRRSA-N 1 2 301.394 1.037 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3cc(C)cs3)C2)nn1 ZINC001105230785 737636777 /nfs/dbraw/zinc/63/67/77/737636777.db2.gz YCUOBOHOWPJZFC-UHFFFAOYSA-N 1 2 315.402 1.068 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)CC(C)=C(C)C)C2)nn1 ZINC001105301742 737774577 /nfs/dbraw/zinc/77/45/77/737774577.db2.gz VWPDMVBVYKDWTD-UHFFFAOYSA-N 1 2 301.394 1.131 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C3(CCCC)CC3)C2)nn1 ZINC001105321146 737848767 /nfs/dbraw/zinc/84/87/67/737848767.db2.gz WYTJRUKPRMFGCP-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc(C(N)=O)co1 ZINC001028128168 739070564 /nfs/dbraw/zinc/07/05/64/739070564.db2.gz QHNMNABQQKAJAK-LLVKDONJSA-N 1 2 311.769 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(C(N)=O)co1 ZINC001028128168 739070569 /nfs/dbraw/zinc/07/05/69/739070569.db2.gz QHNMNABQQKAJAK-LLVKDONJSA-N 1 2 311.769 1.325 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001035371356 751435033 /nfs/dbraw/zinc/43/50/33/751435033.db2.gz AKQRYPAXRLZSOQ-PMPSAXMXSA-N 1 2 310.438 1.441 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001035371356 751435038 /nfs/dbraw/zinc/43/50/38/751435038.db2.gz AKQRYPAXRLZSOQ-PMPSAXMXSA-N 1 2 310.438 1.441 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H](C)C3CCC3)C2)nn1 ZINC001098685857 739761982 /nfs/dbraw/zinc/76/19/82/739761982.db2.gz RZKDIZHPRNAWCG-CJNGLKHVSA-N 1 2 315.421 1.211 20 30 DDEDLO Cc1nsc(N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)c1C#N ZINC001059079171 739886545 /nfs/dbraw/zinc/88/65/45/739886545.db2.gz AIAGSUXRGUHWPX-JTQLQIEISA-N 1 2 316.390 1.302 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2nc(C)sc2C)C1 ZINC001035408448 751490626 /nfs/dbraw/zinc/49/06/26/751490626.db2.gz RBDSNCLICNLUKD-ZDUSSCGKSA-N 1 2 309.435 1.767 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2nc(C)sc2C)C1 ZINC001035408448 751490633 /nfs/dbraw/zinc/49/06/33/751490633.db2.gz RBDSNCLICNLUKD-ZDUSSCGKSA-N 1 2 309.435 1.767 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001035409929 751492247 /nfs/dbraw/zinc/49/22/47/751492247.db2.gz NCBDZCSTNJATSI-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001035409929 751492251 /nfs/dbraw/zinc/49/22/51/751492251.db2.gz NCBDZCSTNJATSI-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2snnc2C2CC2)C1 ZINC001035413186 751494590 /nfs/dbraw/zinc/49/45/90/751494590.db2.gz XJAALEOCEPSNBD-GFCCVEGCSA-N 1 2 322.434 1.422 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2snnc2C2CC2)C1 ZINC001035413186 751494593 /nfs/dbraw/zinc/49/45/93/751494593.db2.gz XJAALEOCEPSNBD-GFCCVEGCSA-N 1 2 322.434 1.422 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+](Cc3cnn(C)n3)C2)CC1 ZINC001028780113 740026427 /nfs/dbraw/zinc/02/64/27/740026427.db2.gz DZWITKPFFAVPOB-CQSZACIVSA-N 1 2 317.437 1.500 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+](Cc3cnn(C)n3)C2)CC1 ZINC001028780113 740026429 /nfs/dbraw/zinc/02/64/29/740026429.db2.gz DZWITKPFFAVPOB-CQSZACIVSA-N 1 2 317.437 1.500 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC001035431356 751508644 /nfs/dbraw/zinc/50/86/44/751508644.db2.gz FKKIKPSDGMUBKF-AWEZNQCLSA-N 1 2 301.390 1.576 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC001035431356 751508649 /nfs/dbraw/zinc/50/86/49/751508649.db2.gz FKKIKPSDGMUBKF-AWEZNQCLSA-N 1 2 301.390 1.576 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3nccn32)C1 ZINC001035447716 751524991 /nfs/dbraw/zinc/52/49/91/751524991.db2.gz JPYPTZSKUXKVIY-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccc3nccn32)C1 ZINC001035447716 751524996 /nfs/dbraw/zinc/52/49/96/751524996.db2.gz JPYPTZSKUXKVIY-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)Nc1ccc(C#N)cn1 ZINC001098268164 740485220 /nfs/dbraw/zinc/48/52/20/740485220.db2.gz PYGMTEDGIKWJPS-DGCLKSJQSA-N 1 2 324.388 1.713 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3ccccc3nn2)C1 ZINC001035463621 751549023 /nfs/dbraw/zinc/54/90/23/751549023.db2.gz KTOQGSREZGCQJO-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc3ccccc3nn2)C1 ZINC001035463621 751549027 /nfs/dbraw/zinc/54/90/27/751549027.db2.gz KTOQGSREZGCQJO-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001035466189 751551034 /nfs/dbraw/zinc/55/10/34/751551034.db2.gz NIMDVIMYEPXESX-AWEZNQCLSA-N 1 2 319.405 1.411 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001035466189 751551036 /nfs/dbraw/zinc/55/10/36/751551036.db2.gz NIMDVIMYEPXESX-AWEZNQCLSA-N 1 2 319.405 1.411 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2ncccn2)CCOCC1 ZINC001149318505 740544841 /nfs/dbraw/zinc/54/48/41/740544841.db2.gz WDMZVCLEZNCCQJ-UHFFFAOYSA-N 1 2 304.394 1.055 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001035509636 751566286 /nfs/dbraw/zinc/56/62/86/751566286.db2.gz ACLAWANRDNXIJL-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001035509636 751566289 /nfs/dbraw/zinc/56/62/89/751566289.db2.gz ACLAWANRDNXIJL-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098706115 740769929 /nfs/dbraw/zinc/76/99/29/740769929.db2.gz RSHQNDWBAGZGMX-HIFRSBDPSA-N 1 2 323.416 1.713 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NC1CC1 ZINC001029453996 740826614 /nfs/dbraw/zinc/82/66/14/740826614.db2.gz PILCSPSUQOLFQO-RVKKMQEKSA-N 1 2 319.449 1.543 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NC1CC1 ZINC001029453996 740826617 /nfs/dbraw/zinc/82/66/17/740826617.db2.gz PILCSPSUQOLFQO-RVKKMQEKSA-N 1 2 319.449 1.543 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnnc3ccccc32)C1 ZINC001035524510 751603192 /nfs/dbraw/zinc/60/31/92/751603192.db2.gz GDCXAUQUUNNCQJ-CQSZACIVSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnnc3ccccc32)C1 ZINC001035524510 751603193 /nfs/dbraw/zinc/60/31/93/751603193.db2.gz GDCXAUQUUNNCQJ-CQSZACIVSA-N 1 2 324.384 1.084 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(F)c(OC)c2)C1 ZINC001035527259 751605667 /nfs/dbraw/zinc/60/56/67/751605667.db2.gz OCFJJKLZWUAPAQ-CYBMUJFWSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(F)c(OC)c2)C1 ZINC001035527259 751605671 /nfs/dbraw/zinc/60/56/71/751605671.db2.gz OCFJJKLZWUAPAQ-CYBMUJFWSA-N 1 2 308.353 1.451 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)no2)C1 ZINC001035530289 751610730 /nfs/dbraw/zinc/61/07/30/751610730.db2.gz KZPLWTCBRMNVQD-ZDUSSCGKSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)no2)C1 ZINC001035530289 751610735 /nfs/dbraw/zinc/61/07/35/751610735.db2.gz KZPLWTCBRMNVQD-ZDUSSCGKSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C(C)C)[nH]c2=O)C1 ZINC001035532888 751615097 /nfs/dbraw/zinc/61/50/97/751615097.db2.gz KDOUWJJJZOKHPX-CYBMUJFWSA-N 1 2 319.405 1.527 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C(C)C)[nH]c2=O)C1 ZINC001035532888 751615102 /nfs/dbraw/zinc/61/51/02/751615102.db2.gz KDOUWJJJZOKHPX-CYBMUJFWSA-N 1 2 319.405 1.527 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c3ccccc3nn2C)C1 ZINC001035567766 751621429 /nfs/dbraw/zinc/62/14/29/751621429.db2.gz UZGQRTUXPIMYJZ-ZDUSSCGKSA-N 1 2 314.389 1.190 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c3ccccc3nn2C)C1 ZINC001035567766 751621431 /nfs/dbraw/zinc/62/14/31/751621431.db2.gz UZGQRTUXPIMYJZ-ZDUSSCGKSA-N 1 2 314.389 1.190 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(F)c2OCC)C1 ZINC001035560310 751642781 /nfs/dbraw/zinc/64/27/81/751642781.db2.gz SOTPQMMAZFIDCE-ZDUSSCGKSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(F)c2OCC)C1 ZINC001035560310 751642782 /nfs/dbraw/zinc/64/27/82/751642782.db2.gz SOTPQMMAZFIDCE-ZDUSSCGKSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H](NC(=O)C(C)(F)F)[C@H]2C)C1=O ZINC001088442934 741504906 /nfs/dbraw/zinc/50/49/06/741504906.db2.gz DSNXXFQOMAJIPS-GRYCIOLGSA-N 1 2 315.364 1.008 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H](NC(=O)C(C)(F)F)[C@H]2C)C1=O ZINC001088442934 741504913 /nfs/dbraw/zinc/50/49/13/741504913.db2.gz DSNXXFQOMAJIPS-GRYCIOLGSA-N 1 2 315.364 1.008 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2coc(CC3CC3)n2)C1 ZINC001035565423 751647933 /nfs/dbraw/zinc/64/79/33/751647933.db2.gz KNVMGUFHRQERHL-CQSZACIVSA-N 1 2 317.389 1.081 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2coc(CC3CC3)n2)C1 ZINC001035565423 751647937 /nfs/dbraw/zinc/64/79/37/751647937.db2.gz KNVMGUFHRQERHL-CQSZACIVSA-N 1 2 317.389 1.081 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](N(Cc2ccccc2)C(=O)c2nc[nH]n2)C1 ZINC001029921179 741589765 /nfs/dbraw/zinc/58/97/65/741589765.db2.gz FRAQAVYKURLHHR-INIZCTEOSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](N(Cc2ccccc2)C(=O)c2nc[nH]n2)C1 ZINC001029921179 741589768 /nfs/dbraw/zinc/58/97/68/741589768.db2.gz FRAQAVYKURLHHR-INIZCTEOSA-N 1 2 323.400 1.545 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3C[C@@H](F)CC)c1 ZINC001032617243 751657394 /nfs/dbraw/zinc/65/73/94/751657394.db2.gz RTPZMPHKXPQFAY-JYJNAYRXSA-N 1 2 301.365 1.710 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3C[C@@H](F)CC)c1 ZINC001032617243 751657395 /nfs/dbraw/zinc/65/73/95/751657395.db2.gz RTPZMPHKXPQFAY-JYJNAYRXSA-N 1 2 301.365 1.710 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1ccn(C)n1 ZINC001088533591 741706581 /nfs/dbraw/zinc/70/65/81/741706581.db2.gz ZDBLIBVWXKQDOW-ABAIWWIYSA-N 1 2 312.377 1.013 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1ccn(C)n1 ZINC001088533591 741706584 /nfs/dbraw/zinc/70/65/84/741706584.db2.gz ZDBLIBVWXKQDOW-ABAIWWIYSA-N 1 2 312.377 1.013 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001038474656 741819776 /nfs/dbraw/zinc/81/97/76/741819776.db2.gz HFRZXZBYCJSQFK-UKRRQHHQSA-N 1 2 304.777 1.467 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001038474656 741819778 /nfs/dbraw/zinc/81/97/78/741819778.db2.gz HFRZXZBYCJSQFK-UKRRQHHQSA-N 1 2 304.777 1.467 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)c2cnccn2)c1 ZINC001038022882 751682419 /nfs/dbraw/zinc/68/24/19/751682419.db2.gz DGWOCMSPZVGQQY-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cnccn2)c1 ZINC001038022882 751682425 /nfs/dbraw/zinc/68/24/25/751682425.db2.gz DGWOCMSPZVGQQY-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cncnc2C2CC2)C1 ZINC001035593563 751688911 /nfs/dbraw/zinc/68/89/11/751688911.db2.gz XTBRZOWVAQZQEE-AWEZNQCLSA-N 1 2 316.405 1.361 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cncnc2C2CC2)C1 ZINC001035593563 751688912 /nfs/dbraw/zinc/68/89/12/751688912.db2.gz XTBRZOWVAQZQEE-AWEZNQCLSA-N 1 2 316.405 1.361 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001035627189 751699211 /nfs/dbraw/zinc/69/92/11/751699211.db2.gz KBBDIDPZRMCQKP-CABCVRRESA-N 1 2 308.422 1.196 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001035627189 751699217 /nfs/dbraw/zinc/69/92/17/751699217.db2.gz KBBDIDPZRMCQKP-CABCVRRESA-N 1 2 308.422 1.196 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CC[N@@H+]1Cc1ccccc1C#N ZINC001088695970 742186458 /nfs/dbraw/zinc/18/64/58/742186458.db2.gz FXCLCNJKOSBNMN-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CC[N@H+]1Cc1ccccc1C#N ZINC001088695970 742186459 /nfs/dbraw/zinc/18/64/59/742186459.db2.gz FXCLCNJKOSBNMN-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001060039104 742257579 /nfs/dbraw/zinc/25/75/79/742257579.db2.gz QVVXSCYPDIBESH-OAHLLOKOSA-N 1 2 324.388 1.500 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001060048276 742280503 /nfs/dbraw/zinc/28/05/03/742280503.db2.gz GTIMIGPVIYLTGL-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2-c2ccco2)C1 ZINC001035609440 751714884 /nfs/dbraw/zinc/71/48/84/751714884.db2.gz IAGGONSHWLUPTF-GFCCVEGCSA-N 1 2 316.361 1.286 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2-c2ccco2)C1 ZINC001035609440 751714890 /nfs/dbraw/zinc/71/48/90/751714890.db2.gz IAGGONSHWLUPTF-GFCCVEGCSA-N 1 2 316.361 1.286 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+]Cc1nc(-c2cccs2)no1 ZINC001126815909 742292504 /nfs/dbraw/zinc/29/25/04/742292504.db2.gz PIYPHSRWVHTQOZ-UHFFFAOYSA-N 1 2 318.402 1.663 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2csc3cc(C)ccc23)[C@@H](O)C1 ZINC001083491732 742371208 /nfs/dbraw/zinc/37/12/08/742371208.db2.gz UHOFXSAZJJGRIZ-CABCVRRESA-N 1 2 314.410 1.618 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2csc3cc(C)ccc23)[C@@H](O)C1 ZINC001083491732 742371214 /nfs/dbraw/zinc/37/12/14/742371214.db2.gz UHOFXSAZJJGRIZ-CABCVRRESA-N 1 2 314.410 1.618 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)[C@]3(F)CCOC3)[C@@H]2C1 ZINC001076171437 742582268 /nfs/dbraw/zinc/58/22/68/742582268.db2.gz DTFRQJIZUSARHZ-SCRDCRAPSA-N 1 2 302.777 1.400 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)[C@]3(F)CCOC3)[C@@H]2C1 ZINC001076171437 742582273 /nfs/dbraw/zinc/58/22/73/742582273.db2.gz DTFRQJIZUSARHZ-SCRDCRAPSA-N 1 2 302.777 1.400 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)[C@@]3(F)CCOC3)[C@@H]2C1 ZINC001076171436 742582446 /nfs/dbraw/zinc/58/24/46/742582446.db2.gz DTFRQJIZUSARHZ-OUCADQQQSA-N 1 2 302.777 1.400 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)[C@@]3(F)CCOC3)[C@@H]2C1 ZINC001076171436 742582449 /nfs/dbraw/zinc/58/24/49/742582449.db2.gz DTFRQJIZUSARHZ-OUCADQQQSA-N 1 2 302.777 1.400 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)C(F)F)CC2)C1 ZINC001105680922 742717802 /nfs/dbraw/zinc/71/78/02/742717802.db2.gz OVTFBKCGRCKUOJ-UHFFFAOYSA-N 1 2 318.364 1.146 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)c(C)c(C)[nH+]1 ZINC001040539982 742947737 /nfs/dbraw/zinc/94/77/37/742947737.db2.gz AEPRFCAQGAJFKC-WKPIXPDZSA-N 1 2 313.405 1.599 20 30 DDEDLO C[C@@H](C(=O)NC1=C(Cl)C(=O)c2ccccc2C1=O)[NH+](C)C ZINC001180864682 742971445 /nfs/dbraw/zinc/97/14/45/742971445.db2.gz AUHOCWBMKPPGTP-QMMMGPOBSA-N 1 2 306.749 1.582 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](NC(C)=O)c2ccccc2)CC1 ZINC001181224605 743120468 /nfs/dbraw/zinc/12/04/68/743120468.db2.gz XPYTXBANLDEBSY-INIZCTEOSA-N 1 2 301.390 1.194 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]nc2C(C)C)C1 ZINC001108045880 743192842 /nfs/dbraw/zinc/19/28/42/743192842.db2.gz DDQPYJKFTVKBIM-INIZCTEOSA-N 1 2 306.410 1.540 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]nc2C(C)C)C1 ZINC001108045880 743192845 /nfs/dbraw/zinc/19/28/45/743192845.db2.gz DDQPYJKFTVKBIM-INIZCTEOSA-N 1 2 306.410 1.540 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)sc2Cl)C1 ZINC001077133319 743268105 /nfs/dbraw/zinc/26/81/05/743268105.db2.gz MHIHOFXZSRWDNG-RKDXNWHRSA-N 1 2 319.213 1.463 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccncc3s2)C1 ZINC001077133167 743267924 /nfs/dbraw/zinc/26/79/24/743267924.db2.gz ISLZIMSYXRSPDK-VXGBXAGGSA-N 1 2 303.387 1.257 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccncc3s2)C1 ZINC001077133167 743267930 /nfs/dbraw/zinc/26/79/30/743267930.db2.gz ISLZIMSYXRSPDK-VXGBXAGGSA-N 1 2 303.387 1.257 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)sc2Cl)C1 ZINC001077133319 743268098 /nfs/dbraw/zinc/26/80/98/743268098.db2.gz MHIHOFXZSRWDNG-RKDXNWHRSA-N 1 2 319.213 1.463 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CC(F)(F)F)c2C1 ZINC001128251334 743374953 /nfs/dbraw/zinc/37/49/53/743374953.db2.gz YSJOETAGFSYQPS-UHFFFAOYSA-N 1 2 316.327 1.843 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CC(F)(F)F)c2C1 ZINC001128251334 743374964 /nfs/dbraw/zinc/37/49/64/743374964.db2.gz YSJOETAGFSYQPS-UHFFFAOYSA-N 1 2 316.327 1.843 20 30 DDEDLO CC/C=C\CC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](C)C#N)c2C1 ZINC001128265149 743415648 /nfs/dbraw/zinc/41/56/48/743415648.db2.gz UYTIFPYQQFGDCU-WSNITJDQSA-N 1 2 315.421 1.831 20 30 DDEDLO CC/C=C\CC[N@H+]1CCn2ncc(CNC(=O)[C@@H](C)C#N)c2C1 ZINC001128265149 743415652 /nfs/dbraw/zinc/41/56/52/743415652.db2.gz UYTIFPYQQFGDCU-WSNITJDQSA-N 1 2 315.421 1.831 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nnc(CC)o2)C1 ZINC001182215628 743532102 /nfs/dbraw/zinc/53/21/02/743532102.db2.gz XSJNLCBXYXEWCC-QWHCGFSZSA-N 1 2 304.394 1.687 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1occc1C)C2 ZINC001110281025 743580076 /nfs/dbraw/zinc/58/00/76/743580076.db2.gz CORHUSUUQVPIHU-KFWWJZLASA-N 1 2 302.374 1.573 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1occc1C)C2 ZINC001110281025 743580081 /nfs/dbraw/zinc/58/00/81/743580081.db2.gz CORHUSUUQVPIHU-KFWWJZLASA-N 1 2 302.374 1.573 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC(OC2C[NH+](CC(=C)C)C2)CC1 ZINC001105703595 743597012 /nfs/dbraw/zinc/59/70/12/743597012.db2.gz FNTHVVXLVJHSKY-GOSISDBHSA-N 1 2 322.449 1.581 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cnc(CC3CC3)s2)[C@@H](O)C1 ZINC001083665920 743708331 /nfs/dbraw/zinc/70/83/31/743708331.db2.gz CJPRVNLTXUBBJB-NEPJUHHUSA-N 1 2 307.419 1.057 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cnc(CC3CC3)s2)[C@@H](O)C1 ZINC001083665920 743708334 /nfs/dbraw/zinc/70/83/34/743708334.db2.gz CJPRVNLTXUBBJB-NEPJUHHUSA-N 1 2 307.419 1.057 20 30 DDEDLO N#Cc1ccccc1C1(C(=O)N[C@@H](CO)Cc2c[nH]c[nH+]2)CC1 ZINC001183293379 743815328 /nfs/dbraw/zinc/81/53/28/743815328.db2.gz KPTZNDINSFSXEO-CQSZACIVSA-N 1 2 310.357 1.033 20 30 DDEDLO N#Cc1ccccc1C1(C(=O)N[C@@H](CO)Cc2c[nH+]c[nH]2)CC1 ZINC001183293379 743815333 /nfs/dbraw/zinc/81/53/33/743815333.db2.gz KPTZNDINSFSXEO-CQSZACIVSA-N 1 2 310.357 1.033 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001184890467 744115677 /nfs/dbraw/zinc/11/56/77/744115677.db2.gz PVHXDNJTIKVCCK-CQSZACIVSA-N 1 2 304.394 1.652 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCCC(=O)N2)[C@H]1C ZINC001088850357 744212365 /nfs/dbraw/zinc/21/23/65/744212365.db2.gz MUQXAYCLPCRZFX-FRRDWIJNSA-N 1 2 313.829 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCCC(=O)N2)[C@H]1C ZINC001088850357 744212366 /nfs/dbraw/zinc/21/23/66/744212366.db2.gz MUQXAYCLPCRZFX-FRRDWIJNSA-N 1 2 313.829 1.377 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]([NH2+]Cc3nnc(C)o3)C2)CCC1 ZINC001185463489 744229637 /nfs/dbraw/zinc/22/96/37/744229637.db2.gz SRQMILKCTLNBHC-CYBMUJFWSA-N 1 2 304.394 1.815 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CCC3CC3)C2)nn1 ZINC001185908742 744306605 /nfs/dbraw/zinc/30/66/05/744306605.db2.gz ZMDMCAZIDCCPLM-MRXNPFEDSA-N 1 2 315.421 1.355 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@@H](F)CC)C2)nn1 ZINC001185985214 744317391 /nfs/dbraw/zinc/31/73/91/744317391.db2.gz XSPHSCSHDLJIMT-CABCVRRESA-N 1 2 321.400 1.303 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cnn3cccc(Cl)c23)C1 ZINC001030651294 744385192 /nfs/dbraw/zinc/38/51/92/744385192.db2.gz SOYAOZQAUOYJAF-UHFFFAOYSA-N 1 2 302.765 1.425 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001110390580 744511542 /nfs/dbraw/zinc/51/15/42/744511542.db2.gz UKPQYOXCGHBPRH-BNOWGMLFSA-N 1 2 307.419 1.567 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001110390580 744511545 /nfs/dbraw/zinc/51/15/45/744511545.db2.gz UKPQYOXCGHBPRH-BNOWGMLFSA-N 1 2 307.419 1.567 20 30 DDEDLO Cc1conc1C[N@@H+](C)[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001188966484 744801428 /nfs/dbraw/zinc/80/14/28/744801428.db2.gz WZWBAKKBEJTWID-GFCCVEGCSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1conc1C[N@H+](C)[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001188966484 744801432 /nfs/dbraw/zinc/80/14/32/744801432.db2.gz WZWBAKKBEJTWID-GFCCVEGCSA-N 1 2 308.407 1.273 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1CC ZINC001121803830 744876536 /nfs/dbraw/zinc/87/65/36/744876536.db2.gz MPHUDFCSZJFUBK-ZIAGYGMSSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2C[N@H+]3CCCC[C@@H]3CO2)n1CC ZINC001121803830 744876539 /nfs/dbraw/zinc/87/65/39/744876539.db2.gz MPHUDFCSZJFUBK-ZIAGYGMSSA-N 1 2 305.426 1.846 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001189926938 745040523 /nfs/dbraw/zinc/04/05/23/745040523.db2.gz UTNSYKVODIHIAP-GJZGRUSLSA-N 1 2 300.406 1.874 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001189926938 745040531 /nfs/dbraw/zinc/04/05/31/745040531.db2.gz UTNSYKVODIHIAP-GJZGRUSLSA-N 1 2 300.406 1.874 20 30 DDEDLO C=CCC1(S(=O)(=O)N2CC[NH+](CCCOC)CC2)CC1 ZINC001190794679 745333721 /nfs/dbraw/zinc/33/37/21/745333721.db2.gz VMAMQQCBQCPLRK-UHFFFAOYSA-N 1 2 302.440 1.079 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)on1 ZINC001007044766 751997170 /nfs/dbraw/zinc/99/71/70/751997170.db2.gz LWGZONJCTSAEEA-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)on1 ZINC001007044766 751997174 /nfs/dbraw/zinc/99/71/74/751997174.db2.gz LWGZONJCTSAEEA-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO COc1ccc(C(=NO)NC(=O)c2cc3c[nH+]ccc3[nH]2)nc1 ZINC001151975836 745621269 /nfs/dbraw/zinc/62/12/69/745621269.db2.gz HIMWKSZDVZACHX-UHFFFAOYSA-N 1 2 311.301 1.532 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cccc(C(F)F)c2)C[C@H]1O ZINC001191872259 745635804 /nfs/dbraw/zinc/63/58/04/745635804.db2.gz HHSRAEKDYAYUDC-ZLKJLUDKSA-N 1 2 323.343 1.445 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(C(F)F)c2)C[C@H]1O ZINC001191872259 745635807 /nfs/dbraw/zinc/63/58/07/745635807.db2.gz HHSRAEKDYAYUDC-ZLKJLUDKSA-N 1 2 323.343 1.445 20 30 DDEDLO COCC#CC[N@H+](C)[C@@H]1CCN(C(=O)c2cc(C(C)C)[nH]n2)C1 ZINC001192464101 745794863 /nfs/dbraw/zinc/79/48/63/745794863.db2.gz DORAXEPMAHDNPJ-CQSZACIVSA-N 1 2 318.421 1.329 20 30 DDEDLO COCC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2cc(C(C)C)[nH]n2)C1 ZINC001192464101 745794866 /nfs/dbraw/zinc/79/48/66/745794866.db2.gz DORAXEPMAHDNPJ-CQSZACIVSA-N 1 2 318.421 1.329 20 30 DDEDLO C[C@H](C[C@H](C)Nc1ncccc1C#N)NC(=O)Cn1cc[nH+]c1 ZINC001089346945 745992208 /nfs/dbraw/zinc/99/22/08/745992208.db2.gz SAQFDNOMUIKPRZ-OLZOCXBDSA-N 1 2 312.377 1.545 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CCCN(CC#N)[C@@H]3C)ccn12 ZINC000993357010 746025526 /nfs/dbraw/zinc/02/55/26/746025526.db2.gz XSDOGAFJSHRHIZ-UKRRQHHQSA-N 1 2 311.389 1.749 20 30 DDEDLO CCN(CCNc1ncccc1C#N)C(=O)Cc1[nH]c[nH+]c1C ZINC001106813737 746149654 /nfs/dbraw/zinc/14/96/54/746149654.db2.gz QJFDDLLAEYWKIX-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO N#Cc1cccc(C(=O)n2ccc([C@@H]3CCC[NH2+]3)nc2=N)c1O ZINC001193643826 746161166 /nfs/dbraw/zinc/16/11/66/746161166.db2.gz DWMQUDFHTNREOK-LBPRGKRZSA-N 1 2 309.329 1.053 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2snnc2C2CC2)[C@@H]1C ZINC000993456744 746171534 /nfs/dbraw/zinc/17/15/34/746171534.db2.gz BVYLVENMBBEAQU-JQWIXIFHSA-N 1 2 304.419 1.631 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2snnc2C2CC2)[C@@H]1C ZINC000993456744 746171536 /nfs/dbraw/zinc/17/15/36/746171536.db2.gz BVYLVENMBBEAQU-JQWIXIFHSA-N 1 2 304.419 1.631 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc3c2CCCC3)[C@@H]1C ZINC000993529549 746199070 /nfs/dbraw/zinc/19/90/70/746199070.db2.gz MCDPQGXUOKNIRC-ZFWWWQNUSA-N 1 2 314.433 1.895 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2[nH]nc3c2CCCC3)[C@@H]1C ZINC000993529549 746199072 /nfs/dbraw/zinc/19/90/72/746199072.db2.gz MCDPQGXUOKNIRC-ZFWWWQNUSA-N 1 2 314.433 1.895 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2nnc[nH]2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000994015310 746421083 /nfs/dbraw/zinc/42/10/83/746421083.db2.gz ASRDKUNYJFXHMC-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2nnc[nH]2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000994015310 746421084 /nfs/dbraw/zinc/42/10/84/746421084.db2.gz ASRDKUNYJFXHMC-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2ncn[nH]2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000994015310 746421085 /nfs/dbraw/zinc/42/10/85/746421085.db2.gz ASRDKUNYJFXHMC-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2ncn[nH]2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000994015310 746421087 /nfs/dbraw/zinc/42/10/87/746421087.db2.gz ASRDKUNYJFXHMC-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO CCOCC(=O)N1CCC[N@H+](CC#Cc2ccc(F)cc2)CC1 ZINC001194725274 746449931 /nfs/dbraw/zinc/44/99/31/746449931.db2.gz BRCQHORXLGJGKZ-UHFFFAOYSA-N 1 2 318.392 1.748 20 30 DDEDLO CCOCC(=O)N1CCC[N@@H+](CC#Cc2ccc(F)cc2)CC1 ZINC001194725274 746449933 /nfs/dbraw/zinc/44/99/33/746449933.db2.gz BRCQHORXLGJGKZ-UHFFFAOYSA-N 1 2 318.392 1.748 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)[C@H](C)CC)CC1 ZINC001194876371 746478964 /nfs/dbraw/zinc/47/89/64/746478964.db2.gz QFGNTTUXMUWCQC-MRXNPFEDSA-N 1 2 323.481 1.991 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)CC)CC1 ZINC001194876371 746478967 /nfs/dbraw/zinc/47/89/67/746478967.db2.gz QFGNTTUXMUWCQC-MRXNPFEDSA-N 1 2 323.481 1.991 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@H]1O ZINC001195525432 746637275 /nfs/dbraw/zinc/63/72/75/746637275.db2.gz NSKLUEOTGMFHDP-NUEKZKHPSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@H]1O ZINC001195525432 746637279 /nfs/dbraw/zinc/63/72/79/746637279.db2.gz NSKLUEOTGMFHDP-NUEKZKHPSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+](Cc2ccc(F)cn2)CC1 ZINC001195652847 746674172 /nfs/dbraw/zinc/67/41/72/746674172.db2.gz ZIOPUJLCIAWJDY-KRWDZBQOSA-N 1 2 321.396 1.582 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+](Cc2ccc(F)cn2)CC1 ZINC001195652847 746674173 /nfs/dbraw/zinc/67/41/73/746674173.db2.gz ZIOPUJLCIAWJDY-KRWDZBQOSA-N 1 2 321.396 1.582 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cc(Cl)cc3c2OCC3)C1 ZINC001031450154 746834109 /nfs/dbraw/zinc/83/41/09/746834109.db2.gz OVOIMTGMNDTOTF-UHFFFAOYSA-N 1 2 304.777 1.712 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+]([C@H](C)C(=O)NCCC)CC1 ZINC001196769258 746953489 /nfs/dbraw/zinc/95/34/89/746953489.db2.gz ADYIRLQBSPAXHQ-CQSZACIVSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+]([C@H](C)C(=O)NCCC)CC1 ZINC001196769258 746953496 /nfs/dbraw/zinc/95/34/96/746953496.db2.gz ADYIRLQBSPAXHQ-CQSZACIVSA-N 1 2 309.454 1.648 20 30 DDEDLO Cn1cc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)cn1 ZINC001031539683 746984839 /nfs/dbraw/zinc/98/48/39/746984839.db2.gz QBHUBPLIRPJYJL-UHFFFAOYSA-N 1 2 309.373 1.154 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@@H+](CC(=O)N(C)CC)CC2)CC1 ZINC001197043567 747033720 /nfs/dbraw/zinc/03/37/20/747033720.db2.gz IDSQSUKTBWDXBK-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@H+](CC(=O)N(C)CC)CC2)CC1 ZINC001197043567 747033725 /nfs/dbraw/zinc/03/37/25/747033725.db2.gz IDSQSUKTBWDXBK-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cnsn3)C2)s1 ZINC001031562401 747044565 /nfs/dbraw/zinc/04/45/65/747044565.db2.gz HYJKNPAOSPVCQQ-UHFFFAOYSA-N 1 2 319.415 1.333 20 30 DDEDLO C[C@H](C[C@H](C)Nc1ccc(C#N)cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089489570 747082505 /nfs/dbraw/zinc/08/25/05/747082505.db2.gz IYVHKKMJBDGHDJ-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)CC=C)CC1 ZINC001197300089 747124638 /nfs/dbraw/zinc/12/46/38/747124638.db2.gz FOIWOFTUSKILQF-OAHLLOKOSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C(C)(C)CC=C)CC1 ZINC001197300089 747124644 /nfs/dbraw/zinc/12/46/44/747124644.db2.gz FOIWOFTUSKILQF-OAHLLOKOSA-N 1 2 319.449 1.261 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@@]2(C)C(C)C)CC1 ZINC001197630706 747230952 /nfs/dbraw/zinc/23/09/52/747230952.db2.gz ONBWBKRAYRQHJT-YJBOKZPZSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@@]2(C)C(C)C)CC1 ZINC001197630706 747230955 /nfs/dbraw/zinc/23/09/55/747230955.db2.gz ONBWBKRAYRQHJT-YJBOKZPZSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(F)cc2)[C@H](O)C1 ZINC001090016299 747292782 /nfs/dbraw/zinc/29/27/82/747292782.db2.gz HYMVVPDARMESNL-ZIAGYGMSSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(F)cc2)[C@H](O)C1 ZINC001090016299 747292784 /nfs/dbraw/zinc/29/27/84/747292784.db2.gz HYMVVPDARMESNL-ZIAGYGMSSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001031672665 747359203 /nfs/dbraw/zinc/35/92/03/747359203.db2.gz MZHBFGDIVZFQRU-CYBMUJFWSA-N 1 2 308.813 1.630 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccs2)[C@H](O)C1 ZINC001090041256 747498564 /nfs/dbraw/zinc/49/85/64/747498564.db2.gz GMCJLSKRYXJFGI-GHMZBOCLSA-N 1 2 300.811 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccs2)[C@H](O)C1 ZINC001090041256 747498571 /nfs/dbraw/zinc/49/85/71/747498571.db2.gz GMCJLSKRYXJFGI-GHMZBOCLSA-N 1 2 300.811 1.666 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001031724616 747541169 /nfs/dbraw/zinc/54/11/69/747541169.db2.gz PZMZVWNRCKINSS-UHFFFAOYSA-N 1 2 309.797 1.502 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC000998745516 752192092 /nfs/dbraw/zinc/19/20/92/752192092.db2.gz IJFBVHVNKORNOV-VXGBXAGGSA-N 1 2 319.409 1.607 20 30 DDEDLO C=CC(C)(C)C(=O)N(CC)CCNc1[nH+]cnc2c1cnn2C ZINC001106907083 747644477 /nfs/dbraw/zinc/64/44/77/747644477.db2.gz UVDHRPDZKUKFOL-UHFFFAOYSA-N 1 2 316.409 1.836 20 30 DDEDLO CN(C(=O)c1ccn[nH]1)[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001032927089 747658191 /nfs/dbraw/zinc/65/81/91/747658191.db2.gz WQPWGOXMZIRWJK-INIZCTEOSA-N 1 2 308.385 1.608 20 30 DDEDLO CN(C(=O)c1ccn[nH]1)[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001032927089 747658197 /nfs/dbraw/zinc/65/81/97/747658197.db2.gz WQPWGOXMZIRWJK-INIZCTEOSA-N 1 2 308.385 1.608 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001152585149 747776073 /nfs/dbraw/zinc/77/60/73/747776073.db2.gz PFRJWOJFMGPMAO-CQSZACIVSA-N 1 2 323.441 1.125 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001152585149 747776080 /nfs/dbraw/zinc/77/60/80/747776080.db2.gz PFRJWOJFMGPMAO-CQSZACIVSA-N 1 2 323.441 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001007603917 752235700 /nfs/dbraw/zinc/23/57/00/752235700.db2.gz LUDWTSRFKIGGHZ-SWLSCSKDSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001007603917 752235706 /nfs/dbraw/zinc/23/57/06/752235706.db2.gz LUDWTSRFKIGGHZ-SWLSCSKDSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CC(=O)N[C@@H](CC)C2)C1 ZINC001031871341 748079009 /nfs/dbraw/zinc/07/90/09/748079009.db2.gz WXDHMBUDBAAZBL-STQMWFEESA-N 1 2 313.829 1.092 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@H](C[NH2+][C@H](C)c2csnn2)C1 ZINC001200083253 748129416 /nfs/dbraw/zinc/12/94/16/748129416.db2.gz DVCBMDVAKXHMPG-CHWSQXEVSA-N 1 2 324.450 1.772 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cn2cccc(C)c2=O)C1 ZINC001033075081 748214638 /nfs/dbraw/zinc/21/46/38/748214638.db2.gz FESZYJIQEDRGBY-CQSZACIVSA-N 1 2 323.824 1.442 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cn2cccc(C)c2=O)C1 ZINC001033075081 748214644 /nfs/dbraw/zinc/21/46/44/748214644.db2.gz FESZYJIQEDRGBY-CQSZACIVSA-N 1 2 323.824 1.442 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001124495999 748288074 /nfs/dbraw/zinc/28/80/74/748288074.db2.gz URYYJJRUAIOSIS-VXGBXAGGSA-N 1 2 322.409 1.695 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc3nccn32)C1 ZINC001108069564 748301030 /nfs/dbraw/zinc/30/10/30/748301030.db2.gz FTKLQWPKZRQKEG-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc3nccn32)C1 ZINC001108069564 748301034 /nfs/dbraw/zinc/30/10/34/748301034.db2.gz FTKLQWPKZRQKEG-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2cncs2)CC1 ZINC001004363251 748363767 /nfs/dbraw/zinc/36/37/67/748363767.db2.gz AMCFJAPIGXVFQF-CYBMUJFWSA-N 1 2 304.419 1.983 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2cncs2)CC1 ZINC001004363251 748363777 /nfs/dbraw/zinc/36/37/77/748363777.db2.gz AMCFJAPIGXVFQF-CYBMUJFWSA-N 1 2 304.419 1.983 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)[C@@H]2CCCCO2)CC1 ZINC001004409457 748408788 /nfs/dbraw/zinc/40/87/88/748408788.db2.gz WNLIPFXGYHRAMY-CVEARBPZSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)[C@@H]2CCCCO2)CC1 ZINC001004409457 748408790 /nfs/dbraw/zinc/40/87/90/748408790.db2.gz WNLIPFXGYHRAMY-CVEARBPZSA-N 1 2 305.422 1.782 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)c(C)n2)C1 ZINC001108074041 748456258 /nfs/dbraw/zinc/45/62/58/748456258.db2.gz AAFLZRUVGHUBDA-KRWDZBQOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)c(C)n2)C1 ZINC001108074041 748456261 /nfs/dbraw/zinc/45/62/61/748456261.db2.gz AAFLZRUVGHUBDA-KRWDZBQOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C2(F)CCOCC2)C1 ZINC001033131882 748486595 /nfs/dbraw/zinc/48/65/95/748486595.db2.gz KOKPWGYVPMJJKZ-LBPRGKRZSA-N 1 2 304.793 1.790 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C2(F)CCOCC2)C1 ZINC001033131882 748486596 /nfs/dbraw/zinc/48/65/96/748486596.db2.gz KOKPWGYVPMJJKZ-LBPRGKRZSA-N 1 2 304.793 1.790 20 30 DDEDLO CO[C@H](C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1)C(C)C ZINC001004526453 748516342 /nfs/dbraw/zinc/51/63/42/748516342.db2.gz AAAXRZCCMCTZTB-CVEARBPZSA-N 1 2 307.438 1.884 20 30 DDEDLO CO[C@H](C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1)C(C)C ZINC001004526453 748516348 /nfs/dbraw/zinc/51/63/48/748516348.db2.gz AAAXRZCCMCTZTB-CVEARBPZSA-N 1 2 307.438 1.884 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004551876 748550927 /nfs/dbraw/zinc/55/09/27/748550927.db2.gz MDEJZYOWCVKYAY-ZACQAIPSSA-N 1 2 317.433 1.804 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004551876 748550932 /nfs/dbraw/zinc/55/09/32/748550932.db2.gz MDEJZYOWCVKYAY-ZACQAIPSSA-N 1 2 317.433 1.804 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001033212522 748946633 /nfs/dbraw/zinc/94/66/33/748946633.db2.gz UXAYHCWRHRTZFS-UKRRQHHQSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001033212522 748946639 /nfs/dbraw/zinc/94/66/39/748946639.db2.gz UXAYHCWRHRTZFS-UKRRQHHQSA-N 1 2 322.840 1.731 20 30 DDEDLO Cc1nc(N2CC[C@@](C)(NC(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001110768148 748995682 /nfs/dbraw/zinc/99/56/82/748995682.db2.gz OIADPWWWRBSLEA-QGZVFWFLSA-N 1 2 324.388 1.243 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cn(C(C)C)cn2)C1 ZINC001108097946 749059674 /nfs/dbraw/zinc/05/96/74/749059674.db2.gz OQQYPSJEPSVYMU-INIZCTEOSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cn(C(C)C)cn2)C1 ZINC001108097946 749059679 /nfs/dbraw/zinc/05/96/79/749059679.db2.gz OQQYPSJEPSVYMU-INIZCTEOSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccnc(OC)n2)C1 ZINC001033257645 749073228 /nfs/dbraw/zinc/07/32/28/749073228.db2.gz SZEPCDILUTXVOU-NSHDSACASA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccnc(OC)n2)C1 ZINC001033257645 749073231 /nfs/dbraw/zinc/07/32/31/749073231.db2.gz SZEPCDILUTXVOU-NSHDSACASA-N 1 2 310.785 1.384 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)CCCOC)C1 ZINC001108328464 761920957 /nfs/dbraw/zinc/92/09/57/761920957.db2.gz HSPVSPLFTPVFCT-DOTOQJQBSA-N 1 2 310.438 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)CCCOC)C1 ZINC001108328464 761920962 /nfs/dbraw/zinc/92/09/62/761920962.db2.gz HSPVSPLFTPVFCT-DOTOQJQBSA-N 1 2 310.438 1.280 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H]3C=CCC3)nn2)C1 ZINC001107119469 749151641 /nfs/dbraw/zinc/15/16/41/749151641.db2.gz BBMBUOQZHYNJKV-CYBMUJFWSA-N 1 2 301.394 1.293 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001033347247 749238181 /nfs/dbraw/zinc/23/81/81/749238181.db2.gz NCOSZFDHPSTZFZ-LLVKDONJSA-N 1 2 310.785 1.389 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001033347247 749238185 /nfs/dbraw/zinc/23/81/85/749238185.db2.gz NCOSZFDHPSTZFZ-LLVKDONJSA-N 1 2 310.785 1.389 20 30 DDEDLO C#CCCCC(=O)NC/C=C/CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001107147817 749405561 /nfs/dbraw/zinc/40/55/61/749405561.db2.gz VIEUNSKZPOOXEE-CMDGGOBGSA-N 1 2 316.409 1.134 20 30 DDEDLO CN(C(=O)c1nc[nH]n1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033519846 749464286 /nfs/dbraw/zinc/46/42/86/749464286.db2.gz XARYDWZPLUIQAN-CQSZACIVSA-N 1 2 310.361 1.023 20 30 DDEDLO CN(C(=O)c1nc[nH]n1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033519846 749464287 /nfs/dbraw/zinc/46/42/87/749464287.db2.gz XARYDWZPLUIQAN-CQSZACIVSA-N 1 2 310.361 1.023 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001056888996 761957747 /nfs/dbraw/zinc/95/77/47/761957747.db2.gz YNXXGMAHYRZZGE-ZDUSSCGKSA-N 1 2 310.361 1.336 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COCCO1 ZINC001039352361 761958057 /nfs/dbraw/zinc/95/80/57/761958057.db2.gz QFNQKNYRGNPGFS-HZSPNIEDSA-N 1 2 314.813 1.220 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COCCO1 ZINC001039352361 761958065 /nfs/dbraw/zinc/95/80/65/761958065.db2.gz QFNQKNYRGNPGFS-HZSPNIEDSA-N 1 2 314.813 1.220 20 30 DDEDLO C=CC(C)(C)C(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107192522 749536330 /nfs/dbraw/zinc/53/63/30/749536330.db2.gz PDPSVOHFOYHPAP-UHFFFAOYSA-N 1 2 303.410 1.539 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001108343554 761960017 /nfs/dbraw/zinc/96/00/17/761960017.db2.gz UVQWSUQWVYFNAH-MRXNPFEDSA-N 1 2 306.410 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001108343554 761960019 /nfs/dbraw/zinc/96/00/19/761960019.db2.gz UVQWSUQWVYFNAH-MRXNPFEDSA-N 1 2 306.410 1.080 20 30 DDEDLO COc1ccccc1C[N@H+](C)CC(=O)N(CCC#N)CCC#N ZINC000052895772 749557721 /nfs/dbraw/zinc/55/77/21/749557721.db2.gz QNVKFUYIOQQFSB-UHFFFAOYSA-N 1 2 314.389 1.783 20 30 DDEDLO COc1ccccc1C[N@@H+](C)CC(=O)N(CCC#N)CCC#N ZINC000052895772 749557726 /nfs/dbraw/zinc/55/77/26/749557726.db2.gz QNVKFUYIOQQFSB-UHFFFAOYSA-N 1 2 314.389 1.783 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@]3(C)CCC[C@@H]3C)nn2)C1 ZINC001107205597 749590021 /nfs/dbraw/zinc/59/00/21/749590021.db2.gz ALLJWIPUVKNNLR-GUYCJALGSA-N 1 2 317.437 1.763 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H](C)[C@@H](C)CC)nn2)C1 ZINC001107225231 749654131 /nfs/dbraw/zinc/65/41/31/749654131.db2.gz RIBAWDGSWIPXPH-UONOGXRCSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)[C@H](C)CC)nn2)C1 ZINC001107225230 749654182 /nfs/dbraw/zinc/65/41/82/749654182.db2.gz RIBAWDGSWIPXPH-KGLIPLIRSA-N 1 2 317.437 1.457 20 30 DDEDLO Cc1ocnc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032306311 749747339 /nfs/dbraw/zinc/74/73/39/749747339.db2.gz NZOFBQNJTWYKIJ-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1ocnc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032306311 749747345 /nfs/dbraw/zinc/74/73/45/749747345.db2.gz NZOFBQNJTWYKIJ-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C)CCCC1)C2 ZINC001110868357 749788676 /nfs/dbraw/zinc/78/86/76/749788676.db2.gz DCJQVNIOEDZCRB-KFWWJZLASA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C)CCCC1)C2 ZINC001110868357 749788682 /nfs/dbraw/zinc/78/86/82/749788682.db2.gz DCJQVNIOEDZCRB-KFWWJZLASA-N 1 2 319.449 1.590 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)C2CN(C(C)=O)C2)C1 ZINC001033805884 749930933 /nfs/dbraw/zinc/93/09/33/749930933.db2.gz ZBGALRRZNQHJKW-AWEZNQCLSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)C2CN(C(C)=O)C2)C1 ZINC001033805884 749930935 /nfs/dbraw/zinc/93/09/35/749930935.db2.gz ZBGALRRZNQHJKW-AWEZNQCLSA-N 1 2 313.829 1.140 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)COc2ccccc2)C1 ZINC001108363727 761994348 /nfs/dbraw/zinc/99/43/48/761994348.db2.gz BBDKMRPFYBBSLW-GOSISDBHSA-N 1 2 316.401 1.296 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)COc2ccccc2)C1 ZINC001108363727 761994353 /nfs/dbraw/zinc/99/43/53/761994353.db2.gz BBDKMRPFYBBSLW-GOSISDBHSA-N 1 2 316.401 1.296 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C/CNC(=O)CCn2cc[nH+]c2)n1 ZINC001107445705 749968119 /nfs/dbraw/zinc/96/81/19/749968119.db2.gz OHEULZDUZVWAJW-NSCUHMNNSA-N 1 2 324.388 1.633 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nc3cccnc3s1)C2 ZINC001095539786 750025144 /nfs/dbraw/zinc/02/51/44/750025144.db2.gz IQRLXLPNXKWVCN-WXHSDQCUSA-N 1 2 312.398 1.660 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nc3cccnc3s1)C2 ZINC001095539786 750025149 /nfs/dbraw/zinc/02/51/49/750025149.db2.gz IQRLXLPNXKWVCN-WXHSDQCUSA-N 1 2 312.398 1.660 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)COc2ccc(F)cc2)C1 ZINC001108390572 762012985 /nfs/dbraw/zinc/01/29/85/762012985.db2.gz HAXURYVERXYIPK-QGZVFWFLSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)COc2ccc(F)cc2)C1 ZINC001108390572 762012995 /nfs/dbraw/zinc/01/29/95/762012995.db2.gz HAXURYVERXYIPK-QGZVFWFLSA-N 1 2 322.380 1.598 20 30 DDEDLO CCN(C(=O)[C@H]1CCCc2[nH+]c[nH]c21)[C@H]1CCN(CC#N)C1 ZINC001033949451 750170740 /nfs/dbraw/zinc/17/07/40/750170740.db2.gz IAWFRSHLOUTXNZ-STQMWFEESA-N 1 2 301.394 1.276 20 30 DDEDLO O=C(NCC[NH2+]CCOCC(F)(F)F)C(F)C(F)(F)F ZINC001127811029 750252000 /nfs/dbraw/zinc/25/20/00/750252000.db2.gz WZWPUVIQXDEDBX-LURJTMIESA-N 1 2 314.201 1.172 20 30 DDEDLO O=C(NCC[NH2+]CCOCC(F)(F)F)[C@H](F)C(F)(F)F ZINC001127811029 750252003 /nfs/dbraw/zinc/25/20/03/750252003.db2.gz WZWPUVIQXDEDBX-LURJTMIESA-N 1 2 314.201 1.172 20 30 DDEDLO Cc1nc(NCC2(CCNC(=O)CSCC#N)CC2)cc[nH+]1 ZINC001089897043 750305903 /nfs/dbraw/zinc/30/59/03/750305903.db2.gz GFIVLSPOIDEFBO-UHFFFAOYSA-N 1 2 319.434 1.740 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001034466669 750448394 /nfs/dbraw/zinc/44/83/94/750448394.db2.gz CFIJRXJTKIHLLF-ZIAGYGMSSA-N 1 2 314.433 1.752 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001034466669 750448397 /nfs/dbraw/zinc/44/83/97/750448397.db2.gz CFIJRXJTKIHLLF-ZIAGYGMSSA-N 1 2 314.433 1.752 20 30 DDEDLO N#CCN1CCCC[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001034467768 750449657 /nfs/dbraw/zinc/44/96/57/750449657.db2.gz CFPKZRADXITIJK-INIZCTEOSA-N 1 2 323.400 1.980 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCOCC2CC2)C1 ZINC001107941282 750754137 /nfs/dbraw/zinc/75/41/37/750754137.db2.gz BNFAOGHVUQFZDS-KRWDZBQOSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCOCC2CC2)C1 ZINC001107941282 750754139 /nfs/dbraw/zinc/75/41/39/750754139.db2.gz BNFAOGHVUQFZDS-KRWDZBQOSA-N 1 2 308.422 1.034 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001107952307 750835197 /nfs/dbraw/zinc/83/51/97/750835197.db2.gz AIMYMSDKMGERFZ-KYHPRHEASA-N 1 2 304.434 1.982 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001107952307 750835206 /nfs/dbraw/zinc/83/52/06/750835206.db2.gz AIMYMSDKMGERFZ-KYHPRHEASA-N 1 2 304.434 1.982 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)[C@@H](C)CCC)CC2 ZINC001127965457 750868362 /nfs/dbraw/zinc/86/83/62/750868362.db2.gz UXDVZGDKOMIIDC-KBPBESRZSA-N 1 2 317.437 1.258 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001107956406 750884858 /nfs/dbraw/zinc/88/48/58/750884858.db2.gz KSCIGGRZPINENW-CMPLNLGQSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCO[C@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001107956406 750884866 /nfs/dbraw/zinc/88/48/66/750884866.db2.gz KSCIGGRZPINENW-CMPLNLGQSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001107956406 750884874 /nfs/dbraw/zinc/88/48/74/750884874.db2.gz KSCIGGRZPINENW-CMPLNLGQSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001107956406 750884881 /nfs/dbraw/zinc/88/48/81/750884881.db2.gz KSCIGGRZPINENW-CMPLNLGQSA-N 1 2 312.307 1.670 20 30 DDEDLO Cc1nc(N[C@H](C)[C@@H]2CN(C(=O)C#CC(C)C)CCO2)cc[nH+]1 ZINC001114637361 750908764 /nfs/dbraw/zinc/90/87/64/750908764.db2.gz MWEOLZFHOPXKDM-HIFRSBDPSA-N 1 2 316.405 1.472 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](C[C@H](F)CC)C[C@@H]1n1ccnn1 ZINC001129026083 750991501 /nfs/dbraw/zinc/99/15/01/750991501.db2.gz ZITCLCFEBMQHBV-KFWWJZLASA-N 1 2 323.416 1.724 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](C[C@H](F)CC)C[C@@H]1n1ccnn1 ZINC001129026083 750991505 /nfs/dbraw/zinc/99/15/05/750991505.db2.gz ZITCLCFEBMQHBV-KFWWJZLASA-N 1 2 323.416 1.724 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001114692325 750994891 /nfs/dbraw/zinc/99/48/91/750994891.db2.gz FFZHGYUUFBTEKG-HWWDLCQESA-N 1 2 312.417 1.607 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001114692325 750994894 /nfs/dbraw/zinc/99/48/94/750994894.db2.gz FFZHGYUUFBTEKG-HWWDLCQESA-N 1 2 312.417 1.607 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)n([C@@H](C)CC)n1 ZINC001032489964 751038837 /nfs/dbraw/zinc/03/88/37/751038837.db2.gz XVWJQYYVRLBCQE-QEJZJMRPSA-N 1 2 300.406 1.694 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)n([C@@H](C)CC)n1 ZINC001032489964 751038842 /nfs/dbraw/zinc/03/88/42/751038842.db2.gz XVWJQYYVRLBCQE-QEJZJMRPSA-N 1 2 300.406 1.694 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114791769 751082890 /nfs/dbraw/zinc/08/28/90/751082890.db2.gz JYXXENAYRAOOOC-HWMZRRJGSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114791769 751082897 /nfs/dbraw/zinc/08/28/97/751082897.db2.gz JYXXENAYRAOOOC-HWMZRRJGSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001114792203 751083858 /nfs/dbraw/zinc/08/38/58/751083858.db2.gz QBPVEXUMKCEVOD-TWJWRFFLSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001114792203 751083866 /nfs/dbraw/zinc/08/38/66/751083866.db2.gz QBPVEXUMKCEVOD-TWJWRFFLSA-N 1 2 304.394 1.527 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(OC)ccnc1OC ZINC001032515615 751161998 /nfs/dbraw/zinc/16/19/98/751161998.db2.gz IZVXDSVKDOFLBN-STQMWFEESA-N 1 2 315.373 1.021 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(OC)ccnc1OC ZINC001032515615 751162003 /nfs/dbraw/zinc/16/20/03/751162003.db2.gz IZVXDSVKDOFLBN-STQMWFEESA-N 1 2 315.373 1.021 20 30 DDEDLO C[C@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C1CCN(CC#N)CC1 ZINC000997646537 751179624 /nfs/dbraw/zinc/17/96/24/751179624.db2.gz MXBDPZHVRKXGHQ-ZFWWWQNUSA-N 1 2 315.421 1.186 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)C[C@H](C)CC)CC2 ZINC001127991308 751198148 /nfs/dbraw/zinc/19/81/48/751198148.db2.gz UEPIYDWXKMKYEL-ZIAGYGMSSA-N 1 2 317.437 1.258 20 30 DDEDLO CCN(CCCNC(=O)Cc1[nH]cc[nH+]1)c1ccc(C#N)nc1 ZINC001095906354 751229622 /nfs/dbraw/zinc/22/96/22/751229622.db2.gz ODBVOZGKTNAQDY-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](F)Cc2ccccc2)C1 ZINC001108416332 762109693 /nfs/dbraw/zinc/10/96/93/762109693.db2.gz RCIHBIPJGJCTIR-WMZOPIPTSA-N 1 2 320.408 1.960 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](F)Cc2ccccc2)C1 ZINC001108416332 762109697 /nfs/dbraw/zinc/10/96/97/762109697.db2.gz RCIHBIPJGJCTIR-WMZOPIPTSA-N 1 2 320.408 1.960 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+][C@@H](C)c2nc(COC)no2)CCC1 ZINC001129124634 751298566 /nfs/dbraw/zinc/29/85/66/751298566.db2.gz BANVNKFNUQFLSL-LBPRGKRZSA-N 1 2 320.393 1.176 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2ncc(CC)o2)CCOCC1 ZINC001129116875 751303218 /nfs/dbraw/zinc/30/32/18/751303218.db2.gz MABYCCNKWNOVNB-UHFFFAOYSA-N 1 2 319.405 1.263 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(C)s2)C1 ZINC001108419101 762115009 /nfs/dbraw/zinc/11/50/09/762115009.db2.gz BJGZRRYYDLPWJI-KRWDZBQOSA-N 1 2 320.458 1.829 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(C)s2)C1 ZINC001108419101 762115011 /nfs/dbraw/zinc/11/50/11/762115011.db2.gz BJGZRRYYDLPWJI-KRWDZBQOSA-N 1 2 320.458 1.829 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cc(OC)ncn2)CC1 ZINC001000708114 762116770 /nfs/dbraw/zinc/11/67/70/762116770.db2.gz LGNJSEYILPZTMO-UHFFFAOYSA-N 1 2 322.796 1.600 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cc(OC)ncn2)CC1 ZINC001000708114 762116771 /nfs/dbraw/zinc/11/67/71/762116771.db2.gz LGNJSEYILPZTMO-UHFFFAOYSA-N 1 2 322.796 1.600 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)c3cn[nH]n3)C2)cc1 ZINC001007757055 752321455 /nfs/dbraw/zinc/32/14/55/752321455.db2.gz YLXPUDNLACDLAF-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)c3cn[nH]n3)C2)cc1 ZINC001007757055 752321463 /nfs/dbraw/zinc/32/14/63/752321463.db2.gz YLXPUDNLACDLAF-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)c3cnn[nH]3)C2)cc1 ZINC001007757055 752321469 /nfs/dbraw/zinc/32/14/69/752321469.db2.gz YLXPUDNLACDLAF-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)c3cnn[nH]3)C2)cc1 ZINC001007757055 752321478 /nfs/dbraw/zinc/32/14/78/752321478.db2.gz YLXPUDNLACDLAF-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)[nH]nc2Cl)C1 ZINC001107988057 752419363 /nfs/dbraw/zinc/41/93/63/752419363.db2.gz WDLYYVRVWWSKFW-CQSZACIVSA-N 1 2 312.801 1.378 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)[nH]nc2Cl)C1 ZINC001107988057 752419367 /nfs/dbraw/zinc/41/93/67/752419367.db2.gz WDLYYVRVWWSKFW-CQSZACIVSA-N 1 2 312.801 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001008249529 752635118 /nfs/dbraw/zinc/63/51/18/752635118.db2.gz FTDRMKCOHPBEMP-IUODEOHRSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001008249529 752635124 /nfs/dbraw/zinc/63/51/24/752635124.db2.gz FTDRMKCOHPBEMP-IUODEOHRSA-N 1 2 313.829 1.236 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001062097416 752759961 /nfs/dbraw/zinc/75/99/61/752759961.db2.gz YWNNHGPOMJFUJS-ZDUSSCGKSA-N 1 2 324.388 1.583 20 30 DDEDLO CN(C[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1)c1ccncc1C#N ZINC001062138949 752785548 /nfs/dbraw/zinc/78/55/48/752785548.db2.gz SCYPISRWEKZYFY-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2nsnc21 ZINC001032710149 752796552 /nfs/dbraw/zinc/79/65/52/752796552.db2.gz SRACZDZUVQGDPP-RYUDHWBXSA-N 1 2 312.398 1.613 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2nsnc21 ZINC001032710149 752796555 /nfs/dbraw/zinc/79/65/55/752796555.db2.gz SRACZDZUVQGDPP-RYUDHWBXSA-N 1 2 312.398 1.613 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(OC)cc1F ZINC001032711440 752810700 /nfs/dbraw/zinc/81/07/00/752810700.db2.gz XHAHZILRNJYDSI-KBPBESRZSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(OC)cc1F ZINC001032711440 752810706 /nfs/dbraw/zinc/81/07/06/752810706.db2.gz XHAHZILRNJYDSI-KBPBESRZSA-N 1 2 302.349 1.295 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001032713472 752848175 /nfs/dbraw/zinc/84/81/75/752848175.db2.gz ZVOFDYPBFWZBDX-HOTGVXAUSA-N 1 2 321.384 1.394 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001032713472 752848178 /nfs/dbraw/zinc/84/81/78/752848178.db2.gz ZVOFDYPBFWZBDX-HOTGVXAUSA-N 1 2 321.384 1.394 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1cnon1 ZINC001008930355 752990275 /nfs/dbraw/zinc/99/02/75/752990275.db2.gz UHSNTONZWNPNEN-OAHLLOKOSA-N 1 2 310.357 1.316 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1cnon1 ZINC001008930355 752990281 /nfs/dbraw/zinc/99/02/81/752990281.db2.gz UHSNTONZWNPNEN-OAHLLOKOSA-N 1 2 310.357 1.316 20 30 DDEDLO C=CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001009045663 753047909 /nfs/dbraw/zinc/04/79/09/753047909.db2.gz NWEPNOYPNOMSDP-QWHCGFSZSA-N 1 2 302.422 1.915 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001009045663 753047915 /nfs/dbraw/zinc/04/79/15/753047915.db2.gz NWEPNOYPNOMSDP-QWHCGFSZSA-N 1 2 302.422 1.915 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001009368186 753171657 /nfs/dbraw/zinc/17/16/57/753171657.db2.gz AFLXKYSQZIJBSK-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001009368186 753171661 /nfs/dbraw/zinc/17/16/61/753171661.db2.gz AFLXKYSQZIJBSK-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cc(C3CC3)no2)C1 ZINC001107993541 753357470 /nfs/dbraw/zinc/35/74/70/753357470.db2.gz SHZWGMCUHXAMCO-QGZVFWFLSA-N 1 2 319.405 1.488 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cc(C3CC3)no2)C1 ZINC001107993541 753357473 /nfs/dbraw/zinc/35/74/73/753357473.db2.gz SHZWGMCUHXAMCO-QGZVFWFLSA-N 1 2 319.405 1.488 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2c(C)ncn2C)CC1 ZINC001000800380 762212201 /nfs/dbraw/zinc/21/22/01/762212201.db2.gz LGDKTLUITYULRD-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2c(C)ncn2C)CC1 ZINC001000800380 762212203 /nfs/dbraw/zinc/21/22/03/762212203.db2.gz LGDKTLUITYULRD-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)c(F)c2)C1 ZINC001108000393 753447252 /nfs/dbraw/zinc/44/72/52/753447252.db2.gz UVTXAFYMGLAQGT-QGZVFWFLSA-N 1 2 322.355 1.809 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)c(F)c2)C1 ZINC001108000393 753447255 /nfs/dbraw/zinc/44/72/55/753447255.db2.gz UVTXAFYMGLAQGT-QGZVFWFLSA-N 1 2 322.355 1.809 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(COC)c(F)c1 ZINC001032753393 753468482 /nfs/dbraw/zinc/46/84/82/753468482.db2.gz QCZBYBIOBODVOU-HOTGVXAUSA-N 1 2 316.376 1.894 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(COC)c(F)c1 ZINC001032753393 753468485 /nfs/dbraw/zinc/46/84/85/753468485.db2.gz QCZBYBIOBODVOU-HOTGVXAUSA-N 1 2 316.376 1.894 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H]2C=CCCC2)C1 ZINC001108020590 753539631 /nfs/dbraw/zinc/53/96/31/753539631.db2.gz RDYXRNHEGZLPMP-WMZOPIPTSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H]2C=CCCC2)C1 ZINC001108020590 753539636 /nfs/dbraw/zinc/53/96/36/753539636.db2.gz RDYXRNHEGZLPMP-WMZOPIPTSA-N 1 2 304.434 1.963 20 30 DDEDLO C=CCCCC(=O)NC1(CNC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001062879021 753793306 /nfs/dbraw/zinc/79/33/06/753793306.db2.gz WBDKMIQFFPQTJW-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1ccc(OC)cc1 ZINC001032796968 753967931 /nfs/dbraw/zinc/96/79/31/753967931.db2.gz ZNICHVYESLJTCY-HOTGVXAUSA-N 1 2 312.413 1.891 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1ccc(OC)cc1 ZINC001032796968 753967936 /nfs/dbraw/zinc/96/79/36/753967936.db2.gz ZNICHVYESLJTCY-HOTGVXAUSA-N 1 2 312.413 1.891 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)c(C)o1 ZINC001010653961 754081995 /nfs/dbraw/zinc/08/19/95/754081995.db2.gz CZGPFEDENJBLAQ-ZDUSSCGKSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)c(C)o1 ZINC001010653961 754082002 /nfs/dbraw/zinc/08/20/02/754082002.db2.gz CZGPFEDENJBLAQ-ZDUSSCGKSA-N 1 2 313.361 1.496 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001011280719 754421648 /nfs/dbraw/zinc/42/16/48/754421648.db2.gz DXMJYUOKCBBLRQ-UHFFFAOYSA-N 1 2 304.394 1.225 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001011280719 754421650 /nfs/dbraw/zinc/42/16/50/754421650.db2.gz DXMJYUOKCBBLRQ-UHFFFAOYSA-N 1 2 304.394 1.225 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001061017059 754441661 /nfs/dbraw/zinc/44/16/61/754441661.db2.gz HKPAKXPOIALMAX-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001061017059 754441668 /nfs/dbraw/zinc/44/16/68/754441668.db2.gz HKPAKXPOIALMAX-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(=O)Nc1c(C)cccc1C ZINC001032820095 754510509 /nfs/dbraw/zinc/51/05/09/754510509.db2.gz ZSGGHVHRXOIGRS-GJZGRUSLSA-N 1 2 313.401 1.713 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(=O)Nc1c(C)cccc1C ZINC001032820095 754510511 /nfs/dbraw/zinc/51/05/11/754510511.db2.gz ZSGGHVHRXOIGRS-GJZGRUSLSA-N 1 2 313.401 1.713 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001064107905 754517209 /nfs/dbraw/zinc/51/72/09/754517209.db2.gz VLBJDTFRSYJTGD-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001064141518 754538717 /nfs/dbraw/zinc/53/87/17/754538717.db2.gz VIIVNKFVNBKFSL-HIFRSBDPSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ccc(-c3ccco3)s2)[C@@H](O)C1 ZINC001083906783 754767109 /nfs/dbraw/zinc/76/71/09/754767109.db2.gz VSPCRYCYHNEGNI-NEPJUHHUSA-N 1 2 318.398 1.969 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ccc(-c3ccco3)s2)[C@@H](O)C1 ZINC001083906783 754767110 /nfs/dbraw/zinc/76/71/10/754767110.db2.gz VSPCRYCYHNEGNI-NEPJUHHUSA-N 1 2 318.398 1.969 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C)nnc2C)C1 ZINC001079763651 755567492 /nfs/dbraw/zinc/56/74/92/755567492.db2.gz WNRGLSTZOYJTFF-YMTOWFKASA-N 1 2 308.813 1.896 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C)nnc2C)C1 ZINC001079763651 755567497 /nfs/dbraw/zinc/56/74/97/755567497.db2.gz WNRGLSTZOYJTFF-YMTOWFKASA-N 1 2 308.813 1.896 20 30 DDEDLO CCN(C(=O)C[C@H](C)n1cc[nH+]c1)C1CN(C(=O)[C@@H](C)C#N)C1 ZINC001079791438 755576126 /nfs/dbraw/zinc/57/61/26/755576126.db2.gz GEZCFGMBMDMWAK-STQMWFEESA-N 1 2 317.393 1.053 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc3n(n2)CCCO3)C1 ZINC001080104884 755724397 /nfs/dbraw/zinc/72/43/97/755724397.db2.gz SIOOAMHYGRJTGR-ZWNOBZJWSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc3n(n2)CCCO3)C1 ZINC001080104884 755724399 /nfs/dbraw/zinc/72/43/99/755724399.db2.gz SIOOAMHYGRJTGR-ZWNOBZJWSA-N 1 2 324.812 1.468 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(CC)C(=O)Cc2c[nH+]cn2C)C1 ZINC001080142806 755732694 /nfs/dbraw/zinc/73/26/94/755732694.db2.gz JRVFBAXISVIKCL-UHFFFAOYSA-N 1 2 318.421 1.234 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)CCn2cc[nH+]c2)C1 ZINC001080166758 755748167 /nfs/dbraw/zinc/74/81/67/755748167.db2.gz DQXMVFYTZMEMTL-UHFFFAOYSA-N 1 2 304.394 1.299 20 30 DDEDLO C=CC(C)(C)C(=O)N(CC)C1CN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001080220905 755771671 /nfs/dbraw/zinc/77/16/71/755771671.db2.gz YMUHJZMFFDCCOY-UHFFFAOYSA-N 1 2 318.421 1.234 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC(N(CC)C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001080350787 755864662 /nfs/dbraw/zinc/86/46/62/755864662.db2.gz AHBHUTBFFQBLGX-UHFFFAOYSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001080637532 756015334 /nfs/dbraw/zinc/01/53/34/756015334.db2.gz OQQJVNNTYBYAOF-ZWNOBZJWSA-N 1 2 324.812 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001080637532 756015339 /nfs/dbraw/zinc/01/53/39/756015339.db2.gz OQQJVNNTYBYAOF-ZWNOBZJWSA-N 1 2 324.812 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC2CC(F)(F)C2)[C@H](O)C1 ZINC001090368668 756058079 /nfs/dbraw/zinc/05/80/79/756058079.db2.gz SEEICRGGIIUFJF-NWDGAFQWSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC2CC(F)(F)C2)[C@H](O)C1 ZINC001090368668 756058085 /nfs/dbraw/zinc/05/80/85/756058085.db2.gz SEEICRGGIIUFJF-NWDGAFQWSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C)[nH]cc2NC(C)=O)C1 ZINC001015025097 756078162 /nfs/dbraw/zinc/07/81/62/756078162.db2.gz PLOOQQLNWNSENB-GFCCVEGCSA-N 1 2 324.812 1.838 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)[nH]cc2NC(C)=O)C1 ZINC001015025097 756078165 /nfs/dbraw/zinc/07/81/65/756078165.db2.gz PLOOQQLNWNSENB-GFCCVEGCSA-N 1 2 324.812 1.838 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001015077171 756110101 /nfs/dbraw/zinc/11/01/01/756110101.db2.gz LJOBVZGMWFLAEO-ZDUSSCGKSA-N 1 2 311.385 1.908 20 30 DDEDLO C=CCC[N@H+]1CC[C@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001015077171 756110102 /nfs/dbraw/zinc/11/01/02/756110102.db2.gz LJOBVZGMWFLAEO-ZDUSSCGKSA-N 1 2 311.385 1.908 20 30 DDEDLO N#CCN[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1c1ccccc1 ZINC001081154115 756223598 /nfs/dbraw/zinc/22/35/98/756223598.db2.gz WLHCLRDIHHISQN-DLBZAZTESA-N 1 2 323.400 1.381 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@H+](Cc2cc(C#N)ccc2F)C[C@H]1OC ZINC001081242051 756277575 /nfs/dbraw/zinc/27/75/75/756277575.db2.gz WQPHHLVZNDWUKN-HUUCEWRRSA-N 1 2 305.353 1.423 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2F)C[C@H]1OC ZINC001081242051 756277579 /nfs/dbraw/zinc/27/75/79/756277579.db2.gz WQPHHLVZNDWUKN-HUUCEWRRSA-N 1 2 305.353 1.423 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC001015447254 756308465 /nfs/dbraw/zinc/30/84/65/756308465.db2.gz PHFOWJKNBBUNSX-LBPRGKRZSA-N 1 2 316.430 1.771 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC001015447254 756308470 /nfs/dbraw/zinc/30/84/70/756308470.db2.gz PHFOWJKNBBUNSX-LBPRGKRZSA-N 1 2 316.430 1.771 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC001015447253 756308799 /nfs/dbraw/zinc/30/87/99/756308799.db2.gz PHFOWJKNBBUNSX-GFCCVEGCSA-N 1 2 316.430 1.771 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC001015447253 756308803 /nfs/dbraw/zinc/30/88/03/756308803.db2.gz PHFOWJKNBBUNSX-GFCCVEGCSA-N 1 2 316.430 1.771 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@H]2C)n1 ZINC001067113049 756329503 /nfs/dbraw/zinc/32/95/03/756329503.db2.gz KKMHNPGDBWFLHY-DOMZBBRYSA-N 1 2 324.388 1.417 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H](C)[C@@H](Nc3cc[nH+]c(C)n3)C2)nc1 ZINC001067123125 756590664 /nfs/dbraw/zinc/59/06/64/756590664.db2.gz QFEHQRZXLDAOEZ-WBMJQRKESA-N 1 2 321.384 1.734 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001082063106 756621351 /nfs/dbraw/zinc/62/13/51/756621351.db2.gz CDOLAODIIUBGSR-HNNXBMFYSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ocnc2C(F)F)[C@H](OC)C1 ZINC001082137958 756650259 /nfs/dbraw/zinc/65/02/59/756650259.db2.gz JDJNKMZIXKPPCO-RKDXNWHRSA-N 1 2 301.293 1.227 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ocnc2C(F)F)[C@H](OC)C1 ZINC001082137958 756650261 /nfs/dbraw/zinc/65/02/61/756650261.db2.gz JDJNKMZIXKPPCO-RKDXNWHRSA-N 1 2 301.293 1.227 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)c(F)c2F)[C@H](OC)C1 ZINC001082159351 756666325 /nfs/dbraw/zinc/66/63/25/756666325.db2.gz BJBJQHCTISMXEA-CHWSQXEVSA-N 1 2 308.328 1.335 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(F)c2F)[C@H](OC)C1 ZINC001082159351 756666328 /nfs/dbraw/zinc/66/63/28/756666328.db2.gz BJBJQHCTISMXEA-CHWSQXEVSA-N 1 2 308.328 1.335 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCC3)[C@H](OC)C1 ZINC001082357356 756754611 /nfs/dbraw/zinc/75/46/11/756754611.db2.gz VCFIOAJGEBQRSD-ZIAGYGMSSA-N 1 2 318.442 1.689 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCC3)[C@H](OC)C1 ZINC001082357356 756754616 /nfs/dbraw/zinc/75/46/16/756754616.db2.gz VCFIOAJGEBQRSD-ZIAGYGMSSA-N 1 2 318.442 1.689 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)n2c(C)ccc2C)[C@H](OC)C1 ZINC001082383217 756762696 /nfs/dbraw/zinc/76/26/96/756762696.db2.gz NCDMWULMNHMICP-ARFHVFGLSA-N 1 2 303.406 1.115 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)n2c(C)ccc2C)[C@H](OC)C1 ZINC001082383217 756762698 /nfs/dbraw/zinc/76/26/98/756762698.db2.gz NCDMWULMNHMICP-ARFHVFGLSA-N 1 2 303.406 1.115 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn3ccccc3n2)C1 ZINC001016164972 756799737 /nfs/dbraw/zinc/79/97/37/756799737.db2.gz OSJRVZUUUSOGJK-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn3ccccc3n2)C1 ZINC001016164972 756799741 /nfs/dbraw/zinc/79/97/41/756799741.db2.gz OSJRVZUUUSOGJK-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO CC(=O)N1CCO[C@@H]2C[N@H+](CC#Cc3cccc(Cl)c3)C[C@@H]21 ZINC001082970793 756993015 /nfs/dbraw/zinc/99/30/15/756993015.db2.gz DYSRIBPJFWUMNO-DLBZAZTESA-N 1 2 318.804 1.623 20 30 DDEDLO CC(=O)N1CCO[C@@H]2C[N@@H+](CC#Cc3cccc(Cl)c3)C[C@@H]21 ZINC001082970793 756993025 /nfs/dbraw/zinc/99/30/25/756993025.db2.gz DYSRIBPJFWUMNO-DLBZAZTESA-N 1 2 318.804 1.623 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001097243473 757014960 /nfs/dbraw/zinc/01/49/60/757014960.db2.gz HUTXTDBTRROVIO-PHIMTYICSA-N 1 2 314.393 1.635 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097282431 757053764 /nfs/dbraw/zinc/05/37/64/757053764.db2.gz CTXLTVCCPZXDDM-CQSZACIVSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCOC[C@@H]1c2c(ncn2C)CC[N@@H+]1[C@@H]1CCN(C(C)=O)C1 ZINC001169546343 762524209 /nfs/dbraw/zinc/52/42/09/762524209.db2.gz RVIQKQKBDIQXJN-GDBMZVCRSA-N 1 2 318.421 1.143 20 30 DDEDLO C=CCOC[C@@H]1c2c(ncn2C)CC[N@H+]1[C@@H]1CCN(C(C)=O)C1 ZINC001169546343 762524213 /nfs/dbraw/zinc/52/42/13/762524213.db2.gz RVIQKQKBDIQXJN-GDBMZVCRSA-N 1 2 318.421 1.143 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4[nH]ccc4c3)[C@H]2C1 ZINC001083180617 757180900 /nfs/dbraw/zinc/18/09/00/757180900.db2.gz RMAIYGRNRSUNOE-ZWKOTPCHSA-N 1 2 323.396 1.716 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4[nH]ccc4c3)[C@H]2C1 ZINC001083180617 757180904 /nfs/dbraw/zinc/18/09/04/757180904.db2.gz RMAIYGRNRSUNOE-ZWKOTPCHSA-N 1 2 323.396 1.716 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3cccc(Cl)c3)[C@H]2C1 ZINC001083181967 757191918 /nfs/dbraw/zinc/19/19/18/757191918.db2.gz HEJFNCXBJDSZEE-JKSUJKDBSA-N 1 2 318.804 1.427 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3cccc(Cl)c3)[C@H]2C1 ZINC001083181967 757191917 /nfs/dbraw/zinc/19/19/17/757191917.db2.gz HEJFNCXBJDSZEE-JKSUJKDBSA-N 1 2 318.804 1.427 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3cc(C4CC4)no3)C[C@H]21 ZINC001084236716 757437439 /nfs/dbraw/zinc/43/74/39/757437439.db2.gz OPBOCRZIGWCHEH-AXAPSJFSSA-N 1 2 314.389 1.744 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3cc(C4CC4)no3)C[C@H]21 ZINC001084236716 757437445 /nfs/dbraw/zinc/43/74/45/757437445.db2.gz OPBOCRZIGWCHEH-AXAPSJFSSA-N 1 2 314.389 1.744 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3scnc3CC)[C@@H]2C1 ZINC001084391869 757551105 /nfs/dbraw/zinc/55/11/05/757551105.db2.gz QPWHSCIYPFRUPE-TZMCWYRMSA-N 1 2 303.431 1.875 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3scnc3CC)[C@@H]2C1 ZINC001084391869 757551111 /nfs/dbraw/zinc/55/11/11/757551111.db2.gz QPWHSCIYPFRUPE-TZMCWYRMSA-N 1 2 303.431 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cn3nccc3C)[C@@H]2C1 ZINC001084692315 757780839 /nfs/dbraw/zinc/78/08/39/757780839.db2.gz CVULFCKKDHCRNE-ZIAGYGMSSA-N 1 2 308.813 1.477 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cn3nccc3C)[C@@H]2C1 ZINC001084692315 757780841 /nfs/dbraw/zinc/78/08/41/757780841.db2.gz CVULFCKKDHCRNE-ZIAGYGMSSA-N 1 2 308.813 1.477 20 30 DDEDLO N#CCN1CCC[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC001052836271 757852089 /nfs/dbraw/zinc/85/20/89/757852089.db2.gz CZJCJNPRTQFLAG-INIZCTEOSA-N 1 2 323.400 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3c(C)n[nH]c3C)[C@@H]2C1 ZINC001084826068 757953386 /nfs/dbraw/zinc/95/33/86/757953386.db2.gz KCXXINZYNLGVJT-UKRRQHHQSA-N 1 2 322.840 1.854 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3c(C)n[nH]c3C)[C@@H]2C1 ZINC001084826068 757953393 /nfs/dbraw/zinc/95/33/93/757953393.db2.gz KCXXINZYNLGVJT-UKRRQHHQSA-N 1 2 322.840 1.854 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1nc(C)cc1C(F)F ZINC001017582870 758050267 /nfs/dbraw/zinc/05/02/67/758050267.db2.gz FDTJTWQNVIKVBX-BETUJISGSA-N 1 2 322.359 1.438 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1nc(C)cc1C(F)F ZINC001017582870 758050274 /nfs/dbraw/zinc/05/02/74/758050274.db2.gz FDTJTWQNVIKVBX-BETUJISGSA-N 1 2 322.359 1.438 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099849423 758072526 /nfs/dbraw/zinc/07/25/26/758072526.db2.gz DZPXMUTWCRANPB-ZIAGYGMSSA-N 1 2 323.462 1.797 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099849423 758072533 /nfs/dbraw/zinc/07/25/33/758072533.db2.gz DZPXMUTWCRANPB-ZIAGYGMSSA-N 1 2 323.462 1.797 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099849420 758073062 /nfs/dbraw/zinc/07/30/62/758073062.db2.gz DZPXMUTWCRANPB-KBPBESRZSA-N 1 2 323.462 1.797 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099849420 758073071 /nfs/dbraw/zinc/07/30/71/758073071.db2.gz DZPXMUTWCRANPB-KBPBESRZSA-N 1 2 323.462 1.797 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C[C@@H]21 ZINC001084945823 758087256 /nfs/dbraw/zinc/08/72/56/758087256.db2.gz VAIXPSPSLOVCHM-WOPDTQHZSA-N 1 2 306.303 1.833 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C[C@@H]21 ZINC001084945823 758087266 /nfs/dbraw/zinc/08/72/66/758087266.db2.gz VAIXPSPSLOVCHM-WOPDTQHZSA-N 1 2 306.303 1.833 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2nn(C)cc21 ZINC001017851513 758298129 /nfs/dbraw/zinc/29/81/29/758298129.db2.gz GXZHHRHEVBYEMY-ZNMIVQPWSA-N 1 2 312.417 1.148 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2nn(C)cc21 ZINC001017851513 758298140 /nfs/dbraw/zinc/29/81/40/758298140.db2.gz GXZHHRHEVBYEMY-ZNMIVQPWSA-N 1 2 312.417 1.148 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nc(OC)c1 ZINC001017855627 758300019 /nfs/dbraw/zinc/30/00/19/758300019.db2.gz ADQBUJFHHCVFHI-IYBDPMFKSA-N 1 2 313.401 1.711 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nc(OC)c1 ZINC001017855627 758300023 /nfs/dbraw/zinc/30/00/23/758300023.db2.gz ADQBUJFHHCVFHI-IYBDPMFKSA-N 1 2 313.401 1.711 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001053305394 758359108 /nfs/dbraw/zinc/35/91/08/758359108.db2.gz DUGIPFMQMWGRQA-GJZGRUSLSA-N 1 2 318.421 1.450 20 30 DDEDLO Cc1nc(N2CC[C@@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001065229534 758382301 /nfs/dbraw/zinc/38/23/01/758382301.db2.gz XQWMIDLDGLYEAG-MRXNPFEDSA-N 1 2 310.361 1.384 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc(OCC)c1 ZINC001017924686 758395231 /nfs/dbraw/zinc/39/52/31/758395231.db2.gz FRDWIFULXNGJGJ-IYBDPMFKSA-N 1 2 313.401 1.792 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc(OCC)c1 ZINC001017924686 758395242 /nfs/dbraw/zinc/39/52/42/758395242.db2.gz FRDWIFULXNGJGJ-IYBDPMFKSA-N 1 2 313.401 1.792 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCC[C@@H](OC)C1)CCO2 ZINC001053402705 758434896 /nfs/dbraw/zinc/43/48/96/758434896.db2.gz LCOVMVOCURYFJM-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO Cc1nc(N2CCCN(C(=O)[C@H](C)C#N)CC2)c(C)c(C)[nH+]1 ZINC001057108077 762635422 /nfs/dbraw/zinc/63/54/22/762635422.db2.gz YHTVVRUFGHDHSK-LLVKDONJSA-N 1 2 301.394 1.600 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1csc(C)n1 ZINC001018027044 758487724 /nfs/dbraw/zinc/48/77/24/758487724.db2.gz HZGVDGUVPHBEDN-GASCZTMLSA-N 1 2 303.431 1.693 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1csc(C)n1 ZINC001018027044 758487728 /nfs/dbraw/zinc/48/77/28/758487728.db2.gz HZGVDGUVPHBEDN-GASCZTMLSA-N 1 2 303.431 1.693 20 30 DDEDLO C[C@]1(CNc2ccc(C#N)nc2)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065436861 758533462 /nfs/dbraw/zinc/53/34/62/758533462.db2.gz QSRLAXADJHMSIP-QGZVFWFLSA-N 1 2 324.388 1.500 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(CC)n[nH]1)O2 ZINC001053575240 758584893 /nfs/dbraw/zinc/58/48/93/758584893.db2.gz SCSSMYXZBFLERX-CYBMUJFWSA-N 1 2 304.394 1.121 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)C1=COCCC1)O2 ZINC001053582065 758594356 /nfs/dbraw/zinc/59/43/56/758594356.db2.gz YXHNZQLYLZJQMQ-OAHLLOKOSA-N 1 2 306.406 1.606 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(C#N)[nH]1)O2 ZINC001053593159 758611014 /nfs/dbraw/zinc/61/10/14/758611014.db2.gz CKJGHZUEBBAKIR-CYBMUJFWSA-N 1 2 300.362 1.036 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1nn(C)cc1C)O2 ZINC001053599383 758620953 /nfs/dbraw/zinc/62/09/53/758620953.db2.gz RRMIGTSYDYFBBH-CQSZACIVSA-N 1 2 318.421 1.268 20 30 DDEDLO N#CCc1ccc[nH+]c1NCc1ccc(N2CCOCC2)nn1 ZINC001169737934 762644666 /nfs/dbraw/zinc/64/46/66/762644666.db2.gz YNGCGDWLDFJVPW-UHFFFAOYSA-N 1 2 310.361 1.386 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc3occc3[nH]1)O2 ZINC001053605903 758626602 /nfs/dbraw/zinc/62/66/02/758626602.db2.gz DHHITKFEVXQUPN-LBPRGKRZSA-N 1 2 315.373 1.910 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCC1(F)F)O2 ZINC001053615869 758634097 /nfs/dbraw/zinc/63/40/97/758634097.db2.gz UPEWGBUNNKDZDY-STQMWFEESA-N 1 2 314.376 1.957 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c[nH]nc1CC)O2 ZINC001053621417 758640111 /nfs/dbraw/zinc/64/01/11/758640111.db2.gz GKGDPKOHFLEODC-CYBMUJFWSA-N 1 2 318.421 1.511 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c2ccccc21 ZINC001018230659 758653682 /nfs/dbraw/zinc/65/36/82/758653682.db2.gz DUGOBKALGCPOBQ-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c2ccccc21 ZINC001018230659 758653688 /nfs/dbraw/zinc/65/36/88/758653688.db2.gz DUGOBKALGCPOBQ-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108548208 762648946 /nfs/dbraw/zinc/64/89/46/762648946.db2.gz HMRPAHPBHGNDGT-AWEZNQCLSA-N 1 2 319.449 1.566 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC)C2)CC1 ZINC001065674742 758692486 /nfs/dbraw/zinc/69/24/86/758692486.db2.gz HZYQRPCVJDODBP-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccnc(C)n1)O2 ZINC001053700563 758709216 /nfs/dbraw/zinc/70/92/16/758709216.db2.gz XJFNJQWFKGIUQB-CQSZACIVSA-N 1 2 316.405 1.324 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCCC3(CC3)C1)CO2 ZINC001053799847 758827948 /nfs/dbraw/zinc/82/79/48/758827948.db2.gz CMLKKZURIOKJFY-HZPDHXFCSA-N 1 2 316.445 1.940 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(CC)ccn1)CO2 ZINC001053813943 758841561 /nfs/dbraw/zinc/84/15/61/758841561.db2.gz CTJVPQWSJDPSPM-OAHLLOKOSA-N 1 2 315.417 1.793 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1cnc(C)o1)CO2 ZINC001053827552 758857539 /nfs/dbraw/zinc/85/75/39/758857539.db2.gz CGECDHJDMFYFAK-CYBMUJFWSA-N 1 2 305.378 1.061 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cscc1Cl)CO2 ZINC001053841768 758871597 /nfs/dbraw/zinc/87/15/97/758871597.db2.gz QNUNXWKOIMHEKH-LLVKDONJSA-N 1 2 324.833 1.998 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1[nH]c(C)cc1C)CO2 ZINC001053846949 758878563 /nfs/dbraw/zinc/87/85/63/758878563.db2.gz TWCWEBFYDPGNAC-AWEZNQCLSA-N 1 2 303.406 1.781 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc3n[nH]cc31)CO2 ZINC001053888330 758922033 /nfs/dbraw/zinc/92/20/33/758922033.db2.gz GNRHXUBUEDCJKU-CYBMUJFWSA-N 1 2 324.384 1.159 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1csc(C)n1)CO2 ZINC001053905277 758937150 /nfs/dbraw/zinc/93/71/50/758937150.db2.gz CFKWXSONWSORLR-GFCCVEGCSA-N 1 2 307.419 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1conc1CC)CO2 ZINC001053919107 758953950 /nfs/dbraw/zinc/95/39/50/758953950.db2.gz FALNYRWCWIMRTM-GFCCVEGCSA-N 1 2 305.378 1.386 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnoc1CC)CO2 ZINC001053943272 758978952 /nfs/dbraw/zinc/97/89/52/758978952.db2.gz BZBKCCAKRZOWBX-LBPRGKRZSA-N 1 2 305.378 1.386 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc(OC)c1)CO2 ZINC001053990474 759035673 /nfs/dbraw/zinc/03/56/73/759035673.db2.gz BSOAJQUOLNTNBB-OAHLLOKOSA-N 1 2 316.401 1.844 20 30 DDEDLO C[C@H](CNC(=O)Cn1cc[nH+]c1)Nc1ncc(C#N)cc1Cl ZINC001097921422 759073789 /nfs/dbraw/zinc/07/37/89/759073789.db2.gz IHBHEWKYRKZPIP-SNVBAGLBSA-N 1 2 318.768 1.420 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)C3CCC(O)CC3)cc2C1 ZINC001054263681 759366298 /nfs/dbraw/zinc/36/62/98/759366298.db2.gz ZBDACXGGAWRIMI-UHFFFAOYSA-N 1 2 312.413 1.803 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)C3CCC(O)CC3)cc2C1 ZINC001054263681 759366309 /nfs/dbraw/zinc/36/63/09/759366309.db2.gz ZBDACXGGAWRIMI-UHFFFAOYSA-N 1 2 312.413 1.803 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)Cc1c[nH+]cn1C ZINC001069122553 767861025 /nfs/dbraw/zinc/86/10/25/767861025.db2.gz VCLZLJOWXDZTSI-OCCSQVGLSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001046733749 767864029 /nfs/dbraw/zinc/86/40/29/767864029.db2.gz IJDIVGYCRHLZOW-AWEZNQCLSA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001046733749 767864035 /nfs/dbraw/zinc/86/40/35/767864035.db2.gz IJDIVGYCRHLZOW-AWEZNQCLSA-N 1 2 310.785 1.060 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccccc1C#N)C(=O)[C@@H]1CCOC1 ZINC001085507743 759572542 /nfs/dbraw/zinc/57/25/42/759572542.db2.gz MVNUHDFBAXMQBN-IAGOWNOFSA-N 1 2 313.401 1.627 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccccc1C#N)C(=O)[C@@H]1CCOC1 ZINC001085507743 759572547 /nfs/dbraw/zinc/57/25/47/759572547.db2.gz MVNUHDFBAXMQBN-IAGOWNOFSA-N 1 2 313.401 1.627 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001085596818 759806881 /nfs/dbraw/zinc/80/68/81/759806881.db2.gz JZHKNEMGGPMKCI-CABCVRRESA-N 1 2 302.422 1.938 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001085596818 759806890 /nfs/dbraw/zinc/80/68/90/759806890.db2.gz JZHKNEMGGPMKCI-CABCVRRESA-N 1 2 302.422 1.938 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(C2CCOCC2)n1 ZINC001085597223 759809369 /nfs/dbraw/zinc/80/93/69/759809369.db2.gz SWOPHRPSSWZHBV-OAHLLOKOSA-N 1 2 316.405 1.014 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(C2CCOCC2)n1 ZINC001085597223 759809376 /nfs/dbraw/zinc/80/93/76/759809376.db2.gz SWOPHRPSSWZHBV-OAHLLOKOSA-N 1 2 316.405 1.014 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001066284488 759851635 /nfs/dbraw/zinc/85/16/35/759851635.db2.gz BCDFFISZFGGBFA-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C2CC2)nn1CC ZINC001085661547 759953370 /nfs/dbraw/zinc/95/33/70/759953370.db2.gz AUPQZKPILSYYHN-CQSZACIVSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C2CC2)nn1CC ZINC001085661547 759953374 /nfs/dbraw/zinc/95/33/74/759953374.db2.gz AUPQZKPILSYYHN-CQSZACIVSA-N 1 2 300.406 1.560 20 30 DDEDLO C[C@@H]1CN(C(=O)C#CC2CC2)C[C@H]1[NH2+]Cc1nc(C2CC2)no1 ZINC001054657496 759955899 /nfs/dbraw/zinc/95/58/99/759955899.db2.gz OBBNASVENLGDBY-BXUZGUMPSA-N 1 2 314.389 1.297 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(-c2ccccc2)nn1 ZINC001085691835 760039132 /nfs/dbraw/zinc/03/91/32/760039132.db2.gz RSMZAMNPGZIWMT-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(-c2ccccc2)nn1 ZINC001085691835 760039143 /nfs/dbraw/zinc/03/91/43/760039143.db2.gz RSMZAMNPGZIWMT-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]nc(C)c1C(F)(F)F ZINC001085816265 760292787 /nfs/dbraw/zinc/29/27/87/760292787.db2.gz RKYGQNBQOJCDOE-SNVBAGLBSA-N 1 2 314.311 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]nc(C)c1C(F)(F)F ZINC001085816265 760292792 /nfs/dbraw/zinc/29/27/92/760292792.db2.gz RKYGQNBQOJCDOE-SNVBAGLBSA-N 1 2 314.311 1.516 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nnc2ccccc2c1O ZINC001085849891 760371545 /nfs/dbraw/zinc/37/15/45/760371545.db2.gz JEYBPSTYCZLTCQ-LBPRGKRZSA-N 1 2 312.373 1.668 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nnc2ccccc2c1O ZINC001085849891 760371554 /nfs/dbraw/zinc/37/15/54/760371554.db2.gz JEYBPSTYCZLTCQ-LBPRGKRZSA-N 1 2 312.373 1.668 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nnn(C(C)C)c1C ZINC001085896629 760496607 /nfs/dbraw/zinc/49/66/07/760496607.db2.gz IMVQYOCNKGQFBP-CQSZACIVSA-N 1 2 303.410 1.337 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nnn(C(C)C)c1C ZINC001085896629 760496614 /nfs/dbraw/zinc/49/66/14/760496614.db2.gz IMVQYOCNKGQFBP-CQSZACIVSA-N 1 2 303.410 1.337 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-n2ccnc2)ccn1 ZINC001085905708 760510465 /nfs/dbraw/zinc/51/04/65/760510465.db2.gz NRDIQFZGORCKMA-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-n2ccnc2)ccn1 ZINC001085905708 760510472 /nfs/dbraw/zinc/51/04/72/760510472.db2.gz NRDIQFZGORCKMA-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2ccccn2)cn1 ZINC001085933734 760564840 /nfs/dbraw/zinc/56/48/40/760564840.db2.gz JKJNXDDWWDLYEK-GOSISDBHSA-N 1 2 320.396 1.804 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2ccccn2)cn1 ZINC001085933734 760564843 /nfs/dbraw/zinc/56/48/43/760564843.db2.gz JKJNXDDWWDLYEK-GOSISDBHSA-N 1 2 320.396 1.804 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OC(C)C)cn1 ZINC001086007035 760705732 /nfs/dbraw/zinc/70/57/32/760705732.db2.gz UKLHGCSQBMNWDV-CQSZACIVSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OC(C)C)cn1 ZINC001086007035 760705744 /nfs/dbraw/zinc/70/57/44/760705744.db2.gz UKLHGCSQBMNWDV-CQSZACIVSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CCC1(C(=O)NCCN(C)c2cc[nH+]c(C)n2)CCOCC1 ZINC001100176473 767977448 /nfs/dbraw/zinc/97/74/48/767977448.db2.gz QRNLWHPWENSSEK-UHFFFAOYSA-N 1 2 316.405 1.158 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC001108233594 760773548 /nfs/dbraw/zinc/77/35/48/760773548.db2.gz YTFKAXXFLSYKJN-KRWDZBQOSA-N 1 2 318.421 1.377 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC001108233594 760773552 /nfs/dbraw/zinc/77/35/52/760773552.db2.gz YTFKAXXFLSYKJN-KRWDZBQOSA-N 1 2 318.421 1.377 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001066381336 760933945 /nfs/dbraw/zinc/93/39/45/760933945.db2.gz ULRMUPNJEPQSAI-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO N#Cc1ccc(NCC[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)cn1 ZINC001066389516 760956808 /nfs/dbraw/zinc/95/68/08/760956808.db2.gz MMRUOKGEXAUXOI-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)[nH]cc1NC(C)=O ZINC001038427732 761107691 /nfs/dbraw/zinc/10/76/91/761107691.db2.gz ANOXVYRZDWDNMF-CYBMUJFWSA-N 1 2 302.378 1.109 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)[nH]cc1NC(C)=O ZINC001038427732 761107693 /nfs/dbraw/zinc/10/76/93/761107693.db2.gz ANOXVYRZDWDNMF-CYBMUJFWSA-N 1 2 302.378 1.109 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCN(C)c1nc(C)[nH+]c(C)c1C ZINC001100040223 761120704 /nfs/dbraw/zinc/12/07/04/761120704.db2.gz HMXONZZFEORKMQ-INIZCTEOSA-N 1 2 306.410 1.281 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066445736 761183569 /nfs/dbraw/zinc/18/35/69/761183569.db2.gz NBOKNUYOAXSXCO-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1c[nH+]c[nH]1 ZINC001056573231 761239966 /nfs/dbraw/zinc/23/99/66/761239966.db2.gz TXSSRDSKWXSOFV-LSDHHAIUSA-N 1 2 316.405 1.510 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(-n2cccn2)n1 ZINC001038684830 761286177 /nfs/dbraw/zinc/28/61/77/761286177.db2.gz PQQHTVMODGOLHP-CQSZACIVSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(-n2cccn2)n1 ZINC001038684830 761286179 /nfs/dbraw/zinc/28/61/79/761286179.db2.gz PQQHTVMODGOLHP-CQSZACIVSA-N 1 2 309.373 1.095 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001056620223 761295889 /nfs/dbraw/zinc/29/58/89/761295889.db2.gz XZKDLQPLIGMDSS-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO CCn1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038783853 761406763 /nfs/dbraw/zinc/40/67/63/761406763.db2.gz ZARSYLKGCHXAKT-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038783853 761406769 /nfs/dbraw/zinc/40/67/69/761406769.db2.gz ZARSYLKGCHXAKT-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1ncoc1-c1cccs1 ZINC001038818105 761435066 /nfs/dbraw/zinc/43/50/66/761435066.db2.gz MOCYVBYHXBVTTG-LLVKDONJSA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ncoc1-c1cccs1 ZINC001038818105 761435070 /nfs/dbraw/zinc/43/50/70/761435070.db2.gz MOCYVBYHXBVTTG-LLVKDONJSA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnc(O[C@H](C)CC)c1 ZINC001038895951 761519578 /nfs/dbraw/zinc/51/95/78/761519578.db2.gz XBDKSCCNVNIGJS-UKRRQHHQSA-N 1 2 301.390 1.696 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnc(O[C@H](C)CC)c1 ZINC001038895951 761519583 /nfs/dbraw/zinc/51/95/83/761519583.db2.gz XBDKSCCNVNIGJS-UKRRQHHQSA-N 1 2 301.390 1.696 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)Cc2ccco2)C1 ZINC001108272473 761573730 /nfs/dbraw/zinc/57/37/30/761573730.db2.gz IHSCVFAMYQUYQG-YOEHRIQHSA-N 1 2 306.406 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)Cc2ccco2)C1 ZINC001108272473 761573741 /nfs/dbraw/zinc/57/37/41/761573741.db2.gz IHSCVFAMYQUYQG-YOEHRIQHSA-N 1 2 306.406 1.851 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(N(C)C)c(F)c1 ZINC001039051831 761693018 /nfs/dbraw/zinc/69/30/18/761693018.db2.gz AAYQAJDGTJSXOZ-CQSZACIVSA-N 1 2 303.381 1.719 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(N(C)C)c(F)c1 ZINC001039051831 761693022 /nfs/dbraw/zinc/69/30/22/761693022.db2.gz AAYQAJDGTJSXOZ-CQSZACIVSA-N 1 2 303.381 1.719 20 30 DDEDLO CC1(C)CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001069584142 768070607 /nfs/dbraw/zinc/07/06/07/768070607.db2.gz YBODLKGUHUPNIZ-CQSZACIVSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3(C(C)C)CC3)CC2=O)C1 ZINC001108571610 762727935 /nfs/dbraw/zinc/72/79/35/762727935.db2.gz GOFQWZIJIDVNFD-CYBMUJFWSA-N 1 2 305.422 1.010 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)C(C)C)CC2=O)C1 ZINC001108580882 762743617 /nfs/dbraw/zinc/74/36/17/762743617.db2.gz VEIQJJDCYHZVCU-KBPBESRZSA-N 1 2 307.438 1.256 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC)CCC)[C@@H](n2ccnn2)C1 ZINC001129353589 762805062 /nfs/dbraw/zinc/80/50/62/762805062.db2.gz WDDREHUGPZODBC-XHSDSOJGSA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)CCC)[C@@H](n2ccnn2)C1 ZINC001129353589 762805071 /nfs/dbraw/zinc/80/50/71/762805071.db2.gz WDDREHUGPZODBC-XHSDSOJGSA-N 1 2 317.437 1.469 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](C)NC(=O)CCn2cc[nH+]c2)n1 ZINC001108624439 762810358 /nfs/dbraw/zinc/81/03/58/762810358.db2.gz PLMRZFPENPSPLT-ZDUSSCGKSA-N 1 2 312.377 1.465 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)s1 ZINC001041137424 762831536 /nfs/dbraw/zinc/83/15/36/762831536.db2.gz SOSGHKJESAWIAS-IAQYHMDHSA-N 1 2 319.434 1.431 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)s1 ZINC001041137424 762831543 /nfs/dbraw/zinc/83/15/43/762831543.db2.gz SOSGHKJESAWIAS-IAQYHMDHSA-N 1 2 319.434 1.431 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@]2(CC[N@H+](Cc3cnon3)C2)C1 ZINC001041545384 763122709 /nfs/dbraw/zinc/12/27/09/763122709.db2.gz VLZWBLQOWAXQNV-MRXNPFEDSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@]2(CC[N@@H+](Cc3cnon3)C2)C1 ZINC001041545384 763122716 /nfs/dbraw/zinc/12/27/16/763122716.db2.gz VLZWBLQOWAXQNV-MRXNPFEDSA-N 1 2 304.394 1.706 20 30 DDEDLO Cc1nc(NC2CC(N(C)C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001069725136 768114412 /nfs/dbraw/zinc/11/44/12/768114412.db2.gz VLUCEKWVGASPHJ-UHFFFAOYSA-N 1 2 310.361 1.700 20 30 DDEDLO Cc1ccc(C#N)c(N(C)C[C@H](C)NC(=O)Cn2cc[nH+]c2)n1 ZINC001109014481 763229725 /nfs/dbraw/zinc/22/97/25/763229725.db2.gz JXHQGOMPBZDZMJ-ZDUSSCGKSA-N 1 2 312.377 1.099 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@H](C)NC(=O)CCn2cc[nH+]c2)C1 ZINC001050260103 763384301 /nfs/dbraw/zinc/38/43/01/763384301.db2.gz OXYUZTKQPBEVJN-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(C)n1 ZINC001109166524 763406796 /nfs/dbraw/zinc/40/67/96/763406796.db2.gz VLNDMRYCKXUBEP-IKGGRYGDSA-N 1 2 314.433 1.835 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(C)n1 ZINC001109166524 763406801 /nfs/dbraw/zinc/40/68/01/763406801.db2.gz VLNDMRYCKXUBEP-IKGGRYGDSA-N 1 2 314.433 1.835 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CCCC)C2 ZINC001109222835 763481862 /nfs/dbraw/zinc/48/18/62/763481862.db2.gz ZAIQDYVFTDFBBV-FZKCQIBNSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CCCC)C2 ZINC001109222835 763481868 /nfs/dbraw/zinc/48/18/68/763481868.db2.gz ZAIQDYVFTDFBBV-FZKCQIBNSA-N 1 2 321.465 1.836 20 30 DDEDLO Cc1nc(NC2CCN(C(=O)Cc3[nH]cc[nH+]3)CC2)ccc1C#N ZINC001057358841 763503865 /nfs/dbraw/zinc/50/38/65/763503865.db2.gz LYUWMGANBFWAPV-UHFFFAOYSA-N 1 2 324.388 1.630 20 30 DDEDLO C=CC[N@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001046950278 768145235 /nfs/dbraw/zinc/14/52/35/768145235.db2.gz GIPULYQTRVWYQL-YNEHKIRRSA-N 1 2 324.375 1.773 20 30 DDEDLO C=CC[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001046950278 768145238 /nfs/dbraw/zinc/14/52/38/768145238.db2.gz GIPULYQTRVWYQL-YNEHKIRRSA-N 1 2 324.375 1.773 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)c3cc(C#N)c[nH]3)[C@@H](C)C2)cc[nH+]1 ZINC001067383633 763595031 /nfs/dbraw/zinc/59/50/31/763595031.db2.gz JSSVHMUBLLPRGP-SMDDNHRTSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)c3n[nH]cc3F)C[C@@H]21 ZINC001042219034 763781463 /nfs/dbraw/zinc/78/14/63/763781463.db2.gz OEFWFYSKNWQCFK-JQWIXIFHSA-N 1 2 312.776 1.838 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)c3n[nH]cc3F)C[C@@H]21 ZINC001042219034 763781466 /nfs/dbraw/zinc/78/14/66/763781466.db2.gz OEFWFYSKNWQCFK-JQWIXIFHSA-N 1 2 312.776 1.838 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3cccc4[nH]cnc43)C[C@H]21 ZINC001042282797 763857438 /nfs/dbraw/zinc/85/74/38/763857438.db2.gz GPNSZPKLHNPBBA-XJKSGUPXSA-N 1 2 308.385 1.733 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3cccc4[nH]cnc43)C[C@H]21 ZINC001042282797 763857449 /nfs/dbraw/zinc/85/74/49/763857449.db2.gz GPNSZPKLHNPBBA-XJKSGUPXSA-N 1 2 308.385 1.733 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC ZINC001109683461 763942184 /nfs/dbraw/zinc/94/21/84/763942184.db2.gz MNDVVPWIGCPGFE-FHIRATQRSA-N 1 2 307.438 1.446 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC ZINC001109683461 763942189 /nfs/dbraw/zinc/94/21/89/763942189.db2.gz MNDVVPWIGCPGFE-FHIRATQRSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3ccccn3)c2C1 ZINC001069850802 768178605 /nfs/dbraw/zinc/17/86/05/768178605.db2.gz HSDCPEIBOPXNRZ-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3ccccn3)c2C1 ZINC001069850802 768178609 /nfs/dbraw/zinc/17/86/09/768178609.db2.gz HSDCPEIBOPXNRZ-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO Cc1nc(NC[C@H](NC(=O)CSCC#N)C2CC2)cc[nH+]1 ZINC001109763529 764007202 /nfs/dbraw/zinc/00/72/02/764007202.db2.gz MKAXMJVXAGVTCN-LBPRGKRZSA-N 1 2 305.407 1.349 20 30 DDEDLO N#Cc1cnccc1NC[C@H](NC(=O)CCc1[nH]cc[nH+]1)C1CC1 ZINC001109820555 764088410 /nfs/dbraw/zinc/08/84/10/764088410.db2.gz OGGKEBXSBJHTCW-HNNXBMFYSA-N 1 2 324.388 1.038 20 30 DDEDLO CCC[N@@H+]1CCn2ncc(CNC(=O)c3ccc(C#N)[nH]3)c2C1 ZINC001069857887 768189594 /nfs/dbraw/zinc/18/95/94/768189594.db2.gz RBAJTDNZEHLUQP-UHFFFAOYSA-N 1 2 312.377 1.238 20 30 DDEDLO CCC[N@H+]1CCn2ncc(CNC(=O)c3ccc(C#N)[nH]3)c2C1 ZINC001069857887 768189598 /nfs/dbraw/zinc/18/95/98/768189598.db2.gz RBAJTDNZEHLUQP-UHFFFAOYSA-N 1 2 312.377 1.238 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109905328 764186382 /nfs/dbraw/zinc/18/63/82/764186382.db2.gz NYIOIGMASWLCND-AWEZNQCLSA-N 1 2 302.378 1.132 20 30 DDEDLO CN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](C[C@H]2CC(C)(C)CO2)C1 ZINC001042589901 764242005 /nfs/dbraw/zinc/24/20/05/764242005.db2.gz OWNQRQCHGRRKHH-CQSZACIVSA-N 1 2 316.405 1.458 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3c[nH]nc3C)c2C1 ZINC001069870116 768200539 /nfs/dbraw/zinc/20/05/39/768200539.db2.gz SQUARZPBVUYMTI-UHFFFAOYSA-N 1 2 314.393 1.236 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3c[nH]nc3C)c2C1 ZINC001069870116 768200542 /nfs/dbraw/zinc/20/05/42/768200542.db2.gz SQUARZPBVUYMTI-UHFFFAOYSA-N 1 2 314.393 1.236 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CC)cc1 ZINC001050989829 764388879 /nfs/dbraw/zinc/38/88/79/764388879.db2.gz WRBSVJQMUNIKGU-OAHLLOKOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CC)cc1 ZINC001050989829 764388884 /nfs/dbraw/zinc/38/88/84/764388884.db2.gz WRBSVJQMUNIKGU-OAHLLOKOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(CCC)n[nH]1 ZINC001051056578 764459058 /nfs/dbraw/zinc/45/90/58/764459058.db2.gz PXRNVVFQXUCKAK-OAHLLOKOSA-N 1 2 320.437 1.759 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(CCC)n[nH]1 ZINC001051056578 764459066 /nfs/dbraw/zinc/45/90/66/764459066.db2.gz PXRNVVFQXUCKAK-OAHLLOKOSA-N 1 2 320.437 1.759 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051058131 764460334 /nfs/dbraw/zinc/46/03/34/764460334.db2.gz ARWLSDQXARDPRD-ZIAGYGMSSA-N 1 2 318.421 1.449 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2COCC[N@H+]2CC[C@H](C)F)c1 ZINC001051105228 764508753 /nfs/dbraw/zinc/50/87/53/764508753.db2.gz DOKMBWFCKQUBHP-BBRMVZONSA-N 1 2 319.380 1.242 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2COCC[N@@H+]2CC[C@H](C)F)c1 ZINC001051105228 764508758 /nfs/dbraw/zinc/50/87/58/764508758.db2.gz DOKMBWFCKQUBHP-BBRMVZONSA-N 1 2 319.380 1.242 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(-c3ccn(C)c3)n[nH]2)C1 ZINC001042889293 764511045 /nfs/dbraw/zinc/51/10/45/764511045.db2.gz WZIXOFQWSUNFDS-UHFFFAOYSA-N 1 2 311.389 1.195 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc2c(c1)CCO2 ZINC001051129351 764529290 /nfs/dbraw/zinc/52/92/90/764529290.db2.gz HPVICNHYXYRFCQ-MRXNPFEDSA-N 1 2 316.401 1.628 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc2c(c1)CCO2 ZINC001051129351 764529298 /nfs/dbraw/zinc/52/92/98/764529298.db2.gz HPVICNHYXYRFCQ-MRXNPFEDSA-N 1 2 316.401 1.628 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn3c(n2)C[C@@H](C)CC3)C1 ZINC001043074956 764640963 /nfs/dbraw/zinc/64/09/63/764640963.db2.gz VRXBFBOCJFSGDL-ZDUSSCGKSA-N 1 2 302.422 1.798 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nn(C)c1F ZINC001051227154 764645936 /nfs/dbraw/zinc/64/59/36/764645936.db2.gz LPROARAREJMVIG-ZDUSSCGKSA-N 1 2 324.400 1.264 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nn(C)c1F ZINC001051227154 764645945 /nfs/dbraw/zinc/64/59/45/764645945.db2.gz LPROARAREJMVIG-ZDUSSCGKSA-N 1 2 324.400 1.264 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[NH+](CCOCC3CC3)CC2)c1 ZINC001112753930 764703723 /nfs/dbraw/zinc/70/37/23/764703723.db2.gz AKMZDFAGAUVXOS-UHFFFAOYSA-N 1 2 302.378 1.071 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096155338 768236522 /nfs/dbraw/zinc/23/65/22/768236522.db2.gz HTJGLIHHEYGXHR-HNNXBMFYSA-N 1 2 318.421 1.689 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051323284 764746029 /nfs/dbraw/zinc/74/60/29/764746029.db2.gz OIHUSRVEYNBMBL-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2cnccc2C)CC1 ZINC001112801076 764789749 /nfs/dbraw/zinc/78/97/49/764789749.db2.gz DXTQYNHKPJIMBE-KRWDZBQOSA-N 1 2 303.406 1.361 20 30 DDEDLO N#Cc1ccc(NCC2CC(NC(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001112862166 764898165 /nfs/dbraw/zinc/89/81/65/764898165.db2.gz VYOYWFPUKZROKS-UHFFFAOYSA-N 1 2 324.388 1.547 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051685112 765072249 /nfs/dbraw/zinc/07/22/49/765072249.db2.gz HNISXYVLSHKTLC-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H](NC(=O)Cc1c[nH+]cn1C)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113098683 765264071 /nfs/dbraw/zinc/26/40/71/765264071.db2.gz MWFZIZRRAUGCHR-RYUDHWBXSA-N 1 2 312.377 1.235 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3CC=CCC3)C2)CC1 ZINC001051981460 765344789 /nfs/dbraw/zinc/34/47/89/765344789.db2.gz PZOPGUUIGZLIMM-MSOLQXFVSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(CCCC)c2C)C1 ZINC001044164009 765356639 /nfs/dbraw/zinc/35/66/39/765356639.db2.gz ORPSOVFHNNHURA-UHFFFAOYSA-N 1 2 302.422 1.771 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(-n3ccnc3)cn2)C1 ZINC001044176409 765366501 /nfs/dbraw/zinc/36/65/01/765366501.db2.gz QICBAAOSACNYNH-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3CC=CC3)C2)CC1 ZINC001052002998 765369188 /nfs/dbraw/zinc/36/91/88/765369188.db2.gz MYGDJWIXQXJXKT-KRWDZBQOSA-N 1 2 301.434 1.194 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3cscc3C)C2)CC1 ZINC001052005638 765372957 /nfs/dbraw/zinc/37/29/57/765372957.db2.gz GHLBHZFXBWTBAW-HNNXBMFYSA-N 1 2 317.458 1.522 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)=C3CCCC3)C2)CC1 ZINC001052011794 765380377 /nfs/dbraw/zinc/38/03/77/765380377.db2.gz ZGCKRVIIQQEGAP-GOSISDBHSA-N 1 2 315.461 1.729 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C3=CCCC3)C2)CC1 ZINC001052010730 765380724 /nfs/dbraw/zinc/38/07/24/765380724.db2.gz FMWHGVZITBFYEY-QGZVFWFLSA-N 1 2 303.450 1.891 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2ccc(OC)c(OC)c2)C1 ZINC001044252504 765426708 /nfs/dbraw/zinc/42/67/08/765426708.db2.gz IXEBNTSSOPPAFV-UHFFFAOYSA-N 1 2 318.417 1.965 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C3(C)CCC3)C2)CC1 ZINC001052062418 765433911 /nfs/dbraw/zinc/43/39/11/765433911.db2.gz JMQVWPDRAPMEGP-INIZCTEOSA-N 1 2 305.466 1.971 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3occc3CC)C2)CC1 ZINC001052074942 765447030 /nfs/dbraw/zinc/44/70/30/765447030.db2.gz PJWASRASLXJDGZ-MRXNPFEDSA-N 1 2 317.433 1.860 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2Cc3cc(C)c(C)cc3O2)C1 ZINC001044322688 765481178 /nfs/dbraw/zinc/48/11/78/765481178.db2.gz NKDFQRCOKXSISA-SFHVURJKSA-N 1 2 312.413 1.773 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001113314550 765554574 /nfs/dbraw/zinc/55/45/74/765554574.db2.gz UHEVUXPAMFTCGV-NEPJUHHUSA-N 1 2 312.377 1.533 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnoc2C(C)C)CC1 ZINC001113488257 765749901 /nfs/dbraw/zinc/74/99/01/765749901.db2.gz BZIIEXPHESTJNP-UHFFFAOYSA-N 1 2 307.394 1.758 20 30 DDEDLO C[C@@H]1C[C@H](NCC#N)CCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001044695585 765758047 /nfs/dbraw/zinc/75/80/47/765758047.db2.gz WYISFRVTZAIAKM-GDBMZVCRSA-N 1 2 323.400 1.979 20 30 DDEDLO C=CCCC[NH+]1CCN(C(=O)[C@H]2CCc3[nH]nnc3C2)CC1 ZINC001113533126 765831030 /nfs/dbraw/zinc/83/10/30/765831030.db2.gz UNECMAVUGGDWSO-ZDUSSCGKSA-N 1 2 303.410 1.020 20 30 DDEDLO C=CCCC[NH+]1CCN(C(=O)[C@H]2CCc3nn[nH]c3C2)CC1 ZINC001113533126 765831039 /nfs/dbraw/zinc/83/10/39/765831039.db2.gz UNECMAVUGGDWSO-ZDUSSCGKSA-N 1 2 303.410 1.020 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@@H](C)Oc2ccccc2)CC1 ZINC001113601028 765930753 /nfs/dbraw/zinc/93/07/53/765930753.db2.gz RWQKCUJSUQHRKF-MRXNPFEDSA-N 1 2 318.417 1.801 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccc(C)o2)CC[C@@H]1C ZINC001131768418 768334780 /nfs/dbraw/zinc/33/47/80/768334780.db2.gz QFGXWTWTASKQEZ-GXTWGEPZSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccc(C)o2)CC[C@@H]1C ZINC001131768418 768334788 /nfs/dbraw/zinc/33/47/88/768334788.db2.gz QFGXWTWTASKQEZ-GXTWGEPZSA-N 1 2 319.405 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C)CCCC2)[C@H](O)C1 ZINC001090470383 766295781 /nfs/dbraw/zinc/29/57/81/766295781.db2.gz WMGFSGNDPAEYGB-QWHCGFSZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C)CCCC2)[C@H](O)C1 ZINC001090470383 766295784 /nfs/dbraw/zinc/29/57/84/766295784.db2.gz WMGFSGNDPAEYGB-QWHCGFSZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)OCC ZINC001113920195 766403185 /nfs/dbraw/zinc/40/31/85/766403185.db2.gz SAKVJPQWOJVSHH-NNYUYHANSA-N 1 2 317.227 1.366 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)OCC ZINC001113920195 766403197 /nfs/dbraw/zinc/40/31/97/766403197.db2.gz SAKVJPQWOJVSHH-NNYUYHANSA-N 1 2 317.227 1.366 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)N(C)c1ccc(C#N)nc1 ZINC001113957468 766446349 /nfs/dbraw/zinc/44/63/49/766446349.db2.gz APGBNKNLPURAGH-NILFDRSVSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)N(C)c1ccc(C#N)nc1 ZINC001113957468 766446351 /nfs/dbraw/zinc/44/63/51/766446351.db2.gz APGBNKNLPURAGH-NILFDRSVSA-N 1 2 324.388 1.421 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)n1 ZINC001114234273 766798133 /nfs/dbraw/zinc/79/81/33/766798133.db2.gz ISKOGYMSVUWHFH-MUYACECFSA-N 1 2 302.378 1.145 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)n1 ZINC001114234273 766798139 /nfs/dbraw/zinc/79/81/39/766798139.db2.gz ISKOGYMSVUWHFH-MUYACECFSA-N 1 2 302.378 1.145 20 30 DDEDLO Cc1nc(N2CC[C@@H](C)[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001067942467 766827021 /nfs/dbraw/zinc/82/70/21/766827021.db2.gz TYFPIWOMKFWWMT-ABAIWWIYSA-N 1 2 324.388 1.630 20 30 DDEDLO CC1(C)CC(CC(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)C1 ZINC001046056008 766865821 /nfs/dbraw/zinc/86/58/21/766865821.db2.gz MZMOBWHSDQSHTD-INIZCTEOSA-N 1 2 318.465 1.555 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)CC2)C1 ZINC001046072091 766886140 /nfs/dbraw/zinc/88/61/40/766886140.db2.gz RXLUQBKTLWREFZ-IXDOHACOSA-N 1 2 316.449 1.165 20 30 DDEDLO Cc1ccc(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)cc1 ZINC001046079780 766893383 /nfs/dbraw/zinc/89/33/83/766893383.db2.gz CABYMHDAWHFSKV-QGZVFWFLSA-N 1 2 312.417 1.351 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC=C(C)C1 ZINC001121608813 782590114 /nfs/dbraw/zinc/59/01/14/782590114.db2.gz ISOMDYAYIROVGS-UONOGXRCSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC=C(C)C1 ZINC001121608813 782590119 /nfs/dbraw/zinc/59/01/19/782590119.db2.gz ISOMDYAYIROVGS-UONOGXRCSA-N 1 2 303.410 1.358 20 30 DDEDLO CC1(C)CN(c2ccc(C#N)cn2)C[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001068115108 766925087 /nfs/dbraw/zinc/92/50/87/766925087.db2.gz CUCPONOQKARFPA-AWEZNQCLSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N1C[C@@H]2COC[C@@H]2C1 ZINC001121612080 782590656 /nfs/dbraw/zinc/59/06/56/782590656.db2.gz CFYLQLLVYJOPKX-QLFBSQMISA-N 1 2 317.437 1.704 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N1C[C@@H]2COC[C@@H]2C1 ZINC001121612080 782590675 /nfs/dbraw/zinc/59/06/75/782590675.db2.gz CFYLQLLVYJOPKX-QLFBSQMISA-N 1 2 317.437 1.704 20 30 DDEDLO Cc1nc(N[C@H](C)[C@@H]2CN(C(=O)C#CC3CC3)CCO2)cc[nH+]1 ZINC001068230718 767019428 /nfs/dbraw/zinc/01/94/28/767019428.db2.gz JOIFIFKBKJGVHF-DOMZBBRYSA-N 1 2 314.389 1.226 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068962984 767730553 /nfs/dbraw/zinc/73/05/53/767730553.db2.gz MIHUFBVTUNGNLI-RISCZKNCSA-N 1 2 310.361 1.320 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068962593 767730669 /nfs/dbraw/zinc/73/06/69/767730669.db2.gz ITISEHOCTBKBQK-XHDPSFHLSA-N 1 2 310.361 1.320 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CSCC#N)C[N@@H+]1Cc1cncn1C ZINC001131825955 768393302 /nfs/dbraw/zinc/39/33/02/768393302.db2.gz MOYCPBOJULKHHA-QWHCGFSZSA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CSCC#N)C[N@H+]1Cc1cncn1C ZINC001131825955 768393309 /nfs/dbraw/zinc/39/33/09/768393309.db2.gz MOYCPBOJULKHHA-QWHCGFSZSA-N 1 2 321.450 1.146 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2cscn2)CC[C@@H]1C ZINC001131895485 768477696 /nfs/dbraw/zinc/47/76/96/768477696.db2.gz JKVNUANVLCVCAZ-RYUDHWBXSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2cscn2)CC[C@@H]1C ZINC001131895485 768477699 /nfs/dbraw/zinc/47/76/99/768477699.db2.gz JKVNUANVLCVCAZ-RYUDHWBXSA-N 1 2 322.434 1.028 20 30 DDEDLO C#CC[NH+]1CC2(C1)CN(C(=O)CC(C)C)C[C@@H]2c1nnc(C)[nH]1 ZINC001070438804 768481218 /nfs/dbraw/zinc/48/12/18/768481218.db2.gz JRDARUHASPTZOE-CQSZACIVSA-N 1 2 315.421 1.020 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(Cl)ccc2F)C1 ZINC001047450964 768487375 /nfs/dbraw/zinc/48/73/75/768487375.db2.gz YUBOZIJSTPMJIC-KBPBESRZSA-N 1 2 310.756 1.229 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(Cl)ccc2F)C1 ZINC001047450964 768487377 /nfs/dbraw/zinc/48/73/77/768487377.db2.gz YUBOZIJSTPMJIC-KBPBESRZSA-N 1 2 310.756 1.229 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2coc(C)c2)CC[C@H]1C ZINC001131985191 768538859 /nfs/dbraw/zinc/53/88/59/768538859.db2.gz UWEXDUNVMZMYEH-DOMZBBRYSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2coc(C)c2)CC[C@H]1C ZINC001131985191 768538863 /nfs/dbraw/zinc/53/88/63/768538863.db2.gz UWEXDUNVMZMYEH-DOMZBBRYSA-N 1 2 319.405 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCn2ccnn2)CC[C@@H]1C ZINC001131992529 768545236 /nfs/dbraw/zinc/54/52/36/768545236.db2.gz MORBWFRAZZBVDC-QWHCGFSZSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCn2ccnn2)CC[C@@H]1C ZINC001131992529 768545239 /nfs/dbraw/zinc/54/52/39/768545239.db2.gz MORBWFRAZZBVDC-QWHCGFSZSA-N 1 2 311.817 1.390 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C#CC(C)(C)C)C[N@@H+]1Cc1ccn(C)n1 ZINC001132034591 768580191 /nfs/dbraw/zinc/58/01/91/768580191.db2.gz SSXNDYTVDYXBSZ-GJZGRUSLSA-N 1 2 316.449 1.939 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C#CC(C)(C)C)C[N@H+]1Cc1ccn(C)n1 ZINC001132034591 768580195 /nfs/dbraw/zinc/58/01/95/768580195.db2.gz SSXNDYTVDYXBSZ-GJZGRUSLSA-N 1 2 316.449 1.939 20 30 DDEDLO CC(C)CNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132034500 768580318 /nfs/dbraw/zinc/58/03/18/768580318.db2.gz SBDYOEGXROEGFK-HOCLYGCPSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)CNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132034500 768580323 /nfs/dbraw/zinc/58/03/23/768580323.db2.gz SBDYOEGXROEGFK-HOCLYGCPSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2C2CC2)C1 ZINC001047617996 768615143 /nfs/dbraw/zinc/61/51/43/768615143.db2.gz KPRRMTPBTRVFNS-KBPBESRZSA-N 1 2 304.415 1.376 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2C2CC2)C1 ZINC001047617996 768615146 /nfs/dbraw/zinc/61/51/46/768615146.db2.gz KPRRMTPBTRVFNS-KBPBESRZSA-N 1 2 304.415 1.376 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001096237182 768702593 /nfs/dbraw/zinc/70/25/93/768702593.db2.gz DDSMPYLGYBUEPF-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001096237182 768702598 /nfs/dbraw/zinc/70/25/98/768702598.db2.gz DDSMPYLGYBUEPF-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C(C)(C)NC(C)=O)CC[C@@H]1C ZINC001132365934 768779932 /nfs/dbraw/zinc/77/99/32/768779932.db2.gz SYGRZGCPJCURTA-AAEUAGOBSA-N 1 2 315.845 1.623 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)NC(C)=O)CC[C@@H]1C ZINC001132365934 768779937 /nfs/dbraw/zinc/77/99/37/768779937.db2.gz SYGRZGCPJCURTA-AAEUAGOBSA-N 1 2 315.845 1.623 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070934028 768793590 /nfs/dbraw/zinc/79/35/90/768793590.db2.gz OMQBDFLURJTUKO-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+]Cc1nc(C2CC2)no1 ZINC001132398973 768805789 /nfs/dbraw/zinc/80/57/89/768805789.db2.gz WUQFIVOVPGIRPW-UHFFFAOYSA-N 1 2 304.394 1.737 20 30 DDEDLO CC(C)CC(=O)NCC[NH+]1CCN(c2ccncc2C#N)CC1 ZINC001096261954 768807987 /nfs/dbraw/zinc/80/79/87/768807987.db2.gz QFZBXVFQASKHHB-UHFFFAOYSA-N 1 2 315.421 1.238 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)c2cocc2C)CC1 ZINC001070985914 768837087 /nfs/dbraw/zinc/83/70/87/768837087.db2.gz YEHRHXZQVCQJCN-UHFFFAOYSA-N 1 2 319.405 1.428 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)c2cocc2C)CC1 ZINC001070985914 768837097 /nfs/dbraw/zinc/83/70/97/768837097.db2.gz YEHRHXZQVCQJCN-UHFFFAOYSA-N 1 2 319.405 1.428 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@@]2(C)C(C)C)CC1 ZINC001071013292 768880151 /nfs/dbraw/zinc/88/01/51/768880151.db2.gz XJZHMYRJQQAPBV-YJBOKZPZSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@@]2(C)C(C)C)CC1 ZINC001071013292 768880166 /nfs/dbraw/zinc/88/01/66/768880166.db2.gz XJZHMYRJQQAPBV-YJBOKZPZSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)COCCOCC)CC[C@H]1C ZINC001132518943 768933058 /nfs/dbraw/zinc/93/30/58/768933058.db2.gz QKDLYXJXCYNQGV-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)COCCOCC)CC[C@H]1C ZINC001132518943 768933063 /nfs/dbraw/zinc/93/30/63/768933063.db2.gz QKDLYXJXCYNQGV-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001132528434 768948411 /nfs/dbraw/zinc/94/84/11/768948411.db2.gz COULBKBPWZXEAM-LSDHHAIUSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001132528434 768948417 /nfs/dbraw/zinc/94/84/17/768948417.db2.gz COULBKBPWZXEAM-LSDHHAIUSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCOCC(=O)NCC1CC([NH2+][C@@H](C)c2noc(C)n2)C1 ZINC001100187044 768987041 /nfs/dbraw/zinc/98/70/41/768987041.db2.gz XGNAGVNZUCLETH-PKSQDBQZSA-N 1 2 308.382 1.126 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH+]1CCN(c2ccnc(C)n2)CC1 ZINC001096293843 769059235 /nfs/dbraw/zinc/05/92/35/769059235.db2.gz VXYBCIDBAOLZQR-UHFFFAOYSA-N 1 2 317.437 1.235 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CN1CC#N ZINC001071528409 769569715 /nfs/dbraw/zinc/56/97/15/769569715.db2.gz TULXFBMNMSFKCE-UONOGXRCSA-N 1 2 315.421 1.255 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2cn3cc(C)nc3s2)CC[C@H]1C ZINC001071568771 769641939 /nfs/dbraw/zinc/64/19/39/769641939.db2.gz SQALKHYHUTWMFE-CHWSQXEVSA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2cn3cc(C)nc3s2)CC[C@H]1C ZINC001071568771 769641945 /nfs/dbraw/zinc/64/19/45/769641945.db2.gz SQALKHYHUTWMFE-CHWSQXEVSA-N 1 2 316.430 1.920 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+][C@H](C)c2nc(COC)no2)CCC1 ZINC001133392093 769773021 /nfs/dbraw/zinc/77/30/21/769773021.db2.gz GWEOGGMVFGFTKB-GFCCVEGCSA-N 1 2 322.409 1.729 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2nc([C@H](C)OC)no2)C1 ZINC001133569791 769999652 /nfs/dbraw/zinc/99/96/52/769999652.db2.gz BWKCZLWGQFLAHN-NSHDSACASA-N 1 2 308.382 1.339 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2c(C)nc[nH]c2=O)CC[C@H]1C ZINC001071763436 770023198 /nfs/dbraw/zinc/02/31/98/770023198.db2.gz VVYWZHOLQYRLPD-PWSUYJOCSA-N 1 2 324.812 1.826 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2c(C)nc[nH]c2=O)CC[C@H]1C ZINC001071763436 770023205 /nfs/dbraw/zinc/02/32/05/770023205.db2.gz VVYWZHOLQYRLPD-PWSUYJOCSA-N 1 2 324.812 1.826 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001071788631 770077011 /nfs/dbraw/zinc/07/70/11/770077011.db2.gz ZVRAPDCGJDLANH-BXUZGUMPSA-N 1 2 304.394 1.190 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cn2ccc(C)n2)CC[C@H]1C ZINC001071831826 770141484 /nfs/dbraw/zinc/14/14/84/770141484.db2.gz NESQJPNIUJAOEK-ZIAGYGMSSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cn2ccc(C)n2)CC[C@H]1C ZINC001071831826 770141487 /nfs/dbraw/zinc/14/14/87/770141487.db2.gz NESQJPNIUJAOEK-ZIAGYGMSSA-N 1 2 310.829 1.913 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072111013 770555454 /nfs/dbraw/zinc/55/54/54/770555454.db2.gz GRGFBLGBAOSKSH-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC12CCN(c1cc[nH+]c(C)n1)CC2 ZINC001096518986 770819773 /nfs/dbraw/zinc/81/97/73/770819773.db2.gz DGIAPSCWQILCLY-AWEZNQCLSA-N 1 2 316.405 1.463 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(C(N)=O)c1)C2 ZINC001096551487 770999562 /nfs/dbraw/zinc/99/95/62/770999562.db2.gz CMJHERNXKKWPPR-MDZLAQPJSA-N 1 2 322.796 1.201 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(C(N)=O)c1)C2 ZINC001096551487 770999565 /nfs/dbraw/zinc/99/95/65/770999565.db2.gz CMJHERNXKKWPPR-MDZLAQPJSA-N 1 2 322.796 1.201 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096821592 771489529 /nfs/dbraw/zinc/48/95/29/771489529.db2.gz MIDKMZYQORVQDU-KFWWJZLASA-N 1 2 316.405 1.683 20 30 DDEDLO Cc1cc(N[C@H]2C[C@H](NC(=O)CCn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001097083958 771616764 /nfs/dbraw/zinc/61/67/64/771616764.db2.gz FIYRYMUYDKQYOC-SHTZXODSSA-N 1 2 324.388 1.030 20 30 DDEDLO C[C@@H](CC(=O)N[C@H]1C[C@H](Nc2ncccc2C#N)C1)n1cc[nH+]c1 ZINC001097105172 771624864 /nfs/dbraw/zinc/62/48/64/771624864.db2.gz PGQWMWFURUDLFC-QEJZJMRPSA-N 1 2 324.388 1.860 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC2=CCOCC2)[C@H](O)C1 ZINC001090544800 771980788 /nfs/dbraw/zinc/98/07/88/771980788.db2.gz XJIHXUJDTQBHFB-ZIAGYGMSSA-N 1 2 314.813 1.027 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC2=CCOCC2)[C@H](O)C1 ZINC001090544800 771980791 /nfs/dbraw/zinc/98/07/91/771980791.db2.gz XJIHXUJDTQBHFB-ZIAGYGMSSA-N 1 2 314.813 1.027 20 30 DDEDLO COC(=O)C1CCN(c2cc(NCCCS)[nH+]cn2)CC1 ZINC001170999502 772069748 /nfs/dbraw/zinc/06/97/48/772069748.db2.gz GZKVHIKHYOFHEF-UHFFFAOYSA-N 1 2 310.423 1.598 20 30 DDEDLO COC(=O)C1CCN(c2cc(NCCCS)nc[nH+]2)CC1 ZINC001170999502 772069754 /nfs/dbraw/zinc/06/97/54/772069754.db2.gz GZKVHIKHYOFHEF-UHFFFAOYSA-N 1 2 310.423 1.598 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@H]1C ZINC001090669822 772091470 /nfs/dbraw/zinc/09/14/70/772091470.db2.gz GHJKYTCERFPQKL-IAQYHMDHSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H](NC(=O)c2cccc3nc[nH]c32)[C@H](O)C1 ZINC001090670483 772096410 /nfs/dbraw/zinc/09/64/10/772096410.db2.gz DWOGGAUTHJIBIX-UKRRQHHQSA-N 1 2 314.389 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2cccc3nc[nH]c32)[C@H](O)C1 ZINC001090670483 772096416 /nfs/dbraw/zinc/09/64/16/772096416.db2.gz DWOGGAUTHJIBIX-UKRRQHHQSA-N 1 2 314.389 1.304 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCCNc1cc(NC(C)=O)ccn1 ZINC001171067761 772221792 /nfs/dbraw/zinc/22/17/92/772221792.db2.gz BNYGEWQNWHFSQS-AWEZNQCLSA-N 1 2 320.393 1.679 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccc(C)nc2)[C@H](O)C1 ZINC001090812691 772233389 /nfs/dbraw/zinc/23/33/89/772233389.db2.gz IHKTXVHROKBUCX-LSDHHAIUSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccc(C)nc2)[C@H](O)C1 ZINC001090812691 772233391 /nfs/dbraw/zinc/23/33/91/772233391.db2.gz IHKTXVHROKBUCX-LSDHHAIUSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100257532 772367758 /nfs/dbraw/zinc/36/77/58/772367758.db2.gz XGULZBHUTKYDGX-UHFFFAOYSA-N 1 2 316.409 1.518 20 30 DDEDLO CC(C)(C)OC(=O)NCC1C[NH+](Cc2cncc(C#N)c2)C1 ZINC001144074596 772392851 /nfs/dbraw/zinc/39/28/51/772392851.db2.gz VYGXGYMARJXFHI-UHFFFAOYSA-N 1 2 302.378 1.910 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001091352448 772701179 /nfs/dbraw/zinc/70/11/79/772701179.db2.gz SSJHGJALLZUZIH-OKILXGFUSA-N 1 2 310.361 1.157 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091368824 772709157 /nfs/dbraw/zinc/70/91/57/772709157.db2.gz AOCKIDIJYIFWSR-OKILXGFUSA-N 1 2 302.378 1.132 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001148104514 773349421 /nfs/dbraw/zinc/34/94/21/773349421.db2.gz NOOLPTBYPBCZCJ-UHFFFAOYSA-N 1 2 312.417 1.705 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001148104514 773349429 /nfs/dbraw/zinc/34/94/29/773349429.db2.gz NOOLPTBYPBCZCJ-UHFFFAOYSA-N 1 2 312.417 1.705 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(CC)CC)C2 ZINC001110121103 773410193 /nfs/dbraw/zinc/41/01/93/773410193.db2.gz LFMXLPDNLVEWQQ-ILXRZTDVSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(CC)CC)C2 ZINC001110121103 773410197 /nfs/dbraw/zinc/41/01/97/773410197.db2.gz LFMXLPDNLVEWQQ-ILXRZTDVSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ncccn2)C1 ZINC001073828469 773448255 /nfs/dbraw/zinc/44/82/55/773448255.db2.gz VYMYYOJDQHFXCS-GFCCVEGCSA-N 1 2 310.785 1.050 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ncccn2)C1 ZINC001073828469 773448261 /nfs/dbraw/zinc/44/82/61/773448261.db2.gz VYMYYOJDQHFXCS-GFCCVEGCSA-N 1 2 310.785 1.050 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](C)CCN1C(=O)c1cccc([C@@H](C)C#N)c1 ZINC001148615885 773554061 /nfs/dbraw/zinc/55/40/61/773554061.db2.gz SDMVGFKYEGPEHN-WFASDCNBSA-N 1 2 315.373 1.243 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](C)CCN1C(=O)c1cccc([C@@H](C)C#N)c1 ZINC001148615885 773554066 /nfs/dbraw/zinc/55/40/66/773554066.db2.gz SDMVGFKYEGPEHN-WFASDCNBSA-N 1 2 315.373 1.243 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001074188750 773710193 /nfs/dbraw/zinc/71/01/93/773710193.db2.gz YLPJBBYESRFYDQ-LSDHHAIUSA-N 1 2 304.394 1.210 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001074188750 773710197 /nfs/dbraw/zinc/71/01/97/773710197.db2.gz YLPJBBYESRFYDQ-LSDHHAIUSA-N 1 2 304.394 1.210 20 30 DDEDLO C[C@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1ccc(C#N)nc1 ZINC001098302210 773756204 /nfs/dbraw/zinc/75/62/04/773756204.db2.gz GHOWVVYKAHTRDR-OLZOCXBDSA-N 1 2 312.377 1.718 20 30 DDEDLO C[C@H](CC(=O)NC[C@H](C)Nc1ccc(C#N)nc1)n1cc[nH+]c1 ZINC001098302211 773756324 /nfs/dbraw/zinc/75/63/24/773756324.db2.gz GHOWVVYKAHTRDR-QWHCGFSZSA-N 1 2 312.377 1.718 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3csc(=O)[nH]3)C[C@H]21 ZINC001074316678 773816892 /nfs/dbraw/zinc/81/68/92/773816892.db2.gz COGVCDVZYRBISE-CHWSQXEVSA-N 1 2 323.418 1.340 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3csc(=O)[nH]3)C[C@H]21 ZINC001074316678 773816895 /nfs/dbraw/zinc/81/68/95/773816895.db2.gz COGVCDVZYRBISE-CHWSQXEVSA-N 1 2 323.418 1.340 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC(C)(F)F)C[C@H]21 ZINC001074329772 773823583 /nfs/dbraw/zinc/82/35/83/773823583.db2.gz PWDUAEZAKWQZQC-OLZOCXBDSA-N 1 2 300.349 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC(C)(F)F)C[C@H]21 ZINC001074329772 773823590 /nfs/dbraw/zinc/82/35/90/773823590.db2.gz PWDUAEZAKWQZQC-OLZOCXBDSA-N 1 2 300.349 1.357 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CC=CC3)C[C@@H]21 ZINC001074331771 773828691 /nfs/dbraw/zinc/82/86/91/773828691.db2.gz MMCXPQUKDQAWFJ-JKSUJKDBSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CC=CC3)C[C@@H]21 ZINC001074331771 773828701 /nfs/dbraw/zinc/82/87/01/773828701.db2.gz MMCXPQUKDQAWFJ-JKSUJKDBSA-N 1 2 302.418 1.668 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3=CCOCC3)C[C@H]21 ZINC001074333493 773829877 /nfs/dbraw/zinc/82/98/77/773829877.db2.gz COYOZOZFDVVVSX-IAGOWNOFSA-N 1 2 320.433 1.601 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC3=CCOCC3)C[C@H]21 ZINC001074333493 773829882 /nfs/dbraw/zinc/82/98/82/773829882.db2.gz COYOZOZFDVVVSX-IAGOWNOFSA-N 1 2 320.433 1.601 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cccn3CC)C[C@H]21 ZINC001074405684 773900311 /nfs/dbraw/zinc/90/03/11/773900311.db2.gz WAJZHMHYYMFQQC-SJORKVTESA-N 1 2 315.417 1.447 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccn3CC)C[C@H]21 ZINC001074405684 773900316 /nfs/dbraw/zinc/90/03/16/773900316.db2.gz WAJZHMHYYMFQQC-SJORKVTESA-N 1 2 315.417 1.447 20 30 DDEDLO CCOc1nnc(C[NH2+][C@H]2CCN(C(=O)[C@H](C)C#N)[C@H]2C)s1 ZINC001074561994 773995262 /nfs/dbraw/zinc/99/52/62/773995262.db2.gz SPPQNWBQMQUVFA-VWYCJHECSA-N 1 2 323.422 1.175 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H]1C=CCC1)c1nccn12 ZINC001092348064 774067742 /nfs/dbraw/zinc/06/77/42/774067742.db2.gz NTHVFTCZVUVUAQ-LSDHHAIUSA-N 1 2 312.417 1.997 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)on1)c1nccn12 ZINC001092343152 774092255 /nfs/dbraw/zinc/09/22/55/774092255.db2.gz AUDMHYREHLDBML-CYBMUJFWSA-N 1 2 313.361 1.251 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCC1(F)F)c1nccn12 ZINC001092378391 774093802 /nfs/dbraw/zinc/09/38/02/774093802.db2.gz MWPNLUSSSJZNKR-NWDGAFQWSA-N 1 2 322.359 1.686 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CCC1(F)F)c1nccn12 ZINC001092378393 774094003 /nfs/dbraw/zinc/09/40/03/774094003.db2.gz MWPNLUSSSJZNKR-VXGBXAGGSA-N 1 2 322.359 1.686 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@]1(C)C=CCC1)c1nccn12 ZINC001092386155 774095750 /nfs/dbraw/zinc/09/57/50/774095750.db2.gz DVHFFHNNICTGBQ-YJBOKZPZSA-N 1 2 324.428 1.835 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001074887274 774217826 /nfs/dbraw/zinc/21/78/26/774217826.db2.gz SZNJZFLWRBHYGW-STQMWFEESA-N 1 2 304.394 1.414 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([NH2+]Cc2cnsn2)[C@@H]1C ZINC001074897966 774227210 /nfs/dbraw/zinc/22/72/10/774227210.db2.gz GDQUYBGHWOTZHB-GHYVTOPFSA-N 1 2 322.434 1.208 20 30 DDEDLO CCc1cc(N2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H](C)C#N)C3)nc(C)[nH+]1 ZINC001092811191 774276928 /nfs/dbraw/zinc/27/69/28/774276928.db2.gz CCMUKWJLCXQIFL-RABLLNBGSA-N 1 2 313.405 1.733 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)C[C@H]1C ZINC001075081073 774337732 /nfs/dbraw/zinc/33/77/32/774337732.db2.gz DABBBNIZQJISNI-KGLIPLIRSA-N 1 2 318.421 1.425 20 30 DDEDLO Cc1nc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H](C)C#N)C3)c(C)c(C)[nH+]1 ZINC001092966811 774341791 /nfs/dbraw/zinc/34/17/91/774341791.db2.gz IMYQBMFTHMZOJI-MOZUYYIMSA-N 1 2 313.405 1.787 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001098388090 774558363 /nfs/dbraw/zinc/55/83/63/774558363.db2.gz NJKCMCGTADHCEH-RAIGVLPGSA-N 1 2 310.361 1.397 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001098388090 774558367 /nfs/dbraw/zinc/55/83/67/774558367.db2.gz NJKCMCGTADHCEH-RAIGVLPGSA-N 1 2 310.361 1.397 20 30 DDEDLO C#CCC[NH+]1CC(OC2CCN(C(=O)c3cc(C)n[nH]3)CC2)C1 ZINC001093513881 774762979 /nfs/dbraw/zinc/76/29/79/774762979.db2.gz VLAZAIORFYQKKO-UHFFFAOYSA-N 1 2 316.405 1.047 20 30 DDEDLO C#CCC[NH+]1CC(OC2CCN(C(=O)c3cc(C)[nH]n3)CC2)C1 ZINC001093513881 774762981 /nfs/dbraw/zinc/76/29/81/774762981.db2.gz VLAZAIORFYQKKO-UHFFFAOYSA-N 1 2 316.405 1.047 20 30 DDEDLO Cc1cc(NCCNC(=O)c2cc(C#N)c[nH]2)nc(C2CC2)[nH+]1 ZINC001093539863 774809773 /nfs/dbraw/zinc/80/97/73/774809773.db2.gz PKRVWUKJVNWJHD-UHFFFAOYSA-N 1 2 310.361 1.704 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CO[C@@H](C)C3)CC2)C1 ZINC001093549486 774828250 /nfs/dbraw/zinc/82/82/50/774828250.db2.gz XHLRXWSWJYEMEZ-KBPBESRZSA-N 1 2 308.422 1.289 20 30 DDEDLO C=CCN1CC(OC2CCN(C(=O)CCn3cc[nH+]c3)CC2)C1 ZINC001093557818 774882334 /nfs/dbraw/zinc/88/23/34/774882334.db2.gz NIPRRJXLYUEPNW-UHFFFAOYSA-N 1 2 318.421 1.151 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCOCC2CC2)[C@@H](O)C1 ZINC001099830016 775336617 /nfs/dbraw/zinc/33/66/17/775336617.db2.gz LZAGDXXBGCJFHJ-KBPBESRZSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCOCC2CC2)[C@@H](O)C1 ZINC001099830016 775336625 /nfs/dbraw/zinc/33/66/25/775336625.db2.gz LZAGDXXBGCJFHJ-KBPBESRZSA-N 1 2 316.829 1.107 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099945149 775456649 /nfs/dbraw/zinc/45/66/49/775456649.db2.gz VPIGCMLWJFJCNN-GJZGRUSLSA-N 1 2 321.396 1.484 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099945149 775456656 /nfs/dbraw/zinc/45/66/56/775456656.db2.gz VPIGCMLWJFJCNN-GJZGRUSLSA-N 1 2 321.396 1.484 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099975786 775507605 /nfs/dbraw/zinc/50/76/05/775507605.db2.gz HDBNNLBFHXTHAQ-GOEBONIOSA-N 1 2 321.421 1.699 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099975786 775507616 /nfs/dbraw/zinc/50/76/16/775507616.db2.gz HDBNNLBFHXTHAQ-GOEBONIOSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3cccnc3)nn2)C1 ZINC001094268990 775610736 /nfs/dbraw/zinc/61/07/36/775610736.db2.gz KWMAOTFDCCHIOK-UHFFFAOYSA-N 1 2 312.377 1.036 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3=CCCCCC3)nn2)C1 ZINC001094286864 775686599 /nfs/dbraw/zinc/68/65/99/775686599.db2.gz XAPPINUFJJWKSG-UHFFFAOYSA-N 1 2 315.421 1.828 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@@H]2CCn3cc[nH+]c3C2)nc1 ZINC001094657043 776175717 /nfs/dbraw/zinc/17/57/17/776175717.db2.gz VKEFUHWOPQDAHM-CQSZACIVSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3CCCCCC3)CC2=O)C1 ZINC001094712057 776179962 /nfs/dbraw/zinc/17/99/62/776179962.db2.gz UBYQWGMOUHCJHU-OAHLLOKOSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C[C@H]3C=CCC3)CC2=O)C1 ZINC001094701053 776211339 /nfs/dbraw/zinc/21/13/39/776211339.db2.gz NBSAJKQKABIZEV-GJZGRUSLSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H]3CCCC3(C)C)CC2=O)C1 ZINC001094774513 776263988 /nfs/dbraw/zinc/26/39/88/776263988.db2.gz MVUHDVKSAIUFEI-UKRRQHHQSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3C[C@H]3CCC)CC2=O)C1 ZINC001094782295 776274070 /nfs/dbraw/zinc/27/40/70/776274070.db2.gz ONQYDHFJCKUCAS-IIAWOOMASA-N 1 2 319.449 1.400 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3C[C@H]3CCC)CC2=O)C1 ZINC001094785881 776277094 /nfs/dbraw/zinc/27/70/94/776277094.db2.gz QWLGTNXRHKXDBG-UMVBOHGHSA-N 1 2 305.422 1.010 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[NH2+][C@H](C)c2noc(C)n2)cn1 ZINC001171594338 776356729 /nfs/dbraw/zinc/35/67/29/776356729.db2.gz AQNIJWWFKHQACE-LLVKDONJSA-N 1 2 313.361 1.225 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100974042 776782426 /nfs/dbraw/zinc/78/24/26/776782426.db2.gz NIKGNRJQYKZENJ-CJNGLKHVSA-N 1 2 304.394 1.055 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100989589 776799776 /nfs/dbraw/zinc/79/97/76/776799776.db2.gz CQHAWDCWIKDEDS-JSGCOSHPSA-N 1 2 304.394 1.319 20 30 DDEDLO C=CCCC(=O)NCCN(CCC)c1[nH+]cnc2c1cnn2C ZINC001101110230 776914169 /nfs/dbraw/zinc/91/41/69/776914169.db2.gz GPMVDOBLWMRZAY-UHFFFAOYSA-N 1 2 316.409 1.662 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H]([N@H+]2C=C(N)C=CC2)C[C@H]1C#N ZINC001172980999 776951072 /nfs/dbraw/zinc/95/10/72/776951072.db2.gz IFZNLXDOATWIGB-KGLIPLIRSA-N 1 2 304.394 1.950 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H]([N@@H+]2C=C(N)C=CC2)C[C@H]1C#N ZINC001172980999 776951075 /nfs/dbraw/zinc/95/10/75/776951075.db2.gz IFZNLXDOATWIGB-KGLIPLIRSA-N 1 2 304.394 1.950 20 30 DDEDLO CCCN(CCNC(=O)Cn1cc[nH+]c1)c1ccncc1C#N ZINC001101155855 776952167 /nfs/dbraw/zinc/95/21/67/776952167.db2.gz MWTHXXWXZWVTBH-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO Cc1nc(N(CCNC(=O)Cc2[nH]cc[nH+]2)C2CC2)ccc1C#N ZINC001101374767 777105322 /nfs/dbraw/zinc/10/53/22/777105322.db2.gz QXBHBLPCCZANRE-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO N#CCC1CCC([NH+]2CC3(C2)[C@@H](CF)CCS3(=O)=O)CC1 ZINC001173337454 777117075 /nfs/dbraw/zinc/11/70/75/777117075.db2.gz KXNANWGBWHCSRZ-ROKHWSDSSA-N 1 2 314.426 1.917 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C/C1CC1)c1nccn12 ZINC001101620184 777314238 /nfs/dbraw/zinc/31/42/38/777314238.db2.gz DRYGYBIUYPJKLM-LQYUOIDQSA-N 1 2 310.401 1.445 20 30 DDEDLO Cc1nc(C#N)c(Nc2cnn(CC[NH+]3CCOCC3)c2)o1 ZINC001174490322 777483339 /nfs/dbraw/zinc/48/33/39/777483339.db2.gz NZUQDRYAEVUITG-UHFFFAOYSA-N 1 2 302.338 1.127 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1C ZINC001101917027 777676386 /nfs/dbraw/zinc/67/63/86/777676386.db2.gz VZUJMGOKQZYHRW-LALPHHSUSA-N 1 2 324.450 1.049 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1C ZINC001101917027 777676390 /nfs/dbraw/zinc/67/63/90/777676390.db2.gz VZUJMGOKQZYHRW-LALPHHSUSA-N 1 2 324.450 1.049 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](CNC(=O)C(F)C(F)(F)F)[C@H](C)C1 ZINC001101988175 777767271 /nfs/dbraw/zinc/76/72/71/777767271.db2.gz UWRROBJFZPCPPG-UTUOFQBUSA-N 1 2 324.318 1.221 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](CNC(=O)C(F)C(F)(F)F)[C@H](C)C1 ZINC001101988175 777767279 /nfs/dbraw/zinc/76/72/79/777767279.db2.gz UWRROBJFZPCPPG-UTUOFQBUSA-N 1 2 324.318 1.221 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)[C@H](C)C1 ZINC001101988175 777767284 /nfs/dbraw/zinc/76/72/84/777767284.db2.gz UWRROBJFZPCPPG-UTUOFQBUSA-N 1 2 324.318 1.221 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](CNC(=O)[C@H](F)C(F)(F)F)[C@H](C)C1 ZINC001101988175 777767289 /nfs/dbraw/zinc/76/72/89/777767289.db2.gz UWRROBJFZPCPPG-UTUOFQBUSA-N 1 2 324.318 1.221 20 30 DDEDLO N#Cc1cnccc1N1CC[C@@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001102343990 778081516 /nfs/dbraw/zinc/08/15/16/778081516.db2.gz QXJQUIGLFPXHCI-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO N#Cc1cnccc1N1CC[C@@H](CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001102398410 778116305 /nfs/dbraw/zinc/11/63/05/778116305.db2.gz QYPLORNHMNFKHN-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C\C3CC3)C[C@H]21 ZINC001176976841 778363032 /nfs/dbraw/zinc/36/30/32/778363032.db2.gz LYFXHFUXVMZAIJ-MBZYTVFJSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C\C3CC3)C[C@H]21 ZINC001176976841 778363036 /nfs/dbraw/zinc/36/30/36/778363036.db2.gz LYFXHFUXVMZAIJ-MBZYTVFJSA-N 1 2 320.433 1.457 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCOCC3CC3)C[C@H]21 ZINC001177011313 778389117 /nfs/dbraw/zinc/38/91/17/778389117.db2.gz AIIRZQSGJZCPIY-IAGOWNOFSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCOCC3CC3)C[C@H]21 ZINC001177011313 778389123 /nfs/dbraw/zinc/38/91/23/778389123.db2.gz AIIRZQSGJZCPIY-IAGOWNOFSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C)CC3)C[C@H]21 ZINC001177012771 778391737 /nfs/dbraw/zinc/39/17/37/778391737.db2.gz MHGOFWLOEXJNPC-CABCVRRESA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C)CC3)C[C@H]21 ZINC001177012771 778391747 /nfs/dbraw/zinc/39/17/47/778391747.db2.gz MHGOFWLOEXJNPC-CABCVRRESA-N 1 2 308.422 1.291 20 30 DDEDLO C[N@@H+]1CCN(C(=O)C#Cc2ccccn2)[C@@H](c2ccccc2)C1 ZINC001177797656 778739820 /nfs/dbraw/zinc/73/98/20/778739820.db2.gz WVPGXUSTWIWWBB-GOSISDBHSA-N 1 2 305.381 1.948 20 30 DDEDLO C[N@H+]1CCN(C(=O)C#Cc2ccccn2)[C@@H](c2ccccc2)C1 ZINC001177797656 778739827 /nfs/dbraw/zinc/73/98/27/778739827.db2.gz WVPGXUSTWIWWBB-GOSISDBHSA-N 1 2 305.381 1.948 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001103815747 779068813 /nfs/dbraw/zinc/06/88/13/779068813.db2.gz JDIVNQQTBBBDFE-GXTWGEPZSA-N 1 2 302.378 1.226 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2CCN(C(=O)C#CC(C)C)[C@H]2C)n1 ZINC001178649124 779123586 /nfs/dbraw/zinc/12/35/86/779123586.db2.gz WRNFDXAEPJJPEH-KBPBESRZSA-N 1 2 318.421 1.761 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111960795 779579979 /nfs/dbraw/zinc/57/99/79/779579979.db2.gz VJKAPQOVKCDHSJ-AWEZNQCLSA-N 1 2 304.394 1.781 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001115511910 780202145 /nfs/dbraw/zinc/20/21/45/780202145.db2.gz RKUYFPREFOVHNC-RHSMWYFYSA-N 1 2 318.421 1.761 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+](C)CC(=O)N2CCC2)CCCC1 ZINC001267232714 837574222 /nfs/dbraw/zinc/57/42/22/837574222.db2.gz CLAFSLCLROMXIC-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+](C)CC(=O)N2CCC2)CCCC1 ZINC001267232714 837574229 /nfs/dbraw/zinc/57/42/29/837574229.db2.gz CLAFSLCLROMXIC-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H]1CNC(=O)c1cocc1C ZINC001267273335 837720790 /nfs/dbraw/zinc/72/07/90/837720790.db2.gz WWAKEYFLTCGHQO-ZDUSSCGKSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)c1cocc1C ZINC001267273335 837720795 /nfs/dbraw/zinc/72/07/95/837720795.db2.gz WWAKEYFLTCGHQO-ZDUSSCGKSA-N 1 2 305.378 1.084 20 30 DDEDLO Cc1c(Cl)cccc1C(=O)NCC[NH+]1CCN(CC#N)CC1 ZINC001266285779 836047991 /nfs/dbraw/zinc/04/79/91/836047991.db2.gz MWRTZOQBODPDNZ-UHFFFAOYSA-N 1 2 320.824 1.519 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CCC[N@H+]1Cc1ccn(CC)n1 ZINC001266464906 836298492 /nfs/dbraw/zinc/29/84/92/836298492.db2.gz KXWJXZURXPTPPA-GOEBONIOSA-N 1 2 318.421 1.022 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CCC[N@@H+]1Cc1ccn(CC)n1 ZINC001266464906 836298503 /nfs/dbraw/zinc/29/85/03/836298503.db2.gz KXWJXZURXPTPPA-GOEBONIOSA-N 1 2 318.421 1.022 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+][C@H](C)c2csnn2)C1 ZINC001266543639 836444040 /nfs/dbraw/zinc/44/40/40/836444040.db2.gz RFCGKHKFDAQXQE-OLZOCXBDSA-N 1 2 306.435 1.983 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[NH2+]Cc2cnsn2)cc1 ZINC001266799038 836853779 /nfs/dbraw/zinc/85/37/79/836853779.db2.gz ZOSTXKIRXZHEAE-UHFFFAOYSA-N 1 2 300.387 1.381 20 30 DDEDLO C=CC[NH2+][C@H](CNC(=O)c1cn[nH]c1-c1cnn(C)c1)C(C)C ZINC001271922528 844209828 /nfs/dbraw/zinc/20/98/28/844209828.db2.gz JFVSIHCHNPCREW-CQSZACIVSA-N 1 2 316.409 1.340 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)C(C)(C)CC ZINC001266853683 836939401 /nfs/dbraw/zinc/93/94/01/836939401.db2.gz QOGISLJBGKJSDW-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)C(C)(C)CC ZINC001266853683 836939408 /nfs/dbraw/zinc/93/94/08/836939408.db2.gz QOGISLJBGKJSDW-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(CCC)C(=O)c2ncccc2O)C1 ZINC001267455287 838079969 /nfs/dbraw/zinc/07/99/69/838079969.db2.gz XNQXJZYWBXYSMT-CQSZACIVSA-N 1 2 301.390 1.737 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(CCC)C(=O)c2ncccc2O)C1 ZINC001267455287 838079981 /nfs/dbraw/zinc/07/99/81/838079981.db2.gz XNQXJZYWBXYSMT-CQSZACIVSA-N 1 2 301.390 1.737 20 30 DDEDLO C#CC[NH2+][C@@H](CNC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001267520634 838239448 /nfs/dbraw/zinc/23/94/48/838239448.db2.gz OOEFCONUFIWPQT-RYUDHWBXSA-N 1 2 302.271 1.967 20 30 DDEDLO C#CC[NH2+][C@@H](CNC(=O)[C@H](F)C(F)(F)F)c1ccccc1 ZINC001267520634 838239463 /nfs/dbraw/zinc/23/94/63/838239463.db2.gz OOEFCONUFIWPQT-RYUDHWBXSA-N 1 2 302.271 1.967 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)C(C)(C)C2CC2)CC1 ZINC001267574334 838340782 /nfs/dbraw/zinc/34/07/82/838340782.db2.gz CPHAIOLXYJBNBH-UHFFFAOYSA-N 1 2 305.466 1.522 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001267723956 838736958 /nfs/dbraw/zinc/73/69/58/838736958.db2.gz MTCXAIJUEQCDAD-AWEZNQCLSA-N 1 2 321.465 1.389 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001267723956 838736965 /nfs/dbraw/zinc/73/69/65/838736965.db2.gz MTCXAIJUEQCDAD-AWEZNQCLSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccnn2C)C1 ZINC001267738577 838796661 /nfs/dbraw/zinc/79/66/61/838796661.db2.gz KOZUIPLWHVFLEW-ZIAGYGMSSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccnn2C)C1 ZINC001267738577 838796665 /nfs/dbraw/zinc/79/66/65/838796665.db2.gz KOZUIPLWHVFLEW-ZIAGYGMSSA-N 1 2 306.410 1.092 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2cc3ncccc3o2)C1 ZINC001267745086 838824074 /nfs/dbraw/zinc/82/40/74/838824074.db2.gz UEWUQJSFBADVPM-CYBMUJFWSA-N 1 2 313.357 1.282 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2cc3ncccc3o2)C1 ZINC001267745086 838824081 /nfs/dbraw/zinc/82/40/81/838824081.db2.gz UEWUQJSFBADVPM-CYBMUJFWSA-N 1 2 313.357 1.282 20 30 DDEDLO N#CCN1CCC(CCNC(=O)CCCn2cc[nH+]c2)CC1 ZINC001267948720 839243331 /nfs/dbraw/zinc/24/33/31/839243331.db2.gz QPBXYAIJLIIWLB-UHFFFAOYSA-N 1 2 303.410 1.405 20 30 DDEDLO CC(C)OCC[N@@H+]1CCO[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001268021111 839393770 /nfs/dbraw/zinc/39/37/70/839393770.db2.gz CCCRUWGYXOULID-OAHLLOKOSA-N 1 2 310.438 1.278 20 30 DDEDLO CC(C)OCC[N@H+]1CCO[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001268021111 839393779 /nfs/dbraw/zinc/39/37/79/839393779.db2.gz CCCRUWGYXOULID-OAHLLOKOSA-N 1 2 310.438 1.278 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H](OC)C2CCCCC2)C1 ZINC001268028881 839442338 /nfs/dbraw/zinc/44/23/38/839442338.db2.gz PFTKGBLHOWKOIE-IRXDYDNUSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](OC)C2CCCCC2)C1 ZINC001268028881 839442332 /nfs/dbraw/zinc/44/23/32/839442332.db2.gz PFTKGBLHOWKOIE-IRXDYDNUSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001272062028 844517460 /nfs/dbraw/zinc/51/74/60/844517460.db2.gz DPIWIJHNDRDFCL-GXTWGEPZSA-N 1 2 322.434 1.164 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001272062028 844517466 /nfs/dbraw/zinc/51/74/66/844517466.db2.gz DPIWIJHNDRDFCL-GXTWGEPZSA-N 1 2 322.434 1.164 20 30 DDEDLO COC[C@H](C)C(=O)NCC1C[NH+](CC#Cc2ccc(F)cc2)C1 ZINC001268704276 840690757 /nfs/dbraw/zinc/69/07/57/840690757.db2.gz WTUYPYRHHZQXCO-AWEZNQCLSA-N 1 2 318.392 1.508 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H](C)C[N@H+](C)Cc2nocc2C)nn1 ZINC001268749680 840754411 /nfs/dbraw/zinc/75/44/11/840754411.db2.gz CBGKFKVMSKYTJO-LBPRGKRZSA-N 1 2 318.381 1.011 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2nocc2C)nn1 ZINC001268749680 840754415 /nfs/dbraw/zinc/75/44/15/840754415.db2.gz CBGKFKVMSKYTJO-LBPRGKRZSA-N 1 2 318.381 1.011 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1C[NH+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001268862279 840914258 /nfs/dbraw/zinc/91/42/58/840914258.db2.gz GFLKAUZLSDOSOE-GFCCVEGCSA-N 1 2 307.438 1.161 20 30 DDEDLO C#CCN1CC[C@]2(CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C2)C1=O ZINC001269386273 841589645 /nfs/dbraw/zinc/58/96/45/841589645.db2.gz HWMHPFOOYYYRIH-GOSISDBHSA-N 1 2 322.368 1.261 20 30 DDEDLO Cc1cc(C[NH+]2CC3(C2)CCCN(C(=O)[C@@H](C)C#N)C3)n(C)n1 ZINC001270508806 842630176 /nfs/dbraw/zinc/63/01/76/842630176.db2.gz XGONKBGYZPJSIE-ZDUSSCGKSA-N 1 2 315.421 1.313 20 30 DDEDLO C=C(C)CCC(=O)N[C@@]1(C)CC[N@@H+](Cc2cn(CC)nn2)C1 ZINC001270591038 842695136 /nfs/dbraw/zinc/69/51/36/842695136.db2.gz SUPUIQNSACUYBQ-INIZCTEOSA-N 1 2 305.426 1.735 20 30 DDEDLO C=C(C)CCC(=O)N[C@@]1(C)CC[N@H+](Cc2cn(CC)nn2)C1 ZINC001270591038 842695145 /nfs/dbraw/zinc/69/51/45/842695145.db2.gz SUPUIQNSACUYBQ-INIZCTEOSA-N 1 2 305.426 1.735 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@@H+]([C@H](C)c2nc(C)no2)C1 ZINC001270663862 842793232 /nfs/dbraw/zinc/79/32/32/842793232.db2.gz GAWIWEUOSAMJAI-WBMJQRKESA-N 1 2 322.409 1.612 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@H+]([C@H](C)c2nc(C)no2)C1 ZINC001270663862 842793235 /nfs/dbraw/zinc/79/32/35/842793235.db2.gz GAWIWEUOSAMJAI-WBMJQRKESA-N 1 2 322.409 1.612 20 30 DDEDLO C=CCOCC(=O)NCC1(O)C[NH+](Cc2ccccc2CC)C1 ZINC001271262437 843454066 /nfs/dbraw/zinc/45/40/66/843454066.db2.gz HMNRGDWVJUMRAH-UHFFFAOYSA-N 1 2 318.417 1.115 20 30 DDEDLO N#Cc1ccccc1CC(=O)N1CC2(C1)C[NH+](Cc1cn[nH]c1)C2 ZINC001271656612 843760339 /nfs/dbraw/zinc/76/03/39/843760339.db2.gz UETLOWZCWBXTBA-UHFFFAOYSA-N 1 2 321.384 1.168 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)CCc1cccc(C)c1 ZINC001326646152 861507938 /nfs/dbraw/zinc/50/79/38/861507938.db2.gz CHLPKZIJFMDJOF-GOSISDBHSA-N 1 2 314.429 1.768 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)CCc1cccc(C)c1 ZINC001326646152 861507947 /nfs/dbraw/zinc/50/79/47/861507947.db2.gz CHLPKZIJFMDJOF-GOSISDBHSA-N 1 2 314.429 1.768 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+][C@H](C)c2csnn2)CCOCC1 ZINC001149325851 861547255 /nfs/dbraw/zinc/54/72/55/861547255.db2.gz XGGAOZZYMUZOET-GFCCVEGCSA-N 1 2 324.450 1.678 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1C[N@H+](CC(=C)Cl)CCCO1 ZINC001149432384 861612787 /nfs/dbraw/zinc/61/27/87/861612787.db2.gz SJEWJURZMGJQEU-ZDUSSCGKSA-N 1 2 302.802 1.149 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1C[N@@H+](CC(=C)Cl)CCCO1 ZINC001149432384 861612790 /nfs/dbraw/zinc/61/27/90/861612790.db2.gz SJEWJURZMGJQEU-ZDUSSCGKSA-N 1 2 302.802 1.149 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](CCCc3ccccc3)C[C@@]2(F)C1=O ZINC001272462178 846159306 /nfs/dbraw/zinc/15/93/06/846159306.db2.gz MZCNVCHMAFBPQI-ZWKOTPCHSA-N 1 2 318.367 1.827 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](CCCc3ccccc3)C[C@@]2(F)C1=O ZINC001272462178 846159310 /nfs/dbraw/zinc/15/93/10/846159310.db2.gz MZCNVCHMAFBPQI-ZWKOTPCHSA-N 1 2 318.367 1.827 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2nc3ccccc3[nH]2)C1=O ZINC001272669151 846545979 /nfs/dbraw/zinc/54/59/79/846545979.db2.gz XDQIWMUPHHCXAD-GOSISDBHSA-N 1 2 308.385 1.763 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2nc3ccccc3[nH]2)C1=O ZINC001272669151 846545980 /nfs/dbraw/zinc/54/59/80/846545980.db2.gz XDQIWMUPHHCXAD-GOSISDBHSA-N 1 2 308.385 1.763 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)nc2)C1 ZINC001107745006 846916702 /nfs/dbraw/zinc/91/67/02/846916702.db2.gz QBQLJKMKFZLPHO-KRWDZBQOSA-N 1 2 301.390 1.234 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)nc2)C1 ZINC001107745006 846916704 /nfs/dbraw/zinc/91/67/04/846916704.db2.gz QBQLJKMKFZLPHO-KRWDZBQOSA-N 1 2 301.390 1.234 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1ncccc1F ZINC001272796289 847439425 /nfs/dbraw/zinc/43/94/25/847439425.db2.gz XOEZVEUGGUILRM-KRWDZBQOSA-N 1 2 301.365 1.811 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1ncccc1F ZINC001272796289 847439430 /nfs/dbraw/zinc/43/94/30/847439430.db2.gz XOEZVEUGGUILRM-KRWDZBQOSA-N 1 2 301.365 1.811 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)CCc1ccc(F)cc1 ZINC001272869552 847571396 /nfs/dbraw/zinc/57/13/96/847571396.db2.gz SXWDTFGJVJQRNQ-KRWDZBQOSA-N 1 2 318.392 1.599 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)CCc1ccc(F)cc1 ZINC001272869552 847571406 /nfs/dbraw/zinc/57/14/06/847571406.db2.gz SXWDTFGJVJQRNQ-KRWDZBQOSA-N 1 2 318.392 1.599 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CC[C@H]2[C@@H]1CCN2C(=O)[C@@H]1C[C@@H]1C#N ZINC001272886477 847593119 /nfs/dbraw/zinc/59/31/19/847593119.db2.gz MVTQSYCYAFKOPG-KBXIAJHMSA-N 1 2 313.405 1.238 20 30 DDEDLO CCn1ccnc1C[N@H+]1CC[C@H]2[C@@H]1CCN2C(=O)[C@@H]1C[C@@H]1C#N ZINC001272886477 847593123 /nfs/dbraw/zinc/59/31/23/847593123.db2.gz MVTQSYCYAFKOPG-KBXIAJHMSA-N 1 2 313.405 1.238 20 30 DDEDLO Cc1c[nH]c(C[N@@H+]2CCCC[C@@]23CC(=O)N(CCCC#N)C3)n1 ZINC001272908366 847619492 /nfs/dbraw/zinc/61/94/92/847619492.db2.gz SUJGQCVENUMLRR-KRWDZBQOSA-N 1 2 315.421 1.979 20 30 DDEDLO Cc1c[nH]c(C[N@H+]2CCCC[C@@]23CC(=O)N(CCCC#N)C3)n1 ZINC001272908366 847619500 /nfs/dbraw/zinc/61/95/00/847619500.db2.gz SUJGQCVENUMLRR-KRWDZBQOSA-N 1 2 315.421 1.979 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC1([NH2+]Cc2nncs2)CCC1 ZINC001273078097 847875988 /nfs/dbraw/zinc/87/59/88/847875988.db2.gz ZKIIPZUYAFODPA-UHFFFAOYSA-N 1 2 306.435 1.716 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC001034198786 848106003 /nfs/dbraw/zinc/10/60/03/848106003.db2.gz NYKBXOCPUDOMKL-LBPRGKRZSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC001034198786 848106006 /nfs/dbraw/zinc/10/60/06/848106006.db2.gz NYKBXOCPUDOMKL-LBPRGKRZSA-N 1 2 311.817 1.635 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2Cc2cnc(OC)c(F)c2)C1=O ZINC001273665154 851201207 /nfs/dbraw/zinc/20/12/07/851201207.db2.gz YKHWITJSPMQBCH-QGZVFWFLSA-N 1 2 319.380 1.982 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2Cc2cnc(OC)c(F)c2)C1=O ZINC001273665154 851201212 /nfs/dbraw/zinc/20/12/12/851201212.db2.gz YKHWITJSPMQBCH-QGZVFWFLSA-N 1 2 319.380 1.982 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H](C)n1cncn1 ZINC001327509627 862226428 /nfs/dbraw/zinc/22/64/28/862226428.db2.gz WOUNZKZNGHNHDB-YOEHRIQHSA-N 1 2 323.400 1.015 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H](C)n1cncn1 ZINC001327509627 862226444 /nfs/dbraw/zinc/22/64/44/862226444.db2.gz WOUNZKZNGHNHDB-YOEHRIQHSA-N 1 2 323.400 1.015 20 30 DDEDLO CC#CCCCC(=O)NC/C=C\C[NH2+]Cc1nccc(C)n1 ZINC001274285305 852124312 /nfs/dbraw/zinc/12/43/12/852124312.db2.gz DEMHVQJMUBAUHL-FPLPWBNLSA-N 1 2 300.406 1.741 20 30 DDEDLO C=CCOC(=O)N1CC[C@@]2(CCN(CCn3cc[nH+]c3)C2=O)C1 ZINC001274346160 852184901 /nfs/dbraw/zinc/18/49/01/852184901.db2.gz SJLIMMRETZJNIS-INIZCTEOSA-N 1 2 318.377 1.130 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cccc(O)c2F)C1=O ZINC001274859220 852646600 /nfs/dbraw/zinc/64/66/00/852646600.db2.gz CVHDCIBSNFXBLE-QGZVFWFLSA-N 1 2 302.349 1.731 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cccc(O)c2F)C1=O ZINC001274859220 852646619 /nfs/dbraw/zinc/64/66/19/852646619.db2.gz CVHDCIBSNFXBLE-QGZVFWFLSA-N 1 2 302.349 1.731 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C[NH2+][C@@H](C)c2nnc(C)o2)nc1 ZINC001274991521 852737221 /nfs/dbraw/zinc/73/72/21/852737221.db2.gz JIEUDZZTABNDQA-MNOVXSKESA-N 1 2 313.361 1.223 20 30 DDEDLO Cn1cccc1CN1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001275234368 852919724 /nfs/dbraw/zinc/91/97/24/852919724.db2.gz ONCUSLOXIPUMSU-UHFFFAOYSA-N 1 2 309.417 1.553 20 30 DDEDLO N#Cc1cccc(CN2C[C@@H]3COC[C@H](C2)[N@@H+]3C2CCCC2)n1 ZINC001275605403 853424916 /nfs/dbraw/zinc/42/49/16/853424916.db2.gz JAABQFKWAKJFDT-HDICACEKSA-N 1 2 312.417 1.781 20 30 DDEDLO N#Cc1cccc(CN2C[C@@H]3COC[C@H](C2)[N@H+]3C2CCCC2)n1 ZINC001275605403 853424919 /nfs/dbraw/zinc/42/49/19/853424919.db2.gz JAABQFKWAKJFDT-HDICACEKSA-N 1 2 312.417 1.781 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H](C)[N@H+](C)Cc1nc(C)c(C)o1 ZINC001275820134 853815456 /nfs/dbraw/zinc/81/54/56/853815456.db2.gz YGQXOSOUACGWAY-MEDUHNTESA-N 1 2 309.410 1.555 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H](C)[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001275820134 853815462 /nfs/dbraw/zinc/81/54/62/853815462.db2.gz YGQXOSOUACGWAY-MEDUHNTESA-N 1 2 309.410 1.555 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]CCCN(C)C(=O)C#CC(C)(C)C)no1 ZINC001275997005 854115331 /nfs/dbraw/zinc/11/53/31/854115331.db2.gz YRTUQQDHGDPZRX-LBPRGKRZSA-N 1 2 306.410 1.927 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@H](CC)OC2CCCC2)C1 ZINC001276088682 854735462 /nfs/dbraw/zinc/73/54/62/854735462.db2.gz QPYYOIRRNVTSLU-INIZCTEOSA-N 1 2 324.465 1.853 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cncnc2)cc1C#N ZINC001276303560 855500491 /nfs/dbraw/zinc/50/04/91/855500491.db2.gz ZAIDTLJXBOZERY-CALCHBBNSA-N 1 2 322.416 1.536 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cncnc2)cc1C#N ZINC001276303560 855500494 /nfs/dbraw/zinc/50/04/94/855500494.db2.gz ZAIDTLJXBOZERY-CALCHBBNSA-N 1 2 322.416 1.536 20 30 DDEDLO C#CCCCC(=O)N1CCN(C2C[NH+](C[C@H](F)CC)C2)CC1 ZINC001328116903 862705767 /nfs/dbraw/zinc/70/57/67/862705767.db2.gz QRQVWTGKLBCPOB-OAHLLOKOSA-N 1 2 309.429 1.366 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccncc2)C1 ZINC001073514861 858402455 /nfs/dbraw/zinc/40/24/55/858402455.db2.gz GDTBYMGUKZJZEI-CQSZACIVSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccncc2)C1 ZINC001073514861 858402457 /nfs/dbraw/zinc/40/24/57/858402457.db2.gz GDTBYMGUKZJZEI-CQSZACIVSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cc(C)ncn2)C1 ZINC001073575835 858454851 /nfs/dbraw/zinc/45/48/51/858454851.db2.gz PSSHFMUYQOEPRQ-ZDUSSCGKSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cc(C)ncn2)C1 ZINC001073575835 858454857 /nfs/dbraw/zinc/45/48/57/858454857.db2.gz PSSHFMUYQOEPRQ-ZDUSSCGKSA-N 1 2 324.812 1.358 20 30 DDEDLO C=CC[N@@H+]1CCCO[C@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001073603744 858477113 /nfs/dbraw/zinc/47/71/13/858477113.db2.gz NKGNZGVXLQVOJM-ZIAGYGMSSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CC[N@H+]1CCCO[C@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001073603744 858477115 /nfs/dbraw/zinc/47/71/15/858477115.db2.gz NKGNZGVXLQVOJM-ZIAGYGMSSA-N 1 2 318.421 1.223 20 30 DDEDLO CC(C)OCCCNC(=O)[C@@H](C#N)C(=O)[C@H]1CCC[N@@H+]1C(C)C ZINC001122442731 858815562 /nfs/dbraw/zinc/81/55/62/858815562.db2.gz UXEBRYMYJDZWSF-LSDHHAIUSA-N 1 2 323.437 1.499 20 30 DDEDLO CC(C)OCCCNC(=O)[C@@H](C#N)C(=O)[C@H]1CCC[N@H+]1C(C)C ZINC001122442731 858815570 /nfs/dbraw/zinc/81/55/70/858815570.db2.gz UXEBRYMYJDZWSF-LSDHHAIUSA-N 1 2 323.437 1.499 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(COCC=C)n2CC(=C)C)CC1 ZINC001122599493 858903817 /nfs/dbraw/zinc/90/38/17/858903817.db2.gz MPOWFMDHPKGAHB-UHFFFAOYSA-N 1 2 315.421 1.312 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCCC[C@@H]2C(N)=O)cc1OC ZINC001138346230 860065377 /nfs/dbraw/zinc/06/53/77/860065377.db2.gz YZLVGLNYOCJXSG-CQSZACIVSA-N 1 2 302.374 1.547 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCCC[C@@H]2C(N)=O)cc1OC ZINC001138346230 860065388 /nfs/dbraw/zinc/06/53/88/860065388.db2.gz YZLVGLNYOCJXSG-CQSZACIVSA-N 1 2 302.374 1.547 20 30 DDEDLO C=CCn1cc(C[N@@H+]2Cc3nnn(C)c3[C@H](COCC)C2)cn1 ZINC001139771977 860478209 /nfs/dbraw/zinc/47/82/09/860478209.db2.gz VXMZZPOKLZOFRQ-AWEZNQCLSA-N 1 2 316.409 1.334 20 30 DDEDLO C=CCn1cc(C[N@H+]2Cc3nnn(C)c3[C@H](COCC)C2)cn1 ZINC001139771977 860478210 /nfs/dbraw/zinc/47/82/10/860478210.db2.gz VXMZZPOKLZOFRQ-AWEZNQCLSA-N 1 2 316.409 1.334 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001140693654 860679436 /nfs/dbraw/zinc/67/94/36/860679436.db2.gz HEWREEIWLPJPJZ-GFCCVEGCSA-N 1 2 306.366 1.362 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001140693654 860679442 /nfs/dbraw/zinc/67/94/42/860679442.db2.gz HEWREEIWLPJPJZ-GFCCVEGCSA-N 1 2 306.366 1.362 20 30 DDEDLO C[C@@H](NC(=O)c1cc(C#N)c[nH]1)[C@H](C)[NH2+]Cc1cnsn1 ZINC001381369108 881603419 /nfs/dbraw/zinc/60/34/19/881603419.db2.gz PACWYMSMCAEAMZ-DTWKUNHWSA-N 1 2 304.379 1.034 20 30 DDEDLO N#CNC(=NC(=O)c1ccc2[nH+]c(N)[nH]c2c1)c1ccncc1 ZINC001156975219 863489190 /nfs/dbraw/zinc/48/91/90/863489190.db2.gz JASXKVRUHGWWLM-UHFFFAOYSA-N 1 2 305.301 1.198 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+][C@@H](C)c1nc(CCCC)no1 ZINC001153091154 863703780 /nfs/dbraw/zinc/70/37/80/863703780.db2.gz GPCIORSIPMOGHP-ZDUSSCGKSA-N 1 2 324.425 1.772 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(C2CCCC2)no1 ZINC001153135737 863731662 /nfs/dbraw/zinc/73/16/62/863731662.db2.gz ZVWYGIPHGSIRKE-LBPRGKRZSA-N 1 2 322.409 1.524 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1csc(C)n1 ZINC001153306681 863815643 /nfs/dbraw/zinc/81/56/43/863815643.db2.gz OIFHKZONCIDFHC-OLZOCXBDSA-N 1 2 323.462 1.816 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1csc(C)n1 ZINC001153306681 863815648 /nfs/dbraw/zinc/81/56/48/863815648.db2.gz OIFHKZONCIDFHC-OLZOCXBDSA-N 1 2 323.462 1.816 20 30 DDEDLO C[C@H](NC(=O)CSCC#N)C1C[NH+](CC=C(Cl)Cl)C1 ZINC001329969618 863980411 /nfs/dbraw/zinc/98/04/11/863980411.db2.gz NBJUMOKLRWOTQG-VIFPVBQESA-N 1 2 322.261 1.999 20 30 DDEDLO CCCCc1nc(C[NH2+]CCCNC(=O)C#CC(C)C)no1 ZINC001157633055 863995795 /nfs/dbraw/zinc/99/57/95/863995795.db2.gz NSIVRQLDHGNVGG-UHFFFAOYSA-N 1 2 306.410 1.668 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1nocc1C ZINC001157705508 864066068 /nfs/dbraw/zinc/06/60/68/864066068.db2.gz AVOPQYNDOCYATJ-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1nocc1C ZINC001157705508 864066084 /nfs/dbraw/zinc/06/60/84/864066084.db2.gz AVOPQYNDOCYATJ-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO Cc1nonc1C[N@H+]1CC=C(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001159587270 865412550 /nfs/dbraw/zinc/41/25/50/865412550.db2.gz LYEOVLZTVNRZQR-NSHDSACASA-N 1 2 303.366 1.176 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC=C(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001159587270 865412556 /nfs/dbraw/zinc/41/25/56/865412556.db2.gz LYEOVLZTVNRZQR-NSHDSACASA-N 1 2 303.366 1.176 20 30 DDEDLO Cc1noc(C[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001159587937 865415019 /nfs/dbraw/zinc/41/50/19/865415019.db2.gz ZSZBYBHHACOENZ-NSHDSACASA-N 1 2 303.366 1.176 20 30 DDEDLO Cc1noc(C[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001159587937 865415025 /nfs/dbraw/zinc/41/50/25/865415025.db2.gz ZSZBYBHHACOENZ-NSHDSACASA-N 1 2 303.366 1.176 20 30 DDEDLO C=CCCC[NH2+]C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001332519119 865902192 /nfs/dbraw/zinc/90/21/92/865902192.db2.gz YXBRDBVESJFOSX-NXEZZACHSA-N 1 2 300.296 1.262 20 30 DDEDLO C=CCCC[NH2+]C[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001332519119 865902204 /nfs/dbraw/zinc/90/22/04/865902204.db2.gz YXBRDBVESJFOSX-NXEZZACHSA-N 1 2 300.296 1.262 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1cc(Cl)ncc1C#N ZINC001160690801 866030065 /nfs/dbraw/zinc/03/00/65/866030065.db2.gz IFHHCULKKQQIQW-GFCCVEGCSA-N 1 2 319.752 1.536 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1[nH]ccc2ncc(C#N)c1-2 ZINC001160695390 866040849 /nfs/dbraw/zinc/04/08/49/866040849.db2.gz FESLLDFEWZFDMX-ZDUSSCGKSA-N 1 2 324.344 1.364 20 30 DDEDLO CC#CC[N@H+](C)CCN(C(=O)[C@H](C)C[NH+]1CCOCC1)C(C)C ZINC001332740265 866109034 /nfs/dbraw/zinc/10/90/34/866109034.db2.gz GKFDYBYKBLXCJZ-QGZVFWFLSA-N 1 2 323.481 1.147 20 30 DDEDLO CC#CC[N@@H+](C)CCN(C(=O)[C@H](C)C[NH+]1CCOCC1)C(C)C ZINC001332740265 866109041 /nfs/dbraw/zinc/10/90/41/866109041.db2.gz GKFDYBYKBLXCJZ-QGZVFWFLSA-N 1 2 323.481 1.147 20 30 DDEDLO CC#CC[N@@H+](C)CCN(C(=O)[C@H](C)CN1CCOCC1)C(C)C ZINC001332740265 866109051 /nfs/dbraw/zinc/10/90/51/866109051.db2.gz GKFDYBYKBLXCJZ-QGZVFWFLSA-N 1 2 323.481 1.147 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1CC[C@H]1CNC(=O)CSCC#N ZINC001323181655 866409119 /nfs/dbraw/zinc/40/91/19/866409119.db2.gz LTMDEIFLILTUMS-LBPRGKRZSA-N 1 2 322.434 1.493 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1CC[C@H]1CNC(=O)CSCC#N ZINC001323181655 866409128 /nfs/dbraw/zinc/40/91/28/866409128.db2.gz LTMDEIFLILTUMS-LBPRGKRZSA-N 1 2 322.434 1.493 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)Cc1ccccc1 ZINC001323261397 866483484 /nfs/dbraw/zinc/48/34/84/866483484.db2.gz JEARQXMVHWMFGS-HOCLYGCPSA-N 1 2 315.417 1.110 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)Cc1ccccc1 ZINC001323261397 866483502 /nfs/dbraw/zinc/48/35/02/866483502.db2.gz JEARQXMVHWMFGS-HOCLYGCPSA-N 1 2 315.417 1.110 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001320547788 866817285 /nfs/dbraw/zinc/81/72/85/866817285.db2.gz KHERMYQCIIMEHI-UHFFFAOYSA-N 1 2 302.334 1.673 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1Cc2ccc([N+](=O)[O-])cc2C1 ZINC001320547788 866817304 /nfs/dbraw/zinc/81/73/04/866817304.db2.gz KHERMYQCIIMEHI-UHFFFAOYSA-N 1 2 302.334 1.673 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@]23CCO[C@@H]2CCCC3)C1 ZINC001323953398 866972671 /nfs/dbraw/zinc/97/26/71/866972671.db2.gz BZQDPTUSYYSXOV-SJLPKXTDSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC1(C(=O)N(C)C2C[NH+](C[C@@H](O)COC)C2)CCCCC1 ZINC001324030558 867020335 /nfs/dbraw/zinc/02/03/35/867020335.db2.gz KEDFLNHKQUNBLU-MRXNPFEDSA-N 1 2 324.465 1.663 20 30 DDEDLO C#CCN(C(=O)[C@H]1C[C@H]1C)C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001324365666 867231329 /nfs/dbraw/zinc/23/13/29/867231329.db2.gz XUDOHTKOTWAIQE-PBHICJAKSA-N 1 2 314.433 1.502 20 30 DDEDLO CC1(CC(=O)N[C@]2(C)CC[N@H+](CC(=O)NCC#N)C2)CCCC1 ZINC001324608818 867402137 /nfs/dbraw/zinc/40/21/37/867402137.db2.gz RNLCQUGXNRLUSE-QGZVFWFLSA-N 1 2 320.437 1.177 20 30 DDEDLO CC1(CC(=O)N[C@]2(C)CC[N@@H+](CC(=O)NCC#N)C2)CCCC1 ZINC001324608818 867402148 /nfs/dbraw/zinc/40/21/48/867402148.db2.gz RNLCQUGXNRLUSE-QGZVFWFLSA-N 1 2 320.437 1.177 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1C[N@H+](CCF)CCC1(F)F ZINC001325049091 867718421 /nfs/dbraw/zinc/71/84/21/867718421.db2.gz ABYZUYPCVOIXSI-NWDGAFQWSA-N 1 2 306.328 1.068 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1C[N@@H+](CCF)CCC1(F)F ZINC001325049091 867718429 /nfs/dbraw/zinc/71/84/29/867718429.db2.gz ABYZUYPCVOIXSI-NWDGAFQWSA-N 1 2 306.328 1.068 20 30 DDEDLO C#CCCCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001325080881 867754446 /nfs/dbraw/zinc/75/44/46/867754446.db2.gz CZBUFXMQFWPXGO-KRWDZBQOSA-N 1 2 319.405 1.148 20 30 DDEDLO C#CCCCC(=O)NC[C@@]1(O)CC[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001325080881 867754454 /nfs/dbraw/zinc/75/44/54/867754454.db2.gz CZBUFXMQFWPXGO-KRWDZBQOSA-N 1 2 319.405 1.148 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@]1(O)CC[N@H+](Cc2nonc2C)C1 ZINC001325122678 867790411 /nfs/dbraw/zinc/79/04/11/867790411.db2.gz HHXLRNDFJBNQEH-CJNGLKHVSA-N 1 2 322.409 1.033 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@]1(O)CC[N@@H+](Cc2nonc2C)C1 ZINC001325122678 867790424 /nfs/dbraw/zinc/79/04/24/867790424.db2.gz HHXLRNDFJBNQEH-CJNGLKHVSA-N 1 2 322.409 1.033 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1ccc(Br)o1 ZINC001334919721 867907756 /nfs/dbraw/zinc/90/77/56/867907756.db2.gz XAFQRZQJSFIHAL-NSHDSACASA-N 1 2 311.179 1.756 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1ccc(Br)o1 ZINC001334919721 867907780 /nfs/dbraw/zinc/90/77/80/867907780.db2.gz XAFQRZQJSFIHAL-NSHDSACASA-N 1 2 311.179 1.756 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2csc(C(C)(C)C)n2)C1 ZINC001325293705 867921499 /nfs/dbraw/zinc/92/14/99/867921499.db2.gz KPHVSYNTFUEHQX-UHFFFAOYSA-N 1 2 321.446 1.240 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)C[N@H+](C)Cc1ccn(C(C)C)n1 ZINC001322155642 868009500 /nfs/dbraw/zinc/00/95/00/868009500.db2.gz FCFWSLSBYUQLOA-GJZGRUSLSA-N 1 2 320.437 1.439 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1ccn(C(C)C)n1 ZINC001322155642 868009516 /nfs/dbraw/zinc/00/95/16/868009516.db2.gz FCFWSLSBYUQLOA-GJZGRUSLSA-N 1 2 320.437 1.439 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001335067044 868041175 /nfs/dbraw/zinc/04/11/75/868041175.db2.gz JFLVJSVSWVDKJA-CXAGYDPISA-N 1 2 318.421 1.449 20 30 DDEDLO C#CCNc1cc(N2CCN(c3ccc(F)cc3)CC2)nc[nH+]1 ZINC001163362495 868396582 /nfs/dbraw/zinc/39/65/82/868396582.db2.gz XKADBGDPEYHWHY-UHFFFAOYSA-N 1 2 311.364 1.987 20 30 DDEDLO C#CCNc1cc(N2CCN(c3ccc(F)cc3)CC2)[nH+]cn1 ZINC001163362495 868396594 /nfs/dbraw/zinc/39/65/94/868396594.db2.gz XKADBGDPEYHWHY-UHFFFAOYSA-N 1 2 311.364 1.987 20 30 DDEDLO Cc1nc(C[NH+]2CCC(NC(=O)CSCC#N)CC2)co1 ZINC001226152106 882234680 /nfs/dbraw/zinc/23/46/80/882234680.db2.gz CADJKXYUFHRIJK-UHFFFAOYSA-N 1 2 308.407 1.320 20 30 DDEDLO CCOC(=O)c1cc(N[C@@H]2C[NH2+][C@H](C(=O)OC)C2)ccc1C#N ZINC001164312063 869116939 /nfs/dbraw/zinc/11/69/39/869116939.db2.gz LOLDNXZSWMGNDW-JSGCOSHPSA-N 1 2 317.345 1.050 20 30 DDEDLO C=CCC[C@H]1CCCN1c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC001338029323 869753153 /nfs/dbraw/zinc/75/31/53/869753153.db2.gz OXQSEFUBKKLPTH-AWEZNQCLSA-N 1 2 315.421 1.690 20 30 DDEDLO C=CCC[C@H]1CCCN1c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC001338029323 869753159 /nfs/dbraw/zinc/75/31/59/869753159.db2.gz OXQSEFUBKKLPTH-AWEZNQCLSA-N 1 2 315.421 1.690 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ncoc2C(C)C)C1 ZINC001316977792 870046061 /nfs/dbraw/zinc/04/60/61/870046061.db2.gz YCVJMWMLKKBAKH-CXAGYDPISA-N 1 2 321.421 1.816 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ncoc2C(C)C)C1 ZINC001316977792 870046067 /nfs/dbraw/zinc/04/60/67/870046067.db2.gz YCVJMWMLKKBAKH-CXAGYDPISA-N 1 2 321.421 1.816 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCC[N@H+](Cc2ncccn2)C1 ZINC001317132221 870323659 /nfs/dbraw/zinc/32/36/59/870323659.db2.gz WHLCVMCCGKERKY-HNNXBMFYSA-N 1 2 300.406 1.464 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCC[N@@H+](Cc2ncccn2)C1 ZINC001317132221 870323671 /nfs/dbraw/zinc/32/36/71/870323671.db2.gz WHLCVMCCGKERKY-HNNXBMFYSA-N 1 2 300.406 1.464 20 30 DDEDLO CC1(C)CC(C(=O)NC[C@H]2CCCC[N@@H+]2CC(=O)NCC#N)C1 ZINC001317171553 870411707 /nfs/dbraw/zinc/41/17/07/870411707.db2.gz UVLFTQWBQQGSAZ-CQSZACIVSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CC(C(=O)NC[C@H]2CCCC[N@H+]2CC(=O)NCC#N)C1 ZINC001317171553 870411714 /nfs/dbraw/zinc/41/17/14/870411714.db2.gz UVLFTQWBQQGSAZ-CQSZACIVSA-N 1 2 320.437 1.033 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC[C@H](C)CCC)n2C)CC1 ZINC001339977759 870816709 /nfs/dbraw/zinc/81/67/09/870816709.db2.gz HBTCJZAQNRXEHS-OAHLLOKOSA-N 1 2 303.454 1.939 20 30 DDEDLO C=CCN1CC[N@@H+](C)C2(CCN(Cc3ccc[nH]3)CC2)C1=O ZINC001204004920 870879116 /nfs/dbraw/zinc/87/91/16/870879116.db2.gz IZTATNHHJPSLER-UHFFFAOYSA-N 1 2 302.422 1.309 20 30 DDEDLO C=CCN1CC[N@H+](C)C2(CCN(Cc3ccc[nH]3)CC2)C1=O ZINC001204004920 870879121 /nfs/dbraw/zinc/87/91/21/870879121.db2.gz IZTATNHHJPSLER-UHFFFAOYSA-N 1 2 302.422 1.309 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2([NH2+]Cc3nccc(C)n3)CC2)cc1 ZINC001277133303 882471280 /nfs/dbraw/zinc/47/12/80/882471280.db2.gz YRFFAJZTAMSSBN-UHFFFAOYSA-N 1 2 320.396 1.819 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)C[C@H](C)C1 ZINC001340375637 871067378 /nfs/dbraw/zinc/06/73/78/871067378.db2.gz UMHKARRQGNZXKQ-XGUBFFRZSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@H](C)C[C@H](C)C1 ZINC001340375637 871067389 /nfs/dbraw/zinc/06/73/89/871067389.db2.gz UMHKARRQGNZXKQ-XGUBFFRZSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CCN1Cc1[nH]c[nH+]c1C ZINC001204594629 871171347 /nfs/dbraw/zinc/17/13/47/871171347.db2.gz YMPZJIDXRMGUGF-HNNXBMFYSA-N 1 2 316.409 1.632 20 30 DDEDLO C=CCCC(=O)NC1CC[NH+](Cc2nn(CC)nc2C)CC1 ZINC001226535022 882492014 /nfs/dbraw/zinc/49/20/14/882492014.db2.gz PQBTUYBASHSUAR-UHFFFAOYSA-N 1 2 305.426 1.653 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+]([C@@H](C)c2nnc(CC)o2)CC1 ZINC001226571744 882516867 /nfs/dbraw/zinc/51/68/67/882516867.db2.gz YMNIFBBTIVQPLA-LBPRGKRZSA-N 1 2 322.409 1.476 20 30 DDEDLO C#CCOCC[N@@H+](C)[C@H](C(=O)NC1CC1)c1ccc(F)cc1 ZINC001341256111 871581235 /nfs/dbraw/zinc/58/12/35/871581235.db2.gz GMTJVKVQMBCVJP-INIZCTEOSA-N 1 2 304.365 1.727 20 30 DDEDLO C#CCOCC[N@H+](C)[C@H](C(=O)NC1CC1)c1ccc(F)cc1 ZINC001341256111 871581249 /nfs/dbraw/zinc/58/12/49/871581249.db2.gz GMTJVKVQMBCVJP-INIZCTEOSA-N 1 2 304.365 1.727 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+](Cc2cc3n(n2)CCC3)CC1 ZINC001226599257 882531631 /nfs/dbraw/zinc/53/16/31/882531631.db2.gz RVWGTUPVIADQBJ-UHFFFAOYSA-N 1 2 318.421 1.113 20 30 DDEDLO C=CCC[N@H+]1CCC[C@@H](NC(=O)C2(S(C)(=O)=O)CCC2)C1 ZINC001317949436 871645239 /nfs/dbraw/zinc/64/52/39/871645239.db2.gz INIUDMZPIKULMU-CYBMUJFWSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCC[N@@H+]1CCC[C@@H](NC(=O)C2(S(C)(=O)=O)CCC2)C1 ZINC001317949436 871645242 /nfs/dbraw/zinc/64/52/42/871645242.db2.gz INIUDMZPIKULMU-CYBMUJFWSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc3ncccc3c2)C1 ZINC001318059385 871718105 /nfs/dbraw/zinc/71/81/05/871718105.db2.gz KWKCYACYDACLPB-UHFFFAOYSA-N 1 2 311.385 1.851 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H](CC)Oc2ccccc2C)C1 ZINC001318067445 871724484 /nfs/dbraw/zinc/72/44/84/871724484.db2.gz STLIAJKXOHNQBO-INIZCTEOSA-N 1 2 300.402 1.976 20 30 DDEDLO COCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2Cl)C[C@H]1C ZINC001205707801 871727540 /nfs/dbraw/zinc/72/75/40/871727540.db2.gz SWFKGXKZNZIZQL-CZUORRHYSA-N 1 2 320.820 1.774 20 30 DDEDLO COCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2Cl)C[C@H]1C ZINC001205707801 871727549 /nfs/dbraw/zinc/72/75/49/871727549.db2.gz SWFKGXKZNZIZQL-CZUORRHYSA-N 1 2 320.820 1.774 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC001317538250 871777175 /nfs/dbraw/zinc/77/71/75/871777175.db2.gz VPQDMSPMMSKQDK-CYBMUJFWSA-N 1 2 317.437 1.977 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC001317538250 871777196 /nfs/dbraw/zinc/77/71/96/871777196.db2.gz VPQDMSPMMSKQDK-CYBMUJFWSA-N 1 2 317.437 1.977 20 30 DDEDLO CCOC1CC(CC(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CC#CCOC)C1 ZINC001318210295 871834177 /nfs/dbraw/zinc/83/41/77/871834177.db2.gz XEDSXTUAVQUOLN-YZUHTNEWSA-N 1 2 320.433 1.127 20 30 DDEDLO CCOC1CC(CC(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CC#CCOC)C1 ZINC001318210295 871834195 /nfs/dbraw/zinc/83/41/95/871834195.db2.gz XEDSXTUAVQUOLN-YZUHTNEWSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)c1c[nH]c(C)n1)C2 ZINC001316808457 871915163 /nfs/dbraw/zinc/91/51/63/871915163.db2.gz CNMWDGLKPXDOMI-UHFFFAOYSA-N 1 2 315.402 1.096 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C(CC)(CC)CC)C1 ZINC001318364122 871959243 /nfs/dbraw/zinc/95/92/43/871959243.db2.gz YBURQVDKFGPXOH-CQSZACIVSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C(CC)(CC)CC)C1 ZINC001318364122 871959264 /nfs/dbraw/zinc/95/92/64/871959264.db2.gz YBURQVDKFGPXOH-CQSZACIVSA-N 1 2 307.438 1.143 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)CC(=C)C ZINC001342062472 871978215 /nfs/dbraw/zinc/97/82/15/871978215.db2.gz VECGVZVPWAUSKC-ZIAGYGMSSA-N 1 2 305.426 1.604 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)CC(=C)C ZINC001342062472 871978227 /nfs/dbraw/zinc/97/82/27/871978227.db2.gz VECGVZVPWAUSKC-ZIAGYGMSSA-N 1 2 305.426 1.604 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCC[N@@H+](CC)Cc1cnon1 ZINC001316904472 872299866 /nfs/dbraw/zinc/29/98/66/872299866.db2.gz RQRGIPRGGKVMIO-GJZGRUSLSA-N 1 2 322.409 1.379 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCC[N@H+](CC)Cc1cnon1 ZINC001316904472 872299886 /nfs/dbraw/zinc/29/98/86/872299886.db2.gz RQRGIPRGGKVMIO-GJZGRUSLSA-N 1 2 322.409 1.379 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@@H+]([C@@H](C)c2ncc(C)o2)C1 ZINC001316943587 872430225 /nfs/dbraw/zinc/43/02/25/872430225.db2.gz HGKSCJPBGORGFC-GJZGRUSLSA-N 1 2 319.405 1.665 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@H+]([C@@H](C)c2ncc(C)o2)C1 ZINC001316943587 872430250 /nfs/dbraw/zinc/43/02/50/872430250.db2.gz HGKSCJPBGORGFC-GJZGRUSLSA-N 1 2 319.405 1.665 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@@H+]([C@@H](C)c2ncc(C)o2)C1 ZINC001316943591 872432323 /nfs/dbraw/zinc/43/23/23/872432323.db2.gz HGKSCJPBGORGFC-LSDHHAIUSA-N 1 2 319.405 1.665 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@H+]([C@@H](C)c2ncc(C)o2)C1 ZINC001316943591 872432335 /nfs/dbraw/zinc/43/23/35/872432335.db2.gz HGKSCJPBGORGFC-LSDHHAIUSA-N 1 2 319.405 1.665 20 30 DDEDLO COCCC(=O)N[C@@H](C)C1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001381768660 882630171 /nfs/dbraw/zinc/63/01/71/882630171.db2.gz JLLUUCTUAWCGRE-LBPRGKRZSA-N 1 2 319.380 1.670 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@@H+](Cc1cccc(=O)[nH]1)CC2 ZINC001207068383 872977640 /nfs/dbraw/zinc/97/76/40/872977640.db2.gz JLLMJRIUKLKZHI-UHFFFAOYSA-N 1 2 314.389 1.782 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@H+](Cc1cccc(=O)[nH]1)CC2 ZINC001207068383 872977653 /nfs/dbraw/zinc/97/76/53/872977653.db2.gz JLLMJRIUKLKZHI-UHFFFAOYSA-N 1 2 314.389 1.782 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001381796374 882684902 /nfs/dbraw/zinc/68/49/02/882684902.db2.gz QBAOHYHORNGVDG-RYUDHWBXSA-N 1 2 313.829 1.044 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@@H]1C ZINC001345540056 873434091 /nfs/dbraw/zinc/43/40/91/873434091.db2.gz FVHJXVMWRSMFFL-OCCSQVGLSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)[C@@H]1C ZINC001345540056 873434096 /nfs/dbraw/zinc/43/40/96/873434096.db2.gz FVHJXVMWRSMFFL-OCCSQVGLSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001345674971 873473693 /nfs/dbraw/zinc/47/36/93/873473693.db2.gz KXKQORHOJSNAAM-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nccn2C)C[C@H]1C ZINC001208388289 874098438 /nfs/dbraw/zinc/09/84/38/874098438.db2.gz JHVGZXITDVHMCD-RBSFLKMASA-N 1 2 304.438 1.959 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nccn2C)C[C@H]1C ZINC001208388289 874098452 /nfs/dbraw/zinc/09/84/52/874098452.db2.gz JHVGZXITDVHMCD-RBSFLKMASA-N 1 2 304.438 1.959 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001209146330 874690633 /nfs/dbraw/zinc/69/06/33/874690633.db2.gz NPYHPHGFQSCGJA-ZDUSSCGKSA-N 1 2 306.435 1.812 20 30 DDEDLO C[C@H](C#N)C(=O)NCc1ccc(C[NH2+]Cc2csnn2)cc1 ZINC001378460813 874919838 /nfs/dbraw/zinc/91/98/38/874919838.db2.gz NOPALKFGKZSYCH-LLVKDONJSA-N 1 2 315.402 1.604 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@H]1CCC ZINC001351605189 876343938 /nfs/dbraw/zinc/34/39/38/876343938.db2.gz RXLWLLUWTRCVBY-KFWWJZLASA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@H]1CCC ZINC001351605189 876343948 /nfs/dbraw/zinc/34/39/48/876343948.db2.gz RXLWLLUWTRCVBY-KFWWJZLASA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)[C@@H](C)[C@H]1C ZINC001351604660 876344328 /nfs/dbraw/zinc/34/43/28/876344328.db2.gz OTMBHQLLNUAMQO-QRTUWBSPSA-N 1 2 319.453 1.682 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@H](C)[C@@H](C)[C@H]1C ZINC001351604660 876344339 /nfs/dbraw/zinc/34/43/39/876344339.db2.gz OTMBHQLLNUAMQO-QRTUWBSPSA-N 1 2 319.453 1.682 20 30 DDEDLO C=CCN(CCOC)c1nnnn1Cc1cc(C)[nH+]c(C)c1 ZINC001352511572 876783135 /nfs/dbraw/zinc/78/31/35/876783135.db2.gz RIYHILZDFTWRGS-UHFFFAOYSA-N 1 2 302.382 1.372 20 30 DDEDLO C[C@@H](C(=O)NC[C@H](CO)[NH2+]Cc1cc(F)ccc1C#N)C1CC1 ZINC001379366506 876929984 /nfs/dbraw/zinc/92/99/84/876929984.db2.gz AKJMCKVECYNFFK-BDJLRTHQSA-N 1 2 319.380 1.310 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C2CC2)C1 ZINC001353225705 877201152 /nfs/dbraw/zinc/20/11/52/877201152.db2.gz MTWXDRQPNMOUJL-CQSZACIVSA-N 1 2 316.405 1.320 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001353624676 877457010 /nfs/dbraw/zinc/45/70/10/877457010.db2.gz VJVSGZJJRZWRJI-MGPQQGTHSA-N 1 2 318.421 1.708 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001353624676 877457015 /nfs/dbraw/zinc/45/70/15/877457015.db2.gz VJVSGZJJRZWRJI-MGPQQGTHSA-N 1 2 318.421 1.708 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1ccnc2c1nnn2C ZINC001379721857 877828745 /nfs/dbraw/zinc/82/87/45/877828745.db2.gz GSLYXYIZJUVRJM-SNVBAGLBSA-N 1 2 322.800 1.166 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1ccnc2c1nnn2C ZINC001379721857 877828753 /nfs/dbraw/zinc/82/87/53/877828753.db2.gz GSLYXYIZJUVRJM-SNVBAGLBSA-N 1 2 322.800 1.166 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219103057 877918543 /nfs/dbraw/zinc/91/85/43/877918543.db2.gz NMQHEBGAVDAWJB-CABCVRRESA-N 1 2 305.353 1.159 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219103057 877918554 /nfs/dbraw/zinc/91/85/54/877918554.db2.gz NMQHEBGAVDAWJB-CABCVRRESA-N 1 2 305.353 1.159 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@@](C)(NC(C)=O)C(C)C ZINC001379794190 878047470 /nfs/dbraw/zinc/04/74/70/878047470.db2.gz FYAXSUFHMMYLNC-WFASDCNBSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@@](C)(NC(C)=O)C(C)C ZINC001379794190 878047492 /nfs/dbraw/zinc/04/74/92/878047492.db2.gz FYAXSUFHMMYLNC-WFASDCNBSA-N 1 2 317.861 1.726 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001287576594 912316303 /nfs/dbraw/zinc/31/63/03/912316303.db2.gz MHVFWOJGBTXJCG-CYBMUJFWSA-N 1 2 306.410 1.282 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2oc(CC)nc2C)C[C@@H]1O ZINC001219539700 878316700 /nfs/dbraw/zinc/31/67/00/878316700.db2.gz XSJSJGFJGVGRII-KGLIPLIRSA-N 1 2 319.405 1.010 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(CC)nc2C)C[C@@H]1O ZINC001219539700 878316713 /nfs/dbraw/zinc/31/67/13/878316713.db2.gz XSJSJGFJGVGRII-KGLIPLIRSA-N 1 2 319.405 1.010 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219715455 878474168 /nfs/dbraw/zinc/47/41/68/878474168.db2.gz AQKJBXBGJNBABD-CABCVRRESA-N 1 2 319.430 1.637 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219715455 878474184 /nfs/dbraw/zinc/47/41/84/878474184.db2.gz AQKJBXBGJNBABD-CABCVRRESA-N 1 2 319.430 1.637 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001355246904 878474287 /nfs/dbraw/zinc/47/42/87/878474287.db2.gz BESDIRHTGIJFHO-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CC2(C)CCCCC2)[C@@H](O)C1 ZINC001219985791 878636771 /nfs/dbraw/zinc/63/67/71/878636771.db2.gz DRLWXBHIIXUSCB-CVEARBPZSA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CC2(C)CCCCC2)[C@@H](O)C1 ZINC001219985791 878636777 /nfs/dbraw/zinc/63/67/77/878636777.db2.gz DRLWXBHIIXUSCB-CVEARBPZSA-N 1 2 322.449 1.158 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc(C)cc(F)c3)n2C)CC1 ZINC001355603466 878640937 /nfs/dbraw/zinc/64/09/37/878640937.db2.gz SDZATJJEDWDKFE-UHFFFAOYSA-N 1 2 313.380 1.685 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001355631484 878652399 /nfs/dbraw/zinc/65/23/99/878652399.db2.gz HGUIYHBNENTNGY-CYBMUJFWSA-N 1 2 320.437 1.765 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001355631484 878652405 /nfs/dbraw/zinc/65/24/05/878652405.db2.gz HGUIYHBNENTNGY-CYBMUJFWSA-N 1 2 320.437 1.765 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnc(C)cn2)C[C@@H]1O ZINC001220247410 878847014 /nfs/dbraw/zinc/84/70/14/878847014.db2.gz APQPJHIJHXSDPG-BMFZPTHFSA-N 1 2 318.421 1.364 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnc(C)cn2)C[C@@H]1O ZINC001220247410 878847023 /nfs/dbraw/zinc/84/70/23/878847023.db2.gz APQPJHIJHXSDPG-BMFZPTHFSA-N 1 2 318.421 1.364 20 30 DDEDLO C=C(C)Cn1c([C@H]2C[C@@H]2C)nnc1N(C)CC[NH+]1CCOCC1 ZINC001356331422 878997305 /nfs/dbraw/zinc/99/73/05/878997305.db2.gz WSGJPMSGISOZSG-GJZGRUSLSA-N 1 2 319.453 1.746 20 30 DDEDLO CC[C@@H](CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O)C(C)(C)C ZINC001220748750 879227013 /nfs/dbraw/zinc/22/70/13/879227013.db2.gz IQAKIIOCEUGUNK-XHSDSOJGSA-N 1 2 324.465 1.260 20 30 DDEDLO CC[C@@H](CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O)C(C)(C)C ZINC001220748750 879227030 /nfs/dbraw/zinc/22/70/30/879227030.db2.gz IQAKIIOCEUGUNK-XHSDSOJGSA-N 1 2 324.465 1.260 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)CCc1c[nH+]cn1C ZINC001356839001 879461082 /nfs/dbraw/zinc/46/10/82/879461082.db2.gz ISBCZNHVBQLPRE-GJZGRUSLSA-N 1 2 316.405 1.131 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](CC)NC(=O)Cc1[nH]cc[nH+]1 ZINC001356921175 879589496 /nfs/dbraw/zinc/58/94/96/879589496.db2.gz HUENRQBFBPQMGZ-MLGOLLRUSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](CC)NC(=O)Cc1c[nH+]cn1C ZINC001356921744 879591252 /nfs/dbraw/zinc/59/12/52/879591252.db2.gz SZSQLOQXJQFMRK-DYVFJYSZSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@](C)(NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001356959017 879628838 /nfs/dbraw/zinc/62/88/38/879628838.db2.gz JVLGZTMWIILVQP-KRWDZBQOSA-N 1 2 318.421 1.496 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3occc3C)[C@@H]2C1 ZINC001221268474 879633456 /nfs/dbraw/zinc/63/34/56/879633456.db2.gz URMAOFIKMKTSJG-HUUCEWRRSA-N 1 2 302.374 1.384 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3occc3C)[C@@H]2C1 ZINC001221268474 879633465 /nfs/dbraw/zinc/63/34/65/879633465.db2.gz URMAOFIKMKTSJG-HUUCEWRRSA-N 1 2 302.374 1.384 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NC3CCCC3)C[C@H]21 ZINC001221424538 879780643 /nfs/dbraw/zinc/78/06/43/879780643.db2.gz HVLASWLOPMZKCV-GDBMZVCRSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC3CCCC3)C[C@H]21 ZINC001221424538 879780650 /nfs/dbraw/zinc/78/06/50/879780650.db2.gz HVLASWLOPMZKCV-GDBMZVCRSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC(C)C)C[C@H]21 ZINC001221429381 879786262 /nfs/dbraw/zinc/78/62/62/879786262.db2.gz VAWHUDGCONLYMF-HUUCEWRRSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC(C)C)C[C@H]21 ZINC001221429381 879786269 /nfs/dbraw/zinc/78/62/69/879786269.db2.gz VAWHUDGCONLYMF-HUUCEWRRSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)CCC)[C@@H]2C1 ZINC001221457314 879828656 /nfs/dbraw/zinc/82/86/56/879828656.db2.gz NSILASDYXZSICR-RBSFLKMASA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)CCC)[C@@H]2C1 ZINC001221457314 879828667 /nfs/dbraw/zinc/82/86/67/879828667.db2.gz NSILASDYXZSICR-RBSFLKMASA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCN(C)c1nnc(Cc2[nH+]ccn2C)n1CCCOCC ZINC001357474961 879988490 /nfs/dbraw/zinc/98/84/90/879988490.db2.gz XSNDGEVKOSXPNN-UHFFFAOYSA-N 1 2 318.425 1.651 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC3CC3)C[C@H]21 ZINC001221839429 880114514 /nfs/dbraw/zinc/11/45/14/880114514.db2.gz RBJZXIAVCQDTOJ-UKRRQHHQSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC3CC3)C[C@H]21 ZINC001221839429 880114519 /nfs/dbraw/zinc/11/45/19/880114519.db2.gz RBJZXIAVCQDTOJ-UKRRQHHQSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@@H+](CC(N)=O)C[C@H]32)CCCCC1 ZINC001222040253 880197249 /nfs/dbraw/zinc/19/72/49/880197249.db2.gz SDVJOWDTCBQTFE-HUUCEWRRSA-N 1 2 319.449 1.531 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@H+](CC(N)=O)C[C@H]32)CCCCC1 ZINC001222040253 880197256 /nfs/dbraw/zinc/19/72/56/880197256.db2.gz SDVJOWDTCBQTFE-HUUCEWRRSA-N 1 2 319.449 1.531 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001287899363 912563598 /nfs/dbraw/zinc/56/35/98/912563598.db2.gz WWRVJSSWOXXDDJ-QWHCGFSZSA-N 1 2 318.421 1.660 20 30 DDEDLO N#Cc1nc(NC2CC[NH+]([C@H]3CCOC3=O)CC2)ccc1Cl ZINC001413880609 880567408 /nfs/dbraw/zinc/56/74/08/880567408.db2.gz DEGQVMAYHLUSEE-ZDUSSCGKSA-N 1 2 320.780 1.798 20 30 DDEDLO CCc1nnc([C@@H](C)[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC001222659357 880625562 /nfs/dbraw/zinc/62/55/62/880625562.db2.gz WDHMRFLRQLBEPI-NWDGAFQWSA-N 1 2 318.425 1.416 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CC[N@H+]1Cc1cccc(OC)n1 ZINC001276833137 880661855 /nfs/dbraw/zinc/66/18/55/880661855.db2.gz CBAJDVFVFFQGGX-RHSMWYFYSA-N 1 2 319.405 1.108 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(OC)n1 ZINC001276833137 880661867 /nfs/dbraw/zinc/66/18/67/880661867.db2.gz CBAJDVFVFFQGGX-RHSMWYFYSA-N 1 2 319.405 1.108 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1CC[NH+](Cc2cnns2)CC1 ZINC001223034051 880794799 /nfs/dbraw/zinc/79/47/99/880794799.db2.gz OQTCQLOSXBWYBJ-OAHLLOKOSA-N 1 2 324.450 1.193 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H](C)N(C(=O)CCn2cc[nH+]c2)C1 ZINC001287944318 912612910 /nfs/dbraw/zinc/61/29/10/912612910.db2.gz UHFQILOTIBGQBR-GJZGRUSLSA-N 1 2 316.405 1.182 20 30 DDEDLO Cc1ccccc1C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)C#N)CO2 ZINC001381011582 880854151 /nfs/dbraw/zinc/85/41/51/880854151.db2.gz ZALSZHCTJYDIQR-GDBMZVCRSA-N 1 2 313.401 1.614 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C(C)(C)C(F)F ZINC001276922772 881109337 /nfs/dbraw/zinc/10/93/37/881109337.db2.gz ACCWDSHQNHPSAA-QWRGUYRKSA-N 1 2 317.380 1.159 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C(C)(C)C(F)F ZINC001276922772 881109340 /nfs/dbraw/zinc/10/93/40/881109340.db2.gz ACCWDSHQNHPSAA-QWRGUYRKSA-N 1 2 317.380 1.159 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@H](CC)Oc1cccc(C)c1 ZINC001276938661 881184478 /nfs/dbraw/zinc/18/44/78/881184478.db2.gz AQSXHYRDDGZBEM-RDJZCZTQSA-N 1 2 300.402 1.976 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H](CC)Oc1cccc(C)c1 ZINC001276938661 881184493 /nfs/dbraw/zinc/18/44/93/881184493.db2.gz AQSXHYRDDGZBEM-RDJZCZTQSA-N 1 2 300.402 1.976 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224024466 881184740 /nfs/dbraw/zinc/18/47/40/881184740.db2.gz LYPDIUIBKVFRPU-UHFFFAOYSA-N 1 2 319.453 1.746 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001288004646 912658457 /nfs/dbraw/zinc/65/84/57/912658457.db2.gz CMDATAKBJICAKZ-KBPBESRZSA-N 1 2 316.405 1.107 20 30 DDEDLO C#CCC1(C(=O)NC2CC[NH+](Cc3ccn(C)n3)CC2)CCC1 ZINC001227754940 883159383 /nfs/dbraw/zinc/15/93/83/883159383.db2.gz UTAMBVXXSSYOMP-UHFFFAOYSA-N 1 2 314.433 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC[NH+](Cc2nn(CC)nc2C)CC1 ZINC001228631108 883581434 /nfs/dbraw/zinc/58/14/34/883581434.db2.gz CWHQERRNAFCCLX-UHFFFAOYSA-N 1 2 319.453 1.899 20 30 DDEDLO N#Cc1sccc1C(=O)NC1CC[NH+]([C@@H]2CCOC2=O)CC1 ZINC001362345506 883613289 /nfs/dbraw/zinc/61/32/89/883613289.db2.gz DNROVBXHPWHLIQ-GFCCVEGCSA-N 1 2 319.386 1.129 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(C#N)ccc1C ZINC001362487544 883919874 /nfs/dbraw/zinc/91/98/74/883919874.db2.gz ZMEPGDPQCHQZQV-AWEZNQCLSA-N 1 2 312.329 1.104 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(C#N)ccc1C ZINC001362487544 883919886 /nfs/dbraw/zinc/91/98/86/883919886.db2.gz ZMEPGDPQCHQZQV-AWEZNQCLSA-N 1 2 312.329 1.104 20 30 DDEDLO C=CCCCNC(=S)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)C ZINC001277402729 884076205 /nfs/dbraw/zinc/07/62/05/884076205.db2.gz QLQNYVIRLLLPPB-OKILXGFUSA-N 1 2 324.494 1.064 20 30 DDEDLO C=CCCCNC(=S)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(C)C ZINC001277402729 884076221 /nfs/dbraw/zinc/07/62/21/884076221.db2.gz QLQNYVIRLLLPPB-OKILXGFUSA-N 1 2 324.494 1.064 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]3C[C@]32C(=O)NCc2c[nH]cn2)c1 ZINC001277477903 885121456 /nfs/dbraw/zinc/12/14/56/885121456.db2.gz DKHPIHZZMMMROW-MAUKXSAKSA-N 1 2 321.384 1.562 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]3C[C@]32C(=O)NCc2c[nH]cn2)c1 ZINC001277477903 885121465 /nfs/dbraw/zinc/12/14/65/885121465.db2.gz DKHPIHZZMMMROW-MAUKXSAKSA-N 1 2 321.384 1.562 20 30 DDEDLO CO[C@H](C)CC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231150471 885294677 /nfs/dbraw/zinc/29/46/77/885294677.db2.gz QBPXGCPKDQYZIF-SJLPKXTDSA-N 1 2 314.429 1.996 20 30 DDEDLO CO[C@H](C)CC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231150471 885294691 /nfs/dbraw/zinc/29/46/91/885294691.db2.gz QBPXGCPKDQYZIF-SJLPKXTDSA-N 1 2 314.429 1.996 20 30 DDEDLO COC[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231215011 885377716 /nfs/dbraw/zinc/37/77/16/885377716.db2.gz DSYBPFHLBZPNAM-FUHWJXTLSA-N 1 2 314.429 1.853 20 30 DDEDLO COC[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231215011 885377739 /nfs/dbraw/zinc/37/77/39/885377739.db2.gz DSYBPFHLBZPNAM-FUHWJXTLSA-N 1 2 314.429 1.853 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)ncn1 ZINC001231239931 885418554 /nfs/dbraw/zinc/41/85/54/885418554.db2.gz GTNKSNRRHGKPMF-HNNXBMFYSA-N 1 2 304.394 1.020 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)ncn1 ZINC001231239931 885418558 /nfs/dbraw/zinc/41/85/58/885418558.db2.gz GTNKSNRRHGKPMF-HNNXBMFYSA-N 1 2 304.394 1.020 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C\C(C)(C)C ZINC001231254175 885437479 /nfs/dbraw/zinc/43/74/79/885437479.db2.gz DRGCCINTCVMFTH-RLKNZVFVSA-N 1 2 319.449 1.259 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C\C(C)(C)C ZINC001231254175 885437483 /nfs/dbraw/zinc/43/74/83/885437483.db2.gz DRGCCINTCVMFTH-RLKNZVFVSA-N 1 2 319.449 1.259 20 30 DDEDLO COC[C@H](C)C(=O)N(C)CC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001374472419 912982294 /nfs/dbraw/zinc/98/22/94/912982294.db2.gz SWUZCWREVIEKCN-ZDUSSCGKSA-N 1 2 321.396 1.870 20 30 DDEDLO COC[C@H](C)C(=O)N(C)CC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001374472419 912982308 /nfs/dbraw/zinc/98/23/08/912982308.db2.gz SWUZCWREVIEKCN-ZDUSSCGKSA-N 1 2 321.396 1.870 20 30 DDEDLO C#CC[NH+]1CCN(Cc2c(Cl)n(C)nc2C(F)F)CC1 ZINC001232055513 886057768 /nfs/dbraw/zinc/05/77/68/886057768.db2.gz CFJXXQLYBTWGRN-UHFFFAOYSA-N 1 2 302.756 1.762 20 30 DDEDLO CCCn1c[nH+]cc1CN1CCN(c2ccc(C#N)cn2)CC1 ZINC001363428081 886311169 /nfs/dbraw/zinc/31/11/69/886311169.db2.gz MKIOGUUMAFWSHS-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO N#Cc1cc[nH]c1C[N@@H+]1C[C@@H]2COC[C@]2(COc2ccccn2)C1 ZINC001233043376 886750749 /nfs/dbraw/zinc/75/07/49/886750749.db2.gz CUPIZRLXNZKKCP-QAPCUYQASA-N 1 2 324.384 1.809 20 30 DDEDLO N#Cc1cc[nH]c1C[N@H+]1C[C@@H]2COC[C@]2(COc2ccccn2)C1 ZINC001233043376 886750762 /nfs/dbraw/zinc/75/07/62/886750762.db2.gz CUPIZRLXNZKKCP-QAPCUYQASA-N 1 2 324.384 1.809 20 30 DDEDLO CCCNC(=O)COC1CC[NH+](Cc2[nH]ccc2C#N)CC1 ZINC001233048351 886758083 /nfs/dbraw/zinc/75/80/83/886758083.db2.gz CKBCTYWJAYCHKI-UHFFFAOYSA-N 1 2 304.394 1.394 20 30 DDEDLO C=CCOCc1nn(C)c2c1CN(Cc1cccc(N)[nH+]1)CC2 ZINC001233370388 886952751 /nfs/dbraw/zinc/95/27/51/886952751.db2.gz ZGTPWDRFRVMZMU-UHFFFAOYSA-N 1 2 313.405 1.658 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@@H+](Cc1cccc(N)n1)CC2 ZINC001233370388 886952770 /nfs/dbraw/zinc/95/27/70/886952770.db2.gz ZGTPWDRFRVMZMU-UHFFFAOYSA-N 1 2 313.405 1.658 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@H+](Cc1cccc(N)n1)CC2 ZINC001233370388 886952790 /nfs/dbraw/zinc/95/27/90/886952790.db2.gz ZGTPWDRFRVMZMU-UHFFFAOYSA-N 1 2 313.405 1.658 20 30 DDEDLO CC(C)(C)OC(=O)N1CCN(Cc2cccc(N)[nH+]2)C[C@H]1C#N ZINC001233372111 886958218 /nfs/dbraw/zinc/95/82/18/886958218.db2.gz YJBOUMYNUGZOBR-CYBMUJFWSA-N 1 2 317.393 1.609 20 30 DDEDLO COC[C@H]1C[N@@H+](Cc2ccc(C#N)cc2O)Cc2ncn(C)c21 ZINC001233384909 886969861 /nfs/dbraw/zinc/96/98/61/886969861.db2.gz MXRDMIKWUFXWTP-CQSZACIVSA-N 1 2 312.373 1.743 20 30 DDEDLO Cc1ccnc(NC2CC[NH+]([C@@H]3CCOC3=O)CC2)c1C#N ZINC001363723737 887073308 /nfs/dbraw/zinc/07/33/08/887073308.db2.gz LOYCNFQUMHEOMV-CQSZACIVSA-N 1 2 300.362 1.454 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178172 887717162 /nfs/dbraw/zinc/71/71/62/887717162.db2.gz JFFYONXPZZMDAR-HNNXBMFYSA-N 1 2 315.417 1.992 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178172 887717175 /nfs/dbraw/zinc/71/71/75/887717175.db2.gz JFFYONXPZZMDAR-HNNXBMFYSA-N 1 2 315.417 1.992 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC(=O)N1CCCC1)C(=O)C#CC(C)(C)C ZINC001234196937 887739747 /nfs/dbraw/zinc/73/97/47/887739747.db2.gz WRXYPYYBXYIHCS-HNNXBMFYSA-N 1 2 319.449 1.191 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC(=O)N1CCCC1)C(=O)C#CC(C)(C)C ZINC001234196937 887739756 /nfs/dbraw/zinc/73/97/56/887739756.db2.gz WRXYPYYBXYIHCS-HNNXBMFYSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001234246615 887788269 /nfs/dbraw/zinc/78/82/69/887788269.db2.gz RNCQXUMJPIKBOQ-HNNXBMFYSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001234246615 887788281 /nfs/dbraw/zinc/78/82/81/887788281.db2.gz RNCQXUMJPIKBOQ-HNNXBMFYSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(COC)no1 ZINC001277845687 887847810 /nfs/dbraw/zinc/84/78/10/887847810.db2.gz MVPPWYOTFDSJJA-CYBMUJFWSA-N 1 2 320.393 1.100 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)C1(C(F)(F)F)CCOCC1 ZINC001234414937 887948500 /nfs/dbraw/zinc/94/85/00/887948500.db2.gz QIZXUGJBKGUYKU-LBPRGKRZSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C1(C(F)(F)F)CCOCC1 ZINC001234414937 887948517 /nfs/dbraw/zinc/94/85/17/887948517.db2.gz QIZXUGJBKGUYKU-LBPRGKRZSA-N 1 2 318.339 1.511 20 30 DDEDLO CCn1ccnc1CN1CCO[C@@]2(CCC[N@H+](CCC#N)C2)C1 ZINC001277939403 888583955 /nfs/dbraw/zinc/58/39/55/888583955.db2.gz QRGBSOZCIVXILQ-QGZVFWFLSA-N 1 2 317.437 1.483 20 30 DDEDLO CCn1ccnc1CN1CCO[C@@]2(CCC[N@@H+](CCC#N)C2)C1 ZINC001277939403 888583963 /nfs/dbraw/zinc/58/39/63/888583963.db2.gz QRGBSOZCIVXILQ-QGZVFWFLSA-N 1 2 317.437 1.483 20 30 DDEDLO Cc1ncsc1CN1C[C@@H]2C[N@@H+](CCCC#N)C[C@H](C1)O2 ZINC001277943508 888628616 /nfs/dbraw/zinc/62/86/16/888628616.db2.gz XHENXPVQJQMQES-OKILXGFUSA-N 1 2 306.435 1.640 20 30 DDEDLO Cc1ncsc1CN1C[C@@H]2C[N@H+](CCCC#N)C[C@H](C1)O2 ZINC001277943508 888628621 /nfs/dbraw/zinc/62/86/21/888628621.db2.gz XHENXPVQJQMQES-OKILXGFUSA-N 1 2 306.435 1.640 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nc(CCCC)no1 ZINC001235697622 888891036 /nfs/dbraw/zinc/89/10/36/888891036.db2.gz BFOYEQNEJDNFBL-UHFFFAOYSA-N 1 2 324.425 1.553 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nc(CCCC)no1 ZINC001235697622 888891042 /nfs/dbraw/zinc/89/10/42/888891042.db2.gz BFOYEQNEJDNFBL-UHFFFAOYSA-N 1 2 324.425 1.553 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]1CN(C)C(=O)Cn1cc[nH+]c1 ZINC001289979436 913309415 /nfs/dbraw/zinc/30/94/15/913309415.db2.gz XIQFMZXKWIQYDH-CQSZACIVSA-N 1 2 318.421 1.545 20 30 DDEDLO CS(=O)(=O)c1ccc(F)c(C[NH2+]Cc2cccc(C#N)n2)c1 ZINC001364667470 889158711 /nfs/dbraw/zinc/15/87/11/889158711.db2.gz CYFQRBDABHVBDP-UHFFFAOYSA-N 1 2 319.361 1.786 20 30 DDEDLO C#CCN(C(=O)CCOC)C1CC[NH+](Cc2cscn2)CC1 ZINC001278107562 889675474 /nfs/dbraw/zinc/67/54/74/889675474.db2.gz UWYMIUVZXDPJRH-UHFFFAOYSA-N 1 2 321.446 1.606 20 30 DDEDLO N#CCC1CN(C(=O)[C@]23C[C@H]2CC[N@@H+]3Cc2ccccc2C#N)C1 ZINC001278239717 890240861 /nfs/dbraw/zinc/24/08/61/890240861.db2.gz WLBZUZYRBOTMJI-MJGOQNOKSA-N 1 2 320.396 1.895 20 30 DDEDLO N#CCC1CN(C(=O)[C@]23C[C@H]2CC[N@H+]3Cc2ccccc2C#N)C1 ZINC001278239717 890240879 /nfs/dbraw/zinc/24/08/79/890240879.db2.gz WLBZUZYRBOTMJI-MJGOQNOKSA-N 1 2 320.396 1.895 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](C)CCN1C(=S)Nc1ccc(C#N)cc1 ZINC001245915877 892268368 /nfs/dbraw/zinc/26/83/68/892268368.db2.gz SCZLHAOCIKKBHG-CYBMUJFWSA-N 1 2 318.402 1.044 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](C)CCN1C(=S)Nc1ccc(C#N)cc1 ZINC001245915877 892268384 /nfs/dbraw/zinc/26/83/84/892268384.db2.gz SCZLHAOCIKKBHG-CYBMUJFWSA-N 1 2 318.402 1.044 20 30 DDEDLO C=CCCC(=O)N1CCN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC001292389707 913647018 /nfs/dbraw/zinc/64/70/18/913647018.db2.gz LYGCVXXSQZTMLP-ZDUSSCGKSA-N 1 2 304.394 1.225 20 30 DDEDLO C=CCCC(=O)N1CCN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC001292389707 913647033 /nfs/dbraw/zinc/64/70/33/913647033.db2.gz LYGCVXXSQZTMLP-ZDUSSCGKSA-N 1 2 304.394 1.225 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCN(C(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001292418580 913672203 /nfs/dbraw/zinc/67/22/03/913672203.db2.gz LWCRVMPJSHPBSU-CYBMUJFWSA-N 1 2 318.421 1.534 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1sc(N(C)C)nc1C ZINC001366569942 894087333 /nfs/dbraw/zinc/08/73/33/894087333.db2.gz HQHIXOYXUYLEDX-UHFFFAOYSA-N 1 2 316.858 1.932 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1sc(N(C)C)nc1C ZINC001366569942 894087350 /nfs/dbraw/zinc/08/73/50/894087350.db2.gz HQHIXOYXUYLEDX-UHFFFAOYSA-N 1 2 316.858 1.932 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c1F ZINC001249687883 894106634 /nfs/dbraw/zinc/10/66/34/894106634.db2.gz RAKSJSIPCYJEIN-UHFFFAOYSA-N 1 2 300.293 1.457 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c1F ZINC001249687883 894106641 /nfs/dbraw/zinc/10/66/41/894106641.db2.gz RAKSJSIPCYJEIN-UHFFFAOYSA-N 1 2 300.293 1.457 20 30 DDEDLO C=CCOC[C@H](O)CNc1cc[nH+]c2c(C(=O)OC)cccc12 ZINC001252459444 895169591 /nfs/dbraw/zinc/16/95/91/895169591.db2.gz YWNLMEXFUXVGLH-GFCCVEGCSA-N 1 2 316.357 1.997 20 30 DDEDLO C=CCOC[C@@H](O)C[N@@H+]1CCN2C(=O)c3ccccc3[C@H]2C1 ZINC001252461176 895170035 /nfs/dbraw/zinc/17/00/35/895170035.db2.gz MZWRLPUKMJIAQP-XJKSGUPXSA-N 1 2 302.374 1.063 20 30 DDEDLO C=CCOC[C@@H](O)C[N@H+]1CCN2C(=O)c3ccccc3[C@H]2C1 ZINC001252461176 895170048 /nfs/dbraw/zinc/17/00/48/895170048.db2.gz MZWRLPUKMJIAQP-XJKSGUPXSA-N 1 2 302.374 1.063 20 30 DDEDLO C=CCOC[C@H](O)C[N@@H+]1CCc2[nH]nc(C(F)(F)F)c2C1 ZINC001252471285 895186869 /nfs/dbraw/zinc/18/68/69/895186869.db2.gz VSLLHHUAWVYMJN-SECBINFHSA-N 1 2 305.300 1.350 20 30 DDEDLO C=CCOC[C@H](O)C[N@H+]1CCc2[nH]nc(C(F)(F)F)c2C1 ZINC001252471285 895186876 /nfs/dbraw/zinc/18/68/76/895186876.db2.gz VSLLHHUAWVYMJN-SECBINFHSA-N 1 2 305.300 1.350 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1C[C@@](C)(O)C=C ZINC001252547164 895258715 /nfs/dbraw/zinc/25/87/15/895258715.db2.gz DSCKTSHRHJTTHG-ZBFHGGJFSA-N 1 2 306.410 1.337 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1C[C@@](C)(O)C=C ZINC001252547164 895258730 /nfs/dbraw/zinc/25/87/30/895258730.db2.gz DSCKTSHRHJTTHG-ZBFHGGJFSA-N 1 2 306.410 1.337 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](C[C@@H](O)COC(C)(C)C)CC1 ZINC001253458760 895859149 /nfs/dbraw/zinc/85/91/49/895859149.db2.gz BXPXHIBZVGAHKJ-CYBMUJFWSA-N 1 2 300.399 1.103 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@H+](Cc2csnn2)CC(C)(C)C1 ZINC001388833565 896011741 /nfs/dbraw/zinc/01/17/41/896011741.db2.gz DJIMKRHNAPPPNQ-RYUDHWBXSA-N 1 2 321.450 1.805 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@@H+](Cc2csnn2)CC(C)(C)C1 ZINC001388833565 896011753 /nfs/dbraw/zinc/01/17/53/896011753.db2.gz DJIMKRHNAPPPNQ-RYUDHWBXSA-N 1 2 321.450 1.805 20 30 DDEDLO C=CCCC(=O)NC[C@]1(O)CC[N@H+](Cc2coc(C3CC3)n2)C1 ZINC001278766285 896288644 /nfs/dbraw/zinc/28/86/44/896288644.db2.gz IQHUANDJISYBAV-QGZVFWFLSA-N 1 2 319.405 1.571 20 30 DDEDLO C=CCCC(=O)NC[C@]1(O)CC[N@@H+](Cc2coc(C3CC3)n2)C1 ZINC001278766285 896288656 /nfs/dbraw/zinc/28/86/56/896288656.db2.gz IQHUANDJISYBAV-QGZVFWFLSA-N 1 2 319.405 1.571 20 30 DDEDLO CCc1nc(C[NH2+]C2(CNC(=O)[C@H](C)C#N)CCCC2)no1 ZINC001367317147 896428024 /nfs/dbraw/zinc/42/80/24/896428024.db2.gz SLCXSKVRSOURIU-LLVKDONJSA-N 1 2 305.382 1.310 20 30 DDEDLO CC[C@@H](CC#N)[N@@H+]1CCC[C@]2(CCCCN2S(C)(=O)=O)C1 ZINC001255169176 896765313 /nfs/dbraw/zinc/76/53/13/896765313.db2.gz AUCPJFHKSIAPRN-LSDHHAIUSA-N 1 2 313.467 1.959 20 30 DDEDLO CC[C@@H](CC#N)[N@H+]1CCC[C@]2(CCCCN2S(C)(=O)=O)C1 ZINC001255169176 896765325 /nfs/dbraw/zinc/76/53/25/896765325.db2.gz AUCPJFHKSIAPRN-LSDHHAIUSA-N 1 2 313.467 1.959 20 30 DDEDLO CC[C@H](CC#N)[N@@H+]1C[C@H](NC(=O)OC(C)(C)C)C2(COC2)C1 ZINC001255177522 896771152 /nfs/dbraw/zinc/77/11/52/896771152.db2.gz UBTMLHSBTBGFTQ-OLZOCXBDSA-N 1 2 309.410 1.904 20 30 DDEDLO CC[C@H](CC#N)[N@H+]1C[C@H](NC(=O)OC(C)(C)C)C2(COC2)C1 ZINC001255177522 896771160 /nfs/dbraw/zinc/77/11/60/896771160.db2.gz UBTMLHSBTBGFTQ-OLZOCXBDSA-N 1 2 309.410 1.904 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H]1COc2ccccc2O1 ZINC001367553592 897147437 /nfs/dbraw/zinc/14/74/37/897147437.db2.gz QXCJUGQRFBPIMS-OAHLLOKOSA-N 1 2 324.808 1.969 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H]1COc2ccccc2O1 ZINC001367553592 897147443 /nfs/dbraw/zinc/14/74/43/897147443.db2.gz QXCJUGQRFBPIMS-OAHLLOKOSA-N 1 2 324.808 1.969 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001293029655 914175640 /nfs/dbraw/zinc/17/56/40/914175640.db2.gz CCGDPCCOINEMEQ-LBPRGKRZSA-N 1 2 318.421 1.664 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C)C[N@H+](C)CC(=O)Nc1ccccc1F ZINC001367792486 897813806 /nfs/dbraw/zinc/81/38/06/897813806.db2.gz XVACTKZKJHERMV-VXGBXAGGSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C)C[N@@H+](C)CC(=O)Nc1ccccc1F ZINC001367792486 897813809 /nfs/dbraw/zinc/81/38/09/897813809.db2.gz XVACTKZKJHERMV-VXGBXAGGSA-N 1 2 320.368 1.360 20 30 DDEDLO CC(C)n1c[nH+]cc1CNS(=O)(=O)c1ccc(C#N)cc1 ZINC001258174802 898093157 /nfs/dbraw/zinc/09/31/57/898093157.db2.gz SYCNBBXVENJNGP-UHFFFAOYSA-N 1 2 304.375 1.814 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N2CC([NH+]3CCCC3)C2)ccc1F ZINC001259415533 898657831 /nfs/dbraw/zinc/65/78/31/898657831.db2.gz AVLNIFVHPQYHBE-UHFFFAOYSA-N 1 2 309.366 1.166 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC(C)(C)C ZINC001390112745 898711009 /nfs/dbraw/zinc/71/10/09/898711009.db2.gz QVQPWDZTOIOUQP-KBPBESRZSA-N 1 2 322.453 1.279 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC(C)(C)C ZINC001390112745 898711018 /nfs/dbraw/zinc/71/10/18/898711018.db2.gz QVQPWDZTOIOUQP-KBPBESRZSA-N 1 2 322.453 1.279 20 30 DDEDLO C=CCS(=O)(=O)N1CC[NH+](C[C@@H](OC)c2ccccc2)CC1 ZINC001259928417 898944017 /nfs/dbraw/zinc/94/40/17/898944017.db2.gz VTARESZEJDKYDU-MRXNPFEDSA-N 1 2 324.446 1.508 20 30 DDEDLO C#CC1(O)CCN(C(=O)NC[C@H]2c3ccccc3C[N@H+]2C)CC1 ZINC001263742602 900691301 /nfs/dbraw/zinc/69/13/01/900691301.db2.gz XNRKJLFCALBCQA-INIZCTEOSA-N 1 2 313.401 1.343 20 30 DDEDLO C#CC1(O)CCN(C(=O)NC[C@H]2c3ccccc3C[N@@H+]2C)CC1 ZINC001263742602 900691307 /nfs/dbraw/zinc/69/13/07/900691307.db2.gz XNRKJLFCALBCQA-INIZCTEOSA-N 1 2 313.401 1.343 20 30 DDEDLO CC1(C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)[C@H]2CCCN(CC#N)[C@H]21 ZINC001264030345 900882990 /nfs/dbraw/zinc/88/29/90/900882990.db2.gz QTRPTEKYMMCYEA-FVQBIDKESA-N 1 2 315.421 1.471 20 30 DDEDLO CC1(C)[C@H](NC(=O)CCc2c[nH+]c[nH]2)[C@H]2CCCN(CC#N)[C@H]21 ZINC001264030345 900882998 /nfs/dbraw/zinc/88/29/98/900882998.db2.gz QTRPTEKYMMCYEA-FVQBIDKESA-N 1 2 315.421 1.471 20 30 DDEDLO Cc1cnc(C[N@@H+](C)CCOCCN(C)C(=O)[C@@H](C)C#N)s1 ZINC001264104639 900934191 /nfs/dbraw/zinc/93/41/91/900934191.db2.gz HYVAQYCRJSGBIN-LBPRGKRZSA-N 1 2 324.450 1.518 20 30 DDEDLO Cc1cnc(C[N@H+](C)CCOCCN(C)C(=O)[C@@H](C)C#N)s1 ZINC001264104639 900934196 /nfs/dbraw/zinc/93/41/96/900934196.db2.gz HYVAQYCRJSGBIN-LBPRGKRZSA-N 1 2 324.450 1.518 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2snnc2C)[C@@H]1C ZINC001264139312 900971933 /nfs/dbraw/zinc/97/19/33/900971933.db2.gz KAYBMCRKNRHPTG-XUJVJEKNSA-N 1 2 324.450 1.253 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2snnc2C)[C@@H]1C ZINC001264139312 900971938 /nfs/dbraw/zinc/97/19/38/900971938.db2.gz KAYBMCRKNRHPTG-XUJVJEKNSA-N 1 2 324.450 1.253 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)C)[C@@H]1C ZINC001264162375 900987725 /nfs/dbraw/zinc/98/77/25/900987725.db2.gz RQITXRCFQQLRHX-CABCVRRESA-N 1 2 323.481 1.940 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)C)[C@@H]1C ZINC001264162375 900987730 /nfs/dbraw/zinc/98/77/30/900987730.db2.gz RQITXRCFQQLRHX-CABCVRRESA-N 1 2 323.481 1.940 20 30 DDEDLO CC1(C)[C@H](NC(=O)CCn2cc[nH+]c2)[C@@H]2CCCN(CC#N)[C@H]21 ZINC001264585628 901197732 /nfs/dbraw/zinc/19/77/32/901197732.db2.gz DTTIJODYPAEIHZ-NUEKZKHPSA-N 1 2 315.421 1.402 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CCC)C(=O)c2cn(C)nn2)C1 ZINC001391283052 901384654 /nfs/dbraw/zinc/38/46/54/901384654.db2.gz QZYJRLGZMFCSIJ-LBPRGKRZSA-N 1 2 311.817 1.494 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CCC)C(=O)c2cn(C)nn2)C1 ZINC001391283052 901384663 /nfs/dbraw/zinc/38/46/63/901384663.db2.gz QZYJRLGZMFCSIJ-LBPRGKRZSA-N 1 2 311.817 1.494 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CC[N@H+](CC(=O)NCC2CC2)C1 ZINC001265301091 901850793 /nfs/dbraw/zinc/85/07/93/901850793.db2.gz CZAZAPVMQAHLGS-PBHICJAKSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001265301091 901850804 /nfs/dbraw/zinc/85/08/04/901850804.db2.gz CZAZAPVMQAHLGS-PBHICJAKSA-N 1 2 307.438 1.305 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@H]1CC[N@H+](CC(=O)Nc2ccccc2)C1 ZINC001391607155 902129605 /nfs/dbraw/zinc/12/96/05/902129605.db2.gz CCRYXESKGKBCHK-ZFWWWQNUSA-N 1 2 314.389 1.317 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@H]1CC[N@@H+](CC(=O)Nc2ccccc2)C1 ZINC001391607155 902129613 /nfs/dbraw/zinc/12/96/13/902129613.db2.gz CCRYXESKGKBCHK-ZFWWWQNUSA-N 1 2 314.389 1.317 20 30 DDEDLO CCOCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001369938722 902241458 /nfs/dbraw/zinc/24/14/58/902241458.db2.gz INKSOEQBUOUTII-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001369938722 902241469 /nfs/dbraw/zinc/24/14/69/902241469.db2.gz INKSOEQBUOUTII-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2ccnc(C)n2)C1 ZINC001370003084 902366493 /nfs/dbraw/zinc/36/64/93/902366493.db2.gz AZWPAWMVEZESEX-CQSZACIVSA-N 1 2 308.813 1.661 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2ccnc(C)n2)C1 ZINC001370003084 902366507 /nfs/dbraw/zinc/36/65/07/902366507.db2.gz AZWPAWMVEZESEX-CQSZACIVSA-N 1 2 308.813 1.661 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@@H+](CC)[C@@H](C)c1nnnn1C ZINC001266069829 902880286 /nfs/dbraw/zinc/88/02/86/902880286.db2.gz CCIJVIZHYVSKAB-LBPRGKRZSA-N 1 2 308.430 1.312 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@H+](CC)[C@@H](C)c1nnnn1C ZINC001266069829 902880299 /nfs/dbraw/zinc/88/02/99/902880299.db2.gz CCIJVIZHYVSKAB-LBPRGKRZSA-N 1 2 308.430 1.312 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1CCC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001266218230 903132033 /nfs/dbraw/zinc/13/20/33/903132033.db2.gz NEBDSNDAFOWNPP-INIZCTEOSA-N 1 2 317.433 1.039 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1CCC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001266218230 903132039 /nfs/dbraw/zinc/13/20/39/903132039.db2.gz NEBDSNDAFOWNPP-INIZCTEOSA-N 1 2 317.433 1.039 20 30 DDEDLO CCCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCCO1 ZINC001280396558 903631115 /nfs/dbraw/zinc/63/11/15/903631115.db2.gz GVYWTIWQJNJUFK-CVEARBPZSA-N 1 2 312.454 1.953 20 30 DDEDLO CCCCCCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCCO1 ZINC001280396558 903631129 /nfs/dbraw/zinc/63/11/29/903631129.db2.gz GVYWTIWQJNJUFK-CVEARBPZSA-N 1 2 312.454 1.953 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H](C)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001280487257 903729954 /nfs/dbraw/zinc/72/99/54/903729954.db2.gz MIMHOPPBNUHCNY-KBPBESRZSA-N 1 2 318.421 1.211 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H](C)CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001280487257 903729963 /nfs/dbraw/zinc/72/99/63/903729963.db2.gz MIMHOPPBNUHCNY-KBPBESRZSA-N 1 2 318.421 1.211 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)CCn1ccnn1 ZINC001370852938 903854309 /nfs/dbraw/zinc/85/43/09/903854309.db2.gz AMKAZFCGKQYLHK-CYBMUJFWSA-N 1 2 311.817 1.391 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)CCn1ccnn1 ZINC001370852938 903854319 /nfs/dbraw/zinc/85/43/19/903854319.db2.gz AMKAZFCGKQYLHK-CYBMUJFWSA-N 1 2 311.817 1.391 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)CNC(=O)c1ccccc1 ZINC001392321720 903891550 /nfs/dbraw/zinc/89/15/50/903891550.db2.gz ICMMXQONNOKAKQ-UHFFFAOYSA-N 1 2 323.824 1.559 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)CNC(=O)c1ccccc1 ZINC001392321720 903891556 /nfs/dbraw/zinc/89/15/56/903891556.db2.gz ICMMXQONNOKAKQ-UHFFFAOYSA-N 1 2 323.824 1.559 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1COC2(C[NH+](C[C@@H](C)O)C2)C1 ZINC001280946357 904226555 /nfs/dbraw/zinc/22/65/55/904226555.db2.gz ZYSNMJZLAMVWGE-HIFRSBDPSA-N 1 2 308.422 1.095 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001281149008 904477449 /nfs/dbraw/zinc/47/74/49/904477449.db2.gz MPKMNGKOZDWRRX-QWHCGFSZSA-N 1 2 318.421 1.660 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C[NH2+]Cc1nc(C(F)F)no1)C1CC1 ZINC001392763052 905176429 /nfs/dbraw/zinc/17/64/29/905176429.db2.gz BZNFOHANKCFJFG-VXNVDRBHSA-N 1 2 313.308 1.151 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@H]2C[C@@H](CNC(=O)C#CC(C)C)C2)no1 ZINC001316614182 905220105 /nfs/dbraw/zinc/22/01/05/905220105.db2.gz ALQGYCGEUNBXCO-BNOWGMLFSA-N 1 2 304.394 1.583 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC001282047072 905464771 /nfs/dbraw/zinc/46/47/71/905464771.db2.gz KVYWWDLDECPJOC-CQSZACIVSA-N 1 2 318.421 1.545 20 30 DDEDLO C[C@@H](CNC(=O)CSCC#N)[N@H+](C)Cc1cc2n(n1)CCC2 ZINC001282356635 905712406 /nfs/dbraw/zinc/71/24/06/905712406.db2.gz SATVEFDKOJYSFS-LBPRGKRZSA-N 1 2 321.450 1.023 20 30 DDEDLO C[C@@H](CNC(=O)CSCC#N)[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC001282356635 905712419 /nfs/dbraw/zinc/71/24/19/905712419.db2.gz SATVEFDKOJYSFS-LBPRGKRZSA-N 1 2 321.450 1.023 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)C1C[NH+](CC(=O)NCC(C)C)C1 ZINC001282734916 905996884 /nfs/dbraw/zinc/99/68/84/905996884.db2.gz UDOSNWOOSXZQPC-HNNXBMFYSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H](C)C1C[NH+](Cc2cnnn2C)C1 ZINC001282741416 906006475 /nfs/dbraw/zinc/00/64/75/906006475.db2.gz SFVQKARSJFOLCW-GFCCVEGCSA-N 1 2 305.426 1.354 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)C1C[NH+](Cc2cnnn2C)C1 ZINC001282741418 906007139 /nfs/dbraw/zinc/00/71/39/906007139.db2.gz SFVQKARSJFOLCW-LBPRGKRZSA-N 1 2 305.426 1.354 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](C)C1C[NH+](Cc2ccn(C)n2)C1 ZINC001282744866 906014301 /nfs/dbraw/zinc/01/43/01/906014301.db2.gz RIWPELWBFCIUMC-CXAGYDPISA-N 1 2 304.438 1.959 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001284010628 908310350 /nfs/dbraw/zinc/31/03/50/908310350.db2.gz PTLYQBHKFHWZLU-ZDUSSCGKSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001284056986 908408194 /nfs/dbraw/zinc/40/81/94/908408194.db2.gz WFBBHOOZNSUCLG-JOCQHMNTSA-N 1 2 304.394 1.082 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001394068191 908695638 /nfs/dbraw/zinc/69/56/38/908695638.db2.gz RXZRRCHNNXRRLB-NEPJUHHUSA-N 1 2 301.818 1.044 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001394068191 908695649 /nfs/dbraw/zinc/69/56/49/908695649.db2.gz RXZRRCHNNXRRLB-NEPJUHHUSA-N 1 2 301.818 1.044 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001284279586 908767612 /nfs/dbraw/zinc/76/76/12/908767612.db2.gz QHFNGICDRGYWMC-GFCCVEGCSA-N 1 2 312.373 1.457 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[C@@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001284455119 909027055 /nfs/dbraw/zinc/02/70/55/909027055.db2.gz BKMQIHMBWVIWSH-WBMJQRKESA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[NH+](CCN(C)C(=O)CC)CC1 ZINC001284835613 909549405 /nfs/dbraw/zinc/54/94/05/909549405.db2.gz OCMXHGXVDJUFPP-UHFFFAOYSA-N 1 2 309.454 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001373405335 909769580 /nfs/dbraw/zinc/76/95/80/909769580.db2.gz WJCHGROOEHPHOQ-STQMWFEESA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001373405335 909769594 /nfs/dbraw/zinc/76/95/94/909769594.db2.gz WJCHGROOEHPHOQ-STQMWFEESA-N 1 2 301.818 1.234 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]1CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001285156215 910124911 /nfs/dbraw/zinc/12/49/11/910124911.db2.gz SOPHENWKMHFQJH-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+]1CC[C@H](N(C)C(=O)[C@@H](C)C#N)C1 ZINC001373666800 910555396 /nfs/dbraw/zinc/55/53/96/910555396.db2.gz HLPTVGHSMHFVPO-JQWIXIFHSA-N 1 2 323.828 1.574 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H](C)C#N)C1 ZINC001373666800 910555409 /nfs/dbraw/zinc/55/54/09/910555409.db2.gz HLPTVGHSMHFVPO-JQWIXIFHSA-N 1 2 323.828 1.574 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373667053 910555605 /nfs/dbraw/zinc/55/56/05/910555605.db2.gz IBXNHSUIBDKYKG-IUODEOHRSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373667053 910555617 /nfs/dbraw/zinc/55/56/17/910555617.db2.gz IBXNHSUIBDKYKG-IUODEOHRSA-N 1 2 314.364 1.890 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)C[C@@H]1CCC(=O)N1)C(C)C ZINC001394806397 910613510 /nfs/dbraw/zinc/61/35/10/910613510.db2.gz JUBMOKNWJXXQSE-ZDUSSCGKSA-N 1 2 315.845 1.576 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)C[C@@H]1CCC(=O)N1)C(C)C ZINC001394806397 910613518 /nfs/dbraw/zinc/61/35/18/910613518.db2.gz JUBMOKNWJXXQSE-ZDUSSCGKSA-N 1 2 315.845 1.576 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCN1C(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001285838725 911262954 /nfs/dbraw/zinc/26/29/54/911262954.db2.gz PFPFMCGAYMXBOU-AWEZNQCLSA-N 1 2 318.421 1.610 20 30 DDEDLO CC(C)[C@H](CCN(C)C(=O)[C@@H](C)C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001395223562 911588358 /nfs/dbraw/zinc/58/83/58/911588358.db2.gz MAPRZURWVRYLCM-STQMWFEESA-N 1 2 319.409 1.101 20 30 DDEDLO CC(C)[C@@H](CCN(C)C(=O)Cc1c[nH+]c[nH]1)NC(=O)[C@@H](C)C#N ZINC001395226095 911591968 /nfs/dbraw/zinc/59/19/68/911591968.db2.gz UNCUBEGLECVYRX-GXTWGEPZSA-N 1 2 319.409 1.101 20 30 DDEDLO C=C(Br)C[NH2+]C[C@@H](C)CNC(=O)c1[nH]ncc1F ZINC001374069512 911795056 /nfs/dbraw/zinc/79/50/56/911795056.db2.gz HETDKQLXAWVILK-SSDOTTSWSA-N 1 2 319.178 1.413 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1C[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001286477971 911993706 /nfs/dbraw/zinc/99/37/06/911993706.db2.gz SGGDQJVFEDGZTA-HDJSIYSDSA-N 1 2 316.405 1.157 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@H](C)Cc1cnn(C)c1 ZINC001375135867 915075082 /nfs/dbraw/zinc/07/50/82/915075082.db2.gz SUCGPTNLASUBSV-YPMHNXCESA-N 1 2 312.845 1.788 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@H](C)Cc1cnn(C)c1 ZINC001375135867 915075101 /nfs/dbraw/zinc/07/51/01/915075101.db2.gz SUCGPTNLASUBSV-YPMHNXCESA-N 1 2 312.845 1.788 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295138625 915601817 /nfs/dbraw/zinc/60/18/17/915601817.db2.gz VTMWNRHIOHOPQL-AVGNSLFASA-N 1 2 304.394 1.318 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H](C)CNC(=O)C#CC2CC2)c(C)[nH+]1 ZINC001295479922 915836063 /nfs/dbraw/zinc/83/60/63/915836063.db2.gz BDKFJPFOIBLLSA-ZDUSSCGKSA-N 1 2 313.401 1.655 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001295519243 915869570 /nfs/dbraw/zinc/86/95/70/915869570.db2.gz WWSHSIHRKSKSGC-KGLIPLIRSA-N 1 2 318.421 1.423 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001295895126 916115244 /nfs/dbraw/zinc/11/52/44/916115244.db2.gz MOTLJEYAKXZANU-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1C[C@@H]([NH2+]Cc2nnsc2Cl)C1 ZINC001397580440 916404394 /nfs/dbraw/zinc/40/43/94/916404394.db2.gz ZNCDELRJVDNVAG-HLTSFMKQSA-N 1 2 313.814 1.336 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001296458641 916432592 /nfs/dbraw/zinc/43/25/92/916432592.db2.gz PKEMBQRMRNODOF-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001296941245 916639798 /nfs/dbraw/zinc/63/97/98/916639798.db2.gz IRIKMJMQYHUYGT-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001297055186 916695233 /nfs/dbraw/zinc/69/52/33/916695233.db2.gz DOTUKNKLDBLGSL-CYBMUJFWSA-N 1 2 318.421 1.764 20 30 DDEDLO C[C@H](CNC(=O)c1c[nH]c(C#N)c1)[NH2+]Cc1ncc(C2CC2)o1 ZINC001376718659 919158732 /nfs/dbraw/zinc/15/87/32/919158732.db2.gz WFACDIFBVRIATN-SNVBAGLBSA-N 1 2 313.361 1.660 20 30 DDEDLO CCc1noc(C[N@@H+]2CCC[C@H](NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001376886966 919679180 /nfs/dbraw/zinc/67/91/80/919679180.db2.gz VERPSUZSLKHZKP-RYUDHWBXSA-N 1 2 305.382 1.262 20 30 DDEDLO CCc1noc(C[N@H+]2CCC[C@H](NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001376886966 919679187 /nfs/dbraw/zinc/67/91/87/919679187.db2.gz VERPSUZSLKHZKP-RYUDHWBXSA-N 1 2 305.382 1.262 20 30 DDEDLO C[C@@H](CCNC(=O)c1[nH]ncc1F)[NH2+]Cc1ccc(C#N)s1 ZINC001377072917 920245586 /nfs/dbraw/zinc/24/55/86/920245586.db2.gz PFXODHMCVXSIJT-VIFPVBQESA-N 1 2 321.381 1.780 20 30 DDEDLO CCOCC1(C(=O)NCC[N@H+](C)Cc2ccccc2C#N)CC1 ZINC001377179143 920554243 /nfs/dbraw/zinc/55/42/43/920554243.db2.gz FVVFKDIPUGXBRS-UHFFFAOYSA-N 1 2 315.417 1.923 20 30 DDEDLO CCOCC1(C(=O)NCC[N@@H+](C)Cc2ccccc2C#N)CC1 ZINC001377179143 920554260 /nfs/dbraw/zinc/55/42/60/920554260.db2.gz FVVFKDIPUGXBRS-UHFFFAOYSA-N 1 2 315.417 1.923 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001377835996 923179018 /nfs/dbraw/zinc/17/90/18/923179018.db2.gz NCLBELONLTWBGN-RYUDHWBXSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001377835996 923179025 /nfs/dbraw/zinc/17/90/25/923179025.db2.gz NCLBELONLTWBGN-RYUDHWBXSA-N 1 2 301.818 1.234 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000181224361 199268152 /nfs/dbraw/zinc/26/81/52/199268152.db2.gz PZFIBXQNRAUAAK-UHFFFAOYSA-N 1 2 313.361 1.449 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1CC[C@](C)(O)C1 ZINC000248344241 282079239 /nfs/dbraw/zinc/07/92/39/282079239.db2.gz LHWNRHPVWDLQDI-QFYYESIMSA-N 1 2 319.430 1.892 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1CC[C@](C)(O)C1 ZINC000248344241 282079240 /nfs/dbraw/zinc/07/92/40/282079240.db2.gz LHWNRHPVWDLQDI-QFYYESIMSA-N 1 2 319.430 1.892 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1cc(O)cc(C#N)c1)[NH+]1CCOCC1 ZINC000614293957 361793184 /nfs/dbraw/zinc/79/31/84/361793184.db2.gz GGMRKHKTYXTKBT-MRXNPFEDSA-N 1 2 317.389 1.350 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)NCCCn2cc[nH+]c2)n1 ZINC000278575086 214247962 /nfs/dbraw/zinc/24/79/62/214247962.db2.gz BEHCJYPTZXPXHG-UHFFFAOYSA-N 1 2 314.393 1.335 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)C[C@H](C)O1 ZINC000330702626 529386054 /nfs/dbraw/zinc/38/60/54/529386054.db2.gz OZWXMCUOTNTUMC-XJKSGUPXSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)C[C@H](C)O1 ZINC000330702626 529386056 /nfs/dbraw/zinc/38/60/56/529386056.db2.gz OZWXMCUOTNTUMC-XJKSGUPXSA-N 1 2 301.390 1.674 20 30 DDEDLO C=CCOCCCC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000079450004 185172238 /nfs/dbraw/zinc/17/22/38/185172238.db2.gz NBFHTUGQRPWBCC-UHFFFAOYSA-N 1 2 303.406 1.709 20 30 DDEDLO CCn1cc[nH+]c1CNS(=O)(=O)c1cc(C#N)ccc1C ZINC000295469875 529579347 /nfs/dbraw/zinc/57/93/47/529579347.db2.gz LTSIFIVMHXOXDE-UHFFFAOYSA-N 1 2 304.375 1.562 20 30 DDEDLO O=C(NCc1nnc2n1CCC2)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000329173209 539301593 /nfs/dbraw/zinc/30/15/93/539301593.db2.gz LIYSTEKZMRYSCE-NSHDSACASA-N 1 2 315.381 1.589 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000092842375 185345655 /nfs/dbraw/zinc/34/56/55/185345655.db2.gz MLQKXMBFNNFZGK-HNNXBMFYSA-N 1 2 310.394 1.191 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000092842375 185345656 /nfs/dbraw/zinc/34/56/56/185345656.db2.gz MLQKXMBFNNFZGK-HNNXBMFYSA-N 1 2 310.394 1.191 20 30 DDEDLO N#CCN1CC[NH+](Cc2c[nH]nc2-c2c(F)cccc2F)CC1 ZINC000092936175 185349995 /nfs/dbraw/zinc/34/99/95/185349995.db2.gz LRXTVLOFHYTSIW-UHFFFAOYSA-N 1 2 317.343 1.996 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2C[C@@H]3CC[C@H](O)[C@@H]3C2)c[nH+]1 ZINC000329751049 529778843 /nfs/dbraw/zinc/77/88/43/529778843.db2.gz INWZGBIQHJTVND-MJBXVCDLSA-N 1 2 304.394 1.264 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(CC(=O)Nc3sccc3C#N)C[C@H]21 ZINC000330358389 529786818 /nfs/dbraw/zinc/78/68/18/529786818.db2.gz UTWCHKVFOICTSS-VXGBXAGGSA-N 1 2 306.391 1.223 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(CC(=O)Nc3sccc3C#N)C[C@H]21 ZINC000330358389 529786820 /nfs/dbraw/zinc/78/68/20/529786820.db2.gz UTWCHKVFOICTSS-VXGBXAGGSA-N 1 2 306.391 1.223 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C#N)c(Cl)c3)C[C@H]21 ZINC000329927887 529791117 /nfs/dbraw/zinc/79/11/17/529791117.db2.gz MACQAABIEZHHJV-KGLIPLIRSA-N 1 2 320.780 1.772 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C#N)c(Cl)c3)C[C@H]21 ZINC000329927887 529791118 /nfs/dbraw/zinc/79/11/18/529791118.db2.gz MACQAABIEZHHJV-KGLIPLIRSA-N 1 2 320.780 1.772 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cccc(N2CCCC2=O)c1 ZINC000414134861 529868439 /nfs/dbraw/zinc/86/84/39/529868439.db2.gz HPAFQAUQAYKNQB-HNNXBMFYSA-N 1 2 317.389 1.399 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cccc(N2CCCC2=O)c1 ZINC000414134861 529868440 /nfs/dbraw/zinc/86/84/40/529868440.db2.gz HPAFQAUQAYKNQB-HNNXBMFYSA-N 1 2 317.389 1.399 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)N[C@H]1CCOC2(CCC2)C1 ZINC000330221194 529910291 /nfs/dbraw/zinc/91/02/91/529910291.db2.gz LKUWIQKMJURCFO-AWEZNQCLSA-N 1 2 311.426 1.266 20 30 DDEDLO CCn1nccc1C[NH+]1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000615968473 362503945 /nfs/dbraw/zinc/50/39/45/362503945.db2.gz IKXCRUNKUFWNMF-UHFFFAOYSA-N 1 2 313.409 1.139 20 30 DDEDLO Cc1oc(NC(=O)C[NH+]2CCC3(CC2)OCCO3)c(C#N)c1C ZINC000028079081 352227951 /nfs/dbraw/zinc/22/79/51/352227951.db2.gz NABKBADNEWKRQH-UHFFFAOYSA-N 1 2 319.361 1.546 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC(C)(C)[NH+]2CCOCC2)c1 ZINC000043985869 352378008 /nfs/dbraw/zinc/37/80/08/352378008.db2.gz PUJHBQNEXNVIAO-UHFFFAOYSA-N 1 2 301.390 1.900 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(Cc2ccccc2C#N)CC1 ZINC000044742287 352393535 /nfs/dbraw/zinc/39/35/35/352393535.db2.gz NYBOYZMMISQZLO-OAHLLOKOSA-N 1 2 314.433 1.591 20 30 DDEDLO COCCCNC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000053511269 352659330 /nfs/dbraw/zinc/65/93/30/352659330.db2.gz ABXIRWIOLBKACH-UHFFFAOYSA-N 1 2 316.405 1.422 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCO[C@H]2CCC[C@@H]21)C1(C#N)CCCCC1 ZINC000054344448 352688374 /nfs/dbraw/zinc/68/83/74/352688374.db2.gz FHELGCIUVJAENV-GJZGRUSLSA-N 1 2 305.422 1.925 20 30 DDEDLO CN(C(=O)C[N@H+]1CCO[C@H]2CCC[C@@H]21)C1(C#N)CCCCC1 ZINC000054344448 352688375 /nfs/dbraw/zinc/68/83/75/352688375.db2.gz FHELGCIUVJAENV-GJZGRUSLSA-N 1 2 305.422 1.925 20 30 DDEDLO CC[C@H](C(=O)OC)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000054116654 352678719 /nfs/dbraw/zinc/67/87/19/352678719.db2.gz FIINCPSIDIVBHZ-MRXNPFEDSA-N 1 2 301.390 1.627 20 30 DDEDLO CCOC(=O)C1CC[NH+](CC(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000069302869 353155969 /nfs/dbraw/zinc/15/59/69/353155969.db2.gz XCYAWPHHADNXFZ-MRXNPFEDSA-N 1 2 309.410 1.316 20 30 DDEDLO C#CCNC(=O)NC[C@@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000075814841 353380728 /nfs/dbraw/zinc/38/07/28/353380728.db2.gz DKEBAVFXVZXYEV-INIZCTEOSA-N 1 2 301.390 1.301 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](C2CCCCC2)C[C@H]1C(=O)OC ZINC000076179762 353403467 /nfs/dbraw/zinc/40/34/67/353403467.db2.gz KWDXSJPOMSMKNY-CABCVRRESA-N 1 2 308.422 1.732 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](C2CCCCC2)C[C@H]1C(=O)OC ZINC000076179762 353403471 /nfs/dbraw/zinc/40/34/71/353403471.db2.gz KWDXSJPOMSMKNY-CABCVRRESA-N 1 2 308.422 1.732 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CC(N)=O)[C@@H](C)c1ccc(CCC)cc1 ZINC000077375865 353465944 /nfs/dbraw/zinc/46/59/44/353465944.db2.gz FMVARRXKFDIHKO-AWEZNQCLSA-N 1 2 317.433 1.790 20 30 DDEDLO C=CCNC(=O)C[N@H+](CC(N)=O)[C@@H](C)c1ccc(CCC)cc1 ZINC000077375865 353465948 /nfs/dbraw/zinc/46/59/48/353465948.db2.gz FMVARRXKFDIHKO-AWEZNQCLSA-N 1 2 317.433 1.790 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CC(N)=O)CC2)c(C(F)(F)F)c1 ZINC000077907233 353497013 /nfs/dbraw/zinc/49/70/13/353497013.db2.gz OKZQSPSTHJJXGX-UHFFFAOYSA-N 1 2 312.295 1.184 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[NH+]1CCC([C@H](C)O)CC1 ZINC000083171637 353707113 /nfs/dbraw/zinc/70/71/13/353707113.db2.gz FKCBKVLZUGQFCF-LRDDRELGSA-N 1 2 302.374 1.865 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1cc(C#N)cs1)[NH+]1CCN(C)CC1 ZINC000084180233 353717409 /nfs/dbraw/zinc/71/74/09/353717409.db2.gz ZBJJRULANDLCDF-CQSZACIVSA-N 1 2 320.462 1.622 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ncccc2F)CC1 ZINC000090631122 353796621 /nfs/dbraw/zinc/79/66/21/353796621.db2.gz GEOIYKCFUHBBCY-ZDUSSCGKSA-N 1 2 319.384 1.103 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](C)N(C(=O)OC(C)(C)C)C[C@H]1C ZINC000093259179 353890655 /nfs/dbraw/zinc/89/06/55/353890655.db2.gz FSQUJLJJUTZYIR-OLZOCXBDSA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](C)N(C(=O)OC(C)(C)C)C[C@H]1C ZINC000093259179 353890657 /nfs/dbraw/zinc/89/06/57/353890657.db2.gz FSQUJLJJUTZYIR-OLZOCXBDSA-N 1 2 311.426 1.618 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C)[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000315288835 354492429 /nfs/dbraw/zinc/49/24/29/354492429.db2.gz HCWLQMDRHWDNKO-LBPRGKRZSA-N 1 2 302.378 1.295 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C)[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000315288835 354492433 /nfs/dbraw/zinc/49/24/33/354492433.db2.gz HCWLQMDRHWDNKO-LBPRGKRZSA-N 1 2 302.378 1.295 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000397616525 354654882 /nfs/dbraw/zinc/65/48/82/354654882.db2.gz QPDKDULBIAYDJC-HNNXBMFYSA-N 1 2 317.414 1.958 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000397616525 354654885 /nfs/dbraw/zinc/65/48/85/354654885.db2.gz QPDKDULBIAYDJC-HNNXBMFYSA-N 1 2 317.414 1.958 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+](CCO)Cc1cccc(F)c1)C1CC1 ZINC000494253233 235011533 /nfs/dbraw/zinc/01/15/33/235011533.db2.gz GEPOSTPBVKQIDX-QGZVFWFLSA-N 1 2 319.380 1.428 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+](CCO)Cc1cccc(F)c1)C1CC1 ZINC000494253233 235011537 /nfs/dbraw/zinc/01/15/37/235011537.db2.gz GEPOSTPBVKQIDX-QGZVFWFLSA-N 1 2 319.380 1.428 20 30 DDEDLO C[C@@H]1CCCCN1C(=O)C[NH+]1CCN(CC2(C#N)CCC2)CC1 ZINC000590684131 355146873 /nfs/dbraw/zinc/14/68/73/355146873.db2.gz ZPBJFERGDIZIHC-MRXNPFEDSA-N 1 2 318.465 1.699 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)[C@@H]2CCCN2c2ccc(C#N)cc2)c1 ZINC000590913076 355212305 /nfs/dbraw/zinc/21/23/05/355212305.db2.gz XPXUHYADMFVXEL-KRWDZBQOSA-N 1 2 323.400 1.619 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000590763334 355171208 /nfs/dbraw/zinc/17/12/08/355171208.db2.gz MDIYLLMORIFCTR-HNNXBMFYSA-N 1 2 321.446 1.857 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000590763334 355171210 /nfs/dbraw/zinc/17/12/10/355171210.db2.gz MDIYLLMORIFCTR-HNNXBMFYSA-N 1 2 321.446 1.857 20 30 DDEDLO N#Cc1cccc([C@@H]2C[C@H]2C(=O)N[C@H]2CCc3c[nH+]cn3C2)c1 ZINC000591081026 355244773 /nfs/dbraw/zinc/24/47/73/355244773.db2.gz ARIBGELGSFGANL-BHYGNILZSA-N 1 2 306.369 1.989 20 30 DDEDLO Cc1cc(N[C@H]2CCN(c3ccccc3C(N)=O)C2)c(C#N)c[nH+]1 ZINC000591819937 355390457 /nfs/dbraw/zinc/39/04/57/355390457.db2.gz WUMRTOMTTHLHFQ-AWEZNQCLSA-N 1 2 321.384 1.473 20 30 DDEDLO Cc1nsc(N2CCC[N@H+](Cc3ccncc3C#N)CC2)n1 ZINC000592070072 355482259 /nfs/dbraw/zinc/48/22/59/355482259.db2.gz DRAXCZHDKWIHOL-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO Cc1nsc(N2CCC[N@@H+](Cc3ccncc3C#N)CC2)n1 ZINC000592070072 355482260 /nfs/dbraw/zinc/48/22/60/355482260.db2.gz DRAXCZHDKWIHOL-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO COc1ccc(NC(=O)[C@@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592149482 355516665 /nfs/dbraw/zinc/51/66/65/355516665.db2.gz PRIWXMPJEHNBRP-MLGOLLRUSA-N 1 2 303.362 1.373 20 30 DDEDLO COc1ccc(NC(=O)[C@@H](C)[N@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592149482 355516666 /nfs/dbraw/zinc/51/66/66/355516666.db2.gz PRIWXMPJEHNBRP-MLGOLLRUSA-N 1 2 303.362 1.373 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CC[C@@H](C(=O)NCc2ccccc2)C1 ZINC000592155549 355520790 /nfs/dbraw/zinc/52/07/90/355520790.db2.gz ORVSTMHYBXKVLW-CABCVRRESA-N 1 2 302.374 1.352 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CC[C@@H](C(=O)NCc2ccccc2)C1 ZINC000592155549 355520794 /nfs/dbraw/zinc/52/07/94/355520794.db2.gz ORVSTMHYBXKVLW-CABCVRRESA-N 1 2 302.374 1.352 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2cc3c(cc2[N+](=O)[O-])OCCO3)C1 ZINC000592523331 355612008 /nfs/dbraw/zinc/61/20/08/355612008.db2.gz YNSNGULIHPGJEJ-OAHLLOKOSA-N 1 2 319.317 1.216 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2cc3c(cc2[N+](=O)[O-])OCCO3)C1 ZINC000592523331 355612010 /nfs/dbraw/zinc/61/20/10/355612010.db2.gz YNSNGULIHPGJEJ-OAHLLOKOSA-N 1 2 319.317 1.216 20 30 DDEDLO CC1(C)NC(=O)N(CCC[N@@H+]2Cc3cccc(C#N)c3C2)C1=O ZINC000593123817 355806435 /nfs/dbraw/zinc/80/64/35/355806435.db2.gz XECYJUGUWMTQCA-UHFFFAOYSA-N 1 2 312.373 1.594 20 30 DDEDLO CC1(C)NC(=O)N(CCC[N@H+]2Cc3cccc(C#N)c3C2)C1=O ZINC000593123817 355806439 /nfs/dbraw/zinc/80/64/39/355806439.db2.gz XECYJUGUWMTQCA-UHFFFAOYSA-N 1 2 312.373 1.594 20 30 DDEDLO Cc1c(C(=O)N[C@](C)(C#N)C[NH+](C)C)cnn1-c1ccccn1 ZINC000593155743 355813913 /nfs/dbraw/zinc/81/39/13/355813913.db2.gz YCSZSHUVUYLVFU-MRXNPFEDSA-N 1 2 312.377 1.149 20 30 DDEDLO CC[C@H](C#N)C(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000593408811 355876399 /nfs/dbraw/zinc/87/63/99/355876399.db2.gz VYIVBWOWPRFHHT-VNHYZAJKSA-N 1 2 316.405 1.861 20 30 DDEDLO N#Cc1sccc1S(=O)(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000593560986 355925232 /nfs/dbraw/zinc/92/52/32/355925232.db2.gz WWOMJSGIZRPHSU-UHFFFAOYSA-N 1 2 322.415 1.911 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](CC(=O)OC(C)(C)C)C2CCC2)C1=O ZINC000594056216 356101613 /nfs/dbraw/zinc/10/16/13/356101613.db2.gz TUJQTXLOLLVKPB-AWEZNQCLSA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](CC(=O)OC(C)(C)C)C2CCC2)C1=O ZINC000594056216 356101618 /nfs/dbraw/zinc/10/16/18/356101618.db2.gz TUJQTXLOLLVKPB-AWEZNQCLSA-N 1 2 308.422 1.970 20 30 DDEDLO COc1cc(C[NH2+]Cc2nc([C@@H]3CCCO3)n[nH]2)ccc1C#N ZINC000594509378 356239935 /nfs/dbraw/zinc/23/99/35/356239935.db2.gz DVAVDYOURAALCB-ZDUSSCGKSA-N 1 2 313.361 1.826 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000329581097 222997814 /nfs/dbraw/zinc/99/78/14/222997814.db2.gz UYOWXHBAPFKAAC-QEJZJMRPSA-N 1 2 318.421 1.379 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)[nH]1 ZINC000595500276 356519799 /nfs/dbraw/zinc/51/97/99/356519799.db2.gz DJAHRQVBTWAPLA-DGCLKSJQSA-N 1 2 312.377 1.848 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)[nH]1 ZINC000595500276 356519801 /nfs/dbraw/zinc/51/98/01/356519801.db2.gz DJAHRQVBTWAPLA-DGCLKSJQSA-N 1 2 312.377 1.848 20 30 DDEDLO CN(C)C(=O)Cc1nc(C[NH2+][C@@H]2CCCC[C@@H]2C#N)cs1 ZINC000595690268 356611530 /nfs/dbraw/zinc/61/15/30/356611530.db2.gz ATSXUIVVLOZUAN-DGCLKSJQSA-N 1 2 306.435 1.946 20 30 DDEDLO COc1cccc(CNC(=O)[C@@H](C)[N@@H+]2CC[C@](C)(C#N)C2)c1 ZINC000595836209 356671042 /nfs/dbraw/zinc/67/10/42/356671042.db2.gz XOKLOHNNTPPTAN-CXAGYDPISA-N 1 2 301.390 1.935 20 30 DDEDLO COc1cccc(CNC(=O)[C@@H](C)[N@H+]2CC[C@](C)(C#N)C2)c1 ZINC000595836209 356671048 /nfs/dbraw/zinc/67/10/48/356671048.db2.gz XOKLOHNNTPPTAN-CXAGYDPISA-N 1 2 301.390 1.935 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCC3(COC3)C2)c1 ZINC000595835671 356671604 /nfs/dbraw/zinc/67/16/04/356671604.db2.gz VPXHCBFNKRIDIK-UHFFFAOYSA-N 1 2 306.387 1.054 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCC3(COC3)C2)c1 ZINC000595835671 356671606 /nfs/dbraw/zinc/67/16/06/356671606.db2.gz VPXHCBFNKRIDIK-UHFFFAOYSA-N 1 2 306.387 1.054 20 30 DDEDLO C[C@@]1(C#N)CC[N@H+](C[C@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000595842855 356674450 /nfs/dbraw/zinc/67/44/50/356674450.db2.gz HTRLFESGLKEVKR-WFASDCNBSA-N 1 2 305.334 1.570 20 30 DDEDLO C[C@@]1(C#N)CC[N@@H+](C[C@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000595842855 356674452 /nfs/dbraw/zinc/67/44/52/356674452.db2.gz HTRLFESGLKEVKR-WFASDCNBSA-N 1 2 305.334 1.570 20 30 DDEDLO N#C[C@@H]1CC[C@@H]([N@@H+]2CCc3sc(S(N)(=O)=O)cc3C2)C1 ZINC000595884747 356691189 /nfs/dbraw/zinc/69/11/89/356691189.db2.gz LDEGSNCJAXRYAB-MWLCHTKSSA-N 1 2 311.432 1.446 20 30 DDEDLO N#C[C@@H]1CC[C@@H]([N@H+]2CCc3sc(S(N)(=O)=O)cc3C2)C1 ZINC000595884747 356691191 /nfs/dbraw/zinc/69/11/91/356691191.db2.gz LDEGSNCJAXRYAB-MWLCHTKSSA-N 1 2 311.432 1.446 20 30 DDEDLO N#CC[C@H]1CCCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)C1 ZINC000596327716 356858189 /nfs/dbraw/zinc/85/81/89/356858189.db2.gz ADDQRTZROIVRCW-CABCVRRESA-N 1 2 315.421 1.958 20 30 DDEDLO N#CC[C@H]1CCCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC000596327716 356858192 /nfs/dbraw/zinc/85/81/92/356858192.db2.gz ADDQRTZROIVRCW-CABCVRRESA-N 1 2 315.421 1.958 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(CC#N)cc2)[C@H](C)CO1 ZINC000596659532 356944810 /nfs/dbraw/zinc/94/48/10/356944810.db2.gz LEVPMAQRNJCQJB-ZIAGYGMSSA-N 1 2 316.405 1.983 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(CC#N)cc2)[C@H](C)CO1 ZINC000596659532 356944814 /nfs/dbraw/zinc/94/48/14/356944814.db2.gz LEVPMAQRNJCQJB-ZIAGYGMSSA-N 1 2 316.405 1.983 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2cc(F)c(C#N)c(F)c2)CCO1 ZINC000597054194 357049840 /nfs/dbraw/zinc/04/98/40/357049840.db2.gz WORUNDAAMJYZPN-SNVBAGLBSA-N 1 2 309.316 1.287 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2cc(F)c(C#N)c(F)c2)CCO1 ZINC000597054194 357049844 /nfs/dbraw/zinc/04/98/44/357049844.db2.gz WORUNDAAMJYZPN-SNVBAGLBSA-N 1 2 309.316 1.287 20 30 DDEDLO N#CCCN(Cc1ccccn1)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000597148860 357072953 /nfs/dbraw/zinc/07/29/53/357072953.db2.gz YNQUQZHOUYXOHM-AWEZNQCLSA-N 1 2 309.373 1.783 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC[N@H+]1C[C@@H](C)OC[C@H]1C ZINC000598001232 357418015 /nfs/dbraw/zinc/41/80/15/357418015.db2.gz XPKNWISUZJYNLA-ZIAGYGMSSA-N 1 2 301.390 1.706 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC[N@@H+]1C[C@@H](C)OC[C@H]1C ZINC000598001232 357418020 /nfs/dbraw/zinc/41/80/20/357418020.db2.gz XPKNWISUZJYNLA-ZIAGYGMSSA-N 1 2 301.390 1.706 20 30 DDEDLO N#Cc1cccc(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)n1 ZINC000598342065 357544534 /nfs/dbraw/zinc/54/45/34/357544534.db2.gz CYUJCNKQUZFPPU-UHFFFAOYSA-N 1 2 314.389 1.138 20 30 DDEDLO N#CC1(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)CCCC1 ZINC000598342824 357545409 /nfs/dbraw/zinc/54/54/09/357545409.db2.gz NPDFGAHYTDGHEV-UHFFFAOYSA-N 1 2 305.422 1.641 20 30 DDEDLO O=C(C[NH+]1CCC(c2n[nH]c(=O)[nH]2)CC1)NC1CCCCC1 ZINC000329670384 223011061 /nfs/dbraw/zinc/01/10/61/223011061.db2.gz SULCALHIHVGCKL-UHFFFAOYSA-N 1 2 307.398 1.979 20 30 DDEDLO C[C@H]1c2nncn2CC[N@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000276778875 213104735 /nfs/dbraw/zinc/10/47/35/213104735.db2.gz ITLCQWXJXZJMHX-LBPRGKRZSA-N 1 2 310.361 1.555 20 30 DDEDLO C[C@H]1c2nncn2CC[N@@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000276778875 213104739 /nfs/dbraw/zinc/10/47/39/213104739.db2.gz ITLCQWXJXZJMHX-LBPRGKRZSA-N 1 2 310.361 1.555 20 30 DDEDLO Cc1ccn(C)c(=O)c1NC(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000329782161 223027642 /nfs/dbraw/zinc/02/76/42/223027642.db2.gz NTGXMWCHDLRNNB-SNVBAGLBSA-N 1 2 301.350 1.300 20 30 DDEDLO Cc1ccn(C)c(=O)c1NC(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000329782161 223027645 /nfs/dbraw/zinc/02/76/45/223027645.db2.gz NTGXMWCHDLRNNB-SNVBAGLBSA-N 1 2 301.350 1.300 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)c2cccc(C#N)c2)CCN1C ZINC000276767481 213097011 /nfs/dbraw/zinc/09/70/11/213097011.db2.gz TWVBVFKVSKPKDN-HNNXBMFYSA-N 1 2 309.373 1.421 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)n1 ZINC000599265244 357868473 /nfs/dbraw/zinc/86/84/73/357868473.db2.gz KGKDVAQUVWZPLH-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO Cc1noc(C[N@H+](C)C[C@@H](O)COc2ccccc2C#N)n1 ZINC000599453918 357944529 /nfs/dbraw/zinc/94/45/29/357944529.db2.gz FEVANXRBFHQVFK-CYBMUJFWSA-N 1 2 302.334 1.121 20 30 DDEDLO Cc1noc(C[N@@H+](C)C[C@@H](O)COc2ccccc2C#N)n1 ZINC000599453918 357944533 /nfs/dbraw/zinc/94/45/33/357944533.db2.gz FEVANXRBFHQVFK-CYBMUJFWSA-N 1 2 302.334 1.121 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCOc2cc(F)ccc2C1 ZINC000599687459 358025943 /nfs/dbraw/zinc/02/59/43/358025943.db2.gz SBHLPDUZOUSWMA-GFCCVEGCSA-N 1 2 305.353 1.781 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCOc2cc(F)ccc2C1 ZINC000599687459 358025945 /nfs/dbraw/zinc/02/59/45/358025945.db2.gz SBHLPDUZOUSWMA-GFCCVEGCSA-N 1 2 305.353 1.781 20 30 DDEDLO COC[C@]1(C)C[N@H+](Cc2cnc3ccc(C#N)cn23)CCO1 ZINC000600217958 358159703 /nfs/dbraw/zinc/15/97/03/358159703.db2.gz OSCRNXMBOQOLNT-INIZCTEOSA-N 1 2 300.362 1.443 20 30 DDEDLO COC[C@]1(C)C[N@@H+](Cc2cnc3ccc(C#N)cn23)CCO1 ZINC000600217958 358159709 /nfs/dbraw/zinc/15/97/09/358159709.db2.gz OSCRNXMBOQOLNT-INIZCTEOSA-N 1 2 300.362 1.443 20 30 DDEDLO COC[C@]1(C)CN(Cc2c[nH+]c3ccc(C#N)cn23)CCO1 ZINC000600217958 358159713 /nfs/dbraw/zinc/15/97/13/358159713.db2.gz OSCRNXMBOQOLNT-INIZCTEOSA-N 1 2 300.362 1.443 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)CCc3cn[nH]c3)CC2)cc1 ZINC000600814410 358322689 /nfs/dbraw/zinc/32/26/89/358322689.db2.gz MSFIMSBEFMJHBP-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO N#CC1(C[C@@H](O)C[NH+]2CC(OCC(F)F)C2)CCOCC1 ZINC000601960966 358754423 /nfs/dbraw/zinc/75/44/23/358754423.db2.gz YJSSYYHBVXOODJ-LLVKDONJSA-N 1 2 304.337 1.024 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCO[C@@H](C(F)F)CC3)cnc12 ZINC000601968683 358757906 /nfs/dbraw/zinc/75/79/06/358757906.db2.gz GIPOSGLKGMNUCT-GFCCVEGCSA-N 1 2 307.304 1.457 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCO[C@@H](C(F)F)CC3)cnc12 ZINC000601968683 358757908 /nfs/dbraw/zinc/75/79/08/358757908.db2.gz GIPOSGLKGMNUCT-GFCCVEGCSA-N 1 2 307.304 1.457 20 30 DDEDLO N#Cc1ccnc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)c1 ZINC000602201299 358880152 /nfs/dbraw/zinc/88/01/52/358880152.db2.gz XEUBZVUBFZGJHG-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)Nc1cc(Cl)ccc1-n1cncn1 ZINC000602868141 359253627 /nfs/dbraw/zinc/25/36/27/359253627.db2.gz HCIKMQXKUCAOHU-JTQLQIEISA-N 1 2 318.768 1.751 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCCOc2cccc(C#N)c2)nn1 ZINC000603242640 359509268 /nfs/dbraw/zinc/50/92/68/359509268.db2.gz OEGVTYZTFGXQIN-UHFFFAOYSA-N 1 2 309.373 1.732 20 30 DDEDLO C=CCOCCn1cc(C[NH+]2CCC(C(=O)OCC)CC2)nn1 ZINC000603220767 359492115 /nfs/dbraw/zinc/49/21/15/359492115.db2.gz YEJXKPWIXXSGQD-UHFFFAOYSA-N 1 2 322.409 1.256 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)S(=O)(=O)CCn1cc[nH+]c1 ZINC000603275585 359534520 /nfs/dbraw/zinc/53/45/20/359534520.db2.gz YQLPSEASZPPCAY-UHFFFAOYSA-N 1 2 304.375 1.217 20 30 DDEDLO CC(C)(CNC(=O)c1ccc(C#N)c(O)c1)[NH+]1CCOCC1 ZINC000188213105 200209892 /nfs/dbraw/zinc/20/98/92/200209892.db2.gz NLOPDCUTAVFTOW-UHFFFAOYSA-N 1 2 303.362 1.104 20 30 DDEDLO CN(C(=O)c1ccc(-n2cc[nH+]c2)nn1)c1cccc(C#N)c1 ZINC000604559969 359769225 /nfs/dbraw/zinc/76/92/25/359769225.db2.gz AYEWCNOOEYKJOL-UHFFFAOYSA-N 1 2 304.313 1.811 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[NH2+][C@H]1CCC[C@H]1C#N ZINC000329832145 223035924 /nfs/dbraw/zinc/03/59/24/223035924.db2.gz KHCACBBLMDMKJY-ZFWWWQNUSA-N 1 2 303.410 1.347 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)N2CCC(C)CC2)CC1 ZINC000609485987 360313937 /nfs/dbraw/zinc/31/39/37/360313937.db2.gz BGQGTIIJFWAOBC-MRXNPFEDSA-N 1 2 306.454 1.411 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC[S@](=O)c2ccccc2)CC1 ZINC000609538837 360321340 /nfs/dbraw/zinc/32/13/40/360321340.db2.gz OHRICSMSLFUCQB-JTSKRJEESA-N 1 2 319.474 1.960 20 30 DDEDLO Cc1nnc(CN2CC[NH+](Cc3cc(C#N)ccc3F)CC2)[nH]1 ZINC000610487710 360448287 /nfs/dbraw/zinc/44/82/87/360448287.db2.gz URHZMGYTZVRFKE-UHFFFAOYSA-N 1 2 314.368 1.442 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000610391983 360425436 /nfs/dbraw/zinc/42/54/36/360425436.db2.gz RSDGVWGAZNDGOE-CYBMUJFWSA-N 1 2 322.361 1.017 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000610391983 360425442 /nfs/dbraw/zinc/42/54/42/360425442.db2.gz RSDGVWGAZNDGOE-CYBMUJFWSA-N 1 2 322.361 1.017 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)[C@H]1CCCCS1(=O)=O ZINC000330074716 223071999 /nfs/dbraw/zinc/07/19/99/223071999.db2.gz FUWGKJVBRQXHFF-NWDGAFQWSA-N 1 2 311.407 1.684 20 30 DDEDLO N#CCCN1CC[NH+]([C@H](C(=O)NC2CC2)c2ccccc2)CC1 ZINC000611174096 360646333 /nfs/dbraw/zinc/64/63/33/360646333.db2.gz VXSVZXAQWAWEDY-KRWDZBQOSA-N 1 2 312.417 1.538 20 30 DDEDLO CCN(Cc1ccccc1)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611175380 360648113 /nfs/dbraw/zinc/64/81/13/360648113.db2.gz XJRLMXNFBNOEOC-UHFFFAOYSA-N 1 2 314.433 1.566 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@@H+]2CC[C@@H](NC(N)=O)C2)c1C#N ZINC000611349569 360704591 /nfs/dbraw/zinc/70/45/91/360704591.db2.gz HZLFUAGTFNEFJN-CYBMUJFWSA-N 1 2 324.388 1.295 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@H+]2CC[C@@H](NC(N)=O)C2)c1C#N ZINC000611349569 360704597 /nfs/dbraw/zinc/70/45/97/360704597.db2.gz HZLFUAGTFNEFJN-CYBMUJFWSA-N 1 2 324.388 1.295 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)Cc1noc(C)c1C#N)CCC2 ZINC000611529020 360749806 /nfs/dbraw/zinc/74/98/06/360749806.db2.gz ZJURURNZVAVGFD-GFCCVEGCSA-N 1 2 313.361 1.596 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)c[nH]1 ZINC000272024637 209395166 /nfs/dbraw/zinc/39/51/66/209395166.db2.gz SMKYIUORHZZXJH-UHFFFAOYSA-N 1 2 319.368 1.716 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CCC[C@](C)(C#N)C2)c[nH+]1 ZINC000612099045 360918852 /nfs/dbraw/zinc/91/88/52/360918852.db2.gz ISZHNVYCNVQYMU-MRXNPFEDSA-N 1 2 301.394 1.983 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)N1CCC[C@@H](O)C1 ZINC000330539477 223124974 /nfs/dbraw/zinc/12/49/74/223124974.db2.gz RAUXJQPFJLHNBO-SECBINFHSA-N 1 2 306.288 1.316 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCC[C@H](n3cc[nH+]c3)C2)CCCC1 ZINC000612674377 361104631 /nfs/dbraw/zinc/10/46/31/361104631.db2.gz LTVFZYBLOGFDKC-AWEZNQCLSA-N 1 2 322.434 1.934 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(C(=O)Nc2ccc3c(c2)OCO3)CC1 ZINC000332672011 223215577 /nfs/dbraw/zinc/21/55/77/223215577.db2.gz ZUQDHGJXRZBZDF-UHFFFAOYSA-N 1 2 316.361 1.867 20 30 DDEDLO N#CC1CCN(C(=O)c2cccc(C[NH+]3CCOCC3)c2)CC1 ZINC000331501447 223205987 /nfs/dbraw/zinc/20/59/87/223205987.db2.gz SSCWSRFCVDJCTI-UHFFFAOYSA-N 1 2 313.401 1.895 20 30 DDEDLO CCn1nncc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000292764534 223236860 /nfs/dbraw/zinc/23/68/60/223236860.db2.gz WXVDJWYSNHDYTF-UHFFFAOYSA-N 1 2 324.388 1.128 20 30 DDEDLO N#C[C@H]1COCCN1C(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000339515568 223270178 /nfs/dbraw/zinc/27/01/78/223270178.db2.gz GVFMDGPEGWWZSY-INIZCTEOSA-N 1 2 310.357 1.225 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2ccnc(C#N)c2)CC1 ZINC000193413926 201048154 /nfs/dbraw/zinc/04/81/54/201048154.db2.gz IRQRKQBYRJONSL-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000370521021 283731821 /nfs/dbraw/zinc/73/18/21/283731821.db2.gz CIQOOEAOOAGHDS-CQSZACIVSA-N 1 2 323.352 1.896 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCc3ccc([N+](=O)[O-])cc3C2)C1=O ZINC000619620783 364091432 /nfs/dbraw/zinc/09/14/32/364091432.db2.gz LGUHEFYZJMBZAN-HNNXBMFYSA-N 1 2 301.346 1.740 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCc3ccc([N+](=O)[O-])cc3C2)C1=O ZINC000619620783 364091437 /nfs/dbraw/zinc/09/14/37/364091437.db2.gz LGUHEFYZJMBZAN-HNNXBMFYSA-N 1 2 301.346 1.740 20 30 DDEDLO COCC[C@](C)(C#N)NC(=O)[C@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000344903904 223339384 /nfs/dbraw/zinc/33/93/84/223339384.db2.gz JGELGJNNQLDNON-JKIFEVAISA-N 1 2 316.405 1.589 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCN(c3ccccc3O)CC2)CCC1 ZINC000346879492 223361537 /nfs/dbraw/zinc/36/15/37/223361537.db2.gz IMXSNQGFNQALGM-UHFFFAOYSA-N 1 2 314.389 1.077 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[S@](=O)[C@H](C)[C@H]1C ZINC000265810803 204893091 /nfs/dbraw/zinc/89/30/91/204893091.db2.gz FJGGTQUBEPNVPT-VJBOLBCHSA-N 1 2 322.430 1.787 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[S@](=O)[C@H](C)[C@H]1C ZINC000265810803 204893094 /nfs/dbraw/zinc/89/30/94/204893094.db2.gz FJGGTQUBEPNVPT-VJBOLBCHSA-N 1 2 322.430 1.787 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCOc3cc[nH+]cc32)cc1 ZINC000175664147 306686800 /nfs/dbraw/zinc/68/68/00/306686800.db2.gz AOMIVXVIUPGGAR-UHFFFAOYSA-N 1 2 301.327 1.541 20 30 DDEDLO C[N@@H+]1CCC[C@H]1CNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000271693256 209130744 /nfs/dbraw/zinc/13/07/44/209130744.db2.gz FTGCWBYSBSGPJT-JTQLQIEISA-N 1 2 315.345 1.209 20 30 DDEDLO C[N@H+]1CCC[C@H]1CNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000271693256 209130747 /nfs/dbraw/zinc/13/07/47/209130747.db2.gz FTGCWBYSBSGPJT-JTQLQIEISA-N 1 2 315.345 1.209 20 30 DDEDLO N#Cc1ccc(F)c(CN2CC[NH+](CC(=O)NC3CC3)CC2)c1 ZINC000022516113 182324156 /nfs/dbraw/zinc/32/41/56/182324156.db2.gz CFRQNDCEFSTPBL-UHFFFAOYSA-N 1 2 316.380 1.094 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(c2nccs2)CC1 ZINC000042568561 183285365 /nfs/dbraw/zinc/28/53/65/183285365.db2.gz RPDCZOROCOWEAW-AWEZNQCLSA-N 1 2 320.462 1.854 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1c[nH]c(C#N)c1)C1CCOCC1 ZINC000284468424 218040335 /nfs/dbraw/zinc/04/03/35/218040335.db2.gz ICJYREBNZHFKMD-CQSZACIVSA-N 1 2 313.361 1.518 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@@H]21 ZINC000284911860 218232095 /nfs/dbraw/zinc/23/20/95/218232095.db2.gz SOXZEJDHOBDIKI-GJZGRUSLSA-N 1 2 318.352 1.634 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@@H]21 ZINC000284911860 218232097 /nfs/dbraw/zinc/23/20/97/218232097.db2.gz SOXZEJDHOBDIKI-GJZGRUSLSA-N 1 2 318.352 1.634 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@H+](C)[C@@H]1COC[C@H]1O ZINC000329173888 296321625 /nfs/dbraw/zinc/32/16/25/296321625.db2.gz LPCICNFGKCTIKA-YVECIDJPSA-N 1 2 313.398 1.127 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@@H+](C)[C@@H]1COC[C@H]1O ZINC000329173888 296321628 /nfs/dbraw/zinc/32/16/28/296321628.db2.gz LPCICNFGKCTIKA-YVECIDJPSA-N 1 2 313.398 1.127 20 30 DDEDLO CNC(=O)c1cccc(NC(=O)[C@@H](C)[NH+]2CCC(C#N)CC2)c1 ZINC000057878158 184060352 /nfs/dbraw/zinc/06/03/52/184060352.db2.gz VSICUBDVCBMPEB-GFCCVEGCSA-N 1 2 314.389 1.609 20 30 DDEDLO C[C@H](CN1CCOCC1)[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000341136099 251399860 /nfs/dbraw/zinc/39/98/60/251399860.db2.gz ZLWFDOZLJLIGAV-CRAIPNDOSA-N 1 2 315.417 1.652 20 30 DDEDLO C[C@H](CN1CCOCC1)[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000341136099 251399862 /nfs/dbraw/zinc/39/98/62/251399862.db2.gz ZLWFDOZLJLIGAV-CRAIPNDOSA-N 1 2 315.417 1.652 20 30 DDEDLO C=CCn1c(SC[C@H](O)C[NH+]2CCOCC2)nnc1C1CC1 ZINC000066237993 184398385 /nfs/dbraw/zinc/39/83/85/184398385.db2.gz UZWIDMPNSNPPJJ-CYBMUJFWSA-N 1 2 324.450 1.127 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCCN(C=O)CC1 ZINC000272898773 210287410 /nfs/dbraw/zinc/28/74/10/210287410.db2.gz JQRKQMBFKVWNNY-ZDUSSCGKSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCCN(C=O)CC1 ZINC000272898773 210287413 /nfs/dbraw/zinc/28/74/13/210287413.db2.gz JQRKQMBFKVWNNY-ZDUSSCGKSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@@H]1C[NH+]=C(N2CCN(C(=O)c3ccc(C#N)[nH]3)CC2)S1 ZINC000156276659 197101056 /nfs/dbraw/zinc/10/10/56/197101056.db2.gz ALWKMEMRIRGRII-SNVBAGLBSA-N 1 2 303.391 1.135 20 30 DDEDLO CC#CCC[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000155080463 197019855 /nfs/dbraw/zinc/01/98/55/197019855.db2.gz GOOUBQKCAPRJPO-UHFFFAOYSA-N 1 2 317.414 1.278 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](C)Cc1cn(C)nc1C(F)(F)F ZINC000154997688 197012361 /nfs/dbraw/zinc/01/23/61/197012361.db2.gz NIWNHHRTDDQSJO-VIFPVBQESA-N 1 2 302.300 1.009 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](C)Cc1cn(C)nc1C(F)(F)F ZINC000154997688 197012363 /nfs/dbraw/zinc/01/23/63/197012363.db2.gz NIWNHHRTDDQSJO-VIFPVBQESA-N 1 2 302.300 1.009 20 30 DDEDLO COCC[C@@](C)(C#N)NC(=O)Cn1c(C)[nH+]c2ccccc21 ZINC000344909171 533290950 /nfs/dbraw/zinc/29/09/50/533290950.db2.gz QJGSBTABEMZEGR-INIZCTEOSA-N 1 2 300.362 1.780 20 30 DDEDLO CO[C@]1(C)CCCN(C(=O)NCC2([NH+]3CCOCC3)CC2)C1 ZINC000329967629 533360247 /nfs/dbraw/zinc/36/02/47/533360247.db2.gz WHADZQWMZXYZCV-OAHLLOKOSA-N 1 2 311.426 1.266 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)CC[N@@H+]1Cc1ccc(CC#N)cc1 ZINC000343674191 533549026 /nfs/dbraw/zinc/54/90/26/533549026.db2.gz AJFPYTQXTAEQCC-HZPDHXFCSA-N 1 2 302.374 1.905 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)CC[N@H+]1Cc1ccc(CC#N)cc1 ZINC000343674191 533549027 /nfs/dbraw/zinc/54/90/27/533549027.db2.gz AJFPYTQXTAEQCC-HZPDHXFCSA-N 1 2 302.374 1.905 20 30 DDEDLO C[C@@H](O)C[N@H+](CCC(=O)Nc1cccc(C#N)c1)CC(F)F ZINC000451830609 533653741 /nfs/dbraw/zinc/65/37/41/533653741.db2.gz JSKGHZNYFZDZTH-LLVKDONJSA-N 1 2 311.332 1.835 20 30 DDEDLO C[C@@H](O)C[N@@H+](CCC(=O)Nc1cccc(C#N)c1)CC(F)F ZINC000451830609 533653749 /nfs/dbraw/zinc/65/37/49/533653749.db2.gz JSKGHZNYFZDZTH-LLVKDONJSA-N 1 2 311.332 1.835 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)c2ccccc2)CC1 ZINC000029840768 406928017 /nfs/dbraw/zinc/92/80/17/406928017.db2.gz GGFCWYOPOYFSBX-OAHLLOKOSA-N 1 2 315.417 1.525 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)c2ccccc2)CC1 ZINC000029840768 406928020 /nfs/dbraw/zinc/92/80/20/406928020.db2.gz GGFCWYOPOYFSBX-OAHLLOKOSA-N 1 2 315.417 1.525 20 30 DDEDLO COC(=O)c1ccc(CN(CCC#N)CC[NH+]2CCOCC2)o1 ZINC000078686874 407041384 /nfs/dbraw/zinc/04/13/84/407041384.db2.gz MVCMGVYNHJVZJL-UHFFFAOYSA-N 1 2 321.377 1.114 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(OC)c(F)c2)CC1 ZINC000084866377 407095777 /nfs/dbraw/zinc/09/57/77/407095777.db2.gz UKNDLPKCXOQXIE-ZDUSSCGKSA-N 1 2 321.396 1.647 20 30 DDEDLO CC(C)C[NH+]1CCN(S(=O)(=O)c2ccccc2C#N)CC1 ZINC000047033071 407069230 /nfs/dbraw/zinc/06/92/30/407069230.db2.gz DTLYUWFLYZKOMV-UHFFFAOYSA-N 1 2 307.419 1.521 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Cc1ccc(F)cc1 ZINC000060633777 407226219 /nfs/dbraw/zinc/22/62/19/407226219.db2.gz MQKSPHVMRPZCOX-UHFFFAOYSA-N 1 2 319.380 1.443 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CCC(CS(N)(=O)=O)CC2)c1 ZINC000104064491 407346046 /nfs/dbraw/zinc/34/60/46/407346046.db2.gz XDFONSWRHNHPJJ-UHFFFAOYSA-N 1 2 311.382 1.198 20 30 DDEDLO N#CCNC(=O)C1CCN(c2ccc3cccc(O)c3[nH+]2)CC1 ZINC000126556772 407416399 /nfs/dbraw/zinc/41/63/99/407416399.db2.gz PCDNGWOYQALDAK-UHFFFAOYSA-N 1 2 310.357 1.797 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)c1 ZINC000170687240 407474471 /nfs/dbraw/zinc/47/44/71/407474471.db2.gz ZHMWQVUAPKTMFH-UHFFFAOYSA-N 1 2 322.368 1.205 20 30 DDEDLO N#Cc1ccc2ncc(C[NH+]3CCN(c4cccnn4)CC3)n2c1 ZINC000127951645 407482388 /nfs/dbraw/zinc/48/23/88/407482388.db2.gz SFIWNLPZZTYUQD-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000178561653 407493471 /nfs/dbraw/zinc/49/34/71/407493471.db2.gz NTHHWAHJLZUNRH-LBPRGKRZSA-N 1 2 302.378 1.709 20 30 DDEDLO CS(=O)(=O)NC[C@@H]1CCCC[N@@H+]1Cc1ccc(C#N)s1 ZINC000271088375 407508783 /nfs/dbraw/zinc/50/87/83/407508783.db2.gz VFVKCOGHKMWQHA-NSHDSACASA-N 1 2 313.448 1.523 20 30 DDEDLO CS(=O)(=O)NC[C@@H]1CCCC[N@H+]1Cc1ccc(C#N)s1 ZINC000271088375 407508787 /nfs/dbraw/zinc/50/87/87/407508787.db2.gz VFVKCOGHKMWQHA-NSHDSACASA-N 1 2 313.448 1.523 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)c1c(F)cc(C#N)cc1F)[NH+]1CCOCC1 ZINC000152139053 407571783 /nfs/dbraw/zinc/57/17/83/407571783.db2.gz PXMKEYNHUZTLFK-WDEREUQCSA-N 1 2 323.343 1.675 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000185822937 407512382 /nfs/dbraw/zinc/51/23/82/407512382.db2.gz ZPURJRWXQVILTJ-CVEARBPZSA-N 1 2 321.465 1.744 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CC[C@H](CN2CCOCC2)C1 ZINC000129409464 407608934 /nfs/dbraw/zinc/60/89/34/407608934.db2.gz WSZNHEJKNXXTQE-CQSZACIVSA-N 1 2 303.381 1.851 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CC[C@H](CN2CCOCC2)C1 ZINC000129409464 407608941 /nfs/dbraw/zinc/60/89/41/407608941.db2.gz WSZNHEJKNXXTQE-CQSZACIVSA-N 1 2 303.381 1.851 20 30 DDEDLO COCc1cccc(CN(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000178855820 407627222 /nfs/dbraw/zinc/62/72/22/407627222.db2.gz WRDXZUPGQZPJQR-UHFFFAOYSA-N 1 2 317.433 1.881 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[NH+]2CCC(n3ccnc3)CC2)cc1 ZINC000115543984 407689901 /nfs/dbraw/zinc/68/99/01/407689901.db2.gz RGXMLWQSCNFQLL-MRXNPFEDSA-N 1 2 309.373 1.618 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000153230430 407783094 /nfs/dbraw/zinc/78/30/94/407783094.db2.gz IKJUVGAEKTUWOD-UHFFFAOYSA-N 1 2 316.361 1.715 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000153230430 407783098 /nfs/dbraw/zinc/78/30/98/407783098.db2.gz IKJUVGAEKTUWOD-UHFFFAOYSA-N 1 2 316.361 1.715 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N(CCC#N)CC1CC1 ZINC000132750614 407800993 /nfs/dbraw/zinc/80/09/93/407800993.db2.gz MDPVUSSMTSJLSA-UHFFFAOYSA-N 1 2 302.334 1.181 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNS(=O)(=O)c1ccc(C#N)cc1 ZINC000182411710 306704455 /nfs/dbraw/zinc/70/44/55/306704455.db2.gz KCBCHIDZEOITAI-UHFFFAOYSA-N 1 2 318.402 1.889 20 30 DDEDLO N#CC1(CS(=O)(=O)Oc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000180385806 407882226 /nfs/dbraw/zinc/88/22/26/407882226.db2.gz LHJYNDQPXCYTSB-UHFFFAOYSA-N 1 2 303.343 1.885 20 30 DDEDLO C=C(C)CN(C)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000180444697 407889351 /nfs/dbraw/zinc/88/93/51/407889351.db2.gz BIJVTSJYQZPVTE-UHFFFAOYSA-N 1 2 304.394 1.636 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N1CCC[C@@H]1c1ccc(OC)cc1 ZINC000174529847 407960339 /nfs/dbraw/zinc/96/03/39/407960339.db2.gz ONMLPGUYDZEOMC-GOSISDBHSA-N 1 2 310.397 1.927 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@]3(CCOC3)C2)c(C#N)c1C ZINC000153858348 407921460 /nfs/dbraw/zinc/92/14/60/407921460.db2.gz YMGWSHWUGSORDW-INIZCTEOSA-N 1 2 303.362 1.819 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@]3(CCOC3)C2)c(C#N)c1C ZINC000153858348 407921464 /nfs/dbraw/zinc/92/14/64/407921464.db2.gz YMGWSHWUGSORDW-INIZCTEOSA-N 1 2 303.362 1.819 20 30 DDEDLO N#CCCCNC(=O)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000273040885 408029564 /nfs/dbraw/zinc/02/95/64/408029564.db2.gz DXGBNWMLGHLWQO-UHFFFAOYSA-N 1 2 304.369 1.957 20 30 DDEDLO C=CCN(C)C(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000119096272 407992679 /nfs/dbraw/zinc/99/26/79/407992679.db2.gz JSIYETNNMLMMGQ-QGZVFWFLSA-N 1 2 317.433 1.757 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(c2cnn(C)c2)CC1 ZINC000174919056 408006945 /nfs/dbraw/zinc/00/69/45/408006945.db2.gz YTDMUNNXJSAQAN-HNNXBMFYSA-N 1 2 319.453 1.355 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCNC(=O)[C@H]1CC(C)C ZINC000189793052 408111182 /nfs/dbraw/zinc/11/11/82/408111182.db2.gz YSTYFXGDJPABBQ-OAHLLOKOSA-N 1 2 301.390 1.913 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCNC(=O)[C@H]1CC(C)C ZINC000189793052 408111184 /nfs/dbraw/zinc/11/11/84/408111184.db2.gz YSTYFXGDJPABBQ-OAHLLOKOSA-N 1 2 301.390 1.913 20 30 DDEDLO CC#CCC[NH+]1CCN(C(=O)c2ccc([N+](=O)[O-])s2)CC1 ZINC000155099399 408123697 /nfs/dbraw/zinc/12/36/97/408123697.db2.gz LSPJJGUZSAKISV-UHFFFAOYSA-N 1 2 307.375 1.828 20 30 DDEDLO C=CC[C@H](CO)Nc1nc(N[C@H](CO)CC=C)c(Cl)c[nH+]1 ZINC000182666053 408218562 /nfs/dbraw/zinc/21/85/62/408218562.db2.gz XEQODLPEQQGCPP-WDEREUQCSA-N 1 2 312.801 1.828 20 30 DDEDLO CC(C)(Oc1ccc(C#N)cc1)C(=O)NCC[NH+]1CCOCC1 ZINC000151374213 408301422 /nfs/dbraw/zinc/30/14/22/408301422.db2.gz XPXWEFZRFBMXIH-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(OCC#N)cc2)C1 ZINC000274143448 408305360 /nfs/dbraw/zinc/30/53/60/408305360.db2.gz JZHKFABPUSHNSJ-OAHLLOKOSA-N 1 2 308.403 1.598 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(OCC#N)cc2)C1 ZINC000274143448 408305363 /nfs/dbraw/zinc/30/53/63/408305363.db2.gz JZHKFABPUSHNSJ-OAHLLOKOSA-N 1 2 308.403 1.598 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(OC)c(C#N)c2)C[C@@H](C)O1 ZINC000135150707 162096630 /nfs/dbraw/zinc/09/66/30/162096630.db2.gz FDBJWAQEFLDTQK-ABAIWWIYSA-N 1 2 304.346 1.329 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(OC)c(C#N)c2)C[C@@H](C)O1 ZINC000135150707 162096633 /nfs/dbraw/zinc/09/66/33/162096633.db2.gz FDBJWAQEFLDTQK-ABAIWWIYSA-N 1 2 304.346 1.329 20 30 DDEDLO C[C@H]1CN(S(=O)(=O)c2ccc(F)c(C#N)c2)C[C@H](C)[NH+]1C ZINC000135263317 162098095 /nfs/dbraw/zinc/09/80/95/162098095.db2.gz IYTDWAUUJWZSKS-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnc2n1CCCC2)C(=O)Nc1cccc(C#N)c1 ZINC000173638029 162353517 /nfs/dbraw/zinc/35/35/17/162353517.db2.gz UBGXIPNBFLLVAY-GFCCVEGCSA-N 1 2 324.388 1.603 20 30 DDEDLO COc1cc(C#N)ccc1OCC[NH2+][C@H](C)c1ncn(C)n1 ZINC000275582976 408610194 /nfs/dbraw/zinc/61/01/94/408610194.db2.gz PZXHCOHJVDOWQC-LLVKDONJSA-N 1 2 301.350 1.425 20 30 DDEDLO CNC(=O)C[N@H+](C)[C@@H](C)C(=O)N(CCC#N)c1ccc(C)cc1 ZINC000270672291 408629048 /nfs/dbraw/zinc/62/90/48/408629048.db2.gz APOOPZAFUIMZGM-AWEZNQCLSA-N 1 2 316.405 1.308 20 30 DDEDLO CNC(=O)C[N@@H+](C)[C@@H](C)C(=O)N(CCC#N)c1ccc(C)cc1 ZINC000270672291 408629055 /nfs/dbraw/zinc/62/90/55/408629055.db2.gz APOOPZAFUIMZGM-AWEZNQCLSA-N 1 2 316.405 1.308 20 30 DDEDLO CCC[C@@H](C)NC(=O)[C@H](C)O[NH+]=C(N)Cc1csc(C)n1 ZINC000177476494 408633737 /nfs/dbraw/zinc/63/37/37/408633737.db2.gz RXKNLOLQDAPSPY-ZJUUUORDSA-N 1 2 312.439 1.976 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)OC ZINC000248825122 408640811 /nfs/dbraw/zinc/64/08/11/408640811.db2.gz KEWUMZZBKIOYPP-OFQRWUPVSA-N 1 2 306.406 1.461 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)OC ZINC000248825122 408640816 /nfs/dbraw/zinc/64/08/16/408640816.db2.gz KEWUMZZBKIOYPP-OFQRWUPVSA-N 1 2 306.406 1.461 20 30 DDEDLO C[C@H](C[S@](C)=O)[N@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000185115353 408761986 /nfs/dbraw/zinc/76/19/86/408761986.db2.gz OOUPDQDDXKCLKY-ASSNKEHSSA-N 1 2 313.467 1.370 20 30 DDEDLO C[C@H](C[S@](C)=O)[N@@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000185115353 408761991 /nfs/dbraw/zinc/76/19/91/408761991.db2.gz OOUPDQDDXKCLKY-ASSNKEHSSA-N 1 2 313.467 1.370 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](CCCO)Cc1ccccn1 ZINC000281014528 408869604 /nfs/dbraw/zinc/86/96/04/408869604.db2.gz NVQNIYVZJWHPSG-UHFFFAOYSA-N 1 2 303.406 1.467 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](CCCO)Cc1ccccn1 ZINC000281014528 408869607 /nfs/dbraw/zinc/86/96/07/408869607.db2.gz NVQNIYVZJWHPSG-UHFFFAOYSA-N 1 2 303.406 1.467 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)NCCNc1cccc[nH+]1 ZINC000281686806 408911019 /nfs/dbraw/zinc/91/10/19/408911019.db2.gz BGJFJFWDASWJGN-DZGCQCFKSA-N 1 2 304.394 1.774 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@H+](C)Cc1ccc(C#N)c(OC)c1 ZINC000282137746 408998837 /nfs/dbraw/zinc/99/88/37/408998837.db2.gz BTTHLTRMUXHJEP-LBPRGKRZSA-N 1 2 310.419 1.822 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@@H+](C)Cc1ccc(C#N)c(OC)c1 ZINC000282137746 408998840 /nfs/dbraw/zinc/99/88/40/408998840.db2.gz BTTHLTRMUXHJEP-LBPRGKRZSA-N 1 2 310.419 1.822 20 30 DDEDLO CCOC1CC(CNc2ccc(C#N)cn2)([NH+]2CCOCC2)C1 ZINC000278109893 409067506 /nfs/dbraw/zinc/06/75/06/409067506.db2.gz XESRMWZUIHNDLY-UHFFFAOYSA-N 1 2 316.405 1.635 20 30 DDEDLO C=C[C@H](CO)NC(=O)NCCCn1c(C)[nH+]c2ccccc21 ZINC000292450324 409029286 /nfs/dbraw/zinc/02/92/86/409029286.db2.gz OLVSNCFXQNSIRO-CYBMUJFWSA-N 1 2 302.378 1.581 20 30 DDEDLO C=C[C@H](CO)NC(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000292538623 409036603 /nfs/dbraw/zinc/03/66/03/409036603.db2.gz SSZZPWIVEIJXGO-CQSZACIVSA-N 1 2 304.394 1.418 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000292996633 409047113 /nfs/dbraw/zinc/04/71/13/409047113.db2.gz COXSDHQGABQEGH-UHFFFAOYSA-N 1 2 301.390 1.347 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000292996633 409047116 /nfs/dbraw/zinc/04/71/16/409047116.db2.gz COXSDHQGABQEGH-UHFFFAOYSA-N 1 2 301.390 1.347 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCO[C@H](c2ccccc2Cl)C1 ZINC000292979917 409047952 /nfs/dbraw/zinc/04/79/52/409047952.db2.gz FFGIDDKTKIFNPK-HNNXBMFYSA-N 1 2 306.793 1.853 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCO[C@H](c2ccccc2Cl)C1 ZINC000292979917 409047953 /nfs/dbraw/zinc/04/79/53/409047953.db2.gz FFGIDDKTKIFNPK-HNNXBMFYSA-N 1 2 306.793 1.853 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)c1snc(Cl)c1C#N ZINC000278858235 409103071 /nfs/dbraw/zinc/10/30/71/409103071.db2.gz MMZYDGURISLNNL-VIFPVBQESA-N 1 2 300.815 1.825 20 30 DDEDLO COc1ccc(OC)c(NC(=O)CO[NH+]=C(N)[C@H]2CCCO2)c1 ZINC000283757535 409210593 /nfs/dbraw/zinc/21/05/93/409210593.db2.gz AMMCSVYDGAOPIX-CYBMUJFWSA-N 1 2 323.349 1.110 20 30 DDEDLO CO/N=C/C(=O)N1CCC[C@H]1Cn1c(C)[nH+]c2ccccc21 ZINC000289545609 409282109 /nfs/dbraw/zinc/28/21/09/409282109.db2.gz XDDYBHXIXRWYHN-VZWMTCDZSA-N 1 2 300.362 1.968 20 30 DDEDLO C[C@@H]1CN(CCS(=O)(=O)c2cccc(C#N)c2)C[C@H](C)[N@H+]1C ZINC000328552318 164052090 /nfs/dbraw/zinc/05/20/90/164052090.db2.gz VGQYOCZYGQEVLI-OKILXGFUSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1CN(CCS(=O)(=O)c2cccc(C#N)c2)C[C@H](C)[N@@H+]1C ZINC000328552318 164052092 /nfs/dbraw/zinc/05/20/92/164052092.db2.gz VGQYOCZYGQEVLI-OKILXGFUSA-N 1 2 321.446 1.356 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1C[C@H]([NH+]2CCOCC2)[C@@H](C)C1 ZINC000338124679 409738410 /nfs/dbraw/zinc/73/84/10/409738410.db2.gz NEGCCDSWPFUGEC-GUYCJALGSA-N 1 2 313.401 1.659 20 30 DDEDLO C=CCCOCCNC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000354352401 409790383 /nfs/dbraw/zinc/79/03/83/409790383.db2.gz PISCDYCOFCZOAJ-CQSZACIVSA-N 1 2 321.425 1.391 20 30 DDEDLO Cc1nc(N2CCN(c3cc(C#N)ccn3)CC2)c(C)c(C)[nH+]1 ZINC000354381999 409808652 /nfs/dbraw/zinc/80/86/52/409808652.db2.gz DQMJBZAOAUTBTD-UHFFFAOYSA-N 1 2 308.389 1.995 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)[C@@H]1CCN(C)C(=O)C1)CCC2 ZINC000328696363 409956824 /nfs/dbraw/zinc/95/68/24/409956824.db2.gz DGPPLRRUADEZIJ-OLZOCXBDSA-N 1 2 304.394 1.894 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCn2c[nH+]cc2C1)N1CCCCC1=O ZINC000328717421 409961934 /nfs/dbraw/zinc/96/19/34/409961934.db2.gz FHOGJGYAVCDVOP-OLZOCXBDSA-N 1 2 304.394 1.803 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NC[C@@H]3CCC(C)(C)O3)C[C@@H]21 ZINC000328847904 409993035 /nfs/dbraw/zinc/99/30/35/409993035.db2.gz AUZGODVROYDAHO-MELADBBJSA-N 1 2 311.426 1.263 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NC[C@@H]3CCC(C)(C)O3)C[C@@H]21 ZINC000328847904 409993041 /nfs/dbraw/zinc/99/30/41/409993041.db2.gz AUZGODVROYDAHO-MELADBBJSA-N 1 2 311.426 1.263 20 30 DDEDLO C[C@H](CNC(=O)C[C@H]1CCCCNC1=O)[NH+]1CCN(C)CC1 ZINC000328771035 409975891 /nfs/dbraw/zinc/97/58/91/409975891.db2.gz JFZWWQLTNGMBAO-ZIAGYGMSSA-N 1 2 310.442 1.726 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@@H]1CCCC[C@@H]1O)CCC2 ZINC000328650705 409947399 /nfs/dbraw/zinc/94/73/99/409947399.db2.gz JGUAFMSADYGNDV-MJBXVCDLSA-N 1 2 306.410 1.876 20 30 DDEDLO CCC(=O)N1CSC[C@@H]1C(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000328940901 410017221 /nfs/dbraw/zinc/01/72/21/410017221.db2.gz KVIZTXXXXDARIE-JOYOIKCWSA-N 1 2 308.407 1.535 20 30 DDEDLO CCC(=O)N1CSC[C@@H]1C(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000328940901 410017226 /nfs/dbraw/zinc/01/72/26/410017226.db2.gz KVIZTXXXXDARIE-JOYOIKCWSA-N 1 2 308.407 1.535 20 30 DDEDLO CC[N@@H+]1CCCN(C(=O)c2cc(C#N)c(SC)[nH]c2=O)CC1 ZINC000332229541 409997603 /nfs/dbraw/zinc/99/76/03/409997603.db2.gz NTWKZIDDXPZDAQ-UHFFFAOYSA-N 1 2 320.418 1.549 20 30 DDEDLO CC[N@H+]1CCCN(C(=O)c2cc(C#N)c(SC)[nH]c2=O)CC1 ZINC000332229541 409997607 /nfs/dbraw/zinc/99/76/07/409997607.db2.gz NTWKZIDDXPZDAQ-UHFFFAOYSA-N 1 2 320.418 1.549 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N(C)[C@@H](C)CC#N ZINC000354818855 410108915 /nfs/dbraw/zinc/10/89/15/410108915.db2.gz JXIBNVPKHSCHQL-JSGCOSHPSA-N 1 2 319.409 1.244 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N(C)[C@@H](C)CC#N ZINC000354818855 410108922 /nfs/dbraw/zinc/10/89/22/410108922.db2.gz JXIBNVPKHSCHQL-JSGCOSHPSA-N 1 2 319.409 1.244 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1COCC[N@@H+]1CC1CCC1)c1nncn1C ZINC000329241867 410185740 /nfs/dbraw/zinc/18/57/40/410185740.db2.gz NXSUVKZKAYXXMH-AAEUAGOBSA-N 1 2 307.398 1.334 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1COCC[N@H+]1CC1CCC1)c1nncn1C ZINC000329241867 410185743 /nfs/dbraw/zinc/18/57/43/410185743.db2.gz NXSUVKZKAYXXMH-AAEUAGOBSA-N 1 2 307.398 1.334 20 30 DDEDLO Cc1nnc(CCNC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)[nH]1 ZINC000329268937 410204781 /nfs/dbraw/zinc/20/47/81/410204781.db2.gz GUKPYDGCCNAKSE-ZDUSSCGKSA-N 1 2 307.398 1.256 20 30 DDEDLO Cc1nnc(CCNC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)[nH]1 ZINC000329268937 410204788 /nfs/dbraw/zinc/20/47/88/410204788.db2.gz GUKPYDGCCNAKSE-ZDUSSCGKSA-N 1 2 307.398 1.256 20 30 DDEDLO COc1ccncc1C[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000346865121 410206720 /nfs/dbraw/zinc/20/67/20/410206720.db2.gz FHLHIUBBHMFQEN-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CCN(C)C(=O)C[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000298487088 410253574 /nfs/dbraw/zinc/25/35/74/410253574.db2.gz WULLKNANAAISOT-UHFFFAOYSA-N 1 2 304.369 1.298 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)N1CC[C@](C)(O)C1)C1CCOCC1 ZINC000329312901 410226724 /nfs/dbraw/zinc/22/67/24/410226724.db2.gz GPVGEMUVWPWBQM-CJNGLKHVSA-N 1 2 322.409 1.259 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCCSC2)CC1 ZINC000329523397 410343251 /nfs/dbraw/zinc/34/32/51/410343251.db2.gz PWALAHYUXBJRPO-ZDUSSCGKSA-N 1 2 313.467 1.640 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCCSC2)CC1 ZINC000329523397 410343256 /nfs/dbraw/zinc/34/32/56/410343256.db2.gz PWALAHYUXBJRPO-ZDUSSCGKSA-N 1 2 313.467 1.640 20 30 DDEDLO CNC(=O)[C@]1(C)CCN(C([O-])=[NH+][C@@H]2CCc3[nH+]c[nH]c3C2)C1 ZINC000329546986 410352861 /nfs/dbraw/zinc/35/28/61/410352861.db2.gz HHUMSMAFEAFYHV-MEBBXXQBSA-N 1 2 305.382 1.479 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCN2CCOC[C@H]2C1 ZINC000329429779 410292366 /nfs/dbraw/zinc/29/23/66/410292366.db2.gz KBVMWAHVPQPOHP-DZGCQCFKSA-N 1 2 314.389 1.551 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCN2CCOC[C@H]2C1 ZINC000329429779 410292372 /nfs/dbraw/zinc/29/23/72/410292372.db2.gz KBVMWAHVPQPOHP-DZGCQCFKSA-N 1 2 314.389 1.551 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CCOC)Cc1ccco1 ZINC000298733423 410371836 /nfs/dbraw/zinc/37/18/36/410371836.db2.gz HOKPKEXMPHXKPZ-AWEZNQCLSA-N 1 2 307.394 1.736 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CCOC)Cc1ccco1 ZINC000298733423 410371840 /nfs/dbraw/zinc/37/18/40/410371840.db2.gz HOKPKEXMPHXKPZ-AWEZNQCLSA-N 1 2 307.394 1.736 20 30 DDEDLO C[C@@H](C(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1)c1cccc(C#N)c1 ZINC000343367497 410399287 /nfs/dbraw/zinc/39/92/87/410399287.db2.gz HXKIVCMQXYMXQZ-CXAGYDPISA-N 1 2 313.401 1.641 20 30 DDEDLO C[C@@H](C(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1)c1cccc(C#N)c1 ZINC000343367497 410399296 /nfs/dbraw/zinc/39/92/96/410399296.db2.gz HXKIVCMQXYMXQZ-CXAGYDPISA-N 1 2 313.401 1.641 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC000299641142 410600205 /nfs/dbraw/zinc/60/02/05/410600205.db2.gz VIWSRWVYJISDMU-QGZVFWFLSA-N 1 2 323.400 1.682 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000352316918 410621061 /nfs/dbraw/zinc/62/10/61/410621061.db2.gz YZCCUAMEFZOLFD-KBPBESRZSA-N 1 2 318.421 1.977 20 30 DDEDLO CN(C(=O)Cn1cnc(C#N)n1)[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000352783909 410678378 /nfs/dbraw/zinc/67/83/78/410678378.db2.gz PECQKRSMNVDWKW-HNNXBMFYSA-N 1 2 321.344 1.121 20 30 DDEDLO CCc1nnc(NC[C@H](C)[NH+]2CCOCC2)c(C#N)c1CC ZINC000301614430 410755687 /nfs/dbraw/zinc/75/56/87/410755687.db2.gz HZTBKCGUDYJMMK-LBPRGKRZSA-N 1 2 303.410 1.606 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@@H]2CNc2ncccc2C#N)cn1 ZINC000337776787 410994413 /nfs/dbraw/zinc/99/44/13/410994413.db2.gz YDZXQAVOXURLBZ-LSDHHAIUSA-N 1 2 314.368 1.711 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@@H]2CNc2ncccc2C#N)cn1 ZINC000337776787 410994418 /nfs/dbraw/zinc/99/44/18/410994418.db2.gz YDZXQAVOXURLBZ-LSDHHAIUSA-N 1 2 314.368 1.711 20 30 DDEDLO Cc1c(C[S@](C)=O)cccc1NC[C@H](O)C[N@H+](C)CCC#N ZINC000580709750 422949113 /nfs/dbraw/zinc/94/91/13/422949113.db2.gz BETYUXDPNZAJDU-NYHFZMIOSA-N 1 2 323.462 1.492 20 30 DDEDLO Cc1c(C[S@](C)=O)cccc1NC[C@H](O)C[N@@H+](C)CCC#N ZINC000580709750 422949115 /nfs/dbraw/zinc/94/91/15/422949115.db2.gz BETYUXDPNZAJDU-NYHFZMIOSA-N 1 2 323.462 1.492 20 30 DDEDLO C[C@@H]1CCC[N@H+](CCNC(=O)[C@H]2CCCCS2(=O)=O)C1 ZINC000329696805 533681255 /nfs/dbraw/zinc/68/12/55/533681255.db2.gz YAOOSCGYDRWUDT-CHWSQXEVSA-N 1 2 302.440 1.642 20 30 DDEDLO C[C@@H]1CCC[N@@H+](CCNC(=O)[C@H]2CCCCS2(=O)=O)C1 ZINC000329696805 533681264 /nfs/dbraw/zinc/68/12/64/533681264.db2.gz YAOOSCGYDRWUDT-CHWSQXEVSA-N 1 2 302.440 1.642 20 30 DDEDLO N#CCCCCS(=O)(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000151140615 221616283 /nfs/dbraw/zinc/61/62/83/221616283.db2.gz CENPIBFYFUOFCY-CQSZACIVSA-N 1 2 309.391 1.558 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1OC ZINC000373473296 418432866 /nfs/dbraw/zinc/43/28/66/418432866.db2.gz RMILEKXUKCNOOJ-WFASDCNBSA-N 1 2 312.373 1.839 20 30 DDEDLO C=CCCS(=O)(=O)N(C)C1CCN(c2cccc[nH+]2)CC1 ZINC000366245892 418448598 /nfs/dbraw/zinc/44/85/98/418448598.db2.gz KPVUFIJEIVOSIY-UHFFFAOYSA-N 1 2 309.435 1.888 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1C[C@H](C)O[C@]2(CCCOC2)C1 ZINC000366568071 418490949 /nfs/dbraw/zinc/49/09/49/418490949.db2.gz JLONREXXKKRRSC-DOTOQJQBSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1C[C@H](C)O[C@]2(CCCOC2)C1 ZINC000366568071 418490951 /nfs/dbraw/zinc/49/09/51/418490951.db2.gz JLONREXXKKRRSC-DOTOQJQBSA-N 1 2 310.438 1.681 20 30 DDEDLO N#CCc1ccc(C(=O)N2CC[NH2+][C@@H](c3ccncc3)C2)cc1 ZINC000374375109 418524492 /nfs/dbraw/zinc/52/44/92/418524492.db2.gz YYXZXSTXQOLBJW-QGZVFWFLSA-N 1 2 306.369 1.934 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1ccsc1C(=O)OC ZINC000191133354 222096657 /nfs/dbraw/zinc/09/66/57/222096657.db2.gz GEJBIXXTHDGAIE-UHFFFAOYSA-N 1 2 312.391 1.608 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1ccsc1C(=O)OC ZINC000191133354 222096660 /nfs/dbraw/zinc/09/66/60/222096660.db2.gz GEJBIXXTHDGAIE-UHFFFAOYSA-N 1 2 312.391 1.608 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCSC[C@@H]1c1cnn(C)c1 ZINC000191202670 222099063 /nfs/dbraw/zinc/09/90/63/222099063.db2.gz QJWSPFNIAYJJQS-OAHLLOKOSA-N 1 2 322.478 1.935 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCSC[C@@H]1c1cnn(C)c1 ZINC000191202670 222099066 /nfs/dbraw/zinc/09/90/66/222099066.db2.gz QJWSPFNIAYJJQS-OAHLLOKOSA-N 1 2 322.478 1.935 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000294235965 418583876 /nfs/dbraw/zinc/58/38/76/418583876.db2.gz BMMMTBLYSRNVDQ-NVXWUHKLSA-N 1 2 316.401 1.214 20 30 DDEDLO C=CCOC[C@@H]([NH2+]C1CCN(c2ccccc2)CC1)C(=O)OC ZINC000361109487 418585646 /nfs/dbraw/zinc/58/56/46/418585646.db2.gz MZTLUEVFCUIKIW-QGZVFWFLSA-N 1 2 318.417 1.989 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H](CN2CC[NH+](C)CC2)C1 ZINC000367403866 418597003 /nfs/dbraw/zinc/59/70/03/418597003.db2.gz PZUKABMEVRNKKJ-QGZVFWFLSA-N 1 2 305.466 1.666 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@@H]21 ZINC000246959565 222225979 /nfs/dbraw/zinc/22/59/79/222225979.db2.gz SNQOQVICQDGCLG-OWCLPIDISA-N 1 2 308.422 1.455 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@@H]21 ZINC000246959565 222225981 /nfs/dbraw/zinc/22/59/81/222225981.db2.gz SNQOQVICQDGCLG-OWCLPIDISA-N 1 2 308.422 1.455 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CCC[C@H]1C(=O)OC ZINC000247565248 222230389 /nfs/dbraw/zinc/23/03/89/222230389.db2.gz VOTZIHLCBNNZLG-CVEARBPZSA-N 1 2 319.401 1.792 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CCC[C@H]1C(=O)OC ZINC000247565248 222230394 /nfs/dbraw/zinc/23/03/94/222230394.db2.gz VOTZIHLCBNNZLG-CVEARBPZSA-N 1 2 319.401 1.792 20 30 DDEDLO Cc1cc(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)nn1CCC#N ZINC000348795937 418640648 /nfs/dbraw/zinc/64/06/48/418640648.db2.gz KCFWQWXXBSQABR-UHFFFAOYSA-N 1 2 320.356 2.543 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCCN(CC(F)F)CC3)n2c1 ZINC000377890148 418716964 /nfs/dbraw/zinc/71/69/64/418716964.db2.gz SETBEEXDCNTWNX-UHFFFAOYSA-N 1 2 319.359 1.979 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCCN(CC(F)F)CC3)n2c1 ZINC000377890148 418716966 /nfs/dbraw/zinc/71/69/66/418716966.db2.gz SETBEEXDCNTWNX-UHFFFAOYSA-N 1 2 319.359 1.979 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCN3C(=O)OC[C@H]3C2)cc1OC ZINC000378345351 418720994 /nfs/dbraw/zinc/72/09/94/418720994.db2.gz JKEXRUJCDHLHNS-CQSZACIVSA-N 1 2 318.373 1.896 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCN3C(=O)OC[C@H]3C2)cc1OC ZINC000378345351 418720997 /nfs/dbraw/zinc/72/09/97/418720997.db2.gz JKEXRUJCDHLHNS-CQSZACIVSA-N 1 2 318.373 1.896 20 30 DDEDLO C=CCC1(C(=O)NC[C@]2([NH+]3CCOCC3)CCCOC2)CCC1 ZINC000377022901 418703962 /nfs/dbraw/zinc/70/39/62/418703962.db2.gz ITUWWDLQZUMAIQ-GOSISDBHSA-N 1 2 322.449 1.730 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2cccc(C#N)c2)C[C@H]1C ZINC000408042191 418782934 /nfs/dbraw/zinc/78/29/34/418782934.db2.gz QANFIYHNVJGDES-OLZOCXBDSA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2cccc(C#N)c2)C[C@H]1C ZINC000408042191 418782936 /nfs/dbraw/zinc/78/29/36/418782936.db2.gz QANFIYHNVJGDES-OLZOCXBDSA-N 1 2 307.419 1.661 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCO[C@@H](COCC2CC2)C1 ZINC000362585965 418756416 /nfs/dbraw/zinc/75/64/16/418756416.db2.gz GAYVASDYYQRTAR-MRXNPFEDSA-N 1 2 308.422 1.314 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCO[C@@H](COCC2CC2)C1 ZINC000362585965 418756418 /nfs/dbraw/zinc/75/64/18/418756418.db2.gz GAYVASDYYQRTAR-MRXNPFEDSA-N 1 2 308.422 1.314 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCCC[C@@H]1c1ncc(C)s1 ZINC000371342885 418780458 /nfs/dbraw/zinc/78/04/58/418780458.db2.gz CPZKXOOXYWHKQY-GFCCVEGCSA-N 1 2 322.434 1.990 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCCC[C@@H]1c1ncc(C)s1 ZINC000371342885 418780459 /nfs/dbraw/zinc/78/04/59/418780459.db2.gz CPZKXOOXYWHKQY-GFCCVEGCSA-N 1 2 322.434 1.990 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000411124782 418871016 /nfs/dbraw/zinc/87/10/16/418871016.db2.gz ITSQYBYYPOZSFZ-IRXDYDNUSA-N 1 2 317.408 1.601 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000411124782 418871020 /nfs/dbraw/zinc/87/10/20/418871020.db2.gz ITSQYBYYPOZSFZ-IRXDYDNUSA-N 1 2 317.408 1.601 20 30 DDEDLO C#CCNC(=O)N1CCC[C@@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000372571270 418885370 /nfs/dbraw/zinc/88/53/70/418885370.db2.gz MKDKDGFFPOFRJQ-CYBMUJFWSA-N 1 2 305.382 1.007 20 30 DDEDLO C#CCNC(=O)N1CCC[C@@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000372571270 418885371 /nfs/dbraw/zinc/88/53/71/418885371.db2.gz MKDKDGFFPOFRJQ-CYBMUJFWSA-N 1 2 305.382 1.007 20 30 DDEDLO C#CCSCCNC(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000372241579 418847176 /nfs/dbraw/zinc/84/71/76/418847176.db2.gz ISZRWSJUDJDNDR-ZDUSSCGKSA-N 1 2 306.435 1.735 20 30 DDEDLO COC[C@@H]1C[C@H](O)C[N@@H+]1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000411915337 419482317 /nfs/dbraw/zinc/48/23/17/419482317.db2.gz WEPSIDMFPGSMQM-STQMWFEESA-N 1 2 323.780 1.232 20 30 DDEDLO COC[C@@H]1C[C@H](O)C[N@H+]1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000411915337 419482323 /nfs/dbraw/zinc/48/23/23/419482323.db2.gz WEPSIDMFPGSMQM-STQMWFEESA-N 1 2 323.780 1.232 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)CNc2cccc(C#N)c2)CCO1 ZINC000426417812 419465829 /nfs/dbraw/zinc/46/58/29/419465829.db2.gz COIJVCSZYGXAGG-UHFFFAOYSA-N 1 2 316.405 1.197 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)CNc2cccc(C#N)c2)CCO1 ZINC000426417812 419465839 /nfs/dbraw/zinc/46/58/39/419465839.db2.gz COIJVCSZYGXAGG-UHFFFAOYSA-N 1 2 316.405 1.197 20 30 DDEDLO N#Cc1cccc(NCC(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)c1 ZINC000426755558 419543258 /nfs/dbraw/zinc/54/32/58/419543258.db2.gz DDFVIOMRROZEMU-UHFFFAOYSA-N 1 2 324.388 1.038 20 30 DDEDLO C[C@@H](O)CNc1cc(NCc2cc(C#N)ccc2F)nc[nH+]1 ZINC000413167580 419773148 /nfs/dbraw/zinc/77/31/48/419773148.db2.gz LRTHEDCQAUXZMP-SNVBAGLBSA-N 1 2 301.325 1.892 20 30 DDEDLO C[C@@H](O)CNc1cc(NCc2cc(C#N)ccc2F)[nH+]cn1 ZINC000413167580 419773161 /nfs/dbraw/zinc/77/31/61/419773161.db2.gz LRTHEDCQAUXZMP-SNVBAGLBSA-N 1 2 301.325 1.892 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cccc(F)c2C#N)CC1 ZINC000428469855 419872614 /nfs/dbraw/zinc/87/26/14/419872614.db2.gz LLCTZBOOWRCEFA-UHFFFAOYSA-N 1 2 316.380 1.117 20 30 DDEDLO C[C@H](O)CNc1[nH+]c2ccccc2n1Cc1nc(C#N)cs1 ZINC000418758555 419968467 /nfs/dbraw/zinc/96/84/67/419968467.db2.gz HGASGQIIWHNEKU-JTQLQIEISA-N 1 2 313.386 2.205 20 30 DDEDLO N#Cc1cncc(NC[C@H](c2cccs2)[NH+]2CCOCC2)n1 ZINC000420592420 420324844 /nfs/dbraw/zinc/32/48/44/420324844.db2.gz WKJVMPLDZNFVIA-CYBMUJFWSA-N 1 2 315.402 1.895 20 30 DDEDLO N#Cc1cncc(N(CC[NH+]2CCOCC2)Cc2ccco2)n1 ZINC000420638332 420338059 /nfs/dbraw/zinc/33/80/59/420338059.db2.gz ORDJVTAVJUXQJH-UHFFFAOYSA-N 1 2 313.361 1.280 20 30 DDEDLO COC(=O)c1cnc([C@@H](C)[NH2+]CC(=O)NC2(C#N)CCC2)s1 ZINC000425486299 420397802 /nfs/dbraw/zinc/39/78/02/420397802.db2.gz KYGMIUREIBPIJV-SECBINFHSA-N 1 2 322.390 1.143 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC[C@H](n3ccnn3)C2)cc1 ZINC000425521416 420406899 /nfs/dbraw/zinc/40/68/99/420406899.db2.gz ZUGIWUUFFPUUTD-LSDHHAIUSA-N 1 2 310.361 1.013 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC[C@H](n3ccnn3)C2)cc1 ZINC000425521416 420406901 /nfs/dbraw/zinc/40/69/01/420406901.db2.gz ZUGIWUUFFPUUTD-LSDHHAIUSA-N 1 2 310.361 1.013 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H](c3nnc[nH]3)C2)c(C#N)c1C ZINC000425547948 420416506 /nfs/dbraw/zinc/41/65/06/420416506.db2.gz MMFDXPAYVLOWFK-LLVKDONJSA-N 1 2 314.349 1.314 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H](c3nnc[nH]3)C2)c(C#N)c1C ZINC000425547948 420416507 /nfs/dbraw/zinc/41/65/07/420416507.db2.gz MMFDXPAYVLOWFK-LLVKDONJSA-N 1 2 314.349 1.314 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H](S(N)(=O)=O)C2)c(Cl)c1 ZINC000439453728 420512697 /nfs/dbraw/zinc/51/26/97/420512697.db2.gz PQUMDAXBOITUSI-LBPRGKRZSA-N 1 2 313.810 1.465 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H](S(N)(=O)=O)C2)c(Cl)c1 ZINC000439453728 420512701 /nfs/dbraw/zinc/51/27/01/420512701.db2.gz PQUMDAXBOITUSI-LBPRGKRZSA-N 1 2 313.810 1.465 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)N1CC[C@@H](Oc2ccc[nH+]c2N(C)C)C1 ZINC000450882457 420550411 /nfs/dbraw/zinc/55/04/11/420550411.db2.gz NTTXXDKCRSFUHT-XNJGSVPQSA-N 1 2 314.389 1.677 20 30 DDEDLO CCn1nccc1C[N@H+](CCO)Cc1ccc(C#N)c(OC)c1 ZINC000443068083 420742434 /nfs/dbraw/zinc/74/24/34/420742434.db2.gz MZKBXUMTXBOQKC-UHFFFAOYSA-N 1 2 314.389 1.778 20 30 DDEDLO CCn1nccc1C[N@@H+](CCO)Cc1ccc(C#N)c(OC)c1 ZINC000443068083 420742437 /nfs/dbraw/zinc/74/24/37/420742437.db2.gz MZKBXUMTXBOQKC-UHFFFAOYSA-N 1 2 314.389 1.778 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C/c1ccc2c(c1)OCO2 ZINC000493057493 420746048 /nfs/dbraw/zinc/74/60/48/420746048.db2.gz VGPUYAOXYVDBMG-BIENSFFJSA-N 1 2 301.346 1.389 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C\c1cncs1 ZINC000493556608 420880554 /nfs/dbraw/zinc/88/05/54/420880554.db2.gz PCYBTVVKYPMQRM-IHWYPQMZSA-N 1 2 320.418 1.231 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CC[N@H+](C)C[C@@H]1c1ccccc1 ZINC000459872128 420894300 /nfs/dbraw/zinc/89/43/00/420894300.db2.gz GUQWYMJNSBYSHI-MRXNPFEDSA-N 1 2 315.417 1.536 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CC[N@@H+](C)C[C@@H]1c1ccccc1 ZINC000459872128 420894304 /nfs/dbraw/zinc/89/43/04/420894304.db2.gz GUQWYMJNSBYSHI-MRXNPFEDSA-N 1 2 315.417 1.536 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccncc1F ZINC000449044805 420941698 /nfs/dbraw/zinc/94/16/98/420941698.db2.gz FXBCZKGRRUAJAX-LBPRGKRZSA-N 1 2 312.352 1.593 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccncc1F ZINC000449044805 420941701 /nfs/dbraw/zinc/94/17/01/420941701.db2.gz FXBCZKGRRUAJAX-LBPRGKRZSA-N 1 2 312.352 1.593 20 30 DDEDLO C=CCC1(C(=O)N(CCO)CC[NH+]2CCOCC2)CCCCC1 ZINC000450256137 421172015 /nfs/dbraw/zinc/17/20/15/421172015.db2.gz OVTCBVYFOOSCCC-UHFFFAOYSA-N 1 2 324.465 1.666 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCn3c[nH+]cc3C2)cc1F ZINC000489889606 421179151 /nfs/dbraw/zinc/17/91/51/421179151.db2.gz TWSLTZAGTOJPHI-UHFFFAOYSA-N 1 2 306.322 1.098 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNc2nccc(C#N)c2Cl)C1 ZINC000450540273 421208357 /nfs/dbraw/zinc/20/83/57/421208357.db2.gz OOWZDXVYNCYHPU-NSHDSACASA-N 1 2 322.796 1.904 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNc2nccc(C#N)c2Cl)C1 ZINC000450540273 421208358 /nfs/dbraw/zinc/20/83/58/421208358.db2.gz OOWZDXVYNCYHPU-NSHDSACASA-N 1 2 322.796 1.904 20 30 DDEDLO CCN1CC[N@H+](CCC(=O)Nc2sccc2C#N)[C@@H](C)C1=O ZINC000547972274 421384348 /nfs/dbraw/zinc/38/43/48/421384348.db2.gz UHCWHLVHFJFAQJ-NSHDSACASA-N 1 2 320.418 1.501 20 30 DDEDLO CCN1CC[N@@H+](CCC(=O)Nc2sccc2C#N)[C@@H](C)C1=O ZINC000547972274 421384350 /nfs/dbraw/zinc/38/43/50/421384350.db2.gz UHCWHLVHFJFAQJ-NSHDSACASA-N 1 2 320.418 1.501 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)C(=O)NC1(C#N)CCC1)c1nc(C(C)(C)C)no1 ZINC000527298611 421375498 /nfs/dbraw/zinc/37/54/98/421375498.db2.gz QHWMSFOHJTTWNN-WDEREUQCSA-N 1 2 319.409 1.969 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(c2ccncc2C#N)CC1 ZINC000548490873 421445038 /nfs/dbraw/zinc/44/50/38/421445038.db2.gz MIHMFCOTLRBHLY-CQSZACIVSA-N 1 2 313.405 1.086 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000549305314 421498670 /nfs/dbraw/zinc/49/86/70/421498670.db2.gz GLWFJYVYGBXSOJ-UHFFFAOYSA-N 1 2 307.357 1.619 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@@H+]3CC[C@H](OC(F)F)C3)C2=O)cc1 ZINC000549413886 421500221 /nfs/dbraw/zinc/50/02/21/421500221.db2.gz GVTAAHZDPLNUTD-KBPBESRZSA-N 1 2 321.327 1.977 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@H+]3CC[C@H](OC(F)F)C3)C2=O)cc1 ZINC000549413886 421500223 /nfs/dbraw/zinc/50/02/23/421500223.db2.gz GVTAAHZDPLNUTD-KBPBESRZSA-N 1 2 321.327 1.977 20 30 DDEDLO CCN1CCN(C(=O)C(C#N)(CC)CC)C[C@@H]1c1[nH]cc[nH+]1 ZINC000529098077 421528128 /nfs/dbraw/zinc/52/81/28/421528128.db2.gz SAJHINWWFIZPPB-CYBMUJFWSA-N 1 2 303.410 1.945 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccn(-c2ccccc2F)n1 ZINC000517034104 421591792 /nfs/dbraw/zinc/59/17/92/421591792.db2.gz RMDMFGGHFSHAMN-MRXNPFEDSA-N 1 2 315.352 1.585 20 30 DDEDLO C=CCCCCCNC(=O)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000569938814 421628899 /nfs/dbraw/zinc/62/88/99/421628899.db2.gz ASXUUCCPASYSEV-UHFFFAOYSA-N 1 2 310.442 1.324 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NC2CCC(C)CC2)C1=O ZINC000532216993 421651353 /nfs/dbraw/zinc/65/13/53/421651353.db2.gz RYQOVSHKNZLUQF-NRXISQOPSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NC2CCC(C)CC2)C1=O ZINC000532216993 421651357 /nfs/dbraw/zinc/65/13/57/421651357.db2.gz RYQOVSHKNZLUQF-NRXISQOPSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)NCc1cn2c([nH+]1)CCCC2 ZINC000519621413 421708862 /nfs/dbraw/zinc/70/88/62/421708862.db2.gz JKVQSPWOXTWQAB-XJKSGUPXSA-N 1 2 318.421 2.000 20 30 DDEDLO C[C@@H](C#N)CNS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000540877488 421771502 /nfs/dbraw/zinc/77/15/02/421771502.db2.gz ZYTGPIOMSDSBTM-LBPRGKRZSA-N 1 2 304.375 1.369 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)c(Cl)c1 ZINC000542983366 421832784 /nfs/dbraw/zinc/83/27/84/421832784.db2.gz ZRDDOLPNBBXGNJ-UHFFFAOYSA-N 1 2 322.777 1.654 20 30 DDEDLO C[C@@H]1CN(c2cccc(Cl)c2)CC[N@@H+]1CC(=O)NCC#N ZINC000573217701 421932481 /nfs/dbraw/zinc/93/24/81/421932481.db2.gz NRDGJELZDKHHGH-GFCCVEGCSA-N 1 2 306.797 1.490 20 30 DDEDLO C[C@@H]1CN(c2cccc(Cl)c2)CC[N@H+]1CC(=O)NCC#N ZINC000573217701 421932484 /nfs/dbraw/zinc/93/24/84/421932484.db2.gz NRDGJELZDKHHGH-GFCCVEGCSA-N 1 2 306.797 1.490 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+]1CCC[C@@H](N2CCOCC2)C1 ZINC000581437239 421975051 /nfs/dbraw/zinc/97/50/51/421975051.db2.gz FZVROGLOODWUPV-OAHLLOKOSA-N 1 2 303.381 1.994 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+]1CCC[C@@H](N2CCOCC2)C1 ZINC000581437239 421975054 /nfs/dbraw/zinc/97/50/54/421975054.db2.gz FZVROGLOODWUPV-OAHLLOKOSA-N 1 2 303.381 1.994 20 30 DDEDLO CC[N@H+](Cc1nnc(-c2cccc(C#N)c2)o1)[C@@H]1CCNC1=O ZINC000574511078 422122853 /nfs/dbraw/zinc/12/28/53/422122853.db2.gz DKCSFYLNAJWBMD-CYBMUJFWSA-N 1 2 311.345 1.319 20 30 DDEDLO CC[N@@H+](Cc1nnc(-c2cccc(C#N)c2)o1)[C@@H]1CCNC1=O ZINC000574511078 422122858 /nfs/dbraw/zinc/12/28/58/422122858.db2.gz DKCSFYLNAJWBMD-CYBMUJFWSA-N 1 2 311.345 1.319 20 30 DDEDLO COC(=O)C[N@H+](Cc1ccc(C#N)c(OC)c1)C1CCOCC1 ZINC000574511398 422123726 /nfs/dbraw/zinc/12/37/26/422123726.db2.gz XIQDYRPNJABWCB-UHFFFAOYSA-N 1 2 318.373 1.721 20 30 DDEDLO COC(=O)C[N@@H+](Cc1ccc(C#N)c(OC)c1)C1CCOCC1 ZINC000574511398 422123729 /nfs/dbraw/zinc/12/37/29/422123729.db2.gz XIQDYRPNJABWCB-UHFFFAOYSA-N 1 2 318.373 1.721 20 30 DDEDLO C=CCN(Cc1ccccc1F)C(=O)NC[C@@H]1COCC[N@H+]1C ZINC000637446938 422215620 /nfs/dbraw/zinc/21/56/20/422215620.db2.gz VMLFKMFQCBVAPC-OAHLLOKOSA-N 1 2 321.396 1.854 20 30 DDEDLO C=CCN(Cc1ccccc1F)C(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000637446938 422215625 /nfs/dbraw/zinc/21/56/25/422215625.db2.gz VMLFKMFQCBVAPC-OAHLLOKOSA-N 1 2 321.396 1.854 20 30 DDEDLO C=C(C)COCCNC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635689210 422242094 /nfs/dbraw/zinc/24/20/94/422242094.db2.gz JPUNBTPUUBXPNH-KRWDZBQOSA-N 1 2 318.417 1.978 20 30 DDEDLO C=C(C)COCCNC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635689210 422242100 /nfs/dbraw/zinc/24/21/00/422242100.db2.gz JPUNBTPUUBXPNH-KRWDZBQOSA-N 1 2 318.417 1.978 20 30 DDEDLO C=CCC[C@@H]1NC(=O)N(C2CCN(c3cccc[nH+]3)CC2)C1=O ZINC000584043577 422245371 /nfs/dbraw/zinc/24/53/71/422245371.db2.gz PHDTVDOWGFFWNL-AWEZNQCLSA-N 1 2 314.389 1.937 20 30 DDEDLO CC(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1Br ZINC000575745486 422316177 /nfs/dbraw/zinc/31/61/77/422316177.db2.gz OCOWVLOLBJJQOZ-UHFFFAOYSA-N 1 2 310.195 1.889 20 30 DDEDLO CC(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1Br ZINC000575745486 422316182 /nfs/dbraw/zinc/31/61/82/422316182.db2.gz OCOWVLOLBJJQOZ-UHFFFAOYSA-N 1 2 310.195 1.889 20 30 DDEDLO COc1ccc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1OC ZINC000577038847 422381467 /nfs/dbraw/zinc/38/14/67/422381467.db2.gz QKKPEFUKQJBELG-MRXNPFEDSA-N 1 2 305.378 1.206 20 30 DDEDLO C[NH+](C)[C@H](CNc1cc(C#N)cnn1)c1c(F)cccc1F ZINC000596128700 422366258 /nfs/dbraw/zinc/36/62/58/422366258.db2.gz JZTJGXYBQCLPNW-CYBMUJFWSA-N 1 2 303.316 2.341 20 30 DDEDLO CCc1nc(C#N)cc(NCC2CC[NH+](CC(F)F)CC2)n1 ZINC000596240115 422372644 /nfs/dbraw/zinc/37/26/44/422372644.db2.gz UPRIRWAUIAMQRH-UHFFFAOYSA-N 1 2 309.364 2.300 20 30 DDEDLO C=C(C)C[C@H]1NC(=O)N([C@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000630975325 422451726 /nfs/dbraw/zinc/45/17/26/422451726.db2.gz KVBFGKYZFDNTNJ-QWHCGFSZSA-N 1 2 300.362 1.547 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNc1cc(C#N)nc(C2CC2)n1 ZINC000601193547 422420720 /nfs/dbraw/zinc/42/07/20/422420720.db2.gz KGDNZSBLJQCXJM-NEPJUHHUSA-N 1 2 301.394 1.747 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNc1cc(C#N)nc(C2CC2)n1 ZINC000601193547 422420727 /nfs/dbraw/zinc/42/07/27/422420727.db2.gz KGDNZSBLJQCXJM-NEPJUHHUSA-N 1 2 301.394 1.747 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NS(=O)(=O)C[C@@H]1C[N@H+](C)CCO1 ZINC000632544933 422425118 /nfs/dbraw/zinc/42/51/18/422425118.db2.gz QEQYICWMGQLCOS-ILXRZTDVSA-N 1 2 316.467 1.371 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NS(=O)(=O)C[C@@H]1C[N@@H+](C)CCO1 ZINC000632544933 422425123 /nfs/dbraw/zinc/42/51/23/422425123.db2.gz QEQYICWMGQLCOS-ILXRZTDVSA-N 1 2 316.467 1.371 20 30 DDEDLO C=CC[N@@H+](C[C@@H](OC)C1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000637961714 422512924 /nfs/dbraw/zinc/51/29/24/422512924.db2.gz BXFMXHLWCBEPCP-LSDHHAIUSA-N 1 2 317.451 1.103 20 30 DDEDLO C=CC[N@H+](C[C@@H](OC)C1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000637961714 422512929 /nfs/dbraw/zinc/51/29/29/422512929.db2.gz BXFMXHLWCBEPCP-LSDHHAIUSA-N 1 2 317.451 1.103 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N[C@H]2CCCC[C@@H]2C)nn1 ZINC000640794462 423192003 /nfs/dbraw/zinc/19/20/03/423192003.db2.gz NPCZJRYBRDFDON-ZFWWWQNUSA-N 1 2 303.410 1.086 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ncn(-c3ccccc3)n2)nn1 ZINC000641096661 423382571 /nfs/dbraw/zinc/38/25/71/423382571.db2.gz PSSNEVFGUWVIMB-UHFFFAOYSA-N 1 2 307.361 1.020 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2csc([C@@H](C)OC)n2)nn1 ZINC000641126477 423406460 /nfs/dbraw/zinc/40/64/60/423406460.db2.gz ZXSMRMAIAHNRRZ-LLVKDONJSA-N 1 2 305.407 1.603 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H](C(N)=O)[C@H](C)c2ccccc2)nn1 ZINC000653694803 423581559 /nfs/dbraw/zinc/58/15/59/423581559.db2.gz RNSYQSZXCFNSAS-CJNGLKHVSA-N 1 2 313.405 1.601 20 30 DDEDLO C=CC[N@H+](CCOc1ccccc1C#N)[C@H]1CCS(=O)(=O)C1 ZINC000066559586 264246783 /nfs/dbraw/zinc/24/67/83/264246783.db2.gz VJUWETHMMYQLHN-HNNXBMFYSA-N 1 2 320.414 1.612 20 30 DDEDLO C=CC[N@@H+](CCOc1ccccc1C#N)[C@H]1CCS(=O)(=O)C1 ZINC000066559586 264246784 /nfs/dbraw/zinc/24/67/84/264246784.db2.gz VJUWETHMMYQLHN-HNNXBMFYSA-N 1 2 320.414 1.612 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCCc1cn2ccccc2[nH+]1 ZINC000665825090 423869817 /nfs/dbraw/zinc/86/98/17/423869817.db2.gz YQNSYYQDBBBLLN-HNNXBMFYSA-N 1 2 314.389 1.464 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cccc(SC)c2)CC1 ZINC000659811683 424268240 /nfs/dbraw/zinc/26/82/40/424268240.db2.gz UHLOEMGHXYPOOT-UHFFFAOYSA-N 1 2 319.474 1.828 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cccc(SC)c2)CC1 ZINC000659811683 424268248 /nfs/dbraw/zinc/26/82/48/424268248.db2.gz UHLOEMGHXYPOOT-UHFFFAOYSA-N 1 2 319.474 1.828 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@H](C)OC ZINC000361572573 266128076 /nfs/dbraw/zinc/12/80/76/266128076.db2.gz FOEAZCMWDRCAEO-ZDUSSCGKSA-N 1 2 310.419 1.689 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@H](C)OC ZINC000361572573 266128079 /nfs/dbraw/zinc/12/80/79/266128079.db2.gz FOEAZCMWDRCAEO-ZDUSSCGKSA-N 1 2 310.419 1.689 20 30 DDEDLO CCN1CCN(C(=O)c2ccnc(C#N)c2)C[C@H]1c1[nH]cc[nH+]1 ZINC000356101366 266149956 /nfs/dbraw/zinc/14/99/56/266149956.db2.gz QSLWPNVXWIDVGZ-AWEZNQCLSA-N 1 2 310.361 1.195 20 30 DDEDLO C[C@@H](c1nnnn1C)[N@H+](C)CCOCc1ccc(C#N)cc1 ZINC000344074492 267006975 /nfs/dbraw/zinc/00/69/75/267006975.db2.gz QHMWHLUXZZCPBD-LBPRGKRZSA-N 1 2 300.366 1.291 20 30 DDEDLO C[C@@H](c1nnnn1C)[N@@H+](C)CCOCc1ccc(C#N)cc1 ZINC000344074492 267006980 /nfs/dbraw/zinc/00/69/80/267006980.db2.gz QHMWHLUXZZCPBD-LBPRGKRZSA-N 1 2 300.366 1.291 20 30 DDEDLO C[C@H]1OCC[C@]12C[N@H+](Cc1cnc3ccc(C#N)cn13)CCO2 ZINC000373465097 267247681 /nfs/dbraw/zinc/24/76/81/267247681.db2.gz JCFMHZAVADSCPL-DYVFJYSZSA-N 1 2 312.373 1.586 20 30 DDEDLO C[C@H]1OCC[C@]12C[N@@H+](Cc1cnc3ccc(C#N)cn13)CCO2 ZINC000373465097 267247687 /nfs/dbraw/zinc/24/76/87/267247687.db2.gz JCFMHZAVADSCPL-DYVFJYSZSA-N 1 2 312.373 1.586 20 30 DDEDLO CN1C[C@@H](C[NH+]2CCN(c3ccccc3C#N)CC2)OC1=O ZINC000496487446 267341202 /nfs/dbraw/zinc/34/12/02/267341202.db2.gz RTPOPNPAFVCIAH-AWEZNQCLSA-N 1 2 300.362 1.131 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)NCc2cccc(C#N)c2)C1 ZINC000372885351 267830303 /nfs/dbraw/zinc/83/03/03/267830303.db2.gz VWCPWXBISIJRKC-HNNXBMFYSA-N 1 2 309.373 1.991 20 30 DDEDLO N#Cc1ccc(C2=CCN(C(=O)C[NH+]3CCOCC3)CC2)cc1 ZINC000371420375 268053574 /nfs/dbraw/zinc/05/35/74/268053574.db2.gz ZYQKPVAWKCLTMQ-UHFFFAOYSA-N 1 2 311.385 1.506 20 30 DDEDLO Cc1nn(C)c(N2CCN(c3[nH]c4ccccc4[nH+]3)CC2)c1C#N ZINC000530412420 268091022 /nfs/dbraw/zinc/09/10/22/268091022.db2.gz PJEPTPHDWVMGGB-UHFFFAOYSA-N 1 2 321.388 1.803 20 30 DDEDLO N#Cc1ccc(OCC(=O)NCc2ccn3cc[nH+]c3c2)cc1 ZINC000354805424 268169792 /nfs/dbraw/zinc/16/97/92/268169792.db2.gz QXTMFWGUOYHWLV-UHFFFAOYSA-N 1 2 306.325 1.901 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H]2CCC[N@H+](C)[C@H]2C)cc1C#N ZINC000345292441 272210767 /nfs/dbraw/zinc/21/07/67/272210767.db2.gz NHYDNUCGEMPAFN-JOYOIKCWSA-N 1 2 320.418 1.593 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H]2CCC[N@@H+](C)[C@H]2C)cc1C#N ZINC000345292441 272210770 /nfs/dbraw/zinc/21/07/70/272210770.db2.gz NHYDNUCGEMPAFN-JOYOIKCWSA-N 1 2 320.418 1.593 20 30 DDEDLO CN1C[C@H]2C[N@H+](CC#Cc3cccc(Cl)c3)CCN2C1=O ZINC000373245661 277206364 /nfs/dbraw/zinc/20/63/64/277206364.db2.gz NCLQSKBWONNLDG-HNNXBMFYSA-N 1 2 303.793 1.743 20 30 DDEDLO CN1C[C@H]2C[N@@H+](CC#Cc3cccc(Cl)c3)CCN2C1=O ZINC000373245661 277206365 /nfs/dbraw/zinc/20/63/65/277206365.db2.gz NCLQSKBWONNLDG-HNNXBMFYSA-N 1 2 303.793 1.743 20 30 DDEDLO COc1cnc(NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)s1 ZINC000329241070 278072069 /nfs/dbraw/zinc/07/20/69/278072069.db2.gz NDZYUTPOQPFERV-UWVGGRQHSA-N 1 2 312.395 1.102 20 30 DDEDLO COc1cnc(NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)s1 ZINC000329241070 278072071 /nfs/dbraw/zinc/07/20/71/278072071.db2.gz NDZYUTPOQPFERV-UWVGGRQHSA-N 1 2 312.395 1.102 20 30 DDEDLO CC(C)c1scnc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329586396 278117481 /nfs/dbraw/zinc/11/74/81/278117481.db2.gz WTGLVEMGHXGHKG-MNOVXSKESA-N 1 2 310.423 1.827 20 30 DDEDLO CC(C)c1scnc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329586396 278117484 /nfs/dbraw/zinc/11/74/84/278117484.db2.gz WTGLVEMGHXGHKG-MNOVXSKESA-N 1 2 310.423 1.827 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CC[C@H](N3CCOCC3)C2)cc1 ZINC000330916279 303200183 /nfs/dbraw/zinc/20/01/83/303200183.db2.gz ZPBYLEVQPDNPEN-JKSUJKDBSA-N 1 2 314.389 1.541 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CC[C@H](N3CCOCC3)C2)cc1 ZINC000330916279 303200185 /nfs/dbraw/zinc/20/01/85/303200185.db2.gz ZPBYLEVQPDNPEN-JKSUJKDBSA-N 1 2 314.389 1.541 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)N2CC[C@H]([NH+]3CCOCC3)C2)cc1 ZINC000330916279 303200186 /nfs/dbraw/zinc/20/01/86/303200186.db2.gz ZPBYLEVQPDNPEN-JKSUJKDBSA-N 1 2 314.389 1.541 20 30 DDEDLO COc1nccnc1C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000449243793 307306365 /nfs/dbraw/zinc/30/63/65/307306365.db2.gz NGUMYBQYNXGVPM-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)nc1 ZINC000564664966 308002632 /nfs/dbraw/zinc/00/26/32/308002632.db2.gz BCWXCOJVVPIDQF-UHFFFAOYSA-N 1 2 304.357 1.542 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(CC[C@@H]2CCCO2)c1=O ZINC000569416719 308143099 /nfs/dbraw/zinc/14/30/99/308143099.db2.gz CDNWOANFELUFML-INIZCTEOSA-N 1 2 317.389 1.121 20 30 DDEDLO CC[C@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000580512613 308609507 /nfs/dbraw/zinc/60/95/07/308609507.db2.gz MFYWBQZFFSGPQI-KBPBESRZSA-N 1 2 315.421 1.378 20 30 DDEDLO N#C[C@H](NC(=O)c1ccc(-n2cc[nH+]c2)nn1)C1CCCCC1 ZINC000530654289 331615756 /nfs/dbraw/zinc/61/57/56/331615756.db2.gz FDIHTQNLOIPTIX-AWEZNQCLSA-N 1 2 310.361 1.865 20 30 DDEDLO N#CCC[C@@H](C#N)C[NH2+][C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000558634185 331930884 /nfs/dbraw/zinc/93/08/84/331930884.db2.gz WZDAIISOCOUOPW-QWHCGFSZSA-N 1 2 300.337 1.964 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H](NC(=O)CSCC#N)C2 ZINC000330768052 334808970 /nfs/dbraw/zinc/80/89/70/334808970.db2.gz XODMWEAXRJUPQV-NSHDSACASA-N 1 2 306.435 1.868 20 30 DDEDLO COc1cc(NC[C@@H](O)C[N@H+](C)CCC#N)cc(OC)c1C ZINC000563953291 336388756 /nfs/dbraw/zinc/38/87/56/336388756.db2.gz HBTIJSAEFVJQLQ-CQSZACIVSA-N 1 2 307.394 1.631 20 30 DDEDLO COc1cc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc(OC)c1C ZINC000563953291 336388757 /nfs/dbraw/zinc/38/87/57/336388757.db2.gz HBTIJSAEFVJQLQ-CQSZACIVSA-N 1 2 307.394 1.631 20 30 DDEDLO N#CCN1CCC[C@H](CNC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000358185173 336615578 /nfs/dbraw/zinc/61/55/78/336615578.db2.gz PFCVIUYZFUSOMI-OAHLLOKOSA-N 1 2 323.400 1.838 20 30 DDEDLO C(#CC[N@@H+]1CCO[C@@H]2CCC[C@H]21)CN1CCO[C@@H]2CCC[C@@H]21 ZINC000375351653 337008896 /nfs/dbraw/zinc/00/88/96/337008896.db2.gz DUPJETGRAFIRDR-XMTFNYHQSA-N 1 2 304.434 1.496 20 30 DDEDLO C(#CC[N@H+]1CCO[C@@H]2CCC[C@H]21)CN1CCO[C@@H]2CCC[C@@H]21 ZINC000375351653 337008897 /nfs/dbraw/zinc/00/88/97/337008897.db2.gz DUPJETGRAFIRDR-XMTFNYHQSA-N 1 2 304.434 1.496 20 30 DDEDLO C(#CC[N@@H+]1CCO[C@@H]2CCC[C@@H]21)CN1CCO[C@@H]2CCC[C@H]21 ZINC000375351653 337008898 /nfs/dbraw/zinc/00/88/98/337008898.db2.gz DUPJETGRAFIRDR-XMTFNYHQSA-N 1 2 304.434 1.496 20 30 DDEDLO C(#CC[N@H+]1CCO[C@@H]2CCC[C@@H]21)CN1CCO[C@@H]2CCC[C@H]21 ZINC000375351653 337008899 /nfs/dbraw/zinc/00/88/99/337008899.db2.gz DUPJETGRAFIRDR-XMTFNYHQSA-N 1 2 304.434 1.496 20 30 DDEDLO COc1ccc(C#N)cc1CN1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000394427713 337116200 /nfs/dbraw/zinc/11/62/00/337116200.db2.gz IGDHGCSMXQZVEG-QGZVFWFLSA-N 1 2 315.417 1.863 20 30 DDEDLO N#CCC1CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)CC1 ZINC000543791279 341058919 /nfs/dbraw/zinc/05/89/19/341058919.db2.gz BWRZBTAFCNYIOK-HNNXBMFYSA-N 1 2 315.421 1.815 20 30 DDEDLO N#CCC1CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)CC1 ZINC000543791279 341058920 /nfs/dbraw/zinc/05/89/20/341058920.db2.gz BWRZBTAFCNYIOK-HNNXBMFYSA-N 1 2 315.421 1.815 20 30 DDEDLO Cc1ccc(F)c(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000263845024 341575741 /nfs/dbraw/zinc/57/57/41/341575741.db2.gz DVXDJJHNMOILTL-UHFFFAOYSA-N 1 2 319.380 1.822 20 30 DDEDLO CCNC(=O)c1cccc(NC(=O)C[NH2+][C@H](CC)CC#N)c1 ZINC000568181275 341657114 /nfs/dbraw/zinc/65/71/14/341657114.db2.gz OXVKXOPUSCEEOI-CYBMUJFWSA-N 1 2 302.378 1.657 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ncsc1C(F)(F)F ZINC000573924882 341743352 /nfs/dbraw/zinc/74/33/52/341743352.db2.gz JIAIXBJKHVSCSM-SNVBAGLBSA-N 1 2 306.313 1.736 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cncc(C(F)(F)F)c1 ZINC000577735122 341932886 /nfs/dbraw/zinc/93/28/86/341932886.db2.gz WHAXNONDECAPAD-GFCCVEGCSA-N 1 2 300.284 1.674 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H](N3CCOCC3)C2)nn1 ZINC000653720709 483962144 /nfs/dbraw/zinc/96/21/44/483962144.db2.gz AHWTXLVOSVRGQM-INIZCTEOSA-N 1 2 305.426 1.151 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H](N3CCOCC3)C2)nn1 ZINC000653720709 483962152 /nfs/dbraw/zinc/96/21/52/483962152.db2.gz AHWTXLVOSVRGQM-INIZCTEOSA-N 1 2 305.426 1.151 20 30 DDEDLO COCC#CCN1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000661189002 484965521 /nfs/dbraw/zinc/96/55/21/484965521.db2.gz MUBBTNRYCUDHBG-MSOLQXFVSA-N 1 2 300.402 1.221 20 30 DDEDLO COCC#CCN1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000661189002 484965524 /nfs/dbraw/zinc/96/55/24/484965524.db2.gz MUBBTNRYCUDHBG-MSOLQXFVSA-N 1 2 300.402 1.221 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@@H](Cc1ccccc1)c1[nH+]ccn1C ZINC000661351862 485064462 /nfs/dbraw/zinc/06/44/62/485064462.db2.gz CCTUCAHSBPIUQY-CABCVRRESA-N 1 2 314.389 1.550 20 30 DDEDLO C=C[C@H](C)NC(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000674976707 485837154 /nfs/dbraw/zinc/83/71/54/485837154.db2.gz ZBKIVJHOYTWFMH-ZDUSSCGKSA-N 1 2 316.405 1.770 20 30 DDEDLO C=CCOCCNC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000679489910 485900945 /nfs/dbraw/zinc/90/09/45/485900945.db2.gz BZZJQHNQIOGJCH-UHFFFAOYSA-N 1 2 302.378 1.687 20 30 DDEDLO C=CCNC(=O)C[N@H+](Cc1ccccc1)C[C@H](O)C(F)(F)F ZINC000679675391 485970224 /nfs/dbraw/zinc/97/02/24/485970224.db2.gz JMCRLVPXYXFHKP-ZDUSSCGKSA-N 1 2 316.323 1.714 20 30 DDEDLO C=CCNC(=O)C[N@@H+](Cc1ccccc1)C[C@H](O)C(F)(F)F ZINC000679675391 485970227 /nfs/dbraw/zinc/97/02/27/485970227.db2.gz JMCRLVPXYXFHKP-ZDUSSCGKSA-N 1 2 316.323 1.714 20 30 DDEDLO C[C@H](Nc1c(C#N)cccc1[N+](=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000425221821 534015195 /nfs/dbraw/zinc/01/51/95/534015195.db2.gz HISGXTZWZPQFFJ-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000330289015 534164089 /nfs/dbraw/zinc/16/40/89/534164089.db2.gz DMLCMCKPVVWLMN-BJJXKVORSA-N 1 2 319.405 1.687 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000330289015 534164094 /nfs/dbraw/zinc/16/40/94/534164094.db2.gz DMLCMCKPVVWLMN-BJJXKVORSA-N 1 2 319.405 1.687 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)[N@H+]1C[C@@H]2[C@H](C1)OCCN2C ZINC000330289015 534164099 /nfs/dbraw/zinc/16/40/99/534164099.db2.gz DMLCMCKPVVWLMN-BJJXKVORSA-N 1 2 319.405 1.687 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)[N@@H+]1C[C@@H]2[C@H](C1)OCCN2C ZINC000330289015 534164104 /nfs/dbraw/zinc/16/41/04/534164104.db2.gz DMLCMCKPVVWLMN-BJJXKVORSA-N 1 2 319.405 1.687 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000347116398 534283720 /nfs/dbraw/zinc/28/37/20/534283720.db2.gz RYWHISQUVRRCAF-ZBFHGGJFSA-N 1 2 301.390 1.206 20 30 DDEDLO N#C[C@H]1CCC[C@H]1[NH2+]CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000331686855 534749914 /nfs/dbraw/zinc/74/99/14/534749914.db2.gz SWQCIBXZHVEILF-DGCLKSJQSA-N 1 2 301.346 1.678 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)NCC2CCCCC2)C1=O ZINC000337218846 526503479 /nfs/dbraw/zinc/50/34/79/526503479.db2.gz JHRFAURRUSUCBY-ZBFHGGJFSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)NCC2CCCCC2)C1=O ZINC000337218846 526503484 /nfs/dbraw/zinc/50/34/84/526503484.db2.gz JHRFAURRUSUCBY-ZBFHGGJFSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N2CCCC[C@@H]2CC)C1=O ZINC000337234727 526503692 /nfs/dbraw/zinc/50/36/92/526503692.db2.gz XCWNPEGCGCMCFB-PMPSAXMXSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N2CCCC[C@@H]2CC)C1=O ZINC000337234727 526503694 /nfs/dbraw/zinc/50/36/94/526503694.db2.gz XCWNPEGCGCMCFB-PMPSAXMXSA-N 1 2 321.465 1.885 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH2+]C(C)(C)c1nnc2n1CCCC2 ZINC000451413360 526526598 /nfs/dbraw/zinc/52/65/98/526526598.db2.gz QPSLOPZNENPHEU-UHFFFAOYSA-N 1 2 319.453 1.864 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(F)cc2C#N)CC1 ZINC000343737987 526539327 /nfs/dbraw/zinc/53/93/27/526539327.db2.gz WAIFJHZVZPWPIT-UHFFFAOYSA-N 1 2 316.380 1.117 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(C(=O)CC2CCCCC2)CC1 ZINC000330400148 526624444 /nfs/dbraw/zinc/62/44/44/526624444.db2.gz DQPXZEZMTPJPRL-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](C)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC000337477968 526630844 /nfs/dbraw/zinc/63/08/44/526630844.db2.gz ZJMJBGPWZHWOAL-CABCVRRESA-N 1 2 317.433 1.829 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](C)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC000337477968 526630847 /nfs/dbraw/zinc/63/08/47/526630847.db2.gz ZJMJBGPWZHWOAL-CABCVRRESA-N 1 2 317.433 1.829 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000343685848 526695930 /nfs/dbraw/zinc/69/59/30/526695930.db2.gz UPPSZKURYZMOIT-CVEARBPZSA-N 1 2 310.438 1.538 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NCc1ccc[nH+]c1N(C)C ZINC000437672166 526776632 /nfs/dbraw/zinc/77/66/32/526776632.db2.gz WYZPJXYKKUGRCJ-HIFRSBDPSA-N 1 2 318.421 1.928 20 30 DDEDLO C#CCN(C)C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000491278605 526798845 /nfs/dbraw/zinc/79/88/45/526798845.db2.gz ULGPZCSZKFQTMS-HNNXBMFYSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCN(C)C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000491278605 526798849 /nfs/dbraw/zinc/79/88/49/526798849.db2.gz ULGPZCSZKFQTMS-HNNXBMFYSA-N 1 2 316.405 1.109 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000330453935 526855138 /nfs/dbraw/zinc/85/51/38/526855138.db2.gz MESAFTIFDJTVDL-XPKDYRNWSA-N 1 2 308.426 1.030 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000330453935 526855141 /nfs/dbraw/zinc/85/51/41/526855141.db2.gz MESAFTIFDJTVDL-XPKDYRNWSA-N 1 2 308.426 1.030 20 30 DDEDLO C#CC[N@@H+](C[C@H](O)CCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000490937099 526903157 /nfs/dbraw/zinc/90/31/57/526903157.db2.gz SGWQEBSJWZKBCH-IAGOWNOFSA-N 1 2 321.442 1.102 20 30 DDEDLO C#CC[N@H+](C[C@H](O)CCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000490937099 526903162 /nfs/dbraw/zinc/90/31/62/526903162.db2.gz SGWQEBSJWZKBCH-IAGOWNOFSA-N 1 2 321.442 1.102 20 30 DDEDLO C=CC(=O)NCc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000490734095 526935775 /nfs/dbraw/zinc/93/57/75/526935775.db2.gz PJZCYHJIYFFUHG-KRWDZBQOSA-N 1 2 314.389 1.062 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCCO3)n2C(C)C)CC1 ZINC000491252327 526955512 /nfs/dbraw/zinc/95/55/12/526955512.db2.gz HKQOWOOFXZKEEC-HNNXBMFYSA-N 1 2 317.437 1.856 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCS3)n2CC=C)CC1 ZINC000491813307 526956938 /nfs/dbraw/zinc/95/69/38/526956938.db2.gz KMLXQGMYTVJBDP-CQSZACIVSA-N 1 2 317.462 1.787 20 30 DDEDLO CC(=O)Nc1nc(C(=O)NCC[N@H+]2CCOC[C@@H]2C)cs1 ZINC000330628057 527096177 /nfs/dbraw/zinc/09/61/77/527096177.db2.gz YECDRPUZQRGUDB-VIFPVBQESA-N 1 2 312.395 1.127 20 30 DDEDLO CC(=O)Nc1nc(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)cs1 ZINC000330628057 527096181 /nfs/dbraw/zinc/09/61/81/527096181.db2.gz YECDRPUZQRGUDB-VIFPVBQESA-N 1 2 312.395 1.127 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@H]2CCN(C(C)(C)C)C2=O)n1 ZINC000491728188 527185061 /nfs/dbraw/zinc/18/50/61/527185061.db2.gz AMINTLPXEAOFLM-HNNXBMFYSA-N 1 2 302.422 1.738 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@H]2CCN(C(C)(C)C)C2=O)n1 ZINC000491728188 527185067 /nfs/dbraw/zinc/18/50/67/527185067.db2.gz AMINTLPXEAOFLM-HNNXBMFYSA-N 1 2 302.422 1.738 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000491806507 527203338 /nfs/dbraw/zinc/20/33/38/527203338.db2.gz QQTGNNSLACTFQG-CYBMUJFWSA-N 1 2 302.378 1.081 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000491729263 527310310 /nfs/dbraw/zinc/31/03/10/527310310.db2.gz NIHQXCMCQSXTLV-GFCCVEGCSA-N 1 2 303.318 1.257 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000491729263 527310319 /nfs/dbraw/zinc/31/03/19/527310319.db2.gz NIHQXCMCQSXTLV-GFCCVEGCSA-N 1 2 303.318 1.257 20 30 DDEDLO CC(C)(C#N)CNS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000344972501 527486319 /nfs/dbraw/zinc/48/63/19/527486319.db2.gz ZUULBBNVUDAIJN-UHFFFAOYSA-N 1 2 318.402 1.759 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)nn1 ZINC000424634015 527518163 /nfs/dbraw/zinc/51/81/63/527518163.db2.gz XFVJWWMFSBSWTB-UHFFFAOYSA-N 1 2 300.366 1.597 20 30 DDEDLO C=C[C@H](C)NC(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000330890288 527552917 /nfs/dbraw/zinc/55/29/17/527552917.db2.gz ORJYCOBUQINFKT-LBPRGKRZSA-N 1 2 317.393 1.370 20 30 DDEDLO CCC(=O)N1CCCC[C@@H]1C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330306554 528298069 /nfs/dbraw/zinc/29/80/69/528298069.db2.gz LIEGBSLKJPAVPE-CHWSQXEVSA-N 1 2 304.394 1.946 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CC(N)=O)[C@H](C)c1ccccc1 ZINC000342607649 528353353 /nfs/dbraw/zinc/35/33/53/528353353.db2.gz RBUHOSBIYOGVDH-CQSZACIVSA-N 1 2 316.405 1.297 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CC(N)=O)[C@H](C)c1ccccc1 ZINC000342607649 528353361 /nfs/dbraw/zinc/35/33/61/528353361.db2.gz RBUHOSBIYOGVDH-CQSZACIVSA-N 1 2 316.405 1.297 20 30 DDEDLO CCCNC(=O)NC(=O)C[N@@H+]1CCCC[C@@H]1c1cnn(C)c1 ZINC000330507827 528979447 /nfs/dbraw/zinc/97/94/47/528979447.db2.gz NLXYNIVTDVILBX-CYBMUJFWSA-N 1 2 307.398 1.387 20 30 DDEDLO CCCNC(=O)NC(=O)C[N@H+]1CCCC[C@@H]1c1cnn(C)c1 ZINC000330507827 528979453 /nfs/dbraw/zinc/97/94/53/528979453.db2.gz NLXYNIVTDVILBX-CYBMUJFWSA-N 1 2 307.398 1.387 20 30 DDEDLO CC[N@@H+](CCC#N)CCOc1ccc(-c2nn[nH]n2)cc1OC ZINC000821206452 606080886 /nfs/dbraw/zinc/08/08/86/606080886.db2.gz BLFPUYZJXPQYAE-UHFFFAOYSA-N 1 2 316.365 1.490 20 30 DDEDLO CC[N@H+](CCC#N)CCOc1ccc(-c2nn[nH]n2)cc1OC ZINC000821206452 606080895 /nfs/dbraw/zinc/08/08/95/606080895.db2.gz BLFPUYZJXPQYAE-UHFFFAOYSA-N 1 2 316.365 1.490 20 30 DDEDLO C[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1ccc(C#N)cc1 ZINC000745080928 699970758 /nfs/dbraw/zinc/97/07/58/699970758.db2.gz YOHLMWVZUMOJNZ-CQSZACIVSA-N 1 2 301.346 1.524 20 30 DDEDLO C[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1ccc(C#N)cc1 ZINC000745080928 699970759 /nfs/dbraw/zinc/97/07/59/699970759.db2.gz YOHLMWVZUMOJNZ-CQSZACIVSA-N 1 2 301.346 1.524 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)COC(=O)[C@@H]1CCCC[N@@H+]1CC ZINC000745088599 699971354 /nfs/dbraw/zinc/97/13/54/699971354.db2.gz WUEYKSSCNLCRJX-KBPBESRZSA-N 1 2 309.410 1.412 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)COC(=O)[C@@H]1CCCC[N@H+]1CC ZINC000745088599 699971355 /nfs/dbraw/zinc/97/13/55/699971355.db2.gz WUEYKSSCNLCRJX-KBPBESRZSA-N 1 2 309.410 1.412 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)[C@H]2CCc3nccn3C2)C1 ZINC000971811049 695100226 /nfs/dbraw/zinc/10/02/26/695100226.db2.gz ONOKBEXBCGQSQP-KBPBESRZSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)[C@H]2CCc3nccn3C2)C1 ZINC000971811049 695100230 /nfs/dbraw/zinc/10/02/30/695100230.db2.gz ONOKBEXBCGQSQP-KBPBESRZSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCCO3)C2)C1 ZINC000972275339 695200903 /nfs/dbraw/zinc/20/09/03/695200903.db2.gz NMUXYSGIPSAHGM-DOTOQJQBSA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCCO3)C2)C1 ZINC000972275339 695200906 /nfs/dbraw/zinc/20/09/06/695200906.db2.gz NMUXYSGIPSAHGM-DOTOQJQBSA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccnn3CCC)C2)C1 ZINC000972396747 695239266 /nfs/dbraw/zinc/23/92/66/695239266.db2.gz CMOFMJYGMFPYEV-QGZVFWFLSA-N 1 2 318.421 1.396 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccnn3CCC)C2)C1 ZINC000972396747 695239268 /nfs/dbraw/zinc/23/92/68/695239268.db2.gz CMOFMJYGMFPYEV-QGZVFWFLSA-N 1 2 318.421 1.396 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3CC(C)(C)CO3)C2)C1 ZINC000972463928 695256884 /nfs/dbraw/zinc/25/68/84/695256884.db2.gz ZFZQIQJOPZYOCT-QAPCUYQASA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3CC(C)(C)CO3)C2)C1 ZINC000972463928 695256886 /nfs/dbraw/zinc/25/68/86/695256886.db2.gz ZFZQIQJOPZYOCT-QAPCUYQASA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(C)nn(C)c3C)C2)C1 ZINC000972485507 695261405 /nfs/dbraw/zinc/26/14/05/695261405.db2.gz YKDNEUHICAUXAN-QGZVFWFLSA-N 1 2 318.421 1.140 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(C)nn(C)c3C)C2)C1 ZINC000972485507 695261406 /nfs/dbraw/zinc/26/14/06/695261406.db2.gz YKDNEUHICAUXAN-QGZVFWFLSA-N 1 2 318.421 1.140 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3cncnc3)C2)C1 ZINC000972518721 695271155 /nfs/dbraw/zinc/27/11/55/695271155.db2.gz KYOSOPKCACDQFF-PBHICJAKSA-N 1 2 316.405 1.069 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3cncnc3)C2)C1 ZINC000972518721 695271157 /nfs/dbraw/zinc/27/11/57/695271157.db2.gz KYOSOPKCACDQFF-PBHICJAKSA-N 1 2 316.405 1.069 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CCC(F)CC3)C2)C1 ZINC000972532689 695274083 /nfs/dbraw/zinc/27/40/83/695274083.db2.gz VDESHNYJJCWOOG-HTWSVDAQSA-N 1 2 322.424 1.841 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CCC(F)CC3)C2)C1 ZINC000972532689 695274085 /nfs/dbraw/zinc/27/40/85/695274085.db2.gz VDESHNYJJCWOOG-HTWSVDAQSA-N 1 2 322.424 1.841 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3conc3CC)C2)C1 ZINC000972540517 695276832 /nfs/dbraw/zinc/27/68/32/695276832.db2.gz QYSFUVKXVPLGSW-INIZCTEOSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3conc3CC)C2)C1 ZINC000972540517 695276834 /nfs/dbraw/zinc/27/68/34/695276834.db2.gz QYSFUVKXVPLGSW-INIZCTEOSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C(C)(F)F)C2)C1 ZINC000972556167 695281597 /nfs/dbraw/zinc/28/15/97/695281597.db2.gz DGMUOUSXGDZIGD-SWLSCSKDSA-N 1 2 302.365 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C(C)(F)F)C2)C1 ZINC000972556167 695281598 /nfs/dbraw/zinc/28/15/98/695281598.db2.gz DGMUOUSXGDZIGD-SWLSCSKDSA-N 1 2 302.365 1.767 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972590317 695291630 /nfs/dbraw/zinc/29/16/30/695291630.db2.gz PGXWDLWGEBNHAB-LZLYRXPVSA-N 1 2 302.418 1.359 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972590317 695291631 /nfs/dbraw/zinc/29/16/31/695291631.db2.gz PGXWDLWGEBNHAB-LZLYRXPVSA-N 1 2 302.418 1.359 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C)CC(F)(F)C3)C2)C1 ZINC000972635854 695305405 /nfs/dbraw/zinc/30/54/05/695305405.db2.gz UGRUGKSEHXXNAT-OAHLLOKOSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C)CC(F)(F)C3)C2)C1 ZINC000972635854 695305407 /nfs/dbraw/zinc/30/54/07/695305407.db2.gz UGRUGKSEHXXNAT-OAHLLOKOSA-N 1 2 314.376 1.911 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@]3(C2)C[N@H+](CC=C)CCO3)nc1 ZINC000972639373 695305924 /nfs/dbraw/zinc/30/59/24/695305924.db2.gz SHNHIIKXMZCKQP-GOSISDBHSA-N 1 2 311.385 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@]3(C2)C[N@@H+](CC=C)CCO3)nc1 ZINC000972639373 695305927 /nfs/dbraw/zinc/30/59/27/695305927.db2.gz SHNHIIKXMZCKQP-GOSISDBHSA-N 1 2 311.385 1.166 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3c3ccccc3)C2)C1 ZINC000972643950 695308081 /nfs/dbraw/zinc/30/80/81/695308081.db2.gz UNPKTYWKZIIMEE-NLWGTHIKSA-N 1 2 324.424 1.727 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3c3ccccc3)C2)C1 ZINC000972643950 695308082 /nfs/dbraw/zinc/30/80/82/695308082.db2.gz UNPKTYWKZIIMEE-NLWGTHIKSA-N 1 2 324.424 1.727 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[C@]2(C1)C[N@H+](CC=C)CCO2 ZINC000972653192 695310016 /nfs/dbraw/zinc/31/00/16/695310016.db2.gz GEAMTAGTVAJQLX-HXUWFJFHSA-N 1 2 324.424 1.700 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[C@]2(C1)C[N@@H+](CC=C)CCO2 ZINC000972653192 695310017 /nfs/dbraw/zinc/31/00/17/695310017.db2.gz GEAMTAGTVAJQLX-HXUWFJFHSA-N 1 2 324.424 1.700 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H]([C@@H](C)[NH2+]Cc2cnsn2)C1 ZINC000979373102 696538514 /nfs/dbraw/zinc/53/85/14/696538514.db2.gz HLNKXLBSPKBFEI-YPMHNXCESA-N 1 2 324.450 1.456 20 30 DDEDLO CCCCC[C@H](C)NC(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000092915651 696598155 /nfs/dbraw/zinc/59/81/55/696598155.db2.gz MWEIUGVWHJFRAK-ZDUSSCGKSA-N 1 2 306.410 1.998 20 30 DDEDLO C=C(Br)CNC(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000105196503 696621907 /nfs/dbraw/zinc/62/19/07/696621907.db2.gz WJNCSXYPUYYXPR-WDEREUQCSA-N 1 2 320.231 1.303 20 30 DDEDLO C[C@@H]1CCCC[C@H]1NC(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000137650669 696859034 /nfs/dbraw/zinc/85/90/34/696859034.db2.gz NGFCPFGYHBGVPA-TZMCWYRMSA-N 1 2 304.394 1.608 20 30 DDEDLO C[C@@H](O[NH+]=C(N)Cc1cccnc1)C(=O)N1CCCCCC1 ZINC000137635240 696859150 /nfs/dbraw/zinc/85/91/50/696859150.db2.gz ZXJSSHORFKLHMT-CYBMUJFWSA-N 1 2 304.394 1.704 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@H+](Cc2cc(C#N)ccc2F)CC1 ZINC000980785548 696888096 /nfs/dbraw/zinc/88/80/96/696888096.db2.gz HOIFIAAMXWNOIN-CYBMUJFWSA-N 1 2 319.380 1.767 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cc(C#N)ccc2F)CC1 ZINC000980785548 696888100 /nfs/dbraw/zinc/88/81/00/696888100.db2.gz HOIFIAAMXWNOIN-CYBMUJFWSA-N 1 2 319.380 1.767 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)N(C)C2CC2)CC1 ZINC000981873634 696950034 /nfs/dbraw/zinc/95/00/34/696950034.db2.gz PRKQJRWCQJKVQF-SFHVURJKSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)N(C)C2CC2)CC1 ZINC000981873634 696950037 /nfs/dbraw/zinc/95/00/37/696950037.db2.gz PRKQJRWCQJKVQF-SFHVURJKSA-N 1 2 321.465 1.744 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCN(C(=O)c3c[nH]c(C#N)c3)CC2)cn1 ZINC000981006240 696977746 /nfs/dbraw/zinc/97/77/46/696977746.db2.gz QCSZODQGWBGLDS-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCN(C(=O)c3c[nH]c(C#N)c3)CC2)cn1 ZINC000981006240 696977748 /nfs/dbraw/zinc/97/77/48/696977748.db2.gz QCSZODQGWBGLDS-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO CCCCCCCC[N@H+](C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000172650650 697370138 /nfs/dbraw/zinc/37/01/38/697370138.db2.gz FDDDVISWCLDHTH-CQSZACIVSA-N 1 2 318.483 1.582 20 30 DDEDLO CCCCCCCC[N@@H+](C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000172650650 697370140 /nfs/dbraw/zinc/37/01/40/697370140.db2.gz FDDDVISWCLDHTH-CQSZACIVSA-N 1 2 318.483 1.582 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCCOc1ccc([N+](=O)[O-])cc1 ZINC000181719256 697464171 /nfs/dbraw/zinc/46/41/71/697464171.db2.gz DYUQWZWJTWZDMI-UHFFFAOYSA-N 1 2 316.317 1.900 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC1CCC2(CC1)OCCO2 ZINC000192189197 697622427 /nfs/dbraw/zinc/62/24/27/697622427.db2.gz TWTOBOYSBDROBN-UHFFFAOYSA-N 1 2 305.378 1.846 20 30 DDEDLO NS(=O)(=O)c1ccccc1N=NCc1cccc2[nH+]ccn21 ZINC000773123245 697716294 /nfs/dbraw/zinc/71/62/94/697716294.db2.gz QFJZOPUONKRVFF-UHFFFAOYSA-N 1 2 315.358 1.428 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C)o1 ZINC000986287892 697768344 /nfs/dbraw/zinc/76/83/44/697768344.db2.gz SROABWKZFTXQOP-DGCLKSJQSA-N 1 2 302.378 1.124 20 30 DDEDLO CC(C)(CNN=C1CCN(c2cccc[nH+]2)CC1)S(C)(=O)=O ZINC000774479674 697884099 /nfs/dbraw/zinc/88/40/99/697884099.db2.gz SNQWPGKRPSDHHX-UHFFFAOYSA-N 1 2 324.450 1.451 20 30 DDEDLO N#Cc1ccc(CN2C(=O)CN(C[N@H+]3CC=CCC3)C2=O)cc1 ZINC000778577423 698348900 /nfs/dbraw/zinc/34/89/00/698348900.db2.gz TWIIBWCLZOXGMB-UHFFFAOYSA-N 1 2 310.357 1.542 20 30 DDEDLO N#Cc1ccc(CN2C(=O)CN(C[N@@H+]3CC=CCC3)C2=O)cc1 ZINC000778577423 698348905 /nfs/dbraw/zinc/34/89/05/698348905.db2.gz TWIIBWCLZOXGMB-UHFFFAOYSA-N 1 2 310.357 1.542 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000780995771 698581278 /nfs/dbraw/zinc/58/12/78/698581278.db2.gz QCWBKUIQJLLVNR-CABCVRRESA-N 1 2 310.357 1.341 20 30 DDEDLO CC(=O)N1CC[C@@H](NC(=O)[C@@H]2CN(c3cccc[nH+]3)C[C@H]2C)C1 ZINC000328944390 698749708 /nfs/dbraw/zinc/74/97/08/698749708.db2.gz JFKUGSXFDVZOCC-BPLDGKMQSA-N 1 2 316.405 1.731 20 30 DDEDLO Cc1nc[nH]c1C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000989808645 698775037 /nfs/dbraw/zinc/77/50/37/698775037.db2.gz QMEQIMKLPZKRNM-UHFFFAOYSA-N 1 2 322.412 1.918 20 30 DDEDLO Cc1nc[nH]c1C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000989808645 698775040 /nfs/dbraw/zinc/77/50/40/698775040.db2.gz QMEQIMKLPZKRNM-UHFFFAOYSA-N 1 2 322.412 1.918 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](CCC(=O)Nc2sccc2C(N)=O)C1 ZINC000784452986 698939436 /nfs/dbraw/zinc/93/94/36/698939436.db2.gz GXUJFCIXUDPEAO-OAHLLOKOSA-N 1 2 320.418 1.801 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](CCC(=O)Nc2sccc2C(N)=O)C1 ZINC000784452986 698939438 /nfs/dbraw/zinc/93/94/38/698939438.db2.gz GXUJFCIXUDPEAO-OAHLLOKOSA-N 1 2 320.418 1.801 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC([NH2+]Cc2noc(C(C)(C)C)n2)CC1 ZINC000990262551 699013381 /nfs/dbraw/zinc/01/33/81/699013381.db2.gz RUSYYSNSJULXQK-LLVKDONJSA-N 1 2 319.409 1.607 20 30 DDEDLO C#CCC[NH+]1CCN(CCCCCS(=O)(=O)CC)CC1 ZINC000789360534 699381566 /nfs/dbraw/zinc/38/15/66/699381566.db2.gz GCVIGWMJJPUBOQ-UHFFFAOYSA-N 1 2 300.468 1.232 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Cc1ccccc1CC#N ZINC000790130571 699444250 /nfs/dbraw/zinc/44/42/50/699444250.db2.gz AOBBYVVKBPFQOP-HNNXBMFYSA-N 1 2 315.417 1.474 20 30 DDEDLO CC1(C)C[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C[C@H]1O ZINC000790447751 699460515 /nfs/dbraw/zinc/46/05/15/699460515.db2.gz NYFFUVNUXKCANF-CQSZACIVSA-N 1 2 312.373 1.123 20 30 DDEDLO CC1(C)C[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C[C@H]1O ZINC000790447751 699460517 /nfs/dbraw/zinc/46/05/17/699460517.db2.gz NYFFUVNUXKCANF-CQSZACIVSA-N 1 2 312.373 1.123 20 30 DDEDLO C=CC[C@@H](NC(=O)NCC[N@@H+]1CCO[C@H](C)C1)c1ccncc1 ZINC000734666525 699658474 /nfs/dbraw/zinc/65/84/74/699658474.db2.gz ZDFBFIRYJKYDER-GDBMZVCRSA-N 1 2 318.421 1.719 20 30 DDEDLO C=CC[C@@H](NC(=O)NCC[N@H+]1CCO[C@H](C)C1)c1ccncc1 ZINC000734666525 699658475 /nfs/dbraw/zinc/65/84/75/699658475.db2.gz ZDFBFIRYJKYDER-GDBMZVCRSA-N 1 2 318.421 1.719 20 30 DDEDLO CC(C)(C)OC(=O)C[C@@](C)(C#N)C(=O)OCc1cc[nH+]c(N)c1 ZINC000792116512 699676378 /nfs/dbraw/zinc/67/63/78/699676378.db2.gz BREUWCHJPRUDSE-INIZCTEOSA-N 1 2 319.361 1.969 20 30 DDEDLO C[C@H](OC(=O)[C@H]1CCCC[N@@H+]1C)C(=O)NC1(C#N)CCCCC1 ZINC000741148241 699818004 /nfs/dbraw/zinc/81/80/04/699818004.db2.gz YWHYTZBBGRGUJX-UONOGXRCSA-N 1 2 321.421 1.745 20 30 DDEDLO C[C@H](OC(=O)[C@H]1CCCC[N@H+]1C)C(=O)NC1(C#N)CCCCC1 ZINC000741148241 699818006 /nfs/dbraw/zinc/81/80/06/699818006.db2.gz YWHYTZBBGRGUJX-UONOGXRCSA-N 1 2 321.421 1.745 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(CCO[C@@H](C)C#N)CC1 ZINC000801918055 700353782 /nfs/dbraw/zinc/35/37/82/700353782.db2.gz WWHSTDNGJQZQFP-HNNXBMFYSA-N 1 2 303.406 1.741 20 30 DDEDLO Cc1ccnc(NC(=O)C[N@H+](C)C[C@@H](O)c2ccc(C#N)cc2)c1 ZINC000763404841 700937071 /nfs/dbraw/zinc/93/70/71/700937071.db2.gz XXSFXNWFBMQIHU-MRXNPFEDSA-N 1 2 324.384 1.866 20 30 DDEDLO Cc1ccnc(NC(=O)C[N@@H+](C)C[C@@H](O)c2ccc(C#N)cc2)c1 ZINC000763404841 700937072 /nfs/dbraw/zinc/93/70/72/700937072.db2.gz XXSFXNWFBMQIHU-MRXNPFEDSA-N 1 2 324.384 1.866 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000803349462 701104594 /nfs/dbraw/zinc/10/45/94/701104594.db2.gz IHODSHSFLGJSCZ-UHFFFAOYSA-N 1 2 312.373 1.571 20 30 DDEDLO CCN1C(=O)CN(C[N@H+]2CC=C(c3ccc(C#N)cc3)CC2)C1=O ZINC000767987547 701151006 /nfs/dbraw/zinc/15/10/06/701151006.db2.gz RLJPBCJLQLSVLL-UHFFFAOYSA-N 1 2 324.384 1.889 20 30 DDEDLO CCN1C(=O)CN(C[N@@H+]2CC=C(c3ccc(C#N)cc3)CC2)C1=O ZINC000767987547 701151008 /nfs/dbraw/zinc/15/10/08/701151008.db2.gz RLJPBCJLQLSVLL-UHFFFAOYSA-N 1 2 324.384 1.889 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)OC(=O)[C@@H]1C[N@H+](CC)CCO1 ZINC000805469295 701383640 /nfs/dbraw/zinc/38/36/40/701383640.db2.gz DPKPMGRMUDXRRP-KBPBESRZSA-N 1 2 312.410 1.063 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)OC(=O)[C@@H]1C[N@@H+](CC)CCO1 ZINC000805469295 701383641 /nfs/dbraw/zinc/38/36/41/701383641.db2.gz DPKPMGRMUDXRRP-KBPBESRZSA-N 1 2 312.410 1.063 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@H](C(=O)N(C)C)C1 ZINC000839636236 701761772 /nfs/dbraw/zinc/76/17/72/701761772.db2.gz PIQREQLTPCVUSK-CABCVRRESA-N 1 2 315.373 1.182 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@H](C(=O)N(C)C)C1 ZINC000839636236 701761777 /nfs/dbraw/zinc/76/17/77/701761777.db2.gz PIQREQLTPCVUSK-CABCVRRESA-N 1 2 315.373 1.182 20 30 DDEDLO C=C(Cl)CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000810421803 701767403 /nfs/dbraw/zinc/76/74/03/701767403.db2.gz QPKMJYTWMBPWCY-LBPRGKRZSA-N 1 2 312.801 1.352 20 30 DDEDLO C=C(Cl)CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000810421803 701767408 /nfs/dbraw/zinc/76/74/08/701767408.db2.gz QPKMJYTWMBPWCY-LBPRGKRZSA-N 1 2 312.801 1.352 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@@H+]2CCOCC2(C)C)cc1 ZINC000815371374 701854111 /nfs/dbraw/zinc/85/41/11/701854111.db2.gz UFDCODONNISFCN-UHFFFAOYSA-N 1 2 314.429 1.828 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@H+]2CCOCC2(C)C)cc1 ZINC000815371374 701854121 /nfs/dbraw/zinc/85/41/21/701854121.db2.gz UFDCODONNISFCN-UHFFFAOYSA-N 1 2 314.429 1.828 20 30 DDEDLO C=CC[N@@H+](CCc1ccccc1)CN1C[C@@H](C(=O)OC)OC1=O ZINC000839977088 701887937 /nfs/dbraw/zinc/88/79/37/701887937.db2.gz UCBZFISAHXKZSM-HNNXBMFYSA-N 1 2 318.373 1.668 20 30 DDEDLO C=CC[N@H+](CCc1ccccc1)CN1C[C@@H](C(=O)OC)OC1=O ZINC000839977088 701887941 /nfs/dbraw/zinc/88/79/41/701887941.db2.gz UCBZFISAHXKZSM-HNNXBMFYSA-N 1 2 318.373 1.668 20 30 DDEDLO COC(=O)CC[C@H](C(=O)OC)[N@H+](C)CCc1cccc(C#N)c1 ZINC000879432137 706678248 /nfs/dbraw/zinc/67/82/48/706678248.db2.gz CWHVYYOASPFFBM-OAHLLOKOSA-N 1 2 318.373 1.527 20 30 DDEDLO COC(=O)CC[C@H](C(=O)OC)[N@@H+](C)CCc1cccc(C#N)c1 ZINC000879432137 706678250 /nfs/dbraw/zinc/67/82/50/706678250.db2.gz CWHVYYOASPFFBM-OAHLLOKOSA-N 1 2 318.373 1.527 20 30 DDEDLO CNC(=S)N(C)[NH+]=C(C)c1cccc(N2CCOCC2)c1 ZINC000841645988 702516318 /nfs/dbraw/zinc/51/63/18/702516318.db2.gz AMOQHFRNDURZME-UHFFFAOYSA-N 1 2 306.435 1.683 20 30 DDEDLO COCc1noc([C@H](C)[N@H+](C)C[C@H](O)c2cccc(C#N)c2)n1 ZINC000844372387 703021929 /nfs/dbraw/zinc/02/19/29/703021929.db2.gz XYIPWYPSEFWSPE-FZMZJTMJSA-N 1 2 316.361 1.814 20 30 DDEDLO COCc1noc([C@H](C)[N@@H+](C)C[C@H](O)c2cccc(C#N)c2)n1 ZINC000844372387 703021930 /nfs/dbraw/zinc/02/19/30/703021930.db2.gz XYIPWYPSEFWSPE-FZMZJTMJSA-N 1 2 316.361 1.814 20 30 DDEDLO C[C@H](CC(=O)O[C@H](C)C(=O)NC1(C#N)CCC1)n1cc[nH+]c1 ZINC000845284498 703138917 /nfs/dbraw/zinc/13/89/17/703138917.db2.gz MOKOLWVIAXUMCC-VXGBXAGGSA-N 1 2 304.350 1.328 20 30 DDEDLO c1cn(CCCNN=C2CCOc3cc4c(cc32)OCO4)c[nH+]1 ZINC000848418069 703548200 /nfs/dbraw/zinc/54/82/00/703548200.db2.gz JFIMKMVHOMVNRS-UHFFFAOYSA-N 1 2 314.345 1.778 20 30 DDEDLO CC(=NNCCCn1cc[nH+]c1)c1cccc(-n2ccnn2)c1 ZINC000848417228 703548307 /nfs/dbraw/zinc/54/83/07/703548307.db2.gz QECGUWKVGXVJJL-UHFFFAOYSA-N 1 2 309.377 1.868 20 30 DDEDLO C#CCOCCN1CC[NH+](Cc2ccc(OCC)cc2)CC1 ZINC000851713465 703835080 /nfs/dbraw/zinc/83/50/80/703835080.db2.gz DQTNHEYQVSCCGP-UHFFFAOYSA-N 1 2 302.418 1.853 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2cccc(SC)c2)CC1 ZINC000851750230 703844124 /nfs/dbraw/zinc/84/41/24/703844124.db2.gz BCZJUNYFLKDBLA-UHFFFAOYSA-N 1 2 317.458 1.276 20 30 DDEDLO N#CCOc1ccc(C[N@H+]2CCC[C@@H]3OCCNC(=O)[C@@H]32)cc1 ZINC000879718242 706768762 /nfs/dbraw/zinc/76/87/62/706768762.db2.gz KZGDOAMSOQZPCF-JKSUJKDBSA-N 1 2 315.373 1.068 20 30 DDEDLO N#CCOc1ccc(C[N@@H+]2CCC[C@@H]3OCCNC(=O)[C@@H]32)cc1 ZINC000879718242 706768765 /nfs/dbraw/zinc/76/87/65/706768765.db2.gz KZGDOAMSOQZPCF-JKSUJKDBSA-N 1 2 315.373 1.068 20 30 DDEDLO C/C(=C\C(=O)NCc1ccc(C)c(C#N)c1)C[NH+]1CCOCC1 ZINC000831784387 706779596 /nfs/dbraw/zinc/77/95/96/706779596.db2.gz BXAUACUARZZVPI-NTEUORMPSA-N 1 2 313.401 1.761 20 30 DDEDLO C#CCC[N@@H+](CCOC)Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000852748889 704112840 /nfs/dbraw/zinc/11/28/40/704112840.db2.gz JYHRBXKBDFNWBM-UHFFFAOYSA-N 1 2 307.306 1.975 20 30 DDEDLO C#CCC[N@H+](CCOC)Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000852748889 704112843 /nfs/dbraw/zinc/11/28/43/704112843.db2.gz JYHRBXKBDFNWBM-UHFFFAOYSA-N 1 2 307.306 1.975 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)c1[nH]c(C)c(C(=O)OC)c1C ZINC000852751976 704114608 /nfs/dbraw/zinc/11/46/08/704114608.db2.gz LCDYMGGJKXFYFK-UHFFFAOYSA-N 1 2 320.389 1.573 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)c1[nH]c(C)c(C(=O)OC)c1C ZINC000852751976 704114611 /nfs/dbraw/zinc/11/46/11/704114611.db2.gz LCDYMGGJKXFYFK-UHFFFAOYSA-N 1 2 320.389 1.573 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NCc1[nH]c(C)c(C)[nH+]1 ZINC000853333177 704235475 /nfs/dbraw/zinc/23/54/75/704235475.db2.gz BIHIBPOMMSICGU-UHFFFAOYSA-N 1 2 322.390 1.885 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000853525279 704259837 /nfs/dbraw/zinc/25/98/37/704259837.db2.gz COAROYXVSRUAQZ-ZDUSSCGKSA-N 1 2 304.394 1.824 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000853525279 704259838 /nfs/dbraw/zinc/25/98/38/704259838.db2.gz COAROYXVSRUAQZ-ZDUSSCGKSA-N 1 2 304.394 1.824 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)[C@]2(CCCO2)[C@H]1C1CC1 ZINC000853621343 704287861 /nfs/dbraw/zinc/28/78/61/704287861.db2.gz ZEFSMBZXESXBFR-WBVHZDCISA-N 1 2 306.406 1.086 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)[C@]2(CCCO2)[C@H]1C1CC1 ZINC000853621343 704287864 /nfs/dbraw/zinc/28/78/64/704287864.db2.gz ZEFSMBZXESXBFR-WBVHZDCISA-N 1 2 306.406 1.086 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)nc1Cl ZINC000855635351 704494032 /nfs/dbraw/zinc/49/40/32/704494032.db2.gz BIAYRGCUQKJAJS-GFCCVEGCSA-N 1 2 320.780 1.153 20 30 DDEDLO C#CCCOC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000858972062 704778321 /nfs/dbraw/zinc/77/83/21/704778321.db2.gz DANYYOWHLQLOEH-KRWDZBQOSA-N 1 2 316.401 1.679 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000859223019 704827342 /nfs/dbraw/zinc/82/73/42/704827342.db2.gz PBKKASNOOZCLLD-LSDHHAIUSA-N 1 2 312.373 1.858 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000859223019 704827344 /nfs/dbraw/zinc/82/73/44/704827344.db2.gz PBKKASNOOZCLLD-LSDHHAIUSA-N 1 2 312.373 1.858 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@@H+]1CCC[C@@]12CCOC2=O ZINC000859261929 704839372 /nfs/dbraw/zinc/83/93/72/704839372.db2.gz SHEKLCDGVXFECL-YOEHRIQHSA-N 1 2 316.357 1.079 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@H+]1CCC[C@@]12CCOC2=O ZINC000859261929 704839380 /nfs/dbraw/zinc/83/93/80/704839380.db2.gz SHEKLCDGVXFECL-YOEHRIQHSA-N 1 2 316.357 1.079 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@H+]2CCOC[C@@H]2CC)cc1 ZINC000874702238 705135176 /nfs/dbraw/zinc/13/51/76/705135176.db2.gz JCIIVOUAHFKJTE-INIZCTEOSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@@H+]2CCOC[C@@H]2CC)cc1 ZINC000874702238 705135179 /nfs/dbraw/zinc/13/51/79/705135179.db2.gz JCIIVOUAHFKJTE-INIZCTEOSA-N 1 2 316.401 1.539 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)CC1 ZINC000874862276 705205527 /nfs/dbraw/zinc/20/55/27/705205527.db2.gz AUFDBKGMZOOCIT-ZOBUZTSGSA-N 1 2 304.434 1.938 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)CC1 ZINC000874862276 705205529 /nfs/dbraw/zinc/20/55/29/705205529.db2.gz AUFDBKGMZOOCIT-ZOBUZTSGSA-N 1 2 304.434 1.938 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000823542766 705264618 /nfs/dbraw/zinc/26/46/18/705264618.db2.gz ZCLNJDYVEVGXEF-QWRGUYRKSA-N 1 2 301.268 1.237 20 30 DDEDLO O=C(C#Cc1cccs1)N1CC[NH+](C[C@H]2CCCO2)CC1 ZINC000823812519 705323511 /nfs/dbraw/zinc/32/35/11/705323511.db2.gz KWOVHVGWYKWTNT-CQSZACIVSA-N 1 2 304.415 1.423 20 30 DDEDLO COC(=O)c1ccc(N[NH2+]C2=CC(=O)N3CCC[C@H]23)cc1F ZINC000835018039 707157290 /nfs/dbraw/zinc/15/72/90/707157290.db2.gz FFYFAKRHRCEVLA-CYBMUJFWSA-N 1 2 305.309 1.775 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000875917191 705558332 /nfs/dbraw/zinc/55/83/32/705558332.db2.gz AXMLHLVZAYJKAE-ARFHVFGLSA-N 1 2 318.421 1.904 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@]1(C)COc2ccccc2O1 ZINC000862401405 705710072 /nfs/dbraw/zinc/71/00/72/705710072.db2.gz DDKKXHIRAVFABC-KSSFIOAISA-N 1 2 314.385 1.430 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@]1(C)COc2ccccc2O1 ZINC000862401405 705710074 /nfs/dbraw/zinc/71/00/74/705710074.db2.gz DDKKXHIRAVFABC-KSSFIOAISA-N 1 2 314.385 1.430 20 30 DDEDLO C[C@H](C(=O)NC[C@H]1c2ccccc2C[N@H+]1C)n1cnc(C#N)n1 ZINC000826696838 705850028 /nfs/dbraw/zinc/85/00/28/705850028.db2.gz QPWBRWYRSKUGNL-RISCZKNCSA-N 1 2 310.361 1.014 20 30 DDEDLO C[C@H](C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C)n1cnc(C#N)n1 ZINC000826696838 705850032 /nfs/dbraw/zinc/85/00/32/705850032.db2.gz QPWBRWYRSKUGNL-RISCZKNCSA-N 1 2 310.361 1.014 20 30 DDEDLO C=C(Cl)C[C@@H]([NH3+])C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000876877936 705903310 /nfs/dbraw/zinc/90/33/10/705903310.db2.gz LNNDCISGNCDIEG-VXGBXAGGSA-N 1 2 323.828 1.858 20 30 DDEDLO C#CCCCCCC[N@H+]1CC[C@@H](C(=O)OC)C[C@@H]1C(=O)OC ZINC000877185282 706010366 /nfs/dbraw/zinc/01/03/66/706010366.db2.gz RDNLQXDKABQHFP-HUUCEWRRSA-N 1 2 309.406 1.997 20 30 DDEDLO C#CCCCCCC[N@@H+]1CC[C@@H](C(=O)OC)C[C@@H]1C(=O)OC ZINC000877185282 706010370 /nfs/dbraw/zinc/01/03/70/706010370.db2.gz RDNLQXDKABQHFP-HUUCEWRRSA-N 1 2 309.406 1.997 20 30 DDEDLO C[N@H+](CCS(N)(=O)=O)Cc1ccc(C#N)cc1C(F)(F)F ZINC000877826298 706225202 /nfs/dbraw/zinc/22/52/02/706225202.db2.gz YIBVGZWTRNUWAJ-UHFFFAOYSA-N 1 2 321.324 1.297 20 30 DDEDLO C[N@@H+](CCS(N)(=O)=O)Cc1ccc(C#N)cc1C(F)(F)F ZINC000877826298 706225206 /nfs/dbraw/zinc/22/52/06/706225206.db2.gz YIBVGZWTRNUWAJ-UHFFFAOYSA-N 1 2 321.324 1.297 20 30 DDEDLO CC(C)(O)C[C@H](O)C[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000878321846 706359148 /nfs/dbraw/zinc/35/91/48/706359148.db2.gz CNWKEWBQIMCZDE-HOTGVXAUSA-N 1 2 304.390 1.453 20 30 DDEDLO CC(C)(O)C[C@H](O)C[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000878321846 706359150 /nfs/dbraw/zinc/35/91/50/706359150.db2.gz CNWKEWBQIMCZDE-HOTGVXAUSA-N 1 2 304.390 1.453 20 30 DDEDLO COC(=O)c1ccc(N[C@H]2CCC[N@H+](CCF)C2)c(C#N)n1 ZINC000865927720 706565986 /nfs/dbraw/zinc/56/59/86/706565986.db2.gz GCKSETSBOIVGFT-NSHDSACASA-N 1 2 306.341 1.586 20 30 DDEDLO COC(=O)c1ccc(N[C@H]2CCC[N@@H+](CCF)C2)c(C#N)n1 ZINC000865927720 706565987 /nfs/dbraw/zinc/56/59/87/706565987.db2.gz GCKSETSBOIVGFT-NSHDSACASA-N 1 2 306.341 1.586 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C(=O)OCC ZINC000881752658 707351510 /nfs/dbraw/zinc/35/15/10/707351510.db2.gz WMKBGSCVJZAWJG-QWHCGFSZSA-N 1 2 305.378 1.460 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC000872471517 707431281 /nfs/dbraw/zinc/43/12/81/707431281.db2.gz QKZFIFKLOOHOHK-UHFFFAOYSA-N 1 2 302.359 1.309 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000883191862 707951728 /nfs/dbraw/zinc/95/17/28/707951728.db2.gz LMMALUWXBWYYGV-HNNXBMFYSA-N 1 2 314.389 1.999 20 30 DDEDLO C#CCC1(O)CCN(C(=O)NCc2cc(C)[nH+]c(C)c2)CC1 ZINC000883749604 708059019 /nfs/dbraw/zinc/05/90/19/708059019.db2.gz MOCOSHWZTWCJFN-UHFFFAOYSA-N 1 2 301.390 1.758 20 30 DDEDLO CCn1cc(C[N@@H+]2CCC[C@H](Nc3ccc(C#N)cn3)C2)nn1 ZINC000896649754 708108009 /nfs/dbraw/zinc/10/80/09/708108009.db2.gz ICRHKNPEGYESMS-AWEZNQCLSA-N 1 2 311.393 1.641 20 30 DDEDLO CCn1cc(C[N@H+]2CCC[C@H](Nc3ccc(C#N)cn3)C2)nn1 ZINC000896649754 708108010 /nfs/dbraw/zinc/10/80/10/708108010.db2.gz ICRHKNPEGYESMS-AWEZNQCLSA-N 1 2 311.393 1.641 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccnn1C1CCCCC1 ZINC000884054208 708119266 /nfs/dbraw/zinc/11/92/66/708119266.db2.gz VFXACUOJYJTQFR-ZDUSSCGKSA-N 1 2 320.393 1.773 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1c(F)cccc1F ZINC000884081276 708130772 /nfs/dbraw/zinc/13/07/72/708130772.db2.gz UQDCOCAHOQJQQS-ZDUSSCGKSA-N 1 2 312.316 1.070 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC(OC2CCCC2)CC1 ZINC000884116786 708146501 /nfs/dbraw/zinc/14/65/01/708146501.db2.gz GCJPJJUUGTZXLM-HNNXBMFYSA-N 1 2 324.421 1.383 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccccc1N(C)CC ZINC000884212866 708193057 /nfs/dbraw/zinc/19/30/57/708193057.db2.gz OKDXOYNHPWIFHE-AWEZNQCLSA-N 1 2 319.405 1.206 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)c1ccc(OC(C)C)cc1 ZINC000884333945 708249843 /nfs/dbraw/zinc/24/98/43/708249843.db2.gz CNIUQRNUYAMKJE-HNNXBMFYSA-N 1 2 320.389 1.883 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C1CCC1)C1CCCC1 ZINC000884374832 708269142 /nfs/dbraw/zinc/26/91/42/708269142.db2.gz DGRGJSQEXVPGEJ-HOCLYGCPSA-N 1 2 308.422 1.908 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)[C@H]1CC2CCC1CC2 ZINC000884388625 708275470 /nfs/dbraw/zinc/27/54/70/708275470.db2.gz BCVNEKFQDRPCRP-RYKAKRHSSA-N 1 2 308.422 1.764 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)N2CCC(CC)CC2)C1 ZINC000885508887 708561859 /nfs/dbraw/zinc/56/18/59/708561859.db2.gz NCODNAAPIXEKEA-OAHLLOKOSA-N 1 2 313.467 1.040 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)N2CCC(CC)CC2)C1 ZINC000885508887 708561861 /nfs/dbraw/zinc/56/18/61/708561861.db2.gz NCODNAAPIXEKEA-OAHLLOKOSA-N 1 2 313.467 1.040 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)C[C@@H]2CCC(F)(F)C2)C1 ZINC000885508842 708561962 /nfs/dbraw/zinc/56/19/62/708561962.db2.gz MQOURQXSYODMNI-CHWSQXEVSA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)C[C@@H]2CCC(F)(F)C2)C1 ZINC000885508842 708561964 /nfs/dbraw/zinc/56/19/64/708561964.db2.gz MQOURQXSYODMNI-CHWSQXEVSA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(C=C)cc2)C1 ZINC000885509272 708562426 /nfs/dbraw/zinc/56/24/26/708562426.db2.gz RIHGMJAGBMRJGL-HNNXBMFYSA-N 1 2 304.415 1.706 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(C=C)cc2)C1 ZINC000885509272 708562428 /nfs/dbraw/zinc/56/24/28/708562428.db2.gz RIHGMJAGBMRJGL-HNNXBMFYSA-N 1 2 304.415 1.706 20 30 DDEDLO COc1cc(C(=O)N[C@H]2CCC[N@H+](CCF)C2)ccc1C#N ZINC000898503080 708661866 /nfs/dbraw/zinc/66/18/66/708661866.db2.gz HBZQTDCTRVPRQW-AWEZNQCLSA-N 1 2 305.353 1.731 20 30 DDEDLO COc1cc(C(=O)N[C@H]2CCC[N@@H+](CCF)C2)ccc1C#N ZINC000898503080 708661868 /nfs/dbraw/zinc/66/18/68/708661868.db2.gz HBZQTDCTRVPRQW-AWEZNQCLSA-N 1 2 305.353 1.731 20 30 DDEDLO CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000886470493 708765759 /nfs/dbraw/zinc/76/57/59/708765759.db2.gz OWQGHZJCRIEMJX-AWEZNQCLSA-N 1 2 307.419 1.467 20 30 DDEDLO CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000886470493 708765761 /nfs/dbraw/zinc/76/57/61/708765761.db2.gz OWQGHZJCRIEMJX-AWEZNQCLSA-N 1 2 307.419 1.467 20 30 DDEDLO C=CCC1(O)CC[NH+](CC(=O)Nc2nc3c(s2)CCC3)CC1 ZINC000886774905 708842376 /nfs/dbraw/zinc/84/23/76/708842376.db2.gz XXYICAYFCODMCU-UHFFFAOYSA-N 1 2 321.446 1.973 20 30 DDEDLO C/C(=C/C(=O)N(C)Cc1cccc(C#N)c1)C[NH+]1CCOCC1 ZINC000900128575 709300771 /nfs/dbraw/zinc/30/07/71/709300771.db2.gz VLISQGAMJSWPSK-GDNBJRDFSA-N 1 2 313.401 1.795 20 30 DDEDLO Cc1ccc(C(=O)/C=C\C(=O)NC[C@H]2C[N@@H+](C)CCN2C)cc1 ZINC000900659486 709699545 /nfs/dbraw/zinc/69/95/45/709699545.db2.gz NQDRPPKTPBFXEZ-QWGSZXSUSA-N 1 2 315.417 1.096 20 30 DDEDLO Cc1ccc(C(=O)/C=C\C(=O)NC[C@H]2C[N@H+](C)CCN2C)cc1 ZINC000900659486 709699548 /nfs/dbraw/zinc/69/95/48/709699548.db2.gz NQDRPPKTPBFXEZ-QWGSZXSUSA-N 1 2 315.417 1.096 20 30 DDEDLO O=C(NCC#C[C@@H]1CCCCO1)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000891121435 710099992 /nfs/dbraw/zinc/09/99/92/710099992.db2.gz KGTCWLVLIAXRAE-DLBZAZTESA-N 1 2 320.433 1.319 20 30 DDEDLO O=C(NCC#C[C@@H]1CCCCO1)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000891121435 710099998 /nfs/dbraw/zinc/09/99/98/710099998.db2.gz KGTCWLVLIAXRAE-DLBZAZTESA-N 1 2 320.433 1.319 20 30 DDEDLO O=C(NCC#C[C@H]1CCCCO1)c1cc(-n2cc[nH+]c2)ccn1 ZINC000891124426 710101156 /nfs/dbraw/zinc/10/11/56/710101156.db2.gz JULLDFLJWSJBRP-OAHLLOKOSA-N 1 2 310.357 1.570 20 30 DDEDLO C=CCOC[C@@H]([NH2+]Cc1ccc(-n2cc[nH+]c2)cc1)C(=O)[O-] ZINC000901808297 710152348 /nfs/dbraw/zinc/15/23/48/710152348.db2.gz CZFUDXMOLOVXOD-OAHLLOKOSA-N 1 2 301.346 1.618 20 30 DDEDLO Cn1ncc(C[NH+]2CCN(c3ncccc3C#N)CC2)c1C1CC1 ZINC000891540343 710231378 /nfs/dbraw/zinc/23/13/78/710231378.db2.gz MOEBHKBRKUVJPK-UHFFFAOYSA-N 1 2 322.416 1.886 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@](C)(O)c1cccc(F)c1 ZINC000928469765 713208151 /nfs/dbraw/zinc/20/81/51/713208151.db2.gz FUEJCWBSSGCGFJ-DOTOQJQBSA-N 1 2 304.365 1.247 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@](C)(O)c1cccc(F)c1 ZINC000928469765 713208153 /nfs/dbraw/zinc/20/81/53/713208153.db2.gz FUEJCWBSSGCGFJ-DOTOQJQBSA-N 1 2 304.365 1.247 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1[NH2+]Cc1nc(CS(C)(=O)=O)n[nH]1 ZINC000903295832 711092062 /nfs/dbraw/zinc/09/20/62/711092062.db2.gz CAOARDBAAJGSMF-VXGBXAGGSA-N 1 2 312.439 1.574 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CC[NH2+][C@@H](c3cnn(C)c3)C2)cc1 ZINC000913470763 713230162 /nfs/dbraw/zinc/23/01/62/713230162.db2.gz ZBYLVHNCHHDFNE-QGZVFWFLSA-N 1 2 324.384 1.219 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)Nc1nc2c(cc1C#N)COCC2 ZINC000895801449 711609575 /nfs/dbraw/zinc/60/95/75/711609575.db2.gz PUHJHHOJZZFGSU-OAHLLOKOSA-N 1 2 316.405 1.549 20 30 DDEDLO N#Cc1cnn2cc(C[NH2+]Cc3nc4c(s3)CCC4)cnc12 ZINC000896287157 711700929 /nfs/dbraw/zinc/70/09/29/711700929.db2.gz IJGUIPCIRYAOKU-UHFFFAOYSA-N 1 2 310.386 1.836 20 30 DDEDLO CCOCc1nc(C)cc(NNC2=CC(=O)N3CCC[C@@H]23)[nH+]1 ZINC000905499158 712055981 /nfs/dbraw/zinc/05/59/81/712055981.db2.gz MIKKTCKDXCWZFC-LBPRGKRZSA-N 1 2 303.366 1.484 20 30 DDEDLO C#CC[N@H+](CN1CC(=O)N(Cc2ccccc2C#N)C1=O)C(C)C ZINC000905743603 712136926 /nfs/dbraw/zinc/13/69/26/712136926.db2.gz WJMUZHDMUNPINZ-UHFFFAOYSA-N 1 2 324.384 1.624 20 30 DDEDLO C#CC[N@@H+](CN1CC(=O)N(Cc2ccccc2C#N)C1=O)C(C)C ZINC000905743603 712136927 /nfs/dbraw/zinc/13/69/27/712136927.db2.gz WJMUZHDMUNPINZ-UHFFFAOYSA-N 1 2 324.384 1.624 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000913809197 713303686 /nfs/dbraw/zinc/30/36/86/713303686.db2.gz MANYFASDZSICIM-AJTLQJAISA-N 1 2 319.449 1.933 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000913809197 713303688 /nfs/dbraw/zinc/30/36/88/713303688.db2.gz MANYFASDZSICIM-AJTLQJAISA-N 1 2 319.449 1.933 20 30 DDEDLO C#CCN(C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(C)(C)C ZINC000906268729 712278002 /nfs/dbraw/zinc/27/80/02/712278002.db2.gz RGAXYDZEJHQOPE-CABCVRRESA-N 1 2 308.422 1.127 20 30 DDEDLO C#CCCS(=O)(=O)NCCn1c[nH+]cc1-c1ccccc1 ZINC000918847117 713568751 /nfs/dbraw/zinc/56/87/51/713568751.db2.gz YCKDJVZSHITGTL-UHFFFAOYSA-N 1 2 303.387 1.493 20 30 DDEDLO N#CCCCS(=O)(=O)NCCn1c[nH+]cc1-c1ccccc1 ZINC000918852481 713569253 /nfs/dbraw/zinc/56/92/53/713569253.db2.gz PCWIACJUPQBHLA-UHFFFAOYSA-N 1 2 318.402 1.773 20 30 DDEDLO C=CCCn1cc(C[NH2+]CC(=O)N(CC)c2ccccc2)nn1 ZINC000922474941 714065510 /nfs/dbraw/zinc/06/55/10/714065510.db2.gz QJJCPHLGBUXPDY-UHFFFAOYSA-N 1 2 313.405 1.997 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CC[C@@H](c2noc(C)n2)C1 ZINC000931945902 714217980 /nfs/dbraw/zinc/21/79/80/714217980.db2.gz HKSXDUCRHNROAB-DGCLKSJQSA-N 1 2 305.382 1.176 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@@H](c2noc(C)n2)C1 ZINC000931945902 714217981 /nfs/dbraw/zinc/21/79/81/714217981.db2.gz HKSXDUCRHNROAB-DGCLKSJQSA-N 1 2 305.382 1.176 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2sc3ncccc3c2N)C1 ZINC000923549247 714397073 /nfs/dbraw/zinc/39/70/73/714397073.db2.gz GRQZVMYCPREJNQ-LLVKDONJSA-N 1 2 314.414 1.706 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc3ncccc3c2N)C1 ZINC000923549247 714397075 /nfs/dbraw/zinc/39/70/75/714397075.db2.gz GRQZVMYCPREJNQ-LLVKDONJSA-N 1 2 314.414 1.706 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ncoc2C(F)(F)F)C1 ZINC000923559450 714402656 /nfs/dbraw/zinc/40/26/56/714402656.db2.gz DTQBXYUYEURPLB-VIFPVBQESA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ncoc2C(F)(F)F)C1 ZINC000923559450 714402657 /nfs/dbraw/zinc/40/26/57/714402657.db2.gz DTQBXYUYEURPLB-VIFPVBQESA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(F)(F)C2CCOCC2)C1 ZINC000923563694 714406096 /nfs/dbraw/zinc/40/60/96/714406096.db2.gz KEUCWZRRAQYULN-CYBMUJFWSA-N 1 2 300.349 1.262 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(F)(F)C2CCOCC2)C1 ZINC000923563694 714406098 /nfs/dbraw/zinc/40/60/98/714406098.db2.gz KEUCWZRRAQYULN-CYBMUJFWSA-N 1 2 300.349 1.262 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2sc(NCC)nc2C)C1 ZINC000923597141 714423667 /nfs/dbraw/zinc/42/36/67/714423667.db2.gz MQYHYFXFGPLKOD-GFCCVEGCSA-N 1 2 306.435 1.711 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc(NCC)nc2C)C1 ZINC000923597141 714423668 /nfs/dbraw/zinc/42/36/68/714423668.db2.gz MQYHYFXFGPLKOD-GFCCVEGCSA-N 1 2 306.435 1.711 20 30 DDEDLO C[C@]1(C2CC2)COCC[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000934481534 714830142 /nfs/dbraw/zinc/83/01/42/714830142.db2.gz VFPAYDHJTZIRMR-GOSISDBHSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@]1(C2CC2)COCC[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000934481534 714830143 /nfs/dbraw/zinc/83/01/43/714830143.db2.gz VFPAYDHJTZIRMR-GOSISDBHSA-N 1 2 313.401 1.675 20 30 DDEDLO C#CCC1(NC(=O)c2cc([C@@H]3CCC[N@H+]3C)n[nH]2)CCOCC1 ZINC000925424286 714852253 /nfs/dbraw/zinc/85/22/53/714852253.db2.gz JQTYOZAFHBVHHN-HNNXBMFYSA-N 1 2 316.405 1.479 20 30 DDEDLO C#CCC1(NC(=O)c2cc([C@@H]3CCC[N@@H+]3C)n[nH]2)CCOCC1 ZINC000925424286 714852255 /nfs/dbraw/zinc/85/22/55/714852255.db2.gz JQTYOZAFHBVHHN-HNNXBMFYSA-N 1 2 316.405 1.479 20 30 DDEDLO C[C@@H](CC(C)(C)C#N)[NH2+][C@H]1CCCN(c2nccn(C)c2=O)C1 ZINC000926318831 715047613 /nfs/dbraw/zinc/04/76/13/715047613.db2.gz ASIZZMKKRFHFAU-KBPBESRZSA-N 1 2 317.437 1.667 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000955110137 715628286 /nfs/dbraw/zinc/62/82/86/715628286.db2.gz BZYPRWDFLJBSMM-MRXNPFEDSA-N 1 2 304.394 1.224 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnn3c2C[C@H](C)CC3)CC1 ZINC000957495082 715927801 /nfs/dbraw/zinc/92/78/01/715927801.db2.gz JGVSJIDVVJMUBS-CQSZACIVSA-N 1 2 302.422 1.799 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CN2CCc3sccc3C2)CC1 ZINC000957763552 716032683 /nfs/dbraw/zinc/03/26/83/716032683.db2.gz OOAYCKPANDXWEQ-UHFFFAOYSA-N 1 2 305.447 1.436 20 30 DDEDLO C=CCN1CCN(C(=O)C[N@@H+]2CCc3sccc3C2)CC1 ZINC000957763552 716032685 /nfs/dbraw/zinc/03/26/85/716032685.db2.gz OOAYCKPANDXWEQ-UHFFFAOYSA-N 1 2 305.447 1.436 20 30 DDEDLO C=CCN1CCN(C(=O)C[N@H+]2CCc3sccc3C2)CC1 ZINC000957763552 716032689 /nfs/dbraw/zinc/03/26/89/716032689.db2.gz OOAYCKPANDXWEQ-UHFFFAOYSA-N 1 2 305.447 1.436 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](N(C)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000939009296 716083648 /nfs/dbraw/zinc/08/36/48/716083648.db2.gz ZXOXJOUSBFKCBS-ZDUSSCGKSA-N 1 2 318.421 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2scnc2C)C1 ZINC000957884111 716216516 /nfs/dbraw/zinc/21/65/16/716216516.db2.gz ZLALDKYDOMDNRM-WDEREUQCSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2scnc2C)C1 ZINC000957884111 716216518 /nfs/dbraw/zinc/21/65/18/716216518.db2.gz ZLALDKYDOMDNRM-WDEREUQCSA-N 1 2 315.826 1.227 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(NC(C)=O)ccc2C)CC1 ZINC000957946328 716244784 /nfs/dbraw/zinc/24/47/84/716244784.db2.gz NIKKIFQCUSPGHA-UHFFFAOYSA-N 1 2 301.390 1.897 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2csnc2C)C1 ZINC000957983047 716262027 /nfs/dbraw/zinc/26/20/27/716262027.db2.gz QGMUXJHWNBWKIC-JQWIXIFHSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2csnc2C)C1 ZINC000957983047 716262032 /nfs/dbraw/zinc/26/20/32/716262032.db2.gz QGMUXJHWNBWKIC-JQWIXIFHSA-N 1 2 315.826 1.227 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@@H]2CCCCN2C(=O)C#CC2CC2)no1 ZINC000960008679 716386404 /nfs/dbraw/zinc/38/64/04/716386404.db2.gz UKYOVFUQLWSWOG-DOMZBBRYSA-N 1 2 316.405 1.823 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(N3CCN(C(=O)C4CC4)CC3)C2)cc1 ZINC000941270612 717143841 /nfs/dbraw/zinc/14/38/41/717143841.db2.gz JUTVPOOYXQISMC-UHFFFAOYSA-N 1 2 324.428 1.297 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3sccc3C)CC2)C1 ZINC000941285301 717146822 /nfs/dbraw/zinc/14/68/22/717146822.db2.gz OAMGXJIZHQPULL-UHFFFAOYSA-N 1 2 303.431 1.132 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3cccc(C)c3)CC2)C1 ZINC000941288555 717147021 /nfs/dbraw/zinc/14/70/21/717147021.db2.gz UZELPEFVZILZQM-UHFFFAOYSA-N 1 2 311.429 1.460 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CC(C)(C)C3CC3)CC2)C1 ZINC000941404726 717171291 /nfs/dbraw/zinc/17/12/91/717171291.db2.gz OQACNYNHNJEOAD-UHFFFAOYSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCCC4(CC4)C3)CC2)C1 ZINC000941410817 717172304 /nfs/dbraw/zinc/17/23/04/717172304.db2.gz NRARDAXXOHTLQR-INIZCTEOSA-N 1 2 315.461 1.418 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ncccn2)CC[C@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC000941968896 717411884 /nfs/dbraw/zinc/41/18/84/717411884.db2.gz ZBJFSAJYGPFHNS-TZMCWYRMSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ncccn2)CC[C@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC000941968896 717411889 /nfs/dbraw/zinc/41/18/89/717411889.db2.gz ZBJFSAJYGPFHNS-TZMCWYRMSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc[nH]1 ZINC000962669411 717551673 /nfs/dbraw/zinc/55/16/73/717551673.db2.gz SUBDQEKIBAIVJG-IMRBUKKESA-N 1 2 324.222 1.512 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc[nH]1 ZINC000962669411 717551676 /nfs/dbraw/zinc/55/16/76/717551676.db2.gz SUBDQEKIBAIVJG-IMRBUKKESA-N 1 2 324.222 1.512 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000966662877 718620654 /nfs/dbraw/zinc/62/06/54/718620654.db2.gz NUGXDAKJTOXQCT-NWDGAFQWSA-N 1 2 304.394 1.128 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cc(Br)n[nH]2)CC1 ZINC000948607125 719583320 /nfs/dbraw/zinc/58/33/20/719583320.db2.gz BVBXVWBFPQLQJI-UHFFFAOYSA-N 1 2 313.199 1.506 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](N(C)C(=O)c2[nH]nnc2C)CC1 ZINC000948669401 719631595 /nfs/dbraw/zinc/63/15/95/719631595.db2.gz HFBPGVKNQGBMCC-GFCCVEGCSA-N 1 2 311.817 1.792 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](N(C)C(=O)c2[nH]nnc2C)CC1 ZINC000948669401 719631598 /nfs/dbraw/zinc/63/15/98/719631598.db2.gz HFBPGVKNQGBMCC-GFCCVEGCSA-N 1 2 311.817 1.792 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC000968559168 719664691 /nfs/dbraw/zinc/66/46/91/719664691.db2.gz WVGNQJNJNUCSGZ-SMDDNHRTSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC000968559168 719664693 /nfs/dbraw/zinc/66/46/93/719664693.db2.gz WVGNQJNJNUCSGZ-SMDDNHRTSA-N 1 2 323.824 1.578 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccn(C)c1 ZINC000948905722 719778117 /nfs/dbraw/zinc/77/81/17/719778117.db2.gz ARZHIOXBLIWXGJ-SFHVURJKSA-N 1 2 307.397 1.988 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccn(C)c1 ZINC000948905722 719778118 /nfs/dbraw/zinc/77/81/18/719778118.db2.gz ARZHIOXBLIWXGJ-SFHVURJKSA-N 1 2 307.397 1.988 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn(CC)n1 ZINC000948916476 719784835 /nfs/dbraw/zinc/78/48/35/719784835.db2.gz WXNNXAZITNGXKI-QGZVFWFLSA-N 1 2 323.400 1.260 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn(CC)n1 ZINC000948916476 719784839 /nfs/dbraw/zinc/78/48/39/719784839.db2.gz WXNNXAZITNGXKI-QGZVFWFLSA-N 1 2 323.400 1.260 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)C1CC(OC)C1 ZINC000948930094 719790666 /nfs/dbraw/zinc/79/06/66/719790666.db2.gz XXOWKCZMHNLOPT-LEOMRAHMSA-N 1 2 312.413 1.760 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)C1CC(OC)C1 ZINC000948930094 719790669 /nfs/dbraw/zinc/79/06/69/719790669.db2.gz XXOWKCZMHNLOPT-LEOMRAHMSA-N 1 2 312.413 1.760 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC000948953752 719799814 /nfs/dbraw/zinc/79/98/14/719799814.db2.gz GMXCHYFDLSIOGF-MRXNPFEDSA-N 1 2 324.384 1.088 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC000948953752 719799818 /nfs/dbraw/zinc/79/98/18/719799818.db2.gz GMXCHYFDLSIOGF-MRXNPFEDSA-N 1 2 324.384 1.088 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CC(F)(F)F)CC2)C1 ZINC000949313835 720009885 /nfs/dbraw/zinc/00/98/85/720009885.db2.gz ATCLJSKAYHZWRN-UHFFFAOYSA-N 1 2 304.312 1.265 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CC(F)(F)F)CC2)C1 ZINC000949313835 720009890 /nfs/dbraw/zinc/00/98/90/720009890.db2.gz ATCLJSKAYHZWRN-UHFFFAOYSA-N 1 2 304.312 1.265 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccncc3F)CC2)C1 ZINC000949329382 720020129 /nfs/dbraw/zinc/02/01/29/720020129.db2.gz UMJCWCFXIGSMQI-UHFFFAOYSA-N 1 2 317.364 1.161 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccncc3F)CC2)C1 ZINC000949329382 720020133 /nfs/dbraw/zinc/02/01/33/720020133.db2.gz UMJCWCFXIGSMQI-UHFFFAOYSA-N 1 2 317.364 1.161 20 30 DDEDLO C=CC[N@@H+]1CCOC2(CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC000949369750 720044125 /nfs/dbraw/zinc/04/41/25/720044125.db2.gz CEZSYKDQAKCEKV-NSHDSACASA-N 1 2 324.318 1.766 20 30 DDEDLO C=CC[N@H+]1CCOC2(CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC000949369750 720044127 /nfs/dbraw/zinc/04/41/27/720044127.db2.gz CEZSYKDQAKCEKV-NSHDSACASA-N 1 2 324.318 1.766 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@@H](OC)C3)CC2)C1 ZINC000949401367 720063368 /nfs/dbraw/zinc/06/33/68/720063368.db2.gz ALHAYVVNFUGQHA-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@@H](OC)C3)CC2)C1 ZINC000949401367 720063373 /nfs/dbraw/zinc/06/33/73/720063373.db2.gz ALHAYVVNFUGQHA-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@@]3(C)C(C)C)CC2)C1 ZINC000949500994 720126705 /nfs/dbraw/zinc/12/67/05/720126705.db2.gz QLYROLHDEZZPCG-AEFFLSMTSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@@]3(C)C(C)C)CC2)C1 ZINC000949500994 720126708 /nfs/dbraw/zinc/12/67/08/720126708.db2.gz QLYROLHDEZZPCG-AEFFLSMTSA-N 1 2 318.461 1.995 20 30 DDEDLO C=C(C)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000969667664 720242106 /nfs/dbraw/zinc/24/21/06/720242106.db2.gz LNWKWIHREYHNIE-OCCSQVGLSA-N 1 2 302.422 1.842 20 30 DDEDLO C#CCN1CC([C@@H](C)NC(=O)c2sccc2C[NH+](C)C)C1 ZINC000969769517 720284677 /nfs/dbraw/zinc/28/46/77/720284677.db2.gz POTBTLSGGDOAIK-GFCCVEGCSA-N 1 2 305.447 1.493 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]([NH2+]Cc3nnc(C)o3)C2)CC1 ZINC000969830908 720314978 /nfs/dbraw/zinc/31/49/78/720314978.db2.gz VQRHALWXFMDMRE-CQSZACIVSA-N 1 2 304.394 1.815 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(CCOC)nc2C)C1 ZINC000950089832 720485776 /nfs/dbraw/zinc/48/57/76/720485776.db2.gz ODCJIEAXFLGIBS-UHFFFAOYSA-N 1 2 318.421 1.008 20 30 DDEDLO C=CCC[NH+]1CC(N(CC)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC000950330078 720579900 /nfs/dbraw/zinc/57/99/00/720579900.db2.gz DDSCBKHWGJOAJQ-HNNXBMFYSA-N 1 2 302.422 1.938 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C3CC3)nn2CC)C1 ZINC000950586121 720695189 /nfs/dbraw/zinc/69/51/89/720695189.db2.gz QMKBDYKYYLEPOU-UHFFFAOYSA-N 1 2 314.433 1.950 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn3c(n2)C[C@@H](C)CC3)C1 ZINC000950621377 720708272 /nfs/dbraw/zinc/70/82/72/720708272.db2.gz ZZSRVBLWCVUOJH-AWEZNQCLSA-N 1 2 314.433 1.635 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@H]2COCCN2CCCC)C1 ZINC000950989508 720867274 /nfs/dbraw/zinc/86/72/74/720867274.db2.gz KYCGOPFOGPAVJW-QGZVFWFLSA-N 1 2 321.465 1.043 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(-n3ccnc3)nc2)C1 ZINC000951083069 720912482 /nfs/dbraw/zinc/91/24/82/720912482.db2.gz AEXOFNJOVHMACH-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)[C@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971287128 721219868 /nfs/dbraw/zinc/21/98/68/721219868.db2.gz AYOJPVMINCBRGM-OCCSQVGLSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)[C@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971287128 721219872 /nfs/dbraw/zinc/21/98/72/721219872.db2.gz AYOJPVMINCBRGM-OCCSQVGLSA-N 1 2 324.388 1.584 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H](NC(=O)Cn3cc[nH+]c3)C2)C1 ZINC000951950589 721251915 /nfs/dbraw/zinc/25/19/15/721251915.db2.gz GXEHGJKFWLHBKP-AWEZNQCLSA-N 1 2 316.405 1.347 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971511634 721331539 /nfs/dbraw/zinc/33/15/39/721331539.db2.gz NGLHMSTZIVSZTF-SMDDNHRTSA-N 1 2 302.378 1.385 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971511634 721331543 /nfs/dbraw/zinc/33/15/43/721331543.db2.gz NGLHMSTZIVSZTF-SMDDNHRTSA-N 1 2 302.378 1.385 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cccn(CC(C)C)c2=O)C1 ZINC000952402511 721437738 /nfs/dbraw/zinc/43/77/38/721437738.db2.gz YBVCRLLTQQNKAQ-UHFFFAOYSA-N 1 2 317.433 1.837 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H](C)CNc1cc[nH+]c(C)n1 ZINC001104243644 732815869 /nfs/dbraw/zinc/81/58/69/732815869.db2.gz VATAAYLZIIVVNO-CFVMTHIKSA-N 1 2 318.421 1.930 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H](NC(=O)c3cccs3)C2)C1=O ZINC001010010529 738698849 /nfs/dbraw/zinc/69/88/49/738698849.db2.gz JDEDFTBBJWVYFP-OLZOCXBDSA-N 1 2 319.430 1.339 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H](NC(=O)c3cccs3)C2)C1=O ZINC001010010529 738698850 /nfs/dbraw/zinc/69/88/50/738698850.db2.gz JDEDFTBBJWVYFP-OLZOCXBDSA-N 1 2 319.430 1.339 20 30 DDEDLO CCn1ccnc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038202211 733385504 /nfs/dbraw/zinc/38/55/04/733385504.db2.gz RNZNGKAGXXNYJM-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1ccnc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038202211 733385506 /nfs/dbraw/zinc/38/55/06/733385506.db2.gz RNZNGKAGXXNYJM-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)C1CCC(O)CC1 ZINC001027855069 738740017 /nfs/dbraw/zinc/74/00/17/738740017.db2.gz WZWIGROLTMPKOC-ROKHWSDSSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)C1CCC(O)CC1 ZINC001027855069 738740019 /nfs/dbraw/zinc/74/00/19/738740019.db2.gz WZWIGROLTMPKOC-ROKHWSDSSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnc(N(C)C)cn1 ZINC001027881331 738768165 /nfs/dbraw/zinc/76/81/65/738768165.db2.gz NQBOIQWBHJZEDP-GFCCVEGCSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc(N(C)C)cn1 ZINC001027881331 738768168 /nfs/dbraw/zinc/76/81/68/738768168.db2.gz NQBOIQWBHJZEDP-GFCCVEGCSA-N 1 2 323.828 1.489 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncn1C ZINC001111489642 734415789 /nfs/dbraw/zinc/41/57/89/734415789.db2.gz GUELHRAGHFDELM-XNISGKROSA-N 1 2 318.421 1.233 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncn1C ZINC001111489642 734415792 /nfs/dbraw/zinc/41/57/92/734415792.db2.gz GUELHRAGHFDELM-XNISGKROSA-N 1 2 318.421 1.233 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc3[nH]ccc32)C1 ZINC000891607381 734424373 /nfs/dbraw/zinc/42/43/73/734424373.db2.gz SMIMBMXXZXGVEF-ZDUSSCGKSA-N 1 2 324.384 1.320 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc3[nH]ccc32)C1 ZINC000891607381 734424375 /nfs/dbraw/zinc/42/43/75/734424375.db2.gz SMIMBMXXZXGVEF-ZDUSSCGKSA-N 1 2 324.384 1.320 20 30 DDEDLO COCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2Cc1cccc(C#N)c1 ZINC001039492310 734651795 /nfs/dbraw/zinc/65/17/95/734651795.db2.gz JUTQRQDRQLDSCL-CALCHBBNSA-N 1 2 313.401 1.770 20 30 DDEDLO COCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2Cc1cccc(C#N)c1 ZINC001039492310 734651796 /nfs/dbraw/zinc/65/17/96/734651796.db2.gz JUTQRQDRQLDSCL-CALCHBBNSA-N 1 2 313.401 1.770 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc(Cc3[nH+]ccn3C)n2C)C1 ZINC001121268477 782432966 /nfs/dbraw/zinc/43/29/66/782432966.db2.gz QMXDHZJPRWPYMV-CYBMUJFWSA-N 1 2 300.410 1.932 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCCN(CC)c1cc[nH+]c(C)n1 ZINC001100576502 734700215 /nfs/dbraw/zinc/70/02/15/734700215.db2.gz GGUZCZXQEBKQMO-UHFFFAOYSA-N 1 2 322.412 1.952 20 30 DDEDLO C#CCCCC(=O)NC[C@H](CO)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC001121372042 782477807 /nfs/dbraw/zinc/47/78/07/782477807.db2.gz SVCPKWRQAVRZLN-CQSZACIVSA-N 1 2 318.421 1.601 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3Cc4ccccc4C3)C2)C1 ZINC000972571119 735254897 /nfs/dbraw/zinc/25/48/97/735254897.db2.gz IDSMSHSFIRMFSD-HXUWFJFHSA-N 1 2 324.424 1.338 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3Cc4ccccc4C3)C2)C1 ZINC000972571119 735254899 /nfs/dbraw/zinc/25/48/99/735254899.db2.gz IDSMSHSFIRMFSD-HXUWFJFHSA-N 1 2 324.424 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001024495210 735873513 /nfs/dbraw/zinc/87/35/13/735873513.db2.gz HNBMIROYFLVJRF-LBPRGKRZSA-N 1 2 310.785 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001024495210 735873519 /nfs/dbraw/zinc/87/35/19/735873519.db2.gz HNBMIROYFLVJRF-LBPRGKRZSA-N 1 2 310.785 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cccc(=O)n1C ZINC001024644799 736000623 /nfs/dbraw/zinc/00/06/23/736000623.db2.gz YKFKPCQHCQYUAR-CYBMUJFWSA-N 1 2 323.824 1.722 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cccc(=O)n1C ZINC001024644799 736000627 /nfs/dbraw/zinc/00/06/27/736000627.db2.gz YKFKPCQHCQYUAR-CYBMUJFWSA-N 1 2 323.824 1.722 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCO[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111947860 736172570 /nfs/dbraw/zinc/17/25/70/736172570.db2.gz BCFBLNUNNIHXIL-TZMCWYRMSA-N 1 2 320.393 1.015 20 30 DDEDLO Cc1nsc(N[C@H](C)CNC(=O)CCn2cc[nH+]c2)c1C#N ZINC001098167684 736228984 /nfs/dbraw/zinc/22/89/84/736228984.db2.gz ICOGCRCOHHIYPK-SNVBAGLBSA-N 1 2 318.406 1.527 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@@H]2CCCN(C(=O)CSCC#N)C2)n1 ZINC001207429163 736375133 /nfs/dbraw/zinc/37/51/33/736375133.db2.gz AQNQKXWQXLXGIU-ZYHUDNBSSA-N 1 2 323.422 1.276 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)C[C@H]1CCNCC#N ZINC001104665311 736497300 /nfs/dbraw/zinc/49/73/00/736497300.db2.gz VLONHWJGIUEOPK-MCIONIFRSA-N 1 2 315.421 1.427 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CNC(=O)c1nc2cccnc2s1 ZINC001038351169 737107995 /nfs/dbraw/zinc/10/79/95/737107995.db2.gz PUMCSZWFQMTTIE-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1nc2cccnc2s1 ZINC001038351169 737107997 /nfs/dbraw/zinc/10/79/97/737107997.db2.gz PUMCSZWFQMTTIE-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H]3CC=CCC3)C2)nn1 ZINC001098608587 737251616 /nfs/dbraw/zinc/25/16/16/737251616.db2.gz ZTOPEWHXSBYEFI-GDBMZVCRSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105309790 737807286 /nfs/dbraw/zinc/80/72/86/737807286.db2.gz CEFUMNOUWIPDGA-AWEZNQCLSA-N 1 2 315.421 1.377 20 30 DDEDLO CCn1ccnc1C[N@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406401 738265853 /nfs/dbraw/zinc/26/58/53/738265853.db2.gz QQGPLHRFEMOSAW-INIZCTEOSA-N 1 2 314.433 1.739 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406401 738265857 /nfs/dbraw/zinc/26/58/57/738265857.db2.gz QQGPLHRFEMOSAW-INIZCTEOSA-N 1 2 314.433 1.739 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]([N@@H+](C)Cc2cn(CC)nn2)C1 ZINC001027522243 738373743 /nfs/dbraw/zinc/37/37/43/738373743.db2.gz VAXSFDAODQGCHU-HNNXBMFYSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]([N@H+](C)Cc2cn(CC)nn2)C1 ZINC001027522243 738373745 /nfs/dbraw/zinc/37/37/45/738373745.db2.gz VAXSFDAODQGCHU-HNNXBMFYSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@@H](CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001035316749 751403159 /nfs/dbraw/zinc/40/31/59/751403159.db2.gz AKOYYVQXQRVSFB-AWEZNQCLSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@@H](CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001035316749 751403163 /nfs/dbraw/zinc/40/31/63/751403163.db2.gz AKOYYVQXQRVSFB-AWEZNQCLSA-N 1 2 306.410 1.423 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)CC1(C)CC1)CC2 ZINC001128012016 751403783 /nfs/dbraw/zinc/40/37/83/751403783.db2.gz IUPYOWNIPUOENA-CYBMUJFWSA-N 1 2 315.421 1.012 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001075615995 739187652 /nfs/dbraw/zinc/18/76/52/739187652.db2.gz GDYQEDXOPPCKCX-OFQRWUPVSA-N 1 2 315.421 1.656 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001075615995 739187653 /nfs/dbraw/zinc/18/76/53/739187653.db2.gz GDYQEDXOPPCKCX-OFQRWUPVSA-N 1 2 315.421 1.656 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H]1CNC(=O)c1cnn(-c2cc[nH+]cc2)c1 ZINC001028226852 739195825 /nfs/dbraw/zinc/19/58/25/739195825.db2.gz ORNAYFKVWOGXPU-KRWDZBQOSA-N 1 2 323.400 1.485 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1cnn(-c2cc[nH+]cc2)c1 ZINC001028226852 739195827 /nfs/dbraw/zinc/19/58/27/739195827.db2.gz ORNAYFKVWOGXPU-KRWDZBQOSA-N 1 2 323.400 1.485 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1cnn(-c2ccncc2)c1 ZINC001028226852 739195831 /nfs/dbraw/zinc/19/58/31/739195831.db2.gz ORNAYFKVWOGXPU-KRWDZBQOSA-N 1 2 323.400 1.485 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1coc(COC)n1 ZINC001028231446 739203083 /nfs/dbraw/zinc/20/30/83/739203083.db2.gz NJIMYNPQMVUHNV-NSHDSACASA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1coc(COC)n1 ZINC001028231446 739203085 /nfs/dbraw/zinc/20/30/85/739203085.db2.gz NJIMYNPQMVUHNV-NSHDSACASA-N 1 2 313.785 1.768 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2[nH]cnc2C(C)(C)C)C1 ZINC001035372712 751437015 /nfs/dbraw/zinc/43/70/15/751437015.db2.gz PRISUWYUALVBSE-LBPRGKRZSA-N 1 2 306.410 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2[nH]cnc2C(C)(C)C)C1 ZINC001035372712 751437016 /nfs/dbraw/zinc/43/70/16/751437016.db2.gz PRISUWYUALVBSE-LBPRGKRZSA-N 1 2 306.410 1.324 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2csc(C)c2C)C1 ZINC001035388519 751459084 /nfs/dbraw/zinc/45/90/84/751459084.db2.gz WQUNEVQVJDIVBK-AWEZNQCLSA-N 1 2 306.431 1.819 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2csc(C)c2C)C1 ZINC001035388519 751459089 /nfs/dbraw/zinc/45/90/89/751459089.db2.gz WQUNEVQVJDIVBK-AWEZNQCLSA-N 1 2 306.431 1.819 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)CCCCCC)C2)nn1 ZINC001105370036 739627358 /nfs/dbraw/zinc/62/73/58/739627358.db2.gz RYJPAUXOSDMZTO-UHFFFAOYSA-N 1 2 303.410 1.355 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(CC)n2)C1 ZINC001035398793 751468950 /nfs/dbraw/zinc/46/89/50/751468950.db2.gz YWMVJFLJCFVFQY-HNNXBMFYSA-N 1 2 301.390 1.098 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(CC)n2)C1 ZINC001035398793 751468955 /nfs/dbraw/zinc/46/89/55/751468955.db2.gz YWMVJFLJCFVFQY-HNNXBMFYSA-N 1 2 301.390 1.098 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3ccccc3n2)C1 ZINC001035402075 751471238 /nfs/dbraw/zinc/47/12/38/751471238.db2.gz QTJXVKSQQHMGRK-CQSZACIVSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnc3ccccc3n2)C1 ZINC001035402075 751471242 /nfs/dbraw/zinc/47/12/42/751471242.db2.gz QTJXVKSQQHMGRK-CQSZACIVSA-N 1 2 324.384 1.084 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)CC3CCC3)[C@@H](O)C2)s1 ZINC001083383363 739751199 /nfs/dbraw/zinc/75/11/99/739751199.db2.gz BWFYVTJATVANPI-CABCVRRESA-N 1 2 319.430 1.471 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)CC3CCC3)[C@@H](O)C2)s1 ZINC001083383363 739751203 /nfs/dbraw/zinc/75/12/03/739751203.db2.gz BWFYVTJATVANPI-CABCVRRESA-N 1 2 319.430 1.471 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2sc(C)cc2C)C1 ZINC001035380353 751477452 /nfs/dbraw/zinc/47/74/52/751477452.db2.gz HUSPZTBWSXOTDE-AWEZNQCLSA-N 1 2 306.431 1.819 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2sc(C)cc2C)C1 ZINC001035380353 751477454 /nfs/dbraw/zinc/47/74/54/751477454.db2.gz HUSPZTBWSXOTDE-AWEZNQCLSA-N 1 2 306.431 1.819 20 30 DDEDLO N#Cc1cnc(N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)c(F)c1 ZINC001059076936 739876693 /nfs/dbraw/zinc/87/66/93/739876693.db2.gz IYUQAVWZRWDDDY-NSHDSACASA-N 1 2 314.324 1.071 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114895655 751495994 /nfs/dbraw/zinc/49/59/94/751495994.db2.gz CAQUXLUKRMTSTO-ZZVYKPCYSA-N 1 2 317.437 1.823 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114895655 751495995 /nfs/dbraw/zinc/49/59/95/751495995.db2.gz CAQUXLUKRMTSTO-ZZVYKPCYSA-N 1 2 317.437 1.823 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C)c2Cl)C1 ZINC001035417497 751499107 /nfs/dbraw/zinc/49/91/07/751499107.db2.gz UGLBRHZYEPEMNT-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn(C)c2Cl)C1 ZINC001035417497 751499108 /nfs/dbraw/zinc/49/91/08/751499108.db2.gz UGLBRHZYEPEMNT-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cncc(CC)c2)C1 ZINC001035448312 751525591 /nfs/dbraw/zinc/52/55/91/751525591.db2.gz NPIOUVVQWARYGW-INIZCTEOSA-N 1 2 301.390 1.098 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cncc(CC)c2)C1 ZINC001035448312 751525598 /nfs/dbraw/zinc/52/55/98/751525598.db2.gz NPIOUVVQWARYGW-INIZCTEOSA-N 1 2 301.390 1.098 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001035448472 751525703 /nfs/dbraw/zinc/52/57/03/751525703.db2.gz POCPYEJGDRWCHP-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001035448472 751525708 /nfs/dbraw/zinc/52/57/08/751525708.db2.gz POCPYEJGDRWCHP-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc[nH]c2CCC)C1 ZINC001035484993 751537470 /nfs/dbraw/zinc/53/74/70/751537470.db2.gz STXJWUQIBXSIJZ-AWEZNQCLSA-N 1 2 305.422 1.974 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc[nH]c2CCC)C1 ZINC001035484993 751537475 /nfs/dbraw/zinc/53/74/75/751537475.db2.gz STXJWUQIBXSIJZ-AWEZNQCLSA-N 1 2 305.422 1.974 20 30 DDEDLO O=C([C@@H]1CCCO1)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#Cc1ccccc1 ZINC001029204360 740447704 /nfs/dbraw/zinc/44/77/04/740447704.db2.gz GLHFJNKIQLJCRH-OTWHNJEPSA-N 1 2 324.424 1.892 20 30 DDEDLO O=C([C@@H]1CCCO1)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#Cc1ccccc1 ZINC001029204360 740447707 /nfs/dbraw/zinc/44/77/07/740447707.db2.gz GLHFJNKIQLJCRH-OTWHNJEPSA-N 1 2 324.424 1.892 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc(C#N)s1 ZINC001029244791 740486774 /nfs/dbraw/zinc/48/67/74/740486774.db2.gz LRZLQKQSCJNWCM-UPJWGTAASA-N 1 2 314.414 1.955 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(C#N)s1 ZINC001029244791 740486777 /nfs/dbraw/zinc/48/67/77/740486777.db2.gz LRZLQKQSCJNWCM-UPJWGTAASA-N 1 2 314.414 1.955 20 30 DDEDLO CC[C@H]1CN(C(=O)CCc2c[nH+]cn2C)CC[C@H]1NCC#N ZINC001037961951 751598111 /nfs/dbraw/zinc/59/81/11/751598111.db2.gz LKZFKEDOHDFSFL-DZGCQCFKSA-N 1 2 303.410 1.093 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001035572074 751625829 /nfs/dbraw/zinc/62/58/29/751625829.db2.gz QCNHAVMUVAKPHA-ZDUSSCGKSA-N 1 2 318.421 1.161 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001035572074 751625833 /nfs/dbraw/zinc/62/58/33/751625833.db2.gz QCNHAVMUVAKPHA-ZDUSSCGKSA-N 1 2 318.421 1.161 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](C[N@H+](C)Cc2nnnn2C)C1 ZINC001029825958 741362609 /nfs/dbraw/zinc/36/26/09/741362609.db2.gz KCRYTIUVZWIPOM-CZUORRHYSA-N 1 2 320.441 1.093 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nnnn2C)C1 ZINC001029825958 741362616 /nfs/dbraw/zinc/36/26/16/741362616.db2.gz KCRYTIUVZWIPOM-CZUORRHYSA-N 1 2 320.441 1.093 20 30 DDEDLO N#CCN[C@@H]1CCCCCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001088337926 741410851 /nfs/dbraw/zinc/41/08/51/741410851.db2.gz QQNNLKRDYHRGLN-LSDHHAIUSA-N 1 2 315.421 1.330 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@H]1OC ZINC001212022231 741944733 /nfs/dbraw/zinc/94/47/33/741944733.db2.gz HAVVBUXOBPYNEE-HUUCEWRRSA-N 1 2 304.394 1.067 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@H]1OC ZINC001212022231 741944737 /nfs/dbraw/zinc/94/47/37/741944737.db2.gz HAVVBUXOBPYNEE-HUUCEWRRSA-N 1 2 304.394 1.067 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C[C@H](C)C(C)C)[C@H](OC)C1 ZINC001212016043 741945072 /nfs/dbraw/zinc/94/50/72/741945072.db2.gz WWXLMELXWPIDHU-ARFHVFGLSA-N 1 2 310.438 1.134 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C[C@H](C)C(C)C)[C@H](OC)C1 ZINC001212016043 741945074 /nfs/dbraw/zinc/94/50/74/741945074.db2.gz WWXLMELXWPIDHU-ARFHVFGLSA-N 1 2 310.438 1.134 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3c2cccc3Cl)C1 ZINC001035619674 751691700 /nfs/dbraw/zinc/69/17/00/751691700.db2.gz LEWGZTMSWRMVSV-SWLSCSKDSA-N 1 2 320.820 1.983 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3c2cccc3Cl)C1 ZINC001035619674 751691704 /nfs/dbraw/zinc/69/17/04/751691704.db2.gz LEWGZTMSWRMVSV-SWLSCSKDSA-N 1 2 320.820 1.983 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C(C)=C/C)C2)nn1 ZINC001098649260 742065031 /nfs/dbraw/zinc/06/50/31/742065031.db2.gz NMLDKCDYGOFNNC-WQPBDQAGSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CCCC(=O)NCC[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001060002539 742192685 /nfs/dbraw/zinc/19/26/85/742192685.db2.gz WUKWQRDLZZEZAH-HNNXBMFYSA-N 1 2 318.421 1.594 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](NC(=O)c2c[nH]c3ccccc3c2=O)[C@H]1C ZINC001088697893 742195430 /nfs/dbraw/zinc/19/54/30/742195430.db2.gz PZROXNBJHRFZGJ-CJNGLKHVSA-N 1 2 323.396 1.744 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](NC(=O)c2c[nH]c3ccccc3c2=O)[C@H]1C ZINC001088697893 742195434 /nfs/dbraw/zinc/19/54/34/742195434.db2.gz PZROXNBJHRFZGJ-CJNGLKHVSA-N 1 2 323.396 1.744 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)CCn1cncn1)CC2 ZINC001035653182 751730454 /nfs/dbraw/zinc/73/04/54/751730454.db2.gz OKPJVHVIOXRUES-UHFFFAOYSA-N 1 2 323.828 1.345 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3coc(C(N)=O)c3)[C@@H]2C1 ZINC001076083776 742531982 /nfs/dbraw/zinc/53/19/82/742531982.db2.gz QVJHYPMANYWADG-CMPLNLGQSA-N 1 2 323.780 1.277 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3coc(C(N)=O)c3)[C@@H]2C1 ZINC001076083776 742531984 /nfs/dbraw/zinc/53/19/84/742531984.db2.gz QVJHYPMANYWADG-CMPLNLGQSA-N 1 2 323.780 1.277 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001076411813 742717446 /nfs/dbraw/zinc/71/74/46/742717446.db2.gz ZREYADZUTPKSQX-ZDUSSCGKSA-N 1 2 304.394 1.013 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C[C@@H](C)CC)C2)nn1 ZINC001098664569 742814115 /nfs/dbraw/zinc/81/41/15/742814115.db2.gz PIXZVMRYKFYGEK-GOEBONIOSA-N 1 2 317.437 1.601 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(C[NH+](Cc3ccn(C)n3)C2)CC1 ZINC001035672090 751778403 /nfs/dbraw/zinc/77/84/03/751778403.db2.gz DRLNMJIKAKEZLW-ZDUSSCGKSA-N 1 2 301.394 1.004 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001108043052 743056875 /nfs/dbraw/zinc/05/68/75/743056875.db2.gz BSSDPYIPUYWHIE-QGZVFWFLSA-N 1 2 320.437 1.645 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001108043052 743056885 /nfs/dbraw/zinc/05/68/85/743056885.db2.gz BSSDPYIPUYWHIE-QGZVFWFLSA-N 1 2 320.437 1.645 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)cc(C)n2)C1 ZINC001108043273 743095092 /nfs/dbraw/zinc/09/50/92/743095092.db2.gz HSOXNTOQFVVUEB-KRWDZBQOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)cc(C)n2)C1 ZINC001108043273 743095101 /nfs/dbraw/zinc/09/51/01/743095101.db2.gz HSOXNTOQFVVUEB-KRWDZBQOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001181462002 743206033 /nfs/dbraw/zinc/20/60/33/743206033.db2.gz XKIBXFRGQQJDIW-NWDGAFQWSA-N 1 2 310.423 1.210 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC001181535022 743229578 /nfs/dbraw/zinc/22/95/78/743229578.db2.gz KZBWCNKMBUBFCG-CYBMUJFWSA-N 1 2 304.394 1.994 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC001181618077 743274902 /nfs/dbraw/zinc/27/49/02/743274902.db2.gz KOBKYBUUDUNMPP-CYBMUJFWSA-N 1 2 320.393 1.230 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(NC(=O)c2c[nH]c(C#N)c2)CC1 ZINC001002629331 743294494 /nfs/dbraw/zinc/29/44/94/743294494.db2.gz GQZXXFNXSBCLHS-UHFFFAOYSA-N 1 2 312.377 1.014 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)(C)CC)cc2)C1 ZINC001077197771 743307825 /nfs/dbraw/zinc/30/78/25/743307825.db2.gz DOMWBSRLWFAFSZ-IAGOWNOFSA-N 1 2 314.429 1.782 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)(C)CC)cc2)C1 ZINC001077197771 743307831 /nfs/dbraw/zinc/30/78/31/743307831.db2.gz DOMWBSRLWFAFSZ-IAGOWNOFSA-N 1 2 314.429 1.782 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2CC(C)(C)C)C1 ZINC001077198134 743308333 /nfs/dbraw/zinc/30/83/33/743308333.db2.gz YWZKMOYUOPIWHX-IAGOWNOFSA-N 1 2 314.429 1.683 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2CC(C)(C)C)C1 ZINC001077198134 743308340 /nfs/dbraw/zinc/30/83/40/743308340.db2.gz YWZKMOYUOPIWHX-IAGOWNOFSA-N 1 2 314.429 1.683 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(-c3ccccc3)no2)C1 ZINC001077291590 743382657 /nfs/dbraw/zinc/38/26/57/743382657.db2.gz OSFJLPDULLVNOG-HUUCEWRRSA-N 1 2 313.357 1.303 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(-c3ccccc3)no2)C1 ZINC001077291590 743382667 /nfs/dbraw/zinc/38/26/67/743382667.db2.gz OSFJLPDULLVNOG-HUUCEWRRSA-N 1 2 313.357 1.303 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)[nH]n1 ZINC001038082933 743400998 /nfs/dbraw/zinc/40/09/98/743400998.db2.gz LQGXXTFIBAFGSX-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)[nH]n1 ZINC001038082933 743401002 /nfs/dbraw/zinc/40/10/02/743401002.db2.gz LQGXXTFIBAFGSX-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CCCCOC)c2C1 ZINC001128259694 743406878 /nfs/dbraw/zinc/40/68/78/743406878.db2.gz OSYHIZOPQQVJHW-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CCCCOC)c2C1 ZINC001128259694 743406883 /nfs/dbraw/zinc/40/68/83/743406883.db2.gz OSYHIZOPQQVJHW-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001182108683 743479883 /nfs/dbraw/zinc/47/98/83/743479883.db2.gz SDIVCBOOWIEYJQ-CYBMUJFWSA-N 1 2 304.394 1.516 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](C)Cc2ccc3c(c2)OCO3)CC1 ZINC001182409197 743605291 /nfs/dbraw/zinc/60/52/91/743605291.db2.gz YLEQNPYIZXRTJU-CQSZACIVSA-N 1 2 316.401 1.924 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001212146633 743741505 /nfs/dbraw/zinc/74/15/05/743741505.db2.gz TZTRVGJQRNZLDN-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001212146633 743741510 /nfs/dbraw/zinc/74/15/10/743741510.db2.gz TZTRVGJQRNZLDN-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C3(CCOC)CC3)CC2)C1 ZINC001105713487 743745683 /nfs/dbraw/zinc/74/56/83/743745683.db2.gz HAQAISKRPXIMPL-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)COCC(F)F)CC2)C1 ZINC001105717264 743755888 /nfs/dbraw/zinc/75/58/88/743755888.db2.gz RLLPXWUBKPIDHO-UHFFFAOYSA-N 1 2 318.364 1.146 20 30 DDEDLO COCC[NH+]1CCN(C(=O)C2(c3ccccc3C#N)CC2)CC1 ZINC001183291844 743814881 /nfs/dbraw/zinc/81/48/81/743814881.db2.gz DTLWTTLAIUSFOB-UHFFFAOYSA-N 1 2 313.401 1.380 20 30 DDEDLO Cc1ncsc1CC[NH+]1CC(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001030234828 743969594 /nfs/dbraw/zinc/96/95/94/743969594.db2.gz IFFZXBRJCWHINJ-UHFFFAOYSA-N 1 2 315.402 1.308 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2c(CC)c(CC)n[nH]c2=O)C1 ZINC001030389090 744100040 /nfs/dbraw/zinc/10/00/40/744100040.db2.gz VACCZICCYYSBHX-UHFFFAOYSA-N 1 2 304.394 1.297 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1OC ZINC001212186883 744255317 /nfs/dbraw/zinc/25/53/17/744255317.db2.gz AHAIEJQINQMGIY-ZIAGYGMSSA-N 1 2 307.419 1.262 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1OC ZINC001212186883 744255319 /nfs/dbraw/zinc/25/53/19/744255319.db2.gz AHAIEJQINQMGIY-ZIAGYGMSSA-N 1 2 307.419 1.262 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC1CC1 ZINC001110368622 744280974 /nfs/dbraw/zinc/28/09/74/744280974.db2.gz HKIDWBGVLJRAET-KFWWJZLASA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC1CC1 ZINC001110368622 744280975 /nfs/dbraw/zinc/28/09/75/744280975.db2.gz HKIDWBGVLJRAET-KFWWJZLASA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)N[C@H](C)C1CC1 ZINC001110371487 744301302 /nfs/dbraw/zinc/30/13/02/744301302.db2.gz OLDXRVRWYKFSFX-MIGQKNRLSA-N 1 2 319.449 1.589 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)N[C@H](C)C1CC1 ZINC001110371487 744301303 /nfs/dbraw/zinc/30/13/03/744301303.db2.gz OLDXRVRWYKFSFX-MIGQKNRLSA-N 1 2 319.449 1.589 20 30 DDEDLO CC1(C)CC[C@@H](CNC(=O)CCc2[nH]cc[nH+]2)N(CC#N)C1 ZINC001099034009 744339545 /nfs/dbraw/zinc/33/95/45/744339545.db2.gz ROMJQJYRBLCJNP-ZDUSSCGKSA-N 1 2 303.410 1.473 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCC1(Nc2ccc(C#N)cn2)CC1 ZINC001110377074 744354171 /nfs/dbraw/zinc/35/41/71/744354171.db2.gz WEALCZZHBKAEID-GFCCVEGCSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCC1(Nc2ccc(C#N)cn2)CC1 ZINC001110377074 744354174 /nfs/dbraw/zinc/35/41/74/744354174.db2.gz WEALCZZHBKAEID-GFCCVEGCSA-N 1 2 324.388 1.616 20 30 DDEDLO Cc1n[nH]cc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038566204 744507351 /nfs/dbraw/zinc/50/73/51/744507351.db2.gz BIKUAUSPQFZCGF-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1n[nH]cc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038566204 744507352 /nfs/dbraw/zinc/50/73/52/744507352.db2.gz BIKUAUSPQFZCGF-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO CCn1ccc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)n1 ZINC001089139796 744583904 /nfs/dbraw/zinc/58/39/04/744583904.db2.gz NOASXQZVHFAKFN-HOCLYGCPSA-N 1 2 317.437 1.876 20 30 DDEDLO CCn1ccc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)n1 ZINC001089139796 744583906 /nfs/dbraw/zinc/58/39/06/744583906.db2.gz NOASXQZVHFAKFN-HOCLYGCPSA-N 1 2 317.437 1.876 20 30 DDEDLO Cc1conc1C[N@@H+](C)C[C@@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001089140035 744585811 /nfs/dbraw/zinc/58/58/11/744585811.db2.gz VSWIEAHBFBKSGA-JSGCOSHPSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1conc1C[N@H+](C)C[C@@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001089140035 744585813 /nfs/dbraw/zinc/58/58/13/744585813.db2.gz VSWIEAHBFBKSGA-JSGCOSHPSA-N 1 2 304.394 1.956 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001187736918 744601763 /nfs/dbraw/zinc/60/17/63/744601763.db2.gz LVCVLMHKCATQIJ-DOTOQJQBSA-N 1 2 314.433 1.739 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001187736918 744601765 /nfs/dbraw/zinc/60/17/65/744601765.db2.gz LVCVLMHKCATQIJ-DOTOQJQBSA-N 1 2 314.433 1.739 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001188080855 744657029 /nfs/dbraw/zinc/65/70/29/744657029.db2.gz NNKAFRXJIXVKEY-GXTWGEPZSA-N 1 2 306.435 1.927 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001188080855 744657030 /nfs/dbraw/zinc/65/70/30/744657030.db2.gz NNKAFRXJIXVKEY-GXTWGEPZSA-N 1 2 306.435 1.927 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001188080716 744657255 /nfs/dbraw/zinc/65/72/55/744657255.db2.gz IHYNDDIBVWGNEN-UONOGXRCSA-N 1 2 304.394 1.767 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001188080716 744657259 /nfs/dbraw/zinc/65/72/59/744657259.db2.gz IHYNDDIBVWGNEN-UONOGXRCSA-N 1 2 304.394 1.767 20 30 DDEDLO CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC001188725411 744757349 /nfs/dbraw/zinc/75/73/49/744757349.db2.gz AYNXUBQIEIRCPS-INIZCTEOSA-N 1 2 319.380 1.767 20 30 DDEDLO CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC001188725411 744757350 /nfs/dbraw/zinc/75/73/50/744757350.db2.gz AYNXUBQIEIRCPS-INIZCTEOSA-N 1 2 319.380 1.767 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188982711 744803142 /nfs/dbraw/zinc/80/31/42/744803142.db2.gz LQKAIPFTAKWZTL-CMPLNLGQSA-N 1 2 323.422 1.229 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188982711 744803144 /nfs/dbraw/zinc/80/31/44/744803144.db2.gz LQKAIPFTAKWZTL-CMPLNLGQSA-N 1 2 323.422 1.229 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2ncccn2)C1 ZINC001189365395 744887584 /nfs/dbraw/zinc/88/75/84/744887584.db2.gz XFFQSQSWNOSCQH-KGLIPLIRSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2ncccn2)C1 ZINC001189365395 744887587 /nfs/dbraw/zinc/88/75/87/744887587.db2.gz XFFQSQSWNOSCQH-KGLIPLIRSA-N 1 2 304.394 1.273 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(NC(=O)c3nonc3C)CCC[C@H]12 ZINC000992542216 744954149 /nfs/dbraw/zinc/95/41/49/744954149.db2.gz ZVLBXNMIYVNOBX-FZMZJTMJSA-N 1 2 310.785 1.857 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(NC(=O)c3nonc3C)CCC[C@H]12 ZINC000992542216 744954151 /nfs/dbraw/zinc/95/41/51/744954151.db2.gz ZVLBXNMIYVNOBX-FZMZJTMJSA-N 1 2 310.785 1.857 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001189926075 745039160 /nfs/dbraw/zinc/03/91/60/745039160.db2.gz KOZTUAQXRLUFLU-CYBMUJFWSA-N 1 2 305.378 1.519 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001189926075 745039168 /nfs/dbraw/zinc/03/91/68/745039168.db2.gz KOZTUAQXRLUFLU-CYBMUJFWSA-N 1 2 305.378 1.519 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190054327 745092022 /nfs/dbraw/zinc/09/20/22/745092022.db2.gz PUIMKZCSMASDKE-KGLIPLIRSA-N 1 2 318.421 1.885 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190054327 745092028 /nfs/dbraw/zinc/09/20/28/745092028.db2.gz PUIMKZCSMASDKE-KGLIPLIRSA-N 1 2 318.421 1.885 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001190093880 745096430 /nfs/dbraw/zinc/09/64/30/745096430.db2.gz DDTBKPXSGCLTFZ-UKRRQHHQSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001190093880 745096435 /nfs/dbraw/zinc/09/64/35/745096435.db2.gz DDTBKPXSGCLTFZ-UKRRQHHQSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)CC=C(Cl)Cl)C1 ZINC001190104151 745100280 /nfs/dbraw/zinc/10/02/80/745100280.db2.gz DGPPXNKIQCLRPP-VXGBXAGGSA-N 1 2 319.232 1.876 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)CC=C(Cl)Cl)C1 ZINC001190104151 745100283 /nfs/dbraw/zinc/10/02/83/745100283.db2.gz DGPPXNKIQCLRPP-VXGBXAGGSA-N 1 2 319.232 1.876 20 30 DDEDLO CCn1nncc1C[N@H+](C)[C@@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190075780 745105020 /nfs/dbraw/zinc/10/50/20/745105020.db2.gz FQOOKHKIIOPCQK-CQSZACIVSA-N 1 2 317.437 1.380 20 30 DDEDLO CCn1nncc1C[N@@H+](C)[C@@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190075780 745105023 /nfs/dbraw/zinc/10/50/23/745105023.db2.gz FQOOKHKIIOPCQK-CQSZACIVSA-N 1 2 317.437 1.380 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001191127400 745431096 /nfs/dbraw/zinc/43/10/96/745431096.db2.gz LALOKOVODCFTET-HZPDHXFCSA-N 1 2 319.380 1.549 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001191127400 745431099 /nfs/dbraw/zinc/43/10/99/745431099.db2.gz LALOKOVODCFTET-HZPDHXFCSA-N 1 2 319.380 1.549 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cccc3c2OCCCO3)C1 ZINC001031144758 745485605 /nfs/dbraw/zinc/48/56/05/745485605.db2.gz WUXRPTMQGPADAX-UHFFFAOYSA-N 1 2 300.358 1.285 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H](O)[C@H](NC(=O)CCC2CC2)C1 ZINC001191959973 745665887 /nfs/dbraw/zinc/66/58/87/745665887.db2.gz JQRRBNTTZMNZIX-IAGOWNOFSA-N 1 2 313.401 1.410 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)CCC2CC2)C1 ZINC001191959973 745665891 /nfs/dbraw/zinc/66/58/91/745665891.db2.gz JQRRBNTTZMNZIX-IAGOWNOFSA-N 1 2 313.401 1.410 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)cc[nH+]1 ZINC001112368699 745690980 /nfs/dbraw/zinc/69/09/80/745690980.db2.gz ACGZTPHPGJHHQJ-WCQYABFASA-N 1 2 301.394 1.666 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)CSCC#N)[C@@H]2C)cc[nH+]1 ZINC001122941771 745784713 /nfs/dbraw/zinc/78/47/13/745784713.db2.gz OIWANIOOLUJLLY-PWSUYJOCSA-N 1 2 305.407 1.443 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)on1 ZINC001007134779 752032380 /nfs/dbraw/zinc/03/23/80/752032380.db2.gz QZBQGBOFEVAMBA-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)on1 ZINC001007134779 752032385 /nfs/dbraw/zinc/03/23/85/752032385.db2.gz QZBQGBOFEVAMBA-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cnnn2CC)[C@H]1C ZINC000993261612 745933322 /nfs/dbraw/zinc/93/33/22/745933322.db2.gz ISRIWGFEDMGDLC-VXGBXAGGSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cnnn2CC)[C@H]1C ZINC000993261612 745933326 /nfs/dbraw/zinc/93/33/26/745933326.db2.gz ISRIWGFEDMGDLC-VXGBXAGGSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cncnc2)[C@H]1C ZINC000993284022 745940918 /nfs/dbraw/zinc/94/09/18/745940918.db2.gz NEWZMFKODWHXGB-TZMCWYRMSA-N 1 2 308.813 1.741 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cncnc2)[C@H]1C ZINC000993284022 745940923 /nfs/dbraw/zinc/94/09/23/745940923.db2.gz NEWZMFKODWHXGB-TZMCWYRMSA-N 1 2 308.813 1.741 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NCc1c[nH+]cn1Cc1ccccc1F ZINC001193178899 746020255 /nfs/dbraw/zinc/02/02/55/746020255.db2.gz RZWXFAATBCRMRV-NSHDSACASA-N 1 2 322.365 1.402 20 30 DDEDLO CC#CC[N@H+](C)[C@@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001193236375 746026782 /nfs/dbraw/zinc/02/67/82/746026782.db2.gz ZSPOYKIYHPQZGW-MRXNPFEDSA-N 1 2 323.400 1.641 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001193236375 746026785 /nfs/dbraw/zinc/02/67/85/746026785.db2.gz ZSPOYKIYHPQZGW-MRXNPFEDSA-N 1 2 323.400 1.641 20 30 DDEDLO CCN(CCNc1ncccc1C#N)C(=O)CCc1[nH]cc[nH+]1 ZINC001106759780 746038648 /nfs/dbraw/zinc/03/86/48/746038648.db2.gz XYFSXALVBHDOGD-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cccc(OC)n2)C[C@H]1O ZINC001193390708 746087684 /nfs/dbraw/zinc/08/76/84/746087684.db2.gz DSEIBBODYNAKCR-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(OC)n2)C[C@H]1O ZINC001193390708 746087688 /nfs/dbraw/zinc/08/76/88/746087688.db2.gz DSEIBBODYNAKCR-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CN(Cc3cc(OC)cc[nH+]3)C2)cn1 ZINC001031279155 746124058 /nfs/dbraw/zinc/12/40/58/746124058.db2.gz PLWFXGOSQZCPCY-UHFFFAOYSA-N 1 2 322.368 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cc(=O)n(C)cn2)[C@@H]1C ZINC000993457866 746171606 /nfs/dbraw/zinc/17/16/06/746171606.db2.gz GILCPQXZFMGYKN-NWDGAFQWSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cc(=O)n(C)cn2)[C@@H]1C ZINC000993457866 746171608 /nfs/dbraw/zinc/17/16/08/746171608.db2.gz GILCPQXZFMGYKN-NWDGAFQWSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cc(=O)n(C)cn2)[C@H]1C ZINC000993457878 746171625 /nfs/dbraw/zinc/17/16/25/746171625.db2.gz GILCPQXZFMGYKN-VXGBXAGGSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cc(=O)n(C)cn2)[C@H]1C ZINC000993457878 746171632 /nfs/dbraw/zinc/17/16/32/746171632.db2.gz GILCPQXZFMGYKN-VXGBXAGGSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@@H]1C ZINC000993463404 746173013 /nfs/dbraw/zinc/17/30/13/746173013.db2.gz NBEWYJCVOPWSHF-WDEREUQCSA-N 1 2 312.801 1.759 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@@H]1C ZINC000993463404 746173018 /nfs/dbraw/zinc/17/30/18/746173018.db2.gz NBEWYJCVOPWSHF-WDEREUQCSA-N 1 2 312.801 1.759 20 30 DDEDLO CC(C)(C)[C@H](C[NH+]1CCOCC1)NC(=O)c1cncc(C#N)c1 ZINC001194121896 746281146 /nfs/dbraw/zinc/28/11/46/746281146.db2.gz WFFPPUQCLIUROU-HNNXBMFYSA-N 1 2 316.405 1.430 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001194382314 746362158 /nfs/dbraw/zinc/36/21/58/746362158.db2.gz XHYMMCURFXWRBC-CQSZACIVSA-N 1 2 321.421 1.862 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001194382314 746362163 /nfs/dbraw/zinc/36/21/63/746362163.db2.gz XHYMMCURFXWRBC-CQSZACIVSA-N 1 2 321.421 1.862 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)N2CCC[C@@H](C)C2)CC1 ZINC001195192403 746542247 /nfs/dbraw/zinc/54/22/47/746542247.db2.gz YHHIQEXOJPFYBT-MRXNPFEDSA-N 1 2 321.465 1.745 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)N2CCC[C@@H](C)C2)CC1 ZINC001195192403 746542248 /nfs/dbraw/zinc/54/22/48/746542248.db2.gz YHHIQEXOJPFYBT-MRXNPFEDSA-N 1 2 321.465 1.745 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2oc(CC3CC3)nc2C)C1 ZINC001007346598 752106386 /nfs/dbraw/zinc/10/63/86/752106386.db2.gz WRAGLNFNBKXVBU-AWEZNQCLSA-N 1 2 301.390 1.763 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2oc(CC3CC3)nc2C)C1 ZINC001007346598 752106389 /nfs/dbraw/zinc/10/63/89/752106389.db2.gz WRAGLNFNBKXVBU-AWEZNQCLSA-N 1 2 301.390 1.763 20 30 DDEDLO C=CCOCC(=O)N1CC[C@]2(CCN(c3cc[nH+]c(C)n3)C2)C1 ZINC001110470448 746615115 /nfs/dbraw/zinc/61/51/15/746615115.db2.gz MQOZNJUPXXEAFA-KRWDZBQOSA-N 1 2 316.405 1.416 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+]([C@H](C)C(=O)NCC(C)C)CC1 ZINC001195645227 746663948 /nfs/dbraw/zinc/66/39/48/746663948.db2.gz LGCDFKRMZWBDQJ-MRXNPFEDSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+]([C@H](C)C(=O)NCC(C)C)CC1 ZINC001195645227 746663949 /nfs/dbraw/zinc/66/39/49/746663949.db2.gz LGCDFKRMZWBDQJ-MRXNPFEDSA-N 1 2 321.465 1.485 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195725270 746677295 /nfs/dbraw/zinc/67/72/95/746677295.db2.gz JVIVMDUNEZMLRH-ZIAGYGMSSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195725270 746677296 /nfs/dbraw/zinc/67/72/96/746677296.db2.gz JVIVMDUNEZMLRH-ZIAGYGMSSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2cc[nH]c2CC)CC1 ZINC001195702495 746682121 /nfs/dbraw/zinc/68/21/21/746682121.db2.gz CIDVTUBLLDHEIK-UHFFFAOYSA-N 1 2 318.421 1.027 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2cc[nH]c2CC)CC1 ZINC001195702495 746682127 /nfs/dbraw/zinc/68/21/27/746682127.db2.gz CIDVTUBLLDHEIK-UHFFFAOYSA-N 1 2 318.421 1.027 20 30 DDEDLO CC(C)(O)CC[NH+]1CCN(C(=O)c2ccc(C#N)cc2O)CC1 ZINC001195750239 746699108 /nfs/dbraw/zinc/69/91/08/746699108.db2.gz GSTRYMLIBWDRGP-UHFFFAOYSA-N 1 2 317.389 1.183 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc(COC)s2)[C@@H]1C ZINC000994517036 746713684 /nfs/dbraw/zinc/71/36/84/746713684.db2.gz IBKSIFNCTBAYER-QWHCGFSZSA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc(COC)s2)[C@@H]1C ZINC000994517036 746713690 /nfs/dbraw/zinc/71/36/90/746713690.db2.gz IBKSIFNCTBAYER-QWHCGFSZSA-N 1 2 321.446 1.896 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc(COC)s2)[C@@H]1C ZINC000994523428 746737550 /nfs/dbraw/zinc/73/75/50/746737550.db2.gz XAFLDEDUXIMVSM-NWDGAFQWSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc(COC)s2)[C@@H]1C ZINC000994523428 746737555 /nfs/dbraw/zinc/73/75/55/746737555.db2.gz XAFLDEDUXIMVSM-NWDGAFQWSA-N 1 2 307.419 1.505 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1)Nc1cncc(C#N)n1 ZINC001089380152 746757459 /nfs/dbraw/zinc/75/74/59/746757459.db2.gz HSHNKAIYPPHNNG-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2nccnc2C)cc1 ZINC001038581631 746841338 /nfs/dbraw/zinc/84/13/38/746841338.db2.gz LHQWZCMQQVPLNF-KRWDZBQOSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2nccnc2C)cc1 ZINC001038581631 746841344 /nfs/dbraw/zinc/84/13/44/746841344.db2.gz LHQWZCMQQVPLNF-KRWDZBQOSA-N 1 2 320.396 1.771 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ccc(N(CC)CC)nc2)C1 ZINC001031471159 746864918 /nfs/dbraw/zinc/86/49/18/746864918.db2.gz KCVMCGGTVRTIHQ-UHFFFAOYSA-N 1 2 300.406 1.365 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC001196509268 746880345 /nfs/dbraw/zinc/88/03/45/746880345.db2.gz IYDGFIJLCXLYGH-GVDBMIGSSA-N 1 2 300.402 1.050 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC001196509268 746880349 /nfs/dbraw/zinc/88/03/49/746880349.db2.gz IYDGFIJLCXLYGH-GVDBMIGSSA-N 1 2 300.402 1.050 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccc(C)c(C)n2)CC1 ZINC001196515565 746882589 /nfs/dbraw/zinc/88/25/89/746882589.db2.gz JNCFESLCHNCNGC-UHFFFAOYSA-N 1 2 315.417 1.496 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccc(C)c(C)n2)CC1 ZINC001196515565 746882596 /nfs/dbraw/zinc/88/25/96/746882596.db2.gz JNCFESLCHNCNGC-UHFFFAOYSA-N 1 2 315.417 1.496 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)NCC1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001031522338 746952922 /nfs/dbraw/zinc/95/29/22/746952922.db2.gz UCPUTAATFZCLDN-IAQYHMDHSA-N 1 2 301.365 1.901 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(CC)CCC2)CC1 ZINC001196813725 746980554 /nfs/dbraw/zinc/98/05/54/746980554.db2.gz JAWMLXZDTYHNOH-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(CC)CCC2)CC1 ZINC001196813725 746980557 /nfs/dbraw/zinc/98/05/57/746980557.db2.gz JAWMLXZDTYHNOH-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(N(C)C)cn2)C1 ZINC001016366028 746989362 /nfs/dbraw/zinc/98/93/62/746989362.db2.gz DKBCBHQKVUPFED-LBPRGKRZSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(N(C)C)cn2)C1 ZINC001016366028 746989365 /nfs/dbraw/zinc/98/93/65/746989365.db2.gz DKBCBHQKVUPFED-LBPRGKRZSA-N 1 2 308.813 1.704 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)[C@H](C)C=C)CC1 ZINC001196854894 746991699 /nfs/dbraw/zinc/99/16/99/746991699.db2.gz VECZWUODBGZRAB-CABCVRRESA-N 1 2 307.438 1.281 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)[C@H](C)C=C)CC1 ZINC001196854894 746991704 /nfs/dbraw/zinc/99/17/04/746991704.db2.gz VECZWUODBGZRAB-CABCVRRESA-N 1 2 307.438 1.281 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001196895101 747002655 /nfs/dbraw/zinc/00/26/55/747002655.db2.gz GKNWCWUGHWMTQL-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001196895101 747002659 /nfs/dbraw/zinc/00/26/59/747002659.db2.gz GKNWCWUGHWMTQL-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)c3ccn[nH]3)C2)c1 ZINC001031557940 747030255 /nfs/dbraw/zinc/03/02/55/747030255.db2.gz KZBRBBAELXSSMN-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2occc2Cl)CC1 ZINC001197048224 747035749 /nfs/dbraw/zinc/03/57/49/747035749.db2.gz AYOYTTXCSWYRLH-UHFFFAOYSA-N 1 2 310.781 1.731 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2occc2Cl)CC1 ZINC001197048224 747035758 /nfs/dbraw/zinc/03/57/58/747035758.db2.gz AYOYTTXCSWYRLH-UHFFFAOYSA-N 1 2 310.781 1.731 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1cnns1 ZINC001031562645 747045360 /nfs/dbraw/zinc/04/53/60/747045360.db2.gz VIJAVJUZQJMOKK-UHFFFAOYSA-N 1 2 312.398 1.251 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(C(C)C)CC2)CC1 ZINC001197093826 747048934 /nfs/dbraw/zinc/04/89/34/747048934.db2.gz UXYCRXZKLUXIFD-HNNXBMFYSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(C(C)C)CC2)CC1 ZINC001197093826 747048941 /nfs/dbraw/zinc/04/89/41/747048941.db2.gz UXYCRXZKLUXIFD-HNNXBMFYSA-N 1 2 321.465 1.648 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2cncs2)C1 ZINC001031567002 747053223 /nfs/dbraw/zinc/05/32/23/747053223.db2.gz GLTORKJECNXBPW-UHFFFAOYSA-N 1 2 312.398 1.877 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnn(C3CCOCC3)c2)CC1 ZINC001197111223 747070778 /nfs/dbraw/zinc/07/07/78/747070778.db2.gz JNHLTWPBWYBCQR-UHFFFAOYSA-N 1 2 304.394 1.178 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cocn3)C2)cc1F ZINC001031580473 747093506 /nfs/dbraw/zinc/09/35/06/747093506.db2.gz SONTVEIDXDULBZ-UHFFFAOYSA-N 1 2 314.320 1.547 20 30 DDEDLO N#Cc1cc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)c[nH]1 ZINC001031622414 747214995 /nfs/dbraw/zinc/21/49/95/747214995.db2.gz DTTOYFIDAURVPU-UHFFFAOYSA-N 1 2 318.380 1.600 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+]([C@H](C)C(N)=O)CC2)CCCC1 ZINC001198423990 747488974 /nfs/dbraw/zinc/48/89/74/747488974.db2.gz YFOXBENFNUNMGK-CQSZACIVSA-N 1 2 307.438 1.531 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+]([C@H](C)C(N)=O)CC2)CCCC1 ZINC001198423990 747488979 /nfs/dbraw/zinc/48/89/79/747488979.db2.gz YFOXBENFNUNMGK-CQSZACIVSA-N 1 2 307.438 1.531 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+]([C@@H](C)C(N)=O)CC2)CCCC1 ZINC001198423988 747489293 /nfs/dbraw/zinc/48/92/93/747489293.db2.gz YFOXBENFNUNMGK-AWEZNQCLSA-N 1 2 307.438 1.531 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+]([C@@H](C)C(N)=O)CC2)CCCC1 ZINC001198423988 747489299 /nfs/dbraw/zinc/48/92/99/747489299.db2.gz YFOXBENFNUNMGK-AWEZNQCLSA-N 1 2 307.438 1.531 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC000998748847 752200628 /nfs/dbraw/zinc/20/06/28/752200628.db2.gz XXOSJASANBMUSH-CMPLNLGQSA-N 1 2 303.366 1.187 20 30 DDEDLO CCc1nc(N2CC=C(CNC(=O)[C@H](C)C#N)CC2)cc(C)[nH+]1 ZINC001127587977 747685568 /nfs/dbraw/zinc/68/55/68/747685568.db2.gz YNNNZLWWIQAVAK-GFCCVEGCSA-N 1 2 313.405 1.760 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(C(=O)OCC)cnc2C)CC1 ZINC001199685249 747953825 /nfs/dbraw/zinc/95/38/25/747953825.db2.gz AHIWZHIJAUUECT-UHFFFAOYSA-N 1 2 317.389 1.511 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ncoc1[C@H]1CCCO1 ZINC001038592786 748004100 /nfs/dbraw/zinc/00/41/00/748004100.db2.gz NPARPJAWNFOJPQ-CHWSQXEVSA-N 1 2 303.362 1.354 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ncoc1[C@H]1CCCO1 ZINC001038592786 748004102 /nfs/dbraw/zinc/00/41/02/748004102.db2.gz NPARPJAWNFOJPQ-CHWSQXEVSA-N 1 2 303.362 1.354 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2nn(CC)nc2C)CC1 ZINC001004275420 748200531 /nfs/dbraw/zinc/20/05/31/748200531.db2.gz SOOCIUZXWKOIPP-UHFFFAOYSA-N 1 2 311.817 1.553 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001108067867 748220871 /nfs/dbraw/zinc/22/08/71/748220871.db2.gz HRQCGAKUIKBLKM-IIDMSEBBSA-N 1 2 306.450 1.899 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001108067867 748220877 /nfs/dbraw/zinc/22/08/77/748220877.db2.gz HRQCGAKUIKBLKM-IIDMSEBBSA-N 1 2 306.450 1.899 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001033080446 748223422 /nfs/dbraw/zinc/22/34/22/748223422.db2.gz AMYJXYXDJJYUDS-HUUCEWRRSA-N 1 2 300.406 1.386 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001033080446 748223427 /nfs/dbraw/zinc/22/34/27/748223427.db2.gz AMYJXYXDJJYUDS-HUUCEWRRSA-N 1 2 300.406 1.386 20 30 DDEDLO C=CCC[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200555538 748277330 /nfs/dbraw/zinc/27/73/30/748277330.db2.gz RVQXZDHIKLIEPR-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[NH2+]C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCCO1 ZINC001200555538 748277333 /nfs/dbraw/zinc/27/73/33/748277333.db2.gz RVQXZDHIKLIEPR-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1n[nH]cc1F ZINC001031955227 748292972 /nfs/dbraw/zinc/29/29/72/748292972.db2.gz QPVTXEZJDWZGOO-UHFFFAOYSA-N 1 2 312.348 1.262 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)Cc2cc[nH]n2)CC1 ZINC001004376853 748383560 /nfs/dbraw/zinc/38/35/60/748383560.db2.gz AAAOYEROWQBNJZ-HNNXBMFYSA-N 1 2 301.394 1.179 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)Cc2cc[nH]n2)CC1 ZINC001004376853 748383564 /nfs/dbraw/zinc/38/35/64/748383564.db2.gz AAAOYEROWQBNJZ-HNNXBMFYSA-N 1 2 301.394 1.179 20 30 DDEDLO CO[C@@H](C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1)C1CC1 ZINC001004423588 748417749 /nfs/dbraw/zinc/41/77/49/748417749.db2.gz CDYGIKWNPXQKOY-HZPDHXFCSA-N 1 2 305.422 1.638 20 30 DDEDLO CO[C@@H](C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1)C1CC1 ZINC001004423588 748417752 /nfs/dbraw/zinc/41/77/52/748417752.db2.gz CDYGIKWNPXQKOY-HZPDHXFCSA-N 1 2 305.422 1.638 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)CO[C@@H]2CCOC2)CC1 ZINC001004429718 748425999 /nfs/dbraw/zinc/42/59/99/748425999.db2.gz OELYAOJJGUNMLK-CVEARBPZSA-N 1 2 321.421 1.018 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)CO[C@@H]2CCOC2)CC1 ZINC001004429718 748426000 /nfs/dbraw/zinc/42/60/00/748426000.db2.gz OELYAOJJGUNMLK-CVEARBPZSA-N 1 2 321.421 1.018 20 30 DDEDLO Cc1c[nH]c(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)n1 ZINC001032040687 748483426 /nfs/dbraw/zinc/48/34/26/748483426.db2.gz QJUIXHNFEPPAFL-UHFFFAOYSA-N 1 2 309.373 1.452 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001004601004 748578997 /nfs/dbraw/zinc/57/89/97/748578997.db2.gz GEYWVKCFIIIFJM-OAHLLOKOSA-N 1 2 315.421 1.074 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001004601004 748579000 /nfs/dbraw/zinc/57/90/00/748579000.db2.gz GEYWVKCFIIIFJM-OAHLLOKOSA-N 1 2 315.421 1.074 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2cnccc2OC)C1 ZINC001033185289 748834389 /nfs/dbraw/zinc/83/43/89/748834389.db2.gz NFNUCQOMEYMQAP-AWEZNQCLSA-N 1 2 323.824 1.918 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2cnccc2OC)C1 ZINC001033185289 748834398 /nfs/dbraw/zinc/83/43/98/748834398.db2.gz NFNUCQOMEYMQAP-AWEZNQCLSA-N 1 2 323.824 1.918 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CN(C(=O)[C@@H](C)C#N)CC2(C)C)n1 ZINC000995581586 748959154 /nfs/dbraw/zinc/95/91/54/748959154.db2.gz NIEQPUZJLGJJCY-NWDGAFQWSA-N 1 2 319.409 1.508 20 30 DDEDLO C[C@]1(NC(=O)CCc2c[nH]c[nH+]2)CCN(c2ccc(C#N)cn2)C1 ZINC001110819179 749041466 /nfs/dbraw/zinc/04/14/66/749041466.db2.gz HKUORXOZMKMPII-KRWDZBQOSA-N 1 2 324.388 1.394 20 30 DDEDLO C[C@]1(NC(=O)CCc2c[nH+]c[nH]2)CCN(c2ccc(C#N)cn2)C1 ZINC001110819179 749041468 /nfs/dbraw/zinc/04/14/68/749041468.db2.gz HKUORXOZMKMPII-KRWDZBQOSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)CCCNc1cc[nH+]c(C)n1 ZINC001114999608 749049557 /nfs/dbraw/zinc/04/95/57/749049557.db2.gz NGKMIJHOUWDPFY-ZDUSSCGKSA-N 1 2 304.394 1.522 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CC23CCCC3)C1 ZINC001108325410 761916076 /nfs/dbraw/zinc/91/60/76/761916076.db2.gz OTAGINIRYCGFEN-WBVHZDCISA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CC23CCCC3)C1 ZINC001108325410 761916082 /nfs/dbraw/zinc/91/60/82/761916082.db2.gz OTAGINIRYCGFEN-WBVHZDCISA-N 1 2 304.434 1.797 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2coc(C(N)=O)c2)C1 ZINC001033297645 749141446 /nfs/dbraw/zinc/14/14/46/749141446.db2.gz QJDRJZAONUDGGN-LLVKDONJSA-N 1 2 311.769 1.277 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2coc(C(N)=O)c2)C1 ZINC001033297645 749141450 /nfs/dbraw/zinc/14/14/50/749141450.db2.gz QJDRJZAONUDGGN-LLVKDONJSA-N 1 2 311.769 1.277 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1C ZINC001039329496 761940402 /nfs/dbraw/zinc/94/04/02/761940402.db2.gz YJCHCRDVMWIQME-OLZOCXBDSA-N 1 2 304.419 1.549 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1C ZINC001039329496 761940405 /nfs/dbraw/zinc/94/04/05/761940405.db2.gz YJCHCRDVMWIQME-OLZOCXBDSA-N 1 2 304.419 1.549 20 30 DDEDLO C=CCNC(=O)Nc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC001202570761 749436657 /nfs/dbraw/zinc/43/66/57/749436657.db2.gz MMIZMWNOEHHRQZ-UHFFFAOYSA-N 1 2 305.378 1.705 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C(C)(C)C(C)C)nn2)C1 ZINC001107176902 749480345 /nfs/dbraw/zinc/48/03/45/749480345.db2.gz SFNLMGJLCXKVJV-UHFFFAOYSA-N 1 2 305.426 1.619 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@]3(C)C=CCC3)nn2)C1 ZINC001107196669 749558262 /nfs/dbraw/zinc/55/82/62/749558262.db2.gz POQZAXWPSUZFML-QGZVFWFLSA-N 1 2 313.405 1.131 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CC(C)=C(C)C)nn2)C1 ZINC001107197054 749558994 /nfs/dbraw/zinc/55/89/94/749558994.db2.gz ZDGPXXNDWRIVBO-UHFFFAOYSA-N 1 2 315.421 1.521 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095365972 749603617 /nfs/dbraw/zinc/60/36/17/749603617.db2.gz DOKCXZIDFQBXHE-CYBMUJFWSA-N 1 2 316.405 1.156 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C[C@@H](C)C3CC3)nn2)C1 ZINC001107241223 749683703 /nfs/dbraw/zinc/68/37/03/749683703.db2.gz PCGMLHJMOSYIJT-CYBMUJFWSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc3n[nH]nc3c2)C1 ZINC001033663365 749699721 /nfs/dbraw/zinc/69/97/21/749699721.db2.gz QNWKZAPHUCWZTH-LBPRGKRZSA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc3n[nH]nc3c2)C1 ZINC001033663365 749699727 /nfs/dbraw/zinc/69/97/27/749699727.db2.gz QNWKZAPHUCWZTH-LBPRGKRZSA-N 1 2 319.796 1.857 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2sccc2OC)C1 ZINC001108357064 761977354 /nfs/dbraw/zinc/97/73/54/761977354.db2.gz WPKJEWRGHSKCKN-HNNXBMFYSA-N 1 2 310.419 1.763 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2sccc2OC)C1 ZINC001108357064 761977360 /nfs/dbraw/zinc/97/73/60/761977360.db2.gz WPKJEWRGHSKCKN-HNNXBMFYSA-N 1 2 310.419 1.763 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@](C)(CNc2cc[nH+]c(C)n2)C1 ZINC001110848069 749754819 /nfs/dbraw/zinc/75/48/19/749754819.db2.gz CYPSYNMGHZWYET-SUMWQHHRSA-N 1 2 316.405 1.474 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@](C)(CNc2cc[nH+]c(C)n2)C1 ZINC001110849142 749755777 /nfs/dbraw/zinc/75/57/77/749755777.db2.gz WJTYXMJICROTMN-KRWDZBQOSA-N 1 2 316.405 1.475 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cc(OC)no2)CC1 ZINC001000602980 761986311 /nfs/dbraw/zinc/98/63/11/761986311.db2.gz IJCWKCFWPPTXDJ-UHFFFAOYSA-N 1 2 311.769 1.798 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cc(OC)no2)CC1 ZINC001000602980 761986319 /nfs/dbraw/zinc/98/63/19/761986319.db2.gz IJCWKCFWPPTXDJ-UHFFFAOYSA-N 1 2 311.769 1.798 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2nc3cnccc3s2)C1 ZINC001033898855 750086413 /nfs/dbraw/zinc/08/64/13/750086413.db2.gz XZRFNDAITHNEDQ-GFCCVEGCSA-N 1 2 314.414 1.861 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2nc3cnccc3s2)C1 ZINC001033898855 750086420 /nfs/dbraw/zinc/08/64/20/750086420.db2.gz XZRFNDAITHNEDQ-GFCCVEGCSA-N 1 2 314.414 1.861 20 30 DDEDLO C[C@H](CC(=O)NC/C=C\CNc1ncccc1C#N)n1cc[nH+]c1 ZINC001107546214 750111838 /nfs/dbraw/zinc/11/18/38/750111838.db2.gz WRECDTFRTFZCAK-PYLYLYNFSA-N 1 2 324.388 1.885 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCC=CCNc1ccc(C#N)nc1 ZINC001107549117 750122412 /nfs/dbraw/zinc/12/24/12/750122412.db2.gz GVCJTOSGBWWWDC-IBUXWKBASA-N 1 2 324.388 1.639 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCC=CCNc1ccc(C#N)nc1 ZINC001107549117 750122416 /nfs/dbraw/zinc/12/24/16/750122416.db2.gz GVCJTOSGBWWWDC-IBUXWKBASA-N 1 2 324.388 1.639 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3ccccn3)C[C@H]2O)CC1 ZINC001077621412 750155560 /nfs/dbraw/zinc/15/55/60/750155560.db2.gz HYGYBCBFIMWAAY-IAGOWNOFSA-N 1 2 315.417 1.489 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3ccccn3)C[C@H]2O)CC1 ZINC001077621412 750155563 /nfs/dbraw/zinc/15/55/63/750155563.db2.gz HYGYBCBFIMWAAY-IAGOWNOFSA-N 1 2 315.417 1.489 20 30 DDEDLO CCN(C(=O)[C@H]1CCCc2[nH+]c[nH]c21)[C@@H]1CCN(CC#N)C1 ZINC001033949448 750170744 /nfs/dbraw/zinc/17/07/44/750170744.db2.gz IAWFRSHLOUTXNZ-OLZOCXBDSA-N 1 2 301.394 1.276 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001034085020 750363465 /nfs/dbraw/zinc/36/34/65/750363465.db2.gz SGDPTFHTXNDXGM-CQSZACIVSA-N 1 2 309.797 1.657 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001034085020 750363472 /nfs/dbraw/zinc/36/34/72/750363472.db2.gz SGDPTFHTXNDXGM-CQSZACIVSA-N 1 2 309.797 1.657 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)CCc1c[nH+]cn1C ZINC001107875040 750518005 /nfs/dbraw/zinc/51/80/05/750518005.db2.gz SITAKYCRSUGYCX-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO CCN(CCCNC(=O)c1c[nH]c(C#N)c1)c1cc[nH+]c(C)n1 ZINC001095858192 750593812 /nfs/dbraw/zinc/59/38/12/750593812.db2.gz IPGOTNOOYWELJX-UHFFFAOYSA-N 1 2 312.377 1.631 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CC[C@H](c2ccccc2)O1 ZINC001032407248 750607249 /nfs/dbraw/zinc/60/72/49/750607249.db2.gz RHCSENDDIWLGKS-FJIDUMEYSA-N 1 2 310.397 1.825 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CC[C@H](c2ccccc2)O1 ZINC001032407248 750607252 /nfs/dbraw/zinc/60/72/52/750607252.db2.gz RHCSENDDIWLGKS-FJIDUMEYSA-N 1 2 310.397 1.825 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc[nH]c2CC)[C@@H](O)C1 ZINC001090247917 750753989 /nfs/dbraw/zinc/75/39/89/750753989.db2.gz YHPSFGFKEYPSJK-KGLIPLIRSA-N 1 2 311.813 1.495 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc[nH]c2CC)[C@@H](O)C1 ZINC001090247917 750753992 /nfs/dbraw/zinc/75/39/92/750753992.db2.gz YHPSFGFKEYPSJK-KGLIPLIRSA-N 1 2 311.813 1.495 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCOCC(F)F)C1 ZINC001107948741 750805007 /nfs/dbraw/zinc/80/50/07/750805007.db2.gz XFFHUYUUWOCDGO-AWEZNQCLSA-N 1 2 306.353 1.051 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCOCC(F)F)C1 ZINC001107948741 750805011 /nfs/dbraw/zinc/80/50/11/750805011.db2.gz XFFHUYUUWOCDGO-AWEZNQCLSA-N 1 2 306.353 1.051 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cnon4)C[C@H]32)CCC1 ZINC001114785719 751077856 /nfs/dbraw/zinc/07/78/56/751077856.db2.gz SYFYIMHPWFNVRR-WDNDVIMCSA-N 1 2 302.378 1.362 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cnon4)C[C@H]32)CCC1 ZINC001114785719 751077861 /nfs/dbraw/zinc/07/78/61/751077861.db2.gz SYFYIMHPWFNVRR-WDNDVIMCSA-N 1 2 302.378 1.362 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114791540 751083029 /nfs/dbraw/zinc/08/30/29/751083029.db2.gz GVUYKCOKXNKHMH-DMEJVMROSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114791540 751083036 /nfs/dbraw/zinc/08/30/36/751083036.db2.gz GVUYKCOKXNKHMH-DMEJVMROSA-N 1 2 304.394 1.527 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc2[nH]c(CC)nc21 ZINC001032533274 751214093 /nfs/dbraw/zinc/21/40/93/751214093.db2.gz YCKIVVNXAMQRJO-STQMWFEESA-N 1 2 323.400 1.442 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc2[nH]c(CC)nc21 ZINC001032533274 751214097 /nfs/dbraw/zinc/21/40/97/751214097.db2.gz YCKIVVNXAMQRJO-STQMWFEESA-N 1 2 323.400 1.442 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2conc2C(F)(F)F)C1 ZINC001007952422 752459842 /nfs/dbraw/zinc/45/98/42/752459842.db2.gz MELQBERJKAQAEC-SNVBAGLBSA-N 1 2 315.295 1.911 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2conc2C(F)(F)F)C1 ZINC001007952422 752459848 /nfs/dbraw/zinc/45/98/48/752459848.db2.gz MELQBERJKAQAEC-SNVBAGLBSA-N 1 2 315.295 1.911 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999118174 752543189 /nfs/dbraw/zinc/54/31/89/752543189.db2.gz RKBWYLXEPVNGPJ-GXTWGEPZSA-N 1 2 316.405 1.687 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC001008242939 752630873 /nfs/dbraw/zinc/63/08/73/752630873.db2.gz RMSOHNPFYASHHM-SECBINFHSA-N 1 2 301.799 1.795 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC001008242939 752630877 /nfs/dbraw/zinc/63/08/77/752630877.db2.gz RMSOHNPFYASHHM-SECBINFHSA-N 1 2 301.799 1.795 20 30 DDEDLO C[C@@H](CNc1nc(Cl)c(C#N)s1)NC(=O)Cn1cc[nH+]c1 ZINC001108430589 762149369 /nfs/dbraw/zinc/14/93/69/762149369.db2.gz GODXVUQBJYNCEV-QMMMGPOBSA-N 1 2 324.797 1.481 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001008603159 752822336 /nfs/dbraw/zinc/82/23/36/752822336.db2.gz LPYCQGNJFHSBMP-QLFBSQMISA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001008603159 752822344 /nfs/dbraw/zinc/82/23/44/752822344.db2.gz LPYCQGNJFHSBMP-QLFBSQMISA-N 1 2 322.840 1.857 20 30 DDEDLO C=C1CCC(C(=O)N(C)C2CC([NH2+]Cc3nnn(C)n3)C2)CC1 ZINC000999341614 752844971 /nfs/dbraw/zinc/84/49/71/752844971.db2.gz AWNVSAWNOFMRDV-UHFFFAOYSA-N 1 2 318.425 1.036 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(OC)cc2Cl)[C@@H](O)C1 ZINC001083869675 752868286 /nfs/dbraw/zinc/86/82/86/752868286.db2.gz SXTBTXFPMFFPHS-CABCVRRESA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(OC)cc2Cl)[C@@H](O)C1 ZINC001083869675 752868295 /nfs/dbraw/zinc/86/82/95/752868295.db2.gz SXTBTXFPMFFPHS-CABCVRRESA-N 1 2 322.792 1.147 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001008703059 752879901 /nfs/dbraw/zinc/87/99/01/752879901.db2.gz HNXAGMGORZLBLY-LBPRGKRZSA-N 1 2 317.220 1.870 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001008703059 752879906 /nfs/dbraw/zinc/87/99/06/752879906.db2.gz HNXAGMGORZLBLY-LBPRGKRZSA-N 1 2 317.220 1.870 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1C(F)(F)F ZINC001032714269 752887676 /nfs/dbraw/zinc/88/76/76/752887676.db2.gz UMLFPLWPQRCKGV-QWRGUYRKSA-N 1 2 309.291 1.632 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1C(F)(F)F ZINC001032714269 752887686 /nfs/dbraw/zinc/88/76/86/752887686.db2.gz UMLFPLWPQRCKGV-QWRGUYRKSA-N 1 2 309.291 1.632 20 30 DDEDLO C=CC[N@H+]1CCC[C@@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC001008725492 752891536 /nfs/dbraw/zinc/89/15/36/752891536.db2.gz ITMLUCOJYYJVMK-GFCCVEGCSA-N 1 2 312.373 1.716 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC001008725492 752891543 /nfs/dbraw/zinc/89/15/43/752891543.db2.gz ITMLUCOJYYJVMK-GFCCVEGCSA-N 1 2 312.373 1.716 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[NH2+][C@@H](C)c1nc(COC)no1 ZINC001128085247 753291947 /nfs/dbraw/zinc/29/19/47/753291947.db2.gz PXZWAYGPYQCRNH-LBPRGKRZSA-N 1 2 322.409 1.751 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(C)CN(Cc2c[nH+]cn2C)CCO1 ZINC001108169626 753316666 /nfs/dbraw/zinc/31/66/66/753316666.db2.gz SLCKWMMHTQDLET-QGZVFWFLSA-N 1 2 320.437 1.484 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cc(C3CC3)no2)C1 ZINC001107993540 753357147 /nfs/dbraw/zinc/35/71/47/753357147.db2.gz SHZWGMCUHXAMCO-KRWDZBQOSA-N 1 2 319.405 1.488 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cc(C3CC3)no2)C1 ZINC001107993540 753357152 /nfs/dbraw/zinc/35/71/52/753357152.db2.gz SHZWGMCUHXAMCO-KRWDZBQOSA-N 1 2 319.405 1.488 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cnccc2C)C1 ZINC001108003446 753481556 /nfs/dbraw/zinc/48/15/56/753481556.db2.gz HSIHITFORLNEJN-SFHVURJKSA-N 1 2 315.417 1.163 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cnccc2C)C1 ZINC001108003446 753481561 /nfs/dbraw/zinc/48/15/61/753481561.db2.gz HSIHITFORLNEJN-SFHVURJKSA-N 1 2 315.417 1.163 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001010033023 753560595 /nfs/dbraw/zinc/56/05/95/753560595.db2.gz SCEAQUMSNPUEKX-KRWDZBQOSA-N 1 2 322.368 1.196 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001010033023 753560601 /nfs/dbraw/zinc/56/06/01/753560601.db2.gz SCEAQUMSNPUEKX-KRWDZBQOSA-N 1 2 322.368 1.196 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)[C@@H]1CCCO1 ZINC001010059182 753580823 /nfs/dbraw/zinc/58/08/23/753580823.db2.gz MPDSEOXALHIYGD-SJORKVTESA-N 1 2 316.376 1.547 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)[C@@H]1CCCO1 ZINC001010059182 753580826 /nfs/dbraw/zinc/58/08/26/753580826.db2.gz MPDSEOXALHIYGD-SJORKVTESA-N 1 2 316.376 1.547 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1nc(C(C)(C)C)no1 ZINC001032780089 753623091 /nfs/dbraw/zinc/62/30/91/753623091.db2.gz XYQIKTNYNBKVTQ-STQMWFEESA-N 1 2 316.405 1.218 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1nc(C(C)(C)C)no1 ZINC001032780089 753623095 /nfs/dbraw/zinc/62/30/95/753623095.db2.gz XYQIKTNYNBKVTQ-STQMWFEESA-N 1 2 316.405 1.218 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001078037453 753669508 /nfs/dbraw/zinc/66/95/08/753669508.db2.gz VMOJMDXEMPWKIB-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccsc2C(F)(F)F)C1 ZINC001078079674 753717464 /nfs/dbraw/zinc/71/74/64/753717464.db2.gz FCXTWEASMUELGR-NXEZZACHSA-N 1 2 318.320 1.175 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccsc2C(F)(F)F)C1 ZINC001078079674 753717468 /nfs/dbraw/zinc/71/74/68/753717468.db2.gz FCXTWEASMUELGR-NXEZZACHSA-N 1 2 318.320 1.175 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001078100273 753734360 /nfs/dbraw/zinc/73/43/60/753734360.db2.gz MYGZQWGUENQHHV-ZDUSSCGKSA-N 1 2 306.410 1.710 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)[C@H]3CCOC3)C2)c(F)c1 ZINC001010360627 753808950 /nfs/dbraw/zinc/80/89/50/753808950.db2.gz QHXSBNFXKAULCS-GJZGRUSLSA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)[C@H]3CCOC3)C2)c(F)c1 ZINC001010360627 753808954 /nfs/dbraw/zinc/80/89/54/753808954.db2.gz QHXSBNFXKAULCS-GJZGRUSLSA-N 1 2 317.364 1.424 20 30 DDEDLO Cc1nc(N2CCCC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001063183182 754026722 /nfs/dbraw/zinc/02/67/22/754026722.db2.gz AZVNEYYVPYDHCS-CQSZACIVSA-N 1 2 324.388 1.774 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC001010650417 754080254 /nfs/dbraw/zinc/08/02/54/754080254.db2.gz LRJVNRHDIJXBOF-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC001010650417 754080262 /nfs/dbraw/zinc/08/02/62/754080262.db2.gz LRJVNRHDIJXBOF-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001063396339 754126257 /nfs/dbraw/zinc/12/62/57/754126257.db2.gz BCRJMBLMMMMCOK-UHFFFAOYSA-N 1 2 304.394 1.464 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001063396339 754126260 /nfs/dbraw/zinc/12/62/60/754126260.db2.gz BCRJMBLMMMMCOK-UHFFFAOYSA-N 1 2 304.394 1.464 20 30 DDEDLO N#CCN[C@H]1C[C@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C12CCC2 ZINC001078660607 754258822 /nfs/dbraw/zinc/25/88/22/754258822.db2.gz LAEAFYRSBRUMEG-GJZGRUSLSA-N 1 2 309.373 1.717 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C[C@H]2C)cc[nH+]1 ZINC001063716743 754313540 /nfs/dbraw/zinc/31/35/40/754313540.db2.gz RVWRWEALVPVBGA-ABAIWWIYSA-N 1 2 324.388 1.948 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1CC[N@@H+](CC(=C)Cl)C[C@@H]1O ZINC001090324414 754928128 /nfs/dbraw/zinc/92/81/28/754928128.db2.gz XZYJMWJNAPRUJI-HIFRSBDPSA-N 1 2 323.824 1.592 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1CC[N@H+](CC(=C)Cl)C[C@@H]1O ZINC001090324414 754928132 /nfs/dbraw/zinc/92/81/32/754928132.db2.gz XZYJMWJNAPRUJI-HIFRSBDPSA-N 1 2 323.824 1.592 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001040117742 762393085 /nfs/dbraw/zinc/39/30/85/762393085.db2.gz BHSOVZNPHGQOCU-BLLLJJGKSA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001040117742 762393090 /nfs/dbraw/zinc/39/30/90/762393090.db2.gz BHSOVZNPHGQOCU-BLLLJJGKSA-N 1 2 317.393 1.352 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(C)nc2CC)C1 ZINC001079917057 755639903 /nfs/dbraw/zinc/63/99/03/755639903.db2.gz KODNBCVSKOTMOB-QMTHXVAHSA-N 1 2 310.829 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(C)nc2CC)C1 ZINC001079917057 755639905 /nfs/dbraw/zinc/63/99/05/755639905.db2.gz KODNBCVSKOTMOB-QMTHXVAHSA-N 1 2 310.829 1.785 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080032288 755692179 /nfs/dbraw/zinc/69/21/79/755692179.db2.gz VTDQGQFZTLNVLA-UHFFFAOYSA-N 1 2 304.394 1.155 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c3c(nn2C)CCCC3)C1 ZINC001014574775 755806358 /nfs/dbraw/zinc/80/63/58/755806358.db2.gz CXTODTZUBSTATM-LBPRGKRZSA-N 1 2 322.840 1.856 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c3c(nn2C)CCCC3)C1 ZINC001014574775 755806362 /nfs/dbraw/zinc/80/63/62/755806362.db2.gz CXTODTZUBSTATM-LBPRGKRZSA-N 1 2 322.840 1.856 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)C1CN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001080418569 755906932 /nfs/dbraw/zinc/90/69/32/755906932.db2.gz UMAAZWURPHDRHW-QWHCGFSZSA-N 1 2 317.393 1.053 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080631874 756014960 /nfs/dbraw/zinc/01/49/60/756014960.db2.gz KBLNHXBCYFWHOF-UHFFFAOYSA-N 1 2 304.394 1.224 20 30 DDEDLO CCc1n[nH]cc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015060061 756099223 /nfs/dbraw/zinc/09/92/23/756099223.db2.gz RRICRSROVGLXBF-MRXNPFEDSA-N 1 2 322.412 1.828 20 30 DDEDLO CCc1n[nH]cc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015060061 756099228 /nfs/dbraw/zinc/09/92/28/756099228.db2.gz RRICRSROVGLXBF-MRXNPFEDSA-N 1 2 322.412 1.828 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CC[N@@H+](Cc3cnnn3CC)C2)CC1 ZINC001015660125 756443895 /nfs/dbraw/zinc/44/38/95/756443895.db2.gz SCGBQNMHPKGSQM-OAHLLOKOSA-N 1 2 317.437 1.735 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CC[N@H+](Cc3cnnn3CC)C2)CC1 ZINC001015660125 756443899 /nfs/dbraw/zinc/44/38/99/756443899.db2.gz SCGBQNMHPKGSQM-OAHLLOKOSA-N 1 2 317.437 1.735 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(Cl)cnn2CC)[C@H](OC)C1 ZINC001081728311 756444796 /nfs/dbraw/zinc/44/47/96/756444796.db2.gz DVHFKSOYLFKKAD-CHWSQXEVSA-N 1 2 324.812 1.009 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(Cl)cnn2CC)[C@H](OC)C1 ZINC001081728311 756444798 /nfs/dbraw/zinc/44/47/98/756444798.db2.gz DVHFKSOYLFKKAD-CHWSQXEVSA-N 1 2 324.812 1.009 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1ncccn1 ZINC001015884660 756618294 /nfs/dbraw/zinc/61/82/94/756618294.db2.gz AYZGKAYMJFEBOT-INIZCTEOSA-N 1 2 324.359 1.472 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1ncccn1 ZINC001015884660 756618297 /nfs/dbraw/zinc/61/82/97/756618297.db2.gz AYZGKAYMJFEBOT-INIZCTEOSA-N 1 2 324.359 1.472 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2conc2C2CC2)[C@H](OC)C1 ZINC001082122828 756640295 /nfs/dbraw/zinc/64/02/95/756640295.db2.gz GXPUMRGBJUPCFX-ZIAGYGMSSA-N 1 2 303.362 1.004 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2conc2C2CC2)[C@H](OC)C1 ZINC001082122828 756640305 /nfs/dbraw/zinc/64/03/05/756640305.db2.gz GXPUMRGBJUPCFX-ZIAGYGMSSA-N 1 2 303.362 1.004 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2scnc2C(C)C)[C@H](OC)C1 ZINC001082213698 756687088 /nfs/dbraw/zinc/68/70/88/756687088.db2.gz BBFJENGHKPZXDR-CHWSQXEVSA-N 1 2 321.446 1.719 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2scnc2C(C)C)[C@H](OC)C1 ZINC001082213698 756687091 /nfs/dbraw/zinc/68/70/91/756687091.db2.gz BBFJENGHKPZXDR-CHWSQXEVSA-N 1 2 321.446 1.719 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3ccccc3F)CC2)[C@H](OC)C1 ZINC001082293260 756716623 /nfs/dbraw/zinc/71/66/23/756716623.db2.gz ODQGFBIEGOSXHI-HZPDHXFCSA-N 1 2 316.376 1.306 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3ccccc3F)CC2)[C@H](OC)C1 ZINC001082293260 756716626 /nfs/dbraw/zinc/71/66/26/756716626.db2.gz ODQGFBIEGOSXHI-HZPDHXFCSA-N 1 2 316.376 1.306 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)cn3)C2)cn1 ZINC001016035237 756734731 /nfs/dbraw/zinc/73/47/31/756734731.db2.gz SZGDPIMUKHRJPA-MRXNPFEDSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)cn3)C2)cn1 ZINC001016035237 756734736 /nfs/dbraw/zinc/73/47/36/756734736.db2.gz SZGDPIMUKHRJPA-MRXNPFEDSA-N 1 2 321.384 1.166 20 30 DDEDLO CCOc1nnc(C[NH2+][C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)s1 ZINC001082448262 756803710 /nfs/dbraw/zinc/80/37/10/756803710.db2.gz JVWFDDQEEITXLY-HBNTYKKESA-N 1 2 323.422 1.033 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@H]3OCCN(C(=O)[C@@H](C)C#N)[C@H]3C2)s1 ZINC001083026306 757067306 /nfs/dbraw/zinc/06/73/06/757067306.db2.gz VYVYPJPMGHYSFF-LEWSCRJBSA-N 1 2 320.418 1.023 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@H]3OCCN(C(=O)[C@@H](C)C#N)[C@H]3C2)s1 ZINC001083026306 757067313 /nfs/dbraw/zinc/06/73/13/757067313.db2.gz VYVYPJPMGHYSFF-LEWSCRJBSA-N 1 2 320.418 1.023 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@@H]3C[C@H]3c3ccccc3)[C@H]2C1 ZINC001083084940 757126830 /nfs/dbraw/zinc/12/68/30/757126830.db2.gz OGKLVWHWKHLPTQ-CADBVGFASA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@@H]3C[C@H]3c3ccccc3)[C@H]2C1 ZINC001083084940 757126832 /nfs/dbraw/zinc/12/68/32/757126832.db2.gz OGKLVWHWKHLPTQ-CADBVGFASA-N 1 2 324.424 1.725 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCCCC3)[C@@H](O)C1 ZINC001084025556 757231013 /nfs/dbraw/zinc/23/10/13/757231013.db2.gz VBXWCYSURPCEMG-KGLIPLIRSA-N 1 2 320.458 1.978 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCCCC3)[C@@H](O)C1 ZINC001084025556 757231026 /nfs/dbraw/zinc/23/10/26/757231026.db2.gz VBXWCYSURPCEMG-KGLIPLIRSA-N 1 2 320.458 1.978 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H]2CN(C(=O)c3cc[nH]n3)[C@@H]2C1 ZINC001084203656 757392176 /nfs/dbraw/zinc/39/21/76/757392176.db2.gz XAOBSXLDYORATD-NVXWUHKLSA-N 1 2 321.384 1.628 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H]2CN(C(=O)c3cc[nH]n3)[C@@H]2C1 ZINC001084203656 757392184 /nfs/dbraw/zinc/39/21/84/757392184.db2.gz XAOBSXLDYORATD-NVXWUHKLSA-N 1 2 321.384 1.628 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H]2CN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC001084203656 757392196 /nfs/dbraw/zinc/39/21/96/757392196.db2.gz XAOBSXLDYORATD-NVXWUHKLSA-N 1 2 321.384 1.628 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC001084203656 757392206 /nfs/dbraw/zinc/39/22/06/757392206.db2.gz XAOBSXLDYORATD-NVXWUHKLSA-N 1 2 321.384 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)c3ccnn3C)[C@@H]2C1 ZINC001084352734 757508032 /nfs/dbraw/zinc/50/80/32/757508032.db2.gz MDLRENQKOJIPTL-GZBFAFLISA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)c3ccnn3C)[C@@H]2C1 ZINC001084352734 757508036 /nfs/dbraw/zinc/50/80/36/757508036.db2.gz MDLRENQKOJIPTL-GZBFAFLISA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(C[C@H]2NC(=O)Cn2ccnc2C)CC1 ZINC001016973900 757523150 /nfs/dbraw/zinc/52/31/50/757523150.db2.gz GYLJNIUUIAYAHB-CQSZACIVSA-N 1 2 322.840 1.915 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3nc4cccnc4s3)[C@@H]2C1 ZINC001084411082 757567191 /nfs/dbraw/zinc/56/71/91/757567191.db2.gz GBQYWYBIEHIQPP-DGCLKSJQSA-N 1 2 312.398 1.471 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3nc4cccnc4s3)[C@@H]2C1 ZINC001084411082 757567200 /nfs/dbraw/zinc/56/72/00/757567200.db2.gz GBQYWYBIEHIQPP-DGCLKSJQSA-N 1 2 312.398 1.471 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3C)C[C@H]21 ZINC001084574056 757666124 /nfs/dbraw/zinc/66/61/24/757666124.db2.gz OEEYBNLWUOTNDW-UKRRQHHQSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3C)C[C@H]21 ZINC001084574056 757666132 /nfs/dbraw/zinc/66/61/32/757666132.db2.gz OEEYBNLWUOTNDW-UKRRQHHQSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](OC)C(C)C)[C@@H]2C1 ZINC001084633877 757723452 /nfs/dbraw/zinc/72/34/52/757723452.db2.gz WVHCMRILXCYZBA-MCIONIFRSA-N 1 2 300.830 1.943 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](OC)C(C)C)[C@@H]2C1 ZINC001084633877 757723457 /nfs/dbraw/zinc/72/34/57/757723457.db2.gz WVHCMRILXCYZBA-MCIONIFRSA-N 1 2 300.830 1.943 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1c(C#N)cnc2nc(Cl)ccc21 ZINC001169653291 762575342 /nfs/dbraw/zinc/57/53/42/762575342.db2.gz NMRAALQDLOKHBR-LLVKDONJSA-N 1 2 317.780 1.897 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1c(C#N)cnc2nc(Cl)ccc21 ZINC001169653291 762575345 /nfs/dbraw/zinc/57/53/45/762575345.db2.gz NMRAALQDLOKHBR-LLVKDONJSA-N 1 2 317.780 1.897 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001017540915 758009833 /nfs/dbraw/zinc/00/98/33/758009833.db2.gz QLMPLCQFKXYRBK-CALCHBBNSA-N 1 2 312.417 1.317 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001017540915 758009845 /nfs/dbraw/zinc/00/98/45/758009845.db2.gz QLMPLCQFKXYRBK-CALCHBBNSA-N 1 2 312.417 1.317 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(CC(C)C)n1 ZINC001017589874 758057006 /nfs/dbraw/zinc/05/70/06/758057006.db2.gz JQAIMBJBYPZYNO-IYBDPMFKSA-N 1 2 314.433 1.851 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(CC(C)C)n1 ZINC001017589874 758057016 /nfs/dbraw/zinc/05/70/16/758057016.db2.gz JQAIMBJBYPZYNO-IYBDPMFKSA-N 1 2 314.433 1.851 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)/C=C/C(C)(C)C)CC2=O)C1 ZINC001108525153 762598845 /nfs/dbraw/zinc/59/88/45/762598845.db2.gz HXPZKJBLNYHWSO-UZYOAWRESA-N 1 2 319.449 1.566 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnn2ccccc12 ZINC001017624138 758093207 /nfs/dbraw/zinc/09/32/07/758093207.db2.gz JONZJZCOSWQSRG-CALCHBBNSA-N 1 2 322.412 1.575 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnn2ccccc12 ZINC001017624138 758093213 /nfs/dbraw/zinc/09/32/13/758093213.db2.gz JONZJZCOSWQSRG-CALCHBBNSA-N 1 2 322.412 1.575 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2[nH]c(=O)[nH]c21 ZINC001017627361 758096605 /nfs/dbraw/zinc/09/66/05/758096605.db2.gz XIWGSXSQPPRNOZ-TXEJJXNPSA-N 1 2 310.357 1.191 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2[nH]c(=O)[nH]c21 ZINC001017627361 758096615 /nfs/dbraw/zinc/09/66/15/758096615.db2.gz XIWGSXSQPPRNOZ-TXEJJXNPSA-N 1 2 310.357 1.191 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2[nH]c(=O)[nH]c21 ZINC001017627286 758097101 /nfs/dbraw/zinc/09/71/01/758097101.db2.gz VUQBGBFQSMXNOG-BETUJISGSA-N 1 2 324.384 1.581 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2[nH]c(=O)[nH]c21 ZINC001017627286 758097114 /nfs/dbraw/zinc/09/71/14/758097114.db2.gz VUQBGBFQSMXNOG-BETUJISGSA-N 1 2 324.384 1.581 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncn(-c2ccccc2)n1 ZINC001017644716 758114030 /nfs/dbraw/zinc/11/40/30/758114030.db2.gz UCSCREVKPKNVGJ-IYBDPMFKSA-N 1 2 323.400 1.742 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncn(-c2ccccc2)n1 ZINC001017644716 758114036 /nfs/dbraw/zinc/11/40/36/758114036.db2.gz UCSCREVKPKNVGJ-IYBDPMFKSA-N 1 2 323.400 1.742 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COC[C@@H]1c1ccccc1 ZINC001017675095 758144016 /nfs/dbraw/zinc/14/40/16/758144016.db2.gz HPWJGPURQSVEAL-FCGDIQPGSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COC[C@@H]1c1ccccc1 ZINC001017675095 758144019 /nfs/dbraw/zinc/14/40/19/758144019.db2.gz HPWJGPURQSVEAL-FCGDIQPGSA-N 1 2 324.424 1.725 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c2c1CCCC2 ZINC001017702302 758164939 /nfs/dbraw/zinc/16/49/39/758164939.db2.gz UXQXYBQPBMWZLK-BETUJISGSA-N 1 2 300.406 1.763 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c2c1CCCC2 ZINC001017702302 758164944 /nfs/dbraw/zinc/16/49/44/758164944.db2.gz UXQXYBQPBMWZLK-BETUJISGSA-N 1 2 300.406 1.763 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCOC[C@H]1OCC ZINC001017744898 758200687 /nfs/dbraw/zinc/20/06/87/758200687.db2.gz LEQZOBBBMMMPEA-MWDXBVQZSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCOC[C@H]1OCC ZINC001017744898 758200697 /nfs/dbraw/zinc/20/06/97/758200697.db2.gz LEQZOBBBMMMPEA-MWDXBVQZSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nc(C)n(C)c2c1 ZINC001017788936 758241457 /nfs/dbraw/zinc/24/14/57/758241457.db2.gz DROGJRGUROQMSN-IYBDPMFKSA-N 1 2 322.412 1.804 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nc(C)n(C)c2c1 ZINC001017788936 758241464 /nfs/dbraw/zinc/24/14/64/758241464.db2.gz DROGJRGUROQMSN-IYBDPMFKSA-N 1 2 322.412 1.804 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c[nH]c2cnccc12 ZINC001017847540 758294401 /nfs/dbraw/zinc/29/44/01/758294401.db2.gz QRTHTPADHZJQGE-GASCZTMLSA-N 1 2 308.385 1.414 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c[nH]c2cnccc12 ZINC001017847540 758294411 /nfs/dbraw/zinc/29/44/11/758294411.db2.gz QRTHTPADHZJQGE-GASCZTMLSA-N 1 2 308.385 1.414 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(F)ccc1F ZINC001017860625 758305480 /nfs/dbraw/zinc/30/54/80/758305480.db2.gz GGSGCYPBLNCXHL-GASCZTMLSA-N 1 2 304.340 1.816 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(F)ccc1F ZINC001017860625 758305493 /nfs/dbraw/zinc/30/54/93/758305493.db2.gz GGSGCYPBLNCXHL-GASCZTMLSA-N 1 2 304.340 1.816 20 30 DDEDLO C=CCC[C@H](C)[N@@H+]1CCO[C@@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108195366 758419583 /nfs/dbraw/zinc/41/95/83/758419583.db2.gz AFGCOTZECOXOGG-LRDDRELGSA-N 1 2 324.400 1.724 20 30 DDEDLO C=CCC[C@H](C)[N@H+]1CCO[C@@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108195366 758419586 /nfs/dbraw/zinc/41/95/86/758419586.db2.gz AFGCOTZECOXOGG-LRDDRELGSA-N 1 2 324.400 1.724 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1ncccc1F)CCO2 ZINC001053397434 758427943 /nfs/dbraw/zinc/42/79/43/758427943.db2.gz TUKXRFSTVKCZFB-UHFFFAOYSA-N 1 2 319.380 1.253 20 30 DDEDLO Cc1nc(NC[C@@]2(C)CCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001065398901 758504809 /nfs/dbraw/zinc/50/48/09/758504809.db2.gz ZYLAQOMDILOQBV-QGZVFWFLSA-N 1 2 324.388 1.949 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(OC)cc1F ZINC001018133098 758568789 /nfs/dbraw/zinc/56/87/89/758568789.db2.gz RNYMFCMZXYZPPN-GASCZTMLSA-N 1 2 316.376 1.685 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(OC)cc1F ZINC001018133098 758568796 /nfs/dbraw/zinc/56/87/96/758568796.db2.gz RNYMFCMZXYZPPN-GASCZTMLSA-N 1 2 316.376 1.685 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1CCCCO1)O2 ZINC001053590864 758607648 /nfs/dbraw/zinc/60/76/48/758607648.db2.gz RJBBUMVFXLFYJX-HUUCEWRRSA-N 1 2 308.422 1.481 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc2ccccc2n1 ZINC001018187296 758614320 /nfs/dbraw/zinc/61/43/20/758614320.db2.gz OKOGBAPODUTLQE-CALCHBBNSA-N 1 2 322.412 1.735 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc2ccccc2n1 ZINC001018187296 758614322 /nfs/dbraw/zinc/61/43/22/758614322.db2.gz OKOGBAPODUTLQE-CALCHBBNSA-N 1 2 322.412 1.735 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc3occc3[nH]1)O2 ZINC001053605902 758626542 /nfs/dbraw/zinc/62/65/42/758626542.db2.gz DHHITKFEVXQUPN-GFCCVEGCSA-N 1 2 315.373 1.910 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C(C)(C)C)C1=O ZINC001018200336 758628392 /nfs/dbraw/zinc/62/83/92/758628392.db2.gz FCNFNNMGAGJBQT-QLFBSQMISA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C(C)(C)C)C1=O ZINC001018200336 758628397 /nfs/dbraw/zinc/62/83/97/758628397.db2.gz FCNFNNMGAGJBQT-QLFBSQMISA-N 1 2 319.449 1.495 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1onc(C)c1C)O2 ZINC001053615422 758634281 /nfs/dbraw/zinc/63/42/81/758634281.db2.gz LRDLXDJVKWGLTM-CYBMUJFWSA-N 1 2 305.378 1.441 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1nc(C)c(Cl)c1C ZINC001018279960 758692358 /nfs/dbraw/zinc/69/23/58/758692358.db2.gz HPPCZLREDBYZRK-OKILXGFUSA-N 1 2 320.824 1.462 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1nc(C)c(Cl)c1C ZINC001018279960 758692362 /nfs/dbraw/zinc/69/23/62/758692362.db2.gz HPPCZLREDBYZRK-OKILXGFUSA-N 1 2 320.824 1.462 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cnc3[nH]ccc3c1)CO2 ZINC001053841970 758872272 /nfs/dbraw/zinc/87/22/72/758872272.db2.gz YPGGZTRUAHDMJT-HNNXBMFYSA-N 1 2 324.384 1.111 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc3c[nH]ccc-3n1)CO2 ZINC001053848384 758881013 /nfs/dbraw/zinc/88/10/13/758881013.db2.gz YPMLOGXSARYMNT-CQSZACIVSA-N 1 2 324.384 1.159 20 30 DDEDLO C#CCCN1CC2(C1)C[C@@H](NC(=O)c1cc3c[nH+]ccc3[nH]1)CO2 ZINC001053848384 758881024 /nfs/dbraw/zinc/88/10/24/758881024.db2.gz YPMLOGXSARYMNT-CQSZACIVSA-N 1 2 324.384 1.159 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(Cl)[nH]1)CO2 ZINC001053916708 758951575 /nfs/dbraw/zinc/95/15/75/758951575.db2.gz QCMBMLMWXKJSAA-NSHDSACASA-N 1 2 309.797 1.817 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C(C)C)n[nH]1)CO2 ZINC001053931657 758967487 /nfs/dbraw/zinc/96/74/87/758967487.db2.gz CBQWYDGDAKHCSI-CYBMUJFWSA-N 1 2 318.421 1.682 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)ccc1F)CO2 ZINC001053936962 758973165 /nfs/dbraw/zinc/97/31/65/758973165.db2.gz WSVXFEDXSFHJRK-AWEZNQCLSA-N 1 2 316.376 1.731 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2COC3(C[NH+](CC(=C)C)C3)C2)nc1 ZINC001053984985 759027040 /nfs/dbraw/zinc/02/70/40/759027040.db2.gz IHOUYFJMRSMSDS-OAHLLOKOSA-N 1 2 311.385 1.212 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C1CCOCC1 ZINC001054028550 759079657 /nfs/dbraw/zinc/07/96/57/759079657.db2.gz SHDPDGZITIZCIW-GOSISDBHSA-N 1 2 312.413 1.589 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C1CCOCC1 ZINC001054028550 759079665 /nfs/dbraw/zinc/07/96/65/759079665.db2.gz SHDPDGZITIZCIW-GOSISDBHSA-N 1 2 312.413 1.589 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CCCC#CC)CC2=O)C1 ZINC001108563462 762688871 /nfs/dbraw/zinc/68/88/71/762688871.db2.gz NLUWLMRBSKHFJO-OAHLLOKOSA-N 1 2 317.433 1.157 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099975688 759335184 /nfs/dbraw/zinc/33/51/84/759335184.db2.gz GBEDXXYORBJXMR-ZIAGYGMSSA-N 1 2 323.462 1.859 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099975688 759335195 /nfs/dbraw/zinc/33/51/95/759335195.db2.gz GBEDXXYORBJXMR-ZIAGYGMSSA-N 1 2 323.462 1.859 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cn4c(n3)CCC4)cc2C1 ZINC001054266472 759371983 /nfs/dbraw/zinc/37/19/83/759371983.db2.gz XKZGKBIFGCMFPG-UHFFFAOYSA-N 1 2 320.396 1.708 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cn4c(n3)CCC4)cc2C1 ZINC001054266472 759371995 /nfs/dbraw/zinc/37/19/95/759371995.db2.gz XKZGKBIFGCMFPG-UHFFFAOYSA-N 1 2 320.396 1.708 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]([NH2+]Cc3cnsn3)C2)CC1 ZINC001019330864 759809670 /nfs/dbraw/zinc/80/96/70/759809670.db2.gz BMNNFDMNHAPXML-ZDUSSCGKSA-N 1 2 306.435 1.975 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(CC)nc1C1CC1 ZINC001085621282 759862064 /nfs/dbraw/zinc/86/20/64/759862064.db2.gz LPZDGOWKHLHNCQ-AWEZNQCLSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(CC)nc1C1CC1 ZINC001085621282 759862074 /nfs/dbraw/zinc/86/20/74/759862074.db2.gz LPZDGOWKHLHNCQ-AWEZNQCLSA-N 1 2 300.406 1.560 20 30 DDEDLO C[C@H]1CN(C(=O)C#CC2CC2)C[C@H]1[NH2+]Cc1nc(C(F)F)no1 ZINC001054637086 759928451 /nfs/dbraw/zinc/92/84/51/759928451.db2.gz LUFMNNRTLNWEDJ-GXSJLCMTSA-N 1 2 324.331 1.357 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1occc1-c1cnn(C)c1 ZINC001085780493 760213148 /nfs/dbraw/zinc/21/31/48/760213148.db2.gz VUFJSDMRQQTREX-CQSZACIVSA-N 1 2 312.373 1.460 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1occc1-c1cnn(C)c1 ZINC001085780493 760213153 /nfs/dbraw/zinc/21/31/53/760213153.db2.gz VUFJSDMRQQTREX-CQSZACIVSA-N 1 2 312.373 1.460 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001046804743 767938424 /nfs/dbraw/zinc/93/84/24/767938424.db2.gz FXVOLOCXPNSONW-UKRRQHHQSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001046804743 767938428 /nfs/dbraw/zinc/93/84/28/767938428.db2.gz FXVOLOCXPNSONW-UKRRQHHQSA-N 1 2 313.829 1.188 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2cncs2)C1 ZINC001108216239 760413903 /nfs/dbraw/zinc/41/39/03/760413903.db2.gz VCBVZRRDSRDGME-HNNXBMFYSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2cncs2)C1 ZINC001108216239 760413904 /nfs/dbraw/zinc/41/39/04/760413904.db2.gz VCBVZRRDSRDGME-HNNXBMFYSA-N 1 2 309.435 1.469 20 30 DDEDLO N#Cc1ccc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)Cn2cc[nH+]c2)C3)cn1 ZINC001055353103 760474947 /nfs/dbraw/zinc/47/49/47/760474947.db2.gz KQGDYZVYZFDMJC-BMFZPTHFSA-N 1 2 322.372 1.076 20 30 DDEDLO N#Cc1ccc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)Cc2[nH]cc[nH+]2)C3)cn1 ZINC001055378783 760481329 /nfs/dbraw/zinc/48/13/29/760481329.db2.gz IOTMYVZUNSMTRY-YUELXQCFSA-N 1 2 322.372 1.145 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cncc(C(F)(F)F)c1 ZINC001085907820 760504400 /nfs/dbraw/zinc/50/44/00/760504400.db2.gz ODFXJQONFRVQJR-ZDUSSCGKSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cncc(C(F)(F)F)c1 ZINC001085907820 760504410 /nfs/dbraw/zinc/50/44/10/760504410.db2.gz ODFXJQONFRVQJR-ZDUSSCGKSA-N 1 2 311.307 1.880 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc2c(s1)CCOC2 ZINC001085930228 760557918 /nfs/dbraw/zinc/55/79/18/760557918.db2.gz RHPJIRDDHIVIIH-AWEZNQCLSA-N 1 2 318.442 1.990 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc2c(s1)CCOC2 ZINC001085930228 760557924 /nfs/dbraw/zinc/55/79/24/760557924.db2.gz RHPJIRDDHIVIIH-AWEZNQCLSA-N 1 2 318.442 1.990 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc2c(s1)CCOC2 ZINC001085932355 760561596 /nfs/dbraw/zinc/56/15/96/760561596.db2.gz WMALDNNHPGWRCF-ZDUSSCGKSA-N 1 2 304.415 1.600 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc2c(s1)CCOC2 ZINC001085932355 760561599 /nfs/dbraw/zinc/56/15/99/760561599.db2.gz WMALDNNHPGWRCF-ZDUSSCGKSA-N 1 2 304.415 1.600 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001100020354 760723195 /nfs/dbraw/zinc/72/31/95/760723195.db2.gz NOLSTPCERBMXCC-HIFRSBDPSA-N 1 2 321.421 1.637 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001100020354 760723205 /nfs/dbraw/zinc/72/32/05/760723205.db2.gz NOLSTPCERBMXCC-HIFRSBDPSA-N 1 2 321.421 1.637 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(C(F)(F)F)CC2)C1 ZINC001108236412 760804320 /nfs/dbraw/zinc/80/43/20/760804320.db2.gz LFHHACYTHLWHRE-CYBMUJFWSA-N 1 2 318.339 1.559 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2(C(F)(F)F)CC2)C1 ZINC001108236412 760804328 /nfs/dbraw/zinc/80/43/28/760804328.db2.gz LFHHACYTHLWHRE-CYBMUJFWSA-N 1 2 318.339 1.559 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn(CC)nc1C(C)C ZINC001038751652 761363202 /nfs/dbraw/zinc/36/32/02/761363202.db2.gz BQYUOPWFJBRVHF-AWEZNQCLSA-N 1 2 302.422 1.854 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn(CC)nc1C(C)C ZINC001038751652 761363210 /nfs/dbraw/zinc/36/32/10/761363210.db2.gz BQYUOPWFJBRVHF-AWEZNQCLSA-N 1 2 302.422 1.854 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)c2ncccn2)c1 ZINC001038837058 761456166 /nfs/dbraw/zinc/45/61/66/761456166.db2.gz LQWPVNZKWIMTHI-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)c2ncccn2)c1 ZINC001038837058 761456170 /nfs/dbraw/zinc/45/61/70/761456170.db2.gz LQWPVNZKWIMTHI-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(-c2nccs2)c1 ZINC001038895388 761519308 /nfs/dbraw/zinc/51/93/08/761519308.db2.gz CQISNBAXEZJHRR-ZDUSSCGKSA-N 1 2 315.402 1.156 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(-c2nccs2)c1 ZINC001038895388 761519313 /nfs/dbraw/zinc/51/93/13/761519313.db2.gz CQISNBAXEZJHRR-ZDUSSCGKSA-N 1 2 315.402 1.156 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1COc2ccccc2O1 ZINC001038993101 761625393 /nfs/dbraw/zinc/62/53/93/761625393.db2.gz UVXFCVKFHOFZEV-CJNGLKHVSA-N 1 2 300.358 1.040 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1COc2ccccc2O1 ZINC001038993101 761625395 /nfs/dbraw/zinc/62/53/95/761625395.db2.gz UVXFCVKFHOFZEV-CJNGLKHVSA-N 1 2 300.358 1.040 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2CC[NH+](Cc3ccon3)CC2)cn1 ZINC001006310454 761637214 /nfs/dbraw/zinc/63/72/14/761637214.db2.gz MXZGPLDLLOCQAP-UHFFFAOYSA-N 1 2 324.384 1.788 20 30 DDEDLO Cn1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)n1 ZINC001039023696 761661037 /nfs/dbraw/zinc/66/10/37/761661037.db2.gz YMPMMKYOBZCFOR-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)n1 ZINC001039023696 761661043 /nfs/dbraw/zinc/66/10/43/761661043.db2.gz YMPMMKYOBZCFOR-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(-n2ccnn2)c1 ZINC001039086051 761724965 /nfs/dbraw/zinc/72/49/65/761724965.db2.gz YKBPEPBICVHHEW-MRXNPFEDSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(-n2ccnn2)c1 ZINC001039086051 761724972 /nfs/dbraw/zinc/72/49/72/761724972.db2.gz YKBPEPBICVHHEW-MRXNPFEDSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(NC(C)=O)ccc1C ZINC001039099021 761737373 /nfs/dbraw/zinc/73/73/73/761737373.db2.gz SLVVZHCFLRLUSD-INIZCTEOSA-N 1 2 313.401 1.781 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(NC(C)=O)ccc1C ZINC001039099021 761737375 /nfs/dbraw/zinc/73/73/75/761737375.db2.gz SLVVZHCFLRLUSD-INIZCTEOSA-N 1 2 313.401 1.781 20 30 DDEDLO N#CCc1cc(Nc2ncc(Br)[nH]c2=O)cc[nH+]1 ZINC001169852043 762706860 /nfs/dbraw/zinc/70/68/60/762706860.db2.gz MCLOAJINRTWKLE-UHFFFAOYSA-N 1 2 306.123 1.159 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](CC)CCC)CC2=O)C1 ZINC001108582823 762749401 /nfs/dbraw/zinc/74/94/01/762749401.db2.gz DGWFJNSVBUGNFF-GJZGRUSLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](CC)CC(C)C)CC2=O)C1 ZINC001108584687 762759345 /nfs/dbraw/zinc/75/93/45/762759345.db2.gz LJMCDNCUZVNPFA-GJZGRUSLSA-N 1 2 321.465 1.646 20 30 DDEDLO C[NH+]1CCN(c2nccnc2NC2=CC(=O)CC(C)(C)C2)CC1 ZINC001169946974 762768296 /nfs/dbraw/zinc/76/82/96/762768296.db2.gz PITFYYVPMHFGKE-UHFFFAOYSA-N 1 2 315.421 1.913 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001108588441 762772954 /nfs/dbraw/zinc/77/29/54/762772954.db2.gz JPJDMMOWLKASTG-STQMWFEESA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001108588027 762773288 /nfs/dbraw/zinc/77/32/88/762773288.db2.gz DNPXBNDGDOBVAY-JSGCOSHPSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001108686813 762849525 /nfs/dbraw/zinc/84/95/25/762849525.db2.gz XQRJLXLNWMYVFI-FZMZJTMJSA-N 1 2 324.388 1.713 20 30 DDEDLO C[C@H](CC(=O)N[C@@H](C)CNc1ncccc1C#N)n1cc[nH+]c1 ZINC001108721714 762882774 /nfs/dbraw/zinc/88/27/74/762882774.db2.gz VOUPCSWJOXADKG-QWHCGFSZSA-N 1 2 312.377 1.718 20 30 DDEDLO C[C@H](CC(=O)N[C@@H](C)CNc1ccc(C#N)nc1)n1cc[nH+]c1 ZINC001108721368 762892765 /nfs/dbraw/zinc/89/27/65/762892765.db2.gz DZKWXPAKFLEEIF-QWHCGFSZSA-N 1 2 312.377 1.718 20 30 DDEDLO Cc1ccc(C#N)c(N(C)C[C@@H](C)NC(=O)Cn2cc[nH+]c2)n1 ZINC001109014479 763230303 /nfs/dbraw/zinc/23/03/03/763230303.db2.gz JXHQGOMPBZDZMJ-CYBMUJFWSA-N 1 2 312.377 1.099 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)CN(C)c2cc[nH+]c(C)n2)cn1 ZINC001109031889 763247787 /nfs/dbraw/zinc/24/77/87/763247787.db2.gz UNWXQGDOKMBNGJ-LBPRGKRZSA-N 1 2 309.373 1.416 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2C[N@H+](CCF)CCC2(F)F)c1 ZINC001046929505 768126179 /nfs/dbraw/zinc/12/61/79/768126179.db2.gz REVPSXPFYIAIHM-LLVKDONJSA-N 1 2 314.311 1.543 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2C[N@@H+](CCF)CCC2(F)F)c1 ZINC001046929505 768126183 /nfs/dbraw/zinc/12/61/83/768126183.db2.gz REVPSXPFYIAIHM-LLVKDONJSA-N 1 2 314.311 1.543 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1nocc1C ZINC001109213395 763464607 /nfs/dbraw/zinc/46/46/07/763464607.db2.gz QRBCNOVUHWBPDH-RMRKRWHISA-N 1 2 319.405 1.532 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1nocc1C ZINC001109213395 763464610 /nfs/dbraw/zinc/46/46/10/763464610.db2.gz QRBCNOVUHWBPDH-RMRKRWHISA-N 1 2 319.405 1.532 20 30 DDEDLO C[C@H](CN(C)c1ccc(C#N)nc1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001109293954 763553729 /nfs/dbraw/zinc/55/37/29/763553729.db2.gz NGXOQLOXMSIJIQ-KCPJHIHWSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@H](CN(C)c1ccc(C#N)nc1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001109293954 763553736 /nfs/dbraw/zinc/55/37/36/763553736.db2.gz NGXOQLOXMSIJIQ-KCPJHIHWSA-N 1 2 324.388 1.421 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001069795477 768145916 /nfs/dbraw/zinc/14/59/16/768145916.db2.gz RRBYSFHJYOFZTF-CVSAEHQPSA-N 1 2 316.405 1.777 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCCNc1[nH+]cnc2c1cnn2C ZINC001109442240 763712261 /nfs/dbraw/zinc/71/22/61/763712261.db2.gz BZJQPTKCNBMINY-UHFFFAOYSA-N 1 2 314.393 1.283 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001050589110 763791939 /nfs/dbraw/zinc/79/19/39/763791939.db2.gz ZRUBTKGCNNVWTL-UONOGXRCSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)C1CC1)C2 ZINC001109634851 763885961 /nfs/dbraw/zinc/88/59/61/763885961.db2.gz ZKOKCUCBAXSVTR-ILXRZTDVSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)C1CC1)C2 ZINC001109634851 763885965 /nfs/dbraw/zinc/88/59/65/763885965.db2.gz ZKOKCUCBAXSVTR-ILXRZTDVSA-N 1 2 319.449 1.446 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@H]21 ZINC001042352282 763952808 /nfs/dbraw/zinc/95/28/08/763952808.db2.gz XMSDJWHYFQAEMD-SUMWQHHRSA-N 1 2 323.400 1.518 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@H]21 ZINC001042352282 763952821 /nfs/dbraw/zinc/95/28/21/763952821.db2.gz XMSDJWHYFQAEMD-SUMWQHHRSA-N 1 2 323.400 1.518 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]21 ZINC001042352280 763953840 /nfs/dbraw/zinc/95/38/40/763953840.db2.gz XMSDJWHYFQAEMD-DYVFJYSZSA-N 1 2 323.400 1.518 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]21 ZINC001042352280 763953842 /nfs/dbraw/zinc/95/38/42/763953842.db2.gz XMSDJWHYFQAEMD-DYVFJYSZSA-N 1 2 323.400 1.518 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)n(C)n1 ZINC001050836881 764151717 /nfs/dbraw/zinc/15/17/17/764151717.db2.gz UMTJQKASQKWQRP-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)n(C)n1 ZINC001050836881 764151725 /nfs/dbraw/zinc/15/17/25/764151725.db2.gz UMTJQKASQKWQRP-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)n(C)n1 ZINC001050836884 764152163 /nfs/dbraw/zinc/15/21/63/764152163.db2.gz UMTJQKASQKWQRP-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)n(C)n1 ZINC001050836884 764152169 /nfs/dbraw/zinc/15/21/69/764152169.db2.gz UMTJQKASQKWQRP-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO N#Cc1ccc(NC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C2CC2)nc1 ZINC001109892011 764170510 /nfs/dbraw/zinc/17/05/10/764170510.db2.gz PSLZHIIXQOMRKD-ZDUSSCGKSA-N 1 2 310.361 1.226 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccncc1F ZINC001050855574 764188355 /nfs/dbraw/zinc/18/83/55/764188355.db2.gz JOLJNYCUDJWNMS-CYBMUJFWSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccncc1F ZINC001050855574 764188361 /nfs/dbraw/zinc/18/83/61/764188361.db2.gz JOLJNYCUDJWNMS-CYBMUJFWSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccncc1C ZINC001050918971 764279466 /nfs/dbraw/zinc/27/94/66/764279466.db2.gz YNIGSWCMUHYAEP-OAHLLOKOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccncc1C ZINC001050918971 764279469 /nfs/dbraw/zinc/27/94/69/764279469.db2.gz YNIGSWCMUHYAEP-OAHLLOKOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1coc(C(C)(C)C)n1 ZINC001050919488 764280973 /nfs/dbraw/zinc/28/09/73/764280973.db2.gz LNHXEOPSWGKMLF-ZDUSSCGKSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1coc(C(C)(C)C)n1 ZINC001050919488 764280976 /nfs/dbraw/zinc/28/09/76/764280976.db2.gz LNHXEOPSWGKMLF-ZDUSSCGKSA-N 1 2 321.421 1.979 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H](Nc3cc[nH+]c(C)n3)C2)nc1 ZINC001057673058 764293521 /nfs/dbraw/zinc/29/35/21/764293521.db2.gz RRBARTTZRCYKRW-OAHLLOKOSA-N 1 2 321.384 1.878 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001050929367 764295703 /nfs/dbraw/zinc/29/57/03/764295703.db2.gz RGGRKSHRKCWQDQ-LTIDMASMSA-N 1 2 304.434 1.982 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001050929367 764295709 /nfs/dbraw/zinc/29/57/09/764295709.db2.gz RGGRKSHRKCWQDQ-LTIDMASMSA-N 1 2 304.434 1.982 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc2c([nH]1)CCOC2 ZINC001050950899 764335283 /nfs/dbraw/zinc/33/52/83/764335283.db2.gz ABAQCJBWRUVULQ-AWEZNQCLSA-N 1 2 319.405 1.094 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc2c([nH]1)CCOC2 ZINC001050950899 764335286 /nfs/dbraw/zinc/33/52/86/764335286.db2.gz ABAQCJBWRUVULQ-AWEZNQCLSA-N 1 2 319.405 1.094 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1n[nH]c2c1CCC2 ZINC001050998598 764401864 /nfs/dbraw/zinc/40/18/64/764401864.db2.gz NYUBUDAUHNDGJR-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1n[nH]c2c1CCC2 ZINC001050998598 764401866 /nfs/dbraw/zinc/40/18/66/764401866.db2.gz NYUBUDAUHNDGJR-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(C(F)(F)F)nn2C)C1 ZINC001042783914 764440344 /nfs/dbraw/zinc/44/03/44/764440344.db2.gz AHMJMLAIPMEODH-UHFFFAOYSA-N 1 2 316.327 1.771 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(=O)[nH]c3ncn(C)c32)C1 ZINC001042890653 764511369 /nfs/dbraw/zinc/51/13/69/764511369.db2.gz YAFFOEZSUQSREM-UHFFFAOYSA-N 1 2 315.377 1.006 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2cc(OC)ccc2OC)C1 ZINC001042988208 764569923 /nfs/dbraw/zinc/56/99/23/764569923.db2.gz XRCMQSFRSILTHF-UHFFFAOYSA-N 1 2 304.390 1.575 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2cc(OC)ccc2OC)C1 ZINC001042987614 764570324 /nfs/dbraw/zinc/57/03/24/764570324.db2.gz KULHNFKXQNMQFC-UHFFFAOYSA-N 1 2 318.417 1.965 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccnc(-n3cccc3)c2)C1 ZINC001043004837 764578970 /nfs/dbraw/zinc/57/89/70/764578970.db2.gz RJGSQLACFNJNSV-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc2[nH]cnc21 ZINC001051183960 764590367 /nfs/dbraw/zinc/59/03/67/764590367.db2.gz XCNJZWXMUKRRJG-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc2[nH]cnc21 ZINC001051183960 764590372 /nfs/dbraw/zinc/59/03/72/764590372.db2.gz XCNJZWXMUKRRJG-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](Cc2ccc3nonc3c2)CC1 ZINC001112687990 764597446 /nfs/dbraw/zinc/59/74/46/764597446.db2.gz BJBBEIBOJXTRNH-UHFFFAOYSA-N 1 2 300.362 1.833 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3C[C@H]4C[C@@H](C3)N(CC#N)C4)ccn12 ZINC001047074273 768226861 /nfs/dbraw/zinc/22/68/61/768226861.db2.gz YQBQZJJURIINGP-IMJJTQAJSA-N 1 2 323.400 1.749 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CCOCc2ccccc2)CC1 ZINC001112708711 764629545 /nfs/dbraw/zinc/62/95/45/764629545.db2.gz UCYTWQAPGRRJDY-UHFFFAOYSA-N 1 2 318.417 1.550 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C(C)=C2CCC2)[C@@H](n2ccnn2)C1 ZINC001069924735 768233227 /nfs/dbraw/zinc/23/32/27/768233227.db2.gz CHHMRAPHWUEXRV-CVEARBPZSA-N 1 2 313.405 1.143 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C(C)=C2CCC2)[C@@H](n2ccnn2)C1 ZINC001069924735 768233230 /nfs/dbraw/zinc/23/32/30/768233230.db2.gz CHHMRAPHWUEXRV-CVEARBPZSA-N 1 2 313.405 1.143 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2ccc(NC(C)=O)cc2)C1 ZINC001043245341 764789590 /nfs/dbraw/zinc/78/95/90/764789590.db2.gz SRRZPTXPSNAKLF-UHFFFAOYSA-N 1 2 301.390 1.516 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c[nH]c(CC(C)C)n2)CC1 ZINC001112852260 764876289 /nfs/dbraw/zinc/87/62/89/764876289.db2.gz XJTHXJLMKCEKNI-UHFFFAOYSA-N 1 2 320.437 1.569 20 30 DDEDLO C=C(C)CN1CC(N(C)C(=O)[C@H]2COCC[N@@H+]2CC2CCC2)C1 ZINC001043475100 764924621 /nfs/dbraw/zinc/92/46/21/764924621.db2.gz WZUALQXXWCAEDE-QGZVFWFLSA-N 1 2 321.465 1.206 20 30 DDEDLO C=C(C)CN1CC(N(C)C(=O)[C@H]2COCC[N@H+]2CC2CCC2)C1 ZINC001043475100 764924622 /nfs/dbraw/zinc/92/46/22/764924622.db2.gz WZUALQXXWCAEDE-QGZVFWFLSA-N 1 2 321.465 1.206 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(C(F)(F)F)cn2)C1 ZINC001043499994 764941542 /nfs/dbraw/zinc/94/15/42/764941542.db2.gz AUQXMYWMGIHNEW-UHFFFAOYSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051671776 765056489 /nfs/dbraw/zinc/05/64/89/765056489.db2.gz MHSJUWBFOPDWHM-ZIAGYGMSSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051671578 765057084 /nfs/dbraw/zinc/05/70/84/765057084.db2.gz JZPQEXRQORXDDL-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCO[C@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001051752921 765124985 /nfs/dbraw/zinc/12/49/85/765124985.db2.gz SHTYWUIBPAEWBL-CYBMUJFWSA-N 1 2 322.409 1.297 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113008726 765133335 /nfs/dbraw/zinc/13/33/35/765133335.db2.gz ACQCCSHUTAXFSE-NWDGAFQWSA-N 1 2 318.425 1.355 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cn2c3ccccc3[nH]c2=O)C1 ZINC001043972607 765195899 /nfs/dbraw/zinc/19/58/99/765195899.db2.gz HICOGDMTXVHIQY-UHFFFAOYSA-N 1 2 300.362 1.071 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(N3CCCC3)nc2)C1 ZINC001044060363 765263588 /nfs/dbraw/zinc/26/35/88/765263588.db2.gz YXPHOWZOHPEXMB-UHFFFAOYSA-N 1 2 312.417 1.461 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@H](C)C3CC3)C2)CC1 ZINC001052007075 765374885 /nfs/dbraw/zinc/37/48/85/765374885.db2.gz VGMSOXXQHZQMLE-WBVHZDCISA-N 1 2 303.450 1.274 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131711263 768289120 /nfs/dbraw/zinc/28/91/20/768289120.db2.gz BUQKHBGFVQTWRC-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131711263 768289125 /nfs/dbraw/zinc/28/91/25/768289125.db2.gz BUQKHBGFVQTWRC-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C3CC(OC)C3)C2)CC1 ZINC001052041327 765412671 /nfs/dbraw/zinc/41/26/71/765412671.db2.gz YZGADYLJSFKSIK-AQFXKWCLSA-N 1 2 321.465 1.206 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)CC3(C)CC3)C2)CC1 ZINC001052052760 765425198 /nfs/dbraw/zinc/42/51/98/765425198.db2.gz WQHYQRFQGYROSO-MRXNPFEDSA-N 1 2 305.466 1.971 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3CCC3(C)C)C2)CC1 ZINC001052064777 765437333 /nfs/dbraw/zinc/43/73/33/765437333.db2.gz HALCEBPPCKQVMX-JKSUJKDBSA-N 1 2 303.450 1.274 20 30 DDEDLO CCc1ccoc1C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052074687 765446858 /nfs/dbraw/zinc/44/68/58/765446858.db2.gz IWBMHWWHPJQIKB-HNNXBMFYSA-N 1 2 316.405 1.198 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)CC1 ZINC001052096807 765468214 /nfs/dbraw/zinc/46/82/14/765468214.db2.gz PMVJRNHOZHPSDD-FGTMMUONSA-N 1 2 315.461 1.274 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131721885 768311353 /nfs/dbraw/zinc/31/13/53/768311353.db2.gz YWGDFDUTPJNBSC-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131721885 768311360 /nfs/dbraw/zinc/31/13/60/768311360.db2.gz YWGDFDUTPJNBSC-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001113390791 765644687 /nfs/dbraw/zinc/64/46/87/765644687.db2.gz TXYWODQEYGAWLK-MRXNPFEDSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CNC(=O)CCC2CCCC2)CC1 ZINC001113434455 765696179 /nfs/dbraw/zinc/69/61/79/765696179.db2.gz JCKCFRDANTYAHY-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C[C@H]1C[C@@H](NCC#N)CCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001044695587 765758127 /nfs/dbraw/zinc/75/81/27/765758127.db2.gz WYISFRVTZAIAKM-HOCLYGCPSA-N 1 2 323.400 1.979 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)C2(F)CCCCC2)[C@@H](n2ccnn2)C1 ZINC001070069795 768328139 /nfs/dbraw/zinc/32/81/39/768328139.db2.gz ZAKHNTNBOINPPO-KGLIPLIRSA-N 1 2 321.400 1.478 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)C2(F)CCCCC2)[C@@H](n2ccnn2)C1 ZINC001070069795 768328146 /nfs/dbraw/zinc/32/81/46/768328146.db2.gz ZAKHNTNBOINPPO-KGLIPLIRSA-N 1 2 321.400 1.478 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)cn1 ZINC001057764878 765841567 /nfs/dbraw/zinc/84/15/67/765841567.db2.gz HPXCAYSALSFHIP-AWEZNQCLSA-N 1 2 310.361 1.322 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ncccc2OC)CC1 ZINC001113580721 765891444 /nfs/dbraw/zinc/89/14/44/765891444.db2.gz YKOOTRNBXGNXGR-UHFFFAOYSA-N 1 2 305.378 1.051 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCCNC(=O)c2ccco2)CC1 ZINC001113626717 765954665 /nfs/dbraw/zinc/95/46/65/765954665.db2.gz IGFQVRNVOIPOHV-UHFFFAOYSA-N 1 2 305.378 1.120 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CCCC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001045782274 766581213 /nfs/dbraw/zinc/58/12/13/766581213.db2.gz UDVFSUHGSSXXFO-HZPDHXFCSA-N 1 2 324.388 1.180 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#N)n1cc[nH+]c1 ZINC001045856452 766641831 /nfs/dbraw/zinc/64/18/31/766641831.db2.gz LWZJCRQPVHVWDW-ZNMIVQPWSA-N 1 2 301.394 1.423 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)o1 ZINC001046016184 766813490 /nfs/dbraw/zinc/81/34/90/766813490.db2.gz BWIGUWFXGJNWHG-HNNXBMFYSA-N 1 2 316.405 1.252 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067972564 766848996 /nfs/dbraw/zinc/84/89/96/766848996.db2.gz DFTLVXLNOJRXMX-KGLIPLIRSA-N 1 2 320.437 1.717 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCOC2)C1 ZINC001046176804 767057393 /nfs/dbraw/zinc/05/73/93/767057393.db2.gz GGWCHCCMBPSNDU-YPMHNXCESA-N 1 2 317.227 1.512 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCOC2)C1 ZINC001046176804 767057404 /nfs/dbraw/zinc/05/74/04/767057404.db2.gz GGWCHCCMBPSNDU-YPMHNXCESA-N 1 2 317.227 1.512 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cc3n(n2)CCO3)C1 ZINC001046382346 767493327 /nfs/dbraw/zinc/49/33/27/767493327.db2.gz SKSUUHRKRBSBET-AWEZNQCLSA-N 1 2 310.785 1.222 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cc3n(n2)CCO3)C1 ZINC001046382346 767493332 /nfs/dbraw/zinc/49/33/32/767493332.db2.gz SKSUUHRKRBSBET-AWEZNQCLSA-N 1 2 310.785 1.222 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnc3n[nH]cc3c2)C1 ZINC001046410537 767528557 /nfs/dbraw/zinc/52/85/57/767528557.db2.gz JJSSUIIBOFXFTD-OAHLLOKOSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnc3n[nH]cc3c2)C1 ZINC001046410537 767528560 /nfs/dbraw/zinc/52/85/60/767528560.db2.gz JJSSUIIBOFXFTD-OAHLLOKOSA-N 1 2 319.796 1.905 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001068829404 767645297 /nfs/dbraw/zinc/64/52/97/767645297.db2.gz JYCQQBDAHLXETE-IUODEOHRSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069078536 767827949 /nfs/dbraw/zinc/82/79/49/767827949.db2.gz HGUNPPVEKNPGDF-WFASDCNBSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+][C@H](C)c1nc(C)no1 ZINC001131869442 768430411 /nfs/dbraw/zinc/43/04/11/768430411.db2.gz DAQHCWIMVVHFJB-IAQYHMDHSA-N 1 2 310.398 1.374 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2ncccc2O)CC[C@@H]1C ZINC001131971818 768516525 /nfs/dbraw/zinc/51/65/25/768516525.db2.gz NFRRHZNQYZLOFE-KBPBESRZSA-N 1 2 317.389 1.020 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2ncccc2O)CC[C@@H]1C ZINC001131971818 768516529 /nfs/dbraw/zinc/51/65/29/768516529.db2.gz NFRRHZNQYZLOFE-KBPBESRZSA-N 1 2 317.389 1.020 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@@H]2C)nn1C ZINC001132021898 768558989 /nfs/dbraw/zinc/55/89/89/768558989.db2.gz RHYXWDNOPMFUOO-GOEBONIOSA-N 1 2 316.449 1.857 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@@H]2C)nn1C ZINC001132021898 768558992 /nfs/dbraw/zinc/55/89/92/768558992.db2.gz RHYXWDNOPMFUOO-GOEBONIOSA-N 1 2 316.449 1.857 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132068532 768602854 /nfs/dbraw/zinc/60/28/54/768602854.db2.gz GWEWBALICIFBCD-KGLIPLIRSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132068532 768602861 /nfs/dbraw/zinc/60/28/61/768602861.db2.gz GWEWBALICIFBCD-KGLIPLIRSA-N 1 2 320.437 1.198 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132065220 768615078 /nfs/dbraw/zinc/61/50/78/768615078.db2.gz RREGXXOHWLWAAI-UONOGXRCSA-N 1 2 305.426 1.641 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132065220 768615080 /nfs/dbraw/zinc/61/50/80/768615080.db2.gz RREGXXOHWLWAAI-UONOGXRCSA-N 1 2 305.426 1.641 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001070669923 768657354 /nfs/dbraw/zinc/65/73/54/768657354.db2.gz PTNSKTWZPOVNSE-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCc2c[nH+]cn2C1)Nc1ncccc1C#N ZINC001098125752 768658147 /nfs/dbraw/zinc/65/81/47/768658147.db2.gz MIUUEFZXKUPIGU-OCCSQVGLSA-N 1 2 324.388 1.329 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132218716 768690614 /nfs/dbraw/zinc/69/06/14/768690614.db2.gz UYOYRFZNGSEWBX-ZIAGYGMSSA-N 1 2 319.453 1.887 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132218716 768690621 /nfs/dbraw/zinc/69/06/21/768690621.db2.gz UYOYRFZNGSEWBX-ZIAGYGMSSA-N 1 2 319.453 1.887 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)C2CC2)[C@@H](O)C1 ZINC001090504333 768728954 /nfs/dbraw/zinc/72/89/54/768728954.db2.gz OPEUAJHRYJBUDO-OLZOCXBDSA-N 1 2 300.830 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)C2CC2)[C@@H](O)C1 ZINC001090504333 768728958 /nfs/dbraw/zinc/72/89/58/768728958.db2.gz OPEUAJHRYJBUDO-OLZOCXBDSA-N 1 2 300.830 1.727 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001070888281 768772136 /nfs/dbraw/zinc/77/21/36/768772136.db2.gz LITDCDBEZCWNQN-OAHLLOKOSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001070888281 768772140 /nfs/dbraw/zinc/77/21/40/768772140.db2.gz LITDCDBEZCWNQN-OAHLLOKOSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCC[C@H]2CC)CC1 ZINC001070996055 768857822 /nfs/dbraw/zinc/85/78/22/768857822.db2.gz GEJZLZRXXMWWLX-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCC[C@H]2CC)CC1 ZINC001070996055 768857834 /nfs/dbraw/zinc/85/78/34/768857834.db2.gz GEJZLZRXXMWWLX-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(c2ccc(C)nn2)CC1 ZINC001096288745 768998119 /nfs/dbraw/zinc/99/81/19/768998119.db2.gz VOQMTSAYLYNCDU-UHFFFAOYSA-N 1 2 317.437 1.380 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001071137793 769002734 /nfs/dbraw/zinc/00/27/34/769002734.db2.gz NLTDKPKTEUMMDQ-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnnn2CC)CC[C@@H]1C ZINC001071485396 769500860 /nfs/dbraw/zinc/50/08/60/769500860.db2.gz OEBAINQIUUJGAW-NWDGAFQWSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnnn2CC)CC[C@@H]1C ZINC001071485396 769500867 /nfs/dbraw/zinc/50/08/67/769500867.db2.gz OEBAINQIUUJGAW-NWDGAFQWSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnnn2CC)CC[C@H]1C ZINC001071485398 769500960 /nfs/dbraw/zinc/50/09/60/769500960.db2.gz OEBAINQIUUJGAW-VXGBXAGGSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnnn2CC)CC[C@H]1C ZINC001071485398 769500968 /nfs/dbraw/zinc/50/09/68/769500968.db2.gz OEBAINQIUUJGAW-VXGBXAGGSA-N 1 2 311.817 1.633 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CC[C@H](C)N(CC#N)C3)ccn12 ZINC001071511319 769539245 /nfs/dbraw/zinc/53/92/45/769539245.db2.gz LVCWAEGOMQCQFQ-SWLSCSKDSA-N 1 2 311.389 1.749 20 30 DDEDLO C[C@@H](c1csnn1)[N@H+]1C[C@H]2CN(C(=O)C#CC3CC3)C[C@H]2C1 ZINC001048814163 769934257 /nfs/dbraw/zinc/93/42/57/769934257.db2.gz ZGMRCVMXSJAIFK-FPMFFAJLSA-N 1 2 316.430 1.403 20 30 DDEDLO C[C@@H](c1csnn1)[N@@H+]1C[C@H]2CN(C(=O)C#CC3CC3)C[C@H]2C1 ZINC001048814163 769934265 /nfs/dbraw/zinc/93/42/65/769934265.db2.gz ZGMRCVMXSJAIFK-FPMFFAJLSA-N 1 2 316.430 1.403 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3cnn(CC)n3)C[C@@H]2C1 ZINC001048978255 770200402 /nfs/dbraw/zinc/20/04/02/770200402.db2.gz ASKXFGWLLWALBD-OKILXGFUSA-N 1 2 317.437 1.400 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3cnn(CC)n3)C[C@@H]2C1 ZINC001048978255 770200413 /nfs/dbraw/zinc/20/04/13/770200413.db2.gz ASKXFGWLLWALBD-OKILXGFUSA-N 1 2 317.437 1.400 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)CCn3cc[nH+]c3)C2)ccc1C#N ZINC001096461487 770216707 /nfs/dbraw/zinc/21/67/07/770216707.db2.gz ZDCKDXHIDRHSCT-HNNXBMFYSA-N 1 2 324.388 1.243 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2ncn[nH]2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071912173 770271545 /nfs/dbraw/zinc/27/15/45/770271545.db2.gz XFOGWEOIPYUADZ-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2ncn[nH]2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071912173 770271553 /nfs/dbraw/zinc/27/15/53/770271553.db2.gz XFOGWEOIPYUADZ-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2nc[nH]n2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071912173 770271557 /nfs/dbraw/zinc/27/15/57/770271557.db2.gz XFOGWEOIPYUADZ-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2nc[nH]n2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071912173 770271564 /nfs/dbraw/zinc/27/15/64/770271564.db2.gz XFOGWEOIPYUADZ-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(OC)nn2)CC[C@@H]1C ZINC001071966241 770360361 /nfs/dbraw/zinc/36/03/61/770360361.db2.gz CVQOVEWSSNWXCQ-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(OC)nn2)CC[C@@H]1C ZINC001071966241 770360373 /nfs/dbraw/zinc/36/03/73/770360373.db2.gz CVQOVEWSSNWXCQ-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](NC(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001096494665 770493072 /nfs/dbraw/zinc/49/30/72/770493072.db2.gz DALPRFLNRNDOER-CQSZACIVSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](NC(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001096494665 770493077 /nfs/dbraw/zinc/49/30/77/770493077.db2.gz DALPRFLNRNDOER-CQSZACIVSA-N 1 2 310.361 1.004 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001096505862 770608194 /nfs/dbraw/zinc/60/81/94/770608194.db2.gz SQEGQASBIAHZTL-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO CCc1cnc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049382465 770762476 /nfs/dbraw/zinc/76/24/76/770762476.db2.gz OJNLNHKTNNFALZ-AEGPPILISA-N 1 2 316.405 1.962 20 30 DDEDLO CCc1cnc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049382465 770762483 /nfs/dbraw/zinc/76/24/83/770762483.db2.gz OJNLNHKTNNFALZ-AEGPPILISA-N 1 2 316.405 1.962 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049457138 770868463 /nfs/dbraw/zinc/86/84/63/770868463.db2.gz RVDJRZNQZFXMNC-NWDGAFQWSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049457138 770868474 /nfs/dbraw/zinc/86/84/74/770868474.db2.gz RVDJRZNQZFXMNC-NWDGAFQWSA-N 1 2 309.801 1.247 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CCC[C@H]2[C@H]1CCN2CC#N ZINC001049539922 771004422 /nfs/dbraw/zinc/00/44/22/771004422.db2.gz GEIKSDCLHSSWBK-LSDHHAIUSA-N 1 2 314.389 1.222 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001134409985 771009198 /nfs/dbraw/zinc/00/91/98/771009198.db2.gz BTGMVEVZUAEESP-UHFFFAOYSA-N 1 2 302.325 1.815 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1csnn1 ZINC001049626352 771029988 /nfs/dbraw/zinc/02/99/88/771029988.db2.gz KQUHGLKNGIPKDS-NEPJUHHUSA-N 1 2 312.826 1.970 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1csnn1 ZINC001049626352 771029993 /nfs/dbraw/zinc/02/99/93/771029993.db2.gz KQUHGLKNGIPKDS-NEPJUHHUSA-N 1 2 312.826 1.970 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891236 771237152 /nfs/dbraw/zinc/23/71/52/771237152.db2.gz DUWNHHMRYNOYQN-IRXDYDNUSA-N 1 2 323.400 1.660 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891236 771237155 /nfs/dbraw/zinc/23/71/55/771237155.db2.gz DUWNHHMRYNOYQN-IRXDYDNUSA-N 1 2 323.400 1.660 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096637625 771362837 /nfs/dbraw/zinc/36/28/37/771362837.db2.gz UNMZJJHESBKCGG-XJKSGUPXSA-N 1 2 304.394 1.419 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C2CC2)n1 ZINC001096712826 771410759 /nfs/dbraw/zinc/41/07/59/771410759.db2.gz ZICBPARBVWJWLZ-AWEZNQCLSA-N 1 2 324.388 1.534 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)nc2)C[C@H]1NC(=O)CCn1cc[nH+]c1 ZINC001090798606 772222667 /nfs/dbraw/zinc/22/26/67/772222667.db2.gz FIJHHSFSNJJRAX-CZUORRHYSA-N 1 2 324.388 1.181 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)cc1C ZINC001137006333 772254321 /nfs/dbraw/zinc/25/43/21/772254321.db2.gz NPJWOJGUFCLKRC-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO N#Cc1ccnc(NC(=O)[C@H]2[C@H](O)CC[N@@H+]2Cc2ccccc2)c1 ZINC001143659564 772287568 /nfs/dbraw/zinc/28/75/68/772287568.db2.gz YHWLEHPWGYSMFL-NVXWUHKLSA-N 1 2 322.368 1.527 20 30 DDEDLO N#Cc1ccnc(NC(=O)[C@H]2[C@H](O)CC[N@H+]2Cc2ccccc2)c1 ZINC001143659564 772287571 /nfs/dbraw/zinc/28/75/71/772287571.db2.gz YHWLEHPWGYSMFL-NVXWUHKLSA-N 1 2 322.368 1.527 20 30 DDEDLO C#CC(=O)NCc1c[nH+]cn1Cc1cccc(Br)c1 ZINC001143912720 772338869 /nfs/dbraw/zinc/33/88/69/772338869.db2.gz CXLOGAVXRQHLKT-UHFFFAOYSA-N 1 2 318.174 1.943 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001091438377 772756783 /nfs/dbraw/zinc/75/67/83/772756783.db2.gz LYVHMYDKJSXHEN-JOCQHMNTSA-N 1 2 318.425 1.519 20 30 DDEDLO CCc1noc(C[N@@H+]2C[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@]3(C)C2)n1 ZINC001091545261 772816808 /nfs/dbraw/zinc/81/68/08/772816808.db2.gz NEUSKBHMWODTSD-HSMVNMDESA-N 1 2 317.393 1.072 20 30 DDEDLO CCc1noc(C[N@H+]2C[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@]3(C)C2)n1 ZINC001091545261 772816814 /nfs/dbraw/zinc/81/68/14/772816814.db2.gz NEUSKBHMWODTSD-HSMVNMDESA-N 1 2 317.393 1.072 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccc(C)n1)C2 ZINC001147159784 773055003 /nfs/dbraw/zinc/05/50/03/773055003.db2.gz YWXMIIFLAKHITF-UHFFFAOYSA-N 1 2 300.406 1.786 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1nccc(C)n1)C2 ZINC001147159784 773055009 /nfs/dbraw/zinc/05/50/09/773055009.db2.gz YWXMIIFLAKHITF-UHFFFAOYSA-N 1 2 300.406 1.786 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccncn2)C1 ZINC001073821583 773444463 /nfs/dbraw/zinc/44/44/63/773444463.db2.gz NCHRPXDECZOSQN-GFCCVEGCSA-N 1 2 310.785 1.050 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccncn2)C1 ZINC001073821583 773444466 /nfs/dbraw/zinc/44/44/66/773444466.db2.gz NCHRPXDECZOSQN-GFCCVEGCSA-N 1 2 310.785 1.050 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+][C@H](C)c2nnc(CC)o2)nc1 ZINC001148376165 773460798 /nfs/dbraw/zinc/46/07/98/773460798.db2.gz CAWQEEXXSCYGSC-LLVKDONJSA-N 1 2 313.361 1.089 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccon3)C[C@H]21 ZINC001074199661 773723426 /nfs/dbraw/zinc/72/34/26/773723426.db2.gz GRMRRUYDUOUFKT-HUUCEWRRSA-N 1 2 305.378 1.095 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccon3)C[C@H]21 ZINC001074199661 773723429 /nfs/dbraw/zinc/72/34/29/773723429.db2.gz GRMRRUYDUOUFKT-HUUCEWRRSA-N 1 2 305.378 1.095 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCCO)[C@H]2C1 ZINC001074315221 773816090 /nfs/dbraw/zinc/81/60/90/773816090.db2.gz SHKLNZMMWMEENC-GJZGRUSLSA-N 1 2 310.438 1.273 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCCO)[C@H]2C1 ZINC001074315221 773816094 /nfs/dbraw/zinc/81/60/94/773816094.db2.gz SHKLNZMMWMEENC-GJZGRUSLSA-N 1 2 310.438 1.273 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C)CC=CC3)C[C@@H]21 ZINC001074331769 773828471 /nfs/dbraw/zinc/82/84/71/773828471.db2.gz MMCXPQUKDQAWFJ-HOTGVXAUSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C)CC=CC3)C[C@@H]21 ZINC001074331769 773828475 /nfs/dbraw/zinc/82/84/75/773828475.db2.gz MMCXPQUKDQAWFJ-HOTGVXAUSA-N 1 2 302.418 1.668 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccn(C)c3C)C[C@H]21 ZINC001074335806 773832644 /nfs/dbraw/zinc/83/26/44/773832644.db2.gz FGNQHDFKOLMJQC-IAGOWNOFSA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccn(C)c3C)C[C@H]21 ZINC001074335806 773832647 /nfs/dbraw/zinc/83/26/47/773832647.db2.gz FGNQHDFKOLMJQC-IAGOWNOFSA-N 1 2 317.433 1.825 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(OC)o3)C[C@H]21 ZINC001074369169 773861211 /nfs/dbraw/zinc/86/12/11/773861211.db2.gz RAVQBWRBRSSXBC-ZIAGYGMSSA-N 1 2 320.389 1.780 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(OC)o3)C[C@H]21 ZINC001074369169 773861214 /nfs/dbraw/zinc/86/12/14/773861214.db2.gz RAVQBWRBRSSXBC-ZIAGYGMSSA-N 1 2 320.389 1.780 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCn2cc[nH+]c2)CCN1c1ncccc1C#N ZINC001092038946 773915725 /nfs/dbraw/zinc/91/57/25/773915725.db2.gz XJYHBCOYLNCERS-HIFRSBDPSA-N 1 2 324.388 1.323 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092169836 773977667 /nfs/dbraw/zinc/97/76/67/773977667.db2.gz MRBWMKBZZSFIFO-CABCVRRESA-N 1 2 316.405 1.034 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092174351 773981687 /nfs/dbraw/zinc/98/16/87/773981687.db2.gz YPBFRUCTCBYBJX-UONOGXRCSA-N 1 2 318.421 1.443 20 30 DDEDLO N#Cc1ccc(NC2CC(CNC(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001092249982 774045857 /nfs/dbraw/zinc/04/58/57/774045857.db2.gz HBTNCXCFJZKRKK-UHFFFAOYSA-N 1 2 324.388 1.547 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)C1CC1)c1nccn12 ZINC001092359038 774073697 /nfs/dbraw/zinc/07/36/97/774073697.db2.gz LMZNHQRLSRTONG-HIFRSBDPSA-N 1 2 312.417 1.524 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]c(C#N)c1)c1nccn12 ZINC001092361634 774075464 /nfs/dbraw/zinc/07/54/64/774075464.db2.gz LWWLHCKNDHWDTQ-CQSZACIVSA-N 1 2 322.372 1.155 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC13CCC3)c1nccn12 ZINC001092400915 774102289 /nfs/dbraw/zinc/10/22/89/774102289.db2.gz RWPZQSBMWIEOET-GJZGRUSLSA-N 1 2 324.428 1.669 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001075017204 774301102 /nfs/dbraw/zinc/30/11/02/774301102.db2.gz LMFJGXXCYLIMPJ-HUUCEWRRSA-N 1 2 318.421 1.735 20 30 DDEDLO C[C@@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1ccncc1C#N ZINC001098303363 774340729 /nfs/dbraw/zinc/34/07/29/774340729.db2.gz RMNZUGCTLHUEAY-STQMWFEESA-N 1 2 312.377 1.140 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cc(C)no3)CC2)C1 ZINC001093505969 774749140 /nfs/dbraw/zinc/74/91/40/774749140.db2.gz TVUMAOIOUIAHKP-UHFFFAOYSA-N 1 2 305.378 1.474 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cocn3)CC2)C1 ZINC001093516384 774767527 /nfs/dbraw/zinc/76/75/27/774767527.db2.gz JYSLRNNPMMRZGR-UHFFFAOYSA-N 1 2 305.378 1.556 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@]12CCC[C@H]1CN(CC#N)C2 ZINC001099035518 774786452 /nfs/dbraw/zinc/78/64/52/774786452.db2.gz CBECZDVGGRGONH-CFAJVAMVSA-N 1 2 313.405 1.018 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cc[nH]c3)CC2)C1 ZINC001093538560 774807734 /nfs/dbraw/zinc/80/77/34/774807734.db2.gz FOSMNRSUSZPHRV-UHFFFAOYSA-N 1 2 303.406 1.896 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3csnn3)CC2)C1 ZINC001093557375 774881867 /nfs/dbraw/zinc/88/18/67/774881867.db2.gz VCOJLYABNHNJDX-UHFFFAOYSA-N 1 2 308.407 1.030 20 30 DDEDLO Cc1nsc(N[C@H](C)CCNC(=O)Cn2cc[nH+]c2)c1C#N ZINC001099262925 774923503 /nfs/dbraw/zinc/92/35/03/774923503.db2.gz ZDPWBRZXJLLGPR-SNVBAGLBSA-N 1 2 318.406 1.527 20 30 DDEDLO C[C@H](CCNC(=O)Cc1c[nH]c[nH+]1)Nc1ncc(C#N)cc1F ZINC001099713881 775173184 /nfs/dbraw/zinc/17/31/84/775173184.db2.gz UYVPGIFKNUTEDR-SNVBAGLBSA-N 1 2 316.340 1.365 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1nccnc1C#N ZINC001099765192 775243006 /nfs/dbraw/zinc/24/30/06/775243006.db2.gz MKAMDGTZJLXVRC-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1nccnc1C#N ZINC001099765192 775243016 /nfs/dbraw/zinc/24/30/16/775243016.db2.gz MKAMDGTZJLXVRC-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC2(COC)CC2)[C@@H](O)C1 ZINC001099816387 775316094 /nfs/dbraw/zinc/31/60/94/775316094.db2.gz XHDNXNWFOGSSEZ-OLZOCXBDSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC2(COC)CC2)[C@@H](O)C1 ZINC001099816387 775316105 /nfs/dbraw/zinc/31/61/05/775316105.db2.gz XHDNXNWFOGSSEZ-OLZOCXBDSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCCC2CC2)[C@H](O)C1 ZINC001099965717 775490192 /nfs/dbraw/zinc/49/01/92/775490192.db2.gz ZJJSTMQMZUYDHV-ZIAGYGMSSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCCC2CC2)[C@H](O)C1 ZINC001099965717 775490201 /nfs/dbraw/zinc/49/02/01/775490201.db2.gz ZJJSTMQMZUYDHV-ZIAGYGMSSA-N 1 2 300.830 1.871 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099976618 775508964 /nfs/dbraw/zinc/50/89/64/775508964.db2.gz PEVOUZQATAPUGO-GJZGRUSLSA-N 1 2 321.421 1.699 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099976618 775508967 /nfs/dbraw/zinc/50/89/67/775508967.db2.gz PEVOUZQATAPUGO-GJZGRUSLSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCn2cccc2)[C@@H](O)C1 ZINC001100050295 775618713 /nfs/dbraw/zinc/61/87/13/775618713.db2.gz GFPZATYVMVYRRV-KGLIPLIRSA-N 1 2 311.813 1.182 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCn2cccc2)[C@@H](O)C1 ZINC001100050295 775618725 /nfs/dbraw/zinc/61/87/25/775618725.db2.gz GFPZATYVMVYRRV-KGLIPLIRSA-N 1 2 311.813 1.182 20 30 DDEDLO Cc1cc(NCCCNC(=O)CSCC#N)nc(C2CC2)[nH+]1 ZINC001094311533 775664962 /nfs/dbraw/zinc/66/49/62/775664962.db2.gz FWUOSRYFIAOJJM-UHFFFAOYSA-N 1 2 319.434 1.837 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCCN(C)c1ccc(C#N)cn1 ZINC001100368281 776061998 /nfs/dbraw/zinc/06/19/98/776061998.db2.gz WDGVJDRLUMAWML-LBPRGKRZSA-N 1 2 312.377 1.108 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCCN(C)c1ccc(C#N)cn1 ZINC001100368281 776061999 /nfs/dbraw/zinc/06/19/99/776061999.db2.gz WDGVJDRLUMAWML-LBPRGKRZSA-N 1 2 312.377 1.108 20 30 DDEDLO CCN(CCNC(=O)CSCC#N)c1nc(C)[nH+]c(C)c1C ZINC001100448994 776170075 /nfs/dbraw/zinc/17/00/75/776170075.db2.gz QMQXNIQIOQJLAR-UHFFFAOYSA-N 1 2 321.450 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3CC=CCC3)CC2=O)C1 ZINC001094700701 776211078 /nfs/dbraw/zinc/21/10/78/776211078.db2.gz FYLVRUXVEKRTRO-CABCVRRESA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3(C)CCCC3)CC2=O)C1 ZINC001094767392 776256625 /nfs/dbraw/zinc/25/66/25/776256625.db2.gz BASAAAVGPCJMFR-ZDUSSCGKSA-N 1 2 305.422 1.154 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCCCNc1ccc(C#N)cn1 ZINC001094792168 776282393 /nfs/dbraw/zinc/28/23/93/776282393.db2.gz JORCFLRJCCYYNC-ZIAGYGMSSA-N 1 2 324.388 1.409 20 30 DDEDLO CCN(CCNC(=O)CCn1cc[nH+]c1)c1ccc(C#N)nc1 ZINC001100673526 776425908 /nfs/dbraw/zinc/42/59/08/776425908.db2.gz IKCQKXKGLJKJMR-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H]2CCN(c3cc[nH+]c(C)n3)C2)CCC1 ZINC001101027206 776835183 /nfs/dbraw/zinc/83/51/83/776835183.db2.gz JFDCHKMRNHNFPI-HNNXBMFYSA-N 1 2 312.417 1.921 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1[C@@H]1CCc2ccc([N+](=O)[O-])cc2CC1 ZINC001172905852 776917049 /nfs/dbraw/zinc/91/70/49/776917049.db2.gz VHHJWSZZWRHCHV-ZBFHGGJFSA-N 1 2 300.362 1.640 20 30 DDEDLO Cc1nc(N(CCNC(=O)[C@H](C)C#N)C2CC2)c(C)c(C)[nH+]1 ZINC001101319789 777065541 /nfs/dbraw/zinc/06/55/41/777065541.db2.gz GZQUWSGEPCBYTE-SNVBAGLBSA-N 1 2 301.394 1.647 20 30 DDEDLO Cc1cc(N(CCNC(=O)Cn2cc[nH+]c2)C2CC2)c(C#N)cn1 ZINC001101359198 777095121 /nfs/dbraw/zinc/09/51/21/777095121.db2.gz LRMKDWXJEDIAQJ-UHFFFAOYSA-N 1 2 324.388 1.243 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095099479 777099612 /nfs/dbraw/zinc/09/96/12/777099612.db2.gz LCOFXXGQSSUGIN-OAHLLOKOSA-N 1 2 318.421 1.805 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(C)c1C)C2 ZINC001095179473 777219040 /nfs/dbraw/zinc/21/90/40/777219040.db2.gz ALLNSBAIZOVVBI-XBFCOCLRSA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(C)c1C)C2 ZINC001095179473 777219046 /nfs/dbraw/zinc/21/90/46/777219046.db2.gz ALLNSBAIZOVVBI-XBFCOCLRSA-N 1 2 308.813 1.816 20 30 DDEDLO CCOC(=O)C[C@@H]1CC[C@H]([NH2+][C@@H](CS)C(=O)OCC)C1 ZINC001173624655 777282110 /nfs/dbraw/zinc/28/21/10/777282110.db2.gz ANFXINWFBVFSID-WOPDTQHZSA-N 1 2 303.424 1.559 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H](C)OC)c1nccn12 ZINC001101616431 777307483 /nfs/dbraw/zinc/30/74/83/777307483.db2.gz AOJIOHSIJZSESY-CHWSQXEVSA-N 1 2 304.394 1.066 20 30 DDEDLO C[C@@H]1CC(N2CC[NH2+]C[C@@H]2C#N)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC001173679031 777309455 /nfs/dbraw/zinc/30/94/55/777309455.db2.gz YDTVODINORFCTE-NFAWXSAZSA-N 1 2 322.453 1.960 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2nn(C)cc2Cl)C[C@H]1C ZINC001101823817 777569076 /nfs/dbraw/zinc/56/90/76/777569076.db2.gz MSMXUHOLBNGJQM-QJPTWQEYSA-N 1 2 323.828 1.417 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2nn(C)cc2Cl)C[C@H]1C ZINC001101823817 777569083 /nfs/dbraw/zinc/56/90/83/777569083.db2.gz MSMXUHOLBNGJQM-QJPTWQEYSA-N 1 2 323.828 1.417 20 30 DDEDLO CN(C)c1ccc(Nc2c(C#N)cnn2-c2ncccn2)c[nH+]1 ZINC001175341150 777741851 /nfs/dbraw/zinc/74/18/51/777741851.db2.gz PPSRSXAFJHSHNW-UHFFFAOYSA-N 1 2 306.333 1.739 20 30 DDEDLO C[C@H](CC(=O)N(C)CCNc1nccnc1C#N)n1cc[nH+]c1 ZINC001101975454 777755421 /nfs/dbraw/zinc/75/54/21/777755421.db2.gz BYGUCAABAPAVCP-GFCCVEGCSA-N 1 2 313.365 1.066 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3CC3)C[C@@H]21 ZINC001176883551 778292118 /nfs/dbraw/zinc/29/21/18/778292118.db2.gz YZEVUPWFDILULP-JKSUJKDBSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3CC3)C[C@@H]21 ZINC001176883551 778292122 /nfs/dbraw/zinc/29/21/22/778292122.db2.gz YZEVUPWFDILULP-JKSUJKDBSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccoc3)C[C@@H]21 ZINC001176905967 778333167 /nfs/dbraw/zinc/33/31/67/778333167.db2.gz BPMLQQBEHRSPCV-HOTGVXAUSA-N 1 2 320.389 1.398 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccoc3)C[C@@H]21 ZINC001176905967 778333171 /nfs/dbraw/zinc/33/31/71/778333171.db2.gz BPMLQQBEHRSPCV-HOTGVXAUSA-N 1 2 320.389 1.398 20 30 DDEDLO N#Cc1ccnnc1NC(=O)[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC001176956965 778348170 /nfs/dbraw/zinc/34/81/70/778348170.db2.gz LYHSGWITLZQYKS-CYBMUJFWSA-N 1 2 308.345 1.598 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCCOC)C[C@H]21 ZINC001176943210 778371313 /nfs/dbraw/zinc/37/13/13/778371313.db2.gz GUHUAGSDTSFHSJ-HZPDHXFCSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCCOC)C[C@H]21 ZINC001176943210 778371316 /nfs/dbraw/zinc/37/13/16/778371316.db2.gz GUHUAGSDTSFHSJ-HZPDHXFCSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC=C)[C@H]2C1 ZINC001177007193 778387300 /nfs/dbraw/zinc/38/73/00/778387300.db2.gz XVRMFOKDDQZEDJ-IRXDYDNUSA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOCC=C)[C@H]2C1 ZINC001177007193 778387307 /nfs/dbraw/zinc/38/73/07/778387307.db2.gz XVRMFOKDDQZEDJ-IRXDYDNUSA-N 1 2 320.433 1.294 20 30 DDEDLO CC(C)=CC[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)C)C[C@@H]21 ZINC001177019720 778395803 /nfs/dbraw/zinc/39/58/03/778395803.db2.gz RANJWSBWMMFQEC-DLBZAZTESA-N 1 2 304.434 1.914 20 30 DDEDLO CC(C)=CC[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)C)C[C@@H]21 ZINC001177019720 778395810 /nfs/dbraw/zinc/39/58/10/778395810.db2.gz RANJWSBWMMFQEC-DLBZAZTESA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCF)[C@@H]3C2)CCC1 ZINC001177030868 778400148 /nfs/dbraw/zinc/40/01/48/778400148.db2.gz QJASYSNFNGUIOL-CVEARBPZSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CCCF)[C@@H]3C2)CCC1 ZINC001177030868 778400151 /nfs/dbraw/zinc/40/01/51/778400151.db2.gz QJASYSNFNGUIOL-CVEARBPZSA-N 1 2 322.424 1.841 20 30 DDEDLO CC(C)C[C@H](NC(=O)[C@H]1CCC[N@@H+]1Cc1ccco1)C(=O)NO ZINC001177629770 778678377 /nfs/dbraw/zinc/67/83/77/778678377.db2.gz SVYSANWLYMLDNG-UONOGXRCSA-N 1 2 323.393 1.280 20 30 DDEDLO CC(C)C[C@H](NC(=O)[C@H]1CCC[N@H+]1Cc1ccco1)C(=O)NO ZINC001177629770 778678382 /nfs/dbraw/zinc/67/83/82/778678382.db2.gz SVYSANWLYMLDNG-UONOGXRCSA-N 1 2 323.393 1.280 20 30 DDEDLO O=C(C#Cc1ccccn1)N1CC[N@@H+]2CCc3ccccc3[C@@H]2C1 ZINC001177802041 778742134 /nfs/dbraw/zinc/74/21/34/778742134.db2.gz SVZGPQPTXROISH-IBGZPJMESA-N 1 2 317.392 1.875 20 30 DDEDLO O=C(C#Cc1ccccn1)N1CC[N@H+]2CCc3ccccc3[C@@H]2C1 ZINC001177802041 778742136 /nfs/dbraw/zinc/74/21/36/778742136.db2.gz SVZGPQPTXROISH-IBGZPJMESA-N 1 2 317.392 1.875 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@H](CC)Nc2cc[nH+]c(C)n2)nn1 ZINC001103351581 778808276 /nfs/dbraw/zinc/80/82/76/778808276.db2.gz YWLLHZFUCPOHBX-LBPRGKRZSA-N 1 2 315.381 1.183 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CC[C@H](NCC#N)[C@@H]1C)n1cc[nH+]c1 ZINC001178957452 779241146 /nfs/dbraw/zinc/24/11/46/779241146.db2.gz YSVXHPRJXRXBBG-KKUMJFAQSA-N 1 2 303.410 1.573 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C23CCC(C(=O)OC)(CC2)CC3)CC1 ZINC001179001441 779257356 /nfs/dbraw/zinc/25/73/56/779257356.db2.gz BXXHEJXWEWFKSE-UHFFFAOYSA-N 1 2 320.433 1.830 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104097516 779304534 /nfs/dbraw/zinc/30/45/34/779304534.db2.gz CXEPKYPTMOLNOW-GFCCVEGCSA-N 1 2 320.441 1.767 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCC1(NCC#N)CCCCC1 ZINC001115737471 780384141 /nfs/dbraw/zinc/38/41/41/780384141.db2.gz IBACJWYJRXKAAT-ZIAGYGMSSA-N 1 2 315.421 1.456 20 30 DDEDLO C[C@H](CN(C)C(=O)Cc1c[nH+]cn1C)Nc1ccc(C#N)cn1 ZINC001115778942 780423774 /nfs/dbraw/zinc/42/37/74/780423774.db2.gz AOBXSPQINUQOOC-GFCCVEGCSA-N 1 2 312.377 1.188 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CC(=O)Nc2nnc(-c3ccco3)o2)C1 ZINC001116556193 780523405 /nfs/dbraw/zinc/52/34/05/780523405.db2.gz LNGNDSPCBQIUKD-NSHDSACASA-N 1 2 318.333 1.545 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CC(=O)Nc2nnc(-c3ccco3)o2)C1 ZINC001116556193 780523410 /nfs/dbraw/zinc/52/34/10/780523410.db2.gz LNGNDSPCBQIUKD-NSHDSACASA-N 1 2 318.333 1.545 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](Cc2c(Br)cnn2C)C1 ZINC001116827631 780565315 /nfs/dbraw/zinc/56/53/15/780565315.db2.gz IPVQPBXCIBJTIK-SNVBAGLBSA-N 1 2 300.200 1.960 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](Cc2c(Br)cnn2C)C1 ZINC001116827631 780565325 /nfs/dbraw/zinc/56/53/25/780565325.db2.gz IPVQPBXCIBJTIK-SNVBAGLBSA-N 1 2 300.200 1.960 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](Cc2c(Br)cnn2C)C1 ZINC001116827630 780565668 /nfs/dbraw/zinc/56/56/68/780565668.db2.gz IPVQPBXCIBJTIK-JTQLQIEISA-N 1 2 300.200 1.960 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](Cc2c(Br)cnn2C)C1 ZINC001116827630 780565676 /nfs/dbraw/zinc/56/56/76/780565676.db2.gz IPVQPBXCIBJTIK-JTQLQIEISA-N 1 2 300.200 1.960 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC001117375369 780745792 /nfs/dbraw/zinc/74/57/92/780745792.db2.gz OMJOJMJSFIKIGP-INIZCTEOSA-N 1 2 313.401 1.209 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC001117375369 780745796 /nfs/dbraw/zinc/74/57/96/780745796.db2.gz OMJOJMJSFIKIGP-INIZCTEOSA-N 1 2 313.401 1.209 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)C(C)(C)[C@@H]1c1cnn(C)c1 ZINC001117487453 780809624 /nfs/dbraw/zinc/80/96/24/780809624.db2.gz GIZPLIADCFNSBJ-HNNXBMFYSA-N 1 2 300.406 1.632 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)C(C)(C)[C@@H]1c1cnn(C)c1 ZINC001117487453 780809635 /nfs/dbraw/zinc/80/96/35/780809635.db2.gz GIZPLIADCFNSBJ-HNNXBMFYSA-N 1 2 300.406 1.632 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC12CC[NH+](Cc1cnn(CC)n1)CC2 ZINC001266366461 836178105 /nfs/dbraw/zinc/17/81/05/836178105.db2.gz SUZBBUVOSHJYQB-OAHLLOKOSA-N 1 2 317.437 1.735 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1csc(C)n1 ZINC001266461308 836295571 /nfs/dbraw/zinc/29/55/71/836295571.db2.gz NGUVYRGHZWGIHZ-HNNXBMFYSA-N 1 2 321.446 1.572 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1csc(C)n1 ZINC001266461308 836295583 /nfs/dbraw/zinc/29/55/83/836295583.db2.gz NGUVYRGHZWGIHZ-HNNXBMFYSA-N 1 2 321.446 1.572 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@@H+]([C@@H](C)c2nnnn2C)C1 ZINC001266528727 836420010 /nfs/dbraw/zinc/42/00/10/836420010.db2.gz XHCOOAWXDYXGCS-STQMWFEESA-N 1 2 306.414 1.066 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@H+]([C@@H](C)c2nnnn2C)C1 ZINC001266528727 836420015 /nfs/dbraw/zinc/42/00/15/836420015.db2.gz XHCOOAWXDYXGCS-STQMWFEESA-N 1 2 306.414 1.066 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CC[NH2+]Cc1nnc(C(F)(F)F)s1 ZINC001266659797 836609354 /nfs/dbraw/zinc/60/93/54/836609354.db2.gz HDZQVMMSFVEIMO-SSDOTTSWSA-N 1 2 321.328 1.265 20 30 DDEDLO CC(C)C#CC(=O)NCC1(NC(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001299156606 836724222 /nfs/dbraw/zinc/72/42/22/836724222.db2.gz ZJGQQVZFXDMUGK-UHFFFAOYSA-N 1 2 324.384 1.601 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1ncnn1C ZINC001279540963 837088287 /nfs/dbraw/zinc/08/82/87/837088287.db2.gz IEMIOQQFTDXRPH-KBPBESRZSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1ncnn1C ZINC001279540963 837088300 /nfs/dbraw/zinc/08/83/00/837088300.db2.gz IEMIOQQFTDXRPH-KBPBESRZSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CCCC(=O)N(CCC)[C@H]1CC[N@H+](CCS(C)(=O)=O)C1 ZINC001267540015 838270925 /nfs/dbraw/zinc/27/09/25/838270925.db2.gz WKINODCXNKFXFF-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCCC(=O)N(CCC)[C@H]1CC[N@@H+](CCS(C)(=O)=O)C1 ZINC001267540015 838270935 /nfs/dbraw/zinc/27/09/35/838270935.db2.gz WKINODCXNKFXFF-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)CC[C@@H]2CC(C)(C)CO2)C1 ZINC001267667694 838608375 /nfs/dbraw/zinc/60/83/75/838608375.db2.gz HTKQKFGCUSRUQD-OAHLLOKOSA-N 1 2 310.438 1.585 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[N@@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267723724 838735825 /nfs/dbraw/zinc/73/58/25/838735825.db2.gz HWEBXQAQNJWCNU-GJZGRUSLSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267723724 838735830 /nfs/dbraw/zinc/73/58/30/838735830.db2.gz HWEBXQAQNJWCNU-GJZGRUSLSA-N 1 2 321.465 1.387 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@H](N(C)C(=O)C#CC(C)(C)C)C1 ZINC001267762146 838889126 /nfs/dbraw/zinc/88/91/26/838889126.db2.gz ONJRSHIVBGWSBD-ZDUSSCGKSA-N 1 2 320.462 1.929 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@H](N(C)C(=O)C#CC(C)(C)C)C1 ZINC001267762146 838889135 /nfs/dbraw/zinc/88/91/35/838889135.db2.gz ONJRSHIVBGWSBD-ZDUSSCGKSA-N 1 2 320.462 1.929 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)CCc2ccccc2OC)C1 ZINC001268028760 839441396 /nfs/dbraw/zinc/44/13/96/839441396.db2.gz MWDADAJCJGAOPT-MRXNPFEDSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)CCc2ccccc2OC)C1 ZINC001268028760 839441403 /nfs/dbraw/zinc/44/14/03/839441403.db2.gz MWDADAJCJGAOPT-MRXNPFEDSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H](OC)c2ccc(F)cc2)C1 ZINC001268029244 839443998 /nfs/dbraw/zinc/44/39/98/839443998.db2.gz SRYCAHNADHHLNZ-HZPDHXFCSA-N 1 2 322.380 1.516 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H](OC)c2ccc(F)cc2)C1 ZINC001268029244 839444004 /nfs/dbraw/zinc/44/40/04/839444004.db2.gz SRYCAHNADHHLNZ-HZPDHXFCSA-N 1 2 322.380 1.516 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)COc2ccc(C)c(C)c2)C1 ZINC001268029499 839444893 /nfs/dbraw/zinc/44/48/93/839444893.db2.gz VRTZJOGFJXQEAJ-QGZVFWFLSA-N 1 2 318.417 1.685 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)COc2ccc(C)c(C)c2)C1 ZINC001268029499 839444902 /nfs/dbraw/zinc/44/49/02/839444902.db2.gz VRTZJOGFJXQEAJ-QGZVFWFLSA-N 1 2 318.417 1.685 20 30 DDEDLO CC[C@H](C#N)N(CC)C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001417586155 839574544 /nfs/dbraw/zinc/57/45/44/839574544.db2.gz ABMAKDOSDZKCEX-KFWWJZLASA-N 1 2 309.410 1.017 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2nc(C)oc2C)C1 ZINC001268112922 839703535 /nfs/dbraw/zinc/70/35/35/839703535.db2.gz XEVHQSYMTLOUDP-CQSZACIVSA-N 1 2 305.378 1.135 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2nc(C)oc2C)C1 ZINC001268112922 839703540 /nfs/dbraw/zinc/70/35/40/839703540.db2.gz XEVHQSYMTLOUDP-CQSZACIVSA-N 1 2 305.378 1.135 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001268193441 839821240 /nfs/dbraw/zinc/82/12/40/839821240.db2.gz LMBPMOQLPPBTFF-INIZCTEOSA-N 1 2 321.465 1.840 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC2CCC2)C1 ZINC001268193441 839821246 /nfs/dbraw/zinc/82/12/46/839821246.db2.gz LMBPMOQLPPBTFF-INIZCTEOSA-N 1 2 321.465 1.840 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]C/C=C\CNC(=O)C#CC(C)(C)C)o1 ZINC001268536108 840409360 /nfs/dbraw/zinc/40/93/60/840409360.db2.gz HHFTXFXIXYLQOM-ZHRWSRJISA-N 1 2 304.394 1.751 20 30 DDEDLO CCCOCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001269005647 841109175 /nfs/dbraw/zinc/10/91/75/841109175.db2.gz WNLQOWQIOXBDTD-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001269005647 841109177 /nfs/dbraw/zinc/10/91/77/841109177.db2.gz WNLQOWQIOXBDTD-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](Cc2ncoc2C(C)C)C1 ZINC001269025993 841126070 /nfs/dbraw/zinc/12/60/70/841126070.db2.gz MLRJSQDFFKGWNP-AWEZNQCLSA-N 1 2 319.405 1.528 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](Cc2ncoc2C(C)C)C1 ZINC001269025993 841126078 /nfs/dbraw/zinc/12/60/78/841126078.db2.gz MLRJSQDFFKGWNP-AWEZNQCLSA-N 1 2 319.405 1.528 20 30 DDEDLO CC(C)(C)CC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269198797 841330527 /nfs/dbraw/zinc/33/05/27/841330527.db2.gz BZVXSFZABSSHDO-CYBMUJFWSA-N 1 2 322.453 1.279 20 30 DDEDLO CC(C)(C)CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269198797 841330541 /nfs/dbraw/zinc/33/05/41/841330541.db2.gz BZVXSFZABSSHDO-CYBMUJFWSA-N 1 2 322.453 1.279 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001269222180 841372680 /nfs/dbraw/zinc/37/26/80/841372680.db2.gz UUWCKFFRGOUEPG-CQSZACIVSA-N 1 2 304.394 1.484 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001269222180 841372702 /nfs/dbraw/zinc/37/27/02/841372702.db2.gz UUWCKFFRGOUEPG-CQSZACIVSA-N 1 2 304.394 1.484 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@@H+]1CC[C@@H](N(C)C(=O)C#CC(C)C)C1 ZINC001269241887 841412314 /nfs/dbraw/zinc/41/23/14/841412314.db2.gz ZNWAVEOPJYHIJE-LSDHHAIUSA-N 1 2 307.438 1.093 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@H+]1CC[C@@H](N(C)C(=O)C#CC(C)C)C1 ZINC001269241887 841412316 /nfs/dbraw/zinc/41/23/16/841412316.db2.gz ZNWAVEOPJYHIJE-LSDHHAIUSA-N 1 2 307.438 1.093 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)c4cccnc4)C3)C2)cc1C#N ZINC001270263475 842435782 /nfs/dbraw/zinc/43/57/82/842435782.db2.gz CETSLOVRKLWSEW-UHFFFAOYSA-N 1 2 321.384 1.250 20 30 DDEDLO C#CCN(C(=O)C1CCC1)C1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001270279022 842450502 /nfs/dbraw/zinc/45/05/02/842450502.db2.gz CDXVIGIVIAOGQA-UHFFFAOYSA-N 1 2 316.405 1.604 20 30 DDEDLO CCCCOCC[N@@H+]1CCO[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001270649834 842774718 /nfs/dbraw/zinc/77/47/18/842774718.db2.gz HFAHYJORWXFDBT-MRXNPFEDSA-N 1 2 324.465 1.670 20 30 DDEDLO CCCCOCC[N@H+]1CCO[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001270649834 842774725 /nfs/dbraw/zinc/77/47/25/842774725.db2.gz HFAHYJORWXFDBT-MRXNPFEDSA-N 1 2 324.465 1.670 20 30 DDEDLO Cc1ncsc1C[NH+]1CC2(CN(C(=O)C3(C#N)CCC3)C2)C1 ZINC001271112968 843228540 /nfs/dbraw/zinc/22/85/40/843228540.db2.gz XUSFFARNUIEVDP-UHFFFAOYSA-N 1 2 316.430 1.790 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CCC2(CN(C(=O)CCCC#N)C2)C1 ZINC001271252482 843443398 /nfs/dbraw/zinc/44/33/98/843443398.db2.gz GMKNVDDRLXKBON-UHFFFAOYSA-N 1 2 315.421 1.631 20 30 DDEDLO CCn1ccnc1C[N@H+]1CCC2(CN(C(=O)CCCC#N)C2)C1 ZINC001271252482 843443405 /nfs/dbraw/zinc/44/34/05/843443405.db2.gz GMKNVDDRLXKBON-UHFFFAOYSA-N 1 2 315.421 1.631 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1(O)C[NH+](C[C@H]2CC(C)(C)CO2)C1 ZINC001271351563 843516310 /nfs/dbraw/zinc/51/63/10/843516310.db2.gz ZWEAUIXMQLHALQ-PBHICJAKSA-N 1 2 324.465 1.567 20 30 DDEDLO C=C(Cl)C[NH2+][C@H](CNC(=O)C(N)=O)c1ccccc1CC ZINC001271448022 843608776 /nfs/dbraw/zinc/60/87/76/843608776.db2.gz UMPZXBKCKRWWBT-CYBMUJFWSA-N 1 2 309.797 1.234 20 30 DDEDLO CC[C@@H](CNC(=O)[C@H](C)C#N)NC(=O)c1cccc2[nH+]ccn21 ZINC001409385645 845014922 /nfs/dbraw/zinc/01/49/22/845014922.db2.gz ZUHPVYIMFFYMLD-NEPJUHHUSA-N 1 2 313.361 1.119 20 30 DDEDLO C=CCOC[C@H]1c2c(ncn2C)CCN1Cc1c[nH+]cn1C ZINC001204383260 861555530 /nfs/dbraw/zinc/55/55/30/861555530.db2.gz CYVWSTDMTCLRHX-HNNXBMFYSA-N 1 2 301.394 1.456 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2cn(CC)nn2)C1 ZINC001155119067 861750976 /nfs/dbraw/zinc/75/09/76/861750976.db2.gz HIZSZCWVNUOHSD-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)[N@H+](CC)Cc2cn(CC)nn2)C1 ZINC001155119067 861750989 /nfs/dbraw/zinc/75/09/89/861750989.db2.gz HIZSZCWVNUOHSD-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCN(C(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21)C1CC1 ZINC001326994443 861782162 /nfs/dbraw/zinc/78/21/62/861782162.db2.gz AUBAMDOPGWZMTI-MRXNPFEDSA-N 1 2 313.401 1.466 20 30 DDEDLO C=CCN(C(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21)C1CC1 ZINC001326994443 861782164 /nfs/dbraw/zinc/78/21/64/861782164.db2.gz AUBAMDOPGWZMTI-MRXNPFEDSA-N 1 2 313.401 1.466 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1ccncc1F)C2 ZINC001272765162 847393465 /nfs/dbraw/zinc/39/34/65/847393465.db2.gz GAHYBCLCWDWMFX-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1ccncc1F)C2 ZINC001272765162 847393474 /nfs/dbraw/zinc/39/34/74/847393474.db2.gz GAHYBCLCWDWMFX-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cncc3ccccc31)C2 ZINC001273239907 848986490 /nfs/dbraw/zinc/98/64/90/848986490.db2.gz XVPXEKXGMJGILS-UHFFFAOYSA-N 1 2 323.396 1.834 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)CCCN(C)C(=O)C#CC2CC2)n1 ZINC001327213453 861968661 /nfs/dbraw/zinc/96/86/61/861968661.db2.gz SXCRHJHOZQMSBQ-LBPRGKRZSA-N 1 2 304.394 1.633 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)CCCN(C)C(=O)C#CC2CC2)n1 ZINC001327213453 861968669 /nfs/dbraw/zinc/96/86/69/861968669.db2.gz SXCRHJHOZQMSBQ-LBPRGKRZSA-N 1 2 304.394 1.633 20 30 DDEDLO CN1C[C@@]2(F)C[N@H+](CCCc3cccc(C#N)c3)C[C@@]2(F)C1=O ZINC001273439335 850465176 /nfs/dbraw/zinc/46/51/76/850465176.db2.gz FUGGKYOPWPGDRX-IAGOWNOFSA-N 1 2 319.355 1.695 20 30 DDEDLO CN1C[C@@]2(F)C[N@@H+](CCCc3cccc(C#N)c3)C[C@@]2(F)C1=O ZINC001273439335 850465180 /nfs/dbraw/zinc/46/51/80/850465180.db2.gz FUGGKYOPWPGDRX-IAGOWNOFSA-N 1 2 319.355 1.695 20 30 DDEDLO C=CCCC[NH+]1CC2(C1)COCC(=O)N2CC(=O)C(C)(C)C ZINC001273487287 851018760 /nfs/dbraw/zinc/01/87/60/851018760.db2.gz WZRXRKRXEVWHKO-UHFFFAOYSA-N 1 2 308.422 1.481 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2Cc2cnc(OC)c(F)c2)C1=O ZINC001273665152 851201169 /nfs/dbraw/zinc/20/11/69/851201169.db2.gz YKHWITJSPMQBCH-KRWDZBQOSA-N 1 2 319.380 1.982 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2Cc2cnc(OC)c(F)c2)C1=O ZINC001273665152 851201175 /nfs/dbraw/zinc/20/11/75/851201175.db2.gz YKHWITJSPMQBCH-KRWDZBQOSA-N 1 2 319.380 1.982 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H](C)COC ZINC001327505730 862221679 /nfs/dbraw/zinc/22/16/79/862221679.db2.gz DPZQWQMTFWJACL-WMLDXEAASA-N 1 2 300.402 1.445 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H](C)COC ZINC001327505730 862221688 /nfs/dbraw/zinc/22/16/88/862221688.db2.gz DPZQWQMTFWJACL-WMLDXEAASA-N 1 2 300.402 1.445 20 30 DDEDLO CCOC(=O)[C@H](C)[N@@H+]1CCC[C@@]12CCN(CCCC#N)C2=O ZINC001273913365 851497050 /nfs/dbraw/zinc/49/70/50/851497050.db2.gz NMUALVWEUYVGOV-BBRMVZONSA-N 1 2 307.394 1.309 20 30 DDEDLO CCOC(=O)[C@H](C)[N@H+]1CCC[C@@]12CCN(CCCC#N)C2=O ZINC001273913365 851497055 /nfs/dbraw/zinc/49/70/55/851497055.db2.gz NMUALVWEUYVGOV-BBRMVZONSA-N 1 2 307.394 1.309 20 30 DDEDLO CC(C)Cn1ncnc1C[NH2+]C/C=C\CNC(=O)C#CC1CC1 ZINC001274015633 851851111 /nfs/dbraw/zinc/85/11/11/851851111.db2.gz JUHCZFSHTNATAE-ARJAWSKDSA-N 1 2 315.421 1.110 20 30 DDEDLO N#Cc1ncccc1C(=O)N1CC2(C1)C[NH+](Cc1ccc[nH]1)C2 ZINC001274022676 851861526 /nfs/dbraw/zinc/86/15/26/851861526.db2.gz KWWLVSKDIGTOLW-UHFFFAOYSA-N 1 2 307.357 1.239 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)nc1 ZINC001274192578 852020021 /nfs/dbraw/zinc/02/00/21/852020021.db2.gz CXHZFFRHITVDCL-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)nc1 ZINC001274192578 852020026 /nfs/dbraw/zinc/02/00/26/852020026.db2.gz CXHZFFRHITVDCL-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2ncccc2Cl)C1=O ZINC001274246158 852089537 /nfs/dbraw/zinc/08/95/37/852089537.db2.gz HEMKVTQHZBKAMI-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2ncccc2Cl)C1=O ZINC001274246158 852089551 /nfs/dbraw/zinc/08/95/51/852089551.db2.gz HEMKVTQHZBKAMI-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)CCCC3CC3)C2)CC1 ZINC001280692043 852405037 /nfs/dbraw/zinc/40/50/37/852405037.db2.gz NICVSPCZEUANST-GOSISDBHSA-N 1 2 317.477 1.809 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cnnn1C ZINC001275144294 852839167 /nfs/dbraw/zinc/83/91/67/852839167.db2.gz XGWSEIDRHWKTHR-AWEZNQCLSA-N 1 2 319.453 1.840 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1cnnn1C ZINC001275144294 852839170 /nfs/dbraw/zinc/83/91/70/852839170.db2.gz XGWSEIDRHWKTHR-AWEZNQCLSA-N 1 2 319.453 1.840 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2[nH]c(C)c(C(C)=O)c2C)CC1 ZINC001293712824 853075172 /nfs/dbraw/zinc/07/51/72/853075172.db2.gz KFWHJJDGAFQYJI-UHFFFAOYSA-N 1 2 301.390 1.615 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2[nH]c(C)c(C(C)=O)c2C)CC1 ZINC001293712824 853075175 /nfs/dbraw/zinc/07/51/75/853075175.db2.gz KFWHJJDGAFQYJI-UHFFFAOYSA-N 1 2 301.390 1.615 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H](C)CN(C)C(=O)[C@H](C)C#N)c[nH+]1 ZINC001412670016 854916051 /nfs/dbraw/zinc/91/60/51/854916051.db2.gz GBUCTPOXZZNVHL-DGCLKSJQSA-N 1 2 319.409 1.049 20 30 DDEDLO CCCC[C@@H](CNCC#N)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001276256184 855067740 /nfs/dbraw/zinc/06/77/40/855067740.db2.gz VGSXHJIPVNPTCT-KGLIPLIRSA-N 1 2 303.410 1.234 20 30 DDEDLO C#CC[NH+]1CCC(CCOC)(NC(=O)C(F)C(F)(F)F)CC1 ZINC001151137728 862643106 /nfs/dbraw/zinc/64/31/06/862643106.db2.gz GMQXQTCXLPAWEX-LLVKDONJSA-N 1 2 324.318 1.507 20 30 DDEDLO C#CC[NH+]1CCC(CCOC)(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001151137728 862643121 /nfs/dbraw/zinc/64/31/21/862643121.db2.gz GMQXQTCXLPAWEX-LLVKDONJSA-N 1 2 324.318 1.507 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+](CC(=O)NCc3cccc(C#N)c3)C2)[nH]1 ZINC001413014301 855933533 /nfs/dbraw/zinc/93/35/33/855933533.db2.gz SVKJZBVHXCRCJR-OAHLLOKOSA-N 1 2 324.388 1.091 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+](CC(=O)NCc3cccc(C#N)c3)C2)[nH]1 ZINC001413014301 855933538 /nfs/dbraw/zinc/93/35/38/855933538.db2.gz SVKJZBVHXCRCJR-OAHLLOKOSA-N 1 2 324.388 1.091 20 30 DDEDLO C=CCN(C(=O)C(=O)NCc1c[nH+]c(C)cc1C)[C@H](C)COC ZINC001328179988 862750068 /nfs/dbraw/zinc/75/00/68/862750068.db2.gz IODAPBHAKYVRAU-CQSZACIVSA-N 1 2 319.405 1.364 20 30 DDEDLO Cc1nc(C[NH+]2CC([C@H](C)NC(=O)C#CC(C)C)C2)c(C)o1 ZINC001276376919 856302325 /nfs/dbraw/zinc/30/23/25/856302325.db2.gz QOLQRTWOQJDDDK-LBPRGKRZSA-N 1 2 303.406 1.887 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)[C@H](C)CNC(=O)C(=O)N1CC[C@H](C)C1 ZINC001156248174 862860041 /nfs/dbraw/zinc/86/00/41/862860041.db2.gz NYJPIIKEUFDOKA-WCQYABFASA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](CC)[C@H](C)CNC(=O)C(=O)N1CC[C@H](C)C1 ZINC001156248174 862860056 /nfs/dbraw/zinc/86/00/56/862860056.db2.gz NYJPIIKEUFDOKA-WCQYABFASA-N 1 2 315.845 1.434 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC3(C2)CC[N@H+](Cc2ccon2)C3)c1 ZINC001072968561 857946595 /nfs/dbraw/zinc/94/65/95/857946595.db2.gz RVKRQLRVLYUJBJ-UHFFFAOYSA-N 1 2 322.368 1.399 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC3(C2)CC[N@@H+](Cc2ccon2)C3)c1 ZINC001072968561 857946601 /nfs/dbraw/zinc/94/66/01/857946601.db2.gz RVKRQLRVLYUJBJ-UHFFFAOYSA-N 1 2 322.368 1.399 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(C)(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001073183568 858162955 /nfs/dbraw/zinc/16/29/55/858162955.db2.gz DVZVHDASWFJRHU-UHFFFAOYSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)C1C[NH+](CCCS(C)(=O)=O)C1 ZINC001276388102 858355626 /nfs/dbraw/zinc/35/56/26/858355626.db2.gz CGBIUUMTRLGSPG-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@H+](Cc2ccc(C#N)cc2F)CCCO1 ZINC001073501518 858387767 /nfs/dbraw/zinc/38/77/67/858387767.db2.gz LRDHAKNIHPABER-OAHLLOKOSA-N 1 2 305.353 1.424 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2F)CCCO1 ZINC001073501518 858387773 /nfs/dbraw/zinc/38/77/73/858387773.db2.gz LRDHAKNIHPABER-OAHLLOKOSA-N 1 2 305.353 1.424 20 30 DDEDLO C=C(C)CN(CC)c1nnc(C[N@@H+]2CCC[C@H](O)C2)n1CC ZINC001121766620 858591216 /nfs/dbraw/zinc/59/12/16/858591216.db2.gz TUXZVYIIPJGNRN-AWEZNQCLSA-N 1 2 307.442 1.657 20 30 DDEDLO C=C(C)CN(CC)c1nnc(C[N@H+]2CCC[C@H](O)C2)n1CC ZINC001121766620 858591217 /nfs/dbraw/zinc/59/12/17/858591217.db2.gz TUXZVYIIPJGNRN-AWEZNQCLSA-N 1 2 307.442 1.657 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1CC ZINC001121885954 858619646 /nfs/dbraw/zinc/61/96/46/858619646.db2.gz UMXNXSCNKCKDGZ-RRFJBIMHSA-N 1 2 317.437 1.682 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2C[N@H+]3CCCC[C@@H]3CO2)n1CC ZINC001121885954 858619652 /nfs/dbraw/zinc/61/96/52/858619652.db2.gz UMXNXSCNKCKDGZ-RRFJBIMHSA-N 1 2 317.437 1.682 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](CO)Nc2cc[nH+]c(C)n2)CC1 ZINC001122452785 858823587 /nfs/dbraw/zinc/82/35/87/858823587.db2.gz VBODKRKZWJETMD-AWEZNQCLSA-N 1 2 304.394 1.420 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H](Nc2cc[nH+]c(C)n2)C[C@@H]1C ZINC001123254240 859148715 /nfs/dbraw/zinc/14/87/15/859148715.db2.gz YHPPBIYUSFCSMS-JSGCOSHPSA-N 1 2 304.394 1.779 20 30 DDEDLO C=CCOCC(=O)NCC[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001124773262 859804486 /nfs/dbraw/zinc/80/44/86/859804486.db2.gz PRWNAMDXTNBGQF-NSHDSACASA-N 1 2 310.398 1.337 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H](C)OCCC(C)C ZINC001276986751 881479516 /nfs/dbraw/zinc/47/95/16/881479516.db2.gz ZSRUXWPTPUZYKY-CVEARBPZSA-N 1 2 310.438 1.278 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H](C)OCCC(C)C ZINC001276986751 881479528 /nfs/dbraw/zinc/47/95/28/881479528.db2.gz ZSRUXWPTPUZYKY-CVEARBPZSA-N 1 2 310.438 1.278 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)N(C)C)C2)c(C#N)c1 ZINC001137706983 859965923 /nfs/dbraw/zinc/96/59/23/859965923.db2.gz ZFWXMPRMXPOAGX-OAHLLOKOSA-N 1 2 316.405 1.802 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@@H](NC(=O)N(C)C)C2)c(C#N)c1 ZINC001137706983 859965929 /nfs/dbraw/zinc/96/59/29/859965929.db2.gz ZFWXMPRMXPOAGX-OAHLLOKOSA-N 1 2 316.405 1.802 20 30 DDEDLO C=CCN1CC[NH+](Cc2ccc(N3CCOCC3)nc2)CC1 ZINC001139433512 860399167 /nfs/dbraw/zinc/39/91/67/860399167.db2.gz CQRXZOPJVJCVTC-UHFFFAOYSA-N 1 2 302.422 1.222 20 30 DDEDLO CCc1nc(C[NH2+]C[C@@H](C2CC2)N(C)C(=O)[C@H](C)C#N)no1 ZINC001382008119 883164089 /nfs/dbraw/zinc/16/40/89/883164089.db2.gz HLLVGOSRYXWSGA-PWSUYJOCSA-N 1 2 305.382 1.118 20 30 DDEDLO N#Cc1cc(F)ccc1C[NH+]1CCC2(CC1)COCC(=O)N2 ZINC001141163961 860800824 /nfs/dbraw/zinc/80/08/24/860800824.db2.gz XHQAPEMTGBSXKH-UHFFFAOYSA-N 1 2 303.337 1.178 20 30 DDEDLO C=C[C@H](CC(=O)NCC[NH2+]Cc1nnc(C)o1)c1ccccc1 ZINC001151942338 863069191 /nfs/dbraw/zinc/06/91/91/863069191.db2.gz FOMFPLMALJRAIL-CQSZACIVSA-N 1 2 314.389 1.944 20 30 DDEDLO C=C[C@@H](C(=O)NCC[NH2+]Cc1nc(C)no1)c1ccccc1 ZINC001151991004 863095584 /nfs/dbraw/zinc/09/55/84/863095584.db2.gz VENWZSBFCZFINK-CQSZACIVSA-N 1 2 300.362 1.554 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@H+](CC)CC(=O)Nc1cc(C)no1 ZINC001152346176 863286642 /nfs/dbraw/zinc/28/66/42/863286642.db2.gz JFYXTKVNKCMHSU-CYBMUJFWSA-N 1 2 322.409 1.714 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@@H+](CC)CC(=O)Nc1cc(C)no1 ZINC001152346176 863286654 /nfs/dbraw/zinc/28/66/54/863286654.db2.gz JFYXTKVNKCMHSU-CYBMUJFWSA-N 1 2 322.409 1.714 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)[N@H+](C)CC(=O)N(C)c1nccs1 ZINC001329400363 863644888 /nfs/dbraw/zinc/64/48/88/863644888.db2.gz ZXSMWGPTSONKTK-LBPRGKRZSA-N 1 2 324.450 1.509 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)[N@@H+](C)CC(=O)N(C)c1nccs1 ZINC001329400363 863644894 /nfs/dbraw/zinc/64/48/94/863644894.db2.gz ZXSMWGPTSONKTK-LBPRGKRZSA-N 1 2 324.450 1.509 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2ccc(C)c(OC)c2)C1 ZINC001329680648 863787033 /nfs/dbraw/zinc/78/70/33/863787033.db2.gz UDNOJDGUOQTOOV-UHFFFAOYSA-N 1 2 318.417 1.746 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001329707188 863801992 /nfs/dbraw/zinc/80/19/92/863801992.db2.gz DVGDBFXRCZEOFM-UHFFFAOYSA-N 1 2 317.433 1.636 20 30 DDEDLO CCc1nc(C#N)c(C#N)nc1N[C@@H]1CCC[N@@H+](C2COC2)C1 ZINC001157587370 863958998 /nfs/dbraw/zinc/95/89/98/863958998.db2.gz HTPXASPGFJXPHU-LLVKDONJSA-N 1 2 312.377 1.057 20 30 DDEDLO CCc1nc(C#N)c(C#N)nc1N[C@@H]1CCC[N@H+](C2COC2)C1 ZINC001157587370 863959011 /nfs/dbraw/zinc/95/90/11/863959011.db2.gz HTPXASPGFJXPHU-LLVKDONJSA-N 1 2 312.377 1.057 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nncn3C)C[C@H]21 ZINC001330331369 864245618 /nfs/dbraw/zinc/24/56/18/864245618.db2.gz ZJFIZPJXOXETIF-ZJOBFFGXSA-N 1 2 317.437 1.211 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nncn3C)C[C@H]21 ZINC001330331369 864245631 /nfs/dbraw/zinc/24/56/31/864245631.db2.gz ZJFIZPJXOXETIF-ZJOBFFGXSA-N 1 2 317.437 1.211 20 30 DDEDLO Cc1nc(N2CC[C@@H]([NH+]3CCCC3)C(F)(F)C2)cnc1C#N ZINC001158623966 864763816 /nfs/dbraw/zinc/76/38/16/864763816.db2.gz TVDIDELGZTVCBG-CYBMUJFWSA-N 1 2 307.348 1.967 20 30 DDEDLO COc1ccc(C(=NO)Nc2cc(N(C)C)[nH+]c(C)n2)nc1 ZINC001158663056 864797268 /nfs/dbraw/zinc/79/72/68/864797268.db2.gz MHYCDHJLGZZDPT-UHFFFAOYSA-N 1 2 302.338 1.503 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](Cc1ccccc1)C(C)C ZINC001331445362 865091010 /nfs/dbraw/zinc/09/10/10/865091010.db2.gz ZTSVPZDHNLBEDA-JKSUJKDBSA-N 1 2 318.417 1.817 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)NC(C2CC2)(C2CC2)C1=O ZINC001319675804 866206731 /nfs/dbraw/zinc/20/67/31/866206731.db2.gz OBKIDKAYHPWGSS-UHFFFAOYSA-N 1 2 301.390 1.400 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)NC(C2CC2)(C2CC2)C1=O ZINC001319675804 866206747 /nfs/dbraw/zinc/20/67/47/866206747.db2.gz OBKIDKAYHPWGSS-UHFFFAOYSA-N 1 2 301.390 1.400 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001332960718 866291431 /nfs/dbraw/zinc/29/14/31/866291431.db2.gz MZFKDNVPSGVBSP-CYBMUJFWSA-N 1 2 319.453 1.744 20 30 DDEDLO N#C[C@H]1C[C@H]1C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC001361598656 881976360 /nfs/dbraw/zinc/97/63/60/881976360.db2.gz ZIAWJIOGAYNLAH-CHWSQXEVSA-N 1 2 302.403 1.411 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H](C)NC(=O)c2cccs2)C1 ZINC001323957958 866978182 /nfs/dbraw/zinc/97/81/82/866978182.db2.gz JQYFGEAXOXLJDS-LBPRGKRZSA-N 1 2 319.430 1.032 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)CC[NH2+][C@@H](C)c1nc(C)no1 ZINC001320722552 866981027 /nfs/dbraw/zinc/98/10/27/866981027.db2.gz NWBFYVSPMIFDAM-NHYWBVRUSA-N 1 2 310.398 1.204 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001333887015 867118627 /nfs/dbraw/zinc/11/86/27/867118627.db2.gz NPKKQSWMEPAAGB-STQMWFEESA-N 1 2 318.421 1.464 20 30 DDEDLO N#CC1(c2cc[nH+]c(NCCC(=O)N3CCOCC3)c2)CC1 ZINC001161976930 867156355 /nfs/dbraw/zinc/15/63/55/867156355.db2.gz JDKGSGZFVPPDLF-UHFFFAOYSA-N 1 2 300.362 1.298 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@H](NC(=O)C#CC(C)C)C2CCCC2)o1 ZINC001324468108 867293371 /nfs/dbraw/zinc/29/33/71/867293371.db2.gz JSLSKQBSJFWCFV-HNNXBMFYSA-N 1 2 318.421 1.802 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1C[N@H+](CCF)CCC1(F)F ZINC001325049093 867717871 /nfs/dbraw/zinc/71/78/71/867717871.db2.gz ABYZUYPCVOIXSI-VXGBXAGGSA-N 1 2 306.328 1.068 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1C[N@@H+](CCF)CCC1(F)F ZINC001325049093 867717881 /nfs/dbraw/zinc/71/78/81/867717881.db2.gz ABYZUYPCVOIXSI-VXGBXAGGSA-N 1 2 306.328 1.068 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](CN3CC4(CC4)CC3=O)C2)nc1 ZINC001325260251 867896414 /nfs/dbraw/zinc/89/64/14/867896414.db2.gz UXZIPRQQHWYJTD-CQSZACIVSA-N 1 2 312.373 1.376 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](CN3CC4(CC4)CC3=O)C2)nc1 ZINC001325260251 867896421 /nfs/dbraw/zinc/89/64/21/867896421.db2.gz UXZIPRQQHWYJTD-CQSZACIVSA-N 1 2 312.373 1.376 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)Cc2cc(C)ccc2F)C1 ZINC001325311603 867934596 /nfs/dbraw/zinc/93/45/96/867934596.db2.gz KUAIAXQKOZQQPD-UHFFFAOYSA-N 1 2 306.381 1.416 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1CN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001334959592 867949159 /nfs/dbraw/zinc/94/91/59/867949159.db2.gz ZSWQHWBSMNOEGU-CYBMUJFWSA-N 1 2 318.421 1.282 20 30 DDEDLO COc1cccc([C@H](C#N)NC(=O)[C@H](C)n2cc[nH+]c2)c1OC ZINC001322508838 868212292 /nfs/dbraw/zinc/21/22/92/868212292.db2.gz HYYRUFGVOYRPRG-AAEUAGOBSA-N 1 2 314.345 1.842 20 30 DDEDLO COc1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c(OC)c1OC ZINC001226030691 882170704 /nfs/dbraw/zinc/17/07/04/882170704.db2.gz WEWAAXCPVQBFEA-RBRDRRMGSA-N 1 2 321.373 1.704 20 30 DDEDLO COc1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c(OC)c1OC ZINC001226030691 882170710 /nfs/dbraw/zinc/17/07/10/882170710.db2.gz WEWAAXCPVQBFEA-RBRDRRMGSA-N 1 2 321.373 1.704 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1ccnc(NC(C)=O)c1 ZINC001381601217 882288839 /nfs/dbraw/zinc/28/88/39/882288839.db2.gz CSIKTSMFMYUVFB-NSHDSACASA-N 1 2 324.812 1.843 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1ccnc(NC(C)=O)c1 ZINC001381601217 882288854 /nfs/dbraw/zinc/28/88/54/882288854.db2.gz CSIKTSMFMYUVFB-NSHDSACASA-N 1 2 324.812 1.843 20 30 DDEDLO C=C[C@H](COC)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001338164751 869842797 /nfs/dbraw/zinc/84/27/97/869842797.db2.gz YCNMNEPZGHSFQM-CVEARBPZSA-N 1 2 303.406 1.753 20 30 DDEDLO C=C[C@H](COC)NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001338164751 869842809 /nfs/dbraw/zinc/84/28/09/869842809.db2.gz YCNMNEPZGHSFQM-CVEARBPZSA-N 1 2 303.406 1.753 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(F)ccc2F)C1 ZINC001316973296 870028579 /nfs/dbraw/zinc/02/85/79/870028579.db2.gz CWWUTKXVWAMYPU-CQSZACIVSA-N 1 2 310.344 1.858 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](Cc2cc(F)ccc2F)C1 ZINC001316973296 870028595 /nfs/dbraw/zinc/02/85/95/870028595.db2.gz CWWUTKXVWAMYPU-CQSZACIVSA-N 1 2 310.344 1.858 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2cc(F)ccc2F)C1 ZINC001316977580 870042330 /nfs/dbraw/zinc/04/23/30/870042330.db2.gz VFKKEQWIYJXRHM-YOEHRIQHSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2cc(F)ccc2F)C1 ZINC001316977580 870042335 /nfs/dbraw/zinc/04/23/35/870042335.db2.gz VFKKEQWIYJXRHM-YOEHRIQHSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2cc(F)ccc2F)C1 ZINC001316977577 870042352 /nfs/dbraw/zinc/04/23/52/870042352.db2.gz VFKKEQWIYJXRHM-RHSMWYFYSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2cc(F)ccc2F)C1 ZINC001316977577 870042356 /nfs/dbraw/zinc/04/23/56/870042356.db2.gz VFKKEQWIYJXRHM-RHSMWYFYSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CC[N@H+](CCCS(C)(=O)=O)C1 ZINC001316986957 870075469 /nfs/dbraw/zinc/07/54/69/870075469.db2.gz UMDFSWUEBAHYFX-UONOGXRCSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CC[N@@H+](CCCS(C)(=O)=O)C1 ZINC001316986957 870075485 /nfs/dbraw/zinc/07/54/85/870075485.db2.gz UMDFSWUEBAHYFX-UONOGXRCSA-N 1 2 316.467 1.214 20 30 DDEDLO CC#CC[NH2+][C@H]1C[C@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001317083812 870220627 /nfs/dbraw/zinc/22/06/27/870220627.db2.gz PVYZRUGMCRBWIC-SHTZXODSSA-N 1 2 309.373 1.345 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCCC1(C[NH2+]Cc2nnn(C)n2)CC1 ZINC001166756364 870224578 /nfs/dbraw/zinc/22/45/78/870224578.db2.gz VCGSJGPOPNFISC-ZDUSSCGKSA-N 1 2 320.441 1.189 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncnc4cc(F)ccc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226390892 882402405 /nfs/dbraw/zinc/40/24/05/882402405.db2.gz KMHGJTWYWCYJRN-BSRWDCPYSA-N 1 2 301.321 1.760 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncnc4cc(F)ccc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226390892 882402413 /nfs/dbraw/zinc/40/24/13/882402413.db2.gz KMHGJTWYWCYJRN-BSRWDCPYSA-N 1 2 301.321 1.760 20 30 DDEDLO C=CCN(c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC)C1CC1 ZINC001339352319 870456097 /nfs/dbraw/zinc/45/60/97/870456097.db2.gz APXACSGJRLEIOO-XJKSGUPXSA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCN(c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC)C1CC1 ZINC001339352319 870456110 /nfs/dbraw/zinc/45/61/10/870456110.db2.gz APXACSGJRLEIOO-XJKSGUPXSA-N 1 2 305.426 1.364 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001298629923 870651547 /nfs/dbraw/zinc/65/15/47/870651547.db2.gz VQBYUNLYKPRZCU-KGLIPLIRSA-N 1 2 318.421 1.423 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)C[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001339853048 870736438 /nfs/dbraw/zinc/73/64/38/870736438.db2.gz VTVIACZJINZMKO-QWHCGFSZSA-N 1 2 306.410 1.708 20 30 DDEDLO CN(C)CC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2)C1 ZINC001203906783 870828277 /nfs/dbraw/zinc/82/82/77/870828277.db2.gz ZIDIIYFFBCPPTG-MRXNPFEDSA-N 1 2 300.406 1.200 20 30 DDEDLO CN(C)CC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001203906783 870828282 /nfs/dbraw/zinc/82/82/82/870828282.db2.gz ZIDIIYFFBCPPTG-MRXNPFEDSA-N 1 2 300.406 1.200 20 30 DDEDLO C[NH+](C)CC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001203906783 870828288 /nfs/dbraw/zinc/82/82/88/870828288.db2.gz ZIDIIYFFBCPPTG-MRXNPFEDSA-N 1 2 300.406 1.200 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001317527807 870999471 /nfs/dbraw/zinc/99/94/71/870999471.db2.gz PBBCEGOOEMDNFH-ZDUSSCGKSA-N 1 2 305.426 1.448 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+](Cc2cnn(CC)n2)C1 ZINC001317527807 870999478 /nfs/dbraw/zinc/99/94/78/870999478.db2.gz PBBCEGOOEMDNFH-ZDUSSCGKSA-N 1 2 305.426 1.448 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC2(CCC2)C1 ZINC001340375460 871067153 /nfs/dbraw/zinc/06/71/53/871067153.db2.gz SIXAVMMZGBZQTC-UONOGXRCSA-N 1 2 317.437 1.582 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC2(CCC2)C1 ZINC001340375460 871067168 /nfs/dbraw/zinc/06/71/68/871067168.db2.gz SIXAVMMZGBZQTC-UONOGXRCSA-N 1 2 317.437 1.582 20 30 DDEDLO C#CCCN(CCOC)c1nnc([C@H]2CCCC[N@@H+]2C)n1CC ZINC001340413680 871089424 /nfs/dbraw/zinc/08/94/24/871089424.db2.gz PPBJAQSSSBHCOM-OAHLLOKOSA-N 1 2 319.453 1.931 20 30 DDEDLO C#CCCN(CCOC)c1nnc([C@H]2CCCC[N@H+]2C)n1CC ZINC001340413680 871089437 /nfs/dbraw/zinc/08/94/37/871089437.db2.gz PPBJAQSSSBHCOM-OAHLLOKOSA-N 1 2 319.453 1.931 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@H+](C)CC(=O)NCc1ccccc1 ZINC001317443414 871328531 /nfs/dbraw/zinc/32/85/31/871328531.db2.gz KEJIQJSQERENOY-UHFFFAOYSA-N 1 2 315.417 1.010 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@@H+](C)CC(=O)NCc1ccccc1 ZINC001317443414 871328551 /nfs/dbraw/zinc/32/85/51/871328551.db2.gz KEJIQJSQERENOY-UHFFFAOYSA-N 1 2 315.417 1.010 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)NCCCCn2cc[nH+]c2)CC1 ZINC001340832765 871377290 /nfs/dbraw/zinc/37/72/90/871377290.db2.gz UEGIAJROHMOZIL-UHFFFAOYSA-N 1 2 304.394 1.204 20 30 DDEDLO CCC#C[C@@H](C)[NH+]1CCN([C@H](C)C(=O)NC2CCCC2)CC1 ZINC001307462113 871436496 /nfs/dbraw/zinc/43/64/96/871436496.db2.gz RJYZCQHARFLGAS-HZPDHXFCSA-N 1 2 305.466 1.853 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[C@@H]1CC[N@H+](Cc2csnn2)C1 ZINC001317783509 871497917 /nfs/dbraw/zinc/49/79/17/871497917.db2.gz YEFUKBMRTZEGAE-CYBMUJFWSA-N 1 2 320.462 1.916 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[C@@H]1CC[N@@H+](Cc2csnn2)C1 ZINC001317783509 871497927 /nfs/dbraw/zinc/49/79/27/871497927.db2.gz YEFUKBMRTZEGAE-CYBMUJFWSA-N 1 2 320.462 1.916 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCCCC)n2CCOC)CC1 ZINC001341236449 871569584 /nfs/dbraw/zinc/56/95/84/871569584.db2.gz OPNAGOLBUMRJQU-UHFFFAOYSA-N 1 2 319.453 1.412 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)CCOC[C@H]2CCCO2)C1 ZINC001318137308 871774030 /nfs/dbraw/zinc/77/40/30/871774030.db2.gz YIISYSAWYLHEFG-CQSZACIVSA-N 1 2 316.829 1.373 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@H]2CC3CCC2CC3)C1 ZINC001318176100 871794292 /nfs/dbraw/zinc/79/42/92/871794292.db2.gz JEXZGHREEXGUQE-BCLQGDPASA-N 1 2 319.449 1.163 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccn1C(C)C ZINC001318228488 871851078 /nfs/dbraw/zinc/85/10/78/871851078.db2.gz LJOHQEFEYHQBAZ-HOTGVXAUSA-N 1 2 315.417 1.618 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccn1C(C)C ZINC001318228488 871851095 /nfs/dbraw/zinc/85/10/95/871851095.db2.gz LJOHQEFEYHQBAZ-HOTGVXAUSA-N 1 2 315.417 1.618 20 30 DDEDLO COCC(=O)N[C@H]1CC[N@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001318232682 871856641 /nfs/dbraw/zinc/85/66/41/871856641.db2.gz KEDIQYIKHUSJGD-HNNXBMFYSA-N 1 2 306.793 1.528 20 30 DDEDLO COCC(=O)N[C@H]1CC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001318232682 871856663 /nfs/dbraw/zinc/85/66/63/871856663.db2.gz KEDIQYIKHUSJGD-HNNXBMFYSA-N 1 2 306.793 1.528 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H](CC)CCCC)C1 ZINC001318235609 871860476 /nfs/dbraw/zinc/86/04/76/871860476.db2.gz LNUFFFAKUVOMKL-CABCVRRESA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H](CC)CCCC)C1 ZINC001318235609 871860491 /nfs/dbraw/zinc/86/04/91/871860491.db2.gz LNUFFFAKUVOMKL-CABCVRRESA-N 1 2 307.438 1.143 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001318282091 871903357 /nfs/dbraw/zinc/90/33/57/871903357.db2.gz QVHZUOIRDHWLIP-HIFRSBDPSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001318282091 871903375 /nfs/dbraw/zinc/90/33/75/871903375.db2.gz QVHZUOIRDHWLIP-HIFRSBDPSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)sn1)O2 ZINC001315479894 871916072 /nfs/dbraw/zinc/91/60/72/871916072.db2.gz QLQWDUDXXDBLLF-LBPRGKRZSA-N 1 2 307.419 1.601 20 30 DDEDLO CCCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)[C@H]1C ZINC001316783882 872041041 /nfs/dbraw/zinc/04/10/41/872041041.db2.gz YGSLSDMQBJNJFV-KGLIPLIRSA-N 1 2 307.438 1.141 20 30 DDEDLO CCCNC(=O)C[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)[C@H]1C ZINC001316783882 872041061 /nfs/dbraw/zinc/04/10/61/872041061.db2.gz YGSLSDMQBJNJFV-KGLIPLIRSA-N 1 2 307.438 1.141 20 30 DDEDLO CCC[C@@H](C)CC(=O)N(CC)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318456945 872068237 /nfs/dbraw/zinc/06/82/37/872068237.db2.gz FQKXYUFSFGBQJF-HUUCEWRRSA-N 1 2 322.453 1.375 20 30 DDEDLO CCC[C@@H](C)CC(=O)N(CC)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318456945 872068259 /nfs/dbraw/zinc/06/82/59/872068259.db2.gz FQKXYUFSFGBQJF-HUUCEWRRSA-N 1 2 322.453 1.375 20 30 DDEDLO Cc1nnc(C[N@@H+](C)CCCNC(=O)[C@H](C)C#N)n1C1CC1 ZINC001316816245 872101823 /nfs/dbraw/zinc/10/18/23/872101823.db2.gz ZNHUXGKLJRCATA-LLVKDONJSA-N 1 2 304.398 1.019 20 30 DDEDLO Cc1nnc(C[N@H+](C)CCCNC(=O)[C@H](C)C#N)n1C1CC1 ZINC001316816245 872101836 /nfs/dbraw/zinc/10/18/36/872101836.db2.gz ZNHUXGKLJRCATA-LLVKDONJSA-N 1 2 304.398 1.019 20 30 DDEDLO C[C@H](c1nc(C2CC2)no1)[N@H+](C)CCCNC(=O)C#CC1CC1 ZINC001316824024 872119420 /nfs/dbraw/zinc/11/94/20/872119420.db2.gz SJWAOCPUYFUMRH-GFCCVEGCSA-N 1 2 316.405 1.860 20 30 DDEDLO C[C@H](c1nc(C2CC2)no1)[N@@H+](C)CCCNC(=O)C#CC1CC1 ZINC001316824024 872119424 /nfs/dbraw/zinc/11/94/24/872119424.db2.gz SJWAOCPUYFUMRH-GFCCVEGCSA-N 1 2 316.405 1.860 20 30 DDEDLO C=CC[C@@H](NC(=O)NCC[N@H+]1CCOC[C@H]1C)c1ccncc1 ZINC001318521546 872127906 /nfs/dbraw/zinc/12/79/06/872127906.db2.gz BZIJHVKVCPNWLH-GDBMZVCRSA-N 1 2 318.421 1.719 20 30 DDEDLO C=CC[C@@H](NC(=O)NCC[N@@H+]1CCOC[C@H]1C)c1ccncc1 ZINC001318521546 872127919 /nfs/dbraw/zinc/12/79/19/872127919.db2.gz BZIJHVKVCPNWLH-GDBMZVCRSA-N 1 2 318.421 1.719 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](CCCNC(=O)[C@@H](C)C#N)C2CC2)n1 ZINC001316914771 872349560 /nfs/dbraw/zinc/34/95/60/872349560.db2.gz RQTSNKFYTTWZLI-QWRGUYRKSA-N 1 2 305.382 1.569 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](CCCNC(=O)[C@@H](C)C#N)C2CC2)n1 ZINC001316914771 872349578 /nfs/dbraw/zinc/34/95/78/872349578.db2.gz RQTSNKFYTTWZLI-QWRGUYRKSA-N 1 2 305.382 1.569 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)Cc2cccc(OCC)n2)C1 ZINC001319319870 872569619 /nfs/dbraw/zinc/56/96/19/872569619.db2.gz WTBLNPKFARQBOD-OAHLLOKOSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)Cc2cccc(OCC)n2)C1 ZINC001319319870 872569629 /nfs/dbraw/zinc/56/96/29/872569629.db2.gz WTBLNPKFARQBOD-OAHLLOKOSA-N 1 2 319.405 1.026 20 30 DDEDLO C=C(C)Cn1c(C(F)F)nnc1N(C)CC[NH+]1CCOCC1 ZINC001343612551 872686754 /nfs/dbraw/zinc/68/67/54/872686754.db2.gz IYSIITFBLBVYEN-UHFFFAOYSA-N 1 2 315.368 1.560 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2nn(CC)nc2C)C[C@H]1C ZINC001206913657 872756387 /nfs/dbraw/zinc/75/63/87/872756387.db2.gz SWKBVSOTUWUDQI-UKRRQHHQSA-N 1 2 317.437 1.346 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2nn(CC)nc2C)C[C@H]1C ZINC001206913657 872756399 /nfs/dbraw/zinc/75/63/99/872756399.db2.gz SWKBVSOTUWUDQI-UKRRQHHQSA-N 1 2 317.437 1.346 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccoc2CC)C1 ZINC001206923715 872775145 /nfs/dbraw/zinc/77/51/45/872775145.db2.gz PNRRFDHVBFTGPM-TZMCWYRMSA-N 1 2 319.405 1.194 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccoc2CC)C1 ZINC001206923715 872775156 /nfs/dbraw/zinc/77/51/56/872775156.db2.gz PNRRFDHVBFTGPM-TZMCWYRMSA-N 1 2 319.405 1.194 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nncn2C)C[C@H]1C ZINC001207215645 873127725 /nfs/dbraw/zinc/12/77/25/873127725.db2.gz MXGJLBFWDGIHFC-MGPQQGTHSA-N 1 2 305.426 1.669 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nncn2C)C[C@H]1C ZINC001207215645 873127729 /nfs/dbraw/zinc/12/77/29/873127729.db2.gz MXGJLBFWDGIHFC-MGPQQGTHSA-N 1 2 305.426 1.669 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001207943725 873677556 /nfs/dbraw/zinc/67/75/56/873677556.db2.gz DLNPHZNZVFGKRU-CQSZACIVSA-N 1 2 316.405 1.831 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NCc1cc[nH+]c(NC)c1 ZINC001346439728 873755075 /nfs/dbraw/zinc/75/50/75/873755075.db2.gz OCQAIWUYOLVABY-ZFWWWQNUSA-N 1 2 304.394 1.904 20 30 DDEDLO CC(C)OCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001378102334 874149522 /nfs/dbraw/zinc/14/95/22/874149522.db2.gz PUGGADWYGXCCTG-OAHLLOKOSA-N 1 2 319.380 1.813 20 30 DDEDLO CC(C)OCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001378102334 874149528 /nfs/dbraw/zinc/14/95/28/874149528.db2.gz PUGGADWYGXCCTG-OAHLLOKOSA-N 1 2 319.380 1.813 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+](Cc2ncc(C)cn2)CC1 ZINC001227123579 882852225 /nfs/dbraw/zinc/85/22/25/882852225.db2.gz FOVNOUOXSNOSCM-QGZVFWFLSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+](Cc2ccn(CC)n2)CC1 ZINC001227124683 882855848 /nfs/dbraw/zinc/85/58/48/882855848.db2.gz XDKIBMNPLFAMKC-QGZVFWFLSA-N 1 2 320.437 1.311 20 30 DDEDLO C=C[C@H](COC)[NH2+]Cc1cn(Cc2ccc(OC)cc2)nn1 ZINC001348903227 874865834 /nfs/dbraw/zinc/86/58/34/874865834.db2.gz PCLGTRJARJGTHB-CQSZACIVSA-N 1 2 302.378 1.626 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001349238762 875054023 /nfs/dbraw/zinc/05/40/23/875054023.db2.gz SLFKZXMLBROZPS-CYBMUJFWSA-N 1 2 318.421 1.280 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1[C@H]2C[N@H+]([C@H](C)c3csnn3)C[C@H]21 ZINC001276670947 875093867 /nfs/dbraw/zinc/09/38/67/875093867.db2.gz USRYSGNVMQXQHN-SYQHCUMBSA-N 1 2 318.446 1.553 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3csnn3)C[C@H]21 ZINC001276670947 875093874 /nfs/dbraw/zinc/09/38/74/875093874.db2.gz USRYSGNVMQXQHN-SYQHCUMBSA-N 1 2 318.446 1.553 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1Cc1cc(OC(F)(F)F)ccc1N ZINC001210123070 875234918 /nfs/dbraw/zinc/23/49/18/875234918.db2.gz YGMPHUKSTLBTMY-JTQLQIEISA-N 1 2 300.284 1.465 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]([NH2+]Cc2csnn2)C1 ZINC001211355915 875767688 /nfs/dbraw/zinc/76/76/88/875767688.db2.gz YLNMAKWPDQGOJF-LBPRGKRZSA-N 1 2 310.423 1.211 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1csnn1)C1CCCCC1 ZINC001378883482 875840637 /nfs/dbraw/zinc/84/06/37/875840637.db2.gz KKNXRBQHDJCIAI-RISCZKNCSA-N 1 2 321.450 1.852 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213317252 875894478 /nfs/dbraw/zinc/89/44/78/875894478.db2.gz BYGANRZMVXXXKE-APHBMKBZSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213317252 875894491 /nfs/dbraw/zinc/89/44/91/875894491.db2.gz BYGANRZMVXXXKE-APHBMKBZSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213350054 875903317 /nfs/dbraw/zinc/90/33/17/875903317.db2.gz DZQJANUWBMXMGA-HUUCEWRRSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213350054 875903326 /nfs/dbraw/zinc/90/33/26/875903326.db2.gz DZQJANUWBMXMGA-HUUCEWRRSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cncn2C)C[C@H]1OC ZINC001213350571 875903500 /nfs/dbraw/zinc/90/35/00/875903500.db2.gz VOKKSGQXUYCEQG-HUUCEWRRSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cncn2C)C[C@H]1OC ZINC001213350571 875903511 /nfs/dbraw/zinc/90/35/11/875903511.db2.gz VOKKSGQXUYCEQG-HUUCEWRRSA-N 1 2 306.410 1.092 20 30 DDEDLO CCC[C@H](C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC)C(C)C ZINC001213508740 875958526 /nfs/dbraw/zinc/95/85/26/875958526.db2.gz BZDVGPXTYPUFPI-GVDBMIGSSA-N 1 2 324.465 1.524 20 30 DDEDLO CCC[C@H](C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC)C(C)C ZINC001213508740 875958544 /nfs/dbraw/zinc/95/85/44/875958544.db2.gz BZDVGPXTYPUFPI-GVDBMIGSSA-N 1 2 324.465 1.524 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc4occc4[nH]3)n2C)CC1 ZINC001351019230 876017373 /nfs/dbraw/zinc/01/73/73/876017373.db2.gz KILVMLQZLJFZQU-UHFFFAOYSA-N 1 2 310.361 1.312 20 30 DDEDLO CCCCCCC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213806904 876064896 /nfs/dbraw/zinc/06/48/96/876064896.db2.gz MYVAFKIIMLJNOE-HZPDHXFCSA-N 1 2 310.438 1.422 20 30 DDEDLO CCCCCCC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213806904 876064915 /nfs/dbraw/zinc/06/49/15/876064915.db2.gz MYVAFKIIMLJNOE-HZPDHXFCSA-N 1 2 310.438 1.422 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC001214304048 876270100 /nfs/dbraw/zinc/27/01/00/876270100.db2.gz HIOYEOMJTAIBSY-TZMCWYRMSA-N 1 2 318.421 1.925 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)C1CC(C)(C)C1 ZINC001351606542 876346477 /nfs/dbraw/zinc/34/64/77/876346477.db2.gz ZOABCDQSDMHECE-ZIAGYGMSSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)C1CC(C)(C)C1 ZINC001351606542 876346487 /nfs/dbraw/zinc/34/64/87/876346487.db2.gz ZOABCDQSDMHECE-ZIAGYGMSSA-N 1 2 319.453 1.826 20 30 DDEDLO C=C1CC(C)(C(=O)NCCCN(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001351883578 876500779 /nfs/dbraw/zinc/50/07/79/876500779.db2.gz QFPGPCYEMFBVBL-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C1CC(C)(C(=O)NCCCN(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001351883578 876500791 /nfs/dbraw/zinc/50/07/91/876500791.db2.gz QFPGPCYEMFBVBL-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCn1c(N(C)CC(C)(C)C)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001352062680 876580794 /nfs/dbraw/zinc/58/07/94/876580794.db2.gz KXJYGPYFYVJOQM-GUYCJALGSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(C)CC(C)(C)C)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001352062680 876580797 /nfs/dbraw/zinc/58/07/97/876580797.db2.gz KXJYGPYFYVJOQM-GUYCJALGSA-N 1 2 321.469 1.858 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)OCCCC)[C@H]2C1 ZINC001217469548 877205594 /nfs/dbraw/zinc/20/55/94/877205594.db2.gz QMWKWMFGUJFMIC-OWCLPIDISA-N 1 2 308.422 1.127 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)OCCCC)[C@H]2C1 ZINC001217469548 877205604 /nfs/dbraw/zinc/20/56/04/877205604.db2.gz QMWKWMFGUJFMIC-OWCLPIDISA-N 1 2 308.422 1.127 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001353487201 877367072 /nfs/dbraw/zinc/36/70/72/877367072.db2.gz CDLYIFXIGRLGAB-ZDUSSCGKSA-N 1 2 304.394 1.334 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccnn3C)C[C@@H]21 ZINC001218037116 877377223 /nfs/dbraw/zinc/37/72/23/877377223.db2.gz HYBWHIXZWPKDGY-JKSUJKDBSA-N 1 2 318.421 1.188 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@H+](Cc3ccnn3C)C[C@@H]21 ZINC001218037116 877377238 /nfs/dbraw/zinc/37/72/38/877377238.db2.gz HYBWHIXZWPKDGY-JKSUJKDBSA-N 1 2 318.421 1.188 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](OCC)C3CCCC3)[C@H]2C1 ZINC001218388579 877470923 /nfs/dbraw/zinc/47/09/23/877470923.db2.gz HJHMXJIKTHETEO-GVDBMIGSSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](OCC)C3CCCC3)[C@H]2C1 ZINC001218388579 877470926 /nfs/dbraw/zinc/47/09/26/877470926.db2.gz HJHMXJIKTHETEO-GVDBMIGSSA-N 1 2 320.433 1.127 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@@H](C)NC(=O)c2ccc(C#N)[nH]2)oc1C ZINC001379636456 877604299 /nfs/dbraw/zinc/60/42/99/877604299.db2.gz BWDBSYVNJDNRSG-SNVBAGLBSA-N 1 2 315.377 1.742 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@@H](C)NC(=O)c2ccc(C#N)[nH]2)oc1C ZINC001379636456 877604304 /nfs/dbraw/zinc/60/43/04/877604304.db2.gz BWDBSYVNJDNRSG-SNVBAGLBSA-N 1 2 315.377 1.742 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)C(=O)Nc1ccc(CCC#N)cc1 ZINC001354320086 877881298 /nfs/dbraw/zinc/88/12/98/877881298.db2.gz FFRXFCMXZCZNMT-UHFFFAOYSA-N 1 2 311.345 1.131 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001354457030 877993186 /nfs/dbraw/zinc/99/31/86/877993186.db2.gz YEPHLCYBFJLHFU-BFHYXJOUSA-N 1 2 318.421 1.501 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)C)n2CCCOC)CC1 ZINC001300682736 878132457 /nfs/dbraw/zinc/13/24/57/878132457.db2.gz PYAAJASDIMWOGF-UHFFFAOYSA-N 1 2 305.426 1.193 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CN(C)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC001287617341 912353734 /nfs/dbraw/zinc/35/37/34/912353734.db2.gz STTGPLMBJULKNV-KGLIPLIRSA-N 1 2 306.410 1.764 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@H]2C[C@@H](O)C[N@@H+]2C)n1C ZINC001355680990 878676209 /nfs/dbraw/zinc/67/62/09/878676209.db2.gz KYQRRSNQENRQTL-ZIAGYGMSSA-N 1 2 307.442 1.735 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@H]2C[C@@H](O)C[N@H+]2C)n1C ZINC001355680990 878676216 /nfs/dbraw/zinc/67/62/16/878676216.db2.gz KYQRRSNQENRQTL-ZIAGYGMSSA-N 1 2 307.442 1.735 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)CCCF)[C@@H](O)C2)cc1F ZINC001220160862 878762067 /nfs/dbraw/zinc/76/20/67/878762067.db2.gz KCXSFCSCMMZDGH-CABCVRRESA-N 1 2 323.343 1.108 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)CCCF)[C@@H](O)C2)cc1F ZINC001220160862 878762081 /nfs/dbraw/zinc/76/20/81/878762081.db2.gz KCXSFCSCMMZDGH-CABCVRRESA-N 1 2 323.343 1.108 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cncs3)C[C@@H]2O)CC1 ZINC001220289768 878887739 /nfs/dbraw/zinc/88/77/39/878887739.db2.gz CROSANOEMFXRDM-KGLIPLIRSA-N 1 2 321.446 1.551 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3cncs3)C[C@@H]2O)CC1 ZINC001220289768 878887750 /nfs/dbraw/zinc/88/77/50/878887750.db2.gz CROSANOEMFXRDM-KGLIPLIRSA-N 1 2 321.446 1.551 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N(C)CCNC(C)=O ZINC001356211270 878921639 /nfs/dbraw/zinc/92/16/39/878921639.db2.gz YQRQWBSYTZDTBA-CQSZACIVSA-N 1 2 320.441 1.193 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N(C)CCNC(C)=O ZINC001356211270 878921646 /nfs/dbraw/zinc/92/16/46/878921646.db2.gz YQRQWBSYTZDTBA-CQSZACIVSA-N 1 2 320.441 1.193 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220434517 879007760 /nfs/dbraw/zinc/00/77/60/879007760.db2.gz NJXKIPUOAQQQGA-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220434517 879007771 /nfs/dbraw/zinc/00/77/71/879007771.db2.gz NJXKIPUOAQQQGA-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](CC)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001356928619 879596911 /nfs/dbraw/zinc/59/69/11/879596911.db2.gz IYSGDPRFASRQGN-BLLLJJGKSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001357252642 879877203 /nfs/dbraw/zinc/87/72/03/879877203.db2.gz ZWSAQUXVUNEFSU-ZIAGYGMSSA-N 1 2 320.437 1.717 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001357252642 879877213 /nfs/dbraw/zinc/87/72/13/879877213.db2.gz ZWSAQUXVUNEFSU-ZIAGYGMSSA-N 1 2 320.437 1.717 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCC)C[C@H]21 ZINC001221759107 880059650 /nfs/dbraw/zinc/05/96/50/880059650.db2.gz OGAADAJUROOCMT-ARFHVFGLSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCC)C[C@H]21 ZINC001221759107 880059665 /nfs/dbraw/zinc/05/96/65/880059665.db2.gz OGAADAJUROOCMT-ARFHVFGLSA-N 1 2 321.465 1.648 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C\CNC(=O)c1cccc2[nH+]ccn21 ZINC001357804592 880206268 /nfs/dbraw/zinc/20/62/68/880206268.db2.gz WXXLVNUAOREKQL-ARJAWSKDSA-N 1 2 324.384 1.396 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)C(C)C)n2CC(C)C)CC1 ZINC001357908492 880247249 /nfs/dbraw/zinc/24/72/49/880247249.db2.gz PQFACNFVMFMEBQ-OAHLLOKOSA-N 1 2 319.453 1.379 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001358094600 880325804 /nfs/dbraw/zinc/32/58/04/880325804.db2.gz NYADOKHPYOYEJY-NEPJUHHUSA-N 1 2 304.394 1.417 20 30 DDEDLO C=CCOCC(=O)NCC1CC[NH+]([C@H](C)c2nnc(C)[nH]2)CC1 ZINC001222618862 880603825 /nfs/dbraw/zinc/60/38/25/880603825.db2.gz FMAYIEOWTSRCRK-GFCCVEGCSA-N 1 2 321.425 1.205 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[N@H+](Cc3cnon3)[C@H]2C1 ZINC001222651590 880621206 /nfs/dbraw/zinc/62/12/06/880621206.db2.gz FYCSGLKISPYORO-HIFRSBDPSA-N 1 2 302.378 1.152 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnon3)[C@H]2C1 ZINC001222651590 880621210 /nfs/dbraw/zinc/62/12/10/880621210.db2.gz FYCSGLKISPYORO-HIFRSBDPSA-N 1 2 302.378 1.152 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]1CNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001358618026 880630373 /nfs/dbraw/zinc/63/03/73/880630373.db2.gz CFTSEGRNNYIPPL-ZIAGYGMSSA-N 1 2 316.405 1.129 20 30 DDEDLO CN(CCC[N@H+](C)Cc1nccs1)C(=O)c1ccc(C#N)[nH]1 ZINC001380948709 880723529 /nfs/dbraw/zinc/72/35/29/880723529.db2.gz GMRKSGLERGPQJK-UHFFFAOYSA-N 1 2 317.418 1.937 20 30 DDEDLO CN(CCC[N@@H+](C)Cc1nccs1)C(=O)c1ccc(C#N)[nH]1 ZINC001380948709 880723538 /nfs/dbraw/zinc/72/35/38/880723538.db2.gz GMRKSGLERGPQJK-UHFFFAOYSA-N 1 2 317.418 1.937 20 30 DDEDLO COCc1nc(C[N@H+](C)CCCN(C)C(=O)[C@H](C)C#N)cs1 ZINC001380949794 880727114 /nfs/dbraw/zinc/72/71/14/880727114.db2.gz PSAZDIWQBZRKHG-GFCCVEGCSA-N 1 2 324.450 1.729 20 30 DDEDLO COCc1nc(C[N@@H+](C)CCCN(C)C(=O)[C@H](C)C#N)cs1 ZINC001380949794 880727120 /nfs/dbraw/zinc/72/71/20/880727120.db2.gz PSAZDIWQBZRKHG-GFCCVEGCSA-N 1 2 324.450 1.729 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001358746275 880846935 /nfs/dbraw/zinc/84/69/35/880846935.db2.gz OTBKHZQEUOUYDL-LBPRGKRZSA-N 1 2 304.394 1.224 20 30 DDEDLO C=CCCC(=O)N[C@]1(C)CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001358782010 880900229 /nfs/dbraw/zinc/90/02/29/880900229.db2.gz BUXWPVXPBUPCOX-MRXNPFEDSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCCC(=O)N[C@]1(C)CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001358782010 880900216 /nfs/dbraw/zinc/90/02/16/880900216.db2.gz BUXWPVXPBUPCOX-MRXNPFEDSA-N 1 2 304.394 1.416 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(C)c(F)c1 ZINC001276883935 880931248 /nfs/dbraw/zinc/93/12/48/880931248.db2.gz NZNZNVBTPHNRQN-HNNXBMFYSA-N 1 2 304.365 1.588 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(C)c(F)c1 ZINC001276883935 880931257 /nfs/dbraw/zinc/93/12/57/880931257.db2.gz NZNZNVBTPHNRQN-HNNXBMFYSA-N 1 2 304.365 1.588 20 30 DDEDLO C=CCCC(=O)N1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001358796813 880931913 /nfs/dbraw/zinc/93/19/13/880931913.db2.gz BTDVBHVOLGORDP-PBFPGSCMSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCCC(=O)N1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001358796813 880931925 /nfs/dbraw/zinc/93/19/25/880931925.db2.gz BTDVBHVOLGORDP-PBFPGSCMSA-N 1 2 316.405 1.587 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)CCCc1ccccc1 ZINC001276916441 881083472 /nfs/dbraw/zinc/08/34/72/881083472.db2.gz PAPXNGDNENMJTI-SFHVURJKSA-N 1 2 314.429 1.850 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)CCCc1ccccc1 ZINC001276916441 881083490 /nfs/dbraw/zinc/08/34/90/881083490.db2.gz PAPXNGDNENMJTI-SFHVURJKSA-N 1 2 314.429 1.850 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)cc(F)c1 ZINC001276930034 881140703 /nfs/dbraw/zinc/14/07/03/881140703.db2.gz CGCNZSFNDQHDLW-MRXNPFEDSA-N 1 2 304.365 1.588 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)cc(F)c1 ZINC001276930034 881140714 /nfs/dbraw/zinc/14/07/14/881140714.db2.gz CGCNZSFNDQHDLW-MRXNPFEDSA-N 1 2 304.365 1.588 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C(C1CC1)C1CC1 ZINC001276956153 881270392 /nfs/dbraw/zinc/27/03/92/881270392.db2.gz LIIASGSYNIEJFG-WFASDCNBSA-N 1 2 319.449 1.304 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C(C1CC1)C1CC1 ZINC001276956153 881270407 /nfs/dbraw/zinc/27/04/07/881270407.db2.gz LIIASGSYNIEJFG-WFASDCNBSA-N 1 2 319.449 1.304 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001288010420 912663231 /nfs/dbraw/zinc/66/32/31/912663231.db2.gz PQXXIUNXYJCCHG-GJZGRUSLSA-N 1 2 316.405 1.038 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1nnn(C)n1 ZINC001228123229 883347327 /nfs/dbraw/zinc/34/73/27/883347327.db2.gz LZIBOEPRQWMKNI-BFHYXJOUSA-N 1 2 320.441 1.329 20 30 DDEDLO CO[C@@H]1CC[N@H+](CCOc2cccc3c2C(=O)C=CC3=O)C1 ZINC001228472009 883512817 /nfs/dbraw/zinc/51/28/17/883512817.db2.gz AULXPZSMEFMGKU-GFCCVEGCSA-N 1 2 301.342 1.721 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CCOc2cccc3c2C(=O)C=CC3=O)C1 ZINC001228472009 883512825 /nfs/dbraw/zinc/51/28/25/883512825.db2.gz AULXPZSMEFMGKU-GFCCVEGCSA-N 1 2 301.342 1.721 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)Cc2c[nH+]cn2Cc2ccccc2)C1 ZINC001362359277 883640998 /nfs/dbraw/zinc/64/09/98/883640998.db2.gz XHDNTTWRGAEYGZ-KRWDZBQOSA-N 1 2 312.348 1.938 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001228747504 883651196 /nfs/dbraw/zinc/65/11/96/883651196.db2.gz BUVKMWFCCRDRHF-RYUDHWBXSA-N 1 2 306.410 1.917 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C(=O)C(C)(C)C ZINC001277332386 883684708 /nfs/dbraw/zinc/68/47/08/883684708.db2.gz DPSDKDFCCBZEFJ-CABCVRRESA-N 1 2 320.433 1.317 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C(=O)C(C)(C)C ZINC001277332386 883684722 /nfs/dbraw/zinc/68/47/22/883684722.db2.gz DPSDKDFCCBZEFJ-CABCVRRESA-N 1 2 320.433 1.317 20 30 DDEDLO COCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(OC)c(C#N)c1 ZINC001230539315 884555690 /nfs/dbraw/zinc/55/56/90/884555690.db2.gz SXTCSADJDJYAJU-OAHLLOKOSA-N 1 2 317.389 1.246 20 30 DDEDLO COCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(OC)c(C#N)c1 ZINC001230539315 884555702 /nfs/dbraw/zinc/55/57/02/884555702.db2.gz SXTCSADJDJYAJU-OAHLLOKOSA-N 1 2 317.389 1.246 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CCC1 ZINC001230557169 884579750 /nfs/dbraw/zinc/57/97/50/884579750.db2.gz RGCHVJSMQCJPEB-OAHLLOKOSA-N 1 2 320.437 1.081 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1CCC1 ZINC001230557169 884579755 /nfs/dbraw/zinc/57/97/55/884579755.db2.gz RGCHVJSMQCJPEB-OAHLLOKOSA-N 1 2 320.437 1.081 20 30 DDEDLO Cc1ncsc1C[N@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815005 884915953 /nfs/dbraw/zinc/91/59/53/884915953.db2.gz JODAQHDMNYGWLC-LBPRGKRZSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1ncsc1C[N@@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815005 884915972 /nfs/dbraw/zinc/91/59/72/884915972.db2.gz JODAQHDMNYGWLC-LBPRGKRZSA-N 1 2 324.475 1.741 20 30 DDEDLO COCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001230880747 885005401 /nfs/dbraw/zinc/00/54/01/885005401.db2.gz MBDIUJLBSSBKIS-GOSISDBHSA-N 1 2 314.429 1.997 20 30 DDEDLO COCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001230880747 885005409 /nfs/dbraw/zinc/00/54/09/885005409.db2.gz MBDIUJLBSSBKIS-GOSISDBHSA-N 1 2 314.429 1.997 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001230938237 885061252 /nfs/dbraw/zinc/06/12/52/885061252.db2.gz OBNILWKUNVAKMX-DOTOQJQBSA-N 1 2 300.402 1.606 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001230938237 885061262 /nfs/dbraw/zinc/06/12/62/885061262.db2.gz OBNILWKUNVAKMX-DOTOQJQBSA-N 1 2 300.402 1.606 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC001231246804 885426377 /nfs/dbraw/zinc/42/63/77/885426377.db2.gz IETIRHGZSDFBNL-SWLSCSKDSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC001231246804 885426385 /nfs/dbraw/zinc/42/63/85/885426385.db2.gz IETIRHGZSDFBNL-SWLSCSKDSA-N 1 2 314.364 1.890 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cncc(C)c1 ZINC001231376390 885570584 /nfs/dbraw/zinc/57/05/84/885570584.db2.gz CTXWGTKRIWIJCD-INIZCTEOSA-N 1 2 301.390 1.186 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cncc(C)c1 ZINC001231376390 885570588 /nfs/dbraw/zinc/57/05/88/885570588.db2.gz CTXWGTKRIWIJCD-INIZCTEOSA-N 1 2 301.390 1.186 20 30 DDEDLO C#CCCCCCC(=O)N(C)C1C[NH+](CCc2ccnn2C)C1 ZINC001277636378 886184737 /nfs/dbraw/zinc/18/47/37/886184737.db2.gz KRSDJPULEXHTJW-UHFFFAOYSA-N 1 2 316.449 1.689 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)CNC(=O)CCC2CCCC2)C1 ZINC001277671653 886425303 /nfs/dbraw/zinc/42/53/03/886425303.db2.gz YPKIHQYYPPLYJU-UHFFFAOYSA-N 1 2 321.465 1.792 20 30 DDEDLO Cc1ccc(C[N@@H+]2C[C@](O)(C(F)(F)F)C[C@H]2CO)c(C#N)c1 ZINC001232962240 886696088 /nfs/dbraw/zinc/69/60/88/886696088.db2.gz LFRRMWUXEPWWPJ-KBPBESRZSA-N 1 2 314.307 1.727 20 30 DDEDLO Cc1ccc(C[N@H+]2C[C@](O)(C(F)(F)F)C[C@H]2CO)c(C#N)c1 ZINC001232962240 886696098 /nfs/dbraw/zinc/69/60/98/886696098.db2.gz LFRRMWUXEPWWPJ-KBPBESRZSA-N 1 2 314.307 1.727 20 30 DDEDLO COC(=O)[C@H]1C[C@@H]2COC[C@H](C1)[N@@H+]2Cc1ccc(C#N)cc1O ZINC001233386780 886967184 /nfs/dbraw/zinc/96/71/84/886967184.db2.gz IOVSTLMIROSWKR-QDMKHBRRSA-N 1 2 316.357 1.416 20 30 DDEDLO COC(=O)[C@H]1C[C@@H]2COC[C@H](C1)[N@H+]2Cc1ccc(C#N)cc1O ZINC001233386780 886967192 /nfs/dbraw/zinc/96/71/92/886967192.db2.gz IOVSTLMIROSWKR-QDMKHBRRSA-N 1 2 316.357 1.416 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C(F)=C(C)C)C1=O ZINC001233653408 887189514 /nfs/dbraw/zinc/18/95/14/887189514.db2.gz LFAAIJCFGKGVMR-KGLIPLIRSA-N 1 2 323.412 1.569 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C(F)=C(C)C)C1=O ZINC001233653408 887189521 /nfs/dbraw/zinc/18/95/21/887189521.db2.gz LFAAIJCFGKGVMR-KGLIPLIRSA-N 1 2 323.412 1.569 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)[C@@H]2C1 ZINC001288962694 913130361 /nfs/dbraw/zinc/13/03/61/913130361.db2.gz BEJCIYPOAUUTEM-DZGCQCFKSA-N 1 2 316.405 1.368 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)[C@@H]2C1 ZINC001288962694 913130365 /nfs/dbraw/zinc/13/03/65/913130365.db2.gz BEJCIYPOAUUTEM-DZGCQCFKSA-N 1 2 316.405 1.368 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1CCCN(Cc2ccc(CC#N)cc2)CC1 ZINC001363835568 887352509 /nfs/dbraw/zinc/35/25/09/887352509.db2.gz ZMQRWWFWARKDOZ-UHFFFAOYSA-N 1 2 314.433 1.349 20 30 DDEDLO CN(C)C(=O)C[N@H+]1CCCN(Cc2ccc(CC#N)cc2)CC1 ZINC001363835568 887352520 /nfs/dbraw/zinc/35/25/20/887352520.db2.gz ZMQRWWFWARKDOZ-UHFFFAOYSA-N 1 2 314.433 1.349 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)cn1 ZINC001233937665 887475000 /nfs/dbraw/zinc/47/50/00/887475000.db2.gz PFWNHAQTPNUKOI-RDJZCZTQSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)cn1 ZINC001233937665 887475006 /nfs/dbraw/zinc/47/50/06/887475006.db2.gz PFWNHAQTPNUKOI-RDJZCZTQSA-N 1 2 318.421 1.145 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001233948255 887489715 /nfs/dbraw/zinc/48/97/15/887489715.db2.gz UCIUXYNVSNRCKR-JKSUJKDBSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001233948255 887489726 /nfs/dbraw/zinc/48/97/26/887489726.db2.gz UCIUXYNVSNRCKR-JKSUJKDBSA-N 1 2 321.465 1.483 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1)C(=O)CC(C)(C)O ZINC001234004136 887541988 /nfs/dbraw/zinc/54/19/88/887541988.db2.gz SMZSXKYFZKQXET-LBPRGKRZSA-N 1 2 321.446 1.813 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1)C(=O)CC(C)(C)O ZINC001234004136 887541995 /nfs/dbraw/zinc/54/19/95/887541995.db2.gz SMZSXKYFZKQXET-LBPRGKRZSA-N 1 2 321.446 1.813 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C1CC1 ZINC001234100427 887645266 /nfs/dbraw/zinc/64/52/66/887645266.db2.gz ZEMLLHQMLNXHRL-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C1CC1 ZINC001234100427 887645280 /nfs/dbraw/zinc/64/52/80/887645280.db2.gz ZEMLLHQMLNXHRL-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)s1 ZINC001234178442 887715423 /nfs/dbraw/zinc/71/54/23/887715423.db2.gz LTKPGVYEIOXSDJ-CQSZACIVSA-N 1 2 303.431 1.898 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)s1 ZINC001234178442 887715440 /nfs/dbraw/zinc/71/54/40/887715440.db2.gz LTKPGVYEIOXSDJ-CQSZACIVSA-N 1 2 303.431 1.898 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)s1 ZINC001234215831 887757902 /nfs/dbraw/zinc/75/79/02/887757902.db2.gz PPHAIEOXIJZHSH-TZMCWYRMSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)s1 ZINC001234215831 887757915 /nfs/dbraw/zinc/75/79/15/887757915.db2.gz PPHAIEOXIJZHSH-TZMCWYRMSA-N 1 2 321.446 1.523 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)CC1(C#N)CCCCC1 ZINC001364046541 887789647 /nfs/dbraw/zinc/78/96/47/887789647.db2.gz MTEVBPITTXUKRE-OKILXGFUSA-N 1 2 311.451 1.569 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)CC1(C#N)CCCCC1 ZINC001364046541 887789659 /nfs/dbraw/zinc/78/96/59/887789659.db2.gz MTEVBPITTXUKRE-OKILXGFUSA-N 1 2 311.451 1.569 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)Cc2ccc(CC#N)cc2)c[nH+]1 ZINC001364059457 887820205 /nfs/dbraw/zinc/82/02/05/887820205.db2.gz FLZPBXMDZARCNY-UHFFFAOYSA-N 1 2 318.402 1.658 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)Cc2ccc(CC#N)cc2)[nH+]1 ZINC001364059457 887820213 /nfs/dbraw/zinc/82/02/13/887820213.db2.gz FLZPBXMDZARCNY-UHFFFAOYSA-N 1 2 318.402 1.658 20 30 DDEDLO Cc1ccc(NC(=O)N2CC[C@@](O)(CC#N)C2)c(N(C)C)[nH+]1 ZINC001364319831 888372102 /nfs/dbraw/zinc/37/21/02/888372102.db2.gz BOIAFQZBOXEVHB-HNNXBMFYSA-N 1 2 303.366 1.338 20 30 DDEDLO C[N@H+](Cc1cc(C#N)ccn1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC001364340060 888416923 /nfs/dbraw/zinc/41/69/23/888416923.db2.gz VYIXLNGDFLWKIV-GFCCVEGCSA-N 1 2 312.295 1.548 20 30 DDEDLO C[N@@H+](Cc1cc(C#N)ccn1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC001364340060 888416933 /nfs/dbraw/zinc/41/69/33/888416933.db2.gz VYIXLNGDFLWKIV-GFCCVEGCSA-N 1 2 312.295 1.548 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2CC(=O)N(C)CC)CCC1 ZINC001235210860 888480686 /nfs/dbraw/zinc/48/06/86/888480686.db2.gz YIOKZHYAEZQEJB-HNNXBMFYSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC(=O)N(C)CC)CCC1 ZINC001235210860 888480699 /nfs/dbraw/zinc/48/06/99/888480699.db2.gz YIOKZHYAEZQEJB-HNNXBMFYSA-N 1 2 319.449 1.191 20 30 DDEDLO CC(C)OC[C@@H]1C[N@H+](C[C@H](O)c2cccc(C#N)c2)CCO1 ZINC001364382495 888505198 /nfs/dbraw/zinc/50/51/98/888505198.db2.gz VHGWJUDCHPDFON-IRXDYDNUSA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@@H]1C[N@@H+](C[C@H](O)c2cccc(C#N)c2)CCO1 ZINC001364382495 888505211 /nfs/dbraw/zinc/50/52/11/888505211.db2.gz VHGWJUDCHPDFON-IRXDYDNUSA-N 1 2 304.390 1.717 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N2CCc3cc[nH+]c(N)c3C2)c1 ZINC001364384825 888509115 /nfs/dbraw/zinc/50/91/15/888509115.db2.gz MCZPFGINPWXCOL-UHFFFAOYSA-N 1 2 321.384 1.800 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCN(C)c1cccc(F)c1C#N ZINC001396153319 913260197 /nfs/dbraw/zinc/26/01/97/913260197.db2.gz FDCOILUMBIERPW-UHFFFAOYSA-N 1 2 315.352 1.524 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]3OCC(=O)N[C@@H]3C2)c(Cl)c1 ZINC001364415538 888586267 /nfs/dbraw/zinc/58/62/67/888586267.db2.gz CVCJQPCQWMOQEW-ZIAGYGMSSA-N 1 2 305.765 1.301 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]3OCC(=O)N[C@@H]3C2)c(Cl)c1 ZINC001364415538 888586275 /nfs/dbraw/zinc/58/62/75/888586275.db2.gz CVCJQPCQWMOQEW-ZIAGYGMSSA-N 1 2 305.765 1.301 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1csc(Cl)n1 ZINC001235683222 888877805 /nfs/dbraw/zinc/87/78/05/888877805.db2.gz GZNTXVULUIDIDE-UHFFFAOYSA-N 1 2 317.842 1.937 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1csc(Cl)n1 ZINC001235683222 888877819 /nfs/dbraw/zinc/87/78/19/888877819.db2.gz GZNTXVULUIDIDE-UHFFFAOYSA-N 1 2 317.842 1.937 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccccc2OCCO)CC1 ZINC001237202667 889542708 /nfs/dbraw/zinc/54/27/08/889542708.db2.gz JBLJQIWOCZQNLF-UHFFFAOYSA-N 1 2 318.373 1.336 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC2(C[C@@H]2C(=O)Nc2cn[nH]c2)C1 ZINC001278239578 890241550 /nfs/dbraw/zinc/24/15/50/890241550.db2.gz AMXIYAXQRXBUGS-OAHLLOKOSA-N 1 2 307.357 1.742 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC2(C[C@H]2C(=O)NCC(F)F)C1 ZINC001278239354 890242747 /nfs/dbraw/zinc/24/27/47/890242747.db2.gz NRJXRRWNJCUTFO-ZDUSSCGKSA-N 1 2 305.328 1.761 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)[C@H]1C ZINC001278249862 890271924 /nfs/dbraw/zinc/27/19/24/890271924.db2.gz DAWVUFFWAHMAIG-TZMCWYRMSA-N 1 2 316.405 1.830 20 30 DDEDLO N#Cc1nccc(N2C[C@H]3OCC[N@H+](Cc4ccccc4)[C@H]3C2)n1 ZINC001365231258 890401504 /nfs/dbraw/zinc/40/15/04/890401504.db2.gz ZHHHWYSCFDTDGY-JKSUJKDBSA-N 1 2 321.384 1.438 20 30 DDEDLO N#Cc1nccc(N2C[C@H]3OCC[N@@H+](Cc4ccccc4)[C@H]3C2)n1 ZINC001365231258 890401510 /nfs/dbraw/zinc/40/15/10/890401510.db2.gz ZHHHWYSCFDTDGY-JKSUJKDBSA-N 1 2 321.384 1.438 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(c2ccc(C#N)cc2)C1=O)c1nncn1C ZINC001365288216 890511259 /nfs/dbraw/zinc/51/12/59/890511259.db2.gz JLPCBMFPBLRXDV-SMDDNHRTSA-N 1 2 310.361 1.143 20 30 DDEDLO C=CCN(CC=C)C(=O)N1CC2(CCN2CCn2cc[nH+]c2)C1 ZINC001278297918 890665797 /nfs/dbraw/zinc/66/57/97/890665797.db2.gz XWQWXHQYBRQQCX-UHFFFAOYSA-N 1 2 315.421 1.437 20 30 DDEDLO C=CCN(CC=C)C(=O)N1CC2(CC[N@@H+]2CCn2ccnc2)C1 ZINC001278297918 890665801 /nfs/dbraw/zinc/66/58/01/890665801.db2.gz XWQWXHQYBRQQCX-UHFFFAOYSA-N 1 2 315.421 1.437 20 30 DDEDLO C=CCN(CC=C)C(=O)N1CC2(CC[N@H+]2CCn2ccnc2)C1 ZINC001278297918 890665805 /nfs/dbraw/zinc/66/58/05/890665805.db2.gz XWQWXHQYBRQQCX-UHFFFAOYSA-N 1 2 315.421 1.437 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H]1C ZINC001290736274 913496479 /nfs/dbraw/zinc/49/64/79/913496479.db2.gz IZFQGEYHCXIBPE-HIFRSBDPSA-N 1 2 316.405 1.251 20 30 DDEDLO CC(C)c1[nH]cc(CNS(=O)(=O)c2ccc(C#N)cn2)[nH+]1 ZINC001365741278 891434954 /nfs/dbraw/zinc/43/49/54/891434954.db2.gz KWCAGRAUKFUFPW-UHFFFAOYSA-N 1 2 305.363 1.278 20 30 DDEDLO CCN(CC[NH2+][C@@H](C)c1nc(C)no1)C(=O)c1ccc(C#N)[nH]1 ZINC001374707804 913641667 /nfs/dbraw/zinc/64/16/67/913641667.db2.gz CUVJMQVLTIKXQI-JTQLQIEISA-N 1 2 316.365 1.391 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCC[NH2+]C1C[C@H]2CCC[C@@H](C1)[N@H+]2C ZINC001246304442 892528554 /nfs/dbraw/zinc/52/85/54/892528554.db2.gz CRJODTAITJHLTI-HMQMGEFJSA-N 1 2 323.481 1.818 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](N2CCC(C#Cc3ccccc3)CC2)C[NH2+]1 ZINC001246925593 892991589 /nfs/dbraw/zinc/99/15/89/892991589.db2.gz ZBCFIKRBQOVPHS-MSOLQXFVSA-N 1 2 312.413 1.654 20 30 DDEDLO C=CCCCNC(=S)N[C@@H](CCc1cn(C)c[nH+]1)C(=O)OC ZINC001247790382 893373313 /nfs/dbraw/zinc/37/33/13/893373313.db2.gz QVKQAAJBARRLAO-ZDUSSCGKSA-N 1 2 324.450 1.325 20 30 DDEDLO N#Cc1ccn2ncc(C[NH+]3CCN(c4cccnn4)CC3)c2c1 ZINC001249107615 893821766 /nfs/dbraw/zinc/82/17/66/893821766.db2.gz YSBDMVDCJFGQKI-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)COCC ZINC001366644777 894417989 /nfs/dbraw/zinc/41/79/89/894417989.db2.gz JEBSHIOHMBTORD-NSHDSACASA-N 1 2 305.216 1.512 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)COCC ZINC001366644777 894417997 /nfs/dbraw/zinc/41/79/97/894417997.db2.gz JEBSHIOHMBTORD-NSHDSACASA-N 1 2 305.216 1.512 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@@H]1CC[N@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC001366825448 895038144 /nfs/dbraw/zinc/03/81/44/895038144.db2.gz SEMMGQAOCLCCDX-VXGBXAGGSA-N 1 2 316.409 1.170 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@@H]1CC[N@@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC001366825448 895038162 /nfs/dbraw/zinc/03/81/62/895038162.db2.gz SEMMGQAOCLCCDX-VXGBXAGGSA-N 1 2 316.409 1.170 20 30 DDEDLO C=CCOC[C@@H](O)CNc1cc[nH+]c2c(C(=O)OC)cccc12 ZINC001252459445 895170096 /nfs/dbraw/zinc/17/00/96/895170096.db2.gz YWNLMEXFUXVGLH-LBPRGKRZSA-N 1 2 316.357 1.997 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1CCO[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001252549073 895260333 /nfs/dbraw/zinc/26/03/33/895260333.db2.gz GMGYAJCSCGVRTB-DVOMOZLQSA-N 1 2 312.410 1.244 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1CCO[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001252549073 895260341 /nfs/dbraw/zinc/26/03/41/895260341.db2.gz GMGYAJCSCGVRTB-DVOMOZLQSA-N 1 2 312.410 1.244 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+](CCC(=O)OCC)CC(=O)OCC ZINC001252599545 895309982 /nfs/dbraw/zinc/30/99/82/895309982.db2.gz BOFYQMBYRGOGJP-CYBMUJFWSA-N 1 2 301.383 1.132 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+](CCC(=O)OCC)CC(=O)OCC ZINC001252599545 895309999 /nfs/dbraw/zinc/30/99/99/895309999.db2.gz BOFYQMBYRGOGJP-CYBMUJFWSA-N 1 2 301.383 1.132 20 30 DDEDLO C=CC[C@H]([NH2+]C[C@@H](O)CCOCc1ccccc1)C(=O)OC ZINC001253290838 895771723 /nfs/dbraw/zinc/77/17/23/895771723.db2.gz GMHRJJQQFXIYRA-HOTGVXAUSA-N 1 2 307.390 1.662 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1C[N@H+](CCF)CCC1(F)F ZINC001278740386 896087738 /nfs/dbraw/zinc/08/77/38/896087738.db2.gz FFQRDIAUCPYVBD-YPMHNXCESA-N 1 2 308.344 1.356 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1C[N@@H+](CCF)CCC1(F)F ZINC001278740386 896087747 /nfs/dbraw/zinc/08/77/47/896087747.db2.gz FFQRDIAUCPYVBD-YPMHNXCESA-N 1 2 308.344 1.356 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001389509133 897401797 /nfs/dbraw/zinc/40/17/97/897401797.db2.gz KPOTXHALTSSNHK-QWHCGFSZSA-N 1 2 301.818 1.090 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001389509133 897401808 /nfs/dbraw/zinc/40/18/08/897401808.db2.gz KPOTXHALTSSNHK-QWHCGFSZSA-N 1 2 301.818 1.090 20 30 DDEDLO CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)NCC#CC[NH+](C)C ZINC001256585901 897489880 /nfs/dbraw/zinc/48/98/80/897489880.db2.gz XQKSEPSKMTVZQF-UHFFFAOYSA-N 1 2 305.426 1.414 20 30 DDEDLO CCOC(=O)c1ccc(C[C@@H](C)N2CC[NH2+]C[C@H]2C#N)cc1 ZINC001257158940 897752685 /nfs/dbraw/zinc/75/26/85/897752685.db2.gz CGYUQEKIQGFOCV-CZUORRHYSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1([NH2+]Cc2noc3c2CCCC3)CC1 ZINC001367993018 898427161 /nfs/dbraw/zinc/42/71/61/898427161.db2.gz RDQRXVMTZPQZKC-NSHDSACASA-N 1 2 302.378 1.451 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ncoc3C)n2CC)CC1 ZINC001263114137 900504316 /nfs/dbraw/zinc/50/43/16/900504316.db2.gz FFHNICNAEKSCMS-UHFFFAOYSA-N 1 2 300.366 1.017 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)C1(NC(C)=O)CCCC1 ZINC001390982028 900629868 /nfs/dbraw/zinc/62/98/68/900629868.db2.gz QLXVZOJUQGCAKX-UHFFFAOYSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)C1(NC(C)=O)CCCC1 ZINC001390982028 900629873 /nfs/dbraw/zinc/62/98/73/900629873.db2.gz QLXVZOJUQGCAKX-UHFFFAOYSA-N 1 2 315.845 1.626 20 30 DDEDLO C=CCC[C@@H]1CCCN1C(=O)N[C@H](C)[C@@H]1CN(C)CC[N@@H+]1C ZINC001263778581 900704733 /nfs/dbraw/zinc/70/47/33/900704733.db2.gz NNSSQMYMMNGROB-OAGGEKHMSA-N 1 2 308.470 1.761 20 30 DDEDLO C=CCC[C@@H]1CCCN1C(=O)N[C@H](C)[C@@H]1CN(C)CC[N@H+]1C ZINC001263778581 900704750 /nfs/dbraw/zinc/70/47/50/900704750.db2.gz NNSSQMYMMNGROB-OAGGEKHMSA-N 1 2 308.470 1.761 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](OC)c1cccc(OC)c1 ZINC001263805717 900717826 /nfs/dbraw/zinc/71/78/26/900717826.db2.gz UUSPXQDJKAYHQW-NVXWUHKLSA-N 1 2 316.401 1.549 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](OC)c1cccc(OC)c1 ZINC001263805717 900717831 /nfs/dbraw/zinc/71/78/31/900717831.db2.gz UUSPXQDJKAYHQW-NVXWUHKLSA-N 1 2 316.401 1.549 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H]1CCN(CC#N)CC(C)(C)C1 ZINC001264754158 901336968 /nfs/dbraw/zinc/33/69/68/901336968.db2.gz XDLAOJDZLJJRFA-ZIAGYGMSSA-N 1 2 317.437 1.719 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H]1CCN(CC#N)CC(C)(C)C1 ZINC001264754158 901336976 /nfs/dbraw/zinc/33/69/76/901336976.db2.gz XDLAOJDZLJJRFA-ZIAGYGMSSA-N 1 2 317.437 1.719 20 30 DDEDLO C#CCCCC(=O)NCCC[N@@H+](C)Cc1ncc(Cl)n1C ZINC001264979951 901383367 /nfs/dbraw/zinc/38/33/67/901383367.db2.gz KOJYDLAGSGALFO-UHFFFAOYSA-N 1 2 310.829 1.815 20 30 DDEDLO C#CCCCC(=O)NCCC[N@H+](C)Cc1ncc(Cl)n1C ZINC001264979951 901383380 /nfs/dbraw/zinc/38/33/80/901383380.db2.gz KOJYDLAGSGALFO-UHFFFAOYSA-N 1 2 310.829 1.815 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CC(C)(C)CC)C1 ZINC001265214608 901719061 /nfs/dbraw/zinc/71/90/61/901719061.db2.gz MDINEAMOPPVMPW-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CC(C)(C)CC)C1 ZINC001265214608 901719071 /nfs/dbraw/zinc/71/90/71/901719071.db2.gz MDINEAMOPPVMPW-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2noc3c2CCCC3)C1 ZINC001265274982 901805858 /nfs/dbraw/zinc/80/58/58/901805858.db2.gz KOJCPSIQKMIUBS-ZDUSSCGKSA-N 1 2 319.405 1.447 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2noc3c2CCCC3)C1 ZINC001265274982 901805870 /nfs/dbraw/zinc/80/58/70/901805870.db2.gz KOJCPSIQKMIUBS-ZDUSSCGKSA-N 1 2 319.405 1.447 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001265277603 901807840 /nfs/dbraw/zinc/80/78/40/901807840.db2.gz RMHPXILCGIVFMK-OAHLLOKOSA-N 1 2 320.437 1.402 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001265277603 901807849 /nfs/dbraw/zinc/80/78/49/901807849.db2.gz RMHPXILCGIVFMK-OAHLLOKOSA-N 1 2 320.437 1.402 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265299542 901848255 /nfs/dbraw/zinc/84/82/55/901848255.db2.gz QLGJACHPPXOFKX-HUUCEWRRSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265299542 901848265 /nfs/dbraw/zinc/84/82/65/901848265.db2.gz QLGJACHPPXOFKX-HUUCEWRRSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001265312800 901870568 /nfs/dbraw/zinc/87/05/68/901870568.db2.gz VRDMQHIVOUVNLB-HUUCEWRRSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001265312800 901870572 /nfs/dbraw/zinc/87/05/72/901870572.db2.gz VRDMQHIVOUVNLB-HUUCEWRRSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCC[N@H+](Cc2ncnn2C)C1 ZINC001265591698 902171928 /nfs/dbraw/zinc/17/19/28/902171928.db2.gz ZZNQVVQMZASCJR-YOEHRIQHSA-N 1 2 319.453 1.746 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCC[N@@H+](Cc2ncnn2C)C1 ZINC001265591698 902171933 /nfs/dbraw/zinc/17/19/33/902171933.db2.gz ZZNQVVQMZASCJR-YOEHRIQHSA-N 1 2 319.453 1.746 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([N@@H+](C)[C@H](C)c2nncn2C)C1 ZINC001266096284 902932674 /nfs/dbraw/zinc/93/26/74/902932674.db2.gz DXVDGKKTXNSTQO-CABCVRRESA-N 1 2 317.437 1.602 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([N@H+](C)[C@H](C)c2nncn2C)C1 ZINC001266096284 902932681 /nfs/dbraw/zinc/93/26/81/902932681.db2.gz DXVDGKKTXNSTQO-CABCVRRESA-N 1 2 317.437 1.602 20 30 DDEDLO CCc1cnc(C[N@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001279519115 903297671 /nfs/dbraw/zinc/29/76/71/903297671.db2.gz CUFDIGDFXYTHGV-LBPRGKRZSA-N 1 2 302.378 1.645 20 30 DDEDLO CCc1cnc(C[N@@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001279519115 903297680 /nfs/dbraw/zinc/29/76/80/903297680.db2.gz CUFDIGDFXYTHGV-LBPRGKRZSA-N 1 2 302.378 1.645 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H](C[NH2+]Cc1cnsn1)C1CCCCC1 ZINC001392173774 903450241 /nfs/dbraw/zinc/45/02/41/903450241.db2.gz YCZPRZHQLAELLZ-SMDDNHRTSA-N 1 2 321.450 1.852 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)CSC(C)C ZINC001280396719 903631228 /nfs/dbraw/zinc/63/12/28/903631228.db2.gz KCQLODWVXDGCGO-CQSZACIVSA-N 1 2 300.468 1.911 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)CSC(C)C ZINC001280396719 903631240 /nfs/dbraw/zinc/63/12/40/903631240.db2.gz KCQLODWVXDGCGO-CQSZACIVSA-N 1 2 300.468 1.911 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1csnc1C ZINC001280402052 903638459 /nfs/dbraw/zinc/63/84/59/903638459.db2.gz DHSKJPSDWFYXOU-CYBMUJFWSA-N 1 2 309.435 1.848 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1csnc1C ZINC001280402052 903638465 /nfs/dbraw/zinc/63/84/65/903638465.db2.gz DHSKJPSDWFYXOU-CYBMUJFWSA-N 1 2 309.435 1.848 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H](C)CNC(=O)CCCn1cc[nH+]c1 ZINC001280514136 903751201 /nfs/dbraw/zinc/75/12/01/903751201.db2.gz BBLWECXZRBWORP-HNNXBMFYSA-N 1 2 318.421 1.286 20 30 DDEDLO CC(C)C#CC(=O)N1CCOC2(C[NH+](CC[C@@H]3CCCO3)C2)C1 ZINC001280899481 904166321 /nfs/dbraw/zinc/16/63/21/904166321.db2.gz RWCZLEXAMDVLNO-INIZCTEOSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCCCC(=O)N1CCOC2(C[NH+](CCCOCC)C2)C1 ZINC001280907180 904176992 /nfs/dbraw/zinc/17/69/92/904176992.db2.gz OBWBZCZCAYGOER-UHFFFAOYSA-N 1 2 310.438 1.683 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC13CCC3)CO2 ZINC001280962468 904248825 /nfs/dbraw/zinc/24/88/25/904248825.db2.gz RGMPTKCMDKIZTD-GJZGRUSLSA-N 1 2 320.433 1.339 20 30 DDEDLO N#CCN1Cc2ccccc2C[C@@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001281071084 904374958 /nfs/dbraw/zinc/37/49/58/904374958.db2.gz IQRGENPLSOFTKN-QGZVFWFLSA-N 1 2 323.400 1.340 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@](CO)([NH2+]Cc2ncccn2)C1 ZINC001281139441 904464767 /nfs/dbraw/zinc/46/47/67/904464767.db2.gz ZLDDWIGKJSPWRY-KRWDZBQOSA-N 1 2 318.421 1.276 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)CCc2c[nH+]cn2C)C[C@@H]1C ZINC001281160064 904502504 /nfs/dbraw/zinc/50/25/04/904502504.db2.gz VIVYEDIPOPKVSR-UONOGXRCSA-N 1 2 318.421 1.425 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001281234080 904597876 /nfs/dbraw/zinc/59/78/76/904597876.db2.gz NRMMAOMQDRWEJW-LSDHHAIUSA-N 1 2 318.421 1.641 20 30 DDEDLO C=CCCC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCS1(=O)=O ZINC001316610252 904736056 /nfs/dbraw/zinc/73/60/56/904736056.db2.gz QVNYSZZQZJYMDS-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCS1(=O)=O ZINC001316610252 904736065 /nfs/dbraw/zinc/73/60/65/904736065.db2.gz QVNYSZZQZJYMDS-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)CO[C@H]2CCCC[C@H]2C)C1 ZINC001282539973 905859642 /nfs/dbraw/zinc/85/96/42/905859642.db2.gz RCRMUYAUSVLANV-CVEARBPZSA-N 1 2 322.449 1.158 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@H](C)Oc2ccccc2)C1 ZINC001282539399 905861666 /nfs/dbraw/zinc/86/16/66/905861666.db2.gz JHPYGTLCDPCXEX-HNNXBMFYSA-N 1 2 318.417 1.583 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cnnn2CC)CC1(C)C ZINC001282812623 906064498 /nfs/dbraw/zinc/06/44/98/906064498.db2.gz XVWWGUHPBMRCTJ-OAHLLOKOSA-N 1 2 319.453 1.981 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cnnn2CC)CC1(C)C ZINC001282812623 906064513 /nfs/dbraw/zinc/06/45/13/906064513.db2.gz XVWWGUHPBMRCTJ-OAHLLOKOSA-N 1 2 319.453 1.981 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)CCNC(=O)[C@H](C)C(C)(C)C ZINC001372009475 906233746 /nfs/dbraw/zinc/23/37/46/906233746.db2.gz QJVVUPSXBMJFDP-AWEZNQCLSA-N 1 2 324.469 1.479 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)CCNC(=O)[C@H](C)C(C)(C)C ZINC001372009475 906233763 /nfs/dbraw/zinc/23/37/63/906233763.db2.gz QJVVUPSXBMJFDP-AWEZNQCLSA-N 1 2 324.469 1.479 20 30 DDEDLO C[N@H+](CCNC(=O)c1ccnnc1)Cc1ccc(C#N)cc1F ZINC001372129488 906530081 /nfs/dbraw/zinc/53/00/81/906530081.db2.gz APYILUXGAPAXAQ-UHFFFAOYSA-N 1 2 313.336 1.349 20 30 DDEDLO C[N@@H+](CCNC(=O)c1ccnnc1)Cc1ccc(C#N)cc1F ZINC001372129488 906530091 /nfs/dbraw/zinc/53/00/91/906530091.db2.gz APYILUXGAPAXAQ-UHFFFAOYSA-N 1 2 313.336 1.349 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)CNC(=O)c1cccs1 ZINC001372205261 906712939 /nfs/dbraw/zinc/71/29/39/906712939.db2.gz KYLIGRFMPPTTHY-UHFFFAOYSA-N 1 2 315.826 1.278 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)CNC(=O)c1cccs1 ZINC001372205261 906712949 /nfs/dbraw/zinc/71/29/49/906712949.db2.gz KYLIGRFMPPTTHY-UHFFFAOYSA-N 1 2 315.826 1.278 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001283350608 907192569 /nfs/dbraw/zinc/19/25/69/907192569.db2.gz YPBNLPOBDXWAQU-OAHLLOKOSA-N 1 2 316.405 1.369 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)CCOCCNC(=O)C#CC(C)C)o1 ZINC001283374876 907246330 /nfs/dbraw/zinc/24/63/30/907246330.db2.gz NJWJUMOWGCXCHA-HNNXBMFYSA-N 1 2 321.421 1.768 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)CCOCCNC(=O)C#CC(C)C)o1 ZINC001283374876 907246339 /nfs/dbraw/zinc/24/63/39/907246339.db2.gz NJWJUMOWGCXCHA-HNNXBMFYSA-N 1 2 321.421 1.768 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1c[nH]c(=O)cc1OC ZINC001372414435 907248346 /nfs/dbraw/zinc/24/83/46/907248346.db2.gz OKQRSPOVNNLTFY-UHFFFAOYSA-N 1 2 313.785 1.600 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1c[nH]c(=O)cc1OC ZINC001372414435 907248361 /nfs/dbraw/zinc/24/83/61/907248361.db2.gz OKQRSPOVNNLTFY-UHFFFAOYSA-N 1 2 313.785 1.600 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H](C)[NH2+]Cc1nn(C)cc1Cl ZINC001283607528 907668263 /nfs/dbraw/zinc/66/82/63/907668263.db2.gz FIWHTWYXDFHRPI-GFCCVEGCSA-N 1 2 310.829 1.669 20 30 DDEDLO CCN(CCCNC(=O)C#CC(C)C)C(=O)CCc1c[nH]c[nH+]1 ZINC001283716985 907841859 /nfs/dbraw/zinc/84/18/59/907841859.db2.gz SSLNCIQJTIGDJN-UHFFFAOYSA-N 1 2 318.421 1.357 20 30 DDEDLO CCN(CCCNC(=O)C#CC(C)C)C(=O)CCc1c[nH+]c[nH]1 ZINC001283716985 907841887 /nfs/dbraw/zinc/84/18/87/907841887.db2.gz SSLNCIQJTIGDJN-UHFFFAOYSA-N 1 2 318.421 1.357 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](CO)[NH2+][C@@H](C)c1ncc(C)o1 ZINC001283791949 907966846 /nfs/dbraw/zinc/96/68/46/907966846.db2.gz INKGCJJOXMHEJU-STQMWFEESA-N 1 2 309.410 1.713 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H](CNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001283951011 908229190 /nfs/dbraw/zinc/22/91/90/908229190.db2.gz SKZRJCMCUUTBIM-DZGCQCFKSA-N 1 2 318.421 1.496 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001284026803 908340046 /nfs/dbraw/zinc/34/00/46/908340046.db2.gz QZPCDJCUKVWPCN-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1nccn2ccnc12)C1CC1 ZINC001372857631 908441332 /nfs/dbraw/zinc/44/13/32/908441332.db2.gz IQCJGGUSZMKGLQ-UHFFFAOYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1nccn2ccnc12)C1CC1 ZINC001372857631 908441341 /nfs/dbraw/zinc/44/13/41/908441341.db2.gz IQCJGGUSZMKGLQ-UHFFFAOYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001284283765 908771610 /nfs/dbraw/zinc/77/16/10/908771610.db2.gz SYMBCZPUMAPYEW-ZDUSSCGKSA-N 1 2 314.389 1.925 20 30 DDEDLO COCC#CC[NH2+][C@H]1CN(C(=O)C(F)C(F)(F)F)CC1(C)C ZINC001284295148 908787425 /nfs/dbraw/zinc/78/74/25/908787425.db2.gz IBCXZPWIISQNHR-WDEREUQCSA-N 1 2 324.318 1.363 20 30 DDEDLO COCC#CC[NH2+][C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CC1(C)C ZINC001284295148 908787443 /nfs/dbraw/zinc/78/74/43/908787443.db2.gz IBCXZPWIISQNHR-WDEREUQCSA-N 1 2 324.318 1.363 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001284305120 908801663 /nfs/dbraw/zinc/80/16/63/908801663.db2.gz YCXBJOYSVBBDMK-KBPBESRZSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284909817 909712582 /nfs/dbraw/zinc/71/25/82/909712582.db2.gz HOBOUXCIUSIRDK-NSHDSACASA-N 1 2 304.394 1.128 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284909817 909712589 /nfs/dbraw/zinc/71/25/89/909712589.db2.gz HOBOUXCIUSIRDK-NSHDSACASA-N 1 2 304.394 1.128 20 30 DDEDLO CC(C)C[C@@H](C(=O)NCCN(C)C(=O)[C@H](C)C#N)n1cc[nH+]c1 ZINC001373499982 910019273 /nfs/dbraw/zinc/01/92/73/910019273.db2.gz ALPHDTOTQGHZGT-KGLIPLIRSA-N 1 2 319.409 1.205 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NC(=O)Cc1[nH]c[nH+]c1C ZINC001285082119 910042912 /nfs/dbraw/zinc/04/29/12/910042912.db2.gz GDNLSMXLIZPWSY-CYBMUJFWSA-N 1 2 320.437 1.874 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCN(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001285378582 910441284 /nfs/dbraw/zinc/44/12/84/910441284.db2.gz FJONCAMAIGUHLX-UHFFFAOYSA-N 1 2 304.394 1.156 20 30 DDEDLO CO[C@H](C)C(=O)N(C)[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373659429 910519672 /nfs/dbraw/zinc/51/96/72/910519672.db2.gz IVVGAFGUVFEESR-DOMZBBRYSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@H](C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373659429 910519683 /nfs/dbraw/zinc/51/96/83/910519683.db2.gz IVVGAFGUVFEESR-DOMZBBRYSA-N 1 2 319.380 1.765 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)c1cccc2[nH+]ccn21 ZINC001285670697 911012608 /nfs/dbraw/zinc/01/26/08/911012608.db2.gz DSAZFFRJWKXAKB-GFCCVEGCSA-N 1 2 314.389 1.781 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCN1C(=O)CCc1[nH+]ccn1C ZINC001285895674 911386167 /nfs/dbraw/zinc/38/61/67/911386167.db2.gz VYUUPEQONYRWMN-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C=CCCC(=O)N(C)C1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001287374702 912211092 /nfs/dbraw/zinc/21/10/92/912211092.db2.gz VZLSWULLGIYADY-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc2c(c1)OCCO2 ZINC001375298828 915520753 /nfs/dbraw/zinc/52/07/53/915520753.db2.gz YKDQZHDWAYEJEO-TZMCWYRMSA-N 1 2 315.373 1.308 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@H+]1Cc1ccc2c(c1)OCCO2 ZINC001375298828 915520770 /nfs/dbraw/zinc/52/07/70/915520770.db2.gz YKDQZHDWAYEJEO-TZMCWYRMSA-N 1 2 315.373 1.308 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001295596892 915921620 /nfs/dbraw/zinc/92/16/20/915921620.db2.gz OKEISPASFKWQPT-CHWSQXEVSA-N 1 2 318.421 1.279 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001296424996 916405385 /nfs/dbraw/zinc/40/53/85/916405385.db2.gz GXQLHEKDCACBNL-CQSZACIVSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CCCCC(=O)N(C)CC[NH+]1CCN(C(=O)C2CC2)CC1 ZINC001296829794 916581490 /nfs/dbraw/zinc/58/14/90/916581490.db2.gz MVDWWPTUJQUPIW-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=CCC1(C(=O)N2CC(NC(=O)CCn3cc[nH+]c3)C2)CCC1 ZINC001297105423 916729901 /nfs/dbraw/zinc/72/99/01/916729901.db2.gz AUCUAWOJROKBBN-UHFFFAOYSA-N 1 2 316.405 1.347 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@@H]1CCCCN1C(=O)CCc1cnn[nH]1 ZINC001376614353 918876469 /nfs/dbraw/zinc/87/64/69/918876469.db2.gz VEUCZTSDCVIZFR-ZDUSSCGKSA-N 1 2 311.817 1.461 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cnn(-c2cccnc2)c1 ZINC001377167111 920520529 /nfs/dbraw/zinc/52/05/29/920520529.db2.gz NKKQRNBQVWOQGM-UHFFFAOYSA-N 1 2 319.796 1.681 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cnn(-c2cccnc2)c1 ZINC001377167111 920520543 /nfs/dbraw/zinc/52/05/43/920520543.db2.gz NKKQRNBQVWOQGM-UHFFFAOYSA-N 1 2 319.796 1.681 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@H+](Cc2nn(C)cc2Cl)C1 ZINC001377443256 921932351 /nfs/dbraw/zinc/93/23/51/921932351.db2.gz VTKKARJRIZTCLB-WDEREUQCSA-N 1 2 309.801 1.171 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@@H+](Cc2nn(C)cc2Cl)C1 ZINC001377443256 921932361 /nfs/dbraw/zinc/93/23/61/921932361.db2.gz VTKKARJRIZTCLB-WDEREUQCSA-N 1 2 309.801 1.171 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1ccc(C(N)=O)cn1)C1CC1 ZINC001377575990 922382864 /nfs/dbraw/zinc/38/28/64/922382864.db2.gz WBCFYDXWHCBIBK-UHFFFAOYSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1ccc(C(N)=O)cn1)C1CC1 ZINC001377575990 922382871 /nfs/dbraw/zinc/38/28/71/922382871.db2.gz WBCFYDXWHCBIBK-UHFFFAOYSA-N 1 2 322.796 1.127 20 30 DDEDLO Cc1cnc(C[NH2+][C@@H](CNC(=O)[C@@H](C)C#N)c2ccccc2)cn1 ZINC001377602259 922451233 /nfs/dbraw/zinc/45/12/33/922451233.db2.gz AKXQKSLWDMOSTC-GUYCJALGSA-N 1 2 323.400 1.892 20 30 DDEDLO COc1cc(C[N@H+](C)[C@H](C)CNC(=O)c2ccc(C#N)[nH]2)on1 ZINC001378026741 923744404 /nfs/dbraw/zinc/74/44/04/923744404.db2.gz VXEXVCDKMRBIGD-SNVBAGLBSA-N 1 2 317.349 1.133 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@H](C)CNC(=O)c2ccc(C#N)[nH]2)on1 ZINC001378026741 923744408 /nfs/dbraw/zinc/74/44/08/923744408.db2.gz VXEXVCDKMRBIGD-SNVBAGLBSA-N 1 2 317.349 1.133 20 30 DDEDLO Cc1nc(N2CCN(c3nc4c(cc3C#N)CCC4)CC2)cc[nH+]1 ZINC000273550340 282187744 /nfs/dbraw/zinc/18/77/44/282187744.db2.gz YSAOKMPPEJZTPP-UHFFFAOYSA-N 1 2 320.400 1.867 20 30 DDEDLO O=C(NCc1cc[nH+]c(N2CCCC2)c1)N[C@H]1CCCNC1=O ZINC000328674343 539298397 /nfs/dbraw/zinc/29/83/97/539298397.db2.gz JSUNUCCJNKUEEU-ZDUSSCGKSA-N 1 2 317.393 1.804 20 30 DDEDLO CC[C@H](C)NC(=O)CCNC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000330510928 529703014 /nfs/dbraw/zinc/70/30/14/529703014.db2.gz BDXUOWCNYOWFGF-STQMWFEESA-N 1 2 321.425 1.808 20 30 DDEDLO Cc1cc(C(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)ncc1C#N ZINC000457544211 232075965 /nfs/dbraw/zinc/07/59/65/232075965.db2.gz PBEURGQFNSEVAL-STQMWFEESA-N 1 2 302.378 1.101 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)Nc2cc(C(C)=O)ccc2O)CC1 ZINC000272673395 210078948 /nfs/dbraw/zinc/07/89/48/210078948.db2.gz LBOJRBXMXCLAMX-UHFFFAOYSA-N 1 2 300.358 1.879 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459332221 233109490 /nfs/dbraw/zinc/10/94/90/233109490.db2.gz XHTKYQMYWNPCBS-GFCCVEGCSA-N 1 2 303.318 1.851 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459332221 233109495 /nfs/dbraw/zinc/10/94/95/233109495.db2.gz XHTKYQMYWNPCBS-GFCCVEGCSA-N 1 2 303.318 1.851 20 30 DDEDLO C=CC[C@H]1CCCC[C@H]1NC(=O)C[N@@H+](C)CC(=O)NC(C)C ZINC000617037258 362932551 /nfs/dbraw/zinc/93/25/51/362932551.db2.gz KFMBJJIGZBEQNH-LSDHHAIUSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC[C@H]1CCCC[C@H]1NC(=O)C[N@H+](C)CC(=O)NC(C)C ZINC000617037258 362932558 /nfs/dbraw/zinc/93/25/58/362932558.db2.gz KFMBJJIGZBEQNH-LSDHHAIUSA-N 1 2 309.454 1.694 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](Cc3cnc(C4CC4)nc3)CC2)n1 ZINC000459476235 233228361 /nfs/dbraw/zinc/22/83/61/233228361.db2.gz HFWFAEKOIPXIOH-UHFFFAOYSA-N 1 2 320.400 1.943 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccc(F)cc1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000177896783 186200834 /nfs/dbraw/zinc/20/08/34/186200834.db2.gz UTNZAGCDDBHXGK-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO N#Cc1nccc(NCc2cc[nH+]c(N3CCSCC3)c2)n1 ZINC000601240743 358459988 /nfs/dbraw/zinc/45/99/88/358459988.db2.gz PIUGGBLZMXRJKF-UHFFFAOYSA-N 1 2 312.402 1.330 20 30 DDEDLO CC[N@@H+](CC(=O)NC(=O)N[C@@H]1CCCC[C@@H]1C)C[C@@H](C)C#N ZINC000049982337 352559836 /nfs/dbraw/zinc/55/98/36/352559836.db2.gz YMSJPXBTZYRWMO-MELADBBJSA-N 1 2 308.426 1.872 20 30 DDEDLO CC[N@H+](CC(=O)NC(=O)N[C@@H]1CCCC[C@@H]1C)C[C@@H](C)C#N ZINC000049982337 352559838 /nfs/dbraw/zinc/55/98/38/352559838.db2.gz YMSJPXBTZYRWMO-MELADBBJSA-N 1 2 308.426 1.872 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCc2sccc2C1 ZINC000054984100 352710765 /nfs/dbraw/zinc/71/07/65/352710765.db2.gz YFIAFTUUCIPECD-UHFFFAOYSA-N 1 2 302.403 1.762 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCc2sccc2C1 ZINC000054984100 352710768 /nfs/dbraw/zinc/71/07/68/352710768.db2.gz YFIAFTUUCIPECD-UHFFFAOYSA-N 1 2 302.403 1.762 20 30 DDEDLO C[C@H]1CN(c2ccc(CNC(=O)C(C)(C)C#N)c[nH+]2)C[C@H](C)O1 ZINC000055380036 352731408 /nfs/dbraw/zinc/73/14/08/352731408.db2.gz MMAXXZADBYUHHO-STQMWFEESA-N 1 2 316.405 1.861 20 30 DDEDLO C[C@H]1C[NH+]=C(N2CCN(C(=O)c3cccc(C#N)c3)CC2)S1 ZINC000173071722 198183305 /nfs/dbraw/zinc/18/33/05/198183305.db2.gz WUVSFNDIUWJMCF-LBPRGKRZSA-N 1 2 314.414 1.807 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000058297310 352841544 /nfs/dbraw/zinc/84/15/44/352841544.db2.gz LWZBIAJNCOWURR-LBPRGKRZSA-N 1 2 314.345 1.347 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(C(=O)C2CCCCC2)CC1 ZINC000066866463 353020759 /nfs/dbraw/zinc/02/07/59/353020759.db2.gz JAOXCCJXMNXEKK-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCCCO[C@@H](C)c1ccccc1 ZINC000174530222 198347821 /nfs/dbraw/zinc/34/78/21/198347821.db2.gz HATTYRJQVLEMDW-KRWDZBQOSA-N 1 2 312.413 1.839 20 30 DDEDLO C=CCN(Cc1ccccc1F)C[C@H](O)C[NH+]1CCOCC1 ZINC000079394075 353560326 /nfs/dbraw/zinc/56/03/26/353560326.db2.gz MMZJPFIOHJWOTP-MRXNPFEDSA-N 1 2 308.397 1.507 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([NH+]2CCC(CO)CC2)C1=O ZINC000083185020 353706942 /nfs/dbraw/zinc/70/69/42/353706942.db2.gz MXSPLXSVMLEWIN-ZDUSSCGKSA-N 1 2 305.403 1.429 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[NH+]([C@@H](C)C(=O)NC3CC3)CC2)n1 ZINC000127628485 354066737 /nfs/dbraw/zinc/06/67/37/354066737.db2.gz BKUWAIPTJHMLHJ-ZDUSSCGKSA-N 1 2 313.405 1.051 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)C(=O)N(C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000185189581 354280662 /nfs/dbraw/zinc/28/06/62/354280662.db2.gz FGTJZOKMGLSDTJ-MNOVXSKESA-N 1 2 307.398 1.778 20 30 DDEDLO C=CCC[C@H]1NC(=O)N(CCc2cn3c([nH+]2)CCCC3)C1=O ZINC000581181489 354731613 /nfs/dbraw/zinc/73/16/13/354731613.db2.gz IMWJEAGUYNRYBB-CYBMUJFWSA-N 1 2 302.378 1.649 20 30 DDEDLO CC[C@@H](CC#N)[NH2+][C@@H]1CCN(c2cccc([N+](=O)[O-])c2)C1=O ZINC000579849765 354724242 /nfs/dbraw/zinc/72/42/42/354724242.db2.gz ANQFSRAAWBSAGD-SMDDNHRTSA-N 1 2 302.334 1.982 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+](CCCO)C2CC2)cc1 ZINC000404247817 354675218 /nfs/dbraw/zinc/67/52/18/354675218.db2.gz JRNZDAQOUBQADR-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+](CCCO)C2CC2)cc1 ZINC000404247817 354675223 /nfs/dbraw/zinc/67/52/23/354675223.db2.gz JRNZDAQOUBQADR-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cnc3nc(C)cc(C)n23)C1=O ZINC000584873144 354786346 /nfs/dbraw/zinc/78/63/46/354786346.db2.gz QAVKZKAGECOACW-HNNXBMFYSA-N 1 2 313.405 1.565 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cnc3nc(C)cc(C)n23)C1=O ZINC000584873144 354786348 /nfs/dbraw/zinc/78/63/48/354786348.db2.gz QAVKZKAGECOACW-HNNXBMFYSA-N 1 2 313.405 1.565 20 30 DDEDLO CCC(=O)N1CCC[N@@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000581537100 354734887 /nfs/dbraw/zinc/73/48/87/354734887.db2.gz FFCIXEMKBAVVCE-WMLDXEAASA-N 1 2 322.453 1.374 20 30 DDEDLO CCC(=O)N1CCC[N@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000581537100 354734888 /nfs/dbraw/zinc/73/48/88/354734888.db2.gz FFCIXEMKBAVVCE-WMLDXEAASA-N 1 2 322.453 1.374 20 30 DDEDLO C[C@]1(C#N)CCCN(C(=O)NCC2([NH+]3CCOCC3)CC2)C1 ZINC000617953717 363405743 /nfs/dbraw/zinc/40/57/43/363405743.db2.gz IKYPHJJQQLTHRH-OAHLLOKOSA-N 1 2 306.410 1.186 20 30 DDEDLO N#C[C@]1(NC(=O)C2CC[NH+](CC(F)F)CC2)CCSC1 ZINC000588285199 354913971 /nfs/dbraw/zinc/91/39/71/354913971.db2.gz RWHKSFCNRJEJIR-CYBMUJFWSA-N 1 2 303.378 1.479 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)NCc2[nH+]ccn2CC(F)(F)F)c1 ZINC000589178199 354968743 /nfs/dbraw/zinc/96/87/43/354968743.db2.gz HPIFVKAPTQXLPP-UHFFFAOYSA-N 1 2 324.262 1.953 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](Cc3cccc(O)c3)CC2)n1 ZINC000589368762 354986793 /nfs/dbraw/zinc/98/67/93/354986793.db2.gz PCPUSKHVVXSMAY-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO CCOC1CC(CNC(=O)CC2(C#N)CC2)([NH+]2CCOCC2)C1 ZINC000590347832 355075089 /nfs/dbraw/zinc/07/50/89/355075089.db2.gz DIICGZIDOCIPKE-UHFFFAOYSA-N 1 2 321.421 1.066 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000590702264 355152081 /nfs/dbraw/zinc/15/20/81/355152081.db2.gz DZUAJRVVVXXOGY-AWEZNQCLSA-N 1 2 302.378 1.483 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@H](C)[N@@H+]2Cc2ccncc2C#N)O1 ZINC000592119899 355505617 /nfs/dbraw/zinc/50/56/17/355505617.db2.gz BGVJHTXVJVWTIF-SRMUXQRQSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@H](C)[N@H+]2Cc2ccncc2C#N)O1 ZINC000592119899 355505620 /nfs/dbraw/zinc/50/56/20/355505620.db2.gz BGVJHTXVJVWTIF-SRMUXQRQSA-N 1 2 315.373 1.637 20 30 DDEDLO N#Cc1cnccc1C[N@H+]1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000592066623 355481598 /nfs/dbraw/zinc/48/15/98/355481598.db2.gz BRDPMFSAZPJEEM-INIZCTEOSA-N 1 2 314.389 1.167 20 30 DDEDLO N#Cc1cnccc1C[N@@H+]1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000592066623 355481602 /nfs/dbraw/zinc/48/16/02/355481602.db2.gz BRDPMFSAZPJEEM-INIZCTEOSA-N 1 2 314.389 1.167 20 30 DDEDLO Cc1nc(NC(=O)[C@@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)sc1C ZINC000592149399 355516208 /nfs/dbraw/zinc/51/62/08/355516208.db2.gz OLVXNZDQJWDTKF-YGRLFVJLSA-N 1 2 308.407 1.437 20 30 DDEDLO Cc1nc(NC(=O)[C@@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)sc1C ZINC000592149399 355516211 /nfs/dbraw/zinc/51/62/11/355516211.db2.gz OLVXNZDQJWDTKF-YGRLFVJLSA-N 1 2 308.407 1.437 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000592150565 355517280 /nfs/dbraw/zinc/51/72/80/355517280.db2.gz GVABQZJCOMGVRW-AWEZNQCLSA-N 1 2 302.290 1.586 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000592150565 355517284 /nfs/dbraw/zinc/51/72/84/355517284.db2.gz GVABQZJCOMGVRW-AWEZNQCLSA-N 1 2 302.290 1.586 20 30 DDEDLO Cc1ccc(S(=O)(=O)CCC[N@@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592150994 355517871 /nfs/dbraw/zinc/51/78/71/355517871.db2.gz IQZLHMPDJIEZQS-INIZCTEOSA-N 1 2 322.430 1.509 20 30 DDEDLO Cc1ccc(S(=O)(=O)CCC[N@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592150994 355517874 /nfs/dbraw/zinc/51/78/74/355517874.db2.gz IQZLHMPDJIEZQS-INIZCTEOSA-N 1 2 322.430 1.509 20 30 DDEDLO Cc1cc(NC(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)n(C(C)(C)C)n1 ZINC000592152649 355518708 /nfs/dbraw/zinc/51/87/08/355518708.db2.gz ZPCONZUXMUCPLG-INIZCTEOSA-N 1 2 319.409 1.236 20 30 DDEDLO Cc1cc(NC(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)n(C(C)(C)C)n1 ZINC000592152649 355518710 /nfs/dbraw/zinc/51/87/10/355518710.db2.gz ZPCONZUXMUCPLG-INIZCTEOSA-N 1 2 319.409 1.236 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@](O)(CC#N)C2)c([N+](=O)[O-])cc1OC ZINC000592522518 355610745 /nfs/dbraw/zinc/61/07/45/355610745.db2.gz OFILBQXDEQYKKO-OAHLLOKOSA-N 1 2 321.333 1.462 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@](O)(CC#N)C2)c([N+](=O)[O-])cc1OC ZINC000592522518 355610748 /nfs/dbraw/zinc/61/07/48/355610748.db2.gz OFILBQXDEQYKKO-OAHLLOKOSA-N 1 2 321.333 1.462 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1CC(=O)N(C2CC[NH+](CCC#N)CC2)C1 ZINC000593046788 355779773 /nfs/dbraw/zinc/77/97/73/355779773.db2.gz SONSGMBCSAAMHD-CYBMUJFWSA-N 1 2 321.421 1.555 20 30 DDEDLO CCO[C@H](C)c1nc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)cs1 ZINC000593154419 355812722 /nfs/dbraw/zinc/81/27/22/355812722.db2.gz JWUJXUZUBGCTTJ-ABAIWWIYSA-N 1 2 324.450 1.743 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cn1ccc2ccc(F)cc21 ZINC000593153247 355812744 /nfs/dbraw/zinc/81/27/44/355812744.db2.gz HFMPOGQYMXLECY-INIZCTEOSA-N 1 2 302.353 1.740 20 30 DDEDLO N#Cc1sccc1S(=O)(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000593537572 355917799 /nfs/dbraw/zinc/91/77/99/355917799.db2.gz FGYJTMHRGGBPQU-UHFFFAOYSA-N 1 2 322.415 1.842 20 30 DDEDLO CC[C@H](C#N)N(C)C(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000594089132 356109250 /nfs/dbraw/zinc/10/92/50/356109250.db2.gz MQVNRYDTUNXZAC-OAHLLOKOSA-N 1 2 301.390 1.893 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NC[C@@H](C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594098393 356112220 /nfs/dbraw/zinc/11/22/20/356112220.db2.gz GZSNZOAYHZANSY-MCIONIFRSA-N 1 2 316.405 1.489 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NC[C@@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594098393 356112223 /nfs/dbraw/zinc/11/22/23/356112223.db2.gz GZSNZOAYHZANSY-MCIONIFRSA-N 1 2 316.405 1.489 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3ccsc3C#N)CC2)C1=O ZINC000595629121 356584680 /nfs/dbraw/zinc/58/46/80/356584680.db2.gz CPQGDHPFLYNEOU-CQSZACIVSA-N 1 2 316.430 1.529 20 30 DDEDLO C[C@H]1C[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H](C)C1O ZINC000595742860 356631420 /nfs/dbraw/zinc/63/14/20/356631420.db2.gz JUOIEMQSCPBGDJ-STQMWFEESA-N 1 2 322.430 1.281 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC1=CCCOC1 ZINC000595747608 356633378 /nfs/dbraw/zinc/63/33/78/356633378.db2.gz OIPCNZVZLSSBIH-UHFFFAOYSA-N 1 2 320.414 1.610 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC1=CCCOC1 ZINC000595747608 356633382 /nfs/dbraw/zinc/63/33/82/356633382.db2.gz OIPCNZVZLSSBIH-UHFFFAOYSA-N 1 2 320.414 1.610 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCC(=O)N(C)CC2)c1C#N ZINC000595774633 356647349 /nfs/dbraw/zinc/64/73/49/356647349.db2.gz WPHSGYFWKHWXHP-UHFFFAOYSA-N 1 2 301.346 1.009 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCC(=O)N(C)CC2)c1C#N ZINC000595774633 356647353 /nfs/dbraw/zinc/64/73/53/356647353.db2.gz WPHSGYFWKHWXHP-UHFFFAOYSA-N 1 2 301.346 1.009 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3nc(C#N)c(Cl)s3)CC2)cn1 ZINC000596114590 356776931 /nfs/dbraw/zinc/77/69/31/356776931.db2.gz GKLVCIMBWXFJPI-UHFFFAOYSA-N 1 2 322.825 1.724 20 30 DDEDLO Cn1ncc(C#N)c1NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000596057285 356755345 /nfs/dbraw/zinc/75/53/45/356755345.db2.gz MAHGOPOTMDADEZ-ZDUSSCGKSA-N 1 2 317.418 1.839 20 30 DDEDLO CCc1nc(C#N)cc(N2C[C@H]([NH+]3CCOCC3)C[C@H]2C)n1 ZINC000596231960 356826259 /nfs/dbraw/zinc/82/62/59/356826259.db2.gz NNFZBXBRFQYIKI-TZMCWYRMSA-N 1 2 301.394 1.210 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@H+]1C[C@H](C)OC[C@H]1C ZINC000596716907 356959789 /nfs/dbraw/zinc/95/97/89/356959789.db2.gz COUPXZDMRQUEJC-KGLIPLIRSA-N 1 2 315.483 1.704 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@@H+]1C[C@H](C)OC[C@H]1C ZINC000596716907 356959792 /nfs/dbraw/zinc/95/97/92/356959792.db2.gz COUPXZDMRQUEJC-KGLIPLIRSA-N 1 2 315.483 1.704 20 30 DDEDLO C=CCNC(=O)N1CCNC(=O)[C@@H]1c1c(C)[nH+]c2ccccn21 ZINC000597367346 357151348 /nfs/dbraw/zinc/15/13/48/357151348.db2.gz UEEDSFHTVKZKAE-AWEZNQCLSA-N 1 2 313.361 1.011 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC1(c2cccc(C#N)c2)CCOCC1 ZINC000598244722 357506175 /nfs/dbraw/zinc/50/61/75/357506175.db2.gz BTMKGICYSLYGSF-UHFFFAOYSA-N 1 2 324.384 1.656 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)N2CC[C@@](C)(C#N)C2)CC1 ZINC000598411541 357569965 /nfs/dbraw/zinc/56/99/65/357569965.db2.gz KDBQWKIDMWDQHG-KRWDZBQOSA-N 1 2 315.421 1.394 20 30 DDEDLO Cc1nc(C(C)(C)[NH+]=C([O-])N[C@H]2CCc3[nH]c[nH+]c3C2)no1 ZINC000329665723 223011473 /nfs/dbraw/zinc/01/14/73/223011473.db2.gz QAUNCWXVTOYRAB-VIFPVBQESA-N 1 2 304.354 1.397 20 30 DDEDLO Cc1nc(C(C)(C)[NH+]=C([O-])N[C@H]2CCc3[nH+]c[nH]c3C2)no1 ZINC000329665723 223011476 /nfs/dbraw/zinc/01/14/76/223011476.db2.gz QAUNCWXVTOYRAB-VIFPVBQESA-N 1 2 304.354 1.397 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N[C@@H]2Cc3c[nH+]cn3C2)cc1 ZINC000598949344 357772215 /nfs/dbraw/zinc/77/22/15/357772215.db2.gz YGZIYCCQAQCTJR-LSDHHAIUSA-N 1 2 305.341 1.178 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCOC[C@@H]2CC(F)F)CCOCC1 ZINC000599416567 357927567 /nfs/dbraw/zinc/92/75/67/357927567.db2.gz FLAWTNWGUOQMLK-QWHCGFSZSA-N 1 2 318.364 1.414 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCOC[C@@H]2CC(F)F)CCOCC1 ZINC000599416567 357927569 /nfs/dbraw/zinc/92/75/69/357927569.db2.gz FLAWTNWGUOQMLK-QWHCGFSZSA-N 1 2 318.364 1.414 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2nccnn2)CC1 ZINC000599511725 357960801 /nfs/dbraw/zinc/96/08/01/357960801.db2.gz REJHZDQKDBGSHJ-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC1(C)COC1 ZINC000599571854 357979588 /nfs/dbraw/zinc/97/95/88/357979588.db2.gz VKJVKSUONLTMOR-UHFFFAOYSA-N 1 2 308.403 1.300 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC1(C)COC1 ZINC000599571854 357979590 /nfs/dbraw/zinc/97/95/90/357979590.db2.gz VKJVKSUONLTMOR-UHFFFAOYSA-N 1 2 308.403 1.300 20 30 DDEDLO Cc1cn2c([nH+]1)C[C@H](C(=O)NC1(C#N)CCSCC1)CC2 ZINC000599715139 358037330 /nfs/dbraw/zinc/03/73/30/358037330.db2.gz WXYMLPAUVZMJOM-GFCCVEGCSA-N 1 2 304.419 1.660 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccccc2CC#N)C[C@H]1C ZINC000601383043 358528011 /nfs/dbraw/zinc/52/80/11/358528011.db2.gz KAGKGRVBBHZEPF-KGLIPLIRSA-N 1 2 321.446 1.856 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccccc2CC#N)C[C@H]1C ZINC000601383043 358528013 /nfs/dbraw/zinc/52/80/13/358528013.db2.gz KAGKGRVBBHZEPF-KGLIPLIRSA-N 1 2 321.446 1.856 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCO[C@H](C(F)F)CC2)c1 ZINC000601977868 358763828 /nfs/dbraw/zinc/76/38/28/358763828.db2.gz UZESBKSNJGDYIG-ZDUSSCGKSA-N 1 2 309.316 1.853 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCO[C@H](C(F)F)CC2)c1 ZINC000601977868 358763831 /nfs/dbraw/zinc/76/38/31/358763831.db2.gz UZESBKSNJGDYIG-ZDUSSCGKSA-N 1 2 309.316 1.853 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@H]2NC(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000602303826 358921899 /nfs/dbraw/zinc/92/18/99/358921899.db2.gz YHPRBJYFBLQULO-XJKSGUPXSA-N 1 2 307.357 1.666 20 30 DDEDLO N#Cc1cccc(C[NH2+][C@@H]2CS(=O)(=O)Cc3ccccc32)n1 ZINC000602717281 359145688 /nfs/dbraw/zinc/14/56/88/359145688.db2.gz ZJDLMYVDVDLMBQ-MRXNPFEDSA-N 1 2 313.382 1.713 20 30 DDEDLO N#Cc1cc(C[NH+]2CCN(CC(F)(F)CO)CC2)ccc1F ZINC000602875357 359258739 /nfs/dbraw/zinc/25/87/39/359258739.db2.gz LZKFQEKDVVSXGS-UHFFFAOYSA-N 1 2 313.323 1.443 20 30 DDEDLO C[C@@H]1C[N@H+]([C@H]2CCN(c3ccccc3)C2=O)C[C@H](C)N1CC#N ZINC000602858577 359244972 /nfs/dbraw/zinc/24/49/72/359244972.db2.gz TUZMWGCZCRONIC-UXLLHSPISA-N 1 2 312.417 1.710 20 30 DDEDLO C[C@@H]1C[N@@H+]([C@H]2CCN(c3ccccc3)C2=O)C[C@H](C)N1CC#N ZINC000602858577 359244976 /nfs/dbraw/zinc/24/49/76/359244976.db2.gz TUZMWGCZCRONIC-UXLLHSPISA-N 1 2 312.417 1.710 20 30 DDEDLO COc1cc(CNC(=O)C[NH2+][C@@H](C)CC#N)cc(OC)c1OC ZINC000602865804 359249723 /nfs/dbraw/zinc/24/97/23/359249723.db2.gz ZAYWNEJCHBPDPT-NSHDSACASA-N 1 2 321.377 1.220 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)NCCc1cnn(-c2ccccc2)c1 ZINC000602869093 359253238 /nfs/dbraw/zinc/25/32/38/359253238.db2.gz MERMAIIQFDTEGP-AWEZNQCLSA-N 1 2 311.389 1.423 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@H](OC)C[N@@H+]1CCOc1ccccc1C#N ZINC000602951444 359317553 /nfs/dbraw/zinc/31/75/53/359317553.db2.gz NOKSEPQWQPFWEB-WMLDXEAASA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@H](OC)C[N@H+]1CCOc1ccccc1C#N ZINC000602951444 359317556 /nfs/dbraw/zinc/31/75/56/359317556.db2.gz NOKSEPQWQPFWEB-WMLDXEAASA-N 1 2 318.373 1.589 20 30 DDEDLO CO[C@@H]1C[N@H+](CCC(=O)Nc2ccc(C#N)c(Cl)c2)CCO1 ZINC000602897816 359280208 /nfs/dbraw/zinc/28/02/08/359280208.db2.gz KOQBXBKLENFLPG-HNNXBMFYSA-N 1 2 323.780 1.845 20 30 DDEDLO CO[C@@H]1C[N@@H+](CCC(=O)Nc2ccc(C#N)c(Cl)c2)CCO1 ZINC000602897816 359280209 /nfs/dbraw/zinc/28/02/09/359280209.db2.gz KOQBXBKLENFLPG-HNNXBMFYSA-N 1 2 323.780 1.845 20 30 DDEDLO Cc1cn2ccc(NC(=O)N3CCN(CCC#N)CC3)cc2[nH+]1 ZINC000603059812 359381143 /nfs/dbraw/zinc/38/11/43/359381143.db2.gz QHHAYTVALGAZTL-UHFFFAOYSA-N 1 2 312.377 1.706 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CC[C@](COC)(C(=O)OC(C)(C)C)C1 ZINC000602964887 359328966 /nfs/dbraw/zinc/32/89/66/359328966.db2.gz VUKZUDWFJDFZQL-LRDDRELGSA-N 1 2 313.394 1.394 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CC[C@](COC)(C(=O)OC(C)(C)C)C1 ZINC000602964887 359328969 /nfs/dbraw/zinc/32/89/69/359328969.db2.gz VUKZUDWFJDFZQL-LRDDRELGSA-N 1 2 313.394 1.394 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NCc1ccccc1)[C@@H]1CCC[C@@H]1C#N ZINC000602978707 359336663 /nfs/dbraw/zinc/33/66/63/359336663.db2.gz VNNLXBBWFYGGTH-HUUCEWRRSA-N 1 2 314.389 1.636 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NCc1ccccc1)[C@@H]1CCC[C@@H]1C#N ZINC000602978707 359336664 /nfs/dbraw/zinc/33/66/64/359336664.db2.gz VNNLXBBWFYGGTH-HUUCEWRRSA-N 1 2 314.389 1.636 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cc(F)cc(C#N)c1 ZINC000603082828 359393285 /nfs/dbraw/zinc/39/32/85/359393285.db2.gz UMGMVTJYMZMTOC-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cc(F)cc(C#N)c1 ZINC000603082828 359393288 /nfs/dbraw/zinc/39/32/88/359393288.db2.gz UMGMVTJYMZMTOC-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc(C)c(C(=O)OC)o2)nn1 ZINC000603206766 359481098 /nfs/dbraw/zinc/48/10/98/359481098.db2.gz VPIHOFLMMAROKU-UHFFFAOYSA-N 1 2 302.334 1.127 20 30 DDEDLO CC[C@H](CC#N)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603308111 359558078 /nfs/dbraw/zinc/55/80/78/359558078.db2.gz IVPNWDOZUAAWDT-CYBMUJFWSA-N 1 2 307.419 1.645 20 30 DDEDLO CC[C@H](CC#N)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603308111 359558083 /nfs/dbraw/zinc/55/80/83/359558083.db2.gz IVPNWDOZUAAWDT-CYBMUJFWSA-N 1 2 307.419 1.645 20 30 DDEDLO N#Cc1nc(C2CC2)oc1N1CC[NH+](C[C@H]2CCCO2)CC1 ZINC000603590830 359662741 /nfs/dbraw/zinc/66/27/41/359662741.db2.gz JQASHEFCYFGWGA-CYBMUJFWSA-N 1 2 302.378 1.725 20 30 DDEDLO C[NH+](C)[C@@H](CNc1ccnc(C#N)n1)c1c(F)cccc1F ZINC000579374596 422760237 /nfs/dbraw/zinc/76/02/37/422760237.db2.gz VAVLWVHZPCXZIM-LBPRGKRZSA-N 1 2 303.316 1.763 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1cccc(C#N)c1 ZINC000610404832 360428290 /nfs/dbraw/zinc/42/82/90/360428290.db2.gz SGTBPKGDXQSGMH-UONOGXRCSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@H+]1CCOC[C@@H]1C)c1cccc(C#N)c1 ZINC000610404832 360428291 /nfs/dbraw/zinc/42/82/91/360428291.db2.gz SGTBPKGDXQSGMH-UONOGXRCSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@@H](C#N)C(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000610422888 360431679 /nfs/dbraw/zinc/43/16/79/360431679.db2.gz PGVHXZCPUNLDCT-LBPRGKRZSA-N 1 2 300.362 1.172 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000610566302 360472395 /nfs/dbraw/zinc/47/23/95/360472395.db2.gz QAZSVWHOFXXGHJ-XJKSGUPXSA-N 1 2 318.396 1.485 20 30 DDEDLO C[C@H](C(=O)NCCc1ccccc1)[NH+]1CCN(CCC#N)CC1 ZINC000611174047 360646259 /nfs/dbraw/zinc/64/62/59/360646259.db2.gz VLLLNWQQMRCUHU-MRXNPFEDSA-N 1 2 314.433 1.265 20 30 DDEDLO C[C@H](C(=O)NCC1CCCCC1)[NH+]1CCN(CCC#N)CC1 ZINC000611175645 360648905 /nfs/dbraw/zinc/64/89/05/360648905.db2.gz CDZXXBVFOICCBB-OAHLLOKOSA-N 1 2 306.454 1.603 20 30 DDEDLO Cn1nccc1C[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000611550358 360756247 /nfs/dbraw/zinc/75/62/47/360756247.db2.gz ADEAVVSUHNDRIP-KRWDZBQOSA-N 1 2 313.380 1.942 20 30 DDEDLO COC1CCC(CNC([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC000330184277 223084326 /nfs/dbraw/zinc/08/43/26/223084326.db2.gz IKIFIKCCRPFPHK-ROKHWSDSSA-N 1 2 306.410 1.907 20 30 DDEDLO Cc1nn(C)c(C)c1C[NH+]=C([O-])N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330197443 223086328 /nfs/dbraw/zinc/08/63/28/223086328.db2.gz RTXGHFZAEYHNJR-GFCCVEGCSA-N 1 2 302.382 1.252 20 30 DDEDLO Cc1nn(C)c(C)c1CNC([O-])=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330197443 223086330 /nfs/dbraw/zinc/08/63/30/223086330.db2.gz RTXGHFZAEYHNJR-GFCCVEGCSA-N 1 2 302.382 1.252 20 30 DDEDLO Cc1nc2ccnn2c(C)c1C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000330295224 223101540 /nfs/dbraw/zinc/10/15/40/223101540.db2.gz FPAPDMGLPNVVRM-LBPRGKRZSA-N 1 2 310.361 1.862 20 30 DDEDLO COCC(C)(C)NC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000330637148 223132214 /nfs/dbraw/zinc/13/22/14/223132214.db2.gz CBWNGPCHHBIUSO-UHFFFAOYSA-N 1 2 322.409 1.347 20 30 DDEDLO Cc1nc2cncc(N3CC[NH+](CC4(C#N)CCC4)CC3)n2n1 ZINC000333752875 223224298 /nfs/dbraw/zinc/22/42/98/223224298.db2.gz YAWPACGQUQJVLF-UHFFFAOYSA-N 1 2 311.393 1.249 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000006497492 181346075 /nfs/dbraw/zinc/34/60/75/181346075.db2.gz GZRBVDCSEDXPBW-CQSZACIVSA-N 1 2 315.373 1.772 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000006497492 181346078 /nfs/dbraw/zinc/34/60/78/181346078.db2.gz GZRBVDCSEDXPBW-CQSZACIVSA-N 1 2 315.373 1.772 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)c1ccn(-c2cccc(C#N)c2)n1 ZINC000619615794 364087860 /nfs/dbraw/zinc/08/78/60/364087860.db2.gz YJNRVEXDPYPKPZ-UHFFFAOYSA-N 1 2 320.356 1.450 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]CC(=O)N(CCC#N)c2ccccc2)n1 ZINC000348098552 223380174 /nfs/dbraw/zinc/38/01/74/223380174.db2.gz UDLJHSMYTCAVHZ-GFCCVEGCSA-N 1 2 313.361 1.976 20 30 DDEDLO CC1(C)CN(Cc2c[nH+]c3ccc(C#N)cn23)CCS1(=O)=O ZINC000093735396 193298402 /nfs/dbraw/zinc/29/84/02/193298402.db2.gz YLAAXJZDJDCEAS-UHFFFAOYSA-N 1 2 318.402 1.215 20 30 DDEDLO C#CCCNC(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000265083246 204385898 /nfs/dbraw/zinc/38/58/98/204385898.db2.gz QZWWFMWNHJUYCE-CQSZACIVSA-N 1 2 300.337 1.971 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cc(C)c(F)c(C)c2)CC1 ZINC000266151524 205139987 /nfs/dbraw/zinc/13/99/87/205139987.db2.gz JHJANVGFPVQOOU-UHFFFAOYSA-N 1 2 324.421 1.772 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2cnc(C)c(C#N)c2)CC1 ZINC000336863414 249271915 /nfs/dbraw/zinc/27/19/15/249271915.db2.gz BKFJYVREAKWWHT-LBPRGKRZSA-N 1 2 322.434 1.367 20 30 DDEDLO C#CC[N@@H+](CC(=O)N(CC(=O)OCC)c1ccccc1)C1CC1 ZINC000274133873 211385091 /nfs/dbraw/zinc/38/50/91/211385091.db2.gz IVOGDTIZFHUUKU-UHFFFAOYSA-N 1 2 314.385 1.680 20 30 DDEDLO C#CC[N@H+](CC(=O)N(CC(=O)OCC)c1ccccc1)C1CC1 ZINC000274133873 211385096 /nfs/dbraw/zinc/38/50/96/211385096.db2.gz IVOGDTIZFHUUKU-UHFFFAOYSA-N 1 2 314.385 1.680 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccc(F)c(C#N)c1 ZINC000284608660 218097869 /nfs/dbraw/zinc/09/78/69/218097869.db2.gz IDZTYISXBBMJFP-GFCCVEGCSA-N 1 2 305.353 1.490 20 30 DDEDLO C=CCSCCNC(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000105202783 194085328 /nfs/dbraw/zinc/08/53/28/194085328.db2.gz AOOHLQALZHLJLP-STQMWFEESA-N 1 2 301.456 1.314 20 30 DDEDLO C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)C[NH+]1CCC(C#N)CC1 ZINC000057907115 184061950 /nfs/dbraw/zinc/06/19/50/184061950.db2.gz WTVDGZMYDFHTGT-TZMCWYRMSA-N 1 2 306.410 1.626 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000272996878 210376703 /nfs/dbraw/zinc/37/67/03/210376703.db2.gz RQAWDSLCIQXHCL-UHFFFAOYSA-N 1 2 322.390 1.365 20 30 DDEDLO Cc1ncc(S(=O)(=O)NC[C@@H](C)Cn2cc[nH+]c2)cc1C#N ZINC000414454073 224340069 /nfs/dbraw/zinc/34/00/69/224340069.db2.gz FKIMXWWBBAKJKJ-LLVKDONJSA-N 1 2 319.390 1.073 20 30 DDEDLO CS(=O)(=O)N1CC[N@H+](CCOCCC#N)Cc2ccccc21 ZINC000339059284 533393731 /nfs/dbraw/zinc/39/37/31/533393731.db2.gz JMFZNRFVQMMLDL-UHFFFAOYSA-N 1 2 323.418 1.198 20 30 DDEDLO CS(=O)(=O)N1CC[N@@H+](CCOCCC#N)Cc2ccccc21 ZINC000339059284 533393737 /nfs/dbraw/zinc/39/37/37/533393737.db2.gz JMFZNRFVQMMLDL-UHFFFAOYSA-N 1 2 323.418 1.198 20 30 DDEDLO CCO[C@@H]1C[C@H](NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)C12CCC2 ZINC000329919176 286312930 /nfs/dbraw/zinc/31/29/30/286312930.db2.gz GGBOMSVGDNUSKS-CBBWQLFWSA-N 1 2 323.437 1.263 20 30 DDEDLO CCO[C@@H]1C[C@H](NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)C12CCC2 ZINC000329919176 286312931 /nfs/dbraw/zinc/31/29/31/286312931.db2.gz GGBOMSVGDNUSKS-CBBWQLFWSA-N 1 2 323.437 1.263 20 30 DDEDLO C[C@H]1CC[C@H](CCC(=O)N(CCC#N)CC[NH+]2CCOCC2)O1 ZINC000075196612 406927101 /nfs/dbraw/zinc/92/71/01/406927101.db2.gz OVRWIBQAQCFRBY-JKSUJKDBSA-N 1 2 323.437 1.409 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(CC(F)(F)F)CC1 ZINC000076198679 406958656 /nfs/dbraw/zinc/95/86/56/406958656.db2.gz JSRGXNQJHLSFJH-UHFFFAOYSA-N 1 2 321.387 1.981 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(CC(F)(F)F)CC1 ZINC000076198679 406958658 /nfs/dbraw/zinc/95/86/58/406958658.db2.gz JSRGXNQJHLSFJH-UHFFFAOYSA-N 1 2 321.387 1.981 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000078321022 407025304 /nfs/dbraw/zinc/02/53/04/407025304.db2.gz DOFQIBSLJROTET-AWEZNQCLSA-N 1 2 306.362 1.483 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000078321022 407025307 /nfs/dbraw/zinc/02/53/07/407025307.db2.gz DOFQIBSLJROTET-AWEZNQCLSA-N 1 2 306.362 1.483 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1coc(-c2cccs2)n1 ZINC000078639947 407037895 /nfs/dbraw/zinc/03/78/95/407037895.db2.gz DUDKLDJVJOMKAT-UHFFFAOYSA-N 1 2 322.390 1.524 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)[C@@H](C)Sc2ccccn2)CC1 ZINC000084972440 407096479 /nfs/dbraw/zinc/09/64/79/407096479.db2.gz JVIOGTNIKJNWTP-CQSZACIVSA-N 1 2 303.431 1.730 20 30 DDEDLO C[C@@H]1CC(C(=O)N(CCC#N)CC[NH+]2CCOCC2)C[C@@H](C)O1 ZINC000094074169 407203654 /nfs/dbraw/zinc/20/36/54/407203654.db2.gz LKRZFXVPXFRAKQ-HUUCEWRRSA-N 1 2 323.437 1.264 20 30 DDEDLO Cc1cccc(OCCNC(=O)C[NH+]2CCC(C#N)CC2)c1 ZINC000057906879 407218033 /nfs/dbraw/zinc/21/80/33/407218033.db2.gz DYYSXYQGDCYKGH-UHFFFAOYSA-N 1 2 301.390 1.726 20 30 DDEDLO CC[N@H+](CCNC(=O)c1cc(C#N)c(SC)[nH]c1=O)C1CC1 ZINC000101958910 407318159 /nfs/dbraw/zinc/31/81/59/407318159.db2.gz SLQFEGVXJNUJJM-UHFFFAOYSA-N 1 2 320.418 1.183 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1cc(C#N)c(SC)[nH]c1=O)C1CC1 ZINC000101958910 407318160 /nfs/dbraw/zinc/31/81/60/407318160.db2.gz SLQFEGVXJNUJJM-UHFFFAOYSA-N 1 2 320.418 1.183 20 30 DDEDLO C#CCOc1cccc(NC(=O)N(C)CC[NH+]2CCOCC2)c1 ZINC000067577776 407276905 /nfs/dbraw/zinc/27/69/05/407276905.db2.gz WWCQKUOKMZMABK-UHFFFAOYSA-N 1 2 317.389 1.495 20 30 DDEDLO C[C@H]([C@H](C)/N=C/c1cc([N+](=O)[O-])ccc1O)[NH+]1CCOCC1 ZINC000105356543 407353913 /nfs/dbraw/zinc/35/39/13/407353913.db2.gz FNIDQFUWRROXAU-AWOYWQDDSA-N 1 2 307.350 1.829 20 30 DDEDLO Cc1nc(CCN(CCC#N)CC[NH+]2CCOCC2)cs1 ZINC000124928689 407374006 /nfs/dbraw/zinc/37/40/06/407374006.db2.gz FCFMGZSSTJYRLI-UHFFFAOYSA-N 1 2 308.451 1.542 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[NH+]1CCN(C2CC2)CC1 ZINC000126047382 407403366 /nfs/dbraw/zinc/40/33/66/407403366.db2.gz DSJZPOBFDFMOMC-UHFFFAOYSA-N 1 2 304.419 1.728 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000111268178 407403846 /nfs/dbraw/zinc/40/38/46/407403846.db2.gz UPZNQKFABRUIFU-GFCCVEGCSA-N 1 2 318.377 1.165 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000111268178 407403848 /nfs/dbraw/zinc/40/38/48/407403848.db2.gz UPZNQKFABRUIFU-GFCCVEGCSA-N 1 2 318.377 1.165 20 30 DDEDLO C=CCCOCCNC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000127042089 407426704 /nfs/dbraw/zinc/42/67/04/407426704.db2.gz FWUUBUMHVUBVTB-OAHLLOKOSA-N 1 2 305.378 1.837 20 30 DDEDLO C[C@H](CNc1nc2c(cc1C#N)CCCC2)[NH+]1CCN(C)CC1 ZINC000271014992 407469312 /nfs/dbraw/zinc/46/93/12/407469312.db2.gz YJPVBIFXVBUSFN-CQSZACIVSA-N 1 2 313.449 1.880 20 30 DDEDLO CCNC(=O)COc1ccc(C[N@H+](CC)CCC#N)cc1OC ZINC000127677685 407450812 /nfs/dbraw/zinc/45/08/12/407450812.db2.gz ZJCJSOQUEBMSAD-UHFFFAOYSA-N 1 2 319.405 1.946 20 30 DDEDLO CCNC(=O)COc1ccc(C[N@@H+](CC)CCC#N)cc1OC ZINC000127677685 407450820 /nfs/dbraw/zinc/45/08/20/407450820.db2.gz ZJCJSOQUEBMSAD-UHFFFAOYSA-N 1 2 319.405 1.946 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000171008207 407572685 /nfs/dbraw/zinc/57/26/85/407572685.db2.gz IJUBAQKJEONSTC-UHFFFAOYSA-N 1 2 316.405 1.468 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000171008207 407572691 /nfs/dbraw/zinc/57/26/91/407572691.db2.gz IJUBAQKJEONSTC-UHFFFAOYSA-N 1 2 316.405 1.468 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCN(c2cccs2)C(=O)C1 ZINC000186122560 407584368 /nfs/dbraw/zinc/58/43/68/407584368.db2.gz XJJWPHLCJDLMNT-UHFFFAOYSA-N 1 2 320.418 1.159 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCN(c2cccs2)C(=O)C1 ZINC000186122560 407584373 /nfs/dbraw/zinc/58/43/73/407584373.db2.gz XJJWPHLCJDLMNT-UHFFFAOYSA-N 1 2 320.418 1.159 20 30 DDEDLO CCC1(O)CC[NH+](CC(=O)Nc2oc(C)c(C)c2C#N)CC1 ZINC000266720001 407611182 /nfs/dbraw/zinc/61/11/82/407611182.db2.gz HKOPXKQCMCNYML-UHFFFAOYSA-N 1 2 305.378 1.944 20 30 DDEDLO C#CC[N@H+](CC)CCCS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000266943369 407684211 /nfs/dbraw/zinc/68/42/11/407684211.db2.gz RTHCFUZYYGNLCA-UHFFFAOYSA-N 1 2 322.430 1.764 20 30 DDEDLO C#CC[N@@H+](CC)CCCS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000266943369 407684214 /nfs/dbraw/zinc/68/42/14/407684214.db2.gz RTHCFUZYYGNLCA-UHFFFAOYSA-N 1 2 322.430 1.764 20 30 DDEDLO C=CC[N@@H+](Cc1nnc2n1c1ccccc1c(=O)n2C)C1CC1 ZINC000271467492 407696525 /nfs/dbraw/zinc/69/65/25/407696525.db2.gz QRHLVUJJAYMICX-UHFFFAOYSA-N 1 2 309.373 1.732 20 30 DDEDLO C=CC[N@H+](Cc1nnc2n1c1ccccc1c(=O)n2C)C1CC1 ZINC000271467492 407696532 /nfs/dbraw/zinc/69/65/32/407696532.db2.gz QRHLVUJJAYMICX-UHFFFAOYSA-N 1 2 309.373 1.732 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+]([C@@H](C)c2cccc(C#N)c2)CC1 ZINC000186719939 407757559 /nfs/dbraw/zinc/75/75/59/407757559.db2.gz WFYYKJOVWZKTKN-ZDUSSCGKSA-N 1 2 307.419 1.587 20 30 DDEDLO CC(C)CN1CC[N@H+](CCOc2cccc(C#N)c2)CC1=O ZINC000187075590 407817918 /nfs/dbraw/zinc/81/79/18/407817918.db2.gz OXBZPQSYTFWHHI-UHFFFAOYSA-N 1 2 301.390 1.737 20 30 DDEDLO CC(C)CN1CC[N@@H+](CCOc2cccc(C#N)c2)CC1=O ZINC000187075590 407817925 /nfs/dbraw/zinc/81/79/25/407817925.db2.gz OXBZPQSYTFWHHI-UHFFFAOYSA-N 1 2 301.390 1.737 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)c1 ZINC000267315093 407830474 /nfs/dbraw/zinc/83/04/74/407830474.db2.gz DSZUXLLKNRPSIV-CQSZACIVSA-N 1 2 322.368 1.736 20 30 DDEDLO C=CCC(CC=C)C(=O)N(CC[NH+]1CCOCC1)CC(=O)OC ZINC000268201570 407946403 /nfs/dbraw/zinc/94/64/03/407946403.db2.gz MTZFGAKSRUVWKH-UHFFFAOYSA-N 1 2 324.421 1.089 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCCOc1ccc(Cl)cc1 ZINC000181639507 408020820 /nfs/dbraw/zinc/02/08/20/408020820.db2.gz ZFTIQBYDVWZGHN-UHFFFAOYSA-N 1 2 313.785 1.340 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+]([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000175569390 408164433 /nfs/dbraw/zinc/16/44/33/408164433.db2.gz IKQAWKAIDPLMQX-HNNXBMFYSA-N 1 2 321.465 1.934 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH+]1CCN(c2cccs2)CC1 ZINC000121302161 408180971 /nfs/dbraw/zinc/18/09/71/408180971.db2.gz FEYXNCQXOUCQLO-CQSZACIVSA-N 1 2 320.462 1.878 20 30 DDEDLO C[C@@H](O[NH+]=C(N)CCO)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000121321745 408184617 /nfs/dbraw/zinc/18/46/17/408184617.db2.gz HINOBVCKWDZMQT-LLVKDONJSA-N 1 2 307.394 1.982 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)Nc1nc(CC(=O)OCC)cs1 ZINC000175717252 408186632 /nfs/dbraw/zinc/18/66/32/408186632.db2.gz RIHNXAVATVAMBX-UHFFFAOYSA-N 1 2 323.418 1.861 20 30 DDEDLO C=CC[N@H+](Cc1ccc(Cl)s1)[C@H](C)C(=O)NC(N)=O ZINC000263742918 408235554 /nfs/dbraw/zinc/23/55/54/408235554.db2.gz GZWSQDPWICBYGV-MRVPVSSYSA-N 1 2 301.799 1.973 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(Cl)s1)[C@H](C)C(=O)NC(N)=O ZINC000263742918 408235559 /nfs/dbraw/zinc/23/55/59/408235559.db2.gz GZWSQDPWICBYGV-MRVPVSSYSA-N 1 2 301.799 1.973 20 30 DDEDLO C[C@@H]1C[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)CCCO1 ZINC000246533250 408283233 /nfs/dbraw/zinc/28/32/33/408283233.db2.gz SFHTXIIIWPQTNN-YPMHNXCESA-N 1 2 305.403 1.836 20 30 DDEDLO C[C@@H]1C[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)CCCO1 ZINC000246533250 408283239 /nfs/dbraw/zinc/28/32/39/408283239.db2.gz SFHTXIIIWPQTNN-YPMHNXCESA-N 1 2 305.403 1.836 20 30 DDEDLO CC[N@H+](Cc1cc(C#N)ccc1OC)[C@@H](C)CS(C)(=O)=O ZINC000151302646 408291880 /nfs/dbraw/zinc/29/18/80/408291880.db2.gz RUIWDHXDYGTOSP-LBPRGKRZSA-N 1 2 310.419 1.822 20 30 DDEDLO CC[N@@H+](Cc1cc(C#N)ccc1OC)[C@@H](C)CS(C)(=O)=O ZINC000151302646 408291884 /nfs/dbraw/zinc/29/18/84/408291884.db2.gz RUIWDHXDYGTOSP-LBPRGKRZSA-N 1 2 310.419 1.822 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)[C@H](C)[NH+]1CCSCC1 ZINC000269675270 408388355 /nfs/dbraw/zinc/38/83/55/408388355.db2.gz JKSFNWNURQDGSG-NSHDSACASA-N 1 2 305.403 1.943 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(CCOCC)CC1 ZINC000264291342 408428908 /nfs/dbraw/zinc/42/89/08/408428908.db2.gz NXTFDXKZPXDMSU-INIZCTEOSA-N 1 2 309.454 1.230 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cnc2ccccn12 ZINC000160629445 408505677 /nfs/dbraw/zinc/50/56/77/408505677.db2.gz KGDUGHDPHLNDHS-UHFFFAOYSA-N 1 2 313.405 1.382 20 30 DDEDLO CO[C@H]1CCC[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000177044923 408506191 /nfs/dbraw/zinc/50/61/91/408506191.db2.gz HBJGTXMCVUQYGF-QWHCGFSZSA-N 1 2 305.403 1.836 20 30 DDEDLO CO[C@H]1CCC[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000177044923 408506195 /nfs/dbraw/zinc/50/61/95/408506195.db2.gz HBJGTXMCVUQYGF-QWHCGFSZSA-N 1 2 305.403 1.836 20 30 DDEDLO C#CC[C@@H](NC(=O)N[C@@H](C)C[NH+]1CCOCC1)c1ccccc1 ZINC000270415200 408527020 /nfs/dbraw/zinc/52/70/20/408527020.db2.gz MBHIYIJDRICPIC-DOTOQJQBSA-N 1 2 315.417 1.771 20 30 DDEDLO COC(=O)[C@@]1(C)CC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000177462164 408626361 /nfs/dbraw/zinc/62/63/61/408626361.db2.gz DVYBPEFZWOCNAH-INIZCTEOSA-N 1 2 319.361 1.592 20 30 DDEDLO COC(=O)[C@@]1(C)CC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000177462164 408626366 /nfs/dbraw/zinc/62/63/66/408626366.db2.gz DVYBPEFZWOCNAH-INIZCTEOSA-N 1 2 319.361 1.592 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCc2nc([C@@H](C)OC)no2)c1 ZINC000177612243 408670303 /nfs/dbraw/zinc/67/03/03/408670303.db2.gz TVGBNXWGIYKYFW-SECBINFHSA-N 1 2 306.322 1.623 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C ZINC000265492793 408670609 /nfs/dbraw/zinc/67/06/09/408670609.db2.gz CMFZDSDYQCJEHW-CQSZACIVSA-N 1 2 301.394 1.234 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C ZINC000265492793 408670617 /nfs/dbraw/zinc/67/06/17/408670617.db2.gz CMFZDSDYQCJEHW-CQSZACIVSA-N 1 2 301.394 1.234 20 30 DDEDLO N#CCC(=O)NCC[NH+]1CCN(c2cccc(Cl)c2)CC1 ZINC000184879956 408708655 /nfs/dbraw/zinc/70/86/55/408708655.db2.gz VQGZQXVHKNNSKN-UHFFFAOYSA-N 1 2 306.797 1.492 20 30 DDEDLO CC#CCCCC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000185050106 408744176 /nfs/dbraw/zinc/74/41/76/408744176.db2.gz ZJKHJFPIRAVHTB-UHFFFAOYSA-N 1 2 301.390 1.728 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)Nc2ccc(F)c(C#N)c2)C1 ZINC000265500651 408675561 /nfs/dbraw/zinc/67/55/61/408675561.db2.gz FLGXNCUJJUBXCS-CYBMUJFWSA-N 1 2 305.357 1.065 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)Nc2ccc(F)c(C#N)c2)C1 ZINC000265500651 408675568 /nfs/dbraw/zinc/67/55/68/408675568.db2.gz FLGXNCUJJUBXCS-CYBMUJFWSA-N 1 2 305.357 1.065 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)Nc1cc(S(N)(=O)=O)ccc1C ZINC000163317627 408772707 /nfs/dbraw/zinc/77/27/07/408772707.db2.gz LEVYHHIXOIWVJK-UHFFFAOYSA-N 1 2 323.418 1.255 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N[C@@H](C)c2cccs2)C1=O ZINC000281199946 408874330 /nfs/dbraw/zinc/87/43/30/408874330.db2.gz CKGMPFCZOSDRLY-QWHCGFSZSA-N 1 2 321.446 1.644 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N[C@@H](C)c2cccs2)C1=O ZINC000281199946 408874334 /nfs/dbraw/zinc/87/43/34/408874334.db2.gz CKGMPFCZOSDRLY-QWHCGFSZSA-N 1 2 321.446 1.644 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)C[C@@H](O)c2c(F)cccc2F)C1=O ZINC000281268651 408876746 /nfs/dbraw/zinc/87/67/46/408876746.db2.gz HWOZVUTVNNBOIW-ZIAGYGMSSA-N 1 2 310.344 1.717 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)C[C@@H](O)c2c(F)cccc2F)C1=O ZINC000281268651 408876750 /nfs/dbraw/zinc/87/67/50/408876750.db2.gz HWOZVUTVNNBOIW-ZIAGYGMSSA-N 1 2 310.344 1.717 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNc1[nH+]cnc(-n2cccn2)c1N ZINC000280833055 408843200 /nfs/dbraw/zinc/84/32/00/408843200.db2.gz WLYMKTWSZVVTIW-AAEUAGOBSA-N 1 2 300.366 1.638 20 30 DDEDLO COC(=O)[C@@H]([NH2+]CCOc1ccc(C#N)cc1OC)C1CC1 ZINC000276410645 408851328 /nfs/dbraw/zinc/85/13/28/408851328.db2.gz GWRUDEPXEWYNMG-HNNXBMFYSA-N 1 2 304.346 1.487 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC=C(c2ccnn2C)CC1 ZINC000285727378 408852139 /nfs/dbraw/zinc/85/21/39/408852139.db2.gz VAFPGVMKNDKKBK-QGZVFWFLSA-N 1 2 315.421 1.564 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC=C(c2ccnn2C)CC1 ZINC000285727378 408852140 /nfs/dbraw/zinc/85/21/40/408852140.db2.gz VAFPGVMKNDKKBK-QGZVFWFLSA-N 1 2 315.421 1.564 20 30 DDEDLO CC(C)[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@H]1CCOC1 ZINC000291644473 408900066 /nfs/dbraw/zinc/90/00/66/408900066.db2.gz DZHBDRAHCDVEGO-HNNXBMFYSA-N 1 2 322.430 1.831 20 30 DDEDLO CC(C)[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@H]1CCOC1 ZINC000291644473 408900069 /nfs/dbraw/zinc/90/00/69/408900069.db2.gz DZHBDRAHCDVEGO-HNNXBMFYSA-N 1 2 322.430 1.831 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000291654677 408902150 /nfs/dbraw/zinc/90/21/50/408902150.db2.gz CEWGUIQXNWWBHE-LBPRGKRZSA-N 1 2 321.344 1.288 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCC=C(Br)C1 ZINC000281663979 408906282 /nfs/dbraw/zinc/90/62/82/408906282.db2.gz OYGCBSSUUGSBEX-SNVBAGLBSA-N 1 2 300.200 1.731 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCC=C(Br)C1 ZINC000281663979 408906285 /nfs/dbraw/zinc/90/62/85/408906285.db2.gz OYGCBSSUUGSBEX-SNVBAGLBSA-N 1 2 300.200 1.731 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2nnc(-c3ccccc3)o2)C1=O ZINC000281502830 408889079 /nfs/dbraw/zinc/88/90/79/408889079.db2.gz YEGTUIDXFYXYQG-AWEZNQCLSA-N 1 2 312.373 1.955 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2nnc(-c3ccccc3)o2)C1=O ZINC000281502830 408889081 /nfs/dbraw/zinc/88/90/81/408889081.db2.gz YEGTUIDXFYXYQG-AWEZNQCLSA-N 1 2 312.373 1.955 20 30 DDEDLO COC(=O)[C@H]1[C@@H](O)CCC[N@@H+]1CCCOc1ccc(C#N)cc1 ZINC000189589599 163107235 /nfs/dbraw/zinc/10/72/35/163107235.db2.gz FJNXGBKHUJTSKC-JKSUJKDBSA-N 1 2 318.373 1.325 20 30 DDEDLO COC(=O)[C@H]1[C@@H](O)CCC[N@H+]1CCCOc1ccc(C#N)cc1 ZINC000189589599 163107237 /nfs/dbraw/zinc/10/72/37/163107237.db2.gz FJNXGBKHUJTSKC-JKSUJKDBSA-N 1 2 318.373 1.325 20 30 DDEDLO C[C@](O)(C[NH+]1CCN(c2cc(C#N)ccn2)CC1)C(F)(F)F ZINC000281994362 408968605 /nfs/dbraw/zinc/96/86/05/408968605.db2.gz MZEKPQVOHVUVMM-ZDUSSCGKSA-N 1 2 314.311 1.389 20 30 DDEDLO C#C[C@@H](NC(=O)c1ccccc1C[NH+]1CCOCC1)C(C)C ZINC000281997528 408969019 /nfs/dbraw/zinc/96/90/19/408969019.db2.gz KADSOIHGVMRGRW-QGZVFWFLSA-N 1 2 300.402 1.906 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@H](O)COc1ccc(C(=O)OC)cc1 ZINC000292073009 408974720 /nfs/dbraw/zinc/97/47/20/408974720.db2.gz CVUFNEOQPRKOHH-HNNXBMFYSA-N 1 2 318.373 1.615 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@H](O)COc1ccc(C(=O)OC)cc1 ZINC000292073009 408974721 /nfs/dbraw/zinc/97/47/21/408974721.db2.gz CVUFNEOQPRKOHH-HNNXBMFYSA-N 1 2 318.373 1.615 20 30 DDEDLO Cc1nc(CN2CCC[N@H+](Cc3ccc(C#N)cc3)CC2)n[nH]1 ZINC000292233531 409006561 /nfs/dbraw/zinc/00/65/61/409006561.db2.gz RXRWWSCUYFTVHA-UHFFFAOYSA-N 1 2 310.405 1.693 20 30 DDEDLO Cc1nc(CN2CCC[N@@H+](Cc3ccc(C#N)cc3)CC2)n[nH]1 ZINC000292233531 409006564 /nfs/dbraw/zinc/00/65/64/409006564.db2.gz RXRWWSCUYFTVHA-UHFFFAOYSA-N 1 2 310.405 1.693 20 30 DDEDLO CN(CCC[NH+]1CCN(C)CC1)c1nc(Cl)c(C#N)s1 ZINC000287244132 409007045 /nfs/dbraw/zinc/00/70/45/409007045.db2.gz JRCQWVGKFMOALC-UHFFFAOYSA-N 1 2 313.858 1.742 20 30 DDEDLO CC[C@@H](C(N)=O)[NH+]1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC000277823151 409018886 /nfs/dbraw/zinc/01/88/86/409018886.db2.gz QYEGXCZCFXPIRC-ZDUSSCGKSA-N 1 2 306.797 1.598 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)Nc2c(C)cc[nH+]c2C)C1=O ZINC000287619421 409065137 /nfs/dbraw/zinc/06/51/37/409065137.db2.gz UCNXCXNKRHQIMS-ZDUSSCGKSA-N 1 2 302.378 1.949 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N(C)CCCn2cc[nH+]c2)c1 ZINC000293316541 409087208 /nfs/dbraw/zinc/08/72/08/409087208.db2.gz JRXHQKVFWUWMRW-UHFFFAOYSA-N 1 2 311.389 1.983 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCC[C@H](c3n[nH]c(=O)o3)C2)ccc1F ZINC000283633191 409187282 /nfs/dbraw/zinc/18/72/82/409187282.db2.gz ADMDJWSXUJDAIQ-NSHDSACASA-N 1 2 302.309 1.753 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCC[C@H](c3n[nH]c(=O)o3)C2)ccc1F ZINC000283633191 409187284 /nfs/dbraw/zinc/18/72/84/409187284.db2.gz ADMDJWSXUJDAIQ-NSHDSACASA-N 1 2 302.309 1.753 20 30 DDEDLO O=c1nc([C@H]2CCC[N@@H+](CC#Cc3ccc(Cl)cc3)C2)[nH][nH]1 ZINC000288576654 409171796 /nfs/dbraw/zinc/17/17/96/409171796.db2.gz BCUGOMKODMTWSN-ZDUSSCGKSA-N 1 2 316.792 1.983 20 30 DDEDLO O=c1nc([C@H]2CCC[N@H+](CC#Cc3ccc(Cl)cc3)C2)[nH][nH]1 ZINC000288576654 409171799 /nfs/dbraw/zinc/17/17/99/409171799.db2.gz BCUGOMKODMTWSN-ZDUSSCGKSA-N 1 2 316.792 1.983 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)C[C@@H]2CCCS(=O)(=O)C2)n1 ZINC000283901906 409236931 /nfs/dbraw/zinc/23/69/31/409236931.db2.gz BMZHXWZXGDXJQM-AWEZNQCLSA-N 1 2 309.435 1.163 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)C[C@@H]2CCCS(=O)(=O)C2)n1 ZINC000283901906 409236934 /nfs/dbraw/zinc/23/69/34/409236934.db2.gz BMZHXWZXGDXJQM-AWEZNQCLSA-N 1 2 309.435 1.163 20 30 DDEDLO C=CC[N@@H+](C[C@H](Cc1ccccc1)OC)[C@H]1CCS(=O)(=O)C1 ZINC000294909612 409305869 /nfs/dbraw/zinc/30/58/69/409305869.db2.gz IXTVWKZCUDWTRD-IRXDYDNUSA-N 1 2 323.458 1.919 20 30 DDEDLO C=CC[N@H+](C[C@H](Cc1ccccc1)OC)[C@H]1CCS(=O)(=O)C1 ZINC000294909612 409305871 /nfs/dbraw/zinc/30/58/71/409305871.db2.gz IXTVWKZCUDWTRD-IRXDYDNUSA-N 1 2 323.458 1.919 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCO3)n2CC=C)CC1 ZINC000295801140 409371848 /nfs/dbraw/zinc/37/18/48/409371848.db2.gz AHGDIJAFEWBOMC-CQSZACIVSA-N 1 2 301.394 1.071 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC[NH+](CCc2cccs2)CC1 ZINC000280611486 409379058 /nfs/dbraw/zinc/37/90/58/409379058.db2.gz QNBHRRHWOMRHFS-UHFFFAOYSA-N 1 2 314.476 1.814 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000284450095 409342510 /nfs/dbraw/zinc/34/25/10/409342510.db2.gz MIYFGFOPYDVOGV-UHFFFAOYSA-N 1 2 316.405 1.380 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@H]21 ZINC000290273823 409345483 /nfs/dbraw/zinc/34/54/83/409345483.db2.gz WJGCSIWENFYMKY-CABCVRRESA-N 1 2 318.352 1.634 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@H]21 ZINC000290273823 409345490 /nfs/dbraw/zinc/34/54/90/409345490.db2.gz WJGCSIWENFYMKY-CABCVRRESA-N 1 2 318.352 1.634 20 30 DDEDLO Cc1ccccc1CCNC(=O)[C@H](C)O[NH+]=C(N)[C@H]1CCCO1 ZINC000284587267 409351537 /nfs/dbraw/zinc/35/15/37/409351537.db2.gz XSSYWSXWUXDEQS-DZGCQCFKSA-N 1 2 319.405 1.510 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)Nc1cc(F)ccc1F ZINC000284591471 409352893 /nfs/dbraw/zinc/35/28/93/409352893.db2.gz XYTUUNLEBGULED-UFBFGSQYSA-N 1 2 313.304 1.760 20 30 DDEDLO NC(=[NH+]OCC(=O)N1CCc2ccccc2C1)[C@H]1CCCO1 ZINC000284593988 409353016 /nfs/dbraw/zinc/35/30/16/409353016.db2.gz YCQUPLIRQDCXGI-CQSZACIVSA-N 1 2 303.362 1.039 20 30 DDEDLO NC(COc1ccccc1)=[NH+]OC[C@@H]1CCCS(=O)(=O)C1 ZINC000284605863 409354402 /nfs/dbraw/zinc/35/44/02/409354402.db2.gz YRETZWOEXIGMTO-LBPRGKRZSA-N 1 2 312.391 1.179 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[C@H](F)CN1Cc1[nH+]ccn1C ZINC000296024460 409404102 /nfs/dbraw/zinc/40/41/02/409404102.db2.gz OPNIIFNNSQWDDM-STQMWFEESA-N 1 2 308.401 1.661 20 30 DDEDLO CC(C)[C@@H]([NH2+]CC(=O)N[C@](C)(C#N)C(C)C)c1nncn1C ZINC000285310997 409408705 /nfs/dbraw/zinc/40/87/05/409408705.db2.gz ICCGKNYFHRVMFK-UKRRQHHQSA-N 1 2 306.414 1.156 20 30 DDEDLO CC(C)[C@@H]([NH2+]CC(=O)Nc1cccc(C#N)c1)c1nncn1C ZINC000285390958 409423433 /nfs/dbraw/zinc/42/34/33/409423433.db2.gz OAWUOCVTFVEBJE-OAHLLOKOSA-N 1 2 312.377 1.612 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[N@@H+](C)[C@H](C)[C@@H]1C ZINC000408085060 164222624 /nfs/dbraw/zinc/22/26/24/164222624.db2.gz WIUVOUUDATWOAO-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[N@H+](C)[C@H](C)[C@@H]1C ZINC000408085060 164222625 /nfs/dbraw/zinc/22/26/25/164222625.db2.gz WIUVOUUDATWOAO-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N(C)[C@@H](C)C[NH+]1CCOCC1 ZINC000338046578 409676652 /nfs/dbraw/zinc/67/66/52/409676652.db2.gz ZWEPZJJJXPWPEQ-ZDUSSCGKSA-N 1 2 301.390 1.659 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC000338122158 409733894 /nfs/dbraw/zinc/73/38/94/409733894.db2.gz UZKONSDFHHJGKZ-ZDUSSCGKSA-N 1 2 300.406 1.232 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)nc1 ZINC000338236962 409826731 /nfs/dbraw/zinc/82/67/31/409826731.db2.gz PVJGJTKPYVUUFR-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO N#Cc1cc(F)c(N2CCN(Cc3[nH]cc[nH+]3)CC2)c(F)c1 ZINC000354620105 409972867 /nfs/dbraw/zinc/97/28/67/409972867.db2.gz ASLAVTCQUMNYAZ-UHFFFAOYSA-N 1 2 303.316 1.882 20 30 DDEDLO CN(C[C@@H](O)C[NH+]1CCOCC1)c1cccc(Cl)c1C#N ZINC000297664506 409949419 /nfs/dbraw/zinc/94/94/19/409949419.db2.gz ZJGCITVDXXRRFW-GFCCVEGCSA-N 1 2 309.797 1.341 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000297849163 410022823 /nfs/dbraw/zinc/02/28/23/410022823.db2.gz MGRGRFSXCUTFRP-CYBMUJFWSA-N 1 2 304.394 1.540 20 30 DDEDLO Cc1nnc(CN2CC[NH+]([C@@H](C)C(=O)NC3CCCC3)CC2)o1 ZINC000328974637 410030425 /nfs/dbraw/zinc/03/04/25/410030425.db2.gz AZZRRHNBDBAONM-LBPRGKRZSA-N 1 2 321.425 1.783 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)N1CCSC[C@@H]1C#N ZINC000328980330 410033746 /nfs/dbraw/zinc/03/37/46/410033746.db2.gz RKEUYAAZHCZFPN-HZSPNIEDSA-N 1 2 316.430 1.621 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3cnn(C(C)(C)C)c3)C[C@@H]21 ZINC000328997770 410045631 /nfs/dbraw/zinc/04/56/31/410045631.db2.gz FWYVOAQXTSJMMC-UONOGXRCSA-N 1 2 321.425 1.589 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3cnn(C(C)(C)C)c3)C[C@@H]21 ZINC000328997770 410045637 /nfs/dbraw/zinc/04/56/37/410045637.db2.gz FWYVOAQXTSJMMC-UONOGXRCSA-N 1 2 321.425 1.589 20 30 DDEDLO C=CCCCCNC(=O)N1CC[NH+]([C@H](C)C(=O)NC2CC2)CC1 ZINC000351689447 410153134 /nfs/dbraw/zinc/15/31/34/410153134.db2.gz AQHCVEJFGZUEJU-CQSZACIVSA-N 1 2 322.453 1.337 20 30 DDEDLO CSc1cc(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)ccn1 ZINC000329109386 410105736 /nfs/dbraw/zinc/10/57/36/410105736.db2.gz FWVLNNQVOZAVQL-OLZOCXBDSA-N 1 2 322.434 1.232 20 30 DDEDLO CSc1cc(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)ccn1 ZINC000329109386 410105743 /nfs/dbraw/zinc/10/57/43/410105743.db2.gz FWVLNNQVOZAVQL-OLZOCXBDSA-N 1 2 322.434 1.232 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNC(=O)c2cccc(C#N)c2)C1 ZINC000354896838 410156482 /nfs/dbraw/zinc/15/64/82/410156482.db2.gz HQEFZRVIAYSHHD-CQSZACIVSA-N 1 2 315.373 1.173 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNC(=O)c2cccc(C#N)c2)C1 ZINC000354896838 410156488 /nfs/dbraw/zinc/15/64/88/410156488.db2.gz HQEFZRVIAYSHHD-CQSZACIVSA-N 1 2 315.373 1.173 20 30 DDEDLO C[C@@H](C(=O)NC1CCCCC1)[N@@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000329198842 410163332 /nfs/dbraw/zinc/16/33/32/410163332.db2.gz VUCGBIQYSZRWTE-SGMGOOAPSA-N 1 2 314.451 1.783 20 30 DDEDLO C[C@@H](C(=O)NC1CCCCC1)[N@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000329198842 410163338 /nfs/dbraw/zinc/16/33/38/410163338.db2.gz VUCGBIQYSZRWTE-SGMGOOAPSA-N 1 2 314.451 1.783 20 30 DDEDLO Cc1ccn(C)c(=O)c1NC(=O)N1CCC[C@@H]1Cn1cc[nH+]c1 ZINC000329245021 410191023 /nfs/dbraw/zinc/19/10/23/410191023.db2.gz UYFAGSFPWNENSD-CYBMUJFWSA-N 1 2 315.377 1.600 20 30 DDEDLO Cc1nc([C@@H]2CCCN2C(=O)NC[C@H](C)[NH+]2CCOCC2)no1 ZINC000329384935 410270693 /nfs/dbraw/zinc/27/06/93/410270693.db2.gz PDHGKCINYOXARS-AAEUAGOBSA-N 1 2 323.397 1.150 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cc(C4CC4)ccn3)C[C@H]21 ZINC000329502774 410332155 /nfs/dbraw/zinc/33/21/55/410332155.db2.gz HYWQNUGMEPYDGM-KGLIPLIRSA-N 1 2 302.378 1.519 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cc(C4CC4)ccn3)C[C@H]21 ZINC000329502774 410332160 /nfs/dbraw/zinc/33/21/60/410332160.db2.gz HYWQNUGMEPYDGM-KGLIPLIRSA-N 1 2 302.378 1.519 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2C[C@@H](C)[N@H+](C)C[C@@H]2C)cc1C#N ZINC000352007710 410408551 /nfs/dbraw/zinc/40/85/51/410408551.db2.gz YJAQRQPHKKLVIB-ZJUUUORDSA-N 1 2 320.418 1.545 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2C[C@@H](C)[N@@H+](C)C[C@@H]2C)cc1C#N ZINC000352007710 410408554 /nfs/dbraw/zinc/40/85/54/410408554.db2.gz YJAQRQPHKKLVIB-ZJUUUORDSA-N 1 2 320.418 1.545 20 30 DDEDLO N#Cc1ccc2[nH+]cc(/C=C\c3cc(=O)n4[nH]cnc4n3)n2c1 ZINC000352035338 410434223 /nfs/dbraw/zinc/43/42/23/410434223.db2.gz PNZAOLDDERKTJP-IHWYPQMZSA-N 1 2 303.285 1.107 20 30 DDEDLO N#Cc1c(F)cccc1N1CCN(CC[NH+]2CCOCC2)CC1 ZINC000299114915 410531081 /nfs/dbraw/zinc/53/10/81/410531081.db2.gz JAUQREBSYBSXOK-UHFFFAOYSA-N 1 2 318.396 1.152 20 30 DDEDLO N#Cc1cnccc1NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000355532455 410565213 /nfs/dbraw/zinc/56/52/13/410565213.db2.gz PSQKXLLRVMBOIA-QGZVFWFLSA-N 1 2 322.368 1.215 20 30 DDEDLO N#Cc1cnccc1NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000355532455 410565219 /nfs/dbraw/zinc/56/52/19/410565219.db2.gz PSQKXLLRVMBOIA-QGZVFWFLSA-N 1 2 322.368 1.215 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000299334570 410589602 /nfs/dbraw/zinc/58/96/02/410589602.db2.gz RWRJXTHQQKVLGD-AWEZNQCLSA-N 1 2 319.405 1.516 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)NC(=O)NCc2cccs2)CC1 ZINC000352448912 410659678 /nfs/dbraw/zinc/65/96/78/410659678.db2.gz JUNXSUDKSKMMNC-UHFFFAOYSA-N 1 2 324.381 1.402 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000352558037 410666056 /nfs/dbraw/zinc/66/60/56/410666056.db2.gz TZTQUEWYRNXNJF-UHFFFAOYSA-N 1 2 310.442 1.726 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000352558037 410666062 /nfs/dbraw/zinc/66/60/62/410666062.db2.gz TZTQUEWYRNXNJF-UHFFFAOYSA-N 1 2 310.442 1.726 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2OC)[C@@H](C)C1 ZINC000352625886 410670974 /nfs/dbraw/zinc/67/09/74/410670974.db2.gz KJCGJRYWEDFBGQ-LBPRGKRZSA-N 1 2 323.418 1.282 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2OC)[C@@H](C)C1 ZINC000352625886 410670979 /nfs/dbraw/zinc/67/09/79/410670979.db2.gz KJCGJRYWEDFBGQ-LBPRGKRZSA-N 1 2 323.418 1.282 20 30 DDEDLO CC[C@H](C)[N@H+](CC(=O)NC1(C#N)CCCCC1)CC(=O)OC ZINC000337248291 410672800 /nfs/dbraw/zinc/67/28/00/410672800.db2.gz POKLZWBUNFNDDM-ZDUSSCGKSA-N 1 2 309.410 1.603 20 30 DDEDLO CC[C@H](C)[N@@H+](CC(=O)NC1(C#N)CCCCC1)CC(=O)OC ZINC000337248291 410672803 /nfs/dbraw/zinc/67/28/03/410672803.db2.gz POKLZWBUNFNDDM-ZDUSSCGKSA-N 1 2 309.410 1.603 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)CCc1[nH]cc[nH+]1 ZINC000356174423 410877868 /nfs/dbraw/zinc/87/78/68/410877868.db2.gz ZHJJNKSGBODWPV-UHFFFAOYSA-N 1 2 321.344 1.742 20 30 DDEDLO COc1ccccc1[C@@H]1CN(C(=O)c2ccc(C#N)[nH]2)CC[NH2+]1 ZINC000337590965 410882070 /nfs/dbraw/zinc/88/20/70/410882070.db2.gz MWGVVYAQGUUGRQ-HNNXBMFYSA-N 1 2 310.357 1.682 20 30 DDEDLO CC[C@H](C)[C@@H]([NH2+]C[C@@H](O)COc1ccc(C#N)cc1)C(=O)OC ZINC000348003308 410817748 /nfs/dbraw/zinc/81/77/48/410817748.db2.gz LGMGLCCARZUUNN-JGGQBBKZSA-N 1 2 320.389 1.475 20 30 DDEDLO CC(C)N(C[C@H](C)O)C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000330997842 410909851 /nfs/dbraw/zinc/90/98/51/410909851.db2.gz UONAKXHKXWWICI-ZDUSSCGKSA-N 1 2 301.431 1.102 20 30 DDEDLO CC(C)N(C[C@H](C)O)C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000330997842 410909857 /nfs/dbraw/zinc/90/98/57/410909857.db2.gz UONAKXHKXWWICI-ZDUSSCGKSA-N 1 2 301.431 1.102 20 30 DDEDLO C[C@@H](C(=O)NC[C@H](C)[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000360003693 410995619 /nfs/dbraw/zinc/99/56/19/410995619.db2.gz FBCRJHKOGSLBGI-UONOGXRCSA-N 1 2 301.390 1.499 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCN(C(=O)CSCC#N)CC2)oc1C ZINC000360151387 411075520 /nfs/dbraw/zinc/07/55/20/411075520.db2.gz WEHGUUMGMAWIHX-UHFFFAOYSA-N 1 2 322.434 1.583 20 30 DDEDLO Cc1nc(C[N@H+]2CCCN(C(=O)CSCC#N)CC2)oc1C ZINC000360151387 411075528 /nfs/dbraw/zinc/07/55/28/411075528.db2.gz WEHGUUMGMAWIHX-UHFFFAOYSA-N 1 2 322.434 1.583 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCCN(c2ccccc2Cl)CC1 ZINC000331420922 411081190 /nfs/dbraw/zinc/08/11/90/411081190.db2.gz AQXVQTKUJWNCOJ-UHFFFAOYSA-N 1 2 306.797 1.492 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCCN(c2ccccc2Cl)CC1 ZINC000331420922 411081194 /nfs/dbraw/zinc/08/11/94/411081194.db2.gz AQXVQTKUJWNCOJ-UHFFFAOYSA-N 1 2 306.797 1.492 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)C(=O)Nc1ccc(Cl)c(C#N)c1 ZINC000348564708 411021120 /nfs/dbraw/zinc/02/11/20/411021120.db2.gz UGRVPWZSBRNLMA-UHFFFAOYSA-N 1 2 317.736 1.200 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000645254802 422964606 /nfs/dbraw/zinc/96/46/06/422964606.db2.gz KIRLTALGXMZJBX-JTQLQIEISA-N 1 2 318.299 1.019 20 30 DDEDLO N#Cc1cnc(N[C@H](C[NH+]2CCOCC2)c2ccccc2)cn1 ZINC000133026227 196306277 /nfs/dbraw/zinc/30/62/77/196306277.db2.gz PFKILHXDYIZLAP-MRXNPFEDSA-N 1 2 309.373 1.834 20 30 DDEDLO C=CCNC(=O)c1ccc(NCC[N@@H+]2CCO[C@H](C)C2)nc1 ZINC000602602717 416627958 /nfs/dbraw/zinc/62/79/58/416627958.db2.gz YIGJZEITVAEYKS-CYBMUJFWSA-N 1 2 304.394 1.130 20 30 DDEDLO C=CCNC(=O)c1ccc(NCC[N@H+]2CCO[C@H](C)C2)nc1 ZINC000602602717 416627962 /nfs/dbraw/zinc/62/79/62/416627962.db2.gz YIGJZEITVAEYKS-CYBMUJFWSA-N 1 2 304.394 1.130 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000366201460 418443021 /nfs/dbraw/zinc/44/30/21/418443021.db2.gz IKNWRCYUIBERSX-HUUCEWRRSA-N 1 2 319.368 1.637 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000366201460 418443023 /nfs/dbraw/zinc/44/30/23/418443023.db2.gz IKNWRCYUIBERSX-HUUCEWRRSA-N 1 2 319.368 1.637 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000366573804 418492649 /nfs/dbraw/zinc/49/26/49/418492649.db2.gz NIBVDYMZVXVQSI-GDBMZVCRSA-N 1 2 309.410 1.018 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000366573804 418492651 /nfs/dbraw/zinc/49/26/51/418492651.db2.gz NIBVDYMZVXVQSI-GDBMZVCRSA-N 1 2 309.410 1.018 20 30 DDEDLO Cn1c[nH+]c2c1CCN(C(=O)/C=C/c1ccc(OCC#N)cc1)C2 ZINC000366690981 418505634 /nfs/dbraw/zinc/50/56/34/418505634.db2.gz VPKYCGZJWPBEBB-QPJJXVBHSA-N 1 2 322.368 1.921 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)[C@H](C)Oc1ccc(C#N)cc1)CC2 ZINC000374663478 418553527 /nfs/dbraw/zinc/55/35/27/418553527.db2.gz MLWWPMOKSYEIGG-ZDUSSCGKSA-N 1 2 310.357 1.873 20 30 DDEDLO CCN1CC[NH+](CCCNC(=O)c2ccc(C#N)c(O)c2)CC1 ZINC000188300263 222011256 /nfs/dbraw/zinc/01/12/56/222011256.db2.gz YZDPNMQVXZPFPH-UHFFFAOYSA-N 1 2 316.405 1.021 20 30 DDEDLO COCCN1CCC[N@H+](CC#Cc2cccc(Cl)c2)CC1=O ZINC000190778573 222088968 /nfs/dbraw/zinc/08/89/68/222088968.db2.gz YCXQJFVYTYRJAH-UHFFFAOYSA-N 1 2 320.820 1.872 20 30 DDEDLO COCCN1CCC[N@@H+](CC#Cc2cccc(Cl)c2)CC1=O ZINC000190778573 222088972 /nfs/dbraw/zinc/08/89/72/222088972.db2.gz YCXQJFVYTYRJAH-UHFFFAOYSA-N 1 2 320.820 1.872 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N[C@@H](C)C[NH+]2CCN(C)CC2)cc1 ZINC000264461223 222331912 /nfs/dbraw/zinc/33/19/12/222331912.db2.gz RSWVDWRKRIYAEG-QCUKBLKESA-N 1 2 318.421 1.033 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000375130491 418608601 /nfs/dbraw/zinc/60/86/01/418608601.db2.gz ZKXSDWJTMSTFPY-DOTOQJQBSA-N 1 2 310.438 1.586 20 30 DDEDLO Cc1cc(NC(=O)N[C@@H]2CCO[C@@H](c3c[nH+]cn3C)C2)no1 ZINC000330013780 418610499 /nfs/dbraw/zinc/61/04/99/418610499.db2.gz AHNAFRRUZLGKGC-ZYHUDNBSSA-N 1 2 305.338 1.963 20 30 DDEDLO N#C[C@H](c1cccc(Cl)c1)N1CC[NH+]([C@H]2COC[C@H]2O)CC1 ZINC000361396475 418643427 /nfs/dbraw/zinc/64/34/27/418643427.db2.gz UJOBXDORCLVKQD-OWCLPIDISA-N 1 2 321.808 1.282 20 30 DDEDLO N#CCN1CCC[C@H](CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000267100650 222371248 /nfs/dbraw/zinc/37/12/48/222371248.db2.gz OJULLUZGWBYBCM-OAHLLOKOSA-N 1 2 323.400 1.838 20 30 DDEDLO N#Cc1ccc(CNc2ccn(CC[NH+]3CCOCC3)n2)cc1 ZINC000344964810 418634197 /nfs/dbraw/zinc/63/41/97/418634197.db2.gz HMGSJCJMWBDRSH-UHFFFAOYSA-N 1 2 311.389 1.699 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000377835468 418715589 /nfs/dbraw/zinc/71/55/89/418715589.db2.gz UGIHLJUDYCJDNX-HIFRSBDPSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000377835468 418715594 /nfs/dbraw/zinc/71/55/94/418715594.db2.gz UGIHLJUDYCJDNX-HIFRSBDPSA-N 1 2 318.373 1.741 20 30 DDEDLO CC#CCNC(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(OC)cc1 ZINC000376492774 418698479 /nfs/dbraw/zinc/69/84/79/418698479.db2.gz SKEWOWAKMZPGCQ-OAHLLOKOSA-N 1 2 312.373 1.841 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC000369132672 418728793 /nfs/dbraw/zinc/72/87/93/418728793.db2.gz YWQYCHGTQRAGIA-LSDHHAIUSA-N 1 2 323.437 1.264 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC000369132672 418728796 /nfs/dbraw/zinc/72/87/96/418728796.db2.gz YWQYCHGTQRAGIA-LSDHHAIUSA-N 1 2 323.437 1.264 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CC[C@H]([N@H+](C)Cc2ncccn2)C1 ZINC000369639231 418736385 /nfs/dbraw/zinc/73/63/85/418736385.db2.gz OAELVCJRGBZDHE-KBPBESRZSA-N 1 2 301.394 1.104 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CC[C@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000369639231 418736386 /nfs/dbraw/zinc/73/63/86/418736386.db2.gz OAELVCJRGBZDHE-KBPBESRZSA-N 1 2 301.394 1.104 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@](C)(CC)CCC)CC1 ZINC000369796949 418738006 /nfs/dbraw/zinc/73/80/06/418738006.db2.gz QPSRNQJUUDOFJG-GOSISDBHSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@](C)(CC)CCC)CC1 ZINC000369796949 418738008 /nfs/dbraw/zinc/73/80/08/418738008.db2.gz QPSRNQJUUDOFJG-GOSISDBHSA-N 1 2 321.465 1.487 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2cc(C#N)ccc2C)C[C@H]1C ZINC000408041774 418782961 /nfs/dbraw/zinc/78/29/61/418782961.db2.gz OJVSPDXQNLRADQ-ZIAGYGMSSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2cc(C#N)ccc2C)C[C@H]1C ZINC000408041774 418782964 /nfs/dbraw/zinc/78/29/64/418782964.db2.gz OJVSPDXQNLRADQ-ZIAGYGMSSA-N 1 2 321.446 1.970 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)c2ccccc2OCC#N)C1 ZINC000371045843 418758750 /nfs/dbraw/zinc/75/87/50/418758750.db2.gz WTZOCAWVOGCXTP-ZDUSSCGKSA-N 1 2 310.357 1.952 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@H](C)C[C@@H](CO)C2)c(C#N)c1C ZINC000398859201 418763016 /nfs/dbraw/zinc/76/30/16/418763016.db2.gz RCDJXECRLGMYKA-ZWNOBZJWSA-N 1 2 305.378 1.657 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@H](C)C[C@@H](CO)C2)c(C#N)c1C ZINC000398859201 418763019 /nfs/dbraw/zinc/76/30/19/418763019.db2.gz RCDJXECRLGMYKA-ZWNOBZJWSA-N 1 2 305.378 1.657 20 30 DDEDLO CC(C)(C#N)CC(=O)N1CC[NH+](CC(=O)N2CCCCC2)CC1 ZINC000408269044 418794967 /nfs/dbraw/zinc/79/49/67/418794967.db2.gz HMNMSGBGWHILNO-UHFFFAOYSA-N 1 2 320.437 1.083 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)c3ccc(C(C)(C)C#N)cc3)C[C@@H]21 ZINC000408314674 418798069 /nfs/dbraw/zinc/79/80/69/418798069.db2.gz CCMDSWOBEXBEEF-HOTGVXAUSA-N 1 2 313.401 1.643 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)c3ccc(C(C)(C)C#N)cc3)C[C@@H]21 ZINC000408314674 418798072 /nfs/dbraw/zinc/79/80/72/418798072.db2.gz CCMDSWOBEXBEEF-HOTGVXAUSA-N 1 2 313.401 1.643 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+](CC(=O)N(C)C)CC2)CCC1 ZINC000365060838 418834597 /nfs/dbraw/zinc/83/45/97/418834597.db2.gz DDCFHUPZOSPOER-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+](CC(=O)N(C)C)CC2)CCC1 ZINC000365060838 418834598 /nfs/dbraw/zinc/83/45/98/418834598.db2.gz DDCFHUPZOSPOER-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+](C2(C(N)=O)CCCC2)CC1 ZINC000372806827 418904121 /nfs/dbraw/zinc/90/41/21/418904121.db2.gz SJKPGUIJSFLZCX-AWEZNQCLSA-N 1 2 307.438 1.531 20 30 DDEDLO Cc1cc(N2CC[C@@H](C(=O)NCC(F)(F)F)C2)c(C#N)c[nH+]1 ZINC000425227912 228395677 /nfs/dbraw/zinc/39/56/77/228395677.db2.gz AANRWEUUWQBVRB-SNVBAGLBSA-N 1 2 312.295 1.767 20 30 DDEDLO COC(=O)C(C)(C)N1CC[NH+](Cc2ccc(C#N)s2)CC1 ZINC000428416039 419861968 /nfs/dbraw/zinc/86/19/68/419861968.db2.gz CCPYYALRHFDKGQ-UHFFFAOYSA-N 1 2 307.419 1.689 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)NCc1ccc[nH+]c1N(C)C ZINC000437672162 420418017 /nfs/dbraw/zinc/41/80/17/420418017.db2.gz WYZPJXYKKUGRCJ-DZGCQCFKSA-N 1 2 318.421 1.928 20 30 DDEDLO C=CCC[C@H](NC(=O)Cc1cn2ccccc2[nH+]1)C(=O)OCC ZINC000456630578 420518965 /nfs/dbraw/zinc/51/89/65/420518965.db2.gz QOJRPORQMDXTSI-AWEZNQCLSA-N 1 2 315.373 1.891 20 30 DDEDLO COc1ccc(C)cc1/C=C\C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000493546262 420878872 /nfs/dbraw/zinc/87/88/72/420878872.db2.gz DRATUHUTYQILER-NBEHIINDSA-N 1 2 301.390 1.977 20 30 DDEDLO CC(C)(C#N)CNC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000479217018 420975150 /nfs/dbraw/zinc/97/51/50/420975150.db2.gz OFKJMPIEVOEHKG-UHFFFAOYSA-N 1 2 317.393 1.267 20 30 DDEDLO C[C@@H]1CC=C(C(=O)N(CCC#N)CC[NH+]2CCOCC2)CC1 ZINC000455227674 420986420 /nfs/dbraw/zinc/98/64/20/420986420.db2.gz ULNLLYHBXXLVNV-OAHLLOKOSA-N 1 2 305.422 1.807 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494134066 420996742 /nfs/dbraw/zinc/99/67/42/420996742.db2.gz OKEHHBNVTWVIDR-AWEZNQCLSA-N 1 2 301.346 1.593 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494134066 420996745 /nfs/dbraw/zinc/99/67/45/420996745.db2.gz OKEHHBNVTWVIDR-AWEZNQCLSA-N 1 2 301.346 1.593 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000455435174 421013781 /nfs/dbraw/zinc/01/37/81/421013781.db2.gz MZEIJIOHUNWONZ-AWEZNQCLSA-N 1 2 315.377 1.775 20 30 DDEDLO C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489713575 421171824 /nfs/dbraw/zinc/17/18/24/421171824.db2.gz XOBNCBSIRCJOPC-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489713575 421171829 /nfs/dbraw/zinc/17/18/29/421171829.db2.gz XOBNCBSIRCJOPC-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO CCn1c2ccccc2nc1[C@@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC000490166600 421191048 /nfs/dbraw/zinc/19/10/48/421191048.db2.gz ZIZDQRMXJMZTSL-HNNXBMFYSA-N 1 2 311.389 1.833 20 30 DDEDLO CCn1c2ccccc2nc1[C@@H]1CCC[N@H+]1CC(=O)NCC#N ZINC000490166600 421191049 /nfs/dbraw/zinc/19/10/49/421191049.db2.gz ZIZDQRMXJMZTSL-HNNXBMFYSA-N 1 2 311.389 1.833 20 30 DDEDLO C#CCNC(=O)c1ccc(NCc2ccn3cc[nH+]c3c2)nc1 ZINC000491475500 421198097 /nfs/dbraw/zinc/19/80/97/421198097.db2.gz XLSWVGIIKVRAEQ-UHFFFAOYSA-N 1 2 305.341 1.704 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNc2cccc(C#N)c2[N+](=O)[O-])CCO1 ZINC000450485035 421203036 /nfs/dbraw/zinc/20/30/36/421203036.db2.gz NVGRENNRSPHCSC-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNc2cccc(C#N)c2[N+](=O)[O-])CCO1 ZINC000450485035 421203038 /nfs/dbraw/zinc/20/30/38/421203038.db2.gz NVGRENNRSPHCSC-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+](CC(=O)Nc3ccc(C#N)cc3)C2)[nH]1 ZINC000560722684 421290561 /nfs/dbraw/zinc/29/05/61/421290561.db2.gz NZZATGOXNUNHME-CYBMUJFWSA-N 1 2 310.361 1.413 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+](CC(=O)Nc3ccc(C#N)cc3)C2)[nH]1 ZINC000560722684 421290564 /nfs/dbraw/zinc/29/05/64/421290564.db2.gz NZZATGOXNUNHME-CYBMUJFWSA-N 1 2 310.361 1.413 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@H+](CC(=O)Nc3ccc(C#N)cc3)C2)n1 ZINC000560722684 421290567 /nfs/dbraw/zinc/29/05/67/421290567.db2.gz NZZATGOXNUNHME-CYBMUJFWSA-N 1 2 310.361 1.413 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@@H+](CC(=O)Nc3ccc(C#N)cc3)C2)n1 ZINC000560722684 421290569 /nfs/dbraw/zinc/29/05/69/421290569.db2.gz NZZATGOXNUNHME-CYBMUJFWSA-N 1 2 310.361 1.413 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCc2nc(C(C)(C)C)ncc2C1 ZINC000528594519 421500257 /nfs/dbraw/zinc/50/02/57/421500257.db2.gz QNFZGGGMHZKGRS-GFCCVEGCSA-N 1 2 302.422 1.823 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCc2nc(C(C)(C)C)ncc2C1 ZINC000528594519 421500262 /nfs/dbraw/zinc/50/02/62/421500262.db2.gz QNFZGGGMHZKGRS-GFCCVEGCSA-N 1 2 302.422 1.823 20 30 DDEDLO CCN1CCN(C(=O)Cc2ccc(C#N)nc2)C[C@H]1c1[nH]cc[nH+]1 ZINC000529095549 421528066 /nfs/dbraw/zinc/52/80/66/421528066.db2.gz IRFFMOLWSOODTP-HNNXBMFYSA-N 1 2 324.388 1.124 20 30 DDEDLO C[NH+](C)[C@H](CNc1ccc(C#N)c(N)n1)c1c(F)cccc1F ZINC000563419821 421506288 /nfs/dbraw/zinc/50/62/88/421506288.db2.gz CBAGOVCSMKAWBR-CYBMUJFWSA-N 1 2 317.343 2.528 20 30 DDEDLO N#CCC1(O)CN(C(=O)C[C@@H](c2[nH]cc[nH+]2)c2ccccc2)C1 ZINC000564876706 421593881 /nfs/dbraw/zinc/59/38/81/421593881.db2.gz UQOXIBRFGRQDPS-CQSZACIVSA-N 1 2 310.357 1.419 20 30 DDEDLO CC[C@H](CC#N)[NH2+][C@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000570737430 421666264 /nfs/dbraw/zinc/66/62/64/421666264.db2.gz VGDBVEBSALLWTE-VXGBXAGGSA-N 1 2 323.418 1.699 20 30 DDEDLO N#Cc1csc(C[N@H+]2CCC[C@H](c3ccnc(N)n3)C2)n1 ZINC000571338874 421706811 /nfs/dbraw/zinc/70/68/11/421706811.db2.gz HTPGNZKUPSJKTI-JTQLQIEISA-N 1 2 300.391 1.767 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CCC[C@H](c3ccnc(N)n3)C2)n1 ZINC000571338874 421706814 /nfs/dbraw/zinc/70/68/14/421706814.db2.gz HTPGNZKUPSJKTI-JTQLQIEISA-N 1 2 300.391 1.767 20 30 DDEDLO CC(=O)c1cccc(OCC(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000555483024 421679211 /nfs/dbraw/zinc/67/92/11/421679211.db2.gz SFCXJZPLTVNDBE-MRXNPFEDSA-N 1 2 303.362 1.228 20 30 DDEDLO CN1C[C@@H]([N@H+](C)Cc2c(C#N)cccc2[N+](=O)[O-])CCC1=O ZINC000572055208 421762339 /nfs/dbraw/zinc/76/23/39/421762339.db2.gz GJYSDGRFDFQUDI-LBPRGKRZSA-N 1 2 302.334 1.519 20 30 DDEDLO CN1C[C@@H]([N@@H+](C)Cc2c(C#N)cccc2[N+](=O)[O-])CCC1=O ZINC000572055208 421762341 /nfs/dbraw/zinc/76/23/41/421762341.db2.gz GJYSDGRFDFQUDI-LBPRGKRZSA-N 1 2 302.334 1.519 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](Cc3ncccc3O)CC2)cc1 ZINC000572204724 421769418 /nfs/dbraw/zinc/76/94/18/421769418.db2.gz CMMSZFYYFKALSJ-UHFFFAOYSA-N 1 2 308.385 1.977 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(Cc3ncccc3O)CC2)cc1 ZINC000572204724 421769420 /nfs/dbraw/zinc/76/94/20/421769420.db2.gz CMMSZFYYFKALSJ-UHFFFAOYSA-N 1 2 308.385 1.977 20 30 DDEDLO N#Cc1cccc(C2(C(=O)N[C@@H]3CCc4[nH+]c[nH]c4C3)CC2)c1 ZINC000572588756 421803035 /nfs/dbraw/zinc/80/30/35/421803035.db2.gz NINQNMRVZXRCCX-CQSZACIVSA-N 1 2 306.369 1.987 20 30 DDEDLO N#Cc1cccc(C2(C(=O)N[C@@H]3CCc4[nH]c[nH+]c4C3)CC2)c1 ZINC000572588756 421803036 /nfs/dbraw/zinc/80/30/36/421803036.db2.gz NINQNMRVZXRCCX-CQSZACIVSA-N 1 2 306.369 1.987 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc2c(c1)NC(=O)C2(C)C ZINC000572769031 421857196 /nfs/dbraw/zinc/85/71/96/421857196.db2.gz LQYFIWPBCYLBTD-QGZVFWFLSA-N 1 2 314.389 1.490 20 30 DDEDLO Cc1nsc(N2CCC[N@H+](Cc3ccc(C#N)cn3)CC2)n1 ZINC000574953342 422219864 /nfs/dbraw/zinc/21/98/64/422219864.db2.gz MEAHRVNQWXHBFJ-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO Cc1nsc(N2CCC[N@@H+](Cc3ccc(C#N)cn3)CC2)n1 ZINC000574953342 422219869 /nfs/dbraw/zinc/21/98/69/422219869.db2.gz MEAHRVNQWXHBFJ-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000593899902 422342543 /nfs/dbraw/zinc/34/25/43/422342543.db2.gz HITGDXVNGVWELU-NSHDSACASA-N 1 2 320.356 2.141 20 30 DDEDLO C[C@@H]1C[NH+](Cc2nc(N)c3ccccc3n2)C[C@@H](C)N1CC#N ZINC000602862368 422437572 /nfs/dbraw/zinc/43/75/72/422437572.db2.gz NNZLJQFSBUDWRN-CHWSQXEVSA-N 1 2 310.405 1.630 20 30 DDEDLO C=CCCCS(=O)(=O)N[C@@H]1C[C@H]([NH+]2CCOCC2)C1(C)C ZINC000632502626 422393929 /nfs/dbraw/zinc/39/39/29/422393929.db2.gz PESPRSHBYWERAA-KGLIPLIRSA-N 1 2 316.467 1.371 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)N3CCCC3)CC2)C1 ZINC000631269234 422645245 /nfs/dbraw/zinc/64/52/45/422645245.db2.gz NRSLTYFYXHYJRV-UHFFFAOYSA-N 1 2 319.449 1.499 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)N3CCCC3)CC2)C1 ZINC000631269234 422645250 /nfs/dbraw/zinc/64/52/50/422645250.db2.gz NRSLTYFYXHYJRV-UHFFFAOYSA-N 1 2 319.449 1.499 20 30 DDEDLO C=CCn1cc(C(=O)NCCn2c(C)[nH+]c3ccccc32)nn1 ZINC000648717832 423424974 /nfs/dbraw/zinc/42/49/74/423424974.db2.gz YNXJRUXNMJTUAG-UHFFFAOYSA-N 1 2 310.361 1.552 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H](c3n[nH]c(=O)o3)C2)nn1 ZINC000653657319 423566392 /nfs/dbraw/zinc/56/63/92/423566392.db2.gz QVKXCGLNSXWOPQ-NSHDSACASA-N 1 2 304.354 1.322 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H](c3n[nH]c(=O)o3)C2)nn1 ZINC000653657319 423566397 /nfs/dbraw/zinc/56/63/97/423566397.db2.gz QVKXCGLNSXWOPQ-NSHDSACASA-N 1 2 304.354 1.322 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)Cc2cn3c([nH+]2)CCCC3)C1=O ZINC000651972815 423757145 /nfs/dbraw/zinc/75/71/45/423757145.db2.gz UJVNNNNYGVBSLO-AWEZNQCLSA-N 1 2 316.405 1.007 20 30 DDEDLO Clc1ccc(C#CC[N@@H+]2CCO[C@H](Cn3ccnn3)C2)cc1 ZINC000639856754 423831991 /nfs/dbraw/zinc/83/19/91/423831991.db2.gz WBTBVEGVROVVEF-INIZCTEOSA-N 1 2 316.792 1.684 20 30 DDEDLO Clc1ccc(C#CC[N@H+]2CCO[C@H](Cn3ccnn3)C2)cc1 ZINC000639856754 423832002 /nfs/dbraw/zinc/83/20/02/423832002.db2.gz WBTBVEGVROVVEF-INIZCTEOSA-N 1 2 316.792 1.684 20 30 DDEDLO C=CCOCCNC(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000654990801 424093874 /nfs/dbraw/zinc/09/38/74/424093874.db2.gz SADGRHMPPFIGIK-SNVBAGLBSA-N 1 2 305.300 1.775 20 30 DDEDLO C=CCCn1cc(C[NH2+]CC(=O)NCCc2ccccc2)nn1 ZINC000657356944 424307022 /nfs/dbraw/zinc/30/70/22/424307022.db2.gz QFRQABKCWPKVBE-UHFFFAOYSA-N 1 2 313.405 1.303 20 30 DDEDLO C=CCCNC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000664086849 424386028 /nfs/dbraw/zinc/38/60/28/424386028.db2.gz ZVCVESVRQVJRTO-KRWDZBQOSA-N 1 2 317.433 1.805 20 30 DDEDLO C=CCOc1ccccc1C[NH2+][C@H]1CS(=O)(=O)C[C@@H]1OC ZINC000657606228 424442750 /nfs/dbraw/zinc/44/27/50/424442750.db2.gz WHEGSTAVYZTDDT-ZFWWWQNUSA-N 1 2 311.403 1.153 20 30 DDEDLO C=CCOCCCNc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000664387396 424570575 /nfs/dbraw/zinc/57/05/75/424570575.db2.gz FMHGDHSSCWGXPS-UHFFFAOYSA-N 1 2 306.410 1.832 20 30 DDEDLO C=CCOCCCNc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000664387396 424570580 /nfs/dbraw/zinc/57/05/80/424570580.db2.gz FMHGDHSSCWGXPS-UHFFFAOYSA-N 1 2 306.410 1.832 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1ccn2cc[nH+]c2c1)[C@H](C)COC ZINC000658376215 424653015 /nfs/dbraw/zinc/65/30/15/424653015.db2.gz RTFIFBJAOPMNIF-GFCCVEGCSA-N 1 2 316.361 1.322 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000665398814 424799474 /nfs/dbraw/zinc/79/94/74/424799474.db2.gz ZZNYMERLYHDGPA-QGZVFWFLSA-N 1 2 304.390 1.147 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)[C@H](C)c2cccc(C#N)c2)CCO1 ZINC000360454857 266379694 /nfs/dbraw/zinc/37/96/94/266379694.db2.gz LBDDCDRDSGFXGS-RHSMWYFYSA-N 1 2 315.417 1.889 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)[C@H](C)c2cccc(C#N)c2)CCO1 ZINC000360454857 266379696 /nfs/dbraw/zinc/37/96/96/266379696.db2.gz LBDDCDRDSGFXGS-RHSMWYFYSA-N 1 2 315.417 1.889 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2ccc(C#N)nc2)C[C@H](C)O1 ZINC000345417455 267049890 /nfs/dbraw/zinc/04/98/90/267049890.db2.gz YZNCNNSYKSVZCL-BETUJISGSA-N 1 2 302.378 1.134 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2ccc(C#N)nc2)C[C@H](C)O1 ZINC000345417455 267049893 /nfs/dbraw/zinc/04/98/93/267049893.db2.gz YZNCNNSYKSVZCL-BETUJISGSA-N 1 2 302.378 1.134 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](C)CCN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000357041233 267107602 /nfs/dbraw/zinc/10/76/02/267107602.db2.gz QLLGBYWAUZOAQZ-UONOGXRCSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](C)CCN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000357041233 267107605 /nfs/dbraw/zinc/10/76/05/267107605.db2.gz QLLGBYWAUZOAQZ-UONOGXRCSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1c2nncn2CC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000362078342 267112143 /nfs/dbraw/zinc/11/21/43/267112143.db2.gz QATAAVKSVXJRBC-SNVBAGLBSA-N 1 2 316.390 1.617 20 30 DDEDLO C[C@@H]1c2nncn2CC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000362078342 267112146 /nfs/dbraw/zinc/11/21/46/267112146.db2.gz QATAAVKSVXJRBC-SNVBAGLBSA-N 1 2 316.390 1.617 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)C[C@@H]1C ZINC000350801875 267309487 /nfs/dbraw/zinc/30/94/87/267309487.db2.gz LMVRCBGXEQGAQG-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1C[C@@H](C)[N@H+](C)C[C@@H]1C ZINC000350801875 267309488 /nfs/dbraw/zinc/30/94/88/267309488.db2.gz LMVRCBGXEQGAQG-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO C[C@@H]1CCN(Cc2c[nH+]c3ccc(C#N)cn23)CC[S@]1=O ZINC000514906732 267740353 /nfs/dbraw/zinc/74/03/53/267740353.db2.gz XJGRQVLIJDBACR-GTJPDFRWSA-N 1 2 302.403 1.549 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)COc2ccccc2C#N)C1 ZINC000374349994 267828278 /nfs/dbraw/zinc/82/82/78/267828278.db2.gz JEFUIJVSUJYNHQ-CQSZACIVSA-N 1 2 310.357 1.687 20 30 DDEDLO Cc1ccn(C[N@H+](C)CCNC(=O)OC(C)(C)C)c(=O)c1C#N ZINC000496280325 268062704 /nfs/dbraw/zinc/06/27/04/268062704.db2.gz BVISKMGCGRDAGK-UHFFFAOYSA-N 1 2 320.393 1.442 20 30 DDEDLO Cc1ccn(C[N@@H+](C)CCNC(=O)OC(C)(C)C)c(=O)c1C#N ZINC000496280325 268062707 /nfs/dbraw/zinc/06/27/07/268062707.db2.gz BVISKMGCGRDAGK-UHFFFAOYSA-N 1 2 320.393 1.442 20 30 DDEDLO N#Cc1cccc(C#N)c1N1CC[NH+]([C@H]2CCCCNC2=O)CC1 ZINC000366777541 268234997 /nfs/dbraw/zinc/23/49/97/268234997.db2.gz QJSVHGYOIKUANX-INIZCTEOSA-N 1 2 323.400 1.221 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CC[C@H]3OCC[C@H]3C2)c1 ZINC000376033113 268275376 /nfs/dbraw/zinc/27/53/76/268275376.db2.gz CDFOFOIDCOGJKX-GOEBONIOSA-N 1 2 320.414 1.443 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CC[C@H]3OCC[C@H]3C2)c1 ZINC000376033113 268275380 /nfs/dbraw/zinc/27/53/80/268275380.db2.gz CDFOFOIDCOGJKX-GOEBONIOSA-N 1 2 320.414 1.443 20 30 DDEDLO CCCCNC(=O)[C@H](C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355099516 269644748 /nfs/dbraw/zinc/64/47/48/269644748.db2.gz NMSJJRBQOAWDHT-AWEZNQCLSA-N 1 2 315.421 1.380 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+](CCC(F)(F)F)C[C@@H](O)CO ZINC000345778949 272332148 /nfs/dbraw/zinc/33/21/48/272332148.db2.gz WLNUDBAHMYCFBH-CYBMUJFWSA-N 1 2 320.286 1.805 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+](CCC(F)(F)F)C[C@@H](O)CO ZINC000345778949 272332150 /nfs/dbraw/zinc/33/21/50/272332150.db2.gz WLNUDBAHMYCFBH-CYBMUJFWSA-N 1 2 320.286 1.805 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H]([NH+]=C([O-])N1CC[C@](C)(O)C1)C2 ZINC000330103360 277270590 /nfs/dbraw/zinc/27/05/90/277270590.db2.gz IPONANQKNHXFQI-SJCJKPOMSA-N 1 2 320.437 1.866 20 30 DDEDLO C#C[C@@H](C)NC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000373521959 277701077 /nfs/dbraw/zinc/70/10/77/277701077.db2.gz PZVUKYATUQWEBM-XJKCOSOUSA-N 1 2 316.405 1.248 20 30 DDEDLO CC(C)C[C@@]1(C)CC(=O)N(C[C@H](O)C[N@H+](C)CCC#N)C1=O ZINC000414153088 279070040 /nfs/dbraw/zinc/07/00/40/279070040.db2.gz QYRXCEWJZSHQAT-CJNGLKHVSA-N 1 2 309.410 1.004 20 30 DDEDLO CC(C)C[C@@]1(C)CC(=O)N(C[C@H](O)C[N@@H+](C)CCC#N)C1=O ZINC000414153088 279070041 /nfs/dbraw/zinc/07/00/41/279070041.db2.gz QYRXCEWJZSHQAT-CJNGLKHVSA-N 1 2 309.410 1.004 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000329824754 279117099 /nfs/dbraw/zinc/11/70/99/279117099.db2.gz HWDJPBJOJMSQOX-UNEWFSDZSA-N 1 2 320.437 1.430 20 30 DDEDLO C[C@@H]1CN(CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H](C)C[N@@H+]1C ZINC000330599077 288181181 /nfs/dbraw/zinc/18/11/81/288181181.db2.gz UGKSOXGAPLKTFX-KGLIPLIRSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1CN(CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H](C)C[N@H+]1C ZINC000330599077 288181186 /nfs/dbraw/zinc/18/11/86/288181186.db2.gz UGKSOXGAPLKTFX-KGLIPLIRSA-N 1 2 321.446 1.356 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCCC[C@H]2CC(N)=O)c(C#N)c1C ZINC000154934625 294036368 /nfs/dbraw/zinc/03/63/68/294036368.db2.gz CSAZJOYKBUBYQB-LBPRGKRZSA-N 1 2 318.377 1.437 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCCC[C@H]2CC(N)=O)c(C#N)c1C ZINC000154934625 294036370 /nfs/dbraw/zinc/03/63/70/294036370.db2.gz CSAZJOYKBUBYQB-LBPRGKRZSA-N 1 2 318.377 1.437 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)[C@]1(C#N)CC12CCCC2 ZINC000333118264 297265439 /nfs/dbraw/zinc/26/54/39/297265439.db2.gz UNLKPZPVJIASGL-IIDMSEBBSA-N 1 2 317.433 1.782 20 30 DDEDLO CN(CC[NH+]1CCOCC1)c1cccc(-n2cccn2)c1C#N ZINC000572541631 304537017 /nfs/dbraw/zinc/53/70/17/304537017.db2.gz DNYODVWSASLQSR-UHFFFAOYSA-N 1 2 311.389 1.512 20 30 DDEDLO C[C@@]([NH2+]CC(=O)Nc1ccc(C#N)cc1)(C(N)=O)c1ccccc1 ZINC000170645169 298220117 /nfs/dbraw/zinc/22/01/17/298220117.db2.gz NYJLCINBKJMLLF-SFHVURJKSA-N 1 2 322.368 1.487 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3ccc(C#N)nc3)CC2)c(C)n1 ZINC000374581226 301822279 /nfs/dbraw/zinc/82/22/79/301822279.db2.gz ZPDGQXINKWRKCC-UHFFFAOYSA-N 1 2 324.388 1.383 20 30 DDEDLO COCCN(Cc1ccc(C#N)cc1)C(=O)Cc1c[nH+]cn1C ZINC000568900078 308131965 /nfs/dbraw/zinc/13/19/65/308131965.db2.gz GQBRYWXGYOXPBK-UHFFFAOYSA-N 1 2 312.373 1.509 20 30 DDEDLO COC(=O)c1ccc(N2CCC(c3c[nH]c[nH+]3)CC2)c(C#N)n1 ZINC000576598150 308326246 /nfs/dbraw/zinc/32/62/46/308326246.db2.gz GQSRXXHPPLFXOH-UHFFFAOYSA-N 1 2 311.345 1.847 20 30 DDEDLO COC(=O)c1ccc(N2CCC(c3c[nH+]c[nH]3)CC2)c(C#N)n1 ZINC000576598150 308326247 /nfs/dbraw/zinc/32/62/47/308326247.db2.gz GQSRXXHPPLFXOH-UHFFFAOYSA-N 1 2 311.345 1.847 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000571019672 333479824 /nfs/dbraw/zinc/47/98/24/333479824.db2.gz XLCDGMGBABOIEX-YJNKXOJESA-N 1 2 311.426 1.128 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnc([C@H]2CCCO2)s1 ZINC000571288522 333704711 /nfs/dbraw/zinc/70/47/11/333704711.db2.gz CLZGLXLFDNEMCO-QMTHXVAHSA-N 1 2 308.407 1.568 20 30 DDEDLO C[C@@H](C#N)C(=O)NCc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1 ZINC000345855273 335355885 /nfs/dbraw/zinc/35/58/85/335355885.db2.gz WVLRGICLAUHCRY-YNEHKIRRSA-N 1 2 302.378 1.471 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000520690283 336015771 /nfs/dbraw/zinc/01/57/71/336015771.db2.gz DBIJHSFZHIIHNE-HNNXBMFYSA-N 1 2 304.350 1.487 20 30 DDEDLO COc1cc(NC[C@H](O)C[N@H+](C)CCC#N)cc(OC)c1C ZINC000563953290 336388606 /nfs/dbraw/zinc/38/86/06/336388606.db2.gz HBTIJSAEFVJQLQ-AWEZNQCLSA-N 1 2 307.394 1.631 20 30 DDEDLO COc1cc(NC[C@H](O)C[N@@H+](C)CCC#N)cc(OC)c1C ZINC000563953290 336388607 /nfs/dbraw/zinc/38/86/07/336388607.db2.gz HBTIJSAEFVJQLQ-AWEZNQCLSA-N 1 2 307.394 1.631 20 30 DDEDLO CC[N@@H+](Cc1cc(Cl)cc(S(N)(=O)=O)c1)C[C@@H](C)C#N ZINC000516297571 338010136 /nfs/dbraw/zinc/01/01/36/338010136.db2.gz GMTZIUHIEKMRHK-JTQLQIEISA-N 1 2 315.826 1.969 20 30 DDEDLO CC[N@H+](Cc1cc(Cl)cc(S(N)(=O)=O)c1)C[C@@H](C)C#N ZINC000516297571 338010137 /nfs/dbraw/zinc/01/01/37/338010137.db2.gz GMTZIUHIEKMRHK-JTQLQIEISA-N 1 2 315.826 1.969 20 30 DDEDLO C#CCCCC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000496601180 340008616 /nfs/dbraw/zinc/00/86/16/340008616.db2.gz VNOIWZDCAICLOK-CQSZACIVSA-N 1 2 323.437 1.457 20 30 DDEDLO C#CCCCC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000496601180 340008617 /nfs/dbraw/zinc/00/86/17/340008617.db2.gz VNOIWZDCAICLOK-CQSZACIVSA-N 1 2 323.437 1.457 20 30 DDEDLO CC[C@](C)(C#N)NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000132403154 341122067 /nfs/dbraw/zinc/12/20/67/341122067.db2.gz ZDZJTAWQRNMJQR-NVXWUHKLSA-N 1 2 301.390 1.696 20 30 DDEDLO CC[C@](C)(C#N)NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000132403154 341122068 /nfs/dbraw/zinc/12/20/68/341122068.db2.gz ZDZJTAWQRNMJQR-NVXWUHKLSA-N 1 2 301.390 1.696 20 30 DDEDLO C#CC[N@@H+](CCCOCC(F)(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000077282798 341222231 /nfs/dbraw/zinc/22/22/31/341222231.db2.gz LVSHNXXQWJPSRL-LLVKDONJSA-N 1 2 313.341 1.078 20 30 DDEDLO C#CC[N@H+](CCCOCC(F)(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000077282798 341222232 /nfs/dbraw/zinc/22/22/32/341222232.db2.gz LVSHNXXQWJPSRL-LLVKDONJSA-N 1 2 313.341 1.078 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000566441941 341558405 /nfs/dbraw/zinc/55/84/05/341558405.db2.gz AKUKNKXPGVEYHT-BXKDBHETSA-N 1 2 318.299 1.501 20 30 DDEDLO C=CCCCCCNC(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000566628066 341563619 /nfs/dbraw/zinc/56/36/19/341563619.db2.gz HFVQYWJJNLLRKE-UHFFFAOYSA-N 1 2 319.453 1.994 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)C(=O)N2CCn3cc(C)[nH+]c3C2)C1 ZINC000658663808 484436486 /nfs/dbraw/zinc/43/64/86/484436486.db2.gz JPKUQCZRBNDRAT-CQSZACIVSA-N 1 2 316.405 1.348 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+](CC(=O)NCC(=O)c2cccs2)C1 ZINC000661151386 484946752 /nfs/dbraw/zinc/94/67/52/484946752.db2.gz BRWIOBXHJVZFFL-GFCCVEGCSA-N 1 2 305.403 1.673 20 30 DDEDLO N#CC[C@H]1CCC[N@H+](CC(=O)NCC(=O)c2cccs2)C1 ZINC000661151386 484946759 /nfs/dbraw/zinc/94/67/59/484946759.db2.gz BRWIOBXHJVZFFL-GFCCVEGCSA-N 1 2 305.403 1.673 20 30 DDEDLO O=C(C[C@H]1CCC[N@@H+]1Cc1nc2ccccc2c(=O)[nH]1)NO ZINC000671996199 485215087 /nfs/dbraw/zinc/21/50/87/485215087.db2.gz PQQQFCJIHKZSJH-SNVBAGLBSA-N 1 2 302.334 1.195 20 30 DDEDLO O=C(C[C@H]1CCC[N@H+]1Cc1nc2ccccc2c(=O)[nH]1)NO ZINC000671996199 485215092 /nfs/dbraw/zinc/21/50/92/485215092.db2.gz PQQQFCJIHKZSJH-SNVBAGLBSA-N 1 2 302.334 1.195 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@@H](C)c2cnc(C)nc2C)CC1 ZINC000681649477 486481527 /nfs/dbraw/zinc/48/15/27/486481527.db2.gz SJKHSMNKQKWSSK-AWEZNQCLSA-N 1 2 317.437 1.074 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@@H](C)c2cnc(C)nc2C)CC1 ZINC000681649477 486481530 /nfs/dbraw/zinc/48/15/30/486481530.db2.gz SJKHSMNKQKWSSK-AWEZNQCLSA-N 1 2 317.437 1.074 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)NCC#Cc1cccc(F)c1 ZINC000338002055 533860481 /nfs/dbraw/zinc/86/04/81/533860481.db2.gz NVDFWJUGRHRQOP-AWEZNQCLSA-N 1 2 319.380 1.197 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)N(C)C(=O)NCC[NH+]1CCOCC1 ZINC000424064628 534076099 /nfs/dbraw/zinc/07/60/99/534076099.db2.gz KCDDNXAANLOZFC-AWEZNQCLSA-N 1 2 316.405 1.593 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000341371238 534288673 /nfs/dbraw/zinc/28/86/73/534288673.db2.gz FNZQMKSQIDMKTR-SECBINFHSA-N 1 2 303.288 1.746 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NCCC[S@](C)=O)CCC2 ZINC000331288058 534511741 /nfs/dbraw/zinc/51/17/41/534511741.db2.gz NBXXSECMMXXWHT-QKVFXAPYSA-N 1 2 312.439 1.341 20 30 DDEDLO Cc1nsc(NC(=O)NC(C)(C)C[NH+]2CCOCC2)c1C#N ZINC000417130515 534514643 /nfs/dbraw/zinc/51/46/43/534514643.db2.gz YNDARLCRXAGPQR-UHFFFAOYSA-N 1 2 323.422 1.556 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)C(=O)NCc1[nH+]ccn1C ZINC000342970241 526367360 /nfs/dbraw/zinc/36/73/60/526367360.db2.gz IZKLBVXZNUOTMZ-UHFFFAOYSA-N 1 2 318.402 1.313 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C)c(F)c2)C1 ZINC000330955531 526401503 /nfs/dbraw/zinc/40/15/03/526401503.db2.gz UCUOTDDVQDEBPP-GFCCVEGCSA-N 1 2 308.357 1.280 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C)c(F)c2)C1 ZINC000330955531 526401507 /nfs/dbraw/zinc/40/15/07/526401507.db2.gz UCUOTDDVQDEBPP-GFCCVEGCSA-N 1 2 308.357 1.280 20 30 DDEDLO CNC(=O)[C@@H]1CN(c2nc(C3CC3)[nH+]c3c2CCC3)CCO1 ZINC000329719103 526406011 /nfs/dbraw/zinc/40/60/11/526406011.db2.gz ZXAWYHSLRJWALS-ZDUSSCGKSA-N 1 2 302.378 1.634 20 30 DDEDLO CC(C)(C)OC(=O)NC1CC[NH+](CC(=O)NC2(C#N)CC2)CC1 ZINC000494608576 526438001 /nfs/dbraw/zinc/43/80/01/526438001.db2.gz SHMICCFONMBHCJ-UHFFFAOYSA-N 1 2 322.409 1.148 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@@H](C)c2cn(-c3ccccc3)nn2)C1=O ZINC000337181548 526483882 /nfs/dbraw/zinc/48/38/82/526483882.db2.gz AYADGKTVIKBJRW-DZGCQCFKSA-N 1 2 311.389 1.705 20 30 DDEDLO C#CC(C)(C)[N@H+](C)CC(=O)N[C@H](Cc1ccccc1)C(C)=O ZINC000491126399 526653727 /nfs/dbraw/zinc/65/37/27/526653727.db2.gz NUVXPVXBNLKONP-MRXNPFEDSA-N 1 2 300.402 1.647 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)CC(=O)N[C@H](Cc1ccccc1)C(C)=O ZINC000491126399 526653731 /nfs/dbraw/zinc/65/37/31/526653731.db2.gz NUVXPVXBNLKONP-MRXNPFEDSA-N 1 2 300.402 1.647 20 30 DDEDLO CC(=O)NC[C@@H]1CCCN(C(=O)N[C@@H](C)Cn2cc[nH+]c2)C1 ZINC000330480571 526767230 /nfs/dbraw/zinc/76/72/30/526767230.db2.gz SAIGHSICTMMMNZ-JSGCOSHPSA-N 1 2 307.398 1.034 20 30 DDEDLO CC(=O)NC[C@@H]1CCCN(C([O-])=[NH+][C@@H](C)Cn2cc[nH+]c2)C1 ZINC000330480571 526767235 /nfs/dbraw/zinc/76/72/35/526767235.db2.gz SAIGHSICTMMMNZ-JSGCOSHPSA-N 1 2 307.398 1.034 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000338889900 526771249 /nfs/dbraw/zinc/77/12/49/526771249.db2.gz KEDHTJOQLPVXRS-UHFFFAOYSA-N 1 2 310.344 1.925 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000337903356 526785833 /nfs/dbraw/zinc/78/58/33/526785833.db2.gz SCMQWSVDVZQRRI-SJORKVTESA-N 1 2 315.417 1.707 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[C@@H]([NH+]2CCN(CC)CC2)C1)OCC ZINC000339354179 526809682 /nfs/dbraw/zinc/80/96/82/526809682.db2.gz DTWUPWSEJNCMAO-SJORKVTESA-N 1 2 323.481 1.596 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)NC[C@H](C)[NH+]1CCN(C)CC1 ZINC000457577924 526824707 /nfs/dbraw/zinc/82/47/07/526824707.db2.gz MNDJAYWGZOVLDU-ARFHVFGLSA-N 1 2 309.454 1.110 20 30 DDEDLO C#CC[N@@H+](C[C@@H](O)CCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000490937106 526903224 /nfs/dbraw/zinc/90/32/24/526903224.db2.gz SGWQEBSJWZKBCH-IRXDYDNUSA-N 1 2 321.442 1.102 20 30 DDEDLO C#CC[N@H+](C[C@@H](O)CCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000490937106 526903229 /nfs/dbraw/zinc/90/32/29/526903229.db2.gz SGWQEBSJWZKBCH-IRXDYDNUSA-N 1 2 321.442 1.102 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(OC)c(C)cc2C)CC1 ZINC000490739414 526951333 /nfs/dbraw/zinc/95/13/33/526951333.db2.gz DIZOHZKSGDDAEN-UHFFFAOYSA-N 1 2 315.417 1.501 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)Cn2ccc(-c3ccccc3)n2)CC1 ZINC000490759862 526952989 /nfs/dbraw/zinc/95/29/89/526952989.db2.gz GSBZAESATYLQGY-UHFFFAOYSA-N 1 2 308.385 1.328 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CC3)n2Cc2ccccc2)CC1 ZINC000491773678 526955367 /nfs/dbraw/zinc/95/53/67/526955367.db2.gz SJZRNFDZROSMPN-UHFFFAOYSA-N 1 2 321.428 1.959 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C(F)=C2CCCC2)CC1 ZINC000490831415 526989208 /nfs/dbraw/zinc/98/92/08/526989208.db2.gz TYUCRJQZWYMVHB-UHFFFAOYSA-N 1 2 321.396 1.068 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C(F)=C2CCCC2)CC1 ZINC000490831415 526989226 /nfs/dbraw/zinc/98/92/26/526989226.db2.gz TYUCRJQZWYMVHB-UHFFFAOYSA-N 1 2 321.396 1.068 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(Cc2cc(Cl)ccc2F)CC1 ZINC000491126719 527003286 /nfs/dbraw/zinc/00/32/86/527003286.db2.gz RGIMZXSFNILPFX-UHFFFAOYSA-N 1 2 323.799 1.346 20 30 DDEDLO CCO[C@@H]1C[C@H](NC(=O)N2CCn3cc[nH+]c3C2)C12CCC2 ZINC000329821952 527892592 /nfs/dbraw/zinc/89/25/92/527892592.db2.gz YXIHPVBQGQDZCI-QWHCGFSZSA-N 1 2 304.394 1.960 20 30 DDEDLO CCC[N@H+](CC(=O)OC(C)(C)C)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000346521855 527901221 /nfs/dbraw/zinc/90/12/21/527901221.db2.gz JOVQNWMIAFDTAV-ZDUSSCGKSA-N 1 2 323.437 1.991 20 30 DDEDLO CCC[N@@H+](CC(=O)OC(C)(C)C)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000346521855 527901225 /nfs/dbraw/zinc/90/12/25/527901225.db2.gz JOVQNWMIAFDTAV-ZDUSSCGKSA-N 1 2 323.437 1.991 20 30 DDEDLO CC(C)[C@H]1OCC[C@H]1CNC(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000330211298 527923020 /nfs/dbraw/zinc/92/30/20/527923020.db2.gz JBKSWAGFXHXJAT-QLFBSQMISA-N 1 2 313.442 1.272 20 30 DDEDLO CCOC(=O)[C@H]1C[N@H+](Cc2cc(F)ccc2C#N)CC12COC2 ZINC000451143679 528081093 /nfs/dbraw/zinc/08/10/93/528081093.db2.gz XKCIABBQVDQHBG-OAHLLOKOSA-N 1 2 318.348 1.709 20 30 DDEDLO CCOC(=O)[C@H]1C[N@@H+](Cc2cc(F)ccc2C#N)CC12COC2 ZINC000451143679 528081101 /nfs/dbraw/zinc/08/11/01/528081101.db2.gz XKCIABBQVDQHBG-OAHLLOKOSA-N 1 2 318.348 1.709 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCc1c[nH+]c(C)cc1C ZINC000452041757 528714498 /nfs/dbraw/zinc/71/44/98/528714498.db2.gz MVNIPRPJOMHZML-LLVKDONJSA-N 1 2 310.423 1.514 20 30 DDEDLO CCC(CC)[C@H](C(=O)NCC1(CC#N)CC1)[NH+]1CCOCC1 ZINC000435960246 528843839 /nfs/dbraw/zinc/84/38/39/528843839.db2.gz RVFAJVNRSXCHRC-OAHLLOKOSA-N 1 2 307.438 1.933 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([N@@H+](C)Cc3cnnn3C)C2)C1 ZINC000972277042 695202265 /nfs/dbraw/zinc/20/22/65/695202265.db2.gz WSAJMEMZDRZYFB-ZDUSSCGKSA-N 1 2 303.410 1.204 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([N@H+](C)Cc3cnnn3C)C2)C1 ZINC000972277042 695202266 /nfs/dbraw/zinc/20/22/66/695202266.db2.gz WSAJMEMZDRZYFB-ZDUSSCGKSA-N 1 2 303.410 1.204 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@]2(C1)C[N@H+](CCC(F)(F)F)CCO2 ZINC000972297506 695209792 /nfs/dbraw/zinc/20/97/92/695209792.db2.gz KCZZSFCPUVJHPU-YPMHNXCESA-N 1 2 319.327 1.402 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@]2(C1)C[N@@H+](CCC(F)(F)F)CCO2 ZINC000972297506 695209794 /nfs/dbraw/zinc/20/97/94/695209794.db2.gz KCZZSFCPUVJHPU-YPMHNXCESA-N 1 2 319.327 1.402 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccoc3)C2)C1 ZINC000972329184 695218052 /nfs/dbraw/zinc/21/80/52/695218052.db2.gz ULQIQFWFIPFHTQ-KRWDZBQOSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccoc3)C2)C1 ZINC000972329184 695218054 /nfs/dbraw/zinc/21/80/54/695218054.db2.gz ULQIQFWFIPFHTQ-KRWDZBQOSA-N 1 2 302.374 1.149 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CC(F)(F)C3)C2)C1 ZINC000972331922 695219064 /nfs/dbraw/zinc/21/90/64/695219064.db2.gz IQLIXUXIPJPWHW-HNNXBMFYSA-N 1 2 312.360 1.358 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CC(F)(F)C3)C2)C1 ZINC000972331922 695219065 /nfs/dbraw/zinc/21/90/65/695219065.db2.gz IQLIXUXIPJPWHW-HNNXBMFYSA-N 1 2 312.360 1.358 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccncc3CC)C2)C1 ZINC000972442172 695252611 /nfs/dbraw/zinc/25/26/11/695252611.db2.gz ZXLKJUJOXLYFGR-GOSISDBHSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccncc3CC)C2)C1 ZINC000972442172 695252612 /nfs/dbraw/zinc/25/26/12/695252612.db2.gz ZXLKJUJOXLYFGR-GOSISDBHSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ncccc3F)C2)C1 ZINC000972519405 695271664 /nfs/dbraw/zinc/27/16/64/695271664.db2.gz TWMVHQBMYRIVCX-QGZVFWFLSA-N 1 2 319.380 1.253 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ncccc3F)C2)C1 ZINC000972519405 695271668 /nfs/dbraw/zinc/27/16/68/695271668.db2.gz TWMVHQBMYRIVCX-QGZVFWFLSA-N 1 2 319.380 1.253 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cn3cc(Cl)cn3)C2)C1 ZINC000972572098 695286546 /nfs/dbraw/zinc/28/65/46/695286546.db2.gz GQYJUDMFSXUJHW-HNNXBMFYSA-N 1 2 324.812 1.026 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cn3cc(Cl)cn3)C2)C1 ZINC000972572098 695286549 /nfs/dbraw/zinc/28/65/49/695286549.db2.gz GQYJUDMFSXUJHW-HNNXBMFYSA-N 1 2 324.812 1.026 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCCCO3)C2)C1 ZINC000972578594 695288034 /nfs/dbraw/zinc/28/80/34/695288034.db2.gz STRNAKANQSBJKO-SJLPKXTDSA-N 1 2 322.449 1.825 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCCCO3)C2)C1 ZINC000972578594 695288036 /nfs/dbraw/zinc/28/80/36/695288036.db2.gz STRNAKANQSBJKO-SJLPKXTDSA-N 1 2 322.449 1.825 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(CF)cc3)C2)C1 ZINC000972693263 695317948 /nfs/dbraw/zinc/31/79/48/695317948.db2.gz XQASUSQHIUSFNB-GOSISDBHSA-N 1 2 316.376 1.706 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(CF)cc3)C2)C1 ZINC000972693263 695317949 /nfs/dbraw/zinc/31/79/49/695317949.db2.gz XQASUSQHIUSFNB-GOSISDBHSA-N 1 2 316.376 1.706 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCc2cc(C#N)ccc2F)CCO1 ZINC000745791611 699994550 /nfs/dbraw/zinc/99/45/50/699994550.db2.gz LYDPJDJXMJDJEG-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCc2cc(C#N)ccc2F)CCO1 ZINC000745791611 699994551 /nfs/dbraw/zinc/99/45/51/699994551.db2.gz LYDPJDJXMJDJEG-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973017259 695396541 /nfs/dbraw/zinc/39/65/41/695396541.db2.gz UBRFLEMOMRMHQF-RYUDHWBXSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973017259 695396543 /nfs/dbraw/zinc/39/65/43/695396543.db2.gz UBRFLEMOMRMHQF-RYUDHWBXSA-N 1 2 313.829 1.092 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)N1CC[NH+](CC[S@@](C)=O)CC1 ZINC000746466054 700025576 /nfs/dbraw/zinc/02/55/76/700025576.db2.gz AEZBNZLATZBGTA-SPLOXXLWSA-N 1 2 305.447 1.615 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CSCc2cscn2)CC1 ZINC000746821874 700041052 /nfs/dbraw/zinc/04/10/52/700041052.db2.gz VAKNJCCWOAJVEX-UHFFFAOYSA-N 1 2 309.460 1.544 20 30 DDEDLO C[C@H](O[NH+]=C(N)c1ccc(F)cc1)C(=O)NCC(F)(F)F ZINC000029464645 696110515 /nfs/dbraw/zinc/11/05/15/696110515.db2.gz GRJMSIXRIFDICD-ZETCQYMHSA-N 1 2 307.247 1.530 20 30 DDEDLO C#CCC[C@@H](O)CNc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000798420013 700064106 /nfs/dbraw/zinc/06/41/06/700064106.db2.gz YNGCQBGZNWISMX-ZIAGYGMSSA-N 1 2 304.394 1.014 20 30 DDEDLO C#CCC[C@@H](O)CNc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000798420013 700064107 /nfs/dbraw/zinc/06/41/07/700064107.db2.gz YNGCQBGZNWISMX-ZIAGYGMSSA-N 1 2 304.394 1.014 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(OCC(C)(C)O)cc1 ZINC000747516038 700073679 /nfs/dbraw/zinc/07/36/79/700073679.db2.gz HZSSLMWSDPVUFJ-INIZCTEOSA-N 1 2 316.401 1.872 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(OCC(C)(C)O)cc1 ZINC000747516038 700073682 /nfs/dbraw/zinc/07/36/82/700073682.db2.gz HZSSLMWSDPVUFJ-INIZCTEOSA-N 1 2 316.401 1.872 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H]([C@@H](C)[NH2+]Cc2cnsn2)C1 ZINC000979373099 696538339 /nfs/dbraw/zinc/53/83/39/696538339.db2.gz HLNKXLBSPKBFEI-DGCLKSJQSA-N 1 2 324.450 1.456 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCC[N@H+](Cc3ccns3)CC2)c1 ZINC000980917357 696943898 /nfs/dbraw/zinc/94/38/98/696943898.db2.gz LPXVCSFVEFTMRA-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCC[N@@H+](Cc3ccns3)CC2)c1 ZINC000980917357 696943902 /nfs/dbraw/zinc/94/39/02/696943902.db2.gz LPXVCSFVEFTMRA-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](Cc3ccnn3C)CC2)C1 ZINC000981918058 696968011 /nfs/dbraw/zinc/96/80/11/696968011.db2.gz OCMAXWYWEDLWOQ-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](Cc3ccnn3C)CC2)C1 ZINC000981918058 696968012 /nfs/dbraw/zinc/96/80/12/696968012.db2.gz OCMAXWYWEDLWOQ-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO CON(C)C(=O)CC[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000799148845 700123213 /nfs/dbraw/zinc/12/32/13/700123213.db2.gz UQXVYWYLZKHVTG-OAHLLOKOSA-N 1 2 303.362 1.341 20 30 DDEDLO CON(C)C(=O)CC[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000799148845 700123214 /nfs/dbraw/zinc/12/32/14/700123214.db2.gz UQXVYWYLZKHVTG-OAHLLOKOSA-N 1 2 303.362 1.341 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC2(C1)CCCN(CC#N)C2 ZINC000982060950 697018426 /nfs/dbraw/zinc/01/84/26/697018426.db2.gz IPCLVEUHWVPQIX-CYBMUJFWSA-N 1 2 301.394 1.036 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC2(C1)CCCN(CC#N)C2 ZINC000982060950 697018429 /nfs/dbraw/zinc/01/84/29/697018429.db2.gz IPCLVEUHWVPQIX-CYBMUJFWSA-N 1 2 301.394 1.036 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC2(CN(C(=O)c3nonc3C)C2)C1 ZINC000982172254 697048291 /nfs/dbraw/zinc/04/82/91/697048291.db2.gz ZHCDSCRTZKQTIG-UHFFFAOYSA-N 1 2 310.785 1.669 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC2(CN(C(=O)c3nonc3C)C2)C1 ZINC000982172254 697048290 /nfs/dbraw/zinc/04/82/90/697048290.db2.gz ZHCDSCRTZKQTIG-UHFFFAOYSA-N 1 2 310.785 1.669 20 30 DDEDLO CCCCCCC[N@H+](C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000172650665 697370075 /nfs/dbraw/zinc/37/00/75/697370075.db2.gz YRWUHTQTHILTEI-CYBMUJFWSA-N 1 2 304.456 1.192 20 30 DDEDLO CCCCCCC[N@@H+](C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000172650665 697370078 /nfs/dbraw/zinc/37/00/78/697370078.db2.gz YRWUHTQTHILTEI-CYBMUJFWSA-N 1 2 304.456 1.192 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)/C=C/c2ccc(OC)c(O)c2)CC1 ZINC000183613634 697490769 /nfs/dbraw/zinc/49/07/69/697490769.db2.gz UOOFIEWKODYLNN-FNORWQNLSA-N 1 2 300.358 1.191 20 30 DDEDLO C[C@H](c1csnn1)[N@H+](C)C1CCN(C(=O)C#CC2CC2)CC1 ZINC000985431183 697510098 /nfs/dbraw/zinc/51/00/98/697510098.db2.gz MTMOUIZYNHEPPQ-GFCCVEGCSA-N 1 2 318.446 1.935 20 30 DDEDLO C[C@H](c1csnn1)[N@@H+](C)C1CCN(C(=O)C#CC2CC2)CC1 ZINC000985431183 697510100 /nfs/dbraw/zinc/51/01/00/697510100.db2.gz MTMOUIZYNHEPPQ-GFCCVEGCSA-N 1 2 318.446 1.935 20 30 DDEDLO NC(=[NH+]OCC(=O)NCc1ccccc1F)c1ccc(F)cc1 ZINC000198912505 697748478 /nfs/dbraw/zinc/74/84/78/697748478.db2.gz PRRGJFBSZJOIHA-UHFFFAOYSA-N 1 2 319.311 1.918 20 30 DDEDLO CCCCCNC(=O)[C@@H](C#N)C(=O)C[N@H+](C)[C@@H]1CCSC1 ZINC000773968472 697829293 /nfs/dbraw/zinc/82/92/93/697829293.db2.gz GDDRNYCBCDJLRL-OLZOCXBDSA-N 1 2 311.451 1.439 20 30 DDEDLO CCCCCNC(=O)[C@@H](C#N)C(=O)C[N@@H+](C)[C@@H]1CCSC1 ZINC000773968472 697829296 /nfs/dbraw/zinc/82/92/96/697829296.db2.gz GDDRNYCBCDJLRL-OLZOCXBDSA-N 1 2 311.451 1.439 20 30 DDEDLO C[C@@H]1[C@H](NCC#N)CCN1C(=O)C[N@@H+]1CCc2sccc2C1 ZINC000987567115 698152777 /nfs/dbraw/zinc/15/27/77/698152777.db2.gz MNLISVTVLVNVGX-TZMCWYRMSA-N 1 2 318.446 1.209 20 30 DDEDLO C[C@@H]1[C@H](NCC#N)CCN1C(=O)C[N@H+]1CCc2sccc2C1 ZINC000987567115 698152779 /nfs/dbraw/zinc/15/27/79/698152779.db2.gz MNLISVTVLVNVGX-TZMCWYRMSA-N 1 2 318.446 1.209 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2ccc(N(C)CCC#N)cc2)CCO1 ZINC000245700247 698337159 /nfs/dbraw/zinc/33/71/59/698337159.db2.gz DAUPPWXZYABKNN-MRXNPFEDSA-N 1 2 317.389 1.410 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2ccc(N(C)CCC#N)cc2)CCO1 ZINC000245700247 698337164 /nfs/dbraw/zinc/33/71/64/698337164.db2.gz DAUPPWXZYABKNN-MRXNPFEDSA-N 1 2 317.389 1.410 20 30 DDEDLO Cc1[nH+]c(C(C)N=Nc2ccccc2S(N)(=O)=O)cn1C ZINC000800817427 700246037 /nfs/dbraw/zinc/24/60/37/700246037.db2.gz MGSNTBZSVRVPFT-UHFFFAOYSA-N 1 2 307.379 1.212 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)CN1C[C@H](C(=O)OC)CC1=O ZINC000782277020 698702812 /nfs/dbraw/zinc/70/28/12/698702812.db2.gz OUNMNXLPCNKPQJ-CYBMUJFWSA-N 1 2 306.362 1.289 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)CN1C[C@H](C(=O)OC)CC1=O ZINC000782277020 698702816 /nfs/dbraw/zinc/70/28/16/698702816.db2.gz OUNMNXLPCNKPQJ-CYBMUJFWSA-N 1 2 306.362 1.289 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2CCc3c[nH]nc3C2)CC1 ZINC000989707374 698735057 /nfs/dbraw/zinc/73/50/57/698735057.db2.gz ADPVEZBYCLGNHM-ZDUSSCGKSA-N 1 2 322.840 1.801 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2CCc3c[nH]nc3C2)CC1 ZINC000989707374 698735060 /nfs/dbraw/zinc/73/50/60/698735060.db2.gz ADPVEZBYCLGNHM-ZDUSSCGKSA-N 1 2 322.840 1.801 20 30 DDEDLO N#CCN1CCCN(C(=O)C[N@@H+]2CCc3sccc3C2)CC1 ZINC000989722378 698740199 /nfs/dbraw/zinc/74/01/99/698740199.db2.gz IKCHADTXGQIPCU-UHFFFAOYSA-N 1 2 318.446 1.164 20 30 DDEDLO N#CCN1CCCN(C(=O)C[N@H+]2CCc3sccc3C2)CC1 ZINC000989722378 698740200 /nfs/dbraw/zinc/74/02/00/698740200.db2.gz IKCHADTXGQIPCU-UHFFFAOYSA-N 1 2 318.446 1.164 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2cn(Cc3cccnc3)nn2)cc1 ZINC000784502688 698943090 /nfs/dbraw/zinc/94/30/90/698943090.db2.gz ZJBGRYDMGBXXOE-UHFFFAOYSA-N 1 2 304.357 1.883 20 30 DDEDLO CN(C)c1ccc([NH+]2CCC(NC(=O)NCCC#N)CC2)cc1 ZINC000787355859 699208300 /nfs/dbraw/zinc/20/83/00/699208300.db2.gz QXYAIMHPWWDKEM-UHFFFAOYSA-N 1 2 315.421 1.934 20 30 DDEDLO NC(=[NH+]OC[C@@H]1CCS(=O)(=O)C1)c1ccc(Cl)cc1 ZINC000788504668 699319895 /nfs/dbraw/zinc/31/98/95/699319895.db2.gz RLCMYWMWDSCWCR-VIFPVBQESA-N 1 2 302.783 1.412 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(C(=O)NCCC)c1 ZINC000730277480 699506040 /nfs/dbraw/zinc/50/60/40/699506040.db2.gz AXMFOYBMRQEETJ-INIZCTEOSA-N 1 2 313.401 1.863 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(C(=O)NCCC)c1 ZINC000730277480 699506041 /nfs/dbraw/zinc/50/60/41/699506041.db2.gz AXMFOYBMRQEETJ-INIZCTEOSA-N 1 2 313.401 1.863 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)CN1C[C@@H](C)CC1=O ZINC000733294581 699587189 /nfs/dbraw/zinc/58/71/89/699587189.db2.gz KGTBBIOGTBCRJG-AWEZNQCLSA-N 1 2 301.390 1.833 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)CN1C[C@@H](C)CC1=O ZINC000733294581 699587190 /nfs/dbraw/zinc/58/71/90/699587190.db2.gz KGTBBIOGTBCRJG-AWEZNQCLSA-N 1 2 301.390 1.833 20 30 DDEDLO CCOC1CC2(C1)CC[N@H+](Cn1ccc(C)c(C#N)c1=O)C2 ZINC000795720002 699886374 /nfs/dbraw/zinc/88/63/74/699886374.db2.gz GPOYHLBETHACMT-UHFFFAOYSA-N 1 2 301.390 1.877 20 30 DDEDLO CCOC1CC2(C1)CC[N@@H+](Cn1ccc(C)c(C#N)c1=O)C2 ZINC000795720002 699886376 /nfs/dbraw/zinc/88/63/76/699886376.db2.gz GPOYHLBETHACMT-UHFFFAOYSA-N 1 2 301.390 1.877 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](C)c1nc(C(C)(C)C)no1 ZINC000742919224 699894262 /nfs/dbraw/zinc/89/42/62/699894262.db2.gz ISSAYBCQEILDMZ-NEPJUHHUSA-N 1 2 304.394 1.642 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)c1nc(C(C)(C)C)no1 ZINC000742919224 699894264 /nfs/dbraw/zinc/89/42/64/699894264.db2.gz ISSAYBCQEILDMZ-NEPJUHHUSA-N 1 2 304.394 1.642 20 30 DDEDLO CC#CC(=O)N[C@@H](c1ccc(Cl)cc1)[C@@H]1C[N@H+](C)CCO1 ZINC000801180123 700274441 /nfs/dbraw/zinc/27/44/41/700274441.db2.gz RPEBKKUHAJTMJC-HOCLYGCPSA-N 1 2 306.793 1.851 20 30 DDEDLO CC#CC(=O)N[C@@H](c1ccc(Cl)cc1)[C@@H]1C[N@@H+](C)CCO1 ZINC000801180123 700274446 /nfs/dbraw/zinc/27/44/46/700274446.db2.gz RPEBKKUHAJTMJC-HOCLYGCPSA-N 1 2 306.793 1.851 20 30 DDEDLO N#CC[C@@H](O)C[NH+]1CCN(Cc2c(F)cccc2Cl)CC1 ZINC000809570854 701670519 /nfs/dbraw/zinc/67/05/19/701670519.db2.gz CJDFLPOEHQIFMF-GFCCVEGCSA-N 1 2 311.788 1.871 20 30 DDEDLO CON=CC(=O)N[C@@H]1CC[N@H+](C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000809736197 701684064 /nfs/dbraw/zinc/68/40/64/701684064.db2.gz JMIZKQMBLSUWNM-TZMCWYRMSA-N 1 2 313.760 1.973 20 30 DDEDLO CON=CC(=O)N[C@@H]1CC[N@@H+](C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000809736197 701684066 /nfs/dbraw/zinc/68/40/66/701684066.db2.gz JMIZKQMBLSUWNM-TZMCWYRMSA-N 1 2 313.760 1.973 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCc2nnnn2C(C)(C)C)ccc1F ZINC000766069495 701036475 /nfs/dbraw/zinc/03/64/75/701036475.db2.gz NGEJVPKVMIDLDC-UHFFFAOYSA-N 1 2 306.345 1.713 20 30 DDEDLO C[C@@H]1CSCC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766635563 701057820 /nfs/dbraw/zinc/05/78/20/701057820.db2.gz ZFVXEEZBIQWCLY-LBPRGKRZSA-N 1 2 303.431 1.851 20 30 DDEDLO C[C@@H]1CSCC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766635563 701057821 /nfs/dbraw/zinc/05/78/21/701057821.db2.gz ZFVXEEZBIQWCLY-LBPRGKRZSA-N 1 2 303.431 1.851 20 30 DDEDLO N#CCOc1ccccc1C(=O)OCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000767512554 701112437 /nfs/dbraw/zinc/11/24/37/701112437.db2.gz ANBYYABPSPVHJO-OKILXGFUSA-N 1 2 316.357 1.609 20 30 DDEDLO N#CCOc1ccccc1C(=O)OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000767512554 701112438 /nfs/dbraw/zinc/11/24/38/701112438.db2.gz ANBYYABPSPVHJO-OKILXGFUSA-N 1 2 316.357 1.609 20 30 DDEDLO C=CC[C@H](c1ccncc1)N1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O ZINC000804165220 701168829 /nfs/dbraw/zinc/16/88/29/701168829.db2.gz RCABLSDNYKDCEP-UONOGXRCSA-N 1 2 311.345 1.585 20 30 DDEDLO C=CC[C@H](c1ccncc1)N1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O ZINC000804165220 701168832 /nfs/dbraw/zinc/16/88/32/701168832.db2.gz RCABLSDNYKDCEP-UONOGXRCSA-N 1 2 311.345 1.585 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)OC(=O)[C@@H]1C[N@H+](CC)CCO1 ZINC000805469297 701383468 /nfs/dbraw/zinc/38/34/68/701383468.db2.gz DPKPMGRMUDXRRP-KGLIPLIRSA-N 1 2 312.410 1.063 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)OC(=O)[C@@H]1C[N@@H+](CC)CCO1 ZINC000805469297 701383470 /nfs/dbraw/zinc/38/34/70/701383470.db2.gz DPKPMGRMUDXRRP-KGLIPLIRSA-N 1 2 312.410 1.063 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@@H+]2CCOC(C)(C)C2)cc1 ZINC000815210580 701825708 /nfs/dbraw/zinc/82/57/08/701825708.db2.gz GFFIATKTLLUCGD-UHFFFAOYSA-N 1 2 314.429 1.828 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@H+]2CCOC(C)(C)C2)cc1 ZINC000815210580 701825714 /nfs/dbraw/zinc/82/57/14/701825714.db2.gz GFFIATKTLLUCGD-UHFFFAOYSA-N 1 2 314.429 1.828 20 30 DDEDLO C[C@H](O)CNc1cc(NCCNc2ncccc2C#N)[nH+]cn1 ZINC000840248840 702042371 /nfs/dbraw/zinc/04/23/71/702042371.db2.gz HLZGADPJLKBULB-NSHDSACASA-N 1 2 313.365 1.060 20 30 DDEDLO C[C@H](O)CNc1cc(NCCNc2ncccc2C#N)nc[nH+]1 ZINC000840248840 702042378 /nfs/dbraw/zinc/04/23/78/702042378.db2.gz HLZGADPJLKBULB-NSHDSACASA-N 1 2 313.365 1.060 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1noc(COC(C)C)n1 ZINC000812379088 702173305 /nfs/dbraw/zinc/17/33/05/702173305.db2.gz QRUKLNGKJCHNCE-STQMWFEESA-N 1 2 320.393 1.269 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1noc(COC(C)C)n1 ZINC000812379088 702173308 /nfs/dbraw/zinc/17/33/08/702173308.db2.gz QRUKLNGKJCHNCE-STQMWFEESA-N 1 2 320.393 1.269 20 30 DDEDLO COC[C@]1(C(=O)OC(C)(C)C)C[N@@H+]([C@@H](C)CCC#N)CCO1 ZINC000879360137 706660448 /nfs/dbraw/zinc/66/04/48/706660448.db2.gz ZQXSSNYPUAUOHG-BBRMVZONSA-N 1 2 312.410 1.738 20 30 DDEDLO COC[C@]1(C(=O)OC(C)(C)C)C[N@H+]([C@@H](C)CCC#N)CCO1 ZINC000879360137 706660451 /nfs/dbraw/zinc/66/04/51/706660451.db2.gz ZQXSSNYPUAUOHG-BBRMVZONSA-N 1 2 312.410 1.738 20 30 DDEDLO C[C@H]([NH2+]C[C@H]1COC2(CCOCC2)O1)c1cccc(C#N)c1O ZINC000866326919 706674299 /nfs/dbraw/zinc/67/42/99/706674299.db2.gz OSDIXZYLZWUPJZ-JSGCOSHPSA-N 1 2 318.373 1.837 20 30 DDEDLO CCn1c[nH+]cc1CN[C@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000841874666 702601876 /nfs/dbraw/zinc/60/18/76/702601876.db2.gz ONOWTSPCCXBGHO-JSGCOSHPSA-N 1 2 319.409 1.752 20 30 DDEDLO CC(=NNCCCn1cc[nH+]c1)c1cccc(-n2cnnn2)c1 ZINC000848417586 703548105 /nfs/dbraw/zinc/54/81/05/703548105.db2.gz BQXXHXBJQAOMQY-UHFFFAOYSA-N 1 2 310.365 1.263 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1CC(=O)N(CN2Cc3ccc(C#N)cc3C2)C1 ZINC000848501133 703558359 /nfs/dbraw/zinc/55/83/59/703558359.db2.gz OSAWBYYFNGOITE-INIZCTEOSA-N 1 2 321.384 1.581 20 30 DDEDLO C=C(Cl)C[C@@H](NC(=O)C[NH+]1CC(C)(C)C1)C(=O)OCC ZINC000870033452 703898000 /nfs/dbraw/zinc/89/80/00/703898000.db2.gz PNYKSZMFNFHBPG-LLVKDONJSA-N 1 2 302.802 1.519 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000852093823 703921293 /nfs/dbraw/zinc/92/12/93/703921293.db2.gz QBTFAJCVBSEBGJ-UHFFFAOYSA-N 1 2 317.345 1.002 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCCC[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000831782685 706779113 /nfs/dbraw/zinc/77/91/13/706779113.db2.gz VEEWHLUMBNFQTP-HNNXBMFYSA-N 1 2 322.430 1.988 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCCC[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000831782685 706779114 /nfs/dbraw/zinc/77/91/14/706779114.db2.gz VEEWHLUMBNFQTP-HNNXBMFYSA-N 1 2 322.430 1.988 20 30 DDEDLO C=CC[N@H+](CN1C(=O)[C@]2(CCCO2)[C@H]1C(C)C)[C@H](C)COC ZINC000853533883 704261672 /nfs/dbraw/zinc/26/16/72/704261672.db2.gz CTJHDQFPDQYUJW-INMHGKMJSA-N 1 2 310.438 1.883 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)[C@]2(CCCO2)[C@H]1C(C)C)[C@H](C)COC ZINC000853533883 704261673 /nfs/dbraw/zinc/26/16/73/704261673.db2.gz CTJHDQFPDQYUJW-INMHGKMJSA-N 1 2 310.438 1.883 20 30 DDEDLO Cn1c[nH+]cc1CCN1C(=O)N[C@@](C)(c2cccc(C#N)c2)C1=O ZINC000853663192 704295267 /nfs/dbraw/zinc/29/52/67/704295267.db2.gz VQOZHBGLTHDGRV-KRWDZBQOSA-N 1 2 323.356 1.301 20 30 DDEDLO CC[C@@H](CO)[NH+]1CCN(C(=O)c2sccc2CC#N)CC1 ZINC000866809980 706809575 /nfs/dbraw/zinc/80/95/75/706809575.db2.gz NIMJISIKBLVCNB-ZDUSSCGKSA-N 1 2 307.419 1.343 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC000855495568 704488014 /nfs/dbraw/zinc/48/80/14/704488014.db2.gz BZRFNBYRTDKBBI-CHWSQXEVSA-N 1 2 317.389 1.327 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000859019584 704785403 /nfs/dbraw/zinc/78/54/03/704785403.db2.gz UNOGSCUWWSCIIL-GJZGRUSLSA-N 1 2 318.421 1.738 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC000821839190 704822879 /nfs/dbraw/zinc/82/28/79/704822879.db2.gz WNSKJFHEXARJJZ-ZDUSSCGKSA-N 1 2 313.423 1.187 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)CC2)cn1 ZINC000822551263 704973145 /nfs/dbraw/zinc/97/31/45/704973145.db2.gz TZBYSYZCVMYTHN-HUUCEWRRSA-N 1 2 322.372 1.129 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)CC2)cn1 ZINC000822551263 704973147 /nfs/dbraw/zinc/97/31/47/704973147.db2.gz TZBYSYZCVMYTHN-HUUCEWRRSA-N 1 2 322.372 1.129 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CCN(C2CC2)[C@H](C#N)C1)n1cc[nH+]c1 ZINC000874460500 705076080 /nfs/dbraw/zinc/07/60/80/705076080.db2.gz IWHFAXAGCLCJFS-HZPDHXFCSA-N 1 2 315.421 1.669 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CC[C@@](O)(CC#N)C1 ZINC000876204212 705656535 /nfs/dbraw/zinc/65/65/35/705656535.db2.gz KQMPEXFWINGTBJ-RDJZCZTQSA-N 1 2 314.389 1.233 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CC[C@@](O)(CC#N)C1 ZINC000876204212 705656537 /nfs/dbraw/zinc/65/65/37/705656537.db2.gz KQMPEXFWINGTBJ-RDJZCZTQSA-N 1 2 314.389 1.233 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cnn(CC3CCC3)c2)C1=O ZINC000894232116 711026869 /nfs/dbraw/zinc/02/68/69/711026869.db2.gz VIUAEYDGUHEWQK-INIZCTEOSA-N 1 2 302.422 1.902 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cnn(CC3CCC3)c2)C1=O ZINC000894232116 711026873 /nfs/dbraw/zinc/02/68/73/711026873.db2.gz VIUAEYDGUHEWQK-INIZCTEOSA-N 1 2 302.422 1.902 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCc1ccc(OCC#N)cc1 ZINC000825704296 705718481 /nfs/dbraw/zinc/71/84/81/705718481.db2.gz OWVQBWOQPJMENY-HUUCEWRRSA-N 1 2 310.357 1.742 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[NH+]2CCN([C@H]3CCOC3)CC2)cc1 ZINC000827120920 705933750 /nfs/dbraw/zinc/93/37/50/705933750.db2.gz WLFSLZPFEMMSBH-WBVHZDCISA-N 1 2 315.417 1.884 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc3c(cc[nH]c3=O)o2)C1=O ZINC000877496379 706123491 /nfs/dbraw/zinc/12/34/91/706123491.db2.gz QOLVPYZDGXBCNI-CYBMUJFWSA-N 1 2 301.346 1.752 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc3c(cc[nH]c3=O)o2)C1=O ZINC000877496379 706123494 /nfs/dbraw/zinc/12/34/94/706123494.db2.gz QOLVPYZDGXBCNI-CYBMUJFWSA-N 1 2 301.346 1.752 20 30 DDEDLO CCCn1cc(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)nn1 ZINC000877545990 706138699 /nfs/dbraw/zinc/13/86/99/706138699.db2.gz NXLIFEZTSKBEDT-HNNXBMFYSA-N 1 2 312.377 1.608 20 30 DDEDLO CCCn1cc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)nn1 ZINC000877545990 706138701 /nfs/dbraw/zinc/13/87/01/706138701.db2.gz NXLIFEZTSKBEDT-HNNXBMFYSA-N 1 2 312.377 1.608 20 30 DDEDLO CCOC[C@@H]1C[N@H+](Cc2cc(C#N)ccc2N(C)C)CCO1 ZINC000877656170 706172192 /nfs/dbraw/zinc/17/21/92/706172192.db2.gz ZFSIJWNPMOHNPY-INIZCTEOSA-N 1 2 303.406 1.862 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2N(C)C)CCO1 ZINC000877656170 706172194 /nfs/dbraw/zinc/17/21/94/706172194.db2.gz ZFSIJWNPMOHNPY-INIZCTEOSA-N 1 2 303.406 1.862 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCO[C@](C)(C(=O)OCC)C2)cc1 ZINC000877704544 706183148 /nfs/dbraw/zinc/18/31/48/706183148.db2.gz QKGQMBIPGGQVMJ-SFHVURJKSA-N 1 2 317.385 1.853 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCO[C@](C)(C(=O)OCC)C2)cc1 ZINC000877704544 706183149 /nfs/dbraw/zinc/18/31/49/706183149.db2.gz QKGQMBIPGGQVMJ-SFHVURJKSA-N 1 2 317.385 1.853 20 30 DDEDLO N#CCOc1ccc(CNC(=O)/C=C\C[NH+]2CCOCC2)cc1 ZINC000865138656 706360895 /nfs/dbraw/zinc/36/08/95/706360895.db2.gz VHXPVNKAQHECED-UPHRSURJSA-N 1 2 315.373 1.094 20 30 DDEDLO C#CCCCCNS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000881987307 707455643 /nfs/dbraw/zinc/45/56/43/707455643.db2.gz QJRQHJNJAUGPGY-UHFFFAOYSA-N 1 2 306.431 1.756 20 30 DDEDLO C#CCCCCNS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000881987307 707455644 /nfs/dbraw/zinc/45/56/44/707455644.db2.gz QJRQHJNJAUGPGY-UHFFFAOYSA-N 1 2 306.431 1.756 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000878641482 706453493 /nfs/dbraw/zinc/45/34/93/706453493.db2.gz RNMHXSINGCGZSK-UONOGXRCSA-N 1 2 301.346 1.524 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000878641482 706453495 /nfs/dbraw/zinc/45/34/95/706453495.db2.gz RNMHXSINGCGZSK-UONOGXRCSA-N 1 2 301.346 1.524 20 30 DDEDLO N#CCC(=O)N1CC[NH+](C[C@H]2CC[C@@H](c3ccccc3)O2)CC1 ZINC000878673180 706464772 /nfs/dbraw/zinc/46/47/72/706464772.db2.gz WVNPFJJIBCPESW-SJORKVTESA-N 1 2 313.401 1.965 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@H](C3CCCCC3)CC2=O)CC1 ZINC000872557229 707478336 /nfs/dbraw/zinc/47/83/36/707478336.db2.gz KWXAQAKAFFTFBP-QGZVFWFLSA-N 1 2 303.450 1.624 20 30 DDEDLO C[C@@H]1C[NH+](C[C@@H](O)c2c(F)cccc2F)C[C@@H](C)N1CC#N ZINC000878776384 706496623 /nfs/dbraw/zinc/49/66/23/706496623.db2.gz VNFZMUVGMRVZLI-LALPHHSUSA-N 1 2 309.360 1.916 20 30 DDEDLO C#CC1CC[NH+](Cc2ccc(S(=O)(=O)N(C)C)s2)CC1 ZINC000830350375 706501021 /nfs/dbraw/zinc/50/10/21/706501021.db2.gz AYXGXPAGBQUCEX-UHFFFAOYSA-N 1 2 312.460 1.844 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cc(C)c(C#N)cn1 ZINC000880521088 707000941 /nfs/dbraw/zinc/00/09/41/707000941.db2.gz MDGWLPAYNTXIEA-CQSZACIVSA-N 1 2 317.393 1.494 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cc(C)c(C#N)cn1 ZINC000880521088 707000944 /nfs/dbraw/zinc/00/09/44/707000944.db2.gz MDGWLPAYNTXIEA-CQSZACIVSA-N 1 2 317.393 1.494 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cc(CC#N)ccn1 ZINC000871761104 707191173 /nfs/dbraw/zinc/19/11/73/707191173.db2.gz QGLNDKSTJFRXLF-CQSZACIVSA-N 1 2 317.393 1.380 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cc(CC#N)ccn1 ZINC000871761104 707191177 /nfs/dbraw/zinc/19/11/77/707191177.db2.gz QGLNDKSTJFRXLF-CQSZACIVSA-N 1 2 317.393 1.380 20 30 DDEDLO C=CCn1cc(C(=O)N2CCC(Nc3cccc[nH+]3)CC2)nn1 ZINC000927071751 712937581 /nfs/dbraw/zinc/93/75/81/712937581.db2.gz CPELCYXSIIWBPU-UHFFFAOYSA-N 1 2 312.377 1.576 20 30 DDEDLO CC(C)(C)NC(=S)NN=C1CCC[N@@H+]2CCSC[C@@H]12 ZINC000872334274 707385229 /nfs/dbraw/zinc/38/52/29/707385229.db2.gz RSNDIGMERILWRR-NSHDSACASA-N 1 2 300.497 1.816 20 30 DDEDLO CC(C)(C)NC(=S)NN=C1CCC[N@H+]2CCSC[C@@H]12 ZINC000872334274 707385233 /nfs/dbraw/zinc/38/52/33/707385233.db2.gz RSNDIGMERILWRR-NSHDSACASA-N 1 2 300.497 1.816 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNc1c(C#N)cccc1[N+](=O)[O-] ZINC000872773536 707594169 /nfs/dbraw/zinc/59/41/69/707594169.db2.gz OUIOFPXRAWMAMD-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNc1c(C#N)cccc1[N+](=O)[O-] ZINC000872773536 707594170 /nfs/dbraw/zinc/59/41/70/707594170.db2.gz OUIOFPXRAWMAMD-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CC1 ZINC000873296338 707802116 /nfs/dbraw/zinc/80/21/16/707802116.db2.gz XSEUWBVNPDYTTG-BZUAXINKSA-N 1 2 305.422 1.295 20 30 DDEDLO C#CCCCS(=O)(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882837500 707812295 /nfs/dbraw/zinc/81/22/95/707812295.db2.gz JBEDNJHMRMHMPF-MRXNPFEDSA-N 1 2 317.414 1.957 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@@H+]2CCO[C@@H](C)C2)c1 ZINC000838115945 707846726 /nfs/dbraw/zinc/84/67/26/707846726.db2.gz RJOPZAYHCBCFKW-AWEZNQCLSA-N 1 2 301.390 1.188 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@H+]2CCO[C@@H](C)C2)c1 ZINC000838115945 707846729 /nfs/dbraw/zinc/84/67/29/707846729.db2.gz RJOPZAYHCBCFKW-AWEZNQCLSA-N 1 2 301.390 1.188 20 30 DDEDLO C=C(C)C[C@@H](CO)Nc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000883007069 707894886 /nfs/dbraw/zinc/89/48/86/707894886.db2.gz KWLVQHPQLYSZPS-KBPBESRZSA-N 1 2 306.410 1.424 20 30 DDEDLO C=C(C)C[C@@H](CO)Nc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000883007069 707894890 /nfs/dbraw/zinc/89/48/90/707894890.db2.gz KWLVQHPQLYSZPS-KBPBESRZSA-N 1 2 306.410 1.424 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NC[C@@H](O)c1ccnn1C ZINC000896632309 708103154 /nfs/dbraw/zinc/10/31/54/708103154.db2.gz MILLSAREGRJECF-CQSZACIVSA-N 1 2 300.362 1.753 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC(C)(C)c1nc(C)cs1 ZINC000884195369 708182524 /nfs/dbraw/zinc/18/25/24/708182524.db2.gz KWHPQZFVQPTIDO-JTQLQIEISA-N 1 2 311.407 1.249 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)C[C@H](C)c1nccs1 ZINC000884315189 708240132 /nfs/dbraw/zinc/24/01/32/708240132.db2.gz IOZLVBBRXDSWPU-QWRGUYRKSA-N 1 2 311.407 1.152 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@@H](C2CCCCC2)C1 ZINC000884405066 708282870 /nfs/dbraw/zinc/28/28/70/708282870.db2.gz GTOJMHCZYTVQEG-CABCVRRESA-N 1 2 308.422 1.862 20 30 DDEDLO O=C1C=COC2(CC[NH+](Cc3cc4c(cn3)OCCO4)CC2)C1 ZINC000897599211 708388496 /nfs/dbraw/zinc/38/84/96/708388496.db2.gz FOZKNNFGBCMUSG-UHFFFAOYSA-N 1 2 316.357 1.691 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)CCc2ccccc2F)C1 ZINC000885508779 708561778 /nfs/dbraw/zinc/56/17/78/708561778.db2.gz KXPOELBQOYKDIE-OAHLLOKOSA-N 1 2 324.421 1.385 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)CCc2ccccc2F)C1 ZINC000885508779 708561779 /nfs/dbraw/zinc/56/17/79/708561779.db2.gz KXPOELBQOYKDIE-OAHLLOKOSA-N 1 2 324.421 1.385 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cc(C#N)ccc2C)C1 ZINC000885511583 708563131 /nfs/dbraw/zinc/56/31/31/708563131.db2.gz KESMPVCZXAUTGM-HNNXBMFYSA-N 1 2 317.414 1.243 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cc(C#N)ccc2C)C1 ZINC000885511583 708563133 /nfs/dbraw/zinc/56/31/33/708563133.db2.gz KESMPVCZXAUTGM-HNNXBMFYSA-N 1 2 317.414 1.243 20 30 DDEDLO C=CCn1cc(C[NH2+][C@@H](C)c2cncc(C(=O)OC)c2)nn1 ZINC000886628420 708798757 /nfs/dbraw/zinc/79/87/57/708798757.db2.gz QEEUEUQJCPFFRE-NSHDSACASA-N 1 2 301.350 1.497 20 30 DDEDLO C#CCC1(NC(=O)[C@H]2C[N@H+](Cc3ccccc3)CCO2)CCC1 ZINC000886791558 708845249 /nfs/dbraw/zinc/84/52/49/708845249.db2.gz OKJKTQUMKOXDEB-QGZVFWFLSA-N 1 2 312.413 1.950 20 30 DDEDLO C#CCC1(NC(=O)[C@H]2C[N@@H+](Cc3ccccc3)CCO2)CCC1 ZINC000886791558 708845252 /nfs/dbraw/zinc/84/52/52/708845252.db2.gz OKJKTQUMKOXDEB-QGZVFWFLSA-N 1 2 312.413 1.950 20 30 DDEDLO CCCC[C@H](ON=C(C)C)C(=O)N[C@H](CO)c1c[nH+]cn1C ZINC000898889935 708909788 /nfs/dbraw/zinc/90/97/88/708909788.db2.gz RKVCGLBCAFIWNH-OCCSQVGLSA-N 1 2 310.398 1.541 20 30 DDEDLO O=[N+]([O-])c1ccc(O)c(/C=N/C[C@H]2CC[N@@H+]2C2CCOCC2)c1 ZINC000899227236 709027464 /nfs/dbraw/zinc/02/74/64/709027464.db2.gz HPMHWJWTGFBVSR-ZXMBATIKSA-N 1 2 319.361 1.973 20 30 DDEDLO O=[N+]([O-])c1ccc(O)c(/C=N/C[C@H]2CC[N@H+]2C2CCOCC2)c1 ZINC000899227236 709027467 /nfs/dbraw/zinc/02/74/67/709027467.db2.gz HPMHWJWTGFBVSR-ZXMBATIKSA-N 1 2 319.361 1.973 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@](O)(CC)CCC(F)(F)F ZINC000887507108 709058816 /nfs/dbraw/zinc/05/88/16/709058816.db2.gz PBPWNANDICJJOX-JSGCOSHPSA-N 1 2 320.355 1.684 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@](O)(CC)CCC(F)(F)F ZINC000887507108 709058821 /nfs/dbraw/zinc/05/88/21/709058821.db2.gz PBPWNANDICJJOX-JSGCOSHPSA-N 1 2 320.355 1.684 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(C)=O)c3ccccc32)nn1 ZINC000899743269 709186741 /nfs/dbraw/zinc/18/67/41/709186741.db2.gz BLFZWTSVQKWZTF-GOSISDBHSA-N 1 2 323.400 1.737 20 30 DDEDLO C/C(=C/C(=O)Nc1cc(C)n(CCC#N)n1)C[NH+]1CCOCC1 ZINC000901284094 709996697 /nfs/dbraw/zinc/99/66/97/709996697.db2.gz VEHLWZSWRKFDRG-RAXLEYEMSA-N 1 2 317.393 1.322 20 30 DDEDLO C#C[C@@H]1CCCCN1C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000891989375 710347818 /nfs/dbraw/zinc/34/78/18/710347818.db2.gz BUBJGXFQWZUFLK-OAHLLOKOSA-N 1 2 300.406 1.959 20 30 DDEDLO C=CC[N@H+](CN1C(=O)[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1=O)[C@H](C)COC ZINC000902313666 710712378 /nfs/dbraw/zinc/71/23/78/710712378.db2.gz RDPIAWALIINXNG-AZSHCRGASA-N 1 2 318.417 1.664 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1=O)[C@H](C)COC ZINC000902313666 710712380 /nfs/dbraw/zinc/71/23/80/710712380.db2.gz RDPIAWALIINXNG-AZSHCRGASA-N 1 2 318.417 1.664 20 30 DDEDLO CC[C@]1(C)CC(=O)N(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1=O ZINC000902599776 710811151 /nfs/dbraw/zinc/81/11/51/710811151.db2.gz JRXWSAHHPNHIFY-MRXNPFEDSA-N 1 2 320.389 1.457 20 30 DDEDLO N#C[C@H](CCc1ccccc1)C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC000913451624 713221526 /nfs/dbraw/zinc/22/15/26/713221526.db2.gz QFFRLWGCTGDJFO-RDJZCZTQSA-N 1 2 323.400 1.655 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)Cc3ccccc3CC#N)CC[NH2+]2)cn1 ZINC000913463290 713227313 /nfs/dbraw/zinc/22/73/13/713227313.db2.gz CRNGJQVXCBMKNU-QGZVFWFLSA-N 1 2 323.400 1.202 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@]2(C#N)CC3CCC2CC3)[C@@H](C)CO1 ZINC000912393672 711308601 /nfs/dbraw/zinc/30/86/01/711308601.db2.gz SBVSIENXBQLUCQ-JWSSAKRMSA-N 1 2 319.449 1.932 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@]2(C#N)CC3CCC2CC3)[C@@H](C)CO1 ZINC000912393672 711308603 /nfs/dbraw/zinc/30/86/03/711308603.db2.gz SBVSIENXBQLUCQ-JWSSAKRMSA-N 1 2 319.449 1.932 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNCC(F)(F)C1(O)CCCCC1 ZINC000905712703 712128783 /nfs/dbraw/zinc/12/87/83/712128783.db2.gz LNPOHYAFUJBNOX-ZDUSSCGKSA-N 1 2 319.396 1.113 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNCC(F)(F)C1(O)CCCCC1 ZINC000905712703 712128784 /nfs/dbraw/zinc/12/87/84/712128784.db2.gz LNPOHYAFUJBNOX-ZDUSSCGKSA-N 1 2 319.396 1.113 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000906240585 712271180 /nfs/dbraw/zinc/27/11/80/712271180.db2.gz LXOVAXZNZQSAOT-OAHLLOKOSA-N 1 2 318.421 1.442 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)/C=C(\C)C[NH+]1CCOCC1 ZINC000907948817 712653699 /nfs/dbraw/zinc/65/36/99/712653699.db2.gz RMWSTXFLSDUDQK-OHZIQAAMSA-N 1 2 308.422 1.362 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000907962600 712655848 /nfs/dbraw/zinc/65/58/48/712655848.db2.gz MFBIOZPCEMSNPE-OAHLLOKOSA-N 1 2 305.422 1.905 20 30 DDEDLO C[C@H]1CN(C(=O)NCCCCn2cc[nH+]c2)C[C@H](C)N1CC#N ZINC000914308629 713374606 /nfs/dbraw/zinc/37/46/06/713374606.db2.gz WOFVOOYRKSYYNI-GJZGRUSLSA-N 1 2 318.425 1.291 20 30 DDEDLO C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)Cc1csc(C#N)c1 ZINC000914317206 713377475 /nfs/dbraw/zinc/37/74/75/713377475.db2.gz QKCDPDBUYUKEIU-QWRGUYRKSA-N 1 2 313.448 1.474 20 30 DDEDLO C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)Cc1csc(C#N)c1 ZINC000914317206 713377477 /nfs/dbraw/zinc/37/74/77/713377477.db2.gz QKCDPDBUYUKEIU-QWRGUYRKSA-N 1 2 313.448 1.474 20 30 DDEDLO Cn1ncc2c1ncnc2N=NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000915963161 713436624 /nfs/dbraw/zinc/43/66/24/713436624.db2.gz NVIIXJDEFZBCIQ-UHFFFAOYSA-N 1 2 318.344 1.995 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)nc1 ZINC000928722662 713471761 /nfs/dbraw/zinc/47/17/61/713471761.db2.gz JYTKTTIJDZBVBQ-AWEZNQCLSA-N 1 2 310.361 1.253 20 30 DDEDLO COC(=O)/C=C(\C)C[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000929985156 713728796 /nfs/dbraw/zinc/72/87/96/713728796.db2.gz ASAONYZYDDAOBM-OKACTXMXSA-N 1 2 313.401 1.988 20 30 DDEDLO C[N@@H+](Cc1noc2c1COCC2)C[C@H](O)c1cccc(C#N)c1 ZINC000930844477 713935630 /nfs/dbraw/zinc/93/56/30/713935630.db2.gz BIANIIPZNIMHJI-INIZCTEOSA-N 1 2 313.357 1.784 20 30 DDEDLO C[N@H+](Cc1noc2c1COCC2)C[C@H](O)c1cccc(C#N)c1 ZINC000930844477 713935631 /nfs/dbraw/zinc/93/56/31/713935631.db2.gz BIANIIPZNIMHJI-INIZCTEOSA-N 1 2 313.357 1.784 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000930866869 713945458 /nfs/dbraw/zinc/94/54/58/713945458.db2.gz ZVBRZUAAUBXCDZ-GFCCVEGCSA-N 1 2 318.377 1.409 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000930866869 713945461 /nfs/dbraw/zinc/94/54/61/713945461.db2.gz ZVBRZUAAUBXCDZ-GFCCVEGCSA-N 1 2 318.377 1.409 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2c(C)cc(C#N)cc2C)C[C@@H](C)O1 ZINC000931180315 714025037 /nfs/dbraw/zinc/02/50/37/714025037.db2.gz WOKGOCMSMQRNMZ-CJNGLKHVSA-N 1 2 302.374 1.937 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2c(C)cc(C#N)cc2C)C[C@@H](C)O1 ZINC000931180315 714025038 /nfs/dbraw/zinc/02/50/38/714025038.db2.gz WOKGOCMSMQRNMZ-CJNGLKHVSA-N 1 2 302.374 1.937 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@](C)(O)[C@H]([N@@H+]2CCC[C@@H](C#N)C2)C1 ZINC000932154572 714272776 /nfs/dbraw/zinc/27/27/76/714272776.db2.gz LAXNYQWBKIHUJI-VBQJREDUSA-N 1 2 323.437 1.982 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@](C)(O)[C@H]([N@H+]2CCC[C@@H](C#N)C2)C1 ZINC000932154572 714272778 /nfs/dbraw/zinc/27/27/78/714272778.db2.gz LAXNYQWBKIHUJI-VBQJREDUSA-N 1 2 323.437 1.982 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cccc(C[S@](C)=O)c2)C1 ZINC000923588602 714419316 /nfs/dbraw/zinc/41/93/16/714419316.db2.gz GSBZINYMMCLFLU-ZHRRBRCNSA-N 1 2 318.442 1.393 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cccc(C[S@](C)=O)c2)C1 ZINC000923588602 714419318 /nfs/dbraw/zinc/41/93/18/714419318.db2.gz GSBZINYMMCLFLU-ZHRRBRCNSA-N 1 2 318.442 1.393 20 30 DDEDLO NS(=O)(=O)C[C@H]1CC[N@H+](CC#Cc2ccccc2Cl)C1 ZINC000932951327 714443397 /nfs/dbraw/zinc/44/33/97/714443397.db2.gz XHWYPAYCIWUBJX-LBPRGKRZSA-N 1 2 312.822 1.302 20 30 DDEDLO NS(=O)(=O)C[C@H]1CC[N@@H+](CC#Cc2ccccc2Cl)C1 ZINC000932951327 714443401 /nfs/dbraw/zinc/44/34/01/714443401.db2.gz XHWYPAYCIWUBJX-LBPRGKRZSA-N 1 2 312.822 1.302 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)[C@H](C)C1 ZINC000933174861 714527825 /nfs/dbraw/zinc/52/78/25/714527825.db2.gz FHFCUEHEMTUNCV-HSMVNMDESA-N 1 2 307.394 1.068 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)[C@H](C)C1 ZINC000933174861 714527828 /nfs/dbraw/zinc/52/78/28/714527828.db2.gz FHFCUEHEMTUNCV-HSMVNMDESA-N 1 2 307.394 1.068 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1C[C@H]1CCOC1 ZINC000933677042 714645737 /nfs/dbraw/zinc/64/57/37/714645737.db2.gz SVTNYFZFBDAJSU-CVEARBPZSA-N 1 2 310.438 1.538 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1C[C@H]1CCOC1 ZINC000933677042 714645739 /nfs/dbraw/zinc/64/57/39/714645739.db2.gz SVTNYFZFBDAJSU-CVEARBPZSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CCCC[C@@H]1NC(=O)N([C@@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000924719171 714648698 /nfs/dbraw/zinc/64/86/98/714648698.db2.gz XPTZBRJJWLFDGY-KGLIPLIRSA-N 1 2 314.389 1.937 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccc(F)cc1)[N@@H+]1CC[C@H]1CO ZINC000934022863 714724106 /nfs/dbraw/zinc/72/41/06/714724106.db2.gz ANHOGVRWBUQNTH-DOMZBBRYSA-N 1 2 305.353 1.527 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccc(F)cc1)[N@H+]1CC[C@H]1CO ZINC000934022863 714724108 /nfs/dbraw/zinc/72/41/08/714724108.db2.gz ANHOGVRWBUQNTH-DOMZBBRYSA-N 1 2 305.353 1.527 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@@H+]1CCCNC(=O)[C@@H]1C)c1ccccc1 ZINC000934811644 714908192 /nfs/dbraw/zinc/90/81/92/714908192.db2.gz ZJKJINRTDSSRTF-KBXCAEBGSA-N 1 2 313.401 1.637 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@H+]1CCCNC(=O)[C@@H]1C)c1ccccc1 ZINC000934811644 714908193 /nfs/dbraw/zinc/90/81/93/714908193.db2.gz ZJKJINRTDSSRTF-KBXCAEBGSA-N 1 2 313.401 1.637 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000935912120 715137388 /nfs/dbraw/zinc/13/73/88/715137388.db2.gz QLWOKQRWJLAQKV-UONOGXRCSA-N 1 2 305.422 1.760 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2coc(CC3CC3)n2)C1 ZINC000957058382 715728457 /nfs/dbraw/zinc/72/84/57/715728457.db2.gz ILBQKIIEXZUGIO-UHFFFAOYSA-N 1 2 301.390 1.797 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2noc3c2C[C@@H](C)CC3)C1 ZINC000957075473 715734998 /nfs/dbraw/zinc/73/49/98/715734998.db2.gz VGTJJRLOKCVBCI-ZDUSSCGKSA-N 1 2 315.417 1.969 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000938194335 715752249 /nfs/dbraw/zinc/75/22/49/715752249.db2.gz PZGQPHGKUZLRRB-LBPRGKRZSA-N 1 2 324.812 1.379 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2c(OC)cc[nH]c2=O)C1 ZINC000957280564 715814439 /nfs/dbraw/zinc/81/44/39/715814439.db2.gz KAUDQTXRGBYHGS-UHFFFAOYSA-N 1 2 305.378 1.518 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(N(CC)CC)nc2)CC1 ZINC000957888243 716218376 /nfs/dbraw/zinc/21/83/76/716218376.db2.gz JIGQKIARBZFXGK-UHFFFAOYSA-N 1 2 302.422 1.872 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C1CC1 ZINC000958491719 716593637 /nfs/dbraw/zinc/59/36/37/716593637.db2.gz QUOUCZSPYREOCG-FTYKPCCVSA-N 1 2 313.239 1.988 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C1CC1 ZINC000958491719 716593642 /nfs/dbraw/zinc/59/36/42/716593642.db2.gz QUOUCZSPYREOCG-FTYKPCCVSA-N 1 2 313.239 1.988 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H]2C[N@@H+](C)Cc2ccon2)nc1 ZINC000960970865 716751412 /nfs/dbraw/zinc/75/14/12/716751412.db2.gz CMRQNPUHPHYALU-MRXNPFEDSA-N 1 2 324.384 1.788 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H]2C[N@H+](C)Cc2ccon2)nc1 ZINC000960970865 716751417 /nfs/dbraw/zinc/75/14/17/716751417.db2.gz CMRQNPUHPHYALU-MRXNPFEDSA-N 1 2 324.384 1.788 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3c(C)coc3C)CC2)C1 ZINC000941399719 717169955 /nfs/dbraw/zinc/16/99/55/717169955.db2.gz IHELFSINMQGSPZ-UHFFFAOYSA-N 1 2 315.417 1.362 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@]3(C)CCC[C@@H]3C)CC2)C1 ZINC000941554030 717207473 /nfs/dbraw/zinc/20/74/73/717207473.db2.gz WAEXKDLMRQREAM-LPHOPBHVSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(F)cc3F)CC2)C1 ZINC000941655335 717245484 /nfs/dbraw/zinc/24/54/84/717245484.db2.gz VOWBPEQDNYSGQH-UHFFFAOYSA-N 1 2 319.355 1.040 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(C(F)F)o3)CC2)C1 ZINC000941655326 717245619 /nfs/dbraw/zinc/24/56/19/717245619.db2.gz VEDIJHSDBDEKAU-UHFFFAOYSA-N 1 2 323.343 1.292 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC000963443851 717791641 /nfs/dbraw/zinc/79/16/41/717791641.db2.gz UECZZEQNBHZIIA-LSDHHAIUSA-N 1 2 301.394 1.110 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)[C@@H](C)C1 ZINC000944831611 718351059 /nfs/dbraw/zinc/35/10/59/718351059.db2.gz QOTVCNAJMYZYKF-GXTWGEPZSA-N 1 2 311.389 1.421 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)[C@@H](C)C1 ZINC000944831611 718351062 /nfs/dbraw/zinc/35/10/62/718351062.db2.gz QOTVCNAJMYZYKF-GXTWGEPZSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C[C@H]1C ZINC000947892229 719300177 /nfs/dbraw/zinc/30/01/77/719300177.db2.gz ZCKOEOSGJGCLLR-CZUORRHYSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C[C@H]1C ZINC000947892229 719300179 /nfs/dbraw/zinc/30/01/79/719300179.db2.gz ZCKOEOSGJGCLLR-CZUORRHYSA-N 1 2 323.400 1.688 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000948203683 719392877 /nfs/dbraw/zinc/39/28/77/719392877.db2.gz QRFQLTQXBOIZDH-QGZVFWFLSA-N 1 2 318.421 1.662 20 30 DDEDLO CN(C(=O)[C@H]1CCn2c[nH+]cc2C1)[C@H]1CCCN(CC#N)CC1 ZINC000948561978 719548667 /nfs/dbraw/zinc/54/86/67/719548667.db2.gz OWNQPMXOCQRKKE-GJZGRUSLSA-N 1 2 315.421 1.282 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2scnc2COC)C1 ZINC000968406085 719565316 /nfs/dbraw/zinc/56/53/16/719565316.db2.gz WZONVAUSCZAQQH-CHWSQXEVSA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2scnc2COC)C1 ZINC000968406085 719565317 /nfs/dbraw/zinc/56/53/17/719565317.db2.gz WZONVAUSCZAQQH-CHWSQXEVSA-N 1 2 321.446 1.753 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2C[N@@H+](CCF)CC[C@H]2C)nn1 ZINC000968409748 719567199 /nfs/dbraw/zinc/56/71/99/719567199.db2.gz PTKHIXITGUPWJP-CHWSQXEVSA-N 1 2 309.389 1.264 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2C[N@H+](CCF)CC[C@H]2C)nn1 ZINC000968409748 719567204 /nfs/dbraw/zinc/56/72/04/719567204.db2.gz PTKHIXITGUPWJP-CHWSQXEVSA-N 1 2 309.389 1.264 20 30 DDEDLO C[C@@H]1CCN(CC#N)C[C@@H]1NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000968500680 719626354 /nfs/dbraw/zinc/62/63/54/719626354.db2.gz IJNZNNPYNXSMGS-CJNGLKHVSA-N 1 2 324.388 1.231 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC000968593650 719682624 /nfs/dbraw/zinc/68/26/24/719682624.db2.gz YWIAYGAZZJDGMH-MFKMUULPSA-N 1 2 321.812 1.855 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC000968593650 719682627 /nfs/dbraw/zinc/68/26/27/719682627.db2.gz YWIAYGAZZJDGMH-MFKMUULPSA-N 1 2 321.812 1.855 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2sc(COC)nc2C)C1 ZINC000968597295 719684152 /nfs/dbraw/zinc/68/41/52/719684152.db2.gz VLEOTSDWEBKTLK-WCQYABFASA-N 1 2 321.446 1.671 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2sc(COC)nc2C)C1 ZINC000968597295 719684154 /nfs/dbraw/zinc/68/41/54/719684154.db2.gz VLEOTSDWEBKTLK-WCQYABFASA-N 1 2 321.446 1.671 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(C(=O)C#CC2CC2)C1)c1nc(C2CC2)no1 ZINC000968617610 719693813 /nfs/dbraw/zinc/69/38/13/719693813.db2.gz GHYNRLAWYNGNJD-SMDDNHRTSA-N 1 2 314.389 1.612 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CCCO1 ZINC000948906434 719777979 /nfs/dbraw/zinc/77/79/79/719777979.db2.gz MMMIFSLVODJKNF-MSOLQXFVSA-N 1 2 312.413 1.904 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CCCO1 ZINC000948906434 719777982 /nfs/dbraw/zinc/77/79/82/719777982.db2.gz MMMIFSLVODJKNF-MSOLQXFVSA-N 1 2 312.413 1.904 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC000968924398 719875161 /nfs/dbraw/zinc/87/51/61/719875161.db2.gz GDWIZFKDHUBUDX-CYBMUJFWSA-N 1 2 315.377 1.370 20 30 DDEDLO N#CCN1CCCCC[C@H]1CNC(=O)c1cccc2[nH+]ccn21 ZINC000968950354 719893692 /nfs/dbraw/zinc/89/36/92/719893692.db2.gz ZXPSXKQCPUBVOZ-AWEZNQCLSA-N 1 2 311.389 1.832 20 30 DDEDLO C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)[C@@H]1CCCS1(=O)=O ZINC000969153818 719979847 /nfs/dbraw/zinc/97/98/47/719979847.db2.gz PMENBLICDKNDJS-KBPBESRZSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CC[N@H+]1CCCCC[C@H]1CNC(=O)[C@@H]1CCCS1(=O)=O ZINC000969153818 719979850 /nfs/dbraw/zinc/97/98/50/719979850.db2.gz PMENBLICDKNDJS-KBPBESRZSA-N 1 2 314.451 1.110 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H](C)n3cccc3)CC2)C1 ZINC000949340585 720027598 /nfs/dbraw/zinc/02/75/98/720027598.db2.gz URBOKMOBCKBWGM-MRXNPFEDSA-N 1 2 315.417 1.376 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H](C)n3cccc3)CC2)C1 ZINC000949340585 720027602 /nfs/dbraw/zinc/02/76/02/720027602.db2.gz URBOKMOBCKBWGM-MRXNPFEDSA-N 1 2 315.417 1.376 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(F)cn3)CC2)C1 ZINC000949346379 720031653 /nfs/dbraw/zinc/03/16/53/720031653.db2.gz DXSFSLNANBNYGZ-UHFFFAOYSA-N 1 2 317.364 1.161 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(F)cn3)CC2)C1 ZINC000949346379 720031655 /nfs/dbraw/zinc/03/16/55/720031655.db2.gz DXSFSLNANBNYGZ-UHFFFAOYSA-N 1 2 317.364 1.161 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccncc3C)CC2)C1 ZINC000949352474 720035433 /nfs/dbraw/zinc/03/54/33/720035433.db2.gz WPQSNMZCGOYBLT-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccncc3C)CC2)C1 ZINC000949352474 720035434 /nfs/dbraw/zinc/03/54/34/720035434.db2.gz WPQSNMZCGOYBLT-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cocc3C)CC2)C1 ZINC000949436837 720085891 /nfs/dbraw/zinc/08/58/91/720085891.db2.gz CZXUVJYHXICRDZ-UHFFFAOYSA-N 1 2 302.374 1.528 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cocc3C)CC2)C1 ZINC000949436837 720085896 /nfs/dbraw/zinc/08/58/96/720085896.db2.gz CZXUVJYHXICRDZ-UHFFFAOYSA-N 1 2 302.374 1.528 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@@H](F)C3)CC2)C1 ZINC000949455160 720097755 /nfs/dbraw/zinc/09/77/55/720097755.db2.gz UPEXSGUUAMBRJE-HUUCEWRRSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@@H](F)C3)CC2)C1 ZINC000949455160 720097760 /nfs/dbraw/zinc/09/77/60/720097760.db2.gz UPEXSGUUAMBRJE-HUUCEWRRSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@H]3C3CC3)CC2)C1 ZINC000949489634 720118879 /nfs/dbraw/zinc/11/88/79/720118879.db2.gz LETSGINPAXXBFQ-JKSUJKDBSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@H]3C3CC3)CC2)C1 ZINC000949489634 720118882 /nfs/dbraw/zinc/11/88/82/720118882.db2.gz LETSGINPAXXBFQ-JKSUJKDBSA-N 1 2 302.418 1.359 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC000970239045 720674198 /nfs/dbraw/zinc/67/41/98/720674198.db2.gz GVHQFANRFHBUQK-IPYPFGDCSA-N 1 2 320.393 1.050 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cccc(=O)n2C)C1 ZINC000970403579 720748300 /nfs/dbraw/zinc/74/83/00/720748300.db2.gz CRNSJYJNQLBIDZ-LLVKDONJSA-N 1 2 309.797 1.188 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@]23CCO[C@@H]2CCCC3)C1 ZINC000950856592 720797052 /nfs/dbraw/zinc/79/70/52/720797052.db2.gz LAKXSVHVACCFGL-AEFFLSMTSA-N 1 2 304.434 1.892 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC000970862017 720961837 /nfs/dbraw/zinc/96/18/37/720961837.db2.gz XMVWZLIXNNBKJR-LLVKDONJSA-N 1 2 321.808 1.588 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000951529682 721060044 /nfs/dbraw/zinc/06/00/44/721060044.db2.gz KINWGQXQHFAHKN-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2noc3c2CCCC3)C1 ZINC000971218366 721185035 /nfs/dbraw/zinc/18/50/35/721185035.db2.gz MNDSOHDCIWZSGE-STQMWFEESA-N 1 2 316.405 1.746 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2noc3c2CCCC3)C1 ZINC000971218366 721185038 /nfs/dbraw/zinc/18/50/38/721185038.db2.gz MNDSOHDCIWZSGE-STQMWFEESA-N 1 2 316.405 1.746 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2c(C)nn(C)c2OC)C1 ZINC000952389476 721433687 /nfs/dbraw/zinc/43/36/87/721433687.db2.gz DCHKDZQJAYAYEB-UHFFFAOYSA-N 1 2 306.410 1.460 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)C(=O)N2[C@H](C)CCC[C@@H]2C)CC1 ZINC000952442221 721449896 /nfs/dbraw/zinc/44/98/96/721449896.db2.gz FIJFBQFQTDJUQL-GASCZTMLSA-N 1 2 307.438 1.496 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4ccccc43)[C@H]2C1 ZINC001083263708 735423288 /nfs/dbraw/zinc/42/32/88/735423288.db2.gz LLLXNZIIWLCPNA-RBUKOAKNSA-N 1 2 320.392 1.998 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4ccccc43)[C@H]2C1 ZINC001083263708 735423292 /nfs/dbraw/zinc/42/32/92/735423292.db2.gz LLLXNZIIWLCPNA-RBUKOAKNSA-N 1 2 320.392 1.998 20 30 DDEDLO CC(C)c1noc([C@H](C)[NH2+]CCNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001125370131 732922173 /nfs/dbraw/zinc/92/21/73/732922173.db2.gz IDBBNQHBHGAECN-JTQLQIEISA-N 1 2 316.365 1.473 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C3[C@@H]4CCCCCC[C@@H]34)[C@H]2C1 ZINC001083203558 733168325 /nfs/dbraw/zinc/16/83/25/733168325.db2.gz VRSSWGWWZHMBGB-WCXIOVBPSA-N 1 2 316.445 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C3[C@@H]4CCCCCC[C@@H]34)[C@H]2C1 ZINC001083203558 733168329 /nfs/dbraw/zinc/16/83/29/733168329.db2.gz VRSSWGWWZHMBGB-WCXIOVBPSA-N 1 2 316.445 1.748 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncs1 ZINC001111488343 733378844 /nfs/dbraw/zinc/37/88/44/733378844.db2.gz HDRIDKMPACBRPX-MXYBEHONSA-N 1 2 321.446 1.956 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncs1 ZINC001111488343 733378847 /nfs/dbraw/zinc/37/88/47/733378847.db2.gz HDRIDKMPACBRPX-MXYBEHONSA-N 1 2 321.446 1.956 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@H]2C[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)no1 ZINC001021945787 733673213 /nfs/dbraw/zinc/67/32/13/733673213.db2.gz BVSXYEVTHFBWJN-FXAINCCUSA-N 1 2 314.349 1.189 20 30 DDEDLO CCc1cc(N(CCNC(=O)[C@@H](C)C#N)CCOC)nc(C)[nH+]1 ZINC001126260658 738778713 /nfs/dbraw/zinc/77/87/13/738778713.db2.gz CAFCIOXZHDCGQN-LBPRGKRZSA-N 1 2 319.409 1.076 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnn2c1CCC2 ZINC001027917708 738806782 /nfs/dbraw/zinc/80/67/82/738806782.db2.gz DRDXITCNBADZAQ-LBPRGKRZSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnn2c1CCC2 ZINC001027917708 738806786 /nfs/dbraw/zinc/80/67/86/738806786.db2.gz DRDXITCNBADZAQ-LBPRGKRZSA-N 1 2 308.813 1.776 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@](C)(O)C3CC3)C2)C1 ZINC000972340330 734797329 /nfs/dbraw/zinc/79/73/29/734797329.db2.gz WQDIWQCSGIUIRW-IAGOWNOFSA-N 1 2 308.422 1.027 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@](C)(O)C3CC3)C2)C1 ZINC000972340330 734797330 /nfs/dbraw/zinc/79/73/30/734797330.db2.gz WQDIWQCSGIUIRW-IAGOWNOFSA-N 1 2 308.422 1.027 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccc(C)s3)[C@H]2C1 ZINC001083247309 734804236 /nfs/dbraw/zinc/80/42/36/734804236.db2.gz KTPXDOMKAMXQQL-SOUVJXGZSA-N 1 2 318.442 1.705 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccc(C)s3)[C@H]2C1 ZINC001083247309 734804238 /nfs/dbraw/zinc/80/42/38/734804238.db2.gz KTPXDOMKAMXQQL-SOUVJXGZSA-N 1 2 318.442 1.705 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3sccc3OC)[C@H]2C1 ZINC001083254775 734914760 /nfs/dbraw/zinc/91/47/60/734914760.db2.gz LQYWUUQVIOEGPF-WCQYABFASA-N 1 2 308.403 1.468 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3sccc3OC)[C@H]2C1 ZINC001083254775 734914764 /nfs/dbraw/zinc/91/47/64/734914764.db2.gz LQYWUUQVIOEGPF-WCQYABFASA-N 1 2 308.403 1.468 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)COc3ccccc3)[C@H]2C1 ZINC001083257392 735016632 /nfs/dbraw/zinc/01/66/32/735016632.db2.gz XHXWUXKJEDQOOS-DLBZAZTESA-N 1 2 314.385 1.000 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)COc3ccccc3)[C@H]2C1 ZINC001083257392 735016633 /nfs/dbraw/zinc/01/66/33/735016633.db2.gz XHXWUXKJEDQOOS-DLBZAZTESA-N 1 2 314.385 1.000 20 30 DDEDLO C=CC[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001024438475 735827795 /nfs/dbraw/zinc/82/77/95/735827795.db2.gz PABXZOVNLBUBEX-UONOGXRCSA-N 1 2 302.422 1.986 20 30 DDEDLO C=CC[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001024438475 735827798 /nfs/dbraw/zinc/82/77/98/735827798.db2.gz PABXZOVNLBUBEX-UONOGXRCSA-N 1 2 302.422 1.986 20 30 DDEDLO C=CC[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001024755556 736069668 /nfs/dbraw/zinc/06/96/68/736069668.db2.gz JWANUOYWPKBILJ-STQMWFEESA-N 1 2 303.410 1.066 20 30 DDEDLO C=CC[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001024755556 736069671 /nfs/dbraw/zinc/06/96/71/736069671.db2.gz JWANUOYWPKBILJ-STQMWFEESA-N 1 2 303.410 1.066 20 30 DDEDLO C=CC[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001024755556 736069678 /nfs/dbraw/zinc/06/96/78/736069678.db2.gz JWANUOYWPKBILJ-STQMWFEESA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCCC[C@H]1C[NH2+]Cc1nnn(C)n1 ZINC001025038154 736244158 /nfs/dbraw/zinc/24/41/58/736244158.db2.gz SUKMBWIRYUDOQP-ZDUSSCGKSA-N 1 2 320.441 1.283 20 30 DDEDLO N#CCN1C[C@@H]2CCC[C@]2(NC(=O)CCCn2cc[nH+]c2)C1 ZINC001112020402 736530700 /nfs/dbraw/zinc/53/07/00/736530700.db2.gz DJKUBWFOTVRLCW-HOCLYGCPSA-N 1 2 301.394 1.158 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CC4CCC3CC4)[C@H]2C1 ZINC001083284273 736793453 /nfs/dbraw/zinc/79/34/53/736793453.db2.gz BAIZWZSDTKNXBL-GADNRMJZSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CC4CCC3CC4)[C@H]2C1 ZINC001083284273 736793456 /nfs/dbraw/zinc/79/34/56/736793456.db2.gz BAIZWZSDTKNXBL-GADNRMJZSA-N 1 2 302.418 1.358 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccnc2n[nH]nc21 ZINC001028015031 738936627 /nfs/dbraw/zinc/93/66/27/738936627.db2.gz SXOORVMGGZBJMT-JTQLQIEISA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccnc2n[nH]nc21 ZINC001028015031 738936629 /nfs/dbraw/zinc/93/66/29/738936629.db2.gz SXOORVMGGZBJMT-JTQLQIEISA-N 1 2 320.784 1.300 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H](n2cc(C[NH2+]C/C=C\Cl)nn2)C1 ZINC001098634601 738954095 /nfs/dbraw/zinc/95/40/95/738954095.db2.gz UCMVYCQDRLHVPR-SVNDAUCISA-N 1 2 322.800 1.053 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3ccccc3C)C2)nn1 ZINC001105142469 737580583 /nfs/dbraw/zinc/58/05/83/737580583.db2.gz OTXLYZQUZTWZNZ-UHFFFAOYSA-N 1 2 309.373 1.006 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001028060781 738977301 /nfs/dbraw/zinc/97/73/01/738977301.db2.gz IENJAPVDIHDAII-CYBMUJFWSA-N 1 2 310.829 1.984 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001028060781 738977303 /nfs/dbraw/zinc/97/73/03/738977303.db2.gz IENJAPVDIHDAII-CYBMUJFWSA-N 1 2 310.829 1.984 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)[C@H]1CCCO1 ZINC001006672090 737921829 /nfs/dbraw/zinc/92/18/29/737921829.db2.gz OZEQNMWWIGYARY-QZTJIDSGSA-N 1 2 312.413 1.798 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)[C@H]1CCCO1 ZINC001006672090 737921833 /nfs/dbraw/zinc/92/18/33/737921833.db2.gz OZEQNMWWIGYARY-QZTJIDSGSA-N 1 2 312.413 1.798 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccnn3C)C2)nc1 ZINC001009254408 738150122 /nfs/dbraw/zinc/15/01/22/738150122.db2.gz ROGJSSAHUIOLOH-OAHLLOKOSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3ccnn3C)C2)nc1 ZINC001009254408 738150127 /nfs/dbraw/zinc/15/01/27/738150127.db2.gz ROGJSSAHUIOLOH-OAHLLOKOSA-N 1 2 323.400 1.191 20 30 DDEDLO C#CC[N@@H+](C)[C@@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001027410619 738271173 /nfs/dbraw/zinc/27/11/73/738271173.db2.gz FLDKMJPJIAZFHZ-ZIAGYGMSSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@H+](C)[C@@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001027410619 738271175 /nfs/dbraw/zinc/27/11/75/738271175.db2.gz FLDKMJPJIAZFHZ-ZIAGYGMSSA-N 1 2 300.406 1.386 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001114881158 751401555 /nfs/dbraw/zinc/40/15/55/751401555.db2.gz FKWQJVZBXPTFMN-GFCCVEGCSA-N 1 2 320.441 1.909 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1CNC(=O)c1cc(CN2CCCC2)on1 ZINC001028139356 739082430 /nfs/dbraw/zinc/08/24/30/739082430.db2.gz MIRBBVXVRVYLOA-CQSZACIVSA-N 1 2 316.405 1.098 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(CN2CCCC2)on1 ZINC001028139356 739082436 /nfs/dbraw/zinc/08/24/36/739082436.db2.gz MIRBBVXVRVYLOA-CQSZACIVSA-N 1 2 316.405 1.098 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(CC)c1C1CC1 ZINC001038901702 739111332 /nfs/dbraw/zinc/11/13/32/739111332.db2.gz XFVXNHYHAJDRKE-CQSZACIVSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(CC)c1C1CC1 ZINC001038901702 739111335 /nfs/dbraw/zinc/11/13/35/739111335.db2.gz XFVXNHYHAJDRKE-CQSZACIVSA-N 1 2 300.406 1.608 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@H]2CNC(=O)c2ncn[nH]2)c1 ZINC001028209026 739161454 /nfs/dbraw/zinc/16/14/54/739161454.db2.gz CVFDIKVDDTXJFK-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2ncn[nH]2)c1 ZINC001028209026 739161460 /nfs/dbraw/zinc/16/14/60/739161460.db2.gz CVFDIKVDDTXJFK-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@H]2CNC(=O)c2nc[nH]n2)c1 ZINC001028209026 739161462 /nfs/dbraw/zinc/16/14/62/739161462.db2.gz CVFDIKVDDTXJFK-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098198788 739166658 /nfs/dbraw/zinc/16/66/58/739166658.db2.gz XJQHGARERPECBX-VXGBXAGGSA-N 1 2 316.409 1.882 20 30 DDEDLO Cc1nsc(N[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)c1C#N ZINC001058919214 739167997 /nfs/dbraw/zinc/16/79/97/739167997.db2.gz DEBHMRFQMBSCDW-NSHDSACASA-N 1 2 316.390 1.233 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001028256017 739240486 /nfs/dbraw/zinc/24/04/86/739240486.db2.gz VGOWYQNOQROTNR-GXTWGEPZSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001028256017 739240490 /nfs/dbraw/zinc/24/04/90/739240490.db2.gz VGOWYQNOQROTNR-GXTWGEPZSA-N 1 2 322.840 1.848 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1CNC(=O)c1ccc2c(=O)ccoc2c1 ZINC001028264437 739252708 /nfs/dbraw/zinc/25/27/08/739252708.db2.gz HIVXCRKRYWBXEX-CQSZACIVSA-N 1 2 310.353 1.620 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc2c(=O)ccoc2c1 ZINC001028264437 739252710 /nfs/dbraw/zinc/25/27/10/739252710.db2.gz HIVXCRKRYWBXEX-CQSZACIVSA-N 1 2 310.353 1.620 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001035371557 751435213 /nfs/dbraw/zinc/43/52/13/751435213.db2.gz CHWNCYJFDMRAJF-LTIDMASMSA-N 1 2 304.434 1.982 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001035371557 751435217 /nfs/dbraw/zinc/43/52/17/751435217.db2.gz CHWNCYJFDMRAJF-LTIDMASMSA-N 1 2 304.434 1.982 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2sc(C3CC3)nc2C)C1 ZINC001035360522 751446802 /nfs/dbraw/zinc/44/68/02/751446802.db2.gz FEGMITZJIHWWAX-CYBMUJFWSA-N 1 2 321.446 1.946 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2sc(C3CC3)nc2C)C1 ZINC001035360522 751446805 /nfs/dbraw/zinc/44/68/05/751446805.db2.gz FEGMITZJIHWWAX-CYBMUJFWSA-N 1 2 321.446 1.946 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001126377936 739625211 /nfs/dbraw/zinc/62/52/11/739625211.db2.gz GXIKXEXQNHBZID-INIZCTEOSA-N 1 2 307.394 1.086 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ncc(C)cc2OC)C1 ZINC001035395609 751466076 /nfs/dbraw/zinc/46/60/76/751466076.db2.gz GWRFAQMFVSBQNT-CYBMUJFWSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ncc(C)cc2OC)C1 ZINC001035395609 751466077 /nfs/dbraw/zinc/46/60/77/751466077.db2.gz GWRFAQMFVSBQNT-CYBMUJFWSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)nn2CC)C1 ZINC001035427051 751484969 /nfs/dbraw/zinc/48/49/69/751484969.db2.gz BFQNULBWIVGMPG-AWEZNQCLSA-N 1 2 320.437 1.643 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)nn2CC)C1 ZINC001035427051 751484971 /nfs/dbraw/zinc/48/49/71/751484971.db2.gz BFQNULBWIVGMPG-AWEZNQCLSA-N 1 2 320.437 1.643 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cncc(CC)c2)C1 ZINC001035448313 751525991 /nfs/dbraw/zinc/52/59/91/751525991.db2.gz NPIOUVVQWARYGW-MRXNPFEDSA-N 1 2 301.390 1.098 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cncc(CC)c2)C1 ZINC001035448313 751525993 /nfs/dbraw/zinc/52/59/93/751525993.db2.gz NPIOUVVQWARYGW-MRXNPFEDSA-N 1 2 301.390 1.098 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](c2ccc(OC)cc2)[C@@H](NC(=O)C(F)F)C1 ZINC001087472086 740317782 /nfs/dbraw/zinc/31/77/82/740317782.db2.gz MANAOVAUKNSNLJ-GJZGRUSLSA-N 1 2 322.355 1.868 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](c2ccc(OC)cc2)[C@@H](NC(=O)C(F)F)C1 ZINC001087472086 740317787 /nfs/dbraw/zinc/31/77/87/740317787.db2.gz MANAOVAUKNSNLJ-GJZGRUSLSA-N 1 2 322.355 1.868 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098696268 740342051 /nfs/dbraw/zinc/34/20/51/740342051.db2.gz PYWVRLUDCBSROU-HIFRSBDPSA-N 1 2 318.421 1.803 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2occc2C(C)C)C1 ZINC001035483091 751537273 /nfs/dbraw/zinc/53/72/73/751537273.db2.gz LMLCRKDZLFHRRR-AWEZNQCLSA-N 1 2 304.390 1.857 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2occc2C(C)C)C1 ZINC001035483091 751537275 /nfs/dbraw/zinc/53/72/75/751537275.db2.gz LMLCRKDZLFHRRR-AWEZNQCLSA-N 1 2 304.390 1.857 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H](F)C(C)C)C2)nn1 ZINC001098700520 740540974 /nfs/dbraw/zinc/54/09/74/740540974.db2.gz OBKPGFBDSFQTHT-HUUCEWRRSA-N 1 2 321.400 1.159 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3(CC)CCC3)C2)nn1 ZINC001098703574 740644981 /nfs/dbraw/zinc/64/49/81/740644981.db2.gz VTUWLPKZZVJTBJ-OAHLLOKOSA-N 1 2 315.421 1.355 20 30 DDEDLO Cc1ncc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)[nH]1 ZINC001038103230 740657237 /nfs/dbraw/zinc/65/72/37/740657237.db2.gz MUMOCSRBILQXLG-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1ncc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)[nH]1 ZINC001038103230 740657240 /nfs/dbraw/zinc/65/72/40/740657240.db2.gz MUMOCSRBILQXLG-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCC3)[C@H]1CC ZINC001087638039 740687633 /nfs/dbraw/zinc/68/76/33/740687633.db2.gz XRXHFTCOPCPFSO-LSDHHAIUSA-N 1 2 300.406 1.504 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCC3)[C@H]1CC ZINC001087638039 740687635 /nfs/dbraw/zinc/68/76/35/740687635.db2.gz XRXHFTCOPCPFSO-LSDHHAIUSA-N 1 2 300.406 1.504 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H](C)CC(=C)C)C2)nn1 ZINC001098706262 740771426 /nfs/dbraw/zinc/77/14/26/740771426.db2.gz WPJHDCIZVNEVFO-HOCLYGCPSA-N 1 2 315.421 1.377 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)[C@H]1CC ZINC001087842115 740782060 /nfs/dbraw/zinc/78/20/60/740782060.db2.gz DQHYOABARJICPN-LSDHHAIUSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)[C@H]1CC ZINC001087842115 740782061 /nfs/dbraw/zinc/78/20/61/740782061.db2.gz DQHYOABARJICPN-LSDHHAIUSA-N 1 2 323.400 1.688 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@@H]3C2)s1 ZINC001087909735 740848599 /nfs/dbraw/zinc/84/85/99/740848599.db2.gz WHUWZOJSMHZYJV-RTXFEEFZSA-N 1 2 319.434 1.287 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@@H]3C2)s1 ZINC001087909735 740848602 /nfs/dbraw/zinc/84/86/02/740848602.db2.gz WHUWZOJSMHZYJV-RTXFEEFZSA-N 1 2 319.434 1.287 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)COC3)C1 ZINC001035540036 751586749 /nfs/dbraw/zinc/58/67/49/751586749.db2.gz UXFZAAYWEJNSKY-MRXNPFEDSA-N 1 2 302.374 1.333 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)COC3)C1 ZINC001035540036 751586755 /nfs/dbraw/zinc/58/67/55/751586755.db2.gz UXFZAAYWEJNSKY-MRXNPFEDSA-N 1 2 302.374 1.333 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001035518942 751594989 /nfs/dbraw/zinc/59/49/89/751594989.db2.gz RPHGBRLOIBPQHY-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001035518942 751594992 /nfs/dbraw/zinc/59/49/92/751594992.db2.gz RPHGBRLOIBPQHY-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(CC)c2C2CC2)C1 ZINC001035572756 751626282 /nfs/dbraw/zinc/62/62/82/751626282.db2.gz JDJQJJLCLTUTQO-AWEZNQCLSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnn(CC)c2C2CC2)C1 ZINC001035572756 751626285 /nfs/dbraw/zinc/62/62/85/751626285.db2.gz JDJQJJLCLTUTQO-AWEZNQCLSA-N 1 2 318.421 1.397 20 30 DDEDLO N#CCN[C@@H]1CCCCCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)C1 ZINC001088337884 741410742 /nfs/dbraw/zinc/41/07/42/741410742.db2.gz PWLWGQYQCMKAFK-LSDHHAIUSA-N 1 2 315.421 1.330 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001059689373 741548962 /nfs/dbraw/zinc/54/89/62/741548962.db2.gz MBHHQHVJWLJGFN-OKILXGFUSA-N 1 2 310.361 1.287 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](n2cc(C[NH2+]C[C@@H](F)CC)nn2)C1 ZINC001098638587 741696790 /nfs/dbraw/zinc/69/67/90/741696790.db2.gz YXKCWFYZIMQMCJ-ZFWWWQNUSA-N 1 2 323.416 1.855 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2csc(Cl)n2)C1 ZINC001035576970 751666518 /nfs/dbraw/zinc/66/65/18/751666518.db2.gz ICKRQAWDCULVHQ-JTQLQIEISA-N 1 2 313.810 1.250 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2csc(Cl)n2)C1 ZINC001035576970 751666519 /nfs/dbraw/zinc/66/65/19/751666519.db2.gz ICKRQAWDCULVHQ-JTQLQIEISA-N 1 2 313.810 1.250 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C2CC3(C2)CCOCC3)C1 ZINC001035578595 751668355 /nfs/dbraw/zinc/66/83/55/751668355.db2.gz XSVZXGOUEGELOE-HNNXBMFYSA-N 1 2 308.422 1.196 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C2CC3(C2)CCOCC3)C1 ZINC001035578595 751668360 /nfs/dbraw/zinc/66/83/60/751668360.db2.gz XSVZXGOUEGELOE-HNNXBMFYSA-N 1 2 308.422 1.196 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H](C)CCC)C2)nn1 ZINC001098644943 741977751 /nfs/dbraw/zinc/97/77/51/741977751.db2.gz FSBJPWDQRLCGIN-ZBFHGGJFSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2nc(CC)c[nH]2)[C@H]1C ZINC001088632796 742030103 /nfs/dbraw/zinc/03/01/03/742030103.db2.gz BABOSRGXYJQAAW-YPMHNXCESA-N 1 2 310.829 1.846 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2nc(CC)c[nH]2)[C@H]1C ZINC001088632796 742030107 /nfs/dbraw/zinc/03/01/07/742030107.db2.gz BABOSRGXYJQAAW-YPMHNXCESA-N 1 2 310.829 1.846 20 30 DDEDLO Cc1nsc(N(C)CCN(C)C(=O)Cc2c[nH+]c[nH]2)c1C#N ZINC001105576052 742123909 /nfs/dbraw/zinc/12/39/09/742123909.db2.gz JAZLUGAACYFWOJ-UHFFFAOYSA-N 1 2 318.406 1.184 20 30 DDEDLO CN(CCN(C)c1ncccc1C#N)C(=O)CCc1c[nH]c[nH+]1 ZINC001105588796 742131959 /nfs/dbraw/zinc/13/19/59/742131959.db2.gz NFGKRJRJVUXKEJ-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO CN(CCN(C)c1ncccc1C#N)C(=O)CCc1c[nH+]c[nH]1 ZINC001105588796 742131961 /nfs/dbraw/zinc/13/19/61/742131961.db2.gz NFGKRJRJVUXKEJ-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn3c2OCCC3)[C@H]1C ZINC001088705221 742218879 /nfs/dbraw/zinc/21/88/79/742218879.db2.gz ACTHZDFVQAFBQC-YPMHNXCESA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn3c2OCCC3)[C@H]1C ZINC001088705221 742218885 /nfs/dbraw/zinc/21/88/85/742218885.db2.gz ACTHZDFVQAFBQC-YPMHNXCESA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3ncc(OC)cn3)[C@@H]2C1 ZINC001076020646 742494982 /nfs/dbraw/zinc/49/49/82/742494982.db2.gz PUKGLLIZKOBQGT-WCQYABFASA-N 1 2 322.796 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ncc(OC)cn3)[C@@H]2C1 ZINC001076020646 742494984 /nfs/dbraw/zinc/49/49/84/742494984.db2.gz PUKGLLIZKOBQGT-WCQYABFASA-N 1 2 322.796 1.384 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001076688389 742908844 /nfs/dbraw/zinc/90/88/44/742908844.db2.gz MUGKKXWRYHFCGW-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2CCN(C(=O)OCC)CC2)CC1 ZINC001180827513 742939582 /nfs/dbraw/zinc/93/95/82/742939582.db2.gz CEKUARJPJGKAGX-UHFFFAOYSA-N 1 2 309.410 1.185 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](O)c2ccc(OCCC)cc2)CC1 ZINC001180836291 742943219 /nfs/dbraw/zinc/94/32/19/742943219.db2.gz KHWLNYCRRZHWDU-QGZVFWFLSA-N 1 2 318.417 1.839 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)[C@@H]1CC=CCC1 ZINC001076733444 742951800 /nfs/dbraw/zinc/95/18/00/742951800.db2.gz GUDROZMTBKRRQI-GUDVDZBRSA-N 1 2 324.424 1.556 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)[C@@H]1CC=CCC1 ZINC001076733444 742951806 /nfs/dbraw/zinc/95/18/06/742951806.db2.gz GUDROZMTBKRRQI-GUDVDZBRSA-N 1 2 324.424 1.556 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H](O)[C@H](NC(=O)CC2CCC2)C1 ZINC001076840074 743030928 /nfs/dbraw/zinc/03/09/28/743030928.db2.gz UQFAVEYJDMISEC-IAGOWNOFSA-N 1 2 313.401 1.410 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)CC2CCC2)C1 ZINC001076840074 743030939 /nfs/dbraw/zinc/03/09/39/743030939.db2.gz UQFAVEYJDMISEC-IAGOWNOFSA-N 1 2 313.401 1.410 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NCC[NH2+]Cc1nc(CC)no1 ZINC001128190481 743222343 /nfs/dbraw/zinc/22/23/43/743222343.db2.gz REQLOIKTNSKDHP-UHFFFAOYSA-N 1 2 300.790 1.617 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061107249 743256250 /nfs/dbraw/zinc/25/62/50/743256250.db2.gz IYTTVFMPWVGVJL-UONOGXRCSA-N 1 2 324.388 1.758 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@H+](C[C@@H](F)CC)C[C@H]1O ZINC001077139001 743266103 /nfs/dbraw/zinc/26/61/03/743266103.db2.gz TVNNGYBHVMRGPB-GZBFAFLISA-N 1 2 309.385 1.197 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@@H+](C[C@@H](F)CC)C[C@H]1O ZINC001077139001 743266107 /nfs/dbraw/zinc/26/61/07/743266107.db2.gz TVNNGYBHVMRGPB-GZBFAFLISA-N 1 2 309.385 1.197 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2coc3c2cccc3C)C1 ZINC001077139930 743267772 /nfs/dbraw/zinc/26/77/72/743267772.db2.gz OPHCUUBHWVXGNT-HZPDHXFCSA-N 1 2 312.369 1.539 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2coc3c2cccc3C)C1 ZINC001077139930 743267776 /nfs/dbraw/zinc/26/77/76/743267776.db2.gz OPHCUUBHWVXGNT-HZPDHXFCSA-N 1 2 312.369 1.539 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@](C)(CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001108048478 743303885 /nfs/dbraw/zinc/30/38/85/743303885.db2.gz VRPKDCFBHDXFQA-KRWDZBQOSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@](C)(CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001108048478 743303897 /nfs/dbraw/zinc/30/38/97/743303897.db2.gz VRPKDCFBHDXFQA-KRWDZBQOSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CCCC(=O)N1CCC2(CN(C(=O)Cc3c[nH+]c[nH]3)C2)CC1 ZINC001061145673 743419760 /nfs/dbraw/zinc/41/97/60/743419760.db2.gz UFMAUEYVBHWKQQ-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(C)occ2C(F)(F)F)C1 ZINC001077401605 743454183 /nfs/dbraw/zinc/45/41/83/743454183.db2.gz BYXFFJLCUQMNRP-GHMZBOCLSA-N 1 2 316.279 1.015 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(C)occ2C(F)(F)F)C1 ZINC001077401605 743454186 /nfs/dbraw/zinc/45/41/86/743454186.db2.gz BYXFFJLCUQMNRP-GHMZBOCLSA-N 1 2 316.279 1.015 20 30 DDEDLO COc1ccc(C#CC(=O)NCC2([NH+]3CCCC3)COC2)cc1 ZINC001182049599 743460788 /nfs/dbraw/zinc/46/07/88/743460788.db2.gz KIJOQMOHCNHAPW-UHFFFAOYSA-N 1 2 314.385 1.028 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCC(=O)OC2CCCCC2)CC1 ZINC001182906020 743749939 /nfs/dbraw/zinc/74/99/39/743749939.db2.gz MWPHMKWXNXGZDL-UHFFFAOYSA-N 1 2 308.422 1.973 20 30 DDEDLO N#Cc1ccc(NC(=O)N[C@@H]2CCC[N@@H+](C3COC3)C2)cc1 ZINC001184768704 744098382 /nfs/dbraw/zinc/09/83/82/744098382.db2.gz IGLUPDSUZDHZKK-CQSZACIVSA-N 1 2 300.362 1.543 20 30 DDEDLO N#Cc1ccc(NC(=O)N[C@@H]2CCC[N@H+](C3COC3)C2)cc1 ZINC001184768704 744098386 /nfs/dbraw/zinc/09/83/86/744098386.db2.gz IGLUPDSUZDHZKK-CQSZACIVSA-N 1 2 300.362 1.543 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2cc(C)on2)[C@@H]1C ZINC001088867967 744294251 /nfs/dbraw/zinc/29/42/51/744294251.db2.gz CDIRQLMLIYKHLJ-OJLVUWQFSA-N 1 2 319.405 1.653 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2cc(C)on2)[C@@H]1C ZINC001088867967 744294255 /nfs/dbraw/zinc/29/42/55/744294255.db2.gz CDIRQLMLIYKHLJ-OJLVUWQFSA-N 1 2 319.405 1.653 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(CC)CC ZINC001110371070 744299348 /nfs/dbraw/zinc/29/93/48/744299348.db2.gz AWSYUEIFJSMBNW-OAGGEKHMSA-N 1 2 321.465 1.979 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC(CC)CC ZINC001110371070 744299350 /nfs/dbraw/zinc/29/93/50/744299350.db2.gz AWSYUEIFJSMBNW-OAGGEKHMSA-N 1 2 321.465 1.979 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@@H](C)C3CC3)C2)nn1 ZINC001185899068 744304845 /nfs/dbraw/zinc/30/48/45/744304845.db2.gz IDFKJHLXMAFFSD-XJKSGUPXSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@@H](C)CCC)C2)nn1 ZINC001185900585 744305500 /nfs/dbraw/zinc/30/55/00/744305500.db2.gz VTZPSSIPSNFUHY-HOCLYGCPSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CC[N@H+](CC(=C)Cl)[C@@H]2C)nn1 ZINC001088907224 744358667 /nfs/dbraw/zinc/35/86/67/744358667.db2.gz FTOFFLSQECQOSD-NEPJUHHUSA-N 1 2 309.801 1.409 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CC[N@@H+](CC(=C)Cl)[C@@H]2C)nn1 ZINC001088907224 744358673 /nfs/dbraw/zinc/35/86/73/744358673.db2.gz FTOFFLSQECQOSD-NEPJUHHUSA-N 1 2 309.801 1.409 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCc3ccc(F)cc3O2)C1 ZINC001030679435 744438932 /nfs/dbraw/zinc/43/89/32/744438932.db2.gz GOYIEZCALFBOHH-HNNXBMFYSA-N 1 2 304.365 1.896 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H]3CCN(C(=O)CSCC#N)[C@@H]3C2)no1 ZINC001186907203 744471095 /nfs/dbraw/zinc/47/10/95/744471095.db2.gz DBYISACANNJLLN-GXTWGEPZSA-N 1 2 320.418 1.273 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H]3CCN(C(=O)CSCC#N)[C@@H]3C2)no1 ZINC001186907203 744471099 /nfs/dbraw/zinc/47/10/99/744471099.db2.gz DBYISACANNJLLN-GXTWGEPZSA-N 1 2 320.418 1.273 20 30 DDEDLO C#CC[NH+]1CC2(C1)CCN(C(=O)c1cc(-c3ccoc3)[nH]n1)CC2 ZINC001035851782 751922221 /nfs/dbraw/zinc/92/22/21/751922221.db2.gz XBDKNPFOVIJBSS-UHFFFAOYSA-N 1 2 324.384 1.841 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2cn3c(C)csc3n2)C1 ZINC001030781576 744619322 /nfs/dbraw/zinc/61/93/22/744619322.db2.gz BSDBHMVBXYHQSE-UHFFFAOYSA-N 1 2 302.403 1.070 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2ncc(CC)o2)C1 ZINC001188310586 744688125 /nfs/dbraw/zinc/68/81/25/744688125.db2.gz ZXBXLEYBVRFKPL-CHWSQXEVSA-N 1 2 307.394 1.519 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001189007524 744809228 /nfs/dbraw/zinc/80/92/28/744809228.db2.gz XFDQYTSOLHWNKH-GOEBONIOSA-N 1 2 317.437 1.687 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001189007524 744809231 /nfs/dbraw/zinc/80/92/31/744809231.db2.gz XFDQYTSOLHWNKH-GOEBONIOSA-N 1 2 317.437 1.687 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2nocc2C)C1 ZINC001189918897 745034484 /nfs/dbraw/zinc/03/44/84/745034484.db2.gz WCHPLKWMUFRURI-CZUORRHYSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001189918897 745034492 /nfs/dbraw/zinc/03/44/92/745034492.db2.gz WCHPLKWMUFRURI-CZUORRHYSA-N 1 2 307.394 1.343 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)c(C)o1 ZINC001190055102 745093356 /nfs/dbraw/zinc/09/33/56/745093356.db2.gz WEXVFJAWCYVVJV-HNNXBMFYSA-N 1 2 303.406 1.984 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)c(C)o1 ZINC001190055102 745093362 /nfs/dbraw/zinc/09/33/62/745093362.db2.gz WEXVFJAWCYVVJV-HNNXBMFYSA-N 1 2 303.406 1.984 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001190094117 745097352 /nfs/dbraw/zinc/09/73/52/745097352.db2.gz HXFKRMVPHYSFEN-CABCVRRESA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001190094117 745097359 /nfs/dbraw/zinc/09/73/59/745097359.db2.gz HXFKRMVPHYSFEN-CABCVRRESA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001190112954 745119260 /nfs/dbraw/zinc/11/92/60/745119260.db2.gz GTWJSHNVTJOVOZ-OAHLLOKOSA-N 1 2 319.405 1.364 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001190112954 745119264 /nfs/dbraw/zinc/11/92/64/745119264.db2.gz GTWJSHNVTJOVOZ-OAHLLOKOSA-N 1 2 319.405 1.364 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cccn(CC(C)C)c2=O)C1 ZINC001031055766 745254000 /nfs/dbraw/zinc/25/40/00/745254000.db2.gz CLPIDRJZQGXWCT-UHFFFAOYSA-N 1 2 303.406 1.494 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC1C[NH+](C[C@@H]2CC(C)(C)CO2)C1 ZINC001031089237 745350779 /nfs/dbraw/zinc/35/07/79/745350779.db2.gz BUFSVAUYENTVKB-JYJNAYRXSA-N 1 2 322.449 1.583 20 30 DDEDLO CC(C)(C)[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccnc(C#N)c1 ZINC001191558951 745549334 /nfs/dbraw/zinc/54/93/34/745549334.db2.gz BNFHUOOJMBHDTL-OAHLLOKOSA-N 1 2 316.405 1.430 20 30 DDEDLO C[C@@H](CCNc1[nH+]cnc2c1cnn2C)NC(=O)C#CC1CC1 ZINC001106302632 745575297 /nfs/dbraw/zinc/57/52/97/745575297.db2.gz CBNFDQVPFYWYRU-NSHDSACASA-N 1 2 312.377 1.083 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC1C[NH+]([C@H](C)COC)C1 ZINC001031231962 745951772 /nfs/dbraw/zinc/95/17/72/745951772.db2.gz SCTQALAOKJFYKT-GFCCVEGCSA-N 1 2 305.378 1.095 20 30 DDEDLO CCN(CCNc1ccc(C#N)cn1)C(=O)CCc1[nH]cc[nH+]1 ZINC001106759690 746041065 /nfs/dbraw/zinc/04/10/65/746041065.db2.gz VWDQOGLWBKJDQY-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cc(C3CC3)no2)C[C@H]1O ZINC001193400271 746093118 /nfs/dbraw/zinc/09/31/18/746093118.db2.gz LJKBTIBGFBKMEP-HZPDHXFCSA-N 1 2 319.405 1.570 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C3CC3)no2)C[C@H]1O ZINC001193400271 746093124 /nfs/dbraw/zinc/09/31/24/746093124.db2.gz LJKBTIBGFBKMEP-HZPDHXFCSA-N 1 2 319.405 1.570 20 30 DDEDLO Cc1cc[nH+]c(N2CCN(C(=O)c3cccc(C#N)c3O)CC2)c1 ZINC001193644400 746160947 /nfs/dbraw/zinc/16/09/47/746160947.db2.gz WRZVNRHYFILAMT-UHFFFAOYSA-N 1 2 322.368 1.930 20 30 DDEDLO C#CCN1CCC[C@H](NC(=O)c2ccn(-c3cc[nH+]cc3)n2)[C@H]1C ZINC000993581586 746214149 /nfs/dbraw/zinc/21/41/49/746214149.db2.gz XXADYTDFTOSDMO-ZBFHGGJFSA-N 1 2 323.400 1.483 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2ccc(C)n2)[C@@H]1C ZINC000993862408 746347566 /nfs/dbraw/zinc/34/75/66/746347566.db2.gz ZHUPQLMKGHXUDM-KBPBESRZSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2ccc(C)n2)[C@@H]1C ZINC000993862408 746347576 /nfs/dbraw/zinc/34/75/76/746347576.db2.gz ZHUPQLMKGHXUDM-KBPBESRZSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCC(=O)NCC)CC1 ZINC001195260040 746558349 /nfs/dbraw/zinc/55/83/49/746558349.db2.gz ACQMIEWBVSWLMJ-UHFFFAOYSA-N 1 2 301.818 1.190 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCC(=O)NCC)CC1 ZINC001195260040 746558352 /nfs/dbraw/zinc/55/83/52/746558352.db2.gz ACQMIEWBVSWLMJ-UHFFFAOYSA-N 1 2 301.818 1.190 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnc(C)cn2)C[C@H]1O ZINC001195312339 746573641 /nfs/dbraw/zinc/57/36/41/746573641.db2.gz MTRJDFBTHXLLAU-NWANDNLSSA-N 1 2 318.421 1.220 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnc(C)cn2)C[C@H]1O ZINC001195312339 746573643 /nfs/dbraw/zinc/57/36/43/746573643.db2.gz MTRJDFBTHXLLAU-NWANDNLSSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195555168 746630538 /nfs/dbraw/zinc/63/05/38/746630538.db2.gz XXTDQZHJJBAHPQ-KCPJHIHWSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195555168 746630541 /nfs/dbraw/zinc/63/05/41/746630541.db2.gz XXTDQZHJJBAHPQ-KCPJHIHWSA-N 1 2 323.462 1.715 20 30 DDEDLO CC[C@H](C)NC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754439 746687405 /nfs/dbraw/zinc/68/74/05/746687405.db2.gz NQTYWHZTUCTNIT-LSDHHAIUSA-N 1 2 319.449 1.237 20 30 DDEDLO CC[C@H](C)NC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754439 746687408 /nfs/dbraw/zinc/68/74/08/746687408.db2.gz NQTYWHZTUCTNIT-LSDHHAIUSA-N 1 2 319.449 1.237 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)CC1 ZINC001196349513 746839777 /nfs/dbraw/zinc/83/97/77/746839777.db2.gz KRWWLDBJYMEVDT-OAGGEKHMSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)CC1 ZINC001196349513 746839780 /nfs/dbraw/zinc/83/97/80/746839780.db2.gz KRWWLDBJYMEVDT-OAGGEKHMSA-N 1 2 319.449 1.259 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ccc(F)c(NC(C)=O)c2)C1 ZINC001031464717 746850634 /nfs/dbraw/zinc/85/06/34/746850634.db2.gz YLSRGGYCFRJDGI-UHFFFAOYSA-N 1 2 303.337 1.221 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N(C)CC2CC2)CC1 ZINC001196731420 746951764 /nfs/dbraw/zinc/95/17/64/746951764.db2.gz BOMBRKOPSSKGPD-UHFFFAOYSA-N 1 2 321.465 1.601 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N(C)CC2CC2)CC1 ZINC001196731420 746951769 /nfs/dbraw/zinc/95/17/69/746951769.db2.gz BOMBRKOPSSKGPD-UHFFFAOYSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001196832027 746975922 /nfs/dbraw/zinc/97/59/22/746975922.db2.gz VSABWJPJQUXXAB-HOTGVXAUSA-N 1 2 323.481 1.751 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001196832027 746975924 /nfs/dbraw/zinc/97/59/24/746975924.db2.gz VSABWJPJQUXXAB-HOTGVXAUSA-N 1 2 323.481 1.751 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@@H+](Cc2cnnn2CC)CC1 ZINC001196854394 746991674 /nfs/dbraw/zinc/99/16/74/746991674.db2.gz RAIIHTMROVAUKC-GJZGRUSLSA-N 1 2 319.453 1.791 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@H+](Cc2cnnn2CC)CC1 ZINC001196854394 746991677 /nfs/dbraw/zinc/99/16/77/746991677.db2.gz RAIIHTMROVAUKC-GJZGRUSLSA-N 1 2 319.453 1.791 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC[C@H](C)C2)CC1 ZINC001196942931 747016186 /nfs/dbraw/zinc/01/61/86/747016186.db2.gz JJMAZNVBVMVJGV-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC[C@H](C)C2)CC1 ZINC001196942931 747016193 /nfs/dbraw/zinc/01/61/93/747016193.db2.gz JJMAZNVBVMVJGV-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(CC=C)CCC2)CC1 ZINC001197055056 747038922 /nfs/dbraw/zinc/03/89/22/747038922.db2.gz GPYWAINMXYDKCN-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2(CC=C)CCC2)CC1 ZINC001197055056 747038926 /nfs/dbraw/zinc/03/89/26/747038926.db2.gz GPYWAINMXYDKCN-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)nn1 ZINC001089491080 747088315 /nfs/dbraw/zinc/08/83/15/747088315.db2.gz XBAXYAZWFANMFT-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cocn3)C2)c(F)c1 ZINC001031579611 747093374 /nfs/dbraw/zinc/09/33/74/747093374.db2.gz IOFHGIHBRJQREK-UHFFFAOYSA-N 1 2 314.320 1.547 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](C)CCN1C(=S)Nc1cccc(C#N)c1 ZINC001197704582 747243163 /nfs/dbraw/zinc/24/31/63/747243163.db2.gz GWBVBJQBLFIXMT-ZDUSSCGKSA-N 1 2 318.402 1.044 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](C)CCN1C(=S)Nc1cccc(C#N)c1 ZINC001197704582 747243168 /nfs/dbraw/zinc/24/31/68/747243168.db2.gz GWBVBJQBLFIXMT-ZDUSSCGKSA-N 1 2 318.402 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(F)cc2)[C@@H](O)C1 ZINC001090016297 747292885 /nfs/dbraw/zinc/29/28/85/747292885.db2.gz HYMVVPDARMESNL-KGLIPLIRSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(F)cc2)[C@@H](O)C1 ZINC001090016297 747292889 /nfs/dbraw/zinc/29/28/89/747292889.db2.gz HYMVVPDARMESNL-KGLIPLIRSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001003650472 747348731 /nfs/dbraw/zinc/34/87/31/747348731.db2.gz WPGRLPBQWGOQRC-KGLIPLIRSA-N 1 2 320.393 1.050 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001007511244 752181983 /nfs/dbraw/zinc/18/19/83/752181983.db2.gz ZSOGDXYLJXRBMQ-LLVKDONJSA-N 1 2 308.813 1.843 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001007511244 752181987 /nfs/dbraw/zinc/18/19/87/752181987.db2.gz ZSOGDXYLJXRBMQ-LLVKDONJSA-N 1 2 308.813 1.843 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2c(C)cccc2F)CC1 ZINC001198379465 747470331 /nfs/dbraw/zinc/47/03/31/747470331.db2.gz RXFLAHSGESBOTC-UHFFFAOYSA-N 1 2 318.392 1.932 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2c(C)cccc2F)CC1 ZINC001198379465 747470337 /nfs/dbraw/zinc/47/03/37/747470337.db2.gz RXFLAHSGESBOTC-UHFFFAOYSA-N 1 2 318.392 1.932 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)n[nH]1 ZINC001032937720 747700405 /nfs/dbraw/zinc/70/04/05/747700405.db2.gz XMEJTNIIXKVALW-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n[nH]1 ZINC001032937720 747700409 /nfs/dbraw/zinc/70/04/09/747700409.db2.gz XMEJTNIIXKVALW-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077574757 748277121 /nfs/dbraw/zinc/27/71/21/748277121.db2.gz RPBDHCLEBPKDDQ-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc3nccn32)C1 ZINC001108069566 748300756 /nfs/dbraw/zinc/30/07/56/748300756.db2.gz FTKLQWPKZRQKEG-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc3nccn32)C1 ZINC001108069566 748300765 /nfs/dbraw/zinc/30/07/65/748300765.db2.gz FTKLQWPKZRQKEG-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CC23CC(OCC)C3)C1 ZINC001031973616 748334507 /nfs/dbraw/zinc/33/45/07/748334507.db2.gz BPCYHWCIPAFFFM-NPCAHTBFSA-N 1 2 312.841 1.992 20 30 DDEDLO Cc1nnsc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004335449 748335137 /nfs/dbraw/zinc/33/51/37/748335137.db2.gz CDXHAJQJTLKKPM-CYBMUJFWSA-N 1 2 319.434 1.687 20 30 DDEDLO Cc1nnsc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004335449 748335143 /nfs/dbraw/zinc/33/51/43/748335143.db2.gz CDXHAJQJTLKKPM-CYBMUJFWSA-N 1 2 319.434 1.687 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2cscn2)CC1 ZINC001004368832 748370901 /nfs/dbraw/zinc/37/09/01/748370901.db2.gz FUPNINUPJWSVRR-AWEZNQCLSA-N 1 2 304.419 1.983 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2cscn2)CC1 ZINC001004368832 748370907 /nfs/dbraw/zinc/37/09/07/748370907.db2.gz FUPNINUPJWSVRR-AWEZNQCLSA-N 1 2 304.419 1.983 20 30 DDEDLO Cn1cnc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)c1 ZINC001004417246 748421329 /nfs/dbraw/zinc/42/13/29/748421329.db2.gz DHKDVJPSMWLRHP-OAHLLOKOSA-N 1 2 301.394 1.260 20 30 DDEDLO Cn1cnc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)c1 ZINC001004417246 748421331 /nfs/dbraw/zinc/42/13/31/748421331.db2.gz DHKDVJPSMWLRHP-OAHLLOKOSA-N 1 2 301.394 1.260 20 30 DDEDLO Cc1nnccc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004478443 748467586 /nfs/dbraw/zinc/46/75/86/748467586.db2.gz VUERPIKNWYZPDT-INIZCTEOSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1nnccc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004478443 748467590 /nfs/dbraw/zinc/46/75/90/748467590.db2.gz VUERPIKNWYZPDT-INIZCTEOSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCC[C@@H]1[C@@H]1CCN(CC#N)C1 ZINC001039252116 761890785 /nfs/dbraw/zinc/89/07/85/761890785.db2.gz ZWNABIBBHKECEN-GDBMZVCRSA-N 1 2 315.421 1.487 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nocc1C ZINC001153090304 748836148 /nfs/dbraw/zinc/83/61/48/748836148.db2.gz DLNJKMXQXSGPFK-CZUORRHYSA-N 1 2 309.410 1.637 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nocc1C ZINC001153090304 748836151 /nfs/dbraw/zinc/83/61/51/748836151.db2.gz DLNJKMXQXSGPFK-CZUORRHYSA-N 1 2 309.410 1.637 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+]Cc1nnc(C(F)F)s1 ZINC001153135075 748848701 /nfs/dbraw/zinc/84/87/01/748848701.db2.gz MWEMXDLKNLJNCP-QMMMGPOBSA-N 1 2 320.365 1.273 20 30 DDEDLO C[C@@H]([NH2+]Cc1cnsn1)[C@H]1CCCCN1C(=O)C#CC1CC1 ZINC001004845236 748850908 /nfs/dbraw/zinc/85/09/08/748850908.db2.gz BOZINPBSSPPFBM-IUODEOHRSA-N 1 2 318.446 1.811 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@H]2CC[N@H+](Cc3nocc3C)C2)c1 ZINC001033404893 749325219 /nfs/dbraw/zinc/32/52/19/749325219.db2.gz GPCSMZDBHKSWJW-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3nocc3C)C2)c1 ZINC001033404893 749325225 /nfs/dbraw/zinc/32/52/25/749325225.db2.gz GPCSMZDBHKSWJW-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cn(CC3CC3)nn2)C1 ZINC001033535262 749499158 /nfs/dbraw/zinc/49/91/58/749499158.db2.gz CSQPJUNNGCOLLV-CYBMUJFWSA-N 1 2 323.828 1.587 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cn(CC3CC3)nn2)C1 ZINC001033535262 749499162 /nfs/dbraw/zinc/49/91/62/749499162.db2.gz CSQPJUNNGCOLLV-CYBMUJFWSA-N 1 2 323.828 1.587 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnc(OC)nc2)C1 ZINC001033554433 749531018 /nfs/dbraw/zinc/53/10/18/749531018.db2.gz MTPRDEKJXXORJS-LBPRGKRZSA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnc(OC)nc2)C1 ZINC001033554433 749531024 /nfs/dbraw/zinc/53/10/24/749531024.db2.gz MTPRDEKJXXORJS-LBPRGKRZSA-N 1 2 310.785 1.384 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)[C@@H]1CC[N@H+](CCF)C1 ZINC001033569987 749567590 /nfs/dbraw/zinc/56/75/90/749567590.db2.gz DAOQJNCUKOCTJC-CYBMUJFWSA-N 1 2 307.369 1.762 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)[C@@H]1CC[N@@H+](CCF)C1 ZINC001033569987 749567593 /nfs/dbraw/zinc/56/75/93/749567593.db2.gz DAOQJNCUKOCTJC-CYBMUJFWSA-N 1 2 307.369 1.762 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2c(C)nn(C)c2F)C1 ZINC001033624101 749623909 /nfs/dbraw/zinc/62/39/09/749623909.db2.gz JVPTYLUBWCMRLC-NSHDSACASA-N 1 2 314.792 1.766 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2c(C)nn(C)c2F)C1 ZINC001033624101 749623914 /nfs/dbraw/zinc/62/39/14/749623914.db2.gz JVPTYLUBWCMRLC-NSHDSACASA-N 1 2 314.792 1.766 20 30 DDEDLO N#Cc1cc(C(=O)NCC2=CC[N@H+](Cc3ccon3)CC2)c[nH]1 ZINC001000588443 761968186 /nfs/dbraw/zinc/96/81/86/761968186.db2.gz QDXQZKZILWYNPQ-UHFFFAOYSA-N 1 2 311.345 1.436 20 30 DDEDLO N#Cc1cc(C(=O)NCC2=CC[N@@H+](Cc3ccon3)CC2)c[nH]1 ZINC001000588443 761968188 /nfs/dbraw/zinc/96/81/88/761968188.db2.gz QDXQZKZILWYNPQ-UHFFFAOYSA-N 1 2 311.345 1.436 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2c(C)n[nH]c2C)C1 ZINC001033654672 749656532 /nfs/dbraw/zinc/65/65/32/749656532.db2.gz BKILEWTXELUMSV-ZDUSSCGKSA-N 1 2 310.829 1.854 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2c(C)n[nH]c2C)C1 ZINC001033654672 749656539 /nfs/dbraw/zinc/65/65/39/749656539.db2.gz BKILEWTXELUMSV-ZDUSSCGKSA-N 1 2 310.829 1.854 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)COCC(F)F)C2 ZINC001110855598 749761659 /nfs/dbraw/zinc/76/16/59/749761659.db2.gz BBSCIDPNWHFBGO-MXWKQRLJSA-N 1 2 308.756 1.742 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)COCC(F)F)C2 ZINC001110855598 749761666 /nfs/dbraw/zinc/76/16/66/749761666.db2.gz BBSCIDPNWHFBGO-MXWKQRLJSA-N 1 2 308.756 1.742 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C(C)(C)C(C)(F)F)C1 ZINC001108368702 761988455 /nfs/dbraw/zinc/98/84/55/761988455.db2.gz BTGJMFFOKQLFBG-OAHLLOKOSA-N 1 2 316.392 1.898 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)C(C)(F)F)C1 ZINC001108368702 761988460 /nfs/dbraw/zinc/98/84/60/761988460.db2.gz BTGJMFFOKQLFBG-OAHLLOKOSA-N 1 2 316.392 1.898 20 30 DDEDLO Cc1nc(CC[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](C)C#N)cs1 ZINC001032316795 749922784 /nfs/dbraw/zinc/92/27/84/749922784.db2.gz HEKNADYAWZUCIF-BPNCWPANSA-N 1 2 304.419 1.439 20 30 DDEDLO Cc1nc(CC[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](C)C#N)cs1 ZINC001032316795 749922791 /nfs/dbraw/zinc/92/27/91/749922791.db2.gz HEKNADYAWZUCIF-BPNCWPANSA-N 1 2 304.419 1.439 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CC)C(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001033832429 749954609 /nfs/dbraw/zinc/95/46/09/749954609.db2.gz FJUVSWRTRZYQBE-AWEZNQCLSA-N 1 2 302.422 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001033832429 749954611 /nfs/dbraw/zinc/95/46/11/749954611.db2.gz FJUVSWRTRZYQBE-AWEZNQCLSA-N 1 2 302.422 1.778 20 30 DDEDLO N#Cc1ccc(F)c(C[NH2+]CCNC(=O)C(F)C(F)(F)F)c1 ZINC001127780162 749990927 /nfs/dbraw/zinc/99/09/27/749990927.db2.gz WFVNUNQRPUHLDS-LLVKDONJSA-N 1 2 321.249 1.804 20 30 DDEDLO N#Cc1ccc(F)c(C[NH2+]CCNC(=O)[C@@H](F)C(F)(F)F)c1 ZINC001127780162 749990930 /nfs/dbraw/zinc/99/09/30/749990930.db2.gz WFVNUNQRPUHLDS-LLVKDONJSA-N 1 2 321.249 1.804 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnn(C)c1C)C2 ZINC001095514546 749997961 /nfs/dbraw/zinc/99/79/61/749997961.db2.gz UXRDOJPMJJXZHY-ILXRZTDVSA-N 1 2 322.840 1.745 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnn(C)c1C)C2 ZINC001095514546 749997966 /nfs/dbraw/zinc/99/79/66/749997966.db2.gz UXRDOJPMJJXZHY-ILXRZTDVSA-N 1 2 322.840 1.745 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(F)ccc2OC)C1 ZINC001108108575 750125884 /nfs/dbraw/zinc/12/58/84/750125884.db2.gz ZGDGGUZNPSIVAK-QGZVFWFLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(F)ccc2OC)C1 ZINC001108108575 750125887 /nfs/dbraw/zinc/12/58/87/750125887.db2.gz ZGDGGUZNPSIVAK-QGZVFWFLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncc(C)c2)[C@H](O)C1 ZINC001090164496 750146691 /nfs/dbraw/zinc/14/66/91/750146691.db2.gz VKWUVKCLMGEGMA-UONOGXRCSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncc(C)c2)[C@H](O)C1 ZINC001090164496 750146696 /nfs/dbraw/zinc/14/66/96/750146696.db2.gz VKWUVKCLMGEGMA-UONOGXRCSA-N 1 2 309.797 1.308 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(C)cccc2Cl)C1 ZINC001077622434 750148621 /nfs/dbraw/zinc/14/86/21/750148621.db2.gz JOLYWJKPZPJIEO-ZIAGYGMSSA-N 1 2 306.793 1.447 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(C)cccc2Cl)C1 ZINC001077622434 750148628 /nfs/dbraw/zinc/14/86/28/750148628.db2.gz JOLYWJKPZPJIEO-ZIAGYGMSSA-N 1 2 306.793 1.447 20 30 DDEDLO CCN(C(=O)c1nc[nH]n1)[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001033992186 750227555 /nfs/dbraw/zinc/22/75/55/750227555.db2.gz GMVIMXSPHVDGNP-INIZCTEOSA-N 1 2 323.400 1.393 20 30 DDEDLO CCN(C(=O)c1nc[nH]n1)[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001033992186 750227559 /nfs/dbraw/zinc/22/75/59/750227559.db2.gz GMVIMXSPHVDGNP-INIZCTEOSA-N 1 2 323.400 1.393 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)n(C)c2C)C1 ZINC001108387239 762023619 /nfs/dbraw/zinc/02/36/19/762023619.db2.gz QQVQDXXQXGLVKD-QGZVFWFLSA-N 1 2 305.422 1.649 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)n(C)c2C)C1 ZINC001108387239 762023628 /nfs/dbraw/zinc/02/36/28/762023628.db2.gz QQVQDXXQXGLVKD-QGZVFWFLSA-N 1 2 305.422 1.649 20 30 DDEDLO Cc1nc(N2CCC(F)(F)[C@@H](CNC(=O)[C@@H](C)C#N)C2)cc[nH+]1 ZINC001111010258 750301261 /nfs/dbraw/zinc/30/12/61/750301261.db2.gz ADXWBIHQEWHXJC-JQWIXIFHSA-N 1 2 323.347 1.523 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001039449944 762041922 /nfs/dbraw/zinc/04/19/22/762041922.db2.gz WWEBKQWUZREPKT-IMJJTQAJSA-N 1 2 300.406 1.287 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001039449944 762041933 /nfs/dbraw/zinc/04/19/33/762041933.db2.gz WWEBKQWUZREPKT-IMJJTQAJSA-N 1 2 300.406 1.287 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1-c1ccco1 ZINC001032396614 750561918 /nfs/dbraw/zinc/56/19/18/750561918.db2.gz ARCLJBJPNMZQOF-STQMWFEESA-N 1 2 310.357 1.212 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1-c1ccco1 ZINC001032396614 750561921 /nfs/dbraw/zinc/56/19/21/750561921.db2.gz ARCLJBJPNMZQOF-STQMWFEESA-N 1 2 310.357 1.212 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000997238496 750717409 /nfs/dbraw/zinc/71/74/09/750717409.db2.gz NJNLHXKCAFQEBS-INIZCTEOSA-N 1 2 304.394 1.190 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnn2C2CCC2)C1 ZINC001107949721 750814575 /nfs/dbraw/zinc/81/45/75/750814575.db2.gz RKEOALCEZMYOJJ-QGZVFWFLSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnn2C2CCC2)C1 ZINC001107949721 750814586 /nfs/dbraw/zinc/81/45/86/750814586.db2.gz RKEOALCEZMYOJJ-QGZVFWFLSA-N 1 2 318.421 1.615 20 30 DDEDLO C#Cc1cc(Nc2cnn(CCO[C@H]3CCCCO3)c2)cc[nH+]1 ZINC001212945310 750966871 /nfs/dbraw/zinc/96/68/71/750966871.db2.gz VCUKJSTUPCEJQP-KRWDZBQOSA-N 1 2 312.373 1.968 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1-c1ccccc1 ZINC001032479355 750976504 /nfs/dbraw/zinc/97/65/04/750976504.db2.gz ASJMNZWDZNSAQC-IRXDYDNUSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1-c1ccccc1 ZINC001032479355 750976509 /nfs/dbraw/zinc/97/65/09/750976509.db2.gz ASJMNZWDZNSAQC-IRXDYDNUSA-N 1 2 320.396 1.794 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114681633 750981452 /nfs/dbraw/zinc/98/14/52/750981452.db2.gz WPKCZPZSECQIJJ-SCUASFONSA-N 1 2 317.437 1.823 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114681633 750981458 /nfs/dbraw/zinc/98/14/58/750981458.db2.gz WPKCZPZSECQIJJ-SCUASFONSA-N 1 2 317.437 1.823 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@H]2CCCN2C(=O)[C@@H](C)C#N)n1 ZINC001034887644 750985975 /nfs/dbraw/zinc/98/59/75/750985975.db2.gz YWQTZZGRMMUAQB-NWDGAFQWSA-N 1 2 305.382 1.433 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001114722029 751026318 /nfs/dbraw/zinc/02/63/18/751026318.db2.gz AAKLHGGNGVWJDE-TTZDDIAXSA-N 1 2 300.406 1.625 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001114722029 751026327 /nfs/dbraw/zinc/02/63/27/751026327.db2.gz AAKLHGGNGVWJDE-TTZDDIAXSA-N 1 2 300.406 1.625 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114728513 751034125 /nfs/dbraw/zinc/03/41/25/751034125.db2.gz HFRYXARAYQCSGW-PBOSXPJTSA-N 1 2 306.435 1.687 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114728513 751034129 /nfs/dbraw/zinc/03/41/29/751034129.db2.gz HFRYXARAYQCSGW-PBOSXPJTSA-N 1 2 306.435 1.687 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)/C(C)=C/C)CC2 ZINC001127977335 751039597 /nfs/dbraw/zinc/03/95/97/751039597.db2.gz IAJLRSSNHIMRRB-BRRGFWCPSA-N 1 2 315.421 1.178 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114757122 751060691 /nfs/dbraw/zinc/06/06/91/751060691.db2.gz GIBSFMUQNGCHEM-CXTNEJHOSA-N 1 2 303.410 1.279 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114757122 751060697 /nfs/dbraw/zinc/06/06/97/751060697.db2.gz GIBSFMUQNGCHEM-CXTNEJHOSA-N 1 2 303.410 1.279 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114792981 751084427 /nfs/dbraw/zinc/08/44/27/751084427.db2.gz YGTSTNUUHQESRY-JLNYLFASSA-N 1 2 306.435 1.687 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114792981 751084433 /nfs/dbraw/zinc/08/44/33/751084433.db2.gz YGTSTNUUHQESRY-JLNYLFASSA-N 1 2 306.435 1.687 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc(C(F)F)no2)CCC1 ZINC001129121196 751296661 /nfs/dbraw/zinc/29/66/61/751296661.db2.gz NYDQEMJGFIDHCE-UHFFFAOYSA-N 1 2 312.320 1.407 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(C)s2)C1 ZINC001108419102 762114879 /nfs/dbraw/zinc/11/48/79/762114879.db2.gz BJGZRRYYDLPWJI-QGZVFWFLSA-N 1 2 320.458 1.829 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(C)s2)C1 ZINC001108419102 762114883 /nfs/dbraw/zinc/11/48/83/762114883.db2.gz BJGZRRYYDLPWJI-QGZVFWFLSA-N 1 2 320.458 1.829 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001008337022 752680050 /nfs/dbraw/zinc/68/00/50/752680050.db2.gz BYERGGFQQJSAKI-VXGBXAGGSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001008337022 752680053 /nfs/dbraw/zinc/68/00/53/752680053.db2.gz BYERGGFQQJSAKI-VXGBXAGGSA-N 1 2 323.828 1.558 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N2CCCC2)nc1 ZINC001032684756 752718853 /nfs/dbraw/zinc/71/88/53/752718853.db2.gz GXTAWXPSEQUGEY-HOTGVXAUSA-N 1 2 310.401 1.214 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N2CCCC2)nc1 ZINC001032684756 752718857 /nfs/dbraw/zinc/71/88/57/752718857.db2.gz GXTAWXPSEQUGEY-HOTGVXAUSA-N 1 2 310.401 1.214 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H](N(C)c3cc[nH+]c(C)n3)C2)cn1 ZINC001056944675 762153400 /nfs/dbraw/zinc/15/34/00/762153400.db2.gz ZSVZSWINBMUVFS-MRXNPFEDSA-N 1 2 321.384 1.512 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H](N(C)c3cc[nH+]c(C)n3)C2)cn1 ZINC001056944674 762153485 /nfs/dbraw/zinc/15/34/85/762153485.db2.gz ZSVZSWINBMUVFS-INIZCTEOSA-N 1 2 321.384 1.512 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)C(C)(C)c3c[nH+]c[nH]3)C2)C1 ZINC000999276991 752777091 /nfs/dbraw/zinc/77/70/91/752777091.db2.gz BHRJSOMMQCWPRM-UHFFFAOYSA-N 1 2 316.405 1.371 20 30 DDEDLO C=CC[N@H+]1CCC[C@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001009045659 753048106 /nfs/dbraw/zinc/04/81/06/753048106.db2.gz NWEPNOYPNOMSDP-OLZOCXBDSA-N 1 2 302.422 1.915 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001009045659 753048109 /nfs/dbraw/zinc/04/81/09/753048109.db2.gz NWEPNOYPNOMSDP-OLZOCXBDSA-N 1 2 302.422 1.915 20 30 DDEDLO N#Cc1ccc(N2C[C@@H]3C[C@H]2CN3C(=O)CCc2c[nH]c[nH+]2)cn1 ZINC001062602626 753157780 /nfs/dbraw/zinc/15/77/80/753157780.db2.gz FMAOSDIJZBPAGQ-HOTGVXAUSA-N 1 2 322.372 1.099 20 30 DDEDLO N#Cc1ccc(N2C[C@@H]3C[C@H]2CN3C(=O)CCc2c[nH+]c[nH]2)cn1 ZINC001062602626 753157784 /nfs/dbraw/zinc/15/77/84/753157784.db2.gz FMAOSDIJZBPAGQ-HOTGVXAUSA-N 1 2 322.372 1.099 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2occc2Br)C1 ZINC001077849860 753293763 /nfs/dbraw/zinc/29/37/63/753293763.db2.gz RYVFEUIWAOPXRQ-NXEZZACHSA-N 1 2 315.167 1.003 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2occc2Br)C1 ZINC001077849860 753293765 /nfs/dbraw/zinc/29/37/65/753293765.db2.gz RYVFEUIWAOPXRQ-NXEZZACHSA-N 1 2 315.167 1.003 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001077929072 753437028 /nfs/dbraw/zinc/43/70/28/753437028.db2.gz YGFVEZKADSUBRA-KBPBESRZSA-N 1 2 306.410 1.496 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3scnc3c2)C1 ZINC001077929154 753437273 /nfs/dbraw/zinc/43/72/73/753437273.db2.gz ABFSKZQSBHWXJC-ZIAGYGMSSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3scnc3c2)C1 ZINC001077929154 753437278 /nfs/dbraw/zinc/43/72/78/753437278.db2.gz ABFSKZQSBHWXJC-ZIAGYGMSSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2OCC[C@H]2CC)C1 ZINC001108005112 753487533 /nfs/dbraw/zinc/48/75/33/753487533.db2.gz LFTORLGZVVNFKF-HLLBOEOZSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2OCC[C@H]2CC)C1 ZINC001108005112 753487538 /nfs/dbraw/zinc/48/75/38/753487538.db2.gz LFTORLGZVVNFKF-HLLBOEOZSA-N 1 2 308.422 1.032 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ccc(C#N)nc1 ZINC001039819519 762230029 /nfs/dbraw/zinc/23/00/29/762230029.db2.gz VPAWJIRGARFGMA-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@H](NC(=O)c3ccn[nH]3)C2)ccc1F ZINC001010284150 753731866 /nfs/dbraw/zinc/73/18/66/753731866.db2.gz DVKISUYNSMXURB-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3ccn[nH]3)C2)ccc1F ZINC001010284150 753731873 /nfs/dbraw/zinc/73/18/73/753731873.db2.gz DVKISUYNSMXURB-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2csc3c2CCCC3)C1 ZINC001078143117 753788133 /nfs/dbraw/zinc/78/81/33/753788133.db2.gz CFDMQCPRSYQXKQ-ZIAGYGMSSA-N 1 2 304.415 1.035 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2csc3c2CCCC3)C1 ZINC001078143117 753788137 /nfs/dbraw/zinc/78/81/37/753788137.db2.gz CFDMQCPRSYQXKQ-ZIAGYGMSSA-N 1 2 304.415 1.035 20 30 DDEDLO Cc1ncoc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010441423 753919941 /nfs/dbraw/zinc/91/99/41/753919941.db2.gz IEZJEHAMHHHSNR-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1ncoc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010441423 753919946 /nfs/dbraw/zinc/91/99/46/753919946.db2.gz IEZJEHAMHHHSNR-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO CCn1nccc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001010738363 754134971 /nfs/dbraw/zinc/13/49/71/754134971.db2.gz AUSCRDLHQJLSDK-QGZVFWFLSA-N 1 2 322.412 1.759 20 30 DDEDLO CCn1nccc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001010738363 754134975 /nfs/dbraw/zinc/13/49/75/754134975.db2.gz AUSCRDLHQJLSDK-QGZVFWFLSA-N 1 2 322.412 1.759 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nnc2CC)C1 ZINC001108035716 754196371 /nfs/dbraw/zinc/19/63/71/754196371.db2.gz IQFKQRGQRRLARZ-QGZVFWFLSA-N 1 2 318.421 1.354 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nnc2CC)C1 ZINC001108035716 754196377 /nfs/dbraw/zinc/19/63/77/754196377.db2.gz IQFKQRGQRRLARZ-QGZVFWFLSA-N 1 2 318.421 1.354 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)CCn2cc[nH+]c2)CCC1 ZINC001063786350 754347300 /nfs/dbraw/zinc/34/73/00/754347300.db2.gz BASUAFOLTDEPGL-UHFFFAOYSA-N 1 2 318.421 1.785 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@@H]1Nc1ccc(C#N)nc1 ZINC001063801875 754353111 /nfs/dbraw/zinc/35/31/11/754353111.db2.gz DJRKEFMIBZLRHD-LRDDRELGSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1c(C)nn(C)c1C ZINC001032818777 754475384 /nfs/dbraw/zinc/47/53/84/754475384.db2.gz RWNMHIUKUUEMPK-CQDKDKBSSA-N 1 2 300.406 1.059 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1c(C)nn(C)c1C ZINC001032818777 754475389 /nfs/dbraw/zinc/47/53/89/754475389.db2.gz RWNMHIUKUUEMPK-CQDKDKBSSA-N 1 2 300.406 1.059 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCC[C@@H]2NC(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001064112852 754521546 /nfs/dbraw/zinc/52/15/46/754521546.db2.gz KTOYDYPHCRYORC-WFASDCNBSA-N 1 2 324.388 1.616 20 30 DDEDLO C#CCCCCC(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064702998 754781036 /nfs/dbraw/zinc/78/10/36/754781036.db2.gz VOVINDWZPNPCDI-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO Cc1nc(NC[C@@H]2CCCN2C(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001064891448 754931138 /nfs/dbraw/zinc/93/11/38/754931138.db2.gz LPEAAEUHWCAIFR-ZDUSSCGKSA-N 1 2 310.361 1.702 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccnc(OC)c2)C1 ZINC001080021548 755686286 /nfs/dbraw/zinc/68/62/86/755686286.db2.gz FJOLXUZHMGYAKV-ZWNOBZJWSA-N 1 2 309.797 1.893 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccnc(OC)c2)C1 ZINC001080021548 755686290 /nfs/dbraw/zinc/68/62/90/755686290.db2.gz FJOLXUZHMGYAKV-ZWNOBZJWSA-N 1 2 309.797 1.893 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]2C)cn1 ZINC001080810450 756110962 /nfs/dbraw/zinc/11/09/62/756110962.db2.gz MBKUSNPMHIJFRH-MLGOLLRUSA-N 1 2 324.384 1.610 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]2C)cn1 ZINC001080810450 756110964 /nfs/dbraw/zinc/11/09/64/756110964.db2.gz MBKUSNPMHIJFRH-MLGOLLRUSA-N 1 2 324.384 1.610 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2nnc3c2CCCC3)C1 ZINC001015710675 756486954 /nfs/dbraw/zinc/48/69/54/756486954.db2.gz PRQAMEZSXPCCFE-LBPRGKRZSA-N 1 2 323.828 1.100 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2nnc3c2CCCC3)C1 ZINC001015710675 756486958 /nfs/dbraw/zinc/48/69/58/756486958.db2.gz PRQAMEZSXPCCFE-LBPRGKRZSA-N 1 2 323.828 1.100 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cnc(C3CC3)o2)[C@H](OC)C1 ZINC001081807630 756487777 /nfs/dbraw/zinc/48/77/77/756487777.db2.gz YHLXDHKEIFJSLY-TZMCWYRMSA-N 1 2 303.362 1.004 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cnc(C3CC3)o2)[C@H](OC)C1 ZINC001081807630 756487778 /nfs/dbraw/zinc/48/77/78/756487778.db2.gz YHLXDHKEIFJSLY-TZMCWYRMSA-N 1 2 303.362 1.004 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2n[nH]c(C3CC3)c2Cl)[C@H](OC)C1 ZINC001081896286 756543787 /nfs/dbraw/zinc/54/37/87/756543787.db2.gz ZDVJTJKQAJVSMP-GHMZBOCLSA-N 1 2 322.796 1.003 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2n[nH]c(C3CC3)c2Cl)[C@H](OC)C1 ZINC001081896286 756543789 /nfs/dbraw/zinc/54/37/89/756543789.db2.gz ZDVJTJKQAJVSMP-GHMZBOCLSA-N 1 2 322.796 1.003 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)cc(Cl)c2)[C@H](OC)C1 ZINC001081946986 756559136 /nfs/dbraw/zinc/55/91/36/756559136.db2.gz DAPAJWYCXNOUAV-HUUCEWRRSA-N 1 2 306.793 1.711 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)cc(Cl)c2)[C@H](OC)C1 ZINC001081946986 756559138 /nfs/dbraw/zinc/55/91/38/756559138.db2.gz DAPAJWYCXNOUAV-HUUCEWRRSA-N 1 2 306.793 1.711 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015861385 756595935 /nfs/dbraw/zinc/59/59/35/756595935.db2.gz BIMNASOUBHJSKS-MRXNPFEDSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015861385 756595936 /nfs/dbraw/zinc/59/59/36/756595936.db2.gz BIMNASOUBHJSKS-MRXNPFEDSA-N 1 2 323.400 1.903 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2ncccn2)C1 ZINC001015886329 756619907 /nfs/dbraw/zinc/61/99/07/756619907.db2.gz ZRIPLFOMADFOIX-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2ncccn2)C1 ZINC001015886329 756619909 /nfs/dbraw/zinc/61/99/09/756619909.db2.gz ZRIPLFOMADFOIX-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](NC(=O)c2cccc3nc[nH]c32)[C@H](OC)C1 ZINC001082265234 756714117 /nfs/dbraw/zinc/71/41/17/756714117.db2.gz KCGDKPYBBXPHBX-HUUCEWRRSA-N 1 2 312.373 1.015 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](NC(=O)c2cccc3nc[nH]c32)[C@H](OC)C1 ZINC001082265234 756714120 /nfs/dbraw/zinc/71/41/20/756714120.db2.gz KCGDKPYBBXPHBX-HUUCEWRRSA-N 1 2 312.373 1.015 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2ccc(F)cc2)[C@H](OC)C1 ZINC001082333029 756734715 /nfs/dbraw/zinc/73/47/15/756734715.db2.gz KKFHQVBCDKGUOJ-HZPDHXFCSA-N 1 2 318.392 1.552 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2ccc(F)cc2)[C@H](OC)C1 ZINC001082333029 756734718 /nfs/dbraw/zinc/73/47/18/756734718.db2.gz KKFHQVBCDKGUOJ-HZPDHXFCSA-N 1 2 318.392 1.552 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ncsc2C(C)C)[C@H](OC)C1 ZINC001082321162 756737138 /nfs/dbraw/zinc/73/71/38/756737138.db2.gz FTHQRBGYCYQMPF-CHWSQXEVSA-N 1 2 321.446 1.719 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ncsc2C(C)C)[C@H](OC)C1 ZINC001082321162 756737141 /nfs/dbraw/zinc/73/71/41/756737141.db2.gz FTHQRBGYCYQMPF-CHWSQXEVSA-N 1 2 321.446 1.719 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2sccc2CC)[C@H](OC)C1 ZINC001082382672 756762777 /nfs/dbraw/zinc/76/27/77/756762777.db2.gz NQVJRNWKYMDKOM-ZIAGYGMSSA-N 1 2 306.431 1.763 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2sccc2CC)[C@H](OC)C1 ZINC001082382672 756762781 /nfs/dbraw/zinc/76/27/81/756762781.db2.gz NQVJRNWKYMDKOM-ZIAGYGMSSA-N 1 2 306.431 1.763 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)nsc2C)[C@H](OC)C1 ZINC001082387715 756764834 /nfs/dbraw/zinc/76/48/34/756764834.db2.gz RIJSGVUUUJJLNE-CHWSQXEVSA-N 1 2 307.419 1.212 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(C)nsc2C)[C@H](OC)C1 ZINC001082387715 756764836 /nfs/dbraw/zinc/76/48/36/756764836.db2.gz RIJSGVUUUJJLNE-CHWSQXEVSA-N 1 2 307.419 1.212 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(NC(N)=O)c2)C1 ZINC001016220662 756840261 /nfs/dbraw/zinc/84/02/61/756840261.db2.gz COJJXNCROZIEKL-ZDUSSCGKSA-N 1 2 322.796 1.734 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(NC(N)=O)c2)C1 ZINC001016220662 756840266 /nfs/dbraw/zinc/84/02/66/756840266.db2.gz COJJXNCROZIEKL-ZDUSSCGKSA-N 1 2 322.796 1.734 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H](C)N2CCCCC2=O)C1 ZINC001016319752 756925996 /nfs/dbraw/zinc/92/59/96/756925996.db2.gz DJWUOZVKRAGSGI-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)N2CCCCC2=O)C1 ZINC001016319752 756925998 /nfs/dbraw/zinc/92/59/98/756925998.db2.gz DJWUOZVKRAGSGI-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO O=C(CC1CC1)N1CCO[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001082975224 757006685 /nfs/dbraw/zinc/00/66/85/757006685.db2.gz GFPFKNIYWUPRLL-RBUKOAKNSA-N 1 2 324.424 1.750 20 30 DDEDLO O=C(CC1CC1)N1CCO[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001082975224 757006697 /nfs/dbraw/zinc/00/66/97/757006697.db2.gz GFPFKNIYWUPRLL-RBUKOAKNSA-N 1 2 324.424 1.750 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)oc3C)[C@H]2C1 ZINC001082997128 757035335 /nfs/dbraw/zinc/03/53/35/757035335.db2.gz MGBQHDFSMSBXNJ-JKSUJKDBSA-N 1 2 302.374 1.445 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)oc3C)[C@H]2C1 ZINC001082997128 757035341 /nfs/dbraw/zinc/03/53/41/757035341.db2.gz MGBQHDFSMSBXNJ-JKSUJKDBSA-N 1 2 302.374 1.445 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001097374059 757118217 /nfs/dbraw/zinc/11/82/17/757118217.db2.gz DJYNSZJUWNKGLM-HIFRSBDPSA-N 1 2 316.405 1.474 20 30 DDEDLO N#Cc1cccnc1N1CCCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001097429586 757178220 /nfs/dbraw/zinc/17/82/20/757178220.db2.gz RRLYLTIWIDVCOH-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(CC)s2)[C@@H](O)C1 ZINC001084035132 757236411 /nfs/dbraw/zinc/23/64/11/757236411.db2.gz CSXAVORLZBAYDV-OLZOCXBDSA-N 1 2 306.431 1.417 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(CC)s2)[C@@H](O)C1 ZINC001084035132 757236413 /nfs/dbraw/zinc/23/64/13/757236413.db2.gz CSXAVORLZBAYDV-OLZOCXBDSA-N 1 2 306.431 1.417 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(C)csc2Cl)[C@@H](O)C1 ZINC001084000955 757240808 /nfs/dbraw/zinc/24/08/08/757240808.db2.gz YFWTUGFUWQYEBL-MNOVXSKESA-N 1 2 312.822 1.508 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)csc2Cl)[C@@H](O)C1 ZINC001084000955 757240814 /nfs/dbraw/zinc/24/08/14/757240814.db2.gz YFWTUGFUWQYEBL-MNOVXSKESA-N 1 2 312.822 1.508 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)(F)F)cc2)[C@@H](O)C1 ZINC001084132645 757297573 /nfs/dbraw/zinc/29/75/73/757297573.db2.gz AQOBPQWJOYYAEU-KGLIPLIRSA-N 1 2 308.328 1.206 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)(F)F)cc2)[C@@H](O)C1 ZINC001084132645 757297576 /nfs/dbraw/zinc/29/75/76/757297576.db2.gz AQOBPQWJOYYAEU-KGLIPLIRSA-N 1 2 308.328 1.206 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC23CC[NH+](Cc2cnon2)CC3)C1 ZINC001016817691 757376213 /nfs/dbraw/zinc/37/62/13/757376213.db2.gz NZEMVTARJKELHQ-CQSZACIVSA-N 1 2 316.405 1.897 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3cncc(F)c3)C[C@H]21 ZINC001084236318 757437307 /nfs/dbraw/zinc/43/73/07/757437307.db2.gz DTUJNERPTWPKNF-NJZAAPMLSA-N 1 2 302.353 1.413 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3cncc(F)c3)C[C@H]21 ZINC001084236318 757437310 /nfs/dbraw/zinc/43/73/10/757437310.db2.gz DTUJNERPTWPKNF-NJZAAPMLSA-N 1 2 302.353 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3cnn(C)c3C)[C@@H]2C1 ZINC001084396773 757556082 /nfs/dbraw/zinc/55/60/82/757556082.db2.gz NAJMUQRMIREDDT-UKRRQHHQSA-N 1 2 322.840 1.556 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cnn(C)c3C)[C@@H]2C1 ZINC001084396773 757556087 /nfs/dbraw/zinc/55/60/87/757556087.db2.gz NAJMUQRMIREDDT-UKRRQHHQSA-N 1 2 322.840 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(=O)n(C)c3)[C@@H]2C1 ZINC001084480906 757604083 /nfs/dbraw/zinc/60/40/83/757604083.db2.gz IXUYWPGHFPGBMY-TZMCWYRMSA-N 1 2 321.808 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(=O)n(C)c3)[C@@H]2C1 ZINC001084480906 757604089 /nfs/dbraw/zinc/60/40/89/757604089.db2.gz IXUYWPGHFPGBMY-TZMCWYRMSA-N 1 2 321.808 1.284 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3cc(C)nn3C)[C@@H]2C1 ZINC001084514892 757643278 /nfs/dbraw/zinc/64/32/78/757643278.db2.gz KHVFIIOFRPFBDJ-UKRRQHHQSA-N 1 2 322.840 1.556 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cc(C)nn3C)[C@@H]2C1 ZINC001084514892 757643285 /nfs/dbraw/zinc/64/32/85/757643285.db2.gz KHVFIIOFRPFBDJ-UKRRQHHQSA-N 1 2 322.840 1.556 20 30 DDEDLO C[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1Nc1ncccc1C#N ZINC001067174018 757660609 /nfs/dbraw/zinc/66/06/09/757660609.db2.gz DCPNDHQANSCPLL-HIFRSBDPSA-N 1 2 324.388 1.499 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1ccc(C#N)c(C(F)(F)F)n1 ZINC001169651554 762573352 /nfs/dbraw/zinc/57/33/52/762573352.db2.gz RCCYAGNVVOVDHI-JTQLQIEISA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1ccc(C#N)c(C(F)(F)F)n1 ZINC001169651554 762573355 /nfs/dbraw/zinc/57/33/55/762573355.db2.gz RCCYAGNVVOVDHI-JTQLQIEISA-N 1 2 300.284 1.715 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)CC1)c1nc[nH]n1 ZINC001052800007 757781218 /nfs/dbraw/zinc/78/12/18/757781218.db2.gz AJCDSPFWLDTYTH-INIZCTEOSA-N 1 2 323.400 1.441 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)CC1)c1nc[nH]n1 ZINC001052800007 757781225 /nfs/dbraw/zinc/78/12/25/757781225.db2.gz AJCDSPFWLDTYTH-INIZCTEOSA-N 1 2 323.400 1.441 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C2)c(C)o1 ZINC001099824559 757876731 /nfs/dbraw/zinc/87/67/31/757876731.db2.gz VHMTUXVHZFUZFU-ZBFHGGJFSA-N 1 2 319.405 1.002 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C2)c(C)o1 ZINC001099824559 757876742 /nfs/dbraw/zinc/87/67/42/757876742.db2.gz VHMTUXVHZFUZFU-ZBFHGGJFSA-N 1 2 319.405 1.002 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1C[C@H]2CC[N@@H+](CCF)C[C@H]21 ZINC001084766746 757890660 /nfs/dbraw/zinc/89/06/60/757890660.db2.gz NLBVZVXLHVKCPH-ZIAGYGMSSA-N 1 2 319.380 1.762 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1C[C@H]2CC[N@H+](CCF)C[C@H]21 ZINC001084766746 757890677 /nfs/dbraw/zinc/89/06/77/757890677.db2.gz NLBVZVXLHVKCPH-ZIAGYGMSSA-N 1 2 319.380 1.762 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099826165 757896117 /nfs/dbraw/zinc/89/61/17/757896117.db2.gz HBWJOVORCUZICR-NWDGAFQWSA-N 1 2 317.216 1.270 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1CC[N@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099826165 757896126 /nfs/dbraw/zinc/89/61/26/757896126.db2.gz HBWJOVORCUZICR-NWDGAFQWSA-N 1 2 317.216 1.270 20 30 DDEDLO CCc1cnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)o1 ZINC001084906591 758047553 /nfs/dbraw/zinc/04/75/53/758047553.db2.gz ZEFIROJFOHNJIK-GUTXKFCHSA-N 1 2 316.405 1.819 20 30 DDEDLO CCc1cnc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)o1 ZINC001084906591 758047567 /nfs/dbraw/zinc/04/75/67/758047567.db2.gz ZEFIROJFOHNJIK-GUTXKFCHSA-N 1 2 316.405 1.819 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cc(C)on1 ZINC001017635135 758102719 /nfs/dbraw/zinc/10/27/19/758102719.db2.gz BTCTXWDKOLSQFA-OKILXGFUSA-N 1 2 303.362 1.060 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cc(C)on1 ZINC001017635135 758102733 /nfs/dbraw/zinc/10/27/33/758102733.db2.gz BTCTXWDKOLSQFA-OKILXGFUSA-N 1 2 303.362 1.060 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2nccn2C1 ZINC001017681549 758150363 /nfs/dbraw/zinc/15/03/63/758150363.db2.gz PIMJMZGABVTMQK-OAGGEKHMSA-N 1 2 312.417 1.144 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2nccn2C1 ZINC001017681549 758150368 /nfs/dbraw/zinc/15/03/68/758150368.db2.gz PIMJMZGABVTMQK-OAGGEKHMSA-N 1 2 312.417 1.144 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1sc(C)nc1C ZINC001017749950 758205416 /nfs/dbraw/zinc/20/54/16/758205416.db2.gz CITDFRKITOWHDC-OKILXGFUSA-N 1 2 303.431 1.611 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1sc(C)nc1C ZINC001017749950 758205424 /nfs/dbraw/zinc/20/54/24/758205424.db2.gz CITDFRKITOWHDC-OKILXGFUSA-N 1 2 303.431 1.611 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c(C)[nH]nc1Cl)CCO2 ZINC001053283122 758337762 /nfs/dbraw/zinc/33/77/62/758337762.db2.gz YRBVULJGABDXCM-UHFFFAOYSA-N 1 2 324.812 1.474 20 30 DDEDLO Cc1nc(N2CC[C@@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001065236037 758400066 /nfs/dbraw/zinc/40/00/66/758400066.db2.gz YCCPQNYSLOAUNS-MRXNPFEDSA-N 1 2 310.361 1.384 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC[C@H]1CCCOC1)CCO2 ZINC001053487241 758493957 /nfs/dbraw/zinc/49/39/57/758493957.db2.gz HPMCNGIDTZEBHV-MRXNPFEDSA-N 1 2 322.449 1.683 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1cccnc1 ZINC001018069188 758520923 /nfs/dbraw/zinc/52/09/23/758520923.db2.gz BREBUDMLVHMMDE-GASCZTMLSA-N 1 2 321.384 1.394 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1cccnc1 ZINC001018069188 758520924 /nfs/dbraw/zinc/52/09/24/758520924.db2.gz BREBUDMLVHMMDE-GASCZTMLSA-N 1 2 321.384 1.394 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(C)n1C)O2 ZINC001053568148 758574873 /nfs/dbraw/zinc/57/48/73/758574873.db2.gz JXANUWIJXCPSCA-AWEZNQCLSA-N 1 2 303.406 1.483 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@@H](C)CNc1cc[nH+]c(C)n1 ZINC001108541868 762642488 /nfs/dbraw/zinc/64/24/88/762642488.db2.gz JOLJKWQUVIREOY-SMDDNHRTSA-N 1 2 319.409 1.173 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2ccnc2)cn1 ZINC001018167963 758597184 /nfs/dbraw/zinc/59/71/84/758597184.db2.gz CNBWPBJIANGYHR-IYBDPMFKSA-N 1 2 323.400 1.742 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2ccnc2)cn1 ZINC001018167963 758597186 /nfs/dbraw/zinc/59/71/86/758597186.db2.gz CNBWPBJIANGYHR-IYBDPMFKSA-N 1 2 323.400 1.742 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(F)cncc1F)O2 ZINC001053606373 758625879 /nfs/dbraw/zinc/62/58/79/758625879.db2.gz SGOJAYXCWXKJRG-LLVKDONJSA-N 1 2 323.343 1.509 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](F)Cc1ccccc1 ZINC001018299871 758709454 /nfs/dbraw/zinc/70/94/54/758709454.db2.gz USSIEUPRTLHHLE-BBWFWOEESA-N 1 2 300.377 1.876 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](F)Cc1ccccc1 ZINC001018299871 758709458 /nfs/dbraw/zinc/70/94/58/758709458.db2.gz USSIEUPRTLHHLE-BBWFWOEESA-N 1 2 300.377 1.876 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2cccc(C)c2O1 ZINC001018353157 758751488 /nfs/dbraw/zinc/75/14/88/758751488.db2.gz KEFSIRJUXXOYHE-IXDOHACOSA-N 1 2 310.397 1.607 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2cccc(C)c2O1 ZINC001018353157 758751492 /nfs/dbraw/zinc/75/14/92/758751492.db2.gz KEFSIRJUXXOYHE-IXDOHACOSA-N 1 2 310.397 1.607 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)c1ccnn1C)CO2 ZINC001053804452 758832360 /nfs/dbraw/zinc/83/23/60/758832360.db2.gz UWEISJWQDICBCD-KGLIPLIRSA-N 1 2 318.421 1.059 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(COC)o1)CO2 ZINC001053863625 758896686 /nfs/dbraw/zinc/89/66/86/758896686.db2.gz OMUQXAPDSCNBIM-CYBMUJFWSA-N 1 2 320.389 1.575 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)cncc1C)CO2 ZINC001053870446 758904117 /nfs/dbraw/zinc/90/41/17/758904117.db2.gz JZUPJIVYFLHPSF-OAHLLOKOSA-N 1 2 315.417 1.848 20 30 DDEDLO C[C@H](CNC(=O)CCCn1cc[nH+]c1)Nc1ccc(C#N)nc1 ZINC001097912451 758915771 /nfs/dbraw/zinc/91/57/71/758915771.db2.gz YOJHHYGHNVDOMK-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)CC1CC(OCC)C1)CO2 ZINC001053903101 758936011 /nfs/dbraw/zinc/93/60/11/758936011.db2.gz MHTNYHNSASBMLS-PCKAHOCUSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)c1ccco1)CO2 ZINC001053922704 758958297 /nfs/dbraw/zinc/95/82/97/758958297.db2.gz GQYAOCAPUODQGY-UONOGXRCSA-N 1 2 304.390 1.919 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)cc1F)CO2 ZINC001053935054 758970745 /nfs/dbraw/zinc/97/07/45/758970745.db2.gz FWQGKJIMYMEPAV-AWEZNQCLSA-N 1 2 316.376 1.731 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnc(C)nc1C)CO2 ZINC001053959671 758996990 /nfs/dbraw/zinc/99/69/90/758996990.db2.gz DYXLQCAKNIFWRZ-AWEZNQCLSA-N 1 2 316.405 1.243 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cn(C)nc1Cl)CO2 ZINC001053979647 759018590 /nfs/dbraw/zinc/01/85/90/759018590.db2.gz VKIYEDCMHUTZTO-LLVKDONJSA-N 1 2 324.812 1.223 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2occc2C)C1 ZINC001108202189 759028719 /nfs/dbraw/zinc/02/87/19/759028719.db2.gz YDENUCZAAGQLLX-QGZVFWFLSA-N 1 2 304.390 1.361 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2occc2C)C1 ZINC001108202189 759028723 /nfs/dbraw/zinc/02/87/23/759028723.db2.gz YDENUCZAAGQLLX-QGZVFWFLSA-N 1 2 304.390 1.361 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(Cl)no1)CO2 ZINC001053988923 759031686 /nfs/dbraw/zinc/03/16/86/759031686.db2.gz UKSAWJATPUQPDG-JTQLQIEISA-N 1 2 311.769 1.477 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C(F)F)o1)CO2 ZINC001053996745 759042080 /nfs/dbraw/zinc/04/20/80/759042080.db2.gz PEPWESRQNZPKOY-NSHDSACASA-N 1 2 324.327 1.814 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1nc(C#N)c(Cl)s1 ZINC001098307126 759298192 /nfs/dbraw/zinc/29/81/92/759298192.db2.gz UOWSGMXMYDZDTQ-ZETCQYMHSA-N 1 2 324.797 1.551 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085555855 759699178 /nfs/dbraw/zinc/69/91/78/759699178.db2.gz BSQKGGKDSQGELI-WFASDCNBSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085555855 759699185 /nfs/dbraw/zinc/69/91/85/759699185.db2.gz BSQKGGKDSQGELI-WFASDCNBSA-N 1 2 324.388 1.584 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)c2cccc(C#CCO)c2)C1 ZINC000828644402 759730303 /nfs/dbraw/zinc/73/03/03/759730303.db2.gz RIEISYGGNPTPOT-QGZVFWFLSA-N 1 2 323.396 1.784 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncn2cc(Cl)ccc12 ZINC001085605732 759818795 /nfs/dbraw/zinc/81/87/95/759818795.db2.gz RPOSDOPLNUVSKA-CYBMUJFWSA-N 1 2 316.792 1.767 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncn2cc(Cl)ccc12 ZINC001085605732 759818802 /nfs/dbraw/zinc/81/88/02/759818802.db2.gz RPOSDOPLNUVSKA-CYBMUJFWSA-N 1 2 316.792 1.767 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CN(C(=O)C#CC3CC3)C[C@@H]2C)n1 ZINC001054639711 759932588 /nfs/dbraw/zinc/93/25/88/759932588.db2.gz VFBMVUNOYXSMBX-GXTWGEPZSA-N 1 2 316.405 1.372 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncn(-c2ccccc2)n1 ZINC001085661219 759961718 /nfs/dbraw/zinc/96/17/18/759961718.db2.gz RTAYVUOLJYXOCB-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncn(-c2ccccc2)n1 ZINC001085661219 759961725 /nfs/dbraw/zinc/96/17/25/759961725.db2.gz RTAYVUOLJYXOCB-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001019500248 759997429 /nfs/dbraw/zinc/99/74/29/759997429.db2.gz LWJYBDDWFTUNGB-YDHLFZDLSA-N 1 2 320.393 1.050 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001069213758 767918915 /nfs/dbraw/zinc/91/89/15/767918915.db2.gz DOABFOGYVFUVIO-SMDDNHRTSA-N 1 2 310.361 1.320 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nc2c(s1)CCC2 ZINC001085723489 760121080 /nfs/dbraw/zinc/12/10/80/760121080.db2.gz XQTHREUMFXGICK-GFCCVEGCSA-N 1 2 303.431 1.801 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nc2c(s1)CCC2 ZINC001085723489 760121086 /nfs/dbraw/zinc/12/10/86/760121086.db2.gz XQTHREUMFXGICK-GFCCVEGCSA-N 1 2 303.431 1.801 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@@H+](Cc3nocc3C)C2)nc1 ZINC001046782879 767921689 /nfs/dbraw/zinc/92/16/89/767921689.db2.gz GDGMTXOENJUWLN-GOSISDBHSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@H+](Cc3nocc3C)C2)nc1 ZINC001046782879 767921692 /nfs/dbraw/zinc/92/16/92/767921692.db2.gz GDGMTXOENJUWLN-GOSISDBHSA-N 1 2 324.384 1.754 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cncc(OC(C)C)c1 ZINC001085763977 760197554 /nfs/dbraw/zinc/19/75/54/760197554.db2.gz NEBIUGREZJFHPG-OAHLLOKOSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cncc(OC(C)C)c1 ZINC001085763977 760197561 /nfs/dbraw/zinc/19/75/61/760197561.db2.gz NEBIUGREZJFHPG-OAHLLOKOSA-N 1 2 301.390 1.648 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001085825594 760302444 /nfs/dbraw/zinc/30/24/44/760302444.db2.gz PTLFHHPQMNXBGK-BFHYXJOUSA-N 1 2 322.840 1.809 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)Nc1cccc(F)c1C#N ZINC001097975006 760324911 /nfs/dbraw/zinc/32/49/11/760324911.db2.gz GIJALPAAHFBQMF-JTQLQIEISA-N 1 2 315.352 1.888 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2cnccc2n1 ZINC001085843661 760347393 /nfs/dbraw/zinc/34/73/93/760347393.db2.gz SDCJVQXZJMPIEX-OAHLLOKOSA-N 1 2 308.385 1.799 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2cnccc2n1 ZINC001085843661 760347401 /nfs/dbraw/zinc/34/74/01/760347401.db2.gz SDCJVQXZJMPIEX-OAHLLOKOSA-N 1 2 308.385 1.799 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001085850020 760372270 /nfs/dbraw/zinc/37/22/70/760372270.db2.gz MIRLQGVLCKTRPQ-HOCLYGCPSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001085850020 760372281 /nfs/dbraw/zinc/37/22/81/760372281.db2.gz MIRLQGVLCKTRPQ-HOCLYGCPSA-N 1 2 302.349 1.295 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(CF)CCOCC2)C1 ZINC001108215768 760414964 /nfs/dbraw/zinc/41/49/64/760414964.db2.gz IOCCXLYULVLUQJ-OAHLLOKOSA-N 1 2 314.401 1.146 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(CF)CCOCC2)C1 ZINC001108215768 760414966 /nfs/dbraw/zinc/41/49/66/760414966.db2.gz IOCCXLYULVLUQJ-OAHLLOKOSA-N 1 2 314.401 1.146 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C)nc1C(C)(C)C ZINC001085877674 760437860 /nfs/dbraw/zinc/43/78/60/760437860.db2.gz IVAPIBQZRMIFGS-CYBMUJFWSA-N 1 2 302.422 1.497 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C)nc1C(C)(C)C ZINC001085877674 760437865 /nfs/dbraw/zinc/43/78/65/760437865.db2.gz IVAPIBQZRMIFGS-CYBMUJFWSA-N 1 2 302.422 1.497 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001085915533 760531418 /nfs/dbraw/zinc/53/14/18/760531418.db2.gz CUQKTVWOSJONFO-AWEZNQCLSA-N 1 2 312.373 1.460 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001085915533 760531427 /nfs/dbraw/zinc/53/14/27/760531427.db2.gz CUQKTVWOSJONFO-AWEZNQCLSA-N 1 2 312.373 1.460 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(OC)c(C)c(OC)c1 ZINC001085960058 760612555 /nfs/dbraw/zinc/61/25/55/760612555.db2.gz TWSFLXDRJKQUKD-HNNXBMFYSA-N 1 2 316.401 1.792 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(OC)c(C)c(OC)c1 ZINC001085960058 760612567 /nfs/dbraw/zinc/61/25/67/760612567.db2.gz TWSFLXDRJKQUKD-HNNXBMFYSA-N 1 2 316.401 1.792 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C3CC3)n[nH]2)C1 ZINC001108236809 760832606 /nfs/dbraw/zinc/83/26/06/760832606.db2.gz SBAWOJMJKJWBLT-QGZVFWFLSA-N 1 2 316.405 1.131 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C3CC3)n[nH]2)C1 ZINC001108236809 760832616 /nfs/dbraw/zinc/83/26/16/760832616.db2.gz SBAWOJMJKJWBLT-QGZVFWFLSA-N 1 2 316.405 1.131 20 30 DDEDLO CCn1ccnc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038201910 760915297 /nfs/dbraw/zinc/91/52/97/760915297.db2.gz NQRASYBBYUSUCU-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1ccnc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038201910 760915303 /nfs/dbraw/zinc/91/53/03/760915303.db2.gz NQRASYBBYUSUCU-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC001038414685 761099165 /nfs/dbraw/zinc/09/91/65/761099165.db2.gz ZWFGNECXPZIKLI-ZIAGYGMSSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC001038414685 761099177 /nfs/dbraw/zinc/09/91/77/761099177.db2.gz ZWFGNECXPZIKLI-ZIAGYGMSSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC[C@@H]2CCCCO2)C1 ZINC001108252214 761157091 /nfs/dbraw/zinc/15/70/91/761157091.db2.gz SMQUVMNSKZAZBG-WMZOPIPTSA-N 1 2 322.449 1.566 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC[C@@H]2CCCCO2)C1 ZINC001108252214 761157095 /nfs/dbraw/zinc/15/70/95/761157095.db2.gz SMQUVMNSKZAZBG-WMZOPIPTSA-N 1 2 322.449 1.566 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@@H]2C[C@H]2C)cc1C#N ZINC001038606703 761229705 /nfs/dbraw/zinc/22/97/05/761229705.db2.gz QFASYEOCCJEBSC-DAXOMENPSA-N 1 2 313.401 1.913 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@@H]2C[C@H]2C)cc1C#N ZINC001038606703 761229708 /nfs/dbraw/zinc/22/97/08/761229708.db2.gz QFASYEOCCJEBSC-DAXOMENPSA-N 1 2 313.401 1.913 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn(C)nc1C1CCCC1 ZINC001038686042 761288025 /nfs/dbraw/zinc/28/80/25/761288025.db2.gz DUCQISWFEBKWKP-CQSZACIVSA-N 1 2 300.406 1.515 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn(C)nc1C1CCCC1 ZINC001038686042 761288028 /nfs/dbraw/zinc/28/80/28/761288028.db2.gz DUCQISWFEBKWKP-CQSZACIVSA-N 1 2 300.406 1.515 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cc(C)ns1 ZINC001038714047 761317355 /nfs/dbraw/zinc/31/73/55/761317355.db2.gz IXDFXLDOPHSFLB-CFVMTHIKSA-N 1 2 321.446 1.733 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cc(C)ns1 ZINC001038714047 761317364 /nfs/dbraw/zinc/31/73/64/761317364.db2.gz IXDFXLDOPHSFLB-CFVMTHIKSA-N 1 2 321.446 1.733 20 30 DDEDLO Cc1c[nH]c(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n1 ZINC001038793960 761414284 /nfs/dbraw/zinc/41/42/84/761414284.db2.gz YOKAUNSEWJKFQH-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1c[nH]c(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)n1 ZINC001038793960 761414287 /nfs/dbraw/zinc/41/42/87/761414287.db2.gz YOKAUNSEWJKFQH-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cnccc2C)cn1 ZINC001038942600 761575878 /nfs/dbraw/zinc/57/58/78/761575878.db2.gz XTZCWVBRYMZQAZ-GOSISDBHSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cnccc2C)cn1 ZINC001038942600 761575882 /nfs/dbraw/zinc/57/58/82/761575882.db2.gz XTZCWVBRYMZQAZ-GOSISDBHSA-N 1 2 320.396 1.771 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1Cc2cccc(F)c2O1 ZINC001039036702 761676527 /nfs/dbraw/zinc/67/65/27/761676527.db2.gz BBWCMRRGMCOPSU-DZGCQCFKSA-N 1 2 302.349 1.343 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1Cc2cccc(F)c2O1 ZINC001039036702 761676530 /nfs/dbraw/zinc/67/65/30/761676530.db2.gz BBWCMRRGMCOPSU-DZGCQCFKSA-N 1 2 302.349 1.343 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1Cc2cccc(F)c2O1 ZINC001039036707 761676841 /nfs/dbraw/zinc/67/68/41/761676841.db2.gz BBWCMRRGMCOPSU-HIFRSBDPSA-N 1 2 302.349 1.343 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1Cc2cccc(F)c2O1 ZINC001039036707 761676845 /nfs/dbraw/zinc/67/68/45/761676845.db2.gz BBWCMRRGMCOPSU-HIFRSBDPSA-N 1 2 302.349 1.343 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(C)CCOCC2)C1 ZINC001108281449 761704069 /nfs/dbraw/zinc/70/40/69/761704069.db2.gz GVYVUWLTVYSQPC-QGZVFWFLSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2(C)CCOCC2)C1 ZINC001108281449 761704075 /nfs/dbraw/zinc/70/40/75/761704075.db2.gz GVYVUWLTVYSQPC-QGZVFWFLSA-N 1 2 308.422 1.034 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC([C@@H]2CCN(CC#N)C2)CC1 ZINC001039189203 761813790 /nfs/dbraw/zinc/81/37/90/761813790.db2.gz RNXXTMSMCLBBAR-OAHLLOKOSA-N 1 2 315.421 1.345 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108566812 762710239 /nfs/dbraw/zinc/71/02/39/762710239.db2.gz OGMHNNWQOZNABA-GJZGRUSLSA-N 1 2 319.449 1.566 20 30 DDEDLO C=C[C@H]1C[C@@]1([NH2+]C1CCC(S(C)(=O)=O)CC1)C(=O)OCC ZINC001169863165 762724790 /nfs/dbraw/zinc/72/47/90/762724790.db2.gz GXUXCCGEMVTOMD-CLTVBZQCSA-N 1 2 315.435 1.440 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nn1)NC(=O)c1cccc2[nH+]ccn21 ZINC001108603157 762788504 /nfs/dbraw/zinc/78/85/04/762788504.db2.gz UQFSSSULVAYSAN-NSHDSACASA-N 1 2 321.344 1.226 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC)CCC)[C@@H](n2ccnn2)C1 ZINC001129353588 762805298 /nfs/dbraw/zinc/80/52/98/762805298.db2.gz WDDREHUGPZODBC-OAGGEKHMSA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)CCC)[C@@H](n2ccnn2)C1 ZINC001129353588 762805302 /nfs/dbraw/zinc/80/53/02/762805302.db2.gz WDDREHUGPZODBC-OAGGEKHMSA-N 1 2 317.437 1.469 20 30 DDEDLO C#CC[NH+]1CCC(CNC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001001402246 762829720 /nfs/dbraw/zinc/82/97/20/762829720.db2.gz MQFLZIHDUKTIJZ-AWEZNQCLSA-N 1 2 300.406 1.291 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108656932 762836770 /nfs/dbraw/zinc/83/67/70/762836770.db2.gz XAEQKBVJBUTNFZ-RYUDHWBXSA-N 1 2 316.409 1.882 20 30 DDEDLO C[C@H](CC(=O)N[C@@H](C)CNc1cncc(C#N)n1)n1cc[nH+]c1 ZINC001108721571 762892098 /nfs/dbraw/zinc/89/20/98/762892098.db2.gz NDUTYRZBRIEGDS-NWDGAFQWSA-N 1 2 313.365 1.113 20 30 DDEDLO C#CC[N@H+]1CC=C(CNC(=O)c2n[nH]nc2-c2ccccc2)CC1 ZINC001001473772 762896734 /nfs/dbraw/zinc/89/67/34/762896734.db2.gz ZPQCSOIXESBXRO-UHFFFAOYSA-N 1 2 321.384 1.467 20 30 DDEDLO C#CC[N@@H+]1CC=C(CNC(=O)c2n[nH]nc2-c2ccccc2)CC1 ZINC001001473772 762896741 /nfs/dbraw/zinc/89/67/41/762896741.db2.gz ZPQCSOIXESBXRO-UHFFFAOYSA-N 1 2 321.384 1.467 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H](C)CNc2nccnc2C#N)c(C)[nH+]1 ZINC001108793550 762941304 /nfs/dbraw/zinc/94/13/04/762941304.db2.gz SSYATMRTMKEAAQ-LBPRGKRZSA-N 1 2 324.388 1.899 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccoc1)C2 ZINC001108926087 763115373 /nfs/dbraw/zinc/11/53/73/763115373.db2.gz QIRZWPJHWAITNJ-RZFFKMDDSA-N 1 2 317.389 1.305 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccoc1)C2 ZINC001108926087 763115377 /nfs/dbraw/zinc/11/53/77/763115377.db2.gz QIRZWPJHWAITNJ-RZFFKMDDSA-N 1 2 317.389 1.305 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc(C)s1)C2 ZINC001109031362 763246697 /nfs/dbraw/zinc/24/66/97/763246697.db2.gz HDSAHIXGBPQTMI-RDBSUJKOSA-N 1 2 319.430 1.436 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc(C)s1)C2 ZINC001109031362 763246703 /nfs/dbraw/zinc/24/67/03/763246703.db2.gz HDSAHIXGBPQTMI-RDBSUJKOSA-N 1 2 319.430 1.436 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC1CC1 ZINC001109046041 763261979 /nfs/dbraw/zinc/26/19/79/763261979.db2.gz HKIDWBGVLJRAET-ILXRZTDVSA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC1CC1 ZINC001109046041 763261985 /nfs/dbraw/zinc/26/19/85/763261985.db2.gz HKIDWBGVLJRAET-ILXRZTDVSA-N 1 2 305.422 1.200 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)C(C)C)C2 ZINC001109058702 763300804 /nfs/dbraw/zinc/30/08/04/763300804.db2.gz IGJDLPYWXQKHSU-FZKCQIBNSA-N 1 2 319.449 1.140 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)C(C)C)C2 ZINC001109058702 763300810 /nfs/dbraw/zinc/30/08/10/763300810.db2.gz IGJDLPYWXQKHSU-FZKCQIBNSA-N 1 2 319.449 1.140 20 30 DDEDLO Cc1ccc(C#N)c(N(C)C[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001109058484 763301090 /nfs/dbraw/zinc/30/10/90/763301090.db2.gz YHAIKYDXSOCYTC-GFCCVEGCSA-N 1 2 312.377 1.168 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@H](C)NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001050268938 763394122 /nfs/dbraw/zinc/39/41/22/763394122.db2.gz PRIIUNSGORTZNG-JSGCOSHPSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@H]2CCN(C(=O)COC)C[C@@H]21 ZINC001041872919 763431567 /nfs/dbraw/zinc/43/15/67/763431567.db2.gz RCRARZXJAINSPL-NEPJUHHUSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@H]2CCN(C(=O)COC)C[C@@H]21 ZINC001041872919 763431570 /nfs/dbraw/zinc/43/15/70/763431570.db2.gz RCRARZXJAINSPL-NEPJUHHUSA-N 1 2 317.227 1.464 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)n1 ZINC001042057854 763602161 /nfs/dbraw/zinc/60/21/61/763602161.db2.gz NJBJYMVELROQLE-GJZGRUSLSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)n1 ZINC001042057854 763602163 /nfs/dbraw/zinc/60/21/63/763602163.db2.gz NJBJYMVELROQLE-GJZGRUSLSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CN(c2ncccc2C#N)C[C@H]1C ZINC001042113180 763666138 /nfs/dbraw/zinc/66/61/38/763666138.db2.gz FEDJUBIIVJLZND-IAQYHMDHSA-N 1 2 324.388 1.168 20 30 DDEDLO C#CCC[N@@H+]1CCC(F)(F)[C@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001046968501 768159265 /nfs/dbraw/zinc/15/92/65/768159265.db2.gz IEOADNJSJGODLC-SNVBAGLBSA-N 1 2 314.311 1.259 20 30 DDEDLO C#CCC[N@H+]1CCC(F)(F)[C@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001046968501 768159269 /nfs/dbraw/zinc/15/92/69/768159269.db2.gz IEOADNJSJGODLC-SNVBAGLBSA-N 1 2 314.311 1.259 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3CCCO3)c2C1 ZINC001069850936 768179296 /nfs/dbraw/zinc/17/92/96/768179296.db2.gz MLXVEHFSYJNKBT-OAHLLOKOSA-N 1 2 304.394 1.070 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3CCCO3)c2C1 ZINC001069850936 768179301 /nfs/dbraw/zinc/17/93/01/768179301.db2.gz MLXVEHFSYJNKBT-OAHLLOKOSA-N 1 2 304.394 1.070 20 30 DDEDLO C[C@H]1CC[C@H](C[NH+]2CC(N(C)C(=O)c3cc(C#N)c[nH]3)C2)O1 ZINC001042589464 764241604 /nfs/dbraw/zinc/24/16/04/764241604.db2.gz GQOYRPJOLZXVRW-SMDDNHRTSA-N 1 2 302.378 1.210 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(C)o2)[C@@H](n2ccnn2)C1 ZINC001069910480 768222974 /nfs/dbraw/zinc/22/29/74/768222974.db2.gz WUFZBEVXWLWCIK-KGLIPLIRSA-N 1 2 315.377 1.329 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(C)o2)[C@@H](n2ccnn2)C1 ZINC001069910480 768222977 /nfs/dbraw/zinc/22/29/77/768222977.db2.gz WUFZBEVXWLWCIK-KGLIPLIRSA-N 1 2 315.377 1.329 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(C1)CN(C(=O)CCCC(C)=O)C[C@H](C)O2 ZINC001131625726 768224141 /nfs/dbraw/zinc/22/41/41/768224141.db2.gz ZHKMJIZWVVJZDD-DOTOQJQBSA-N 1 2 306.406 1.071 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(C1)CN(C(=O)CCCC(C)=O)C[C@H](C)O2 ZINC001131625726 768224147 /nfs/dbraw/zinc/22/41/47/768224147.db2.gz ZHKMJIZWVVJZDD-DOTOQJQBSA-N 1 2 306.406 1.071 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1coc(C2CCC2)n1 ZINC001051203228 764615157 /nfs/dbraw/zinc/61/51/57/764615157.db2.gz PFBCTHKPXDVOPY-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1coc(C2CCC2)n1 ZINC001051203228 764615164 /nfs/dbraw/zinc/61/51/64/764615164.db2.gz PFBCTHKPXDVOPY-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1coc(CCC)n1 ZINC001051208075 764620890 /nfs/dbraw/zinc/62/08/90/764620890.db2.gz XKHBAJDKVZWZEX-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1coc(CCC)n1 ZINC001051208075 764620898 /nfs/dbraw/zinc/62/08/98/764620898.db2.gz XKHBAJDKVZWZEX-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CCOc2ccc(C)cc2)CC1 ZINC001112704400 764622473 /nfs/dbraw/zinc/62/24/73/764622473.db2.gz UETAEGBQHSHWOL-UHFFFAOYSA-N 1 2 318.417 1.721 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001051325355 764746862 /nfs/dbraw/zinc/74/68/62/764746862.db2.gz FYAJNWNKMRXFOU-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC001112801383 764790998 /nfs/dbraw/zinc/79/09/98/764790998.db2.gz QDDNTBWDTFAHDK-SFHVURJKSA-N 1 2 313.401 1.530 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCc2csc(C)n2)CC1 ZINC001112809085 764797048 /nfs/dbraw/zinc/79/70/48/764797048.db2.gz YKNXLUPAYCAFFN-UHFFFAOYSA-N 1 2 305.447 1.942 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](C)[C@H](Nc2ncccc2C#N)C1 ZINC001043272556 764801375 /nfs/dbraw/zinc/80/13/75/764801375.db2.gz BDRKFBCZIUAHDH-IAQYHMDHSA-N 1 2 324.388 1.486 20 30 DDEDLO N#Cc1cccnc1NCC1CC(NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001112832785 764825144 /nfs/dbraw/zinc/82/51/44/764825144.db2.gz QQCADQISFMDOLF-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccnn2C2CCC2)CC1 ZINC001112862732 764889692 /nfs/dbraw/zinc/88/96/92/764889692.db2.gz YRDBLOFVSXWKDP-UHFFFAOYSA-N 1 2 318.421 1.569 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)c2cc(C)nc3onc(C)c32)C1 ZINC001043498371 764940968 /nfs/dbraw/zinc/94/09/68/764940968.db2.gz XZBPGJMBXBGPFY-UHFFFAOYSA-N 1 2 300.362 1.782 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+](Cc2ccc(C(N)=O)cc2)CC1 ZINC001112899185 764941386 /nfs/dbraw/zinc/94/13/86/764941386.db2.gz QWFNYCOIMVXFDB-UHFFFAOYSA-N 1 2 315.417 1.786 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2n[nH]c3c2C[C@H](C)CC3)C1 ZINC001044127425 765326999 /nfs/dbraw/zinc/32/69/99/765326999.db2.gz RNKMGGJCLJMAPS-GFCCVEGCSA-N 1 2 300.406 1.314 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ncccc2C(F)(F)F)C1 ZINC001044136078 765334939 /nfs/dbraw/zinc/33/49/39/765334939.db2.gz WOFPFUPUFVAYQQ-UHFFFAOYSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(C)nn(CCC)c2C)C1 ZINC001044156423 765349751 /nfs/dbraw/zinc/34/97/51/765349751.db2.gz KMSYOPUHLHISSZ-UHFFFAOYSA-N 1 2 302.422 1.689 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C(CC)CC)C2)CC1 ZINC001051985422 765350618 /nfs/dbraw/zinc/35/06/18/765350618.db2.gz YFENUAABNXQWBD-QGZVFWFLSA-N 1 2 305.466 1.664 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C3CC(C)C3)C2)CC1 ZINC001052008322 765377785 /nfs/dbraw/zinc/37/77/85/765377785.db2.gz FNHPXEIRUCYNEL-OFLPRAFFSA-N 1 2 305.466 1.827 20 30 DDEDLO CC[C@@H](F)C[NH+]1CCN([C@@H]2CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001052025622 765400838 /nfs/dbraw/zinc/40/08/38/765400838.db2.gz IQSWVKHUWKVSQU-IAGOWNOFSA-N 1 2 321.440 1.366 20 30 DDEDLO CC[C@@H](F)CN1CC[NH+]([C@@H]2CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001052025622 765400842 /nfs/dbraw/zinc/40/08/42/765400842.db2.gz IQSWVKHUWKVSQU-IAGOWNOFSA-N 1 2 321.440 1.366 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H](C)C3CCC3)C2)CC1 ZINC001052042431 765414472 /nfs/dbraw/zinc/41/44/72/765414472.db2.gz BVMODQRUQSISGD-SJLPKXTDSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H](C)C(C)(C)C)C2)CC1 ZINC001052042975 765415645 /nfs/dbraw/zinc/41/56/45/765415645.db2.gz LRNSELKCARTIDL-IAGOWNOFSA-N 1 2 319.493 1.910 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@]34C[C@H]3CCC4)C2)CC1 ZINC001052055936 765427967 /nfs/dbraw/zinc/42/79/67/765427967.db2.gz RGTSOAJOLRBUEM-ZIFCJYIRSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3cc(C)[nH]c3C)C2)CC1 ZINC001052087407 765458896 /nfs/dbraw/zinc/45/88/96/765458896.db2.gz VMLQLCUBJVFILH-INIZCTEOSA-N 1 2 314.433 1.097 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3csc(C)c3)C2)CC1 ZINC001052098369 765469257 /nfs/dbraw/zinc/46/92/57/765469257.db2.gz ITNDKUVIMJCXFA-MRXNPFEDSA-N 1 2 317.458 1.522 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)Cc3ccco3)C2)CC1 ZINC001052099483 765470535 /nfs/dbraw/zinc/47/05/35/765470535.db2.gz KJNUOYMGTCCMIT-MRXNPFEDSA-N 1 2 317.433 1.617 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C)nc(OC)c2)CC1 ZINC001113261041 765484586 /nfs/dbraw/zinc/48/45/86/765484586.db2.gz WUMFCLXZCVHXCP-UHFFFAOYSA-N 1 2 319.405 1.359 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[NH+](CCOCC(C)C)CC2)c1 ZINC001113331324 765572789 /nfs/dbraw/zinc/57/27/89/765572789.db2.gz SBDRAPQQGGEGOH-UHFFFAOYSA-N 1 2 315.417 1.493 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)C1CCN(c2ccncc2C#N)CC1 ZINC001058089467 766215081 /nfs/dbraw/zinc/21/50/81/766215081.db2.gz FWKDCLITWXFITJ-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOCC ZINC001114001171 766515481 /nfs/dbraw/zinc/51/54/81/766515481.db2.gz AQEGDTKKQIIROK-PJXYFTJBSA-N 1 2 317.227 1.368 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOCC ZINC001114001171 766515486 /nfs/dbraw/zinc/51/54/86/766515486.db2.gz AQEGDTKKQIIROK-PJXYFTJBSA-N 1 2 317.227 1.368 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCCC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001045781779 766581448 /nfs/dbraw/zinc/58/14/48/766581448.db2.gz GPURAKIXQIVXDC-HUUCEWRRSA-N 1 2 324.388 1.758 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccc(C#N)nc1 ZINC001067549715 766609898 /nfs/dbraw/zinc/60/98/98/766609898.db2.gz JYWNFSIKOCKUGV-CHWSQXEVSA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@]1(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)C=CCC1 ZINC001046050278 766857123 /nfs/dbraw/zinc/85/71/23/766857123.db2.gz JGMYLYGPEKRMAY-RDJZCZTQSA-N 1 2 302.422 1.085 20 30 DDEDLO C[C@@H]1CCN(c2ccncc2C#N)C[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067993884 766861608 /nfs/dbraw/zinc/86/16/08/766861608.db2.gz PRUOIBQXLFKPOR-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCn1c(N2CCCCC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001121609417 782589350 /nfs/dbraw/zinc/58/93/50/782589350.db2.gz ZQBOBXSSZBWGKF-BBRMVZONSA-N 1 2 305.426 1.366 20 30 DDEDLO C=CCn1c(N2CCCCC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121609417 782589354 /nfs/dbraw/zinc/58/93/54/782589354.db2.gz ZQBOBXSSZBWGKF-BBRMVZONSA-N 1 2 305.426 1.366 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)C2CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121608539 782589391 /nfs/dbraw/zinc/58/93/91/782589391.db2.gz UXNLYORBQIFQRT-SUYBPPKGSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)C2CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121608539 782589399 /nfs/dbraw/zinc/58/93/99/782589399.db2.gz UXNLYORBQIFQRT-SUYBPPKGSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)CC(C)C ZINC001121611530 782591619 /nfs/dbraw/zinc/59/16/19/782591619.db2.gz ZUANNJZNVHVVAC-ZIAGYGMSSA-N 1 2 307.442 1.684 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)CC(C)C ZINC001121611530 782591627 /nfs/dbraw/zinc/59/16/27/782591627.db2.gz ZUANNJZNVHVVAC-ZIAGYGMSSA-N 1 2 307.442 1.684 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cn3c(n2)COCC3)C1 ZINC001046413778 767531586 /nfs/dbraw/zinc/53/15/86/767531586.db2.gz BGYTUDDBQJNUBT-OAHLLOKOSA-N 1 2 324.812 1.360 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cn3c(n2)COCC3)C1 ZINC001046413778 767531590 /nfs/dbraw/zinc/53/15/90/767531590.db2.gz BGYTUDDBQJNUBT-OAHLLOKOSA-N 1 2 324.812 1.360 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cn3c(n2)COCC3)C1 ZINC001046413776 767531902 /nfs/dbraw/zinc/53/19/02/767531902.db2.gz BGYTUDDBQJNUBT-HNNXBMFYSA-N 1 2 324.812 1.360 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cn3c(n2)COCC3)C1 ZINC001046413776 767531909 /nfs/dbraw/zinc/53/19/09/767531909.db2.gz BGYTUDDBQJNUBT-HNNXBMFYSA-N 1 2 324.812 1.360 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001046428909 767552128 /nfs/dbraw/zinc/55/21/28/767552128.db2.gz OLWDHUNBIQRLCR-XJKSGUPXSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001046428909 767552132 /nfs/dbraw/zinc/55/21/32/767552132.db2.gz OLWDHUNBIQRLCR-XJKSGUPXSA-N 1 2 322.840 1.779 20 30 DDEDLO CCC[C@H](C)CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130930738 767809168 /nfs/dbraw/zinc/80/91/68/767809168.db2.gz DUIYZDHXBSQZRS-RRFJBIMHSA-N 1 2 317.437 1.654 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)C#CC(C)(C)C)C2)cc[nH+]1 ZINC001096178714 768394124 /nfs/dbraw/zinc/39/41/24/768394124.db2.gz IPYCFJRIXWUYHI-CQSZACIVSA-N 1 2 300.406 1.920 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2Cl)C1 ZINC001047389424 768442156 /nfs/dbraw/zinc/44/21/56/768442156.db2.gz YDHRRMKQJNFTPQ-QWRGUYRKSA-N 1 2 300.811 1.705 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2Cl)C1 ZINC001047389424 768442158 /nfs/dbraw/zinc/44/21/58/768442158.db2.gz YDHRRMKQJNFTPQ-QWRGUYRKSA-N 1 2 300.811 1.705 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3c(o2)CCCC3)C1 ZINC001047406938 768457240 /nfs/dbraw/zinc/45/72/40/768457240.db2.gz AQBKAELOQPJVID-GJZGRUSLSA-N 1 2 316.401 1.299 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3c(o2)CCCC3)C1 ZINC001047406938 768457245 /nfs/dbraw/zinc/45/72/45/768457245.db2.gz AQBKAELOQPJVID-GJZGRUSLSA-N 1 2 316.401 1.299 20 30 DDEDLO CC#CC[NH+]1CC2(C1)CN(C(=O)CCC)C[C@@H]2c1nnc(C)[nH]1 ZINC001070438966 768481952 /nfs/dbraw/zinc/48/19/52/768481952.db2.gz LIYFWTMLQTXVTA-CQSZACIVSA-N 1 2 315.421 1.164 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(Cl)ccc2OC)C1 ZINC001047617816 768615960 /nfs/dbraw/zinc/61/59/60/768615960.db2.gz AMDDVIGHLBHGEN-KBPBESRZSA-N 1 2 322.792 1.099 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(Cl)ccc2OC)C1 ZINC001047617816 768615963 /nfs/dbraw/zinc/61/59/63/768615963.db2.gz AMDDVIGHLBHGEN-KBPBESRZSA-N 1 2 322.792 1.099 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CCCC2CC2)C[N@@H+]1CC(=O)NCC#N ZINC001132244233 768712075 /nfs/dbraw/zinc/71/20/75/768712075.db2.gz HSYNQCRHUSWRQN-ZFWWWQNUSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CCCC2CC2)C[N@H+]1CC(=O)NCC#N ZINC001132244233 768712078 /nfs/dbraw/zinc/71/20/78/768712078.db2.gz HSYNQCRHUSWRQN-ZFWWWQNUSA-N 1 2 320.437 1.176 20 30 DDEDLO CCO[C@@H]1CN(C(=O)[C@H](C)C#N)C[C@H]1CNc1cc[nH+]c(C)n1 ZINC001047801863 768747980 /nfs/dbraw/zinc/74/79/80/768747980.db2.gz OKXWKRGDGUMSHO-MRVWCRGKSA-N 1 2 317.393 1.220 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2CC(C)(C)C2)CC1 ZINC001070962072 768813959 /nfs/dbraw/zinc/81/39/59/768813959.db2.gz HCMFJDXVZRHXNT-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2CC(C)(C)C2)CC1 ZINC001070962072 768813964 /nfs/dbraw/zinc/81/39/64/768813964.db2.gz HCMFJDXVZRHXNT-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2CC=CCC2)CC1 ZINC001070987177 768839042 /nfs/dbraw/zinc/83/90/42/768839042.db2.gz UOUYSQUTASKNKZ-MRXNPFEDSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2CC=CCC2)CC1 ZINC001070987177 768839054 /nfs/dbraw/zinc/83/90/54/768839054.db2.gz UOUYSQUTASKNKZ-MRXNPFEDSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2CC3(CC3)C2)CC1 ZINC001070998697 768861883 /nfs/dbraw/zinc/86/18/83/768861883.db2.gz OKFPEOGTCURGQJ-UHFFFAOYSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2CC3(CC3)C2)CC1 ZINC001070998697 768861894 /nfs/dbraw/zinc/86/18/94/768861894.db2.gz OKFPEOGTCURGQJ-UHFFFAOYSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(c2ncc(Cl)cn2)CC1 ZINC001096272073 768884231 /nfs/dbraw/zinc/88/42/31/768884231.db2.gz KFIOHSODJKHFIU-UHFFFAOYSA-N 1 2 323.828 1.334 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCOCCOC)CC[C@H]1C ZINC001132529480 768949534 /nfs/dbraw/zinc/94/95/34/768949534.db2.gz NIJTYQCTGPGAAE-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCOCCOC)CC[C@H]1C ZINC001132529480 768949537 /nfs/dbraw/zinc/94/95/37/768949537.db2.gz NIJTYQCTGPGAAE-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001132576443 768997702 /nfs/dbraw/zinc/99/77/02/768997702.db2.gz KLBCTVZQNGKRJO-VXGBXAGGSA-N 1 2 318.377 1.994 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(c2nccc(C)n2)CC1 ZINC001096288777 768998179 /nfs/dbraw/zinc/99/81/79/768998179.db2.gz YIPMURZYXLHYFJ-UHFFFAOYSA-N 1 2 317.437 1.380 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001132662389 769071498 /nfs/dbraw/zinc/07/14/98/769071498.db2.gz BARAZMWHXYIMBK-NSHDSACASA-N 1 2 324.450 1.910 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cn2cc(C)cn2)CC[C@@H]1C ZINC001071376100 769357155 /nfs/dbraw/zinc/35/71/55/769357155.db2.gz NPKFESGNYMOGRQ-KBPBESRZSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cn2cc(C)cn2)CC[C@@H]1C ZINC001071376100 769357162 /nfs/dbraw/zinc/35/71/62/769357162.db2.gz NPKFESGNYMOGRQ-KBPBESRZSA-N 1 2 310.829 1.913 20 30 DDEDLO C=CCCC1(C(=O)NCC[NH2+]Cc2nc(C(F)F)no2)CC1 ZINC001133376143 769756662 /nfs/dbraw/zinc/75/66/62/769756662.db2.gz ZFQPVEHKPKRSJL-UHFFFAOYSA-N 1 2 314.336 1.959 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@@H]1C ZINC001071776321 770048620 /nfs/dbraw/zinc/04/86/20/770048620.db2.gz QHJLJMKHPPVEBC-QWRGUYRKSA-N 1 2 310.785 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@@H]1C ZINC001071776321 770048629 /nfs/dbraw/zinc/04/86/29/770048629.db2.gz QHJLJMKHPPVEBC-QWRGUYRKSA-N 1 2 310.785 1.105 20 30 DDEDLO C[C@@H](CC(=O)N[C@@H]1CCN(c2ccc(C#N)nc2)C1)n1cc[nH+]c1 ZINC001096488838 770450325 /nfs/dbraw/zinc/45/03/25/770450325.db2.gz UMVDYVAWRVKUPP-DZGCQCFKSA-N 1 2 324.388 1.496 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[NH2+]Cc1ncc(C(C)C)o1 ZINC001134054445 770588259 /nfs/dbraw/zinc/58/82/59/770588259.db2.gz JRRIMXXUHWLLML-OLZOCXBDSA-N 1 2 307.394 1.595 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001072317950 770755416 /nfs/dbraw/zinc/75/54/16/770755416.db2.gz WXCFABKVOWSBCH-HIFRSBDPSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001072317950 770755426 /nfs/dbraw/zinc/75/54/26/770755426.db2.gz WXCFABKVOWSBCH-HIFRSBDPSA-N 1 2 316.405 1.109 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1nccs1 ZINC001049381734 770760689 /nfs/dbraw/zinc/76/06/89/770760689.db2.gz LTHBFHFSSYHXKS-AGIUHOORSA-N 1 2 304.419 1.868 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1nccs1 ZINC001049381734 770760695 /nfs/dbraw/zinc/76/06/95/770760695.db2.gz LTHBFHFSSYHXKS-AGIUHOORSA-N 1 2 304.419 1.868 20 30 DDEDLO CCc1cnc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049382467 770761943 /nfs/dbraw/zinc/76/19/43/770761943.db2.gz OJNLNHKTNNFALZ-NWANDNLSSA-N 1 2 316.405 1.962 20 30 DDEDLO CCc1cnc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049382467 770761950 /nfs/dbraw/zinc/76/19/50/770761950.db2.gz OJNLNHKTNNFALZ-NWANDNLSSA-N 1 2 316.405 1.962 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1cnn(C)n1 ZINC001049637013 771040198 /nfs/dbraw/zinc/04/01/98/771040198.db2.gz VZHCLUPXFJHDAA-GJZGRUSLSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1cnn(C)n1 ZINC001049637013 771040202 /nfs/dbraw/zinc/04/02/02/771040202.db2.gz VZHCLUPXFJHDAA-GJZGRUSLSA-N 1 2 317.437 1.593 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc[n+]([O-])cc1)C2 ZINC001096762390 771425840 /nfs/dbraw/zinc/42/58/40/771425840.db2.gz LZTJJYJNKOFMAV-MCIONIFRSA-N 1 2 307.781 1.408 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc[n+]([O-])cc1)C2 ZINC001096762390 771425844 /nfs/dbraw/zinc/42/58/44/771425844.db2.gz LZTJJYJNKOFMAV-MCIONIFRSA-N 1 2 307.781 1.408 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001096962747 771532044 /nfs/dbraw/zinc/53/20/44/771532044.db2.gz UTUTZVFPRVTYFD-ZDUSSCGKSA-N 1 2 318.425 1.615 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001090673445 772099951 /nfs/dbraw/zinc/09/99/51/772099951.db2.gz MNOIQENQAXRZHZ-VNHYZAJKSA-N 1 2 318.421 1.707 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc3c([nH]2)CCC3)[C@@H](O)C1 ZINC001090720162 772139277 /nfs/dbraw/zinc/13/92/77/772139277.db2.gz VIEGLOWOGDCKDT-HIFRSBDPSA-N 1 2 323.824 1.421 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc3c([nH]2)CCC3)[C@@H](O)C1 ZINC001090720162 772139280 /nfs/dbraw/zinc/13/92/80/772139280.db2.gz VIEGLOWOGDCKDT-HIFRSBDPSA-N 1 2 323.824 1.421 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](CCc1cn(C)c[nH+]1)C(=O)OC ZINC001144077579 772394778 /nfs/dbraw/zinc/39/47/78/772394778.db2.gz CRZKFOLVMREPAC-ZDUSSCGKSA-N 1 2 307.394 1.613 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC(NC(=O)C(F)(F)F)CC2)nc1 ZINC001144163148 772430957 /nfs/dbraw/zinc/43/09/57/772430957.db2.gz PMOVNOYSEYRJFS-UHFFFAOYSA-N 1 2 312.295 1.596 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1cc(C)on1)C2 ZINC001147260858 773094680 /nfs/dbraw/zinc/09/46/80/773094680.db2.gz INFVWSBUVIEHAE-UHFFFAOYSA-N 1 2 305.378 1.220 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+](Cc1cc(C)on1)C2 ZINC001147260858 773094684 /nfs/dbraw/zinc/09/46/84/773094684.db2.gz INFVWSBUVIEHAE-UHFFFAOYSA-N 1 2 305.378 1.220 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)o1)C2 ZINC001147428260 773130954 /nfs/dbraw/zinc/13/09/54/773130954.db2.gz NLDYBGFURAOOME-UHFFFAOYSA-N 1 2 302.378 1.216 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)o1)C2 ZINC001147428260 773130956 /nfs/dbraw/zinc/13/09/56/773130956.db2.gz NLDYBGFURAOOME-UHFFFAOYSA-N 1 2 302.378 1.216 20 30 DDEDLO CCc1cnc(C[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001147499578 773153959 /nfs/dbraw/zinc/15/39/59/773153959.db2.gz XEMZWTFJRZCBKZ-UHFFFAOYSA-N 1 2 315.417 1.931 20 30 DDEDLO CCc1cnc(C[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001147499578 773153961 /nfs/dbraw/zinc/15/39/61/773153961.db2.gz XEMZWTFJRZCBKZ-UHFFFAOYSA-N 1 2 315.417 1.931 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001148130639 773373469 /nfs/dbraw/zinc/37/34/69/773373469.db2.gz NJUBEPOLBYUAIG-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001148130639 773373474 /nfs/dbraw/zinc/37/34/74/773373474.db2.gz NJUBEPOLBYUAIG-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2ncc(C(C)C)o2)cn1 ZINC001148389689 773450630 /nfs/dbraw/zinc/45/06/30/773450630.db2.gz RAHKROOULXXRCR-UHFFFAOYSA-N 1 2 312.373 1.694 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001073915865 773521932 /nfs/dbraw/zinc/52/19/32/773521932.db2.gz GUBNMDLGVGZJNO-HUUCEWRRSA-N 1 2 316.405 1.040 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001074035390 773598241 /nfs/dbraw/zinc/59/82/41/773598241.db2.gz CJYWMSJFTZDWHO-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3C)C[C@@H]21 ZINC001074155014 773679466 /nfs/dbraw/zinc/67/94/66/773679466.db2.gz NCQBWWWCPUMZIB-ROUUACIJSA-N 1 2 312.413 1.934 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3C)C[C@@H]21 ZINC001074155014 773679467 /nfs/dbraw/zinc/67/94/67/773679467.db2.gz NCQBWWWCPUMZIB-ROUUACIJSA-N 1 2 312.413 1.934 20 30 DDEDLO C[C@H]1CC2(CN1C(=O)CCc1[nH]cc[nH+]1)CCN(CC#N)CC2 ZINC001086920180 773720789 /nfs/dbraw/zinc/72/07/89/773720789.db2.gz ILWUPPJWRMJLPE-AWEZNQCLSA-N 1 2 315.421 1.569 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CC=CC3)C[C@H]21 ZINC001074331770 773828725 /nfs/dbraw/zinc/82/87/25/773828725.db2.gz MMCXPQUKDQAWFJ-HZPDHXFCSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CC=CC3)C[C@H]21 ZINC001074331770 773828733 /nfs/dbraw/zinc/82/87/33/773828733.db2.gz MMCXPQUKDQAWFJ-HZPDHXFCSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)cc3)C[C@@H]21 ZINC001074392106 773885737 /nfs/dbraw/zinc/88/57/37/773885737.db2.gz DOZXAFVQJBJYCG-ZWKOTPCHSA-N 1 2 312.413 1.934 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)cc3)C[C@@H]21 ZINC001074392106 773885741 /nfs/dbraw/zinc/88/57/41/773885741.db2.gz DOZXAFVQJBJYCG-ZWKOTPCHSA-N 1 2 312.413 1.934 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)no1)c1nccn12 ZINC001092343676 774093199 /nfs/dbraw/zinc/09/31/99/774093199.db2.gz JZXNISBHIVQDCN-LBPRGKRZSA-N 1 2 313.361 1.251 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074882628 774213660 /nfs/dbraw/zinc/21/36/60/774213660.db2.gz YTWLSEROOLQXFA-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001075088437 774342165 /nfs/dbraw/zinc/34/21/65/774342165.db2.gz JDQHLAIGFKDLQN-GXTWGEPZSA-N 1 2 318.421 1.723 20 30 DDEDLO C[C@H](CNC(=O)CCc1[nH+]ccn1C)Nc1ccc(C#N)nc1 ZINC001098441590 774582818 /nfs/dbraw/zinc/58/28/18/774582818.db2.gz CNJZKXWRNHNPGW-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3CCCCC3)C2)nn1 ZINC001098601274 774619580 /nfs/dbraw/zinc/61/95/80/774619580.db2.gz NZKUCUHWEOQLHV-INIZCTEOSA-N 1 2 315.421 1.355 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C(CC)CC)C2)nn1 ZINC001098618724 774623775 /nfs/dbraw/zinc/62/37/75/774623775.db2.gz JGNRVIRCJXPCQJ-INIZCTEOSA-N 1 2 317.437 1.601 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H](C)C3CC3)C2)nn1 ZINC001098649064 774631108 /nfs/dbraw/zinc/63/11/08/774631108.db2.gz KIAZPRCPAPFGPN-BBRMVZONSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CN(C(=O)C3=NC(=O)N(C)C3)CC[C@H]21 ZINC001036809457 774694006 /nfs/dbraw/zinc/69/40/06/774694006.db2.gz LJGMAZQDOLUPEH-WCQYABFASA-N 1 2 324.812 1.415 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CN(C(=O)C3=NC(=O)N(C)C3)CC[C@H]21 ZINC001036809457 774694008 /nfs/dbraw/zinc/69/40/08/774694008.db2.gz LJGMAZQDOLUPEH-WCQYABFASA-N 1 2 324.812 1.415 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cccnc3)CC2)C1 ZINC001093498697 774722829 /nfs/dbraw/zinc/72/28/29/774722829.db2.gz BDIFRWUFQDVZPL-UHFFFAOYSA-N 1 2 301.390 1.573 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3cnn[nH]3)CC[C@H]21 ZINC001036824406 774740941 /nfs/dbraw/zinc/74/09/41/774740941.db2.gz WUTNOUMFZAQFAA-TZMCWYRMSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3cnn[nH]3)CC[C@H]21 ZINC001036824406 774740944 /nfs/dbraw/zinc/74/09/44/774740944.db2.gz WUTNOUMFZAQFAA-TZMCWYRMSA-N 1 2 323.828 1.413 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cc(C)n(C)n3)CC2)C1 ZINC001093513106 774762161 /nfs/dbraw/zinc/76/21/61/774762161.db2.gz GKYRDCADUQSYSP-UHFFFAOYSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCO[C@H]3C)CC2)C1 ZINC001093588539 774870206 /nfs/dbraw/zinc/87/02/06/774870206.db2.gz PXVOMQKONZYPJT-WMLDXEAASA-N 1 2 322.449 1.679 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)NCCNc1ccc(C#N)nc1)C2 ZINC001093958072 775269397 /nfs/dbraw/zinc/26/93/97/775269397.db2.gz CJDFRYLMYBQXMP-GFCCVEGCSA-N 1 2 324.388 1.318 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)NCCNc1ccc(C#N)nc1)CC2 ZINC001093958072 775269407 /nfs/dbraw/zinc/26/94/07/775269407.db2.gz CJDFRYLMYBQXMP-GFCCVEGCSA-N 1 2 324.388 1.318 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C[C@H]1O ZINC001099849330 775352709 /nfs/dbraw/zinc/35/27/09/775352709.db2.gz CSBGMIHYQKJQAX-HUUCEWRRSA-N 1 2 320.437 1.074 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@H+](Cc2ccn(C)n2)C[C@H]1O ZINC001099849330 775352721 /nfs/dbraw/zinc/35/27/21/775352721.db2.gz CSBGMIHYQKJQAX-HUUCEWRRSA-N 1 2 320.437 1.074 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(COC)CCC2)[C@H](O)C1 ZINC001099925772 775427980 /nfs/dbraw/zinc/42/79/80/775427980.db2.gz KJIOIZZWCIZGNX-QWHCGFSZSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(COC)CCC2)[C@H](O)C1 ZINC001099925772 775427989 /nfs/dbraw/zinc/42/79/89/775427989.db2.gz KJIOIZZWCIZGNX-QWHCGFSZSA-N 1 2 316.829 1.107 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099945151 775456458 /nfs/dbraw/zinc/45/64/58/775456458.db2.gz VPIGCMLWJFJCNN-LSDHHAIUSA-N 1 2 321.396 1.484 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099945151 775456466 /nfs/dbraw/zinc/45/64/66/775456466.db2.gz VPIGCMLWJFJCNN-LSDHHAIUSA-N 1 2 321.396 1.484 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099976617 775508349 /nfs/dbraw/zinc/50/83/49/775508349.db2.gz PEVOUZQATAPUGO-CABCVRRESA-N 1 2 321.421 1.699 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099976617 775508356 /nfs/dbraw/zinc/50/83/56/775508356.db2.gz PEVOUZQATAPUGO-CABCVRRESA-N 1 2 321.421 1.699 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)c3ccsc3)nn2)C1 ZINC001094273310 775620514 /nfs/dbraw/zinc/62/05/14/775620514.db2.gz NAAJQTABOSBOEO-UHFFFAOYSA-N 1 2 315.402 1.150 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3csnc3C)nn2)C1 ZINC001094285444 775685058 /nfs/dbraw/zinc/68/50/58/775685058.db2.gz IIHPUYAUVZSWLS-UHFFFAOYSA-N 1 2 318.406 1.016 20 30 DDEDLO CN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)c1ncccc1C#N ZINC001100334398 776012536 /nfs/dbraw/zinc/01/25/36/776012536.db2.gz XPMFURGPUKYHDI-ZIAGYGMSSA-N 1 2 324.388 1.043 20 30 DDEDLO N#Cc1c(F)cccc1NCCCNC(=O)CCc1c[nH]c[nH+]1 ZINC001094856248 776523710 /nfs/dbraw/zinc/52/37/10/776523710.db2.gz UDKXVGVTZMEMNL-UHFFFAOYSA-N 1 2 315.352 1.971 20 30 DDEDLO N#Cc1c(F)cccc1NCCCNC(=O)CCc1c[nH+]c[nH]1 ZINC001094856248 776523716 /nfs/dbraw/zinc/52/37/16/776523716.db2.gz UDKXVGVTZMEMNL-UHFFFAOYSA-N 1 2 315.352 1.971 20 30 DDEDLO COC(=O)[C@@H](Cc1ccc(C#N)cc1)[NH2+]C1C[C@@H]2C=C[C@H](C1)O2 ZINC001172241223 776728632 /nfs/dbraw/zinc/72/86/32/776728632.db2.gz ZUESBPYMOVZDKC-NPYXIHBLSA-N 1 2 312.369 1.718 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)[NH2+]Cc1noc(C(=O)N(C)C)n1 ZINC001172295568 776760405 /nfs/dbraw/zinc/76/04/05/776760405.db2.gz SZOQBASRKDGURO-NSHDSACASA-N 1 2 313.361 1.364 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100989588 776799051 /nfs/dbraw/zinc/79/90/51/776799051.db2.gz CQHAWDCWIKDEDS-GXTWGEPZSA-N 1 2 304.394 1.319 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@@]2(C)C1 ZINC001101167444 776959318 /nfs/dbraw/zinc/95/93/18/776959318.db2.gz FACZMEHPVGANRZ-QEORTHHSSA-N 1 2 317.437 1.921 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@@]2(C)C1 ZINC001101167444 776959320 /nfs/dbraw/zinc/95/93/20/776959320.db2.gz FACZMEHPVGANRZ-QEORTHHSSA-N 1 2 317.437 1.921 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)NC1CCC(CC#N)CC1 ZINC001173333330 777115262 /nfs/dbraw/zinc/11/52/62/777115262.db2.gz HAMRHHNJNYVUJY-SSDMNJCBSA-N 1 2 304.394 1.566 20 30 DDEDLO COC(=O)[C@@H](Cc1cncn1C)[NH2+]C1CCC(CC#N)CC1 ZINC001173333330 777115268 /nfs/dbraw/zinc/11/52/68/777115268.db2.gz HAMRHHNJNYVUJY-SSDMNJCBSA-N 1 2 304.394 1.566 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095116641 777125379 /nfs/dbraw/zinc/12/53/79/777125379.db2.gz XRHYJDIZFGTGEU-CJNGLKHVSA-N 1 2 304.394 1.149 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H](C)SC)c1nccn12 ZINC001101623906 777317477 /nfs/dbraw/zinc/31/74/77/777317477.db2.gz LJHVMDUIHLJXHK-CHWSQXEVSA-N 1 2 320.462 1.783 20 30 DDEDLO C=C[C@@H]1C[C@]1([NH2+][C@@H]1CCC[C@H](n2ncnn2)C1)C(=O)OCC ZINC001173743757 777335159 /nfs/dbraw/zinc/33/51/59/777335159.db2.gz DQOCAQSCERNCMD-GUIRCDHDSA-N 1 2 305.382 1.254 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@H+]([C@@H](C)c2csnn2)C[C@H]1C ZINC001101818074 777561018 /nfs/dbraw/zinc/56/10/18/777561018.db2.gz BYKILGDGGCSFSA-FRRDWIJNSA-N 1 2 324.450 1.486 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@@H+]([C@@H](C)c2csnn2)C[C@H]1C ZINC001101818074 777561027 /nfs/dbraw/zinc/56/10/27/777561027.db2.gz BYKILGDGGCSFSA-FRRDWIJNSA-N 1 2 324.450 1.486 20 30 DDEDLO CN(CCNc1cccc(F)c1C#N)C(=O)CCn1cc[nH+]c1 ZINC001101889275 777647875 /nfs/dbraw/zinc/64/78/75/777647875.db2.gz UANGBEVZODKERS-UHFFFAOYSA-N 1 2 315.352 1.854 20 30 DDEDLO CCOC(=O)[C@@H](C[C@H]1CCCO1)[NH2+]CCCSCC#N ZINC001176030147 778005596 /nfs/dbraw/zinc/00/55/96/778005596.db2.gz YYVYXRSAGJYDTO-CHWSQXEVSA-N 1 2 300.424 1.724 20 30 DDEDLO N#Cc1ccn(-c2ccc(C[NH+]3CCOCC3)cc2F)c1N ZINC001176488710 778164309 /nfs/dbraw/zinc/16/43/09/778164309.db2.gz HTBZPKIWCQXOPI-UHFFFAOYSA-N 1 2 300.337 1.902 20 30 DDEDLO N#Cc1cnnc(-c2ccccc2)c1NC(=O)Cc1c[nH]c[nH+]1 ZINC001176827015 778260062 /nfs/dbraw/zinc/26/00/62/778260062.db2.gz BVDZGNAWMDOECA-UHFFFAOYSA-N 1 2 304.313 1.920 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C\C)C[C@@H]21 ZINC001176976748 778362338 /nfs/dbraw/zinc/36/23/38/778362338.db2.gz LJJIEEZUEGBUCO-IXYKTBMVSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C\C)C[C@@H]21 ZINC001176976748 778362342 /nfs/dbraw/zinc/36/23/42/778362342.db2.gz LJJIEEZUEGBUCO-IXYKTBMVSA-N 1 2 308.422 1.457 20 30 DDEDLO CN(C(=O)CCn1cc[nH+]c1)[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001102749008 778366201 /nfs/dbraw/zinc/36/62/01/778366201.db2.gz SXZMBIFBYDFLEN-INIZCTEOSA-N 1 2 324.388 1.277 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC(F)(F)F)C[C@@H]21 ZINC001176989819 778375349 /nfs/dbraw/zinc/37/53/49/778375349.db2.gz XVXBEUUBHADTQO-QWHCGFSZSA-N 1 2 318.339 1.654 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC(F)(F)F)C[C@@H]21 ZINC001176989819 778375353 /nfs/dbraw/zinc/37/53/53/778375353.db2.gz XVXBEUUBHADTQO-QWHCGFSZSA-N 1 2 318.339 1.654 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]2OCC[N@@H+](CCOC(C)C)[C@H]2C1 ZINC001177019462 778395202 /nfs/dbraw/zinc/39/52/02/778395202.db2.gz MPWORRFWKSFBLU-IRXDYDNUSA-N 1 2 322.449 1.373 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]2OCC[N@H+](CCOC(C)C)[C@H]2C1 ZINC001177019462 778395208 /nfs/dbraw/zinc/39/52/08/778395208.db2.gz MPWORRFWKSFBLU-IRXDYDNUSA-N 1 2 322.449 1.373 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CCOC)[C@H]3C2)CCC1 ZINC001177030367 778400308 /nfs/dbraw/zinc/40/03/08/778400308.db2.gz NBCVQSULMKBJIJ-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]3OCC[N@H+](CCOC)[C@H]3C2)CCC1 ZINC001177030367 778400311 /nfs/dbraw/zinc/40/03/11/778400311.db2.gz NBCVQSULMKBJIJ-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CCCO)[C@@H]3C2)CC1 ZINC001177102471 778447932 /nfs/dbraw/zinc/44/79/32/778447932.db2.gz WCNHVRQNDPXSQP-HZPDHXFCSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@H]3OCC[N@H+](CCCO)[C@@H]3C2)CC1 ZINC001177102471 778447933 /nfs/dbraw/zinc/44/79/33/778447933.db2.gz WCNHVRQNDPXSQP-HZPDHXFCSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CCCOCC(=O)NCCC[NH2+]Cc1noc(CCCC)n1 ZINC001177264630 778522428 /nfs/dbraw/zinc/52/24/28/778522428.db2.gz RBQQTEOWLPAHTI-UHFFFAOYSA-N 1 2 324.425 1.601 20 30 DDEDLO N#Cc1cnccc1NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCCC1 ZINC001103439886 778868389 /nfs/dbraw/zinc/86/83/89/778868389.db2.gz AEPYEOLAIDRICB-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO C[C@@](CNc1ccc(C#N)cn1)(NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001103576772 778952081 /nfs/dbraw/zinc/95/20/81/778952081.db2.gz KYVGLCMDPVYIKF-KRWDZBQOSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@](CNc1ccncc1C#N)(NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001103575344 778952462 /nfs/dbraw/zinc/95/24/62/778952462.db2.gz RARAOYNILMCDAV-QGZVFWFLSA-N 1 2 324.388 1.038 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc([C@H](C)OC)no2)[C@@H]1C ZINC001178320435 779002072 /nfs/dbraw/zinc/00/20/72/779002072.db2.gz UQMJKHSWNFTNPC-AVGNSLFASA-N 1 2 322.409 1.822 20 30 DDEDLO N#CCNCCCCCCNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001178865662 779204105 /nfs/dbraw/zinc/20/41/05/779204105.db2.gz QPGSPPWWLDNIBI-AWEZNQCLSA-N 1 2 303.410 1.235 20 30 DDEDLO N#C[C@@H]1CCCCN1C(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001178992746 779253785 /nfs/dbraw/zinc/25/37/85/779253785.db2.gz VDAUKPWLUIUMPW-DLBZAZTESA-N 1 2 313.401 1.792 20 30 DDEDLO N#C[C@@H]1CCCCN1C(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001178992746 779253793 /nfs/dbraw/zinc/25/37/93/779253793.db2.gz VDAUKPWLUIUMPW-DLBZAZTESA-N 1 2 313.401 1.792 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nc(C)no1)C2 ZINC001111648985 779416630 /nfs/dbraw/zinc/41/66/30/779416630.db2.gz XUSDYAPNPIGDMJ-BBRMVZONSA-N 1 2 304.394 1.815 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nc(C)no1)C2 ZINC001111648985 779416632 /nfs/dbraw/zinc/41/66/32/779416632.db2.gz XUSDYAPNPIGDMJ-BBRMVZONSA-N 1 2 304.394 1.815 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)s1 ZINC001111666758 779427338 /nfs/dbraw/zinc/42/73/38/779427338.db2.gz KZDRVGCHWNJPHV-GMXABZIVSA-N 1 2 319.434 1.477 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)s1 ZINC001111666758 779427340 /nfs/dbraw/zinc/42/73/40/779427340.db2.gz KZDRVGCHWNJPHV-GMXABZIVSA-N 1 2 319.434 1.477 20 30 DDEDLO C=CCCC(=O)NC1(CNc2cc[nH+]c(C)n2)CCOCC1 ZINC001112246388 779671769 /nfs/dbraw/zinc/67/17/69/779671769.db2.gz QJZGSSMMDACPPT-UHFFFAOYSA-N 1 2 304.394 1.829 20 30 DDEDLO C=CCCC(=O)N1C[C@H](Nc2[nH+]cnc3c2cnn3C)[C@@H](C)C1 ZINC001112302180 779702905 /nfs/dbraw/zinc/70/29/05/779702905.db2.gz JFXKKGVJYDFETF-AAEUAGOBSA-N 1 2 314.393 1.588 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1C ZINC001112421346 779772590 /nfs/dbraw/zinc/77/25/90/779772590.db2.gz INSYSMQUMQUEHG-ZFWWWQNUSA-N 1 2 316.405 1.156 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001115330662 780042830 /nfs/dbraw/zinc/04/28/30/780042830.db2.gz QSFCBPLVPYAGPX-TTZDDIAXSA-N 1 2 316.405 1.177 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001115330662 780042840 /nfs/dbraw/zinc/04/28/40/780042840.db2.gz QSFCBPLVPYAGPX-TTZDDIAXSA-N 1 2 316.405 1.177 20 30 DDEDLO C=CCO[C@H]1CCN(c2cc(N3CCC(C)(O)CC3)[nH+]cn2)C1 ZINC001118530201 781150547 /nfs/dbraw/zinc/15/05/47/781150547.db2.gz MWJMKSUPJROKJC-AWEZNQCLSA-N 1 2 318.421 1.609 20 30 DDEDLO C=CCO[C@H]1CCN(c2cc(N3CCC(C)(O)CC3)nc[nH+]2)C1 ZINC001118530201 781150550 /nfs/dbraw/zinc/15/05/50/781150550.db2.gz MWJMKSUPJROKJC-AWEZNQCLSA-N 1 2 318.421 1.609 20 30 DDEDLO N#CCNC1(CNC(=O)CCCn2cc[nH+]c2)CCCCC1 ZINC001120240632 781829943 /nfs/dbraw/zinc/82/99/43/781829943.db2.gz XGNBVMSUTIFOCL-UHFFFAOYSA-N 1 2 303.410 1.596 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)c1ccc(Cl)c(C)c1 ZINC001267215385 837547818 /nfs/dbraw/zinc/54/78/18/837547818.db2.gz LMICWUOPMRJRDC-UHFFFAOYSA-N 1 2 321.808 1.059 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)c1ccc(Cl)c(C)c1 ZINC001267215385 837547827 /nfs/dbraw/zinc/54/78/27/837547827.db2.gz LMICWUOPMRJRDC-UHFFFAOYSA-N 1 2 321.808 1.059 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)c1cccc(C(F)F)c1 ZINC001267226211 837562382 /nfs/dbraw/zinc/56/23/82/837562382.db2.gz DIBJWUOJODJVJP-UHFFFAOYSA-N 1 2 323.343 1.035 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)c1cccc(C(F)F)c1 ZINC001267226211 837562393 /nfs/dbraw/zinc/56/23/93/837562393.db2.gz DIBJWUOJODJVJP-UHFFFAOYSA-N 1 2 323.343 1.035 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@@H+](C)Cc2ccns2)nc1 ZINC001267226602 837564043 /nfs/dbraw/zinc/56/40/43/837564043.db2.gz LEBTWVONQLXTJN-UHFFFAOYSA-N 1 2 300.387 1.381 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@H+](C)Cc2ccns2)nc1 ZINC001267226602 837564049 /nfs/dbraw/zinc/56/40/49/837564049.db2.gz LEBTWVONQLXTJN-UHFFFAOYSA-N 1 2 300.387 1.381 20 30 DDEDLO Cc1cc(NC(=O)[C@@H](C)[N@@H+](C)CCNC(=O)C#CC(C)C)on1 ZINC001266272761 836023479 /nfs/dbraw/zinc/02/34/79/836023479.db2.gz BDKFSGVZPOBQPD-CYBMUJFWSA-N 1 2 320.393 1.017 20 30 DDEDLO Cc1cc(NC(=O)[C@@H](C)[N@H+](C)CCNC(=O)C#CC(C)C)on1 ZINC001266272761 836023490 /nfs/dbraw/zinc/02/34/90/836023490.db2.gz BDKFSGVZPOBQPD-CYBMUJFWSA-N 1 2 320.393 1.017 20 30 DDEDLO CC(C)(C)CCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001266321215 836116372 /nfs/dbraw/zinc/11/63/72/836116372.db2.gz MIQUGCREHVNLOO-CQSZACIVSA-N 1 2 322.453 1.423 20 30 DDEDLO CC(C)(C)CCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001266321215 836116376 /nfs/dbraw/zinc/11/63/76/836116376.db2.gz MIQUGCREHVNLOO-CQSZACIVSA-N 1 2 322.453 1.423 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001266335767 836134542 /nfs/dbraw/zinc/13/45/42/836134542.db2.gz FBQQVUBMDDGLMI-KBPBESRZSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001266335767 836134548 /nfs/dbraw/zinc/13/45/48/836134548.db2.gz FBQQVUBMDDGLMI-KBPBESRZSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NC(C)C)C2)CCC1 ZINC001266339873 836142290 /nfs/dbraw/zinc/14/22/90/836142290.db2.gz ZRHUWLZQSOTXBG-CQSZACIVSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@H+](CC(=O)NC(C)C)C2)CCC1 ZINC001266339873 836142295 /nfs/dbraw/zinc/14/22/95/836142295.db2.gz ZRHUWLZQSOTXBG-CQSZACIVSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@H+]1Cc1ncc(C)s1 ZINC001266453775 836279020 /nfs/dbraw/zinc/27/90/20/836279020.db2.gz LCIJMLXKNZHBOB-CYBMUJFWSA-N 1 2 309.435 1.735 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@@H+]1Cc1ncc(C)s1 ZINC001266453775 836279028 /nfs/dbraw/zinc/27/90/28/836279028.db2.gz LCIJMLXKNZHBOB-CYBMUJFWSA-N 1 2 309.435 1.735 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCC[N@H+]1[C@H](C)c1nncn1C ZINC001266465124 836299677 /nfs/dbraw/zinc/29/96/77/836299677.db2.gz OVOJAGIYKUTQCZ-ZIAGYGMSSA-N 1 2 305.426 1.813 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCC[N@@H+]1[C@H](C)c1nncn1C ZINC001266465124 836299690 /nfs/dbraw/zinc/29/96/90/836299690.db2.gz OVOJAGIYKUTQCZ-ZIAGYGMSSA-N 1 2 305.426 1.813 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC[C@@H](F)C1 ZINC001266494412 836346054 /nfs/dbraw/zinc/34/60/54/836346054.db2.gz QQTMYHHYEINUDG-XUWVNRHRSA-N 1 2 308.397 1.450 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC[C@@H](F)C1 ZINC001266494412 836346063 /nfs/dbraw/zinc/34/60/63/836346063.db2.gz QQTMYHHYEINUDG-XUWVNRHRSA-N 1 2 308.397 1.450 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2csnn2)C1 ZINC001266543640 836443410 /nfs/dbraw/zinc/44/34/10/836443410.db2.gz RFCGKHKFDAQXQE-STQMWFEESA-N 1 2 306.435 1.983 20 30 DDEDLO C#CCCCC(=O)N(C)CC[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001267366436 837924272 /nfs/dbraw/zinc/92/42/72/837924272.db2.gz GRRQWSYHGZXSHC-UHFFFAOYSA-N 1 2 306.410 1.719 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CC[C@@H](N(CCC)C(=O)[C@@H](C)C#N)C1 ZINC001267453495 838076614 /nfs/dbraw/zinc/07/66/14/838076614.db2.gz CZLDUVYBEBLQGA-LSDHHAIUSA-N 1 2 322.453 1.375 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CC[C@@H](N(CCC)C(=O)[C@@H](C)C#N)C1 ZINC001267453495 838076624 /nfs/dbraw/zinc/07/66/24/838076624.db2.gz CZLDUVYBEBLQGA-LSDHHAIUSA-N 1 2 322.453 1.375 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[C@H]1CC[N@H+](Cc2csnn2)C1 ZINC001267524035 838245799 /nfs/dbraw/zinc/24/57/99/838245799.db2.gz NSYNCIVCKXDCCU-WFASDCNBSA-N 1 2 324.450 1.193 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[C@H]1CC[N@@H+](Cc2csnn2)C1 ZINC001267524035 838245807 /nfs/dbraw/zinc/24/58/07/838245807.db2.gz NSYNCIVCKXDCCU-WFASDCNBSA-N 1 2 324.450 1.193 20 30 DDEDLO CC[C@H](CC(=O)N(C)CC[NH+]1CCN(CC#N)CC1)C(C)C ZINC001267617333 838494512 /nfs/dbraw/zinc/49/45/12/838494512.db2.gz BWPAQGSHRUNNKH-MRXNPFEDSA-N 1 2 308.470 1.658 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2ccncc2F)C1 ZINC001267623567 838515960 /nfs/dbraw/zinc/51/59/60/838515960.db2.gz ROEBSRRIPPKGSN-ZDUSSCGKSA-N 1 2 305.353 1.065 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2ccncc2F)C1 ZINC001267623567 838515967 /nfs/dbraw/zinc/51/59/67/838515967.db2.gz ROEBSRRIPPKGSN-ZDUSSCGKSA-N 1 2 305.353 1.065 20 30 DDEDLO COc1ncccc1C[N@@H+]1CCC[C@H](NC(=O)C#CC2CC2)C1 ZINC001267625878 838521711 /nfs/dbraw/zinc/52/17/11/838521711.db2.gz FUZGYGUHVJCBFM-INIZCTEOSA-N 1 2 313.401 1.584 20 30 DDEDLO COc1ncccc1C[N@H+]1CCC[C@H](NC(=O)C#CC2CC2)C1 ZINC001267625878 838521719 /nfs/dbraw/zinc/52/17/19/838521719.db2.gz FUZGYGUHVJCBFM-INIZCTEOSA-N 1 2 313.401 1.584 20 30 DDEDLO C=C(C)CCC(=O)NC1C[NH+](C[C@H](O)Cc2ccc(F)cc2)C1 ZINC001267648084 838572247 /nfs/dbraw/zinc/57/22/47/838572247.db2.gz ORAZRGHWEJVPGD-QGZVFWFLSA-N 1 2 320.408 1.886 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)Cn2ncc3ccccc32)C1 ZINC001267662552 838604306 /nfs/dbraw/zinc/60/43/06/838604306.db2.gz XJPRCZLPQRLYSQ-UHFFFAOYSA-N 1 2 314.389 1.039 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001267761849 838893601 /nfs/dbraw/zinc/89/36/01/838893601.db2.gz LKGITUXDCIKULA-UONOGXRCSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001267761849 838893613 /nfs/dbraw/zinc/89/36/13/838893613.db2.gz LKGITUXDCIKULA-UONOGXRCSA-N 1 2 305.378 1.054 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H](Cc2ccccc2)OC)C1 ZINC001268029773 839446372 /nfs/dbraw/zinc/44/63/72/839446372.db2.gz ZEUCFJXGMSBMTQ-SJORKVTESA-N 1 2 318.417 1.247 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H](Cc2ccccc2)OC)C1 ZINC001268029773 839446379 /nfs/dbraw/zinc/44/63/79/839446379.db2.gz ZEUCFJXGMSBMTQ-SJORKVTESA-N 1 2 318.417 1.247 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H](C)Oc2ccccc2)C1 ZINC001268030114 839448720 /nfs/dbraw/zinc/44/87/20/839448720.db2.gz MUMMEXVPMGULPZ-RDJZCZTQSA-N 1 2 318.417 1.847 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H](C)Oc2ccccc2)C1 ZINC001268030114 839448732 /nfs/dbraw/zinc/44/87/32/839448732.db2.gz MUMMEXVPMGULPZ-RDJZCZTQSA-N 1 2 318.417 1.847 20 30 DDEDLO C[C@H](CC[NH2+]Cc1noc(C2CCC2)n1)NC(=O)C#CC1CC1 ZINC001268362888 840060966 /nfs/dbraw/zinc/06/09/66/840060966.db2.gz LFCDJYNYDHDELF-GFCCVEGCSA-N 1 2 316.405 1.735 20 30 DDEDLO C=CC(C)(C)C(=O)NC1C[NH+](C[C@@H](O)COCc2ccco2)C1 ZINC001268437285 840184870 /nfs/dbraw/zinc/18/48/70/840184870.db2.gz ITICGSPEFJCSQI-CQSZACIVSA-N 1 2 322.405 1.170 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001028316959 840246762 /nfs/dbraw/zinc/24/67/62/840246762.db2.gz WEUZXIBCHURPQA-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001028316959 840246772 /nfs/dbraw/zinc/24/67/72/840246772.db2.gz WEUZXIBCHURPQA-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CC(CNC(=O)C2CCCCCC2)C1 ZINC001268702801 840689426 /nfs/dbraw/zinc/68/94/26/840689426.db2.gz GMRYFXFPFCYZTO-CQSZACIVSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](C)c1cnc(C)cn1 ZINC001268939337 841017561 /nfs/dbraw/zinc/01/75/61/841017561.db2.gz FWXUOHZTOFHRNE-JYJNAYRXSA-N 1 2 312.417 1.935 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](C)c1cnc(C)cn1 ZINC001268939337 841017563 /nfs/dbraw/zinc/01/75/63/841017563.db2.gz FWXUOHZTOFHRNE-JYJNAYRXSA-N 1 2 312.417 1.935 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CCS)cc1C#N ZINC001268959395 841033047 /nfs/dbraw/zinc/03/30/47/841033047.db2.gz AZYQVJROMZGIOH-OKILXGFUSA-N 1 2 318.446 1.392 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CCS)cc1C#N ZINC001268959395 841033057 /nfs/dbraw/zinc/03/30/57/841033057.db2.gz AZYQVJROMZGIOH-OKILXGFUSA-N 1 2 318.446 1.392 20 30 DDEDLO C=CCCC(=O)N(C)[C@H]1CC[N@H+](Cc2noc3c2COCC3)C1 ZINC001269221789 841373770 /nfs/dbraw/zinc/37/37/70/841373770.db2.gz OXAPYCVRTWUKQC-ZDUSSCGKSA-N 1 2 319.405 1.746 20 30 DDEDLO C=CCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2noc3c2COCC3)C1 ZINC001269221789 841373778 /nfs/dbraw/zinc/37/37/78/841373778.db2.gz OXAPYCVRTWUKQC-ZDUSSCGKSA-N 1 2 319.405 1.746 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H](C)Oc1cccc(F)c1 ZINC001269235329 841396176 /nfs/dbraw/zinc/39/61/76/841396176.db2.gz JVIYIUGBXRTJOR-DZGCQCFKSA-N 1 2 304.365 1.807 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H](C)Oc1cccc(F)c1 ZINC001269235329 841396188 /nfs/dbraw/zinc/39/61/88/841396188.db2.gz JVIYIUGBXRTJOR-DZGCQCFKSA-N 1 2 304.365 1.807 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H]1CC[N@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001269239708 841403808 /nfs/dbraw/zinc/40/38/08/841403808.db2.gz CSOZDFOCXHYXBO-GDBMZVCRSA-N 1 2 319.449 1.093 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001269239708 841403817 /nfs/dbraw/zinc/40/38/17/841403817.db2.gz CSOZDFOCXHYXBO-GDBMZVCRSA-N 1 2 319.449 1.093 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001269239716 841404504 /nfs/dbraw/zinc/40/45/04/841404504.db2.gz CTBNGKBEFYRDME-HNNXBMFYSA-N 1 2 319.405 1.364 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001269239716 841404507 /nfs/dbraw/zinc/40/45/07/841404507.db2.gz CTBNGKBEFYRDME-HNNXBMFYSA-N 1 2 319.405 1.364 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H](N(C)C(=O)C#CC(C)C)C2)oc1C ZINC001269240825 841406778 /nfs/dbraw/zinc/40/67/78/841406778.db2.gz PDZCIFUAYXWBSY-OAHLLOKOSA-N 1 2 303.406 1.984 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H](N(C)C(=O)C#CC(C)C)C2)oc1C ZINC001269240825 841406787 /nfs/dbraw/zinc/40/67/87/841406787.db2.gz PDZCIFUAYXWBSY-OAHLLOKOSA-N 1 2 303.406 1.984 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)CCCCN2C(=O)Cn1cc[nH+]c1 ZINC001269289581 841476830 /nfs/dbraw/zinc/47/68/30/841476830.db2.gz IBWOQBBTZNEBDL-INIZCTEOSA-N 1 2 302.378 1.053 20 30 DDEDLO C=CCC[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C(C)(C)S(C)(=O)=O ZINC001269310561 841505650 /nfs/dbraw/zinc/50/56/50/841505650.db2.gz MZSRQKRJIRYAAP-STQMWFEESA-N 1 2 316.467 1.355 20 30 DDEDLO C=CCC[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C(C)(C)S(C)(=O)=O ZINC001269310561 841505658 /nfs/dbraw/zinc/50/56/58/841505658.db2.gz MZSRQKRJIRYAAP-STQMWFEESA-N 1 2 316.467 1.355 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)c1 ZINC001269715693 841961868 /nfs/dbraw/zinc/96/18/68/841961868.db2.gz VUYURVKERXAQDS-UHFFFAOYSA-N 1 2 307.357 1.239 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)c4ccco4)C3)C2)cc1C#N ZINC001270235034 842410005 /nfs/dbraw/zinc/41/00/05/842410005.db2.gz CEPXKGVPBWJRIY-UHFFFAOYSA-N 1 2 310.357 1.448 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1C[N@H+](CCn2cccn2)CCO1 ZINC001270650852 842775764 /nfs/dbraw/zinc/77/57/64/842775764.db2.gz NPLKCEGOYGDXNA-HNNXBMFYSA-N 1 2 320.437 1.302 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1C[N@@H+](CCn2cccn2)CCO1 ZINC001270650852 842775772 /nfs/dbraw/zinc/77/57/72/842775772.db2.gz NPLKCEGOYGDXNA-HNNXBMFYSA-N 1 2 320.437 1.302 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)COCc2ccccc2C)C1 ZINC001270681965 842814713 /nfs/dbraw/zinc/81/47/13/842814713.db2.gz GZDQLZBJHOYBFG-QGZVFWFLSA-N 1 2 318.417 1.515 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)COCc2ccccc2C)C1 ZINC001270681965 842814724 /nfs/dbraw/zinc/81/47/24/842814724.db2.gz GZDQLZBJHOYBFG-QGZVFWFLSA-N 1 2 318.417 1.515 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@H](c2ccccc2)C(C)C)C1 ZINC001271361323 843521277 /nfs/dbraw/zinc/52/12/77/843521277.db2.gz NQSNLSYMACUTHV-KRWDZBQOSA-N 1 2 314.429 1.612 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCCO1 ZINC001326610008 861476216 /nfs/dbraw/zinc/47/62/16/861476216.db2.gz BHWDKUGJWNUQNS-HOTGVXAUSA-N 1 2 310.438 1.729 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCCO1 ZINC001326610008 861476226 /nfs/dbraw/zinc/47/62/26/861476226.db2.gz BHWDKUGJWNUQNS-HOTGVXAUSA-N 1 2 310.438 1.729 20 30 DDEDLO Cn1cnc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccc(C#N)cc2)c1 ZINC001032354571 846873806 /nfs/dbraw/zinc/87/38/06/846873806.db2.gz PSBXPPLSDMOMLP-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO Cn1cnc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccc(C#N)cc2)c1 ZINC001032354571 846873818 /nfs/dbraw/zinc/87/38/18/846873818.db2.gz PSBXPPLSDMOMLP-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO C#CCN1CCC2(CC[NH+](Cc3c(F)cncc3F)CC2)C1=O ZINC001272781384 847422189 /nfs/dbraw/zinc/42/21/89/847422189.db2.gz XXSOXUQHSRIZKJ-UHFFFAOYSA-N 1 2 319.355 1.808 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1ncc(F)cc1F ZINC001272818998 847500067 /nfs/dbraw/zinc/50/00/67/847500067.db2.gz OWQQBZLHEQMGKF-HUUCEWRRSA-N 1 2 305.328 1.558 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1ncc(F)cc1F ZINC001272818998 847500076 /nfs/dbraw/zinc/50/00/76/847500076.db2.gz OWQQBZLHEQMGKF-HUUCEWRRSA-N 1 2 305.328 1.558 20 30 DDEDLO C=C(Br)C[NH2+]CCNC(=O)c1n[nH]c2ccccc21 ZINC001149675449 861798173 /nfs/dbraw/zinc/79/81/73/861798173.db2.gz URDLLVNZYAWFNP-UHFFFAOYSA-N 1 2 323.194 1.791 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc3cccnc3c1)C2 ZINC001273008968 847773769 /nfs/dbraw/zinc/77/37/69/847773769.db2.gz WOELSAGXPLNEDX-UHFFFAOYSA-N 1 2 321.380 1.281 20 30 DDEDLO C=CCN1CC2(C[NH+](CCc3ccc(OC)cc3)C2)OCC1=O ZINC001273033620 847819060 /nfs/dbraw/zinc/81/90/60/847819060.db2.gz KKZUIAGATHHQEU-UHFFFAOYSA-N 1 2 316.401 1.337 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCCC[N@@H+](Cc3cn(C)nn3)C2)C1 ZINC001034389350 848447630 /nfs/dbraw/zinc/44/76/30/848447630.db2.gz PSGBNHGTPFGEFZ-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCCC[N@H+](Cc3cn(C)nn3)C2)C1 ZINC001034389350 848447634 /nfs/dbraw/zinc/44/76/34/848447634.db2.gz PSGBNHGTPFGEFZ-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2Cc2cnc(OC)s2)C1=O ZINC001273223419 848811791 /nfs/dbraw/zinc/81/17/91/848811791.db2.gz FXRUPPGXQSSGLG-HNNXBMFYSA-N 1 2 307.419 1.905 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2Cc2cnc(OC)s2)C1=O ZINC001273223419 848811797 /nfs/dbraw/zinc/81/17/97/848811797.db2.gz FXRUPPGXQSSGLG-HNNXBMFYSA-N 1 2 307.419 1.905 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1[nH]c3ccccc3c1C)C2 ZINC001273326317 849539027 /nfs/dbraw/zinc/53/90/27/849539027.db2.gz AVYOVRFGWXBXMZ-UHFFFAOYSA-N 1 2 323.396 1.523 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCOC2(C[NH+](CCCF)C2)C1 ZINC001327314562 862053586 /nfs/dbraw/zinc/05/35/86/862053586.db2.gz CLMYEEWFZIJNNT-CQSZACIVSA-N 1 2 314.401 1.240 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@@H]1CC(=O)N(C)C1)C(C)C ZINC001411195518 850373949 /nfs/dbraw/zinc/37/39/49/850373949.db2.gz PUTLXGYOAPJYKO-CYBMUJFWSA-N 1 2 315.845 1.386 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@@H]1CC(=O)N(C)C1)C(C)C ZINC001411195518 850373955 /nfs/dbraw/zinc/37/39/55/850373955.db2.gz PUTLXGYOAPJYKO-CYBMUJFWSA-N 1 2 315.845 1.386 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)CCc1ccccn1)CO2 ZINC001327408571 862148766 /nfs/dbraw/zinc/14/87/66/862148766.db2.gz TVTQVWAFKJXJDR-MRXNPFEDSA-N 1 2 315.417 1.550 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](OC)C(C)C)C1 ZINC001150248266 862154615 /nfs/dbraw/zinc/15/46/15/862154615.db2.gz TUMRNMXNAIUXJP-KGLIPLIRSA-N 1 2 318.845 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H](OC)C(C)C)C1 ZINC001150248266 862154622 /nfs/dbraw/zinc/15/46/22/862154622.db2.gz TUMRNMXNAIUXJP-KGLIPLIRSA-N 1 2 318.845 1.617 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H](C)C1CC1)CO2 ZINC001327424790 862165201 /nfs/dbraw/zinc/16/52/01/862165201.db2.gz FPGMGLHKFYUFOD-ZBFHGGJFSA-N 1 2 322.449 1.585 20 30 DDEDLO C[C@@H](O)[C@H]([NH3+])c1nc(-c2ccc(Br)cc2C#N)no1 ZINC001247616674 850840823 /nfs/dbraw/zinc/84/08/23/850840823.db2.gz CDMGLVFRBDIGEF-LDWIPMOCSA-N 1 2 323.150 1.751 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)CCC(C)C)CO2 ZINC001273675031 851213079 /nfs/dbraw/zinc/21/30/79/851213079.db2.gz CHSRORNOVXLXFL-OAHLLOKOSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+](Cc3noc(C)n3)CC2)C1=O ZINC001273770342 851323476 /nfs/dbraw/zinc/32/34/76/851323476.db2.gz NKVURSCRHLTHED-MRXNPFEDSA-N 1 2 304.394 1.769 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+](Cc3noc(C)n3)CC2)C1=O ZINC001273770342 851323481 /nfs/dbraw/zinc/32/34/81/851323481.db2.gz NKVURSCRHLTHED-MRXNPFEDSA-N 1 2 304.394 1.769 20 30 DDEDLO N#CCC[NH+]1CCC2(C[C@H]2C(=O)NCCCCCC(N)=O)CC1 ZINC001274032026 851873876 /nfs/dbraw/zinc/87/38/76/851873876.db2.gz FIRCXEQFSPISJV-AWEZNQCLSA-N 1 2 320.437 1.164 20 30 DDEDLO CN1CC[C@]2(CCC[N@@H+]2Cc2cn3cc(C#N)ccc3n2)C1=O ZINC001274366172 852198124 /nfs/dbraw/zinc/19/81/24/852198124.db2.gz STTYZRFDVTYOGF-QGZVFWFLSA-N 1 2 309.373 1.403 20 30 DDEDLO CN1CC[C@]2(CCC[N@H+]2Cc2cn3cc(C#N)ccc3n2)C1=O ZINC001274366172 852198128 /nfs/dbraw/zinc/19/81/28/852198128.db2.gz STTYZRFDVTYOGF-QGZVFWFLSA-N 1 2 309.373 1.403 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3cc(F)ccn3)C2)OCC1=O ZINC001274585265 852418018 /nfs/dbraw/zinc/41/80/18/852418018.db2.gz OAYVOFBQUBSFIH-INIZCTEOSA-N 1 2 305.353 1.210 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3cc(F)ccn3)C2)OCC1=O ZINC001274585265 852418023 /nfs/dbraw/zinc/41/80/23/852418023.db2.gz OAYVOFBQUBSFIH-INIZCTEOSA-N 1 2 305.353 1.210 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC(N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001299369475 852549558 /nfs/dbraw/zinc/54/95/58/852549558.db2.gz RLZAYIONEIEIJK-QWHCGFSZSA-N 1 2 318.421 1.470 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC(N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001299369475 852549567 /nfs/dbraw/zinc/54/95/67/852549567.db2.gz RLZAYIONEIEIJK-QWHCGFSZSA-N 1 2 318.421 1.470 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3cn(CCC)cn3)C2)OCC1=O ZINC001274728458 852558010 /nfs/dbraw/zinc/55/80/10/852558010.db2.gz ISGPOOKWFUAQCK-KRWDZBQOSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3cn(CCC)cn3)C2)OCC1=O ZINC001274728458 852558003 /nfs/dbraw/zinc/55/80/03/852558003.db2.gz ISGPOOKWFUAQCK-KRWDZBQOSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1ccc(F)c(O)c1F ZINC001274794090 852611139 /nfs/dbraw/zinc/61/11/39/852611139.db2.gz UJIRCOJRFHSFGC-ZIAGYGMSSA-N 1 2 320.339 1.869 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1ccc(F)c(O)c1F ZINC001274794090 852611142 /nfs/dbraw/zinc/61/11/42/852611142.db2.gz UJIRCOJRFHSFGC-ZIAGYGMSSA-N 1 2 320.339 1.869 20 30 DDEDLO C=CCCC(=O)NC1(CO)CC[NH+](Cc2ncc(C)s2)CC1 ZINC001274896530 852672177 /nfs/dbraw/zinc/67/21/77/852672177.db2.gz IWEXQOYSJNAUQM-UHFFFAOYSA-N 1 2 323.462 1.861 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1Cc2ccc(CNC(C)=O)cc2C1 ZINC001327682181 862382918 /nfs/dbraw/zinc/38/29/18/862382918.db2.gz HOOIPVVXUVKEAQ-LBPRGKRZSA-N 1 2 301.390 1.329 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1Cc2ccc(CNC(C)=O)cc2C1 ZINC001327682181 862382935 /nfs/dbraw/zinc/38/29/35/862382935.db2.gz HOOIPVVXUVKEAQ-LBPRGKRZSA-N 1 2 301.390 1.329 20 30 DDEDLO CC(C)NC(=O)N1CCC2(C[NH+](Cc3ccc(C#N)cn3)C2)C1 ZINC001275034510 852761870 /nfs/dbraw/zinc/76/18/70/852761870.db2.gz LPZNQOKXMZSOIW-UHFFFAOYSA-N 1 2 313.405 1.579 20 30 DDEDLO C#Cc1ccc(CN2C[C@H]3CC[C@@H](C2)[N@H+]3CC(=O)N(C)C)cc1 ZINC001275132024 852828468 /nfs/dbraw/zinc/82/84/68/852828468.db2.gz MLINZLVZNKCILT-HDICACEKSA-N 1 2 311.429 1.405 20 30 DDEDLO C#Cc1ccc(CN2C[C@H]3CC[C@@H](C2)[N@@H+]3CC(=O)N(C)C)cc1 ZINC001275132024 852828471 /nfs/dbraw/zinc/82/84/71/852828471.db2.gz MLINZLVZNKCILT-HDICACEKSA-N 1 2 311.429 1.405 20 30 DDEDLO C[C@@H](C[NH2+]Cc1nc(C2CC2)no1)NC(=O)C#CC(C)(C)C ZINC001275149528 852840569 /nfs/dbraw/zinc/84/05/69/852840569.db2.gz BRLBUTRNAPNDCG-NSHDSACASA-N 1 2 304.394 1.591 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2CC[C@H]3[C@@H]2CCN3Cc2cn[nH]c2)c1 ZINC001275547616 853315064 /nfs/dbraw/zinc/31/50/64/853315064.db2.gz MVHFKBXIBVCXJF-IRXDYDNUSA-N 1 2 323.400 1.836 20 30 DDEDLO N#Cc1ccc(O)c(C[N@H+]2CC[C@H]3[C@@H]2CCN3Cc2cn[nH]c2)c1 ZINC001275547616 853315068 /nfs/dbraw/zinc/31/50/68/853315068.db2.gz MVHFKBXIBVCXJF-IRXDYDNUSA-N 1 2 323.400 1.836 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C[N@H+](C)CC(=O)Nc1cnccn1 ZINC001275621715 853444855 /nfs/dbraw/zinc/44/48/55/853444855.db2.gz IHJJUYGLQXQZMJ-GFCCVEGCSA-N 1 2 319.409 1.064 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C[N@@H+](C)CC(=O)Nc1cnccn1 ZINC001275621715 853444860 /nfs/dbraw/zinc/44/48/60/853444860.db2.gz IHJJUYGLQXQZMJ-GFCCVEGCSA-N 1 2 319.409 1.064 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)[N@H+](C)Cc1nc(C)oc1C ZINC001275937036 853988778 /nfs/dbraw/zinc/98/87/78/853988778.db2.gz ZFJKAORKWYJHBH-PEYYIBSZSA-N 1 2 321.421 1.819 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)[N@@H+](C)Cc1nc(C)oc1C ZINC001275937036 853988784 /nfs/dbraw/zinc/98/87/84/853988784.db2.gz ZFJKAORKWYJHBH-PEYYIBSZSA-N 1 2 321.421 1.819 20 30 DDEDLO CN1CCO[C@]2(CCC[N@H+](Cc3ccc(C#N)cc3F)C2)C1 ZINC001275956587 854014005 /nfs/dbraw/zinc/01/40/05/854014005.db2.gz NGCVFWVNXOTPRC-QGZVFWFLSA-N 1 2 303.381 1.994 20 30 DDEDLO CN1CCO[C@]2(CCC[N@@H+](Cc3ccc(C#N)cc3F)C2)C1 ZINC001275956587 854014010 /nfs/dbraw/zinc/01/40/10/854014010.db2.gz NGCVFWVNXOTPRC-QGZVFWFLSA-N 1 2 303.381 1.994 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)[C@@H](CC#N)c1ccccc1 ZINC001412082309 854204748 /nfs/dbraw/zinc/20/47/48/854204748.db2.gz RFAWGUCZBWONAC-RDJZCZTQSA-N 1 2 315.417 1.863 20 30 DDEDLO CCc1cnc(C[N@@H+](CC)[C@H](C)CNC(=O)CSCC#N)o1 ZINC001151965307 863081976 /nfs/dbraw/zinc/08/19/76/863081976.db2.gz JILDCJJVKZFDSG-GFCCVEGCSA-N 1 2 324.450 1.820 20 30 DDEDLO CCc1cnc(C[N@H+](CC)[C@H](C)CNC(=O)CSCC#N)o1 ZINC001151965307 863081991 /nfs/dbraw/zinc/08/19/91/863081991.db2.gz JILDCJJVKZFDSG-GFCCVEGCSA-N 1 2 324.450 1.820 20 30 DDEDLO N#Cc1cccc([C@H](O)C[NH+]2CCN(c3ncns3)CC2)c1 ZINC001412989374 855875006 /nfs/dbraw/zinc/87/50/06/855875006.db2.gz GAKXEXGSUCTUPP-CQSZACIVSA-N 1 2 315.402 1.265 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072605653 857504828 /nfs/dbraw/zinc/50/48/28/857504828.db2.gz INJAIIKYNANSEG-KGLIPLIRSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072607601 857508236 /nfs/dbraw/zinc/50/82/36/857508236.db2.gz ZUPLGQSCKUIELF-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)[nH]1 ZINC001072721472 857640711 /nfs/dbraw/zinc/64/07/11/857640711.db2.gz SZGTWVJEDOVRNE-LBPRGKRZSA-N 1 2 313.405 1.122 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)[nH]1 ZINC001072721472 857640717 /nfs/dbraw/zinc/64/07/17/857640717.db2.gz SZGTWVJEDOVRNE-LBPRGKRZSA-N 1 2 313.405 1.122 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@H+](Cc2cnon2)C3)C1 ZINC001072964025 857943173 /nfs/dbraw/zinc/94/31/73/857943173.db2.gz GEXHXKMVTBETBL-UHFFFAOYSA-N 1 2 302.378 1.460 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@@H+](Cc2cnon2)C3)C1 ZINC001072964025 857943181 /nfs/dbraw/zinc/94/31/81/857943181.db2.gz GEXHXKMVTBETBL-UHFFFAOYSA-N 1 2 302.378 1.460 20 30 DDEDLO C=CCCC(=O)NC1(C)CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001072987915 857972619 /nfs/dbraw/zinc/97/26/19/857972619.db2.gz FCFJPSRAMPFMEA-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(C)CCC(=O)N1CCC(C)(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001073070956 858067370 /nfs/dbraw/zinc/06/73/70/858067370.db2.gz YRTYHCRTGWNQJY-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CC[C@@H](C(=O)NCC[NH2+]Cc1cnon1)c1ccccc1 ZINC001151838827 862999582 /nfs/dbraw/zinc/99/95/82/862999582.db2.gz YILMCXLMSJZZIJ-OAHLLOKOSA-N 1 2 300.362 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H]2CCCCO2)C1 ZINC001073569831 858449087 /nfs/dbraw/zinc/44/90/87/858449087.db2.gz FQXJSHWMEMKRIG-KBPBESRZSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H]2CCCCO2)C1 ZINC001073569831 858449093 /nfs/dbraw/zinc/44/90/93/858449093.db2.gz FQXJSHWMEMKRIG-KBPBESRZSA-N 1 2 316.829 1.515 20 30 DDEDLO C=CC[N@@H+]1CCCO[C@@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001073603741 858476855 /nfs/dbraw/zinc/47/68/55/858476855.db2.gz NKGNZGVXLQVOJM-KBPBESRZSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CC[N@H+]1CCCO[C@@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001073603741 858476860 /nfs/dbraw/zinc/47/68/60/858476860.db2.gz NKGNZGVXLQVOJM-KBPBESRZSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCn1c(N(C)CC(C)C)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121707943 858577769 /nfs/dbraw/zinc/57/77/69/858577769.db2.gz DYODQOUANXOPFL-BBRMVZONSA-N 1 2 307.442 1.468 20 30 DDEDLO C=CCn1c(N(C)CC(C)C)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001121707943 858577774 /nfs/dbraw/zinc/57/77/74/858577774.db2.gz DYODQOUANXOPFL-BBRMVZONSA-N 1 2 307.442 1.468 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@@H](CF)C1 ZINC001121714897 858581199 /nfs/dbraw/zinc/58/11/99/858581199.db2.gz ILYDGDYICXNMPA-LBPRGKRZSA-N 1 2 304.373 1.584 20 30 DDEDLO C#CCN(CC#CC)c1nnc([C@H]2CCC[N@@H+]2C)n1CCOC ZINC001122345035 858767882 /nfs/dbraw/zinc/76/78/82/858767882.db2.gz PKLBXDPQVKHHQL-OAHLLOKOSA-N 1 2 315.421 1.154 20 30 DDEDLO C#CCN(CC#CC)c1nnc([C@H]2CCC[N@H+]2C)n1CCOC ZINC001122345035 858767888 /nfs/dbraw/zinc/76/78/88/858767888.db2.gz PKLBXDPQVKHHQL-OAHLLOKOSA-N 1 2 315.421 1.154 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)C2C=CC=CC=C2)CC1 ZINC001381246093 881360168 /nfs/dbraw/zinc/36/01/68/881360168.db2.gz LUFLZRVZTWZVLN-UHFFFAOYSA-N 1 2 322.836 1.980 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@@H]1CCOC1 ZINC001123231354 859137867 /nfs/dbraw/zinc/13/78/67/859137867.db2.gz QYWRMHRTKAKHAB-GJZGRUSLSA-N 1 2 317.437 1.541 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@@H]1CCOC1 ZINC001123231354 859137868 /nfs/dbraw/zinc/13/78/68/859137868.db2.gz QYWRMHRTKAKHAB-GJZGRUSLSA-N 1 2 317.437 1.541 20 30 DDEDLO C#CC1(O)CCN(C(=O)NCC[NH+]2Cc3ccccc3C2)CC1 ZINC001123492490 859258365 /nfs/dbraw/zinc/25/83/65/859258365.db2.gz SMZRUGFEGBULPM-UHFFFAOYSA-N 1 2 313.401 1.172 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CO)c1cc(F)ccc1F ZINC001124121873 859590276 /nfs/dbraw/zinc/59/02/76/859590276.db2.gz GSNWJNLSNBNDJR-GJZGRUSLSA-N 1 2 308.328 1.212 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CO)c1cc(F)ccc1F ZINC001124121873 859590284 /nfs/dbraw/zinc/59/02/84/859590284.db2.gz GSNWJNLSNBNDJR-GJZGRUSLSA-N 1 2 308.328 1.212 20 30 DDEDLO C=CCCC(=O)NCC[NH2+]Cc1nc(-c2ccccc2)no1 ZINC001124640536 859757813 /nfs/dbraw/zinc/75/78/13/859757813.db2.gz IMGPQIJFNDIQHQ-UHFFFAOYSA-N 1 2 300.362 1.909 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ncc(Br)cc2OC)CC1 ZINC001139088846 860276693 /nfs/dbraw/zinc/27/66/93/860276693.db2.gz SRILKVMACBJIKV-UHFFFAOYSA-N 1 2 324.222 1.604 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@@H+](Cc1ccncc1O)CC2 ZINC001140275617 860606428 /nfs/dbraw/zinc/60/64/28/860606428.db2.gz YOVOCRWMPSWKEB-UHFFFAOYSA-N 1 2 314.389 1.782 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@H+](Cc1ccncc1O)CC2 ZINC001140275617 860606430 /nfs/dbraw/zinc/60/64/30/860606430.db2.gz YOVOCRWMPSWKEB-UHFFFAOYSA-N 1 2 314.389 1.782 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncccn1 ZINC001152235097 863233112 /nfs/dbraw/zinc/23/31/12/863233112.db2.gz UPBLRKDAWIHBQR-CABCVRRESA-N 1 2 320.437 1.784 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncccn1 ZINC001152235097 863233094 /nfs/dbraw/zinc/23/30/94/863233094.db2.gz UPBLRKDAWIHBQR-CABCVRRESA-N 1 2 320.437 1.784 20 30 DDEDLO C#CCCCCC(=O)NCCC[NH2+]Cc1noc(CCC)n1 ZINC001156812134 863355976 /nfs/dbraw/zinc/35/59/76/863355976.db2.gz QFJHRABFZATRLV-UHFFFAOYSA-N 1 2 306.410 1.812 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncccn1 ZINC001153012971 863653027 /nfs/dbraw/zinc/65/30/27/863653027.db2.gz WHKMRQBKZXFRRR-CJNGLKHVSA-N 1 2 306.410 1.130 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncccn1 ZINC001153012971 863653035 /nfs/dbraw/zinc/65/30/35/863653035.db2.gz WHKMRQBKZXFRRR-CJNGLKHVSA-N 1 2 306.410 1.130 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@H](C)OC)C2)C1 ZINC001330159807 864112360 /nfs/dbraw/zinc/11/23/60/864112360.db2.gz HEVHSROMGFEAEH-DOTOQJQBSA-N 1 2 308.422 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@H](C)OC)C2)C1 ZINC001330159807 864112366 /nfs/dbraw/zinc/11/23/66/864112366.db2.gz HEVHSROMGFEAEH-DOTOQJQBSA-N 1 2 308.422 1.128 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCC3(C)CC3)C2)C1 ZINC001330158994 864112945 /nfs/dbraw/zinc/11/29/45/864112945.db2.gz CXQMCAYMKYUYQS-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCC3(C)CC3)C2)C1 ZINC001330158994 864112952 /nfs/dbraw/zinc/11/29/52/864112952.db2.gz CXQMCAYMKYUYQS-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[N@@H+](Cc2ccc(OC)nn2)CC1(C)C ZINC001330175346 864127748 /nfs/dbraw/zinc/12/77/48/864127748.db2.gz JPPXWBCPONRXCW-AWEZNQCLSA-N 1 2 318.421 1.778 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[N@H+](Cc2ccc(OC)nn2)CC1(C)C ZINC001330175346 864127750 /nfs/dbraw/zinc/12/77/50/864127750.db2.gz JPPXWBCPONRXCW-AWEZNQCLSA-N 1 2 318.421 1.778 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001158491567 864685140 /nfs/dbraw/zinc/68/51/40/864685140.db2.gz SQTUBLCUAGHZJJ-RBSFLKMASA-N 1 2 315.421 1.360 20 30 DDEDLO Cc1ccc(CC#N)c(N2CC([NH+]3C[C@@H](C)O[C@H](C)C3)C2)n1 ZINC001158727011 864861782 /nfs/dbraw/zinc/86/17/82/864861782.db2.gz JNPQVENNGGGERQ-ZIAGYGMSSA-N 1 2 300.406 1.754 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cc3c(cc[nH]c3=O)o2)C[C@H](C)N1CC#N ZINC001331566189 865158566 /nfs/dbraw/zinc/15/85/66/865158566.db2.gz OBZYEOWBSRJJNT-TXEJJXNPSA-N 1 2 300.362 1.952 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cc3c(cc[nH]c3=O)o2)C[C@H](C)N1CC#N ZINC001331566189 865158568 /nfs/dbraw/zinc/15/85/68/865158568.db2.gz OBZYEOWBSRJJNT-TXEJJXNPSA-N 1 2 300.362 1.952 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@H+](Cc2nnc(C)o2)CC1 ZINC001159544230 865392046 /nfs/dbraw/zinc/39/20/46/865392046.db2.gz MGKXJROLSGROLP-UHFFFAOYSA-N 1 2 304.394 1.983 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@@H+](Cc2nnc(C)o2)CC1 ZINC001159544230 865392064 /nfs/dbraw/zinc/39/20/64/865392064.db2.gz MGKXJROLSGROLP-UHFFFAOYSA-N 1 2 304.394 1.983 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1cc(C)on1 ZINC001332663972 866042136 /nfs/dbraw/zinc/04/21/36/866042136.db2.gz RCIICSJVOMJSQS-RDJZCZTQSA-N 1 2 323.437 1.836 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1cc(C)on1 ZINC001332663972 866042148 /nfs/dbraw/zinc/04/21/48/866042148.db2.gz RCIICSJVOMJSQS-RDJZCZTQSA-N 1 2 323.437 1.836 20 30 DDEDLO C[C@@H]1C[NH+](Cc2cc3c(cn2)OCCO3)C[C@@H](C)N1CC#N ZINC001332724912 866100006 /nfs/dbraw/zinc/10/00/06/866100006.db2.gz OMMDMZGLJFOBOG-CHWSQXEVSA-N 1 2 302.378 1.271 20 30 DDEDLO COCC[N@@H+](CC#Cc1ccccc1)CCNC(=O)C1(C)CC1 ZINC001332914203 866250282 /nfs/dbraw/zinc/25/02/82/866250282.db2.gz QAOWNHGBGQKXKS-UHFFFAOYSA-N 1 2 314.429 1.903 20 30 DDEDLO COCC[N@H+](CC#Cc1ccccc1)CCNC(=O)C1(C)CC1 ZINC001332914203 866250297 /nfs/dbraw/zinc/25/02/97/866250297.db2.gz QAOWNHGBGQKXKS-UHFFFAOYSA-N 1 2 314.429 1.903 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)N(C)c1ccccc1C(=O)OC ZINC001319773080 866282902 /nfs/dbraw/zinc/28/29/02/866282902.db2.gz KMOOVUVUKJTZCI-UHFFFAOYSA-N 1 2 318.373 1.018 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)N(C)c1ccccc1C(=O)OC ZINC001319773080 866282917 /nfs/dbraw/zinc/28/29/17/866282917.db2.gz KMOOVUVUKJTZCI-UHFFFAOYSA-N 1 2 318.373 1.018 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CCC[C@@H]3CNCC#N)ccn12 ZINC001320130975 866497353 /nfs/dbraw/zinc/49/73/53/866497353.db2.gz DWGXEBFFOATGCK-CABCVRRESA-N 1 2 311.389 1.654 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)C(=O)N(C2CCCC2)C1=O ZINC001320146547 866510285 /nfs/dbraw/zinc/51/02/85/866510285.db2.gz CXEGVGIFXANKDF-UHFFFAOYSA-N 1 2 303.362 1.023 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)C(=O)N(C2CCCC2)C1=O ZINC001320146547 866510301 /nfs/dbraw/zinc/51/03/01/866510301.db2.gz CXEGVGIFXANKDF-UHFFFAOYSA-N 1 2 303.362 1.023 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H](C)Oc1cccc(C)c1 ZINC001323349696 866553838 /nfs/dbraw/zinc/55/38/38/866553838.db2.gz AQWKKBSBEAPGIE-CVEARBPZSA-N 1 2 300.402 1.976 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H](C)Oc1cccc(C)c1 ZINC001323349696 866553852 /nfs/dbraw/zinc/55/38/52/866553852.db2.gz AQWKKBSBEAPGIE-CVEARBPZSA-N 1 2 300.402 1.976 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@]1(C)OCCc2ccccc21 ZINC001323372186 866568840 /nfs/dbraw/zinc/56/88/40/866568840.db2.gz SDXRLUSYFIAQCF-LPHOPBHVSA-N 1 2 312.413 1.688 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@]1(C)OCCc2ccccc21 ZINC001323372186 866568856 /nfs/dbraw/zinc/56/88/56/866568856.db2.gz SDXRLUSYFIAQCF-LPHOPBHVSA-N 1 2 312.413 1.688 20 30 DDEDLO C=C[C@H](COC)NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC001320591268 866863442 /nfs/dbraw/zinc/86/34/42/866863442.db2.gz DBJWMTHOIARIQU-CQSZACIVSA-N 1 2 304.394 1.682 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)COc2cc(C)ccc2F)C1 ZINC001323920334 866949480 /nfs/dbraw/zinc/94/94/80/866949480.db2.gz CCRAJBBEWBZNAT-UHFFFAOYSA-N 1 2 304.365 1.679 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CCc2cn(C)nc2C)C1 ZINC001323993275 866998841 /nfs/dbraw/zinc/99/88/41/866998841.db2.gz PBABZHINRNPVDA-UHFFFAOYSA-N 1 2 320.437 1.006 20 30 DDEDLO C#CCCCNC(=O)C(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC001324151688 867108043 /nfs/dbraw/zinc/10/80/43/867108043.db2.gz RRPLUANKLWRPNG-UHFFFAOYSA-N 1 2 324.384 1.077 20 30 DDEDLO CCc1noc(C[NH2+]C[C@@H]2CCCN2C(=O)C#CC(C)(C)C)n1 ZINC001324154730 867110980 /nfs/dbraw/zinc/11/09/80/867110980.db2.gz KDRZVMMDOSZBFJ-ZDUSSCGKSA-N 1 2 318.421 1.762 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001333933291 867168330 /nfs/dbraw/zinc/16/83/30/867168330.db2.gz JCDROQKISVOXIX-KBPBESRZSA-N 1 2 304.394 1.086 20 30 DDEDLO C=C(C)C[N@H+](CCS(=O)(=O)CCC(N)=O)Cc1ccccc1 ZINC001321044034 867256104 /nfs/dbraw/zinc/25/61/04/867256104.db2.gz GAUYVNCPIWUACM-UHFFFAOYSA-N 1 2 324.446 1.355 20 30 DDEDLO C=C(C)C[N@@H+](CCS(=O)(=O)CCC(N)=O)Cc1ccccc1 ZINC001321044034 867256119 /nfs/dbraw/zinc/25/61/19/867256119.db2.gz GAUYVNCPIWUACM-UHFFFAOYSA-N 1 2 324.446 1.355 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)N(C)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001334191082 867371141 /nfs/dbraw/zinc/37/11/41/867371141.db2.gz SHHDQGKJDNPMBI-OLZOCXBDSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)N(C)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001334191082 867371153 /nfs/dbraw/zinc/37/11/53/867371153.db2.gz SHHDQGKJDNPMBI-OLZOCXBDSA-N 1 2 306.410 1.518 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CC[C@@](C)(CNCC#N)C1)n1cc[nH+]c1 ZINC001324739631 867489885 /nfs/dbraw/zinc/48/98/85/867489885.db2.gz BSSLQMJNPNEYLM-RDJZCZTQSA-N 1 2 317.437 1.822 20 30 DDEDLO CC(C)C#CC(=O)NC[C@]1(C)CCC[N@H+](Cc2nccn2C)C1 ZINC001324935294 867640456 /nfs/dbraw/zinc/64/04/56/867640456.db2.gz CMQVQPPOVVUTHT-SFHVURJKSA-N 1 2 316.449 1.798 20 30 DDEDLO CC(C)C#CC(=O)NC[C@]1(C)CCC[N@@H+](Cc2nccn2C)C1 ZINC001324935294 867640473 /nfs/dbraw/zinc/64/04/73/867640473.db2.gz CMQVQPPOVVUTHT-SFHVURJKSA-N 1 2 316.449 1.798 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)N[C@](C)(C3CCCC3)C2=O)C1 ZINC001321808557 867805680 /nfs/dbraw/zinc/80/56/80/867805680.db2.gz HIFXIRLEMUYIIQ-RHSMWYFYSA-N 1 2 321.421 1.722 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)N[C@](C)(C3CCCC3)C2=O)C1 ZINC001321808557 867805694 /nfs/dbraw/zinc/80/56/94/867805694.db2.gz HIFXIRLEMUYIIQ-RHSMWYFYSA-N 1 2 321.421 1.722 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C[N@H+](C)CC(=O)Nc1cc(C)on1 ZINC001322124978 867988118 /nfs/dbraw/zinc/98/81/18/867988118.db2.gz VWCANXXQPMNHLW-LLVKDONJSA-N 1 2 308.382 1.324 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C[N@@H+](C)CC(=O)Nc1cc(C)on1 ZINC001322124978 867988128 /nfs/dbraw/zinc/98/81/28/867988128.db2.gz VWCANXXQPMNHLW-LLVKDONJSA-N 1 2 308.382 1.324 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cnn2cc(C)cnc12 ZINC001381590486 882248667 /nfs/dbraw/zinc/24/86/67/882248667.db2.gz VBMYRHPIUPKZFS-GFCCVEGCSA-N 1 2 321.812 1.840 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cnn2cc(C)cnc12 ZINC001381590486 882248680 /nfs/dbraw/zinc/24/86/80/882248680.db2.gz VBMYRHPIUPKZFS-GFCCVEGCSA-N 1 2 321.812 1.840 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001337978118 869725951 /nfs/dbraw/zinc/72/59/51/869725951.db2.gz ILZXMDMFYZEZKW-KBPBESRZSA-N 1 2 318.421 1.591 20 30 DDEDLO C=C[C@H](COC)NC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC001338120559 869815982 /nfs/dbraw/zinc/81/59/82/869815982.db2.gz ZTHCWFYKNUELEB-CQSZACIVSA-N 1 2 309.435 1.776 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)C[C@@H]1CC1(C)C ZINC001338775102 870163043 /nfs/dbraw/zinc/16/30/43/870163043.db2.gz UNGQOLVHZNVJNT-IHRRRGAJSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)C[C@@H]1CC1(C)C ZINC001338775102 870163052 /nfs/dbraw/zinc/16/30/52/870163052.db2.gz UNGQOLVHZNVJNT-IHRRRGAJSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC001317055746 870176113 /nfs/dbraw/zinc/17/61/13/870176113.db2.gz HHHLFURNRJSMGA-LBPRGKRZSA-N 1 2 322.409 1.260 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCC[N@H+](Cc2ncccn2)C1 ZINC001317132224 870323834 /nfs/dbraw/zinc/32/38/34/870323834.db2.gz WHLCVMCCGKERKY-OAHLLOKOSA-N 1 2 300.406 1.464 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCC[N@@H+](Cc2ncccn2)C1 ZINC001317132224 870323845 /nfs/dbraw/zinc/32/38/45/870323845.db2.gz WHLCVMCCGKERKY-OAHLLOKOSA-N 1 2 300.406 1.464 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@@H](C)[C@H](F)C1 ZINC001339212716 870405804 /nfs/dbraw/zinc/40/58/04/870405804.db2.gz YFNFNXYLSPBLEC-CHWSQXEVSA-N 1 2 318.400 1.973 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001298858889 870757488 /nfs/dbraw/zinc/75/74/88/870757488.db2.gz VSRPQUJHCMXITQ-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]1CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001299034172 870852281 /nfs/dbraw/zinc/85/22/81/870852281.db2.gz VMYBBOLAZYHMBU-LSDHHAIUSA-N 1 2 318.421 1.908 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001317454882 870869397 /nfs/dbraw/zinc/86/93/97/870869397.db2.gz YTKPHOIRSMOOLW-QKDCVEJESA-N 1 2 307.438 1.163 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001317454882 870869402 /nfs/dbraw/zinc/86/94/02/870869402.db2.gz YTKPHOIRSMOOLW-QKDCVEJESA-N 1 2 307.438 1.163 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H]1CNC(=O)c1ccns1 ZINC001317503042 870934630 /nfs/dbraw/zinc/93/46/30/870934630.db2.gz VXTXHCZQFQWORU-VXGBXAGGSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H]1CNC(=O)c1ccns1 ZINC001317503042 870934641 /nfs/dbraw/zinc/93/46/41/870934641.db2.gz VXTXHCZQFQWORU-VXGBXAGGSA-N 1 2 322.434 1.028 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1ccc2c(C#N)c[nH]c2c1 ZINC001301548481 871002151 /nfs/dbraw/zinc/00/21/51/871002151.db2.gz TYZOSQOAEQENGG-CQSZACIVSA-N 1 2 309.329 1.236 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)[C@@H](O)CC)n2CC=C)CC1 ZINC001340302789 871020689 /nfs/dbraw/zinc/02/06/89/871020689.db2.gz QGVMILRDAAPQHK-CABCVRRESA-N 1 2 317.437 1.094 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCCCNC(=O)Cc1[nH]cc[nH+]1 ZINC001340686498 871281619 /nfs/dbraw/zinc/28/16/19/871281619.db2.gz BYQCVNVDABINPC-AWEZNQCLSA-N 1 2 318.421 1.403 20 30 DDEDLO C#CCN(C)c1nnc(C2CC[NH+](CCC#N)CC2)n1CC ZINC001341034807 871476594 /nfs/dbraw/zinc/47/65/94/871476594.db2.gz DHOBPADWFHDAEX-UHFFFAOYSA-N 1 2 300.410 1.460 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+](Cc2ccn(C(C)C)n2)CC1 ZINC001226570927 882513273 /nfs/dbraw/zinc/51/32/73/882513273.db2.gz MBDMZNJLEUUKCI-UHFFFAOYSA-N 1 2 320.437 1.747 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+](Cc2csc(C)n2)CC1 ZINC001226571674 882516486 /nfs/dbraw/zinc/51/64/86/882516486.db2.gz WVSLIEKIMKZDPC-UHFFFAOYSA-N 1 2 309.435 1.735 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1C[NH+](CCOC2CCCCCC2)C1 ZINC001318024945 871697312 /nfs/dbraw/zinc/69/73/12/871697312.db2.gz QKMJJUSOZSKZBB-HNNXBMFYSA-N 1 2 322.449 1.565 20 30 DDEDLO C=C(C)CN(CC)c1nnc(Cc2[nH+]ccn2C)n1CCOC ZINC001341601153 871731997 /nfs/dbraw/zinc/73/19/97/871731997.db2.gz GQNOFJAVRLUFEF-UHFFFAOYSA-N 1 2 318.425 1.651 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC(=O)N1CCCCC1 ZINC001318190730 871807356 /nfs/dbraw/zinc/80/73/56/871807356.db2.gz RGLGDCSJHHVWQK-GJZGRUSLSA-N 1 2 305.422 1.250 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC(=O)N1CCCCC1 ZINC001318190730 871807379 /nfs/dbraw/zinc/80/73/79/871807379.db2.gz RGLGDCSJHHVWQK-GJZGRUSLSA-N 1 2 305.422 1.250 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CSC(F)(F)F)n2C)CC1 ZINC001341774473 871819176 /nfs/dbraw/zinc/81/91/76/871819176.db2.gz ZVDVBYBKRSQJOT-UHFFFAOYSA-N 1 2 319.356 1.323 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCOCC[N@H+](C)CC=C(Cl)Cl ZINC001316761223 872000753 /nfs/dbraw/zinc/00/07/53/872000753.db2.gz LWSFOJCLTYILDB-LLVKDONJSA-N 1 2 322.236 1.872 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCOCC[N@@H+](C)CC=C(Cl)Cl ZINC001316761223 872000773 /nfs/dbraw/zinc/00/07/73/872000773.db2.gz LWSFOJCLTYILDB-LLVKDONJSA-N 1 2 322.236 1.872 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@H](NC(=O)CSCC#N)[C@H]2C)cn1 ZINC001316776414 872022922 /nfs/dbraw/zinc/02/29/22/872022922.db2.gz YQIVUCOXQBIFIH-RISCZKNCSA-N 1 2 319.434 1.121 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@H](NC(=O)CSCC#N)[C@H]2C)cn1 ZINC001316776414 872022942 /nfs/dbraw/zinc/02/29/42/872022942.db2.gz YQIVUCOXQBIFIH-RISCZKNCSA-N 1 2 319.434 1.121 20 30 DDEDLO CCOC(=O)c1ccncc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001226693084 882591453 /nfs/dbraw/zinc/59/14/53/882591453.db2.gz VXIBMPMIDBKANI-HJTUNCCVSA-N 1 2 304.346 1.250 20 30 DDEDLO CCOC(=O)c1ccncc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001226693084 882591459 /nfs/dbraw/zinc/59/14/59/882591459.db2.gz VXIBMPMIDBKANI-HJTUNCCVSA-N 1 2 304.346 1.250 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-c1ncncc1C#N ZINC001206482415 872367367 /nfs/dbraw/zinc/36/73/67/872367367.db2.gz KKTYCRFVRCFCSK-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N1CCCOCC1 ZINC001343458468 872633881 /nfs/dbraw/zinc/63/38/81/872633881.db2.gz XOEHEUCPFBVZNQ-AWEZNQCLSA-N 1 2 305.426 1.848 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CCCOCC1 ZINC001343458468 872633890 /nfs/dbraw/zinc/63/38/90/872633890.db2.gz XOEHEUCPFBVZNQ-AWEZNQCLSA-N 1 2 305.426 1.848 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC001319552120 872688191 /nfs/dbraw/zinc/68/81/91/872688191.db2.gz FMNGGPGTRPXQKT-UHFFFAOYSA-N 1 2 305.334 1.423 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC001319552120 872688207 /nfs/dbraw/zinc/68/82/07/872688207.db2.gz FMNGGPGTRPXQKT-UHFFFAOYSA-N 1 2 305.334 1.423 20 30 DDEDLO C#CCN(CC#C)c1nnc(Cc2[nH+]ccn2C)n1CC(C)C ZINC001343693132 872720287 /nfs/dbraw/zinc/72/02/87/872720287.db2.gz BZUQCBDSJGFFHS-UHFFFAOYSA-N 1 2 310.405 1.331 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nnc(C)o2)C[C@H]1C ZINC001206911457 872751009 /nfs/dbraw/zinc/75/10/09/872751009.db2.gz QNTKGGTZNDEFIX-YRGRVCCFSA-N 1 2 304.394 1.679 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nnc(C)o2)C[C@H]1C ZINC001206911457 872751012 /nfs/dbraw/zinc/75/10/12/872751012.db2.gz QNTKGGTZNDEFIX-YRGRVCCFSA-N 1 2 304.394 1.679 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cncc(F)c2)C[C@H]1C ZINC001206963362 872853237 /nfs/dbraw/zinc/85/32/37/872853237.db2.gz BMCFUPJDNXALSG-DVOMOZLQSA-N 1 2 319.380 1.196 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cncc(F)c2)C[C@H]1C ZINC001206963362 872853253 /nfs/dbraw/zinc/85/32/53/872853253.db2.gz BMCFUPJDNXALSG-DVOMOZLQSA-N 1 2 319.380 1.196 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3(O)CCC3)n2CC(C)C)CC1 ZINC001344098722 872857351 /nfs/dbraw/zinc/85/73/51/872857351.db2.gz TUBVXGVEHLONPI-UHFFFAOYSA-N 1 2 317.437 1.061 20 30 DDEDLO C#C[C@H]1CCCCN1c1nnc([C@H]2CCC[N@@H+]2C)n1CCOC ZINC001345707112 873482852 /nfs/dbraw/zinc/48/28/52/873482852.db2.gz WETLPNIBRJAYDJ-LSDHHAIUSA-N 1 2 317.437 1.683 20 30 DDEDLO C#C[C@H]1CCCCN1c1nnc([C@H]2CCC[N@H+]2C)n1CCOC ZINC001345707112 873482855 /nfs/dbraw/zinc/48/28/55/873482855.db2.gz WETLPNIBRJAYDJ-LSDHHAIUSA-N 1 2 317.437 1.683 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc(Cc3[nH+]ccn3C)n2CC2CC2)C1 ZINC001346083772 873610120 /nfs/dbraw/zinc/61/01/20/873610120.db2.gz DXUBLDDCKRZHPB-CQSZACIVSA-N 1 2 324.432 1.862 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1C[C@H]1CCCCO1 ZINC001207957912 873688912 /nfs/dbraw/zinc/68/89/12/873688912.db2.gz AQAFUSKJWHRYLK-HIFRSBDPSA-N 1 2 306.410 1.486 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1C[C@H]1CCCCO1 ZINC001207957912 873688916 /nfs/dbraw/zinc/68/89/16/873688916.db2.gz AQAFUSKJWHRYLK-HIFRSBDPSA-N 1 2 306.410 1.486 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1C[C@H]1CCCCO1 ZINC001207957927 873690011 /nfs/dbraw/zinc/69/00/11/873690011.db2.gz AQAFUSKJWHRYLK-UKRRQHHQSA-N 1 2 306.410 1.486 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1C[C@H]1CCCCO1 ZINC001207957927 873690019 /nfs/dbraw/zinc/69/00/19/873690019.db2.gz AQAFUSKJWHRYLK-UKRRQHHQSA-N 1 2 306.410 1.486 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)C(C)C)n2CC(=C)C)CC1 ZINC001346493772 873775717 /nfs/dbraw/zinc/77/57/17/873775717.db2.gz IFUIEESVDGHDTI-OAHLLOKOSA-N 1 2 317.437 1.299 20 30 DDEDLO C=C(C)Cn1c([C@H]2C[N@@H+]3CCCC[C@H]3CO2)nnc1N(C)OC ZINC001346645761 873836234 /nfs/dbraw/zinc/83/62/34/873836234.db2.gz ANWJPBWOKUJWDT-UONOGXRCSA-N 1 2 321.425 1.778 20 30 DDEDLO C=C(C)Cn1c([C@H]2C[N@H+]3CCCC[C@H]3CO2)nnc1N(C)OC ZINC001346645761 873836250 /nfs/dbraw/zinc/83/62/50/873836250.db2.gz ANWJPBWOKUJWDT-UONOGXRCSA-N 1 2 321.425 1.778 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cncc(OC)n2)C[C@H]1C ZINC001208315211 873995941 /nfs/dbraw/zinc/99/59/41/873995941.db2.gz OSXBBZQCVAPUBC-TZMCWYRMSA-N 1 2 318.421 1.634 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cncc(OC)n2)C[C@H]1C ZINC001208315211 873995950 /nfs/dbraw/zinc/99/59/50/873995950.db2.gz OSXBBZQCVAPUBC-TZMCWYRMSA-N 1 2 318.421 1.634 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1CC(C)C ZINC001347367728 874113171 /nfs/dbraw/zinc/11/31/71/874113171.db2.gz NFKJKZWUKRMPJU-OAHLLOKOSA-N 1 2 319.453 1.785 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1CC(C)C ZINC001347367728 874113186 /nfs/dbraw/zinc/11/31/86/874113186.db2.gz NFKJKZWUKRMPJU-OAHLLOKOSA-N 1 2 319.453 1.785 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCn2ccccc2=O)C1 ZINC001378107469 874161163 /nfs/dbraw/zinc/16/11/63/874161163.db2.gz GAXSLWXLKNTEED-CYBMUJFWSA-N 1 2 309.797 1.181 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCn2ccccc2=O)C1 ZINC001378107469 874161169 /nfs/dbraw/zinc/16/11/69/874161169.db2.gz GAXSLWXLKNTEED-CYBMUJFWSA-N 1 2 309.797 1.181 20 30 DDEDLO C=CCN(CC[NH+]1CCN(CC(F)F)CC1)C(=O)OCC ZINC001209020837 874606565 /nfs/dbraw/zinc/60/65/65/874606565.db2.gz OQODDYPGMOOHGS-UHFFFAOYSA-N 1 2 305.369 1.514 20 30 DDEDLO C=CCO[C@@H]1CO[C@@]2(C1)CCC[N@@H+](Cc1cnccc1N)C2 ZINC001209729803 875082210 /nfs/dbraw/zinc/08/22/10/875082210.db2.gz CWNHKXCBFJKVOQ-DOTOQJQBSA-N 1 2 303.406 1.820 20 30 DDEDLO C=CCO[C@@H]1CO[C@@]2(C1)CCC[N@H+](Cc1cnccc1N)C2 ZINC001209729803 875082217 /nfs/dbraw/zinc/08/22/17/875082217.db2.gz CWNHKXCBFJKVOQ-DOTOQJQBSA-N 1 2 303.406 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001210598801 875452721 /nfs/dbraw/zinc/45/27/21/875452721.db2.gz UNFWGGTZPOMLSD-YUSALJHKSA-N 1 2 316.833 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001210598801 875452727 /nfs/dbraw/zinc/45/27/27/875452727.db2.gz UNFWGGTZPOMLSD-YUSALJHKSA-N 1 2 316.833 1.012 20 30 DDEDLO C[C@@H](c1nncn1C)[NH+]1CCC(NC(=O)C#CC(C)(C)C)CC1 ZINC001227280635 882949727 /nfs/dbraw/zinc/94/97/27/882949727.db2.gz JXPSKHBUQANOOS-ZDUSSCGKSA-N 1 2 317.437 1.506 20 30 DDEDLO CC[C@H](CC(C)C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213692030 876021826 /nfs/dbraw/zinc/02/18/26/876021826.db2.gz RTWLZXSAJLBNRI-BRWVUGGUSA-N 1 2 324.465 1.524 20 30 DDEDLO CC[C@H](CC(C)C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213692030 876021843 /nfs/dbraw/zinc/02/18/43/876021843.db2.gz RTWLZXSAJLBNRI-BRWVUGGUSA-N 1 2 324.465 1.524 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1OC ZINC001213963392 876116299 /nfs/dbraw/zinc/11/62/99/876116299.db2.gz BNGNNDIMXXSASL-ZAGQHCIPSA-N 1 2 302.802 1.145 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1OC ZINC001213963392 876116297 /nfs/dbraw/zinc/11/62/97/876116297.db2.gz BNGNNDIMXXSASL-ZAGQHCIPSA-N 1 2 302.802 1.145 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@@H]2CN(C(=O)CSCC#N)C[C@H]2C)no1 ZINC001214173682 876199723 /nfs/dbraw/zinc/19/97/23/876199723.db2.gz JOFSSUFWEFQMAB-CKYFFXLPSA-N 1 2 323.422 1.132 20 30 DDEDLO C#CCC1(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3cnsn3)C2)CCC1 ZINC001214830048 876474069 /nfs/dbraw/zinc/47/40/69/876474069.db2.gz PSJANLMVOYVZOB-TZMCWYRMSA-N 1 2 318.446 1.668 20 30 DDEDLO C#CCCCn1c(CC(C)C)nnc1N1CC[NH+](CC#C)CC1 ZINC001300411631 877570542 /nfs/dbraw/zinc/57/05/42/877570542.db2.gz LTQCEYZYAADVDE-UHFFFAOYSA-N 1 2 313.449 1.645 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)/C=C(/C)C1CC1 ZINC001276801699 877905195 /nfs/dbraw/zinc/90/51/95/877905195.db2.gz SGRJNPOZULNLNK-JQQVXKHSSA-N 1 2 305.422 1.224 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)/C=C(/C)C1CC1 ZINC001276801699 877905203 /nfs/dbraw/zinc/90/52/03/877905203.db2.gz SGRJNPOZULNLNK-JQQVXKHSSA-N 1 2 305.422 1.224 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC)OC2CCCCC2)[C@@H](O)C1 ZINC001219626564 878401151 /nfs/dbraw/zinc/40/11/51/878401151.db2.gz KLJLPFKNBNENPH-IXDOHACOSA-N 1 2 322.449 1.299 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)OC2CCCCC2)[C@@H](O)C1 ZINC001219626564 878401157 /nfs/dbraw/zinc/40/11/57/878401157.db2.gz KLJLPFKNBNENPH-IXDOHACOSA-N 1 2 322.449 1.299 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001219704984 878465582 /nfs/dbraw/zinc/46/55/82/878465582.db2.gz RCFLLUXYXWETAQ-CABCVRRESA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001219704984 878465572 /nfs/dbraw/zinc/46/55/72/878465572.db2.gz RCFLLUXYXWETAQ-CABCVRRESA-N 1 2 321.421 1.555 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220146503 878738033 /nfs/dbraw/zinc/73/80/33/878738033.db2.gz IYGPAQOAERNCHF-CVEARBPZSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220146503 878738051 /nfs/dbraw/zinc/73/80/51/878738051.db2.gz IYGPAQOAERNCHF-CVEARBPZSA-N 1 2 319.405 1.228 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N(C)CCNC(C)=O ZINC001355930378 878783167 /nfs/dbraw/zinc/78/31/67/878783167.db2.gz VHPOMHYIKYMQAA-AWEZNQCLSA-N 1 2 320.441 1.193 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N(C)CCNC(C)=O ZINC001355930378 878783184 /nfs/dbraw/zinc/78/31/84/878783184.db2.gz VHPOMHYIKYMQAA-AWEZNQCLSA-N 1 2 320.441 1.193 20 30 DDEDLO Cc1noc([C@@H](C)[NH+]2CCC(C)(NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001380296459 879261589 /nfs/dbraw/zinc/26/15/89/879261589.db2.gz BRBLQURJJIFYKO-WDEREUQCSA-N 1 2 305.382 1.569 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1CN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001356789825 879363138 /nfs/dbraw/zinc/36/31/38/879363138.db2.gz GVICKRHEBSQVFK-PBFPGSCMSA-N 1 2 316.405 1.443 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1CN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001356789825 879363155 /nfs/dbraw/zinc/36/31/55/879363155.db2.gz GVICKRHEBSQVFK-PBFPGSCMSA-N 1 2 316.405 1.443 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@@H]1O ZINC001221141252 879527081 /nfs/dbraw/zinc/52/70/81/879527081.db2.gz NTQCNSQZBSFUJC-MAZHCROVSA-N 1 2 322.380 1.078 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@@H]1O ZINC001221141252 879527097 /nfs/dbraw/zinc/52/70/97/879527097.db2.gz NTQCNSQZBSFUJC-MAZHCROVSA-N 1 2 322.380 1.078 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](CNC(=O)Cn1cc[nH+]c1)C(C)C ZINC001357104258 879791977 /nfs/dbraw/zinc/79/19/77/879791977.db2.gz YQTCIKSVJSGLSV-HNNXBMFYSA-N 1 2 318.421 1.334 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](O)(CNC(=O)c2oc(C)nc2C)C1 ZINC001380527713 879866238 /nfs/dbraw/zinc/86/62/38/879866238.db2.gz UECQCMCZVTVWIN-AWEZNQCLSA-N 1 2 313.785 1.211 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](O)(CNC(=O)c2oc(C)nc2C)C1 ZINC001380527713 879866250 /nfs/dbraw/zinc/86/62/50/879866250.db2.gz UECQCMCZVTVWIN-AWEZNQCLSA-N 1 2 313.785 1.211 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001222067061 880209553 /nfs/dbraw/zinc/20/95/53/880209553.db2.gz BNWSPKJSKBMDRD-AAEUAGOBSA-N 1 2 308.382 1.050 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3ccnn3C)C[C@H]21 ZINC001222069707 880215886 /nfs/dbraw/zinc/21/58/86/880215886.db2.gz VZDXWLJMFQLZNA-IIAWOOMASA-N 1 2 318.421 1.044 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccnn3C)C[C@H]21 ZINC001222069707 880215897 /nfs/dbraw/zinc/21/58/97/880215897.db2.gz VZDXWLJMFQLZNA-IIAWOOMASA-N 1 2 318.421 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)C[C@@H]2CCOC2)C1 ZINC001380732723 880295789 /nfs/dbraw/zinc/29/57/89/880295789.db2.gz XNUAJLXAHAJFPY-DZGCQCFKSA-N 1 2 316.829 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)C[C@@H]2CCOC2)C1 ZINC001380732723 880295804 /nfs/dbraw/zinc/29/58/04/880295804.db2.gz XNUAJLXAHAJFPY-DZGCQCFKSA-N 1 2 316.829 1.109 20 30 DDEDLO C=CCn1cc(-c2ccc(OCC[NH+]3CCOCC3)nc2)cn1 ZINC001222413253 880448567 /nfs/dbraw/zinc/44/85/67/880448567.db2.gz BHMMXJFXIUXGBN-UHFFFAOYSA-N 1 2 314.389 1.842 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[N@H+](Cc3ncccn3)[C@H]2C1 ZINC001222651625 880621832 /nfs/dbraw/zinc/62/18/32/880621832.db2.gz HJLXDFPOWHOYOH-CVEARBPZSA-N 1 2 312.417 1.559 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[N@@H+](Cc3ncccn3)[C@H]2C1 ZINC001222651625 880621838 /nfs/dbraw/zinc/62/18/38/880621838.db2.gz HJLXDFPOWHOYOH-CVEARBPZSA-N 1 2 312.417 1.559 20 30 DDEDLO C=CC(C)(C)C(=O)NC1(CNC(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC001358662664 880722586 /nfs/dbraw/zinc/72/25/86/880722586.db2.gz DTPWQVQFQVMPPA-ZDUSSCGKSA-N 1 2 318.421 1.811 20 30 DDEDLO Cn1cnnc1C[NH+]1CCC(CNC(=O)C#CC(C)(C)C)CC1 ZINC001223142822 880852920 /nfs/dbraw/zinc/85/29/20/880852920.db2.gz MYTTWVRELOWXIP-UHFFFAOYSA-N 1 2 317.437 1.193 20 30 DDEDLO C=CCCCC(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224273066 881304226 /nfs/dbraw/zinc/30/42/26/881304226.db2.gz NWFWLHJWORMJHR-UHFFFAOYSA-N 1 2 305.426 1.500 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)[nH]2)CC1 ZINC001228631926 883583507 /nfs/dbraw/zinc/58/35/07/883583507.db2.gz XUGGMAYNIQMYCN-NSHDSACASA-N 1 2 305.426 1.967 20 30 DDEDLO CCc1noc(C[NH2+]C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C)n1 ZINC001382528348 884189790 /nfs/dbraw/zinc/18/97/90/884189790.db2.gz SRYLHASWBXAWAX-GHMZBOCLSA-N 1 2 307.398 1.412 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2ncccn2)CC1 ZINC001230407403 884475690 /nfs/dbraw/zinc/47/56/90/884475690.db2.gz PJIVMQIJLIOPGB-CYBMUJFWSA-N 1 2 304.394 1.148 20 30 DDEDLO CCNC(=O)CCC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230533205 884548927 /nfs/dbraw/zinc/54/89/27/884548927.db2.gz IMLQCOMWYGXZJD-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO CCNC(=O)CCC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230533205 884548934 /nfs/dbraw/zinc/54/89/34/884548934.db2.gz IMLQCOMWYGXZJD-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)cc1 ZINC001230585647 884619904 /nfs/dbraw/zinc/61/99/04/884619904.db2.gz VPEIVGDQZLHQFY-MRXNPFEDSA-N 1 2 304.365 1.622 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)cc1 ZINC001230585647 884619924 /nfs/dbraw/zinc/61/99/24/884619924.db2.gz VPEIVGDQZLHQFY-MRXNPFEDSA-N 1 2 304.365 1.622 20 30 DDEDLO CC[C@@H](NC(C)=O)C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230603868 884643972 /nfs/dbraw/zinc/64/39/72/884643972.db2.gz ARRKWLWULSLFEX-HZPDHXFCSA-N 1 2 320.437 1.128 20 30 DDEDLO CC[C@@H](NC(C)=O)C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230603868 884643977 /nfs/dbraw/zinc/64/39/77/884643977.db2.gz ARRKWLWULSLFEX-HZPDHXFCSA-N 1 2 320.437 1.128 20 30 DDEDLO COC[C@H](C)CC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230612445 884649718 /nfs/dbraw/zinc/64/97/18/884649718.db2.gz ADFLARPAIGSUDD-GDBMZVCRSA-N 1 2 307.438 1.886 20 30 DDEDLO COC[C@H](C)CC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230612445 884649723 /nfs/dbraw/zinc/64/97/23/884649723.db2.gz ADFLARPAIGSUDD-GDBMZVCRSA-N 1 2 307.438 1.886 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665642 884718581 /nfs/dbraw/zinc/71/85/81/884718581.db2.gz WCNUGSIRPPCWCY-HOCLYGCPSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665642 884718591 /nfs/dbraw/zinc/71/85/91/884718591.db2.gz WCNUGSIRPPCWCY-HOCLYGCPSA-N 1 2 321.465 1.790 20 30 DDEDLO CCCOCC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231080549 885195023 /nfs/dbraw/zinc/19/50/23/885195023.db2.gz SQYUBCLNJSDKQP-GOSISDBHSA-N 1 2 314.429 1.997 20 30 DDEDLO CCCOCC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231080549 885195032 /nfs/dbraw/zinc/19/50/32/885195032.db2.gz SQYUBCLNJSDKQP-GOSISDBHSA-N 1 2 314.429 1.997 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCC[C@@H](C)C1 ZINC001231123407 885261556 /nfs/dbraw/zinc/26/15/56/885261556.db2.gz TUHNIXXHQLKXGO-HZPDHXFCSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCC[C@@H](C)C1 ZINC001231123407 885261575 /nfs/dbraw/zinc/26/15/75/885261575.db2.gz TUHNIXXHQLKXGO-HZPDHXFCSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C\C(C)(C)C ZINC001231253970 885437336 /nfs/dbraw/zinc/43/73/36/885437336.db2.gz DCQZFTDKEKZAQZ-VOUMOHMSSA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C=C\C(C)(C)C ZINC001231253970 885437341 /nfs/dbraw/zinc/43/73/41/885437341.db2.gz DCQZFTDKEKZAQZ-VOUMOHMSSA-N 1 2 321.465 1.812 20 30 DDEDLO CC(C)(C)OC(=O)N[C@@H]1CC[C@H](C[NH+]2CC(O)(CC#N)C2)C1 ZINC001363222648 885750841 /nfs/dbraw/zinc/75/08/41/885750841.db2.gz MUPAIAWCEXQUKZ-QWHCGFSZSA-N 1 2 309.410 1.640 20 30 DDEDLO Cc1cc(C)[nH+]c(CN2C[C@H]3CS(=O)(=O)C[C@]3(C#N)C2)c1 ZINC001363328395 886035593 /nfs/dbraw/zinc/03/55/93/886035593.db2.gz GVJGHORMIPHURM-ZFWWWQNUSA-N 1 2 305.403 1.069 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1CN(C)C(=O)Cn1cc[nH+]c1 ZINC001288664306 913022925 /nfs/dbraw/zinc/02/29/25/913022925.db2.gz DDFQOUYYXSYMBD-OAHLLOKOSA-N 1 2 318.421 1.689 20 30 DDEDLO C#CC[NH+]1CCN(Cc2nc(Br)ccc2OC)CC1 ZINC001232817000 886608071 /nfs/dbraw/zinc/60/80/71/886608071.db2.gz WVOUPURFTNRVTD-UHFFFAOYSA-N 1 2 324.222 1.604 20 30 DDEDLO CN(C)[C@@H](C(=O)Nc1n[nH]c(C2CC2)c1C#N)c1c[nH+]cn1C ZINC001363556772 886630830 /nfs/dbraw/zinc/63/08/30/886630830.db2.gz FGCQPIFPZSTWKM-CYBMUJFWSA-N 1 2 313.365 1.134 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2cccc(C#N)n2)C1 ZINC001232927644 886679004 /nfs/dbraw/zinc/67/90/04/886679004.db2.gz FKBIOAPSZHUGBY-OAHLLOKOSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2cccc(C#N)n2)C1 ZINC001232927644 886679013 /nfs/dbraw/zinc/67/90/13/886679013.db2.gz FKBIOAPSZHUGBY-OAHLLOKOSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc[nH]c1C ZINC001233529295 887079503 /nfs/dbraw/zinc/07/95/03/887079503.db2.gz JJXITJHABJQTRZ-ZIAGYGMSSA-N 1 2 318.421 1.160 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc[nH]c1C ZINC001233529295 887079511 /nfs/dbraw/zinc/07/95/11/887079511.db2.gz JJXITJHABJQTRZ-ZIAGYGMSSA-N 1 2 318.421 1.160 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCN(c3cnc(C#N)c(C#N)n3)CC2)no1 ZINC001363734608 887100231 /nfs/dbraw/zinc/10/02/31/887100231.db2.gz RYEFCFBJWHRWDE-UHFFFAOYSA-N 1 2 323.360 1.229 20 30 DDEDLO Cc1cc(C[N@H+]2CCCN(c3cnc(C#N)c(C#N)n3)CC2)no1 ZINC001363734608 887100240 /nfs/dbraw/zinc/10/02/40/887100240.db2.gz RYEFCFBJWHRWDE-UHFFFAOYSA-N 1 2 323.360 1.229 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)cn1 ZINC001233800527 887333603 /nfs/dbraw/zinc/33/36/03/887333603.db2.gz IFBIRHDQKTWXAI-CQSZACIVSA-N 1 2 305.353 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)cn1 ZINC001233800527 887333616 /nfs/dbraw/zinc/33/36/16/887333616.db2.gz IFBIRHDQKTWXAI-CQSZACIVSA-N 1 2 305.353 1.017 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@@H](C)CCC ZINC001233946637 887484714 /nfs/dbraw/zinc/48/47/14/887484714.db2.gz CDDPTJVITKGRQR-HOTGVXAUSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@@H](C)CCC ZINC001233946637 887484719 /nfs/dbraw/zinc/48/47/19/887484719.db2.gz CDDPTJVITKGRQR-HOTGVXAUSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCCC ZINC001233947433 887487665 /nfs/dbraw/zinc/48/76/65/887487665.db2.gz MCWFMDXCYFOLIM-GJZGRUSLSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCC ZINC001233947433 887487678 /nfs/dbraw/zinc/48/76/78/887487678.db2.gz MCWFMDXCYFOLIM-GJZGRUSLSA-N 1 2 307.438 1.237 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196292 887737872 /nfs/dbraw/zinc/73/78/72/887737872.db2.gz QZTTZJFWIUUOAM-GOEBONIOSA-N 1 2 319.449 1.189 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196292 887737884 /nfs/dbraw/zinc/73/78/84/887737884.db2.gz QZTTZJFWIUUOAM-GOEBONIOSA-N 1 2 319.449 1.189 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cccnc1 ZINC001234214537 887753334 /nfs/dbraw/zinc/75/33/34/887753334.db2.gz CGVVDXMZJNIZQX-GOEBONIOSA-N 1 2 301.390 1.153 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cccnc1 ZINC001234214537 887753349 /nfs/dbraw/zinc/75/33/49/887753349.db2.gz CGVVDXMZJNIZQX-GOEBONIOSA-N 1 2 301.390 1.153 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001234264540 887802406 /nfs/dbraw/zinc/80/24/06/887802406.db2.gz CQHWZGOOVYHSMV-ZFWWWQNUSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001234264540 887802415 /nfs/dbraw/zinc/80/24/15/887802415.db2.gz CQHWZGOOVYHSMV-ZFWWWQNUSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)Oc1ccccc1F ZINC001234488782 888019193 /nfs/dbraw/zinc/01/91/93/888019193.db2.gz JQRASXOFXULOOM-KBPBESRZSA-N 1 2 304.365 1.759 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)Oc1ccccc1F ZINC001234488782 888019204 /nfs/dbraw/zinc/01/92/04/888019204.db2.gz JQRASXOFXULOOM-KBPBESRZSA-N 1 2 304.365 1.759 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CCC(=C)C)C1=O ZINC001234615330 888144095 /nfs/dbraw/zinc/14/40/95/888144095.db2.gz ZYWRQWGKFKSCPG-CVEARBPZSA-N 1 2 319.449 1.662 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CCC(=C)C)C1=O ZINC001234615330 888144098 /nfs/dbraw/zinc/14/40/98/888144098.db2.gz ZYWRQWGKFKSCPG-CVEARBPZSA-N 1 2 319.449 1.662 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)C[C@@H](C)OC ZINC001235389892 888605871 /nfs/dbraw/zinc/60/58/71/888605871.db2.gz VTWYIKLLHYWABK-LLVKDONJSA-N 1 2 307.232 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)C[C@@H](C)OC ZINC001235389892 888605876 /nfs/dbraw/zinc/60/58/76/888605876.db2.gz VTWYIKLLHYWABK-LLVKDONJSA-N 1 2 307.232 1.758 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)Cc1nc([C@@H](C)OC)no1 ZINC001235480642 888670692 /nfs/dbraw/zinc/67/06/92/888670692.db2.gz OVNKVHIJDXLLOD-GFCCVEGCSA-N 1 2 310.398 1.681 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)Cc1nc([C@@H](C)OC)no1 ZINC001235480642 888670698 /nfs/dbraw/zinc/67/06/98/888670698.db2.gz OVNKVHIJDXLLOD-GFCCVEGCSA-N 1 2 310.398 1.681 20 30 DDEDLO Cc1cc(CN(CCC#N)CC[NH+]2CCOCC2)cc(C)n1 ZINC001364931562 889693017 /nfs/dbraw/zinc/69/30/17/889693017.db2.gz KFKKMJBBXLBWMR-UHFFFAOYSA-N 1 2 302.422 1.746 20 30 DDEDLO CC(C)C[N@@H+]1Cc2ccnn2CC[C@H]1C(=O)NC1(C#N)CCC1 ZINC001278118735 889727438 /nfs/dbraw/zinc/72/74/38/889727438.db2.gz QYFGTPRUDRFNSZ-HNNXBMFYSA-N 1 2 315.421 1.676 20 30 DDEDLO CC(C)C[N@H+]1Cc2ccnn2CC[C@H]1C(=O)NC1(C#N)CCC1 ZINC001278118735 889727450 /nfs/dbraw/zinc/72/74/50/889727450.db2.gz QYFGTPRUDRFNSZ-HNNXBMFYSA-N 1 2 315.421 1.676 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CCC[C@H](n4ccnn4)C3)cn2c1 ZINC001237617183 889760875 /nfs/dbraw/zinc/76/08/75/889760875.db2.gz JXILPRLRFOTQDG-HNNXBMFYSA-N 1 2 307.361 1.635 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CCC[C@H](n4ccnn4)C3)cn2c1 ZINC001237617183 889760880 /nfs/dbraw/zinc/76/08/80/889760880.db2.gz JXILPRLRFOTQDG-HNNXBMFYSA-N 1 2 307.361 1.635 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+](Cc3cn[nH]c3CC)C2)C1=O ZINC001237647768 889780064 /nfs/dbraw/zinc/78/00/64/889780064.db2.gz UWUYUCQTLDGWDP-QGZVFWFLSA-N 1 2 302.422 1.973 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+](Cc3cn[nH]c3CC)C2)C1=O ZINC001237647768 889780075 /nfs/dbraw/zinc/78/00/75/889780075.db2.gz UWUYUCQTLDGWDP-QGZVFWFLSA-N 1 2 302.422 1.973 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@]1(C)CC[N@H+](Cc2ncc(C)s2)C1 ZINC001278398264 892004122 /nfs/dbraw/zinc/00/41/22/892004122.db2.gz YLLBMACMPPVODU-CJNGLKHVSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@]1(C)CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001278398264 892004139 /nfs/dbraw/zinc/00/41/39/892004139.db2.gz YLLBMACMPPVODU-CJNGLKHVSA-N 1 2 321.446 1.570 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365972075 892084960 /nfs/dbraw/zinc/08/49/60/892084960.db2.gz LYQKIQWCFNJBDX-SMDDNHRTSA-N 1 2 305.353 1.423 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365972075 892084979 /nfs/dbraw/zinc/08/49/79/892084979.db2.gz LYQKIQWCFNJBDX-SMDDNHRTSA-N 1 2 305.353 1.423 20 30 DDEDLO CC[C@@H](OC)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365981610 892121479 /nfs/dbraw/zinc/12/14/79/892121479.db2.gz YWJKMLDKBFQKHJ-GOEBONIOSA-N 1 2 319.380 1.813 20 30 DDEDLO CC[C@@H](OC)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365981610 892121483 /nfs/dbraw/zinc/12/14/83/892121483.db2.gz YWJKMLDKBFQKHJ-GOEBONIOSA-N 1 2 319.380 1.813 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H](C)NC(=O)CC ZINC001366196671 892705778 /nfs/dbraw/zinc/70/57/78/892705778.db2.gz GSOZSBWEIVDKTQ-OLZOCXBDSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H](C)NC(=O)CC ZINC001366196671 892705787 /nfs/dbraw/zinc/70/57/87/892705787.db2.gz GSOZSBWEIVDKTQ-OLZOCXBDSA-N 1 2 315.845 1.624 20 30 DDEDLO CC(=O)O[C@H](C)[C@H]([NH3+])c1nc(-c2cc(F)cc(C#N)c2)no1 ZINC001249266408 893902710 /nfs/dbraw/zinc/90/27/10/893902710.db2.gz LRBDOGLOOUPQSK-KRTXAFLBSA-N 1 2 304.281 1.699 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cnc(Br)c(OC)c2)CC1 ZINC001249840320 894147721 /nfs/dbraw/zinc/14/77/21/894147721.db2.gz KRQFDKZSOMQYMU-UHFFFAOYSA-N 1 2 324.222 1.604 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H](C)Cc1cnn(C)c1 ZINC001366674048 894522201 /nfs/dbraw/zinc/52/22/01/894522201.db2.gz PBXYXYJJFIHITC-WFASDCNBSA-N 1 2 324.856 1.932 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H](C)Cc1cnn(C)c1 ZINC001366674048 894522214 /nfs/dbraw/zinc/52/22/14/894522214.db2.gz PBXYXYJJFIHITC-WFASDCNBSA-N 1 2 324.856 1.932 20 30 DDEDLO Cn1ccc(C(=O)NCC[N@H+](Cc2ccccc2C#N)C2CC2)n1 ZINC001366763819 894861128 /nfs/dbraw/zinc/86/11/28/894861128.db2.gz XIMVKPRHJJZHQO-UHFFFAOYSA-N 1 2 323.400 1.686 20 30 DDEDLO Cn1ccc(C(=O)NCC[N@@H+](Cc2ccccc2C#N)C2CC2)n1 ZINC001366763819 894861146 /nfs/dbraw/zinc/86/11/46/894861146.db2.gz XIMVKPRHJJZHQO-UHFFFAOYSA-N 1 2 323.400 1.686 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)CCC[N@@H+]([C@H](C)c2nnnn2C)C1 ZINC001278648807 895032821 /nfs/dbraw/zinc/03/28/21/895032821.db2.gz UGMNUKJUFJNOFQ-CZUORRHYSA-N 1 2 320.441 1.456 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)CCC[N@H+]([C@H](C)c2nnnn2C)C1 ZINC001278648807 895032841 /nfs/dbraw/zinc/03/28/41/895032841.db2.gz UGMNUKJUFJNOFQ-CZUORRHYSA-N 1 2 320.441 1.456 20 30 DDEDLO C=C[C@](C)(O)CNc1[nH+]c2ccccc2n1CCNC(C)=O ZINC001252547400 895257493 /nfs/dbraw/zinc/25/74/93/895257493.db2.gz HZTHILZIFJWXCL-INIZCTEOSA-N 1 2 302.378 1.521 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1C[C@](C)(O)C=C ZINC001252547161 895257806 /nfs/dbraw/zinc/25/78/06/895257806.db2.gz DSCKTSHRHJTTHG-GDBMZVCRSA-N 1 2 306.410 1.337 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1C[C@](C)(O)C=C ZINC001252547161 895257820 /nfs/dbraw/zinc/25/78/20/895257820.db2.gz DSCKTSHRHJTTHG-GDBMZVCRSA-N 1 2 306.410 1.337 20 30 DDEDLO C=CC[C@@H]([NH2+]C[C@@H](O)CCOCc1ccccc1)C(=O)OC ZINC001253290843 895771784 /nfs/dbraw/zinc/77/17/84/895771784.db2.gz GMHRJJQQFXIYRA-JKSUJKDBSA-N 1 2 307.390 1.662 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1C[C@@H](O)C(C)C ZINC001253758773 896063533 /nfs/dbraw/zinc/06/35/33/896063533.db2.gz BRGSMRKWHUELHA-HUUCEWRRSA-N 1 2 308.426 1.417 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1C[C@@H](O)C(C)C ZINC001253758773 896063549 /nfs/dbraw/zinc/06/35/49/896063549.db2.gz BRGSMRKWHUELHA-HUUCEWRRSA-N 1 2 308.426 1.417 20 30 DDEDLO COC(=O)[C@@H](CO)[NH2+]C1CCC(C#N)(c2ccc(F)cc2)CC1 ZINC001254346247 896381231 /nfs/dbraw/zinc/38/12/31/896381231.db2.gz MOJOWAGBMCFLEK-ISXOHVOVSA-N 1 2 320.364 1.653 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@]1(O)CC[N@H+](Cc2ncc(C)o2)C1 ZINC001278795906 896514099 /nfs/dbraw/zinc/51/40/99/896514099.db2.gz UMTPPGUMIYFVHW-QGZVFWFLSA-N 1 2 321.421 1.638 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@]1(O)CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001278795906 896514115 /nfs/dbraw/zinc/51/41/15/896514115.db2.gz UMTPPGUMIYFVHW-QGZVFWFLSA-N 1 2 321.421 1.638 20 30 DDEDLO C=CC[C@H]([NH2+]C1CCN(C(=O)C(F)(F)F)CC1)C(=O)OC ZINC001255975438 897179272 /nfs/dbraw/zinc/17/92/72/897179272.db2.gz SIRBNGUAOOEVMM-JTQLQIEISA-N 1 2 308.300 1.247 20 30 DDEDLO CCn1ccc(C[N@H+](C)CCN(C(=O)C#CC2CC2)C(C)C)n1 ZINC001278904710 897211713 /nfs/dbraw/zinc/21/17/13/897211713.db2.gz RKDCMDCEEALRAV-UHFFFAOYSA-N 1 2 316.449 1.985 20 30 DDEDLO CCn1ccc(C[N@@H+](C)CCN(C(=O)C#CC2CC2)C(C)C)n1 ZINC001278904710 897211728 /nfs/dbraw/zinc/21/17/28/897211728.db2.gz RKDCMDCEEALRAV-UHFFFAOYSA-N 1 2 316.449 1.985 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001278910768 897285315 /nfs/dbraw/zinc/28/53/15/897285315.db2.gz LRWDLRSIYVAPMF-UHFFFAOYSA-N 1 2 310.756 1.279 20 30 DDEDLO CC(C)(C)[C@@H](CNCC#N)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001278978756 897642704 /nfs/dbraw/zinc/64/27/04/897642704.db2.gz MCNPEIRVZZRNRQ-TZMCWYRMSA-N 1 2 303.410 1.090 20 30 DDEDLO CC[C@@H]1C[C@@H](N2CC[NH2+]C[C@@H]2C#N)CCN1C(=O)OC(C)(C)C ZINC001257124263 897738340 /nfs/dbraw/zinc/73/83/40/897738340.db2.gz AFZZNBAZENDMSS-ILXRZTDVSA-N 1 2 322.453 1.962 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@H](C)NC(=O)c2c[nH]c(C#N)c2)oc1C ZINC001367795238 897824336 /nfs/dbraw/zinc/82/43/36/897824336.db2.gz MPMKMBGLBQBLDC-JTQLQIEISA-N 1 2 315.377 1.742 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@H](C)NC(=O)c2c[nH]c(C#N)c2)oc1C ZINC001367795238 897824343 /nfs/dbraw/zinc/82/43/43/897824343.db2.gz MPMKMBGLBQBLDC-JTQLQIEISA-N 1 2 315.377 1.742 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001367934106 898225026 /nfs/dbraw/zinc/22/50/26/898225026.db2.gz DPOMFZNNFQCSEX-AWEZNQCLSA-N 1 2 322.840 1.705 20 30 DDEDLO C=CCS(=O)(=O)NCc1c[nH+]cn1Cc1cccc(F)c1 ZINC001259935743 898952116 /nfs/dbraw/zinc/95/21/16/898952116.db2.gz SCTRNOCRRWZZQU-UHFFFAOYSA-N 1 2 309.366 1.676 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)NCc2cc(C)[nH+]c(C)c2)C1 ZINC001261977470 899873814 /nfs/dbraw/zinc/87/38/14/899873814.db2.gz LJXXCOKLCBIRHJ-CQSZACIVSA-N 1 2 301.390 1.739 20 30 DDEDLO Cn1ccn2c(C[NH2+][C@H]3CCc4ccc(C#N)cc43)nnc2c1=O ZINC001262031162 899901723 /nfs/dbraw/zinc/90/17/23/899901723.db2.gz IOBLICQHGGAUSW-AWEZNQCLSA-N 1 2 320.356 1.077 20 30 DDEDLO C=CCn1c([C@H]2C[N@H+](C(C)C)CCO2)nnc1N(C)C1CC1 ZINC001262941879 900427754 /nfs/dbraw/zinc/42/77/54/900427754.db2.gz XUKQFRHGCHMVSI-CQSZACIVSA-N 1 2 305.426 1.844 20 30 DDEDLO C=CCn1c([C@H]2C[N@@H+](C(C)C)CCO2)nnc1N(C)C1CC1 ZINC001262941879 900427761 /nfs/dbraw/zinc/42/77/61/900427761.db2.gz XUKQFRHGCHMVSI-CQSZACIVSA-N 1 2 305.426 1.844 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccco3)n2CC(C)C)CC1 ZINC001263381972 900595787 /nfs/dbraw/zinc/59/57/87/900595787.db2.gz KANDWSJUUZYAAX-UHFFFAOYSA-N 1 2 313.405 1.949 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3(OC)CC3)n2CC(C)C)CC1 ZINC001263422897 900607683 /nfs/dbraw/zinc/60/76/83/900607683.db2.gz OEBOWTYVWGRFCE-UHFFFAOYSA-N 1 2 317.437 1.325 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@@H]1CN(C)C(=O)CS(=O)(=O)C(C)C ZINC001263821040 900734532 /nfs/dbraw/zinc/73/45/32/900734532.db2.gz LHXGGAFVUXBMEW-CQSZACIVSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@@H]1CN(C)C(=O)CS(=O)(=O)C(C)C ZINC001263821040 900734542 /nfs/dbraw/zinc/73/45/42/900734542.db2.gz LHXGGAFVUXBMEW-CQSZACIVSA-N 1 2 316.467 1.309 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#CCOC)cc1 ZINC001264366988 901041658 /nfs/dbraw/zinc/04/16/58/901041658.db2.gz OUEKBSQPYYWCJS-SFHVURJKSA-N 1 2 310.397 1.464 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#CCOC)cc1 ZINC001264366988 901041668 /nfs/dbraw/zinc/04/16/68/901041668.db2.gz OUEKBSQPYYWCJS-SFHVURJKSA-N 1 2 310.397 1.464 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCC(C)(C)C1 ZINC001264370452 901048812 /nfs/dbraw/zinc/04/88/12/901048812.db2.gz CWFSHGUYSUGJLD-HOTGVXAUSA-N 1 2 306.450 1.995 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCC(C)(C)C1 ZINC001264370452 901048827 /nfs/dbraw/zinc/04/88/27/901048827.db2.gz CWFSHGUYSUGJLD-HOTGVXAUSA-N 1 2 306.450 1.995 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@H]23)o1 ZINC001369413707 901231168 /nfs/dbraw/zinc/23/11/68/901231168.db2.gz NNGIENFCBUZTPX-ITDIGPHOSA-N 1 2 303.366 1.151 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@H]23)o1 ZINC001369413707 901231179 /nfs/dbraw/zinc/23/11/79/901231179.db2.gz NNGIENFCBUZTPX-ITDIGPHOSA-N 1 2 303.366 1.151 20 30 DDEDLO O=C(C#CC1CC1)NCCCCCCNC(=O)Cc1[nH]cc[nH+]1 ZINC001293521577 914525737 /nfs/dbraw/zinc/52/57/37/914525737.db2.gz OOYJWBGDPMKKMP-UHFFFAOYSA-N 1 2 316.405 1.158 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CCC2CCCC2)C1 ZINC001265201564 901693835 /nfs/dbraw/zinc/69/38/35/901693835.db2.gz RNWYQTVHBPMSNS-INIZCTEOSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CCC2CCCC2)C1 ZINC001265201564 901693845 /nfs/dbraw/zinc/69/38/45/901693845.db2.gz RNWYQTVHBPMSNS-INIZCTEOSA-N 1 2 321.465 1.840 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC(C)(C)CC)C1 ZINC001265212898 901714484 /nfs/dbraw/zinc/71/44/84/901714484.db2.gz HYVXIVSRJKHWTR-OAHLLOKOSA-N 1 2 321.465 1.675 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NC(C)(C)CC)C1 ZINC001265212898 901714498 /nfs/dbraw/zinc/71/44/98/901714498.db2.gz HYVXIVSRJKHWTR-OAHLLOKOSA-N 1 2 321.465 1.675 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(C)cs2)C1 ZINC001265213026 901716522 /nfs/dbraw/zinc/71/65/22/901716522.db2.gz KRLMVYNHZXTQEO-CQSZACIVSA-N 1 2 306.431 1.901 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(C)cs2)C1 ZINC001265213026 901716538 /nfs/dbraw/zinc/71/65/38/901716538.db2.gz KRLMVYNHZXTQEO-CQSZACIVSA-N 1 2 306.431 1.901 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ncoc2C(C)C)C1 ZINC001265283481 901821343 /nfs/dbraw/zinc/82/13/43/901821343.db2.gz FSWRVZJQVPWKLX-ZIAGYGMSSA-N 1 2 319.405 1.527 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ncoc2C(C)C)C1 ZINC001265283481 901821352 /nfs/dbraw/zinc/82/13/52/901821352.db2.gz FSWRVZJQVPWKLX-ZIAGYGMSSA-N 1 2 319.405 1.527 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)c2ccsc2)C1 ZINC001265303147 901854201 /nfs/dbraw/zinc/85/42/01/901854201.db2.gz BUFJDMXYTMJVQJ-JSGCOSHPSA-N 1 2 321.446 1.344 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)c2ccsc2)C1 ZINC001265303147 901854212 /nfs/dbraw/zinc/85/42/12/901854212.db2.gz BUFJDMXYTMJVQJ-JSGCOSHPSA-N 1 2 321.446 1.344 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001265443348 902024457 /nfs/dbraw/zinc/02/44/57/902024457.db2.gz YOUYVKOTQVDFEK-OLZOCXBDSA-N 1 2 322.409 1.509 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391575296 902043635 /nfs/dbraw/zinc/04/36/35/902043635.db2.gz AJKPPPJIMPLAHW-SMDDNHRTSA-N 1 2 305.353 1.423 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391575296 902043647 /nfs/dbraw/zinc/04/36/47/902043647.db2.gz AJKPPPJIMPLAHW-SMDDNHRTSA-N 1 2 305.353 1.423 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001265759065 902374354 /nfs/dbraw/zinc/37/43/54/902374354.db2.gz OSXOQFFULARDFY-NEPJUHHUSA-N 1 2 324.425 1.725 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001293731087 914664503 /nfs/dbraw/zinc/66/45/03/914664503.db2.gz LDMHKPBVCVASEG-UHFFFAOYSA-N 1 2 318.421 1.546 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)(C)C(C)C)C1 ZINC001266222144 903140418 /nfs/dbraw/zinc/14/04/18/903140418.db2.gz OMBPRCYBIIKARI-CQSZACIVSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)C(C)C)C1 ZINC001266222144 903140426 /nfs/dbraw/zinc/14/04/26/903140426.db2.gz OMBPRCYBIIKARI-CQSZACIVSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(NC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001293787857 914701491 /nfs/dbraw/zinc/70/14/91/914701491.db2.gz HRZQOHIZORQTPK-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(NC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001293787857 914701503 /nfs/dbraw/zinc/70/15/03/914701503.db2.gz HRZQOHIZORQTPK-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nonc1C[N@H+]1C[C@@H]2CCCN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001279613424 903355854 /nfs/dbraw/zinc/35/58/54/903355854.db2.gz RAEKKYMBVXDRPM-GOEBONIOSA-N 1 2 316.405 1.460 20 30 DDEDLO Cc1nonc1C[N@@H+]1C[C@@H]2CCCN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001279613424 903355868 /nfs/dbraw/zinc/35/58/68/903355868.db2.gz RAEKKYMBVXDRPM-GOEBONIOSA-N 1 2 316.405 1.460 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1=CC[N@H+](Cc2cnnn2C)CC1 ZINC001279628364 903358901 /nfs/dbraw/zinc/35/89/01/903358901.db2.gz HGCOZYAJZNJFJJ-KRWDZBQOSA-N 1 2 317.437 1.666 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1=CC[N@@H+](Cc2cnnn2C)CC1 ZINC001279628364 903358916 /nfs/dbraw/zinc/35/89/16/903358916.db2.gz HGCOZYAJZNJFJJ-KRWDZBQOSA-N 1 2 317.437 1.666 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H](OCC)C(C)C ZINC001280405913 903641427 /nfs/dbraw/zinc/64/14/27/903641427.db2.gz HGDWQYWIVWBCDE-HOTGVXAUSA-N 1 2 312.454 1.831 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H](OCC)C(C)C ZINC001280405913 903641430 /nfs/dbraw/zinc/64/14/30/903641430.db2.gz HGDWQYWIVWBCDE-HOTGVXAUSA-N 1 2 312.454 1.831 20 30 DDEDLO Cn1cnnc1C[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)CC1 ZINC001280734584 903969389 /nfs/dbraw/zinc/96/93/89/903969389.db2.gz XAXXISNXXMJTMY-CQSZACIVSA-N 1 2 317.437 1.335 20 30 DDEDLO Cn1cnnc1C[N@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)CC1 ZINC001280734584 903969395 /nfs/dbraw/zinc/96/93/95/903969395.db2.gz XAXXISNXXMJTMY-CQSZACIVSA-N 1 2 317.437 1.335 20 30 DDEDLO CCc1nnc(C[N@H+](C)CCCN(C)C(=O)C#CC(C)(C)C)o1 ZINC001280814964 904078061 /nfs/dbraw/zinc/07/80/61/904078061.db2.gz WEAMSLCLNBJTKN-UHFFFAOYSA-N 1 2 320.437 1.962 20 30 DDEDLO CCc1nnc(C[N@@H+](C)CCCN(C)C(=O)C#CC(C)(C)C)o1 ZINC001280814964 904078076 /nfs/dbraw/zinc/07/80/76/904078076.db2.gz WEAMSLCLNBJTKN-UHFFFAOYSA-N 1 2 320.437 1.962 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H](C)CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001281059691 904362557 /nfs/dbraw/zinc/36/25/57/904362557.db2.gz SHVMGDFRDRKYGS-OLZOCXBDSA-N 1 2 306.410 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)C[C@@H]1CCCC(=O)N1 ZINC001392671602 904831097 /nfs/dbraw/zinc/83/10/97/904831097.db2.gz FIXNYAAITBFWAE-RYUDHWBXSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)C[C@@H]1CCCC(=O)N1 ZINC001392671602 904831114 /nfs/dbraw/zinc/83/11/14/904831114.db2.gz FIXNYAAITBFWAE-RYUDHWBXSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1ccc2[nH]c(=O)[nH]c2n1 ZINC001392697386 904947497 /nfs/dbraw/zinc/94/74/97/904947497.db2.gz VVAAENJRLUJBCS-VIFPVBQESA-N 1 2 323.784 1.466 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1ccc2[nH]c(=O)[nH]c2n1 ZINC001392697386 904947502 /nfs/dbraw/zinc/94/75/02/904947502.db2.gz VVAAENJRLUJBCS-VIFPVBQESA-N 1 2 323.784 1.466 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2cc(C)n(CC)c2C)C1 ZINC001282527268 905850616 /nfs/dbraw/zinc/85/06/16/905850616.db2.gz IVHFBKZUFVRMLI-UHFFFAOYSA-N 1 2 317.433 1.315 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@H](OC)C2CCCCC2)C1 ZINC001282550877 905865794 /nfs/dbraw/zinc/86/57/94/905865794.db2.gz WPLOTGNXJSFPRG-MRXNPFEDSA-N 1 2 324.465 1.711 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1C[N@H+](CC(=C)Cl)CC1(C)C ZINC001282799860 906051754 /nfs/dbraw/zinc/05/17/54/906051754.db2.gz FPEJOHJGOUACFM-SWLSCSKDSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1C[N@@H+](CC(=C)Cl)CC1(C)C ZINC001282799860 906051787 /nfs/dbraw/zinc/05/17/87/906051787.db2.gz FPEJOHJGOUACFM-SWLSCSKDSA-N 1 2 300.830 1.893 20 30 DDEDLO CC[C@H](C(N)=O)[N@@H+]1Cc2ccc(CNC(=O)[C@@H](C)C#N)cc2C1 ZINC001393720839 907751869 /nfs/dbraw/zinc/75/18/69/907751869.db2.gz SDAOKOSNNFNALB-XHDPSFHLSA-N 1 2 314.389 1.042 20 30 DDEDLO CC[C@H](C(N)=O)[N@H+]1Cc2ccc(CNC(=O)[C@@H](C)C#N)cc2C1 ZINC001393720839 907751890 /nfs/dbraw/zinc/75/18/90/907751890.db2.gz SDAOKOSNNFNALB-XHDPSFHLSA-N 1 2 314.389 1.042 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(C)(C)C(C)C)[C@@H]1C ZINC001284127866 908518907 /nfs/dbraw/zinc/51/89/07/908518907.db2.gz GGVUIMDEYCQMMO-GJZGRUSLSA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C(C)C)[C@@H]1C ZINC001284127866 908518913 /nfs/dbraw/zinc/51/89/13/908518913.db2.gz GGVUIMDEYCQMMO-GJZGRUSLSA-N 1 2 321.465 1.387 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001284327131 908834279 /nfs/dbraw/zinc/83/42/79/908834279.db2.gz WVHGZDAFRRNQTK-KBPBESRZSA-N 1 2 318.421 1.811 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001284524714 909141698 /nfs/dbraw/zinc/14/16/98/909141698.db2.gz IAXLIDXFMLGMLT-ZDUSSCGKSA-N 1 2 306.410 1.621 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C(=O)[C@H](C)C1CCC1)C(C)C ZINC001284538874 909159336 /nfs/dbraw/zinc/15/93/36/909159336.db2.gz YKPGXFUDPPZZDI-OAHLLOKOSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C(=O)[C@H](C)C1CCC1)C(C)C ZINC001284538874 909159344 /nfs/dbraw/zinc/15/93/44/909159344.db2.gz YKPGXFUDPPZZDI-OAHLLOKOSA-N 1 2 321.465 1.341 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001284890725 909659457 /nfs/dbraw/zinc/65/94/57/909659457.db2.gz AHPSDYCQLDKFMH-QWRGUYRKSA-N 1 2 303.366 1.347 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001284890725 909659471 /nfs/dbraw/zinc/65/94/71/909659471.db2.gz AHPSDYCQLDKFMH-QWRGUYRKSA-N 1 2 303.366 1.347 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)n1cc[nH+]c1 ZINC001284999299 909917427 /nfs/dbraw/zinc/91/74/27/909917427.db2.gz NOFFGHDEZOGTEA-KKUMJFAQSA-N 1 2 316.405 1.612 20 30 DDEDLO C#CCCCC(=O)NC[C@](C)(NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001285144304 910116932 /nfs/dbraw/zinc/11/69/32/910116932.db2.gz PJADMAFULDHWME-KRWDZBQOSA-N 1 2 316.405 1.088 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001285224035 910242910 /nfs/dbraw/zinc/24/29/10/910242910.db2.gz DEHVMQVQGGIWJG-ZDUSSCGKSA-N 1 2 320.437 1.433 20 30 DDEDLO CCC[C@@H](C)C(=O)N[C@H]1CC[N@H+](CC(=O)N(CC)CCC#N)C1 ZINC001373588829 910266276 /nfs/dbraw/zinc/26/62/76/910266276.db2.gz SYMMPJBIPHBNDF-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO CCC[C@@H](C)C(=O)N[C@H]1CC[N@@H+](CC(=O)N(CC)CCC#N)C1 ZINC001373588829 910266284 /nfs/dbraw/zinc/26/62/84/910266284.db2.gz SYMMPJBIPHBNDF-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001285745402 911140884 /nfs/dbraw/zinc/14/08/84/911140884.db2.gz MBPGJXABVMMQHR-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCCCC(=O)N(C)[C@@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001285752677 911149733 /nfs/dbraw/zinc/14/97/33/911149733.db2.gz LSHDSGHFYHUERA-ZDUSSCGKSA-N 1 2 306.410 1.282 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001285878597 911353271 /nfs/dbraw/zinc/35/32/71/911353271.db2.gz RTDXSRJRABNOQS-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001294748036 915336997 /nfs/dbraw/zinc/33/69/97/915336997.db2.gz JBFAUDNUHOLEAJ-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001295435773 915785956 /nfs/dbraw/zinc/78/59/56/915785956.db2.gz KSSNWZSVXDGWAD-OLZOCXBDSA-N 1 2 304.394 1.033 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001295893661 916112804 /nfs/dbraw/zinc/11/28/04/916112804.db2.gz BXVIHZOYTBUQKM-GFCCVEGCSA-N 1 2 320.437 1.874 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC(NC(=O)CCn2cc[nH+]c2)C1 ZINC001297106064 916732239 /nfs/dbraw/zinc/73/22/39/916732239.db2.gz KJSRZPNVXHOVHV-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO CCc1noc(C[N@@H+]2CCC[C@@H](NC(=O)[C@H](C)C#N)CC2)n1 ZINC001376886971 919678793 /nfs/dbraw/zinc/67/87/93/919678793.db2.gz VERPSUZSLKHZKP-VXGBXAGGSA-N 1 2 305.382 1.262 20 30 DDEDLO CCc1noc(C[N@H+]2CCC[C@@H](NC(=O)[C@H](C)C#N)CC2)n1 ZINC001376886971 919678801 /nfs/dbraw/zinc/67/88/01/919678801.db2.gz VERPSUZSLKHZKP-VXGBXAGGSA-N 1 2 305.382 1.262 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H]1CC12CC(OCC)C2 ZINC001377220378 920676740 /nfs/dbraw/zinc/67/67/40/920676740.db2.gz BAJRXVNYGCZKPX-JVWICGRDSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H]1CC12CC(OCC)C2 ZINC001377220378 920676747 /nfs/dbraw/zinc/67/67/47/920676747.db2.gz BAJRXVNYGCZKPX-JVWICGRDSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H](C)OCc2ccncc2)C1 ZINC001377998514 923640654 /nfs/dbraw/zinc/64/06/54/923640654.db2.gz ZTASGPPGFIKTRG-ZDUSSCGKSA-N 1 2 323.824 1.787 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C[C@@]2(CCOC2)O1 ZINC000332963463 529385927 /nfs/dbraw/zinc/38/59/27/529385927.db2.gz OQDQCTMJEIKFMR-HYVNUMGLSA-N 1 2 321.421 1.065 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C[C@@]2(CCOC2)O1 ZINC000332963463 529385930 /nfs/dbraw/zinc/38/59/30/529385930.db2.gz OQDQCTMJEIKFMR-HYVNUMGLSA-N 1 2 321.421 1.065 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000494675349 529392055 /nfs/dbraw/zinc/39/20/55/529392055.db2.gz ZRXSXIKASHBZCR-MRXNPFEDSA-N 1 2 316.405 1.468 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000494675349 529392058 /nfs/dbraw/zinc/39/20/58/529392058.db2.gz ZRXSXIKASHBZCR-MRXNPFEDSA-N 1 2 316.405 1.468 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+](C)C[C@@H](O)C(F)(F)F ZINC000305774649 231051088 /nfs/dbraw/zinc/05/10/88/231051088.db2.gz MQZPQPPTYFFUKC-BXKDBHETSA-N 1 2 315.295 1.740 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+](C)C[C@@H](O)C(F)(F)F ZINC000305774649 231051092 /nfs/dbraw/zinc/05/10/92/231051092.db2.gz MQZPQPPTYFFUKC-BXKDBHETSA-N 1 2 315.295 1.740 20 30 DDEDLO COC(=O)[C@@H]1[C@H](O)CCC[N@@H+]1Cc1ccc(C(C)(C)C#N)cc1 ZINC000614919775 362076498 /nfs/dbraw/zinc/07/64/98/362076498.db2.gz OSHXUDAASWWPIW-CVEARBPZSA-N 1 2 316.401 1.986 20 30 DDEDLO COC(=O)[C@@H]1[C@H](O)CCC[N@H+]1Cc1ccc(C(C)(C)C#N)cc1 ZINC000614919775 362076502 /nfs/dbraw/zinc/07/65/02/362076502.db2.gz OSHXUDAASWWPIW-CVEARBPZSA-N 1 2 316.401 1.986 20 30 DDEDLO Cn1cc(-c2nc(C(=O)NC[C@@H]3C[N@H+](C)CCO3)cs2)cn1 ZINC000329093271 539300996 /nfs/dbraw/zinc/30/09/96/539300996.db2.gz XJHAYCIYWGYQRW-LLVKDONJSA-N 1 2 321.406 1.179 20 30 DDEDLO Cn1cc(-c2nc(C(=O)NC[C@@H]3C[N@@H+](C)CCO3)cs2)cn1 ZINC000329093271 539300998 /nfs/dbraw/zinc/30/09/98/539300998.db2.gz XJHAYCIYWGYQRW-LLVKDONJSA-N 1 2 321.406 1.179 20 30 DDEDLO C[C@@H](C(=O)Nc1ncccn1)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000329176099 539301627 /nfs/dbraw/zinc/30/16/27/539301627.db2.gz MJLTXKWRZVYVBH-HZSPNIEDSA-N 1 2 319.409 1.248 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N1CC2(C1)CCCOC2 ZINC000329465797 539304767 /nfs/dbraw/zinc/30/47/67/539304767.db2.gz VSJKZELKHSNRTM-UHFFFAOYSA-N 1 2 304.394 1.746 20 30 DDEDLO [O-]C(N[C@@H]1CCc2[nH]c[nH+]c2C1)=[NH+][C@@H]1COc2ccccc2C1 ZINC000329597058 539306194 /nfs/dbraw/zinc/30/61/94/539306194.db2.gz IVASPFVAINSVTH-OLZOCXBDSA-N 1 2 312.373 1.774 20 30 DDEDLO [O-]C(N[C@@H]1CCc2[nH+]c[nH]c2C1)=[NH+][C@@H]1COc2ccccc2C1 ZINC000329597058 539306196 /nfs/dbraw/zinc/30/61/96/539306196.db2.gz IVASPFVAINSVTH-OLZOCXBDSA-N 1 2 312.373 1.774 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CSc1nncn1-c1ccccc1 ZINC000414095544 529868350 /nfs/dbraw/zinc/86/83/50/529868350.db2.gz KEVXZXJLWBTBOU-CQSZACIVSA-N 1 2 317.418 1.566 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CSc1nncn1-c1ccccc1 ZINC000414095544 529868351 /nfs/dbraw/zinc/86/83/51/529868351.db2.gz KEVXZXJLWBTBOU-CQSZACIVSA-N 1 2 317.418 1.566 20 30 DDEDLO O=C(C#Cc1ccccc1)N1CC[NH+](Cc2ccncc2)CC1 ZINC000080497987 192162737 /nfs/dbraw/zinc/16/27/37/192162737.db2.gz KDBNBACSBRYBNR-UHFFFAOYSA-N 1 2 305.381 1.778 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CCCO1 ZINC000147427736 186040192 /nfs/dbraw/zinc/04/01/92/186040192.db2.gz XXXXEQMJCFSDAT-CYBMUJFWSA-N 1 2 308.403 1.443 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CCCO1 ZINC000147427736 186040194 /nfs/dbraw/zinc/04/01/94/186040194.db2.gz XXXXEQMJCFSDAT-CYBMUJFWSA-N 1 2 308.403 1.443 20 30 DDEDLO N#Cc1cc(NCc2cc[nH+]c(N3CCSCC3)c2)ccn1 ZINC000601241078 358459601 /nfs/dbraw/zinc/45/96/01/358459601.db2.gz WMZZRESZVJDNBM-UHFFFAOYSA-N 1 2 311.414 1.935 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1ccc(F)cc1F ZINC000025078379 352193826 /nfs/dbraw/zinc/19/38/26/352193826.db2.gz RJEQFHZGKCRGOH-JTQLQIEISA-N 1 2 311.332 1.797 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1ccc(F)cc1F ZINC000025078379 352193828 /nfs/dbraw/zinc/19/38/28/352193828.db2.gz RJEQFHZGKCRGOH-JTQLQIEISA-N 1 2 311.332 1.797 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000617449217 363117694 /nfs/dbraw/zinc/11/76/94/363117694.db2.gz HNZBOUMNXPWGTN-RYRKJORJSA-N 1 2 316.401 1.035 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000617449217 363117698 /nfs/dbraw/zinc/11/76/98/363117698.db2.gz HNZBOUMNXPWGTN-RYRKJORJSA-N 1 2 316.401 1.035 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000029450364 352239324 /nfs/dbraw/zinc/23/93/24/352239324.db2.gz CWJGWSGJDVWILV-AWEZNQCLSA-N 1 2 315.421 1.332 20 30 DDEDLO N#CCCN1CCN(c2cc(N3CCSCC3)[nH+]cn2)CC1 ZINC000601262568 358469914 /nfs/dbraw/zinc/46/99/14/358469914.db2.gz MABLRGMXIGBCAG-UHFFFAOYSA-N 1 2 318.450 1.065 20 30 DDEDLO C[C@H]1CCCN(c2cc(N3CCN(CCC#N)CC3)nc[nH+]2)C1 ZINC000601262734 358470056 /nfs/dbraw/zinc/47/00/56/358470056.db2.gz WADACHLECSXEOQ-HNNXBMFYSA-N 1 2 314.437 1.749 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC000041622270 352311004 /nfs/dbraw/zinc/31/10/04/352311004.db2.gz WZWIXGNXOWPUJA-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC000041622270 352311006 /nfs/dbraw/zinc/31/10/06/352311006.db2.gz WZWIXGNXOWPUJA-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnc3ccccc3c2O)CC1 ZINC000068444654 353112702 /nfs/dbraw/zinc/11/27/02/353112702.db2.gz UJJGKHKMZVQXLS-UHFFFAOYSA-N 1 2 309.369 1.309 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CSCc2c(C)noc2C)CC1 ZINC000068445673 353112999 /nfs/dbraw/zinc/11/29/99/353112999.db2.gz ZPLPGSYPSSCGRA-UHFFFAOYSA-N 1 2 321.446 1.692 20 30 DDEDLO CCC(C)(C)NC(=O)C[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000073108604 353237876 /nfs/dbraw/zinc/23/78/76/353237876.db2.gz VLNZBDDVUPRVEM-UHFFFAOYSA-N 1 2 315.421 1.380 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N1CC[NH+](Cc2ccccn2)CC1 ZINC000072991520 353230734 /nfs/dbraw/zinc/23/07/34/353230734.db2.gz MYZKRBGFAZQSAV-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)Cc1cnc2c(C#N)cnn2c1 ZINC000564989762 304033771 /nfs/dbraw/zinc/03/37/71/304033771.db2.gz ZOIIOADBMPYELH-UHFFFAOYSA-N 1 2 314.393 1.339 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)Cc1cnc2c(C#N)cnn2c1 ZINC000564989762 304033773 /nfs/dbraw/zinc/03/37/73/304033773.db2.gz ZOIIOADBMPYELH-UHFFFAOYSA-N 1 2 314.393 1.339 20 30 DDEDLO N#CCN1CCC(CNC(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000081733724 353690190 /nfs/dbraw/zinc/69/01/90/353690190.db2.gz RPXRAPXXTKTVTQ-UHFFFAOYSA-N 1 2 323.400 1.838 20 30 DDEDLO C[C@@H](O)C1CC[NH+]([C@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000083171675 353707044 /nfs/dbraw/zinc/70/70/44/353707044.db2.gz WLIZVBCIIMTYGP-RISCZKNCSA-N 1 2 319.430 1.818 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ncccc2F)CC1 ZINC000090631123 353796412 /nfs/dbraw/zinc/79/64/12/353796412.db2.gz GEOIYKCFUHBBCY-CYBMUJFWSA-N 1 2 319.384 1.103 20 30 DDEDLO Cc1[nH]c(C(=O)NC[C@@H]2CCn3ncc(C(N)=O)c3C2)c[nH+]1 ZINC000328903062 222907750 /nfs/dbraw/zinc/90/77/50/222907750.db2.gz HJSCDKHLVZVMIP-SECBINFHSA-N 1 2 302.338 1.365 20 30 DDEDLO CC(=O)N1CCc2cc(NC[C@H](O)C[N@H+](C)CCC#N)ccc21 ZINC000578064754 354703527 /nfs/dbraw/zinc/70/35/27/354703527.db2.gz RWMSICYGRRQXJT-INIZCTEOSA-N 1 2 316.405 1.214 20 30 DDEDLO CC(=O)N1CCc2cc(NC[C@H](O)C[N@@H+](C)CCC#N)ccc21 ZINC000578064754 354703532 /nfs/dbraw/zinc/70/35/32/354703532.db2.gz RWMSICYGRRQXJT-INIZCTEOSA-N 1 2 316.405 1.214 20 30 DDEDLO Cc1cc(Cl)ccc1OCC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000578949711 354713899 /nfs/dbraw/zinc/71/38/99/354713899.db2.gz NOIGMRZNZHWAEM-OAHLLOKOSA-N 1 2 309.797 1.987 20 30 DDEDLO N#C[C@]1(C(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)CC12CCCC2 ZINC000581588391 354735492 /nfs/dbraw/zinc/73/54/92/354735492.db2.gz SSONJANWUFPRQT-KRWDZBQOSA-N 1 2 313.405 1.528 20 30 DDEDLO Cn1cc([C@H](CO)[NH2+]Cc2ccc(C#N)cc2OC(F)F)cn1 ZINC000494810806 235091517 /nfs/dbraw/zinc/09/15/17/235091517.db2.gz PBTGCKVYOVYXHK-ZDUSSCGKSA-N 1 2 322.315 1.716 20 30 DDEDLO N#C[C@H](c1ccc(F)cc1)N1CC[NH+]([C@H]2CCCNC2=O)CC1 ZINC000591025976 355235712 /nfs/dbraw/zinc/23/57/12/355235712.db2.gz OBFHIYBDYXKDQX-JKSUJKDBSA-N 1 2 316.380 1.287 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2cc(C)ccc2OC)CC1 ZINC000591107872 355250013 /nfs/dbraw/zinc/25/00/13/355250013.db2.gz RXJAYGPKMRIVKP-UHFFFAOYSA-N 1 2 318.417 1.850 20 30 DDEDLO CCOC(=O)C[C@H]1C[C@H](OC)C[N@@H+]1Cc1ccncc1C#N ZINC000592107486 355501724 /nfs/dbraw/zinc/50/17/24/355501724.db2.gz JKMAKKLQQAJZNW-CABCVRRESA-N 1 2 303.362 1.496 20 30 DDEDLO CCOC(=O)C[C@H]1C[C@H](OC)C[N@H+]1Cc1ccncc1C#N ZINC000592107486 355501725 /nfs/dbraw/zinc/50/17/25/355501725.db2.gz JKMAKKLQQAJZNW-CABCVRRESA-N 1 2 303.362 1.496 20 30 DDEDLO N#Cc1cccc(NC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)n1 ZINC000592267178 355550084 /nfs/dbraw/zinc/55/00/84/355550084.db2.gz DMFIYTFCDVYXCS-CYBMUJFWSA-N 1 2 308.345 1.749 20 30 DDEDLO CN(Cc1ccccc1F)C(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592147223 355513112 /nfs/dbraw/zinc/51/31/12/355513112.db2.gz JUSLHHJSTQRDKI-MRXNPFEDSA-N 1 2 305.353 1.135 20 30 DDEDLO CN(Cc1ccccc1F)C(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592147223 355513116 /nfs/dbraw/zinc/51/31/16/355513116.db2.gz JUSLHHJSTQRDKI-MRXNPFEDSA-N 1 2 305.353 1.135 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000592151657 355517544 /nfs/dbraw/zinc/51/75/44/355517544.db2.gz QBRDXXSOFUSSDH-GOEBONIOSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000592151657 355517547 /nfs/dbraw/zinc/51/75/47/355517547.db2.gz QBRDXXSOFUSSDH-GOEBONIOSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2ccn(-c3ccc(F)cc3)n2)C1 ZINC000592151747 355518605 /nfs/dbraw/zinc/51/86/05/355518605.db2.gz QZFYQKMRUOEQDV-INIZCTEOSA-N 1 2 300.337 1.862 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2ccn(-c3ccc(F)cc3)n2)C1 ZINC000592151747 355518607 /nfs/dbraw/zinc/51/86/07/355518607.db2.gz QZFYQKMRUOEQDV-INIZCTEOSA-N 1 2 300.337 1.862 20 30 DDEDLO CCc1[nH+]c2ccccc2n1CC(=O)N1CC[C@@](O)(CC#N)C1 ZINC000592835510 355708390 /nfs/dbraw/zinc/70/83/90/355708390.db2.gz CJHCZEAJWMTRCI-KRWDZBQOSA-N 1 2 312.373 1.476 20 30 DDEDLO N#CC[C@]1(O)CCN(C(=O)Cc2c[nH+]cn2Cc2ccccc2)C1 ZINC000592848799 355714697 /nfs/dbraw/zinc/71/46/97/355714697.db2.gz BOQISYQDPJRYCM-SFHVURJKSA-N 1 2 324.384 1.351 20 30 DDEDLO Cn1nccc1[C@H]1COCC[N@@H+]1CCCC1(C#N)CCOCC1 ZINC000593112687 355802842 /nfs/dbraw/zinc/80/28/42/355802842.db2.gz SPFXPQBUCWILFZ-MRXNPFEDSA-N 1 2 318.421 1.894 20 30 DDEDLO Cn1nccc1[C@H]1COCC[N@H+]1CCCC1(C#N)CCOCC1 ZINC000593112687 355802844 /nfs/dbraw/zinc/80/28/44/355802844.db2.gz SPFXPQBUCWILFZ-MRXNPFEDSA-N 1 2 318.421 1.894 20 30 DDEDLO Cc1cc2c(cc1C)[C@@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)CO2 ZINC000593154815 355813616 /nfs/dbraw/zinc/81/36/16/355813616.db2.gz GPIJSEPOQGZKGU-WMLDXEAASA-N 1 2 301.390 1.740 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccn(Cc2ccccc2)n1 ZINC000593154941 355813890 /nfs/dbraw/zinc/81/38/90/355813890.db2.gz PRJJCDXZZCCFST-KRWDZBQOSA-N 1 2 311.389 1.505 20 30 DDEDLO CC1=CC[N@H+](CCNS(=O)(=O)c2ccsc2C#N)CC1 ZINC000593577239 355931695 /nfs/dbraw/zinc/93/16/95/355931695.db2.gz FGCORBUMEGNLJZ-UHFFFAOYSA-N 1 2 311.432 1.550 20 30 DDEDLO CC1=CC[N@@H+](CCNS(=O)(=O)c2ccsc2C#N)CC1 ZINC000593577239 355931701 /nfs/dbraw/zinc/93/17/01/355931701.db2.gz FGCORBUMEGNLJZ-UHFFFAOYSA-N 1 2 311.432 1.550 20 30 DDEDLO C[C@H](CNC(=O)c1cscc1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594099863 356113040 /nfs/dbraw/zinc/11/30/40/356113040.db2.gz WDANTXVUKQFYJS-UTUOFQBUSA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@H](CNC(=O)c1cscc1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594099863 356113048 /nfs/dbraw/zinc/11/30/48/356113048.db2.gz WDANTXVUKQFYJS-UTUOFQBUSA-N 1 2 307.419 1.847 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)cc1F ZINC000594354189 356181035 /nfs/dbraw/zinc/18/10/35/356181035.db2.gz QMCSIBXJHXGMFC-NSHDSACASA-N 1 2 316.311 1.912 20 30 DDEDLO CCC(CC)Cn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000594897696 356350184 /nfs/dbraw/zinc/35/01/84/356350184.db2.gz TVQNIQIEYNWJGK-UHFFFAOYSA-N 1 2 303.406 1.988 20 30 DDEDLO CC(C)(CNC(=O)N1CCC[C@@H](CC#N)C1)[NH+]1CCOCC1 ZINC000595425459 356487409 /nfs/dbraw/zinc/48/74/09/356487409.db2.gz SBJMIHRAXKBDBR-AWEZNQCLSA-N 1 2 308.426 1.432 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CCN2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000595398516 356478426 /nfs/dbraw/zinc/47/84/26/356478426.db2.gz RFPOKHQOXWOKNH-BRWVUGGUSA-N 1 2 308.470 1.261 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)[nH]1 ZINC000595500275 356519988 /nfs/dbraw/zinc/51/99/88/356519988.db2.gz DJAHRQVBTWAPLA-AAEUAGOBSA-N 1 2 312.377 1.848 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)[nH]1 ZINC000595500275 356519991 /nfs/dbraw/zinc/51/99/91/356519991.db2.gz DJAHRQVBTWAPLA-AAEUAGOBSA-N 1 2 312.377 1.848 20 30 DDEDLO CN1CCC[C@H]([NH+]2CCN(c3ccsc3C#N)CC2)C1=O ZINC000595630529 356584026 /nfs/dbraw/zinc/58/40/26/356584026.db2.gz ZBJWEQCKJDDRNG-ZDUSSCGKSA-N 1 2 304.419 1.363 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595633032 356585528 /nfs/dbraw/zinc/58/55/28/356585528.db2.gz UGJTZQNWNZJSQV-LBPRGKRZSA-N 1 2 304.419 1.432 20 30 DDEDLO COC(=O)C12CC(C1)C[N@@H+]2CCC(=O)Nc1sccc1C#N ZINC000595673206 356603528 /nfs/dbraw/zinc/60/35/28/356603528.db2.gz DBTPMVYMNPZZML-UHFFFAOYSA-N 1 2 319.386 1.586 20 30 DDEDLO COC(=O)C12CC(C1)C[N@H+]2CCC(=O)Nc1sccc1C#N ZINC000595673206 356603532 /nfs/dbraw/zinc/60/35/32/356603532.db2.gz DBTPMVYMNPZZML-UHFFFAOYSA-N 1 2 319.386 1.586 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCc2cc(OC)ccc2C1 ZINC000595759311 356641124 /nfs/dbraw/zinc/64/11/24/356641124.db2.gz MEYVZWRKPDHUHF-GFCCVEGCSA-N 1 2 317.389 1.454 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCc2cc(OC)ccc2C1 ZINC000595759311 356641125 /nfs/dbraw/zinc/64/11/25/356641125.db2.gz MEYVZWRKPDHUHF-GFCCVEGCSA-N 1 2 317.389 1.454 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCOC(C2CC2)(C2CC2)C1 ZINC000595821795 356664193 /nfs/dbraw/zinc/66/41/93/356664193.db2.gz GHDWFAOCXZTMPU-ZDUSSCGKSA-N 1 2 317.433 1.828 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCOC(C2CC2)(C2CC2)C1 ZINC000595821795 356664197 /nfs/dbraw/zinc/66/41/97/356664197.db2.gz GHDWFAOCXZTMPU-ZDUSSCGKSA-N 1 2 317.433 1.828 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@@H+]2CC[C@@](C)(C#N)C2)cc1 ZINC000595834081 356670009 /nfs/dbraw/zinc/67/00/09/356670009.db2.gz GTDBZBSMKVKTRP-PBHICJAKSA-N 1 2 318.373 1.448 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@H+]2CC[C@@](C)(C#N)C2)cc1 ZINC000595834081 356670016 /nfs/dbraw/zinc/67/00/16/356670016.db2.gz GTDBZBSMKVKTRP-PBHICJAKSA-N 1 2 318.373 1.448 20 30 DDEDLO COc1ccccc1CNC(=O)[C@@H](C)[N@@H+]1CC[C@](C)(C#N)C1 ZINC000595834963 356670523 /nfs/dbraw/zinc/67/05/23/356670523.db2.gz ODWVRKOPLCHGCW-CXAGYDPISA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccccc1CNC(=O)[C@@H](C)[N@H+]1CC[C@](C)(C#N)C1 ZINC000595834963 356670524 /nfs/dbraw/zinc/67/05/24/356670524.db2.gz ODWVRKOPLCHGCW-CXAGYDPISA-N 1 2 301.390 1.935 20 30 DDEDLO C[C@@H](C(=O)NC(=O)Nc1ccccc1)[N@@H+]1CC[C@](C)(C#N)C1 ZINC000595835312 356671024 /nfs/dbraw/zinc/67/10/24/356671024.db2.gz QLQSFHXOYOTKSJ-BLLLJJGKSA-N 1 2 300.362 1.959 20 30 DDEDLO C[C@@H](C(=O)NC(=O)Nc1ccccc1)[N@H+]1CC[C@](C)(C#N)C1 ZINC000595835312 356671029 /nfs/dbraw/zinc/67/10/29/356671029.db2.gz QLQSFHXOYOTKSJ-BLLLJJGKSA-N 1 2 300.362 1.959 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@H]3COC[C@H]3C2)c(C#N)c1C ZINC000595836630 356671226 /nfs/dbraw/zinc/67/12/26/356671226.db2.gz XNPJBMJFEZYMIS-QWHCGFSZSA-N 1 2 303.362 1.675 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@H]3COC[C@H]3C2)c(C#N)c1C ZINC000595836630 356671229 /nfs/dbraw/zinc/67/12/29/356671229.db2.gz XNPJBMJFEZYMIS-QWHCGFSZSA-N 1 2 303.362 1.675 20 30 DDEDLO N#Cc1nc(N2CCC[C@H]([NH+]3CCOCC3)C2)sc1Cl ZINC000596247377 356831005 /nfs/dbraw/zinc/83/10/05/356831005.db2.gz NUXYVVZYHVLXRK-JTQLQIEISA-N 1 2 312.826 1.969 20 30 DDEDLO C[C@H](CC#N)C(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000596675755 356950101 /nfs/dbraw/zinc/95/01/01/356950101.db2.gz ZEDOSUSYSFMLAB-CHWSQXEVSA-N 1 2 307.419 1.787 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cccc(CC#N)c2)[C@@H](C)CO1 ZINC000596929827 357017474 /nfs/dbraw/zinc/01/74/74/357017474.db2.gz ZJMZGRCPIKUKLO-UONOGXRCSA-N 1 2 316.405 1.983 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cccc(CC#N)c2)[C@@H](C)CO1 ZINC000596929827 357017475 /nfs/dbraw/zinc/01/74/75/357017475.db2.gz ZJMZGRCPIKUKLO-UONOGXRCSA-N 1 2 316.405 1.983 20 30 DDEDLO N#Cc1c(F)cc(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1F ZINC000597536777 357214959 /nfs/dbraw/zinc/21/49/59/357214959.db2.gz KBLZYCPRKBBTDU-SNVBAGLBSA-N 1 2 302.284 1.778 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Cc2c(F)cccc2C#N)[C@H](C)CO1 ZINC000597999418 357415929 /nfs/dbraw/zinc/41/59/29/357415929.db2.gz WPFFNFFDDRWQIE-CHWSQXEVSA-N 1 2 319.380 1.465 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Cc2c(F)cccc2C#N)[C@H](C)CO1 ZINC000597999418 357415932 /nfs/dbraw/zinc/41/59/32/357415932.db2.gz WPFFNFFDDRWQIE-CHWSQXEVSA-N 1 2 319.380 1.465 20 30 DDEDLO CCN(C(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1)[C@@H](C)C#N ZINC000598360806 357551532 /nfs/dbraw/zinc/55/15/32/357551532.db2.gz VKADMKRESJGSNN-HOCLYGCPSA-N 1 2 301.390 1.648 20 30 DDEDLO CCN(C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1)[C@@H](C)C#N ZINC000598360806 357551536 /nfs/dbraw/zinc/55/15/36/357551536.db2.gz VKADMKRESJGSNN-HOCLYGCPSA-N 1 2 301.390 1.648 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@H](C)[C@@H](CO)C2)c(C#N)c1C ZINC000598645365 357667133 /nfs/dbraw/zinc/66/71/33/357667133.db2.gz IBFXMIBQIHQKIG-GXFFZTMASA-N 1 2 305.378 1.657 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@H](C)[C@@H](CO)C2)c(C#N)c1C ZINC000598645365 357667137 /nfs/dbraw/zinc/66/71/37/357667137.db2.gz IBFXMIBQIHQKIG-GXFFZTMASA-N 1 2 305.378 1.657 20 30 DDEDLO O=C(NCC[C@@H]1CCOC1)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000329762819 223025231 /nfs/dbraw/zinc/02/52/31/223025231.db2.gz SZHKLMAYMUYVJZ-HUUCEWRRSA-N 1 2 311.426 1.124 20 30 DDEDLO CCOC(=O)C(C)(C)[NH2+]C[C@@H](O)COc1ccccc1C#N ZINC000599280099 357873187 /nfs/dbraw/zinc/87/31/87/357873187.db2.gz BVSCLJQZFZPMKK-CYBMUJFWSA-N 1 2 306.362 1.229 20 30 DDEDLO N#Cc1nc(-c2ccco2)oc1N1CCN(Cc2c[nH+]c[nH]2)CC1 ZINC000179461935 199040176 /nfs/dbraw/zinc/04/01/76/199040176.db2.gz ABHBEZNYZLZUAP-UHFFFAOYSA-N 1 2 324.344 1.852 20 30 DDEDLO N#Cc1nc(-c2ccco2)oc1N1CCN(Cc2c[nH]c[nH+]2)CC1 ZINC000179461935 199040177 /nfs/dbraw/zinc/04/01/77/199040177.db2.gz ABHBEZNYZLZUAP-UHFFFAOYSA-N 1 2 324.344 1.852 20 30 DDEDLO CCOC(=O)C[C@@H](O)C[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000599462269 357946138 /nfs/dbraw/zinc/94/61/38/357946138.db2.gz NJZOOYZILFBJHZ-GFCCVEGCSA-N 1 2 323.418 1.056 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000599715240 358037195 /nfs/dbraw/zinc/03/71/95/358037195.db2.gz YEGBCHYBWFDAAW-LBPRGKRZSA-N 1 2 308.769 1.664 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000599715240 358037198 /nfs/dbraw/zinc/03/71/98/358037198.db2.gz YEGBCHYBWFDAAW-LBPRGKRZSA-N 1 2 308.769 1.664 20 30 DDEDLO N#Cc1cc(C(=O)NCc2ccc[nH+]c2N2CCOCC2)c[nH]1 ZINC000181195312 199263195 /nfs/dbraw/zinc/26/31/95/199263195.db2.gz JFAQJSVLGPYAPO-UHFFFAOYSA-N 1 2 311.345 1.048 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](Cc3ccc(O)cc3)CC2)n1 ZINC000601727589 358665994 /nfs/dbraw/zinc/66/59/94/358665994.db2.gz GMTAEHDGCZEHKO-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCO[C@H](C(F)F)CC2)CCCCC1 ZINC000601975631 358761908 /nfs/dbraw/zinc/76/19/08/358761908.db2.gz LYBOAKHELNLCDO-LBPRGKRZSA-N 1 2 315.364 1.685 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCO[C@H](C(F)F)CC2)CCCCC1 ZINC000601975631 358761909 /nfs/dbraw/zinc/76/19/09/358761909.db2.gz LYBOAKHELNLCDO-LBPRGKRZSA-N 1 2 315.364 1.685 20 30 DDEDLO CC[C@@H](C#N)S(=O)(=O)Nc1cnn(C2CC[NH+](C)CC2)c1 ZINC000601979592 358763880 /nfs/dbraw/zinc/76/38/80/358763880.db2.gz PYSDREAFBZBIMK-ZDUSSCGKSA-N 1 2 311.411 1.194 20 30 DDEDLO C=CCNC(=O)N1CC[NH+]([C@H](CO)c2ccc(Cl)cc2)CC1 ZINC000601877239 358725274 /nfs/dbraw/zinc/72/52/74/358725274.db2.gz ICLIUGZRJSKCIS-OAHLLOKOSA-N 1 2 323.824 1.887 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CC1 ZINC000602089141 358807837 /nfs/dbraw/zinc/80/78/37/358807837.db2.gz RFAKESLFCBBZTQ-UMVBOHGHSA-N 1 2 301.394 1.206 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC000602089141 358807840 /nfs/dbraw/zinc/80/78/40/358807840.db2.gz RFAKESLFCBBZTQ-UMVBOHGHSA-N 1 2 301.394 1.206 20 30 DDEDLO N#C[C@H]1CSCCN1C(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000602131532 358834369 /nfs/dbraw/zinc/83/43/69/358834369.db2.gz DTNSPGWIKQBSGT-LBPRGKRZSA-N 1 2 314.370 1.659 20 30 DDEDLO N#Cc1nc(C2CC2)oc1N1CC[NH+](CCc2cnccn2)CC1 ZINC000602782666 359187969 /nfs/dbraw/zinc/18/79/69/359187969.db2.gz JBAFZMBHFVEQGL-UHFFFAOYSA-N 1 2 324.388 1.578 20 30 DDEDLO C[C@H]1C[NH+](Cc2nnc(-c3ccccc3)n2C)C[C@H](C)N1CC#N ZINC000602854747 359241118 /nfs/dbraw/zinc/24/11/18/359241118.db2.gz RCZPJSANUCCUCT-GJZGRUSLSA-N 1 2 324.432 1.900 20 30 DDEDLO COC(=O)c1c2c(sc1NC(=O)C[NH2+][C@H](C)CC#N)CCC2 ZINC000602869272 359253426 /nfs/dbraw/zinc/25/34/26/359253426.db2.gz NFERIVXUWQJQRX-SECBINFHSA-N 1 2 321.402 1.854 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@H](C)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000602869308 359253803 /nfs/dbraw/zinc/25/38/03/359253803.db2.gz NHRAAPSBSIBCPV-UPJWGTAASA-N 1 2 303.362 1.223 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@H](Cn2ccnn2)C1 ZINC000602910400 359289163 /nfs/dbraw/zinc/28/91/63/359289163.db2.gz XZUHZIKSTQNGCT-HNNXBMFYSA-N 1 2 313.361 1.059 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@H](Cn2ccnn2)C1 ZINC000602910400 359289169 /nfs/dbraw/zinc/28/91/69/359289169.db2.gz XZUHZIKSTQNGCT-HNNXBMFYSA-N 1 2 313.361 1.059 20 30 DDEDLO Cc1cc(NC(=O)N[C@H]2Cc3c[nH+]cn3C2)c(C#N)cc1N(C)C ZINC000603014685 359358224 /nfs/dbraw/zinc/35/82/24/359358224.db2.gz MHMCEIHUXMOXEU-ZDUSSCGKSA-N 1 2 324.388 1.876 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NCc1ccco1)[C@@H]1CCC[C@H]1C#N ZINC000602974718 359333962 /nfs/dbraw/zinc/33/39/62/359333962.db2.gz SHANFVANYMRPAA-WCQYABFASA-N 1 2 304.350 1.229 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NCc1ccco1)[C@@H]1CCC[C@H]1C#N ZINC000602974718 359333965 /nfs/dbraw/zinc/33/39/65/359333965.db2.gz SHANFVANYMRPAA-WCQYABFASA-N 1 2 304.350 1.229 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)Nc1ccccc1)[C@@H]1CCC[C@H]1C#N ZINC000602980469 359336864 /nfs/dbraw/zinc/33/68/64/359336864.db2.gz QKLYOIJJKRQWNB-GXTWGEPZSA-N 1 2 300.362 1.959 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)Nc1ccccc1)[C@@H]1CCC[C@H]1C#N ZINC000602980469 359336869 /nfs/dbraw/zinc/33/68/69/359336869.db2.gz QKLYOIJJKRQWNB-GXTWGEPZSA-N 1 2 300.362 1.959 20 30 DDEDLO CCC(F)(F)Cn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000603335709 359576722 /nfs/dbraw/zinc/57/67/22/359576722.db2.gz NCESQIKJWWXLEK-UHFFFAOYSA-N 1 2 311.332 1.597 20 30 DDEDLO CC(C)CN1CC[N@H+](C[C@H](O)c2ccc(C#N)cc2)CC1=O ZINC000187074742 200057976 /nfs/dbraw/zinc/05/79/76/200057976.db2.gz BYZYOANSXNIQHW-INIZCTEOSA-N 1 2 301.390 1.392 20 30 DDEDLO CC(C)CN1CC[N@@H+](C[C@H](O)c2ccc(C#N)cc2)CC1=O ZINC000187074742 200057978 /nfs/dbraw/zinc/05/79/78/200057978.db2.gz BYZYOANSXNIQHW-INIZCTEOSA-N 1 2 301.390 1.392 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)C[C@H]2CCOC2)CC1 ZINC000329803624 223031829 /nfs/dbraw/zinc/03/18/29/223031829.db2.gz ZRCGNDPMDSZZOC-CHWSQXEVSA-N 1 2 309.410 1.065 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H](n3cc[nH+]c3)C2)cn1 ZINC000609134770 360263777 /nfs/dbraw/zinc/26/37/77/360263777.db2.gz STKZHODLLMMIJN-ZDUSSCGKSA-N 1 2 317.374 1.176 20 30 DDEDLO Cc1cccc(NC(=O)[C@@H](C)[NH+]2CCN(CCC#N)CC2)c1 ZINC000611175014 360647616 /nfs/dbraw/zinc/64/76/16/360647616.db2.gz VECPTRADJSLSDL-OAHLLOKOSA-N 1 2 300.406 1.853 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CCC[C@@H](C)[C@H]1C)[NH+]1CCN(CCC#N)CC1 ZINC000611174526 360648166 /nfs/dbraw/zinc/64/81/66/360648166.db2.gz GRAHQJPHHBNYLV-NCOADZHNSA-N 1 2 320.481 1.847 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)NCCc2ccc(F)cc2)CC1 ZINC000611175860 360648875 /nfs/dbraw/zinc/64/88/75/360648875.db2.gz NWVSREIAPLORDB-UHFFFAOYSA-N 1 2 318.396 1.016 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)Cn1cc2ccccc2n1 ZINC000330082915 223072993 /nfs/dbraw/zinc/07/29/93/223072993.db2.gz QIFONXSUJAWXST-ZDUSSCGKSA-N 1 2 302.378 1.714 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)Cn1cc2ccccc2n1 ZINC000330082915 223072994 /nfs/dbraw/zinc/07/29/94/223072994.db2.gz QIFONXSUJAWXST-ZDUSSCGKSA-N 1 2 302.378 1.714 20 30 DDEDLO Cn1ccnc1C[N@H+](C)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000611244582 360677492 /nfs/dbraw/zinc/67/74/92/360677492.db2.gz BJHZRSFJJDEHDR-HNNXBMFYSA-N 1 2 314.389 1.358 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000611244582 360677496 /nfs/dbraw/zinc/67/74/96/360677496.db2.gz BJHZRSFJJDEHDR-HNNXBMFYSA-N 1 2 314.389 1.358 20 30 DDEDLO COc1ccc(C[N@H+](C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C)cn1 ZINC000611209120 360660408 /nfs/dbraw/zinc/66/04/08/360660408.db2.gz GOKOQCGPDSXMQH-CXAGYDPISA-N 1 2 318.421 1.965 20 30 DDEDLO COc1ccc(C[N@@H+](C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C)cn1 ZINC000611209120 360660411 /nfs/dbraw/zinc/66/04/11/360660411.db2.gz GOKOQCGPDSXMQH-CXAGYDPISA-N 1 2 318.421 1.965 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1ccc(C#N)cc1F)CC2 ZINC000611790716 360826475 /nfs/dbraw/zinc/82/64/75/360826475.db2.gz JVXQXMHXIGTGRX-UHFFFAOYSA-N 1 2 320.349 1.407 20 30 DDEDLO Cc1nccc(NC(=O)N2CC[NH+](Cc3ccccn3)CC2)n1 ZINC000330166459 223082256 /nfs/dbraw/zinc/08/22/56/223082256.db2.gz YCAGWHWFZQKUMI-UHFFFAOYSA-N 1 2 312.377 1.543 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(c2nnc(C(F)F)o2)CC1 ZINC000330357713 223105750 /nfs/dbraw/zinc/10/57/50/223105750.db2.gz TWYOCRKCWCNYBH-MRVPVSSYSA-N 1 2 315.324 1.637 20 30 DDEDLO CCOC[C@H](O)CN1CC[NH+]([C@@H](C)c2cccc(C#N)c2)CC1 ZINC000612544485 361061530 /nfs/dbraw/zinc/06/15/30/361061530.db2.gz KBSUQKRWZAPPJY-MAUKXSAKSA-N 1 2 317.433 1.634 20 30 DDEDLO Cc1nc(C)c(C(=O)NC[C@@](C)(O)C[NH+]2CCOCC2)s1 ZINC000331104651 223186216 /nfs/dbraw/zinc/18/62/16/223186216.db2.gz QELZKLRTJOSAKA-CQSZACIVSA-N 1 2 313.423 1.148 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCC[C@](O)(C(F)(F)F)C1)C1CC1 ZINC000331787165 223209026 /nfs/dbraw/zinc/20/90/26/223209026.db2.gz BURFLELDIPAECO-QWHCGFSZSA-N 1 2 319.327 1.184 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@](O)(C(F)(F)F)C1)C1CC1 ZINC000331787165 223209029 /nfs/dbraw/zinc/20/90/29/223209029.db2.gz BURFLELDIPAECO-QWHCGFSZSA-N 1 2 319.327 1.184 20 30 DDEDLO N#C[C@@H]1CCC[C@H]1[NH2+]CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000331632657 223207674 /nfs/dbraw/zinc/20/76/74/223207674.db2.gz IPUIPSXGYOIKLL-GXTWGEPZSA-N 1 2 314.345 1.766 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000612901945 361188312 /nfs/dbraw/zinc/18/83/12/361188312.db2.gz MNIBLMPZGSVUCF-GJZGRUSLSA-N 1 2 316.405 1.247 20 30 DDEDLO C=C[C@](C)(O)C(=O)N[C@@H]1CC[N@@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000613137425 361286546 /nfs/dbraw/zinc/28/65/46/361286546.db2.gz BBGSKVCJWLWPPM-FMKPAKJESA-N 1 2 310.344 1.763 20 30 DDEDLO C=C[C@](C)(O)C(=O)N[C@@H]1CC[N@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000613137425 361286552 /nfs/dbraw/zinc/28/65/52/361286552.db2.gz BBGSKVCJWLWPPM-FMKPAKJESA-N 1 2 310.344 1.763 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)[C@@H](C)n3cnc(C#N)n3)[nH+]c12 ZINC000619595838 364076105 /nfs/dbraw/zinc/07/61/05/364076105.db2.gz ZYRBRICJOCITTQ-GFCCVEGCSA-N 1 2 323.360 1.026 20 30 DDEDLO N#CC1(F)CCN(C(=O)NCCc2cn3ccccc3[nH+]2)CC1 ZINC000344012210 223329734 /nfs/dbraw/zinc/32/97/34/223329734.db2.gz XLNZEIHQLHFVJL-UHFFFAOYSA-N 1 2 315.352 1.914 20 30 DDEDLO Cc1cccc(S(=O)(=O)NCCCn2cc[nH+]c2)c1C#N ZINC000091212508 193018942 /nfs/dbraw/zinc/01/89/42/193018942.db2.gz LQEDNHVEWXGEHK-UHFFFAOYSA-N 1 2 304.375 1.432 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccn(C(F)F)n1 ZINC000347670909 223373590 /nfs/dbraw/zinc/37/35/90/223373590.db2.gz AZUSRGIVAIQXKT-UHFFFAOYSA-N 1 2 313.352 1.326 20 30 DDEDLO N#CCCN(Cc1ccccn1)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000349434248 223391878 /nfs/dbraw/zinc/39/18/78/223391878.db2.gz OSWQTWFNWYSDHY-AWEZNQCLSA-N 1 2 309.373 1.783 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CC[C@](O)(C(F)(F)F)C3)n2c1 ZINC000093611756 193274568 /nfs/dbraw/zinc/27/45/68/193274568.db2.gz NPTNHQACILMHAC-CYBMUJFWSA-N 1 2 310.279 1.705 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CC[C@](O)(C(F)(F)F)C3)n2c1 ZINC000093611756 193274569 /nfs/dbraw/zinc/27/45/69/193274569.db2.gz NPTNHQACILMHAC-CYBMUJFWSA-N 1 2 310.279 1.705 20 30 DDEDLO CCc1nn(Cc2[nH+]ccn2C(F)F)c(=O)c(C#N)c1CC ZINC000264666713 204064681 /nfs/dbraw/zinc/06/46/81/204064681.db2.gz UWIQUJHSRIBPBB-UHFFFAOYSA-N 1 2 307.304 1.880 20 30 DDEDLO CC[N@H+](CC(=O)NCC#N)CC(=O)Nc1c(C)cccc1C ZINC000130098063 284398032 /nfs/dbraw/zinc/39/80/32/284398032.db2.gz AHSUZKYAIBWXGD-UHFFFAOYSA-N 1 2 302.378 1.204 20 30 DDEDLO CC[N@@H+](CC(=O)NCC#N)CC(=O)Nc1c(C)cccc1C ZINC000130098063 284398034 /nfs/dbraw/zinc/39/80/34/284398034.db2.gz AHSUZKYAIBWXGD-UHFFFAOYSA-N 1 2 302.378 1.204 20 30 DDEDLO CCOCCNC(=O)C[N@H+](CCOC)Cc1ccc(C#N)cc1 ZINC000267805352 206295252 /nfs/dbraw/zinc/29/52/52/206295252.db2.gz LGOOPAUUPNZOER-UHFFFAOYSA-N 1 2 319.405 1.159 20 30 DDEDLO CCOCCNC(=O)C[N@@H+](CCOC)Cc1ccc(C#N)cc1 ZINC000267805352 206295255 /nfs/dbraw/zinc/29/52/55/206295255.db2.gz LGOOPAUUPNZOER-UHFFFAOYSA-N 1 2 319.405 1.159 20 30 DDEDLO C[C@H](C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1)n1cnc(C#N)n1 ZINC000337076349 249340570 /nfs/dbraw/zinc/34/05/70/249340570.db2.gz ZOOFSZMHCBDLBR-JHJVBQTASA-N 1 2 313.365 1.017 20 30 DDEDLO CN(C[C@@H]1OCC[N@H+](C)[C@H]1c1ccccc1)c1nccnc1C#N ZINC000284668225 218125497 /nfs/dbraw/zinc/12/54/97/218125497.db2.gz QBQPMPVMMBDEFC-IRXDYDNUSA-N 1 2 323.400 1.856 20 30 DDEDLO CN(C[C@@H]1OCC[N@@H+](C)[C@H]1c1ccccc1)c1nccnc1C#N ZINC000284668225 218125499 /nfs/dbraw/zinc/12/54/99/218125499.db2.gz QBQPMPVMMBDEFC-IRXDYDNUSA-N 1 2 323.400 1.856 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccc(F)c(C#N)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000105036808 194066048 /nfs/dbraw/zinc/06/60/48/194066048.db2.gz ZAEPSRVECIKEAO-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO N#Cc1ccncc1C(=O)N1CC[NH+](Cc2ccccc2)CC1 ZINC000340767726 251200963 /nfs/dbraw/zinc/20/09/63/251200963.db2.gz INSOROVMSNMULZ-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO COc1ccc(OC)c(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)c1 ZINC000329916758 532966722 /nfs/dbraw/zinc/96/67/22/532966722.db2.gz DVVLEVHLHKKPOX-ZFWWWQNUSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1ccc(OC)c(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)c1 ZINC000329916758 532966726 /nfs/dbraw/zinc/96/67/26/532966726.db2.gz DVVLEVHLHKKPOX-ZFWWWQNUSA-N 1 2 321.377 1.264 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@H](C)O[C@@]2(CCO[C@H]2C)C1 ZINC000369290161 285040233 /nfs/dbraw/zinc/04/02/33/285040233.db2.gz JFBWTVDJMBTJPT-AESZEHBQSA-N 1 2 323.437 1.263 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@H](C)O[C@@]2(CCO[C@H]2C)C1 ZINC000369290161 285040235 /nfs/dbraw/zinc/04/02/35/285040235.db2.gz JFBWTVDJMBTJPT-AESZEHBQSA-N 1 2 323.437 1.263 20 30 DDEDLO C#CC[C@@H](NC(=O)N(C)CC[NH+]1CCOCC1)c1ccccc1 ZINC000270318919 208392156 /nfs/dbraw/zinc/39/21/56/208392156.db2.gz KIZFGGXSULSUAA-QGZVFWFLSA-N 1 2 315.417 1.725 20 30 DDEDLO N#Cc1cnc(NC[C@@]2([NH+]3CCOCC3)CCSC2)c(F)c1 ZINC000413065454 224128526 /nfs/dbraw/zinc/12/85/26/224128526.db2.gz DINPLEPJQCSIDF-HNNXBMFYSA-N 1 2 322.409 1.712 20 30 DDEDLO CC#CC[NH+]1CCN([C@H](C)C(=O)Nc2cccc(F)c2)CC1 ZINC000157692913 197209139 /nfs/dbraw/zinc/20/91/39/197209139.db2.gz STHJGHULCQBRAJ-CQSZACIVSA-N 1 2 303.381 1.794 20 30 DDEDLO COc1cncc(N2CC[NH+](CC#Cc3ccccc3)CC2)n1 ZINC000365271903 533130724 /nfs/dbraw/zinc/13/07/24/533130724.db2.gz VWWRREYOFYXQKJ-UHFFFAOYSA-N 1 2 308.385 1.659 20 30 DDEDLO COCC[N@H+](CCO)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000156340702 197105820 /nfs/dbraw/zinc/10/58/20/197105820.db2.gz DIBKDCKJYYYWAO-UHFFFAOYSA-N 1 2 311.769 1.091 20 30 DDEDLO COCC[N@@H+](CCO)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000156340702 197105821 /nfs/dbraw/zinc/10/58/21/197105821.db2.gz DIBKDCKJYYYWAO-UHFFFAOYSA-N 1 2 311.769 1.091 20 30 DDEDLO CC#CCC[NH+]1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000155040057 197015971 /nfs/dbraw/zinc/01/59/71/197015971.db2.gz GKGJTOCDPXSALA-UHFFFAOYSA-N 1 2 310.394 1.545 20 30 DDEDLO N#Cc1cc(C[N@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)ccc1F ZINC000120484274 195174263 /nfs/dbraw/zinc/17/42/63/195174263.db2.gz ONCUSSUFLRTFHC-CQSZACIVSA-N 1 2 308.378 1.849 20 30 DDEDLO N#Cc1cc(C[N@@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)ccc1F ZINC000120484274 195174265 /nfs/dbraw/zinc/17/42/65/195174265.db2.gz ONCUSSUFLRTFHC-CQSZACIVSA-N 1 2 308.378 1.849 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3ccnc(N)c3)CC2)c1C#N ZINC000289588673 221087409 /nfs/dbraw/zinc/08/74/09/221087409.db2.gz WYSPNNCWJZMBDF-UHFFFAOYSA-N 1 2 314.418 1.623 20 30 DDEDLO CN(C(=O)c1ccc(C#N)s1)C(C)(C)C[NH+]1CCOCC1 ZINC000414055122 224296282 /nfs/dbraw/zinc/29/62/82/224296282.db2.gz WYPGJQGGFQQJPL-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO COCCN(CC#N)C[C@@H](O)Cn1c[nH+]c2cc(C)c(C)cc21 ZINC000457349468 533317511 /nfs/dbraw/zinc/31/75/11/533317511.db2.gz KPDQJTDCNKRHTG-OAHLLOKOSA-N 1 2 316.405 1.486 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[NH+](CC(C)(C)O)CC2)s1 ZINC000128723867 407567829 /nfs/dbraw/zinc/56/78/29/407567829.db2.gz BRSJXHRWVYWRKY-UHFFFAOYSA-N 1 2 324.450 1.270 20 30 DDEDLO N#CCCC[NH+]1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000071848332 406852509 /nfs/dbraw/zinc/85/25/09/406852509.db2.gz XZFQGVHPLQBMMO-UHFFFAOYSA-N 1 2 301.346 1.477 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](C)CC(=O)Nc1c(C)cccc1C ZINC000031156060 406937348 /nfs/dbraw/zinc/93/73/48/406937348.db2.gz BYBUIHZYBXPPNA-AWEZNQCLSA-N 1 2 301.390 1.312 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](C)CC(=O)Nc1c(C)cccc1C ZINC000031156060 406937349 /nfs/dbraw/zinc/93/73/49/406937349.db2.gz BYBUIHZYBXPPNA-AWEZNQCLSA-N 1 2 301.390 1.312 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCCN(CC(F)F)CC1)C1CC1 ZINC000091084720 407177328 /nfs/dbraw/zinc/17/73/28/407177328.db2.gz PZKUTCACQRKONY-OAHLLOKOSA-N 1 2 314.380 1.068 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCCN(CC(F)F)CC1)C1CC1 ZINC000091084720 407177330 /nfs/dbraw/zinc/17/73/30/407177330.db2.gz PZKUTCACQRKONY-OAHLLOKOSA-N 1 2 314.380 1.068 20 30 DDEDLO CC[C@@H](C)[C@@H](NC(=O)C[NH+]1CCC(C)(C#N)CC1)C(=O)OC ZINC000356447402 286793983 /nfs/dbraw/zinc/79/39/83/286793983.db2.gz PFHBZLVKSUVCKO-TZMCWYRMSA-N 1 2 309.410 1.316 20 30 DDEDLO N#CC1CC[NH+]([C@H]2CCN(c3cccc([N+](=O)[O-])c3)C2=O)CC1 ZINC000067378678 407272026 /nfs/dbraw/zinc/27/20/26/407272026.db2.gz JYWRMZVFKSGFSD-HNNXBMFYSA-N 1 2 314.345 1.936 20 30 DDEDLO COC(=O)C[N@H+](C[C@@H](O)COc1ccc(C#N)cc1)C(C)C ZINC000098142606 407306443 /nfs/dbraw/zinc/30/64/43/407306443.db2.gz HGNZCAITUFEBKO-CQSZACIVSA-N 1 2 306.362 1.181 20 30 DDEDLO COC(=O)C[N@@H+](C[C@@H](O)COc1ccc(C#N)cc1)C(C)C ZINC000098142606 407306444 /nfs/dbraw/zinc/30/64/44/407306444.db2.gz HGNZCAITUFEBKO-CQSZACIVSA-N 1 2 306.362 1.181 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](CCOc2ccc(C#N)cc2)C[C@@H](C)O1 ZINC000123949032 407343900 /nfs/dbraw/zinc/34/39/00/407343900.db2.gz JJTQIKRXDYSKNC-IUODEOHRSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](CCOc2ccc(C#N)cc2)C[C@@H](C)O1 ZINC000123949032 407343901 /nfs/dbraw/zinc/34/39/01/407343901.db2.gz JJTQIKRXDYSKNC-IUODEOHRSA-N 1 2 304.346 1.199 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)Cc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000108870746 407391569 /nfs/dbraw/zinc/39/15/69/407391569.db2.gz AZDAAHFBTOJXRK-CVEARBPZSA-N 1 2 309.431 1.265 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)Cc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000108870746 407391571 /nfs/dbraw/zinc/39/15/71/407391571.db2.gz AZDAAHFBTOJXRK-CVEARBPZSA-N 1 2 309.431 1.265 20 30 DDEDLO CCN(CC)c1ccc(CNC(=O)C2(C#N)CCOCC2)c[nH+]1 ZINC000109140294 407395761 /nfs/dbraw/zinc/39/57/61/407395761.db2.gz VTAGKYDQKRKUHR-UHFFFAOYSA-N 1 2 316.405 1.864 20 30 DDEDLO N#Cc1ncn(CC(=O)Nc2ccc(Oc3cc[nH+]cc3)cc2)n1 ZINC000178476873 407467268 /nfs/dbraw/zinc/46/72/68/407467268.db2.gz YOAUEMJMDUEUSA-UHFFFAOYSA-N 1 2 320.312 1.976 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCN(CC(F)(F)F)[C@H](C)C1 ZINC000128094797 407498001 /nfs/dbraw/zinc/49/80/01/407498001.db2.gz SKZJFJPWWFUCGR-CYBMUJFWSA-N 1 2 321.387 1.979 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCN(CC(F)(F)F)[C@H](C)C1 ZINC000128094797 407498007 /nfs/dbraw/zinc/49/80/07/407498007.db2.gz SKZJFJPWWFUCGR-CYBMUJFWSA-N 1 2 321.387 1.979 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000178448575 407457924 /nfs/dbraw/zinc/45/79/24/407457924.db2.gz LZGAWZMUSWLFJE-MRXNPFEDSA-N 1 2 318.373 1.591 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000178448575 407457936 /nfs/dbraw/zinc/45/79/36/407457936.db2.gz LZGAWZMUSWLFJE-MRXNPFEDSA-N 1 2 318.373 1.591 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCc2c(F)cccc2C1 ZINC000152864280 407705833 /nfs/dbraw/zinc/70/58/33/407705833.db2.gz QZZATBYGSDKCOE-UHFFFAOYSA-N 1 2 314.364 1.840 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCc2c(F)cccc2C1 ZINC000152864280 407705837 /nfs/dbraw/zinc/70/58/37/407705837.db2.gz QZZATBYGSDKCOE-UHFFFAOYSA-N 1 2 314.364 1.840 20 30 DDEDLO CN1CC[C@@H]([NH+]2CCN(c3ccc(C#N)cc3F)CC2)C1=O ZINC000116275611 407736170 /nfs/dbraw/zinc/73/61/70/407736170.db2.gz QAPWBQBVCJFWQQ-OAHLLOKOSA-N 1 2 302.353 1.050 20 30 DDEDLO C#CCN(Cc1ccc(F)cc1)C(=O)[C@@H](C)[NH+]1CCN(C)CC1 ZINC000272154023 407794691 /nfs/dbraw/zinc/79/46/91/407794691.db2.gz XWZPVYOCXUBYFA-OAHLLOKOSA-N 1 2 317.408 1.423 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccn(CCC#N)n1)[C@H](C)[NH+]1CCOCC1 ZINC000173338133 407875924 /nfs/dbraw/zinc/87/59/24/407875924.db2.gz ZXXZYNOUUDYATE-OLZOCXBDSA-N 1 2 320.397 1.027 20 30 DDEDLO COCC[N@H+](CCO)Cc1ccc(C#N)cc1Br ZINC000272390902 407897457 /nfs/dbraw/zinc/89/74/57/407897457.db2.gz ZKBSPIQFASOQMV-UHFFFAOYSA-N 1 2 313.195 1.761 20 30 DDEDLO COCC[N@@H+](CCO)Cc1ccc(C#N)cc1Br ZINC000272390902 407897459 /nfs/dbraw/zinc/89/74/59/407897459.db2.gz ZKBSPIQFASOQMV-UHFFFAOYSA-N 1 2 313.195 1.761 20 30 DDEDLO CCC[C@]1(C)C(=O)NCC[N@H+]1CCOc1ccccc1C#N ZINC000187309071 407843432 /nfs/dbraw/zinc/84/34/32/407843432.db2.gz NFDRUGCHUHRMGQ-QGZVFWFLSA-N 1 2 301.390 1.928 20 30 DDEDLO CCC[C@]1(C)C(=O)NCC[N@@H+]1CCOc1ccccc1C#N ZINC000187309071 407843433 /nfs/dbraw/zinc/84/34/33/407843433.db2.gz NFDRUGCHUHRMGQ-QGZVFWFLSA-N 1 2 301.390 1.928 20 30 DDEDLO N#Cc1ccsc1C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000174479870 407950310 /nfs/dbraw/zinc/95/03/10/407950310.db2.gz AQDUIQPPPLREMZ-CYBMUJFWSA-N 1 2 305.403 1.557 20 30 DDEDLO CC1(C#N)CCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CC1 ZINC000272532282 407968074 /nfs/dbraw/zinc/96/80/74/407968074.db2.gz LCCOXHQTMVWPDR-AWEZNQCLSA-N 1 2 313.405 1.996 20 30 DDEDLO C#CCOc1cc(F)ccc1NC1CC[NH+](CC(=O)OC)CC1 ZINC000273047953 408036473 /nfs/dbraw/zinc/03/64/73/408036473.db2.gz HJZJEDPAMCWCBZ-UHFFFAOYSA-N 1 2 320.364 1.887 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@H+](CC(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000268423865 408037480 /nfs/dbraw/zinc/03/74/80/408037480.db2.gz DHNTYNZKQUQLFV-AWEZNQCLSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@@H+](CC(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000268423865 408037490 /nfs/dbraw/zinc/03/74/90/408037490.db2.gz DHNTYNZKQUQLFV-AWEZNQCLSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@H+](CC(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000268423865 408037496 /nfs/dbraw/zinc/03/74/96/408037496.db2.gz DHNTYNZKQUQLFV-AWEZNQCLSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@@H+](CC(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000268423865 408037502 /nfs/dbraw/zinc/03/75/02/408037502.db2.gz DHNTYNZKQUQLFV-AWEZNQCLSA-N 1 2 324.388 1.803 20 30 DDEDLO C[N@@H+](Cc1nccs1)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000175079081 408045865 /nfs/dbraw/zinc/04/58/65/408045865.db2.gz BMWVEFQJYYIFIM-CYBMUJFWSA-N 1 2 303.387 1.886 20 30 DDEDLO C[N@H+](Cc1nccs1)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000175079081 408045870 /nfs/dbraw/zinc/04/58/70/408045870.db2.gz BMWVEFQJYYIFIM-CYBMUJFWSA-N 1 2 303.387 1.886 20 30 DDEDLO COc1ccc(C[N@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1C#N ZINC000135186741 407988476 /nfs/dbraw/zinc/98/84/76/407988476.db2.gz MZOINSFZMWSNTE-OAHLLOKOSA-N 1 2 320.414 1.718 20 30 DDEDLO COc1ccc(C[N@@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1C#N ZINC000135186741 407988484 /nfs/dbraw/zinc/98/84/84/407988484.db2.gz MZOINSFZMWSNTE-OAHLLOKOSA-N 1 2 320.414 1.718 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)N[C@@H](C)CC#N ZINC000119449476 408073395 /nfs/dbraw/zinc/07/33/95/408073395.db2.gz KEWLBMPODCDECN-NSHDSACASA-N 1 2 303.366 1.650 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC[C@@H](n2cncn2)C1 ZINC000245827485 408075260 /nfs/dbraw/zinc/07/52/60/408075260.db2.gz PKLYBZORVPWJBR-DZGCQCFKSA-N 1 2 324.388 1.814 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC[C@@H](n2cncn2)C1 ZINC000245827485 408075264 /nfs/dbraw/zinc/07/52/64/408075264.db2.gz PKLYBZORVPWJBR-DZGCQCFKSA-N 1 2 324.388 1.814 20 30 DDEDLO C#CCSCCNC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000154829677 408091938 /nfs/dbraw/zinc/09/19/38/408091938.db2.gz RRYNSLHXGINKSK-UHFFFAOYSA-N 1 2 318.446 1.275 20 30 DDEDLO C=CCN(CC=C)C(=O)CO[NH+]=C(N)Cc1csc(C)n1 ZINC000121053049 408152965 /nfs/dbraw/zinc/15/29/65/408152965.db2.gz PSGVYRSIHSGPDR-UHFFFAOYSA-N 1 2 308.407 1.483 20 30 DDEDLO NC(CCO)=[NH+]OCc1ccc(I)cc1 ZINC000121307881 408179460 /nfs/dbraw/zinc/17/94/60/408179460.db2.gz LGTMRABUEIOCQG-UHFFFAOYSA-N 1 2 320.130 1.462 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)Oc2ccccc2)CC1 ZINC000263649695 408189141 /nfs/dbraw/zinc/18/91/41/408189141.db2.gz BACJWYGEAQSELB-UHFFFAOYSA-N 1 2 317.389 1.495 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)Oc2ccccc2)CC1 ZINC000263649695 408189145 /nfs/dbraw/zinc/18/91/45/408189145.db2.gz BACJWYGEAQSELB-UHFFFAOYSA-N 1 2 317.389 1.495 20 30 DDEDLO COc1cccc2c1CC[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)C2 ZINC000182673310 408218944 /nfs/dbraw/zinc/21/89/44/408218944.db2.gz UQRHEMRLFWJBMZ-GOSISDBHSA-N 1 2 313.401 1.862 20 30 DDEDLO COc1cccc2c1CC[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C2 ZINC000182673310 408218948 /nfs/dbraw/zinc/21/89/48/408218948.db2.gz UQRHEMRLFWJBMZ-GOSISDBHSA-N 1 2 313.401 1.862 20 30 DDEDLO C[C@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@@H]1O ZINC000159400991 162146692 /nfs/dbraw/zinc/14/66/92/162146692.db2.gz YZHPUEMHLLUDIF-WFASDCNBSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@@H]1O ZINC000159400991 162146696 /nfs/dbraw/zinc/14/66/96/162146696.db2.gz YZHPUEMHLLUDIF-WFASDCNBSA-N 1 2 308.403 1.035 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000269882527 408458214 /nfs/dbraw/zinc/45/82/14/408458214.db2.gz SRNULYJUPWCYRA-LBPRGKRZSA-N 1 2 310.317 1.947 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H](O)C[C@H]2CO)c(Br)c1 ZINC000248395157 408557055 /nfs/dbraw/zinc/55/70/55/408557055.db2.gz OFDDTRMFZAUPHH-NWDGAFQWSA-N 1 2 311.179 1.248 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H](O)C[C@H]2CO)c(Br)c1 ZINC000248395157 408557058 /nfs/dbraw/zinc/55/70/58/408557058.db2.gz OFDDTRMFZAUPHH-NWDGAFQWSA-N 1 2 311.179 1.248 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NCc1ccc(OC(F)(F)F)cc1 ZINC000192236476 408559858 /nfs/dbraw/zinc/55/98/58/408559858.db2.gz SKVJLTCZNRDEEQ-UHFFFAOYSA-N 1 2 300.280 1.766 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NCc1ccc(OC(F)(F)F)cc1 ZINC000192236476 408559862 /nfs/dbraw/zinc/55/98/62/408559862.db2.gz SKVJLTCZNRDEEQ-UHFFFAOYSA-N 1 2 300.280 1.766 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000192903198 408667305 /nfs/dbraw/zinc/66/73/05/408667305.db2.gz XDFDUADXWLRRBV-AWEZNQCLSA-N 1 2 300.406 1.595 20 30 DDEDLO CC(C)CO[C@@H](C)C(N)=[NH+]OCc1nnnn1-c1ccccc1 ZINC000177612845 408671187 /nfs/dbraw/zinc/67/11/87/408671187.db2.gz LNHCSEYEVVEODE-LBPRGKRZSA-N 1 2 318.381 1.512 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2cc(F)ccc2F)CC1 ZINC000177641014 408675621 /nfs/dbraw/zinc/67/56/21/408675621.db2.gz HFGKFTBEDMXXBB-GFCCVEGCSA-N 1 2 309.360 1.778 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[S@](=O)C(CC)(CC)C1 ZINC000185296778 408792136 /nfs/dbraw/zinc/79/21/36/408792136.db2.gz WYJOVRLYOQJROK-NRFANRHFSA-N 1 2 312.479 1.810 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[S@](=O)C(CC)(CC)C1 ZINC000185296778 408792139 /nfs/dbraw/zinc/79/21/39/408792139.db2.gz WYJOVRLYOQJROK-NRFANRHFSA-N 1 2 312.479 1.810 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1CC[C@@](C)(O)C1 ZINC000177989262 408750766 /nfs/dbraw/zinc/75/07/66/408750766.db2.gz LHWNRHPVWDLQDI-MGPLVRAMSA-N 1 2 319.430 1.892 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1CC[C@@](C)(O)C1 ZINC000177989262 408750771 /nfs/dbraw/zinc/75/07/71/408750771.db2.gz LHWNRHPVWDLQDI-MGPLVRAMSA-N 1 2 319.430 1.892 20 30 DDEDLO COCCCNC(=O)[C@@H](C)O[NH+]=C(N)c1cccc(Cl)c1 ZINC000178133117 408778509 /nfs/dbraw/zinc/77/85/09/408778509.db2.gz NBAPRWRZCCFZPG-SNVBAGLBSA-N 1 2 313.785 1.518 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C(=O)OC)oc2CC)C1=O ZINC000281189657 408874671 /nfs/dbraw/zinc/87/46/71/408874671.db2.gz BORJGVLSPOVZQZ-CYBMUJFWSA-N 1 2 320.389 1.847 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C(=O)OC)oc2CC)C1=O ZINC000281189657 408874673 /nfs/dbraw/zinc/87/46/73/408874673.db2.gz BORJGVLSPOVZQZ-CYBMUJFWSA-N 1 2 320.389 1.847 20 30 DDEDLO Cc1ccn2cc(CNC(=O)C(=O)NCCCCC#N)[nH+]c2c1 ZINC000285677281 408840388 /nfs/dbraw/zinc/84/03/88/408840388.db2.gz WTHQZFJKSNBXCA-UHFFFAOYSA-N 1 2 313.361 1.069 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C1CCC(C#N)(c2ccccc2)CC1)[C@@H](C)O ZINC000276405212 408850209 /nfs/dbraw/zinc/85/02/09/408850209.db2.gz DMGPLVFMDSRCRC-REUGXJQISA-N 1 2 316.401 1.903 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000285783217 408862766 /nfs/dbraw/zinc/86/27/66/408862766.db2.gz LVKHNNLWUVMXHU-AAEUAGOBSA-N 1 2 303.362 1.395 20 30 DDEDLO C#CCN(C)C(=O)NC[C@@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000291430407 408865545 /nfs/dbraw/zinc/86/55/45/408865545.db2.gz ZFJJDUHYKBAXFE-KRWDZBQOSA-N 1 2 315.417 1.643 20 30 DDEDLO C=CC[N@@H+](CCS(=O)(=O)N(C)C)Cc1cccc(C#N)c1 ZINC000285959968 408896736 /nfs/dbraw/zinc/89/67/36/408896736.db2.gz KNIXCMSRQJINIC-UHFFFAOYSA-N 1 2 307.419 1.438 20 30 DDEDLO C=CC[N@H+](CCS(=O)(=O)N(C)C)Cc1cccc(C#N)c1 ZINC000285959968 408896739 /nfs/dbraw/zinc/89/67/39/408896739.db2.gz KNIXCMSRQJINIC-UHFFFAOYSA-N 1 2 307.419 1.438 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)c1ccnc(-n2cc[nH+]c2)c1 ZINC000281642397 408902235 /nfs/dbraw/zinc/90/22/35/408902235.db2.gz YDVTUZDLCHJLSJ-GDBMZVCRSA-N 1 2 312.373 1.978 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CCOc2ccccc2C(C)=O)C1=O ZINC000281486698 408887555 /nfs/dbraw/zinc/88/75/55/408887555.db2.gz WZZWYLTYWFFZLR-INIZCTEOSA-N 1 2 316.401 1.987 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CCOc2ccccc2C(C)=O)C1=O ZINC000281486698 408887558 /nfs/dbraw/zinc/88/75/58/408887558.db2.gz WZZWYLTYWFFZLR-INIZCTEOSA-N 1 2 316.401 1.987 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)Nc1ccc(-n2cc[nH+]c2)nc1 ZINC000281530440 408889805 /nfs/dbraw/zinc/88/98/05/408889805.db2.gz TVRDQVFWCAPWLA-LBPRGKRZSA-N 1 2 313.361 1.677 20 30 DDEDLO N#Cc1nnc2ccccc2c1NCC1([NH+]2CCOCC2)CC1 ZINC000277493811 408951534 /nfs/dbraw/zinc/95/15/34/408951534.db2.gz SCXOLPWSLYPAOD-UHFFFAOYSA-N 1 2 309.373 1.778 20 30 DDEDLO CS(=O)(=O)CC1CC[NH+](CCOc2ccc(C#N)cc2)CC1 ZINC000292094569 408979496 /nfs/dbraw/zinc/97/94/96/408979496.db2.gz HDNMZKGBIDQLGD-UHFFFAOYSA-N 1 2 322.430 1.694 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccc(C#N)c(OC)c2)C1 ZINC000282276816 409025346 /nfs/dbraw/zinc/02/53/46/409025346.db2.gz KTACPXQYYLINNN-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccc(C#N)c(OC)c2)C1 ZINC000282276816 409025349 /nfs/dbraw/zinc/02/53/49/409025349.db2.gz KTACPXQYYLINNN-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO COc1cc(C[N@H+](C)[C@@H](C)[C@@H](C)S(C)(=O)=O)ccc1C#N ZINC000282318110 409032439 /nfs/dbraw/zinc/03/24/39/409032439.db2.gz NLCLAABAOVWAHU-NWDGAFQWSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@@H](C)[C@@H](C)S(C)(=O)=O)ccc1C#N ZINC000282318110 409032440 /nfs/dbraw/zinc/03/24/40/409032440.db2.gz NLCLAABAOVWAHU-NWDGAFQWSA-N 1 2 310.419 1.820 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1F ZINC000292586491 409037409 /nfs/dbraw/zinc/03/74/09/409037409.db2.gz VMZRJWGHCYYPJV-RISCZKNCSA-N 1 2 318.352 1.495 20 30 DDEDLO N#Cc1ccc(/C=C/C(=O)NCC2([NH+]3CCOCC3)CC2)cc1 ZINC000277936697 409038355 /nfs/dbraw/zinc/03/83/55/409038355.db2.gz NZEUVJAYIYRYQG-AATRIKPKSA-N 1 2 311.385 1.552 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCc2ncnn2C(C)(C)C)cs1 ZINC000282350208 409038822 /nfs/dbraw/zinc/03/88/22/409038822.db2.gz WPTRRDFZHUXKLE-UHFFFAOYSA-N 1 2 308.411 1.830 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCCO[C@@H]2CCCCO2)cc1OC ZINC000282482514 409055790 /nfs/dbraw/zinc/05/57/90/409055790.db2.gz SXIHECKIZUVHIH-OAHLLOKOSA-N 1 2 324.377 1.884 20 30 DDEDLO N#CCCOCC[NH+]1CCN(C(=O)Cc2ccccc2F)CC1 ZINC000293441017 409114515 /nfs/dbraw/zinc/11/45/15/409114515.db2.gz JXPYFYQEEIZKDA-UHFFFAOYSA-N 1 2 319.380 1.443 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC000293646303 409153453 /nfs/dbraw/zinc/15/34/53/409153453.db2.gz GNXCVJUSEBGFQM-CYBMUJFWSA-N 1 2 311.345 1.289 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C#N)C1CCCCC1 ZINC000283482412 409164783 /nfs/dbraw/zinc/16/47/83/409164783.db2.gz QAPYNBZIXVKLRQ-GJZGRUSLSA-N 1 2 317.393 1.046 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C#N)C1CCCCC1 ZINC000283482412 409164785 /nfs/dbraw/zinc/16/47/85/409164785.db2.gz QAPYNBZIXVKLRQ-GJZGRUSLSA-N 1 2 317.393 1.046 20 30 DDEDLO CCOc1ccccc1NC(=O)CO[NH+]=C(N)[C@@H]1CCCO1 ZINC000283908561 409237344 /nfs/dbraw/zinc/23/73/44/409237344.db2.gz DLZCGZBXAPQMSM-ZDUSSCGKSA-N 1 2 307.350 1.492 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)Nc1cccc(C#N)c1 ZINC000284007210 409256195 /nfs/dbraw/zinc/25/61/95/409256195.db2.gz HPCRNVPYANHGRQ-GXFFZTMASA-N 1 2 302.334 1.353 20 30 DDEDLO Cc1nc(C(=O)N(C)CC[N@@H+]2CCOC(C)(C)C2)ccc1C#N ZINC000279396731 409206099 /nfs/dbraw/zinc/20/60/99/409206099.db2.gz VTBLDYDIMZFZMV-UHFFFAOYSA-N 1 2 316.405 1.445 20 30 DDEDLO Cc1nc(C(=O)N(C)CC[N@H+]2CCOC(C)(C)C2)ccc1C#N ZINC000279396731 409206100 /nfs/dbraw/zinc/20/61/00/409206100.db2.gz VTBLDYDIMZFZMV-UHFFFAOYSA-N 1 2 316.405 1.445 20 30 DDEDLO C[C@@H](C[N@@H+]1CCC[C@H](C)C1)NS(=O)(=O)c1cncc(C#N)c1 ZINC000289460993 409276090 /nfs/dbraw/zinc/27/60/90/409276090.db2.gz LZCCQIYJJLANEI-STQMWFEESA-N 1 2 322.434 1.352 20 30 DDEDLO C[C@@H](C[N@H+]1CCC[C@H](C)C1)NS(=O)(=O)c1cncc(C#N)c1 ZINC000289460993 409276092 /nfs/dbraw/zinc/27/60/92/409276092.db2.gz LZCCQIYJJLANEI-STQMWFEESA-N 1 2 322.434 1.352 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2cnc(C)s2)CC1 ZINC000294522315 409293419 /nfs/dbraw/zinc/29/34/19/409293419.db2.gz GVIYWGYJUBDYBC-LBPRGKRZSA-N 1 2 307.419 1.134 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC=C(c2cccnc2)C1)C1CC1 ZINC000289410025 409265606 /nfs/dbraw/zinc/26/56/06/409265606.db2.gz SXGMJYIUPOFHJB-GOSISDBHSA-N 1 2 310.401 1.979 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC=C(c2cccnc2)C1)C1CC1 ZINC000289410025 409265609 /nfs/dbraw/zinc/26/56/09/409265609.db2.gz SXGMJYIUPOFHJB-GOSISDBHSA-N 1 2 310.401 1.979 20 30 DDEDLO C#CCN1CCN(C(=O)OC(C)(C)C)C[C@H]1c1[nH+]ccn1C ZINC000284732576 409363136 /nfs/dbraw/zinc/36/31/36/409363136.db2.gz KKYNWENQNQOTRQ-ZDUSSCGKSA-N 1 2 304.394 1.647 20 30 DDEDLO NC(=[NH+]OCC(=O)Nc1ccc(F)c(Cl)c1)[C@H]1CCCO1 ZINC000284565092 409347778 /nfs/dbraw/zinc/34/77/78/409347778.db2.gz WOIDQFKCDRSREU-LLVKDONJSA-N 1 2 315.732 1.885 20 30 DDEDLO CC(C)[C@@H]([NH2+]CC(=O)NC1(C#N)CCCCC1)c1nncn1C ZINC000285472251 409460049 /nfs/dbraw/zinc/46/00/49/409460049.db2.gz VCWQNQYYCUZUHO-CQSZACIVSA-N 1 2 318.425 1.444 20 30 DDEDLO C[C@@H]1[C@H](O)CCC[N@@H+]1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000296043278 409408877 /nfs/dbraw/zinc/40/88/77/409408877.db2.gz FKIUFHCYELJZRG-QMTHXVAHSA-N 1 2 307.781 1.995 20 30 DDEDLO C[C@@H]1[C@H](O)CCC[N@H+]1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000296043278 409408882 /nfs/dbraw/zinc/40/88/82/409408882.db2.gz FKIUFHCYELJZRG-QMTHXVAHSA-N 1 2 307.781 1.995 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+](C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000320166554 164040152 /nfs/dbraw/zinc/04/01/52/164040152.db2.gz NQZHIUGFBIRPDY-CQSZACIVSA-N 1 2 323.418 1.033 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+](C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000320166554 164040154 /nfs/dbraw/zinc/04/01/54/164040154.db2.gz NQZHIUGFBIRPDY-CQSZACIVSA-N 1 2 323.418 1.033 20 30 DDEDLO CO[C@@H]1C[NH+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C[C@H]1OC ZINC000320268274 164041282 /nfs/dbraw/zinc/04/12/82/164041282.db2.gz KYEWAWHGTUEEFY-KCPJHIHWSA-N 1 2 303.362 1.231 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)C1(c2ccc(C#N)cc2)CC1 ZINC000188137410 306720155 /nfs/dbraw/zinc/72/01/55/306720155.db2.gz GRTSOGJRHXLUKC-CQSZACIVSA-N 1 2 313.401 1.427 20 30 DDEDLO C[NH+](C)[C@@H](CNS(=O)(=O)CC1(C#N)CCC1)c1ccco1 ZINC000354104136 409657514 /nfs/dbraw/zinc/65/75/14/409657514.db2.gz LSDFKAMCLBBXPF-LBPRGKRZSA-N 1 2 311.407 1.496 20 30 DDEDLO Cn1c[nH+]cc1CNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000349781369 409908621 /nfs/dbraw/zinc/90/86/21/409908621.db2.gz GAIWHVOHEIIFCD-UHFFFAOYSA-N 1 2 312.301 1.048 20 30 DDEDLO N#Cc1cc(Br)ccc1C[N@@H+]1C[C@H](O)[C@@H](CO)C1 ZINC000317750474 409870525 /nfs/dbraw/zinc/87/05/25/409870525.db2.gz YQQFRJJPNAVKJR-YPMHNXCESA-N 1 2 311.179 1.106 20 30 DDEDLO N#Cc1cc(Br)ccc1C[N@H+]1C[C@H](O)[C@@H](CO)C1 ZINC000317750474 409870533 /nfs/dbraw/zinc/87/05/33/409870533.db2.gz YQQFRJJPNAVKJR-YPMHNXCESA-N 1 2 311.179 1.106 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](C)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000342777812 409896294 /nfs/dbraw/zinc/89/62/94/409896294.db2.gz MDVFUKDPVWABDL-SWLSCSKDSA-N 1 2 312.373 1.979 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N1CCO[C@@H]2CCC[C@@H]21 ZINC000328799806 409983135 /nfs/dbraw/zinc/98/31/35/409983135.db2.gz XXFKTUXTJTYBCI-UONOGXRCSA-N 1 2 304.394 1.887 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)[C@H]1CCc3n[nH]nc3C1)CCC2 ZINC000328974896 410030392 /nfs/dbraw/zinc/03/03/92/410030392.db2.gz PSMKLWZCCGHURU-RYUDHWBXSA-N 1 2 314.393 1.949 20 30 DDEDLO Cc1c[nH+]ccc1NC(=O)N[C@@H]1CCOC2(CCOCC2)C1 ZINC000329008706 410049078 /nfs/dbraw/zinc/04/90/78/410049078.db2.gz NUKHQHJVLZMECY-CYBMUJFWSA-N 1 2 305.378 1.866 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@H+](C)CC(=O)N(C)C ZINC000298071800 410101468 /nfs/dbraw/zinc/10/14/68/410101468.db2.gz IFXBLWXUNQODEP-ZDUSSCGKSA-N 1 2 323.462 1.671 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@@H+](C)CC(=O)N(C)C ZINC000298071800 410101475 /nfs/dbraw/zinc/10/14/75/410101475.db2.gz IFXBLWXUNQODEP-ZDUSSCGKSA-N 1 2 323.462 1.671 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)CCO1 ZINC000329106418 410106187 /nfs/dbraw/zinc/10/61/87/410106187.db2.gz OTNNYOLFESKZNJ-RBSFLKMASA-N 1 2 311.426 1.265 20 30 DDEDLO CC[C@@H]1CN(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)CCCO1 ZINC000329283601 410211486 /nfs/dbraw/zinc/21/14/86/410211486.db2.gz FUBVIAOEAYSCGU-QLFBSQMISA-N 1 2 313.442 1.511 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC2(C[NH+](C)C)CCC2)cc1C#N ZINC000332433073 410153517 /nfs/dbraw/zinc/15/35/17/410153517.db2.gz HYYYXZTVDBHHMZ-UHFFFAOYSA-N 1 2 320.418 1.595 20 30 DDEDLO CCc1cnccc1[C@H](C)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329188571 410156490 /nfs/dbraw/zinc/15/64/90/410156490.db2.gz RBPSZAWSLRPYHQ-MAZHCROVSA-N 1 2 318.421 1.634 20 30 DDEDLO CCc1cnccc1[C@H](C)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329188571 410156499 /nfs/dbraw/zinc/15/64/99/410156499.db2.gz RBPSZAWSLRPYHQ-MAZHCROVSA-N 1 2 318.421 1.634 20 30 DDEDLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@H]1CCCS(=O)(=O)C1 ZINC000329232892 410182164 /nfs/dbraw/zinc/18/21/64/410182164.db2.gz GLGDWFUKQJSCSG-GFCCVEGCSA-N 1 2 311.407 1.849 20 30 DDEDLO O=C(N[C@@H]1CCO[C@]2(CCOC2)C1)C1([NH+]2CCOCC2)CCC1 ZINC000329338901 410242587 /nfs/dbraw/zinc/24/25/87/410242587.db2.gz QSDGVZJQZKOQSM-GDBMZVCRSA-N 1 2 324.421 1.536 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cc(C4CC4)ccn3)C[C@@H]21 ZINC000329502776 410332531 /nfs/dbraw/zinc/33/25/31/410332531.db2.gz HYWQNUGMEPYDGM-UONOGXRCSA-N 1 2 302.378 1.519 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cc(C4CC4)ccn3)C[C@@H]21 ZINC000329502776 410332537 /nfs/dbraw/zinc/33/25/37/410332537.db2.gz HYWQNUGMEPYDGM-UONOGXRCSA-N 1 2 302.378 1.519 20 30 DDEDLO C=CCOc1ccccc1C[NH+]1CCN(C(=O)CCOC)CC1 ZINC000355089616 410308388 /nfs/dbraw/zinc/30/83/88/410308388.db2.gz RDHBLTIAXBAVAH-UHFFFAOYSA-N 1 2 318.417 1.932 20 30 DDEDLO CC(=O)NC1CCC(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)CC1 ZINC000329627668 410392352 /nfs/dbraw/zinc/39/23/52/410392352.db2.gz UJMBRPJJPADVAL-PFSRBDOWSA-N 1 2 324.425 1.203 20 30 DDEDLO CC(=O)NC1CCC(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)CC1 ZINC000329627668 410392355 /nfs/dbraw/zinc/39/23/55/410392355.db2.gz UJMBRPJJPADVAL-PFSRBDOWSA-N 1 2 324.425 1.203 20 30 DDEDLO Cc1cc(C(=O)NCc2c[nH+]cn2C)nn1-c1cccc(C#N)c1 ZINC000355252065 410399999 /nfs/dbraw/zinc/39/99/99/410399999.db2.gz FTXXFVHXBRRWMD-UHFFFAOYSA-N 1 2 320.356 1.716 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCC[N@H+](CCOc2ccccc2C#N)C1 ZINC000299069877 410505574 /nfs/dbraw/zinc/50/55/74/410505574.db2.gz PIOFCZUBAFGZJA-AWEZNQCLSA-N 1 2 322.430 1.694 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCC[N@@H+](CCOc2ccccc2C#N)C1 ZINC000299069877 410505579 /nfs/dbraw/zinc/50/55/79/410505579.db2.gz PIOFCZUBAFGZJA-AWEZNQCLSA-N 1 2 322.430 1.694 20 30 DDEDLO CCN1CC[NH+]([C@H]2CCN(C(=O)NCCCCC#N)C2)CC1 ZINC000358833221 410534683 /nfs/dbraw/zinc/53/46/83/410534683.db2.gz SQBWOBCOKSIEAY-HNNXBMFYSA-N 1 2 307.442 1.102 20 30 DDEDLO CC(=O)c1ccc(C#N)cc1N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000347445908 410553120 /nfs/dbraw/zinc/55/31/20/410553120.db2.gz LFRYIGLSFCLMMY-UHFFFAOYSA-N 1 2 314.389 1.147 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)N2CCCCCC2)C1=O ZINC000337238082 410666155 /nfs/dbraw/zinc/66/61/55/410666155.db2.gz ZDXBGBGIWCBHAT-GJZGRUSLSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)N2CCCCCC2)C1=O ZINC000337238082 410666162 /nfs/dbraw/zinc/66/61/62/410666162.db2.gz ZDXBGBGIWCBHAT-GJZGRUSLSA-N 1 2 307.438 1.496 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NS(=O)(=O)c1ccc(C#N)cc1)C1CC1 ZINC000352304391 410611036 /nfs/dbraw/zinc/61/10/36/410611036.db2.gz YKQPRSFVDNTMLJ-CQSZACIVSA-N 1 2 316.386 1.721 20 30 DDEDLO C=CC[N@H+](CCOC)CCOc1ccc(S(C)(=O)=O)cc1 ZINC000336845220 410639710 /nfs/dbraw/zinc/63/97/10/410639710.db2.gz YLNSYAMKZDLTKZ-UHFFFAOYSA-N 1 2 313.419 1.603 20 30 DDEDLO C=CC[N@@H+](CCOC)CCOc1ccc(S(C)(=O)=O)cc1 ZINC000336845220 410639714 /nfs/dbraw/zinc/63/97/14/410639714.db2.gz YLNSYAMKZDLTKZ-UHFFFAOYSA-N 1 2 313.419 1.603 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000352421878 410656289 /nfs/dbraw/zinc/65/62/89/410656289.db2.gz OJEQXYDEEXRNDJ-LBPRGKRZSA-N 1 2 304.375 1.263 20 30 DDEDLO CNC(=O)COc1cccc(C[NH2+]Cc2nc(C#N)cs2)c1 ZINC000352842694 410682269 /nfs/dbraw/zinc/68/22/69/410682269.db2.gz WTPSGSPXEBDTNZ-UHFFFAOYSA-N 1 2 316.386 1.429 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H](F)C[C@H]2CNc2ncccc2C#N)cn1 ZINC000337776785 410995474 /nfs/dbraw/zinc/99/54/74/410995474.db2.gz YDZXQAVOXURLBZ-CABCVRRESA-N 1 2 314.368 1.711 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H](F)C[C@H]2CNc2ncccc2C#N)cn1 ZINC000337776785 410995478 /nfs/dbraw/zinc/99/54/78/410995478.db2.gz YDZXQAVOXURLBZ-CABCVRRESA-N 1 2 314.368 1.711 20 30 DDEDLO Cc1cccc(C[NH+]2CCN(C(=O)c3cnn(C)c3C#N)CC2)c1 ZINC000353517095 411043425 /nfs/dbraw/zinc/04/34/25/411043425.db2.gz SZMZWASIQDIBGB-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO C#CCNC(=O)c1ccc(NCc2ccc(N(C)C)[nH+]c2)nc1 ZINC000490668792 416570735 /nfs/dbraw/zinc/57/07/35/416570735.db2.gz BQPOHUMKUXDQQH-UHFFFAOYSA-N 1 2 309.373 1.518 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[N@@H+](C)C[C@@H]1c1ccccc1 ZINC000366197306 418441901 /nfs/dbraw/zinc/44/19/01/418441901.db2.gz CMWXVCYHTXKHLO-MRXNPFEDSA-N 1 2 306.431 1.718 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[N@H+](C)C[C@@H]1c1ccccc1 ZINC000366197306 418441904 /nfs/dbraw/zinc/44/19/04/418441904.db2.gz CMWXVCYHTXKHLO-MRXNPFEDSA-N 1 2 306.431 1.718 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000366201461 418443145 /nfs/dbraw/zinc/44/31/45/418443145.db2.gz IKNWRCYUIBERSX-LSDHHAIUSA-N 1 2 319.368 1.637 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000366201461 418443147 /nfs/dbraw/zinc/44/31/47/418443147.db2.gz IKNWRCYUIBERSX-LSDHHAIUSA-N 1 2 319.368 1.637 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)c1n[nH]c2c1C[NH2+]CC2 ZINC000188445406 222014395 /nfs/dbraw/zinc/01/43/95/222014395.db2.gz ULJKGNSUEVEERX-UHFFFAOYSA-N 1 2 310.401 1.926 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC(F)(F)[C@H](CO)C2)cc1 ZINC000361420108 418649928 /nfs/dbraw/zinc/64/99/28/418649928.db2.gz KUGTYFVGNRVUEW-STQMWFEESA-N 1 2 309.316 1.034 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC(F)(F)[C@H](CO)C2)cc1 ZINC000361420108 418649930 /nfs/dbraw/zinc/64/99/30/418649930.db2.gz KUGTYFVGNRVUEW-STQMWFEESA-N 1 2 309.316 1.034 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCCN(c3cccc[nH+]3)CC2)cn1 ZINC000375212440 418618500 /nfs/dbraw/zinc/61/85/00/418618500.db2.gz VUJKDBRKICQUAC-UHFFFAOYSA-N 1 2 307.357 1.701 20 30 DDEDLO C=CCNC(=O)CN1CC[N@@H+](C)[C@H](c2ccccc2Cl)C1 ZINC000377488283 418710485 /nfs/dbraw/zinc/71/04/85/418710485.db2.gz FMILJWFPPITSAZ-HNNXBMFYSA-N 1 2 307.825 1.931 20 30 DDEDLO C=CCNC(=O)CN1CC[N@H+](C)[C@H](c2ccccc2Cl)C1 ZINC000377488283 418710487 /nfs/dbraw/zinc/71/04/87/418710487.db2.gz FMILJWFPPITSAZ-HNNXBMFYSA-N 1 2 307.825 1.931 20 30 DDEDLO CC(C)(C#N)CCS(=O)(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000377864224 418715914 /nfs/dbraw/zinc/71/59/14/418715914.db2.gz LRLVUEVWQZYTNA-CYBMUJFWSA-N 1 2 310.423 1.790 20 30 DDEDLO CCOC1CC(CNC(=O)[C@@](C)(C#N)CC)([NH+]2CCOCC2)C1 ZINC000376091672 418692182 /nfs/dbraw/zinc/69/21/82/418692182.db2.gz KDSLVGTYYKDYIR-ARWYELJZSA-N 1 2 323.437 1.312 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H](c2ccncn2)C1 ZINC000361638210 418694804 /nfs/dbraw/zinc/69/48/04/418694804.db2.gz ZGFGEJPFRNQLAV-YOEHRIQHSA-N 1 2 315.421 1.710 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H](c2ccncn2)C1 ZINC000361638210 418694806 /nfs/dbraw/zinc/69/48/06/418694806.db2.gz ZGFGEJPFRNQLAV-YOEHRIQHSA-N 1 2 315.421 1.710 20 30 DDEDLO Cc1nc(C(=O)N2CC[NH2+][C@@H](c3ccncc3)C2)ccc1C#N ZINC000368524465 418721456 /nfs/dbraw/zinc/72/14/56/418721456.db2.gz AYDPEEHUEUVHIL-MRXNPFEDSA-N 1 2 307.357 1.443 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCC[C@@](F)(CO)C1)C1(C#N)CCCCC1 ZINC000376515541 418698284 /nfs/dbraw/zinc/69/82/84/418698284.db2.gz RXVXCBXUPCDDJK-HNNXBMFYSA-N 1 2 311.401 1.468 20 30 DDEDLO CN(C(=O)C[N@H+]1CCC[C@@](F)(CO)C1)C1(C#N)CCCCC1 ZINC000376515541 418698287 /nfs/dbraw/zinc/69/82/87/418698287.db2.gz RXVXCBXUPCDDJK-HNNXBMFYSA-N 1 2 311.401 1.468 20 30 DDEDLO N#Cc1ccccc1N1CCC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC000369134525 418728633 /nfs/dbraw/zinc/72/86/33/418728633.db2.gz NODPBPKCKPDHLG-INIZCTEOSA-N 1 2 323.400 1.999 20 30 DDEDLO N#Cc1ccccc1N1CCC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC000369134525 418728635 /nfs/dbraw/zinc/72/86/35/418728635.db2.gz NODPBPKCKPDHLG-INIZCTEOSA-N 1 2 323.400 1.999 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1C[C@@H](C)[N@H+](C)C[C@@H]1C ZINC000361961655 418730902 /nfs/dbraw/zinc/73/09/02/418730902.db2.gz LKFSFLCQZMGNEC-NEPJUHHUSA-N 1 2 323.418 1.280 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)C[C@@H]1C ZINC000361961655 418730903 /nfs/dbraw/zinc/73/09/03/418730903.db2.gz LKFSFLCQZMGNEC-NEPJUHHUSA-N 1 2 323.418 1.280 20 30 DDEDLO C[C@H]1[C@H](CO)CC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000386349328 418739762 /nfs/dbraw/zinc/73/97/62/418739762.db2.gz YCPPABGFXINSSO-JSGCOSHPSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H]1[C@H](CO)CC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000386349328 418739765 /nfs/dbraw/zinc/73/97/65/418739765.db2.gz YCPPABGFXINSSO-JSGCOSHPSA-N 1 2 308.403 1.035 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cc1 ZINC000370781554 418748212 /nfs/dbraw/zinc/74/82/12/418748212.db2.gz ZPDNHHBVHYEHND-IQFISFIMSA-N 1 2 317.389 1.214 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(C#N)cc2OC)C1 ZINC000362427447 418753870 /nfs/dbraw/zinc/75/38/70/418753870.db2.gz BXZVDGJOPTUHOD-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2OC)C1 ZINC000362427447 418753872 /nfs/dbraw/zinc/75/38/72/418753872.db2.gz BXZVDGJOPTUHOD-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO Cc1cc(C[NH+]2CCN(C(=O)[C@H]3CCCO3)CC2)ccc1C#N ZINC000362965888 418761512 /nfs/dbraw/zinc/76/15/12/418761512.db2.gz GLPPWLRKUNBKHR-QGZVFWFLSA-N 1 2 313.401 1.690 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)c2cccs2)CC1 ZINC000362999185 418761739 /nfs/dbraw/zinc/76/17/39/418761739.db2.gz ATJDQTKPHRRTNT-CYBMUJFWSA-N 1 2 319.430 1.034 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)c2cccs2)CC1 ZINC000362999185 418761741 /nfs/dbraw/zinc/76/17/41/418761741.db2.gz ATJDQTKPHRRTNT-CYBMUJFWSA-N 1 2 319.430 1.034 20 30 DDEDLO CCc1nc([C@H]2CCC[N@@H+](CC(=O)N(CC)CCC#N)C2)no1 ZINC000363088379 418762548 /nfs/dbraw/zinc/76/25/48/418762548.db2.gz YLGHPLNBCPFIGI-ZDUSSCGKSA-N 1 2 319.409 1.574 20 30 DDEDLO CCc1nc([C@H]2CCC[N@H+](CC(=O)N(CC)CCC#N)C2)no1 ZINC000363088379 418762552 /nfs/dbraw/zinc/76/25/52/418762552.db2.gz YLGHPLNBCPFIGI-ZDUSSCGKSA-N 1 2 319.409 1.574 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@H](CO)C[C@H](C)C2)c(C#N)c1C ZINC000398859199 418762998 /nfs/dbraw/zinc/76/29/98/418762998.db2.gz RCDJXECRLGMYKA-GXFFZTMASA-N 1 2 305.378 1.657 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@H](CO)C[C@H](C)C2)c(C#N)c1C ZINC000398859199 418763000 /nfs/dbraw/zinc/76/30/00/418763000.db2.gz RCDJXECRLGMYKA-GXFFZTMASA-N 1 2 305.378 1.657 20 30 DDEDLO Cc1ccnc(N2CC[NH+]([C@H]3CCCCNC3=O)CC2)c1C#N ZINC000363679093 418768639 /nfs/dbraw/zinc/76/86/39/418768639.db2.gz RPDXEZNNBROOAL-HNNXBMFYSA-N 1 2 313.405 1.052 20 30 DDEDLO CNC(=O)C[N@@H+]1CCCN(c2ncc(Cl)c(C)c2C#N)CC1 ZINC000363651253 418769058 /nfs/dbraw/zinc/76/90/58/418769058.db2.gz KMZRLCNLVLDCSB-UHFFFAOYSA-N 1 2 321.812 1.173 20 30 DDEDLO CNC(=O)C[N@H+]1CCCN(c2ncc(Cl)c(C)c2C#N)CC1 ZINC000363651253 418769060 /nfs/dbraw/zinc/76/90/60/418769060.db2.gz KMZRLCNLVLDCSB-UHFFFAOYSA-N 1 2 321.812 1.173 20 30 DDEDLO COc1cccc(OCC[NH+]2CCN(C(=O)[C@H](C)C#N)CC2)c1 ZINC000361923168 418726421 /nfs/dbraw/zinc/72/64/21/418726421.db2.gz AZTDDXQVTRALFJ-CQSZACIVSA-N 1 2 317.389 1.378 20 30 DDEDLO Cc1ccnc(N2CCC(N3CC[N@@H+](C)CC3=O)CC2)c1C#N ZINC000364709922 418802798 /nfs/dbraw/zinc/80/27/98/418802798.db2.gz LUSZUZAVSXFJKG-UHFFFAOYSA-N 1 2 313.405 1.005 20 30 DDEDLO Cc1ccnc(N2CCC(N3CC[N@H+](C)CC3=O)CC2)c1C#N ZINC000364709922 418802800 /nfs/dbraw/zinc/80/28/00/418802800.db2.gz LUSZUZAVSXFJKG-UHFFFAOYSA-N 1 2 313.405 1.005 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)[C@@H]3CCCO3)CC2)o1 ZINC000372435214 418869786 /nfs/dbraw/zinc/86/97/86/418869786.db2.gz JHMYSGFGPXHOCW-HNNXBMFYSA-N 1 2 303.362 1.365 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)[C@@H]3CCCO3)CC2)o1 ZINC000372435214 418869788 /nfs/dbraw/zinc/86/97/88/418869788.db2.gz JHMYSGFGPXHOCW-HNNXBMFYSA-N 1 2 303.362 1.365 20 30 DDEDLO C#CC[N@@H+](CC(N)=O)[C@@H]1CCOc2ccc(Br)cc21 ZINC000365207959 418843164 /nfs/dbraw/zinc/84/31/64/418843164.db2.gz NENSOUCQWVEIPW-GFCCVEGCSA-N 1 2 323.190 1.693 20 30 DDEDLO C#CC[N@H+](CC(N)=O)[C@@H]1CCOc2ccc(Br)cc21 ZINC000365207959 418843167 /nfs/dbraw/zinc/84/31/67/418843167.db2.gz NENSOUCQWVEIPW-GFCCVEGCSA-N 1 2 323.190 1.693 20 30 DDEDLO C[N@@H+]1CCN(C2CCN(c3c(C#N)cccc3C#N)CC2)C(=O)C1 ZINC000373049996 418924396 /nfs/dbraw/zinc/92/43/96/418924396.db2.gz UEOFPNARGSUDIU-UHFFFAOYSA-N 1 2 323.400 1.173 20 30 DDEDLO C[N@H+]1CCN(C2CCN(c3c(C#N)cccc3C#N)CC2)C(=O)C1 ZINC000373049996 418924398 /nfs/dbraw/zinc/92/43/98/418924398.db2.gz UEOFPNARGSUDIU-UHFFFAOYSA-N 1 2 323.400 1.173 20 30 DDEDLO Cc1cc(NC2CCN(C(=O)c3ccoc3)CC2)c(C#N)c[nH+]1 ZINC000425204611 228390131 /nfs/dbraw/zinc/39/01/31/228390131.db2.gz GYMILLIDOBIBDI-UHFFFAOYSA-N 1 2 310.357 1.993 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)[C@]3(C#N)CC34CCCC4)C[C@H]21 ZINC000428189628 419826185 /nfs/dbraw/zinc/82/61/85/419826185.db2.gz CNVAKRNUSDOTRZ-CKEIUWERSA-N 1 2 303.406 1.392 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)[C@]3(C#N)CC34CCCC4)C[C@H]21 ZINC000428189628 419826188 /nfs/dbraw/zinc/82/61/88/419826188.db2.gz CNVAKRNUSDOTRZ-CKEIUWERSA-N 1 2 303.406 1.392 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)C1(c2cccc(C#N)c2)CC1 ZINC000435054039 229354397 /nfs/dbraw/zinc/35/43/97/229354397.db2.gz ZXUPIMSTVKQNAH-CQSZACIVSA-N 1 2 313.401 1.427 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2cn3ccccc3[nH+]2)s1 ZINC000435436787 229386021 /nfs/dbraw/zinc/38/60/21/229386021.db2.gz HDMFJMKYESFFHY-UHFFFAOYSA-N 1 2 318.383 1.746 20 30 DDEDLO CNc1[nH+]cnc2c1cnn2CC(=O)NCc1ccc(C#N)cc1 ZINC000435801524 420284910 /nfs/dbraw/zinc/28/49/10/420284910.db2.gz VXDHMKURFJPBGG-UHFFFAOYSA-N 1 2 321.344 1.056 20 30 DDEDLO N#Cc1cncc(N2CC[NH+](Cc3cn4ccccc4n3)CC2)n1 ZINC000420589138 420324462 /nfs/dbraw/zinc/32/44/62/420324462.db2.gz RMKAYYZIGMJRQH-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#Cc1cncc(N2CCN(Cc3cn4ccccc4[nH+]3)CC2)n1 ZINC000420589138 420324466 /nfs/dbraw/zinc/32/44/66/420324466.db2.gz RMKAYYZIGMJRQH-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[N@H+](C)[C@@H](Cc2ccccc2)C1 ZINC000416482128 420387913 /nfs/dbraw/zinc/38/79/13/420387913.db2.gz WZEIJYOQMIBXDQ-ZFWWWQNUSA-N 1 2 307.419 1.087 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[N@@H+](C)[C@@H](Cc2ccccc2)C1 ZINC000416482128 420387918 /nfs/dbraw/zinc/38/79/18/420387918.db2.gz WZEIJYOQMIBXDQ-ZFWWWQNUSA-N 1 2 307.419 1.087 20 30 DDEDLO Cc1cccc(S(=O)(=O)NCCc2cn(C)c[nH+]2)c1C#N ZINC000416536060 420409709 /nfs/dbraw/zinc/40/97/09/420409709.db2.gz ORHYWJIRIJKPIL-UHFFFAOYSA-N 1 2 304.375 1.121 20 30 DDEDLO CCNc1nc(NC(C)(C)C)nc(N[C@H]2CC[C@@H](CC#N)C2)[nH+]1 ZINC000450671654 420507334 /nfs/dbraw/zinc/50/73/34/420507334.db2.gz IKWJKXYHSRYAGD-RYUDHWBXSA-N 1 2 317.441 1.852 20 30 DDEDLO CCNc1nc(N[C@H]2CC[C@@H](CC#N)C2)nc(NC(C)(C)C)[nH+]1 ZINC000450671654 420507336 /nfs/dbraw/zinc/50/73/36/420507336.db2.gz IKWJKXYHSRYAGD-RYUDHWBXSA-N 1 2 317.441 1.852 20 30 DDEDLO CCNc1nc(N[C@H]2CC[C@@H](CC#N)C2)[nH+]c(NC(C)(C)C)n1 ZINC000450671654 420507337 /nfs/dbraw/zinc/50/73/37/420507337.db2.gz IKWJKXYHSRYAGD-RYUDHWBXSA-N 1 2 317.441 1.852 20 30 DDEDLO C=CCCCNC(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000447948844 420823911 /nfs/dbraw/zinc/82/39/11/420823911.db2.gz RUDQLQQDSVAVMH-UHFFFAOYSA-N 1 2 322.453 1.196 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)/C=C/c2cccc(C#N)c2)CCO1 ZINC000493384487 420835786 /nfs/dbraw/zinc/83/57/86/420835786.db2.gz KNYFPBFCBRRQPM-DKRLNXSXSA-N 1 2 313.401 1.798 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)/C=C/c2cccc(C#N)c2)CCO1 ZINC000493384487 420835788 /nfs/dbraw/zinc/83/57/88/420835788.db2.gz KNYFPBFCBRRQPM-DKRLNXSXSA-N 1 2 313.401 1.798 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C/C1CCCC1 ZINC000493585673 420888982 /nfs/dbraw/zinc/88/89/82/420888982.db2.gz JFQSZGFVXFCJEF-VOTSOKGWSA-N 1 2 305.422 1.807 20 30 DDEDLO CO[C@H]1C[NH+](CC(=O)N(CCC#N)c2ccccc2)C[C@@H]1OC ZINC000488002483 421062462 /nfs/dbraw/zinc/06/24/62/421062462.db2.gz YDSXTKWAOCWDQS-HOTGVXAUSA-N 1 2 317.389 1.279 20 30 DDEDLO CN(C)C(=O)O[C@@H]1CC[N@H+](Cn2nc(C#N)c3ccccc32)C1 ZINC000495938412 421097634 /nfs/dbraw/zinc/09/76/34/421097634.db2.gz ZPGRFPWTXXCMLS-GFCCVEGCSA-N 1 2 313.361 1.638 20 30 DDEDLO CN(C)C(=O)O[C@@H]1CC[N@@H+](Cn2nc(C#N)c3ccccc32)C1 ZINC000495938412 421097638 /nfs/dbraw/zinc/09/76/38/421097638.db2.gz ZPGRFPWTXXCMLS-GFCCVEGCSA-N 1 2 313.361 1.638 20 30 DDEDLO CC(C)(CNC(=O)Nc1cccc(CC#N)c1)[NH+]1CCOCC1 ZINC000455954699 421080296 /nfs/dbraw/zinc/08/02/96/421080296.db2.gz VTUGTNYQMWCORD-UHFFFAOYSA-N 1 2 316.405 1.985 20 30 DDEDLO C=CCCCS(=O)(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000489696044 421170915 /nfs/dbraw/zinc/17/09/15/421170915.db2.gz IIIHXRWTFWYQEU-UHFFFAOYSA-N 1 2 311.329 1.831 20 30 DDEDLO N#Cc1cccc(N2CCN(Cc3[nH]cc[nH+]3)CC2)c1[N+](=O)[O-] ZINC000450333843 421184479 /nfs/dbraw/zinc/18/44/79/421184479.db2.gz YBCKKVPOGOLYFM-UHFFFAOYSA-N 1 2 312.333 1.512 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1CC(=O)N(Cc2ccc(C#N)cc2)C1=O ZINC000491396444 421197321 /nfs/dbraw/zinc/19/73/21/421197321.db2.gz YETOABTZTAAPLP-ZDUSSCGKSA-N 1 2 310.357 1.233 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1CC(=O)N(Cc2ccc(C#N)cc2)C1=O ZINC000491396444 421197323 /nfs/dbraw/zinc/19/73/23/421197323.db2.gz YETOABTZTAAPLP-ZDUSSCGKSA-N 1 2 310.357 1.233 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H]2CC[C@@H](C1)[S@]2=O ZINC000528781472 421516592 /nfs/dbraw/zinc/51/65/92/421516592.db2.gz HOUBIQHHZIJVBA-HGYXMXBYSA-N 1 2 311.451 1.026 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@H]2CC[C@@H](C1)[S@]2=O ZINC000528781472 421516594 /nfs/dbraw/zinc/51/65/94/421516594.db2.gz HOUBIQHHZIJVBA-HGYXMXBYSA-N 1 2 311.451 1.026 20 30 DDEDLO C[C@@H]1CCN(C(=O)Cc2ccc(C#N)nc2)C[C@@H]1n1cc[nH+]c1 ZINC000528826295 421518868 /nfs/dbraw/zinc/51/88/68/421518868.db2.gz JKRCSBABQHEZMQ-CJNGLKHVSA-N 1 2 309.373 1.802 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NCCCN1CCCCCC1=O ZINC000563366963 421492382 /nfs/dbraw/zinc/49/23/82/421492382.db2.gz SNCCYBMMTUTUMD-CQSZACIVSA-N 1 2 308.426 1.177 20 30 DDEDLO C[C@@H]1CC[N@H+](C[C@H](O)COc2ccccc2C#N)CC[S@]1=O ZINC000528477764 421493601 /nfs/dbraw/zinc/49/36/01/421493601.db2.gz QZHAGWOYUVWYQT-QOAZOABKSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[N@@H+](C[C@H](O)COc2ccccc2C#N)CC[S@]1=O ZINC000528477764 421493604 /nfs/dbraw/zinc/49/36/04/421493604.db2.gz QZHAGWOYUVWYQT-QOAZOABKSA-N 1 2 322.430 1.141 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1nc(NCCO)c2ccccc2[nH+]1 ZINC000564532120 421591619 /nfs/dbraw/zinc/59/16/19/421591619.db2.gz YVUCUGKTKAZXLO-CABCVRRESA-N 1 2 314.389 2.180 20 30 DDEDLO N#Cc1ccc(CC(=O)NCC2CC[NH+](CC(F)F)CC2)cn1 ZINC000529398743 421534939 /nfs/dbraw/zinc/53/49/39/421534939.db2.gz OGAZSSJACGLTOM-UHFFFAOYSA-N 1 2 322.359 1.589 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCNc2cccc[nH+]2)c(F)c1 ZINC000531610836 421640763 /nfs/dbraw/zinc/64/07/63/421640763.db2.gz IOPGKGUCFIAOJR-UHFFFAOYSA-N 1 2 320.349 1.483 20 30 DDEDLO C=C1CC[NH+](CC(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000533419767 421672368 /nfs/dbraw/zinc/67/23/68/421672368.db2.gz NDPIOMKPTNVLDG-UHFFFAOYSA-N 1 2 316.340 1.392 20 30 DDEDLO COc1cc2c(cc1C[NH+]1CCN(CC#N)CC1)O[C@@H](C)C2 ZINC000539816660 421752956 /nfs/dbraw/zinc/75/29/56/421752956.db2.gz WPMMIIUUTBVIHQ-ZDUSSCGKSA-N 1 2 301.390 1.660 20 30 DDEDLO C[C@H](C#N)CNS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000540877487 421771408 /nfs/dbraw/zinc/77/14/08/421771408.db2.gz ZYTGPIOMSDSBTM-GFCCVEGCSA-N 1 2 304.375 1.369 20 30 DDEDLO CC(=O)N1CCc2c1cccc2NC[C@@H](O)C[N@H+](C)CCC#N ZINC000572236410 421772369 /nfs/dbraw/zinc/77/23/69/421772369.db2.gz FFVOHBBSZQSSIX-CQSZACIVSA-N 1 2 316.405 1.214 20 30 DDEDLO CC(=O)N1CCc2c1cccc2NC[C@@H](O)C[N@@H+](C)CCC#N ZINC000572236410 421772372 /nfs/dbraw/zinc/77/23/72/421772372.db2.gz FFVOHBBSZQSSIX-CQSZACIVSA-N 1 2 316.405 1.214 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2cc(N)c([N+](=O)[O-])c(C#N)c2)CCO1 ZINC000557569649 421777940 /nfs/dbraw/zinc/77/79/40/421777940.db2.gz ILCCZWDJKIUCLN-SNVBAGLBSA-N 1 2 305.338 1.181 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2cc(N)c([N+](=O)[O-])c(C#N)c2)CCO1 ZINC000557569649 421777943 /nfs/dbraw/zinc/77/79/43/421777943.db2.gz ILCCZWDJKIUCLN-SNVBAGLBSA-N 1 2 305.338 1.181 20 30 DDEDLO C[C@@H]([NH2+]CCS(=O)(=O)c1cccc(C#N)c1)c1ccn(C)n1 ZINC000520568878 269842770 /nfs/dbraw/zinc/84/27/70/269842770.db2.gz KACZSGNGOKYAQK-GFCCVEGCSA-N 1 2 318.402 1.416 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]CC(=O)N(CCC#N)c2ccccc2)o1 ZINC000541687365 421800668 /nfs/dbraw/zinc/80/06/68/421800668.db2.gz KNWSIBFPVZJSKZ-GFCCVEGCSA-N 1 2 313.361 1.976 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Cc1cccc(C#N)c1 ZINC000581046163 421889367 /nfs/dbraw/zinc/88/93/67/421889367.db2.gz ONFXAIMQWIGQRH-CQSZACIVSA-N 1 2 301.390 1.280 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](CCc3cscn3)CC2)c1C#N ZINC000574485790 422118946 /nfs/dbraw/zinc/11/89/46/422118946.db2.gz IBFMINZUEWQKNS-UHFFFAOYSA-N 1 2 316.434 1.421 20 30 DDEDLO CC[C@H](CC#N)[NH2+]Cc1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000575069613 422250559 /nfs/dbraw/zinc/25/05/59/422250559.db2.gz CGXGWTVDUMGDSR-GFCCVEGCSA-N 1 2 311.407 1.846 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCc1nc(-c2cccc(C#N)c2)c[nH]1 ZINC000584673424 422301282 /nfs/dbraw/zinc/30/12/82/422301282.db2.gz IVVIAMLMSPLONF-UHFFFAOYSA-N 1 2 320.356 1.541 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3cc(C#N)cnn3)c[nH+]2)CCO1 ZINC000596076812 422363423 /nfs/dbraw/zinc/36/34/23/422363423.db2.gz PWAPCVFMQSUJDJ-GFCCVEGCSA-N 1 2 310.361 1.580 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)NCc1cn2ccccc2[nH+]1 ZINC000577100916 422381641 /nfs/dbraw/zinc/38/16/41/422381641.db2.gz YAWDEMDNDOMJDV-JSGCOSHPSA-N 1 2 300.362 1.725 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]2C(=O)NC2CC2)c([N+](=O)[O-])c1 ZINC000577637857 422399625 /nfs/dbraw/zinc/39/96/25/422399625.db2.gz IFRAEWINEVCXGI-AWEZNQCLSA-N 1 2 314.345 1.709 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]2C(=O)NC2CC2)c([N+](=O)[O-])c1 ZINC000577637857 422399630 /nfs/dbraw/zinc/39/96/30/422399630.db2.gz IFRAEWINEVCXGI-AWEZNQCLSA-N 1 2 314.345 1.709 20 30 DDEDLO CCCCNc1cc(N2CCN(CCC#N)CC2)nc(N)[nH+]1 ZINC000601261879 422423789 /nfs/dbraw/zinc/42/37/89/422423789.db2.gz PXCHONMGTIGPNT-UHFFFAOYSA-N 1 2 303.414 1.306 20 30 DDEDLO N#CCC1CCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)CC1 ZINC000577816368 422432779 /nfs/dbraw/zinc/43/27/79/422432779.db2.gz VTIOXNJRNJNUTA-OAHLLOKOSA-N 1 2 315.421 1.958 20 30 DDEDLO N#CCC1CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)CC1 ZINC000577816368 422432783 /nfs/dbraw/zinc/43/27/83/422432783.db2.gz VTIOXNJRNJNUTA-OAHLLOKOSA-N 1 2 315.421 1.958 20 30 DDEDLO C=CCCC(C)(C)CNC(=O)C(=O)N1CCn2cc(C)[nH+]c2C1 ZINC000632592091 422458971 /nfs/dbraw/zinc/45/89/71/422458971.db2.gz OTZRKAUMSCBKQS-UHFFFAOYSA-N 1 2 318.421 1.642 20 30 DDEDLO Cn1ccc(CC(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)n1 ZINC000517195308 269935557 /nfs/dbraw/zinc/93/55/57/269935557.db2.gz GMHDXGQMWRRHMR-UHFFFAOYSA-N 1 2 323.400 1.179 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)n1cc(C[NH2+]CC#CC)nn1 ZINC000640921062 423276647 /nfs/dbraw/zinc/27/66/47/423276647.db2.gz RNEVFVPHNHHJST-AWEZNQCLSA-N 1 2 301.394 1.153 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(C)[C@@H]2CCCC[C@@H]2C)nn1 ZINC000640966178 423301738 /nfs/dbraw/zinc/30/17/38/423301738.db2.gz MGGPJYIVGIOXKC-GOEBONIOSA-N 1 2 317.437 1.428 20 30 DDEDLO C=CCCn1cc(CN2CC[NH+](Cc3ccco3)CC2)nn1 ZINC000653485066 423496391 /nfs/dbraw/zinc/49/63/91/423496391.db2.gz VBWHPBIQTHBAMG-UHFFFAOYSA-N 1 2 301.394 1.765 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCOCC3(CCOCC3)C2)nn1 ZINC000653613299 423549589 /nfs/dbraw/zinc/54/95/89/423549589.db2.gz BTWOAYLXNYAHRI-UHFFFAOYSA-N 1 2 306.410 1.483 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCOCC3(CCOCC3)C2)nn1 ZINC000653613299 423549595 /nfs/dbraw/zinc/54/95/95/423549595.db2.gz BTWOAYLXNYAHRI-UHFFFAOYSA-N 1 2 306.410 1.483 20 30 DDEDLO C=CCN1CC[C@H](N2CC[NH+](Cc3ccc(O)cc3)CC2)C1=O ZINC000640110456 424184013 /nfs/dbraw/zinc/18/40/13/424184013.db2.gz DWGRXFNXFGMKHI-KRWDZBQOSA-N 1 2 315.417 1.297 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(Cc3ccc(O)cc3)CC2)C1=O ZINC000640110456 424184023 /nfs/dbraw/zinc/18/40/23/424184023.db2.gz DWGRXFNXFGMKHI-KRWDZBQOSA-N 1 2 315.417 1.297 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH2+][C@@H](CC)c1nnc2n1CCCCC2 ZINC000661938531 424274531 /nfs/dbraw/zinc/27/45/31/424274531.db2.gz RIZGAWGRGQROPE-OLZOCXBDSA-N 1 2 305.426 1.736 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1nnnn1-c1ccc(C(F)(F)F)cc1 ZINC000662165561 424448021 /nfs/dbraw/zinc/44/80/21/424448021.db2.gz JEJPEGKVRSNEFR-SNVBAGLBSA-N 1 2 313.283 1.318 20 30 DDEDLO C=C[C@H](CO)[NH2+][C@H](C(N)=O)c1ccc(Br)cc1F ZINC000662168224 424452821 /nfs/dbraw/zinc/45/28/21/424452821.db2.gz ZCXYSPYRDRUJNH-KCJUWKMLSA-N 1 2 317.158 1.251 20 30 DDEDLO C=C(C)COCCNC(=O)C(=O)Nc1ccn2cc(C)[nH+]c2c1 ZINC000657734934 424496089 /nfs/dbraw/zinc/49/60/89/424496089.db2.gz WWXOASRPSVAPLF-UHFFFAOYSA-N 1 2 316.361 1.290 20 30 DDEDLO C[C@H]1CC[S@@](=O)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000375293883 267223867 /nfs/dbraw/zinc/22/38/67/267223867.db2.gz SYJZQHPUZJADOV-LAJNKCICSA-N 1 2 302.403 1.549 20 30 DDEDLO CN1C[C@H](C[NH+]2CCN(c3ccc(C#N)cc3F)CC2)OC1=O ZINC000496842371 267343246 /nfs/dbraw/zinc/34/32/46/267343246.db2.gz ZCXJULYKQRKTRY-CYBMUJFWSA-N 1 2 318.352 1.270 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)cn1 ZINC000375196806 268192886 /nfs/dbraw/zinc/19/28/86/268192886.db2.gz IQPFHUNSAGFXRF-GFCCVEGCSA-N 1 2 303.347 1.202 20 30 DDEDLO CCN1CC[NH+]([C@@H]2CCN(C(=O)N[C@@H]3CCC[C@H]3C#N)C2)CC1 ZINC000329554487 276197348 /nfs/dbraw/zinc/19/73/48/276197348.db2.gz BFQYNDYFBWZIAY-ARFHVFGLSA-N 1 2 319.453 1.304 20 30 DDEDLO CC#CCNC(=O)[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1c[nH+]cn1C ZINC000284618942 276932230 /nfs/dbraw/zinc/93/22/30/276932230.db2.gz SKAOQUCZKCIDDV-CZUORRHYSA-N 1 2 314.389 1.002 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000407990887 278145164 /nfs/dbraw/zinc/14/51/64/278145164.db2.gz QAICBFKWGAMHNP-DZGCQCFKSA-N 1 2 320.437 1.318 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000407990887 278145165 /nfs/dbraw/zinc/14/51/65/278145165.db2.gz QAICBFKWGAMHNP-DZGCQCFKSA-N 1 2 320.437 1.318 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(F)c(C#N)c1 ZINC000287440725 278902511 /nfs/dbraw/zinc/90/25/11/278902511.db2.gz RCLOUGRCWQSIJO-IUODEOHRSA-N 1 2 317.364 1.633 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1ccc(C#N)cc1[N+](=O)[O-] ZINC000286174604 288527433 /nfs/dbraw/zinc/52/74/33/288527433.db2.gz ABWZLVPWVGJQDB-TZMCWYRMSA-N 1 2 316.361 1.766 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1cc(C#N)ccc1[N+](=O)[O-] ZINC000286219341 288527508 /nfs/dbraw/zinc/52/75/08/288527508.db2.gz CYKUQEWWKNCHNJ-TZMCWYRMSA-N 1 2 316.361 1.766 20 30 DDEDLO C[NH+]1CCN(C[C@H]2CCCN(C(=O)C3(C#N)CCCC3)C2)CC1 ZINC000365114162 290046377 /nfs/dbraw/zinc/04/63/77/290046377.db2.gz CBJJCVITYMNGHF-MRXNPFEDSA-N 1 2 318.465 1.556 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)CCCCC#N)[C@@H](c2ccccc2)C1 ZINC000245902137 290049424 /nfs/dbraw/zinc/04/94/24/290049424.db2.gz KYHVFSYZSYYRKE-MRXNPFEDSA-N 1 2 321.446 1.999 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)CCCCC#N)[C@@H](c2ccccc2)C1 ZINC000245902137 290049427 /nfs/dbraw/zinc/04/94/27/290049427.db2.gz KYHVFSYZSYYRKE-MRXNPFEDSA-N 1 2 321.446 1.999 20 30 DDEDLO C[C@@H]1C[C@@H](C(=O)NC[C@@H]2C[C@H](F)CN2Cc2[nH+]ccn2C)CO1 ZINC000329949778 297193260 /nfs/dbraw/zinc/19/32/60/297193260.db2.gz MBETZUHPRALBPJ-MQYQWHSLSA-N 1 2 324.400 1.714 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1C(=O)NCC[C@H]1C ZINC000329231024 297263985 /nfs/dbraw/zinc/26/39/85/297263985.db2.gz KIBYSGJKLFYLTH-AAVRWANBSA-N 1 2 324.425 1.060 20 30 DDEDLO C[C@H](c1nccs1)[NH+]1CCN(c2ccnc(C#N)n2)CC1 ZINC000572662769 304546702 /nfs/dbraw/zinc/54/67/02/304546702.db2.gz UFTYMFQXCSZZSC-LLVKDONJSA-N 1 2 300.391 1.688 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1CCC(=O)N[C@H]1C ZINC000329485578 300422426 /nfs/dbraw/zinc/42/24/26/300422426.db2.gz QTYVNHPPAKUZCC-FQUUOJAGSA-N 1 2 324.425 1.203 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)[C@H]1COCCN1C)C1CCOCC1 ZINC000329111384 303002886 /nfs/dbraw/zinc/00/28/86/303002886.db2.gz GRKLRFSJAHQOGM-ZIAGYGMSSA-N 1 2 322.409 1.175 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](C3(C(N)=O)CCCC3)CC2)CCCC1 ZINC000370795252 303139938 /nfs/dbraw/zinc/13/99/38/303139938.db2.gz GODCAARXFUWHTA-UHFFFAOYSA-N 1 2 318.421 1.013 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)ncn1 ZINC000536166778 303356996 /nfs/dbraw/zinc/35/69/96/303356996.db2.gz WRYWOQAQPRKOKU-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO Cc1cc(N2CCC(c3nc(N(C)C)n[nH]3)CC2)c(C#N)c[nH+]1 ZINC000563019542 303912201 /nfs/dbraw/zinc/91/22/01/303912201.db2.gz HQDANKOKFRMUSY-UHFFFAOYSA-N 1 2 311.393 1.830 20 30 DDEDLO CS(=O)(=O)C[C@@H]([NH2+]Cc1ccc(C#N)cn1)c1ccccc1 ZINC000559793331 307904779 /nfs/dbraw/zinc/90/47/79/307904779.db2.gz MUMQVTGZCVKEEC-MRXNPFEDSA-N 1 2 315.398 1.829 20 30 DDEDLO C[C@@H]1CN(c2ccc(Nc3ccnc(C#N)n3)c[nH+]2)C[C@H](C)O1 ZINC000568533785 308122547 /nfs/dbraw/zinc/12/25/47/308122547.db2.gz WSBPUGADRBEDAS-TXEJJXNPSA-N 1 2 310.361 1.522 20 30 DDEDLO O=C(CN1CC[NH+](Cc2ccco2)CC1)Nc1nncs1 ZINC000023253354 331597086 /nfs/dbraw/zinc/59/70/86/331597086.db2.gz RGHJFEIMAMBUJZ-UHFFFAOYSA-N 1 2 307.379 1.537 20 30 DDEDLO CCCc1nc(CO[NH+]=C(N)c2ccc(OC)c(OC)c2)no1 ZINC000067098132 331893636 /nfs/dbraw/zinc/89/36/36/331893636.db2.gz FPZPWRVGUDNNQC-UHFFFAOYSA-N 1 2 320.349 1.876 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NCCc1cn2ccccc2[nH+]1 ZINC000562582573 332606077 /nfs/dbraw/zinc/60/60/77/332606077.db2.gz XKLAVPUWWWKTAO-KGLIPLIRSA-N 1 2 300.362 1.520 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2ccc(-n3ccnc3)cc2)CC1 ZINC000570104564 332712861 /nfs/dbraw/zinc/71/28/61/332712861.db2.gz LWEABRYKNIIBKZ-UHFFFAOYSA-N 1 2 309.373 1.430 20 30 DDEDLO Cc1cccc(CCN(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000582059203 333193674 /nfs/dbraw/zinc/19/36/74/333193674.db2.gz AQZFHZGJGUDHNR-UHFFFAOYSA-N 1 2 302.422 1.480 20 30 DDEDLO CC(C)N(CCC#N)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000531361246 333346165 /nfs/dbraw/zinc/34/61/65/333346165.db2.gz BHCPBCJTNAZTAY-UHFFFAOYSA-N 1 2 317.437 1.783 20 30 DDEDLO CCOc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1OC ZINC000567990813 333509741 /nfs/dbraw/zinc/50/97/41/333509741.db2.gz ZXYCXEYNDAQROQ-INIZCTEOSA-N 1 2 305.378 1.668 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)NCC(=O)c2cccs2)CC1 ZINC000330785809 334815137 /nfs/dbraw/zinc/81/51/37/334815137.db2.gz UNXKHVFJWDYHND-UHFFFAOYSA-N 1 2 305.403 1.673 20 30 DDEDLO CC1CC(C#N)(C(=O)Nc2cnn(CC[NH+]3CCOCC3)c2)C1 ZINC000532318872 336239327 /nfs/dbraw/zinc/23/93/27/336239327.db2.gz QGPXSBKTLLOOJH-UHFFFAOYSA-N 1 2 317.393 1.094 20 30 DDEDLO CC1(C)CCC[C@@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000355294490 336503077 /nfs/dbraw/zinc/50/30/77/336503077.db2.gz UFAWXLLEGMZWAG-OAHLLOKOSA-N 1 2 307.438 1.887 20 30 DDEDLO C#CCN1CC[NH+](Cc2cc(OC)c(OC)c(OC)c2)CC1 ZINC000178468856 337164301 /nfs/dbraw/zinc/16/43/01/337164301.db2.gz UGGDVOFZBJWJDC-UHFFFAOYSA-N 1 2 304.390 1.463 20 30 DDEDLO CC(C)[C@]1(C)C[C@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000185734370 337250926 /nfs/dbraw/zinc/25/09/26/337250926.db2.gz JEPDXPJUXRJNDT-RDJZCZTQSA-N 1 2 307.438 1.743 20 30 DDEDLO N#CC1CC[NH+](CC(=O)NCCOc2cccc(F)c2)CC1 ZINC000057873407 337458263 /nfs/dbraw/zinc/45/82/63/337458263.db2.gz JKMWFYFPZUHIEK-UHFFFAOYSA-N 1 2 305.353 1.556 20 30 DDEDLO CCOc1c(C[NH+]2CCN(CC#N)CC2)c(C)nn1CC(C)C ZINC000092936077 337910517 /nfs/dbraw/zinc/91/05/17/337910517.db2.gz ZYYMQDYOZARIOC-UHFFFAOYSA-N 1 2 319.453 1.887 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccccc2OC(C)C)CC1 ZINC000085272455 338007934 /nfs/dbraw/zinc/00/79/34/338007934.db2.gz LSUZIHZRPNVWJD-UHFFFAOYSA-N 1 2 315.417 1.663 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC([C@@H](O)C[NH+]2CC(C)(C#N)C2)CC1 ZINC000497000853 340018008 /nfs/dbraw/zinc/01/80/08/340018008.db2.gz VBTXFZOQSIUHTO-AWEZNQCLSA-N 1 2 323.437 1.840 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000249381061 341396870 /nfs/dbraw/zinc/39/68/70/341396870.db2.gz VEBMPCHCRIBYEK-AWEZNQCLSA-N 1 2 306.410 1.467 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000249381061 341396871 /nfs/dbraw/zinc/39/68/71/341396871.db2.gz VEBMPCHCRIBYEK-AWEZNQCLSA-N 1 2 306.410 1.467 20 30 DDEDLO CC(=O)N[C@@H](CC(=O)N[C@](C)(C#N)C[NH+](C)C)c1cccs1 ZINC000566563501 341561906 /nfs/dbraw/zinc/56/19/06/341561906.db2.gz DQTHPQPBDBBPMI-SWLSCSKDSA-N 1 2 322.434 1.275 20 30 DDEDLO COc1c(C(=O)N[C@](C)(C#N)C[NH+](C)C)cccc1[N+](=O)[O-] ZINC000576044468 341822253 /nfs/dbraw/zinc/82/22/53/341822253.db2.gz USLXAZPNVNUSMY-CQSZACIVSA-N 1 2 306.322 1.177 20 30 DDEDLO C=C[C@@H](C)NC(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000674976705 485836878 /nfs/dbraw/zinc/83/68/78/485836878.db2.gz ZBKIVJHOYTWFMH-CYBMUJFWSA-N 1 2 316.405 1.770 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+](C)C[C@H]2COCCO2)c(C#N)c1C ZINC000595737500 501015425 /nfs/dbraw/zinc/01/54/25/501015425.db2.gz QXONMQDQLJVTJU-YPMHNXCESA-N 1 2 321.377 1.442 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+](C)C[C@H]2COCCO2)c(C#N)c1C ZINC000595737500 501015428 /nfs/dbraw/zinc/01/54/28/501015428.db2.gz QXONMQDQLJVTJU-YPMHNXCESA-N 1 2 321.377 1.442 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCC[C@H]1C#N)C(=O)N1CC(=O)Nc2ccccc21 ZINC000331750307 534000245 /nfs/dbraw/zinc/00/02/45/534000245.db2.gz ARUPDDCGDGCSNQ-AVGNSLFASA-N 1 2 312.373 1.642 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCC#Cc1cccc(F)c1 ZINC000338002057 534063100 /nfs/dbraw/zinc/06/31/00/534063100.db2.gz NVDFWJUGRHRQOP-CQSZACIVSA-N 1 2 319.380 1.197 20 30 DDEDLO COC(=O)c1ccccc1NC(=O)C[NH2+][C@H]1CCC[C@@H]1C#N ZINC000459475227 534292767 /nfs/dbraw/zinc/29/27/67/534292767.db2.gz ZJRRJQMEWUOPQM-YPMHNXCESA-N 1 2 301.346 1.694 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)CC[S@]1=O ZINC000330841303 534460405 /nfs/dbraw/zinc/46/04/05/534460405.db2.gz JRJLYHJBGMTXOG-SBKAZYGRSA-N 1 2 311.432 1.401 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)CC[S@]1=O ZINC000330841303 534460413 /nfs/dbraw/zinc/46/04/13/534460413.db2.gz JRJLYHJBGMTXOG-SBKAZYGRSA-N 1 2 311.432 1.401 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NC[C@@H]1CC[C@H](O)C1)CCC2 ZINC000329930369 534511820 /nfs/dbraw/zinc/51/18/20/534511820.db2.gz OJGIERFNPUOBRG-RDBSUJKOSA-N 1 2 306.410 1.734 20 30 DDEDLO Cc1cn2cc(NC(=O)C(=O)NCC3(CC#N)CC3)ccc2[nH+]1 ZINC000430351782 534516693 /nfs/dbraw/zinc/51/66/93/534516693.db2.gz GTHBQQOGHTUFRT-UHFFFAOYSA-N 1 2 311.345 1.391 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CC[C@@H](c2cccc(Br)c2)C1 ZINC000451574441 534674620 /nfs/dbraw/zinc/67/46/20/534674620.db2.gz VUFGHVJBVATVND-GFCCVEGCSA-N 1 2 322.206 1.878 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CC[C@@H](c2cccc(Br)c2)C1 ZINC000451574441 534674623 /nfs/dbraw/zinc/67/46/23/534674623.db2.gz VUFGHVJBVATVND-GFCCVEGCSA-N 1 2 322.206 1.878 20 30 DDEDLO O=C(CCN1C(=O)[C@@H]2CCCC[C@H]2C1=O)NCc1c[nH+]c[nH]1 ZINC000329753951 534836688 /nfs/dbraw/zinc/83/66/88/534836688.db2.gz XCAZZSVOIMQANI-VXGBXAGGSA-N 1 2 304.350 1.432 20 30 DDEDLO O=C(CCN1C(=O)[C@@H]2CCCC[C@H]2C1=O)NCc1c[nH]c[nH+]1 ZINC000329753951 534836692 /nfs/dbraw/zinc/83/66/92/534836692.db2.gz XCAZZSVOIMQANI-VXGBXAGGSA-N 1 2 304.350 1.432 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N1CCC(C(=O)NCCC(C)C)CC1 ZINC000339750638 526358248 /nfs/dbraw/zinc/35/82/48/526358248.db2.gz FERXJVJUQUXJSP-UHFFFAOYSA-N 1 2 321.465 1.342 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N1CCC(C(=O)NCCC(C)C)CC1 ZINC000339750638 526358261 /nfs/dbraw/zinc/35/82/61/526358261.db2.gz FERXJVJUQUXJSP-UHFFFAOYSA-N 1 2 321.465 1.342 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2ccc(C)c(C)c2)C1 ZINC000330952753 526402963 /nfs/dbraw/zinc/40/29/63/526402963.db2.gz QZECXHOXWCAXAE-UKRRQHHQSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2ccc(C)c(C)c2)C1 ZINC000330952753 526402967 /nfs/dbraw/zinc/40/29/67/526402967.db2.gz QZECXHOXWCAXAE-UKRRQHHQSA-N 1 2 318.421 1.838 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(C)[C@@H](C)CC(C)C)C1=O ZINC000337200020 526467780 /nfs/dbraw/zinc/46/77/80/526467780.db2.gz BKUVHLFUQPDPNT-LSDHHAIUSA-N 1 2 309.454 1.598 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(C)[C@@H](C)CC(C)C)C1=O ZINC000337200020 526467782 /nfs/dbraw/zinc/46/77/82/526467782.db2.gz BKUVHLFUQPDPNT-LSDHHAIUSA-N 1 2 309.454 1.598 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCCC[C@H]2C(=O)OC(C)(C)C)C1=O ZINC000337196407 526506434 /nfs/dbraw/zinc/50/64/34/526506434.db2.gz XLKPSXOEAKLSNB-KBPBESRZSA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCCC[C@H]2C(=O)OC(C)(C)C)C1=O ZINC000337196407 526506440 /nfs/dbraw/zinc/50/64/40/526506440.db2.gz XLKPSXOEAKLSNB-KBPBESRZSA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2c[nH]nc2C(C)(C)C)CC1 ZINC000341170478 526539761 /nfs/dbraw/zinc/53/97/61/526539761.db2.gz QDISPBAPWHKIDK-UHFFFAOYSA-N 1 2 319.453 1.127 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+]([C@H](C)C(=O)NC2CC2)CC1 ZINC000332103445 526695858 /nfs/dbraw/zinc/69/58/58/526695858.db2.gz CBRZXJQDLLKHQE-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1)OCC ZINC000339365405 526744326 /nfs/dbraw/zinc/74/43/26/526744326.db2.gz JOXFUAKFSOXTDP-HZPDHXFCSA-N 1 2 310.438 1.681 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCc1ccc(OC)c(OC)c1)C1CC1 ZINC000491711966 526882916 /nfs/dbraw/zinc/88/29/16/526882916.db2.gz VZDMESNZBIYZQC-UHFFFAOYSA-N 1 2 302.374 1.418 20 30 DDEDLO C#CC[N@H+](CC(=O)NCc1ccc(OC)c(OC)c1)C1CC1 ZINC000491711966 526882920 /nfs/dbraw/zinc/88/29/20/526882920.db2.gz VZDMESNZBIYZQC-UHFFFAOYSA-N 1 2 302.374 1.418 20 30 DDEDLO C#CC[N@@H+](CC[C@H](O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000491428311 526901322 /nfs/dbraw/zinc/90/13/22/526901322.db2.gz ODRAENLYTBGUQY-CVEARBPZSA-N 1 2 307.415 1.232 20 30 DDEDLO C#CC[N@H+](CC[C@H](O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000491428311 526901326 /nfs/dbraw/zinc/90/13/26/526901326.db2.gz ODRAENLYTBGUQY-CVEARBPZSA-N 1 2 307.415 1.232 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(C)c(Br)c2C)CC1 ZINC000491182097 526954639 /nfs/dbraw/zinc/95/46/39/526954639.db2.gz YGYZSIZRRJNISO-UHFFFAOYSA-N 1 2 311.227 1.471 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(COCC)n2CC(C)C)CC1 ZINC000491155253 526955819 /nfs/dbraw/zinc/95/58/19/526955819.db2.gz PWYKNFDHERCBPW-UHFFFAOYSA-N 1 2 305.426 1.226 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(C)C)n2CC(C)C)CC1 ZINC000491118267 526956215 /nfs/dbraw/zinc/95/62/15/526956215.db2.gz BPFXUILZQLXHKW-UHFFFAOYSA-N 1 2 303.454 1.888 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3OCC[C@@H]3C)n2CC)CC1 ZINC000491154677 526956982 /nfs/dbraw/zinc/95/69/82/526956982.db2.gz LIMMANRQEIIIOI-UONOGXRCSA-N 1 2 303.410 1.151 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2cc(O)ccc2[N+](=O)[O-])CC1 ZINC000491069608 526971098 /nfs/dbraw/zinc/97/10/98/526971098.db2.gz XEUCWPCTBROMJM-UHFFFAOYSA-N 1 2 317.345 1.262 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2ncnn2-c2ccccc2)CC1 ZINC000491101744 526972055 /nfs/dbraw/zinc/97/20/55/526972055.db2.gz KKDMOUXCNBBARM-UHFFFAOYSA-N 1 2 323.400 1.229 20 30 DDEDLO C#CCNC(=O)C1CCN(c2cc(NCC3CC3)[nH+]cn2)CC1 ZINC000491726702 526972765 /nfs/dbraw/zinc/97/27/65/526972765.db2.gz AFQDCRJNNXCNNW-UHFFFAOYSA-N 1 2 313.405 1.264 20 30 DDEDLO C#CCNC(=O)C1CCN(c2cc(NCC3CC3)nc[nH+]2)CC1 ZINC000491726702 526972771 /nfs/dbraw/zinc/97/27/71/526972771.db2.gz AFQDCRJNNXCNNW-UHFFFAOYSA-N 1 2 313.405 1.264 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN([C@H](C)c2c(F)cccc2F)CC1 ZINC000491723296 527003759 /nfs/dbraw/zinc/00/37/59/527003759.db2.gz BKCHCPHSFUZQFI-CYBMUJFWSA-N 1 2 321.371 1.393 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000330974272 527143078 /nfs/dbraw/zinc/14/30/78/527143078.db2.gz ITUHANIKBVGPPJ-HNNXBMFYSA-N 1 2 322.453 1.495 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000341857638 527173680 /nfs/dbraw/zinc/17/36/80/527173680.db2.gz CPKOHSUIFLACMO-HNNXBMFYSA-N 1 2 318.421 1.666 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1cc(OC)c(OC)c(OC)c1 ZINC000491691246 527331355 /nfs/dbraw/zinc/33/13/55/527331355.db2.gz FTNGRHUPXMMRLM-NSHDSACASA-N 1 2 306.362 1.604 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1cc(OC)c(OC)c(OC)c1 ZINC000491691246 527331358 /nfs/dbraw/zinc/33/13/58/527331358.db2.gz FTNGRHUPXMMRLM-NSHDSACASA-N 1 2 306.362 1.604 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1CC(=O)N(c2cccc([N+](=O)[O-])c2)C1=O ZINC000491725649 527332260 /nfs/dbraw/zinc/33/22/60/527332260.db2.gz IEYKRMHOUMDKEO-NSHDSACASA-N 1 2 316.317 1.274 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1CC(=O)N(c2cccc([N+](=O)[O-])c2)C1=O ZINC000491725649 527332266 /nfs/dbraw/zinc/33/22/66/527332266.db2.gz IEYKRMHOUMDKEO-NSHDSACASA-N 1 2 316.317 1.274 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cnn(CC(F)(F)F)c2)nn1 ZINC000424176433 527372152 /nfs/dbraw/zinc/37/21/52/527372152.db2.gz XTLHWEXNLVMFGS-UHFFFAOYSA-N 1 2 300.288 1.513 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2c(OC)cc(OC)cc2OC)nn1 ZINC000424173415 527372780 /nfs/dbraw/zinc/37/27/80/527372780.db2.gz PTDPPRZYUPPQAS-UHFFFAOYSA-N 1 2 318.377 1.780 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(c2ccc(C#N)c(Cl)c2)CC1 ZINC000431820949 527542677 /nfs/dbraw/zinc/54/26/77/527542677.db2.gz DCJBRBVQSQLAOI-UHFFFAOYSA-N 1 2 306.797 1.598 20 30 DDEDLO CC(=O)N[C@H](C(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1)C1CCCC1 ZINC000329983898 527544388 /nfs/dbraw/zinc/54/43/88/527544388.db2.gz PZCFAVDOLITSAR-KKUMJFAQSA-N 1 2 309.410 1.063 20 30 DDEDLO CC(=O)N[C@H](C(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1)C1CCCC1 ZINC000329983898 527544393 /nfs/dbraw/zinc/54/43/93/527544393.db2.gz PZCFAVDOLITSAR-KKUMJFAQSA-N 1 2 309.410 1.063 20 30 DDEDLO CC(C)C(=O)Nc1ccc(OC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000414149242 527544633 /nfs/dbraw/zinc/54/46/33/527544633.db2.gz NWRCSGXYGCADFL-OAHLLOKOSA-N 1 2 319.405 1.866 20 30 DDEDLO CC(C)C(=O)Nc1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000414149242 527544641 /nfs/dbraw/zinc/54/46/41/527544641.db2.gz NWRCSGXYGCADFL-OAHLLOKOSA-N 1 2 319.405 1.866 20 30 DDEDLO CC1(C)[C@H](O)C[C@@H]1NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000330200695 527881688 /nfs/dbraw/zinc/88/16/88/527881688.db2.gz WULDHTGPDVFKON-JKSUJKDBSA-N 1 2 318.417 1.983 20 30 DDEDLO CC(C)[C@@H]1C[N@H+](CC#CCN2CCO[C@@H](C(C)C)C2)CCO1 ZINC000341906054 528745912 /nfs/dbraw/zinc/74/59/12/528745912.db2.gz UHIKRQMXKVBFLQ-HDICACEKSA-N 1 2 308.466 1.703 20 30 DDEDLO CC(C)[C@@H]1C[N@@H+](CC#CCN2CCO[C@@H](C(C)C)C2)CCO1 ZINC000341906054 528745916 /nfs/dbraw/zinc/74/59/16/528745916.db2.gz UHIKRQMXKVBFLQ-HDICACEKSA-N 1 2 308.466 1.703 20 30 DDEDLO CC(C)[C@@H]1CN(CC#CC[N@@H+]2CCO[C@@H](C(C)C)C2)CCO1 ZINC000341906054 528745922 /nfs/dbraw/zinc/74/59/22/528745922.db2.gz UHIKRQMXKVBFLQ-HDICACEKSA-N 1 2 308.466 1.703 20 30 DDEDLO CC(C)[C@@H]1CN(CC#CC[N@H+]2CCO[C@@H](C(C)C)C2)CCO1 ZINC000341906054 528745925 /nfs/dbraw/zinc/74/59/25/528745925.db2.gz UHIKRQMXKVBFLQ-HDICACEKSA-N 1 2 308.466 1.703 20 30 DDEDLO CCN1CCN(CC(=O)Nc2cc(C)no2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000329921032 529139880 /nfs/dbraw/zinc/13/98/80/529139880.db2.gz FJRXWFXUZQDANU-GFCCVEGCSA-N 1 2 318.381 1.673 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000494675348 529292938 /nfs/dbraw/zinc/29/29/38/529292938.db2.gz ZRXSXIKASHBZCR-INIZCTEOSA-N 1 2 316.405 1.468 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000494675348 529292941 /nfs/dbraw/zinc/29/29/41/529292941.db2.gz ZRXSXIKASHBZCR-INIZCTEOSA-N 1 2 316.405 1.468 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccsc3)C2)C1 ZINC000972244346 695188585 /nfs/dbraw/zinc/18/85/85/695188585.db2.gz WEIYHWACGROMKG-KRWDZBQOSA-N 1 2 318.442 1.617 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccsc3)C2)C1 ZINC000972244346 695188586 /nfs/dbraw/zinc/18/85/86/695188586.db2.gz WEIYHWACGROMKG-KRWDZBQOSA-N 1 2 318.442 1.617 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3=COCCC3)C2)C1 ZINC000972288627 695205815 /nfs/dbraw/zinc/20/58/15/695205815.db2.gz HLIBEUKXXSZDPH-KRWDZBQOSA-N 1 2 304.390 1.007 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3=COCCC3)C2)C1 ZINC000972288627 695205818 /nfs/dbraw/zinc/20/58/18/695205818.db2.gz HLIBEUKXXSZDPH-KRWDZBQOSA-N 1 2 304.390 1.007 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@]2(CCN(C(=O)C(=O)C(C)(C)C)C2)C1 ZINC000972301962 695211138 /nfs/dbraw/zinc/21/11/38/695211138.db2.gz QAGGQDXEFUAWGS-QGZVFWFLSA-N 1 2 308.422 1.481 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@]2(CCN(C(=O)C(=O)C(C)(C)C)C2)C1 ZINC000972301962 695211140 /nfs/dbraw/zinc/21/11/40/695211140.db2.gz QAGGQDXEFUAWGS-QGZVFWFLSA-N 1 2 308.422 1.481 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCC3CCC3)C2)C1 ZINC000972323904 695216263 /nfs/dbraw/zinc/21/62/63/695216263.db2.gz LWAOLSSSJLZYRX-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCC3CCC3)C2)C1 ZINC000972323904 695216264 /nfs/dbraw/zinc/21/62/64/695216264.db2.gz LWAOLSSSJLZYRX-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(OC)ns3)C2)C1 ZINC000972392441 695238625 /nfs/dbraw/zinc/23/86/25/695238625.db2.gz KTFYAUMPRRFKAA-HNNXBMFYSA-N 1 2 323.418 1.255 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(OC)ns3)C2)C1 ZINC000972392441 695238626 /nfs/dbraw/zinc/23/86/26/695238626.db2.gz KTFYAUMPRRFKAA-HNNXBMFYSA-N 1 2 323.418 1.255 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@]3(C)CC=CCC3)C2)C1 ZINC000972396790 695239414 /nfs/dbraw/zinc/23/94/14/695239414.db2.gz LUJLLKXTVIBHPB-MSOLQXFVSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@]3(C)CC=CCC3)C2)C1 ZINC000972396790 695239415 /nfs/dbraw/zinc/23/94/15/695239415.db2.gz LUJLLKXTVIBHPB-MSOLQXFVSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)C1 ZINC000972474632 695259138 /nfs/dbraw/zinc/25/91/38/695259138.db2.gz KOFWSQKAUDJSKG-UKBAYJJMSA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)C1 ZINC000972474632 695259139 /nfs/dbraw/zinc/25/91/39/695259139.db2.gz KOFWSQKAUDJSKG-UKBAYJJMSA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](OC)C3CCC3)C2)C1 ZINC000972485725 695262011 /nfs/dbraw/zinc/26/20/11/695262011.db2.gz CCTUPUWPIYUUDC-WMZOPIPTSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](OC)C3CCC3)C2)C1 ZINC000972485725 695262013 /nfs/dbraw/zinc/26/20/13/695262013.db2.gz CCTUPUWPIYUUDC-WMZOPIPTSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3Cc4ccccc43)C2)C1 ZINC000972526334 695272944 /nfs/dbraw/zinc/27/29/44/695272944.db2.gz JGCIFTLCSIALIY-AZUAARDMSA-N 1 2 324.424 1.653 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3Cc4ccccc43)C2)C1 ZINC000972526334 695272945 /nfs/dbraw/zinc/27/29/45/695272945.db2.gz JGCIFTLCSIALIY-AZUAARDMSA-N 1 2 324.424 1.653 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CCC(F)CC3)C2)C1 ZINC000972530300 695274334 /nfs/dbraw/zinc/27/43/34/695274334.db2.gz CBSNQKIOYFNLOJ-VMBOVVBDSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CCC(F)CC3)C2)C1 ZINC000972530300 695274335 /nfs/dbraw/zinc/27/43/35/695274335.db2.gz CBSNQKIOYFNLOJ-VMBOVVBDSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3occc3C)C2)C1 ZINC000972542325 695277540 /nfs/dbraw/zinc/27/75/40/695277540.db2.gz JLBDCQWPNHOTAQ-QGZVFWFLSA-N 1 2 302.374 1.067 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3occc3C)C2)C1 ZINC000972542325 695277542 /nfs/dbraw/zinc/27/75/42/695277542.db2.gz JLBDCQWPNHOTAQ-QGZVFWFLSA-N 1 2 302.374 1.067 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)cc3C)C2)C1 ZINC000972648192 695308761 /nfs/dbraw/zinc/30/87/61/695308761.db2.gz YAKYSCPBHSEKFZ-GOSISDBHSA-N 1 2 316.376 1.684 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)cc3C)C2)C1 ZINC000972648192 695308763 /nfs/dbraw/zinc/30/87/63/695308763.db2.gz YAKYSCPBHSEKFZ-GOSISDBHSA-N 1 2 316.376 1.684 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCn3cc(C)cn3)C2)C1 ZINC000972693913 695317817 /nfs/dbraw/zinc/31/78/17/695317817.db2.gz JYFVCECQEJXOOO-QGZVFWFLSA-N 1 2 318.421 1.071 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CCn3cc(C)cn3)C2)C1 ZINC000972693913 695317818 /nfs/dbraw/zinc/31/78/18/695317818.db2.gz JYFVCECQEJXOOO-QGZVFWFLSA-N 1 2 318.421 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C[C@H]2CCOC2)C(C)(C)C1 ZINC000972981657 695387299 /nfs/dbraw/zinc/38/72/99/695387299.db2.gz ZRFVGRHHZQSFNQ-OLZOCXBDSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C[C@H]2CCOC2)C(C)(C)C1 ZINC000972981657 695387300 /nfs/dbraw/zinc/38/73/00/695387300.db2.gz ZRFVGRHHZQSFNQ-OLZOCXBDSA-N 1 2 300.830 1.992 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccccc1 ZINC000746678810 700036462 /nfs/dbraw/zinc/03/64/62/700036462.db2.gz ZFGUROAXUYYRTB-MRXNPFEDSA-N 1 2 313.401 1.020 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)c1ccccc1 ZINC000746678810 700036464 /nfs/dbraw/zinc/03/64/64/700036464.db2.gz ZFGUROAXUYYRTB-MRXNPFEDSA-N 1 2 313.401 1.020 20 30 DDEDLO CC1(C)CN(CC#N)C[C@@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC000977467545 696176690 /nfs/dbraw/zinc/17/66/90/696176690.db2.gz LXBWMUJHKHSRIC-HNNXBMFYSA-N 1 2 324.388 1.231 20 30 DDEDLO C=CCNC(=S)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000747723630 700084045 /nfs/dbraw/zinc/08/40/45/700084045.db2.gz PKSMMDYGLMXBER-OAHLLOKOSA-N 1 2 305.447 1.538 20 30 DDEDLO C=CCNC(=S)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000747723630 700084047 /nfs/dbraw/zinc/08/40/47/700084047.db2.gz PKSMMDYGLMXBER-OAHLLOKOSA-N 1 2 305.447 1.538 20 30 DDEDLO Cc1ccc(NC(=O)CO[NH+]=C(N)Cc2cccnc2)cc1F ZINC000089506481 696577999 /nfs/dbraw/zinc/57/79/99/696577999.db2.gz QEMNIQRRWTVCNR-UHFFFAOYSA-N 1 2 316.336 1.999 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCn2ccccc2=O)CC1 ZINC000981281745 697054835 /nfs/dbraw/zinc/05/48/35/697054835.db2.gz LKARKWCDSCZWRR-UHFFFAOYSA-N 1 2 323.824 1.525 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCn2ccccc2=O)CC1 ZINC000981281745 697054836 /nfs/dbraw/zinc/05/48/36/697054836.db2.gz LKARKWCDSCZWRR-UHFFFAOYSA-N 1 2 323.824 1.525 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2cnc3ccccc3c2O)CC1 ZINC000981529196 697119818 /nfs/dbraw/zinc/11/98/18/697119818.db2.gz QQZQMCOETHVMNQ-UHFFFAOYSA-N 1 2 323.396 1.699 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2cnc3ccccc3c2O)CC1 ZINC000981529196 697119819 /nfs/dbraw/zinc/11/98/19/697119819.db2.gz QQZQMCOETHVMNQ-UHFFFAOYSA-N 1 2 323.396 1.699 20 30 DDEDLO COC[C@@]1(C)CC(=O)N(C[N@H+](C)Cc2cccc(C#N)c2)C1 ZINC000177134661 697409568 /nfs/dbraw/zinc/40/95/68/697409568.db2.gz MRDIGJOSYYCVGJ-KRWDZBQOSA-N 1 2 301.390 1.833 20 30 DDEDLO COC[C@@]1(C)CC(=O)N(C[N@@H+](C)Cc2cccc(C#N)c2)C1 ZINC000177134661 697409570 /nfs/dbraw/zinc/40/95/70/697409570.db2.gz MRDIGJOSYYCVGJ-KRWDZBQOSA-N 1 2 301.390 1.833 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@@H+]2CCOCC2(C)C)cc1C#N ZINC000799606663 700152609 /nfs/dbraw/zinc/15/26/09/700152609.db2.gz JTENRYBMHAIAGH-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@H+]2CCOCC2(C)C)cc1C#N ZINC000799606663 700152610 /nfs/dbraw/zinc/15/26/10/700152610.db2.gz JTENRYBMHAIAGH-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(CC#Cc2ccc(F)cc2)CC1 ZINC000749334823 700157151 /nfs/dbraw/zinc/15/71/51/700157151.db2.gz GIHARLQCPUONNC-OAQYLSRUSA-N 1 2 308.422 1.173 20 30 DDEDLO CN(CC#N)C1CCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CC1 ZINC000985731900 697564462 /nfs/dbraw/zinc/56/44/62/697564462.db2.gz IINLOEWWJVDJJW-UHFFFAOYSA-N 1 2 324.388 1.327 20 30 DDEDLO COc1cc(CN2CC[NH+](CC[S@](C)=O)CC2)ccc1C#N ZINC000799733638 700163437 /nfs/dbraw/zinc/16/34/37/700163437.db2.gz ONBNLECOPDKTSK-QFIPXVFZSA-N 1 2 321.446 1.063 20 30 DDEDLO NC(=[NH+]OCc1nnnn1CC(F)(F)F)c1ccccc1 ZINC000191448861 697606990 /nfs/dbraw/zinc/60/69/90/697606990.db2.gz CUIGSQCBUGGIAB-UHFFFAOYSA-N 1 2 300.244 1.073 20 30 DDEDLO C[C@H]1[C@@H]([NH2+]Cc2csnn2)CCN1C(=O)c1cc(C#N)c[nH]1 ZINC000986132981 697698335 /nfs/dbraw/zinc/69/83/35/697698335.db2.gz LVEQLNNMQXSJJV-CABZTGNLSA-N 1 2 316.390 1.131 20 30 DDEDLO C[N@H+](CC(=O)[C@@H](C#N)C(=O)NC1CCCC1)[C@H]1CCSC1 ZINC000773983108 697830179 /nfs/dbraw/zinc/83/01/79/697830179.db2.gz GXKVNUCUCCSIPG-QWHCGFSZSA-N 1 2 309.435 1.191 20 30 DDEDLO C[N@@H+](CC(=O)[C@@H](C#N)C(=O)NC1CCCC1)[C@H]1CCSC1 ZINC000773983108 697830183 /nfs/dbraw/zinc/83/01/83/697830183.db2.gz GXKVNUCUCCSIPG-QWHCGFSZSA-N 1 2 309.435 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+]Cc3nc(C)no3)[C@@H]2C)cc1 ZINC000986636678 697860283 /nfs/dbraw/zinc/86/02/83/697860283.db2.gz KYEPAQWTLOXAEA-BLLLJJGKSA-N 1 2 324.384 1.752 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+]Cc3nnc(C)o3)[C@@H]2C)cc1 ZINC000986636431 697860755 /nfs/dbraw/zinc/86/07/55/697860755.db2.gz IJOGTXISSXTAIR-LRDDRELGSA-N 1 2 324.384 1.752 20 30 DDEDLO C#CCCCON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000779646801 698447541 /nfs/dbraw/zinc/44/75/41/698447541.db2.gz VMAALIFIKJHKPE-MRXNPFEDSA-N 1 2 301.390 1.799 20 30 DDEDLO C#CCCCON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000779646801 698447543 /nfs/dbraw/zinc/44/75/43/698447543.db2.gz VMAALIFIKJHKPE-MRXNPFEDSA-N 1 2 301.390 1.799 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=Cc2cccn2-c2ccc([N+](=O)[O-])cc2)N1 ZINC000779794666 698466295 /nfs/dbraw/zinc/46/62/95/698466295.db2.gz KOMDSSUJLZOZFU-NSHDSACASA-N 1 2 312.333 1.657 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)NCCCNc2cccc[nH+]2)cc1 ZINC000780945999 698575144 /nfs/dbraw/zinc/57/51/44/698575144.db2.gz UYZKMICYFJPUFI-INIZCTEOSA-N 1 2 310.357 1.605 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2CCN(C(C)=O)C2)CC1 ZINC000989571870 698672150 /nfs/dbraw/zinc/67/21/50/698672150.db2.gz DNGJKJQIUIZQII-AWEZNQCLSA-N 1 2 313.829 1.142 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2CCN(C(C)=O)C2)CC1 ZINC000989571870 698672152 /nfs/dbraw/zinc/67/21/52/698672152.db2.gz DNGJKJQIUIZQII-AWEZNQCLSA-N 1 2 313.829 1.142 20 30 DDEDLO Cc1[nH+]c(C(C)N=Nc2nccc(N3CCOCC3)n2)cn1C ZINC000800813734 700245582 /nfs/dbraw/zinc/24/55/82/700245582.db2.gz IITRHWUKVHVMFG-UHFFFAOYSA-N 1 2 315.381 1.191 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N1CC[NH+](CC[S@](C)=O)CC1 ZINC000782184355 698694380 /nfs/dbraw/zinc/69/43/80/698694380.db2.gz CYOVBMWIEYYCTK-SZNDQCEHSA-N 1 2 305.447 1.615 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000783057396 698801518 /nfs/dbraw/zinc/80/15/18/698801518.db2.gz YQLLVAQRXZZFPA-UHFFFAOYSA-N 1 2 308.363 1.057 20 30 DDEDLO COc1ccc(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)cc1C#N ZINC000750924392 700257589 /nfs/dbraw/zinc/25/75/89/700257589.db2.gz NWNUEURIQPJMCC-CYBMUJFWSA-N 1 2 310.357 1.642 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1occc1Br ZINC000381503664 699065634 /nfs/dbraw/zinc/06/56/34/699065634.db2.gz DJQPIBPQMSWBAI-UHFFFAOYSA-N 1 2 308.197 1.733 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000787609420 699223186 /nfs/dbraw/zinc/22/31/86/699223186.db2.gz IUPNQELEMMXCLM-CYBMUJFWSA-N 1 2 316.405 1.962 20 30 DDEDLO C[NH+]1CCN(NC(=S)Nc2ccc(C#N)c(Cl)c2)CC1 ZINC000726433977 699362710 /nfs/dbraw/zinc/36/27/10/699362710.db2.gz XGKIUNPUCXOOSZ-UHFFFAOYSA-N 1 2 309.826 1.660 20 30 DDEDLO CC(=NNc1[nH+]ccn1C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000790301090 699452674 /nfs/dbraw/zinc/45/26/74/699452674.db2.gz BJROITWQZRLYJP-UHFFFAOYSA-N 1 2 321.406 1.507 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(N(C)C(=O)OC)cc1 ZINC000730098228 699500894 /nfs/dbraw/zinc/50/08/94/699500894.db2.gz WSMLCEACPTVSMW-HNNXBMFYSA-N 1 2 315.373 1.925 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(N(C)C(=O)OC)cc1 ZINC000730098228 699500896 /nfs/dbraw/zinc/50/08/96/699500896.db2.gz WSMLCEACPTVSMW-HNNXBMFYSA-N 1 2 315.373 1.925 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(OCCOC)c1 ZINC000730278079 699505931 /nfs/dbraw/zinc/50/59/31/699505931.db2.gz GFAPMSBOJPZGAM-INIZCTEOSA-N 1 2 302.374 1.748 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(OCCOC)c1 ZINC000730278079 699505932 /nfs/dbraw/zinc/50/59/32/699505932.db2.gz GFAPMSBOJPZGAM-INIZCTEOSA-N 1 2 302.374 1.748 20 30 DDEDLO C#Cc1cccc(NC(=S)NC[C@@H]2CN(C)CC[N@@H+]2C)c1 ZINC000731948429 699542533 /nfs/dbraw/zinc/54/25/33/699542533.db2.gz ZKSYBLOXJYHOGP-OAHLLOKOSA-N 1 2 302.447 1.200 20 30 DDEDLO C#Cc1cccc(NC(=S)NC[C@@H]2CN(C)CC[N@H+]2C)c1 ZINC000731948429 699542534 /nfs/dbraw/zinc/54/25/34/699542534.db2.gz ZKSYBLOXJYHOGP-OAHLLOKOSA-N 1 2 302.447 1.200 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+](C)CCC2CCOCC2)c1=S ZINC000794630292 699819895 /nfs/dbraw/zinc/81/98/95/699819895.db2.gz FDGWETHKSWFJAH-UHFFFAOYSA-N 1 2 309.439 1.723 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+](C)CCC2CCOCC2)c1=S ZINC000794630292 699819898 /nfs/dbraw/zinc/81/98/98/699819898.db2.gz FDGWETHKSWFJAH-UHFFFAOYSA-N 1 2 309.439 1.723 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)Cc1cc(OC)c(OC)cc1SC ZINC000741254156 699825375 /nfs/dbraw/zinc/82/53/75/699825375.db2.gz YYIVAGLAOROSTQ-UHFFFAOYSA-N 1 2 322.430 1.607 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)Cc1cc(OC)c(OC)cc1SC ZINC000741254156 699825377 /nfs/dbraw/zinc/82/53/77/699825377.db2.gz YYIVAGLAOROSTQ-UHFFFAOYSA-N 1 2 322.430 1.607 20 30 DDEDLO C=CCn1c(C2CC2)nn(C[N@H+]2CC[C@](C)(C(N)=O)C2)c1=S ZINC000794709732 699826267 /nfs/dbraw/zinc/82/62/67/699826267.db2.gz HHHCQFANZFUVTI-HNNXBMFYSA-N 1 2 321.450 1.632 20 30 DDEDLO C=CCn1c(C2CC2)nn(C[N@@H+]2CC[C@](C)(C(N)=O)C2)c1=S ZINC000794709732 699826268 /nfs/dbraw/zinc/82/62/68/699826268.db2.gz HHHCQFANZFUVTI-HNNXBMFYSA-N 1 2 321.450 1.632 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2C[C@H]3[C@H](C(=O)OC)[C@H]3C2)c1C#N ZINC000794966352 699837878 /nfs/dbraw/zinc/83/78/78/699837878.db2.gz FOIFUSZMJVRUEV-FICVDOATSA-N 1 2 314.341 1.196 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2C[C@H]3[C@H](C(=O)OC)[C@H]3C2)c1C#N ZINC000794966352 699837881 /nfs/dbraw/zinc/83/78/81/699837881.db2.gz FOIFUSZMJVRUEV-FICVDOATSA-N 1 2 314.341 1.196 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)[C@H]2Cc3ccccc32)CC1 ZINC000795512561 699872943 /nfs/dbraw/zinc/87/29/43/699872943.db2.gz SBNWVAKXKNSCBA-KRWDZBQOSA-N 1 2 311.381 1.784 20 30 DDEDLO COc1cccc(C(=O)N2CC[NH+](CCO[C@@H](C)C#N)CC2)c1 ZINC000801909966 700352221 /nfs/dbraw/zinc/35/22/21/700352221.db2.gz JEWNUKBMMLOXQD-AWEZNQCLSA-N 1 2 317.389 1.382 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](Cc2ccnc(N(C)C)c2)CC1 ZINC000754074993 700480785 /nfs/dbraw/zinc/48/07/85/700480785.db2.gz LUEFYHMBYQKIDC-INIZCTEOSA-N 1 2 301.438 1.813 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+](C)C[C@@H]1CCC[C@@H]1O ZINC000755060092 700547526 /nfs/dbraw/zinc/54/75/26/700547526.db2.gz WGVRDIBDUKXPOQ-JYJNAYRXSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+](C)C[C@@H]1CCC[C@@H]1O ZINC000755060092 700547527 /nfs/dbraw/zinc/54/75/27/700547527.db2.gz WGVRDIBDUKXPOQ-JYJNAYRXSA-N 1 2 302.374 1.865 20 30 DDEDLO CCOC(=O)CC[C@@H]1CCC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758244117 700694078 /nfs/dbraw/zinc/69/40/78/700694078.db2.gz VMFSGJIXQMNHNA-AWEZNQCLSA-N 1 2 317.389 1.733 20 30 DDEDLO CCOC(=O)CC[C@@H]1CCC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758244117 700694080 /nfs/dbraw/zinc/69/40/80/700694080.db2.gz VMFSGJIXQMNHNA-AWEZNQCLSA-N 1 2 317.389 1.733 20 30 DDEDLO N#Cc1ccccc1/C=C/C(=O)OCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000767475050 701109964 /nfs/dbraw/zinc/10/99/64/701109964.db2.gz JHBAUZIVNXXCNO-CZIQXLRQSA-N 1 2 312.369 1.978 20 30 DDEDLO N#Cc1ccccc1/C=C/C(=O)OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000767475050 701109966 /nfs/dbraw/zinc/10/99/66/701109966.db2.gz JHBAUZIVNXXCNO-CZIQXLRQSA-N 1 2 312.369 1.978 20 30 DDEDLO CC(=[NH+]NCC(C)(C)S(C)(=O)=O)c1cc(F)c(N)c(F)c1 ZINC000814906234 701768603 /nfs/dbraw/zinc/76/86/03/701768603.db2.gz LKYPNAUJNRTHGF-UHFFFAOYSA-N 1 2 319.377 1.684 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@H](C)[C@H]2C[N@H+](C)CCO2)cc1 ZINC000815841047 701947825 /nfs/dbraw/zinc/94/78/25/701947825.db2.gz OPTSDXTYRCNHFJ-RHSMWYFYSA-N 1 2 300.402 1.436 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@H](C)[C@H]2C[N@@H+](C)CCO2)cc1 ZINC000815841047 701947829 /nfs/dbraw/zinc/94/78/29/701947829.db2.gz OPTSDXTYRCNHFJ-RHSMWYFYSA-N 1 2 300.402 1.436 20 30 DDEDLO Cc1cc(NC(=O)C[N@H+](C)C[C@H](O)c2cccc(C#N)c2)no1 ZINC000841492775 702467394 /nfs/dbraw/zinc/46/73/94/702467394.db2.gz ORQGMZPKENOXQK-AWEZNQCLSA-N 1 2 314.345 1.459 20 30 DDEDLO Cc1cc(NC(=O)C[N@@H+](C)C[C@H](O)c2cccc(C#N)c2)no1 ZINC000841492775 702467397 /nfs/dbraw/zinc/46/73/97/702467397.db2.gz ORQGMZPKENOXQK-AWEZNQCLSA-N 1 2 314.345 1.459 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3cccc(F)n3)CC2)C1=O ZINC000831485367 706716973 /nfs/dbraw/zinc/71/69/73/706716973.db2.gz BQJUGFCTLGVUMF-CYBMUJFWSA-N 1 2 304.369 1.130 20 30 DDEDLO C=C(C)CONC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000845590982 703179110 /nfs/dbraw/zinc/17/91/10/703179110.db2.gz XOGNQQJJEJJQSV-UHFFFAOYSA-N 1 2 319.405 1.900 20 30 DDEDLO CCN1CC[C@H]([NH+]2CCN(c3ccc(F)cc3C#N)CC2)C1=O ZINC000879590706 706730850 /nfs/dbraw/zinc/73/08/50/706730850.db2.gz IXRNHTNSQOHVFV-INIZCTEOSA-N 1 2 316.380 1.440 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](Nc2cccc[nH+]2)CC1 ZINC000846656823 703309551 /nfs/dbraw/zinc/30/95/51/703309551.db2.gz YGKFMKVNKPNHMQ-SHTZXODSSA-N 1 2 301.390 1.961 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=Cc2nnn(-c3ccccc3)c2C2CC2)N1 ZINC000848408407 703547293 /nfs/dbraw/zinc/54/72/93/703547293.db2.gz MMIUQFRAXNGZES-LLVKDONJSA-N 1 2 309.377 1.416 20 30 DDEDLO CC(=O)Nc1ccc(O)c(C(C)=NNCCCn2cc[nH+]c2)c1 ZINC000848417989 703548252 /nfs/dbraw/zinc/54/82/52/703548252.db2.gz HUGNYSLIXQERAI-UHFFFAOYSA-N 1 2 315.377 1.951 20 30 DDEDLO CON(C)C(=O)CC[N@@H+]1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852332623 704014313 /nfs/dbraw/zinc/01/43/13/704014313.db2.gz TWVHIDVKHHWGEL-INIZCTEOSA-N 1 2 302.378 1.349 20 30 DDEDLO CON(C)C(=O)CC[N@H+]1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852332623 704014315 /nfs/dbraw/zinc/01/43/15/704014315.db2.gz TWVHIDVKHHWGEL-INIZCTEOSA-N 1 2 302.378 1.349 20 30 DDEDLO C#CCNC(=O)C[NH2+][C@H](CO)c1cccc(Cl)c1Cl ZINC000852674685 704097672 /nfs/dbraw/zinc/09/76/72/704097672.db2.gz HHNHYXDKHRYITP-LLVKDONJSA-N 1 2 301.173 1.366 20 30 DDEDLO CC(C)(C)OC(=O)N1CCO[C@H](C[N@@H+]2CC[C@](C)(C#N)C2)C1 ZINC000852686658 704100037 /nfs/dbraw/zinc/10/00/37/704100037.db2.gz JMUZVELJCXRCRJ-CZUORRHYSA-N 1 2 309.410 1.858 20 30 DDEDLO CC(C)(C)OC(=O)N1CCO[C@H](C[N@H+]2CC[C@](C)(C#N)C2)C1 ZINC000852686658 704100038 /nfs/dbraw/zinc/10/00/38/704100038.db2.gz JMUZVELJCXRCRJ-CZUORRHYSA-N 1 2 309.410 1.858 20 30 DDEDLO C#CCC[N@@H+](CCOC)Cc1nc(-c2ccc(OC)nn2)no1 ZINC000852748808 704113157 /nfs/dbraw/zinc/11/31/57/704113157.db2.gz HJNDQEQHIICEQU-UHFFFAOYSA-N 1 2 317.349 1.007 20 30 DDEDLO C#CCC[N@H+](CCOC)Cc1nc(-c2ccc(OC)nn2)no1 ZINC000852748808 704113159 /nfs/dbraw/zinc/11/31/59/704113159.db2.gz HJNDQEQHIICEQU-UHFFFAOYSA-N 1 2 317.349 1.007 20 30 DDEDLO C=CCn1cc(CNc2[nH+]cnc3c2cnn3C(C)(C)C)nn1 ZINC000819937242 704188914 /nfs/dbraw/zinc/18/89/14/704188914.db2.gz PLLKUHPULHDGQK-UHFFFAOYSA-N 1 2 312.381 1.971 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000870997439 704196131 /nfs/dbraw/zinc/19/61/31/704196131.db2.gz NUQCZVBJGJKEKV-CYBMUJFWSA-N 1 2 319.430 1.289 20 30 DDEDLO N#Cc1ccc2[nH]c(NC(=O)[C@H]3CCc4c[nH+]cn4C3)nc2c1 ZINC000871071871 704213189 /nfs/dbraw/zinc/21/31/89/704213189.db2.gz GFCMHWLFQHYTTG-NSHDSACASA-N 1 2 306.329 1.832 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1cc(C#N)ccc1F)[NH+]1CCOCC1 ZINC000820236565 704244351 /nfs/dbraw/zinc/24/43/51/704244351.db2.gz LPGLFGPGYVVODK-MRXNPFEDSA-N 1 2 319.380 1.784 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CN2C(=O)[C@]3(CCCO3)[C@@H]2C2CC2)C1 ZINC000853523798 704259273 /nfs/dbraw/zinc/25/92/73/704259273.db2.gz GZNQFGIFZOZQLE-QRTARXTBSA-N 1 2 303.406 1.740 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CN2C(=O)[C@]3(CCCO3)[C@@H]2C2CC2)C1 ZINC000853523798 704259276 /nfs/dbraw/zinc/25/92/76/704259276.db2.gz GZNQFGIFZOZQLE-QRTARXTBSA-N 1 2 303.406 1.740 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C[C@@]2(CCSC2)C1=O)[C@@H](C)COC ZINC000853532778 704261292 /nfs/dbraw/zinc/26/12/92/704261292.db2.gz MOSJCZNWVXBYIG-SWLSCSKDSA-N 1 2 312.435 1.349 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C[C@@]2(CCSC2)C1=O)[C@@H](C)COC ZINC000853532778 704261294 /nfs/dbraw/zinc/26/12/94/704261294.db2.gz MOSJCZNWVXBYIG-SWLSCSKDSA-N 1 2 312.435 1.349 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C(=O)N(C2CCCC2)C1=O)[C@H](C)COC ZINC000853533110 704261308 /nfs/dbraw/zinc/26/13/08/704261308.db2.gz UYMDFWDTOKTZDT-GFCCVEGCSA-N 1 2 323.393 1.200 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C(=O)N(C2CCCC2)C1=O)[C@H](C)COC ZINC000853533110 704261309 /nfs/dbraw/zinc/26/13/09/704261309.db2.gz UYMDFWDTOKTZDT-GFCCVEGCSA-N 1 2 323.393 1.200 20 30 DDEDLO C#CCCN(CCOC)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000853897407 704332190 /nfs/dbraw/zinc/33/21/90/704332190.db2.gz GJWJDYSBURRDPT-HNNXBMFYSA-N 1 2 316.405 1.342 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC000855013416 704466088 /nfs/dbraw/zinc/46/60/88/704466088.db2.gz PUYZVUFRKCDADY-BFYDXBDKSA-N 1 2 324.384 1.587 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)COc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000855413771 704484024 /nfs/dbraw/zinc/48/40/24/704484024.db2.gz QPIANPZPOQZHSJ-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)COc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000855413771 704484025 /nfs/dbraw/zinc/48/40/25/704484025.db2.gz QPIANPZPOQZHSJ-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)CCCn1cc[nH+]c1 ZINC000855493236 704487817 /nfs/dbraw/zinc/48/78/17/704487817.db2.gz LUGSTDGOYMSGBA-UHFFFAOYSA-N 1 2 305.378 1.467 20 30 DDEDLO CCCCCCCNC(=O)C(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000859378189 704883607 /nfs/dbraw/zinc/88/36/07/704883607.db2.gz AMYDGVRGUQRMJO-AWEZNQCLSA-N 1 2 320.437 1.823 20 30 DDEDLO C#C[C@H](NC(=O)Cc1ccc(-n2cc[nH+]c2)cc1)[C@H]1CCCO1 ZINC000867499519 707020116 /nfs/dbraw/zinc/02/01/16/707020116.db2.gz LYMOQSQOEHYDAX-DLBZAZTESA-N 1 2 309.369 1.712 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C=NOC)cc1 ZINC000874698454 705131748 /nfs/dbraw/zinc/13/17/48/705131748.db2.gz DUWUESUWLAQRMW-INIZCTEOSA-N 1 2 319.405 1.508 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(C=NOC)cc1 ZINC000874698454 705131751 /nfs/dbraw/zinc/13/17/51/705131751.db2.gz DUWUESUWLAQRMW-INIZCTEOSA-N 1 2 319.405 1.508 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccccc1OCC#N ZINC000874703235 705136037 /nfs/dbraw/zinc/13/60/37/705136037.db2.gz OZHJFRSBGYPEGX-CQSZACIVSA-N 1 2 317.389 1.430 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ccccc1OCC#N ZINC000874703235 705136040 /nfs/dbraw/zinc/13/60/40/705136040.db2.gz OZHJFRSBGYPEGX-CQSZACIVSA-N 1 2 317.389 1.430 20 30 DDEDLO C#CC[C@@H](Cc1ccccc1)NC(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000875576068 705435059 /nfs/dbraw/zinc/43/50/59/705435059.db2.gz SSDIUECZHAPBMD-JKSUJKDBSA-N 1 2 308.385 1.742 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)CC1 ZINC000876441286 705721665 /nfs/dbraw/zinc/72/16/65/705721665.db2.gz UYCDSESHIOEVNN-KLHDSHLOSA-N 1 2 307.438 1.587 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)CC1 ZINC000876441286 705721669 /nfs/dbraw/zinc/72/16/69/705721669.db2.gz UYCDSESHIOEVNN-KLHDSHLOSA-N 1 2 307.438 1.587 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+]2CCO[C@H](CC(N)=O)C2)cc1 ZINC000862535309 705728306 /nfs/dbraw/zinc/72/83/06/705728306.db2.gz IQUVWCRJHLLWCB-SWLSCSKDSA-N 1 2 303.362 1.054 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+]2CCO[C@H](CC(N)=O)C2)cc1 ZINC000862535309 705728307 /nfs/dbraw/zinc/72/83/07/705728307.db2.gz IQUVWCRJHLLWCB-SWLSCSKDSA-N 1 2 303.362 1.054 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000825973750 705753670 /nfs/dbraw/zinc/75/36/70/705753670.db2.gz PHKYUZQOPLQJHF-OAHLLOKOSA-N 1 2 312.373 1.446 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)c1ccccc1 ZINC000826070105 705768785 /nfs/dbraw/zinc/76/87/85/705768785.db2.gz PHXUJIOSTZGEHO-MRXNPFEDSA-N 1 2 315.417 1.771 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)c1ccccc1 ZINC000826070105 705768789 /nfs/dbraw/zinc/76/87/89/705768789.db2.gz PHXUJIOSTZGEHO-MRXNPFEDSA-N 1 2 315.417 1.771 20 30 DDEDLO C#CC[C@@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000826578503 705818878 /nfs/dbraw/zinc/81/88/78/705818878.db2.gz FODDXXYKTSGHKV-HZPDHXFCSA-N 1 2 314.433 1.925 20 30 DDEDLO C#CC[C@@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000826578503 705818883 /nfs/dbraw/zinc/81/88/83/705818883.db2.gz FODDXXYKTSGHKV-HZPDHXFCSA-N 1 2 314.433 1.925 20 30 DDEDLO Cc1nccn1CCNC(=O)[C@@H]1[NH2+]CCc2cc(C#N)ccc21 ZINC000876747264 705855000 /nfs/dbraw/zinc/85/50/00/705855000.db2.gz ZMLXXKVRAWCKEP-MRXNPFEDSA-N 1 2 309.373 1.066 20 30 DDEDLO COC(=O)[C@H](O)C1CC[NH+](Cc2cc(C#N)cnc2Cl)CC1 ZINC000876810363 705886788 /nfs/dbraw/zinc/88/67/88/705886788.db2.gz SIQYLSGNEPWDEE-CYBMUJFWSA-N 1 2 323.780 1.353 20 30 DDEDLO C[C@H](C#N)CNN=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872357638 707389554 /nfs/dbraw/zinc/38/95/54/707389554.db2.gz HRPAEKCOKLKYDP-OAHLLOKOSA-N 1 2 316.405 1.481 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H]3CS(=O)(=O)C[C@@H]3C2)ccc1C#N ZINC000877789075 706213764 /nfs/dbraw/zinc/21/37/64/706213764.db2.gz HXVLDBPAFAYXFI-CABCVRRESA-N 1 2 320.414 1.433 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H]3CS(=O)(=O)C[C@@H]3C2)ccc1C#N ZINC000877789075 706213765 /nfs/dbraw/zinc/21/37/65/706213765.db2.gz HXVLDBPAFAYXFI-CABCVRRESA-N 1 2 320.414 1.433 20 30 DDEDLO O=C1C=C(NNc2cc(Br)nc[nH+]2)[C@@H]2CCCN12 ZINC000872435805 707409294 /nfs/dbraw/zinc/40/92/94/707409294.db2.gz HOOAGVPECKVYQA-QMMMGPOBSA-N 1 2 310.155 1.402 20 30 DDEDLO O=S1(=O)C=C(NNc2cc(Br)nc[nH+]2)CCC1 ZINC000872435833 707409372 /nfs/dbraw/zinc/40/93/72/707409372.db2.gz ILOWJYRNXFQGKL-UHFFFAOYSA-N 1 2 319.184 1.216 20 30 DDEDLO C#C[C@@H](CO)NC(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000878010842 706263848 /nfs/dbraw/zinc/26/38/48/706263848.db2.gz UEXOHFUATNFTSW-NSHDSACASA-N 1 2 308.407 1.245 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)[C@@H]1CCc3c[nH+]cn3C1)C2 ZINC000829485834 706343406 /nfs/dbraw/zinc/34/34/06/706343406.db2.gz KTIHPEUYRUCFLN-GDBMZVCRSA-N 1 2 306.369 1.601 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2c(C)cccc2C#N)CC1 ZINC000872493490 707444641 /nfs/dbraw/zinc/44/46/41/707444641.db2.gz XAQRLKRFUSOIPP-CQSZACIVSA-N 1 2 321.446 1.972 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@H+]2CCOC[C@@H]2C)c1 ZINC000836350279 707445036 /nfs/dbraw/zinc/44/50/36/707445036.db2.gz OMYFOEZJMMDUQH-AWEZNQCLSA-N 1 2 301.390 1.188 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@@H+]2CCOC[C@@H]2C)c1 ZINC000836350279 707445040 /nfs/dbraw/zinc/44/50/40/707445040.db2.gz OMYFOEZJMMDUQH-AWEZNQCLSA-N 1 2 301.390 1.188 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@@H+]1CC[C@@](F)(CO)C1)c1ccccc1 ZINC000878569026 706429651 /nfs/dbraw/zinc/42/96/51/706429651.db2.gz QOBANSNSLMQVFD-IRXDYDNUSA-N 1 2 304.365 1.833 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@H+]1CC[C@@](F)(CO)C1)c1ccccc1 ZINC000878569026 706429655 /nfs/dbraw/zinc/42/96/55/706429655.db2.gz QOBANSNSLMQVFD-IRXDYDNUSA-N 1 2 304.365 1.833 20 30 DDEDLO C#CC[N@@H+](Cc1cc(=O)n2cc(Cl)ccc2n1)C1CSC1 ZINC000878912886 706531307 /nfs/dbraw/zinc/53/13/07/706531307.db2.gz SJLFARRGCHWMCV-UHFFFAOYSA-N 1 2 319.817 1.899 20 30 DDEDLO C#CC[N@H+](Cc1cc(=O)n2cc(Cl)ccc2n1)C1CSC1 ZINC000878912886 706531309 /nfs/dbraw/zinc/53/13/09/706531309.db2.gz SJLFARRGCHWMCV-UHFFFAOYSA-N 1 2 319.817 1.899 20 30 DDEDLO Cc1ccc(CNC(=O)N(C)C[C@H]2C[N@H+](C)CCO2)cc1C#N ZINC000926874114 712915369 /nfs/dbraw/zinc/91/53/69/712915369.db2.gz OTJKHFHRLCHYNF-MRXNPFEDSA-N 1 2 316.405 1.339 20 30 DDEDLO Cc1ccc(CNC(=O)N(C)C[C@H]2C[N@@H+](C)CCO2)cc1C#N ZINC000926874114 712915370 /nfs/dbraw/zinc/91/53/70/712915370.db2.gz OTJKHFHRLCHYNF-MRXNPFEDSA-N 1 2 316.405 1.339 20 30 DDEDLO Cc1cccc(N(CCC#N)C(=O)C[N@@H+]2CC=C[C@H]2CO)c1C ZINC000880485521 706989661 /nfs/dbraw/zinc/98/96/61/706989661.db2.gz HUNFTJZQBMMBKH-INIZCTEOSA-N 1 2 313.401 1.783 20 30 DDEDLO Cc1cccc(N(CCC#N)C(=O)C[N@H+]2CC=C[C@H]2CO)c1C ZINC000880485521 706989664 /nfs/dbraw/zinc/98/96/64/706989664.db2.gz HUNFTJZQBMMBKH-INIZCTEOSA-N 1 2 313.401 1.783 20 30 DDEDLO C=CCONC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000834926418 707149295 /nfs/dbraw/zinc/14/92/95/707149295.db2.gz MGGLQCOQFREVNN-UHFFFAOYSA-N 1 2 305.378 1.436 20 30 DDEDLO CC(C)(CNC(=O)Nc1cc(CC#N)ccn1)[NH+]1CCOCC1 ZINC000871719333 707176370 /nfs/dbraw/zinc/17/63/70/707176370.db2.gz VQFKDJPKCOIGCY-UHFFFAOYSA-N 1 2 317.393 1.380 20 30 DDEDLO CC1(C)CCC(=O)N1C[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000872794382 707605300 /nfs/dbraw/zinc/60/53/00/707605300.db2.gz IDHFUOIGIFWPNB-UHFFFAOYSA-N 1 2 313.405 1.434 20 30 DDEDLO CC[N@@H+]1CC[C@H]1CNS(=O)(=O)c1cccc(Cl)c1C#N ZINC000882766765 707783009 /nfs/dbraw/zinc/78/30/09/707783009.db2.gz PRNOBSHKCZEIRM-JTQLQIEISA-N 1 2 313.810 1.584 20 30 DDEDLO CC[N@H+]1CC[C@H]1CNS(=O)(=O)c1cccc(Cl)c1C#N ZINC000882766765 707783012 /nfs/dbraw/zinc/78/30/12/707783012.db2.gz PRNOBSHKCZEIRM-JTQLQIEISA-N 1 2 313.810 1.584 20 30 DDEDLO C[C@@H](C#N)OCCOC(=O)c1ccc(N)c(-n2cc[nH+]c2)c1 ZINC000838098025 707840079 /nfs/dbraw/zinc/84/00/79/707840079.db2.gz SWMIVHQZDHBFKW-NSHDSACASA-N 1 2 300.318 1.540 20 30 DDEDLO CC[C@H]([NH2+]Cc1nnc2n1CCNC2=O)c1ccc(C#N)cc1 ZINC000883304104 707972305 /nfs/dbraw/zinc/97/23/05/707972305.db2.gz NJYQZVWZCBBDEM-ZDUSSCGKSA-N 1 2 310.361 1.134 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCOc2cc(C)ccc21 ZINC000884143586 708158940 /nfs/dbraw/zinc/15/89/40/708158940.db2.gz LLNQBFWWSMEJDB-LBPRGKRZSA-N 1 2 304.346 1.167 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)c1cccc(C)c1 ZINC000884151056 708161551 /nfs/dbraw/zinc/16/15/51/708161551.db2.gz CMGKIBBWRSDDAB-ZFWWWQNUSA-N 1 2 304.390 1.661 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H]1c1ccccn1 ZINC000884192097 708180320 /nfs/dbraw/zinc/18/03/20/708180320.db2.gz VWJASSMYJMTJLX-JSGCOSHPSA-N 1 2 303.362 1.192 20 30 DDEDLO Cc1c(C(=O)N(C)CCCn2cc[nH+]c2)cnn1CCC#N ZINC000897048123 708215634 /nfs/dbraw/zinc/21/56/34/708215634.db2.gz ASZDUMZENZLQBS-UHFFFAOYSA-N 1 2 300.366 1.464 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1Cc2ccccc2C[C@@H]1CC ZINC000884314984 708240167 /nfs/dbraw/zinc/24/01/67/708240167.db2.gz CMOUIRDJARDYQX-HOTGVXAUSA-N 1 2 316.401 1.797 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](OC)C1CCCCC1 ZINC000884417044 708288837 /nfs/dbraw/zinc/28/88/37/708288837.db2.gz WJWBSOGUWBKTIT-KBPBESRZSA-N 1 2 312.410 1.145 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)N2CC[N@@H+](CCO)C[C@@H]2C)cc1 ZINC000909436582 713017710 /nfs/dbraw/zinc/01/77/10/713017710.db2.gz RCWLDWHGSKNMGS-KIUWMYQTSA-N 1 2 316.401 1.259 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)N2CC[N@H+](CCO)C[C@@H]2C)cc1 ZINC000909436582 713017712 /nfs/dbraw/zinc/01/77/12/713017712.db2.gz RCWLDWHGSKNMGS-KIUWMYQTSA-N 1 2 316.401 1.259 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CC[C@H]([C@H]3CCCO3)C2)c1=S ZINC000884481784 708312697 /nfs/dbraw/zinc/31/26/97/708312697.db2.gz QYKURJRPYBMVPO-NWDGAFQWSA-N 1 2 307.423 1.476 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CC[C@H]([C@H]3CCCO3)C2)c1=S ZINC000884481784 708312701 /nfs/dbraw/zinc/31/27/01/708312701.db2.gz QYKURJRPYBMVPO-NWDGAFQWSA-N 1 2 307.423 1.476 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(CCC#N)cc2)[C@H](C)C1 ZINC000885184331 708487869 /nfs/dbraw/zinc/48/78/69/708487869.db2.gz GMEBAOUUUPFCJZ-CQSZACIVSA-N 1 2 321.446 1.857 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(CCC#N)cc2)[C@H](C)C1 ZINC000885184331 708487872 /nfs/dbraw/zinc/48/78/72/708487872.db2.gz GMEBAOUUUPFCJZ-CQSZACIVSA-N 1 2 321.446 1.857 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2cccc(OC)c2)C1 ZINC000885512454 708562963 /nfs/dbraw/zinc/56/29/63/708562963.db2.gz WEDCELPJWVIWJF-OAHLLOKOSA-N 1 2 322.430 1.212 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2cccc(OC)c2)C1 ZINC000885512454 708562965 /nfs/dbraw/zinc/56/29/65/708562965.db2.gz WEDCELPJWVIWJF-OAHLLOKOSA-N 1 2 322.430 1.212 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@H]2CC[C@@H](C3CC3)O2)n1 ZINC000886177325 708710562 /nfs/dbraw/zinc/71/05/62/708710562.db2.gz ZVMYTVUIZJOEAL-MSOLQXFVSA-N 1 2 317.433 1.922 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@H]2CC[C@@H](C3CC3)O2)n1 ZINC000886177325 708710564 /nfs/dbraw/zinc/71/05/64/708710564.db2.gz ZVMYTVUIZJOEAL-MSOLQXFVSA-N 1 2 317.433 1.922 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCc3cc(Cl)nnc3C2)CCC1 ZINC000886263614 708731744 /nfs/dbraw/zinc/73/17/44/708731744.db2.gz BADXJARFLCAXSB-LBPRGKRZSA-N 1 2 306.797 1.933 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCc3cc(Cl)nnc3C2)CCC1 ZINC000886263614 708731745 /nfs/dbraw/zinc/73/17/45/708731745.db2.gz BADXJARFLCAXSB-LBPRGKRZSA-N 1 2 306.797 1.933 20 30 DDEDLO CCOc1ccc(C=[NH+]CCCn2ccc(=O)[nH]c2=O)c(O)c1 ZINC000899234449 709029462 /nfs/dbraw/zinc/02/94/62/709029462.db2.gz XIPKFLGLTPNDGK-GZTJUZNOSA-N 1 2 317.345 1.562 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc(-c2ccon2)cc1 ZINC000899900881 709231273 /nfs/dbraw/zinc/23/12/73/709231273.db2.gz XMZHDJKZFHEXGV-HNNXBMFYSA-N 1 2 300.362 1.960 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc(-c2ccon2)cc1 ZINC000899900881 709231274 /nfs/dbraw/zinc/23/12/74/709231274.db2.gz XMZHDJKZFHEXGV-HNNXBMFYSA-N 1 2 300.362 1.960 20 30 DDEDLO C#CCSCC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000888902753 709399963 /nfs/dbraw/zinc/39/99/63/709399963.db2.gz BYRXHLKMGUZWCE-UHFFFAOYSA-N 1 2 304.415 1.824 20 30 DDEDLO C=CCOC[C@H]([NH2+]C1CCN(c2cccc[nH+]2)CC1)C(=O)[O-] ZINC000901810174 710153380 /nfs/dbraw/zinc/15/33/80/710153380.db2.gz XGUKICWQRPWBKG-AWEZNQCLSA-N 1 2 305.378 1.296 20 30 DDEDLO CN(C[C@@H](O)C[NH+]1CCOCC1)c1ccc(C#N)cc1Cl ZINC000892857238 710513538 /nfs/dbraw/zinc/51/35/38/710513538.db2.gz ULYMPFHKNHSJPL-CYBMUJFWSA-N 1 2 309.797 1.341 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC000913441416 713217602 /nfs/dbraw/zinc/21/76/02/713217602.db2.gz KRSYQSAAMZPBAN-KRWDZBQOSA-N 1 2 323.400 1.614 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)c(F)c1 ZINC000913446957 713219554 /nfs/dbraw/zinc/21/95/54/713219554.db2.gz KJXJSAVDRKNYAE-ZDUSSCGKSA-N 1 2 317.299 1.346 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@H+]1CCC[C@H](F)C1 ZINC000902992970 710988771 /nfs/dbraw/zinc/98/87/71/710988771.db2.gz PANMDXKYXSKULH-ZDUSSCGKSA-N 1 2 300.333 1.720 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@@H+]1CCC[C@H](F)C1 ZINC000902992970 710988775 /nfs/dbraw/zinc/98/87/75/710988775.db2.gz PANMDXKYXSKULH-ZDUSSCGKSA-N 1 2 300.333 1.720 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)Cc3cccc(C#N)c3)CC[NH2+]2)cn1 ZINC000913466351 713228016 /nfs/dbraw/zinc/22/80/16/713228016.db2.gz FEQNCPOJTKLYGW-INIZCTEOSA-N 1 2 309.373 1.007 20 30 DDEDLO C/C(=C\C(=O)Nc1n[nH]c(C2CC2)c1C#N)C[NH+]1CCOCC1 ZINC000913531250 713246744 /nfs/dbraw/zinc/24/67/44/713246744.db2.gz IDVOLQDTWAQQIY-DHZHZOJOSA-N 1 2 315.377 1.376 20 30 DDEDLO COCC[NH+]1CCN(C(=O)[C@@]2(C#N)CC3CCC2CC3)CC1 ZINC000906669931 712385077 /nfs/dbraw/zinc/38/50/77/712385077.db2.gz HJVSVBOJLGKLSR-VMBOVVBDSA-N 1 2 305.422 1.497 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000907371877 712554392 /nfs/dbraw/zinc/55/43/92/712554392.db2.gz GYKGLDSYVSWTOU-HOCLYGCPSA-N 1 2 316.405 1.415 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[N@@H+](C[C@H](C)O)C[C@H]2C)s1 ZINC000907517995 712589211 /nfs/dbraw/zinc/58/92/11/712589211.db2.gz LPCRYSRIWPWOBK-NEPJUHHUSA-N 1 2 324.450 1.268 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[N@H+](C[C@H](C)O)C[C@H]2C)s1 ZINC000907517995 712589212 /nfs/dbraw/zinc/58/92/12/712589212.db2.gz LPCRYSRIWPWOBK-NEPJUHHUSA-N 1 2 324.450 1.268 20 30 DDEDLO CNc1ccc(C#N)cc1NC(=O)/C=C(\C)C[NH+]1CCOCC1 ZINC000907931350 712650838 /nfs/dbraw/zinc/65/08/38/712650838.db2.gz BDMFBJQTEQJIQD-UKTHLTGXSA-N 1 2 314.389 1.817 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2cccnc2C#N)C[C@H]1C(C)(C)C ZINC000919598612 713620219 /nfs/dbraw/zinc/62/02/19/713620219.db2.gz XRCLXGPNTQCBLI-AWEZNQCLSA-N 1 2 322.434 1.304 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2cccnc2C#N)C[C@H]1C(C)(C)C ZINC000919598612 713620222 /nfs/dbraw/zinc/62/02/22/713620222.db2.gz XRCLXGPNTQCBLI-AWEZNQCLSA-N 1 2 322.434 1.304 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2csc(C#N)c2)C1 ZINC000919874754 713639683 /nfs/dbraw/zinc/63/96/83/713639683.db2.gz DPDDAUKJWFKNCS-ZDUSSCGKSA-N 1 2 323.443 1.137 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2csc(C#N)c2)C1 ZINC000919874754 713639685 /nfs/dbraw/zinc/63/96/85/713639685.db2.gz DPDDAUKJWFKNCS-ZDUSSCGKSA-N 1 2 323.443 1.137 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2ccc(C#N)c(Cl)c2)CC1 ZINC000931145771 714015731 /nfs/dbraw/zinc/01/57/31/714015731.db2.gz QCNSHACYZBIPAF-UHFFFAOYSA-N 1 2 317.776 1.610 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@H]2CS(N)(=O)=O)c(F)c1 ZINC000931226625 714041884 /nfs/dbraw/zinc/04/18/84/714041884.db2.gz VUDKWZBVZVHOJV-ZDUSSCGKSA-N 1 2 311.382 1.340 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@H]2CS(N)(=O)=O)c(F)c1 ZINC000931226625 714041888 /nfs/dbraw/zinc/04/18/88/714041888.db2.gz VUDKWZBVZVHOJV-ZDUSSCGKSA-N 1 2 311.382 1.340 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)[C@H]2CCC(=O)[C@@H](C)C2(C)C)C1 ZINC000923563093 714405717 /nfs/dbraw/zinc/40/57/17/714405717.db2.gz AHZZANIWHYRBNZ-QLFBSQMISA-N 1 2 304.434 1.842 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCC(=O)[C@@H](C)C2(C)C)C1 ZINC000923563093 714405719 /nfs/dbraw/zinc/40/57/19/714405719.db2.gz AHZZANIWHYRBNZ-QLFBSQMISA-N 1 2 304.434 1.842 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCN(C)C[C@@H]1CC(C)C ZINC000933251371 714546814 /nfs/dbraw/zinc/54/68/14/714546814.db2.gz RVGFSSOPXMDVLK-HOTGVXAUSA-N 1 2 308.470 1.657 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCN(C)C[C@@H]1CC(C)C ZINC000933251371 714546817 /nfs/dbraw/zinc/54/68/17/714546817.db2.gz RVGFSSOPXMDVLK-HOTGVXAUSA-N 1 2 308.470 1.657 20 30 DDEDLO CC(C)(O)C[C@H](O)C[N@@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933632091 714635009 /nfs/dbraw/zinc/63/50/09/714635009.db2.gz NOKCAJIBBWZEOO-HOTGVXAUSA-N 1 2 304.390 1.453 20 30 DDEDLO CC(C)(O)C[C@H](O)C[N@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933632091 714635010 /nfs/dbraw/zinc/63/50/10/714635010.db2.gz NOKCAJIBBWZEOO-HOTGVXAUSA-N 1 2 304.390 1.453 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCC[C@H]1C1OCCO1)C1(C#N)CCCCC1 ZINC000933905098 714698162 /nfs/dbraw/zinc/69/81/62/714698162.db2.gz BYGMCHAWFSKMER-AWEZNQCLSA-N 1 2 321.421 1.509 20 30 DDEDLO CN(C(=O)C[N@H+]1CCC[C@H]1C1OCCO1)C1(C#N)CCCCC1 ZINC000933905098 714698164 /nfs/dbraw/zinc/69/81/64/714698164.db2.gz BYGMCHAWFSKMER-AWEZNQCLSA-N 1 2 321.421 1.509 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)[C@H](C)[N@@H+]2CC[C@@H]2CO)cc1 ZINC000934024386 714724552 /nfs/dbraw/zinc/72/45/52/714724552.db2.gz RCNFXUHAJCBUSQ-GOEBONIOSA-N 1 2 301.390 1.697 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)[C@H](C)[N@H+]2CC[C@@H]2CO)cc1 ZINC000934024386 714724553 /nfs/dbraw/zinc/72/45/53/714724553.db2.gz RCNFXUHAJCBUSQ-GOEBONIOSA-N 1 2 301.390 1.697 20 30 DDEDLO Cn1cc(C[N@@H+]2CC[C@H](O)[C@H](F)C2)c(-c2ccc(C#N)cc2)n1 ZINC000934571071 714854205 /nfs/dbraw/zinc/85/42/05/714854205.db2.gz ONDAIJCWBIEMCL-CVEARBPZSA-N 1 2 314.364 1.863 20 30 DDEDLO Cn1cc(C[N@H+]2CC[C@H](O)[C@H](F)C2)c(-c2ccc(C#N)cc2)n1 ZINC000934571071 714854207 /nfs/dbraw/zinc/85/42/07/714854207.db2.gz ONDAIJCWBIEMCL-CVEARBPZSA-N 1 2 314.364 1.863 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cc(-n3ccnc3)ccn2)CC1 ZINC000957054287 715725200 /nfs/dbraw/zinc/72/52/00/715725200.db2.gz LPSOJQABSWHJSR-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc(C(=O)NC)c2)C1 ZINC000957406015 715885202 /nfs/dbraw/zinc/88/52/02/715885202.db2.gz RCLDUOXPCVKMAS-UHFFFAOYSA-N 1 2 313.401 1.216 20 30 DDEDLO C=CCOc1ccc(CC(=O)N2CC[NH+](CC#CC)CC2)cc1 ZINC000957819220 716068565 /nfs/dbraw/zinc/06/85/65/716068565.db2.gz HIBFUOGKBUGUDT-UHFFFAOYSA-N 1 2 312.413 1.961 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(N=NC(N)=O)cc2)CC1 ZINC000957849616 716086295 /nfs/dbraw/zinc/08/62/95/716086295.db2.gz MKSMLMCTPCDAMB-UHFFFAOYSA-N 1 2 301.350 1.793 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cnnn1C ZINC000960785819 716689983 /nfs/dbraw/zinc/68/99/83/716689983.db2.gz AVIYAUMAKNQFOA-CYBMUJFWSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cnnn1C ZINC000960785819 716689986 /nfs/dbraw/zinc/68/99/86/716689986.db2.gz AVIYAUMAKNQFOA-CYBMUJFWSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cnnn1C ZINC000960826137 716705016 /nfs/dbraw/zinc/70/50/16/716705016.db2.gz JYNZVNXKZITNAN-RHSMWYFYSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cnnn1C ZINC000960826137 716705019 /nfs/dbraw/zinc/70/50/19/716705019.db2.gz JYNZVNXKZITNAN-RHSMWYFYSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+]([C@H](C)c4ncccn4)C[C@H]32)C1 ZINC000961731470 717078076 /nfs/dbraw/zinc/07/80/76/717078076.db2.gz JSMIYRIEZBJCOI-KBXIAJHMSA-N 1 2 312.417 1.940 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+]([C@H](C)c4ncccn4)C[C@H]32)C1 ZINC000961731470 717078079 /nfs/dbraw/zinc/07/80/79/717078079.db2.gz JSMIYRIEZBJCOI-KBXIAJHMSA-N 1 2 312.417 1.940 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C(C)(C)C3CCCC3)CC2)C1 ZINC000941437726 717177394 /nfs/dbraw/zinc/17/73/94/717177394.db2.gz FDMKBNVBJUYAGI-UHFFFAOYSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3CC(C(C)(C)C)C3)CC2)C1 ZINC000941678681 717253300 /nfs/dbraw/zinc/25/33/00/717253300.db2.gz DNLLYBGYUUQMQI-UHFFFAOYSA-N 1 2 317.477 1.520 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2ccnn2C)C1 ZINC000965964130 717837254 /nfs/dbraw/zinc/83/72/54/717837254.db2.gz OEWCNCOBCLCLRF-BXUZGUMPSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2ccnn2C)C1 ZINC000965964130 717837255 /nfs/dbraw/zinc/83/72/55/717837255.db2.gz OEWCNCOBCLCLRF-BXUZGUMPSA-N 1 2 310.829 1.542 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC000945439856 718462350 /nfs/dbraw/zinc/46/23/50/718462350.db2.gz OQNWSUNBBGRSCC-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H](C)[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC000966801262 718665560 /nfs/dbraw/zinc/66/55/60/718665560.db2.gz SEYLCYYBTGXRSS-OCCSQVGLSA-N 1 2 316.405 1.272 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CN(CC#N)CC[C@H]2C)c[nH+]1 ZINC000966907910 718706775 /nfs/dbraw/zinc/70/67/75/718706775.db2.gz HVIXQKXVHVGJCS-TZMCWYRMSA-N 1 2 303.410 1.277 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3nncn3C)[C@H](C)C2)C1 ZINC000947702468 719248695 /nfs/dbraw/zinc/24/86/95/719248695.db2.gz OJTQBGXCWSXTAB-ZIAGYGMSSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3nncn3C)[C@H](C)C2)C1 ZINC000947702468 719248698 /nfs/dbraw/zinc/24/86/98/719248698.db2.gz OJTQBGXCWSXTAB-ZIAGYGMSSA-N 1 2 317.437 1.641 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N(C)[C@@H]2CCCN(CC#N)CC2)c[nH+]1 ZINC000948474592 719518484 /nfs/dbraw/zinc/51/84/84/719518484.db2.gz WTTRKFMCGYCDCG-OAHLLOKOSA-N 1 2 317.437 1.763 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@H]2COc3ccccc3O2)CC1 ZINC000948555604 719545556 /nfs/dbraw/zinc/54/55/56/719545556.db2.gz BLQJUSKYCCLOIS-HNNXBMFYSA-N 1 2 314.385 1.384 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2C[N@@H+](CCF)CC[C@@H]2C)nn1 ZINC000968409751 719567055 /nfs/dbraw/zinc/56/70/55/719567055.db2.gz PTKHIXITGUPWJP-STQMWFEESA-N 1 2 309.389 1.264 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2C[N@H+](CCF)CC[C@@H]2C)nn1 ZINC000968409751 719567058 /nfs/dbraw/zinc/56/70/58/719567058.db2.gz PTKHIXITGUPWJP-STQMWFEESA-N 1 2 309.389 1.264 20 30 DDEDLO C#CCN1CC[C@@H](C)[C@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)C1 ZINC000968477758 719607736 /nfs/dbraw/zinc/60/77/36/719607736.db2.gz WGJPAAYVMGQUTK-RHSMWYFYSA-N 1 2 323.400 1.341 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000968626944 719699075 /nfs/dbraw/zinc/69/90/75/719699075.db2.gz JHPFYBIMLVVYDF-CQSZACIVSA-N 1 2 316.405 1.372 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2c(CC)nn(C)c2CC)CC1 ZINC000948816004 719727601 /nfs/dbraw/zinc/72/76/01/719727601.db2.gz OLZCLZWDYUBFJU-UHFFFAOYSA-N 1 2 304.438 1.418 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccnn1C ZINC000948902164 719775668 /nfs/dbraw/zinc/77/56/68/719775668.db2.gz NQNRDPWPODFNSG-QGZVFWFLSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccnn1C ZINC000948902164 719775672 /nfs/dbraw/zinc/77/56/72/719775672.db2.gz NQNRDPWPODFNSG-QGZVFWFLSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nccc(C)n1 ZINC000948910160 719781143 /nfs/dbraw/zinc/78/11/43/719781143.db2.gz KRXJPOKIHUULDW-QGZVFWFLSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nccc(C)n1 ZINC000948910160 719781146 /nfs/dbraw/zinc/78/11/46/719781146.db2.gz KRXJPOKIHUULDW-QGZVFWFLSA-N 1 2 320.396 1.747 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@H](C)c2c(C)noc2C)CC1 ZINC000948928474 719790071 /nfs/dbraw/zinc/79/00/71/719790071.db2.gz KICZEJSZUYNJFN-ZDUSSCGKSA-N 1 2 303.406 1.953 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1n[nH]cc1F ZINC000948954027 719800089 /nfs/dbraw/zinc/80/00/89/719800089.db2.gz IQPKDOZHQALAMB-HNNXBMFYSA-N 1 2 312.348 1.511 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1n[nH]cc1F ZINC000948954027 719800084 /nfs/dbraw/zinc/80/00/84/719800084.db2.gz IQPKDOZHQALAMB-HNNXBMFYSA-N 1 2 312.348 1.511 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccsc3)CC2)C1 ZINC000949288954 719995798 /nfs/dbraw/zinc/99/57/98/719995798.db2.gz DHZQSAOLGOREMI-UHFFFAOYSA-N 1 2 304.415 1.688 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccsc3)CC2)C1 ZINC000949288954 719995801 /nfs/dbraw/zinc/99/58/01/719995801.db2.gz DHZQSAOLGOREMI-UHFFFAOYSA-N 1 2 304.415 1.688 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cc(C)oc3C)CC2)C1 ZINC000949295351 719998817 /nfs/dbraw/zinc/99/88/17/719998817.db2.gz FIOSNGDINBHGBG-UHFFFAOYSA-N 1 2 316.401 1.837 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cc(C)oc3C)CC2)C1 ZINC000949295351 719998823 /nfs/dbraw/zinc/99/88/23/719998823.db2.gz FIOSNGDINBHGBG-UHFFFAOYSA-N 1 2 316.401 1.837 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3[nH]ccc3C)CC2)C1 ZINC000949355250 720037115 /nfs/dbraw/zinc/03/71/15/720037115.db2.gz JUEMXSUQFYNVAB-UHFFFAOYSA-N 1 2 301.390 1.263 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3[nH]ccc3C)CC2)C1 ZINC000949355250 720037119 /nfs/dbraw/zinc/03/71/19/720037119.db2.gz JUEMXSUQFYNVAB-UHFFFAOYSA-N 1 2 301.390 1.263 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3CC(C)(C)C3)CC2)C1 ZINC000949355507 720037247 /nfs/dbraw/zinc/03/72/47/720037247.db2.gz QAWQUQCTQSCORN-UHFFFAOYSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3CC(C)(C)C3)CC2)C1 ZINC000949355507 720037252 /nfs/dbraw/zinc/03/72/52/720037252.db2.gz QAWQUQCTQSCORN-UHFFFAOYSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@@H]4C[C@@H]4C3)CC2)C1 ZINC000949401907 720064967 /nfs/dbraw/zinc/06/49/67/720064967.db2.gz PODBSTUFFZXQAQ-BRWVUGGUSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@@H]4C[C@@H]4C3)CC2)C1 ZINC000949401907 720064972 /nfs/dbraw/zinc/06/49/72/720064972.db2.gz PODBSTUFFZXQAQ-BRWVUGGUSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H](OC)C3CCC3)CC2)C1 ZINC000949427380 720080621 /nfs/dbraw/zinc/08/06/21/720080621.db2.gz NITVFABACNAALR-MRXNPFEDSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H](OC)C3CCC3)CC2)C1 ZINC000949427380 720080624 /nfs/dbraw/zinc/08/06/24/720080624.db2.gz NITVFABACNAALR-MRXNPFEDSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnc(N(C)C)cn2)C1 ZINC000969675290 720246365 /nfs/dbraw/zinc/24/63/65/720246365.db2.gz RZYKAGWYHJGYJL-NSHDSACASA-N 1 2 323.828 1.345 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnc3[nH]cnc3c2)C1 ZINC000969768833 720284707 /nfs/dbraw/zinc/28/47/07/720284707.db2.gz KMSBOHRLHLARHZ-SNVBAGLBSA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC000970252215 720679470 /nfs/dbraw/zinc/67/94/70/720679470.db2.gz WWHCRXKPCJDOQL-NSHDSACASA-N 1 2 324.812 1.602 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cn2c(=O)[nH]c3ccccc32)CC1 ZINC000950706337 720741499 /nfs/dbraw/zinc/74/14/99/720741499.db2.gz DBDGEWFMOMNMNN-UHFFFAOYSA-N 1 2 300.362 1.072 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC000970465395 720772901 /nfs/dbraw/zinc/77/29/01/720772901.db2.gz ULJXYMVAVSOVDQ-BYCMXARLSA-N 1 2 322.840 1.713 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccc(C)[nH]c2=O)C1 ZINC000970492494 720783044 /nfs/dbraw/zinc/78/30/44/720783044.db2.gz JTWZYCREVQQSJT-LLVKDONJSA-N 1 2 309.797 1.898 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2c(OC)ccnc2OC)C1 ZINC000950856317 720796451 /nfs/dbraw/zinc/79/64/51/720796451.db2.gz BLDPBNJWFYNFGJ-UHFFFAOYSA-N 1 2 319.405 1.821 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)N[C@H](CC)C2)C1 ZINC000950960492 720852610 /nfs/dbraw/zinc/85/26/10/720852610.db2.gz BCVLOGWTQZTTIY-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCCc3nn(C)cc32)C1 ZINC000951379810 721010655 /nfs/dbraw/zinc/01/06/55/721010655.db2.gz HOZXGZHWBWRZAW-AWEZNQCLSA-N 1 2 302.422 1.559 20 30 DDEDLO CC(C)c1ocnc1C[N@H+](C)[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000971222710 721188927 /nfs/dbraw/zinc/18/89/27/721188927.db2.gz ZXSGTBOHGCPJSF-STQMWFEESA-N 1 2 304.394 1.990 20 30 DDEDLO CC(C)c1ocnc1C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000971222710 721188929 /nfs/dbraw/zinc/18/89/29/721188929.db2.gz ZXSGTBOHGCPJSF-STQMWFEESA-N 1 2 304.394 1.990 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CCN2C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000951965271 721257733 /nfs/dbraw/zinc/25/77/33/721257733.db2.gz DZGNSOPFFYHFLS-AWEZNQCLSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC000971502849 721325573 /nfs/dbraw/zinc/32/55/73/721325573.db2.gz VLCBJVMDTSGMKX-SNVBAGLBSA-N 1 2 313.199 1.465 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC000971502849 721325576 /nfs/dbraw/zinc/32/55/76/721325576.db2.gz VLCBJVMDTSGMKX-SNVBAGLBSA-N 1 2 313.199 1.465 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)s1 ZINC000971507505 721328240 /nfs/dbraw/zinc/32/82/40/721328240.db2.gz IXFXRHKFUZVCJD-CQSZACIVSA-N 1 2 303.431 1.898 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)s1 ZINC000971507505 721328243 /nfs/dbraw/zinc/32/82/43/721328243.db2.gz IXFXRHKFUZVCJD-CQSZACIVSA-N 1 2 303.431 1.898 20 30 DDEDLO C=CC[NH+]1CCN(c2nccc(C(=O)OCC)c2[N+](=O)[O-])CC1 ZINC001165033970 721872430 /nfs/dbraw/zinc/87/24/30/721872430.db2.gz AFFHPGBHIYWQNL-UHFFFAOYSA-N 1 2 320.349 1.475 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCCN(C)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC001067448684 735482193 /nfs/dbraw/zinc/48/21/93/735482193.db2.gz HVYOAKYLWVQLFZ-KGLIPLIRSA-N 1 2 319.409 1.301 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001067567719 733392243 /nfs/dbraw/zinc/39/22/43/733392243.db2.gz XNYIZVGEZWUKKI-UHFFFAOYSA-N 1 2 320.437 1.924 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001111488811 733457743 /nfs/dbraw/zinc/45/77/43/733457743.db2.gz VZNDBVMXZQUQFG-GBOPCIDUSA-N 1 2 321.446 1.956 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001111488811 733457748 /nfs/dbraw/zinc/45/77/48/733457748.db2.gz VZNDBVMXZQUQFG-GBOPCIDUSA-N 1 2 321.446 1.956 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccc(C)o3)[C@H]2C1 ZINC001083217808 733656734 /nfs/dbraw/zinc/65/67/34/733656734.db2.gz PDGIWXZBEZUGGZ-IJEWVQPXSA-N 1 2 302.374 1.236 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccc(C)o3)[C@H]2C1 ZINC001083217808 733656736 /nfs/dbraw/zinc/65/67/36/733656736.db2.gz PDGIWXZBEZUGGZ-IJEWVQPXSA-N 1 2 302.374 1.236 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)c3ccsc3)n2C)CC1 ZINC001121335818 782461826 /nfs/dbraw/zinc/46/18/26/782461826.db2.gz SSCLIHSCBJYJQM-CYBMUJFWSA-N 1 2 315.446 1.784 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)nc2)CC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067440947 735014526 /nfs/dbraw/zinc/01/45/26/735014526.db2.gz RLULAUSEWWESEL-MLGOLLRUSA-N 1 2 324.388 1.250 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnoc3CC)C2)C1 ZINC000972574401 735261699 /nfs/dbraw/zinc/26/16/99/735261699.db2.gz ZMNNKTXBCBXGHU-QGZVFWFLSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnoc3CC)C2)C1 ZINC000972574401 735261701 /nfs/dbraw/zinc/26/17/01/735261701.db2.gz ZMNNKTXBCBXGHU-QGZVFWFLSA-N 1 2 317.389 1.177 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001024311047 735742344 /nfs/dbraw/zinc/74/23/44/735742344.db2.gz UPOHBEACKKFPHG-NSHDSACASA-N 1 2 310.785 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001024311047 735742348 /nfs/dbraw/zinc/74/23/48/735742348.db2.gz UPOHBEACKKFPHG-NSHDSACASA-N 1 2 310.785 1.519 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4ccccc4o3)[C@H]2C1 ZINC001083266121 735750886 /nfs/dbraw/zinc/75/08/86/735750886.db2.gz IGLMCEMELWQRGR-MAUKXSAKSA-N 1 2 324.380 1.981 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4ccccc4o3)[C@H]2C1 ZINC001083266121 735750887 /nfs/dbraw/zinc/75/08/87/735750887.db2.gz IGLMCEMELWQRGR-MAUKXSAKSA-N 1 2 324.380 1.981 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001024511389 735886596 /nfs/dbraw/zinc/88/65/96/735886596.db2.gz GVBIFVBXMHTSCC-AWEZNQCLSA-N 1 2 323.824 1.722 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001024511389 735886600 /nfs/dbraw/zinc/88/66/00/735886600.db2.gz GVBIFVBXMHTSCC-AWEZNQCLSA-N 1 2 323.824 1.722 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001007337675 736307889 /nfs/dbraw/zinc/30/78/89/736307889.db2.gz JSEUDOQRJUZJOJ-UONOGXRCSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001007337675 736307890 /nfs/dbraw/zinc/30/78/90/736307890.db2.gz JSEUDOQRJUZJOJ-UONOGXRCSA-N 1 2 302.422 1.986 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)N(C)C(=O)Cc1c[nH+]cn1C ZINC001104624637 736462888 /nfs/dbraw/zinc/46/28/88/736462888.db2.gz OFTKTHUDISMKAD-GFCCVEGCSA-N 1 2 312.377 1.188 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H]2CCN(C(=O)CSC)[C@@H]2C1 ZINC001075521755 736487539 /nfs/dbraw/zinc/48/75/39/736487539.db2.gz ZQJXIECVZNXRRZ-WDEREUQCSA-N 1 2 319.268 1.791 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H]2CCN(C(=O)CSC)[C@@H]2C1 ZINC001075521755 736487542 /nfs/dbraw/zinc/48/75/42/736487542.db2.gz ZQJXIECVZNXRRZ-WDEREUQCSA-N 1 2 319.268 1.791 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)o1 ZINC001075780328 740449253 /nfs/dbraw/zinc/44/92/53/740449253.db2.gz IGOFLORIJFCUEW-CWRNSKLLSA-N 1 2 313.401 1.990 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)o1 ZINC001075780328 740449256 /nfs/dbraw/zinc/44/92/56/740449256.db2.gz IGOFLORIJFCUEW-CWRNSKLLSA-N 1 2 313.401 1.990 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(C4CC4)c3)[C@H]2C1 ZINC001083286346 736938456 /nfs/dbraw/zinc/93/84/56/736938456.db2.gz FNHIUKOOYBYIND-ZWKOTPCHSA-N 1 2 310.397 1.722 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(C4CC4)c3)[C@H]2C1 ZINC001083286346 736938458 /nfs/dbraw/zinc/93/84/58/736938458.db2.gz FNHIUKOOYBYIND-ZWKOTPCHSA-N 1 2 310.397 1.722 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)N(C)C(=O)CCn1cc[nH+]c1 ZINC001104750291 737060924 /nfs/dbraw/zinc/06/09/24/737060924.db2.gz BONOTRHAFUBQEW-ZDUSSCGKSA-N 1 2 312.377 1.499 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@]3(C)CC=CCC3)C2)nn1 ZINC001105249363 737658051 /nfs/dbraw/zinc/65/80/51/737658051.db2.gz RJLMPGLKIDMKMN-KRWDZBQOSA-N 1 2 313.405 1.131 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)C3CCC3)[C@@H](O)C2)s1 ZINC001083300351 737680952 /nfs/dbraw/zinc/68/09/52/737680952.db2.gz LDKZVZGOWUPNJC-KGLIPLIRSA-N 1 2 305.403 1.081 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)C3CCC3)[C@@H](O)C2)s1 ZINC001083300351 737680953 /nfs/dbraw/zinc/68/09/53/737680953.db2.gz LDKZVZGOWUPNJC-KGLIPLIRSA-N 1 2 305.403 1.081 20 30 DDEDLO Cc1nc(N2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)c(C)c(C)[nH+]1 ZINC001058734291 737897306 /nfs/dbraw/zinc/89/73/06/737897306.db2.gz LEVPUXVBXSTBID-NZVBXONLSA-N 1 2 313.405 1.741 20 30 DDEDLO C[N@H+](Cc1ccon1)[C@@H]1CCCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC001027373612 738244899 /nfs/dbraw/zinc/24/48/99/738244899.db2.gz PKJQIYNPNXJRCK-OAHLLOKOSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@@H+](Cc1ccon1)[C@@H]1CCCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC001027373612 738244901 /nfs/dbraw/zinc/24/49/01/738244901.db2.gz PKJQIYNPNXJRCK-OAHLLOKOSA-N 1 2 313.361 1.611 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(Cc2cccc(Cl)c2C#N)CC1 ZINC001139691356 739053442 /nfs/dbraw/zinc/05/34/42/739053442.db2.gz VSJHXANJPQDOLE-UHFFFAOYSA-N 1 2 320.824 1.417 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C3(F)CCCCC3)C2)nn1 ZINC001105357852 739058654 /nfs/dbraw/zinc/05/86/54/739058654.db2.gz LNBQQTUUSBEFKC-UHFFFAOYSA-N 1 2 319.384 1.057 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3cccc(Cl)n3)C[C@H]21 ZINC001075619645 739217333 /nfs/dbraw/zinc/21/73/33/739217333.db2.gz KOZLSJQFDAFYKW-MBNYWOFBSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3cccc(Cl)n3)C[C@H]21 ZINC001075619645 739217336 /nfs/dbraw/zinc/21/73/36/739217336.db2.gz KOZLSJQFDAFYKW-MBNYWOFBSA-N 1 2 318.808 1.927 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)oc1C ZINC001075619552 739218393 /nfs/dbraw/zinc/21/83/93/739218393.db2.gz HQBOUVQUFZOHOJ-LEWSCRJBSA-N 1 2 302.378 1.484 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)oc1C ZINC001075619552 739218395 /nfs/dbraw/zinc/21/83/95/739218395.db2.gz HQBOUVQUFZOHOJ-LEWSCRJBSA-N 1 2 302.378 1.484 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3nnn(C)c3C)[C@@H]2C1 ZINC001075640341 739481954 /nfs/dbraw/zinc/48/19/54/739481954.db2.gz GUZNURYDYGOMBM-NWDGAFQWSA-N 1 2 309.801 1.022 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3nnn(C)c3C)[C@@H]2C1 ZINC001075640341 739481956 /nfs/dbraw/zinc/48/19/56/739481956.db2.gz GUZNURYDYGOMBM-NWDGAFQWSA-N 1 2 309.801 1.022 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)CC(C)C ZINC001211791154 739539502 /nfs/dbraw/zinc/53/95/02/739539502.db2.gz NUTMBQZROAGONP-IAGOWNOFSA-N 1 2 315.417 1.920 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)CC(C)C ZINC001211791154 739539503 /nfs/dbraw/zinc/53/95/03/739539503.db2.gz NUTMBQZROAGONP-IAGOWNOFSA-N 1 2 315.417 1.920 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)n(CC)n2)C1 ZINC001035400034 751470095 /nfs/dbraw/zinc/47/00/95/751470095.db2.gz WXKMIHRUWULGKD-CQSZACIVSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)n(CC)n2)C1 ZINC001035400034 751470098 /nfs/dbraw/zinc/47/00/98/751470098.db2.gz WXKMIHRUWULGKD-CQSZACIVSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn3c(n2)C[C@@H](C)CC3)C1 ZINC001035419473 751478226 /nfs/dbraw/zinc/47/82/26/751478226.db2.gz GVXQNVDXNZYBBK-KBPBESRZSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn3c(n2)C[C@@H](C)CC3)C1 ZINC001035419473 751478228 /nfs/dbraw/zinc/47/82/28/751478228.db2.gz GVXQNVDXNZYBBK-KBPBESRZSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)nc3sccn32)C1 ZINC001035418570 751499646 /nfs/dbraw/zinc/49/96/46/751499646.db2.gz YDEWCQXDRGVONY-GFCCVEGCSA-N 1 2 320.418 1.321 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c(C)nc3sccn32)C1 ZINC001035418570 751499650 /nfs/dbraw/zinc/49/96/50/751499650.db2.gz YDEWCQXDRGVONY-GFCCVEGCSA-N 1 2 320.418 1.321 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn3ccc(C)cc3n2)C1 ZINC001035432736 751509653 /nfs/dbraw/zinc/50/96/53/751509653.db2.gz XCKNJUJYELIEPL-CQSZACIVSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn3ccc(C)cc3n2)C1 ZINC001035432736 751509655 /nfs/dbraw/zinc/50/96/55/751509655.db2.gz XCKNJUJYELIEPL-CQSZACIVSA-N 1 2 314.389 1.259 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001028842037 740101441 /nfs/dbraw/zinc/10/14/41/740101441.db2.gz MVAFWLAPNDTORJ-CYBMUJFWSA-N 1 2 324.384 1.410 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001028842037 740101442 /nfs/dbraw/zinc/10/14/42/740101442.db2.gz MVAFWLAPNDTORJ-CYBMUJFWSA-N 1 2 324.384 1.410 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@]23CCC[C@H]2OCC3)C1 ZINC001035444735 751521782 /nfs/dbraw/zinc/52/17/82/751521782.db2.gz YUNRMCNAYLYKLO-INMHGKMJSA-N 1 2 308.422 1.339 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@]23CCC[C@H]2OCC3)C1 ZINC001035444735 751521785 /nfs/dbraw/zinc/52/17/85/751521785.db2.gz YUNRMCNAYLYKLO-INMHGKMJSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2occc2C(C)C)C1 ZINC001035483092 751537051 /nfs/dbraw/zinc/53/70/51/751537051.db2.gz LMLCRKDZLFHRRR-CQSZACIVSA-N 1 2 304.390 1.857 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2occc2C(C)C)C1 ZINC001035483092 751537057 /nfs/dbraw/zinc/53/70/57/751537057.db2.gz LMLCRKDZLFHRRR-CQSZACIVSA-N 1 2 304.390 1.857 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCc3cccnc32)C1 ZINC001035487751 751541453 /nfs/dbraw/zinc/54/14/53/751541453.db2.gz MZZQTNARGHGEQQ-HOTGVXAUSA-N 1 2 315.417 1.505 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCc3cccnc32)C1 ZINC001035487751 751541456 /nfs/dbraw/zinc/54/14/56/751541456.db2.gz MZZQTNARGHGEQQ-HOTGVXAUSA-N 1 2 315.417 1.505 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)s1 ZINC001075780098 740448175 /nfs/dbraw/zinc/44/81/75/740448175.db2.gz BXLAOAARPCQINE-LSDHHAIUSA-N 1 2 315.442 1.898 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)s1 ZINC001075780098 740448178 /nfs/dbraw/zinc/44/81/78/740448178.db2.gz BXLAOAARPCQINE-LSDHHAIUSA-N 1 2 315.442 1.898 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001075792115 740514838 /nfs/dbraw/zinc/51/48/38/740514838.db2.gz GDAGHOOSOIUENR-WOSRLPQWSA-N 1 2 300.406 1.548 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2CCN(C(=O)[C@@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001075792115 740514842 /nfs/dbraw/zinc/51/48/42/740514842.db2.gz GDAGHOOSOIUENR-WOSRLPQWSA-N 1 2 300.406 1.548 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCN1CC#N ZINC001087675911 740702874 /nfs/dbraw/zinc/70/28/74/740702874.db2.gz KSQSQILLSHGSKO-DLBZAZTESA-N 1 2 323.400 1.979 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)nn2CC)C1 ZINC001035528050 751606674 /nfs/dbraw/zinc/60/66/74/751606674.db2.gz DCFJWCHFFUZTJU-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C)nn2CC)C1 ZINC001035528050 751606676 /nfs/dbraw/zinc/60/66/76/751606676.db2.gz DCFJWCHFFUZTJU-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2oc(CC)nc2C)C1 ZINC001035567028 751619937 /nfs/dbraw/zinc/61/99/37/751619937.db2.gz LSMGSVODUHZCNY-CYBMUJFWSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2oc(CC)nc2C)C1 ZINC001035567028 751619943 /nfs/dbraw/zinc/61/99/43/751619943.db2.gz LSMGSVODUHZCNY-CYBMUJFWSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnoc2C2CC2)C1 ZINC001035561318 751643949 /nfs/dbraw/zinc/64/39/49/751643949.db2.gz BFTFTEOYNJSMHD-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnoc2C2CC2)C1 ZINC001035561318 751643953 /nfs/dbraw/zinc/64/39/53/751643953.db2.gz BFTFTEOYNJSMHD-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC(C[N@H+](C)[C@@H](C)c2csnn2)CC1 ZINC001029946656 741636377 /nfs/dbraw/zinc/63/63/77/741636377.db2.gz RDMKYAQBHQIIHB-RYUDHWBXSA-N 1 2 321.450 1.929 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC(C[N@@H+](C)[C@@H](C)c2csnn2)CC1 ZINC001029946656 741636380 /nfs/dbraw/zinc/63/63/80/741636380.db2.gz RDMKYAQBHQIIHB-RYUDHWBXSA-N 1 2 321.450 1.929 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001035580191 751670465 /nfs/dbraw/zinc/67/04/65/751670465.db2.gz JVWPIXUZCNKQJE-YESZJQIVSA-N 1 2 300.402 1.793 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001035580191 751670469 /nfs/dbraw/zinc/67/04/69/751670469.db2.gz JVWPIXUZCNKQJE-YESZJQIVSA-N 1 2 300.402 1.793 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)nn1C ZINC001038109475 741933513 /nfs/dbraw/zinc/93/35/13/741933513.db2.gz XPKXXYWERKYWLV-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)nn1C ZINC001038109475 741933515 /nfs/dbraw/zinc/93/35/15/741933515.db2.gz XPKXXYWERKYWLV-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cnc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)cn1 ZINC001038026098 751690200 /nfs/dbraw/zinc/69/02/00/751690200.db2.gz PLHQMGWEWXGSJV-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cnc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)cn1 ZINC001038026098 751690204 /nfs/dbraw/zinc/69/02/04/751690204.db2.gz PLHQMGWEWXGSJV-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCn3ccnc3C2)[C@H]1C ZINC001088679510 742073735 /nfs/dbraw/zinc/07/37/35/742073735.db2.gz RSXAHWFADPPFJX-RDBSUJKOSA-N 1 2 322.840 1.777 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCn3ccnc3C2)[C@H]1C ZINC001088679510 742073738 /nfs/dbraw/zinc/07/37/38/742073738.db2.gz RSXAHWFADPPFJX-RDBSUJKOSA-N 1 2 322.840 1.777 20 30 DDEDLO N#CCNCC1([C@@H]2CCCCN2C(=O)Cn2cc[nH+]c2)CCC1 ZINC001105581539 742127949 /nfs/dbraw/zinc/12/79/49/742127949.db2.gz JQRQTCDDGZKBNK-HNNXBMFYSA-N 1 2 315.421 1.548 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CC3CCC3)C2)nn1 ZINC001098652907 742253037 /nfs/dbraw/zinc/25/30/37/742253037.db2.gz OWSOWWCIPHLOJG-INIZCTEOSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001035607100 751711182 /nfs/dbraw/zinc/71/11/82/751711182.db2.gz NEPDKZAVEVADFC-CQSZACIVSA-N 1 2 319.405 1.411 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001035607100 751711187 /nfs/dbraw/zinc/71/11/87/751711187.db2.gz NEPDKZAVEVADFC-CQSZACIVSA-N 1 2 319.405 1.411 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060048973 742281788 /nfs/dbraw/zinc/28/17/88/742281788.db2.gz QBZQGEGRRNEQAL-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001076531929 742772531 /nfs/dbraw/zinc/77/25/31/742772531.db2.gz KEOONOGJTKRHJH-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001076531929 742772536 /nfs/dbraw/zinc/77/25/36/742772536.db2.gz KEOONOGJTKRHJH-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001076667032 742880325 /nfs/dbraw/zinc/88/03/25/742880325.db2.gz GABCMCJPOCILPZ-ZDUSSCGKSA-N 1 2 318.421 1.732 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001076712191 742933478 /nfs/dbraw/zinc/93/34/78/742933478.db2.gz UTWLDCMKQBCOFP-KGLIPLIRSA-N 1 2 318.421 1.403 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001076712191 742933481 /nfs/dbraw/zinc/93/34/81/742933481.db2.gz UTWLDCMKQBCOFP-KGLIPLIRSA-N 1 2 318.421 1.403 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCCn1cc[nH+]c1 ZINC001077171103 743294599 /nfs/dbraw/zinc/29/45/99/743294599.db2.gz MBZMRZHLPKYURA-AWEZNQCLSA-N 1 2 320.437 1.887 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3sccc3s2)[C@@H](O)C1 ZINC001083571782 743403225 /nfs/dbraw/zinc/40/32/25/743403225.db2.gz LUBWWXKBCNTIQH-ZJUUUORDSA-N 1 2 308.428 1.924 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3sccc3s2)[C@@H](O)C1 ZINC001083571782 743403228 /nfs/dbraw/zinc/40/32/28/743403228.db2.gz LUBWWXKBCNTIQH-ZJUUUORDSA-N 1 2 308.428 1.924 20 30 DDEDLO CCN(C(=O)C#CC(C)C)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102800040 743479747 /nfs/dbraw/zinc/47/97/47/743479747.db2.gz AAWCZZJNACVDTO-OAHLLOKOSA-N 1 2 300.406 1.872 20 30 DDEDLO COCc1noc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001182423123 743611948 /nfs/dbraw/zinc/61/19/48/743611948.db2.gz RGWWGHFVOYHFQI-CHWSQXEVSA-N 1 2 320.393 1.127 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](OC)C3CC3)c2C1 ZINC001128337415 743620700 /nfs/dbraw/zinc/62/07/00/743620700.db2.gz KMJZBCKBRIYFHN-INIZCTEOSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H](OC)C3CC3)c2C1 ZINC001128337415 743620701 /nfs/dbraw/zinc/62/07/01/743620701.db2.gz KMJZBCKBRIYFHN-INIZCTEOSA-N 1 2 318.421 1.316 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001182449895 743631050 /nfs/dbraw/zinc/63/10/50/743631050.db2.gz IWNXQIQXGMAKTM-CYBMUJFWSA-N 1 2 316.405 1.687 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC001127050950 743677213 /nfs/dbraw/zinc/67/72/13/743677213.db2.gz ACSOGOBVKOLFLX-LBPRGKRZSA-N 1 2 307.394 1.216 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@@H]2CCOC2)cc1F ZINC001038099405 743754069 /nfs/dbraw/zinc/75/40/69/743754069.db2.gz XFHHYRQHAXAGRT-CABCVRRESA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)[C@@H]2CCOC2)cc1F ZINC001038099405 743754071 /nfs/dbraw/zinc/75/40/71/743754071.db2.gz XFHHYRQHAXAGRT-CABCVRRESA-N 1 2 317.364 1.424 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1n[nH]cc1F)CC2 ZINC001035790447 751877530 /nfs/dbraw/zinc/87/75/30/751877530.db2.gz DJVIQHFKXBVMDW-UHFFFAOYSA-N 1 2 312.776 1.839 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cn(C)nc2-c2ccco2)C1 ZINC001030298475 744029719 /nfs/dbraw/zinc/02/97/19/744029719.db2.gz VRQOLTZXUVUQDP-UHFFFAOYSA-N 1 2 300.362 1.670 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2cc(-c3ccn(C)n3)on2)C1 ZINC001030371824 744085195 /nfs/dbraw/zinc/08/51/95/744085195.db2.gz IWLPNVSMTXMTSC-UHFFFAOYSA-N 1 2 301.350 1.065 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CC(CC)CC)C2)nn1 ZINC001098758223 744226945 /nfs/dbraw/zinc/22/69/45/744226945.db2.gz XEHZACUGEHBDHI-MRXNPFEDSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc3c(c2)N(C)CCO3)C1 ZINC001030521279 744233073 /nfs/dbraw/zinc/23/30/73/744233073.db2.gz DQZBYTKKKRCIHX-UHFFFAOYSA-N 1 2 301.390 1.505 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CC(C)C)C2)nn1 ZINC001185863803 744302189 /nfs/dbraw/zinc/30/21/89/744302189.db2.gz DGAGTLQEFIYKSN-OAHLLOKOSA-N 1 2 303.410 1.211 20 30 DDEDLO C=C(C)CC[NH+]1CC(NC(=O)c2cnc3ccccc3c2O)C1 ZINC001030599668 744310002 /nfs/dbraw/zinc/31/00/02/744310002.db2.gz MKWXYAUULQVLBJ-UHFFFAOYSA-N 1 2 311.385 1.908 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CCC(=C)C)C2)nn1 ZINC001185955131 744313004 /nfs/dbraw/zinc/31/30/04/744313004.db2.gz QNWWEDFRDCNRPT-MRXNPFEDSA-N 1 2 315.421 1.521 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001185993085 744319010 /nfs/dbraw/zinc/31/90/10/744319010.db2.gz VPUMILMAOIOCPE-OAHLLOKOSA-N 1 2 323.416 1.857 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001187240119 744523429 /nfs/dbraw/zinc/52/34/29/744523429.db2.gz OCKSGVOGUNSKAE-DZGCQCFKSA-N 1 2 303.410 1.297 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001187240119 744523433 /nfs/dbraw/zinc/52/34/33/744523433.db2.gz OCKSGVOGUNSKAE-DZGCQCFKSA-N 1 2 303.410 1.297 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCCN2c2nccs2)C1 ZINC001030739514 744552609 /nfs/dbraw/zinc/55/26/09/744552609.db2.gz HRRSQTUPIXMVBJ-ZDUSSCGKSA-N 1 2 306.435 1.488 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)nn1C ZINC001089139709 744585074 /nfs/dbraw/zinc/58/50/74/744585074.db2.gz KJEROWZYAYJMJK-CJNGLKHVSA-N 1 2 317.437 1.701 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)nn1C ZINC001089139709 744585077 /nfs/dbraw/zinc/58/50/77/744585077.db2.gz KJEROWZYAYJMJK-CJNGLKHVSA-N 1 2 317.437 1.701 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001187736498 744601661 /nfs/dbraw/zinc/60/16/61/744601661.db2.gz IRXJLIJNBBONQY-QLFBSQMISA-N 1 2 315.421 1.212 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001187736498 744601664 /nfs/dbraw/zinc/60/16/64/744601664.db2.gz IRXJLIJNBBONQY-QLFBSQMISA-N 1 2 315.421 1.212 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(C)no2)C1 ZINC001188302164 744693609 /nfs/dbraw/zinc/69/36/09/744693609.db2.gz UVMGAWLLWQOIDF-DGCLKSJQSA-N 1 2 308.382 1.222 20 30 DDEDLO CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC001188725412 744757275 /nfs/dbraw/zinc/75/72/75/744757275.db2.gz AYNXUBQIEIRCPS-MRXNPFEDSA-N 1 2 319.380 1.767 20 30 DDEDLO CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC001188725412 744757277 /nfs/dbraw/zinc/75/72/77/744757277.db2.gz AYNXUBQIEIRCPS-MRXNPFEDSA-N 1 2 319.380 1.767 20 30 DDEDLO CCOC(=O)CNC(=O)Nc1sc2c(c1C#N)CC[N@@H+](C)C2 ZINC001189869168 745008146 /nfs/dbraw/zinc/00/81/46/745008146.db2.gz CYOFHCUOOBIOPA-UHFFFAOYSA-N 1 2 322.390 1.292 20 30 DDEDLO CCOC(=O)CNC(=O)Nc1sc2c(c1C#N)CC[N@H+](C)C2 ZINC001189869168 745008153 /nfs/dbraw/zinc/00/81/53/745008153.db2.gz CYOFHCUOOBIOPA-UHFFFAOYSA-N 1 2 322.390 1.292 20 30 DDEDLO C=CCn1cc(C(=O)NC2C[NH+](CCc3ccccc3)C2)nn1 ZINC001031069410 745297756 /nfs/dbraw/zinc/29/77/56/745297756.db2.gz OIZXHAFQNZWLAX-UHFFFAOYSA-N 1 2 311.389 1.121 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CC[C@@H]([NH+](C)C/C=C\Cl)C1 ZINC001190724967 745307333 /nfs/dbraw/zinc/30/73/33/745307333.db2.gz OIGYCMXLSPENQD-PNPSXAPQSA-N 1 2 309.841 1.369 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H]([NH+](C)C/C=C\Cl)C1 ZINC001190724967 745307342 /nfs/dbraw/zinc/30/73/42/745307342.db2.gz OIGYCMXLSPENQD-PNPSXAPQSA-N 1 2 309.841 1.369 20 30 DDEDLO C#CCN1CCC[C@H]1C(=O)N1CC[C@@H]([N@@H+](C)C/C=C\Cl)C1 ZINC001190724967 745307356 /nfs/dbraw/zinc/30/73/56/745307356.db2.gz OIGYCMXLSPENQD-PNPSXAPQSA-N 1 2 309.841 1.369 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NC1C[NH+](C[C@@H]2CCCCO2)C1 ZINC001031077241 745328476 /nfs/dbraw/zinc/32/84/76/745328476.db2.gz KPFGKJMUCNZVIT-ULQDDVLXSA-N 1 2 322.449 1.727 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CC[C@H](N(C)CC#N)C1)n1cc[nH+]c1 ZINC001190816156 745338747 /nfs/dbraw/zinc/33/87/47/745338747.db2.gz CBVNCZROOWYASY-LSDHHAIUSA-N 1 2 303.410 1.527 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001191106375 745425166 /nfs/dbraw/zinc/42/51/66/745425166.db2.gz YYAYOOSXAHZKLZ-IUIKQTSFSA-N 1 2 319.380 1.405 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001191106375 745425168 /nfs/dbraw/zinc/42/51/68/745425168.db2.gz YYAYOOSXAHZKLZ-IUIKQTSFSA-N 1 2 319.380 1.405 20 30 DDEDLO Cc1cccc(Cl)c1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001191872757 745635824 /nfs/dbraw/zinc/63/58/24/745635824.db2.gz OIAJYSMUDCTTGP-NILFDRSVSA-N 1 2 321.808 1.469 20 30 DDEDLO Cc1cccc(Cl)c1C[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001191872757 745635827 /nfs/dbraw/zinc/63/58/27/745635827.db2.gz OIAJYSMUDCTTGP-NILFDRSVSA-N 1 2 321.808 1.469 20 30 DDEDLO C[C@@H](CCNc1cccc(F)c1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001106427086 745687491 /nfs/dbraw/zinc/68/74/91/745687491.db2.gz YAEJNCFJSWQHLU-NSHDSACASA-N 1 2 315.352 1.970 20 30 DDEDLO C=CCCOCC(=O)N(C)CCOCCNc1cc[nH+]c(C)n1 ZINC001127340470 745700670 /nfs/dbraw/zinc/70/06/70/745700670.db2.gz FNTOGVKDOWQMDM-UHFFFAOYSA-N 1 2 322.409 1.265 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)cn1)NC(=O)CCn1cc[nH+]c1 ZINC001106520290 745762146 /nfs/dbraw/zinc/76/21/46/745762146.db2.gz AACBJMREQIKSOY-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)nc1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001106626217 745849534 /nfs/dbraw/zinc/84/95/34/745849534.db2.gz UGWMEMWFRUVBCG-KCPJHIHWSA-N 1 2 324.388 1.787 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)nc1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001106626217 745849544 /nfs/dbraw/zinc/84/95/44/745849544.db2.gz UGWMEMWFRUVBCG-KCPJHIHWSA-N 1 2 324.388 1.787 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CN2CCOCC2)[C@H]1C ZINC000993228322 745920776 /nfs/dbraw/zinc/92/07/76/745920776.db2.gz DJUUBQAGNGWWNR-ZIAGYGMSSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CN2CCOCC2)[C@H]1C ZINC000993228322 745920778 /nfs/dbraw/zinc/92/07/78/745920778.db2.gz DJUUBQAGNGWWNR-ZIAGYGMSSA-N 1 2 315.845 1.040 20 30 DDEDLO N#CCS(=O)(=O)NCc1c[nH+]cn1Cc1ccc(F)cc1 ZINC001193001750 745947291 /nfs/dbraw/zinc/94/72/91/745947291.db2.gz UIUAQIHVXUGPJF-UHFFFAOYSA-N 1 2 308.338 1.013 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2cn[nH]n2)CCC[N@@H+]1Cc1ccc(C#N)cc1 ZINC000993545569 746206421 /nfs/dbraw/zinc/20/64/21/746206421.db2.gz VYUBJWQVCCRUEO-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2cn[nH]n2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000993545569 746206425 /nfs/dbraw/zinc/20/64/25/746206425.db2.gz VYUBJWQVCCRUEO-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2cnn[nH]2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000993545569 746206430 /nfs/dbraw/zinc/20/64/30/746206430.db2.gz VYUBJWQVCCRUEO-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO Cn1nncc1C(=O)N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001007245258 752071295 /nfs/dbraw/zinc/07/12/95/752071295.db2.gz ZERBJGYUWDQTKK-MRXNPFEDSA-N 1 2 323.400 1.061 20 30 DDEDLO Cn1nncc1C(=O)N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001007245258 752071301 /nfs/dbraw/zinc/07/13/01/752071301.db2.gz ZERBJGYUWDQTKK-MRXNPFEDSA-N 1 2 323.400 1.061 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CC[C@]2(CNC(=O)C2)C1 ZINC001193920590 746237593 /nfs/dbraw/zinc/23/75/93/746237593.db2.gz PIXLJHFLMXHJRH-HNNXBMFYSA-N 1 2 300.318 1.178 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CC[C@]2(CNC(=O)C2)C1 ZINC001193920590 746237595 /nfs/dbraw/zinc/23/75/95/746237595.db2.gz PIXLJHFLMXHJRH-HNNXBMFYSA-N 1 2 300.318 1.178 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NC(C)=O)C1 ZINC000993637285 746244873 /nfs/dbraw/zinc/24/48/73/746244873.db2.gz ZNLYBBIWZBZHLQ-CKEIUWERSA-N 1 2 318.421 1.453 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)cn1 ZINC001007154737 746270452 /nfs/dbraw/zinc/27/04/52/746270452.db2.gz HLUGIQFBKZHNFC-OAHLLOKOSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1ncc(C[N@H+]2CCC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)cn1 ZINC001007154737 746270454 /nfs/dbraw/zinc/27/04/54/746270454.db2.gz HLUGIQFBKZHNFC-OAHLLOKOSA-N 1 2 324.388 1.379 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)c2occc2C)CC1 ZINC001194831058 746470182 /nfs/dbraw/zinc/47/01/82/746470182.db2.gz PYWKKJAZELBQKI-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)c2occc2C)CC1 ZINC001194831058 746470185 /nfs/dbraw/zinc/47/01/85/746470185.db2.gz PYWKKJAZELBQKI-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1O ZINC001195030566 746512753 /nfs/dbraw/zinc/51/27/53/746512753.db2.gz RZJHCGQUVRKFNE-IDQGUHCJSA-N 1 2 316.829 1.129 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1O ZINC001195030566 746512755 /nfs/dbraw/zinc/51/27/55/746512755.db2.gz RZJHCGQUVRKFNE-IDQGUHCJSA-N 1 2 316.829 1.129 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](C[C@H](C)CS(C)(=O)=O)CC1 ZINC001195232752 746546634 /nfs/dbraw/zinc/54/66/34/746546634.db2.gz NORRJNMCOHWQAD-AWEZNQCLSA-N 1 2 316.467 1.168 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](C[C@H](C)CS(C)(=O)=O)CC1 ZINC001195232752 746546636 /nfs/dbraw/zinc/54/66/36/746546636.db2.gz NORRJNMCOHWQAD-AWEZNQCLSA-N 1 2 316.467 1.168 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccc(F)nc2)CC1 ZINC001195314174 746574620 /nfs/dbraw/zinc/57/46/20/746574620.db2.gz JDMDBECDCSTCCP-UHFFFAOYSA-N 1 2 305.353 1.018 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccc(F)nc2)CC1 ZINC001195314174 746574621 /nfs/dbraw/zinc/57/46/21/746574621.db2.gz JDMDBECDCSTCCP-UHFFFAOYSA-N 1 2 305.353 1.018 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1C ZINC001121530883 782553198 /nfs/dbraw/zinc/55/31/98/782553198.db2.gz KMZFWYIEYAJUOR-ZIAGYGMSSA-N 1 2 303.410 1.200 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2C[N@H+]3CCCC[C@@H]3CO2)n1C ZINC001121530883 782553202 /nfs/dbraw/zinc/55/32/02/782553202.db2.gz KMZFWYIEYAJUOR-ZIAGYGMSSA-N 1 2 303.410 1.200 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cnc(C)nc2)CC1 ZINC001195681231 746670156 /nfs/dbraw/zinc/67/01/56/746670156.db2.gz BJZMNKZWEBPGEZ-QGZVFWFLSA-N 1 2 318.421 1.146 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+](Cc2cnc(C)nc2)CC1 ZINC001195681231 746670157 /nfs/dbraw/zinc/67/01/57/746670157.db2.gz BJZMNKZWEBPGEZ-QGZVFWFLSA-N 1 2 318.421 1.146 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cccnc3)C[C@@H]2O)C1 ZINC001083756320 746719014 /nfs/dbraw/zinc/71/90/14/746719014.db2.gz NSULRDFELOURIB-CABCVRRESA-N 1 2 301.390 1.099 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cccnc3)C[C@@H]2O)C1 ZINC001083756320 746719018 /nfs/dbraw/zinc/71/90/18/746719018.db2.gz NSULRDFELOURIB-CABCVRRESA-N 1 2 301.390 1.099 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3conc3C)C[C@H]2O)CCC1 ZINC001195896849 746724271 /nfs/dbraw/zinc/72/42/71/746724271.db2.gz CBICPTJZYBOSBG-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3conc3C)C[C@H]2O)CCC1 ZINC001195896849 746724276 /nfs/dbraw/zinc/72/42/76/746724276.db2.gz CBICPTJZYBOSBG-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO CN(c1ncccc1C#N)[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001061219102 746898654 /nfs/dbraw/zinc/89/86/54/746898654.db2.gz YBFKEJDNWGNLBR-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)C(C)C)CC1 ZINC001196732132 746951628 /nfs/dbraw/zinc/95/16/28/746951628.db2.gz MYKPGOWHHQJKBG-HNNXBMFYSA-N 1 2 323.481 1.894 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)C(C)C)CC1 ZINC001196732132 746951633 /nfs/dbraw/zinc/95/16/33/746951633.db2.gz MYKPGOWHHQJKBG-HNNXBMFYSA-N 1 2 323.481 1.894 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)[C@@H]3CCCO3)C2)ccc1F ZINC001031535105 746977680 /nfs/dbraw/zinc/97/76/80/746977680.db2.gz PZCBSFINPAAVEK-INIZCTEOSA-N 1 2 317.364 1.424 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C3(COC)CC3)c2C1 ZINC001128404069 746998879 /nfs/dbraw/zinc/99/88/79/746998879.db2.gz UCPUVDAOOKQQQV-UHFFFAOYSA-N 1 2 318.421 1.318 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C3(COC)CC3)c2C1 ZINC001128404069 746998884 /nfs/dbraw/zinc/99/88/84/746998884.db2.gz UCPUVDAOOKQQQV-UHFFFAOYSA-N 1 2 318.421 1.318 20 30 DDEDLO N#Cc1cccc(NC(=S)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC001197675276 747221486 /nfs/dbraw/zinc/22/14/86/747221486.db2.gz NXJBFRYGHPFDRU-OAHLLOKOSA-N 1 2 316.430 1.662 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCOC2)C1 ZINC001032942479 747722883 /nfs/dbraw/zinc/72/28/83/747722883.db2.gz MYKBSPHIWLSQNQ-VXGBXAGGSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCOC2)C1 ZINC001032942479 747722879 /nfs/dbraw/zinc/72/28/79/747722879.db2.gz MYKBSPHIWLSQNQ-VXGBXAGGSA-N 1 2 317.227 1.464 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3cc(C)on3)CC2)cn1 ZINC001003997058 747897889 /nfs/dbraw/zinc/89/78/89/747897889.db2.gz BPOFEAVKTHSPSM-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccccc2O)[C@@H](O)C1 ZINC001090076085 748086577 /nfs/dbraw/zinc/08/65/77/748086577.db2.gz LZMDUGQIHMUPFZ-JSGCOSHPSA-N 1 2 310.781 1.310 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccccc2O)[C@@H](O)C1 ZINC001090076085 748086588 /nfs/dbraw/zinc/08/65/88/748086588.db2.gz LZMDUGQIHMUPFZ-JSGCOSHPSA-N 1 2 310.781 1.310 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2scnc2C)[C@H](O)C1 ZINC001090092708 748221754 /nfs/dbraw/zinc/22/17/54/748221754.db2.gz GKQMFQBGMAZUBU-GHMZBOCLSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2scnc2C)[C@H](O)C1 ZINC001090092708 748221757 /nfs/dbraw/zinc/22/17/57/748221757.db2.gz GKQMFQBGMAZUBU-GHMZBOCLSA-N 1 2 315.826 1.369 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)Cc2ccon2)CC1 ZINC001004396304 748398373 /nfs/dbraw/zinc/39/83/73/748398373.db2.gz MYKAAKYCPVPIAF-HNNXBMFYSA-N 1 2 302.378 1.444 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)Cc2ccon2)CC1 ZINC001004396304 748398377 /nfs/dbraw/zinc/39/83/77/748398377.db2.gz MYKAAKYCPVPIAF-HNNXBMFYSA-N 1 2 302.378 1.444 20 30 DDEDLO CCc1oncc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001032018431 748435514 /nfs/dbraw/zinc/43/55/14/748435514.db2.gz JVTHIXHQHKOADD-UHFFFAOYSA-N 1 2 323.396 1.950 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC1C[NH+](Cc2cc(C)no2)C1 ZINC001032047376 748497914 /nfs/dbraw/zinc/49/79/14/748497914.db2.gz YKYGFFZPIPOQIM-HOTGVXAUSA-N 1 2 319.405 1.512 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001108082363 748718995 /nfs/dbraw/zinc/71/89/95/748718995.db2.gz GMMPYOUUECPEEZ-MPTYRVRUSA-N 1 2 308.422 1.195 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001108082363 748718999 /nfs/dbraw/zinc/71/89/99/748718999.db2.gz GMMPYOUUECPEEZ-MPTYRVRUSA-N 1 2 308.422 1.195 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cnc(C)o3)C2)cn1 ZINC001032138190 748731119 /nfs/dbraw/zinc/73/11/19/748731119.db2.gz PQHUHNWBEPHRPD-UHFFFAOYSA-N 1 2 310.357 1.221 20 30 DDEDLO C#CCOCCC(=O)NC1(C)CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110597923 748811723 /nfs/dbraw/zinc/81/17/23/748811723.db2.gz GVTNBPUXHAORSS-UHFFFAOYSA-N 1 2 316.405 1.300 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccnn1C)C2 ZINC001110613933 748827165 /nfs/dbraw/zinc/82/71/65/748827165.db2.gz QWZUBCIAPKEUSL-KFWWJZLASA-N 1 2 322.840 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccnn1C)C2 ZINC001110613933 748827169 /nfs/dbraw/zinc/82/71/69/748827169.db2.gz QWZUBCIAPKEUSL-KFWWJZLASA-N 1 2 322.840 1.827 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnc(F)c2)[C@H](O)C1 ZINC001090115724 748873142 /nfs/dbraw/zinc/87/31/42/748873142.db2.gz VPEOWHKRVMKNJH-NWDGAFQWSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnc(F)c2)[C@H](O)C1 ZINC001090115724 748873146 /nfs/dbraw/zinc/87/31/46/748873146.db2.gz VPEOWHKRVMKNJH-NWDGAFQWSA-N 1 2 313.760 1.138 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114319703 749052237 /nfs/dbraw/zinc/05/22/37/749052237.db2.gz OAVJIBQLMXVGDQ-FOLVSLTJSA-N 1 2 316.405 1.693 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114319703 749052239 /nfs/dbraw/zinc/05/22/39/749052239.db2.gz OAVJIBQLMXVGDQ-FOLVSLTJSA-N 1 2 316.405 1.693 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114340972 749088605 /nfs/dbraw/zinc/08/86/05/749088605.db2.gz QEJHRUSPYKRCQL-IMRBUKKESA-N 1 2 306.435 1.687 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114340972 749088607 /nfs/dbraw/zinc/08/86/07/749088607.db2.gz QEJHRUSPYKRCQL-IMRBUKKESA-N 1 2 306.435 1.687 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H]2CCCO2)cc1 ZINC001032265247 749136179 /nfs/dbraw/zinc/13/61/79/749136179.db2.gz KALIAHKYSJSOSU-YESZJQIVSA-N 1 2 311.385 1.522 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H]2CCCO2)cc1 ZINC001032265247 749136181 /nfs/dbraw/zinc/13/61/81/749136181.db2.gz KALIAHKYSJSOSU-YESZJQIVSA-N 1 2 311.385 1.522 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+]([C@@H](C)c4ncccn4)C[C@H]32)CCC1 ZINC001114396578 749137673 /nfs/dbraw/zinc/13/76/73/749137673.db2.gz BLMYOARTZGWNFC-CAOSSQGBSA-N 1 2 324.428 1.778 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+]([C@@H](C)c4ncccn4)C[C@H]32)CCC1 ZINC001114396578 749137679 /nfs/dbraw/zinc/13/76/79/749137679.db2.gz BLMYOARTZGWNFC-CAOSSQGBSA-N 1 2 324.428 1.778 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cscn2)[C@@H](O)C1 ZINC001090134797 749197887 /nfs/dbraw/zinc/19/78/87/749197887.db2.gz REMZPNPQJLQEIW-KOLCDFICSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cscn2)[C@@H](O)C1 ZINC001090134797 749197889 /nfs/dbraw/zinc/19/78/89/749197889.db2.gz REMZPNPQJLQEIW-KOLCDFICSA-N 1 2 301.799 1.061 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@@H]2CC[N@H+](CC(=C)Cl)C2)c1 ZINC001033404223 749322433 /nfs/dbraw/zinc/32/24/33/749322433.db2.gz AMRXQLVVXGAJMH-OAHLLOKOSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@@H]2CC[N@@H+](CC(=C)Cl)C2)c1 ZINC001033404223 749322437 /nfs/dbraw/zinc/32/24/37/749322437.db2.gz AMRXQLVVXGAJMH-OAHLLOKOSA-N 1 2 303.793 1.962 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc(C)[nH]c2=O)C1 ZINC001033460098 749381846 /nfs/dbraw/zinc/38/18/46/749381846.db2.gz KWHDFSYEGXXWMN-LBPRGKRZSA-N 1 2 309.797 1.994 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(C)[nH]c2=O)C1 ZINC001033460098 749381851 /nfs/dbraw/zinc/38/18/51/749381851.db2.gz KWHDFSYEGXXWMN-LBPRGKRZSA-N 1 2 309.797 1.994 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc(COC)on2)C1 ZINC001033481606 749407252 /nfs/dbraw/zinc/40/72/52/749407252.db2.gz BTXBRVGLRQUVBI-LLVKDONJSA-N 1 2 313.785 1.720 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(COC)on2)C1 ZINC001033481606 749407258 /nfs/dbraw/zinc/40/72/58/749407258.db2.gz BTXBRVGLRQUVBI-LLVKDONJSA-N 1 2 313.785 1.720 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CCC3CCC3)nn2)C1 ZINC001107154030 749431695 /nfs/dbraw/zinc/43/16/95/749431695.db2.gz UJGODOXOVPXWOW-UHFFFAOYSA-N 1 2 303.410 1.517 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@]3(C)CC=CCC3)nn2)C1 ZINC001107173735 749490350 /nfs/dbraw/zinc/49/03/50/749490350.db2.gz GYRQLKLQUHIIEI-QGZVFWFLSA-N 1 2 315.421 1.683 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@H]3CC[C@H](C)C3)nn2)C1 ZINC001107192287 749533201 /nfs/dbraw/zinc/53/32/01/749533201.db2.gz FIZMRQSXGITLBB-KBPBESRZSA-N 1 2 317.437 1.763 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C(C)(C)C3CC3)nn2)C1 ZINC001107198593 749563595 /nfs/dbraw/zinc/56/35/95/749563595.db2.gz LWAGIVMWXSYQSL-UHFFFAOYSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107198819 749567169 /nfs/dbraw/zinc/56/71/69/749567169.db2.gz UWRGBRQRZSJIOH-CQSZACIVSA-N 1 2 317.437 1.929 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CCC(C)(C)C)nn2)C1 ZINC001107221004 749636599 /nfs/dbraw/zinc/63/65/99/749636599.db2.gz BGZLZMVZLHXOHL-UHFFFAOYSA-N 1 2 305.426 1.763 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H](C)[C@H](C)CC)nn2)C1 ZINC001107225232 749654111 /nfs/dbraw/zinc/65/41/11/749654111.db2.gz RIBAWDGSWIPXPH-ZIAGYGMSSA-N 1 2 317.437 1.457 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCC1(C)C)C2 ZINC001110927390 750232660 /nfs/dbraw/zinc/23/26/60/750232660.db2.gz SIAGDNNEJDCYGC-BARDWOONSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCC1(C)C)C2 ZINC001110927390 750232663 /nfs/dbraw/zinc/23/26/63/750232663.db2.gz SIAGDNNEJDCYGC-BARDWOONSA-N 1 2 319.449 1.446 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cnc(C)s3)C[C@H]2O)C1 ZINC001077641720 750255344 /nfs/dbraw/zinc/25/53/44/750255344.db2.gz DKARYFRWPYSOJJ-ZIAGYGMSSA-N 1 2 321.446 1.469 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cnc(C)s3)C[C@H]2O)C1 ZINC001077641720 750255350 /nfs/dbraw/zinc/25/53/50/750255350.db2.gz DKARYFRWPYSOJJ-ZIAGYGMSSA-N 1 2 321.446 1.469 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001107647720 750308851 /nfs/dbraw/zinc/30/88/51/750308851.db2.gz WUQQGLHQGKMNTB-GFCCVEGCSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001107647720 750308857 /nfs/dbraw/zinc/30/88/57/750308857.db2.gz WUQQGLHQGKMNTB-GFCCVEGCSA-N 1 2 303.410 1.347 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCCS2(=O)=O)C1 ZINC001034045258 750310530 /nfs/dbraw/zinc/31/05/30/750310530.db2.gz JAPBFDDLUPNPJS-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCCS2(=O)=O)C1 ZINC001034045258 750310537 /nfs/dbraw/zinc/31/05/37/750310537.db2.gz JAPBFDDLUPNPJS-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2ccccn2)C1 ZINC001108113776 750365692 /nfs/dbraw/zinc/36/56/92/750365692.db2.gz VADUSXCQSKOYDI-KRWDZBQOSA-N 1 2 303.406 1.407 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2ccccn2)C1 ZINC001108113776 750365696 /nfs/dbraw/zinc/36/56/96/750365696.db2.gz VADUSXCQSKOYDI-KRWDZBQOSA-N 1 2 303.406 1.407 20 30 DDEDLO CCc1nc(C[NH2+]C2(CNC(=O)[C@@H](C)C#N)CCCCC2)no1 ZINC001115452069 750371883 /nfs/dbraw/zinc/37/18/83/750371883.db2.gz PCPFGFBSIMHMFV-LBPRGKRZSA-N 1 2 319.409 1.700 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001034505159 750502307 /nfs/dbraw/zinc/50/23/07/750502307.db2.gz HMYDKCVKGQZMIP-LBPRGKRZSA-N 1 2 321.812 1.999 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001034505159 750502311 /nfs/dbraw/zinc/50/23/11/750502311.db2.gz HMYDKCVKGQZMIP-LBPRGKRZSA-N 1 2 321.812 1.999 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001034530333 750523097 /nfs/dbraw/zinc/52/30/97/750523097.db2.gz VSAOWZWROLIROR-LBPRGKRZSA-N 1 2 324.812 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001034530333 750523099 /nfs/dbraw/zinc/52/30/99/750523099.db2.gz VSAOWZWROLIROR-LBPRGKRZSA-N 1 2 324.812 1.450 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]cnc1C(C)(C)C ZINC001032412263 750657175 /nfs/dbraw/zinc/65/71/75/750657175.db2.gz PQYFWWIBPORYHM-STQMWFEESA-N 1 2 300.406 1.629 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]cnc1C(C)(C)C ZINC001032412263 750657180 /nfs/dbraw/zinc/65/71/80/750657180.db2.gz PQYFWWIBPORYHM-STQMWFEESA-N 1 2 300.406 1.629 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCN1c1ccccc1 ZINC001032440521 750841097 /nfs/dbraw/zinc/84/10/97/750841097.db2.gz ZBCQAYFTDQCEQQ-OKZBNKHCSA-N 1 2 309.413 1.574 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCN1c1ccccc1 ZINC001032440521 750841107 /nfs/dbraw/zinc/84/11/07/750841107.db2.gz ZBCQAYFTDQCEQQ-OKZBNKHCSA-N 1 2 309.413 1.574 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCCn2cccn2)C1 ZINC001108406125 762082626 /nfs/dbraw/zinc/08/26/26/762082626.db2.gz KTBHYYGTRYGDOY-MRXNPFEDSA-N 1 2 306.410 1.056 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCCn2cccn2)C1 ZINC001108406125 762082633 /nfs/dbraw/zinc/08/26/33/762082633.db2.gz KTBHYYGTRYGDOY-MRXNPFEDSA-N 1 2 306.410 1.056 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114679743 750981925 /nfs/dbraw/zinc/98/19/25/750981925.db2.gz AROHCRCFTUATPZ-JYAVWHMHSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114679743 750981930 /nfs/dbraw/zinc/98/19/30/750981930.db2.gz AROHCRCFTUATPZ-JYAVWHMHSA-N 1 2 318.421 1.781 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001114822401 751139065 /nfs/dbraw/zinc/13/90/65/751139065.db2.gz BWZQDRWFGWXLFY-YGUOUDRMSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001114822401 751139068 /nfs/dbraw/zinc/13/90/68/751139068.db2.gz BWZQDRWFGWXLFY-YGUOUDRMSA-N 1 2 324.388 1.616 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2c(F)cccc2c1 ZINC001032521621 751186189 /nfs/dbraw/zinc/18/61/89/751186189.db2.gz FMGRHIXVEWXDMB-GJZGRUSLSA-N 1 2 309.344 1.906 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2c(F)cccc2c1 ZINC001032521621 751186192 /nfs/dbraw/zinc/18/61/92/751186192.db2.gz FMGRHIXVEWXDMB-GJZGRUSLSA-N 1 2 309.344 1.906 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1-c1ccoc1 ZINC001032537771 751222854 /nfs/dbraw/zinc/22/28/54/751222854.db2.gz DVWFWHFQORJPQC-GJZGRUSLSA-N 1 2 324.384 1.602 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1-c1ccoc1 ZINC001032537771 751222856 /nfs/dbraw/zinc/22/28/56/751222856.db2.gz DVWFWHFQORJPQC-GJZGRUSLSA-N 1 2 324.384 1.602 20 30 DDEDLO C#CC[NH2+]C1CC(N(C)C(=O)c2c(Cl)[nH]nc2C2CC2)C1 ZINC000998888791 752334900 /nfs/dbraw/zinc/33/49/00/752334900.db2.gz UCNCVZDCNDEYFP-UHFFFAOYSA-N 1 2 306.797 1.766 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001008167088 752589124 /nfs/dbraw/zinc/58/91/24/752589124.db2.gz DSTPVLLZFAJJRL-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001008167088 752589133 /nfs/dbraw/zinc/58/91/33/752589133.db2.gz DSTPVLLZFAJJRL-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1c(C)noc1C ZINC001032667856 752677786 /nfs/dbraw/zinc/67/77/86/752677786.db2.gz MYGJCTHLGFFRQD-CQDKDKBSSA-N 1 2 301.390 1.388 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1c(C)noc1C ZINC001032667856 752677792 /nfs/dbraw/zinc/67/77/92/752677792.db2.gz MYGJCTHLGFFRQD-CQDKDKBSSA-N 1 2 301.390 1.388 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001009368188 753171509 /nfs/dbraw/zinc/17/15/09/753171509.db2.gz AFLXKYSQZIJBSK-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001009368188 753171513 /nfs/dbraw/zinc/17/15/13/753171513.db2.gz AFLXKYSQZIJBSK-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(CC)nn(C)c1Cl ZINC001032720421 753181352 /nfs/dbraw/zinc/18/13/52/753181352.db2.gz UDGDJANXAJSWMD-QWRGUYRKSA-N 1 2 306.797 1.168 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(CC)nn(C)c1Cl ZINC001032720421 753181370 /nfs/dbraw/zinc/18/13/70/753181370.db2.gz UDGDJANXAJSWMD-QWRGUYRKSA-N 1 2 306.797 1.168 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(C(N)=O)cs2)C1 ZINC001009648535 753297111 /nfs/dbraw/zinc/29/71/11/753297111.db2.gz HPWIFQNUOGNECA-GFCCVEGCSA-N 1 2 305.403 1.065 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(C(N)=O)cs2)C1 ZINC001009648535 753297113 /nfs/dbraw/zinc/29/71/13/753297113.db2.gz HPWIFQNUOGNECA-GFCCVEGCSA-N 1 2 305.403 1.065 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001077924970 753429851 /nfs/dbraw/zinc/42/98/51/753429851.db2.gz UHAIVJOXSAZEAO-KGLIPLIRSA-N 1 2 320.437 1.576 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(c2ccccc2)C[C@H](F)C1 ZINC001032756632 753496936 /nfs/dbraw/zinc/49/69/36/753496936.db2.gz GSQOLKRATVENRV-DWRORGKVSA-N 1 2 312.388 1.975 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(c2ccccc2)C[C@H](F)C1 ZINC001032756632 753496938 /nfs/dbraw/zinc/49/69/38/753496938.db2.gz GSQOLKRATVENRV-DWRORGKVSA-N 1 2 312.388 1.975 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001077970374 753535827 /nfs/dbraw/zinc/53/58/27/753535827.db2.gz OSLPPQPIUQVNHY-BPLDGKMQSA-N 1 2 312.369 1.331 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001077970374 753535832 /nfs/dbraw/zinc/53/58/32/753535832.db2.gz OSLPPQPIUQVNHY-BPLDGKMQSA-N 1 2 312.369 1.331 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn2c(cccc2C)n1 ZINC001032774526 753589728 /nfs/dbraw/zinc/58/97/28/753589728.db2.gz LKAVDBIZOFRCRO-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn2c(cccc2C)n1 ZINC001032774526 753589732 /nfs/dbraw/zinc/58/97/32/753589732.db2.gz LKAVDBIZOFRCRO-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ccc(C#N)nc1 ZINC001039819517 762229589 /nfs/dbraw/zinc/22/95/89/762229589.db2.gz VPAWJIRGARFGMA-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC2CC(F)(F)C2)C1 ZINC001108032939 753795532 /nfs/dbraw/zinc/79/55/32/753795532.db2.gz GYVTTWBMJUCJIJ-HNNXBMFYSA-N 1 2 314.376 1.652 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC2CC(F)(F)C2)C1 ZINC001108032939 753795535 /nfs/dbraw/zinc/79/55/35/753795535.db2.gz GYVTTWBMJUCJIJ-HNNXBMFYSA-N 1 2 314.376 1.652 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CC[C@H](C)C3)C1 ZINC001078160262 753805240 /nfs/dbraw/zinc/80/52/40/753805240.db2.gz RGAGPQMDUJWCRE-IACUBPJLSA-N 1 2 320.458 1.834 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CC[C@H](C)C3)C1 ZINC001078160262 753805246 /nfs/dbraw/zinc/80/52/46/753805246.db2.gz RGAGPQMDUJWCRE-IACUBPJLSA-N 1 2 320.458 1.834 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCC(C)(F)F)C1 ZINC001108032601 753806505 /nfs/dbraw/zinc/80/65/05/753806505.db2.gz BXEKVQLRLFTCNN-CQSZACIVSA-N 1 2 302.365 1.652 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCC(C)(F)F)C1 ZINC001108032601 753806511 /nfs/dbraw/zinc/80/65/11/753806511.db2.gz BXEKVQLRLFTCNN-CQSZACIVSA-N 1 2 302.365 1.652 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(CCC)nc2C)C1 ZINC001078173307 753821157 /nfs/dbraw/zinc/82/11/57/753821157.db2.gz RQIZFHUGAYUBAT-VXGBXAGGSA-N 1 2 309.435 1.365 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(CCC)nc2C)C1 ZINC001078173307 753821166 /nfs/dbraw/zinc/82/11/66/753821166.db2.gz RQIZFHUGAYUBAT-VXGBXAGGSA-N 1 2 309.435 1.365 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccccc3n2CC)C1 ZINC001078224681 753876763 /nfs/dbraw/zinc/87/67/63/753876763.db2.gz UQNZNHURHIKRIH-RHSMWYFYSA-N 1 2 311.385 1.069 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccccc3n2CC)C1 ZINC001078224681 753876772 /nfs/dbraw/zinc/87/67/72/753876772.db2.gz UQNZNHURHIKRIH-RHSMWYFYSA-N 1 2 311.385 1.069 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1ccc(OC)cc1 ZINC001032795459 753924476 /nfs/dbraw/zinc/92/44/76/753924476.db2.gz NMZCEODYWIYDGD-PVAVHDDUSA-N 1 2 312.413 1.792 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1ccc(OC)cc1 ZINC001032795459 753924482 /nfs/dbraw/zinc/92/44/82/753924482.db2.gz NMZCEODYWIYDGD-PVAVHDDUSA-N 1 2 312.413 1.792 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC001078303761 753929052 /nfs/dbraw/zinc/92/90/52/753929052.db2.gz HBDZVFJZWKMUCN-IAGOWNOFSA-N 1 2 300.402 1.220 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC001078303761 753929058 /nfs/dbraw/zinc/92/90/58/753929058.db2.gz HBDZVFJZWKMUCN-IAGOWNOFSA-N 1 2 300.402 1.220 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCCO2)C1 ZINC001010629282 754064738 /nfs/dbraw/zinc/06/47/38/754064738.db2.gz LOSRCMPVTTYXKM-DLBZAZTESA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCCO2)C1 ZINC001010629282 754064742 /nfs/dbraw/zinc/06/47/42/754064742.db2.gz LOSRCMPVTTYXKM-DLBZAZTESA-N 1 2 313.401 1.818 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCO[C@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001078441310 754080086 /nfs/dbraw/zinc/08/00/86/754080086.db2.gz SLESKTIQOSJVCY-CYBMUJFWSA-N 1 2 322.409 1.297 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)s1 ZINC001010688744 754101137 /nfs/dbraw/zinc/10/11/37/754101137.db2.gz KIXFCVRNISFXJK-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)s1 ZINC001010688744 754101140 /nfs/dbraw/zinc/10/11/40/754101140.db2.gz KIXFCVRNISFXJK-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO C#CC[NH2+][C@H]1C[C@@H](NC(=O)c2cncc3nc[nH]c32)C12CCC2 ZINC001078631417 754238571 /nfs/dbraw/zinc/23/85/71/754238571.db2.gz GLBLWMNYKGMHLD-UONOGXRCSA-N 1 2 309.373 1.222 20 30 DDEDLO C[C@H](CNc1ncc(C#N)cc1F)NC(=O)CCn1cc[nH+]c1 ZINC001108036097 754248977 /nfs/dbraw/zinc/24/89/77/754248977.db2.gz XFGWURLVKKJTIT-LLVKDONJSA-N 1 2 316.340 1.296 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(C2CC2)n1 ZINC001032814476 754310456 /nfs/dbraw/zinc/31/04/56/754310456.db2.gz OFOUHGPDKKBKHA-STQMWFEESA-N 1 2 301.415 1.943 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(C2CC2)n1 ZINC001032814476 754310458 /nfs/dbraw/zinc/31/04/58/754310458.db2.gz OFOUHGPDKKBKHA-STQMWFEESA-N 1 2 301.415 1.943 20 30 DDEDLO Cc1nc(NC[C@@H]2CCC[C@@H]2NC(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001064075396 754485968 /nfs/dbraw/zinc/48/59/68/754485968.db2.gz DUALMSMCGRDPCZ-KBPBESRZSA-N 1 2 324.388 1.995 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCOC2)C1 ZINC001079470134 755364004 /nfs/dbraw/zinc/36/40/04/755364004.db2.gz FTSDFTKAHFQWEV-ADEWGFFLSA-N 1 2 317.227 1.368 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCOC2)C1 ZINC001079470134 755364006 /nfs/dbraw/zinc/36/40/06/755364006.db2.gz FTSDFTKAHFQWEV-ADEWGFFLSA-N 1 2 317.227 1.368 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2nccs2)C[C@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC001079588161 755462162 /nfs/dbraw/zinc/46/21/62/755462162.db2.gz ATFDNHWWGXYLIF-ZWNOBZJWSA-N 1 2 315.402 1.593 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2nccs2)C[C@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC001079588161 755462166 /nfs/dbraw/zinc/46/21/66/755462166.db2.gz ATFDNHWWGXYLIF-ZWNOBZJWSA-N 1 2 315.402 1.593 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001038631962 755569279 /nfs/dbraw/zinc/56/92/79/755569279.db2.gz MPKKYVAGMSLRJG-JSGCOSHPSA-N 1 2 302.378 1.089 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001038631962 755569282 /nfs/dbraw/zinc/56/92/82/755569282.db2.gz MPKKYVAGMSLRJG-JSGCOSHPSA-N 1 2 302.378 1.089 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001079791937 755575943 /nfs/dbraw/zinc/57/59/43/755575943.db2.gz UVZXBKHNAZJCGP-AWEZNQCLSA-N 1 2 318.421 1.860 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080033746 755693097 /nfs/dbraw/zinc/69/30/97/755693097.db2.gz PAXFNMNOSSYKJR-UHFFFAOYSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001080158482 755743664 /nfs/dbraw/zinc/74/36/64/755743664.db2.gz NRUSFCNJFSBICW-PBWLOKPWSA-N 1 2 310.825 1.599 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001080158482 755743665 /nfs/dbraw/zinc/74/36/65/755743665.db2.gz NRUSFCNJFSBICW-PBWLOKPWSA-N 1 2 310.825 1.599 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cccnc2N(C)C)C1 ZINC001080936187 756150586 /nfs/dbraw/zinc/15/05/86/756150586.db2.gz MIJPXMQOQZWDJC-BXUZGUMPSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cccnc2N(C)C)C1 ZINC001080936187 756150588 /nfs/dbraw/zinc/15/05/88/756150588.db2.gz MIJPXMQOQZWDJC-BXUZGUMPSA-N 1 2 322.840 1.950 20 30 DDEDLO N#CCN[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1c1ccccc1 ZINC001081203310 756248777 /nfs/dbraw/zinc/24/87/77/756248777.db2.gz CTJAIHQNOXFKDU-LSDHHAIUSA-N 1 2 309.373 1.060 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(o2)CCCC3)[C@H](OC)C1 ZINC001081786694 756459003 /nfs/dbraw/zinc/45/90/03/756459003.db2.gz IJMJPILLVVRWLC-CZUORRHYSA-N 1 2 302.374 1.221 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(o2)CCCC3)[C@H](OC)C1 ZINC001081786694 756459006 /nfs/dbraw/zinc/45/90/06/756459006.db2.gz IJMJPILLVVRWLC-CZUORRHYSA-N 1 2 302.374 1.221 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001082039229 756599967 /nfs/dbraw/zinc/59/99/67/756599967.db2.gz NVAARVFZIXSXEL-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2occ3c2CCCC3)[C@H](OC)C1 ZINC001082344304 756741203 /nfs/dbraw/zinc/74/12/03/756741203.db2.gz FOWLCDPGMQPTPZ-HZPDHXFCSA-N 1 2 316.401 1.611 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2occ3c2CCCC3)[C@H](OC)C1 ZINC001082344304 756741206 /nfs/dbraw/zinc/74/12/06/756741206.db2.gz FOWLCDPGMQPTPZ-HZPDHXFCSA-N 1 2 316.401 1.611 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C3CC3)cn2)[C@H](OC)C1 ZINC001082350985 756749702 /nfs/dbraw/zinc/74/97/02/756749702.db2.gz WDMPVMTYSDGPHK-IAGOWNOFSA-N 1 2 313.401 1.411 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C3CC3)cn2)[C@H](OC)C1 ZINC001082350985 756749707 /nfs/dbraw/zinc/74/97/07/756749707.db2.gz WDMPVMTYSDGPHK-IAGOWNOFSA-N 1 2 313.401 1.411 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cnc(CC)s2)[C@H](OC)C1 ZINC001082393219 756767328 /nfs/dbraw/zinc/76/73/28/756767328.db2.gz XKLCMGVRWHAYFW-VXGBXAGGSA-N 1 2 307.419 1.158 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cnc(CC)s2)[C@H](OC)C1 ZINC001082393219 756767331 /nfs/dbraw/zinc/76/73/31/756767331.db2.gz XKLCMGVRWHAYFW-VXGBXAGGSA-N 1 2 307.419 1.158 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)Cc1nc(Cl)cc(Cl)n1 ZINC001164652399 756856639 /nfs/dbraw/zinc/85/66/39/756856639.db2.gz QZYCZFMYCHMEAG-SSDOTTSWSA-N 1 2 322.146 1.716 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCO[C@@H]2C[N@H+](Cc3cccc(F)c3)C[C@@H]21 ZINC001083026889 757065753 /nfs/dbraw/zinc/06/57/53/757065753.db2.gz QXOZVZOBEAKDAH-UHOFOFEASA-N 1 2 317.364 1.397 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCO[C@@H]2C[N@@H+](Cc3cccc(F)c3)C[C@@H]21 ZINC001083026889 757065756 /nfs/dbraw/zinc/06/57/56/757065756.db2.gz QXOZVZOBEAKDAH-UHOFOFEASA-N 1 2 317.364 1.397 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cncc4[nH]ccc43)[C@H]2C1 ZINC001083130847 757145222 /nfs/dbraw/zinc/14/52/22/757145222.db2.gz LZWFHWGBXQKYNA-DLBZAZTESA-N 1 2 324.384 1.111 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cncc4[nH]ccc43)[C@H]2C1 ZINC001083130847 757145224 /nfs/dbraw/zinc/14/52/24/757145224.db2.gz LZWFHWGBXQKYNA-DLBZAZTESA-N 1 2 324.384 1.111 20 30 DDEDLO CCc1cc(N2CCCC[C@H]2CNC(=O)[C@@H](C)C#N)nc(C)[nH+]1 ZINC001097416319 757162112 /nfs/dbraw/zinc/16/21/12/757162112.db2.gz BXSMSGCYESLGSO-WFASDCNBSA-N 1 2 315.421 1.982 20 30 DDEDLO CCc1cc(N2CCCC[C@@H]2CNC(=O)[C@H](C)C#N)nc(C)[nH+]1 ZINC001097416317 757162230 /nfs/dbraw/zinc/16/22/30/757162230.db2.gz BXSMSGCYESLGSO-IUODEOHRSA-N 1 2 315.421 1.982 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(CCC)cc3)[C@H]2C1 ZINC001083178985 757183250 /nfs/dbraw/zinc/18/32/50/757183250.db2.gz YSNYOEYKUAVGSJ-ZWKOTPCHSA-N 1 2 312.413 1.798 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(CCC)cc3)[C@H]2C1 ZINC001083178985 757183260 /nfs/dbraw/zinc/18/32/60/757183260.db2.gz YSNYOEYKUAVGSJ-ZWKOTPCHSA-N 1 2 312.413 1.798 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccnn3CC)[C@@H]2C1 ZINC001084301278 757472960 /nfs/dbraw/zinc/47/29/60/757472960.db2.gz GOLYRFMKSPVJND-TZMCWYRMSA-N 1 2 308.813 1.802 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccnn3CC)[C@@H]2C1 ZINC001084301278 757472963 /nfs/dbraw/zinc/47/29/63/757472963.db2.gz GOLYRFMKSPVJND-TZMCWYRMSA-N 1 2 308.813 1.802 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@@H]2CN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001084333989 757495885 /nfs/dbraw/zinc/49/58/85/757495885.db2.gz KCBDFEXDOQMERA-NVXWUHKLSA-N 1 2 310.401 1.231 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@@H]2CN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001084333989 757495895 /nfs/dbraw/zinc/49/58/95/757495895.db2.gz KCBDFEXDOQMERA-NVXWUHKLSA-N 1 2 310.401 1.231 20 30 DDEDLO N#CCN1CCC[C@H](NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001052700434 757662649 /nfs/dbraw/zinc/66/26/49/757662649.db2.gz MCNTZZUWYLCFPU-QWHCGFSZSA-N 1 2 301.394 1.324 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001052729379 757695690 /nfs/dbraw/zinc/69/56/90/757695690.db2.gz NOBOEDVPTJHQIN-LSDHHAIUSA-N 1 2 318.421 1.450 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C(C)C)[nH]n3)[C@@H]2C1 ZINC001084677685 757764640 /nfs/dbraw/zinc/76/46/40/757764640.db2.gz YULMQTJDHDMVIA-CZUORRHYSA-N 1 2 300.406 1.703 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C(C)C)[nH]n3)[C@@H]2C1 ZINC001084677685 757764645 /nfs/dbraw/zinc/76/46/45/757764645.db2.gz YULMQTJDHDMVIA-CZUORRHYSA-N 1 2 300.406 1.703 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)o1 ZINC001017421141 757917137 /nfs/dbraw/zinc/91/71/37/757917137.db2.gz CISQHWSVGVHWEN-HONMWMINSA-N 1 2 302.378 1.626 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)o1 ZINC001017421141 757917142 /nfs/dbraw/zinc/91/71/42/757917142.db2.gz CISQHWSVGVHWEN-HONMWMINSA-N 1 2 302.378 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cn3ccccc3=O)[C@@H]2C1 ZINC001084822391 757948980 /nfs/dbraw/zinc/94/89/80/757948980.db2.gz XIWOKVIVLOSFBE-ZIAGYGMSSA-N 1 2 321.808 1.134 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cn3ccccc3=O)[C@@H]2C1 ZINC001084822391 757948983 /nfs/dbraw/zinc/94/89/83/757948983.db2.gz XIWOKVIVLOSFBE-ZIAGYGMSSA-N 1 2 321.808 1.134 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3cn(C)nn3)[C@@H]2C1 ZINC001084871591 758005022 /nfs/dbraw/zinc/00/50/22/758005022.db2.gz UKXAQUGEBTVPOB-TZMCWYRMSA-N 1 2 323.828 1.033 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3cn(C)nn3)[C@@H]2C1 ZINC001084871591 758005033 /nfs/dbraw/zinc/00/50/33/758005033.db2.gz UKXAQUGEBTVPOB-TZMCWYRMSA-N 1 2 323.828 1.033 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1CC ZINC001017641003 758102932 /nfs/dbraw/zinc/10/29/32/758102932.db2.gz DFTTXLNFPJSXHC-OKILXGFUSA-N 1 2 300.406 1.295 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1CC ZINC001017641003 758102940 /nfs/dbraw/zinc/10/29/40/758102940.db2.gz DFTTXLNFPJSXHC-OKILXGFUSA-N 1 2 300.406 1.295 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2cncn2C1 ZINC001017681749 758150403 /nfs/dbraw/zinc/15/04/03/758150403.db2.gz RHXGBRIIDGURRX-BHYGNILZSA-N 1 2 312.417 1.144 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2cncn2C1 ZINC001017681749 758150407 /nfs/dbraw/zinc/15/04/07/758150407.db2.gz RHXGBRIIDGURRX-BHYGNILZSA-N 1 2 312.417 1.144 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C1C=CC=CC=C1)CCO2 ZINC001053273590 758329346 /nfs/dbraw/zinc/32/93/46/758329346.db2.gz ZOCJORFIVXHHAA-UHFFFAOYSA-N 1 2 300.402 1.774 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C=C(CC)CC)CC2=O)C1 ZINC001108532971 762626577 /nfs/dbraw/zinc/62/65/77/762626577.db2.gz OLPBHRPPEAKZKU-HNNXBMFYSA-N 1 2 319.449 1.710 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-c2ccco2)n[nH]1 ZINC001017985395 758442195 /nfs/dbraw/zinc/44/21/95/758442195.db2.gz FOFNMCIFSNTJCP-OKILXGFUSA-N 1 2 324.384 1.982 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-c2ccco2)n[nH]1 ZINC001017985395 758442199 /nfs/dbraw/zinc/44/21/99/758442199.db2.gz FOFNMCIFSNTJCP-OKILXGFUSA-N 1 2 324.384 1.982 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(CC)nn1C)CCO2 ZINC001053481810 758490722 /nfs/dbraw/zinc/49/07/22/758490722.db2.gz HTNVQBNDVNDKEK-UHFFFAOYSA-N 1 2 318.421 1.085 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cn(C)nc1Cl)CCO2 ZINC001053506225 758511859 /nfs/dbraw/zinc/51/18/59/758511859.db2.gz WMWFDRHBADNALJ-UHFFFAOYSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cncnc1CC)CCO2 ZINC001053533278 758539467 /nfs/dbraw/zinc/53/94/67/758539467.db2.gz DJWLCKYRYGVRKY-UHFFFAOYSA-N 1 2 316.405 1.142 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@H](CCC)C1 ZINC001018106528 758552727 /nfs/dbraw/zinc/55/27/27/758552727.db2.gz BXPMFVCRVHZLTR-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@H](CCC)C1 ZINC001018106528 758552731 /nfs/dbraw/zinc/55/27/31/758552731.db2.gz BXPMFVCRVHZLTR-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnsn1)O2 ZINC001053575508 758585888 /nfs/dbraw/zinc/58/58/88/758585888.db2.gz XUVMUEPPQSYVCP-LLVKDONJSA-N 1 2 308.407 1.077 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)C1CC(OC)C1)O2 ZINC001053613790 758633175 /nfs/dbraw/zinc/63/31/75/758633175.db2.gz ANPVRJJDGXLECJ-SHARSMKWSA-N 1 2 308.422 1.337 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccccc1CC ZINC001018234447 758657000 /nfs/dbraw/zinc/65/70/00/758657000.db2.gz QRUGWYMPJAYNIQ-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccccc1CC ZINC001018234447 758657003 /nfs/dbraw/zinc/65/70/03/758657003.db2.gz QRUGWYMPJAYNIQ-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C(C)C)C2)CC1 ZINC001065687374 758681914 /nfs/dbraw/zinc/68/19/14/758681914.db2.gz ZJKQQKCPMQTGDV-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccoc1Cl)CO2 ZINC001053786679 758811292 /nfs/dbraw/zinc/81/12/92/758811292.db2.gz LYKRMESSFDGAIL-LLVKDONJSA-N 1 2 308.765 1.529 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)cs1)CO2 ZINC001053797132 758824460 /nfs/dbraw/zinc/82/44/60/758824460.db2.gz UZCCZDYVBGXGDD-CYBMUJFWSA-N 1 2 304.415 1.653 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnn(CCF)c1)CO2 ZINC001053852465 758884212 /nfs/dbraw/zinc/88/42/12/758884212.db2.gz LMNMTUSYXKKNNF-AWEZNQCLSA-N 1 2 322.384 1.002 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc3[nH]ccc3n1)CO2 ZINC001053870248 758903601 /nfs/dbraw/zinc/90/36/01/758903601.db2.gz GMMSOJSQQAGCDM-CYBMUJFWSA-N 1 2 324.384 1.159 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc3n[nH]cc31)CO2 ZINC001053888331 758922191 /nfs/dbraw/zinc/92/21/91/758922191.db2.gz GNRHXUBUEDCJKU-ZDUSSCGKSA-N 1 2 324.384 1.159 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2COC3(C[NH+](CCOC)C3)C2)CC1 ZINC001053914403 758948958 /nfs/dbraw/zinc/94/89/58/758948958.db2.gz MLRJIVGHHMLFHO-HNNXBMFYSA-N 1 2 308.422 1.339 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)nn1CC)CO2 ZINC001053936337 758972685 /nfs/dbraw/zinc/97/26/85/758972685.db2.gz JJNOIYKXWSHIJV-CQSZACIVSA-N 1 2 318.421 1.361 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)[nH]c1C)CO2 ZINC001053939477 758975415 /nfs/dbraw/zinc/97/54/15/758975415.db2.gz LQUZUEMYSZXIOP-AWEZNQCLSA-N 1 2 303.406 1.781 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc3c([nH]1)CCC3)CO2 ZINC001053979785 759019118 /nfs/dbraw/zinc/01/91/18/759019118.db2.gz XCRJZRWNBGABEO-CQSZACIVSA-N 1 2 313.401 1.100 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccccc1OC)CO2 ZINC001053988716 759032316 /nfs/dbraw/zinc/03/23/16/759032316.db2.gz OLBORFFDROXZFF-CQSZACIVSA-N 1 2 316.401 1.844 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC(=O)N(C2C[NH+](CC=C(C)C)C2)C1 ZINC001108559546 762680732 /nfs/dbraw/zinc/68/07/32/762680732.db2.gz CCWBUWSJDDBROW-AWEZNQCLSA-N 1 2 319.449 1.566 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnc(C)[nH]1 ZINC001054035397 759090515 /nfs/dbraw/zinc/09/05/15/759090515.db2.gz LVOFTCCNQUPBIU-INIZCTEOSA-N 1 2 308.385 1.508 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnc(C)[nH]1 ZINC001054035397 759090524 /nfs/dbraw/zinc/09/05/24/759090524.db2.gz LVOFTCCNQUPBIU-INIZCTEOSA-N 1 2 308.385 1.508 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099963053 759262652 /nfs/dbraw/zinc/26/26/52/759262652.db2.gz YKHTXCNKIPNFML-LSDHHAIUSA-N 1 2 321.446 1.388 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099963053 759262659 /nfs/dbraw/zinc/26/26/59/759262659.db2.gz YKHTXCNKIPNFML-LSDHHAIUSA-N 1 2 321.446 1.388 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3c(C)cnn3C)cc2C1 ZINC001054276958 759383047 /nfs/dbraw/zinc/38/30/47/759383047.db2.gz CYWNYXZYBNGOTA-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3c(C)cnn3C)cc2C1 ZINC001054276958 759383056 /nfs/dbraw/zinc/38/30/56/759383056.db2.gz CYWNYXZYBNGOTA-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C=C/C(C)=C\CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131046775 767855535 /nfs/dbraw/zinc/85/55/35/767855535.db2.gz VJINQFCMSKDKMN-RZAHBXAWSA-N 1 2 313.405 1.350 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cncs1)C(=O)c1ccc(C#N)[nH]1 ZINC001085553568 759683135 /nfs/dbraw/zinc/68/31/35/759683135.db2.gz OTQBFSTTWMYXRT-GFCCVEGCSA-N 1 2 315.402 1.689 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cncs1)C(=O)c1ccc(C#N)[nH]1 ZINC001085553568 759683141 /nfs/dbraw/zinc/68/31/41/759683141.db2.gz OTQBFSTTWMYXRT-GFCCVEGCSA-N 1 2 315.402 1.689 20 30 DDEDLO CCC(CC)CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131103396 767883154 /nfs/dbraw/zinc/88/31/54/767883154.db2.gz HWBLWDFCUAWXRR-HUUCEWRRSA-N 1 2 317.437 1.654 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1CNc1ccc(C#N)cn1 ZINC001054574360 759832667 /nfs/dbraw/zinc/83/26/67/759832667.db2.gz WNUVLEAQMFOLKA-TZMCWYRMSA-N 1 2 324.388 1.425 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccc(OC)nn2)C1 ZINC001046759472 767898196 /nfs/dbraw/zinc/89/81/96/767898196.db2.gz ZNCDLIMNMOYXLK-AWEZNQCLSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccc(OC)nn2)C1 ZINC001046759472 767898202 /nfs/dbraw/zinc/89/82/02/767898202.db2.gz ZNCDLIMNMOYXLK-AWEZNQCLSA-N 1 2 310.785 1.432 20 30 DDEDLO Cc1nc(N[C@@H](C)CNC(=O)Cc2c[nH+]cn2C)ccc1C#N ZINC001097955404 759983361 /nfs/dbraw/zinc/98/33/61/759983361.db2.gz KSCZOSRDYYGJEL-NSHDSACASA-N 1 2 312.377 1.155 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001046771560 767910503 /nfs/dbraw/zinc/91/05/03/767910503.db2.gz NKXATCWGZHAXEN-XHDPSFHLSA-N 1 2 323.828 1.243 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001046771560 767910504 /nfs/dbraw/zinc/91/05/04/767910504.db2.gz NKXATCWGZHAXEN-XHDPSFHLSA-N 1 2 323.828 1.243 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@@H+](Cc3ccn(C)n3)C2)nc1 ZINC001046781700 767921198 /nfs/dbraw/zinc/92/11/98/767921198.db2.gz MOJWJSPOJZSAAL-GOSISDBHSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@H+](Cc3ccn(C)n3)C2)nc1 ZINC001046781700 767921201 /nfs/dbraw/zinc/92/12/01/767921201.db2.gz MOJWJSPOJZSAAL-GOSISDBHSA-N 1 2 323.400 1.191 20 30 DDEDLO C[C@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C[C@@H]1NCC#N ZINC001054941645 760209226 /nfs/dbraw/zinc/20/92/26/760209226.db2.gz NSIISRLHNHTZFD-BBRMVZONSA-N 1 2 309.373 1.446 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-n2cccn2)ccn1 ZINC001085813868 760290123 /nfs/dbraw/zinc/29/01/23/760290123.db2.gz LJCLFUMINCMKLZ-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-n2cccn2)ccn1 ZINC001085813868 760290131 /nfs/dbraw/zinc/29/01/31/760290131.db2.gz LJCLFUMINCMKLZ-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1noc2c1CCCCC2 ZINC001085849228 760355568 /nfs/dbraw/zinc/35/55/68/760355568.db2.gz RWGNKBWAUXTGGP-CYBMUJFWSA-N 1 2 301.390 1.723 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1noc2c1CCCCC2 ZINC001085849228 760355574 /nfs/dbraw/zinc/35/55/74/760355574.db2.gz RWGNKBWAUXTGGP-CYBMUJFWSA-N 1 2 301.390 1.723 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CN(C)C(=O)c1sc(COC)nc1C ZINC001085946885 760583199 /nfs/dbraw/zinc/58/31/99/760583199.db2.gz UTUFCRIIVBPNON-LBPRGKRZSA-N 1 2 309.435 1.930 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1sc(COC)nc1C ZINC001085946885 760583207 /nfs/dbraw/zinc/58/32/07/760583207.db2.gz UTUFCRIIVBPNON-LBPRGKRZSA-N 1 2 309.435 1.930 20 30 DDEDLO C#CC[N@H+]1CC[C@@](C)(NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001046849506 767980880 /nfs/dbraw/zinc/98/08/80/767980880.db2.gz OPDRCINHALARJN-QGZVFWFLSA-N 1 2 318.357 1.762 20 30 DDEDLO C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001046849506 767980887 /nfs/dbraw/zinc/98/08/87/767980887.db2.gz OPDRCINHALARJN-QGZVFWFLSA-N 1 2 318.357 1.762 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2Cc3ccccc3C2)C1 ZINC001108239126 760861406 /nfs/dbraw/zinc/86/14/06/760861406.db2.gz YKWMTFZOJWQCAN-LJQANCHMSA-N 1 2 314.429 1.795 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2Cc3ccccc3C2)C1 ZINC001108239126 760861414 /nfs/dbraw/zinc/86/14/14/760861414.db2.gz YKWMTFZOJWQCAN-LJQANCHMSA-N 1 2 314.429 1.795 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2CC[N@H+]2CCC(F)(F)F)c[nH]1 ZINC001038175821 760889620 /nfs/dbraw/zinc/88/96/20/760889620.db2.gz UAVBEZPVLDRHGJ-LLVKDONJSA-N 1 2 300.284 1.643 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2CCC(F)(F)F)c[nH]1 ZINC001038175821 760889632 /nfs/dbraw/zinc/88/96/32/760889632.db2.gz UAVBEZPVLDRHGJ-LLVKDONJSA-N 1 2 300.284 1.643 20 30 DDEDLO Cc1[nH]c(=O)[nH]c1C(=O)NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001038375223 761061882 /nfs/dbraw/zinc/06/18/82/761061882.db2.gz YNFXWUNYZJYGFL-HNNXBMFYSA-N 1 2 324.384 1.280 20 30 DDEDLO Cc1[nH]c(=O)[nH]c1C(=O)NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001038375223 761061890 /nfs/dbraw/zinc/06/18/90/761061890.db2.gz YNFXWUNYZJYGFL-HNNXBMFYSA-N 1 2 324.384 1.280 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC001038414682 761098225 /nfs/dbraw/zinc/09/82/25/761098225.db2.gz ZWFGNECXPZIKLI-KBPBESRZSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC001038414682 761098235 /nfs/dbraw/zinc/09/82/35/761098235.db2.gz ZWFGNECXPZIKLI-KBPBESRZSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1n[nH]c(C2CC2)c1Cl ZINC001038464697 761141627 /nfs/dbraw/zinc/14/16/27/761141627.db2.gz HWTOTYQBKHLTSX-LLVKDONJSA-N 1 2 306.797 1.768 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1n[nH]c(C2CC2)c1Cl ZINC001038464697 761141632 /nfs/dbraw/zinc/14/16/32/761141632.db2.gz HWTOTYQBKHLTSX-LLVKDONJSA-N 1 2 306.797 1.768 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2csc(C)n2)C1 ZINC001108257927 761239537 /nfs/dbraw/zinc/23/95/37/761239537.db2.gz OAVTXUISDQJLIC-INIZCTEOSA-N 1 2 323.462 1.777 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2csc(C)n2)C1 ZINC001108257927 761239542 /nfs/dbraw/zinc/23/95/42/761239542.db2.gz OAVTXUISDQJLIC-INIZCTEOSA-N 1 2 323.462 1.777 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@H+]2Cc2ocnc2C)c1 ZINC001038684510 761285391 /nfs/dbraw/zinc/28/53/91/761285391.db2.gz KDUOUWBQWRNSGC-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ocnc2C)c1 ZINC001038684510 761285396 /nfs/dbraw/zinc/28/53/96/761285396.db2.gz KDUOUWBQWRNSGC-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cn(C)nc1C ZINC001038714354 761317123 /nfs/dbraw/zinc/31/71/23/761317123.db2.gz NVYNBWQNHVWOEZ-XHSDSOJGSA-N 1 2 318.421 1.010 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cn(C)nc1C ZINC001038714354 761317132 /nfs/dbraw/zinc/31/71/32/761317132.db2.gz NVYNBWQNHVWOEZ-XHSDSOJGSA-N 1 2 318.421 1.010 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001069476302 768032328 /nfs/dbraw/zinc/03/23/28/768032328.db2.gz TWNCABUCOLCFGZ-ZFWWWQNUSA-N 1 2 324.388 1.547 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cn(CCC)nc2C)C1 ZINC001108301667 761871281 /nfs/dbraw/zinc/87/12/81/761871281.db2.gz VQAPYVJIFYRSCD-QGZVFWFLSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cn(CCC)nc2C)C1 ZINC001108301667 761871293 /nfs/dbraw/zinc/87/12/93/761871293.db2.gz VQAPYVJIFYRSCD-QGZVFWFLSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108574869 762721915 /nfs/dbraw/zinc/72/19/15/762721915.db2.gz CSNDQGMNOIDDFU-CQSZACIVSA-N 1 2 319.449 1.566 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108651707 762831782 /nfs/dbraw/zinc/83/17/82/762831782.db2.gz FUOMPBBDEJTYIT-NSHDSACASA-N 1 2 316.409 1.882 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@H](C)CC(C)C)CC1 ZINC001131391738 768110536 /nfs/dbraw/zinc/11/05/36/768110536.db2.gz XTBVOHJKYCGUQS-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@H](C)CC(C)C)CC1 ZINC001131391738 768110537 /nfs/dbraw/zinc/11/05/37/768110537.db2.gz XTBVOHJKYCGUQS-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(CCN(C(=O)CCc3cn[nH]n3)C2)C1 ZINC001041695918 763227079 /nfs/dbraw/zinc/22/70/79/763227079.db2.gz HINYZDBLUCDLGN-OAHLLOKOSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(CCN(C(=O)CCc3cn[nH]n3)C2)C1 ZINC001041695918 763227092 /nfs/dbraw/zinc/22/70/92/763227092.db2.gz HINYZDBLUCDLGN-OAHLLOKOSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(CCN(C(=O)CCc3c[nH]nn3)C2)C1 ZINC001041695918 763227100 /nfs/dbraw/zinc/22/71/00/763227100.db2.gz HINYZDBLUCDLGN-OAHLLOKOSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(CCN(C(=O)CCc3c[nH]nn3)C2)C1 ZINC001041695918 763227105 /nfs/dbraw/zinc/22/71/05/763227105.db2.gz HINYZDBLUCDLGN-OAHLLOKOSA-N 1 2 323.828 1.414 20 30 DDEDLO CC(C)[C@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109059096 763301835 /nfs/dbraw/zinc/30/18/35/763301835.db2.gz VUYOYERYBRPGIV-KBXIAJHMSA-N 1 2 320.437 1.030 20 30 DDEDLO CC(C)[C@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109059096 763301843 /nfs/dbraw/zinc/30/18/43/763301843.db2.gz VUYOYERYBRPGIV-KBXIAJHMSA-N 1 2 320.437 1.030 20 30 DDEDLO Cc1nnsc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109257751 763522424 /nfs/dbraw/zinc/52/24/24/763522424.db2.gz LOTFCZJGUQAFTH-RDBSUJKOSA-N 1 2 316.430 1.481 20 30 DDEDLO Cc1nnsc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109257751 763522430 /nfs/dbraw/zinc/52/24/30/763522430.db2.gz LOTFCZJGUQAFTH-RDBSUJKOSA-N 1 2 316.430 1.481 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@@H+](Cc3nnc(C)[nH]3)[C@@H]2C1 ZINC001042184810 763751349 /nfs/dbraw/zinc/75/13/49/763751349.db2.gz ZKNRRZZKSFLLIG-UONOGXRCSA-N 1 2 317.437 1.748 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@H+](Cc3nnc(C)[nH]3)[C@@H]2C1 ZINC001042184810 763751355 /nfs/dbraw/zinc/75/13/55/763751355.db2.gz ZKNRRZZKSFLLIG-UONOGXRCSA-N 1 2 317.437 1.748 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CC[NH+](Cc1nnc(C)[nH]1)CC2 ZINC001050617948 763828674 /nfs/dbraw/zinc/82/86/74/763828674.db2.gz QAMJIVNBSJRVQI-UHFFFAOYSA-N 1 2 317.437 1.750 20 30 DDEDLO C=CCCCC(=O)N(C)CCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001109600335 763849264 /nfs/dbraw/zinc/84/92/64/763849264.db2.gz PTXYDCHJNULOJG-UHFFFAOYSA-N 1 2 320.441 1.863 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@H]21 ZINC001042350686 763952169 /nfs/dbraw/zinc/95/21/69/763952169.db2.gz JGSOPRNTCWZMEU-BLLLJJGKSA-N 1 2 311.389 1.680 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@H]21 ZINC001042350686 763952174 /nfs/dbraw/zinc/95/21/74/763952174.db2.gz JGSOPRNTCWZMEU-BLLLJJGKSA-N 1 2 311.389 1.680 20 30 DDEDLO N#Cc1cccnc1NCC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001057545425 763957107 /nfs/dbraw/zinc/95/71/07/763957107.db2.gz XUSMSYYHZQWTII-UHFFFAOYSA-N 1 2 324.388 1.570 20 30 DDEDLO C[C@@H]1CC[C@H](C[NH+]2CC(N(C)C(=O)c3c[nH]c(C#N)c3)C2)O1 ZINC001042638466 764299091 /nfs/dbraw/zinc/29/90/91/764299091.db2.gz JMVQFUNEMKITAY-IAQYHMDHSA-N 1 2 302.378 1.210 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc2n(n1)CCCC2 ZINC001050973314 764368727 /nfs/dbraw/zinc/36/87/27/764368727.db2.gz FVTDJAXWFXSIRF-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc2n(n1)CCCC2 ZINC001050973314 764368735 /nfs/dbraw/zinc/36/87/35/764368735.db2.gz FVTDJAXWFXSIRF-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C[C@H]1CN(c2ccc(C#N)cn2)CC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067407229 764399915 /nfs/dbraw/zinc/39/99/15/764399915.db2.gz CYLRBIFVRMGBDE-GXTWGEPZSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(COC)o1 ZINC001051013418 764417344 /nfs/dbraw/zinc/41/73/44/764417344.db2.gz QBGHOZMESTWWKC-CYBMUJFWSA-N 1 2 308.378 1.433 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(COC)o1 ZINC001051013418 764417349 /nfs/dbraw/zinc/41/73/49/764417349.db2.gz QBGHOZMESTWWKC-CYBMUJFWSA-N 1 2 308.378 1.433 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnc2n1CCCC2 ZINC001051080931 764485123 /nfs/dbraw/zinc/48/51/23/764485123.db2.gz FHZMXFRGXCJLLZ-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnc2n1CCCC2 ZINC001051080931 764485128 /nfs/dbraw/zinc/48/51/28/764485128.db2.gz FHZMXFRGXCJLLZ-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2COCC[N@H+]2CCC(=C)C)c1 ZINC001051107369 764511180 /nfs/dbraw/zinc/51/11/80/764511180.db2.gz SJZZKXVDNADXBS-QGZVFWFLSA-N 1 2 313.401 1.460 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2COCC[N@@H+]2CCC(=C)C)c1 ZINC001051107369 764511187 /nfs/dbraw/zinc/51/11/87/764511187.db2.gz SJZZKXVDNADXBS-QGZVFWFLSA-N 1 2 313.401 1.460 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnn(-c3cccnc3)c2)C1 ZINC001042912961 764522810 /nfs/dbraw/zinc/52/28/10/764522810.db2.gz ZDAHQDPZABSYAK-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-n3cncn3)cc2)C1 ZINC001042979568 764564427 /nfs/dbraw/zinc/56/44/27/764564427.db2.gz MWCLPSYCNRMJPA-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncoc1C(C)(C)C ZINC001051204451 764616413 /nfs/dbraw/zinc/61/64/13/764616413.db2.gz WTLMUJVJPVQVPD-ZDUSSCGKSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncoc1C(C)(C)C ZINC001051204451 764616421 /nfs/dbraw/zinc/61/64/21/764616421.db2.gz WTLMUJVJPVQVPD-ZDUSSCGKSA-N 1 2 321.421 1.979 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CCC2CC2)nc1 ZINC001051212211 764626277 /nfs/dbraw/zinc/62/62/77/764626277.db2.gz ABYMWTQUFQYTIP-INIZCTEOSA-N 1 2 313.401 1.294 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CCC2CC2)nc1 ZINC001051212211 764626283 /nfs/dbraw/zinc/62/62/83/764626283.db2.gz ABYMWTQUFQYTIP-INIZCTEOSA-N 1 2 313.401 1.294 20 30 DDEDLO C=CCOc1ccccc1C(=O)N(C)C1C[NH+](CCCO)C1 ZINC001043117367 764683543 /nfs/dbraw/zinc/68/35/43/764683543.db2.gz JNXXVRGLTWNDQJ-UHFFFAOYSA-N 1 2 304.390 1.390 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnc3ccccn3c2=O)C1 ZINC001043116655 764683896 /nfs/dbraw/zinc/68/38/96/764683896.db2.gz WXHRESRBHYZJOH-UHFFFAOYSA-N 1 2 312.373 1.027 20 30 DDEDLO C#CC[N@H+]1CCc2c(CNC(=O)C3=NC(=O)N(C)C3)cccc2C1 ZINC001051298472 764722652 /nfs/dbraw/zinc/72/26/52/764722652.db2.gz SBCJHGAIULFAJF-UHFFFAOYSA-N 1 2 324.384 1.047 20 30 DDEDLO C#CC[N@@H+]1CCc2c(CNC(=O)C3=NC(=O)N(C)C3)cccc2C1 ZINC001051298472 764722655 /nfs/dbraw/zinc/72/26/55/764722655.db2.gz SBCJHGAIULFAJF-UHFFFAOYSA-N 1 2 324.384 1.047 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](CCc2csc(C)n2)CC1 ZINC001112814945 764803615 /nfs/dbraw/zinc/80/36/15/764803615.db2.gz YWIYJIRPBAYFSB-INIZCTEOSA-N 1 2 323.462 1.465 20 30 DDEDLO Cn1ccc(C[NH+]2CCN(C(=O)C#CC(C)(C)C)CC2)cc1=O ZINC001112832355 764825624 /nfs/dbraw/zinc/82/56/24/764825624.db2.gz RVZNBXXOPFJXNP-UHFFFAOYSA-N 1 2 315.417 1.079 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C(F)(F)F)ncn2)C1 ZINC001043330141 764840087 /nfs/dbraw/zinc/84/00/87/764840087.db2.gz MUYYZQUMYRDGMI-UHFFFAOYSA-N 1 2 312.295 1.275 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC(CNc2cc[nH+]c(C)n2)C1 ZINC001112845429 764858836 /nfs/dbraw/zinc/85/88/36/764858836.db2.gz HPDTWICRPUEJPR-XGNXJENSSA-N 1 2 304.394 1.683 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001051460998 764905724 /nfs/dbraw/zinc/90/57/24/764905724.db2.gz XQEIMRIQOOVQNH-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCC1(C(=O)N2CC[NH+](CCOCC3CC3)CC2)CCC1 ZINC001112951227 765036799 /nfs/dbraw/zinc/03/67/99/765036799.db2.gz MMQNNSVAVAWSFI-UHFFFAOYSA-N 1 2 304.434 1.751 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ncc(C)cc2OC)CC1 ZINC001112972138 765065835 /nfs/dbraw/zinc/06/58/35/765065835.db2.gz HIVBJWBZLLRZHD-UHFFFAOYSA-N 1 2 319.405 1.359 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C2C[NH+](C[C@@H]3CCCCO3)C2)c1 ZINC001043819669 765114776 /nfs/dbraw/zinc/11/47/76/765114776.db2.gz BKEDJPCERWRZED-KRWDZBQOSA-N 1 2 313.401 1.388 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(N3CC=CC3)cc2)C1 ZINC001043843156 765126886 /nfs/dbraw/zinc/12/68/86/765126886.db2.gz JVIIOYLKEJJLJP-UHFFFAOYSA-N 1 2 309.413 1.842 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c[nH]nc2CCC)CC1 ZINC001113057622 765192990 /nfs/dbraw/zinc/19/29/90/765192990.db2.gz ZIYCWMKOFBHGIE-UHFFFAOYSA-N 1 2 306.410 1.323 20 30 DDEDLO C[C@@H](Nc1cccc(F)c1C#N)[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001113082255 765235796 /nfs/dbraw/zinc/23/57/96/765235796.db2.gz PWJPUFAWFNIFGL-NEPJUHHUSA-N 1 2 315.352 1.899 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(-n3cccn3)c2)C1 ZINC001044051561 765257023 /nfs/dbraw/zinc/25/70/23/765257023.db2.gz OYYZQMGPAFGXQJ-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H](C)C3CC3)C2)CC1 ZINC001052006183 765373022 /nfs/dbraw/zinc/37/30/22/765373022.db2.gz NBVSYIQDCAVYBF-NVXWUHKLSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H](CC)SC)C2)CC1 ZINC001052015602 765385670 /nfs/dbraw/zinc/38/56/70/765385670.db2.gz SHFGRPSLANMHPH-CVEARBPZSA-N 1 2 323.506 1.370 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@@H]3CC[C@@H](C)O3)C2)nc1 ZINC001044202631 765392651 /nfs/dbraw/zinc/39/26/51/765392651.db2.gz ACSSXPJHIWVROG-CJNGLKHVSA-N 1 2 313.401 1.387 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccoc3CC)C2)CC1 ZINC001052023593 765398966 /nfs/dbraw/zinc/39/89/66/765398966.db2.gz UWQIZPLXHJVABF-HNNXBMFYSA-N 1 2 317.433 1.860 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(C4CC4)CC3)C2)CC1 ZINC001052040524 765412632 /nfs/dbraw/zinc/41/26/32/765412632.db2.gz CDXVROKYAFDPNI-KRWDZBQOSA-N 1 2 315.461 1.418 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@]34C[C@H]3CCC4)C2)CC1 ZINC001052055934 765428036 /nfs/dbraw/zinc/42/80/36/765428036.db2.gz RGTSOAJOLRBUEM-ZHALLVOQSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3cc(C)co3)C2)CC1 ZINC001052067122 765440763 /nfs/dbraw/zinc/44/07/63/765440763.db2.gz FCINSCHPQQATEN-HNNXBMFYSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3cc(C)co3)C2)CC1 ZINC001052067122 765440770 /nfs/dbraw/zinc/44/07/70/765440770.db2.gz FCINSCHPQQATEN-HNNXBMFYSA-N 1 2 301.390 1.053 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)Cc3ccco3)C2)CC1 ZINC001052099482 765470033 /nfs/dbraw/zinc/47/00/33/765470033.db2.gz KJNUOYMGTCCMIT-INIZCTEOSA-N 1 2 317.433 1.617 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2CCCCN2C(=O)CCC)C1 ZINC001044328294 765485805 /nfs/dbraw/zinc/48/58/05/765485805.db2.gz WYMSTSBYNINIMS-INIZCTEOSA-N 1 2 319.449 1.334 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ncccc2O)C1 ZINC001086585107 765508415 /nfs/dbraw/zinc/50/84/15/765508415.db2.gz FZVIZUYLFQIKRO-JKSUJKDBSA-N 1 2 321.380 1.618 20 30 DDEDLO C#CC[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ncccc2O)C1 ZINC001086585107 765508427 /nfs/dbraw/zinc/50/84/27/765508427.db2.gz FZVIZUYLFQIKRO-JKSUJKDBSA-N 1 2 321.380 1.618 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc(-n3ccnn3)c2)C1 ZINC001044374736 765518393 /nfs/dbraw/zinc/51/83/93/765518393.db2.gz WVFWVYHGUAHTHI-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001057760255 765801676 /nfs/dbraw/zinc/80/16/76/765801676.db2.gz MISLYYSFRSMKRM-ZNMIVQPWSA-N 1 2 316.405 1.779 20 30 DDEDLO C[C@H]1C[C@@H](NCC#N)CCN1C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001044808524 765827236 /nfs/dbraw/zinc/82/72/36/765827236.db2.gz MIJWQKLEIRJOBN-KBPBESRZSA-N 1 2 324.388 1.374 20 30 DDEDLO N#Cc1ccc([N+](=O)[O-])c(NCC2([NH+]3CCCC3)COC2)n1 ZINC001157654796 766041798 /nfs/dbraw/zinc/04/17/98/766041798.db2.gz IHOPTLFQIYSOHI-UHFFFAOYSA-N 1 2 303.322 1.138 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC001045030437 766048607 /nfs/dbraw/zinc/04/86/07/766048607.db2.gz FOTKIEKGTYASQW-AWEZNQCLSA-N 1 2 316.405 1.687 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)N(C)c1[nH+]cnc2c1cnn2C ZINC001113731015 766070952 /nfs/dbraw/zinc/07/09/52/766070952.db2.gz SHJIRSOMNJWSLM-LBPRGKRZSA-N 1 2 316.409 1.661 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113789208 766140325 /nfs/dbraw/zinc/14/03/25/766140325.db2.gz ZJNLYVOIXHVYFQ-DYVFJYSZSA-N 1 2 320.437 1.955 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCC[C@H]2NC(=O)Cn2cc[nH+]c2)cn1 ZINC001045512377 766367492 /nfs/dbraw/zinc/36/74/92/766367492.db2.gz XCJVOLPMKSOVPY-HZPDHXFCSA-N 1 2 324.388 1.689 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CCC)no3)C[C@H]21 ZINC001114005516 766524340 /nfs/dbraw/zinc/52/43/40/766524340.db2.gz RSQZCIZSKYKJDC-ATCWAGBWSA-N 1 2 304.394 1.535 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CCC)no3)C[C@H]21 ZINC001114005516 766524343 /nfs/dbraw/zinc/52/43/43/766524343.db2.gz RSQZCIZSKYKJDC-ATCWAGBWSA-N 1 2 304.394 1.535 20 30 DDEDLO N#Cc1ccc(N(CCCNC(=O)Cn2cc[nH+]c2)C2CC2)nc1 ZINC001096041714 766532895 /nfs/dbraw/zinc/53/28/95/766532895.db2.gz AZRMHKXLTMITBV-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001114063270 766600278 /nfs/dbraw/zinc/60/02/78/766600278.db2.gz ACERRQKEARMJPM-APAOZMKASA-N 1 2 300.362 1.258 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001114063270 766600286 /nfs/dbraw/zinc/60/02/86/766600286.db2.gz ACERRQKEARMJPM-APAOZMKASA-N 1 2 300.362 1.258 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nc(CC)no3)C[C@H]21 ZINC001114201877 766761412 /nfs/dbraw/zinc/76/14/12/766761412.db2.gz WQOSXWHBKUDMTJ-FOCJUVANSA-N 1 2 316.405 1.543 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nc(CC)no3)C[C@H]21 ZINC001114201877 766761414 /nfs/dbraw/zinc/76/14/14/766761414.db2.gz WQOSXWHBKUDMTJ-FOCJUVANSA-N 1 2 316.405 1.543 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)C ZINC001114237519 766802260 /nfs/dbraw/zinc/80/22/60/766802260.db2.gz NOAXIMVTTUSWAO-IMRBUKKESA-N 1 2 311.223 1.601 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)C ZINC001114237519 766802268 /nfs/dbraw/zinc/80/22/68/766802268.db2.gz NOAXIMVTTUSWAO-IMRBUKKESA-N 1 2 311.223 1.601 20 30 DDEDLO N#Cc1ccc(N(CCCNC(=O)Cc2c[nH]c[nH+]2)C2CC2)nc1 ZINC001096080913 767162405 /nfs/dbraw/zinc/16/24/05/767162405.db2.gz ISRZWRKWYFMLJW-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cccn3nnnc23)C1 ZINC001046342907 767444110 /nfs/dbraw/zinc/44/41/10/767444110.db2.gz XEICPMMRKUBSOI-CQSZACIVSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cccn3nnnc23)C1 ZINC001046342907 767444113 /nfs/dbraw/zinc/44/41/13/767444113.db2.gz XEICPMMRKUBSOI-CQSZACIVSA-N 1 2 320.784 1.071 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[NH2+]Cc1cnsn1 ZINC001130374325 767473199 /nfs/dbraw/zinc/47/31/99/767473199.db2.gz NWXSCGLWIFFCHM-UHFFFAOYSA-N 1 2 318.402 1.623 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001046382502 767493711 /nfs/dbraw/zinc/49/37/11/767493711.db2.gz TWYUFDDCNKWUPI-CQSZACIVSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001046382502 767493713 /nfs/dbraw/zinc/49/37/13/767493713.db2.gz TWYUFDDCNKWUPI-CQSZACIVSA-N 1 2 320.784 1.300 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)[C@@H]2C)cc[nH+]1 ZINC001068804334 767624920 /nfs/dbraw/zinc/62/49/20/767624920.db2.gz CKVOTZYUZUXQOT-QMTHXVAHSA-N 1 2 310.361 1.700 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001046625984 767749627 /nfs/dbraw/zinc/74/96/27/767749627.db2.gz MTLUZOOMWFEOIM-CQSZACIVSA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001046625984 767749633 /nfs/dbraw/zinc/74/96/33/767749633.db2.gz MTLUZOOMWFEOIM-CQSZACIVSA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001046634861 767760323 /nfs/dbraw/zinc/76/03/23/767760323.db2.gz JGCULHVJIPYTJP-IOASZLSFSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001046634861 767760327 /nfs/dbraw/zinc/76/03/27/767760327.db2.gz JGCULHVJIPYTJP-IOASZLSFSA-N 1 2 322.840 1.857 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](C)N(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001069064676 767813046 /nfs/dbraw/zinc/81/30/46/767813046.db2.gz COZRHRUMWLLHHX-GWCFXTLKSA-N 1 2 310.361 1.700 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccsc2)CC[C@@H]1C ZINC001131798251 768375833 /nfs/dbraw/zinc/37/58/33/768375833.db2.gz SPEHEZFXOOTWTB-JSGCOSHPSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccsc2)CC[C@@H]1C ZINC001131798251 768375840 /nfs/dbraw/zinc/37/58/40/768375840.db2.gz SPEHEZFXOOTWTB-JSGCOSHPSA-N 1 2 319.430 1.080 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CNC(=O)CCC)CC[C@H]1C ZINC001131862211 768419505 /nfs/dbraw/zinc/41/95/05/768419505.db2.gz SMNFDSFMSHDQBP-OLZOCXBDSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CNC(=O)CCC)CC[C@H]1C ZINC001131862211 768419507 /nfs/dbraw/zinc/41/95/07/768419507.db2.gz SMNFDSFMSHDQBP-OLZOCXBDSA-N 1 2 315.845 1.624 20 30 DDEDLO CN(C(=O)C1(C)CC1)[C@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047389790 768442764 /nfs/dbraw/zinc/44/27/64/768442764.db2.gz MPFQFPWRNFBICM-KBPBESRZSA-N 1 2 319.430 1.423 20 30 DDEDLO CN(C(=O)C1(C)CC1)[C@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047389790 768442768 /nfs/dbraw/zinc/44/27/68/768442768.db2.gz MPFQFPWRNFBICM-KBPBESRZSA-N 1 2 319.430 1.423 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001096219343 768592762 /nfs/dbraw/zinc/59/27/62/768592762.db2.gz XPWNXSPKPBLLEB-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2C(C)C)C1 ZINC001047637347 768627678 /nfs/dbraw/zinc/62/76/78/768627678.db2.gz VFRYKJJVFYCDKX-KBPBESRZSA-N 1 2 306.431 1.622 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2C(C)C)C1 ZINC001047637347 768627682 /nfs/dbraw/zinc/62/76/82/768627682.db2.gz VFRYKJJVFYCDKX-KBPBESRZSA-N 1 2 306.431 1.622 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CCC2CCCC2)CC1 ZINC001070934451 768794547 /nfs/dbraw/zinc/79/45/47/768794547.db2.gz FMFWPCVATUEAOJ-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CCC2CCCC2)CC1 ZINC001070934451 768794550 /nfs/dbraw/zinc/79/45/50/768794550.db2.gz FMFWPCVATUEAOJ-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2C(C)C)CC1 ZINC001071011577 768875798 /nfs/dbraw/zinc/87/57/98/768875798.db2.gz LIZJWLSETCTAOC-LSDHHAIUSA-N 1 2 307.438 1.115 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2C(C)C)CC1 ZINC001071011577 768875813 /nfs/dbraw/zinc/87/58/13/768875813.db2.gz LIZJWLSETCTAOC-LSDHHAIUSA-N 1 2 307.438 1.115 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2(CC)CCCC2)CC1 ZINC001071012861 768877018 /nfs/dbraw/zinc/87/70/18/768877018.db2.gz IHKAEWFWOIBQIA-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2(CC)CCCC2)CC1 ZINC001071012861 768877031 /nfs/dbraw/zinc/87/70/31/768877031.db2.gz IHKAEWFWOIBQIA-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2nnn(C)c2C)CC[C@H]1C ZINC001071404014 769033988 /nfs/dbraw/zinc/03/39/88/769033988.db2.gz HXWHSJBEIZMXCZ-ZYHUDNBSSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2nnn(C)c2C)CC[C@H]1C ZINC001071404014 769033998 /nfs/dbraw/zinc/03/39/98/769033998.db2.gz HXWHSJBEIZMXCZ-ZYHUDNBSSA-N 1 2 311.817 1.459 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(c2ccc(C)nn2)CC1 ZINC001096299595 769102931 /nfs/dbraw/zinc/10/29/31/769102931.db2.gz HMCXYKPAMFLGRK-UHFFFAOYSA-N 1 2 317.437 1.380 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001071214750 769148708 /nfs/dbraw/zinc/14/87/08/769148708.db2.gz BAVPLTWTFYDYAZ-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001071214750 769148714 /nfs/dbraw/zinc/14/87/14/769148714.db2.gz BAVPLTWTFYDYAZ-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cc(C)n[nH]2)CC[C@H]1C ZINC001071428075 769420516 /nfs/dbraw/zinc/42/05/16/769420516.db2.gz VYRJEHWXQJYKPF-CHWSQXEVSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cc(C)n[nH]2)CC[C@H]1C ZINC001071428075 769420521 /nfs/dbraw/zinc/42/05/21/769420521.db2.gz VYRJEHWXQJYKPF-CHWSQXEVSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cc(C)[nH]n2)CC[C@H]1C ZINC001071428075 769420528 /nfs/dbraw/zinc/42/05/28/769420528.db2.gz VYRJEHWXQJYKPF-CHWSQXEVSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cc(C)[nH]n2)CC[C@H]1C ZINC001071428075 769420534 /nfs/dbraw/zinc/42/05/34/769420534.db2.gz VYRJEHWXQJYKPF-CHWSQXEVSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc3n(n2)CCO3)CC[C@H]1C ZINC001071571810 769646598 /nfs/dbraw/zinc/64/65/98/769646598.db2.gz LZHSFFCCFVERCB-VXGBXAGGSA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc3n(n2)CCO3)CC[C@H]1C ZINC001071571810 769646601 /nfs/dbraw/zinc/64/66/01/769646601.db2.gz LZHSFFCCFVERCB-VXGBXAGGSA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cc(C)nn2C)CC[C@H]1C ZINC001071682582 769869213 /nfs/dbraw/zinc/86/92/13/769869213.db2.gz NWOUCNDROAWJNV-ZIAGYGMSSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cc(C)nn2C)CC[C@H]1C ZINC001071682582 769869225 /nfs/dbraw/zinc/86/92/25/769869225.db2.gz NWOUCNDROAWJNV-ZIAGYGMSSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+][C@@H](C)c2nc(COC)no2)C1 ZINC001133571475 770003750 /nfs/dbraw/zinc/00/37/50/770003750.db2.gz SMXRAUAHOAUBLJ-NSHDSACASA-N 1 2 308.382 1.339 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccc(C#N)cn1 ZINC001049022713 770259813 /nfs/dbraw/zinc/25/98/13/770259813.db2.gz XCYNUKWNAHTWGO-LSDHHAIUSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2snnc2C(C)C)CC[C@@H]1C ZINC001071919825 770288434 /nfs/dbraw/zinc/28/84/34/770288434.db2.gz IKVYKACBZDWMIG-RYUDHWBXSA-N 1 2 306.435 1.877 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2snnc2C(C)C)CC[C@@H]1C ZINC001071919825 770288439 /nfs/dbraw/zinc/28/84/39/770288439.db2.gz IKVYKACBZDWMIG-RYUDHWBXSA-N 1 2 306.435 1.877 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)C[C@H]2C1 ZINC001049051773 770296215 /nfs/dbraw/zinc/29/62/15/770296215.db2.gz CWNLJBHLNHHCAG-PHIMTYICSA-N 1 2 308.332 1.374 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)C[C@H]2C1 ZINC001049051773 770296222 /nfs/dbraw/zinc/29/62/22/770296222.db2.gz CWNLJBHLNHHCAG-PHIMTYICSA-N 1 2 308.332 1.374 20 30 DDEDLO N#Cc1cccnc1N1CC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001096494861 770482325 /nfs/dbraw/zinc/48/23/25/770482325.db2.gz FZLWHNVTYDPXIJ-CQSZACIVSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1cccnc1N1CC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001096494861 770482330 /nfs/dbraw/zinc/48/23/30/770482330.db2.gz FZLWHNVTYDPXIJ-CQSZACIVSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072114855 770560084 /nfs/dbraw/zinc/56/00/84/770560084.db2.gz OYNVPSUBTSVMJO-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1cscn1 ZINC001049368803 770744204 /nfs/dbraw/zinc/74/42/04/770744204.db2.gz BSFPOATWBKWCET-BNOWGMLFSA-N 1 2 304.419 1.868 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1cscn1 ZINC001049368803 770744208 /nfs/dbraw/zinc/74/42/08/770744208.db2.gz BSFPOATWBKWCET-BNOWGMLFSA-N 1 2 304.419 1.868 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1cn(C)nn1 ZINC001049647704 771049784 /nfs/dbraw/zinc/04/97/84/771049784.db2.gz TUWJLIAWVLECJC-HUUCEWRRSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1cn(C)nn1 ZINC001049647704 771049787 /nfs/dbraw/zinc/04/97/87/771049787.db2.gz TUWJLIAWVLECJC-HUUCEWRRSA-N 1 2 317.437 1.593 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+]Cc1cnsn1 ZINC001135058400 771296927 /nfs/dbraw/zinc/29/69/27/771296927.db2.gz ORCKSIPELUSSDY-STQMWFEESA-N 1 2 310.423 1.115 20 30 DDEDLO N#Cc1ccc(N[C@H](CNC(=O)CCn2cc[nH+]c2)C2CC2)nc1 ZINC001096768755 771455463 /nfs/dbraw/zinc/45/54/63/771455463.db2.gz QJGRIYUERAHDMI-OAHLLOKOSA-N 1 2 324.388 1.547 20 30 DDEDLO C=CCC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]cc3ncnc1-3)C2 ZINC001097112593 771628953 /nfs/dbraw/zinc/62/89/53/771628953.db2.gz YLGJSVOCJIJYNO-KYOSRNDESA-N 1 2 311.389 1.869 20 30 DDEDLO C=CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]cc3ncnc1-3)C2 ZINC001097112593 771628954 /nfs/dbraw/zinc/62/89/54/771628954.db2.gz YLGJSVOCJIJYNO-KYOSRNDESA-N 1 2 311.389 1.869 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccccc2OC)[C@@H](O)C1 ZINC001090742875 772154251 /nfs/dbraw/zinc/15/42/51/772154251.db2.gz CKPWNXKUTVZHEC-KBPBESRZSA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccccc2OC)[C@@H](O)C1 ZINC001090742875 772154254 /nfs/dbraw/zinc/15/42/54/772154254.db2.gz CKPWNXKUTVZHEC-KBPBESRZSA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(OC)c2)[C@H](O)C1 ZINC001090757838 772170818 /nfs/dbraw/zinc/17/08/18/772170818.db2.gz QDSQCSNCWYRJEE-LSDHHAIUSA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(OC)c2)[C@H](O)C1 ZINC001090757838 772170822 /nfs/dbraw/zinc/17/08/22/772170822.db2.gz QDSQCSNCWYRJEE-LSDHHAIUSA-N 1 2 324.808 1.613 20 30 DDEDLO C#CCOCCC(=O)N1C[C@@H](C)[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091004101 772438340 /nfs/dbraw/zinc/43/83/40/772438340.db2.gz FKQPNKLMCCUXOD-TZMCWYRMSA-N 1 2 302.378 1.084 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001091363607 772707307 /nfs/dbraw/zinc/70/73/07/772707307.db2.gz TZDFCYDRUJORGJ-VDISTLRHSA-N 1 2 310.361 1.226 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@]3(C)C2)s1 ZINC001091545947 772817651 /nfs/dbraw/zinc/81/76/51/772817651.db2.gz WIPIWSAVSCPFNT-HCKVZZMMSA-N 1 2 319.434 1.287 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@]3(C)C2)s1 ZINC001091545947 772817654 /nfs/dbraw/zinc/81/76/54/772817654.db2.gz WIPIWSAVSCPFNT-HCKVZZMMSA-N 1 2 319.434 1.287 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)s1)C2 ZINC001147159644 773054790 /nfs/dbraw/zinc/05/47/90/773054790.db2.gz UGPKXXSIASVQIZ-UHFFFAOYSA-N 1 2 306.435 1.847 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)s1)C2 ZINC001147159644 773054793 /nfs/dbraw/zinc/05/47/93/773054793.db2.gz UGPKXXSIASVQIZ-UHFFFAOYSA-N 1 2 306.435 1.847 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001148111398 773353612 /nfs/dbraw/zinc/35/36/12/773353612.db2.gz YKCDJWMWSCEFKF-UHFFFAOYSA-N 1 2 315.421 1.043 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001148111398 773353619 /nfs/dbraw/zinc/35/36/19/773353619.db2.gz YKCDJWMWSCEFKF-UHFFFAOYSA-N 1 2 315.421 1.043 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001148137924 773363395 /nfs/dbraw/zinc/36/33/95/773363395.db2.gz IVMIOTBZYRPHQJ-KGLIPLIRSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001148137924 773363405 /nfs/dbraw/zinc/36/34/05/773363405.db2.gz IVMIOTBZYRPHQJ-KGLIPLIRSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CCC[C@H](C)[N@@H+]1CCC2(CN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001148352011 773445538 /nfs/dbraw/zinc/44/55/38/773445538.db2.gz XONWMWKSFDCMOL-AWEZNQCLSA-N 1 2 302.422 1.841 20 30 DDEDLO C=CCC[C@H](C)[N@H+]1CCC2(CN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001148352011 773445542 /nfs/dbraw/zinc/44/55/42/773445542.db2.gz XONWMWKSFDCMOL-AWEZNQCLSA-N 1 2 302.422 1.841 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001073999420 773578746 /nfs/dbraw/zinc/57/87/46/773578746.db2.gz MMBRLJOEDXQQGR-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001074172111 773693927 /nfs/dbraw/zinc/69/39/27/773693927.db2.gz NHNPIRSOZMCZII-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C(\C)C3CC3)C[C@H]21 ZINC001074184299 773705743 /nfs/dbraw/zinc/70/57/43/773705743.db2.gz KCBUISSFXQBSBI-SIMUAJLNSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C(\C)C3CC3)C[C@H]21 ZINC001074184299 773705747 /nfs/dbraw/zinc/70/57/47/773705747.db2.gz KCBUISSFXQBSBI-SIMUAJLNSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cncc(C)c3)C[C@@H]21 ZINC001074199819 773723333 /nfs/dbraw/zinc/72/33/33/773723333.db2.gz JCQXFCIVXXYCAZ-DLBZAZTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cncc(C)c3)C[C@@H]21 ZINC001074199819 773723336 /nfs/dbraw/zinc/72/33/36/773723336.db2.gz JCQXFCIVXXYCAZ-DLBZAZTESA-N 1 2 313.401 1.329 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccncc3C)C[C@@H]21 ZINC001074232551 773755932 /nfs/dbraw/zinc/75/59/32/773755932.db2.gz BKHTZMIRRARWDZ-DLBZAZTESA-N 1 2 315.417 1.881 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccncc3C)C[C@@H]21 ZINC001074232551 773755936 /nfs/dbraw/zinc/75/59/36/773755936.db2.gz BKHTZMIRRARWDZ-DLBZAZTESA-N 1 2 315.417 1.881 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3C=CC=CC=C3)C[C@H]21 ZINC001074259388 773774358 /nfs/dbraw/zinc/77/43/58/773774358.db2.gz MFRVXYHFCQRPFU-QZTJIDSGSA-N 1 2 312.413 1.610 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3C=CC=CC=C3)C[C@H]21 ZINC001074259388 773774365 /nfs/dbraw/zinc/77/43/65/773774365.db2.gz MFRVXYHFCQRPFU-QZTJIDSGSA-N 1 2 312.413 1.610 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@H]2OCC[N@@H+](CC)[C@H]2C1 ZINC001074269930 773782887 /nfs/dbraw/zinc/78/28/87/773782887.db2.gz ZVRKIUBLUPSQMX-JKSUJKDBSA-N 1 2 303.406 1.609 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@H]2OCC[N@H+](CC)[C@H]2C1 ZINC001074269930 773782890 /nfs/dbraw/zinc/78/28/90/773782890.db2.gz ZVRKIUBLUPSQMX-JKSUJKDBSA-N 1 2 303.406 1.609 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cscn3)C[C@H]21 ZINC001074353879 773849596 /nfs/dbraw/zinc/84/95/96/773849596.db2.gz IANVPDQXHSXMFO-CABCVRRESA-N 1 2 321.446 1.563 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cscn3)C[C@H]21 ZINC001074353879 773849598 /nfs/dbraw/zinc/84/95/98/773849598.db2.gz IANVPDQXHSXMFO-CABCVRRESA-N 1 2 321.446 1.563 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001092239462 774025362 /nfs/dbraw/zinc/02/53/62/774025362.db2.gz DXJJVPFWPPGWAY-LMWSTFAQSA-N 1 2 302.378 1.130 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@]1(C)C=CCC1)c1nccn12 ZINC001092388804 774097733 /nfs/dbraw/zinc/09/77/33/774097733.db2.gz MVDUTNVZLDAIIF-RHSMWYFYSA-N 1 2 312.417 1.997 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)[C@@H](C)C1 ZINC001074884505 774215207 /nfs/dbraw/zinc/21/52/07/774215207.db2.gz SJGXAARPDPHVAI-JSGCOSHPSA-N 1 2 318.421 1.723 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C/C=C(\C)C=C)C2)nn1 ZINC001098700612 774646942 /nfs/dbraw/zinc/64/69/42/774646942.db2.gz PZKBYCLVRFPZGM-CQMBDMNQSA-N 1 2 313.405 1.297 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2[nH]nc(C)c2C)C1 ZINC001098764828 774666918 /nfs/dbraw/zinc/66/69/18/774666918.db2.gz HUYJFBWDUIHDRI-YOEHRIQHSA-N 1 2 300.406 1.634 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2[nH]nc(C)c2C)C1 ZINC001098764828 774666923 /nfs/dbraw/zinc/66/69/23/774666923.db2.gz HUYJFBWDUIHDRI-YOEHRIQHSA-N 1 2 300.406 1.634 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CCn3cccn3)CC2)C1 ZINC001093509058 774754156 /nfs/dbraw/zinc/75/41/56/774754156.db2.gz HGXJTXPYWXRLBQ-UHFFFAOYSA-N 1 2 318.421 1.151 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CCC[C@@H]3OC)CC2)C1 ZINC001093549099 774826205 /nfs/dbraw/zinc/82/62/05/774826205.db2.gz QDEAALKVBVRXQI-IRXDYDNUSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccn(C)c3C)CC2)C1 ZINC001093570553 774842677 /nfs/dbraw/zinc/84/26/77/774842677.db2.gz SORVCCVPTNFPCH-UHFFFAOYSA-N 1 2 317.433 1.825 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3coc(OC)n3)CC2)C1 ZINC001093557218 774881212 /nfs/dbraw/zinc/88/12/12/774881212.db2.gz PAXZDASRTJMCBM-UHFFFAOYSA-N 1 2 321.377 1.175 20 30 DDEDLO CC1(C)CC[C@@H](CNC(=O)CCCn2cc[nH+]c2)N(CC#N)C1 ZINC001099364367 774964958 /nfs/dbraw/zinc/96/49/58/774964958.db2.gz JTRYAWILGXAEAX-HNNXBMFYSA-N 1 2 317.437 1.794 20 30 DDEDLO C[C@H](CCNC(=O)Cc1[nH]cc[nH+]1)Nc1cccc(F)c1C#N ZINC001099404445 774981591 /nfs/dbraw/zinc/98/15/91/774981591.db2.gz DMLIEBYOPALOQV-LLVKDONJSA-N 1 2 315.352 1.970 20 30 DDEDLO C#CCOc1ncccc1C(=O)NCCNc1cc[nH+]c(C)n1 ZINC001093758113 775059522 /nfs/dbraw/zinc/05/95/22/775059522.db2.gz IRJJDJAAQZPVCI-UHFFFAOYSA-N 1 2 311.345 1.034 20 30 DDEDLO CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099686736 775140861 /nfs/dbraw/zinc/14/08/61/775140861.db2.gz JURZRKWPYKHXOD-SJORKVTESA-N 1 2 315.417 1.800 20 30 DDEDLO CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099686736 775140868 /nfs/dbraw/zinc/14/08/68/775140868.db2.gz JURZRKWPYKHXOD-SJORKVTESA-N 1 2 315.417 1.800 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C2)s1 ZINC001099821487 775324894 /nfs/dbraw/zinc/32/48/94/775324894.db2.gz VIGGDJSDVQCLTL-KGLIPLIRSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C2)s1 ZINC001099821487 775324906 /nfs/dbraw/zinc/32/49/06/775324906.db2.gz VIGGDJSDVQCLTL-KGLIPLIRSA-N 1 2 321.446 1.162 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(CCOC)CC2)[C@H](O)C1 ZINC001099871236 775370473 /nfs/dbraw/zinc/37/04/73/775370473.db2.gz AUEINUSGUWPWHK-CHWSQXEVSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(CCOC)CC2)[C@H](O)C1 ZINC001099871236 775370479 /nfs/dbraw/zinc/37/04/79/775370479.db2.gz AUEINUSGUWPWHK-CHWSQXEVSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094063476 775390673 /nfs/dbraw/zinc/39/06/73/775390673.db2.gz CMLSTWATFROFKR-GHMZBOCLSA-N 1 2 306.414 1.232 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099959574 775481455 /nfs/dbraw/zinc/48/14/55/775481455.db2.gz HVHPEJIMMLOCLK-UKRRQHHQSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099959574 775481461 /nfs/dbraw/zinc/48/14/61/775481461.db2.gz HVHPEJIMMLOCLK-UKRRQHHQSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)cn2)C[C@H]1O ZINC001099960514 775485232 /nfs/dbraw/zinc/48/52/32/775485232.db2.gz UTNPDMSPTMFRPE-LSDHHAIUSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cnc(C)cn2)C[C@H]1O ZINC001099960514 775485238 /nfs/dbraw/zinc/48/52/38/775485238.db2.gz UTNPDMSPTMFRPE-LSDHHAIUSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3sccc3F)nn2)C1 ZINC001094288160 775687781 /nfs/dbraw/zinc/68/77/81/775687781.db2.gz GEFLHVXOLAMMHV-UHFFFAOYSA-N 1 2 321.381 1.451 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@@]3(C)CC)nn2)C1 ZINC001094331702 775713122 /nfs/dbraw/zinc/71/31/22/775713122.db2.gz CORUBSUXDQZFMU-NVXWUHKLSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@@H]3C(C)C)nn2)C1 ZINC001094331573 775713535 /nfs/dbraw/zinc/71/35/35/775713535.db2.gz BULRFTIXYKTUBQ-CVEARBPZSA-N 1 2 317.437 1.619 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@@H]3C(C)C)nn2)C1 ZINC001094332097 775715194 /nfs/dbraw/zinc/71/51/94/775715194.db2.gz KRPLEZHJVVZJTD-HZPDHXFCSA-N 1 2 315.421 1.066 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCCCNc1cc[nH+]c(C)n1 ZINC001094592850 776087026 /nfs/dbraw/zinc/08/70/26/776087026.db2.gz WXSHTIKSRJJRMA-CQSZACIVSA-N 1 2 319.409 1.174 20 30 DDEDLO CN(CCNC(=O)c1cc2c[nH+]ccc2[nH]1)c1ncccc1C#N ZINC001100396230 776096515 /nfs/dbraw/zinc/09/65/15/776096515.db2.gz RLQWIILLROOTNS-UHFFFAOYSA-N 1 2 320.356 1.696 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCN(C)c2cnc(C#N)cn2)c(C)[nH+]1 ZINC001100417742 776125409 /nfs/dbraw/zinc/12/54/09/776125409.db2.gz HVPFKRBSMVJWPP-UHFFFAOYSA-N 1 2 324.388 1.535 20 30 DDEDLO N#Cc1cccnc1NCCCNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001094639507 776159679 /nfs/dbraw/zinc/15/96/79/776159679.db2.gz VLJAAVDISDIXEM-ZDUSSCGKSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CCOCCCC(=O)NCCN(CC)c1cc[nH+]c(C)n1 ZINC001100496032 776236067 /nfs/dbraw/zinc/23/60/67/776236067.db2.gz RBQIJYAWJFDHCO-UHFFFAOYSA-N 1 2 306.410 1.710 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CC3CC(C)(C)C3)CC2=O)C1 ZINC001094778111 776268367 /nfs/dbraw/zinc/26/83/67/776268367.db2.gz JERHTOWAWCRJGV-CQSZACIVSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@H]3CC34CCC4)CC2=O)C1 ZINC001094794195 776286283 /nfs/dbraw/zinc/28/62/83/776286283.db2.gz VKKJDJKXTXJRPL-DZGCQCFKSA-N 1 2 317.433 1.154 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCN(CC)c1cc[nH+]c(C)n1 ZINC001100722024 776472016 /nfs/dbraw/zinc/47/20/16/776472016.db2.gz ORQMYDBPIPZGGO-KGLIPLIRSA-N 1 2 304.394 1.319 20 30 DDEDLO Cc1ccc(C#N)c(NCCCNC(=O)CCc2c[nH]c[nH+]2)n1 ZINC001094856373 776527387 /nfs/dbraw/zinc/52/73/87/776527387.db2.gz YPVRBDJEGKOSKC-UHFFFAOYSA-N 1 2 312.377 1.536 20 30 DDEDLO Cc1ccc(C#N)c(NCCCNC(=O)CCc2c[nH+]c[nH]2)n1 ZINC001094856373 776527394 /nfs/dbraw/zinc/52/73/94/776527394.db2.gz YPVRBDJEGKOSKC-UHFFFAOYSA-N 1 2 312.377 1.536 20 30 DDEDLO CCc1nc(N2CCC[C@@H]2CNC(=O)[C@@H](C)C#N)cc(C)[nH+]1 ZINC001100810155 776577887 /nfs/dbraw/zinc/57/78/87/776577887.db2.gz PKVCPMPRXKKXNT-WCQYABFASA-N 1 2 301.394 1.592 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CNC(=O)CCc2c[nH]c[nH+]2)cn1 ZINC001100918960 776702083 /nfs/dbraw/zinc/70/20/83/776702083.db2.gz LTZPTMQFSOEFFF-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CNC(=O)CCc2c[nH+]c[nH]2)cn1 ZINC001100918960 776702087 /nfs/dbraw/zinc/70/20/87/776702087.db2.gz LTZPTMQFSOEFFF-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+]([C@H](C)Cc2ccc(C#N)cc2)CC1 ZINC001172311552 776745728 /nfs/dbraw/zinc/74/57/28/776745728.db2.gz UKNHVDORHMAONQ-CQSZACIVSA-N 1 2 321.446 1.457 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCN(CCC)c1cc[nH+]c(C)n1 ZINC001101154450 776950492 /nfs/dbraw/zinc/95/04/92/776950492.db2.gz HMIIFFLWFLQJME-CYBMUJFWSA-N 1 2 306.410 1.709 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CSC(C)C)c1nccn12 ZINC001101610369 777300748 /nfs/dbraw/zinc/30/07/48/777300748.db2.gz MLCMJQZKBTYPBW-CYBMUJFWSA-N 1 2 320.462 1.783 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)SC)c1nccn12 ZINC001101611383 777302195 /nfs/dbraw/zinc/30/21/95/777302195.db2.gz AZXZNLKAQHQWFA-OLZOCXBDSA-N 1 2 318.446 1.230 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)C(C)(F)F)c1nccn12 ZINC001101645149 777341746 /nfs/dbraw/zinc/34/17/46/777341746.db2.gz YKHMOGKNHYLMSN-RYUDHWBXSA-N 1 2 324.375 1.932 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1C[N@@H+](Cc2nncs2)C[C@H]1C ZINC001101894607 777652409 /nfs/dbraw/zinc/65/24/09/777652409.db2.gz IPBIJGBTDUIGRL-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1C[N@H+](Cc2nncs2)C[C@H]1C ZINC001101894607 777652414 /nfs/dbraw/zinc/65/24/14/777652414.db2.gz IPBIJGBTDUIGRL-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO C[N@@H+]1CCCn2nc(C(=O)N[C@H](C#N)c3ccccc3)cc2C1 ZINC001175036473 777668218 /nfs/dbraw/zinc/66/82/18/777668218.db2.gz VRYKSKHVCMETOB-MRXNPFEDSA-N 1 2 309.373 1.713 20 30 DDEDLO C[N@H+]1CCCn2nc(C(=O)N[C@H](C#N)c3ccccc3)cc2C1 ZINC001175036473 777668222 /nfs/dbraw/zinc/66/82/22/777668222.db2.gz VRYKSKHVCMETOB-MRXNPFEDSA-N 1 2 309.373 1.713 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(F)F)C[C@H]21 ZINC001176882205 778290561 /nfs/dbraw/zinc/29/05/61/778290561.db2.gz MZBOSGGSLLKLJG-OLZOCXBDSA-N 1 2 302.365 1.910 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(F)F)C[C@H]21 ZINC001176882205 778290568 /nfs/dbraw/zinc/29/05/68/778290568.db2.gz MZBOSGGSLLKLJG-OLZOCXBDSA-N 1 2 302.365 1.910 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C=C(C)C)C[C@H]21 ZINC001176900946 778331483 /nfs/dbraw/zinc/33/14/83/778331483.db2.gz UAFDPRPDWGFMNH-CVEARBPZSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C=C(C)C)C[C@H]21 ZINC001176900946 778331491 /nfs/dbraw/zinc/33/14/91/778331491.db2.gz UAFDPRPDWGFMNH-CVEARBPZSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)C)C[C@H]21 ZINC001176913636 778338166 /nfs/dbraw/zinc/33/81/66/778338166.db2.gz VCENSCYDOOFXOG-HZPDHXFCSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)C)C[C@H]21 ZINC001176913636 778338172 /nfs/dbraw/zinc/33/81/72/778338172.db2.gz VCENSCYDOOFXOG-HZPDHXFCSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C/CC)C[C@H]21 ZINC001176944625 778372933 /nfs/dbraw/zinc/37/29/33/778372933.db2.gz ZYUAVCANOMDERG-LWNNDPPBSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C/CC)C[C@H]21 ZINC001176944625 778372937 /nfs/dbraw/zinc/37/29/37/778372937.db2.gz ZYUAVCANOMDERG-LWNNDPPBSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3)C[C@@H]21 ZINC001177018356 778394242 /nfs/dbraw/zinc/39/42/42/778394242.db2.gz DAQSVCGRCLTBPO-HOTGVXAUSA-N 1 2 319.405 1.133 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3)C[C@@H]21 ZINC001177018356 778394246 /nfs/dbraw/zinc/39/42/46/778394246.db2.gz DAQSVCGRCLTBPO-HOTGVXAUSA-N 1 2 319.405 1.133 20 30 DDEDLO C#CCOCCC(=O)N(CC)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102815795 778423567 /nfs/dbraw/zinc/42/35/67/778423567.db2.gz JGSGIQWQJRUZFU-OAHLLOKOSA-N 1 2 316.405 1.252 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102869141 778462987 /nfs/dbraw/zinc/46/29/87/778462987.db2.gz QFNKLZQPGHMHTE-HIFRSBDPSA-N 1 2 318.421 1.851 20 30 DDEDLO C=C[C@H]1C[C@@]1(NC(=O)CN(C)c1cccc[nH+]1)C(=O)OCC ZINC001177416429 778588978 /nfs/dbraw/zinc/58/89/78/778588978.db2.gz BWXCPRUXCULIRZ-LRDDRELGSA-N 1 2 303.362 1.142 20 30 DDEDLO CC[C@H](CNC(=O)Cn1cc[nH+]c1)Nc1ccc(C#N)c(C)n1 ZINC001103117862 778649556 /nfs/dbraw/zinc/64/95/56/778649556.db2.gz MVIJLTDBZBIAOU-CQSZACIVSA-N 1 2 312.377 1.465 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](CC)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001103279385 778755745 /nfs/dbraw/zinc/75/57/45/778755745.db2.gz MGTZSVMCLBHKSQ-CYBMUJFWSA-N 1 2 320.441 1.909 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](CC)Nc1cc[nH+]c(C)n1 ZINC001103331470 778793813 /nfs/dbraw/zinc/79/38/13/778793813.db2.gz KWOKZNITSLIYHR-MJBXVCDLSA-N 1 2 304.394 1.683 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@H]2CCN(C(=O)C#CC(C)C)[C@H]2C)no1 ZINC001178653565 779125647 /nfs/dbraw/zinc/12/56/47/779125647.db2.gz XHDAZDPDSLHSKE-OBJOEFQTSA-N 1 2 304.394 1.677 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCCCCCCNCC#N)c[nH+]1 ZINC001178808946 779180085 /nfs/dbraw/zinc/18/00/85/779180085.db2.gz ZVGMHLAFRMXKLI-UHFFFAOYSA-N 1 2 305.426 1.716 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H](CCNc2cc[nH+]c(C)n2)C1 ZINC001111606544 779399872 /nfs/dbraw/zinc/39/98/72/779399872.db2.gz GUHAXJCIPFBUIM-PBHICJAKSA-N 1 2 318.421 1.763 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001111819573 779497105 /nfs/dbraw/zinc/49/71/05/779497105.db2.gz IQDGKIRJTCCKJL-UHFFFAOYSA-N 1 2 310.361 1.301 20 30 DDEDLO CN(CCCN(C)c1ccc(C#N)nc1)C(=O)Cc1c[nH+]c[nH]1 ZINC001112183937 779641528 /nfs/dbraw/zinc/64/15/28/779641528.db2.gz IFKBLDXPJYFTID-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1C ZINC001112401008 779759755 /nfs/dbraw/zinc/75/97/55/779759755.db2.gz VFBKVUFQXJCDFA-GXTWGEPZSA-N 1 2 304.394 1.319 20 30 DDEDLO C[C@@H](CCCNc1cncc(C#N)n1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115089137 779870068 /nfs/dbraw/zinc/87/00/68/779870068.db2.gz RPNSXQKTGLWRNV-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO Cn1ncc(C[NH2+]Cc2cn(CCC#N)nc2-c2ccncc2)n1 ZINC001117746879 780893995 /nfs/dbraw/zinc/89/39/95/780893995.db2.gz FLDSXISYVRQQGH-UHFFFAOYSA-N 1 2 322.376 1.277 20 30 DDEDLO C#C[C@@H]1CCCCN1C(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC001119754203 781594040 /nfs/dbraw/zinc/59/40/40/781594040.db2.gz PKZHLXYKQCMIMO-CQSZACIVSA-N 1 2 314.389 1.014 20 30 DDEDLO C=CCOCC[N@@H+](C)CCNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001267224916 837561475 /nfs/dbraw/zinc/56/14/75/837561475.db2.gz NAMSWTHTGVXDKT-ZDUSSCGKSA-N 1 2 320.437 1.399 20 30 DDEDLO C=CCOCC[N@H+](C)CCNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001267224916 837561485 /nfs/dbraw/zinc/56/14/85/837561485.db2.gz NAMSWTHTGVXDKT-ZDUSSCGKSA-N 1 2 320.437 1.399 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CC[N@@H+](Cc2ncnn2CC)C1 ZINC001267302261 837785214 /nfs/dbraw/zinc/78/52/14/837785214.db2.gz OBJIIJGJPHSMSC-YOEHRIQHSA-N 1 2 319.453 1.838 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CC[N@H+](Cc2ncnn2CC)C1 ZINC001267302261 837785223 /nfs/dbraw/zinc/78/52/23/837785223.db2.gz OBJIIJGJPHSMSC-YOEHRIQHSA-N 1 2 319.453 1.838 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[N@H+](Cc1conc1C)C1CC1 ZINC001267326699 837834099 /nfs/dbraw/zinc/83/40/99/837834099.db2.gz ZDGLOYNCBKSCJO-ZDUSSCGKSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[N@@H+](Cc1conc1C)C1CC1 ZINC001267326699 837834103 /nfs/dbraw/zinc/83/41/03/837834103.db2.gz ZDGLOYNCBKSCJO-ZDUSSCGKSA-N 1 2 305.378 1.102 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC12CC[NH+](Cc1nncn1C)CC2 ZINC001266370241 836181760 /nfs/dbraw/zinc/18/17/60/836181760.db2.gz ASNFYBKQITXVMU-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]([NH2+]Cc1nc(C(F)F)no1)C1CC1 ZINC001266406773 836215834 /nfs/dbraw/zinc/21/58/34/836215834.db2.gz JWYOWULMZFOIBC-LLVKDONJSA-N 1 2 324.331 1.405 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]([NH2+]Cc1nc(C)no1)C1CC1 ZINC001266432275 836245894 /nfs/dbraw/zinc/24/58/94/836245894.db2.gz XTVSTDFNFFHZFA-CYBMUJFWSA-N 1 2 306.410 1.965 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC ZINC001266475858 836320289 /nfs/dbraw/zinc/32/02/89/836320289.db2.gz NRXKHFKRWSKCIH-HRCADAONSA-N 1 2 321.465 1.885 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC ZINC001266475858 836320297 /nfs/dbraw/zinc/32/02/97/836320297.db2.gz NRXKHFKRWSKCIH-HRCADAONSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCCC[C@@H]2CNC(=O)C(F)F)C1=O ZINC001266825673 836889567 /nfs/dbraw/zinc/88/95/67/836889567.db2.gz IXMCJEDPZWJSLI-NEPJUHHUSA-N 1 2 315.364 1.009 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCCC[C@@H]2CNC(=O)C(F)F)C1=O ZINC001266825673 836889576 /nfs/dbraw/zinc/88/95/76/836889576.db2.gz IXMCJEDPZWJSLI-NEPJUHHUSA-N 1 2 315.364 1.009 20 30 DDEDLO Cc1nc([C@@H](C)[N@@H+]2CC[C@H](CCNC(=O)C#CC3CC3)C2)no1 ZINC001267531645 838259843 /nfs/dbraw/zinc/25/98/43/838259843.db2.gz WHINWNVLYCPDPY-DOMZBBRYSA-N 1 2 316.405 1.681 20 30 DDEDLO Cc1nc([C@@H](C)[N@H+]2CC[C@H](CCNC(=O)C#CC3CC3)C2)no1 ZINC001267531645 838259852 /nfs/dbraw/zinc/25/98/52/838259852.db2.gz WHINWNVLYCPDPY-DOMZBBRYSA-N 1 2 316.405 1.681 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)Cc2cccc(C)c2)CC1 ZINC001267574928 838342287 /nfs/dbraw/zinc/34/22/87/838342287.db2.gz XLSKKDHXSGVEHQ-UHFFFAOYSA-N 1 2 313.445 1.247 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@H](NC(=O)CSCC#N)C2)sn1 ZINC001267610869 838456529 /nfs/dbraw/zinc/45/65/29/838456529.db2.gz BSOLZWQEZRHPSK-GFCCVEGCSA-N 1 2 324.475 1.789 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@H](NC(=O)CSCC#N)C2)sn1 ZINC001267610869 838456534 /nfs/dbraw/zinc/45/65/34/838456534.db2.gz BSOLZWQEZRHPSK-GFCCVEGCSA-N 1 2 324.475 1.789 20 30 DDEDLO C#CCOCCC(=O)NC1C[NH+]([C@@H]2CCc3c2cccc3F)C1 ZINC001267645057 838565025 /nfs/dbraw/zinc/56/50/25/838565025.db2.gz KBPSMJNDPXZEMB-QGZVFWFLSA-N 1 2 316.376 1.653 20 30 DDEDLO CC(C)(C)C#CC(=O)NC1C[NH+](CCCOCC(F)(F)F)C1 ZINC001267645235 838565507 /nfs/dbraw/zinc/56/55/07/838565507.db2.gz QHHUOGQLLRYZTP-UHFFFAOYSA-N 1 2 320.355 1.805 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1oc(CC)nc1C ZINC001267707492 838690887 /nfs/dbraw/zinc/69/08/87/838690887.db2.gz YPWRLWCLGMZTJY-KBPBESRZSA-N 1 2 319.405 1.533 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1oc(CC)nc1C ZINC001267707492 838690889 /nfs/dbraw/zinc/69/08/89/838690889.db2.gz YPWRLWCLGMZTJY-KBPBESRZSA-N 1 2 319.405 1.533 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1nccnc1C ZINC001267711677 838697979 /nfs/dbraw/zinc/69/79/79/838697979.db2.gz CQIUMLHJZTVOHD-HOTGVXAUSA-N 1 2 312.417 1.764 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1nccnc1C ZINC001267711677 838697987 /nfs/dbraw/zinc/69/79/87/838697987.db2.gz CQIUMLHJZTVOHD-HOTGVXAUSA-N 1 2 312.417 1.764 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)C(F)=C2CCCC2)C1 ZINC001267721936 838731314 /nfs/dbraw/zinc/73/13/14/838731314.db2.gz PNNZXCACWVIIBK-OCCSQVGLSA-N 1 2 321.396 1.112 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)C(F)=C2CCCC2)C1 ZINC001267721936 838731323 /nfs/dbraw/zinc/73/13/23/838731323.db2.gz PNNZXCACWVIIBK-OCCSQVGLSA-N 1 2 321.396 1.112 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC2CC2)C1 ZINC001267731298 838772429 /nfs/dbraw/zinc/77/24/29/838772429.db2.gz WXNPUMKHQJAEQF-AWEZNQCLSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001267731298 838772437 /nfs/dbraw/zinc/77/24/37/838772437.db2.gz WXNPUMKHQJAEQF-AWEZNQCLSA-N 1 2 307.438 1.305 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CC2=CCCCC2)C1 ZINC001267914023 839186694 /nfs/dbraw/zinc/18/66/94/839186694.db2.gz KJYKAASYSVKFKZ-OAHLLOKOSA-N 1 2 318.421 1.097 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CC2=CCCCC2)C1 ZINC001267914023 839186697 /nfs/dbraw/zinc/18/66/97/839186697.db2.gz KJYKAASYSVKFKZ-OAHLLOKOSA-N 1 2 318.421 1.097 20 30 DDEDLO C#CCCCC(=O)NC[C@H]([NH2+][C@H](C)c1noc(C)n1)C(C)C ZINC001268210455 839841419 /nfs/dbraw/zinc/84/14/19/839841419.db2.gz VUKYFUOIHJMUOF-OCCSQVGLSA-N 1 2 306.410 1.973 20 30 DDEDLO CC1(C)CCC[C@@H]1C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001268216360 839850404 /nfs/dbraw/zinc/85/04/04/839850404.db2.gz FUXZHDYUWAWLQW-UONOGXRCSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CCC[C@@H]1C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001268216360 839850413 /nfs/dbraw/zinc/85/04/13/839850413.db2.gz FUXZHDYUWAWLQW-UONOGXRCSA-N 1 2 320.437 1.033 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1C[NH+](CC[C@H]2CC2(Cl)Cl)C1 ZINC001268295079 839959180 /nfs/dbraw/zinc/95/91/80/839959180.db2.gz CXWWLPQEQGTQFS-GWCFXTLKSA-N 1 2 321.248 1.698 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@@H]2CCC[C@@H](OC)C2)C1 ZINC001268318301 839990689 /nfs/dbraw/zinc/99/06/89/839990689.db2.gz RIMICMFLGUZXNH-GDBMZVCRSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001268467160 840246022 /nfs/dbraw/zinc/24/60/22/840246022.db2.gz ANLXBLVOYOEOAA-UHFFFAOYSA-N 1 2 306.410 1.324 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)Cc2c[nH]c3ncccc23)C1 ZINC001268492272 840295724 /nfs/dbraw/zinc/29/57/24/840295724.db2.gz UFGMOVNEDZJMMJ-UHFFFAOYSA-N 1 2 314.389 1.108 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CC(CNC(=O)[C@H]2C[C@@H]2C)C1 ZINC001268862631 840914183 /nfs/dbraw/zinc/91/41/83/840914183.db2.gz MVMKCBBMNSAMPI-ZFWWWQNUSA-N 1 2 307.438 1.115 20 30 DDEDLO CCCN(CCC)C(=O)C[N@@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001269126143 841238819 /nfs/dbraw/zinc/23/88/19/841238819.db2.gz ANZGIDOAQFNQCO-MRXNPFEDSA-N 1 2 321.465 1.485 20 30 DDEDLO CCCN(CCC)C(=O)C[N@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001269126143 841238830 /nfs/dbraw/zinc/23/88/30/841238830.db2.gz ANZGIDOAQFNQCO-MRXNPFEDSA-N 1 2 321.465 1.485 20 30 DDEDLO C=CCNC(=O)[C@@H]1CC12CCN(C(=O)CCc1[nH]cc[nH+]1)CC2 ZINC001269176027 841297636 /nfs/dbraw/zinc/29/76/36/841297636.db2.gz RMRZENHIRXRTTI-ZDUSSCGKSA-N 1 2 316.405 1.273 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001269203296 841336576 /nfs/dbraw/zinc/33/65/76/841336576.db2.gz OHZVTEDMYBNYOG-CQSZACIVSA-N 1 2 306.410 1.012 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001269203296 841336585 /nfs/dbraw/zinc/33/65/85/841336585.db2.gz OHZVTEDMYBNYOG-CQSZACIVSA-N 1 2 306.410 1.012 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CC[N@H+](Cc2cncc(F)c2)C1 ZINC001269221810 841374218 /nfs/dbraw/zinc/37/42/18/841374218.db2.gz PIXLMSJZCQUDFI-HNNXBMFYSA-N 1 2 307.369 1.456 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001269221810 841374226 /nfs/dbraw/zinc/37/42/26/841374226.db2.gz PIXLMSJZCQUDFI-HNNXBMFYSA-N 1 2 307.369 1.456 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CC[N@@H+]1CCNC(=O)C1CC1 ZINC001269288082 841468150 /nfs/dbraw/zinc/46/81/50/841468150.db2.gz ZEZZQMVFIBMONC-YOEHRIQHSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CC[N@H+]1CCNC(=O)C1CC1 ZINC001269288082 841468153 /nfs/dbraw/zinc/46/81/53/841468153.db2.gz ZEZZQMVFIBMONC-YOEHRIQHSA-N 1 2 307.438 1.305 20 30 DDEDLO CCCn1cc(C(=O)NC[C@H]2CC[N@@H+]2CC#CCOC)c(C)n1 ZINC001269325917 841524199 /nfs/dbraw/zinc/52/41/99/841524199.db2.gz CWBDFRTVTWTJAF-OAHLLOKOSA-N 1 2 318.421 1.055 20 30 DDEDLO CCCn1cc(C(=O)NC[C@H]2CC[N@H+]2CC#CCOC)c(C)n1 ZINC001269325917 841524203 /nfs/dbraw/zinc/52/42/03/841524203.db2.gz CWBDFRTVTWTJAF-OAHLLOKOSA-N 1 2 318.421 1.055 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnn(C)n1 ZINC001270483794 842614258 /nfs/dbraw/zinc/61/42/58/842614258.db2.gz IZKPZJBXVMEWGL-CVEARBPZSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnn(C)n1 ZINC001270483794 842614265 /nfs/dbraw/zinc/61/42/65/842614265.db2.gz IZKPZJBXVMEWGL-CVEARBPZSA-N 1 2 317.437 1.737 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001270509061 842631178 /nfs/dbraw/zinc/63/11/78/842631178.db2.gz YPEKECRDTUCYLA-AEGPPILISA-N 1 2 311.389 1.232 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001270509061 842631184 /nfs/dbraw/zinc/63/11/84/842631184.db2.gz YPEKECRDTUCYLA-AEGPPILISA-N 1 2 311.389 1.232 20 30 DDEDLO CC[C@H](CC(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C(C)C ZINC001270528022 842644024 /nfs/dbraw/zinc/64/40/24/842644024.db2.gz BHKFHMNGBCYOTD-IAGOWNOFSA-N 1 2 320.481 1.801 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@]1(C)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001270585156 842688194 /nfs/dbraw/zinc/68/81/94/842688194.db2.gz XZYSMAMLZMOLAA-BBRMVZONSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@]1(C)CC[N@H+](Cc2cc(C)on2)C1 ZINC001270585156 842688190 /nfs/dbraw/zinc/68/81/90/842688190.db2.gz XZYSMAMLZMOLAA-BBRMVZONSA-N 1 2 305.378 1.102 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)CC4CCC4)C3)C2)cc1C#N ZINC001271061706 843173306 /nfs/dbraw/zinc/17/33/06/843173306.db2.gz DZPCQCWXYZHQEO-UHFFFAOYSA-N 1 2 312.417 1.731 20 30 DDEDLO N#CCCC(=O)N1CCC2(CC1)CN(c1cccc[nH+]1)CCO2 ZINC001143194187 861407457 /nfs/dbraw/zinc/40/74/57/861407457.db2.gz LUNPSLNIICFZHV-UHFFFAOYSA-N 1 2 314.389 1.583 20 30 DDEDLO C=CCOCC[NH+]1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC001227474664 843587019 /nfs/dbraw/zinc/58/70/19/843587019.db2.gz XJJFIHNFCMLPCS-NSHDSACASA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCOCC[NH+]1CCC(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001227474664 843587022 /nfs/dbraw/zinc/58/70/22/843587022.db2.gz XJJFIHNFCMLPCS-NSHDSACASA-N 1 2 312.307 1.670 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCO[C@H](CNC(=O)[C@H](C)C#N)C2)s1 ZINC001149405888 861596179 /nfs/dbraw/zinc/59/61/79/861596179.db2.gz FJYNQWSMSUSHPJ-DGCLKSJQSA-N 1 2 322.434 1.318 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCO[C@H](CNC(=O)[C@H](C)C#N)C2)s1 ZINC001149405888 861596191 /nfs/dbraw/zinc/59/61/91/861596191.db2.gz FJYNQWSMSUSHPJ-DGCLKSJQSA-N 1 2 322.434 1.318 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Cc3c[nH]c4cccc(C#N)c34)C[C@@H]21 ZINC001272300389 846016644 /nfs/dbraw/zinc/01/66/44/846016644.db2.gz HRAGSMBNZZYMRX-HOTGVXAUSA-N 1 2 324.384 1.123 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Cc3c[nH]c4cccc(C#N)c34)C[C@@H]21 ZINC001272300389 846016647 /nfs/dbraw/zinc/01/66/47/846016647.db2.gz HRAGSMBNZZYMRX-HOTGVXAUSA-N 1 2 324.384 1.123 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2Cc2cn3c(n2)COCC3)C1=O ZINC001272515967 846256860 /nfs/dbraw/zinc/25/68/60/846256860.db2.gz BIHWIZNVEMBDJH-KRWDZBQOSA-N 1 2 316.405 1.166 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2Cc2cn3c(n2)COCC3)C1=O ZINC001272515967 846256871 /nfs/dbraw/zinc/25/68/71/846256871.db2.gz BIHWIZNVEMBDJH-KRWDZBQOSA-N 1 2 316.405 1.166 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](O)C[NH2+]Cc2nc(C)no2)CCCC1 ZINC001272591994 846371426 /nfs/dbraw/zinc/37/14/26/846371426.db2.gz KUDGTGLTJPTPLY-ZDUSSCGKSA-N 1 2 322.409 1.081 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001299220185 846406387 /nfs/dbraw/zinc/40/63/87/846406387.db2.gz BPZWFKJXXVLPEU-ZDUSSCGKSA-N 1 2 304.394 1.250 20 30 DDEDLO CN1C[C@@]2(CC[N@H+](Cc3cc(F)c(C#N)c(F)c3)C2)OCC1=O ZINC001272660266 846504866 /nfs/dbraw/zinc/50/48/66/846504866.db2.gz MQQIDBTXQLGZOX-MRXNPFEDSA-N 1 2 321.327 1.270 20 30 DDEDLO CN1C[C@@]2(CC[N@@H+](Cc3cc(F)c(C#N)c(F)c3)C2)OCC1=O ZINC001272660266 846504869 /nfs/dbraw/zinc/50/48/69/846504869.db2.gz MQQIDBTXQLGZOX-MRXNPFEDSA-N 1 2 321.327 1.270 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H](OCC)C2CC2)C1 ZINC001409909134 846556878 /nfs/dbraw/zinc/55/68/78/846556878.db2.gz KBZVQIQJFKGNHD-RISCZKNCSA-N 1 2 300.830 1.991 20 30 DDEDLO C=CCCCCCC[NH+]1CC2(C1)CN(C1COC1)C(=O)CO2 ZINC001272706896 846682545 /nfs/dbraw/zinc/68/25/45/846682545.db2.gz JCIWKDUOMKMZFL-UHFFFAOYSA-N 1 2 308.422 1.435 20 30 DDEDLO Cc1cncc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccc(C#N)[nH]2)c1 ZINC001032362665 847035622 /nfs/dbraw/zinc/03/56/22/847035622.db2.gz MUKGGDYRCUUQNF-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1cncc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccc(C#N)[nH]2)c1 ZINC001032362665 847035628 /nfs/dbraw/zinc/03/56/28/847035628.db2.gz MUKGGDYRCUUQNF-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnc(F)c2)C1 ZINC001107796053 847098429 /nfs/dbraw/zinc/09/84/29/847098429.db2.gz SKSIZTLOATXLHZ-INIZCTEOSA-N 1 2 305.353 1.065 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnc(F)c2)C1 ZINC001107796053 847098436 /nfs/dbraw/zinc/09/84/36/847098436.db2.gz SKSIZTLOATXLHZ-INIZCTEOSA-N 1 2 305.353 1.065 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1COCC[N@H+]1CC/C=C/CC ZINC001272851749 847550399 /nfs/dbraw/zinc/55/03/99/847550399.db2.gz UYEASALVLCMRGF-TYGQBZDHSA-N 1 2 310.438 1.487 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1COCC[N@@H+]1CC/C=C/CC ZINC001272851749 847550401 /nfs/dbraw/zinc/55/04/01/847550401.db2.gz UYEASALVLCMRGF-TYGQBZDHSA-N 1 2 310.438 1.487 20 30 DDEDLO COCC#CC(=O)N1CC2(CC[N@@H+]2CCCC(F)(F)F)C1 ZINC001272955330 847703146 /nfs/dbraw/zinc/70/31/46/847703146.db2.gz ZTVJTVXVPNZINR-UHFFFAOYSA-N 1 2 304.312 1.265 20 30 DDEDLO COCC#CC(=O)N1CC2(CC[N@H+]2CCCC(F)(F)F)C1 ZINC001272955330 847703153 /nfs/dbraw/zinc/70/31/53/847703153.db2.gz ZTVJTVXVPNZINR-UHFFFAOYSA-N 1 2 304.312 1.265 20 30 DDEDLO C=CC[N@@H+]1CCCC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001034211769 848118608 /nfs/dbraw/zinc/11/86/08/848118608.db2.gz OEUZDDNAMXVYFR-ZIAGYGMSSA-N 1 2 302.422 1.986 20 30 DDEDLO C=CC[N@H+]1CCCC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001034211769 848118612 /nfs/dbraw/zinc/11/86/12/848118612.db2.gz OEUZDDNAMXVYFR-ZIAGYGMSSA-N 1 2 302.422 1.986 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1cn2ccncc2n1 ZINC001273209079 848780264 /nfs/dbraw/zinc/78/02/64/848780264.db2.gz ZTYYKULJZRPCJL-GOSISDBHSA-N 1 2 323.400 1.320 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1cn2ccncc2n1 ZINC001273209079 848780268 /nfs/dbraw/zinc/78/02/68/848780268.db2.gz ZTYYKULJZRPCJL-GOSISDBHSA-N 1 2 323.400 1.320 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O ZINC001155489500 862152537 /nfs/dbraw/zinc/15/25/37/862152537.db2.gz BHMFSLAWDDBAFR-CYBMUJFWSA-N 1 2 318.483 1.460 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O ZINC001155489500 862152540 /nfs/dbraw/zinc/15/25/40/862152540.db2.gz BHMFSLAWDDBAFR-CYBMUJFWSA-N 1 2 318.483 1.460 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](CCCc3ccncc3)C2)OCC1=O ZINC001273493492 851027845 /nfs/dbraw/zinc/02/78/45/851027845.db2.gz PLCYBOCNDRCDAP-UHFFFAOYSA-N 1 2 315.417 1.504 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)CC[C@@H]1CCCO1)O2 ZINC001273646698 851180089 /nfs/dbraw/zinc/18/00/89/851180089.db2.gz ONWWZGXBHGAMEP-JKSUJKDBSA-N 1 2 322.449 1.871 20 30 DDEDLO C=CCCC(=O)NC[C@H]1Cc2ccccc2C[N@H+]1[C@@H](C)C(N)=O ZINC001327505126 862220258 /nfs/dbraw/zinc/22/02/58/862220258.db2.gz BJAUAWPNYVZRKB-XJKSGUPXSA-N 1 2 315.417 1.370 20 30 DDEDLO C=CCCC(=O)NC[C@H]1Cc2ccccc2C[N@@H+]1[C@@H](C)C(N)=O ZINC001327505126 862220273 /nfs/dbraw/zinc/22/02/73/862220273.db2.gz BJAUAWPNYVZRKB-XJKSGUPXSA-N 1 2 315.417 1.370 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)COCCCC ZINC001327506818 862225556 /nfs/dbraw/zinc/22/55/56/862225556.db2.gz IAHURFVCRAQEAM-GOSISDBHSA-N 1 2 314.429 1.979 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)COCCCC ZINC001327506818 862225573 /nfs/dbraw/zinc/22/55/73/862225573.db2.gz IAHURFVCRAQEAM-GOSISDBHSA-N 1 2 314.429 1.979 20 30 DDEDLO C#CCN1CCC2(CC[NH+](CC(=O)c3cccs3)CC2)C1=O ZINC001273777584 851331230 /nfs/dbraw/zinc/33/12/30/851331230.db2.gz AODJXCWIKGLRAS-UHFFFAOYSA-N 1 2 316.426 1.879 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](C[C@@H](O)CCCCC)C[C@@]2(F)C1=O ZINC001273876432 851453574 /nfs/dbraw/zinc/45/35/74/851453574.db2.gz ZENAZWUDVIQHDY-CWRNSKLLSA-N 1 2 316.392 1.688 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](C[C@@H](O)CCCCC)C[C@@]2(F)C1=O ZINC001273876432 851453581 /nfs/dbraw/zinc/45/35/81/851453581.db2.gz ZENAZWUDVIQHDY-CWRNSKLLSA-N 1 2 316.392 1.688 20 30 DDEDLO C[N@@H+]1CCC12CN(C(=O)c1cc(-c3cccc(C#N)c3)on1)C2 ZINC001273885080 851463480 /nfs/dbraw/zinc/46/34/80/851463480.db2.gz NLAILFFICWOWBU-UHFFFAOYSA-N 1 2 308.341 1.743 20 30 DDEDLO C[N@H+]1CCC12CN(C(=O)c1cc(-c3cccc(C#N)c3)on1)C2 ZINC001273885080 851463486 /nfs/dbraw/zinc/46/34/86/851463486.db2.gz NLAILFFICWOWBU-UHFFFAOYSA-N 1 2 308.341 1.743 20 30 DDEDLO C[C@H](C#N)C(=O)NC/C=C/C[NH2+]Cc1nc2c(o1)CCCC2 ZINC001273909615 851493801 /nfs/dbraw/zinc/49/38/01/851493801.db2.gz JBJOLTRIWGACHL-ZYOFXKKJSA-N 1 2 302.378 1.475 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCC[N@H+]2[C@H](C)C(=O)OCC ZINC001273912159 851499336 /nfs/dbraw/zinc/49/93/36/851499336.db2.gz BOVYAZMOOHNICG-RHSMWYFYSA-N 1 2 308.422 1.971 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCC[N@@H+]2[C@H](C)C(=O)OCC ZINC001273912159 851499348 /nfs/dbraw/zinc/49/93/48/851499348.db2.gz BOVYAZMOOHNICG-RHSMWYFYSA-N 1 2 308.422 1.971 20 30 DDEDLO CN(C(=O)C#CC1CC1)C1CN(C(=O)c2cccc3[nH+]ccn32)C1 ZINC001299346096 851827181 /nfs/dbraw/zinc/82/71/81/851827181.db2.gz SKAXTGDXBYXIEG-UHFFFAOYSA-N 1 2 322.368 1.031 20 30 DDEDLO COCC#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccc[nH]3)cc2C1 ZINC001274021515 851858848 /nfs/dbraw/zinc/85/88/48/851858848.db2.gz XTCWPWOPBQCHFX-UHFFFAOYSA-N 1 2 323.396 1.910 20 30 DDEDLO COCC#CC[N@H+]1Cc2ccc(CNC(=O)c3ccc[nH]3)cc2C1 ZINC001274021515 851858852 /nfs/dbraw/zinc/85/88/52/851858852.db2.gz XTCWPWOPBQCHFX-UHFFFAOYSA-N 1 2 323.396 1.910 20 30 DDEDLO N#Cc1ncccc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc[nH]1 ZINC001274025721 851863435 /nfs/dbraw/zinc/86/34/35/851863435.db2.gz URBTULJTTDGIIB-GASCZTMLSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1ncccc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc[nH]1 ZINC001274025721 851863438 /nfs/dbraw/zinc/86/34/38/851863438.db2.gz URBTULJTTDGIIB-GASCZTMLSA-N 1 2 321.384 1.770 20 30 DDEDLO N#CCC[N@H+]1CC[C@@H]2[C@H]1CCC(=O)N2CCCn1cccc1 ZINC001274032108 851873632 /nfs/dbraw/zinc/87/36/32/851873632.db2.gz HSVOYAZKHRKEDG-HZPDHXFCSA-N 1 2 300.406 1.857 20 30 DDEDLO N#CCC[N@@H+]1CC[C@@H]2[C@H]1CCC(=O)N2CCCn1cccc1 ZINC001274032108 851873637 /nfs/dbraw/zinc/87/36/37/851873637.db2.gz HSVOYAZKHRKEDG-HZPDHXFCSA-N 1 2 300.406 1.857 20 30 DDEDLO N#CCCC[NH+]1CC2(C1)COCC(=O)N2CCCn1cccc1 ZINC001274040228 851881711 /nfs/dbraw/zinc/88/17/11/851881711.db2.gz QWMCIGHTBZMZCA-UHFFFAOYSA-N 1 2 316.405 1.095 20 30 DDEDLO C=CCN1c2ccccc2[C@@]2(CC[N@H+](Cc3nnc[nH]3)C2)C1=O ZINC001274354911 852181484 /nfs/dbraw/zinc/18/14/84/852181484.db2.gz XZOJGTFQWCKUCL-KRWDZBQOSA-N 1 2 309.373 1.481 20 30 DDEDLO C=CCN1c2ccccc2[C@@]2(CC[N@@H+](Cc3nnc[nH]3)C2)C1=O ZINC001274354911 852181495 /nfs/dbraw/zinc/18/14/95/852181495.db2.gz XZOJGTFQWCKUCL-KRWDZBQOSA-N 1 2 309.373 1.481 20 30 DDEDLO C[N@@H+]1CCC12CN(C(=O)c1cc(Cl)c(C#N)c(Cl)n1)C2 ZINC001274440101 852271516 /nfs/dbraw/zinc/27/15/16/852271516.db2.gz BKZQSVIBXCZBCY-UHFFFAOYSA-N 1 2 311.172 1.790 20 30 DDEDLO C[N@H+]1CCC12CN(C(=O)c1cc(Cl)c(C#N)c(Cl)n1)C2 ZINC001274440101 852271527 /nfs/dbraw/zinc/27/15/27/852271527.db2.gz BKZQSVIBXCZBCY-UHFFFAOYSA-N 1 2 311.172 1.790 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@](C)(F)CCCC)CC2)C1 ZINC001274474796 852308734 /nfs/dbraw/zinc/30/87/34/852308734.db2.gz WRIHEHBWVDNBPR-GOSISDBHSA-N 1 2 323.456 1.757 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1C[C@]2(F)CN(CC=C)C(=O)[C@]2(F)C1 ZINC001274621217 852453098 /nfs/dbraw/zinc/45/30/98/852453098.db2.gz PSMOVQDYIMXBKQ-AEGPPILISA-N 1 2 300.349 1.074 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1C[C@]2(F)CN(CC=C)C(=O)[C@]2(F)C1 ZINC001274621217 852453100 /nfs/dbraw/zinc/45/31/00/852453100.db2.gz PSMOVQDYIMXBKQ-AEGPPILISA-N 1 2 300.349 1.074 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1C[C@]2(F)CN(CC(C)C)C(=O)[C@]2(F)C1 ZINC001274627941 852461191 /nfs/dbraw/zinc/46/11/91/852461191.db2.gz PGLRAXGEHPKOKC-VNQPRFMTSA-N 1 2 316.392 1.544 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1C[C@]2(F)CN(CC(C)C)C(=O)[C@]2(F)C1 ZINC001274627941 852461194 /nfs/dbraw/zinc/46/11/94/852461194.db2.gz PGLRAXGEHPKOKC-VNQPRFMTSA-N 1 2 316.392 1.544 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cc(C#N)ccc2O)C1=O ZINC001274668317 852503995 /nfs/dbraw/zinc/50/39/95/852503995.db2.gz XSCXIZXWGFGTLX-GOSISDBHSA-N 1 2 309.369 1.464 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cc(C#N)ccc2O)C1=O ZINC001274668317 852503997 /nfs/dbraw/zinc/50/39/97/852503997.db2.gz XSCXIZXWGFGTLX-GOSISDBHSA-N 1 2 309.369 1.464 20 30 DDEDLO N#Cc1ccc(CN2C[C@@H]3COC[C@H](C2)[N@@H+]3C2CCCC2)nc1 ZINC001275032390 852760186 /nfs/dbraw/zinc/76/01/86/852760186.db2.gz OCUKRHZBJMQXHY-HDICACEKSA-N 1 2 312.417 1.781 20 30 DDEDLO N#Cc1ccc(CN2C[C@@H]3COC[C@H](C2)[N@H+]3C2CCCC2)nc1 ZINC001275032390 852760187 /nfs/dbraw/zinc/76/01/87/852760187.db2.gz OCUKRHZBJMQXHY-HDICACEKSA-N 1 2 312.417 1.781 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001275267304 852940444 /nfs/dbraw/zinc/94/04/44/852940444.db2.gz QTJSCLDBLUFWRY-QWRGUYRKSA-N 1 2 310.398 1.584 20 30 DDEDLO CCn1ccnc1CN1CC2(C1)C[NH+](Cc1ccc(C#N)nc1)C2 ZINC001275295346 852962720 /nfs/dbraw/zinc/96/27/20/852962720.db2.gz HTKCYZOUBWBCHF-UHFFFAOYSA-N 1 2 322.416 1.487 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N1CC2(C1)C[NH+](Cc1cn[nH]c1)C2 ZINC001275458255 853150555 /nfs/dbraw/zinc/15/05/55/853150555.db2.gz MVDLLJWRICCYEY-UHFFFAOYSA-N 1 2 321.384 1.548 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)C[N@H+](C)Cc1cc(C)n(C)n1 ZINC001275657062 853510264 /nfs/dbraw/zinc/51/02/64/853510264.db2.gz AKXNDMWWPMXOLN-WQVCFCJDSA-N 1 2 320.437 1.256 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)C[N@@H+](C)Cc1cc(C)n(C)n1 ZINC001275657062 853510268 /nfs/dbraw/zinc/51/02/68/853510268.db2.gz AKXNDMWWPMXOLN-WQVCFCJDSA-N 1 2 320.437 1.256 20 30 DDEDLO COc1cc(C[N@H+](C)[C@H](C)CNC(=O)C#CC(C)C)sn1 ZINC001275819175 853811857 /nfs/dbraw/zinc/81/18/57/853811857.db2.gz MVZVMTORPRWWPF-GFCCVEGCSA-N 1 2 309.435 1.748 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@H](C)CNC(=O)C#CC(C)C)sn1 ZINC001275819175 853811860 /nfs/dbraw/zinc/81/18/60/853811860.db2.gz MVZVMTORPRWWPF-GFCCVEGCSA-N 1 2 309.435 1.748 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)C(C)(C)c2cccnc2)C1 ZINC001276062053 854690770 /nfs/dbraw/zinc/69/07/70/854690770.db2.gz FWQCKBNYPCLOAS-UHFFFAOYSA-N 1 2 317.433 1.488 20 30 DDEDLO C=CCOCCCC(=O)NCCC[NH2+]CC(F)(F)C(F)F ZINC001156166881 862757774 /nfs/dbraw/zinc/75/77/74/862757774.db2.gz YCWMFNPYCVXFHR-UHFFFAOYSA-N 1 2 314.323 1.966 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@]2(CCOC2=O)C1 ZINC001413086799 856390006 /nfs/dbraw/zinc/39/00/06/856390006.db2.gz ALQUBSABUOOCCB-AWEZNQCLSA-N 1 2 305.359 1.197 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@]2(CCOC2=O)C1 ZINC001413086799 856390017 /nfs/dbraw/zinc/39/00/17/856390017.db2.gz ALQUBSABUOOCCB-AWEZNQCLSA-N 1 2 305.359 1.197 20 30 DDEDLO N#Cc1ccc(CNS(=O)(=O)CCn2cc[nH+]c2)cc1F ZINC001413375827 856728979 /nfs/dbraw/zinc/72/89/79/856728979.db2.gz KZCAKYRKMWWYBR-UHFFFAOYSA-N 1 2 308.338 1.013 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001049557763 856973510 /nfs/dbraw/zinc/97/35/10/856973510.db2.gz KIGSEGCVSPJDSB-QLFBSQMISA-N 1 2 313.405 1.034 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@H+](Cc1cnc(Cl)s1)C2 ZINC001072550039 857432540 /nfs/dbraw/zinc/43/25/40/857432540.db2.gz HMTGUCYTGVSHGE-JTQLQIEISA-N 1 2 324.837 1.990 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnc(Cl)s1)C2 ZINC001072550039 857432542 /nfs/dbraw/zinc/43/25/42/857432542.db2.gz HMTGUCYTGVSHGE-JTQLQIEISA-N 1 2 324.837 1.990 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[N@H+](Cc1coc(C3CC3)n1)C2 ZINC001072552000 857434929 /nfs/dbraw/zinc/43/49/29/857434929.db2.gz LAFADRJKTHXLAJ-GFCCVEGCSA-N 1 2 314.389 1.746 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](Cc1coc(C3CC3)n1)C2 ZINC001072552000 857434935 /nfs/dbraw/zinc/43/49/35/857434935.db2.gz LAFADRJKTHXLAJ-GFCCVEGCSA-N 1 2 314.389 1.746 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)[nH]1 ZINC001072552858 857438719 /nfs/dbraw/zinc/43/87/19/857438719.db2.gz SWOQIDZBTNBGBD-RYUDHWBXSA-N 1 2 316.409 1.122 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)[nH]1 ZINC001072552858 857438726 /nfs/dbraw/zinc/43/87/26/857438726.db2.gz SWOQIDZBTNBGBD-RYUDHWBXSA-N 1 2 316.409 1.122 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001072562719 857452645 /nfs/dbraw/zinc/45/26/45/857452645.db2.gz CGVDYLWGVRDWHI-GJZGRUSLSA-N 1 2 316.405 1.040 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)n1 ZINC001072712869 857633047 /nfs/dbraw/zinc/63/30/47/857633047.db2.gz XJGLIHDSBKNRON-LBPRGKRZSA-N 1 2 314.389 1.387 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)n1 ZINC001072712869 857633050 /nfs/dbraw/zinc/63/30/50/857633050.db2.gz XJGLIHDSBKNRON-LBPRGKRZSA-N 1 2 314.389 1.387 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cncnc2C)C1 ZINC001073541533 858425412 /nfs/dbraw/zinc/42/54/12/858425412.db2.gz RERSPFCURLCEJM-ZDUSSCGKSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cncnc2C)C1 ZINC001073541533 858425416 /nfs/dbraw/zinc/42/54/16/858425416.db2.gz RERSPFCURLCEJM-ZDUSSCGKSA-N 1 2 324.812 1.358 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@H]2C[C@@H](O)C[N@@H+]2C)n1CC ZINC001121790888 858596809 /nfs/dbraw/zinc/59/68/09/858596809.db2.gz XNUALKLVVUZVJO-ZIAGYGMSSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@H]2C[C@@H](O)C[N@H+]2C)n1CC ZINC001121790888 858596810 /nfs/dbraw/zinc/59/68/10/858596810.db2.gz XNUALKLVVUZVJO-ZIAGYGMSSA-N 1 2 307.442 1.828 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCC(F)(F)C3)n2CC)CC1 ZINC001121801073 858600272 /nfs/dbraw/zinc/60/02/72/858600272.db2.gz HYTHAVOEDFRQDE-ZDUSSCGKSA-N 1 2 323.391 1.956 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H](C[NH2+]Cc2noc(C3CC3)n2)C1 ZINC001276976414 881423339 /nfs/dbraw/zinc/42/33/39/881423339.db2.gz BPVJJEKRZXSHAP-MQMHXKEQSA-N 1 2 316.405 1.591 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3ccc(C#N)nc3)CC2)c1 ZINC001140544376 860654033 /nfs/dbraw/zinc/65/40/33/860654033.db2.gz MEVAORDCBREMBQ-UHFFFAOYSA-N 1 2 304.357 1.542 20 30 DDEDLO C[C@]1(CO)C[N@H+](Cc2sc(N)c(C#N)c2Cl)CC[C@@H]1O ZINC001141107553 860788065 /nfs/dbraw/zinc/78/80/65/860788065.db2.gz YYUGKZOIIBYFKZ-GXFFZTMASA-N 1 2 315.826 1.421 20 30 DDEDLO C[C@]1(CO)C[N@@H+](Cc2sc(N)c(C#N)c2Cl)CC[C@@H]1O ZINC001141107553 860788073 /nfs/dbraw/zinc/78/80/73/860788073.db2.gz YYUGKZOIIBYFKZ-GXFFZTMASA-N 1 2 315.826 1.421 20 30 DDEDLO C[C@@H]([NH2+]CCN(CCO)C(=O)C#CC(C)(C)C)c1csnn1 ZINC001326020985 861047190 /nfs/dbraw/zinc/04/71/90/861047190.db2.gz GEFFHPUCNVTXQW-GFCCVEGCSA-N 1 2 324.450 1.059 20 30 DDEDLO N#Cc1ccc(C(=O)NCCC[NH2+]Cc2noc(C3CC3)n2)[nH]1 ZINC001156703399 863274365 /nfs/dbraw/zinc/27/43/65/863274365.db2.gz OFAUHXONGODYCW-UHFFFAOYSA-N 1 2 314.349 1.056 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)cn1 ZINC001157210141 863654323 /nfs/dbraw/zinc/65/43/23/863654323.db2.gz XCLQVGBQKQZSHU-OAHLLOKOSA-N 1 2 320.437 1.704 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)cn1 ZINC001157210141 863654328 /nfs/dbraw/zinc/65/43/28/863654328.db2.gz XCLQVGBQKQZSHU-OAHLLOKOSA-N 1 2 320.437 1.704 20 30 DDEDLO Cc1c[nH+]c(NCCc2cc(O)c(O)cc2O)c(/C=N/O)c1 ZINC001157245420 863678229 /nfs/dbraw/zinc/67/82/29/863678229.db2.gz ZVZUYALYQFPPEN-QGMBQPNBSA-N 1 2 303.318 1.970 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1 ZINC001153478537 863908726 /nfs/dbraw/zinc/90/87/26/863908726.db2.gz ZQHSBSKSSDFLKI-IUODEOHRSA-N 1 2 318.425 1.140 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1 ZINC001153478537 863908739 /nfs/dbraw/zinc/90/87/39/863908739.db2.gz ZQHSBSKSSDFLKI-IUODEOHRSA-N 1 2 318.425 1.140 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)C1C[NH+](CC=C(Cl)Cl)C1 ZINC001329996421 864003042 /nfs/dbraw/zinc/00/30/42/864003042.db2.gz CQXJPNPJODXRLD-WDEREUQCSA-N 1 2 319.232 1.780 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1C[N@H+](C)CCS(C)(=O)=O ZINC001157706049 864064523 /nfs/dbraw/zinc/06/45/23/864064523.db2.gz SKASEWMZMREDAZ-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1C[N@@H+](C)CCS(C)(=O)=O ZINC001157706049 864064544 /nfs/dbraw/zinc/06/45/44/864064544.db2.gz SKASEWMZMREDAZ-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO CN(C)C(=O)C[N@H+]1C[C@H]2CC[C@@H](C1)N2c1cc(C#N)ccc1F ZINC001277026692 881732805 /nfs/dbraw/zinc/73/28/05/881732805.db2.gz XQDINNIZZJEOLP-OKILXGFUSA-N 1 2 316.380 1.439 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2c1cc(C#N)ccc1F ZINC001277026692 881732819 /nfs/dbraw/zinc/73/28/19/881732819.db2.gz XQDINNIZZJEOLP-OKILXGFUSA-N 1 2 316.380 1.439 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(CCCC)n1 ZINC001157870234 864208830 /nfs/dbraw/zinc/20/88/30/864208830.db2.gz ZFVVODVAYDLCPW-ZDUSSCGKSA-N 1 2 322.409 1.046 20 30 DDEDLO CC(C)[C@@H](CCNC(=O)[C@@H]1CCc2c[nH+]cn2C1)NCC#N ZINC001331203316 864940409 /nfs/dbraw/zinc/94/04/09/864940409.db2.gz SYTVIZIBUSJFAW-UKRRQHHQSA-N 1 2 303.410 1.090 20 30 DDEDLO CC#CCCNC(=O)C(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC001331854612 865388314 /nfs/dbraw/zinc/38/83/14/865388314.db2.gz LNCLEFOTVIZCDJ-INIZCTEOSA-N 1 2 324.384 1.270 20 30 DDEDLO C#CCC1(C(=O)NCCC[NH2+]Cc2noc(C3CC3)n2)CCC1 ZINC001159666753 865457660 /nfs/dbraw/zinc/45/76/60/865457660.db2.gz XKTFVZFTTGWNPF-UHFFFAOYSA-N 1 2 316.405 1.737 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CC)c1ccc(F)c(C)c1 ZINC001331975612 865475277 /nfs/dbraw/zinc/47/52/77/865475277.db2.gz HFVHMOUEVFHACR-AWEZNQCLSA-N 1 2 308.353 1.934 20 30 DDEDLO C=CCCOCC(=O)NCC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001225611326 881934296 /nfs/dbraw/zinc/93/42/96/881934296.db2.gz PCONJEOWRVVILG-UHFFFAOYSA-N 1 2 322.409 1.299 20 30 DDEDLO COc1ccnc(N[C@@H]2CCC[C@@H]2[NH+]2CCOCC2)c1C#N ZINC001160725976 866075758 /nfs/dbraw/zinc/07/57/58/866075758.db2.gz WPSNUSNRZSWQCD-KGLIPLIRSA-N 1 2 302.378 1.627 20 30 DDEDLO CC(C)N(CC[N@H+](C)CC(=O)NCC#N)C(=O)[C@@H]1CCC1(C)C ZINC001332722543 866096967 /nfs/dbraw/zinc/09/69/67/866096967.db2.gz HYTIXWQAZHUYSS-AWEZNQCLSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)N(CC[N@@H+](C)CC(=O)NCC#N)C(=O)[C@@H]1CCC1(C)C ZINC001332722543 866096981 /nfs/dbraw/zinc/09/69/81/866096981.db2.gz HYTIXWQAZHUYSS-AWEZNQCLSA-N 1 2 322.453 1.231 20 30 DDEDLO C=CCCOCC(=O)NCC1CC[NH+](Cc2cnns2)CC1 ZINC001225625481 881943700 /nfs/dbraw/zinc/94/37/00/881943700.db2.gz KJDXDLYHDKRFTB-UHFFFAOYSA-N 1 2 324.450 1.459 20 30 DDEDLO Cc1cnn(CC(=O)NC[C@@H]2CC[N@@H+]2CC#Cc2ccccc2)c1 ZINC001323194919 866417812 /nfs/dbraw/zinc/41/78/12/866417812.db2.gz SHMFGRLCSVQNSG-SFHVURJKSA-N 1 2 322.412 1.434 20 30 DDEDLO Cc1cnn(CC(=O)NC[C@@H]2CC[N@H+]2CC#Cc2ccccc2)c1 ZINC001323194919 866417818 /nfs/dbraw/zinc/41/78/18/866417818.db2.gz SHMFGRLCSVQNSG-SFHVURJKSA-N 1 2 322.412 1.434 20 30 DDEDLO N#CCN1CC=C(CCNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001161189357 866432939 /nfs/dbraw/zinc/43/29/39/866432939.db2.gz KUFCVOFFPLQCPI-CQSZACIVSA-N 1 2 313.405 1.492 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@]1(C)CC=C(C)CC1 ZINC001320086163 866459316 /nfs/dbraw/zinc/45/93/16/866459316.db2.gz XXUQVDHRFFKFPP-GOSISDBHSA-N 1 2 319.449 1.263 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@]1(C)CC=C(C)CC1 ZINC001320086163 866459321 /nfs/dbraw/zinc/45/93/21/866459321.db2.gz XXUQVDHRFFKFPP-GOSISDBHSA-N 1 2 319.449 1.263 20 30 DDEDLO O=C(C#CC1CC1)NC1(C2CC[NH+](Cc3cnon3)CC2)CC1 ZINC001333180757 866493227 /nfs/dbraw/zinc/49/32/27/866493227.db2.gz IUHPBKJNPGIPBT-UHFFFAOYSA-N 1 2 314.389 1.344 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)n1 ZINC001333313435 866623192 /nfs/dbraw/zinc/62/31/92/866623192.db2.gz YQLZJMARJVVGIJ-GHMZBOCLSA-N 1 2 303.366 1.347 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)n1 ZINC001333313435 866623199 /nfs/dbraw/zinc/62/31/99/866623199.db2.gz YQLZJMARJVVGIJ-GHMZBOCLSA-N 1 2 303.366 1.347 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC(CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001333753715 866983871 /nfs/dbraw/zinc/98/38/71/866983871.db2.gz KCNWSOVUJGONLM-UHFFFAOYSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001333915673 867151234 /nfs/dbraw/zinc/15/12/34/867151234.db2.gz JIOOENMMNWJHGY-NEPJUHHUSA-N 1 2 306.410 1.626 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@]1(C)CCC[N@H+](Cc2cnon2)C1 ZINC001324926375 867631175 /nfs/dbraw/zinc/63/11/75/867631175.db2.gz IKISQZUPVUULRC-CVEARBPZSA-N 1 2 322.409 1.115 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@]1(C)CCC[N@@H+](Cc2cnon2)C1 ZINC001324926375 867631190 /nfs/dbraw/zinc/63/11/90/867631190.db2.gz IKISQZUPVUULRC-CVEARBPZSA-N 1 2 322.409 1.115 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)[C@@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001334565678 867672002 /nfs/dbraw/zinc/67/20/02/867672002.db2.gz GDTRDBGBXJNMSR-QWHCGFSZSA-N 1 2 306.410 1.518 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@]1(O)CC[N@H+](Cc2coc(C)n2)C1 ZINC001325114419 867784089 /nfs/dbraw/zinc/78/40/89/867784089.db2.gz LTKAGMSOMBPQOH-QGZVFWFLSA-N 1 2 321.421 1.638 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@]1(O)CC[N@@H+](Cc2coc(C)n2)C1 ZINC001325114419 867784098 /nfs/dbraw/zinc/78/40/98/867784098.db2.gz LTKAGMSOMBPQOH-QGZVFWFLSA-N 1 2 321.421 1.638 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1cc(=O)n2cc(C)sc2n1)[C@H]1CCCO1 ZINC001334793111 867832923 /nfs/dbraw/zinc/83/29/23/867832923.db2.gz FBBPVUJISDZVEG-CHWSQXEVSA-N 1 2 303.387 1.335 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3c(F)c(F)ccc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001225962303 882133098 /nfs/dbraw/zinc/13/30/98/882133098.db2.gz GIKVNTLZLJKBHY-JSEJZBOZSA-N 1 2 312.272 1.864 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3c(F)c(F)ccc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001225962303 882133104 /nfs/dbraw/zinc/13/31/04/882133104.db2.gz GIKVNTLZLJKBHY-JSEJZBOZSA-N 1 2 312.272 1.864 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)NCc2nc(C[NH+](C)C)cs2)C1 ZINC001335213181 868141344 /nfs/dbraw/zinc/14/13/44/868141344.db2.gz UOASZNCCUJHMLB-CYBMUJFWSA-N 1 2 324.450 1.691 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[N@H+]([C@H](C)c3noc(C)n3)C[C@@H]2C1 ZINC001325720018 868287165 /nfs/dbraw/zinc/28/71/65/868287165.db2.gz FWTYKCRRPPICDF-BNOWGMLFSA-N 1 2 320.393 1.032 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[N@@H+]([C@H](C)c3noc(C)n3)C[C@@H]2C1 ZINC001325720018 868287184 /nfs/dbraw/zinc/28/71/84/868287184.db2.gz FWTYKCRRPPICDF-BNOWGMLFSA-N 1 2 320.393 1.032 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2ccc(C#C[Si](C)(C)C)nc2)C[NH2+]1 ZINC001164312874 869117111 /nfs/dbraw/zinc/11/71/11/869117111.db2.gz WROLENZUWVEDHL-GJZGRUSLSA-N 1 2 317.465 1.626 20 30 DDEDLO CCOC(=O)c1c(C)nc(Cl)cc1N1CC[NH2+]C[C@H]1C#N ZINC001164640643 869336905 /nfs/dbraw/zinc/33/69/05/869336905.db2.gz CPIMOBIRSNCBFG-SNVBAGLBSA-N 1 2 308.769 1.522 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)[C@H]1C ZINC001337903139 869678664 /nfs/dbraw/zinc/67/86/64/869678664.db2.gz ALVASCPBOBDGRZ-TZMCWYRMSA-N 1 2 304.394 1.034 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(Cc2cnsn2)CC1 ZINC001316965020 870002976 /nfs/dbraw/zinc/00/29/76/870002976.db2.gz NNGYTEWWDXJZGG-UHFFFAOYSA-N 1 2 323.466 1.128 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)C[C@H](C)C(F)(F)F)CC1 ZINC001316966481 870007312 /nfs/dbraw/zinc/00/73/12/870007312.db2.gz DNZVDRKJDMOZJI-ZDUSSCGKSA-N 1 2 319.371 1.332 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CNC(=O)Cc1[nH]c[nH+]c1C ZINC001297659195 870127983 /nfs/dbraw/zinc/12/79/83/870127983.db2.gz UFBJJFLGPJQYJG-CYBMUJFWSA-N 1 2 304.394 1.334 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N1CCC(CO)CC1 ZINC001338749232 870146867 /nfs/dbraw/zinc/14/68/67/870146867.db2.gz JVTUQHVSTJRKDQ-HNNXBMFYSA-N 1 2 319.453 1.830 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1CCC(CO)CC1 ZINC001338749232 870146870 /nfs/dbraw/zinc/14/68/70/870146870.db2.gz JVTUQHVSTJRKDQ-HNNXBMFYSA-N 1 2 319.453 1.830 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)CCOCC ZINC001338895158 870230271 /nfs/dbraw/zinc/23/02/71/870230271.db2.gz NSPGSPQMHQCQMW-KBPBESRZSA-N 1 2 323.441 1.064 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)CCOCC ZINC001338895158 870230278 /nfs/dbraw/zinc/23/02/78/870230278.db2.gz NSPGSPQMHQCQMW-KBPBESRZSA-N 1 2 323.441 1.064 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1CCC[N@H+](Cc2ncnn2C)C1 ZINC001317135240 870334219 /nfs/dbraw/zinc/33/42/19/870334219.db2.gz IIYUXIQUAFDWPG-HUUCEWRRSA-N 1 2 319.453 1.746 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1CCC[N@@H+](Cc2ncnn2C)C1 ZINC001317135240 870334228 /nfs/dbraw/zinc/33/42/28/870334228.db2.gz IIYUXIQUAFDWPG-HUUCEWRRSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)[C@H]1CC[C@H]1OC ZINC001339211538 870405301 /nfs/dbraw/zinc/40/53/01/870405301.db2.gz QKDUOXFVVUBFSW-QWHCGFSZSA-N 1 2 316.409 1.402 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC[C@H]2CNC(=O)C#CC2CC2)c(C)o1 ZINC001317505454 870942095 /nfs/dbraw/zinc/94/20/95/870942095.db2.gz PWCOLJPHRKOUEV-HNNXBMFYSA-N 1 2 301.390 1.785 20 30 DDEDLO Cc1nc(C[N@H+]2CCC[C@H]2CNC(=O)C#CC2CC2)c(C)o1 ZINC001317505454 870942108 /nfs/dbraw/zinc/94/21/08/870942108.db2.gz PWCOLJPHRKOUEV-HNNXBMFYSA-N 1 2 301.390 1.785 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C)[C@H](F)C1 ZINC001340257997 870992762 /nfs/dbraw/zinc/99/27/62/870992762.db2.gz DHOQAXQBHALCPJ-RQJABVFESA-N 1 2 323.416 1.386 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C)[C@H](F)C1 ZINC001340257997 870992775 /nfs/dbraw/zinc/99/27/75/870992775.db2.gz DHOQAXQBHALCPJ-RQJABVFESA-N 1 2 323.416 1.386 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(F)c(C#N)c1N)[NH+]1CCSCC1 ZINC001301821290 871026401 /nfs/dbraw/zinc/02/64/01/871026401.db2.gz MWZBRVXZBCRPKL-SECBINFHSA-N 1 2 308.382 1.655 20 30 DDEDLO C=CCC1(C(=O)N(C)CC[NH2+]Cc2nnc(C)o2)CCOCC1 ZINC001317654190 871322719 /nfs/dbraw/zinc/32/27/19/871322719.db2.gz LUURDKZAFURNGQ-UHFFFAOYSA-N 1 2 322.409 1.299 20 30 DDEDLO C=CCC1(O)CCN(c2nnc(C[NH+]3CCCCC3)n2C)CC1 ZINC001341702045 871782893 /nfs/dbraw/zinc/78/28/93/871782893.db2.gz AWEWJGFJGANRTJ-UHFFFAOYSA-N 1 2 319.453 1.708 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1CCCC1 ZINC001318185366 871799951 /nfs/dbraw/zinc/79/99/51/871799951.db2.gz XCDDYJFGSZYQLU-BPUTZDHNSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1CCCC1 ZINC001318185366 871799961 /nfs/dbraw/zinc/79/99/61/871799961.db2.gz XCDDYJFGSZYQLU-BPUTZDHNSA-N 1 2 319.449 1.543 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cccc4c3NC(=O)CO4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226636981 882557345 /nfs/dbraw/zinc/55/73/45/882557345.db2.gz LFUAGTZGEZDIEF-QERUWLHFSA-N 1 2 302.330 1.009 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cccc4c3NC(=O)CO4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226636981 882557357 /nfs/dbraw/zinc/55/73/57/882557357.db2.gz LFUAGTZGEZDIEF-QERUWLHFSA-N 1 2 302.330 1.009 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)c1cc(C)co1)C2 ZINC001316805766 871909661 /nfs/dbraw/zinc/90/96/61/871909661.db2.gz JYAUDAZIQDIPKC-UHFFFAOYSA-N 1 2 315.398 1.966 20 30 DDEDLO C[C@H](C#N)C(=O)NC1CC[NH+](Cc2coc(C3CC3)n2)CC1 ZINC001226640786 882561585 /nfs/dbraw/zinc/56/15/85/882561585.db2.gz PSCCPFGWEVEXRL-LLVKDONJSA-N 1 2 302.378 1.792 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccncc2Cl)C1 ZINC001318331374 871937130 /nfs/dbraw/zinc/93/71/30/871937130.db2.gz PXOLZJLUXWHMFD-GXTWGEPZSA-N 1 2 321.808 1.464 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccncc2Cl)C1 ZINC001318331374 871937135 /nfs/dbraw/zinc/93/71/35/871937135.db2.gz PXOLZJLUXWHMFD-GXTWGEPZSA-N 1 2 321.808 1.464 20 30 DDEDLO C[C@@H]1CCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)[C@H](CNCC#N)C1 ZINC001316702036 871948793 /nfs/dbraw/zinc/94/87/93/871948793.db2.gz NMYSVUMWQVQMCZ-KFWWJZLASA-N 1 2 315.421 1.186 20 30 DDEDLO CC(C)c1noc([C@@H](C)[NH+]2CCC(NC(=O)[C@H](C)C#N)CC2)n1 ZINC001226649204 882565497 /nfs/dbraw/zinc/56/54/97/882565497.db2.gz RVARMWBQIIBAJS-VXGBXAGGSA-N 1 2 319.409 1.994 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CC(=O)Nc2cc(C(C)(C)C)nn2C)C1 ZINC001342227152 872086839 /nfs/dbraw/zinc/08/68/39/872086839.db2.gz AWTCUUHHOJMLRE-ZDUSSCGKSA-N 1 2 320.437 1.933 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CC(=O)Nc2cc(C(C)(C)C)nn2C)C1 ZINC001342227152 872086857 /nfs/dbraw/zinc/08/68/57/872086857.db2.gz AWTCUUHHOJMLRE-ZDUSSCGKSA-N 1 2 320.437 1.933 20 30 DDEDLO CC[C@@H](CNC(=O)C#CC(C)C)[NH2+]Cc1nc(C2CC2)no1 ZINC001318518645 872126304 /nfs/dbraw/zinc/12/63/04/872126304.db2.gz NKQIRZQVMTUXHM-ZDUSSCGKSA-N 1 2 304.394 1.591 20 30 DDEDLO C=CCCOCC(=O)N(C)C1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001316936708 872412690 /nfs/dbraw/zinc/41/26/90/872412690.db2.gz FADIDXVMZNWQEY-UHFFFAOYSA-N 1 2 322.409 1.394 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(CC)CC)n2CCOC)CC1 ZINC001343201726 872533790 /nfs/dbraw/zinc/53/37/90/872533790.db2.gz XWWXCRQYIJITSO-UHFFFAOYSA-N 1 2 319.453 1.583 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)Cc2c(F)cccc2F)C1 ZINC001319320060 872570193 /nfs/dbraw/zinc/57/01/93/872570193.db2.gz UNNPHUGHIBWHHT-GFCCVEGCSA-N 1 2 310.344 1.510 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)Cc2c(F)cccc2F)C1 ZINC001319320060 872570209 /nfs/dbraw/zinc/57/02/09/872570209.db2.gz UNNPHUGHIBWHHT-GFCCVEGCSA-N 1 2 310.344 1.510 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)Cc2ccccc2C)C1 ZINC001319331246 872583619 /nfs/dbraw/zinc/58/36/19/872583619.db2.gz FCRUHXWOFZRMIX-QGZVFWFLSA-N 1 2 302.418 1.931 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)Cc2ccccc2C)C1 ZINC001319331246 872583629 /nfs/dbraw/zinc/58/36/29/872583629.db2.gz FCRUHXWOFZRMIX-QGZVFWFLSA-N 1 2 302.418 1.931 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C2)nn1C ZINC001206951045 872833223 /nfs/dbraw/zinc/83/32/23/872833223.db2.gz ULPFAIYNKDBRHQ-CZUORRHYSA-N 1 2 316.449 1.715 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C2)nn1C ZINC001206951045 872833233 /nfs/dbraw/zinc/83/32/33/872833233.db2.gz ULPFAIYNKDBRHQ-CZUORRHYSA-N 1 2 316.449 1.715 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2ncccn2)C[C@H]1C ZINC001206957519 872846613 /nfs/dbraw/zinc/84/66/13/872846613.db2.gz BXWGSXNTCRFUMP-QLFBSQMISA-N 1 2 316.405 1.014 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2ncccn2)C[C@H]1C ZINC001206957519 872846621 /nfs/dbraw/zinc/84/66/21/872846621.db2.gz BXWGSXNTCRFUMP-QLFBSQMISA-N 1 2 316.405 1.014 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@H+](Cc2cc(C#N)n(C)c2)CC1 ZINC001207105848 873018525 /nfs/dbraw/zinc/01/85/25/873018525.db2.gz CGPZXSACMKVIOL-AWEZNQCLSA-N 1 2 303.362 1.241 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@@H+](Cc2cc(C#N)n(C)c2)CC1 ZINC001207105848 873018546 /nfs/dbraw/zinc/01/85/46/873018546.db2.gz CGPZXSACMKVIOL-AWEZNQCLSA-N 1 2 303.362 1.241 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@@H]1CC ZINC001344757094 873104123 /nfs/dbraw/zinc/10/41/23/873104123.db2.gz SOPFNGKPOXZMGV-LSDHHAIUSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)[C@@H]1CC ZINC001344757094 873104126 /nfs/dbraw/zinc/10/41/26/873104126.db2.gz SOPFNGKPOXZMGV-LSDHHAIUSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@@H]1C ZINC001345397350 873388144 /nfs/dbraw/zinc/38/81/44/873388144.db2.gz ZRFLZCBLJLCSNL-HIFRSBDPSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)[C@@H]1C ZINC001345397350 873388152 /nfs/dbraw/zinc/38/81/52/873388152.db2.gz ZRFLZCBLJLCSNL-HIFRSBDPSA-N 1 2 318.421 1.804 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CC=CC3)n2CCOC)CC1 ZINC001345479004 873418565 /nfs/dbraw/zinc/41/85/65/873418565.db2.gz WOKNPXPYHAFKJP-UHFFFAOYSA-N 1 2 315.421 1.113 20 30 DDEDLO C#C[C@H](NC(=O)NC[C@@H](C)[NH+]1CCN(C)CC1)c1ccccc1 ZINC001345953632 873571087 /nfs/dbraw/zinc/57/10/87/873571087.db2.gz SWTXSEJWMAWBSE-WBVHZDCISA-N 1 2 314.433 1.296 20 30 DDEDLO C#C[C@H](NC(=O)NCc1cc[nH+]c(NCC)c1)C1CCOCC1 ZINC001346518016 873786664 /nfs/dbraw/zinc/78/66/64/873786664.db2.gz KPPDKUOBULUFFY-HNNXBMFYSA-N 1 2 316.405 1.741 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCCC#N)n2CC2CC2)CC1 ZINC001346518697 873788542 /nfs/dbraw/zinc/78/85/42/873788542.db2.gz LUFCUZQBVKIKAS-UHFFFAOYSA-N 1 2 312.421 1.290 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208371295 874072726 /nfs/dbraw/zinc/07/27/26/874072726.db2.gz NRNMVNAXQCKOFV-GDBMZVCRSA-N 1 2 302.422 1.550 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208371295 874072742 /nfs/dbraw/zinc/07/27/42/874072742.db2.gz NRNMVNAXQCKOFV-GDBMZVCRSA-N 1 2 302.422 1.550 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)s1 ZINC001381855172 882812321 /nfs/dbraw/zinc/81/23/21/882812321.db2.gz MRKBLPDPNCQYAO-PWSUYJOCSA-N 1 2 306.435 1.938 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)s1 ZINC001381855172 882812338 /nfs/dbraw/zinc/81/23/38/882812338.db2.gz MRKBLPDPNCQYAO-PWSUYJOCSA-N 1 2 306.435 1.938 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)C1 ZINC001349064891 874954124 /nfs/dbraw/zinc/95/41/24/874954124.db2.gz LKFPJHJCTKTEEN-HNNXBMFYSA-N 1 2 323.437 1.433 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)C1 ZINC001349064891 874954129 /nfs/dbraw/zinc/95/41/29/874954129.db2.gz LKFPJHJCTKTEEN-HNNXBMFYSA-N 1 2 323.437 1.433 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001210226714 875280040 /nfs/dbraw/zinc/28/00/40/875280040.db2.gz TZPSNNVMAWKCMM-RRFJBIMHSA-N 1 2 322.453 1.135 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001210226714 875280048 /nfs/dbraw/zinc/28/00/48/875280048.db2.gz TZPSNNVMAWKCMM-RRFJBIMHSA-N 1 2 322.453 1.135 20 30 DDEDLO CCc1[nH]c(C=NNCCCn2cc[nH+]c2)cc1C(=O)OC ZINC001349818856 875390768 /nfs/dbraw/zinc/39/07/68/875390768.db2.gz YIZDQQNKJYWESI-UHFFFAOYSA-N 1 2 303.366 1.574 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001211355914 875768969 /nfs/dbraw/zinc/76/89/69/875768969.db2.gz YLNMAKWPDQGOJF-GFCCVEGCSA-N 1 2 310.423 1.211 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])cc3C#N)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227311796 882965544 /nfs/dbraw/zinc/96/55/44/882965544.db2.gz GIRUPYDMPKECGB-KYFMZXIUSA-N 1 2 301.302 1.458 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])cc3C#N)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227311796 882965561 /nfs/dbraw/zinc/96/55/61/882965561.db2.gz GIRUPYDMPKECGB-KYFMZXIUSA-N 1 2 301.302 1.458 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213317253 875893713 /nfs/dbraw/zinc/89/37/13/875893713.db2.gz BYGANRZMVXXXKE-DAXOMENPSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213317253 875893719 /nfs/dbraw/zinc/89/37/19/875893719.db2.gz BYGANRZMVXXXKE-DAXOMENPSA-N 1 2 321.421 1.901 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)CC(C)(C)C)[C@H](OC)C1 ZINC001213535002 875969086 /nfs/dbraw/zinc/96/90/86/875969086.db2.gz UGVOYIFIGRCOQZ-ARFHVFGLSA-N 1 2 324.465 1.524 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)CC(C)(C)C)[C@H](OC)C1 ZINC001213535002 875969092 /nfs/dbraw/zinc/96/90/92/875969092.db2.gz UGVOYIFIGRCOQZ-ARFHVFGLSA-N 1 2 324.465 1.524 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](Cc1[nH+]ccn1C)c1ccccc1 ZINC001351044057 876033035 /nfs/dbraw/zinc/03/30/35/876033035.db2.gz CMOSHZGNRLUZTB-GDBMZVCRSA-N 1 2 311.385 1.858 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)Cc1ccoc1 ZINC001351603007 876341747 /nfs/dbraw/zinc/34/17/47/876341747.db2.gz BWSFQTBMEQKFQS-UONOGXRCSA-N 1 2 317.393 1.431 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)Cc1ccoc1 ZINC001351603007 876341758 /nfs/dbraw/zinc/34/17/58/876341758.db2.gz BWSFQTBMEQKFQS-UONOGXRCSA-N 1 2 317.393 1.431 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001215429743 876709346 /nfs/dbraw/zinc/70/93/46/876709346.db2.gz OJFKLXUYXGBATK-CHWSQXEVSA-N 1 2 322.409 1.509 20 30 DDEDLO C#CCCCn1c(CC2CC2)nnc1N1CC[NH+](CC#C)CC1 ZINC001300420029 877592177 /nfs/dbraw/zinc/59/21/77/877592177.db2.gz ZIIUVIZVPZJALL-UHFFFAOYSA-N 1 2 311.433 1.399 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CC(C)(C)C(F)(F)F)[C@H]2C1 ZINC001219013125 877874545 /nfs/dbraw/zinc/87/45/45/877874545.db2.gz GVCRYWQJHUIFNJ-NWDGAFQWSA-N 1 2 318.339 1.510 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CC(C)(C)C(F)(F)F)[C@H]2C1 ZINC001219013125 877874552 /nfs/dbraw/zinc/87/45/52/877874552.db2.gz GVCRYWQJHUIFNJ-NWDGAFQWSA-N 1 2 318.339 1.510 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219103159 877921286 /nfs/dbraw/zinc/92/12/86/877921286.db2.gz SCEIQUONYHGNHG-CVEARBPZSA-N 1 2 304.365 1.139 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219103159 877921300 /nfs/dbraw/zinc/92/13/00/877921300.db2.gz SCEIQUONYHGNHG-CVEARBPZSA-N 1 2 304.365 1.139 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1CCCCCC1 ZINC001276802163 877938159 /nfs/dbraw/zinc/93/81/59/877938159.db2.gz XEHMVQHTZBDMJZ-GOEBONIOSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1CCCCCC1 ZINC001276802163 877938166 /nfs/dbraw/zinc/93/81/66/877938166.db2.gz XEHMVQHTZBDMJZ-GOEBONIOSA-N 1 2 321.465 1.838 20 30 DDEDLO C[Si](C)(C)C#Cc1cccc(NC(=O)[C@@H]2COCC[NH2+]2)c1 ZINC001219310437 878108584 /nfs/dbraw/zinc/10/85/84/878108584.db2.gz DEZBBIKVZSLLLD-HNNXBMFYSA-N 1 2 302.450 1.842 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2Cc2nccs2)CC1 ZINC001300701405 878178480 /nfs/dbraw/zinc/17/84/80/878178480.db2.gz RSUPKDXPMSZLDH-UHFFFAOYSA-N 1 2 316.434 1.101 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H](NC(=O)/C=C\C2CC2)[C@@H](O)C1 ZINC001219428412 878201910 /nfs/dbraw/zinc/20/19/10/878201910.db2.gz MRTNRHOHVSAMSR-ZMJQMOPZSA-N 1 2 311.385 1.186 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H](NC(=O)/C=C\C2CC2)[C@@H](O)C1 ZINC001219428412 878201894 /nfs/dbraw/zinc/20/18/94/878201894.db2.gz MRTNRHOHVSAMSR-ZMJQMOPZSA-N 1 2 311.385 1.186 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001287600982 912341326 /nfs/dbraw/zinc/34/13/26/912341326.db2.gz KZFIDSKRBOGBJJ-MGPQQGTHSA-N 1 2 316.405 1.280 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001287600982 912341343 /nfs/dbraw/zinc/34/13/43/912341343.db2.gz KZFIDSKRBOGBJJ-MGPQQGTHSA-N 1 2 316.405 1.280 20 30 DDEDLO C=C1CCC(C(=O)NCC[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001355292630 878490078 /nfs/dbraw/zinc/49/00/78/878490078.db2.gz PTTIJHXKFRKICQ-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)NCCC[C@@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001355359506 878519805 /nfs/dbraw/zinc/51/98/05/878519805.db2.gz PNFPEJQRFACSAV-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)c1cnnn1CC)C(C)C ZINC001380003401 878530175 /nfs/dbraw/zinc/53/01/75/878530175.db2.gz CMYYUDMNMYAOEV-UHFFFAOYSA-N 1 2 313.833 1.833 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)c1cnnn1CC)C(C)C ZINC001380003401 878530185 /nfs/dbraw/zinc/53/01/85/878530185.db2.gz CMYYUDMNMYAOEV-UHFFFAOYSA-N 1 2 313.833 1.833 20 30 DDEDLO C=CCN(c1nnc([C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1C)C1CC1 ZINC001355733766 878694433 /nfs/dbraw/zinc/69/44/33/878694433.db2.gz BRFSGRBFPUAPJV-CABCVRRESA-N 1 2 317.437 1.896 20 30 DDEDLO C=CCN(c1nnc([C@@H]2C[N@H+]3CCCC[C@@H]3CO2)n1C)C1CC1 ZINC001355733766 878694441 /nfs/dbraw/zinc/69/44/41/878694441.db2.gz BRFSGRBFPUAPJV-CABCVRRESA-N 1 2 317.437 1.896 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnc(C)cn2)C[C@@H]1O ZINC001220142909 878732015 /nfs/dbraw/zinc/73/20/15/878732015.db2.gz MTRJDFBTHXLLAU-CFVMTHIKSA-N 1 2 318.421 1.220 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnc(C)cn2)C[C@@H]1O ZINC001220142909 878732027 /nfs/dbraw/zinc/73/20/27/878732027.db2.gz MTRJDFBTHXLLAU-CFVMTHIKSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@@H]1O ZINC001220205594 878820213 /nfs/dbraw/zinc/82/02/13/878820213.db2.gz FWVBAKNFQQCNDJ-YUTCNCBUSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@@H]1O ZINC001220205594 878820205 /nfs/dbraw/zinc/82/02/05/878820205.db2.gz FWVBAKNFQQCNDJ-YUTCNCBUSA-N 1 2 309.435 1.407 20 30 DDEDLO CCCCC[C@H]([NH2+]C)C(=O)N=C(NO)c1ccc(OC)cn1 ZINC001220378451 878964103 /nfs/dbraw/zinc/96/41/03/878964103.db2.gz AGBLMCRICHWPKC-ZDUSSCGKSA-N 1 2 308.382 1.511 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220435422 879012813 /nfs/dbraw/zinc/01/28/13/879012813.db2.gz AQAFVRBXGBSZDO-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220435422 879012825 /nfs/dbraw/zinc/01/28/25/879012825.db2.gz AQAFVRBXGBSZDO-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO CCCCCC[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001220589260 879116401 /nfs/dbraw/zinc/11/64/01/879116401.db2.gz ZCYMBPQWNBSFOS-BBWFWOEESA-N 1 2 324.465 1.404 20 30 DDEDLO CCCCCC[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001220589260 879116412 /nfs/dbraw/zinc/11/64/12/879116412.db2.gz ZCYMBPQWNBSFOS-BBWFWOEESA-N 1 2 324.465 1.404 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](N(CCC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001356696351 879198218 /nfs/dbraw/zinc/19/82/18/879198218.db2.gz DTNYQIZIPVZLKV-AWEZNQCLSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001356785977 879353555 /nfs/dbraw/zinc/35/35/55/879353555.db2.gz FYUSWCZTRAWFGU-KBPBESRZSA-N 1 2 318.421 1.764 20 30 DDEDLO C=CCCC(=O)N[C@@H](CC)CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001356898982 879560769 /nfs/dbraw/zinc/56/07/69/879560769.db2.gz SSCNQBQIMOMVAC-KGLIPLIRSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001356992552 879666013 /nfs/dbraw/zinc/66/60/13/879666013.db2.gz SFOHFOQHEQKBJW-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO CC#CCCCC(=O)N(C)CCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001357278877 879887640 /nfs/dbraw/zinc/88/76/40/879887640.db2.gz WJEHODTXXMVTPT-UHFFFAOYSA-N 1 2 304.394 1.063 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221529943 879924212 /nfs/dbraw/zinc/92/42/12/879924212.db2.gz SHUOQRFVISXJPW-HZPDHXFCSA-N 1 2 319.449 1.095 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221529943 879924226 /nfs/dbraw/zinc/92/42/26/879924226.db2.gz SHUOQRFVISXJPW-HZPDHXFCSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCCC)C[C@H]21 ZINC001221779809 880076747 /nfs/dbraw/zinc/07/67/47/880076747.db2.gz NOEYCVHTCIGKOE-HZPDHXFCSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCCC)C[C@H]21 ZINC001221779809 880076752 /nfs/dbraw/zinc/07/67/52/880076752.db2.gz NOEYCVHTCIGKOE-HZPDHXFCSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[N@@H+](CC(=O)NCC)C[C@H]32)CC1 ZINC001221790158 880083556 /nfs/dbraw/zinc/08/35/56/880083556.db2.gz HJGJQIBHBCSAPM-HZPDHXFCSA-N 1 2 319.449 1.402 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[N@H+](CC(=O)NCC)C[C@H]32)CC1 ZINC001221790158 880083575 /nfs/dbraw/zinc/08/35/75/880083575.db2.gz HJGJQIBHBCSAPM-HZPDHXFCSA-N 1 2 319.449 1.402 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCC)C[C@H]21 ZINC001221790658 880085690 /nfs/dbraw/zinc/08/56/90/880085690.db2.gz UISUDYOMUMYLIR-RKVPGOIHSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCC)C[C@H]21 ZINC001221790658 880085696 /nfs/dbraw/zinc/08/56/96/880085696.db2.gz UISUDYOMUMYLIR-RKVPGOIHSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[N@@H+](CC(=O)NC(C)C)C[C@H]32)C1 ZINC001221802242 880097251 /nfs/dbraw/zinc/09/72/51/880097251.db2.gz AWHMSDLKRBXHOV-HUUCEWRRSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[N@H+](CC(=O)NC(C)C)C[C@H]32)C1 ZINC001221802242 880097260 /nfs/dbraw/zinc/09/72/60/880097260.db2.gz AWHMSDLKRBXHOV-HUUCEWRRSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001222068312 880213798 /nfs/dbraw/zinc/21/37/98/880213798.db2.gz SAPGVXZIWNQACC-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C=C(C)C(=O)OCC(COC(=O)C(=C)C)OCc1c[nH+]cn1C ZINC001222595188 880586003 /nfs/dbraw/zinc/58/60/03/880586003.db2.gz SCQUCBITGPIGPY-UHFFFAOYSA-N 1 2 322.361 1.544 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nnc(C)o3)[C@H]2C1 ZINC001222613980 880602529 /nfs/dbraw/zinc/60/25/29/880602529.db2.gz ROPAWHIXMSRRIF-CABCVRRESA-N 1 2 316.405 1.604 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3nnc(C)o3)[C@H]2C1 ZINC001222613980 880602537 /nfs/dbraw/zinc/60/25/37/880602537.db2.gz ROPAWHIXMSRRIF-CABCVRRESA-N 1 2 316.405 1.604 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1CC[NH+](Cc2nnc(C3CC3)[nH]2)CC1 ZINC001222641611 880617885 /nfs/dbraw/zinc/61/78/85/880617885.db2.gz PPAMFZIZMBNSHA-LLVKDONJSA-N 1 2 316.409 1.170 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001358673971 880737885 /nfs/dbraw/zinc/73/78/85/880737885.db2.gz NPPDMMXBPHDTEV-OLZOCXBDSA-N 1 2 304.394 1.106 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001276910459 881051440 /nfs/dbraw/zinc/05/14/40/881051440.db2.gz ZTCOKVLYLFEFAA-WBVHZDCISA-N 1 2 316.376 1.306 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001276910459 881051459 /nfs/dbraw/zinc/05/14/59/881051459.db2.gz ZTCOKVLYLFEFAA-WBVHZDCISA-N 1 2 316.376 1.306 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2Cc2ccccc2F)CC1 ZINC001359030261 881161053 /nfs/dbraw/zinc/16/10/53/881161053.db2.gz RNUJYXFOLCRWBE-UHFFFAOYSA-N 1 2 313.380 1.529 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccncc3)n2CCC)CC1 ZINC001359037717 881165161 /nfs/dbraw/zinc/16/51/61/881165161.db2.gz UTRYNUVQGYPISR-UHFFFAOYSA-N 1 2 324.432 1.429 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2cc(C)on2)CC1 ZINC001230400090 884470585 /nfs/dbraw/zinc/47/05/85/884470585.db2.gz YPIPCYBTKJPBMF-UHFFFAOYSA-N 1 2 307.394 1.656 20 30 DDEDLO CC(=O)N1CCc2c1cccc2O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001230483347 884507074 /nfs/dbraw/zinc/50/70/74/884507074.db2.gz NCZVSZFSJKRLPD-CHZJHZBLSA-N 1 2 314.385 1.587 20 30 DDEDLO CC(=O)N1CCc2c1cccc2O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001230483347 884507087 /nfs/dbraw/zinc/50/70/87/884507087.db2.gz NCZVSZFSJKRLPD-CHZJHZBLSA-N 1 2 314.385 1.587 20 30 DDEDLO C=CCc1cccc(C[NH+]2CC3(C2)CC[C@@H](C(N)=O)O3)c1O ZINC001277456968 884510940 /nfs/dbraw/zinc/51/09/40/884510940.db2.gz KVWZHNSIVKOUOM-AWEZNQCLSA-N 1 2 302.374 1.339 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230557848 884580978 /nfs/dbraw/zinc/58/09/78/884580978.db2.gz SHPIAILBUKDJMX-WBVHZDCISA-N 1 2 305.422 1.540 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230557848 884580996 /nfs/dbraw/zinc/58/09/96/884580996.db2.gz SHPIAILBUKDJMX-WBVHZDCISA-N 1 2 305.422 1.540 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)CCCCC(N)=O)CC1 ZINC001230614225 884652857 /nfs/dbraw/zinc/65/28/57/884652857.db2.gz YBSFOIMPOURHJX-OAHLLOKOSA-N 1 2 320.437 1.259 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)CCCCC(N)=O)CC1 ZINC001230614225 884652863 /nfs/dbraw/zinc/65/28/63/884652863.db2.gz YBSFOIMPOURHJX-OAHLLOKOSA-N 1 2 320.437 1.259 20 30 DDEDLO CCOCCOCC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230627153 884666321 /nfs/dbraw/zinc/66/63/21/884666321.db2.gz BLTGSVWSFMYHMQ-MRXNPFEDSA-N 1 2 323.437 1.266 20 30 DDEDLO CCOCCOCC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230627153 884666327 /nfs/dbraw/zinc/66/63/27/884666327.db2.gz BLTGSVWSFMYHMQ-MRXNPFEDSA-N 1 2 323.437 1.266 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccsc1 ZINC001230696606 884759896 /nfs/dbraw/zinc/75/98/96/884759896.db2.gz CUKLLUUOROVUEV-TZMCWYRMSA-N 1 2 321.446 1.585 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccsc1 ZINC001230696606 884759909 /nfs/dbraw/zinc/75/99/09/884759909.db2.gz CUKLLUUOROVUEV-TZMCWYRMSA-N 1 2 321.446 1.585 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@H]2CN(C)C(=O)CSCC#N)s1 ZINC001230816207 884914394 /nfs/dbraw/zinc/91/43/94/884914394.db2.gz YDNWNJARFNMIDB-LBPRGKRZSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@H]2CN(C)C(=O)CSCC#N)s1 ZINC001230816207 884914411 /nfs/dbraw/zinc/91/44/11/884914411.db2.gz YDNWNJARFNMIDB-LBPRGKRZSA-N 1 2 324.475 1.741 20 30 DDEDLO N#CCc1ccccc1CC(=O)N1CCc2cc[nH+]c(N)c2C1 ZINC001363110015 885488446 /nfs/dbraw/zinc/48/84/46/885488446.db2.gz FDZINWWLDWSJAY-UHFFFAOYSA-N 1 2 306.369 1.857 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001288536339 912965869 /nfs/dbraw/zinc/96/58/69/912965869.db2.gz VCXJSUAPWHULCG-OLZOCXBDSA-N 1 2 320.437 1.574 20 30 DDEDLO CC1(C)CNC(=O)[C@H]1[NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC001363289105 885923337 /nfs/dbraw/zinc/92/33/37/885923337.db2.gz LLOLRYFSMCPCTD-CYBMUJFWSA-N 1 2 311.345 1.222 20 30 DDEDLO Cc1ccncc1C[N@@H+]1CC[C@H]2C[C@]21C(=O)NC1(C#N)CCC1 ZINC001277589907 885968290 /nfs/dbraw/zinc/96/82/90/885968290.db2.gz RMVPMTZOXJMLLP-MAUKXSAKSA-N 1 2 310.401 1.917 20 30 DDEDLO Cc1ccncc1C[N@H+]1CC[C@H]2C[C@]21C(=O)NC1(C#N)CCC1 ZINC001277589907 885968300 /nfs/dbraw/zinc/96/83/00/885968300.db2.gz RMVPMTZOXJMLLP-MAUKXSAKSA-N 1 2 310.401 1.917 20 30 DDEDLO N#CCC1CN(C(=O)[C@H]2CC23CC[NH+](Cc2cocn2)CC3)C1 ZINC001277650920 886261432 /nfs/dbraw/zinc/26/14/32/886261432.db2.gz AIKFWQBMNKZFLY-OAHLLOKOSA-N 1 2 314.389 1.649 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCC[N@@H+]1Cc1ccc(N(C)C)c(C#N)c1F ZINC001233101978 886784740 /nfs/dbraw/zinc/78/47/40/886784740.db2.gz MHQPHGAQVNVQJL-HNNXBMFYSA-N 1 2 318.396 1.816 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCC[N@H+]1Cc1ccc(N(C)C)c(C#N)c1F ZINC001233101978 886784755 /nfs/dbraw/zinc/78/47/55/886784755.db2.gz MHQPHGAQVNVQJL-HNNXBMFYSA-N 1 2 318.396 1.816 20 30 DDEDLO Cc1ccnc(NC2CC[NH+]([C@H]3CCOC3=O)CC2)c1C#N ZINC001363723729 887074677 /nfs/dbraw/zinc/07/46/77/887074677.db2.gz LOYCNFQUMHEOMV-AWEZNQCLSA-N 1 2 300.362 1.454 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001288888071 913114190 /nfs/dbraw/zinc/11/41/90/913114190.db2.gz TZBAJWLJPYVSRI-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001233762043 887297981 /nfs/dbraw/zinc/29/79/81/887297981.db2.gz PPIQBUVFFUHJAV-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001233762043 887297996 /nfs/dbraw/zinc/29/79/96/887297996.db2.gz PPIQBUVFFUHJAV-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@H](C)CC ZINC001233965395 887504366 /nfs/dbraw/zinc/50/43/66/887504366.db2.gz CEMHKBBQQMQJLU-CABCVRRESA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@H](C)CC ZINC001233965395 887504376 /nfs/dbraw/zinc/50/43/76/887504376.db2.gz CEMHKBBQQMQJLU-CABCVRRESA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@@H](C)C(C)C ZINC001233966904 887508002 /nfs/dbraw/zinc/50/80/02/887508002.db2.gz RTEUHKBTWZNSCD-HOTGVXAUSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@@H](C)C(C)C ZINC001233966904 887508011 /nfs/dbraw/zinc/50/80/11/887508011.db2.gz RTEUHKBTWZNSCD-HOTGVXAUSA-N 1 2 321.465 1.483 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234117880 887661138 /nfs/dbraw/zinc/66/11/38/887661138.db2.gz DZSBBEJZRHQQFK-HNNXBMFYSA-N 1 2 303.406 1.984 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234117880 887661147 /nfs/dbraw/zinc/66/11/47/887661147.db2.gz DZSBBEJZRHQQFK-HNNXBMFYSA-N 1 2 303.406 1.984 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)[C@H](C)c1nc(COC)no1 ZINC001235451668 888655746 /nfs/dbraw/zinc/65/57/46/888655746.db2.gz ODSZEIXAJBYPKU-GFCCVEGCSA-N 1 2 310.398 1.681 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)[C@H](C)c1nc(COC)no1 ZINC001235451668 888655751 /nfs/dbraw/zinc/65/57/51/888655751.db2.gz ODSZEIXAJBYPKU-GFCCVEGCSA-N 1 2 310.398 1.681 20 30 DDEDLO COc1ccc(NC(C)=O)cc1NC(=O)[C@H](C)[NH2+][C@@H](C)CC#N ZINC001364452745 888669468 /nfs/dbraw/zinc/66/94/68/888669468.db2.gz AVSRCHMNOHEVGE-QWRGUYRKSA-N 1 2 318.377 1.872 20 30 DDEDLO N#Cc1c(N)sc2c1CC[N@@H+](Cc1[nH]nc3c1COCC3)C2 ZINC001364518384 888828811 /nfs/dbraw/zinc/82/88/11/888828811.db2.gz MPWKVOYXXUZXJV-UHFFFAOYSA-N 1 2 315.402 1.556 20 30 DDEDLO N#Cc1c(N)sc2c1CC[N@H+](Cc1[nH]nc3c1COCC3)C2 ZINC001364518384 888828829 /nfs/dbraw/zinc/82/88/29/888828829.db2.gz MPWKVOYXXUZXJV-UHFFFAOYSA-N 1 2 315.402 1.556 20 30 DDEDLO Cn1ccc(C[N@H+](C)C[C@H](O)COc2ccc(CC#N)cc2)n1 ZINC001364547392 888901031 /nfs/dbraw/zinc/90/10/31/888901031.db2.gz KROVJTQIYJMOPU-INIZCTEOSA-N 1 2 314.389 1.358 20 30 DDEDLO Cn1ccc(C[N@@H+](C)C[C@H](O)COc2ccc(CC#N)cc2)n1 ZINC001364547392 888901041 /nfs/dbraw/zinc/90/10/41/888901041.db2.gz KROVJTQIYJMOPU-INIZCTEOSA-N 1 2 314.389 1.358 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1cocn1 ZINC001235716353 888910636 /nfs/dbraw/zinc/91/06/36/888910636.db2.gz PPAQMQZQHYGRRX-UHFFFAOYSA-N 1 2 302.172 1.635 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1cocn1 ZINC001235716353 888910647 /nfs/dbraw/zinc/91/06/47/888910647.db2.gz PPAQMQZQHYGRRX-UHFFFAOYSA-N 1 2 302.172 1.635 20 30 DDEDLO COc1nc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)ccc1C ZINC001364685828 889193216 /nfs/dbraw/zinc/19/32/16/889193216.db2.gz WDGIHVQCAKJCPM-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](CNCC#N)C1CCCC1 ZINC001278275780 890332880 /nfs/dbraw/zinc/33/28/80/890332880.db2.gz RWJAHXMRHXLKET-WFASDCNBSA-N 1 2 303.410 1.376 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](CNCC#N)C1CCCC1 ZINC001278275780 890332896 /nfs/dbraw/zinc/33/28/96/890332896.db2.gz RWJAHXMRHXLKET-WFASDCNBSA-N 1 2 303.410 1.376 20 30 DDEDLO N#Cc1ccc2[nH]cc(C[N@@H+]3CCc4onc(C(N)=O)c4C3)c2c1 ZINC001278286128 890395877 /nfs/dbraw/zinc/39/58/77/890395877.db2.gz ORLDLOQANARIAR-UHFFFAOYSA-N 1 2 321.340 1.685 20 30 DDEDLO N#Cc1ccc2[nH]cc(C[N@H+]3CCc4onc(C(N)=O)c4C3)c2c1 ZINC001278286128 890395886 /nfs/dbraw/zinc/39/58/86/890395886.db2.gz ORLDLOQANARIAR-UHFFFAOYSA-N 1 2 321.340 1.685 20 30 DDEDLO N#Cc1cc(CS(=O)(=O)N2CCOc3cc[nH+]cc32)cs1 ZINC001365679005 891300882 /nfs/dbraw/zinc/30/08/82/891300882.db2.gz NLAZMJNSKCHWGF-UHFFFAOYSA-N 1 2 321.383 1.744 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+]([C@@H](C)c2nnc(CC)o2)C1 ZINC001278368588 891701854 /nfs/dbraw/zinc/70/18/54/891701854.db2.gz DCVDDOIPVJWLCL-BLLLJJGKSA-N 1 2 322.409 1.476 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+]([C@@H](C)c2nnc(CC)o2)C1 ZINC001278368588 891701867 /nfs/dbraw/zinc/70/18/67/891701867.db2.gz DCVDDOIPVJWLCL-BLLLJJGKSA-N 1 2 322.409 1.476 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH2+][C@H]1CC[C@@H](C(=O)OC)NC1)[C@@H](C)CC ZINC001246236057 892491981 /nfs/dbraw/zinc/49/19/81/892491981.db2.gz GCSACSCKXMEFFX-XUXIUFHCSA-N 1 2 312.410 1.014 20 30 DDEDLO C[C@H](CNC(=O)c1cc(C#N)c[nH]1)[NH2+]Cc1ncc(C2CC2)o1 ZINC001366263210 892934031 /nfs/dbraw/zinc/93/40/31/892934031.db2.gz CRNZCWIOPOIBPP-SNVBAGLBSA-N 1 2 313.361 1.660 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)CC2CCCC2)CCC[N@@H+]1CC(=O)NCC#N ZINC001278495935 893498999 /nfs/dbraw/zinc/49/89/99/893498999.db2.gz XBEKSPAFNWUSST-UKRRQHHQSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)CC2CCCC2)CCC[N@H+]1CC(=O)NCC#N ZINC001278495935 893499015 /nfs/dbraw/zinc/49/90/15/893499015.db2.gz XBEKSPAFNWUSST-UKRRQHHQSA-N 1 2 320.437 1.176 20 30 DDEDLO CC(=O)O[C@H](C)[C@H]([NH3+])c1nc(-c2cc(C#N)ccc2F)no1 ZINC001249266050 893903480 /nfs/dbraw/zinc/90/34/80/893903480.db2.gz AGFKFQQXTMACAY-KRTXAFLBSA-N 1 2 304.281 1.699 20 30 DDEDLO CC[C@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)NC(=O)[C@@H](C)C#N ZINC001388115128 894587672 /nfs/dbraw/zinc/58/76/72/894587672.db2.gz OUDOCGZWGOSYMV-CMPLNLGQSA-N 1 2 313.361 1.347 20 30 DDEDLO COCC(=O)NCC[N@H+](Cc1ccc(C#N)cc1F)C1CC1 ZINC001366728520 894733294 /nfs/dbraw/zinc/73/32/94/894733294.db2.gz FBZRIGFLYXIOOS-UHFFFAOYSA-N 1 2 305.353 1.424 20 30 DDEDLO COCC(=O)NCC[N@@H+](Cc1ccc(C#N)cc1F)C1CC1 ZINC001366728520 894733308 /nfs/dbraw/zinc/73/33/08/894733308.db2.gz FBZRIGFLYXIOOS-UHFFFAOYSA-N 1 2 305.353 1.424 20 30 DDEDLO C=CCOC[C@@H](O)C[NH+]1CCN(C(=O)c2cccs2)CC1 ZINC001252470050 895184069 /nfs/dbraw/zinc/18/40/69/895184069.db2.gz GZPVVNWNAHYQCO-ZDUSSCGKSA-N 1 2 310.419 1.069 20 30 DDEDLO C=C[C@@](C)(O)CNc1cccc(OCC[NH+]2CCOCC2)c1 ZINC001252562443 895283670 /nfs/dbraw/zinc/28/36/70/895283670.db2.gz RGNSGQUQTYFUKW-QGZVFWFLSA-N 1 2 306.406 1.747 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@H](C)CNC(=O)[C@H](C)C#N)c(C)[nH+]1 ZINC001388475173 895300245 /nfs/dbraw/zinc/30/02/45/895300245.db2.gz HWWJUEMGHPYAHA-ZYHUDNBSSA-N 1 2 316.405 1.649 20 30 DDEDLO C=CC[NH+]1CCN(C[C@@H](O)COc2ccc(OC)cc2)CC1 ZINC001252712219 895411833 /nfs/dbraw/zinc/41/18/33/895411833.db2.gz BFVFBHBRXRWWJY-OAHLLOKOSA-N 1 2 306.406 1.239 20 30 DDEDLO C=C1CCC(C(=O)NCCNC(=O)[C@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC001292801020 914012549 /nfs/dbraw/zinc/01/25/49/914012549.db2.gz BUYDRCGHIJOZGB-CYBMUJFWSA-N 1 2 318.421 1.567 20 30 DDEDLO C=C1CCC(C(=O)NCCNC(=O)[C@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC001292801020 914012566 /nfs/dbraw/zinc/01/25/66/914012566.db2.gz BUYDRCGHIJOZGB-CYBMUJFWSA-N 1 2 318.421 1.567 20 30 DDEDLO C=C[C@@H](O)C[N@@H+]1Cc2nnn(CC3CC3)c2[C@@H](COCC)C1 ZINC001253576308 895903449 /nfs/dbraw/zinc/90/34/49/895903449.db2.gz LWJCBWICHJBALM-ZIAGYGMSSA-N 1 2 306.410 1.171 20 30 DDEDLO C=C[C@@H](O)C[N@H+]1Cc2nnn(CC3CC3)c2[C@@H](COCC)C1 ZINC001253576308 895903463 /nfs/dbraw/zinc/90/34/63/895903463.db2.gz LWJCBWICHJBALM-ZIAGYGMSSA-N 1 2 306.410 1.171 20 30 DDEDLO C=CC[C@@](C)([NH2+]C1CCN(CC(=O)OCC)CC1)C(=O)OC ZINC001254402361 896421365 /nfs/dbraw/zinc/42/13/65/896421365.db2.gz SOEDVIPOAQKAQY-MRXNPFEDSA-N 1 2 312.410 1.111 20 30 DDEDLO C#CCCCC(=O)NCC1(O)C[NH+](Cc2ccccc2C)C1 ZINC001278857190 896838566 /nfs/dbraw/zinc/83/85/66/896838566.db2.gz HPFLCDJQVHPQPJ-UHFFFAOYSA-N 1 2 300.402 1.461 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001293029654 914175836 /nfs/dbraw/zinc/17/58/36/914175836.db2.gz CCGDPCCOINEMEQ-GFCCVEGCSA-N 1 2 318.421 1.664 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1([NH2+]Cc2nnc(C(C)(C)C)[nH]2)CC1 ZINC001367991559 898422790 /nfs/dbraw/zinc/42/27/90/898422790.db2.gz NEBUGBRYRUSOBB-SNVBAGLBSA-N 1 2 304.398 1.000 20 30 DDEDLO N#Cc1ccccc1C[NH2+]C1(CNC(=O)CCc2cn[nH]n2)CC1 ZINC001368018093 898492813 /nfs/dbraw/zinc/49/28/13/898492813.db2.gz GBBZMKJMEKIABK-UHFFFAOYSA-N 1 2 324.388 1.048 20 30 DDEDLO N#Cc1ccccc1C[NH2+]C1(CNC(=O)CCc2c[nH]nn2)CC1 ZINC001368018093 898492821 /nfs/dbraw/zinc/49/28/21/898492821.db2.gz GBBZMKJMEKIABK-UHFFFAOYSA-N 1 2 324.388 1.048 20 30 DDEDLO C[NH+]1CCC(C#N)(NS(=O)(=O)c2cc(F)cc(F)c2)CC1 ZINC001259315758 898609411 /nfs/dbraw/zinc/60/94/11/898609411.db2.gz SIZAUKLEQJLSDO-UHFFFAOYSA-N 1 2 315.345 1.231 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC[C@H]1CCCC1(F)F ZINC001262040263 899908796 /nfs/dbraw/zinc/90/87/96/899908796.db2.gz KMNKSZTTZKGCOJ-MNOVXSKESA-N 1 2 304.337 1.375 20 30 DDEDLO Cn1cc(CC(=O)NCC[N@H+](C)Cc2ccccc2C#N)cn1 ZINC001390728817 900031123 /nfs/dbraw/zinc/03/11/23/900031123.db2.gz CINZCJUXGMYNKO-UHFFFAOYSA-N 1 2 311.389 1.082 20 30 DDEDLO Cn1cc(CC(=O)NCC[N@@H+](C)Cc2ccccc2C#N)cn1 ZINC001390728817 900031134 /nfs/dbraw/zinc/03/11/34/900031134.db2.gz CINZCJUXGMYNKO-UHFFFAOYSA-N 1 2 311.389 1.082 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(OC)n2)[C@@H]1C ZINC001264139204 900971663 /nfs/dbraw/zinc/97/16/63/900971663.db2.gz GKDZKZFZUZKIBO-HIFRSBDPSA-N 1 2 316.405 1.368 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cncc(OC)n2)[C@@H]1C ZINC001264139204 900971675 /nfs/dbraw/zinc/97/16/75/900971675.db2.gz GKDZKZFZUZKIBO-HIFRSBDPSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2ccnn2C)C1 ZINC001264370849 901051827 /nfs/dbraw/zinc/05/18/27/901051827.db2.gz LBTBHVIICOBCLF-HNNXBMFYSA-N 1 2 302.422 1.809 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2ccnn2C)C1 ZINC001264370849 901051837 /nfs/dbraw/zinc/05/18/37/901051837.db2.gz LBTBHVIICOBCLF-HNNXBMFYSA-N 1 2 302.422 1.809 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1coc(C2CC2)n1 ZINC001264375060 901059973 /nfs/dbraw/zinc/05/99/73/901059973.db2.gz SUPDSJVJMYJELH-AWEZNQCLSA-N 1 2 317.389 1.348 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1coc(C2CC2)n1 ZINC001264375060 901059987 /nfs/dbraw/zinc/05/99/87/901059987.db2.gz SUPDSJVJMYJELH-AWEZNQCLSA-N 1 2 317.389 1.348 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NCc2ccco2)C1 ZINC001265302206 901854104 /nfs/dbraw/zinc/85/41/04/901854104.db2.gz ZFQVFQVYYOJNIY-CQSZACIVSA-N 1 2 319.405 1.443 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCc2ccco2)C1 ZINC001265302206 901854114 /nfs/dbraw/zinc/85/41/14/901854114.db2.gz ZFQVFQVYYOJNIY-CQSZACIVSA-N 1 2 319.405 1.443 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001265721110 902339454 /nfs/dbraw/zinc/33/94/54/902339454.db2.gz FHOXMRMMIQNJNL-FVJJRUMPSA-N 1 2 317.437 1.823 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001265721110 902339465 /nfs/dbraw/zinc/33/94/65/902339465.db2.gz FHOXMRMMIQNJNL-FVJJRUMPSA-N 1 2 317.437 1.823 20 30 DDEDLO CCCN(C(=O)[C@@H](C)C#N)C1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001370088978 902523188 /nfs/dbraw/zinc/52/31/88/902523188.db2.gz LWHHOSGBMNIKFP-LBPRGKRZSA-N 1 2 319.409 1.741 20 30 DDEDLO C[C@H](C[N@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1cocn1 ZINC001375011978 914634114 /nfs/dbraw/zinc/63/41/14/914634114.db2.gz QWGQAQBZAFJFSV-LLVKDONJSA-N 1 2 316.336 1.936 20 30 DDEDLO C[C@H](C[N@@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1cocn1 ZINC001375011978 914634125 /nfs/dbraw/zinc/63/41/25/914634125.db2.gz QWGQAQBZAFJFSV-LLVKDONJSA-N 1 2 316.336 1.936 20 30 DDEDLO C#CCOCCC(=O)NCC1=CC[N@H+](Cc2ncc(C)o2)CC1 ZINC001279554403 903320520 /nfs/dbraw/zinc/32/05/20/903320520.db2.gz KNZBVLAGWITAFW-UHFFFAOYSA-N 1 2 317.389 1.271 20 30 DDEDLO C#CCOCCC(=O)NCC1=CC[N@@H+](Cc2ncc(C)o2)CC1 ZINC001279554403 903320529 /nfs/dbraw/zinc/32/05/29/903320529.db2.gz KNZBVLAGWITAFW-UHFFFAOYSA-N 1 2 317.389 1.271 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([NH+]2CCN(CC(=C)C)CC2)C1 ZINC001280679450 903914705 /nfs/dbraw/zinc/91/47/05/903914705.db2.gz SOICOAVCGMPAAM-SJLPKXTDSA-N 1 2 321.465 1.108 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccs1)CO2 ZINC001280936543 904214084 /nfs/dbraw/zinc/21/40/84/904214084.db2.gz QBYHMLHZTRQPQO-ZDUSSCGKSA-N 1 2 322.430 1.524 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)C#N)[NH2+]Cc1noc(-c2ccccc2)n1 ZINC001371145940 904347094 /nfs/dbraw/zinc/34/70/94/904347094.db2.gz YKDWUHLNWJOPDP-NWDGAFQWSA-N 1 2 313.361 1.491 20 30 DDEDLO C=CCCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001316609211 904623497 /nfs/dbraw/zinc/62/34/97/904623497.db2.gz BKHGPSDMGAWLLH-OAHLLOKOSA-N 1 2 306.410 1.046 20 30 DDEDLO C=CCCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001316609211 904623512 /nfs/dbraw/zinc/62/35/12/904623512.db2.gz BKHGPSDMGAWLLH-OAHLLOKOSA-N 1 2 306.410 1.046 20 30 DDEDLO C[C@@H](C[C@H](C)NC(=O)CCn1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001281444095 904859364 /nfs/dbraw/zinc/85/93/64/904859364.db2.gz QLKJMYZPYLBYMK-KBPBESRZSA-N 1 2 316.405 1.086 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1(CCO)C[NH+](C[C@@H]2CC[C@H](C)O2)C1 ZINC001282530276 905853609 /nfs/dbraw/zinc/85/36/09/905853609.db2.gz JDYYKCWUWOETLG-GJZGRUSLSA-N 1 2 324.465 1.709 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2nccc3ccccc32)C1 ZINC001282530923 905855064 /nfs/dbraw/zinc/85/50/64/905855064.db2.gz YLHOAIWOZXYTHQ-UHFFFAOYSA-N 1 2 323.396 1.425 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(OC)no2)CC1(C)C ZINC001282801097 906055410 /nfs/dbraw/zinc/05/54/10/906055410.db2.gz RABRWTSDXAATKN-CQSZACIVSA-N 1 2 319.405 1.813 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(OC)no2)CC1(C)C ZINC001282801097 906055428 /nfs/dbraw/zinc/05/54/28/906055428.db2.gz RABRWTSDXAATKN-CQSZACIVSA-N 1 2 319.405 1.813 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001282871222 906131635 /nfs/dbraw/zinc/13/16/35/906131635.db2.gz WWUHWOQTFXSLJK-HUUCEWRRSA-N 1 2 318.421 1.402 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1ncccc1NC(C)=O ZINC001372337970 907062315 /nfs/dbraw/zinc/06/23/15/907062315.db2.gz CVMIYRGOFZCPNV-UHFFFAOYSA-N 1 2 324.812 1.844 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1ncccc1NC(C)=O ZINC001372337970 907062329 /nfs/dbraw/zinc/06/23/29/907062329.db2.gz CVMIYRGOFZCPNV-UHFFFAOYSA-N 1 2 324.812 1.844 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001283390975 907275730 /nfs/dbraw/zinc/27/57/30/907275730.db2.gz FBGSEHNXPOQHJW-AWEZNQCLSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(Cl)C[NH2+]C1(CNC(=O)c2[nH]nc3c2CCC3)CCC1 ZINC001393548501 907296473 /nfs/dbraw/zinc/29/64/73/907296473.db2.gz WFEXBWCCLSIHAE-UHFFFAOYSA-N 1 2 308.813 1.893 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001283474097 907411620 /nfs/dbraw/zinc/41/16/20/907411620.db2.gz VNMUNCCIRYICNM-GUYCJALGSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)[C@@H]1C ZINC001283882781 908127353 /nfs/dbraw/zinc/12/73/53/908127353.db2.gz YDHFVQVTPQFGMS-QWHCGFSZSA-N 1 2 322.409 1.651 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H]([NH2+]Cc2nc(C)no2)C[C@H]1C ZINC001284030105 908344233 /nfs/dbraw/zinc/34/42/33/908344233.db2.gz GRGAAPPMKBJMAP-YPMHNXCESA-N 1 2 308.382 1.050 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@@H](O)C[NH2+]Cc1csnn1 ZINC001284447186 909014983 /nfs/dbraw/zinc/01/49/83/909014983.db2.gz RHTYSTBKDFIDNS-LBPRGKRZSA-N 1 2 312.439 1.049 20 30 DDEDLO C[C@@H](NC(=O)[C@@H](C)C#N)C1C[NH+](Cc2cc3n(n2)CCCC3)C1 ZINC001394215079 909105508 /nfs/dbraw/zinc/10/55/08/909105508.db2.gz FQEFQJBKEKIFMO-QWHCGFSZSA-N 1 2 315.421 1.316 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C(=O)[C@@H](C)C1CCC1)C(C)C ZINC001284538872 909159167 /nfs/dbraw/zinc/15/91/67/909159167.db2.gz YKPGXFUDPPZZDI-HNNXBMFYSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C(=O)[C@@H](C)C1CCC1)C(C)C ZINC001284538872 909159176 /nfs/dbraw/zinc/15/91/76/909159176.db2.gz YKPGXFUDPPZZDI-HNNXBMFYSA-N 1 2 321.465 1.341 20 30 DDEDLO C=CCCCC(=O)N(C)CCNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001284542710 909164082 /nfs/dbraw/zinc/16/40/82/909164082.db2.gz GVMUTMZVRSHUGJ-CQSZACIVSA-N 1 2 318.421 1.376 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@@H](C)CN(C)C(=O)c2cc(C#N)c[nH]2)n1 ZINC001394467612 909714935 /nfs/dbraw/zinc/71/49/35/909714935.db2.gz WFOBYUSTZYOMSD-VHSXEESVSA-N 1 2 316.365 1.389 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1=CC[N@H+](Cc2cnnn2C)CC1 ZINC001284947559 909793482 /nfs/dbraw/zinc/79/34/82/909793482.db2.gz WNOOFDUEPXDBEO-UHFFFAOYSA-N 1 2 303.410 1.276 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1=CC[N@@H+](Cc2cnnn2C)CC1 ZINC001284947559 909793489 /nfs/dbraw/zinc/79/34/89/909793489.db2.gz WNOOFDUEPXDBEO-UHFFFAOYSA-N 1 2 303.410 1.276 20 30 DDEDLO C#CCCCC(=O)NC1CC(CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001285193053 910185568 /nfs/dbraw/zinc/18/55/68/910185568.db2.gz LIDMUARBNPDPMP-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO C#CCCCC(=O)NC1CC(CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001285193053 910185580 /nfs/dbraw/zinc/18/55/80/910185580.db2.gz LIDMUARBNPDPMP-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)[C@@H](C)NC(=O)C#CC(C)(C)C ZINC001285370297 910432752 /nfs/dbraw/zinc/43/27/52/910432752.db2.gz UMQFCDCQPIBYEB-VXGBXAGGSA-N 1 2 318.421 1.320 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+]1CC[C@@H](N(C)C(=O)[C@H](C)C#N)C1 ZINC001373666802 910555560 /nfs/dbraw/zinc/55/55/60/910555560.db2.gz HLPTVGHSMHFVPO-ZYHUDNBSSA-N 1 2 323.828 1.574 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H](C)C#N)C1 ZINC001373666802 910555570 /nfs/dbraw/zinc/55/55/70/910555570.db2.gz HLPTVGHSMHFVPO-ZYHUDNBSSA-N 1 2 323.828 1.574 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@H](N(C)C(=O)CC(C)(C)O)C1 ZINC001373667261 910556485 /nfs/dbraw/zinc/55/64/85/910556485.db2.gz RNOFZYKAXWNGPK-LLVKDONJSA-N 1 2 319.243 1.589 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@H](N(C)C(=O)CC(C)(C)O)C1 ZINC001373667261 910556471 /nfs/dbraw/zinc/55/64/71/910556471.db2.gz RNOFZYKAXWNGPK-LLVKDONJSA-N 1 2 319.243 1.589 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)CC)n2CCOCC)CC1 ZINC001286470621 911991041 /nfs/dbraw/zinc/99/10/41/911991041.db2.gz ANFCTPAUVNTJNX-OAHLLOKOSA-N 1 2 319.453 1.583 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(CCC)CCNC(=O)Cn1cc[nH+]c1 ZINC001296516551 916463056 /nfs/dbraw/zinc/46/30/56/916463056.db2.gz IEPPQPZTKLWOMJ-UHFFFAOYSA-N 1 2 320.437 1.840 20 30 DDEDLO C=C(C)CCC(=O)N1CC(NC(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001297080763 916717500 /nfs/dbraw/zinc/71/75/00/916717500.db2.gz JNDQSMSQRIKJBM-ZDUSSCGKSA-N 1 2 316.405 1.129 20 30 DDEDLO C=CCCCC(=O)NCC1CN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001297258548 916844700 /nfs/dbraw/zinc/84/47/00/916844700.db2.gz SNTAOLDLXFPXRP-AWEZNQCLSA-N 1 2 318.421 1.765 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001297276724 916855993 /nfs/dbraw/zinc/85/59/93/916855993.db2.gz QLEKOJSBKJICIG-GFCCVEGCSA-N 1 2 304.394 1.129 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)CN1CCc2ccccc21 ZINC001377269588 920846946 /nfs/dbraw/zinc/84/69/46/920846946.db2.gz HYGGHPJEXVOUMZ-UHFFFAOYSA-N 1 2 307.825 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)CN1CCc2ccccc21 ZINC001377269588 920846953 /nfs/dbraw/zinc/84/69/53/920846953.db2.gz HYGGHPJEXVOUMZ-UHFFFAOYSA-N 1 2 307.825 1.850 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCCO1)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001377992130 923621011 /nfs/dbraw/zinc/62/10/11/923621011.db2.gz MZKHMBBPEUVTNJ-LRDDRELGSA-N 1 2 319.380 1.813 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCCO1)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001377992130 923621012 /nfs/dbraw/zinc/62/10/12/923621012.db2.gz MZKHMBBPEUVTNJ-LRDDRELGSA-N 1 2 319.380 1.813 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCc1nc(C[NH+]2CCCCC2)no1 ZINC000614468616 361872197 /nfs/dbraw/zinc/87/21/97/361872197.db2.gz OETRJFLQVGJMKH-OLZOCXBDSA-N 1 2 320.393 1.263 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cc1Cl ZINC000614630236 361953604 /nfs/dbraw/zinc/95/36/04/361953604.db2.gz HVVBLRVTZOFDHF-AWEZNQCLSA-N 1 2 319.792 1.758 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cc1Cl ZINC000614630237 361953646 /nfs/dbraw/zinc/95/36/46/361953646.db2.gz HVVBLRVTZOFDHF-CQSZACIVSA-N 1 2 319.792 1.758 20 30 DDEDLO Cc1ncc(S(=O)(=O)N[C@@H](c2[nH]cc[nH+]2)C(C)C)cc1C#N ZINC000451548047 231124402 /nfs/dbraw/zinc/12/44/02/231124402.db2.gz MCWBIIJMBYTQQY-CYBMUJFWSA-N 1 2 319.390 1.660 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CC[N@H+](CC#Cc2ccccc2Cl)C1 ZINC000156190023 539210804 /nfs/dbraw/zinc/21/08/04/539210804.db2.gz KIHMFGHWUPGKJH-CYBMUJFWSA-N 1 2 312.822 1.315 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CC[N@@H+](CC#Cc2ccccc2Cl)C1 ZINC000156190023 539210806 /nfs/dbraw/zinc/21/08/06/539210806.db2.gz KIHMFGHWUPGKJH-CYBMUJFWSA-N 1 2 312.822 1.315 20 30 DDEDLO C=CCN(Cc1c[nH+]c2cc(C)ccn12)[C@H]1CCS(=O)(=O)C1 ZINC000092361238 185314060 /nfs/dbraw/zinc/31/40/60/185314060.db2.gz MFHOGDCLEQRQQK-AWEZNQCLSA-N 1 2 319.430 1.818 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(NC(C)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000092361241 185314069 /nfs/dbraw/zinc/31/40/69/185314069.db2.gz LMVVROOMSKGGQG-MRXNPFEDSA-N 1 2 322.430 1.820 20 30 DDEDLO C=CC[N@H+](Cc1ccc(NC(C)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000092361241 185314070 /nfs/dbraw/zinc/31/40/70/185314070.db2.gz LMVVROOMSKGGQG-MRXNPFEDSA-N 1 2 322.430 1.820 20 30 DDEDLO Cc1nc(C)c(CCC(=O)N[C@@H](C)C[NH+]2CCOCC2)c(=O)[nH]1 ZINC000329180611 539301584 /nfs/dbraw/zinc/30/15/84/539301584.db2.gz OJIGGVYBNYHACB-NSHDSACASA-N 1 2 322.409 1.409 20 30 DDEDLO C[C@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)[C@@H]1CCCCO1 ZINC000329444257 539304506 /nfs/dbraw/zinc/30/45/06/539304506.db2.gz KPXZSDSWQBJWJJ-KBPBESRZSA-N 1 2 313.442 1.558 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)C[C@@H]3CCOC3)CC2)cc1 ZINC000329725534 539307173 /nfs/dbraw/zinc/30/71/73/539307173.db2.gz BYHRLWVIZBVVOK-KRWDZBQOSA-N 1 2 313.401 1.629 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)C[C@@H](CC#N)c3ccccc3)C[C@H]21 ZINC000330029780 529785748 /nfs/dbraw/zinc/78/57/48/529785748.db2.gz QOXCMGLPDZSMKM-BRWVUGGUSA-N 1 2 313.401 1.615 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)C[C@@H](CC#N)c3ccccc3)C[C@H]21 ZINC000330029780 529785749 /nfs/dbraw/zinc/78/57/49/529785749.db2.gz QOXCMGLPDZSMKM-BRWVUGGUSA-N 1 2 313.401 1.615 20 30 DDEDLO CN(CC[NH+]1CCOCC1)c1cc(C(F)(F)F)cnc1C#N ZINC000413105610 529911813 /nfs/dbraw/zinc/91/18/13/529911813.db2.gz AAFIBFWMBLYFND-UHFFFAOYSA-N 1 2 314.311 1.740 20 30 DDEDLO CN(Cc1cn2c([nH+]1)CCCC2)S(=O)(=O)CC1(C#N)CCC1 ZINC000349524974 530015615 /nfs/dbraw/zinc/01/56/15/530015615.db2.gz YNVXRAZBFNDSBZ-UHFFFAOYSA-N 1 2 322.434 1.675 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1)C(=O)Nc1ccccc1 ZINC000115600148 185697926 /nfs/dbraw/zinc/69/79/26/185697926.db2.gz IQMIMQLQCGEMAN-MLGOLLRUSA-N 1 2 322.368 1.701 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000279768278 215130324 /nfs/dbraw/zinc/13/03/24/215130324.db2.gz SCMQWSVDVZQRRI-IAGOWNOFSA-N 1 2 315.417 1.707 20 30 DDEDLO Cc1nsc(N2CCN(c3cc[nH+]c(C)n3)CC2)c1C#N ZINC000287020294 219273123 /nfs/dbraw/zinc/27/31/23/219273123.db2.gz VNABUZJDWNYLGL-UHFFFAOYSA-N 1 2 300.391 1.748 20 30 DDEDLO Cn1cc(N2CCC[C@H]([NH+]3CCC(F)(C#N)CC3)C2=O)cn1 ZINC000352457801 282649728 /nfs/dbraw/zinc/64/97/28/282649728.db2.gz FTOUYCCUDSRZFV-ZDUSSCGKSA-N 1 2 305.357 1.243 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CC[NH+](CCOC)CC2)cc1 ZINC000265981996 186347383 /nfs/dbraw/zinc/34/73/83/186347383.db2.gz ZCGNADMISWHCJC-UHFFFAOYSA-N 1 2 302.374 1.103 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](C)CC(=O)Nc1c(C)cccc1C ZINC000008495383 352135419 /nfs/dbraw/zinc/13/54/19/352135419.db2.gz QYGGVBAUSCAYOF-AWEZNQCLSA-N 1 2 303.406 1.864 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](C)CC(=O)Nc1c(C)cccc1C ZINC000008495383 352135423 /nfs/dbraw/zinc/13/54/23/352135423.db2.gz QYGGVBAUSCAYOF-AWEZNQCLSA-N 1 2 303.406 1.864 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000023264927 352183059 /nfs/dbraw/zinc/18/30/59/352183059.db2.gz BLGBVKAINCHEMQ-UHFFFAOYSA-N 1 2 303.406 1.115 20 30 DDEDLO Cc1nc(CC(N)=[NH+]O[C@@H](C)C(=O)NCC(F)(F)F)cs1 ZINC000072920199 191252296 /nfs/dbraw/zinc/25/22/96/191252296.db2.gz ZNHUBSCHHUGFOF-LURJTMIESA-N 1 2 324.328 1.350 20 30 DDEDLO CCCOCC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000065534831 352954367 /nfs/dbraw/zinc/95/43/67/352954367.db2.gz XFGIIUDUCUYJAI-UHFFFAOYSA-N 1 2 301.390 1.629 20 30 DDEDLO O=C(Nc1nc(O)c[nH]1)[C@H](c1ccccc1)[NH+]1CCSCC1 ZINC000069390584 353159730 /nfs/dbraw/zinc/15/97/30/353159730.db2.gz HOGJWLKQMCYHFQ-ZDUSSCGKSA-N 1 2 318.402 1.219 20 30 DDEDLO C#CCOc1ccc(F)cc1NC(=O)NCC[NH+]1CCOCC1 ZINC000173800616 198253778 /nfs/dbraw/zinc/25/37/78/198253778.db2.gz FJAUCXBRGHKQTI-UHFFFAOYSA-N 1 2 321.352 1.291 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C\C1CCOCC1 ZINC000491882542 234324028 /nfs/dbraw/zinc/32/40/28/234324028.db2.gz KGRJPGRVWKXLBA-IHWYPQMZSA-N 1 2 321.421 1.044 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[C@@H](CN2CC[NH+](C)CC2)C1 ZINC000077451459 353469514 /nfs/dbraw/zinc/46/95/14/353469514.db2.gz RAOJMTIRLWNVTA-IRXDYDNUSA-N 1 2 323.481 1.454 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)nn1C ZINC000077506283 353473083 /nfs/dbraw/zinc/47/30/83/353473083.db2.gz TZWSTULHRSQIQC-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO CCCNC(=O)[C@@H](C)[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000078269230 353508554 /nfs/dbraw/zinc/50/85/54/353508554.db2.gz IWDXQNQQUCSLCA-WBVHZDCISA-N 1 2 314.433 1.784 20 30 DDEDLO C[C@H](O)C1CC[NH+]([C@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000083171672 353707163 /nfs/dbraw/zinc/70/71/63/353707163.db2.gz WLIZVBCIIMTYGP-FZMZJTMJSA-N 1 2 319.430 1.818 20 30 DDEDLO C=CCC1(c2nc([C@H]3C[N@@H+](C)CCN3C)no2)CCOCC1 ZINC000351332117 354618076 /nfs/dbraw/zinc/61/80/76/354618076.db2.gz GDLNSZCCYPBCHM-CYBMUJFWSA-N 1 2 306.410 1.612 20 30 DDEDLO C=CCC1(c2nc([C@H]3C[N@H+](C)CCN3C)no2)CCOCC1 ZINC000351332117 354618079 /nfs/dbraw/zinc/61/80/79/354618079.db2.gz GDLNSZCCYPBCHM-CYBMUJFWSA-N 1 2 306.410 1.612 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000581187255 354731473 /nfs/dbraw/zinc/73/14/73/354731473.db2.gz PFCDUVLXGZLZHY-ZNMIVQPWSA-N 1 2 318.421 1.831 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000581187255 354731478 /nfs/dbraw/zinc/73/14/78/354731478.db2.gz PFCDUVLXGZLZHY-ZNMIVQPWSA-N 1 2 318.421 1.831 20 30 DDEDLO CC(C)[C@@H](NC[C@@H](O)C[N@H+](C)CCC#N)c1nc(C2CC2)no1 ZINC000578186318 354704895 /nfs/dbraw/zinc/70/48/95/354704895.db2.gz DZNPLXVMMTXRKJ-ZIAGYGMSSA-N 1 2 321.425 1.440 20 30 DDEDLO CC(C)[C@@H](NC[C@@H](O)C[N@@H+](C)CCC#N)c1nc(C2CC2)no1 ZINC000578186318 354704899 /nfs/dbraw/zinc/70/48/99/354704899.db2.gz DZNPLXVMMTXRKJ-ZIAGYGMSSA-N 1 2 321.425 1.440 20 30 DDEDLO CCn1cc(C[N@@H+]2CCCN(C(=O)[C@]3(C#N)C[C@@H]3C)CC2)cn1 ZINC000448922855 354682123 /nfs/dbraw/zinc/68/21/23/354682123.db2.gz RTUPIXMJCYHQRX-YOEHRIQHSA-N 1 2 315.421 1.487 20 30 DDEDLO CCn1cc(C[N@H+]2CCCN(C(=O)[C@]3(C#N)C[C@@H]3C)CC2)cn1 ZINC000448922855 354682127 /nfs/dbraw/zinc/68/21/27/354682127.db2.gz RTUPIXMJCYHQRX-YOEHRIQHSA-N 1 2 315.421 1.487 20 30 DDEDLO Cc1nnsc1C[N@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000565046821 304040253 /nfs/dbraw/zinc/04/02/53/304040253.db2.gz NGDNPJBEAPCETD-JTQLQIEISA-N 1 2 315.406 1.219 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000565046821 304040255 /nfs/dbraw/zinc/04/02/55/304040255.db2.gz NGDNPJBEAPCETD-JTQLQIEISA-N 1 2 315.406 1.219 20 30 DDEDLO C[C@@H]1CO[C@@H](C(F)(F)F)C[N@@H+]1CCC(=O)N(C)CCC#N ZINC000586777465 354864637 /nfs/dbraw/zinc/86/46/37/354864637.db2.gz PQJWMNCAKAFTTP-GHMZBOCLSA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@@H]1CO[C@@H](C(F)(F)F)C[N@H+]1CCC(=O)N(C)CCC#N ZINC000586777465 354864639 /nfs/dbraw/zinc/86/46/39/354864639.db2.gz PQJWMNCAKAFTTP-GHMZBOCLSA-N 1 2 307.316 1.400 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)Cc1ccsc1 ZINC000588955757 354951172 /nfs/dbraw/zinc/95/11/72/354951172.db2.gz MALZDUVZHUCAKP-UHFFFAOYSA-N 1 2 321.427 1.920 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)Cc1ccsc1 ZINC000588955757 354951176 /nfs/dbraw/zinc/95/11/76/354951176.db2.gz MALZDUVZHUCAKP-UHFFFAOYSA-N 1 2 321.427 1.920 20 30 DDEDLO Cc1cc(N2CCO[C@H](C)C2)c(C#N)c(N2CCO[C@@H](C)C2)[nH+]1 ZINC000589104291 354959407 /nfs/dbraw/zinc/95/94/07/354959407.db2.gz IGOWGPZKRMGFMV-KGLIPLIRSA-N 1 2 316.405 1.712 20 30 DDEDLO COc1cc(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)ccc1C#N ZINC000589648858 355009682 /nfs/dbraw/zinc/00/96/82/355009682.db2.gz PLVXJEGQKGXQKG-AWEZNQCLSA-N 1 2 310.357 1.642 20 30 DDEDLO N#CC1(CC(=O)NC[C@]2([NH+]3CCOCC3)CCSC2)CC1 ZINC000590174293 355055843 /nfs/dbraw/zinc/05/58/43/355055843.db2.gz JQGSEEIYOKCXPM-OAHLLOKOSA-N 1 2 309.435 1.004 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)N2CCC[C@H]3CCCC[C@@H]32)CC1 ZINC000591020529 355234458 /nfs/dbraw/zinc/23/44/58/355234458.db2.gz KXXKUEWBKVZCRM-SJORKVTESA-N 1 2 318.465 1.699 20 30 DDEDLO Cn1nc(C(C)(C)C)cc1NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592150709 355517528 /nfs/dbraw/zinc/51/75/28/355517528.db2.gz GNUAIDSEQZYDIN-MRXNPFEDSA-N 1 2 319.409 1.007 20 30 DDEDLO Cn1nc(C(C)(C)C)cc1NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592150709 355517531 /nfs/dbraw/zinc/51/75/31/355517531.db2.gz GNUAIDSEQZYDIN-MRXNPFEDSA-N 1 2 319.409 1.007 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2nnc(-c3cccc(C#N)c3)o2)C1 ZINC000592150900 355517846 /nfs/dbraw/zinc/51/78/46/355517846.db2.gz JGWUGXGPDMAMIX-MRXNPFEDSA-N 1 2 309.329 1.459 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2nnc(-c3cccc(C#N)c3)o2)C1 ZINC000592150900 355517849 /nfs/dbraw/zinc/51/78/49/355517849.db2.gz JGWUGXGPDMAMIX-MRXNPFEDSA-N 1 2 309.329 1.459 20 30 DDEDLO COc1ncncc1C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000592506905 355605529 /nfs/dbraw/zinc/60/55/29/355605529.db2.gz SJADMZUPAGUHHG-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO Cn1cnc(-c2ccc(OC[C@@H](O)C[N@H+](C)CCC#N)cc2)n1 ZINC000593339293 355857380 /nfs/dbraw/zinc/85/73/80/355857380.db2.gz HBKFWMDXBUXABP-AWEZNQCLSA-N 1 2 315.377 1.067 20 30 DDEDLO Cn1cnc(-c2ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc2)n1 ZINC000593339293 355857382 /nfs/dbraw/zinc/85/73/82/355857382.db2.gz HBKFWMDXBUXABP-AWEZNQCLSA-N 1 2 315.377 1.067 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)nn1 ZINC000593990590 356080914 /nfs/dbraw/zinc/08/09/14/356080914.db2.gz NFEPELHINGOJQB-MRXNPFEDSA-N 1 2 324.388 1.774 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)nn1 ZINC000593990590 356080916 /nfs/dbraw/zinc/08/09/16/356080916.db2.gz NFEPELHINGOJQB-MRXNPFEDSA-N 1 2 324.388 1.774 20 30 DDEDLO Cn1cc[nH+]c1[C@H](CC(=O)N1CC[C@@](F)(C#N)C1)C(F)(F)F ZINC000594344162 356178144 /nfs/dbraw/zinc/17/81/44/356178144.db2.gz YTKUUYCFQWPFOH-JOYOIKCWSA-N 1 2 318.274 1.920 20 30 DDEDLO COC(=O)c1ccc(N[C@@H]2CCN(c3cccc[nH+]3)C2)c(C#N)n1 ZINC000594568753 356252088 /nfs/dbraw/zinc/25/20/88/356252088.db2.gz SNIYQWKPRIFKTO-GFCCVEGCSA-N 1 2 323.356 1.826 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CCN2CCn3c[nH+]cc3C2)c1 ZINC000595490535 356515705 /nfs/dbraw/zinc/51/57/05/356515705.db2.gz OEVRASVTDBKYEI-UHFFFAOYSA-N 1 2 316.386 1.044 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@](C)(C2CC2)C1 ZINC000595618956 356579814 /nfs/dbraw/zinc/57/98/14/356579814.db2.gz XCYPKNAGNIKESU-COXVUDFISA-N 1 2 307.438 1.930 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@](C)(C2CC2)C1 ZINC000595618956 356579819 /nfs/dbraw/zinc/57/98/19/356579819.db2.gz XCYPKNAGNIKESU-COXVUDFISA-N 1 2 307.438 1.930 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000595704851 356615357 /nfs/dbraw/zinc/61/53/57/356615357.db2.gz RCEYDCULWGWXCL-BXUZGUMPSA-N 1 2 305.353 1.973 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000595704851 356615361 /nfs/dbraw/zinc/61/53/61/356615361.db2.gz RCEYDCULWGWXCL-BXUZGUMPSA-N 1 2 305.353 1.973 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)[C@H]2C[C@@H](C(=O)OC)C2)c1C#N ZINC000595850308 356677400 /nfs/dbraw/zinc/67/74/00/356677400.db2.gz OVISEDJXXYHFRX-BETUJISGSA-N 1 2 316.357 1.728 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)[C@H]2C[C@@H](C(=O)OC)C2)c1C#N ZINC000595850308 356677405 /nfs/dbraw/zinc/67/74/05/356677405.db2.gz OVISEDJXXYHFRX-BETUJISGSA-N 1 2 316.357 1.728 20 30 DDEDLO N#CCNC(=O)C[NH2+][C@@H](CO)c1cccc(Cl)c1Cl ZINC000595827493 356665647 /nfs/dbraw/zinc/66/56/47/356665647.db2.gz CQWZZADNZWZOCY-JTQLQIEISA-N 1 2 302.161 1.256 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCc2cc[nH]c(=O)c2C1 ZINC000276892424 213159511 /nfs/dbraw/zinc/15/95/11/213159511.db2.gz GJOKYDHZDRWLFE-UHFFFAOYSA-N 1 2 314.370 1.717 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCc2cc[nH]c(=O)c2C1 ZINC000276892424 213159512 /nfs/dbraw/zinc/15/95/12/213159512.db2.gz GJOKYDHZDRWLFE-UHFFFAOYSA-N 1 2 314.370 1.717 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)CC2(C#N)CCCCC2)CC1 ZINC000596800258 356980755 /nfs/dbraw/zinc/98/07/55/356980755.db2.gz IZRGALZVVCIYFG-UHFFFAOYSA-N 1 2 320.437 1.131 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)CNc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000598000947 357416500 /nfs/dbraw/zinc/41/65/00/357416500.db2.gz WBIDJLNKHILGHG-UONOGXRCSA-N 1 2 316.405 1.196 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)CNc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000598000947 357416504 /nfs/dbraw/zinc/41/65/04/357416504.db2.gz WBIDJLNKHILGHG-UONOGXRCSA-N 1 2 316.405 1.196 20 30 DDEDLO N#CCC1CN(C(=O)c2ccc(-n3cc[nH+]c3)c([N+](=O)[O-])c2)C1 ZINC000598036435 357436402 /nfs/dbraw/zinc/43/64/02/357436402.db2.gz HVEBBGXAQSAYFY-UHFFFAOYSA-N 1 2 311.301 1.766 20 30 DDEDLO CCOc1ncnc2c1C[N@H+](C[C@@H](O)CC(C)(C)C#N)CC2 ZINC000598590516 357643301 /nfs/dbraw/zinc/64/33/01/357643301.db2.gz NJCPXERFMVDOCL-LBPRGKRZSA-N 1 2 304.394 1.534 20 30 DDEDLO CCOc1ncnc2c1C[N@@H+](C[C@@H](O)CC(C)(C)C#N)CC2 ZINC000598590516 357643305 /nfs/dbraw/zinc/64/33/05/357643305.db2.gz NJCPXERFMVDOCL-LBPRGKRZSA-N 1 2 304.394 1.534 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)n1 ZINC000599182819 357841758 /nfs/dbraw/zinc/84/17/58/357841758.db2.gz CQTUYJUSOLBISO-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)n1 ZINC000599182819 357841762 /nfs/dbraw/zinc/84/17/62/357841762.db2.gz CQTUYJUSOLBISO-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCOC[C@H]2c2ccco2)CCOCC1 ZINC000599294854 357879669 /nfs/dbraw/zinc/87/96/69/357879669.db2.gz RKQJKPSLLJVGSR-GJZGRUSLSA-N 1 2 320.389 1.724 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCOC[C@H]2c2ccco2)CCOCC1 ZINC000599294854 357879671 /nfs/dbraw/zinc/87/96/71/357879671.db2.gz RKQJKPSLLJVGSR-GJZGRUSLSA-N 1 2 320.389 1.724 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)C[C@H](O)COc2ccccc2C#N)n1 ZINC000599454908 357944835 /nfs/dbraw/zinc/94/48/35/357944835.db2.gz YAXFIZURAHVUEA-RISCZKNCSA-N 1 2 316.361 1.682 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)C[C@H](O)COc2ccccc2C#N)n1 ZINC000599454908 357944839 /nfs/dbraw/zinc/94/48/39/357944839.db2.gz YAXFIZURAHVUEA-RISCZKNCSA-N 1 2 316.361 1.682 20 30 DDEDLO COC(=O)[C@H]1CC[C@H](C(=O)OC)C[N@H+]1Cc1cccc(C#N)c1 ZINC000599587872 357985292 /nfs/dbraw/zinc/98/52/92/357985292.db2.gz UMWMAMWXMMAHBC-LSDHHAIUSA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@H]1CC[C@H](C(=O)OC)C[N@@H+]1Cc1cccc(C#N)c1 ZINC000599587872 357985293 /nfs/dbraw/zinc/98/52/93/357985293.db2.gz UMWMAMWXMMAHBC-LSDHHAIUSA-N 1 2 316.357 1.485 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCc2c(O)cccc2C1 ZINC000599647710 358006464 /nfs/dbraw/zinc/00/64/64/358006464.db2.gz SZPVAQZRXFMJBH-NSHDSACASA-N 1 2 303.362 1.151 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCc2c(O)cccc2C1 ZINC000599647710 358006467 /nfs/dbraw/zinc/00/64/67/358006467.db2.gz SZPVAQZRXFMJBH-NSHDSACASA-N 1 2 303.362 1.151 20 30 DDEDLO N#CC1(CC(=O)NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)CC1 ZINC000600684054 358282470 /nfs/dbraw/zinc/28/24/70/358282470.db2.gz VUOQQGVFRZJEKL-OAHLLOKOSA-N 1 2 314.389 1.092 20 30 DDEDLO N#CC1(CC(=O)NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)CC1 ZINC000600684054 358282473 /nfs/dbraw/zinc/28/24/73/358282473.db2.gz VUOQQGVFRZJEKL-OAHLLOKOSA-N 1 2 314.389 1.092 20 30 DDEDLO Cc1c(C#N)c(=O)n(Cc2c[nH+]c3ccccn23)c(=O)n1C1CC1 ZINC000601091710 358399783 /nfs/dbraw/zinc/39/97/83/358399783.db2.gz FOFMUWVAQWVNRU-UHFFFAOYSA-N 1 2 321.340 1.221 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)C1(C#N)CC2(CC2)C1 ZINC000601575604 358607868 /nfs/dbraw/zinc/60/78/68/358607868.db2.gz VSQCSHSNXDHMHM-KGLIPLIRSA-N 1 2 303.406 1.392 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)NCC(C)(C)n1cc[nH+]c1 ZINC000601525780 358588285 /nfs/dbraw/zinc/58/82/85/358588285.db2.gz FBKXESMPFIGLMK-UHFFFAOYSA-N 1 2 318.402 1.777 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCO[C@@H](C(F)F)CC2)c1 ZINC000601976976 358762975 /nfs/dbraw/zinc/76/29/75/358762975.db2.gz SCSLJCBHJQKOCK-CQSZACIVSA-N 1 2 323.343 1.530 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCO[C@@H](C(F)F)CC2)c1 ZINC000601976976 358762978 /nfs/dbraw/zinc/76/29/78/358762978.db2.gz SCSLJCBHJQKOCK-CQSZACIVSA-N 1 2 323.343 1.530 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCO[C@H](C(F)F)CC1)C1CC1 ZINC000601978098 358763719 /nfs/dbraw/zinc/76/37/19/358763719.db2.gz XICPOQFYPSTISR-SMDDNHRTSA-N 1 2 301.337 1.151 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCO[C@H](C(F)F)CC1)C1CC1 ZINC000601978098 358763720 /nfs/dbraw/zinc/76/37/20/358763720.db2.gz XICPOQFYPSTISR-SMDDNHRTSA-N 1 2 301.337 1.151 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCO[C@@H](C(F)F)CC2)cc1 ZINC000601978541 358763926 /nfs/dbraw/zinc/76/39/26/358763926.db2.gz YQODZOHDQZGDAT-CYBMUJFWSA-N 1 2 309.316 1.853 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCO[C@@H](C(F)F)CC2)cc1 ZINC000601978541 358763928 /nfs/dbraw/zinc/76/39/28/358763928.db2.gz YQODZOHDQZGDAT-CYBMUJFWSA-N 1 2 309.316 1.853 20 30 DDEDLO CC(C)C[C@H](C(=O)NCC1CCN(CC#N)CC1)n1cc[nH+]c1 ZINC000602105927 358816384 /nfs/dbraw/zinc/81/63/84/358816384.db2.gz BNWPNGJMOHVHNR-MRXNPFEDSA-N 1 2 317.437 1.822 20 30 DDEDLO N#Cc1c(F)cccc1C[NH2+][C@@H]1CCN(C2CCOCC2)C1=O ZINC000602010838 358775774 /nfs/dbraw/zinc/77/57/74/358775774.db2.gz DXMYPSWLPAEZIM-MRXNPFEDSA-N 1 2 317.364 1.567 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cccc(C#N)n1 ZINC000602483195 359008954 /nfs/dbraw/zinc/00/89/54/359008954.db2.gz IBPKGTNYWFNBLJ-ZDUSSCGKSA-N 1 2 319.372 1.326 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cccc(C#N)n1 ZINC000602483195 359008956 /nfs/dbraw/zinc/00/89/56/359008956.db2.gz IBPKGTNYWFNBLJ-ZDUSSCGKSA-N 1 2 319.372 1.326 20 30 DDEDLO Cc1ccsc1CNC(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602860483 359246487 /nfs/dbraw/zinc/24/64/87/359246487.db2.gz IQTQZEJCAFQRIC-ZIAGYGMSSA-N 1 2 320.462 1.591 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1N(C)C(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602863184 359247079 /nfs/dbraw/zinc/24/70/79/359247079.db2.gz OZUMEFPTSRAJBC-QZWWFDLISA-N 1 2 320.481 1.942 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)NCC1(c2ccccc2)CCOCC1 ZINC000602863053 359247902 /nfs/dbraw/zinc/24/79/02/359247902.db2.gz NDTWQVOGVQXRJZ-OAHLLOKOSA-N 1 2 315.417 1.743 20 30 DDEDLO COc1cc2c(cc1OC)CN(C(=O)C[NH2+][C@H](C)CC#N)CC2 ZINC000602865103 359250240 /nfs/dbraw/zinc/25/02/40/359250240.db2.gz SVXMUJSJIIBEBL-GFCCVEGCSA-N 1 2 317.389 1.480 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)Nc1cc(S(C)(=O)=O)ccc1F ZINC000602870010 359254894 /nfs/dbraw/zinc/25/48/94/359254894.db2.gz RRIABYNFNZHHNQ-VIFPVBQESA-N 1 2 313.354 1.059 20 30 DDEDLO COCc1ncc2c(n1)CC[N@@H+](CCOc1ccc(C#N)cc1)C2 ZINC000602926806 359298535 /nfs/dbraw/zinc/29/85/35/359298535.db2.gz AYQUAKILOVHADA-UHFFFAOYSA-N 1 2 324.384 1.932 20 30 DDEDLO COCc1ncc2c(n1)CC[N@H+](CCOc1ccc(C#N)cc1)C2 ZINC000602926806 359298542 /nfs/dbraw/zinc/29/85/42/359298542.db2.gz AYQUAKILOVHADA-UHFFFAOYSA-N 1 2 324.384 1.932 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H](CO)C1 ZINC000602930578 359301059 /nfs/dbraw/zinc/30/10/59/359301059.db2.gz GSPOPBDTKMMZAB-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H](CO)C1 ZINC000602930578 359301062 /nfs/dbraw/zinc/30/10/62/359301062.db2.gz GSPOPBDTKMMZAB-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC=C(c2cnn(C)c2)C1 ZINC000602886908 359271087 /nfs/dbraw/zinc/27/10/87/359271087.db2.gz KNJSLDWGPVONIG-CQSZACIVSA-N 1 2 315.421 1.517 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC=C(c2cnn(C)c2)C1 ZINC000602886908 359271089 /nfs/dbraw/zinc/27/10/89/359271089.db2.gz KNJSLDWGPVONIG-CQSZACIVSA-N 1 2 315.421 1.517 20 30 DDEDLO Cc1cc[nH+]c(C)c1NC(=O)N1CCN(C(=O)CC#N)CC1 ZINC000603009946 359354743 /nfs/dbraw/zinc/35/47/43/359354743.db2.gz CGOKBQVFNGYQLI-UHFFFAOYSA-N 1 2 301.350 1.288 20 30 DDEDLO CC[C@]1(O)CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000602983076 359337786 /nfs/dbraw/zinc/33/77/86/359337786.db2.gz AKTDMSYGQVXVPA-INIZCTEOSA-N 1 2 322.430 1.569 20 30 DDEDLO CC[C@]1(O)CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000602983076 359337790 /nfs/dbraw/zinc/33/77/90/359337790.db2.gz AKTDMSYGQVXVPA-INIZCTEOSA-N 1 2 322.430 1.569 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)Nc2cc(F)cc(C#N)c2)C1 ZINC000603069372 359387822 /nfs/dbraw/zinc/38/78/22/359387822.db2.gz BGSQLMCPGBGRAP-AWEZNQCLSA-N 1 2 305.357 1.065 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)Nc2cc(F)cc(C#N)c2)C1 ZINC000603069372 359387827 /nfs/dbraw/zinc/38/78/27/359387827.db2.gz BGSQLMCPGBGRAP-AWEZNQCLSA-N 1 2 305.357 1.065 20 30 DDEDLO N#Cc1ccc(F)cc1Cn1cc(CC[NH+]2CCOCC2)nn1 ZINC000603216219 359487835 /nfs/dbraw/zinc/48/78/35/359487835.db2.gz CGNZEGYVSYTGGA-UHFFFAOYSA-N 1 2 315.352 1.212 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc(C(=O)OC)s2)nn1 ZINC000603218649 359489545 /nfs/dbraw/zinc/48/95/45/359489545.db2.gz JTSLWZHNQRMRBR-UHFFFAOYSA-N 1 2 304.375 1.287 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603284481 359541048 /nfs/dbraw/zinc/54/10/48/359541048.db2.gz RHKUXJINCJXSDL-CYBMUJFWSA-N 1 2 321.446 1.845 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603284481 359541049 /nfs/dbraw/zinc/54/10/49/359541049.db2.gz RHKUXJINCJXSDL-CYBMUJFWSA-N 1 2 321.446 1.845 20 30 DDEDLO N#Cc1ccsc1C(=O)N1CC[NH+](C[C@H]2CCCO2)CC1 ZINC000604523610 359761056 /nfs/dbraw/zinc/76/10/56/359761056.db2.gz FJNSNPPJCSGUBV-CYBMUJFWSA-N 1 2 305.403 1.557 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)NC[C@@H]1COCC[N@H+]1C ZINC000624739258 366537801 /nfs/dbraw/zinc/53/78/01/366537801.db2.gz NCEMOALCUMYEBQ-QGZVFWFLSA-N 1 2 317.433 1.757 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000624739258 366537803 /nfs/dbraw/zinc/53/78/03/366537803.db2.gz NCEMOALCUMYEBQ-QGZVFWFLSA-N 1 2 317.433 1.757 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000606451375 359922899 /nfs/dbraw/zinc/92/28/99/359922899.db2.gz GZAOATUPMJJPFD-CYBMUJFWSA-N 1 2 303.337 1.360 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000606451375 359922900 /nfs/dbraw/zinc/92/29/00/359922900.db2.gz GZAOATUPMJJPFD-CYBMUJFWSA-N 1 2 303.337 1.360 20 30 DDEDLO C=CCC[C@@H](C(=O)N1CC[NH+](CCO)CC1)c1ccccc1 ZINC000189132549 200354256 /nfs/dbraw/zinc/35/42/56/200354256.db2.gz FNUQUKZGLHJRQD-QGZVFWFLSA-N 1 2 302.418 1.873 20 30 DDEDLO C[C@H](CO[C@@H]1CCOC1)NC(=O)NC[C@@H]1CCc2[nH+]ccn2C1 ZINC000329903863 223044229 /nfs/dbraw/zinc/04/42/29/223044229.db2.gz IQKYLFBRVCCOJD-HZSPNIEDSA-N 1 2 322.409 1.143 20 30 DDEDLO C[C@H](CO[C@@H]1CCOC1)[NH+]=C([O-])NC[C@@H]1CCc2[nH+]ccn2C1 ZINC000329903863 223044232 /nfs/dbraw/zinc/04/42/32/223044232.db2.gz IQKYLFBRVCCOJD-HZSPNIEDSA-N 1 2 322.409 1.143 20 30 DDEDLO C[C@H](CO[C@@H]1CCOC1)NC([O-])=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000329903863 223044235 /nfs/dbraw/zinc/04/42/35/223044235.db2.gz IQKYLFBRVCCOJD-HZSPNIEDSA-N 1 2 322.409 1.143 20 30 DDEDLO N#CCN1CCC(NC(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)CC1 ZINC000609341122 360298499 /nfs/dbraw/zinc/29/84/99/360298499.db2.gz MKUPQYRCMOKLFC-CYBMUJFWSA-N 1 2 316.409 1.287 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H]1CCCN1c1ccc(C#N)cc1 ZINC000609108328 360260265 /nfs/dbraw/zinc/26/02/65/360260265.db2.gz AJJMRCANFHSFSB-YOEHRIQHSA-N 1 2 323.400 1.928 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(C#N)cc1F ZINC000609252410 360276080 /nfs/dbraw/zinc/27/60/80/360276080.db2.gz CRMPIBQLGFDUOU-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(C#N)cc1F ZINC000609252410 360276082 /nfs/dbraw/zinc/27/60/82/360276082.db2.gz CRMPIBQLGFDUOU-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@H](C)C(=O)N2CCC(C)CC2)CC1 ZINC000609486854 360313549 /nfs/dbraw/zinc/31/35/49/360313549.db2.gz SKKUJFUKYYTNCC-IAGOWNOFSA-N 1 2 320.481 1.799 20 30 DDEDLO O=C(NCc1cc[nH+]c(N2CCCC2)c1)N[C@H]1CC[S@@](=O)C1 ZINC000329990921 223058794 /nfs/dbraw/zinc/05/87/94/223058794.db2.gz JLGIYRKRRSLOQU-XMHCIUCPSA-N 1 2 322.434 1.206 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@H+](C)CCOc1ccc(C#N)cc1 ZINC000610828951 360546606 /nfs/dbraw/zinc/54/66/06/360546606.db2.gz NYQUOZJSQMLBSF-INIZCTEOSA-N 1 2 320.389 1.835 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@@H+](C)CCOc1ccc(C#N)cc1 ZINC000610828951 360546619 /nfs/dbraw/zinc/54/66/19/360546619.db2.gz NYQUOZJSQMLBSF-INIZCTEOSA-N 1 2 320.389 1.835 20 30 DDEDLO C[N@H+](Cc1ccnc(C#N)c1)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000610994989 360594406 /nfs/dbraw/zinc/59/44/06/360594406.db2.gz QSVAIOQNOCQIGH-CABCVRRESA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1ccnc(C#N)c1)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000610994989 360594413 /nfs/dbraw/zinc/59/44/13/360594413.db2.gz QSVAIOQNOCQIGH-CABCVRRESA-N 1 2 307.419 1.741 20 30 DDEDLO CC(C)CCC[C@H](C)NC(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611173675 360646437 /nfs/dbraw/zinc/64/64/37/360646437.db2.gz GDAWDWCBDKDIJN-INIZCTEOSA-N 1 2 308.470 1.849 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CC[C@@H](C(F)F)C2)c1 ZINC000611179323 360652111 /nfs/dbraw/zinc/65/21/11/360652111.db2.gz APQREOQPIVMDTP-GFCCVEGCSA-N 1 2 314.357 1.919 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CC[C@@H](C(F)F)C2)c1 ZINC000611179323 360652114 /nfs/dbraw/zinc/65/21/14/360652114.db2.gz APQREOQPIVMDTP-GFCCVEGCSA-N 1 2 314.357 1.919 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C)[C@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000611204862 360659076 /nfs/dbraw/zinc/65/90/76/360659076.db2.gz CZMCMSKGBNGKAY-LLVKDONJSA-N 1 2 320.393 1.505 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C)[C@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000611204862 360659080 /nfs/dbraw/zinc/65/90/80/360659080.db2.gz CZMCMSKGBNGKAY-LLVKDONJSA-N 1 2 320.393 1.505 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)NCc1ccc(C#N)cc1F ZINC000611395950 360710969 /nfs/dbraw/zinc/71/09/69/360710969.db2.gz GCTALGIANSSIPE-UHFFFAOYSA-N 1 2 301.325 1.772 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1nc2c(s1)CCC2 ZINC000611410086 360713860 /nfs/dbraw/zinc/71/38/60/360713860.db2.gz BPGIUZOCHQEYDC-UHFFFAOYSA-N 1 2 320.462 1.680 20 30 DDEDLO N#CC1(C[NH+]2CCC(NS(=O)(=O)c3ccccc3)CC2)CC1 ZINC000611430483 360719015 /nfs/dbraw/zinc/71/90/15/360719015.db2.gz TYRPATFDAZNPLO-UHFFFAOYSA-N 1 2 319.430 1.733 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCC[C@@H](OC(F)F)C1)C1CC1 ZINC000611580644 360766263 /nfs/dbraw/zinc/76/62/63/360766263.db2.gz YUMWADZQYDBBRA-RISCZKNCSA-N 1 2 301.337 1.498 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@@H](OC(F)F)C1)C1CC1 ZINC000611580644 360766266 /nfs/dbraw/zinc/76/62/66/360766266.db2.gz YUMWADZQYDBBRA-RISCZKNCSA-N 1 2 301.337 1.498 20 30 DDEDLO C[C@@](O)(CNC(=O)c1sccc1Cl)C[NH+]1CCOCC1 ZINC000330600928 223129452 /nfs/dbraw/zinc/12/94/52/223129452.db2.gz DECNLMRMSPCNPX-CYBMUJFWSA-N 1 2 318.826 1.789 20 30 DDEDLO CN(C)S(=O)(=O)c1cccc(C[NH2+][C@@H]2CCC[C@@H]2C#N)c1 ZINC000330857057 223149357 /nfs/dbraw/zinc/14/93/57/223149357.db2.gz SRNVQITVSZISEZ-UKRRQHHQSA-N 1 2 307.419 1.719 20 30 DDEDLO CSc1c(C(=O)NCC(C)(C)[NH+]2CCOCC2)cnn1C ZINC000331078862 223184734 /nfs/dbraw/zinc/18/47/34/223184734.db2.gz MXKCXIFZLISHCY-UHFFFAOYSA-N 1 2 312.439 1.557 20 30 DDEDLO C[C@@H](C#N)C(=O)NCc1cn2cc(Br)ccc2[nH+]1 ZINC000347056347 223366103 /nfs/dbraw/zinc/36/61/03/223366103.db2.gz BMUJLMCDSOJSPQ-QMMMGPOBSA-N 1 2 307.151 1.873 20 30 DDEDLO Cc1c(C(=O)N[C@@H]2CCc3[nH+]c(C(C)(C)C)cn3C2)nnn1C ZINC000329702765 291480036 /nfs/dbraw/zinc/48/00/36/291480036.db2.gz IBIITIDVPXIJQP-LLVKDONJSA-N 1 2 316.409 1.937 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000264670460 204067834 /nfs/dbraw/zinc/06/78/34/204067834.db2.gz UFYZLNSMGDORID-CYBMUJFWSA-N 1 2 321.812 1.579 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000264670460 204067838 /nfs/dbraw/zinc/06/78/38/204067838.db2.gz UFYZLNSMGDORID-CYBMUJFWSA-N 1 2 321.812 1.579 20 30 DDEDLO C=CCOc1ccccc1CNC(=O)NCc1[nH+]ccn1C ZINC000264763938 204137295 /nfs/dbraw/zinc/13/72/95/204137295.db2.gz MFYPYNLPIIFMRQ-UHFFFAOYSA-N 1 2 300.362 1.984 20 30 DDEDLO C#CCCNC(=O)N[C@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000265083244 204385237 /nfs/dbraw/zinc/38/52/37/204385237.db2.gz QZWWFMWNHJUYCE-AWEZNQCLSA-N 1 2 300.337 1.971 20 30 DDEDLO C#CCSCCNC(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000265808800 204890857 /nfs/dbraw/zinc/89/08/57/204890857.db2.gz VHQKKSMBBJQQKE-UHFFFAOYSA-N 1 2 302.403 1.808 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1C[C@@H](CO)OC(C)(C)C1 ZINC000336873412 249279351 /nfs/dbraw/zinc/27/93/51/249279351.db2.gz SYYBRTKTLYHNOX-DOMZBBRYSA-N 1 2 317.389 1.357 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1C[C@@H](CO)OC(C)(C)C1 ZINC000336873412 249279354 /nfs/dbraw/zinc/27/93/54/249279354.db2.gz SYYBRTKTLYHNOX-DOMZBBRYSA-N 1 2 317.389 1.357 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1ccccc1SCC(N)=O)C1CC1 ZINC000274122259 211375329 /nfs/dbraw/zinc/37/53/29/211375329.db2.gz GYSZDLGPPPRLCC-UHFFFAOYSA-N 1 2 317.414 1.300 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1ccccc1SCC(N)=O)C1CC1 ZINC000274122259 211375334 /nfs/dbraw/zinc/37/53/34/211375334.db2.gz GYSZDLGPPPRLCC-UHFFFAOYSA-N 1 2 317.414 1.300 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@]2(CCCOC2)C1 ZINC000285251877 218352515 /nfs/dbraw/zinc/35/25/15/218352515.db2.gz HFLBMDWISCRHTN-QGZVFWFLSA-N 1 2 302.374 1.948 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@]2(CCCOC2)C1 ZINC000285251877 218352518 /nfs/dbraw/zinc/35/25/18/218352518.db2.gz HFLBMDWISCRHTN-QGZVFWFLSA-N 1 2 302.374 1.948 20 30 DDEDLO N#Cc1cncnc1N1CCC[N@H+](Cc2cscn2)CC1 ZINC000579585431 422796971 /nfs/dbraw/zinc/79/69/71/422796971.db2.gz GEEOEWOMLDNWFG-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO N#Cc1cncnc1N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000579585431 422796976 /nfs/dbraw/zinc/79/69/76/422796976.db2.gz GEEOEWOMLDNWFG-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000060481519 184150280 /nfs/dbraw/zinc/15/02/80/184150280.db2.gz XCYHCNRNRHDMHO-CQSZACIVSA-N 1 2 315.421 1.236 20 30 DDEDLO COc1cc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)c(C)cc1C ZINC000329785521 532962133 /nfs/dbraw/zinc/96/21/33/532962133.db2.gz QAKFUILRYYSHTA-GOEBONIOSA-N 1 2 319.405 1.872 20 30 DDEDLO COc1cc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)c(C)cc1C ZINC000329785521 532962137 /nfs/dbraw/zinc/96/21/37/532962137.db2.gz QAKFUILRYYSHTA-GOEBONIOSA-N 1 2 319.405 1.872 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@H](C)O[C@@]2(CCO[C@@H]2C)C1 ZINC000369290162 285040077 /nfs/dbraw/zinc/04/00/77/285040077.db2.gz JFBWTVDJMBTJPT-DLTWYDFYSA-N 1 2 323.437 1.263 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@H](C)O[C@@]2(CCO[C@@H]2C)C1 ZINC000369290162 285040078 /nfs/dbraw/zinc/04/00/78/285040078.db2.gz JFBWTVDJMBTJPT-DLTWYDFYSA-N 1 2 323.437 1.263 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC=C(C(F)(F)F)CC1 ZINC000270204757 208271634 /nfs/dbraw/zinc/27/16/34/208271634.db2.gz PTRBTJAAWUYRPT-VIFPVBQESA-N 1 2 305.300 1.581 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC=C(C(F)(F)F)CC1 ZINC000270204757 208271639 /nfs/dbraw/zinc/27/16/39/208271639.db2.gz PTRBTJAAWUYRPT-VIFPVBQESA-N 1 2 305.300 1.581 20 30 DDEDLO Cc1cc(N(C)CC[NH+]2CCOCC2)c(C#N)cc1[N+](=O)[O-] ZINC000413120021 224138779 /nfs/dbraw/zinc/13/87/79/224138779.db2.gz ZOAALKRQUOXMBN-UHFFFAOYSA-N 1 2 304.350 1.543 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCCN(C=O)CC1 ZINC000272898771 210288243 /nfs/dbraw/zinc/28/82/43/210288243.db2.gz JQRKQMBFKVWNNY-CYBMUJFWSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCCN(C=O)CC1 ZINC000272898771 210288245 /nfs/dbraw/zinc/28/82/45/210288245.db2.gz JQRKQMBFKVWNNY-CYBMUJFWSA-N 1 2 300.362 1.049 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H](C)C(=O)Nc2cccc(F)c2)CC1 ZINC000157692750 197207848 /nfs/dbraw/zinc/20/78/48/197207848.db2.gz STHJGHULCQBRAJ-AWEZNQCLSA-N 1 2 303.381 1.794 20 30 DDEDLO CC#CCC[NH+]1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000155039590 197016216 /nfs/dbraw/zinc/01/62/16/197016216.db2.gz YXWRURACLIOSHD-UHFFFAOYSA-N 1 2 322.430 1.415 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000433975705 533184299 /nfs/dbraw/zinc/18/42/99/533184299.db2.gz HSXVKWZHJWJPOI-KRWDZBQOSA-N 1 2 317.389 1.177 20 30 DDEDLO C[C@@H](CNC(=O)[C@H](C)Oc1ccc(C#N)cc1)Cn1cc[nH+]c1 ZINC000153392005 196875415 /nfs/dbraw/zinc/87/54/15/196875415.db2.gz JQAWGDPRZFTUGD-KBPBESRZSA-N 1 2 312.373 1.975 20 30 DDEDLO N#Cc1cccc(CS(=O)(=O)N2CCn3c[nH+]cc3C2)c1 ZINC000289549063 221059242 /nfs/dbraw/zinc/05/92/42/221059242.db2.gz MYJZZWSPVWYPHQ-UHFFFAOYSA-N 1 2 302.359 1.100 20 30 DDEDLO CN(C(=O)c1ccc(F)c(C#N)c1)C(C)(C)C[NH+]1CCOCC1 ZINC000414046329 224294756 /nfs/dbraw/zinc/29/47/56/224294756.db2.gz YQSBYFQDOFWRMO-UHFFFAOYSA-N 1 2 319.380 1.880 20 30 DDEDLO Cc1cc(S(=O)(=O)NC[C@@H](C)Cn2cc[nH+]c2)ccc1C#N ZINC000414471037 224342420 /nfs/dbraw/zinc/34/24/20/224342420.db2.gz QCGUDOPQDDOSDD-GFCCVEGCSA-N 1 2 318.402 1.678 20 30 DDEDLO CO[C@H]1CCC[C@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000339588473 533238616 /nfs/dbraw/zinc/23/86/16/533238616.db2.gz ZABHVVVKVRNEDZ-HOTGVXAUSA-N 1 2 323.437 1.266 20 30 DDEDLO C[C@@H](CC#N)[N@H+](C)C[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419235154 533395928 /nfs/dbraw/zinc/39/59/28/533395928.db2.gz MSFGWDBMAQHZPG-JSGCOSHPSA-N 1 2 317.389 1.545 20 30 DDEDLO C[C@@H](CC#N)[N@@H+](C)C[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419235154 533395934 /nfs/dbraw/zinc/39/59/34/533395934.db2.gz MSFGWDBMAQHZPG-JSGCOSHPSA-N 1 2 317.389 1.545 20 30 DDEDLO COc1cc(/C=C/C(=O)NCc2[nH]cc[nH+]2)ccc1OCC#N ZINC000491840783 533466774 /nfs/dbraw/zinc/46/67/74/533466774.db2.gz ZRAJNKNIDANFKT-HWKANZROSA-N 1 2 312.329 1.650 20 30 DDEDLO N#Cc1ccc(N2CC[NH+]([C@H]3CCCCNC3=O)CC2)c(F)c1 ZINC000128725191 407566113 /nfs/dbraw/zinc/56/61/13/407566113.db2.gz CJAZFPKTTXAINM-INIZCTEOSA-N 1 2 316.380 1.488 20 30 DDEDLO COCCc1noc(C[N@H+](C)CCOc2ccccc2C#N)n1 ZINC000170988328 407567024 /nfs/dbraw/zinc/56/70/24/407567024.db2.gz GWRCYHCSGGGACN-UHFFFAOYSA-N 1 2 316.361 1.641 20 30 DDEDLO COCCc1noc(C[N@@H+](C)CCOc2ccccc2C#N)n1 ZINC000170988328 407567033 /nfs/dbraw/zinc/56/70/33/407567033.db2.gz GWRCYHCSGGGACN-UHFFFAOYSA-N 1 2 316.361 1.641 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C=C(CC)CC)CC1 ZINC000128776328 407570192 /nfs/dbraw/zinc/57/01/92/407570192.db2.gz DALGJXWLXCUAJX-UHFFFAOYSA-N 1 2 305.422 1.017 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C=C(CC)CC)CC1 ZINC000128776328 407570184 /nfs/dbraw/zinc/57/01/84/407570184.db2.gz DALGJXWLXCUAJX-UHFFFAOYSA-N 1 2 305.422 1.017 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N(C)CCOc1ccccc1F ZINC000076185160 406958141 /nfs/dbraw/zinc/95/81/41/406958141.db2.gz SHGAIVJQCASGRG-UHFFFAOYSA-N 1 2 302.349 1.231 20 30 DDEDLO Cc1nc(CN(CCC#N)CC[NH+]2CCOCC2)sc1C ZINC000088603210 407122060 /nfs/dbraw/zinc/12/20/60/407122060.db2.gz ZJMNTGPREGXJDH-UHFFFAOYSA-N 1 2 308.451 1.808 20 30 DDEDLO C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)Cc1ccc(Cl)nc1 ZINC000080909638 407073974 /nfs/dbraw/zinc/07/39/74/407073974.db2.gz UDKOICCOTVLKEN-HNNXBMFYSA-N 1 2 306.797 1.975 20 30 DDEDLO C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)Cc1ccc(Cl)nc1 ZINC000080909638 407073977 /nfs/dbraw/zinc/07/39/77/407073977.db2.gz UDKOICCOTVLKEN-HNNXBMFYSA-N 1 2 306.797 1.975 20 30 DDEDLO CC(C)(C)c1noc(CN(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000081158074 407075123 /nfs/dbraw/zinc/07/51/23/407075123.db2.gz BSMLMHWEUPFYDJ-UHFFFAOYSA-N 1 2 321.425 1.415 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000052589708 407154134 /nfs/dbraw/zinc/15/41/34/407154134.db2.gz LUUPZEBPEVZHCI-UHFFFAOYSA-N 1 2 304.350 1.789 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000052589708 407154137 /nfs/dbraw/zinc/15/41/37/407154137.db2.gz LUUPZEBPEVZHCI-UHFFFAOYSA-N 1 2 304.350 1.789 20 30 DDEDLO C=CC1CC[NH+](Cc2cnn([C@@]3(C)CCS(=O)(=O)C3)c2)CC1 ZINC000093359289 407197030 /nfs/dbraw/zinc/19/70/30/407197030.db2.gz GOLAYWGADBOCCV-INIZCTEOSA-N 1 2 323.462 1.815 20 30 DDEDLO CC(C)N(C)C(=O)C[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000101729815 407314799 /nfs/dbraw/zinc/31/47/99/407314799.db2.gz CURFJXMAQOYWLN-UHFFFAOYSA-N 1 2 318.396 1.686 20 30 DDEDLO C#CCCOc1ccc(C[NH+]2CCN(CCOC)CC2)cc1 ZINC000123009045 407316329 /nfs/dbraw/zinc/31/63/29/407316329.db2.gz MFFYKGHIVVZNCC-UHFFFAOYSA-N 1 2 302.418 1.853 20 30 DDEDLO N#CCCCCS(=O)(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000104105424 407346982 /nfs/dbraw/zinc/34/69/82/407346982.db2.gz HCFJVPWXGXCSFM-UHFFFAOYSA-N 1 2 308.407 1.227 20 30 DDEDLO C[NH+](C)Cc1nc(C(C)(C)NC(=O)c2ccc(C#N)cn2)no1 ZINC000107464802 407375454 /nfs/dbraw/zinc/37/54/54/407375454.db2.gz DYBJNWYTMXRFSA-UHFFFAOYSA-N 1 2 314.349 1.063 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000127543590 407439753 /nfs/dbraw/zinc/43/97/53/407439753.db2.gz IPVNYJSOKXMVGU-OLZOCXBDSA-N 1 2 309.410 1.066 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000127543590 407439754 /nfs/dbraw/zinc/43/97/54/407439754.db2.gz IPVNYJSOKXMVGU-OLZOCXBDSA-N 1 2 309.410 1.066 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000151625889 407467071 /nfs/dbraw/zinc/46/70/71/407467071.db2.gz TZTLESCYFOOQLX-CYBMUJFWSA-N 1 2 309.373 1.800 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000185822922 407512230 /nfs/dbraw/zinc/51/22/30/407512230.db2.gz ZPURJRWXQVILTJ-HOTGVXAUSA-N 1 2 321.465 1.744 20 30 DDEDLO COCCN(c1cc[nH+]cc1)S(=O)(=O)c1ccc(C#N)nc1 ZINC000152007870 407544630 /nfs/dbraw/zinc/54/46/30/407544630.db2.gz CRPVZFAEALMWMS-UHFFFAOYSA-N 1 2 318.358 1.190 20 30 DDEDLO COC(=O)[C@H](c1ccccc1)[N@H+](C)CCC(=O)N(C)CCC#N ZINC000271601775 407722927 /nfs/dbraw/zinc/72/29/27/407722927.db2.gz UPFWAZLFXZIKEA-INIZCTEOSA-N 1 2 317.389 1.595 20 30 DDEDLO COC(=O)[C@H](c1ccccc1)[N@@H+](C)CCC(=O)N(C)CCC#N ZINC000271601775 407722932 /nfs/dbraw/zinc/72/29/32/407722932.db2.gz UPFWAZLFXZIKEA-INIZCTEOSA-N 1 2 317.389 1.595 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H](C[NH+]2CCOCC2)c2ccccc2)c1 ZINC000267118124 407755326 /nfs/dbraw/zinc/75/53/26/407755326.db2.gz SSCFZNFMRMONEH-QGZVFWFLSA-N 1 2 324.384 1.690 20 30 DDEDLO COCCO[C@@H]1CCC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000179332930 407770738 /nfs/dbraw/zinc/77/07/38/407770738.db2.gz OFEPBFULTCUJDB-MRXNPFEDSA-N 1 2 317.389 1.624 20 30 DDEDLO COCCO[C@@H]1CCC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000179332930 407770741 /nfs/dbraw/zinc/77/07/41/407770741.db2.gz OFEPBFULTCUJDB-MRXNPFEDSA-N 1 2 317.389 1.624 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCN(C)C(=O)[C@@H]1C ZINC000186936530 407798155 /nfs/dbraw/zinc/79/81/55/407798155.db2.gz DOEMXCGYKYUGFK-LBPRGKRZSA-N 1 2 303.362 1.108 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCN(C)C(=O)[C@@H]1C ZINC000186936530 407798157 /nfs/dbraw/zinc/79/81/57/407798157.db2.gz DOEMXCGYKYUGFK-LBPRGKRZSA-N 1 2 303.362 1.108 20 30 DDEDLO C=CC[C@H](C)NC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000116948782 407800366 /nfs/dbraw/zinc/80/03/66/407800366.db2.gz OZVCWEUXTWOLOU-DZGCQCFKSA-N 1 2 313.467 1.458 20 30 DDEDLO COCC[N@@H+]1CCN(C(=O)c2c(F)cc(C#N)cc2F)C[C@H]1C ZINC000119036453 407981532 /nfs/dbraw/zinc/98/15/32/407981532.db2.gz MXGYWMDQBFEWDP-LLVKDONJSA-N 1 2 323.343 1.629 20 30 DDEDLO COCC[N@H+]1CCN(C(=O)c2c(F)cc(C#N)cc2F)C[C@H]1C ZINC000119036453 407981537 /nfs/dbraw/zinc/98/15/37/407981537.db2.gz MXGYWMDQBFEWDP-LLVKDONJSA-N 1 2 323.343 1.629 20 30 DDEDLO CNc1[nH+]cnc2c1cnn2CC(=O)Nc1cccc(C#N)c1 ZINC000181834901 408045396 /nfs/dbraw/zinc/04/53/96/408045396.db2.gz YVYLIDUZCCWQOF-UHFFFAOYSA-N 1 2 307.317 1.378 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(OCC(F)F)ccn2)CC1 ZINC000272595949 408000058 /nfs/dbraw/zinc/00/00/58/408000058.db2.gz UNMSCTURGMFNQR-UHFFFAOYSA-N 1 2 323.343 1.507 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCO[C@@]2(CCc3ccccc32)C1 ZINC000245512092 408002739 /nfs/dbraw/zinc/00/27/39/408002739.db2.gz MZHAUTILIHUZLE-KDOFPFPSSA-N 1 2 300.402 1.851 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCO[C@@]2(CCc3ccccc32)C1 ZINC000245512092 408002743 /nfs/dbraw/zinc/00/27/43/408002743.db2.gz MZHAUTILIHUZLE-KDOFPFPSSA-N 1 2 300.402 1.851 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000268477455 408060539 /nfs/dbraw/zinc/06/05/39/408060539.db2.gz NLSJEWKUCNHUCH-HIFRSBDPSA-N 1 2 317.437 1.882 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000268477455 408060543 /nfs/dbraw/zinc/06/05/43/408060543.db2.gz NLSJEWKUCNHUCH-HIFRSBDPSA-N 1 2 317.437 1.882 20 30 DDEDLO N#Cc1ccnc(N2CCN(c3cccc[nH+]3)CC2)c1[N+](=O)[O-] ZINC000268533292 408086075 /nfs/dbraw/zinc/08/60/75/408086075.db2.gz XRLLXYXZIHFFIL-UHFFFAOYSA-N 1 2 310.317 1.583 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCO[C@@](C)(c2ccccc2)C1 ZINC000121272229 408173497 /nfs/dbraw/zinc/17/34/97/408173497.db2.gz BHHHGVOAODSJNS-GOSISDBHSA-N 1 2 315.417 1.996 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCO[C@@](C)(c2ccccc2)C1 ZINC000121272229 408173503 /nfs/dbraw/zinc/17/35/03/408173503.db2.gz BHHHGVOAODSJNS-GOSISDBHSA-N 1 2 315.417 1.996 20 30 DDEDLO COc1ccccc1CCNC(=O)C[NH+]1CCC(C#N)CC1 ZINC000142060333 408180116 /nfs/dbraw/zinc/18/01/16/408180116.db2.gz HUKBPRUANIVEPQ-UHFFFAOYSA-N 1 2 301.390 1.589 20 30 DDEDLO C=CCCOCC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000143424228 408182161 /nfs/dbraw/zinc/18/21/61/408182161.db2.gz FDQLAHJMVJTICA-UHFFFAOYSA-N 1 2 318.417 1.728 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)c2ccccc2F)CC1 ZINC000121799186 408241696 /nfs/dbraw/zinc/24/16/96/408241696.db2.gz KHXHYBCVXHUDGW-UHFFFAOYSA-N 1 2 303.381 1.196 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)/C=C/c1ccc(-n2cc[nH+]c2)cc1 ZINC000156398430 408254823 /nfs/dbraw/zinc/25/48/23/408254823.db2.gz IHVLVDHXRXNQTL-JZLODUJNSA-N 1 2 310.357 1.930 20 30 DDEDLO COC[C@](C)([NH2+]CCCOc1cccc(C#N)c1)C(=O)OC ZINC000182600379 408201777 /nfs/dbraw/zinc/20/17/77/408201777.db2.gz CQBJVDGRQDITHG-INIZCTEOSA-N 1 2 306.362 1.495 20 30 DDEDLO C=CC[N@@H+](C)CC(=O)Nc1cc(C)nn1-c1nc(C)cc(C)n1 ZINC000121503555 408225767 /nfs/dbraw/zinc/22/57/67/408225767.db2.gz HOMZIFBRBHQTNZ-UHFFFAOYSA-N 1 2 314.393 1.644 20 30 DDEDLO C=CC[N@H+](C)CC(=O)Nc1cc(C)nn1-c1nc(C)cc(C)n1 ZINC000121503555 408225771 /nfs/dbraw/zinc/22/57/71/408225771.db2.gz HOMZIFBRBHQTNZ-UHFFFAOYSA-N 1 2 314.393 1.644 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N(C)CC[NH+]1CCOCC1 ZINC000263877234 408298306 /nfs/dbraw/zinc/29/83/06/408298306.db2.gz ORGQROUKUSNWFD-CQSZACIVSA-N 1 2 317.389 1.116 20 30 DDEDLO CC(C)CO[C@H](C)C(N)=[NH+]OCc1cc(-c2cnn(C)c2)no1 ZINC000274466455 408415875 /nfs/dbraw/zinc/41/58/75/408415875.db2.gz YNNZSDBAJXMPKA-LLVKDONJSA-N 1 2 321.381 1.925 20 30 DDEDLO C#CCOc1ccccc1NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C ZINC000274873358 408563539 /nfs/dbraw/zinc/56/35/39/408563539.db2.gz UDMNOVNAJPSMGD-AWEZNQCLSA-N 1 2 316.405 1.066 20 30 DDEDLO C#CCOc1ccccc1NC(=O)NC[C@H]1CN(C)CC[N@H+]1C ZINC000274873358 408563544 /nfs/dbraw/zinc/56/35/44/408563544.db2.gz UDMNOVNAJPSMGD-AWEZNQCLSA-N 1 2 316.405 1.066 20 30 DDEDLO CC(C)[N@H+](CC(N)=O)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000192530102 408606008 /nfs/dbraw/zinc/60/60/08/408606008.db2.gz HPUBQRYTRNJFMX-OAHLLOKOSA-N 1 2 314.389 1.249 20 30 DDEDLO CC(C)[N@@H+](CC(N)=O)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000192530102 408606012 /nfs/dbraw/zinc/60/60/12/408606012.db2.gz HPUBQRYTRNJFMX-OAHLLOKOSA-N 1 2 314.389 1.249 20 30 DDEDLO CCc1ccc([C@H](COC)[NH2+][C@@H](C)C(=O)N(C)CCC#N)o1 ZINC000184837222 408698565 /nfs/dbraw/zinc/69/85/65/408698565.db2.gz PDZASFUHKGGKHE-JSGCOSHPSA-N 1 2 307.394 1.880 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N[C@@H](C)c2cccs2)C1=O ZINC000281199949 408875895 /nfs/dbraw/zinc/87/58/95/408875895.db2.gz CKGMPFCZOSDRLY-STQMWFEESA-N 1 2 321.446 1.644 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N[C@@H](C)c2cccs2)C1=O ZINC000281199949 408875897 /nfs/dbraw/zinc/87/58/97/408875897.db2.gz CKGMPFCZOSDRLY-STQMWFEESA-N 1 2 321.446 1.644 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)NCc2ccccc2)C1=O ZINC000281280220 408878828 /nfs/dbraw/zinc/87/88/28/408878828.db2.gz IOUNEIRZYNZFOS-HOCLYGCPSA-N 1 2 315.417 1.410 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)NCc2ccccc2)C1=O ZINC000281280220 408878830 /nfs/dbraw/zinc/87/88/30/408878830.db2.gz IOUNEIRZYNZFOS-HOCLYGCPSA-N 1 2 315.417 1.410 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NCc1[nH+]ccn1CCc1ccccc1 ZINC000291119867 408860250 /nfs/dbraw/zinc/86/02/50/408860250.db2.gz VNTJDZAUOLEQNI-HNNXBMFYSA-N 1 2 314.389 1.472 20 30 DDEDLO CNC(=O)C[NH+]1CCN(c2c(C#N)cnc3ccc(C)cc32)CC1 ZINC000291578808 408889060 /nfs/dbraw/zinc/88/90/60/408889060.db2.gz FWUVNWVZWHIMHP-UHFFFAOYSA-N 1 2 323.400 1.283 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](n2cccn2)C1 ZINC000291783494 408922395 /nfs/dbraw/zinc/92/23/95/408922395.db2.gz MIODZMMNFIHVCM-HZPDHXFCSA-N 1 2 310.357 1.916 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](n2cccn2)C1 ZINC000291783494 408922397 /nfs/dbraw/zinc/92/23/97/408922397.db2.gz MIODZMMNFIHVCM-HZPDHXFCSA-N 1 2 310.357 1.916 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3ccc(Cl)cn3)CC2)C1=O ZINC000281905799 408951505 /nfs/dbraw/zinc/95/15/05/408951505.db2.gz GADUSBGYUNSVLP-CQSZACIVSA-N 1 2 320.824 1.644 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NCc2ccccc2)C1=O ZINC000281921589 408955254 /nfs/dbraw/zinc/95/52/54/408955254.db2.gz HHWCHWORUPUDPG-OAHLLOKOSA-N 1 2 301.390 1.022 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NCc2ccccc2)C1=O ZINC000281921589 408955256 /nfs/dbraw/zinc/95/52/56/408955256.db2.gz HHWCHWORUPUDPG-OAHLLOKOSA-N 1 2 301.390 1.022 20 30 DDEDLO C=CCSCCNC(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000286443892 408987034 /nfs/dbraw/zinc/98/70/34/408987034.db2.gz IIQLOPAVXBHICN-LBPRGKRZSA-N 1 2 322.434 1.151 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2Cc2cccs2)CC1 ZINC000283005845 409072601 /nfs/dbraw/zinc/07/26/01/409072601.db2.gz NNPYKTLFMROMHX-UHFFFAOYSA-N 1 2 301.419 1.452 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCC[C@H](CS(C)(=O)=O)C1 ZINC000293344161 409094530 /nfs/dbraw/zinc/09/45/30/409094530.db2.gz NJFHBKFIQBQMEA-AWEZNQCLSA-N 1 2 322.430 1.823 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCC[C@H](CS(C)(=O)=O)C1 ZINC000293344161 409094534 /nfs/dbraw/zinc/09/45/34/409094534.db2.gz NJFHBKFIQBQMEA-AWEZNQCLSA-N 1 2 322.430 1.823 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)o1 ZINC000287827275 409100457 /nfs/dbraw/zinc/10/04/57/409100457.db2.gz NJWAQWNIDSPRJW-NSHDSACASA-N 1 2 318.358 1.103 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000278852294 409101855 /nfs/dbraw/zinc/10/18/55/409101855.db2.gz LJRVUZQZAUGKJK-INIZCTEOSA-N 1 2 303.337 1.955 20 30 DDEDLO NC(=[NH+]OCC(=O)N1CCCc2ccccc21)[C@@H]1CCCO1 ZINC000283886574 409233449 /nfs/dbraw/zinc/23/34/49/409233449.db2.gz CVFOKUJEQNOQGH-AWEZNQCLSA-N 1 2 303.362 1.434 20 30 DDEDLO NC(=[NH+]OCc1nc(-c2ccc(F)c(F)c2)no1)[C@@H]1CCCO1 ZINC000284066988 409267866 /nfs/dbraw/zinc/26/78/66/409267866.db2.gz JXGACAYUCTVWIF-NSHDSACASA-N 1 2 324.287 1.983 20 30 DDEDLO C#CC[C@H](Cc1ccccc1)NC(=O)NCC[NH+]1CCOCC1 ZINC000285113221 409399861 /nfs/dbraw/zinc/39/98/61/409399861.db2.gz PKRBVWJNNPHRQZ-QGZVFWFLSA-N 1 2 315.417 1.252 20 30 DDEDLO C=CCNC(=O)C[S@@](=O)Cc1[nH+]ccn1Cc1ccccc1 ZINC000285019356 409393906 /nfs/dbraw/zinc/39/39/06/409393906.db2.gz HVJOEMDMARRKJP-QFIPXVFZSA-N 1 2 317.414 1.482 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000285053801 409394497 /nfs/dbraw/zinc/39/44/97/409394497.db2.gz FZXIOPOIMLZDAC-GUYCJALGSA-N 1 2 316.405 1.863 20 30 DDEDLO COc1ccccc1[C@@H](C)NC(=O)CO[NH+]=C(N)[C@@H]1CCCO1 ZINC000284497285 409344074 /nfs/dbraw/zinc/34/40/74/409344074.db2.gz SPSMBBLKDVJBSD-RISCZKNCSA-N 1 2 321.377 1.340 20 30 DDEDLO C[C@H]1CCC[C@H](C)[NH+]1CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000290450222 409405844 /nfs/dbraw/zinc/40/58/44/409405844.db2.gz VYHUEBAEADGTLU-STQMWFEESA-N 1 2 307.419 1.990 20 30 DDEDLO C#Cc1ccc(CNC(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)cc1 ZINC000296341568 409508995 /nfs/dbraw/zinc/50/89/95/409508995.db2.gz ICRMJWVKLOQEKC-HUUCEWRRSA-N 1 2 315.417 1.576 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NCc1[nH+]ccn1CC(C)C ZINC000357247913 409953778 /nfs/dbraw/zinc/95/37/78/409953778.db2.gz PXLJHJIHMQWLMA-UHFFFAOYSA-N 1 2 304.394 1.356 20 30 DDEDLO CC#CCN(C)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000297581723 409934370 /nfs/dbraw/zinc/93/43/70/409934370.db2.gz DUGNCFSVJSKESE-UHFFFAOYSA-N 1 2 315.417 1.684 20 30 DDEDLO C[C@@H](NC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C)c1nc[nH]n1 ZINC000328940864 410015568 /nfs/dbraw/zinc/01/55/68/410015568.db2.gz FDFZSKKDHPIGKI-IJLUTSLNSA-N 1 2 300.366 1.990 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000297836203 410018238 /nfs/dbraw/zinc/01/82/38/410018238.db2.gz QXNBXHXKSOWQJI-KGLIPLIRSA-N 1 2 304.394 1.327 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000297793669 410001328 /nfs/dbraw/zinc/00/13/28/410001328.db2.gz LSEPYIIAVPSQBJ-LSDHHAIUSA-N 1 2 318.421 1.717 20 30 DDEDLO O=C(C[C@H]1CCOC1)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000328904514 410005181 /nfs/dbraw/zinc/00/51/81/410005181.db2.gz ADHJBGJCYWRKNG-CQSZACIVSA-N 1 2 323.437 1.314 20 30 DDEDLO C=CCCc1ccccc1NC(=O)C(=O)N1CCn2c[nH+]cc2C1 ZINC000354779954 410082123 /nfs/dbraw/zinc/08/21/23/410082123.db2.gz SCOLRKUHVQKQBV-UHFFFAOYSA-N 1 2 324.384 1.983 20 30 DDEDLO CC[C@H](NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1)c1nccs1 ZINC000329078154 410088232 /nfs/dbraw/zinc/08/82/32/410088232.db2.gz CVUIBFBBQRYNOF-AVGNSLFASA-N 1 2 324.450 1.913 20 30 DDEDLO CC[C@H](NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1)c1nccs1 ZINC000329078154 410088239 /nfs/dbraw/zinc/08/82/39/410088239.db2.gz CVUIBFBBQRYNOF-AVGNSLFASA-N 1 2 324.450 1.913 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNc1nc2c(cc1C#N)CCCC2 ZINC000329118001 410112727 /nfs/dbraw/zinc/11/27/27/410112727.db2.gz JGEWNLVPCYRVRI-CYBMUJFWSA-N 1 2 300.406 1.965 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNc1nc2c(cc1C#N)CCCC2 ZINC000329118001 410112733 /nfs/dbraw/zinc/11/27/33/410112733.db2.gz JGEWNLVPCYRVRI-CYBMUJFWSA-N 1 2 300.406 1.965 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)Cc2ccncc2)CC1 ZINC000329189084 410155080 /nfs/dbraw/zinc/15/50/80/410155080.db2.gz RHZAWBMEMZQCSQ-ZDUSSCGKSA-N 1 2 316.405 1.276 20 30 DDEDLO CC1(C)CN(C(=O)C2CC2)CC[N@@H+]1CC(=O)NC[C@@H]1CCCO1 ZINC000329226210 410179155 /nfs/dbraw/zinc/17/91/55/410179155.db2.gz HFQBYOWKMLGYHK-AWEZNQCLSA-N 1 2 323.437 1.455 20 30 DDEDLO CC1(C)CN(C(=O)C2CC2)CC[N@H+]1CC(=O)NC[C@@H]1CCCO1 ZINC000329226210 410179161 /nfs/dbraw/zinc/17/91/61/410179161.db2.gz HFQBYOWKMLGYHK-AWEZNQCLSA-N 1 2 323.437 1.455 20 30 DDEDLO COCC1(C(=O)NC[C@]2([NH+]3CCOCC3)CCSC2)CC1 ZINC000329253212 410191832 /nfs/dbraw/zinc/19/18/32/410191832.db2.gz VWTUHSAMESEUNY-OAHLLOKOSA-N 1 2 314.451 1.577 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)c3ccc(C4(C#N)CC4)cc3)C[C@H]21 ZINC000329508946 410336222 /nfs/dbraw/zinc/33/62/22/410336222.db2.gz XDCDEULHLKBGBA-HZPDHXFCSA-N 1 2 311.385 1.397 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)c3ccc(C4(C#N)CC4)cc3)C[C@H]21 ZINC000329508946 410336225 /nfs/dbraw/zinc/33/62/25/410336225.db2.gz XDCDEULHLKBGBA-HZPDHXFCSA-N 1 2 311.385 1.397 20 30 DDEDLO CC[C@H](CC#N)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000358062596 410352271 /nfs/dbraw/zinc/35/22/71/410352271.db2.gz IHRBRZZZIQJDMI-OAHLLOKOSA-N 1 2 301.390 1.941 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)[N@@H+]1CCN2CCOC[C@H]2C1 ZINC000329426977 410293583 /nfs/dbraw/zinc/29/35/83/410293583.db2.gz JJGUTKLIEPJGEX-UONOGXRCSA-N 1 2 319.405 1.688 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)[N@H+]1CCN2CCOC[C@H]2C1 ZINC000329426977 410293587 /nfs/dbraw/zinc/29/35/87/410293587.db2.gz JJGUTKLIEPJGEX-UONOGXRCSA-N 1 2 319.405 1.688 20 30 DDEDLO CCOC(=O)[C@@](C)(O)C[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000355078066 410297613 /nfs/dbraw/zinc/29/76/13/410297613.db2.gz AETKFEJSQZBGOK-WBVHZDCISA-N 1 2 318.373 1.246 20 30 DDEDLO CCOC(=O)[C@@](C)(O)C[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000355078066 410297618 /nfs/dbraw/zinc/29/76/18/410297618.db2.gz AETKFEJSQZBGOK-WBVHZDCISA-N 1 2 318.373 1.246 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C)[N@H+]1[C@H](C)CC[C@@H]1C ZINC000329441981 410298490 /nfs/dbraw/zinc/29/84/90/410298490.db2.gz ANIQNNGXSURPOL-IEECTRCBSA-N 1 2 302.440 1.637 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C)[N@@H+]1[C@H](C)CC[C@@H]1C ZINC000329441981 410298496 /nfs/dbraw/zinc/29/84/96/410298496.db2.gz ANIQNNGXSURPOL-IEECTRCBSA-N 1 2 302.440 1.637 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000355180390 410363310 /nfs/dbraw/zinc/36/33/10/410363310.db2.gz APVGZEYOJPVCKA-KDOFPFPSSA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000355180390 410363319 /nfs/dbraw/zinc/36/33/19/410363319.db2.gz APVGZEYOJPVCKA-KDOFPFPSSA-N 1 2 321.384 1.574 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ncc(Cl)s1 ZINC000351996198 410398644 /nfs/dbraw/zinc/39/86/44/410398644.db2.gz NFAWNRIXSNLKPB-UHFFFAOYSA-N 1 2 314.842 1.844 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000299072537 410508190 /nfs/dbraw/zinc/50/81/90/410508190.db2.gz PZJYGGQPIPLYIL-AWEZNQCLSA-N 1 2 315.421 1.236 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)cc1O ZINC000355449063 410521824 /nfs/dbraw/zinc/52/18/24/410521824.db2.gz WCUHIVPTHVYKHU-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO N#Cc1cnccc1NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000355532454 410565005 /nfs/dbraw/zinc/56/50/05/410565005.db2.gz PSQKXLLRVMBOIA-KRWDZBQOSA-N 1 2 322.368 1.215 20 30 DDEDLO N#Cc1cnccc1NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000355532454 410565012 /nfs/dbraw/zinc/56/50/12/410565012.db2.gz PSQKXLLRVMBOIA-KRWDZBQOSA-N 1 2 322.368 1.215 20 30 DDEDLO N#CC1(NC(=O)c2ccc(-n3cc[nH+]c3)c([N+](=O)[O-])c2)CCC1 ZINC000299545002 410595951 /nfs/dbraw/zinc/59/59/51/410595951.db2.gz XJHHUCQAMFCGDI-UHFFFAOYSA-N 1 2 311.301 1.957 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@H]1CCCOC1 ZINC000352213490 410552609 /nfs/dbraw/zinc/55/26/09/410552609.db2.gz VGBGJOSFVGKQEY-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@H]1CCCOC1 ZINC000352213490 410552619 /nfs/dbraw/zinc/55/26/19/410552619.db2.gz VGBGJOSFVGKQEY-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO C=C(C)CN(C)C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000355659054 410626227 /nfs/dbraw/zinc/62/62/27/410626227.db2.gz UWKZVHVBKSOCQQ-AWEZNQCLSA-N 1 2 306.410 1.518 20 30 DDEDLO C=C(C)CN(C)C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000355659054 410626232 /nfs/dbraw/zinc/62/62/32/410626232.db2.gz UWKZVHVBKSOCQQ-AWEZNQCLSA-N 1 2 306.410 1.518 20 30 DDEDLO CCn1nccc1C[N@H+](C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000352348532 410637404 /nfs/dbraw/zinc/63/74/04/410637404.db2.gz ATXYBEKCXYPREM-CJNGLKHVSA-N 1 2 305.426 1.778 20 30 DDEDLO CCn1nccc1C[N@@H+](C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000352348532 410637410 /nfs/dbraw/zinc/63/74/10/410637410.db2.gz ATXYBEKCXYPREM-CJNGLKHVSA-N 1 2 305.426 1.778 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1cccc(F)c1F ZINC000336865562 410645977 /nfs/dbraw/zinc/64/59/77/410645977.db2.gz XFDVPYFMSVRGBJ-UHFFFAOYSA-N 1 2 323.343 1.653 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000352456595 410659573 /nfs/dbraw/zinc/65/95/73/410659573.db2.gz SUXAXWPLOANTAH-INIZCTEOSA-N 1 2 306.410 1.283 20 30 DDEDLO C[C@@H](NC(=O)N1CCC(C)(C#N)CC1)[C@@H](C)[NH+]1CCOCC1 ZINC000355923585 410733164 /nfs/dbraw/zinc/73/31/64/410733164.db2.gz PYIWFHGGPPTMGY-ZIAGYGMSSA-N 1 2 308.426 1.431 20 30 DDEDLO COCCNC(=O)[C@H](CC(C)C)[NH2+]Cc1nc(C#N)cs1 ZINC000352809241 410681219 /nfs/dbraw/zinc/68/12/19/410681219.db2.gz GMRZKJMFAHUJQO-LBPRGKRZSA-N 1 2 310.423 1.282 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCC[C@H](S(C)(=O)=O)CC1 ZINC000337421442 410774266 /nfs/dbraw/zinc/77/42/66/410774266.db2.gz UXACSADMAGBVBN-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCC[C@H](S(C)(=O)=O)CC1 ZINC000337421442 410774271 /nfs/dbraw/zinc/77/42/71/410774271.db2.gz UXACSADMAGBVBN-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COC(=O)[C@H]([NH2+]CCC(=O)Nc1sccc1C#N)C1CC1 ZINC000348189893 410845853 /nfs/dbraw/zinc/84/58/53/410845853.db2.gz QDKZFYUJTZLQAI-GFCCVEGCSA-N 1 2 307.375 1.490 20 30 DDEDLO N#Cc1ccc(C(=O)CNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)cc1 ZINC000356186465 410885691 /nfs/dbraw/zinc/88/56/91/410885691.db2.gz QRJVBSXOQUWZSN-CYBMUJFWSA-N 1 2 308.341 1.700 20 30 DDEDLO N#Cc1ccc(C(=O)CNC(=O)[C@@H]2CCCc3[nH]c[nH+]c32)cc1 ZINC000356186465 410885702 /nfs/dbraw/zinc/88/57/02/410885702.db2.gz QRJVBSXOQUWZSN-CYBMUJFWSA-N 1 2 308.341 1.700 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@H+](C)C[C@@H](C)C#N)C1 ZINC000353453851 410996335 /nfs/dbraw/zinc/99/63/35/410996335.db2.gz GTHXCRHEXKDEOF-BFHYXJOUSA-N 1 2 309.410 1.268 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@@H+](C)C[C@@H](C)C#N)C1 ZINC000353453851 410996342 /nfs/dbraw/zinc/99/63/42/410996342.db2.gz GTHXCRHEXKDEOF-BFHYXJOUSA-N 1 2 309.410 1.268 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356482458 411053416 /nfs/dbraw/zinc/05/34/16/411053416.db2.gz VBZXFBXHAIPBTP-INIZCTEOSA-N 1 2 318.425 1.029 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356482458 411053421 /nfs/dbraw/zinc/05/34/21/411053421.db2.gz VBZXFBXHAIPBTP-INIZCTEOSA-N 1 2 318.425 1.029 20 30 DDEDLO COc1cc[nH+]cc1CN(C)S(=O)(=O)c1cccc(C#N)c1 ZINC000341344820 411015251 /nfs/dbraw/zinc/01/52/51/411015251.db2.gz BIMOCBLXYDCHMU-UHFFFAOYSA-N 1 2 317.370 1.783 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H]3OCC[C@@H]3C2)c(C#N)c1C ZINC000580322520 422919568 /nfs/dbraw/zinc/91/95/68/422919568.db2.gz SMTNKJSJFIYGBA-OCCSQVGLSA-N 1 2 303.362 1.817 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H]3OCC[C@@H]3C2)c(C#N)c1C ZINC000580322520 422919572 /nfs/dbraw/zinc/91/95/72/422919572.db2.gz SMTNKJSJFIYGBA-OCCSQVGLSA-N 1 2 303.362 1.817 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000580507615 422937039 /nfs/dbraw/zinc/93/70/39/422937039.db2.gz PFMKBIWKGQABDC-HZPDHXFCSA-N 1 2 318.421 1.046 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)s1 ZINC000131046062 196135008 /nfs/dbraw/zinc/13/50/08/196135008.db2.gz IBVKAXPVRAHJEE-OAHLLOKOSA-N 1 2 319.430 1.833 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)s1 ZINC000131046062 196135011 /nfs/dbraw/zinc/13/50/11/196135011.db2.gz IBVKAXPVRAHJEE-OAHLLOKOSA-N 1 2 319.430 1.833 20 30 DDEDLO Cc1cc(NC(=O)N[C@@H](C)C[NH+]2CCN(C)CC2)ncc1C#N ZINC000603119008 416632171 /nfs/dbraw/zinc/63/21/71/416632171.db2.gz UOJRCTGYWSHQMC-ZDUSSCGKSA-N 1 2 316.409 1.019 20 30 DDEDLO COC(=O)N1CCC(N=Nc2ccc(-n3cc[nH+]c3)nn2)CC1 ZINC000496935819 416658821 /nfs/dbraw/zinc/65/88/21/416658821.db2.gz BSSPUOVSIGZZDH-UHFFFAOYSA-N 1 2 315.337 1.292 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@@H+](Cc1cc(F)ccc1C#N)CC2 ZINC000366073196 418425790 /nfs/dbraw/zinc/42/57/90/418425790.db2.gz NOUSUKQKUKAIPF-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@H+](Cc1cc(F)ccc1C#N)CC2 ZINC000366073196 418425792 /nfs/dbraw/zinc/42/57/92/418425792.db2.gz NOUSUKQKUKAIPF-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO N#Cc1cc(Br)ccc1C[N@H+]1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000366449269 418474755 /nfs/dbraw/zinc/47/47/55/418474755.db2.gz VUUSNVZMFBOZGY-WDNDVIMCSA-N 1 2 307.191 1.991 20 30 DDEDLO N#Cc1cc(Br)ccc1C[N@@H+]1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000366449269 418474760 /nfs/dbraw/zinc/47/47/60/418474760.db2.gz VUUSNVZMFBOZGY-WDNDVIMCSA-N 1 2 307.191 1.991 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3ccc(C#N)c(O)c3)CC2)cc[nH+]1 ZINC000188798553 222027525 /nfs/dbraw/zinc/02/75/25/222027525.db2.gz CPDRGHHBEXDKDD-UHFFFAOYSA-N 1 2 323.356 1.325 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@@H]2c2nc(N(C)C)no2)C1=O ZINC000374540384 418539275 /nfs/dbraw/zinc/53/92/75/418539275.db2.gz XDOVLZIHMNBZPL-NEPJUHHUSA-N 1 2 305.382 1.059 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@@H]2c2nc(N(C)C)no2)C1=O ZINC000374540384 418539280 /nfs/dbraw/zinc/53/92/80/418539280.db2.gz XDOVLZIHMNBZPL-NEPJUHHUSA-N 1 2 305.382 1.059 20 30 DDEDLO NC(=O)c1cccnc1N1CC[NH+](CC#Cc2ccccc2)CC1 ZINC000192093100 222118984 /nfs/dbraw/zinc/11/89/84/222118984.db2.gz SRBHAOUKUUEGOV-UHFFFAOYSA-N 1 2 320.396 1.354 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@H+](CC)C[C@@H](C)C#N)CC1 ZINC000249894732 222242809 /nfs/dbraw/zinc/24/28/09/222242809.db2.gz RLWYZNJJUXRIAX-UONOGXRCSA-N 1 2 323.437 1.658 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@@H+](CC)C[C@@H](C)C#N)CC1 ZINC000249894732 222242810 /nfs/dbraw/zinc/24/28/10/222242810.db2.gz RLWYZNJJUXRIAX-UONOGXRCSA-N 1 2 323.437 1.658 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(OC)c(C#N)c2)C1 ZINC000361191335 418602620 /nfs/dbraw/zinc/60/26/20/418602620.db2.gz ZZARAJHMIAEKBD-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(OC)c(C#N)c2)C1 ZINC000361191335 418602623 /nfs/dbraw/zinc/60/26/23/418602623.db2.gz ZZARAJHMIAEKBD-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO N#CC1CCC(CNC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)CC1 ZINC000378071988 418718602 /nfs/dbraw/zinc/71/86/02/418718602.db2.gz MHTMKVFGHGYWMM-BPCQOVAHSA-N 1 2 301.394 1.896 20 30 DDEDLO N#CC1CCC(CNC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)CC1 ZINC000378071988 418718604 /nfs/dbraw/zinc/71/86/04/418718604.db2.gz MHTMKVFGHGYWMM-BPCQOVAHSA-N 1 2 301.394 1.896 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@H]2c2nncn2CC(C)C)C1=O ZINC000375606837 418661950 /nfs/dbraw/zinc/66/19/50/418661950.db2.gz JXDMVJBITFEIFT-LSDHHAIUSA-N 1 2 317.437 1.858 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@H]2c2nncn2CC(C)C)C1=O ZINC000375606837 418661952 /nfs/dbraw/zinc/66/19/52/418661952.db2.gz JXDMVJBITFEIFT-LSDHHAIUSA-N 1 2 317.437 1.858 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1C[C@H](C)[N@H+](C)C[C@@H]1C ZINC000361961657 418730987 /nfs/dbraw/zinc/73/09/87/418730987.db2.gz LKFSFLCQZMGNEC-RYUDHWBXSA-N 1 2 323.418 1.280 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1C[C@H](C)[N@@H+](C)C[C@@H]1C ZINC000361961657 418730990 /nfs/dbraw/zinc/73/09/90/418730990.db2.gz LKFSFLCQZMGNEC-RYUDHWBXSA-N 1 2 323.418 1.280 20 30 DDEDLO C#CCOCCC(=O)N(C)C1CCN(c2cccc[nH+]2)CC1 ZINC000371053987 418759644 /nfs/dbraw/zinc/75/96/44/418759644.db2.gz JYWZMCRYBJZPAY-UHFFFAOYSA-N 1 2 301.390 1.549 20 30 DDEDLO Cc1ccnc(N2CC[NH+](C3(C(N)=O)CCCC3)CC2)c1C#N ZINC000363652554 418768441 /nfs/dbraw/zinc/76/84/41/418768441.db2.gz QLPYPIISQKJJSE-UHFFFAOYSA-N 1 2 313.405 1.182 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)Nc2ccc(C)[nH+]c2C)C1=O ZINC000281450409 222553601 /nfs/dbraw/zinc/55/36/01/222553601.db2.gz SLUXJMGVMBERCU-CQSZACIVSA-N 1 2 302.378 1.949 20 30 DDEDLO CN1CCN(C(=O)NCc2ccccc2-n2cc[nH+]c2)C[C@H]1C#N ZINC000364069791 418773192 /nfs/dbraw/zinc/77/31/92/418773192.db2.gz HYJIROVBGIRFQQ-OAHLLOKOSA-N 1 2 324.388 1.221 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1F ZINC000368922632 418727020 /nfs/dbraw/zinc/72/70/20/418727020.db2.gz ZOSLRWXIWSTSKN-SMDDNHRTSA-N 1 2 300.337 1.970 20 30 DDEDLO N#Cc1ccnc(N[C@@H]2CCCN(c3cccc[nH+]3)C2)c1[N+](=O)[O-] ZINC000371382911 418787132 /nfs/dbraw/zinc/78/71/32/418787132.db2.gz AJQLNAZKVGYAFA-CYBMUJFWSA-N 1 2 324.344 2.337 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)c3ccc(C(C)(C)C#N)cc3)C[C@H]21 ZINC000408314675 418797795 /nfs/dbraw/zinc/79/77/95/418797795.db2.gz CCMDSWOBEXBEEF-HZPDHXFCSA-N 1 2 313.401 1.643 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)c3ccc(C(C)(C)C#N)cc3)C[C@H]21 ZINC000408314675 418797797 /nfs/dbraw/zinc/79/77/97/418797797.db2.gz CCMDSWOBEXBEEF-HZPDHXFCSA-N 1 2 313.401 1.643 20 30 DDEDLO CC(C)NS(=O)(=O)[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC000365262402 418846753 /nfs/dbraw/zinc/84/67/53/418846753.db2.gz AKXQXJGFAFNLTI-INIZCTEOSA-N 1 2 306.431 1.440 20 30 DDEDLO CC(C)NS(=O)(=O)[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC000365262402 418846756 /nfs/dbraw/zinc/84/67/56/418846756.db2.gz AKXQXJGFAFNLTI-INIZCTEOSA-N 1 2 306.431 1.440 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC000365272742 418848754 /nfs/dbraw/zinc/84/87/54/418848754.db2.gz FFDZHTUPOJOAIH-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC000365272742 418848756 /nfs/dbraw/zinc/84/87/56/418848756.db2.gz FFDZHTUPOJOAIH-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1C[C@H](CO)OC(C)(C)C1 ZINC000420948042 419376507 /nfs/dbraw/zinc/37/65/07/419376507.db2.gz VDGRNDQEQJZXST-ZIAGYGMSSA-N 1 2 323.437 1.189 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1C[C@H](CO)OC(C)(C)C1 ZINC000420948042 419376510 /nfs/dbraw/zinc/37/65/10/419376510.db2.gz VDGRNDQEQJZXST-ZIAGYGMSSA-N 1 2 323.437 1.189 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2C[C@@H](O)C[C@H]2COC)c1C ZINC000411922579 419486958 /nfs/dbraw/zinc/48/69/58/419486958.db2.gz LSQBKUFOXAVJFX-GJZGRUSLSA-N 1 2 306.406 1.555 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2C[C@@H](O)C[C@H]2COC)c1C ZINC000411922579 419486971 /nfs/dbraw/zinc/48/69/71/419486971.db2.gz LSQBKUFOXAVJFX-GJZGRUSLSA-N 1 2 306.406 1.555 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+]1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000428429743 419866369 /nfs/dbraw/zinc/86/63/69/419866369.db2.gz JGXUTKZSZVWPLE-CYBMUJFWSA-N 1 2 302.353 1.733 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+]1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000428429743 419866373 /nfs/dbraw/zinc/86/63/73/419866373.db2.gz JGXUTKZSZVWPLE-CYBMUJFWSA-N 1 2 302.353 1.733 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2oc(CCC)nc2C)CC1 ZINC000428470573 419872299 /nfs/dbraw/zinc/87/22/99/419872299.db2.gz QKQRZMCXCHJJNR-UHFFFAOYSA-N 1 2 320.437 1.355 20 30 DDEDLO CC(C)[C@@H](C(=O)NCC1(C#N)CCC1)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000416352540 420342399 /nfs/dbraw/zinc/34/23/99/420342399.db2.gz CXHOCTYVHZAXDD-KFWWJZLASA-N 1 2 307.438 1.930 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCC[C@H](C2N=NC(=O)O2)C1 ZINC000450901810 420554748 /nfs/dbraw/zinc/55/47/48/420554748.db2.gz IHWQLOPZCLOUTO-OLZOCXBDSA-N 1 2 320.393 1.544 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCC[C@H](C2N=NC(=O)O2)C1 ZINC000450901810 420554750 /nfs/dbraw/zinc/55/47/50/420554750.db2.gz IHWQLOPZCLOUTO-OLZOCXBDSA-N 1 2 320.393 1.544 20 30 DDEDLO C=CC(C)(C)CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000452784854 420660978 /nfs/dbraw/zinc/66/09/78/420660978.db2.gz QHOADDHWVCGIGQ-AWEZNQCLSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000452784854 420660984 /nfs/dbraw/zinc/66/09/84/420660984.db2.gz QHOADDHWVCGIGQ-AWEZNQCLSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC[C@H](CO)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000443048652 420738689 /nfs/dbraw/zinc/73/86/89/420738689.db2.gz XANLDAFCMMFWLC-CQSZACIVSA-N 1 2 321.402 1.147 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CCC[C@](O)(CO)C2)c1C ZINC000459642457 420837273 /nfs/dbraw/zinc/83/72/73/420837273.db2.gz BTGCQELPBDNEQO-QGZVFWFLSA-N 1 2 306.406 1.293 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CCC[C@](O)(CO)C2)c1C ZINC000459642457 420837275 /nfs/dbraw/zinc/83/72/75/420837275.db2.gz BTGCQELPBDNEQO-QGZVFWFLSA-N 1 2 306.406 1.293 20 30 DDEDLO CCn1cc[nH+]c1C=CC(=O)NCC(=O)c1ccc(C#N)cc1 ZINC000493445268 420853072 /nfs/dbraw/zinc/85/30/72/420853072.db2.gz BBRFIUGRTGUZRB-BQYQJAHWSA-N 1 2 308.341 1.787 20 30 DDEDLO COc1cc(/C=C/C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc(OC)c1 ZINC000493722810 420925668 /nfs/dbraw/zinc/92/56/68/420925668.db2.gz LKKBZQQIYQGISU-LXXRFIIISA-N 1 2 317.389 1.677 20 30 DDEDLO COc1ccc(/C=C/C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1OC ZINC000493805679 420946076 /nfs/dbraw/zinc/94/60/76/420946076.db2.gz BHXBIIZRTFMTLW-UGAXZCSASA-N 1 2 317.389 1.677 20 30 DDEDLO C=CCC[C@H]([NH2+][C@@H]1CCC[C@H](S(C)(=O)=O)C1)C(=O)OCC ZINC000449655238 421046940 /nfs/dbraw/zinc/04/69/40/421046940.db2.gz GWLXGCOGYUDAKS-RDBSUJKOSA-N 1 2 317.451 1.830 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(c2ccc(C#N)c(C)n2)CC1 ZINC000487920437 421056832 /nfs/dbraw/zinc/05/68/32/421056832.db2.gz POJXIJMPECBGDV-CYBMUJFWSA-N 1 2 315.421 1.298 20 30 DDEDLO Cc1cc(C[NH2+]Cc2ncccc2S(C)(=O)=O)ccc1C#N ZINC000487215129 421012158 /nfs/dbraw/zinc/01/21/58/421012158.db2.gz LGRHFTHSXCPAPO-UHFFFAOYSA-N 1 2 315.398 1.955 20 30 DDEDLO CN1C[C@H](C[NH+]2CCN([C@H](C#N)c3ccccc3)CC2)OC1=O ZINC000495651803 421040371 /nfs/dbraw/zinc/04/03/71/421040371.db2.gz NQEBXOLABDHUDF-HZPDHXFCSA-N 1 2 314.389 1.319 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CC[C@@H](OC(=O)N(C)C)C1 ZINC000495862599 421077282 /nfs/dbraw/zinc/07/72/82/421077282.db2.gz AILGYEVSYHAQBC-XJKCOSOUSA-N 1 2 324.425 1.202 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CC[C@@H](OC(=O)N(C)C)C1 ZINC000495862599 421077285 /nfs/dbraw/zinc/07/72/85/421077285.db2.gz AILGYEVSYHAQBC-XJKCOSOUSA-N 1 2 324.425 1.202 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCOC[C@H]2CC(N)=O)cc(OC)c1O ZINC000449776272 421079394 /nfs/dbraw/zinc/07/93/94/421079394.db2.gz SCCHQAWWXFYKOR-CQSZACIVSA-N 1 2 320.389 1.206 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCOC[C@H]2CC(N)=O)cc(OC)c1O ZINC000449776272 421079398 /nfs/dbraw/zinc/07/93/98/421079398.db2.gz SCCHQAWWXFYKOR-CQSZACIVSA-N 1 2 320.389 1.206 20 30 DDEDLO N#Cc1cc(N[C@H](C[NH+]2CCOCC2)c2ccccc2)ncn1 ZINC000525888768 421310079 /nfs/dbraw/zinc/31/00/79/421310079.db2.gz FSNHBBCXKPGFDR-MRXNPFEDSA-N 1 2 309.373 1.834 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)s1 ZINC000547674242 421352911 /nfs/dbraw/zinc/35/29/11/421352911.db2.gz BHJXCXPQUDMVLX-SNVBAGLBSA-N 1 2 303.391 1.682 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2ccc(C(C)(C)C#N)cc2)CCO1 ZINC000527240457 421370295 /nfs/dbraw/zinc/37/02/95/421370295.db2.gz LEKIMJGSKUMMFK-AWEZNQCLSA-N 1 2 315.417 1.938 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2ccc(C(C)(C)C#N)cc2)CCO1 ZINC000527240457 421370298 /nfs/dbraw/zinc/37/02/98/421370298.db2.gz LEKIMJGSKUMMFK-AWEZNQCLSA-N 1 2 315.417 1.938 20 30 DDEDLO N#Cc1cccc(C2(C(=O)N[C@@H]3CCn4cc[nH+]c4C3)CC2)c1 ZINC000548312054 421421349 /nfs/dbraw/zinc/42/13/49/421421349.db2.gz KIERWYPYAZHONY-OAHLLOKOSA-N 1 2 306.369 1.918 20 30 DDEDLO Cc1nc(N)c(CNC(=O)c2ccc(C(C)(C)C#N)cc2)c[nH+]1 ZINC000563192557 421470080 /nfs/dbraw/zinc/47/00/80/421470080.db2.gz DLAPSIJCOYICAN-UHFFFAOYSA-N 1 2 309.373 2.098 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cnc(C#N)c(Cl)c3)C[C@H]21 ZINC000515337396 421492763 /nfs/dbraw/zinc/49/27/63/421492763.db2.gz LIBMIXLYTZHBOG-CHWSQXEVSA-N 1 2 321.768 1.153 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cnc(C#N)c(Cl)c3)C[C@H]21 ZINC000515337396 421492768 /nfs/dbraw/zinc/49/27/68/421492768.db2.gz LIBMIXLYTZHBOG-CHWSQXEVSA-N 1 2 321.768 1.153 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cnc(C#N)c(Cl)c3)C[C@@H]21 ZINC000515337399 421492850 /nfs/dbraw/zinc/49/28/50/421492850.db2.gz LIBMIXLYTZHBOG-STQMWFEESA-N 1 2 321.768 1.153 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cnc(C#N)c(Cl)c3)C[C@@H]21 ZINC000515337399 421492855 /nfs/dbraw/zinc/49/28/55/421492855.db2.gz LIBMIXLYTZHBOG-STQMWFEESA-N 1 2 321.768 1.153 20 30 DDEDLO C[C@@H]1CC[S@@](=O)CC[N@@H+]1C[C@H](O)COc1ccccc1C#N ZINC000528479868 421493482 /nfs/dbraw/zinc/49/34/82/421493482.db2.gz YCOXTCXBHCLFRF-QOAZOABKSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[S@@](=O)CC[N@H+]1C[C@H](O)COc1ccccc1C#N ZINC000528479868 421493487 /nfs/dbraw/zinc/49/34/87/421493487.db2.gz YCOXTCXBHCLFRF-QOAZOABKSA-N 1 2 322.430 1.141 20 30 DDEDLO C=CCN(CC1CCCCC1)C(=O)C(=O)N(C)Cc1c[nH+]c[nH]1 ZINC000515435025 421502402 /nfs/dbraw/zinc/50/24/02/421502402.db2.gz UHZZQOLHVHBOOF-UHFFFAOYSA-N 1 2 318.421 1.963 20 30 DDEDLO C=CCN(CC1CCCCC1)C(=O)C(=O)N(C)Cc1c[nH]c[nH+]1 ZINC000515435025 421502405 /nfs/dbraw/zinc/50/24/05/421502405.db2.gz UHZZQOLHVHBOOF-UHFFFAOYSA-N 1 2 318.421 1.963 20 30 DDEDLO Cc1cc(NCCNC(=O)c2ccc(C#N)[nH]2)nc(C(C)C)[nH+]1 ZINC000529846814 421564214 /nfs/dbraw/zinc/56/42/14/421564214.db2.gz YZAGPNWBGYLPJF-UHFFFAOYSA-N 1 2 312.377 1.950 20 30 DDEDLO COCc1ccc(NC(=O)N2CCn3c[nH+]cc3C2)cc1C#N ZINC000529205285 421529131 /nfs/dbraw/zinc/52/91/31/421529131.db2.gz DQVKTUMNRCJWJX-UHFFFAOYSA-N 1 2 311.345 1.949 20 30 DDEDLO Cc1oc(-c2ccco2)nc1CC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000566308299 421604458 /nfs/dbraw/zinc/60/44/58/421604458.db2.gz GMLPZOFGSWNRQM-MRXNPFEDSA-N 1 2 316.361 1.746 20 30 DDEDLO COCCCOc1cccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000567995734 421616245 /nfs/dbraw/zinc/61/62/45/421616245.db2.gz UJLOWIITKLAQFE-QGZVFWFLSA-N 1 2 319.405 1.676 20 30 DDEDLO Cc1cc2c(cc1C)O[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)C2 ZINC000568344629 421619382 /nfs/dbraw/zinc/61/93/82/421619382.db2.gz WTDCRILMEVLMHR-DOTOQJQBSA-N 1 2 301.390 1.567 20 30 DDEDLO C[C@@H]([NH2+]C[C@@H](O)CN(C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000570391209 421643465 /nfs/dbraw/zinc/64/34/65/421643465.db2.gz OJETVXSTAKJDRN-VXGBXAGGSA-N 1 2 309.414 1.224 20 30 DDEDLO C[C@@H](NC[C@@H](O)C[N@H+](C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000570391209 421643468 /nfs/dbraw/zinc/64/34/68/421643468.db2.gz OJETVXSTAKJDRN-VXGBXAGGSA-N 1 2 309.414 1.224 20 30 DDEDLO C[C@@H](NC[C@@H](O)C[N@@H+](C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000570391209 421643471 /nfs/dbraw/zinc/64/34/71/421643471.db2.gz OJETVXSTAKJDRN-VXGBXAGGSA-N 1 2 309.414 1.224 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000518212003 421644781 /nfs/dbraw/zinc/64/47/81/421644781.db2.gz LLOKRSWOHHLTBD-SNVBAGLBSA-N 1 2 314.345 1.133 20 30 DDEDLO COC(=O)c1ccc(C)c(OC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000556881829 421748699 /nfs/dbraw/zinc/74/86/99/421748699.db2.gz PIZWFJLWLPANIF-AWEZNQCLSA-N 1 2 306.362 1.367 20 30 DDEDLO COC(=O)c1ccc(C)c(OC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000556881829 421748702 /nfs/dbraw/zinc/74/87/02/421748702.db2.gz PIZWFJLWLPANIF-AWEZNQCLSA-N 1 2 306.362 1.367 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000572370587 421781050 /nfs/dbraw/zinc/78/10/50/421781050.db2.gz XDVVGBIYBPJZHC-MRXNPFEDSA-N 1 2 314.393 1.585 20 30 DDEDLO C=CCN(C(=O)C[N@@H+](C)CC(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000635401845 421956258 /nfs/dbraw/zinc/95/62/58/421956258.db2.gz MAUSSIHTNLKHPZ-ZDUSSCGKSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CCN(C(=O)C[N@H+](C)CC(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000635401845 421956262 /nfs/dbraw/zinc/95/62/62/421956262.db2.gz MAUSSIHTNLKHPZ-ZDUSSCGKSA-N 1 2 316.467 1.166 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCn3nncc3C2)c(OC(F)F)c1 ZINC000573503118 421970909 /nfs/dbraw/zinc/97/09/09/421970909.db2.gz JANZZGNNBSHCOE-UHFFFAOYSA-N 1 2 305.288 1.767 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCn3nncc3C2)c(OC(F)F)c1 ZINC000573503118 421970915 /nfs/dbraw/zinc/97/09/15/421970915.db2.gz JANZZGNNBSHCOE-UHFFFAOYSA-N 1 2 305.288 1.767 20 30 DDEDLO C[C@@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)c1ccc([N+](=O)[O-])cc1F ZINC000574162499 422069602 /nfs/dbraw/zinc/06/96/02/422069602.db2.gz LQDWBJRUPVOWMG-MEBBXXQBSA-N 1 2 322.340 1.797 20 30 DDEDLO C=CCCCS(=O)(=O)N[C@@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000574255610 422079903 /nfs/dbraw/zinc/07/99/03/422079903.db2.gz BEZBRSQKTUQDSK-HUUCEWRRSA-N 1 2 316.467 1.515 20 30 DDEDLO C[C@H](C(=O)N1[C@H](C)CCC[C@@H]1C)[NH+]1CCN(C(=O)CC#N)CC1 ZINC000574925209 422218590 /nfs/dbraw/zinc/21/85/90/422218590.db2.gz UFLLZXHEIKNMFD-QLFBSQMISA-N 1 2 320.437 1.222 20 30 DDEDLO CC[C@H](CC#N)[NH2+]Cc1ccc(S(=O)(=O)N(C)C)s1 ZINC000583573425 422189657 /nfs/dbraw/zinc/18/96/57/422189657.db2.gz UGQZSIUSWTVQBH-SNVBAGLBSA-N 1 2 301.437 1.780 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000576231112 422371337 /nfs/dbraw/zinc/37/13/37/422371337.db2.gz ZYQPRBBGPUVLBE-ZDUSSCGKSA-N 1 2 310.741 1.822 20 30 DDEDLO N#Cc1cc2c(nc1N[C@H]1CCN(c3cccc[nH+]3)C1)CCOC2 ZINC000590695547 422322344 /nfs/dbraw/zinc/32/23/44/422322344.db2.gz BCOQFOSOJUTXIJ-HNNXBMFYSA-N 1 2 321.384 2.112 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000597816624 422392295 /nfs/dbraw/zinc/39/22/95/422392295.db2.gz BTKWQEXQUDTRGL-JTQLQIEISA-N 1 2 320.356 2.210 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000597816624 422392297 /nfs/dbraw/zinc/39/22/97/422392297.db2.gz BTKWQEXQUDTRGL-JTQLQIEISA-N 1 2 320.356 2.210 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2cc(C#N)nc(C3CC3)n2)[C@@H](C)CO1 ZINC000601193549 422421726 /nfs/dbraw/zinc/42/17/26/422421726.db2.gz KGDNZSBLJQCXJM-RYUDHWBXSA-N 1 2 301.394 1.747 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2cc(C#N)nc(C3CC3)n2)[C@@H](C)CO1 ZINC000601193549 422421730 /nfs/dbraw/zinc/42/17/30/422421730.db2.gz KGDNZSBLJQCXJM-RYUDHWBXSA-N 1 2 301.394 1.747 20 30 DDEDLO N#Cc1cnnc(NCc2cc[nH+]c(N3CCSCC3)c2)c1 ZINC000601239798 422423389 /nfs/dbraw/zinc/42/33/89/422423389.db2.gz CERGRLLWRSXGGN-UHFFFAOYSA-N 1 2 312.402 1.909 20 30 DDEDLO C=CC[N@@H+](C[C@H](OC)C1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000637961700 422513851 /nfs/dbraw/zinc/51/38/51/422513851.db2.gz BXFMXHLWCBEPCP-CABCVRRESA-N 1 2 317.451 1.103 20 30 DDEDLO C=CC[N@H+](C[C@H](OC)C1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000637961700 422513861 /nfs/dbraw/zinc/51/38/61/422513861.db2.gz BXFMXHLWCBEPCP-CABCVRRESA-N 1 2 317.451 1.103 20 30 DDEDLO N#CCCn1ccc(NC(=O)NCC[NH+]2Cc3ccccc3C2)n1 ZINC000610325602 422466937 /nfs/dbraw/zinc/46/69/37/422466937.db2.gz QCUHBYYESVVWHJ-UHFFFAOYSA-N 1 2 324.388 1.934 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)Nc3nn(C)cc3C#N)[nH+]c12 ZINC000610561277 422468359 /nfs/dbraw/zinc/46/83/59/422468359.db2.gz SEAYEMGEGVQASL-UHFFFAOYSA-N 1 2 323.360 1.612 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)s1 ZINC000622144143 422537324 /nfs/dbraw/zinc/53/73/24/422537324.db2.gz UUWYEQKNLBCRNA-NEPJUHHUSA-N 1 2 324.450 1.580 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)s1 ZINC000622144143 422537328 /nfs/dbraw/zinc/53/73/28/422537328.db2.gz UUWYEQKNLBCRNA-NEPJUHHUSA-N 1 2 324.450 1.580 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000632720199 422540038 /nfs/dbraw/zinc/54/00/38/422540038.db2.gz DHDKBZLTFQFJSA-UHFFFAOYSA-N 1 2 310.419 1.416 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000579204001 422722913 /nfs/dbraw/zinc/72/29/13/422722913.db2.gz HGLADEHXUKSOAI-OKILXGFUSA-N 1 2 317.364 1.219 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000579204001 422722917 /nfs/dbraw/zinc/72/29/17/422722917.db2.gz HGLADEHXUKSOAI-OKILXGFUSA-N 1 2 317.364 1.219 20 30 DDEDLO CC(=O)N(C)C1CC[NH+]([C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000115537456 263337936 /nfs/dbraw/zinc/33/79/36/263337936.db2.gz QNPRVXCQVXAOPT-MRXNPFEDSA-N 1 2 314.389 1.027 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2cc(F)cc(F)c2)nn1 ZINC000640896894 423261887 /nfs/dbraw/zinc/26/18/87/423261887.db2.gz LHQRRUMAVPECJG-UHFFFAOYSA-N 1 2 319.315 1.308 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@H](C)O[C@]3(CCO[C@H]3C)C2)nn1 ZINC000653650165 423565211 /nfs/dbraw/zinc/56/52/11/423565211.db2.gz QKGOIUYYQQOVKG-OFQRWUPVSA-N 1 2 306.410 1.623 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@H](C)O[C@]3(CCO[C@H]3C)C2)nn1 ZINC000653650165 423565216 /nfs/dbraw/zinc/56/52/16/423565216.db2.gz QKGOIUYYQQOVKG-OFQRWUPVSA-N 1 2 306.410 1.623 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(C(=O)N(C)C(C)C)CC2)nn1 ZINC000653497026 423502287 /nfs/dbraw/zinc/50/22/87/423502287.db2.gz NHYRIXLLNCUNRV-UHFFFAOYSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2cccc(C(=O)NCC)c2)nn1 ZINC000657323191 424286264 /nfs/dbraw/zinc/28/62/64/424286264.db2.gz WACIEADKSXODCM-UHFFFAOYSA-N 1 2 313.405 1.894 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(c2ncns2)CC1 ZINC000660713138 424754646 /nfs/dbraw/zinc/75/46/46/424754646.db2.gz MQTYHJFJZUDKAM-ZDUSSCGKSA-N 1 2 323.466 1.473 20 30 DDEDLO CCN1CC[N@H+](CCC(=O)Nc2ccc(C#N)cc2)CC1=O ZINC000348809873 266150013 /nfs/dbraw/zinc/15/00/13/266150013.db2.gz PDOGZCXHUKFUKP-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO CCN1CC[N@@H+](CCC(=O)Nc2ccc(C#N)cc2)CC1=O ZINC000348809873 266150016 /nfs/dbraw/zinc/15/00/16/266150016.db2.gz PDOGZCXHUKFUKP-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)Cc1cc(C#N)ccc1F ZINC000347117234 267044726 /nfs/dbraw/zinc/04/47/26/267044726.db2.gz FAUJWLSQCHWPJS-LLVKDONJSA-N 1 2 311.382 1.163 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)Cc1cc(C#N)ccc1F ZINC000347117234 267044728 /nfs/dbraw/zinc/04/47/28/267044728.db2.gz FAUJWLSQCHWPJS-LLVKDONJSA-N 1 2 311.382 1.163 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(F)cc1C#N ZINC000356077794 267103661 /nfs/dbraw/zinc/10/36/61/267103661.db2.gz LJBMAFUVKCDJQX-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(F)cc1C#N ZINC000356077794 267103664 /nfs/dbraw/zinc/10/36/64/267103664.db2.gz LJBMAFUVKCDJQX-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1ccc(C#N)c(F)c1 ZINC000353557010 267155313 /nfs/dbraw/zinc/15/53/13/267155313.db2.gz HJKHVHVKJJACAY-GFCCVEGCSA-N 1 2 319.384 1.455 20 30 DDEDLO C[C@H]1[C@H](C)[N@H+](C)CCN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000357048408 267252855 /nfs/dbraw/zinc/25/28/55/267252855.db2.gz WGPRBCIQATZTPL-KBPBESRZSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@H]1[C@H](C)[N@@H+](C)CCN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000357048408 267252859 /nfs/dbraw/zinc/25/28/59/267252859.db2.gz WGPRBCIQATZTPL-KBPBESRZSA-N 1 2 321.446 1.356 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2cc(C(N)=O)no2)c(C(F)(F)F)c1 ZINC000356409222 268074460 /nfs/dbraw/zinc/07/44/60/268074460.db2.gz KEFWNNRMGHVBGU-UHFFFAOYSA-N 1 2 324.262 1.954 20 30 DDEDLO N#Cc1ccc(NC[C@]2([NH+]3CCOCC3)CCCOC2)cn1 ZINC000371213257 268157349 /nfs/dbraw/zinc/15/73/49/268157349.db2.gz RYXYIPZXEAJDIG-MRXNPFEDSA-N 1 2 302.378 1.247 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CC([C@@H]3CCOC3)C2)cc1 ZINC000373635621 268187267 /nfs/dbraw/zinc/18/72/67/268187267.db2.gz HMPFYXLTEXMKNZ-CQSZACIVSA-N 1 2 320.414 1.300 20 30 DDEDLO N#Cc1ccc(C2(NC(=O)CCn3cc[nH+]c3)CCOCC2)cc1 ZINC000530060988 268209526 /nfs/dbraw/zinc/20/95/26/268209526.db2.gz LFUFZGFKJICFFX-UHFFFAOYSA-N 1 2 324.384 1.967 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H](NC(=O)NC3CC3)C2)c1F ZINC000355097980 268247037 /nfs/dbraw/zinc/24/70/37/268247037.db2.gz DPMGTVNUIQBOAC-AWEZNQCLSA-N 1 2 302.353 1.733 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H](NC(=O)NC3CC3)C2)c1F ZINC000355097980 268247042 /nfs/dbraw/zinc/24/70/42/268247042.db2.gz DPMGTVNUIQBOAC-AWEZNQCLSA-N 1 2 302.353 1.733 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](Cc3cn4cccnc4n3)CC2)n1 ZINC000355121849 268261725 /nfs/dbraw/zinc/26/17/25/268261725.db2.gz HFYVUDFQDKKZRN-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@H]3CC[C@@H](C2)[S@]3=O)c1 ZINC000528790253 268279679 /nfs/dbraw/zinc/27/96/79/268279679.db2.gz ZAMSQEWXZRDXLY-ZCCHDVMBSA-N 1 2 317.414 1.482 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CC[C@H]3CC[C@@H](C2)[S@]3=O)c1 ZINC000528790253 268279680 /nfs/dbraw/zinc/27/96/80/268279680.db2.gz ZAMSQEWXZRDXLY-ZCCHDVMBSA-N 1 2 317.414 1.482 20 30 DDEDLO C[C@H](NC(=O)N1CCC(C)(C#N)CC1)[C@H](C)[NH+]1CCOCC1 ZINC000355923582 278237425 /nfs/dbraw/zinc/23/74/25/278237425.db2.gz PYIWFHGGPPTMGY-KBPBESRZSA-N 1 2 308.426 1.431 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1ncc([N+](=O)[O-])cc1C#N ZINC000286259429 280000180 /nfs/dbraw/zinc/00/01/80/280000180.db2.gz GNNCIMCVFHGIRA-FZMZJTMJSA-N 1 2 317.349 1.161 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@]2(CCO[C@@H]2C)C1 ZINC000408279736 280102604 /nfs/dbraw/zinc/10/26/04/280102604.db2.gz BWYMHQHBFRCXGW-MUIFIZLQSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@]2(CCO[C@@H]2C)C1 ZINC000408279736 280102607 /nfs/dbraw/zinc/10/26/07/280102607.db2.gz BWYMHQHBFRCXGW-MUIFIZLQSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@H](CNC(=O)[C@]1(C#N)CC12CCCC2)[NH+]1CCOCC1 ZINC000333181314 280252429 /nfs/dbraw/zinc/25/24/29/280252429.db2.gz MTLJPTDSNOKHRV-MAUKXSAKSA-N 1 2 319.449 1.933 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)s1 ZINC000451931103 288284604 /nfs/dbraw/zinc/28/46/04/288284604.db2.gz LDEFRONXGCWXKX-NSHDSACASA-N 1 2 313.448 1.725 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)s1 ZINC000451931103 288284605 /nfs/dbraw/zinc/28/46/05/288284605.db2.gz LDEFRONXGCWXKX-NSHDSACASA-N 1 2 313.448 1.725 20 30 DDEDLO CCCC[N@H+](CCO)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000128148199 281300948 /nfs/dbraw/zinc/30/09/48/281300948.db2.gz ZVMCGPJHHGXXBO-UHFFFAOYSA-N 1 2 310.419 1.426 20 30 DDEDLO CCCC[N@@H+](CCO)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000128148199 281300949 /nfs/dbraw/zinc/30/09/49/281300949.db2.gz ZVMCGPJHHGXXBO-UHFFFAOYSA-N 1 2 310.419 1.426 20 30 DDEDLO C[NH+](C)Cc1nc(C(C)(C)NC(=O)c2ccc(C#N)cc2)no1 ZINC000129038152 281329409 /nfs/dbraw/zinc/32/94/09/281329409.db2.gz IDLJOLCSAKFFKR-UHFFFAOYSA-N 1 2 313.361 1.668 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CCC[C@@H](CN2CC[NH+](C)CC2)C1 ZINC000367206248 288383031 /nfs/dbraw/zinc/38/30/31/288383031.db2.gz OXROJWIFENSAGR-DOTOQJQBSA-N 1 2 306.454 1.412 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)N[C@@H]3CCC[C@@H]4OCC[C@@H]43)C[C@@H]21 ZINC000328886431 290078276 /nfs/dbraw/zinc/07/82/76/290078276.db2.gz OVVOVCSSZJIQDL-RBGFHDKUSA-N 1 2 323.437 1.263 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)N[C@@H]3CCC[C@@H]4OCC[C@@H]43)C[C@@H]21 ZINC000328886431 290078279 /nfs/dbraw/zinc/07/82/79/290078279.db2.gz OVVOVCSSZJIQDL-RBGFHDKUSA-N 1 2 323.437 1.263 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)C2(C(N)=O)CCCC2)c(C#N)c1C ZINC000120178334 294035871 /nfs/dbraw/zinc/03/58/71/294035871.db2.gz GQBYQTAGGDRWRC-UHFFFAOYSA-N 1 2 318.377 1.437 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)C2(C(N)=O)CCCC2)c(C#N)c1C ZINC000120178334 294035874 /nfs/dbraw/zinc/03/58/74/294035874.db2.gz GQBYQTAGGDRWRC-UHFFFAOYSA-N 1 2 318.377 1.437 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000333326016 297412638 /nfs/dbraw/zinc/41/26/38/297412638.db2.gz AHLZHBJDFPFLSV-BARDWOONSA-N 1 2 306.410 1.183 20 30 DDEDLO C[C@H](CNC(=O)C1([NH+]2CCOCC2)CCC1)c1nncn1C ZINC000329322326 298244022 /nfs/dbraw/zinc/24/40/22/298244022.db2.gz JMHPHFIEDNKWPE-GFCCVEGCSA-N 1 2 307.398 1.130 20 30 DDEDLO CN1CCC[C@@H]([NH+]2CCN(c3ccc(C#N)cc3F)CC2)C1=O ZINC000537609847 303376576 /nfs/dbraw/zinc/37/65/76/303376576.db2.gz SBPFYQYVICQJOY-MRXNPFEDSA-N 1 2 316.380 1.440 20 30 DDEDLO N#Cc1cc(F)ccc1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC000543913406 303467354 /nfs/dbraw/zinc/46/73/54/303467354.db2.gz PVVZKFDTHGLDAE-UHFFFAOYSA-N 1 2 306.322 1.139 20 30 DDEDLO C[C@@H]1[C@@H](C)[S@](=O)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000551582695 303633908 /nfs/dbraw/zinc/63/39/08/303633908.db2.gz AZBZZOJNTJWGDX-JWCBKOKGSA-N 1 2 302.403 1.547 20 30 DDEDLO N#Cc1ccnc(C(=O)NCC2CC[NH+](CC(F)F)CC2)c1 ZINC000552375798 307820876 /nfs/dbraw/zinc/82/08/76/307820876.db2.gz FMFMVVDLLZQNRO-UHFFFAOYSA-N 1 2 308.332 1.660 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H]2OCC[C@@H]2C1 ZINC000564918054 308012169 /nfs/dbraw/zinc/01/21/69/308012169.db2.gz HNQGPXCHGTVKSL-PMPSAXMXSA-N 1 2 300.358 1.883 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H]2OCC[C@@H]2C1 ZINC000564918054 308012171 /nfs/dbraw/zinc/01/21/71/308012171.db2.gz HNQGPXCHGTVKSL-PMPSAXMXSA-N 1 2 300.358 1.883 20 30 DDEDLO CCn1nnc(C)c1C[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000584094031 331711100 /nfs/dbraw/zinc/71/11/00/331711100.db2.gz VNTHIMPQRSOQOA-UHFFFAOYSA-N 1 2 311.393 1.195 20 30 DDEDLO COc1ccc(CCCC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000565052414 332501772 /nfs/dbraw/zinc/50/17/72/332501772.db2.gz YESOQVFQYUQOPO-QGZVFWFLSA-N 1 2 303.406 1.978 20 30 DDEDLO C=CCCc1ccccc1NC(=O)C(=O)N1CC[NH+](C)CC1 ZINC000337573311 333452532 /nfs/dbraw/zinc/45/25/32/333452532.db2.gz FPBJLAOEUZKYIX-UHFFFAOYSA-N 1 2 301.390 1.518 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NCc1ccc[nH+]c1N(C)C ZINC000340238237 334154153 /nfs/dbraw/zinc/15/41/53/334154153.db2.gz ZKTGUHNEEPXLIU-UHFFFAOYSA-N 1 2 304.394 1.236 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1C[C@@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000351543640 336041956 /nfs/dbraw/zinc/04/19/56/336041956.db2.gz ITAHWBQRRIKKSX-HZPDHXFCSA-N 1 2 320.412 1.642 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1C[C@@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000351543640 336041957 /nfs/dbraw/zinc/04/19/57/336041957.db2.gz ITAHWBQRRIKKSX-HZPDHXFCSA-N 1 2 320.412 1.642 20 30 DDEDLO CCOC(=O)N1CCC(NC(=O)C[NH2+][C@H](CC)CC#N)CC1 ZINC000496421344 340005111 /nfs/dbraw/zinc/00/51/11/340005111.db2.gz RWXDZFXGTUUOCP-GFCCVEGCSA-N 1 2 310.398 1.005 20 30 DDEDLO Cn1c2c([nH+]c1[C@@H]1CCCN1CC(=O)NCC#N)CCCC2 ZINC000548021785 341243449 /nfs/dbraw/zinc/24/34/49/341243449.db2.gz AMBLCQYXGVEQLK-AWEZNQCLSA-N 1 2 301.394 1.076 20 30 DDEDLO CC[N@@H+](C[C@@H](C)C#N)[C@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000245771407 341314261 /nfs/dbraw/zinc/31/42/61/341314261.db2.gz KNHWXJDFMLRTTL-DTWKUNHWSA-N 1 2 308.304 1.245 20 30 DDEDLO CC[N@H+](C[C@@H](C)C#N)[C@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000245771407 341314260 /nfs/dbraw/zinc/31/42/60/341314260.db2.gz KNHWXJDFMLRTTL-DTWKUNHWSA-N 1 2 308.304 1.245 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NS(=O)(=O)CC1(C#N)CCCC1)C1CC1 ZINC000552105602 341370223 /nfs/dbraw/zinc/37/02/23/341370223.db2.gz RGOYOJSFRGUHSA-CYBMUJFWSA-N 1 2 322.434 1.875 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)C(=O)c2ccc(Cl)s2)CC1 ZINC000575813573 341806379 /nfs/dbraw/zinc/80/63/79/341806379.db2.gz HIDMAEZUCITDGW-UHFFFAOYSA-N 1 2 311.794 1.688 20 30 DDEDLO C=CCCn1cc(CNc2ccc(N(C)CCO)c[nH+]2)nn1 ZINC000656770129 484321066 /nfs/dbraw/zinc/32/10/66/484321066.db2.gz OWYXTWRMCLXCFM-UHFFFAOYSA-N 1 2 302.382 1.290 20 30 DDEDLO C=CC[C@H]1CCCC[C@@H]1NC(=O)C(=O)NCc1[nH+]ccn1C ZINC000668811319 485330028 /nfs/dbraw/zinc/33/00/28/485330028.db2.gz RCKIQAMLZODFGF-STQMWFEESA-N 1 2 304.394 1.287 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H](CS(C)(=O)=O)C2)ccc1C#N ZINC000292857543 533823252 /nfs/dbraw/zinc/82/32/52/533823252.db2.gz UXEHDJHUQHIBBJ-ZDUSSCGKSA-N 1 2 308.403 1.433 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H](CS(C)(=O)=O)C2)ccc1C#N ZINC000292857543 533823258 /nfs/dbraw/zinc/82/32/58/533823258.db2.gz UXEHDJHUQHIBBJ-ZDUSSCGKSA-N 1 2 308.403 1.433 20 30 DDEDLO COC(=O)c1ccc(C[N@H+](C)CC(=O)NC2(C#N)CCC2)cc1 ZINC000346997246 534094969 /nfs/dbraw/zinc/09/49/69/534094969.db2.gz YBOMRJVATYGJDC-UHFFFAOYSA-N 1 2 315.373 1.467 20 30 DDEDLO COC(=O)c1ccc(C[N@@H+](C)CC(=O)NC2(C#N)CCC2)cc1 ZINC000346997246 534094978 /nfs/dbraw/zinc/09/49/78/534094978.db2.gz YBOMRJVATYGJDC-UHFFFAOYSA-N 1 2 315.373 1.467 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)[C@H](C)[NH+]1CCOCC1 ZINC000339699217 526311132 /nfs/dbraw/zinc/31/11/32/526311132.db2.gz BAUINUXDNMHYDC-INIZCTEOSA-N 1 2 302.418 1.964 20 30 DDEDLO C=CCN(Cc1ccc(OC)cc1)C(=O)[C@H](C)[NH+]1CCOCC1 ZINC000339691634 526339664 /nfs/dbraw/zinc/33/96/64/526339664.db2.gz KYHJDTCPHGKTNV-HNNXBMFYSA-N 1 2 318.417 1.931 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000339764555 526359289 /nfs/dbraw/zinc/35/92/89/526359289.db2.gz SUYAVLLFPKNZOR-INIZCTEOSA-N 1 2 304.365 1.681 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000339764555 526359297 /nfs/dbraw/zinc/35/92/97/526359297.db2.gz SUYAVLLFPKNZOR-INIZCTEOSA-N 1 2 304.365 1.681 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000330938012 526402798 /nfs/dbraw/zinc/40/27/98/526402798.db2.gz BEXXMXXNZBPIGR-NSHDSACASA-N 1 2 312.757 1.956 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000330938012 526402802 /nfs/dbraw/zinc/40/28/02/526402802.db2.gz BEXXMXXNZBPIGR-NSHDSACASA-N 1 2 312.757 1.956 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccc(CC)cc2)C1=O ZINC000337204002 526469835 /nfs/dbraw/zinc/46/98/35/526469835.db2.gz HGOPQUUUGBKMEQ-MRXNPFEDSA-N 1 2 315.417 1.906 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccc(CC)cc2)C1=O ZINC000337204002 526469838 /nfs/dbraw/zinc/46/98/38/526469838.db2.gz HGOPQUUUGBKMEQ-MRXNPFEDSA-N 1 2 315.417 1.906 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC[S@@](=O)c2ccccc2)C1=O ZINC000337217530 526501062 /nfs/dbraw/zinc/50/10/62/526501062.db2.gz ILJDVFRBIROQBJ-YCRPNKLZSA-N 1 2 306.431 1.513 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC[S@@](=O)c2ccccc2)C1=O ZINC000337217530 526501066 /nfs/dbraw/zinc/50/10/66/526501066.db2.gz ILJDVFRBIROQBJ-YCRPNKLZSA-N 1 2 306.431 1.513 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC000330731901 526522802 /nfs/dbraw/zinc/52/28/02/526522802.db2.gz KUEGXAUMQIWJDX-AWEZNQCLSA-N 1 2 305.426 1.717 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC000330731901 526522807 /nfs/dbraw/zinc/52/28/07/526522807.db2.gz KUEGXAUMQIWJDX-AWEZNQCLSA-N 1 2 305.426 1.717 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000330731901 526522809 /nfs/dbraw/zinc/52/28/09/526522809.db2.gz KUEGXAUMQIWJDX-AWEZNQCLSA-N 1 2 305.426 1.717 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000330731901 526522810 /nfs/dbraw/zinc/52/28/10/526522810.db2.gz KUEGXAUMQIWJDX-AWEZNQCLSA-N 1 2 305.426 1.717 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000330731901 526522811 /nfs/dbraw/zinc/52/28/11/526522811.db2.gz KUEGXAUMQIWJDX-AWEZNQCLSA-N 1 2 305.426 1.717 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000330731901 526522812 /nfs/dbraw/zinc/52/28/12/526522812.db2.gz KUEGXAUMQIWJDX-AWEZNQCLSA-N 1 2 305.426 1.717 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](C[C@H](O)C(F)(F)F)CC2)c(F)c1 ZINC000158202962 526645503 /nfs/dbraw/zinc/64/55/03/526645503.db2.gz ATKZNEUTAYABGB-ZDUSSCGKSA-N 1 2 317.286 1.743 20 30 DDEDLO CC(=O)NC[C@H]1CCC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459322621 526791055 /nfs/dbraw/zinc/79/10/55/526791055.db2.gz HOWOMENIBIXLIB-CYBMUJFWSA-N 1 2 316.361 1.815 20 30 DDEDLO CC(=O)NC[C@H]1CCC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459322621 526791060 /nfs/dbraw/zinc/79/10/60/526791060.db2.gz HOWOMENIBIXLIB-CYBMUJFWSA-N 1 2 316.361 1.815 20 30 DDEDLO C=CCOc1ccc(C(=O)NCCc2cn(C)c[nH+]2)cc1OC ZINC000457880834 526846243 /nfs/dbraw/zinc/84/62/43/526846243.db2.gz KLQRGPZSWFUHMS-UHFFFAOYSA-N 1 2 315.373 1.966 20 30 DDEDLO C#CCN(CC#CC)C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000490678473 526854447 /nfs/dbraw/zinc/85/44/47/526854447.db2.gz OCVKHOMDDPEWDV-UHFFFAOYSA-N 1 2 310.401 1.719 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCc1ccccc1Cn1cccn1)C1CC1 ZINC000491750060 526882982 /nfs/dbraw/zinc/88/29/82/526882982.db2.gz UDFWEZIRICSITE-UHFFFAOYSA-N 1 2 322.412 1.645 20 30 DDEDLO C#CC[N@H+](CC(=O)NCc1ccccc1Cn1cccn1)C1CC1 ZINC000491750060 526882987 /nfs/dbraw/zinc/88/29/87/526882987.db2.gz UDFWEZIRICSITE-UHFFFAOYSA-N 1 2 322.412 1.645 20 30 DDEDLO C=CCOc1cccc(C[N@@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)c1 ZINC000346230043 526900082 /nfs/dbraw/zinc/90/00/82/526900082.db2.gz CIZAEYROZNNMIH-CQSZACIVSA-N 1 2 324.446 1.717 20 30 DDEDLO C=CCOc1cccc(C[N@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)c1 ZINC000346230043 526900088 /nfs/dbraw/zinc/90/00/88/526900088.db2.gz CIZAEYROZNNMIH-CQSZACIVSA-N 1 2 324.446 1.717 20 30 DDEDLO C#CC[N@@H+](C[C@H](O)[C@H](C)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000491781971 526902536 /nfs/dbraw/zinc/90/25/36/526902536.db2.gz MONKMXMUDHEDDS-OIISXLGYSA-N 1 2 321.442 1.273 20 30 DDEDLO C#CC[N@H+](C[C@H](O)[C@H](C)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000491781971 526902540 /nfs/dbraw/zinc/90/25/40/526902540.db2.gz MONKMXMUDHEDDS-OIISXLGYSA-N 1 2 321.442 1.273 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+](Cc2ccc(C(N)=O)cc2)CC1 ZINC000448707591 526941781 /nfs/dbraw/zinc/94/17/81/526941781.db2.gz GEXYIRVGZNOQAK-UHFFFAOYSA-N 1 2 315.417 1.642 20 30 DDEDLO CC(C)(CNC(=O)N[C@@H]1CCCSC1)[NH+]1CCOCC1 ZINC000330101727 526949486 /nfs/dbraw/zinc/94/94/86/526949486.db2.gz FQSRYIBKPWLPSY-GFCCVEGCSA-N 1 2 301.456 1.496 20 30 DDEDLO C#CCN1CCN(C(=O)C[NH2+][C@@H](C)c2csc(CC)n2)CC1 ZINC000490947943 526949502 /nfs/dbraw/zinc/94/95/02/526949502.db2.gz BMBHJGNLBBWULA-ZDUSSCGKSA-N 1 2 320.462 1.134 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(F)c(C(C)=O)c2)CC1 ZINC000491210028 526951308 /nfs/dbraw/zinc/95/13/08/526951308.db2.gz PEDPTNXLHPIQGG-UHFFFAOYSA-N 1 2 317.364 1.218 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cc3cnccc3nc2C)CC1 ZINC000491746138 526952018 /nfs/dbraw/zinc/95/20/18/526952018.db2.gz QESIVRXZBDQTHL-UHFFFAOYSA-N 1 2 324.384 1.094 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(COCC)n2CC(=C)C)CC1 ZINC000491122251 526955756 /nfs/dbraw/zinc/95/57/56/526955756.db2.gz UPXDNKPEEDKMFQ-UHFFFAOYSA-N 1 2 303.410 1.146 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCO[C@@H](c2ccc(F)c(F)c2)C1 ZINC000491747880 526979064 /nfs/dbraw/zinc/97/90/64/526979064.db2.gz QQHQPAOXZRLWNS-OAHLLOKOSA-N 1 2 308.328 1.478 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCO[C@@H](c2ccc(F)c(F)c2)C1 ZINC000491747880 526979071 /nfs/dbraw/zinc/97/90/71/526979071.db2.gz QQHQPAOXZRLWNS-OAHLLOKOSA-N 1 2 308.328 1.478 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](C)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000491101930 527016306 /nfs/dbraw/zinc/01/63/06/527016306.db2.gz LCFJLEGKAWGXTN-LSDHHAIUSA-N 1 2 315.417 1.276 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](C)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000491101930 527016313 /nfs/dbraw/zinc/01/63/13/527016313.db2.gz LCFJLEGKAWGXTN-LSDHHAIUSA-N 1 2 315.417 1.276 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+]([C@@H](C)C(=O)NC2CC2)CC1 ZINC000343862816 527025205 /nfs/dbraw/zinc/02/52/05/527025205.db2.gz NXNKAWYXOQJLPZ-AWEZNQCLSA-N 1 2 319.449 1.566 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000491806510 527322596 /nfs/dbraw/zinc/32/25/96/527322596.db2.gz QQTGNNSLACTFQG-ZDUSSCGKSA-N 1 2 302.378 1.081 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)Cn1nc(C(=O)OC)c(Br)c1C ZINC000491289073 527334460 /nfs/dbraw/zinc/33/44/60/527334460.db2.gz WJAFNLLUGPJSRN-QMMMGPOBSA-N 1 2 314.183 1.652 20 30 DDEDLO C#C[C@H](C)[N@H+](C)Cn1nc(C(=O)OC)c(Br)c1C ZINC000491289073 527334465 /nfs/dbraw/zinc/33/44/65/527334465.db2.gz WJAFNLLUGPJSRN-QMMMGPOBSA-N 1 2 314.183 1.652 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc(OC)c(OC)c(OC)c2)nn1 ZINC000424168385 527372980 /nfs/dbraw/zinc/37/29/80/527372980.db2.gz FOAVGBLMDHCNMR-UHFFFAOYSA-N 1 2 318.377 1.780 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000491298098 527420190 /nfs/dbraw/zinc/42/01/90/527420190.db2.gz TZJFBCDZVDBXBM-HNNXBMFYSA-N 1 2 310.357 1.416 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@@H](O)COc1ccccc1CC=C ZINC000491775856 527428840 /nfs/dbraw/zinc/42/88/40/527428840.db2.gz XMFYIPXACOMJNR-DLBZAZTESA-N 1 2 301.386 1.489 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@@H](O)COc1ccccc1CC=C ZINC000491775856 527428841 /nfs/dbraw/zinc/42/88/41/527428841.db2.gz XMFYIPXACOMJNR-DLBZAZTESA-N 1 2 301.386 1.489 20 30 DDEDLO C=CCCc1ccccc1NC(=O)C(=O)N1CC[NH+](CC)CC1 ZINC000337589980 527498676 /nfs/dbraw/zinc/49/86/76/527498676.db2.gz WNGKTRVMZLIXLH-UHFFFAOYSA-N 1 2 315.417 1.908 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000347377419 527609683 /nfs/dbraw/zinc/60/96/83/527609683.db2.gz ARRHQVOGJWPWJQ-IIIMJFFVSA-N 1 2 324.465 1.975 20 30 DDEDLO CCCN1CCCN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CC1=O ZINC000329908757 528205514 /nfs/dbraw/zinc/20/55/14/528205514.db2.gz MBLXNNRZXCUCGL-CYBMUJFWSA-N 1 2 319.409 1.056 20 30 DDEDLO CC(C)c1cnc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)s1 ZINC000330205143 528219638 /nfs/dbraw/zinc/21/96/38/528219638.db2.gz CDCVFSRQYFGYDV-WDEREUQCSA-N 1 2 310.423 1.827 20 30 DDEDLO CC(C)c1cnc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)s1 ZINC000330205143 528219641 /nfs/dbraw/zinc/21/96/41/528219641.db2.gz CDCVFSRQYFGYDV-WDEREUQCSA-N 1 2 310.423 1.827 20 30 DDEDLO CCC(CC)[C@H](C(=O)N[C@@H]1CCC[C@H]1C#N)[NH+]1CCOCC1 ZINC000331106683 528843601 /nfs/dbraw/zinc/84/36/01/528843601.db2.gz RCEPLHFPJPFIQI-ARFHVFGLSA-N 1 2 307.438 1.932 20 30 DDEDLO CCN1CC[N@H+](CCOc2ccc(C#N)cc2OC)[C@@H](C)C1=O ZINC000495262131 529146116 /nfs/dbraw/zinc/14/61/16/529146116.db2.gz NIDGOBYUKSKTDQ-ZDUSSCGKSA-N 1 2 317.389 1.498 20 30 DDEDLO CCN1CC[N@@H+](CCOc2ccc(C#N)cc2OC)[C@@H](C)C1=O ZINC000495262131 529146118 /nfs/dbraw/zinc/14/61/18/529146118.db2.gz NIDGOBYUKSKTDQ-ZDUSSCGKSA-N 1 2 317.389 1.498 20 30 DDEDLO Cc1cn2cc(NC(=O)N[C@@H]3CCCS(=O)(=O)C3)ccc2[nH+]1 ZINC000328607832 545656817 /nfs/dbraw/zinc/65/68/17/545656817.db2.gz LMOCUARXXZPLKE-GFCCVEGCSA-N 1 2 322.390 1.355 20 30 DDEDLO CC#CC[NH+]1CCN(c2ccc(-c3nn[nH]n3)cc2F)CC1 ZINC000735449505 599122528 /nfs/dbraw/zinc/12/25/28/599122528.db2.gz QBUGWTNYIUQLNB-UHFFFAOYSA-N 1 2 300.341 1.151 20 30 DDEDLO CCc1cccc(CC)c1NC(=O)[C@@H](C)O[NH+]=C(N)CCO ZINC000121358867 696708943 /nfs/dbraw/zinc/70/89/43/696708943.db2.gz BWCJJMJFMAHYDM-LLVKDONJSA-N 1 2 307.394 1.810 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)OC(=O)[C@@H]1CCCC[N@H+]1CC ZINC000745087691 699971340 /nfs/dbraw/zinc/97/13/40/699971340.db2.gz YYMAOJNFRWWYEU-GJZGRUSLSA-N 1 2 308.422 1.993 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)OC(=O)[C@@H]1CCCC[N@@H+]1CC ZINC000745087691 699971341 /nfs/dbraw/zinc/97/13/41/699971341.db2.gz YYMAOJNFRWWYEU-GJZGRUSLSA-N 1 2 308.422 1.993 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)OC(=O)[C@H]1CCCC[N@H+]1CC ZINC000745087692 699971445 /nfs/dbraw/zinc/97/14/45/699971445.db2.gz YYMAOJNFRWWYEU-HUUCEWRRSA-N 1 2 308.422 1.993 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)OC(=O)[C@H]1CCCC[N@@H+]1CC ZINC000745087692 699971447 /nfs/dbraw/zinc/97/14/47/699971447.db2.gz YYMAOJNFRWWYEU-HUUCEWRRSA-N 1 2 308.422 1.993 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]([N@@H+](C)Cc3cnnn3C)C2)CC1 ZINC000972235837 695185128 /nfs/dbraw/zinc/18/51/28/695185128.db2.gz RMADOISARFSPCH-HNNXBMFYSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]([N@H+](C)Cc3cnnn3C)C2)CC1 ZINC000972235837 695185130 /nfs/dbraw/zinc/18/51/30/695185130.db2.gz RMADOISARFSPCH-HNNXBMFYSA-N 1 2 317.437 1.594 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)COC3CCCC3)C2)C1 ZINC000972278725 695202406 /nfs/dbraw/zinc/20/24/06/695202406.db2.gz JQXAHALYUXDUIW-SFHVURJKSA-N 1 2 320.433 1.272 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)COC3CCCC3)C2)C1 ZINC000972278725 695202409 /nfs/dbraw/zinc/20/24/09/695202409.db2.gz JQXAHALYUXDUIW-SFHVURJKSA-N 1 2 320.433 1.272 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3sccc3F)C2)C1 ZINC000972367734 695231379 /nfs/dbraw/zinc/23/13/79/695231379.db2.gz MMHKZCCASSVPSO-MRXNPFEDSA-N 1 2 322.405 1.827 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3sccc3F)C2)C1 ZINC000972367734 695231383 /nfs/dbraw/zinc/23/13/83/695231383.db2.gz MMHKZCCASSVPSO-MRXNPFEDSA-N 1 2 322.405 1.827 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C3CCC3)C2)C1 ZINC000972431053 695249411 /nfs/dbraw/zinc/24/94/11/695249411.db2.gz MFDWAJRDYFKXMD-MAUKXSAKSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C3CCC3)C2)C1 ZINC000972431053 695249413 /nfs/dbraw/zinc/24/94/13/695249413.db2.gz MFDWAJRDYFKXMD-MAUKXSAKSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCCC34CC4)C2)C1 ZINC000972436351 695250880 /nfs/dbraw/zinc/25/08/80/695250880.db2.gz WQDXWIDTMLHUKE-VQIMIIECSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCCC34CC4)C2)C1 ZINC000972436351 695250881 /nfs/dbraw/zinc/25/08/81/695250881.db2.gz WQDXWIDTMLHUKE-VQIMIIECSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)C2)C1 ZINC000972460403 695255955 /nfs/dbraw/zinc/25/59/55/695255955.db2.gz GOJBRXOOPCREDO-MDZRGWNJSA-N 1 2 318.461 1.995 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)C2)C1 ZINC000972460403 695255956 /nfs/dbraw/zinc/25/59/56/695255956.db2.gz GOJBRXOOPCREDO-MDZRGWNJSA-N 1 2 318.461 1.995 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(OCC)no3)C2)C1 ZINC000972465548 695257486 /nfs/dbraw/zinc/25/74/86/695257486.db2.gz JEAGOLLCTSKGMX-MRXNPFEDSA-N 1 2 321.377 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(OCC)no3)C2)C1 ZINC000972465548 695257489 /nfs/dbraw/zinc/25/74/89/695257489.db2.gz JEAGOLLCTSKGMX-MRXNPFEDSA-N 1 2 321.377 1.176 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C4CCC4)CCC3)C2)C1 ZINC000972486804 695262534 /nfs/dbraw/zinc/26/25/34/695262534.db2.gz IASYTTVLXIRODK-GOSISDBHSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C4CCC4)CCC3)C2)C1 ZINC000972486804 695262536 /nfs/dbraw/zinc/26/25/36/695262536.db2.gz IASYTTVLXIRODK-GOSISDBHSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(C)(C)C)C2)C1 ZINC000972493114 695264438 /nfs/dbraw/zinc/26/44/38/695264438.db2.gz BLMJQEYOMCHBLF-GOSISDBHSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(C)(C)C)C2)C1 ZINC000972493114 695264439 /nfs/dbraw/zinc/26/44/39/695264439.db2.gz BLMJQEYOMCHBLF-GOSISDBHSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C(F)(F)F)C2)C1 ZINC000972493594 695265118 /nfs/dbraw/zinc/26/51/18/695265118.db2.gz CWPLAFHZTWJAIR-WCQYABFASA-N 1 2 304.312 1.121 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C(F)(F)F)C2)C1 ZINC000972493594 695265119 /nfs/dbraw/zinc/26/51/19/695265119.db2.gz CWPLAFHZTWJAIR-WCQYABFASA-N 1 2 304.312 1.121 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccccc3CC)C2)C1 ZINC000972519780 695271728 /nfs/dbraw/zinc/27/17/28/695271728.db2.gz YSWDYMPLNKSZMI-LJQANCHMSA-N 1 2 312.413 1.799 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccccc3CC)C2)C1 ZINC000972519780 695271729 /nfs/dbraw/zinc/27/17/29/695271729.db2.gz YSWDYMPLNKSZMI-LJQANCHMSA-N 1 2 312.413 1.799 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(Cl)[nH]3)C2)C1 ZINC000972537055 695275500 /nfs/dbraw/zinc/27/55/00/695275500.db2.gz DCOJNBPXXZBCFW-HNNXBMFYSA-N 1 2 307.781 1.218 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(Cl)[nH]3)C2)C1 ZINC000972537055 695275501 /nfs/dbraw/zinc/27/55/01/695275501.db2.gz DCOJNBPXXZBCFW-HNNXBMFYSA-N 1 2 307.781 1.218 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCn3cccc3)C2)C1 ZINC000972611260 695298163 /nfs/dbraw/zinc/29/81/63/695298163.db2.gz DZACFBTVLODZCX-GOSISDBHSA-N 1 2 315.417 1.205 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCn3cccc3)C2)C1 ZINC000972611260 695298165 /nfs/dbraw/zinc/29/81/65/695298165.db2.gz DZACFBTVLODZCX-GOSISDBHSA-N 1 2 315.417 1.205 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3ccccc3)C2)C1 ZINC000972644596 695307974 /nfs/dbraw/zinc/30/79/74/695307974.db2.gz ZYXGGUXVOUNOFP-APWZRJJASA-N 1 2 312.413 1.727 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3ccccc3)C2)C1 ZINC000972644596 695307977 /nfs/dbraw/zinc/30/79/77/695307977.db2.gz ZYXGGUXVOUNOFP-APWZRJJASA-N 1 2 312.413 1.727 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cncnc2C)C(C)(C)C1 ZINC000972837526 695340788 /nfs/dbraw/zinc/34/07/88/695340788.db2.gz UUZCTUADCQNAFY-CYBMUJFWSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cncnc2C)C(C)(C)C1 ZINC000972837526 695340790 /nfs/dbraw/zinc/34/07/90/695340790.db2.gz UUZCTUADCQNAFY-CYBMUJFWSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnnc(C)c2)C(C)(C)C1 ZINC000974504029 695681987 /nfs/dbraw/zinc/68/19/87/695681987.db2.gz VRPVNELIBUYCDV-ZDUSSCGKSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnnc(C)c2)C(C)(C)C1 ZINC000974504029 695681989 /nfs/dbraw/zinc/68/19/89/695681989.db2.gz VRPVNELIBUYCDV-ZDUSSCGKSA-N 1 2 308.813 1.978 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H]2CN(CC#N)CC2(C)C)c(C)[nH+]1 ZINC000974848337 695747445 /nfs/dbraw/zinc/74/74/45/695747445.db2.gz SXXFFKAARVNTNT-CQSZACIVSA-N 1 2 300.406 1.971 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cc[nH+]c1 ZINC000976765106 696002214 /nfs/dbraw/zinc/00/22/14/696002214.db2.gz MSMLGELMWUTFOV-RPCCPQHDSA-N 1 2 316.405 1.058 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cn(CC)nn2)C(C)(C)C1 ZINC000977410525 696149142 /nfs/dbraw/zinc/14/91/42/696149142.db2.gz LZMUGBKDYQQRGG-LBPRGKRZSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cn(CC)nn2)C(C)(C)C1 ZINC000977410525 696149144 /nfs/dbraw/zinc/14/91/44/696149144.db2.gz LZMUGBKDYQQRGG-LBPRGKRZSA-N 1 2 311.817 1.491 20 30 DDEDLO COCCc1noc(CO[NH+]=C(N)c2ccc(OC)cc2)n1 ZINC000078805717 696455588 /nfs/dbraw/zinc/45/55/88/696455588.db2.gz QFLTZLVXXLUCLY-UHFFFAOYSA-N 1 2 306.322 1.104 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1c[nH]c[nH+]1 ZINC000979515343 696581145 /nfs/dbraw/zinc/58/11/45/696581145.db2.gz HPXRZGILZQNDJY-RPCCPQHDSA-N 1 2 316.405 1.128 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCc1nnnn1-c1ccccc1 ZINC000092916309 696598292 /nfs/dbraw/zinc/59/82/92/696598292.db2.gz CBUBQRNUBJYJAB-UHFFFAOYSA-N 1 2 309.333 1.089 20 30 DDEDLO C#CC[N@H+]1CCCC2(CN(C(=O)[C@H]3CCCc4[nH]ncc43)C2)C1 ZINC000981770694 696909596 /nfs/dbraw/zinc/90/95/96/696909596.db2.gz AMQMIQHSFGJVJV-AWEZNQCLSA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@@H+]1CCCC2(CN(C(=O)[C@H]3CCCc4[nH]ncc43)C2)C1 ZINC000981770694 696909598 /nfs/dbraw/zinc/90/95/98/696909598.db2.gz AMQMIQHSFGJVJV-AWEZNQCLSA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2ccc(CNC(C)=O)s2)CC1 ZINC000982035277 697010464 /nfs/dbraw/zinc/01/04/64/697010464.db2.gz CVCAHBFLHLQADX-UHFFFAOYSA-N 1 2 319.430 1.165 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2ccc(CNC(C)=O)s2)CC1 ZINC000982035277 697010466 /nfs/dbraw/zinc/01/04/66/697010466.db2.gz CVCAHBFLHLQADX-UHFFFAOYSA-N 1 2 319.430 1.165 20 30 DDEDLO C#C[C@@H](NC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C)C(C)C ZINC000799273929 700131177 /nfs/dbraw/zinc/13/11/77/700131177.db2.gz GFWWXWIECBZRDW-GFCCVEGCSA-N 1 2 302.378 1.169 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)N[C@@](C)(c2cccc(C#N)c2)C1=O ZINC000182610108 697477185 /nfs/dbraw/zinc/47/71/85/697477185.db2.gz UOLATJGJVOVKFA-KRWDZBQOSA-N 1 2 312.373 1.791 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)N[C@@](C)(c2cccc(C#N)c2)C1=O ZINC000182610108 697477186 /nfs/dbraw/zinc/47/71/86/697477186.db2.gz UOLATJGJVOVKFA-KRWDZBQOSA-N 1 2 312.373 1.791 20 30 DDEDLO Cc1nc([C@H](C)[N@@H+](C)C2CCN(C(=O)[C@H](C)C#N)CC2)no1 ZINC000985339139 697493988 /nfs/dbraw/zinc/49/39/88/697493988.db2.gz RDQGCGCPFHVWCT-MNOVXSKESA-N 1 2 305.382 1.522 20 30 DDEDLO Cc1nc([C@H](C)[N@H+](C)C2CCN(C(=O)[C@H](C)C#N)CC2)no1 ZINC000985339139 697493990 /nfs/dbraw/zinc/49/39/90/697493990.db2.gz RDQGCGCPFHVWCT-MNOVXSKESA-N 1 2 305.382 1.522 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CC2(C)CCCCC2)CC1 ZINC000772476123 697634989 /nfs/dbraw/zinc/63/49/89/697634989.db2.gz AXIRCWWKHMYFJR-UHFFFAOYSA-N 1 2 312.479 1.928 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(C(=O)OC)cc2F)CC1 ZINC000772668887 697659100 /nfs/dbraw/zinc/65/91/00/697659100.db2.gz XHWIHTJVTNMUCI-UHFFFAOYSA-N 1 2 318.348 1.393 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)Nc2ccc(C#N)cc2)cc1 ZINC000194261138 697701995 /nfs/dbraw/zinc/70/19/95/697701995.db2.gz BXWPLMBTQMNWBE-UHFFFAOYSA-N 1 2 324.340 1.842 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+]Cc3nnc(C)o3)[C@H]2C)cc1 ZINC000986636434 697860687 /nfs/dbraw/zinc/86/06/87/697860687.db2.gz IJOGTXISSXTAIR-WBMJQRKESA-N 1 2 324.384 1.752 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccn1)[C@@H]1CCCO1 ZINC000775343420 697986213 /nfs/dbraw/zinc/98/62/13/697986213.db2.gz YJVJPQLNXUJQFB-ULQDDVLXSA-N 1 2 313.401 1.343 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H]1CCC[N@H+]1Cc1ccccn1)[C@@H]1CCCO1 ZINC000775343420 697986216 /nfs/dbraw/zinc/98/62/16/697986216.db2.gz YJVJPQLNXUJQFB-ULQDDVLXSA-N 1 2 313.401 1.343 20 30 DDEDLO C#C[C@@H](NC(=O)Nc1ccc(C)[nH+]c1N(C)C)[C@@H]1CCCO1 ZINC000775682993 698023291 /nfs/dbraw/zinc/02/32/91/698023291.db2.gz NNFRIHSIOZOHJC-OCCSQVGLSA-N 1 2 302.378 1.758 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@@H](CN(C)C(=O)OC(C)(C)C)C1 ZINC000800337645 700216999 /nfs/dbraw/zinc/21/69/99/700216999.db2.gz CGSLBQVOZKZBMY-QWHCGFSZSA-N 1 2 312.410 1.903 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@@H](CN(C)C(=O)OC(C)(C)C)C1 ZINC000800337645 700217003 /nfs/dbraw/zinc/21/70/03/700217003.db2.gz CGSLBQVOZKZBMY-QWHCGFSZSA-N 1 2 312.410 1.903 20 30 DDEDLO C[C@H]1C[C@@H]([NH2+]Cc2cnsn2)CN1C(=O)c1ccc(C#N)[nH]1 ZINC000988642679 698425771 /nfs/dbraw/zinc/42/57/71/698425771.db2.gz AUCDHZNNYNMUAY-GXSJLCMTSA-N 1 2 316.390 1.131 20 30 DDEDLO C#CCCS(=O)(=O)NC[C@@H](C)[N@@H+]1CCc2sccc2C1 ZINC000288999597 698565258 /nfs/dbraw/zinc/56/52/58/698565258.db2.gz ZDJCZMZRVAVVGP-GFCCVEGCSA-N 1 2 312.460 1.437 20 30 DDEDLO C#CCCS(=O)(=O)NC[C@@H](C)[N@H+]1CCc2sccc2C1 ZINC000288999597 698565263 /nfs/dbraw/zinc/56/52/63/698565263.db2.gz ZDJCZMZRVAVVGP-GFCCVEGCSA-N 1 2 312.460 1.437 20 30 DDEDLO COC[C@@H](C)NC(=S)NN=Cc1cc(-n2cc[nH+]c2)cs1 ZINC000783805631 698883205 /nfs/dbraw/zinc/88/32/05/698883205.db2.gz UOGOUCJMNTXLOA-SNVBAGLBSA-N 1 2 323.447 1.767 20 30 DDEDLO CON=Cc1ccc(C(=O)NCC[N@@H+]2CCO[C@H](C)C2)cc1 ZINC000725721868 699332140 /nfs/dbraw/zinc/33/21/40/699332140.db2.gz WHHCHOJOFSQXTL-CYBMUJFWSA-N 1 2 305.378 1.117 20 30 DDEDLO CON=Cc1ccc(C(=O)NCC[N@H+]2CCO[C@H](C)C2)cc1 ZINC000725721868 699332144 /nfs/dbraw/zinc/33/21/44/699332144.db2.gz WHHCHOJOFSQXTL-CYBMUJFWSA-N 1 2 305.378 1.117 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2cc3ccccc3[nH]2)CC1 ZINC000789731571 699405275 /nfs/dbraw/zinc/40/52/75/699405275.db2.gz FPJKFVJDQTXCPY-UHFFFAOYSA-N 1 2 310.401 1.035 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCCC[C@@H]2[NH+]2CCOCC2)o1 ZINC000794381469 699806255 /nfs/dbraw/zinc/80/62/55/699806255.db2.gz AVIGMDQRZFTHGI-KGLIPLIRSA-N 1 2 303.362 1.524 20 30 DDEDLO NC(=NOC[C@H]1CCCO1)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000794518707 699813491 /nfs/dbraw/zinc/81/34/91/699813491.db2.gz BPVFUOKBEQOMLY-MRXNPFEDSA-N 1 2 319.405 1.335 20 30 DDEDLO C[C@]1(O)CCC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC1 ZINC000794670642 699823070 /nfs/dbraw/zinc/82/30/70/699823070.db2.gz BUDHHUPWBMLCSJ-KRWDZBQOSA-N 1 2 301.390 1.403 20 30 DDEDLO C[C@]1(O)CCC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC1 ZINC000794670642 699823072 /nfs/dbraw/zinc/82/30/72/699823072.db2.gz BUDHHUPWBMLCSJ-KRWDZBQOSA-N 1 2 301.390 1.403 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(C(=O)NC2CCCCC2)CC1 ZINC000801930602 700356111 /nfs/dbraw/zinc/35/61/11/700356111.db2.gz SDXBFQPAMCBGSI-AWEZNQCLSA-N 1 2 308.426 1.575 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)Cn1cccc(C#N)c1=O ZINC000758211618 700689923 /nfs/dbraw/zinc/68/99/23/700689923.db2.gz WWPPOBHJBXIRFK-UHFFFAOYSA-N 1 2 322.368 1.698 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)Cn1cccc(C#N)c1=O ZINC000758211618 700689924 /nfs/dbraw/zinc/68/99/24/700689924.db2.gz WWPPOBHJBXIRFK-UHFFFAOYSA-N 1 2 322.368 1.698 20 30 DDEDLO C#CCNC(=S)Nc1cccc([C@@H](C)[NH+]2CCOCC2)c1 ZINC000758230200 700692053 /nfs/dbraw/zinc/69/20/53/700692053.db2.gz PYUMQCSMNUGHPJ-CYBMUJFWSA-N 1 2 303.431 1.999 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)Nc2cc(C#N)ccn2)CC1 ZINC000766956923 701073638 /nfs/dbraw/zinc/07/36/38/701073638.db2.gz IUWKSJQBCXRAOG-UHFFFAOYSA-N 1 2 324.388 1.537 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2ccc(C#N)cc2)CC1 ZINC000808284573 701512025 /nfs/dbraw/zinc/51/20/25/701512025.db2.gz IABIMCBCWUTYTG-UHFFFAOYSA-N 1 2 317.414 1.029 20 30 DDEDLO C=CCOCCOC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000815214402 701825608 /nfs/dbraw/zinc/82/56/08/701825608.db2.gz PMFLUAKJRVXTLX-MRXNPFEDSA-N 1 2 305.374 1.633 20 30 DDEDLO C=CCOCCOC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000815214402 701825612 /nfs/dbraw/zinc/82/56/12/701825612.db2.gz PMFLUAKJRVXTLX-MRXNPFEDSA-N 1 2 305.374 1.633 20 30 DDEDLO CS[C@@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000840114216 701975708 /nfs/dbraw/zinc/97/57/08/701975708.db2.gz PPAXZRQKWLWKLD-CYBMUJFWSA-N 1 2 303.431 1.994 20 30 DDEDLO CS[C@@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000840114216 701975713 /nfs/dbraw/zinc/97/57/13/701975713.db2.gz PPAXZRQKWLWKLD-CYBMUJFWSA-N 1 2 303.431 1.994 20 30 DDEDLO COC(=O)[C@@]1(C)CCC[N@H+](Cn2ccc(C)c(C#N)c2=O)C1 ZINC000840183676 702017046 /nfs/dbraw/zinc/01/70/46/702017046.db2.gz IAFDHWQHWMMVGR-INIZCTEOSA-N 1 2 303.362 1.261 20 30 DDEDLO COC(=O)[C@@]1(C)CCC[N@@H+](Cn2ccc(C)c(C#N)c2=O)C1 ZINC000840183676 702017049 /nfs/dbraw/zinc/01/70/49/702017049.db2.gz IAFDHWQHWMMVGR-INIZCTEOSA-N 1 2 303.362 1.261 20 30 DDEDLO Cn1ncc(C#N)c1NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000866169867 706639235 /nfs/dbraw/zinc/63/92/35/706639235.db2.gz VKGRRGVNNGDRDC-UHFFFAOYSA-N 1 2 319.409 1.510 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@@H](CNc3cnc(C#N)cn3)C2)c1 ZINC000866189820 706644013 /nfs/dbraw/zinc/64/40/13/706644013.db2.gz DRFQBBJBAVTDDN-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@@H](CNc3cnc(C#N)cn3)C2)c1 ZINC000866189820 706644014 /nfs/dbraw/zinc/64/40/14/706644014.db2.gz DRFQBBJBAVTDDN-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO C[C@@H]([NH2+]CCCN(C)S(C)(=O)=O)c1cccc(C#N)c1O ZINC000866230915 706655387 /nfs/dbraw/zinc/65/53/87/706655387.db2.gz IDMYJRMXIKIUIJ-LLVKDONJSA-N 1 2 311.407 1.196 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(N(C)C(C)=O)nc1 ZINC000841465670 702459073 /nfs/dbraw/zinc/45/90/73/702459073.db2.gz SCOGJPXYCCIRCA-AWEZNQCLSA-N 1 2 300.362 1.100 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(N(C)C(C)=O)nc1 ZINC000841465670 702459075 /nfs/dbraw/zinc/45/90/75/702459075.db2.gz SCOGJPXYCCIRCA-AWEZNQCLSA-N 1 2 300.362 1.100 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)c1[nH+]cnc2c1cnn2C ZINC000845612678 703182110 /nfs/dbraw/zinc/18/21/10/703182110.db2.gz XYRYQKZMPMJMBJ-CQSZACIVSA-N 1 2 308.345 1.405 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCCC[C@H]2CN2CCCC2=O)nn1 ZINC000846803177 703334063 /nfs/dbraw/zinc/33/40/63/703334063.db2.gz BFLOMIISLDCQMD-INIZCTEOSA-N 1 2 317.437 1.831 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCCC[C@H]2CN2CCCC2=O)nn1 ZINC000846803177 703334065 /nfs/dbraw/zinc/33/40/65/703334065.db2.gz BFLOMIISLDCQMD-INIZCTEOSA-N 1 2 317.437 1.831 20 30 DDEDLO CC[C@@H](CC#N)[NH2+][C@@H](C)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000846988037 703362279 /nfs/dbraw/zinc/36/22/79/703362279.db2.gz PQLTVDVQLFOIKQ-UWVGGRQHSA-N 1 2 301.350 1.877 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CN2C(=O)N[C@](CCC)(C3CC3)C2=O)C1 ZINC000848494978 703557682 /nfs/dbraw/zinc/55/76/82/703557682.db2.gz XEOYBYHVRPAGSV-CXAGYDPISA-N 1 2 303.406 1.790 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CN2C(=O)N[C@](CCC)(C3CC3)C2=O)C1 ZINC000848494978 703557683 /nfs/dbraw/zinc/55/76/83/703557683.db2.gz XEOYBYHVRPAGSV-CXAGYDPISA-N 1 2 303.406 1.790 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](C)NC(=O)OC(C)(C)C)nn1 ZINC000849147464 703620872 /nfs/dbraw/zinc/62/08/72/703620872.db2.gz OQOZFXNFJSDSRF-GFCCVEGCSA-N 1 2 307.398 1.304 20 30 DDEDLO CC(C)(C)OC(=O)CN1CC[C@@H]([NH+]2CCC(C#N)CC2)C1=O ZINC000851739871 703841124 /nfs/dbraw/zinc/84/11/24/703841124.db2.gz IBMVJPZBCHLUCB-CYBMUJFWSA-N 1 2 307.394 1.165 20 30 DDEDLO C=CCC1(C(=O)N2CCO[C@@H](C[NH+]3CCOCC3)C2)CCC1 ZINC000870063093 703906507 /nfs/dbraw/zinc/90/65/07/703906507.db2.gz TZVJGCMYGKSFOW-HNNXBMFYSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+](CN1CCN(Cc2cccnc2)C1=O)[C@H](C)COC ZINC000853534611 704261659 /nfs/dbraw/zinc/26/16/59/704261659.db2.gz KQCJYHXIUNHMDJ-OAHLLOKOSA-N 1 2 318.421 1.800 20 30 DDEDLO C=CC[N@@H+](CN1CCN(Cc2cccnc2)C1=O)[C@H](C)COC ZINC000853534611 704261661 /nfs/dbraw/zinc/26/16/61/704261661.db2.gz KQCJYHXIUNHMDJ-OAHLLOKOSA-N 1 2 318.421 1.800 20 30 DDEDLO C=CC[N@H+](CN1C(=O)[C@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1=O)[C@@H](C)COC ZINC000853535482 704262175 /nfs/dbraw/zinc/26/21/75/704262175.db2.gz WITSIWLAHYOORQ-KCTHJMFYSA-N 1 2 320.433 1.888 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)[C@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1=O)[C@@H](C)COC ZINC000853535482 704262176 /nfs/dbraw/zinc/26/21/76/704262176.db2.gz WITSIWLAHYOORQ-KCTHJMFYSA-N 1 2 320.433 1.888 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)CN(c2ccccc2)C1=O ZINC000853619797 704286811 /nfs/dbraw/zinc/28/68/11/704286811.db2.gz KYGWWMOQOPZELA-UHFFFAOYSA-N 1 2 315.373 1.384 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)CN(c2ccccc2)C1=O ZINC000853619797 704286812 /nfs/dbraw/zinc/28/68/12/704286812.db2.gz KYGWWMOQOPZELA-UHFFFAOYSA-N 1 2 315.373 1.384 20 30 DDEDLO C#CCNc1nc(N2CC3CC2(C)C3)nc(N2CC3CC2(C)C3)[nH+]1 ZINC000853998254 704345570 /nfs/dbraw/zinc/34/55/70/704345570.db2.gz VFNKUXRPIPPARF-UHFFFAOYSA-N 1 2 324.432 1.316 20 30 DDEDLO C#CCNc1nc(N2CC3CC2(C)C3)[nH+]c(N2CC3CC2(C)C3)n1 ZINC000853998254 704345573 /nfs/dbraw/zinc/34/55/73/704345573.db2.gz VFNKUXRPIPPARF-UHFFFAOYSA-N 1 2 324.432 1.316 20 30 DDEDLO C#C[C@H](CC)NC(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000854070014 704352741 /nfs/dbraw/zinc/35/27/41/704352741.db2.gz HEAYNXQWGUXXEA-MRXNPFEDSA-N 1 2 315.417 1.934 20 30 DDEDLO N#Cc1ccc(F)c(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)c1 ZINC000854250236 704371817 /nfs/dbraw/zinc/37/18/17/704371817.db2.gz OPRPFBSNWRHOEF-CQSZACIVSA-N 1 2 317.364 1.634 20 30 DDEDLO C#CCOc1ccc(CNC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)cc1 ZINC000854826551 704457039 /nfs/dbraw/zinc/45/70/39/704457039.db2.gz NTHXXBCJVTVTRE-HZPDHXFCSA-N 1 2 309.369 1.852 20 30 DDEDLO CC[N@H+](CCC#N)[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@@]1(C)O ZINC000859155734 704806253 /nfs/dbraw/zinc/80/62/53/704806253.db2.gz TYOFFNOZCPTVEY-CZUORRHYSA-N 1 2 311.426 1.982 20 30 DDEDLO CC[N@@H+](CCC#N)[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@@]1(C)O ZINC000859155734 704806256 /nfs/dbraw/zinc/80/62/56/704806256.db2.gz TYOFFNOZCPTVEY-CZUORRHYSA-N 1 2 311.426 1.982 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000822219880 704894670 /nfs/dbraw/zinc/89/46/70/704894670.db2.gz VRXIPYFZILBIDZ-MRXNPFEDSA-N 1 2 316.401 1.248 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)[C@@]1(C#N)CC12CCCC2 ZINC000874712145 705146547 /nfs/dbraw/zinc/14/65/47/705146547.db2.gz URLVFEKJNXWFSH-PBHICJAKSA-N 1 2 305.422 1.687 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)[C@@]1(C#N)CC12CCCC2 ZINC000874712145 705146549 /nfs/dbraw/zinc/14/65/49/705146549.db2.gz URLVFEKJNXWFSH-PBHICJAKSA-N 1 2 305.422 1.687 20 30 DDEDLO Cc1cc(F)c(C#N)cc1NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000874904061 705222805 /nfs/dbraw/zinc/22/28/05/705222805.db2.gz WUTLXMBRNGDDDH-NSCUHMNNSA-N 1 2 303.337 1.833 20 30 DDEDLO COc1ccc(C(N)=[NH+]O[C@@H]2CCN(C3CCC3)C2=O)cc1 ZINC000871725468 707177953 /nfs/dbraw/zinc/17/79/53/707177953.db2.gz ANVHACJQRUKYOE-CQSZACIVSA-N 1 2 303.362 1.486 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)[C@@H](O)c1ccc(C#N)cc1 ZINC000826704685 705852227 /nfs/dbraw/zinc/85/22/27/705852227.db2.gz VZVWEIZWJXTHQF-ROUUACIJSA-N 1 2 321.380 1.895 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)[C@@H](O)c1ccc(C#N)cc1 ZINC000826704685 705852230 /nfs/dbraw/zinc/85/22/30/705852230.db2.gz VZVWEIZWJXTHQF-ROUUACIJSA-N 1 2 321.380 1.895 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+]1CCN2C(=O)OC[C@H]2C1 ZINC000876763326 705864371 /nfs/dbraw/zinc/86/43/71/705864371.db2.gz GEZUSIYVPYEHRS-CQSZACIVSA-N 1 2 300.362 1.261 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+]1CCN2C(=O)OC[C@H]2C1 ZINC000876763326 705864374 /nfs/dbraw/zinc/86/43/74/705864374.db2.gz GEZUSIYVPYEHRS-CQSZACIVSA-N 1 2 300.362 1.261 20 30 DDEDLO CCCCCCCNC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000826981735 705905151 /nfs/dbraw/zinc/90/51/51/705905151.db2.gz HOZJTBBUEIEDSA-CVEARBPZSA-N 1 2 312.454 1.953 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC000827488013 706012539 /nfs/dbraw/zinc/01/25/39/706012539.db2.gz BXNLSGHIVYQDJE-UHFFFAOYSA-N 1 2 307.438 1.049 20 30 DDEDLO CCN(CC)C(=O)C[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC000827488013 706012541 /nfs/dbraw/zinc/01/25/41/706012541.db2.gz BXNLSGHIVYQDJE-UHFFFAOYSA-N 1 2 307.438 1.049 20 30 DDEDLO COCCn1cc(C[NH2+]Cc2cc(C#N)cnc2Cl)cn1 ZINC000877497854 706123614 /nfs/dbraw/zinc/12/36/14/706123614.db2.gz DNQXMODRSISQIA-UHFFFAOYSA-N 1 2 305.769 1.739 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]3CS(=O)(=O)C[C@@H]3C2)cc1C#N ZINC000877790802 706215458 /nfs/dbraw/zinc/21/54/58/706215458.db2.gz HPESDUASXADPQE-HIFRSBDPSA-N 1 2 320.414 1.433 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]3CS(=O)(=O)C[C@@H]3C2)cc1C#N ZINC000877790802 706215459 /nfs/dbraw/zinc/21/54/59/706215459.db2.gz HPESDUASXADPQE-HIFRSBDPSA-N 1 2 320.414 1.433 20 30 DDEDLO O=C1OCCN1N=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872393165 707397678 /nfs/dbraw/zinc/39/76/78/707397678.db2.gz NTYIDXRQLMLJKW-UHFFFAOYSA-N 1 2 319.361 1.184 20 30 DDEDLO CCOC(=O)[C@H](C)C1C[NH+](Cc2cnc3c(C#N)cnn3c2)C1 ZINC000877829561 706225751 /nfs/dbraw/zinc/22/57/51/706225751.db2.gz WSXGSVRDLSQSCF-LLVKDONJSA-N 1 2 313.361 1.232 20 30 DDEDLO CC1(C)CCC[C@H](CN(CCC#N)CC[NH+]2CCOCC2)O1 ZINC000878159790 706310208 /nfs/dbraw/zinc/31/02/08/706310208.db2.gz VJASYEGQYWOZDQ-MRXNPFEDSA-N 1 2 309.454 1.882 20 30 DDEDLO C#CCN(CC(=O)NCc1[nH]c[nH+]c1C)C(=O)OC(C)(C)C ZINC000829493910 706344579 /nfs/dbraw/zinc/34/45/79/706344579.db2.gz UFWQHZZPWWNHNL-UHFFFAOYSA-N 1 2 306.366 1.205 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc3[nH]cc(C=O)c3c2)C1 ZINC000829582017 706360627 /nfs/dbraw/zinc/36/06/27/706360627.db2.gz IHRXGFZCXYZERK-OAHLLOKOSA-N 1 2 309.369 1.808 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc3[nH]cc(C=O)c3c2)C1 ZINC000829582017 706360628 /nfs/dbraw/zinc/36/06/28/706360628.db2.gz IHRXGFZCXYZERK-OAHLLOKOSA-N 1 2 309.369 1.808 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)C[C@@H]2CCCC2(F)F)CC1 ZINC000830195045 706472537 /nfs/dbraw/zinc/47/25/37/706472537.db2.gz JGJYNBIHZBHYRB-ZDUSSCGKSA-N 1 2 320.405 1.393 20 30 DDEDLO C[C@H]1C[NH+](C2CN(C(=O)OC(C)(C)C)C2)C[C@H](C)N1CC#N ZINC000878773673 706495991 /nfs/dbraw/zinc/49/59/91/706495991.db2.gz ZWQJZPAIRDRVGC-STQMWFEESA-N 1 2 308.426 1.524 20 30 DDEDLO C#CC1CC[NH+](CC(=O)Nc2nc(CC(=O)OC)cs2)CC1 ZINC000830345642 706499808 /nfs/dbraw/zinc/49/98/08/706499808.db2.gz LEIDMFTZRIQMEA-UHFFFAOYSA-N 1 2 321.402 1.142 20 30 DDEDLO COc1ccc(N2CCN(C(=O)C[NH2+][C@@H](C)CC#N)CC2)cc1 ZINC000878817204 706507469 /nfs/dbraw/zinc/50/74/69/706507469.db2.gz PISUGEUZZXAUMB-AWEZNQCLSA-N 1 2 316.405 1.236 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](C(=O)NC)(C(F)(F)F)C1 ZINC000830675179 706559756 /nfs/dbraw/zinc/55/97/56/706559756.db2.gz SOLKFKSLFFGORK-VIFPVBQESA-N 1 2 315.133 1.895 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](C(=O)NC)(C(F)(F)F)C1 ZINC000830675179 706559759 /nfs/dbraw/zinc/55/97/59/706559759.db2.gz SOLKFKSLFFGORK-VIFPVBQESA-N 1 2 315.133 1.895 20 30 DDEDLO COc1ccccc1[C@@H]1CSCCC[N@@H+]1CC(=O)NCC#N ZINC000880174903 706901855 /nfs/dbraw/zinc/90/18/55/706901855.db2.gz TWNNWAMIFUWFCS-AWEZNQCLSA-N 1 2 319.430 1.815 20 30 DDEDLO COc1ccccc1[C@@H]1CSCCC[N@H+]1CC(=O)NCC#N ZINC000880174903 706901857 /nfs/dbraw/zinc/90/18/57/706901857.db2.gz TWNNWAMIFUWFCS-AWEZNQCLSA-N 1 2 319.430 1.815 20 30 DDEDLO C#CCC1(O)CC[NH+]([C@@H](C)C(=O)Nc2c(C)nn(C)c2C)CC1 ZINC000880479125 706986917 /nfs/dbraw/zinc/98/69/17/706986917.db2.gz QLYBDZJWASRSOQ-AWEZNQCLSA-N 1 2 318.421 1.214 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[NH+]1CCC2(CC1)COCCO2 ZINC000880547097 707012885 /nfs/dbraw/zinc/01/28/85/707012885.db2.gz CCSIQSJRPFNSOU-UHFFFAOYSA-N 1 2 317.345 1.848 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cc(CC#N)ccn1 ZINC000871730689 707179595 /nfs/dbraw/zinc/17/95/95/707179595.db2.gz IKNFXCKGZRXEGS-ZDUSSCGKSA-N 1 2 317.393 1.332 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCN(C)c2ncccc2C1 ZINC000872317272 707379744 /nfs/dbraw/zinc/37/97/44/707379744.db2.gz MKESJVQPMOJGQK-CYBMUJFWSA-N 1 2 301.394 1.094 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCN(C)c2ncccc2C1 ZINC000872317272 707379748 /nfs/dbraw/zinc/37/97/48/707379748.db2.gz MKESJVQPMOJGQK-CYBMUJFWSA-N 1 2 301.394 1.094 20 30 DDEDLO N#CCc1cccc2c1CC[N@@H+](CN1CC3(COC3)CC1=O)C2 ZINC000872627067 707521273 /nfs/dbraw/zinc/52/12/73/707521273.db2.gz MZACDWVCYOBYEO-UHFFFAOYSA-N 1 2 311.385 1.317 20 30 DDEDLO N#CCc1cccc2c1CC[N@H+](CN1CC3(COC3)CC1=O)C2 ZINC000872627067 707521275 /nfs/dbraw/zinc/52/12/75/707521275.db2.gz MZACDWVCYOBYEO-UHFFFAOYSA-N 1 2 311.385 1.317 20 30 DDEDLO C=CCn1c(C)nn(C[N@@H+]2C[C@H](C)[C@@](C)(C(=O)OC)C2)c1=S ZINC000872633160 707524461 /nfs/dbraw/zinc/52/44/61/707524461.db2.gz PYQOQTKJBGQEBF-NHYWBVRUSA-N 1 2 324.450 1.997 20 30 DDEDLO C=CCn1c(C)nn(C[N@H+]2C[C@H](C)[C@@](C)(C(=O)OC)C2)c1=S ZINC000872633160 707524463 /nfs/dbraw/zinc/52/44/63/707524463.db2.gz PYQOQTKJBGQEBF-NHYWBVRUSA-N 1 2 324.450 1.997 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000883137569 707937995 /nfs/dbraw/zinc/93/79/95/707937995.db2.gz HXDVVKMMGLOIIW-CQSZACIVSA-N 1 2 306.410 1.388 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](C[C@H]2CC2(Cl)Cl)CC1 ZINC000838558576 707944920 /nfs/dbraw/zinc/94/49/20/707944920.db2.gz FSNGREPKBUZNQS-LLVKDONJSA-N 1 2 306.237 1.100 20 30 DDEDLO N#Cc1ccc(F)c(Cn2cc(C[NH+]3CCC(O)CC3)nn2)c1 ZINC000883991003 708093599 /nfs/dbraw/zinc/09/35/99/708093599.db2.gz OEKFHXXCWWHUCV-UHFFFAOYSA-N 1 2 315.352 1.294 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H]1c1ccc(F)cc1 ZINC000884064263 708123043 /nfs/dbraw/zinc/12/30/43/708123043.db2.gz BJOQMIWLTKMJBP-LSDHHAIUSA-N 1 2 320.364 1.936 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccccc1OC(C)C ZINC000884074502 708127937 /nfs/dbraw/zinc/12/79/37/708127937.db2.gz RZFRYFUVBYQRCY-LBPRGKRZSA-N 1 2 306.362 1.859 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccccc1OCC1CC1 ZINC000884150237 708161368 /nfs/dbraw/zinc/16/13/68/708161368.db2.gz HEXXARQXTLDVOH-ZDUSSCGKSA-N 1 2 318.373 1.861 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCC[C@H](SCC)C1 ZINC000884170348 708170694 /nfs/dbraw/zinc/17/06/94/708170694.db2.gz VRSLDXFSOGLJDK-AGIUHOORSA-N 1 2 314.451 1.614 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC000884316987 708241276 /nfs/dbraw/zinc/24/12/76/708241276.db2.gz ILHRTVSYDFVMDP-MELADBBJSA-N 1 2 306.337 1.244 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1c2ccccc2CC[C@H]1C ZINC000884316953 708241306 /nfs/dbraw/zinc/24/13/06/708241306.db2.gz HPOXNHSECHIWDF-ISTRZQFTSA-N 1 2 316.401 1.873 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1[C@H]2CCc3ccccc3[C@@H]12 ZINC000884328366 708247583 /nfs/dbraw/zinc/24/75/83/708247583.db2.gz ONLMPDJCPWZESQ-XJNFMUPTSA-N 1 2 314.385 1.278 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)c1cccc(F)c1F ZINC000884329968 708247919 /nfs/dbraw/zinc/24/79/19/708247919.db2.gz ZSIULKDOPXOOQG-CABZTGNLSA-N 1 2 312.316 1.589 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@H]1c1ccc(C)cc1 ZINC000884374717 708269174 /nfs/dbraw/zinc/26/91/74/708269174.db2.gz AAHFNKJIZPEWKG-SOUVJXGZSA-N 1 2 302.374 1.414 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@]2(CC=CCC2)C1 ZINC000884377426 708270168 /nfs/dbraw/zinc/27/01/68/708270168.db2.gz JXPDJSMBWKOHNX-YOEHRIQHSA-N 1 2 306.406 1.782 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000884411370 708285873 /nfs/dbraw/zinc/28/58/73/708285873.db2.gz LITRPNTZBRIGHT-ILXRZTDVSA-N 1 2 324.421 1.241 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H](C2CCC2)CC1 ZINC000884465853 708308052 /nfs/dbraw/zinc/30/80/52/708308052.db2.gz KZSGXHDBRPYCKZ-CABCVRRESA-N 1 2 308.422 1.862 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@H]1CCC[N@@H+](CCF)C1 ZINC000898499644 708660033 /nfs/dbraw/zinc/66/00/33/708660033.db2.gz QQMNPHVVCNSJKM-ZDUSSCGKSA-N 1 2 307.369 1.810 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@H]1CCC[N@H+](CCF)C1 ZINC000898499644 708660036 /nfs/dbraw/zinc/66/00/36/708660036.db2.gz QQMNPHVVCNSJKM-ZDUSSCGKSA-N 1 2 307.369 1.810 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)cc1C#N ZINC000899062099 708970253 /nfs/dbraw/zinc/97/02/53/708970253.db2.gz STSGXWKRZSGOJB-UHFFFAOYSA-N 1 2 315.373 1.045 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)cc1C#N ZINC000899062099 708970254 /nfs/dbraw/zinc/97/02/54/708970254.db2.gz STSGXWKRZSGOJB-UHFFFAOYSA-N 1 2 315.373 1.045 20 30 DDEDLO Cc1ccc(C(=O)/C=C\C(=O)N2CC[NH+](C[C@H](C)O)CC2)cc1 ZINC000900600246 709671020 /nfs/dbraw/zinc/67/10/20/709671020.db2.gz BIZPKVIESMNIHK-LZNQSLFOSA-N 1 2 316.401 1.259 20 30 DDEDLO C#C[C@@H]1CCCCN1C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000890650117 709939409 /nfs/dbraw/zinc/93/94/09/709939409.db2.gz KGUFNWATQGAWKT-MRXNPFEDSA-N 1 2 312.417 1.218 20 30 DDEDLO Cc1cc(F)c(C#N)c(N2CC[NH+](C(C)(C)C(N)=O)CC2)c1 ZINC000892847598 710511824 /nfs/dbraw/zinc/51/18/24/710511824.db2.gz PLLGVANPODIXGI-UHFFFAOYSA-N 1 2 304.369 1.392 20 30 DDEDLO N#Cc1ccccc1N1CCC[N@@H+](CN2CCOC2=O)CC1 ZINC000902078450 710607026 /nfs/dbraw/zinc/60/70/26/710607026.db2.gz LVYTWOISGGSSBH-UHFFFAOYSA-N 1 2 300.362 1.480 20 30 DDEDLO N#Cc1ccccc1N1CCC[N@H+](CN2CCOC2=O)CC1 ZINC000902078450 710607030 /nfs/dbraw/zinc/60/70/30/710607030.db2.gz LVYTWOISGGSSBH-UHFFFAOYSA-N 1 2 300.362 1.480 20 30 DDEDLO NC(=NOCCOC1CC1)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000902225446 710670477 /nfs/dbraw/zinc/67/04/77/710670477.db2.gz FRQAVOYJXSXXAR-UHFFFAOYSA-N 1 2 319.405 1.335 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H](C#N)[C@H]([NH2+]Cc2cc[nH]c(=O)c2)C1 ZINC000893576652 710719875 /nfs/dbraw/zinc/71/98/75/710719875.db2.gz RWXFSDPTAQEPBW-QWHCGFSZSA-N 1 2 318.377 1.636 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1cn(C)nn1 ZINC000902945900 710969874 /nfs/dbraw/zinc/96/98/74/710969874.db2.gz XDJGVWSALHQJIQ-SWLSCSKDSA-N 1 2 324.388 1.533 20 30 DDEDLO C=CCCOCCCCC(=O)N1CC[NH2+][C@@H](c2cnn(C)c2)C1 ZINC000913464316 713227682 /nfs/dbraw/zinc/22/76/82/713227682.db2.gz QLKCBVYLKYOPLI-MRXNPFEDSA-N 1 2 320.437 1.656 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[NH2+][C@H](c2cnn(C)c2)C1)OCC ZINC000913464366 713227730 /nfs/dbraw/zinc/22/77/30/713227730.db2.gz RSAHLGOTLFFUAP-GJZGRUSLSA-N 1 2 306.410 1.264 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(C3CC3)n(CC)n2)CC1 ZINC000906484433 712337125 /nfs/dbraw/zinc/33/71/25/712337125.db2.gz YCDWRVGBDPIYAY-UHFFFAOYSA-N 1 2 300.406 1.562 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C#C)cc2)C1 ZINC000907396798 712560291 /nfs/dbraw/zinc/56/02/91/712560291.db2.gz MCSHSTUAJRAOFO-OAHLLOKOSA-N 1 2 302.399 1.044 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C#C)cc2)C1 ZINC000907396798 712560293 /nfs/dbraw/zinc/56/02/93/712560293.db2.gz MCSHSTUAJRAOFO-OAHLLOKOSA-N 1 2 302.399 1.044 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)Cc1csc(C#N)c1 ZINC000914319740 713377831 /nfs/dbraw/zinc/37/78/31/713377831.db2.gz BIUZKHCJJZIDFR-WDEREUQCSA-N 1 2 313.448 1.474 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)Cc1csc(C#N)c1 ZINC000914319740 713377832 /nfs/dbraw/zinc/37/78/32/713377832.db2.gz BIUZKHCJJZIDFR-WDEREUQCSA-N 1 2 313.448 1.474 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)Cc2csc(C#N)c2)CC[N@H+]1C ZINC000914319738 713377855 /nfs/dbraw/zinc/37/78/55/713377855.db2.gz BIUZKHCJJZIDFR-MNOVXSKESA-N 1 2 313.448 1.474 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)Cc2csc(C#N)c2)CC[N@@H+]1C ZINC000914319738 713377856 /nfs/dbraw/zinc/37/78/56/713377856.db2.gz BIUZKHCJJZIDFR-MNOVXSKESA-N 1 2 313.448 1.474 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+][C@@H](CO)[C@@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000916576810 713458771 /nfs/dbraw/zinc/45/87/71/713458771.db2.gz CAYOJPZYVCEKAV-UGFHNGPFSA-N 1 2 318.333 1.022 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3ncccc3C#N)CC2)c(C)nn1 ZINC000929150330 713557408 /nfs/dbraw/zinc/55/74/08/713557408.db2.gz KPCPAVHRYFWWOF-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)CCCc2ccccc2)C1 ZINC000919875395 713640095 /nfs/dbraw/zinc/64/00/95/713640095.db2.gz SWMBXNBYZTWIOX-QGZVFWFLSA-N 1 2 320.458 1.636 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)CCCc2ccccc2)C1 ZINC000919875395 713640097 /nfs/dbraw/zinc/64/00/97/713640097.db2.gz SWMBXNBYZTWIOX-QGZVFWFLSA-N 1 2 320.458 1.636 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+](CCOC)CC(=O)OC)cc1 ZINC000929637472 713658144 /nfs/dbraw/zinc/65/81/44/713658144.db2.gz IZRHMLUPHYFVLE-UHFFFAOYSA-N 1 2 318.373 1.118 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+](CCOC)CC(=O)OC)cc1 ZINC000929637472 713658146 /nfs/dbraw/zinc/65/81/46/713658146.db2.gz IZRHMLUPHYFVLE-UHFFFAOYSA-N 1 2 318.373 1.118 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2sccc2OC)CC1 ZINC000921438371 713771462 /nfs/dbraw/zinc/77/14/62/713771462.db2.gz ZKQJZSDFWIWWSC-UHFFFAOYSA-N 1 2 314.432 1.133 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2ccc(OC)cc2OC)CC1 ZINC000931145232 714015265 /nfs/dbraw/zinc/01/52/65/714015265.db2.gz PUQYLYRYGTVYLA-UHFFFAOYSA-N 1 2 318.373 1.102 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Nc1sccc1C#N ZINC000931839705 714194654 /nfs/dbraw/zinc/19/46/54/714194654.db2.gz UUOJEKPBLWVQFU-AAEUAGOBSA-N 1 2 320.418 1.947 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccc(C#N)cn1 ZINC000932110914 714261820 /nfs/dbraw/zinc/26/18/20/714261820.db2.gz UDKAFEVZULMTRR-LBPRGKRZSA-N 1 2 303.366 1.138 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2sc(COC)nc2C)C1 ZINC000923567566 714408888 /nfs/dbraw/zinc/40/88/88/714408888.db2.gz USFWWUMRRZQBDT-GFCCVEGCSA-N 1 2 307.419 1.425 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc(COC)nc2C)C1 ZINC000923567566 714408891 /nfs/dbraw/zinc/40/88/91/714408891.db2.gz USFWWUMRRZQBDT-GFCCVEGCSA-N 1 2 307.419 1.425 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932912296 714436129 /nfs/dbraw/zinc/43/61/29/714436129.db2.gz RFHVHEQLHKJWLY-JTQLQIEISA-N 1 2 303.288 1.759 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]2C2OCCO2)c([N+](=O)[O-])c1 ZINC000933902884 714697224 /nfs/dbraw/zinc/69/72/24/714697224.db2.gz OSEILKAHRIYDGB-ZDUSSCGKSA-N 1 2 303.318 1.804 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]2C2OCCO2)c([N+](=O)[O-])c1 ZINC000933902884 714697227 /nfs/dbraw/zinc/69/72/27/714697227.db2.gz OSEILKAHRIYDGB-ZDUSSCGKSA-N 1 2 303.318 1.804 20 30 DDEDLO C=CC[C@H]1CC[N@H+]1CC(=O)Nc1ccccc1SCC(N)=O ZINC000934473176 714828595 /nfs/dbraw/zinc/82/85/95/714828595.db2.gz UREQQMHWKYCQIL-LBPRGKRZSA-N 1 2 319.430 1.853 20 30 DDEDLO C=CC[C@H]1CC[N@@H+]1CC(=O)Nc1ccccc1SCC(N)=O ZINC000934473176 714828596 /nfs/dbraw/zinc/82/85/96/714828596.db2.gz UREQQMHWKYCQIL-LBPRGKRZSA-N 1 2 319.430 1.853 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@](C)(C#N)C1 ZINC000925593689 714895063 /nfs/dbraw/zinc/89/50/63/714895063.db2.gz IAPOISIZXBWZQS-GOEBONIOSA-N 1 2 308.426 1.432 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC000954663580 715460493 /nfs/dbraw/zinc/46/04/93/715460493.db2.gz XAEYWXKVLZIXBT-INIZCTEOSA-N 1 2 304.394 1.155 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn(CC3CC3)c2)C1 ZINC000957106317 715749912 /nfs/dbraw/zinc/74/99/12/715749912.db2.gz YJGFLAIZGBJTOY-UHFFFAOYSA-N 1 2 300.406 1.463 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2n[nH]c(=O)c3ccccc32)C1 ZINC000957310067 715827390 /nfs/dbraw/zinc/82/73/90/715827390.db2.gz COKSUJDEUVDQNN-UHFFFAOYSA-N 1 2 324.384 1.505 20 30 DDEDLO C=C(C)CC[NH+]1CCN(C(=O)[C@@H]2CCc3[nH]nnc3C2)CC1 ZINC000957319283 715832627 /nfs/dbraw/zinc/83/26/27/715832627.db2.gz GGSPHFULKSWJCQ-CYBMUJFWSA-N 1 2 303.410 1.020 20 30 DDEDLO C=C(C)CC[NH+]1CCN(C(=O)[C@@H]2CCc3nn[nH]c3C2)CC1 ZINC000957319283 715832634 /nfs/dbraw/zinc/83/26/34/715832634.db2.gz GGSPHFULKSWJCQ-CYBMUJFWSA-N 1 2 303.410 1.020 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCCN(C(=O)CCC)C2)C1 ZINC000957421017 715894654 /nfs/dbraw/zinc/89/46/54/715894654.db2.gz YPRIRIIMOZVLLH-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2ccccc2OC)CC1 ZINC000957568171 715954631 /nfs/dbraw/zinc/95/46/31/715954631.db2.gz LDIJYRMLGSGLHS-UHFFFAOYSA-N 1 2 300.402 1.795 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCC2CCN(C(C)=O)CC2)CC1 ZINC000957730795 716020520 /nfs/dbraw/zinc/02/05/20/716020520.db2.gz QRCOJIJHLJXGFO-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC000960279186 716478390 /nfs/dbraw/zinc/47/83/90/716478390.db2.gz IGTQFXFMZOFMFU-HALDLXJZSA-N 1 2 323.400 1.324 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC000960279186 716478395 /nfs/dbraw/zinc/47/83/95/716478395.db2.gz IGTQFXFMZOFMFU-HALDLXJZSA-N 1 2 323.400 1.324 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCN(C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC000941073563 717095590 /nfs/dbraw/zinc/09/55/90/717095590.db2.gz JLGXZFAVBHXHDE-KBPBESRZSA-N 1 2 317.393 1.055 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)CC3CCCC3)CC2)C1 ZINC000941288694 717147355 /nfs/dbraw/zinc/14/73/55/717147355.db2.gz BGKKHJTZGZJAFS-UHFFFAOYSA-N 1 2 303.450 1.418 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc[nH]c3C(C)C)CC2)C1 ZINC000941528409 717195944 /nfs/dbraw/zinc/19/59/44/717195944.db2.gz XOELBZYCPYFNJT-UHFFFAOYSA-N 1 2 314.433 1.213 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@]3(C)CC=C(C)CC3)CC2)C1 ZINC000941670390 717249366 /nfs/dbraw/zinc/24/93/66/717249366.db2.gz QICLMJHOEDLEJC-LJQANCHMSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCCCC3(C)C)CC2)C1 ZINC000941679267 717253234 /nfs/dbraw/zinc/25/32/34/717253234.db2.gz XQZUJYGKUWWORR-KRWDZBQOSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC000941679620 717253252 /nfs/dbraw/zinc/25/32/52/717253252.db2.gz SSKQNJQDPDIATC-CYBMUJFWSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)C2CCOCC2)C1 ZINC000964628950 717337350 /nfs/dbraw/zinc/33/73/50/717337350.db2.gz VTGWIDVAZPPZEF-BXUZGUMPSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)C2CCOCC2)C1 ZINC000964628950 717337353 /nfs/dbraw/zinc/33/73/53/717337353.db2.gz VTGWIDVAZPPZEF-BXUZGUMPSA-N 1 2 300.830 1.992 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ncccn2)CC[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941963058 717409461 /nfs/dbraw/zinc/40/94/61/717409461.db2.gz IYWNORLCMFRLRN-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ncccn2)CC[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941963058 717409466 /nfs/dbraw/zinc/40/94/66/717409466.db2.gz IYWNORLCMFRLRN-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cncnc2C)C1 ZINC000965352830 717628486 /nfs/dbraw/zinc/62/84/86/717628486.db2.gz XJBGENJBRUDTLO-YGRLFVJLSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cncnc2C)C1 ZINC000965352830 717628491 /nfs/dbraw/zinc/62/84/91/717628491.db2.gz XJBGENJBRUDTLO-YGRLFVJLSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cn(C)nc2C)C1 ZINC000965596826 717705082 /nfs/dbraw/zinc/70/50/82/717705082.db2.gz MIAMHONCQKWWHU-QMTHXVAHSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cn(C)nc2C)C1 ZINC000965596826 717705087 /nfs/dbraw/zinc/70/50/87/717705087.db2.gz MIAMHONCQKWWHU-QMTHXVAHSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3ncnn3C)C[C@H]2C)C1 ZINC000942602848 717772478 /nfs/dbraw/zinc/77/24/78/717772478.db2.gz OGDLJCMYOIDAQJ-KGLIPLIRSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3ncnn3C)C[C@H]2C)C1 ZINC000942602848 717772483 /nfs/dbraw/zinc/77/24/83/717772483.db2.gz OGDLJCMYOIDAQJ-KGLIPLIRSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3nnc(C)[nH]3)C[C@@H]2C)C1 ZINC000942608599 717775766 /nfs/dbraw/zinc/77/57/66/717775766.db2.gz XNFZLYNBFJALCO-GXTWGEPZSA-N 1 2 317.437 1.796 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3nnc(C)[nH]3)C[C@@H]2C)C1 ZINC000942608599 717775771 /nfs/dbraw/zinc/77/57/71/717775771.db2.gz XNFZLYNBFJALCO-GXTWGEPZSA-N 1 2 317.437 1.796 20 30 DDEDLO CN(C(=O)[C@@H]1CCc2[nH+]ccn2C1)C1CCC(NCC#N)CC1 ZINC000963580483 717836107 /nfs/dbraw/zinc/83/61/07/717836107.db2.gz BDSHYAFLALNCTN-WLYUNCDWSA-N 1 2 315.421 1.328 20 30 DDEDLO CN(C(=O)[C@H]1CCn2c[nH+]cc2C1)C1CCC(NCC#N)CC1 ZINC000963582593 717836863 /nfs/dbraw/zinc/83/68/63/717836863.db2.gz PCPOOBJZWFNPQA-NFOMZHRRSA-N 1 2 315.421 1.328 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876136 718690133 /nfs/dbraw/zinc/69/01/33/718690133.db2.gz VSLFBYUZMIOSEU-WCQYABFASA-N 1 2 318.446 1.879 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876136 718690134 /nfs/dbraw/zinc/69/01/34/718690134.db2.gz VSLFBYUZMIOSEU-WCQYABFASA-N 1 2 318.446 1.879 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C[C@@H]1C ZINC000948025941 719336505 /nfs/dbraw/zinc/33/65/05/719336505.db2.gz PDDRBZJOBKRJIO-GXTWGEPZSA-N 1 2 311.389 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C[C@@H]1C ZINC000948025941 719336507 /nfs/dbraw/zinc/33/65/07/719336507.db2.gz PDDRBZJOBKRJIO-GXTWGEPZSA-N 1 2 311.389 1.564 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cn(CC)nn2)C1 ZINC000968481177 719610945 /nfs/dbraw/zinc/61/09/45/719610945.db2.gz ZBIGBOQDVAWVDB-JQWIXIFHSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cn(CC)nn2)C1 ZINC000968481177 719610949 /nfs/dbraw/zinc/61/09/49/719610949.db2.gz ZBIGBOQDVAWVDB-JQWIXIFHSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccc3c2NC(=O)CO3)CC1 ZINC000948798173 719715211 /nfs/dbraw/zinc/71/52/11/719715211.db2.gz MPWMPQSUCSZSHI-UHFFFAOYSA-N 1 2 315.373 1.351 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968695503 719741633 /nfs/dbraw/zinc/74/16/33/719741633.db2.gz QLZOKWNVRQMMOU-OLZOCXBDSA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968695503 719741636 /nfs/dbraw/zinc/74/16/36/719741636.db2.gz QLZOKWNVRQMMOU-OLZOCXBDSA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cncnc1 ZINC000948910246 719780934 /nfs/dbraw/zinc/78/09/34/719780934.db2.gz MQPPASDVONERSY-SFHVURJKSA-N 1 2 320.396 1.829 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cncnc1 ZINC000948910246 719780938 /nfs/dbraw/zinc/78/09/38/719780938.db2.gz MQPPASDVONERSY-SFHVURJKSA-N 1 2 320.396 1.829 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCn2cnc3ccccc32)CC1 ZINC000949173422 719941298 /nfs/dbraw/zinc/94/12/98/719941298.db2.gz HMTNHKCPEMNQTA-UHFFFAOYSA-N 1 2 310.401 1.594 20 30 DDEDLO C[C@H](NC(=O)c1ccon1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969352544 720077954 /nfs/dbraw/zinc/07/79/54/720077954.db2.gz UZKYJHNGDDRUNF-LBPRGKRZSA-N 1 2 310.357 1.797 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnc3onc(C)c3c2)CC1 ZINC000949577371 720181653 /nfs/dbraw/zinc/18/16/53/720181653.db2.gz DYTPQGZWCCRICU-UHFFFAOYSA-N 1 2 300.362 1.865 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc(OC)ncn2)C1 ZINC000969681391 720248264 /nfs/dbraw/zinc/24/82/64/720248264.db2.gz PXVBGBHRCDAJEJ-JTQLQIEISA-N 1 2 310.785 1.288 20 30 DDEDLO CC#CCN1CC([C@@H](C)NC(=O)c2sccc2C[NH+](C)C)C1 ZINC000969783692 720291762 /nfs/dbraw/zinc/29/17/62/720291762.db2.gz YDOYTNGZVRJTPS-CYBMUJFWSA-N 1 2 319.474 1.883 20 30 DDEDLO CC#CCN1CC([C@H](C)NC(=O)c2sccc2C[NH+](C)C)C1 ZINC000969783694 720291926 /nfs/dbraw/zinc/29/19/26/720291926.db2.gz YDOYTNGZVRJTPS-ZDUSSCGKSA-N 1 2 319.474 1.883 20 30 DDEDLO C#CCC[NH+]1CC([C@@H](C)NC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC000969818523 720306919 /nfs/dbraw/zinc/30/69/19/720306919.db2.gz OURHLVBUEGTFJA-CYBMUJFWSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(C)CC[NH+]1CCN(C(=O)[C@@H]2CN(C(C)C)CCO2)CC1 ZINC000949840445 720376108 /nfs/dbraw/zinc/37/61/08/720376108.db2.gz DLRMUFJYIIFIDQ-INIZCTEOSA-N 1 2 309.454 1.206 20 30 DDEDLO CCN(C(=O)c1ccc(C#N)[nH]1)C1C[NH+](C[C@H]2CC[C@H](C)O2)C1 ZINC000949913087 720414035 /nfs/dbraw/zinc/41/40/35/720414035.db2.gz PGMOIVNNYSKAIG-SWLSCSKDSA-N 1 2 316.405 1.600 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+](Cc2ccn(C)c(=O)c2)CC1 ZINC000950032760 720460415 /nfs/dbraw/zinc/46/04/15/720460415.db2.gz AMXZNFDDGWLEHQ-GOSISDBHSA-N 1 2 317.433 1.632 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccnn2CC(F)F)C1 ZINC000950094520 720487834 /nfs/dbraw/zinc/48/78/34/720487834.db2.gz ULVBBXWIHJJTCK-UHFFFAOYSA-N 1 2 312.364 1.871 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C)n(C(F)F)n2)C1 ZINC000950429482 720629150 /nfs/dbraw/zinc/62/91/50/720629150.db2.gz NRWFVZXCTPCMAE-UHFFFAOYSA-N 1 2 310.348 1.756 20 30 DDEDLO C#CCC[NH+]1CC([C@@H](C)NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000970262699 720682486 /nfs/dbraw/zinc/68/24/86/720682486.db2.gz UCJROQWJJLSKDU-CYBMUJFWSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccn(CC(F)F)n2)C1 ZINC000950573393 720690045 /nfs/dbraw/zinc/69/00/45/720690045.db2.gz ULEHLYZUMFKAJM-UHFFFAOYSA-N 1 2 310.348 1.318 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3n2CCCCC3)C1 ZINC000950612825 720704522 /nfs/dbraw/zinc/70/45/22/720704522.db2.gz ZEEZXNYFBQCJDU-UHFFFAOYSA-N 1 2 314.433 1.779 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC000970338765 720719045 /nfs/dbraw/zinc/71/90/45/720719045.db2.gz OZBQOWATJQJYKY-PWSUYJOCSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)CN1CC(N(CC)C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC000950658422 720723570 /nfs/dbraw/zinc/72/35/70/720723570.db2.gz LRBUSSUQRMCBFL-CYBMUJFWSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3cncn3C2)C1 ZINC000950662719 720725247 /nfs/dbraw/zinc/72/52/47/720725247.db2.gz MSGQKNLCMKYABZ-CQSZACIVSA-N 1 2 302.422 1.554 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H](C)C2C[NH+](Cc3nocc3C)C2)c1 ZINC000970400574 720747172 /nfs/dbraw/zinc/74/71/72/720747172.db2.gz BYTAFNDPXOQQHW-ZDUSSCGKSA-N 1 2 324.384 1.610 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC000970577514 720824491 /nfs/dbraw/zinc/82/44/91/720824491.db2.gz WPMWCVQMTLMUBO-MRXNPFEDSA-N 1 2 324.384 1.293 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@@H]2Cc3cc(F)ccc3O2)CC1 ZINC000951107871 720920021 /nfs/dbraw/zinc/92/00/21/720920021.db2.gz HWCFFYNYDXIQDS-INIZCTEOSA-N 1 2 304.365 1.850 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC000970932752 720995232 /nfs/dbraw/zinc/99/52/32/720995232.db2.gz XIRRZDVZCNLSQY-RYUDHWBXSA-N 1 2 313.829 1.044 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000951543180 721064641 /nfs/dbraw/zinc/06/46/41/721064641.db2.gz NJDBIVMXMFTNOR-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)nn1C ZINC000971507862 721329032 /nfs/dbraw/zinc/32/90/32/721329032.db2.gz LGWHMRNFLHVVLU-MRXNPFEDSA-N 1 2 300.406 1.175 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)nn1C ZINC000971507862 721329037 /nfs/dbraw/zinc/32/90/37/721329037.db2.gz LGWHMRNFLHVVLU-MRXNPFEDSA-N 1 2 300.406 1.175 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2nc(C)ncc2Cl)C1 ZINC000952409046 721439814 /nfs/dbraw/zinc/43/98/14/721439814.db2.gz CVTLURLWVXRRJO-UHFFFAOYSA-N 1 2 306.797 1.608 20 30 DDEDLO C=CCCn1cc(C(=O)N(CC)C2C[NH+]([C@@H](C)COC)C2)nn1 ZINC000952441996 721449422 /nfs/dbraw/zinc/44/94/22/721449422.db2.gz TWMTWAUOGJXRAC-ZDUSSCGKSA-N 1 2 321.425 1.035 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(N(C)C)cc1F ZINC001038346909 737085968 /nfs/dbraw/zinc/08/59/68/737085968.db2.gz SOUYZCNELMYRRI-CQSZACIVSA-N 1 2 303.381 1.719 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(N(C)C)cc1F ZINC001038346909 737085974 /nfs/dbraw/zinc/08/59/74/737085974.db2.gz SOUYZCNELMYRRI-CQSZACIVSA-N 1 2 303.381 1.719 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3CCC[C@@H](C)C3)C2)nn1 ZINC001105314536 737819453 /nfs/dbraw/zinc/81/94/53/737819453.db2.gz VGGPWJSSOPFGPD-ZIAGYGMSSA-N 1 2 315.421 1.211 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](C)CNC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001104225920 732490912 /nfs/dbraw/zinc/49/09/12/732490912.db2.gz FXLFRVZBUXKADV-NSHDSACASA-N 1 2 312.377 1.392 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125314627 732698343 /nfs/dbraw/zinc/69/83/43/732698343.db2.gz BTYITOYAWLQJNL-CQSZACIVSA-N 1 2 320.437 1.643 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3nc(CC)oc3C)[C@H]2C1 ZINC001083221455 734385643 /nfs/dbraw/zinc/38/56/43/734385643.db2.gz COYIOFYYNOVRMY-UONOGXRCSA-N 1 2 317.389 1.094 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3nc(CC)oc3C)[C@H]2C1 ZINC001083221455 734385645 /nfs/dbraw/zinc/38/56/45/734385645.db2.gz COYIOFYYNOVRMY-UONOGXRCSA-N 1 2 317.389 1.094 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C[C@H]1CCNCC#N ZINC001104366993 734386393 /nfs/dbraw/zinc/38/63/93/734386393.db2.gz CMRWMVHMUOUIQC-RBSFLKMASA-N 1 2 315.421 1.043 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001027920053 738809741 /nfs/dbraw/zinc/80/97/41/738809741.db2.gz FOQXGJOVZGWQOR-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001027920053 738809746 /nfs/dbraw/zinc/80/97/46/738809746.db2.gz FOQXGJOVZGWQOR-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@@H]([NH2+][C@H](C)c3noc(C)n3)C2)cc1 ZINC001022527127 734583610 /nfs/dbraw/zinc/58/36/10/734583610.db2.gz RCHPTLUVURPJGE-LYRGGWFBSA-N 1 2 324.384 1.971 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3sccc3OC)[C@H]2C1 ZINC001083254770 734914937 /nfs/dbraw/zinc/91/49/37/734914937.db2.gz LGTPGRAWRPWBQH-GXTWGEPZSA-N 1 2 320.414 1.305 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3sccc3OC)[C@H]2C1 ZINC001083254770 734914939 /nfs/dbraw/zinc/91/49/39/734914939.db2.gz LGTPGRAWRPWBQH-GXTWGEPZSA-N 1 2 320.414 1.305 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1csc([C@@H]2CCCO2)n1 ZINC001038253385 735017947 /nfs/dbraw/zinc/01/79/47/735017947.db2.gz NQMKCUBMFSAVIP-YPMHNXCESA-N 1 2 305.403 1.432 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1csc([C@@H]2CCCO2)n1 ZINC001038253385 735017950 /nfs/dbraw/zinc/01/79/50/735017950.db2.gz NQMKCUBMFSAVIP-YPMHNXCESA-N 1 2 305.403 1.432 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)c3ccsc3)n2C)CC1 ZINC001121335819 782462073 /nfs/dbraw/zinc/46/20/73/782462073.db2.gz SSCLIHSCBJYJQM-ZDUSSCGKSA-N 1 2 315.446 1.784 20 30 DDEDLO C=C(Cl)CN1CCO[C@]2(CCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC000972574039 735263464 /nfs/dbraw/zinc/26/34/64/735263464.db2.gz WPEPWOGKUIMEKT-OAHLLOKOSA-N 1 2 324.812 1.008 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@H]1[C@H]2CCCN(CC#N)[C@H]2C1(C)C ZINC001087313655 736054374 /nfs/dbraw/zinc/05/43/74/736054374.db2.gz YMLBDSHZYGJCQC-FVQBIDKESA-N 1 2 315.421 1.091 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCCC[C@@H]1C[NH2+]Cc1nnn(C)n1 ZINC001025069777 736277068 /nfs/dbraw/zinc/27/70/68/736277068.db2.gz OORVIBOXYZHDFF-CJNGLKHVSA-N 1 2 320.441 1.283 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001111993979 736391883 /nfs/dbraw/zinc/39/18/83/736391883.db2.gz TVAIYRMRNZLFOJ-OAHLLOKOSA-N 1 2 318.421 1.853 20 30 DDEDLO C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC001007688581 736713535 /nfs/dbraw/zinc/71/35/35/736713535.db2.gz SYFAFWFYLUQHST-ZIAGYGMSSA-N 1 2 322.840 1.779 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2cnn(C)c2C)C1 ZINC001107974090 751379044 /nfs/dbraw/zinc/37/90/44/751379044.db2.gz JRDDHWUMSURVMY-QGZVFWFLSA-N 1 2 320.437 1.054 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2cnn(C)c2C)C1 ZINC001107974090 751379049 /nfs/dbraw/zinc/37/90/49/751379049.db2.gz JRDDHWUMSURVMY-QGZVFWFLSA-N 1 2 320.437 1.054 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCCC(C)(C)C3)[C@H]2C1 ZINC001083287104 737019824 /nfs/dbraw/zinc/01/98/24/737019824.db2.gz RGYJTJMXDGTAOW-HRCADAONSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCCC(C)(C)C3)[C@H]2C1 ZINC001083287104 737019827 /nfs/dbraw/zinc/01/98/27/737019827.db2.gz RGYJTJMXDGTAOW-HRCADAONSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(COC)CCCCC2)[C@H](OC)C1 ZINC001212381981 751385813 /nfs/dbraw/zinc/38/58/13/751385813.db2.gz SUEYVRKODQWRIC-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(COC)CCCCC2)[C@H](OC)C1 ZINC001212381981 751385820 /nfs/dbraw/zinc/38/58/20/751385820.db2.gz SUEYVRKODQWRIC-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO N#CCN[C@@H]1CC[C@@H]2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@@H]12 ZINC001026296247 737314030 /nfs/dbraw/zinc/31/40/30/737314030.db2.gz VDVCSNBLJGKEEO-UXIGCNINSA-N 1 2 309.373 1.527 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2ccc(OC)cn2)C1 ZINC001008301809 737500236 /nfs/dbraw/zinc/50/02/36/737500236.db2.gz GBVQVEZVDNSSDV-CQSZACIVSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2ccc(OC)cn2)C1 ZINC001008301809 737500240 /nfs/dbraw/zinc/50/02/40/737500240.db2.gz GBVQVEZVDNSSDV-CQSZACIVSA-N 1 2 323.824 1.966 20 30 DDEDLO N#CCNC1CCC(NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CC1 ZINC001026591777 737682836 /nfs/dbraw/zinc/68/28/36/737682836.db2.gz VYLOIOJZYXLQCI-UHFFFAOYSA-N 1 2 324.388 1.421 20 30 DDEDLO CC(C)[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001105294318 737744066 /nfs/dbraw/zinc/74/40/66/737744066.db2.gz RMQNJVUXWIOELH-CQSZACIVSA-N 1 2 312.377 1.472 20 30 DDEDLO CCc1cnc(C[N@H+](C)[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)o1 ZINC001027336074 738208425 /nfs/dbraw/zinc/20/84/25/738208425.db2.gz MXWRWWUVHWAVOF-OLZOCXBDSA-N 1 2 304.394 1.819 20 30 DDEDLO CCc1cnc(C[N@@H+](C)[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)o1 ZINC001027336074 738208427 /nfs/dbraw/zinc/20/84/27/738208427.db2.gz MXWRWWUVHWAVOF-OLZOCXBDSA-N 1 2 304.394 1.819 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)[nH]1 ZINC001027407073 738267178 /nfs/dbraw/zinc/26/71/78/738267178.db2.gz ZQFLITVNDVSIKX-IUODEOHRSA-N 1 2 315.421 1.510 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)[nH]1 ZINC001027407073 738267180 /nfs/dbraw/zinc/26/71/80/738267180.db2.gz ZQFLITVNDVSIKX-IUODEOHRSA-N 1 2 315.421 1.510 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1cscn1 ZINC001028147168 739092886 /nfs/dbraw/zinc/09/28/86/739092886.db2.gz PQCBMBPHGRXDJF-KFWWJZLASA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1cscn1 ZINC001028147168 739092889 /nfs/dbraw/zinc/09/28/89/739092889.db2.gz PQCBMBPHGRXDJF-KFWWJZLASA-N 1 2 321.446 1.815 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cn(C(C)C)nn1 ZINC001028230106 739200819 /nfs/dbraw/zinc/20/08/19/739200819.db2.gz TXFOJXHXVGBQJY-LBPRGKRZSA-N 1 2 311.817 1.806 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cn(C(C)C)nn1 ZINC001028230106 739200820 /nfs/dbraw/zinc/20/08/20/739200820.db2.gz TXFOJXHXVGBQJY-LBPRGKRZSA-N 1 2 311.817 1.806 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001035365436 751430101 /nfs/dbraw/zinc/43/01/01/751430101.db2.gz JOIQXAYQTOVDAP-OAHLLOKOSA-N 1 2 304.394 1.225 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001035365436 751430103 /nfs/dbraw/zinc/43/01/03/751430103.db2.gz JOIQXAYQTOVDAP-OAHLLOKOSA-N 1 2 304.394 1.225 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001028329261 739367026 /nfs/dbraw/zinc/36/70/26/739367026.db2.gz JDWSXANNMQRBCY-OCCSQVGLSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001028329261 739367030 /nfs/dbraw/zinc/36/70/30/739367030.db2.gz JDWSXANNMQRBCY-OCCSQVGLSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccn(CC(C)C)n2)C1 ZINC001035386645 751456484 /nfs/dbraw/zinc/45/64/84/751456484.db2.gz LGAITCYXYLFABF-OAHLLOKOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccn(CC(C)C)n2)C1 ZINC001035386645 751456491 /nfs/dbraw/zinc/45/64/91/751456491.db2.gz LGAITCYXYLFABF-OAHLLOKOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn3cc(C)ccc3n2)C1 ZINC001035420967 751479174 /nfs/dbraw/zinc/47/91/74/751479174.db2.gz PUHHIODTSNDRJQ-AWEZNQCLSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn3cc(C)ccc3n2)C1 ZINC001035420967 751479178 /nfs/dbraw/zinc/47/91/78/751479178.db2.gz PUHHIODTSNDRJQ-AWEZNQCLSA-N 1 2 314.389 1.259 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2CCC)C1 ZINC001035422846 751481362 /nfs/dbraw/zinc/48/13/62/751481362.db2.gz FNGXYXRXKIXPFH-CYBMUJFWSA-N 1 2 306.410 1.369 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2CCC)C1 ZINC001035422846 751481367 /nfs/dbraw/zinc/48/13/67/751481367.db2.gz FNGXYXRXKIXPFH-CYBMUJFWSA-N 1 2 306.410 1.369 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@H](Nc2ccncc2C#N)C1)n1cc[nH+]c1 ZINC001059080920 739891005 /nfs/dbraw/zinc/89/10/05/739891005.db2.gz NISNTDCEAWFZIR-ZFWWWQNUSA-N 1 2 324.388 1.236 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+](Cc3cnon3)C2)cc1 ZINC001028732641 739971564 /nfs/dbraw/zinc/97/15/64/739971564.db2.gz LLPDIHDGJMHRLY-CQSZACIVSA-N 1 2 310.357 1.303 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+](Cc3cnon3)C2)cc1 ZINC001028732641 739971565 /nfs/dbraw/zinc/97/15/65/739971565.db2.gz LLPDIHDGJMHRLY-CQSZACIVSA-N 1 2 310.357 1.303 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3ccccc3O2)C1 ZINC001035435755 751513015 /nfs/dbraw/zinc/51/30/15/751513015.db2.gz WAFAFFKXAJEUPD-WBVHZDCISA-N 1 2 316.401 1.383 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3ccccc3O2)C1 ZINC001035435755 751513023 /nfs/dbraw/zinc/51/30/23/751513023.db2.gz WAFAFFKXAJEUPD-WBVHZDCISA-N 1 2 316.401 1.383 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)noc2C2CC2)C1 ZINC001035447936 751525166 /nfs/dbraw/zinc/52/51/66/751525166.db2.gz XWRHMHRAGICCDZ-AWEZNQCLSA-N 1 2 317.389 1.314 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)noc2C2CC2)C1 ZINC001035447936 751525167 /nfs/dbraw/zinc/52/51/67/751525167.db2.gz XWRHMHRAGICCDZ-AWEZNQCLSA-N 1 2 317.389 1.314 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ncc(Cl)s2)C1 ZINC001035447385 751525242 /nfs/dbraw/zinc/52/52/42/751525242.db2.gz HHNOPAVQZLJXRY-SNVBAGLBSA-N 1 2 313.810 1.250 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ncc(Cl)s2)C1 ZINC001035447385 751525244 /nfs/dbraw/zinc/52/52/44/751525244.db2.gz HHNOPAVQZLJXRY-SNVBAGLBSA-N 1 2 313.810 1.250 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001075776234 740429744 /nfs/dbraw/zinc/42/97/44/740429744.db2.gz COPWRDZEESLGTG-UONOGXRCSA-N 1 2 301.415 1.589 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001075776234 740429745 /nfs/dbraw/zinc/42/97/45/740429745.db2.gz COPWRDZEESLGTG-UONOGXRCSA-N 1 2 301.415 1.589 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc3ccsc3[nH]2)C1 ZINC001035470017 751554499 /nfs/dbraw/zinc/55/44/99/751554499.db2.gz NDTNISLOTAFJFE-GFCCVEGCSA-N 1 2 305.403 1.846 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc3ccsc3[nH]2)C1 ZINC001035470017 751554504 /nfs/dbraw/zinc/55/45/04/751554504.db2.gz NDTNISLOTAFJFE-GFCCVEGCSA-N 1 2 305.403 1.846 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)(C)C)nn2C)C1 ZINC001035493331 751575640 /nfs/dbraw/zinc/57/56/40/751575640.db2.gz HUUCSXVILVHJTG-CYBMUJFWSA-N 1 2 320.437 1.334 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)(C)C)nn2C)C1 ZINC001035493331 751575641 /nfs/dbraw/zinc/57/56/41/751575641.db2.gz HUUCSXVILVHJTG-CYBMUJFWSA-N 1 2 320.437 1.334 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@@H]3C2)n1 ZINC001087909860 740848410 /nfs/dbraw/zinc/84/84/10/740848410.db2.gz ZZFBDFGZNVVNLH-XQQFMLRXSA-N 1 2 317.393 1.072 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@@H]3C2)n1 ZINC001087909860 740848413 /nfs/dbraw/zinc/84/84/13/740848413.db2.gz ZZFBDFGZNVVNLH-XQQFMLRXSA-N 1 2 317.393 1.072 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001035541682 751588386 /nfs/dbraw/zinc/58/83/86/751588386.db2.gz JVWPIXUZCNKQJE-BBWFWOEESA-N 1 2 300.402 1.793 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001035541682 751588387 /nfs/dbraw/zinc/58/83/87/751588387.db2.gz JVWPIXUZCNKQJE-BBWFWOEESA-N 1 2 300.402 1.793 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)c(C)c2)C1 ZINC001035546770 751593702 /nfs/dbraw/zinc/59/37/02/751593702.db2.gz XHSJUPKQCYWYEZ-HNNXBMFYSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)c(C)c2)C1 ZINC001035546770 751593705 /nfs/dbraw/zinc/59/37/05/751593705.db2.gz XHSJUPKQCYWYEZ-HNNXBMFYSA-N 1 2 304.390 1.620 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001035518940 751595293 /nfs/dbraw/zinc/59/52/93/751595293.db2.gz RPHGBRLOIBPQHY-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001035518940 751595299 /nfs/dbraw/zinc/59/52/99/751595299.db2.gz RPHGBRLOIBPQHY-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3cnon3)CC[C@@H]2C1 ZINC001088067135 740980508 /nfs/dbraw/zinc/98/05/08/740980508.db2.gz PRJGHQHDQCFVBQ-OLZOCXBDSA-N 1 2 304.394 1.562 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3cnon3)CC[C@@H]2C1 ZINC001088067135 740980512 /nfs/dbraw/zinc/98/05/12/740980512.db2.gz PRJGHQHDQCFVBQ-OLZOCXBDSA-N 1 2 304.394 1.562 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3(CC)CC3)C2)nn1 ZINC001098716225 740987624 /nfs/dbraw/zinc/98/76/24/740987624.db2.gz UWKALDCMHRZZFL-HNNXBMFYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3ccccc3c2)C1 ZINC001035522191 751599720 /nfs/dbraw/zinc/59/97/20/751599720.db2.gz HXHWWGRGMLKUNA-INIZCTEOSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3ccccc3c2)C1 ZINC001035522191 751599725 /nfs/dbraw/zinc/59/97/25/751599725.db2.gz HXHWWGRGMLKUNA-INIZCTEOSA-N 1 2 311.385 1.851 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001059551858 741271344 /nfs/dbraw/zinc/27/13/44/741271344.db2.gz RTMKJFBBGJFDPA-CQSZACIVSA-N 1 2 316.405 1.111 20 30 DDEDLO N#CCN[C@H]1CCCCCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC001088338074 741411198 /nfs/dbraw/zinc/41/11/98/741411198.db2.gz UTMFOYGLYMACBB-GJZGRUSLSA-N 1 2 315.421 1.330 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2snnc2C(C)C)C1 ZINC001035553463 751635923 /nfs/dbraw/zinc/63/59/23/751635923.db2.gz CWWWHKDNHQEHMC-GFCCVEGCSA-N 1 2 324.450 1.668 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2snnc2C(C)C)C1 ZINC001035553463 751635925 /nfs/dbraw/zinc/63/59/25/751635925.db2.gz CWWWHKDNHQEHMC-GFCCVEGCSA-N 1 2 324.450 1.668 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(CCC)c2C)C1 ZINC001035556143 751638007 /nfs/dbraw/zinc/63/80/07/751638007.db2.gz PORYTSNDCMZLBC-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn(CCC)c2C)C1 ZINC001035556143 751638010 /nfs/dbraw/zinc/63/80/10/751638010.db2.gz PORYTSNDCMZLBC-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001059689586 741549283 /nfs/dbraw/zinc/54/92/83/741549283.db2.gz SSYPVQVBDVONCP-BETUJISGSA-N 1 2 310.361 1.287 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2[nH]nc3ccccc32)C1 ZINC001035599297 751656154 /nfs/dbraw/zinc/65/61/54/751656154.db2.gz DXLPAEWMAUPSDS-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2[nH]nc3ccccc32)C1 ZINC001035599297 751656160 /nfs/dbraw/zinc/65/61/60/751656160.db2.gz DXLPAEWMAUPSDS-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cccnc2)c1 ZINC001038011936 751663135 /nfs/dbraw/zinc/66/31/35/751663135.db2.gz VLDVBHSFKFVUQD-QGZVFWFLSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cccnc2)c1 ZINC001038011936 751663137 /nfs/dbraw/zinc/66/31/37/751663137.db2.gz VLDVBHSFKFVUQD-QGZVFWFLSA-N 1 2 306.369 1.958 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3ccccc3n2)C1 ZINC001035583271 751675927 /nfs/dbraw/zinc/67/59/27/751675927.db2.gz HIHOQWSFAHTWJR-HNNXBMFYSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3ccccc3n2)C1 ZINC001035583271 751675934 /nfs/dbraw/zinc/67/59/34/751675934.db2.gz HIHOQWSFAHTWJR-HNNXBMFYSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(N(C)C)cc2)C1 ZINC001035588017 751680718 /nfs/dbraw/zinc/68/07/18/751680718.db2.gz WDVIAZBJFPYOCI-INIZCTEOSA-N 1 2 303.406 1.369 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(N(C)C)cc2)C1 ZINC001035588017 751680719 /nfs/dbraw/zinc/68/07/19/751680719.db2.gz WDVIAZBJFPYOCI-INIZCTEOSA-N 1 2 303.406 1.369 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H](C)CCC)C2)nn1 ZINC001098645459 741990086 /nfs/dbraw/zinc/99/00/86/741990086.db2.gz MVSLTOOGYDJQGW-ZFWWWQNUSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CCCC(=O)NCC[C@@H]1CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001059972596 742087008 /nfs/dbraw/zinc/08/70/08/742087008.db2.gz NIHGMQUZCVELHW-CQSZACIVSA-N 1 2 318.421 1.284 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C(F)=C2CCCC2)[C@H](OC)C1 ZINC001212114901 742188528 /nfs/dbraw/zinc/18/85/28/742188528.db2.gz HHKGPCMOHDYUIU-HUUCEWRRSA-N 1 2 324.396 1.249 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C(F)=C2CCCC2)[C@H](OC)C1 ZINC001212114901 742188531 /nfs/dbraw/zinc/18/85/31/742188531.db2.gz HHKGPCMOHDYUIU-HUUCEWRRSA-N 1 2 324.396 1.249 20 30 DDEDLO Cc1[nH]nc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)c1C ZINC001038120069 742407721 /nfs/dbraw/zinc/40/77/21/742407721.db2.gz YIRGEWWUJXHONA-INIZCTEOSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1[nH]nc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)c1C ZINC001038120069 742407723 /nfs/dbraw/zinc/40/77/23/742407723.db2.gz YIRGEWWUJXHONA-INIZCTEOSA-N 1 2 323.400 1.903 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)sc2Cl)[C@@H](O)C1 ZINC001083524829 742461433 /nfs/dbraw/zinc/46/14/33/742461433.db2.gz MHIHOFXZSRWDNG-BDAKNGLRSA-N 1 2 319.213 1.463 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)sc2Cl)[C@@H](O)C1 ZINC001083524829 742461434 /nfs/dbraw/zinc/46/14/34/742461434.db2.gz MHIHOFXZSRWDNG-BDAKNGLRSA-N 1 2 319.213 1.463 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2oc(C(F)F)cc2C)[C@@H](O)C1 ZINC001083541257 742513481 /nfs/dbraw/zinc/51/34/81/742513481.db2.gz WXRDDSSMNDZBLY-MNOVXSKESA-N 1 2 312.316 1.324 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2oc(C(F)F)cc2C)[C@@H](O)C1 ZINC001083541257 742513484 /nfs/dbraw/zinc/51/34/84/742513484.db2.gz WXRDDSSMNDZBLY-MNOVXSKESA-N 1 2 312.316 1.324 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@]12CCOc1ccccc12 ZINC001038501227 742625286 /nfs/dbraw/zinc/62/52/86/742625286.db2.gz GWFPXMAHUAYDIY-ALKREAHSSA-N 1 2 310.397 1.551 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@]12CCOc1ccccc12 ZINC001038501227 742625288 /nfs/dbraw/zinc/62/52/88/742625288.db2.gz GWFPXMAHUAYDIY-ALKREAHSSA-N 1 2 310.397 1.551 20 30 DDEDLO Cc1nc(C[NH+]2CC3(C2)CCN(C(=O)[C@H](C)C#N)CC3)oc1C ZINC001035674271 751754479 /nfs/dbraw/zinc/75/44/79/751754479.db2.gz TYYWMNDJLMQODT-GFCCVEGCSA-N 1 2 316.405 1.876 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3ccc4c(=O)ccoc4c3)[C@@H]2C1 ZINC001076468876 742743485 /nfs/dbraw/zinc/74/34/85/742743485.db2.gz ISZRQVPKXCPARR-GOEBONIOSA-N 1 2 322.364 1.573 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3ccc4c(=O)ccoc4c3)[C@@H]2C1 ZINC001076468876 742743487 /nfs/dbraw/zinc/74/34/87/742743487.db2.gz ISZRQVPKXCPARR-GOEBONIOSA-N 1 2 322.364 1.573 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2c(F)cc(OC)cc2F)CC1 ZINC001181120178 743072715 /nfs/dbraw/zinc/07/27/15/743072715.db2.gz BIRAYLSIXDHHBD-UHFFFAOYSA-N 1 2 310.344 1.846 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCCCCC1 ZINC001121510515 782541331 /nfs/dbraw/zinc/54/13/31/782541331.db2.gz QABZEWKOTFXOGC-KBPBESRZSA-N 1 2 305.426 1.582 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCCCCC1 ZINC001121510515 782541337 /nfs/dbraw/zinc/54/13/37/782541337.db2.gz QABZEWKOTFXOGC-KBPBESRZSA-N 1 2 305.426 1.582 20 30 DDEDLO C#CCC[NH+]1CC2(C1)CCN(C(=O)C(F)C(F)(F)F)CC2 ZINC001035709290 751795594 /nfs/dbraw/zinc/79/55/94/751795594.db2.gz DBXGFOLLLRDXMG-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[NH+]1CC2(C1)CCN(C(=O)[C@@H](F)C(F)(F)F)CC2 ZINC001035709290 751795600 /nfs/dbraw/zinc/79/56/00/751795600.db2.gz DBXGFOLLLRDXMG-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001061095686 743187469 /nfs/dbraw/zinc/18/74/69/743187469.db2.gz NMABVAZCNPPIQK-LSDHHAIUSA-N 1 2 324.388 1.689 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001061096395 743195762 /nfs/dbraw/zinc/19/57/62/743195762.db2.gz TUMRPEQZLJDBQM-UONOGXRCSA-N 1 2 324.388 1.689 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CSCC#N)CC2)C1 ZINC001105691614 743325071 /nfs/dbraw/zinc/32/50/71/743325071.db2.gz UGWWXFDEFCYEFU-UHFFFAOYSA-N 1 2 309.435 1.121 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)[C@@H](O)C1 ZINC001083570885 743378891 /nfs/dbraw/zinc/37/88/91/743378891.db2.gz UMTRGFICBIQIJS-XQHKEYJVSA-N 1 2 318.339 1.150 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)[C@@H](O)C1 ZINC001083570885 743378898 /nfs/dbraw/zinc/37/88/98/743378898.db2.gz UMTRGFICBIQIJS-XQHKEYJVSA-N 1 2 318.339 1.150 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](C)OCC3CC3)CC2)C1 ZINC001105694973 743484660 /nfs/dbraw/zinc/48/46/60/743484660.db2.gz BVZBNRSQLOMVRN-AWEZNQCLSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC(OC2C[NH+](CC=C)C2)CC1 ZINC001105696113 743496914 /nfs/dbraw/zinc/49/69/14/743496914.db2.gz WKXKNENMRAOUBK-OAHLLOKOSA-N 1 2 322.449 1.845 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@H]3CC3(C)C)C2)nn1 ZINC001185915898 744314246 /nfs/dbraw/zinc/31/42/46/744314246.db2.gz MLGVEYWPLIXYNF-LSDHHAIUSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)/C=C\C3CC3)C2)nn1 ZINC001185915304 744314264 /nfs/dbraw/zinc/31/42/64/744314264.db2.gz BZXHXKRPBUIYKU-XITLMJRVSA-N 1 2 313.405 1.131 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1OC ZINC001212189191 744334965 /nfs/dbraw/zinc/33/49/65/744334965.db2.gz XETCOIQKQWVDLF-HUUCEWRRSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1OC ZINC001212189191 744334966 /nfs/dbraw/zinc/33/49/66/744334966.db2.gz XETCOIQKQWVDLF-HUUCEWRRSA-N 1 2 321.446 1.570 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCC1(Nc2ccncc2C#N)CC1 ZINC001110377052 744354122 /nfs/dbraw/zinc/35/41/22/744354122.db2.gz VADJVRNXGNSFMN-LBPRGKRZSA-N 1 2 324.388 1.038 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCC1(Nc2ccncc2C#N)CC1 ZINC001110377052 744354125 /nfs/dbraw/zinc/35/41/25/744354125.db2.gz VADJVRNXGNSFMN-LBPRGKRZSA-N 1 2 324.388 1.038 20 30 DDEDLO Cc1nonc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)[C@@H]1C ZINC001089076129 744492311 /nfs/dbraw/zinc/49/23/11/744492311.db2.gz KIUBBYNULNOHTL-ZBFHGGJFSA-N 1 2 324.384 1.622 20 30 DDEDLO Cc1nonc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)[C@@H]1C ZINC001089076129 744492316 /nfs/dbraw/zinc/49/23/16/744492316.db2.gz KIUBBYNULNOHTL-ZBFHGGJFSA-N 1 2 324.384 1.622 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3ncc(C)o3)C[C@H]21 ZINC001187320116 744548426 /nfs/dbraw/zinc/54/84/26/744548426.db2.gz JSNZUBDSXSNLPJ-QLFBSQMISA-N 1 2 319.405 1.779 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3ncc(C)o3)C[C@H]21 ZINC001187320116 744548427 /nfs/dbraw/zinc/54/84/27/744548427.db2.gz JSNZUBDSXSNLPJ-QLFBSQMISA-N 1 2 319.405 1.779 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3nocc3C)C[C@H]21 ZINC001187888906 744633508 /nfs/dbraw/zinc/63/35/08/744633508.db2.gz AMFKEACZHIWSCM-OFQRWUPVSA-N 1 2 317.389 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3nocc3C)C[C@H]21 ZINC001187888906 744633510 /nfs/dbraw/zinc/63/35/10/744633510.db2.gz AMFKEACZHIWSCM-OFQRWUPVSA-N 1 2 317.389 1.054 20 30 DDEDLO Cn1cc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)C#CC2CC2)nn1 ZINC001089174905 744663655 /nfs/dbraw/zinc/66/36/55/744663655.db2.gz LNHLDVGLVQJPMV-INIZCTEOSA-N 1 2 315.421 1.041 20 30 DDEDLO Cn1cc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)C#CC2CC2)nn1 ZINC001089174905 744663658 /nfs/dbraw/zinc/66/36/58/744663658.db2.gz LNHLDVGLVQJPMV-INIZCTEOSA-N 1 2 315.421 1.041 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C2CC2)no1 ZINC001110401666 744760498 /nfs/dbraw/zinc/76/04/98/744760498.db2.gz LGPQFPDRVRFJSJ-NODPJGRNSA-N 1 2 314.389 1.933 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C2CC2)no1 ZINC001110401666 744760499 /nfs/dbraw/zinc/76/04/99/744760499.db2.gz LGPQFPDRVRFJSJ-NODPJGRNSA-N 1 2 314.389 1.933 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@H](C)OCC)C1 ZINC001189002641 744808284 /nfs/dbraw/zinc/80/82/84/744808284.db2.gz IYFNUHBIPDJJES-RYUDHWBXSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@H](C)OCC)C1 ZINC001189002641 744808287 /nfs/dbraw/zinc/80/82/87/744808287.db2.gz IYFNUHBIPDJJES-RYUDHWBXSA-N 1 2 319.243 1.853 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nocc2C)C1 ZINC001189250272 744853733 /nfs/dbraw/zinc/85/37/33/744853733.db2.gz GDAOYMSAIPKVNP-GJZGRUSLSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001189250272 744853734 /nfs/dbraw/zinc/85/37/34/744853734.db2.gz GDAOYMSAIPKVNP-GJZGRUSLSA-N 1 2 321.421 1.997 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2C[C@H]2c2c(F)cccc2F)C1 ZINC001030933485 744879503 /nfs/dbraw/zinc/87/95/03/744879503.db2.gz DIULPBKWRBNJCV-CHWSQXEVSA-N 1 2 304.340 1.892 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)cn2)C1 ZINC001189917508 745031018 /nfs/dbraw/zinc/03/10/18/745031018.db2.gz HKZOTXXQDFDHFF-YOEHRIQHSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)cn2)C1 ZINC001189917508 745031021 /nfs/dbraw/zinc/03/10/21/745031021.db2.gz HKZOTXXQDFDHFF-YOEHRIQHSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001189918104 745032318 /nfs/dbraw/zinc/03/23/18/745032318.db2.gz NCSVOKARLREMDP-ZBFHGGJFSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001189918104 745032327 /nfs/dbraw/zinc/03/23/27/745032327.db2.gz NCSVOKARLREMDP-ZBFHGGJFSA-N 1 2 307.394 1.343 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)s2)C1 ZINC001190104510 745101073 /nfs/dbraw/zinc/10/10/73/745101073.db2.gz GDQPRHPKJYQQCO-ZIAGYGMSSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)s2)C1 ZINC001190104510 745101076 /nfs/dbraw/zinc/10/10/76/745101076.db2.gz GDQPRHPKJYQQCO-ZIAGYGMSSA-N 1 2 321.446 1.523 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001190458380 745228250 /nfs/dbraw/zinc/22/82/50/745228250.db2.gz DKIAWKDIKWFPDX-VNHYZAJKSA-N 1 2 321.446 1.767 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001190458380 745228255 /nfs/dbraw/zinc/22/82/55/745228255.db2.gz DKIAWKDIKWFPDX-VNHYZAJKSA-N 1 2 321.446 1.767 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cnn(C)c2)[C@@H]1C ZINC000993110481 745540980 /nfs/dbraw/zinc/54/09/80/745540980.db2.gz TYHKJMFHFSQMBP-JSGCOSHPSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cnn(C)c2)[C@@H]1C ZINC000993110481 745540981 /nfs/dbraw/zinc/54/09/81/745540981.db2.gz TYHKJMFHFSQMBP-JSGCOSHPSA-N 1 2 310.829 1.684 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1n1ccnn1 ZINC001129210044 752016278 /nfs/dbraw/zinc/01/62/78/752016278.db2.gz OBAPMGZZXMSMNJ-UMGRQFOVSA-N 1 2 323.828 1.728 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1n1ccnn1 ZINC001129210044 752016283 /nfs/dbraw/zinc/01/62/83/752016283.db2.gz OBAPMGZZXMSMNJ-UMGRQFOVSA-N 1 2 323.828 1.728 20 30 DDEDLO O=C(CCC1CC1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191906298 745644531 /nfs/dbraw/zinc/64/45/31/745644531.db2.gz DWWRCHBIZITGCW-QZTJIDSGSA-N 1 2 312.413 1.390 20 30 DDEDLO O=C(CCC1CC1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191906298 745644535 /nfs/dbraw/zinc/64/45/35/745644535.db2.gz DWWRCHBIZITGCW-QZTJIDSGSA-N 1 2 312.413 1.390 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C[C@@H](C)C3CC3)C2)nn1 ZINC001098785881 745698593 /nfs/dbraw/zinc/69/85/93/745698593.db2.gz ZTFJJUPMOPFIPV-CJNGLKHVSA-N 1 2 315.421 1.211 20 30 DDEDLO Cc1nc(N2CC[C@@]3(C2)CCCN(C(=O)[C@H](C)C#N)C3)cc[nH+]1 ZINC001066857189 752044087 /nfs/dbraw/zinc/04/40/87/752044087.db2.gz QQSILQOKINPCBP-CXAGYDPISA-N 1 2 313.405 1.764 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCc2ccc(F)cc2)C1 ZINC001193628992 746154469 /nfs/dbraw/zinc/15/44/69/746154469.db2.gz IGNWSEINCIDVII-KBRIMQKVSA-N 1 2 318.392 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCc2ccc(F)cc2)C1 ZINC001193628992 746154471 /nfs/dbraw/zinc/15/44/71/746154471.db2.gz IGNWSEINCIDVII-KBRIMQKVSA-N 1 2 318.392 1.189 20 30 DDEDLO CCCCCCCOC(=O)N1CC[N@H+](C)C[C@H]1C(=O)OC ZINC001194004084 746250611 /nfs/dbraw/zinc/25/06/11/746250611.db2.gz IFVKHRNAHWLFNA-ZDUSSCGKSA-N 1 2 300.399 1.882 20 30 DDEDLO CCCCCCCOC(=O)N1CC[N@@H+](C)C[C@H]1C(=O)OC ZINC001194004084 746250613 /nfs/dbraw/zinc/25/06/13/746250613.db2.gz IFVKHRNAHWLFNA-ZDUSSCGKSA-N 1 2 300.399 1.882 20 30 DDEDLO COc1cc(N2CCN(C(=O)c3cncc(C#N)c3)CC2)cc[nH+]1 ZINC001194114053 746278232 /nfs/dbraw/zinc/27/82/32/746278232.db2.gz NRXMVJIXDWZRBS-UHFFFAOYSA-N 1 2 323.356 1.319 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2ccc(C)n2)[C@H]1C ZINC000993862410 746347447 /nfs/dbraw/zinc/34/74/47/746347447.db2.gz ZHUPQLMKGHXUDM-KGLIPLIRSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2ccc(C)n2)[C@H]1C ZINC000993862410 746347450 /nfs/dbraw/zinc/34/74/50/746347450.db2.gz ZHUPQLMKGHXUDM-KGLIPLIRSA-N 1 2 310.829 1.913 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001194388607 746364740 /nfs/dbraw/zinc/36/47/40/746364740.db2.gz SJSJZKPHABXDRW-CYBMUJFWSA-N 1 2 323.393 1.309 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001194388607 746364744 /nfs/dbraw/zinc/36/47/44/746364744.db2.gz SJSJZKPHABXDRW-CYBMUJFWSA-N 1 2 323.393 1.309 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001194397207 746367227 /nfs/dbraw/zinc/36/72/27/746367227.db2.gz QQAYMBLKRBFNBQ-OCCSQVGLSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001194397207 746367233 /nfs/dbraw/zinc/36/72/33/746367233.db2.gz QQAYMBLKRBFNBQ-OCCSQVGLSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001194397438 746367259 /nfs/dbraw/zinc/36/72/59/746367259.db2.gz VMKGKQZQMOARGU-ZIAGYGMSSA-N 1 2 321.421 1.861 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001194397438 746367265 /nfs/dbraw/zinc/36/72/65/746367265.db2.gz VMKGKQZQMOARGU-ZIAGYGMSSA-N 1 2 321.421 1.861 20 30 DDEDLO CCOCC(=O)N1CCC[N@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC001194725460 746450427 /nfs/dbraw/zinc/45/04/27/746450427.db2.gz FZJDCDRLXQFEBH-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO CCOCC(=O)N1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC001194725460 746450432 /nfs/dbraw/zinc/45/04/32/746450432.db2.gz FZJDCDRLXQFEBH-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO C=CCCOc1ccc(C(=O)NC[C@H]2C[N@H+](C)CCO2)cc1 ZINC001195141774 746536839 /nfs/dbraw/zinc/53/68/39/746536839.db2.gz SZABWLRBDBQBEY-INIZCTEOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCCOc1ccc(C(=O)NC[C@H]2C[N@@H+](C)CCO2)cc1 ZINC001195141774 746536841 /nfs/dbraw/zinc/53/68/41/746536841.db2.gz SZABWLRBDBQBEY-INIZCTEOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1O ZINC001195263286 746558967 /nfs/dbraw/zinc/55/89/67/746558967.db2.gz UOEAQWPTFSSITA-CHWSQXEVSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1O ZINC001195263286 746558971 /nfs/dbraw/zinc/55/89/71/746558971.db2.gz UOEAQWPTFSSITA-CHWSQXEVSA-N 1 2 309.435 1.407 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2ccc(OC)nc2)CC1 ZINC001195314625 746575534 /nfs/dbraw/zinc/57/55/34/746575534.db2.gz PLPSVHPFLMXUFF-UHFFFAOYSA-N 1 2 319.405 1.327 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2ccc(OC)nc2)CC1 ZINC001195314625 746575537 /nfs/dbraw/zinc/57/55/37/746575537.db2.gz PLPSVHPFLMXUFF-UHFFFAOYSA-N 1 2 319.405 1.327 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)CCC)CC1 ZINC001195364619 746585826 /nfs/dbraw/zinc/58/58/26/746585826.db2.gz XORCAVYXFXFYEW-HUUCEWRRSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@H](C)CCC)CC1 ZINC001195364619 746585831 /nfs/dbraw/zinc/58/58/31/746585831.db2.gz XORCAVYXFXFYEW-HUUCEWRRSA-N 1 2 307.438 1.095 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001060688469 746689998 /nfs/dbraw/zinc/68/99/98/746689998.db2.gz AAHLANWXOOALMV-SHTZXODSSA-N 1 2 318.421 1.641 20 30 DDEDLO CCn1nnc(C)c1C[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195775033 746701880 /nfs/dbraw/zinc/70/18/80/746701880.db2.gz NDMJEEFAAPSGCV-UHFFFAOYSA-N 1 2 317.437 1.300 20 30 DDEDLO CCn1nnc(C)c1C[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195775033 746701882 /nfs/dbraw/zinc/70/18/82/746701882.db2.gz NDMJEEFAAPSGCV-UHFFFAOYSA-N 1 2 317.437 1.300 20 30 DDEDLO CC(C)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785391 746704372 /nfs/dbraw/zinc/70/43/72/746704372.db2.gz LCHJCZUEITYFDJ-UHFFFAOYSA-N 1 2 307.438 1.095 20 30 DDEDLO CC(C)NC(=O)C[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785391 746704374 /nfs/dbraw/zinc/70/43/74/746704374.db2.gz LCHJCZUEITYFDJ-UHFFFAOYSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@@H+]([C@H](C)c2cnccn2)CC1 ZINC001195792497 746706283 /nfs/dbraw/zinc/70/62/83/746706283.db2.gz UJCQEHLVDFDJJO-CABCVRRESA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@H+]([C@H](C)c2cnccn2)CC1 ZINC001195792497 746706285 /nfs/dbraw/zinc/70/62/85/746706285.db2.gz UJCQEHLVDFDJJO-CABCVRRESA-N 1 2 316.405 1.110 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1O ZINC001195924014 746737109 /nfs/dbraw/zinc/73/71/09/746737109.db2.gz QJALOTPHOPDEQR-UMVBOHGHSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1O ZINC001195924014 746737112 /nfs/dbraw/zinc/73/71/12/746737112.db2.gz QJALOTPHOPDEQR-UMVBOHGHSA-N 1 2 309.435 1.407 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001195958039 746749544 /nfs/dbraw/zinc/74/95/44/746749544.db2.gz LZCPCYWZMXJDIR-UHFFFAOYSA-N 1 2 321.465 1.815 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001195958039 746749549 /nfs/dbraw/zinc/74/95/49/746749549.db2.gz LZCPCYWZMXJDIR-UHFFFAOYSA-N 1 2 321.465 1.815 20 30 DDEDLO O=C(C#CC1CC1)NCc1cnn2c1C[N@H+](CCCF)CC2 ZINC001128347174 746887840 /nfs/dbraw/zinc/88/78/40/746887840.db2.gz OJCCGBZERAUHLL-UHFFFAOYSA-N 1 2 304.369 1.088 20 30 DDEDLO O=C(C#CC1CC1)NCc1cnn2c1C[N@@H+](CCCF)CC2 ZINC001128347174 746887848 /nfs/dbraw/zinc/88/78/48/746887848.db2.gz OJCCGBZERAUHLL-UHFFFAOYSA-N 1 2 304.369 1.088 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@H+](Cc2ccnn2C)CC1 ZINC001196853866 746990806 /nfs/dbraw/zinc/99/08/06/746990806.db2.gz MPWLAFVXJDJZMP-GJZGRUSLSA-N 1 2 304.438 1.913 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccnn2C)CC1 ZINC001196853866 746990811 /nfs/dbraw/zinc/99/08/11/746990811.db2.gz MPWLAFVXJDJZMP-GJZGRUSLSA-N 1 2 304.438 1.913 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1ccc(C#N)cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089489572 747081986 /nfs/dbraw/zinc/08/19/86/747081986.db2.gz IYVHKKMJBDGHDJ-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CCC[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCCCS2(=O)=O)C1 ZINC001007492378 752171085 /nfs/dbraw/zinc/17/10/85/752171085.db2.gz MQJQEXRGFIHKSW-KBPBESRZSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCC[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCCCS2(=O)=O)C1 ZINC001007492378 752171091 /nfs/dbraw/zinc/17/10/91/752171091.db2.gz MQJQEXRGFIHKSW-KBPBESRZSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cccs2)[C@H](O)C1 ZINC001090020208 747331538 /nfs/dbraw/zinc/33/15/38/747331538.db2.gz KUYPELGTAGIWGB-QWHCGFSZSA-N 1 2 314.838 1.595 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cccs2)[C@H](O)C1 ZINC001090020208 747331546 /nfs/dbraw/zinc/33/15/46/747331546.db2.gz KUYPELGTAGIWGB-QWHCGFSZSA-N 1 2 314.838 1.595 20 30 DDEDLO CCc1cc(N(CC)[C@H](C)CNC(=O)[C@H](C)C#N)nc(C)[nH+]1 ZINC001089601007 747434937 /nfs/dbraw/zinc/43/49/37/747434937.db2.gz OSBHCIHOMRVZBP-VXGBXAGGSA-N 1 2 303.410 1.838 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccns2)CC1 ZINC001198342886 747458682 /nfs/dbraw/zinc/45/86/82/747458682.db2.gz COGQXGBWUQRPES-ZDUSSCGKSA-N 1 2 309.435 1.769 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccns2)CC1 ZINC001198342886 747458685 /nfs/dbraw/zinc/45/86/85/747458685.db2.gz COGQXGBWUQRPES-ZDUSSCGKSA-N 1 2 309.435 1.769 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(CCOCC)CCC2)[C@H](OC)C1 ZINC001212287312 747746249 /nfs/dbraw/zinc/74/62/49/747746249.db2.gz TVHIRCZXOCPVQI-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(CCOCC)CCC2)[C@H](OC)C1 ZINC001212287312 747746250 /nfs/dbraw/zinc/74/62/50/747746250.db2.gz TVHIRCZXOCPVQI-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO N#CCN1CCC(NC(=O)C[N@@H+]2CCc3sccc3C2)CC1 ZINC001004189337 748107920 /nfs/dbraw/zinc/10/79/20/748107920.db2.gz NKCHNKJANFAKIJ-UHFFFAOYSA-N 1 2 318.446 1.210 20 30 DDEDLO N#CCN1CCC(NC(=O)C[N@H+]2CCc3sccc3C2)CC1 ZINC001004189337 748107925 /nfs/dbraw/zinc/10/79/25/748107925.db2.gz NKCHNKJANFAKIJ-UHFFFAOYSA-N 1 2 318.446 1.210 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+][C@H](C)c1nnc(CC)o1 ZINC001124494039 748285424 /nfs/dbraw/zinc/28/54/24/748285424.db2.gz DEAHZPVXHKIRFW-VXGBXAGGSA-N 1 2 310.398 1.380 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1C[NH+](Cc2ccc(F)cn2)C1 ZINC001031987534 748363867 /nfs/dbraw/zinc/36/38/67/748363867.db2.gz RXYTYKUMCFWUCN-CVEARBPZSA-N 1 2 319.380 1.360 20 30 DDEDLO C=CCOCC(=O)NCC[NH2+]Cc1nc(-c2ccsc2)no1 ZINC001124772340 748477103 /nfs/dbraw/zinc/47/71/03/748477103.db2.gz BQOCYWKIYZUFFJ-UHFFFAOYSA-N 1 2 322.390 1.207 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+]Cc1nc(C(C)C)no1)OCC ZINC001128529170 748741308 /nfs/dbraw/zinc/74/13/08/748741308.db2.gz ZSDXGKHNIPOOLA-CYBMUJFWSA-N 1 2 324.425 1.770 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C2CCN(C(C)=O)CC2)C1 ZINC001032176221 748758539 /nfs/dbraw/zinc/75/85/39/748758539.db2.gz TVNOZKXFISNUSE-UHFFFAOYSA-N 1 2 313.829 1.045 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]([NH2+]Cc2nn(C)cc2Cl)C(C)(C)C1 ZINC000995546309 748908283 /nfs/dbraw/zinc/90/82/83/748908283.db2.gz BWAZPNFAXJBBSD-GXFFZTMASA-N 1 2 323.828 1.560 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125237087 749053377 /nfs/dbraw/zinc/05/33/77/749053377.db2.gz MYTKNLZROQDVHS-AWEZNQCLSA-N 1 2 306.410 1.397 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114340483 749087952 /nfs/dbraw/zinc/08/79/52/749087952.db2.gz HEAQLWXBOWTLBR-HALDLXJZSA-N 1 2 314.433 1.934 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114340483 749087956 /nfs/dbraw/zinc/08/79/56/749087956.db2.gz HEAQLWXBOWTLBR-HALDLXJZSA-N 1 2 314.433 1.934 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)CCCOC)C1 ZINC001108328466 761920970 /nfs/dbraw/zinc/92/09/70/761920970.db2.gz HSPVSPLFTPVFCT-RDJZCZTQSA-N 1 2 310.438 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)CCCOC)C1 ZINC001108328466 761920973 /nfs/dbraw/zinc/92/09/73/761920973.db2.gz HSPVSPLFTPVFCT-RDJZCZTQSA-N 1 2 310.438 1.280 20 30 DDEDLO CCOC(=O)[C@H](CS)[NH2+]C1CCC(C)(C(=O)OC)CC1 ZINC001168751567 749128330 /nfs/dbraw/zinc/12/83/30/749128330.db2.gz ZYMNEQJUOTVGBC-CVZZAPKMSA-N 1 2 303.424 1.559 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(F)nc2)[C@@H](O)C1 ZINC001090137129 749268928 /nfs/dbraw/zinc/26/89/28/749268928.db2.gz LOGWDXKXZCDHNH-RYUDHWBXSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(F)nc2)[C@@H](O)C1 ZINC001090137129 749268934 /nfs/dbraw/zinc/26/89/34/749268934.db2.gz LOGWDXKXZCDHNH-RYUDHWBXSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C1CCC(C(=O)N(C)[C@H]2CC[N@H+](Cc3cnnn3C)C2)CC1 ZINC001033389944 749299397 /nfs/dbraw/zinc/29/93/97/749299397.db2.gz XLBMRZFKFOYSBF-HNNXBMFYSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C1CCC(C(=O)N(C)[C@H]2CC[N@@H+](Cc3cnnn3C)C2)CC1 ZINC001033389944 749299400 /nfs/dbraw/zinc/29/94/00/749299400.db2.gz XLBMRZFKFOYSBF-HNNXBMFYSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cn2ccc(C)cc2=O)C1 ZINC001033471420 749397928 /nfs/dbraw/zinc/39/79/28/749397928.db2.gz UPKSMVZWRJPDBN-CQSZACIVSA-N 1 2 323.824 1.442 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cn2ccc(C)cc2=O)C1 ZINC001033471420 749397934 /nfs/dbraw/zinc/39/79/34/749397934.db2.gz UPKSMVZWRJPDBN-CQSZACIVSA-N 1 2 323.824 1.442 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H](CC)SC)nn2)C1 ZINC001107158923 749435469 /nfs/dbraw/zinc/43/54/69/749435469.db2.gz GEVCCUSFROEAPL-AWEZNQCLSA-N 1 2 323.466 1.469 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)COC(C)(C)C)nn2)C1 ZINC001107161920 749458598 /nfs/dbraw/zinc/45/85/98/749458598.db2.gz DGWJGGVKHJNOGO-UHFFFAOYSA-N 1 2 321.425 1.142 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CN(C(=O)CCc2cnn[nH]2)CC1(C)C ZINC000996321205 749509663 /nfs/dbraw/zinc/50/96/63/749509663.db2.gz AEBTYYORLQUOJG-GFCCVEGCSA-N 1 2 311.817 1.316 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001056888995 761958055 /nfs/dbraw/zinc/95/80/55/761958055.db2.gz YNXXGMAHYRZZGE-CYBMUJFWSA-N 1 2 310.361 1.336 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C(C)(C)C(=C)C)nn2)C1 ZINC001107192515 749536211 /nfs/dbraw/zinc/53/62/11/749536211.db2.gz OVSTZBOIOFZFKY-UHFFFAOYSA-N 1 2 303.410 1.539 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)[C@H](C)C=C)nn2)C1 ZINC001107198091 749563234 /nfs/dbraw/zinc/56/32/34/749563234.db2.gz RTKDYHUOYHBIDD-OLZOCXBDSA-N 1 2 303.410 1.395 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)Cc3ccco3)nn2)C1 ZINC001107221348 749636820 /nfs/dbraw/zinc/63/68/20/749636820.db2.gz VELGEIWHDITURT-UHFFFAOYSA-N 1 2 315.377 1.163 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N(C)C3CCN(CC#N)CC3)ccn12 ZINC001005396276 749967733 /nfs/dbraw/zinc/96/77/33/749967733.db2.gz NIDAHWSYUNNLOD-UHFFFAOYSA-N 1 2 311.389 1.703 20 30 DDEDLO C=CC(C)(C)C(=O)NC/C=C/CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001107447326 749969720 /nfs/dbraw/zinc/96/97/20/749969720.db2.gz JVWOKXYFIKGMEP-CMDGGOBGSA-N 1 2 318.425 1.543 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)C#N ZINC001032319090 749969953 /nfs/dbraw/zinc/96/99/53/749969953.db2.gz IUZCQQBPSSJUSB-DRZSPHRISA-N 1 2 302.378 1.490 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)C#N ZINC001032319090 749969957 /nfs/dbraw/zinc/96/99/57/749969957.db2.gz IUZCQQBPSSJUSB-DRZSPHRISA-N 1 2 302.378 1.490 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccccc2F)C1 ZINC001108373987 762004337 /nfs/dbraw/zinc/00/43/37/762004337.db2.gz CVSUVLOVVQSQPR-QGZVFWFLSA-N 1 2 306.381 1.761 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccccc2F)C1 ZINC001108373987 762004347 /nfs/dbraw/zinc/00/43/47/762004347.db2.gz CVSUVLOVVQSQPR-QGZVFWFLSA-N 1 2 306.381 1.761 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)CCC)C2 ZINC001110970527 750264641 /nfs/dbraw/zinc/26/46/41/750264641.db2.gz UZUPZUFTPQMHCY-KFWWJZLASA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)CCC)C2 ZINC001110970527 750264647 /nfs/dbraw/zinc/26/46/47/750264647.db2.gz UZUPZUFTPQMHCY-KFWWJZLASA-N 1 2 321.465 1.836 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC(C)(C)C)C2 ZINC001111168552 750401261 /nfs/dbraw/zinc/40/12/61/750401261.db2.gz YVSUPXRKBPYKTO-KFWWJZLASA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC(C)(C)C)C2 ZINC001111168552 750401265 /nfs/dbraw/zinc/40/12/65/750401265.db2.gz YVSUPXRKBPYKTO-KFWWJZLASA-N 1 2 319.449 1.284 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1scnc1COC ZINC001039448851 762041059 /nfs/dbraw/zinc/04/10/59/762041059.db2.gz DJCXDAVXIZGBQK-OLZOCXBDSA-N 1 2 319.430 1.602 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1scnc1COC ZINC001039448851 762041068 /nfs/dbraw/zinc/04/10/68/762041068.db2.gz DJCXDAVXIZGBQK-OLZOCXBDSA-N 1 2 319.430 1.602 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001034519107 750530701 /nfs/dbraw/zinc/53/07/01/750530701.db2.gz GGDAVOPWLBZZOI-AWEZNQCLSA-N 1 2 311.389 1.566 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001034519107 750530703 /nfs/dbraw/zinc/53/07/03/750530703.db2.gz GGDAVOPWLBZZOI-AWEZNQCLSA-N 1 2 311.389 1.566 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCN(C(=O)C#CC3CC3)C2)c(C)c(C)[nH+]1 ZINC001056911658 762051704 /nfs/dbraw/zinc/05/17/04/762051704.db2.gz KUNVNCAYSHCEFJ-INIZCTEOSA-N 1 2 312.417 1.852 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001107945305 750788508 /nfs/dbraw/zinc/78/85/08/750788508.db2.gz DARFUGYMKBUHIM-PBHICJAKSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001107945305 750788514 /nfs/dbraw/zinc/78/85/14/750788514.db2.gz DARFUGYMKBUHIM-PBHICJAKSA-N 1 2 318.421 1.223 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1nc(C)cc1C(F)F ZINC001032423485 750791286 /nfs/dbraw/zinc/79/12/86/750791286.db2.gz PBFAAPGZKJYSQY-RYUDHWBXSA-N 1 2 308.332 1.047 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1nc(C)cc1C(F)F ZINC001032423485 750791289 /nfs/dbraw/zinc/79/12/89/750791289.db2.gz PBFAAPGZKJYSQY-RYUDHWBXSA-N 1 2 308.332 1.047 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCOCC(F)F)C1 ZINC001107948742 750805249 /nfs/dbraw/zinc/80/52/49/750805249.db2.gz XFFHUYUUWOCDGO-CQSZACIVSA-N 1 2 306.353 1.051 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCOCC(F)F)C1 ZINC001107948742 750805252 /nfs/dbraw/zinc/80/52/52/750805252.db2.gz XFFHUYUUWOCDGO-CQSZACIVSA-N 1 2 306.353 1.051 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001107967987 751135110 /nfs/dbraw/zinc/13/51/10/751135110.db2.gz ZMIIUHNZBONDAV-ZWKOTPCHSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001107967987 751135112 /nfs/dbraw/zinc/13/51/12/751135112.db2.gz ZMIIUHNZBONDAV-ZWKOTPCHSA-N 1 2 304.434 1.963 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001008124330 752564776 /nfs/dbraw/zinc/56/47/76/752564776.db2.gz TZWAMVSZQIZDRP-KRWDZBQOSA-N 1 2 322.412 1.964 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001008124330 752564778 /nfs/dbraw/zinc/56/47/78/752564778.db2.gz TZWAMVSZQIZDRP-KRWDZBQOSA-N 1 2 322.412 1.964 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn2cccc(C)c2n1 ZINC001032682650 752711188 /nfs/dbraw/zinc/71/11/88/752711188.db2.gz LXRUYUZRUSYTPA-HOTGVXAUSA-N 1 2 308.385 1.104 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn2cccc(C)c2n1 ZINC001032682650 752711189 /nfs/dbraw/zinc/71/11/89/752711189.db2.gz LXRUYUZRUSYTPA-HOTGVXAUSA-N 1 2 308.385 1.104 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001008541320 752790872 /nfs/dbraw/zinc/79/08/72/752790872.db2.gz QKBJVZIHJZCJMI-SNVBAGLBSA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001008541320 752790878 /nfs/dbraw/zinc/79/08/78/752790878.db2.gz QKBJVZIHJZCJMI-SNVBAGLBSA-N 1 2 310.785 1.060 20 30 DDEDLO C=CCC[N@H+]1CCC[C@@H](NC(=O)[C@]2(C)CCCS2(=O)=O)C1 ZINC001008843946 752942640 /nfs/dbraw/zinc/94/26/40/752942640.db2.gz GGMGXVTWQSYJDK-HIFRSBDPSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCC[N@@H+]1CCC[C@@H](NC(=O)[C@]2(C)CCCS2(=O)=O)C1 ZINC001008843946 752942643 /nfs/dbraw/zinc/94/26/43/752942643.db2.gz GGMGXVTWQSYJDK-HIFRSBDPSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)OCCC(C)C)C1 ZINC001108432681 762182516 /nfs/dbraw/zinc/18/25/16/762182516.db2.gz NTRXTVFKLRYLCL-NVXWUHKLSA-N 1 2 312.454 1.831 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)OCCC(C)C)C1 ZINC001108432681 762182518 /nfs/dbraw/zinc/18/25/18/762182518.db2.gz NTRXTVFKLRYLCL-NVXWUHKLSA-N 1 2 312.454 1.831 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3conc3C)C2)cn1 ZINC001009269767 753135500 /nfs/dbraw/zinc/13/55/00/753135500.db2.gz PEIGIYHNSQRPSB-QGZVFWFLSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3conc3C)C2)cn1 ZINC001009269767 753135504 /nfs/dbraw/zinc/13/55/04/753135504.db2.gz PEIGIYHNSQRPSB-QGZVFWFLSA-N 1 2 324.384 1.754 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc(OCCC)c1 ZINC001032720822 753207313 /nfs/dbraw/zinc/20/73/13/753207313.db2.gz FTOCSIMBUWMTER-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc(OCCC)c1 ZINC001032720822 753207318 /nfs/dbraw/zinc/20/73/18/753207318.db2.gz FTOCSIMBUWMTER-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO C[C@H](CNc1cncc(C#N)n1)NC(=O)c1cccc2[nH+]ccn21 ZINC001108008114 753495920 /nfs/dbraw/zinc/49/59/20/753495920.db2.gz KFMGJOYWWWNGTA-LLVKDONJSA-N 1 2 321.344 1.226 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)Cn2cccn2)C1 ZINC001010194360 753671626 /nfs/dbraw/zinc/67/16/26/753671626.db2.gz LCSRDXWZHNMHBW-MRXNPFEDSA-N 1 2 309.373 1.145 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)Cn2cccn2)C1 ZINC001010194360 753671628 /nfs/dbraw/zinc/67/16/28/753671628.db2.gz LCSRDXWZHNMHBW-MRXNPFEDSA-N 1 2 309.373 1.145 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001078062869 753695809 /nfs/dbraw/zinc/69/58/09/753695809.db2.gz XRPPFAOEJBZJRE-CHWSQXEVSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001078062869 753695814 /nfs/dbraw/zinc/69/58/14/753695814.db2.gz XRPPFAOEJBZJRE-CHWSQXEVSA-N 1 2 313.184 1.402 20 30 DDEDLO Cc1ncncc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010325290 753763457 /nfs/dbraw/zinc/76/34/57/753763457.db2.gz NUXGTGPIFKCYRH-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ncncc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010325290 753763461 /nfs/dbraw/zinc/76/34/61/753763461.db2.gz NUXGTGPIFKCYRH-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CCC3)C1 ZINC001078129097 753763731 /nfs/dbraw/zinc/76/37/31/753763731.db2.gz QCWPAYUNSHFUOA-CHWSQXEVSA-N 1 2 304.415 1.035 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CCC3)C1 ZINC001078129097 753763734 /nfs/dbraw/zinc/76/37/34/753763734.db2.gz QCWPAYUNSHFUOA-CHWSQXEVSA-N 1 2 304.415 1.035 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@H](C)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001078140495 753784404 /nfs/dbraw/zinc/78/44/04/753784404.db2.gz MPNBPZJCMTUTBF-QWRGUYRKSA-N 1 2 313.361 1.347 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@H](N(C)C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001062947950 753854814 /nfs/dbraw/zinc/85/48/14/753854814.db2.gz HJZYYRGBROPUIS-HNNXBMFYSA-N 1 2 324.388 1.196 20 30 DDEDLO C[C@H](C#N)C(=O)NC1(CNC(=O)C[C@H](C)n2cc[nH+]c2)CCC1 ZINC001063072302 753956078 /nfs/dbraw/zinc/95/60/78/753956078.db2.gz PMYWLZZJDNLHGO-OLZOCXBDSA-N 1 2 317.393 1.149 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)[C@H](C)Cc2c[nH]c[nH+]2)CCC1 ZINC001063192288 754030803 /nfs/dbraw/zinc/03/08/03/754030803.db2.gz HOQRJTLRMIGKPZ-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)[C@H](C)Cc2c[nH+]c[nH]2)CCC1 ZINC001063192288 754030805 /nfs/dbraw/zinc/03/08/05/754030805.db2.gz HOQRJTLRMIGKPZ-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(C)CCC(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063261040 754061312 /nfs/dbraw/zinc/06/13/12/754061312.db2.gz AYGQACGYXQCNTL-UHFFFAOYSA-N 1 2 304.394 1.395 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001010694209 754104523 /nfs/dbraw/zinc/10/45/23/754104523.db2.gz ZJFYDUDQFJZNPS-LLVKDONJSA-N 1 2 316.390 1.051 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001010694209 754104525 /nfs/dbraw/zinc/10/45/25/754104525.db2.gz ZJFYDUDQFJZNPS-LLVKDONJSA-N 1 2 316.390 1.051 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H]1CNc1ccncc1C#N ZINC001063506226 754197838 /nfs/dbraw/zinc/19/78/38/754197838.db2.gz TZQPDMAUKZGHPP-CQSZACIVSA-N 1 2 324.388 1.052 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CCC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001064112114 754520412 /nfs/dbraw/zinc/52/04/12/754520412.db2.gz GRLIQENEKPTLHK-SWLSCSKDSA-N 1 2 324.388 1.038 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccncc2C#N)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064786273 754848348 /nfs/dbraw/zinc/84/83/48/754848348.db2.gz ZACDIIDVBXCDCY-HIFRSBDPSA-N 1 2 324.388 1.063 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064786137 754848386 /nfs/dbraw/zinc/84/83/86/754848386.db2.gz XGSSKUAMIPFXBP-UKRRQHHQSA-N 1 2 324.388 1.641 20 30 DDEDLO C[C@]1(NC(=O)c2cccnn2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046721906 767850362 /nfs/dbraw/zinc/85/03/62/767850362.db2.gz MCLGZNFKJSNJPF-SFHVURJKSA-N 1 2 321.384 1.743 20 30 DDEDLO C[C@]1(NC(=O)c2cccnn2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046721906 767850368 /nfs/dbraw/zinc/85/03/68/767850368.db2.gz MCLGZNFKJSNJPF-SFHVURJKSA-N 1 2 321.384 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001079945959 755648115 /nfs/dbraw/zinc/64/81/15/755648115.db2.gz AEYZHYIGMHRSFZ-IXPVHAAZSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001079945959 755648118 /nfs/dbraw/zinc/64/81/18/755648118.db2.gz AEYZHYIGMHRSFZ-IXPVHAAZSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)[nH]cc2NC(C)=O)C1 ZINC001015025098 756078034 /nfs/dbraw/zinc/07/80/34/756078034.db2.gz PLOOQQLNWNSENB-LBPRGKRZSA-N 1 2 324.812 1.838 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)[nH]cc2NC(C)=O)C1 ZINC001015025098 756078036 /nfs/dbraw/zinc/07/80/36/756078036.db2.gz PLOOQQLNWNSENB-LBPRGKRZSA-N 1 2 324.812 1.838 20 30 DDEDLO N#CCN[C@@H]1CCCN(C(=O)C[N@@H+]2CCc3sccc3C2)C1 ZINC001001001107 762456689 /nfs/dbraw/zinc/45/66/89/762456689.db2.gz IQHMZSOBHIJMBX-CQSZACIVSA-N 1 2 318.446 1.210 20 30 DDEDLO N#CCN[C@@H]1CCCN(C(=O)C[N@H+]2CCc3sccc3C2)C1 ZINC001001001107 762456693 /nfs/dbraw/zinc/45/66/93/762456693.db2.gz IQHMZSOBHIJMBX-CQSZACIVSA-N 1 2 318.446 1.210 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cncn3C)C2)cc1 ZINC001015482693 756333508 /nfs/dbraw/zinc/33/35/08/756333508.db2.gz PCLDUDJOPXZRST-INIZCTEOSA-N 1 2 308.385 1.406 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cncn3C)C2)cc1 ZINC001015482693 756333514 /nfs/dbraw/zinc/33/35/14/756333514.db2.gz PCLDUDJOPXZRST-INIZCTEOSA-N 1 2 308.385 1.406 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)[C@@H]2CCCCO2)[C@H](OC)C1 ZINC001081680954 756427522 /nfs/dbraw/zinc/42/75/22/756427522.db2.gz RGRCKOTWTQUFIV-KFWWJZLASA-N 1 2 308.422 1.030 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)[C@@H]2CCCCO2)[C@H](OC)C1 ZINC001081680954 756427524 /nfs/dbraw/zinc/42/75/24/756427524.db2.gz RGRCKOTWTQUFIV-KFWWJZLASA-N 1 2 308.422 1.030 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccoc2C(F)(F)F)[C@H](OC)C1 ZINC001081736894 756448244 /nfs/dbraw/zinc/44/82/44/756448244.db2.gz AJQVRICRXUMYOY-GHMZBOCLSA-N 1 2 316.279 1.361 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccoc2C(F)(F)F)[C@H](OC)C1 ZINC001081736894 756448246 /nfs/dbraw/zinc/44/82/46/756448246.db2.gz AJQVRICRXUMYOY-GHMZBOCLSA-N 1 2 316.279 1.361 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3ccnc(OC)n3)C2)C1 ZINC001015674393 756456468 /nfs/dbraw/zinc/45/64/68/756456468.db2.gz ZIITYXTWHUPXJK-CQSZACIVSA-N 1 2 316.405 1.532 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3ccnc(OC)n3)C2)C1 ZINC001015674393 756456471 /nfs/dbraw/zinc/45/64/71/756456471.db2.gz ZIITYXTWHUPXJK-CQSZACIVSA-N 1 2 316.405 1.532 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001015775004 756538929 /nfs/dbraw/zinc/53/89/29/756538929.db2.gz IZLXVCABQJJVDV-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001015775004 756538932 /nfs/dbraw/zinc/53/89/32/756538932.db2.gz IZLXVCABQJJVDV-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO CCn1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)nn1 ZINC001015916117 756648724 /nfs/dbraw/zinc/64/87/24/756648724.db2.gz GDQVWFOTFBEKIP-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)nn1 ZINC001015916117 756648728 /nfs/dbraw/zinc/64/87/28/756648728.db2.gz GDQVWFOTFBEKIP-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001015957062 756676876 /nfs/dbraw/zinc/67/68/76/756676876.db2.gz NDTSEMMVPMXJRX-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001015957062 756676879 /nfs/dbraw/zinc/67/68/79/756676879.db2.gz NDTSEMMVPMXJRX-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001015957064 756676890 /nfs/dbraw/zinc/67/68/90/756676890.db2.gz NDTSEMMVPMXJRX-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001015957064 756676892 /nfs/dbraw/zinc/67/68/92/756676892.db2.gz NDTSEMMVPMXJRX-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)[C@H](OC)C1 ZINC001082385471 756763298 /nfs/dbraw/zinc/76/32/98/756763298.db2.gz LDYJMAXRBKYJGM-IAGOWNOFSA-N 1 2 312.413 1.475 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)[C@H](OC)C1 ZINC001082385471 756763300 /nfs/dbraw/zinc/76/33/00/756763300.db2.gz LDYJMAXRBKYJGM-IAGOWNOFSA-N 1 2 312.413 1.475 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CC(C)(C)C)CC2=O)C1 ZINC001108506297 762508342 /nfs/dbraw/zinc/50/83/42/762508342.db2.gz OSCMULLQVPRXHB-CYBMUJFWSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc(C(=O)NC)c2)C1 ZINC001016302912 756915261 /nfs/dbraw/zinc/91/52/61/756915261.db2.gz QFONBDCYKWOYSD-CQSZACIVSA-N 1 2 321.808 1.603 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(C(=O)NC)c2)C1 ZINC001016302912 756915265 /nfs/dbraw/zinc/91/52/65/756915265.db2.gz QFONBDCYKWOYSD-CQSZACIVSA-N 1 2 321.808 1.603 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001097316521 757086313 /nfs/dbraw/zinc/08/63/13/757086313.db2.gz MZIWQNUAUADSOO-AWEZNQCLSA-N 1 2 324.388 1.252 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cscc3C)[C@H]2C1 ZINC001083041240 757091087 /nfs/dbraw/zinc/09/10/87/757091087.db2.gz XTINRVDJJQQQTB-LSDHHAIUSA-N 1 2 304.415 1.605 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cscc3C)[C@H]2C1 ZINC001083041240 757091092 /nfs/dbraw/zinc/09/10/92/757091092.db2.gz XTINRVDJJQQQTB-LSDHHAIUSA-N 1 2 304.415 1.605 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(COC)cs3)[C@H]2C1 ZINC001083132233 757146145 /nfs/dbraw/zinc/14/61/45/757146145.db2.gz JOBKEBCHLZHPGN-UONOGXRCSA-N 1 2 322.430 1.606 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(COC)cs3)[C@H]2C1 ZINC001083132233 757146148 /nfs/dbraw/zinc/14/61/48/757146148.db2.gz JOBKEBCHLZHPGN-UONOGXRCSA-N 1 2 322.430 1.606 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097517185 757223612 /nfs/dbraw/zinc/22/36/12/757223612.db2.gz YDLGHRBKVWUQSU-HIFRSBDPSA-N 1 2 318.421 1.709 20 30 DDEDLO C[C@H](CC(=O)NCCN(C)c1ncccc1C#N)n1cc[nH+]c1 ZINC001100350514 757239855 /nfs/dbraw/zinc/23/98/55/757239855.db2.gz LRWQYGGVUBYVHC-CYBMUJFWSA-N 1 2 312.377 1.353 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CC23CCN(CC#N)CC3)c[nH+]1 ZINC001016682370 757262882 /nfs/dbraw/zinc/26/28/82/757262882.db2.gz PKPJTJDMKQYVLX-AWEZNQCLSA-N 1 2 315.421 1.421 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(C[C@@H]2NC(=O)Cn2cncc2C)CC1 ZINC001016735985 757307518 /nfs/dbraw/zinc/30/75/18/757307518.db2.gz FFGIFCYLNYANAN-AWEZNQCLSA-N 1 2 322.840 1.915 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3cnc(C)o3)[C@@H]2C1 ZINC001084402522 757559359 /nfs/dbraw/zinc/55/93/59/757559359.db2.gz PRNDZTHYFUASMO-TZMCWYRMSA-N 1 2 309.797 1.811 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cnc(C)o3)[C@@H]2C1 ZINC001084402522 757559364 /nfs/dbraw/zinc/55/93/64/757559364.db2.gz PRNDZTHYFUASMO-TZMCWYRMSA-N 1 2 309.797 1.811 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3c(C)noc3C(F)F)[C@@H]2C1 ZINC001084442219 757598558 /nfs/dbraw/zinc/59/85/58/757598558.db2.gz ZNAYORFFVUVSAW-GHMZBOCLSA-N 1 2 309.316 1.700 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3c(C)noc3C(F)F)[C@@H]2C1 ZINC001084442219 757598561 /nfs/dbraw/zinc/59/85/61/757598561.db2.gz ZNAYORFFVUVSAW-GHMZBOCLSA-N 1 2 309.316 1.700 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001052732798 757698698 /nfs/dbraw/zinc/69/86/98/757698698.db2.gz BTHDYRSUQHDTOD-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001052866123 757900794 /nfs/dbraw/zinc/90/07/94/757900794.db2.gz FCYBMVULHIBLOR-UONOGXRCSA-N 1 2 304.394 1.060 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)CC1 ZINC001052957913 758010531 /nfs/dbraw/zinc/01/05/31/758010531.db2.gz AJKKIEWONGGMEI-HNNXBMFYSA-N 1 2 323.400 1.689 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)CC1 ZINC001052957913 758010541 /nfs/dbraw/zinc/01/05/41/758010541.db2.gz AJKKIEWONGGMEI-HNNXBMFYSA-N 1 2 323.400 1.689 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(Cl)cnn1CC ZINC001017583418 758050019 /nfs/dbraw/zinc/05/00/19/758050019.db2.gz KUJLKMHLGIYVPV-BETUJISGSA-N 1 2 320.824 1.869 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(Cl)cnn1CC ZINC001017583418 758050028 /nfs/dbraw/zinc/05/00/28/758050028.db2.gz KUJLKMHLGIYVPV-BETUJISGSA-N 1 2 320.824 1.869 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(CC(F)F)n1 ZINC001017640961 758109368 /nfs/dbraw/zinc/10/93/68/758109368.db2.gz BCOMALWCNKUBBA-TXEJJXNPSA-N 1 2 308.332 1.070 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(CC(F)F)n1 ZINC001017640961 758109373 /nfs/dbraw/zinc/10/93/73/758109373.db2.gz BCOMALWCNKUBBA-TXEJJXNPSA-N 1 2 308.332 1.070 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)c(C)cc1=O ZINC001017640933 758109584 /nfs/dbraw/zinc/10/95/84/758109584.db2.gz KKSNBZJAFWVSNA-OKILXGFUSA-N 1 2 301.390 1.169 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)c(C)cc1=O ZINC001017640933 758109590 /nfs/dbraw/zinc/10/95/90/758109590.db2.gz KKSNBZJAFWVSNA-OKILXGFUSA-N 1 2 301.390 1.169 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCOC2(C[NH+]([C@@H](C)c3ccccc3)C2)C1 ZINC001053187221 758246868 /nfs/dbraw/zinc/24/68/68/758246868.db2.gz HCQFDOKENYASCW-CABCVRRESA-N 1 2 313.401 1.820 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCOC2(C[NH+](CC3CC(F)(F)C3)C2)C1 ZINC001053191687 758250482 /nfs/dbraw/zinc/25/04/82/758250482.db2.gz SVFRMXGIBFBLPM-LLVKDONJSA-N 1 2 313.348 1.105 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)c1c(C)noc1C ZINC001017834962 758282432 /nfs/dbraw/zinc/28/24/32/758282432.db2.gz YJUTYSLOBWFPKS-GASCZTMLSA-N 1 2 315.417 1.877 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)c1c(C)noc1C ZINC001017834962 758282441 /nfs/dbraw/zinc/28/24/41/758282441.db2.gz YJUTYSLOBWFPKS-GASCZTMLSA-N 1 2 315.417 1.877 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N1CCOC2(C[NH+](CC)C2)C1 ZINC001053465045 758481680 /nfs/dbraw/zinc/48/16/80/758481680.db2.gz DPXQXZNFUPKCQK-GJZGRUSLSA-N 1 2 308.422 1.291 20 30 DDEDLO Cc1nc(NC[C@]2(C)CCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001065418615 758512476 /nfs/dbraw/zinc/51/24/76/758512476.db2.gz MUGIEQYXYVOLIH-KRWDZBQOSA-N 1 2 324.388 1.949 20 30 DDEDLO C[C@]1(CNc2ncccc2C#N)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065436764 758533247 /nfs/dbraw/zinc/53/32/47/758533247.db2.gz PKMLIPVHXRLPBE-QGZVFWFLSA-N 1 2 324.388 1.500 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1ccc(C)nc1)CCO2 ZINC001053533038 758539655 /nfs/dbraw/zinc/53/96/55/758539655.db2.gz JFQJUJAPKZNDID-UHFFFAOYSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1oc(C)nc1C)O2 ZINC001053586233 758601407 /nfs/dbraw/zinc/60/14/07/758601407.db2.gz OTPBSGSPUCAHBO-CYBMUJFWSA-N 1 2 305.378 1.441 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(CC)ccn1)O2 ZINC001053604881 758625318 /nfs/dbraw/zinc/62/53/18/758625318.db2.gz ROVVZWANGPSFIV-HNNXBMFYSA-N 1 2 315.417 1.793 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nnn(C)c2c1 ZINC001018217990 758641895 /nfs/dbraw/zinc/64/18/95/758641895.db2.gz HTNXMVFUFSJFBG-GASCZTMLSA-N 1 2 323.400 1.280 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nnn(C)c2c1 ZINC001018217990 758641901 /nfs/dbraw/zinc/64/19/01/758641901.db2.gz HTNXMVFUFSJFBG-GASCZTMLSA-N 1 2 323.400 1.280 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1csnn1)O2 ZINC001053634648 758650278 /nfs/dbraw/zinc/65/02/78/758650278.db2.gz ZFNBMBRTFWHTRF-LLVKDONJSA-N 1 2 308.407 1.077 20 30 DDEDLO N#CCN1CCC2(CCN(C(=O)CCc3[nH]cc[nH+]3)C2)CC1 ZINC001040683059 762648260 /nfs/dbraw/zinc/64/82/60/762648260.db2.gz MDAYMCLYCPZLLK-UHFFFAOYSA-N 1 2 301.394 1.180 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncoc1C1CC1)O2 ZINC001053682290 758691411 /nfs/dbraw/zinc/69/14/11/758691411.db2.gz DTTGYHWUCXZBIM-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cc1c(C)n[nH]c1C ZINC001018284704 758697908 /nfs/dbraw/zinc/69/79/08/758697908.db2.gz FQAORQFZSMQRMG-WQVCFCJDSA-N 1 2 314.433 1.514 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cc1c(C)n[nH]c1C ZINC001018284704 758697911 /nfs/dbraw/zinc/69/79/11/758697911.db2.gz FQAORQFZSMQRMG-WQVCFCJDSA-N 1 2 314.433 1.514 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cccc(OC)c1)O2 ZINC001053693234 758702694 /nfs/dbraw/zinc/70/26/94/758702694.db2.gz ZKGGRSWHEIYQMW-INIZCTEOSA-N 1 2 316.401 1.844 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)[C@@H]3C[C@H]3C)CC2)C1 ZINC001065694745 758711744 /nfs/dbraw/zinc/71/17/44/758711744.db2.gz OSGDQNPNLIUXRJ-OWCLPIDISA-N 1 2 319.449 1.354 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cn1)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065733523 758750038 /nfs/dbraw/zinc/75/00/38/758750038.db2.gz LTHBPRUPVOBWJC-TZMCWYRMSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)n(C(C)C)n1 ZINC001018351439 758750676 /nfs/dbraw/zinc/75/06/76/758750676.db2.gz GYPQSZFTRQFMQW-GASCZTMLSA-N 1 2 300.406 1.694 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)n(C(C)C)n1 ZINC001018351439 758750683 /nfs/dbraw/zinc/75/06/83/758750683.db2.gz GYPQSZFTRQFMQW-GASCZTMLSA-N 1 2 300.406 1.694 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(=O)C3(C)CC3)C2)CC1 ZINC001018367143 758763283 /nfs/dbraw/zinc/76/32/83/758763283.db2.gz OJQKESIXJKBPPZ-OAHLLOKOSA-N 1 2 319.449 1.355 20 30 DDEDLO Cc1nc(NC[C@H]2CN(C(=O)c3ccc(C#N)[nH]3)C[C@H]2C)cc[nH+]1 ZINC001065841510 758852355 /nfs/dbraw/zinc/85/23/55/758852355.db2.gz ATPDQUOQVUBJMY-YPMHNXCESA-N 1 2 324.388 1.805 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1scnc1CC)CO2 ZINC001053823368 758853523 /nfs/dbraw/zinc/85/35/23/758853523.db2.gz KVSMGBMHHXGIKS-GFCCVEGCSA-N 1 2 321.446 1.855 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccn1CC=C)CO2 ZINC001053829375 758859564 /nfs/dbraw/zinc/85/95/64/758859564.db2.gz DHDDJOVANCNQCB-OAHLLOKOSA-N 1 2 313.401 1.271 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1CNc1ccc(C#N)cn1 ZINC001065873006 758861638 /nfs/dbraw/zinc/86/16/38/758861638.db2.gz VARBOPWTVZJSIC-GXTWGEPZSA-N 1 2 324.388 1.425 20 30 DDEDLO C=CCN1CC2(C1)C[C@H](NC(=O)C(C)(C)n1c[nH+]c(C)c1)CO2 ZINC001053846222 758877099 /nfs/dbraw/zinc/87/70/99/758877099.db2.gz ZVGANRXUUSFKNQ-AWEZNQCLSA-N 1 2 318.421 1.072 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1occc1C(C)C)CO2 ZINC001053903942 758936380 /nfs/dbraw/zinc/93/63/80/758936380.db2.gz BLBIJCWUGLKQRQ-CQSZACIVSA-N 1 2 316.401 1.999 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1csc(C)n1)CO2 ZINC001053905978 758938680 /nfs/dbraw/zinc/93/86/80/758938680.db2.gz RDXXYRAILXXHAS-LBPRGKRZSA-N 1 2 305.403 1.048 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccncc1Cl)CO2 ZINC001053937878 758973762 /nfs/dbraw/zinc/97/37/62/758973762.db2.gz LITZCJCFZKUPQI-LBPRGKRZSA-N 1 2 321.808 1.884 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](F)c1ccccc1)CO2 ZINC001053941922 758977580 /nfs/dbraw/zinc/97/75/80/758977580.db2.gz HSWUWTTZNURKCZ-HZPDHXFCSA-N 1 2 316.376 1.680 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(OC)o1)CO2 ZINC001053967489 759002899 /nfs/dbraw/zinc/00/28/99/759002899.db2.gz YKVCKMLLOGDVOZ-GFCCVEGCSA-N 1 2 306.362 1.437 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1csc(C)n1)CO2 ZINC001054001513 759048928 /nfs/dbraw/zinc/04/89/28/759048928.db2.gz AXRJEPKZZRMGGK-AWEZNQCLSA-N 1 2 321.446 1.530 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H]1CCCOC1)CO2 ZINC001054011950 759060012 /nfs/dbraw/zinc/06/00/12/759060012.db2.gz SBJZQMGWHJBPOC-CABCVRRESA-N 1 2 308.422 1.339 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1OCC[C@H]1C ZINC001054052610 759107689 /nfs/dbraw/zinc/10/76/89/759107689.db2.gz BYOAKXKCBSGWMB-OLMNPRSZSA-N 1 2 312.413 1.588 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1OCC[C@H]1C ZINC001054052610 759107695 /nfs/dbraw/zinc/10/76/95/759107695.db2.gz BYOAKXKCBSGWMB-OLMNPRSZSA-N 1 2 312.413 1.588 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nc(C)c[nH]1 ZINC001054055915 759109030 /nfs/dbraw/zinc/10/90/30/759109030.db2.gz VQGMZIFIOVKMPP-KRWDZBQOSA-N 1 2 322.412 1.898 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nc(C)c[nH]1 ZINC001054055915 759109035 /nfs/dbraw/zinc/10/90/35/759109035.db2.gz VQGMZIFIOVKMPP-KRWDZBQOSA-N 1 2 322.412 1.898 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@H]3C[C@@H]3C(=O)OC)cc2C1 ZINC001054257964 759353842 /nfs/dbraw/zinc/35/38/42/759353842.db2.gz DYRKDESTEMGMPW-HOTGVXAUSA-N 1 2 312.369 1.061 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@H]3C[C@@H]3C(=O)OC)cc2C1 ZINC001054257964 759353850 /nfs/dbraw/zinc/35/38/50/759353850.db2.gz DYRKDESTEMGMPW-HOTGVXAUSA-N 1 2 312.369 1.061 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3c[nH]c(=O)n3C)cc2C1 ZINC001054277277 759384211 /nfs/dbraw/zinc/38/42/11/759384211.db2.gz UBIXSUZBBXSVCR-UHFFFAOYSA-N 1 2 310.357 1.005 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3c[nH]c(=O)n3C)cc2C1 ZINC001054277277 759384220 /nfs/dbraw/zinc/38/42/20/759384220.db2.gz UBIXSUZBBXSVCR-UHFFFAOYSA-N 1 2 310.357 1.005 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1cc[nH]n1 ZINC001085495669 759534471 /nfs/dbraw/zinc/53/44/71/759534471.db2.gz MNLCFIXSQQSDSJ-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1cc[nH]n1 ZINC001085495669 759534479 /nfs/dbraw/zinc/53/44/79/759534479.db2.gz MNLCFIXSQQSDSJ-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1ccn[nH]1 ZINC001085495669 759534483 /nfs/dbraw/zinc/53/44/83/759534483.db2.gz MNLCFIXSQQSDSJ-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1ccn[nH]1 ZINC001085495669 759534491 /nfs/dbraw/zinc/53/44/91/759534491.db2.gz MNLCFIXSQQSDSJ-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001069138701 767874791 /nfs/dbraw/zinc/87/47/91/767874791.db2.gz YARVEUSNCNAOHB-FZMZJTMJSA-N 1 2 310.361 1.320 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccccn1)C(=O)c1cc(C#N)c[nH]1 ZINC001085534836 759647186 /nfs/dbraw/zinc/64/71/86/759647186.db2.gz UQHMVVVFYHORHN-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccccn1)C(=O)c1cc(C#N)c[nH]1 ZINC001085534836 759647193 /nfs/dbraw/zinc/64/71/93/759647193.db2.gz UQHMVVVFYHORHN-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+]Cc3nc(C)no3)C2)cc1 ZINC001019223464 759695697 /nfs/dbraw/zinc/69/56/97/759695697.db2.gz YPIRMTMQWMFEKW-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]([NH2+]Cc3csnn3)C2)CC1 ZINC001019331337 759810255 /nfs/dbraw/zinc/81/02/55/759810255.db2.gz UMPBROIWGGPJST-ZDUSSCGKSA-N 1 2 306.435 1.975 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncc(C)cc1OC ZINC001085646188 759927387 /nfs/dbraw/zinc/92/73/87/759927387.db2.gz SLWHRTGMNHBDKU-CQSZACIVSA-N 1 2 301.390 1.568 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncc(C)cc1OC ZINC001085646188 759927390 /nfs/dbraw/zinc/92/73/90/759927390.db2.gz SLWHRTGMNHBDKU-CQSZACIVSA-N 1 2 301.390 1.568 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnn1CC(F)(F)F ZINC001085658127 759944012 /nfs/dbraw/zinc/94/40/12/759944012.db2.gz JPKDBGHMVLMZOQ-NSHDSACASA-N 1 2 314.311 1.225 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnn1CC(F)(F)F ZINC001085658127 759944015 /nfs/dbraw/zinc/94/40/15/759944015.db2.gz JPKDBGHMVLMZOQ-NSHDSACASA-N 1 2 314.311 1.225 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncoc1[C@H]1CCCO1 ZINC001085764026 760196533 /nfs/dbraw/zinc/19/65/33/760196533.db2.gz OGFOBYQPBJRDCH-QWHCGFSZSA-N 1 2 303.362 1.306 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncoc1[C@H]1CCCO1 ZINC001085764026 760196535 /nfs/dbraw/zinc/19/65/35/760196535.db2.gz OGFOBYQPBJRDCH-QWHCGFSZSA-N 1 2 303.362 1.306 20 30 DDEDLO C#CC[NH2+][C@@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@@H]1C ZINC001054967777 760242529 /nfs/dbraw/zinc/24/25/29/760242529.db2.gz ZJTDBGUXRBPQHY-SWLSCSKDSA-N 1 2 309.373 1.155 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2[C@@H](C)C(=O)N(C)C)CC1 ZINC001085802261 760259333 /nfs/dbraw/zinc/25/93/33/760259333.db2.gz PJQQOPBQDLAANF-GOEBONIOSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@@H](C)C(=O)N(C)C)CC1 ZINC001085802261 760259336 /nfs/dbraw/zinc/25/93/36/760259336.db2.gz PJQQOPBQDLAANF-GOEBONIOSA-N 1 2 321.465 1.742 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2ocnc2C)c1 ZINC001085810152 760279217 /nfs/dbraw/zinc/27/92/17/760279217.db2.gz WAMADKVWDFBAPN-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2ocnc2C)c1 ZINC001085810152 760279220 /nfs/dbraw/zinc/27/92/20/760279220.db2.gz WAMADKVWDFBAPN-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccns1 ZINC001085827462 760307693 /nfs/dbraw/zinc/30/76/93/760307693.db2.gz SREIUBWTRZSAQE-CFVMTHIKSA-N 1 2 321.446 1.767 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccns1 ZINC001085827462 760307701 /nfs/dbraw/zinc/30/77/01/760307701.db2.gz SREIUBWTRZSAQE-CFVMTHIKSA-N 1 2 321.446 1.767 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncn(C(C)(C)C)n2)C1 ZINC001108213192 760383283 /nfs/dbraw/zinc/38/32/83/760383283.db2.gz MVUXRQCIGMHISQ-MRXNPFEDSA-N 1 2 321.425 1.040 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncn(C(C)(C)C)n2)C1 ZINC001108213192 760383294 /nfs/dbraw/zinc/38/32/94/760383294.db2.gz MVUXRQCIGMHISQ-MRXNPFEDSA-N 1 2 321.425 1.040 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001085873174 760424747 /nfs/dbraw/zinc/42/47/47/760424747.db2.gz RIBJKOAXSUVACX-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001085873174 760424751 /nfs/dbraw/zinc/42/47/51/760424751.db2.gz RIBJKOAXSUVACX-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1scc2c1OCCO2 ZINC001085906457 760500259 /nfs/dbraw/zinc/50/02/59/760500259.db2.gz JAZPKBQPMNXEDR-LLVKDONJSA-N 1 2 308.403 1.852 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1scc2c1OCCO2 ZINC001085906457 760500266 /nfs/dbraw/zinc/50/02/66/760500266.db2.gz JAZPKBQPMNXEDR-LLVKDONJSA-N 1 2 308.403 1.852 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(CC)nn(C)c1Cl ZINC001085915421 760531095 /nfs/dbraw/zinc/53/10/95/760531095.db2.gz AISZPLAMIKKOAB-LLVKDONJSA-N 1 2 308.813 1.415 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(CC)nn(C)c1Cl ZINC001085915421 760531105 /nfs/dbraw/zinc/53/11/05/760531105.db2.gz AISZPLAMIKKOAB-LLVKDONJSA-N 1 2 308.813 1.415 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(-c2ccccc2)n1 ZINC001085963493 760619287 /nfs/dbraw/zinc/61/92/87/760619287.db2.gz IRUAIXUVBXMPQY-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(-c2ccccc2)n1 ZINC001085963493 760619291 /nfs/dbraw/zinc/61/92/91/760619291.db2.gz IRUAIXUVBXMPQY-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(NC(C)=O)ccc1C ZINC001086004458 760691418 /nfs/dbraw/zinc/69/14/18/760691418.db2.gz GQJDOTFFSKOMAQ-MRXNPFEDSA-N 1 2 313.401 1.733 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(NC(C)=O)ccc1C ZINC001086004458 760691420 /nfs/dbraw/zinc/69/14/20/760691420.db2.gz GQJDOTFFSKOMAQ-MRXNPFEDSA-N 1 2 313.401 1.733 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(C(F)(F)F)CC2)C1 ZINC001108237049 760826984 /nfs/dbraw/zinc/82/69/84/760826984.db2.gz VVENCCWTBKTMBX-GFCCVEGCSA-N 1 2 306.328 1.722 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(C(F)(F)F)CC2)C1 ZINC001108237049 760826995 /nfs/dbraw/zinc/82/69/95/760826995.db2.gz VVENCCWTBKTMBX-GFCCVEGCSA-N 1 2 306.328 1.722 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)c1 ZINC001038151736 760858653 /nfs/dbraw/zinc/85/86/53/760858653.db2.gz JGEDKHYZJJCCET-INIZCTEOSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)c1 ZINC001038151736 760858665 /nfs/dbraw/zinc/85/86/65/760858665.db2.gz JGEDKHYZJJCCET-INIZCTEOSA-N 1 2 319.368 1.762 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CCN1Cc1c[nH+]cn1C ZINC001038259817 760956046 /nfs/dbraw/zinc/95/60/46/760956046.db2.gz JWEFZGMDVPTYAL-BMFZPTHFSA-N 1 2 318.421 1.092 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@H](C)CCC)CC1 ZINC001131334650 767996219 /nfs/dbraw/zinc/99/62/19/767996219.db2.gz YCYZOBHLSPXOGH-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1ocnc1C ZINC001038265932 760958268 /nfs/dbraw/zinc/95/82/68/760958268.db2.gz IBHDEPXOZYCCOF-ZNMIVQPWSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ocnc1C ZINC001038265932 760958271 /nfs/dbraw/zinc/95/82/71/760958271.db2.gz IBHDEPXOZYCCOF-ZNMIVQPWSA-N 1 2 319.405 1.655 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@H](C)CCC)CC1 ZINC001131334650 767996222 /nfs/dbraw/zinc/99/62/22/767996222.db2.gz YCYZOBHLSPXOGH-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C(F)(F)F)nn1C ZINC001038286178 760977071 /nfs/dbraw/zinc/97/70/71/760977071.db2.gz GZYJKMAYRRGUKN-SNVBAGLBSA-N 1 2 314.311 1.266 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C(F)(F)F)nn1C ZINC001038286178 760977077 /nfs/dbraw/zinc/97/70/77/760977077.db2.gz GZYJKMAYRRGUKN-SNVBAGLBSA-N 1 2 314.311 1.266 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnn1-c1ccccc1 ZINC001038414395 761098260 /nfs/dbraw/zinc/09/82/60/761098260.db2.gz CLVBBJNEBOFJHP-MRXNPFEDSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnn1-c1ccccc1 ZINC001038414395 761098271 /nfs/dbraw/zinc/09/82/71/761098271.db2.gz CLVBBJNEBOFJHP-MRXNPFEDSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)n([C@H](C)CC)n1 ZINC001038443746 761122678 /nfs/dbraw/zinc/12/26/78/761122678.db2.gz WRBDIEORWIZENN-UKRRQHHQSA-N 1 2 302.422 1.990 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)n([C@H](C)CC)n1 ZINC001038443746 761122687 /nfs/dbraw/zinc/12/26/87/761122687.db2.gz WRBDIEORWIZENN-UKRRQHHQSA-N 1 2 302.422 1.990 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(C)c1C(F)(F)F ZINC001038458297 761136095 /nfs/dbraw/zinc/13/60/95/761136095.db2.gz USPVVEFWRQNWAY-JTQLQIEISA-N 1 2 314.311 1.266 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(C)c1C(F)(F)F ZINC001038458297 761136099 /nfs/dbraw/zinc/13/60/99/761136099.db2.gz USPVVEFWRQNWAY-JTQLQIEISA-N 1 2 314.311 1.266 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ncccc1C#N ZINC001069414769 768015533 /nfs/dbraw/zinc/01/55/33/768015533.db2.gz GLTMDOJNDMHJAP-DOMZBBRYSA-N 1 2 324.388 1.393 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ncccc2C)c1 ZINC001038684106 761285557 /nfs/dbraw/zinc/28/55/57/761285557.db2.gz HTUNZZXZQJSZRZ-KRWDZBQOSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ncccc2C)c1 ZINC001038684106 761285561 /nfs/dbraw/zinc/28/55/61/761285561.db2.gz HTUNZZXZQJSZRZ-KRWDZBQOSA-N 1 2 320.396 1.771 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001038714775 761319076 /nfs/dbraw/zinc/31/90/76/761319076.db2.gz UVWZCCWXGNYJJU-ZNMIVQPWSA-N 1 2 305.378 1.265 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001038714775 761319085 /nfs/dbraw/zinc/31/90/85/761319085.db2.gz UVWZCCWXGNYJJU-ZNMIVQPWSA-N 1 2 305.378 1.265 20 30 DDEDLO CC1(Nc2ncccc2C#N)CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001067270388 761408098 /nfs/dbraw/zinc/40/80/98/761408098.db2.gz YUTXAPITZBQBLK-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-n2cccc2)nc1 ZINC001038820178 761436107 /nfs/dbraw/zinc/43/61/07/761436107.db2.gz CXJDYVOXYDBPFL-INIZCTEOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-n2cccc2)nc1 ZINC001038820178 761436112 /nfs/dbraw/zinc/43/61/12/761436112.db2.gz CXJDYVOXYDBPFL-INIZCTEOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn([C@H](C)CC)c1C ZINC001038900947 761525526 /nfs/dbraw/zinc/52/55/26/761525526.db2.gz PPWXAEXASGDIDT-HIFRSBDPSA-N 1 2 302.422 1.990 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn([C@H](C)CC)c1C ZINC001038900947 761525531 /nfs/dbraw/zinc/52/55/31/761525531.db2.gz PPWXAEXASGDIDT-HIFRSBDPSA-N 1 2 302.422 1.990 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]([NH2+]Cc3nnc(C)o3)C2)C1 ZINC001000129670 761529006 /nfs/dbraw/zinc/52/90/06/761529006.db2.gz YOIIYQSQFUJNBV-CYBMUJFWSA-N 1 2 304.394 1.815 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@H](C)Nc2ccncc2C#N)c(C)[nH+]1 ZINC001098431723 761541200 /nfs/dbraw/zinc/54/12/00/761541200.db2.gz WBVSNSIOTJYBCO-ZDUSSCGKSA-N 1 2 323.400 1.926 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2c(=O)ccoc2c1 ZINC001038927537 761554876 /nfs/dbraw/zinc/55/48/76/761554876.db2.gz WMSPBBJOHXSSQQ-CQSZACIVSA-N 1 2 310.353 1.620 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2c(=O)ccoc2c1 ZINC001038927537 761554880 /nfs/dbraw/zinc/55/48/80/761554880.db2.gz WMSPBBJOHXSSQQ-CQSZACIVSA-N 1 2 310.353 1.620 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)c2c(C)noc2C)C1 ZINC001108279601 761680877 /nfs/dbraw/zinc/68/08/77/761680877.db2.gz GPBGWLFULBOYJN-SJKOYZFVSA-N 1 2 321.421 1.788 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)c2c(C)noc2C)C1 ZINC001108279601 761680882 /nfs/dbraw/zinc/68/08/82/761680882.db2.gz GPBGWLFULBOYJN-SJKOYZFVSA-N 1 2 321.421 1.788 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1Cc2cc(C)c(C)cc2O1 ZINC001039045031 761685908 /nfs/dbraw/zinc/68/59/08/761685908.db2.gz CMRNCBBSQQGYOS-FUHWJXTLSA-N 1 2 312.413 1.821 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1Cc2cc(C)c(C)cc2O1 ZINC001039045031 761685912 /nfs/dbraw/zinc/68/59/12/761685912.db2.gz CMRNCBBSQQGYOS-FUHWJXTLSA-N 1 2 312.413 1.821 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)C(C)C)CC2=O)C1 ZINC001108580883 762743282 /nfs/dbraw/zinc/74/32/82/762743282.db2.gz VEIQJJDCYHZVCU-KGLIPLIRSA-N 1 2 307.438 1.256 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CN(C)c1[nH+]cnc2c1cnn2C ZINC001108858583 763019220 /nfs/dbraw/zinc/01/92/20/763019220.db2.gz AFIBKDOHCZFTSI-LLVKDONJSA-N 1 2 302.382 1.270 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)CC(=C)C)CC1 ZINC001131376722 768101359 /nfs/dbraw/zinc/10/13/59/768101359.db2.gz GVZLHXRTAHNKLZ-OAHLLOKOSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)CC(=C)C)CC1 ZINC001131376722 768101363 /nfs/dbraw/zinc/10/13/63/768101363.db2.gz GVZLHXRTAHNKLZ-OAHLLOKOSA-N 1 2 307.438 1.425 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccs1)C2 ZINC001108883346 763039668 /nfs/dbraw/zinc/03/96/68/763039668.db2.gz QXEFIUPAVVTIOF-RDBSUJKOSA-N 1 2 304.415 1.733 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccs1)C2 ZINC001108883346 763039675 /nfs/dbraw/zinc/03/96/75/763039675.db2.gz QXEFIUPAVVTIOF-RDBSUJKOSA-N 1 2 304.415 1.733 20 30 DDEDLO N#CCN1CC[C@]2(CCN(C(=O)c3cccc4[nH+]ccn43)C2)C1 ZINC001041470633 763054883 /nfs/dbraw/zinc/05/48/83/763054883.db2.gz NOFVOVJYZVDZHX-KRWDZBQOSA-N 1 2 309.373 1.396 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001050053700 763067287 /nfs/dbraw/zinc/06/72/87/763067287.db2.gz SIVSCHHBXRFCAA-TUAOUCFPSA-N 1 2 306.303 1.833 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001050053700 763067299 /nfs/dbraw/zinc/06/72/99/763067299.db2.gz SIVSCHHBXRFCAA-TUAOUCFPSA-N 1 2 306.303 1.833 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](CC)CCC)CC1 ZINC001131391493 768110571 /nfs/dbraw/zinc/11/05/71/768110571.db2.gz WBLCSDUVCZLQGY-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](CC)CCC)CC1 ZINC001131391493 768110574 /nfs/dbraw/zinc/11/05/74/768110574.db2.gz WBLCSDUVCZLQGY-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001129376193 763209237 /nfs/dbraw/zinc/20/92/37/763209237.db2.gz SFOAMVVFFLUDOG-OAGGEKHMSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001129376193 763209242 /nfs/dbraw/zinc/20/92/42/763209242.db2.gz SFOAMVVFFLUDOG-OAGGEKHMSA-N 1 2 317.437 1.325 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccccc1C#N ZINC001109005797 763221377 /nfs/dbraw/zinc/22/13/77/763221377.db2.gz FPWQXEGZJWLFLC-IEAZIUSSSA-N 1 2 313.401 1.815 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccccc1C#N ZINC001109005797 763221385 /nfs/dbraw/zinc/22/13/85/763221385.db2.gz FPWQXEGZJWLFLC-IEAZIUSSSA-N 1 2 313.401 1.815 20 30 DDEDLO CCC[C@@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109051402 763265764 /nfs/dbraw/zinc/26/57/64/763265764.db2.gz ABJNDPKNJFCLBI-KBXIAJHMSA-N 1 2 320.437 1.174 20 30 DDEDLO CCC[C@@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109051402 763265772 /nfs/dbraw/zinc/26/57/72/763265772.db2.gz ABJNDPKNJFCLBI-KBXIAJHMSA-N 1 2 320.437 1.174 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)C ZINC001109055063 763294990 /nfs/dbraw/zinc/29/49/90/763294990.db2.gz MZYPKDWMQIDQMH-ILXRZTDVSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)C ZINC001109055063 763295000 /nfs/dbraw/zinc/29/50/00/763295000.db2.gz MZYPKDWMQIDQMH-ILXRZTDVSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncc(F)c1 ZINC001109072853 763307764 /nfs/dbraw/zinc/30/77/64/763307764.db2.gz RLTDIFOYIXUOMK-PMPSAXMXSA-N 1 2 319.380 1.645 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncc(F)c1 ZINC001109072853 763307775 /nfs/dbraw/zinc/30/77/75/763307775.db2.gz RLTDIFOYIXUOMK-PMPSAXMXSA-N 1 2 319.380 1.645 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CC(C)C1)C2 ZINC001109128847 763376190 /nfs/dbraw/zinc/37/61/90/763376190.db2.gz JBURBAHCRQIVJZ-IFOPZJACSA-N 1 2 305.422 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CC(C)C1)C2 ZINC001109128847 763376201 /nfs/dbraw/zinc/37/62/01/763376201.db2.gz JBURBAHCRQIVJZ-IFOPZJACSA-N 1 2 305.422 1.056 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001050258735 763382784 /nfs/dbraw/zinc/38/27/84/763382784.db2.gz DKPVCZRSDGXJLO-UONOGXRCSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001050267401 763391520 /nfs/dbraw/zinc/39/15/20/763391520.db2.gz BXNSKVXXSFPTFT-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1coc(C)c1)C2 ZINC001109191224 763449889 /nfs/dbraw/zinc/44/98/89/763449889.db2.gz XSCKCZVLXOKUKD-ILXRZTDVSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1coc(C)c1)C2 ZINC001109191224 763449891 /nfs/dbraw/zinc/44/98/91/763449891.db2.gz XSCKCZVLXOKUKD-ILXRZTDVSA-N 1 2 317.389 1.225 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@H+](Cc3cscn3)[C@@H]2C1 ZINC001042045402 763589588 /nfs/dbraw/zinc/58/95/88/763589588.db2.gz XRVPRFLNQQIHIZ-GOEBONIOSA-N 1 2 315.442 1.979 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@@H+](Cc3cscn3)[C@@H]2C1 ZINC001042045402 763589597 /nfs/dbraw/zinc/58/95/97/763589597.db2.gz XRVPRFLNQQIHIZ-GOEBONIOSA-N 1 2 315.442 1.979 20 30 DDEDLO N#Cc1cccnc1NC1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001057397688 763594666 /nfs/dbraw/zinc/59/46/66/763594666.db2.gz OHOKYVTVOVREST-UHFFFAOYSA-N 1 2 324.388 1.643 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@H+](Cc3ncccn3)[C@H]2C1 ZINC001042058781 763603327 /nfs/dbraw/zinc/60/33/27/763603327.db2.gz VSIQXQGJYSHZAZ-HOTGVXAUSA-N 1 2 310.401 1.313 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@@H+](Cc3ncccn3)[C@H]2C1 ZINC001042058781 763603329 /nfs/dbraw/zinc/60/33/29/763603329.db2.gz VSIQXQGJYSHZAZ-HOTGVXAUSA-N 1 2 310.401 1.313 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1C[N@H+](CCF)CCC1(F)F ZINC001046973775 768162280 /nfs/dbraw/zinc/16/22/80/768162280.db2.gz VPHWUXQQNIXELX-UPJWGTAASA-N 1 2 320.355 1.620 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1C[N@@H+](CCF)CCC1(F)F ZINC001046973775 768162282 /nfs/dbraw/zinc/16/22/82/768162282.db2.gz VPHWUXQQNIXELX-UPJWGTAASA-N 1 2 320.355 1.620 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1C[C@H]3C[C@H]3C1)C2 ZINC001109630527 763893137 /nfs/dbraw/zinc/89/31/37/763893137.db2.gz IQLYRSZQMSFXSD-IAODNVIUSA-N 1 2 317.433 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1C[C@H]3C[C@H]3C1)C2 ZINC001109630527 763893150 /nfs/dbraw/zinc/89/31/50/763893150.db2.gz IQLYRSZQMSFXSD-IAODNVIUSA-N 1 2 317.433 1.056 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C)CC(=C)C1)C2 ZINC001109697055 763950087 /nfs/dbraw/zinc/95/00/87/763950087.db2.gz SYKLFSQMBDQQPP-ILXRZTDVSA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C)CC(=C)C1)C2 ZINC001109697055 763950089 /nfs/dbraw/zinc/95/00/89/763950089.db2.gz SYKLFSQMBDQQPP-ILXRZTDVSA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CC3(CC3)C1)C2 ZINC001109701782 763964627 /nfs/dbraw/zinc/96/46/27/763964627.db2.gz FPFCQDFFRTZQFR-ILXRZTDVSA-N 1 2 317.433 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CC3(CC3)C1)C2 ZINC001109701782 763964634 /nfs/dbraw/zinc/96/46/34/763964634.db2.gz FPFCQDFFRTZQFR-ILXRZTDVSA-N 1 2 317.433 1.200 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109868039 764150917 /nfs/dbraw/zinc/15/09/17/764150917.db2.gz YZSNCPWQLKTLEW-SMDDNHRTSA-N 1 2 304.394 1.683 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](CNc2cc[nH+]c(C)n2)C2CC2)nc1 ZINC001109876823 764160607 /nfs/dbraw/zinc/16/06/07/764160607.db2.gz AKPNBZVAHKAXQT-MRXNPFEDSA-N 1 2 321.384 1.782 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1[nH]cnc1C(C)(C)C ZINC001050927863 764294898 /nfs/dbraw/zinc/29/48/98/764294898.db2.gz RTFBRUYTCGMXDY-CYBMUJFWSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1[nH]cnc1C(C)(C)C ZINC001050927863 764294902 /nfs/dbraw/zinc/29/49/02/764294902.db2.gz RTFBRUYTCGMXDY-CYBMUJFWSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1COCC[N@@H+]1CC1CC1 ZINC001050957699 764349713 /nfs/dbraw/zinc/34/97/13/764349713.db2.gz LHUPJDXFZSXZFE-OAHLLOKOSA-N 1 2 303.406 1.515 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1COCC[N@H+]1CC1CC1 ZINC001050957699 764349715 /nfs/dbraw/zinc/34/97/15/764349715.db2.gz LHUPJDXFZSXZFE-OAHLLOKOSA-N 1 2 303.406 1.515 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC1(Nc2cc[nH+]c(C)n2)CC1 ZINC001110081435 764452289 /nfs/dbraw/zinc/45/22/89/764452289.db2.gz OTKQFNJRKGIBGI-GFCCVEGCSA-N 1 2 304.394 1.827 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)N(C(C)(C)C)C2)C1 ZINC001042841136 764478602 /nfs/dbraw/zinc/47/86/02/764478602.db2.gz KHTATBPCFHFAGS-CYBMUJFWSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1noc2c1CCCC2 ZINC001051136555 764538261 /nfs/dbraw/zinc/53/82/61/764538261.db2.gz XHTWHSSQRDIZIB-CYBMUJFWSA-N 1 2 319.405 1.560 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1noc2c1CCCC2 ZINC001051136555 764538269 /nfs/dbraw/zinc/53/82/69/764538269.db2.gz XHTWHSSQRDIZIB-CYBMUJFWSA-N 1 2 319.405 1.560 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1noc2c1CCCC2 ZINC001051136557 764538393 /nfs/dbraw/zinc/53/83/93/764538393.db2.gz XHTWHSSQRDIZIB-ZDUSSCGKSA-N 1 2 319.405 1.560 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1noc2c1CCCC2 ZINC001051136557 764538400 /nfs/dbraw/zinc/53/84/00/764538400.db2.gz XHTWHSSQRDIZIB-ZDUSSCGKSA-N 1 2 319.405 1.560 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc2c(c1)COC2 ZINC001051161361 764567201 /nfs/dbraw/zinc/56/72/01/764567201.db2.gz DFMPZDRVUHDMHH-KRWDZBQOSA-N 1 2 316.401 1.724 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc2c(c1)COC2 ZINC001051161361 764567212 /nfs/dbraw/zinc/56/72/12/764567212.db2.gz DFMPZDRVUHDMHH-KRWDZBQOSA-N 1 2 316.401 1.724 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2cnn3c2CCCC3)C1 ZINC001042983938 764568190 /nfs/dbraw/zinc/56/81/90/764568190.db2.gz WDNBCDPUBJWKAX-UHFFFAOYSA-N 1 2 302.422 1.481 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccn(CC(F)(F)F)n2)C1 ZINC001043008189 764581145 /nfs/dbraw/zinc/58/11/45/764581145.db2.gz PMOBUYLDIVCUGM-UHFFFAOYSA-N 1 2 314.311 1.225 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncoc1C1CC1 ZINC001051198980 764608278 /nfs/dbraw/zinc/60/82/78/764608278.db2.gz BFYBNGCSKUNKRQ-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncoc1C1CC1 ZINC001051198980 764608289 /nfs/dbraw/zinc/60/82/89/764608289.db2.gz BFYBNGCSKUNKRQ-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2cccc(N(C)C)c2)CC1 ZINC001112706277 764625238 /nfs/dbraw/zinc/62/52/38/764625238.db2.gz NTAQCZUUAABYEK-UHFFFAOYSA-N 1 2 317.433 1.599 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CCCC)nc1 ZINC001051214014 764629537 /nfs/dbraw/zinc/62/95/37/764629537.db2.gz GQUFXFGUTXMDPI-OAHLLOKOSA-N 1 2 301.390 1.294 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CCCC)nc1 ZINC001051214014 764629540 /nfs/dbraw/zinc/62/95/40/764629540.db2.gz GQUFXFGUTXMDPI-OAHLLOKOSA-N 1 2 301.390 1.294 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cncnc1CC ZINC001051247509 764670460 /nfs/dbraw/zinc/67/04/60/764670460.db2.gz HQWFYUCPVHWPIA-CQSZACIVSA-N 1 2 318.421 1.436 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncnc1CC ZINC001051247509 764670464 /nfs/dbraw/zinc/67/04/64/764670464.db2.gz HQWFYUCPVHWPIA-CQSZACIVSA-N 1 2 318.421 1.436 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+](C[C@H](O)CC(F)(F)F)CC1 ZINC001112774325 764741568 /nfs/dbraw/zinc/74/15/68/764741568.db2.gz RHRIVJKLSLXHKW-CYBMUJFWSA-N 1 2 320.355 1.638 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCCN2C(=O)C#CC(C)C)cc[nH+]1 ZINC001112788270 764764524 /nfs/dbraw/zinc/76/45/24/764764524.db2.gz AQSPFDLWRIZAKA-HNNXBMFYSA-N 1 2 300.406 1.872 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112794999 764774951 /nfs/dbraw/zinc/77/49/51/764774951.db2.gz XPFLBGMVYDIVDD-HNNXBMFYSA-N 1 2 318.421 1.805 20 30 DDEDLO Cc1nc(NCC2CC(NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001112832685 764825698 /nfs/dbraw/zinc/82/56/98/764825698.db2.gz LFTKEIVILXWFKM-UHFFFAOYSA-N 1 2 305.407 1.349 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)C2([C@H]3CCCCO3)CCC2)C1 ZINC001043325049 764837179 /nfs/dbraw/zinc/83/71/79/764837179.db2.gz ZDWXBKXYXDVAEM-MRXNPFEDSA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051417819 764857841 /nfs/dbraw/zinc/85/78/41/764857841.db2.gz OBADLTCINNFJAE-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051460939 764904365 /nfs/dbraw/zinc/90/43/65/764904365.db2.gz XASCJGYSDSIGEV-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)c2c(C)nc(C(C)C)[nH]c2=O)C1 ZINC001043504544 764948052 /nfs/dbraw/zinc/94/80/52/764948052.db2.gz GDVVVVMNWGGAER-UHFFFAOYSA-N 1 2 304.394 1.556 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCN(C(C)(C)C)C2=O)C1 ZINC001043605268 765000606 /nfs/dbraw/zinc/00/06/06/765000606.db2.gz SODQUKIBULRCGO-OAHLLOKOSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051692351 765079364 /nfs/dbraw/zinc/07/93/64/765079364.db2.gz IGMGDDANAUWMCE-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnc3[nH]ccc3c2)CC1 ZINC001112990002 765095421 /nfs/dbraw/zinc/09/54/21/765095421.db2.gz VAGORJLAFBJQPQ-UHFFFAOYSA-N 1 2 314.389 1.475 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C2C[NH+](CCOC3CCC3)C2)c1 ZINC001043821268 765115594 /nfs/dbraw/zinc/11/55/94/765115594.db2.gz FISCUUYHNXEBME-UHFFFAOYSA-N 1 2 313.401 1.388 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)[C@H](C)Nc2cc[nH+]c(C)n2)cn1 ZINC001113103395 765261097 /nfs/dbraw/zinc/26/10/97/765261097.db2.gz KJDMEQNPIGACDE-NWDGAFQWSA-N 1 2 309.373 1.780 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[C@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131716475 768281301 /nfs/dbraw/zinc/28/13/01/768281301.db2.gz IGDJVBHFFUKKOT-HOTGVXAUSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[C@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131716475 768281305 /nfs/dbraw/zinc/28/13/05/768281305.db2.gz IGDJVBHFFUKKOT-HOTGVXAUSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C[C@H]3C=CCC3)C2)CC1 ZINC001051977819 765337916 /nfs/dbraw/zinc/33/79/16/765337916.db2.gz HBUMSZDENMEKCV-ZWKOTPCHSA-N 1 2 315.461 1.585 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)C1C[NH+]([C@H](C)COC)C1 ZINC001044162630 765354789 /nfs/dbraw/zinc/35/47/89/765354789.db2.gz AESLVCYRMPBSIY-CYBMUJFWSA-N 1 2 319.405 1.438 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)(C)C3CC3)C2)CC1 ZINC001052062775 765434462 /nfs/dbraw/zinc/43/44/62/765434462.db2.gz NWBGHGFAUOQIDI-MRXNPFEDSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3CCC3(C)C)C2)CC1 ZINC001052064321 765436612 /nfs/dbraw/zinc/43/66/12/765436612.db2.gz DDGKFZCGERDCQX-DLBZAZTESA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)CC(C)(F)F)C2)CC1 ZINC001052073971 765445682 /nfs/dbraw/zinc/44/56/82/765445682.db2.gz QRFFIVMRKCEBTE-CQSZACIVSA-N 1 2 315.408 1.826 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)C3(F)CCCCC3)C2)CC1 ZINC001052105987 765475033 /nfs/dbraw/zinc/47/50/33/765475033.db2.gz YDAQZTMERJTVNA-INIZCTEOSA-N 1 2 321.440 1.511 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(F)CCCCC3)C2)CC1 ZINC001052105987 765475038 /nfs/dbraw/zinc/47/50/38/765475038.db2.gz YDAQZTMERJTVNA-INIZCTEOSA-N 1 2 321.440 1.511 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C[C@H](C)C3CC3)C2)CC1 ZINC001052122502 765485647 /nfs/dbraw/zinc/48/56/47/765485647.db2.gz VVEHGSOCIMQAAO-FUHWJXTLSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001113303079 765535317 /nfs/dbraw/zinc/53/53/17/765535317.db2.gz JXQXLOLJKVCORB-FUHWJXTLSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](CCc3cnn(C)c3)CC2)CCC1 ZINC001113310982 765550813 /nfs/dbraw/zinc/55/08/13/765550813.db2.gz WNFPVPWROADGQQ-UHFFFAOYSA-N 1 2 316.449 1.853 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001113314553 765554726 /nfs/dbraw/zinc/55/47/26/765554726.db2.gz UHEVUXPAMFTCGV-VXGBXAGGSA-N 1 2 312.377 1.533 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+](CCOC[C@@H]3CCCO3)CC2)C1 ZINC001113324816 765582650 /nfs/dbraw/zinc/58/26/50/765582650.db2.gz PORKFFCBAKGNRV-INIZCTEOSA-N 1 2 322.449 1.683 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@@H](NCC#N)C[C@@H]3C)ccn12 ZINC001044605264 765693094 /nfs/dbraw/zinc/69/30/94/765693094.db2.gz OWGGJQQVAIHQOG-SWLSCSKDSA-N 1 2 311.389 1.749 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)C2CCCC2)[C@@H](n2ccnn2)C1 ZINC001070072672 768330087 /nfs/dbraw/zinc/33/00/87/768330087.db2.gz QKWKYUSZWUTFRB-IMJJTQAJSA-N 1 2 315.421 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)C2CCCC2)[C@@H](n2ccnn2)C1 ZINC001070072672 768330094 /nfs/dbraw/zinc/33/00/94/768330094.db2.gz QKWKYUSZWUTFRB-IMJJTQAJSA-N 1 2 315.421 1.079 20 30 DDEDLO Cc1nsc(N(C)[C@H](C)CNC(=O)Cn2cc[nH+]c2)c1C#N ZINC001113645248 765970380 /nfs/dbraw/zinc/97/03/80/765970380.db2.gz WLDBUVSADYSWGH-SNVBAGLBSA-N 1 2 318.406 1.161 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[C@H]2C[NH2+]Cc2cnsn2)[nH]1 ZINC001045000096 766017012 /nfs/dbraw/zinc/01/70/12/766017012.db2.gz VRLZVDYKCIMJAQ-LBPRGKRZSA-N 1 2 316.390 1.132 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[NH+](CCCc2nc(C)no2)CC1 ZINC001113713044 766052562 /nfs/dbraw/zinc/05/25/62/766052562.db2.gz KEYMBKRACLXIIQ-CYBMUJFWSA-N 1 2 322.409 1.046 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC001058247202 766324537 /nfs/dbraw/zinc/32/45/37/766324537.db2.gz LXYJIWDJBNRFDG-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113906265 766380394 /nfs/dbraw/zinc/38/03/94/766380394.db2.gz GYNMMGVVPDPNGF-YUTCNCBUSA-N 1 2 304.394 1.317 20 30 DDEDLO Cc1ccccc1C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001045999415 766784104 /nfs/dbraw/zinc/78/41/04/766784104.db2.gz PUYBYFYPFOMGLR-INIZCTEOSA-N 1 2 312.417 1.351 20 30 DDEDLO C[C@H](C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C1CCC1 ZINC001046038017 766843723 /nfs/dbraw/zinc/84/37/23/766843723.db2.gz FZJYWEGNECOUEP-GOEBONIOSA-N 1 2 304.438 1.165 20 30 DDEDLO C[C@H](C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C(C)(C)C ZINC001046039296 766846470 /nfs/dbraw/zinc/84/64/70/766846470.db2.gz VLSPMRUZCBUMEK-HUUCEWRRSA-N 1 2 306.454 1.411 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CN(CC#N)C[C@H]1c1ccccc1 ZINC001086621133 766846603 /nfs/dbraw/zinc/84/66/03/766846603.db2.gz FKLHBXBRHFNYJD-DOTOQJQBSA-N 1 2 323.400 1.368 20 30 DDEDLO CC1(C)CCC[C@H]1C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046053628 766862167 /nfs/dbraw/zinc/86/21/67/766862167.db2.gz DOFJPJXFVMFGED-CVEARBPZSA-N 1 2 318.465 1.555 20 30 DDEDLO CC1(C)CCC[C@@H]1C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046053630 766863017 /nfs/dbraw/zinc/86/30/17/766863017.db2.gz DOFJPJXFVMFGED-HZPDHXFCSA-N 1 2 318.465 1.555 20 30 DDEDLO C=C1CCC(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)CC1 ZINC001046058247 766868005 /nfs/dbraw/zinc/86/80/05/766868005.db2.gz FMRJTFBTZPALGS-KRWDZBQOSA-N 1 2 316.449 1.475 20 30 DDEDLO Cc1nc(NC[C@@H]2C[C@H](C)N(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001068306439 767082992 /nfs/dbraw/zinc/08/29/92/767082992.db2.gz OLJZIQCRDZKSHU-FZMZJTMJSA-N 1 2 324.388 1.948 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001046314040 767410010 /nfs/dbraw/zinc/41/00/10/767410010.db2.gz AGFNXWQXSCYHQR-HNNXBMFYSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001046314040 767410015 /nfs/dbraw/zinc/41/00/15/767410015.db2.gz AGFNXWQXSCYHQR-HNNXBMFYSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnn3ncccc23)C1 ZINC001046380170 767490465 /nfs/dbraw/zinc/49/04/65/767490465.db2.gz RRVJOOFMOQGDMX-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnn3ncccc23)C1 ZINC001046380170 767490468 /nfs/dbraw/zinc/49/04/68/767490468.db2.gz RRVJOOFMOQGDMX-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO CN(c1ccc(C#N)nc1)C1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001068688577 767522900 /nfs/dbraw/zinc/52/29/00/767522900.db2.gz AGIXUMVDNYRWAL-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@H]1O ZINC001090490081 767670361 /nfs/dbraw/zinc/67/03/61/767670361.db2.gz KFOVTVKKDHFNMZ-HUUCEWRRSA-N 1 2 318.421 1.424 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068962985 767730710 /nfs/dbraw/zinc/73/07/10/767730710.db2.gz MIHUFBVTUNGNLI-SMDDNHRTSA-N 1 2 310.361 1.320 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)CCCC(C)=O)CC[C@H]1C ZINC001131803050 768380090 /nfs/dbraw/zinc/38/00/90/768380090.db2.gz OMPRNJRJLMAHSB-UKRRQHHQSA-N 1 2 323.437 1.017 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)CCCC(C)=O)CC[C@H]1C ZINC001131803050 768380097 /nfs/dbraw/zinc/38/00/97/768380097.db2.gz OMPRNJRJLMAHSB-UKRRQHHQSA-N 1 2 323.437 1.017 20 30 DDEDLO CN(C(=O)c1ccc[nH]1)[C@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047301629 768386361 /nfs/dbraw/zinc/38/63/61/768386361.db2.gz WIACWVQGWCHWAO-IRXDYDNUSA-N 1 2 324.384 1.204 20 30 DDEDLO CN(C(=O)c1ccc[nH]1)[C@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047301629 768386365 /nfs/dbraw/zinc/38/63/65/768386365.db2.gz WIACWVQGWCHWAO-IRXDYDNUSA-N 1 2 324.384 1.204 20 30 DDEDLO CCCCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001131824350 768390634 /nfs/dbraw/zinc/39/06/34/768390634.db2.gz AMAZHXUTFQVKRQ-KGLIPLIRSA-N 1 2 308.426 1.176 20 30 DDEDLO CCCCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001131824350 768390642 /nfs/dbraw/zinc/39/06/42/768390642.db2.gz AMAZHXUTFQVKRQ-KGLIPLIRSA-N 1 2 308.426 1.176 20 30 DDEDLO CN(C(=O)[C@H]1CC12CC2)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047542927 768556638 /nfs/dbraw/zinc/55/66/38/768556638.db2.gz GIRXADKKTFCRJH-SQNIBIBYSA-N 1 2 324.424 1.342 20 30 DDEDLO CN(C(=O)[C@H]1CC12CC2)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047542927 768556643 /nfs/dbraw/zinc/55/66/43/768556643.db2.gz GIRXADKKTFCRJH-SQNIBIBYSA-N 1 2 324.424 1.342 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001070534498 768557912 /nfs/dbraw/zinc/55/79/12/768557912.db2.gz XNITTWHYNFXKQL-CYBMUJFWSA-N 1 2 304.394 1.036 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132033699 768579699 /nfs/dbraw/zinc/57/96/99/768579699.db2.gz JYCJPLCIRFBMTC-HIFRSBDPSA-N 1 2 301.390 1.866 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132033699 768579702 /nfs/dbraw/zinc/57/97/02/768579702.db2.gz JYCJPLCIRFBMTC-HIFRSBDPSA-N 1 2 301.390 1.866 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C3CC3)s2)C1 ZINC001047596058 768598907 /nfs/dbraw/zinc/59/89/07/768598907.db2.gz OFISFMWQLOBARO-STQMWFEESA-N 1 2 304.415 1.376 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C3CC3)s2)C1 ZINC001047596058 768598914 /nfs/dbraw/zinc/59/89/14/768598914.db2.gz OFISFMWQLOBARO-STQMWFEESA-N 1 2 304.415 1.376 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@@H]2C)cn1 ZINC001132046874 768600560 /nfs/dbraw/zinc/60/05/60/768600560.db2.gz RQOUTBXFFAWNIB-SUMWQHHRSA-N 1 2 312.417 1.668 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@@H]2C)cn1 ZINC001132046874 768600564 /nfs/dbraw/zinc/60/05/64/768600564.db2.gz RQOUTBXFFAWNIB-SUMWQHHRSA-N 1 2 312.417 1.668 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(N)=O)C1 ZINC001132078214 768609000 /nfs/dbraw/zinc/60/90/00/768609000.db2.gz KKLWRLUHJILJSK-KOLCDFICSA-N 1 2 301.818 1.220 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(N)=O)C1 ZINC001132078214 768609005 /nfs/dbraw/zinc/60/90/05/768609005.db2.gz KKLWRLUHJILJSK-KOLCDFICSA-N 1 2 301.818 1.220 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001096238089 768711530 /nfs/dbraw/zinc/71/15/30/768711530.db2.gz RERCVMMPLJTIHX-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001096238089 768711536 /nfs/dbraw/zinc/71/15/36/768711536.db2.gz RERCVMMPLJTIHX-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO CC(C)CCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132319251 768756093 /nfs/dbraw/zinc/75/60/93/768756093.db2.gz WISGISWSBXAXOE-HUUCEWRRSA-N 1 2 322.453 1.422 20 30 DDEDLO CC(C)CCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132319251 768756095 /nfs/dbraw/zinc/75/60/95/768756095.db2.gz WISGISWSBXAXOE-HUUCEWRRSA-N 1 2 322.453 1.422 20 30 DDEDLO CCCCC(=O)NCC[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC001096266124 768846610 /nfs/dbraw/zinc/84/66/10/768846610.db2.gz FHVWMZUYKWIRKD-UHFFFAOYSA-N 1 2 315.421 1.382 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2C2CC2)CC1 ZINC001071008185 768873730 /nfs/dbraw/zinc/87/37/30/768873730.db2.gz MOGUYRDKCCXALD-JKSUJKDBSA-N 1 2 319.449 1.259 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2C2CC2)CC1 ZINC001071008185 768873741 /nfs/dbraw/zinc/87/37/41/768873741.db2.gz MOGUYRDKCCXALD-JKSUJKDBSA-N 1 2 319.449 1.259 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001132593232 769015265 /nfs/dbraw/zinc/01/52/65/769015265.db2.gz XCQMQJISHCPJSS-UHFFFAOYSA-N 1 2 304.394 1.422 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cn(C)c(=O)cn2)CC[C@H]1C ZINC001071694339 769896463 /nfs/dbraw/zinc/89/64/63/769896463.db2.gz FRRVYPHNTAAFML-NEPJUHHUSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cn(C)c(=O)cn2)CC[C@H]1C ZINC001071694339 769896470 /nfs/dbraw/zinc/89/64/70/769896470.db2.gz FRRVYPHNTAAFML-NEPJUHHUSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)CC[C@H]1C ZINC001071942883 770320200 /nfs/dbraw/zinc/32/02/00/770320200.db2.gz UQBXLHWJABDURO-PWSUYJOCSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)CC[C@H]1C ZINC001071942883 770320208 /nfs/dbraw/zinc/32/02/08/770320208.db2.gz UQBXLHWJABDURO-PWSUYJOCSA-N 1 2 324.812 1.449 20 30 DDEDLO CC(C)Cc1nc(C[NH2+]C2CC(CNC(=O)[C@H](C)C#N)C2)no1 ZINC001100197868 770356402 /nfs/dbraw/zinc/35/64/02/770356402.db2.gz GGTIBWDUISKYLP-PNESKVBLSA-N 1 2 319.409 1.412 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001071994559 770403727 /nfs/dbraw/zinc/40/37/27/770403727.db2.gz CLCWBVDEWHIMDS-LSDHHAIUSA-N 1 2 316.405 1.040 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001134062244 770609646 /nfs/dbraw/zinc/60/96/46/770609646.db2.gz UKSPAGWUMKFSLF-RTXFEEFZSA-N 1 2 320.393 1.305 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)CCCn2cc[nH+]c2)C[C@H]1C ZINC001072372971 770792043 /nfs/dbraw/zinc/79/20/43/770792043.db2.gz PALNBJRTJUFJSN-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#N ZINC001049734936 771120937 /nfs/dbraw/zinc/12/09/37/771120937.db2.gz GXZJCSGKJGPENB-TUVASFSCSA-N 1 2 313.405 1.112 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](NC(=O)CCc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001097111794 771628525 /nfs/dbraw/zinc/62/85/25/771628525.db2.gz ZZAYFPHLIAKLQE-SHTZXODSSA-N 1 2 324.388 1.677 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](NC(=O)CCc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001097111794 771628531 /nfs/dbraw/zinc/62/85/31/771628531.db2.gz ZZAYFPHLIAKLQE-SHTZXODSSA-N 1 2 324.388 1.677 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc[nH]c2C2CC2)[C@H](O)C1 ZINC001090526482 771855744 /nfs/dbraw/zinc/85/57/44/771855744.db2.gz UDWHUCYXBFTVMZ-UONOGXRCSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc[nH]c2C2CC2)[C@H](O)C1 ZINC001090526482 771855748 /nfs/dbraw/zinc/85/57/48/771855748.db2.gz UDWHUCYXBFTVMZ-UONOGXRCSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CCCC[C@H]1Nc1cc[nH+]c(C)n1 ZINC001091251920 772643413 /nfs/dbraw/zinc/64/34/13/772643413.db2.gz QFGQIFLEPUBTRU-CPUCHLNUSA-N 1 2 318.421 1.951 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091368892 772709028 /nfs/dbraw/zinc/70/90/28/772709028.db2.gz DXJJVPFWPPGWAY-BNOWGMLFSA-N 1 2 302.378 1.130 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC2(C1)CC[N@@H+](Cc1cc(C)on1)C2 ZINC001147440200 773147506 /nfs/dbraw/zinc/14/75/06/773147506.db2.gz LXGGIVWBHASZIF-INIZCTEOSA-N 1 2 319.405 1.344 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC2(C1)CC[N@H+](Cc1cc(C)on1)C2 ZINC001147440200 773147509 /nfs/dbraw/zinc/14/75/09/773147509.db2.gz LXGGIVWBHASZIF-INIZCTEOSA-N 1 2 319.405 1.344 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cscn1)C2 ZINC001147541382 773163092 /nfs/dbraw/zinc/16/30/92/773163092.db2.gz OSIZHMGAEKITFL-ZDUSSCGKSA-N 1 2 319.430 1.216 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cscn1)C2 ZINC001147541382 773163094 /nfs/dbraw/zinc/16/30/94/773163094.db2.gz OSIZHMGAEKITFL-ZDUSSCGKSA-N 1 2 319.430 1.216 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nc(C)no1)C2 ZINC001148104596 773350248 /nfs/dbraw/zinc/35/02/48/773350248.db2.gz RDUQOKGJMZUAKW-UHFFFAOYSA-N 1 2 316.405 1.606 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C)no1)C2 ZINC001148104596 773350255 /nfs/dbraw/zinc/35/02/55/773350255.db2.gz RDUQOKGJMZUAKW-UHFFFAOYSA-N 1 2 316.405 1.606 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001074101413 773631539 /nfs/dbraw/zinc/63/15/39/773631539.db2.gz MPGOTIOYNOWCCP-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccsc3)C[C@@H]21 ZINC001074158800 773681851 /nfs/dbraw/zinc/68/18/51/773681851.db2.gz UXOUXZPDFMLUDM-LSDHHAIUSA-N 1 2 304.415 1.687 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccsc3)C[C@@H]21 ZINC001074158800 773681854 /nfs/dbraw/zinc/68/18/54/773681854.db2.gz UXOUXZPDFMLUDM-LSDHHAIUSA-N 1 2 304.415 1.687 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)n3C)C[C@H]21 ZINC001074163778 773686859 /nfs/dbraw/zinc/68/68/59/773686859.db2.gz VKVSVYWUZNCAPO-IAGOWNOFSA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)n3C)C[C@H]21 ZINC001074163778 773686862 /nfs/dbraw/zinc/68/68/62/773686862.db2.gz VKVSVYWUZNCAPO-IAGOWNOFSA-N 1 2 315.417 1.272 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(OC)no3)C[C@@H]21 ZINC001074218857 773741482 /nfs/dbraw/zinc/74/14/82/773741482.db2.gz GLJYLNPREVRXCR-QWHCGFSZSA-N 1 2 321.377 1.175 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(OC)no3)C[C@@H]21 ZINC001074218857 773741484 /nfs/dbraw/zinc/74/14/84/773741484.db2.gz GLJYLNPREVRXCR-QWHCGFSZSA-N 1 2 321.377 1.175 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3C=CC=CC=C3)C[C@@H]21 ZINC001074259390 773774442 /nfs/dbraw/zinc/77/44/42/773774442.db2.gz MFRVXYHFCQRPFU-ZWKOTPCHSA-N 1 2 312.413 1.610 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3C=CC=CC=C3)C[C@@H]21 ZINC001074259390 773774444 /nfs/dbraw/zinc/77/44/44/773774444.db2.gz MFRVXYHFCQRPFU-ZWKOTPCHSA-N 1 2 312.413 1.610 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CC4(CC4)C3)C[C@@H]21 ZINC001074336546 773834494 /nfs/dbraw/zinc/83/44/94/773834494.db2.gz RKPIQBVKRMOFJD-HOTGVXAUSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CC4(CC4)C3)C[C@@H]21 ZINC001074336546 773834498 /nfs/dbraw/zinc/83/44/98/773834498.db2.gz RKPIQBVKRMOFJD-HOTGVXAUSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cncc(C#C)c3)C[C@@H]21 ZINC001074337143 773834994 /nfs/dbraw/zinc/83/49/94/773834994.db2.gz YXRYVBLLZADUCQ-ROUUACIJSA-N 1 2 323.396 1.002 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cncc(C#C)c3)C[C@@H]21 ZINC001074337143 773834996 /nfs/dbraw/zinc/83/49/96/773834996.db2.gz YXRYVBLLZADUCQ-ROUUACIJSA-N 1 2 323.396 1.002 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H]2CCN(C(=O)[C@H](C)C#N)[C@H]2C)n1 ZINC001074570025 774001946 /nfs/dbraw/zinc/00/19/46/774001946.db2.gz FIWGNQQFQRPTEJ-WOPDTQHZSA-N 1 2 305.382 1.432 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc(C)n1)c1nccn12 ZINC001092344879 774065007 /nfs/dbraw/zinc/06/50/07/774065007.db2.gz UXESXVOVPXUNNR-HNNXBMFYSA-N 1 2 323.400 1.658 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cscn1)c1nccn12 ZINC001092352625 774070073 /nfs/dbraw/zinc/07/00/73/774070073.db2.gz OGGIGOLAESOMGB-LLVKDONJSA-N 1 2 315.402 1.411 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001075141817 774378335 /nfs/dbraw/zinc/37/83/35/774378335.db2.gz JKIUBTKXONGUMV-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C[C@@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)Nc1cncc(C#N)n1 ZINC001098373824 774553233 /nfs/dbraw/zinc/55/32/33/774553233.db2.gz WIECVIOTUTUCMA-JTQLQIEISA-N 1 2 321.344 1.455 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3C[C@H]3OCC)CC2)C1 ZINC001093510795 774758250 /nfs/dbraw/zinc/75/82/50/774758250.db2.gz IGZGOHSMDJTWAX-DLBZAZTESA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CCCO[C@H]3C)CC2)C1 ZINC001093526875 774779200 /nfs/dbraw/zinc/77/92/00/774779200.db2.gz FSCQFFSWRPTFKP-YOEHRIQHSA-N 1 2 322.449 1.679 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCCNc1nc(C#N)c(Cl)s1 ZINC001093750192 775053015 /nfs/dbraw/zinc/05/30/15/775053015.db2.gz OVDKZEUGJXMGTR-UHFFFAOYSA-N 1 2 324.797 1.172 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC2(OC)CCC2)[C@H](O)C1 ZINC001099794984 775290510 /nfs/dbraw/zinc/29/05/10/775290510.db2.gz VFLYAAXPFQAWIC-CHWSQXEVSA-N 1 2 316.829 1.250 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC2(OC)CCC2)[C@H](O)C1 ZINC001099794984 775290515 /nfs/dbraw/zinc/29/05/15/775290515.db2.gz VFLYAAXPFQAWIC-CHWSQXEVSA-N 1 2 316.829 1.250 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C(F)F)CC2)[C@H](O)C1 ZINC001099829198 775334956 /nfs/dbraw/zinc/33/49/56/775334956.db2.gz CXZCKPLOMSFDRX-VHSXEESVSA-N 1 2 308.756 1.336 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C(F)F)CC2)[C@H](O)C1 ZINC001099829198 775334966 /nfs/dbraw/zinc/33/49/66/775334966.db2.gz CXZCKPLOMSFDRX-VHSXEESVSA-N 1 2 308.756 1.336 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)cn2)C[C@@H]1O ZINC001099858414 775362092 /nfs/dbraw/zinc/36/20/92/775362092.db2.gz LIWHYDJHCVBDDR-CVEARBPZSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)cn2)C[C@@H]1O ZINC001099858414 775362104 /nfs/dbraw/zinc/36/21/04/775362104.db2.gz LIWHYDJHCVBDDR-CVEARBPZSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C1CCC(C(=O)NCCNc2[nH+]cnc3c2cnn3C)CC1 ZINC001094098992 775431477 /nfs/dbraw/zinc/43/14/77/775431477.db2.gz OVLLREAROFXPAU-UHFFFAOYSA-N 1 2 314.393 1.638 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099963050 775486852 /nfs/dbraw/zinc/48/68/52/775486852.db2.gz YKHTXCNKIPNFML-CABCVRRESA-N 1 2 321.446 1.388 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099963050 775486857 /nfs/dbraw/zinc/48/68/57/775486857.db2.gz YKHTXCNKIPNFML-CABCVRRESA-N 1 2 321.446 1.388 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCCC[C@@H]3C)nn2)C1 ZINC001094314092 775668748 /nfs/dbraw/zinc/66/87/48/775668748.db2.gz MYNLSCKIALECET-XJKSGUPXSA-N 1 2 317.437 1.763 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCCN(C)c1cc[nH+]c(C)n1)OCC ZINC001100170862 775758786 /nfs/dbraw/zinc/75/87/86/775758786.db2.gz GOISKOMLOXPABH-AWEZNQCLSA-N 1 2 306.410 1.709 20 30 DDEDLO C[C@H](CC(=O)NCCN(C)c1ccc(C#N)nc1)n1cc[nH+]c1 ZINC001100350451 776037061 /nfs/dbraw/zinc/03/70/61/776037061.db2.gz IYWADUJIYJGILI-CYBMUJFWSA-N 1 2 312.377 1.353 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3ccccc3C)CC2=O)C1 ZINC001094698763 776209728 /nfs/dbraw/zinc/20/97/28/776209728.db2.gz KLOPQHQDSKIGBG-CQSZACIVSA-N 1 2 313.401 1.196 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)c3cccs3)CC2=O)C1 ZINC001094698697 776210098 /nfs/dbraw/zinc/21/00/98/776210098.db2.gz IPOOCMWBJPQPPA-LBPRGKRZSA-N 1 2 319.430 1.339 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCCN(CC)c1cc[nH+]c(C)n1 ZINC001100746230 776495437 /nfs/dbraw/zinc/49/54/37/776495437.db2.gz BNDMEEFCYVPRJQ-CABCVRRESA-N 1 2 318.421 1.709 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H]1CNC(=O)CCc1[nH]cc[nH+]1 ZINC001100823952 776599764 /nfs/dbraw/zinc/59/97/64/776599764.db2.gz VLRUXGGEFTYGIT-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CNC(=O)CCn2cc[nH+]c2)cn1 ZINC001100885267 776668095 /nfs/dbraw/zinc/66/80/95/776668095.db2.gz VHLDFQOKZUGDLV-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO Cc1cc(N2CC[C@H](CNC(=O)[C@@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001100950392 776751317 /nfs/dbraw/zinc/75/13/17/776751317.db2.gz SMPFTOIXRYWILY-WCQYABFASA-N 1 2 313.405 1.765 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@@H]([NH2+]Cc2nnn(C)n2)C12CCC2 ZINC001203340497 776830724 /nfs/dbraw/zinc/83/07/24/776830724.db2.gz LWHIOTGXXYLCIE-CHWSQXEVSA-N 1 2 318.425 1.083 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(NC(=N)c2nccs2)CC1 ZINC001172886480 776923720 /nfs/dbraw/zinc/92/37/20/776923720.db2.gz KLAZHDJWIYJWMW-UHFFFAOYSA-N 1 2 324.450 1.655 20 30 DDEDLO C=CCOC1CCC([NH2+]Cc2noc(C(=O)N(C)C)n2)CC1 ZINC001173311070 777105977 /nfs/dbraw/zinc/10/59/77/777105977.db2.gz CZHASRQGAXAVGV-UHFFFAOYSA-N 1 2 308.382 1.375 20 30 DDEDLO C=CCOCC(=O)N(C)CCNc1cc(C)[nH+]c(C(C)C)n1 ZINC001101545940 777235972 /nfs/dbraw/zinc/23/59/72/777235972.db2.gz AEPBZZRZZMYIDY-UHFFFAOYSA-N 1 2 306.410 1.981 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C/c1ccc[nH]1)c1nccn12 ZINC001101623959 777317900 /nfs/dbraw/zinc/31/79/00/777317900.db2.gz MDSQVCRVQIYOQR-NFAHFFEMSA-N 1 2 323.400 1.683 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCC(=C)C)c1nccn12 ZINC001101629514 777323939 /nfs/dbraw/zinc/32/39/39/777323939.db2.gz VFNWRAVVZZOTSL-CQSZACIVSA-N 1 2 300.406 1.997 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001095280608 777407396 /nfs/dbraw/zinc/40/73/96/777407396.db2.gz DMVCJZNQSGQIHL-CYBMUJFWSA-N 1 2 318.425 1.615 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001110184766 777749508 /nfs/dbraw/zinc/74/95/08/777749508.db2.gz VZNDBVMXZQUQFG-UXOAXIEHSA-N 1 2 321.446 1.956 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001110184766 777749515 /nfs/dbraw/zinc/74/95/15/777749515.db2.gz VZNDBVMXZQUQFG-UXOAXIEHSA-N 1 2 321.446 1.956 20 30 DDEDLO CCCN(C(=O)[C@@H](C)C#N)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102226005 777956937 /nfs/dbraw/zinc/95/69/37/777956937.db2.gz YFSWBTPJEQLPRS-JSGCOSHPSA-N 1 2 301.394 1.762 20 30 DDEDLO Cc1nc(N2CC[C@@H](CCNC(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001102329774 778065171 /nfs/dbraw/zinc/06/51/71/778065171.db2.gz TYPKCDCRBWCNED-OAHLLOKOSA-N 1 2 300.406 1.777 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)F)C[C@H]21 ZINC001176881931 778289134 /nfs/dbraw/zinc/28/91/34/778289134.db2.gz IPNWGOWPJGNNHO-KGLIPLIRSA-N 1 2 314.401 1.239 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)F)C[C@H]21 ZINC001176881931 778289139 /nfs/dbraw/zinc/28/91/39/778289139.db2.gz IPNWGOWPJGNNHO-KGLIPLIRSA-N 1 2 314.401 1.239 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)F)C[C@H]21 ZINC001176881933 778289804 /nfs/dbraw/zinc/28/98/04/778289804.db2.gz IPNWGOWPJGNNHO-ZIAGYGMSSA-N 1 2 314.401 1.239 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)F)C[C@H]21 ZINC001176881933 778289806 /nfs/dbraw/zinc/28/98/06/778289806.db2.gz IPNWGOWPJGNNHO-ZIAGYGMSSA-N 1 2 314.401 1.239 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001176923972 778311892 /nfs/dbraw/zinc/31/18/92/778311892.db2.gz IOCTTZFCKIWYOG-HOTGVXAUSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001176923972 778311901 /nfs/dbraw/zinc/31/19/01/778311901.db2.gz IOCTTZFCKIWYOG-HOTGVXAUSA-N 1 2 318.421 1.600 20 30 DDEDLO CC(C)OCC[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001177006950 778386873 /nfs/dbraw/zinc/38/68/73/778386873.db2.gz VRNXEJIGWCQZNL-SJORKVTESA-N 1 2 320.433 1.127 20 30 DDEDLO CC(C)OCC[N@H+]1CCO[C@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001177006950 778386879 /nfs/dbraw/zinc/38/68/79/778386879.db2.gz VRNXEJIGWCQZNL-SJORKVTESA-N 1 2 320.433 1.127 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3)C[C@H]21 ZINC001177018355 778394801 /nfs/dbraw/zinc/39/48/01/778394801.db2.gz DAQSVCGRCLTBPO-CVEARBPZSA-N 1 2 319.405 1.133 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3)C[C@H]21 ZINC001177018355 778394809 /nfs/dbraw/zinc/39/48/09/778394809.db2.gz DAQSVCGRCLTBPO-CVEARBPZSA-N 1 2 319.405 1.133 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(CC)CC3)C[C@H]21 ZINC001177095970 778444877 /nfs/dbraw/zinc/44/48/77/778444877.db2.gz TVPRVALCOOQXOT-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CC)CC3)C[C@H]21 ZINC001177095970 778444880 /nfs/dbraw/zinc/44/48/80/778444880.db2.gz TVPRVALCOOQXOT-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO CC[C@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1snc(C)c1C#N ZINC001103161745 778679484 /nfs/dbraw/zinc/67/94/84/778679484.db2.gz JHZOTJSLCFIEMC-SNVBAGLBSA-N 1 2 318.406 1.596 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)nn1 ZINC001103357367 778812166 /nfs/dbraw/zinc/81/21/66/778812166.db2.gz QDZRGYDJHRWFNM-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)nn1 ZINC001103357367 778812168 /nfs/dbraw/zinc/81/21/68/778812168.db2.gz QDZRGYDJHRWFNM-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO Cc1nc(N(C)C)nc(NC[C@H](C)CNC(=O)C#CC(C)C)[nH+]1 ZINC001103932554 779183758 /nfs/dbraw/zinc/18/37/58/779183758.db2.gz CTQAZBDXIJGPCZ-GFCCVEGCSA-N 1 2 318.425 1.070 20 30 DDEDLO N#CCNCCCCCCNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001178852115 779199625 /nfs/dbraw/zinc/19/96/25/779199625.db2.gz NBXFVPFLNOYYST-CQSZACIVSA-N 1 2 303.410 1.235 20 30 DDEDLO C[C@@H](CNC(=O)CCn1cc[nH+]c1)CNc1ncccc1C#N ZINC001104153420 779339050 /nfs/dbraw/zinc/33/90/50/779339050.db2.gz ZWMCCKBGIGSYPK-ZDUSSCGKSA-N 1 2 312.377 1.404 20 30 DDEDLO CCc1nnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)o1 ZINC001111666650 779427534 /nfs/dbraw/zinc/42/75/34/779427534.db2.gz IKWGDVCRQQIJIZ-MKBNYLNASA-N 1 2 317.393 1.262 20 30 DDEDLO CCc1nnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)o1 ZINC001111666650 779427536 /nfs/dbraw/zinc/42/75/36/779427536.db2.gz IKWGDVCRQQIJIZ-MKBNYLNASA-N 1 2 317.393 1.262 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)[C@H](CNc1ccc(C#N)cn1)C1CC1 ZINC001115577331 780246445 /nfs/dbraw/zinc/24/64/45/780246445.db2.gz SAKVFHGNCXIVJZ-OAHLLOKOSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCCCC(=O)NCC[N@@H+](C)CC(=O)NC1CCC(C)CC1 ZINC001267193369 837510325 /nfs/dbraw/zinc/51/03/25/837510325.db2.gz OIPIWCLRBIEHEO-UHFFFAOYSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCCCC(=O)NCC[N@H+](C)CC(=O)NC1CCC(C)CC1 ZINC001267193369 837510328 /nfs/dbraw/zinc/51/03/28/837510328.db2.gz OIPIWCLRBIEHEO-UHFFFAOYSA-N 1 2 321.465 1.533 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)CCOCC(F)(F)F ZINC001267204999 837530861 /nfs/dbraw/zinc/53/08/61/837530861.db2.gz SNXODIPRMGSUDT-UHFFFAOYSA-N 1 2 302.724 1.756 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)CCOCC(F)(F)F ZINC001267204999 837530864 /nfs/dbraw/zinc/53/08/64/837530864.db2.gz SNXODIPRMGSUDT-UHFFFAOYSA-N 1 2 302.724 1.756 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H](C)c1ccccc1C ZINC001267230935 837571269 /nfs/dbraw/zinc/57/12/69/837571269.db2.gz IMTKKOIFWNYDKD-HNNXBMFYSA-N 1 2 317.433 1.449 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H](C)c1ccccc1C ZINC001267230935 837571275 /nfs/dbraw/zinc/57/12/75/837571275.db2.gz IMTKKOIFWNYDKD-HNNXBMFYSA-N 1 2 317.433 1.449 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@H]2CNC(=O)C#CC(C)C)on1 ZINC001267267304 837704150 /nfs/dbraw/zinc/70/41/50/837704150.db2.gz PQQRUEVHVOUMJU-ZDUSSCGKSA-N 1 2 305.378 1.423 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@H]2CNC(=O)C#CC(C)C)on1 ZINC001267267304 837704159 /nfs/dbraw/zinc/70/41/59/837704159.db2.gz PQQRUEVHVOUMJU-ZDUSSCGKSA-N 1 2 305.378 1.423 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1cnnn1C ZINC001267274688 837722009 /nfs/dbraw/zinc/72/20/09/837722009.db2.gz RDGIJRQXOHLPIZ-BBRMVZONSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCC[N@H+]1Cc1cnnn1C ZINC001267274688 837722011 /nfs/dbraw/zinc/72/20/11/837722011.db2.gz RDGIJRQXOHLPIZ-BBRMVZONSA-N 1 2 305.426 1.498 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CC[C@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001267292958 837765302 /nfs/dbraw/zinc/76/53/02/837765302.db2.gz JGJXMPRSUKTTNI-SMDDNHRTSA-N 1 2 301.394 1.026 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CC[C@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001267292958 837765309 /nfs/dbraw/zinc/76/53/09/837765309.db2.gz JGJXMPRSUKTTNI-SMDDNHRTSA-N 1 2 301.394 1.026 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1CC[N@H+](Cc2nc(C)no2)C1 ZINC001267299148 837778909 /nfs/dbraw/zinc/77/89/09/837778909.db2.gz AFMKKCKMOSMHPV-ZIAGYGMSSA-N 1 2 306.410 1.918 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1CC[N@@H+](Cc2nc(C)no2)C1 ZINC001267299148 837778918 /nfs/dbraw/zinc/77/89/18/837778918.db2.gz AFMKKCKMOSMHPV-ZIAGYGMSSA-N 1 2 306.410 1.918 20 30 DDEDLO C=CCN1CC[NH+](CCNC(=O)Cc2cccc(OC)c2)CC1 ZINC001266297375 836073238 /nfs/dbraw/zinc/07/32/38/836073238.db2.gz OJCDQHIMMMYFOR-UHFFFAOYSA-N 1 2 317.433 1.158 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)c(C)s2)C1 ZINC001266358593 836168077 /nfs/dbraw/zinc/16/80/77/836168077.db2.gz FAOPLCFZXOCOGE-CYBMUJFWSA-N 1 2 321.446 1.471 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)c(C)s2)C1 ZINC001266358593 836168080 /nfs/dbraw/zinc/16/80/80/836168080.db2.gz FAOPLCFZXOCOGE-CYBMUJFWSA-N 1 2 321.446 1.471 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC[C@@H](F)C1 ZINC001266494410 836345784 /nfs/dbraw/zinc/34/57/84/836345784.db2.gz QQTMYHHYEINUDG-FPCVCCKLSA-N 1 2 308.397 1.450 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC[C@@H](F)C1 ZINC001266494410 836345791 /nfs/dbraw/zinc/34/57/91/836345791.db2.gz QQTMYHHYEINUDG-FPCVCCKLSA-N 1 2 308.397 1.450 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001266935022 837078957 /nfs/dbraw/zinc/07/89/57/837078957.db2.gz FMKJOKHVSADVHN-GFCCVEGCSA-N 1 2 306.410 1.621 20 30 DDEDLO C[C@@H](CNC(=O)C#CC1CC1)[NH2+]Cc1noc(-c2ccccc2)n1 ZINC001266937913 837084888 /nfs/dbraw/zinc/08/48/88/837084888.db2.gz QBNIRRKGOMLNMW-ZDUSSCGKSA-N 1 2 324.384 1.744 20 30 DDEDLO CCCCCCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(N)=O ZINC001267436838 838045203 /nfs/dbraw/zinc/04/52/03/838045203.db2.gz PKFOFSXFTZBYKG-GASCZTMLSA-N 1 2 309.454 1.898 20 30 DDEDLO CCCCCCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(N)=O ZINC001267436838 838045214 /nfs/dbraw/zinc/04/52/14/838045214.db2.gz PKFOFSXFTZBYKG-GASCZTMLSA-N 1 2 309.454 1.898 20 30 DDEDLO N#CCN[C@@H](CNC(=O)c1cccc2[nH+]ccn21)c1ccccc1 ZINC001267521627 838242715 /nfs/dbraw/zinc/24/27/15/838242715.db2.gz USFJDUNVDPBZNG-HNNXBMFYSA-N 1 2 319.368 1.919 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(CCC)C(=O)CCc2cnn[nH]2)C1 ZINC001267547100 838283300 /nfs/dbraw/zinc/28/33/00/838283300.db2.gz IXXSGSKNVYNEIR-OAHLLOKOSA-N 1 2 303.410 1.074 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(CCC)C(=O)CCc2cnn[nH]2)C1 ZINC001267547100 838283304 /nfs/dbraw/zinc/28/33/04/838283304.db2.gz IXXSGSKNVYNEIR-OAHLLOKOSA-N 1 2 303.410 1.074 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001267610499 838455180 /nfs/dbraw/zinc/45/51/80/838455180.db2.gz DAUIOCLDADJAKT-QGZVFWFLSA-N 1 2 313.401 1.841 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001267610499 838455182 /nfs/dbraw/zinc/45/51/82/838455182.db2.gz DAUIOCLDADJAKT-QGZVFWFLSA-N 1 2 313.401 1.841 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)CC)C1 ZINC001267618270 838503011 /nfs/dbraw/zinc/50/30/11/838503011.db2.gz OUNCFAMVLYHVRD-UONOGXRCSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](NC(=O)C(C)(C)CC)C1 ZINC001267618270 838503020 /nfs/dbraw/zinc/50/30/20/838503020.db2.gz OUNCFAMVLYHVRD-UONOGXRCSA-N 1 2 307.438 1.141 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)Cn2ccc3ccccc32)C1 ZINC001267670542 838615542 /nfs/dbraw/zinc/61/55/42/838615542.db2.gz SZJCFJXMGNPHBH-UHFFFAOYSA-N 1 2 313.401 1.644 20 30 DDEDLO C=C(C)CCC(=O)NCC1C[NH+](CC(=O)NC2CCCCC2)C1 ZINC001267684035 838637439 /nfs/dbraw/zinc/63/74/39/838637439.db2.gz RHNKKOMIWVTGCG-UHFFFAOYSA-N 1 2 321.465 1.840 20 30 DDEDLO Cn1ccc(C[N@@H+]2CC[C@H](NC(=O)CC#Cc3ccccc3)C2)n1 ZINC001267734408 838783082 /nfs/dbraw/zinc/78/30/82/838783082.db2.gz HWGCWFRVIVLXIY-KRWDZBQOSA-N 1 2 322.412 1.552 20 30 DDEDLO Cn1ccc(C[N@H+]2CC[C@H](NC(=O)CC#Cc3ccccc3)C2)n1 ZINC001267734408 838783091 /nfs/dbraw/zinc/78/30/91/838783091.db2.gz HWGCWFRVIVLXIY-KRWDZBQOSA-N 1 2 322.412 1.552 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001267740406 838806290 /nfs/dbraw/zinc/80/62/90/838806290.db2.gz FCUBSMOJDJHECI-CYBMUJFWSA-N 1 2 306.435 1.731 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001267740406 838806297 /nfs/dbraw/zinc/80/62/97/838806297.db2.gz FCUBSMOJDJHECI-CYBMUJFWSA-N 1 2 306.435 1.731 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001267760628 838886638 /nfs/dbraw/zinc/88/66/38/838886638.db2.gz VXQXWHSHDHOLGN-KGLIPLIRSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001267760628 838886648 /nfs/dbraw/zinc/88/66/48/838886648.db2.gz VXQXWHSHDHOLGN-KGLIPLIRSA-N 1 2 304.394 1.273 20 30 DDEDLO CCCC[C@@H](C)C(=O)N(CC)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267784293 838971230 /nfs/dbraw/zinc/97/12/30/838971230.db2.gz OIEDVVHMPBUGAL-HUUCEWRRSA-N 1 2 322.453 1.375 20 30 DDEDLO CCCC[C@@H](C)C(=O)N(CC)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267784293 838971239 /nfs/dbraw/zinc/97/12/39/838971239.db2.gz OIEDVVHMPBUGAL-HUUCEWRRSA-N 1 2 322.453 1.375 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)Cc2ccc(F)cc2F)C1 ZINC001268022570 839399678 /nfs/dbraw/zinc/39/96/78/839399678.db2.gz UWXWCVIZMOSAGQ-AWEZNQCLSA-N 1 2 310.344 1.510 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)Cc2ccc(F)cc2F)C1 ZINC001268022570 839399690 /nfs/dbraw/zinc/39/96/90/839399690.db2.gz UWXWCVIZMOSAGQ-AWEZNQCLSA-N 1 2 310.344 1.510 20 30 DDEDLO C=C[C@@H](CC(=O)NC[C@@H]1C[N@H+](CCO)CCO1)c1ccccc1 ZINC001268029857 839446456 /nfs/dbraw/zinc/44/64/56/839446456.db2.gz ZZEXJFFPJPFTQH-DOTOQJQBSA-N 1 2 318.417 1.156 20 30 DDEDLO C=C[C@@H](CC(=O)NC[C@@H]1C[N@@H+](CCO)CCO1)c1ccccc1 ZINC001268029857 839446457 /nfs/dbraw/zinc/44/64/57/839446457.db2.gz ZZEXJFFPJPFTQH-DOTOQJQBSA-N 1 2 318.417 1.156 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2ccoc2Cl)C1 ZINC001268193723 839820500 /nfs/dbraw/zinc/82/05/00/839820500.db2.gz BLDZVNYDHHEHOT-LBPRGKRZSA-N 1 2 310.781 1.777 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2ccoc2Cl)C1 ZINC001268193723 839820507 /nfs/dbraw/zinc/82/05/07/839820507.db2.gz BLDZVNYDHHEHOT-LBPRGKRZSA-N 1 2 310.781 1.777 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1cc(C)sc1C ZINC001268242440 839882356 /nfs/dbraw/zinc/88/23/56/839882356.db2.gz QMFHXISZWKCLDL-UHFFFAOYSA-N 1 2 321.446 1.118 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1cc(C)sc1C ZINC001268242440 839882361 /nfs/dbraw/zinc/88/23/61/839882361.db2.gz QMFHXISZWKCLDL-UHFFFAOYSA-N 1 2 321.446 1.118 20 30 DDEDLO CCCCc1noc([C@@H](C)[NH2+]C[C@H](C)NC(=O)C#CC2CC2)n1 ZINC001268685911 840672075 /nfs/dbraw/zinc/67/20/75/840672075.db2.gz MVIKYXZGOCNEOR-QWHCGFSZSA-N 1 2 318.421 1.981 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CC(CNC(=O)CC2=CCCCC2)C1 ZINC001268703878 840690716 /nfs/dbraw/zinc/69/07/16/840690716.db2.gz RFVWDNIIYKGARG-AWEZNQCLSA-N 1 2 317.433 1.063 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1conc1C ZINC001268939298 841017518 /nfs/dbraw/zinc/01/75/18/841017518.db2.gz FEIBSSUYMNHRRJ-OFQRWUPVSA-N 1 2 305.378 1.095 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1conc1C ZINC001268939298 841017521 /nfs/dbraw/zinc/01/75/21/841017521.db2.gz FEIBSSUYMNHRRJ-OFQRWUPVSA-N 1 2 305.378 1.095 20 30 DDEDLO Cn1c[nH+]cc1CN1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)(C)C ZINC001268939665 841018750 /nfs/dbraw/zinc/01/87/50/841018750.db2.gz MALZYWIBORHNLJ-KBPBESRZSA-N 1 2 300.406 1.255 20 30 DDEDLO C#CC(=O)N1CCC[C@]2(C1)C[N@H+](Cc1scnc1C)CCO2 ZINC001268942492 841021641 /nfs/dbraw/zinc/02/16/41/841021641.db2.gz UVPBTHFWQWTSRE-MRXNPFEDSA-N 1 2 319.430 1.278 20 30 DDEDLO C#CC(=O)N1CCC[C@]2(C1)C[N@@H+](Cc1scnc1C)CCO2 ZINC001268942492 841021647 /nfs/dbraw/zinc/02/16/47/841021647.db2.gz UVPBTHFWQWTSRE-MRXNPFEDSA-N 1 2 319.430 1.278 20 30 DDEDLO CCc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CC#CCOC)cc1 ZINC001268983683 841084303 /nfs/dbraw/zinc/08/43/03/841084303.db2.gz FLPBGIXESBQGGR-ROUUACIJSA-N 1 2 312.413 1.798 20 30 DDEDLO CCc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CC#CCOC)cc1 ZINC001268983683 841084314 /nfs/dbraw/zinc/08/43/14/841084314.db2.gz FLPBGIXESBQGGR-ROUUACIJSA-N 1 2 312.413 1.798 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001269101067 841209314 /nfs/dbraw/zinc/20/93/14/841209314.db2.gz OJFBXSRVHQFYIL-RYUDHWBXSA-N 1 2 301.818 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001269101067 841209319 /nfs/dbraw/zinc/20/93/19/841209319.db2.gz OJFBXSRVHQFYIL-RYUDHWBXSA-N 1 2 301.818 1.077 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+]1[C@H](C)C(=O)NC1CCCCC1 ZINC001269206412 841343359 /nfs/dbraw/zinc/34/33/59/841343359.db2.gz WQTKCFKYDJXWJL-ZBFHGGJFSA-N 1 2 321.465 1.981 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CCCCC1 ZINC001269206412 841343372 /nfs/dbraw/zinc/34/33/72/841343372.db2.gz WQTKCFKYDJXWJL-ZBFHGGJFSA-N 1 2 321.465 1.981 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@H]1CC[N@@H+]1[C@@H]1CCCNC1=O ZINC001269241076 841408409 /nfs/dbraw/zinc/40/84/09/841408409.db2.gz QECZSUNXAYIBTM-HUUCEWRRSA-N 1 2 305.422 1.224 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@H]1CC[N@H+]1[C@@H]1CCCNC1=O ZINC001269241076 841408413 /nfs/dbraw/zinc/40/84/13/841408413.db2.gz QECZSUNXAYIBTM-HUUCEWRRSA-N 1 2 305.422 1.224 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)Cc1csc(C(C)(C)C)n1 ZINC001269320294 841513929 /nfs/dbraw/zinc/51/39/29/841513929.db2.gz ALPGHHROLOVUPW-ZDUSSCGKSA-N 1 2 305.447 1.807 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)Cc1csc(C(C)(C)C)n1 ZINC001269320294 841513934 /nfs/dbraw/zinc/51/39/34/841513934.db2.gz ALPGHHROLOVUPW-ZDUSSCGKSA-N 1 2 305.447 1.807 20 30 DDEDLO CCCn1ncc(C(=O)NC[C@H]2CC[N@@H+]2CC#CCOC)c1C ZINC001269326459 841520482 /nfs/dbraw/zinc/52/04/82/841520482.db2.gz KMJCPFZKWMTKBH-OAHLLOKOSA-N 1 2 318.421 1.055 20 30 DDEDLO CCCn1ncc(C(=O)NC[C@H]2CC[N@H+]2CC#CCOC)c1C ZINC001269326459 841520491 /nfs/dbraw/zinc/52/04/91/841520491.db2.gz KMJCPFZKWMTKBH-OAHLLOKOSA-N 1 2 318.421 1.055 20 30 DDEDLO COCC#CC[N@H+](C)C[C@@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001328737610 863183153 /nfs/dbraw/zinc/18/31/53/863183153.db2.gz PARGRLGMXURVKX-RYUDHWBXSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001328737610 863183166 /nfs/dbraw/zinc/18/31/66/863183166.db2.gz PARGRLGMXURVKX-RYUDHWBXSA-N 1 2 324.318 1.459 20 30 DDEDLO C#CCOCCC(=O)N(C)C1C[NH+](C/C=C\c2ccccc2)C1 ZINC001269786998 842046813 /nfs/dbraw/zinc/04/68/13/842046813.db2.gz CYWXVFQCRCRRLY-YFHOEESVSA-N 1 2 312.413 1.882 20 30 DDEDLO Cn1ccc(C(=O)N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)c1 ZINC001270216811 842393698 /nfs/dbraw/zinc/39/36/98/842393698.db2.gz TXYNJJLIPIACSF-UHFFFAOYSA-N 1 2 323.400 1.193 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@](C)(NC(=O)CSCC#N)C2)n1 ZINC001270540010 842653342 /nfs/dbraw/zinc/65/33/42/842653342.db2.gz YDKVLXSFBLDMQX-AWEZNQCLSA-N 1 2 324.475 1.789 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@](C)(NC(=O)CSCC#N)C2)n1 ZINC001270540010 842653345 /nfs/dbraw/zinc/65/33/45/842653345.db2.gz YDKVLXSFBLDMQX-AWEZNQCLSA-N 1 2 324.475 1.789 20 30 DDEDLO C=C(C)C[NH2+]C[C@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001270558438 842665851 /nfs/dbraw/zinc/66/58/51/842665851.db2.gz DKYKDKUKICOXSA-LBPRGKRZSA-N 1 2 316.409 1.342 20 30 DDEDLO CC(C)C#CC(=O)N[C@@]1(C)CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001270581978 842690767 /nfs/dbraw/zinc/69/07/67/842690767.db2.gz CQHCUWSJJPIKGU-YOEHRIQHSA-N 1 2 300.406 1.778 20 30 DDEDLO CC(C)C#CC(=O)N[C@@]1(C)CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001270581978 842690772 /nfs/dbraw/zinc/69/07/72/842690772.db2.gz CQHCUWSJJPIKGU-YOEHRIQHSA-N 1 2 300.406 1.778 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1C[N@H+](CCc2ccns2)CCO1 ZINC001270639918 842768071 /nfs/dbraw/zinc/76/80/71/842768071.db2.gz ZWNYRTKCCRMHGX-AWEZNQCLSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1C[N@@H+](CCc2ccns2)CCO1 ZINC001270639918 842768075 /nfs/dbraw/zinc/76/80/75/842768075.db2.gz ZWNYRTKCCRMHGX-AWEZNQCLSA-N 1 2 321.446 1.306 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@@H+](Cc2nocc2C)C1 ZINC001270666840 842796283 /nfs/dbraw/zinc/79/62/83/842796283.db2.gz ZNNHKJMIIPBEBE-INIZCTEOSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@H+](Cc2nocc2C)C1 ZINC001270666840 842796289 /nfs/dbraw/zinc/79/62/89/842796289.db2.gz ZNNHKJMIIPBEBE-INIZCTEOSA-N 1 2 307.394 1.656 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H](C)OCC)C1 ZINC001149237860 861373233 /nfs/dbraw/zinc/37/32/33/861373233.db2.gz URMGZQRUCVVMIV-QWHCGFSZSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H](C)OCC)C1 ZINC001149237860 861373248 /nfs/dbraw/zinc/37/32/48/861373248.db2.gz URMGZQRUCVVMIV-QWHCGFSZSA-N 1 2 304.818 1.371 20 30 DDEDLO CC(C)[N@H+](C)Cc1nnc2n1CCCN(C(=O)C1(C#N)CC1)C2 ZINC001143173961 861396926 /nfs/dbraw/zinc/39/69/26/861396926.db2.gz LQBNVHQPOLOOCE-UHFFFAOYSA-N 1 2 316.409 1.154 20 30 DDEDLO CC(C)[N@@H+](C)Cc1nnc2n1CCCN(C(=O)C1(C#N)CC1)C2 ZINC001143173961 861396945 /nfs/dbraw/zinc/39/69/45/861396945.db2.gz LQBNVHQPOLOOCE-UHFFFAOYSA-N 1 2 316.409 1.154 20 30 DDEDLO Cc1cccc(C[NH+]2CC(O)(CNC(=O)C#CC(C)(C)C)C2)c1 ZINC001271281847 843466576 /nfs/dbraw/zinc/46/65/76/843466576.db2.gz JKHBOCLXXQFBHK-UHFFFAOYSA-N 1 2 314.429 1.707 20 30 DDEDLO CCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001326624635 861489024 /nfs/dbraw/zinc/48/90/24/861489024.db2.gz AVIVPLCHGCQRLA-CQSZACIVSA-N 1 2 304.394 1.507 20 30 DDEDLO CCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001326624635 861489029 /nfs/dbraw/zinc/48/90/29/861489029.db2.gz AVIVPLCHGCQRLA-CQSZACIVSA-N 1 2 304.394 1.507 20 30 DDEDLO COCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001326632663 861493931 /nfs/dbraw/zinc/49/39/31/861493931.db2.gz IMFSXCSMSDSTEV-MRXNPFEDSA-N 1 2 324.465 1.670 20 30 DDEDLO COCCCCC[N@H+]1CCOC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001326632663 861493937 /nfs/dbraw/zinc/49/39/37/861493937.db2.gz IMFSXCSMSDSTEV-MRXNPFEDSA-N 1 2 324.465 1.670 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(C)nc1CC ZINC001326642594 861503873 /nfs/dbraw/zinc/50/38/73/861503873.db2.gz GGLMZJHKSKCKJV-AWEZNQCLSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(C)nc1CC ZINC001326642594 861503885 /nfs/dbraw/zinc/50/38/85/861503885.db2.gz GGLMZJHKSKCKJV-AWEZNQCLSA-N 1 2 320.437 1.379 20 30 DDEDLO N#CCN1CCc2c(CNC(=O)CCc3c[nH]c[nH+]3)cccc2C1 ZINC001326676614 861534919 /nfs/dbraw/zinc/53/49/19/861534919.db2.gz WTKKEEKMLMBDQZ-UHFFFAOYSA-N 1 2 323.400 1.540 20 30 DDEDLO N#CCN1CCc2c(CNC(=O)CCc3c[nH+]c[nH]3)cccc2C1 ZINC001326676614 861534922 /nfs/dbraw/zinc/53/49/22/861534922.db2.gz WTKKEEKMLMBDQZ-UHFFFAOYSA-N 1 2 323.400 1.540 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cccc(C(=O)NC)n1 ZINC001409650354 845500168 /nfs/dbraw/zinc/50/01/68/845500168.db2.gz ZQGUSAYYNFFXET-LLVKDONJSA-N 1 2 324.812 1.244 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cccc(C(=O)NC)n1 ZINC001409650354 845500172 /nfs/dbraw/zinc/50/01/72/845500172.db2.gz ZQGUSAYYNFFXET-LLVKDONJSA-N 1 2 324.812 1.244 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@H+]2Cc2cnc(OC)nc2)C1=O ZINC001272739147 846749822 /nfs/dbraw/zinc/74/98/22/846749822.db2.gz BVVAHAPCJXDZIZ-QGZVFWFLSA-N 1 2 316.405 1.628 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@@H+]2Cc2cnc(OC)nc2)C1=O ZINC001272739147 846749825 /nfs/dbraw/zinc/74/98/25/846749825.db2.gz BVVAHAPCJXDZIZ-QGZVFWFLSA-N 1 2 316.405 1.628 20 30 DDEDLO Cc1nc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccc(C#N)c2)co1 ZINC001032368220 847162920 /nfs/dbraw/zinc/16/29/20/847162920.db2.gz MBQPBGNJOSEVBK-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1nc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccc(C#N)c2)co1 ZINC001032368220 847162923 /nfs/dbraw/zinc/16/29/23/847162923.db2.gz MBQPBGNJOSEVBK-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@H](C[NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001326987260 861773316 /nfs/dbraw/zinc/77/33/16/861773316.db2.gz AWHPHUSFJKXXOM-GXTWGEPZSA-N 1 2 322.409 1.612 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H](OC)C1CCC1 ZINC001272876789 847578661 /nfs/dbraw/zinc/57/86/61/847578661.db2.gz LIRKHWSAXHUHDL-HOTGVXAUSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H](OC)C1CCC1 ZINC001272876789 847578670 /nfs/dbraw/zinc/57/86/70/847578670.db2.gz LIRKHWSAXHUHDL-HOTGVXAUSA-N 1 2 310.438 1.585 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001327093160 861871619 /nfs/dbraw/zinc/87/16/19/861871619.db2.gz AVYYUGVVAVBNFD-FUHWJXTLSA-N 1 2 320.481 1.945 20 30 DDEDLO N#CCN1CCCC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001034328611 848333829 /nfs/dbraw/zinc/33/38/29/848333829.db2.gz YPEGBUPUAOEMEJ-MRXNPFEDSA-N 1 2 323.400 1.980 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001280510174 848930935 /nfs/dbraw/zinc/93/09/35/848930935.db2.gz XQWPXSQOSACSAZ-AWEZNQCLSA-N 1 2 306.410 1.593 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CC(F)F)cc1C#N ZINC001273379397 849750162 /nfs/dbraw/zinc/75/01/62/849750162.db2.gz VNUSPHAJJFTJRY-BETUJISGSA-N 1 2 322.359 1.727 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CC(F)F)cc1C#N ZINC001273379397 849750169 /nfs/dbraw/zinc/75/01/69/849750169.db2.gz VNUSPHAJJFTJRY-BETUJISGSA-N 1 2 322.359 1.727 20 30 DDEDLO C=CCN1C(=O)C[C@@]2(CCCN(c3cc[nH+]c(OC)c3)C2)C1=O ZINC001273585461 851116135 /nfs/dbraw/zinc/11/61/35/851116135.db2.gz PVTNXQMACLNUEG-QGZVFWFLSA-N 1 2 315.373 1.622 20 30 DDEDLO Cc1nc(C[NH2+]C/C=C/CNC(=O)c2ccc(C#N)[nH]2)oc1C ZINC001273965855 851617492 /nfs/dbraw/zinc/61/74/92/851617492.db2.gz KCVHZFPBQWOVDP-ONEGZZNKSA-N 1 2 313.361 1.567 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@H+]2CC(=O)OC(C)C ZINC001274063419 851898740 /nfs/dbraw/zinc/89/87/40/851898740.db2.gz UUPSQSMKEXSEIY-KRWDZBQOSA-N 1 2 308.422 1.971 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@@H+]2CC(=O)OC(C)C ZINC001274063419 851898749 /nfs/dbraw/zinc/89/87/49/851898749.db2.gz UUPSQSMKEXSEIY-KRWDZBQOSA-N 1 2 308.422 1.971 20 30 DDEDLO C#CCNC(=O)[C@@H]1CC12CC[NH+](Cc1nnc(C)s1)CC2 ZINC001274522476 852361587 /nfs/dbraw/zinc/36/15/87/852361587.db2.gz VNCYNKIINURPPE-LBPRGKRZSA-N 1 2 304.419 1.198 20 30 DDEDLO C=CCN1C[C@@]2(F)CN(Cc3ccc(N)[nH+]c3C)C[C@@]2(F)C1=O ZINC001274539678 852375771 /nfs/dbraw/zinc/37/57/71/852375771.db2.gz PWXIRJBNHMJHTP-JKSUJKDBSA-N 1 2 322.359 1.233 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2nncn2C)[C@@H](C)C1 ZINC001274632645 852468884 /nfs/dbraw/zinc/46/88/84/852468884.db2.gz ASZITXFIDXNVOB-UONOGXRCSA-N 1 2 319.453 1.887 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2nncn2C)[C@@H](C)C1 ZINC001274632645 852468889 /nfs/dbraw/zinc/46/88/89/852468889.db2.gz ASZITXFIDXNVOB-UONOGXRCSA-N 1 2 319.453 1.887 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnon2)[C@H](C)C1 ZINC001274644163 852479499 /nfs/dbraw/zinc/47/94/99/852479499.db2.gz OAMSVOCVGKCXBE-KGLIPLIRSA-N 1 2 304.394 1.732 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnon2)[C@H](C)C1 ZINC001274644163 852479507 /nfs/dbraw/zinc/47/95/07/852479507.db2.gz OAMSVOCVGKCXBE-KGLIPLIRSA-N 1 2 304.394 1.732 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1cn(CCC)cn1 ZINC001274730012 852558474 /nfs/dbraw/zinc/55/84/74/852558474.db2.gz UKLRPXXJJAJBGK-SFHVURJKSA-N 1 2 314.433 1.883 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1cn(CCC)cn1 ZINC001274730012 852558479 /nfs/dbraw/zinc/55/84/79/852558479.db2.gz UKLRPXXJJAJBGK-SFHVURJKSA-N 1 2 314.433 1.883 20 30 DDEDLO C=C(Br)C[NH2+]CCNC(=O)c1ccc2[nH]nnc2c1 ZINC001150703692 862424188 /nfs/dbraw/zinc/42/41/88/862424188.db2.gz RVGJDXGULSPPKL-UHFFFAOYSA-N 1 2 324.182 1.186 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H](C)C[NH2+]Cc1nc(C)no1 ZINC001275422805 853101426 /nfs/dbraw/zinc/10/14/26/853101426.db2.gz ZKYYEPLJXSQPAD-LBPRGKRZSA-N 1 2 312.373 1.196 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@H+](C)CC(=O)Nc1nccs1 ZINC001275820212 853812813 /nfs/dbraw/zinc/81/28/13/853812813.db2.gz ZREGASASJMKKLD-GFCCVEGCSA-N 1 2 322.434 1.322 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@@H+](C)CC(=O)Nc1nccs1 ZINC001275820212 853812816 /nfs/dbraw/zinc/81/28/16/853812816.db2.gz ZREGASASJMKKLD-GFCCVEGCSA-N 1 2 322.434 1.322 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H](C)[N@@H+](C)Cc1ccn(CC)n1 ZINC001275819548 853815099 /nfs/dbraw/zinc/81/50/99/853815099.db2.gz STGUXEVHYNVSND-BBRMVZONSA-N 1 2 308.426 1.167 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H](C)[N@H+](C)Cc1ccn(CC)n1 ZINC001275819548 853815105 /nfs/dbraw/zinc/81/51/05/853815105.db2.gz STGUXEVHYNVSND-BBRMVZONSA-N 1 2 308.426 1.167 20 30 DDEDLO Cc1ccc(C[NH+]2CC3(CN(Cc4cc(C#N)n(C)c4)C3)C2)cn1 ZINC001275853780 853875000 /nfs/dbraw/zinc/87/50/00/853875000.db2.gz VBGPFOXXGCTRAG-UHFFFAOYSA-N 1 2 321.428 1.918 20 30 DDEDLO Cc1ccc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)cn1 ZINC001275853780 853875003 /nfs/dbraw/zinc/87/50/03/853875003.db2.gz VBGPFOXXGCTRAG-UHFFFAOYSA-N 1 2 321.428 1.918 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4cccnn4)C3)C2)cc1C#N ZINC001275878382 853897950 /nfs/dbraw/zinc/89/79/50/853897950.db2.gz PWJHBFOVKNMDHB-UHFFFAOYSA-N 1 2 308.389 1.005 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cc(C#N)ccc2F)C[C@H]1C ZINC001206640600 862730999 /nfs/dbraw/zinc/73/09/99/862730999.db2.gz IBPSNMOPPNPHNB-HWWQOWPSSA-N 1 2 314.364 1.794 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2F)C[C@H]1C ZINC001206640600 862731003 /nfs/dbraw/zinc/73/10/03/862731003.db2.gz IBPSNMOPPNPHNB-HWWQOWPSSA-N 1 2 314.364 1.794 20 30 DDEDLO CCn1ccc(C[NH2+][C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)n1 ZINC001413146023 856481689 /nfs/dbraw/zinc/48/16/89/856481689.db2.gz QJUUOVBQWMYALD-KRWDZBQOSA-N 1 2 323.400 1.665 20 30 DDEDLO C[NH+](C)Cc1nc(CNS(=O)(=O)c2ccc(C#N)cc2)co1 ZINC001413451080 856827760 /nfs/dbraw/zinc/82/77/60/856827760.db2.gz YREDTMSEDNVVNS-UHFFFAOYSA-N 1 2 320.374 1.086 20 30 DDEDLO CC(C)N1C(=O)C[N@H+](C[C@H](O)c2cccc(C#N)c2)CC1(C)C ZINC001413960673 857217359 /nfs/dbraw/zinc/21/73/59/857217359.db2.gz AGURCECCYIDPSG-INIZCTEOSA-N 1 2 315.417 1.923 20 30 DDEDLO CC(C)N1C(=O)C[N@@H+](C[C@H](O)c2cccc(C#N)c2)CC1(C)C ZINC001413960673 857217368 /nfs/dbraw/zinc/21/73/68/857217368.db2.gz AGURCECCYIDPSG-INIZCTEOSA-N 1 2 315.417 1.923 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001072639715 857545283 /nfs/dbraw/zinc/54/52/83/857545283.db2.gz ZZIOPRYVZKCGCV-JSGCOSHPSA-N 1 2 304.394 1.272 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CC[N@H+](Cc1cscn1)C2 ZINC001072712513 857631616 /nfs/dbraw/zinc/63/16/16/857631616.db2.gz PKNMJMBOLGVNES-UHFFFAOYSA-N 1 2 301.415 1.591 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CC[N@@H+](Cc1cscn1)C2 ZINC001072712513 857631623 /nfs/dbraw/zinc/63/16/23/857631623.db2.gz PKNMJMBOLGVNES-UHFFFAOYSA-N 1 2 301.415 1.591 20 30 DDEDLO C#CC[N@H+]1CCC2(CN(C(=O)c3cccc4[nH]c(C)nc43)C2)C1 ZINC001073103977 858102338 /nfs/dbraw/zinc/10/23/38/858102338.db2.gz SKCIUWOXLXDXHB-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CCC2(CN(C(=O)c3cccc4[nH]c(C)nc43)C2)C1 ZINC001073103977 858102343 /nfs/dbraw/zinc/10/23/43/858102343.db2.gz SKCIUWOXLXDXHB-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@@H]2C[C@@H](O)C[N@@H+]2C)n1CC ZINC001121790886 858597272 /nfs/dbraw/zinc/59/72/72/858597272.db2.gz XNUALKLVVUZVJO-KGLIPLIRSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@@H]2C[C@@H](O)C[N@H+]2C)n1CC ZINC001121790886 858597279 /nfs/dbraw/zinc/59/72/79/858597279.db2.gz XNUALKLVVUZVJO-KGLIPLIRSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C[C@@H]1C ZINC001123191171 859123436 /nfs/dbraw/zinc/12/34/36/859123436.db2.gz IUARICFDFSFOKA-AAEUAGOBSA-N 1 2 318.425 1.614 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001123831557 859432848 /nfs/dbraw/zinc/43/28/48/859432848.db2.gz XZOIWNGHYCBDST-HUUCEWRRSA-N 1 2 316.405 1.522 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125264733 859937373 /nfs/dbraw/zinc/93/73/73/859937373.db2.gz GWTNOARAZKOIMB-YOEHRIQHSA-N 1 2 320.437 1.643 20 30 DDEDLO COC(=O)c1cc(C[N@@H+]2CC[C@H]3SC(=O)C=C3C2)cn1C ZINC001139368196 860372621 /nfs/dbraw/zinc/37/26/21/860372621.db2.gz BFPCICSIWDSDOW-CYBMUJFWSA-N 1 2 306.387 1.586 20 30 DDEDLO C=CCn1cc(C[NH+]2CCN(c3ncccc3OC)CC2)cn1 ZINC001139770863 860478194 /nfs/dbraw/zinc/47/81/94/860478194.db2.gz DUIUHTBIKBYLMS-UHFFFAOYSA-N 1 2 313.405 1.795 20 30 DDEDLO N#Cc1cc(F)cc(C[N@@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c1 ZINC001139875438 860505770 /nfs/dbraw/zinc/50/57/70/860505770.db2.gz NMZXZSJRZKPILY-UHFFFAOYSA-N 1 2 300.293 1.457 20 30 DDEDLO N#Cc1cc(F)cc(C[N@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c1 ZINC001139875438 860505776 /nfs/dbraw/zinc/50/57/76/860505776.db2.gz NMZXZSJRZKPILY-UHFFFAOYSA-N 1 2 300.293 1.457 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CC[C@H]([C@H](C)O)C3)[nH+]cn2)C1 ZINC001328641021 863125410 /nfs/dbraw/zinc/12/54/10/863125410.db2.gz GNVWTHYDWSMCSD-SOUVJXGZSA-N 1 2 318.421 1.465 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CC[C@H]([C@H](C)O)C3)nc[nH+]2)C1 ZINC001328641021 863125417 /nfs/dbraw/zinc/12/54/17/863125417.db2.gz GNVWTHYDWSMCSD-SOUVJXGZSA-N 1 2 318.421 1.465 20 30 DDEDLO C=C(C)C(=O)NCCCNc1cc(N2CCSCC2)nc[nH+]1 ZINC001156825884 863369587 /nfs/dbraw/zinc/36/95/87/863369587.db2.gz GPWSTEKDWWSCCE-UHFFFAOYSA-N 1 2 321.450 1.524 20 30 DDEDLO C=C(C)C(=O)NCCCNc1cc(N2CCSCC2)[nH+]cn1 ZINC001156825884 863369598 /nfs/dbraw/zinc/36/95/98/863369598.db2.gz GPWSTEKDWWSCCE-UHFFFAOYSA-N 1 2 321.450 1.524 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)C1C[NH+](CC=C(Cl)Cl)C1 ZINC001329996418 864003366 /nfs/dbraw/zinc/00/33/66/864003366.db2.gz CQXJPNPJODXRLD-GHMZBOCLSA-N 1 2 319.232 1.780 20 30 DDEDLO CC(C)CC(C)(C)C(=O)N[C@H](C)C1C[NH+](CC(=O)NCC#N)C1 ZINC001330071460 864064846 /nfs/dbraw/zinc/06/48/46/864064846.db2.gz OCBGYDYZEVOJRR-CYBMUJFWSA-N 1 2 322.453 1.135 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H](C)[N@H+](CC)Cc2nonc2C)CCC1 ZINC001153776619 864090596 /nfs/dbraw/zinc/09/05/96/864090596.db2.gz NIVHYAAMRGMUII-CYBMUJFWSA-N 1 2 318.421 1.898 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2nonc2C)CCC1 ZINC001153776619 864090609 /nfs/dbraw/zinc/09/06/09/864090609.db2.gz NIVHYAAMRGMUII-CYBMUJFWSA-N 1 2 318.421 1.898 20 30 DDEDLO Cc1nc(C#N)cc(N2CC[C@H]([NH+]3CCCC3)C(F)(F)C2)n1 ZINC001158630259 864769539 /nfs/dbraw/zinc/76/95/39/864769539.db2.gz ZMZYIIZLGHOHKE-ZDUSSCGKSA-N 1 2 307.348 1.967 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@@H+]([C@@H](C)c2nncn2C)CC1 ZINC001159587680 865415761 /nfs/dbraw/zinc/41/57/61/865415761.db2.gz WNWVGLQFUQIFRE-OLZOCXBDSA-N 1 2 316.409 1.174 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@H+]([C@@H](C)c2nncn2C)CC1 ZINC001159587680 865415765 /nfs/dbraw/zinc/41/57/65/865415765.db2.gz WNWVGLQFUQIFRE-OLZOCXBDSA-N 1 2 316.409 1.174 20 30 DDEDLO C#CCOCCOCCNc1cc(N2CCC[C@H](C)C2)nc[nH+]1 ZINC001160670985 866010310 /nfs/dbraw/zinc/01/03/10/866010310.db2.gz BXMSQAQICWUPRF-HNNXBMFYSA-N 1 2 318.421 1.791 20 30 DDEDLO C#CCOCCOCCNc1cc(N2CCC[C@H](C)C2)[nH+]cn1 ZINC001160670985 866010326 /nfs/dbraw/zinc/01/03/26/866010326.db2.gz BXMSQAQICWUPRF-HNNXBMFYSA-N 1 2 318.421 1.791 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C(=O)/C=C(\C)CC)C(C)C ZINC001332699955 866077727 /nfs/dbraw/zinc/07/77/27/866077727.db2.gz RTAIQAXTMQTABP-NTCAYCPXSA-N 1 2 307.438 1.261 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C(=O)/C=C(\C)CC)C(C)C ZINC001332699955 866077742 /nfs/dbraw/zinc/07/77/42/866077742.db2.gz RTAIQAXTMQTABP-NTCAYCPXSA-N 1 2 307.438 1.261 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC[N@@H+](C)CC(=O)NC)C(C)C)cc1 ZINC001332720387 866095803 /nfs/dbraw/zinc/09/58/03/866095803.db2.gz OTUWPAWHXYYAOF-UHFFFAOYSA-N 1 2 315.417 1.196 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC[N@H+](C)CC(=O)NC)C(C)C)cc1 ZINC001332720387 866095820 /nfs/dbraw/zinc/09/58/20/866095820.db2.gz OTUWPAWHXYYAOF-UHFFFAOYSA-N 1 2 315.417 1.196 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C1CC([NH2+]CC(F)(F)C(F)F)C1 ZINC001333070152 866391613 /nfs/dbraw/zinc/39/16/13/866391613.db2.gz DXMZZSCJYZTMSC-KPPDAEKUSA-N 1 2 324.318 1.504 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[N@@H+](C)CC(=O)N[C@H](C)CC)C1 ZINC001320041308 866444264 /nfs/dbraw/zinc/44/42/64/866444264.db2.gz DCSZHASEPAGHND-CQSZACIVSA-N 1 2 309.454 1.648 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[N@H+](C)CC(=O)N[C@H](C)CC)C1 ZINC001320041308 866444265 /nfs/dbraw/zinc/44/42/65/866444265.db2.gz DCSZHASEPAGHND-CQSZACIVSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C(C)(C)CC=C ZINC001323309289 866520501 /nfs/dbraw/zinc/52/05/01/866520501.db2.gz NYQWRUDSTCDVSA-UONOGXRCSA-N 1 2 307.438 1.470 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C(C)(C)CC=C ZINC001323309289 866520508 /nfs/dbraw/zinc/52/05/08/866520508.db2.gz NYQWRUDSTCDVSA-UONOGXRCSA-N 1 2 307.438 1.470 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H](CC)c1c(C)noc1C ZINC001323318026 866526848 /nfs/dbraw/zinc/52/68/48/866526848.db2.gz JEYIOEYYCRTSOE-HUUCEWRRSA-N 1 2 303.406 1.999 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H](CC)c1c(C)noc1C ZINC001323318026 866526857 /nfs/dbraw/zinc/52/68/57/866526857.db2.gz JEYIOEYYCRTSOE-HUUCEWRRSA-N 1 2 303.406 1.999 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001323981991 866992512 /nfs/dbraw/zinc/99/25/12/866992512.db2.gz UNRHZRHSORJFFM-DLBZAZTESA-N 1 2 315.417 1.530 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@](C)(NC(=O)C(F)F)C1 ZINC001324571098 867363947 /nfs/dbraw/zinc/36/39/47/867363947.db2.gz JIQZDHHFDWKMNF-HNNXBMFYSA-N 1 2 317.380 1.257 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@](C)(NC(=O)C(F)F)C1 ZINC001324571098 867363965 /nfs/dbraw/zinc/36/39/65/867363965.db2.gz JIQZDHHFDWKMNF-HNNXBMFYSA-N 1 2 317.380 1.257 20 30 DDEDLO C[C@H]1C[NH+](Cc2c3c(nn2C)CCSC3)C[C@H](C)N1CC#N ZINC001334384760 867532853 /nfs/dbraw/zinc/53/28/53/867532853.db2.gz PDMYHMIPPWSMMU-STQMWFEESA-N 1 2 319.478 1.628 20 30 DDEDLO C=CCC1(C(=O)NC/C=C\C[NH2+]Cc2cnn(C)n2)CCCC1 ZINC001321431959 867589443 /nfs/dbraw/zinc/58/94/43/867589443.db2.gz LDSYICHCLCHZBR-SREVYHEPSA-N 1 2 317.437 1.714 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC(F)(F)[C@H](CNC(=O)CCC)C1 ZINC001325044426 867716422 /nfs/dbraw/zinc/71/64/22/867716422.db2.gz YWZOECRNDUUVAI-GFCCVEGCSA-N 1 2 317.380 1.162 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)CCC)C1 ZINC001325044426 867716428 /nfs/dbraw/zinc/71/64/28/867716428.db2.gz YWZOECRNDUUVAI-GFCCVEGCSA-N 1 2 317.380 1.162 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)C1 ZINC001321979536 867915166 /nfs/dbraw/zinc/91/51/66/867915166.db2.gz HYPYBQNYRAZWOJ-LSDHHAIUSA-N 1 2 318.421 1.609 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC001321979536 867915174 /nfs/dbraw/zinc/91/51/74/867915174.db2.gz HYPYBQNYRAZWOJ-LSDHHAIUSA-N 1 2 318.421 1.609 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)C1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001335050709 868028474 /nfs/dbraw/zinc/02/84/74/868028474.db2.gz FASXWKNJGDHERQ-GFCCVEGCSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)C2CN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001335134196 868088174 /nfs/dbraw/zinc/08/81/74/868088174.db2.gz MSYSQFCCDDPFHU-CYBMUJFWSA-N 1 2 316.405 1.203 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001335512038 868352975 /nfs/dbraw/zinc/35/29/75/868352975.db2.gz NHUSEOZZQYUJRE-GJZGRUSLSA-N 1 2 316.405 1.230 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCCC[NH2+]Cc1noc(CC)n1 ZINC001163338836 868370179 /nfs/dbraw/zinc/37/01/79/868370179.db2.gz ANGQTBJAYFUDDI-MRXNPFEDSA-N 1 2 324.425 1.457 20 30 DDEDLO COC(=O)C[C@H](c1ccnc(N2CC[NH2+]C[C@H]2C#N)c1)C1CC1 ZINC001164660649 869344561 /nfs/dbraw/zinc/34/45/61/869344561.db2.gz FVOHHEGKCMSFEZ-CABCVRRESA-N 1 2 314.389 1.440 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001337504798 869515474 /nfs/dbraw/zinc/51/54/74/869515474.db2.gz AUIDGABFOGAWIZ-OLZOCXBDSA-N 1 2 306.410 1.518 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](NC(=O)C#CC(C)C)[C@H]1C ZINC001337983725 869728970 /nfs/dbraw/zinc/72/89/70/869728970.db2.gz JRCDIWSCKIUKDO-ZIAGYGMSSA-N 1 2 316.405 1.026 20 30 DDEDLO CC[C@H](CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1)NC(=O)C#CC(C)C ZINC001297416539 870040286 /nfs/dbraw/zinc/04/02/86/870040286.db2.gz GYMIKYSTACSFTA-ZIAGYGMSSA-N 1 2 318.421 1.259 20 30 DDEDLO CC[C@H](CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1)NC(=O)C#CC(C)C ZINC001297416539 870040292 /nfs/dbraw/zinc/04/02/92/870040292.db2.gz GYMIKYSTACSFTA-ZIAGYGMSSA-N 1 2 318.421 1.259 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H](CC)CNC(=O)Cc1c[nH+]cn1C ZINC001297444424 870052956 /nfs/dbraw/zinc/05/29/56/870052956.db2.gz AMBKBTJURDQEIJ-UONOGXRCSA-N 1 2 320.437 1.576 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[N@@H+](CC(=O)NCC)C2)CCCCC1 ZINC001316993851 870091994 /nfs/dbraw/zinc/09/19/94/870091994.db2.gz FLKKVXGTSVFSIR-HNNXBMFYSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[N@H+](CC(=O)NCC)C2)CCCCC1 ZINC001316993851 870092003 /nfs/dbraw/zinc/09/20/03/870092003.db2.gz FLKKVXGTSVFSIR-HNNXBMFYSA-N 1 2 319.449 1.287 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]([NH2+]Cc2nc(C)no2)C2CC2)cc1 ZINC001317021453 870130528 /nfs/dbraw/zinc/13/05/28/870130528.db2.gz ATURUCHJMXYFRR-INIZCTEOSA-N 1 2 324.384 1.658 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1CC[C@@H](OC)C1 ZINC001338748785 870146364 /nfs/dbraw/zinc/14/63/64/870146364.db2.gz FYQXWAFMADBCIF-KGLIPLIRSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N1CC[C@@H](OC)C1 ZINC001338748785 870146369 /nfs/dbraw/zinc/14/63/69/870146369.db2.gz FYQXWAFMADBCIF-KGLIPLIRSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)C[C@H]1CC1(C)C ZINC001338775103 870162610 /nfs/dbraw/zinc/16/26/10/870162610.db2.gz UNGQOLVHZNVJNT-MCIONIFRSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)C[C@H]1CC1(C)C ZINC001338775103 870162619 /nfs/dbraw/zinc/16/26/19/870162619.db2.gz UNGQOLVHZNVJNT-MCIONIFRSA-N 1 2 319.453 1.684 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(C)CCN(C)C(=O)Cn1cc[nH+]c1 ZINC001298089387 870275595 /nfs/dbraw/zinc/27/55/95/870275595.db2.gz HKENKMBCZNOAKP-AWEZNQCLSA-N 1 2 306.410 1.402 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@@H]2C)ncc1C#N ZINC001361814395 882424361 /nfs/dbraw/zinc/42/43/61/882424361.db2.gz IWKIAIBZTDHGJJ-ZFWWWQNUSA-N 1 2 314.389 1.197 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)CC[C@H]1C ZINC001339700507 870643081 /nfs/dbraw/zinc/64/30/81/870643081.db2.gz XABCMEWAJHDLGH-HUUCEWRRSA-N 1 2 318.421 1.735 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1nnn(C)n1 ZINC001226473989 882454601 /nfs/dbraw/zinc/45/46/01/882454601.db2.gz FRLGIQNEYGDTLP-CHWSQXEVSA-N 1 2 320.441 1.329 20 30 DDEDLO C=CCCOCC(=O)N[C@@H](C)C1C[NH+](Cc2ccc(F)cn2)C1 ZINC001276468825 871121316 /nfs/dbraw/zinc/12/13/16/871121316.db2.gz NGWJPVSSZMSJEB-ZDUSSCGKSA-N 1 2 321.396 1.750 20 30 DDEDLO CC#CCCCC(=O)N(C)CC[NH2+][C@@H](C)c1nnc(CC)o1 ZINC001317621515 871228620 /nfs/dbraw/zinc/22/86/20/871228620.db2.gz RQEXZXHDTYZAIV-ZDUSSCGKSA-N 1 2 306.410 1.935 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@@H+](C)CC(=O)NC2CCCC2)C1 ZINC001317461950 871347217 /nfs/dbraw/zinc/34/72/17/871347217.db2.gz KBMMVBMVIMVSHB-UHFFFAOYSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@H+](C)CC(=O)NC2CCCC2)C1 ZINC001317461950 871347237 /nfs/dbraw/zinc/34/72/37/871347237.db2.gz KBMMVBMVIMVSHB-UHFFFAOYSA-N 1 2 307.438 1.450 20 30 DDEDLO N#CCSCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cccnc1 ZINC001317729603 871448108 /nfs/dbraw/zinc/44/81/08/871448108.db2.gz DLBWZVDOEVRWTB-GASCZTMLSA-N 1 2 316.430 1.514 20 30 DDEDLO N#CCSCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cccnc1 ZINC001317729603 871448123 /nfs/dbraw/zinc/44/81/23/871448123.db2.gz DLBWZVDOEVRWTB-GASCZTMLSA-N 1 2 316.430 1.514 20 30 DDEDLO CCCN(C(=O)CCc1c[nH+]cn1C)[C@H]1CCN(CC#N)C1 ZINC001317771261 871482486 /nfs/dbraw/zinc/48/24/86/871482486.db2.gz YEKUOSRZBKQJBI-HNNXBMFYSA-N 1 2 303.410 1.189 20 30 DDEDLO O=S1(=O)N/C(=N/CCCNc2cccc[nH+]2)c2ccccc21 ZINC001317901531 871623193 /nfs/dbraw/zinc/62/31/93/871623193.db2.gz UUCJCMJQNJZAAU-UHFFFAOYSA-N 1 2 316.386 1.622 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001317963034 871655745 /nfs/dbraw/zinc/65/57/45/871655745.db2.gz XNLFPERDDQASLF-HNNXBMFYSA-N 1 2 307.438 1.592 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001317963034 871655755 /nfs/dbraw/zinc/65/57/55/871655755.db2.gz XNLFPERDDQASLF-HNNXBMFYSA-N 1 2 307.438 1.592 20 30 DDEDLO C[N@H+](CCCNC(=O)CC#Cc1ccccc1)Cc1cnon1 ZINC001316865875 871719540 /nfs/dbraw/zinc/71/95/40/871719540.db2.gz CGEWSKWHTUFURP-UHFFFAOYSA-N 1 2 312.373 1.450 20 30 DDEDLO C[N@@H+](CCCNC(=O)CC#Cc1ccccc1)Cc1cnon1 ZINC001316865875 871719554 /nfs/dbraw/zinc/71/95/54/871719554.db2.gz CGEWSKWHTUFURP-UHFFFAOYSA-N 1 2 312.373 1.450 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(NC(=O)[C@H](C)C#N)CC2)n1C1CC1 ZINC001226617554 882542133 /nfs/dbraw/zinc/54/21/33/882542133.db2.gz JELLCBLNLCGLOH-LLVKDONJSA-N 1 2 316.409 1.162 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1CC[NH+](Cc2coc(C3CC3)n2)CC1 ZINC001226640787 882562406 /nfs/dbraw/zinc/56/24/06/882562406.db2.gz PSCCPFGWEVEXRL-NSHDSACASA-N 1 2 302.378 1.792 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCOCC[N@H+](C)[C@@H](C)c1ncccn1 ZINC001316761214 872003268 /nfs/dbraw/zinc/00/32/68/872003268.db2.gz LVYHAQNVCYDOPL-KGLIPLIRSA-N 1 2 319.409 1.104 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCOCC[N@@H+](C)[C@@H](C)c1ncccn1 ZINC001316761214 872003288 /nfs/dbraw/zinc/00/32/88/872003288.db2.gz LVYHAQNVCYDOPL-KGLIPLIRSA-N 1 2 319.409 1.104 20 30 DDEDLO N#CCNCC[C@@H]1CCCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001318803791 872278303 /nfs/dbraw/zinc/27/83/03/872278303.db2.gz HHKFALRTJCKEHM-GJZGRUSLSA-N 1 2 315.421 1.187 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)Cc2ccc(Cl)cc2)C1 ZINC001319329973 872580186 /nfs/dbraw/zinc/58/01/86/872580186.db2.gz LDEVYADVGFVQGE-OAHLLOKOSA-N 1 2 308.809 1.886 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)Cc2ccc(Cl)cc2)C1 ZINC001319329973 872580195 /nfs/dbraw/zinc/58/01/95/872580195.db2.gz LDEVYADVGFVQGE-OAHLLOKOSA-N 1 2 308.809 1.886 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2oc(C)cc2C)C1 ZINC001206800074 872604721 /nfs/dbraw/zinc/60/47/21/872604721.db2.gz URDKKGFPNCWPQT-TZMCWYRMSA-N 1 2 319.405 1.249 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2oc(C)cc2C)C1 ZINC001206800074 872604729 /nfs/dbraw/zinc/60/47/29/872604729.db2.gz URDKKGFPNCWPQT-TZMCWYRMSA-N 1 2 319.405 1.249 20 30 DDEDLO COc1nccc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)n1 ZINC001206938031 872806854 /nfs/dbraw/zinc/80/68/54/872806854.db2.gz DUOSECUZSIEERO-UKRRQHHQSA-N 1 2 316.405 1.081 20 30 DDEDLO COc1nccc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)n1 ZINC001206938031 872806870 /nfs/dbraw/zinc/80/68/70/872806870.db2.gz DUOSECUZSIEERO-UKRRQHHQSA-N 1 2 316.405 1.081 20 30 DDEDLO CCn1ccc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)n1 ZINC001206947325 872826156 /nfs/dbraw/zinc/82/61/56/872826156.db2.gz OTONXLMHELIJSQ-GDBMZVCRSA-N 1 2 302.422 1.499 20 30 DDEDLO CCn1ccc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)n1 ZINC001206947325 872826158 /nfs/dbraw/zinc/82/61/58/872826158.db2.gz OTONXLMHELIJSQ-GDBMZVCRSA-N 1 2 302.422 1.499 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2csc(C)n2)C[C@H]1C ZINC001206955554 872844013 /nfs/dbraw/zinc/84/40/13/872844013.db2.gz XSACRHOMGMGHHY-TYNCELHUSA-N 1 2 321.446 1.426 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2csc(C)n2)C[C@H]1C ZINC001206955554 872844024 /nfs/dbraw/zinc/84/40/24/872844024.db2.gz XSACRHOMGMGHHY-TYNCELHUSA-N 1 2 321.446 1.426 20 30 DDEDLO C#CC[NH+]1CCC(OC(=O)c2[nH]nc3c2CCCCC3)CC1 ZINC001344374023 872991075 /nfs/dbraw/zinc/99/10/75/872991075.db2.gz YTNIJXZXCYZDEZ-UHFFFAOYSA-N 1 2 301.390 1.933 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3ccc(Cl)nn3)CC2)cc1C#N ZINC001207109644 873027614 /nfs/dbraw/zinc/02/76/14/873027614.db2.gz PWLFDAMLOIPFBM-UHFFFAOYSA-N 1 2 316.796 1.662 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@H+](Cc1nccn1CC)CC2 ZINC001207143233 873066022 /nfs/dbraw/zinc/06/60/22/873066022.db2.gz XDJTXBJICLFDQU-UHFFFAOYSA-N 1 2 315.421 1.897 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@@H+](Cc1nccn1CC)CC2 ZINC001207143233 873066043 /nfs/dbraw/zinc/06/60/43/873066043.db2.gz XDJTXBJICLFDQU-UHFFFAOYSA-N 1 2 315.421 1.897 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nncn2C)C[C@H]1C ZINC001207215644 873128204 /nfs/dbraw/zinc/12/82/04/873128204.db2.gz MXGJLBFWDGIHFC-HZSPNIEDSA-N 1 2 305.426 1.669 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nncn2C)C[C@H]1C ZINC001207215644 873128213 /nfs/dbraw/zinc/12/82/13/873128213.db2.gz MXGJLBFWDGIHFC-HZSPNIEDSA-N 1 2 305.426 1.669 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001345562373 873440236 /nfs/dbraw/zinc/44/02/36/873440236.db2.gz CPRSNOJRRYSVKQ-HIFRSBDPSA-N 1 2 316.405 1.251 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001207995198 873724817 /nfs/dbraw/zinc/72/48/17/873724817.db2.gz BZJCHBGHVJLFLS-AWEZNQCLSA-N 1 2 316.405 1.687 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cc(OC)n(C)n1 ZINC001378090497 874124859 /nfs/dbraw/zinc/12/48/59/874124859.db2.gz FCGFPLWDQIZBHG-SNVBAGLBSA-N 1 2 300.790 1.231 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cc(OC)n(C)n1 ZINC001378090497 874124869 /nfs/dbraw/zinc/12/48/69/874124869.db2.gz FCGFPLWDQIZBHG-SNVBAGLBSA-N 1 2 300.790 1.231 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+]([C@@H](C)c2nc(C)no2)CC1 ZINC001227076005 882814530 /nfs/dbraw/zinc/81/45/30/882814530.db2.gz CQYGJSVWLDZAEP-MEDUHNTESA-N 1 2 322.409 1.347 20 30 DDEDLO CC(C)(C)OC(=O)N1CCOC[C@H]1C[N@@H+]1CC[C@@](C)(C#N)C1 ZINC001208985208 874583240 /nfs/dbraw/zinc/58/32/40/874583240.db2.gz QRGHOTMMXJRAHR-CJNGLKHVSA-N 1 2 309.410 1.858 20 30 DDEDLO CC(C)(C)OC(=O)N1CCOC[C@H]1C[N@H+]1CC[C@@](C)(C#N)C1 ZINC001208985208 874583244 /nfs/dbraw/zinc/58/32/44/874583244.db2.gz QRGHOTMMXJRAHR-CJNGLKHVSA-N 1 2 309.410 1.858 20 30 DDEDLO C=CCN(CC[NH+]1CCN(c2nccs2)CC1)C(=O)OCC ZINC001209017543 874605047 /nfs/dbraw/zinc/60/50/47/874605047.db2.gz SQFFCNPOTARMCM-UHFFFAOYSA-N 1 2 324.450 1.910 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213260643 875863311 /nfs/dbraw/zinc/86/33/11/875863311.db2.gz OFMZKZQRCUCDKQ-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213260643 875863321 /nfs/dbraw/zinc/86/33/21/875863321.db2.gz OFMZKZQRCUCDKQ-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213322099 875896754 /nfs/dbraw/zinc/89/67/54/875896754.db2.gz ATKPBZWBOZIDJC-FVQBIDKESA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213322099 875896773 /nfs/dbraw/zinc/89/67/73/875896773.db2.gz ATKPBZWBOZIDJC-FVQBIDKESA-N 1 2 321.421 1.901 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)Oc2cccc(C)c2)[C@H](OC)C1 ZINC001213824919 876070114 /nfs/dbraw/zinc/07/01/14/876070114.db2.gz BNUHVCQKSYRHMH-DJIMGWMZSA-N 1 2 316.401 1.211 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)Oc2cccc(C)c2)[C@H](OC)C1 ZINC001213824919 876070134 /nfs/dbraw/zinc/07/01/34/876070134.db2.gz BNUHVCQKSYRHMH-DJIMGWMZSA-N 1 2 316.401 1.211 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001214581848 876403706 /nfs/dbraw/zinc/40/37/06/876403706.db2.gz AUVMCOPJDQJEEH-TZMCWYRMSA-N 1 2 316.405 1.543 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H](C)C#N)[NH2+]Cc1nnc(C(F)F)s1 ZINC001379109169 876424670 /nfs/dbraw/zinc/42/46/70/876424670.db2.gz SSFITRBUUGKOCE-JGVFFNPUSA-N 1 2 317.365 1.572 20 30 DDEDLO C=C1CCC(C(=O)NCCCN(C)C(=O)Cn2cc[nH+]c2)CC1 ZINC001351878207 876490825 /nfs/dbraw/zinc/49/08/25/876490825.db2.gz HKAQXFJWGGJGCK-UHFFFAOYSA-N 1 2 318.421 1.594 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001352910347 877003534 /nfs/dbraw/zinc/00/35/34/877003534.db2.gz ZSCHUWGMOKRGPF-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCNC(=O)CCN[C@@H](C[NH+](C)C)c1ccc(Cl)cc1 ZINC001353298285 877238118 /nfs/dbraw/zinc/23/81/18/877238118.db2.gz SRORGIBFFYXPIY-HNNXBMFYSA-N 1 2 307.825 1.672 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001353531055 877402487 /nfs/dbraw/zinc/40/24/87/877402487.db2.gz XPQTUDAJBBIAJM-BFHYXJOUSA-N 1 2 316.405 1.207 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)CCC1 ZINC001353532567 877403415 /nfs/dbraw/zinc/40/34/15/877403415.db2.gz HYFJHXCRGUSBPT-ZDUSSCGKSA-N 1 2 316.405 1.416 20 30 DDEDLO CC#CCCCC(=O)N1CCO[C@@H]2C[N@H+](C/C=C\Cl)C[C@@H]21 ZINC001218504515 877512207 /nfs/dbraw/zinc/51/22/07/877512207.db2.gz XXLXVWALILIPQV-NEFCLYRVSA-N 1 2 310.825 1.844 20 30 DDEDLO CC#CCCCC(=O)N1CCO[C@@H]2C[N@@H+](C/C=C\Cl)C[C@@H]21 ZINC001218504515 877512210 /nfs/dbraw/zinc/51/22/10/877512210.db2.gz XXLXVWALILIPQV-NEFCLYRVSA-N 1 2 310.825 1.844 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@H]1CCc2nccn2C1 ZINC001379695009 877762293 /nfs/dbraw/zinc/76/22/93/877762293.db2.gz GGMPYEAWMXONBC-OLZOCXBDSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@H]1CCc2nccn2C1 ZINC001379695009 877762303 /nfs/dbraw/zinc/76/23/03/877762303.db2.gz GGMPYEAWMXONBC-OLZOCXBDSA-N 1 2 310.829 1.635 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCO[C@@H]2C[N@H+](C/C=C\Cl)C[C@@H]21 ZINC001219066009 877900897 /nfs/dbraw/zinc/90/08/97/877900897.db2.gz UTNXUIUCQRXAJT-RVFNEKRJSA-N 1 2 314.813 1.242 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCO[C@@H]2C[N@@H+](C/C=C\Cl)C[C@@H]21 ZINC001219066009 877900908 /nfs/dbraw/zinc/90/09/08/877900908.db2.gz UTNXUIUCQRXAJT-RVFNEKRJSA-N 1 2 314.813 1.242 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1ccc(C(N)=O)s1 ZINC001379758325 877932500 /nfs/dbraw/zinc/93/25/00/877932500.db2.gz MUPNTKWAHIRXID-VIFPVBQESA-N 1 2 315.826 1.650 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1ccc(C(N)=O)s1 ZINC001379758325 877932510 /nfs/dbraw/zinc/93/25/10/877932510.db2.gz MUPNTKWAHIRXID-VIFPVBQESA-N 1 2 315.826 1.650 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219120837 877939610 /nfs/dbraw/zinc/93/96/10/877939610.db2.gz BOHNPFBUHDDXGK-KGLIPLIRSA-N 1 2 307.419 1.327 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219120837 877939618 /nfs/dbraw/zinc/93/96/18/877939618.db2.gz BOHNPFBUHDDXGK-KGLIPLIRSA-N 1 2 307.419 1.327 20 30 DDEDLO CC(C)(C)CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219196257 877999714 /nfs/dbraw/zinc/99/97/14/877999714.db2.gz SNXHCWOZMXKXHU-SJORKVTESA-N 1 2 314.429 1.636 20 30 DDEDLO CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219196257 877999726 /nfs/dbraw/zinc/99/97/26/877999726.db2.gz SNXHCWOZMXKXHU-SJORKVTESA-N 1 2 314.429 1.636 20 30 DDEDLO CCC[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219390124 878171346 /nfs/dbraw/zinc/17/13/46/878171346.db2.gz OJDXKTPKNMRRQE-NXHRZFHOSA-N 1 2 314.429 1.636 20 30 DDEDLO CCC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219390124 878171349 /nfs/dbraw/zinc/17/13/49/878171349.db2.gz OJDXKTPKNMRRQE-NXHRZFHOSA-N 1 2 314.429 1.636 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001219481986 878264423 /nfs/dbraw/zinc/26/44/23/878264423.db2.gz GHEZPEWCRCEPDP-CABCVRRESA-N 1 2 319.405 1.146 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001219481986 878264437 /nfs/dbraw/zinc/26/44/37/878264437.db2.gz GHEZPEWCRCEPDP-CABCVRRESA-N 1 2 319.405 1.146 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@@H]1O ZINC001219596535 878380846 /nfs/dbraw/zinc/38/08/46/878380846.db2.gz ZMMMMWRDFMLONI-CVEARBPZSA-N 1 2 318.392 1.537 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@@H]1O ZINC001219596535 878380864 /nfs/dbraw/zinc/38/08/64/878380864.db2.gz ZMMMMWRDFMLONI-CVEARBPZSA-N 1 2 318.392 1.537 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001355045379 878393643 /nfs/dbraw/zinc/39/36/43/878393643.db2.gz HYPYSPHMZSUNRB-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)C1CN(C(C)=O)C1)C(C)C ZINC001379996628 878506216 /nfs/dbraw/zinc/50/62/16/878506216.db2.gz IMNHCDDQLOCMBH-UHFFFAOYSA-N 1 2 315.845 1.386 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)C1CN(C(C)=O)C1)C(C)C ZINC001379996628 878506225 /nfs/dbraw/zinc/50/62/25/878506225.db2.gz IMNHCDDQLOCMBH-UHFFFAOYSA-N 1 2 315.845 1.386 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220123575 878717776 /nfs/dbraw/zinc/71/77/76/878717776.db2.gz HEQZUBLFYAXVEP-KGLIPLIRSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220123575 878717786 /nfs/dbraw/zinc/71/77/86/878717786.db2.gz HEQZUBLFYAXVEP-KGLIPLIRSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220190563 878802464 /nfs/dbraw/zinc/80/24/64/878802464.db2.gz QJFGAXRLLUQMAR-LYRGGWFBSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220190563 878802471 /nfs/dbraw/zinc/80/24/71/878802471.db2.gz QJFGAXRLLUQMAR-LYRGGWFBSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220190634 878804405 /nfs/dbraw/zinc/80/44/05/878804405.db2.gz SPABWWUDTCUWBK-GLQYFDAESA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220190634 878804415 /nfs/dbraw/zinc/80/44/15/878804415.db2.gz SPABWWUDTCUWBK-GLQYFDAESA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220293589 878889483 /nfs/dbraw/zinc/88/94/83/878889483.db2.gz MSJDZHHAOSVXTN-IJEWVQPXSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220293589 878889502 /nfs/dbraw/zinc/88/95/02/878889502.db2.gz MSJDZHHAOSVXTN-IJEWVQPXSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001356651852 879157902 /nfs/dbraw/zinc/15/79/02/879157902.db2.gz JHZHBNVXTJIURE-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)CC2CCC2)CC1 ZINC001356698890 879202187 /nfs/dbraw/zinc/20/21/87/879202187.db2.gz NAOGCGGBHKYRDC-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cccc(F)c2)C[C@@H]1O ZINC001221143715 879526798 /nfs/dbraw/zinc/52/67/98/879526798.db2.gz OCUBRRHBMCVPLQ-WQVCFCJDSA-N 1 2 322.380 1.078 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(F)c2)C[C@@H]1O ZINC001221143715 879526810 /nfs/dbraw/zinc/52/68/10/879526810.db2.gz OCUBRRHBMCVPLQ-WQVCFCJDSA-N 1 2 322.380 1.078 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)Cc2cccc(Cl)c2)[C@@H](O)C1 ZINC001221193020 879559522 /nfs/dbraw/zinc/55/95/22/879559522.db2.gz WJNNOHTVBJYEAU-MAZHCROVSA-N 1 2 320.820 1.313 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)Cc2cccc(Cl)c2)[C@@H](O)C1 ZINC001221193020 879559533 /nfs/dbraw/zinc/55/95/33/879559533.db2.gz WJNNOHTVBJYEAU-MAZHCROVSA-N 1 2 320.820 1.313 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@@H+](Cc3cncc(F)c3)C[C@H]21 ZINC001221443360 879806880 /nfs/dbraw/zinc/80/68/80/879806880.db2.gz YRWREAFOXJSSDD-GDBMZVCRSA-N 1 2 319.380 1.456 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@H+](Cc3cncc(F)c3)C[C@H]21 ZINC001221443360 879806889 /nfs/dbraw/zinc/80/68/89/879806889.db2.gz YRWREAFOXJSSDD-GDBMZVCRSA-N 1 2 319.380 1.456 20 30 DDEDLO O=C(C#CC1CC1)NCC=CCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001357809514 880208938 /nfs/dbraw/zinc/20/89/38/880208938.db2.gz TWSXSSOIESZYSY-OWOJBTEDSA-N 1 2 322.368 1.379 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001222067189 880209430 /nfs/dbraw/zinc/20/94/30/880209430.db2.gz DSIUAJPYJWBCHG-NEPJUHHUSA-N 1 2 310.423 1.210 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001222068250 880214935 /nfs/dbraw/zinc/21/49/35/880214935.db2.gz RKJXOYDYOYBIIY-QWHCGFSZSA-N 1 2 322.409 1.304 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nncn3C)[C@H]2C1 ZINC001222613616 880600703 /nfs/dbraw/zinc/60/07/03/880600703.db2.gz HQIFVLDREJHRMI-CABCVRRESA-N 1 2 315.421 1.041 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3nncn3C)[C@H]2C1 ZINC001222613616 880600709 /nfs/dbraw/zinc/60/07/09/880600709.db2.gz HQIFVLDREJHRMI-CABCVRRESA-N 1 2 315.421 1.041 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001287921282 912587881 /nfs/dbraw/zinc/58/78/81/912587881.db2.gz JPCAJBUHPIHYPK-GXTWGEPZSA-N 1 2 316.405 1.170 20 30 DDEDLO CC(C)Cc1noc(C[N@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)n1 ZINC001380947033 880717365 /nfs/dbraw/zinc/71/73/65/880717365.db2.gz HQRAXQUWTLMYGW-ZDUSSCGKSA-N 1 2 321.425 1.708 20 30 DDEDLO CC(C)Cc1noc(C[N@@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)n1 ZINC001380947033 880717376 /nfs/dbraw/zinc/71/73/76/880717376.db2.gz HQRAXQUWTLMYGW-ZDUSSCGKSA-N 1 2 321.425 1.708 20 30 DDEDLO CC(C)[C@@H](CCN(C)C(=O)[C@H](C)C#N)NC(=O)Cn1cc[nH+]c1 ZINC001381040987 880907754 /nfs/dbraw/zinc/90/77/54/880907754.db2.gz UICAGLLAXUHFQS-ZIAGYGMSSA-N 1 2 319.409 1.032 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccn3)n2[C@H]2C[C@H]2C)CC1 ZINC001359033529 881163131 /nfs/dbraw/zinc/16/31/31/881163131.db2.gz FUSMREVXHXFAOG-ZBFHGGJFSA-N 1 2 322.416 1.676 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H](CC)Oc1cccc(C)c1 ZINC001276938659 881181977 /nfs/dbraw/zinc/18/19/77/881181977.db2.gz AQSXHYRDDGZBEM-NVXWUHKLSA-N 1 2 300.402 1.976 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H](CC)Oc1cccc(C)c1 ZINC001276938659 881181980 /nfs/dbraw/zinc/18/19/80/881181980.db2.gz AQSXHYRDDGZBEM-NVXWUHKLSA-N 1 2 300.402 1.976 20 30 DDEDLO N#Cc1cccc(N2C[C@@H]3C[N@@H+](Cc4ccc[nH]4)C[C@H](C2)O3)c1 ZINC001276953785 881264034 /nfs/dbraw/zinc/26/40/34/881264034.db2.gz PSWXWSGNPHYXMP-HDICACEKSA-N 1 2 308.385 1.976 20 30 DDEDLO N#Cc1cccc(N2C[C@@H]3C[N@H+](Cc4ccc[nH]4)C[C@H](C2)O3)c1 ZINC001276953785 881264041 /nfs/dbraw/zinc/26/40/41/881264041.db2.gz PSWXWSGNPHYXMP-HDICACEKSA-N 1 2 308.385 1.976 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@](C)(OC)c1ccccc1 ZINC001276956833 881275529 /nfs/dbraw/zinc/27/55/29/881275529.db2.gz OINCOGVJIMTPTK-SJLPKXTDSA-N 1 2 300.402 1.762 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@](C)(OC)c1ccccc1 ZINC001276956833 881275536 /nfs/dbraw/zinc/27/55/36/881275536.db2.gz OINCOGVJIMTPTK-SJLPKXTDSA-N 1 2 300.402 1.762 20 30 DDEDLO N#Cc1ccccc1N1CC2(C1)C[NH+](Cc1cccc(=O)[nH]1)C2 ZINC001276954265 881286440 /nfs/dbraw/zinc/28/64/40/881286440.db2.gz ZXLSGTVOHPEPNM-UHFFFAOYSA-N 1 2 306.369 1.981 20 30 DDEDLO N#Cc1ccc(N2CC3(C2)C[NH+](Cc2cccc(=O)[nH]2)C3)cc1 ZINC001276960780 881310961 /nfs/dbraw/zinc/31/09/61/881310961.db2.gz QXJPZLZAOSHNJP-UHFFFAOYSA-N 1 2 306.369 1.981 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001288045073 912693519 /nfs/dbraw/zinc/69/35/19/912693519.db2.gz MOUCTGZOBPNGOJ-KGLIPLIRSA-N 1 2 318.421 1.628 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1CC[NH+](Cc2nonc2C)CC1 ZINC001228747693 883650062 /nfs/dbraw/zinc/65/00/62/883650062.db2.gz DHYVIAPQTAWNSX-NEPJUHHUSA-N 1 2 306.410 1.917 20 30 DDEDLO CC(=O)CCc1ccc(O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001230392250 884465156 /nfs/dbraw/zinc/46/51/56/884465156.db2.gz GPSNHPJJNGAAKE-PCIHELECSA-N 1 2 317.385 1.905 20 30 DDEDLO CC(=O)CCc1ccc(O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001230392250 884465163 /nfs/dbraw/zinc/46/51/63/884465163.db2.gz GPSNHPJJNGAAKE-PCIHELECSA-N 1 2 317.385 1.905 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)c1ccc(Cl)c(C#N)c1 ZINC001362720562 884481944 /nfs/dbraw/zinc/48/19/44/884481944.db2.gz RPUUXNMSNQXKIT-LBPRGKRZSA-N 1 2 304.737 1.409 20 30 DDEDLO COCCO[C@@H](C)C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230604900 884643261 /nfs/dbraw/zinc/64/32/61/884643261.db2.gz KGJTXYHVQJPONO-HOCLYGCPSA-N 1 2 323.437 1.264 20 30 DDEDLO COCCO[C@@H](C)C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230604900 884643279 /nfs/dbraw/zinc/64/32/79/884643279.db2.gz KGJTXYHVQJPONO-HOCLYGCPSA-N 1 2 323.437 1.264 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)C ZINC001230651715 884701211 /nfs/dbraw/zinc/70/12/11/884701211.db2.gz QGJDYNOEWITLNF-OAHLLOKOSA-N 1 2 322.453 1.327 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)C ZINC001230651715 884701219 /nfs/dbraw/zinc/70/12/19/884701219.db2.gz QGJDYNOEWITLNF-OAHLLOKOSA-N 1 2 322.453 1.327 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccsc1 ZINC001230696601 884761134 /nfs/dbraw/zinc/76/11/34/884761134.db2.gz CUKLLUUOROVUEV-GXTWGEPZSA-N 1 2 321.446 1.585 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccsc1 ZINC001230696601 884761140 /nfs/dbraw/zinc/76/11/40/884761140.db2.gz CUKLLUUOROVUEV-GXTWGEPZSA-N 1 2 321.446 1.585 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccsc1 ZINC001230699172 884762120 /nfs/dbraw/zinc/76/21/20/884762120.db2.gz VMQZHARBGZMGEQ-JSGCOSHPSA-N 1 2 319.430 1.032 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1ccsc1 ZINC001230699172 884762137 /nfs/dbraw/zinc/76/21/37/884762137.db2.gz VMQZHARBGZMGEQ-JSGCOSHPSA-N 1 2 319.430 1.032 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CC(C)(C)C)C1=O ZINC001230788777 884883560 /nfs/dbraw/zinc/88/35/60/884883560.db2.gz ULHTVPOYBHFLNW-CABCVRRESA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CC(C)(C)C)C1=O ZINC001230788777 884883574 /nfs/dbraw/zinc/88/35/74/884883574.db2.gz ULHTVPOYBHFLNW-CABCVRRESA-N 1 2 321.465 1.742 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC001230939535 885064568 /nfs/dbraw/zinc/06/45/68/885064568.db2.gz UCFBDIITDQCLAG-PBHICJAKSA-N 1 2 318.392 1.745 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccc(F)cc1 ZINC001230939535 885064580 /nfs/dbraw/zinc/06/45/80/885064580.db2.gz UCFBDIITDQCLAG-PBHICJAKSA-N 1 2 318.392 1.745 20 30 DDEDLO Cn1c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)nc2ncnc(Cl)c21 ZINC001231110533 885236847 /nfs/dbraw/zinc/23/68/47/885236847.db2.gz JDNQBGIXVCGFJJ-ZTEPNEHBSA-N 1 2 321.768 1.008 20 30 DDEDLO Cn1c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)nc2ncnc(Cl)c21 ZINC001231110533 885236864 /nfs/dbraw/zinc/23/68/64/885236864.db2.gz JDNQBGIXVCGFJJ-ZTEPNEHBSA-N 1 2 321.768 1.008 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@H](C)C(C)C ZINC001231122624 885258842 /nfs/dbraw/zinc/25/88/42/885258842.db2.gz LVYKHAHVTHPCRF-CABCVRRESA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@H](C)C(C)C ZINC001231122624 885258859 /nfs/dbraw/zinc/25/88/59/885258859.db2.gz LVYKHAHVTHPCRF-CABCVRRESA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@@H](C)CCC ZINC001231131675 885271761 /nfs/dbraw/zinc/27/17/61/885271761.db2.gz PDJUZXUHCGFDJO-GJZGRUSLSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@@H](C)CCC ZINC001231131675 885271778 /nfs/dbraw/zinc/27/17/78/885271778.db2.gz PDJUZXUHCGFDJO-GJZGRUSLSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)c1cnc(C)cn1 ZINC001231241813 885421051 /nfs/dbraw/zinc/42/10/51/885421051.db2.gz ZSUWFUOURCYFRX-CABCVRRESA-N 1 2 318.421 1.581 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)c1cnc(C)cn1 ZINC001231241813 885421061 /nfs/dbraw/zinc/42/10/61/885421061.db2.gz ZSUWFUOURCYFRX-CABCVRRESA-N 1 2 318.421 1.581 20 30 DDEDLO CC(C)OCC(=O)N(C)CC[N@H+](C)Cc1ccccc1C#N ZINC001374470068 912972494 /nfs/dbraw/zinc/97/24/94/912972494.db2.gz LNEODMTZVMSSMT-UHFFFAOYSA-N 1 2 303.406 1.873 20 30 DDEDLO CC(C)OCC(=O)N(C)CC[N@@H+](C)Cc1ccccc1C#N ZINC001374470068 912972503 /nfs/dbraw/zinc/97/25/03/912972503.db2.gz LNEODMTZVMSSMT-UHFFFAOYSA-N 1 2 303.406 1.873 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C1C[NH+](CCc2ccccc2F)C1 ZINC001277585402 885938599 /nfs/dbraw/zinc/93/85/99/885938599.db2.gz CCJIFTKUIYXDKY-SFHVURJKSA-N 1 2 320.408 1.838 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)c(Cl)c2)C1 ZINC001232119435 886105483 /nfs/dbraw/zinc/10/54/83/886105483.db2.gz YMQFPTQDGCMPKN-CQSZACIVSA-N 1 2 321.808 1.939 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)c(Cl)c2)C1 ZINC001232119435 886105492 /nfs/dbraw/zinc/10/54/92/886105492.db2.gz YMQFPTQDGCMPKN-CQSZACIVSA-N 1 2 321.808 1.939 20 30 DDEDLO CC(C)NC(=O)N[C@H]1CCC[N@H+](Cc2cc(C#N)ccn2)C1 ZINC001232482125 886422517 /nfs/dbraw/zinc/42/25/17/886422517.db2.gz QKNRCGKFDGMDCI-AWEZNQCLSA-N 1 2 301.394 1.625 20 30 DDEDLO CC(C)NC(=O)N[C@H]1CCC[N@@H+](Cc2cc(C#N)ccn2)C1 ZINC001232482125 886422522 /nfs/dbraw/zinc/42/25/22/886422522.db2.gz QKNRCGKFDGMDCI-AWEZNQCLSA-N 1 2 301.394 1.625 20 30 DDEDLO COCCCC[NH+]1CCN(Cc2cc(C#N)ccc2O)CC1 ZINC001232680445 886534478 /nfs/dbraw/zinc/53/44/78/886534478.db2.gz DJSIMSNXCLLVMM-UHFFFAOYSA-N 1 2 303.406 1.808 20 30 DDEDLO CN(C)c1ccc(CN2CC([N@H+](C)C3COC3)C2)c(F)c1C#N ZINC001233101944 886786088 /nfs/dbraw/zinc/78/60/88/886786088.db2.gz KWSBNNUIGCIEQL-UHFFFAOYSA-N 1 2 318.396 1.278 20 30 DDEDLO CN(C)c1ccc(CN2CC([N@@H+](C)C3COC3)C2)c(F)c1C#N ZINC001233101944 886786093 /nfs/dbraw/zinc/78/60/93/886786093.db2.gz KWSBNNUIGCIEQL-UHFFFAOYSA-N 1 2 318.396 1.278 20 30 DDEDLO C[N@@H+](Cc1nc(CO)cs1)C[C@H](O)c1cccc(C#N)c1 ZINC001363658227 886904965 /nfs/dbraw/zinc/90/49/65/886904965.db2.gz XWNVFURZMKJCMC-AWEZNQCLSA-N 1 2 303.387 1.672 20 30 DDEDLO C[N@H+](Cc1nc(CO)cs1)C[C@H](O)c1cccc(C#N)c1 ZINC001363658227 886904973 /nfs/dbraw/zinc/90/49/73/886904973.db2.gz XWNVFURZMKJCMC-AWEZNQCLSA-N 1 2 303.387 1.672 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001233489977 887030020 /nfs/dbraw/zinc/03/00/20/887030020.db2.gz NTCULYNJJVQTOI-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001233489977 887030033 /nfs/dbraw/zinc/03/00/33/887030033.db2.gz NTCULYNJJVQTOI-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)/C(C)=C\C)C1=O ZINC001233559953 887108271 /nfs/dbraw/zinc/10/82/71/887108271.db2.gz FXURPUOBPDCIIP-AZNSZHPSSA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)/C(C)=C\C)C1=O ZINC001233559953 887108279 /nfs/dbraw/zinc/10/82/79/887108279.db2.gz FXURPUOBPDCIIP-AZNSZHPSSA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234029760 887568279 /nfs/dbraw/zinc/56/82/79/887568279.db2.gz JSJVMEVHXUYPRV-HIFRSBDPSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234029760 887568285 /nfs/dbraw/zinc/56/82/85/887568285.db2.gz JSJVMEVHXUYPRV-HIFRSBDPSA-N 1 2 321.465 1.646 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234030192 887568882 /nfs/dbraw/zinc/56/88/82/887568882.db2.gz OMASJODEEZEXHX-HIFRSBDPSA-N 1 2 319.449 1.093 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234030192 887568893 /nfs/dbraw/zinc/56/88/93/887568893.db2.gz OMASJODEEZEXHX-HIFRSBDPSA-N 1 2 319.449 1.093 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)C)o1 ZINC001234161937 887700866 /nfs/dbraw/zinc/70/08/66/887700866.db2.gz NVGUTMSYKZUZKN-HNNXBMFYSA-N 1 2 303.406 1.984 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)C)o1 ZINC001234161937 887700875 /nfs/dbraw/zinc/70/08/75/887700875.db2.gz NVGUTMSYKZUZKN-HNNXBMFYSA-N 1 2 303.406 1.984 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234194446 887736378 /nfs/dbraw/zinc/73/63/78/887736378.db2.gz DACMCFSFTPXUNN-HIFRSBDPSA-N 1 2 319.449 1.236 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234194446 887736387 /nfs/dbraw/zinc/73/63/87/887736387.db2.gz DACMCFSFTPXUNN-HIFRSBDPSA-N 1 2 319.449 1.236 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccccn1 ZINC001234215635 887753992 /nfs/dbraw/zinc/75/39/92/887753992.db2.gz NSXVLDTZZWAVON-ZBFHGGJFSA-N 1 2 301.390 1.153 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccccn1 ZINC001234215635 887754004 /nfs/dbraw/zinc/75/40/04/887754004.db2.gz NSXVLDTZZWAVON-ZBFHGGJFSA-N 1 2 301.390 1.153 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCC1(F)F ZINC001235686480 888884347 /nfs/dbraw/zinc/88/43/47/888884347.db2.gz TXZUCKOPODZDRV-OLZOCXBDSA-N 1 2 300.349 1.214 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCC1(F)F ZINC001235686480 888884359 /nfs/dbraw/zinc/88/43/59/888884359.db2.gz TXZUCKOPODZDRV-OLZOCXBDSA-N 1 2 300.349 1.214 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](C[C@H](O)c2cccc(C#N)c2)CC1 ZINC001364685535 889194546 /nfs/dbraw/zinc/19/45/46/889194546.db2.gz QABWKASKORMOPX-MSOLQXFVSA-N 1 2 312.417 1.757 20 30 DDEDLO C[N@H+](C[C@H](O)c1cccc(C#N)c1)CC(C)(C)S(C)(=O)=O ZINC001364788615 889418460 /nfs/dbraw/zinc/41/84/60/889418460.db2.gz KETAPVNHCVRFDL-AWEZNQCLSA-N 1 2 310.419 1.347 20 30 DDEDLO C[N@@H+](C[C@H](O)c1cccc(C#N)c1)CC(C)(C)S(C)(=O)=O ZINC001364788615 889418467 /nfs/dbraw/zinc/41/84/67/889418467.db2.gz KETAPVNHCVRFDL-AWEZNQCLSA-N 1 2 310.419 1.347 20 30 DDEDLO Cn1ccn2c(C[N@H+](C)CCCC(C)(C)C#N)nnc2c1=O ZINC001365330408 890602668 /nfs/dbraw/zinc/60/26/68/890602668.db2.gz MHLORXIIIUIOGB-UHFFFAOYSA-N 1 2 302.382 1.190 20 30 DDEDLO Cn1ccn2c(C[N@@H+](C)CCCC(C)(C)C#N)nnc2c1=O ZINC001365330408 890602672 /nfs/dbraw/zinc/60/26/72/890602672.db2.gz MHLORXIIIUIOGB-UHFFFAOYSA-N 1 2 302.382 1.190 20 30 DDEDLO CS(C)(=O)=NCC1CC[NH+](Cc2cc(C#N)ccn2)CC1 ZINC001365332194 890606490 /nfs/dbraw/zinc/60/64/90/890606490.db2.gz UKQDPZJUEMSMAA-UHFFFAOYSA-N 1 2 306.435 1.893 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H](NC(=O)[C@@H](C)C#N)C2)sn1 ZINC001365932231 891955854 /nfs/dbraw/zinc/95/58/54/891955854.db2.gz YLRMWPQHILAVFT-WDEREUQCSA-N 1 2 308.407 1.392 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H](NC(=O)[C@@H](C)C#N)C2)sn1 ZINC001365932231 891955867 /nfs/dbraw/zinc/95/58/67/891955867.db2.gz YLRMWPQHILAVFT-WDEREUQCSA-N 1 2 308.407 1.392 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCc2cnn(C)c2)C1 ZINC001365951875 892021568 /nfs/dbraw/zinc/02/15/68/892021568.db2.gz RXFYIWZNASDXKA-CQSZACIVSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCc2cnn(C)c2)C1 ZINC001365951875 892021586 /nfs/dbraw/zinc/02/15/86/892021586.db2.gz RXFYIWZNASDXKA-CQSZACIVSA-N 1 2 310.829 1.686 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+]C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001374744108 913769633 /nfs/dbraw/zinc/76/96/33/913769633.db2.gz YJASJJHRFQOTRL-NXEZZACHSA-N 1 2 316.365 1.301 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@H+](Cc2ccon2)[C@H]1C ZINC001278511479 893784631 /nfs/dbraw/zinc/78/46/31/893784631.db2.gz VUIPJOSOCGNBRF-DZGCQCFKSA-N 1 2 305.378 1.184 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccon2)[C@H]1C ZINC001278511479 893784641 /nfs/dbraw/zinc/78/46/41/893784641.db2.gz VUIPJOSOCGNBRF-DZGCQCFKSA-N 1 2 305.378 1.184 20 30 DDEDLO Cn1cccc1C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001366514846 893804856 /nfs/dbraw/zinc/80/48/56/893804856.db2.gz MEHUFMQBXVBGDM-UHFFFAOYSA-N 1 2 314.364 1.898 20 30 DDEDLO Cn1cccc1C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001366514846 893804878 /nfs/dbraw/zinc/80/48/78/893804878.db2.gz MEHUFMQBXVBGDM-UHFFFAOYSA-N 1 2 314.364 1.898 20 30 DDEDLO COCCC1(C(=O)NCC[N@H+](C)Cc2ccccc2C#N)CC1 ZINC001366541056 893945569 /nfs/dbraw/zinc/94/55/69/893945569.db2.gz OQJFHCOHHFAARZ-UHFFFAOYSA-N 1 2 315.417 1.923 20 30 DDEDLO COCCC1(C(=O)NCC[N@@H+](C)Cc2ccccc2C#N)CC1 ZINC001366541056 893945577 /nfs/dbraw/zinc/94/55/77/893945577.db2.gz OQJFHCOHHFAARZ-UHFFFAOYSA-N 1 2 315.417 1.923 20 30 DDEDLO COC(=O)[C@@H]1COCCC12C[NH+](Cc1cccc(C#N)c1F)C2 ZINC001249687499 894106910 /nfs/dbraw/zinc/10/69/10/894106910.db2.gz FQPWVGUHFRYDPQ-AWEZNQCLSA-N 1 2 318.348 1.709 20 30 DDEDLO N#Cc1c[nH]c2ccc(NC[C@@H](O)C[NH+]3CCOCC3)cc12 ZINC001251031773 894588418 /nfs/dbraw/zinc/58/84/18/894588418.db2.gz NMCKZPSTLRMRKS-CQSZACIVSA-N 1 2 300.362 1.145 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cnn(CCF)c1)C1CC1 ZINC001366744188 894787418 /nfs/dbraw/zinc/78/74/18/894787418.db2.gz LONNSWNFYIUJSQ-UHFFFAOYSA-N 1 2 314.792 1.799 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cnn(CCF)c1)C1CC1 ZINC001366744188 894787425 /nfs/dbraw/zinc/78/74/25/894787425.db2.gz LONNSWNFYIUJSQ-UHFFFAOYSA-N 1 2 314.792 1.799 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCc2c(nnn2CC2CC2)[C@H]1COC ZINC001252594770 895293906 /nfs/dbraw/zinc/29/39/06/895293906.db2.gz BIGSCEMUCOUPCY-GDBMZVCRSA-N 1 2 320.437 1.561 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCc2c(nnn2CC2CC2)[C@H]1COC ZINC001252594770 895293916 /nfs/dbraw/zinc/29/39/16/895293916.db2.gz BIGSCEMUCOUPCY-GDBMZVCRSA-N 1 2 320.437 1.561 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(CC)n1)C2 ZINC001253539106 895889807 /nfs/dbraw/zinc/88/98/07/895889807.db2.gz OLXKJYYKYOYNDS-UHFFFAOYSA-N 1 2 317.437 1.545 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnn(CC)n1)C2 ZINC001253539106 895889812 /nfs/dbraw/zinc/88/98/12/895889812.db2.gz OLXKJYYKYOYNDS-UHFFFAOYSA-N 1 2 317.437 1.545 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@H]2CCCC[C@@H]2C2CC2)C1 ZINC001278892146 897137752 /nfs/dbraw/zinc/13/77/52/897137752.db2.gz ZQIWMBOVTVAOLB-CVEARBPZSA-N 1 2 304.434 1.389 20 30 DDEDLO C=C[C@@H]1C[C@]1([NH2+]C1CCN(C(=O)OCC)CC1)C(=O)OCC ZINC001256235163 897328842 /nfs/dbraw/zinc/32/88/42/897328842.db2.gz VMJXAHUOEWASPI-MLGOLLRUSA-N 1 2 310.394 1.705 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1ccoc1CC(N)=O ZINC001367839613 897982093 /nfs/dbraw/zinc/98/20/93/897982093.db2.gz YLEWXQZDLGBFNM-JTQLQIEISA-N 1 2 313.785 1.110 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1ccoc1CC(N)=O ZINC001367839613 897982096 /nfs/dbraw/zinc/98/20/96/897982096.db2.gz YLEWXQZDLGBFNM-JTQLQIEISA-N 1 2 313.785 1.110 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1CNS(=O)(=O)c1c(F)cccc1F ZINC001259072427 898451275 /nfs/dbraw/zinc/45/12/75/898451275.db2.gz WPBXNKHKJHQKPR-NSHDSACASA-N 1 2 316.373 1.894 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1CNS(=O)(=O)c1c(F)cccc1F ZINC001259072427 898451280 /nfs/dbraw/zinc/45/12/80/898451280.db2.gz WPBXNKHKJHQKPR-NSHDSACASA-N 1 2 316.373 1.894 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)N[C@H](CC)C[NH+]2CCOCC2)C1 ZINC001262201656 900013007 /nfs/dbraw/zinc/01/30/07/900013007.db2.gz BSLUNBQCRYMDOL-HUUCEWRRSA-N 1 2 311.426 1.084 20 30 DDEDLO C=CCN(CCOC)c1nnc(Cc2[nH+]ccn2C)n1CC ZINC001263013062 900468868 /nfs/dbraw/zinc/46/88/68/900468868.db2.gz GYHFASZCQIZGFF-UHFFFAOYSA-N 1 2 304.398 1.261 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2ccnn2C)C1 ZINC001264370850 901052599 /nfs/dbraw/zinc/05/25/99/901052599.db2.gz LBTBHVIICOBCLF-OAHLLOKOSA-N 1 2 302.422 1.809 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2ccnn2C)C1 ZINC001264370850 901052609 /nfs/dbraw/zinc/05/26/09/901052609.db2.gz LBTBHVIICOBCLF-OAHLLOKOSA-N 1 2 302.422 1.809 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cnnn2C)[C@@H]1C ZINC001264714276 901309184 /nfs/dbraw/zinc/30/91/84/901309184.db2.gz RLYKFZPVFWHPLZ-OCCSQVGLSA-N 1 2 305.426 1.496 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cnnn2C)[C@@H]1C ZINC001264714276 901309192 /nfs/dbraw/zinc/30/91/92/901309192.db2.gz RLYKFZPVFWHPLZ-OCCSQVGLSA-N 1 2 305.426 1.496 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C1CC[NH+](Cc2ccon2)CC1 ZINC001265191884 901686456 /nfs/dbraw/zinc/68/64/56/901686456.db2.gz HGSMPFLMUFTLBC-ZDUSSCGKSA-N 1 2 305.378 1.136 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H](C)C(C)(F)F)C1 ZINC001265224302 901739683 /nfs/dbraw/zinc/73/96/83/901739683.db2.gz AFWNELTZUSHPCN-NWDGAFQWSA-N 1 2 317.380 1.161 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)C(C)(F)F)C1 ZINC001265224302 901739685 /nfs/dbraw/zinc/73/96/85/901739685.db2.gz AFWNELTZUSHPCN-NWDGAFQWSA-N 1 2 317.380 1.161 20 30 DDEDLO CCCC[C@@H](C(=O)NCC[NH+]1CCN(CC#N)CC1)C(C)C ZINC001265266263 901790080 /nfs/dbraw/zinc/79/00/80/901790080.db2.gz GQCBZUZYWOWPFP-MRXNPFEDSA-N 1 2 308.470 1.706 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](CC=C(Cl)Cl)C1 ZINC001265280430 901813841 /nfs/dbraw/zinc/81/38/41/901813841.db2.gz BFVINQXMGDNEJE-GXFFZTMASA-N 1 2 307.221 1.823 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](CC=C(Cl)Cl)C1 ZINC001265280430 901813853 /nfs/dbraw/zinc/81/38/53/901813853.db2.gz BFVINQXMGDNEJE-GXFFZTMASA-N 1 2 307.221 1.823 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CC[N@@H+](CC(=O)N[C@H](C)CC)C2)CC1 ZINC001265301443 901853419 /nfs/dbraw/zinc/85/34/19/901853419.db2.gz KXXLMPCAKROQPA-GDBMZVCRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CC[N@H+](CC(=O)N[C@H](C)CC)C2)CC1 ZINC001265301443 901853430 /nfs/dbraw/zinc/85/34/30/901853430.db2.gz KXXLMPCAKROQPA-GDBMZVCRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265306725 901860769 /nfs/dbraw/zinc/86/07/69/901860769.db2.gz WGHZQINITXYICS-AWEZNQCLSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265306725 901860776 /nfs/dbraw/zinc/86/07/76/901860776.db2.gz WGHZQINITXYICS-AWEZNQCLSA-N 1 2 323.481 1.942 20 30 DDEDLO C#CCCCC(=O)NC[C@H]([NH2+][C@H](C)c1noc(C)n1)C1CC1 ZINC001265352773 901915419 /nfs/dbraw/zinc/91/54/19/901915419.db2.gz XVBZYVQOJMZJSB-RISCZKNCSA-N 1 2 304.394 1.727 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001265390832 901961477 /nfs/dbraw/zinc/96/14/77/901961477.db2.gz OFLVFWBKOKUXLF-HNNXBMFYSA-N 1 2 319.453 1.842 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001265390832 901961486 /nfs/dbraw/zinc/96/14/86/901961486.db2.gz OFLVFWBKOKUXLF-HNNXBMFYSA-N 1 2 319.453 1.842 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](C)Oc2cccnc2)C1 ZINC001370012917 902388436 /nfs/dbraw/zinc/38/84/36/902388436.db2.gz GHZQFNLNIAEWIT-STQMWFEESA-N 1 2 309.797 1.792 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)Oc2cccnc2)C1 ZINC001370012917 902388446 /nfs/dbraw/zinc/38/84/46/902388446.db2.gz GHZQFNLNIAEWIT-STQMWFEESA-N 1 2 309.797 1.792 20 30 DDEDLO Cn1ncnc1C[N@H+](CCCNC(=O)C#CC(C)(C)C)C1CC1 ZINC001266135633 903003734 /nfs/dbraw/zinc/00/37/34/903003734.db2.gz RGPWWODFSVEVNL-UHFFFAOYSA-N 1 2 317.437 1.335 20 30 DDEDLO Cn1ncnc1C[N@@H+](CCCNC(=O)C#CC(C)(C)C)C1CC1 ZINC001266135633 903003740 /nfs/dbraw/zinc/00/37/40/903003740.db2.gz RGPWWODFSVEVNL-UHFFFAOYSA-N 1 2 317.437 1.335 20 30 DDEDLO C[C@H](C[NH2+]Cc1nc(C(C)(C)C)no1)N(C)C(=O)[C@@H](C)C#N ZINC001392053776 903181219 /nfs/dbraw/zinc/18/12/19/903181219.db2.gz VWVGDJQKAVJHGV-WDEREUQCSA-N 1 2 307.398 1.463 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]1CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001279787253 903418111 /nfs/dbraw/zinc/41/81/11/903418111.db2.gz SZUFNVIGQBLONN-AWEZNQCLSA-N 1 2 304.394 1.368 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@@H](CC)C(N)=O)CCC1 ZINC001316601123 903500159 /nfs/dbraw/zinc/50/01/59/903500159.db2.gz BDDFXYCTJHIGBC-KBPBESRZSA-N 1 2 307.438 1.529 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@@H](CC)C(N)=O)CCC1 ZINC001316601123 903500174 /nfs/dbraw/zinc/50/01/74/903500174.db2.gz BDDFXYCTJHIGBC-KBPBESRZSA-N 1 2 307.438 1.529 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001280525643 903763845 /nfs/dbraw/zinc/76/38/45/903763845.db2.gz RNGIZMMSRYRVRV-OLZOCXBDSA-N 1 2 306.410 1.518 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001280675038 903908080 /nfs/dbraw/zinc/90/80/80/903908080.db2.gz WQRYNLYIFRKHQY-SUMWQHHRSA-N 1 2 318.421 1.449 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)CN(C)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001281027223 904331102 /nfs/dbraw/zinc/33/11/02/904331102.db2.gz PFTAQDQIEQWRNJ-CYBMUJFWSA-N 1 2 318.421 1.310 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001371167141 904397973 /nfs/dbraw/zinc/39/79/73/904397973.db2.gz RATXUQDWBFZQOF-RKDXNWHRSA-N 1 2 302.338 1.047 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)C[C@@H]1CCC(=O)NC1 ZINC001392661314 904788760 /nfs/dbraw/zinc/78/87/60/904788760.db2.gz PPFSIZIPZPUWSO-NEPJUHHUSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)C[C@@H]1CCC(=O)NC1 ZINC001392661314 904788764 /nfs/dbraw/zinc/78/87/64/904788764.db2.gz PPFSIZIPZPUWSO-NEPJUHHUSA-N 1 2 301.818 1.092 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1nc(C2CCC2)no1)C1CC1 ZINC001392775106 905217855 /nfs/dbraw/zinc/21/78/55/905217855.db2.gz VJBMWICLDZOLNY-MFKMUULPSA-N 1 2 317.393 1.481 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CCC[C@@H]1CN(C)CC#N)n1cc[nH+]c1 ZINC001281943349 905342840 /nfs/dbraw/zinc/34/28/40/905342840.db2.gz ZLAZSAKKYBXMTJ-CVEARBPZSA-N 1 2 317.437 1.917 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]1CN(C)C(=O)CCn1cc[nH+]c1 ZINC001282046574 905464395 /nfs/dbraw/zinc/46/43/95/905464395.db2.gz FWXYMCQZVSXAEL-CQSZACIVSA-N 1 2 304.394 1.299 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2c[nH+]cn2C)C1 ZINC001282081115 905523489 /nfs/dbraw/zinc/52/34/89/905523489.db2.gz XLJLLPSDXNLTRX-BETUJISGSA-N 1 2 318.421 1.186 20 30 DDEDLO C=CCC[NH+]1CC(CCO)(NC(=O)c2c(C)noc2C2CC2)C1 ZINC001282527318 905852374 /nfs/dbraw/zinc/85/23/74/905852374.db2.gz KZJVFUSETBJENU-UHFFFAOYSA-N 1 2 319.405 1.603 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001283395617 907283634 /nfs/dbraw/zinc/28/36/34/907283634.db2.gz XDFBZDWIKXGHKT-CYBMUJFWSA-N 1 2 304.394 1.368 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(C)CCCNC(=O)Cn1cc[nH+]c1 ZINC001283582004 907634994 /nfs/dbraw/zinc/63/49/94/907634994.db2.gz QGQGNUUTGQBLCP-KGLIPLIRSA-N 1 2 306.410 1.306 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCCN(C)C(=O)Cc1c[nH+]cn1C ZINC001283643912 907734502 /nfs/dbraw/zinc/73/45/02/907734502.db2.gz HIMCQAHRGRXSRI-QGZVFWFLSA-N 1 2 320.437 1.530 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2CN(C(=O)Cc3[nH]c[nH+]c3C)C[C@H]21 ZINC001284164457 908579422 /nfs/dbraw/zinc/57/94/22/908579422.db2.gz QGZVJBYUXOUVNL-LAQFHYBYSA-N 1 2 316.405 1.190 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NC[C@H](C)NC(=O)C#CC(C)C)c[nH+]1 ZINC001284268750 908752050 /nfs/dbraw/zinc/75/20/50/908752050.db2.gz CCVMFFFZSPMKTH-ZDUSSCGKSA-N 1 2 318.421 1.207 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1ccc2n[nH]nc2c1 ZINC001394139047 908947541 /nfs/dbraw/zinc/94/75/41/908947541.db2.gz VIGCFLNEAVIYJD-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1ccc2n[nH]nc2c1 ZINC001394139047 908947555 /nfs/dbraw/zinc/94/75/55/908947555.db2.gz VIGCFLNEAVIYJD-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO CC(C)N(CCN(C)CC#N)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001284537718 909156623 /nfs/dbraw/zinc/15/66/23/909156623.db2.gz RFWXAZYICBRVCN-AWEZNQCLSA-N 1 2 303.410 1.138 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](CC(=O)NC2CCCC2)CC1(C)C ZINC001394281492 909249857 /nfs/dbraw/zinc/24/98/57/909249857.db2.gz DSFJVTWUHQFGDZ-GXTWGEPZSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](CC(=O)NC2CCCC2)CC1(C)C ZINC001394281492 909249870 /nfs/dbraw/zinc/24/98/70/909249870.db2.gz DSFJVTWUHQFGDZ-GXTWGEPZSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[N@H+](Cc2ccc(F)cn2)CC1(C)C ZINC001394297708 909291806 /nfs/dbraw/zinc/29/18/06/909291806.db2.gz YZIODRRVZKZQKC-RISCZKNCSA-N 1 2 304.369 1.707 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[N@@H+](Cc2ccc(F)cn2)CC1(C)C ZINC001394297708 909291817 /nfs/dbraw/zinc/29/18/17/909291817.db2.gz YZIODRRVZKZQKC-RISCZKNCSA-N 1 2 304.369 1.707 20 30 DDEDLO C#CCCCC(=O)NCC1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001284965779 909844422 /nfs/dbraw/zinc/84/44/22/909844422.db2.gz KYIQEBKMLKHGCA-UHFFFAOYSA-N 1 2 324.384 1.555 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)Cc2cnoc2)C1 ZINC001373530751 910103290 /nfs/dbraw/zinc/10/32/90/910103290.db2.gz LRGKHVKSUUYJLE-UHFFFAOYSA-N 1 2 310.357 1.337 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](NC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001285331098 910365887 /nfs/dbraw/zinc/36/58/87/910365887.db2.gz HUOISBKXTDTQHE-ZDUSSCGKSA-N 1 2 306.410 1.421 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H](NC(=O)Cn1cc[nH+]c1)C(C)C ZINC001285345684 910387395 /nfs/dbraw/zinc/38/73/95/910387395.db2.gz UFUNJURHFSZIJR-YOEHRIQHSA-N 1 2 320.437 1.742 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)N(C)C(=O)CCn1cc[nH+]c1 ZINC001285774886 911189483 /nfs/dbraw/zinc/18/94/83/911189483.db2.gz FIGJEPQYAMBUCG-CYBMUJFWSA-N 1 2 306.410 1.449 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001294161413 914953793 /nfs/dbraw/zinc/95/37/93/914953793.db2.gz XNQQNHVAYCIIKB-CHWSQXEVSA-N 1 2 318.421 1.690 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001294161413 914953810 /nfs/dbraw/zinc/95/38/10/914953810.db2.gz XNQQNHVAYCIIKB-CHWSQXEVSA-N 1 2 318.421 1.690 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001294760814 915349214 /nfs/dbraw/zinc/34/92/14/915349214.db2.gz ATTOLXZYANMTLT-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001294760814 915349232 /nfs/dbraw/zinc/34/92/32/915349232.db2.gz ATTOLXZYANMTLT-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001295058094 915535634 /nfs/dbraw/zinc/53/56/34/915535634.db2.gz VGKFXSZAXBJMOL-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@@]2(F)CCOC2)cc1 ZINC001375333163 915631252 /nfs/dbraw/zinc/63/12/52/915631252.db2.gz HHPQHAMTRKTREY-NVXWUHKLSA-N 1 2 317.364 1.377 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@@]2(F)CCOC2)cc1 ZINC001375333163 915631266 /nfs/dbraw/zinc/63/12/66/915631266.db2.gz HHPQHAMTRKTREY-NVXWUHKLSA-N 1 2 317.364 1.377 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001295494656 915851260 /nfs/dbraw/zinc/85/12/60/915851260.db2.gz OYTRWAZGQYVBHE-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001376064314 917597123 /nfs/dbraw/zinc/59/71/23/917597123.db2.gz BDHJATIFOCUYLB-CYBMUJFWSA-N 1 2 315.845 1.482 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001376064314 917597132 /nfs/dbraw/zinc/59/71/32/917597132.db2.gz BDHJATIFOCUYLB-CYBMUJFWSA-N 1 2 315.845 1.482 20 30 DDEDLO CCC(CC)C(=O)N[C@@H]1CC[N@H+](CC(=O)N(CC)CCC#N)C1 ZINC001376119863 917723891 /nfs/dbraw/zinc/72/38/91/917723891.db2.gz OAQCQPOJSKJQMZ-OAHLLOKOSA-N 1 2 322.453 1.375 20 30 DDEDLO CCC(CC)C(=O)N[C@@H]1CC[N@@H+](CC(=O)N(CC)CCC#N)C1 ZINC001376119863 917723903 /nfs/dbraw/zinc/72/39/03/917723903.db2.gz OAQCQPOJSKJQMZ-OAHLLOKOSA-N 1 2 322.453 1.375 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]([NH2+]Cc1nnc(C2CC2)o1)C1CC1 ZINC001376199862 917937728 /nfs/dbraw/zinc/93/77/28/917937728.db2.gz NUPPWAFPJNWYED-SKDRFNHKSA-N 1 2 303.366 1.091 20 30 DDEDLO COc1cc(C[N@@H+]2CCCC[C@H]2CNC(=O)[C@H](C)C#N)on1 ZINC001376574718 918793542 /nfs/dbraw/zinc/79/35/42/918793542.db2.gz MVEUOTRFRNCMJT-NEPJUHHUSA-N 1 2 306.366 1.314 20 30 DDEDLO COc1cc(C[N@H+]2CCCC[C@H]2CNC(=O)[C@H](C)C#N)on1 ZINC001376574718 918793552 /nfs/dbraw/zinc/79/35/52/918793552.db2.gz MVEUOTRFRNCMJT-NEPJUHHUSA-N 1 2 306.366 1.314 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C2(CNC(=O)[C@H](C)C#N)CCC2)o1 ZINC001376721304 919168434 /nfs/dbraw/zinc/16/84/34/919168434.db2.gz LYHCGUVUFNMZNV-LLVKDONJSA-N 1 2 305.382 1.481 20 30 DDEDLO C[N@H+](CCNC(=O)[C@@H]1CCCOC1)Cc1ccccc1C#N ZINC001377139862 920444843 /nfs/dbraw/zinc/44/48/43/920444843.db2.gz SNVHTLABACCJJH-MRXNPFEDSA-N 1 2 301.390 1.533 20 30 DDEDLO C[N@@H+](CCNC(=O)[C@@H]1CCCOC1)Cc1ccccc1C#N ZINC001377139862 920444852 /nfs/dbraw/zinc/44/48/52/920444852.db2.gz SNVHTLABACCJJH-MRXNPFEDSA-N 1 2 301.390 1.533 20 30 DDEDLO C[N@H+](CCNC(=O)[C@@]1(C)CCCOC1)Cc1ccccc1C#N ZINC001377239927 920748168 /nfs/dbraw/zinc/74/81/68/920748168.db2.gz IYWRPQRLRAQPAF-SFHVURJKSA-N 1 2 315.417 1.923 20 30 DDEDLO C[N@@H+](CCNC(=O)[C@@]1(C)CCCOC1)Cc1ccccc1C#N ZINC001377239927 920748178 /nfs/dbraw/zinc/74/81/78/920748178.db2.gz IYWRPQRLRAQPAF-SFHVURJKSA-N 1 2 315.417 1.923 20 30 DDEDLO CCOCC(=O)NCC[N@H+](Cc1ccccc1C#N)C1CC1 ZINC001377507336 922158845 /nfs/dbraw/zinc/15/88/45/922158845.db2.gz GYDNJTCYVCYYQO-UHFFFAOYSA-N 1 2 301.390 1.675 20 30 DDEDLO CCOCC(=O)NCC[N@@H+](Cc1ccccc1C#N)C1CC1 ZINC001377507336 922158847 /nfs/dbraw/zinc/15/88/47/922158847.db2.gz GYDNJTCYVCYYQO-UHFFFAOYSA-N 1 2 301.390 1.675 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)COCc2ccncc2)C1 ZINC001378002323 923655779 /nfs/dbraw/zinc/65/57/79/923655779.db2.gz CQJDULKQDZZEBL-UHFFFAOYSA-N 1 2 309.797 1.399 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@@H](C)CNC(=O)c2cc(C#N)c[nH]2)s1 ZINC001378017037 923708748 /nfs/dbraw/zinc/70/87/48/923708748.db2.gz RCLGEWHQTIZNAZ-JTQLQIEISA-N 1 2 317.418 1.902 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@@H](C)CNC(=O)c2cc(C#N)c[nH]2)s1 ZINC001378017037 923708760 /nfs/dbraw/zinc/70/87/60/923708760.db2.gz RCLGEWHQTIZNAZ-JTQLQIEISA-N 1 2 317.418 1.902 20 30 DDEDLO C[C@H](O)C(=O)N1CC[NH+](Cc2ccc(C(C)(C)C#N)cc2)CC1 ZINC000614178981 361739271 /nfs/dbraw/zinc/73/92/71/361739271.db2.gz XQIJBSPSIYOEPA-AWEZNQCLSA-N 1 2 315.417 1.513 20 30 DDEDLO Cc1nnc(N(C)CC[N@@H+]2C[C@@H](C)O[C@@H](C)C2)c(C#N)c1C ZINC000278557573 214236166 /nfs/dbraw/zinc/23/61/66/214236166.db2.gz AQGGEFCORVZTOI-TXEJJXNPSA-N 1 2 303.410 1.511 20 30 DDEDLO Cc1nnc(N(C)CC[N@H+]2C[C@@H](C)O[C@@H](C)C2)c(C#N)c1C ZINC000278557573 214236168 /nfs/dbraw/zinc/23/61/68/214236168.db2.gz AQGGEFCORVZTOI-TXEJJXNPSA-N 1 2 303.410 1.511 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@@H+]3CC[C@H](n4cncn4)C3)o2)cc1 ZINC000614418979 361845421 /nfs/dbraw/zinc/84/54/21/361845421.db2.gz MYTMTDDYCXPFMQ-AWEZNQCLSA-N 1 2 321.344 1.647 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@H+]3CC[C@H](n4cncn4)C3)o2)cc1 ZINC000614418979 361845428 /nfs/dbraw/zinc/84/54/28/361845428.db2.gz MYTMTDDYCXPFMQ-AWEZNQCLSA-N 1 2 321.344 1.647 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CCn1cc[nH+]c1)C1CCSCC1 ZINC000614671802 361975509 /nfs/dbraw/zinc/97/55/09/361975509.db2.gz KIJCVQSOJAVNRN-INIZCTEOSA-N 1 2 323.462 1.934 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000278715407 214348178 /nfs/dbraw/zinc/34/81/78/214348178.db2.gz CEBOGSDUDVPWLP-GUYCJALGSA-N 1 2 319.405 1.250 20 30 DDEDLO CC[C@]1(C)CN(CC#CC[N@@H+]2CCO[C@](C)(CC)C2)CCO1 ZINC000342134856 529406491 /nfs/dbraw/zinc/40/64/91/529406491.db2.gz SJWLBIXINUJJSF-QZTJIDSGSA-N 1 2 308.466 1.992 20 30 DDEDLO CC[C@]1(C)CN(CC#CC[N@H+]2CCO[C@](C)(CC)C2)CCO1 ZINC000342134856 529406494 /nfs/dbraw/zinc/40/64/94/529406494.db2.gz SJWLBIXINUJJSF-QZTJIDSGSA-N 1 2 308.466 1.992 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000328929280 231391250 /nfs/dbraw/zinc/39/12/50/231391250.db2.gz YLFKYEGHGULRNC-LBPRGKRZSA-N 1 2 300.318 1.013 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000328929280 231391253 /nfs/dbraw/zinc/39/12/53/231391253.db2.gz YLFKYEGHGULRNC-LBPRGKRZSA-N 1 2 300.318 1.013 20 30 DDEDLO CCc1cnccc1[C@H](C)NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329620192 529678842 /nfs/dbraw/zinc/67/88/42/529678842.db2.gz RBPSZAWSLRPYHQ-VBNZEHGJSA-N 1 2 318.421 1.634 20 30 DDEDLO CCc1cnccc1[C@H](C)NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329620192 529678844 /nfs/dbraw/zinc/67/88/44/529678844.db2.gz RBPSZAWSLRPYHQ-VBNZEHGJSA-N 1 2 318.421 1.634 20 30 DDEDLO O=C(C[N@@H+]1CCCOCC1)NC[C@H]1COc2ccccc2O1 ZINC000329308913 539302923 /nfs/dbraw/zinc/30/29/23/539302923.db2.gz ZAGMINUWOQFMIT-ZDUSSCGKSA-N 1 2 306.362 1.505 20 30 DDEDLO O=C(C[N@H+]1CCCOCC1)NC[C@H]1COc2ccccc2O1 ZINC000329308913 539302924 /nfs/dbraw/zinc/30/29/24/539302924.db2.gz ZAGMINUWOQFMIT-ZDUSSCGKSA-N 1 2 306.362 1.505 20 30 DDEDLO C=CCOc1ccc(C(=O)N[C@H]2Cc3c[nH+]cn3C2)cc1OC ZINC000623277019 365772798 /nfs/dbraw/zinc/77/27/98/365772798.db2.gz PTPSDLLPZDLQMG-ZDUSSCGKSA-N 1 2 313.357 1.811 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)N[C@H]2CCCOC2)C1 ZINC000329711511 529782211 /nfs/dbraw/zinc/78/22/11/529782211.db2.gz OOZOHRMFYCUMKZ-LSDHHAIUSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)N[C@H]2CCCOC2)C1 ZINC000329711511 529782212 /nfs/dbraw/zinc/78/22/12/529782212.db2.gz OOZOHRMFYCUMKZ-LSDHHAIUSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(CC(=O)Nc3ccc4c(c3)OCO4)C[C@H]21 ZINC000330362048 529786684 /nfs/dbraw/zinc/78/66/84/529786684.db2.gz ZBQFEQGQKUHULS-IUODEOHRSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(CC(=O)Nc3ccc4c(c3)OCO4)C[C@H]21 ZINC000330362048 529786686 /nfs/dbraw/zinc/78/66/86/529786686.db2.gz ZBQFEQGQKUHULS-IUODEOHRSA-N 1 2 319.361 1.018 20 30 DDEDLO CN1CCO[C@@H]2C[N@H+](CC(=O)Nc3ccc4c(c3)OCO4)C[C@H]21 ZINC000330362048 529786687 /nfs/dbraw/zinc/78/66/87/529786687.db2.gz ZBQFEQGQKUHULS-IUODEOHRSA-N 1 2 319.361 1.018 20 30 DDEDLO CN1CCO[C@@H]2C[N@@H+](CC(=O)Nc3ccc4c(c3)OCO4)C[C@H]21 ZINC000330362048 529786689 /nfs/dbraw/zinc/78/66/89/529786689.db2.gz ZBQFEQGQKUHULS-IUODEOHRSA-N 1 2 319.361 1.018 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)N(CCO)CC[NH+]1CCOCC1 ZINC000343841653 539359880 /nfs/dbraw/zinc/35/98/80/539359880.db2.gz PGHMCCBXVSSOHN-OAHLLOKOSA-N 1 2 313.442 1.067 20 30 DDEDLO CN(C[C@@H](O)C(F)(F)F)C(=O)NCc1cn2c([nH+]1)CCCC2 ZINC000330830641 529941038 /nfs/dbraw/zinc/94/10/38/529941038.db2.gz GYCZAQAPBCOYJA-SNVBAGLBSA-N 1 2 320.315 1.488 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)N3CC[C@](F)(C#N)C3)[nH+]c12 ZINC000615541444 362328392 /nfs/dbraw/zinc/32/83/92/362328392.db2.gz SSZWCLSZMXOOHX-INIZCTEOSA-N 1 2 315.352 1.832 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000616176573 362574581 /nfs/dbraw/zinc/57/45/81/362574581.db2.gz YPQILCSIOLYSQK-HNNXBMFYSA-N 1 2 309.373 1.909 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cnc(C2CC2)nc1 ZINC000459285794 233058563 /nfs/dbraw/zinc/05/85/63/233058563.db2.gz WAYBENLWCUGXBY-UHFFFAOYSA-N 1 2 315.421 1.402 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3ccncc3)CC2)cc1 ZINC000017843247 352165989 /nfs/dbraw/zinc/16/59/89/352165989.db2.gz ZBQBWJHDDRXHBG-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO COc1ccc(C(=O)N2CC[NH+](CCCC#N)CC2)cc1OC ZINC000043661464 352371045 /nfs/dbraw/zinc/37/10/45/352371045.db2.gz DLZDOMXYSKAXIK-UHFFFAOYSA-N 1 2 317.389 1.765 20 30 DDEDLO CCOC(=O)CCN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000053420249 352654621 /nfs/dbraw/zinc/65/46/21/352654621.db2.gz HJCINPOXYXMCAT-UHFFFAOYSA-N 1 2 301.390 1.629 20 30 DDEDLO C#CCN(CC)C(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000056577961 352777719 /nfs/dbraw/zinc/77/77/19/352777719.db2.gz LEUPNNXAPRSZCF-QGZVFWFLSA-N 1 2 315.417 1.725 20 30 DDEDLO N#CCCCCS(=O)(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000068903543 353135309 /nfs/dbraw/zinc/13/53/09/353135309.db2.gz GHXBXYRQJZABDV-UHFFFAOYSA-N 1 2 307.419 1.615 20 30 DDEDLO CCOC(=O)C1CC[NH+](CC(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000069302868 353155917 /nfs/dbraw/zinc/15/59/17/353155917.db2.gz XCYAWPHHADNXFZ-INIZCTEOSA-N 1 2 309.410 1.316 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@@H](C)[N@H+](C)C2CC2)cc1C#N ZINC000069534595 353166862 /nfs/dbraw/zinc/16/68/62/353166862.db2.gz XPXWNVNGYVTJAT-SECBINFHSA-N 1 2 320.418 1.593 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@@H](C)[N@@H+](C)C2CC2)cc1C#N ZINC000069534595 353166865 /nfs/dbraw/zinc/16/68/65/353166865.db2.gz XPXWNVNGYVTJAT-SECBINFHSA-N 1 2 320.418 1.593 20 30 DDEDLO CCCCNC(=O)[C@H](C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000073647699 353264035 /nfs/dbraw/zinc/26/40/35/353264035.db2.gz LGTCPTYAXYIKPE-AWEZNQCLSA-N 1 2 315.421 1.380 20 30 DDEDLO CCOC(=O)C1(O)CC[NH+](Cc2ccc(C#N)cc2F)CC1 ZINC000081017722 353645051 /nfs/dbraw/zinc/64/50/51/353645051.db2.gz LHLYDBRAEIEMJJ-UHFFFAOYSA-N 1 2 306.337 1.587 20 30 DDEDLO COC(=O)[C@@](C)([NH2+]C[C@@H](O)COc1ccc(C#N)cc1)C1CC1 ZINC000081210527 353656713 /nfs/dbraw/zinc/65/67/13/353656713.db2.gz FZFMHTWUNMMZGT-PBHICJAKSA-N 1 2 318.373 1.229 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCCC[C@@H]3[C@H]3CNC(=O)C3)n2c1 ZINC000092105052 353844536 /nfs/dbraw/zinc/84/45/36/353844536.db2.gz OPJWODGDLQFUDG-GDBMZVCRSA-N 1 2 323.400 1.697 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCCC[C@@H]3[C@H]3CNC(=O)C3)n2c1 ZINC000092105052 353844538 /nfs/dbraw/zinc/84/45/38/353844538.db2.gz OPJWODGDLQFUDG-GDBMZVCRSA-N 1 2 323.400 1.697 20 30 DDEDLO O[C@@H](C[NH+]1CCN(CC(F)(F)C(F)F)CC1)C(F)(F)F ZINC000181705186 354269959 /nfs/dbraw/zinc/26/99/59/354269959.db2.gz XPGSLZJHMGYGGU-ZETCQYMHSA-N 1 2 312.229 1.428 20 30 DDEDLO COC1(CO)CC[NH+](CC(=O)Nc2sccc2C#N)CC1 ZINC000401765779 354667179 /nfs/dbraw/zinc/66/71/79/354667179.db2.gz YIFGEFYGGRAKAW-UHFFFAOYSA-N 1 2 309.391 1.032 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)CCCCCC#N)CC1 ZINC000588266634 354912140 /nfs/dbraw/zinc/91/21/40/354912140.db2.gz GYARDLUSYVBURE-AWEZNQCLSA-N 1 2 320.437 1.272 20 30 DDEDLO N#CCCCCCC(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000588647448 354925027 /nfs/dbraw/zinc/92/50/27/354925027.db2.gz VZJICFDRBSYXFR-MRXNPFEDSA-N 1 2 323.437 1.410 20 30 DDEDLO C[NH+]1CCN(CCCCNC(=O)c2cc(O)cc(C#N)c2)CC1 ZINC000589168635 354967511 /nfs/dbraw/zinc/96/75/11/354967511.db2.gz QVBOUASLPFNMLT-UHFFFAOYSA-N 1 2 316.405 1.021 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)CC2(CC#N)CC2)C1 ZINC000590750984 355164107 /nfs/dbraw/zinc/16/41/07/355164107.db2.gz WAFZMUDMWPYHJF-HNNXBMFYSA-N 1 2 319.430 1.786 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)CC2(CC#N)CC2)C1 ZINC000590750984 355164110 /nfs/dbraw/zinc/16/41/10/355164110.db2.gz WAFZMUDMWPYHJF-HNNXBMFYSA-N 1 2 319.430 1.786 20 30 DDEDLO COc1ccc(N2CC[NH+](Cc3ccnc(C#N)c3)CC2)nc1 ZINC000590765278 355172098 /nfs/dbraw/zinc/17/20/98/355172098.db2.gz KQPZJYZUBMFFPV-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CC(C)(CC#N)CNC(=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000591558915 355327133 /nfs/dbraw/zinc/32/71/33/355327133.db2.gz RCUCLYGAEUEFCR-CYBMUJFWSA-N 1 2 317.393 1.103 20 30 DDEDLO C[C@H](C(=O)N1CCc2cc(C#N)ccc21)[NH+]1CCSCC1 ZINC000591312296 355287486 /nfs/dbraw/zinc/28/74/86/355287486.db2.gz ADNREXFMPXBMTH-GFCCVEGCSA-N 1 2 301.415 1.885 20 30 DDEDLO COCCNC(=O)C1CCN(c2cc(C)[nH+]cc2C#N)CC1 ZINC000591818082 355390865 /nfs/dbraw/zinc/39/08/65/355390865.db2.gz HQFGCOFTLZYSFP-UHFFFAOYSA-N 1 2 302.378 1.241 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@@H](C)[N@@H+]2Cc2ccncc2C#N)O1 ZINC000592119897 355505514 /nfs/dbraw/zinc/50/55/14/355505514.db2.gz BGVJHTXVJVWTIF-MPESAESLSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@@H](C)[N@H+]2Cc2ccncc2C#N)O1 ZINC000592119897 355505515 /nfs/dbraw/zinc/50/55/15/355505515.db2.gz BGVJHTXVJVWTIF-MPESAESLSA-N 1 2 315.373 1.637 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2cc(Cl)c3c(c2)OCCO3)C1 ZINC000592148124 355513989 /nfs/dbraw/zinc/51/39/89/355513989.db2.gz QYPOKAQOAXVTMJ-HNNXBMFYSA-N 1 2 308.765 1.962 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2cc(Cl)c3c(c2)OCCO3)C1 ZINC000592148124 355513991 /nfs/dbraw/zinc/51/39/91/355513991.db2.gz QYPOKAQOAXVTMJ-HNNXBMFYSA-N 1 2 308.765 1.962 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)NCC2(c3ccccc3)CC2)C1 ZINC000592148419 355515265 /nfs/dbraw/zinc/51/52/65/355515265.db2.gz YHZSWEQFQIZWBE-SFHVURJKSA-N 1 2 313.401 1.185 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)NCC2(c3ccccc3)CC2)C1 ZINC000592148419 355515268 /nfs/dbraw/zinc/51/52/68/355515268.db2.gz YHZSWEQFQIZWBE-SFHVURJKSA-N 1 2 313.401 1.185 20 30 DDEDLO C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149793 355516099 /nfs/dbraw/zinc/51/60/99/355516099.db2.gz WNIYYMABQHUAHB-ABAIWWIYSA-N 1 2 318.333 1.272 20 30 DDEDLO C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149793 355516101 /nfs/dbraw/zinc/51/61/01/355516101.db2.gz WNIYYMABQHUAHB-ABAIWWIYSA-N 1 2 318.333 1.272 20 30 DDEDLO N#CC[C@@]1(O)CCN(C(=O)c2ccc(Cn3cc[nH+]c3)cc2)C1 ZINC000592842945 355712251 /nfs/dbraw/zinc/71/22/51/355712251.db2.gz MVDMOXYNMLYBDQ-QGZVFWFLSA-N 1 2 310.357 1.422 20 30 DDEDLO N#CC[C@]1(O)CCN(C(=O)C[C@@H](c2[nH]cc[nH+]2)c2ccccc2)C1 ZINC000592949182 355748230 /nfs/dbraw/zinc/74/82/30/355748230.db2.gz HPVDUKMSNWJWAV-QAPCUYQASA-N 1 2 324.384 1.809 20 30 DDEDLO N#CC[C@]1(O)CCN(C(=O)C[C@H](c2[nH]cc[nH+]2)c2ccccc2)C1 ZINC000592949183 355748485 /nfs/dbraw/zinc/74/84/85/355748485.db2.gz HPVDUKMSNWJWAV-YJBOKZPZSA-N 1 2 324.384 1.809 20 30 DDEDLO C[C@H](CO)C1CC[NH+](CC(=O)Nc2sccc2C#N)CC1 ZINC000593081328 355789322 /nfs/dbraw/zinc/78/93/22/355789322.db2.gz CJUJAYNOHTZHPI-LLVKDONJSA-N 1 2 307.419 1.899 20 30 DDEDLO COc1ccc(C[N@@H+]2CC(C)(C)O[C@@H]3COC[C@H]32)cc1C#N ZINC000593115185 355803808 /nfs/dbraw/zinc/80/38/08/355803808.db2.gz CYGDQSYFVQPFDV-GDBMZVCRSA-N 1 2 302.374 1.945 20 30 DDEDLO COc1ccc(C[N@H+]2CC(C)(C)O[C@@H]3COC[C@H]32)cc1C#N ZINC000593115185 355803812 /nfs/dbraw/zinc/80/38/12/355803812.db2.gz CYGDQSYFVQPFDV-GDBMZVCRSA-N 1 2 302.374 1.945 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cccc(-c2nnco2)c1 ZINC000593335992 355856901 /nfs/dbraw/zinc/85/69/01/355856901.db2.gz HXHHSQFQQMBULP-CYBMUJFWSA-N 1 2 302.334 1.322 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cccc(-c2nnco2)c1 ZINC000593335992 355856905 /nfs/dbraw/zinc/85/69/05/355856905.db2.gz HXHHSQFQQMBULP-CYBMUJFWSA-N 1 2 302.334 1.322 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)c1ccsc1C#N ZINC000593564724 355927254 /nfs/dbraw/zinc/92/72/54/355927254.db2.gz ZZKJUMMNMZQKAM-UHFFFAOYSA-N 1 2 310.404 1.527 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)nn1 ZINC000593990589 356081133 /nfs/dbraw/zinc/08/11/33/356081133.db2.gz NFEPELHINGOJQB-INIZCTEOSA-N 1 2 324.388 1.774 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)nn1 ZINC000593990589 356081140 /nfs/dbraw/zinc/08/11/40/356081140.db2.gz NFEPELHINGOJQB-INIZCTEOSA-N 1 2 324.388 1.774 20 30 DDEDLO C=CCCCCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000594897192 356350091 /nfs/dbraw/zinc/35/00/91/356350091.db2.gz BJGNILCJFXGKGK-UHFFFAOYSA-N 1 2 301.390 1.908 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@H+](C[C@@H](C)C#N)C2CC2)cc1 ZINC000595390072 356475138 /nfs/dbraw/zinc/47/51/38/356475138.db2.gz UMPHBLMWWFURKJ-LBPRGKRZSA-N 1 2 307.419 1.719 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@@H+](C[C@@H](C)C#N)C2CC2)cc1 ZINC000595390072 356475139 /nfs/dbraw/zinc/47/51/39/356475139.db2.gz UMPHBLMWWFURKJ-LBPRGKRZSA-N 1 2 307.419 1.719 20 30 DDEDLO COCCOCCOCCC[N@@H+]1Cc2cccc(C#N)c2C1 ZINC000595563345 356553156 /nfs/dbraw/zinc/55/31/56/356553156.db2.gz ZAKVHKXVIRYVNJ-UHFFFAOYSA-N 1 2 304.390 1.944 20 30 DDEDLO COCCOCCOCCC[N@H+]1Cc2cccc(C#N)c2C1 ZINC000595563345 356553159 /nfs/dbraw/zinc/55/31/59/356553159.db2.gz ZAKVHKXVIRYVNJ-UHFFFAOYSA-N 1 2 304.390 1.944 20 30 DDEDLO CCOC(=O)[C@](C)(O)C[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595629754 356584279 /nfs/dbraw/zinc/58/42/79/356584279.db2.gz KBMPFGNEXDVKJJ-OAHLLOKOSA-N 1 2 323.418 1.056 20 30 DDEDLO CCCNC(=O)[C@H](C)[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595632355 356585184 /nfs/dbraw/zinc/58/51/84/356585184.db2.gz OBXVYSBCAJLVBA-LBPRGKRZSA-N 1 2 306.435 1.656 20 30 DDEDLO C[N@H+](C[C@H]1COCCO1)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000595738056 356629088 /nfs/dbraw/zinc/62/90/88/356629088.db2.gz VNIJHSXOMLBAFR-STQMWFEESA-N 1 2 321.402 1.072 20 30 DDEDLO C[N@@H+](C[C@H]1COCCO1)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000595738056 356629092 /nfs/dbraw/zinc/62/90/92/356629092.db2.gz VNIJHSXOMLBAFR-STQMWFEESA-N 1 2 321.402 1.072 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@@H]3COC[C@@]3(C)C2)c(C#N)c1C ZINC000595759957 356640579 /nfs/dbraw/zinc/64/05/79/356640579.db2.gz RIUALDIACCAUOU-MLGOLLRUSA-N 1 2 303.362 1.675 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@@H]3COC[C@@]3(C)C2)c(C#N)c1C ZINC000595759957 356640583 /nfs/dbraw/zinc/64/05/83/356640583.db2.gz RIUALDIACCAUOU-MLGOLLRUSA-N 1 2 303.362 1.675 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+][C@H](CO)c1ccc(F)cc1F ZINC000595868081 356685184 /nfs/dbraw/zinc/68/51/84/356685184.db2.gz RQYAHWDURTVNGT-CQSZACIVSA-N 1 2 311.332 1.350 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(F)cc2C#N)[C@H](C)CO1 ZINC000596783650 356976426 /nfs/dbraw/zinc/97/64/26/356976426.db2.gz OCRKWYMWRJMKIO-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(F)cc2C#N)[C@H](C)CO1 ZINC000596783650 356976430 /nfs/dbraw/zinc/97/64/30/356976430.db2.gz OCRKWYMWRJMKIO-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO N#CCc1ccc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cc1 ZINC000596659188 356944880 /nfs/dbraw/zinc/94/48/80/356944880.db2.gz UPDJHMGOBRHDBJ-MRXNPFEDSA-N 1 2 314.389 1.691 20 30 DDEDLO C[C@@H](CC(=O)N(CCC#N)CC[NH+]1CCOCC1)[C@@H]1CCCO1 ZINC000597024100 357042815 /nfs/dbraw/zinc/04/28/15/357042815.db2.gz FPFCSEONATYERL-HOTGVXAUSA-N 1 2 323.437 1.266 20 30 DDEDLO C[C@@H](CC#N)C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000596921899 357015001 /nfs/dbraw/zinc/01/50/01/357015001.db2.gz YAAHKXFJRHHFBF-AWEZNQCLSA-N 1 2 300.406 1.922 20 30 DDEDLO N#CC1(C(=O)NCc2ccc[nH+]c2N2CCCC2)CCOCC1 ZINC000597942429 357392984 /nfs/dbraw/zinc/39/29/84/357392984.db2.gz YIYPHWOQKLBMOR-UHFFFAOYSA-N 1 2 314.389 1.618 20 30 DDEDLO N#Cc1ccc(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)cc1 ZINC000598342735 357545254 /nfs/dbraw/zinc/54/52/54/357545254.db2.gz LXRATKFTGRNQAK-UHFFFAOYSA-N 1 2 313.401 1.743 20 30 DDEDLO CCN(C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)[C@H](C)C#N ZINC000598363675 357552225 /nfs/dbraw/zinc/55/22/25/357552225.db2.gz SUVVEPGICZFCLW-OCCSQVGLSA-N 1 2 319.409 1.244 20 30 DDEDLO CCN(C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)[C@H](C)C#N ZINC000598363675 357552229 /nfs/dbraw/zinc/55/22/29/357552229.db2.gz SUVVEPGICZFCLW-OCCSQVGLSA-N 1 2 319.409 1.244 20 30 DDEDLO C[C@]1([NH+]=C([O-])N[C@@H]2CCc3[nH]c[nH+]c3C2)CCO[C@H]1C1CC1 ZINC000329735130 223020215 /nfs/dbraw/zinc/02/02/15/223020215.db2.gz KSEVUGGAXXXJNA-WPGHFRTFSA-N 1 2 304.394 1.728 20 30 DDEDLO C[C@]1([NH+]=C([O-])N[C@@H]2CCc3[nH+]c[nH]c3C2)CCO[C@H]1C1CC1 ZINC000329735130 223020218 /nfs/dbraw/zinc/02/02/18/223020218.db2.gz KSEVUGGAXXXJNA-WPGHFRTFSA-N 1 2 304.394 1.728 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[NH+]1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000598702173 357696021 /nfs/dbraw/zinc/69/60/21/357696021.db2.gz RHIVLLQTNFKAND-ZDUSSCGKSA-N 1 2 319.361 1.959 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@H]1CCn2c[nH+]cc2C1 ZINC000598950533 357773287 /nfs/dbraw/zinc/77/32/87/357773287.db2.gz QRBNUPULLUMBEF-JSGCOSHPSA-N 1 2 310.357 1.653 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N1CCc2c(CC#N)cccc2C1 ZINC000599193538 357846325 /nfs/dbraw/zinc/84/63/25/357846325.db2.gz CIEOKJFHXNPLBJ-UHFFFAOYSA-N 1 2 304.357 1.992 20 30 DDEDLO CCOC(=O)C[N@H+](C[C@H](O)CC1(C#N)CCOCC1)C1CC1 ZINC000599281687 357873780 /nfs/dbraw/zinc/87/37/80/357873780.db2.gz LRWSARYFKDHHBU-CQSZACIVSA-N 1 2 310.394 1.085 20 30 DDEDLO CCOC(=O)C[N@@H+](C[C@H](O)CC1(C#N)CCOCC1)C1CC1 ZINC000599281687 357873785 /nfs/dbraw/zinc/87/37/85/357873785.db2.gz LRWSARYFKDHHBU-CQSZACIVSA-N 1 2 310.394 1.085 20 30 DDEDLO CCOC(=O)C1CC[NH+](Cc2cn(CCCC#N)nn2)CC1 ZINC000600967037 358361122 /nfs/dbraw/zinc/36/11/22/358361122.db2.gz BNQMYVJRIGXIEK-UHFFFAOYSA-N 1 2 305.382 1.357 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)N2CCN(CCC#N)CC2)c2[nH+]ccn21 ZINC000601605001 358620546 /nfs/dbraw/zinc/62/05/46/358620546.db2.gz IXTUUFFZVDPFTB-QWHCGFSZSA-N 1 2 302.382 1.130 20 30 DDEDLO Cn1cc[nH+]c1[C@H](CC(=O)Nc1n[nH]cc1C#N)C(F)(F)F ZINC000601639674 358634134 /nfs/dbraw/zinc/63/41/34/358634134.db2.gz RAWOIMWVCRWZLO-QMMMGPOBSA-N 1 2 312.255 1.690 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)[C@H]3CCn4cc[nH+]c4C3)C2)c1 ZINC000601677782 358650343 /nfs/dbraw/zinc/65/03/43/358650343.db2.gz NLHMHRSRXHEDJS-AWEZNQCLSA-N 1 2 321.384 1.640 20 30 DDEDLO N#Cc1ccc(-n2[nH]c3c(c2=O)C[NH2+]CC3)c(C(F)(F)F)c1 ZINC000601950003 358749560 /nfs/dbraw/zinc/74/95/60/358749560.db2.gz SBYOXUWFGRAJQA-SECBINFHSA-N 1 2 308.263 1.889 20 30 DDEDLO N#CCSCC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000601851748 358715991 /nfs/dbraw/zinc/71/59/91/358715991.db2.gz RHKZJMCLFLYXJT-UHFFFAOYSA-N 1 2 322.459 1.508 20 30 DDEDLO C[C@@H](CC(=O)NC1(C#N)CC[NH+](C)CC1)C(=O)c1ccccc1 ZINC000602154470 358850898 /nfs/dbraw/zinc/85/08/98/358850898.db2.gz MWQNNPWHLJILAM-AWEZNQCLSA-N 1 2 313.401 2.000 20 30 DDEDLO C[C@H](C#N)CNC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000602288575 358914513 /nfs/dbraw/zinc/91/45/13/358914513.db2.gz XCVRBFZEWBLGGP-GFCCVEGCSA-N 1 2 319.434 1.594 20 30 DDEDLO CCCC[N@H+](Cc1cccc(C#N)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000602432551 358972861 /nfs/dbraw/zinc/97/28/61/358972861.db2.gz HVJGXXFRIFKODT-OAHLLOKOSA-N 1 2 307.419 1.742 20 30 DDEDLO CCCC[N@@H+](Cc1cccc(C#N)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000602432551 358972864 /nfs/dbraw/zinc/97/28/64/358972864.db2.gz HVJGXXFRIFKODT-OAHLLOKOSA-N 1 2 307.419 1.742 20 30 DDEDLO N#CCCN1CC[NH+](Cc2cccc3c2OCCCO3)CC1 ZINC000602750170 359167418 /nfs/dbraw/zinc/16/74/18/359167418.db2.gz FAXTZBDBDREQLO-UHFFFAOYSA-N 1 2 301.390 1.879 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC[C@H]2c2cn[nH]c2)CCCCC1 ZINC000602881695 359265802 /nfs/dbraw/zinc/26/58/02/359265802.db2.gz ROHDDGWBCGZKKO-AWEZNQCLSA-N 1 2 301.394 1.889 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC[C@H]2c2cn[nH]c2)CCCCC1 ZINC000602881695 359265809 /nfs/dbraw/zinc/26/58/09/359265809.db2.gz ROHDDGWBCGZKKO-AWEZNQCLSA-N 1 2 301.394 1.889 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)Cn1cc(C[NH+]2CCSCC2)nn1 ZINC000603268466 359527783 /nfs/dbraw/zinc/52/77/83/359527783.db2.gz WDLQTZMTIXEFIY-CYBMUJFWSA-N 1 2 309.439 1.128 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(F)cc2C#N)CC1(C)C ZINC000603374725 359600454 /nfs/dbraw/zinc/60/04/54/359600454.db2.gz NNGUBYHIALRQCY-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(F)cc2C#N)CC1(C)C ZINC000603374725 359600457 /nfs/dbraw/zinc/60/04/57/359600457.db2.gz NNGUBYHIALRQCY-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N2CC[C@@](C)(C#N)C2)C1 ZINC000603388797 359609877 /nfs/dbraw/zinc/60/98/77/359609877.db2.gz YQVGNLZQWVLCIC-INIZCTEOSA-N 1 2 319.430 1.599 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N2CC[C@@](C)(C#N)C2)C1 ZINC000603388797 359609881 /nfs/dbraw/zinc/60/98/81/359609881.db2.gz YQVGNLZQWVLCIC-INIZCTEOSA-N 1 2 319.430 1.599 20 30 DDEDLO CC(C)c1nc(N2C[C@@H](C)N(CC#N)[C@H](C)C2)nc(N(C)C)[nH+]1 ZINC000603400599 359617667 /nfs/dbraw/zinc/61/76/67/359617667.db2.gz MBFMOTQLNYWKIF-CHWSQXEVSA-N 1 2 317.441 1.484 20 30 DDEDLO C[C@H]([NH+]=C([O-])N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1)c1nncn1C ZINC000329914764 223046207 /nfs/dbraw/zinc/04/62/07/223046207.db2.gz XZAZYGAPXIKUBX-RWMBFGLXSA-N 1 2 317.397 1.570 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)NCc1ccc(C#N)cc1F ZINC000609240246 360275668 /nfs/dbraw/zinc/27/56/68/360275668.db2.gz WZMPLYUDVMCPAJ-LLVKDONJSA-N 1 2 301.325 1.782 20 30 DDEDLO CC(C)C[C@@H](C#N)NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000610159105 360387604 /nfs/dbraw/zinc/38/76/04/360387604.db2.gz QIBKWJSCHBINHK-DLBZAZTESA-N 1 2 315.417 1.942 20 30 DDEDLO CC(C)C[C@@H](C#N)NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000610159105 360387606 /nfs/dbraw/zinc/38/76/06/360387606.db2.gz QIBKWJSCHBINHK-DLBZAZTESA-N 1 2 315.417 1.942 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)CC(=O)N1CCCC1 ZINC000610540099 360463988 /nfs/dbraw/zinc/46/39/88/360463988.db2.gz GEXAZEXOASMWLE-CQSZACIVSA-N 1 2 320.437 1.272 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)CC(=O)N1CCCC1 ZINC000610540099 360463996 /nfs/dbraw/zinc/46/39/96/360463996.db2.gz GEXAZEXOASMWLE-CQSZACIVSA-N 1 2 320.437 1.272 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+]Cc1ccc2c(c1)CCS2(=O)=O ZINC000610706388 360511401 /nfs/dbraw/zinc/51/14/01/360511401.db2.gz LRRQQAHUXOXZAO-CYBMUJFWSA-N 1 2 303.387 1.550 20 30 DDEDLO COc1ccnc(N2CC[NH+](Cc3ccnc(C#N)c3)CC2)n1 ZINC000610992559 360593674 /nfs/dbraw/zinc/59/36/74/360593674.db2.gz QQTXQSWAFBVOMS-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)NCCC2=CCCCC2)CC1 ZINC000611173882 360646816 /nfs/dbraw/zinc/64/68/16/360646816.db2.gz PLYSURJBQKKNJU-UHFFFAOYSA-N 1 2 304.438 1.524 20 30 DDEDLO C[C@@H](C(=O)N(C)C1CCCCC1)[NH+]1CCN(CCC#N)CC1 ZINC000611175852 360648973 /nfs/dbraw/zinc/64/89/73/360648973.db2.gz LRXWBEOVEGEYSR-HNNXBMFYSA-N 1 2 306.454 1.697 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)C[C@@H]1NC(=O)[C@H]1CCCCS1(=O)=O ZINC000330095363 223073737 /nfs/dbraw/zinc/07/37/37/223073737.db2.gz VIOSSWDPQNFMFM-KGYLQXTDSA-N 1 2 300.424 1.393 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)[C@H]1CCCCS1(=O)=O ZINC000330095363 223073741 /nfs/dbraw/zinc/07/37/41/223073741.db2.gz VIOSSWDPQNFMFM-KGYLQXTDSA-N 1 2 300.424 1.393 20 30 DDEDLO COCC[N@@H+](CCC#N)CCCn1c2ccccc2[nH]c1=O ZINC000611481100 360728699 /nfs/dbraw/zinc/72/86/99/360728699.db2.gz DBUONPLGTGKHIK-UHFFFAOYSA-N 1 2 302.378 1.994 20 30 DDEDLO COCC[N@H+](CCC#N)CCCn1c2ccccc2[nH]c1=O ZINC000611481100 360728703 /nfs/dbraw/zinc/72/87/03/360728703.db2.gz DBUONPLGTGKHIK-UHFFFAOYSA-N 1 2 302.378 1.994 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC000611484576 360729949 /nfs/dbraw/zinc/72/99/49/360729949.db2.gz AFIIRVYEWGCQJJ-LSDHHAIUSA-N 1 2 315.421 1.138 20 30 DDEDLO Cn1cc(C[NH+]2CCN([C@H](C#N)c3ccc(F)cc3)CC2)cn1 ZINC000611552859 360756289 /nfs/dbraw/zinc/75/62/89/360756289.db2.gz MSZKPOBPFIJYJZ-QGZVFWFLSA-N 1 2 313.380 1.942 20 30 DDEDLO O=C(NC[C@@H]1CCCCS1)NCC1([NH+]2CCOCC2)CC1 ZINC000330256478 223095005 /nfs/dbraw/zinc/09/50/05/223095005.db2.gz QCNDXLQWXZNAPC-ZDUSSCGKSA-N 1 2 313.467 1.641 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[NH+]1CCN(S(=O)(=O)C2CC2)CC1 ZINC000612641745 361089992 /nfs/dbraw/zinc/08/99/92/361089992.db2.gz LIXAQMWKRDMSRP-CYBMUJFWSA-N 1 2 319.430 1.729 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1)OCC ZINC000275409756 212316219 /nfs/dbraw/zinc/31/62/19/212316219.db2.gz BPWHGLDKVXEGEJ-CABCVRRESA-N 1 2 320.437 1.607 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(Cc2cn[nH]c2)CC1 ZINC000625478915 366954132 /nfs/dbraw/zinc/95/41/32/366954132.db2.gz KJEQYQQVAGNNHG-HNNXBMFYSA-N 1 2 317.437 1.116 20 30 DDEDLO Cc1ccccc1N1CC[NH+](CC(=O)NC2(C#N)CCC2)CC1 ZINC000346830812 223361991 /nfs/dbraw/zinc/36/19/91/223361991.db2.gz IGIIZICLIHLAHQ-UHFFFAOYSA-N 1 2 312.417 1.680 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCNC(=O)C2(C)C)cc1OC ZINC000093084638 193202546 /nfs/dbraw/zinc/20/25/46/193202546.db2.gz VGPHSZKRODLLJC-UHFFFAOYSA-N 1 2 304.390 1.970 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCNC(=O)C2(C)C)cc1OC ZINC000093084638 193202547 /nfs/dbraw/zinc/20/25/47/193202547.db2.gz VGPHSZKRODLLJC-UHFFFAOYSA-N 1 2 304.390 1.970 20 30 DDEDLO CCC[N@H+](Cc1cc(C#N)ccc1F)[C@H]1CC(=O)N(C)C1=O ZINC000282478838 217024638 /nfs/dbraw/zinc/02/46/38/217024638.db2.gz LLTVNUVSUGMVBL-AWEZNQCLSA-N 1 2 303.337 1.667 20 30 DDEDLO CCC[N@@H+](Cc1cc(C#N)ccc1F)[C@H]1CC(=O)N(C)C1=O ZINC000282478838 217024640 /nfs/dbraw/zinc/02/46/40/217024640.db2.gz LLTVNUVSUGMVBL-AWEZNQCLSA-N 1 2 303.337 1.667 20 30 DDEDLO C#Cc1cccc(NC(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)c1 ZINC000104989197 194062467 /nfs/dbraw/zinc/06/24/67/194062467.db2.gz NKNBRWXTAAEDSU-ZIAGYGMSSA-N 1 2 301.390 1.899 20 30 DDEDLO C#Cc1cccc(NC(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)c1 ZINC000104989196 194062631 /nfs/dbraw/zinc/06/26/31/194062631.db2.gz NKNBRWXTAAEDSU-UONOGXRCSA-N 1 2 301.390 1.899 20 30 DDEDLO C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)C[NH+]1CCC(C#N)CC1 ZINC000057907111 184062017 /nfs/dbraw/zinc/06/20/17/184062017.db2.gz WTVDGZMYDFHTGT-JSGCOSHPSA-N 1 2 306.410 1.626 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000332406240 285046495 /nfs/dbraw/zinc/04/64/95/285046495.db2.gz XUQTWSUFHFJFEK-NEPJUHHUSA-N 1 2 311.411 1.273 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000158346183 197264378 /nfs/dbraw/zinc/26/43/78/197264378.db2.gz GHEHJNRDZGAUIR-UHFFFAOYSA-N 1 2 301.390 1.417 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000158346183 197264380 /nfs/dbraw/zinc/26/43/80/197264380.db2.gz GHEHJNRDZGAUIR-UHFFFAOYSA-N 1 2 301.390 1.417 20 30 DDEDLO C=CCNC(=O)c1ccc(N[C@H](C)C[NH+]2CCOCC2)nc1 ZINC000112514632 221512687 /nfs/dbraw/zinc/51/26/87/221512687.db2.gz GLURHCCEBAIUDF-CYBMUJFWSA-N 1 2 304.394 1.130 20 30 DDEDLO COC(=O)[C@H](CNc1cc(C)[nH+]cc1C#N)C1CCOCC1 ZINC000425220658 533269646 /nfs/dbraw/zinc/26/96/46/533269646.db2.gz CUIBWSAWKKIYDZ-CQSZACIVSA-N 1 2 303.362 1.311 20 30 DDEDLO CO[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@@H](C)C1 ZINC000318431038 533414770 /nfs/dbraw/zinc/41/47/70/533414770.db2.gz PWVYNPGUYKGHCP-DZGCQCFKSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@@H](C)C1 ZINC000318431038 533414775 /nfs/dbraw/zinc/41/47/75/533414775.db2.gz PWVYNPGUYKGHCP-DZGCQCFKSA-N 1 2 322.430 1.831 20 30 DDEDLO COc1cccc(CN(C)C(=O)C[NH+]2CCC(F)(C#N)CC2)c1 ZINC000352458402 533468570 /nfs/dbraw/zinc/46/85/70/533468570.db2.gz KBLBUZZIPKLUFP-UHFFFAOYSA-N 1 2 319.380 1.981 20 30 DDEDLO CCn1c[nH+]cc1CN1CCN(c2snc(C)c2C#N)CC1 ZINC000568730971 304307729 /nfs/dbraw/zinc/30/77/29/304307729.db2.gz BLTLCLUTNPEQRG-UHFFFAOYSA-N 1 2 316.434 1.862 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)s1 ZINC000128695380 407563771 /nfs/dbraw/zinc/56/37/71/407563771.db2.gz PZVOSCJCORSJFI-VXGBXAGGSA-N 1 2 324.450 1.580 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)NCC1CC[NH+](CC(F)F)CC1 ZINC000570824921 304424309 /nfs/dbraw/zinc/42/43/09/304424309.db2.gz WFDDCCCKWFEXNE-UHFFFAOYSA-N 1 2 310.348 1.604 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(C3CC3)n(CC)c2=S)CC1 ZINC000071852642 406853148 /nfs/dbraw/zinc/85/31/48/406853148.db2.gz HSUCUYQDQIMSFT-UHFFFAOYSA-N 1 2 305.451 1.520 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(c2cccc(F)c2C#N)CC1 ZINC000072073175 406874057 /nfs/dbraw/zinc/87/40/57/406874057.db2.gz FOMWGFQXLMCMTL-UHFFFAOYSA-N 1 2 305.353 1.773 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(c2cccc(F)c2C#N)CC1 ZINC000072073175 406874058 /nfs/dbraw/zinc/87/40/58/406874058.db2.gz FOMWGFQXLMCMTL-UHFFFAOYSA-N 1 2 305.353 1.773 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N(C)Cc1ccc(OC(F)F)cc1 ZINC000076185370 406957934 /nfs/dbraw/zinc/95/79/34/406957934.db2.gz MBCFWFPBPQIFRC-UHFFFAOYSA-N 1 2 320.339 1.815 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000078671026 407040047 /nfs/dbraw/zinc/04/00/47/407040047.db2.gz KCHQFACEEIRRQX-CQSZACIVSA-N 1 2 305.353 1.165 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000078671026 407040051 /nfs/dbraw/zinc/04/00/51/407040051.db2.gz KCHQFACEEIRRQX-CQSZACIVSA-N 1 2 305.353 1.165 20 30 DDEDLO CCCNc1ccc(C#N)cc1NC(=O)[C@@H]1C[N@H+](C)CCO1 ZINC000085541720 407103878 /nfs/dbraw/zinc/10/38/78/407103878.db2.gz SZGQDQISVFESCM-HNNXBMFYSA-N 1 2 302.378 1.649 20 30 DDEDLO CCCNc1ccc(C#N)cc1NC(=O)[C@@H]1C[N@@H+](C)CCO1 ZINC000085541720 407103880 /nfs/dbraw/zinc/10/38/80/407103880.db2.gz SZGQDQISVFESCM-HNNXBMFYSA-N 1 2 302.378 1.649 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)Cc1cnc2ccc(C#N)cn12 ZINC000092192288 407186408 /nfs/dbraw/zinc/18/64/08/407186408.db2.gz WGCGDDBATBZOKW-UHFFFAOYSA-N 1 2 313.405 1.944 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)Cc1cnc2ccc(C#N)cn12 ZINC000092192288 407186410 /nfs/dbraw/zinc/18/64/10/407186410.db2.gz WGCGDDBATBZOKW-UHFFFAOYSA-N 1 2 313.405 1.944 20 30 DDEDLO COc1ccc(C[N@H+](CCCC#N)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000057623660 407216248 /nfs/dbraw/zinc/21/62/48/407216248.db2.gz SCYHJIKPLIXIKE-HNNXBMFYSA-N 1 2 322.430 1.988 20 30 DDEDLO COc1ccc(C[N@@H+](CCCC#N)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000057623660 407216250 /nfs/dbraw/zinc/21/62/50/407216250.db2.gz SCYHJIKPLIXIKE-HNNXBMFYSA-N 1 2 322.430 1.988 20 30 DDEDLO C#CC[N@H+](Cc1ccc2c(c1)CCO2)[C@@H]1CCS(=O)(=O)C1 ZINC000109837221 407398109 /nfs/dbraw/zinc/39/81/09/407398109.db2.gz USEYTPSCUFKVNW-OAHLLOKOSA-N 1 2 305.399 1.244 20 30 DDEDLO C#CC[N@@H+](Cc1ccc2c(c1)CCO2)[C@@H]1CCS(=O)(=O)C1 ZINC000109837221 407398111 /nfs/dbraw/zinc/39/81/11/407398111.db2.gz USEYTPSCUFKVNW-OAHLLOKOSA-N 1 2 305.399 1.244 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)Nc2cc(C#N)cn2C(C)(C)C)C1 ZINC000170708382 407480290 /nfs/dbraw/zinc/48/02/90/407480290.db2.gz QOXGTFIBLDWTLB-CYBMUJFWSA-N 1 2 319.409 1.567 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)Nc2cc(C#N)cn2C(C)(C)C)C1 ZINC000170708382 407480296 /nfs/dbraw/zinc/48/02/96/407480296.db2.gz QOXGTFIBLDWTLB-CYBMUJFWSA-N 1 2 319.409 1.567 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@@H](CCC(N)=O)C1 ZINC000170738291 407487257 /nfs/dbraw/zinc/48/72/57/407487257.db2.gz GPLQZRONSDPWTQ-NSHDSACASA-N 1 2 320.418 1.536 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@@H](CCC(N)=O)C1 ZINC000170738291 407487263 /nfs/dbraw/zinc/48/72/63/407487263.db2.gz GPLQZRONSDPWTQ-NSHDSACASA-N 1 2 320.418 1.536 20 30 DDEDLO C#CCCC[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000270981224 407449351 /nfs/dbraw/zinc/44/93/51/407449351.db2.gz CHEJBSGWPXOGLO-UHFFFAOYSA-N 1 2 317.414 1.278 20 30 DDEDLO C#CCNC(=O)CC[NH2+][C@@H](C)c1nc(Cc2ccccc2)no1 ZINC000266517758 407542238 /nfs/dbraw/zinc/54/22/38/407542238.db2.gz YZHNJCMZYAKJOD-ZDUSSCGKSA-N 1 2 312.373 1.451 20 30 DDEDLO Cc1ccc(NC(=O)NCCCc2[nH]nc(N)c2C#N)c(C)[nH+]1 ZINC000152273977 407599776 /nfs/dbraw/zinc/59/97/76/407599776.db2.gz OSLSSDBPVBBBHB-UHFFFAOYSA-N 1 2 313.365 1.630 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](C)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000115570983 407691969 /nfs/dbraw/zinc/69/19/69/407691969.db2.gz QVFURQFHTCTLEH-GDBMZVCRSA-N 1 2 315.417 1.805 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](C)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000115570983 407691974 /nfs/dbraw/zinc/69/19/74/407691974.db2.gz QVFURQFHTCTLEH-GDBMZVCRSA-N 1 2 315.417 1.805 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCc2c(F)cccc2C1 ZINC000152819238 407693608 /nfs/dbraw/zinc/69/36/08/407693608.db2.gz ZRXSRGPCTZUZJT-NSHDSACASA-N 1 2 305.353 1.584 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCc2c(F)cccc2C1 ZINC000152819238 407693611 /nfs/dbraw/zinc/69/36/11/407693611.db2.gz ZRXSRGPCTZUZJT-NSHDSACASA-N 1 2 305.353 1.584 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+]Cc2ccc3c(c2)OCO3)C(N)=O)cc1 ZINC000115594886 407696844 /nfs/dbraw/zinc/69/68/44/407696844.db2.gz DUYYKVYBRJVXDV-MRXNPFEDSA-N 1 2 309.325 1.603 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)Nc1ccc(C)c(S(N)(=O)=O)c1 ZINC000133129648 407820283 /nfs/dbraw/zinc/82/02/83/407820283.db2.gz LEWFDZHLHRPMNE-UHFFFAOYSA-N 1 2 323.418 1.255 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cnccn3)CC2)cc1C#N ZINC000135004391 407962975 /nfs/dbraw/zinc/96/29/75/407962975.db2.gz NCXSNKPVBWSZQC-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=C(C)C[N@@H+](C)CC(=O)N(CCCC)[C@@H]1CCS(=O)(=O)C1 ZINC000181250909 407970071 /nfs/dbraw/zinc/97/00/71/407970071.db2.gz DZNSPMQPAWSBGK-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C=C(C)C[N@H+](C)CC(=O)N(CCCC)[C@@H]1CCS(=O)(=O)C1 ZINC000181250909 407970076 /nfs/dbraw/zinc/97/00/76/407970076.db2.gz DZNSPMQPAWSBGK-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCC(=O)N2CCC(C)CC2)c1 ZINC000174215127 407921196 /nfs/dbraw/zinc/92/11/96/407921196.db2.gz MJZIENGRTOMBLQ-UHFFFAOYSA-N 1 2 305.378 1.591 20 30 DDEDLO CO[C@H](C)CON=C(N)C[NH+]1CCN(c2ccccc2)CC1 ZINC000181591132 408018091 /nfs/dbraw/zinc/01/80/91/408018091.db2.gz ICVUBRIMYGSYCQ-CQSZACIVSA-N 1 2 306.410 1.132 20 30 DDEDLO CNC(=O)CCC[N@H+](C)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000154681343 408068742 /nfs/dbraw/zinc/06/87/42/408068742.db2.gz CDYCXGPEKDALPR-HNNXBMFYSA-N 1 2 303.362 1.230 20 30 DDEDLO CNC(=O)CCC[N@@H+](C)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000154681343 408068746 /nfs/dbraw/zinc/06/87/46/408068746.db2.gz CDYCXGPEKDALPR-HNNXBMFYSA-N 1 2 303.362 1.230 20 30 DDEDLO C=CC[C@H](CO)Nc1nc2cc(OC)c(OC)cc2c(N)[nH+]1 ZINC000273346490 408163234 /nfs/dbraw/zinc/16/32/34/408163234.db2.gz ULXYYVGWKRPIKU-SECBINFHSA-N 1 2 304.350 1.578 20 30 DDEDLO N#Cc1cc(F)ccc1NC(=O)C(=O)NCCCn1cc[nH+]c1 ZINC000175936309 408243407 /nfs/dbraw/zinc/24/34/07/408243407.db2.gz XFGMGWYFVRQEJN-UHFFFAOYSA-N 1 2 315.308 1.039 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)[C@@H]3CCCOC3)CC2)cc1 ZINC000263931021 408318312 /nfs/dbraw/zinc/31/83/12/408318312.db2.gz YSKKAVVTYDQYIX-QGZVFWFLSA-N 1 2 313.401 1.629 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CCCC(C)(C)C#N)[C@@H](C(=O)OC)C1 ZINC000183121268 408331999 /nfs/dbraw/zinc/33/19/99/408331999.db2.gz PZAVVQVVGSBCSH-CHWSQXEVSA-N 1 2 310.394 1.743 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CCCC(C)(C)C#N)[C@@H](C(=O)OC)C1 ZINC000183121268 408332002 /nfs/dbraw/zinc/33/20/02/408332002.db2.gz PZAVVQVVGSBCSH-CHWSQXEVSA-N 1 2 310.394 1.743 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@@H](S(C)(=O)=O)C2)cc1C#N ZINC000274153003 408311584 /nfs/dbraw/zinc/31/15/84/408311584.db2.gz LEBYTOUHXVBCEB-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@@H](S(C)(=O)=O)C2)cc1C#N ZINC000274153003 408311586 /nfs/dbraw/zinc/31/15/86/408311586.db2.gz LEBYTOUHXVBCEB-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@@H+]2CCN3CCCC[C@@H]3C2)cc1 ZINC000172805049 162306315 /nfs/dbraw/zinc/30/63/15/162306315.db2.gz JLVZRXJFALSJQR-IAGOWNOFSA-N 1 2 315.417 1.468 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@H+]2CCN3CCCC[C@@H]3C2)cc1 ZINC000172805049 162306317 /nfs/dbraw/zinc/30/63/17/162306317.db2.gz JLVZRXJFALSJQR-IAGOWNOFSA-N 1 2 315.417 1.468 20 30 DDEDLO Oc1ccc(/C=[NH+]/CCc2cn3c(n2)SCC3)c(O)c1O ZINC000274334191 408367716 /nfs/dbraw/zinc/36/77/16/408367716.db2.gz MCVCFECADJRRDA-VIZOYTHASA-N 1 2 305.359 1.767 20 30 DDEDLO Cn1cc(C[N@@H+]2CCC[C@H]2C(=O)NCc2ccco2)cc1C#N ZINC000191217720 408387411 /nfs/dbraw/zinc/38/74/11/408387411.db2.gz SCGCNNLREYZWKF-INIZCTEOSA-N 1 2 312.373 1.771 20 30 DDEDLO Cn1cc(C[N@H+]2CCC[C@H]2C(=O)NCc2ccco2)cc1C#N ZINC000191217720 408387418 /nfs/dbraw/zinc/38/74/18/408387418.db2.gz SCGCNNLREYZWKF-INIZCTEOSA-N 1 2 312.373 1.771 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)c1 ZINC000173775961 162364615 /nfs/dbraw/zinc/36/46/15/162364615.db2.gz FOWKOTXBWUGQTN-OAHLLOKOSA-N 1 2 300.362 1.497 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)[nH]1 ZINC000269717464 408404249 /nfs/dbraw/zinc/40/42/49/408404249.db2.gz SJSGNAMJHJJLIE-UHFFFAOYSA-N 1 2 311.345 1.048 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC[C@@H](C[NH+]2CC(O)(CC#N)C2)C1 ZINC000191917847 408508275 /nfs/dbraw/zinc/50/82/75/408508275.db2.gz GSKANNRPIUELMG-ZDUSSCGKSA-N 1 2 309.410 1.594 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3sccc3C#N)CC2)cc[nH+]1 ZINC000184950751 408725035 /nfs/dbraw/zinc/72/50/35/408725035.db2.gz JQJXZMUPQOOTSE-UHFFFAOYSA-N 1 2 313.386 1.681 20 30 DDEDLO C#CCOc1cccc(NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)c1 ZINC000265539193 408686348 /nfs/dbraw/zinc/68/63/48/408686348.db2.gz OHQUEFBEHPABNB-HNNXBMFYSA-N 1 2 316.405 1.066 20 30 DDEDLO C#CCOc1cccc(NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)c1 ZINC000265539193 408686352 /nfs/dbraw/zinc/68/63/52/408686352.db2.gz OHQUEFBEHPABNB-HNNXBMFYSA-N 1 2 316.405 1.066 20 30 DDEDLO C#C[C@@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000185351971 408798754 /nfs/dbraw/zinc/79/87/54/408798754.db2.gz KOIJATLLAMZVDC-KGLIPLIRSA-N 1 2 303.381 1.474 20 30 DDEDLO C[C@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@H]1O ZINC000253192089 408811210 /nfs/dbraw/zinc/81/12/10/408811210.db2.gz YZHPUEMHLLUDIF-SWLSCSKDSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@H]1O ZINC000253192089 408811214 /nfs/dbraw/zinc/81/12/14/408811214.db2.gz YZHPUEMHLLUDIF-SWLSCSKDSA-N 1 2 308.403 1.035 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)NCc2ccccc2)C1=O ZINC000281280215 408878129 /nfs/dbraw/zinc/87/81/29/408878129.db2.gz IOUNEIRZYNZFOS-GDBMZVCRSA-N 1 2 315.417 1.410 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)NCc2ccccc2)C1=O ZINC000281280215 408878130 /nfs/dbraw/zinc/87/81/30/408878130.db2.gz IOUNEIRZYNZFOS-GDBMZVCRSA-N 1 2 315.417 1.410 20 30 DDEDLO CC1(C)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@@H]1C1CC1 ZINC000290820732 408839766 /nfs/dbraw/zinc/83/97/66/408839766.db2.gz SMAJKQCMKIRALE-OAHLLOKOSA-N 1 2 319.430 1.847 20 30 DDEDLO CC1(C)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@@H]1C1CC1 ZINC000290820732 408839768 /nfs/dbraw/zinc/83/97/68/408839768.db2.gz SMAJKQCMKIRALE-OAHLLOKOSA-N 1 2 319.430 1.847 20 30 DDEDLO N#CCCCC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000291015901 408857795 /nfs/dbraw/zinc/85/77/95/408857795.db2.gz VLVBXVPOOMBQDC-MRXNPFEDSA-N 1 2 301.390 1.697 20 30 DDEDLO N#CCCCC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000291015901 408857798 /nfs/dbraw/zinc/85/77/98/408857798.db2.gz VLVBXVPOOMBQDC-MRXNPFEDSA-N 1 2 301.390 1.697 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1Cc2ccccc2O[C@@H](C)C1 ZINC000292120465 408984521 /nfs/dbraw/zinc/98/45/21/408984521.db2.gz AJSWLRYOQQTNJA-QWHCGFSZSA-N 1 2 317.389 1.670 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1Cc2ccccc2O[C@@H](C)C1 ZINC000292120465 408984524 /nfs/dbraw/zinc/98/45/24/408984524.db2.gz AJSWLRYOQQTNJA-QWHCGFSZSA-N 1 2 317.389 1.670 20 30 DDEDLO C[N@H+](CC#Cc1ccc(F)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000292133142 408987007 /nfs/dbraw/zinc/98/70/07/408987007.db2.gz IVPRYVOBZOQAOC-HNNXBMFYSA-N 1 2 310.394 1.143 20 30 DDEDLO C[N@@H+](CC#Cc1ccc(F)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000292133142 408987011 /nfs/dbraw/zinc/98/70/11/408987011.db2.gz IVPRYVOBZOQAOC-HNNXBMFYSA-N 1 2 310.394 1.143 20 30 DDEDLO CCCn1ncnc1C[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292334640 409026634 /nfs/dbraw/zinc/02/66/34/409026634.db2.gz WOAGPKSNUFFFKN-CQSZACIVSA-N 1 2 312.377 1.608 20 30 DDEDLO CCCn1ncnc1C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292334640 409026636 /nfs/dbraw/zinc/02/66/36/409026636.db2.gz WOAGPKSNUFFFKN-CQSZACIVSA-N 1 2 312.377 1.608 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCC[C@H](S(C)(=O)=O)C2)cc1C#N ZINC000277876474 409027888 /nfs/dbraw/zinc/02/78/88/409027888.db2.gz VANIAVFHTCGEPM-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1ccc(C[N@H+]2CCCC[C@H](S(C)(=O)=O)C2)cc1C#N ZINC000277876474 409027890 /nfs/dbraw/zinc/02/78/90/409027890.db2.gz VANIAVFHTCGEPM-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@H+](C)[C@H](C)CC#N)cc1 ZINC000292870927 409044936 /nfs/dbraw/zinc/04/49/36/409044936.db2.gz SKCQCEYDGWQTCC-GDBMZVCRSA-N 1 2 306.406 1.849 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@@H+](C)[C@H](C)CC#N)cc1 ZINC000292870927 409044940 /nfs/dbraw/zinc/04/49/40/409044940.db2.gz SKCQCEYDGWQTCC-GDBMZVCRSA-N 1 2 306.406 1.849 20 30 DDEDLO Cc1cc(C#N)nc(N2CCN(C3=[NH+]C[C@@H](C)S3)CC2)n1 ZINC000287514467 409048800 /nfs/dbraw/zinc/04/88/00/409048800.db2.gz ZOJJPYJFRYIYPB-LLVKDONJSA-N 1 2 302.407 1.270 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCc1cc(OC)c(OC)c(OC)c1 ZINC000293027546 409048956 /nfs/dbraw/zinc/04/89/56/409048956.db2.gz FXXCUJQUYSFAQV-UHFFFAOYSA-N 1 2 320.389 1.284 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCc1cc(OC)c(OC)c(OC)c1 ZINC000293027546 409048957 /nfs/dbraw/zinc/04/89/57/409048957.db2.gz FXXCUJQUYSFAQV-UHFFFAOYSA-N 1 2 320.389 1.284 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CC[C@H](S(C)(=O)=O)C2)cc1 ZINC000282726708 409061851 /nfs/dbraw/zinc/06/18/51/409061851.db2.gz LZMCSAVGRFHZGI-INIZCTEOSA-N 1 2 307.415 1.708 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CC[C@H](S(C)(=O)=O)C2)cc1 ZINC000282726708 409061857 /nfs/dbraw/zinc/06/18/57/409061857.db2.gz LZMCSAVGRFHZGI-INIZCTEOSA-N 1 2 307.415 1.708 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)CC(C)(C)C#N)c[nH+]2)CCO1 ZINC000278385320 409079239 /nfs/dbraw/zinc/07/92/39/409079239.db2.gz IFVDCLNMJRRVLY-CYBMUJFWSA-N 1 2 316.405 1.863 20 30 DDEDLO CC#CC[N@@H+](C)[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000293336529 409093303 /nfs/dbraw/zinc/09/33/03/409093303.db2.gz YLFPKSRBQYNSRN-LLVKDONJSA-N 1 2 305.334 1.886 20 30 DDEDLO CC#CC[N@H+](C)[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000293336529 409093305 /nfs/dbraw/zinc/09/33/05/409093305.db2.gz YLFPKSRBQYNSRN-LLVKDONJSA-N 1 2 305.334 1.886 20 30 DDEDLO COc1ccc(OC)c2c1C[N@H+](CCOCCC#N)C[C@@H]2O ZINC000293386229 409102224 /nfs/dbraw/zinc/10/22/24/409102224.db2.gz GOODGWUGQOEJJU-ZDUSSCGKSA-N 1 2 306.362 1.483 20 30 DDEDLO COc1ccc(OC)c2c1C[N@@H+](CCOCCC#N)C[C@@H]2O ZINC000293386229 409102228 /nfs/dbraw/zinc/10/22/28/409102228.db2.gz GOODGWUGQOEJJU-ZDUSSCGKSA-N 1 2 306.362 1.483 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000283681553 409195340 /nfs/dbraw/zinc/19/53/40/409195340.db2.gz ZUWSPNZFGGIKCJ-UHFFFAOYSA-N 1 2 314.389 1.543 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)Nc1cc(N)c([N+](=O)[O-])c(C#N)c1 ZINC000283499632 409167636 /nfs/dbraw/zinc/16/76/36/409167636.db2.gz INBLLNSUPLVGHA-SNVBAGLBSA-N 1 2 305.338 1.181 20 30 DDEDLO C=CC[C@H]1N(C(=O)C(=O)NCCn2cc[nH+]c2)CCCC1(C)C ZINC000283967655 409249610 /nfs/dbraw/zinc/24/96/10/409249610.db2.gz NPYKVHRQEIPBGM-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)N(CC#N)CC#N ZINC000279952994 409253512 /nfs/dbraw/zinc/25/35/12/409253512.db2.gz ZSBUFFFJCMGRQF-UHFFFAOYSA-N 1 2 303.272 1.499 20 30 DDEDLO Cc1ccc(F)cc1NC(=O)[C@H](C)O[NH+]=C(N)[C@@H]1CCCO1 ZINC000284039400 409262657 /nfs/dbraw/zinc/26/26/57/409262657.db2.gz IWWXYXMRJNCOMY-GWCFXTLKSA-N 1 2 309.341 1.929 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cccc(C#N)c1 ZINC000279386318 409204580 /nfs/dbraw/zinc/20/45/80/409204580.db2.gz UWMWCKMKXKPOKF-UHFFFAOYSA-N 1 2 301.390 1.741 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cccc(C#N)c1 ZINC000279386318 409204584 /nfs/dbraw/zinc/20/45/84/409204584.db2.gz UWMWCKMKXKPOKF-UHFFFAOYSA-N 1 2 301.390 1.741 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCc1ccc(N2CCC(C)CC2)[nH+]c1 ZINC000294511226 409293499 /nfs/dbraw/zinc/29/34/99/409293499.db2.gz OKBREGPUQFPWSR-OAHLLOKOSA-N 1 2 315.417 1.972 20 30 DDEDLO C=C(C)CNC(=O)[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1c[nH+]cn1C ZINC000284659278 409359698 /nfs/dbraw/zinc/35/96/98/409359698.db2.gz XLYRLHRXNFGAAM-CZUORRHYSA-N 1 2 316.405 1.555 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000280636623 409388111 /nfs/dbraw/zinc/38/81/11/409388111.db2.gz XVEXFPGSEMQOAU-DYVFJYSZSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000280636623 409388114 /nfs/dbraw/zinc/38/81/14/409388114.db2.gz XVEXFPGSEMQOAU-DYVFJYSZSA-N 1 2 302.374 1.947 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCCO3)n2CC=C)CC1 ZINC000296000589 409397012 /nfs/dbraw/zinc/39/70/12/409397012.db2.gz QGXIYUQOJCAASC-HNNXBMFYSA-N 1 2 315.421 1.461 20 30 DDEDLO C#Cc1ccc(CNC(=O)N[C@@H](C)C[NH+]2CCOCC2)cc1 ZINC000296201956 409461375 /nfs/dbraw/zinc/46/13/75/409461375.db2.gz HZBDEAKVKQPLEX-AWEZNQCLSA-N 1 2 301.390 1.188 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2cccc(C#N)c2)C[C@H]1C ZINC000408042190 164206943 /nfs/dbraw/zinc/20/69/43/164206943.db2.gz QANFIYHNVJGDES-CHWSQXEVSA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2cccc(C#N)c2)C[C@H]1C ZINC000408042190 164206944 /nfs/dbraw/zinc/20/69/44/164206944.db2.gz QANFIYHNVJGDES-CHWSQXEVSA-N 1 2 307.419 1.661 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000353932604 409569319 /nfs/dbraw/zinc/56/93/19/409569319.db2.gz KTIKATISZYHDTB-YOEHRIQHSA-N 1 2 320.437 1.081 20 30 DDEDLO COc1cc[nH+]cc1CNC(=O)C(=O)Nc1cccc(C#N)c1 ZINC000342369847 409589921 /nfs/dbraw/zinc/58/99/21/409589921.db2.gz RJJZPXGVZXSIQC-UHFFFAOYSA-N 1 2 310.313 1.217 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@H]1C ZINC000356771851 409590290 /nfs/dbraw/zinc/59/02/90/409590290.db2.gz NXAVCADWKRWHQS-HUUCEWRRSA-N 1 2 303.406 1.609 20 30 DDEDLO CC#CCN(C)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000297344392 409910003 /nfs/dbraw/zinc/91/00/03/409910003.db2.gz HKUUTVWYSQLWBA-AWEZNQCLSA-N 1 2 316.405 1.471 20 30 DDEDLO CC(C)(C(=O)NCC[NH+]1CCCCC1)S(=O)(=O)C1CC1 ZINC000328770318 409975716 /nfs/dbraw/zinc/97/57/16/409975716.db2.gz ABEAYCWQYYJSCM-UHFFFAOYSA-N 1 2 302.440 1.785 20 30 DDEDLO C=CCOCC(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000354639484 409986841 /nfs/dbraw/zinc/98/68/41/409986841.db2.gz CPJTYGNKLRJJDS-OKILXGFUSA-N 1 2 319.405 1.514 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)N[C@H]1CC(=O)N(C)C1 ZINC000328967406 410022547 /nfs/dbraw/zinc/02/25/47/410022547.db2.gz TWBQWOGKJFOXHP-FRRDWIJNSA-N 1 2 302.378 1.341 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000351078773 410033261 /nfs/dbraw/zinc/03/32/61/410033261.db2.gz LSNZJNAMCNJDQN-UHFFFAOYSA-N 1 2 324.465 1.975 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)N[C@@H]2CCO[C@@H]2C2CC2)C1 ZINC000328857820 409995815 /nfs/dbraw/zinc/99/58/15/409995815.db2.gz XZAWCGVPAVYJGB-MGPQQGTHSA-N 1 2 304.394 1.691 20 30 DDEDLO CCc1noc(C)c1NC(=O)N1CC[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000329001970 410046187 /nfs/dbraw/zinc/04/61/87/410046187.db2.gz XQHLRMHBNSIDOV-CHWSQXEVSA-N 1 2 308.382 1.496 20 30 DDEDLO CCc1noc(C)c1NC(=O)N1CC[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000329001970 410046195 /nfs/dbraw/zinc/04/61/95/410046195.db2.gz XQHLRMHBNSIDOV-CHWSQXEVSA-N 1 2 308.382 1.496 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCCc3cscn3)C[C@H]21 ZINC000329053259 410073289 /nfs/dbraw/zinc/07/32/89/410073289.db2.gz LKLUELWUVGVSFD-CHWSQXEVSA-N 1 2 310.423 1.005 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCCc3cscn3)C[C@H]21 ZINC000329053259 410073296 /nfs/dbraw/zinc/07/32/96/410073296.db2.gz LKLUELWUVGVSFD-CHWSQXEVSA-N 1 2 310.423 1.005 20 30 DDEDLO C[C@@H]1C[C@H](CNC(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)CCO1 ZINC000329117798 410113039 /nfs/dbraw/zinc/11/30/39/410113039.db2.gz OBLSGEXWYDEFKY-MGPQQGTHSA-N 1 2 306.410 1.939 20 30 DDEDLO CC[C@H]1CO[C@H](C)C[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000298479409 410249617 /nfs/dbraw/zinc/24/96/17/410249617.db2.gz TWHVYQAUVUOEGC-CJNGLKHVSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H]1CO[C@H](C)C[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000298479409 410249623 /nfs/dbraw/zinc/24/96/23/410249623.db2.gz TWHVYQAUVUOEGC-CJNGLKHVSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[NH+](CC)Cc1nc(C2(NC(=O)[C@H](C)C#N)CCCC2)no1 ZINC000357805331 410256827 /nfs/dbraw/zinc/25/68/27/410256827.db2.gz XNGUVGYCTVQHRD-GFCCVEGCSA-N 1 2 319.409 1.957 20 30 DDEDLO N#CCNC(=O)CN1CCc2c([nH+]cn2-c2ccc(F)cc2)C1 ZINC000357823292 410269923 /nfs/dbraw/zinc/26/99/23/410269923.db2.gz SBBCXCVMWGLQHS-UHFFFAOYSA-N 1 2 313.336 1.009 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCN2CCOC[C@@H]2C1 ZINC000329403236 410278593 /nfs/dbraw/zinc/27/85/93/410278593.db2.gz CBGKFLHJDDCTPH-CJNGLKHVSA-N 1 2 314.389 1.551 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCN2CCOC[C@@H]2C1 ZINC000329403236 410278604 /nfs/dbraw/zinc/27/86/04/410278604.db2.gz CBGKFLHJDDCTPH-CJNGLKHVSA-N 1 2 314.389 1.551 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000329485092 410323492 /nfs/dbraw/zinc/32/34/92/410323492.db2.gz FUWHWEVMMVQVTI-CHWSQXEVSA-N 1 2 317.393 1.684 20 30 DDEDLO C[C@@H](NC(=O)COc1ccccc1C#N)[C@@H](C)[NH+]1CCOCC1 ZINC000355151224 410344288 /nfs/dbraw/zinc/34/42/88/410344288.db2.gz SLQBAFMGUGPEMI-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO CC(C)n1cc(C[NH+]2CCC([C@@H](O)C(=O)NC3CC3)CC2)nn1 ZINC000329425936 410294125 /nfs/dbraw/zinc/29/41/25/410294125.db2.gz IZLISPNMZWRQQV-OAHLLOKOSA-N 1 2 321.425 1.551 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355102821 410313089 /nfs/dbraw/zinc/31/30/89/410313089.db2.gz KNGCMCOIMWITEH-CQSZACIVSA-N 1 2 315.421 1.236 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2cccc(Cl)c2C#N)CC1 ZINC000298705401 410356949 /nfs/dbraw/zinc/35/69/49/410356949.db2.gz FZCUKQOTFRJALC-UHFFFAOYSA-N 1 2 306.797 1.788 20 30 DDEDLO CC(C)[C@@H]1C(=O)NCCN1C(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000329723982 410422368 /nfs/dbraw/zinc/42/23/68/410422368.db2.gz FRUKNDGAGOMRGX-GXFFZTMASA-N 1 2 305.382 1.478 20 30 DDEDLO CC(C)[C@@H]1C(=O)NCCN1C(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000329723982 410422372 /nfs/dbraw/zinc/42/23/72/410422372.db2.gz FRUKNDGAGOMRGX-GXFFZTMASA-N 1 2 305.382 1.478 20 30 DDEDLO Cc1nc(N2CC(Oc3ccc(C#N)cc3)C2)nc(N(C)C)[nH+]1 ZINC000359228086 410580984 /nfs/dbraw/zinc/58/09/84/410580984.db2.gz KVWNIDYNQIKOJM-UHFFFAOYSA-N 1 2 310.361 1.385 20 30 DDEDLO Cc1nc(N(C)C)nc(N2CC(Oc3ccc(C#N)cc3)C2)[nH+]1 ZINC000359228086 410580990 /nfs/dbraw/zinc/58/09/90/410580990.db2.gz KVWNIDYNQIKOJM-UHFFFAOYSA-N 1 2 310.361 1.385 20 30 DDEDLO C#CC[NH+](CC#C)[C@@H](C)C(=O)NCCc1c[nH]c2ccccc12 ZINC000299411207 410591339 /nfs/dbraw/zinc/59/13/39/410591339.db2.gz VZJXCCIIJJGTJW-HNNXBMFYSA-N 1 2 307.397 1.784 20 30 DDEDLO C=CCOc1cccc(N2C(=O)N[C@H](Cc3c[nH+]c[nH]3)C2=O)c1 ZINC000359318935 410599835 /nfs/dbraw/zinc/59/98/35/410599835.db2.gz VRTPSABMIFPXQS-CQSZACIVSA-N 1 2 312.329 1.642 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCc1[nH+]ccn1Cc1ccccc1 ZINC000352293213 410601323 /nfs/dbraw/zinc/60/13/23/410601323.db2.gz LXFTXYJQIVLKJK-GOSISDBHSA-N 1 2 313.401 1.917 20 30 DDEDLO N#Cc1ccc(C(=O)CNC(=O)c2ccc3[nH+]ccn3c2)cc1 ZINC000356164085 410871954 /nfs/dbraw/zinc/87/19/54/410871954.db2.gz MANYBSATXJSWSN-UHFFFAOYSA-N 1 2 304.309 1.819 20 30 DDEDLO Cc1ccc([C@@H](CNc2ccc(C#N)nn2)[NH+]2CCOCC2)o1 ZINC000301857063 410817075 /nfs/dbraw/zinc/81/70/75/410817075.db2.gz ZLWDPSNFJMBFRB-CQSZACIVSA-N 1 2 313.361 1.735 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)N[C@@]1(C#N)CCC[C@@H](C)C1 ZINC000330790466 410893879 /nfs/dbraw/zinc/89/38/79/410893879.db2.gz BZHSZLOQNXSFGS-CJNGLKHVSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)N[C@@]1(C#N)CCC[C@@H](C)C1 ZINC000330790466 410893884 /nfs/dbraw/zinc/89/38/84/410893884.db2.gz BZHSZLOQNXSFGS-CJNGLKHVSA-N 1 2 308.426 1.031 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCC(CS(N)(=O)=O)CC2)c1F ZINC000341133110 410886842 /nfs/dbraw/zinc/88/68/42/410886842.db2.gz WCYXNPMYGAPZGQ-UHFFFAOYSA-N 1 2 311.382 1.198 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)NCc1cccc(C#N)c1 ZINC000356238600 410915926 /nfs/dbraw/zinc/91/59/26/410915926.db2.gz VGUUUGJHSNWMFK-UHFFFAOYSA-N 1 2 302.378 1.032 20 30 DDEDLO Cn1nccc1C[N@H+](C)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000348381968 410942090 /nfs/dbraw/zinc/94/20/90/410942090.db2.gz XGYZBWFURMFWHH-ZDUSSCGKSA-N 1 2 315.402 1.591 20 30 DDEDLO Cn1nccc1C[N@@H+](C)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000348381968 410942096 /nfs/dbraw/zinc/94/20/96/410942096.db2.gz XGYZBWFURMFWHH-ZDUSSCGKSA-N 1 2 315.402 1.591 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)N[C@H]3CCOC3)CC2)cc1 ZINC000337655819 410922964 /nfs/dbraw/zinc/92/29/64/410922964.db2.gz OXIAGDVXTSOYAT-INIZCTEOSA-N 1 2 314.389 1.174 20 30 DDEDLO COCCOCC[N@H+](C)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000344124893 410974355 /nfs/dbraw/zinc/97/43/55/410974355.db2.gz WWEHQDLDSYNEBO-UHFFFAOYSA-N 1 2 316.361 1.703 20 30 DDEDLO COCCOCC[N@@H+](C)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000344124893 410974362 /nfs/dbraw/zinc/97/43/62/410974362.db2.gz WWEHQDLDSYNEBO-UHFFFAOYSA-N 1 2 316.361 1.703 20 30 DDEDLO CC(C)OC[C@H](O)C[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000341813963 411060037 /nfs/dbraw/zinc/06/00/37/411060037.db2.gz LUWUBKBMKHJYBH-IAGOWNOFSA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@H](O)C[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000341813963 411060044 /nfs/dbraw/zinc/06/00/44/411060044.db2.gz LUWUBKBMKHJYBH-IAGOWNOFSA-N 1 2 304.390 1.717 20 30 DDEDLO Cc1nc(N2CCN(Cc3[nH]cc[nH+]3)CC2)c(Cl)cc1C#N ZINC000360045501 411020399 /nfs/dbraw/zinc/02/03/99/411020399.db2.gz CKUJQLREXGDCJA-UHFFFAOYSA-N 1 2 316.796 1.960 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000341367720 411025419 /nfs/dbraw/zinc/02/54/19/411025419.db2.gz JOADKWOFJQCWTR-HNNXBMFYSA-N 1 2 305.426 1.602 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)[C@@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000356640209 411115078 /nfs/dbraw/zinc/11/50/78/411115078.db2.gz ZYWRZWMZARUATQ-CHWSQXEVSA-N 1 2 305.422 1.939 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)[C@@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000356640209 411115082 /nfs/dbraw/zinc/11/50/82/411115082.db2.gz ZYWRZWMZARUATQ-CHWSQXEVSA-N 1 2 305.422 1.939 20 30 DDEDLO C=CCN(Cc1ccccc1)S(=O)(=O)C[C@@H]1C[N@H+](C)CCO1 ZINC000631723731 422894486 /nfs/dbraw/zinc/89/44/86/422894486.db2.gz SRZMMFPQEARKPG-INIZCTEOSA-N 1 2 324.446 1.335 20 30 DDEDLO C=CCN(Cc1ccccc1)S(=O)(=O)C[C@@H]1C[N@@H+](C)CCO1 ZINC000631723731 422894491 /nfs/dbraw/zinc/89/44/91/422894491.db2.gz SRZMMFPQEARKPG-INIZCTEOSA-N 1 2 324.446 1.335 20 30 DDEDLO N#Cc1cnc(N[C@@H](C[NH+]2CCOCC2)c2ccccc2)cn1 ZINC000133026409 196306398 /nfs/dbraw/zinc/30/63/98/196306398.db2.gz PFKILHXDYIZLAP-INIZCTEOSA-N 1 2 309.373 1.834 20 30 DDEDLO Cc1ccc(CNC(=O)CO[NH+]=C(N)[C@@H](C)OCC(C)C)cc1 ZINC000174222053 221791156 /nfs/dbraw/zinc/79/11/56/221791156.db2.gz ZFYDQMCNUFROOY-CQSZACIVSA-N 1 2 321.421 1.961 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCCC2(C)C)CC1 ZINC000373465013 418431679 /nfs/dbraw/zinc/43/16/79/418431679.db2.gz ITSLYMIYGHWPMO-HNNXBMFYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCC2(C)C)CC1 ZINC000373465013 418431680 /nfs/dbraw/zinc/43/16/80/418431680.db2.gz ITSLYMIYGHWPMO-HNNXBMFYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[N@@H+](C)C[C@H]1c1ccccc1 ZINC000366197304 418442087 /nfs/dbraw/zinc/44/20/87/418442087.db2.gz CMWXVCYHTXKHLO-INIZCTEOSA-N 1 2 306.431 1.718 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[N@H+](C)C[C@H]1c1ccccc1 ZINC000366197304 418442088 /nfs/dbraw/zinc/44/20/88/418442088.db2.gz CMWXVCYHTXKHLO-INIZCTEOSA-N 1 2 306.431 1.718 20 30 DDEDLO N#CC1CCC(CNC(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)CC1 ZINC000366272202 418451322 /nfs/dbraw/zinc/45/13/22/418451322.db2.gz VBHSNYXEWXJROA-ZBCRRDGASA-N 1 2 320.437 1.479 20 30 DDEDLO N#CC1CCC(CNC(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)CC1 ZINC000366272202 418451325 /nfs/dbraw/zinc/45/13/25/418451325.db2.gz VBHSNYXEWXJROA-ZBCRRDGASA-N 1 2 320.437 1.479 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@H+](C)Cc1ccc(OCC#N)cc1 ZINC000264963402 418569536 /nfs/dbraw/zinc/56/95/36/418569536.db2.gz ZUPDCJMABJPICY-CYBMUJFWSA-N 1 2 310.419 1.844 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@@H+](C)Cc1ccc(OCC#N)cc1 ZINC000264963402 418569541 /nfs/dbraw/zinc/56/95/41/418569541.db2.gz ZUPDCJMABJPICY-CYBMUJFWSA-N 1 2 310.419 1.844 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CCC(N2CC[N@H+](C)CC2=O)CC1 ZINC000367105827 418560963 /nfs/dbraw/zinc/56/09/63/418560963.db2.gz GLQPMSNRQBGHMC-AWEZNQCLSA-N 1 2 322.453 1.289 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CCC(N2CC[N@@H+](C)CC2=O)CC1 ZINC000367105827 418560964 /nfs/dbraw/zinc/56/09/64/418560964.db2.gz GLQPMSNRQBGHMC-AWEZNQCLSA-N 1 2 322.453 1.289 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCSC[C@H]1c1cnn(C)c1 ZINC000191202779 222099135 /nfs/dbraw/zinc/09/91/35/222099135.db2.gz TUNNMOWHHUNLOG-HNNXBMFYSA-N 1 2 320.462 1.711 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCSC[C@H]1c1cnn(C)c1 ZINC000191202779 222099139 /nfs/dbraw/zinc/09/91/39/222099139.db2.gz TUNNMOWHHUNLOG-HNNXBMFYSA-N 1 2 320.462 1.711 20 30 DDEDLO CCn1cc(C[NH+]2CCN(c3snc(C)c3C#N)CC2)cn1 ZINC000290999419 222061043 /nfs/dbraw/zinc/06/10/43/222061043.db2.gz ARLITPVUCFZGIO-UHFFFAOYSA-N 1 2 316.434 1.862 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@H]21 ZINC000246092190 222219671 /nfs/dbraw/zinc/21/96/71/222219671.db2.gz CMTQOSGGYYTMLP-RBSFLKMASA-N 1 2 309.410 1.017 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@H]21 ZINC000246092190 222219674 /nfs/dbraw/zinc/21/96/74/222219674.db2.gz CMTQOSGGYYTMLP-RBSFLKMASA-N 1 2 309.410 1.017 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000246652969 222223932 /nfs/dbraw/zinc/22/39/32/222223932.db2.gz IPVNYJSOKXMVGU-QWHCGFSZSA-N 1 2 309.410 1.066 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000246652969 222223935 /nfs/dbraw/zinc/22/39/35/222223935.db2.gz IPVNYJSOKXMVGU-QWHCGFSZSA-N 1 2 309.410 1.066 20 30 DDEDLO CCOC(=O)N1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC000264065933 222313084 /nfs/dbraw/zinc/31/30/84/222313084.db2.gz AOZGQESVQKITQA-UHFFFAOYSA-N 1 2 303.362 1.863 20 30 DDEDLO N#C[C@H]1C[N@@H+](CCOC2CCC2)C[C@@]12C(=O)Nc1ccccc12 ZINC000367735895 418628108 /nfs/dbraw/zinc/62/81/08/418628108.db2.gz ABWGLCDLBACBJW-SCLBCKFNSA-N 1 2 311.385 1.901 20 30 DDEDLO N#C[C@H]1C[N@H+](CCOC2CCC2)C[C@@]12C(=O)Nc1ccccc12 ZINC000367735895 418628111 /nfs/dbraw/zinc/62/81/11/418628111.db2.gz ABWGLCDLBACBJW-SCLBCKFNSA-N 1 2 311.385 1.901 20 30 DDEDLO N#C[C@@H]1C[N@@H+](CCOC2CCC2)C[C@@]12C(=O)Nc1ccccc12 ZINC000367735894 418628154 /nfs/dbraw/zinc/62/81/54/418628154.db2.gz ABWGLCDLBACBJW-FZKQIMNGSA-N 1 2 311.385 1.901 20 30 DDEDLO N#C[C@@H]1C[N@H+](CCOC2CCC2)C[C@@]12C(=O)Nc1ccccc12 ZINC000367735894 418628157 /nfs/dbraw/zinc/62/81/57/418628157.db2.gz ABWGLCDLBACBJW-FZKQIMNGSA-N 1 2 311.385 1.901 20 30 DDEDLO COc1cc(C[N@@H+]2CCOC[C@H]2[C@H]2CCCO2)ccc1C#N ZINC000377703049 418712903 /nfs/dbraw/zinc/71/29/03/418712903.db2.gz QCBZXHIHMXDIOZ-JKSUJKDBSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C[N@H+]2CCOC[C@H]2[C@H]2CCCO2)ccc1C#N ZINC000377703049 418712907 /nfs/dbraw/zinc/71/29/07/418712907.db2.gz QCBZXHIHMXDIOZ-JKSUJKDBSA-N 1 2 302.374 1.947 20 30 DDEDLO CO/N=C/C(=O)N[C@@H]1CC[N@H+](C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000377692620 418713310 /nfs/dbraw/zinc/71/33/10/418713310.db2.gz JMIZKQMBLSUWNM-NBWXQCIBSA-N 1 2 313.760 1.973 20 30 DDEDLO CO/N=C/C(=O)N[C@@H]1CC[N@@H+](C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000377692620 418713311 /nfs/dbraw/zinc/71/33/11/418713311.db2.gz JMIZKQMBLSUWNM-NBWXQCIBSA-N 1 2 313.760 1.973 20 30 DDEDLO COC(=O)[C@@H](C)C[N@@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000375672549 418672176 /nfs/dbraw/zinc/67/21/76/418672176.db2.gz BIWWEWYMVSTIQY-ZDUSSCGKSA-N 1 2 315.373 1.012 20 30 DDEDLO COC(=O)[C@@H](C)C[N@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000375672549 418672177 /nfs/dbraw/zinc/67/21/77/418672177.db2.gz BIWWEWYMVSTIQY-ZDUSSCGKSA-N 1 2 315.373 1.012 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)cc1C#N ZINC000361586410 418688059 /nfs/dbraw/zinc/68/80/59/418688059.db2.gz LXAIBFJUFCPXKL-UHFFFAOYSA-N 1 2 306.373 1.486 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@@H+]1CCOc1cccc(C#N)c1 ZINC000376534342 418698854 /nfs/dbraw/zinc/69/88/54/418698854.db2.gz VQXUEEWGWZCMHP-AWEZNQCLSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@H+]1CCOc1cccc(C#N)c1 ZINC000376534342 418698856 /nfs/dbraw/zinc/69/88/56/418698856.db2.gz VQXUEEWGWZCMHP-AWEZNQCLSA-N 1 2 304.346 1.201 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+](C2(C(N)=O)CCCC2)CC1 ZINC000370450643 418744511 /nfs/dbraw/zinc/74/45/11/418744511.db2.gz UWEFNZSCCUURKO-UHFFFAOYSA-N 1 2 319.449 1.697 20 30 DDEDLO C=C[C@H](C)NC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000362381613 418753920 /nfs/dbraw/zinc/75/39/20/418753920.db2.gz ZVIZUWNFAJIZRI-STQMWFEESA-N 1 2 304.394 1.680 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H]1Cc2ccccc2O1 ZINC000362823560 418759741 /nfs/dbraw/zinc/75/97/41/418759741.db2.gz SWOQDTCYPUHPFC-KRWDZBQOSA-N 1 2 315.417 1.538 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N[C@H]2CCc3[nH+]c(C)cn3C2)cc1 ZINC000364502828 418781535 /nfs/dbraw/zinc/78/15/35/418781535.db2.gz VBSNGTVBPZFSNA-UPAIAECRSA-N 1 2 312.373 1.917 20 30 DDEDLO N#Cc1c(F)ccc(C[N@@H+]2CC[C@@]3(C2)NC(=O)NC3=O)c1Cl ZINC000365456849 418862775 /nfs/dbraw/zinc/86/27/75/418862775.db2.gz INFXCQJIPOTSNI-AWEZNQCLSA-N 1 2 322.727 1.135 20 30 DDEDLO N#Cc1c(F)ccc(C[N@H+]2CC[C@@]3(C2)NC(=O)NC3=O)c1Cl ZINC000365456849 418862776 /nfs/dbraw/zinc/86/27/76/418862776.db2.gz INFXCQJIPOTSNI-AWEZNQCLSA-N 1 2 322.727 1.135 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000411182986 418877581 /nfs/dbraw/zinc/87/75/81/418877581.db2.gz ROFBXSXLWBWGEW-MRXNPFEDSA-N 1 2 316.405 1.323 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000411182986 418877583 /nfs/dbraw/zinc/87/75/83/418877583.db2.gz ROFBXSXLWBWGEW-MRXNPFEDSA-N 1 2 316.405 1.323 20 30 DDEDLO COC[C@@H](C)OC[C@H](O)C[NH+]1CC(Oc2ccc(C#N)cc2)C1 ZINC000365734680 418901304 /nfs/dbraw/zinc/90/13/04/418901304.db2.gz LZOVQGJVBJDVDQ-UKRRQHHQSA-N 1 2 320.389 1.034 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+](CC(=O)NC(C)C)CC2)CCC1 ZINC000372789373 418902430 /nfs/dbraw/zinc/90/24/30/418902430.db2.gz DOIAKUYOMWKRPL-UHFFFAOYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+](CC(=O)NC(C)C)CC2)CCC1 ZINC000372789373 418902432 /nfs/dbraw/zinc/90/24/32/418902432.db2.gz DOIAKUYOMWKRPL-UHFFFAOYSA-N 1 2 321.465 1.792 20 30 DDEDLO C[C@H](OC[C@@H](O)C[NH+]1CC(O)(CC#N)C1)c1ccc(Cl)cc1 ZINC000424129262 228230885 /nfs/dbraw/zinc/23/08/85/228230885.db2.gz CPRQQOBZJLIOQN-WFASDCNBSA-N 1 2 324.808 1.739 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N(C)C(=O)[C@H](C)[NH+]1CCN(C)CC1 ZINC000425123118 228369295 /nfs/dbraw/zinc/36/92/95/228369295.db2.gz DGUDRQSWDHYEQR-CABCVRRESA-N 1 2 314.433 1.714 20 30 DDEDLO C[C@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@H+](C)CC(C)(C)C#N ZINC000433304517 420251035 /nfs/dbraw/zinc/25/10/35/420251035.db2.gz KZCOIBQJYIBJGJ-QWHCGFSZSA-N 1 2 308.426 1.872 20 30 DDEDLO C[C@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@@H+](C)CC(C)(C)C#N ZINC000433304517 420251038 /nfs/dbraw/zinc/25/10/38/420251038.db2.gz KZCOIBQJYIBJGJ-QWHCGFSZSA-N 1 2 308.426 1.872 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1c(C#N)cccc1[N+](=O)[O-] ZINC000425255940 420331293 /nfs/dbraw/zinc/33/12/93/420331293.db2.gz QQJUHGBLHBCFKS-OCCSQVGLSA-N 1 2 316.361 1.766 20 30 DDEDLO C[C@H](CNS(=O)(=O)[C@@H](C)C#N)[N@@H+]1CCc2sccc2C1 ZINC000416368758 420344840 /nfs/dbraw/zinc/34/48/40/420344840.db2.gz IFQGBMZDZVYMIN-MNOVXSKESA-N 1 2 313.448 1.326 20 30 DDEDLO C[C@H](CNS(=O)(=O)[C@@H](C)C#N)[N@H+]1CCc2sccc2C1 ZINC000416368758 420344842 /nfs/dbraw/zinc/34/48/42/420344842.db2.gz IFQGBMZDZVYMIN-MNOVXSKESA-N 1 2 313.448 1.326 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NCc1ccc[nH+]c1N(C)C ZINC000437672177 420417742 /nfs/dbraw/zinc/41/77/42/420417742.db2.gz WYZPJXYKKUGRCJ-ZFWWWQNUSA-N 1 2 318.421 1.928 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)OCC ZINC000456621632 420516881 /nfs/dbraw/zinc/51/68/81/420516881.db2.gz DZUPDCFECJBRSL-OLZOCXBDSA-N 1 2 314.451 1.438 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)C(=O)N1CC[NH+](C)CC1 ZINC000459871509 420893025 /nfs/dbraw/zinc/89/30/25/420893025.db2.gz SBNMOCFPTPVBSO-UHFFFAOYSA-N 1 2 307.419 1.037 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ccsc3)CC2)c1C#N ZINC000471818546 420951675 /nfs/dbraw/zinc/95/16/75/420951675.db2.gz CEDCHURGHJXBDS-UHFFFAOYSA-N 1 2 301.419 1.984 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)c1 ZINC000456010624 421088693 /nfs/dbraw/zinc/08/86/93/421088693.db2.gz UNBIVWFSEUQLBN-HNNXBMFYSA-N 1 2 301.394 1.234 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)c1 ZINC000456010624 421088695 /nfs/dbraw/zinc/08/86/95/421088695.db2.gz UNBIVWFSEUQLBN-HNNXBMFYSA-N 1 2 301.394 1.234 20 30 DDEDLO C[C@H]1C[N@H+](Cc2cccc(C#N)c2)CCN1C(=O)c1cnn(C)c1 ZINC000449764193 421078274 /nfs/dbraw/zinc/07/82/74/421078274.db2.gz ZLNVDRHEYVFGPW-AWEZNQCLSA-N 1 2 323.400 1.638 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2cccc(C#N)c2)CCN1C(=O)c1cnn(C)c1 ZINC000449764193 421078276 /nfs/dbraw/zinc/07/82/76/421078276.db2.gz ZLNVDRHEYVFGPW-AWEZNQCLSA-N 1 2 323.400 1.638 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cccnc3N)CC2)c(F)c1 ZINC000450336617 421184873 /nfs/dbraw/zinc/18/48/73/421184873.db2.gz AHLRVFGDSKXCIU-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NS(=O)(=O)c1cccnc1C#N)C1CC1 ZINC000560473773 421268890 /nfs/dbraw/zinc/26/88/90/421268890.db2.gz PTZVWYSFLAYJAW-CYBMUJFWSA-N 1 2 317.374 1.116 20 30 DDEDLO N#Cc1cnccc1NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000545947760 421274031 /nfs/dbraw/zinc/27/40/31/421274031.db2.gz LXRAOGVKNASCIH-HNNXBMFYSA-N 1 2 314.414 1.922 20 30 DDEDLO Cc1c(C[N@H+](C)[C@@H]2CCN(c3ccc(C#N)cc3)C2=O)cnn1C ZINC000562498814 421373972 /nfs/dbraw/zinc/37/39/72/421373972.db2.gz KMBLJRSSUBIRRZ-QGZVFWFLSA-N 1 2 323.400 1.838 20 30 DDEDLO Cc1c(C[N@@H+](C)[C@@H]2CCN(c3ccc(C#N)cc3)C2=O)cnn1C ZINC000562498814 421373974 /nfs/dbraw/zinc/37/39/74/421373974.db2.gz KMBLJRSSUBIRRZ-QGZVFWFLSA-N 1 2 323.400 1.838 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C#N)c(Cl)c1 ZINC000548318120 421422607 /nfs/dbraw/zinc/42/26/07/421422607.db2.gz VBOGZFKEOLMNFG-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(C#N)c(Cl)c1 ZINC000548318120 421422608 /nfs/dbraw/zinc/42/26/08/421422608.db2.gz VBOGZFKEOLMNFG-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO CCC(C#N)(CC)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000527762648 421439575 /nfs/dbraw/zinc/43/95/75/421439575.db2.gz DTDFNXIDGMMVNG-UHFFFAOYSA-N 1 2 316.405 1.864 20 30 DDEDLO N#Cc1ccc(Cl)c(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)c1 ZINC000548448887 421440506 /nfs/dbraw/zinc/44/05/06/421440506.db2.gz SDJQWRSDDVSKHC-UHFFFAOYSA-N 1 2 322.777 1.654 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)c1ncc2c(c1C#N)CCC2 ZINC000516052286 421524571 /nfs/dbraw/zinc/52/45/71/421524571.db2.gz KPJKIGJQWVBIQQ-CYBMUJFWSA-N 1 2 300.406 1.599 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H]3COC[C@H](C2)O3)c(-c2ccc(C#N)cc2)n1 ZINC000549187941 421496457 /nfs/dbraw/zinc/49/64/57/421496457.db2.gz ZIQQPFSFXYRLEL-CALCHBBNSA-N 1 2 324.384 1.558 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H]3COC[C@H](C2)O3)c(-c2ccc(C#N)cc2)n1 ZINC000549187941 421496463 /nfs/dbraw/zinc/49/64/63/421496463.db2.gz ZIQQPFSFXYRLEL-CALCHBBNSA-N 1 2 324.384 1.558 20 30 DDEDLO Cc1nocc1C[NH+]1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000563827834 421546436 /nfs/dbraw/zinc/54/64/36/421546436.db2.gz YTIWJHYUQDUEQE-UHFFFAOYSA-N 1 2 300.366 1.219 20 30 DDEDLO Cc1nc(N)c(CNC(=O)C2(c3cccc(C#N)c3)CC2)c[nH+]1 ZINC000566279643 421604234 /nfs/dbraw/zinc/60/42/34/421604234.db2.gz NTQMXPAVEOITFZ-UHFFFAOYSA-N 1 2 307.357 1.587 20 30 DDEDLO COc1ccc2c(c1)[C@@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)CC2 ZINC000567593181 421613377 /nfs/dbraw/zinc/61/33/77/421613377.db2.gz SUMBWDHZXPQECB-WMLDXEAASA-N 1 2 301.390 1.685 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)N1CCC(CCC#N)CC1 ZINC000567729234 421614911 /nfs/dbraw/zinc/61/49/11/421614911.db2.gz QGMKCZFHEFVWMJ-HNNXBMFYSA-N 1 2 321.469 1.348 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc(-c2nnco2)cc1 ZINC000568008217 421616948 /nfs/dbraw/zinc/61/69/48/421616948.db2.gz PVMFHZVDQGUTFW-CYBMUJFWSA-N 1 2 302.334 1.322 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc(-c2nnco2)cc1 ZINC000568008217 421616951 /nfs/dbraw/zinc/61/69/51/421616951.db2.gz PVMFHZVDQGUTFW-CYBMUJFWSA-N 1 2 302.334 1.322 20 30 DDEDLO COc1ccc(CS(=O)(=O)Cc2c[nH+]cn2C)cc1C#N ZINC000533331809 421672406 /nfs/dbraw/zinc/67/24/06/421672406.db2.gz LSRZDNIGMQFSPY-UHFFFAOYSA-N 1 2 305.359 1.415 20 30 DDEDLO C=CC[N@@H+](CCC(=O)N1CCC[C@@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000533387336 421672712 /nfs/dbraw/zinc/67/27/12/421672712.db2.gz AWCLOWYKQGSSLO-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[N@H+](CCC(=O)N1CCC[C@@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000533387336 421672714 /nfs/dbraw/zinc/67/27/14/421672714.db2.gz AWCLOWYKQGSSLO-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO N#Cc1ccc(N2CCC[N@H+](Cc3cscn3)CC2)nc1N ZINC000572378548 421781265 /nfs/dbraw/zinc/78/12/65/421781265.db2.gz IXFPABVXBCGJFQ-UHFFFAOYSA-N 1 2 314.418 1.704 20 30 DDEDLO N#Cc1ccc(N2CCC[N@@H+](Cc3cscn3)CC2)nc1N ZINC000572378548 421781268 /nfs/dbraw/zinc/78/12/68/421781268.db2.gz IXFPABVXBCGJFQ-UHFFFAOYSA-N 1 2 314.418 1.704 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3cnccc3C#N)CC2)ccn1 ZINC000572579881 421801525 /nfs/dbraw/zinc/80/15/25/421801525.db2.gz KXNXCYKTBGVSDK-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2ncc(C#N)cc2Cl)CCO1 ZINC000574837941 422192969 /nfs/dbraw/zinc/19/29/69/422192969.db2.gz DFVVULBEVKPFET-JTQLQIEISA-N 1 2 308.769 1.057 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2ncc(C#N)cc2Cl)CCO1 ZINC000574837941 422192973 /nfs/dbraw/zinc/19/29/73/422192973.db2.gz DFVVULBEVKPFET-JTQLQIEISA-N 1 2 308.769 1.057 20 30 DDEDLO CCCC[C@@H](C(=O)Nc1cc(C)on1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149737 422332217 /nfs/dbraw/zinc/33/22/17/422332217.db2.gz VRJGOBOOBICVNC-BBRMVZONSA-N 1 2 320.393 1.831 20 30 DDEDLO CCCC[C@@H](C(=O)Nc1cc(C)on1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149737 422332221 /nfs/dbraw/zinc/33/22/21/422332221.db2.gz VRJGOBOOBICVNC-BBRMVZONSA-N 1 2 320.393 1.831 20 30 DDEDLO Cn1cc[nH+]c1[C@H](CC(=O)NCC1(C#N)CC1)C(F)(F)F ZINC000577075441 422381230 /nfs/dbraw/zinc/38/12/30/422381230.db2.gz DGIVZANZPZVZOP-VIFPVBQESA-N 1 2 300.284 1.876 20 30 DDEDLO C[C@H](Oc1ccc2c(c1)CCC2)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000576879599 422379582 /nfs/dbraw/zinc/37/95/82/422379582.db2.gz OJWIINAISAZLIA-UGSOOPFHSA-N 1 2 315.417 1.903 20 30 DDEDLO CC(C)[C@H](CNc1nc(C#N)c(Cl)s1)[NH+]1CCOCC1 ZINC000590570591 422320959 /nfs/dbraw/zinc/32/09/59/422320959.db2.gz XOVXGXJOCHJFPQ-NSHDSACASA-N 1 2 314.842 2.437 20 30 DDEDLO N#CC1(C[NH2+]CCS(=O)(=O)c2ccc([N+](=O)[O-])cc2)CCC1 ZINC000575796586 422326851 /nfs/dbraw/zinc/32/68/51/422326851.db2.gz FIVXGGFNUIXZTJ-UHFFFAOYSA-N 1 2 323.374 1.652 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)N1CC[NH2+]CC1=O ZINC000637890793 422449099 /nfs/dbraw/zinc/44/90/99/422449099.db2.gz WXZDWKOZSMZMLZ-GFCCVEGCSA-N 1 2 307.419 1.083 20 30 DDEDLO COCCn1cc2c(n1)[C@H]([NH2+]C[C@@H](C#N)CCC#N)CCC2 ZINC000577327968 422383727 /nfs/dbraw/zinc/38/37/27/422383727.db2.gz OGLYWJBRCRCNRG-UKRRQHHQSA-N 1 2 301.394 1.940 20 30 DDEDLO C=C(C)C[C@H]1NC(=O)N(Cc2[nH+]ccn2CC(F)(F)F)C1=O ZINC000630971986 422450391 /nfs/dbraw/zinc/45/03/91/422450391.db2.gz JVYDTOUKYLEMEV-SECBINFHSA-N 1 2 316.283 1.832 20 30 DDEDLO C[C@H]1C[C@@H](NS(=O)(=O)c2ccc(F)c(C#N)c2)c2[nH+]ccn21 ZINC000577817773 422432449 /nfs/dbraw/zinc/43/24/49/422432449.db2.gz CNLLHILSHYVILE-TVQRCGJNSA-N 1 2 320.349 1.878 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNc2ccc(C(F)(F)F)c(C#N)n2)C1 ZINC000619695194 422532384 /nfs/dbraw/zinc/53/23/84/422532384.db2.gz QUXRYXAXWBNKDU-VIFPVBQESA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNc2ccc(C(F)(F)F)c(C#N)n2)C1 ZINC000619695194 422532389 /nfs/dbraw/zinc/53/23/89/422532389.db2.gz QUXRYXAXWBNKDU-VIFPVBQESA-N 1 2 300.284 1.715 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000636056590 422601387 /nfs/dbraw/zinc/60/13/87/422601387.db2.gz RKRGOXCESNEQSK-LRDDRELGSA-N 1 2 313.357 1.899 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000636111017 422650003 /nfs/dbraw/zinc/65/00/03/422650003.db2.gz IUCDSSLLHFFWLJ-RDJZCZTQSA-N 1 2 316.401 1.160 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000636111017 422650008 /nfs/dbraw/zinc/65/00/08/422650008.db2.gz IUCDSSLLHFFWLJ-RDJZCZTQSA-N 1 2 316.401 1.160 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)c1C ZINC000646031252 423300708 /nfs/dbraw/zinc/30/07/08/423300708.db2.gz SVFXQORPUJBKDM-QGZVFWFLSA-N 1 2 301.390 1.824 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CC[C@](O)(CC#N)C2)c1C ZINC000646031252 423300711 /nfs/dbraw/zinc/30/07/11/423300711.db2.gz SVFXQORPUJBKDM-QGZVFWFLSA-N 1 2 301.390 1.824 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CC[NH+](CCOCCOC)CC1 ZINC000651077723 423380274 /nfs/dbraw/zinc/38/02/74/423380274.db2.gz XVGSTLGKGQMXPQ-OAHLLOKOSA-N 1 2 313.442 1.331 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H]2[C@H](O)C(F)(F)F)nn1 ZINC000653554699 423526726 /nfs/dbraw/zinc/52/67/26/423526726.db2.gz RPMOIVCUFZBNKB-NEPJUHHUSA-N 1 2 304.316 1.742 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H]2[C@H](O)C(F)(F)F)nn1 ZINC000653554699 423526732 /nfs/dbraw/zinc/52/67/32/423526732.db2.gz RPMOIVCUFZBNKB-NEPJUHHUSA-N 1 2 304.316 1.742 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@H](C)O[C@@]3(CCO[C@H]3C)C2)nn1 ZINC000653650163 423564381 /nfs/dbraw/zinc/56/43/81/423564381.db2.gz QKGOIUYYQQOVKG-DZKIICNBSA-N 1 2 306.410 1.623 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@H](C)O[C@@]3(CCO[C@H]3C)C2)nn1 ZINC000653650163 423564388 /nfs/dbraw/zinc/56/43/88/423564388.db2.gz QKGOIUYYQQOVKG-DZKIICNBSA-N 1 2 306.410 1.623 20 30 DDEDLO C=CCCC[C@@H](C)n1cc(C[NH2+][C@@H]2CCS(=O)(=O)C2)nn1 ZINC000641235517 423500107 /nfs/dbraw/zinc/50/01/07/423500107.db2.gz SUXYYCBDXDSPBM-CHWSQXEVSA-N 1 2 312.439 1.472 20 30 DDEDLO C=CCCNC(=O)NC[C@H](c1cccs1)[NH+]1CCN(C)CC1 ZINC000663449557 423841241 /nfs/dbraw/zinc/84/12/41/423841241.db2.gz DPDHQRRNRFLZCP-CQSZACIVSA-N 1 2 322.478 1.912 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000645149119 424190121 /nfs/dbraw/zinc/19/01/21/424190121.db2.gz KVGFLJURCYFBET-GFCCVEGCSA-N 1 2 320.780 1.561 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)C(=O)Nc1ccn2cc(C)[nH+]c2c1 ZINC000657996783 424571096 /nfs/dbraw/zinc/57/10/96/424571096.db2.gz RWFBMOWHXNIVPA-ZDUSSCGKSA-N 1 2 316.361 1.025 20 30 DDEDLO C=CCN(C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)[C@H](C)COC ZINC000664518642 424621952 /nfs/dbraw/zinc/62/19/52/424621952.db2.gz DITVFZKOCSGLKF-CABCVRRESA-N 1 2 318.421 1.893 20 30 DDEDLO C=CCCCS(=O)(=O)NC[C@@H](C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000655638886 424608637 /nfs/dbraw/zinc/60/86/37/424608637.db2.gz ILZHZVVAUAJVSF-MCIONIFRSA-N 1 2 304.456 1.370 20 30 DDEDLO C=CCCCS(=O)(=O)NC[C@@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000655638886 424608641 /nfs/dbraw/zinc/60/86/41/424608641.db2.gz ILZHZVVAUAJVSF-MCIONIFRSA-N 1 2 304.456 1.370 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000665153893 424751151 /nfs/dbraw/zinc/75/11/51/424751151.db2.gz JHTJSFSAHXHEMS-HNNXBMFYSA-N 1 2 316.405 1.201 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)[C@H](C)c1cccc(C#N)c1 ZINC000360008490 267157230 /nfs/dbraw/zinc/15/72/30/267157230.db2.gz VOPBGCQSFPIYJQ-ZIAGYGMSSA-N 1 2 301.390 1.499 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)C[C@@H](C2CC2)O1 ZINC000373511368 267228784 /nfs/dbraw/zinc/22/87/84/267228784.db2.gz XUPCGGUCCXWPSX-GUYCJALGSA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)C[C@@H](C2CC2)O1 ZINC000373511368 267228791 /nfs/dbraw/zinc/22/87/91/267228791.db2.gz XUPCGGUCCXWPSX-GUYCJALGSA-N 1 2 313.401 1.674 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000356103793 267276013 /nfs/dbraw/zinc/27/60/13/267276013.db2.gz LXGJBDYZJMYMTK-BBRMVZONSA-N 1 2 313.401 1.802 20 30 DDEDLO N#Cc1cc(C(=O)Nc2cc[nH+]cc2O)cnc1C(F)(F)F ZINC000344201646 267977241 /nfs/dbraw/zinc/97/72/41/267977241.db2.gz ZBVORFOUVPJCRQ-UHFFFAOYSA-N 1 2 308.219 1.747 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC[C@@H](n3cccn3)C2)cc1 ZINC000360286010 268213068 /nfs/dbraw/zinc/21/30/68/268213068.db2.gz MHOGAXDXBBJIRU-HZPDHXFCSA-N 1 2 309.373 1.618 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC[C@@H](n3cccn3)C2)cc1 ZINC000360286010 268213070 /nfs/dbraw/zinc/21/30/70/268213070.db2.gz MHOGAXDXBBJIRU-HZPDHXFCSA-N 1 2 309.373 1.618 20 30 DDEDLO N#Cc1cccc(CCNC(=O)C2([NH+]3CCOCC3)CCC2)c1 ZINC000374059930 268242553 /nfs/dbraw/zinc/24/25/53/268242553.db2.gz WEPBOOBVNZFDEW-UHFFFAOYSA-N 1 2 313.401 1.472 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@@H+]1CCc2nccnc2C1 ZINC000528561897 268302231 /nfs/dbraw/zinc/30/22/31/268302231.db2.gz USZBQQZFLOMRCN-CQSZACIVSA-N 1 2 310.357 1.146 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@H+]1CCc2nccnc2C1 ZINC000528561897 268302233 /nfs/dbraw/zinc/30/22/33/268302233.db2.gz USZBQQZFLOMRCN-CQSZACIVSA-N 1 2 310.357 1.146 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1C[C@H]2CCC[C@@H](C1)C2O ZINC000363177658 268308474 /nfs/dbraw/zinc/30/84/74/268308474.db2.gz WRNJFUZIPDOPQB-ONXXMXGDSA-N 1 2 305.403 1.651 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1C[C@H]2CCC[C@@H](C1)C2O ZINC000363177658 268308476 /nfs/dbraw/zinc/30/84/76/268308476.db2.gz WRNJFUZIPDOPQB-ONXXMXGDSA-N 1 2 305.403 1.651 20 30 DDEDLO Cc1ncc(S(=O)(=O)N2CC[N@@H+]3CCCC[C@@H]3C2)cc1C#N ZINC000320058091 271025455 /nfs/dbraw/zinc/02/54/55/271025455.db2.gz ZSKYYHBZLMRIRP-CQSZACIVSA-N 1 2 320.418 1.121 20 30 DDEDLO Cc1ncc(S(=O)(=O)N2CC[N@H+]3CCCC[C@@H]3C2)cc1C#N ZINC000320058091 271025458 /nfs/dbraw/zinc/02/54/58/271025458.db2.gz ZSKYYHBZLMRIRP-CQSZACIVSA-N 1 2 320.418 1.121 20 30 DDEDLO CC(C)(C)N1C[C@H](C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CC1=O ZINC000330294156 277158779 /nfs/dbraw/zinc/15/87/79/277158779.db2.gz FBILHZBWTPLJOR-VXGBXAGGSA-N 1 2 304.394 1.801 20 30 DDEDLO COC[C@@H]1CN(C([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)CC(C)(C)O1 ZINC000329165122 277252774 /nfs/dbraw/zinc/25/27/74/277252774.db2.gz HBTPDCPYGUMKEN-OLZOCXBDSA-N 1 2 322.409 1.238 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@]2(CCOC2)C1 ZINC000247466551 277342120 /nfs/dbraw/zinc/34/21/20/277342120.db2.gz UVKFZMOXRXNNEA-NVXWUHKLSA-N 1 2 300.358 1.885 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@]2(CCOC2)C1 ZINC000247466551 277342121 /nfs/dbraw/zinc/34/21/21/277342121.db2.gz UVKFZMOXRXNNEA-NVXWUHKLSA-N 1 2 300.358 1.885 20 30 DDEDLO Cc1csc([C@H](C)NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)n1 ZINC000328891503 279046227 /nfs/dbraw/zinc/04/62/27/279046227.db2.gz SJTBIKSTKNFYJA-XQQFMLRXSA-N 1 2 324.450 1.831 20 30 DDEDLO Cc1csc([C@H](C)NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)n1 ZINC000328891503 279046230 /nfs/dbraw/zinc/04/62/30/279046230.db2.gz SJTBIKSTKNFYJA-XQQFMLRXSA-N 1 2 324.450 1.831 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2C[C@@H](C)[N@H+](C)[C@@H](C)C2)c1C#N ZINC000293781785 302013933 /nfs/dbraw/zinc/01/39/33/302013933.db2.gz LWAQQKYXDMRWAE-BETUJISGSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2C[C@@H](C)[N@@H+](C)[C@@H](C)C2)c1C#N ZINC000293781785 302013937 /nfs/dbraw/zinc/01/39/37/302013937.db2.gz LWAQQKYXDMRWAE-BETUJISGSA-N 1 2 307.419 1.580 20 30 DDEDLO C[C@@H]1[C@H](C)[S@](=O)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000551582692 303633963 /nfs/dbraw/zinc/63/39/63/303633963.db2.gz AZBZZOJNTJWGDX-SMDDFHAHSA-N 1 2 302.403 1.547 20 30 DDEDLO O=C(NC[C@H]1CCCCS1(=O)=O)[C@@H](C1CC1)[NH+]1CCCC1 ZINC000329507328 304786303 /nfs/dbraw/zinc/78/63/03/304786303.db2.gz JBHZWPOVEZIPLB-ZIAGYGMSSA-N 1 2 314.451 1.785 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCC[C@]4(CNC(=O)O4)C3)n2c1 ZINC000372740317 307174154 /nfs/dbraw/zinc/17/41/54/307174154.db2.gz MFZHWOJIURRIEX-INIZCTEOSA-N 1 2 311.345 1.280 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCC[C@]4(CNC(=O)O4)C3)n2c1 ZINC000372740317 307174155 /nfs/dbraw/zinc/17/41/55/307174155.db2.gz MFZHWOJIURRIEX-INIZCTEOSA-N 1 2 311.345 1.280 20 30 DDEDLO Cc1cc(N2CCC(C(=O)N3CCOCC3)CC2)c(C#N)c[nH+]1 ZINC000573915229 308255387 /nfs/dbraw/zinc/25/53/87/308255387.db2.gz WGQZPBOONGVLME-UHFFFAOYSA-N 1 2 314.389 1.337 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[N@@H+]2CC[C@H](C)C2)cc1C#N ZINC000576935622 308350404 /nfs/dbraw/zinc/35/04/04/308350404.db2.gz GYBQFNZBCJDEPW-JTQLQIEISA-N 1 2 320.418 1.452 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[N@H+]2CC[C@H](C)C2)cc1C#N ZINC000576935622 308350405 /nfs/dbraw/zinc/35/04/05/308350405.db2.gz GYBQFNZBCJDEPW-JTQLQIEISA-N 1 2 320.418 1.452 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1Cc2ccccc2NC(=O)C1 ZINC000569375676 332067168 /nfs/dbraw/zinc/06/71/68/332067168.db2.gz AGUOMHWYCJLIOS-UHFFFAOYSA-N 1 2 300.362 1.203 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1Cc2ccccc2NC(=O)C1 ZINC000569375676 332067170 /nfs/dbraw/zinc/06/71/70/332067170.db2.gz AGUOMHWYCJLIOS-UHFFFAOYSA-N 1 2 300.362 1.203 20 30 DDEDLO N#CCCC1CCN(C(=O)NC[C@H]2CC[NH2+]CC2(F)F)CC1 ZINC000564935486 332102908 /nfs/dbraw/zinc/10/29/08/332102908.db2.gz CDWSNUIACDPYTH-CYBMUJFWSA-N 1 2 314.380 1.957 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCc1nc(-c2ccoc2)no1 ZINC000584295123 332223473 /nfs/dbraw/zinc/22/34/73/332223473.db2.gz RHLCAXFIHOCSBU-HNNXBMFYSA-N 1 2 317.349 1.222 20 30 DDEDLO CCc1ccc([C@H](COC)[NH2+]CC(=O)N[C@](C)(C#N)C2CC2)o1 ZINC000184811293 333201532 /nfs/dbraw/zinc/20/15/32/333201532.db2.gz OZRKOKJHTZLOFY-WMLDXEAASA-N 1 2 319.405 1.928 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC[C@@H](OCC(F)(F)F)C2)CCC1 ZINC000525886652 335141900 /nfs/dbraw/zinc/14/19/00/335141900.db2.gz MQRZHTAXXAEYEH-LLVKDONJSA-N 1 2 319.327 1.592 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC[C@@H](OCC(F)(F)F)C2)CCC1 ZINC000525886652 335141902 /nfs/dbraw/zinc/14/19/02/335141902.db2.gz MQRZHTAXXAEYEH-LLVKDONJSA-N 1 2 319.327 1.592 20 30 DDEDLO CC(=O)N1CCc2cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)ccc21 ZINC000583669089 336286403 /nfs/dbraw/zinc/28/64/03/336286403.db2.gz CPQGPPWSCIKCBD-QGZVFWFLSA-N 1 2 314.389 1.169 20 30 DDEDLO COC(=O)Cc1cccc(NC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000583317988 337314763 /nfs/dbraw/zinc/31/47/63/337314763.db2.gz KRQSMJDYZDYGRS-HNNXBMFYSA-N 1 2 305.378 1.020 20 30 DDEDLO COC(=O)Cc1cccc(NC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000583317988 337314764 /nfs/dbraw/zinc/31/47/64/337314764.db2.gz KRQSMJDYZDYGRS-HNNXBMFYSA-N 1 2 305.378 1.020 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc(F)c(F)c(F)c1F ZINC000515270359 337984070 /nfs/dbraw/zinc/98/40/70/337984070.db2.gz LCSCBRUEBWGIMA-CYBMUJFWSA-N 1 2 303.259 1.817 20 30 DDEDLO CC(C)c1nc(CN(CCC#N)CC[NH+]2CCOCC2)no1 ZINC000081158235 341280464 /nfs/dbraw/zinc/28/04/64/341280464.db2.gz JFFLNSOIQMYDCT-UHFFFAOYSA-N 1 2 307.398 1.241 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)C1(C#N)CCCC1)C2 ZINC000554683185 341461212 /nfs/dbraw/zinc/46/12/12/341461212.db2.gz CXEWRQXZRQLOCZ-ZDUSSCGKSA-N 1 2 316.405 1.241 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1c[nH]c2cc(F)ccc12 ZINC000577805266 341937868 /nfs/dbraw/zinc/93/78/68/341937868.db2.gz ZWHHMVRCYUGUNG-MRXNPFEDSA-N 1 2 302.353 1.810 20 30 DDEDLO C=CC[N@H+](CC(=O)N1CC(=O)Nc2ccccc21)[C@@H](C)COC ZINC000661244419 484997458 /nfs/dbraw/zinc/99/74/58/484997458.db2.gz TYVLLGSJCMJTIT-ZDUSSCGKSA-N 1 2 317.389 1.495 20 30 DDEDLO C=CC[N@@H+](CC(=O)N1CC(=O)Nc2ccccc21)[C@@H](C)COC ZINC000661244419 484997462 /nfs/dbraw/zinc/99/74/62/484997462.db2.gz TYVLLGSJCMJTIT-ZDUSSCGKSA-N 1 2 317.389 1.495 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC[C@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000666346584 485179769 /nfs/dbraw/zinc/17/97/69/485179769.db2.gz DFIPZMFHAKNLPG-AEFFLSMTSA-N 1 2 318.417 1.422 20 30 DDEDLO C=C[C@@H](O)C(=O)NC[C@@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000666848666 485367250 /nfs/dbraw/zinc/36/72/50/485367250.db2.gz UISVBXDKXSOYBA-JKSUJKDBSA-N 1 2 304.390 1.031 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@@H](c1cccs1)[NH+]1CCN(C)CC1 ZINC000674971896 485835166 /nfs/dbraw/zinc/83/51/66/485835166.db2.gz NQHXSWCATVQWIY-KBPBESRZSA-N 1 2 322.478 1.910 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(CC#Cc2ccccc2Cl)CC1 ZINC000676714668 486300749 /nfs/dbraw/zinc/30/07/49/486300749.db2.gz NWIFIVZBSMJQAV-UHFFFAOYSA-N 1 2 319.836 1.397 20 30 DDEDLO COCC#CC[NH+]1CCN([C@H](CO)c2ccc(Cl)cc2)CC1 ZINC000677817984 486547686 /nfs/dbraw/zinc/54/76/86/486547686.db2.gz RVSMPKMLJFQEJU-QGZVFWFLSA-N 1 2 322.836 1.641 20 30 DDEDLO COCC#CCN1CC[NH+]([C@H](CO)c2ccc(Cl)cc2)CC1 ZINC000677817984 486547688 /nfs/dbraw/zinc/54/76/88/486547688.db2.gz RVSMPKMLJFQEJU-QGZVFWFLSA-N 1 2 322.836 1.641 20 30 DDEDLO C[C@@H]1C[C@H](CNC(=O)NCc2ccc(N(C)C)[nH+]c2)CCO1 ZINC000330637660 533828768 /nfs/dbraw/zinc/82/87/68/533828768.db2.gz OHOYSTULGLQDCB-CHWSQXEVSA-N 1 2 306.410 1.966 20 30 DDEDLO N#CCC1(CS(=O)(=O)NCCc2cn3ccccc3[nH+]2)CC1 ZINC000346446930 534588502 /nfs/dbraw/zinc/58/85/02/534588502.db2.gz SDXPGPDFLOGCOC-UHFFFAOYSA-N 1 2 318.402 1.490 20 30 DDEDLO O=C(NCC1([NH+]2CCOCC2)CC1)[C@H]1CCc2c[nH]nc2C1 ZINC000329897730 534751649 /nfs/dbraw/zinc/75/16/49/534751649.db2.gz DDHANBJTCVXPIQ-LBPRGKRZSA-N 1 2 304.394 1.336 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)N1CCO[C@H](C2CC2)C1 ZINC000330147189 534761448 /nfs/dbraw/zinc/76/14/48/534761448.db2.gz TXHIVNPURMVYPT-CABCVRRESA-N 1 2 316.405 1.685 20 30 DDEDLO Cc1cscc1CNC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000330223473 534831587 /nfs/dbraw/zinc/83/15/87/534831587.db2.gz NDDIOMPJBXMLHE-UHFFFAOYSA-N 1 2 309.435 1.925 20 30 DDEDLO C=CC[N@H+](Cc1cccc2c1NCC2)[C@@H]1CCS(=O)(=O)C1 ZINC000346818144 526351542 /nfs/dbraw/zinc/35/15/42/526351542.db2.gz AXAHCAUMWBJQPC-OAHLLOKOSA-N 1 2 306.431 1.830 20 30 DDEDLO C=CC[N@@H+](Cc1cccc2c1NCC2)[C@@H]1CCS(=O)(=O)C1 ZINC000346818144 526351548 /nfs/dbraw/zinc/35/15/48/526351548.db2.gz AXAHCAUMWBJQPC-OAHLLOKOSA-N 1 2 306.431 1.830 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2cccc(C)c2C)C1 ZINC000330954944 526400531 /nfs/dbraw/zinc/40/05/31/526400531.db2.gz TUMOKHDJEXZNIP-UONOGXRCSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2cccc(C)c2C)C1 ZINC000330954944 526400536 /nfs/dbraw/zinc/40/05/36/526400536.db2.gz TUMOKHDJEXZNIP-UONOGXRCSA-N 1 2 318.421 1.838 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](CC)C2CN(C(=O)OC(C)(C)C)C2)C1=O ZINC000492005744 526474376 /nfs/dbraw/zinc/47/43/76/526474376.db2.gz FKFRVLPXOKQRRB-CQSZACIVSA-N 1 2 323.437 1.715 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](CC)C2CN(C(=O)OC(C)(C)C)C2)C1=O ZINC000492005744 526474385 /nfs/dbraw/zinc/47/43/85/526474385.db2.gz FKFRVLPXOKQRRB-CQSZACIVSA-N 1 2 323.437 1.715 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494309729 526542737 /nfs/dbraw/zinc/54/27/37/526542737.db2.gz CRYKUDUOTVCNIW-KBPBESRZSA-N 1 2 309.410 1.326 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494309729 526542739 /nfs/dbraw/zinc/54/27/39/526542739.db2.gz CRYKUDUOTVCNIW-KBPBESRZSA-N 1 2 309.410 1.326 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1)OCC ZINC000339369684 526744290 /nfs/dbraw/zinc/74/42/90/526744290.db2.gz UNXAPWYCACLSRI-CQSZACIVSA-N 1 2 306.410 1.425 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@@H]([NH+]=C([O-])N1CC(CO)C1)C2 ZINC000330113950 526813248 /nfs/dbraw/zinc/81/32/48/526813248.db2.gz SLVBUKVWVQMRLS-GFCCVEGCSA-N 1 2 306.410 1.334 20 30 DDEDLO C#CC[N@@H+](CCCC(=O)OC(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000491044252 526900938 /nfs/dbraw/zinc/90/09/38/526900938.db2.gz GMEALMWUYMYSOS-CYBMUJFWSA-N 1 2 315.435 1.231 20 30 DDEDLO C#CC[N@H+](CCCC(=O)OC(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000491044252 526900942 /nfs/dbraw/zinc/90/09/42/526900942.db2.gz GMEALMWUYMYSOS-CYBMUJFWSA-N 1 2 315.435 1.231 20 30 DDEDLO C#CC[N@@H+](Cc1cn(CC(=O)OC)nn1)[C@H]1CCc2ccccc21 ZINC000491670906 526912390 /nfs/dbraw/zinc/91/23/90/526912390.db2.gz PQNUZQMYWQEHCL-KRWDZBQOSA-N 1 2 324.384 1.574 20 30 DDEDLO C#CC[N@H+](Cc1cn(CC(=O)OC)nn1)[C@H]1CCc2ccccc21 ZINC000491670906 526912391 /nfs/dbraw/zinc/91/23/91/526912391.db2.gz PQNUZQMYWQEHCL-KRWDZBQOSA-N 1 2 324.384 1.574 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1sc2nccn2c1C ZINC000490936030 526947113 /nfs/dbraw/zinc/94/71/13/526947113.db2.gz PAWDILXYJLQGLN-LBPRGKRZSA-N 1 2 303.387 1.959 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1sc2nccn2c1C ZINC000490936030 526947117 /nfs/dbraw/zinc/94/71/17/526947117.db2.gz PAWDILXYJLQGLN-LBPRGKRZSA-N 1 2 303.387 1.959 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C#N)cc2Cl)CC1 ZINC000491164334 526951411 /nfs/dbraw/zinc/95/14/11/526951411.db2.gz ATGYAWPUESTWPV-UHFFFAOYSA-N 1 2 317.776 1.368 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CSCc2cccc(OC)c2)CC1 ZINC000490764066 526952747 /nfs/dbraw/zinc/95/27/47/526952747.db2.gz ZDPLPRPRPGOBCC-UHFFFAOYSA-N 1 2 318.442 1.706 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CC3)n2C[C@@H]2CCCO2)CC1 ZINC000491202813 526955951 /nfs/dbraw/zinc/95/59/51/526955951.db2.gz QCGNGUFFBCQQFY-HNNXBMFYSA-N 1 2 315.421 1.090 20 30 DDEDLO C#CCNC(=O)C1CC[NH+]([C@@H](C)c2nc(C(C)(C)C)no2)CC1 ZINC000491203272 526971988 /nfs/dbraw/zinc/97/19/88/526971988.db2.gz USBYGJQKMKZSKU-LBPRGKRZSA-N 1 2 318.421 1.890 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+]1C[C@@H](O)[C@H](OC)C1 ZINC000451750734 527013441 /nfs/dbraw/zinc/01/34/41/527013441.db2.gz RWMDFNYSYYEIBH-ZIAGYGMSSA-N 1 2 322.430 1.595 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+]1C[C@@H](O)[C@H](OC)C1 ZINC000451750734 527013446 /nfs/dbraw/zinc/01/34/46/527013446.db2.gz RWMDFNYSYYEIBH-ZIAGYGMSSA-N 1 2 322.430 1.595 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCO[C@H](c2ccc(C)cc2C)C1 ZINC000363169302 527019850 /nfs/dbraw/zinc/01/98/50/527019850.db2.gz OBMWYXQNKYLGLL-WBVHZDCISA-N 1 2 300.402 1.815 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCO[C@H](c2ccc(C)cc2C)C1 ZINC000363169302 527019861 /nfs/dbraw/zinc/01/98/61/527019861.db2.gz OBMWYXQNKYLGLL-WBVHZDCISA-N 1 2 300.402 1.815 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCc2c(cnn2-c2ccccc2)C1 ZINC000490759879 527028923 /nfs/dbraw/zinc/02/89/23/527028923.db2.gz HAVQONAPLUREOD-AWEZNQCLSA-N 1 2 308.385 1.368 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCc2c(cnn2-c2ccccc2)C1 ZINC000490759879 527028930 /nfs/dbraw/zinc/02/89/30/527028930.db2.gz HAVQONAPLUREOD-AWEZNQCLSA-N 1 2 308.385 1.368 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1ccc(F)c(C#N)c1 ZINC000416822712 527086073 /nfs/dbraw/zinc/08/60/73/527086073.db2.gz WBBHZJCMVYCFLA-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000491372071 527212113 /nfs/dbraw/zinc/21/21/13/527212113.db2.gz HNSXVBZZYNBIGV-OAHLLOKOSA-N 1 2 315.417 1.620 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000491372071 527212118 /nfs/dbraw/zinc/21/21/18/527212118.db2.gz HNSXVBZZYNBIGV-OAHLLOKOSA-N 1 2 315.417 1.620 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000491483217 527212995 /nfs/dbraw/zinc/21/29/95/527212995.db2.gz ACIVIAQKPMNKOW-SECBINFHSA-N 1 2 305.290 1.216 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000491483217 527213000 /nfs/dbraw/zinc/21/30/00/527213000.db2.gz ACIVIAQKPMNKOW-SECBINFHSA-N 1 2 305.290 1.216 20 30 DDEDLO C#C[C@@H](CC)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000491801487 527240788 /nfs/dbraw/zinc/24/07/88/527240788.db2.gz CPRPWJYMQZNRDW-ZBFHGGJFSA-N 1 2 317.408 1.864 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCC[C@H]1[C@H](O)C(F)(F)F ZINC000340193416 528358780 /nfs/dbraw/zinc/35/87/80/528358780.db2.gz WECOSROIEHOUCP-AAEUAGOBSA-N 1 2 321.343 1.526 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCC[C@H]1[C@H](O)C(F)(F)F ZINC000340193416 528358783 /nfs/dbraw/zinc/35/87/83/528358783.db2.gz WECOSROIEHOUCP-AAEUAGOBSA-N 1 2 321.343 1.526 20 30 DDEDLO CC(C)C[C@]1(CO)CCCN1C([O-])=[NH+][C@H]1CCn2cc[nH+]c2C1 ZINC000329926212 528616126 /nfs/dbraw/zinc/61/61/26/528616126.db2.gz KJUHTPYDIPIWNL-YOEHRIQHSA-N 1 2 320.437 1.985 20 30 DDEDLO CC(C)C[C@]1(CO)CCCN1C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000329926212 528616132 /nfs/dbraw/zinc/61/61/32/528616132.db2.gz KJUHTPYDIPIWNL-YOEHRIQHSA-N 1 2 320.437 1.985 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000451756745 528696111 /nfs/dbraw/zinc/69/61/11/528696111.db2.gz NCQUYMZJARHKHM-ASSNKEHSSA-N 1 2 313.467 1.228 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000451756745 528696114 /nfs/dbraw/zinc/69/61/14/528696114.db2.gz NCQUYMZJARHKHM-ASSNKEHSSA-N 1 2 313.467 1.228 20 30 DDEDLO CC[NH+]1CCN(C(=O)[C@@H](C)SCc2nc(C#N)cs2)CC1 ZINC000419642721 529131264 /nfs/dbraw/zinc/13/12/64/529131264.db2.gz KIXISFFAWUXMOP-LLVKDONJSA-N 1 2 324.475 1.801 20 30 DDEDLO CCN1CC[N@H+](CCC(=O)Nc2ccccc2C#N)[C@H](C)C1=O ZINC000495224140 529142399 /nfs/dbraw/zinc/14/23/99/529142399.db2.gz LYTWTLWGGLDHHY-CYBMUJFWSA-N 1 2 314.389 1.439 20 30 DDEDLO CCN1CC[N@@H+](CCC(=O)Nc2ccccc2C#N)[C@H](C)C1=O ZINC000495224140 529142400 /nfs/dbraw/zinc/14/24/00/529142400.db2.gz LYTWTLWGGLDHHY-CYBMUJFWSA-N 1 2 314.389 1.439 20 30 DDEDLO C[NH+](C)Cc1nc(C(C)(C)NC(=O)c2cc(C#N)cs2)no1 ZINC000084283528 536062212 /nfs/dbraw/zinc/06/22/12/536062212.db2.gz JACJZVUUXGMOFX-UHFFFAOYSA-N 1 2 319.390 1.729 20 30 DDEDLO C=C(Cl)CN1CC[NH+](Cc2ccc(-c3nn[nH]n3)cc2)CC1 ZINC000735402211 598430248 /nfs/dbraw/zinc/43/02/48/598430248.db2.gz MHRKAQBNEWIDDF-UHFFFAOYSA-N 1 2 318.812 1.737 20 30 DDEDLO N#CCCC[NH+]1CCN(Cc2ccc(-c3nn[nH]n3)cc2)CC1 ZINC000737688366 598432360 /nfs/dbraw/zinc/43/23/60/598432360.db2.gz SFFUWLXSBOIFMW-UHFFFAOYSA-N 1 2 311.393 1.288 20 30 DDEDLO C[C@H](C#N)C[N@H+](CCOc1ccccc1-c1nn[nH]n1)C1CC1 ZINC000824035073 607831358 /nfs/dbraw/zinc/83/13/58/607831358.db2.gz SLCIXVQZPNOTHQ-GFCCVEGCSA-N 1 2 312.377 1.870 20 30 DDEDLO C[C@H](C#N)C[N@@H+](CCOc1ccccc1-c1nn[nH]n1)C1CC1 ZINC000824035073 607831359 /nfs/dbraw/zinc/83/13/59/607831359.db2.gz SLCIXVQZPNOTHQ-GFCCVEGCSA-N 1 2 312.377 1.870 20 30 DDEDLO N#CCCN1CC[NH+](Cc2ccc(-c3nn[nH]n3)cc2F)CC1 ZINC000826381904 608038372 /nfs/dbraw/zinc/03/83/72/608038372.db2.gz FEHJQRWVRPDZBX-UHFFFAOYSA-N 1 2 315.356 1.037 20 30 DDEDLO C=C(C)C[N@@H+](C)CC(=O)Nc1oc(C)c(C)c1-c1nn[nH]n1 ZINC000823878396 609371287 /nfs/dbraw/zinc/37/12/87/609371287.db2.gz XPCOSQJCQKECOU-UHFFFAOYSA-N 1 2 304.354 1.523 20 30 DDEDLO C=C(C)C[N@H+](C)CC(=O)Nc1oc(C)c(C)c1-c1nn[nH]n1 ZINC000823878396 609371289 /nfs/dbraw/zinc/37/12/89/609371289.db2.gz XPCOSQJCQKECOU-UHFFFAOYSA-N 1 2 304.354 1.523 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC000972079468 695152394 /nfs/dbraw/zinc/15/23/94/695152394.db2.gz NUOVDZVEBQYALJ-GFCCVEGCSA-N 1 2 307.394 1.928 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC000972079468 695152395 /nfs/dbraw/zinc/15/23/95/695152395.db2.gz NUOVDZVEBQYALJ-GFCCVEGCSA-N 1 2 307.394 1.928 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)/C=C/c3ccco3)C2)C1 ZINC000972229428 695182689 /nfs/dbraw/zinc/18/26/89/695182689.db2.gz SSUQNIFIZLGDDU-RTRPANQVSA-N 1 2 300.358 1.229 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)/C=C/c3ccco3)C2)C1 ZINC000972229428 695182690 /nfs/dbraw/zinc/18/26/90/695182690.db2.gz SSUQNIFIZLGDDU-RTRPANQVSA-N 1 2 300.358 1.229 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(F)c3)C2)C1 ZINC000972233468 695184548 /nfs/dbraw/zinc/18/45/48/695184548.db2.gz HVFNZRIFSIARTA-KRWDZBQOSA-N 1 2 304.365 1.929 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(F)c3)C2)C1 ZINC000972233468 695184550 /nfs/dbraw/zinc/18/45/50/695184550.db2.gz HVFNZRIFSIARTA-KRWDZBQOSA-N 1 2 304.365 1.929 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnccc3C)C2)C1 ZINC000972312622 695213693 /nfs/dbraw/zinc/21/36/93/695213693.db2.gz UQTYESZNUDGGRE-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnccc3C)C2)C1 ZINC000972312622 695213695 /nfs/dbraw/zinc/21/36/95/695213695.db2.gz UQTYESZNUDGGRE-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCOC3)C2)C1 ZINC000972325595 695216416 /nfs/dbraw/zinc/21/64/16/695216416.db2.gz AYVCQIJXYLGNCR-FUHWJXTLSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCOC3)C2)C1 ZINC000972325595 695216417 /nfs/dbraw/zinc/21/64/17/695216417.db2.gz AYVCQIJXYLGNCR-FUHWJXTLSA-N 1 2 320.433 1.130 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)n3cccc3)C2)C1 ZINC000972345448 695222165 /nfs/dbraw/zinc/22/21/65/695222165.db2.gz CUAZAQWVGARWIO-DOTOQJQBSA-N 1 2 303.406 1.538 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)n3cccc3)C2)C1 ZINC000972345448 695222166 /nfs/dbraw/zinc/22/21/66/695222166.db2.gz CUAZAQWVGARWIO-DOTOQJQBSA-N 1 2 303.406 1.538 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3coc(C)c3)C2)C1 ZINC000972358674 695227607 /nfs/dbraw/zinc/22/76/07/695227607.db2.gz UJBJCFHVENKCHP-KRWDZBQOSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3coc(C)c3)C2)C1 ZINC000972358674 695227609 /nfs/dbraw/zinc/22/76/09/695227609.db2.gz UJBJCFHVENKCHP-KRWDZBQOSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCC3(F)F)C2)C1 ZINC000972428984 695248594 /nfs/dbraw/zinc/24/85/94/695248594.db2.gz SVQXJIQERQQREW-HIFRSBDPSA-N 1 2 312.360 1.358 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCC3(F)F)C2)C1 ZINC000972428984 695248596 /nfs/dbraw/zinc/24/85/96/695248596.db2.gz SVQXJIQERQQREW-HIFRSBDPSA-N 1 2 312.360 1.358 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(OC)nc3)C2)C1 ZINC000972459071 695256109 /nfs/dbraw/zinc/25/61/09/695256109.db2.gz RHJRIAZKXMDLRX-KRWDZBQOSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(OC)nc3)C2)C1 ZINC000972459071 695256112 /nfs/dbraw/zinc/25/61/12/695256112.db2.gz RHJRIAZKXMDLRX-KRWDZBQOSA-N 1 2 317.389 1.193 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)C1 ZINC000972513028 695269708 /nfs/dbraw/zinc/26/97/08/695269708.db2.gz CXFOIXLGTFGFHG-LHHMISFZSA-N 1 2 302.418 1.359 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)C1 ZINC000972513028 695269710 /nfs/dbraw/zinc/26/97/10/695269710.db2.gz CXFOIXLGTFGFHG-LHHMISFZSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c[nH]cc3C3CC3)C2)C1 ZINC000972620831 695301631 /nfs/dbraw/zinc/30/16/31/695301631.db2.gz BLECOPMJGUCIPP-SFHVURJKSA-N 1 2 313.401 1.442 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c[nH]cc3C3CC3)C2)C1 ZINC000972620831 695301633 /nfs/dbraw/zinc/30/16/33/695301633.db2.gz BLECOPMJGUCIPP-SFHVURJKSA-N 1 2 313.401 1.442 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnoc3C3CC3)C2)C1 ZINC000972623957 695302799 /nfs/dbraw/zinc/30/27/99/695302799.db2.gz HBKMRQYJAFUKLJ-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnoc3C3CC3)C2)C1 ZINC000972623957 695302801 /nfs/dbraw/zinc/30/28/01/695302801.db2.gz HBKMRQYJAFUKLJ-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974638596 695701168 /nfs/dbraw/zinc/70/11/68/695701168.db2.gz FNHJJFDXZZZRTG-NEPJUHHUSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974638596 695701170 /nfs/dbraw/zinc/70/11/70/695701170.db2.gz FNHJJFDXZZZRTG-NEPJUHHUSA-N 1 2 313.829 1.044 20 30 DDEDLO COc1cccc(CNC(=O)CO[NH+]=C(N)c2ccccc2)c1 ZINC000022006468 696075769 /nfs/dbraw/zinc/07/57/69/696075769.db2.gz WGPZHXHBAGPKTJ-UHFFFAOYSA-N 1 2 313.357 1.649 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(Cc2ccc(F)cc2)CC1 ZINC000022837471 696079907 /nfs/dbraw/zinc/07/99/07/696079907.db2.gz GDTZOUQVSYGZLG-UHFFFAOYSA-N 1 2 303.381 1.083 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(Cc2ccc(F)cc2)CC1 ZINC000022837471 696079909 /nfs/dbraw/zinc/07/99/09/696079909.db2.gz GDTZOUQVSYGZLG-UHFFFAOYSA-N 1 2 303.381 1.083 20 30 DDEDLO N#CCN1CC[NH+](Cc2ccc(N3CCOCC3)c(F)c2)CC1 ZINC000092936277 696598251 /nfs/dbraw/zinc/59/82/51/696598251.db2.gz RKGYYIJLOUAHJH-UHFFFAOYSA-N 1 2 318.396 1.303 20 30 DDEDLO CC(C)[C@@H]1C(=O)NCC[N@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000093054848 696598743 /nfs/dbraw/zinc/59/87/43/696598743.db2.gz PIERMBXGNUBJEB-XJKSGUPXSA-N 1 2 301.390 1.934 20 30 DDEDLO CC(C)[C@@H]1C(=O)NCC[N@@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000093054848 696598746 /nfs/dbraw/zinc/59/87/46/696598746.db2.gz PIERMBXGNUBJEB-XJKSGUPXSA-N 1 2 301.390 1.934 20 30 DDEDLO CC[N@H+](CCS(C)(=O)=O)Cc1ccc(O[C@H](C)C#N)cc1 ZINC000093816008 696601784 /nfs/dbraw/zinc/60/17/84/696601784.db2.gz ZGTJKBIRZNHUOK-CYBMUJFWSA-N 1 2 310.419 1.844 20 30 DDEDLO CC[N@@H+](CCS(C)(=O)=O)Cc1ccc(O[C@H](C)C#N)cc1 ZINC000093816008 696601786 /nfs/dbraw/zinc/60/17/86/696601786.db2.gz ZGTJKBIRZNHUOK-CYBMUJFWSA-N 1 2 310.419 1.844 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CC[C@H](NC(=O)c3cncc4nc[nH]c43)C[C@@H]2C1 ZINC000979727130 696634125 /nfs/dbraw/zinc/63/41/25/696634125.db2.gz HEGSAIHBOYLKGH-MJBXVCDLSA-N 1 2 323.400 1.421 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CC[C@H](NC(=O)c3cncc4nc[nH]c43)C[C@@H]2C1 ZINC000979727130 696634126 /nfs/dbraw/zinc/63/41/26/696634126.db2.gz HEGSAIHBOYLKGH-MJBXVCDLSA-N 1 2 323.400 1.421 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000799074610 700113987 /nfs/dbraw/zinc/11/39/87/700113987.db2.gz MAKOEZKGVYSOFS-LLVKDONJSA-N 1 2 321.327 1.513 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cc2ccc(=O)[nH]c2)CC1 ZINC000981631440 696850195 /nfs/dbraw/zinc/85/01/95/696850195.db2.gz GZDFBKTVLLNNLD-UHFFFAOYSA-N 1 2 309.797 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cc2ccc(=O)[nH]c2)CC1 ZINC000981631440 696850197 /nfs/dbraw/zinc/85/01/97/696850197.db2.gz GZDFBKTVLLNNLD-UHFFFAOYSA-N 1 2 309.797 1.617 20 30 DDEDLO Cc1ccnc(C[N@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC000981671857 696868870 /nfs/dbraw/zinc/86/88/70/696868870.db2.gz KVKLOJFEMNDBMX-CYBMUJFWSA-N 1 2 313.405 1.369 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC000981671857 696868874 /nfs/dbraw/zinc/86/88/74/696868874.db2.gz KVKLOJFEMNDBMX-CYBMUJFWSA-N 1 2 313.405 1.369 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)c3cn[nH]c3)CC2)s1 ZINC000980757138 696877896 /nfs/dbraw/zinc/87/78/96/696877896.db2.gz QWCJBOCMWRZYLB-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)c3cn[nH]c3)CC2)s1 ZINC000980757138 696877900 /nfs/dbraw/zinc/87/79/00/696877900.db2.gz QWCJBOCMWRZYLB-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2c(F)ccc(F)c2OC)CC1 ZINC000981737552 696897636 /nfs/dbraw/zinc/89/76/36/696897636.db2.gz DTWNVTFPNMJBJI-UHFFFAOYSA-N 1 2 308.328 1.755 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2c(F)ccc(F)c2OC)CC1 ZINC000981737552 696897640 /nfs/dbraw/zinc/89/76/40/696897640.db2.gz DTWNVTFPNMJBJI-UHFFFAOYSA-N 1 2 308.328 1.755 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CCC[N@H+](Cc1cnns1)C2 ZINC000981758678 696905263 /nfs/dbraw/zinc/90/52/63/696905263.db2.gz ZGXRCWJOBZHHHN-UHFFFAOYSA-N 1 2 316.430 1.376 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CCC[N@@H+](Cc1cnns1)C2 ZINC000981758678 696905265 /nfs/dbraw/zinc/90/52/65/696905265.db2.gz ZGXRCWJOBZHHHN-UHFFFAOYSA-N 1 2 316.430 1.376 20 30 DDEDLO Cc1nocc1C[N@@H+]1CCCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000980917575 696943778 /nfs/dbraw/zinc/94/37/78/696943778.db2.gz QVXMQONJXRQGEM-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO Cc1nocc1C[N@H+]1CCCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000980917575 696943779 /nfs/dbraw/zinc/94/37/79/696943779.db2.gz QVXMQONJXRQGEM-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@@H+](Cc3cncn3C)CC2)c1 ZINC000981922890 696970115 /nfs/dbraw/zinc/97/01/15/696970115.db2.gz CGHAOIDOGWHJTA-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@H+](Cc3cncn3C)CC2)c1 ZINC000981922890 696970117 /nfs/dbraw/zinc/97/01/17/696970117.db2.gz CGHAOIDOGWHJTA-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCCN(Cc3c[nH+]cn3C)CC2)c1 ZINC000981922890 696970119 /nfs/dbraw/zinc/97/01/19/696970119.db2.gz CGHAOIDOGWHJTA-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO Cn1nncc1C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000981071943 696996356 /nfs/dbraw/zinc/99/63/56/696996356.db2.gz XVQJVYUGNCGFIE-UHFFFAOYSA-N 1 2 323.400 1.015 20 30 DDEDLO Cn1nncc1C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000981071943 696996357 /nfs/dbraw/zinc/99/63/57/696996357.db2.gz XVQJVYUGNCGFIE-UHFFFAOYSA-N 1 2 323.400 1.015 20 30 DDEDLO C#CC[N@H+]1CCCC2(CN(C(=O)c3cc(-c4ccoc4)[nH]n3)C2)C1 ZINC000982149074 697043334 /nfs/dbraw/zinc/04/33/34/697043334.db2.gz JDPBVRYABQPLMC-UHFFFAOYSA-N 1 2 324.384 1.841 20 30 DDEDLO C#CC[N@@H+]1CCCC2(CN(C(=O)c3cc(-c4ccoc4)[nH]n3)C2)C1 ZINC000982149074 697043335 /nfs/dbraw/zinc/04/33/35/697043335.db2.gz JDPBVRYABQPLMC-UHFFFAOYSA-N 1 2 324.384 1.841 20 30 DDEDLO C[C@]12CN(C(=O)C#CC3CC3)C[C@@]1(C)C[N@H+](Cc1ccon1)C2 ZINC000982278680 697082277 /nfs/dbraw/zinc/08/22/77/697082277.db2.gz OIZJWPVGNOYADG-HDICACEKSA-N 1 2 313.401 1.758 20 30 DDEDLO C[C@]12CN(C(=O)C#CC3CC3)C[C@@]1(C)C[N@@H+](Cc1ccon1)C2 ZINC000982278680 697082278 /nfs/dbraw/zinc/08/22/78/697082278.db2.gz OIZJWPVGNOYADG-HDICACEKSA-N 1 2 313.401 1.758 20 30 DDEDLO Cc1ccc(C(=O)N(C)[C@H](C)C[NH+]2CCOCC2)cc1C#N ZINC000799377234 700139130 /nfs/dbraw/zinc/13/91/30/700139130.db2.gz JCIHIYXAWHHOCV-CQSZACIVSA-N 1 2 301.390 1.659 20 30 DDEDLO COC(=O)c1ccc(N[NH2+]C2=CC(=O)N3CCC[C@@H]23)c(OC)c1 ZINC000799482356 700144068 /nfs/dbraw/zinc/14/40/68/700144068.db2.gz LKGKICWTEILSJT-ZDUSSCGKSA-N 1 2 317.345 1.644 20 30 DDEDLO C#CCSCCNC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000173881161 697381480 /nfs/dbraw/zinc/38/14/80/697381480.db2.gz BSIGTOJBSTVSMR-HNNXBMFYSA-N 1 2 312.479 1.606 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC([N@H+](C)[C@H](C)c2csnn2)CC1 ZINC000985339674 697494228 /nfs/dbraw/zinc/49/42/28/697494228.db2.gz YFRZFBQPNMPOBH-GHMZBOCLSA-N 1 2 307.423 1.682 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC([N@@H+](C)[C@H](C)c2csnn2)CC1 ZINC000985339674 697494229 /nfs/dbraw/zinc/49/42/29/697494229.db2.gz YFRZFBQPNMPOBH-GHMZBOCLSA-N 1 2 307.423 1.682 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC([N@H+](C)Cc2ncnn2C)CC1 ZINC000985619524 697543863 /nfs/dbraw/zinc/54/38/63/697543863.db2.gz RWVSDKKXAVVRIH-KRWDZBQOSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC([N@@H+](C)Cc2ncnn2C)CC1 ZINC000985619524 697543864 /nfs/dbraw/zinc/54/38/64/697543864.db2.gz RWVSDKKXAVVRIH-KRWDZBQOSA-N 1 2 319.453 1.840 20 30 DDEDLO C=CCCN(C[C@@H](O)C[NH+]1CCOCC1)OCc1ccccc1 ZINC000187377161 697544236 /nfs/dbraw/zinc/54/42/36/697544236.db2.gz HLSHZRVULDIENF-SFHVURJKSA-N 1 2 320.433 1.689 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCCOCC(F)F)cc1OC ZINC000189261427 697573918 /nfs/dbraw/zinc/57/39/18/697573918.db2.gz GLTPWTJPRHWSGC-UHFFFAOYSA-N 1 2 318.320 1.817 20 30 DDEDLO CC(C)C[N@@H+]1CCO[C@@H](CNC=C2C(=O)CC(C)(C)CC2=O)C1 ZINC000194964485 697726245 /nfs/dbraw/zinc/72/62/45/697726245.db2.gz CITCSZRXMSISOV-AWEZNQCLSA-N 1 2 322.449 1.775 20 30 DDEDLO CC(C)C[N@H+]1CCO[C@@H](CNC=C2C(=O)CC(C)(C)CC2=O)C1 ZINC000194964485 697726250 /nfs/dbraw/zinc/72/62/50/697726250.db2.gz CITCSZRXMSISOV-AWEZNQCLSA-N 1 2 322.449 1.775 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[NH+](CC(C)(C)O)CC1 ZINC000195284887 697737663 /nfs/dbraw/zinc/73/76/63/697737663.db2.gz NGXWUANIRJDBTF-UHFFFAOYSA-N 1 2 320.499 1.675 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@H+]2CC(=O)N(C)[C@@H](C)C2)c1O ZINC000799957082 700177609 /nfs/dbraw/zinc/17/76/09/700177609.db2.gz ZKZLSXIMEGNFGM-LBPRGKRZSA-N 1 2 304.390 1.792 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@@H+]2CC(=O)N(C)[C@@H](C)C2)c1O ZINC000799957082 700177615 /nfs/dbraw/zinc/17/76/15/700177615.db2.gz ZKZLSXIMEGNFGM-LBPRGKRZSA-N 1 2 304.390 1.792 20 30 DDEDLO C#C[C@H]([NH2+]Cc1cc(=O)n2c(C)csc2n1)[C@@H]1CCCO1 ZINC000775791284 698034213 /nfs/dbraw/zinc/03/42/13/698034213.db2.gz SLMOJIZYFARILE-STQMWFEESA-N 1 2 303.387 1.335 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1cc(=O)n2c(C)csc2n1)[C@@H]1CCCO1 ZINC000775791280 698034218 /nfs/dbraw/zinc/03/42/18/698034218.db2.gz SLMOJIZYFARILE-OLZOCXBDSA-N 1 2 303.387 1.335 20 30 DDEDLO CC(C)Cn1ncnc1CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000778594191 698351441 /nfs/dbraw/zinc/35/14/41/698351441.db2.gz BDNMNASVPLKMII-UHFFFAOYSA-N 1 2 315.377 1.706 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@@H](CNC(=O)OC(C)(C)C)C[C@H]1C ZINC000800364961 700218461 /nfs/dbraw/zinc/21/84/61/700218461.db2.gz RCDDICZXEJKFKT-JHJVBQTASA-N 1 2 312.410 1.949 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@@H](CNC(=O)OC(C)(C)C)C[C@H]1C ZINC000800364961 700218466 /nfs/dbraw/zinc/21/84/66/700218466.db2.gz RCDDICZXEJKFKT-JHJVBQTASA-N 1 2 312.410 1.949 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2C[C@H](C)N(C(=O)C#CC3CC3)C2)n1 ZINC000988809881 698473114 /nfs/dbraw/zinc/47/31/14/698473114.db2.gz FSLOIVGGBUJOSU-AAEUAGOBSA-N 1 2 302.378 1.124 20 30 DDEDLO C#CC[N@H+](C[C@@H]1CCc2ccccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000780303924 698505201 /nfs/dbraw/zinc/50/52/01/698505201.db2.gz OPWSAZLRJKLNAK-JKSUJKDBSA-N 1 2 303.427 1.839 20 30 DDEDLO C#CC[N@@H+](C[C@@H]1CCc2ccccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000780303924 698505202 /nfs/dbraw/zinc/50/52/02/698505202.db2.gz OPWSAZLRJKLNAK-JKSUJKDBSA-N 1 2 303.427 1.839 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[N@@H+](C)[C@@H](Cc2ccccc2)C1 ZINC000289239721 698567348 /nfs/dbraw/zinc/56/73/48/698567348.db2.gz SNCOZOQRWNICRS-INIZCTEOSA-N 1 2 306.431 1.198 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[N@H+](C)[C@@H](Cc2ccccc2)C1 ZINC000289239721 698567350 /nfs/dbraw/zinc/56/73/50/698567350.db2.gz SNCOZOQRWNICRS-INIZCTEOSA-N 1 2 306.431 1.198 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N(C)C[C@@H](C)C#N)c(N(C)C)[nH+]1 ZINC000783426687 698841184 /nfs/dbraw/zinc/84/11/84/698841184.db2.gz JQQBLLZBNOYPBW-JTQLQIEISA-N 1 2 303.366 1.013 20 30 DDEDLO CC[C@@H](CC#N)NC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000784195961 698915316 /nfs/dbraw/zinc/91/53/16/698915316.db2.gz OSNMQNUVBQOLNC-NSHDSACASA-N 1 2 303.366 1.203 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000425566069 699152149 /nfs/dbraw/zinc/15/21/49/699152149.db2.gz PQXOOTAJFJEMHU-ZNMIVQPWSA-N 1 2 318.421 1.900 20 30 DDEDLO N#Cc1cccc(OCC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)c1 ZINC000728538757 699448523 /nfs/dbraw/zinc/44/85/23/699448523.db2.gz PFESSZLMIYBMCL-HNNXBMFYSA-N 1 2 310.357 1.997 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(C)nc2OCCC)CC1 ZINC000790552112 699466479 /nfs/dbraw/zinc/46/64/79/699466479.db2.gz TYNHGGGAVJGQPN-UHFFFAOYSA-N 1 2 315.417 1.960 20 30 DDEDLO C[C@@H]1CC(=O)N(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793606508 699767232 /nfs/dbraw/zinc/76/72/32/699767232.db2.gz IVSSRZYRTXLHOL-OCCSQVGLSA-N 1 2 300.362 1.232 20 30 DDEDLO C[C@@H]1CC(=O)N(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793606508 699767233 /nfs/dbraw/zinc/76/72/33/699767233.db2.gz IVSSRZYRTXLHOL-OCCSQVGLSA-N 1 2 300.362 1.232 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@@H+]2CCO[C@H](C)C2)cc1 ZINC000741685331 699847281 /nfs/dbraw/zinc/84/72/81/699847281.db2.gz SINGUPJUQNIAMH-CQSZACIVSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@H+]2CCO[C@H](C)C2)cc1 ZINC000741685331 699847282 /nfs/dbraw/zinc/84/72/82/699847282.db2.gz SINGUPJUQNIAMH-CQSZACIVSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(-c3ccncc3)on2)CC1 ZINC000744364629 699946625 /nfs/dbraw/zinc/94/66/25/699946625.db2.gz UDWHGRYBPFSENA-UHFFFAOYSA-N 1 2 310.357 1.518 20 30 DDEDLO N#CCCN1CC[NH+](C[C@H]2CN(c3ccccc3)C(=O)O2)CC1 ZINC000760943932 700830520 /nfs/dbraw/zinc/83/05/20/700830520.db2.gz NKKLKYPHBHFODZ-INIZCTEOSA-N 1 2 314.389 1.543 20 30 DDEDLO COCC#CCOC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000762834773 700909542 /nfs/dbraw/zinc/90/95/42/700909542.db2.gz QOABTBVBQHBTDP-INIZCTEOSA-N 1 2 303.358 1.080 20 30 DDEDLO COCC#CCOC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000762834773 700909543 /nfs/dbraw/zinc/90/95/43/700909543.db2.gz QOABTBVBQHBTDP-INIZCTEOSA-N 1 2 303.358 1.080 20 30 DDEDLO COC[C@@H]1CCC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766617989 701057001 /nfs/dbraw/zinc/05/70/01/701057001.db2.gz BTPNWZSLCSHMHY-CYBMUJFWSA-N 1 2 301.390 1.525 20 30 DDEDLO COC[C@@H]1CCC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766617989 701057003 /nfs/dbraw/zinc/05/70/03/701057003.db2.gz BTPNWZSLCSHMHY-CYBMUJFWSA-N 1 2 301.390 1.525 20 30 DDEDLO COCC1(C)CC[NH+](Cn2cc3c(c(C#N)c2=O)CCC3)CC1 ZINC000766631312 701057487 /nfs/dbraw/zinc/05/74/87/701057487.db2.gz FDXDRXOAIISOMK-UHFFFAOYSA-N 1 2 315.417 1.915 20 30 DDEDLO C#CCOc1ccc(CN2CC[NH+](CC[S@](C)=O)CC2)cc1 ZINC000769479372 701246728 /nfs/dbraw/zinc/24/67/28/701246728.db2.gz HZWLAFJBPRZMKA-QFIPXVFZSA-N 1 2 320.458 1.195 20 30 DDEDLO Cc1nn2c([nH]c3ccccc3c2=O)c1C=[NH+]N[C@@H](C)CC#N ZINC000771880642 701335406 /nfs/dbraw/zinc/33/54/06/701335406.db2.gz LWXCPVXZMRGSAO-JTQLQIEISA-N 1 2 308.345 1.710 20 30 DDEDLO Cc1nn(C)c(N)c1C=[NH+]Nc1ccnc(Br)c1 ZINC000814904567 701767950 /nfs/dbraw/zinc/76/79/50/701767950.db2.gz OWEZCWXOCGZWAD-UHFFFAOYSA-N 1 2 309.171 1.914 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CCCC[C@@H]2[C@@H]2CCC[C@@H]2O)c1=S ZINC000840114449 701975186 /nfs/dbraw/zinc/97/51/86/701975186.db2.gz ILXCCBNDHQCCTM-MJBXVCDLSA-N 1 2 323.466 1.968 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CCCC[C@@H]2[C@@H]2CCC[C@@H]2O)c1=S ZINC000840114449 701975190 /nfs/dbraw/zinc/97/51/90/701975190.db2.gz ILXCCBNDHQCCTM-MJBXVCDLSA-N 1 2 323.466 1.968 20 30 DDEDLO CC#CCNC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000868455034 702139578 /nfs/dbraw/zinc/13/95/78/702139578.db2.gz LNSJOZFAGPHVKN-UHFFFAOYSA-N 1 2 309.410 1.115 20 30 DDEDLO C#C[C@H](CC)NC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000868538068 702185281 /nfs/dbraw/zinc/18/52/81/702185281.db2.gz MXTKKTSIOYZXLZ-CYBMUJFWSA-N 1 2 323.437 1.504 20 30 DDEDLO COc1cc(C(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)ccc1C#N ZINC000831204927 706656682 /nfs/dbraw/zinc/65/66/82/706656682.db2.gz MZFAOQOTMKTACW-CQSZACIVSA-N 1 2 310.357 1.930 20 30 DDEDLO CCNC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2cccc(C#N)c2O)C1 ZINC000866306866 706670413 /nfs/dbraw/zinc/67/04/13/706670413.db2.gz WNYLHELURPUOJU-WCQYABFASA-N 1 2 302.378 1.718 20 30 DDEDLO COCCO[C@@H]1COCC[C@H]1[NH2+][C@H](C)c1cccc(C#N)c1O ZINC000866343528 706677910 /nfs/dbraw/zinc/67/79/10/706677910.db2.gz NOGNLNQRGDDQDI-DAXOMENPSA-N 1 2 320.389 1.735 20 30 DDEDLO CN(C)c1nc(N)nc(C[N@H+](C)CCc2cccc(C#N)c2)n1 ZINC000879433922 706678819 /nfs/dbraw/zinc/67/88/19/706678819.db2.gz JUYQUVISSFBWEC-UHFFFAOYSA-N 1 2 311.393 1.066 20 30 DDEDLO CN(C)c1nc(N)nc(C[N@@H+](C)CCc2cccc(C#N)c2)n1 ZINC000879433922 706678822 /nfs/dbraw/zinc/67/88/22/706678822.db2.gz JUYQUVISSFBWEC-UHFFFAOYSA-N 1 2 311.393 1.066 20 30 DDEDLO CCc1cc(=O)[nH]c(C[N@H+](C)C[C@H](O)c2cccc(C#N)c2)n1 ZINC000844367227 703020452 /nfs/dbraw/zinc/02/04/52/703020452.db2.gz STQJUECBEDOZCP-HNNXBMFYSA-N 1 2 312.373 1.782 20 30 DDEDLO CCc1cc(=O)[nH]c(C[N@@H+](C)C[C@H](O)c2cccc(C#N)c2)n1 ZINC000844367227 703020455 /nfs/dbraw/zinc/02/04/55/703020455.db2.gz STQJUECBEDOZCP-HNNXBMFYSA-N 1 2 312.373 1.782 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCCC[C@@H]2CN2CCCC2=O)nn1 ZINC000846803180 703333770 /nfs/dbraw/zinc/33/37/70/703333770.db2.gz BFLOMIISLDCQMD-MRXNPFEDSA-N 1 2 317.437 1.831 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCCC[C@@H]2CN2CCCC2=O)nn1 ZINC000846803180 703333771 /nfs/dbraw/zinc/33/37/71/703333771.db2.gz BFLOMIISLDCQMD-MRXNPFEDSA-N 1 2 317.437 1.831 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC000847028004 703368281 /nfs/dbraw/zinc/36/82/81/703368281.db2.gz FKCPSJJUTNHMOJ-ZDUSSCGKSA-N 1 2 300.358 1.297 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC000847028004 703368283 /nfs/dbraw/zinc/36/82/83/703368283.db2.gz FKCPSJJUTNHMOJ-ZDUSSCGKSA-N 1 2 300.358 1.297 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](Cn2nc(N3CCOCC3)n(C)c2=S)C1 ZINC000848494591 703557578 /nfs/dbraw/zinc/55/75/78/703557578.db2.gz YCKDVUALLGKIDK-ZDUSSCGKSA-N 1 2 321.450 1.090 20 30 DDEDLO C#C[C@H]1CCC[N@H+](Cn2nc(N3CCOCC3)n(C)c2=S)C1 ZINC000848494591 703557580 /nfs/dbraw/zinc/55/75/80/703557580.db2.gz YCKDVUALLGKIDK-ZDUSSCGKSA-N 1 2 321.450 1.090 20 30 DDEDLO Cn1c(Cl)ncc1C[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000848912535 703602723 /nfs/dbraw/zinc/60/27/23/703602723.db2.gz HRDPJOHSPNDCRN-ZDUSSCGKSA-N 1 2 317.780 1.993 20 30 DDEDLO Cn1c(Cl)ncc1C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000848912535 703602724 /nfs/dbraw/zinc/60/27/24/703602724.db2.gz HRDPJOHSPNDCRN-ZDUSSCGKSA-N 1 2 317.780 1.993 20 30 DDEDLO C=C(Cl)C[C@H](NC(=O)CCCn1cc[nH+]c1)C(=O)OCC ZINC000870030514 703897300 /nfs/dbraw/zinc/89/73/00/703897300.db2.gz UORKTJDENMQOGA-LBPRGKRZSA-N 1 2 313.785 1.854 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[NH2+][C@H]2CCn3ccnc32)c1 ZINC000819336966 704109719 /nfs/dbraw/zinc/10/97/19/704109719.db2.gz KKBGPTHVVAXSMT-AWEZNQCLSA-N 1 2 316.386 1.263 20 30 DDEDLO CC1(C)C(=O)N(C[N@@H+]2CCC[C@H](CC#N)C2)[C@@H]1[C@@H]1CCCO1 ZINC000853525101 704259878 /nfs/dbraw/zinc/25/98/78/704259878.db2.gz SMFFKQNOFLZQDO-QLFBSQMISA-N 1 2 305.422 1.986 20 30 DDEDLO CC1(C)C(=O)N(C[N@H+]2CCC[C@H](CC#N)C2)[C@@H]1[C@@H]1CCCO1 ZINC000853525101 704259879 /nfs/dbraw/zinc/25/98/79/704259879.db2.gz SMFFKQNOFLZQDO-QLFBSQMISA-N 1 2 305.422 1.986 20 30 DDEDLO C=CC[C@H]1CCC[N@@H+](Cn2nc(C(=O)OC)cc2C(=O)OC)C1 ZINC000853537284 704262536 /nfs/dbraw/zinc/26/25/36/704262536.db2.gz UCHFTCOZDJITOO-LBPRGKRZSA-N 1 2 321.377 1.702 20 30 DDEDLO C=CC[C@H]1CCC[N@H+](Cn2nc(C(=O)OC)cc2C(=O)OC)C1 ZINC000853537284 704262537 /nfs/dbraw/zinc/26/25/37/704262537.db2.gz UCHFTCOZDJITOO-LBPRGKRZSA-N 1 2 321.377 1.702 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C[C@@H](Cc2ccccc2)OC1=O ZINC000853621169 704288097 /nfs/dbraw/zinc/28/80/97/704288097.db2.gz SAMSPZBYTPQJSN-QGZVFWFLSA-N 1 2 316.401 1.979 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C[C@@H](Cc2ccccc2)OC1=O ZINC000853621169 704288099 /nfs/dbraw/zinc/28/80/99/704288099.db2.gz SAMSPZBYTPQJSN-QGZVFWFLSA-N 1 2 316.401 1.979 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000871543566 704311900 /nfs/dbraw/zinc/31/19/00/704311900.db2.gz OMCWAISXTCKQRB-QGZVFWFLSA-N 1 2 323.437 1.849 20 30 DDEDLO N#CC1(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CCSCC1 ZINC000821703799 704431163 /nfs/dbraw/zinc/43/11/63/704431163.db2.gz KJVOEHMVTWZTNW-BETUJISGSA-N 1 2 309.435 1.003 20 30 DDEDLO N#CC1(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CCSCC1 ZINC000821703799 704431165 /nfs/dbraw/zinc/43/11/65/704431165.db2.gz KJVOEHMVTWZTNW-BETUJISGSA-N 1 2 309.435 1.003 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000854726275 704444641 /nfs/dbraw/zinc/44/46/41/704444641.db2.gz AXOGSGOCDVHHIW-DOTOQJQBSA-N 1 2 310.438 1.538 20 30 DDEDLO Cn1nccc1[C@@H](O)C1CC[NH+](Cc2ccncc2C#N)CC1 ZINC000856798079 704539245 /nfs/dbraw/zinc/53/92/45/704539245.db2.gz NXCSSIRULLSLMS-KRWDZBQOSA-N 1 2 311.389 1.632 20 30 DDEDLO O=C(C#Cc1ccc(C(F)(F)F)cc1)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000857887945 704638327 /nfs/dbraw/zinc/63/83/27/704638327.db2.gz WZNJTUQBRKVJMC-CYBMUJFWSA-N 1 2 319.286 1.995 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC([NH2+][C@H]3C[C@H](C(=O)[O-])C3)CC2)cc1 ZINC000874053528 704927691 /nfs/dbraw/zinc/92/76/91/704927691.db2.gz FGAIELUZNHQTDQ-JCNLHEQBSA-N 1 2 313.401 1.975 20 30 DDEDLO C=CC(C)(C)CCCNC(=O)C(=O)NCCCn1cc[nH+]c1 ZINC000874977147 705244104 /nfs/dbraw/zinc/24/41/04/705244104.db2.gz RJUPHTZLCSASDQ-UHFFFAOYSA-N 1 2 306.410 1.498 20 30 DDEDLO N#CCOc1ccc(CNC(=O)N[C@@H]2Cc3c[nH+]cn3C2)cc1 ZINC000875220230 705319320 /nfs/dbraw/zinc/31/93/20/705319320.db2.gz UXCZJUUUMPEPTO-CYBMUJFWSA-N 1 2 311.345 1.210 20 30 DDEDLO N#CCc1ccnc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC000871725718 707177789 /nfs/dbraw/zinc/17/77/89/707177789.db2.gz JUQSHHXTHGSNAC-AWEZNQCLSA-N 1 2 315.377 1.086 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](C)c1nc(C(F)(F)F)no1 ZINC000862029812 705638079 /nfs/dbraw/zinc/63/80/79/705638079.db2.gz MBNNSQGQPGGFIY-BDAKNGLRSA-N 1 2 316.283 1.363 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)c1nc(C(F)(F)F)no1 ZINC000862029812 705638083 /nfs/dbraw/zinc/63/80/83/705638083.db2.gz MBNNSQGQPGGFIY-BDAKNGLRSA-N 1 2 316.283 1.363 20 30 DDEDLO COC(=O)C[C@@H](C)[NH+]1CCN(C(=O)C#Cc2cccs2)CC1 ZINC000826746420 705863393 /nfs/dbraw/zinc/86/33/93/705863393.db2.gz AEICRUFTMUMPDI-CYBMUJFWSA-N 1 2 320.414 1.195 20 30 DDEDLO C[NH+]1CCN(C2CCN(C(=O)C3(C#N)CCCCC3)CC2)CC1 ZINC000827463152 706007195 /nfs/dbraw/zinc/00/71/95/706007195.db2.gz XULZQDSGYGOWPF-UHFFFAOYSA-N 1 2 318.465 1.699 20 30 DDEDLO N#C[C@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000864685139 706232946 /nfs/dbraw/zinc/23/29/46/706232946.db2.gz FXJFJFQHHVDFHT-YLSAJCSVSA-N 1 2 303.337 1.395 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@@H+](C)[C@@H]1CCN(C)C1=O)c1ccccc1 ZINC000878120466 706299864 /nfs/dbraw/zinc/29/98/64/706299864.db2.gz LPWUMKFWYARBPQ-SJLPKXTDSA-N 1 2 313.401 1.590 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@H+](C)[C@@H]1CCN(C)C1=O)c1ccccc1 ZINC000878120466 706299866 /nfs/dbraw/zinc/29/98/66/706299866.db2.gz LPWUMKFWYARBPQ-SJLPKXTDSA-N 1 2 313.401 1.590 20 30 DDEDLO C=CC[N@@H+](C[C@@H]1CCC(C)(C)CO1)[C@@H]1CCS(=O)(=O)C1 ZINC000878242346 706336295 /nfs/dbraw/zinc/33/62/95/706336295.db2.gz PKGYLJPQFVUMAN-KGLIPLIRSA-N 1 2 301.452 1.867 20 30 DDEDLO C=CC[N@H+](C[C@@H]1CCC(C)(C)CO1)[C@@H]1CCS(=O)(=O)C1 ZINC000878242346 706336296 /nfs/dbraw/zinc/33/62/96/706336296.db2.gz PKGYLJPQFVUMAN-KGLIPLIRSA-N 1 2 301.452 1.867 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)[C@H]1CCn3c[nH+]cc3C1)C2 ZINC000829496844 706345434 /nfs/dbraw/zinc/34/54/34/706345434.db2.gz BEVSNUUTPDWTHX-HOCLYGCPSA-N 1 2 306.369 1.601 20 30 DDEDLO N#Cc1cccc(NC(=O)C[NH+]2CCC([C@@H](F)C(N)=O)CC2)c1 ZINC000879046225 706571591 /nfs/dbraw/zinc/57/15/91/706571591.db2.gz SPEDJGMMMXMXOS-OAHLLOKOSA-N 1 2 318.352 1.032 20 30 DDEDLO CC(C)(C#N)c1ccccc1C[N@@H+]1CCC(=O)NCC12COC2 ZINC000880399214 706964527 /nfs/dbraw/zinc/96/45/27/706964527.db2.gz DUIRWANMVNPKNY-UHFFFAOYSA-N 1 2 313.401 1.579 20 30 DDEDLO CC(C)(C#N)c1ccccc1C[N@H+]1CCC(=O)NCC12COC2 ZINC000880399214 706964529 /nfs/dbraw/zinc/96/45/29/706964529.db2.gz DUIRWANMVNPKNY-UHFFFAOYSA-N 1 2 313.401 1.579 20 30 DDEDLO CCO[C@@H]1CC(=NNC2=[NH+][C@H]3CCCC[C@@H]3N2)[C@H]1n1cccn1 ZINC000834966871 707153316 /nfs/dbraw/zinc/15/33/16/707153316.db2.gz UZDNBIAPVWMTKI-DDHJSBNISA-N 1 2 316.409 1.449 20 30 DDEDLO C#CCSCCNS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000881926176 707428231 /nfs/dbraw/zinc/42/82/31/707428231.db2.gz PITCTJQDUSJCJG-UHFFFAOYSA-N 1 2 324.471 1.319 20 30 DDEDLO C#CCSCCNS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000881926176 707428232 /nfs/dbraw/zinc/42/82/32/707428232.db2.gz PITCTJQDUSJCJG-UHFFFAOYSA-N 1 2 324.471 1.319 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000838720881 707969511 /nfs/dbraw/zinc/96/95/11/707969511.db2.gz SMURKSUFUXLTCE-CALCHBBNSA-N 1 2 313.401 1.330 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000838720881 707969512 /nfs/dbraw/zinc/96/95/12/707969512.db2.gz SMURKSUFUXLTCE-CALCHBBNSA-N 1 2 313.401 1.330 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2cc(C(=O)OC)c(C)n2C)nn1 ZINC000883343639 707980188 /nfs/dbraw/zinc/98/01/88/707980188.db2.gz UKBBIWFUBQSRLC-UHFFFAOYSA-N 1 2 317.393 1.578 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H]1Cc1ccccc1 ZINC000884066018 708124180 /nfs/dbraw/zinc/12/41/80/708124180.db2.gz IKXGURGKLWQRMO-HOTGVXAUSA-N 1 2 316.401 1.667 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CC[C@H]([C@H](C)O)C3)nc[nH+]2)C1 ZINC000884595924 708335565 /nfs/dbraw/zinc/33/55/65/708335565.db2.gz QNXTUVIYJZMXNN-ZNMIVQPWSA-N 1 2 300.406 1.533 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CC[C@H]([C@H](C)O)C3)[nH+]cn2)C1 ZINC000884595924 708335569 /nfs/dbraw/zinc/33/55/69/708335569.db2.gz QNXTUVIYJZMXNN-ZNMIVQPWSA-N 1 2 300.406 1.533 20 30 DDEDLO N#CCCc1ccc(S(=O)(=O)NCCCn2cc[nH+]c2)cc1 ZINC000884872163 708402704 /nfs/dbraw/zinc/40/27/04/708402704.db2.gz IBHLAZRIUPITLY-UHFFFAOYSA-N 1 2 318.402 1.708 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1 ZINC000898006940 708518078 /nfs/dbraw/zinc/51/80/78/708518078.db2.gz IHLNOZSVUWZMPF-GLQYFDAESA-N 1 2 304.394 1.497 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1 ZINC000898006940 708518079 /nfs/dbraw/zinc/51/80/79/708518079.db2.gz IHLNOZSVUWZMPF-GLQYFDAESA-N 1 2 304.394 1.497 20 30 DDEDLO C[C@@]1(C#N)CN(C(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)CCO1 ZINC000887690839 709104464 /nfs/dbraw/zinc/10/44/64/709104464.db2.gz UZWYNZKZTTYEJG-ZYHUDNBSSA-N 1 2 316.283 1.518 20 30 DDEDLO O=C(c1cccc(C#CCO)c1)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000900564518 709652941 /nfs/dbraw/zinc/65/29/41/709652941.db2.gz YETUSWIYMSWIFY-QGZVFWFLSA-N 1 2 309.369 1.704 20 30 DDEDLO C=CCOC[C@@H]([NH2+]C1CCN(c2cccc[nH+]2)CC1)C(=O)[O-] ZINC000901810175 710153276 /nfs/dbraw/zinc/15/32/76/710153276.db2.gz XGUKICWQRPWBKG-CQSZACIVSA-N 1 2 305.378 1.296 20 30 DDEDLO O=C(NCC#C[C@H]1CCCCO1)NCCCCn1cc[nH+]c1 ZINC000892225696 710398631 /nfs/dbraw/zinc/39/86/31/710398631.db2.gz CFNRUPWIKGFCEI-OAHLLOKOSA-N 1 2 304.394 1.535 20 30 DDEDLO CCc1cc(NCCNC(=O)C2N=CC=CC2=O)nc(CC)[nH+]1 ZINC000892466174 710442037 /nfs/dbraw/zinc/44/20/37/710442037.db2.gz KRGKVRQRAYLSRN-NXVVXOECSA-N 1 2 315.377 1.540 20 30 DDEDLO COCC[C@@H]1CCN(C[N@H+](C)Cc2cccc(C#N)c2)C1=O ZINC000902048817 710595319 /nfs/dbraw/zinc/59/53/19/710595319.db2.gz JFHPQHSAZKNHFI-INIZCTEOSA-N 1 2 301.390 1.833 20 30 DDEDLO COCC[C@@H]1CCN(C[N@@H+](C)Cc2cccc(C#N)c2)C1=O ZINC000902048817 710595322 /nfs/dbraw/zinc/59/53/22/710595322.db2.gz JFHPQHSAZKNHFI-INIZCTEOSA-N 1 2 301.390 1.833 20 30 DDEDLO N#CC1(CC(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)CCCCC1 ZINC000913458667 713224828 /nfs/dbraw/zinc/22/48/28/713224828.db2.gz RZKOUPBXRZKUHC-AWEZNQCLSA-N 1 2 301.394 1.747 20 30 DDEDLO N#Cc1cc(NC2CC([NH+]3CCOCC3)C2)c([N+](=O)[O-])s1 ZINC000894356185 711084711 /nfs/dbraw/zinc/08/47/11/711084711.db2.gz DRDJXEUSERCKLO-UHFFFAOYSA-N 1 2 308.363 1.803 20 30 DDEDLO Cc1ncoc1C[NH+]1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000894538080 711170301 /nfs/dbraw/zinc/17/03/01/711170301.db2.gz GYSKEOXVPGVPEC-UHFFFAOYSA-N 1 2 300.366 1.219 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(F)(F)c1ccccc1C#N ZINC000913532396 713247114 /nfs/dbraw/zinc/24/71/14/713247114.db2.gz NLLPDIBBICKTNP-BETUJISGSA-N 1 2 305.328 1.955 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(F)(F)c1ccccc1C#N ZINC000913532396 713247116 /nfs/dbraw/zinc/24/71/16/713247116.db2.gz NLLPDIBBICKTNP-BETUJISGSA-N 1 2 305.328 1.955 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)N(O)Cc2cccc3ccccc32)C1 ZINC000904171707 711399568 /nfs/dbraw/zinc/39/95/68/711399568.db2.gz RTFFFBDBLAWGEE-MRXNPFEDSA-N 1 2 300.358 1.888 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)N(O)Cc2cccc3ccccc32)C1 ZINC000904171707 711399574 /nfs/dbraw/zinc/39/95/74/711399574.db2.gz RTFFFBDBLAWGEE-MRXNPFEDSA-N 1 2 300.358 1.888 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2cn(-c3ccc(F)cc3)nn2)CC1 ZINC000895385801 711500091 /nfs/dbraw/zinc/50/00/91/711500091.db2.gz LKKOXSVNWHBCRI-UHFFFAOYSA-N 1 2 300.337 1.367 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)Nc1ccc(C(=O)OC)nc1C#N ZINC000895802206 711610043 /nfs/dbraw/zinc/61/00/43/711610043.db2.gz OYVBUGLHVBRJMZ-LBPRGKRZSA-N 1 2 318.377 1.263 20 30 DDEDLO Cc1c(C(=O)N2CCC[C@H](n3cc[nH+]c3)C2)cnn1CCC#N ZINC000896153556 711683391 /nfs/dbraw/zinc/68/33/91/711683391.db2.gz VCADSTZFEMTDIE-AWEZNQCLSA-N 1 2 312.377 1.779 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=S)Nc1ccccc1C#N ZINC000905656066 712107960 /nfs/dbraw/zinc/10/79/60/712107960.db2.gz DPADSXJZRYRSQV-AWEZNQCLSA-N 1 2 318.446 1.955 20 30 DDEDLO C=CC[C@@H]([NH2+]CCCN1c2ccccc2OCC1=O)C(=O)OC ZINC000905761291 712140623 /nfs/dbraw/zinc/14/06/23/712140623.db2.gz OEADWNHSMIZHPT-CYBMUJFWSA-N 1 2 318.373 1.509 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@H]2C[C@@H]2c2cccc(F)c2)CC1 ZINC000906590479 712363517 /nfs/dbraw/zinc/36/35/17/712363517.db2.gz KLBBTWJNJFKZDH-SJORKVTESA-N 1 2 315.392 1.049 20 30 DDEDLO COC(=O)C[C@@H](C)[NH+]1CCN(C(=O)c2ccsc2C#N)CC1 ZINC000906878136 712426624 /nfs/dbraw/zinc/42/66/24/712426624.db2.gz DDTWTZCHFXUUNI-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO C#CCCCc1nnc(N(C)CC[NH+]2CCOCC2)n1CC ZINC000908864490 712873112 /nfs/dbraw/zinc/87/31/12/712873112.db2.gz IPTRFWLUVMTGAJ-UHFFFAOYSA-N 1 2 305.426 1.022 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)N[C@H](CC#N)C(F)(F)F)[nH]n1 ZINC000928799341 713482845 /nfs/dbraw/zinc/48/28/45/713482845.db2.gz AZDVYNWSXJSSBY-GHMZBOCLSA-N 1 2 315.299 1.751 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)N[C@H](CC#N)C(F)(F)F)[nH]n1 ZINC000928799341 713482846 /nfs/dbraw/zinc/48/28/46/713482846.db2.gz AZDVYNWSXJSSBY-GHMZBOCLSA-N 1 2 315.299 1.751 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2nn(C)cc2C)C1 ZINC000966077598 717932588 /nfs/dbraw/zinc/93/25/88/717932588.db2.gz PYVCHJLCFAIQEM-GWCFXTLKSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2nn(C)cc2C)C1 ZINC000966077598 717932590 /nfs/dbraw/zinc/93/25/90/717932590.db2.gz PYVCHJLCFAIQEM-GWCFXTLKSA-N 1 2 310.829 1.921 20 30 DDEDLO CCOC(=O)[C@H](CCc1ccccc1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000930819242 713928168 /nfs/dbraw/zinc/92/81/68/713928168.db2.gz VAHYSPRENDRKID-WMZOPIPTSA-N 1 2 316.401 1.901 20 30 DDEDLO CCOC(=O)[C@H](CCc1ccccc1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000930819242 713928170 /nfs/dbraw/zinc/92/81/70/713928170.db2.gz VAHYSPRENDRKID-WMZOPIPTSA-N 1 2 316.401 1.901 20 30 DDEDLO CC(C)C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1cncc(C#N)c1 ZINC000922026749 713941038 /nfs/dbraw/zinc/94/10/38/713941038.db2.gz RVKXRLTWUYPJPT-CQSZACIVSA-N 1 2 322.434 1.304 20 30 DDEDLO CC(C)C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cncc(C#N)c1 ZINC000922026749 713941039 /nfs/dbraw/zinc/94/10/39/713941039.db2.gz RVKXRLTWUYPJPT-CQSZACIVSA-N 1 2 322.434 1.304 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C)C(=O)Nc2nc(C)c(C)s2)CC1 ZINC000931147705 714016315 /nfs/dbraw/zinc/01/63/15/714016315.db2.gz YYBVZWDRYSUTRH-LLVKDONJSA-N 1 2 307.419 1.547 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ncc(Br)cn2)CC1 ZINC000931344371 714073632 /nfs/dbraw/zinc/07/36/32/714073632.db2.gz LFHXLAQQARBKNH-UHFFFAOYSA-N 1 2 323.194 1.020 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1sccc1C#N ZINC000931848034 714197251 /nfs/dbraw/zinc/19/72/51/714197251.db2.gz SSQLNXSZFLBNKA-UHFFFAOYSA-N 1 2 308.407 1.852 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1sccc1C#N ZINC000931848034 714197253 /nfs/dbraw/zinc/19/72/53/714197253.db2.gz SSQLNXSZFLBNKA-UHFFFAOYSA-N 1 2 308.407 1.852 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)no1 ZINC000931953635 714219859 /nfs/dbraw/zinc/21/98/59/714219859.db2.gz QQJNMBPLAFLKNR-IUODEOHRSA-N 1 2 305.382 1.222 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)no1 ZINC000931953635 714219861 /nfs/dbraw/zinc/21/98/61/714219861.db2.gz QQJNMBPLAFLKNR-IUODEOHRSA-N 1 2 305.382 1.222 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[NH2+][C@H](c1ncccn1)C1CC1 ZINC000932363824 714318356 /nfs/dbraw/zinc/31/83/56/714318356.db2.gz KSZIMJMBSYRLGH-JDFRZJQESA-N 1 2 315.421 1.960 20 30 DDEDLO C#CC[C@H](CO)NC(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000923418558 714349670 /nfs/dbraw/zinc/34/96/70/714349670.db2.gz RGCIZCHNKLSZKP-CYBMUJFWSA-N 1 2 319.409 1.004 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCOC[C@@H]1C[C@H]1CNc2ccccc21 ZINC000923548288 714396790 /nfs/dbraw/zinc/39/67/90/714396790.db2.gz RDTNQTXDKDZLJP-GJZGRUSLSA-N 1 2 315.417 1.589 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCOC[C@@H]1C[C@H]1CNc2ccccc21 ZINC000923548288 714396794 /nfs/dbraw/zinc/39/67/94/714396794.db2.gz RDTNQTXDKDZLJP-GJZGRUSLSA-N 1 2 315.417 1.589 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)C1 ZINC000923560991 714404150 /nfs/dbraw/zinc/40/41/50/714404150.db2.gz OOYXFSSROYLWQM-LBPRGKRZSA-N 1 2 314.414 1.966 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)C1 ZINC000923560991 714404151 /nfs/dbraw/zinc/40/41/51/714404151.db2.gz OOYXFSSROYLWQM-LBPRGKRZSA-N 1 2 314.414 1.966 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cccc([S@@](C)=O)c2)C1 ZINC000923566785 714408355 /nfs/dbraw/zinc/40/83/55/714408355.db2.gz SGURDMIRGGGWPO-SPLOXXLWSA-N 1 2 304.415 1.251 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cccc([S@@](C)=O)c2)C1 ZINC000923566785 714408356 /nfs/dbraw/zinc/40/83/56/714408356.db2.gz SGURDMIRGGGWPO-SPLOXXLWSA-N 1 2 304.415 1.251 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@H](CC#N)C(F)(F)F ZINC000932888665 714431075 /nfs/dbraw/zinc/43/10/75/714431075.db2.gz JLVFJIVVTXUKBY-WDEREUQCSA-N 1 2 322.331 1.241 20 30 DDEDLO C=CCC1(O)CCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)CC1 ZINC000924437603 714581950 /nfs/dbraw/zinc/58/19/50/714581950.db2.gz SDFSLVGVEQSRDW-INIZCTEOSA-N 1 2 322.449 1.559 20 30 DDEDLO C=CCC1(O)CCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)CC1 ZINC000924437603 714581952 /nfs/dbraw/zinc/58/19/52/714581952.db2.gz SDFSLVGVEQSRDW-INIZCTEOSA-N 1 2 322.449 1.559 20 30 DDEDLO Cn1nccc1[C@@H]1C[N@H+](CCOc2cccc(C#N)c2)CCO1 ZINC000933530953 714610475 /nfs/dbraw/zinc/61/04/75/714610475.db2.gz XXVISEGCVWHUEH-KRWDZBQOSA-N 1 2 312.373 1.744 20 30 DDEDLO Cn1nccc1[C@@H]1C[N@@H+](CCOc2cccc(C#N)c2)CCO1 ZINC000933530953 714610477 /nfs/dbraw/zinc/61/04/77/714610477.db2.gz XXVISEGCVWHUEH-KRWDZBQOSA-N 1 2 312.373 1.744 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@H+](CC[C@H]3CCOC3=O)CCO2)cc1 ZINC000933629101 714634566 /nfs/dbraw/zinc/63/45/66/714634566.db2.gz RNLFNDBKKFPNAD-JKSUJKDBSA-N 1 2 300.358 1.885 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@@H+](CC[C@H]3CCOC3=O)CCO2)cc1 ZINC000933629101 714634567 /nfs/dbraw/zinc/63/45/67/714634567.db2.gz RNLFNDBKKFPNAD-JKSUJKDBSA-N 1 2 300.358 1.885 20 30 DDEDLO CC(C)(C)[C@H]1CNC(=O)C[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000933826432 714676895 /nfs/dbraw/zinc/67/68/95/714676895.db2.gz ISCSSBKDCNCCQL-OAHLLOKOSA-N 1 2 301.390 1.935 20 30 DDEDLO CC(C)(C)[C@H]1CNC(=O)C[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000933826432 714676898 /nfs/dbraw/zinc/67/68/98/714676898.db2.gz ISCSSBKDCNCCQL-OAHLLOKOSA-N 1 2 301.390 1.935 20 30 DDEDLO COC(=O)C1([C@]2(O)CCC[N@H+](C[C@@H](C#N)CCC#N)C2)CCC1 ZINC000933842869 714682776 /nfs/dbraw/zinc/68/27/76/714682776.db2.gz SVLTVPFXXPIKPZ-PBHICJAKSA-N 1 2 319.405 1.600 20 30 DDEDLO COC(=O)C1([C@]2(O)CCC[N@@H+](C[C@@H](C#N)CCC#N)C2)CCC1 ZINC000933842869 714682777 /nfs/dbraw/zinc/68/27/77/714682777.db2.gz SVLTVPFXXPIKPZ-PBHICJAKSA-N 1 2 319.405 1.600 20 30 DDEDLO CC[C@H]([NH2+]C[C@H]1CN(C)CCN1C)c1cccc(C#N)c1O ZINC000925032148 714733390 /nfs/dbraw/zinc/73/33/90/714733390.db2.gz PRVPUZGWHSCOGR-HOCLYGCPSA-N 1 2 302.422 1.550 20 30 DDEDLO CO[C@H]1CC[C@@H]1[N@H+](C)Cc1nc2ccccc2c(=O)n1CC#N ZINC000934117070 714745694 /nfs/dbraw/zinc/74/56/94/714745694.db2.gz JTPHKCMRCWMUQO-GJZGRUSLSA-N 1 2 312.373 1.529 20 30 DDEDLO CO[C@H]1CC[C@@H]1[N@@H+](C)Cc1nc2ccccc2c(=O)n1CC#N ZINC000934117070 714745697 /nfs/dbraw/zinc/74/56/97/714745697.db2.gz JTPHKCMRCWMUQO-GJZGRUSLSA-N 1 2 312.373 1.529 20 30 DDEDLO C=CCCC[C@@H]1NC(=O)N(CC[N@H+]2CCOCC2(C)C)C1=O ZINC000925300136 714799026 /nfs/dbraw/zinc/79/90/26/714799026.db2.gz HKAQQPXCXOFMMN-ZDUSSCGKSA-N 1 2 309.410 1.374 20 30 DDEDLO C=CCCC[C@@H]1NC(=O)N(CC[N@@H+]2CCOCC2(C)C)C1=O ZINC000925300136 714799027 /nfs/dbraw/zinc/79/90/27/714799027.db2.gz HKAQQPXCXOFMMN-ZDUSSCGKSA-N 1 2 309.410 1.374 20 30 DDEDLO CC[C@H]([NH2+]C[C@H](CO)[C@H]1CCOC1)c1cccc(C#N)c1O ZINC000926340737 715050250 /nfs/dbraw/zinc/05/02/50/715050250.db2.gz IAQPFMFDEWICCH-LZWOXQAQSA-N 1 2 304.390 1.950 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC000956574158 715475704 /nfs/dbraw/zinc/47/57/04/715475704.db2.gz XHQUGPPVHZLZJX-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC000954837603 715520043 /nfs/dbraw/zinc/52/00/43/715520043.db2.gz RQKJCEBZXOJKKN-KRWDZBQOSA-N 1 2 318.421 1.234 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2ccc(-n3ccnn3)cc2)C1 ZINC000957124943 715759431 /nfs/dbraw/zinc/75/94/31/715759431.db2.gz YBLSAOFBXILMAP-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)CC1 ZINC000938990314 716073914 /nfs/dbraw/zinc/07/39/14/716073914.db2.gz YOQOYWFYWWSOMZ-AWEZNQCLSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cnoc2CC)C1 ZINC000958448768 716564307 /nfs/dbraw/zinc/56/43/07/716564307.db2.gz PXAASZQJPAIDAN-CMPLNLGQSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cnoc2CC)C1 ZINC000958448768 716564309 /nfs/dbraw/zinc/56/43/09/716564309.db2.gz PXAASZQJPAIDAN-CMPLNLGQSA-N 1 2 313.785 1.012 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(C#N)c1 ZINC000958617031 716666351 /nfs/dbraw/zinc/66/63/51/716666351.db2.gz ALXQJVONVBCIKS-RABLLNBGSA-N 1 2 322.372 1.098 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(C#N)c1 ZINC000958617031 716666355 /nfs/dbraw/zinc/66/63/55/716666355.db2.gz ALXQJVONVBCIKS-RABLLNBGSA-N 1 2 322.372 1.098 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cnnn1CC ZINC000960790336 716691188 /nfs/dbraw/zinc/69/11/88/716691188.db2.gz MHVYISAWBYHGJF-AWEZNQCLSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1cnnn1CC ZINC000960790336 716691193 /nfs/dbraw/zinc/69/11/93/716691193.db2.gz MHVYISAWBYHGJF-AWEZNQCLSA-N 1 2 319.453 1.933 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000940850722 716992054 /nfs/dbraw/zinc/99/20/54/716992054.db2.gz MCXMNHKHCTVSRY-HIFRSBDPSA-N 1 2 315.421 1.186 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3C=CCC3)CC2)C1 ZINC000941304756 717150753 /nfs/dbraw/zinc/15/07/53/717150753.db2.gz OSPDFTCKUXIKFJ-INIZCTEOSA-N 1 2 301.434 1.194 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@]3(C)CCC[C@H]3C)CC2)C1 ZINC000941554033 717206909 /nfs/dbraw/zinc/20/69/09/717206909.db2.gz WAEXKDLMRQREAM-VQIMIIECSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3(CC)CCCC3)CC2)C1 ZINC000941621145 717232089 /nfs/dbraw/zinc/23/20/89/717232089.db2.gz UHRRMMSNHNMEIC-UHFFFAOYSA-N 1 2 317.477 1.809 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ncccn2)CC[C@@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC000941968893 717410722 /nfs/dbraw/zinc/41/07/22/717410722.db2.gz ZBJFSAJYGPFHNS-JSGCOSHPSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ncccn2)CC[C@@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC000941968893 717410727 /nfs/dbraw/zinc/41/07/27/717410727.db2.gz ZBJFSAJYGPFHNS-JSGCOSHPSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ccn[nH]1 ZINC000965322551 717618458 /nfs/dbraw/zinc/61/84/58/717618458.db2.gz HSORWABQYFYRPA-CXAGYDPISA-N 1 2 323.400 1.922 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ccn[nH]1 ZINC000965322551 717618463 /nfs/dbraw/zinc/61/84/63/717618463.db2.gz HSORWABQYFYRPA-CXAGYDPISA-N 1 2 323.400 1.922 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC000965420395 717645882 /nfs/dbraw/zinc/64/58/82/717645882.db2.gz SXPMNKOJXAHDCC-IINYFYTJSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC000965420395 717645885 /nfs/dbraw/zinc/64/58/85/717645885.db2.gz SXPMNKOJXAHDCC-IINYFYTJSA-N 1 2 310.829 1.921 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1cnon1 ZINC000947204147 719073441 /nfs/dbraw/zinc/07/34/41/719073441.db2.gz ASZKBEJTXVWBRU-JQWIXIFHSA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1cnon1 ZINC000947204147 719073446 /nfs/dbraw/zinc/07/34/46/719073446.db2.gz ASZKBEJTXVWBRU-JQWIXIFHSA-N 1 2 314.349 1.052 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cn[nH]c2-c2ccccn2)C[C@H]1C ZINC000947376987 719141273 /nfs/dbraw/zinc/14/12/73/719141273.db2.gz CMTZHQFJINKVKC-KGLIPLIRSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cn[nH]c2-c2ccccn2)C[C@H]1C ZINC000947376987 719141274 /nfs/dbraw/zinc/14/12/74/719141274.db2.gz CMTZHQFJINKVKC-KGLIPLIRSA-N 1 2 323.400 1.688 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnc3cc[nH]cc-3c2=O)CC1 ZINC000948567277 719553269 /nfs/dbraw/zinc/55/32/69/719553269.db2.gz QJQKCCYBBLSNRY-UHFFFAOYSA-N 1 2 312.373 1.257 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1ncn[nH]1 ZINC000968439564 719588716 /nfs/dbraw/zinc/58/87/16/719588716.db2.gz HVUCFYGDQRXGOQ-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1ncn[nH]1 ZINC000968439564 719588718 /nfs/dbraw/zinc/58/87/18/719588718.db2.gz HVUCFYGDQRXGOQ-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000968480274 719610376 /nfs/dbraw/zinc/61/03/76/719610376.db2.gz JFTDLBNRIWGYJL-TVQRCGJNSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000968480274 719610379 /nfs/dbraw/zinc/61/03/79/719610379.db2.gz JFTDLBNRIWGYJL-TVQRCGJNSA-N 1 2 324.812 1.306 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000968617590 719693954 /nfs/dbraw/zinc/69/39/54/719693954.db2.gz FGLKKRQIVRXDAC-WCQYABFASA-N 1 2 302.378 1.297 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2c(C)oc3nc[nH]c(=O)c32)CC1 ZINC000948764801 719694227 /nfs/dbraw/zinc/69/42/27/719694227.db2.gz GYVAKGSUQHRVGF-UHFFFAOYSA-N 1 2 316.361 1.571 20 30 DDEDLO CCOc1nnc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)s1 ZINC000968617923 719694691 /nfs/dbraw/zinc/69/46/91/719694691.db2.gz PQGVCFHZAUDHAS-GFCCVEGCSA-N 1 2 320.418 1.041 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000948963244 719808385 /nfs/dbraw/zinc/80/83/85/719808385.db2.gz VRSOZWYUEKHPPP-OWYHZJEWSA-N 1 2 324.424 1.903 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000948963244 719808394 /nfs/dbraw/zinc/80/83/94/719808394.db2.gz VRSOZWYUEKHPPP-OWYHZJEWSA-N 1 2 324.424 1.903 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccn(C)n1 ZINC000948985453 719823964 /nfs/dbraw/zinc/82/39/64/719823964.db2.gz DJMIURAGZFLMJS-SFHVURJKSA-N 1 2 322.412 1.773 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccn(C)n1 ZINC000948985453 719823972 /nfs/dbraw/zinc/82/39/72/719823972.db2.gz DJMIURAGZFLMJS-SFHVURJKSA-N 1 2 322.412 1.773 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc3c(c2)N(C)C(=O)C3)CC1 ZINC000949130680 719922851 /nfs/dbraw/zinc/92/28/51/719922851.db2.gz XPZJADJIGKWNPN-UHFFFAOYSA-N 1 2 313.401 1.539 20 30 DDEDLO C#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC000969141948 719974924 /nfs/dbraw/zinc/97/49/24/719974924.db2.gz PYXXXTAITYACPY-CQSZACIVSA-N 1 2 311.389 1.566 20 30 DDEDLO C#CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC000969141948 719974926 /nfs/dbraw/zinc/97/49/26/719974926.db2.gz PYXXXTAITYACPY-CQSZACIVSA-N 1 2 311.389 1.566 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)Cc3ccccc3)CC2)C1 ZINC000949394821 720059967 /nfs/dbraw/zinc/05/99/67/720059967.db2.gz FUOLGCZSEPUBHX-UHFFFAOYSA-N 1 2 312.413 1.556 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)Cc3ccccc3)CC2)C1 ZINC000949394821 720059974 /nfs/dbraw/zinc/05/99/74/720059974.db2.gz FUOLGCZSEPUBHX-UHFFFAOYSA-N 1 2 312.413 1.556 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CC34CCCC4)CC2)C1 ZINC000949507197 720131133 /nfs/dbraw/zinc/13/11/33/720131133.db2.gz XGTIRPVPGHFQCT-INIZCTEOSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CC34CCCC4)CC2)C1 ZINC000949507197 720131137 /nfs/dbraw/zinc/13/11/37/720131137.db2.gz XGTIRPVPGHFQCT-INIZCTEOSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)[C@@H]2C[C@H](C)Cc3cn[nH]c32)CC1 ZINC000949837612 720373811 /nfs/dbraw/zinc/37/38/11/720373811.db2.gz QVDQNBUCZDUDLU-UKRRQHHQSA-N 1 2 300.406 1.243 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnn3ncccc23)C1 ZINC000969855715 720535827 /nfs/dbraw/zinc/53/58/27/720535827.db2.gz VMJSMARPHHDGJR-LLVKDONJSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC000970338764 720719125 /nfs/dbraw/zinc/71/91/25/720719125.db2.gz OZBQOWATJQJYKY-JQWIXIFHSA-N 1 2 323.828 1.414 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@H]2COCCN2CCCC)C1 ZINC000950989507 720867537 /nfs/dbraw/zinc/86/75/37/720867537.db2.gz KYCGOPFOGPAVJW-KRWDZBQOSA-N 1 2 321.465 1.043 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)c2ccon2)C1 ZINC000971143962 721134795 /nfs/dbraw/zinc/13/47/95/721134795.db2.gz ZJPSZXDOKFVHBA-JTQLQIEISA-N 1 2 314.183 1.730 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)c2ccon2)C1 ZINC000971143962 721134797 /nfs/dbraw/zinc/13/47/97/721134797.db2.gz ZJPSZXDOKFVHBA-JTQLQIEISA-N 1 2 314.183 1.730 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000971217405 721184490 /nfs/dbraw/zinc/18/44/90/721184490.db2.gz DVRCUWQDUZAKSG-CMPLNLGQSA-N 1 2 323.828 1.574 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000971217405 721184496 /nfs/dbraw/zinc/18/44/96/721184496.db2.gz DVRCUWQDUZAKSG-CMPLNLGQSA-N 1 2 323.828 1.574 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000951916393 721235827 /nfs/dbraw/zinc/23/58/27/721235827.db2.gz HEEBUPLZORBYCC-SUMWQHHRSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)co1 ZINC000971363707 721258385 /nfs/dbraw/zinc/25/83/85/721258385.db2.gz CUUMNLPVPXNOJL-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)co1 ZINC000971363707 721258386 /nfs/dbraw/zinc/25/83/86/721258386.db2.gz CUUMNLPVPXNOJL-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO C=CC[NH+]1CCN(c2nc(Cl)cc(O[C@H]3CCOC3)n2)CC1 ZINC001165035002 721873463 /nfs/dbraw/zinc/87/34/63/721873463.db2.gz RUKUWOHZZWUSJO-LBPRGKRZSA-N 1 2 324.812 1.606 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@H+](Cc3cnon3)C2)cc1 ZINC001023527440 735292932 /nfs/dbraw/zinc/29/29/32/735292932.db2.gz VAKNOCKLZALDPM-HNNXBMFYSA-N 1 2 324.384 1.693 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@@H+](Cc3cnon3)C2)cc1 ZINC001023527440 735292935 /nfs/dbraw/zinc/29/29/35/735292935.db2.gz VAKNOCKLZALDPM-HNNXBMFYSA-N 1 2 324.384 1.693 20 30 DDEDLO C[C@@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001120878664 782204788 /nfs/dbraw/zinc/20/47/88/782204788.db2.gz OJUZHRLXSUHFJE-IACUBPJLSA-N 1 2 324.388 1.739 20 30 DDEDLO C[C@@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001120878664 782204800 /nfs/dbraw/zinc/20/48/00/782204800.db2.gz OJUZHRLXSUHFJE-IACUBPJLSA-N 1 2 324.388 1.739 20 30 DDEDLO N#CCN1CC[C@H]2[C@@H](CCCN2C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001021575676 733331854 /nfs/dbraw/zinc/33/18/54/733331854.db2.gz LXKYTJKKWVSQMW-KBPBESRZSA-N 1 2 301.394 1.179 20 30 DDEDLO Cc1noc(C[NH2+]C[C@@H]2C[C@H](C)CCN2C(=O)[C@@H](C)C#N)n1 ZINC001087135661 733462896 /nfs/dbraw/zinc/46/28/96/733462896.db2.gz SRLSTMXCFXDWIB-MDZLAQPJSA-N 1 2 305.382 1.254 20 30 DDEDLO CCc1noc(C[NH2+]C[C@@H]2C[C@H](C)CCN2C(=O)[C@H](C)C#N)n1 ZINC001087136158 733464139 /nfs/dbraw/zinc/46/41/39/733464139.db2.gz MAGORGUVLQZXJJ-UPJWGTAASA-N 1 2 319.409 1.508 20 30 DDEDLO C#CCC[NH2+]C1CC2(CC(NC(=O)C(F)C(F)(F)F)C2)C1 ZINC001121145201 782378379 /nfs/dbraw/zinc/37/83/79/782378379.db2.gz UYGJZVCMLWACDD-FOBZMYGMSA-N 1 2 306.303 1.927 20 30 DDEDLO C#CCC[NH2+]C1CC2(CC(NC(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001121145201 782378397 /nfs/dbraw/zinc/37/83/97/782378397.db2.gz UYGJZVCMLWACDD-FOBZMYGMSA-N 1 2 306.303 1.927 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnn2ncccc12 ZINC001027935414 738835575 /nfs/dbraw/zinc/83/55/75/738835575.db2.gz KEPBWSKTTIZSEM-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnn2ncccc12 ZINC001027935414 738835579 /nfs/dbraw/zinc/83/55/79/738835579.db2.gz KEPBWSKTTIZSEM-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3C[C@@H]3OC(C)(C)C)n2C)CC1 ZINC001121310560 782452113 /nfs/dbraw/zinc/45/21/13/782452113.db2.gz SHKBDTFCDVDGDY-KBPBESRZSA-N 1 2 317.437 1.241 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnoc3CC)C2)C1 ZINC000972574398 735261467 /nfs/dbraw/zinc/26/14/67/735261467.db2.gz ZMNNKTXBCBXGHU-KRWDZBQOSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnoc3CC)C2)C1 ZINC000972574398 735261471 /nfs/dbraw/zinc/26/14/71/735261471.db2.gz ZMNNKTXBCBXGHU-KRWDZBQOSA-N 1 2 317.389 1.177 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3c[nH]c4ncccc34)n2C)CC1 ZINC001121399331 782492486 /nfs/dbraw/zinc/49/24/86/782492486.db2.gz YJXOQBHRBANKFL-UHFFFAOYSA-N 1 2 321.388 1.114 20 30 DDEDLO CC1(C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H]2CCCN(CC#N)[C@H]21 ZINC001087309360 736036072 /nfs/dbraw/zinc/03/60/72/736036072.db2.gz FYJQWPZYYFQGIL-NILFDRSVSA-N 1 2 301.394 1.081 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H]1CNC(=O)c1sc(COC)nc1C ZINC001024781565 736090730 /nfs/dbraw/zinc/09/07/30/736090730.db2.gz XXOUXUGGSAFOJF-ZDUSSCGKSA-N 1 2 321.446 1.815 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1sc(COC)nc1C ZINC001024781565 736090731 /nfs/dbraw/zinc/09/07/31/736090731.db2.gz XXOUXUGGSAFOJF-ZDUSSCGKSA-N 1 2 321.446 1.815 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)nn(C(C)(C)C)c1C ZINC001038319096 736216851 /nfs/dbraw/zinc/21/68/51/736216851.db2.gz VYGDJSIXPLEQHZ-AWEZNQCLSA-N 1 2 302.422 1.692 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)nn(C(C)(C)C)c1C ZINC001038319096 736216853 /nfs/dbraw/zinc/21/68/53/736216853.db2.gz VYGDJSIXPLEQHZ-AWEZNQCLSA-N 1 2 302.422 1.692 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnc(C)cn3)[C@@H]2C1 ZINC001075510492 736244209 /nfs/dbraw/zinc/24/42/09/736244209.db2.gz NBMPMVOZEAZJIE-GXTWGEPZSA-N 1 2 306.797 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnc(C)cn3)[C@@H]2C1 ZINC001075510492 736244212 /nfs/dbraw/zinc/24/42/12/736244212.db2.gz NBMPMVOZEAZJIE-GXTWGEPZSA-N 1 2 306.797 1.684 20 30 DDEDLO C=CCOC(=O)N1CCC[C@]2(CC[N@@H+](CC(=O)OCC)C2)C1 ZINC001207409463 736245146 /nfs/dbraw/zinc/24/51/46/736245146.db2.gz YWZNLBXAGJQQHW-MRXNPFEDSA-N 1 2 310.394 1.660 20 30 DDEDLO C=CCOC(=O)N1CCC[C@]2(CC[N@H+](CC(=O)OCC)C2)C1 ZINC001207409463 736245153 /nfs/dbraw/zinc/24/51/53/736245153.db2.gz YWZNLBXAGJQQHW-MRXNPFEDSA-N 1 2 310.394 1.660 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(n2cc(C[NH2+]C/C=C\Cl)nn2)C1 ZINC001105300977 737774498 /nfs/dbraw/zinc/77/44/98/737774498.db2.gz HDHRCLJHGUCWGG-WAYWQWQTSA-N 1 2 323.828 1.716 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@]3(C)CCC[C@H]3C)C2)nn1 ZINC001105319007 737838075 /nfs/dbraw/zinc/83/80/75/737838075.db2.gz WJIZIIFJJPYFNB-DYVFJYSZSA-N 1 2 315.421 1.211 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(F)c(COC)c1 ZINC001038686643 738049313 /nfs/dbraw/zinc/04/93/13/738049313.db2.gz HXLGZSOCPFQEJG-HNNXBMFYSA-N 1 2 304.365 1.800 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(F)c(COC)c1 ZINC001038686643 738049314 /nfs/dbraw/zinc/04/93/14/738049314.db2.gz HXLGZSOCPFQEJG-HNNXBMFYSA-N 1 2 304.365 1.800 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cn(C)nc3CC)[C@@H]2C1 ZINC001075920594 741983058 /nfs/dbraw/zinc/98/30/58/741983058.db2.gz MJPATVHNBRJWQN-SWLSCSKDSA-N 1 2 322.840 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cn(C)nc3CC)[C@@H]2C1 ZINC001075920594 741983060 /nfs/dbraw/zinc/98/30/60/741983060.db2.gz MJPATVHNBRJWQN-SWLSCSKDSA-N 1 2 322.840 1.881 20 30 DDEDLO COc1nscc1C[N@H+](C)[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027330522 738202538 /nfs/dbraw/zinc/20/25/38/738202538.db2.gz ROHIVEQMSPFFJI-YPMHNXCESA-N 1 2 322.434 1.734 20 30 DDEDLO COc1nscc1C[N@@H+](C)[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027330522 738202540 /nfs/dbraw/zinc/20/25/40/738202540.db2.gz ROHIVEQMSPFFJI-YPMHNXCESA-N 1 2 322.434 1.734 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)oc1C ZINC001027336637 738208789 /nfs/dbraw/zinc/20/87/89/738208789.db2.gz SLSBHIAPOYEXBK-BXUZGUMPSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)oc1C ZINC001027336637 738208791 /nfs/dbraw/zinc/20/87/91/738208791.db2.gz SLSBHIAPOYEXBK-BXUZGUMPSA-N 1 2 304.394 1.874 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@H+]2Cc2nccn2C)cc1 ZINC001028067456 738983649 /nfs/dbraw/zinc/98/36/49/738983649.db2.gz UTWVZMKWPCRUAC-QGZVFWFLSA-N 1 2 322.412 1.796 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2nccn2C)cc1 ZINC001028067456 738983650 /nfs/dbraw/zinc/98/36/50/738983650.db2.gz UTWVZMKWPCRUAC-QGZVFWFLSA-N 1 2 322.412 1.796 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1CNC(=O)c1cnc([C@H]2CCCO2)s1 ZINC001028188203 739138047 /nfs/dbraw/zinc/13/80/47/739138047.db2.gz WSQFUMGJPWMXPY-QWHCGFSZSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1cnc([C@H]2CCCO2)s1 ZINC001028188203 739138048 /nfs/dbraw/zinc/13/80/48/739138048.db2.gz WSQFUMGJPWMXPY-QWHCGFSZSA-N 1 2 319.430 1.822 20 30 DDEDLO COc1nscc1C[N@@H+]1C[C@@H]2CCN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001075616053 739187345 /nfs/dbraw/zinc/18/73/45/739187345.db2.gz HXBZDGSKHANLKM-NTZNESFSSA-N 1 2 320.418 1.344 20 30 DDEDLO COc1nscc1C[N@H+]1C[C@@H]2CCN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001075616053 739187346 /nfs/dbraw/zinc/18/73/46/739187346.db2.gz HXBZDGSKHANLKM-NTZNESFSSA-N 1 2 320.418 1.344 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)noc2CCC)C1 ZINC001035365114 751430294 /nfs/dbraw/zinc/43/02/94/751430294.db2.gz HFAFTARTDLGRJZ-AWEZNQCLSA-N 1 2 319.405 1.389 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)noc2CCC)C1 ZINC001035365114 751430297 /nfs/dbraw/zinc/43/02/97/751430297.db2.gz HFAFTARTDLGRJZ-AWEZNQCLSA-N 1 2 319.405 1.389 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2scnc2CC)C1 ZINC001035392686 751462901 /nfs/dbraw/zinc/46/29/01/751462901.db2.gz ZPZXDHWPEFSIAE-GFCCVEGCSA-N 1 2 309.435 1.712 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2scnc2CC)C1 ZINC001035392686 751462902 /nfs/dbraw/zinc/46/29/02/751462902.db2.gz ZPZXDHWPEFSIAE-GFCCVEGCSA-N 1 2 309.435 1.712 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)Cc3ccccc3)C2)nn1 ZINC001098685751 739761408 /nfs/dbraw/zinc/76/14/08/739761408.db2.gz PQWNAYKFZYURKF-QGZVFWFLSA-N 1 2 323.400 1.017 20 30 DDEDLO C#CCCCC(=O)N(C)CCN(C)c1[nH+]cnc2c1cnn2C ZINC001105378877 740071458 /nfs/dbraw/zinc/07/14/58/740071458.db2.gz PVUFTJCPTDWGDF-UHFFFAOYSA-N 1 2 314.393 1.061 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)nc2)C1 ZINC001035438013 751515191 /nfs/dbraw/zinc/51/51/91/751515191.db2.gz HLYUZXAKCMHCSK-AWEZNQCLSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)nc2)C1 ZINC001035438013 751515195 /nfs/dbraw/zinc/51/51/95/751515195.db2.gz HLYUZXAKCMHCSK-AWEZNQCLSA-N 1 2 305.378 1.097 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(F)ccc2OC)C1 ZINC001035471546 751529073 /nfs/dbraw/zinc/52/90/73/751529073.db2.gz XDAVCLWTQHBTKT-AWEZNQCLSA-N 1 2 320.364 1.288 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(F)ccc2OC)C1 ZINC001035471546 751529074 /nfs/dbraw/zinc/52/90/74/751529074.db2.gz XDAVCLWTQHBTKT-AWEZNQCLSA-N 1 2 320.364 1.288 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3(C)CCCC3)C2)nn1 ZINC001098694982 740308439 /nfs/dbraw/zinc/30/84/39/740308439.db2.gz OOMYTFSIRKSYCW-OAHLLOKOSA-N 1 2 315.421 1.355 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](Nc2ncccc2C#N)C1 ZINC001059272413 740529335 /nfs/dbraw/zinc/52/93/35/740529335.db2.gz XHLZRUYKFKJXIB-JOCQHMNTSA-N 1 2 310.361 1.287 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)[C@@H]2CC)nc1 ZINC001087800445 740756011 /nfs/dbraw/zinc/75/60/11/740756011.db2.gz SCHCMMOCTVNAKU-DOTOQJQBSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)[C@@H]2CC)nc1 ZINC001087800445 740756012 /nfs/dbraw/zinc/75/60/12/740756012.db2.gz SCHCMMOCTVNAKU-DOTOQJQBSA-N 1 2 324.384 1.834 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H](C)CC(=C)C)C2)nn1 ZINC001098706260 740771384 /nfs/dbraw/zinc/77/13/84/740771384.db2.gz WPJHDCIZVNEVFO-GDBMZVCRSA-N 1 2 315.421 1.377 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc([C@H](C)CC)no2)C1 ZINC001035541699 751588401 /nfs/dbraw/zinc/58/84/01/751588401.db2.gz JWABATSCPYPETC-ZIAGYGMSSA-N 1 2 319.405 1.642 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc([C@H](C)CC)no2)C1 ZINC001035541699 751588404 /nfs/dbraw/zinc/58/84/04/751588404.db2.gz JWABATSCPYPETC-ZIAGYGMSSA-N 1 2 319.405 1.642 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccn(C(C)C)n2)C1 ZINC001035529606 751609512 /nfs/dbraw/zinc/60/95/12/751609512.db2.gz DUKZEVHWXFPZCW-CQSZACIVSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccn(C(C)C)n2)C1 ZINC001035529606 751609518 /nfs/dbraw/zinc/60/95/18/751609518.db2.gz DUKZEVHWXFPZCW-CQSZACIVSA-N 1 2 306.410 1.471 20 30 DDEDLO CC(C)c1nnc(C[N@H+](C)C[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001029678812 741219788 /nfs/dbraw/zinc/21/97/88/741219788.db2.gz SYHROUFJRGPUDX-STQMWFEESA-N 1 2 318.425 1.368 20 30 DDEDLO CC(C)c1nnc(C[N@@H+](C)C[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001029678812 741219792 /nfs/dbraw/zinc/21/97/92/741219792.db2.gz SYHROUFJRGPUDX-STQMWFEESA-N 1 2 318.425 1.368 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)nn2C)C1 ZINC001035566870 751620135 /nfs/dbraw/zinc/62/01/35/751620135.db2.gz APCWJWRIXSAIEO-ZDUSSCGKSA-N 1 2 306.410 1.160 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)nn2C)C1 ZINC001035566870 751620138 /nfs/dbraw/zinc/62/01/38/751620138.db2.gz APCWJWRIXSAIEO-ZDUSSCGKSA-N 1 2 306.410 1.160 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nnnn2C)C1 ZINC001029825955 741362599 /nfs/dbraw/zinc/36/25/99/741362599.db2.gz KCRYTIUVZWIPOM-BBRMVZONSA-N 1 2 320.441 1.093 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nnnn2C)C1 ZINC001029825955 741362601 /nfs/dbraw/zinc/36/26/01/741362601.db2.gz KCRYTIUVZWIPOM-BBRMVZONSA-N 1 2 320.441 1.093 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCCCC[C@@H](NCC#N)C1 ZINC001088395846 741459771 /nfs/dbraw/zinc/45/97/71/741459771.db2.gz RKDDRBCTEVVKCL-ZIAGYGMSSA-N 1 2 303.410 1.473 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCCCC[C@@H](NCC#N)C1 ZINC001088395846 741459772 /nfs/dbraw/zinc/45/97/72/741459772.db2.gz RKDDRBCTEVVKCL-ZIAGYGMSSA-N 1 2 303.410 1.473 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccon2)CC[N@@H+]1Cc1ccccc1C#N ZINC001088478324 741578351 /nfs/dbraw/zinc/57/83/51/741578351.db2.gz UGCZDCWXEPSDKE-DOMZBBRYSA-N 1 2 310.357 1.939 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccon2)CC[N@H+]1Cc1ccccc1C#N ZINC001088478324 741578352 /nfs/dbraw/zinc/57/83/52/741578352.db2.gz UGCZDCWXEPSDKE-DOMZBBRYSA-N 1 2 310.357 1.939 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(F)cc2F)C1 ZINC001035595533 751652571 /nfs/dbraw/zinc/65/25/71/751652571.db2.gz OLLJBOMOTMDAON-ZDUSSCGKSA-N 1 2 308.328 1.419 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(F)cc2F)C1 ZINC001035595533 751652575 /nfs/dbraw/zinc/65/25/75/751652575.db2.gz OLLJBOMOTMDAON-ZDUSSCGKSA-N 1 2 308.328 1.419 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C(F)F)o2)C1 ZINC001035596680 751653532 /nfs/dbraw/zinc/65/35/32/751653532.db2.gz SZRIWVCCJREHNY-NSHDSACASA-N 1 2 312.316 1.671 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C(F)F)o2)C1 ZINC001035596680 751653536 /nfs/dbraw/zinc/65/35/36/751653536.db2.gz SZRIWVCCJREHNY-NSHDSACASA-N 1 2 312.316 1.671 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]ccc1OC ZINC001075888196 741752466 /nfs/dbraw/zinc/75/24/66/741752466.db2.gz WZXUXDWOIQIXCS-ZDUSSCGKSA-N 1 2 319.405 1.610 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2oc(CCC)nc2C)C1 ZINC001035582300 751673315 /nfs/dbraw/zinc/67/33/15/751673315.db2.gz ZRNYDRKYBOYUOD-AWEZNQCLSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2oc(CCC)nc2C)C1 ZINC001035582300 751673321 /nfs/dbraw/zinc/67/33/21/751673321.db2.gz ZRNYDRKYBOYUOD-AWEZNQCLSA-N 1 2 321.421 1.942 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2oc(CCC)nc2C)C1 ZINC001035582018 751673461 /nfs/dbraw/zinc/67/34/61/751673461.db2.gz TWDMGSSXBRDWKO-CQSZACIVSA-N 1 2 319.405 1.389 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2oc(CCC)nc2C)C1 ZINC001035582018 751673466 /nfs/dbraw/zinc/67/34/66/751673466.db2.gz TWDMGSSXBRDWKO-CQSZACIVSA-N 1 2 319.405 1.389 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cncnc2C(C)C)C1 ZINC001035606760 751711085 /nfs/dbraw/zinc/71/10/85/751711085.db2.gz PINQHHIGSCVVGT-CYBMUJFWSA-N 1 2 304.394 1.217 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cncnc2C(C)C)C1 ZINC001035606760 751711091 /nfs/dbraw/zinc/71/10/91/751711091.db2.gz PINQHHIGSCVVGT-CYBMUJFWSA-N 1 2 304.394 1.217 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cnccn1)CC2 ZINC001035638558 751742791 /nfs/dbraw/zinc/74/27/91/751742791.db2.gz RKZZCIQKWMOCKL-UHFFFAOYSA-N 1 2 306.797 1.767 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3ccc(C(N)=O)[nH]3)[C@@H]2C1 ZINC001076252726 742628359 /nfs/dbraw/zinc/62/83/59/742628359.db2.gz PWHVKLODVLRNFN-GXFFZTMASA-N 1 2 322.796 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccc(C(N)=O)[nH]3)[C@@H]2C1 ZINC001076252726 742628362 /nfs/dbraw/zinc/62/83/62/742628362.db2.gz PWHVKLODVLRNFN-GXFFZTMASA-N 1 2 322.796 1.012 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1nnc(C)[nH]1)CC2 ZINC001035698936 751785381 /nfs/dbraw/zinc/78/53/81/751785381.db2.gz FYTUHMDKHTVJDV-UHFFFAOYSA-N 1 2 323.828 1.333 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)CC(C)C)CC2)C1 ZINC001105683029 743087151 /nfs/dbraw/zinc/08/71/51/743087151.db2.gz QESVKWSCAZPQAR-UHFFFAOYSA-N 1 2 324.465 1.927 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001061096396 743195540 /nfs/dbraw/zinc/19/55/40/743195540.db2.gz TUMRPEQZLJDBQM-ZIAGYGMSSA-N 1 2 324.388 1.689 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001181469914 743208071 /nfs/dbraw/zinc/20/80/71/743208071.db2.gz VRFNNJQDJWIHGX-QWHCGFSZSA-N 1 2 322.409 1.304 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3cccc(Cl)c3)CC2)C1 ZINC001077093241 743232001 /nfs/dbraw/zinc/23/20/01/743232001.db2.gz DOVNXDIGJFYNLJ-HUUCEWRRSA-N 1 2 318.804 1.166 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3cccc(Cl)c3)CC2)C1 ZINC001077093241 743232008 /nfs/dbraw/zinc/23/20/08/743232008.db2.gz DOVNXDIGJFYNLJ-HUUCEWRRSA-N 1 2 318.804 1.166 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]ccc1OC ZINC001077144962 743271795 /nfs/dbraw/zinc/27/17/95/743271795.db2.gz GGAWROYJUMWCQM-ZDUSSCGKSA-N 1 2 319.405 1.610 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001061134558 743380653 /nfs/dbraw/zinc/38/06/53/743380653.db2.gz VMPGWQZMIOPWAI-KGLIPLIRSA-N 1 2 324.388 1.758 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001077303718 743393980 /nfs/dbraw/zinc/39/39/80/743393980.db2.gz ZPGBNZMNVSOQNJ-GHMZBOCLSA-N 1 2 324.812 1.292 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001077303718 743393991 /nfs/dbraw/zinc/39/39/91/743393991.db2.gz ZPGBNZMNVSOQNJ-GHMZBOCLSA-N 1 2 324.812 1.292 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C[C@H](C)OC)c2C1 ZINC001128255853 743393990 /nfs/dbraw/zinc/39/39/90/743393990.db2.gz PQBKICSPLORXFO-ZDUSSCGKSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C[C@H](C)OC)c2C1 ZINC001128255853 743393999 /nfs/dbraw/zinc/39/39/99/743393999.db2.gz PQBKICSPLORXFO-ZDUSSCGKSA-N 1 2 306.410 1.316 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001061194475 743586476 /nfs/dbraw/zinc/58/64/76/743586476.db2.gz WGSNRICZFRCWDN-AWEZNQCLSA-N 1 2 324.388 1.726 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001182841099 743737994 /nfs/dbraw/zinc/73/79/94/743737994.db2.gz HWGAZRAFTSIKEJ-CQSZACIVSA-N 1 2 304.394 1.837 20 30 DDEDLO C[C@@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C[C@@]1(C)CNCC#N ZINC001183176824 743790673 /nfs/dbraw/zinc/79/06/73/743790673.db2.gz UXIHOQIVPZJRHT-MLGOLLRUSA-N 1 2 303.410 1.285 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+]Cc1ncccn1 ZINC001127125354 743810193 /nfs/dbraw/zinc/81/01/93/743810193.db2.gz VYPNNTQQZYEFMV-UHFFFAOYSA-N 1 2 306.410 1.445 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2cc(OC)ccc2OC)C1 ZINC001030475582 744185735 /nfs/dbraw/zinc/18/57/35/744185735.db2.gz GUNKLPKJWGZXIP-UHFFFAOYSA-N 1 2 302.374 1.070 20 30 DDEDLO C=CCOc1ccc(C(=O)NC2C[NH+](C[C@@H](C)OC)C2)cc1 ZINC001030541461 744251396 /nfs/dbraw/zinc/25/13/96/744251396.db2.gz ORAZNCMOROHMTE-CYBMUJFWSA-N 1 2 304.390 1.700 20 30 DDEDLO C=CCOc1ccccc1C(=O)NC1C[NH+]([C@@H](C)COC)C1 ZINC001030542154 744253360 /nfs/dbraw/zinc/25/33/60/744253360.db2.gz PZWQTPMJFRKYJV-ZDUSSCGKSA-N 1 2 304.390 1.700 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@H](C)CC)C2)nn1 ZINC001185872160 744296870 /nfs/dbraw/zinc/29/68/70/744296870.db2.gz FZGIOYSSLCICAM-UKRRQHHQSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CCC3(C)CC3)C2)nn1 ZINC001098762811 744325423 /nfs/dbraw/zinc/32/54/23/744325423.db2.gz OVIGJBZURWTIBS-HNNXBMFYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CC[N@H+](CC(=C)Cl)[C@@H]2C)nn1 ZINC001088910969 744361661 /nfs/dbraw/zinc/36/16/61/744361661.db2.gz SACKQNVOEDOAKW-OLZOCXBDSA-N 1 2 323.828 1.799 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CC[N@@H+](CC(=C)Cl)[C@@H]2C)nn1 ZINC001088910969 744361666 /nfs/dbraw/zinc/36/16/66/744361666.db2.gz SACKQNVOEDOAKW-OLZOCXBDSA-N 1 2 323.828 1.799 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc3nncn3c2)[C@H]1C ZINC001088917340 744365039 /nfs/dbraw/zinc/36/50/39/744365039.db2.gz NKKAWCGQEXDMCS-YPMHNXCESA-N 1 2 319.796 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc3nncn3c2)[C@H]1C ZINC001088917340 744365044 /nfs/dbraw/zinc/36/50/44/744365044.db2.gz NKKAWCGQEXDMCS-YPMHNXCESA-N 1 2 319.796 1.674 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncc(F)c1 ZINC001110394871 744575380 /nfs/dbraw/zinc/57/53/80/744575380.db2.gz ONDHFFSVBBOOOX-QZKOUSHUSA-N 1 2 302.353 1.602 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncc(F)c1 ZINC001110394871 744575382 /nfs/dbraw/zinc/57/53/82/744575382.db2.gz ONDHFFSVBBOOOX-QZKOUSHUSA-N 1 2 302.353 1.602 20 30 DDEDLO Cn1ccc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)C#CC2CC2)n1 ZINC001089174534 744662860 /nfs/dbraw/zinc/66/28/60/744662860.db2.gz CTUOKBZFHOSHNY-KRWDZBQOSA-N 1 2 314.433 1.646 20 30 DDEDLO Cn1ccc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)C#CC2CC2)n1 ZINC001089174534 744662861 /nfs/dbraw/zinc/66/28/61/744662861.db2.gz CTUOKBZFHOSHNY-KRWDZBQOSA-N 1 2 314.433 1.646 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001189242779 744851528 /nfs/dbraw/zinc/85/15/28/744851528.db2.gz LQHPEHSPTKMKSQ-ZBFHGGJFSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001189242779 744851530 /nfs/dbraw/zinc/85/15/30/744851530.db2.gz LQHPEHSPTKMKSQ-ZBFHGGJFSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([N@H+](C)CC(=O)Nc2cc(C)on2)C1 ZINC001189280318 744858475 /nfs/dbraw/zinc/85/84/75/744858475.db2.gz RBIDICVXYLSXLP-CYBMUJFWSA-N 1 2 320.393 1.420 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([N@@H+](C)CC(=O)Nc2cc(C)on2)C1 ZINC001189280318 744858477 /nfs/dbraw/zinc/85/84/77/744858477.db2.gz RBIDICVXYLSXLP-CYBMUJFWSA-N 1 2 320.393 1.420 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@H+](C)CC(=O)Nc2ccccc2)C1 ZINC001189388781 744881070 /nfs/dbraw/zinc/88/10/70/744881070.db2.gz GTNOQNYZRUEEHB-HIFRSBDPSA-N 1 2 314.389 1.317 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)CC(=O)Nc2ccccc2)C1 ZINC001189388781 744881072 /nfs/dbraw/zinc/88/10/72/744881072.db2.gz GTNOQNYZRUEEHB-HIFRSBDPSA-N 1 2 314.389 1.317 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)s2)C1 ZINC001189917635 745032690 /nfs/dbraw/zinc/03/26/90/745032690.db2.gz IWJYCFZZZYQOSN-BBRMVZONSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)s2)C1 ZINC001189917635 745032699 /nfs/dbraw/zinc/03/26/99/745032699.db2.gz IWJYCFZZZYQOSN-BBRMVZONSA-N 1 2 323.462 1.811 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001190112273 745117969 /nfs/dbraw/zinc/11/79/69/745117969.db2.gz DSKAEZCDPBKHQA-LSDHHAIUSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001190112273 745117970 /nfs/dbraw/zinc/11/79/70/745117970.db2.gz DSKAEZCDPBKHQA-LSDHHAIUSA-N 1 2 316.405 1.110 20 30 DDEDLO N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)CCn3cc[nH+]c3)C[C@H]21 ZINC000992796111 745203106 /nfs/dbraw/zinc/20/31/06/745203106.db2.gz VNIDNIQVOZVALP-RBSFLKMASA-N 1 2 301.394 1.013 20 30 DDEDLO Cn1cncc1C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007143870 752035914 /nfs/dbraw/zinc/03/59/14/752035914.db2.gz PAIUYFPYQGYVBI-CYBMUJFWSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1cncc1C[N@H+]1CCC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007143870 752035915 /nfs/dbraw/zinc/03/59/15/752035915.db2.gz PAIUYFPYQGYVBI-CYBMUJFWSA-N 1 2 312.377 1.014 20 30 DDEDLO CC/C(C)=C\C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192709151 745875508 /nfs/dbraw/zinc/87/55/08/745875508.db2.gz JIUMDMJISYMLBC-ARBVUSPFSA-N 1 2 312.413 1.556 20 30 DDEDLO CC/C(C)=C\C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192709151 745875511 /nfs/dbraw/zinc/87/55/11/745875511.db2.gz JIUMDMJISYMLBC-ARBVUSPFSA-N 1 2 312.413 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CC2OCCCO2)[C@H]1C ZINC000993298511 745944757 /nfs/dbraw/zinc/94/47/57/745944757.db2.gz GZCXGFJBPQFWPL-CHWSQXEVSA-N 1 2 316.829 1.861 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CC2OCCCO2)[C@H]1C ZINC000993298511 745944761 /nfs/dbraw/zinc/94/47/61/745944761.db2.gz GZCXGFJBPQFWPL-CHWSQXEVSA-N 1 2 316.829 1.861 20 30 DDEDLO CC[C@H]1C[N@H+](Cc2ccccc2)CCN1S(=O)(=O)CC#N ZINC001192965642 745949167 /nfs/dbraw/zinc/94/91/67/745949167.db2.gz HOETVVJKGNOMDN-HNNXBMFYSA-N 1 2 307.419 1.436 20 30 DDEDLO CC[C@H]1C[N@@H+](Cc2ccccc2)CCN1S(=O)(=O)CC#N ZINC001192965642 745949168 /nfs/dbraw/zinc/94/91/68/745949168.db2.gz HOETVVJKGNOMDN-HNNXBMFYSA-N 1 2 307.419 1.436 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@@H]1C ZINC000993443906 746154015 /nfs/dbraw/zinc/15/40/15/746154015.db2.gz NMIQJCCHJGXPOA-JQWIXIFHSA-N 1 2 308.813 1.841 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@@H]1C ZINC000993443906 746154017 /nfs/dbraw/zinc/15/40/17/746154017.db2.gz NMIQJCCHJGXPOA-JQWIXIFHSA-N 1 2 308.813 1.841 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CCC[N@H+](Cc3cncs3)C2)c[nH]1 ZINC001007155148 746296279 /nfs/dbraw/zinc/29/62/79/746296279.db2.gz JHHOZSNRLSLEHS-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CCC[N@@H+](Cc3cncs3)C2)c[nH]1 ZINC001007155148 746296282 /nfs/dbraw/zinc/29/62/82/746296282.db2.gz JHHOZSNRLSLEHS-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CCCN1CC#N ZINC000994213598 746489040 /nfs/dbraw/zinc/48/90/40/746489040.db2.gz SCTLVUVDZNOFJA-UKRRQHHQSA-N 1 2 324.388 1.374 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](Cc2ccnc(OC)n2)CC1 ZINC001195645098 746663449 /nfs/dbraw/zinc/66/34/49/746663449.db2.gz JJYFQEPJKBXTIS-UHFFFAOYSA-N 1 2 316.405 1.323 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](Cc2ccnc(OC)n2)CC1 ZINC001195645098 746663454 /nfs/dbraw/zinc/66/34/54/746663454.db2.gz JJYFQEPJKBXTIS-UHFFFAOYSA-N 1 2 316.405 1.323 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+](Cc2nc(C)cs2)CC1 ZINC001195651815 746673562 /nfs/dbraw/zinc/67/35/62/746673562.db2.gz BHFFEJZEFRQQLS-INIZCTEOSA-N 1 2 323.462 1.813 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+](Cc2nc(C)cs2)CC1 ZINC001195651815 746673565 /nfs/dbraw/zinc/67/35/65/746673565.db2.gz BHFFEJZEFRQQLS-INIZCTEOSA-N 1 2 323.462 1.813 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1O ZINC001195725793 746677793 /nfs/dbraw/zinc/67/77/93/746677793.db2.gz PBHRHYWJVOFBKK-HZPDHXFCSA-N 1 2 306.381 1.843 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1O ZINC001195725793 746677797 /nfs/dbraw/zinc/67/77/97/746677797.db2.gz PBHRHYWJVOFBKK-HZPDHXFCSA-N 1 2 306.381 1.843 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2c(C)noc2C(F)(F)F)C1 ZINC001015766871 746700428 /nfs/dbraw/zinc/70/04/28/746700428.db2.gz CECDJNHKLXTSPF-VIFPVBQESA-N 1 2 301.268 1.439 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2c(C)noc2C(F)(F)F)C1 ZINC001015766871 746700430 /nfs/dbraw/zinc/70/04/30/746700430.db2.gz CECDJNHKLXTSPF-VIFPVBQESA-N 1 2 301.268 1.439 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2Oc3ccccc3O[C@@H]2C)C1 ZINC001031377138 746714380 /nfs/dbraw/zinc/71/43/80/746714380.db2.gz DYNMEGVZRYEGIX-MLGOLLRUSA-N 1 2 302.374 1.591 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc(N=NC(N)=O)cc2)C1 ZINC001031464182 746849906 /nfs/dbraw/zinc/84/99/06/746849906.db2.gz IUIPPLZUDPABPY-UHFFFAOYSA-N 1 2 301.350 1.839 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)C(C)(C)c2ccccc2OC)C1 ZINC001031483136 746879875 /nfs/dbraw/zinc/87/98/75/746879875.db2.gz SJUWCKKZICQWKW-UHFFFAOYSA-N 1 2 300.402 1.797 20 30 DDEDLO CC(C)(C)[C@@H](C[NH+]1CCOCC1)NC(=O)c1ncccc1C#N ZINC001196563700 746892656 /nfs/dbraw/zinc/89/26/56/746892656.db2.gz JHUPHANOHWZBPA-CQSZACIVSA-N 1 2 316.405 1.430 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc3cc[nH]cc-3c2=O)C1 ZINC001007414961 752133093 /nfs/dbraw/zinc/13/30/93/752133093.db2.gz CVWYZDKYBRRKEX-ZDUSSCGKSA-N 1 2 324.384 1.141 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2cnc3cc[nH]cc-3c2=O)C1 ZINC001007414961 752133099 /nfs/dbraw/zinc/13/30/99/752133099.db2.gz CVWYZDKYBRRKEX-ZDUSSCGKSA-N 1 2 324.384 1.141 20 30 DDEDLO C[C@@H]1C[C@@H]1C(=O)NCC1C[NH+](CC#Cc2ccc(F)cc2)C1 ZINC001031521846 746949192 /nfs/dbraw/zinc/94/91/92/746949192.db2.gz LPJVSKNFTOLPJM-DYVFJYSZSA-N 1 2 300.377 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001007434191 752141213 /nfs/dbraw/zinc/14/12/13/752141213.db2.gz QNPCJSRFGYVWDD-NSHDSACASA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001007434191 752141214 /nfs/dbraw/zinc/14/12/14/752141214.db2.gz QNPCJSRFGYVWDD-NSHDSACASA-N 1 2 319.796 1.905 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](CC(=O)NCC2CCC2)CC1 ZINC001196984654 747024172 /nfs/dbraw/zinc/02/41/72/747024172.db2.gz JRRDSAZAPNDJBZ-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](CC(=O)NCC2CCC2)CC1 ZINC001196984654 747024180 /nfs/dbraw/zinc/02/41/80/747024180.db2.gz JRRDSAZAPNDJBZ-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@H+](CC(=O)NC3CC3)CC2)CC1 ZINC001197055537 747038995 /nfs/dbraw/zinc/03/89/95/747038995.db2.gz UYCXMSOYJNSZNH-UHFFFAOYSA-N 1 2 319.449 1.546 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@@H+](CC(=O)NC3CC3)CC2)CC1 ZINC001197055537 747039002 /nfs/dbraw/zinc/03/90/02/747039002.db2.gz UYCXMSOYJNSZNH-UHFFFAOYSA-N 1 2 319.449 1.546 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001197036482 747044573 /nfs/dbraw/zinc/04/45/73/747044573.db2.gz FMMRPUODGIYMPI-SFHVURJKSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001197036482 747044578 /nfs/dbraw/zinc/04/45/78/747044578.db2.gz FMMRPUODGIYMPI-SFHVURJKSA-N 1 2 323.481 1.895 20 30 DDEDLO CCC(CC)(CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001197230514 747112025 /nfs/dbraw/zinc/11/20/25/747112025.db2.gz WQIWJFGZVGRURX-HUUCEWRRSA-N 1 2 310.438 1.014 20 30 DDEDLO CCC(CC)(CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001197230514 747112027 /nfs/dbraw/zinc/11/20/27/747112027.db2.gz WQIWJFGZVGRURX-HUUCEWRRSA-N 1 2 310.438 1.014 20 30 DDEDLO CCc1ocnc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031590206 747120441 /nfs/dbraw/zinc/12/04/41/747120441.db2.gz AAMUHBXNCUJOAL-UHFFFAOYSA-N 1 2 324.384 1.970 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2cccc(=O)[nH]2)C1 ZINC001031600901 747143095 /nfs/dbraw/zinc/14/30/95/747143095.db2.gz WUGZRHNZOQXXIC-UHFFFAOYSA-N 1 2 322.368 1.521 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCC2C[NH+](Cc3ccns3)C2)c1 ZINC001031603940 747155006 /nfs/dbraw/zinc/15/50/06/747155006.db2.gz BWLSTOJYJJRAGJ-UHFFFAOYSA-N 1 2 301.375 1.205 20 30 DDEDLO N#Cc1cc(C(=O)NCC2C[NH+](Cc3cncs3)C2)c[nH]1 ZINC001031622975 747230201 /nfs/dbraw/zinc/23/02/01/747230201.db2.gz PNLMNVGITYHVEC-UHFFFAOYSA-N 1 2 301.375 1.205 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)[C@@H]2CC2(F)F)C1 ZINC001031655963 747324477 /nfs/dbraw/zinc/32/44/77/747324477.db2.gz PYNYUFLEHHULNY-AWEZNQCLSA-N 1 2 305.328 1.761 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2ncccn2)CC1 ZINC001003648914 747354074 /nfs/dbraw/zinc/35/40/74/747354074.db2.gz BQQZTHYLWZMUIX-CABCVRRESA-N 1 2 316.405 1.148 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+](CC(=O)N(C)C)CC2)CCCC1 ZINC001198417999 747486016 /nfs/dbraw/zinc/48/60/16/747486016.db2.gz TZGBUIJXADJCSZ-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+](CC(=O)N(C)C)CC2)CCCC1 ZINC001198417999 747486020 /nfs/dbraw/zinc/48/60/20/747486020.db2.gz TZGBUIJXADJCSZ-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=C(C)C[NH+]1CC(CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001031721293 747524649 /nfs/dbraw/zinc/52/46/49/747524649.db2.gz XBSWRMAQYXDIMD-UHFFFAOYSA-N 1 2 311.389 1.709 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCO[C@H]2C(C)C)C1 ZINC001031723817 747538444 /nfs/dbraw/zinc/53/84/44/747538444.db2.gz VWEGRURIATUYQY-KGLIPLIRSA-N 1 2 300.830 1.848 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2CCCN(C(=O)[C@H](C)C#N)C2)o1 ZINC000998746384 752192992 /nfs/dbraw/zinc/19/29/92/752192992.db2.gz NMISBPBDAHXALI-NEPJUHHUSA-N 1 2 305.382 1.433 20 30 DDEDLO C=CC[C@H](C(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001198897122 747638338 /nfs/dbraw/zinc/63/83/38/747638338.db2.gz ZKRTYZKUKBGFSK-ARFHVFGLSA-N 1 2 306.381 1.477 20 30 DDEDLO C=CC[C@H](C(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001198897122 747638341 /nfs/dbraw/zinc/63/83/41/747638341.db2.gz ZKRTYZKUKBGFSK-ARFHVFGLSA-N 1 2 306.381 1.477 20 30 DDEDLO C=CCSc1ncccc1C(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC001199738705 747974489 /nfs/dbraw/zinc/97/44/89/747974489.db2.gz LKXMUOBGWDFFTK-GFCCVEGCSA-N 1 2 307.419 1.420 20 30 DDEDLO C=CCSc1ncccc1C(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC001199738705 747974493 /nfs/dbraw/zinc/97/44/93/747974493.db2.gz LKXMUOBGWDFFTK-GFCCVEGCSA-N 1 2 307.419 1.420 20 30 DDEDLO CO[C@@H](C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1)C1CC1 ZINC001004423589 748417899 /nfs/dbraw/zinc/41/78/99/748417899.db2.gz CDYGIKWNPXQKOY-JKSUJKDBSA-N 1 2 305.422 1.638 20 30 DDEDLO CO[C@@H](C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1)C1CC1 ZINC001004423589 748417901 /nfs/dbraw/zinc/41/79/01/748417901.db2.gz CDYGIKWNPXQKOY-JKSUJKDBSA-N 1 2 305.422 1.638 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3nccs3)C2)s1 ZINC001032067751 748558403 /nfs/dbraw/zinc/55/84/03/748558403.db2.gz WDAIHXKPRLPLTN-UHFFFAOYSA-N 1 2 318.427 1.938 20 30 DDEDLO CCc1nc[nH]c1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004598738 748577305 /nfs/dbraw/zinc/57/73/05/748577305.db2.gz FNFPYPWRBDKHTQ-OAHLLOKOSA-N 1 2 315.421 1.812 20 30 DDEDLO CCc1nc[nH]c1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004598738 748577311 /nfs/dbraw/zinc/57/73/11/748577311.db2.gz FNFPYPWRBDKHTQ-OAHLLOKOSA-N 1 2 315.421 1.812 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2nc(CC)c[nH]2)C1 ZINC001033155149 748656570 /nfs/dbraw/zinc/65/65/70/748656570.db2.gz VDJZZUNRIPTZEZ-CYBMUJFWSA-N 1 2 310.829 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2nc(CC)c[nH]2)C1 ZINC001033155149 748656574 /nfs/dbraw/zinc/65/65/74/748656574.db2.gz VDJZZUNRIPTZEZ-CYBMUJFWSA-N 1 2 310.829 1.800 20 30 DDEDLO CN1CCN(C(=[NH2+])Nc2nccc(Br)c2C#N)CC1 ZINC001168740886 748739690 /nfs/dbraw/zinc/73/96/90/748739690.db2.gz QSLWKHOHRQBZAU-UHFFFAOYSA-N 1 2 323.198 1.310 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001032155037 748744304 /nfs/dbraw/zinc/74/43/04/748744304.db2.gz NTRLENJWFFNWEN-CQSZACIVSA-N 1 2 305.809 1.597 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+][C@@H](C)c1nc(C)no1)OCC ZINC001128540454 748762674 /nfs/dbraw/zinc/76/26/74/748762674.db2.gz WHGKLEKSQUAYJR-WCQYABFASA-N 1 2 310.398 1.516 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1coc(C)n1 ZINC001153018478 748801214 /nfs/dbraw/zinc/80/12/14/748801214.db2.gz FJTNKFIELZBBNJ-MLGOLLRUSA-N 1 2 309.410 1.637 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1coc(C)n1 ZINC001153018478 748801217 /nfs/dbraw/zinc/80/12/17/748801217.db2.gz FJTNKFIELZBBNJ-MLGOLLRUSA-N 1 2 309.410 1.637 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001032199744 748822592 /nfs/dbraw/zinc/82/25/92/748822592.db2.gz ZEUZCPOPCRUTDE-LBPRGKRZSA-N 1 2 308.813 1.315 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cn3cccnc3n2)C1 ZINC001033226952 748983750 /nfs/dbraw/zinc/98/37/50/748983750.db2.gz YWWYCXGIZRJNCO-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cn3cccnc3n2)C1 ZINC001033226952 748983753 /nfs/dbraw/zinc/98/37/53/748983753.db2.gz YWWYCXGIZRJNCO-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110770138 748996997 /nfs/dbraw/zinc/99/69/97/748996997.db2.gz SKQYKFXTCGCQQB-BLLLJJGKSA-N 1 2 304.394 1.461 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H](OC)C(F)(F)F)C1 ZINC001108326563 761918371 /nfs/dbraw/zinc/91/83/71/761918371.db2.gz AJQBCASJEMYBKL-WCQYABFASA-N 1 2 324.343 1.347 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](OC)C(F)(F)F)C1 ZINC001108326563 761918373 /nfs/dbraw/zinc/91/83/73/761918373.db2.gz AJQBCASJEMYBKL-WCQYABFASA-N 1 2 324.343 1.347 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001108099859 749103890 /nfs/dbraw/zinc/10/38/90/749103890.db2.gz UECSXEXBQXXUCJ-CYBMUJFWSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001108099859 749103892 /nfs/dbraw/zinc/10/38/92/749103892.db2.gz UECSXEXBQXXUCJ-CYBMUJFWSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001033273229 749106317 /nfs/dbraw/zinc/10/63/17/749106317.db2.gz VQIRXJSUUSFOBN-ZDUSSCGKSA-N 1 2 309.797 1.615 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001033273229 749106320 /nfs/dbraw/zinc/10/63/20/749106320.db2.gz VQIRXJSUUSFOBN-ZDUSSCGKSA-N 1 2 309.797 1.615 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cnccn2)cc1 ZINC001032258195 749119717 /nfs/dbraw/zinc/11/97/17/749119717.db2.gz QBFCGDRLCRHXEZ-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cnccn2)cc1 ZINC001032258195 749119720 /nfs/dbraw/zinc/11/97/20/749119720.db2.gz QBFCGDRLCRHXEZ-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(C)CC(F)(F)C2)C1 ZINC001108336673 761923792 /nfs/dbraw/zinc/92/37/92/761923792.db2.gz JSBDALBAFWGWOA-CQSZACIVSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(C)CC(F)(F)C2)C1 ZINC001108336673 761923794 /nfs/dbraw/zinc/92/37/94/761923794.db2.gz JSBDALBAFWGWOA-CQSZACIVSA-N 1 2 302.365 1.815 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@H]2CN(C(=O)C#CC3CC3)CC2(C)C)n1 ZINC000995793293 749247338 /nfs/dbraw/zinc/24/73/38/749247338.db2.gz QSDWEWLFYAHYPY-RISCZKNCSA-N 1 2 316.405 1.679 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2CC[N@H+](Cc3cnnn3C)C2)C1 ZINC001033401162 749320517 /nfs/dbraw/zinc/32/05/17/749320517.db2.gz ZCXJEHDAPIKPLQ-ZDUSSCGKSA-N 1 2 303.410 1.204 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2CC[N@@H+](Cc3cnnn3C)C2)C1 ZINC001033401162 749320521 /nfs/dbraw/zinc/32/05/21/749320521.db2.gz ZCXJEHDAPIKPLQ-ZDUSSCGKSA-N 1 2 303.410 1.204 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001033432378 749329091 /nfs/dbraw/zinc/32/90/91/749329091.db2.gz NZXVUXJDQPSGHN-XHSDSOJGSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001033432378 749329094 /nfs/dbraw/zinc/32/90/94/749329094.db2.gz NZXVUXJDQPSGHN-XHSDSOJGSA-N 1 2 318.421 1.044 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H](C)CCC)nn2)C1 ZINC001107144323 749394717 /nfs/dbraw/zinc/39/47/17/749394717.db2.gz LXWNJEWABRBDDM-ZDUSSCGKSA-N 1 2 305.426 1.763 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)Oc2ccccn2)C1 ZINC001108346414 761948634 /nfs/dbraw/zinc/94/86/34/761948634.db2.gz JXFWZJWKALSXEU-PBHICJAKSA-N 1 2 319.405 1.242 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)Oc2ccccn2)C1 ZINC001108346414 761948637 /nfs/dbraw/zinc/94/86/37/761948637.db2.gz JXFWZJWKALSXEU-PBHICJAKSA-N 1 2 319.405 1.242 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001108343553 761959584 /nfs/dbraw/zinc/95/95/84/761959584.db2.gz UVQWSUQWVYFNAH-INIZCTEOSA-N 1 2 306.410 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001108343553 761959589 /nfs/dbraw/zinc/95/95/89/761959589.db2.gz UVQWSUQWVYFNAH-INIZCTEOSA-N 1 2 306.410 1.080 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001033540364 749515458 /nfs/dbraw/zinc/51/54/58/749515458.db2.gz KQLRNJGPTCSQIL-CQSZACIVSA-N 1 2 324.856 1.983 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001033540364 749515459 /nfs/dbraw/zinc/51/54/59/749515459.db2.gz KQLRNJGPTCSQIL-CQSZACIVSA-N 1 2 324.856 1.983 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C(C)(C)C(=C)C)nn2)C1 ZINC001107192795 749537036 /nfs/dbraw/zinc/53/70/36/749537036.db2.gz XFMHUFUYZXCAQS-UHFFFAOYSA-N 1 2 317.437 1.929 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)n2cccc2)C1 ZINC001108351283 761970916 /nfs/dbraw/zinc/97/09/16/761970916.db2.gz HDLHMJGJHSHGRD-SJLPKXTDSA-N 1 2 317.433 1.670 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)n2cccc2)C1 ZINC001108351283 761970920 /nfs/dbraw/zinc/97/09/20/761970920.db2.gz HDLHMJGJHSHGRD-SJLPKXTDSA-N 1 2 317.433 1.670 20 30 DDEDLO N#Cc1cccnc1NC/C=C\CNC(=O)CCCn1cc[nH+]c1 ZINC001107237462 749678725 /nfs/dbraw/zinc/67/87/25/749678725.db2.gz MDJZINSWVAHGEP-UPHRSURJSA-N 1 2 324.388 1.714 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cccnc2C(N)=O)C1 ZINC001033684816 749714372 /nfs/dbraw/zinc/71/43/72/749714372.db2.gz MIOOUCGCFHYCGX-LLVKDONJSA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cccnc2C(N)=O)C1 ZINC001033684816 749714375 /nfs/dbraw/zinc/71/43/75/749714375.db2.gz MIOOUCGCFHYCGX-LLVKDONJSA-N 1 2 322.796 1.079 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@](C)(CNc2cc[nH+]c(C)n2)C1 ZINC001110848064 749755066 /nfs/dbraw/zinc/75/50/66/749755066.db2.gz CYPSYNMGHZWYET-CXAGYDPISA-N 1 2 316.405 1.474 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@]3(CNC(=O)[C@@H](C)C#N)CCC[C@@H]23)[nH]1 ZINC001107336700 749827630 /nfs/dbraw/zinc/82/76/30/749827630.db2.gz PZRLYJVOQFOZAY-NORZTCDRSA-N 1 2 316.409 1.134 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@]3(CNC(=O)[C@@H](C)C#N)CCC[C@@H]23)[nH]1 ZINC001107336700 749827636 /nfs/dbraw/zinc/82/76/36/749827636.db2.gz PZRLYJVOQFOZAY-NORZTCDRSA-N 1 2 316.409 1.134 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3C[C@H]4CC[C@@H]3N4CC#N)ccn12 ZINC001095413905 749844571 /nfs/dbraw/zinc/84/45/71/749844571.db2.gz HJJJQTIYXGCYJP-ILXRZTDVSA-N 1 2 309.373 1.501 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC)CCCC)[C@@H](n2ccnn2)C1 ZINC001128736972 749863978 /nfs/dbraw/zinc/86/39/78/749863978.db2.gz UFPJBCKHEDSIBF-OAGGEKHMSA-N 1 2 317.437 1.469 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)CCCC)[C@@H](n2ccnn2)C1 ZINC001128736972 749863984 /nfs/dbraw/zinc/86/39/84/749863984.db2.gz UFPJBCKHEDSIBF-OAGGEKHMSA-N 1 2 317.437 1.469 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C\CNC(=O)CCn2cc[nH+]c2)n1 ZINC001107445704 749967694 /nfs/dbraw/zinc/96/76/94/749967694.db2.gz OHEULZDUZVWAJW-IHWYPQMZSA-N 1 2 324.388 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001033864271 749990238 /nfs/dbraw/zinc/99/02/38/749990238.db2.gz OTWBOHIYOZCKQE-LLVKDONJSA-N 1 2 312.801 1.713 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001033864271 749990241 /nfs/dbraw/zinc/99/02/41/749990241.db2.gz OTWBOHIYOZCKQE-LLVKDONJSA-N 1 2 312.801 1.713 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)COc2ccccc2C)C1 ZINC001108376759 761999262 /nfs/dbraw/zinc/99/92/62/761999262.db2.gz RMNDTFOJWGLBCJ-GOSISDBHSA-N 1 2 318.417 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)COc2ccccc2C)C1 ZINC001108376759 761999267 /nfs/dbraw/zinc/99/92/67/761999267.db2.gz RMNDTFOJWGLBCJ-GOSISDBHSA-N 1 2 318.417 1.767 20 30 DDEDLO CN(CCCNC(=O)CCc1[nH]cc[nH+]1)c1ccc(C#N)nc1 ZINC001095532169 750017197 /nfs/dbraw/zinc/01/71/97/750017197.db2.gz LFDDRIPFEPUSMM-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@]12CCC[C@H]1N(CC#N)CC2 ZINC001107573464 750163007 /nfs/dbraw/zinc/16/30/07/750163007.db2.gz BWQQIMGPZRPNMC-FRFSOERESA-N 1 2 315.421 1.473 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@]12CCC[C@H]1N(CC#N)CC2 ZINC001107573469 750163454 /nfs/dbraw/zinc/16/34/54/750163454.db2.gz BWQQIMGPZRPNMC-YSVLISHTSA-N 1 2 315.421 1.473 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001110888549 750176532 /nfs/dbraw/zinc/17/65/32/750176532.db2.gz JLJHWOJXQOCYPW-KFWWJZLASA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001110888549 750176536 /nfs/dbraw/zinc/17/65/36/750176536.db2.gz JLJHWOJXQOCYPW-KFWWJZLASA-N 1 2 321.465 1.836 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cccnc3)C[C@H]2O)C1 ZINC001077641918 750255543 /nfs/dbraw/zinc/25/55/43/750255543.db2.gz NSULRDFELOURIB-HUUCEWRRSA-N 1 2 301.390 1.099 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cccnc3)C[C@H]2O)C1 ZINC001077641918 750255547 /nfs/dbraw/zinc/25/55/47/750255547.db2.gz NSULRDFELOURIB-HUUCEWRRSA-N 1 2 301.390 1.099 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(C)C ZINC001110989312 750284802 /nfs/dbraw/zinc/28/48/02/750284802.db2.gz KSZVGHLIDOCPEL-BSXFFOKHSA-N 1 2 321.465 1.835 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC(C)C ZINC001110989312 750284805 /nfs/dbraw/zinc/28/48/05/750284805.db2.gz KSZVGHLIDOCPEL-BSXFFOKHSA-N 1 2 321.465 1.835 20 30 DDEDLO CCc1ncoc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#CCOC ZINC001111000216 750293738 /nfs/dbraw/zinc/29/37/38/750293738.db2.gz BTRHYZZWMRPXRU-YUELXQCFSA-N 1 2 317.389 1.222 20 30 DDEDLO CCc1ncoc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#CCOC ZINC001111000216 750293741 /nfs/dbraw/zinc/29/37/41/750293741.db2.gz BTRHYZZWMRPXRU-YUELXQCFSA-N 1 2 317.389 1.222 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(C)C ZINC001111072843 750348704 /nfs/dbraw/zinc/34/87/04/750348704.db2.gz WCXRPFYWJIYYRK-KFWWJZLASA-N 1 2 321.465 1.835 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC(C)C ZINC001111072843 750348712 /nfs/dbraw/zinc/34/87/12/750348712.db2.gz WCXRPFYWJIYYRK-KFWWJZLASA-N 1 2 321.465 1.835 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001077739783 750471913 /nfs/dbraw/zinc/47/19/13/750471913.db2.gz JUSDXKCPAWXUHZ-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CNc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001098506784 750614144 /nfs/dbraw/zinc/61/41/44/750614144.db2.gz ZLKCOHLWMFQRLT-CYBMUJFWSA-N 1 2 318.425 1.473 20 30 DDEDLO CCCCOCC[N@@H+]1CCO[C@](C)(CNC(=O)C#CC2CC2)C1 ZINC001107934800 750670146 /nfs/dbraw/zinc/67/01/46/750670146.db2.gz MAJIVOVBZWIOTI-GOSISDBHSA-N 1 2 322.449 1.424 20 30 DDEDLO CCCCOCC[N@H+]1CCO[C@](C)(CNC(=O)C#CC2CC2)C1 ZINC001107934800 750670147 /nfs/dbraw/zinc/67/01/47/750670147.db2.gz MAJIVOVBZWIOTI-GOSISDBHSA-N 1 2 322.449 1.424 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000997238499 750717550 /nfs/dbraw/zinc/71/75/50/750717550.db2.gz NJNLHXKCAFQEBS-MRXNPFEDSA-N 1 2 304.394 1.190 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001032440057 750838143 /nfs/dbraw/zinc/83/81/43/750838143.db2.gz AQNIXZVWAZZLGN-RYUDHWBXSA-N 1 2 318.808 1.863 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)[C@H](C)CCC)CC2 ZINC001127965458 750868177 /nfs/dbraw/zinc/86/81/77/750868177.db2.gz UXDVZGDKOMIIDC-KGLIPLIRSA-N 1 2 317.437 1.258 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(F)cc2F)C1 ZINC001107956716 750889256 /nfs/dbraw/zinc/88/92/56/750889256.db2.gz ODLKDCFAJJPJFD-KRWDZBQOSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(F)cc2F)C1 ZINC001107956716 750889263 /nfs/dbraw/zinc/88/92/63/750889263.db2.gz ODLKDCFAJJPJFD-KRWDZBQOSA-N 1 2 324.371 1.900 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001107958335 750922160 /nfs/dbraw/zinc/92/21/60/750922160.db2.gz IQQSQLJNFAFJSO-LLVKDONJSA-N 1 2 306.414 1.519 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001114759638 751055896 /nfs/dbraw/zinc/05/58/96/751055896.db2.gz MESDNQGVGKRPRM-MUYACECFSA-N 1 2 304.394 1.842 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001114759638 751055901 /nfs/dbraw/zinc/05/59/01/751055901.db2.gz MESDNQGVGKRPRM-MUYACECFSA-N 1 2 304.394 1.842 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccn4C)C[C@H]32)CCC1 ZINC001114784985 751077071 /nfs/dbraw/zinc/07/70/71/751077071.db2.gz FNQUXLVVUYVEGF-FOLVSLTJSA-N 1 2 314.433 1.713 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccn4C)C[C@H]32)CCC1 ZINC001114784985 751077079 /nfs/dbraw/zinc/07/70/79/751077079.db2.gz FNQUXLVVUYVEGF-FOLVSLTJSA-N 1 2 314.433 1.713 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]cn1C ZINC001077813239 751168320 /nfs/dbraw/zinc/16/83/20/751168320.db2.gz CPOPYQRQBUUDMD-AWEZNQCLSA-N 1 2 320.437 1.720 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1-n1cccn1 ZINC001032552956 751286697 /nfs/dbraw/zinc/28/66/97/751286697.db2.gz FYNUXIIONACORI-GJZGRUSLSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1-n1cccn1 ZINC001032552956 751286700 /nfs/dbraw/zinc/28/67/00/751286700.db2.gz FYNUXIIONACORI-GJZGRUSLSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-c2nc[nH]n2)c1 ZINC001032556713 751296572 /nfs/dbraw/zinc/29/65/72/751296572.db2.gz RULJCEYPANIHAO-HOTGVXAUSA-N 1 2 321.384 1.394 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-c2nc[nH]n2)c1 ZINC001032556713 751296576 /nfs/dbraw/zinc/29/65/76/751296576.db2.gz RULJCEYPANIHAO-HOTGVXAUSA-N 1 2 321.384 1.394 20 30 DDEDLO C=C1CCC(C(=O)NC2CN(C(=O)Cc3c[nH+]cn3C)C2)CC1 ZINC000999094091 752505065 /nfs/dbraw/zinc/50/50/65/752505065.db2.gz YVMJEDILXDBWMS-UHFFFAOYSA-N 1 2 316.405 1.036 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)CCCn1cc[nH+]c1 ZINC001108421170 762137531 /nfs/dbraw/zinc/13/75/31/762137531.db2.gz ROQSDNLTSXNJQY-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(CCOC)CC2)C1 ZINC001107989262 752849097 /nfs/dbraw/zinc/84/90/97/752849097.db2.gz QORKKJVWRYJQQO-MRXNPFEDSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2(CCOC)CC2)C1 ZINC001107989262 752849105 /nfs/dbraw/zinc/84/91/05/752849105.db2.gz QORKKJVWRYJQQO-MRXNPFEDSA-N 1 2 308.422 1.034 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@@H]2CCC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001008813615 752930234 /nfs/dbraw/zinc/93/02/34/752930234.db2.gz HSTNHPOZTAWYBD-QGZVFWFLSA-N 1 2 322.412 1.964 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001008813615 752930241 /nfs/dbraw/zinc/93/02/41/752930241.db2.gz HSTNHPOZTAWYBD-QGZVFWFLSA-N 1 2 322.412 1.964 20 30 DDEDLO Cn1ncc(C(=O)N[C@H]2CCC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001008862999 752950758 /nfs/dbraw/zinc/95/07/58/752950758.db2.gz MAFVJFCZJLBKSO-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1ncc(C(=O)N[C@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001008862999 752950763 /nfs/dbraw/zinc/95/07/63/752950763.db2.gz MAFVJFCZJLBKSO-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1ncccn1 ZINC001008948245 753002359 /nfs/dbraw/zinc/00/23/59/753002359.db2.gz CWHLGXIORFAUIL-QGZVFWFLSA-N 1 2 320.396 1.723 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1ncccn1 ZINC001008948245 753002365 /nfs/dbraw/zinc/00/23/65/753002365.db2.gz CWHLGXIORFAUIL-QGZVFWFLSA-N 1 2 320.396 1.723 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001077926413 753433003 /nfs/dbraw/zinc/43/30/03/753433003.db2.gz UWNHLTOKUPLPPG-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)cc(OC)c1 ZINC001032751489 753464417 /nfs/dbraw/zinc/46/44/17/753464417.db2.gz CXOADADVNJCTDI-GJZGRUSLSA-N 1 2 314.385 1.626 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)cc(OC)c1 ZINC001032751489 753464419 /nfs/dbraw/zinc/46/44/19/753464419.db2.gz CXOADADVNJCTDI-GJZGRUSLSA-N 1 2 314.385 1.626 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nn1)NC(=O)c1cccc2[nH+]ccn21 ZINC001108008503 753497818 /nfs/dbraw/zinc/49/78/18/753497818.db2.gz UQFSSSULVAYSAN-LLVKDONJSA-N 1 2 321.344 1.226 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cnccn3)C2)s1 ZINC001009968442 753510862 /nfs/dbraw/zinc/51/08/62/753510862.db2.gz PKVPJPJVHGNXRO-LLVKDONJSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cnccn3)C2)s1 ZINC001009968442 753510869 /nfs/dbraw/zinc/51/08/69/753510869.db2.gz PKVPJPJVHGNXRO-LLVKDONJSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)C2=COCCO2)C1 ZINC001009999270 753533669 /nfs/dbraw/zinc/53/36/69/753533669.db2.gz TYTZHVIIIBZHLF-OAHLLOKOSA-N 1 2 313.357 1.137 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)C2=COCCO2)C1 ZINC001009999270 753533670 /nfs/dbraw/zinc/53/36/70/753533670.db2.gz TYTZHVIIIBZHLF-OAHLLOKOSA-N 1 2 313.357 1.137 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001078058595 753691300 /nfs/dbraw/zinc/69/13/00/753691300.db2.gz DQZPEDKIZCYDLE-HZPDHXFCSA-N 1 2 300.402 1.392 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001078058595 753691305 /nfs/dbraw/zinc/69/13/05/753691305.db2.gz DQZPEDKIZCYDLE-HZPDHXFCSA-N 1 2 300.402 1.392 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2cnsn2)C1 ZINC001010331322 753770476 /nfs/dbraw/zinc/77/04/76/753770476.db2.gz SUMLCODRMPYJAH-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2cnsn2)C1 ZINC001010331322 753770481 /nfs/dbraw/zinc/77/04/81/753770481.db2.gz SUMLCODRMPYJAH-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001063038033 753931444 /nfs/dbraw/zinc/93/14/44/753931444.db2.gz VLTMJHYLXYXVNS-ZNMIVQPWSA-N 1 2 316.405 1.413 20 30 DDEDLO C#CC[NH2+][C@@H]1C[C@@H](NC(=O)c2cncc3nc[nH]c32)C12CCC2 ZINC001078631418 754239198 /nfs/dbraw/zinc/23/91/98/754239198.db2.gz GLBLWMNYKGMHLD-ZIAGYGMSSA-N 1 2 309.373 1.222 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)CC[C@H]1Nc1ccc(C#N)cn1 ZINC001063760623 754356716 /nfs/dbraw/zinc/35/67/16/754356716.db2.gz NEDUZGHNKZQRHB-TZMCWYRMSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001063863276 754379992 /nfs/dbraw/zinc/37/99/92/754379992.db2.gz CKPISVAHNBLLAI-UHFFFAOYSA-N 1 2 304.394 1.382 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064785719 754847860 /nfs/dbraw/zinc/84/78/60/754847860.db2.gz RBDHQVZUMRMMOA-KBPBESRZSA-N 1 2 324.388 1.641 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001079475488 755370709 /nfs/dbraw/zinc/37/07/09/755370709.db2.gz QCIGXXASHYYHRT-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001079475488 755370713 /nfs/dbraw/zinc/37/07/13/755370713.db2.gz QCIGXXASHYYHRT-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2nccs2)C[C@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC001079542350 755428000 /nfs/dbraw/zinc/42/80/00/755428000.db2.gz RLEYUEBSWLYWAV-ZWNOBZJWSA-N 1 2 315.402 1.593 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2nccs2)C[C@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC001079542350 755428004 /nfs/dbraw/zinc/42/80/04/755428004.db2.gz RLEYUEBSWLYWAV-ZWNOBZJWSA-N 1 2 315.402 1.593 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001079940311 755661597 /nfs/dbraw/zinc/66/15/97/755661597.db2.gz FQNAZOLWPWTLCD-PRHODGIISA-N 1 2 324.812 1.189 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001079940311 755661598 /nfs/dbraw/zinc/66/15/98/755661598.db2.gz FQNAZOLWPWTLCD-PRHODGIISA-N 1 2 324.812 1.189 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3ncc[nH]3)C2)c(F)c1 ZINC001014379582 755708177 /nfs/dbraw/zinc/70/81/77/755708177.db2.gz IVDKLYLGTOECII-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3ncc[nH]3)C2)c(F)c1 ZINC001014379582 755708178 /nfs/dbraw/zinc/70/81/78/755708178.db2.gz IVDKLYLGTOECII-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO Cc1cn(C)nc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001014391753 755713648 /nfs/dbraw/zinc/71/36/48/755713648.db2.gz DZQHHYAOZJZDHH-KRWDZBQOSA-N 1 2 322.412 1.584 20 30 DDEDLO Cc1cn(C)nc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001014391753 755713650 /nfs/dbraw/zinc/71/36/50/755713650.db2.gz DZQHHYAOZJZDHH-KRWDZBQOSA-N 1 2 322.412 1.584 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080352516 755865821 /nfs/dbraw/zinc/86/58/21/755865821.db2.gz NFRBSNHDSQHLGX-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@H]1CC[N@@H+](Cc2ccnn2C)C1 ZINC001014721178 755906556 /nfs/dbraw/zinc/90/65/56/755906556.db2.gz CMQWDMGJPUKHSJ-AWEZNQCLSA-N 1 2 313.405 1.412 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@H]1CC[N@H+](Cc2ccnn2C)C1 ZINC001014721178 755906561 /nfs/dbraw/zinc/90/65/61/755906561.db2.gz CMQWDMGJPUKHSJ-AWEZNQCLSA-N 1 2 313.405 1.412 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080630646 756013809 /nfs/dbraw/zinc/01/38/09/756013809.db2.gz LMLLHWYPPBFJDY-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO CC#CCCCC(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080631817 756014281 /nfs/dbraw/zinc/01/42/81/756014281.db2.gz HUERBOWRSHMVII-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO Cc1cc(N(C)[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)c(C#N)cn1 ZINC001057038935 762453428 /nfs/dbraw/zinc/45/34/28/762453428.db2.gz YVIUNFBODGSWPF-OAHLLOKOSA-N 1 2 324.388 1.265 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2c(C)nn(C)c2C)C1 ZINC001015437065 756299476 /nfs/dbraw/zinc/29/94/76/756299476.db2.gz AXVQVWFSKCYPCY-ZDUSSCGKSA-N 1 2 310.829 1.522 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2c(C)nn(C)c2C)C1 ZINC001015437065 756299481 /nfs/dbraw/zinc/29/94/81/756299481.db2.gz AXVQVWFSKCYPCY-ZDUSSCGKSA-N 1 2 310.829 1.522 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001040260311 762466261 /nfs/dbraw/zinc/46/62/61/762466261.db2.gz ITISEHOCTBKBQK-NHYWBVRUSA-N 1 2 310.361 1.320 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccn(C(C)(C)C)n2)[C@H](OC)C1 ZINC001081756737 756467453 /nfs/dbraw/zinc/46/74/53/756467453.db2.gz YUNAMHWAGMRGQZ-HUUCEWRRSA-N 1 2 318.421 1.090 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccn(C(C)(C)C)n2)[C@H](OC)C1 ZINC001081756737 756467457 /nfs/dbraw/zinc/46/74/57/756467457.db2.gz YUNAMHWAGMRGQZ-HUUCEWRRSA-N 1 2 318.421 1.090 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001040271266 762470549 /nfs/dbraw/zinc/47/05/49/762470549.db2.gz HZYBGLVIXCHMJN-LRDDRELGSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001040271266 762470550 /nfs/dbraw/zinc/47/05/50/762470550.db2.gz HZYBGLVIXCHMJN-LRDDRELGSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cn2nnc3c2CCCC3)C1 ZINC001015710674 756487586 /nfs/dbraw/zinc/48/75/86/756487586.db2.gz PRQAMEZSXPCCFE-GFCCVEGCSA-N 1 2 323.828 1.100 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cn2nnc3c2CCCC3)C1 ZINC001015710674 756487589 /nfs/dbraw/zinc/48/75/89/756487589.db2.gz PRQAMEZSXPCCFE-GFCCVEGCSA-N 1 2 323.828 1.100 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)cc(C)n2)[C@H](OC)C1 ZINC001081883448 756506700 /nfs/dbraw/zinc/50/67/00/756506700.db2.gz HZHGJCWVMMFRNC-HZPDHXFCSA-N 1 2 301.390 1.151 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)cc(C)n2)[C@H](OC)C1 ZINC001081883448 756506707 /nfs/dbraw/zinc/50/67/07/756506707.db2.gz HZHGJCWVMMFRNC-HZPDHXFCSA-N 1 2 301.390 1.151 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2ncc(Cl)s2)[C@H](OC)C1 ZINC001081941708 756554912 /nfs/dbraw/zinc/55/49/12/756554912.db2.gz XHWSSCFSOOXAJW-NXEZZACHSA-N 1 2 315.826 1.802 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2ncc(Cl)s2)[C@H](OC)C1 ZINC001081941708 756554919 /nfs/dbraw/zinc/55/49/19/756554919.db2.gz XHWSSCFSOOXAJW-NXEZZACHSA-N 1 2 315.826 1.802 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2nc3ccccc3cc2C)[C@H](OC)C1 ZINC001081959568 756565139 /nfs/dbraw/zinc/56/51/39/756565139.db2.gz NBYPEGFHMHTDFQ-IAGOWNOFSA-N 1 2 323.396 1.605 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2nc3ccccc3cc2C)[C@H](OC)C1 ZINC001081959568 756565143 /nfs/dbraw/zinc/56/51/43/756565143.db2.gz NBYPEGFHMHTDFQ-IAGOWNOFSA-N 1 2 323.396 1.605 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001015828958 756576900 /nfs/dbraw/zinc/57/69/00/756576900.db2.gz NEHWRCJAUWXEDE-BPUTZDHNSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001015828958 756576904 /nfs/dbraw/zinc/57/69/04/756576904.db2.gz NEHWRCJAUWXEDE-BPUTZDHNSA-N 1 2 318.421 1.092 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)[C@H](OC)C1 ZINC001082010330 756594938 /nfs/dbraw/zinc/59/49/38/756594938.db2.gz BVSNFMSNXXJMLH-IAGOWNOFSA-N 1 2 311.385 1.539 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)[C@H](OC)C1 ZINC001082010330 756594941 /nfs/dbraw/zinc/59/49/41/756594941.db2.gz BVSNFMSNXXJMLH-IAGOWNOFSA-N 1 2 311.385 1.539 20 30 DDEDLO C=C(C)CCC(=O)N1CCCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001082112299 756645352 /nfs/dbraw/zinc/64/53/52/756645352.db2.gz ZXCIBLFBEQNESW-HNNXBMFYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001015984978 756698154 /nfs/dbraw/zinc/69/81/54/756698154.db2.gz PYYUVQQLABUMCE-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001015984978 756698159 /nfs/dbraw/zinc/69/81/59/756698159.db2.gz PYYUVQQLABUMCE-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)nc3)C2)nc1 ZINC001016026811 756730933 /nfs/dbraw/zinc/73/09/33/756730933.db2.gz JYQOTPZHNPZGGX-MRXNPFEDSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)nc3)C2)nc1 ZINC001016026811 756730938 /nfs/dbraw/zinc/73/09/38/756730938.db2.gz JYQOTPZHNPZGGX-MRXNPFEDSA-N 1 2 321.384 1.166 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCc3nnc(C)n3C2)C1 ZINC001016328153 756931133 /nfs/dbraw/zinc/93/11/33/756931133.db2.gz SLUNRQZUKZJCKU-STQMWFEESA-N 1 2 323.828 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCc3nnc(C)n3C2)C1 ZINC001016328153 756931135 /nfs/dbraw/zinc/93/11/35/756931135.db2.gz SLUNRQZUKZJCKU-STQMWFEESA-N 1 2 323.828 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc3n1CCC3)C2 ZINC001097247414 757018859 /nfs/dbraw/zinc/01/88/59/757018859.db2.gz ZNEHGTISERWMTF-UPJWGTAASA-N 1 2 320.824 1.917 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc3n1CCC3)C2 ZINC001097247414 757018864 /nfs/dbraw/zinc/01/88/64/757018864.db2.gz ZNEHGTISERWMTF-UPJWGTAASA-N 1 2 320.824 1.917 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)cc3C)[C@H]2C1 ZINC001083183375 757192924 /nfs/dbraw/zinc/19/29/24/757192924.db2.gz BFOXXZITFWDQBB-JKSUJKDBSA-N 1 2 318.804 1.807 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)cc3C)[C@H]2C1 ZINC001083183375 757192930 /nfs/dbraw/zinc/19/29/30/757192930.db2.gz BFOXXZITFWDQBB-JKSUJKDBSA-N 1 2 318.804 1.807 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)c2cccc(Cl)c2)[C@@H](O)C1 ZINC001084075843 757253344 /nfs/dbraw/zinc/25/33/44/757253344.db2.gz VNVKZRWIGTZCQZ-DFBGVHRSSA-N 1 2 306.793 1.238 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)c2cccc(Cl)c2)[C@@H](O)C1 ZINC001084075843 757253348 /nfs/dbraw/zinc/25/33/48/757253348.db2.gz VNVKZRWIGTZCQZ-DFBGVHRSSA-N 1 2 306.793 1.238 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2sc(C(C)C)nc2C)[C@@H](O)C1 ZINC001084055930 757262496 /nfs/dbraw/zinc/26/24/96/757262496.db2.gz JFWYILOFTKRUBR-OLZOCXBDSA-N 1 2 321.446 1.373 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2sc(C(C)C)nc2C)[C@@H](O)C1 ZINC001084055930 757262502 /nfs/dbraw/zinc/26/25/02/757262502.db2.gz JFWYILOFTKRUBR-OLZOCXBDSA-N 1 2 321.446 1.373 20 30 DDEDLO N#CCN1CCC2(C[C@H]2NC(=O)c2cccc3[nH+]ccn32)CC1 ZINC001016728558 757302294 /nfs/dbraw/zinc/30/22/94/757302294.db2.gz XSFYJRPZODLWPS-CQSZACIVSA-N 1 2 309.373 1.442 20 30 DDEDLO C=CCc1ccnc(NC[C@H]2COCC[N@@H+]2C)c1C(OC)OC ZINC001169651739 762573529 /nfs/dbraw/zinc/57/35/29/762573529.db2.gz UQEKEKFDJWWOBF-AWEZNQCLSA-N 1 2 321.421 1.844 20 30 DDEDLO C=CCc1ccnc(NC[C@H]2COCC[N@H+]2C)c1C(OC)OC ZINC001169651739 762573535 /nfs/dbraw/zinc/57/35/35/762573535.db2.gz UQEKEKFDJWWOBF-AWEZNQCLSA-N 1 2 321.421 1.844 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1cnc(C#N)c(C(F)(F)F)c1 ZINC001169654470 762575735 /nfs/dbraw/zinc/57/57/35/762575735.db2.gz SJXHZLZVEXKADP-SNVBAGLBSA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1cnc(C#N)c(C(F)(F)F)c1 ZINC001169654470 762575736 /nfs/dbraw/zinc/57/57/36/762575736.db2.gz SJXHZLZVEXKADP-SNVBAGLBSA-N 1 2 300.284 1.715 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cnc(COC)s3)[C@@H]2C1 ZINC001084871449 758004590 /nfs/dbraw/zinc/00/45/90/758004590.db2.gz LDVXZOABYAGLTI-CHWSQXEVSA-N 1 2 319.430 1.459 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc(COC)s3)[C@@H]2C1 ZINC001084871449 758004600 /nfs/dbraw/zinc/00/46/00/758004600.db2.gz LDVXZOABYAGLTI-CHWSQXEVSA-N 1 2 319.430 1.459 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1-c1cn[nH]c1 ZINC001017605587 758071495 /nfs/dbraw/zinc/07/14/95/758071495.db2.gz BBZFJLSVRLNFJD-IYBDPMFKSA-N 1 2 320.396 1.999 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1-c1cn[nH]c1 ZINC001017605587 758071505 /nfs/dbraw/zinc/07/15/05/758071505.db2.gz BBZFJLSVRLNFJD-IYBDPMFKSA-N 1 2 320.396 1.999 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(OC)cc1F ZINC001017715338 758178372 /nfs/dbraw/zinc/17/83/72/758178372.db2.gz ZNWIEKATFWKPQQ-BETUJISGSA-N 1 2 302.349 1.756 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(OC)cc1F ZINC001017715338 758178381 /nfs/dbraw/zinc/17/83/81/758178381.db2.gz ZNWIEKATFWKPQQ-BETUJISGSA-N 1 2 302.349 1.756 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C)oc1C)CCO2 ZINC001053152462 758208789 /nfs/dbraw/zinc/20/87/89/758208789.db2.gz XBKVSJXUPQGJEG-UHFFFAOYSA-N 1 2 304.390 1.999 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC001017758520 758211496 /nfs/dbraw/zinc/21/14/96/758211496.db2.gz ADFRRQRTUOPHOZ-QKPAOTATSA-N 1 2 312.417 1.222 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC001017758520 758211504 /nfs/dbraw/zinc/21/15/04/758211504.db2.gz ADFRRQRTUOPHOZ-QKPAOTATSA-N 1 2 312.417 1.222 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cccc(C)n1)CCO2 ZINC001053162539 758218227 /nfs/dbraw/zinc/21/82/27/758218227.db2.gz LVUIPUPUHZDRHB-UHFFFAOYSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C1CCC(O)CC1)CCO2 ZINC001053237949 758294263 /nfs/dbraw/zinc/29/42/63/758294263.db2.gz AHPZXTWPYVHNMW-UHFFFAOYSA-N 1 2 308.422 1.027 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2cccnc21 ZINC001017858110 758302380 /nfs/dbraw/zinc/30/23/80/758302380.db2.gz YKHOAEYAHMGNRT-BBWFWOEESA-N 1 2 309.413 1.810 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2cccnc21 ZINC001017858110 758302387 /nfs/dbraw/zinc/30/23/87/758302387.db2.gz YKHOAEYAHMGNRT-BBWFWOEESA-N 1 2 309.413 1.810 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001053273108 758327671 /nfs/dbraw/zinc/32/76/71/758327671.db2.gz LLBSOBOPDPGGEW-OLZOCXBDSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCn1cccc1C(=O)N1CCOC2(C[NH+](CCCF)C2)C1 ZINC001053289427 758346013 /nfs/dbraw/zinc/34/60/13/758346013.db2.gz RYQYZNSRGBZWNF-UHFFFAOYSA-N 1 2 321.396 1.561 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001053312904 758366402 /nfs/dbraw/zinc/36/64/02/758366402.db2.gz QWUMJVMYJGJLKL-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)C(F)(F)F)CCO2 ZINC001053377646 758413944 /nfs/dbraw/zinc/41/39/44/758413944.db2.gz MDASVAQCUWZHDU-LLVKDONJSA-N 1 2 306.328 1.674 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2nc[nH]n2)c1 ZINC001018076269 758528168 /nfs/dbraw/zinc/52/81/68/758528168.db2.gz CVXUIFPBDZQWCK-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2nc[nH]n2)c1 ZINC001018076269 758528169 /nfs/dbraw/zinc/52/81/69/758528169.db2.gz CVXUIFPBDZQWCK-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c(C)noc1CC)CCO2 ZINC001053529175 758537184 /nfs/dbraw/zinc/53/71/84/758537184.db2.gz MOABTTQNGAIWQZ-UHFFFAOYSA-N 1 2 319.405 1.648 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1Nc1ncccc1C#N ZINC001067218300 758544520 /nfs/dbraw/zinc/54/45/20/758544520.db2.gz UOUKQLDSSNCCTL-DOMZBBRYSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1Nc1ncccc1C#N ZINC001067218300 758544525 /nfs/dbraw/zinc/54/45/25/758544525.db2.gz UOUKQLDSSNCCTL-DOMZBBRYSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1CCC[C@H]1CC ZINC001018151784 758583581 /nfs/dbraw/zinc/58/35/81/758583581.db2.gz GTVPSSQSBVPFLM-KFWWJZLASA-N 1 2 305.422 1.249 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1CCC[C@H]1CC ZINC001018151784 758583583 /nfs/dbraw/zinc/58/35/83/758583583.db2.gz GTVPSSQSBVPFLM-KFWWJZLASA-N 1 2 305.422 1.249 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccncc1F)O2 ZINC001053585747 758599767 /nfs/dbraw/zinc/59/97/67/758599767.db2.gz KMGSRVJOKBNXHH-CYBMUJFWSA-N 1 2 319.380 1.760 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](OC)C1CCCC1 ZINC001018218765 758641971 /nfs/dbraw/zinc/64/19/71/758641971.db2.gz KBHLXDLXJAHISG-IXDOHACOSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](OC)C1CCCC1 ZINC001018218765 758641977 /nfs/dbraw/zinc/64/19/77/758641977.db2.gz KBHLXDLXJAHISG-IXDOHACOSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1conc1C1CC1)O2 ZINC001053659116 758673187 /nfs/dbraw/zinc/67/31/87/758673187.db2.gz MJNUKZQARNBQOG-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)n[nH]c1C ZINC001018259014 758674890 /nfs/dbraw/zinc/67/48/90/758674890.db2.gz RJXRSMIFGOEMIK-GASCZTMLSA-N 1 2 300.406 1.268 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)n[nH]c1C ZINC001018259014 758674895 /nfs/dbraw/zinc/67/48/95/758674895.db2.gz RJXRSMIFGOEMIK-GASCZTMLSA-N 1 2 300.406 1.268 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC2(C[NH+](CC(=C)C)C2)O1 ZINC001053663318 758675627 /nfs/dbraw/zinc/67/56/27/758675627.db2.gz MPBPTVFEUWKERS-OAGGEKHMSA-N 1 2 320.433 1.503 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)C(C)C)C2)CC1 ZINC001065686336 758680820 /nfs/dbraw/zinc/68/08/20/758680820.db2.gz OHTROHMFPJHXPM-INIZCTEOSA-N 1 2 319.449 1.191 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C(C)C)C2)CC1 ZINC001065686342 758681153 /nfs/dbraw/zinc/68/11/53/758681153.db2.gz OJEZDGOJEXMERM-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C=C(C)C)C2)CC1 ZINC001065682930 758700963 /nfs/dbraw/zinc/70/09/63/758700963.db2.gz PWJOGRVTDDCHNB-MRXNPFEDSA-N 1 2 319.449 1.664 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1[nH]cnc1CC)O2 ZINC001053694051 758702812 /nfs/dbraw/zinc/70/28/12/758702812.db2.gz MSOFLFKRCVUHSI-LBPRGKRZSA-N 1 2 304.394 1.121 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CCCC)C2)CC1 ZINC001065688305 758706500 /nfs/dbraw/zinc/70/65/00/758706500.db2.gz FCGUWYFMUPEFKW-INIZCTEOSA-N 1 2 321.465 1.888 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)N1CCCCC1=O ZINC001018301051 758710682 /nfs/dbraw/zinc/71/06/82/758710682.db2.gz GNJAOCDGENEYDN-HRCADAONSA-N 1 2 317.433 1.086 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)N1CCCCC1=O ZINC001018301051 758710688 /nfs/dbraw/zinc/71/06/88/758710688.db2.gz GNJAOCDGENEYDN-HRCADAONSA-N 1 2 317.433 1.086 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)N1CCCCC1=O ZINC001018301055 758710939 /nfs/dbraw/zinc/71/09/39/758710939.db2.gz GNJAOCDGENEYDN-OAGGEKHMSA-N 1 2 317.433 1.086 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)N1CCCCC1=O ZINC001018301055 758710944 /nfs/dbraw/zinc/71/09/44/758710944.db2.gz GNJAOCDGENEYDN-OAGGEKHMSA-N 1 2 317.433 1.086 20 30 DDEDLO C[C@H](Nc1ccc(C#N)cn1)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065733520 758750279 /nfs/dbraw/zinc/75/02/79/758750279.db2.gz LTHBPRUPVOBWJC-GXTWGEPZSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(CC)ccn1)CO2 ZINC001053813942 758842340 /nfs/dbraw/zinc/84/23/40/758842340.db2.gz CTJVPQWSJDPSPM-HNNXBMFYSA-N 1 2 315.417 1.793 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccc(F)cn1)CO2 ZINC001053846360 758876660 /nfs/dbraw/zinc/87/66/60/758876660.db2.gz CJQRHKDYGAWXLC-OAHLLOKOSA-N 1 2 319.380 1.299 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ncc(C)cc1C)CO2 ZINC001053876741 758911703 /nfs/dbraw/zinc/91/17/03/758911703.db2.gz JQDJLSDRTTXDHG-HNNXBMFYSA-N 1 2 313.401 1.295 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C3CC3)on1)CO2 ZINC001053885347 758919407 /nfs/dbraw/zinc/91/94/07/758919407.db2.gz JWLZPZJMGIABMY-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2COC3(C[NH+]([C@@H](C)COC)C3)C2)CC1 ZINC001053914063 758947514 /nfs/dbraw/zinc/94/75/14/758947514.db2.gz FVVYHSSTLHTOIU-HOCLYGCPSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ocnc1C1CC1)CO2 ZINC001053925241 758961121 /nfs/dbraw/zinc/96/11/21/758961121.db2.gz HMWUISLXOFIMBG-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1COC2(C[NH+](CC(=C)C)C2)C1 ZINC001053927977 758963991 /nfs/dbraw/zinc/96/39/91/758963991.db2.gz OVWQEJBQXFLPBO-KFWWJZLASA-N 1 2 306.406 1.113 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001108200180 758988205 /nfs/dbraw/zinc/98/82/05/758988205.db2.gz ANLCGXZQLMJTLQ-RAIGVLPGSA-N 1 2 310.361 1.397 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001108200180 758988212 /nfs/dbraw/zinc/98/82/12/758988212.db2.gz ANLCGXZQLMJTLQ-RAIGVLPGSA-N 1 2 310.361 1.397 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1nc(CC)oc1C)CO2 ZINC001053951936 758989561 /nfs/dbraw/zinc/98/95/61/758989561.db2.gz ICQQXMDWJGXEMD-CYBMUJFWSA-N 1 2 317.389 1.142 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2ncn(-c3ccccc3)n2)C1 ZINC001018629852 759047810 /nfs/dbraw/zinc/04/78/10/759047810.db2.gz BIFIRQCDJLPMGX-KBPBESRZSA-N 1 2 324.388 1.117 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC001018631533 759050112 /nfs/dbraw/zinc/05/01/12/759050112.db2.gz GFTRVRADCQWUJX-JQWIXIFHSA-N 1 2 303.366 1.187 20 30 DDEDLO N#CCN1CC[C@@]2(C1)CCCN(C(=O)CCc1[nH]cc[nH+]1)C2 ZINC001054124646 759197590 /nfs/dbraw/zinc/19/75/90/759197590.db2.gz WLTHURFJDDCCEY-MRXNPFEDSA-N 1 2 301.394 1.180 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1)c1csnn1 ZINC001018731297 759199058 /nfs/dbraw/zinc/19/90/58/759199058.db2.gz UKHWPCCALNWOGZ-KOLCDFICSA-N 1 2 316.390 1.303 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(C1)CCCN(C(=O)C(F)C(F)(F)F)C2 ZINC001054135740 759210227 /nfs/dbraw/zinc/21/02/27/759210227.db2.gz JCIIFBVWBGTTIP-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(C1)CCCN(C(=O)C(F)C(F)(F)F)C2 ZINC001054135740 759210231 /nfs/dbraw/zinc/21/02/31/759210231.db2.gz JCIIFBVWBGTTIP-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(C1)CCCN(C(=O)[C@H](F)C(F)(F)F)C2 ZINC001054135740 759210240 /nfs/dbraw/zinc/21/02/40/759210240.db2.gz JCIIFBVWBGTTIP-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(C1)CCCN(C(=O)[C@H](F)C(F)(F)F)C2 ZINC001054135740 759210246 /nfs/dbraw/zinc/21/02/46/759210246.db2.gz JCIIFBVWBGTTIP-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO C=CC[C@H](Nc1ccc(-n2c[nH+]c(C)c2C)nn1)C(=O)OC ZINC001169836920 762693564 /nfs/dbraw/zinc/69/35/64/762693564.db2.gz RUGQNMZWNPXVKG-LBPRGKRZSA-N 1 2 301.350 1.809 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnnn3CC)cc2C1 ZINC001054263870 759368302 /nfs/dbraw/zinc/36/83/02/759368302.db2.gz IFFNKXVUGMTCOH-UHFFFAOYSA-N 1 2 309.373 1.177 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnnn3CC)cc2C1 ZINC001054263870 759368313 /nfs/dbraw/zinc/36/83/13/759368313.db2.gz IFFNKXVUGMTCOH-UHFFFAOYSA-N 1 2 309.373 1.177 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccccn1)C(=O)c1ccc(C#N)[nH]1 ZINC001085552556 759693182 /nfs/dbraw/zinc/69/31/82/759693182.db2.gz HJGNLCFRGBLMCK-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccccn1)C(=O)c1ccc(C#N)[nH]1 ZINC001085552556 759693188 /nfs/dbraw/zinc/69/31/88/759693188.db2.gz HJGNLCFRGBLMCK-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(CC(C)C)n1 ZINC001085622989 759865789 /nfs/dbraw/zinc/86/57/89/759865789.db2.gz IJHFLIAJTRBZPM-OAHLLOKOSA-N 1 2 302.422 1.709 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(CC(C)C)n1 ZINC001085622989 759865792 /nfs/dbraw/zinc/86/57/92/759865792.db2.gz IJHFLIAJTRBZPM-OAHLLOKOSA-N 1 2 302.422 1.709 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccn(C(C)(C)C)n1 ZINC001085626759 759896030 /nfs/dbraw/zinc/89/60/30/759896030.db2.gz SJQMDNYSVXNXCO-AWEZNQCLSA-N 1 2 302.422 1.808 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccn(C(C)(C)C)n1 ZINC001085626759 759896041 /nfs/dbraw/zinc/89/60/41/759896041.db2.gz SJQMDNYSVXNXCO-AWEZNQCLSA-N 1 2 302.422 1.808 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001085705363 760074559 /nfs/dbraw/zinc/07/45/59/760074559.db2.gz NOQSUEZVXJIWSM-ZDUSSCGKSA-N 1 2 311.385 1.860 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001085705363 760074568 /nfs/dbraw/zinc/07/45/68/760074568.db2.gz NOQSUEZVXJIWSM-ZDUSSCGKSA-N 1 2 311.385 1.860 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@@H]2CCNC2=O)CC1 ZINC001085801061 760271733 /nfs/dbraw/zinc/27/17/33/760271733.db2.gz IXAMAAOYCBXCFK-LSDHHAIUSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@@H]2CCNC2=O)CC1 ZINC001085801061 760271743 /nfs/dbraw/zinc/27/17/43/760271743.db2.gz IXAMAAOYCBXCFK-LSDHHAIUSA-N 1 2 305.422 1.154 20 30 DDEDLO N#Cc1ccc(N2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C3)cn1 ZINC001055215301 760398016 /nfs/dbraw/zinc/39/80/16/760398016.db2.gz JHIGKBYJOUPURM-KBMXLJTQSA-N 1 2 322.372 1.145 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@H]2CC[N@H+]2C[C@H](F)CC)nn1 ZINC001085860696 760399128 /nfs/dbraw/zinc/39/91/28/760399128.db2.gz GOALPZHKHRSPJV-CHWSQXEVSA-N 1 2 309.389 1.359 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2C[C@H](F)CC)nn1 ZINC001085860696 760399137 /nfs/dbraw/zinc/39/91/37/760399137.db2.gz GOALPZHKHRSPJV-CHWSQXEVSA-N 1 2 309.389 1.359 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1coc(C2CCOCC2)n1 ZINC001085915060 760530758 /nfs/dbraw/zinc/53/07/58/760530758.db2.gz RGFTXPBROBOQPP-CQSZACIVSA-N 1 2 317.389 1.348 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1coc(C2CCOCC2)n1 ZINC001085915060 760530761 /nfs/dbraw/zinc/53/07/61/760530761.db2.gz RGFTXPBROBOQPP-CQSZACIVSA-N 1 2 317.389 1.348 20 30 DDEDLO Cc1nc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)co1 ZINC001038175247 760889677 /nfs/dbraw/zinc/88/96/77/760889677.db2.gz NGACUOSIFQZKLU-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1nc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)co1 ZINC001038175247 760889688 /nfs/dbraw/zinc/88/96/88/760889688.db2.gz NGACUOSIFQZKLU-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](F)c2ccccc2)C1 ZINC001108248277 761089619 /nfs/dbraw/zinc/08/96/19/761089619.db2.gz GHCZMTJWZZYNRF-FUHWJXTLSA-N 1 2 318.392 1.928 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](F)c2ccccc2)C1 ZINC001108248277 761089629 /nfs/dbraw/zinc/08/96/29/761089629.db2.gz GHCZMTJWZZYNRF-FUHWJXTLSA-N 1 2 318.392 1.928 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001056619800 761294943 /nfs/dbraw/zinc/29/49/43/761294943.db2.gz NAQWEGBHYVEQDN-CQSZACIVSA-N 1 2 310.361 1.322 20 30 DDEDLO Cc1nc(C)c(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)[nH]1 ZINC001038711490 761315675 /nfs/dbraw/zinc/31/56/75/761315675.db2.gz YRMIXVMLGYVANA-MRXNPFEDSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1nc(C)c(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)[nH]1 ZINC001038711490 761315683 /nfs/dbraw/zinc/31/56/83/761315683.db2.gz YRMIXVMLGYVANA-MRXNPFEDSA-N 1 2 323.400 1.903 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H](Nc3cc[nH+]c(C)n3)C2)nc1 ZINC001056672893 761333216 /nfs/dbraw/zinc/33/32/16/761333216.db2.gz NYWNMGCPNCVPAB-CQSZACIVSA-N 1 2 307.357 1.488 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-n2cnnc2)cc1 ZINC001038807285 761424864 /nfs/dbraw/zinc/42/48/64/761424864.db2.gz AODVGZXAYAYAPR-INIZCTEOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-n2cnnc2)cc1 ZINC001038807285 761424868 /nfs/dbraw/zinc/42/48/68/761424868.db2.gz AODVGZXAYAYAPR-INIZCTEOSA-N 1 2 309.373 1.095 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nc(C)n2)C1 ZINC001108275431 761595296 /nfs/dbraw/zinc/59/52/96/761595296.db2.gz DEYJNZNQXNQHCP-MRXNPFEDSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nc(C)n2)C1 ZINC001108275431 761595303 /nfs/dbraw/zinc/59/53/03/761595303.db2.gz DEYJNZNQXNQHCP-MRXNPFEDSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CCCC(=O)NC1(CC)CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001069566742 768063163 /nfs/dbraw/zinc/06/31/63/768063163.db2.gz RUIZBVGPHFPMCC-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO N#CCN1CC[C@H](C2CCN(C(=O)CCn3cc[nH+]c3)CC2)C1 ZINC001039156618 761783723 /nfs/dbraw/zinc/78/37/23/761783723.db2.gz TZVAFWDMASJDRP-INIZCTEOSA-N 1 2 315.421 1.357 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CCCCC(C)C)CC2=O)C1 ZINC001108581288 762743298 /nfs/dbraw/zinc/74/32/98/762743298.db2.gz NCDRLYCSHVZBJC-HNNXBMFYSA-N 1 2 321.465 1.790 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001108582625 762748693 /nfs/dbraw/zinc/74/86/93/762748693.db2.gz FUQALWBZWRTFTF-STQMWFEESA-N 1 2 324.388 1.329 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H](C)C3CC3)CC2=O)C1 ZINC001108593925 762767265 /nfs/dbraw/zinc/76/72/65/762767265.db2.gz HAPHZMGQURVXQA-OCCSQVGLSA-N 1 2 305.422 1.010 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H](C)CNc1cc[nH+]c(C)n1 ZINC001108627551 762813066 /nfs/dbraw/zinc/81/30/66/762813066.db2.gz UTBSRFKFBRKYNJ-LRDDRELGSA-N 1 2 306.410 1.930 20 30 DDEDLO C#CC[NH+]1CCC(CNC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001001402247 762829651 /nfs/dbraw/zinc/82/96/51/762829651.db2.gz MQFLZIHDUKTIJZ-CQSZACIVSA-N 1 2 300.406 1.291 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001108995126 763210669 /nfs/dbraw/zinc/21/06/69/763210669.db2.gz FEZOZSOEPMDQCL-SMDDNHRTSA-N 1 2 320.437 1.934 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(CCN(C(=O)CCc3c[nH]nn3)C2)C1 ZINC001041695917 763228038 /nfs/dbraw/zinc/22/80/38/763228038.db2.gz HINYZDBLUCDLGN-HNNXBMFYSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(CCN(C(=O)CCc3cn[nH]n3)C2)C1 ZINC001041695917 763228025 /nfs/dbraw/zinc/22/80/25/763228025.db2.gz HINYZDBLUCDLGN-HNNXBMFYSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(CCN(C(=O)CCc3cn[nH]n3)C2)C1 ZINC001041695917 763228032 /nfs/dbraw/zinc/22/80/32/763228032.db2.gz HINYZDBLUCDLGN-HNNXBMFYSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(CCN(C(=O)CCc3c[nH]nn3)C2)C1 ZINC001041695917 763228043 /nfs/dbraw/zinc/22/80/43/763228043.db2.gz HINYZDBLUCDLGN-HNNXBMFYSA-N 1 2 323.828 1.414 20 30 DDEDLO C=CC[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001046923418 768119025 /nfs/dbraw/zinc/11/90/25/768119025.db2.gz OXBFWTBNFFXQGR-LBPRGKRZSA-N 1 2 312.364 1.900 20 30 DDEDLO C=CC[N@H+]1CCC(F)(F)[C@@H](CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001046923418 768119027 /nfs/dbraw/zinc/11/90/27/768119027.db2.gz OXBFWTBNFFXQGR-LBPRGKRZSA-N 1 2 312.364 1.900 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1nc(C)oc1C ZINC001109070769 763305014 /nfs/dbraw/zinc/30/50/14/763305014.db2.gz INAMVICQHKGNOZ-YCPHGPKFSA-N 1 2 319.405 1.716 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1nc(C)oc1C ZINC001109070769 763305024 /nfs/dbraw/zinc/30/50/24/763305024.db2.gz INAMVICQHKGNOZ-YCPHGPKFSA-N 1 2 319.405 1.716 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)(C)C ZINC001109087768 763305337 /nfs/dbraw/zinc/30/53/37/763305337.db2.gz AZLDLOFJJBQWAF-MQYQWHSLSA-N 1 2 320.437 1.030 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)(C)C ZINC001109087768 763305342 /nfs/dbraw/zinc/30/53/42/763305342.db2.gz AZLDLOFJJBQWAF-MQYQWHSLSA-N 1 2 320.437 1.030 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccc(F)cn1 ZINC001109080234 763316579 /nfs/dbraw/zinc/31/65/79/763316579.db2.gz OPAZWMCWWRHGOK-RABLLNBGSA-N 1 2 302.353 1.602 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(F)cn1 ZINC001109080234 763316589 /nfs/dbraw/zinc/31/65/89/763316589.db2.gz OPAZWMCWWRHGOK-RABLLNBGSA-N 1 2 302.353 1.602 20 30 DDEDLO N#Cc1ccc(NC2CCN(C(=O)CCc3[nH]cc[nH+]3)CC2)cn1 ZINC001057322718 763425713 /nfs/dbraw/zinc/42/57/13/763425713.db2.gz JHKSEXPLRWZVDV-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO CNC(=O)[C@H](C)Nc1cc(/C=N/[S@](=O)C(C)(C)C)cc(C)[nH+]1 ZINC001169984823 763453439 /nfs/dbraw/zinc/45/34/39/763453439.db2.gz OWVPNBTUWBRGTM-HDJKGQPOSA-N 1 2 324.450 1.818 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CCCC)C2 ZINC001109222278 763481955 /nfs/dbraw/zinc/48/19/55/763481955.db2.gz NSZOCOABWPVHDI-WCVJEAGWSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CCCC)C2 ZINC001109222278 763481958 /nfs/dbraw/zinc/48/19/58/763481958.db2.gz NSZOCOABWPVHDI-WCVJEAGWSA-N 1 2 319.449 1.284 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)Cn3cc[nH+]c3)[C@H]2C)ccc1C#N ZINC001050388867 763531342 /nfs/dbraw/zinc/53/13/42/763531342.db2.gz NPKOQYJJSIYKKK-HIFRSBDPSA-N 1 2 324.388 1.242 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@H+](Cc3cnns3)[C@@H]2C1 ZINC001042057329 763600162 /nfs/dbraw/zinc/60/01/62/763600162.db2.gz IMDRIWSOBAFLNK-UKRRQHHQSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@@H+](Cc3cnns3)[C@@H]2C1 ZINC001042057329 763600169 /nfs/dbraw/zinc/60/01/69/763600169.db2.gz IMDRIWSOBAFLNK-UKRRQHHQSA-N 1 2 316.430 1.374 20 30 DDEDLO Cc1noc(C[NH+]2CCC3(CN(C(=O)C#CC4CC4)C3)CC2)n1 ZINC001050515645 763691750 /nfs/dbraw/zinc/69/17/50/763691750.db2.gz HVCTYEKBSLSILV-UHFFFAOYSA-N 1 2 314.389 1.216 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCC(F)F)C2 ZINC001109555691 763811653 /nfs/dbraw/zinc/81/16/53/763811653.db2.gz BBSCIDPNWHFBGO-VWYCJHECSA-N 1 2 308.756 1.742 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCC(F)F)C2 ZINC001109555691 763811648 /nfs/dbraw/zinc/81/16/48/763811648.db2.gz BBSCIDPNWHFBGO-VWYCJHECSA-N 1 2 308.756 1.742 20 30 DDEDLO C#CC[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001046986334 768172711 /nfs/dbraw/zinc/17/27/11/768172711.db2.gz AOMDSCQEYWKHDF-LBPRGKRZSA-N 1 2 323.343 1.004 20 30 DDEDLO C#CC[N@H+]1CCC(F)(F)[C@@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001046986334 768172718 /nfs/dbraw/zinc/17/27/18/768172718.db2.gz AOMDSCQEYWKHDF-LBPRGKRZSA-N 1 2 323.343 1.004 20 30 DDEDLO CCc1ccoc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#CCOC ZINC001109676236 763929721 /nfs/dbraw/zinc/92/97/21/763929721.db2.gz XEDMCZIKHATDJA-PMPSAXMXSA-N 1 2 316.401 1.827 20 30 DDEDLO CCc1ccoc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#CCOC ZINC001109676236 763929731 /nfs/dbraw/zinc/92/97/31/763929731.db2.gz XEDMCZIKHATDJA-PMPSAXMXSA-N 1 2 316.401 1.827 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@H]1CCC)C2 ZINC001109690984 763953713 /nfs/dbraw/zinc/95/37/13/763953713.db2.gz SKNHCUUBAFDTQA-SUJAAXHWSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@H]1CCC)C2 ZINC001109690984 763953717 /nfs/dbraw/zinc/95/37/17/763953717.db2.gz SKNHCUUBAFDTQA-SUJAAXHWSA-N 1 2 319.449 1.446 20 30 DDEDLO Cc1nc(NC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C2CC2)ccc1C#N ZINC001109890562 764168867 /nfs/dbraw/zinc/16/88/67/764168867.db2.gz AUZJWCXAJNYABM-AWEZNQCLSA-N 1 2 324.388 1.534 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109906655 764192557 /nfs/dbraw/zinc/19/25/57/764192557.db2.gz FZHPVBNYUUKVJP-BXUZGUMPSA-N 1 2 302.378 1.130 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(F)ccc1F ZINC001050954551 764344565 /nfs/dbraw/zinc/34/45/65/764344565.db2.gz DJSVWHGOUOAYFX-ZDUSSCGKSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(F)ccc1F ZINC001050954551 764344572 /nfs/dbraw/zinc/34/45/72/764344572.db2.gz DJSVWHGOUOAYFX-ZDUSSCGKSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccnc1C1CC1 ZINC001051003887 764406752 /nfs/dbraw/zinc/40/67/52/764406752.db2.gz RUDAVRMGEWFXCM-HNNXBMFYSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccnc1C1CC1 ZINC001051003887 764406761 /nfs/dbraw/zinc/40/67/61/764406761.db2.gz RUDAVRMGEWFXCM-HNNXBMFYSA-N 1 2 315.417 1.966 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(OCC)no1 ZINC001051025721 764430642 /nfs/dbraw/zinc/43/06/42/764430642.db2.gz KTZRPZUOHYENNI-CYBMUJFWSA-N 1 2 323.393 1.470 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(OCC)no1 ZINC001051025721 764430645 /nfs/dbraw/zinc/43/06/45/764430645.db2.gz KTZRPZUOHYENNI-CYBMUJFWSA-N 1 2 323.393 1.470 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncc(C)cc1C ZINC001051039768 764444317 /nfs/dbraw/zinc/44/43/17/764444317.db2.gz KNOOEXVTTBQEBI-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncc(C)cc1C ZINC001051039768 764444324 /nfs/dbraw/zinc/44/43/24/764444324.db2.gz KNOOEXVTTBQEBI-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(OC)ccn1 ZINC001051059615 764463029 /nfs/dbraw/zinc/46/30/29/764463029.db2.gz GNVKSGMKSVSFSG-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(OC)ccn1 ZINC001051059615 764463036 /nfs/dbraw/zinc/46/30/36/764463036.db2.gz GNVKSGMKSVSFSG-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1coc(C2CC2)n1 ZINC001051153684 764559790 /nfs/dbraw/zinc/55/97/90/764559790.db2.gz QPFNDQMFYKOXEP-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1coc(C2CC2)n1 ZINC001051153684 764559800 /nfs/dbraw/zinc/55/98/00/764559800.db2.gz QPFNDQMFYKOXEP-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c2cccnc12 ZINC001051210561 764624593 /nfs/dbraw/zinc/62/45/93/764624593.db2.gz XQPCRLCDYDBQIS-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c2cccnc12 ZINC001051210561 764624596 /nfs/dbraw/zinc/62/45/96/764624596.db2.gz XQPCRLCDYDBQIS-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1Nc1ncccc1C#N ZINC001043163702 764730236 /nfs/dbraw/zinc/73/02/36/764730236.db2.gz FLBVGYPGPIOPIA-TZMCWYRMSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(CNC(C)=O)cc2)C1 ZINC001043244757 764789050 /nfs/dbraw/zinc/78/90/50/764789050.db2.gz AVIHOXGANGAVOQ-UHFFFAOYSA-N 1 2 313.401 1.102 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(-c3ccccc3)c2)C1 ZINC001043258394 764795281 /nfs/dbraw/zinc/79/52/81/764795281.db2.gz PJNFNXAKHSXKMY-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2cc(F)ccc2C)CC1 ZINC001112845117 764859154 /nfs/dbraw/zinc/85/91/54/764859154.db2.gz VFESTCOQKWWSES-HNNXBMFYSA-N 1 2 318.392 1.817 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnoc2-c2cnn(C)c2)C1 ZINC001043452725 764913973 /nfs/dbraw/zinc/91/39/73/764913973.db2.gz GOLPYVYBSAEFOD-UHFFFAOYSA-N 1 2 315.377 1.407 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc3n(n2)CCCC3)CC1 ZINC001112994766 765105891 /nfs/dbraw/zinc/10/58/91/765105891.db2.gz GPWXVNBXDHGDDY-UHFFFAOYSA-N 1 2 318.421 1.180 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113010285 765134854 /nfs/dbraw/zinc/13/48/54/765134854.db2.gz WYBKEEMCFRKIEO-NEPJUHHUSA-N 1 2 314.393 1.472 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C(C)(C)C)n[nH]2)CC1 ZINC001113058822 765193068 /nfs/dbraw/zinc/19/30/68/765193068.db2.gz LKHMDTXDKKACII-UHFFFAOYSA-N 1 2 320.437 1.668 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CC2CC(C)(C)C2)[C@@H](n2ccnn2)C1 ZINC001070006954 768275615 /nfs/dbraw/zinc/27/56/15/768275615.db2.gz RAWXELBRQKUWEU-CABCVRRESA-N 1 2 315.421 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CC2CC(C)(C)C2)[C@@H](n2ccnn2)C1 ZINC001070006954 768275620 /nfs/dbraw/zinc/27/56/20/768275620.db2.gz RAWXELBRQKUWEU-CABCVRRESA-N 1 2 315.421 1.079 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C[C@H]2NC(=O)c3ccccc32)C1 ZINC001044075098 765275825 /nfs/dbraw/zinc/27/58/25/765275825.db2.gz DRWSLGPJDMLQPV-MRXNPFEDSA-N 1 2 313.401 1.580 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccccc3F)C2)CC1 ZINC001051973431 765332618 /nfs/dbraw/zinc/33/26/18/765332618.db2.gz WBYZZUFOUDXWBP-OAHLLOKOSA-N 1 2 317.408 1.844 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H](C)n2cnc3ccccc32)C1 ZINC001044134972 765333348 /nfs/dbraw/zinc/33/33/48/765333348.db2.gz DMAQTNUORZJMOA-CQSZACIVSA-N 1 2 310.401 1.763 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2csc(COC)n2)C1 ZINC001044155830 765349146 /nfs/dbraw/zinc/34/91/46/765349146.db2.gz GHIUKSWGASLXRC-UHFFFAOYSA-N 1 2 309.435 1.551 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)Cc3ccoc3)C2)CC1 ZINC001052016502 765386885 /nfs/dbraw/zinc/38/68/85/765386885.db2.gz WTNPIOUBUFZCRY-QGZVFWFLSA-N 1 2 317.433 1.617 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3C=CC=CC=C3)C2)CC1 ZINC001052032768 765406443 /nfs/dbraw/zinc/40/64/43/765406443.db2.gz KAOAQUOQTVJEOO-SFHVURJKSA-N 1 2 311.429 1.137 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H](C)C3CCC3)C2)CC1 ZINC001052042813 765416233 /nfs/dbraw/zinc/41/62/33/765416233.db2.gz GWYGQAQNXBWGGL-WBVHZDCISA-N 1 2 303.450 1.274 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cocc3C)C2)CC1 ZINC001052062673 765435110 /nfs/dbraw/zinc/43/51/10/765435110.db2.gz MRGHDFUMSJZYJX-HNNXBMFYSA-N 1 2 303.406 1.606 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC[NH+](CCc2cnn(C)c2)CC1 ZINC001113230129 765441662 /nfs/dbraw/zinc/44/16/62/765441662.db2.gz XXGPNWWDTOKSRS-CABCVRRESA-N 1 2 304.438 1.565 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3c(c2)nnn3CC)C1 ZINC001044283437 765448081 /nfs/dbraw/zinc/44/80/81/765448081.db2.gz BZAFNHMCRCXMDW-UHFFFAOYSA-N 1 2 313.405 1.784 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccc(OC)o3)C2)CC1 ZINC001052101005 765470355 /nfs/dbraw/zinc/47/03/55/765470355.db2.gz LCHQGIRDUVDJHQ-AWEZNQCLSA-N 1 2 319.405 1.306 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001113248134 765470762 /nfs/dbraw/zinc/47/07/62/765470762.db2.gz RXTVPJJBBJZAPB-DLBZAZTESA-N 1 2 324.465 1.929 20 30 DDEDLO COC[C@@H](C)CC(=O)N1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC001113479840 765737186 /nfs/dbraw/zinc/73/71/86/765737186.db2.gz ZJWYJSHOZFMAOQ-HNNXBMFYSA-N 1 2 315.417 1.875 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ncoc2C(C)C)CC1 ZINC001113499177 765759994 /nfs/dbraw/zinc/75/99/94/765759994.db2.gz LYJIKFXBISYCEV-UHFFFAOYSA-N 1 2 307.394 1.758 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C2CCCCC2)C[N@@H+]1CC(=O)NCC#N ZINC001131747724 768321290 /nfs/dbraw/zinc/32/12/90/768321290.db2.gz NJMOJSCOVGRIMT-DZGCQCFKSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C2CCCCC2)C[N@H+]1CC(=O)NCC#N ZINC001131747724 768321294 /nfs/dbraw/zinc/32/12/94/768321294.db2.gz NJMOJSCOVGRIMT-DZGCQCFKSA-N 1 2 320.437 1.176 20 30 DDEDLO Cc1cc(N[C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)c(C#N)cn1 ZINC001057765078 765842892 /nfs/dbraw/zinc/84/28/92/765842892.db2.gz MQISEWHEPMZFKR-AWEZNQCLSA-N 1 2 324.388 1.052 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)N(C)c2cc[nH+]c(C)n2)nc1 ZINC001113663519 766000452 /nfs/dbraw/zinc/00/04/52/766000452.db2.gz JPDCGWKJOUSSDO-GFCCVEGCSA-N 1 2 309.373 1.416 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2csc(C3CC3)n2)CC1 ZINC001113722016 766062360 /nfs/dbraw/zinc/06/23/60/766062360.db2.gz IVTUTSQDTRMTBE-UHFFFAOYSA-N 1 2 321.446 1.981 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC1CCN(c2ccc(C#N)cn2)CC1 ZINC001057888504 766118526 /nfs/dbraw/zinc/11/85/26/766118526.db2.gz VLHHCSLKVDMSMI-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCn2c[nH+]cc2C1)Nc1ccc(C#N)nc1 ZINC001098116159 768359139 /nfs/dbraw/zinc/35/91/39/768359139.db2.gz URCJDNRIRSIVNS-STQMWFEESA-N 1 2 324.388 1.329 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CSCC#N)cs1 ZINC001113899268 766365557 /nfs/dbraw/zinc/36/55/57/766365557.db2.gz KGEVHEKWJFLKQP-IMRBUKKESA-N 1 2 322.459 1.255 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CSCC#N)cs1 ZINC001113899268 766365566 /nfs/dbraw/zinc/36/55/66/766365566.db2.gz KGEVHEKWJFLKQP-IMRBUKKESA-N 1 2 322.459 1.255 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001113999154 766512417 /nfs/dbraw/zinc/51/24/17/766512417.db2.gz KSXABTOLWRRRPY-RZFFKMDDSA-N 1 2 305.378 1.202 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001113999154 766512422 /nfs/dbraw/zinc/51/24/22/766512422.db2.gz KSXABTOLWRRRPY-RZFFKMDDSA-N 1 2 305.378 1.202 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](CC(=C)Br)C[C@H]21 ZINC001114050536 766584276 /nfs/dbraw/zinc/58/42/76/766584276.db2.gz DWZDQSOOOSKZSW-PJXYFTJBSA-N 1 2 315.211 1.144 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@H+](CC(=C)Br)C[C@H]21 ZINC001114050536 766584279 /nfs/dbraw/zinc/58/42/79/766584279.db2.gz DWZDQSOOOSKZSW-PJXYFTJBSA-N 1 2 315.211 1.144 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csc(CC)n3)C[C@H]21 ZINC001114050855 766584124 /nfs/dbraw/zinc/58/41/24/766584124.db2.gz KGVOZQAYTXWOJL-VIKVFOODSA-N 1 2 321.446 1.455 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csc(CC)n3)C[C@H]21 ZINC001114050855 766584128 /nfs/dbraw/zinc/58/41/28/766584128.db2.gz KGVOZQAYTXWOJL-VIKVFOODSA-N 1 2 321.446 1.455 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccc(C#N)nc1 ZINC001067549717 766609713 /nfs/dbraw/zinc/60/97/13/766609713.db2.gz JYWNFSIKOCKUGV-QWHCGFSZSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CC[C@]2(C1)CCCCN(C(=O)Cc1ncn[nH]1)C2 ZINC001045979194 766757224 /nfs/dbraw/zinc/75/72/24/766757224.db2.gz RIFMIPTWVYGTRS-KRWDZBQOSA-N 1 2 315.421 1.075 20 30 DDEDLO CC#CC[N@H+]1CC[C@]2(C1)CCCCN(C(=O)Cc1ncn[nH]1)C2 ZINC001045979194 766757228 /nfs/dbraw/zinc/75/72/28/766757228.db2.gz RIFMIPTWVYGTRS-KRWDZBQOSA-N 1 2 315.421 1.075 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CCC)no3)C[C@H]21 ZINC001114199422 766772791 /nfs/dbraw/zinc/77/27/91/766772791.db2.gz UKOIKPLAHMPUQJ-LAQFHYBYSA-N 1 2 316.405 1.372 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CCC)no3)C[C@H]21 ZINC001114199422 766772794 /nfs/dbraw/zinc/77/27/94/766772794.db2.gz UKOIKPLAHMPUQJ-LAQFHYBYSA-N 1 2 316.405 1.372 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)o1 ZINC001114248118 766815078 /nfs/dbraw/zinc/81/50/78/766815078.db2.gz TZFBTVCURBNGJE-PBOSXPJTSA-N 1 2 302.378 1.145 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)o1 ZINC001114248118 766815089 /nfs/dbraw/zinc/81/50/89/766815089.db2.gz TZFBTVCURBNGJE-PBOSXPJTSA-N 1 2 302.378 1.145 20 30 DDEDLO CC(C)C(C)(C)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046030676 766836031 /nfs/dbraw/zinc/83/60/31/766836031.db2.gz YCRDZEGVNLJDJQ-HNNXBMFYSA-N 1 2 306.454 1.411 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067972680 766849216 /nfs/dbraw/zinc/84/92/16/766849216.db2.gz GNUYNDFJEWQXSC-KGLIPLIRSA-N 1 2 320.437 1.717 20 30 DDEDLO C[C@H]1CCN(c2ccncc2C#N)C[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067993886 766860907 /nfs/dbraw/zinc/86/09/07/766860907.db2.gz PRUOIBQXLFKPOR-WFASDCNBSA-N 1 2 324.388 1.250 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)cs1 ZINC001046070333 766884593 /nfs/dbraw/zinc/88/45/93/766884593.db2.gz SCVBIVAVJDKTGV-OAHLLOKOSA-N 1 2 318.446 1.412 20 30 DDEDLO Cc1nc(NC[C@@H]2C[C@@H](C)N(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001068306440 767082671 /nfs/dbraw/zinc/08/26/71/767082671.db2.gz OLJZIQCRDZKSHU-RISCZKNCSA-N 1 2 324.388 1.948 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001046416879 767536167 /nfs/dbraw/zinc/53/61/67/767536167.db2.gz DXTRIJCQPAASDY-OAHLLOKOSA-N 1 2 324.812 1.333 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001046416879 767536170 /nfs/dbraw/zinc/53/61/70/767536170.db2.gz DXTRIJCQPAASDY-OAHLLOKOSA-N 1 2 324.812 1.333 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001046434966 767563597 /nfs/dbraw/zinc/56/35/97/767563597.db2.gz VHBAJULHLGHSEA-CZUORRHYSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001046434966 767563600 /nfs/dbraw/zinc/56/36/00/767563600.db2.gz VHBAJULHLGHSEA-CZUORRHYSA-N 1 2 322.840 1.779 20 30 DDEDLO C[C@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)Cc1c[nH+]cn1C ZINC001068872908 767672628 /nfs/dbraw/zinc/67/26/28/767672628.db2.gz SOHAVFNCQFITHJ-SWLSCSKDSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cc(C(N)=O)co2)C1 ZINC001046616806 767743141 /nfs/dbraw/zinc/74/31/41/767743141.db2.gz WYNVSOHFFFTMKU-CQSZACIVSA-N 1 2 311.769 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc(C(N)=O)co2)C1 ZINC001046616806 767743144 /nfs/dbraw/zinc/74/31/44/767743144.db2.gz WYNVSOHFFFTMKU-CQSZACIVSA-N 1 2 311.769 1.325 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2scnc2C)CC[C@H]1C ZINC001131825556 768392464 /nfs/dbraw/zinc/39/24/64/768392464.db2.gz KCKVZYKNFRDDPX-TZMCWYRMSA-N 1 2 321.446 1.684 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2scnc2C)CC[C@H]1C ZINC001131825556 768392471 /nfs/dbraw/zinc/39/24/71/768392471.db2.gz KCKVZYKNFRDDPX-TZMCWYRMSA-N 1 2 321.446 1.684 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2cnc(C)s2)CC[C@H]1C ZINC001131861129 768418574 /nfs/dbraw/zinc/41/85/74/768418574.db2.gz GVWGKZHHKGXHDP-TZMCWYRMSA-N 1 2 321.446 1.684 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2cnc(C)s2)CC[C@H]1C ZINC001131861129 768418577 /nfs/dbraw/zinc/41/85/77/768418577.db2.gz GVWGKZHHKGXHDP-TZMCWYRMSA-N 1 2 321.446 1.684 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2conc2C2CCCC2)C1 ZINC001047407154 768457318 /nfs/dbraw/zinc/45/73/18/768457318.db2.gz DEZVJLPKQAJGER-GJZGRUSLSA-N 1 2 317.389 1.083 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2conc2C2CCCC2)C1 ZINC001047407154 768457321 /nfs/dbraw/zinc/45/73/21/768457321.db2.gz DEZVJLPKQAJGER-GJZGRUSLSA-N 1 2 317.389 1.083 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3ccncc3s2)C1 ZINC001047409027 768458688 /nfs/dbraw/zinc/45/86/88/768458688.db2.gz LJFDJAQDSWGFSV-STQMWFEESA-N 1 2 315.398 1.047 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3ccncc3s2)C1 ZINC001047409027 768458692 /nfs/dbraw/zinc/45/86/92/768458692.db2.gz LJFDJAQDSWGFSV-STQMWFEESA-N 1 2 315.398 1.047 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C#CC2CC2)C[N@@H+]1Cc1ccn(C)n1 ZINC001132047562 768600012 /nfs/dbraw/zinc/60/00/12/768600012.db2.gz YCTNBRHRMGIDEX-UKRRQHHQSA-N 1 2 300.406 1.303 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C#CC2CC2)C[N@H+]1Cc1ccn(C)n1 ZINC001132047562 768600016 /nfs/dbraw/zinc/60/00/16/768600016.db2.gz YCTNBRHRMGIDEX-UKRRQHHQSA-N 1 2 300.406 1.303 20 30 DDEDLO C/C(=C\C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1)C1CC1 ZINC001070626218 768629585 /nfs/dbraw/zinc/62/95/85/768629585.db2.gz UBXXFWJFRXJKCV-UZKRYLTISA-N 1 2 313.405 1.184 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)C2CC(C)(C)C2)C[C@H]1NCC#N ZINC001070644204 768640309 /nfs/dbraw/zinc/64/03/09/768640309.db2.gz WXUPCIBJOLIVSH-ZIAGYGMSSA-N 1 2 315.421 1.264 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001070676223 768662700 /nfs/dbraw/zinc/66/27/00/768662700.db2.gz PHSSUISYPYYXPZ-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)[C@@H]2CC23CCC3)C[C@H]1NCC#N ZINC001070696412 768677513 /nfs/dbraw/zinc/67/75/13/768677513.db2.gz RVCYODDHOGNGPL-HZSPNIEDSA-N 1 2 313.405 1.018 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC2CC2)C1 ZINC001132217812 768689104 /nfs/dbraw/zinc/68/91/04/768689104.db2.gz HEEVEVYQQOIFLG-ZFWWWQNUSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC2CC2)C1 ZINC001132217812 768689110 /nfs/dbraw/zinc/68/91/10/768689110.db2.gz HEEVEVYQQOIFLG-ZFWWWQNUSA-N 1 2 321.465 1.694 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CCC(C)(C)C)C[N@@H+]1CC(=O)NCC#N ZINC001132370637 768790459 /nfs/dbraw/zinc/79/04/59/768790459.db2.gz AUPJUUQKJARICE-KGLIPLIRSA-N 1 2 322.453 1.422 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CCC(C)(C)C)C[N@H+]1CC(=O)NCC#N ZINC001132370637 768790464 /nfs/dbraw/zinc/79/04/64/768790464.db2.gz AUPJUUQKJARICE-KGLIPLIRSA-N 1 2 322.453 1.422 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC=CCC2)CC1 ZINC001070938203 768798026 /nfs/dbraw/zinc/79/80/26/768798026.db2.gz INGULIGTAVSULZ-INIZCTEOSA-N 1 2 319.449 1.569 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC=CCC2)CC1 ZINC001070938203 768798028 /nfs/dbraw/zinc/79/80/28/768798028.db2.gz INGULIGTAVSULZ-INIZCTEOSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH2+]Cc1nc(CC(F)(F)F)no1 ZINC001132395655 768802624 /nfs/dbraw/zinc/80/26/24/768802624.db2.gz KBPVBEBPRPVVIX-UHFFFAOYSA-N 1 2 320.315 1.592 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+]Cc1nnc(C2CC2)o1 ZINC001132398461 768804941 /nfs/dbraw/zinc/80/49/41/768804941.db2.gz AIYLDDHNZWDVDF-UHFFFAOYSA-N 1 2 304.394 1.737 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+][C@@H](C)c1nc(COC)no1 ZINC001132401970 768805640 /nfs/dbraw/zinc/80/56/40/768805640.db2.gz HVNVIAZGJZDYLN-ZDUSSCGKSA-N 1 2 322.409 1.567 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C(F)=C(C)C)CC1 ZINC001070954307 768809102 /nfs/dbraw/zinc/80/91/02/768809102.db2.gz WZVVWDXXIQZQJB-UHFFFAOYSA-N 1 2 311.401 1.476 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C(F)=C(C)C)CC1 ZINC001070954307 768809110 /nfs/dbraw/zinc/80/91/10/768809110.db2.gz WZVVWDXXIQZQJB-UHFFFAOYSA-N 1 2 311.401 1.476 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C(C)=C2CCC2)CC1 ZINC001070963158 768816026 /nfs/dbraw/zinc/81/60/26/768816026.db2.gz DKZQQYCNIBHXOW-UHFFFAOYSA-N 1 2 305.422 1.323 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C(C)=C2CCC2)CC1 ZINC001070963158 768816035 /nfs/dbraw/zinc/81/60/35/768816035.db2.gz DKZQQYCNIBHXOW-UHFFFAOYSA-N 1 2 305.422 1.323 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2CC[C@H](C)C2)CC1 ZINC001070988042 768841202 /nfs/dbraw/zinc/84/12/02/768841202.db2.gz CYHDMHIJHNHJHT-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2CC[C@H](C)C2)CC1 ZINC001070988042 768841213 /nfs/dbraw/zinc/84/12/13/768841213.db2.gz CYHDMHIJHNHJHT-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO Cc1cc(N2CC[NH+](CCNC(=O)C(C)C)CC2)c(C#N)cn1 ZINC001096275322 768915435 /nfs/dbraw/zinc/91/54/35/768915435.db2.gz XJZKDGYUFIFGLF-UHFFFAOYSA-N 1 2 315.421 1.156 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001132591648 769014360 /nfs/dbraw/zinc/01/43/60/769014360.db2.gz TUJPIPHQALSYIE-UHFFFAOYSA-N 1 2 300.309 1.407 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CCF ZINC001096307569 769125645 /nfs/dbraw/zinc/12/56/45/769125645.db2.gz VYNPKUNDZHWTNV-RDBSUJKOSA-N 1 2 319.380 1.951 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CCF ZINC001096307569 769125650 /nfs/dbraw/zinc/12/56/50/769125650.db2.gz VYNPKUNDZHWTNV-RDBSUJKOSA-N 1 2 319.380 1.951 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001071272122 769218707 /nfs/dbraw/zinc/21/87/07/769218707.db2.gz GWCULYKILVMSQO-CRAIPNDOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001071272122 769218714 /nfs/dbraw/zinc/21/87/14/769218714.db2.gz GWCULYKILVMSQO-CRAIPNDOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cncnc2)CC[C@H]1C ZINC001071469854 769480586 /nfs/dbraw/zinc/48/05/86/769480586.db2.gz CHKLKQHLRCYDSV-TZMCWYRMSA-N 1 2 308.813 1.741 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cncnc2)CC[C@H]1C ZINC001071469854 769480592 /nfs/dbraw/zinc/48/05/92/769480592.db2.gz CHKLKQHLRCYDSV-TZMCWYRMSA-N 1 2 308.813 1.741 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ncc(OC)cn2)CC[C@@H]1C ZINC001071674741 769844660 /nfs/dbraw/zinc/84/46/60/769844660.db2.gz ZBCJSOFJTHWQQS-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ncc(OC)cn2)CC[C@@H]1C ZINC001071674741 769844673 /nfs/dbraw/zinc/84/46/73/769844673.db2.gz ZBCJSOFJTHWQQS-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001071996441 770407908 /nfs/dbraw/zinc/40/79/08/770407908.db2.gz RAWWRVJUDXFXQV-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001072317025 770753058 /nfs/dbraw/zinc/75/30/58/770753058.db2.gz JIGDCZUPXBLJEL-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072317025 770753061 /nfs/dbraw/zinc/75/30/61/770753061.db2.gz JIGDCZUPXBLJEL-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049467641 770890591 /nfs/dbraw/zinc/89/05/91/770890591.db2.gz PLFALKMPKPRUCT-LSDHHAIUSA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049467641 770890603 /nfs/dbraw/zinc/89/06/03/770890603.db2.gz PLFALKMPKPRUCT-LSDHHAIUSA-N 1 2 314.389 1.357 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096682169 771390577 /nfs/dbraw/zinc/39/05/77/771390577.db2.gz UUBVRLZARJDMEU-FZMZJTMJSA-N 1 2 304.394 1.683 20 30 DDEDLO C#CC[NH2+][C@@H]1CC[C@H](CNC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001086774985 771718559 /nfs/dbraw/zinc/71/85/59/771718559.db2.gz PNKKIYMXDDSOTA-XJKSGUPXSA-N 1 2 323.400 1.593 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(c2[nH+]cnc3c2cnn3C)C[C@H]1C ZINC001090669454 772090725 /nfs/dbraw/zinc/09/07/25/772090725.db2.gz VNLIQOSLHFMWMF-DGCLKSJQSA-N 1 2 314.393 1.270 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c[nH]cc2C2CC2)[C@H](O)C1 ZINC001090690135 772112087 /nfs/dbraw/zinc/11/20/87/772112087.db2.gz MKGHAIAZXWEVKH-LSDHHAIUSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c[nH]cc2C2CC2)[C@H](O)C1 ZINC001090690135 772112090 /nfs/dbraw/zinc/11/20/90/772112090.db2.gz MKGHAIAZXWEVKH-LSDHHAIUSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c[nH]cc2C2CC2)[C@@H](O)C1 ZINC001090690132 772112132 /nfs/dbraw/zinc/11/21/32/772112132.db2.gz MKGHAIAZXWEVKH-CABCVRRESA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c[nH]cc2C2CC2)[C@@H](O)C1 ZINC001090690132 772112135 /nfs/dbraw/zinc/11/21/35/772112135.db2.gz MKGHAIAZXWEVKH-CABCVRRESA-N 1 2 323.824 1.810 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cc(CSCC)on2)CC1 ZINC001136863110 772177977 /nfs/dbraw/zinc/17/79/77/772177977.db2.gz OZVYFBQXSVAOIL-UHFFFAOYSA-N 1 2 307.419 1.709 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cc(CSCC)on2)CC1 ZINC001136863110 772177981 /nfs/dbraw/zinc/17/79/81/772177981.db2.gz OZVYFBQXSVAOIL-UHFFFAOYSA-N 1 2 307.419 1.709 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@@H+]([C@@H](C)Cc2cccc(C#N)c2)C1 ZINC001171211486 772597985 /nfs/dbraw/zinc/59/79/85/772597985.db2.gz ZYCGRBSFFQZGDK-XJKSGUPXSA-N 1 2 314.385 1.943 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@H+]([C@@H](C)Cc2cccc(C#N)c2)C1 ZINC001171211486 772597987 /nfs/dbraw/zinc/59/79/87/772597987.db2.gz ZYCGRBSFFQZGDK-XJKSGUPXSA-N 1 2 314.385 1.943 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H]1C[C@@H](Nc2ncccc2C#N)C1 ZINC001091357646 772703635 /nfs/dbraw/zinc/70/36/35/772703635.db2.gz LYORUERSSZQIEP-XBXGTLAGSA-N 1 2 324.388 1.236 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+][C@H](C)c1csnn1 ZINC001149117374 772805642 /nfs/dbraw/zinc/80/56/42/772805642.db2.gz HXQPHKPWEQYVDU-GFCCVEGCSA-N 1 2 314.414 1.529 20 30 DDEDLO C#CCOCCC(=O)N1CC2(C1)CC[N@@H+](Cc1coc(C)n1)C2 ZINC001147535171 773171156 /nfs/dbraw/zinc/17/11/56/773171156.db2.gz VXUUSSFDXPWRHG-UHFFFAOYSA-N 1 2 317.389 1.057 20 30 DDEDLO C#CCOCCC(=O)N1CC2(C1)CC[N@H+](Cc1coc(C)n1)C2 ZINC001147535171 773171159 /nfs/dbraw/zinc/17/11/59/773171159.db2.gz VXUUSSFDXPWRHG-UHFFFAOYSA-N 1 2 317.389 1.057 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@@H]2CN(CC#N)CCCO2)c(C)[nH+]1 ZINC001073709482 773330597 /nfs/dbraw/zinc/33/05/97/773330597.db2.gz XJMZJHXGXGQFTF-OAHLLOKOSA-N 1 2 316.405 1.351 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2nocc2C)C1 ZINC001073848406 773466025 /nfs/dbraw/zinc/46/60/25/773466025.db2.gz VUFSQIRFHCUASS-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2nocc2C)C1 ZINC001073848406 773466028 /nfs/dbraw/zinc/46/60/28/773466028.db2.gz VUFSQIRFHCUASS-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](C)CCN1C(=O)c1cccc([C@H](C)C#N)c1 ZINC001148615882 773553025 /nfs/dbraw/zinc/55/30/25/773553025.db2.gz SDMVGFKYEGPEHN-DOMZBBRYSA-N 1 2 315.373 1.243 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](C)CCN1C(=O)c1cccc([C@H](C)C#N)c1 ZINC001148615882 773553029 /nfs/dbraw/zinc/55/30/29/773553029.db2.gz SDMVGFKYEGPEHN-DOMZBBRYSA-N 1 2 315.373 1.243 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(COCC)CC2)[C@@H](O)C1 ZINC001099895851 773682829 /nfs/dbraw/zinc/68/28/29/773682829.db2.gz ABPPAKRGXBSRMK-OLZOCXBDSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(COCC)CC2)[C@@H](O)C1 ZINC001099895851 773682834 /nfs/dbraw/zinc/68/28/34/773682834.db2.gz ABPPAKRGXBSRMK-OLZOCXBDSA-N 1 2 316.829 1.107 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001074188749 773710334 /nfs/dbraw/zinc/71/03/34/773710334.db2.gz YLPJBBYESRFYDQ-HUUCEWRRSA-N 1 2 304.394 1.210 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001074188749 773710339 /nfs/dbraw/zinc/71/03/39/773710339.db2.gz YLPJBBYESRFYDQ-HUUCEWRRSA-N 1 2 304.394 1.210 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cncc(F)c3)C[C@H]21 ZINC001074208409 773731133 /nfs/dbraw/zinc/73/11/33/773731133.db2.gz HRVYKHCVNFXLTF-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cncc(F)c3)C[C@H]21 ZINC001074208409 773731137 /nfs/dbraw/zinc/73/11/37/773731137.db2.gz HRVYKHCVNFXLTF-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2OCC[N@H+](Cc3ccccc3)[C@H]2C1 ZINC001074237412 773760125 /nfs/dbraw/zinc/76/01/25/773760125.db2.gz YTIJYAYJOFQNOZ-OALUTQOASA-N 1 2 324.424 1.902 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2OCC[N@@H+](Cc3ccccc3)[C@H]2C1 ZINC001074237412 773760127 /nfs/dbraw/zinc/76/01/27/773760127.db2.gz YTIJYAYJOFQNOZ-OALUTQOASA-N 1 2 324.424 1.902 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)=C3CCC3)C[C@@H]21 ZINC001074238621 773762167 /nfs/dbraw/zinc/76/21/67/773762167.db2.gz QQZKLXCVNIEKCS-IRXDYDNUSA-N 1 2 302.418 1.812 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)=C3CCC3)C[C@@H]21 ZINC001074238621 773762168 /nfs/dbraw/zinc/76/21/68/773762168.db2.gz QQZKLXCVNIEKCS-IRXDYDNUSA-N 1 2 302.418 1.812 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3C=CC=CC=C3)C[C@H]21 ZINC001074259387 773774748 /nfs/dbraw/zinc/77/47/48/773774748.db2.gz MFRVXYHFCQRPFU-MSOLQXFVSA-N 1 2 312.413 1.610 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3C=CC=CC=C3)C[C@H]21 ZINC001074259387 773774750 /nfs/dbraw/zinc/77/47/50/773774750.db2.gz MFRVXYHFCQRPFU-MSOLQXFVSA-N 1 2 312.413 1.610 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3coc(OC)n3)C[C@H]21 ZINC001074304662 773808617 /nfs/dbraw/zinc/80/86/17/773808617.db2.gz OLUVXOGMVPJGIW-KGLIPLIRSA-N 1 2 321.377 1.175 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3coc(OC)n3)C[C@H]21 ZINC001074304662 773808622 /nfs/dbraw/zinc/80/86/22/773808622.db2.gz OLUVXOGMVPJGIW-KGLIPLIRSA-N 1 2 321.377 1.175 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3csc(C)n3)C[C@@H]21 ZINC001074323734 773824087 /nfs/dbraw/zinc/82/40/87/773824087.db2.gz FPDXBPUUYSFGGP-GJZGRUSLSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3csc(C)n3)C[C@@H]21 ZINC001074323734 773824090 /nfs/dbraw/zinc/82/40/90/773824090.db2.gz FPDXBPUUYSFGGP-GJZGRUSLSA-N 1 2 321.446 1.943 20 30 DDEDLO C#CCCCC(=O)NCC1(Nc2nc(N(C)C)nc(C)[nH+]2)CC1 ZINC001110124778 773840857 /nfs/dbraw/zinc/84/08/57/773840857.db2.gz ZKKZDUUVBQEARH-UHFFFAOYSA-N 1 2 316.409 1.110 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cscn3)C[C@@H]21 ZINC001074354052 773849498 /nfs/dbraw/zinc/84/94/98/773849498.db2.gz KEQNQJNDCMJKJC-GJZGRUSLSA-N 1 2 319.430 1.011 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cscn3)C[C@@H]21 ZINC001074354052 773849500 /nfs/dbraw/zinc/84/95/00/773849500.db2.gz KEQNQJNDCMJKJC-GJZGRUSLSA-N 1 2 319.430 1.011 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC1(C)C)c1nccn12 ZINC001092361213 774075838 /nfs/dbraw/zinc/07/58/38/774075838.db2.gz DXTMSHXXZUYHRZ-CHWSQXEVSA-N 1 2 300.406 1.687 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C1=CCCC1)c1nccn12 ZINC001092364214 774077851 /nfs/dbraw/zinc/07/78/51/774077851.db2.gz ZSAIILPWRJWOJV-HNNXBMFYSA-N 1 2 310.401 1.589 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1C=CC=CC=C1)c1nccn12 ZINC001092371898 774107285 /nfs/dbraw/zinc/10/72/85/774107285.db2.gz CYJAMYVGTAESOE-INIZCTEOSA-N 1 2 322.412 1.939 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccnc(C)n1)c1nccn12 ZINC001092409703 774124788 /nfs/dbraw/zinc/12/47/88/774124788.db2.gz AJETWIJGDCDPNK-CQSZACIVSA-N 1 2 324.388 1.053 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3oc(C)nc3C)CC2)C1 ZINC001093517420 774768604 /nfs/dbraw/zinc/76/86/04/774768604.db2.gz LYFJTXKJFKPERS-UHFFFAOYSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cn(C)nc3C)CC2)C1 ZINC001093517167 774768611 /nfs/dbraw/zinc/76/86/11/774768611.db2.gz WYSKCPZEDXKUPG-UHFFFAOYSA-N 1 2 318.421 1.220 20 30 DDEDLO Cc1nc(NCCNC(=O)CSCC#N)c2c([nH+]1)CCCC2 ZINC001093525765 774777078 /nfs/dbraw/zinc/77/70/78/774777078.db2.gz YVCPOTWQFMVUCM-UHFFFAOYSA-N 1 2 319.434 1.449 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](C)n3cccc3)CC2)C1 ZINC001093528467 774780407 /nfs/dbraw/zinc/78/04/07/774780407.db2.gz JNLLIGBFCSNRLL-HNNXBMFYSA-N 1 2 317.433 1.927 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ncccc3F)CC2)C1 ZINC001093588131 774868420 /nfs/dbraw/zinc/86/84/20/774868420.db2.gz IJEFUSHCWICVAS-UHFFFAOYSA-N 1 2 319.380 1.712 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3C[C@@]34CCOC4)CC2)C1 ZINC001093588794 774870002 /nfs/dbraw/zinc/87/00/02/774870002.db2.gz AYLFNSILMLZDLS-FUHWJXTLSA-N 1 2 320.433 1.291 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(COC)CCC2)[C@@H](O)C1 ZINC001099925773 775427807 /nfs/dbraw/zinc/42/78/07/775427807.db2.gz KJIOIZZWCIZGNX-STQMWFEESA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(COC)CCC2)[C@@H](O)C1 ZINC001099925773 775427810 /nfs/dbraw/zinc/42/78/10/775427810.db2.gz KJIOIZZWCIZGNX-STQMWFEESA-N 1 2 316.829 1.107 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)n1 ZINC001094130063 775466355 /nfs/dbraw/zinc/46/63/55/775466355.db2.gz QZNRFCNRHIHIAH-ZIAGYGMSSA-N 1 2 324.388 1.327 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C(F)F)CCC2)[C@@H](O)C1 ZINC001099967769 775495726 /nfs/dbraw/zinc/49/57/26/775495726.db2.gz SRFPQZQUERYPFU-QWRGUYRKSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C(F)F)CCC2)[C@@H](O)C1 ZINC001099967769 775495732 /nfs/dbraw/zinc/49/57/32/775495732.db2.gz SRFPQZQUERYPFU-QWRGUYRKSA-N 1 2 322.783 1.726 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccccc3F)nn2)C1 ZINC001094268895 775610591 /nfs/dbraw/zinc/61/05/91/775610591.db2.gz IPRJPKLCORCDHD-UHFFFAOYSA-N 1 2 315.352 1.390 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC[C@@H]4C[C@@H]4C3)nn2)C1 ZINC001094300870 775704648 /nfs/dbraw/zinc/70/46/48/775704648.db2.gz VDVPXDRSBSHFJR-MGPQQGTHSA-N 1 2 315.421 1.373 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@@]3(C)C(C)C)nn2)C1 ZINC001094332318 775714676 /nfs/dbraw/zinc/71/46/76/775714676.db2.gz QZZGQNSUYHCNCL-WBVHZDCISA-N 1 2 317.437 1.619 20 30 DDEDLO Cc1nc(NCCCNC(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001094342507 775733263 /nfs/dbraw/zinc/73/32/63/775733263.db2.gz WHNBAQPYIVWRHG-NSHDSACASA-N 1 2 301.394 1.742 20 30 DDEDLO C=CCOCC[NH2+]C1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001100349051 776034680 /nfs/dbraw/zinc/03/46/80/776034680.db2.gz PGXGWWAFCNEMOJ-VQXHTEKXSA-N 1 2 312.307 1.574 20 30 DDEDLO C=CCOCC[NH2+]C1CC(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001100349051 776034690 /nfs/dbraw/zinc/03/46/90/776034690.db2.gz PGXGWWAFCNEMOJ-VQXHTEKXSA-N 1 2 312.307 1.574 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H]3CCC3(C)C)CC2=O)C1 ZINC001094770538 776259717 /nfs/dbraw/zinc/25/97/17/776259717.db2.gz DIEVOVQKJMXIHJ-GXTWGEPZSA-N 1 2 305.422 1.010 20 30 DDEDLO Cc1nsc(NCCCNC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001094808321 776308079 /nfs/dbraw/zinc/30/80/79/776308079.db2.gz KEELBHGBJWLUCW-UHFFFAOYSA-N 1 2 304.379 1.207 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[NH2+][C@@H](C)c2noc(C)n2)nc1 ZINC001171585435 776333141 /nfs/dbraw/zinc/33/31/41/776333141.db2.gz UENCGIKULHRKTE-NSHDSACASA-N 1 2 313.361 1.225 20 30 DDEDLO C[C@H](CC(=O)NCCCNc1cnc(C#N)cn1)n1cc[nH+]c1 ZINC001094824261 776345641 /nfs/dbraw/zinc/34/56/41/776345641.db2.gz HYXFHYRQFKXIJQ-GFCCVEGCSA-N 1 2 313.365 1.114 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001100858784 776641343 /nfs/dbraw/zinc/64/13/43/776641343.db2.gz FCCCPYSDWRDLSM-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2CNC(=O)CCc2c[nH]c[nH+]2)cn1 ZINC001100918959 776702531 /nfs/dbraw/zinc/70/25/31/776702531.db2.gz LTZPTMQFSOEFFF-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2CNC(=O)CCc2c[nH+]c[nH]2)cn1 ZINC001100918959 776702539 /nfs/dbraw/zinc/70/25/39/776702539.db2.gz LTZPTMQFSOEFFF-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CCCCCC(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101565406 777255439 /nfs/dbraw/zinc/25/54/39/777255439.db2.gz NIDHIWIKIMAJKI-UHFFFAOYSA-N 1 2 314.393 1.427 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)/C(C)=C\CC)c1nccn12 ZINC001101613667 777305312 /nfs/dbraw/zinc/30/53/12/777305312.db2.gz FOCLKCYEFRPNGF-GSHXUFRSSA-N 1 2 312.417 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CC(F)(F)F)c1nccn12 ZINC001101614160 777305540 /nfs/dbraw/zinc/30/55/40/777305540.db2.gz OXTFUDGPCNDGRK-SNVBAGLBSA-N 1 2 314.311 1.593 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](F)CC)c1nccn12 ZINC001101638538 777335384 /nfs/dbraw/zinc/33/53/84/777335384.db2.gz YNIZBKXPCVGSPV-CHWSQXEVSA-N 1 2 304.369 1.226 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001101694945 777401359 /nfs/dbraw/zinc/40/13/59/777401359.db2.gz JUGMCMCADQWEPH-UHFFFAOYSA-N 1 2 312.377 1.524 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@H+]([C@@H](C)c2noc(C)n2)C[C@H]1C ZINC001101818303 777561409 /nfs/dbraw/zinc/56/14/09/777561409.db2.gz LYFKCZQKHPTEMK-MBNYWOFBSA-N 1 2 322.409 1.326 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@@H+]([C@@H](C)c2noc(C)n2)C[C@H]1C ZINC001101818303 777561412 /nfs/dbraw/zinc/56/14/12/777561412.db2.gz LYFKCZQKHPTEMK-MBNYWOFBSA-N 1 2 322.409 1.326 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101917653 777677445 /nfs/dbraw/zinc/67/74/45/777677445.db2.gz SRPSGDCTWPXQRU-CYBMUJFWSA-N 1 2 320.441 1.719 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001101947206 777715189 /nfs/dbraw/zinc/71/51/89/777715189.db2.gz DWCDOSQHNDBSTG-CYBMUJFWSA-N 1 2 324.388 1.667 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102356037 778091687 /nfs/dbraw/zinc/09/16/87/778091687.db2.gz UZURNTUZSKDCOG-DZGCQCFKSA-N 1 2 316.405 1.156 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCOCC(C)C)C[C@@H]21 ZINC001176930707 778318816 /nfs/dbraw/zinc/31/88/16/778318816.db2.gz SYKBAGNQZMJFKP-DLBZAZTESA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCOCC(C)C)C[C@@H]21 ZINC001176930707 778318824 /nfs/dbraw/zinc/31/88/24/778318824.db2.gz SYKBAGNQZMJFKP-DLBZAZTESA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(CCOC)CC3)C[C@@H]21 ZINC001177029354 778399537 /nfs/dbraw/zinc/39/95/37/778399537.db2.gz FOEJFLNQGKTFKA-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CCOC)CC3)C[C@@H]21 ZINC001177029354 778399540 /nfs/dbraw/zinc/39/95/40/778399540.db2.gz FOEJFLNQGKTFKA-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO CCN(C(=O)Cc1[nH]cc[nH+]1)[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001102815847 778423386 /nfs/dbraw/zinc/42/33/86/778423386.db2.gz KQSQYMKWFJDEDF-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CC=C)C[C@@H]21 ZINC001177107069 778448628 /nfs/dbraw/zinc/44/86/28/778448628.db2.gz MIJKRISTUBODLG-HOTGVXAUSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CC=C)C[C@@H]21 ZINC001177107069 778448630 /nfs/dbraw/zinc/44/86/30/778448630.db2.gz MIJKRISTUBODLG-HOTGVXAUSA-N 1 2 304.434 1.914 20 30 DDEDLO C=CCCC(=O)NC[C@@H](CC)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001102985441 778529267 /nfs/dbraw/zinc/52/92/67/778529267.db2.gz NZRKFANYKAQUKJ-GFCCVEGCSA-N 1 2 306.414 1.519 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@@H](CC)Nc2cc[nH+]c(C)n2)nn1 ZINC001103351580 778808424 /nfs/dbraw/zinc/80/84/24/778808424.db2.gz YWLLHZFUCPOHBX-GFCCVEGCSA-N 1 2 315.381 1.183 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)[C@@H]1C ZINC001178582319 779093423 /nfs/dbraw/zinc/09/34/23/779093423.db2.gz WHDOPILGQUWFRD-STQMWFEESA-N 1 2 304.394 1.515 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cn(C)nn1)C2 ZINC001111650586 779417615 /nfs/dbraw/zinc/41/76/15/779417615.db2.gz XQQTUGMGLSXHQI-BBRMVZONSA-N 1 2 303.410 1.252 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cn(C)nn1)C2 ZINC001111650586 779417618 /nfs/dbraw/zinc/41/76/18/779417618.db2.gz XQQTUGMGLSXHQI-BBRMVZONSA-N 1 2 303.410 1.252 20 30 DDEDLO C[C@H](CCCNc1cncc(C#N)n1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114984174 779803495 /nfs/dbraw/zinc/80/34/95/779803495.db2.gz YUXJSCZXIQRUDF-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001115324466 780037882 /nfs/dbraw/zinc/03/78/82/780037882.db2.gz RFPLKKYBPBRCOI-HALDLXJZSA-N 1 2 318.421 1.042 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001115324466 780037891 /nfs/dbraw/zinc/03/78/91/780037891.db2.gz RFPLKKYBPBRCOI-HALDLXJZSA-N 1 2 318.421 1.042 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001115544245 780226953 /nfs/dbraw/zinc/22/69/53/780226953.db2.gz MTZOGAMIXSRTLF-IUODEOHRSA-N 1 2 316.405 1.472 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC001117348340 780731244 /nfs/dbraw/zinc/73/12/44/780731244.db2.gz FTZNGHWAOGQYBC-QLFBSQMISA-N 1 2 318.421 1.764 20 30 DDEDLO CC1(C)CCC[C@H]1C(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001267273309 837718282 /nfs/dbraw/zinc/71/82/82/837718282.db2.gz WKAZWXBZAPFGPH-KGLIPLIRSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001267273309 837718290 /nfs/dbraw/zinc/71/82/90/837718290.db2.gz WKAZWXBZAPFGPH-KGLIPLIRSA-N 1 2 320.437 1.033 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CC[N@H+](Cc2nncs2)C1 ZINC001267291249 837758286 /nfs/dbraw/zinc/75/82/86/837758286.db2.gz CCGGOCSYJTXOHR-CYBMUJFWSA-N 1 2 306.435 1.670 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CC[N@@H+](Cc2nncs2)C1 ZINC001267291249 837758290 /nfs/dbraw/zinc/75/82/90/837758290.db2.gz CCGGOCSYJTXOHR-CYBMUJFWSA-N 1 2 306.435 1.670 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)[C@H]2Cc3ccccc32)CC1 ZINC001266292469 836064499 /nfs/dbraw/zinc/06/44/99/836064499.db2.gz JOUJMJLZEPYFAH-SFHVURJKSA-N 1 2 311.429 1.083 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001266321639 836117291 /nfs/dbraw/zinc/11/72/91/836117291.db2.gz SSTVPAOAGBDHCZ-OAHLLOKOSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC2CCC2)C1 ZINC001266321639 836117295 /nfs/dbraw/zinc/11/72/95/836117295.db2.gz SSTVPAOAGBDHCZ-OAHLLOKOSA-N 1 2 321.465 1.696 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cccc1 ZINC001266496350 836348906 /nfs/dbraw/zinc/34/89/06/836348906.db2.gz KDDBEIBUQZMCMR-CALCHBBNSA-N 1 2 315.417 1.203 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cccc1 ZINC001266496350 836348916 /nfs/dbraw/zinc/34/89/16/836348916.db2.gz KDDBEIBUQZMCMR-CALCHBBNSA-N 1 2 315.417 1.203 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CNC(=O)CC(C)(C)C ZINC001266501857 836357203 /nfs/dbraw/zinc/35/72/03/836357203.db2.gz MMSAXEROSAIPOI-GASCZTMLSA-N 1 2 319.449 1.237 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CNC(=O)CC(C)(C)C ZINC001266501857 836357211 /nfs/dbraw/zinc/35/72/11/836357211.db2.gz MMSAXEROSAIPOI-GASCZTMLSA-N 1 2 319.449 1.237 20 30 DDEDLO CC[C@@H](C)CC(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001266840670 836911839 /nfs/dbraw/zinc/91/18/39/836911839.db2.gz YYUZUAHKMWAQGY-KGLIPLIRSA-N 1 2 308.426 1.033 20 30 DDEDLO CC[C@@H](C)CC(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001266840670 836911844 /nfs/dbraw/zinc/91/18/44/836911844.db2.gz YYUZUAHKMWAQGY-KGLIPLIRSA-N 1 2 308.426 1.033 20 30 DDEDLO COCC#CC[NH2+]C[C@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC001266868666 836970489 /nfs/dbraw/zinc/97/04/89/836970489.db2.gz ZAENWDLNKBAFGT-NEPJUHHUSA-N 1 2 324.318 1.507 20 30 DDEDLO COCC#CC[NH2+]C[C@H]1CCCCN1C(=O)[C@H](F)C(F)(F)F ZINC001266868666 836970498 /nfs/dbraw/zinc/97/04/98/836970498.db2.gz ZAENWDLNKBAFGT-NEPJUHHUSA-N 1 2 324.318 1.507 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1nccnc1C ZINC001267529587 838255131 /nfs/dbraw/zinc/25/51/31/838255131.db2.gz OGPKDYJJRUADJB-IYBDPMFKSA-N 1 2 312.417 1.764 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1nccnc1C ZINC001267529587 838255142 /nfs/dbraw/zinc/25/51/42/838255142.db2.gz OGPKDYJJRUADJB-IYBDPMFKSA-N 1 2 312.417 1.764 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@H]3[C@H]2CCCN3C(=O)C#CC(C)C)n1 ZINC001272016792 844326861 /nfs/dbraw/zinc/32/68/61/844326861.db2.gz UEUSJRUMECNXLY-CABCVRRESA-N 1 2 316.405 1.603 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@H]3[C@H]2CCCN3C(=O)C#CC(C)C)n1 ZINC001272016792 844326871 /nfs/dbraw/zinc/32/68/71/844326871.db2.gz UEUSJRUMECNXLY-CABCVRRESA-N 1 2 316.405 1.603 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(Cc2cc(C)no2)CC1 ZINC001267606273 838430102 /nfs/dbraw/zinc/43/01/02/838430102.db2.gz SNSLUQQHXUCGOL-UHFFFAOYSA-N 1 2 320.437 1.525 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@@H+](Cc2cncc(F)c2)C1 ZINC001267626038 838521439 /nfs/dbraw/zinc/52/14/39/838521439.db2.gz LHPNNPFLAGXYOW-INIZCTEOSA-N 1 2 319.380 1.341 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@H+](Cc2cncc(F)c2)C1 ZINC001267626038 838521443 /nfs/dbraw/zinc/52/14/43/838521443.db2.gz LHPNNPFLAGXYOW-INIZCTEOSA-N 1 2 319.380 1.341 20 30 DDEDLO C=CCCOCC(=O)NCC1C[NH+](Cc2ccc(F)cn2)C1 ZINC001267701472 838674991 /nfs/dbraw/zinc/67/49/91/838674991.db2.gz UENUKYRBDVONPL-UHFFFAOYSA-N 1 2 307.369 1.361 20 30 DDEDLO N#CCSCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(F)cc1 ZINC001267702297 838680417 /nfs/dbraw/zinc/68/04/17/838680417.db2.gz IDTWMLFLRFLSAI-GJZGRUSLSA-N 1 2 319.405 1.868 20 30 DDEDLO N#CCSCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(F)cc1 ZINC001267702297 838680420 /nfs/dbraw/zinc/68/04/20/838680420.db2.gz IDTWMLFLRFLSAI-GJZGRUSLSA-N 1 2 319.405 1.868 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(F)cc1 ZINC001267706927 838689353 /nfs/dbraw/zinc/68/93/53/838689353.db2.gz UKLPYIROSNSPBE-JQFCIGGWSA-N 1 2 316.376 1.649 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(F)cc1 ZINC001267706927 838689358 /nfs/dbraw/zinc/68/93/58/838689358.db2.gz UKLPYIROSNSPBE-JQFCIGGWSA-N 1 2 316.376 1.649 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NC3(CNCC#N)CCCC3)ccn12 ZINC001267837209 839056688 /nfs/dbraw/zinc/05/66/88/839056688.db2.gz JCWHSZXVSXXVPF-UHFFFAOYSA-N 1 2 311.389 1.799 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)CCN(C)C(=O)CSCC#N)o1 ZINC001268230025 839864818 /nfs/dbraw/zinc/86/48/18/839864818.db2.gz FNVRJDSAERAHJD-LBPRGKRZSA-N 1 2 310.423 1.691 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)CCN(C)C(=O)CSCC#N)o1 ZINC001268230025 839864828 /nfs/dbraw/zinc/86/48/28/839864828.db2.gz FNVRJDSAERAHJD-LBPRGKRZSA-N 1 2 310.423 1.691 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@@H]2CCO[C@@H](C(C)C)C2)C1 ZINC001268467286 840248627 /nfs/dbraw/zinc/24/86/27/840248627.db2.gz CEQSTBJNQIQZSC-NVXWUHKLSA-N 1 2 324.465 1.831 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@@H+](Cc3ncnn3C)C[C@H]21 ZINC001272062648 844519811 /nfs/dbraw/zinc/51/98/11/844519811.db2.gz QSKYNBIAJQPPHZ-UONOGXRCSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@H+](Cc3ncnn3C)C[C@H]21 ZINC001272062648 844519817 /nfs/dbraw/zinc/51/98/17/844519817.db2.gz QSKYNBIAJQPPHZ-UONOGXRCSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCC(C)=C(C)C2)C1 ZINC001269026102 841125338 /nfs/dbraw/zinc/12/53/38/841125338.db2.gz PAMFJVHSOIZJAX-CVEARBPZSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCC(C)=C(C)C2)C1 ZINC001269026102 841125350 /nfs/dbraw/zinc/12/53/50/841125350.db2.gz PAMFJVHSOIZJAX-CVEARBPZSA-N 1 2 319.449 1.616 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2sccc2OC)C1 ZINC001269084552 841188193 /nfs/dbraw/zinc/18/81/93/841188193.db2.gz WXRYTKZNPOJDGY-LBPRGKRZSA-N 1 2 308.403 1.211 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2sccc2OC)C1 ZINC001269084552 841188198 /nfs/dbraw/zinc/18/81/98/841188198.db2.gz WXRYTKZNPOJDGY-LBPRGKRZSA-N 1 2 308.403 1.211 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@H](N(C)C(=O)COCCC)C1 ZINC001269222189 841373889 /nfs/dbraw/zinc/37/38/89/841373889.db2.gz UVXPWQDSZBAWIB-GFCCVEGCSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@H](N(C)C(=O)COCCC)C1 ZINC001269222189 841373902 /nfs/dbraw/zinc/37/39/02/841373902.db2.gz UVXPWQDSZBAWIB-GFCCVEGCSA-N 1 2 319.243 1.854 20 30 DDEDLO CN(C(=O)C#CC1CC1)[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C1 ZINC001269240267 841403603 /nfs/dbraw/zinc/40/36/03/841403603.db2.gz KGMXPBDPAWYTKR-MRXNPFEDSA-N 1 2 301.365 1.667 20 30 DDEDLO CN(C(=O)C#CC1CC1)[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001269240267 841403609 /nfs/dbraw/zinc/40/36/09/841403609.db2.gz KGMXPBDPAWYTKR-MRXNPFEDSA-N 1 2 301.365 1.667 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001269241235 841408310 /nfs/dbraw/zinc/40/83/10/841408310.db2.gz SOMILAUOLIATPG-KGLIPLIRSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001269241235 841408313 /nfs/dbraw/zinc/40/83/13/841408313.db2.gz SOMILAUOLIATPG-KGLIPLIRSA-N 1 2 321.446 1.523 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H](C)CC(F)(F)F ZINC001269267246 841446351 /nfs/dbraw/zinc/44/63/51/841446351.db2.gz TUIOCRBTJAOIOE-NEPJUHHUSA-N 1 2 306.328 1.415 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H](C)CC(F)(F)F ZINC001269267246 841446353 /nfs/dbraw/zinc/44/63/53/841446353.db2.gz TUIOCRBTJAOIOE-NEPJUHHUSA-N 1 2 306.328 1.415 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H](C)CC(F)(F)F ZINC001269267247 841445805 /nfs/dbraw/zinc/44/58/05/841445805.db2.gz TUIOCRBTJAOIOE-VXGBXAGGSA-N 1 2 306.328 1.415 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H](C)CC(F)(F)F ZINC001269267247 841445818 /nfs/dbraw/zinc/44/58/18/841445818.db2.gz TUIOCRBTJAOIOE-VXGBXAGGSA-N 1 2 306.328 1.415 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@H+]2[C@H]2CCN(CC)C2=O)CCC1 ZINC001269285819 841470076 /nfs/dbraw/zinc/47/00/76/841470076.db2.gz KJFFTVDQQSHUFG-GJZGRUSLSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@@H+]2[C@H]2CCN(CC)C2=O)CCC1 ZINC001269285819 841470085 /nfs/dbraw/zinc/47/00/85/841470085.db2.gz KJFFTVDQQSHUFG-GJZGRUSLSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1([NH2+]Cc2nc(C)c(C)o2)CC1 ZINC001269403247 841606130 /nfs/dbraw/zinc/60/61/30/841606130.db2.gz SDZGXKKRNXQBPD-HNNXBMFYSA-N 1 2 307.394 1.357 20 30 DDEDLO C#CCN(C(=O)c1cccc2n[nH]cc21)C1CC[NH+](CC#C)CC1 ZINC001270331386 842494757 /nfs/dbraw/zinc/49/47/57/842494757.db2.gz BECDVNQAXDKUOV-UHFFFAOYSA-N 1 2 320.396 1.736 20 30 DDEDLO C=CC1(CC(=O)NC[C@H]2C[N@H+](CCOC)CCO2)CCCCC1 ZINC001270681927 842814949 /nfs/dbraw/zinc/81/49/49/842814949.db2.gz GNEKGLZRTDDCFY-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CC1(CC(=O)NC[C@H]2C[N@@H+](CCOC)CCO2)CCCCC1 ZINC001270681927 842814955 /nfs/dbraw/zinc/81/49/55/842814955.db2.gz GNEKGLZRTDDCFY-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO CCCCCC(=O)N1CCC2(C[NH+](CC(=O)NCC#N)C2)CC1 ZINC001270832881 842941473 /nfs/dbraw/zinc/94/14/73/842941473.db2.gz BXILZDBXPXPABM-UHFFFAOYSA-N 1 2 320.437 1.131 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)C[NH2+]Cc1nc(N(C)C)no1 ZINC001271094110 843207900 /nfs/dbraw/zinc/20/79/00/843207900.db2.gz USQFJYUILNHQCZ-LRDDRELGSA-N 1 2 323.441 1.674 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@]1(O)CC[N@H+](Cc2cnns2)C1 ZINC001271193395 843398488 /nfs/dbraw/zinc/39/84/88/843398488.db2.gz VJALVZPLZQMKLM-OAHLLOKOSA-N 1 2 324.450 1.193 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@]1(O)CC[N@@H+](Cc2cnns2)C1 ZINC001271193395 843398494 /nfs/dbraw/zinc/39/84/94/843398494.db2.gz VJALVZPLZQMKLM-OAHLLOKOSA-N 1 2 324.450 1.193 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@@H](CC)OC2CCCC2)C1 ZINC001271361528 843522575 /nfs/dbraw/zinc/52/25/75/843522575.db2.gz RHAGJCKKMMWSKK-OAHLLOKOSA-N 1 2 310.438 1.463 20 30 DDEDLO Cc1ccc(C[NH+]2CC(CCO)(NC(=O)[C@H](C)C#N)C2)c(C)c1 ZINC001409714431 845611162 /nfs/dbraw/zinc/61/11/62/845611162.db2.gz WFPQKOLTCJCZSZ-OAHLLOKOSA-N 1 2 315.417 1.516 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CCCC[C@]12CC(=O)N(CCCC#N)C2 ZINC001272679075 846578916 /nfs/dbraw/zinc/57/89/16/846578916.db2.gz WZACWAOUHSDYOS-QGZVFWFLSA-N 1 2 315.421 1.681 20 30 DDEDLO Cn1ccnc1C[N@H+]1CCCC[C@]12CC(=O)N(CCCC#N)C2 ZINC001272679075 846578919 /nfs/dbraw/zinc/57/89/19/846578919.db2.gz WZACWAOUHSDYOS-QGZVFWFLSA-N 1 2 315.421 1.681 20 30 DDEDLO Cc1cccnc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C#N)[nH]1 ZINC001032362788 847039229 /nfs/dbraw/zinc/03/92/29/847039229.db2.gz SWTNYYWSXNHJMW-GJZGRUSLSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1cccnc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C#N)[nH]1 ZINC001032362788 847039239 /nfs/dbraw/zinc/03/92/39/847039239.db2.gz SWTNYYWSXNHJMW-GJZGRUSLSA-N 1 2 321.384 1.689 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(OC)c(C)c1)C2 ZINC001272907758 847620427 /nfs/dbraw/zinc/62/04/27/847620427.db2.gz KAZXUEANBOOHGW-UHFFFAOYSA-N 1 2 316.401 1.603 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001034255880 848180211 /nfs/dbraw/zinc/18/02/11/848180211.db2.gz FHODTIVNBGQNPQ-MJBXVCDLSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001034255880 848180217 /nfs/dbraw/zinc/18/02/17/848180217.db2.gz FHODTIVNBGQNPQ-MJBXVCDLSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001034302761 848291622 /nfs/dbraw/zinc/29/16/22/848291622.db2.gz WXUQVPPFMQHSFL-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001034302761 848291627 /nfs/dbraw/zinc/29/16/27/848291627.db2.gz WXUQVPPFMQHSFL-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2n[nH]cc2F)C1 ZINC001034381077 848434381 /nfs/dbraw/zinc/43/43/81/848434381.db2.gz LLBYTNZFYGCEMJ-JTQLQIEISA-N 1 2 300.765 1.886 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2n[nH]cc2F)C1 ZINC001034381077 848434382 /nfs/dbraw/zinc/43/43/82/848434382.db2.gz LLBYTNZFYGCEMJ-JTQLQIEISA-N 1 2 300.765 1.886 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cnccc2OCC)C1=O ZINC001273189503 848658382 /nfs/dbraw/zinc/65/83/82/848658382.db2.gz SFDNNFPOZMNNKF-SFHVURJKSA-N 1 2 313.401 1.680 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cnccc2OCC)C1=O ZINC001273189503 848658385 /nfs/dbraw/zinc/65/83/85/848658385.db2.gz SFDNNFPOZMNNKF-SFHVURJKSA-N 1 2 313.401 1.680 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cnccc2OCC)C1=O ZINC001273189498 848658439 /nfs/dbraw/zinc/65/84/39/848658439.db2.gz SFDNNFPOZMNNKF-GOSISDBHSA-N 1 2 313.401 1.680 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cnccc2OCC)C1=O ZINC001273189498 848658440 /nfs/dbraw/zinc/65/84/40/848658440.db2.gz SFDNNFPOZMNNKF-GOSISDBHSA-N 1 2 313.401 1.680 20 30 DDEDLO C#CCCCCC(=O)N1CCO[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001273244595 849025237 /nfs/dbraw/zinc/02/52/37/849025237.db2.gz UCPGMJSGNGYKNO-CQSZACIVSA-N 1 2 322.434 1.049 20 30 DDEDLO C=CC[C@@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)OCC ZINC001327297347 862040216 /nfs/dbraw/zinc/04/02/16/862040216.db2.gz SGPRETADINJBAG-CYBMUJFWSA-N 1 2 305.378 1.386 20 30 DDEDLO CCn1ncc(C[N@@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@H]23)n1 ZINC001410889218 849921669 /nfs/dbraw/zinc/92/16/69/849921669.db2.gz QQIOZNVQQZUCRA-DUVNUKRYSA-N 1 2 316.409 1.071 20 30 DDEDLO CCn1ncc(C[N@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@H]23)n1 ZINC001410889218 849921676 /nfs/dbraw/zinc/92/16/76/849921676.db2.gz QQIOZNVQQZUCRA-DUVNUKRYSA-N 1 2 316.409 1.071 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC=CCC1)CO2 ZINC001327371537 862113409 /nfs/dbraw/zinc/11/34/09/862113409.db2.gz GWTIUIBOZREPCT-JKSUJKDBSA-N 1 2 320.433 1.505 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)Cn1nccc1C)O2 ZINC001273669337 851204989 /nfs/dbraw/zinc/20/49/89/851204989.db2.gz RQRJPXLIRUNNFY-OAHLLOKOSA-N 1 2 318.421 1.117 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C/C[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001274011102 851846649 /nfs/dbraw/zinc/84/66/49/851846649.db2.gz ULXSRCKKIXEXLR-MUBLQREKSA-N 1 2 316.405 1.929 20 30 DDEDLO C#CCN1CC[C@]2(CC[N@@H+](Cc3ncc(Cl)cn3)C2)C1=O ZINC001274324323 852161096 /nfs/dbraw/zinc/16/10/96/852161096.db2.gz JBMIRYUNFFNPFZ-HNNXBMFYSA-N 1 2 304.781 1.188 20 30 DDEDLO C#CCN1CC[C@]2(CC[N@H+](Cc3ncc(Cl)cn3)C2)C1=O ZINC001274324323 852161102 /nfs/dbraw/zinc/16/11/02/852161102.db2.gz JBMIRYUNFFNPFZ-HNNXBMFYSA-N 1 2 304.781 1.188 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)CO[C@H](C)CC)C2)CC1 ZINC001280676827 852194577 /nfs/dbraw/zinc/19/45/77/852194577.db2.gz CPTMOWFPMHHDDF-IAGOWNOFSA-N 1 2 323.481 1.596 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H](CC)CC(C)C)CC2)C1 ZINC001274479421 852313034 /nfs/dbraw/zinc/31/30/34/852313034.db2.gz GLHFNMAZMMNJEA-MRXNPFEDSA-N 1 2 305.466 1.520 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nncn2C)[C@@H](C)C1 ZINC001274623272 852454963 /nfs/dbraw/zinc/45/49/63/852454963.db2.gz KRWZKRNTDGLUQG-KBPBESRZSA-N 1 2 303.410 1.088 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nncn2C)[C@@H](C)C1 ZINC001274623272 852454967 /nfs/dbraw/zinc/45/49/67/852454967.db2.gz KRWZKRNTDGLUQG-KBPBESRZSA-N 1 2 303.410 1.088 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2cn(C)nn2)[C@@H](C)C1 ZINC001274626244 852458239 /nfs/dbraw/zinc/45/82/39/852458239.db2.gz YCSLFFDBXVNGSQ-UONOGXRCSA-N 1 2 305.426 1.641 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2cn(C)nn2)[C@@H](C)C1 ZINC001274626244 852458244 /nfs/dbraw/zinc/45/82/44/852458244.db2.gz YCSLFFDBXVNGSQ-UONOGXRCSA-N 1 2 305.426 1.641 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1ccccc1NC)C2 ZINC001274665011 852500182 /nfs/dbraw/zinc/50/01/82/852500182.db2.gz SDLPCZZFJXOSGD-UHFFFAOYSA-N 1 2 315.417 1.718 20 30 DDEDLO N#Cc1cncc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)c1 ZINC001274776583 852595176 /nfs/dbraw/zinc/59/51/76/852595176.db2.gz CDMPYXXSIHPWLU-IYBDPMFKSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1cncc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)c1 ZINC001274776583 852595178 /nfs/dbraw/zinc/59/51/78/852595178.db2.gz CDMPYXXSIHPWLU-IYBDPMFKSA-N 1 2 322.372 1.165 20 30 DDEDLO C#Cc1cc(C(=O)N2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)ccc1C ZINC001275457086 853149345 /nfs/dbraw/zinc/14/93/45/853149345.db2.gz PAWOKVAVJLCVCV-UHFFFAOYSA-N 1 2 320.396 1.657 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3COC[C@H](C2)N3Cc2ccc[nH]2)n1 ZINC001275605268 853424470 /nfs/dbraw/zinc/42/44/70/853424470.db2.gz HATWJBAYFXCYQY-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3COC[C@H](C2)N3Cc2ccc[nH]2)n1 ZINC001275605268 853424472 /nfs/dbraw/zinc/42/44/72/853424472.db2.gz HATWJBAYFXCYQY-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)C[N@@H+](C)Cc2cnnn2CC)CCC1 ZINC001275636248 853469702 /nfs/dbraw/zinc/46/97/02/853469702.db2.gz ANCREHQCEKDCHH-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)C[N@H+](C)Cc2cnnn2CC)CCC1 ZINC001275636248 853469710 /nfs/dbraw/zinc/46/97/10/853469710.db2.gz ANCREHQCEKDCHH-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@H]1C[N@H+](CC(=C)Cl)CCCO1 ZINC001150811168 862476706 /nfs/dbraw/zinc/47/67/06/862476706.db2.gz NDPHUQRQWCGQES-KBPBESRZSA-N 1 2 316.829 1.537 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@H]1C[N@@H+](CC(=C)Cl)CCCO1 ZINC001150811168 862476709 /nfs/dbraw/zinc/47/67/09/862476709.db2.gz NDPHUQRQWCGQES-KBPBESRZSA-N 1 2 316.829 1.537 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2cccc(C(F)F)c2)C1 ZINC001276100470 854764352 /nfs/dbraw/zinc/76/43/52/854764352.db2.gz BGNDFQPTEGQHEA-UHFFFAOYSA-N 1 2 322.355 1.814 20 30 DDEDLO Cc1[nH]c(CNC(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C)[nH+]c1C ZINC001412835287 855549261 /nfs/dbraw/zinc/54/92/61/855549261.db2.gz AZRZDBAWSVQPFA-INIZCTEOSA-N 1 2 320.393 1.904 20 30 DDEDLO N#CC[C@]1(O)CCN(C(=O)c2cc(-n3cc[nH+]c3)ccc2O)C1 ZINC001413187013 856529674 /nfs/dbraw/zinc/52/96/74/856529674.db2.gz VLWRYSZDWNVJMM-INIZCTEOSA-N 1 2 312.329 1.069 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)c(C)s2)C1 ZINC001047619445 856757508 /nfs/dbraw/zinc/75/75/08/856757508.db2.gz OIXDUYJBJPHAQC-KBPBESRZSA-N 1 2 306.431 1.505 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)c(C)s2)C1 ZINC001047619445 856757516 /nfs/dbraw/zinc/75/75/16/856757516.db2.gz OIXDUYJBJPHAQC-KBPBESRZSA-N 1 2 306.431 1.505 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)[C@H]1CCCO1 ZINC001151755270 862953488 /nfs/dbraw/zinc/95/34/88/862953488.db2.gz JLKZTDZVYSSCNC-VXGBXAGGSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)[C@H]1CCCO1 ZINC001151755270 862953497 /nfs/dbraw/zinc/95/34/97/862953497.db2.gz JLKZTDZVYSSCNC-VXGBXAGGSA-N 1 2 319.243 1.901 20 30 DDEDLO C=CCn1c(N(CC)CC2CC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121709484 858578748 /nfs/dbraw/zinc/57/87/48/858578748.db2.gz UKPXEKSNIFLWIJ-YOEHRIQHSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N(CC)CC2CC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001121709484 858578756 /nfs/dbraw/zinc/57/87/56/858578756.db2.gz UKPXEKSNIFLWIJ-YOEHRIQHSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](CO)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001122310186 858746070 /nfs/dbraw/zinc/74/60/70/858746070.db2.gz JXRRWCXLFJBBSY-ZDUSSCGKSA-N 1 2 318.421 1.764 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cocn3)n2CC2CC2)CC1 ZINC001123605610 859323770 /nfs/dbraw/zinc/32/37/70/859323770.db2.gz IQCRBLHIUUPTRJ-UHFFFAOYSA-N 1 2 312.377 1.098 20 30 DDEDLO C=CCOCC(=O)N1C[C@H](Nc2cc[nH+]c(C)n2)C(C)(C)C1 ZINC001123926656 859477735 /nfs/dbraw/zinc/47/77/35/859477735.db2.gz HQLCPNSZPMYAPX-ZDUSSCGKSA-N 1 2 304.394 1.637 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001124324578 859681774 /nfs/dbraw/zinc/68/17/74/859681774.db2.gz YFANRWSWALDGRT-CQSZACIVSA-N 1 2 304.394 1.210 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(CC(C)C)no1 ZINC001124488758 859720765 /nfs/dbraw/zinc/72/07/65/859720765.db2.gz UCHUCQICPANREZ-ZDUSSCGKSA-N 1 2 324.425 1.455 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H](O)CNc2cc[nH+]c(C)n2)cc1 ZINC001124633191 859752229 /nfs/dbraw/zinc/75/22/29/859752229.db2.gz FIVUXLSGHGHVCC-MRXNPFEDSA-N 1 2 324.384 1.311 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H](O)CNc2cc[nH+]c(C)n2)CC1 ZINC001124726793 859795048 /nfs/dbraw/zinc/79/50/48/859795048.db2.gz BESUAGBRUVNSBB-OAHLLOKOSA-N 1 2 318.421 1.763 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)C(=O)/C=C/c1ccc(F)cc1 ZINC001138185797 860036424 /nfs/dbraw/zinc/03/64/24/860036424.db2.gz UBPMFMDPLYPIJW-QPJJXVBHSA-N 1 2 301.321 1.500 20 30 DDEDLO C=C(C)COc1ccccc1C[N@@H+]1CCN(C)[C@@H](C(=O)OC)C1 ZINC001138666596 860157357 /nfs/dbraw/zinc/15/73/57/860157357.db2.gz ZAWNZRCLCSEPDF-MRXNPFEDSA-N 1 2 318.417 1.931 20 30 DDEDLO C=C(C)COc1ccccc1C[N@H+]1CCN(C)[C@@H](C(=O)OC)C1 ZINC001138666596 860157360 /nfs/dbraw/zinc/15/73/60/860157360.db2.gz ZAWNZRCLCSEPDF-MRXNPFEDSA-N 1 2 318.417 1.931 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]([NH2+]Cc2nnn(C)n2)C12CCC2 ZINC001202988168 860525101 /nfs/dbraw/zinc/52/51/01/860525101.db2.gz QYLICKBZWOTTOA-STQMWFEESA-N 1 2 318.425 1.083 20 30 DDEDLO COCC#CC(=O)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC001328630035 863117088 /nfs/dbraw/zinc/11/70/88/863117088.db2.gz MYBPTWGGDZIHLI-UHFFFAOYSA-N 1 2 316.405 1.207 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)c(C)o1 ZINC001153012707 863653490 /nfs/dbraw/zinc/65/34/90/863653490.db2.gz PMTSOKPBOWBDFO-PXAZEXFGSA-N 1 2 323.437 1.945 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)c(C)o1 ZINC001153012707 863653493 /nfs/dbraw/zinc/65/34/93/863653493.db2.gz PMTSOKPBOWBDFO-PXAZEXFGSA-N 1 2 323.437 1.945 20 30 DDEDLO Cc1nc(Cl)nc(NCC2([NH+]3CCCC3)COC2)c1C#N ZINC001157655826 864019576 /nfs/dbraw/zinc/01/95/76/864019576.db2.gz SPIKSLWWDWNTND-UHFFFAOYSA-N 1 2 307.785 1.009 20 30 DDEDLO CCCNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC(C)(C)C)C(C)(C)C1 ZINC001330205096 864154932 /nfs/dbraw/zinc/15/49/32/864154932.db2.gz ZJHCYRBAVFVNKQ-AWEZNQCLSA-N 1 2 321.465 1.389 20 30 DDEDLO CCCNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC(C)(C)C)C(C)(C)C1 ZINC001330205096 864154937 /nfs/dbraw/zinc/15/49/37/864154937.db2.gz ZJHCYRBAVFVNKQ-AWEZNQCLSA-N 1 2 321.465 1.389 20 30 DDEDLO COc1cc(N2CCN(c3nc(C)ncc3C#N)CC2)cc[nH+]1 ZINC001158098529 864426746 /nfs/dbraw/zinc/42/67/46/864426746.db2.gz DMYBZZXJYJTMLQ-UHFFFAOYSA-N 1 2 310.361 1.387 20 30 DDEDLO C=CCn1cnn(C[N@@H+]2CCCCCCNC(=O)CC2)c1=S ZINC001332319197 865756061 /nfs/dbraw/zinc/75/60/61/865756061.db2.gz PEVSUHRQZVLJNI-UHFFFAOYSA-N 1 2 323.466 1.940 20 30 DDEDLO C=CCn1cnn(C[N@H+]2CCCCCCNC(=O)CC2)c1=S ZINC001332319197 865756069 /nfs/dbraw/zinc/75/60/69/865756069.db2.gz PEVSUHRQZVLJNI-UHFFFAOYSA-N 1 2 323.466 1.940 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC001332483269 865869952 /nfs/dbraw/zinc/86/99/52/865869952.db2.gz ONEZQSNLJXEZPW-HNNXBMFYSA-N 1 2 312.373 1.710 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1nc(C)c(C)cc1C#N ZINC001160692081 866032965 /nfs/dbraw/zinc/03/29/65/866032965.db2.gz BYGVZDXATFZNSG-CQSZACIVSA-N 1 2 313.361 1.500 20 30 DDEDLO N#Cc1ncc(Cl)nc1N[C@@H]1CCC[C@@H]1[NH+]1CCOCC1 ZINC001160723321 866066299 /nfs/dbraw/zinc/06/62/99/866066299.db2.gz KYJAPJWCBBRTTJ-PWSUYJOCSA-N 1 2 307.785 1.667 20 30 DDEDLO N#Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@H]2[NH+]2CCOCC2)n1 ZINC001160724127 866071061 /nfs/dbraw/zinc/07/10/61/866071061.db2.gz OPPXKOJQBUQCPW-CHWSQXEVSA-N 1 2 317.349 1.527 20 30 DDEDLO COCC[N@H+](CCNC(=O)C#CC(C)C)[C@H](C)c1cnccn1 ZINC001332914600 866249581 /nfs/dbraw/zinc/24/95/81/866249581.db2.gz XHKRXCMLHXSZRD-OAHLLOKOSA-N 1 2 318.421 1.262 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C#CC(C)C)[C@H](C)c1cnccn1 ZINC001332914600 866249602 /nfs/dbraw/zinc/24/96/02/866249602.db2.gz XHKRXCMLHXSZRD-OAHLLOKOSA-N 1 2 318.421 1.262 20 30 DDEDLO Cc1cnn(CC(=O)NC[C@H]2CC[N@@H+]2CC#Cc2ccccc2)c1 ZINC001323194917 866418294 /nfs/dbraw/zinc/41/82/94/866418294.db2.gz SHMFGRLCSVQNSG-GOSISDBHSA-N 1 2 322.412 1.434 20 30 DDEDLO Cc1cnn(CC(=O)NC[C@H]2CC[N@H+]2CC#Cc2ccccc2)c1 ZINC001323194917 866418297 /nfs/dbraw/zinc/41/82/97/866418297.db2.gz SHMFGRLCSVQNSG-GOSISDBHSA-N 1 2 322.412 1.434 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1CC[C@H]1CNC(=O)C#CC(C)C ZINC001323233203 866455177 /nfs/dbraw/zinc/45/51/77/866455177.db2.gz UQSKHQLAGACFOZ-AWEZNQCLSA-N 1 2 303.406 1.895 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1CC[C@H]1CNC(=O)C#CC(C)C ZINC001323233203 866455190 /nfs/dbraw/zinc/45/51/90/866455190.db2.gz UQSKHQLAGACFOZ-AWEZNQCLSA-N 1 2 303.406 1.895 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CCC[C@H]3CNCC#N)ccn12 ZINC001320130977 866498270 /nfs/dbraw/zinc/49/82/70/866498270.db2.gz DWGXEBFFOATGCK-GJZGRUSLSA-N 1 2 311.389 1.654 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)CN(C3CCCC3)C2=O)C1 ZINC001320308613 866631455 /nfs/dbraw/zinc/63/14/55/866631455.db2.gz NYSBNNZLVQXRLP-AWEZNQCLSA-N 1 2 307.394 1.428 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)CN(C3CCCC3)C2=O)C1 ZINC001320308613 866631465 /nfs/dbraw/zinc/63/14/65/866631465.db2.gz NYSBNNZLVQXRLP-AWEZNQCLSA-N 1 2 307.394 1.428 20 30 DDEDLO CC(C)c1noc([C@@H](C)[NH2+]C/C=C\CNC(=O)[C@H](C)C#N)n1 ZINC001320998756 867190893 /nfs/dbraw/zinc/19/08/93/867190893.db2.gz QPFQVCGJHFGKHL-GKKDLHFNSA-N 1 2 305.382 1.676 20 30 DDEDLO CC(C)c1nsc(C[NH2+]C/C=C/CNC(=O)[C@H](C)C#N)n1 ZINC001320999256 867193618 /nfs/dbraw/zinc/19/36/18/867193618.db2.gz TZHIYORHJANYFX-SGUJLRQBSA-N 1 2 307.423 1.583 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC001324807733 867537578 /nfs/dbraw/zinc/53/75/78/867537578.db2.gz BAFLWDHYXITRQC-UHFFFAOYSA-N 1 2 302.378 1.035 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC001324807733 867537584 /nfs/dbraw/zinc/53/75/84/867537584.db2.gz BAFLWDHYXITRQC-UHFFFAOYSA-N 1 2 302.378 1.035 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@]1(C)CCC[N@H+](Cc2cnon2)C1 ZINC001324926377 867632567 /nfs/dbraw/zinc/63/25/67/867632567.db2.gz IKISQZUPVUULRC-HZPDHXFCSA-N 1 2 322.409 1.115 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@]1(C)CCC[N@@H+](Cc2cnon2)C1 ZINC001324926377 867632575 /nfs/dbraw/zinc/63/25/75/867632575.db2.gz IKISQZUPVUULRC-HZPDHXFCSA-N 1 2 322.409 1.115 20 30 DDEDLO CC#CCCCC(=O)NC[C@]1(O)CC[N@H+](Cc2ncc(C)o2)C1 ZINC001325122607 867790552 /nfs/dbraw/zinc/79/05/52/867790552.db2.gz GORGOPQKXPMIBF-QGZVFWFLSA-N 1 2 319.405 1.230 20 30 DDEDLO CC#CCCCC(=O)NC[C@]1(O)CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001325122607 867790554 /nfs/dbraw/zinc/79/05/54/867790554.db2.gz GORGOPQKXPMIBF-QGZVFWFLSA-N 1 2 319.405 1.230 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)CN(C(C)=O)C2 ZINC001334746548 867808042 /nfs/dbraw/zinc/80/80/42/867808042.db2.gz RANVAOHGMZGHTR-KRWDZBQOSA-N 1 2 311.385 1.585 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)CN(C(C)=O)C2 ZINC001334746548 867808049 /nfs/dbraw/zinc/80/80/49/867808049.db2.gz RANVAOHGMZGHTR-KRWDZBQOSA-N 1 2 311.385 1.585 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)[N@H+](Cc1cnsn1)C2 ZINC001325328614 867948008 /nfs/dbraw/zinc/94/80/08/867948008.db2.gz RHOUYRSZJOQBKV-OBJOEFQTSA-N 1 2 306.435 1.973 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)[N@@H+](Cc1cnsn1)C2 ZINC001325328614 867948022 /nfs/dbraw/zinc/94/80/22/867948022.db2.gz RHOUYRSZJOQBKV-OBJOEFQTSA-N 1 2 306.435 1.973 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)C[N@H+](C)CC=C(Cl)Cl ZINC001322159014 868015780 /nfs/dbraw/zinc/01/57/80/868015780.db2.gz XUOQRRBGTJWLIU-MNOVXSKESA-N 1 2 307.221 1.780 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)C[N@@H+](C)CC=C(Cl)Cl ZINC001322159014 868015792 /nfs/dbraw/zinc/01/57/92/868015792.db2.gz XUOQRRBGTJWLIU-MNOVXSKESA-N 1 2 307.221 1.780 20 30 DDEDLO C[C@@H](CNC(=O)c1nc[nH]n1)[N@H+](C)Cc1ccc(C#N)c(F)c1 ZINC001381601349 882286464 /nfs/dbraw/zinc/28/64/64/882286464.db2.gz DKEBNEFNVNIGGE-JTQLQIEISA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@@H](CNC(=O)c1nc[nH]n1)[N@@H+](C)Cc1ccc(C#N)c(F)c1 ZINC001381601349 882286482 /nfs/dbraw/zinc/28/64/82/882286482.db2.gz DKEBNEFNVNIGGE-JTQLQIEISA-N 1 2 316.340 1.066 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001337256004 869403773 /nfs/dbraw/zinc/40/37/73/869403773.db2.gz DJUSHLXYZSHXBC-BFHYXJOUSA-N 1 2 316.405 1.280 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001337256004 869403788 /nfs/dbraw/zinc/40/37/88/869403788.db2.gz DJUSHLXYZSHXBC-BFHYXJOUSA-N 1 2 316.405 1.280 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001337256631 869404844 /nfs/dbraw/zinc/40/48/44/869404844.db2.gz HJPLGWRJYYQZCJ-YNEHKIRRSA-N 1 2 304.394 1.443 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001337256631 869404848 /nfs/dbraw/zinc/40/48/48/869404848.db2.gz HJPLGWRJYYQZCJ-YNEHKIRRSA-N 1 2 304.394 1.443 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CN(C)C(=O)CCn1cc[nH+]c1 ZINC001337326970 869438002 /nfs/dbraw/zinc/43/80/02/869438002.db2.gz AEIVYNKXOMWWDI-AWEZNQCLSA-N 1 2 320.437 1.839 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001337357803 869450971 /nfs/dbraw/zinc/45/09/71/869450971.db2.gz LCINKICIPRJURM-NSHDSACASA-N 1 2 306.410 1.436 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(Cc2nccn2C)CC1 ZINC001316964979 870003070 /nfs/dbraw/zinc/00/30/70/870003070.db2.gz MHVRXFVQLBZCKN-UHFFFAOYSA-N 1 2 319.453 1.010 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2cc(F)ccc2F)C1 ZINC001316977579 870042508 /nfs/dbraw/zinc/04/25/08/870042508.db2.gz VFKKEQWIYJXRHM-WMLDXEAASA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2cc(F)ccc2F)C1 ZINC001316977579 870042514 /nfs/dbraw/zinc/04/25/14/870042514.db2.gz VFKKEQWIYJXRHM-WMLDXEAASA-N 1 2 324.371 1.982 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](C[N@H+](C)Cc2nncs2)C1 ZINC001317037245 870147020 /nfs/dbraw/zinc/14/70/20/870147020.db2.gz PFMPPOQNAHQPNO-AWEZNQCLSA-N 1 2 320.462 1.868 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](C[N@@H+](C)Cc2nncs2)C1 ZINC001317037245 870147025 /nfs/dbraw/zinc/14/70/25/870147025.db2.gz PFMPPOQNAHQPNO-AWEZNQCLSA-N 1 2 320.462 1.868 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)/C=C\c1ccco1 ZINC001317042247 870154890 /nfs/dbraw/zinc/15/48/90/870154890.db2.gz HKCGKBVZKKBJIZ-QGDQWMDISA-N 1 2 314.385 1.618 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)/C=C\c1ccco1 ZINC001317042247 870154895 /nfs/dbraw/zinc/15/48/95/870154895.db2.gz HKCGKBVZKKBJIZ-QGDQWMDISA-N 1 2 314.385 1.618 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC[C@](C)(CC)C1 ZINC001338773533 870161220 /nfs/dbraw/zinc/16/12/20/870161220.db2.gz PYRCVYGITSPPPG-KEYYUXOJSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC[C@](C)(CC)C1 ZINC001338773533 870161227 /nfs/dbraw/zinc/16/12/27/870161227.db2.gz PYRCVYGITSPPPG-KEYYUXOJSA-N 1 2 319.453 1.828 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCN(C(=O)c2cccc3[nH+]ccn32)C1 ZINC001317046629 870162769 /nfs/dbraw/zinc/16/27/69/870162769.db2.gz YMBIVAARFWWBRK-AWEZNQCLSA-N 1 2 311.389 1.642 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[C@H]1NC(=O)CCc1c[nH]c[nH+]1 ZINC001298129336 870301409 /nfs/dbraw/zinc/30/14/09/870301409.db2.gz RFYCCHYIRKGPTD-UKRRQHHQSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[C@H]1NC(=O)CCc1c[nH+]c[nH]1 ZINC001298129336 870301423 /nfs/dbraw/zinc/30/14/23/870301423.db2.gz RFYCCHYIRKGPTD-UKRRQHHQSA-N 1 2 318.421 1.710 20 30 DDEDLO CC(C)C[C@@H](C(=O)NC[C@H]1CCCCN1CC#N)n1cc[nH+]c1 ZINC001317173649 870414722 /nfs/dbraw/zinc/41/47/22/870414722.db2.gz PUUYBZFSCYOTTA-CVEARBPZSA-N 1 2 317.437 1.965 20 30 DDEDLO C=CCC1(O)CCN(c2nnc(Cc3[nH+]ccn3C)n2C)CC1 ZINC001339420996 870490691 /nfs/dbraw/zinc/49/06/91/870490691.db2.gz JNMTXBVHHSARLJ-UHFFFAOYSA-N 1 2 316.409 1.047 20 30 DDEDLO C[C@H](CNC(=O)CSCC#N)[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC001317227528 870499987 /nfs/dbraw/zinc/49/99/87/870499987.db2.gz RBNMFHYGQYKDCF-LLVKDONJSA-N 1 2 324.450 1.823 20 30 DDEDLO N#Cc1cc(F)cc(C[NH+]2CC3(C[C@@H]3C(=O)NCC(F)F)C2)c1 ZINC001277126326 882415397 /nfs/dbraw/zinc/41/53/97/882415397.db2.gz ACECLGPQEIXDOH-CYBMUJFWSA-N 1 2 323.318 1.901 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](C)[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001339738629 870664437 /nfs/dbraw/zinc/66/44/37/870664437.db2.gz MOXTZKAYYKZPSU-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]([N@H+](C)Cc2ccon2)C1 ZINC001317373576 870730111 /nfs/dbraw/zinc/73/01/11/870730111.db2.gz PKSGBTZOLPMCBP-GOEBONIOSA-N 1 2 307.394 1.425 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]([N@@H+](C)Cc2ccon2)C1 ZINC001317373576 870730116 /nfs/dbraw/zinc/73/01/16/870730116.db2.gz PKSGBTZOLPMCBP-GOEBONIOSA-N 1 2 307.394 1.425 20 30 DDEDLO C[C@@H](CNC(=O)C#CC(C)(C)C)NC(=O)CCc1c[nH+]cn1C ZINC001298844046 870748351 /nfs/dbraw/zinc/74/83/51/870748351.db2.gz DEBCOTJZWWZRBE-ZDUSSCGKSA-N 1 2 318.421 1.023 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCN1C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001298994793 870814647 /nfs/dbraw/zinc/81/46/47/870814647.db2.gz USAAWEMNWRWEKL-ZIAGYGMSSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001299054706 870867315 /nfs/dbraw/zinc/86/73/15/870867315.db2.gz DAIGJBNBDRDMKM-ZIAGYGMSSA-N 1 2 304.394 1.203 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)/C=C\c1ccc(F)cc1 ZINC001302306348 871054748 /nfs/dbraw/zinc/05/47/48/871054748.db2.gz HSXULFMZVFNXOR-GFUWMAFRSA-N 1 2 302.349 1.713 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)/C=C\c1ccc(F)cc1 ZINC001302306348 871054769 /nfs/dbraw/zinc/05/47/69/871054769.db2.gz HSXULFMZVFNXOR-GFUWMAFRSA-N 1 2 302.349 1.713 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@H+]1Cc1nc(C)oc1C ZINC001317495778 871664495 /nfs/dbraw/zinc/66/44/95/871664495.db2.gz KVLYNJGFHQKGMT-CQSZACIVSA-N 1 2 307.394 1.575 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@@H+]1Cc1nc(C)oc1C ZINC001317495778 871664502 /nfs/dbraw/zinc/66/45/02/871664502.db2.gz KVLYNJGFHQKGMT-CQSZACIVSA-N 1 2 307.394 1.575 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2c(C)nsc2C)C1 ZINC001317994213 871677394 /nfs/dbraw/zinc/67/73/94/871677394.db2.gz NUEZFERMTFIBEE-AWEZNQCLSA-N 1 2 321.446 1.604 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2c(C)nsc2C)C1 ZINC001317994213 871677395 /nfs/dbraw/zinc/67/73/95/871677395.db2.gz NUEZFERMTFIBEE-AWEZNQCLSA-N 1 2 321.446 1.604 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)CCOc2cc(C)cc(C)c2)C1 ZINC001318031936 871701346 /nfs/dbraw/zinc/70/13/46/871701346.db2.gz MDHHTGUSZFIERL-UHFFFAOYSA-N 1 2 300.402 1.896 20 30 DDEDLO C=CCN(c1nnc([C@@H]2CCC[N@@H+]2C)n1CCOC)C1CC1 ZINC001341670545 871763248 /nfs/dbraw/zinc/76/32/48/871763248.db2.gz HMANEGDMZWFKMF-AWEZNQCLSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCN(c1nnc([C@@H]2CCC[N@H+]2C)n1CCOC)C1CC1 ZINC001341670545 871763267 /nfs/dbraw/zinc/76/32/67/871763267.db2.gz HMANEGDMZWFKMF-AWEZNQCLSA-N 1 2 305.426 1.846 20 30 DDEDLO CN(CCCCCCNCC#N)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001316727692 871932209 /nfs/dbraw/zinc/93/22/09/871932209.db2.gz WDWIXZOQPWVXQH-HNNXBMFYSA-N 1 2 317.437 1.577 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)[C@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001318387007 871979173 /nfs/dbraw/zinc/97/91/73/871979173.db2.gz QFIMFKGYPWHGPZ-ZBFHGGJFSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001318387007 871979184 /nfs/dbraw/zinc/97/91/84/871979184.db2.gz QFIMFKGYPWHGPZ-ZBFHGGJFSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@@H](C)C[C@H]1CC ZINC001342062526 871979432 /nfs/dbraw/zinc/97/94/32/871979432.db2.gz WLEVJOIHWGUJSK-XGUBFFRZSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@@H](C)C[C@H]1CC ZINC001342062526 871979435 /nfs/dbraw/zinc/97/94/35/871979435.db2.gz WLEVJOIHWGUJSK-XGUBFFRZSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001206479035 872365903 /nfs/dbraw/zinc/36/59/03/872365903.db2.gz KAQSTWRJUUYUBQ-VHDGCEQUSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001206479035 872365922 /nfs/dbraw/zinc/36/59/22/872365922.db2.gz KAQSTWRJUUYUBQ-VHDGCEQUSA-N 1 2 321.421 1.901 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-c1cncc(C#N)n1 ZINC001206484169 872372089 /nfs/dbraw/zinc/37/20/89/872372089.db2.gz ZKLPYISRGUDFJF-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO N#C[C@H]1C[C@H]1C(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC001361905615 882615392 /nfs/dbraw/zinc/61/53/92/882615392.db2.gz XHVUKNDCRXWTHS-IXDOHACOSA-N 1 2 313.401 1.206 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC=C(Cl)Cl)C[C@H]1C ZINC001206964789 872855477 /nfs/dbraw/zinc/85/54/77/872855477.db2.gz ATVLEUZYYJYJEW-GRYCIOLGSA-N 1 2 319.232 1.780 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC=C(Cl)Cl)C[C@H]1C ZINC001206964789 872855480 /nfs/dbraw/zinc/85/54/80/872855480.db2.gz ATVLEUZYYJYJEW-GRYCIOLGSA-N 1 2 319.232 1.780 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001207621859 873421341 /nfs/dbraw/zinc/42/13/41/873421341.db2.gz AHKZOGGPOQYKKS-GXTWGEPZSA-N 1 2 322.409 1.440 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001207636718 873430733 /nfs/dbraw/zinc/43/07/33/873430733.db2.gz WZMQVGCHPUSAAT-CYBMUJFWSA-N 1 2 304.394 1.994 20 30 DDEDLO C=CCCCN(CC)C(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001345899524 873553202 /nfs/dbraw/zinc/55/32/02/873553202.db2.gz RIHVGABFGDJPMT-UHFFFAOYSA-N 1 2 318.421 1.861 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1Nc1ccn(C)n1 ZINC001345984332 873582214 /nfs/dbraw/zinc/58/22/14/873582214.db2.gz UIMLEWSOFPDMFR-UHFFFAOYSA-N 1 2 301.398 1.927 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001207995663 873726692 /nfs/dbraw/zinc/72/66/92/873726692.db2.gz MXCVDNYNZSUIKE-CQSZACIVSA-N 1 2 318.421 1.933 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC[C@H]([NH2+]Cc2csnn2)C1 ZINC001208010731 873745955 /nfs/dbraw/zinc/74/59/55/873745955.db2.gz OGCSXSROVGZSPZ-LBPRGKRZSA-N 1 2 306.435 1.668 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001208393582 874103001 /nfs/dbraw/zinc/10/30/01/874103001.db2.gz SYVVCCOQOQFHCT-IJEWVQPXSA-N 1 2 319.453 1.837 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001208393582 874103012 /nfs/dbraw/zinc/10/30/12/874103012.db2.gz SYVVCCOQOQFHCT-IJEWVQPXSA-N 1 2 319.453 1.837 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@@](C)(NC(C)=O)C(C)C ZINC001378238293 874510251 /nfs/dbraw/zinc/51/02/51/874510251.db2.gz SOCJPVJZQWDCJF-WFASDCNBSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@@](C)(NC(C)=O)C(C)C ZINC001378238293 874510261 /nfs/dbraw/zinc/51/02/61/874510261.db2.gz SOCJPVJZQWDCJF-WFASDCNBSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)o2)CC1 ZINC001227123595 882853918 /nfs/dbraw/zinc/85/39/18/882853918.db2.gz FTRNSBOWUAXQKQ-MEDUHNTESA-N 1 2 322.409 1.347 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001227124213 882855930 /nfs/dbraw/zinc/85/59/30/882855930.db2.gz PMPGQIPTZGFGGF-GUYCJALGSA-N 1 2 318.421 1.445 20 30 DDEDLO C=CCO[C@@H]1CO[C@]2(C1)CCC[N@@H+](Cc1cnccc1N)C2 ZINC001209729807 875082658 /nfs/dbraw/zinc/08/26/58/875082658.db2.gz CWNHKXCBFJKVOQ-RDJZCZTQSA-N 1 2 303.406 1.820 20 30 DDEDLO C=CCO[C@@H]1CO[C@]2(C1)CCC[N@H+](Cc1cnccc1N)C2 ZINC001209729807 875082663 /nfs/dbraw/zinc/08/26/63/875082663.db2.gz CWNHKXCBFJKVOQ-RDJZCZTQSA-N 1 2 303.406 1.820 20 30 DDEDLO Cc1cnc(C[NH+]2CCC(NC(=O)C#CC3CC3)CC2)s1 ZINC001227290306 882954710 /nfs/dbraw/zinc/95/47/10/882954710.db2.gz UFUFMXVKDYRMJB-UHFFFAOYSA-N 1 2 303.431 1.946 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001350513100 875738328 /nfs/dbraw/zinc/73/83/28/875738328.db2.gz SVRLGJGJHAXCJL-LSDHHAIUSA-N 1 2 318.421 1.908 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2C(C)(C)C)[C@H](OC)C1 ZINC001213155992 875839332 /nfs/dbraw/zinc/83/93/32/875839332.db2.gz DKRRCYSXEFMFDI-KLHDSHLOSA-N 1 2 322.449 1.134 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2C(C)(C)C)[C@H](OC)C1 ZINC001213155992 875839336 /nfs/dbraw/zinc/83/93/36/875839336.db2.gz DKRRCYSXEFMFDI-KLHDSHLOSA-N 1 2 322.449 1.134 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1OC ZINC001213271136 875868818 /nfs/dbraw/zinc/86/88/18/875868818.db2.gz JROGYQNSNWJMTO-CHWSQXEVSA-N 1 2 309.435 1.671 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1OC ZINC001213271136 875868826 /nfs/dbraw/zinc/86/88/26/875868826.db2.gz JROGYQNSNWJMTO-CHWSQXEVSA-N 1 2 309.435 1.671 20 30 DDEDLO Cc1ccccc1[C@H]1CN(S(=O)(=O)CCCC#N)CC[NH2+]1 ZINC001214108465 876175358 /nfs/dbraw/zinc/17/53/58/876175358.db2.gz ICELPGKISMSFIU-OAHLLOKOSA-N 1 2 307.419 1.575 20 30 DDEDLO C#CCCCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001215322817 876648517 /nfs/dbraw/zinc/64/85/17/876648517.db2.gz JFCMQKCYFVCXJA-UKRRQHHQSA-N 1 2 318.421 1.898 20 30 DDEDLO C#CCCCCC(=O)N1CCO[C@@H]2C[N@@H+](C[C@@H](F)CC)C[C@@H]21 ZINC001217670265 877260124 /nfs/dbraw/zinc/26/01/24/877260124.db2.gz WNXSEAQZZBHGDT-HRCADAONSA-N 1 2 310.413 1.840 20 30 DDEDLO C#CCCCCC(=O)N1CCO[C@@H]2C[N@H+](C[C@@H](F)CC)C[C@@H]21 ZINC001217670265 877260139 /nfs/dbraw/zinc/26/01/39/877260139.db2.gz WNXSEAQZZBHGDT-HRCADAONSA-N 1 2 310.413 1.840 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cnoc3C)C[C@@H]21 ZINC001218037618 877376257 /nfs/dbraw/zinc/37/62/57/877376257.db2.gz ONEPJDZLZCKDRT-JKSUJKDBSA-N 1 2 319.405 1.751 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cnoc3C)C[C@@H]21 ZINC001218037618 877376274 /nfs/dbraw/zinc/37/62/74/877376274.db2.gz ONEPJDZLZCKDRT-JKSUJKDBSA-N 1 2 319.405 1.751 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc(Cl)cc3CNN)C[C@H]1[C@@H]1O[C@@H]12 ZINC001218327864 877454567 /nfs/dbraw/zinc/45/45/67/877454567.db2.gz FDVWRRKWKHDNIC-KYFMZXIUSA-N 1 2 309.797 1.295 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc(Cl)cc3CNN)C[C@H]1[C@@H]1O[C@@H]12 ZINC001218327864 877454575 /nfs/dbraw/zinc/45/45/75/877454575.db2.gz FDVWRRKWKHDNIC-KYFMZXIUSA-N 1 2 309.797 1.295 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCCC(C)C)[C@H]2C1 ZINC001218688949 877662552 /nfs/dbraw/zinc/66/25/52/877662552.db2.gz RHLAESMWBWFVBH-DLBZAZTESA-N 1 2 322.449 1.374 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2OCCN(C(=O)CCCC(C)C)[C@H]2C1 ZINC001218688949 877662561 /nfs/dbraw/zinc/66/25/61/877662561.db2.gz RHLAESMWBWFVBH-DLBZAZTESA-N 1 2 322.449 1.374 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cccc(C(F)F)c2)C[C@@H]1O ZINC001219381079 878165129 /nfs/dbraw/zinc/16/51/29/878165129.db2.gz HHSRAEKDYAYUDC-GDLCADMTSA-N 1 2 323.343 1.445 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(C(F)F)c2)C[C@@H]1O ZINC001219381079 878165133 /nfs/dbraw/zinc/16/51/33/878165133.db2.gz HHSRAEKDYAYUDC-GDLCADMTSA-N 1 2 323.343 1.445 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220146652 878737691 /nfs/dbraw/zinc/73/76/91/878737691.db2.gz LLPAXXOXVAXHIK-CVEARBPZSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220146652 878737699 /nfs/dbraw/zinc/73/76/99/878737699.db2.gz LLPAXXOXVAXHIK-CVEARBPZSA-N 1 2 319.405 1.228 20 30 DDEDLO C=CCCCC(=O)NCCN(C)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001355861869 878752839 /nfs/dbraw/zinc/75/28/39/878752839.db2.gz UHRYMWZKHBKBQM-CQSZACIVSA-N 1 2 318.421 1.376 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N1C[C@H]2CC[C@@H](C1)O2 ZINC001355928790 878783447 /nfs/dbraw/zinc/78/34/47/878783447.db2.gz FCMZKYWOYGKFQA-QLFBSQMISA-N 1 2 317.437 1.989 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N1C[C@H]2CC[C@@H](C1)O2 ZINC001355928790 878783472 /nfs/dbraw/zinc/78/34/72/878783472.db2.gz FCMZKYWOYGKFQA-QLFBSQMISA-N 1 2 317.437 1.989 20 30 DDEDLO CCN(CCNC(=O)Cc1[nH]c[nH+]c1C)C(=O)C#CC(C)(C)C ZINC001356152039 878892087 /nfs/dbraw/zinc/89/20/87/878892087.db2.gz WRXIYQCKOOPGEW-UHFFFAOYSA-N 1 2 318.421 1.275 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(CCC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001356533375 879090296 /nfs/dbraw/zinc/09/02/96/879090296.db2.gz YWHKVQRYUUZOBC-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO O=C(CC#Cc1ccccc1)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1O ZINC001221046326 879467820 /nfs/dbraw/zinc/46/78/20/879467820.db2.gz IKRIQIKGMTVSRR-HYWCFLMXSA-N 1 2 318.804 1.342 20 30 DDEDLO O=C(CC#Cc1ccccc1)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1O ZINC001221046326 879467833 /nfs/dbraw/zinc/46/78/33/879467833.db2.gz IKRIQIKGMTVSRR-HYWCFLMXSA-N 1 2 318.804 1.342 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)C3CCC3)[C@@H]2C1 ZINC001221638935 879981406 /nfs/dbraw/zinc/98/14/06/879981406.db2.gz BCLVWDHCEPTJSI-FVQBIDKESA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)C3CCC3)[C@@H]2C1 ZINC001221638935 879981418 /nfs/dbraw/zinc/98/14/18/879981418.db2.gz BCLVWDHCEPTJSI-FVQBIDKESA-N 1 2 319.449 1.258 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCCCC(N)=O)[C@@H]2C1 ZINC001221925954 880153472 /nfs/dbraw/zinc/15/34/72/880153472.db2.gz HNVYMZXXHGXQOF-CHWSQXEVSA-N 1 2 313.829 1.317 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCCCC(N)=O)[C@@H]2C1 ZINC001221925954 880153475 /nfs/dbraw/zinc/15/34/75/880153475.db2.gz HNVYMZXXHGXQOF-CHWSQXEVSA-N 1 2 313.829 1.317 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001358298248 880394662 /nfs/dbraw/zinc/39/46/62/880394662.db2.gz NXJPHJVPELFPKR-YPMHNXCESA-N 1 2 306.410 1.484 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001358532999 880501215 /nfs/dbraw/zinc/50/12/15/880501215.db2.gz IVKAPVCQYKBMCP-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO CCn1c(C)nnc1C[NH+]1CCC(CNC(=O)[C@@H](C)C#N)CC1 ZINC001222636496 880613709 /nfs/dbraw/zinc/61/37/09/880613709.db2.gz NJOJBRDWDUOSOJ-LBPRGKRZSA-N 1 2 318.425 1.094 20 30 DDEDLO CCCn1ncnc1C[NH+]1CCC(CNC(=O)[C@H](C)C#N)CC1 ZINC001222636957 880616014 /nfs/dbraw/zinc/61/60/14/880616014.db2.gz UYWGCHONJSDNQJ-CYBMUJFWSA-N 1 2 318.425 1.176 20 30 DDEDLO N#CCN1C[C@@H]2CC[C@@H](NC(=O)CCCn3cc[nH+]c3)C[C@@H]2C1 ZINC001276841211 880711956 /nfs/dbraw/zinc/71/19/56/880711956.db2.gz VRRQJWMZSMYDQL-ARFHVFGLSA-N 1 2 315.421 1.404 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CC[N@@H+]1Cc1ccnc(N(C)C)c1 ZINC001276842525 880716750 /nfs/dbraw/zinc/71/67/50/880716750.db2.gz OOOSTFPMPHMSKL-INIZCTEOSA-N 1 2 314.433 1.498 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CC[N@H+]1Cc1ccnc(N(C)C)c1 ZINC001276842525 880716760 /nfs/dbraw/zinc/71/67/60/880716760.db2.gz OOOSTFPMPHMSKL-INIZCTEOSA-N 1 2 314.433 1.498 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)C1(C)CC1 ZINC001276853963 880764188 /nfs/dbraw/zinc/76/41/88/880764188.db2.gz PSXHEPLYVNADCN-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CNC(=O)C1(C)CC1 ZINC001276853963 880764197 /nfs/dbraw/zinc/76/41/97/880764197.db2.gz PSXHEPLYVNADCN-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C#CCC1(C(=O)NCC2CC[NH+](Cc3cnon3)CC2)CCC1 ZINC001223436928 880961924 /nfs/dbraw/zinc/96/19/24/880961924.db2.gz MMBQNFCSNSQVBM-UHFFFAOYSA-N 1 2 316.405 1.591 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)Nc2cn[nH]c2)cc1 ZINC001276931268 881147985 /nfs/dbraw/zinc/14/79/85/881147985.db2.gz VXFBQYSQGRDYQC-PBHICJAKSA-N 1 2 307.357 1.884 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)Nc2cn[nH]c2)cc1 ZINC001276931268 881147991 /nfs/dbraw/zinc/14/79/91/881147991.db2.gz VXFBQYSQGRDYQC-PBHICJAKSA-N 1 2 307.357 1.884 20 30 DDEDLO COCC(=O)N[C@@H]1CC[N@H+](Cc2cc(F)ccc2C#N)[C@H](C)C1 ZINC001381170291 881181682 /nfs/dbraw/zinc/18/16/82/881181682.db2.gz RXKGBUQAJYLOEW-MLGOLLRUSA-N 1 2 319.380 1.813 20 30 DDEDLO COCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(F)ccc2C#N)[C@H](C)C1 ZINC001381170291 881181688 /nfs/dbraw/zinc/18/16/88/881181688.db2.gz RXKGBUQAJYLOEW-MLGOLLRUSA-N 1 2 319.380 1.813 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001229017832 883776530 /nfs/dbraw/zinc/77/65/30/883776530.db2.gz ZATBXGQUPLSABQ-QGZVFWFLSA-N 1 2 319.453 1.981 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001229048393 883790886 /nfs/dbraw/zinc/79/08/86/883790886.db2.gz CPKULNQXINVFHR-MRXNPFEDSA-N 1 2 305.426 1.498 20 30 DDEDLO N#CC1(NC(=O)C2=NO[C@@H]3C[N@H+](Cc4ccco4)C[C@H]23)CCC1 ZINC001277400023 884057709 /nfs/dbraw/zinc/05/77/09/884057709.db2.gz YNLCYLLCNLKWNB-QWHCGFSZSA-N 1 2 314.345 1.029 20 30 DDEDLO N#CC1(NC(=O)C2=NO[C@@H]3C[N@@H+](Cc4ccco4)C[C@H]23)CCC1 ZINC001277400023 884057728 /nfs/dbraw/zinc/05/77/28/884057728.db2.gz YNLCYLLCNLKWNB-QWHCGFSZSA-N 1 2 314.345 1.029 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CCC(C)C)C1=O ZINC001230731279 884803186 /nfs/dbraw/zinc/80/31/86/884803186.db2.gz UOBJORUVLSOOTQ-HZPDHXFCSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CCC(C)C)C1=O ZINC001230731279 884803206 /nfs/dbraw/zinc/80/32/06/884803206.db2.gz UOBJORUVLSOOTQ-HZPDHXFCSA-N 1 2 321.465 1.742 20 30 DDEDLO CC[C@H](OC)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231103820 885230146 /nfs/dbraw/zinc/23/01/46/885230146.db2.gz SPELVCTYGXQVCE-ROUUACIJSA-N 1 2 314.429 1.996 20 30 DDEDLO CC[C@H](OC)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231103820 885230156 /nfs/dbraw/zinc/23/01/56/885230156.db2.gz SPELVCTYGXQVCE-ROUUACIJSA-N 1 2 314.429 1.996 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H]1CCN(C2CCC2)C1=O ZINC001231170987 885320487 /nfs/dbraw/zinc/32/04/87/885320487.db2.gz XFXNKFYHLHCOKD-CVEARBPZSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H]1CCN(C2CCC2)C1=O ZINC001231170987 885320507 /nfs/dbraw/zinc/32/05/07/885320507.db2.gz XFXNKFYHLHCOKD-CVEARBPZSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1oc(C)nc1C ZINC001231240423 885418806 /nfs/dbraw/zinc/41/88/06/885418806.db2.gz KEANVQBTNFFYCD-CQSZACIVSA-N 1 2 307.394 1.527 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1oc(C)nc1C ZINC001231240423 885418810 /nfs/dbraw/zinc/41/88/10/885418810.db2.gz KEANVQBTNFFYCD-CQSZACIVSA-N 1 2 307.394 1.527 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2CN(C)C(=O)[C@H](C)C#N)cn1 ZINC001231247982 885431398 /nfs/dbraw/zinc/43/13/98/885431398.db2.gz SJOSHTQSGXCPDE-OCCSQVGLSA-N 1 2 302.378 1.283 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2CN(C)C(=O)[C@H](C)C#N)cn1 ZINC001231247982 885431412 /nfs/dbraw/zinc/43/14/12/885431412.db2.gz SJOSHTQSGXCPDE-OCCSQVGLSA-N 1 2 302.378 1.283 20 30 DDEDLO Cc1nn(C(C)C)cc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231292056 885473025 /nfs/dbraw/zinc/47/30/25/885473025.db2.gz AVGBUZPATYCVKD-XJKSGUPXSA-N 1 2 317.437 1.965 20 30 DDEDLO Cc1nn(C(C)C)cc1C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231292056 885473033 /nfs/dbraw/zinc/47/30/33/885473033.db2.gz AVGBUZPATYCVKD-XJKSGUPXSA-N 1 2 317.437 1.965 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1CC=CC1 ZINC001231378106 885574250 /nfs/dbraw/zinc/57/42/50/885574250.db2.gz PBLLKALJQUAXLE-ZFWWWQNUSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1CC=CC1 ZINC001231378106 885574255 /nfs/dbraw/zinc/57/42/55/885574255.db2.gz PBLLKALJQUAXLE-ZFWWWQNUSA-N 1 2 305.422 1.176 20 30 DDEDLO N#Cc1cccc(C#N)c1NC1CC[NH+]([C@H]2CCOC2=O)CC1 ZINC001363149105 885584469 /nfs/dbraw/zinc/58/44/69/885584469.db2.gz PQFSNZWGERVZRR-HNNXBMFYSA-N 1 2 310.357 1.622 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@H](NC(=O)c3cccnc3)C2)n1 ZINC001232926797 886678900 /nfs/dbraw/zinc/67/89/00/886678900.db2.gz CHIDXKXPZJSSAZ-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@H](NC(=O)c3cccnc3)C2)n1 ZINC001232926797 886678908 /nfs/dbraw/zinc/67/89/08/886678908.db2.gz CHIDXKXPZJSSAZ-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO Cc1noc(C[C@H]2C[C@H]3C[N@H+](Cc4[nH]ccc4C#N)C[C@H]3O2)n1 ZINC001233043171 886752170 /nfs/dbraw/zinc/75/21/70/886752170.db2.gz AKVTVKRRKWFCAX-GZBFAFLISA-N 1 2 313.361 1.410 20 30 DDEDLO Cc1noc(C[C@H]2C[C@H]3C[N@@H+](Cc4[nH]ccc4C#N)C[C@H]3O2)n1 ZINC001233043171 886752182 /nfs/dbraw/zinc/75/21/82/886752182.db2.gz AKVTVKRRKWFCAX-GZBFAFLISA-N 1 2 313.361 1.410 20 30 DDEDLO Cn1cc(N2C[C@]3(CC[N@H+](Cc4[nH]ccc4C#N)C3)CC2=O)cn1 ZINC001233045115 886754571 /nfs/dbraw/zinc/75/45/71/886754571.db2.gz SLUACMIVYWTAEV-QGZVFWFLSA-N 1 2 324.388 1.249 20 30 DDEDLO Cn1cc(N2C[C@]3(CC[N@@H+](Cc4[nH]ccc4C#N)C3)CC2=O)cn1 ZINC001233045115 886754580 /nfs/dbraw/zinc/75/45/80/886754580.db2.gz SLUACMIVYWTAEV-QGZVFWFLSA-N 1 2 324.388 1.249 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)c1cnccn1 ZINC001234162195 887699246 /nfs/dbraw/zinc/69/92/46/887699246.db2.gz QBHZPQCJFQTNTH-LSDHHAIUSA-N 1 2 300.406 1.730 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)c1cnccn1 ZINC001234162195 887699249 /nfs/dbraw/zinc/69/92/49/887699249.db2.gz QBHZPQCJFQTNTH-LSDHHAIUSA-N 1 2 300.406 1.730 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)C)o1 ZINC001234161939 887699894 /nfs/dbraw/zinc/69/98/94/887699894.db2.gz NVGUTMSYKZUZKN-OAHLLOKOSA-N 1 2 303.406 1.984 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)C)o1 ZINC001234161939 887699909 /nfs/dbraw/zinc/69/99/09/887699909.db2.gz NVGUTMSYKZUZKN-OAHLLOKOSA-N 1 2 303.406 1.984 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)N(C)C(C)C ZINC001234170987 887708435 /nfs/dbraw/zinc/70/84/35/887708435.db2.gz NFIDYOYLAXYDOM-HZPDHXFCSA-N 1 2 321.465 1.434 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)N(C)C(C)C ZINC001234170987 887708452 /nfs/dbraw/zinc/70/84/52/887708452.db2.gz NFIDYOYLAXYDOM-HZPDHXFCSA-N 1 2 321.465 1.434 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)o1 ZINC001234178868 887716599 /nfs/dbraw/zinc/71/65/99/887716599.db2.gz PNIBPIQCDKWSGX-OAHLLOKOSA-N 1 2 301.390 1.738 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)o1 ZINC001234178868 887716611 /nfs/dbraw/zinc/71/66/11/887716611.db2.gz PNIBPIQCDKWSGX-OAHLLOKOSA-N 1 2 301.390 1.738 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186860 887727517 /nfs/dbraw/zinc/72/75/17/887727517.db2.gz OWMMVXLMDIBACE-ZBFHGGJFSA-N 1 2 317.433 1.157 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186860 887727533 /nfs/dbraw/zinc/72/75/33/887727533.db2.gz OWMMVXLMDIBACE-ZBFHGGJFSA-N 1 2 317.433 1.157 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)N(C)C ZINC001234516439 888043341 /nfs/dbraw/zinc/04/33/41/888043341.db2.gz CSGVBIQPILLIOD-HOCLYGCPSA-N 1 2 321.465 1.764 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)N(C)C ZINC001234516439 888043348 /nfs/dbraw/zinc/04/33/48/888043348.db2.gz CSGVBIQPILLIOD-HOCLYGCPSA-N 1 2 321.465 1.764 20 30 DDEDLO COC(=O)c1cc(C[NH+]2CCN(CCC#N)CC2)ccc1OC ZINC001234971775 888283000 /nfs/dbraw/zinc/28/30/00/888283000.db2.gz SIVNPUVYRCZQRK-UHFFFAOYSA-N 1 2 317.389 1.513 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1ncccn1 ZINC001235245631 888497742 /nfs/dbraw/zinc/49/77/42/888497742.db2.gz MVIIXMKKXJTDDE-CQSZACIVSA-N 1 2 306.410 1.396 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1ncccn1 ZINC001235245631 888497753 /nfs/dbraw/zinc/49/77/53/888497753.db2.gz MVIIXMKKXJTDDE-CQSZACIVSA-N 1 2 306.410 1.396 20 30 DDEDLO N#CCC[N@H+]1CC[C@H]2CN(C(=O)NCc3ccc(F)cc3)C[C@H]21 ZINC001277940507 888594374 /nfs/dbraw/zinc/59/43/74/888594374.db2.gz CDVSEQZOWHSSKV-GOEBONIOSA-N 1 2 316.380 1.955 20 30 DDEDLO N#CCC[N@@H+]1CC[C@H]2CN(C(=O)NCc3ccc(F)cc3)C[C@H]21 ZINC001277940507 888594389 /nfs/dbraw/zinc/59/43/89/888594389.db2.gz CDVSEQZOWHSSKV-GOEBONIOSA-N 1 2 316.380 1.955 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H]2CCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001290004682 913328719 /nfs/dbraw/zinc/32/87/19/913328719.db2.gz DMNOPOSOFNPVIQ-AWEZNQCLSA-N 1 2 316.405 1.368 20 30 DDEDLO C#CCCCCC(=O)NC1(C)CC[NH+](Cc2cn(C)nn2)CC1 ZINC001278046567 889483862 /nfs/dbraw/zinc/48/38/62/889483862.db2.gz SLWBWZHXFIRJJD-UHFFFAOYSA-N 1 2 317.437 1.479 20 30 DDEDLO CC(C)CC[N@@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001278177869 889980023 /nfs/dbraw/zinc/98/00/23/889980023.db2.gz GBUCERPSISXKRD-UHFFFAOYSA-N 1 2 315.421 1.990 20 30 DDEDLO CC(C)CC[N@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001278177869 889980030 /nfs/dbraw/zinc/98/00/30/889980030.db2.gz GBUCERPSISXKRD-UHFFFAOYSA-N 1 2 315.421 1.990 20 30 DDEDLO C=C(CCC(=O)OC)C(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC001290378073 913418127 /nfs/dbraw/zinc/41/81/27/913418127.db2.gz SDVQVBHFCMBWCP-UHFFFAOYSA-N 1 2 322.430 1.902 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CCCC2(C[NH+](Cc3ccc[nH]3)C2)C1 ZINC001278256300 890291116 /nfs/dbraw/zinc/29/11/16/890291116.db2.gz FRSXTGIZXFATFI-CYBMUJFWSA-N 1 2 322.434 1.154 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N(C)S(=O)(=O)N1CC[NH+](C)CC1 ZINC001365433507 890789799 /nfs/dbraw/zinc/78/97/99/890789799.db2.gz OKWPDNGSXKQLRM-CYBMUJFWSA-N 1 2 322.434 1.043 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]([NH2+]Cc2nc(C3CC3)no2)C[C@H]1C ZINC001278354347 891542903 /nfs/dbraw/zinc/54/29/03/891542903.db2.gz BJCRJSUUGRPBTB-YPMHNXCESA-N 1 2 320.393 1.229 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CN(C)C(=O)C2CC2)[C@H]1C ZINC001365892384 891817203 /nfs/dbraw/zinc/81/72/03/891817203.db2.gz LPLACTGPEJVOFU-YPMHNXCESA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CN(C)C(=O)C2CC2)[C@H]1C ZINC001365892384 891817214 /nfs/dbraw/zinc/81/72/14/891817214.db2.gz LPLACTGPEJVOFU-YPMHNXCESA-N 1 2 313.829 1.186 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@]1(C)CC[N@H+](Cc2ncc(C)s2)C1 ZINC001278398263 892004789 /nfs/dbraw/zinc/00/47/89/892004789.db2.gz YLLBMACMPPVODU-BBRMVZONSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@]1(C)CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001278398263 892004808 /nfs/dbraw/zinc/00/48/08/892004808.db2.gz YLLBMACMPPVODU-BBRMVZONSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@]1(C)CC[N@H+](Cc2ncc(C)s2)C1 ZINC001278398266 892005712 /nfs/dbraw/zinc/00/57/12/892005712.db2.gz YLLBMACMPPVODU-XJKSGUPXSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@]1(C)CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001278398266 892005725 /nfs/dbraw/zinc/00/57/25/892005725.db2.gz YLLBMACMPPVODU-XJKSGUPXSA-N 1 2 321.446 1.570 20 30 DDEDLO C=C(C)CCC(=O)N1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC001292405504 913663020 /nfs/dbraw/zinc/66/30/20/913663020.db2.gz JVBSGUMDEFHORV-OAHLLOKOSA-N 1 2 318.421 1.861 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@@H+](Cc2nccc(C)n2)C1 ZINC001278450018 892649994 /nfs/dbraw/zinc/64/99/94/892649994.db2.gz LHQQOQUBFLGVMG-QGZVFWFLSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@H+](Cc2nccc(C)n2)C1 ZINC001278450018 892650001 /nfs/dbraw/zinc/65/00/01/892650001.db2.gz LHQQOQUBFLGVMG-QGZVFWFLSA-N 1 2 318.421 1.458 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)CCn1cccn1 ZINC001366193942 892700322 /nfs/dbraw/zinc/70/03/22/892700322.db2.gz LELIEYCBMXCRKV-AWEZNQCLSA-N 1 2 310.829 1.996 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)CCn1cccn1 ZINC001366193942 892700328 /nfs/dbraw/zinc/70/03/28/892700328.db2.gz LELIEYCBMXCRKV-AWEZNQCLSA-N 1 2 310.829 1.996 20 30 DDEDLO CC(=O)O[C@H](C)[C@H]([NH3+])c1nc(-c2ccc(C#N)c(C)c2)no1 ZINC001249268176 893905332 /nfs/dbraw/zinc/90/53/32/893905332.db2.gz IOLLVRKHZNBOBL-RNCFNFMXSA-N 1 2 300.318 1.868 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)OCCC(C)C)CCO2 ZINC001280922496 894268270 /nfs/dbraw/zinc/26/82/70/894268270.db2.gz VXYDWPGUBOYFOL-INIZCTEOSA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCOC[C@@H](O)CNc1cc[nH+]c2c(C(=O)OC)cccc12 ZINC001251829089 894829457 /nfs/dbraw/zinc/82/94/57/894829457.db2.gz MGXYKWGHDAKCOC-LBPRGKRZSA-N 1 2 314.341 1.444 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1C[C@H](O)CCCC ZINC001252086491 895004261 /nfs/dbraw/zinc/00/42/61/895004261.db2.gz NYYLLRDMELUXBT-UKRRQHHQSA-N 1 2 308.426 1.468 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1C[C@H](O)CCCC ZINC001252086491 895004278 /nfs/dbraw/zinc/00/42/78/895004278.db2.gz NYYLLRDMELUXBT-UKRRQHHQSA-N 1 2 308.426 1.468 20 30 DDEDLO C=CCOC[C@H](O)C[N@@H+]1Cc2nn(CC)cc2[C@H](COCC)C1 ZINC001252463541 895176680 /nfs/dbraw/zinc/17/66/80/895176680.db2.gz QERARWGOUYMBIW-LSDHHAIUSA-N 1 2 323.437 1.402 20 30 DDEDLO C=CCOC[C@H](O)C[N@H+]1Cc2nn(CC)cc2[C@H](COCC)C1 ZINC001252463541 895176683 /nfs/dbraw/zinc/17/66/83/895176683.db2.gz QERARWGOUYMBIW-LSDHHAIUSA-N 1 2 323.437 1.402 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1CCc2c(ncn2C(C)C)[C@@H]1CNC(C)=O ZINC001252552866 895274123 /nfs/dbraw/zinc/27/41/23/895274123.db2.gz NEYHOUHDJDFDCW-DOTOQJQBSA-N 1 2 320.437 1.436 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1CCc2c(ncn2C(C)C)[C@@H]1CNC(C)=O ZINC001252552866 895274136 /nfs/dbraw/zinc/27/41/36/895274136.db2.gz NEYHOUHDJDFDCW-DOTOQJQBSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CC[NH+]1CCN(C[C@H](O)COc2ccc(OC)cc2)CC1 ZINC001252712218 895411115 /nfs/dbraw/zinc/41/11/15/895411115.db2.gz BFVFBHBRXRWWJY-HNNXBMFYSA-N 1 2 306.406 1.239 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@@H+]2CC[C@H](C#N)C2)cc1 ZINC001253051100 895621434 /nfs/dbraw/zinc/62/14/34/895621434.db2.gz DPOUPGIHUQDPHI-HZPDHXFCSA-N 1 2 304.390 1.461 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@H+]2CC[C@H](C#N)C2)cc1 ZINC001253051100 895621440 /nfs/dbraw/zinc/62/14/40/895621440.db2.gz DPOUPGIHUQDPHI-HZPDHXFCSA-N 1 2 304.390 1.461 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001278800376 896541835 /nfs/dbraw/zinc/54/18/35/896541835.db2.gz MSXASHYINISCRQ-WMLDXEAASA-N 1 2 318.421 1.447 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001278800376 896541842 /nfs/dbraw/zinc/54/18/42/896541842.db2.gz MSXASHYINISCRQ-WMLDXEAASA-N 1 2 318.421 1.447 20 30 DDEDLO CCOC[C@@H]1C[N@@H+]([C@H]2CC[C@H](C#N)C2)Cc2nnn(CC)c21 ZINC001254665071 896563997 /nfs/dbraw/zinc/56/39/97/896563997.db2.gz YKJJMBRSBISYSJ-IHRRRGAJSA-N 1 2 303.410 1.926 20 30 DDEDLO CCOC[C@@H]1C[N@H+]([C@H]2CC[C@H](C#N)C2)Cc2nnn(CC)c21 ZINC001254665071 896564003 /nfs/dbraw/zinc/56/40/03/896564003.db2.gz YKJJMBRSBISYSJ-IHRRRGAJSA-N 1 2 303.410 1.926 20 30 DDEDLO CC[C@@H](CC#N)[N@@H+]1CCOC[C@]2(CC[C@H](Cn3cccn3)O2)C1 ZINC001255169683 896766365 /nfs/dbraw/zinc/76/63/65/896766365.db2.gz JHNGKZYZDZYUMY-BBWFWOEESA-N 1 2 318.421 1.825 20 30 DDEDLO CC[C@@H](CC#N)[N@H+]1CCOC[C@]2(CC[C@H](Cn3cccn3)O2)C1 ZINC001255169683 896766374 /nfs/dbraw/zinc/76/63/74/896766374.db2.gz JHNGKZYZDZYUMY-BBWFWOEESA-N 1 2 318.421 1.825 20 30 DDEDLO CC(C)[C@@H](CNC(=O)[C@@H](C)C#N)[NH2+]Cc1noc(C2CCC2)n1 ZINC001367463008 896828376 /nfs/dbraw/zinc/82/83/76/896828376.db2.gz DRZCBXYAFUUSND-WCQYABFASA-N 1 2 319.409 1.727 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)CCCC(N)=O ZINC001367555250 897151952 /nfs/dbraw/zinc/15/19/52/897151952.db2.gz YZLMBBLVJXEWFF-UHFFFAOYSA-N 1 2 316.405 1.104 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)CCCC(N)=O ZINC001367555250 897151958 /nfs/dbraw/zinc/15/19/58/897151958.db2.gz YZLMBBLVJXEWFF-UHFFFAOYSA-N 1 2 316.405 1.104 20 30 DDEDLO CC(C)(C)[C@H](CNCC#N)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001278972608 897619163 /nfs/dbraw/zinc/61/91/63/897619163.db2.gz FMDHOQNPPLVARP-JSGCOSHPSA-N 1 2 303.410 1.090 20 30 DDEDLO CCOC(=O)CC[C@H]([NH2+]C1CCC(C#N)CC1)C(=O)OCC ZINC001256956646 897645776 /nfs/dbraw/zinc/64/57/76/897645776.db2.gz YEJUKHDYTHXQFL-RUXDESIVSA-N 1 2 310.394 1.933 20 30 DDEDLO COC(=O)[C@H](CS)[NH2+]C1CCN(C(=O)c2ccccc2)CC1 ZINC001258637435 898282702 /nfs/dbraw/zinc/28/27/02/898282702.db2.gz VHMXPNJFCVAREY-AWEZNQCLSA-N 1 2 322.430 1.352 20 30 DDEDLO CCc1nnc([C@@H](C)[NH+]2CCC(C)(NC(=O)[C@H](C)C#N)CC2)o1 ZINC001368111796 898726057 /nfs/dbraw/zinc/72/60/57/898726057.db2.gz IDHMERNWZSDDAU-VXGBXAGGSA-N 1 2 319.409 1.823 20 30 DDEDLO C[N@H+](CCNC(=O)[C@@H]1CCOC1)Cc1ccc(C#N)cc1F ZINC001390740578 900069764 /nfs/dbraw/zinc/06/97/64/900069764.db2.gz GFSRALHJFUBMRC-CQSZACIVSA-N 1 2 305.353 1.282 20 30 DDEDLO C[N@@H+](CCNC(=O)[C@@H]1CCOC1)Cc1ccc(C#N)cc1F ZINC001390740578 900069771 /nfs/dbraw/zinc/06/97/71/900069771.db2.gz GFSRALHJFUBMRC-CQSZACIVSA-N 1 2 305.353 1.282 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cncc2ncn(C)c21 ZINC001390774709 900149810 /nfs/dbraw/zinc/14/98/10/900149810.db2.gz WJVKUGBQPGPEQC-UHFFFAOYSA-N 1 2 307.785 1.382 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cncc2ncn(C)c21 ZINC001390774709 900149816 /nfs/dbraw/zinc/14/98/16/900149816.db2.gz WJVKUGBQPGPEQC-UHFFFAOYSA-N 1 2 307.785 1.382 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@H]3C[C@@H](O)C[N@H+]3C)n2CC=C)C1 ZINC001262947749 900431153 /nfs/dbraw/zinc/43/11/53/900431153.db2.gz SOLRQPFHBVVEDA-RBSFLKMASA-N 1 2 315.421 1.051 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@H]3C[C@@H](O)C[N@@H+]3C)n2CC=C)C1 ZINC001262947749 900431164 /nfs/dbraw/zinc/43/11/64/900431164.db2.gz SOLRQPFHBVVEDA-RBSFLKMASA-N 1 2 315.421 1.051 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCC(CO)CC2)nnc1N(C)CCC ZINC001263316479 900572894 /nfs/dbraw/zinc/57/28/94/900572894.db2.gz VLDFNQIDNITZHE-UHFFFAOYSA-N 1 2 321.469 1.905 20 30 DDEDLO C=C(C)CN(C)c1nnc(C[NH+]2CCCC2)n1C[C@H]1CCOC1 ZINC001263573886 900642552 /nfs/dbraw/zinc/64/25/52/900642552.db2.gz SVSLRUVFKOUWQQ-OAHLLOKOSA-N 1 2 319.453 1.923 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCC1 ZINC001263810597 900724613 /nfs/dbraw/zinc/72/46/13/900724613.db2.gz ZMKRVTUXPQJQOK-YOEHRIQHSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCC1 ZINC001263810597 900724619 /nfs/dbraw/zinc/72/46/19/900724619.db2.gz ZMKRVTUXPQJQOK-YOEHRIQHSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@H](CC)C(C)C ZINC001263817322 900728370 /nfs/dbraw/zinc/72/83/70/900728370.db2.gz SINFMFKVWQRSBX-HOTGVXAUSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@H](CC)C(C)C ZINC001263817322 900728379 /nfs/dbraw/zinc/72/83/79/900728379.db2.gz SINFMFKVWQRSBX-HOTGVXAUSA-N 1 2 321.465 1.341 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H](NC(=O)CSCC#N)[C@H]2C)on1 ZINC001264131429 900965388 /nfs/dbraw/zinc/96/53/88/900965388.db2.gz LVPWTZDVAJVLAL-PWSUYJOCSA-N 1 2 324.406 1.019 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H](NC(=O)CSCC#N)[C@H]2C)on1 ZINC001264131429 900965395 /nfs/dbraw/zinc/96/53/95/900965395.db2.gz LVPWTZDVAJVLAL-PWSUYJOCSA-N 1 2 324.406 1.019 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2cc(OC)no2)[C@@H]1C ZINC001264176767 900992746 /nfs/dbraw/zinc/99/27/46/900992746.db2.gz NLXVCGOQPRJGSF-OCCSQVGLSA-N 1 2 323.393 1.355 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+](Cc2cc(OC)no2)[C@@H]1C ZINC001264176767 900992754 /nfs/dbraw/zinc/99/27/54/900992754.db2.gz NLXVCGOQPRJGSF-OCCSQVGLSA-N 1 2 323.393 1.355 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)OCCOCC)[C@H]1C ZINC001264175379 900992770 /nfs/dbraw/zinc/99/27/70/900992770.db2.gz INQCFCTXFNJVJV-MCIONIFRSA-N 1 2 318.845 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)OCCOCC)[C@H]1C ZINC001264175379 900992776 /nfs/dbraw/zinc/99/27/76/900992776.db2.gz INQCFCTXFNJVJV-MCIONIFRSA-N 1 2 318.845 1.760 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)cc1F ZINC001264372543 901053234 /nfs/dbraw/zinc/05/32/34/901053234.db2.gz HNPVKCRXIIJLMK-HNNXBMFYSA-N 1 2 318.392 1.930 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)cc1F ZINC001264372543 901053249 /nfs/dbraw/zinc/05/32/49/901053249.db2.gz HNPVKCRXIIJLMK-HNNXBMFYSA-N 1 2 318.392 1.930 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](CC)c1c(C)noc1C ZINC001264374891 901060748 /nfs/dbraw/zinc/06/07/48/901060748.db2.gz PWDSIJAHXMOJEC-LSDHHAIUSA-N 1 2 303.406 1.951 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](CC)c1c(C)noc1C ZINC001264374891 901060754 /nfs/dbraw/zinc/06/07/54/901060754.db2.gz PWDSIJAHXMOJEC-LSDHHAIUSA-N 1 2 303.406 1.951 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)C#CC(C)C)CC[N@@H+]1Cc1ncccn1 ZINC001264608124 901211045 /nfs/dbraw/zinc/21/10/45/901211045.db2.gz UHQTWBIDEARQMP-LSDHHAIUSA-N 1 2 300.406 1.605 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)C#CC(C)C)CC[N@H+]1Cc1ncccn1 ZINC001264608124 901211062 /nfs/dbraw/zinc/21/10/62/901211062.db2.gz UHQTWBIDEARQMP-LSDHHAIUSA-N 1 2 300.406 1.605 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)CCCNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001264977546 901376592 /nfs/dbraw/zinc/37/65/92/901376592.db2.gz NSPXQARVXWDUET-SNVBAGLBSA-N 1 2 316.365 1.391 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)CCCNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001264977546 901376602 /nfs/dbraw/zinc/37/66/02/901376602.db2.gz NSPXQARVXWDUET-SNVBAGLBSA-N 1 2 316.365 1.391 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](CCNC(=O)CCS(C)(=O)=O)C1 ZINC001391293678 901412132 /nfs/dbraw/zinc/41/21/32/901412132.db2.gz AYWDCUIFGGZWSK-LBPRGKRZSA-N 1 2 322.858 1.002 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](CCNC(=O)CCS(C)(=O)=O)C1 ZINC001391293678 901412138 /nfs/dbraw/zinc/41/21/38/901412138.db2.gz AYWDCUIFGGZWSK-LBPRGKRZSA-N 1 2 322.858 1.002 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN([C@@H](C)c2noc(C)n2)CC1 ZINC001265257891 901780063 /nfs/dbraw/zinc/78/00/63/901780063.db2.gz RPYVOLSAPZHBGS-ZDUSSCGKSA-N 1 2 321.425 1.139 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[NH+]1CCN(Cc2ccon2)CC1 ZINC001265262931 901784795 /nfs/dbraw/zinc/78/47/95/901784795.db2.gz NFTHLKTUDWXUBW-UHFFFAOYSA-N 1 2 320.437 1.511 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)C2[C@@H]3CCCCCC[C@@H]23)CC1 ZINC001265264241 901787787 /nfs/dbraw/zinc/78/77/87/901787787.db2.gz JJEIMPVCEHVITC-HZPDHXFCSA-N 1 2 318.465 1.460 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)c2c[nH]c3ccc(C)cc23)CC1 ZINC001265266172 901788591 /nfs/dbraw/zinc/78/85/91/901788591.db2.gz DEPLDCOPGMZVBR-UHFFFAOYSA-N 1 2 324.428 1.457 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CC[N@H+](CC(=O)NCC2CC2)C1 ZINC001265301092 901848897 /nfs/dbraw/zinc/84/88/97/901848897.db2.gz CZAZAPVMQAHLGS-RHSMWYFYSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001265301092 901848907 /nfs/dbraw/zinc/84/89/07/901848907.db2.gz CZAZAPVMQAHLGS-RHSMWYFYSA-N 1 2 307.438 1.305 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C1CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001369761105 901886752 /nfs/dbraw/zinc/88/67/52/901886752.db2.gz VTCVKTMPEQBWLA-OLZOCXBDSA-N 1 2 301.394 1.620 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](N(C)C(=O)CCOC)C1 ZINC001391603448 902118773 /nfs/dbraw/zinc/11/87/73/902118773.db2.gz KWLISLGJXFPMMB-NSHDSACASA-N 1 2 305.216 1.464 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](N(C)C(=O)CCOC)C1 ZINC001391603448 902118781 /nfs/dbraw/zinc/11/87/81/902118781.db2.gz KWLISLGJXFPMMB-NSHDSACASA-N 1 2 305.216 1.464 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CCC[C@@H](CNCC#N)C1)n1cc[nH+]c1 ZINC001265613025 902202661 /nfs/dbraw/zinc/20/26/61/902202661.db2.gz HBUYWZZRWPFBRK-HOTGVXAUSA-N 1 2 317.437 1.822 20 30 DDEDLO CCCCc1noc([C@@H](C)[NH2+][C@H](C)CNC(=O)C#CC2CC2)n1 ZINC001265773025 902393353 /nfs/dbraw/zinc/39/33/53/902393353.db2.gz NVDGKPIRIOWBCD-CHWSQXEVSA-N 1 2 318.421 1.981 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC001265843043 902478219 /nfs/dbraw/zinc/47/82/19/902478219.db2.gz VDCCHFCSVWMXHA-UHFFFAOYSA-N 1 2 319.453 1.631 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC001265843043 902478223 /nfs/dbraw/zinc/47/82/23/902478223.db2.gz VDCCHFCSVWMXHA-UHFFFAOYSA-N 1 2 319.453 1.631 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([NH2+]Cc2ncc(C3CC3)o2)C1 ZINC001265903450 902568280 /nfs/dbraw/zinc/56/82/80/902568280.db2.gz QSFBRCCJMMRBPX-AWEZNQCLSA-N 1 2 301.390 1.902 20 30 DDEDLO C=CCCCC(=O)N1CCCN(C(=O)Cc2c[nH+]cn2C)CC1 ZINC001293739963 914665661 /nfs/dbraw/zinc/66/56/61/914665661.db2.gz CWYAUORBOFALCX-UHFFFAOYSA-N 1 2 318.421 1.380 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CCC[N@H+](CC(=O)N2CCCC2)C1 ZINC001266218395 903132316 /nfs/dbraw/zinc/13/23/16/903132316.db2.gz PCBNZAJDHSXNBY-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CCC[N@@H+](CC(=O)N2CCCC2)C1 ZINC001266218395 903132328 /nfs/dbraw/zinc/13/23/28/903132328.db2.gz PCBNZAJDHSXNBY-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1COCC[N@@H+]1CC1=CCCCC1 ZINC001280402194 903639146 /nfs/dbraw/zinc/63/91/46/903639146.db2.gz GPMQBXZJVVMGAP-SJLPKXTDSA-N 1 2 322.449 1.631 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1COCC[N@H+]1CC1=CCCCC1 ZINC001280402194 903639152 /nfs/dbraw/zinc/63/91/52/903639152.db2.gz GPMQBXZJVVMGAP-SJLPKXTDSA-N 1 2 322.449 1.631 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2COC3(C[NH+](CCOCC)C3)C2)CC1 ZINC001280970197 904257121 /nfs/dbraw/zinc/25/71/21/904257121.db2.gz YANVAHXCMVQHMP-MRXNPFEDSA-N 1 2 322.449 1.729 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](NC(=O)CCc2c[nH+]cn2C)C[C@@H]1C ZINC001281160062 904502163 /nfs/dbraw/zinc/50/21/63/904502163.db2.gz VIVYEDIPOPKVSR-KBPBESRZSA-N 1 2 318.421 1.425 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](NC(=O)CCc2c[nH+]cn2C)C[C@H]1C ZINC001281160063 904502718 /nfs/dbraw/zinc/50/27/18/904502718.db2.gz VIVYEDIPOPKVSR-KGLIPLIRSA-N 1 2 318.421 1.425 20 30 DDEDLO Cc1ccc(NC(=O)C[N@@H+](C)C[C@@H](C)NC(=O)[C@H](C)C#N)cc1 ZINC001392635219 904678600 /nfs/dbraw/zinc/67/86/00/904678600.db2.gz BYSGKWVVYQUNDU-ZIAGYGMSSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1ccc(NC(=O)C[N@H+](C)C[C@@H](C)NC(=O)[C@H](C)C#N)cc1 ZINC001392635219 904678606 /nfs/dbraw/zinc/67/86/06/904678606.db2.gz BYSGKWVVYQUNDU-ZIAGYGMSSA-N 1 2 316.405 1.530 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cn(C2CCC2)nn1 ZINC001392647541 904726961 /nfs/dbraw/zinc/72/69/61/904726961.db2.gz SNDDPMYNESJPKL-LLVKDONJSA-N 1 2 311.817 1.806 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cn(C2CCC2)nn1 ZINC001392647541 904726972 /nfs/dbraw/zinc/72/69/72/904726972.db2.gz SNDDPMYNESJPKL-LLVKDONJSA-N 1 2 311.817 1.806 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1cc(C#N)ccc1F ZINC001377373071 921161051 /nfs/dbraw/zinc/16/10/51/921161051.db2.gz AYLQADXHTLZIQQ-WFASDCNBSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC[N@H+]1Cc1cc(C#N)ccc1F ZINC001377373071 921161054 /nfs/dbraw/zinc/16/10/54/921161054.db2.gz AYLQADXHTLZIQQ-WFASDCNBSA-N 1 2 314.364 1.938 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001282539209 905861216 /nfs/dbraw/zinc/86/12/16/905861216.db2.gz HDZCNEFJFRRGHZ-DLBZAZTESA-N 1 2 314.429 1.919 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)CCCc2cccs2)C1 ZINC001282545476 905862444 /nfs/dbraw/zinc/86/24/44/905862444.db2.gz RDQMIDNKQDUBOD-UHFFFAOYSA-N 1 2 320.458 1.647 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1C[N@H+](CC(=C)Cl)CC1(C)C ZINC001282799861 906052799 /nfs/dbraw/zinc/05/27/99/906052799.db2.gz FPEJOHJGOUACFM-WFASDCNBSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1C[N@@H+](CC(=C)Cl)CC1(C)C ZINC001282799861 906052812 /nfs/dbraw/zinc/05/28/12/906052812.db2.gz FPEJOHJGOUACFM-WFASDCNBSA-N 1 2 300.830 1.893 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nncs3)C[C@H]21 ZINC001282849685 906093392 /nfs/dbraw/zinc/09/33/92/906093392.db2.gz PNBOXUHBKXBJBD-ZSOGYDGISA-N 1 2 318.446 1.526 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nncs3)C[C@H]21 ZINC001282849685 906093399 /nfs/dbraw/zinc/09/33/99/906093399.db2.gz PNBOXUHBKXBJBD-ZSOGYDGISA-N 1 2 318.446 1.526 20 30 DDEDLO Cc1ccnc(C[N@H+]2CCC[C@](C)(CNC(=O)[C@H](C)C#N)C2)n1 ZINC001393189463 906416798 /nfs/dbraw/zinc/41/67/98/906416798.db2.gz RDZCWOMGIMKHHG-CXAGYDPISA-N 1 2 315.421 1.663 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CCC[C@](C)(CNC(=O)[C@H](C)C#N)C2)n1 ZINC001393189463 906416806 /nfs/dbraw/zinc/41/68/06/906416806.db2.gz RDZCWOMGIMKHHG-CXAGYDPISA-N 1 2 315.421 1.663 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001283084201 906595875 /nfs/dbraw/zinc/59/58/75/906595875.db2.gz LLFWPFYSHOWFQM-CYBMUJFWSA-N 1 2 318.421 1.280 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H](C)[NH2+]Cc1nn(C)cc1Cl ZINC001283607529 907669439 /nfs/dbraw/zinc/66/94/39/907669439.db2.gz FIWHTWYXDFHRPI-LBPRGKRZSA-N 1 2 310.829 1.669 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284000669 908286408 /nfs/dbraw/zinc/28/64/08/908286408.db2.gz GCKIVLFQHUQZGY-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284000669 908286423 /nfs/dbraw/zinc/28/64/23/908286423.db2.gz GCKIVLFQHUQZGY-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO C[C@H](CNC(=O)C#CC(C)(C)C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001284234773 908704769 /nfs/dbraw/zinc/70/47/69/908704769.db2.gz YRMIXZUZZOFZKK-GFCCVEGCSA-N 1 2 304.394 1.013 20 30 DDEDLO C#Cc1ccc(C(=O)NCCN(CC)C(=O)Cc2c[nH+]c[nH]2)cc1 ZINC001284637244 909282480 /nfs/dbraw/zinc/28/24/80/909282480.db2.gz XXUNPFHUVOIPCH-UHFFFAOYSA-N 1 2 324.384 1.212 20 30 DDEDLO C#CCCCCC(=O)N1CC(CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284974703 909861841 /nfs/dbraw/zinc/86/18/41/909861841.db2.gz QBSQWIKUTKTQHC-UHFFFAOYSA-N 1 2 316.405 1.111 20 30 DDEDLO C#CCCCCC(=O)N1CC(CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284974703 909861854 /nfs/dbraw/zinc/86/18/54/909861854.db2.gz QBSQWIKUTKTQHC-UHFFFAOYSA-N 1 2 316.405 1.111 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC1CN(Cc2ccc(C#N)cc2)C1 ZINC001373443806 909870716 /nfs/dbraw/zinc/87/07/16/909870716.db2.gz AHQDCWRXQWPFRM-UHFFFAOYSA-N 1 2 323.400 1.225 20 30 DDEDLO CC[C@@H](CNC(=O)C#CC(C)C)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001285051923 910002917 /nfs/dbraw/zinc/00/29/17/910002917.db2.gz SUBUHWYVFUOEMS-GJZGRUSLSA-N 1 2 318.421 1.505 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CC(C)(C)CNC(=O)[C@@H](C)C#N ZINC001395015447 911123274 /nfs/dbraw/zinc/12/32/74/911123274.db2.gz CILGHQPUVFTCOD-NSHDSACASA-N 1 2 319.409 1.021 20 30 DDEDLO C=C(C)CCC(=O)NCC1(NC(=O)CCn2cc[nH+]c2)CC1 ZINC001285933076 911464198 /nfs/dbraw/zinc/46/41/98/911464198.db2.gz OSYIKUKYNDJLEG-UHFFFAOYSA-N 1 2 304.394 1.395 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001286430732 911976757 /nfs/dbraw/zinc/97/67/57/911976757.db2.gz YIJKVTFYQKFZAI-HDJSIYSDSA-N 1 2 318.421 1.496 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1nc(CC2CC2)no1)C1CC1 ZINC001375221088 915302737 /nfs/dbraw/zinc/30/27/37/915302737.db2.gz XRHOJEYCNWFVGP-GWCFXTLKSA-N 1 2 317.393 1.166 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001294747590 915336035 /nfs/dbraw/zinc/33/60/35/915336035.db2.gz CLEGFYXELBRVEE-CABCVRRESA-N 1 2 316.405 1.211 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH]c[nH+]1)NC(=O)C#CC(C)(C)C ZINC001295532209 915878234 /nfs/dbraw/zinc/87/82/34/915878234.db2.gz KMQAPQDROJSAGZ-GFCCVEGCSA-N 1 2 304.394 1.013 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH+]c[nH]1)NC(=O)C#CC(C)(C)C ZINC001295532209 915878243 /nfs/dbraw/zinc/87/82/43/915878243.db2.gz KMQAPQDROJSAGZ-GFCCVEGCSA-N 1 2 304.394 1.013 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001295702084 916002083 /nfs/dbraw/zinc/00/20/83/916002083.db2.gz VICGASSSXMDLLF-AWEZNQCLSA-N 1 2 316.405 1.111 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295790252 916058328 /nfs/dbraw/zinc/05/83/28/916058328.db2.gz ZFINFQFGHAVKPN-AWEZNQCLSA-N 1 2 316.405 1.061 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCN(C)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001296089478 916231843 /nfs/dbraw/zinc/23/18/43/916231843.db2.gz XKKRRXJMIYAGOS-UHFFFAOYSA-N 1 2 320.437 1.864 20 30 DDEDLO C=CC(C)(C)C(=O)NCCCN(C(=O)Cn1cc[nH+]c1)C(C)C ZINC001296719012 916552373 /nfs/dbraw/zinc/55/23/73/916552373.db2.gz MXSFGEXYENCXMK-UHFFFAOYSA-N 1 2 320.437 1.839 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001376120867 917727474 /nfs/dbraw/zinc/72/74/74/917727474.db2.gz PXUYIUXFTMUQJT-GDBMZVCRSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001376120867 917727481 /nfs/dbraw/zinc/72/74/81/917727481.db2.gz PXUYIUXFTMUQJT-GDBMZVCRSA-N 1 2 319.380 1.405 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@H+](Cc2nn(C)cc2Cl)C1 ZINC001377443253 921931265 /nfs/dbraw/zinc/93/12/65/921931265.db2.gz VTKKARJRIZTCLB-QWRGUYRKSA-N 1 2 309.801 1.171 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@@H+](Cc2nn(C)cc2Cl)C1 ZINC001377443253 921931282 /nfs/dbraw/zinc/93/12/82/921931282.db2.gz VTKKARJRIZTCLB-QWRGUYRKSA-N 1 2 309.801 1.171 20 30 DDEDLO CCCN(C(=O)[C@H](C)C#N)[C@@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001377647314 922569581 /nfs/dbraw/zinc/56/95/81/922569581.db2.gz ISSVQCXAGXVCAM-HUUCEWRRSA-N 1 2 322.453 1.231 20 30 DDEDLO CCCN(C(=O)[C@H](C)C#N)[C@@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001377647314 922569590 /nfs/dbraw/zinc/56/95/90/922569590.db2.gz ISSVQCXAGXVCAM-HUUCEWRRSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)c1nnc(C[N@@H+]2CC[C@H](CCNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001377681825 922688080 /nfs/dbraw/zinc/68/80/80/922688080.db2.gz ZNOHEKKYHKXUOH-STQMWFEESA-N 1 2 318.425 1.416 20 30 DDEDLO CC(C)c1nnc(C[N@H+]2CC[C@H](CCNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001377681825 922688090 /nfs/dbraw/zinc/68/80/90/922688090.db2.gz ZNOHEKKYHKXUOH-STQMWFEESA-N 1 2 318.425 1.416 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+]1CCC[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001377840640 923197962 /nfs/dbraw/zinc/19/79/62/923197962.db2.gz MNQWDFYAORGAAV-JQWIXIFHSA-N 1 2 323.828 1.622 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001377840640 923197966 /nfs/dbraw/zinc/19/79/66/923197966.db2.gz MNQWDFYAORGAAV-JQWIXIFHSA-N 1 2 323.828 1.622 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)NC[C@@H]1CCCN(CC#N)C1)C2 ZINC000614266517 361781510 /nfs/dbraw/zinc/78/15/10/361781510.db2.gz XJEMPEQROJPXTP-UONOGXRCSA-N 1 2 315.421 1.175 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)NC[C@@H]1CCCN(CC#N)C1)CC2 ZINC000614266517 361781515 /nfs/dbraw/zinc/78/15/15/361781515.db2.gz XJEMPEQROJPXTP-UONOGXRCSA-N 1 2 315.421 1.175 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CCC[C@H](c2[nH+]cc3n2CCCC3)C1 ZINC000614481855 361880028 /nfs/dbraw/zinc/88/00/28/361880028.db2.gz ZCRUOYVXISKKAR-GUYCJALGSA-N 1 2 303.406 1.862 20 30 DDEDLO C=CCN(Cc1c[nH+]c2ccc(C)cn12)[C@H]1CCS(=O)(=O)C1 ZINC000092361755 185313959 /nfs/dbraw/zinc/31/39/59/185313959.db2.gz ZWBQGWUORFUURV-AWEZNQCLSA-N 1 2 319.430 1.818 20 30 DDEDLO Cc1nc(C)c(CCC(=O)NCC[NH+]2CCOCC2)c(=O)[nH]1 ZINC000329152589 539301471 /nfs/dbraw/zinc/30/14/71/539301471.db2.gz TUYCWFJFZCNAJK-UHFFFAOYSA-N 1 2 308.382 1.020 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)c1cccc2c[nH]nc21 ZINC000329165862 539301652 /nfs/dbraw/zinc/30/16/52/539301652.db2.gz HQDZQSKXEFPUCB-LBPRGKRZSA-N 1 2 301.394 1.503 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)N[C@H](c2nc[nH]n2)c2ccccc2)C1 ZINC000329646988 529787006 /nfs/dbraw/zinc/78/70/06/529787006.db2.gz BDXZBPVYBVGXFS-STQMWFEESA-N 1 2 301.350 1.181 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)N[C@H](c2nc[nH]n2)c2ccccc2)C1 ZINC000329646988 529787007 /nfs/dbraw/zinc/78/70/07/529787007.db2.gz BDXZBPVYBVGXFS-STQMWFEESA-N 1 2 301.350 1.181 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)N[C@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000329646988 529787009 /nfs/dbraw/zinc/78/70/09/529787009.db2.gz BDXZBPVYBVGXFS-STQMWFEESA-N 1 2 301.350 1.181 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)N[C@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000329646988 529787010 /nfs/dbraw/zinc/78/70/10/529787010.db2.gz BDXZBPVYBVGXFS-STQMWFEESA-N 1 2 301.350 1.181 20 30 DDEDLO C[N@H+](CC(=O)NCCCN1CCCCCC1=O)CC(C)(C)C#N ZINC000433295025 529834198 /nfs/dbraw/zinc/83/41/98/529834198.db2.gz OBCSVOGNNCRGRU-UHFFFAOYSA-N 1 2 322.453 1.377 20 30 DDEDLO C[N@@H+](CC(=O)NCCCN1CCCCCC1=O)CC(C)(C)C#N ZINC000433295025 529834199 /nfs/dbraw/zinc/83/41/99/529834199.db2.gz OBCSVOGNNCRGRU-UHFFFAOYSA-N 1 2 322.453 1.377 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc(NC(=O)C2CC2)cc1 ZINC000414119636 529868399 /nfs/dbraw/zinc/86/83/99/529868399.db2.gz DNQISUTUOROHOR-HNNXBMFYSA-N 1 2 317.389 1.620 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc(NC(=O)C2CC2)cc1 ZINC000414119636 529868400 /nfs/dbraw/zinc/86/84/00/529868400.db2.gz DNQISUTUOROHOR-HNNXBMFYSA-N 1 2 317.389 1.620 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[NH+]1CC(c2nc3ccccc3[nH]2)C1 ZINC000615792392 362443473 /nfs/dbraw/zinc/44/34/73/362443473.db2.gz IEROHWYSVKGUDF-GFCCVEGCSA-N 1 2 323.400 1.913 20 30 DDEDLO COc1cc[nH+]c(CN2CCN([C@H](C#N)C(C)C)CC2)c1OC ZINC000616111890 362551321 /nfs/dbraw/zinc/55/13/21/362551321.db2.gz TXGKQVXFECUCTO-OAHLLOKOSA-N 1 2 318.421 1.765 20 30 DDEDLO C=CCNC(=O)C[NH2+]Cc1ncc(Br)cc1F ZINC000623486689 365895209 /nfs/dbraw/zinc/89/52/09/365895209.db2.gz CZNCJFPELYJEHC-UHFFFAOYSA-N 1 2 302.147 1.375 20 30 DDEDLO C[C@H](C(=O)NC1CC1)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000028749848 352231680 /nfs/dbraw/zinc/23/16/80/352231680.db2.gz ZXSURMGOGSKRSF-CQSZACIVSA-N 1 2 312.417 1.343 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000028749848 352231682 /nfs/dbraw/zinc/23/16/82/352231682.db2.gz ZXSURMGOGSKRSF-CQSZACIVSA-N 1 2 312.417 1.343 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(CC(F)(F)F)CC1 ZINC000042566216 352343477 /nfs/dbraw/zinc/34/34/77/352343477.db2.gz CPLLWCKUWOWFNP-ZDUSSCGKSA-N 1 2 319.371 1.755 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000066308271 352987948 /nfs/dbraw/zinc/98/79/48/352987948.db2.gz GTRUPUHHISKTNA-HNNXBMFYSA-N 1 2 303.406 1.707 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)Nc2ccccc2S(C)(=O)=O)CC1 ZINC000068919628 353136567 /nfs/dbraw/zinc/13/65/67/353136567.db2.gz GWPPAGOZRZEEGI-UHFFFAOYSA-N 1 2 320.414 1.374 20 30 DDEDLO COCCN(CCC#N)C(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000081716715 353688894 /nfs/dbraw/zinc/68/88/94/353688894.db2.gz JMFLJNDPOIHKIJ-UHFFFAOYSA-N 1 2 312.373 1.934 20 30 DDEDLO N#C[C@H]1COCCN1Cc1cn2cc(Br)ccc2[nH+]1 ZINC000081163854 353655083 /nfs/dbraw/zinc/65/50/83/353655083.db2.gz YNZVNYTXECYGHW-LBPRGKRZSA-N 1 2 321.178 1.821 20 30 DDEDLO C#CC[N@H+](C[C@@H]1C[C@@H]1c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000092193795 353846928 /nfs/dbraw/zinc/84/69/28/353846928.db2.gz TUHXHTSTANBXAR-GVDBMIGSSA-N 1 2 303.427 1.912 20 30 DDEDLO C#CC[N@@H+](C[C@@H]1C[C@@H]1c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000092193795 353846932 /nfs/dbraw/zinc/84/69/32/353846932.db2.gz TUHXHTSTANBXAR-GVDBMIGSSA-N 1 2 303.427 1.912 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCC[C@H](NS(C)(=O)=O)C1 ZINC000120789235 354015041 /nfs/dbraw/zinc/01/50/41/354015041.db2.gz VZVBHLYIRZFDFU-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCC[C@H](NS(C)(=O)=O)C1 ZINC000120789235 354015042 /nfs/dbraw/zinc/01/50/42/354015042.db2.gz VZVBHLYIRZFDFU-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCCCC[C@@H]2CO)c(C#N)c1C ZINC000318378171 354515136 /nfs/dbraw/zinc/51/51/36/354515136.db2.gz BWMDWTIZWAVARG-CYBMUJFWSA-N 1 2 305.378 1.944 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCCCC[C@@H]2CO)c(C#N)c1C ZINC000318378171 354515139 /nfs/dbraw/zinc/51/51/39/354515139.db2.gz BWMDWTIZWAVARG-CYBMUJFWSA-N 1 2 305.378 1.944 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc4scnc4c3)C[C@H]21 ZINC000329944868 283036161 /nfs/dbraw/zinc/03/61/61/283036161.db2.gz WZLPEMQUMUCBOB-OLZOCXBDSA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc4scnc4c3)C[C@H]21 ZINC000329944868 283036162 /nfs/dbraw/zinc/03/61/62/283036162.db2.gz WZLPEMQUMUCBOB-OLZOCXBDSA-N 1 2 318.402 1.857 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCCNC(=O)[C@@H]1CC=CCC1 ZINC000578776108 354712705 /nfs/dbraw/zinc/71/27/05/354712705.db2.gz VWLSUQDAKVNPAQ-PBHICJAKSA-N 1 2 320.437 1.199 20 30 DDEDLO COc1ccc2onc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)c2c1 ZINC000578873452 354713043 /nfs/dbraw/zinc/71/30/43/354713043.db2.gz UUXQZDSZAXSRPQ-MRXNPFEDSA-N 1 2 316.361 1.339 20 30 DDEDLO N#CC1(CC(=O)NC[C@@H](c2cccs2)[NH+]2CCOCC2)CC1 ZINC000590133130 355053467 /nfs/dbraw/zinc/05/34/67/355053467.db2.gz VWCWUQABVCJUGT-ZDUSSCGKSA-N 1 2 319.430 1.931 20 30 DDEDLO C[C@H](C(=O)N1CC(C#N)C1)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000590489470 355105516 /nfs/dbraw/zinc/10/55/16/355105516.db2.gz YLLFMHFTKMQTIS-CYBMUJFWSA-N 1 2 316.380 1.318 20 30 DDEDLO Cc1ccc(C#N)nc1NCC(C)(C)[NH+]1CCS(=O)CC1 ZINC000590577871 355119169 /nfs/dbraw/zinc/11/91/69/355119169.db2.gz IKNOIWSGDFAUCO-UHFFFAOYSA-N 1 2 306.435 1.517 20 30 DDEDLO CN1CCN(c2ncc3c(c2C#N)CCC3)C[C@H]1c1[nH]cc[nH+]1 ZINC000591824742 355392264 /nfs/dbraw/zinc/39/22/64/355392264.db2.gz RHYPJWUVALDCHW-HNNXBMFYSA-N 1 2 308.389 1.658 20 30 DDEDLO CCOC(=O)C[C@@H]1C[C@@H](OC)C[N@@H+]1Cc1ccncc1C#N ZINC000592107492 355501677 /nfs/dbraw/zinc/50/16/77/355501677.db2.gz JKMAKKLQQAJZNW-LSDHHAIUSA-N 1 2 303.362 1.496 20 30 DDEDLO CCOC(=O)C[C@@H]1C[C@@H](OC)C[N@H+]1Cc1ccncc1C#N ZINC000592107492 355501682 /nfs/dbraw/zinc/50/16/82/355501682.db2.gz JKMAKKLQQAJZNW-LSDHHAIUSA-N 1 2 303.362 1.496 20 30 DDEDLO N#Cc1cnccc1C[NH+]1CCC(n2ccc([N+](=O)[O-])n2)CC1 ZINC000592116665 355504729 /nfs/dbraw/zinc/50/47/29/355504729.db2.gz MOJZVLMWEIDHPM-UHFFFAOYSA-N 1 2 312.333 1.895 20 30 DDEDLO N#Cc1cnccc1C[N@H+]1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000592080566 355488683 /nfs/dbraw/zinc/48/86/83/355488683.db2.gz YJCWZRBZWABJDU-AWEZNQCLSA-N 1 2 324.344 1.943 20 30 DDEDLO N#Cc1cnccc1C[N@@H+]1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000592080566 355488684 /nfs/dbraw/zinc/48/86/84/355488684.db2.gz YJCWZRBZWABJDU-AWEZNQCLSA-N 1 2 324.344 1.943 20 30 DDEDLO CCOc1ccccc1NC(=O)[C@@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592147746 355512881 /nfs/dbraw/zinc/51/28/81/355512881.db2.gz RRPPICRQJALARS-DYVFJYSZSA-N 1 2 317.389 1.763 20 30 DDEDLO CCOc1ccccc1NC(=O)[C@@H](C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592147746 355512883 /nfs/dbraw/zinc/51/28/83/355512883.db2.gz RRPPICRQJALARS-DYVFJYSZSA-N 1 2 317.389 1.763 20 30 DDEDLO C[C@H](NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1)c1ccc(F)cc1 ZINC000592147282 355513016 /nfs/dbraw/zinc/51/30/16/355513016.db2.gz NEYPBYGMPNYILF-LRDDRELGSA-N 1 2 305.353 1.353 20 30 DDEDLO C[C@H](NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1)c1ccc(F)cc1 ZINC000592147282 355513019 /nfs/dbraw/zinc/51/30/19/355513019.db2.gz NEYPBYGMPNYILF-LRDDRELGSA-N 1 2 305.353 1.353 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148047 355513787 /nfs/dbraw/zinc/51/37/87/355513787.db2.gz QPQOARLYSSZEGI-CZUORRHYSA-N 1 2 304.394 1.068 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148047 355513790 /nfs/dbraw/zinc/51/37/90/355513790.db2.gz QPQOARLYSSZEGI-CZUORRHYSA-N 1 2 304.394 1.068 20 30 DDEDLO Cn1cc(-c2nc(C[N@@H+]3CC[C@](O)(CC#N)C3)cs2)cn1 ZINC000592148312 355515518 /nfs/dbraw/zinc/51/55/18/355515518.db2.gz XMBAULUUWIHWHC-CQSZACIVSA-N 1 2 303.391 1.394 20 30 DDEDLO Cn1cc(-c2nc(C[N@H+]3CC[C@](O)(CC#N)C3)cs2)cn1 ZINC000592148312 355515523 /nfs/dbraw/zinc/51/55/23/355515523.db2.gz XMBAULUUWIHWHC-CQSZACIVSA-N 1 2 303.391 1.394 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)NCc2ccc(Cl)cc2)C1 ZINC000592149748 355516106 /nfs/dbraw/zinc/51/61/06/355516106.db2.gz VWYISSOUMAYIAP-OAHLLOKOSA-N 1 2 307.781 1.307 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)NCc2ccc(Cl)cc2)C1 ZINC000592149748 355516110 /nfs/dbraw/zinc/51/61/10/355516110.db2.gz VWYISSOUMAYIAP-OAHLLOKOSA-N 1 2 307.781 1.307 20 30 DDEDLO CNC(=O)[C@]1(C(F)(F)F)CC[N@H+](C[C@@H](C#N)CCC#N)C1 ZINC000592184757 355528844 /nfs/dbraw/zinc/52/88/44/355528844.db2.gz PFHHKJBFKZVPEC-PWSUYJOCSA-N 1 2 302.300 1.430 20 30 DDEDLO CNC(=O)[C@]1(C(F)(F)F)CC[N@@H+](C[C@@H](C#N)CCC#N)C1 ZINC000592184757 355528847 /nfs/dbraw/zinc/52/88/47/355528847.db2.gz PFHHKJBFKZVPEC-PWSUYJOCSA-N 1 2 302.300 1.430 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc(F)cc2cccnc21 ZINC000593154729 355813031 /nfs/dbraw/zinc/81/30/31/355813031.db2.gz ARYXAABLVOMIPR-INIZCTEOSA-N 1 2 300.337 1.948 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@H](C[C@H]1CCCO1)C(F)(F)F ZINC000593153582 355813191 /nfs/dbraw/zinc/81/31/91/355813191.db2.gz VNZHMFWQXYZICA-MDZLAQPJSA-N 1 2 321.343 1.694 20 30 DDEDLO COC(=O)c1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1F ZINC000593154287 355813214 /nfs/dbraw/zinc/81/32/14/355813214.db2.gz FEDKQKXVMKHIRW-OAHLLOKOSA-N 1 2 307.325 1.186 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)NCC1(C#N)CCCC1)[NH+]1CCOCC1 ZINC000593339917 355858399 /nfs/dbraw/zinc/85/83/99/355858399.db2.gz WFMZPWOZCGGQIS-UONOGXRCSA-N 1 2 308.426 1.479 20 30 DDEDLO C[C@@H](CNC(=O)c1cccc(C#N)n1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594097806 356112345 /nfs/dbraw/zinc/11/23/45/356112345.db2.gz CKIWOEVVPMYUFQ-RWMBFGLXSA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@@H](CNC(=O)c1cccc(C#N)n1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594097806 356112347 /nfs/dbraw/zinc/11/23/47/356112347.db2.gz CKIWOEVVPMYUFQ-RWMBFGLXSA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@@H](CNC(=O)c1cnccc1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594097697 356112440 /nfs/dbraw/zinc/11/24/40/356112440.db2.gz ASAAZRCSQNQKIQ-RWMBFGLXSA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@@H](CNC(=O)c1cnccc1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594097697 356112442 /nfs/dbraw/zinc/11/24/42/356112442.db2.gz ASAAZRCSQNQKIQ-RWMBFGLXSA-N 1 2 302.378 1.181 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCC3CCN(CC#N)CC3)ccn12 ZINC000594302201 356168472 /nfs/dbraw/zinc/16/84/72/356168472.db2.gz LOPRCGHSMBJYRA-UHFFFAOYSA-N 1 2 311.389 1.608 20 30 DDEDLO COCCC1C[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000594718534 356295119 /nfs/dbraw/zinc/29/51/19/356295119.db2.gz RZNXPLHDTVARFW-UHFFFAOYSA-N 1 2 308.403 1.300 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCc2nsc3ccccc32)CC1 ZINC000595510493 356525543 /nfs/dbraw/zinc/52/55/43/356525543.db2.gz CWUHONSZKFDYLZ-UHFFFAOYSA-N 1 2 314.414 1.897 20 30 DDEDLO C=CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@@H](C)COC ZINC000595638517 356588903 /nfs/dbraw/zinc/58/89/03/356588903.db2.gz HRIGVYHZJDOWDC-ZDUSSCGKSA-N 1 2 323.418 1.250 20 30 DDEDLO C=CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@@H](C)COC ZINC000595638517 356588908 /nfs/dbraw/zinc/58/89/08/356588908.db2.gz HRIGVYHZJDOWDC-ZDUSSCGKSA-N 1 2 323.418 1.250 20 30 DDEDLO COc1ccccc1CN(C)C(=O)C[N@@H+]1CC[C@](C)(C#N)C1 ZINC000595833620 356669863 /nfs/dbraw/zinc/66/98/63/356669863.db2.gz HTHSRJJVYKBPMA-QGZVFWFLSA-N 1 2 301.390 1.889 20 30 DDEDLO COc1ccccc1CN(C)C(=O)C[N@H+]1CC[C@](C)(C#N)C1 ZINC000595833620 356669867 /nfs/dbraw/zinc/66/98/67/356669867.db2.gz HTHSRJJVYKBPMA-QGZVFWFLSA-N 1 2 301.390 1.889 20 30 DDEDLO Cn1ncc(C#N)c1N1CCC[N@H+](Cc2cscn2)CC1 ZINC000596108104 356774286 /nfs/dbraw/zinc/77/42/86/356774286.db2.gz LGUMSPBLYPVBTM-UHFFFAOYSA-N 1 2 302.407 1.461 20 30 DDEDLO Cn1ncc(C#N)c1N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000596108104 356774289 /nfs/dbraw/zinc/77/42/89/356774289.db2.gz LGUMSPBLYPVBTM-UHFFFAOYSA-N 1 2 302.407 1.461 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@H+]1C[C@H](C)OC[C@H]1C ZINC000596214460 356817757 /nfs/dbraw/zinc/81/77/57/356817757.db2.gz DRFZBBGUVOQARH-OLZOCXBDSA-N 1 2 301.456 1.314 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@@H+]1C[C@H](C)OC[C@H]1C ZINC000596214460 356817759 /nfs/dbraw/zinc/81/77/59/356817759.db2.gz DRFZBBGUVOQARH-OLZOCXBDSA-N 1 2 301.456 1.314 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000596126009 356783413 /nfs/dbraw/zinc/78/34/13/356783413.db2.gz GZSORGPBVUTUPD-KBPBESRZSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000596126009 356783415 /nfs/dbraw/zinc/78/34/15/356783415.db2.gz GZSORGPBVUTUPD-KBPBESRZSA-N 1 2 316.405 1.467 20 30 DDEDLO CC1(Cn2cc(C[NH+]3CCOCC3)cc(C#N)c2=O)CCC1 ZINC000596285684 356845511 /nfs/dbraw/zinc/84/55/11/356845511.db2.gz MCDUCOGGXJJCMD-UHFFFAOYSA-N 1 2 301.390 1.742 20 30 DDEDLO CN1CC[N@H+](Cc2ccccc2)[C@H](CNc2ccncc2C#N)C1 ZINC000596551821 356914331 /nfs/dbraw/zinc/91/43/31/356914331.db2.gz NJPKDXZITBOIOK-GOSISDBHSA-N 1 2 321.428 1.603 20 30 DDEDLO CN1CC[N@@H+](Cc2ccccc2)[C@H](CNc2ccncc2C#N)C1 ZINC000596551821 356914337 /nfs/dbraw/zinc/91/43/37/356914337.db2.gz NJPKDXZITBOIOK-GOSISDBHSA-N 1 2 321.428 1.603 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1cc(F)c(C#N)c(F)c1 ZINC000597577694 357235226 /nfs/dbraw/zinc/23/52/26/357235226.db2.gz FNXIBZQPBQNWDF-NSHDSACASA-N 1 2 323.343 1.629 20 30 DDEDLO CC(C)(C#N)CC(=O)NC[C@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000597640231 357264974 /nfs/dbraw/zinc/26/49/74/357264974.db2.gz IXMGXELBZZWWBP-GFCCVEGCSA-N 1 2 305.382 1.338 20 30 DDEDLO CC(C)(C#N)CC(=O)NC[C@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000597640231 357264976 /nfs/dbraw/zinc/26/49/76/357264976.db2.gz IXMGXELBZZWWBP-GFCCVEGCSA-N 1 2 305.382 1.338 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Cc2c(F)cccc2C#N)[C@@H](C)CO1 ZINC000597999421 357415218 /nfs/dbraw/zinc/41/52/18/357415218.db2.gz WPFFNFFDDRWQIE-STQMWFEESA-N 1 2 319.380 1.465 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Cc2c(F)cccc2C#N)[C@@H](C)CO1 ZINC000597999421 357415221 /nfs/dbraw/zinc/41/52/21/357415221.db2.gz WPFFNFFDDRWQIE-STQMWFEESA-N 1 2 319.380 1.465 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000598000262 357415887 /nfs/dbraw/zinc/41/58/87/357415887.db2.gz YREAZVAANHTCGZ-MNOVXSKESA-N 1 2 323.343 1.675 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000598000262 357415888 /nfs/dbraw/zinc/41/58/88/357415888.db2.gz YREAZVAANHTCGZ-MNOVXSKESA-N 1 2 323.343 1.675 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[N@@H+]1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329657871 223010363 /nfs/dbraw/zinc/01/03/63/223010363.db2.gz NJWQBUJOAOLNOJ-MNOVXSKESA-N 1 2 307.398 1.977 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[N@H+]1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329657871 223010366 /nfs/dbraw/zinc/01/03/66/223010366.db2.gz NJWQBUJOAOLNOJ-MNOVXSKESA-N 1 2 307.398 1.977 20 30 DDEDLO CC(C)c1nnc([C@@H]2C[N@H+](C[C@H](O)CC(C)(C)C#N)CCO2)o1 ZINC000598610939 357652461 /nfs/dbraw/zinc/65/24/61/357652461.db2.gz KSIUNRBUHBBTHT-OLZOCXBDSA-N 1 2 322.409 1.867 20 30 DDEDLO CC(C)c1nnc([C@@H]2C[N@@H+](C[C@H](O)CC(C)(C)C#N)CCO2)o1 ZINC000598610939 357652465 /nfs/dbraw/zinc/65/24/65/357652465.db2.gz KSIUNRBUHBBTHT-OLZOCXBDSA-N 1 2 322.409 1.867 20 30 DDEDLO CO[C@@H]1C[C@@H](c2nnc(C)[nH]2)[N@H+](C[C@H](O)CC(C)(C)C#N)C1 ZINC000598618736 357656644 /nfs/dbraw/zinc/65/66/44/357656644.db2.gz PIDGUAABBRDCFV-UPJWGTAASA-N 1 2 307.398 1.176 20 30 DDEDLO CO[C@@H]1C[C@@H](c2nnc(C)[nH]2)[N@@H+](C[C@H](O)CC(C)(C)C#N)C1 ZINC000598618736 357656646 /nfs/dbraw/zinc/65/66/46/357656646.db2.gz PIDGUAABBRDCFV-UPJWGTAASA-N 1 2 307.398 1.176 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](C)[C@H](CO)C1 ZINC000598646039 357667532 /nfs/dbraw/zinc/66/75/32/357667532.db2.gz JKTNWAHBCOHGJK-RCBQFDQVSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](C)[C@H](CO)C1 ZINC000598646039 357667535 /nfs/dbraw/zinc/66/75/35/357667535.db2.gz JKTNWAHBCOHGJK-RCBQFDQVSA-N 1 2 302.374 1.723 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1CO ZINC000598648281 357669386 /nfs/dbraw/zinc/66/93/86/357669386.db2.gz FHXIBSNBVPDBCV-HIFRSBDPSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1CO ZINC000598648281 357669388 /nfs/dbraw/zinc/66/93/88/357669388.db2.gz FHXIBSNBVPDBCV-HIFRSBDPSA-N 1 2 322.430 1.282 20 30 DDEDLO C[NH+](C)Cc1nc(C(C)(C)NC(=O)[C@@H]2C[C@]23CCOC3)no1 ZINC000329725792 223019060 /nfs/dbraw/zinc/01/90/60/223019060.db2.gz GJWRZXNEAMPBHY-BONVTDFDSA-N 1 2 308.382 1.749 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cccc2c1OC(F)(F)O2 ZINC000598836223 357740247 /nfs/dbraw/zinc/74/02/47/357740247.db2.gz CTPNHKCFGSNBMP-ZDUSSCGKSA-N 1 2 311.288 1.582 20 30 DDEDLO Cn1cc(NC(=O)[C@H](c2ccccc2)[NH+]2CCC(O)CC2)nn1 ZINC000329757179 223024361 /nfs/dbraw/zinc/02/43/61/223024361.db2.gz ZEOOCVNBEYYQFX-HNNXBMFYSA-N 1 2 315.377 1.601 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)N[C@H](CCC#N)c1ccccc1 ZINC000599012721 357793352 /nfs/dbraw/zinc/79/33/52/357793352.db2.gz BISBVUOVCKETCI-JKSUJKDBSA-N 1 2 316.405 1.661 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)N[C@H](CCC#N)c1ccccc1 ZINC000599012721 357793358 /nfs/dbraw/zinc/79/33/58/357793358.db2.gz BISBVUOVCKETCI-JKSUJKDBSA-N 1 2 316.405 1.661 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1nc2cc(Cl)ccn2c1C#N ZINC000599160109 357833905 /nfs/dbraw/zinc/83/39/05/357833905.db2.gz FGZYZOATPRSGSL-NSHDSACASA-N 1 2 305.769 1.602 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1nc2cc(Cl)ccn2c1C#N ZINC000599160109 357833908 /nfs/dbraw/zinc/83/39/08/357833908.db2.gz FGZYZOATPRSGSL-NSHDSACASA-N 1 2 305.769 1.602 20 30 DDEDLO N#Cc1nccnc1NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000599184025 357842467 /nfs/dbraw/zinc/84/24/67/357842467.db2.gz WAASVDWRNMDIJT-CQSZACIVSA-N 1 2 310.361 1.056 20 30 DDEDLO N#Cc1nccnc1NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000599184025 357842470 /nfs/dbraw/zinc/84/24/70/357842470.db2.gz WAASVDWRNMDIJT-CQSZACIVSA-N 1 2 310.361 1.056 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)N(C)C(=O)NC[C@H]1COCC[N@@H+]1C ZINC000599192815 357846047 /nfs/dbraw/zinc/84/60/47/357846047.db2.gz IGJRZPLHKOEPDR-BBRMVZONSA-N 1 2 316.405 1.591 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)N(C)C(=O)NC[C@H]1COCC[N@H+]1C ZINC000599192815 357846050 /nfs/dbraw/zinc/84/60/50/357846050.db2.gz IGJRZPLHKOEPDR-BBRMVZONSA-N 1 2 316.405 1.591 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cc(CO)ccc1F ZINC000599307975 357887062 /nfs/dbraw/zinc/88/70/62/357887062.db2.gz PJUVHXGDKSMMEL-UHFFFAOYSA-N 1 2 321.396 1.366 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]C[C@H](O)COc2ccccc2C#N)o1 ZINC000599372568 357913886 /nfs/dbraw/zinc/91/38/86/357913886.db2.gz WUMAWJRFNNJAOC-MFKMUULPSA-N 1 2 302.334 1.340 20 30 DDEDLO COc1ccc(C#N)cc1CN1CC[NH+](Cc2cn[nH]c2)CC1 ZINC000599439048 357937309 /nfs/dbraw/zinc/93/73/09/357937309.db2.gz JHNFAKODTYBGMX-UHFFFAOYSA-N 1 2 311.389 1.608 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(Cc2cn[nH]c2)CC1 ZINC000599439048 357937312 /nfs/dbraw/zinc/93/73/12/357937312.db2.gz JHNFAKODTYBGMX-UHFFFAOYSA-N 1 2 311.389 1.608 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCc2c(O)cccc2C1 ZINC000599646796 358006130 /nfs/dbraw/zinc/00/61/30/358006130.db2.gz LUTQSUXXFNXLQH-ZDUSSCGKSA-N 1 2 301.390 1.758 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCc2c(O)cccc2C1 ZINC000599646796 358006132 /nfs/dbraw/zinc/00/61/32/358006132.db2.gz LUTQSUXXFNXLQH-ZDUSSCGKSA-N 1 2 301.390 1.758 20 30 DDEDLO N#CCC[N@H+](CCN1CCOCC1)Cc1cccc2n[nH]cc21 ZINC000600105024 358123654 /nfs/dbraw/zinc/12/36/54/358123654.db2.gz UUJBWNHFHLDFBJ-UHFFFAOYSA-N 1 2 313.405 1.611 20 30 DDEDLO N#CCC[N@@H+](CCN1CCOCC1)Cc1cccc2n[nH]cc21 ZINC000600105024 358123659 /nfs/dbraw/zinc/12/36/59/358123659.db2.gz UUJBWNHFHLDFBJ-UHFFFAOYSA-N 1 2 313.405 1.611 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cccc2n[nH]cc21 ZINC000600105024 358123662 /nfs/dbraw/zinc/12/36/62/358123662.db2.gz UUJBWNHFHLDFBJ-UHFFFAOYSA-N 1 2 313.405 1.611 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)C2(C#N)CC3(CC3)C2)CC1 ZINC000601041179 358391300 /nfs/dbraw/zinc/39/13/00/358391300.db2.gz VNEHBXSJOOHSAA-UHFFFAOYSA-N 1 2 313.405 1.148 20 30 DDEDLO N#CCc1ccccc1S(=O)(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000601395905 358534834 /nfs/dbraw/zinc/53/48/34/358534834.db2.gz RSBIGQDFXAMQBS-ZDUSSCGKSA-N 1 2 316.386 1.242 20 30 DDEDLO N#CC[C@]1(O)CCN(C(=O)Cc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000601651513 358637557 /nfs/dbraw/zinc/63/75/57/358637557.db2.gz GXJFTMRQQSOJED-KRWDZBQOSA-N 1 2 310.357 1.292 20 30 DDEDLO N#Cc1cc(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)c[nH]1 ZINC000181197671 199263866 /nfs/dbraw/zinc/26/38/66/199263866.db2.gz SRHMUYYEWOSKSM-UHFFFAOYSA-N 1 2 311.345 1.048 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000601730847 358667932 /nfs/dbraw/zinc/66/79/32/358667932.db2.gz WSJIZBJPXUCMTG-UHFFFAOYSA-N 1 2 324.384 1.560 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)OC ZINC000601780906 358692517 /nfs/dbraw/zinc/69/25/17/358692517.db2.gz FEVYWPHRAOUPAQ-NEPJUHHUSA-N 1 2 300.424 1.048 20 30 DDEDLO CN1CCCC[C@H]([N@H+](C)CC(=O)NC2(C#N)CCCCC2)C1=O ZINC000601972368 358760478 /nfs/dbraw/zinc/76/04/78/358760478.db2.gz ZNHFSNBUROMDCJ-AWEZNQCLSA-N 1 2 320.437 1.272 20 30 DDEDLO CN1CCCC[C@H]([N@@H+](C)CC(=O)NC2(C#N)CCCCC2)C1=O ZINC000601972368 358760484 /nfs/dbraw/zinc/76/04/84/358760484.db2.gz ZNHFSNBUROMDCJ-AWEZNQCLSA-N 1 2 320.437 1.272 20 30 DDEDLO N#CCCN1CCN(C(=O)c2ccc(Cn3cc[nH+]c3)cc2)CC1 ZINC000602205804 358883176 /nfs/dbraw/zinc/88/31/76/358883176.db2.gz FNRHASRHVPHNEP-UHFFFAOYSA-N 1 2 323.400 1.603 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C(=O)OCC)no2)C1=O ZINC000602649643 359099960 /nfs/dbraw/zinc/09/99/60/359099960.db2.gz XDCDTFLGSFMMOA-ZDUSSCGKSA-N 1 2 307.350 1.070 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C(=O)OCC)no2)C1=O ZINC000602649643 359099964 /nfs/dbraw/zinc/09/99/64/359099964.db2.gz XDCDTFLGSFMMOA-ZDUSSCGKSA-N 1 2 307.350 1.070 20 30 DDEDLO COC(=O)c1ccc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)nc1 ZINC000602849562 359236543 /nfs/dbraw/zinc/23/65/43/359236543.db2.gz MGUGDMNKORVEFT-BETUJISGSA-N 1 2 302.378 1.286 20 30 DDEDLO COC(=O)c1ccc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)nc1 ZINC000602849562 359236546 /nfs/dbraw/zinc/23/65/46/359236546.db2.gz MGUGDMNKORVEFT-BETUJISGSA-N 1 2 302.378 1.286 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)N(C)Cc2ccccc2)C[C@@H](C)N1CC#N ZINC000602856011 359242612 /nfs/dbraw/zinc/24/26/12/359242612.db2.gz XTUSDMOZCFDJDQ-HZPDHXFCSA-N 1 2 314.433 1.563 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)N(C)c2ccccc2)C[C@@H](C)N1CC#N ZINC000602857141 359243372 /nfs/dbraw/zinc/24/33/72/359243372.db2.gz OXPMVJNLAJABQS-HUUCEWRRSA-N 1 2 300.406 1.568 20 30 DDEDLO CCOc1ccc(OCCNC(=O)C[NH2+][C@H](C)CC#N)cc1 ZINC000602861674 359246043 /nfs/dbraw/zinc/24/60/43/359246043.db2.gz HDRCQEVCAVIIGG-CYBMUJFWSA-N 1 2 305.378 1.472 20 30 DDEDLO CCc1ccccc1NC(=O)CNC(=O)C[NH2+][C@H](C)CC#N ZINC000602861656 359246426 /nfs/dbraw/zinc/24/64/26/359246426.db2.gz GYPPGHUJWJMYJZ-GFCCVEGCSA-N 1 2 302.378 1.195 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@H](C)C(=O)N[C@@H](C)c1ccc2c(c1)OCCO2 ZINC000602864639 359249219 /nfs/dbraw/zinc/24/92/19/359249219.db2.gz TXNDNRQZLCOUCA-FRRDWIJNSA-N 1 2 317.389 1.915 20 30 DDEDLO Cc1cc(C[NH+]2CCN(CC(F)(F)CO)CC2)ccc1C#N ZINC000602874706 359257487 /nfs/dbraw/zinc/25/74/87/359257487.db2.gz IQEAQHCCOGZWIV-UHFFFAOYSA-N 1 2 309.360 1.612 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2cccc(F)c2)CC1 ZINC000603012814 359356542 /nfs/dbraw/zinc/35/65/42/359356542.db2.gz FIBQMISLBGTBST-AWEZNQCLSA-N 1 2 318.396 1.708 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCN(c3cccc(F)c3)CC2)CCC1 ZINC000603017885 359359897 /nfs/dbraw/zinc/35/98/97/359359897.db2.gz YOCQYXXINHZKOL-UHFFFAOYSA-N 1 2 316.380 1.510 20 30 DDEDLO C[N@H+](CC(=O)NCCOc1ccc(F)cc1)[C@H]1CCC[C@H]1C#N ZINC000602978370 359336747 /nfs/dbraw/zinc/33/67/47/359336747.db2.gz PQTTZZLGMJXEGN-BBRMVZONSA-N 1 2 319.380 1.945 20 30 DDEDLO C[N@@H+](CC(=O)NCCOc1ccc(F)cc1)[C@H]1CCC[C@H]1C#N ZINC000602978370 359336750 /nfs/dbraw/zinc/33/67/50/359336750.db2.gz PQTTZZLGMJXEGN-BBRMVZONSA-N 1 2 319.380 1.945 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@H+](Cc2ccc(CC#N)cc2)CCO1 ZINC000603004246 359351570 /nfs/dbraw/zinc/35/15/70/359351570.db2.gz NJQCYOZSWSNRFG-KRWDZBQOSA-N 1 2 302.374 1.907 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@@H+](Cc2ccc(CC#N)cc2)CCO1 ZINC000603004246 359351573 /nfs/dbraw/zinc/35/15/73/359351573.db2.gz NJQCYOZSWSNRFG-KRWDZBQOSA-N 1 2 302.374 1.907 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)nn1 ZINC000603177062 359459811 /nfs/dbraw/zinc/45/98/11/359459811.db2.gz QGPBKYXDEHYADN-BBRMVZONSA-N 1 2 316.409 1.006 20 30 DDEDLO N#CCCN(Cc1cccnc1)S(=O)(=O)CCn1cc[nH+]c1 ZINC000603267817 359528275 /nfs/dbraw/zinc/52/82/75/359528275.db2.gz CXKDOXYMDDABSN-UHFFFAOYSA-N 1 2 319.390 1.024 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)NCC2(C#N)CC2)C1 ZINC000603324950 359569694 /nfs/dbraw/zinc/56/96/94/359569694.db2.gz UBOAPADIWRXRRX-UHFFFAOYSA-N 1 2 305.403 1.257 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)NCC2(C#N)CC2)C1 ZINC000603324950 359569696 /nfs/dbraw/zinc/56/96/96/359569696.db2.gz UBOAPADIWRXRRX-UHFFFAOYSA-N 1 2 305.403 1.257 20 30 DDEDLO CO[C@H]1C[C@H](C(=O)NC[C@H]2CCCn3cc[nH+]c32)N(C(C)=O)C1 ZINC000329876811 223041720 /nfs/dbraw/zinc/04/17/20/223041720.db2.gz IJZLARJBXAINFG-HZSPNIEDSA-N 1 2 320.393 1.353 20 30 DDEDLO Cc1nccc(CNC(=O)NC[C@H]2CCc3[nH+]ccn3C2)n1 ZINC000329907272 223045030 /nfs/dbraw/zinc/04/50/30/223045030.db2.gz KUJOMORWIAIPJW-GFCCVEGCSA-N 1 2 300.366 1.248 20 30 DDEDLO Cc1cc(=O)n(C)cc1NC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000329965587 223054598 /nfs/dbraw/zinc/05/45/98/223054598.db2.gz UJZZXCRFSCBELZ-UHFFFAOYSA-N 1 2 315.377 1.842 20 30 DDEDLO Cc1cc(=O)n(C)cc1NC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000329965587 223054600 /nfs/dbraw/zinc/05/46/00/223054600.db2.gz UJZZXCRFSCBELZ-UHFFFAOYSA-N 1 2 315.377 1.842 20 30 DDEDLO Cc1cccn2cc(CC(=O)NC3CCN(CC#N)CC3)[nH+]c12 ZINC000609329592 360293875 /nfs/dbraw/zinc/29/38/75/360293875.db2.gz AJECDQIYIXMYGJ-UHFFFAOYSA-N 1 2 311.389 1.289 20 30 DDEDLO CCC(CC)NC(=O)[C@@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609485026 360313043 /nfs/dbraw/zinc/31/30/43/360313043.db2.gz XHCIVARXVPMZJG-GDBMZVCRSA-N 1 2 308.470 1.845 20 30 DDEDLO CCc1nnc(NCC[N@@H+]2CCOC[C@@H]2C)c(C#N)c1CC ZINC000610715374 360514594 /nfs/dbraw/zinc/51/45/94/360514594.db2.gz BERARANJQWAFMZ-LBPRGKRZSA-N 1 2 303.410 1.606 20 30 DDEDLO CCc1nnc(NCC[N@H+]2CCOC[C@@H]2C)c(C#N)c1CC ZINC000610715374 360514595 /nfs/dbraw/zinc/51/45/95/360514595.db2.gz BERARANJQWAFMZ-LBPRGKRZSA-N 1 2 303.410 1.606 20 30 DDEDLO COc1cc2c(cc1OC)C[N@H+](CC(=O)NC[C@@H](C)C#N)CC2 ZINC000610891463 360565099 /nfs/dbraw/zinc/56/50/99/360565099.db2.gz MNDKDZHQCBYVLJ-LBPRGKRZSA-N 1 2 317.389 1.338 20 30 DDEDLO COc1cc2c(cc1OC)C[N@@H+](CC(=O)NC[C@@H](C)C#N)CC2 ZINC000610891463 360565101 /nfs/dbraw/zinc/56/51/01/360565101.db2.gz MNDKDZHQCBYVLJ-LBPRGKRZSA-N 1 2 317.389 1.338 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H](OC(F)F)C1 ZINC000611579003 360766472 /nfs/dbraw/zinc/76/64/72/360766472.db2.gz GXASNIGJMULSRN-BXUZGUMPSA-N 1 2 303.353 1.744 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H](OC(F)F)C1 ZINC000611579003 360766473 /nfs/dbraw/zinc/76/64/73/360766473.db2.gz GXASNIGJMULSRN-BXUZGUMPSA-N 1 2 303.353 1.744 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@@H+]2CCC[C@](C)(C#N)C2)C1 ZINC000612167727 360938584 /nfs/dbraw/zinc/93/85/84/360938584.db2.gz VUHJLULBHSLPGA-WMLDXEAASA-N 1 2 321.421 1.414 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@H+]2CCC[C@](C)(C#N)C2)C1 ZINC000612167727 360938589 /nfs/dbraw/zinc/93/85/89/360938589.db2.gz VUHJLULBHSLPGA-WMLDXEAASA-N 1 2 321.421 1.414 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000330852848 223148776 /nfs/dbraw/zinc/14/87/76/223148776.db2.gz GEPAZTZXADLHFM-PWSUYJOCSA-N 1 2 307.316 1.136 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000330852848 223148777 /nfs/dbraw/zinc/14/87/77/223148777.db2.gz GEPAZTZXADLHFM-PWSUYJOCSA-N 1 2 307.316 1.136 20 30 DDEDLO C[C@H](C(=O)N[C@@H](C#N)c1ccc(F)cc1)[NH+]1CCSCC1 ZINC000331297894 223200885 /nfs/dbraw/zinc/20/08/85/223200885.db2.gz ZKPYECFUUMCIIY-RISCZKNCSA-N 1 2 307.394 1.944 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)N[C@@H]2CCC[C@@H]2C#N)CC1 ZINC000332101391 223210796 /nfs/dbraw/zinc/21/07/96/223210796.db2.gz CNQRMPWEZLOXRT-HUUCEWRRSA-N 1 2 313.405 1.914 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@H+]3CCC[C@]34CCNC4=O)o2)cc1 ZINC000565900719 304102354 /nfs/dbraw/zinc/10/23/54/304102354.db2.gz RYAAAZIUFXNBRC-QGZVFWFLSA-N 1 2 323.356 1.463 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@@H+]3CCC[C@]34CCNC4=O)o2)cc1 ZINC000565900719 304102356 /nfs/dbraw/zinc/10/23/56/304102356.db2.gz RYAAAZIUFXNBRC-QGZVFWFLSA-N 1 2 323.356 1.463 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000193356669 201037613 /nfs/dbraw/zinc/03/76/13/201037613.db2.gz HGVRGHMJBUTZQO-OAHLLOKOSA-N 1 2 300.362 1.097 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000193356669 201037615 /nfs/dbraw/zinc/03/76/15/201037615.db2.gz HGVRGHMJBUTZQO-OAHLLOKOSA-N 1 2 300.362 1.097 20 30 DDEDLO CC(C)[NH+]1CCN(S(=O)(=O)c2cccc(CC#N)c2)CC1 ZINC000619475561 364027807 /nfs/dbraw/zinc/02/78/07/364027807.db2.gz NCIQBSALFVGRPJ-UHFFFAOYSA-N 1 2 307.419 1.467 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(NC(=O)c2ccccc2)CC1 ZINC000619716041 364126408 /nfs/dbraw/zinc/12/64/08/364126408.db2.gz LAWVJRNMDCSUED-OAHLLOKOSA-N 1 2 302.374 1.608 20 30 DDEDLO C[C@H](C#N)C(=O)NC1(c2noc(C[NH+](C)C)n2)CCCCCC1 ZINC000342644480 223312197 /nfs/dbraw/zinc/31/21/97/223312197.db2.gz SHUMDXGMHZXORR-GFCCVEGCSA-N 1 2 319.409 1.957 20 30 DDEDLO Cc1ncsc1C[N@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000091936864 193128914 /nfs/dbraw/zinc/12/89/14/193128914.db2.gz YPEJBGJRYCRJMN-NSHDSACASA-N 1 2 314.418 1.824 20 30 DDEDLO Cc1ncsc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000091936864 193128916 /nfs/dbraw/zinc/12/89/16/193128916.db2.gz YPEJBGJRYCRJMN-NSHDSACASA-N 1 2 314.418 1.824 20 30 DDEDLO C#CCCOc1ccc(C[NH+]2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000093706742 193295811 /nfs/dbraw/zinc/29/58/11/193295811.db2.gz NLSSDCAWZNVOBT-HNNXBMFYSA-N 1 2 316.401 1.114 20 30 DDEDLO N#Cc1ccc(N2CC[NH+]([C@@H](C(N)=O)c3ccccc3)CC2)cn1 ZINC000267399671 206037208 /nfs/dbraw/zinc/03/72/08/206037208.db2.gz PDLKNMCURBLXNJ-QGZVFWFLSA-N 1 2 321.384 1.302 20 30 DDEDLO C#CC[N@@H+](CC(=O)N(C)CCOc1ccccc1F)C1CC1 ZINC000274133801 211387165 /nfs/dbraw/zinc/38/71/65/211387165.db2.gz ITPITAUGWSVLCM-UHFFFAOYSA-N 1 2 304.365 1.761 20 30 DDEDLO C#CC[N@H+](CC(=O)N(C)CCOc1ccccc1F)C1CC1 ZINC000274133801 211387169 /nfs/dbraw/zinc/38/71/69/211387169.db2.gz ITPITAUGWSVLCM-UHFFFAOYSA-N 1 2 304.365 1.761 20 30 DDEDLO N#Cc1cscc1C(=O)N[C@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000567583934 304227558 /nfs/dbraw/zinc/22/75/58/304227558.db2.gz AQDFRAWZCXIGMG-GJZGRUSLSA-N 1 2 319.430 1.993 20 30 DDEDLO N#Cc1cccc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC000110953566 194372895 /nfs/dbraw/zinc/37/28/95/194372895.db2.gz ZQJLARHVVFNUEN-QGZVFWFLSA-N 1 2 313.401 1.885 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000060481179 184150052 /nfs/dbraw/zinc/15/00/52/184150052.db2.gz MYMICDUSTSNTFE-CYBMUJFWSA-N 1 2 315.421 1.378 20 30 DDEDLO COc1cc[nH]c(=O)c1C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000330617887 533071403 /nfs/dbraw/zinc/07/14/03/533071403.db2.gz XMGBELXKERVWRP-GHMZBOCLSA-N 1 2 309.366 1.210 20 30 DDEDLO N#Cc1cccnc1N1CCN(c2cc(NC3CC3)[nH+]cn2)CC1 ZINC000413033065 224122117 /nfs/dbraw/zinc/12/21/17/224122117.db2.gz LPZTXKGGSFDFOK-UHFFFAOYSA-N 1 2 321.388 1.644 20 30 DDEDLO N#Cc1cccnc1N1CCN(c2cc(NC3CC3)nc[nH+]2)CC1 ZINC000413033065 224122118 /nfs/dbraw/zinc/12/21/18/224122118.db2.gz LPZTXKGGSFDFOK-UHFFFAOYSA-N 1 2 321.388 1.644 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)Nc2cccc(OC)c2)CC1 ZINC000341992114 533109099 /nfs/dbraw/zinc/10/90/99/533109099.db2.gz ONCRYUVIIQJLPD-UHFFFAOYSA-N 1 2 317.389 1.886 20 30 DDEDLO COC(=O)C(C)(C)CCN(CCC#N)CC[NH+]1CCOCC1 ZINC000338545853 533126952 /nfs/dbraw/zinc/12/69/52/533126952.db2.gz JEEWMHHBEMJKGM-UHFFFAOYSA-N 1 2 311.426 1.124 20 30 DDEDLO COC(=O)C(C)(C)CC[N@H+](CCC#N)CCN1CCOCC1 ZINC000338545853 533126957 /nfs/dbraw/zinc/12/69/57/533126957.db2.gz JEEWMHHBEMJKGM-UHFFFAOYSA-N 1 2 311.426 1.124 20 30 DDEDLO COC(=O)C(C)(C)CC[N@@H+](CCC#N)CCN1CCOCC1 ZINC000338545853 533126960 /nfs/dbraw/zinc/12/69/60/533126960.db2.gz JEEWMHHBEMJKGM-UHFFFAOYSA-N 1 2 311.426 1.124 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000633205986 422807477 /nfs/dbraw/zinc/80/74/77/422807477.db2.gz AACPHEMYLXIDQH-OAHLLOKOSA-N 1 2 317.437 1.701 20 30 DDEDLO Cc1cc(NC[C@H]2C[NH+]3CCN2CC3)c(C#N)cc1[N+](=O)[O-] ZINC000413201828 224153781 /nfs/dbraw/zinc/15/37/81/224153781.db2.gz LMZGUZTYRCCWKD-ZDUSSCGKSA-N 1 2 301.350 1.187 20 30 DDEDLO C[C@@H](C(=O)Nc1ncccn1)[N@@H+]1C[C@]2(CCOC2)OC(C)(C)C1 ZINC000330160921 533360885 /nfs/dbraw/zinc/36/08/85/533360885.db2.gz SMXYIYANPTWINW-LRDDRELGSA-N 1 2 320.393 1.723 20 30 DDEDLO C[C@@H](C(=O)Nc1ncccn1)[N@H+]1C[C@]2(CCOC2)OC(C)(C)C1 ZINC000330160921 533360889 /nfs/dbraw/zinc/36/08/89/533360889.db2.gz SMXYIYANPTWINW-LRDDRELGSA-N 1 2 320.393 1.723 20 30 DDEDLO CS(=O)(=O)c1ccc(OCC[NH+]2CCC(C#N)CC2)cc1 ZINC000330499522 533451817 /nfs/dbraw/zinc/45/18/17/533451817.db2.gz JGIUMJDDXDZWTK-UHFFFAOYSA-N 1 2 308.403 1.704 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNC(=O)c2csnc2C)C1 ZINC000330604296 533506695 /nfs/dbraw/zinc/50/66/95/533506695.db2.gz LIPOYKXDSIAYOW-LLVKDONJSA-N 1 2 311.407 1.641 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNC(=O)c2csnc2C)C1 ZINC000330604296 533506701 /nfs/dbraw/zinc/50/67/01/533506701.db2.gz LIPOYKXDSIAYOW-LLVKDONJSA-N 1 2 311.407 1.641 20 30 DDEDLO N#Cc1cccc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000568595063 304300310 /nfs/dbraw/zinc/30/03/10/304300310.db2.gz JDVHMDFDWZHAQI-GASCZTMLSA-N 1 2 300.362 1.543 20 30 DDEDLO N#Cc1cccc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000568595063 304300311 /nfs/dbraw/zinc/30/03/11/304300311.db2.gz JDVHMDFDWZHAQI-GASCZTMLSA-N 1 2 300.362 1.543 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N(Cc1ccco1)CC(F)(F)F ZINC000076185280 406958108 /nfs/dbraw/zinc/95/81/08/406958108.db2.gz XJZPVTXRMAJPQD-UHFFFAOYSA-N 1 2 312.291 1.739 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1ccc(C(F)(F)F)cc1 ZINC000078639919 407037963 /nfs/dbraw/zinc/03/79/63/407037963.db2.gz XGNGIMWBNONBED-UHFFFAOYSA-N 1 2 317.311 1.826 20 30 DDEDLO CC(C)(C)[C@@H](C#N)NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000085439583 407100908 /nfs/dbraw/zinc/10/09/08/407100908.db2.gz MIXXTAQZUSXXDZ-JKSUJKDBSA-N 1 2 315.417 1.942 20 30 DDEDLO CC(C)(C)[C@@H](C#N)NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000085439583 407100910 /nfs/dbraw/zinc/10/09/10/407100910.db2.gz MIXXTAQZUSXXDZ-JKSUJKDBSA-N 1 2 315.417 1.942 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)NCCn2cc[nH+]c2)cc1Cl ZINC000048918196 407110667 /nfs/dbraw/zinc/11/06/67/407110667.db2.gz AKCQRZVQXNXWMZ-UHFFFAOYSA-N 1 2 317.736 1.163 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2cccc(C)c2)CC1 ZINC000079927150 407065730 /nfs/dbraw/zinc/06/57/30/407065730.db2.gz DLFUZUXHBKFDTN-UHFFFAOYSA-N 1 2 314.433 1.879 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCCN(CC(F)F)CC1 ZINC000091084269 407176747 /nfs/dbraw/zinc/17/67/47/407176747.db2.gz ULKXQZKIJUPBDO-CYBMUJFWSA-N 1 2 316.396 1.267 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCCN(CC(F)F)CC1 ZINC000091084269 407176749 /nfs/dbraw/zinc/17/67/49/407176749.db2.gz ULKXQZKIJUPBDO-CYBMUJFWSA-N 1 2 316.396 1.267 20 30 DDEDLO C#CCOc1ccc(C[N@@H+](C)Cc2nc(CCOC)no2)cc1 ZINC000093587328 407197898 /nfs/dbraw/zinc/19/78/98/407197898.db2.gz CTOCCGKAGWFXNC-UHFFFAOYSA-N 1 2 315.373 1.903 20 30 DDEDLO C#CCOc1ccc(C[N@H+](C)Cc2nc(CCOC)no2)cc1 ZINC000093587328 407197901 /nfs/dbraw/zinc/19/79/01/407197901.db2.gz CTOCCGKAGWFXNC-UHFFFAOYSA-N 1 2 315.373 1.903 20 30 DDEDLO N#Cc1ccccc1N1CCC[N@@H+](Cc2nccc(N)n2)CC1 ZINC000122563497 407304876 /nfs/dbraw/zinc/30/48/76/407304876.db2.gz IWCLAUSERBNGMT-UHFFFAOYSA-N 1 2 308.389 1.643 20 30 DDEDLO N#Cc1ccccc1N1CCC[N@H+](Cc2nccc(N)n2)CC1 ZINC000122563497 407304878 /nfs/dbraw/zinc/30/48/78/407304878.db2.gz IWCLAUSERBNGMT-UHFFFAOYSA-N 1 2 308.389 1.643 20 30 DDEDLO C=C(C)COCCNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000123620830 407334400 /nfs/dbraw/zinc/33/44/00/407334400.db2.gz VOUBUSSXCHCRAM-CQSZACIVSA-N 1 2 304.394 1.552 20 30 DDEDLO N#Cc1cc(F)ccc1S(=O)(=O)N1CCc2c[nH+]ccc21 ZINC000125202364 407381402 /nfs/dbraw/zinc/38/14/02/407381402.db2.gz OYYPYBIVVSGHQY-UHFFFAOYSA-N 1 2 303.318 1.844 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(c2ccc(Cl)c(C#N)n2)CC1 ZINC000109129697 407394899 /nfs/dbraw/zinc/39/48/99/407394899.db2.gz XTGKDMYWWXBLKF-UHFFFAOYSA-N 1 2 316.796 1.662 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000111270253 407404754 /nfs/dbraw/zinc/40/47/54/407404754.db2.gz RGMQZIFANDHSHR-NSHDSACASA-N 1 2 306.391 1.016 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000111270253 407404755 /nfs/dbraw/zinc/40/47/55/407404755.db2.gz RGMQZIFANDHSHR-NSHDSACASA-N 1 2 306.391 1.016 20 30 DDEDLO CN1CC[N@H+](Cc2cn(CCC#N)nc2-c2ccccc2)CC1=O ZINC000127319704 407433937 /nfs/dbraw/zinc/43/39/37/407433937.db2.gz ONBBLCKZSKWPJJ-UHFFFAOYSA-N 1 2 323.400 1.738 20 30 DDEDLO CN1CC[N@@H+](Cc2cn(CCC#N)nc2-c2ccccc2)CC1=O ZINC000127319704 407433938 /nfs/dbraw/zinc/43/39/38/407433938.db2.gz ONBBLCKZSKWPJJ-UHFFFAOYSA-N 1 2 323.400 1.738 20 30 DDEDLO Cc1cc(N)nc(SC[C@H](O)COc2ccc(C#N)cc2)[nH+]1 ZINC000253476866 407450864 /nfs/dbraw/zinc/45/08/64/407450864.db2.gz BTMHBUTUSCBYCX-GFCCVEGCSA-N 1 2 316.386 1.771 20 30 DDEDLO CN(C)C(=O)C1(C/[NH+]=C/c2ccc(O)c(O)c2O)CCCC1 ZINC000113006302 407459055 /nfs/dbraw/zinc/45/90/55/407459055.db2.gz SWUCXZXCWGYDBH-RQZCQDPDSA-N 1 2 306.362 1.871 20 30 DDEDLO C=C[C@@H](C)NC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000128611840 407553508 /nfs/dbraw/zinc/55/35/08/407553508.db2.gz NPKKEWTYSXUGSB-OAHLLOKOSA-N 1 2 303.406 1.967 20 30 DDEDLO N#CCCCS(=O)(=O)N1CCCN(c2cccc[nH+]2)CC1 ZINC000186116290 407580740 /nfs/dbraw/zinc/58/07/40/407580740.db2.gz YSGRHLRXFKEVDF-UHFFFAOYSA-N 1 2 308.407 1.227 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H](Oc2ccccc2Cl)C1 ZINC000128238972 407513732 /nfs/dbraw/zinc/51/37/32/407513732.db2.gz SCRQYWNPDJCLEH-STQMWFEESA-N 1 2 306.793 1.931 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H](Oc2ccccc2Cl)C1 ZINC000128238972 407513741 /nfs/dbraw/zinc/51/37/41/407513741.db2.gz SCRQYWNPDJCLEH-STQMWFEESA-N 1 2 306.793 1.931 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000152384942 407626377 /nfs/dbraw/zinc/62/63/77/407626377.db2.gz WCJYFBBPGZMHGH-JYJNAYRXSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000152384942 407626382 /nfs/dbraw/zinc/62/63/82/407626382.db2.gz WCJYFBBPGZMHGH-JYJNAYRXSA-N 1 2 310.438 1.679 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2S[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000115186968 407657725 /nfs/dbraw/zinc/65/77/25/407657725.db2.gz HMRKAZAXBUOVML-LBPRGKRZSA-N 1 2 324.369 1.554 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)C[C@H]2CO)c(Br)c1 ZINC000186502614 407683433 /nfs/dbraw/zinc/68/34/33/407683433.db2.gz OFDDTRMFZAUPHH-RYUDHWBXSA-N 1 2 311.179 1.248 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)C[C@H]2CO)c(Br)c1 ZINC000186502614 407683436 /nfs/dbraw/zinc/68/34/36/407683436.db2.gz OFDDTRMFZAUPHH-RYUDHWBXSA-N 1 2 311.179 1.248 20 30 DDEDLO C#CCSCCNC(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000153835631 407914518 /nfs/dbraw/zinc/91/45/18/407914518.db2.gz HOTABULVRFTKNO-KBPBESRZSA-N 1 2 306.435 1.842 20 30 DDEDLO CCc1cccc(OCCO[NH+]=C(N)CN2CCOCC2)c1 ZINC000181639480 408021403 /nfs/dbraw/zinc/02/14/03/408021403.db2.gz BISYBGVSUMAZOI-UHFFFAOYSA-N 1 2 307.394 1.249 20 30 DDEDLO Cc1cc(NC(=O)CO[NH+]=C(N)[C@@H](C)OCC(C)C)n(C)n1 ZINC000154609636 408060427 /nfs/dbraw/zinc/06/04/27/408060427.db2.gz PBCOJQBUQYMFER-LLVKDONJSA-N 1 2 311.386 1.017 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1ccccc1O ZINC000120924913 408145399 /nfs/dbraw/zinc/14/53/99/408145399.db2.gz NTIJWPQZDPKEIO-UHFFFAOYSA-N 1 2 303.362 1.080 20 30 DDEDLO C=CC[C@H](CO)Nc1nc(N[C@@H](CO)CC=C)c(Cl)c[nH+]1 ZINC000182666070 408217752 /nfs/dbraw/zinc/21/77/52/408217752.db2.gz XEQODLPEQQGCPP-GHMZBOCLSA-N 1 2 312.801 1.828 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(F)cc2C)CC1 ZINC000122234785 408269781 /nfs/dbraw/zinc/26/97/81/408269781.db2.gz SFZNOSAYFBLETB-UHFFFAOYSA-N 1 2 305.397 1.554 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(F)cc2C)CC1 ZINC000122234785 408269785 /nfs/dbraw/zinc/26/97/85/408269785.db2.gz SFZNOSAYFBLETB-UHFFFAOYSA-N 1 2 305.397 1.554 20 30 DDEDLO C[C@@H](O)[C@@H]1CCCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156731930 408272429 /nfs/dbraw/zinc/27/24/29/408272429.db2.gz PVBOZRGBRJGGOC-CJNGLKHVSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@@H](O)[C@@H]1CCCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156731930 408272435 /nfs/dbraw/zinc/27/24/35/408272435.db2.gz PVBOZRGBRJGGOC-CJNGLKHVSA-N 1 2 322.430 1.567 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1sccc1C(=O)OCC)C1CC1 ZINC000274039317 408303146 /nfs/dbraw/zinc/30/31/46/408303146.db2.gz FCBKHIYNAQXYMT-UHFFFAOYSA-N 1 2 306.387 1.961 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1sccc1C(=O)OCC)C1CC1 ZINC000274039317 408303154 /nfs/dbraw/zinc/30/31/54/408303154.db2.gz FCBKHIYNAQXYMT-UHFFFAOYSA-N 1 2 306.387 1.961 20 30 DDEDLO C[NH+](C)Cc1ccnc(NS(=O)(=O)c2ccc(C#N)cc2)c1 ZINC000176421447 408362083 /nfs/dbraw/zinc/36/20/83/408362083.db2.gz OBJUPKCCTXEHOI-UHFFFAOYSA-N 1 2 316.386 1.816 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cc(F)ccc1O ZINC000183244685 408363384 /nfs/dbraw/zinc/36/33/84/408363384.db2.gz WKWXSPSUGVHJMR-UHFFFAOYSA-N 1 2 307.369 1.579 20 30 DDEDLO C[C@H]1CC(O)C[C@H](C)[NH+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000191172717 408380012 /nfs/dbraw/zinc/38/00/12/408380012.db2.gz ZCKBWMZBVRARJB-STQMWFEESA-N 1 2 322.430 1.566 20 30 DDEDLO N#Cc1ccnc(N[C@@H]2CCN(c3cccc[nH+]3)C2)c1[N+](=O)[O-] ZINC000269888029 408459680 /nfs/dbraw/zinc/45/96/80/408459680.db2.gz VELXZKHBVNDWDQ-GFCCVEGCSA-N 1 2 310.317 1.947 20 30 DDEDLO C=CCc1cc(C[N@@H+]2C[C@@H](C)O[C@@H](CO)C2)cc(OC)c1O ZINC000191536031 408442441 /nfs/dbraw/zinc/44/24/41/408442441.db2.gz BARZSXSUAYSTIZ-IUODEOHRSA-N 1 2 307.390 1.711 20 30 DDEDLO C=CCc1cc(C[N@H+]2C[C@@H](C)O[C@@H](CO)C2)cc(OC)c1O ZINC000191536031 408442444 /nfs/dbraw/zinc/44/24/44/408442444.db2.gz BARZSXSUAYSTIZ-IUODEOHRSA-N 1 2 307.390 1.711 20 30 DDEDLO CC(C)[N@H+](CCS(C)(=O)=O)C[C@@H](O)c1ccc(C#N)cc1 ZINC000270362178 408503626 /nfs/dbraw/zinc/50/36/26/408503626.db2.gz XZLUAMPVBPCHLQ-OAHLLOKOSA-N 1 2 310.419 1.347 20 30 DDEDLO CC(C)[N@@H+](CCS(C)(=O)=O)C[C@@H](O)c1ccc(C#N)cc1 ZINC000270362178 408503630 /nfs/dbraw/zinc/50/36/30/408503630.db2.gz XZLUAMPVBPCHLQ-OAHLLOKOSA-N 1 2 310.419 1.347 20 30 DDEDLO C=CCCOCCNC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000160987918 408548258 /nfs/dbraw/zinc/54/82/58/408548258.db2.gz XQEFUSJBUWBDJI-UHFFFAOYSA-N 1 2 318.421 1.502 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(C(=O)N[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000275084360 408579314 /nfs/dbraw/zinc/57/93/14/408579314.db2.gz GCKGMOJCARIDOI-CYBMUJFWSA-N 1 2 316.409 1.416 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000275751581 408669114 /nfs/dbraw/zinc/66/91/14/408669114.db2.gz SPSOJCSMXQMDTE-GOSISDBHSA-N 1 2 317.433 1.955 20 30 DDEDLO C[C@H]1CCC[C@@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000162596994 408715619 /nfs/dbraw/zinc/71/56/19/408715619.db2.gz JXUBXBWNZFFIQH-JKSUJKDBSA-N 1 2 307.438 1.887 20 30 DDEDLO C#CCC(C)(C)C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000185283730 408791379 /nfs/dbraw/zinc/79/13/79/408791379.db2.gz XMLOTBNXPKCKPA-INIZCTEOSA-N 1 2 310.463 1.360 20 30 DDEDLO C=CCCN(C)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000276025230 408753059 /nfs/dbraw/zinc/75/30/59/408753059.db2.gz CZFLUIXOECOWPX-UHFFFAOYSA-N 1 2 304.394 1.636 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCCSCC2)cc1 ZINC000163128587 408762259 /nfs/dbraw/zinc/76/22/59/408762259.db2.gz NKBNMWDEHZVIPS-UHFFFAOYSA-N 1 2 310.444 1.771 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCCSCC2)cc1 ZINC000163128587 408762261 /nfs/dbraw/zinc/76/22/61/408762261.db2.gz NKBNMWDEHZVIPS-UHFFFAOYSA-N 1 2 310.444 1.771 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cccc(OC)c2OC)C1=O ZINC000281179501 408874083 /nfs/dbraw/zinc/87/40/83/408874083.db2.gz HLYIISPBTKPNCY-AWEZNQCLSA-N 1 2 304.390 1.923 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cccc(OC)c2OC)C1=O ZINC000281179501 408874087 /nfs/dbraw/zinc/87/40/87/408874087.db2.gz HLYIISPBTKPNCY-AWEZNQCLSA-N 1 2 304.390 1.923 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2ccc(C#N)cc2)C1 ZINC000276365100 408843683 /nfs/dbraw/zinc/84/36/83/408843683.db2.gz ILITYEMVKSMIRS-KRWDZBQOSA-N 1 2 313.401 1.741 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2ccc(C#N)cc2)C1 ZINC000276365100 408843685 /nfs/dbraw/zinc/84/36/85/408843685.db2.gz ILITYEMVKSMIRS-KRWDZBQOSA-N 1 2 313.401 1.741 20 30 DDEDLO C=C[C@@H](CO)NC(=O)N[C@@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000291151686 408860026 /nfs/dbraw/zinc/86/00/26/408860026.db2.gz XVKBDHJWBYSYHV-JSGCOSHPSA-N 1 2 300.362 1.779 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NCCNc1cccc[nH+]1 ZINC000281686815 408910945 /nfs/dbraw/zinc/91/09/45/408910945.db2.gz BGJFJFWDASWJGN-ZFWWWQNUSA-N 1 2 304.394 1.774 20 30 DDEDLO C#CCC(CC#C)C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000277489493 408949559 /nfs/dbraw/zinc/94/95/59/408949559.db2.gz RCOHWOPWMAUFLS-IBGZPJMESA-N 1 2 324.424 1.839 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccc(OC)cc2)C1=O ZINC000281999267 408968979 /nfs/dbraw/zinc/96/89/79/408968979.db2.gz NKRGYTAZVDSKGT-HNNXBMFYSA-N 1 2 317.389 1.352 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccc(OC)cc2)C1=O ZINC000281999267 408968982 /nfs/dbraw/zinc/96/89/82/408968982.db2.gz NKRGYTAZVDSKGT-HNNXBMFYSA-N 1 2 317.389 1.352 20 30 DDEDLO C=CCCCCCCNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(C)=O ZINC000287061576 409004402 /nfs/dbraw/zinc/00/44/02/409004402.db2.gz NHSSTHNKECWAPN-HNNXBMFYSA-N 1 2 306.410 1.710 20 30 DDEDLO C=CCCCCCCNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(C)=O ZINC000287061576 409004404 /nfs/dbraw/zinc/00/44/04/409004404.db2.gz NHSSTHNKECWAPN-HNNXBMFYSA-N 1 2 306.410 1.710 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(F)cc3c2OCOC3)C1=O ZINC000282101248 408991457 /nfs/dbraw/zinc/99/14/57/408991457.db2.gz WAWUAXQCVBBVIW-OAHLLOKOSA-N 1 2 320.364 1.911 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(F)cc3c2OCOC3)C1=O ZINC000282101248 408991458 /nfs/dbraw/zinc/99/14/58/408991458.db2.gz WAWUAXQCVBBVIW-OAHLLOKOSA-N 1 2 320.364 1.911 20 30 DDEDLO CC(C)COC(=O)C[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292159424 408991869 /nfs/dbraw/zinc/99/18/69/408991869.db2.gz KVPHHEPJIFDIDS-CQSZACIVSA-N 1 2 303.362 1.606 20 30 DDEDLO CC(C)COC(=O)C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292159424 408991872 /nfs/dbraw/zinc/99/18/72/408991872.db2.gz KVPHHEPJIFDIDS-CQSZACIVSA-N 1 2 303.362 1.606 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2cccc(OC)c2)C1=O ZINC000282141139 408998990 /nfs/dbraw/zinc/99/89/90/408998990.db2.gz ZNRRDKBHQAVQDU-OAHLLOKOSA-N 1 2 317.389 1.352 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2cccc(OC)c2)C1=O ZINC000282141139 408998992 /nfs/dbraw/zinc/99/89/92/408998992.db2.gz ZNRRDKBHQAVQDU-OAHLLOKOSA-N 1 2 317.389 1.352 20 30 DDEDLO CC#CC[N@@H+](C)Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1 ZINC000292976687 409047967 /nfs/dbraw/zinc/04/79/67/409047967.db2.gz AZCZTCSEWVTRTG-UHFFFAOYSA-N 1 2 301.346 1.808 20 30 DDEDLO CC#CC[N@H+](C)Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1 ZINC000292976687 409047968 /nfs/dbraw/zinc/04/79/68/409047968.db2.gz AZCZTCSEWVTRTG-UHFFFAOYSA-N 1 2 301.346 1.808 20 30 DDEDLO Cc1nnc(N(C)CC[N@@H+]2CCOC(C)(C)C2)c(C#N)c1C ZINC000278808471 409093285 /nfs/dbraw/zinc/09/32/85/409093285.db2.gz IFVDNKQMHJWANX-UHFFFAOYSA-N 1 2 303.410 1.512 20 30 DDEDLO Cc1nnc(N(C)CC[N@H+]2CCOC(C)(C)C2)c(C#N)c1C ZINC000278808471 409093289 /nfs/dbraw/zinc/09/32/89/409093289.db2.gz IFVDNKQMHJWANX-UHFFFAOYSA-N 1 2 303.410 1.512 20 30 DDEDLO CCOC1CC(CNc2ccnc(C#N)c2)([NH+]2CCOCC2)C1 ZINC000287835394 409101514 /nfs/dbraw/zinc/10/15/14/409101514.db2.gz REUCGAUPLGBYCC-UHFFFAOYSA-N 1 2 316.405 1.635 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccc(CC#N)cc1 ZINC000279296807 409187263 /nfs/dbraw/zinc/18/72/63/409187263.db2.gz LZOOFTKRDAARNW-AWEZNQCLSA-N 1 2 301.390 1.545 20 30 DDEDLO C#C[C@H](NC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1)C(C)(C)C ZINC000288465307 409168813 /nfs/dbraw/zinc/16/88/13/409168813.db2.gz RLRVGFYHZYUOJI-HNNXBMFYSA-N 1 2 324.384 1.975 20 30 DDEDLO CS[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000294153267 409249755 /nfs/dbraw/zinc/24/97/55/409249755.db2.gz AEMCKNCPISNPNZ-ZDUSSCGKSA-N 1 2 310.444 1.769 20 30 DDEDLO CS[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000294153267 409249756 /nfs/dbraw/zinc/24/97/56/409249756.db2.gz AEMCKNCPISNPNZ-ZDUSSCGKSA-N 1 2 310.444 1.769 20 30 DDEDLO CC[C@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CCCO1 ZINC000289380123 409258049 /nfs/dbraw/zinc/25/80/49/409258049.db2.gz JCVCUDALDPZLHQ-AWEZNQCLSA-N 1 2 323.418 1.228 20 30 DDEDLO CC[C@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CCCO1 ZINC000289380123 409258050 /nfs/dbraw/zinc/25/80/50/409258050.db2.gz JCVCUDALDPZLHQ-AWEZNQCLSA-N 1 2 323.418 1.228 20 30 DDEDLO Cc1nsc(N2CC[NH+](C[C@H](O)COC(C)C)CC2)c1C#N ZINC000289461064 409276581 /nfs/dbraw/zinc/27/65/81/409276581.db2.gz MBAGTMVGGDPZCT-ZDUSSCGKSA-N 1 2 324.450 1.231 20 30 DDEDLO COC(=O)c1cccc(OCCO[NH+]=C(N)[C@@H]2CCCO2)c1 ZINC000284434503 409342685 /nfs/dbraw/zinc/34/26/85/409342685.db2.gz OXLWLBDFOPYMNA-ZDUSSCGKSA-N 1 2 308.334 1.320 20 30 DDEDLO Cc1noc([C@H]2C[N@H+](Cc3cc(C#N)n(C)c3)CCN2C)n1 ZINC000285472955 409458671 /nfs/dbraw/zinc/45/86/71/409458671.db2.gz LCEQYAQNBFLFSY-CQSZACIVSA-N 1 2 300.366 1.077 20 30 DDEDLO Cc1noc([C@H]2C[N@@H+](Cc3cc(C#N)n(C)c3)CCN2C)n1 ZINC000285472955 409458677 /nfs/dbraw/zinc/45/86/77/409458677.db2.gz LCEQYAQNBFLFSY-CQSZACIVSA-N 1 2 300.366 1.077 20 30 DDEDLO Cn1c[nH+]cc1CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000285414688 409435277 /nfs/dbraw/zinc/43/52/77/409435277.db2.gz GCTHIWBESYRZCV-LBPRGKRZSA-N 1 2 305.382 1.365 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CC[C@@H](C)[C@H](O)C2)c(C#N)c1C ZINC000408088733 164224221 /nfs/dbraw/zinc/22/42/21/164224221.db2.gz HCRMIOIMJDOCDK-OLUVUFQESA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CC[C@@H](C)[C@H](O)C2)c(C#N)c1C ZINC000408088733 164224223 /nfs/dbraw/zinc/22/42/23/164224223.db2.gz HCRMIOIMJDOCDK-OLUVUFQESA-N 1 2 305.378 1.798 20 30 DDEDLO CS(=O)(=O)N[C@H]1CCCC[C@H]1C/[NH+]=C/c1ccccc1O ZINC000285589738 409498790 /nfs/dbraw/zinc/49/87/90/409498790.db2.gz TUUBLYOXTHQROJ-NPGDMWQWSA-N 1 2 310.419 1.919 20 30 DDEDLO Cc1cccc2[nH+]c(CNC(=O)CNc3ccc(C#N)cn3)cn21 ZINC000345428510 409680418 /nfs/dbraw/zinc/68/04/18/409680418.db2.gz ONQNAIUNKJKWME-UHFFFAOYSA-N 1 2 320.356 1.638 20 30 DDEDLO CCN1CCN(Cc2c[nH+]c3ccc(C#N)cn23)C(C)(C)C1=O ZINC000342775049 409898100 /nfs/dbraw/zinc/89/81/00/409898100.db2.gz JIOIEHMOTJCWCF-UHFFFAOYSA-N 1 2 311.389 1.649 20 30 DDEDLO COc1cc(C[NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)ccc1C#N ZINC000357296595 409964173 /nfs/dbraw/zinc/96/41/73/409964173.db2.gz LIUOGXMGYNCJPO-QGZVFWFLSA-N 1 2 320.352 1.755 20 30 DDEDLO C[C@@H]1CCNC(=O)[C@@H]1NC(=O)NC[C@H]1CCCn2cc[nH+]c21 ZINC000328617857 409938101 /nfs/dbraw/zinc/93/81/01/409938101.db2.gz UOTIDOHCZOMVSG-IJLUTSLNSA-N 1 2 305.382 1.629 20 30 DDEDLO CC(C)(C(=O)NCc1nnc2ccccn21)[NH+]1CCOCC1 ZINC000328668535 409950986 /nfs/dbraw/zinc/95/09/86/409950986.db2.gz DSVSAWIPEIQGMW-UHFFFAOYSA-N 1 2 303.366 1.297 20 30 DDEDLO CC(C)(C)[C@@H]1C[C@H](NC(=O)NCC[NH+]2CCOCC2)CCO1 ZINC000328953554 410020380 /nfs/dbraw/zinc/02/03/80/410020380.db2.gz YBGOFGFCQOSJQM-KGLIPLIRSA-N 1 2 313.442 1.416 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@@H+](CC(=O)NC3(C#N)CCCCC3)CC[C@@H]21 ZINC000328993398 410042462 /nfs/dbraw/zinc/04/24/62/410042462.db2.gz VXRPKQDITRJPGB-OLZOCXBDSA-N 1 2 319.409 1.472 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@H+](CC(=O)NC3(C#N)CCCCC3)CC[C@@H]21 ZINC000328993398 410042468 /nfs/dbraw/zinc/04/24/68/410042468.db2.gz VXRPKQDITRJPGB-OLZOCXBDSA-N 1 2 319.409 1.472 20 30 DDEDLO CC(=O)N[C@H](CC1CCC1)C(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000328877911 409999068 /nfs/dbraw/zinc/99/90/68/409999068.db2.gz KUIJYSCUTGIQNB-PMPSAXMXSA-N 1 2 323.437 1.453 20 30 DDEDLO CC(=O)N[C@H](CC1CCC1)C(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000328877911 409999077 /nfs/dbraw/zinc/99/90/77/409999077.db2.gz KUIJYSCUTGIQNB-PMPSAXMXSA-N 1 2 323.437 1.453 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCN2C(=O)CC[C@H]2C1 ZINC000329019672 410054075 /nfs/dbraw/zinc/05/40/75/410054075.db2.gz HKAHQUKUYYZHSM-KGLIPLIRSA-N 1 2 318.421 1.864 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCN2C(=O)CC[C@H]2C1 ZINC000329019672 410054080 /nfs/dbraw/zinc/05/40/80/410054080.db2.gz HKAHQUKUYYZHSM-KGLIPLIRSA-N 1 2 318.421 1.864 20 30 DDEDLO C=CCNC(=O)NCC[NH+]1CCN(C(=O)C2CCCCC2)CC1 ZINC000354766057 410069542 /nfs/dbraw/zinc/06/95/42/410069542.db2.gz OPGVSPGBIRWIRH-UHFFFAOYSA-N 1 2 322.453 1.196 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2sccc2C#N)[C@H]1C ZINC000346663764 410067006 /nfs/dbraw/zinc/06/70/06/410067006.db2.gz OXSSOFYWXTZNEG-ONGXEEELSA-N 1 2 307.375 1.442 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2sccc2C#N)[C@H]1C ZINC000346663764 410067010 /nfs/dbraw/zinc/06/70/10/410067010.db2.gz OXSSOFYWXTZNEG-ONGXEEELSA-N 1 2 307.375 1.442 20 30 DDEDLO CS(=O)(=O)C1(C(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)CCCC1 ZINC000329175995 410149304 /nfs/dbraw/zinc/14/93/04/410149304.db2.gz MQLHOCROJZQLSP-SNVBAGLBSA-N 1 2 311.407 1.581 20 30 DDEDLO CS(=O)(=O)C1(C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)CCCC1 ZINC000329175995 410149310 /nfs/dbraw/zinc/14/93/10/410149310.db2.gz MQLHOCROJZQLSP-SNVBAGLBSA-N 1 2 311.407 1.581 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)N[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000329105338 410103034 /nfs/dbraw/zinc/10/30/34/410103034.db2.gz CXSWEFMGANJIDK-GFCCVEGCSA-N 1 2 319.409 1.357 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNC(=O)c2cc(C#N)cs2)C1 ZINC000354898485 410154730 /nfs/dbraw/zinc/15/47/30/410154730.db2.gz LKQGWHNKCFJUPE-LLVKDONJSA-N 1 2 321.402 1.235 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNC(=O)c2cc(C#N)cs2)C1 ZINC000354898485 410154736 /nfs/dbraw/zinc/15/47/36/410154736.db2.gz LKQGWHNKCFJUPE-LLVKDONJSA-N 1 2 321.402 1.235 20 30 DDEDLO CC[NH+]1CCN(C(=O)[C@H](C)Sc2ccc(C#N)cn2)CC1 ZINC000298320196 410180414 /nfs/dbraw/zinc/18/04/14/410180414.db2.gz SLZKFNZATWFGSB-LBPRGKRZSA-N 1 2 304.419 1.598 20 30 DDEDLO CN(C)c1nccc(CNC(=O)C2([NH+]3CCOCC3)CCC2)n1 ZINC000329262387 410199535 /nfs/dbraw/zinc/19/95/35/410199535.db2.gz BJTICKXTKQLCLX-UHFFFAOYSA-N 1 2 319.409 1.254 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)N2CCC=C(c3ccncc3)C2)C1 ZINC000329263382 410200601 /nfs/dbraw/zinc/20/06/01/410200601.db2.gz GLDHLURWHZCVTI-INIZCTEOSA-N 1 2 316.405 1.415 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)N2CCC=C(c3ccncc3)C2)C1 ZINC000329263382 410200609 /nfs/dbraw/zinc/20/06/09/410200609.db2.gz GLDHLURWHZCVTI-INIZCTEOSA-N 1 2 316.405 1.415 20 30 DDEDLO C[C@@H]1CC(CNC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)C[C@@H](C)O1 ZINC000329537822 410350299 /nfs/dbraw/zinc/35/02/99/410350299.db2.gz BSXCWSUUHYJTJS-RJZRQDKASA-N 1 2 311.426 1.119 20 30 DDEDLO C[C@@H]1CC(CNC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)C[C@@H](C)O1 ZINC000329537822 410350304 /nfs/dbraw/zinc/35/03/04/410350304.db2.gz BSXCWSUUHYJTJS-RJZRQDKASA-N 1 2 311.426 1.119 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000333326017 410484661 /nfs/dbraw/zinc/48/46/61/410484661.db2.gz AHLZHBJDFPFLSV-BYNSBNAKSA-N 1 2 306.410 1.183 20 30 DDEDLO Cc1cc(N[C@@H]2CCCN(C(=O)N3CCCC3)C2)c(C#N)c[nH+]1 ZINC000333412052 410532402 /nfs/dbraw/zinc/53/24/02/410532402.db2.gz HIDNVULKHFERMX-OAHLLOKOSA-N 1 2 313.405 1.776 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000352437535 410656957 /nfs/dbraw/zinc/65/69/57/410656957.db2.gz GYSHWPFAXZOLJK-KRWDZBQOSA-N 1 2 320.437 1.293 20 30 DDEDLO N#CC1(CS(=O)(=O)NCc2ccn3cc[nH+]c3c2)CCCC1 ZINC000352873039 410684250 /nfs/dbraw/zinc/68/42/50/410684250.db2.gz SFODHVISVVTXCE-UHFFFAOYSA-N 1 2 318.402 1.838 20 30 DDEDLO Cn1ncc(C(=O)N2CC[NH2+][C@@H](c3cccc(F)c3)C2)c1C#N ZINC000337564218 410865867 /nfs/dbraw/zinc/86/58/67/410865867.db2.gz AKXCGFXNABAVPD-CQSZACIVSA-N 1 2 313.336 1.218 20 30 DDEDLO COc1ccccc1O[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC000353254805 410879018 /nfs/dbraw/zinc/87/90/18/410879018.db2.gz BYLGWUIOAYLXQK-CYBMUJFWSA-N 1 2 303.362 1.178 20 30 DDEDLO COc1ccccc1O[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC000353254805 410879026 /nfs/dbraw/zinc/87/90/26/410879026.db2.gz BYLGWUIOAYLXQK-CYBMUJFWSA-N 1 2 303.362 1.178 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000337760771 410988060 /nfs/dbraw/zinc/98/80/60/410988060.db2.gz OXUFPMCEOXLBIE-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO N#CC1(F)CC[NH+](Cc2cnc(N3CCOCC3)s2)CC1 ZINC000348708929 411062855 /nfs/dbraw/zinc/06/28/55/411062855.db2.gz AMNJQLXPQQIKAX-UHFFFAOYSA-N 1 2 310.398 1.807 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)c2ccc(S(C)(=O)=O)o2)CC1 ZINC000353838997 411134708 /nfs/dbraw/zinc/13/47/08/411134708.db2.gz XGHKEHPLDJZNQF-UHFFFAOYSA-N 1 2 312.391 1.063 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CN(C(=O)CCCC=C)CC[N@H+]1C ZINC000580085864 422887575 /nfs/dbraw/zinc/88/75/75/422887575.db2.gz WYBGRVNPQYDLIJ-MRXNPFEDSA-N 1 2 321.465 1.958 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CN(C(=O)CCCC=C)CC[N@@H+]1C ZINC000580085864 422887579 /nfs/dbraw/zinc/88/75/79/422887579.db2.gz WYBGRVNPQYDLIJ-MRXNPFEDSA-N 1 2 321.465 1.958 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000645262076 422966555 /nfs/dbraw/zinc/96/65/55/422966555.db2.gz JYVFSNMVXAPBTR-UHFFFAOYSA-N 1 2 302.334 1.439 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000645262076 422966559 /nfs/dbraw/zinc/96/65/59/422966559.db2.gz JYVFSNMVXAPBTR-UHFFFAOYSA-N 1 2 302.334 1.439 20 30 DDEDLO C=CC[NH+]1CCC(N=c2ccc(OCC(F)(F)F)n[nH]2)CC1 ZINC000647867767 423088644 /nfs/dbraw/zinc/08/86/44/423088644.db2.gz VLIHCBIHALQRQF-UHFFFAOYSA-N 1 2 316.327 1.902 20 30 DDEDLO COCc1cc(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)no1 ZINC000297377069 227086929 /nfs/dbraw/zinc/08/69/29/227086929.db2.gz IDTHXVHVMARFHI-AWEZNQCLSA-N 1 2 314.345 1.741 20 30 DDEDLO COCc1cc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)no1 ZINC000297377069 227086933 /nfs/dbraw/zinc/08/69/33/227086933.db2.gz IDTHXVHVMARFHI-AWEZNQCLSA-N 1 2 314.345 1.741 20 30 DDEDLO N#Cc1ccccc1OCCn1c2ccccc2[nH+]c1NCCO ZINC000360286001 418414212 /nfs/dbraw/zinc/41/42/12/418414212.db2.gz MFYGELQZDSDDAO-UHFFFAOYSA-N 1 2 322.368 2.391 20 30 DDEDLO Cc1[nH+]c2ccc(C(=O)N3CCN(C4CC4)[C@@H](C#N)C3)cc2n1C ZINC000373574642 418441615 /nfs/dbraw/zinc/44/16/15/418441615.db2.gz FCYWLQZCELIYDX-HNNXBMFYSA-N 1 2 323.400 1.694 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2nc([C@@H](C)OC)no2)cc1 ZINC000177555545 221851062 /nfs/dbraw/zinc/85/10/62/221851062.db2.gz NDTZFGSLRNEXRV-SECBINFHSA-N 1 2 306.322 1.623 20 30 DDEDLO C#CCSCCNC(=O)N(C)Cc1cn2c([nH+]1)CCCC2 ZINC000373790061 418459584 /nfs/dbraw/zinc/45/95/84/418459584.db2.gz YQVFTIVVRBWEFG-UHFFFAOYSA-N 1 2 306.435 1.727 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)C1([NH+]2CCOCC2)CCC1 ZINC000366732702 418510308 /nfs/dbraw/zinc/51/03/08/418510308.db2.gz HQYDCSVBPJUYBP-GJZGRUSLSA-N 1 2 308.422 1.339 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCCN(C3CCOCC3)C2=O)CC1 ZINC000374775100 418562120 /nfs/dbraw/zinc/56/21/20/418562120.db2.gz CPXOASQFVDHXEB-QGZVFWFLSA-N 1 2 321.465 1.350 20 30 DDEDLO C#CCCCC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000192075411 222119390 /nfs/dbraw/zinc/11/93/90/222119390.db2.gz IMKIJKMEFXHJLE-AWEZNQCLSA-N 1 2 301.390 1.726 20 30 DDEDLO C=CCCOCCNc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000192347611 222126050 /nfs/dbraw/zinc/12/60/50/222126050.db2.gz UNIGIRKELDGZNW-AWEZNQCLSA-N 1 2 306.410 1.832 20 30 DDEDLO C=CCCOCCNc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000192347611 222126051 /nfs/dbraw/zinc/12/60/51/222126051.db2.gz UNIGIRKELDGZNW-AWEZNQCLSA-N 1 2 306.410 1.832 20 30 DDEDLO CC#CCCNC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000361242671 418611350 /nfs/dbraw/zinc/61/13/50/418611350.db2.gz GSTMUTGGKIMVID-UHFFFAOYSA-N 1 2 315.417 1.731 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000377486908 418710508 /nfs/dbraw/zinc/71/05/08/418710508.db2.gz VOZKGRWKUYBARK-LBPRGKRZSA-N 1 2 313.361 1.770 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCC[C@H](c2n[nH]c(=O)[nH]2)C1 ZINC000377486908 418710510 /nfs/dbraw/zinc/71/05/10/418710510.db2.gz VOZKGRWKUYBARK-LBPRGKRZSA-N 1 2 313.361 1.770 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)c1C#N ZINC000377958336 418717724 /nfs/dbraw/zinc/71/77/24/418717724.db2.gz DLURTXIRIUUBJC-LLVKDONJSA-N 1 2 323.356 1.202 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)c1C#N ZINC000377958336 418717727 /nfs/dbraw/zinc/71/77/27/418717727.db2.gz DLURTXIRIUUBJC-LLVKDONJSA-N 1 2 323.356 1.202 20 30 DDEDLO Cc1nc(C)n(C[C@@H]2C[N@H+](Cc3cc(C#N)n(C)c3)CCO2)n1 ZINC000361548459 418674692 /nfs/dbraw/zinc/67/46/92/418674692.db2.gz KAZKTMWHHAICPK-INIZCTEOSA-N 1 2 314.393 1.006 20 30 DDEDLO Cc1nc(C)n(C[C@@H]2C[N@@H+](Cc3cc(C#N)n(C)c3)CCO2)n1 ZINC000361548459 418674695 /nfs/dbraw/zinc/67/46/95/418674695.db2.gz KAZKTMWHHAICPK-INIZCTEOSA-N 1 2 314.393 1.006 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3cc(C)[nH]n3)CC2)ccc1C#N ZINC000369114639 418728599 /nfs/dbraw/zinc/72/85/99/418728599.db2.gz DBXCJNWSDAVUBB-UHFFFAOYSA-N 1 2 311.389 1.921 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[N@H+](Cc2ccccc2)C[C@H]1C ZINC000383116846 418733052 /nfs/dbraw/zinc/73/30/52/418733052.db2.gz URPDGGZTSHLLIB-KGLIPLIRSA-N 1 2 307.419 1.435 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[N@@H+](Cc2ccccc2)C[C@H]1C ZINC000383116846 418733055 /nfs/dbraw/zinc/73/30/55/418733055.db2.gz URPDGGZTSHLLIB-KGLIPLIRSA-N 1 2 307.419 1.435 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@](O)(C2CC2)C1 ZINC000370079902 418740609 /nfs/dbraw/zinc/74/06/09/418740609.db2.gz CBOHSKGYIYWYCF-DOTOQJQBSA-N 1 2 300.358 1.619 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@](O)(C2CC2)C1 ZINC000370079902 418740611 /nfs/dbraw/zinc/74/06/11/418740611.db2.gz CBOHSKGYIYWYCF-DOTOQJQBSA-N 1 2 300.358 1.619 20 30 DDEDLO CCOC(=O)[C@H]1CCCCC[N@@H+]1CC(=O)N(CC)CCC#N ZINC000370570215 418745879 /nfs/dbraw/zinc/74/58/79/418745879.db2.gz SKBHEJIOZLEQOF-CQSZACIVSA-N 1 2 309.410 1.556 20 30 DDEDLO CCOC(=O)[C@H]1CCCCC[N@H+]1CC(=O)N(CC)CCC#N ZINC000370570215 418745881 /nfs/dbraw/zinc/74/58/81/418745881.db2.gz SKBHEJIOZLEQOF-CQSZACIVSA-N 1 2 309.410 1.556 20 30 DDEDLO COc1cc2nc(NCC3(CC#N)CC3)[nH+]c(N)c2cc1OC ZINC000362358679 418753220 /nfs/dbraw/zinc/75/32/20/418753220.db2.gz KVHOMDHZFXICNA-UHFFFAOYSA-N 1 2 313.361 1.757 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)c2cccs2)CC1 ZINC000362999186 418761667 /nfs/dbraw/zinc/76/16/67/418761667.db2.gz ATJDQTKPHRRTNT-ZDUSSCGKSA-N 1 2 319.430 1.034 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)c2cccs2)CC1 ZINC000362999186 418761670 /nfs/dbraw/zinc/76/16/70/418761670.db2.gz ATJDQTKPHRRTNT-ZDUSSCGKSA-N 1 2 319.430 1.034 20 30 DDEDLO Cn1cc(C[N@@H+]2CCNC(=O)C2(C)C)c(-c2ccc(C#N)cc2)n1 ZINC000378497916 418724386 /nfs/dbraw/zinc/72/43/86/418724386.db2.gz QMNXYUTYZNFZGL-UHFFFAOYSA-N 1 2 323.400 1.669 20 30 DDEDLO Cn1cc(C[N@H+]2CCNC(=O)C2(C)C)c(-c2ccc(C#N)cc2)n1 ZINC000378497916 418724388 /nfs/dbraw/zinc/72/43/88/418724388.db2.gz QMNXYUTYZNFZGL-UHFFFAOYSA-N 1 2 323.400 1.669 20 30 DDEDLO COC(=O)c1cn([C@@H]2CCC[N@H+](C[C@@H](C#N)CCC#N)C2)nn1 ZINC000368847779 418725644 /nfs/dbraw/zinc/72/56/44/418725644.db2.gz QSTHLJDXQBCQHW-CHWSQXEVSA-N 1 2 316.365 1.145 20 30 DDEDLO COC(=O)c1cn([C@@H]2CCC[N@@H+](C[C@@H](C#N)CCC#N)C2)nn1 ZINC000368847779 418725646 /nfs/dbraw/zinc/72/56/46/418725646.db2.gz QSTHLJDXQBCQHW-CHWSQXEVSA-N 1 2 316.365 1.145 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)Cc1ccc(OCC#N)cc1)CC2 ZINC000364684049 418801037 /nfs/dbraw/zinc/80/10/37/418801037.db2.gz HQYMFKWMUOXXJP-UHFFFAOYSA-N 1 2 310.357 1.679 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+](CCC2(C#N)CCCCC2)CC1 ZINC000411216104 418880911 /nfs/dbraw/zinc/88/09/11/418880911.db2.gz DQIBWQVEXJAGMI-UHFFFAOYSA-N 1 2 313.467 1.818 20 30 DDEDLO COCC[C@H]1COCC[N@@H+]1CC(=O)N(C)C1(C#N)CCCCC1 ZINC000365846808 418916207 /nfs/dbraw/zinc/91/62/07/418916207.db2.gz KMKBBNQWOUVJJF-HNNXBMFYSA-N 1 2 323.437 1.409 20 30 DDEDLO COCC[C@H]1COCC[N@H+]1CC(=O)N(C)C1(C#N)CCCCC1 ZINC000365846808 418916209 /nfs/dbraw/zinc/91/62/09/418916209.db2.gz KMKBBNQWOUVJJF-HNNXBMFYSA-N 1 2 323.437 1.409 20 30 DDEDLO N#CCCC[C@@H]([NH3+])C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000424191519 228243827 /nfs/dbraw/zinc/24/38/27/228243827.db2.gz NSIUNWHZGIUMGL-QWHCGFSZSA-N 1 2 316.409 1.409 20 30 DDEDLO Cc1cc(NCc2ccc(N3CCO[C@H](C)C3)[nH+]c2)c(C#N)cn1 ZINC000425203145 228390303 /nfs/dbraw/zinc/39/03/03/228390303.db2.gz DNQCQBSKHKRIEK-CQSZACIVSA-N 1 2 323.400 1.916 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(Cc3ccccc3)[C@H](C)C2)C1=O ZINC000411980045 419539024 /nfs/dbraw/zinc/53/90/24/419539024.db2.gz FSQTVCDRBAYKAP-AEFFLSMTSA-N 1 2 313.445 1.980 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(Cc3ccccc3)[C@H](C)C2)C1=O ZINC000411980045 419539032 /nfs/dbraw/zinc/53/90/32/419539032.db2.gz FSQTVCDRBAYKAP-AEFFLSMTSA-N 1 2 313.445 1.980 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCO[C@H]([C@H](C)O)C1 ZINC000412099388 419660889 /nfs/dbraw/zinc/66/08/89/419660889.db2.gz VBWWNUPVESZUPQ-LRDDRELGSA-N 1 2 306.362 1.027 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCO[C@H]([C@H](C)O)C1 ZINC000412099388 419660893 /nfs/dbraw/zinc/66/08/93/419660893.db2.gz VBWWNUPVESZUPQ-LRDDRELGSA-N 1 2 306.362 1.027 20 30 DDEDLO Cc1cccc(OCCNC(=O)C[N@@H+](C)CC(C)(C)C#N)c1 ZINC000433324120 229186698 /nfs/dbraw/zinc/18/66/98/229186698.db2.gz BTLAAWVPODIDND-UHFFFAOYSA-N 1 2 303.406 1.972 20 30 DDEDLO Cc1cccc(OCCNC(=O)C[N@H+](C)CC(C)(C)C#N)c1 ZINC000433324120 229186700 /nfs/dbraw/zinc/18/67/00/229186700.db2.gz BTLAAWVPODIDND-UHFFFAOYSA-N 1 2 303.406 1.972 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(c2cc(C#N)ccn2)C1 ZINC000418953514 420029478 /nfs/dbraw/zinc/02/94/78/420029478.db2.gz VDGRKZLKJSPWMC-QGZVFWFLSA-N 1 2 316.405 1.853 20 30 DDEDLO C=CC[N@@H+](CC1(CC(=O)OC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000440776229 420605705 /nfs/dbraw/zinc/60/57/05/420605705.db2.gz TZNJXZNGLUYQFU-LBPRGKRZSA-N 1 2 301.408 1.005 20 30 DDEDLO C=CC[N@H+](CC1(CC(=O)OC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000440776229 420605709 /nfs/dbraw/zinc/60/57/09/420605709.db2.gz TZNJXZNGLUYQFU-LBPRGKRZSA-N 1 2 301.408 1.005 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1C(=O)C(=O)Nc1ccc(Cl)c(C#N)c1 ZINC000440280265 420566099 /nfs/dbraw/zinc/56/60/99/420566099.db2.gz IKGWDYRGZBFOOG-SNVBAGLBSA-N 1 2 320.780 1.313 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1C(=O)C(=O)Nc1ccc(Cl)c(C#N)c1 ZINC000440280265 420566104 /nfs/dbraw/zinc/56/61/04/420566104.db2.gz IKGWDYRGZBFOOG-SNVBAGLBSA-N 1 2 320.780 1.313 20 30 DDEDLO N#C[C@@H]1N(C(=O)C=Cc2c[nH]c[nH+]2)CCC[C@@]12CCCCO2 ZINC000492895742 420702897 /nfs/dbraw/zinc/70/28/97/420702897.db2.gz INDKAXFGVZAQND-QNKPMFPBSA-N 1 2 300.362 1.877 20 30 DDEDLO C[C@@H](CNC(=O)NCc1ccc(C#N)c(F)c1)[NH+]1CCOCC1 ZINC000454250626 420824421 /nfs/dbraw/zinc/82/44/21/420824421.db2.gz RSVWHYXNHGLGAX-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@H]([NH2+]CC(=O)N(C)C1(C#N)CCCCC1)c1ccn(C)n1 ZINC000459787557 420871574 /nfs/dbraw/zinc/87/15/74/420871574.db2.gz LHTACLJMWJNTIW-ZDUSSCGKSA-N 1 2 303.410 1.756 20 30 DDEDLO CCn1nnc(C)c1C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000449243230 420975107 /nfs/dbraw/zinc/97/51/07/420975107.db2.gz JDAXDRDSKXTRMO-UHFFFAOYSA-N 1 2 311.393 1.195 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)s1 ZINC000487523679 421033853 /nfs/dbraw/zinc/03/38/53/421033853.db2.gz GKEMGUSZYIGQOV-GFCCVEGCSA-N 1 2 305.403 1.557 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H](O)C[NH+]2CCOCC2)CCCCC1 ZINC000450129644 421146731 /nfs/dbraw/zinc/14/67/31/421146731.db2.gz KHVZGTHCTFKLLH-MRXNPFEDSA-N 1 2 324.465 1.665 20 30 DDEDLO CC(C)CO[C@H](C)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000522863807 421226940 /nfs/dbraw/zinc/22/69/40/421226940.db2.gz OHEQKXLYPOYSBL-OAHLLOKOSA-N 1 2 311.426 1.122 20 30 DDEDLO C=CC(C)(C)C(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000450426728 421196628 /nfs/dbraw/zinc/19/66/28/421196628.db2.gz XOMBLZWMDKTLCS-UHFFFAOYSA-N 1 2 316.405 1.620 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNc2nccc(C#N)n2)cn1 ZINC000450462951 421200724 /nfs/dbraw/zinc/20/07/24/421200724.db2.gz KVHITJSFYMQCTQ-JSGCOSHPSA-N 1 2 315.356 1.106 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNc2nccc(C#N)n2)cn1 ZINC000450462951 421200726 /nfs/dbraw/zinc/20/07/26/421200726.db2.gz KVHITJSFYMQCTQ-JSGCOSHPSA-N 1 2 315.356 1.106 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000528505634 421496385 /nfs/dbraw/zinc/49/63/85/421496385.db2.gz XFTYCQLMAPCKGU-OAHLLOKOSA-N 1 2 324.446 1.765 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000528505634 421496389 /nfs/dbraw/zinc/49/63/89/421496389.db2.gz XFTYCQLMAPCKGU-OAHLLOKOSA-N 1 2 324.446 1.765 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000569019309 421624265 /nfs/dbraw/zinc/62/42/65/421624265.db2.gz KIOICJXZXNLLHI-UHFFFAOYSA-N 1 2 318.421 1.978 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000569019309 421624267 /nfs/dbraw/zinc/62/42/67/421624267.db2.gz KIOICJXZXNLLHI-UHFFFAOYSA-N 1 2 318.421 1.978 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cccc(C(=O)OC)n2)C1=O ZINC000531694132 421643842 /nfs/dbraw/zinc/64/38/42/421643842.db2.gz PUXOWKVZQBWCIB-CQSZACIVSA-N 1 2 303.362 1.087 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cccc(C(=O)OC)n2)C1=O ZINC000531694132 421643845 /nfs/dbraw/zinc/64/38/45/421643845.db2.gz PUXOWKVZQBWCIB-CQSZACIVSA-N 1 2 303.362 1.087 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000555819676 421692616 /nfs/dbraw/zinc/69/26/16/421692616.db2.gz UNJVFCVLOKGUGL-UHFFFAOYSA-N 1 2 323.278 1.951 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)CCN2CCCC2=O)c1C#N ZINC000535205479 421702212 /nfs/dbraw/zinc/70/22/12/421702212.db2.gz INUMXBSQFAAWSX-UHFFFAOYSA-N 1 2 315.373 1.399 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)CCN2CCCC2=O)c1C#N ZINC000535205479 421702213 /nfs/dbraw/zinc/70/22/13/421702213.db2.gz INUMXBSQFAAWSX-UHFFFAOYSA-N 1 2 315.373 1.399 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc(Cl)cc2c1OCC2 ZINC000519770504 421726323 /nfs/dbraw/zinc/72/63/23/421726323.db2.gz ZRXMWBXEXRVVSA-OAHLLOKOSA-N 1 2 307.781 1.849 20 30 DDEDLO Cc1cccn2cc(CC(=O)N3CCSC[C@@H]3C#N)[nH+]c12 ZINC000537132184 421727265 /nfs/dbraw/zinc/72/72/65/421727265.db2.gz SKVYXVCUQLEGMK-ZDUSSCGKSA-N 1 2 300.387 1.653 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc2c(c1)NC(=O)C2(C)C ZINC000572768950 421855633 /nfs/dbraw/zinc/85/56/33/421855633.db2.gz LQYFIWPBCYLBTD-KRWDZBQOSA-N 1 2 314.389 1.490 20 30 DDEDLO CCc1nc(C#N)cc(NCc2cc[nH+]c(N3CCOCC3)c2)n1 ZINC000596076494 422362873 /nfs/dbraw/zinc/36/28/73/422362873.db2.gz TUMIIDVUVOGVDM-UHFFFAOYSA-N 1 2 324.388 1.754 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)nn1 ZINC000576272402 422373415 /nfs/dbraw/zinc/37/34/15/422373415.db2.gz XPNRQIRQWWZGBW-UHFFFAOYSA-N 1 2 300.366 1.597 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)nn1 ZINC000576272402 422373419 /nfs/dbraw/zinc/37/34/19/422373419.db2.gz XPNRQIRQWWZGBW-UHFFFAOYSA-N 1 2 300.366 1.597 20 30 DDEDLO Cn1ncc2c1nc(N[C@H]1CCc3cc(C#N)ccc31)[nH+]c2N ZINC000618693459 422526816 /nfs/dbraw/zinc/52/68/16/422526816.db2.gz ONBJFGRWYSAXOS-ZDUSSCGKSA-N 1 2 305.345 1.917 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000636111013 422651217 /nfs/dbraw/zinc/65/12/17/422651217.db2.gz IUCDSSLLHFFWLJ-DOTOQJQBSA-N 1 2 316.401 1.160 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000636111013 422651220 /nfs/dbraw/zinc/65/12/20/422651220.db2.gz IUCDSSLLHFFWLJ-DOTOQJQBSA-N 1 2 316.401 1.160 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000636111616 422652404 /nfs/dbraw/zinc/65/24/04/422652404.db2.gz NHINHCQWCOIDST-QWRGUYRKSA-N 1 2 303.284 1.267 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nnc(-c3ccc(C)cc3)o2)nn1 ZINC000640795614 423193252 /nfs/dbraw/zinc/19/32/52/423193252.db2.gz SUYPKJHXLMWLID-UHFFFAOYSA-N 1 2 322.372 1.798 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@@]3(CCOC3)OC(C)(C)C2)nn1 ZINC000653654138 423567460 /nfs/dbraw/zinc/56/74/60/423567460.db2.gz AXZCNTHXFAFDKG-MRXNPFEDSA-N 1 2 306.410 1.624 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@@]3(CCOC3)OC(C)(C)C2)nn1 ZINC000653654138 423567465 /nfs/dbraw/zinc/56/74/65/423567465.db2.gz AXZCNTHXFAFDKG-MRXNPFEDSA-N 1 2 306.410 1.624 20 30 DDEDLO COCC[N@H+](CC#Cc1ccccc1)C[C@@H](O)C(F)(F)F ZINC000653787671 423609342 /nfs/dbraw/zinc/60/93/42/423609342.db2.gz FSPNQXPJCJLVMH-CQSZACIVSA-N 1 2 301.308 1.910 20 30 DDEDLO COCC[N@@H+](CC#Cc1ccccc1)C[C@@H](O)C(F)(F)F ZINC000653787671 423609347 /nfs/dbraw/zinc/60/93/47/423609347.db2.gz FSPNQXPJCJLVMH-CQSZACIVSA-N 1 2 301.308 1.910 20 30 DDEDLO Cc1cc(NC(=O)NCC#CCO)c[nH+]c1N1CCCC[C@@H]1C ZINC000639931514 423946522 /nfs/dbraw/zinc/94/65/22/423946522.db2.gz AVSCMMSSMXEDLP-AWEZNQCLSA-N 1 2 316.405 1.886 20 30 DDEDLO C[C@@H]1C[NH+](CCN(C)C(=O)c2ccnc(C#N)c2)C[C@@H](C)O1 ZINC000357167823 267051179 /nfs/dbraw/zinc/05/11/79/267051179.db2.gz MAVZDAVIMVJOMX-CHWSQXEVSA-N 1 2 302.378 1.134 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@H](C)c1cccc(C#N)c1 ZINC000360176683 267155517 /nfs/dbraw/zinc/15/55/17/267155517.db2.gz XALPBDJIJMWQJA-HUUCEWRRSA-N 1 2 314.433 1.414 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)[C@@H](C)c1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000360144482 267207033 /nfs/dbraw/zinc/20/70/33/267207033.db2.gz VPDLTFQPDXWVEM-SOUVJXGZSA-N 1 2 315.417 1.887 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000356090962 267386837 /nfs/dbraw/zinc/38/68/37/267386837.db2.gz LTEDEMFUCFVRIM-ZDUSSCGKSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000356090962 267386841 /nfs/dbraw/zinc/38/68/41/267386841.db2.gz LTEDEMFUCFVRIM-ZDUSSCGKSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1nc(C(=O)N2CC[NH2+][C@H](c3cccnc3)C2)ccc1C#N ZINC000368524785 267576136 /nfs/dbraw/zinc/57/61/36/267576136.db2.gz BUXDMLYICLRZAC-INIZCTEOSA-N 1 2 307.357 1.443 20 30 DDEDLO Cn1cc(CN2CC[NH+](Cc3cc(C#N)cs3)CC2)cn1 ZINC000353464305 267795417 /nfs/dbraw/zinc/79/54/17/267795417.db2.gz UCHSHCXFTDWXTE-UHFFFAOYSA-N 1 2 301.419 1.671 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)cc1 ZINC000374210631 268130115 /nfs/dbraw/zinc/13/01/15/268130115.db2.gz HAGCIECJNFFZSH-UHFFFAOYSA-N 1 2 323.356 1.626 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)cc1 ZINC000374210631 268130119 /nfs/dbraw/zinc/13/01/19/268130119.db2.gz HAGCIECJNFFZSH-UHFFFAOYSA-N 1 2 323.356 1.626 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)ccc1F ZINC000528777276 268180044 /nfs/dbraw/zinc/18/00/44/268180044.db2.gz NRFWSUWMQVHTAV-KGLIPLIRSA-N 1 2 308.378 1.849 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)ccc1F ZINC000528777276 268180046 /nfs/dbraw/zinc/18/00/46/268180046.db2.gz NRFWSUWMQVHTAV-KGLIPLIRSA-N 1 2 308.378 1.849 20 30 DDEDLO N#Cc1ccnc(NC[C@@]2([NH+]3CCOCC3)CCCOC2)c1 ZINC000376452575 268298278 /nfs/dbraw/zinc/29/82/78/268298278.db2.gz ABLGOLYJEQEKHH-INIZCTEOSA-N 1 2 302.378 1.247 20 30 DDEDLO C=C(C)CNC(=O)[C@H]1CCC(=O)N(C2CC2)[C@H]1c1c[nH+]cn1C ZINC000343685306 277777281 /nfs/dbraw/zinc/77/72/81/277777281.db2.gz XLYRLHRXNFGAAM-XJKSGUPXSA-N 1 2 316.405 1.555 20 30 DDEDLO CC(C)(C#N)CC[N@H+](C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000119858336 278257000 /nfs/dbraw/zinc/25/70/00/278257000.db2.gz DPQIGDLFVKMFJP-ZIAGYGMSSA-N 1 2 314.451 1.594 20 30 DDEDLO CC(C)(C#N)CC[N@@H+](C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000119858336 278257001 /nfs/dbraw/zinc/25/70/01/278257001.db2.gz DPQIGDLFVKMFJP-ZIAGYGMSSA-N 1 2 314.451 1.594 20 30 DDEDLO CC(C)C[C@@]1(C)CC(=O)N(C[C@@H](O)C[N@H+](C)CCC#N)C1=O ZINC000414153087 279070082 /nfs/dbraw/zinc/07/00/82/279070082.db2.gz QYRXCEWJZSHQAT-BBRMVZONSA-N 1 2 309.410 1.004 20 30 DDEDLO CC(C)C[C@@]1(C)CC(=O)N(C[C@@H](O)C[N@@H+](C)CCC#N)C1=O ZINC000414153087 279070083 /nfs/dbraw/zinc/07/00/83/279070083.db2.gz QYRXCEWJZSHQAT-BBRMVZONSA-N 1 2 309.410 1.004 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H](N2CCn3cc[nH+]c3C2)C1=O ZINC000333070361 279541129 /nfs/dbraw/zinc/54/11/29/279541129.db2.gz UWHBVWQPMXGQIT-LBPRGKRZSA-N 1 2 313.386 1.437 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000408044485 280202935 /nfs/dbraw/zinc/20/29/35/280202935.db2.gz VEXYJWIDXRHYFC-CVEARBPZSA-N 1 2 314.389 1.804 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000408044485 280202939 /nfs/dbraw/zinc/20/29/39/280202939.db2.gz VEXYJWIDXRHYFC-CVEARBPZSA-N 1 2 314.389 1.804 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+](C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000121134013 281132520 /nfs/dbraw/zinc/13/25/20/281132520.db2.gz UBTKJKSJAVNWIH-HNNXBMFYSA-N 1 2 320.414 1.718 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+](C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000121134013 281132521 /nfs/dbraw/zinc/13/25/21/281132521.db2.gz UBTKJKSJAVNWIH-HNNXBMFYSA-N 1 2 320.414 1.718 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H](c2ccccc2)[NH+]2CCOCC2)[nH]1 ZINC000155529510 294902796 /nfs/dbraw/zinc/90/27/96/294902796.db2.gz HGZCYEUYNVEVFZ-QGZVFWFLSA-N 1 2 324.384 1.690 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCN1CCC[C@@]2(CCCCO2)[C@@H]1C#N ZINC000337226288 297124763 /nfs/dbraw/zinc/12/47/63/297124763.db2.gz FSKCVMLHGKLGGV-IKGGRYGDSA-N 1 2 307.438 1.634 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCN1CCC[C@@]2(CCCCO2)[C@@H]1C#N ZINC000337226288 297124765 /nfs/dbraw/zinc/12/47/65/297124765.db2.gz FSKCVMLHGKLGGV-IKGGRYGDSA-N 1 2 307.438 1.634 20 30 DDEDLO C[C@@H]1CN(c2ncc3c(c2C#N)CCC3)C[C@@H]1[NH+]1CCOCC1 ZINC000563018909 303911849 /nfs/dbraw/zinc/91/18/49/303911849.db2.gz ZDWXYRSILGAVGE-DYVFJYSZSA-N 1 2 312.417 1.599 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000551510913 307804670 /nfs/dbraw/zinc/80/46/70/307804670.db2.gz QZOWHXMZDHZIEG-OAHLLOKOSA-N 1 2 314.389 1.199 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000551510912 307804782 /nfs/dbraw/zinc/80/47/82/307804782.db2.gz QZOWHXMZDHZIEG-HNNXBMFYSA-N 1 2 314.389 1.199 20 30 DDEDLO C[NH+](C)[C@H](CNc1ccnc(C#N)n1)c1c(F)cccc1F ZINC000579374595 308528100 /nfs/dbraw/zinc/52/81/00/308528100.db2.gz VAVLWVHZPCXZIM-GFCCVEGCSA-N 1 2 303.316 1.763 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1N(S(=O)(=O)CC2(CC#N)CC2)CC1(C)C ZINC000565873847 331841152 /nfs/dbraw/zinc/84/11/52/331841152.db2.gz GLPFEIGHCLTTLG-LBPRGKRZSA-N 1 2 322.434 1.827 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[NH+](CCCCC#N)CC2)cc1 ZINC000198667849 332426518 /nfs/dbraw/zinc/42/65/18/332426518.db2.gz SITBGLLUYARNOF-UHFFFAOYSA-N 1 2 321.446 1.995 20 30 DDEDLO CCOc1ccc([N+](=O)[O-])c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000563220313 333486081 /nfs/dbraw/zinc/48/60/81/333486081.db2.gz KTYMFEOOFHZAOS-HNNXBMFYSA-N 1 2 320.349 1.567 20 30 DDEDLO CCOc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1OC ZINC000567990814 333508947 /nfs/dbraw/zinc/50/89/47/333508947.db2.gz ZXYCXEYNDAQROQ-MRXNPFEDSA-N 1 2 305.378 1.668 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)NCc1ccc[nH+]c1N(C)C ZINC000340226357 334152595 /nfs/dbraw/zinc/15/25/95/334152595.db2.gz QCJMVDRGJCMGJF-UHFFFAOYSA-N 1 2 304.394 1.188 20 30 DDEDLO COc1cccc(OC)c1OC[C@H](O)C[N@H+](C)[C@@H](C)CC#N ZINC000345875015 335357434 /nfs/dbraw/zinc/35/74/34/335357434.db2.gz UYDJLPVXWZXDAB-QWHCGFSZSA-N 1 2 308.378 1.677 20 30 DDEDLO COc1cccc(OC)c1OC[C@H](O)C[N@@H+](C)[C@@H](C)CC#N ZINC000345875015 335357435 /nfs/dbraw/zinc/35/74/35/335357435.db2.gz UYDJLPVXWZXDAB-QWHCGFSZSA-N 1 2 308.378 1.677 20 30 DDEDLO CC(C)[C@@]1(C)C[C@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000185734390 337250993 /nfs/dbraw/zinc/25/09/93/337250993.db2.gz JEPDXPJUXRJNDT-DOTOQJQBSA-N 1 2 307.438 1.743 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@H](NC(=O)Cc1cccc(OCC#N)c1)C2 ZINC000089760465 337873510 /nfs/dbraw/zinc/87/35/10/337873510.db2.gz DVDLUIJJFVAITK-HNNXBMFYSA-N 1 2 324.384 1.768 20 30 DDEDLO CCCOc1cccc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000514941744 337974600 /nfs/dbraw/zinc/97/46/00/337974600.db2.gz HVMJNYUGTQXEED-KRWDZBQOSA-N 1 2 303.406 1.978 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000491210371 339853524 /nfs/dbraw/zinc/85/35/24/339853524.db2.gz SVDSMQXGESZIOT-CYBMUJFWSA-N 1 2 302.378 1.081 20 30 DDEDLO C#CC[C@H]([NH2+]C[C@]1(C)CCN(C(=O)OC(C)(C)C)C1)C(=O)OC ZINC000496338490 340003424 /nfs/dbraw/zinc/00/34/24/340003424.db2.gz DGLAWOWAIHSRHW-GUYCJALGSA-N 1 2 324.421 1.788 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CC[C@@H](NC(=O)OC(C)(C)C)C2)C1=O ZINC000497065162 340019543 /nfs/dbraw/zinc/01/95/43/340019543.db2.gz QYPGEYWJNCVZKA-CYBMUJFWSA-N 1 2 324.425 1.467 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CC[C@@H](NC(=O)OC(C)(C)C)C2)C1=O ZINC000497065162 340019544 /nfs/dbraw/zinc/01/95/44/340019544.db2.gz QYPGEYWJNCVZKA-CYBMUJFWSA-N 1 2 324.425 1.467 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NS(=O)(=O)CC1(C#N)CCCC1)C1CC1 ZINC000552105603 341370251 /nfs/dbraw/zinc/37/02/51/341370251.db2.gz RGOYOJSFRGUHSA-ZDUSSCGKSA-N 1 2 322.434 1.875 20 30 DDEDLO COc1cccc(N2C[C@H]([NH2+]C[C@H](C#N)CCC#N)CC2=O)c1 ZINC000552861620 341406671 /nfs/dbraw/zinc/40/66/71/341406671.db2.gz PHXZJFXUUKYXHX-UONOGXRCSA-N 1 2 312.373 1.834 20 30 DDEDLO C=C[C@](C)(O)C(=O)NCc1ccc(N2C[C@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000666434926 485216523 /nfs/dbraw/zinc/21/65/23/485216523.db2.gz GUQWSEUKRAHTOJ-DCGLDWPTSA-N 1 2 319.405 1.248 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCC(S(C)(=O)=O)CC1 ZINC000675505681 485961308 /nfs/dbraw/zinc/96/13/08/485961308.db2.gz QYJDBQNHKLXMSX-ZDUSSCGKSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000684782704 486429445 /nfs/dbraw/zinc/42/94/45/486429445.db2.gz VIWSZEPWLVKBOV-IUODEOHRSA-N 1 2 313.361 1.373 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000684796475 486431067 /nfs/dbraw/zinc/43/10/67/486431067.db2.gz SVEUQDFRAVTQMF-GJZGRUSLSA-N 1 2 323.437 1.132 20 30 DDEDLO N#Cc1ccc(OCC[NH+]2CCN(C[C@H]3CCCO3)CC2)cc1 ZINC000057884766 501031482 /nfs/dbraw/zinc/03/14/82/501031482.db2.gz IHGDPXLZYGTEKX-GOSISDBHSA-N 1 2 315.417 1.734 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)c(OC)c1 ZINC000329918875 534023488 /nfs/dbraw/zinc/02/34/88/534023488.db2.gz GDQUMMDTUWHBOC-UKRRQHHQSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)c(OC)c1 ZINC000329918875 534023496 /nfs/dbraw/zinc/02/34/96/534023496.db2.gz GDQUMMDTUWHBOC-UKRRQHHQSA-N 1 2 321.377 1.264 20 30 DDEDLO Cc1ccn2cc(CNC(=O)N[C@@H]3CC[S@@](=O)C3)[nH+]c2c1 ZINC000330029522 534357752 /nfs/dbraw/zinc/35/77/52/534357752.db2.gz QDKFXFPTVBPQTN-WSVYEEACSA-N 1 2 306.391 1.167 20 30 DDEDLO CC(C)(C)NC(=O)CNC(=O)NCc1cn2c([nH+]1)CCCC2 ZINC000330460147 526307591 /nfs/dbraw/zinc/30/75/91/526307591.db2.gz QTLUNUWQPWFCDN-UHFFFAOYSA-N 1 2 307.398 1.138 20 30 DDEDLO CNC(=O)[C@@H]1CCCN(C([O-])=[NH+][C@@H]2CCc3[nH+]c[nH]c3C2)C1 ZINC000329596339 526404965 /nfs/dbraw/zinc/40/49/65/526404965.db2.gz CBJCUYNLXBXSRH-GHMZBOCLSA-N 1 2 305.382 1.479 20 30 DDEDLO CNC(=O)[C@@H]1CCCN(C([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)C1 ZINC000329596339 526404968 /nfs/dbraw/zinc/40/49/68/526404968.db2.gz CBJCUYNLXBXSRH-GHMZBOCLSA-N 1 2 305.382 1.479 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc3c(cc2OC)OCO3)C1=O ZINC000343604873 526471105 /nfs/dbraw/zinc/47/11/05/526471105.db2.gz OBKUNMAGPRXFCJ-CYBMUJFWSA-N 1 2 318.373 1.643 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc3c(cc2OC)OCO3)C1=O ZINC000343604873 526471110 /nfs/dbraw/zinc/47/11/10/526471110.db2.gz OBKUNMAGPRXFCJ-CYBMUJFWSA-N 1 2 318.373 1.643 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N2C[C@H](C)C[C@@H](C)C2)C1=O ZINC000337235749 526498765 /nfs/dbraw/zinc/49/87/65/526498765.db2.gz XVKPQLLIQPYQKA-KFWWJZLASA-N 1 2 307.438 1.210 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N2C[C@H](C)C[C@@H](C)C2)C1=O ZINC000337235749 526498768 /nfs/dbraw/zinc/49/87/68/526498768.db2.gz XVKPQLLIQPYQKA-KFWWJZLASA-N 1 2 307.438 1.210 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cnc3ccc(C#N)cn23)C1=O ZINC000343596714 526501195 /nfs/dbraw/zinc/50/11/95/526501195.db2.gz BLZTZFLVIMIRDM-HNNXBMFYSA-N 1 2 309.373 1.425 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cnc3ccc(C#N)cn23)C1=O ZINC000343596714 526501196 /nfs/dbraw/zinc/50/11/96/526501196.db2.gz BLZTZFLVIMIRDM-HNNXBMFYSA-N 1 2 309.373 1.425 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc3c(cc2OC)OCO3)C1=O ZINC000343604875 526501331 /nfs/dbraw/zinc/50/13/31/526501331.db2.gz OBKUNMAGPRXFCJ-ZDUSSCGKSA-N 1 2 318.373 1.643 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc3c(cc2OC)OCO3)C1=O ZINC000343604875 526501334 /nfs/dbraw/zinc/50/13/34/526501334.db2.gz OBKUNMAGPRXFCJ-ZDUSSCGKSA-N 1 2 318.373 1.643 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000340568478 526511417 /nfs/dbraw/zinc/51/14/17/526511417.db2.gz IFXLCDWRPWTSPH-UHFFFAOYSA-N 1 2 318.421 1.303 20 30 DDEDLO C=CCNC(=O)C[N@H+](Cc1cccs1)[C@H]1C=C[C@H](CO)C1 ZINC000347336058 526527880 /nfs/dbraw/zinc/52/78/80/526527880.db2.gz KGOYEFVVGDUKAI-KBPBESRZSA-N 1 2 306.431 1.789 20 30 DDEDLO C=CCNC(=O)C[N@@H+](Cc1cccs1)[C@H]1C=C[C@H](CO)C1 ZINC000347336058 526527888 /nfs/dbraw/zinc/52/78/88/526527888.db2.gz KGOYEFVVGDUKAI-KBPBESRZSA-N 1 2 306.431 1.789 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH2+][C@H](c1nc(C)no1)C1CCOCC1 ZINC000431639975 526637203 /nfs/dbraw/zinc/63/72/03/526637203.db2.gz NWVNESIRAYCNIO-GWCFXTLKSA-N 1 2 308.382 1.126 20 30 DDEDLO C=C(C)COCCNC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000345319144 526637821 /nfs/dbraw/zinc/63/78/21/526637821.db2.gz OWFZPDBTEBLBBR-CABCVRRESA-N 1 2 311.426 1.084 20 30 DDEDLO CC(C)(C)c1nsc(NC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)n1 ZINC000329772895 526852269 /nfs/dbraw/zinc/85/22/69/526852269.db2.gz HTLGCLWTDPREPS-MRVPVSSYSA-N 1 2 320.422 1.864 20 30 DDEDLO CC(C)(C)c1nsc(NC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)n1 ZINC000329772895 526852277 /nfs/dbraw/zinc/85/22/77/526852277.db2.gz HTLGCLWTDPREPS-MRVPVSSYSA-N 1 2 320.422 1.864 20 30 DDEDLO C#CC[N@@H+](CC[C@H]1CC1(Cl)Cl)[C@H]1CCS(=O)(=O)C1 ZINC000491771878 526901069 /nfs/dbraw/zinc/90/10/69/526901069.db2.gz ROJNQYGFVQKJBN-QWRGUYRKSA-N 1 2 310.246 1.693 20 30 DDEDLO C#CC[N@H+](CC[C@H]1CC1(Cl)Cl)[C@H]1CCS(=O)(=O)C1 ZINC000491771878 526901072 /nfs/dbraw/zinc/90/10/72/526901072.db2.gz ROJNQYGFVQKJBN-QWRGUYRKSA-N 1 2 310.246 1.693 20 30 DDEDLO C#CC[N@@H+](CC[C@H](O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000491428312 526901350 /nfs/dbraw/zinc/90/13/50/526901350.db2.gz ODRAENLYTBGUQY-HOTGVXAUSA-N 1 2 307.415 1.232 20 30 DDEDLO C#CC[N@H+](CC[C@H](O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000491428312 526901356 /nfs/dbraw/zinc/90/13/56/526901356.db2.gz ODRAENLYTBGUQY-HOTGVXAUSA-N 1 2 307.415 1.232 20 30 DDEDLO C#CC[N@@H+](CCCc1cccc(F)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000490916700 526901457 /nfs/dbraw/zinc/90/14/57/526901457.db2.gz USXAGQVGVRINIB-MRXNPFEDSA-N 1 2 309.406 1.881 20 30 DDEDLO C#CC[N@H+](CCCc1cccc(F)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000490916700 526901463 /nfs/dbraw/zinc/90/14/63/526901463.db2.gz USXAGQVGVRINIB-MRXNPFEDSA-N 1 2 309.406 1.881 20 30 DDEDLO C#CC[N@@H+](CCCc1ccccc1OC)[C@H]1CCS(=O)(=O)C1 ZINC000490937846 526901946 /nfs/dbraw/zinc/90/19/46/526901946.db2.gz VYCISCOZBZEYJM-INIZCTEOSA-N 1 2 321.442 1.750 20 30 DDEDLO C#CC[N@H+](CCCc1ccccc1OC)[C@H]1CCS(=O)(=O)C1 ZINC000490937846 526901947 /nfs/dbraw/zinc/90/19/47/526901947.db2.gz VYCISCOZBZEYJM-INIZCTEOSA-N 1 2 321.442 1.750 20 30 DDEDLO C=CCOc1ccccc1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000362254097 526923598 /nfs/dbraw/zinc/92/35/98/526923598.db2.gz GHVOAVUIUBXEJQ-HNNXBMFYSA-N 1 2 316.401 1.798 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2c(F)cccc2Cl)CC1 ZINC000491723385 526952245 /nfs/dbraw/zinc/95/22/45/526952245.db2.gz BFJBUOQNTZBYTF-UHFFFAOYSA-N 1 2 309.772 1.668 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccccc2SC)CC1 ZINC000491731547 526952591 /nfs/dbraw/zinc/95/25/91/526952591.db2.gz IQEAWRNCMPETRJ-UHFFFAOYSA-N 1 2 304.415 1.565 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCCC)n2CC(=C)C)CC1 ZINC000491670531 526956066 /nfs/dbraw/zinc/95/60/66/526956066.db2.gz QZFSCPRCNVOKEE-UHFFFAOYSA-N 1 2 301.438 1.952 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@]2(C)CCC[C@H]2C)CC1 ZINC000491566434 526993639 /nfs/dbraw/zinc/99/36/39/526993639.db2.gz GGLVOWPGSSOXTO-QAPCUYQASA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@]2(C)CCC[C@H]2C)CC1 ZINC000491566434 526993642 /nfs/dbraw/zinc/99/36/42/526993642.db2.gz GGLVOWPGSSOXTO-QAPCUYQASA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H](c2[nH+]cc3n2CCCC3)C1 ZINC000374400583 527063876 /nfs/dbraw/zinc/06/38/76/527063876.db2.gz GYJWDFLWTHMFON-HNNXBMFYSA-N 1 2 315.417 1.965 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CC[NH+]([C@H](C)C(=O)NC2CC2)CC1 ZINC000341432834 527148438 /nfs/dbraw/zinc/14/84/38/527148438.db2.gz MSTGPGROEYDCNY-KGLIPLIRSA-N 1 2 322.453 1.335 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000413219636 527190515 /nfs/dbraw/zinc/19/05/15/527190515.db2.gz DUEFROFJCFOBFK-RDBSUJKOSA-N 1 2 306.410 1.280 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000413219636 527190520 /nfs/dbraw/zinc/19/05/20/527190520.db2.gz DUEFROFJCFOBFK-RDBSUJKOSA-N 1 2 306.410 1.280 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000433766450 527190797 /nfs/dbraw/zinc/19/07/97/527190797.db2.gz PNKUWFGBHVCJKY-UONOGXRCSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000433766450 527190800 /nfs/dbraw/zinc/19/08/00/527190800.db2.gz PNKUWFGBHVCJKY-UONOGXRCSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CCc1ccc(OCC(=O)NCc2[nH+]ccn2C)c(OC)c1 ZINC000343298606 527251013 /nfs/dbraw/zinc/25/10/13/527251013.db2.gz OHFUQLOKLWPCDT-UHFFFAOYSA-N 1 2 315.373 1.852 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000491348704 527258037 /nfs/dbraw/zinc/25/80/37/527258037.db2.gz FBFQFJKXFAERAQ-ZFWWWQNUSA-N 1 2 318.421 1.401 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000491348704 527258042 /nfs/dbraw/zinc/25/80/42/527258042.db2.gz FBFQFJKXFAERAQ-ZFWWWQNUSA-N 1 2 318.421 1.401 20 30 DDEDLO C#C[C@@H](NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C)C(C)C ZINC000491708633 527262638 /nfs/dbraw/zinc/26/26/38/527262638.db2.gz PDVNDZORRUBWHW-CYBMUJFWSA-N 1 2 312.373 1.594 20 30 DDEDLO C=CCCN(C)c1ccc(S(=O)(=O)N2CC[NH+](C)CC2)cn1 ZINC000341439554 527325031 /nfs/dbraw/zinc/32/50/31/527325031.db2.gz OBJKKLWSVLRBFD-UHFFFAOYSA-N 1 2 324.450 1.030 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)N(C)CC(=O)Nc1cccc(OC)c1 ZINC000491702914 527330973 /nfs/dbraw/zinc/33/09/73/527330973.db2.gz WORULAZUQTWENJ-ZDUSSCGKSA-N 1 2 317.389 1.046 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)N(C)CC(=O)Nc1cccc(OC)c1 ZINC000491702914 527330975 /nfs/dbraw/zinc/33/09/75/527330975.db2.gz WORULAZUQTWENJ-ZDUSSCGKSA-N 1 2 317.389 1.046 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@H](O)COc1ccc(OCC)cc1 ZINC000491681341 527428646 /nfs/dbraw/zinc/42/86/46/527428646.db2.gz MNOKZHVOHIJGNN-GJZGRUSLSA-N 1 2 305.374 1.159 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@H](O)COc1ccc(OCC)cc1 ZINC000491681341 527428650 /nfs/dbraw/zinc/42/86/50/527428650.db2.gz MNOKZHVOHIJGNN-GJZGRUSLSA-N 1 2 305.374 1.159 20 30 DDEDLO CC(C)CC[C@@H]1CCC(=O)N(C[C@@H](O)C[N@H+](C)CCC#N)C1=O ZINC000414126794 527813833 /nfs/dbraw/zinc/81/38/33/527813833.db2.gz FLCZZZVQNZKTTH-CABCVRRESA-N 1 2 323.437 1.394 20 30 DDEDLO CC(C)CC[C@@H]1CCC(=O)N(C[C@@H](O)C[N@@H+](C)CCC#N)C1=O ZINC000414126794 527813837 /nfs/dbraw/zinc/81/38/37/527813837.db2.gz FLCZZZVQNZKTTH-CABCVRRESA-N 1 2 323.437 1.394 20 30 DDEDLO CC[N@H+](CC(=O)NCC#N)Cc1ccccc1Br ZINC000343041953 527906112 /nfs/dbraw/zinc/90/61/12/527906112.db2.gz JMWGFGQPRHUPSE-UHFFFAOYSA-N 1 2 310.195 1.911 20 30 DDEDLO CC[N@@H+](CC(=O)NCC#N)Cc1ccccc1Br ZINC000343041953 527906117 /nfs/dbraw/zinc/90/61/17/527906117.db2.gz JMWGFGQPRHUPSE-UHFFFAOYSA-N 1 2 310.195 1.911 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2ccc(F)cc2C#N)CC1 ZINC000301211781 528024828 /nfs/dbraw/zinc/02/48/28/528024828.db2.gz VYCPRAYVRRTKCU-UHFFFAOYSA-N 1 2 318.396 1.688 20 30 DDEDLO CCCCO[C@@H](C)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000346494835 528629157 /nfs/dbraw/zinc/62/91/57/528629157.db2.gz AUOHDBFKLNQJGT-HNNXBMFYSA-N 1 2 311.426 1.266 20 30 DDEDLO CCOC(=O)CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000299654385 528649178 /nfs/dbraw/zinc/64/91/78/528649178.db2.gz NVLQJNSSBUAJTH-UHFFFAOYSA-N 1 2 315.373 1.156 20 30 DDEDLO CC(C)[N@H+](CCOCCO)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459448972 528910270 /nfs/dbraw/zinc/91/02/70/528910270.db2.gz YMEDVGWWTADSAB-UHFFFAOYSA-N 1 2 307.350 1.686 20 30 DDEDLO CC(C)[N@@H+](CCOCCO)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459448972 528910275 /nfs/dbraw/zinc/91/02/75/528910275.db2.gz YMEDVGWWTADSAB-UHFFFAOYSA-N 1 2 307.350 1.686 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)[C@@]1(C#N)CC12CCCC2 ZINC000333513699 529131998 /nfs/dbraw/zinc/13/19/98/529131998.db2.gz FTBSEWBJLVBISW-KRWDZBQOSA-N 1 2 305.422 1.687 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)[C@@]1(C#N)CC12CCCC2 ZINC000333513699 529132000 /nfs/dbraw/zinc/13/20/00/529132000.db2.gz FTBSEWBJLVBISW-KRWDZBQOSA-N 1 2 305.422 1.687 20 30 DDEDLO C[C@@H](CN(C)C(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001120838262 782176964 /nfs/dbraw/zinc/17/69/64/782176964.db2.gz IQKMIENPODMYFO-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO C[C@@H](CN(C)C(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001120838262 782176965 /nfs/dbraw/zinc/17/69/65/782176965.db2.gz IQKMIENPODMYFO-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO COc1cc(-c2nn[nH]n2)ccc1OCC[N@H+](C)CCC#N ZINC000821701678 606333123 /nfs/dbraw/zinc/33/31/23/606333123.db2.gz YMMBTMJGUHPFCN-UHFFFAOYSA-N 1 2 302.338 1.100 20 30 DDEDLO COc1cc(-c2nn[nH]n2)ccc1OCC[N@@H+](C)CCC#N ZINC000821701678 606333125 /nfs/dbraw/zinc/33/31/25/606333125.db2.gz YMMBTMJGUHPFCN-UHFFFAOYSA-N 1 2 302.338 1.100 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2)C1 ZINC000971861611 695111556 /nfs/dbraw/zinc/11/15/56/695111556.db2.gz QFHXXATUJDCPIC-CQSZACIVSA-N 1 2 321.808 1.910 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2)C1 ZINC000971861611 695111558 /nfs/dbraw/zinc/11/15/58/695111558.db2.gz QFHXXATUJDCPIC-CQSZACIVSA-N 1 2 321.808 1.910 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)o3)C2)C1 ZINC000972249656 695189843 /nfs/dbraw/zinc/18/98/43/695189843.db2.gz WATRUWDFIZCACT-KRWDZBQOSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)o3)C2)C1 ZINC000972249656 695189845 /nfs/dbraw/zinc/18/98/45/695189845.db2.gz WATRUWDFIZCACT-KRWDZBQOSA-N 1 2 302.374 1.528 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H]([N@H+](C)Cc3coc(C)n3)C2)c1 ZINC000972284136 695204571 /nfs/dbraw/zinc/20/45/71/695204571.db2.gz HNKOLNVXOPIIIA-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3coc(C)n3)C2)c1 ZINC000972284136 695204572 /nfs/dbraw/zinc/20/45/72/695204572.db2.gz HNKOLNVXOPIIIA-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccnc(F)c3)C2)C1 ZINC000972290809 695206835 /nfs/dbraw/zinc/20/68/35/695206835.db2.gz HNUBLYJDTRPTQW-MRXNPFEDSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccnc(F)c3)C2)C1 ZINC000972290809 695206837 /nfs/dbraw/zinc/20/68/37/695206837.db2.gz HNUBLYJDTRPTQW-MRXNPFEDSA-N 1 2 305.353 1.324 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCC34CC4)C2)C1 ZINC000972435534 695250695 /nfs/dbraw/zinc/25/06/95/695250695.db2.gz OLZRZIZNHFRMCP-YJBOKZPZSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCC34CC4)C2)C1 ZINC000972435534 695250697 /nfs/dbraw/zinc/25/06/97/695250697.db2.gz OLZRZIZNHFRMCP-YJBOKZPZSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCCC34CC4)C2)C1 ZINC000972435531 695250774 /nfs/dbraw/zinc/25/07/74/695250774.db2.gz OLZRZIZNHFRMCP-CRAIPNDOSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCCC34CC4)C2)C1 ZINC000972435531 695250775 /nfs/dbraw/zinc/25/07/75/695250775.db2.gz OLZRZIZNHFRMCP-CRAIPNDOSA-N 1 2 302.418 1.503 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ncc(C)cc3C)C2)C1 ZINC000972473205 695259365 /nfs/dbraw/zinc/25/93/65/695259365.db2.gz AELIJINFZNGHRR-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ncc(C)cc3C)C2)C1 ZINC000972473205 695259367 /nfs/dbraw/zinc/25/93/67/695259367.db2.gz AELIJINFZNGHRR-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)[nH]c3C)C2)C1 ZINC000972568926 695285892 /nfs/dbraw/zinc/28/58/92/695285892.db2.gz DYAUUNJIJFRLSQ-GOSISDBHSA-N 1 2 315.417 1.572 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)[nH]c3C)C2)C1 ZINC000972568926 695285893 /nfs/dbraw/zinc/28/58/93/695285893.db2.gz DYAUUNJIJFRLSQ-GOSISDBHSA-N 1 2 315.417 1.572 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3oc(CC)nc3C)C2)C1 ZINC000972621050 695301370 /nfs/dbraw/zinc/30/13/70/695301370.db2.gz FWJYOSYHEAOZBV-KRWDZBQOSA-N 1 2 319.405 1.648 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3oc(CC)nc3C)C2)C1 ZINC000972621050 695301374 /nfs/dbraw/zinc/30/13/74/695301374.db2.gz FWJYOSYHEAOZBV-KRWDZBQOSA-N 1 2 319.405 1.648 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C3CCCC3)C2)C1 ZINC000972631729 695304447 /nfs/dbraw/zinc/30/44/47/695304447.db2.gz OFYWENQEMRXJSQ-MAUKXSAKSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C3CCCC3)C2)C1 ZINC000972631729 695304449 /nfs/dbraw/zinc/30/44/49/695304449.db2.gz OFYWENQEMRXJSQ-MAUKXSAKSA-N 1 2 304.434 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3CCCOC3)C2)C1 ZINC000972683026 695316367 /nfs/dbraw/zinc/31/63/67/695316367.db2.gz KYZLOPQYKIYWGC-NVXWUHKLSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3CCCOC3)C2)C1 ZINC000972683026 695316368 /nfs/dbraw/zinc/31/63/68/695316368.db2.gz KYZLOPQYKIYWGC-NVXWUHKLSA-N 1 2 308.422 1.292 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cccc(=O)[nH]2)C(C)(C)C1 ZINC000973005792 695393497 /nfs/dbraw/zinc/39/34/97/695393497.db2.gz NDBRDORKDYQZKO-LBPRGKRZSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cccc(=O)[nH]2)C(C)(C)C1 ZINC000973005792 695393499 /nfs/dbraw/zinc/39/34/99/695393499.db2.gz NDBRDORKDYQZKO-LBPRGKRZSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2nonc2C)C(C)(C)C1 ZINC000977419119 696153366 /nfs/dbraw/zinc/15/33/66/696153366.db2.gz FBSZKHZAMHXRNR-GFCCVEGCSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2nonc2C)C(C)(C)C1 ZINC000977419119 696153370 /nfs/dbraw/zinc/15/33/70/696153370.db2.gz FBSZKHZAMHXRNR-GFCCVEGCSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(OC)nn2)C(C)(C)C1 ZINC000977436079 696161581 /nfs/dbraw/zinc/16/15/81/696161581.db2.gz WRIAIRMFXMVYAG-LBPRGKRZSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(OC)nn2)C(C)(C)C1 ZINC000977436079 696161582 /nfs/dbraw/zinc/16/15/82/696161582.db2.gz WRIAIRMFXMVYAG-LBPRGKRZSA-N 1 2 324.812 1.678 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCC(=O)NCc2ccco2)ccc1F ZINC000063458120 696327602 /nfs/dbraw/zinc/32/76/02/696327602.db2.gz BXAWKRZWHVPVDR-UHFFFAOYSA-N 1 2 305.309 1.680 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@@H+](C)Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000093384537 696600650 /nfs/dbraw/zinc/60/06/50/696600650.db2.gz LCSXMSAPZRISCI-OLZOCXBDSA-N 1 2 310.419 1.842 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@H+](C)Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000093384537 696600651 /nfs/dbraw/zinc/60/06/51/696600651.db2.gz LCSXMSAPZRISCI-OLZOCXBDSA-N 1 2 310.419 1.842 20 30 DDEDLO CC(N=Nc1nccn(C)c1=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000748079403 700098128 /nfs/dbraw/zinc/09/81/28/700098128.db2.gz HFKQCPFMMUWTPA-UHFFFAOYSA-N 1 2 308.345 1.802 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CC[C@@H](NC(=O)c3[nH]ncc3F)C[C@@H]2C1 ZINC000980143967 696692002 /nfs/dbraw/zinc/69/20/02/696692002.db2.gz GCEQSYVVHHANJZ-YNEHKIRRSA-N 1 2 304.369 1.402 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CC[C@@H](NC(=O)c3[nH]ncc3F)C[C@@H]2C1 ZINC000980143967 696692004 /nfs/dbraw/zinc/69/20/04/696692004.db2.gz GCEQSYVVHHANJZ-YNEHKIRRSA-N 1 2 304.369 1.402 20 30 DDEDLO COCC(=O)N1CCC[N@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000980583865 696806672 /nfs/dbraw/zinc/80/66/72/696806672.db2.gz TZXGCZZXFUQESL-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO COCC(=O)N1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000980583865 696806673 /nfs/dbraw/zinc/80/66/73/696806673.db2.gz TZXGCZZXFUQESL-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO Cc1cc(C[N@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)no1 ZINC000981670342 696867925 /nfs/dbraw/zinc/86/79/25/696867925.db2.gz RNDNBHNVEDKMIE-GFCCVEGCSA-N 1 2 302.378 1.567 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)no1 ZINC000981670342 696867928 /nfs/dbraw/zinc/86/79/28/696867928.db2.gz RNDNBHNVEDKMIE-GFCCVEGCSA-N 1 2 302.378 1.567 20 30 DDEDLO CCOc1cccc(C[N@@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)n1 ZINC000980848496 696917127 /nfs/dbraw/zinc/91/71/27/696917127.db2.gz YTVNMSNLVKONHS-AWEZNQCLSA-N 1 2 316.405 1.674 20 30 DDEDLO CCOc1cccc(C[N@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)n1 ZINC000980848496 696917129 /nfs/dbraw/zinc/91/71/29/696917129.db2.gz YTVNMSNLVKONHS-AWEZNQCLSA-N 1 2 316.405 1.674 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1C[C@@]2(C)CN(CC#N)C[C@@]2(C)C1 ZINC000982397343 697122267 /nfs/dbraw/zinc/12/22/67/697122267.db2.gz NKDJDILDQKLCDF-CALCHBBNSA-N 1 2 315.421 1.047 20 30 DDEDLO C=CCn1c(C)nn(C[N@@H+]2CCC[C@@H](C3OCCO3)C2)c1=S ZINC000172490177 697367800 /nfs/dbraw/zinc/36/78/00/697367800.db2.gz KGTIPPJXJIFICP-CYBMUJFWSA-N 1 2 324.450 1.951 20 30 DDEDLO C=CCn1c(C)nn(C[N@H+]2CCC[C@@H](C3OCCO3)C2)c1=S ZINC000172490177 697367802 /nfs/dbraw/zinc/36/78/02/697367802.db2.gz KGTIPPJXJIFICP-CYBMUJFWSA-N 1 2 324.450 1.951 20 30 DDEDLO CN(Cc1ccco1)C(=O)CO[NH+]=C(N)Cc1ccccc1 ZINC000178143939 697420998 /nfs/dbraw/zinc/42/09/98/697420998.db2.gz VAXQGVLHKKZFFQ-UHFFFAOYSA-N 1 2 301.346 1.770 20 30 DDEDLO COc1ccc(C#N)cc1CN1CC[NH+](CC[S@@](C)=O)CC1 ZINC000749347133 700157483 /nfs/dbraw/zinc/15/74/83/700157483.db2.gz WZXJDGPKDSQVEM-JOCHJYFZSA-N 1 2 321.446 1.063 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC000985665797 697552698 /nfs/dbraw/zinc/55/26/98/697552698.db2.gz YTENTDGKSWGTFQ-UHFFFAOYSA-N 1 2 311.817 1.413 20 30 DDEDLO C=C(Cl)C[N@H+](C)C1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC000985665797 697552699 /nfs/dbraw/zinc/55/26/99/697552699.db2.gz YTENTDGKSWGTFQ-UHFFFAOYSA-N 1 2 311.817 1.413 20 30 DDEDLO C[C@@H]1[C@@H]([NH2+]Cc2nnc(C3CC3)o2)CCN1C(=O)C#CC1CC1 ZINC000986274043 697762670 /nfs/dbraw/zinc/76/26/70/697762670.db2.gz DGDCWOWJBXMLCR-RISCZKNCSA-N 1 2 314.389 1.439 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)Nc1nnc(-c2ccco2)o1)[C@@H]1CCCO1 ZINC000775789074 698033366 /nfs/dbraw/zinc/03/33/66/698033366.db2.gz BMOHUKZASZHHIZ-MNOVXSKESA-N 1 2 316.317 1.039 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC(c2c[nH]c[nH+]2)CC1)[C@@H]1CCCO1 ZINC000776227951 698084101 /nfs/dbraw/zinc/08/41/01/698084101.db2.gz MQQJEWHHQVVBID-HIFRSBDPSA-N 1 2 302.378 1.480 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC(c2c[nH+]c[nH]2)CC1)[C@@H]1CCCO1 ZINC000776227951 698084106 /nfs/dbraw/zinc/08/41/06/698084106.db2.gz MQQJEWHHQVVBID-HIFRSBDPSA-N 1 2 302.378 1.480 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@H](n2cc[nH+]c2)C1)[C@@H]1CCCO1 ZINC000776227332 698084225 /nfs/dbraw/zinc/08/42/25/698084225.db2.gz JMZYMPNXCJPUGE-KKUMJFAQSA-N 1 2 302.378 1.410 20 30 DDEDLO CC(C[NH+]1CCCCCC1)=NNc1nc(=O)c2cnn(C)c2[nH]1 ZINC000777437655 698190210 /nfs/dbraw/zinc/19/02/10/698190210.db2.gz SVNBMQYGGCWHNZ-UHFFFAOYSA-N 1 2 317.397 1.733 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+][C@@H]1CCS(=O)(=O)C1 ZINC000778152246 698245589 /nfs/dbraw/zinc/24/55/89/698245589.db2.gz QUNLXFMQXLACEZ-LLVKDONJSA-N 1 2 313.806 1.629 20 30 DDEDLO N#Cc1ccc(CNC(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)nc1 ZINC000780652356 698543941 /nfs/dbraw/zinc/54/39/41/698543941.db2.gz ZBQWHPNQCJMYEJ-ZDUSSCGKSA-N 1 2 310.361 1.766 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3ocnc3C)CC2)nc1 ZINC000989512532 698652497 /nfs/dbraw/zinc/65/24/97/698652497.db2.gz NLEWUALCMDYUPY-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3ocnc3C)CC2)nc1 ZINC000989512532 698652498 /nfs/dbraw/zinc/65/24/98/698652498.db2.gz NLEWUALCMDYUPY-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C=C[C@H](C(=O)N1CCC[N@@H+]([C@@H](C)C(N)=O)CC1)c1ccccc1 ZINC000989720061 698739745 /nfs/dbraw/zinc/73/97/45/698739745.db2.gz OPWIXSZNGAQRJD-HOCLYGCPSA-N 1 2 315.417 1.364 20 30 DDEDLO C=C[C@H](C(=O)N1CCC[N@H+]([C@@H](C)C(N)=O)CC1)c1ccccc1 ZINC000989720061 698739747 /nfs/dbraw/zinc/73/97/47/698739747.db2.gz OPWIXSZNGAQRJD-HOCLYGCPSA-N 1 2 315.417 1.364 20 30 DDEDLO C=CCC[N@@H+](C)Cn1nnc(-c2ccc(OC)c(OC)c2)n1 ZINC000786100614 699126074 /nfs/dbraw/zinc/12/60/74/699126074.db2.gz COGVIORKBFXIBW-UHFFFAOYSA-N 1 2 303.366 1.823 20 30 DDEDLO C=CCC[N@H+](C)Cn1nnc(-c2ccc(OC)c(OC)c2)n1 ZINC000786100614 699126076 /nfs/dbraw/zinc/12/60/76/699126076.db2.gz COGVIORKBFXIBW-UHFFFAOYSA-N 1 2 303.366 1.823 20 30 DDEDLO C=CCNC(=O)C[NH2+]Cc1cc(Br)cc(F)c1O ZINC000715811655 699277199 /nfs/dbraw/zinc/27/71/99/699277199.db2.gz HYHJIHQERGXUEL-UHFFFAOYSA-N 1 2 317.158 1.686 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](N(C)C(=O)OC(C)(C)C)C1 ZINC000725812001 699335089 /nfs/dbraw/zinc/33/50/89/699335089.db2.gz AHNZYHHJLDTLJI-ZDUSSCGKSA-N 1 2 309.410 1.067 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](N(C)C(=O)OC(C)(C)C)C1 ZINC000725812001 699335093 /nfs/dbraw/zinc/33/50/93/699335093.db2.gz AHNZYHHJLDTLJI-ZDUSSCGKSA-N 1 2 309.410 1.067 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)CCc2c[nH+]cn2C)c1 ZINC000789959666 699425999 /nfs/dbraw/zinc/42/59/99/699425999.db2.gz WQYUFRCSLZNTEG-UHFFFAOYSA-N 1 2 310.357 1.089 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)CCC(=O)N2C ZINC000730285584 699507158 /nfs/dbraw/zinc/50/71/58/699507158.db2.gz YSUUGKJNRQNZOA-INIZCTEOSA-N 1 2 311.385 1.632 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)CCC(=O)N2C ZINC000730285584 699507160 /nfs/dbraw/zinc/50/71/60/699507160.db2.gz YSUUGKJNRQNZOA-INIZCTEOSA-N 1 2 311.385 1.632 20 30 DDEDLO C#CCOC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000796088750 699911566 /nfs/dbraw/zinc/91/15/66/699911566.db2.gz TYSRKOJWDWDBLG-IUODEOHRSA-N 1 2 303.362 1.286 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(C(=O)c2cccc(F)c2)CC1 ZINC000801911444 700352132 /nfs/dbraw/zinc/35/21/32/700352132.db2.gz ZRFFJDIYBMLRGN-ZDUSSCGKSA-N 1 2 305.353 1.512 20 30 DDEDLO C[C@@H](N[NH+]=Cc1cnc(N2CCN(C)CC2)nc1)c1ccccc1 ZINC000755664913 700576268 /nfs/dbraw/zinc/57/62/68/700576268.db2.gz RACWWMCCOZYVDG-OAHLLOKOSA-N 1 2 324.432 1.913 20 30 DDEDLO C#CC[C@@H](NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)c1ccccc1 ZINC000757638822 700667166 /nfs/dbraw/zinc/66/71/66/700667166.db2.gz KBSBBONCUGOQSV-DOTOQJQBSA-N 1 2 315.417 1.771 20 30 DDEDLO C#CC[C@@H](NC(=O)NCC[N@H+]1CCO[C@@H](C)C1)c1ccccc1 ZINC000757638822 700667167 /nfs/dbraw/zinc/66/71/67/700667167.db2.gz KBSBBONCUGOQSV-DOTOQJQBSA-N 1 2 315.417 1.771 20 30 DDEDLO N#Cc1cccn(C[NH+]2CCC(OC[C@H]3CCCO3)CC2)c1=O ZINC000758234819 700692509 /nfs/dbraw/zinc/69/25/09/700692509.db2.gz FQSGSQYGPASKNI-MRXNPFEDSA-N 1 2 317.389 1.337 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][nH]2)cc1 ZINC000763225779 700929720 /nfs/dbraw/zinc/92/97/20/700929720.db2.gz UKCYMTCVOYKOLH-HNNXBMFYSA-N 1 2 310.357 1.818 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][nH]2)cc1 ZINC000763225779 700929722 /nfs/dbraw/zinc/92/97/22/700929722.db2.gz UKCYMTCVOYKOLH-HNNXBMFYSA-N 1 2 310.357 1.818 20 30 DDEDLO CN(CCO)c1ccc(NCc2cnc3ccc(C#N)cn23)[nH+]c1 ZINC000763488260 700939393 /nfs/dbraw/zinc/93/93/93/700939393.db2.gz XIBVJPQCTWPBGU-UHFFFAOYSA-N 1 2 322.372 1.642 20 30 DDEDLO COc1c(C)c[nH+]c(CNS(=O)(=O)c2ccc(C#N)o2)c1C ZINC000804975568 701222671 /nfs/dbraw/zinc/22/26/71/701222671.db2.gz JEWJJDHLHIFNPT-UHFFFAOYSA-N 1 2 321.358 1.650 20 30 DDEDLO Cc1ccn(C[N@@H+]2CCSC3(CCOCC3)C2)c(=O)c1C#N ZINC000769777421 701255572 /nfs/dbraw/zinc/25/55/72/701255572.db2.gz JRTVRVJGQSMCPS-UHFFFAOYSA-N 1 2 319.430 1.584 20 30 DDEDLO Cc1ccn(C[N@H+]2CCSC3(CCOCC3)C2)c(=O)c1C#N ZINC000769777421 701255574 /nfs/dbraw/zinc/25/55/74/701255574.db2.gz JRTVRVJGQSMCPS-UHFFFAOYSA-N 1 2 319.430 1.584 20 30 DDEDLO Cc1nn2c([nH]c3ccccc3c2=O)c1C=[NH+]NC[C@H](C)C#N ZINC000771108568 701310304 /nfs/dbraw/zinc/31/03/04/701310304.db2.gz UOZJSVKMNCFULJ-SNVBAGLBSA-N 1 2 308.345 1.567 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCCOc2ccccc2C#N)C1 ZINC000805479297 701385034 /nfs/dbraw/zinc/38/50/34/701385034.db2.gz MGTHENASXPQCKW-HNNXBMFYSA-N 1 2 304.346 1.201 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCCOc2ccccc2C#N)C1 ZINC000805479297 701385036 /nfs/dbraw/zinc/38/50/36/701385036.db2.gz MGTHENASXPQCKW-HNNXBMFYSA-N 1 2 304.346 1.201 20 30 DDEDLO CCCCCCC[NH+]1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000809987921 701709611 /nfs/dbraw/zinc/70/96/11/701709611.db2.gz QPCWSBQAUUJFAC-UHFFFAOYSA-N 1 2 314.455 1.686 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CC[C@](O)(c3ccc(F)cc3)C2)C1=O ZINC000840041931 701932029 /nfs/dbraw/zinc/93/20/29/701932029.db2.gz VJQITBQLUOZDJS-QGZVFWFLSA-N 1 2 319.380 1.600 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CC[C@](O)(c3ccc(F)cc3)C2)C1=O ZINC000840041931 701932031 /nfs/dbraw/zinc/93/20/31/701932031.db2.gz VJQITBQLUOZDJS-QGZVFWFLSA-N 1 2 319.380 1.600 20 30 DDEDLO C[C@@H]1CN(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C(=O)[C@H]1C ZINC000840074563 701954048 /nfs/dbraw/zinc/95/40/48/701954048.db2.gz FDBLUPWATWMLRT-IPYPFGDCSA-N 1 2 314.389 1.478 20 30 DDEDLO C[C@@H]1CN(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C(=O)[C@H]1C ZINC000840074563 701954054 /nfs/dbraw/zinc/95/40/54/701954054.db2.gz FDBLUPWATWMLRT-IPYPFGDCSA-N 1 2 314.389 1.478 20 30 DDEDLO C#CC[C@@H](Cc1ccccc1)NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000868276736 702037214 /nfs/dbraw/zinc/03/72/14/702037214.db2.gz GMYPBXGVUJWPSW-TWVNLXSWSA-N 1 2 312.413 1.626 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[NH+](CCc2cnccn2)CC1)C(C)C ZINC000868576951 702207265 /nfs/dbraw/zinc/20/72/65/702207265.db2.gz VKDDXWXLSCFIDF-INIZCTEOSA-N 1 2 315.421 1.004 20 30 DDEDLO CCOCCOC[C@@H](O)C[NH2+][C@@H](C)c1cccc(C#N)c1O ZINC000866348102 706678746 /nfs/dbraw/zinc/67/87/46/706678746.db2.gz ZJPNRINXNIRRSU-JSGCOSHPSA-N 1 2 308.378 1.328 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](c1ccccc1F)[C@H](C)O ZINC000846359422 703269090 /nfs/dbraw/zinc/26/90/90/703269090.db2.gz ISFBTCHWKVHOSC-VBNZEHGJSA-N 1 2 304.365 1.461 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](c1ccccc1F)[C@H](C)O ZINC000846359422 703269094 /nfs/dbraw/zinc/26/90/94/703269094.db2.gz ISFBTCHWKVHOSC-VBNZEHGJSA-N 1 2 304.365 1.461 20 30 DDEDLO CC1(C)C[C@H](O)C[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000879621475 706741964 /nfs/dbraw/zinc/74/19/64/706741964.db2.gz DOJAXRQASWWBCQ-STQMWFEESA-N 1 2 319.430 1.818 20 30 DDEDLO CC1(C)C[C@H](O)C[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000879621475 706741965 /nfs/dbraw/zinc/74/19/65/706741965.db2.gz DOJAXRQASWWBCQ-STQMWFEESA-N 1 2 319.430 1.818 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCc2ccccc2NC1=O ZINC000848269033 703534355 /nfs/dbraw/zinc/53/43/55/703534355.db2.gz OQDOQRLZWWTHTQ-HOTGVXAUSA-N 1 2 311.385 1.154 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CCc2ccccc2NC1=O ZINC000848269033 703534356 /nfs/dbraw/zinc/53/43/56/703534356.db2.gz OQDOQRLZWWTHTQ-HOTGVXAUSA-N 1 2 311.385 1.154 20 30 DDEDLO N#CC1(C(=O)N2CCO[C@H](C[NH+]3CCOCC3)C2)CCCCC1 ZINC000870069563 703907926 /nfs/dbraw/zinc/90/79/26/703907926.db2.gz KDJYPHWFCHDCGG-OAHLLOKOSA-N 1 2 321.421 1.020 20 30 DDEDLO COc1cccc([C@H]2CN(C(=O)c3c[nH]c(C#N)c3)CC[NH2+]2)c1 ZINC000870151426 703933717 /nfs/dbraw/zinc/93/37/17/703933717.db2.gz CAXCAIODFQODPP-MRXNPFEDSA-N 1 2 310.357 1.682 20 30 DDEDLO N#C[C@H](C(=O)C[N@@H+]1CC[C@H]2C[C@H]2C1)c1nc2ccccc2c(=O)[nH]1 ZINC000852636162 704088930 /nfs/dbraw/zinc/08/89/30/704088930.db2.gz PCGIEDCMYODXQP-SGMGOOAPSA-N 1 2 322.368 1.853 20 30 DDEDLO N#C[C@H](C(=O)C[N@H+]1CC[C@H]2C[C@H]2C1)c1nc2ccccc2c(=O)[nH]1 ZINC000852636162 704088931 /nfs/dbraw/zinc/08/89/31/704088931.db2.gz PCGIEDCMYODXQP-SGMGOOAPSA-N 1 2 322.368 1.853 20 30 DDEDLO C=CCCn1cc(C(=O)Nc2ccc(C)[nH+]c2N(C)C)nn1 ZINC000819417872 704122635 /nfs/dbraw/zinc/12/26/35/704122635.db2.gz NJUXGKRJDYHURZ-UHFFFAOYSA-N 1 2 300.366 1.876 20 30 DDEDLO COc1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)c(OC)c1OC ZINC000819450192 704127079 /nfs/dbraw/zinc/12/70/79/704127079.db2.gz XAOJYGWPXNELBA-GFCCVEGCSA-N 1 2 323.393 1.331 20 30 DDEDLO COc1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)c(OC)c1OC ZINC000819450192 704127082 /nfs/dbraw/zinc/12/70/82/704127082.db2.gz XAOJYGWPXNELBA-GFCCVEGCSA-N 1 2 323.393 1.331 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccccc1O[C@H]1CCOC1 ZINC000819458108 704129140 /nfs/dbraw/zinc/12/91/40/704129140.db2.gz IAKJWGPUWGLLHJ-CABCVRRESA-N 1 2 319.405 1.473 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccccc1O[C@H]1CCOC1 ZINC000819458108 704129142 /nfs/dbraw/zinc/12/91/42/704129142.db2.gz IAKJWGPUWGLLHJ-CABCVRRESA-N 1 2 319.405 1.473 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C2(CCOCC2)[C@H]1C1CC1 ZINC000853620708 704287456 /nfs/dbraw/zinc/28/74/56/704287456.db2.gz ARQUWGKRVUBZOW-MRXNPFEDSA-N 1 2 320.433 1.333 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C2(CCOCC2)[C@H]1C1CC1 ZINC000853620708 704287458 /nfs/dbraw/zinc/28/74/58/704287458.db2.gz ARQUWGKRVUBZOW-MRXNPFEDSA-N 1 2 320.433 1.333 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)cc1 ZINC000854101541 704356652 /nfs/dbraw/zinc/35/66/52/704356652.db2.gz ZNAVGRKKUUHSCB-HUUCEWRRSA-N 1 2 315.417 1.576 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)cc1 ZINC000854101541 704356654 /nfs/dbraw/zinc/35/66/54/704356654.db2.gz ZNAVGRKKUUHSCB-HUUCEWRRSA-N 1 2 315.417 1.576 20 30 DDEDLO N#Cc1cccc([C@H]2CN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)CCO2)c1 ZINC000821399230 704404162 /nfs/dbraw/zinc/40/41/62/704404162.db2.gz AUPAMHOSCZZCNS-BFYDXBDKSA-N 1 2 322.368 1.985 20 30 DDEDLO N#Cc1cccc([C@H]2CN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)CCO2)c1 ZINC000821399230 704404165 /nfs/dbraw/zinc/40/41/65/704404165.db2.gz AUPAMHOSCZZCNS-BFYDXBDKSA-N 1 2 322.368 1.985 20 30 DDEDLO C#C[C@@](C)(CC)NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000854697514 704441550 /nfs/dbraw/zinc/44/15/50/704441550.db2.gz DZFFNTUVFAZWJU-WMZOPIPTSA-N 1 2 300.402 1.806 20 30 DDEDLO C#C[C@@](C)(CC)NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000854697514 704441551 /nfs/dbraw/zinc/44/15/51/704441551.db2.gz DZFFNTUVFAZWJU-WMZOPIPTSA-N 1 2 300.402 1.806 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC000855303992 704478224 /nfs/dbraw/zinc/47/82/24/704478224.db2.gz JDDLVLRVUZJLGS-QGZVFWFLSA-N 1 2 309.373 1.752 20 30 DDEDLO C#C[C@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C1CCOCC1 ZINC000856120379 704515023 /nfs/dbraw/zinc/51/50/23/704515023.db2.gz PAKXUNUKTGTOOR-HNNXBMFYSA-N 1 2 301.390 1.307 20 30 DDEDLO O=C(C#Cc1ccc(C(F)(F)F)cc1)N[C@H]1Cc2c[nH+]cn2C1 ZINC000857887946 704638157 /nfs/dbraw/zinc/63/81/57/704638157.db2.gz WZNJTUQBRKVJMC-ZDUSSCGKSA-N 1 2 319.286 1.995 20 30 DDEDLO N#CCOc1ccc(CC(=O)N[C@@H]2CCn3c[nH+]cc3C2)cc1 ZINC000857944697 704646979 /nfs/dbraw/zinc/64/69/79/704646979.db2.gz LUAMLBIRZAAGRR-CQSZACIVSA-N 1 2 310.357 1.459 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H](Nc2cc[nH+]c(CO)c2)[C@@H](C#N)C1 ZINC000858481740 704716730 /nfs/dbraw/zinc/71/67/30/704716730.db2.gz OHSYWZFZPYUWED-FZMZJTMJSA-N 1 2 318.377 1.745 20 30 DDEDLO CO[C@@]1(C(F)(F)F)CC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000880302138 706933715 /nfs/dbraw/zinc/93/37/15/706933715.db2.gz DWGOJPPABWNJLO-PWSUYJOCSA-N 1 2 307.316 1.400 20 30 DDEDLO CO[C@@]1(C(F)(F)F)CC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000880302138 706933718 /nfs/dbraw/zinc/93/37/18/706933718.db2.gz DWGOJPPABWNJLO-PWSUYJOCSA-N 1 2 307.316 1.400 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)C(=O)Nc2ccc3[nH+]c(C)cn3c2)CC1 ZINC000874372570 705024235 /nfs/dbraw/zinc/02/42/35/705024235.db2.gz IZIGMNRAUWNVNF-HDJSIYSDSA-N 1 2 324.384 1.889 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)nc1 ZINC000822948995 705090390 /nfs/dbraw/zinc/09/03/90/705090390.db2.gz CWBMUXVLUKDPMR-LBPRGKRZSA-N 1 2 323.278 1.960 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)nc1 ZINC000822948994 705090424 /nfs/dbraw/zinc/09/04/24/705090424.db2.gz CWBMUXVLUKDPMR-GFCCVEGCSA-N 1 2 323.278 1.960 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2C[C@@H]3COC(=O)[C@@H]3C2)c(C#N)c1C ZINC000860161729 705119540 /nfs/dbraw/zinc/11/95/40/705119540.db2.gz LLZVWVACKJNRNM-UFGOTCBOSA-N 1 2 317.345 1.200 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2C[C@@H]3COC(=O)[C@@H]3C2)c(C#N)c1C ZINC000860161729 705119544 /nfs/dbraw/zinc/11/95/44/705119544.db2.gz LLZVWVACKJNRNM-UFGOTCBOSA-N 1 2 317.345 1.200 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000825080074 705595870 /nfs/dbraw/zinc/59/58/70/705595870.db2.gz GIOYZVPDLINUBP-RYUDHWBXSA-N 1 2 317.311 1.921 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000876077231 705613595 /nfs/dbraw/zinc/61/35/95/705613595.db2.gz IXENFWAVGUOCPR-INIZCTEOSA-N 1 2 316.401 1.287 20 30 DDEDLO C#C[C@H](NC(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1)c1ccccc1 ZINC000826046079 705763068 /nfs/dbraw/zinc/76/30/68/705763068.db2.gz HZSGQBZAISLUTD-ZOBUZTSGSA-N 1 2 315.417 1.769 20 30 DDEDLO C#CC[C@@H]1CCCN(c2cc(N3CC[C@H]([C@@H](C)O)C3)nc[nH+]2)C1 ZINC000826584446 705819882 /nfs/dbraw/zinc/81/98/82/705819882.db2.gz GOZYVOPTAMMTTL-OAGGEKHMSA-N 1 2 314.433 1.923 20 30 DDEDLO C#CC[C@@H]1CCCN(c2cc(N3CC[C@H]([C@@H](C)O)C3)[nH+]cn2)C1 ZINC000826584446 705819887 /nfs/dbraw/zinc/81/98/87/705819887.db2.gz GOZYVOPTAMMTTL-OAGGEKHMSA-N 1 2 314.433 1.923 20 30 DDEDLO C[C@@H]1C[C@@H]1CON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000863456648 705933849 /nfs/dbraw/zinc/93/38/49/705933849.db2.gz YXLJLSHIHZSZAW-CZUORRHYSA-N 1 2 303.406 1.812 20 30 DDEDLO COc1nccc(C[NH+]2CCN(c3ccc(C#N)cc3)CC2)n1 ZINC000877745523 706196265 /nfs/dbraw/zinc/19/62/65/706196265.db2.gz ZFSOOUGUDHUUMP-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CC=C(Cl)Cl)CC1 ZINC000881811931 707375363 /nfs/dbraw/zinc/37/53/63/707375363.db2.gz VWAAKOSIBXNXQZ-UHFFFAOYSA-N 1 2 311.234 1.276 20 30 DDEDLO C=CC[N@@H+](Cc1nnc2c(=O)[nH]ccn12)CC1CCCCC1 ZINC000878097929 706292302 /nfs/dbraw/zinc/29/23/02/706292302.db2.gz QBCFDASQMNKTIO-UHFFFAOYSA-N 1 2 301.394 1.986 20 30 DDEDLO C=CC[N@H+](Cc1nnc2c(=O)[nH]ccn12)CC1CCCCC1 ZINC000878097929 706292304 /nfs/dbraw/zinc/29/23/04/706292304.db2.gz QBCFDASQMNKTIO-UHFFFAOYSA-N 1 2 301.394 1.986 20 30 DDEDLO N#CCC[N@@H+](CCCOC(F)(F)F)CCN1CCOCC1 ZINC000878159782 706309923 /nfs/dbraw/zinc/30/99/23/706309923.db2.gz USLJHVQMMVMVIB-UHFFFAOYSA-N 1 2 309.332 1.461 20 30 DDEDLO N#CCC[N@H+](CCCOC(F)(F)F)CCN1CCOCC1 ZINC000878159782 706309924 /nfs/dbraw/zinc/30/99/24/706309924.db2.gz USLJHVQMMVMVIB-UHFFFAOYSA-N 1 2 309.332 1.461 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(-c3cn[nH]c3)cn2)CC1 ZINC000865086772 706345661 /nfs/dbraw/zinc/34/56/61/706345661.db2.gz ZDTRDYQSSNCCPG-UHFFFAOYSA-N 1 2 309.373 1.253 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ccc3[nH]cc(C=O)c3c2)C1 ZINC000829582016 706360529 /nfs/dbraw/zinc/36/05/29/706360529.db2.gz IHRXGFZCXYZERK-HNNXBMFYSA-N 1 2 309.369 1.808 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc3[nH]cc(C=O)c3c2)C1 ZINC000829582016 706360533 /nfs/dbraw/zinc/36/05/33/706360533.db2.gz IHRXGFZCXYZERK-HNNXBMFYSA-N 1 2 309.369 1.808 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000878383196 706373914 /nfs/dbraw/zinc/37/39/14/706373914.db2.gz LFBLJLAEUGEICV-INIZCTEOSA-N 1 2 312.479 1.913 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCCN(c2ncccc2F)CC1 ZINC000878483697 706403697 /nfs/dbraw/zinc/40/36/97/706403697.db2.gz FCLGFETVEQRIBV-AWEZNQCLSA-N 1 2 307.369 1.851 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCCN(c2ncccc2F)CC1 ZINC000878483697 706403699 /nfs/dbraw/zinc/40/36/99/706403699.db2.gz FCLGFETVEQRIBV-AWEZNQCLSA-N 1 2 307.369 1.851 20 30 DDEDLO C[N@H+](C[C@H](O)c1cc(Br)no1)CC(C)(C)C#N ZINC000878649795 706456473 /nfs/dbraw/zinc/45/64/73/706456473.db2.gz CPLPPZQZAIQJMA-QMMMGPOBSA-N 1 2 302.172 1.952 20 30 DDEDLO C[N@@H+](C[C@H](O)c1cc(Br)no1)CC(C)(C)C#N ZINC000878649795 706456477 /nfs/dbraw/zinc/45/64/77/706456477.db2.gz CPLPPZQZAIQJMA-QMMMGPOBSA-N 1 2 302.172 1.952 20 30 DDEDLO CCCNc1cc(N2CCN(c3ccc(C#N)nc3)CC2)nc[nH+]1 ZINC000865763008 706518869 /nfs/dbraw/zinc/51/88/69/706518869.db2.gz ACNIKPRWMNYVRR-UHFFFAOYSA-N 1 2 323.404 1.892 20 30 DDEDLO CCCNc1cc(N2CCN(c3ccc(C#N)nc3)CC2)[nH+]cn1 ZINC000865763008 706518873 /nfs/dbraw/zinc/51/88/73/706518873.db2.gz ACNIKPRWMNYVRR-UHFFFAOYSA-N 1 2 323.404 1.892 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2cnc(C(=O)OCC)s2)CC1 ZINC000880482822 706988571 /nfs/dbraw/zinc/98/85/71/706988571.db2.gz SCUDZSPYQPPQQJ-UHFFFAOYSA-N 1 2 308.403 1.670 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc([N+](=O)[O-])c(F)c2)nn1 ZINC000881213230 707155423 /nfs/dbraw/zinc/15/54/23/707155423.db2.gz MOUJKROGZSOTQK-UHFFFAOYSA-N 1 2 303.297 1.487 20 30 DDEDLO N#CCc1ccnc(NC(=O)N2CCN(c3cccc[nH+]3)CC2)c1 ZINC000871718520 707176277 /nfs/dbraw/zinc/17/62/77/707176277.db2.gz IIZHBNCDEXZOCM-UHFFFAOYSA-N 1 2 322.372 1.897 20 30 DDEDLO C[C@H]([NH2+][C@H]1C[C@H](O)C12CCOCC2)c1cccc(C#N)c1O ZINC000927155730 712947579 /nfs/dbraw/zinc/94/75/79/712947579.db2.gz XEAROBGJGSKWDP-CQDKDKBSSA-N 1 2 302.374 1.844 20 30 DDEDLO C#C[C@@H](NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2)C(C)C ZINC000882153852 707518982 /nfs/dbraw/zinc/51/89/82/707518982.db2.gz KBEOEMMCEHPMOE-OAHLLOKOSA-N 1 2 306.431 1.611 20 30 DDEDLO C#C[C@@H](NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2)C(C)C ZINC000882153852 707518984 /nfs/dbraw/zinc/51/89/84/707518984.db2.gz KBEOEMMCEHPMOE-OAHLLOKOSA-N 1 2 306.431 1.611 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[NH+](CCCOC(C)(C)C)CC1 ZINC000882735167 707766758 /nfs/dbraw/zinc/76/67/58/707766758.db2.gz XFQHNLVCZSMGKP-UHFFFAOYSA-N 1 2 316.467 1.162 20 30 DDEDLO C=C(C)C[C@H](CO)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000882844847 707814978 /nfs/dbraw/zinc/81/49/78/707814978.db2.gz BSPWECVBBHDFRL-CQSZACIVSA-N 1 2 324.446 1.280 20 30 DDEDLO C=C(C)C[C@H](CO)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000882844847 707814982 /nfs/dbraw/zinc/81/49/82/707814982.db2.gz BSPWECVBBHDFRL-CQSZACIVSA-N 1 2 324.446 1.280 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2c3c(nn2C)CCSC3)nn1 ZINC000883149576 707941552 /nfs/dbraw/zinc/94/15/52/707941552.db2.gz DVTSDRJNEGNNHR-UHFFFAOYSA-N 1 2 304.423 1.277 20 30 DDEDLO C#CC[C@@H](Cc1ccccc1)NC(=O)NCCc1cn(C)c[nH+]1 ZINC000838589299 707949683 /nfs/dbraw/zinc/94/96/83/707949683.db2.gz KOOJDFJYGQGJQF-INIZCTEOSA-N 1 2 310.401 1.896 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](CC)c1ccc(OC)cc1 ZINC000884057278 708120796 /nfs/dbraw/zinc/12/07/96/708120796.db2.gz ALQNPWNETYUJOO-LSDHHAIUSA-N 1 2 320.389 1.709 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H]1CCc2ccccc21 ZINC000884066162 708124006 /nfs/dbraw/zinc/12/40/06/708124006.db2.gz NFQLBUFRQHBPLX-LSDHHAIUSA-N 1 2 302.374 1.579 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CC)c1ccc(OC)cc1 ZINC000884070817 708125722 /nfs/dbraw/zinc/12/57/22/708125722.db2.gz YUWMKJWGOJHTTJ-AWEZNQCLSA-N 1 2 306.362 1.495 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@H](C)[C@H]2CCCC[C@@H]21 ZINC000884091278 708134914 /nfs/dbraw/zinc/13/49/14/708134914.db2.gz SHUJYYPCFXGXJQ-XGUBFFRZSA-N 1 2 308.422 1.860 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1cccc(OCC)c1 ZINC000884099885 708138592 /nfs/dbraw/zinc/13/85/92/708138592.db2.gz BCAXPXWGXJHVBV-DOMZBBRYSA-N 1 2 320.389 1.709 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000884101146 708139030 /nfs/dbraw/zinc/13/90/30/708139030.db2.gz PLFXBXGZMUTXSZ-DXGYCSDQSA-N 1 2 306.406 1.470 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@@H](C)Oc2cc(F)ccc21 ZINC000884155569 708163780 /nfs/dbraw/zinc/16/37/80/708163780.db2.gz FTDSVYQHKXXSHO-PWSUYJOCSA-N 1 2 322.336 1.386 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(C(F)F)cc1 ZINC000884313554 708239762 /nfs/dbraw/zinc/23/97/62/708239762.db2.gz IUNDIDZTYICYCB-LBPRGKRZSA-N 1 2 312.316 1.687 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H](c2ccccc2)C1 ZINC000884393375 708277948 /nfs/dbraw/zinc/27/79/48/708277948.db2.gz BAOXKRNYAUIWCG-HOTGVXAUSA-N 1 2 316.401 1.839 20 30 DDEDLO C[C@@H]1C[N@H+](CCO)CCN1C(=O)C(F)(F)c1ccccc1C#N ZINC000909435819 713017450 /nfs/dbraw/zinc/01/74/50/713017450.db2.gz BPYHRFSTHQVJKC-GFCCVEGCSA-N 1 2 323.343 1.175 20 30 DDEDLO C[C@@H]1C[N@@H+](CCO)CCN1C(=O)C(F)(F)c1ccccc1C#N ZINC000909435819 713017451 /nfs/dbraw/zinc/01/74/51/713017451.db2.gz BPYHRFSTHQVJKC-GFCCVEGCSA-N 1 2 323.343 1.175 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)C1 ZINC000884594644 708335019 /nfs/dbraw/zinc/33/50/19/708335019.db2.gz OFDAILUHRLFJMM-HUUCEWRRSA-N 1 2 300.406 1.677 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC000884594644 708335021 /nfs/dbraw/zinc/33/50/21/708335021.db2.gz OFDAILUHRLFJMM-HUUCEWRRSA-N 1 2 300.406 1.677 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)C(C)(C)n2c[nH+]c(C)c2)n1 ZINC000897600577 708389145 /nfs/dbraw/zinc/38/91/45/708389145.db2.gz MLEUQORDDGREGL-UHFFFAOYSA-N 1 2 313.405 1.805 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2cc(C)ccc2C)C1 ZINC000885514072 708564056 /nfs/dbraw/zinc/56/40/56/708564056.db2.gz OTRBKMAQKZVKIR-OAHLLOKOSA-N 1 2 306.431 1.679 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2cc(C)ccc2C)C1 ZINC000885514072 708564057 /nfs/dbraw/zinc/56/40/57/708564057.db2.gz OTRBKMAQKZVKIR-OAHLLOKOSA-N 1 2 306.431 1.679 20 30 DDEDLO N#Cc1cccc(OCC(=O)N[C@H]2CCC[N@@H+](CCF)C2)c1 ZINC000898489385 708654789 /nfs/dbraw/zinc/65/47/89/708654789.db2.gz NOCRCPHNSDFPMF-AWEZNQCLSA-N 1 2 305.353 1.487 20 30 DDEDLO N#Cc1cccc(OCC(=O)N[C@H]2CCC[N@H+](CCF)C2)c1 ZINC000898489385 708654791 /nfs/dbraw/zinc/65/47/91/708654791.db2.gz NOCRCPHNSDFPMF-AWEZNQCLSA-N 1 2 305.353 1.487 20 30 DDEDLO C#CC[C@@H]1CC[N@@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000886363062 708749617 /nfs/dbraw/zinc/74/96/17/708749617.db2.gz YUZTVKSEOQABOR-GFCCVEGCSA-N 1 2 303.387 1.354 20 30 DDEDLO C#CC[C@@H]1CC[N@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000886363062 708749618 /nfs/dbraw/zinc/74/96/18/708749618.db2.gz YUZTVKSEOQABOR-GFCCVEGCSA-N 1 2 303.387 1.354 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CC[C@@](CO)(C(F)(F)F)C1)C1CC1 ZINC000886793859 708845709 /nfs/dbraw/zinc/84/57/09/708845709.db2.gz VXJQVGBWRKOHJG-CHWSQXEVSA-N 1 2 319.327 1.042 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CC[C@@](CO)(C(F)(F)F)C1)C1CC1 ZINC000886793859 708845713 /nfs/dbraw/zinc/84/57/13/708845713.db2.gz VXJQVGBWRKOHJG-CHWSQXEVSA-N 1 2 319.327 1.042 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)[C@@H]1C[C@H]1c1cccc(C#N)c1 ZINC000898890899 708910171 /nfs/dbraw/zinc/91/01/71/708910171.db2.gz DMZDMDNOZZCWSZ-RRFJBIMHSA-N 1 2 310.357 1.245 20 30 DDEDLO N#Cc1ccc([C@H]2CN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)CCO2)cc1 ZINC000887738800 709115161 /nfs/dbraw/zinc/11/51/61/709115161.db2.gz HLVRRPXXAKGXAF-BFYDXBDKSA-N 1 2 322.368 1.985 20 30 DDEDLO N#Cc1ccc([C@H]2CN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)CCO2)cc1 ZINC000887738800 709115163 /nfs/dbraw/zinc/11/51/63/709115163.db2.gz HLVRRPXXAKGXAF-BFYDXBDKSA-N 1 2 322.368 1.985 20 30 DDEDLO N#Cc1ccc(F)c(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC000888373702 709290676 /nfs/dbraw/zinc/29/06/76/709290676.db2.gz OYLWSUMOWCFDOU-ZDUSSCGKSA-N 1 2 318.352 1.636 20 30 DDEDLO O=C(c1cccc(C#CCO)c1)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000900564517 709653189 /nfs/dbraw/zinc/65/31/89/709653189.db2.gz YETUSWIYMSWIFY-KRWDZBQOSA-N 1 2 309.369 1.704 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+]3CCSC[C@H]23)cc1O ZINC000928088400 713124964 /nfs/dbraw/zinc/12/49/64/713124964.db2.gz HEHYUBWWTHQYAF-ZIAGYGMSSA-N 1 2 317.414 1.573 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+]3CCSC[C@H]23)cc1O ZINC000928088400 713124966 /nfs/dbraw/zinc/12/49/66/713124966.db2.gz HEHYUBWWTHQYAF-ZIAGYGMSSA-N 1 2 317.414 1.573 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cnn(C2CCC2)c1 ZINC000891394961 710183806 /nfs/dbraw/zinc/18/38/06/710183806.db2.gz KXMVECNNSYSPMT-UHFFFAOYSA-N 1 2 317.437 1.656 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)cs1 ZINC000891916636 710327112 /nfs/dbraw/zinc/32/71/12/710327112.db2.gz HPQLUOQUNGUWKI-OAHLLOKOSA-N 1 2 319.430 1.833 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)cs1 ZINC000891916636 710327115 /nfs/dbraw/zinc/32/71/15/710327115.db2.gz HPQLUOQUNGUWKI-OAHLLOKOSA-N 1 2 319.430 1.833 20 30 DDEDLO C[C@@]1(C#N)CN(C(=O)NCC[NH+]2Cc3ccccc3C2)CCO1 ZINC000892438538 710436751 /nfs/dbraw/zinc/43/67/51/710436751.db2.gz KHMDTFQSOJUPRA-QGZVFWFLSA-N 1 2 314.389 1.326 20 30 DDEDLO CN(C)c1cc(N2CCC(C#N)(c3ccccn3)CC2)nc[nH+]1 ZINC000893696261 710782561 /nfs/dbraw/zinc/78/25/61/710782561.db2.gz HLTJUWQDXLNORT-UHFFFAOYSA-N 1 2 308.389 1.999 20 30 DDEDLO CN(C)c1cc(N2CCC(C#N)(c3ccccn3)CC2)[nH+]cn1 ZINC000893696261 710782565 /nfs/dbraw/zinc/78/25/65/710782565.db2.gz HLTJUWQDXLNORT-UHFFFAOYSA-N 1 2 308.389 1.999 20 30 DDEDLO N#C[C@]1(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)CC2CCC1CC2 ZINC000913440356 713216896 /nfs/dbraw/zinc/21/68/96/713216896.db2.gz RBYURCJPKWXNCM-MALUVHSTSA-N 1 2 313.405 1.603 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2cn3c([nH+]2)CCCC3)C[C@H](C)N1CC#N ZINC000911713364 710944470 /nfs/dbraw/zinc/94/44/70/710944470.db2.gz JXWPITZZYOQKMU-OKILXGFUSA-N 1 2 315.421 1.207 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC000913449779 713220780 /nfs/dbraw/zinc/22/07/80/713220780.db2.gz PLFMNLYBDCQBHL-CYBMUJFWSA-N 1 2 313.361 1.156 20 30 DDEDLO CCCC[C@H](ON=C(C)C)C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC000913451935 713221543 /nfs/dbraw/zinc/22/15/43/713221543.db2.gz VRJONFCCMIABSB-GJZGRUSLSA-N 1 2 321.425 1.854 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000903206997 711064603 /nfs/dbraw/zinc/06/46/03/711064603.db2.gz MRQFXDRCKPGHNB-BETUJISGSA-N 1 2 309.366 1.164 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000903206997 711064607 /nfs/dbraw/zinc/06/46/07/711064607.db2.gz MRQFXDRCKPGHNB-BETUJISGSA-N 1 2 309.366 1.164 20 30 DDEDLO C#CCC1(C(=O)N2CC[NH2+][C@H](c3cnn(C)c3)C2)CCCCC1 ZINC000913460978 713226442 /nfs/dbraw/zinc/22/64/42/713226442.db2.gz ALUXRFQYAUDNEI-INIZCTEOSA-N 1 2 314.433 1.867 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)c3cccc(F)c3C#N)CC[NH2+]2)cn1 ZINC000913462632 713226924 /nfs/dbraw/zinc/22/69/24/713226924.db2.gz LRKGSBPKEDTYTN-OAHLLOKOSA-N 1 2 313.336 1.218 20 30 DDEDLO C[NH+](C)CC#CCNC(=O)c1cccc(Br)c1O ZINC000913520392 713243961 /nfs/dbraw/zinc/24/39/61/713243961.db2.gz OIDKUGXYDFJFLT-UHFFFAOYSA-N 1 2 311.179 1.450 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Cc1cccc(C#N)c1 ZINC000928644418 713247935 /nfs/dbraw/zinc/24/79/35/713247935.db2.gz XYSKQHZZUABWLQ-INIZCTEOSA-N 1 2 301.390 1.328 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000928645211 713248492 /nfs/dbraw/zinc/24/84/92/713248492.db2.gz DDBMLPFXUBSVII-GFCCVEGCSA-N 1 2 323.343 1.677 20 30 DDEDLO CN(C)c1ccc(/C=C/C[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000895065815 711394049 /nfs/dbraw/zinc/39/40/49/711394049.db2.gz DEYYOLPICRJMCV-ONEGZZNKSA-N 1 2 312.417 1.824 20 30 DDEDLO CCOc1c(C[NH2+][C@H]2CCCN(O)C2=O)c(C)nn1CC(C)C ZINC000895164790 711439284 /nfs/dbraw/zinc/43/92/84/711439284.db2.gz GZBQDDNAXLWALE-AWEZNQCLSA-N 1 2 324.425 1.716 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2cc(O)ccc2Br)CCCN1O ZINC000895167615 711440309 /nfs/dbraw/zinc/44/03/09/711440309.db2.gz YWUAHXGIMZPDKA-LLVKDONJSA-N 1 2 315.167 1.625 20 30 DDEDLO COCC[N@H+](C)Cc1cn(Cc2cc(Cl)cc(C#N)c2)nn1 ZINC000905835468 712160553 /nfs/dbraw/zinc/16/05/53/712160553.db2.gz DBFZKXXJYDEGNX-UHFFFAOYSA-N 1 2 319.796 1.930 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(Cc2cc(Cl)cc(C#N)c2)nn1 ZINC000905835468 712160554 /nfs/dbraw/zinc/16/05/54/712160554.db2.gz DBFZKXXJYDEGNX-UHFFFAOYSA-N 1 2 319.796 1.930 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3ccsc3C#N)CC2)cc[nH+]1 ZINC000907375554 712555035 /nfs/dbraw/zinc/55/50/35/712555035.db2.gz ZZAZVOKFPOQKRX-UHFFFAOYSA-N 1 2 313.386 1.681 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000908647033 712828301 /nfs/dbraw/zinc/82/83/01/712828301.db2.gz WREDDVMZLDNRFB-KBPBESRZSA-N 1 2 301.390 1.972 20 30 DDEDLO C/C(=C/C(=O)N[C@H](CC#N)C(F)(F)F)C[NH+]1CCOCC1 ZINC000928800613 713483141 /nfs/dbraw/zinc/48/31/41/713483141.db2.gz NNRDEKYDVKNIEK-HIJJYWJESA-N 1 2 305.300 1.226 20 30 DDEDLO CC(C)(CNS(=O)(=O)Cc1csc(C#N)c1)n1cc[nH+]c1 ZINC000919039468 713579115 /nfs/dbraw/zinc/57/91/15/713579115.db2.gz PEVHGFOKSNCSTO-UHFFFAOYSA-N 1 2 324.431 1.671 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@@H]2C[C@@H]3COC[C@@H]3O2)C1 ZINC000930924078 713960860 /nfs/dbraw/zinc/96/08/60/713960860.db2.gz SUYWCUSDASHMDJ-MUQADHOPSA-N 1 2 313.401 1.743 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@@H]2C[C@@H]3COC[C@@H]3O2)C1 ZINC000930924078 713960862 /nfs/dbraw/zinc/96/08/62/713960862.db2.gz SUYWCUSDASHMDJ-MUQADHOPSA-N 1 2 313.401 1.743 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2nc(-c3ccc(OC)cc3)no2)CC1 ZINC000931144016 714014746 /nfs/dbraw/zinc/01/47/46/714014746.db2.gz UWWAECOMJVWYGH-UHFFFAOYSA-N 1 2 313.357 1.705 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2nc(-c3ccccc3F)no2)CC1 ZINC000931151163 714016558 /nfs/dbraw/zinc/01/65/58/714016558.db2.gz OMCVZLXTFBNPNM-UHFFFAOYSA-N 1 2 301.321 1.836 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2sccc2C#N)[C@@H](C)CO1 ZINC000931835179 714193597 /nfs/dbraw/zinc/19/35/97/714193597.db2.gz OLJOSLUSHVQUBV-QWRGUYRKSA-N 1 2 308.407 1.850 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2sccc2C#N)[C@@H](C)CO1 ZINC000931835179 714193600 /nfs/dbraw/zinc/19/36/00/714193600.db2.gz OLJOSLUSHVQUBV-QWRGUYRKSA-N 1 2 308.407 1.850 20 30 DDEDLO C[C@]1([C@H]2CCC[N@H+](Cc3nc(C#N)cs3)C2)COC(=O)N1 ZINC000932021077 714237848 /nfs/dbraw/zinc/23/78/48/714237848.db2.gz GYRZUPYXBVSHSU-IINYFYTJSA-N 1 2 306.391 1.725 20 30 DDEDLO C[C@]1([C@H]2CCC[N@@H+](Cc3nc(C#N)cs3)C2)COC(=O)N1 ZINC000932021077 714237850 /nfs/dbraw/zinc/23/78/50/714237850.db2.gz GYRZUPYXBVSHSU-IINYFYTJSA-N 1 2 306.391 1.725 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@@H](O)C[N@@H+]2CCC[C@@H](C#N)C2)cc1 ZINC000932160585 714274806 /nfs/dbraw/zinc/27/48/06/714274806.db2.gz YFYYHWIDQLPPKT-HOCLYGCPSA-N 1 2 317.389 1.620 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@@H](O)C[N@H+]2CCC[C@@H](C#N)C2)cc1 ZINC000932160585 714274810 /nfs/dbraw/zinc/27/48/10/714274810.db2.gz YFYYHWIDQLPPKT-HOCLYGCPSA-N 1 2 317.389 1.620 20 30 DDEDLO C=CCCSCCNC(=O)N[C@H](CC)C[NH+]1CCOCC1 ZINC000923227700 714278333 /nfs/dbraw/zinc/27/83/33/714278333.db2.gz RRMYKRYGJDFUBG-CQSZACIVSA-N 1 2 315.483 1.706 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000923486175 714372299 /nfs/dbraw/zinc/37/22/99/714372299.db2.gz CFYBDMIWFUDRPV-LBPRGKRZSA-N 1 2 324.450 1.432 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)c2cnn(C(C)(C)C)c2)C1 ZINC000923582147 714415522 /nfs/dbraw/zinc/41/55/22/714415522.db2.gz GGYRIIQQESJXIR-AWEZNQCLSA-N 1 2 316.405 1.035 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)c2cnn(C(C)(C)C)c2)C1 ZINC000923582147 714415524 /nfs/dbraw/zinc/41/55/24/714415524.db2.gz GGYRIIQQESJXIR-AWEZNQCLSA-N 1 2 316.405 1.035 20 30 DDEDLO C#CC[C@H](CO)NC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000924036577 714512446 /nfs/dbraw/zinc/51/24/46/714512446.db2.gz RDDHPQJRUPIVAE-HZPDHXFCSA-N 1 2 312.373 1.308 20 30 DDEDLO Cn1nccc1[C@H]1C[N@H+](C[C@H](O)c2ccc(C#N)cc2)CCO1 ZINC000933533839 714611182 /nfs/dbraw/zinc/61/11/82/714611182.db2.gz LWEOHKHQTHXCOK-DLBZAZTESA-N 1 2 312.373 1.399 20 30 DDEDLO Cn1nccc1[C@H]1C[N@@H+](C[C@H](O)c2ccc(C#N)cc2)CCO1 ZINC000933533839 714611183 /nfs/dbraw/zinc/61/11/83/714611183.db2.gz LWEOHKHQTHXCOK-DLBZAZTESA-N 1 2 312.373 1.399 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@H+](C[C@@H](O)Cn3cccn3)CCO2)cc1 ZINC000933624415 714633563 /nfs/dbraw/zinc/63/35/63/714633563.db2.gz IMNZFJFFFJIOCZ-IAGOWNOFSA-N 1 2 312.373 1.189 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@@H+](C[C@@H](O)Cn3cccn3)CCO2)cc1 ZINC000933624415 714633564 /nfs/dbraw/zinc/63/35/64/714633564.db2.gz IMNZFJFFFJIOCZ-IAGOWNOFSA-N 1 2 312.373 1.189 20 30 DDEDLO COc1coc(C[NH+]2CCC3(CC2)CC(=O)C=CO3)cc1=O ZINC000933652212 714639251 /nfs/dbraw/zinc/63/92/51/714639251.db2.gz UDDCQEOWMYVXBV-UHFFFAOYSA-N 1 2 305.330 1.486 20 30 DDEDLO CC[C@H]([NH2+]C[C@@H]1CN(C)CCN1C)c1cccc(C#N)c1O ZINC000925032149 714733313 /nfs/dbraw/zinc/73/33/13/714733313.db2.gz PRVPUZGWHSCOGR-ZBFHGGJFSA-N 1 2 302.422 1.550 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCOC[C@H]2CC2CCOCC2)C1=O ZINC000934636735 714867568 /nfs/dbraw/zinc/86/75/68/714867568.db2.gz RZNHLHVUYZMWHD-HZPDHXFCSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCOC[C@H]2CC2CCOCC2)C1=O ZINC000934636735 714867569 /nfs/dbraw/zinc/86/75/69/714867569.db2.gz RZNHLHVUYZMWHD-HZPDHXFCSA-N 1 2 308.422 1.291 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(OC)ns2)C1 ZINC000966194487 718013250 /nfs/dbraw/zinc/01/32/50/718013250.db2.gz DVGSFOPUSJSQNB-NEPJUHHUSA-N 1 2 307.419 1.615 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(OC)ns2)C1 ZINC000966194487 718013252 /nfs/dbraw/zinc/01/32/52/718013252.db2.gz DVGSFOPUSJSQNB-NEPJUHHUSA-N 1 2 307.419 1.615 20 30 DDEDLO CC[C@H]([NH2+]C[C@]1(OCCO)CCOC1)c1cccc(C#N)c1O ZINC000926690689 715113804 /nfs/dbraw/zinc/11/38/04/715113804.db2.gz QCTRHMZPRORZOV-DOTOQJQBSA-N 1 2 320.389 1.473 20 30 DDEDLO C#CCN1CC(N(CC)C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000957085625 715738789 /nfs/dbraw/zinc/73/87/89/715738789.db2.gz OSCSYPXDPCOYKE-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC000960790090 716690650 /nfs/dbraw/zinc/69/06/50/716690650.db2.gz KJOAIHVBQMOZIZ-CQSZACIVSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC000960790090 716690653 /nfs/dbraw/zinc/69/06/53/716690653.db2.gz KJOAIHVBQMOZIZ-CQSZACIVSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H](C)[C@H](NC(=O)Cn3cc[nH+]c3)C2)C1 ZINC000958667678 716702465 /nfs/dbraw/zinc/70/24/65/716702465.db2.gz SZLSUYOIQQXDLM-ZIAGYGMSSA-N 1 2 316.405 1.203 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CC[C@@H]4C[C@@H]4CC3)CC2)C1 ZINC000941498875 717187699 /nfs/dbraw/zinc/18/76/99/717187699.db2.gz JHEKCYUXCQJEKX-BJWYYQGGSA-N 1 2 315.461 1.274 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H](C)c3ccco3)CC2)C1 ZINC000941564945 717212205 /nfs/dbraw/zinc/21/22/05/717212205.db2.gz PDJZUJROAOQOOP-OAHLLOKOSA-N 1 2 315.417 1.235 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@H]3C3CCCC3)CC2)C1 ZINC000941622669 717232891 /nfs/dbraw/zinc/23/28/91/717232891.db2.gz LSMUOCOGSSTQPQ-ZWKOTPCHSA-N 1 2 315.461 1.274 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CC[C@H](C)[C@@H](NC(C)=O)C2)c1 ZINC000964579937 717310965 /nfs/dbraw/zinc/31/09/65/717310965.db2.gz UZRDPXWCRTUXAU-GUYCJALGSA-N 1 2 313.401 1.453 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CC[C@H](C)[C@@H](NC(C)=O)C2)c1 ZINC000964579937 717310970 /nfs/dbraw/zinc/31/09/70/717310970.db2.gz UZRDPXWCRTUXAU-GUYCJALGSA-N 1 2 313.401 1.453 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965958176 717834482 /nfs/dbraw/zinc/83/44/82/717834482.db2.gz JSHQSYZBVXAAPU-ONGXEEELSA-N 1 2 313.785 1.171 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965958176 717834487 /nfs/dbraw/zinc/83/44/87/717834487.db2.gz JSHQSYZBVXAAPU-ONGXEEELSA-N 1 2 313.785 1.171 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1ncc[nH]1 ZINC000966000413 717855581 /nfs/dbraw/zinc/85/55/81/717855581.db2.gz OONAXJNBEHQVLC-BBRMVZONSA-N 1 2 323.400 1.922 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1ncc[nH]1 ZINC000966000413 717855587 /nfs/dbraw/zinc/85/55/87/717855587.db2.gz OONAXJNBEHQVLC-BBRMVZONSA-N 1 2 323.400 1.922 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@@H](C)C1 ZINC000944014754 718242273 /nfs/dbraw/zinc/24/22/73/718242273.db2.gz FVAWWGBOMCEXND-SWLSCSKDSA-N 1 2 310.401 1.945 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@@H](C)C1 ZINC000944014754 718242276 /nfs/dbraw/zinc/24/22/76/718242276.db2.gz FVAWWGBOMCEXND-SWLSCSKDSA-N 1 2 310.401 1.945 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H](NC(=O)Cn3cc[nH+]c3)[C@@H](C)C2)C1 ZINC000966796051 718663310 /nfs/dbraw/zinc/66/33/10/718663310.db2.gz SZLSUYOIQQXDLM-KBPBESRZSA-N 1 2 316.405 1.203 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC000967438890 718896898 /nfs/dbraw/zinc/89/68/98/718896898.db2.gz VYVLKEJPCAGWOK-VHSXEESVSA-N 1 2 315.295 1.767 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC000967438890 718896899 /nfs/dbraw/zinc/89/68/99/718896899.db2.gz VYVLKEJPCAGWOK-VHSXEESVSA-N 1 2 315.295 1.767 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1ncccn1 ZINC000947215849 719076411 /nfs/dbraw/zinc/07/64/11/719076411.db2.gz BFMYRPHUIOHWTH-STQMWFEESA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1ncccn1 ZINC000947215849 719076415 /nfs/dbraw/zinc/07/64/15/719076415.db2.gz BFMYRPHUIOHWTH-STQMWFEESA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cn2nccc2C)C1 ZINC000968370607 719538098 /nfs/dbraw/zinc/53/80/98/719538098.db2.gz ZYTRPVUWIKJLRT-BXUZGUMPSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cn2nccc2C)C1 ZINC000968370607 719538102 /nfs/dbraw/zinc/53/81/02/719538102.db2.gz ZYTRPVUWIKJLRT-BXUZGUMPSA-N 1 2 310.829 1.771 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn(C)c1 ZINC000948889841 719769036 /nfs/dbraw/zinc/76/90/36/719769036.db2.gz HILHXSFHZBQSPJ-GOSISDBHSA-N 1 2 322.412 1.773 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn(C)c1 ZINC000948889841 719769042 /nfs/dbraw/zinc/76/90/42/719769042.db2.gz HILHXSFHZBQSPJ-GOSISDBHSA-N 1 2 322.412 1.773 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1[nH]nnc1C ZINC000948945724 719796448 /nfs/dbraw/zinc/79/64/48/719796448.db2.gz BDIHOVFGAGTZMV-INIZCTEOSA-N 1 2 323.400 1.466 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1[nH]nnc1C ZINC000948945724 719796449 /nfs/dbraw/zinc/79/64/49/719796449.db2.gz BDIHOVFGAGTZMV-INIZCTEOSA-N 1 2 323.400 1.466 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccncn1 ZINC000948970342 719816862 /nfs/dbraw/zinc/81/68/62/719816862.db2.gz XOHDJCDQWBEFFL-QGZVFWFLSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccncn1 ZINC000948970342 719816865 /nfs/dbraw/zinc/81/68/65/719816865.db2.gz XOHDJCDQWBEFFL-QGZVFWFLSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCCO[C@H]3C)CC2)C1 ZINC000949346795 720032015 /nfs/dbraw/zinc/03/20/15/720032015.db2.gz YGMYVSIBQSJXHY-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCCO[C@H]3C)CC2)C1 ZINC000949346795 720032018 /nfs/dbraw/zinc/03/20/18/720032018.db2.gz YGMYVSIBQSJXHY-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@H](CC)O3)CC2)C1 ZINC000949379010 720049413 /nfs/dbraw/zinc/04/94/13/720049413.db2.gz JQHYJLYVCXVYGP-JKSUJKDBSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@H](CC)O3)CC2)C1 ZINC000949379010 720049420 /nfs/dbraw/zinc/04/94/20/720049420.db2.gz JQHYJLYVCXVYGP-JKSUJKDBSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C(C)(C)C(F)F)CC2)C1 ZINC000949469361 720110122 /nfs/dbraw/zinc/11/01/22/720110122.db2.gz YKBOMAUZFCTDTR-UHFFFAOYSA-N 1 2 314.376 1.604 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C(C)(C)C(F)F)CC2)C1 ZINC000949469361 720110124 /nfs/dbraw/zinc/11/01/24/720110124.db2.gz YKBOMAUZFCTDTR-UHFFFAOYSA-N 1 2 314.376 1.604 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CC34CCCC4)CC2)C1 ZINC000949507199 720131007 /nfs/dbraw/zinc/13/10/07/720131007.db2.gz XGTIRPVPGHFQCT-MRXNPFEDSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CC34CCCC4)CC2)C1 ZINC000949507199 720131011 /nfs/dbraw/zinc/13/10/11/720131011.db2.gz XGTIRPVPGHFQCT-MRXNPFEDSA-N 1 2 316.445 1.893 20 30 DDEDLO CCN(C(=O)c1ccc(C#N)[nH]1)C1C[NH+](C[C@@H]2CC[C@@H](C)O2)C1 ZINC000949913085 720414236 /nfs/dbraw/zinc/41/42/36/720414236.db2.gz PGMOIVNNYSKAIG-DOMZBBRYSA-N 1 2 316.405 1.600 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC000969990134 720588608 /nfs/dbraw/zinc/58/86/08/720588608.db2.gz DDVSZGKEHSAGCT-CHWSQXEVSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCCCN2C(=O)CC)C1 ZINC000950410619 720621084 /nfs/dbraw/zinc/62/10/84/720621084.db2.gz LULGFQGJJARMND-INIZCTEOSA-N 1 2 321.465 1.886 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccc(OC)nc2)C1 ZINC000970102479 720626567 /nfs/dbraw/zinc/62/65/67/720626567.db2.gz VDGSWCBHDCPACM-LLVKDONJSA-N 1 2 309.797 1.893 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cn3c(n2)CCCC3)C1 ZINC000950452032 720639155 /nfs/dbraw/zinc/63/91/55/720639155.db2.gz FPQQCZCOPBRMRY-UHFFFAOYSA-N 1 2 302.422 1.942 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cn(C)nc2OC)C1 ZINC000970265220 720683770 /nfs/dbraw/zinc/68/37/70/720683770.db2.gz OFQSKXMAFBRDSG-SNVBAGLBSA-N 1 2 312.801 1.231 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)C1C[NH+](Cc2nocc2C)C1 ZINC000970446747 720764948 /nfs/dbraw/zinc/76/49/48/720764948.db2.gz IMZVRTAABPVIBS-XPKDYRNWSA-N 1 2 319.405 1.511 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc(C)nc(C)n2)C1 ZINC000970581423 720826894 /nfs/dbraw/zinc/82/68/94/720826894.db2.gz WFCZGDGBUJWJJJ-NSHDSACASA-N 1 2 308.813 1.896 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC000970765293 720928010 /nfs/dbraw/zinc/92/80/10/720928010.db2.gz BPBOGOWCZMCFIC-RISCZKNCSA-N 1 2 313.829 1.186 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3c(c2)ncn3C)C1 ZINC000951130543 720928446 /nfs/dbraw/zinc/92/84/46/720928446.db2.gz YAMFUHIVUIUIKU-UHFFFAOYSA-N 1 2 311.389 1.138 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc(CC)n(C)n2)C1 ZINC000970982033 721032613 /nfs/dbraw/zinc/03/26/13/721032613.db2.gz OISACEVRRLJVLS-NSHDSACASA-N 1 2 310.829 1.785 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccn(C(C)(C)C)c2=O)CC1 ZINC000951571819 721080640 /nfs/dbraw/zinc/08/06/40/721080640.db2.gz URAKCXXMYYESKX-UHFFFAOYSA-N 1 2 303.406 1.547 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2nc3c(o2)CCCC3)C1 ZINC000971211383 721180754 /nfs/dbraw/zinc/18/07/54/721180754.db2.gz QUBWICQKAKOAHE-QWHCGFSZSA-N 1 2 316.405 1.746 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nc3c(o2)CCCC3)C1 ZINC000971211383 721180757 /nfs/dbraw/zinc/18/07/57/721180757.db2.gz QUBWICQKAKOAHE-QWHCGFSZSA-N 1 2 316.405 1.746 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc3c2OCCCO3)CC1 ZINC000952409123 721440108 /nfs/dbraw/zinc/44/01/08/721440108.db2.gz LCIOECBUIZCDQC-UHFFFAOYSA-N 1 2 302.374 1.792 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H]([N@H+](C)Cc3ccon3)C2)nc1 ZINC001027656233 738516931 /nfs/dbraw/zinc/51/69/31/738516931.db2.gz MOQYRNOYJNNXSL-INIZCTEOSA-N 1 2 324.384 1.788 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H]([N@@H+](C)Cc3ccon3)C2)nc1 ZINC001027656233 738516933 /nfs/dbraw/zinc/51/69/33/738516933.db2.gz MOQYRNOYJNNXSL-INIZCTEOSA-N 1 2 324.384 1.788 20 30 DDEDLO C[C@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1ccc(C#N)nc1 ZINC001098153448 732318487 /nfs/dbraw/zinc/31/84/87/732318487.db2.gz SKDPKLLPSNXFTA-GFCCVEGCSA-N 1 2 320.356 1.831 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccco3)[C@H]2C1 ZINC001083190821 732501866 /nfs/dbraw/zinc/50/18/66/732501866.db2.gz ADGCKFVPEJYBPV-OFQRWUPVSA-N 1 2 302.374 1.318 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccco3)[C@H]2C1 ZINC001083190821 732501870 /nfs/dbraw/zinc/50/18/70/732501870.db2.gz ADGCKFVPEJYBPV-OFQRWUPVSA-N 1 2 302.374 1.318 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3csc(C)c3)C2)nn1 ZINC001105342510 738640294 /nfs/dbraw/zinc/64/02/94/738640294.db2.gz ILMYDZRGBMENCV-UHFFFAOYSA-N 1 2 315.402 1.068 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)cnn1 ZINC001010766755 733223122 /nfs/dbraw/zinc/22/31/22/733223122.db2.gz JDOOAZZBTQBGGP-QGZVFWFLSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)cnn1 ZINC001010766755 733223123 /nfs/dbraw/zinc/22/31/23/733223123.db2.gz JDOOAZZBTQBGGP-QGZVFWFLSA-N 1 2 321.384 1.661 20 30 DDEDLO CC(C)(C#N)C(=O)N1CC[NH+](Cc2c[nH]c3ncccc23)CC1 ZINC001143398435 734409637 /nfs/dbraw/zinc/40/96/37/734409637.db2.gz NDFHWXCNMRZRKK-UHFFFAOYSA-N 1 2 311.389 1.757 20 30 DDEDLO CCN(CCNC(=O)Cc1[nH]cc[nH+]1)c1ncc(C#N)cc1F ZINC001100589662 734783094 /nfs/dbraw/zinc/78/30/94/734783094.db2.gz KDXNGTYXMKWYQG-UHFFFAOYSA-N 1 2 316.340 1.001 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](CNC(=O)c2ncccc2O)C1 ZINC001023326439 735154654 /nfs/dbraw/zinc/15/46/54/735154654.db2.gz WNLZPKSFMRGSHG-GFCCVEGCSA-N 1 2 309.797 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](CNC(=O)c2ncccc2O)C1 ZINC001023326439 735154656 /nfs/dbraw/zinc/15/46/56/735154656.db2.gz WNLZPKSFMRGSHG-GFCCVEGCSA-N 1 2 309.797 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)C(C)(C)C1 ZINC000972770888 735606425 /nfs/dbraw/zinc/60/64/25/735606425.db2.gz AKUULKPDPIHZHI-LLVKDONJSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)C(C)(C)C1 ZINC000972770888 735606427 /nfs/dbraw/zinc/60/64/27/735606427.db2.gz AKUULKPDPIHZHI-LLVKDONJSA-N 1 2 310.785 1.375 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001058582615 735895403 /nfs/dbraw/zinc/89/54/03/735895403.db2.gz NZVUBWIADLKZCX-CQSZACIVSA-N 1 2 311.389 1.781 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1ncc(OC)cn1 ZINC001024543842 735921192 /nfs/dbraw/zinc/92/11/92/735921192.db2.gz NNPZCVNGEBVFLX-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1ncc(OC)cn1 ZINC001024543842 735921196 /nfs/dbraw/zinc/92/11/96/735921196.db2.gz NNPZCVNGEBVFLX-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104543122 736210179 /nfs/dbraw/zinc/21/01/79/736210179.db2.gz VTHSBVHFICGHOD-GFCCVEGCSA-N 1 2 314.393 1.282 20 30 DDEDLO N#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001025225548 736374939 /nfs/dbraw/zinc/37/49/39/736374939.db2.gz FTFBSHYGDBXXJD-LSDHHAIUSA-N 1 2 315.421 1.711 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)C#CC(C)C)CC2)cc[nH+]1 ZINC001111991234 736381156 /nfs/dbraw/zinc/38/11/56/736381156.db2.gz YPIRCKDPXVCHQO-OAHLLOKOSA-N 1 2 300.406 1.920 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cn(C)nc2C2CC2)C1 ZINC001107974632 751379819 /nfs/dbraw/zinc/37/98/19/751379819.db2.gz XOPGUOXFIAYDDA-KRWDZBQOSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cn(C)nc2C2CC2)C1 ZINC001107974632 751379824 /nfs/dbraw/zinc/37/98/24/751379824.db2.gz XOPGUOXFIAYDDA-KRWDZBQOSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104767031 737181967 /nfs/dbraw/zinc/18/19/67/737181967.db2.gz XSYWMMFODRIPGQ-NSHDSACASA-N 1 2 316.409 1.834 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)NC1CCC(NCC#N)CC1)C2 ZINC001026518172 737631122 /nfs/dbraw/zinc/63/11/22/737631122.db2.gz JRWMQQCAVCKOBR-IYXRBSQSSA-N 1 2 315.421 1.364 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)NC1CCC(NCC#N)CC1)CC2 ZINC001026518172 737631123 /nfs/dbraw/zinc/63/11/23/737631123.db2.gz JRWMQQCAVCKOBR-IYXRBSQSSA-N 1 2 315.421 1.364 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1COCCN1CC ZINC001027984053 738908221 /nfs/dbraw/zinc/90/82/21/738908221.db2.gz TXAAWCQLBVNYLJ-KBPBESRZSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1COCCN1CC ZINC001027984053 738908223 /nfs/dbraw/zinc/90/82/23/738908223.db2.gz TXAAWCQLBVNYLJ-KBPBESRZSA-N 1 2 315.845 1.040 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)[C@H](C)CC)C2)nn1 ZINC001105354473 738989967 /nfs/dbraw/zinc/98/99/67/738989967.db2.gz MBFCQOISKBONLF-KGLIPLIRSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098198786 739166274 /nfs/dbraw/zinc/16/62/74/739166274.db2.gz XJQHGARERPECBX-NWDGAFQWSA-N 1 2 316.409 1.882 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001035373475 751437236 /nfs/dbraw/zinc/43/72/36/751437236.db2.gz VBILFEMJJMGGOE-HRCADAONSA-N 1 2 301.390 1.188 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001035373475 751437238 /nfs/dbraw/zinc/43/72/38/751437238.db2.gz VBILFEMJJMGGOE-HRCADAONSA-N 1 2 301.390 1.188 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+][C@H](C)c1nc(CCCC)no1 ZINC001126356262 739358337 /nfs/dbraw/zinc/35/83/37/739358337.db2.gz MNEGLCDOKSJXNN-CYBMUJFWSA-N 1 2 306.410 1.983 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)C=C(C)C ZINC001211807705 739560336 /nfs/dbraw/zinc/56/03/36/739560336.db2.gz GHBNMVYWZLWRBW-IAGOWNOFSA-N 1 2 313.401 1.840 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)C=C(C)C ZINC001211807705 739560338 /nfs/dbraw/zinc/56/03/38/739560338.db2.gz GHBNMVYWZLWRBW-IAGOWNOFSA-N 1 2 313.401 1.840 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001035388372 751457476 /nfs/dbraw/zinc/45/74/76/751457476.db2.gz FHACISRRPDQQDE-CQSZACIVSA-N 1 2 318.421 1.092 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001035388372 751457481 /nfs/dbraw/zinc/45/74/81/751457481.db2.gz FHACISRRPDQQDE-CQSZACIVSA-N 1 2 318.421 1.092 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)nn2CC)C1 ZINC001035427052 751485374 /nfs/dbraw/zinc/48/53/74/751485374.db2.gz BFQNULBWIVGMPG-CQSZACIVSA-N 1 2 320.437 1.643 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)nn2CC)C1 ZINC001035427052 751485379 /nfs/dbraw/zinc/48/53/79/751485379.db2.gz BFQNULBWIVGMPG-CQSZACIVSA-N 1 2 320.437 1.643 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2cc(OC)ccc2[nH]1 ZINC001032596195 751491530 /nfs/dbraw/zinc/49/15/30/751491530.db2.gz VPKJTHUSANWOGE-KBPBESRZSA-N 1 2 309.369 1.708 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2cc(OC)ccc2[nH]1 ZINC001032596195 751491536 /nfs/dbraw/zinc/49/15/36/751491536.db2.gz VPKJTHUSANWOGE-KBPBESRZSA-N 1 2 309.369 1.708 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnnc(C)c3)[C@@H]2C1 ZINC001075733662 740176318 /nfs/dbraw/zinc/17/63/18/740176318.db2.gz VSKFVSCANIGTBA-GXTWGEPZSA-N 1 2 306.797 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnnc(C)c3)[C@@H]2C1 ZINC001075733662 740176319 /nfs/dbraw/zinc/17/63/19/740176319.db2.gz VSKFVSCANIGTBA-GXTWGEPZSA-N 1 2 306.797 1.684 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1C[C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001075780618 740450660 /nfs/dbraw/zinc/45/06/60/740450660.db2.gz RMXMMWWVFBSSAZ-CWRNSKLLSA-N 1 2 310.401 1.484 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1C[C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001075780618 740450662 /nfs/dbraw/zinc/45/06/62/740450662.db2.gz RMXMMWWVFBSSAZ-CWRNSKLLSA-N 1 2 310.401 1.484 20 30 DDEDLO CN(CCN(C)c1ccc(C#N)cn1)C(=O)CCc1[nH]cc[nH+]1 ZINC001105394543 740632558 /nfs/dbraw/zinc/63/25/58/740632558.db2.gz ACEGYHZCMPSIAC-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc3ccccc3n2C)C1 ZINC001035497376 751580043 /nfs/dbraw/zinc/58/00/43/751580043.db2.gz ROENXSLDASTHGN-OAHLLOKOSA-N 1 2 313.401 1.795 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc3ccccc3n2C)C1 ZINC001035497376 751580050 /nfs/dbraw/zinc/58/00/50/751580050.db2.gz ROENXSLDASTHGN-OAHLLOKOSA-N 1 2 313.401 1.795 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001059440814 740932039 /nfs/dbraw/zinc/93/20/39/740932039.db2.gz KUXTWSNNAZIEKV-YXWQFLTLSA-N 1 2 316.405 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC001035520136 751596529 /nfs/dbraw/zinc/59/65/29/751596529.db2.gz MXZXBMXSNWPABF-ZDUSSCGKSA-N 1 2 306.410 1.540 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC001035520136 751596538 /nfs/dbraw/zinc/59/65/38/751596538.db2.gz MXZXBMXSNWPABF-ZDUSSCGKSA-N 1 2 306.410 1.540 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(F)c(OC)c2)C1 ZINC001035527264 751605795 /nfs/dbraw/zinc/60/57/95/751605795.db2.gz OCFJJKLZWUAPAQ-ZDUSSCGKSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(F)c(OC)c2)C1 ZINC001035527264 751605799 /nfs/dbraw/zinc/60/57/99/751605799.db2.gz OCFJJKLZWUAPAQ-ZDUSSCGKSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)CCN3C)C1 ZINC001035566635 751619377 /nfs/dbraw/zinc/61/93/77/751619377.db2.gz IAORDZKBNUBLFK-INIZCTEOSA-N 1 2 315.417 1.296 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)CCN3C)C1 ZINC001035566635 751619379 /nfs/dbraw/zinc/61/93/79/751619379.db2.gz IAORDZKBNUBLFK-INIZCTEOSA-N 1 2 315.417 1.296 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c3ccccc3nn2C)C1 ZINC001035567765 751620591 /nfs/dbraw/zinc/62/05/91/751620591.db2.gz UZGQRTUXPIMYJZ-CYBMUJFWSA-N 1 2 314.389 1.190 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c3ccccc3nn2C)C1 ZINC001035567765 751620597 /nfs/dbraw/zinc/62/05/97/751620597.db2.gz UZGQRTUXPIMYJZ-CYBMUJFWSA-N 1 2 314.389 1.190 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001035569255 751622291 /nfs/dbraw/zinc/62/22/91/751622291.db2.gz FGRBKNDAGBZCGW-OAHLLOKOSA-N 1 2 317.433 1.900 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001035569255 751622293 /nfs/dbraw/zinc/62/22/93/751622293.db2.gz FGRBKNDAGBZCGW-OAHLLOKOSA-N 1 2 317.433 1.900 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2csc(C(C)C)n2)C1 ZINC001035550712 751632910 /nfs/dbraw/zinc/63/29/10/751632910.db2.gz XEACTSFVORAOOR-CYBMUJFWSA-N 1 2 321.446 1.720 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2csc(C(C)C)n2)C1 ZINC001035550712 751632913 /nfs/dbraw/zinc/63/29/13/751632913.db2.gz XEACTSFVORAOOR-CYBMUJFWSA-N 1 2 321.446 1.720 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C)nc2OCC)C1 ZINC001035556946 751638118 /nfs/dbraw/zinc/63/81/18/751638118.db2.gz BULFSIRQDUZVCP-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C)nc2OCC)C1 ZINC001035556946 751638122 /nfs/dbraw/zinc/63/81/22/751638122.db2.gz BULFSIRQDUZVCP-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccnc2N(C)C)C1 ZINC001035604485 751663536 /nfs/dbraw/zinc/66/35/36/751663536.db2.gz LQFNYFXWDSDJNC-AWEZNQCLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccnc2N(C)C)C1 ZINC001035604485 751663542 /nfs/dbraw/zinc/66/35/42/751663542.db2.gz LQFNYFXWDSDJNC-AWEZNQCLSA-N 1 2 318.421 1.154 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)cn1 ZINC001007030927 741712833 /nfs/dbraw/zinc/71/28/33/741712833.db2.gz TUTLTYAXGNGTFR-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)cn1 ZINC001007030927 741712834 /nfs/dbraw/zinc/71/28/34/741712834.db2.gz TUTLTYAXGNGTFR-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3cnccc32)C1 ZINC001035606116 751665088 /nfs/dbraw/zinc/66/50/88/751665088.db2.gz LXFSITMYARQVRG-HNNXBMFYSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3cnccc32)C1 ZINC001035606116 751665096 /nfs/dbraw/zinc/66/50/96/751665096.db2.gz LXFSITMYARQVRG-HNNXBMFYSA-N 1 2 311.385 1.851 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2sccc2OC)C1 ZINC001035583651 751676532 /nfs/dbraw/zinc/67/65/32/751676532.db2.gz SUSTUJOEHFJQJM-LBPRGKRZSA-N 1 2 310.419 1.763 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2sccc2OC)C1 ZINC001035583651 751676536 /nfs/dbraw/zinc/67/65/36/751676536.db2.gz SUSTUJOEHFJQJM-LBPRGKRZSA-N 1 2 310.419 1.763 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001035622467 751692720 /nfs/dbraw/zinc/69/27/20/751692720.db2.gz UKTMQFPMCNTDGE-HNNXBMFYSA-N 1 2 320.437 1.779 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001035622467 751692724 /nfs/dbraw/zinc/69/27/24/751692724.db2.gz UKTMQFPMCNTDGE-HNNXBMFYSA-N 1 2 320.437 1.779 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3[nH]nc4ccc(C)cc43)[C@@H]2C1 ZINC001075985551 742311437 /nfs/dbraw/zinc/31/14/37/742311437.db2.gz KIEXAYMZDNOZCJ-XJKSGUPXSA-N 1 2 308.385 1.651 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3[nH]nc4ccc(C)cc43)[C@@H]2C1 ZINC001075985551 742311442 /nfs/dbraw/zinc/31/14/42/742311442.db2.gz KIEXAYMZDNOZCJ-XJKSGUPXSA-N 1 2 308.385 1.651 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001105679209 742475411 /nfs/dbraw/zinc/47/54/11/742475411.db2.gz VKQXMQDVDIHMIS-CABCVRRESA-N 1 2 316.405 1.522 20 30 DDEDLO C#CCCCCC(=O)NCC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001076211391 742600291 /nfs/dbraw/zinc/60/02/91/742600291.db2.gz FLKNIYLNEYDPPI-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCCCCC1 ZINC001121510516 782541119 /nfs/dbraw/zinc/54/11/19/782541119.db2.gz QABZEWKOTFXOGC-KGLIPLIRSA-N 1 2 305.426 1.582 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCCCCC1 ZINC001121510516 782541125 /nfs/dbraw/zinc/54/11/25/782541125.db2.gz QABZEWKOTFXOGC-KGLIPLIRSA-N 1 2 305.426 1.582 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cncnc1)CC2 ZINC001035689800 751767948 /nfs/dbraw/zinc/76/79/48/751767948.db2.gz GPFCRGYHVSILLQ-UHFFFAOYSA-N 1 2 306.797 1.767 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2ccccc2C(=O)OC)CC1 ZINC001181208221 743112761 /nfs/dbraw/zinc/11/27/61/743112761.db2.gz FZAUDXMJXCCEJO-UHFFFAOYSA-N 1 2 302.374 1.346 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](NC(C)=O)c2ccccc2)CC1 ZINC001181224607 743120402 /nfs/dbraw/zinc/12/04/02/743120402.db2.gz XPYTXBANLDEBSY-MRXNPFEDSA-N 1 2 301.390 1.194 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2ncc(CC)o2)C1 ZINC001181476422 743221558 /nfs/dbraw/zinc/22/15/58/743221558.db2.gz QJGIBZMISQAFPW-ZIAGYGMSSA-N 1 2 321.421 1.909 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2conc2C2CCCC2)C1 ZINC001077118135 743257996 /nfs/dbraw/zinc/25/79/96/743257996.db2.gz DSQVNSSNLLXPCC-HUUCEWRRSA-N 1 2 317.389 1.130 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2conc2C2CCCC2)C1 ZINC001077118135 743258001 /nfs/dbraw/zinc/25/80/01/743258001.db2.gz DSQVNSSNLLXPCC-HUUCEWRRSA-N 1 2 317.389 1.130 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)[C@@H](O)C1 ZINC001083565111 743271895 /nfs/dbraw/zinc/27/18/95/743271895.db2.gz BRZQQNSVZLBWBF-TVFCKZIOSA-N 1 2 312.413 1.365 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)[C@@H](O)C1 ZINC001083565111 743271899 /nfs/dbraw/zinc/27/18/99/743271899.db2.gz BRZQQNSVZLBWBF-TVFCKZIOSA-N 1 2 312.413 1.365 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCN(CC(F)(F)F)C2)C1 ZINC001043656331 743302071 /nfs/dbraw/zinc/30/20/71/743302071.db2.gz XEAXWWDIYHBUJW-LBPRGKRZSA-N 1 2 319.371 1.589 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c([nH]2)c(F)ccc3C)C1 ZINC001077266108 743363852 /nfs/dbraw/zinc/36/38/52/743363852.db2.gz YBCOPGDEAOHJNJ-HUUCEWRRSA-N 1 2 315.348 1.024 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c([nH]2)c(F)ccc3C)C1 ZINC001077266108 743363857 /nfs/dbraw/zinc/36/38/57/743363857.db2.gz YBCOPGDEAOHJNJ-HUUCEWRRSA-N 1 2 315.348 1.024 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C[C@@H](C)OC)c2C1 ZINC001128255852 743393633 /nfs/dbraw/zinc/39/36/33/743393633.db2.gz PQBKICSPLORXFO-CYBMUJFWSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C[C@@H](C)OC)c2C1 ZINC001128255852 743393642 /nfs/dbraw/zinc/39/36/42/743393642.db2.gz PQBKICSPLORXFO-CYBMUJFWSA-N 1 2 306.410 1.316 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2cn(C3CCC3)nn2)CC1 ZINC001002828904 743484590 /nfs/dbraw/zinc/48/45/90/743484590.db2.gz LJFQQJOOHIVGGG-UHFFFAOYSA-N 1 2 323.828 1.950 20 30 DDEDLO C#CCCCCC(=O)NCc1cnn2c1C[N@H+](C(C)C)CC2 ZINC001128316025 743564349 /nfs/dbraw/zinc/56/43/49/743564349.db2.gz FVMYWBBVORHMGL-UHFFFAOYSA-N 1 2 302.422 1.917 20 30 DDEDLO C#CCCCCC(=O)NCc1cnn2c1C[N@@H+](C(C)C)CC2 ZINC001128316025 743564351 /nfs/dbraw/zinc/56/43/51/743564351.db2.gz FVMYWBBVORHMGL-UHFFFAOYSA-N 1 2 302.422 1.917 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2occc2-c2ccccc2)[C@@H](O)C1 ZINC001083621916 743597364 /nfs/dbraw/zinc/59/73/64/743597364.db2.gz MNBIDBKTUYMSGR-CVEARBPZSA-N 1 2 310.353 1.355 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2occc2-c2ccccc2)[C@@H](O)C1 ZINC001083621916 743597369 /nfs/dbraw/zinc/59/73/69/743597369.db2.gz MNBIDBKTUYMSGR-CVEARBPZSA-N 1 2 310.353 1.355 20 30 DDEDLO CCc1cnc(C[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)o1 ZINC001182422893 743611236 /nfs/dbraw/zinc/61/12/36/743611236.db2.gz GYNGDAZFMTZLIB-CYBMUJFWSA-N 1 2 303.406 1.977 20 30 DDEDLO CCOc1nnc(C[NH2+][C@@H]2CCN(C(=O)C#CC(C)C)C2)s1 ZINC001182414383 743618432 /nfs/dbraw/zinc/61/84/32/743618432.db2.gz JKOGFVLZEJKRKD-GFCCVEGCSA-N 1 2 322.434 1.287 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C=C(\C)CC)C2)nn1 ZINC001098673042 743653513 /nfs/dbraw/zinc/65/35/13/743653513.db2.gz LUIOIDXELXCFFR-HFQYIWAZSA-N 1 2 315.421 1.521 20 30 DDEDLO C=CCCC1(C(=O)N2CCC(OC3C[NH+](CCO)C3)CC2)CC1 ZINC001105724075 743761000 /nfs/dbraw/zinc/76/10/00/743761000.db2.gz MHUFLIGHCDJJTL-UHFFFAOYSA-N 1 2 322.449 1.417 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2(Nc3cc[nH+]c(C)n3)CC2)c1 ZINC001110331450 743807458 /nfs/dbraw/zinc/80/74/58/743807458.db2.gz BPGABAOHRGSMQD-UHFFFAOYSA-N 1 2 307.357 1.536 20 30 DDEDLO CC1(C)CO[C@H](C[NH+]2CC(NC(=O)c3c[nH]c(C#N)c3)C2)C1 ZINC001030235308 743969701 /nfs/dbraw/zinc/96/97/01/743969701.db2.gz UIBKQBWNGUEUJG-AWEZNQCLSA-N 1 2 302.378 1.115 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1cnoc1)CC2 ZINC001035773498 751889968 /nfs/dbraw/zinc/88/99/68/751889968.db2.gz AYXBCJZLFQSSSV-UHFFFAOYSA-N 1 2 309.797 1.894 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@H](CNCC#N)[C@H](C)C2)c[nH+]1 ZINC001184651732 744078065 /nfs/dbraw/zinc/07/80/65/744078065.db2.gz HTOMHQRPPQNCAH-UKRRQHHQSA-N 1 2 317.437 1.524 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cccc3c2NC(=O)CO3)C1 ZINC001030453640 744171082 /nfs/dbraw/zinc/17/10/82/744171082.db2.gz MOEAYKWYUNVIRM-UHFFFAOYSA-N 1 2 301.346 1.008 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCCC[C@@H]2N2CCCC2=O)C1 ZINC001030466767 744179985 /nfs/dbraw/zinc/17/99/85/744179985.db2.gz SEOBFAUEAIYRES-CVEARBPZSA-N 1 2 319.449 1.544 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H](NC(=O)c3cn[nH]c3)C2)s1 ZINC001006824141 751900694 /nfs/dbraw/zinc/90/06/94/751900694.db2.gz SVVBNPPBOCEYQS-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H](NC(=O)c3cn[nH]c3)C2)s1 ZINC001006824141 751900699 /nfs/dbraw/zinc/90/06/99/751900699.db2.gz SVVBNPPBOCEYQS-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO C=C(C)CC[NH+]1CC(NC(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001030581933 744289602 /nfs/dbraw/zinc/28/96/02/744289602.db2.gz HSVZRTCLHQFVCZ-UHFFFAOYSA-N 1 2 317.414 1.970 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)/C(C)=C/CC)C2)nn1 ZINC001185882150 744308326 /nfs/dbraw/zinc/30/83/26/744308326.db2.gz CPOJWSXSKMZYQA-SNQWNFELSA-N 1 2 315.421 1.521 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)/C(C)=C\CC)C2)nn1 ZINC001185882151 744308404 /nfs/dbraw/zinc/30/84/04/744308404.db2.gz CPOJWSXSKMZYQA-VFXTVZEFSA-N 1 2 315.421 1.521 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CCC[N@H+](CC#Cc3ccccc3)C2)[nH]n1 ZINC001006847933 751910955 /nfs/dbraw/zinc/91/09/55/751910955.db2.gz VDASTYZLOLOZQS-KRWDZBQOSA-N 1 2 322.412 1.964 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)[nH]n1 ZINC001006847933 751910961 /nfs/dbraw/zinc/91/09/61/751910961.db2.gz VDASTYZLOLOZQS-KRWDZBQOSA-N 1 2 322.412 1.964 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC2(CN(CC#N)C2)CC1 ZINC001035814459 751916659 /nfs/dbraw/zinc/91/66/59/751916659.db2.gz PVIFPNFUTDEFPP-ZDUSSCGKSA-N 1 2 301.394 1.036 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC2(CN(CC#N)C2)CC1 ZINC001035814459 751916664 /nfs/dbraw/zinc/91/66/64/751916664.db2.gz PVIFPNFUTDEFPP-ZDUSSCGKSA-N 1 2 301.394 1.036 20 30 DDEDLO C=CCCC1(C(=O)NC[C@H](O)CNc2cc[nH+]c(C)n2)CC1 ZINC001106080451 744430512 /nfs/dbraw/zinc/43/05/12/744430512.db2.gz NFGNIMWQTLWMII-CYBMUJFWSA-N 1 2 304.394 1.420 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccn(C)n3)[C@@H]2C)nc1 ZINC001088990418 744433743 /nfs/dbraw/zinc/43/37/43/744433743.db2.gz VCVCETWFBZFQNR-CJNGLKHVSA-N 1 2 323.400 1.189 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccn(C)n3)[C@@H]2C)nc1 ZINC001088990418 744433745 /nfs/dbraw/zinc/43/37/45/744433745.db2.gz VCVCETWFBZFQNR-CJNGLKHVSA-N 1 2 323.400 1.189 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCC(=O)N2C)[C@H]1C ZINC001089012732 744443672 /nfs/dbraw/zinc/44/36/72/744443672.db2.gz KXIDKXMCHBDLHC-FRRDWIJNSA-N 1 2 313.829 1.329 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCC(=O)N2C)[C@H]1C ZINC001089012732 744443675 /nfs/dbraw/zinc/44/36/75/744443675.db2.gz KXIDKXMCHBDLHC-FRRDWIJNSA-N 1 2 313.829 1.329 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001187239424 744522955 /nfs/dbraw/zinc/52/29/55/744522955.db2.gz BOFUWDJWAIWALT-FPMFFAJLSA-N 1 2 303.410 1.673 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001187239424 744522958 /nfs/dbraw/zinc/52/29/58/744522958.db2.gz BOFUWDJWAIWALT-FPMFFAJLSA-N 1 2 303.410 1.673 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001089139534 744584758 /nfs/dbraw/zinc/58/47/58/744584758.db2.gz DJUPRZRZIBYKMP-AAEUAGOBSA-N 1 2 321.450 1.819 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001089139534 744584761 /nfs/dbraw/zinc/58/47/61/744584761.db2.gz DJUPRZRZIBYKMP-AAEUAGOBSA-N 1 2 321.450 1.819 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001187736499 744601858 /nfs/dbraw/zinc/60/18/58/744601858.db2.gz IRXJLIJNBBONQY-SOUVJXGZSA-N 1 2 315.421 1.212 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001187736499 744601861 /nfs/dbraw/zinc/60/18/61/744601861.db2.gz IRXJLIJNBBONQY-SOUVJXGZSA-N 1 2 315.421 1.212 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(C)c1-n1cccc1 ZINC001038567479 744610051 /nfs/dbraw/zinc/61/00/51/744610051.db2.gz NLUILOOUCVJVNF-CQSZACIVSA-N 1 2 311.389 1.038 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(C)c1-n1cccc1 ZINC001038567479 744610054 /nfs/dbraw/zinc/61/00/54/744610054.db2.gz NLUILOOUCVJVNF-CQSZACIVSA-N 1 2 311.389 1.038 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001187811294 744610795 /nfs/dbraw/zinc/61/07/95/744610795.db2.gz CFAYJAAMXPJZCK-UONOGXRCSA-N 1 2 303.431 1.835 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001187811294 744610796 /nfs/dbraw/zinc/61/07/96/744610796.db2.gz CFAYJAAMXPJZCK-UONOGXRCSA-N 1 2 303.431 1.835 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@@H]3CCCc4[nH+]c[nH]c43)CCC[C@H]12 ZINC000992165279 744650558 /nfs/dbraw/zinc/65/05/58/744650558.db2.gz DQSJIUAWZUGQPY-HACGYAERSA-N 1 2 313.405 1.466 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(CC)n2)C1 ZINC001189361968 744885965 /nfs/dbraw/zinc/88/59/65/744885965.db2.gz FNNZFCNJHQDMSU-OAHLLOKOSA-N 1 2 306.410 1.138 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(CC)n2)C1 ZINC001189361968 744885967 /nfs/dbraw/zinc/88/59/67/744885967.db2.gz FNNZFCNJHQDMSU-OAHLLOKOSA-N 1 2 306.410 1.138 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(NC(=O)c3n[nH]c4ccccc43)CCC[C@H]12 ZINC000992485426 744910729 /nfs/dbraw/zinc/91/07/29/744910729.db2.gz FXGSMJVCZNWNSW-MAUKXSAKSA-N 1 2 308.385 1.923 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(NC(=O)c3n[nH]c4ccccc43)CCC[C@H]12 ZINC000992485426 744910731 /nfs/dbraw/zinc/91/07/31/744910731.db2.gz FXGSMJVCZNWNSW-MAUKXSAKSA-N 1 2 308.385 1.923 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)cs2)C1 ZINC001190094277 745096987 /nfs/dbraw/zinc/09/69/87/745096987.db2.gz IUSGKEISZSGHHR-ZIAGYGMSSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)cs2)C1 ZINC001190094277 745096994 /nfs/dbraw/zinc/09/69/94/745096994.db2.gz IUSGKEISZSGHHR-ZIAGYGMSSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2csc(C)n2)C1 ZINC001190107048 745103113 /nfs/dbraw/zinc/10/31/13/745103113.db2.gz ZPIBMWQKPSJDNW-IUODEOHRSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2csc(C)n2)C1 ZINC001190107048 745103115 /nfs/dbraw/zinc/10/31/15/745103115.db2.gz ZPIBMWQKPSJDNW-IUODEOHRSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2nc(C)no2)C1 ZINC001190122356 745123228 /nfs/dbraw/zinc/12/32/28/745123228.db2.gz XRFCVBXDSQMCGS-JSGCOSHPSA-N 1 2 320.393 1.012 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2nc(C)no2)C1 ZINC001190122356 745123230 /nfs/dbraw/zinc/12/32/30/745123230.db2.gz XRFCVBXDSQMCGS-JSGCOSHPSA-N 1 2 320.393 1.012 20 30 DDEDLO N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)CCn3cc[nH+]c3)C[C@@H]21 ZINC000992796101 745203271 /nfs/dbraw/zinc/20/32/71/745203271.db2.gz VNIDNIQVOZVALP-QLFBSQMISA-N 1 2 301.394 1.013 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(Cl)cc2)C[C@H]1O ZINC001190684300 745294806 /nfs/dbraw/zinc/29/48/06/745294806.db2.gz BYWPOZDGWPVNHK-HZPDHXFCSA-N 1 2 320.820 1.653 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(Cl)cc2)C[C@H]1O ZINC001190684300 745294808 /nfs/dbraw/zinc/29/48/08/745294808.db2.gz BYWPOZDGWPVNHK-HZPDHXFCSA-N 1 2 320.820 1.653 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001190724777 745307036 /nfs/dbraw/zinc/30/70/36/745307036.db2.gz NEVBEUNBDDPKLU-HZPDHXFCSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001190724777 745307039 /nfs/dbraw/zinc/30/70/39/745307039.db2.gz NEVBEUNBDDPKLU-HZPDHXFCSA-N 1 2 319.380 1.405 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ncoc2-c2cccs2)C1 ZINC001031116693 745409403 /nfs/dbraw/zinc/40/94/03/745409403.db2.gz NDAFYUWNVXRAIH-UHFFFAOYSA-N 1 2 301.371 1.840 20 30 DDEDLO CCCCCCCCNC(=O)N1CC[N@H+](C)C[C@@H]1C(=O)OC ZINC001191068659 745417050 /nfs/dbraw/zinc/41/70/50/745417050.db2.gz TXXNDCZLDSGOJH-CQSZACIVSA-N 1 2 313.442 1.846 20 30 DDEDLO CCCCCCCCNC(=O)N1CC[N@@H+](C)C[C@@H]1C(=O)OC ZINC001191068659 745417054 /nfs/dbraw/zinc/41/70/54/745417054.db2.gz TXXNDCZLDSGOJH-CQSZACIVSA-N 1 2 313.442 1.846 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCCC[N@H+]2C)nnc1N1CCOCC1 ZINC001122537278 745555940 /nfs/dbraw/zinc/55/59/40/745555940.db2.gz SKHCZKFJMJQXCW-CQSZACIVSA-N 1 2 305.426 1.848 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCCC[N@@H+]2C)nnc1N1CCOCC1 ZINC001122537278 745555944 /nfs/dbraw/zinc/55/59/44/745555944.db2.gz SKHCZKFJMJQXCW-CQSZACIVSA-N 1 2 305.426 1.848 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)nn1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106310209 745592492 /nfs/dbraw/zinc/59/24/92/745592492.db2.gz PSICBVIKIFHGNN-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cccc(C(F)F)c2)C[C@H]1O ZINC001191872257 745636096 /nfs/dbraw/zinc/63/60/96/745636096.db2.gz HHSRAEKDYAYUDC-LERXQTSPSA-N 1 2 323.343 1.445 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(C(F)F)c2)C[C@H]1O ZINC001191872257 745636100 /nfs/dbraw/zinc/63/61/00/745636100.db2.gz HHSRAEKDYAYUDC-LERXQTSPSA-N 1 2 323.343 1.445 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001112374108 745775399 /nfs/dbraw/zinc/77/53/99/745775399.db2.gz AAQHUSHOUYXDEY-AWEZNQCLSA-N 1 2 318.421 1.709 20 30 DDEDLO CC/C(C)=C/C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001192709451 745875910 /nfs/dbraw/zinc/87/59/10/745875910.db2.gz KHEFHWRDSZVUQP-XBJHSPDSSA-N 1 2 319.430 1.637 20 30 DDEDLO CC/C(C)=C/C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001192709451 745875911 /nfs/dbraw/zinc/87/59/11/745875911.db2.gz KHEFHWRDSZVUQP-XBJHSPDSSA-N 1 2 319.430 1.637 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2ccccc2F)C[C@H]1O ZINC001192804976 745899908 /nfs/dbraw/zinc/89/99/08/745899908.db2.gz MXEQKGDAJHYTRD-KBRIMQKVSA-N 1 2 318.392 1.707 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+]([C@H](C)c2ccccc2F)C[C@H]1O ZINC001192804976 745899914 /nfs/dbraw/zinc/89/99/14/745899914.db2.gz MXEQKGDAJHYTRD-KBRIMQKVSA-N 1 2 318.392 1.707 20 30 DDEDLO CC(C)(C)[C@@H](C[NH+]1CCOCC1)NC(=O)c1cnccc1C#N ZINC001192984006 745941564 /nfs/dbraw/zinc/94/15/64/745941564.db2.gz RXZLPPIZMZBOJS-OAHLLOKOSA-N 1 2 316.405 1.430 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccc1 ZINC001193128627 745986823 /nfs/dbraw/zinc/98/68/23/745986823.db2.gz KPLIXISVHAIDLC-QEJZJMRPSA-N 1 2 305.403 1.187 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1 ZINC001193128627 745986824 /nfs/dbraw/zinc/98/68/24/745986824.db2.gz KPLIXISVHAIDLC-QEJZJMRPSA-N 1 2 305.403 1.187 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cn3cc(C)nc3s2)[C@H]1C ZINC000993406821 746078306 /nfs/dbraw/zinc/07/83/06/746078306.db2.gz ALZVTGFVHVTIFQ-CHWSQXEVSA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cn3cc(C)nc3s2)[C@H]1C ZINC000993406821 746078312 /nfs/dbraw/zinc/07/83/12/746078312.db2.gz ALZVTGFVHVTIFQ-CHWSQXEVSA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2nc3cccnc3s2)[C@H]1C ZINC000993428235 746125978 /nfs/dbraw/zinc/12/59/78/746125978.db2.gz VGTSVYVTMRBOIE-NEPJUHHUSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2nc3cccnc3s2)[C@H]1C ZINC000993428235 746125983 /nfs/dbraw/zinc/12/59/83/746125983.db2.gz VGTSVYVTMRBOIE-NEPJUHHUSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@H]1C ZINC000993443914 746154286 /nfs/dbraw/zinc/15/42/86/746154286.db2.gz NMIQJCCHJGXPOA-ZYHUDNBSSA-N 1 2 308.813 1.841 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@H]1C ZINC000993443914 746154288 /nfs/dbraw/zinc/15/42/88/746154288.db2.gz NMIQJCCHJGXPOA-ZYHUDNBSSA-N 1 2 308.813 1.841 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)ncn1 ZINC001007159451 746569436 /nfs/dbraw/zinc/56/94/36/746569436.db2.gz ZUAMXFHPBFVXPX-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)ncn1 ZINC001007159451 746569441 /nfs/dbraw/zinc/56/94/41/746569441.db2.gz ZUAMXFHPBFVXPX-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195525991 746637824 /nfs/dbraw/zinc/63/78/24/746637824.db2.gz SPABWWUDTCUWBK-NILFDRSVSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195525991 746637827 /nfs/dbraw/zinc/63/78/27/746637827.db2.gz SPABWWUDTCUWBK-NILFDRSVSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1O ZINC001195566958 746655403 /nfs/dbraw/zinc/65/54/03/746655403.db2.gz FWVBAKNFQQCNDJ-MRVWCRGKSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1O ZINC001195566958 746655406 /nfs/dbraw/zinc/65/54/06/746655406.db2.gz FWVBAKNFQQCNDJ-MRVWCRGKSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195568039 746656029 /nfs/dbraw/zinc/65/60/29/746656029.db2.gz VWUJPKYNBYBLRI-RBSFLKMASA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195568039 746656030 /nfs/dbraw/zinc/65/60/30/746656030.db2.gz VWUJPKYNBYBLRI-RBSFLKMASA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+](Cc2snnc2C)CC1 ZINC001195681545 746670083 /nfs/dbraw/zinc/67/00/83/746670083.db2.gz FYVOIPOTJRRLSI-HNNXBMFYSA-N 1 2 324.450 1.208 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+](Cc2snnc2C)CC1 ZINC001195681545 746670085 /nfs/dbraw/zinc/67/00/85/746670085.db2.gz FYVOIPOTJRRLSI-HNNXBMFYSA-N 1 2 324.450 1.208 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)C2CC2)CC1 ZINC001195755124 746687057 /nfs/dbraw/zinc/68/70/57/746687057.db2.gz YVHKNDMIXZMOAP-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)C2CC2)CC1 ZINC001195755124 746687060 /nfs/dbraw/zinc/68/70/60/746687060.db2.gz YVHKNDMIXZMOAP-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)C(C)C)CC1 ZINC001195958115 746749502 /nfs/dbraw/zinc/74/95/02/746749502.db2.gz PETUDINVTNFUBX-HNNXBMFYSA-N 1 2 323.481 1.894 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C(C)(C)C(C)C)CC1 ZINC001195958115 746749504 /nfs/dbraw/zinc/74/95/04/746749504.db2.gz PETUDINVTNFUBX-HNNXBMFYSA-N 1 2 323.481 1.894 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cccc(CNC(C)=O)c2)C1 ZINC001031410059 746772085 /nfs/dbraw/zinc/77/20/85/746772085.db2.gz PHTXNMWKHWYCAA-UHFFFAOYSA-N 1 2 301.390 1.313 20 30 DDEDLO CN(c1ccc(C#N)cn1)[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001061216812 746893398 /nfs/dbraw/zinc/89/33/98/746893398.db2.gz BSZYSYRBKLYHPA-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO N#Cc1ccc(Cl)cc1S(=O)(=O)NCCCn1cc[nH+]c1 ZINC001196639760 746919765 /nfs/dbraw/zinc/91/97/65/746919765.db2.gz QAWVDOFHHBUVBE-UHFFFAOYSA-N 1 2 324.793 1.777 20 30 DDEDLO C#CCCCCCC(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC001196769295 746954045 /nfs/dbraw/zinc/95/40/45/746954045.db2.gz AVNOEGNOBUGJCW-UHFFFAOYSA-N 1 2 321.465 1.629 20 30 DDEDLO C#CCCCCCC(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC001196769295 746954047 /nfs/dbraw/zinc/95/40/47/746954047.db2.gz AVNOEGNOBUGJCW-UHFFFAOYSA-N 1 2 321.465 1.629 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC001196900244 747003679 /nfs/dbraw/zinc/00/36/79/747003679.db2.gz BVTIMSYPJGATJD-HNNXBMFYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC001196900244 747003686 /nfs/dbraw/zinc/00/36/86/747003686.db2.gz BVTIMSYPJGATJD-HNNXBMFYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)CC)CC1 ZINC001196984854 747024627 /nfs/dbraw/zinc/02/46/27/747024627.db2.gz STRKEPPFZONDDO-OAHLLOKOSA-N 1 2 309.454 1.792 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)CC)CC1 ZINC001196984854 747024630 /nfs/dbraw/zinc/02/46/30/747024630.db2.gz STRKEPPFZONDDO-OAHLLOKOSA-N 1 2 309.454 1.792 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001031562105 747044028 /nfs/dbraw/zinc/04/40/28/747044028.db2.gz HQHJLYJJXOBBJS-UHFFFAOYSA-N 1 2 309.373 1.452 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2cnns2)C1 ZINC001031562053 747044074 /nfs/dbraw/zinc/04/40/74/747044074.db2.gz GOGWCUJWVBTURY-UHFFFAOYSA-N 1 2 313.386 1.272 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CN(c2cc[nH+]c(C)n2)CCCO1 ZINC001089537650 747166681 /nfs/dbraw/zinc/16/66/81/747166681.db2.gz RLCSAJPLDUOKBW-HNNXBMFYSA-N 1 2 316.405 1.300 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(F)cc(F)c2)CC1 ZINC001198040862 747359499 /nfs/dbraw/zinc/35/94/99/747359499.db2.gz SFPCVRCDJXDYFF-UHFFFAOYSA-N 1 2 322.355 1.763 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(F)cc(F)c2)CC1 ZINC001198040862 747359506 /nfs/dbraw/zinc/35/95/06/747359506.db2.gz SFPCVRCDJXDYFF-UHFFFAOYSA-N 1 2 322.355 1.763 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(C)cc2)C1 ZINC001108058960 747415258 /nfs/dbraw/zinc/41/52/58/747415258.db2.gz HOFBNOAEDLVCHW-GOSISDBHSA-N 1 2 302.418 1.931 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(C)cc2)C1 ZINC001108058960 747415265 /nfs/dbraw/zinc/41/52/65/747415265.db2.gz HOFBNOAEDLVCHW-GOSISDBHSA-N 1 2 302.418 1.931 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001110506094 747447936 /nfs/dbraw/zinc/44/79/36/747447936.db2.gz GOONQAXNROSVIR-TUVASFSCSA-N 1 2 320.437 1.174 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001110506094 747447943 /nfs/dbraw/zinc/44/79/43/747447943.db2.gz GOONQAXNROSVIR-TUVASFSCSA-N 1 2 320.437 1.174 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000998744598 752195206 /nfs/dbraw/zinc/19/52/06/752195206.db2.gz CMPHZGARZWVDLI-CHWSQXEVSA-N 1 2 319.409 1.508 20 30 DDEDLO C=CCn1cccc1C(=O)NCC1C[NH+](Cc2ccns2)C1 ZINC001031733775 747607208 /nfs/dbraw/zinc/60/72/08/747607208.db2.gz NJCOGMPRZHBWOF-UHFFFAOYSA-N 1 2 316.430 1.992 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC000998749405 752202061 /nfs/dbraw/zinc/20/20/61/752202061.db2.gz ZSIKWAGZUNJTSH-VXGBXAGGSA-N 1 2 319.409 1.607 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2CCCCN2C(=O)CCC)C1 ZINC001044328295 747722025 /nfs/dbraw/zinc/72/20/25/747722025.db2.gz WYMSTSBYNINIMS-MRXNPFEDSA-N 1 2 319.449 1.334 20 30 DDEDLO CCC[C@@H](CC(C)C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001199252619 747764288 /nfs/dbraw/zinc/76/42/88/747764288.db2.gz FBVJFAPQVIMAIN-GVDBMIGSSA-N 1 2 324.465 1.260 20 30 DDEDLO CCC[C@@H](CC(C)C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001199252619 747764293 /nfs/dbraw/zinc/76/42/93/747764293.db2.gz FBVJFAPQVIMAIN-GVDBMIGSSA-N 1 2 324.465 1.260 20 30 DDEDLO CCC(C)(C)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001212325227 747865367 /nfs/dbraw/zinc/86/53/67/747865367.db2.gz SDZLKJFYBNGULZ-HUUCEWRRSA-N 1 2 310.438 1.278 20 30 DDEDLO CCC(C)(C)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001212325227 747865376 /nfs/dbraw/zinc/86/53/76/747865376.db2.gz SDZLKJFYBNGULZ-HUUCEWRRSA-N 1 2 310.438 1.278 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001212346981 748055819 /nfs/dbraw/zinc/05/58/19/748055819.db2.gz MHWVJBVUBCYKDQ-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001212346981 748055825 /nfs/dbraw/zinc/05/58/25/748055825.db2.gz MHWVJBVUBCYKDQ-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)C[C@H]2CCOC2)CC1 ZINC001004380692 748378501 /nfs/dbraw/zinc/37/85/01/748378501.db2.gz OYOBVIOVANGKKY-ZBFHGGJFSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)C[C@H]2CCOC2)CC1 ZINC001004380692 748378505 /nfs/dbraw/zinc/37/85/05/748378505.db2.gz OYOBVIOVANGKKY-ZBFHGGJFSA-N 1 2 305.422 1.640 20 30 DDEDLO C[C@](O)(CC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1)C1CC1 ZINC001004404831 748401955 /nfs/dbraw/zinc/40/19/55/748401955.db2.gz AKXQZQIRXIHVRX-AEFFLSMTSA-N 1 2 319.449 1.764 20 30 DDEDLO C[C@](O)(CC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1)C1CC1 ZINC001004404831 748401961 /nfs/dbraw/zinc/40/19/61/748401961.db2.gz AKXQZQIRXIHVRX-AEFFLSMTSA-N 1 2 319.449 1.764 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)C2=CCOCC2)CC1 ZINC001004418772 748413938 /nfs/dbraw/zinc/41/39/38/748413938.db2.gz GSLWHYZTVXVWMM-INIZCTEOSA-N 1 2 303.406 1.560 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)C2=CCOCC2)CC1 ZINC001004418772 748413943 /nfs/dbraw/zinc/41/39/43/748413943.db2.gz GSLWHYZTVXVWMM-INIZCTEOSA-N 1 2 303.406 1.560 20 30 DDEDLO C=CCCCC(=O)N1CCCO[C@H](C[NH2+]Cc2nc(C)no2)C1 ZINC001201283343 748452777 /nfs/dbraw/zinc/45/27/77/748452777.db2.gz FZVMLUNTJPUEEG-CQSZACIVSA-N 1 2 322.409 1.441 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc3ncccc3o2)C1 ZINC001108077876 748604073 /nfs/dbraw/zinc/60/40/73/748604073.db2.gz BFFOBMMWTPPXHE-QGZVFWFLSA-N 1 2 315.373 1.835 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc3ncccc3o2)C1 ZINC001108077876 748604076 /nfs/dbraw/zinc/60/40/76/748604076.db2.gz BFFOBMMWTPPXHE-QGZVFWFLSA-N 1 2 315.373 1.835 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(COC)CCC2)C1 ZINC001108078081 748610557 /nfs/dbraw/zinc/61/05/57/748610557.db2.gz HAZAJJSIMYQQPY-INIZCTEOSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(COC)CCC2)C1 ZINC001108078081 748610558 /nfs/dbraw/zinc/61/05/58/748610558.db2.gz HAZAJJSIMYQQPY-INIZCTEOSA-N 1 2 308.422 1.034 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2scnc2C(F)(F)F)C1 ZINC001033156464 748652525 /nfs/dbraw/zinc/65/25/25/748652525.db2.gz UPJOYTDDTCRXME-SECBINFHSA-N 1 2 317.336 1.941 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2scnc2C(F)(F)F)C1 ZINC001033156464 748652528 /nfs/dbraw/zinc/65/25/28/748652528.db2.gz UPJOYTDDTCRXME-SECBINFHSA-N 1 2 317.336 1.941 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cc3c([nH]c2=O)CCC3)C1 ZINC001032188608 748788515 /nfs/dbraw/zinc/78/85/15/748788515.db2.gz KWYOBPDHMZXJMT-UHFFFAOYSA-N 1 2 321.808 1.690 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)c2cnn(C)c2)C1 ZINC001108094582 748838365 /nfs/dbraw/zinc/83/83/65/748838365.db2.gz WVEIGYDQURHLKM-PBHICJAKSA-N 1 2 320.437 1.307 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)c2cnn(C)c2)C1 ZINC001108094582 748838370 /nfs/dbraw/zinc/83/83/70/748838370.db2.gz WVEIGYDQURHLKM-PBHICJAKSA-N 1 2 320.437 1.307 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@]1(C)CCN(c2ccc(C#N)cn2)C1 ZINC001110771346 748997513 /nfs/dbraw/zinc/99/75/13/748997513.db2.gz CKSLSVIQLVMLJT-QGZVFWFLSA-N 1 2 324.388 1.014 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc(Cl)n(C)n2)C1 ZINC001033249256 749059043 /nfs/dbraw/zinc/05/90/43/749059043.db2.gz WZDUKFADPRGSPB-JTQLQIEISA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(Cl)n(C)n2)C1 ZINC001033249256 749059047 /nfs/dbraw/zinc/05/90/47/749059047.db2.gz WZDUKFADPRGSPB-JTQLQIEISA-N 1 2 317.220 1.972 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1nnc(C(C)C)o1 ZINC001125247695 749068903 /nfs/dbraw/zinc/06/89/03/749068903.db2.gz FZZJUTRPHGXOAC-UHFFFAOYSA-N 1 2 310.398 1.382 20 30 DDEDLO CC1(C)CN(C(=O)C#CC2CC2)C[C@@H]1[NH2+]Cc1cnsn1 ZINC000995799378 749233352 /nfs/dbraw/zinc/23/33/52/749233352.db2.gz RHFSMWRQBLOBRU-ZDUSSCGKSA-N 1 2 304.419 1.278 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@]3(C)C=CCC3)nn2)C1 ZINC001107196668 749558154 /nfs/dbraw/zinc/55/81/54/749558154.db2.gz POQZAXWPSUZFML-KRWDZBQOSA-N 1 2 313.405 1.131 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C/C=C(\C)C=C)nn2)C1 ZINC001107197199 749558894 /nfs/dbraw/zinc/55/88/94/749558894.db2.gz CLENMYSSAZWADT-VGOFMYFVSA-N 1 2 313.405 1.297 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(C)C(=O)c2ccc3c(=O)ccoc3c2)C1 ZINC001033586882 749571096 /nfs/dbraw/zinc/57/10/96/749571096.db2.gz CICDNJBFPYAYQB-HNNXBMFYSA-N 1 2 324.380 1.963 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(C)C(=O)c2ccc3c(=O)ccoc3c2)C1 ZINC001033586882 749571100 /nfs/dbraw/zinc/57/11/00/749571100.db2.gz CICDNJBFPYAYQB-HNNXBMFYSA-N 1 2 324.380 1.963 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)C(C)(F)F)nn2)C1 ZINC001107212267 749610676 /nfs/dbraw/zinc/61/06/76/749610676.db2.gz XELFFUQURRUWPV-JTQLQIEISA-N 1 2 313.352 1.228 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)cc2)C1 ZINC001108362499 761967866 /nfs/dbraw/zinc/96/78/66/761967866.db2.gz OESOECUIPKTCPN-SFHVURJKSA-N 1 2 300.402 1.839 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)cc2)C1 ZINC001108362499 761967871 /nfs/dbraw/zinc/96/78/71/761967871.db2.gz OESOECUIPKTCPN-SFHVURJKSA-N 1 2 300.402 1.839 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cc(=O)c3ccccc3o2)C1 ZINC001033631471 749635220 /nfs/dbraw/zinc/63/52/20/749635220.db2.gz RULHHBYGVKEOKY-CYBMUJFWSA-N 1 2 310.353 1.573 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(=O)c3ccccc3o2)C1 ZINC001033631471 749635222 /nfs/dbraw/zinc/63/52/22/749635222.db2.gz RULHHBYGVKEOKY-CYBMUJFWSA-N 1 2 310.353 1.573 20 30 DDEDLO N#Cc1cnccc1N1CCC(NC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001095412352 749794076 /nfs/dbraw/zinc/79/40/76/749794076.db2.gz QAVHCLCBOSYFHH-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO CC[C@H](OC)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032310279 749823700 /nfs/dbraw/zinc/82/37/00/749823700.db2.gz FWXBYWFFVQUVEH-ULQDDVLXSA-N 1 2 313.401 1.768 20 30 DDEDLO CC[C@H](OC)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032310279 749823702 /nfs/dbraw/zinc/82/37/02/749823702.db2.gz FWXBYWFFVQUVEH-ULQDDVLXSA-N 1 2 313.401 1.768 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](OC)C2CCCC2)C1 ZINC001108369226 761988655 /nfs/dbraw/zinc/98/86/55/761988655.db2.gz MXJIUFNUVPVYKJ-FUHWJXTLSA-N 1 2 322.449 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](OC)C2CCCC2)C1 ZINC001108369226 761988658 /nfs/dbraw/zinc/98/86/58/761988658.db2.gz MXJIUFNUVPVYKJ-FUHWJXTLSA-N 1 2 322.449 1.422 20 30 DDEDLO CC1(C)CC[C@@H](CNC(=O)C2CCC2)[N@H+](CC(=O)NCC#N)C1 ZINC001098820122 749959180 /nfs/dbraw/zinc/95/91/80/749959180.db2.gz GKCLYHFNFMYOMB-AWEZNQCLSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CC[C@@H](CNC(=O)C2CCC2)[N@@H+](CC(=O)NCC#N)C1 ZINC001098820122 749959182 /nfs/dbraw/zinc/95/91/82/749959182.db2.gz GKCLYHFNFMYOMB-AWEZNQCLSA-N 1 2 320.437 1.033 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnccc2C)[C@H](O)C1 ZINC001090158947 750133491 /nfs/dbraw/zinc/13/34/91/750133491.db2.gz OPCIICIESHPGIG-ZIAGYGMSSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnccc2C)[C@H](O)C1 ZINC001090158947 750133496 /nfs/dbraw/zinc/13/34/96/750133496.db2.gz OPCIICIESHPGIG-ZIAGYGMSSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3cc(C)no3)C[C@H]2O)CC1 ZINC001077621725 750155633 /nfs/dbraw/zinc/15/56/33/750155633.db2.gz XJYWRYRRMIIHNS-HZPDHXFCSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3cc(C)no3)C[C@H]2O)CC1 ZINC001077621725 750155635 /nfs/dbraw/zinc/15/56/35/750155635.db2.gz XJYWRYRRMIIHNS-HZPDHXFCSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(C)n1 ZINC001110964755 750258632 /nfs/dbraw/zinc/25/86/32/750258632.db2.gz ZPPQUHRPXFJBNM-OAGGEKHMSA-N 1 2 302.422 1.998 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(C)n1 ZINC001110964755 750258636 /nfs/dbraw/zinc/25/86/36/750258636.db2.gz ZPPQUHRPXFJBNM-OAGGEKHMSA-N 1 2 302.422 1.998 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NCC)C1 ZINC001111002133 750295413 /nfs/dbraw/zinc/29/54/13/750295413.db2.gz WIXAEVJKIVHBSN-MCIONIFRSA-N 1 2 305.422 1.200 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NCC)C1 ZINC001111002133 750295416 /nfs/dbraw/zinc/29/54/16/750295416.db2.gz WIXAEVJKIVHBSN-MCIONIFRSA-N 1 2 305.422 1.200 20 30 DDEDLO C[C@@]1(CNC(=O)C(F)F)C[N@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107670535 750329773 /nfs/dbraw/zinc/32/97/73/750329773.db2.gz DSHNXESGIHOLDU-MRXNPFEDSA-N 1 2 323.343 1.530 20 30 DDEDLO C[C@@]1(CNC(=O)C(F)F)C[N@@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107670535 750329778 /nfs/dbraw/zinc/32/97/78/750329778.db2.gz DSHNXESGIHOLDU-MRXNPFEDSA-N 1 2 323.343 1.530 20 30 DDEDLO C=C(C)CCC(=O)N[C@]1(CO)CCCN(c2cc[nH+]c(C)n2)C1 ZINC001111359848 750516995 /nfs/dbraw/zinc/51/69/95/750516995.db2.gz OHITUEWLYVFVSI-QGZVFWFLSA-N 1 2 318.421 1.589 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)C1CCC1)CC2 ZINC001127909970 750580098 /nfs/dbraw/zinc/58/00/98/750580098.db2.gz HBVVFPNWTFUTGE-AWEZNQCLSA-N 1 2 315.421 1.012 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc([C@H](C)OC)n1 ZINC001032402473 750587661 /nfs/dbraw/zinc/58/76/61/750587661.db2.gz DCKOSDCRILBDLQ-AVGNSLFASA-N 1 2 319.430 1.773 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc([C@H](C)OC)n1 ZINC001032402473 750587665 /nfs/dbraw/zinc/58/76/65/750587665.db2.gz DCKOSDCRILBDLQ-AVGNSLFASA-N 1 2 319.430 1.773 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC2(OC)CCC2)C1 ZINC001107900871 750597997 /nfs/dbraw/zinc/59/79/97/750597997.db2.gz DODZQBIGEQIYJU-MRXNPFEDSA-N 1 2 308.422 1.176 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC2(OC)CCC2)C1 ZINC001107900871 750598000 /nfs/dbraw/zinc/59/80/00/750598000.db2.gz DODZQBIGEQIYJU-MRXNPFEDSA-N 1 2 308.422 1.176 20 30 DDEDLO CC(C)C#CC(=O)NC[C@]1(C)C[N@H+](CCOCC(C)C)CCO1 ZINC001107926819 750660537 /nfs/dbraw/zinc/66/05/37/750660537.db2.gz NYETUXVUVCCZLV-GOSISDBHSA-N 1 2 324.465 1.526 20 30 DDEDLO CC(C)C#CC(=O)NC[C@]1(C)C[N@@H+](CCOCC(C)C)CCO1 ZINC001107926819 750660539 /nfs/dbraw/zinc/66/05/39/750660539.db2.gz NYETUXVUVCCZLV-GOSISDBHSA-N 1 2 324.465 1.526 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cc[nH]c2)c1 ZINC001032415201 750682724 /nfs/dbraw/zinc/68/27/24/750682724.db2.gz RQRWEWYTRNOQEK-IRXDYDNUSA-N 1 2 306.369 1.985 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cc[nH]c2)c1 ZINC001032415201 750682726 /nfs/dbraw/zinc/68/27/26/750682726.db2.gz RQRWEWYTRNOQEK-IRXDYDNUSA-N 1 2 306.369 1.985 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCCN(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001067299213 762073937 /nfs/dbraw/zinc/07/39/37/762073937.db2.gz NPBLCCFHRUFYIB-UHFFFAOYSA-N 1 2 318.421 1.309 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC2CC(OCC)C2)C1 ZINC001108154650 750849215 /nfs/dbraw/zinc/84/92/15/750849215.db2.gz CPMKUGOCYRQWCW-DQPZFDDXSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CC2CC(OCC)C2)C1 ZINC001108154650 750849220 /nfs/dbraw/zinc/84/92/20/750849220.db2.gz CPMKUGOCYRQWCW-DQPZFDDXSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCc2nnc(C[NH2+]CCF)n2CC1 ZINC001127959025 750855000 /nfs/dbraw/zinc/85/50/00/750855000.db2.gz QKTARLHQUKNLQQ-LBPRGKRZSA-N 1 2 309.389 1.125 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCn2cc(C)nc2C1 ZINC001032475437 750962000 /nfs/dbraw/zinc/96/20/00/750962000.db2.gz QIHASQWCHSSCPX-JYJNAYRXSA-N 1 2 312.417 1.062 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCn2cc(C)nc2C1 ZINC001032475437 750962005 /nfs/dbraw/zinc/96/20/05/750962005.db2.gz QIHASQWCHSSCPX-JYJNAYRXSA-N 1 2 312.417 1.062 20 30 DDEDLO CC[C@H](F)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001114696253 750998457 /nfs/dbraw/zinc/99/84/57/750998457.db2.gz SWWVALBCSDAWAW-XUWVNRHRSA-N 1 2 319.355 1.992 20 30 DDEDLO CC[C@H](F)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001114696253 750998459 /nfs/dbraw/zinc/99/84/59/750998459.db2.gz SWWVALBCSDAWAW-XUWVNRHRSA-N 1 2 319.355 1.992 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2cc(C)ccc2O1 ZINC001032521815 751186425 /nfs/dbraw/zinc/18/64/25/751186425.db2.gz RBVCLWZENLVQBZ-JENIJYKNSA-N 1 2 324.424 1.997 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2cc(C)ccc2O1 ZINC001032521815 751186426 /nfs/dbraw/zinc/18/64/26/751186426.db2.gz RBVCLWZENLVQBZ-JENIJYKNSA-N 1 2 324.424 1.997 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COc2cc(C)c(C)cc21 ZINC001032540838 751228557 /nfs/dbraw/zinc/22/85/57/751228557.db2.gz RZWRMLRXTPDFDF-YQQAZPJKSA-N 1 2 310.397 1.698 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COc2cc(C)c(C)cc21 ZINC001032540838 751228561 /nfs/dbraw/zinc/22/85/61/751228561.db2.gz RZWRMLRXTPDFDF-YQQAZPJKSA-N 1 2 310.397 1.698 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cnc(-c3ccco3)s2)C1 ZINC001016035898 751233752 /nfs/dbraw/zinc/23/37/52/751233752.db2.gz BGFIKZSHCSQHMO-NSHDSACASA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cnc(-c3ccco3)s2)C1 ZINC001016035898 751233757 /nfs/dbraw/zinc/23/37/57/751233757.db2.gz BGFIKZSHCSQHMO-NSHDSACASA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)C(CC)CC)[C@@H](n2ccnn2)C1 ZINC001129084720 751263256 /nfs/dbraw/zinc/26/32/56/751263256.db2.gz VHDZNEFPRCHTSI-BMFZPTHFSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)C(CC)CC)[C@@H](n2ccnn2)C1 ZINC001129084720 751263259 /nfs/dbraw/zinc/26/32/59/751263259.db2.gz VHDZNEFPRCHTSI-BMFZPTHFSA-N 1 2 317.437 1.325 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2nc3c(s2)CCC3)C1 ZINC001007944427 752455180 /nfs/dbraw/zinc/45/51/80/752455180.db2.gz SQBIPJRNLQFVPY-LBPRGKRZSA-N 1 2 303.431 1.849 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2nc3c(s2)CCC3)C1 ZINC001007944427 752455186 /nfs/dbraw/zinc/45/51/86/752455186.db2.gz SQBIPJRNLQFVPY-LBPRGKRZSA-N 1 2 303.431 1.849 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001008021280 752503379 /nfs/dbraw/zinc/50/33/79/752503379.db2.gz JYUWMUHHTSGORU-AWEZNQCLSA-N 1 2 324.856 1.858 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001008021280 752503382 /nfs/dbraw/zinc/50/33/82/752503382.db2.gz JYUWMUHHTSGORU-AWEZNQCLSA-N 1 2 324.856 1.858 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999132680 752568147 /nfs/dbraw/zinc/56/81/47/752568147.db2.gz HHGZFBLWZZLZNU-CYBMUJFWSA-N 1 2 302.378 1.126 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)CCc3[nH]cc[nH+]3)C2)C1 ZINC000999285305 752785209 /nfs/dbraw/zinc/78/52/09/752785209.db2.gz JCDVXMOBMMVAAK-UHFFFAOYSA-N 1 2 302.378 1.026 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)OCCC(C)C)C1 ZINC001108432682 762182317 /nfs/dbraw/zinc/18/23/17/762182317.db2.gz NTRXTVFKLRYLCL-RDJZCZTQSA-N 1 2 312.454 1.831 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)OCCC(C)C)C1 ZINC001108432682 762182320 /nfs/dbraw/zinc/18/23/20/762182320.db2.gz NTRXTVFKLRYLCL-RDJZCZTQSA-N 1 2 312.454 1.831 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1C(C)(C)C ZINC001032720954 753218200 /nfs/dbraw/zinc/21/82/00/753218200.db2.gz LBMSLSMKITYBSC-STQMWFEESA-N 1 2 301.390 1.894 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1C(C)(C)C ZINC001032720954 753218203 /nfs/dbraw/zinc/21/82/03/753218203.db2.gz LBMSLSMKITYBSC-STQMWFEESA-N 1 2 301.390 1.894 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(O[C@H](C)CC)c1 ZINC001032722300 753312940 /nfs/dbraw/zinc/31/29/40/753312940.db2.gz RZTNIFFUNZSULY-KBMXLJTQSA-N 1 2 313.401 1.791 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(O[C@H](C)CC)c1 ZINC001032722300 753312941 /nfs/dbraw/zinc/31/29/41/753312941.db2.gz RZTNIFFUNZSULY-KBMXLJTQSA-N 1 2 313.401 1.791 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C(C)C)c1C ZINC001032724685 753359891 /nfs/dbraw/zinc/35/98/91/753359891.db2.gz DIZBFMNSRWDNQD-GJZGRUSLSA-N 1 2 300.406 1.694 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C(C)C)c1C ZINC001032724685 753359895 /nfs/dbraw/zinc/35/98/95/753359895.db2.gz DIZBFMNSRWDNQD-GJZGRUSLSA-N 1 2 300.406 1.694 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC001077921869 753424692 /nfs/dbraw/zinc/42/46/92/753424692.db2.gz SSSZUVGSOAWHOE-HZPDHXFCSA-N 1 2 311.385 1.193 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC001077921869 753424694 /nfs/dbraw/zinc/42/46/94/753424694.db2.gz SSSZUVGSOAWHOE-HZPDHXFCSA-N 1 2 311.385 1.193 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2cccnc2)C1 ZINC001009916998 753467571 /nfs/dbraw/zinc/46/75/71/753467571.db2.gz YUEZDUMADHEJGJ-QGZVFWFLSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2cccnc2)C1 ZINC001009916998 753467578 /nfs/dbraw/zinc/46/75/78/753467578.db2.gz YUEZDUMADHEJGJ-QGZVFWFLSA-N 1 2 306.369 1.958 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCCC23CC3)C1 ZINC001108023753 753569404 /nfs/dbraw/zinc/56/94/04/753569404.db2.gz FONYIVVSMIOAFP-NVXWUHKLSA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCCC23CC3)C1 ZINC001108023753 753569406 /nfs/dbraw/zinc/56/94/06/753569406.db2.gz FONYIVVSMIOAFP-NVXWUHKLSA-N 1 2 304.434 1.797 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ccc(C#N)cn1 ZINC001039819576 762229517 /nfs/dbraw/zinc/22/95/17/762229517.db2.gz VVZOLEGIMKWTMV-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ncccc1C#N ZINC001039819603 762229776 /nfs/dbraw/zinc/22/97/76/762229776.db2.gz WCSZJNCOMIUQHL-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CC23CCOCC3)C1 ZINC001108446803 762231466 /nfs/dbraw/zinc/23/14/66/762231466.db2.gz JRDBJNYOXUGBFV-WBVHZDCISA-N 1 2 320.433 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CC23CCOCC3)C1 ZINC001108446803 762231470 /nfs/dbraw/zinc/23/14/70/762231470.db2.gz JRDBJNYOXUGBFV-WBVHZDCISA-N 1 2 320.433 1.034 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)n1nc(C)cc1C ZINC001032784179 753657369 /nfs/dbraw/zinc/65/73/69/753657369.db2.gz LIUNJBMYALWQEC-PMPSAXMXSA-N 1 2 300.406 1.369 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)n1nc(C)cc1C ZINC001032784179 753657371 /nfs/dbraw/zinc/65/73/71/753657371.db2.gz LIUNJBMYALWQEC-PMPSAXMXSA-N 1 2 300.406 1.369 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2C(F)(F)F)C1 ZINC001078056055 753686699 /nfs/dbraw/zinc/68/66/99/753686699.db2.gz HKQSIHAGHNXVNX-CHWSQXEVSA-N 1 2 312.291 1.114 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2C(F)(F)F)C1 ZINC001078056055 753686700 /nfs/dbraw/zinc/68/67/00/753686700.db2.gz HKQSIHAGHNXVNX-CHWSQXEVSA-N 1 2 312.291 1.114 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3ccn[nH]3)C2)cc1F ZINC001010288833 753736822 /nfs/dbraw/zinc/73/68/22/753736822.db2.gz SDMFYZGUUSWHSD-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3ccn[nH]3)C2)cc1F ZINC001010288833 753736828 /nfs/dbraw/zinc/73/68/28/753736828.db2.gz SDMFYZGUUSWHSD-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1ccc(F)cc1F ZINC001032792500 753776634 /nfs/dbraw/zinc/77/66/34/753776634.db2.gz DRYSQTCRBIMRBN-XBFCOCLRSA-N 1 2 304.340 1.987 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1ccc(F)cc1F ZINC001032792500 753776637 /nfs/dbraw/zinc/77/66/37/753776637.db2.gz DRYSQTCRBIMRBN-XBFCOCLRSA-N 1 2 304.340 1.987 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001062878336 753793291 /nfs/dbraw/zinc/79/32/91/753793291.db2.gz CAKHZIZXPJXLSB-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cc(F)ccc1F ZINC001032802362 754082196 /nfs/dbraw/zinc/08/21/96/754082196.db2.gz YAAJHJQTWIXADC-GJZGRUSLSA-N 1 2 304.340 1.816 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cc(F)ccc1F ZINC001032802362 754082201 /nfs/dbraw/zinc/08/22/01/754082201.db2.gz YAAJHJQTWIXADC-GJZGRUSLSA-N 1 2 304.340 1.816 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1cncnc1 ZINC001010713416 754117201 /nfs/dbraw/zinc/11/72/01/754117201.db2.gz SAILNRWAGZXSMX-KRWDZBQOSA-N 1 2 324.359 1.472 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1cncnc1 ZINC001010713416 754117207 /nfs/dbraw/zinc/11/72/07/754117207.db2.gz SAILNRWAGZXSMX-KRWDZBQOSA-N 1 2 324.359 1.472 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001063406967 754130963 /nfs/dbraw/zinc/13/09/63/754130963.db2.gz SGJFDCSPQZYMTO-HDJSIYSDSA-N 1 2 324.388 1.758 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)cnn1 ZINC001010757588 754145938 /nfs/dbraw/zinc/14/59/38/754145938.db2.gz KPOSXOUIWLOGEA-GOSISDBHSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)cnn1 ZINC001010757588 754145942 /nfs/dbraw/zinc/14/59/42/754145942.db2.gz KPOSXOUIWLOGEA-GOSISDBHSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C[C@@H]2C)cc[nH+]1 ZINC001063716266 754313599 /nfs/dbraw/zinc/31/35/99/754313599.db2.gz OGCHQZIDQOXPAI-SMDDNHRTSA-N 1 2 324.388 1.948 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)Cc2c[nH+]cn2C)CCC1 ZINC001063723362 754317425 /nfs/dbraw/zinc/31/74/25/754317425.db2.gz OAJMSDXGDMMDIT-UHFFFAOYSA-N 1 2 318.421 1.474 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)CC[C@H]1Nc1ccc(C#N)cn1 ZINC001063754398 754330955 /nfs/dbraw/zinc/33/09/55/754330955.db2.gz SFRHGQVXVDNJFY-UKRRQHHQSA-N 1 2 324.388 1.499 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1c(C)nn(C)c1C ZINC001032818704 754472833 /nfs/dbraw/zinc/47/28/33/754472833.db2.gz NTEMZRNRBUTFRU-KCXAZCMYSA-N 1 2 314.433 1.449 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1c(C)nn(C)c1C ZINC001032818704 754472841 /nfs/dbraw/zinc/47/28/41/754472841.db2.gz NTEMZRNRBUTFRU-KCXAZCMYSA-N 1 2 314.433 1.449 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCN(C(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001011849327 754741099 /nfs/dbraw/zinc/74/10/99/754741099.db2.gz OEAZSGASPSKXPE-KRWDZBQOSA-N 1 2 318.421 1.534 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064791719 754858143 /nfs/dbraw/zinc/85/81/43/754858143.db2.gz KLGXPFZEXIOIKC-TZMCWYRMSA-N 1 2 324.388 1.710 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](C)NC(=O)Cc2c[nH+]cn2C)n1 ZINC001108456289 762348976 /nfs/dbraw/zinc/34/89/76/762348976.db2.gz WRKYNZQHSNFCAI-LBPRGKRZSA-N 1 2 312.377 1.155 20 30 DDEDLO C=C1CCC(C(=O)N2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)CC1 ZINC001012437029 755073150 /nfs/dbraw/zinc/07/31/50/755073150.db2.gz DZZMBVYEFMLZJR-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CC(C)(C)CC(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001079845940 755606773 /nfs/dbraw/zinc/60/67/73/755606773.db2.gz SUXISEYGVKPLCZ-UHFFFAOYSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2[nH]nc3c2CCCC3)C1 ZINC001014446609 755739429 /nfs/dbraw/zinc/73/94/29/755739429.db2.gz IJAFDACACPGIIF-GFCCVEGCSA-N 1 2 322.840 1.774 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2[nH]nc3c2CCCC3)C1 ZINC001014446609 755739433 /nfs/dbraw/zinc/73/94/33/755739433.db2.gz IJAFDACACPGIIF-GFCCVEGCSA-N 1 2 322.840 1.774 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001080154788 755742399 /nfs/dbraw/zinc/74/23/99/755742399.db2.gz LLUOTOTVEDMTLX-BGNCJLHMSA-N 1 2 312.841 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001080154788 755742401 /nfs/dbraw/zinc/74/24/01/755742401.db2.gz LLUOTOTVEDMTLX-BGNCJLHMSA-N 1 2 312.841 1.991 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080310071 755821505 /nfs/dbraw/zinc/82/15/05/755821505.db2.gz LJXSKLGAZIWHQE-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2ccc(C(F)F)n2)C1 ZINC001014675313 755874793 /nfs/dbraw/zinc/87/47/93/755874793.db2.gz XMAUZVNDELQBNJ-JTQLQIEISA-N 1 2 318.755 1.764 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2ccc(C(F)F)n2)C1 ZINC001014675313 755874797 /nfs/dbraw/zinc/87/47/97/755874797.db2.gz XMAUZVNDELQBNJ-JTQLQIEISA-N 1 2 318.755 1.764 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080467535 755929408 /nfs/dbraw/zinc/92/94/08/755929408.db2.gz WWUSBWXUTIRKBY-QGZVFWFLSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cn3ccccc3n2)C1 ZINC001014956179 756036878 /nfs/dbraw/zinc/03/68/78/756036878.db2.gz IKBJBZPGOZJKRG-CYBMUJFWSA-N 1 2 318.808 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cn3ccccc3n2)C1 ZINC001014956179 756036883 /nfs/dbraw/zinc/03/68/83/756036883.db2.gz IKBJBZPGOZJKRG-CYBMUJFWSA-N 1 2 318.808 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncc3ccccn32)C1 ZINC001015272084 756201775 /nfs/dbraw/zinc/20/17/75/756201775.db2.gz RQMDKHKYNLDYDW-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncc3ccccn32)C1 ZINC001015272084 756201780 /nfs/dbraw/zinc/20/17/80/756201780.db2.gz RQMDKHKYNLDYDW-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO N#CCN[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1c1ccccc1 ZINC001081124376 756208366 /nfs/dbraw/zinc/20/83/66/756208366.db2.gz CVINHNWCQOSEEY-DLBZAZTESA-N 1 2 323.400 1.450 20 30 DDEDLO N#CCN[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1c1ccccc1 ZINC001081124376 756208371 /nfs/dbraw/zinc/20/83/71/756208371.db2.gz CVINHNWCQOSEEY-DLBZAZTESA-N 1 2 323.400 1.450 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2coc(OC)n2)CC1 ZINC001001003825 762459697 /nfs/dbraw/zinc/45/96/97/762459697.db2.gz JWGRHIWWPYGLJD-UHFFFAOYSA-N 1 2 311.769 1.798 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2coc(OC)n2)CC1 ZINC001001003825 762459701 /nfs/dbraw/zinc/45/97/01/762459701.db2.gz JWGRHIWWPYGLJD-UHFFFAOYSA-N 1 2 311.769 1.798 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001015557386 756373406 /nfs/dbraw/zinc/37/34/06/756373406.db2.gz OXODDFFZRVWWJY-NCOADZHNSA-N 1 2 311.385 1.141 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001015557386 756373409 /nfs/dbraw/zinc/37/34/09/756373409.db2.gz OXODDFFZRVWWJY-NCOADZHNSA-N 1 2 311.385 1.141 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cscc2Cl)[C@H](OC)C1 ZINC001081827117 756477278 /nfs/dbraw/zinc/47/72/78/756477278.db2.gz RBAYHGMAFKWCAO-CHWSQXEVSA-N 1 2 312.822 1.854 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cscc2Cl)[C@H](OC)C1 ZINC001081827117 756477281 /nfs/dbraw/zinc/47/72/81/756477281.db2.gz RBAYHGMAFKWCAO-CHWSQXEVSA-N 1 2 312.822 1.854 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2snnc2CC)[C@H](OC)C1 ZINC001081911062 756531056 /nfs/dbraw/zinc/53/10/56/756531056.db2.gz VFPPQRAMQUJQQX-VXGBXAGGSA-N 1 2 310.423 1.106 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2snnc2CC)[C@H](OC)C1 ZINC001081911062 756531058 /nfs/dbraw/zinc/53/10/58/756531058.db2.gz VFPPQRAMQUJQQX-VXGBXAGGSA-N 1 2 310.423 1.106 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)ccc2CC)[C@H](OC)C1 ZINC001081894286 756543012 /nfs/dbraw/zinc/54/30/12/756543012.db2.gz PXYVCQBTGVFGSR-HZPDHXFCSA-N 1 2 304.365 1.450 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)ccc2CC)[C@H](OC)C1 ZINC001081894286 756543015 /nfs/dbraw/zinc/54/30/15/756543015.db2.gz PXYVCQBTGVFGSR-HZPDHXFCSA-N 1 2 304.365 1.450 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(cn2)CCCC3)[C@H](OC)C1 ZINC001081924908 756546509 /nfs/dbraw/zinc/54/65/09/756546509.db2.gz VGGXPCYZYQGLJN-IAGOWNOFSA-N 1 2 313.401 1.023 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(cn2)CCCC3)[C@H](OC)C1 ZINC001081924908 756546512 /nfs/dbraw/zinc/54/65/12/756546512.db2.gz VGGXPCYZYQGLJN-IAGOWNOFSA-N 1 2 313.401 1.023 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(F)c(F)c2F)[C@H](OC)C1 ZINC001082079270 756630927 /nfs/dbraw/zinc/63/09/27/756630927.db2.gz ODFAPDBPOYYXCB-VXGBXAGGSA-N 1 2 312.291 1.166 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(F)c(F)c2F)[C@H](OC)C1 ZINC001082079270 756630930 /nfs/dbraw/zinc/63/09/30/756630930.db2.gz ODFAPDBPOYYXCB-VXGBXAGGSA-N 1 2 312.291 1.166 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001015988073 756700140 /nfs/dbraw/zinc/70/01/40/756700140.db2.gz RRQJKNHHRGRHEW-AAEUAGOBSA-N 1 2 308.813 1.458 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001015988073 756700144 /nfs/dbraw/zinc/70/01/44/756700144.db2.gz RRQJKNHHRGRHEW-AAEUAGOBSA-N 1 2 308.813 1.458 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2C)[C@H](OC)C1 ZINC001082254330 756710522 /nfs/dbraw/zinc/71/05/22/756710522.db2.gz RWTPSESTHRWEFP-HUUCEWRRSA-N 1 2 306.793 1.711 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2C)[C@H](OC)C1 ZINC001082254330 756710527 /nfs/dbraw/zinc/71/05/27/756710527.db2.gz RWTPSESTHRWEFP-HUUCEWRRSA-N 1 2 306.793 1.711 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@H](OC)C1 ZINC001082329611 756734273 /nfs/dbraw/zinc/73/42/73/756734273.db2.gz AHMHGCMUOGZPAA-QZTJIDSGSA-N 1 2 310.397 1.708 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@H](OC)C1 ZINC001082329611 756734279 /nfs/dbraw/zinc/73/42/79/756734279.db2.gz AHMHGCMUOGZPAA-QZTJIDSGSA-N 1 2 310.397 1.708 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cn2c(C)csc2=O)C1 ZINC001016227353 756845323 /nfs/dbraw/zinc/84/53/23/756845323.db2.gz ZFSCPKSKBRWUMM-LLVKDONJSA-N 1 2 315.826 1.161 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cn2c(C)csc2=O)C1 ZINC001016227353 756845330 /nfs/dbraw/zinc/84/53/30/756845330.db2.gz ZFSCPKSKBRWUMM-LLVKDONJSA-N 1 2 315.826 1.161 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCc3nnc(C)n3C2)C1 ZINC001016328152 756930725 /nfs/dbraw/zinc/93/07/25/756930725.db2.gz SLUNRQZUKZJCKU-QWHCGFSZSA-N 1 2 323.828 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCc3nnc(C)n3C2)C1 ZINC001016328152 756930732 /nfs/dbraw/zinc/93/07/32/756930732.db2.gz SLUNRQZUKZJCKU-QWHCGFSZSA-N 1 2 323.828 1.092 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@@H](Nc2ncccc2C#N)C1 ZINC001097257439 757023528 /nfs/dbraw/zinc/02/35/28/757023528.db2.gz MQBUPYKVJZHHBS-BNOWGMLFSA-N 1 2 324.388 1.614 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@@H](Nc2ncccc2C#N)C1 ZINC001097257439 757023537 /nfs/dbraw/zinc/02/35/37/757023537.db2.gz MQBUPYKVJZHHBS-BNOWGMLFSA-N 1 2 324.388 1.614 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(CCC)c(C)s2)[C@@H](O)C1 ZINC001084036981 757239189 /nfs/dbraw/zinc/23/91/89/757239189.db2.gz ZCUGOBJVPPMPDY-KGLIPLIRSA-N 1 2 306.431 1.417 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(CCC)c(C)s2)[C@@H](O)C1 ZINC001084036981 757239194 /nfs/dbraw/zinc/23/91/94/757239194.db2.gz ZCUGOBJVPPMPDY-KGLIPLIRSA-N 1 2 306.431 1.417 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C(CC)CC)CC2=O)C1 ZINC001108511199 762537839 /nfs/dbraw/zinc/53/78/39/762537839.db2.gz MXGKIFRBVYLPGC-AWEZNQCLSA-N 1 2 307.438 1.400 20 30 DDEDLO Cc1nc(N[C@H](C)CNC(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001097731221 757436483 /nfs/dbraw/zinc/43/64/83/757436483.db2.gz BZUBCEIMNWMRAP-WDEREUQCSA-N 1 2 301.394 1.740 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)c(C)s1 ZINC001084236330 757437399 /nfs/dbraw/zinc/43/73/99/757437399.db2.gz FEMAUMUGZSTDRC-PSOPSSQASA-N 1 2 318.446 1.952 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)c(C)s1 ZINC001084236330 757437402 /nfs/dbraw/zinc/43/74/02/757437402.db2.gz FEMAUMUGZSTDRC-PSOPSSQASA-N 1 2 318.446 1.952 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1cc(C#N)cc(C(F)(F)F)n1 ZINC001169652417 762574345 /nfs/dbraw/zinc/57/43/45/762574345.db2.gz ZMQNYNBAXABDTH-JTQLQIEISA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1cc(C#N)cc(C(F)(F)F)n1 ZINC001169652417 762574350 /nfs/dbraw/zinc/57/43/50/762574350.db2.gz ZMQNYNBAXABDTH-JTQLQIEISA-N 1 2 300.284 1.715 20 30 DDEDLO C/C(=N\C#N)N(C)Cc1ccc(NC[C@@H]2COCC[N@@H+]2C)nc1 ZINC001169651442 762572147 /nfs/dbraw/zinc/57/21/47/762572147.db2.gz ONONGTMJBJGNQJ-OOWUWCJESA-N 1 2 316.409 1.155 20 30 DDEDLO C/C(=N\C#N)N(C)Cc1ccc(NC[C@@H]2COCC[N@H+]2C)nc1 ZINC001169651442 762572151 /nfs/dbraw/zinc/57/21/51/762572151.db2.gz ONONGTMJBJGNQJ-OOWUWCJESA-N 1 2 316.409 1.155 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2snnc2C)C[C@@H]1O ZINC001099858277 758116351 /nfs/dbraw/zinc/11/63/51/758116351.db2.gz JSJKJSOORBSUBR-STQMWFEESA-N 1 2 324.450 1.254 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2snnc2C)C[C@@H]1O ZINC001099858277 758116354 /nfs/dbraw/zinc/11/63/54/758116354.db2.gz JSJKJSOORBSUBR-STQMWFEESA-N 1 2 324.450 1.254 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001053064246 758121732 /nfs/dbraw/zinc/12/17/32/758121732.db2.gz CWHWQXXBSWGFKA-GJZGRUSLSA-N 1 2 318.421 1.450 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2cc(C)ccc2n1 ZINC001017655647 758123877 /nfs/dbraw/zinc/12/38/77/758123877.db2.gz NHIHMARPQKBJDS-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2cc(C)ccc2n1 ZINC001017655647 758123883 /nfs/dbraw/zinc/12/38/83/758123883.db2.gz NHIHMARPQKBJDS-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COc2ccccc2C1 ZINC001017719628 758180942 /nfs/dbraw/zinc/18/09/42/758180942.db2.gz HWDLEGVAXHIMKK-KURKYZTESA-N 1 2 324.424 1.936 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COc2ccccc2C1 ZINC001017719628 758180952 /nfs/dbraw/zinc/18/09/52/758180952.db2.gz HWDLEGVAXHIMKK-KURKYZTESA-N 1 2 324.424 1.936 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001053136884 758194583 /nfs/dbraw/zinc/19/45/83/758194583.db2.gz GKSQPCSNQFMFPX-KGLIPLIRSA-N 1 2 318.421 1.306 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(C2CC2)CCOCC1 ZINC001017769192 758218653 /nfs/dbraw/zinc/21/86/53/758218653.db2.gz OWBQGPXXIZXXQL-CALCHBBNSA-N 1 2 316.445 1.892 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(C2CC2)CCOCC1 ZINC001017769192 758218658 /nfs/dbraw/zinc/21/86/58/758218658.db2.gz OWBQGPXXIZXXQL-CALCHBBNSA-N 1 2 316.445 1.892 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)n1ccc(C)n1 ZINC001017794041 758246149 /nfs/dbraw/zinc/24/61/49/758246149.db2.gz HBBFQXCGSASEBT-OAGGEKHMSA-N 1 2 300.406 1.451 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)n1ccc(C)n1 ZINC001017794041 758246153 /nfs/dbraw/zinc/24/61/53/758246153.db2.gz HBBFQXCGSASEBT-OAGGEKHMSA-N 1 2 300.406 1.451 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCOC2(C[NH+]([C@@H](C)c3ccccc3)C2)C1 ZINC001053187222 758247056 /nfs/dbraw/zinc/24/70/56/758247056.db2.gz HCQFDOKENYASCW-GJZGRUSLSA-N 1 2 313.401 1.820 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001053299145 758353931 /nfs/dbraw/zinc/35/39/31/758353931.db2.gz DWGQPCNFHXDKBL-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(Cl)[nH]1)CCO2 ZINC001053411855 758442245 /nfs/dbraw/zinc/44/22/45/758442245.db2.gz KZAMAVAGCPBWCJ-UHFFFAOYSA-N 1 2 309.797 1.771 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1coc(C3CC3)n1)CCO2 ZINC001053460828 758479447 /nfs/dbraw/zinc/47/94/47/758479447.db2.gz KCEPUVXVSNWMFQ-UHFFFAOYSA-N 1 2 317.389 1.655 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(CC)c1C ZINC001018070627 758521977 /nfs/dbraw/zinc/52/19/77/758521977.db2.gz LRKHDERQERVPNK-GASCZTMLSA-N 1 2 300.406 1.442 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(CC)c1C ZINC001018070627 758521978 /nfs/dbraw/zinc/52/19/78/758521978.db2.gz LRKHDERQERVPNK-GASCZTMLSA-N 1 2 300.406 1.442 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@H](C)[C@H]1CCCO1)CCO2 ZINC001053517968 758526241 /nfs/dbraw/zinc/52/62/41/758526241.db2.gz JYSMQMGEAHZXHS-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C[C@]1(CNc2ccc(C#N)cn2)CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065445498 758551505 /nfs/dbraw/zinc/55/15/05/758551505.db2.gz MBSDFZQMNOHROE-QGZVFWFLSA-N 1 2 324.388 1.570 20 30 DDEDLO C[C@]1(CNc2ncccc2C#N)CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065445764 758552010 /nfs/dbraw/zinc/55/20/10/758552010.db2.gz TUYFJNPFJKILNG-QGZVFWFLSA-N 1 2 324.388 1.570 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-n2cccc2)ccn1 ZINC001018152977 758584393 /nfs/dbraw/zinc/58/43/93/758584393.db2.gz RTLGONGHBOWJMD-CALCHBBNSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-n2cccc2)ccn1 ZINC001018152977 758584399 /nfs/dbraw/zinc/58/43/99/758584399.db2.gz RTLGONGHBOWJMD-CALCHBBNSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nccn2c1 ZINC001018168335 758597647 /nfs/dbraw/zinc/59/76/47/758597647.db2.gz UZQWEUXMZSHCSJ-IYBDPMFKSA-N 1 2 308.385 1.646 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nccn2c1 ZINC001018168335 758597654 /nfs/dbraw/zinc/59/76/54/758597654.db2.gz UZQWEUXMZSHCSJ-IYBDPMFKSA-N 1 2 308.385 1.646 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C3CC3)on1)O2 ZINC001053633758 758649182 /nfs/dbraw/zinc/64/91/82/758649182.db2.gz AAMLZPASZPPZCX-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2ccccc2n1 ZINC001018238938 758660452 /nfs/dbraw/zinc/66/04/52/758660452.db2.gz LPMAVRYFCAPUMV-GASCZTMLSA-N 1 2 308.385 1.646 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2ccccc2n1 ZINC001018238938 758660458 /nfs/dbraw/zinc/66/04/58/758660458.db2.gz LPMAVRYFCAPUMV-GASCZTMLSA-N 1 2 308.385 1.646 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1csc(C)n1)O2 ZINC001053649838 758662668 /nfs/dbraw/zinc/66/26/68/758662668.db2.gz YEGJUUCWZOUWTK-GFCCVEGCSA-N 1 2 307.419 1.601 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(-c2ccccc2)n1 ZINC001018252849 758668560 /nfs/dbraw/zinc/66/85/60/758668560.db2.gz FKMKGAGJXUTCOD-IYBDPMFKSA-N 1 2 323.400 1.742 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(-c2ccccc2)n1 ZINC001018252849 758668562 /nfs/dbraw/zinc/66/85/62/758668562.db2.gz FKMKGAGJXUTCOD-IYBDPMFKSA-N 1 2 323.400 1.742 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1conc1CC)O2 ZINC001053658767 758671719 /nfs/dbraw/zinc/67/17/19/758671719.db2.gz FQMBWRASKWYSIV-CYBMUJFWSA-N 1 2 319.405 1.776 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cc(C)cc(C)c1 ZINC001018263914 758678377 /nfs/dbraw/zinc/67/83/77/758678377.db2.gz PKYZNFSLGPGYGF-CALCHBBNSA-N 1 2 312.413 1.991 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cc(C)cc(C)c1 ZINC001018263914 758678383 /nfs/dbraw/zinc/67/83/83/758678383.db2.gz PKYZNFSLGPGYGF-CALCHBBNSA-N 1 2 312.413 1.991 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccncc1Cl)O2 ZINC001053668026 758680076 /nfs/dbraw/zinc/68/00/76/758680076.db2.gz HNIMOZDPRYLTCI-LBPRGKRZSA-N 1 2 321.808 1.884 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2n[nH]c(C)c2c1 ZINC001018267500 758680610 /nfs/dbraw/zinc/68/06/10/758680610.db2.gz IJXWBFCRZNGPPQ-OKILXGFUSA-N 1 2 309.373 1.188 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2n[nH]c(C)c2c1 ZINC001018267500 758680616 /nfs/dbraw/zinc/68/06/16/758680616.db2.gz IJXWBFCRZNGPPQ-OKILXGFUSA-N 1 2 309.373 1.188 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CCO[C@H]1C(=C)C)O2 ZINC001053674989 758685004 /nfs/dbraw/zinc/68/50/04/758685004.db2.gz BFFACZFWPKRKCM-JYJNAYRXSA-N 1 2 320.433 1.503 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c[nH]nc1C1CC1)O2 ZINC001053682183 758691511 /nfs/dbraw/zinc/69/15/11/758691511.db2.gz CRPBKUMGQJADTP-CYBMUJFWSA-N 1 2 316.405 1.436 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn2cccnc12 ZINC001018283732 758696726 /nfs/dbraw/zinc/69/67/26/758696726.db2.gz QMOSOFMTOWRGKN-GASCZTMLSA-N 1 2 323.400 1.350 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn2cccnc12 ZINC001018283732 758696729 /nfs/dbraw/zinc/69/67/29/758696729.db2.gz QMOSOFMTOWRGKN-GASCZTMLSA-N 1 2 323.400 1.350 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COc2ccccc21 ZINC001018339100 758741018 /nfs/dbraw/zinc/74/10/18/758741018.db2.gz IFXDXASQPVJDTB-UXLLHSPISA-N 1 2 310.397 1.861 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COc2ccccc21 ZINC001018339100 758741025 /nfs/dbraw/zinc/74/10/25/758741025.db2.gz IFXDXASQPVJDTB-UXLLHSPISA-N 1 2 310.397 1.861 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc(C)n1)CO2 ZINC001053741117 758756252 /nfs/dbraw/zinc/75/62/52/758756252.db2.gz AEZIJFHJGMCALC-AWEZNQCLSA-N 1 2 301.390 1.539 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc[nH]c1CC)CO2 ZINC001053791635 758818937 /nfs/dbraw/zinc/81/89/37/758818937.db2.gz NQSAJYLQIIQFIO-ZDUSSCGKSA-N 1 2 303.406 1.726 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C1(F)CCOCC1)CO2 ZINC001053825020 758854921 /nfs/dbraw/zinc/85/49/21/758854921.db2.gz KLSHBGWEKKQNNP-ZDUSSCGKSA-N 1 2 312.385 1.041 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1[nH]c(C)cc1C)CO2 ZINC001053846613 758876876 /nfs/dbraw/zinc/87/68/76/758876876.db2.gz JVGRLKJVTOBSFO-AWEZNQCLSA-N 1 2 301.390 1.228 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccc(C)cc1)CO2 ZINC001053865045 758899149 /nfs/dbraw/zinc/89/91/49/758899149.db2.gz PTPPBXZJAYPWED-QGZVFWFLSA-N 1 2 312.413 1.520 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)nn(C)c1C)CO2 ZINC001053884659 758918745 /nfs/dbraw/zinc/91/87/45/758918745.db2.gz CMLRACKPXPRBOV-AWEZNQCLSA-N 1 2 318.421 1.186 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CCCC1(C)C)CO2 ZINC001053897157 758929772 /nfs/dbraw/zinc/92/97/72/758929772.db2.gz MEWYVAVUGAHDTG-GJZGRUSLSA-N 1 2 304.434 1.796 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccn(CC)c1C)CO2 ZINC001053904454 758937796 /nfs/dbraw/zinc/93/77/96/758937796.db2.gz NFRLJKPGONMPDT-HNNXBMFYSA-N 1 2 317.433 1.966 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2COC3(C[NH+](C[C@H](C)O)C3)C2)CC1 ZINC001053913934 758947610 /nfs/dbraw/zinc/94/76/10/758947610.db2.gz AVVRRZPEKKJCFF-ZFWWWQNUSA-N 1 2 308.422 1.073 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cnoc1C(C)C)CO2 ZINC001053972459 759009721 /nfs/dbraw/zinc/00/97/21/759009721.db2.gz FLIPLCZLJMSKDQ-ZDUSSCGKSA-N 1 2 317.389 1.394 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(Cl)cn1)CO2 ZINC001053988371 759030553 /nfs/dbraw/zinc/03/05/53/759030553.db2.gz HLGVDJRHIABMTG-ZDUSSCGKSA-N 1 2 321.808 1.884 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(OC)cc1)CO2 ZINC001053990630 759036163 /nfs/dbraw/zinc/03/61/63/759036163.db2.gz FRXPMGIOPPCDDR-HNNXBMFYSA-N 1 2 316.401 1.844 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)cc(C)c1)CO2 ZINC001053992218 759038359 /nfs/dbraw/zinc/03/83/59/759038359.db2.gz LKHUZJBUKRZOTE-KRWDZBQOSA-N 1 2 312.413 1.900 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(F)cc1F)CO2 ZINC001053997624 759043821 /nfs/dbraw/zinc/04/38/21/759043821.db2.gz SAVBDQKOYHCZET-ZDUSSCGKSA-N 1 2 320.339 1.561 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc(C)c1C)CO2 ZINC001053998549 759044649 /nfs/dbraw/zinc/04/46/49/759044649.db2.gz NCJIYUPQRFSGPI-INIZCTEOSA-N 1 2 312.413 1.900 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)noc1CC)CO2 ZINC001054006358 759052940 /nfs/dbraw/zinc/05/29/40/759052940.db2.gz YQFMBELDSNCYBL-CYBMUJFWSA-N 1 2 319.405 1.695 20 30 DDEDLO C#CCCCCC(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067237575 759168263 /nfs/dbraw/zinc/16/82/63/759168263.db2.gz DWCOPGKFDMUEOD-UHFFFAOYSA-N 1 2 318.421 1.384 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@](C)(C=C)CC)CC2=O)C1 ZINC001108569634 762697378 /nfs/dbraw/zinc/69/73/78/762697378.db2.gz LZZXZMGCEKTDTN-SUMWQHHRSA-N 1 2 305.422 1.176 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ncccc3O)cc2C1 ZINC001054262802 759365753 /nfs/dbraw/zinc/36/57/53/759365753.db2.gz RAJPOPVFFIUBHP-UHFFFAOYSA-N 1 2 307.353 1.666 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ncccc3O)cc2C1 ZINC001054262802 759365763 /nfs/dbraw/zinc/36/57/63/759365763.db2.gz RAJPOPVFFIUBHP-UHFFFAOYSA-N 1 2 307.353 1.666 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1ccon1 ZINC001085493743 759529761 /nfs/dbraw/zinc/52/97/61/759529761.db2.gz BCEAGSUQFZHJEG-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1ccon1 ZINC001085493743 759529765 /nfs/dbraw/zinc/52/97/65/759529765.db2.gz BCEAGSUQFZHJEG-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccccn1)C(=O)c1cc(C#N)c[nH]1 ZINC001085534837 759647344 /nfs/dbraw/zinc/64/73/44/759647344.db2.gz UQHMVVVFYHORHN-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccccn1)C(=O)c1cc(C#N)c[nH]1 ZINC001085534837 759647352 /nfs/dbraw/zinc/64/73/52/759647352.db2.gz UQHMVVVFYHORHN-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+][C@H](C)c3nnc(C)o3)C2)cc1 ZINC001019224501 759698033 /nfs/dbraw/zinc/69/80/33/759698033.db2.gz UIYJIHJINXWGGC-WBMJQRKESA-N 1 2 324.384 1.925 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn([C@@H](C)COC)nc1C ZINC001085596994 759805797 /nfs/dbraw/zinc/80/57/97/759805797.db2.gz NXDWAGZVDQWPAU-DZGCQCFKSA-N 1 2 318.421 1.178 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn([C@@H](C)COC)nc1C ZINC001085596994 759805806 /nfs/dbraw/zinc/80/58/06/759805806.db2.gz NXDWAGZVDQWPAU-DZGCQCFKSA-N 1 2 318.421 1.178 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001019332445 759811500 /nfs/dbraw/zinc/81/15/00/759811500.db2.gz QLVZGTQCNORFLD-BLLLJJGKSA-N 1 2 322.409 1.509 20 30 DDEDLO C[C@@H]1CN(C(=O)C#CC2CC2)C[C@@H]1[NH2+]Cc1nnc(C2CC2)o1 ZINC001054636610 759928607 /nfs/dbraw/zinc/92/86/07/759928607.db2.gz KULBUYOCFGRNBP-RISCZKNCSA-N 1 2 314.389 1.297 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2CN(C(=O)C#CC3CC3)C[C@@H]2C)o1 ZINC001054639287 759931189 /nfs/dbraw/zinc/93/11/89/759931189.db2.gz SPSJJRFCDCLFAT-JSGCOSHPSA-N 1 2 316.405 1.543 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001069213766 767919260 /nfs/dbraw/zinc/91/92/60/767919260.db2.gz DOWVNEBTYXBOKV-XHDPSFHLSA-N 1 2 310.361 1.320 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)F)n(C)n1 ZINC001085723934 760123571 /nfs/dbraw/zinc/12/35/71/760123571.db2.gz IVABJXWWZIZHQB-NSHDSACASA-N 1 2 310.348 1.527 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)F)n(C)n1 ZINC001085723934 760123577 /nfs/dbraw/zinc/12/35/77/760123577.db2.gz IVABJXWWZIZHQB-NSHDSACASA-N 1 2 310.348 1.527 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001085776913 760220921 /nfs/dbraw/zinc/22/09/21/760220921.db2.gz DZPVXDCQYIZBPJ-JSGCOSHPSA-N 1 2 302.378 1.041 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001085776913 760220930 /nfs/dbraw/zinc/22/09/30/760220930.db2.gz DZPVXDCQYIZBPJ-JSGCOSHPSA-N 1 2 302.378 1.041 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001054977128 760252589 /nfs/dbraw/zinc/25/25/89/760252589.db2.gz URMPYVYLULCBTQ-NWDGAFQWSA-N 1 2 321.425 1.284 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1scnc1COC)C2 ZINC001096127755 767938940 /nfs/dbraw/zinc/93/89/40/767938940.db2.gz IDOJCAJNVDOHEB-MDZLAQPJSA-N 1 2 305.403 1.258 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1scnc1COC)C2 ZINC001096127755 767938942 /nfs/dbraw/zinc/93/89/42/767938942.db2.gz IDOJCAJNVDOHEB-MDZLAQPJSA-N 1 2 305.403 1.258 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(CNC(C)=O)s1 ZINC001085845206 760356597 /nfs/dbraw/zinc/35/65/97/760356597.db2.gz HWBALEYTRJEYQF-CYBMUJFWSA-N 1 2 319.430 1.164 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(CNC(C)=O)s1 ZINC001085845206 760356607 /nfs/dbraw/zinc/35/66/07/760356607.db2.gz HWBALEYTRJEYQF-CYBMUJFWSA-N 1 2 319.430 1.164 20 30 DDEDLO N#Cc1cccnc1NCC[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001066328340 760417969 /nfs/dbraw/zinc/41/79/69/760417969.db2.gz AYSKNXPBUCLCCK-AWEZNQCLSA-N 1 2 324.388 1.500 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(C(C)(F)F)CC2)C1 ZINC001108219410 760472519 /nfs/dbraw/zinc/47/25/19/760472519.db2.gz GCMWCNORYMLWOW-AWEZNQCLSA-N 1 2 314.376 1.652 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(C(C)(F)F)CC2)C1 ZINC001108219410 760472525 /nfs/dbraw/zinc/47/25/25/760472525.db2.gz GCMWCNORYMLWOW-AWEZNQCLSA-N 1 2 314.376 1.652 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nnn(C(C)C)c1C ZINC001085896628 760497364 /nfs/dbraw/zinc/49/73/64/760497364.db2.gz IMVQYOCNKGQFBP-AWEZNQCLSA-N 1 2 303.410 1.337 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nnn(C(C)C)c1C ZINC001085896628 760497368 /nfs/dbraw/zinc/49/73/68/760497368.db2.gz IMVQYOCNKGQFBP-AWEZNQCLSA-N 1 2 303.410 1.337 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(C(C)(C)C)c1CC ZINC001085974917 760636475 /nfs/dbraw/zinc/63/64/75/760636475.db2.gz IPSDHANULIFIMJ-CQSZACIVSA-N 1 2 316.449 1.980 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(C(C)(C)C)c1CC ZINC001085974917 760636481 /nfs/dbraw/zinc/63/64/81/760636481.db2.gz IPSDHANULIFIMJ-CQSZACIVSA-N 1 2 316.449 1.980 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001108233003 760689703 /nfs/dbraw/zinc/68/97/03/760689703.db2.gz IPEONKGTBGGPMS-LZLYRXPVSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001108233003 760689707 /nfs/dbraw/zinc/68/97/07/760689707.db2.gz IPEONKGTBGGPMS-LZLYRXPVSA-N 1 2 315.417 1.578 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccnc1OC(C)C ZINC001086007982 760700844 /nfs/dbraw/zinc/70/08/44/760700844.db2.gz XNAMVLFKDIKTJC-AWEZNQCLSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccnc1OC(C)C ZINC001086007982 760700850 /nfs/dbraw/zinc/70/08/50/760700850.db2.gz XNAMVLFKDIKTJC-AWEZNQCLSA-N 1 2 301.390 1.648 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnn1C1CCCC1 ZINC001038304086 760990154 /nfs/dbraw/zinc/99/01/54/760990154.db2.gz GAQWYLAPWGUVRB-HNNXBMFYSA-N 1 2 300.406 1.826 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnn1C1CCCC1 ZINC001038304086 760990161 /nfs/dbraw/zinc/99/01/61/760990161.db2.gz GAQWYLAPWGUVRB-HNNXBMFYSA-N 1 2 300.406 1.826 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1coc(Br)c1 ZINC001038321827 761004042 /nfs/dbraw/zinc/00/40/42/761004042.db2.gz OLJGVIUFPAOLHO-LLVKDONJSA-N 1 2 311.179 1.870 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1coc(Br)c1 ZINC001038321827 761004054 /nfs/dbraw/zinc/00/40/54/761004054.db2.gz OLJGVIUFPAOLHO-LLVKDONJSA-N 1 2 311.179 1.870 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(Cl)c(Cl)n1C ZINC001038329971 761012689 /nfs/dbraw/zinc/01/26/89/761012689.db2.gz RSHKRKLAIZNBFB-SECBINFHSA-N 1 2 300.189 1.769 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(Cl)c(Cl)n1C ZINC001038329971 761012697 /nfs/dbraw/zinc/01/26/97/761012697.db2.gz RSHKRKLAIZNBFB-SECBINFHSA-N 1 2 300.189 1.769 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1COc2ccc(Cl)cc21 ZINC001038513490 761167942 /nfs/dbraw/zinc/16/79/42/761167942.db2.gz IVXJZNOUKWYETA-JSGCOSHPSA-N 1 2 304.777 1.640 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1COc2ccc(Cl)cc21 ZINC001038513490 761167944 /nfs/dbraw/zinc/16/79/44/761167944.db2.gz IVXJZNOUKWYETA-JSGCOSHPSA-N 1 2 304.777 1.640 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CC[C@H](Cc2ccccc2)O1 ZINC001038520531 761173559 /nfs/dbraw/zinc/17/35/59/761173559.db2.gz CZAHMNKVZMJOBB-KURKYZTESA-N 1 2 312.413 1.600 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CC[C@H](Cc2ccccc2)O1 ZINC001038520531 761173561 /nfs/dbraw/zinc/17/35/61/761173561.db2.gz CZAHMNKVZMJOBB-KURKYZTESA-N 1 2 312.413 1.600 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2csnn2)cc1 ZINC001038556000 761195274 /nfs/dbraw/zinc/19/52/74/761195274.db2.gz BGJDGYHDMVCJPU-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2csnn2)cc1 ZINC001038556000 761195276 /nfs/dbraw/zinc/19/52/76/761195276.db2.gz BGJDGYHDMVCJPU-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(F)cccc1NC(C)=O ZINC001038780725 761404133 /nfs/dbraw/zinc/40/41/33/761404133.db2.gz NATRBLKATDASCD-CYBMUJFWSA-N 1 2 317.364 1.612 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(F)cccc1NC(C)=O ZINC001038780725 761404136 /nfs/dbraw/zinc/40/41/36/761404136.db2.gz NATRBLKATDASCD-CYBMUJFWSA-N 1 2 317.364 1.612 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001056807537 761446970 /nfs/dbraw/zinc/44/69/70/761446970.db2.gz LHUZXJNJNPRUAB-CQSZACIVSA-N 1 2 310.361 1.322 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001056807537 761446972 /nfs/dbraw/zinc/44/69/72/761446972.db2.gz LHUZXJNJNPRUAB-CQSZACIVSA-N 1 2 310.361 1.322 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1coc(C2CCOCC2)n1 ZINC001038889187 761515051 /nfs/dbraw/zinc/51/50/51/761515051.db2.gz JUYJESKFCOTNMV-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1coc(C2CCOCC2)n1 ZINC001038889187 761515056 /nfs/dbraw/zinc/51/50/56/761515056.db2.gz JUYJESKFCOTNMV-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(C(=O)N(C)C)cc1 ZINC001038928432 761555975 /nfs/dbraw/zinc/55/59/75/761555975.db2.gz QQUXAYQLXPBTBN-MRXNPFEDSA-N 1 2 313.401 1.216 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C(=O)N(C)C)cc1 ZINC001038928432 761555979 /nfs/dbraw/zinc/55/59/79/761555979.db2.gz QQUXAYQLXPBTBN-MRXNPFEDSA-N 1 2 313.401 1.216 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)COc2ccccc2)[C@@H](O)C1 ZINC001100087442 761632623 /nfs/dbraw/zinc/63/26/23/761632623.db2.gz LQPZMIJYUPYEHO-CABCVRRESA-N 1 2 324.808 1.369 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)COc2ccccc2)[C@@H](O)C1 ZINC001100087442 761632625 /nfs/dbraw/zinc/63/26/25/761632625.db2.gz LQPZMIJYUPYEHO-CABCVRRESA-N 1 2 324.808 1.369 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1Cc2cccc(F)c2O1 ZINC001039036710 761677166 /nfs/dbraw/zinc/67/71/66/761677166.db2.gz BBWCMRRGMCOPSU-UKRRQHHQSA-N 1 2 302.349 1.343 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1Cc2cccc(F)c2O1 ZINC001039036710 761677171 /nfs/dbraw/zinc/67/71/71/761677171.db2.gz BBWCMRRGMCOPSU-UKRRQHHQSA-N 1 2 302.349 1.343 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1Cc2cccc(F)c2O1 ZINC001039036713 761677410 /nfs/dbraw/zinc/67/74/10/761677410.db2.gz BBWCMRRGMCOPSU-ZFWWWQNUSA-N 1 2 302.349 1.343 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1Cc2cccc(F)c2O1 ZINC001039036713 761677413 /nfs/dbraw/zinc/67/74/13/761677413.db2.gz BBWCMRRGMCOPSU-ZFWWWQNUSA-N 1 2 302.349 1.343 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001108298561 761848349 /nfs/dbraw/zinc/84/83/49/761848349.db2.gz UUOVBVRJEGYQFI-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001108298561 761848358 /nfs/dbraw/zinc/84/83/58/761848358.db2.gz UUOVBVRJEGYQFI-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO N#CCN1CC[C@H]([C@@H]2CCCCN2C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001039236752 761871502 /nfs/dbraw/zinc/87/15/02/761871502.db2.gz COYIKDSLORTSPV-KBPBESRZSA-N 1 2 301.394 1.179 20 30 DDEDLO N#CCN1CC[C@H]([C@@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001039240001 761877491 /nfs/dbraw/zinc/87/74/91/761877491.db2.gz VOEDMXDCVSTYIJ-ZFWWWQNUSA-N 1 2 301.394 1.179 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@H](CC)C(C)C)CC2=O)C1 ZINC001108587043 762757525 /nfs/dbraw/zinc/75/75/25/762757525.db2.gz HNGLMEGHJGNRCU-LSDHHAIUSA-N 1 2 321.465 1.646 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)s1 ZINC001041137423 762831673 /nfs/dbraw/zinc/83/16/73/762831673.db2.gz SOSGHKJESAWIAS-ABAIWWIYSA-N 1 2 319.434 1.431 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)s1 ZINC001041137423 762831679 /nfs/dbraw/zinc/83/16/79/762831679.db2.gz SOSGHKJESAWIAS-ABAIWWIYSA-N 1 2 319.434 1.431 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)nc1 ZINC001050043459 763054981 /nfs/dbraw/zinc/05/49/81/763054981.db2.gz AYNZNHQUJXUKSM-DLBZAZTESA-N 1 2 324.428 1.621 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)nc1 ZINC001050043459 763054985 /nfs/dbraw/zinc/05/49/85/763054985.db2.gz AYNZNHQUJXUKSM-DLBZAZTESA-N 1 2 324.428 1.621 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CCCCCC)CC1 ZINC001131394689 768112020 /nfs/dbraw/zinc/11/20/20/768112020.db2.gz AQGUFCNONDKXLV-UHFFFAOYSA-N 1 2 309.454 1.793 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CCCCCC)CC1 ZINC001131394689 768112025 /nfs/dbraw/zinc/11/20/25/768112025.db2.gz AQGUFCNONDKXLV-UHFFFAOYSA-N 1 2 309.454 1.793 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2C[N@H+](CCF)CCC2(F)F)[nH]1 ZINC001046930866 768126982 /nfs/dbraw/zinc/12/69/82/768126982.db2.gz KTKVIISMLIXMQE-JTQLQIEISA-N 1 2 314.311 1.543 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2C[N@@H+](CCF)CCC2(F)F)[nH]1 ZINC001046930866 768126983 /nfs/dbraw/zinc/12/69/83/768126983.db2.gz KTKVIISMLIXMQE-JTQLQIEISA-N 1 2 314.311 1.543 20 30 DDEDLO Cc1nc(NC2CC(N(C)C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001069797623 768147525 /nfs/dbraw/zinc/14/75/25/768147525.db2.gz BQJJKTWWLLMAAJ-UHFFFAOYSA-N 1 2 324.388 1.629 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2csc(C)n2)[C@@H](O)C1 ZINC001090501051 768166900 /nfs/dbraw/zinc/16/69/00/768166900.db2.gz UBKCXFKUSYZWKQ-PWSUYJOCSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2csc(C)n2)[C@@H](O)C1 ZINC001090501051 768166905 /nfs/dbraw/zinc/16/69/05/768166905.db2.gz UBKCXFKUSYZWKQ-PWSUYJOCSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001109591047 763844900 /nfs/dbraw/zinc/84/49/00/763844900.db2.gz NGAYNHKQKLJNMW-SNPRPXQTSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001109591047 763844906 /nfs/dbraw/zinc/84/49/06/763844906.db2.gz NGAYNHKQKLJNMW-SNPRPXQTSA-N 1 2 317.437 1.639 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(N)=O)s1)C2 ZINC001098029254 763925338 /nfs/dbraw/zinc/92/53/38/763925338.db2.gz AVMNBUJBEWGJGV-UTUOFQBUSA-N 1 2 317.414 1.205 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(N)=O)s1)C2 ZINC001098029254 763925346 /nfs/dbraw/zinc/92/53/46/763925346.db2.gz AVMNBUJBEWGJGV-UTUOFQBUSA-N 1 2 317.414 1.205 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C(C)C)C2 ZINC001109782738 764035419 /nfs/dbraw/zinc/03/54/19/764035419.db2.gz UDVQJROWOYNETL-KBXIAJHMSA-N 1 2 307.438 1.302 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C(C)C)C2 ZINC001109782738 764035423 /nfs/dbraw/zinc/03/54/23/764035423.db2.gz UDVQJROWOYNETL-KBXIAJHMSA-N 1 2 307.438 1.302 20 30 DDEDLO CC[C@@H](C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109875043 764159857 /nfs/dbraw/zinc/15/98/57/764159857.db2.gz XUHLGHNPJFAKNK-KBXIAJHMSA-N 1 2 320.437 1.174 20 30 DDEDLO CC[C@@H](C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109875043 764159859 /nfs/dbraw/zinc/15/98/59/764159859.db2.gz XUHLGHNPJFAKNK-KBXIAJHMSA-N 1 2 320.437 1.174 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCCN(C(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001057631956 764186956 /nfs/dbraw/zinc/18/69/56/764186956.db2.gz XTMRQKBDCMRFEX-HNNXBMFYSA-N 1 2 324.388 1.712 20 30 DDEDLO C[C@H]1CN(c2ccncc2C#N)CC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067401550 764237401 /nfs/dbraw/zinc/23/74/01/764237401.db2.gz AVLPUCZKDTYGAU-DZGCQCFKSA-N 1 2 324.388 1.181 20 30 DDEDLO CN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](CCOc2ccccc2)C1 ZINC001042589536 764241587 /nfs/dbraw/zinc/24/15/87/764241587.db2.gz IMHBESCEGGDJOC-UHFFFAOYSA-N 1 2 324.384 1.722 20 30 DDEDLO C[C@@H]1CC[C@@H](C[NH+]2CC(N(C)C(=O)c3c[nH]c(C#N)c3)C2)O1 ZINC001042638465 764298955 /nfs/dbraw/zinc/29/89/55/764298955.db2.gz JMVQFUNEMKITAY-ABAIWWIYSA-N 1 2 302.378 1.210 20 30 DDEDLO CN(C(=O)c1c[nH]c(C#N)c1)C1C[NH+](C[C@@H](O)c2ccccc2)C1 ZINC001042638832 764300479 /nfs/dbraw/zinc/30/04/79/764300479.db2.gz VHKKLDUWIATERT-QGZVFWFLSA-N 1 2 324.384 1.376 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)CC2CCCC2)[C@@H](n2ccnn2)C1 ZINC001069891888 768217035 /nfs/dbraw/zinc/21/70/35/768217035.db2.gz XRLCAKAPRIPQPS-CVEARBPZSA-N 1 2 315.421 1.223 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)CC2CCCC2)[C@@H](n2ccnn2)C1 ZINC001069891888 768217038 /nfs/dbraw/zinc/21/70/38/768217038.db2.gz XRLCAKAPRIPQPS-CVEARBPZSA-N 1 2 315.421 1.223 20 30 DDEDLO C[C@@H]1CN(c2ccncc2C#N)CC[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067408583 764436335 /nfs/dbraw/zinc/43/63/35/764436335.db2.gz VCCMGLVGDOMAAB-OCCSQVGLSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)c2c(CC)c(CC)n[nH]c2=O)C1 ZINC001042826756 764470274 /nfs/dbraw/zinc/47/02/74/764470274.db2.gz DRMPXCLBSXITSR-UHFFFAOYSA-N 1 2 304.394 1.249 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ncnc3ccsc32)C1 ZINC001042867856 764495152 /nfs/dbraw/zinc/49/51/52/764495152.db2.gz NPIJMOIBUIQUQN-UHFFFAOYSA-N 1 2 300.387 1.471 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(CCC)c1 ZINC001051144445 764547862 /nfs/dbraw/zinc/54/78/62/764547862.db2.gz RNCDYCKULLCVNP-OAHLLOKOSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(CCC)c1 ZINC001051144445 764547869 /nfs/dbraw/zinc/54/78/69/764547869.db2.gz RNCDYCKULLCVNP-OAHLLOKOSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc2c([nH]1)CCC2 ZINC001051203199 764615399 /nfs/dbraw/zinc/61/53/99/764615399.db2.gz GUMYQBOJTCBBNV-AWEZNQCLSA-N 1 2 303.406 1.510 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc2c([nH]1)CCC2 ZINC001051203199 764615405 /nfs/dbraw/zinc/61/54/05/764615405.db2.gz GUMYQBOJTCBBNV-AWEZNQCLSA-N 1 2 303.406 1.510 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CCOc2cccc(C)c2)CC1 ZINC001112704353 764622051 /nfs/dbraw/zinc/62/20/51/764622051.db2.gz RTIHDVKEIBFPFR-UHFFFAOYSA-N 1 2 318.417 1.721 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(C)n1 ZINC001051249318 764673148 /nfs/dbraw/zinc/67/31/48/764673148.db2.gz FPZSXFUZKFQQKO-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(C)n1 ZINC001051249318 764673157 /nfs/dbraw/zinc/67/31/57/764673157.db2.gz FPZSXFUZKFQQKO-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2COC[C@H]2c2ccccc2)C1 ZINC001043149485 764715279 /nfs/dbraw/zinc/71/52/79/764715279.db2.gz DVYLNFGXYDDHIA-DLBZAZTESA-N 1 2 300.402 1.745 20 30 DDEDLO O=C(C#CC1CC1)N1CC[NH+](CCOC[C@@H]2CCCCO2)CC1 ZINC001112832132 764823930 /nfs/dbraw/zinc/82/39/30/764823930.db2.gz HOPCIRJJAXMXFN-KRWDZBQOSA-N 1 2 320.433 1.130 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[NH+](Cc2ccc(CO)cc2)CC1 ZINC001112836585 764843620 /nfs/dbraw/zinc/84/36/20/764843620.db2.gz DJFVPGTTWFARAK-UHFFFAOYSA-N 1 2 314.429 1.873 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2CCc3ccc(F)cc3O2)C1 ZINC001043342309 764846007 /nfs/dbraw/zinc/84/60/07/764846007.db2.gz CPHZAMBTCUDMGM-MRXNPFEDSA-N 1 2 316.376 1.685 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCOc2cccc(F)c2)CC1 ZINC001112926475 764991005 /nfs/dbraw/zinc/99/10/05/764991005.db2.gz DUYOGTTXFSYDRK-UHFFFAOYSA-N 1 2 304.365 1.762 20 30 DDEDLO C[C@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001112993569 765102709 /nfs/dbraw/zinc/10/27/09/765102709.db2.gz JUSQBXFDNOSLNH-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO Cc1nc(NC2(CNC(=O)[C@@H](C)C#N)CC2)c2c([nH+]1)CCCC2 ZINC001110087662 765153173 /nfs/dbraw/zinc/15/31/73/765153173.db2.gz HELNIUXUDWUWPN-NSHDSACASA-N 1 2 313.405 1.884 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3cccs3)C2)CC1 ZINC001051975245 765335542 /nfs/dbraw/zinc/33/55/42/765335542.db2.gz PZOFFIAZBSROCH-AWEZNQCLSA-N 1 2 303.431 1.213 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3cccs3)C2)CC1 ZINC001051975245 765335552 /nfs/dbraw/zinc/33/55/52/765335552.db2.gz PZOFFIAZBSROCH-AWEZNQCLSA-N 1 2 303.431 1.213 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2nc3ccccn3c2F)C1 ZINC001044140520 765338475 /nfs/dbraw/zinc/33/84/75/765338475.db2.gz WKJFGLHLBHTWOI-UHFFFAOYSA-N 1 2 300.337 1.253 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3CC=CCC3)C2)CC1 ZINC001051982120 765345109 /nfs/dbraw/zinc/34/51/09/765345109.db2.gz YIGFXFNMQUBLRL-DLBZAZTESA-N 1 2 301.434 1.194 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)[C@H](C)C#N)C2)CC1 ZINC001051997791 765363880 /nfs/dbraw/zinc/36/38/80/765363880.db2.gz IJCPAGKZLDGLDU-OCCSQVGLSA-N 1 2 310.829 1.117 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)CC1 ZINC001052005447 765372111 /nfs/dbraw/zinc/37/21/11/765372111.db2.gz AZJSENYGBFLROW-BDXSIMOUSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H](C)C3CC3)C2)CC1 ZINC001052007070 765374419 /nfs/dbraw/zinc/37/44/19/765374419.db2.gz VGMSOXXQHZQMLE-NVXWUHKLSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131711260 768288453 /nfs/dbraw/zinc/28/84/53/768288453.db2.gz BUOQHZSMOZRLDS-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131711260 768288457 /nfs/dbraw/zinc/28/84/57/768288457.db2.gz BUOQHZSMOZRLDS-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3c(C)coc3C)C2)CC1 ZINC001052021313 765393554 /nfs/dbraw/zinc/39/35/54/765393554.db2.gz BXYWDRSYABRYMP-MRXNPFEDSA-N 1 2 317.433 1.915 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)CC3(O)CCC3)C2)CC1 ZINC001052024589 765399520 /nfs/dbraw/zinc/39/95/20/765399520.db2.gz HOMAFFPAYBELAX-INIZCTEOSA-N 1 2 321.465 1.086 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3CC4(CCC4)C3)C2)CC1 ZINC001052064911 765438456 /nfs/dbraw/zinc/43/84/56/765438456.db2.gz JNLQUVJBVGSSEM-KRWDZBQOSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3CCC(=C)CC3)C2)CC1 ZINC001052074167 765445572 /nfs/dbraw/zinc/44/55/72/765445572.db2.gz VLBINHUVPAGESN-GOSISDBHSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3occc3Cl)C2)CC1 ZINC001052076639 765448601 /nfs/dbraw/zinc/44/86/01/765448601.db2.gz KGKKGRFLLCLNMI-CYBMUJFWSA-N 1 2 321.808 1.398 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3occc3Cl)C2)CC1 ZINC001052076639 765448605 /nfs/dbraw/zinc/44/86/05/765448605.db2.gz KGKKGRFLLCLNMI-CYBMUJFWSA-N 1 2 321.808 1.398 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@@]3(C)CCOC3)C2)CC1 ZINC001052103313 765473842 /nfs/dbraw/zinc/47/38/42/765473842.db2.gz PBRDIYGLLNYNLC-WMZOPIPTSA-N 1 2 321.465 1.208 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(CC)CCOCC2)CC1 ZINC001113460584 765709304 /nfs/dbraw/zinc/70/93/04/765709304.db2.gz XCNRKNVLQFKXEG-UHFFFAOYSA-N 1 2 310.438 1.540 20 30 DDEDLO COC[C@H](C)CC(=O)N1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC001113479841 765737583 /nfs/dbraw/zinc/73/75/83/765737583.db2.gz ZJWYJSHOZFMAOQ-OAHLLOKOSA-N 1 2 315.417 1.875 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2occ3c2CCC3)[C@@H](O)C1 ZINC001090455860 765933458 /nfs/dbraw/zinc/93/34/58/765933458.db2.gz LBFSLYJEGAVXLJ-KGLIPLIRSA-N 1 2 324.808 1.686 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2occ3c2CCC3)[C@@H](O)C1 ZINC001090455860 765933466 /nfs/dbraw/zinc/93/34/66/765933466.db2.gz LBFSLYJEGAVXLJ-KGLIPLIRSA-N 1 2 324.808 1.686 20 30 DDEDLO COCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001131762203 768350825 /nfs/dbraw/zinc/35/08/25/768350825.db2.gz ZNEUANXFGHHDGV-WFASDCNBSA-N 1 2 319.380 1.813 20 30 DDEDLO COCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001131762203 768350832 /nfs/dbraw/zinc/35/08/32/768350832.db2.gz ZNEUANXFGHHDGV-WFASDCNBSA-N 1 2 319.380 1.813 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C3CC3)CCC2)[C@@H](O)C1 ZINC001090462740 766171314 /nfs/dbraw/zinc/17/13/14/766171314.db2.gz IOGPXAFQPRFZKB-KBPBESRZSA-N 1 2 312.841 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C3CC3)CCC2)[C@@H](O)C1 ZINC001090462740 766171321 /nfs/dbraw/zinc/17/13/21/766171321.db2.gz IOGPXAFQPRFZKB-KBPBESRZSA-N 1 2 312.841 1.871 20 30 DDEDLO COC(=O)c1cc(C#N)ccc1-n1[nH]c(=O)c(CC[NH3+])c1C ZINC001170340485 766273856 /nfs/dbraw/zinc/27/38/56/766273856.db2.gz XIVKBEJUAAGTAQ-UHFFFAOYSA-N 1 2 300.318 1.046 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC001058247203 766324306 /nfs/dbraw/zinc/32/43/06/766324306.db2.gz LXYJIWDJBNRFDG-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC4CC4)nn3)C[C@H]21 ZINC001114006202 766524976 /nfs/dbraw/zinc/52/49/76/766524976.db2.gz XZDKJZZGIFYDON-QLPKVWCKSA-N 1 2 315.421 1.201 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC4CC4)nn3)C[C@H]21 ZINC001114006202 766524982 /nfs/dbraw/zinc/52/49/82/766524982.db2.gz XZDKJZZGIFYDON-QLPKVWCKSA-N 1 2 315.421 1.201 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnns1 ZINC001045735291 766544775 /nfs/dbraw/zinc/54/47/75/766544775.db2.gz MJSPELWKOMBTLC-TUAOUCFPSA-N 1 2 305.407 1.263 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnns1 ZINC001045735291 766544780 /nfs/dbraw/zinc/54/47/80/766544780.db2.gz MJSPELWKOMBTLC-TUAOUCFPSA-N 1 2 305.407 1.263 20 30 DDEDLO CN(C[C@@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1)c1ccc(C#N)nc1 ZINC001067772569 766694702 /nfs/dbraw/zinc/69/47/02/766694702.db2.gz XGSRANVHDLLATI-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO N#CCN1CC[C@@]2(C1)CCCCN(C(=O)Cc1c[nH+]c[nH]1)C2 ZINC001045969481 766748918 /nfs/dbraw/zinc/74/89/18/766748918.db2.gz RGTRLSGDMUYQIH-MRXNPFEDSA-N 1 2 301.394 1.180 20 30 DDEDLO C=CCn1c([C@H]2C[N@H+](C(C)C)CCO2)nnc1N1CCOCC1 ZINC001121595071 782582362 /nfs/dbraw/zinc/58/23/62/782582362.db2.gz IGZDPAZTIWTZJK-CQSZACIVSA-N 1 2 321.425 1.082 20 30 DDEDLO C=CCn1c([C@H]2C[N@@H+](C(C)C)CCO2)nnc1N1CCOCC1 ZINC001121595071 782582370 /nfs/dbraw/zinc/58/23/70/782582370.db2.gz IGZDPAZTIWTZJK-CQSZACIVSA-N 1 2 321.425 1.082 20 30 DDEDLO CCC[C@@H]1C[C@H]1C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046061759 766875844 /nfs/dbraw/zinc/87/58/44/766875844.db2.gz OKQTUXWSQBVHGB-OWCLPIDISA-N 1 2 304.438 1.165 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NC[C@H](C)Nc1cc[nH+]c(C)n1 ZINC001098058355 766968716 /nfs/dbraw/zinc/96/87/16/766968716.db2.gz ZJXTYCUFZNKDKG-FZMZJTMJSA-N 1 2 319.409 1.173 20 30 DDEDLO Cc1nc(N[C@H](CO)CNC(=O)CC#Cc2ccccc2)cc[nH+]1 ZINC001121633591 782604844 /nfs/dbraw/zinc/60/48/44/782604844.db2.gz KIDYWMLFSKGKTE-INIZCTEOSA-N 1 2 324.384 1.116 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnn3c2CCC3)C1 ZINC001046367120 767476542 /nfs/dbraw/zinc/47/65/42/767476542.db2.gz XXTGYNQQRMPNHP-OAHLLOKOSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnn3c2CCC3)C1 ZINC001046367120 767476546 /nfs/dbraw/zinc/47/65/46/767476546.db2.gz XXTGYNQQRMPNHP-OAHLLOKOSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001046496901 767629375 /nfs/dbraw/zinc/62/93/75/767629375.db2.gz XZLCBYPSBZXFND-IZBGITOTSA-N 1 2 310.825 1.743 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001046496901 767629378 /nfs/dbraw/zinc/62/93/78/767629378.db2.gz XZLCBYPSBZXFND-IZBGITOTSA-N 1 2 310.825 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCn2cncn2)CC[C@@H]1C ZINC001131814115 768397738 /nfs/dbraw/zinc/39/77/38/768397738.db2.gz SUUYIJXRVBGILK-STQMWFEESA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCn2cncn2)CC[C@@H]1C ZINC001131814115 768397746 /nfs/dbraw/zinc/39/77/46/768397746.db2.gz SUUYIJXRVBGILK-STQMWFEESA-N 1 2 311.817 1.390 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2n[nH]c(C3CC3)c2Cl)C1 ZINC001047443336 768480597 /nfs/dbraw/zinc/48/05/97/768480597.db2.gz DLTXIDIQOQUBMB-QWRGUYRKSA-N 1 2 324.812 1.244 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2n[nH]c(C3CC3)c2Cl)C1 ZINC001047443336 768480600 /nfs/dbraw/zinc/48/06/00/768480600.db2.gz DLTXIDIQOQUBMB-QWRGUYRKSA-N 1 2 324.812 1.244 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096186419 768483648 /nfs/dbraw/zinc/48/36/48/768483648.db2.gz WHFZBYBVNYMZTA-JSGCOSHPSA-N 1 2 304.394 1.461 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scnc2C2CC2)C1 ZINC001047557509 768569203 /nfs/dbraw/zinc/56/92/03/768569203.db2.gz ZQDXRTQJUZJZOX-RYUDHWBXSA-N 1 2 307.419 1.324 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scnc2C2CC2)C1 ZINC001047557509 768569210 /nfs/dbraw/zinc/56/92/10/768569210.db2.gz ZQDXRTQJUZJZOX-RYUDHWBXSA-N 1 2 307.419 1.324 20 30 DDEDLO CCCNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC(C)(C)C)CC[C@@H]1C ZINC001132033849 768580448 /nfs/dbraw/zinc/58/04/48/768580448.db2.gz MBDRVNFMWAXRTL-LSDHHAIUSA-N 1 2 321.465 1.531 20 30 DDEDLO CCCNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC(C)(C)C)CC[C@@H]1C ZINC001132033849 768580453 /nfs/dbraw/zinc/58/04/53/768580453.db2.gz MBDRVNFMWAXRTL-LSDHHAIUSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2coc(C)n2)C1 ZINC001132028980 768587737 /nfs/dbraw/zinc/58/77/37/768587737.db2.gz FAVUFYFJQWJIJP-ZFWWWQNUSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2coc(C)n2)C1 ZINC001132028980 768587741 /nfs/dbraw/zinc/58/77/41/768587741.db2.gz FAVUFYFJQWJIJP-ZFWWWQNUSA-N 1 2 319.405 1.492 20 30 DDEDLO Cc1occc1C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070620018 768623827 /nfs/dbraw/zinc/62/38/27/768623827.db2.gz WXERWDHANMGVJO-ZIAGYGMSSA-N 1 2 313.361 1.043 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cnnn2CC)C1 ZINC001132219033 768691429 /nfs/dbraw/zinc/69/14/29/768691429.db2.gz ZGDXQCWFZKUHPT-KBPBESRZSA-N 1 2 319.453 1.979 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cnnn2CC)C1 ZINC001132219033 768691435 /nfs/dbraw/zinc/69/14/35/768691435.db2.gz ZGDXQCWFZKUHPT-KBPBESRZSA-N 1 2 319.453 1.979 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001070740787 768704672 /nfs/dbraw/zinc/70/46/72/768704672.db2.gz JYTKGTWJVYPCOS-HUUCEWRRSA-N 1 2 318.421 1.908 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001070791580 768732470 /nfs/dbraw/zinc/73/24/70/768732470.db2.gz QTTJMIJDIRPNPU-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[NH2+]Cc1nc(CCOC)no1 ZINC001132302153 768738948 /nfs/dbraw/zinc/73/89/48/768738948.db2.gz MLUZPGFDSZLYPY-UHFFFAOYSA-N 1 2 310.398 1.067 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCCC[C@H]2C)CC1 ZINC001070991076 768847979 /nfs/dbraw/zinc/84/79/79/768847979.db2.gz DQKFYFJFARQWPN-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCCC[C@H]2C)CC1 ZINC001070991076 768847985 /nfs/dbraw/zinc/84/79/85/768847985.db2.gz DQKFYFJFARQWPN-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)C(F)F)C[C@@H](C)O2 ZINC001071115785 768966271 /nfs/dbraw/zinc/96/62/71/768966271.db2.gz DFJLFBUTWGCBNH-ZWNOBZJWSA-N 1 2 308.756 1.696 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)C(F)F)C[C@@H](C)O2 ZINC001071115785 768966275 /nfs/dbraw/zinc/96/62/75/768966275.db2.gz DFJLFBUTWGCBNH-ZWNOBZJWSA-N 1 2 308.756 1.696 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)C#CC1CC1)C[C@@H](C)O2 ZINC001071163914 769081766 /nfs/dbraw/zinc/08/17/66/769081766.db2.gz DEJZQRPLRNZISO-RHSMWYFYSA-N 1 2 322.836 1.844 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)C#CC1CC1)C[C@@H](C)O2 ZINC001071163914 769081774 /nfs/dbraw/zinc/08/17/74/769081774.db2.gz DEJZQRPLRNZISO-RHSMWYFYSA-N 1 2 322.836 1.844 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NC[C@H]3CC[C@@H](NCC#N)C3)ccn12 ZINC001086696242 769315957 /nfs/dbraw/zinc/31/59/57/769315957.db2.gz AXBLIHVKRLYOLD-DZGCQCFKSA-N 1 2 311.389 1.654 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC001133570157 769999735 /nfs/dbraw/zinc/99/97/35/769999735.db2.gz MAGRBIMPJBIMIX-UHFFFAOYSA-N 1 2 306.410 1.929 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@@H]2C1 ZINC001048982139 770203118 /nfs/dbraw/zinc/20/31/18/770203118.db2.gz PMWDHSWDBYFUFY-BETUJISGSA-N 1 2 303.410 1.216 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@@H]2C1 ZINC001048982139 770203123 /nfs/dbraw/zinc/20/31/23/770203123.db2.gz PMWDHSWDBYFUFY-BETUJISGSA-N 1 2 303.410 1.216 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2nonc2C)CC[C@H]1C ZINC001071950233 770331367 /nfs/dbraw/zinc/33/13/67/770331367.db2.gz GQLDTZBLTDLUIJ-PWSUYJOCSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2nonc2C)CC[C@H]1C ZINC001071950233 770331377 /nfs/dbraw/zinc/33/13/77/770331377.db2.gz GQLDTZBLTDLUIJ-PWSUYJOCSA-N 1 2 312.801 1.642 20 30 DDEDLO C[C@@H](CC(=O)N[C@H]1CCN(c2ccncc2C#N)C1)n1cc[nH+]c1 ZINC001096487802 770446139 /nfs/dbraw/zinc/44/61/39/770446139.db2.gz CITQGBFZSNEGBI-ZFWWWQNUSA-N 1 2 324.388 1.496 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cnc(COC)s2)CC[C@@H]1C ZINC001072112882 770557033 /nfs/dbraw/zinc/55/70/33/770557033.db2.gz IVTKFGUGLNXGRB-STQMWFEESA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cnc(COC)s2)CC[C@@H]1C ZINC001072112882 770557042 /nfs/dbraw/zinc/55/70/42/770557042.db2.gz IVTKFGUGLNXGRB-STQMWFEESA-N 1 2 321.446 1.896 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049379994 770759241 /nfs/dbraw/zinc/75/92/41/770759241.db2.gz WCTNQJFHZWZLPL-YNEHKIRRSA-N 1 2 317.393 1.357 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049379994 770759249 /nfs/dbraw/zinc/75/92/49/770759249.db2.gz WCTNQJFHZWZLPL-YNEHKIRRSA-N 1 2 317.393 1.357 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466864 770889577 /nfs/dbraw/zinc/88/95/77/770889577.db2.gz KYWOAEXCAKZWNA-IRXDYDNUSA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466864 770889586 /nfs/dbraw/zinc/88/95/86/770889586.db2.gz KYWOAEXCAKZWNA-IRXDYDNUSA-N 1 2 313.401 1.962 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(C#N)c1)C2 ZINC001096972456 771539002 /nfs/dbraw/zinc/53/90/02/771539002.db2.gz PYDQLKOPVZZYLK-MCIONIFRSA-N 1 2 304.781 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(C#N)c1)C2 ZINC001096972456 771539007 /nfs/dbraw/zinc/53/90/07/771539007.db2.gz PYDQLKOPVZZYLK-MCIONIFRSA-N 1 2 304.781 1.974 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCN(C(=O)CCCn3cc[nH+]c3)C2)nc1 ZINC001096944129 771543998 /nfs/dbraw/zinc/54/39/98/771543998.db2.gz TYHXTKDHBHLUOJ-HNNXBMFYSA-N 1 2 324.388 1.643 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccn(C)n1)C2 ZINC001097058757 771611904 /nfs/dbraw/zinc/61/19/04/771611904.db2.gz SPBKJXSFBZILSY-MCIONIFRSA-N 1 2 308.813 1.437 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccn(C)n1)C2 ZINC001097058757 771611907 /nfs/dbraw/zinc/61/19/07/771611907.db2.gz SPBKJXSFBZILSY-MCIONIFRSA-N 1 2 308.813 1.437 20 30 DDEDLO C[C@@H](CC(=O)N[C@H]1C[C@H](Nc2ccc(C#N)cn2)C1)n1cc[nH+]c1 ZINC001097105040 771624998 /nfs/dbraw/zinc/62/49/98/771624998.db2.gz LISIMOKBEHBYSI-QEJZJMRPSA-N 1 2 324.388 1.860 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccnc2C)[C@H](O)C1 ZINC001090532468 771935771 /nfs/dbraw/zinc/93/57/71/771935771.db2.gz RSMPSOMARPRULY-UONOGXRCSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccnc2C)[C@H](O)C1 ZINC001090532468 771935775 /nfs/dbraw/zinc/93/57/75/771935775.db2.gz RSMPSOMARPRULY-UONOGXRCSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(Cl)[nH]2)[C@H](O)C1 ZINC001090560510 771990837 /nfs/dbraw/zinc/99/08/37/771990837.db2.gz UYWBKOIKPXGWGE-MWLCHTKSSA-N 1 2 318.204 1.586 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(Cl)[nH]2)[C@H](O)C1 ZINC001090560510 771990840 /nfs/dbraw/zinc/99/08/40/771990840.db2.gz UYWBKOIKPXGWGE-MWLCHTKSSA-N 1 2 318.204 1.586 20 30 DDEDLO N#Cc1ccnc(NC(=O)[C@H]2[C@@H](O)CC[N@@H+]2Cc2ccccc2)c1 ZINC001143659563 772287616 /nfs/dbraw/zinc/28/76/16/772287616.db2.gz YHWLEHPWGYSMFL-DOTOQJQBSA-N 1 2 322.368 1.527 20 30 DDEDLO N#Cc1ccnc(NC(=O)[C@H]2[C@@H](O)CC[N@H+]2Cc2ccccc2)c1 ZINC001143659563 772287618 /nfs/dbraw/zinc/28/76/18/772287618.db2.gz YHWLEHPWGYSMFL-DOTOQJQBSA-N 1 2 322.368 1.527 20 30 DDEDLO O=C(NC1=CC(=O)CCC1)[C@@H]1[C@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143677824 772291699 /nfs/dbraw/zinc/29/16/99/772291699.db2.gz QWTBHZRCIRCOKX-SJORKVTESA-N 1 2 314.385 1.375 20 30 DDEDLO O=C(NC1=CC(=O)CCC1)[C@@H]1[C@H](O)CC[N@H+]1Cc1ccccc1 ZINC001143677824 772291703 /nfs/dbraw/zinc/29/17/03/772291703.db2.gz QWTBHZRCIRCOKX-SJORKVTESA-N 1 2 314.385 1.375 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)o1)C2 ZINC001147374731 773123656 /nfs/dbraw/zinc/12/36/56/773123656.db2.gz RGEGCAFCFORUCF-UHFFFAOYSA-N 1 2 316.405 1.606 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)o1)C2 ZINC001147374731 773123657 /nfs/dbraw/zinc/12/36/57/773123657.db2.gz RGEGCAFCFORUCF-UHFFFAOYSA-N 1 2 316.405 1.606 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccc(C)n1)C2 ZINC001147439959 773147493 /nfs/dbraw/zinc/14/74/93/773147493.db2.gz IGARDJSLWLDBMK-UHFFFAOYSA-N 1 2 312.417 1.623 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nccc(C)n1)C2 ZINC001147439959 773147495 /nfs/dbraw/zinc/14/74/95/773147495.db2.gz IGARDJSLWLDBMK-UHFFFAOYSA-N 1 2 312.417 1.623 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H]2CCC2(F)F)C1 ZINC001073657409 773272348 /nfs/dbraw/zinc/27/23/48/773272348.db2.gz GERMIYYOOQRZJM-RYUDHWBXSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H]2CCC2(F)F)C1 ZINC001073657409 773272351 /nfs/dbraw/zinc/27/23/51/773272351.db2.gz GERMIYYOOQRZJM-RYUDHWBXSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001148094751 773344819 /nfs/dbraw/zinc/34/48/19/773344819.db2.gz AOSMIZRXJSCLCW-OLZOCXBDSA-N 1 2 304.394 1.562 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001148094751 773344825 /nfs/dbraw/zinc/34/48/25/773344825.db2.gz AOSMIZRXJSCLCW-OLZOCXBDSA-N 1 2 304.394 1.562 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001148130640 773373981 /nfs/dbraw/zinc/37/39/81/773373981.db2.gz NJUBEPOLBYUAIG-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001148130640 773373989 /nfs/dbraw/zinc/37/39/89/773373989.db2.gz NJUBEPOLBYUAIG-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2conc2C)C1 ZINC001073752330 773374773 /nfs/dbraw/zinc/37/47/73/773374773.db2.gz VCDXLCTVUPVIBH-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2conc2C)C1 ZINC001073752330 773374779 /nfs/dbraw/zinc/37/47/79/773374779.db2.gz VCDXLCTVUPVIBH-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001073805552 773428392 /nfs/dbraw/zinc/42/83/92/773428392.db2.gz JIRXCSGKSPRHBR-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1nccn1C ZINC001073973035 773566531 /nfs/dbraw/zinc/56/65/31/773566531.db2.gz OGPAZISSMRNVAY-ZIAGYGMSSA-N 1 2 303.410 1.393 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1nccn1C ZINC001073973035 773566534 /nfs/dbraw/zinc/56/65/34/773566534.db2.gz OGPAZISSMRNVAY-ZIAGYGMSSA-N 1 2 303.410 1.393 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)[C@@H](C)C1 ZINC001074080322 773619279 /nfs/dbraw/zinc/61/92/79/773619279.db2.gz QQIDDSUDVADLTN-ZFWWWQNUSA-N 1 2 318.421 1.282 20 30 DDEDLO CCC(=O)NC[C@@H]1C[N@H+](Cc2ccc(C#N)cc2F)CCCO1 ZINC001148961667 773649167 /nfs/dbraw/zinc/64/91/67/773649167.db2.gz LLTBDUMOTGELTN-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO CCC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2F)CCCO1 ZINC001148961667 773649171 /nfs/dbraw/zinc/64/91/71/773649171.db2.gz LLTBDUMOTGELTN-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074149679 773674907 /nfs/dbraw/zinc/67/49/07/773674907.db2.gz NPKPTPHLEPVAGO-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccsc3)C[C@@H]21 ZINC001074154935 773679461 /nfs/dbraw/zinc/67/94/61/773679461.db2.gz MDGFTXYPWNPHMG-JKSUJKDBSA-N 1 2 318.442 1.616 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccsc3)C[C@@H]21 ZINC001074154935 773679462 /nfs/dbraw/zinc/67/94/62/773679462.db2.gz MDGFTXYPWNPHMG-JKSUJKDBSA-N 1 2 318.442 1.616 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[C@H]3OCC[N@@H+](CCCF)[C@H]3C2)c1 ZINC001074205594 773728458 /nfs/dbraw/zinc/72/84/58/773728458.db2.gz UGPZDYGUWGAABP-LSDHHAIUSA-N 1 2 320.368 1.161 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[C@H]3OCC[N@H+](CCCF)[C@H]3C2)c1 ZINC001074205594 773728462 /nfs/dbraw/zinc/72/84/62/773728462.db2.gz UGPZDYGUWGAABP-LSDHHAIUSA-N 1 2 320.368 1.161 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccoc3CC)C[C@H]21 ZINC001074229080 773753417 /nfs/dbraw/zinc/75/34/17/773753417.db2.gz JCVYSWCFISUIOA-NVXWUHKLSA-N 1 2 316.401 1.781 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccoc3CC)C[C@H]21 ZINC001074229080 773753419 /nfs/dbraw/zinc/75/34/19/773753419.db2.gz JCVYSWCFISUIOA-NVXWUHKLSA-N 1 2 316.401 1.781 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001074262794 773776700 /nfs/dbraw/zinc/77/67/00/773776700.db2.gz AZAOHCYFHZQJCY-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cnoc3)C[C@@H]21 ZINC001074319049 773819346 /nfs/dbraw/zinc/81/93/46/773819346.db2.gz FIEVZMLCQNXSNP-LSDHHAIUSA-N 1 2 305.378 1.095 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cnoc3)C[C@@H]21 ZINC001074319049 773819350 /nfs/dbraw/zinc/81/93/50/773819350.db2.gz FIEVZMLCQNXSNP-LSDHHAIUSA-N 1 2 305.378 1.095 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3conc3C)C[C@@H]21 ZINC001074331153 773827278 /nfs/dbraw/zinc/82/72/78/773827278.db2.gz CVECLLBHBZBIJI-GJZGRUSLSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3conc3C)C[C@@H]21 ZINC001074331153 773827282 /nfs/dbraw/zinc/82/72/82/773827282.db2.gz CVECLLBHBZBIJI-GJZGRUSLSA-N 1 2 305.378 1.474 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)C[C@]2(C)C1 ZINC001092002200 773905773 /nfs/dbraw/zinc/90/57/73/773905773.db2.gz ADYRJXRLPURQTB-BMIGLBTASA-N 1 2 308.332 1.374 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)C[C@]2(C)C1 ZINC001092002200 773905776 /nfs/dbraw/zinc/90/57/76/773905776.db2.gz ADYRJXRLPURQTB-BMIGLBTASA-N 1 2 308.332 1.374 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2CCN(C(=O)[C@H](C)C#N)[C@H]2C)o1 ZINC001074568748 774001170 /nfs/dbraw/zinc/00/11/70/774001170.db2.gz WTBFLCDCMVZZFC-WOPDTQHZSA-N 1 2 305.382 1.432 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H]1C=CCC1)c1nccn12 ZINC001092347714 774066630 /nfs/dbraw/zinc/06/66/30/774066630.db2.gz DLZRYPMLKVJXCU-HOTGVXAUSA-N 1 2 324.428 1.835 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C=C1CCC1)c1nccn12 ZINC001092361291 774075595 /nfs/dbraw/zinc/07/55/95/774075595.db2.gz GDNCAMGGVIYXTR-OAHLLOKOSA-N 1 2 310.401 1.589 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccncc1)c1nccn12 ZINC001092335886 774085527 /nfs/dbraw/zinc/08/55/27/774085527.db2.gz HXQXDIXTISVQCH-OAHLLOKOSA-N 1 2 321.384 1.187 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(F)c[nH]1)c1nccn12 ZINC001092381048 774095058 /nfs/dbraw/zinc/09/50/58/774095058.db2.gz OWYUXUJPQZZDRW-CYBMUJFWSA-N 1 2 315.352 1.422 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC3(C[NH+](CC#CC)C3)n3ccnc32)C1 ZINC001092392106 774099744 /nfs/dbraw/zinc/09/97/44/774099744.db2.gz CLKPLCHATNHMOC-OAHLLOKOSA-N 1 2 324.428 1.835 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cn2)C[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001092395871 774100663 /nfs/dbraw/zinc/10/06/63/774100663.db2.gz JZUZHYLTJHNFSQ-TZMCWYRMSA-N 1 2 324.388 1.108 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074884664 774215674 /nfs/dbraw/zinc/21/56/74/774215674.db2.gz UKGLOMNFFZCFSI-CHWSQXEVSA-N 1 2 304.394 1.414 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001075044763 774319207 /nfs/dbraw/zinc/31/92/07/774319207.db2.gz AOZVBRKRJBJMKD-CABCVRRESA-N 1 2 316.405 1.182 20 30 DDEDLO C[C@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)Nc1ccncc1C#N ZINC001098379992 774555030 /nfs/dbraw/zinc/55/50/30/774555030.db2.gz LYLFRSPPSLLNPO-LLVKDONJSA-N 1 2 320.356 1.482 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098535805 774605645 /nfs/dbraw/zinc/60/56/45/774605645.db2.gz CXMLXJQEFJBRND-JSGCOSHPSA-N 1 2 304.394 1.637 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001093337556 774636441 /nfs/dbraw/zinc/63/64/41/774636441.db2.gz XFCCARNJECUGSB-UYHMYPTGSA-N 1 2 300.406 1.938 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC(C)(C)C[N@@H+]1Cc1cnnn1C ZINC001098982351 774754755 /nfs/dbraw/zinc/75/47/55/774754755.db2.gz KEHQYWVABQYVQH-STQMWFEESA-N 1 2 318.425 1.082 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC(C)(C)C[N@H+]1Cc1cnnn1C ZINC001098982351 774754757 /nfs/dbraw/zinc/75/47/57/774754757.db2.gz KEHQYWVABQYVQH-STQMWFEESA-N 1 2 318.425 1.082 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC(C)(C)C[N@@H+]1Cc1cnnn1C ZINC001098982350 774754803 /nfs/dbraw/zinc/75/48/03/774754803.db2.gz KEHQYWVABQYVQH-QWHCGFSZSA-N 1 2 318.425 1.082 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC(C)(C)C[N@H+]1Cc1cnnn1C ZINC001098982350 774754805 /nfs/dbraw/zinc/75/48/05/774754805.db2.gz KEHQYWVABQYVQH-QWHCGFSZSA-N 1 2 318.425 1.082 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=C)Cl)C[C@@H]1O ZINC001099946760 775457900 /nfs/dbraw/zinc/45/79/00/775457900.db2.gz LFHQWJQGKWACNC-OLZOCXBDSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=C)Cl)C[C@@H]1O ZINC001099946760 775457906 /nfs/dbraw/zinc/45/79/06/775457906.db2.gz LFHQWJQGKWACNC-OLZOCXBDSA-N 1 2 300.830 1.893 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)C[C@@H](C)n2cc[nH+]c2)n1 ZINC001094168017 775511889 /nfs/dbraw/zinc/51/18/89/775511889.db2.gz SOKNNKZYQNEGDI-CYBMUJFWSA-N 1 2 312.377 1.638 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CC34CCC4)nn2)C1 ZINC001094323798 775750371 /nfs/dbraw/zinc/75/03/71/775750371.db2.gz DHCFIQYOOUTNOD-CQSZACIVSA-N 1 2 301.394 1.127 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCCNc1ccc(C#N)c(C)n1 ZINC001094523772 775981978 /nfs/dbraw/zinc/98/19/78/775981978.db2.gz FBUNGWSPGMADDS-UHFFFAOYSA-N 1 2 312.377 1.454 20 30 DDEDLO CN(CCNC(=O)c1cc2c[nH+]ccc2[nH]1)c1ccc(C#N)nc1 ZINC001100396332 776095977 /nfs/dbraw/zinc/09/59/77/776095977.db2.gz WHFOEGPFRQJEIO-UHFFFAOYSA-N 1 2 320.356 1.696 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094604213 776126727 /nfs/dbraw/zinc/12/67/27/776126727.db2.gz DJTKUDORMOFASI-UHFFFAOYSA-N 1 2 316.409 1.884 20 30 DDEDLO C#CCC1(C(=O)NCCCNc2cc[nH+]c(C)n2)CCOCC1 ZINC001094616648 776136428 /nfs/dbraw/zinc/13/64/28/776136428.db2.gz NVNKEMVZLHBKQI-UHFFFAOYSA-N 1 2 316.405 1.523 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)C3CC3)CC2=O)C1 ZINC001094719186 776185981 /nfs/dbraw/zinc/18/59/81/776185981.db2.gz BCIBHWQTGIBLQU-GXTWGEPZSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3CC(C)(C)C3)CC2=O)C1 ZINC001094733128 776236528 /nfs/dbraw/zinc/23/65/28/776236528.db2.gz GRNKWAMXHJXGQU-ZDUSSCGKSA-N 1 2 305.422 1.010 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3CC(C)(C)C3)CC2=O)C1 ZINC001094734444 776236762 /nfs/dbraw/zinc/23/67/62/776236762.db2.gz ZDYWPLYTTBTGGW-AWEZNQCLSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3CCCC3(C)C)CC2=O)C1 ZINC001094774512 776263659 /nfs/dbraw/zinc/26/36/59/776263659.db2.gz MVUHDVKSAIUFEI-HIFRSBDPSA-N 1 2 319.449 1.400 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CNC(=O)CCn2cc[nH+]c2)nc1 ZINC001100884685 776666988 /nfs/dbraw/zinc/66/69/88/776666988.db2.gz DWFMBVAQBOVZOM-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001100919057 776704185 /nfs/dbraw/zinc/70/41/85/776704185.db2.gz NVWHBVVHSSPQLZ-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001100919057 776704190 /nfs/dbraw/zinc/70/41/90/776704190.db2.gz NVWHBVVHSSPQLZ-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](CNC(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001100966708 776771792 /nfs/dbraw/zinc/77/17/92/776771792.db2.gz HZHHODNQBYFVGZ-CQSZACIVSA-N 1 2 324.388 1.252 20 30 DDEDLO C#CCCCCC(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101565300 777255289 /nfs/dbraw/zinc/25/52/89/777255289.db2.gz ILANNKARHYMNQU-UHFFFAOYSA-N 1 2 318.425 1.310 20 30 DDEDLO CN(CCNc1snc(Cl)c1C#N)C(=O)Cc1[nH]cc[nH+]1 ZINC001101728770 777444007 /nfs/dbraw/zinc/44/40/07/777444007.db2.gz LHLGHXXMYNUNDA-UHFFFAOYSA-N 1 2 324.797 1.504 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001101848071 777599274 /nfs/dbraw/zinc/59/92/74/777599274.db2.gz UEGHGMKSFXXEOI-CQSZACIVSA-N 1 2 324.388 1.283 20 30 DDEDLO C#CCCC1(CCNC(=O)c2cc3n(n2)CCC[N@@H+](C)C3)N=N1 ZINC001175104669 777677476 /nfs/dbraw/zinc/67/74/76/777677476.db2.gz LDPQAZVFAFOWAV-UHFFFAOYSA-N 1 2 314.393 1.414 20 30 DDEDLO C#CCCC1(CCNC(=O)c2cc3n(n2)CCC[N@H+](C)C3)N=N1 ZINC001175104669 777677479 /nfs/dbraw/zinc/67/74/79/777677479.db2.gz LDPQAZVFAFOWAV-UHFFFAOYSA-N 1 2 314.393 1.414 20 30 DDEDLO Cc1nc(NCCN(C)C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)ccc1C#N ZINC001102031277 777814023 /nfs/dbraw/zinc/81/40/23/777814023.db2.gz BLDNFWBTOBQVRU-ZIAGYGMSSA-N 1 2 324.388 1.659 20 30 DDEDLO Cc1nc(NCCN(C)C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)ccc1C#N ZINC001102031277 777814031 /nfs/dbraw/zinc/81/40/31/777814031.db2.gz BLDNFWBTOBQVRU-ZIAGYGMSSA-N 1 2 324.388 1.659 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102335717 778074714 /nfs/dbraw/zinc/07/47/14/778074714.db2.gz XIUWRJRWFSSQPX-UKRRQHHQSA-N 1 2 318.421 1.709 20 30 DDEDLO N#Cc1cccnc1N1CC[C@H](CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001102338895 778075655 /nfs/dbraw/zinc/07/56/55/778075655.db2.gz XWDWGDVIDXFSON-AWEZNQCLSA-N 1 2 324.388 1.183 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(c3ccccn3)C2)CC1 ZINC001176961090 778349692 /nfs/dbraw/zinc/34/96/92/778349692.db2.gz OVTFSWPPUBQRIE-MRXNPFEDSA-N 1 2 314.433 1.628 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3CCC3)C[C@@H]21 ZINC001176968442 778354831 /nfs/dbraw/zinc/35/48/31/778354831.db2.gz FKGQKBASGKPWGI-IRXDYDNUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3CCC3)C[C@@H]21 ZINC001176968442 778354836 /nfs/dbraw/zinc/35/48/36/778354836.db2.gz FKGQKBASGKPWGI-IRXDYDNUSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2OCC[N@@H+](CC=C(C)C)[C@H]2C1 ZINC001177013187 778391887 /nfs/dbraw/zinc/39/18/87/778391887.db2.gz PFTUTYJQICWLNW-DLBZAZTESA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2OCC[N@H+](CC=C(C)C)[C@H]2C1 ZINC001177013187 778391896 /nfs/dbraw/zinc/39/18/96/778391896.db2.gz PFTUTYJQICWLNW-DLBZAZTESA-N 1 2 320.433 1.294 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102798583 778408721 /nfs/dbraw/zinc/40/87/21/778408721.db2.gz FWLOFLAOAKZFBH-AWEZNQCLSA-N 1 2 304.394 1.415 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CCF)CC3)C[C@H]21 ZINC001177055174 778415778 /nfs/dbraw/zinc/41/57/78/778415778.db2.gz IULLSWWBNSNFBZ-HUUCEWRRSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CCF)CC3)C[C@H]21 ZINC001177055174 778415781 /nfs/dbraw/zinc/41/57/81/778415781.db2.gz IULLSWWBNSNFBZ-HUUCEWRRSA-N 1 2 308.397 1.451 20 30 DDEDLO Cc1nc(N2CCCC[C@@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001102847865 778445990 /nfs/dbraw/zinc/44/59/90/778445990.db2.gz WPHCACINPXHNFM-CYBMUJFWSA-N 1 2 319.434 1.517 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1ccncc1C#N ZINC001103056059 778602499 /nfs/dbraw/zinc/60/24/99/778602499.db2.gz AWKFSCAQDZJIPD-ZDUSSCGKSA-N 1 2 312.377 1.038 20 30 DDEDLO CC[NH+]1CC(O)(CN(Cc2ccccc2)C(=O)C#CC2CC2)C1 ZINC001177525628 778633728 /nfs/dbraw/zinc/63/37/28/778633728.db2.gz QMBWTDNLUYEGHG-UHFFFAOYSA-N 1 2 312.413 1.495 20 30 DDEDLO CC[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001103364225 778822024 /nfs/dbraw/zinc/82/20/24/778822024.db2.gz KVDCSBBXHQWQCF-KCPJHIHWSA-N 1 2 324.388 1.787 20 30 DDEDLO CC[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001103364225 778822025 /nfs/dbraw/zinc/82/20/25/778822025.db2.gz KVDCSBBXHQWQCF-KCPJHIHWSA-N 1 2 324.388 1.787 20 30 DDEDLO C[C@@](CNc1ncccc1C#N)(NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001103544982 778936831 /nfs/dbraw/zinc/93/68/31/778936831.db2.gz LWYMQFWSMPCDQU-KRWDZBQOSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@@](CNc1ncccc1C#N)(NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001103575111 778951104 /nfs/dbraw/zinc/95/11/04/778951104.db2.gz MWPPXXOWGQYEFR-KRWDZBQOSA-N 1 2 324.388 1.616 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)[C@@H]1C ZINC001179355629 779342464 /nfs/dbraw/zinc/34/24/64/779342464.db2.gz JVVHHFWGROWALQ-JSGCOSHPSA-N 1 2 304.394 1.651 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001111993216 779592147 /nfs/dbraw/zinc/59/21/47/779592147.db2.gz AJECGTKRHOFEQI-DZGCQCFKSA-N 1 2 318.421 1.851 20 30 DDEDLO C=CCCC(=O)N1CCC[C@](CO)(Nc2cc[nH+]c(C)n2)C1 ZINC001112226872 779654478 /nfs/dbraw/zinc/65/44/78/779654478.db2.gz SJTQQNWALLDYIE-INIZCTEOSA-N 1 2 304.394 1.517 20 30 DDEDLO C=CCCC(=O)NCC1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001283452411 837476460 /nfs/dbraw/zinc/47/64/60/837476460.db2.gz YZJBHJWEQMLNQB-UHFFFAOYSA-N 1 2 304.394 1.273 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@H+](C)CC(=O)N[C@H](C)c1ccco1 ZINC001267194958 837514844 /nfs/dbraw/zinc/51/48/44/837514844.db2.gz JBZJRGYBQUSVLT-CQSZACIVSA-N 1 2 319.405 1.164 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@@H+](C)CC(=O)N[C@H](C)c1ccco1 ZINC001267194958 837514852 /nfs/dbraw/zinc/51/48/52/837514852.db2.gz JBZJRGYBQUSVLT-CQSZACIVSA-N 1 2 319.405 1.164 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@@H+](CC)[C@H](C)c1ncc(C)o1 ZINC001267240481 837587287 /nfs/dbraw/zinc/58/72/87/837587287.db2.gz NCTQBADKCXLHMH-CJNGLKHVSA-N 1 2 309.410 1.809 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@H+](CC)[C@H](C)c1ncc(C)o1 ZINC001267240481 837587292 /nfs/dbraw/zinc/58/72/92/837587292.db2.gz NCTQBADKCXLHMH-CJNGLKHVSA-N 1 2 309.410 1.809 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@H+](Cc1nncs1)C(C)C ZINC001267256894 837679324 /nfs/dbraw/zinc/67/93/24/837679324.db2.gz HBLGZDCOPOHXJH-UHFFFAOYSA-N 1 2 308.451 1.914 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@@H+](Cc1nncs1)C(C)C ZINC001267256894 837679329 /nfs/dbraw/zinc/67/93/29/837679329.db2.gz HBLGZDCOPOHXJH-UHFFFAOYSA-N 1 2 308.451 1.914 20 30 DDEDLO C=CCOCC[NH2+]C1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001279236287 837771211 /nfs/dbraw/zinc/77/12/11/837771211.db2.gz OGHKKQBYKLVUBO-ILDUYXDCSA-N 1 2 312.307 1.668 20 30 DDEDLO C=CCOCC[NH2+]C1CC(N(C)C(=O)[C@H](F)C(F)(F)F)C1 ZINC001279236287 837771218 /nfs/dbraw/zinc/77/12/18/837771218.db2.gz OGHKKQBYKLVUBO-ILDUYXDCSA-N 1 2 312.307 1.668 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCCCC)C1 ZINC001266335734 836134733 /nfs/dbraw/zinc/13/47/33/836134733.db2.gz DICHNSQDWZOCKY-OAHLLOKOSA-N 1 2 307.438 1.287 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NCCCC)C1 ZINC001266335734 836134740 /nfs/dbraw/zinc/13/47/40/836134740.db2.gz DICHNSQDWZOCKY-OAHLLOKOSA-N 1 2 307.438 1.287 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)NCCCC)C1 ZINC001266335733 836135453 /nfs/dbraw/zinc/13/54/53/836135453.db2.gz DICHNSQDWZOCKY-HNNXBMFYSA-N 1 2 307.438 1.287 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](CC(=O)NCCCC)C1 ZINC001266335733 836135458 /nfs/dbraw/zinc/13/54/58/836135458.db2.gz DICHNSQDWZOCKY-HNNXBMFYSA-N 1 2 307.438 1.287 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H]1CCCCC1(C)C ZINC001266364785 836177710 /nfs/dbraw/zinc/17/77/10/836177710.db2.gz BIGVUNMEDNEKSG-AWEZNQCLSA-N 1 2 309.454 1.553 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H]1CCCCC1(C)C ZINC001266364785 836177713 /nfs/dbraw/zinc/17/77/13/836177713.db2.gz BIGVUNMEDNEKSG-AWEZNQCLSA-N 1 2 309.454 1.553 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[N@H+]1Cc1nn(CC)nc1C ZINC001266450586 836270906 /nfs/dbraw/zinc/27/09/06/836270906.db2.gz QPFCZSLLIPDWDP-CQSZACIVSA-N 1 2 305.426 1.653 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1nn(CC)nc1C ZINC001266450586 836270918 /nfs/dbraw/zinc/27/09/18/836270918.db2.gz QPFCZSLLIPDWDP-CQSZACIVSA-N 1 2 305.426 1.653 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[N@H+](Cc3nn(C)cc3Cl)C[C@@H]2C1 ZINC001271839023 844149561 /nfs/dbraw/zinc/14/95/61/844149561.db2.gz IYCNSJLBLZLPQS-BETUJISGSA-N 1 2 322.840 1.930 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[N@@H+](Cc3nn(C)cc3Cl)C[C@@H]2C1 ZINC001271839023 844149565 /nfs/dbraw/zinc/14/95/65/844149565.db2.gz IYCNSJLBLZLPQS-BETUJISGSA-N 1 2 322.840 1.930 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH2+]Cc1nnc(C(F)F)s1 ZINC001266654801 836601348 /nfs/dbraw/zinc/60/13/48/836601348.db2.gz MHPNEGNTUDFQJH-UHFFFAOYSA-N 1 2 304.366 1.990 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nncs2)C1 ZINC001267005454 837175015 /nfs/dbraw/zinc/17/50/15/837175015.db2.gz HSLPUNCKOBNAKI-NEPJUHHUSA-N 1 2 310.423 1.210 20 30 DDEDLO CC#CC[NH2+][C@@H](CNC(=O)Cc1n[nH]c(C)n1)c1ccccc1 ZINC001267521767 838242411 /nfs/dbraw/zinc/24/24/11/838242411.db2.gz VUEJDNRXMGNGMY-HNNXBMFYSA-N 1 2 311.389 1.126 20 30 DDEDLO C#CCCCCCC(=O)NC1C[NH+](CCc2cnn(C)c2)C1 ZINC001267655223 838590155 /nfs/dbraw/zinc/59/01/55/838590155.db2.gz NXNWSFYLRLLHBC-UHFFFAOYSA-N 1 2 302.422 1.347 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)Cc2cc(C)ccc2F)C1 ZINC001267666943 838610121 /nfs/dbraw/zinc/61/01/21/838610121.db2.gz KTDZNZKNORGMCR-UHFFFAOYSA-N 1 2 306.381 1.680 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cnc(C)o1 ZINC001267704616 838681902 /nfs/dbraw/zinc/68/19/02/838681902.db2.gz CAXWBXKKFOWUJC-GJZGRUSLSA-N 1 2 301.390 1.962 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cnc(C)o1 ZINC001267704616 838681905 /nfs/dbraw/zinc/68/19/05/838681905.db2.gz CAXWBXKKFOWUJC-GJZGRUSLSA-N 1 2 301.390 1.962 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2cccc(Cl)c2)C1 ZINC001267732608 838774037 /nfs/dbraw/zinc/77/40/37/838774037.db2.gz WLXJAQJQNJXDET-AWEZNQCLSA-N 1 2 321.808 1.446 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2cccc(Cl)c2)C1 ZINC001267732608 838774043 /nfs/dbraw/zinc/77/40/43/838774043.db2.gz WLXJAQJQNJXDET-AWEZNQCLSA-N 1 2 321.808 1.446 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H](N(C)C(=O)C#CC(C)(C)C)C2)on1 ZINC001267763517 838899769 /nfs/dbraw/zinc/89/97/69/838899769.db2.gz XXWLQHZPAXLGGD-ZDUSSCGKSA-N 1 2 319.405 1.765 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H](N(C)C(=O)C#CC(C)(C)C)C2)on1 ZINC001267763517 838899784 /nfs/dbraw/zinc/89/97/84/838899784.db2.gz XXWLQHZPAXLGGD-ZDUSSCGKSA-N 1 2 319.405 1.765 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001059747303 844378682 /nfs/dbraw/zinc/37/86/82/844378682.db2.gz GOHOFADRWMMYBG-HNNXBMFYSA-N 1 2 318.421 1.594 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@H]1CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001267781349 838966098 /nfs/dbraw/zinc/96/60/98/838966098.db2.gz GJFDLYYXKMOKOF-GJZGRUSLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@H]1CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001267781349 838966108 /nfs/dbraw/zinc/96/61/08/838966108.db2.gz GJFDLYYXKMOKOF-GJZGRUSLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C2(CCOCC)CCC2)C1 ZINC001268021450 839394513 /nfs/dbraw/zinc/39/45/13/839394513.db2.gz GPODYIVKLFMREX-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C2(CCOCC)CCC2)C1 ZINC001268021450 839394524 /nfs/dbraw/zinc/39/45/24/839394524.db2.gz GPODYIVKLFMREX-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)Cc2ccc(OCC)cc2)C1 ZINC001268029561 839445038 /nfs/dbraw/zinc/44/50/38/839445038.db2.gz FLINBRMKWQFXGV-KRWDZBQOSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)Cc2ccc(OCC)cc2)C1 ZINC001268029561 839445044 /nfs/dbraw/zinc/44/50/44/839445044.db2.gz FLINBRMKWQFXGV-KRWDZBQOSA-N 1 2 318.417 1.631 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H](C)Cc2ccc(OC)cc2)C1 ZINC001268482945 840279635 /nfs/dbraw/zinc/27/96/35/840279635.db2.gz BSCYIAKLBTWZGW-CQSZACIVSA-N 1 2 300.402 1.698 20 30 DDEDLO Cc1n[nH]c(C(=O)NCC=CC[NH2+]Cc2ccc(C#N)cc2)c1C ZINC001268517680 840360188 /nfs/dbraw/zinc/36/01/88/840360188.db2.gz AEGYYTWJZHTREO-ARJAWSKDSA-N 1 2 323.400 1.974 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C[NH2+]Cc1csc(NC(C)=O)n1 ZINC001268700743 840686699 /nfs/dbraw/zinc/68/66/99/840686699.db2.gz SGYHGDGFZMKEBQ-JTQLQIEISA-N 1 2 324.450 1.908 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](C)C[N@H+](C)Cc1snnc1C ZINC001268728976 840727674 /nfs/dbraw/zinc/72/76/74/840727674.db2.gz KXFUAIHMVMLECR-IINYFYTJSA-N 1 2 312.439 1.110 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](C)C[N@@H+](C)Cc1snnc1C ZINC001268728976 840727677 /nfs/dbraw/zinc/72/76/77/840727677.db2.gz KXFUAIHMVMLECR-IINYFYTJSA-N 1 2 312.439 1.110 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCC(F)(F)F ZINC001268944087 841024113 /nfs/dbraw/zinc/02/41/13/841024113.db2.gz JLYNEVZELUATJZ-WOPDTQHZSA-N 1 2 304.312 1.262 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCC(F)(F)F ZINC001268944087 841024124 /nfs/dbraw/zinc/02/41/24/841024124.db2.gz JLYNEVZELUATJZ-WOPDTQHZSA-N 1 2 304.312 1.262 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccn1 ZINC001268993216 841093094 /nfs/dbraw/zinc/09/30/94/841093094.db2.gz OMRKSOUFOOESIH-HOTGVXAUSA-N 1 2 301.390 1.459 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccn1 ZINC001268993216 841093104 /nfs/dbraw/zinc/09/31/04/841093104.db2.gz OMRKSOUFOOESIH-HOTGVXAUSA-N 1 2 301.390 1.459 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2ncoc2C(C)C)C1 ZINC001269019364 841117542 /nfs/dbraw/zinc/11/75/42/841117542.db2.gz YCVJMWMLKKBAKH-GUYCJALGSA-N 1 2 321.421 1.816 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2ncoc2C(C)C)C1 ZINC001269019364 841117546 /nfs/dbraw/zinc/11/75/46/841117546.db2.gz YCVJMWMLKKBAKH-GUYCJALGSA-N 1 2 321.421 1.816 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001269126448 841237428 /nfs/dbraw/zinc/23/74/28/841237428.db2.gz MTCXAIJUEQCDAD-CQSZACIVSA-N 1 2 321.465 1.389 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001269126448 841237439 /nfs/dbraw/zinc/23/74/39/841237439.db2.gz MTCXAIJUEQCDAD-CQSZACIVSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)CCc1ccco1 ZINC001269195336 841325894 /nfs/dbraw/zinc/32/58/94/841325894.db2.gz NFWFDIVBCWQRMG-KBPBESRZSA-N 1 2 319.405 1.093 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)CCc1ccco1 ZINC001269195336 841325904 /nfs/dbraw/zinc/32/59/04/841325904.db2.gz NFWFDIVBCWQRMG-KBPBESRZSA-N 1 2 319.405 1.093 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCCC[C@H]1CC ZINC001269204418 841338607 /nfs/dbraw/zinc/33/86/07/841338607.db2.gz CODMGZKHGZXLSU-HZPDHXFCSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCCC[C@H]1CC ZINC001269204418 841338620 /nfs/dbraw/zinc/33/86/20/841338620.db2.gz CODMGZKHGZXLSU-HZPDHXFCSA-N 1 2 321.465 1.934 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1CC[N@H+]1CCn1cc(Cl)cn1 ZINC001269229800 841385143 /nfs/dbraw/zinc/38/51/43/841385143.db2.gz QBFKDYWIIJLKDE-CQSZACIVSA-N 1 2 306.797 1.140 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1CC[N@@H+]1CCn1cc(Cl)cn1 ZINC001269229800 841385155 /nfs/dbraw/zinc/38/51/55/841385155.db2.gz QBFKDYWIIJLKDE-CQSZACIVSA-N 1 2 306.797 1.140 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccsc2C)C1 ZINC001269239704 841404880 /nfs/dbraw/zinc/40/48/80/841404880.db2.gz CQRTUEFFCBDASX-CYBMUJFWSA-N 1 2 321.446 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccsc2C)C1 ZINC001269239704 841404884 /nfs/dbraw/zinc/40/48/84/841404884.db2.gz CQRTUEFFCBDASX-CYBMUJFWSA-N 1 2 321.446 1.505 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001269263163 841441277 /nfs/dbraw/zinc/44/12/77/841441277.db2.gz ORFPBHROZASYOG-OAHLLOKOSA-N 1 2 323.481 1.894 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001269263163 841441282 /nfs/dbraw/zinc/44/12/82/841441282.db2.gz ORFPBHROZASYOG-OAHLLOKOSA-N 1 2 323.481 1.894 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001269278347 841459609 /nfs/dbraw/zinc/45/96/09/841459609.db2.gz HKLBLZZTCQGFAP-AWEZNQCLSA-N 1 2 307.438 1.285 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@H+]1CC(=O)NC(C)(C)C ZINC001269278347 841459616 /nfs/dbraw/zinc/45/96/16/841459616.db2.gz HKLBLZZTCQGFAP-AWEZNQCLSA-N 1 2 307.438 1.285 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)Cc1noc2ccc(F)cc12 ZINC001269321598 841518263 /nfs/dbraw/zinc/51/82/63/841518263.db2.gz ZDRJZSDWRLGNGI-GFCCVEGCSA-N 1 2 301.321 1.333 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)Cc1noc2ccc(F)cc12 ZINC001269321598 841518270 /nfs/dbraw/zinc/51/82/70/841518270.db2.gz ZDRJZSDWRLGNGI-GFCCVEGCSA-N 1 2 301.321 1.333 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H]1CCNC1=O ZINC001269670968 841918409 /nfs/dbraw/zinc/91/84/09/841918409.db2.gz PRCDSDRGXWLFPD-OWCLPIDISA-N 1 2 317.433 1.134 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H]1CCNC1=O ZINC001269670968 841918417 /nfs/dbraw/zinc/91/84/17/841918417.db2.gz PRCDSDRGXWLFPD-OWCLPIDISA-N 1 2 317.433 1.134 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)COCCOc2ccccc2)C1 ZINC001269812376 842070315 /nfs/dbraw/zinc/07/03/15/842070315.db2.gz DONZMQFPJCBCJZ-UHFFFAOYSA-N 1 2 316.401 1.248 20 30 DDEDLO C#CCN(C(=O)CC)C1CC[NH+]([C@@H](C)c2nncn2C)CC1 ZINC001270274252 842446275 /nfs/dbraw/zinc/44/62/75/842446275.db2.gz VAWPTVDPPIUHIO-ZDUSSCGKSA-N 1 2 303.410 1.212 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001270657594 842783721 /nfs/dbraw/zinc/78/37/21/842783721.db2.gz KTLPDVWZNJFTRJ-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001270657594 842783727 /nfs/dbraw/zinc/78/37/27/842783727.db2.gz KTLPDVWZNJFTRJ-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2C[N@H+](CC(=C)C)CCO2)CCOCC1 ZINC001270691392 842823741 /nfs/dbraw/zinc/82/37/41/842823741.db2.gz JHXUQVPCUBBLDY-INIZCTEOSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2C[N@@H+](CC(=C)C)CCO2)CCOCC1 ZINC001270691392 842823745 /nfs/dbraw/zinc/82/37/45/842823745.db2.gz JHXUQVPCUBBLDY-INIZCTEOSA-N 1 2 322.449 1.752 20 30 DDEDLO Cc1nonc1C[N@H+]1CCC[C@](C)(CNC(=O)C#CC(C)C)C1 ZINC001270959315 843092109 /nfs/dbraw/zinc/09/21/09/843092109.db2.gz VUCDTPNBSTYAJI-QGZVFWFLSA-N 1 2 318.421 1.756 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCC[C@](C)(CNC(=O)C#CC(C)C)C1 ZINC001270959315 843092118 /nfs/dbraw/zinc/09/21/18/843092118.db2.gz VUCDTPNBSTYAJI-QGZVFWFLSA-N 1 2 318.421 1.756 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2scc3c2OCCO3)CC1 ZINC001143193214 861405117 /nfs/dbraw/zinc/40/51/17/861405117.db2.gz DIGURBBKNRKPQQ-UHFFFAOYSA-N 1 2 322.386 1.798 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2nccc3ccccc32)C1 ZINC001271343135 843509798 /nfs/dbraw/zinc/50/97/98/843509798.db2.gz IVGRJWYJJCXUHM-UHFFFAOYSA-N 1 2 309.369 1.035 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)c2cccc(N(C)C)c2)C1 ZINC001271380627 843539857 /nfs/dbraw/zinc/53/98/57/843539857.db2.gz PPTNYIQHHLPMJK-UHFFFAOYSA-N 1 2 303.406 1.105 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](Cc2nc(C)oc2C)CCCO1 ZINC001149362526 861570981 /nfs/dbraw/zinc/57/09/81/861570981.db2.gz RXRBDJNNRCKSDB-HNNXBMFYSA-N 1 2 321.421 1.965 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](Cc2nc(C)oc2C)CCCO1 ZINC001149362526 861570987 /nfs/dbraw/zinc/57/09/87/861570987.db2.gz RXRBDJNNRCKSDB-HNNXBMFYSA-N 1 2 321.421 1.965 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccc(F)cn2)CCCO1 ZINC001149407782 861597124 /nfs/dbraw/zinc/59/71/24/861597124.db2.gz ONISSSZOBWRNTP-DOMZBBRYSA-N 1 2 320.368 1.087 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccc(F)cn2)CCCO1 ZINC001149407782 861597136 /nfs/dbraw/zinc/59/71/36/861597136.db2.gz ONISSSZOBWRNTP-DOMZBBRYSA-N 1 2 320.368 1.087 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H](C)C2CC2)C1 ZINC001149475643 861640141 /nfs/dbraw/zinc/64/01/41/861640141.db2.gz ZGLHZGQARLZWIR-TZMCWYRMSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H](C)C2CC2)C1 ZINC001149475643 861640149 /nfs/dbraw/zinc/64/01/49/861640149.db2.gz ZGLHZGQARLZWIR-TZMCWYRMSA-N 1 2 300.830 1.992 20 30 DDEDLO CC(C)C(=O)NC[C@]1(C)C[N@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107746913 846925340 /nfs/dbraw/zinc/92/53/40/846925340.db2.gz MUVPCLBULXCBKM-GOSISDBHSA-N 1 2 315.417 1.921 20 30 DDEDLO CC(C)C(=O)NC[C@]1(C)C[N@@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107746913 846925351 /nfs/dbraw/zinc/92/53/51/846925351.db2.gz MUVPCLBULXCBKM-GOSISDBHSA-N 1 2 315.417 1.921 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1csc(C)n1)C2 ZINC001272774729 847411493 /nfs/dbraw/zinc/41/14/93/847411493.db2.gz RFVIARQUJBAAKF-MRXNPFEDSA-N 1 2 319.430 1.136 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1csc(C)n1)C2 ZINC001272774729 847411500 /nfs/dbraw/zinc/41/15/00/847411500.db2.gz RFVIARQUJBAAKF-MRXNPFEDSA-N 1 2 319.430 1.136 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+](Cc3csnn3)CC2)C1=O ZINC001272780403 847419592 /nfs/dbraw/zinc/41/95/92/847419592.db2.gz HTIRYXMIRALPDF-OAHLLOKOSA-N 1 2 304.419 1.376 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+](Cc3csnn3)CC2)C1=O ZINC001272780403 847419593 /nfs/dbraw/zinc/41/95/93/847419593.db2.gz HTIRYXMIRALPDF-OAHLLOKOSA-N 1 2 304.419 1.376 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3c[nH]c4cc(C)ccc34)C2)OCC1=O ZINC001272853151 847552950 /nfs/dbraw/zinc/55/29/50/847552950.db2.gz OXKLNNCIPMQCHE-UHFFFAOYSA-N 1 2 323.396 1.523 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1CCC ZINC001272859151 847558866 /nfs/dbraw/zinc/55/88/66/847558866.db2.gz MSKJSWRHCYPBSE-HNNXBMFYSA-N 1 2 320.437 1.690 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1CCC ZINC001272859151 847558876 /nfs/dbraw/zinc/55/88/76/847558876.db2.gz MSKJSWRHCYPBSE-HNNXBMFYSA-N 1 2 320.437 1.690 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccnc1OC ZINC001272885230 847585738 /nfs/dbraw/zinc/58/57/38/847585738.db2.gz JPZKWDLVIHLEPV-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccnc1OC ZINC001272885230 847585753 /nfs/dbraw/zinc/58/57/53/847585753.db2.gz JPZKWDLVIHLEPV-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc3c(c1)CCO3)C2 ZINC001273009027 847773725 /nfs/dbraw/zinc/77/37/25/847773725.db2.gz YRCUMTBTHSTHPW-UHFFFAOYSA-N 1 2 314.385 1.221 20 30 DDEDLO C=CCN1CC2(C[NH+](CCc3ccc(F)cc3)C2)OCC1=O ZINC001273041276 847828470 /nfs/dbraw/zinc/82/84/70/847828470.db2.gz QDYWIWWNYROEJU-UHFFFAOYSA-N 1 2 304.365 1.467 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H](C)CSC)C2)CC1 ZINC001327083018 861867675 /nfs/dbraw/zinc/86/76/75/861867675.db2.gz QPJJBOREPORFIQ-HOTGVXAUSA-N 1 2 323.506 1.227 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001034212941 848119489 /nfs/dbraw/zinc/11/94/89/848119489.db2.gz RYFCHWKPHJWASP-HUUCEWRRSA-N 1 2 314.433 1.824 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001034212941 848119491 /nfs/dbraw/zinc/11/94/91/848119491.db2.gz RYFCHWKPHJWASP-HUUCEWRRSA-N 1 2 314.433 1.824 20 30 DDEDLO CC#CC[N@@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744805 861868080 /nfs/dbraw/zinc/86/80/80/861868080.db2.gz DWPOMOXMARIITN-QWRGUYRKSA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[N@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744805 861868086 /nfs/dbraw/zinc/86/80/86/861868086.db2.gz DWPOMOXMARIITN-QWRGUYRKSA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149744805 861868095 /nfs/dbraw/zinc/86/80/95/861868095.db2.gz DWPOMOXMARIITN-QWRGUYRKSA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[N@H+]1CCCO[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149744805 861868112 /nfs/dbraw/zinc/86/81/12/861868112.db2.gz DWPOMOXMARIITN-QWRGUYRKSA-N 1 2 310.291 1.117 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](Nc2[nH+]cnc3c2cnn3C)[C@@H]1C ZINC001090209490 848418207 /nfs/dbraw/zinc/41/82/07/848418207.db2.gz QHELAUAYHKGIQU-AAEUAGOBSA-N 1 2 314.393 1.731 20 30 DDEDLO C[C@@H](c1nncn1C)[N@H+](C)CCCN(C)C(=O)C#CC(C)(C)C ZINC001327213610 861969461 /nfs/dbraw/zinc/96/94/61/861969461.db2.gz VCYGSCPONYHZLV-AWEZNQCLSA-N 1 2 319.453 1.706 20 30 DDEDLO C[C@@H](c1nncn1C)[N@@H+](C)CCCN(C)C(=O)C#CC(C)(C)C ZINC001327213610 861969468 /nfs/dbraw/zinc/96/94/68/861969468.db2.gz VCYGSCPONYHZLV-AWEZNQCLSA-N 1 2 319.453 1.706 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C2(COC)CC2)C1 ZINC001149965910 861995772 /nfs/dbraw/zinc/99/57/72/861995772.db2.gz DBACAJFZXDYCEV-ZDUSSCGKSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C2(COC)CC2)C1 ZINC001149965910 861995781 /nfs/dbraw/zinc/99/57/81/861995781.db2.gz DBACAJFZXDYCEV-ZDUSSCGKSA-N 1 2 316.829 1.373 20 30 DDEDLO COCCCCC[NH+]1CC2(C1)CN(C(=O)C#CC(C)C)CCO2 ZINC001327318919 862060403 /nfs/dbraw/zinc/06/04/03/862060403.db2.gz AWTNIAXMGVGECY-UHFFFAOYSA-N 1 2 322.449 1.376 20 30 DDEDLO C=C(C)CN1C(=O)COCC12CN(c1cc[nH+]c(OC)c1)C2 ZINC001273584665 851114694 /nfs/dbraw/zinc/11/46/94/851114694.db2.gz DYLHVXHNKLMHSI-UHFFFAOYSA-N 1 2 303.362 1.084 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C(\C)C1CC1)CO2 ZINC001273684617 851224923 /nfs/dbraw/zinc/22/49/23/851224923.db2.gz FJQHLCHGIWQWBD-IDJPSDCMSA-N 1 2 320.433 1.505 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)CC[C@H]1CCOC1)CO2 ZINC001273696835 851237014 /nfs/dbraw/zinc/23/70/14/851237014.db2.gz QBZPIFRLIQIADW-GJZGRUSLSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(CC)s1)C2 ZINC001273727921 851279362 /nfs/dbraw/zinc/27/93/62/851279362.db2.gz YRBXZZUFOQEQNI-UHFFFAOYSA-N 1 2 306.431 1.910 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCCN(Cc1[nH]c(C)[nH+]c1C)C2 ZINC001273908482 851489028 /nfs/dbraw/zinc/48/90/28/851489028.db2.gz UPHCXJOYLHBLLG-KRWDZBQOSA-N 1 2 318.421 1.263 20 30 DDEDLO CC#CC[NH2+]CC1(NC(=O)C(F)C(F)(F)F)CCOCC1 ZINC001273975139 851737974 /nfs/dbraw/zinc/73/79/74/851737974.db2.gz OVSBGHMJTGHHFB-JTQLQIEISA-N 1 2 310.291 1.165 20 30 DDEDLO CC#CC[NH2+]CC1(NC(=O)[C@H](F)C(F)(F)F)CCOCC1 ZINC001273975139 851737983 /nfs/dbraw/zinc/73/79/83/851737983.db2.gz OVSBGHMJTGHHFB-JTQLQIEISA-N 1 2 310.291 1.165 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1nonc1C ZINC001273993434 851793523 /nfs/dbraw/zinc/79/35/23/851793523.db2.gz YSSSIQPMAZNUMB-INIZCTEOSA-N 1 2 304.394 1.911 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1nonc1C ZINC001273993434 851793530 /nfs/dbraw/zinc/79/35/30/851793530.db2.gz YSSSIQPMAZNUMB-INIZCTEOSA-N 1 2 304.394 1.911 20 30 DDEDLO CC(C)(C)C#CC(=O)NC/C=C/C[NH2+]Cc1ncnn1CCF ZINC001274017102 851855205 /nfs/dbraw/zinc/85/52/05/851855205.db2.gz RAIRQOGMWWDCBH-SNAWJCMRSA-N 1 2 321.400 1.059 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cc(C)cnc2F)C1=O ZINC001274025221 851863490 /nfs/dbraw/zinc/86/34/90/851863490.db2.gz KFFBNEPSAQZUQQ-KRWDZBQOSA-N 1 2 301.365 1.729 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cc(C)cnc2F)C1=O ZINC001274025221 851863495 /nfs/dbraw/zinc/86/34/95/851863495.db2.gz KFFBNEPSAQZUQQ-KRWDZBQOSA-N 1 2 301.365 1.729 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC001327582413 862293121 /nfs/dbraw/zinc/29/31/21/862293121.db2.gz CALPZDFBAZNLHU-UHFFFAOYSA-N 1 2 316.405 1.380 20 30 DDEDLO CC1(C)OC[C@@H](CNC(=O)[C@H]2CC23CC[NH+](CCC#N)CC3)O1 ZINC001274031255 851869496 /nfs/dbraw/zinc/86/94/96/851869496.db2.gz LVAUVOSPFYJFRS-ZIAGYGMSSA-N 1 2 321.421 1.270 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccccc1SC)C2 ZINC001274198354 852025994 /nfs/dbraw/zinc/02/59/94/852025994.db2.gz ULTXTZKDUNULMW-UHFFFAOYSA-N 1 2 316.426 1.455 20 30 DDEDLO C=CCN1C(=O)C[C@@]2(CCC[N@@H+](Cc3cn[nH]c3CC)C2)C1=O ZINC001274381235 852216908 /nfs/dbraw/zinc/21/69/08/852216908.db2.gz MQKNYPDLYSJPHP-QGZVFWFLSA-N 1 2 316.405 1.499 20 30 DDEDLO C=CCN1C(=O)C[C@@]2(CCC[N@H+](Cc3cn[nH]c3CC)C2)C1=O ZINC001274381235 852216922 /nfs/dbraw/zinc/21/69/22/852216922.db2.gz MQKNYPDLYSJPHP-QGZVFWFLSA-N 1 2 316.405 1.499 20 30 DDEDLO C=C(C)CN1CC[C@]2(CC[N@H+](Cc3n[nH]c4c3CCC4)C2)C1=O ZINC001274570068 852402716 /nfs/dbraw/zinc/40/27/16/852402716.db2.gz UWENVKVPRDOIIJ-SFHVURJKSA-N 1 2 314.433 1.899 20 30 DDEDLO C=C(C)CN1CC[C@]2(CC[N@@H+](Cc3n[nH]c4c3CCC4)C2)C1=O ZINC001274570068 852402730 /nfs/dbraw/zinc/40/27/30/852402730.db2.gz UWENVKVPRDOIIJ-SFHVURJKSA-N 1 2 314.433 1.899 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](C[C@H](O)CCCC)C[C@@]2(F)C1=O ZINC001274572815 852405821 /nfs/dbraw/zinc/40/58/21/852405821.db2.gz QRQSIWMDZNGQAO-VHDGCEQUSA-N 1 2 302.365 1.298 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](C[C@H](O)CCCC)C[C@@]2(F)C1=O ZINC001274572815 852405823 /nfs/dbraw/zinc/40/58/23/852405823.db2.gz QRQSIWMDZNGQAO-VHDGCEQUSA-N 1 2 302.365 1.298 20 30 DDEDLO C#CCN1CC[C@@]2(CC[N@@H+](Cc3cc(C#N)ccc3O)C2)C1=O ZINC001274666360 852500913 /nfs/dbraw/zinc/50/09/13/852500913.db2.gz FOMXUKMBQYAUOV-GOSISDBHSA-N 1 2 309.369 1.321 20 30 DDEDLO C#CCN1CC[C@@]2(CC[N@H+](Cc3cc(C#N)ccc3O)C2)C1=O ZINC001274666360 852500920 /nfs/dbraw/zinc/50/09/20/852500920.db2.gz FOMXUKMBQYAUOV-GOSISDBHSA-N 1 2 309.369 1.321 20 30 DDEDLO CCn1nnc(C)c1C[N@H+](C)C[C@H](C)NC(=O)C#CC(C)C ZINC001275551709 853323865 /nfs/dbraw/zinc/32/38/65/853323865.db2.gz KHULJAVUEGWMOG-ZDUSSCGKSA-N 1 2 305.426 1.202 20 30 DDEDLO CCn1nnc(C)c1C[N@@H+](C)C[C@H](C)NC(=O)C#CC(C)C ZINC001275551709 853323869 /nfs/dbraw/zinc/32/38/69/853323869.db2.gz KHULJAVUEGWMOG-ZDUSSCGKSA-N 1 2 305.426 1.202 20 30 DDEDLO C[N@@H+]1CCO[C@@]2(CCCN(C(=O)c3cccc(C#N)c3O)C2)C1 ZINC001275734690 853648721 /nfs/dbraw/zinc/64/87/21/853648721.db2.gz NHULAFOGWYKOQJ-KRWDZBQOSA-N 1 2 315.373 1.201 20 30 DDEDLO C[N@H+]1CCO[C@@]2(CCCN(C(=O)c3cccc(C#N)c3O)C2)C1 ZINC001275734690 853648731 /nfs/dbraw/zinc/64/87/31/853648731.db2.gz NHULAFOGWYKOQJ-KRWDZBQOSA-N 1 2 315.373 1.201 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@@H+](C)Cc1c(C)nnn1CC ZINC001275818878 853811834 /nfs/dbraw/zinc/81/18/34/853811834.db2.gz LNBGZADYLNRZAX-CYBMUJFWSA-N 1 2 305.426 1.346 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@H+](C)Cc1c(C)nnn1CC ZINC001275818878 853811836 /nfs/dbraw/zinc/81/18/36/853811836.db2.gz LNBGZADYLNRZAX-CYBMUJFWSA-N 1 2 305.426 1.346 20 30 DDEDLO CCn1cc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)cn1 ZINC001276242158 855034853 /nfs/dbraw/zinc/03/48/53/855034853.db2.gz FCGZLAAKOWSSKI-UHFFFAOYSA-N 1 2 324.432 1.431 20 30 DDEDLO CCn1cc(C[NH+]2CC3(CN(Cc4cc(C#N)n(C)c4)C3)C2)cn1 ZINC001276242158 855034859 /nfs/dbraw/zinc/03/48/59/855034859.db2.gz FCGZLAAKOWSSKI-UHFFFAOYSA-N 1 2 324.432 1.431 20 30 DDEDLO Cc1nc(N[C@H](C)[C@H]2CCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001111209439 855183808 /nfs/dbraw/zinc/18/38/08/855183808.db2.gz XJGWZWBUJUTRNQ-YPMHNXCESA-N 1 2 319.434 1.691 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H](C)[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111250310 855251501 /nfs/dbraw/zinc/25/15/01/855251501.db2.gz ZHVNIEHUMGLLEZ-DZGCQCFKSA-N 1 2 318.421 1.884 20 30 DDEDLO CN(CCCNC(=O)Cc1c[nH]c[nH+]1)c1ncc(C#N)cc1F ZINC001095749056 855311600 /nfs/dbraw/zinc/31/16/00/855311600.db2.gz OGUABIPNWICNLW-UHFFFAOYSA-N 1 2 316.340 1.001 20 30 DDEDLO COCC#CC(=O)N[C@H](C)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001328084996 862683236 /nfs/dbraw/zinc/68/32/36/862683236.db2.gz UDIBBXBXFNXJFN-WBVHZDCISA-N 1 2 316.401 1.042 20 30 DDEDLO COCC#CC(=O)N[C@H](C)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001328084996 862683239 /nfs/dbraw/zinc/68/32/39/862683239.db2.gz UDIBBXBXFNXJFN-WBVHZDCISA-N 1 2 316.401 1.042 20 30 DDEDLO C#CC[N@@H+](CC)[C@H](C)CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001156168074 862769808 /nfs/dbraw/zinc/76/98/08/862769808.db2.gz RAYLJYPRVUBSHL-CYBMUJFWSA-N 1 2 311.389 1.545 20 30 DDEDLO C#CC[N@H+](CC)[C@H](C)CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001156168074 862769820 /nfs/dbraw/zinc/76/98/20/862769820.db2.gz RAYLJYPRVUBSHL-CYBMUJFWSA-N 1 2 311.389 1.545 20 30 DDEDLO C[C@H](NC(=O)C#CC1CC1)C1C[NH+](Cc2cc(C3CC3)no2)C1 ZINC001276377512 856302591 /nfs/dbraw/zinc/30/25/91/856302591.db2.gz WQGFQAQXESFIGV-LBPRGKRZSA-N 1 2 313.401 1.902 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)s1 ZINC001072552731 857437170 /nfs/dbraw/zinc/43/71/70/857437170.db2.gz SCROBCFARWHWRZ-GHMZBOCLSA-N 1 2 319.434 1.602 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)s1 ZINC001072552731 857437172 /nfs/dbraw/zinc/43/71/72/857437172.db2.gz SCROBCFARWHWRZ-GHMZBOCLSA-N 1 2 319.434 1.602 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001072944754 857920691 /nfs/dbraw/zinc/92/06/91/857920691.db2.gz KOHFPYYWMFJJAX-INIZCTEOSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001072944754 857920694 /nfs/dbraw/zinc/92/06/94/857920694.db2.gz KOHFPYYWMFJJAX-INIZCTEOSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC2(C1)CC[N@H+](Cc1ccon1)C2 ZINC001072994804 857978708 /nfs/dbraw/zinc/97/87/08/857978708.db2.gz SVCWYIXMXKNRLP-CABCVRRESA-N 1 2 317.389 1.300 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC2(C1)CC[N@@H+](Cc1ccon1)C2 ZINC001072994804 857978716 /nfs/dbraw/zinc/97/87/16/857978716.db2.gz SVCWYIXMXKNRLP-CABCVRRESA-N 1 2 317.389 1.300 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cscn2)C1 ZINC001073552210 858433985 /nfs/dbraw/zinc/43/39/85/858433985.db2.gz LXFJSBDQRQZRIH-LLVKDONJSA-N 1 2 315.826 1.716 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cscn2)C1 ZINC001073552210 858433989 /nfs/dbraw/zinc/43/39/89/858433989.db2.gz LXFJSBDQRQZRIH-LLVKDONJSA-N 1 2 315.826 1.716 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CC=NO3)n2CC(=C)C)CC1 ZINC001122506169 858857384 /nfs/dbraw/zinc/85/73/84/858857384.db2.gz UTWHFXBAPCSPOA-AWEZNQCLSA-N 1 2 314.393 1.057 20 30 DDEDLO C=CCN(C)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001123419581 859228747 /nfs/dbraw/zinc/22/87/47/859228747.db2.gz DCURMWGYOUHJEY-BBRMVZONSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCN(C)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC1CC1 ZINC001123419581 859228760 /nfs/dbraw/zinc/22/87/60/859228760.db2.gz DCURMWGYOUHJEY-BBRMVZONSA-N 1 2 305.426 1.222 20 30 DDEDLO Cc1nc(NC[C@H](O)CN(C)C(=O)C#CC(C)(C)C)cc[nH+]1 ZINC001124282912 859666957 /nfs/dbraw/zinc/66/69/57/859666957.db2.gz CAEDFFIHTNBTBU-ZDUSSCGKSA-N 1 2 304.394 1.066 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125179814 859911611 /nfs/dbraw/zinc/91/16/11/859911611.db2.gz IXMGXOXPZHESMB-AWEZNQCLSA-N 1 2 306.410 1.397 20 30 DDEDLO C=CCN1CC[N@@H+](C)C2(CCN(Cc3cc(C)n[nH]3)CC2)C1=O ZINC001203074661 860718417 /nfs/dbraw/zinc/71/84/17/860718417.db2.gz STMFIYJBMXFOHL-UHFFFAOYSA-N 1 2 317.437 1.013 20 30 DDEDLO C=CCN1CC[N@H+](C)C2(CCN(Cc3cc(C)n[nH]3)CC2)C1=O ZINC001203074661 860718422 /nfs/dbraw/zinc/71/84/22/860718422.db2.gz STMFIYJBMXFOHL-UHFFFAOYSA-N 1 2 317.437 1.013 20 30 DDEDLO C=CCN1CCN(C)C2(CC[NH+](Cc3cc(C)n[nH]3)CC2)C1=O ZINC001203074661 860718427 /nfs/dbraw/zinc/71/84/27/860718427.db2.gz STMFIYJBMXFOHL-UHFFFAOYSA-N 1 2 317.437 1.013 20 30 DDEDLO CC#CC[N@@H+](CC)[C@H](C)CNC(=O)CS(=O)(=O)CCCC ZINC001157153863 863616666 /nfs/dbraw/zinc/61/66/66/863616666.db2.gz QYTRTINZBANTBL-CQSZACIVSA-N 1 2 316.467 1.051 20 30 DDEDLO CC#CC[N@H+](CC)[C@H](C)CNC(=O)CS(=O)(=O)CCCC ZINC001157153863 863616671 /nfs/dbraw/zinc/61/66/71/863616671.db2.gz QYTRTINZBANTBL-CQSZACIVSA-N 1 2 316.467 1.051 20 30 DDEDLO C=CCOc1cc(C)nc(N[C@@H]2CCC[N@@H+](C3COC3)C2)n1 ZINC001157582309 863948655 /nfs/dbraw/zinc/94/86/55/863948655.db2.gz CJSVBZCJQCKHLB-CYBMUJFWSA-N 1 2 304.394 1.625 20 30 DDEDLO C=CCOc1cc(C)nc(N[C@@H]2CCC[N@H+](C3COC3)C2)n1 ZINC001157582309 863948668 /nfs/dbraw/zinc/94/86/68/863948668.db2.gz CJSVBZCJQCKHLB-CYBMUJFWSA-N 1 2 304.394 1.625 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001153593315 863966482 /nfs/dbraw/zinc/96/64/82/863966482.db2.gz CMDBWGHMVWRYIQ-GFCCVEGCSA-N 1 2 323.441 1.037 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001153593315 863966486 /nfs/dbraw/zinc/96/64/86/863966486.db2.gz CMDBWGHMVWRYIQ-GFCCVEGCSA-N 1 2 323.441 1.037 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1nccn1C ZINC001157939642 864287602 /nfs/dbraw/zinc/28/76/02/864287602.db2.gz GHVIRLWOOHVMNC-MRXNPFEDSA-N 1 2 316.449 1.892 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1nccn1C ZINC001157939642 864287612 /nfs/dbraw/zinc/28/76/12/864287612.db2.gz GHVIRLWOOHVMNC-MRXNPFEDSA-N 1 2 316.449 1.892 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CC(=O)c2cc(C(=O)OC)[nH]c2C)C1 ZINC001330673222 864525331 /nfs/dbraw/zinc/52/53/31/864525331.db2.gz WSACPKAOOXEUIM-GFCCVEGCSA-N 1 2 306.362 1.569 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CC(=O)c2cc(C(=O)OC)[nH]c2C)C1 ZINC001330673222 864525341 /nfs/dbraw/zinc/52/53/41/864525341.db2.gz WSACPKAOOXEUIM-GFCCVEGCSA-N 1 2 306.362 1.569 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@H+](Cc2nonc2C)CC1 ZINC001159576805 865407707 /nfs/dbraw/zinc/40/77/07/865407707.db2.gz YLBKJIDJQSHIIQ-UHFFFAOYSA-N 1 2 320.393 1.219 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@@H+](Cc2nonc2C)CC1 ZINC001159576805 865407711 /nfs/dbraw/zinc/40/77/11/865407711.db2.gz YLBKJIDJQSHIIQ-UHFFFAOYSA-N 1 2 320.393 1.219 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1([NH2+]Cc2ncc(C3CC3)o2)CC1 ZINC001277049629 881872382 /nfs/dbraw/zinc/87/23/82/881872382.db2.gz QGJXNMDRSBGRDN-MRXNPFEDSA-N 1 2 319.405 1.618 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@H+](Cc2ccn(C)n2)[C@@H]1C ZINC001332221316 865676246 /nfs/dbraw/zinc/67/62/46/865676246.db2.gz XJDRTCDMUSETMB-GDBMZVCRSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccn(C)n2)[C@@H]1C ZINC001332221316 865676248 /nfs/dbraw/zinc/67/62/48/865676248.db2.gz XJDRTCDMUSETMB-GDBMZVCRSA-N 1 2 320.437 1.482 20 30 DDEDLO COCC[N@H+](CCNC(=O)C#CC1CC1)[C@H](C)c1cnccn1 ZINC001332914530 866247922 /nfs/dbraw/zinc/24/79/22/866247922.db2.gz VNYFODMAAHQCRW-CQSZACIVSA-N 1 2 316.405 1.016 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C#CC1CC1)[C@H](C)c1cnccn1 ZINC001332914530 866247936 /nfs/dbraw/zinc/24/79/36/866247936.db2.gz VNYFODMAAHQCRW-CQSZACIVSA-N 1 2 316.405 1.016 20 30 DDEDLO C#CCN1CCC[C@H]1C(=O)N(C)CC[N@H+](C)CCC(F)(F)F ZINC001320008143 866428058 /nfs/dbraw/zinc/42/80/58/866428058.db2.gz DCKDJIVALFTBJS-ZDUSSCGKSA-N 1 2 319.371 1.427 20 30 DDEDLO C#CCN1CCC[C@H]1C(=O)N(C)CC[N@@H+](C)CCC(F)(F)F ZINC001320008143 866428059 /nfs/dbraw/zinc/42/80/59/866428059.db2.gz DCKDJIVALFTBJS-ZDUSSCGKSA-N 1 2 319.371 1.427 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(F)cc1F ZINC001323341118 866547589 /nfs/dbraw/zinc/54/75/89/866547589.db2.gz SAOTWADZEHKTCI-CYBMUJFWSA-N 1 2 308.328 1.419 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(F)cc1F ZINC001323341118 866547598 /nfs/dbraw/zinc/54/75/98/866547598.db2.gz SAOTWADZEHKTCI-CYBMUJFWSA-N 1 2 308.328 1.419 20 30 DDEDLO C=C[C@@H](COC)NC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC001320425712 866725506 /nfs/dbraw/zinc/72/55/06/866725506.db2.gz ASAYQBLHIBFCAH-ZDUSSCGKSA-N 1 2 309.435 1.776 20 30 DDEDLO C=C[C@@H](COC)NC(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC001323960090 866978488 /nfs/dbraw/zinc/97/84/88/866978488.db2.gz DZAYTRHOBRLVJA-INIZCTEOSA-N 1 2 314.389 1.932 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C[NH2+]Cc1nnn(C)n1)C1CCCC1 ZINC001324495684 867313325 /nfs/dbraw/zinc/31/33/25/867313325.db2.gz PZMSGOVCIFLAIB-CYBMUJFWSA-N 1 2 320.441 1.187 20 30 DDEDLO CCCC(C)(C)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001324559291 867355072 /nfs/dbraw/zinc/35/50/72/867355072.db2.gz FLGAIWIDLPNFEF-HNNXBMFYSA-N 1 2 306.454 1.555 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](Oc2[nH]c(=O)ncc2F)C1 ZINC001225885836 882090045 /nfs/dbraw/zinc/09/00/45/882090045.db2.gz OXYIQZLDCWUQMC-ZDUSSCGKSA-N 1 2 314.320 1.846 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](Oc2[nH]c(=O)ncc2F)C1 ZINC001225885836 882090060 /nfs/dbraw/zinc/09/00/60/882090060.db2.gz OXYIQZLDCWUQMC-ZDUSSCGKSA-N 1 2 314.320 1.846 20 30 DDEDLO C=CCc1ccnc(NC[C@H]2C[N@H+](C)CCO2)c1C(OC)OC ZINC001162683676 867727444 /nfs/dbraw/zinc/72/74/44/867727444.db2.gz XKHQQYNOQKBBGW-AWEZNQCLSA-N 1 2 321.421 1.844 20 30 DDEDLO C=CCc1ccnc(NC[C@H]2C[N@@H+](C)CCO2)c1C(OC)OC ZINC001162683676 867727451 /nfs/dbraw/zinc/72/74/51/867727451.db2.gz XKHQQYNOQKBBGW-AWEZNQCLSA-N 1 2 321.421 1.844 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001325286202 867914869 /nfs/dbraw/zinc/91/48/69/867914869.db2.gz URPKBGKUMMOHDW-MRXNPFEDSA-N 1 2 314.429 1.757 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cccc3ccsc32)C1 ZINC001325298078 867925688 /nfs/dbraw/zinc/92/56/88/867925688.db2.gz NMONXVPSJIKARN-UHFFFAOYSA-N 1 2 314.410 1.701 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001335086837 868053809 /nfs/dbraw/zinc/05/38/09/868053809.db2.gz PBTJZEHZGPMYHP-LBPRGKRZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001335521078 868359290 /nfs/dbraw/zinc/35/92/90/868359290.db2.gz GJJVNMVORMGDJG-UONOGXRCSA-N 1 2 318.421 1.852 20 30 DDEDLO C#C[C@H]1CCCCN1C(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC001336540656 869003157 /nfs/dbraw/zinc/00/31/57/869003157.db2.gz OXUGLEWHRSLQNO-KGLIPLIRSA-N 1 2 314.389 1.130 20 30 DDEDLO Cc1nc(N[C@@H]2COCC[C@@H]2NC(=O)CCCCC#N)cc[nH+]1 ZINC001336681559 869090741 /nfs/dbraw/zinc/09/07/41/869090741.db2.gz NCFZNLFVEJMNDN-UONOGXRCSA-N 1 2 317.393 1.555 20 30 DDEDLO COC(=O)c1cc(C#N)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001226306388 882337539 /nfs/dbraw/zinc/33/75/39/882337539.db2.gz XAPICDFQAYNIHV-UCHAVSLVSA-N 1 2 314.341 1.336 20 30 DDEDLO COC(=O)c1cc(C#N)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001226306388 882337554 /nfs/dbraw/zinc/33/75/54/882337554.db2.gz XAPICDFQAYNIHV-UCHAVSLVSA-N 1 2 314.341 1.336 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1C[C@@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001338117518 869813897 /nfs/dbraw/zinc/81/38/97/869813897.db2.gz QMUZQXUNRZOFPC-CABCVRRESA-N 1 2 316.405 1.182 20 30 DDEDLO C=C[C@@H](COC)NC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC001338120558 869815452 /nfs/dbraw/zinc/81/54/52/869815452.db2.gz ZTHCWFYKNUELEB-AWEZNQCLSA-N 1 2 309.435 1.776 20 30 DDEDLO N#Cc1cc(CNC(=O)Cc2ccc(-n3cc[nH+]c3)cc2)ccn1 ZINC001297370279 870012139 /nfs/dbraw/zinc/01/21/39/870012139.db2.gz XRIDLXKSXWTEDG-UHFFFAOYSA-N 1 2 317.352 1.998 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)CC1 ZINC001316968713 870014741 /nfs/dbraw/zinc/01/47/41/870014741.db2.gz QYCPGUYNQUBUSD-PIIMJCKOSA-N 1 2 317.477 1.570 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)C[C@@H](CC)C(C)C)CC1 ZINC001316971222 870020315 /nfs/dbraw/zinc/02/03/15/870020315.db2.gz BCYFZFACWCEMJX-QGZVFWFLSA-N 1 2 307.482 1.816 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H](NC(=O)[C@H](F)CC)C1 ZINC001316984544 870065711 /nfs/dbraw/zinc/06/57/11/870065711.db2.gz LHAYAPOEDJEOAI-UONOGXRCSA-N 1 2 313.417 1.350 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H](F)CC)C1 ZINC001316984544 870065717 /nfs/dbraw/zinc/06/57/17/870065717.db2.gz LHAYAPOEDJEOAI-UONOGXRCSA-N 1 2 313.417 1.350 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001316984293 870067980 /nfs/dbraw/zinc/06/79/80/870067980.db2.gz IEECDPTUNCHGPE-ZIAGYGMSSA-N 1 2 309.454 1.550 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001316984293 870067989 /nfs/dbraw/zinc/06/79/89/870067989.db2.gz IEECDPTUNCHGPE-ZIAGYGMSSA-N 1 2 309.454 1.550 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001316985939 870072255 /nfs/dbraw/zinc/07/22/55/870072255.db2.gz AJVZSJNPPRFIQT-LBPRGKRZSA-N 1 2 307.394 1.976 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001316985939 870072270 /nfs/dbraw/zinc/07/22/70/870072270.db2.gz AJVZSJNPPRFIQT-LBPRGKRZSA-N 1 2 307.394 1.976 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@](C)(CC)CCC)C1 ZINC001316990496 870083100 /nfs/dbraw/zinc/08/31/00/870083100.db2.gz OTAVYOZBQNMZAD-PBHICJAKSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@](C)(CC)CCC)C1 ZINC001316990496 870083112 /nfs/dbraw/zinc/08/31/12/870083112.db2.gz OTAVYOZBQNMZAD-PBHICJAKSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C[C@H](CC(=O)N[C@@H]1CC[N@@H+](CC(N)=O)C1)c1ccccc1 ZINC001316995753 870096612 /nfs/dbraw/zinc/09/66/12/870096612.db2.gz SEKAFRPDZMFMSD-UKRRQHHQSA-N 1 2 301.390 1.022 20 30 DDEDLO C=C[C@H](CC(=O)N[C@@H]1CC[N@H+](CC(N)=O)C1)c1ccccc1 ZINC001316995753 870096625 /nfs/dbraw/zinc/09/66/25/870096625.db2.gz SEKAFRPDZMFMSD-UKRRQHHQSA-N 1 2 301.390 1.022 20 30 DDEDLO C=CCn1c(N2CC[C@H](CC)C2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001339068260 870329478 /nfs/dbraw/zinc/32/94/78/870329478.db2.gz HWNLDKNMHUWNQJ-GRDNDAEWSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N2CC[C@H](CC)C2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001339068260 870329494 /nfs/dbraw/zinc/32/94/94/870329494.db2.gz HWNLDKNMHUWNQJ-GRDNDAEWSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(C=C)CC1 ZINC001339530007 870540468 /nfs/dbraw/zinc/54/04/68/870540468.db2.gz LEJMQWDHVACRSY-GJZGRUSLSA-N 1 2 317.437 1.604 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(C=C)CC1 ZINC001339530007 870540489 /nfs/dbraw/zinc/54/04/89/870540489.db2.gz LEJMQWDHVACRSY-GJZGRUSLSA-N 1 2 317.437 1.604 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C2CC3(CCC3)C2)C1 ZINC001276414411 870676361 /nfs/dbraw/zinc/67/63/61/870676361.db2.gz QBAUTYMHCQGHPG-CYBMUJFWSA-N 1 2 319.449 1.305 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001298763216 870712413 /nfs/dbraw/zinc/71/24/13/870712413.db2.gz GEFGKGCCEQPVCW-LBPRGKRZSA-N 1 2 312.373 1.601 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@H+](C)[C@H](C)C(=O)Nc1nccs1 ZINC001317443938 870846682 /nfs/dbraw/zinc/84/66/82/870846682.db2.gz NBQQUGVXDUCPCE-GFCCVEGCSA-N 1 2 322.434 1.178 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@@H+](C)[C@H](C)C(=O)Nc1nccs1 ZINC001317443938 870846686 /nfs/dbraw/zinc/84/66/86/870846686.db2.gz NBQQUGVXDUCPCE-GFCCVEGCSA-N 1 2 322.434 1.178 20 30 DDEDLO N#Cc1cccc(N2C[C@@]3(CC[N@H+](Cc4ncc[nH]4)C3)CC2=O)c1 ZINC001203974353 870860690 /nfs/dbraw/zinc/86/06/90/870860690.db2.gz BQHXHCQPETUKRU-SFHVURJKSA-N 1 2 321.384 1.910 20 30 DDEDLO N#Cc1cccc(N2C[C@@]3(CC[N@@H+](Cc4ncc[nH]4)C3)CC2=O)c1 ZINC001203974353 870860697 /nfs/dbraw/zinc/86/06/97/870860697.db2.gz BQHXHCQPETUKRU-SFHVURJKSA-N 1 2 321.384 1.910 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001299080305 870882461 /nfs/dbraw/zinc/88/24/61/870882461.db2.gz LVBQGNUQYQEDHJ-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+](Cc2nnnn2CCC)C1 ZINC001317526752 870993696 /nfs/dbraw/zinc/99/36/96/870993696.db2.gz JMRZHUDPNIIXOJ-ZDUSSCGKSA-N 1 2 320.441 1.233 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+](Cc2nnnn2CCC)C1 ZINC001317526752 870993704 /nfs/dbraw/zinc/99/37/04/870993704.db2.gz JMRZHUDPNIIXOJ-ZDUSSCGKSA-N 1 2 320.441 1.233 20 30 DDEDLO CCCCCCCCOCC(=O)N1CC[N@H+](C)C[C@@H]1CO ZINC001301605244 871008129 /nfs/dbraw/zinc/00/81/29/871008129.db2.gz HENAMVOGCXIMHL-OAHLLOKOSA-N 1 2 300.443 1.498 20 30 DDEDLO CCCCCCCCOCC(=O)N1CC[N@@H+](C)C[C@@H]1CO ZINC001301605244 871008145 /nfs/dbraw/zinc/00/81/45/871008145.db2.gz HENAMVOGCXIMHL-OAHLLOKOSA-N 1 2 300.443 1.498 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)C[C@@H](C)C1 ZINC001340375636 871067566 /nfs/dbraw/zinc/06/75/66/871067566.db2.gz UMHKARRQGNZXKQ-KBXIAJHMSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@H](C)C[C@@H](C)C1 ZINC001340375636 871067584 /nfs/dbraw/zinc/06/75/84/871067584.db2.gz UMHKARRQGNZXKQ-KBXIAJHMSA-N 1 2 319.453 1.684 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)Nc3nc4n(c3C#N)CCOC4)ccn12 ZINC001339230822 871386699 /nfs/dbraw/zinc/38/66/99/871386699.db2.gz BSDOPXXXGGIDBW-UHFFFAOYSA-N 1 2 322.328 1.493 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CCC)C(=O)c2scnc2COC)C1 ZINC001317767529 871478002 /nfs/dbraw/zinc/47/80/02/871478002.db2.gz QMFBDURKSSWDRN-ZDUSSCGKSA-N 1 2 321.446 1.849 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CCC)C(=O)c2scnc2COC)C1 ZINC001317767529 871478010 /nfs/dbraw/zinc/47/80/10/871478010.db2.gz QMFBDURKSSWDRN-ZDUSSCGKSA-N 1 2 321.446 1.849 20 30 DDEDLO C#CC1(O)C[NH+](CC2C[C@H]3CC[C@@H](C2)N3C(=O)OC(C)(C)C)C1 ZINC001308969935 871539676 /nfs/dbraw/zinc/53/96/76/871539676.db2.gz YHANVQIEHRNOFQ-GOOCMWNKSA-N 1 2 320.433 1.844 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)C(C)(C)CCOC)CC1 ZINC001317822536 871548857 /nfs/dbraw/zinc/54/88/57/871548857.db2.gz HHXDTDNBOQQQPB-UHFFFAOYSA-N 1 2 311.470 1.311 20 30 DDEDLO CC[N@H+](CCNC(=O)C#CC(C)C)Cc1c(C)nn(C)c1Cl ZINC001317480140 871616618 /nfs/dbraw/zinc/61/66/18/871616618.db2.gz POSUXIRDKLUEEX-UHFFFAOYSA-N 1 2 324.856 1.979 20 30 DDEDLO CC[N@@H+](CCNC(=O)C#CC(C)C)Cc1c(C)nn(C)c1Cl ZINC001317480140 871616631 /nfs/dbraw/zinc/61/66/31/871616631.db2.gz POSUXIRDKLUEEX-UHFFFAOYSA-N 1 2 324.856 1.979 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2CC3(CCC3)C2)C1 ZINC001317940799 871641984 /nfs/dbraw/zinc/64/19/84/871641984.db2.gz MFCXDJNCQPXIDN-OAHLLOKOSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C2CC3(CCC3)C2)C1 ZINC001317940799 871641990 /nfs/dbraw/zinc/64/19/90/871641990.db2.gz MFCXDJNCQPXIDN-OAHLLOKOSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCC1(C(=O)NCC2C[NH+]([C@@H]3CCNC3=O)C2)CCCC1 ZINC001318179716 871797345 /nfs/dbraw/zinc/79/73/45/871797345.db2.gz LUUWFMZGVQUUEJ-CQSZACIVSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCn1c([C@H]2C[C@H]2C)nnc1N(C)CC[NH+]1CCOCC1 ZINC001342114866 872014275 /nfs/dbraw/zinc/01/42/75/872014275.db2.gz GCSSMKMRNRUBFV-KGLIPLIRSA-N 1 2 305.426 1.356 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H]2C)nnc1N(C)CC[NH+]1CCOCC1 ZINC001342114868 872014317 /nfs/dbraw/zinc/01/43/17/872014317.db2.gz GCSSMKMRNRUBFV-UONOGXRCSA-N 1 2 305.426 1.356 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](CCCNC(=O)[C@H](C)C#N)C2CC2)n1 ZINC001316914770 872347312 /nfs/dbraw/zinc/34/73/12/872347312.db2.gz RQTSNKFYTTWZLI-MNOVXSKESA-N 1 2 305.382 1.569 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](CCCNC(=O)[C@H](C)C#N)C2CC2)n1 ZINC001316914770 872347333 /nfs/dbraw/zinc/34/73/33/872347333.db2.gz RQTSNKFYTTWZLI-MNOVXSKESA-N 1 2 305.382 1.569 20 30 DDEDLO Cc1noc(C[N@@H+](CCCNC(=O)C#CC(C)C)C2CC2)n1 ZINC001316914900 872350648 /nfs/dbraw/zinc/35/06/48/872350648.db2.gz NANXGRQDLIAPNC-UHFFFAOYSA-N 1 2 304.394 1.508 20 30 DDEDLO Cc1noc(C[N@H+](CCCNC(=O)C#CC(C)C)C2CC2)n1 ZINC001316914900 872350664 /nfs/dbraw/zinc/35/06/64/872350664.db2.gz NANXGRQDLIAPNC-UHFFFAOYSA-N 1 2 304.394 1.508 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)c2oc(C)cc2C)C1 ZINC001319296456 872546450 /nfs/dbraw/zinc/54/64/50/872546450.db2.gz DMMMEBWKQAKEFD-OAHLLOKOSA-N 1 2 322.405 1.530 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)c2oc(C)cc2C)C1 ZINC001319296456 872546466 /nfs/dbraw/zinc/54/64/66/872546466.db2.gz DMMMEBWKQAKEFD-OAHLLOKOSA-N 1 2 322.405 1.530 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1C[N@H+](CCOC2CCC2)CCO1 ZINC001319318442 872566683 /nfs/dbraw/zinc/56/66/83/872566683.db2.gz JZOVZFRPQRARAI-AEFFLSMTSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1C[N@@H+](CCOC2CCC2)CCO1 ZINC001319318442 872566693 /nfs/dbraw/zinc/56/66/93/872566693.db2.gz JZOVZFRPQRARAI-AEFFLSMTSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001319319228 872569541 /nfs/dbraw/zinc/56/95/41/872569541.db2.gz PIAFNQZYWFSAHO-NEPJUHHUSA-N 1 2 308.344 1.968 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001319319228 872569544 /nfs/dbraw/zinc/56/95/44/872569544.db2.gz PIAFNQZYWFSAHO-NEPJUHHUSA-N 1 2 308.344 1.968 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N(C)CCC(=O)NC ZINC001343297240 872570501 /nfs/dbraw/zinc/57/05/01/872570501.db2.gz BSYRLWCMAGLBPP-UHFFFAOYSA-N 1 2 320.441 1.022 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2cscn2)C[C@H]1C ZINC001206916981 872764275 /nfs/dbraw/zinc/76/42/75/872764275.db2.gz MIEFPWOZJIMVAD-KYOSRNDESA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2cscn2)C[C@H]1C ZINC001206916981 872764281 /nfs/dbraw/zinc/76/42/81/872764281.db2.gz MIEFPWOZJIMVAD-KYOSRNDESA-N 1 2 309.435 1.407 20 30 DDEDLO Cc1csc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)n1 ZINC001206948502 872829002 /nfs/dbraw/zinc/82/90/02/872829002.db2.gz OFKLKXKOYVGADA-BXUZGUMPSA-N 1 2 303.431 1.801 20 30 DDEDLO Cc1csc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)n1 ZINC001206948502 872829012 /nfs/dbraw/zinc/82/90/12/872829012.db2.gz OFKLKXKOYVGADA-BXUZGUMPSA-N 1 2 303.431 1.801 20 30 DDEDLO CCn1ccc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)n1 ZINC001206951749 872835442 /nfs/dbraw/zinc/83/54/42/872835442.db2.gz HRPVWJCGELEQHJ-CZUORRHYSA-N 1 2 300.406 1.253 20 30 DDEDLO CCn1ccc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)n1 ZINC001206951749 872835460 /nfs/dbraw/zinc/83/54/60/872835460.db2.gz HRPVWJCGELEQHJ-CZUORRHYSA-N 1 2 300.406 1.253 20 30 DDEDLO C#CCN(C)c1nnc(Cc2[nH+]ccn2C)n1Cc1ccccc1 ZINC001344101812 872857268 /nfs/dbraw/zinc/85/72/68/872857268.db2.gz DCLHMQBTSSHXTP-UHFFFAOYSA-N 1 2 320.400 1.720 20 30 DDEDLO C=CCN(CC[NH+]1CC(N2CC(F)C2)C1)C(=O)OC(C)(C)C ZINC001207199767 873116282 /nfs/dbraw/zinc/11/62/82/873116282.db2.gz SJZMBGPGXPHINK-UHFFFAOYSA-N 1 2 313.417 1.747 20 30 DDEDLO C=CCN(C(=O)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1)C1CC1 ZINC001344968536 873200853 /nfs/dbraw/zinc/20/08/53/873200853.db2.gz BEHUIZYKKBNPMI-DZGCQCFKSA-N 1 2 316.405 1.470 20 30 DDEDLO COc1cc(C=NOCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc(OC)c1 ZINC001345167177 873286093 /nfs/dbraw/zinc/28/60/93/873286093.db2.gz OOMNAPRLZJFGDN-GASCZTMLSA-N 1 2 320.389 1.918 20 30 DDEDLO COc1cc(C=NOCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc(OC)c1 ZINC001345167177 873286099 /nfs/dbraw/zinc/28/60/99/873286099.db2.gz OOMNAPRLZJFGDN-GASCZTMLSA-N 1 2 320.389 1.918 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001207622920 873423752 /nfs/dbraw/zinc/42/37/52/873423752.db2.gz LTXYYICBHQPDCV-CHWSQXEVSA-N 1 2 324.450 1.600 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]([NH2+][C@@H](C)c2nc(COC)no2)C1 ZINC001207654921 873446864 /nfs/dbraw/zinc/44/68/64/873446864.db2.gz XEQHILNWTPDKSM-STQMWFEESA-N 1 2 322.409 1.824 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001207759424 873539147 /nfs/dbraw/zinc/53/91/47/873539147.db2.gz XNROLDHPHUEHMR-AWEZNQCLSA-N 1 2 322.409 1.441 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1c(Cl)cnn1C ZINC001378041217 873967785 /nfs/dbraw/zinc/96/77/85/873967785.db2.gz JYPWLYBKMOPFMH-SECBINFHSA-N 1 2 305.209 1.876 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1c(Cl)cnn1C ZINC001378041217 873967799 /nfs/dbraw/zinc/96/77/99/873967799.db2.gz JYPWLYBKMOPFMH-SECBINFHSA-N 1 2 305.209 1.876 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@@H]1CCCCO1 ZINC001347054765 873994148 /nfs/dbraw/zinc/99/41/48/873994148.db2.gz LHMIZSVGDTXOQD-LSDHHAIUSA-N 1 2 317.437 1.683 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@@H]1CCCCO1 ZINC001347054765 873994156 /nfs/dbraw/zinc/99/41/56/873994156.db2.gz LHMIZSVGDTXOQD-LSDHHAIUSA-N 1 2 317.437 1.683 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCNC(=O)CCc1c[nH+]cn1C ZINC001349473888 875193255 /nfs/dbraw/zinc/19/32/55/875193255.db2.gz WTOUWNBZQPJNKQ-MRXNPFEDSA-N 1 2 306.410 1.187 20 30 DDEDLO CCn1ccnc1C[NH+]1CCC(NC(=O)C#CC2CC2)CC1 ZINC001227232404 882922991 /nfs/dbraw/zinc/92/29/91/882922991.db2.gz BTWDRLOGGQEQDW-UHFFFAOYSA-N 1 2 300.406 1.397 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2nc(C)no2)CC1 ZINC001227307910 882965093 /nfs/dbraw/zinc/96/50/93/882965093.db2.gz MCJXBQWSIWNDMD-NWDGAFQWSA-N 1 2 320.393 1.058 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H](C[NH2+]Cc1csnn1)C1CCCCC1 ZINC001378883485 875841146 /nfs/dbraw/zinc/84/11/46/875841146.db2.gz KKNXRBQHDJCIAI-SMDDNHRTSA-N 1 2 321.450 1.852 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)COc2ccc(C)c(C)c2)[C@H](OC)C1 ZINC001213850157 876077914 /nfs/dbraw/zinc/07/79/14/876077914.db2.gz BLNFWJJSZYUJPP-IAGOWNOFSA-N 1 2 316.401 1.131 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)COc2ccc(C)c(C)c2)[C@H](OC)C1 ZINC001213850157 876077926 /nfs/dbraw/zinc/07/79/26/876077926.db2.gz BLNFWJJSZYUJPP-IAGOWNOFSA-N 1 2 316.401 1.131 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1OC)c1ccccc1 ZINC001213900400 876098892 /nfs/dbraw/zinc/09/88/92/876098892.db2.gz YDEPQXLENUHOSF-ARFHVFGLSA-N 1 2 306.381 1.741 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1OC)c1ccccc1 ZINC001213900400 876098905 /nfs/dbraw/zinc/09/89/05/876098905.db2.gz YDEPQXLENUHOSF-ARFHVFGLSA-N 1 2 306.381 1.741 20 30 DDEDLO C=CCn1cc(CNC(=O)NCc2cc[nH+]c(NCC)c2)nn1 ZINC001351199087 876115068 /nfs/dbraw/zinc/11/50/68/876115068.db2.gz WIJRFWOILIZJRR-UHFFFAOYSA-N 1 2 315.381 1.290 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)Nc2cccc([S@@](C)=O)c2)C1 ZINC001351271162 876156266 /nfs/dbraw/zinc/15/62/66/876156266.db2.gz BHXLVZBLGLPTFX-RCDICMHDSA-N 1 2 319.430 1.643 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)Nc2cccc([S@@](C)=O)c2)C1 ZINC001351271162 876156275 /nfs/dbraw/zinc/15/62/75/876156275.db2.gz BHXLVZBLGLPTFX-RCDICMHDSA-N 1 2 319.430 1.643 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001352810762 876945543 /nfs/dbraw/zinc/94/55/43/876945543.db2.gz LAPHVBVCSFWWBK-HUUCEWRRSA-N 1 2 316.405 1.355 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001353461643 877348523 /nfs/dbraw/zinc/34/85/23/877348523.db2.gz BFWTZJJRXIBSND-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1C[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001353632980 877456941 /nfs/dbraw/zinc/45/69/41/877456941.db2.gz MFOXTVNQFZQEII-SHTZXODSSA-N 1 2 316.405 1.299 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1C[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001353632980 877456946 /nfs/dbraw/zinc/45/69/46/877456946.db2.gz MFOXTVNQFZQEII-SHTZXODSSA-N 1 2 316.405 1.299 20 30 DDEDLO C#CCN(C)c1nnc(C)n1Cc1ccc(N(CC)CC)[nH+]c1 ZINC001300432156 877618114 /nfs/dbraw/zinc/61/81/14/877618114.db2.gz RWHAQFDIWVMBBG-UHFFFAOYSA-N 1 2 312.421 1.945 20 30 DDEDLO C#CCN(C)c1nnc(C(C)(C)C)n1CC[N@H+]1CCOC[C@@H]1C ZINC001300432393 877620395 /nfs/dbraw/zinc/62/03/95/877620395.db2.gz IFTNWYWMUHJOGP-AWEZNQCLSA-N 1 2 319.453 1.366 20 30 DDEDLO C#CCN(C)c1nnc(C(C)(C)C)n1CC[N@@H+]1CCOC[C@@H]1C ZINC001300432393 877620400 /nfs/dbraw/zinc/62/04/00/877620400.db2.gz IFTNWYWMUHJOGP-AWEZNQCLSA-N 1 2 319.453 1.366 20 30 DDEDLO O=C(C[C@H]1CCCO1)NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001276792717 877664273 /nfs/dbraw/zinc/66/42/73/877664273.db2.gz KYEHZGYQHHZFSE-QZTJIDSGSA-N 1 2 312.413 1.798 20 30 DDEDLO O=C(C[C@H]1CCCO1)NC[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001276792717 877664288 /nfs/dbraw/zinc/66/42/88/877664288.db2.gz KYEHZGYQHHZFSE-QZTJIDSGSA-N 1 2 312.413 1.798 20 30 DDEDLO CCCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219191068 877996172 /nfs/dbraw/zinc/99/61/72/877996172.db2.gz JLMJVCHRLJOFKF-SJORKVTESA-N 1 2 315.417 1.800 20 30 DDEDLO CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219191068 877996190 /nfs/dbraw/zinc/99/61/90/877996190.db2.gz JLMJVCHRLJOFKF-SJORKVTESA-N 1 2 315.417 1.800 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCOC3)n2C2CC2)CC1 ZINC001300700219 878176095 /nfs/dbraw/zinc/17/60/95/878176095.db2.gz NBEWMFPCXDGYCY-CQSZACIVSA-N 1 2 315.421 1.262 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ncc(Br)cc2O)nn1 ZINC001355323670 878497736 /nfs/dbraw/zinc/49/77/36/878497736.db2.gz ZDOJHXZRAIWKRR-UHFFFAOYSA-N 1 2 324.182 1.617 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001287642512 912366997 /nfs/dbraw/zinc/36/69/97/912366997.db2.gz GLXBTGFPVFKXSC-GFCCVEGCSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001287642512 912367011 /nfs/dbraw/zinc/36/70/11/912367011.db2.gz GLXBTGFPVFKXSC-GFCCVEGCSA-N 1 2 306.410 1.518 20 30 DDEDLO C=C(C)Cn1c(N2CC[C@H](C)C2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001355946791 878791059 /nfs/dbraw/zinc/79/10/59/878791059.db2.gz GNSCFWPOJNYBIT-GRDNDAEWSA-N 1 2 319.453 1.612 20 30 DDEDLO C=C(C)Cn1c(N2CC[C@H](C)C2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001355946791 878791077 /nfs/dbraw/zinc/79/10/77/878791077.db2.gz GNSCFWPOJNYBIT-GRDNDAEWSA-N 1 2 319.453 1.612 20 30 DDEDLO CCc1nnc(C[NH+]2CCC([C@@H](C)NC(=O)[C@H](C)C#N)CC2)o1 ZINC001380119348 878836643 /nfs/dbraw/zinc/83/66/43/878836643.db2.gz BANFLORWOUGYMH-VXGBXAGGSA-N 1 2 319.409 1.508 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001287678743 912390109 /nfs/dbraw/zinc/39/01/09/912390109.db2.gz CCJCEWLPVLDRLG-GFCCVEGCSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001287678743 912390132 /nfs/dbraw/zinc/39/01/32/912390132.db2.gz CCJCEWLPVLDRLG-GFCCVEGCSA-N 1 2 306.410 1.518 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001356785979 879354072 /nfs/dbraw/zinc/35/40/72/879354072.db2.gz FYUSWCZTRAWFGU-UONOGXRCSA-N 1 2 318.421 1.764 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C[C@@H](C)CCC)[C@@H]2C1 ZINC001221430899 879787337 /nfs/dbraw/zinc/78/73/37/879787337.db2.gz SQEFFXPRFNILMH-ARFHVFGLSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C[C@@H](C)CCC)[C@@H]2C1 ZINC001221430899 879787357 /nfs/dbraw/zinc/78/73/57/879787357.db2.gz SQEFFXPRFNILMH-ARFHVFGLSA-N 1 2 319.449 1.095 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@H+](Cc3cncn3C)C[C@H]21 ZINC001221529710 879926814 /nfs/dbraw/zinc/92/68/14/879926814.db2.gz MYQCQAMHJSYBCJ-GDBMZVCRSA-N 1 2 300.406 1.112 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@@H+](Cc3cncn3C)C[C@H]21 ZINC001221529710 879926832 /nfs/dbraw/zinc/92/68/32/879926832.db2.gz MYQCQAMHJSYBCJ-GDBMZVCRSA-N 1 2 300.406 1.112 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCCC)C[C@H]21 ZINC001221731375 880032735 /nfs/dbraw/zinc/03/27/35/880032735.db2.gz CTSKDONHQQAEEU-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCCC)C[C@H]21 ZINC001221731375 880032749 /nfs/dbraw/zinc/03/27/49/880032749.db2.gz CTSKDONHQQAEEU-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3C)C[C@H]21 ZINC001221753797 880056944 /nfs/dbraw/zinc/05/69/44/880056944.db2.gz ORTKTIWUPISSHH-GDBMZVCRSA-N 1 2 315.421 1.041 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3C)C[C@H]21 ZINC001221753797 880056963 /nfs/dbraw/zinc/05/69/63/880056963.db2.gz ORTKTIWUPISSHH-GDBMZVCRSA-N 1 2 315.421 1.041 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001221779993 880076061 /nfs/dbraw/zinc/07/60/61/880076061.db2.gz XASMBOYKWOOSON-GDBMZVCRSA-N 1 2 302.422 1.809 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001221779993 880076070 /nfs/dbraw/zinc/07/60/70/880076070.db2.gz XASMBOYKWOOSON-GDBMZVCRSA-N 1 2 302.422 1.809 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3C)C[C@H]21 ZINC001221839437 880114667 /nfs/dbraw/zinc/11/46/67/880114667.db2.gz RSAHEKYACQINGX-UKRRQHHQSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3C)C[C@H]21 ZINC001221839437 880114671 /nfs/dbraw/zinc/11/46/71/880114671.db2.gz RSAHEKYACQINGX-UKRRQHHQSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001222067062 880208410 /nfs/dbraw/zinc/20/84/10/880208410.db2.gz BNWSPKJSKBMDRD-DGCLKSJQSA-N 1 2 308.382 1.050 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H](C)N(C)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001380888732 880611747 /nfs/dbraw/zinc/61/17/47/880611747.db2.gz KWTWRUKZWHSOOQ-MNOVXSKESA-N 1 2 313.361 1.299 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001358607476 880617406 /nfs/dbraw/zinc/61/74/06/880617406.db2.gz FUWGQXIHFKRLCJ-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001358607476 880617413 /nfs/dbraw/zinc/61/74/13/880617413.db2.gz FUWGQXIHFKRLCJ-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CCC[N@@H+](Cc1cn(CC)nn1)C2 ZINC001276855633 880772657 /nfs/dbraw/zinc/77/26/57/880772657.db2.gz ONXMDBUBPSLBGO-UHFFFAOYSA-N 1 2 317.437 1.689 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CCC[N@H+](Cc1cn(CC)nn1)C2 ZINC001276855633 880772663 /nfs/dbraw/zinc/77/26/63/880772663.db2.gz ONXMDBUBPSLBGO-UHFFFAOYSA-N 1 2 317.437 1.689 20 30 DDEDLO Cc1ccccc1C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)C#N)CO2 ZINC001381011595 880854770 /nfs/dbraw/zinc/85/47/70/880854770.db2.gz ZALSZHCTJYDIQR-ZBFHGGJFSA-N 1 2 313.401 1.614 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@]1(C)CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001358836248 880976655 /nfs/dbraw/zinc/97/66/55/880976655.db2.gz RPRKHUCVSGWUDW-KRWDZBQOSA-N 1 2 318.421 1.519 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C(C)C)n1CC[N@@H+]1CCOC[C@H]1C ZINC001358871404 881076877 /nfs/dbraw/zinc/07/68/77/881076877.db2.gz FAGYCSKINYFZPR-HUUCEWRRSA-N 1 2 319.453 1.580 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C(C)C)n1CC[N@H+]1CCOC[C@H]1C ZINC001358871404 881076894 /nfs/dbraw/zinc/07/68/94/881076894.db2.gz FAGYCSKINYFZPR-HUUCEWRRSA-N 1 2 319.453 1.580 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001224164998 881248614 /nfs/dbraw/zinc/24/86/14/881248614.db2.gz DQTZYLAIGYZJID-OLZOCXBDSA-N 1 2 306.410 1.856 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224166215 881252650 /nfs/dbraw/zinc/25/26/50/881252650.db2.gz ZLULPBMMBMHKKP-KBPBESRZSA-N 1 2 319.453 1.602 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224197919 881269093 /nfs/dbraw/zinc/26/90/93/881269093.db2.gz IJFXAIBWOYEOJS-CQSZACIVSA-N 1 2 319.453 1.746 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(F)cc(F)c1 ZINC001276963731 881326841 /nfs/dbraw/zinc/32/68/41/881326841.db2.gz LTLCAJNUOGACCF-OAHLLOKOSA-N 1 2 308.328 1.419 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(F)cc(F)c1 ZINC001276963731 881326859 /nfs/dbraw/zinc/32/68/59/881326859.db2.gz LTLCAJNUOGACCF-OAHLLOKOSA-N 1 2 308.328 1.419 20 30 DDEDLO CCc1nc(C[NH2+]C[C@H](C2CC2)N(C)C(=O)[C@@H](C)C#N)no1 ZINC001382008117 883164142 /nfs/dbraw/zinc/16/41/42/883164142.db2.gz HLLVGOSRYXWSGA-CMPLNLGQSA-N 1 2 305.382 1.118 20 30 DDEDLO CCOCCOCC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230627151 884665679 /nfs/dbraw/zinc/66/56/79/884665679.db2.gz BLTGSVWSFMYHMQ-INIZCTEOSA-N 1 2 323.437 1.266 20 30 DDEDLO CCOCCOCC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230627151 884665689 /nfs/dbraw/zinc/66/56/89/884665689.db2.gz BLTGSVWSFMYHMQ-INIZCTEOSA-N 1 2 323.437 1.266 20 30 DDEDLO CC[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CCC#N ZINC001230785475 884875000 /nfs/dbraw/zinc/87/50/00/884875000.db2.gz MMYSTHQOMQCVIX-GJZGRUSLSA-N 1 2 322.453 1.327 20 30 DDEDLO CC[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CCC#N ZINC001230785475 884875019 /nfs/dbraw/zinc/87/50/19/884875019.db2.gz MMYSTHQOMQCVIX-GJZGRUSLSA-N 1 2 322.453 1.327 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCC[N@H+](CCF)Cc1cccnc1 ZINC001230931882 885057147 /nfs/dbraw/zinc/05/71/47/885057147.db2.gz IFAQYAVYIGTNHS-OAHLLOKOSA-N 1 2 323.412 1.951 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCC[N@@H+](CCF)Cc1cccnc1 ZINC001230931882 885057161 /nfs/dbraw/zinc/05/71/61/885057161.db2.gz IFAQYAVYIGTNHS-OAHLLOKOSA-N 1 2 323.412 1.951 20 30 DDEDLO CCOc1nc(O[C@@H]2C[C@@H]3CC(=O)C[C@H]2[N@@H+]3C)c(C#N)s1 ZINC001231092017 885211474 /nfs/dbraw/zinc/21/14/74/885211474.db2.gz ZYVNKBVEAWPPLS-JMJZKYOTSA-N 1 2 307.375 1.596 20 30 DDEDLO CCOc1nc(O[C@@H]2C[C@@H]3CC(=O)C[C@H]2[N@H+]3C)c(C#N)s1 ZINC001231092017 885211488 /nfs/dbraw/zinc/21/14/88/885211488.db2.gz ZYVNKBVEAWPPLS-JMJZKYOTSA-N 1 2 307.375 1.596 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001231113208 885242403 /nfs/dbraw/zinc/24/24/03/885242403.db2.gz HCJBDRKGLSDDRK-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001231113208 885242423 /nfs/dbraw/zinc/24/24/23/885242423.db2.gz HCJBDRKGLSDDRK-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2nccc(C)n2)CC1 ZINC001231621711 885766296 /nfs/dbraw/zinc/76/62/96/885766296.db2.gz JBUXMYMKSIOWNF-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@H+]1C[C@@H]2C(=O)OC[C@@H]2C1 ZINC001363278145 885893958 /nfs/dbraw/zinc/89/39/58/885893958.db2.gz ZYKOYCDTGMCBFG-JQWIXIFHSA-N 1 2 319.748 1.255 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1C[C@@H]2C(=O)OC[C@@H]2C1 ZINC001363278145 885893963 /nfs/dbraw/zinc/89/39/63/885893963.db2.gz ZYKOYCDTGMCBFG-JQWIXIFHSA-N 1 2 319.748 1.255 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)C[C@@H](C)NC(=O)[C@@H](C)C#N)c(C)[nH+]1 ZINC001383788055 886519068 /nfs/dbraw/zinc/51/90/68/886519068.db2.gz POCKSCNBBDJEMK-WCQYABFASA-N 1 2 316.405 1.743 20 30 DDEDLO N#Cc1ccc(O)c(C[NH+]2C[C@@H]3CN(c4ncccn4)C[C@H]3C2)c1 ZINC001232677572 886528998 /nfs/dbraw/zinc/52/89/98/886528998.db2.gz MRCQAIWVDYANGD-HZPDHXFCSA-N 1 2 321.384 1.622 20 30 DDEDLO CCN1CC[C@@]2(C[N@H+](Cc3cc(C#N)ccc3O)C[C@@H]2C)C1=O ZINC001232677849 886530452 /nfs/dbraw/zinc/53/04/52/886530452.db2.gz QGJLLUTUGQVXCF-UGSOOPFHSA-N 1 2 313.401 1.954 20 30 DDEDLO CCN1CC[C@@]2(C[N@@H+](Cc3cc(C#N)ccc3O)C[C@@H]2C)C1=O ZINC001232677849 886530462 /nfs/dbraw/zinc/53/04/62/886530462.db2.gz QGJLLUTUGQVXCF-UGSOOPFHSA-N 1 2 313.401 1.954 20 30 DDEDLO COc1cc(N2CCN(Cc3cccc(C#N)n3)CC2)cc[nH+]1 ZINC001232927087 886679435 /nfs/dbraw/zinc/67/94/35/886679435.db2.gz QEKAISXIIMEMBG-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO Cc1ccc(C[NH+]2CCC(N(C)S(C)(=O)=O)CC2)c(C#N)c1 ZINC001232967341 886698550 /nfs/dbraw/zinc/69/85/50/886698550.db2.gz IZCITLLTUOJTRR-UHFFFAOYSA-N 1 2 321.446 1.723 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1CCC(NC(=O)c2ccncc2)CC1 ZINC001233049015 886758156 /nfs/dbraw/zinc/75/81/56/886758156.db2.gz UOGZMZIUSROXQG-UHFFFAOYSA-N 1 2 309.373 1.676 20 30 DDEDLO COC(=O)CC[C@H]1COCC[N@@H+]1Cc1ccc(C#N)cc1O ZINC001233388446 886972777 /nfs/dbraw/zinc/97/27/77/886972777.db2.gz ZJCOMGXGFPJIDB-AWEZNQCLSA-N 1 2 304.346 1.418 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001233491699 887034641 /nfs/dbraw/zinc/03/46/41/887034641.db2.gz YSTUVXRTJUFXNK-MRXNPFEDSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001233491699 887034648 /nfs/dbraw/zinc/03/46/48/887034648.db2.gz YSTUVXRTJUFXNK-MRXNPFEDSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)CC2CCC2)C1=O ZINC001233521546 887072718 /nfs/dbraw/zinc/07/27/18/887072718.db2.gz WDTFPLMNNRYWCD-JKSUJKDBSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CC2CCC2)C1=O ZINC001233521546 887072726 /nfs/dbraw/zinc/07/27/26/887072726.db2.gz WDTFPLMNNRYWCD-JKSUJKDBSA-N 1 2 319.449 1.496 20 30 DDEDLO C/C=C(\C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CCC#N ZINC001233548803 887099048 /nfs/dbraw/zinc/09/90/48/887099048.db2.gz HSISKJAMBFLSAT-ZXZGFYSFSA-N 1 2 320.437 1.247 20 30 DDEDLO C/C=C(\C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CCC#N ZINC001233548803 887099061 /nfs/dbraw/zinc/09/90/61/887099061.db2.gz HSISKJAMBFLSAT-ZXZGFYSFSA-N 1 2 320.437 1.247 20 30 DDEDLO N#Cc1ccnc(CN2CC[NH+](Cc3cccc(O)c3)CC2)c1 ZINC001363780433 887201696 /nfs/dbraw/zinc/20/16/96/887201696.db2.gz NGNMKEUWFOUZKL-UHFFFAOYSA-N 1 2 308.385 1.977 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)ncn1 ZINC001233946995 887486620 /nfs/dbraw/zinc/48/66/20/887486620.db2.gz HBXJCSGUWMLROF-MRXNPFEDSA-N 1 2 300.406 1.621 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)ncn1 ZINC001233946995 887486623 /nfs/dbraw/zinc/48/66/23/887486623.db2.gz HBXJCSGUWMLROF-MRXNPFEDSA-N 1 2 300.406 1.621 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1nccnc1C ZINC001233978267 887516855 /nfs/dbraw/zinc/51/68/55/887516855.db2.gz SCQBOYQFBUSXMG-RHSMWYFYSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1nccnc1C ZINC001233978267 887516869 /nfs/dbraw/zinc/51/68/69/887516869.db2.gz SCQBOYQFBUSXMG-RHSMWYFYSA-N 1 2 318.421 1.145 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C(CC=C)CC=C ZINC001234509248 888037115 /nfs/dbraw/zinc/03/71/15/888037115.db2.gz IXJKJCGOJVEQGH-INIZCTEOSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C(CC=C)CC=C ZINC001234509248 888037127 /nfs/dbraw/zinc/03/71/27/888037127.db2.gz IXJKJCGOJVEQGH-INIZCTEOSA-N 1 2 319.449 1.590 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)cc1Cl ZINC001364541279 888886685 /nfs/dbraw/zinc/88/66/85/888886685.db2.gz VUDUYTDXNMKDBU-LBPRGKRZSA-N 1 2 304.737 1.268 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)cc1Cl ZINC001364541279 888886695 /nfs/dbraw/zinc/88/66/95/888886695.db2.gz VUDUYTDXNMKDBU-LBPRGKRZSA-N 1 2 304.737 1.268 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C1CCC1 ZINC001235723423 888912684 /nfs/dbraw/zinc/91/26/84/888912684.db2.gz POUPDJVVOBMMIG-HIFRSBDPSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C1CCC1 ZINC001235723423 888912690 /nfs/dbraw/zinc/91/26/90/888912690.db2.gz POUPDJVVOBMMIG-HIFRSBDPSA-N 1 2 307.438 1.258 20 30 DDEDLO Cn1c[nH+]cc1C1CCN(S(=O)(=O)c2ccc(C#N)o2)CC1 ZINC001364985787 889823089 /nfs/dbraw/zinc/82/30/89/889823089.db2.gz ZVLYVJZVDRBUHK-UHFFFAOYSA-N 1 2 320.374 1.453 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2CCN(C(=O)C#CC(C)C)[C@H]2C)o1 ZINC001278251965 890277261 /nfs/dbraw/zinc/27/72/61/890277261.db2.gz SDPMXZHDUJWZCY-QWHCGFSZSA-N 1 2 304.394 1.370 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccon1 ZINC001278310419 890870443 /nfs/dbraw/zinc/87/04/43/890870443.db2.gz VXXVMVYLTULEIO-CVEARBPZSA-N 1 2 301.390 1.899 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccon1 ZINC001278310419 890870451 /nfs/dbraw/zinc/87/04/51/890870451.db2.gz VXXVMVYLTULEIO-CVEARBPZSA-N 1 2 301.390 1.899 20 30 DDEDLO N#CCc1cncc(-c2ccc(OCC[NH+]3CCOCC3)cc2)n1 ZINC001240449192 890934039 /nfs/dbraw/zinc/93/40/39/890934039.db2.gz YINNZKZKEDAWME-UHFFFAOYSA-N 1 2 324.384 1.921 20 30 DDEDLO Cc1cc(NC(=O)NCc2coc(C[NH+](C)C)n2)ncc1C#N ZINC001365517259 890964805 /nfs/dbraw/zinc/96/48/05/890964805.db2.gz LNSSJQLOPGYINO-UHFFFAOYSA-N 1 2 314.349 1.633 20 30 DDEDLO C=C(Br)C[N@H+]1CCC2(CN(C(=O)C(F)F)C2)C1 ZINC001365729219 891406340 /nfs/dbraw/zinc/40/63/40/891406340.db2.gz HZWUXRQZPQTVCS-UHFFFAOYSA-N 1 2 309.154 1.694 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC2(CN(C(=O)C(F)F)C2)C1 ZINC001365729219 891406350 /nfs/dbraw/zinc/40/63/50/891406350.db2.gz HZWUXRQZPQTVCS-UHFFFAOYSA-N 1 2 309.154 1.694 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H]([NH2+]Cc2cnsn2)C[C@H]1C ZINC001278425072 892324602 /nfs/dbraw/zinc/32/46/02/892324602.db2.gz ZAWRBNUGDFNMTE-NEPJUHHUSA-N 1 2 310.423 1.210 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@]3(NC(=O)C#CC(C)C)CCC[C@H]23)n1 ZINC001278444772 892586359 /nfs/dbraw/zinc/58/63/59/892586359.db2.gz FZUOPLWAQVVCIC-WMLDXEAASA-N 1 2 316.405 1.651 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@]3(NC(=O)C#CC(C)C)CCC[C@H]23)n1 ZINC001278444772 892586371 /nfs/dbraw/zinc/58/63/71/892586371.db2.gz FZUOPLWAQVVCIC-WMLDXEAASA-N 1 2 316.405 1.651 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@H](C)CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001366264498 892940470 /nfs/dbraw/zinc/94/04/70/892940470.db2.gz FHZFHKGRBBMZOQ-RKDXNWHRSA-N 1 2 302.338 1.047 20 30 DDEDLO CCc1noc([C@H](C)[NH2+]C[C@@H](C)NC(=O)c2ccc(C#N)[nH]2)n1 ZINC001374750222 913791400 /nfs/dbraw/zinc/79/14/00/913791400.db2.gz PWDMGPSNROUNDM-ZJUUUORDSA-N 1 2 316.365 1.301 20 30 DDEDLO C=C/C(C)=C/CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001278515495 893946295 /nfs/dbraw/zinc/94/62/95/893946295.db2.gz HZQGKANKBSKKHK-PHIGAYBXSA-N 1 2 318.421 1.118 20 30 DDEDLO C=C/C(C)=C/CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001278515495 893946307 /nfs/dbraw/zinc/94/63/07/893946307.db2.gz HZQGKANKBSKKHK-PHIGAYBXSA-N 1 2 318.421 1.118 20 30 DDEDLO Cc1c(Cl)c(C#N)ccc1NC[C@@H](O)C[NH+]1CCOCC1 ZINC001251028687 894580854 /nfs/dbraw/zinc/58/08/54/894580854.db2.gz MRUKKPYDIGAZLW-CYBMUJFWSA-N 1 2 309.797 1.625 20 30 DDEDLO CCCN(C(=O)[C@@H](C)C#N)[C@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001366804693 894964061 /nfs/dbraw/zinc/96/40/61/894964061.db2.gz FCAMLDULMWDKEL-AAEUAGOBSA-N 1 2 321.450 1.819 20 30 DDEDLO CCCN(C(=O)[C@@H](C)C#N)[C@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001366804693 894964070 /nfs/dbraw/zinc/96/40/70/894964070.db2.gz FCAMLDULMWDKEL-AAEUAGOBSA-N 1 2 321.450 1.819 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CCC)C(=O)[C@H](C)CC(N)=O)C1 ZINC001366807479 894973166 /nfs/dbraw/zinc/97/31/66/894973166.db2.gz RREDRMRHCMQCEG-YPMHNXCESA-N 1 2 315.845 1.563 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CCC)C(=O)[C@H](C)CC(N)=O)C1 ZINC001366807479 894973177 /nfs/dbraw/zinc/97/31/77/894973177.db2.gz RREDRMRHCMQCEG-YPMHNXCESA-N 1 2 315.845 1.563 20 30 DDEDLO C=CCOC[C@H](O)C[N@@H+]1CCn2cnc(COCC3CC3)c2C1 ZINC001252464191 895176467 /nfs/dbraw/zinc/17/64/67/895176467.db2.gz VXJFRKRWOYWLOT-OAHLLOKOSA-N 1 2 321.421 1.189 20 30 DDEDLO C=CCOC[C@H](O)C[N@H+]1CCn2cnc(COCC3CC3)c2C1 ZINC001252464191 895176475 /nfs/dbraw/zinc/17/64/75/895176475.db2.gz VXJFRKRWOYWLOT-OAHLLOKOSA-N 1 2 321.421 1.189 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001367143012 895990707 /nfs/dbraw/zinc/99/07/07/895990707.db2.gz RTXNNGZYWRKQKG-UONOGXRCSA-N 1 2 322.453 1.231 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001367143012 895990717 /nfs/dbraw/zinc/99/07/17/895990717.db2.gz RTXNNGZYWRKQKG-UONOGXRCSA-N 1 2 322.453 1.231 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CC[N@H+](Cc2csnn2)CC(C)(C)C1 ZINC001388833568 896011414 /nfs/dbraw/zinc/01/14/14/896011414.db2.gz DJIMKRHNAPPPNQ-VXGBXAGGSA-N 1 2 321.450 1.805 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CC[N@@H+](Cc2csnn2)CC(C)(C)C1 ZINC001388833568 896011425 /nfs/dbraw/zinc/01/14/25/896011425.db2.gz DJIMKRHNAPPPNQ-VXGBXAGGSA-N 1 2 321.450 1.805 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(O)CC[N@H+](Cc2coc(C3CC3)n2)C1 ZINC001278766283 896291220 /nfs/dbraw/zinc/29/12/20/896291220.db2.gz IQHUANDJISYBAV-KRWDZBQOSA-N 1 2 319.405 1.571 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2coc(C3CC3)n2)C1 ZINC001278766283 896291230 /nfs/dbraw/zinc/29/12/30/896291230.db2.gz IQHUANDJISYBAV-KRWDZBQOSA-N 1 2 319.405 1.571 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC[C@@H](N2CC[NH2+]C[C@H]2C#N)CC1 ZINC001254301694 896338752 /nfs/dbraw/zinc/33/87/52/896338752.db2.gz CEENWFDFYHLRBS-ZIAGYGMSSA-N 1 2 308.426 1.573 20 30 DDEDLO CC[C@@H](CC#N)[NH2+][C@@H](CCC(=O)OCc1ccccc1)C(N)=O ZINC001255161865 896759845 /nfs/dbraw/zinc/75/98/45/896759845.db2.gz BEPOCXVVEYUMOE-GJZGRUSLSA-N 1 2 317.389 1.646 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H]1CCc2cnn(C)c2C1 ZINC001367535963 897088002 /nfs/dbraw/zinc/08/80/02/897088002.db2.gz RZKOFHFUANPUOW-CYBMUJFWSA-N 1 2 324.856 1.668 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H]1CCc2cnn(C)c2C1 ZINC001367535963 897088010 /nfs/dbraw/zinc/08/80/10/897088010.db2.gz RZKOFHFUANPUOW-CYBMUJFWSA-N 1 2 324.856 1.668 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)[C@H]1CC1(C)C)C(C)C ZINC001278904928 897215799 /nfs/dbraw/zinc/21/57/99/897215799.db2.gz ZRWZVFJJVVGJSM-CQSZACIVSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)[C@H]1CC1(C)C)C(C)C ZINC001278904928 897215814 /nfs/dbraw/zinc/21/58/14/897215814.db2.gz ZRWZVFJJVVGJSM-CQSZACIVSA-N 1 2 309.454 1.504 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)COCc1cccnc1 ZINC001367855268 898041743 /nfs/dbraw/zinc/04/17/43/898041743.db2.gz VPZOXQFWPNXBHM-CYBMUJFWSA-N 1 2 311.813 1.787 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)COCc1cccnc1 ZINC001367855268 898041752 /nfs/dbraw/zinc/04/17/52/898041752.db2.gz VPZOXQFWPNXBHM-CYBMUJFWSA-N 1 2 311.813 1.787 20 30 DDEDLO Cc1nc(C[NH2+]C2(CNC(=O)c3c[nH]c(C#N)c3)CC2)c(C)o1 ZINC001368001696 898455027 /nfs/dbraw/zinc/45/50/27/898455027.db2.gz YKISSQHUOSSTBO-UHFFFAOYSA-N 1 2 313.361 1.543 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)c2cc(-n3cc[nH+]c3)ccc2O)C1 ZINC001261909830 899820858 /nfs/dbraw/zinc/82/08/58/899820858.db2.gz HDVMPAKBOSNPGU-CQSZACIVSA-N 1 2 313.357 1.995 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1C ZINC001262856707 900398497 /nfs/dbraw/zinc/39/84/97/900398497.db2.gz TYWOJANBXAOKBB-KEYYUXOJSA-N 1 2 319.453 1.662 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1C ZINC001262856707 900398513 /nfs/dbraw/zinc/39/85/13/900398513.db2.gz TYWOJANBXAOKBB-KEYYUXOJSA-N 1 2 319.453 1.662 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)C#N)n2CC(C)C)CC1 ZINC001263421633 900607373 /nfs/dbraw/zinc/60/73/73/900607373.db2.gz YTBAOXOKKMIULJ-UHFFFAOYSA-N 1 2 314.437 1.490 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1c(C)nn(C)c1F ZINC001391001422 900665696 /nfs/dbraw/zinc/66/56/96/900665696.db2.gz NLHAFUZUIHUFTN-UHFFFAOYSA-N 1 2 302.781 1.672 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1c(C)nn(C)c1F ZINC001391001422 900665705 /nfs/dbraw/zinc/66/57/05/900665705.db2.gz NLHAFUZUIHUFTN-UHFFFAOYSA-N 1 2 302.781 1.672 20 30 DDEDLO C#CCC[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1OCC ZINC001264526790 901170331 /nfs/dbraw/zinc/17/03/31/901170331.db2.gz IZQKCFNIYRSMDR-IJLUTSLNSA-N 1 2 324.318 1.363 20 30 DDEDLO C#CCC[NH2+]C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1OCC ZINC001264526790 901170332 /nfs/dbraw/zinc/17/03/32/901170332.db2.gz IZQKCFNIYRSMDR-IJLUTSLNSA-N 1 2 324.318 1.363 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@H+](Cc2cn(C)nn2)[C@@H]1CC ZINC001264617450 901219171 /nfs/dbraw/zinc/21/91/71/901219171.db2.gz OAQVGYQLQVHDKE-ZMSDIMECSA-N 1 2 319.453 1.887 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@@H+](Cc2cn(C)nn2)[C@@H]1CC ZINC001264617450 901219178 /nfs/dbraw/zinc/21/91/78/901219178.db2.gz OAQVGYQLQVHDKE-ZMSDIMECSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2c(C)coc2C)[C@H]1C ZINC001264698397 901296295 /nfs/dbraw/zinc/29/62/95/901296295.db2.gz DFPBECJFXXCOGY-OCCSQVGLSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2c(C)coc2C)[C@H]1C ZINC001264698397 901296302 /nfs/dbraw/zinc/29/63/02/901296302.db2.gz DFPBECJFXXCOGY-OCCSQVGLSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2cscn2)[C@@H]1C ZINC001264698745 901298689 /nfs/dbraw/zinc/29/86/89/901298689.db2.gz PWAPFTQWMMSKSW-OSAQELSMSA-N 1 2 309.435 1.549 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2cscn2)[C@@H]1C ZINC001264698745 901298699 /nfs/dbraw/zinc/29/86/99/901298699.db2.gz PWAPFTQWMMSKSW-OSAQELSMSA-N 1 2 309.435 1.549 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CCC)C(=O)c2cnnn2C)C1 ZINC001391280946 901379448 /nfs/dbraw/zinc/37/94/48/901379448.db2.gz OBNZZSADPOFQOU-LBPRGKRZSA-N 1 2 311.817 1.494 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CCC)C(=O)c2cnnn2C)C1 ZINC001391280946 901379463 /nfs/dbraw/zinc/37/94/63/901379463.db2.gz OBNZZSADPOFQOU-LBPRGKRZSA-N 1 2 311.817 1.494 20 30 DDEDLO C#CC[N@H+](CC)CCCNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001265126252 901597896 /nfs/dbraw/zinc/59/78/96/901597896.db2.gz NEJPOKKYERKWAR-UHFFFAOYSA-N 1 2 311.389 1.547 20 30 DDEDLO C#CC[N@@H+](CC)CCCNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001265126252 901597903 /nfs/dbraw/zinc/59/79/03/901597903.db2.gz NEJPOKKYERKWAR-UHFFFAOYSA-N 1 2 311.389 1.547 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CC(C)=C(C)C)C1 ZINC001265222868 901734427 /nfs/dbraw/zinc/73/44/27/901734427.db2.gz MKGZEXMKGCPNSU-OAHLLOKOSA-N 1 2 305.422 1.063 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CC(C)=C(C)C)C1 ZINC001265222868 901734434 /nfs/dbraw/zinc/73/44/34/901734434.db2.gz MKGZEXMKGCPNSU-OAHLLOKOSA-N 1 2 305.422 1.063 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)Cc2cccc(Cl)c2)CC1 ZINC001265263171 901786330 /nfs/dbraw/zinc/78/63/30/901786330.db2.gz VNJCEWXIGVKNHF-UHFFFAOYSA-N 1 2 319.836 1.250 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001265283911 901820859 /nfs/dbraw/zinc/82/08/59/901820859.db2.gz JVJQBFRCZMQANN-WMLDXEAASA-N 1 2 311.385 1.287 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001265283911 901820863 /nfs/dbraw/zinc/82/08/63/901820863.db2.gz JVJQBFRCZMQANN-WMLDXEAASA-N 1 2 311.385 1.287 20 30 DDEDLO CCO[C@@H](CC)C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001391480593 901824585 /nfs/dbraw/zinc/82/45/85/901824585.db2.gz SHUXAHMCLBNPDL-KRWDZBQOSA-N 1 2 315.417 1.921 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001265286520 901829499 /nfs/dbraw/zinc/82/94/99/901829499.db2.gz WRZJLVQEDGSWLG-AWEZNQCLSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001265286520 901829509 /nfs/dbraw/zinc/82/95/09/901829509.db2.gz WRZJLVQEDGSWLG-AWEZNQCLSA-N 1 2 309.454 1.551 20 30 DDEDLO CCCc1nc(C(=O)N[C@@H]2CC[N@H+](CC#CCOC)C2)co1 ZINC001265312978 901870912 /nfs/dbraw/zinc/87/09/12/901870912.db2.gz YJODTRYFPRBTJC-CYBMUJFWSA-N 1 2 305.378 1.081 20 30 DDEDLO CCCc1nc(C(=O)N[C@@H]2CC[N@@H+](CC#CCOC)C2)co1 ZINC001265312978 901870917 /nfs/dbraw/zinc/87/09/17/901870917.db2.gz YJODTRYFPRBTJC-CYBMUJFWSA-N 1 2 305.378 1.081 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1CC12CCN(CC#N)CC2 ZINC001265334240 901897433 /nfs/dbraw/zinc/89/74/33/901897433.db2.gz MTCYWSIBVDISSI-OCCSQVGLSA-N 1 2 301.394 1.083 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1CC12CCN(CC#N)CC2 ZINC001265334240 901897443 /nfs/dbraw/zinc/89/74/43/901897443.db2.gz MTCYWSIBVDISSI-OCCSQVGLSA-N 1 2 301.394 1.083 20 30 DDEDLO C[C@@H](C[N@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1ccn[nH]1 ZINC001375010984 914629851 /nfs/dbraw/zinc/62/98/51/914629851.db2.gz SPTJGZZPBYSQIH-NSHDSACASA-N 1 2 315.352 1.671 20 30 DDEDLO C[C@@H](C[N@@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1ccn[nH]1 ZINC001375010984 914629856 /nfs/dbraw/zinc/62/98/56/914629856.db2.gz SPTJGZZPBYSQIH-NSHDSACASA-N 1 2 315.352 1.671 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1csnn1 ZINC001265897348 902557091 /nfs/dbraw/zinc/55/70/91/902557091.db2.gz KGVZHCGQQJJNJA-UHFFFAOYSA-N 1 2 319.228 1.498 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1csnn1 ZINC001265897348 902557101 /nfs/dbraw/zinc/55/71/01/902557101.db2.gz KGVZHCGQQJJNJA-UHFFFAOYSA-N 1 2 319.228 1.498 20 30 DDEDLO CCn1ncc(C[N@H+](CCCNC(=O)C#CC2CC2)C2CC2)n1 ZINC001266135842 903004502 /nfs/dbraw/zinc/00/45/02/903004502.db2.gz ZZNUFRSXVKJTKM-UHFFFAOYSA-N 1 2 315.421 1.182 20 30 DDEDLO CCn1ncc(C[N@@H+](CCCNC(=O)C#CC2CC2)C2CC2)n1 ZINC001266135842 903004518 /nfs/dbraw/zinc/00/45/18/903004518.db2.gz ZZNUFRSXVKJTKM-UHFFFAOYSA-N 1 2 315.421 1.182 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CCC[N@H+](Cc2cc(C)no2)C1 ZINC001266217823 903131989 /nfs/dbraw/zinc/13/19/89/903131989.db2.gz IAELSGIFLKIGHH-KBPBESRZSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CCC[N@@H+](Cc2cc(C)no2)C1 ZINC001266217823 903131999 /nfs/dbraw/zinc/13/19/99/903131999.db2.gz IAELSGIFLKIGHH-KBPBESRZSA-N 1 2 305.378 1.102 20 30 DDEDLO CCC[C@@H](CC)C(=O)NCC1=CC[N@H+](CC(=O)NCC#N)CC1 ZINC001279660688 903376441 /nfs/dbraw/zinc/37/64/41/903376441.db2.gz GYNHPWOLJFQOMR-OAHLLOKOSA-N 1 2 320.437 1.201 20 30 DDEDLO CCC[C@@H](CC)C(=O)NCC1=CC[N@@H+](CC(=O)NCC#N)CC1 ZINC001279660688 903376454 /nfs/dbraw/zinc/37/64/54/903376454.db2.gz GYNHPWOLJFQOMR-OAHLLOKOSA-N 1 2 320.437 1.201 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H](C)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001280487784 903730580 /nfs/dbraw/zinc/73/05/80/903730580.db2.gz UQPISEHDGXZGDR-KGLIPLIRSA-N 1 2 318.421 1.355 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H](C)CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001280487784 903730594 /nfs/dbraw/zinc/73/05/94/903730594.db2.gz UQPISEHDGXZGDR-KGLIPLIRSA-N 1 2 318.421 1.355 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001280537194 903775613 /nfs/dbraw/zinc/77/56/13/903775613.db2.gz UCGXQZIVQOPXHO-LRDDRELGSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@H](C[NH2+][C@@H](C)c2csnn2)C1 ZINC001280632660 903866517 /nfs/dbraw/zinc/86/65/17/903866517.db2.gz ZAGBENVAXSFKLI-QWHCGFSZSA-N 1 2 324.450 1.772 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CC[C@@H](NC(=O)[C@@H](C)C#N)C2)c(C)[nH+]1 ZINC001396942292 914781422 /nfs/dbraw/zinc/78/14/22/914781422.db2.gz ISTZLQLURUJIPE-SMDDNHRTSA-N 1 2 314.389 1.497 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)ccc1F ZINC001316606939 904152367 /nfs/dbraw/zinc/15/23/67/904152367.db2.gz YDDFWAWXINHPHL-OAHLLOKOSA-N 1 2 318.392 1.930 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)ccc1F ZINC001316606939 904152375 /nfs/dbraw/zinc/15/23/75/904152375.db2.gz YDDFWAWXINHPHL-OAHLLOKOSA-N 1 2 318.392 1.930 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001281149007 904476945 /nfs/dbraw/zinc/47/69/45/904476945.db2.gz MPKMNGKOZDWRRX-OLZOCXBDSA-N 1 2 318.421 1.660 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)C#CC(C)(C)C)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001281154259 904488787 /nfs/dbraw/zinc/48/87/87/904488787.db2.gz OXRZYOPFPWQIMA-OCCSQVGLSA-N 1 2 316.405 1.107 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCC1(C)CC1 ZINC001316610154 904712816 /nfs/dbraw/zinc/71/28/16/904712816.db2.gz ZYXAJMSHOPIFBN-CABCVRRESA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCC1(C)CC1 ZINC001316610154 904712829 /nfs/dbraw/zinc/71/28/29/904712829.db2.gz ZYXAJMSHOPIFBN-CABCVRRESA-N 1 2 319.449 1.237 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1ccnn1CC(F)F ZINC001392657812 904778028 /nfs/dbraw/zinc/77/80/28/904778028.db2.gz NMUIVSBZPQAVCZ-JTQLQIEISA-N 1 2 320.771 1.951 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1ccnn1CC(F)F ZINC001392657812 904778039 /nfs/dbraw/zinc/77/80/39/904778039.db2.gz NMUIVSBZPQAVCZ-JTQLQIEISA-N 1 2 320.771 1.951 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C(CCC)CCC)CC2)C1 ZINC001281588911 905071480 /nfs/dbraw/zinc/07/14/80/905071480.db2.gz VESXNJNSUXQXHO-UHFFFAOYSA-N 1 2 305.466 1.664 20 30 DDEDLO CC#CC[NH2+][C@@H]1c2ccccc2C[C@H]1NC(=O)CCc1nc[nH]n1 ZINC001281901948 905301390 /nfs/dbraw/zinc/30/13/90/905301390.db2.gz VZAJPABTNYAJQQ-CRAIPNDOSA-N 1 2 323.400 1.132 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@H+](C)[C@H](C)C(=O)Nc1nccs1 ZINC001282364941 905715874 /nfs/dbraw/zinc/71/58/74/905715874.db2.gz SMIGNOYMMGRJNN-VXGBXAGGSA-N 1 2 324.450 1.873 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@@H+](C)[C@H](C)C(=O)Nc1nccs1 ZINC001282364941 905715881 /nfs/dbraw/zinc/71/58/81/905715881.db2.gz SMIGNOYMMGRJNN-VXGBXAGGSA-N 1 2 324.450 1.873 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H](C)C1C[NH+](Cc2ncc(C)s2)C1 ZINC001282707958 905970429 /nfs/dbraw/zinc/97/04/29/905970429.db2.gz ZTTVKSAREATYJJ-WBMJQRKESA-N 1 2 323.462 1.715 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C/C=C(\C)C=C)C1 ZINC001282733892 905993925 /nfs/dbraw/zinc/99/39/25/905993925.db2.gz JRMVACUVHIYGPZ-GUNGLEHYSA-N 1 2 305.422 1.248 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]CCC[C@H](C)NC(=O)C#CC(C)C)no1 ZINC001283163563 906773759 /nfs/dbraw/zinc/77/37/59/906773759.db2.gz DYZJBTQWLCLFQS-QWHCGFSZSA-N 1 2 306.410 1.973 20 30 DDEDLO O=C(C#CC1CC1)NCCCCCCNC(=O)Cn1cc[nH+]c1 ZINC001283326451 907137252 /nfs/dbraw/zinc/13/72/52/907137252.db2.gz DSAOEEPHPZKWIL-UHFFFAOYSA-N 1 2 316.405 1.089 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001283474098 907412014 /nfs/dbraw/zinc/41/20/14/907412014.db2.gz VNMUNCCIRYICNM-SUMWQHHRSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)CN(C)C(=O)CSCC#N)o1 ZINC001283571705 907621790 /nfs/dbraw/zinc/62/17/90/907621790.db2.gz IUPGCWSVXDSCIA-VHSXEESVSA-N 1 2 311.411 1.132 20 30 DDEDLO C=C(Br)C[N@H+](CCC)CCNC(=O)[C@H]1CCOC1 ZINC001372683067 907960883 /nfs/dbraw/zinc/96/08/83/907960883.db2.gz FAOAXKIXNCETIV-LBPRGKRZSA-N 1 2 319.243 1.760 20 30 DDEDLO C=C(Br)C[N@@H+](CCC)CCNC(=O)[C@H]1CCOC1 ZINC001372683067 907960896 /nfs/dbraw/zinc/96/08/96/907960896.db2.gz FAOAXKIXNCETIV-LBPRGKRZSA-N 1 2 319.243 1.760 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCc2c[nH+]cn2C)C1 ZINC001283846958 908055715 /nfs/dbraw/zinc/05/57/15/908055715.db2.gz FDWKBLPLCDKJQK-CYBMUJFWSA-N 1 2 304.394 1.036 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@@H]1[N@H+](Cc1cn(CC)nn1)CC2 ZINC001284047236 908384400 /nfs/dbraw/zinc/38/44/00/908384400.db2.gz XGPQYQWEFGNKJE-RDJZCZTQSA-N 1 2 317.437 1.877 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@@H]1[N@@H+](Cc1cn(CC)nn1)CC2 ZINC001284047236 908384416 /nfs/dbraw/zinc/38/44/16/908384416.db2.gz XGPQYQWEFGNKJE-RDJZCZTQSA-N 1 2 317.437 1.877 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@H+](CC(N)=O)[C@H]2C)CCCCC1 ZINC001284151501 908552676 /nfs/dbraw/zinc/55/26/76/908552676.db2.gz FVFCOOBPCSGANU-LSDHHAIUSA-N 1 2 321.465 1.967 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@@H+](CC(N)=O)[C@H]2C)CCCCC1 ZINC001284151501 908552679 /nfs/dbraw/zinc/55/26/79/908552679.db2.gz FVFCOOBPCSGANU-LSDHHAIUSA-N 1 2 321.465 1.967 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2CN(C(=O)CCc3[nH]cc[nH+]3)C[C@H]21 ZINC001284164749 908579668 /nfs/dbraw/zinc/57/96/68/908579668.db2.gz ZXTKGAPIZISTFB-LAQFHYBYSA-N 1 2 316.405 1.272 20 30 DDEDLO CC(C)N(CC[N@H+](C)CC(=O)NCC#N)C(=O)C1CCCCC1 ZINC001284527460 909146852 /nfs/dbraw/zinc/14/68/52/909146852.db2.gz NPDNZXOKMAVEKZ-UHFFFAOYSA-N 1 2 322.453 1.375 20 30 DDEDLO CC(C)N(CC[N@@H+](C)CC(=O)NCC#N)C(=O)C1CCCCC1 ZINC001284527460 909146857 /nfs/dbraw/zinc/14/68/57/909146857.db2.gz NPDNZXOKMAVEKZ-UHFFFAOYSA-N 1 2 322.453 1.375 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)c1cncs1)C(C)C ZINC001284529430 909148428 /nfs/dbraw/zinc/14/84/28/909148428.db2.gz IJELRGLTGXVHSG-UHFFFAOYSA-N 1 2 324.450 1.228 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)c1cncs1)C(C)C ZINC001284529430 909148436 /nfs/dbraw/zinc/14/84/36/909148436.db2.gz IJELRGLTGXVHSG-UHFFFAOYSA-N 1 2 324.450 1.228 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)CNC(=O)C(C)(C)C)C1 ZINC001394257465 909201788 /nfs/dbraw/zinc/20/17/88/909201788.db2.gz XXELXWUSNZURPW-NSHDSACASA-N 1 2 315.845 1.338 20 30 DDEDLO C=CCCC(=O)N(CC)CCNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001284623571 909269077 /nfs/dbraw/zinc/26/90/77/909269077.db2.gz FJNOHHAMMCGJEJ-CQSZACIVSA-N 1 2 318.421 1.376 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001284688126 909355104 /nfs/dbraw/zinc/35/51/04/909355104.db2.gz LDTDCZSLPZBADV-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO CCc1cnc(C[N@H+]2CC=C(CNC(=O)C#CC3CC3)CC2)o1 ZINC001284910780 909715468 /nfs/dbraw/zinc/71/54/68/909715468.db2.gz VFVYBNBJJTXPRL-UHFFFAOYSA-N 1 2 313.401 1.899 20 30 DDEDLO CCc1cnc(C[N@@H+]2CC=C(CNC(=O)C#CC3CC3)CC2)o1 ZINC001284910780 909715474 /nfs/dbraw/zinc/71/54/74/909715474.db2.gz VFVYBNBJJTXPRL-UHFFFAOYSA-N 1 2 313.401 1.899 20 30 DDEDLO CCOCC(=O)NCC1C[NH+](Cc2ccc(C#N)c(F)c2)C1 ZINC001373491577 909991723 /nfs/dbraw/zinc/99/17/23/909991723.db2.gz CQSGYZUGOCBITN-UHFFFAOYSA-N 1 2 305.353 1.282 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2[C@@H](CCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001285386228 910450376 /nfs/dbraw/zinc/45/03/76/910450376.db2.gz BLQLTLBMWYBVIN-DZGCQCFKSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001285391765 910454798 /nfs/dbraw/zinc/45/47/98/910454798.db2.gz NLIRPVDPXORCEY-RYUDHWBXSA-N 1 2 304.394 1.318 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001285402536 910471625 /nfs/dbraw/zinc/47/16/25/910471625.db2.gz IYEZTODQSWILHK-HIFRSBDPSA-N 1 2 316.405 1.013 20 30 DDEDLO C=CCCC1(C(=O)N2CC(N(C)C(=O)Cn3cc[nH+]c3)C2)CC1 ZINC001286020025 911580093 /nfs/dbraw/zinc/58/00/93/911580093.db2.gz MSKVHSPIQLMLFE-UHFFFAOYSA-N 1 2 316.405 1.299 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286032331 911600977 /nfs/dbraw/zinc/60/09/77/911600977.db2.gz ZOGJSZMTSPHELR-GFCCVEGCSA-N 1 2 304.394 1.224 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286481144 911993265 /nfs/dbraw/zinc/99/32/65/911993265.db2.gz FCHMRPKNZLZUDS-JOCQHMNTSA-N 1 2 316.405 1.013 20 30 DDEDLO CCc1nc(C[NH2+][C@@H]2CC[C@H](CNC(=O)[C@H](C)C#N)C2)no1 ZINC001397153685 915295935 /nfs/dbraw/zinc/29/59/35/915295935.db2.gz RWGHWNAKMBPDDC-GRYCIOLGSA-N 1 2 305.382 1.166 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001295068949 915546302 /nfs/dbraw/zinc/54/63/02/915546302.db2.gz LJXVMCNUOZGEHH-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001295068949 915546318 /nfs/dbraw/zinc/54/63/18/915546318.db2.gz LJXVMCNUOZGEHH-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001295563416 915898504 /nfs/dbraw/zinc/89/85/04/915898504.db2.gz FIJLXYTXXYSSDA-ZDUSSCGKSA-N 1 2 312.373 1.372 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001295806354 916065142 /nfs/dbraw/zinc/06/51/42/916065142.db2.gz LKBWWVOWIUXRPU-HNNXBMFYSA-N 1 2 316.405 1.061 20 30 DDEDLO C[C@H](CCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1)NC(=O)C#CC1CC1 ZINC001295860352 916100981 /nfs/dbraw/zinc/10/09/81/916100981.db2.gz VXORNKJVWSCYGR-CHWSQXEVSA-N 1 2 316.405 1.013 20 30 DDEDLO C[C@H](CCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1)NC(=O)C#CC1CC1 ZINC001295860352 916100989 /nfs/dbraw/zinc/10/09/89/916100989.db2.gz VXORNKJVWSCYGR-CHWSQXEVSA-N 1 2 316.405 1.013 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)COc2cccnc2)C1 ZINC001375844583 917108485 /nfs/dbraw/zinc/10/84/85/917108485.db2.gz FXCVYPVXTUBJMQ-HNNXBMFYSA-N 1 2 309.797 1.794 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)COc2cccnc2)C1 ZINC001375844583 917108492 /nfs/dbraw/zinc/10/84/92/917108492.db2.gz FXCVYPVXTUBJMQ-HNNXBMFYSA-N 1 2 309.797 1.794 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H](C)OCc2ccncc2)C1 ZINC001377998513 923640735 /nfs/dbraw/zinc/64/07/35/923640735.db2.gz ZTASGPPGFIKTRG-CYBMUJFWSA-N 1 2 323.824 1.787 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)c2cc(C#N)cn2C)CC1 ZINC000081761047 192321707 /nfs/dbraw/zinc/32/17/07/192321707.db2.gz CFQBSDPKWXIZFN-AWEZNQCLSA-N 1 2 323.400 1.811 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OC[C@@H]2CCCS(=O)(=O)C2)cs1 ZINC000284060996 222613652 /nfs/dbraw/zinc/61/36/52/222613652.db2.gz JRIKLXZKXAMCJH-JTQLQIEISA-N 1 2 317.436 1.108 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C[C@@]2(CCOC2)O1 ZINC000331569491 529386035 /nfs/dbraw/zinc/38/60/35/529386035.db2.gz HEMAUTWMYYHVJS-HYVNUMGLSA-N 1 2 323.437 1.311 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C[C@@]2(CCOC2)O1 ZINC000331569491 529386037 /nfs/dbraw/zinc/38/60/37/529386037.db2.gz HEMAUTWMYYHVJS-HYVNUMGLSA-N 1 2 323.437 1.311 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[NH+]1CCC2(CC1)OCCO2 ZINC000083146554 185214435 /nfs/dbraw/zinc/21/44/35/185214435.db2.gz MMQQBECPLWQOQN-HNNXBMFYSA-N 1 2 316.357 1.611 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2nc(C)cc(C(C)C)n2)CC1 ZINC000186871131 539248407 /nfs/dbraw/zinc/24/84/07/539248407.db2.gz XBTUMXMJZGCCMX-UHFFFAOYSA-N 1 2 317.437 1.333 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@@H](NC(=O)N1CCNC(=O)C1)C2 ZINC000329257580 539302146 /nfs/dbraw/zinc/30/21/46/539302146.db2.gz FALYQEZIPWUFBM-LLVKDONJSA-N 1 2 319.409 1.682 20 30 DDEDLO CCC(CC)[C@H](C(=O)Nc1nc(C)n(C)n1)[NH+]1CCOCC1 ZINC000329279365 282258566 /nfs/dbraw/zinc/25/85/66/282258566.db2.gz MLBSCBLETNRNCH-CYBMUJFWSA-N 1 2 309.414 1.849 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccc(C#N)cc3)C[C@H]21 ZINC000328902357 529784625 /nfs/dbraw/zinc/78/46/25/529784625.db2.gz GMZKKCVTYNHIGU-IAGOWNOFSA-N 1 2 313.401 1.422 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccc(C#N)cc3)C[C@H]21 ZINC000328902357 529784626 /nfs/dbraw/zinc/78/46/26/529784626.db2.gz GMZKKCVTYNHIGU-IAGOWNOFSA-N 1 2 313.401 1.422 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(CC(=O)Nc3ccc4c(c3)OCO4)C[C@@H]21 ZINC000330362050 529791156 /nfs/dbraw/zinc/79/11/56/529791156.db2.gz ZBQFEQGQKUHULS-WFASDCNBSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(CC(=O)Nc3ccc4c(c3)OCO4)C[C@@H]21 ZINC000330362050 529791157 /nfs/dbraw/zinc/79/11/57/529791157.db2.gz ZBQFEQGQKUHULS-WFASDCNBSA-N 1 2 319.361 1.018 20 30 DDEDLO CN1CCO[C@H]2C[N@H+](CC(=O)Nc3ccc4c(c3)OCO4)C[C@@H]21 ZINC000330362050 529791158 /nfs/dbraw/zinc/79/11/58/529791158.db2.gz ZBQFEQGQKUHULS-WFASDCNBSA-N 1 2 319.361 1.018 20 30 DDEDLO CN1CCO[C@H]2C[N@@H+](CC(=O)Nc3ccc4c(c3)OCO4)C[C@@H]21 ZINC000330362050 529791160 /nfs/dbraw/zinc/79/11/60/529791160.db2.gz ZBQFEQGQKUHULS-WFASDCNBSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NCc1cccs1)CC(C)(C)C#N ZINC000433294572 529830442 /nfs/dbraw/zinc/83/04/42/529830442.db2.gz MTBXZLQFYGYZFH-UHFFFAOYSA-N 1 2 308.407 1.555 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NCc1cccs1)CC(C)(C)C#N ZINC000433294572 529830443 /nfs/dbraw/zinc/83/04/43/529830443.db2.gz MTBXZLQFYGYZFH-UHFFFAOYSA-N 1 2 308.407 1.555 20 30 DDEDLO CN(CC1CCOCC1)C([O-])=[NH+]C[C@H]1CCc2[nH+]ccn2C1 ZINC000329898442 529865982 /nfs/dbraw/zinc/86/59/82/529865982.db2.gz MESSDAWRCRFFFR-CQSZACIVSA-N 1 2 306.410 1.718 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CSc1nnnn1C1CCCC1 ZINC000414108495 529868624 /nfs/dbraw/zinc/86/86/24/529868624.db2.gz LUSNOFDUUICAFO-LBPRGKRZSA-N 1 2 310.427 1.087 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CSc1nnnn1C1CCCC1 ZINC000414108495 529868625 /nfs/dbraw/zinc/86/86/25/529868625.db2.gz LUSNOFDUUICAFO-LBPRGKRZSA-N 1 2 310.427 1.087 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C#N)n1C ZINC000451285084 529909502 /nfs/dbraw/zinc/90/95/02/529909502.db2.gz MGUOJGVTDMTIBN-UHFFFAOYSA-N 1 2 304.394 1.080 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C#N)n1C ZINC000451285084 529909503 /nfs/dbraw/zinc/90/95/03/529909503.db2.gz MGUOJGVTDMTIBN-UHFFFAOYSA-N 1 2 304.394 1.080 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)CC1 ZINC000615743356 362421974 /nfs/dbraw/zinc/42/19/74/362421974.db2.gz NAXVYOCEZBOREN-GJZGRUSLSA-N 1 2 315.421 1.138 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000615793769 362443025 /nfs/dbraw/zinc/44/30/25/362443025.db2.gz ZFKIIONCLFGABM-CABCVRRESA-N 1 2 317.437 1.103 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)CC(=O)Nc1cccc(C#N)c1 ZINC000279685770 215061610 /nfs/dbraw/zinc/06/16/10/215061610.db2.gz GZWVWNDZJIYXKR-CQSZACIVSA-N 1 2 316.405 1.149 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@H]2CCCN(C(C)C)C2=O)n1 ZINC000279728254 215097202 /nfs/dbraw/zinc/09/72/02/215097202.db2.gz MWPLIYZEQAFPDM-INIZCTEOSA-N 1 2 302.422 1.738 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@H]2CCCN(C(C)C)C2=O)n1 ZINC000279728254 215097203 /nfs/dbraw/zinc/09/72/03/215097203.db2.gz MWPLIYZEQAFPDM-INIZCTEOSA-N 1 2 302.422 1.738 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](CCn3cc(Cl)cn3)CC2)n1 ZINC000459403927 233165142 /nfs/dbraw/zinc/16/51/42/233165142.db2.gz QEOQDAXGLSFBIE-UHFFFAOYSA-N 1 2 316.796 1.625 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2ccc(F)cc2)CC1 ZINC000071853206 191030691 /nfs/dbraw/zinc/03/06/91/191030691.db2.gz HUGWXULVOXWFTD-UHFFFAOYSA-N 1 2 319.380 1.276 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2ccc(F)cc2)CC1 ZINC000071853206 191030694 /nfs/dbraw/zinc/03/06/94/191030694.db2.gz HUGWXULVOXWFTD-UHFFFAOYSA-N 1 2 319.380 1.276 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCCC[C@@H]2CO)c1 ZINC000147419216 186039667 /nfs/dbraw/zinc/03/96/67/186039667.db2.gz UMNYUAQSDFPABZ-CQSZACIVSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCCC[C@@H]2CO)c1 ZINC000147419216 186039669 /nfs/dbraw/zinc/03/96/69/186039669.db2.gz UMNYUAQSDFPABZ-CQSZACIVSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@@H+]3CCC[C@@](F)(CO)C3)C2=O)cc1 ZINC000287143229 219340463 /nfs/dbraw/zinc/34/04/63/219340463.db2.gz YIGNZTGACYNUQJ-WBVHZDCISA-N 1 2 317.364 1.460 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@H+]3CCC[C@@](F)(CO)C3)C2=O)cc1 ZINC000287143229 219340467 /nfs/dbraw/zinc/34/04/67/219340467.db2.gz YIGNZTGACYNUQJ-WBVHZDCISA-N 1 2 317.364 1.460 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccc[nH+]c2N2CCOCC2)[nH]1 ZINC000155780988 186112309 /nfs/dbraw/zinc/11/23/09/186112309.db2.gz RCEQJWCDRPYTSH-UHFFFAOYSA-N 1 2 311.345 1.048 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)C[C@H]1CN(CC)c2ccccc2O1 ZINC000016423419 352161134 /nfs/dbraw/zinc/16/11/34/352161134.db2.gz ANNSVFRDRRKXEM-AWEZNQCLSA-N 1 2 303.406 1.508 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)C[C@H]1CN(CC)c2ccccc2O1 ZINC000016423419 352161136 /nfs/dbraw/zinc/16/11/36/352161136.db2.gz ANNSVFRDRRKXEM-AWEZNQCLSA-N 1 2 303.406 1.508 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000029532106 352241099 /nfs/dbraw/zinc/24/10/99/352241099.db2.gz KJYPKBYQSDQCSO-ZDUSSCGKSA-N 1 2 318.396 1.734 20 30 DDEDLO CN(C)c1ccc(CNC(=O)COc2ccc(C#N)cc2)c[nH+]1 ZINC000046386265 352450911 /nfs/dbraw/zinc/45/09/11/352450911.db2.gz HUWSYPBDPLSGDC-UHFFFAOYSA-N 1 2 310.357 1.714 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1Cc2c(OC)ccc(OC)c2[C@H](O)C1 ZINC000053146926 352642177 /nfs/dbraw/zinc/64/21/77/352642177.db2.gz GDXMYLVBIJJVGC-WCQYABFASA-N 1 2 320.389 1.244 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1Cc2c(OC)ccc(OC)c2[C@H](O)C1 ZINC000053146926 352642179 /nfs/dbraw/zinc/64/21/79/352642179.db2.gz GDXMYLVBIJJVGC-WCQYABFASA-N 1 2 320.389 1.244 20 30 DDEDLO C#CCN(CC)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000056612915 352779222 /nfs/dbraw/zinc/77/92/22/352779222.db2.gz AYUJBAMRICNDFY-UHFFFAOYSA-N 1 2 302.378 1.083 20 30 DDEDLO Cc1nc(Nc2cnn(CC[NH+]3CCOCC3)c2)ccc1C#N ZINC000292096677 222835134 /nfs/dbraw/zinc/83/51/34/222835134.db2.gz YYVLJGYZHSPUIF-UHFFFAOYSA-N 1 2 312.377 1.534 20 30 DDEDLO C[C@@H](C#N)CN(C)CC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000064797545 352944201 /nfs/dbraw/zinc/94/42/01/352944201.db2.gz ZQZMJSNFDHKJOG-AWEZNQCLSA-N 1 2 320.462 1.484 20 30 DDEDLO CNS(=O)(=O)c1ccccc1N1CC[NH+](CCCC#N)CC1 ZINC000069080255 353143152 /nfs/dbraw/zinc/14/31/52/353143152.db2.gz AAOSHSSWJWYKAC-UHFFFAOYSA-N 1 2 322.434 1.020 20 30 DDEDLO N#CCc1ccccc1S(=O)(=O)NCCCn1cc[nH+]c1 ZINC000601282939 358477128 /nfs/dbraw/zinc/47/71/28/358477128.db2.gz JTWUHAHIRDOUDT-UHFFFAOYSA-N 1 2 304.375 1.318 20 30 DDEDLO CC[C@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000073647696 353264187 /nfs/dbraw/zinc/26/41/87/353264187.db2.gz QOKDSVXOTYITQE-KBPBESRZSA-N 1 2 315.421 1.378 20 30 DDEDLO CNS(=O)(=O)CCC[N@@H+]1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000076153702 353402053 /nfs/dbraw/zinc/40/20/53/353402053.db2.gz UFCOPLKPGZKMBO-JKSUJKDBSA-N 1 2 321.446 1.697 20 30 DDEDLO CNS(=O)(=O)CCC[N@H+]1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000076153702 353402056 /nfs/dbraw/zinc/40/20/56/353402056.db2.gz UFCOPLKPGZKMBO-JKSUJKDBSA-N 1 2 321.446 1.697 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[C@@H](CN2CC[NH+](C)CC2)C1 ZINC000077451461 353469586 /nfs/dbraw/zinc/46/95/86/353469586.db2.gz RAOJMTIRLWNVTA-SJORKVTESA-N 1 2 323.481 1.454 20 30 DDEDLO CC[N@H+](CCC#N)C[C@H](O)COc1ccc(C(C)=O)cc1OC ZINC000081039188 353647712 /nfs/dbraw/zinc/64/77/12/353647712.db2.gz JTEALOUPAYRGCO-HNNXBMFYSA-N 1 2 320.389 1.873 20 30 DDEDLO CC[N@@H+](CCC#N)C[C@H](O)COc1ccc(C(C)=O)cc1OC ZINC000081039188 353647715 /nfs/dbraw/zinc/64/77/15/353647715.db2.gz JTEALOUPAYRGCO-HNNXBMFYSA-N 1 2 320.389 1.873 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@](O)(C(F)(F)F)C1 ZINC000081052490 353649256 /nfs/dbraw/zinc/64/92/56/353649256.db2.gz MXWKFJXFUFCOQA-NWDGAFQWSA-N 1 2 307.316 1.040 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@](O)(C(F)(F)F)C1 ZINC000081052490 353649259 /nfs/dbraw/zinc/64/92/59/353649259.db2.gz MXWKFJXFUFCOQA-NWDGAFQWSA-N 1 2 307.316 1.040 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)N[C@@H](C#N)c2ccc(C(C)(C)C)cc2)C1 ZINC000081368490 353667246 /nfs/dbraw/zinc/66/72/46/353667246.db2.gz HHEWBYDXJYQXJJ-HOTGVXAUSA-N 1 2 315.417 1.996 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)N[C@@H](C#N)c2ccc(C(C)(C)C)cc2)C1 ZINC000081368490 353667247 /nfs/dbraw/zinc/66/72/47/353667247.db2.gz HHEWBYDXJYQXJJ-HOTGVXAUSA-N 1 2 315.417 1.996 20 30 DDEDLO C#CCN(C(=O)Nc1cc[nH+]c(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000091187218 353815682 /nfs/dbraw/zinc/81/56/82/353815682.db2.gz DHEWQXXBBICPSN-ZDUSSCGKSA-N 1 2 307.375 1.044 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCC(O)(C(F)(F)F)CC1 ZINC000089971060 353787015 /nfs/dbraw/zinc/78/70/15/353787015.db2.gz BYWRETSQNCYTHN-UHFFFAOYSA-N 1 2 306.328 1.576 20 30 DDEDLO C[C@@H](C(=O)N[C@@]1(C#N)CCSC1)[N@@H+]1CCc2ccccc2C1 ZINC000111566204 353966773 /nfs/dbraw/zinc/96/67/73/353966773.db2.gz XCOCPKGVVBXXFC-SUMWQHHRSA-N 1 2 315.442 1.949 20 30 DDEDLO C[C@@H](C(=O)N[C@@]1(C#N)CCSC1)[N@H+]1CCc2ccccc2C1 ZINC000111566204 353966775 /nfs/dbraw/zinc/96/67/75/353966775.db2.gz XCOCPKGVVBXXFC-SUMWQHHRSA-N 1 2 315.442 1.949 20 30 DDEDLO C[C@H](C#N)CNC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000182562326 354273068 /nfs/dbraw/zinc/27/30/68/354273068.db2.gz PZWDVQOLVFMENX-GDBMZVCRSA-N 1 2 316.405 1.519 20 30 DDEDLO C[C@H]([NH2+]CCC(=O)N(C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000185186921 354280934 /nfs/dbraw/zinc/28/09/34/354280934.db2.gz KPKOBYZPHJEDBR-NSHDSACASA-N 1 2 307.398 1.780 20 30 DDEDLO COc1ccc(SCC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000578831745 354712435 /nfs/dbraw/zinc/71/24/35/354712435.db2.gz LADCCVWNPFYVQV-HNNXBMFYSA-N 1 2 307.419 1.747 20 30 DDEDLO N#Cc1cnn2cc(C[NH+]3CCN(c4cccs4)CC3)cnc12 ZINC000584720713 354752296 /nfs/dbraw/zinc/75/22/96/354752296.db2.gz PQMYIJZUCULRLV-UHFFFAOYSA-N 1 2 324.413 1.985 20 30 DDEDLO O=C(NCCn1cnnc1C1CC1)C1([NH+]2CCOCC2)CCC1 ZINC000329100891 222932649 /nfs/dbraw/zinc/93/26/49/222932649.db2.gz MSVOISVGTBNLSI-UHFFFAOYSA-N 1 2 319.409 1.367 20 30 DDEDLO N#CC1(C(=O)NCc2nc(C[NH+]3CCCCC3)no2)CCC1 ZINC000588825064 354939576 /nfs/dbraw/zinc/93/95/76/354939576.db2.gz WCSGXVIVGPHDGC-UHFFFAOYSA-N 1 2 303.366 1.366 20 30 DDEDLO N#CC1(CS(=O)(=O)NCC[NH+]2Cc3ccccc3C2)CCC1 ZINC000589216784 354974768 /nfs/dbraw/zinc/97/47/68/354974768.db2.gz LRCNSKOPXRVOAZ-UHFFFAOYSA-N 1 2 319.430 1.615 20 30 DDEDLO N#CC1(CC(=O)N[C@@H](C[NH+]2CCOCC2)c2ccccc2)CC1 ZINC000590133069 355053174 /nfs/dbraw/zinc/05/31/74/355053174.db2.gz JKAUKPGKFCVDFK-INIZCTEOSA-N 1 2 313.401 1.870 20 30 DDEDLO CC(C)[C@@H]1C[C@H](NC(=O)N(C)CC[NH+]2CCOCC2)CCO1 ZINC000329142337 222938955 /nfs/dbraw/zinc/93/89/55/222938955.db2.gz LDTGALMXCUWMLB-CABCVRRESA-N 1 2 313.442 1.368 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)Nc1snc(C)c1C#N)CC2 ZINC000590966372 355225712 /nfs/dbraw/zinc/22/57/12/355225712.db2.gz DSHINTUANJDDBD-UHFFFAOYSA-N 1 2 302.363 1.876 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)N[C@H]2CCCc3ccccc32)C1 ZINC000592148063 355513743 /nfs/dbraw/zinc/51/37/43/355513743.db2.gz VPJDZMZJLVHZGL-WMZOPIPTSA-N 1 2 313.401 1.531 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)N[C@H]2CCCc3ccccc32)C1 ZINC000592148063 355513748 /nfs/dbraw/zinc/51/37/48/355513748.db2.gz VPJDZMZJLVHZGL-WMZOPIPTSA-N 1 2 313.401 1.531 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000592149163 355516166 /nfs/dbraw/zinc/51/61/66/355516166.db2.gz JXZDZSPDFZUWGB-OAHLLOKOSA-N 1 2 320.299 1.865 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000592149163 355516169 /nfs/dbraw/zinc/51/61/69/355516169.db2.gz JXZDZSPDFZUWGB-OAHLLOKOSA-N 1 2 320.299 1.865 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000592149834 355516283 /nfs/dbraw/zinc/51/62/83/355516283.db2.gz XPCJXGJAHRDRRY-OAHLLOKOSA-N 1 2 318.764 1.501 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000592149834 355516288 /nfs/dbraw/zinc/51/62/88/355516288.db2.gz XPCJXGJAHRDRRY-OAHLLOKOSA-N 1 2 318.764 1.501 20 30 DDEDLO COCC[N@@H+]1CCN(C(=O)c2ccc(C#N)s2)C(C)(C)C1 ZINC000593340129 355858269 /nfs/dbraw/zinc/85/82/69/355858269.db2.gz VLYUKOSBYJBHHL-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO COCC[N@H+]1CCN(C(=O)c2ccc(C#N)s2)C(C)(C)C1 ZINC000593340129 355858273 /nfs/dbraw/zinc/85/82/73/355858273.db2.gz VLYUKOSBYJBHHL-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO CC[C@H](C#N)C(=O)NCC[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000593404423 355875148 /nfs/dbraw/zinc/87/51/48/355875148.db2.gz VOQIHPDUKJTTMR-CQSZACIVSA-N 1 2 318.396 1.614 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(C#N)c(OC)c2)C[C@H](C)O1 ZINC000593682322 355965828 /nfs/dbraw/zinc/96/58/28/355965828.db2.gz MVYKOKAVFRIEDL-NHYWBVRUSA-N 1 2 304.346 1.329 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(C#N)c(OC)c2)C[C@H](C)O1 ZINC000593682322 355965830 /nfs/dbraw/zinc/96/58/30/355965830.db2.gz MVYKOKAVFRIEDL-NHYWBVRUSA-N 1 2 304.346 1.329 20 30 DDEDLO CC1(C)CN(Cc2c[nH+]c3ccc(C#N)cn23)[C@@H]2COC[C@H]2O1 ZINC000593696861 355970680 /nfs/dbraw/zinc/97/06/80/355970680.db2.gz HJRFVKWFVLXMBT-HUUCEWRRSA-N 1 2 312.373 1.584 20 30 DDEDLO Cc1c2ccccc2c(=O)oc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000594024504 356093229 /nfs/dbraw/zinc/09/32/29/356093229.db2.gz LBQZEOWXLUTYSV-KRWDZBQOSA-N 1 2 313.357 1.675 20 30 DDEDLO COC(=O)c1ccc(NCc2ccc(N(C)C)[nH+]c2)c(C#N)n1 ZINC000594544635 356246280 /nfs/dbraw/zinc/24/62/80/356246280.db2.gz MAFOUCVILXKXSV-UHFFFAOYSA-N 1 2 311.345 1.813 20 30 DDEDLO CC(C)(NC(=O)NCC[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000594687874 356284535 /nfs/dbraw/zinc/28/45/35/356284535.db2.gz VAMJWBPQFOPNEB-UHFFFAOYSA-N 1 2 316.405 1.425 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](CC#N)C2)c(C)[nH+]1 ZINC000595086831 356380868 /nfs/dbraw/zinc/38/08/68/356380868.db2.gz PDVOZSTYFAICEY-ZDUSSCGKSA-N 1 2 300.362 1.789 20 30 DDEDLO CCc1nnc([C@@H](C)NC(=O)C2([NH+]3CCOCC3)CCC2)[nH]1 ZINC000329478072 222983157 /nfs/dbraw/zinc/98/31/57/222983157.db2.gz UQTSYJVMSGUKKD-LLVKDONJSA-N 1 2 307.398 1.640 20 30 DDEDLO CCN1OC[C@@H]([NH+]2CCC(Oc3ccc(C#N)cc3)CC2)C1=O ZINC000595305231 356441073 /nfs/dbraw/zinc/44/10/73/356441073.db2.gz YXXXWHISVAIMRB-MRXNPFEDSA-N 1 2 315.373 1.564 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[N@@H+]3CCCC[C@@H]3C2)s1 ZINC000230343080 283185205 /nfs/dbraw/zinc/18/52/05/283185205.db2.gz ZXBZQOKHLQZGMV-LLVKDONJSA-N 1 2 311.432 1.479 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[N@H+]3CCCC[C@@H]3C2)s1 ZINC000230343080 283185207 /nfs/dbraw/zinc/18/52/07/283185207.db2.gz ZXBZQOKHLQZGMV-LLVKDONJSA-N 1 2 311.432 1.479 20 30 DDEDLO C[C@H](NC(=O)C[N@@H+]1CCC[C@H](CC#N)C1)C(=O)N1CCCCC1 ZINC000595612593 356576991 /nfs/dbraw/zinc/57/69/91/356576991.db2.gz YGEUVRVBPCYELP-LSDHHAIUSA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@H](NC(=O)C[N@H+]1CCC[C@H](CC#N)C1)C(=O)N1CCCCC1 ZINC000595612593 356576992 /nfs/dbraw/zinc/57/69/92/356576992.db2.gz YGEUVRVBPCYELP-LSDHHAIUSA-N 1 2 320.437 1.129 20 30 DDEDLO COC(=O)c1cccc(NC(=O)C[N@@H+]2CCC[C@@H](CC#N)C2)n1 ZINC000595623131 356581783 /nfs/dbraw/zinc/58/17/83/356581783.db2.gz IGSRKQJJNHCXIV-LBPRGKRZSA-N 1 2 316.361 1.432 20 30 DDEDLO COC(=O)c1cccc(NC(=O)C[N@H+]2CCC[C@@H](CC#N)C2)n1 ZINC000595623131 356581785 /nfs/dbraw/zinc/58/17/85/356581785.db2.gz IGSRKQJJNHCXIV-LBPRGKRZSA-N 1 2 316.361 1.432 20 30 DDEDLO C[C@H]([NH2+]CC(=O)NCc1ccc(C#N)cc1)c1cscn1 ZINC000595667825 356600265 /nfs/dbraw/zinc/60/02/65/356600265.db2.gz JNNILBGSFAYXKB-NSHDSACASA-N 1 2 300.387 1.982 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CC[C@H]2NC(=O)CC[C@H]2C1 ZINC000595729210 356625436 /nfs/dbraw/zinc/62/54/36/356625436.db2.gz RMKPHWGFGJPCQQ-GXTWGEPZSA-N 1 2 314.345 1.567 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CC[C@H]2NC(=O)CC[C@H]2C1 ZINC000595729210 356625438 /nfs/dbraw/zinc/62/54/38/356625438.db2.gz RMKPHWGFGJPCQQ-GXTWGEPZSA-N 1 2 314.345 1.567 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@H]2COC[C@]2(C)C1 ZINC000595755642 356636954 /nfs/dbraw/zinc/63/69/54/356636954.db2.gz BWCSPHMTFRPCKC-ZOBUZTSGSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@H]2COC[C@]2(C)C1 ZINC000595755642 356636957 /nfs/dbraw/zinc/63/69/57/356636957.db2.gz BWCSPHMTFRPCKC-ZOBUZTSGSA-N 1 2 300.358 1.741 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NC1CCCCC1)[N@@H+]1CC[C@@](C)(C#N)C1 ZINC000595837614 356672386 /nfs/dbraw/zinc/67/23/86/356672386.db2.gz KEBLRGFTPHXRMS-LRDDRELGSA-N 1 2 306.410 1.769 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NC1CCCCC1)[N@H+]1CC[C@@](C)(C#N)C1 ZINC000595837614 356672390 /nfs/dbraw/zinc/67/23/90/356672390.db2.gz KEBLRGFTPHXRMS-LRDDRELGSA-N 1 2 306.410 1.769 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@H](C)[C@](C)(CO)C2)c(C#N)c1C ZINC000595874406 356687720 /nfs/dbraw/zinc/68/77/20/356687720.db2.gz OHRUKPGFIWFTLV-QFYYESIMSA-N 1 2 305.378 1.657 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@H](C)[C@](C)(CO)C2)c(C#N)c1C ZINC000595874406 356687722 /nfs/dbraw/zinc/68/77/22/356687722.db2.gz OHRUKPGFIWFTLV-QFYYESIMSA-N 1 2 305.378 1.657 20 30 DDEDLO C[C@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@]1(C)CO ZINC000595877399 356688335 /nfs/dbraw/zinc/68/83/35/356688335.db2.gz TWDLVFRHJIIUDZ-XJKSGUPXSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@]1(C)CO ZINC000595877399 356688336 /nfs/dbraw/zinc/68/83/36/356688336.db2.gz TWDLVFRHJIIUDZ-XJKSGUPXSA-N 1 2 322.430 1.282 20 30 DDEDLO Cc1cn2ccc(NC(=O)NC3CCN(CC#N)CC3)cc2[nH+]1 ZINC000597127194 357067924 /nfs/dbraw/zinc/06/79/24/357067924.db2.gz MYWOGGWZSHOVLQ-UHFFFAOYSA-N 1 2 312.377 1.752 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000597709321 357296120 /nfs/dbraw/zinc/29/61/20/357296120.db2.gz HFSWHCOIWFVRDU-AWEZNQCLSA-N 1 2 302.378 1.132 20 30 DDEDLO Cn1ncc2c1CCC[C@@H]2[NH+]=C([O-])N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000329597635 223000540 /nfs/dbraw/zinc/00/05/40/223000540.db2.gz JBLOPPCHPAAXOP-PWSUYJOCSA-N 1 2 314.393 1.582 20 30 DDEDLO Cn1ncc2c1CCC[C@@H]2[NH+]=C([O-])N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000329597635 223000543 /nfs/dbraw/zinc/00/05/43/223000543.db2.gz JBLOPPCHPAAXOP-PWSUYJOCSA-N 1 2 314.393 1.582 20 30 DDEDLO CO[C@@H]1C[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C[C@@H]1OC ZINC000276837048 213123875 /nfs/dbraw/zinc/12/38/75/213123875.db2.gz HHUIBCZTNIPBMG-UPJWGTAASA-N 1 2 321.402 1.071 20 30 DDEDLO CO[C@@H]1C[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C[C@@H]1OC ZINC000276837048 213123877 /nfs/dbraw/zinc/12/38/77/213123877.db2.gz HHUIBCZTNIPBMG-UPJWGTAASA-N 1 2 321.402 1.071 20 30 DDEDLO Cc1csc([C@@H](C)CNC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)n1 ZINC000329618879 223003061 /nfs/dbraw/zinc/00/30/61/223003061.db2.gz QQXQDVCBJMGVLQ-WCFLWFBJSA-N 1 2 324.450 1.484 20 30 DDEDLO Cc1csc([C@@H](C)CNC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)n1 ZINC000329618879 223003066 /nfs/dbraw/zinc/00/30/66/223003066.db2.gz QQXQDVCBJMGVLQ-WCFLWFBJSA-N 1 2 324.450 1.484 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N[C@@H]2Cc3c[nH+]cn3C2)cc1 ZINC000598949342 357771808 /nfs/dbraw/zinc/77/18/08/357771808.db2.gz YGZIYCCQAQCTJR-HUUCEWRRSA-N 1 2 305.341 1.178 20 30 DDEDLO C[C@H](CC(=O)N(CCC#N)CC[NH+]1CCOCC1)C(F)(F)F ZINC000599230803 357856958 /nfs/dbraw/zinc/85/69/58/357856958.db2.gz WUQLDCPTHOHAON-GFCCVEGCSA-N 1 2 321.343 1.649 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)N(C)C(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000599192818 357846336 /nfs/dbraw/zinc/84/63/36/357846336.db2.gz IGJRZPLHKOEPDR-XJKSGUPXSA-N 1 2 316.405 1.591 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)N(C)C(=O)NC[C@@H]1COCC[N@H+]1C ZINC000599192818 357846337 /nfs/dbraw/zinc/84/63/37/357846337.db2.gz IGJRZPLHKOEPDR-XJKSGUPXSA-N 1 2 316.405 1.591 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCO[C@H](c3ccco3)C2)CCOCC1 ZINC000599317758 357890922 /nfs/dbraw/zinc/89/09/22/357890922.db2.gz XKORMWDRUJWELT-ZBFHGGJFSA-N 1 2 320.389 1.724 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCO[C@H](c3ccco3)C2)CCOCC1 ZINC000599317758 357890928 /nfs/dbraw/zinc/89/09/28/357890928.db2.gz XKORMWDRUJWELT-ZBFHGGJFSA-N 1 2 320.389 1.724 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(CC(=O)NC3CC3)CC2)cc1C#N ZINC000599253287 357863066 /nfs/dbraw/zinc/86/30/66/357863066.db2.gz GWTWUGJNVRJLNF-UHFFFAOYSA-N 1 2 312.417 1.263 20 30 DDEDLO Cc1ccc(CN2CC[NH+](CC(=O)NC3CC3)CC2)cc1C#N ZINC000599253287 357863073 /nfs/dbraw/zinc/86/30/73/357863073.db2.gz GWTWUGJNVRJLNF-UHFFFAOYSA-N 1 2 312.417 1.263 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C[C@H](O)COc1ccccc1C#N)CC2 ZINC000599357603 357907621 /nfs/dbraw/zinc/90/76/21/357907621.db2.gz UIHYCFCFCCUDOI-HNNXBMFYSA-N 1 2 312.373 1.319 20 30 DDEDLO COC[C@@]1(C)C[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)CCO1 ZINC000599691336 358026693 /nfs/dbraw/zinc/02/66/93/358026693.db2.gz FEUJNQAYERZOJF-GOEBONIOSA-N 1 2 323.437 1.455 20 30 DDEDLO COC[C@@]1(C)C[N@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)CCO1 ZINC000599691336 358026694 /nfs/dbraw/zinc/02/66/94/358026694.db2.gz FEUJNQAYERZOJF-GOEBONIOSA-N 1 2 323.437 1.455 20 30 DDEDLO COc1cc(CNC(=O)[C@H]2CCn3cc(C)[nH+]c3C2)ccc1C#N ZINC000599961057 358090996 /nfs/dbraw/zinc/09/09/96/358090996.db2.gz TWNDAFXXOXEBLQ-AWEZNQCLSA-N 1 2 324.384 1.951 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3nccnn3)CC2)ccc1C#N ZINC000600185669 358150052 /nfs/dbraw/zinc/15/00/52/358150052.db2.gz UUFKDYJHKPTHLP-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)C(C)(C)Oc1ccc(C#N)cc1 ZINC000600421312 358216638 /nfs/dbraw/zinc/21/66/38/358216638.db2.gz MMAXYRVQDBQTAY-AWEZNQCLSA-N 1 2 317.389 1.162 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)C(C)(C)Oc1ccc(C#N)cc1 ZINC000600421312 358216642 /nfs/dbraw/zinc/21/66/42/358216642.db2.gz MMAXYRVQDBQTAY-AWEZNQCLSA-N 1 2 317.389 1.162 20 30 DDEDLO N#Cc1cccc(C2([NH2+]Cc3cn(C[C@@H]4CCOC4)nn3)CC2)c1 ZINC000601113972 358407844 /nfs/dbraw/zinc/40/78/44/358407844.db2.gz KZMQSAOSQOLSTO-HNNXBMFYSA-N 1 2 323.400 1.965 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)c1ccccc1CC#N ZINC000601036192 358389768 /nfs/dbraw/zinc/38/97/68/358389768.db2.gz UKMZYHKLEURTNG-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)c1ccccc1CC#N ZINC000601036192 358389773 /nfs/dbraw/zinc/38/97/73/358389773.db2.gz UKMZYHKLEURTNG-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2nnc(C3CCOCC3)[nH]2)cc1F ZINC000601147421 358421260 /nfs/dbraw/zinc/42/12/60/358421260.db2.gz NBEPIEJJCUJKCJ-UHFFFAOYSA-N 1 2 315.352 1.999 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2nnc(C3CCOCC3)[nH]2)s1 ZINC000601147252 358421620 /nfs/dbraw/zinc/42/16/20/358421620.db2.gz KEPUYYBRNVCBGP-UHFFFAOYSA-N 1 2 303.391 1.922 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)NCC(C)(C)n1cc[nH+]c1 ZINC000601527544 358589072 /nfs/dbraw/zinc/58/90/72/358589072.db2.gz VQHUFJPUSKXZTQ-UHFFFAOYSA-N 1 2 318.402 1.777 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCO[C@H](C(F)F)CC1)C1CC1 ZINC000601978094 358763704 /nfs/dbraw/zinc/76/37/04/358763704.db2.gz XICPOQFYPSTISR-FZMZJTMJSA-N 1 2 301.337 1.151 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCO[C@H](C(F)F)CC1)C1CC1 ZINC000601978094 358763706 /nfs/dbraw/zinc/76/37/06/358763706.db2.gz XICPOQFYPSTISR-FZMZJTMJSA-N 1 2 301.337 1.151 20 30 DDEDLO Cn1ccnc1[C@@H](C1CC1)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000602433791 358973742 /nfs/dbraw/zinc/97/37/42/358973742.db2.gz CKRBGNXHELORKO-QGZVFWFLSA-N 1 2 322.416 1.960 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(c3ccc([N+](=O)[O-])nc3)CC2)n1 ZINC000602437993 358975437 /nfs/dbraw/zinc/97/54/37/358975437.db2.gz FXHCCZVNSAQDRD-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO Cc1ccccc1CO[C@@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000602331157 358933975 /nfs/dbraw/zinc/93/39/75/358933975.db2.gz AQIFLTZVXFROCC-YOEHRIQHSA-N 1 2 303.406 1.860 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)[NH+]1CCN(CC(F)(F)CO)CC1 ZINC000602875111 359258666 /nfs/dbraw/zinc/25/86/66/359258666.db2.gz LKFMZXOYMSDGEN-ZDUSSCGKSA-N 1 2 309.360 1.864 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)N(C)c2ccccc2)C[C@H](C)N1CC#N ZINC000602857140 359243575 /nfs/dbraw/zinc/24/35/75/359243575.db2.gz OXPMVJNLAJABQS-GJZGRUSLSA-N 1 2 300.406 1.568 20 30 DDEDLO CNC(=O)c1cc(O[C@@H]2CCC[N@H+](CC3(C#N)CC3)C2)ccn1 ZINC000602866492 359249771 /nfs/dbraw/zinc/24/97/71/359249771.db2.gz VQYCZEINKWKPLT-CQSZACIVSA-N 1 2 314.389 1.588 20 30 DDEDLO CNC(=O)c1cc(O[C@@H]2CCC[N@@H+](CC3(C#N)CC3)C2)ccn1 ZINC000602866492 359249775 /nfs/dbraw/zinc/24/97/75/359249775.db2.gz VQYCZEINKWKPLT-CQSZACIVSA-N 1 2 314.389 1.588 20 30 DDEDLO COc1ccccc1NC(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602867646 359252309 /nfs/dbraw/zinc/25/23/09/359252309.db2.gz ZVONWNTVNKSTCY-KBPBESRZSA-N 1 2 316.405 1.552 20 30 DDEDLO N#Cc1csc(Cn2cc(C[NH+]3CCSCC3)nn2)n1 ZINC000603249746 359514645 /nfs/dbraw/zinc/51/46/45/359514645.db2.gz TWOXTFNNHXGGLJ-UHFFFAOYSA-N 1 2 306.420 1.203 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc(C(=O)OC)oc2C)nn1 ZINC000603220268 359492026 /nfs/dbraw/zinc/49/20/26/359492026.db2.gz WTAWSDAZGBHEHB-UHFFFAOYSA-N 1 2 302.334 1.127 20 30 DDEDLO Cc1cn2cc(NC(=O)NC3CCN(CC#N)CC3)ccc2[nH+]1 ZINC000610018734 360374944 /nfs/dbraw/zinc/37/49/44/360374944.db2.gz MTCQYSDJSNAFQD-UHFFFAOYSA-N 1 2 312.377 1.752 20 30 DDEDLO C[C@](C#N)(NC(=O)C[NH+]1CC(c2nc3ccccc3[nH]2)C1)C1CC1 ZINC000610701317 360510124 /nfs/dbraw/zinc/51/01/24/360510124.db2.gz TXJYQKVGRXSIGI-GOSISDBHSA-N 1 2 323.400 1.771 20 30 DDEDLO COCC(=O)NC1CC[NH+](Cc2cc(F)ccc2C#N)CC1 ZINC000610728643 360519592 /nfs/dbraw/zinc/51/95/92/360519592.db2.gz FUAJWENYPASGIA-UHFFFAOYSA-N 1 2 305.353 1.424 20 30 DDEDLO C[C@H](CNC(=O)Cn1cc2ccccc2n1)[NH+]1CCOCC1 ZINC000330065965 223070044 /nfs/dbraw/zinc/07/00/44/223070044.db2.gz SKKMUPRMRWYGJR-CYBMUJFWSA-N 1 2 302.378 1.714 20 30 DDEDLO Cc1[nH+]c[nH]c1CNC(=O)[C@@H]1CSCN1C(=O)C1CCC1 ZINC000330033656 223067384 /nfs/dbraw/zinc/06/73/84/223067384.db2.gz AKITWGBFIPXDED-LBPRGKRZSA-N 1 2 308.407 1.876 20 30 DDEDLO CCc1nc(CN2CC[NH+](Cc3ccnc(C#N)c3)CC2)no1 ZINC000610994693 360595152 /nfs/dbraw/zinc/59/51/52/360595152.db2.gz IZDAPRNWKCOBKL-UHFFFAOYSA-N 1 2 312.377 1.216 20 30 DDEDLO N#CCCN1CC[NH+]([C@@H](C(=O)NC2CC2)c2ccccc2)CC1 ZINC000611174098 360646525 /nfs/dbraw/zinc/64/65/25/360646525.db2.gz VXSVZXAQWAWEDY-QGZVFWFLSA-N 1 2 312.417 1.538 20 30 DDEDLO CC1CCC(N(C)C(=O)C[NH+]2CCN(CCC#N)CC2)CC1 ZINC000611176213 360649311 /nfs/dbraw/zinc/64/93/11/360649311.db2.gz WCISIZWSTQEMKY-UHFFFAOYSA-N 1 2 306.454 1.555 20 30 DDEDLO Cc1ccc(C#N)nc1NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000611399358 360711938 /nfs/dbraw/zinc/71/19/38/360711938.db2.gz LGCQFQUBFYMENK-MRXNPFEDSA-N 1 2 318.446 1.882 20 30 DDEDLO COCC1(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)CC1 ZINC000611508844 360742393 /nfs/dbraw/zinc/74/23/93/360742393.db2.gz DYHOKRCRUSWMAC-UHFFFAOYSA-N 1 2 313.401 1.629 20 30 DDEDLO O=C(NCc1nc(C(F)(F)F)n[nH]1)[C@@H]1CCn2cc[nH+]c2C1 ZINC000330146858 223079880 /nfs/dbraw/zinc/07/98/80/223079880.db2.gz IFPMKVXKVZHEEH-SSDOTTSWSA-N 1 2 314.271 1.739 20 30 DDEDLO O=C(NCc1cn2ccccc2[nH+]1)N1CC2(C1)CCOCC2 ZINC000330170456 223082590 /nfs/dbraw/zinc/08/25/90/223082590.db2.gz DSOSCGVQLCIFMP-UHFFFAOYSA-N 1 2 300.362 1.861 20 30 DDEDLO CSC1(CNC([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC000330199646 223085920 /nfs/dbraw/zinc/08/59/20/223085920.db2.gz VRRNDFBDRPEBOL-GFCCVEGCSA-N 1 2 324.450 1.614 20 30 DDEDLO CSC1(C[NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC000330199646 223085924 /nfs/dbraw/zinc/08/59/24/223085924.db2.gz VRRNDFBDRPEBOL-GFCCVEGCSA-N 1 2 324.450 1.614 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](C[C@@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000612162778 360937119 /nfs/dbraw/zinc/93/71/19/360937119.db2.gz QUTGRLLBCPLYRF-CZUORRHYSA-N 1 2 319.361 1.960 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](C[C@@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000612162778 360937122 /nfs/dbraw/zinc/93/71/22/360937122.db2.gz QUTGRLLBCPLYRF-CZUORRHYSA-N 1 2 319.361 1.960 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000612347425 360979832 /nfs/dbraw/zinc/97/98/32/360979832.db2.gz OMKDRZDKPGOWCR-CWRNSKLLSA-N 1 2 318.421 1.764 20 30 DDEDLO COCCN(C)C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000331175045 223191092 /nfs/dbraw/zinc/19/10/92/223191092.db2.gz FCHYDVZRVNEYBA-UHFFFAOYSA-N 1 2 308.382 1.350 20 30 DDEDLO CO[C@]1(C)C[C@@H](NC(=O)N2CCC(C#N)([NH+](C)C)CC2)C1(C)C ZINC000332446287 223213887 /nfs/dbraw/zinc/21/38/87/223213887.db2.gz MGBALHOJWQFDRK-CZUORRHYSA-N 1 2 322.453 1.819 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000613004995 361236010 /nfs/dbraw/zinc/23/60/10/361236010.db2.gz JRARCDXUQIYCDF-KBPBESRZSA-N 1 2 304.394 1.207 20 30 DDEDLO COc1cc(N2CC[NH+](Cc3ccnc(C#N)c3)CC2)ncn1 ZINC000613130694 361283045 /nfs/dbraw/zinc/28/30/45/361283045.db2.gz FXUZCGHRIQEITC-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO Cc1ccccc1CC(=O)N1CC[NH+](CCOCCC#N)CC1 ZINC000338946658 223264508 /nfs/dbraw/zinc/26/45/08/223264508.db2.gz YJYRKNSZVIVTKR-UHFFFAOYSA-N 1 2 315.417 1.612 20 30 DDEDLO N#CCc1cccc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)c1 ZINC000619477557 364029290 /nfs/dbraw/zinc/02/92/90/364029290.db2.gz GWNMSGSKIGNIKI-UHFFFAOYSA-N 1 2 319.430 1.469 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@@H](C(=O)Nc2cccc(C)n2)C1 ZINC000619719112 364129524 /nfs/dbraw/zinc/12/95/24/364129524.db2.gz WHCWFGLCVSMVDA-ZIAGYGMSSA-N 1 2 317.389 1.768 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@@H](C(=O)Nc2cccc(C)n2)C1 ZINC000619719112 364129528 /nfs/dbraw/zinc/12/95/28/364129528.db2.gz WHCWFGLCVSMVDA-ZIAGYGMSSA-N 1 2 317.389 1.768 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@H+]1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000344029809 223329934 /nfs/dbraw/zinc/32/99/34/223329934.db2.gz AWKHDXJAPHQILJ-KBPBESRZSA-N 1 2 324.450 1.224 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@@H+]1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000344029809 223329937 /nfs/dbraw/zinc/32/99/37/223329937.db2.gz AWKHDXJAPHQILJ-KBPBESRZSA-N 1 2 324.450 1.224 20 30 DDEDLO C[C@@H]1C[NH+]=C(N2CCN(C(=O)c3ccc(C#N)cc3)CC2)S1 ZINC000266038800 205049120 /nfs/dbraw/zinc/04/91/20/205049120.db2.gz AEDGROOMMOOHPV-GFCCVEGCSA-N 1 2 314.414 1.807 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1cc(C#N)ccn1)[NH+]1CCOCC1 ZINC000282989445 217373503 /nfs/dbraw/zinc/37/35/03/217373503.db2.gz NXNRIKPUVAPOAG-HNNXBMFYSA-N 1 2 302.378 1.040 20 30 DDEDLO CC(=O)N1CC[NH+](CCC(=O)Nc2sccc2C#N)CC1 ZINC000021364918 182272962 /nfs/dbraw/zinc/27/29/62/182272962.db2.gz LNPULSGZVCNFOO-UHFFFAOYSA-N 1 2 306.391 1.112 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(Cc2ccccc2C#N)CC1 ZINC000023250292 182354962 /nfs/dbraw/zinc/35/49/62/182354962.db2.gz LAGKYTMNUBYPCZ-UHFFFAOYSA-N 1 2 300.406 1.200 20 30 DDEDLO Cc1nsc(NC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)c1C#N ZINC000333901431 249144252 /nfs/dbraw/zinc/14/42/52/249144252.db2.gz SULNQGABXGPEAK-QMMMGPOBSA-N 1 2 302.363 1.725 20 30 DDEDLO Cc1nsc(NC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)c1C#N ZINC000333901431 249144254 /nfs/dbraw/zinc/14/42/54/249144254.db2.gz SULNQGABXGPEAK-QMMMGPOBSA-N 1 2 302.363 1.725 20 30 DDEDLO Cc1ccnc(CN2CC[NH+](Cc3ccc(C#N)cc3)CC2)n1 ZINC000336871451 249278693 /nfs/dbraw/zinc/27/86/93/249278693.db2.gz MEGNYLGATYWVDA-UHFFFAOYSA-N 1 2 307.401 1.975 20 30 DDEDLO Cc1sc(NC(=O)C[NH2+][C@@H](C)c2nncn2C)c(C#N)c1C ZINC000274044351 211302158 /nfs/dbraw/zinc/30/21/58/211302158.db2.gz OTJMSZCBGFCQBU-VIFPVBQESA-N 1 2 318.406 1.654 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000104774549 194036719 /nfs/dbraw/zinc/03/67/19/194036719.db2.gz JYWPCOSQUXAKEZ-QWHCGFSZSA-N 1 2 302.378 1.789 20 30 DDEDLO C=CCSCCNC(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000105202785 194085155 /nfs/dbraw/zinc/08/51/55/194085155.db2.gz AOOHLQALZHLJLP-OLZOCXBDSA-N 1 2 301.456 1.314 20 30 DDEDLO CNC(=O)NC[C@@H]1C[N@@H+]([C@H](C)c2cccc(C#N)c2)CCO1 ZINC000528630610 269696634 /nfs/dbraw/zinc/69/66/34/269696634.db2.gz TXHZRSYALYDILL-IUODEOHRSA-N 1 2 302.378 1.249 20 30 DDEDLO CNC(=O)NC[C@@H]1C[N@H+]([C@H](C)c2cccc(C#N)c2)CCO1 ZINC000528630610 269696635 /nfs/dbraw/zinc/69/66/35/269696635.db2.gz TXHZRSYALYDILL-IUODEOHRSA-N 1 2 302.378 1.249 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCOC3(CCCC3)C2)cc1 ZINC000269062751 207210976 /nfs/dbraw/zinc/21/09/76/207210976.db2.gz URTNYPNKLJUNJX-UHFFFAOYSA-N 1 2 313.401 1.819 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCOC3(CCCC3)C2)cc1 ZINC000269062751 207210980 /nfs/dbraw/zinc/21/09/80/207210980.db2.gz URTNYPNKLJUNJX-UHFFFAOYSA-N 1 2 313.401 1.819 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)NCc2sccc2C)CC1 ZINC000341991642 533108501 /nfs/dbraw/zinc/10/85/01/533108501.db2.gz OGXFEYQLXBGDDW-UHFFFAOYSA-N 1 2 321.446 1.925 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000341371269 533135073 /nfs/dbraw/zinc/13/50/73/533135073.db2.gz FNZQMKSQIDMKTR-VIFPVBQESA-N 1 2 303.288 1.746 20 30 DDEDLO COc1cc2c(cc1OC)C[N@H+](CCNC(=O)[C@H](C)C#N)CC2 ZINC000347039772 533169755 /nfs/dbraw/zinc/16/97/55/533169755.db2.gz QCSIVRRWUPHXGF-GFCCVEGCSA-N 1 2 317.389 1.338 20 30 DDEDLO COc1cc2c(cc1OC)C[N@@H+](CCNC(=O)[C@H](C)C#N)CC2 ZINC000347039772 533169763 /nfs/dbraw/zinc/16/97/63/533169763.db2.gz QCSIVRRWUPHXGF-GFCCVEGCSA-N 1 2 317.389 1.338 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2cnccn2)CC1 ZINC000120706726 195220537 /nfs/dbraw/zinc/22/05/37/195220537.db2.gz RBCYXXYRLUXTCI-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO Cc1cc(S(=O)(=O)NC[C@H](C)Cn2cc[nH+]c2)ccc1C#N ZINC000414471038 224342623 /nfs/dbraw/zinc/34/26/23/224342623.db2.gz QCGUDOPQDDOSDD-LBPRGKRZSA-N 1 2 318.402 1.678 20 30 DDEDLO CO[C@@H]1C[C@@H](c2nnc[nH]2)[N@H+](CCCC2(C#N)CCOCC2)C1 ZINC000331643094 533546162 /nfs/dbraw/zinc/54/61/62/533546162.db2.gz SJIRNZMFTLWFBL-KGLIPLIRSA-N 1 2 319.409 1.667 20 30 DDEDLO CO[C@@H]1C[C@@H](c2nnc[nH]2)[N@@H+](CCCC2(C#N)CCOCC2)C1 ZINC000331643094 533546166 /nfs/dbraw/zinc/54/61/66/533546166.db2.gz SJIRNZMFTLWFBL-KGLIPLIRSA-N 1 2 319.409 1.667 20 30 DDEDLO CC(C)N1CC[NH+](CCC(=O)Nc2cccc(C#N)c2)CC1 ZINC000075635411 406938170 /nfs/dbraw/zinc/93/81/70/406938170.db2.gz BRMZGPARRKZTGX-UHFFFAOYSA-N 1 2 300.406 1.913 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccc[nH+]c2N2CCOCC2)cc1 ZINC000031327108 406939804 /nfs/dbraw/zinc/93/98/04/406939804.db2.gz DLEFLNNDAWCIMJ-UHFFFAOYSA-N 1 2 322.368 1.720 20 30 DDEDLO C#CCNC(=O)N(CC)CC1CCN(c2cccc[nH+]2)CC1 ZINC000080658639 407072574 /nfs/dbraw/zinc/07/25/74/407072574.db2.gz KCTINQZQOXQCFG-UHFFFAOYSA-N 1 2 300.406 1.963 20 30 DDEDLO CCCCNC(=O)C[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000080907187 407073292 /nfs/dbraw/zinc/07/32/92/407073292.db2.gz KORYGXPGJFATNY-QGZVFWFLSA-N 1 2 314.433 1.785 20 30 DDEDLO COCCN1CC[N@H+](CCCOc2cccc(C#N)c2)CC1=O ZINC000091832407 407184924 /nfs/dbraw/zinc/18/49/24/407184924.db2.gz NNUMHUDWWHSWTL-UHFFFAOYSA-N 1 2 317.389 1.118 20 30 DDEDLO COCCN1CC[N@@H+](CCCOc2cccc(C#N)c2)CC1=O ZINC000091832407 407184927 /nfs/dbraw/zinc/18/49/27/407184927.db2.gz NNUMHUDWWHSWTL-UHFFFAOYSA-N 1 2 317.389 1.118 20 30 DDEDLO C=CC[N@@H+](CCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000066560848 407254311 /nfs/dbraw/zinc/25/43/11/407254311.db2.gz BBUNUTCKULFMPN-OAHLLOKOSA-N 1 2 324.402 1.812 20 30 DDEDLO C=CC[N@H+](CCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000066560848 407254312 /nfs/dbraw/zinc/25/43/12/407254312.db2.gz BBUNUTCKULFMPN-OAHLLOKOSA-N 1 2 324.402 1.812 20 30 DDEDLO CC(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000101553305 407310846 /nfs/dbraw/zinc/31/08/46/407310846.db2.gz UKXFLWLPOOGUPV-YOEHRIQHSA-N 1 2 322.453 1.277 20 30 DDEDLO CC(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000101553305 407310847 /nfs/dbraw/zinc/31/08/47/407310847.db2.gz UKXFLWLPOOGUPV-YOEHRIQHSA-N 1 2 322.453 1.277 20 30 DDEDLO N#Cc1ccc(C(=O)NC2CCN(c3cccc[nH+]3)CC2)nc1 ZINC000107450835 407375313 /nfs/dbraw/zinc/37/53/13/407375313.db2.gz VVDFZHQHOSXIOX-UHFFFAOYSA-N 1 2 307.357 1.747 20 30 DDEDLO CCN(CCC#N)C(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000111661797 407413898 /nfs/dbraw/zinc/41/38/98/407413898.db2.gz UOMPUMBPXDXZJJ-UHFFFAOYSA-N 1 2 301.390 1.895 20 30 DDEDLO CC(C)(C)C(=O)N1CC[NH+](C[C@@H](O)c2ccc(C#N)cc2)CC1 ZINC000127038818 407426487 /nfs/dbraw/zinc/42/64/87/407426487.db2.gz IFSNURDHFPBRMJ-MRXNPFEDSA-N 1 2 315.417 1.782 20 30 DDEDLO C#CCSCCNC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000265884129 407498676 /nfs/dbraw/zinc/49/86/76/407498676.db2.gz QESSELQIONISEF-UHFFFAOYSA-N 1 2 307.419 1.473 20 30 DDEDLO COC(=O)C[C@H]1C[N@H+](CCOc2ccc(C#N)cc2)CCO1 ZINC000178440592 407456664 /nfs/dbraw/zinc/45/66/64/407456664.db2.gz XDBXVIYWUVRJFU-HNNXBMFYSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)C[C@H]1C[N@@H+](CCOc2ccc(C#N)cc2)CCO1 ZINC000178440592 407456666 /nfs/dbraw/zinc/45/66/66/407456666.db2.gz XDBXVIYWUVRJFU-HNNXBMFYSA-N 1 2 304.346 1.201 20 30 DDEDLO C=CCSCCNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000178754661 407587834 /nfs/dbraw/zinc/58/78/34/407587834.db2.gz YOHHLUWLWUHXKE-ZDUSSCGKSA-N 1 2 306.435 1.879 20 30 DDEDLO C=CCCS(=O)(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000130480714 407691931 /nfs/dbraw/zinc/69/19/31/407691931.db2.gz RBXOGUFDIWLQKZ-UHFFFAOYSA-N 1 2 305.403 1.927 20 30 DDEDLO C[C@H]([NH2+][C@H](C(N)=O)c1ccc(C#N)cc1)C(=O)Nc1ccccc1 ZINC000115600140 407700876 /nfs/dbraw/zinc/70/08/76/407700876.db2.gz IQMIMQLQCGEMAN-LRDDRELGSA-N 1 2 322.368 1.701 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)C[N@H+]1CCOc1ccc(C#N)cc1 ZINC000116285480 407737584 /nfs/dbraw/zinc/73/75/84/407737584.db2.gz ANWWXAKXZNORLD-LSDHHAIUSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)C[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000116285480 407737590 /nfs/dbraw/zinc/73/75/90/407737590.db2.gz ANWWXAKXZNORLD-LSDHHAIUSA-N 1 2 304.346 1.199 20 30 DDEDLO N#Cc1ccc(CSCC(=O)NCCc2[nH]cc[nH+]2)cc1 ZINC000171757126 407762031 /nfs/dbraw/zinc/76/20/31/407762031.db2.gz DVEYKJJTRQQVGH-UHFFFAOYSA-N 1 2 300.387 1.873 20 30 DDEDLO CCN(CC#N)C(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000117766450 407856120 /nfs/dbraw/zinc/85/61/20/407856120.db2.gz NEVRJYGJYQYXAB-CQSZACIVSA-N 1 2 318.396 1.708 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCOC2(CCCCC2)C1 ZINC000173845965 407900546 /nfs/dbraw/zinc/90/05/46/407900546.db2.gz PTPAJYNCWJNGDP-ZDUSSCGKSA-N 1 2 309.410 1.422 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCOC2(CCCCC2)C1 ZINC000173845965 407900550 /nfs/dbraw/zinc/90/05/50/407900550.db2.gz PTPAJYNCWJNGDP-ZDUSSCGKSA-N 1 2 309.410 1.422 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@]2(CCOC2)C1 ZINC000153979281 407946126 /nfs/dbraw/zinc/94/61/26/407946126.db2.gz UVKFZMOXRXNNEA-RDJZCZTQSA-N 1 2 300.358 1.885 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@]2(CCOC2)C1 ZINC000153979281 407946129 /nfs/dbraw/zinc/94/61/29/407946129.db2.gz UVKFZMOXRXNNEA-RDJZCZTQSA-N 1 2 300.358 1.885 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000181161750 407958591 /nfs/dbraw/zinc/95/85/91/407958591.db2.gz DKBXRKUZUYKDJM-INIZCTEOSA-N 1 2 302.353 1.540 20 30 DDEDLO CN(C(=O)C[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1)C1CC1 ZINC000174574049 407966960 /nfs/dbraw/zinc/96/69/60/407966960.db2.gz HLZFAOYUYSFQCL-KRWDZBQOSA-N 1 2 312.417 1.490 20 30 DDEDLO C=C(C)COCCNC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000135041977 407968970 /nfs/dbraw/zinc/96/89/70/407968970.db2.gz LROVATMSNCBKDA-UHFFFAOYSA-N 1 2 306.410 1.654 20 30 DDEDLO CCS(=O)(=O)CC[NH+]1CCC(Oc2cccc(C#N)c2)CC1 ZINC000118397785 407914368 /nfs/dbraw/zinc/91/43/68/407914368.db2.gz XSJAKQUYYOCTNL-UHFFFAOYSA-N 1 2 322.430 1.836 20 30 DDEDLO CC(C)[C@@H](CNc1nccc(C#N)c1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000268494390 408070490 /nfs/dbraw/zinc/07/04/90/408070490.db2.gz ONCSAZRJDYSGTL-CYBMUJFWSA-N 1 2 319.365 1.630 20 30 DDEDLO CCc1nnc(N[C@H](C)C[NH+]2CCOCC2)c(C#N)c1CC ZINC000136430083 408107745 /nfs/dbraw/zinc/10/77/45/408107745.db2.gz KPPLUXLAUKOQTF-GFCCVEGCSA-N 1 2 303.410 1.606 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)NCc1cccc(C#N)c1 ZINC000155369813 408158321 /nfs/dbraw/zinc/15/83/21/408158321.db2.gz OOVTVLOYDYJWSZ-UHFFFAOYSA-N 1 2 310.313 1.217 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](CC)CC(=O)Nc1cccc(OC)c1 ZINC000155748310 408203972 /nfs/dbraw/zinc/20/39/72/408203972.db2.gz ZRYMRHMUOYNODF-CYBMUJFWSA-N 1 2 319.405 1.646 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](CC)CC(=O)Nc1cccc(OC)c1 ZINC000155748310 408203976 /nfs/dbraw/zinc/20/39/76/408203976.db2.gz ZRYMRHMUOYNODF-CYBMUJFWSA-N 1 2 319.405 1.646 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(F)c(C#N)c2)C[C@@H](C)[NH+]1C ZINC000135263332 162098121 /nfs/dbraw/zinc/09/81/21/162098121.db2.gz IYTDWAUUJWZSKS-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000139055590 162131051 /nfs/dbraw/zinc/13/10/51/162131051.db2.gz IHPFEOCUOOQORT-HUUCEWRRSA-N 1 2 315.373 1.360 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000139055590 162131053 /nfs/dbraw/zinc/13/10/53/162131053.db2.gz IHPFEOCUOOQORT-HUUCEWRRSA-N 1 2 315.373 1.360 20 30 DDEDLO N#Cc1ccc([C@@H](SCC[NH+]2CCOCC2)C(N)=O)cc1 ZINC000160066249 408455435 /nfs/dbraw/zinc/45/54/35/408455435.db2.gz IOUDHRPHENUHMT-CQSZACIVSA-N 1 2 305.403 1.150 20 30 DDEDLO C[C@H]1C[N@H+](Cc2cc(C#N)n(C)c2)CCN1CC(F)(F)F ZINC000191452172 408427689 /nfs/dbraw/zinc/42/76/89/408427689.db2.gz TZAOVVNUPXDIRM-NSHDSACASA-N 1 2 300.328 1.965 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2cc(C#N)n(C)c2)CCN1CC(F)(F)F ZINC000191452172 408427693 /nfs/dbraw/zinc/42/76/93/408427693.db2.gz TZAOVVNUPXDIRM-NSHDSACASA-N 1 2 300.328 1.965 20 30 DDEDLO CCN(CC#N)C(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000161549054 408616075 /nfs/dbraw/zinc/61/60/75/408616075.db2.gz ZXDAWSRQQAMHKL-UHFFFAOYSA-N 1 2 317.389 1.434 20 30 DDEDLO CCN(CC#N)C(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000161549054 408616079 /nfs/dbraw/zinc/61/60/79/408616079.db2.gz ZXDAWSRQQAMHKL-UHFFFAOYSA-N 1 2 317.389 1.434 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@H+](C)[C@H](C)CC#N)cc1 ZINC000161835786 408644313 /nfs/dbraw/zinc/64/43/13/408644313.db2.gz IIPHUSAETYSQQD-OCCSQVGLSA-N 1 2 306.362 1.447 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@@H+](C)[C@H](C)CC#N)cc1 ZINC000161835786 408644319 /nfs/dbraw/zinc/64/43/19/408644319.db2.gz IIPHUSAETYSQQD-OCCSQVGLSA-N 1 2 306.362 1.447 20 30 DDEDLO C[C@@H](C[S@](C)=O)[N@H+](C)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000184572830 408645809 /nfs/dbraw/zinc/64/58/09/408645809.db2.gz ASTLFFACGRDKPJ-SAHAZLINSA-N 1 2 318.402 1.807 20 30 DDEDLO C[C@@H](C[S@](C)=O)[N@@H+](C)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000184572830 408645814 /nfs/dbraw/zinc/64/58/14/408645814.db2.gz ASTLFFACGRDKPJ-SAHAZLINSA-N 1 2 318.402 1.807 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+]2CCOC[C@@]23CCOC3)cc1 ZINC000195015126 408808538 /nfs/dbraw/zinc/80/85/38/408808538.db2.gz GKVHYQTWHZBDEQ-YOEHRIQHSA-N 1 2 302.374 1.969 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+]2CCOC[C@@]23CCOC3)cc1 ZINC000195015126 408808540 /nfs/dbraw/zinc/80/85/40/408808540.db2.gz GKVHYQTWHZBDEQ-YOEHRIQHSA-N 1 2 302.374 1.969 20 30 DDEDLO C=Cn1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cn1 ZINC000194065780 408763117 /nfs/dbraw/zinc/76/31/17/408763117.db2.gz MTXKNRNPAKUHOT-ZDUSSCGKSA-N 1 2 309.377 1.356 20 30 DDEDLO C=Cn1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cn1 ZINC000194065780 408763119 /nfs/dbraw/zinc/76/31/19/408763119.db2.gz MTXKNRNPAKUHOT-ZDUSSCGKSA-N 1 2 309.377 1.356 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(CC#N)cc1 ZINC000285656755 408837405 /nfs/dbraw/zinc/83/74/05/408837405.db2.gz FAIMYDGQUKKRAF-RHSMWYFYSA-N 1 2 313.401 1.688 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)c2cccc(C#N)c2)CCO1 ZINC000276484939 408868796 /nfs/dbraw/zinc/86/87/96/408868796.db2.gz ZVFRQUCLDDAHQU-ZBFHGGJFSA-N 1 2 310.357 1.942 20 30 DDEDLO N#Cc1ccc([N+](=O)[O-])c(NCC2([NH+]3CCOCC3)CC2)c1 ZINC000277307051 408917407 /nfs/dbraw/zinc/91/74/07/408917407.db2.gz CBEKDWPRCAALQA-UHFFFAOYSA-N 1 2 302.334 1.743 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3nc(C)cs3)CC2)C1=O ZINC000282015832 408972003 /nfs/dbraw/zinc/97/20/03/408972003.db2.gz OTVLERRDXRCDKF-ZDUSSCGKSA-N 1 2 306.435 1.360 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@@H](O)COCc1cccc(OC)c1 ZINC000292096160 408979523 /nfs/dbraw/zinc/97/95/23/408979523.db2.gz FXWHKAKEMWBCEK-MRXNPFEDSA-N 1 2 304.390 1.974 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@@H](O)COCc1cccc(OC)c1 ZINC000292096160 408979525 /nfs/dbraw/zinc/97/95/25/408979525.db2.gz FXWHKAKEMWBCEK-MRXNPFEDSA-N 1 2 304.390 1.974 20 30 DDEDLO CC(C)COC(=O)C[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292159421 408991852 /nfs/dbraw/zinc/99/18/52/408991852.db2.gz KVPHHEPJIFDIDS-AWEZNQCLSA-N 1 2 303.362 1.606 20 30 DDEDLO CC(C)COC(=O)C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292159421 408991855 /nfs/dbraw/zinc/99/18/55/408991855.db2.gz KVPHHEPJIFDIDS-AWEZNQCLSA-N 1 2 303.362 1.606 20 30 DDEDLO C[N@H+](CCOCCO)CC(=O)Nc1ccccc1SCC#N ZINC000282308657 409031556 /nfs/dbraw/zinc/03/15/56/409031556.db2.gz GUKNCZVRPBVVHO-UHFFFAOYSA-N 1 2 323.418 1.181 20 30 DDEDLO C[N@@H+](CCOCCO)CC(=O)Nc1ccccc1SCC#N ZINC000282308657 409031559 /nfs/dbraw/zinc/03/15/59/409031559.db2.gz GUKNCZVRPBVVHO-UHFFFAOYSA-N 1 2 323.418 1.181 20 30 DDEDLO N#CCCOCC[N@H+]1CCOC[C@@H]1C[C@@H](O)c1ccccc1 ZINC000292748229 409040496 /nfs/dbraw/zinc/04/04/96/409040496.db2.gz HVDIXYCQTQUFTP-DLBZAZTESA-N 1 2 304.390 1.741 20 30 DDEDLO N#CCCOCC[N@@H+]1CCOC[C@@H]1C[C@@H](O)c1ccccc1 ZINC000292748229 409040499 /nfs/dbraw/zinc/04/04/99/409040499.db2.gz HVDIXYCQTQUFTP-DLBZAZTESA-N 1 2 304.390 1.741 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000277995048 409047138 /nfs/dbraw/zinc/04/71/38/409047138.db2.gz JJIXIFPTUZIDSK-UHFFFAOYSA-N 1 2 314.389 1.853 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1ccccc1C(=O)NCC(C)C ZINC000293125929 409054573 /nfs/dbraw/zinc/05/45/73/409054573.db2.gz MOXMMSNXMDHGCV-UHFFFAOYSA-N 1 2 315.417 1.966 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1ccccc1C(=O)NCC(C)C ZINC000293125929 409054575 /nfs/dbraw/zinc/05/45/75/409054575.db2.gz MOXMMSNXMDHGCV-UHFFFAOYSA-N 1 2 315.417 1.966 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2nnc3n2CCCC3)cc1 ZINC000278252778 409078135 /nfs/dbraw/zinc/07/81/35/409078135.db2.gz MPLOZOLTMORFSC-UHFFFAOYSA-N 1 2 301.350 1.460 20 30 DDEDLO C#CCC(CC#C)C(=O)N1CCN(C2=[NH+]C[C@H](C)S2)CC1 ZINC000288681495 409173080 /nfs/dbraw/zinc/17/30/80/409173080.db2.gz HKGXDPXMVJLMFT-ZDUSSCGKSA-N 1 2 303.431 1.285 20 30 DDEDLO Cc1cc(=O)[nH]c([C@@H](C)[NH+]2CCN(c3cc(C#N)ccn3)CC2)n1 ZINC000279578139 409240976 /nfs/dbraw/zinc/24/09/76/409240976.db2.gz VMHGNCCRKPMXNZ-CYBMUJFWSA-N 1 2 324.388 1.641 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289828271 409288403 /nfs/dbraw/zinc/28/84/03/409288403.db2.gz JPCOUNQLRMQKDU-CYBMUJFWSA-N 1 2 309.801 1.545 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289828271 409288405 /nfs/dbraw/zinc/28/84/05/409288405.db2.gz JPCOUNQLRMQKDU-CYBMUJFWSA-N 1 2 309.801 1.545 20 30 DDEDLO NC(=[NH+]OCc1ccn(-c2ccccc2F)n1)[C@@H]1CCCO1 ZINC000284560355 409348011 /nfs/dbraw/zinc/34/80/11/409348011.db2.gz WJYQXFYKJUPBFS-AWEZNQCLSA-N 1 2 304.325 1.979 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3cccc(C#N)c3)C[C@@H]21 ZINC000285379082 409418038 /nfs/dbraw/zinc/41/80/38/409418038.db2.gz XTHDUFXAOZNPCO-GJZGRUSLSA-N 1 2 300.362 1.495 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3cccc(C#N)c3)C[C@@H]21 ZINC000285379082 409418042 /nfs/dbraw/zinc/41/80/42/409418042.db2.gz XTHDUFXAOZNPCO-GJZGRUSLSA-N 1 2 300.362 1.495 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCCC[C@H]1c1cc[nH]n1 ZINC000285529208 409480601 /nfs/dbraw/zinc/48/06/01/409480601.db2.gz ZSKARNGTCBUKQP-ZFWWWQNUSA-N 1 2 303.410 1.945 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCCC[C@H]1c1cc[nH]n1 ZINC000285529208 409480605 /nfs/dbraw/zinc/48/06/05/409480605.db2.gz ZSKARNGTCBUKQP-ZFWWWQNUSA-N 1 2 303.410 1.945 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cc2C)CC1 ZINC000295449776 409487868 /nfs/dbraw/zinc/48/78/68/409487868.db2.gz XFXHHMHVUJMLIE-CQSZACIVSA-N 1 2 321.446 1.972 20 30 DDEDLO C#CCN(C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C)C1CC1 ZINC000297203803 409854671 /nfs/dbraw/zinc/85/46/71/409854671.db2.gz VBVUQIUNCUVIBJ-UHFFFAOYSA-N 1 2 314.389 1.856 20 30 DDEDLO CC(C)[C@H](CNC(=O)[C@@H]1CCc2n[nH]nc2C1)[NH+]1CCOCC1 ZINC000328792527 409980082 /nfs/dbraw/zinc/98/00/82/409980082.db2.gz RKGDVJAHSQHEDL-DOMZBBRYSA-N 1 2 321.425 1.223 20 30 DDEDLO CC(C)[C@H](CNC(=O)[C@@H]1CCc2[nH]nnc2C1)[NH+]1CCOCC1 ZINC000328792527 409980087 /nfs/dbraw/zinc/98/00/87/409980087.db2.gz RKGDVJAHSQHEDL-DOMZBBRYSA-N 1 2 321.425 1.223 20 30 DDEDLO COc1cc(C[NH+]2CCN(C(=O)[C@@H](C)C#N)CC2)cc(OC)c1 ZINC000354562619 409938841 /nfs/dbraw/zinc/93/88/41/409938841.db2.gz GGNVDGRBNFMFJU-ZDUSSCGKSA-N 1 2 317.389 1.508 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)NCC2(C3CC3)CC2)CCN1C ZINC000328635131 409942218 /nfs/dbraw/zinc/94/22/18/409942218.db2.gz UNONONAPEJQOBR-AWEZNQCLSA-N 1 2 317.437 1.813 20 30 DDEDLO CN1CCOC[C@H]1C(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000328955979 410020755 /nfs/dbraw/zinc/02/07/55/410020755.db2.gz NKZXXVAKMOQJLQ-HNNXBMFYSA-N 1 2 318.421 1.859 20 30 DDEDLO C[C@H](NC(=O)C1CCCC1)C(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000328898718 410005117 /nfs/dbraw/zinc/00/51/17/410005117.db2.gz WVUSRRBEWCHSSW-CFVMTHIKSA-N 1 2 323.437 1.453 20 30 DDEDLO C[C@H](NC(=O)C1CCCC1)C(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000328898718 410005123 /nfs/dbraw/zinc/00/51/23/410005123.db2.gz WVUSRRBEWCHSSW-CFVMTHIKSA-N 1 2 323.437 1.453 20 30 DDEDLO CCc1[nH+]ccn1[C@H]1CCCN(C(=O)NCc2ccn(C)n2)C1 ZINC000329062560 410079523 /nfs/dbraw/zinc/07/95/23/410079523.db2.gz VEGHZSXHWGBVEY-AWEZNQCLSA-N 1 2 316.409 1.930 20 30 DDEDLO C[C@H](O)CNc1cc(NCc2ccc(OCC#N)cc2)nc[nH+]1 ZINC000298242589 410147889 /nfs/dbraw/zinc/14/78/89/410147889.db2.gz MAYFKLJYAAEBFL-LBPRGKRZSA-N 1 2 313.361 1.784 20 30 DDEDLO C[C@H](O)CNc1cc(NCc2ccc(OCC#N)cc2)[nH+]cn1 ZINC000298242589 410147896 /nfs/dbraw/zinc/14/78/96/410147896.db2.gz MAYFKLJYAAEBFL-LBPRGKRZSA-N 1 2 313.361 1.784 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CCS(=O)(=O)[C@H]1C)[N@H+]1[C@H](C)CC[C@@H]1C ZINC000329103520 410104189 /nfs/dbraw/zinc/10/41/89/410104189.db2.gz GAVBQVDMVZCWMQ-FHUSYTEZSA-N 1 2 302.440 1.780 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CCS(=O)(=O)[C@H]1C)[N@@H+]1[C@H](C)CC[C@@H]1C ZINC000329103520 410104193 /nfs/dbraw/zinc/10/41/93/410104193.db2.gz GAVBQVDMVZCWMQ-FHUSYTEZSA-N 1 2 302.440 1.780 20 30 DDEDLO CCN1CC[NH+]([C@@H]2CCN(C(=O)NCc3cc(C)no3)C2)CC1 ZINC000329175111 410145949 /nfs/dbraw/zinc/14/59/49/410145949.db2.gz MEMCNZWDCPMFEI-CQSZACIVSA-N 1 2 321.425 1.109 20 30 DDEDLO COC[C@H](NC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C)C1CC1 ZINC000329201519 410163394 /nfs/dbraw/zinc/16/33/94/410163394.db2.gz BXNWXQIBQZPBSE-CFVMTHIKSA-N 1 2 311.426 1.120 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+]1CCC[C@H](n2cc(CO)nn2)C1 ZINC000339407930 410168083 /nfs/dbraw/zinc/16/80/83/410168083.db2.gz QWPNEQIQWKWGKW-AWEZNQCLSA-N 1 2 315.352 1.618 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+]1CCC[C@H](n2cc(CO)nn2)C1 ZINC000339407930 410168092 /nfs/dbraw/zinc/16/80/92/410168092.db2.gz QWPNEQIQWKWGKW-AWEZNQCLSA-N 1 2 315.352 1.618 20 30 DDEDLO C=CCNC(=O)[C@H]1CCC(=O)N(C2CC2)[C@@H]1c1c[nH+]cn1C ZINC000343210939 410237993 /nfs/dbraw/zinc/23/79/93/410237993.db2.gz GLHXAOQOFLRUPF-WFASDCNBSA-N 1 2 302.378 1.164 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)Nc2nnc(C3CCOCC3)s2)C1 ZINC000329419961 410289689 /nfs/dbraw/zinc/28/96/89/410289689.db2.gz DGYWNPWJOPXXSU-JTQLQIEISA-N 1 2 312.395 1.351 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)Nc2nnc(C3CCOCC3)s2)C1 ZINC000329419961 410289697 /nfs/dbraw/zinc/28/96/97/410289697.db2.gz DGYWNPWJOPXXSU-JTQLQIEISA-N 1 2 312.395 1.351 20 30 DDEDLO N#Cc1csc(C[NH+]2CCN(c3nc(N)ns3)CC2)c1 ZINC000355074772 410292736 /nfs/dbraw/zinc/29/27/36/410292736.db2.gz CMBKUOMCJXYAMN-UHFFFAOYSA-N 1 2 306.420 1.376 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CCN(C(=O)CCOC)CC2)c1 ZINC000355095130 410310094 /nfs/dbraw/zinc/31/00/94/410310094.db2.gz IXNSEKGDVRVRCO-UHFFFAOYSA-N 1 2 318.417 1.932 20 30 DDEDLO C[C@]12CCC(=O)N1[C@H](C(=O)N[C@H]1CCc3[nH+]c[nH]c3C1)CS2 ZINC000329627676 410391283 /nfs/dbraw/zinc/39/12/83/410391283.db2.gz UJUKXGWGHMFJIT-FMSQNYNMSA-N 1 2 320.418 1.678 20 30 DDEDLO C[C@]12CCC(=O)N1[C@H](C(=O)N[C@H]1CCc3[nH]c[nH+]c3C1)CS2 ZINC000329627676 410391288 /nfs/dbraw/zinc/39/12/88/410391288.db2.gz UJUKXGWGHMFJIT-FMSQNYNMSA-N 1 2 320.418 1.678 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000352237793 410569948 /nfs/dbraw/zinc/56/99/48/410569948.db2.gz LFMGUWOFEBNBGR-CYBMUJFWSA-N 1 2 318.421 1.977 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000352237793 410569954 /nfs/dbraw/zinc/56/99/54/410569954.db2.gz LFMGUWOFEBNBGR-CYBMUJFWSA-N 1 2 318.421 1.977 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)NCCc1cccc(C#N)c1 ZINC000358988455 410542000 /nfs/dbraw/zinc/54/20/00/410542000.db2.gz XGEUYFHADIHXJU-AWEZNQCLSA-N 1 2 316.405 1.121 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)NCCc1cccc(C#N)c1 ZINC000358988455 410542008 /nfs/dbraw/zinc/54/20/08/410542008.db2.gz XGEUYFHADIHXJU-AWEZNQCLSA-N 1 2 316.405 1.121 20 30 DDEDLO CC(=O)c1cccc(OC[C@H](O)C[NH+]2CCC(F)(C#N)CC2)c1 ZINC000352482669 410660435 /nfs/dbraw/zinc/66/04/35/410660435.db2.gz HBLRZERXCSIWAW-OAHLLOKOSA-N 1 2 320.364 1.957 20 30 DDEDLO CC[C@H](C)[C@@H](NC(=O)C[NH+]1CCC(F)(C#N)CC1)C(=O)OC ZINC000352491604 410663733 /nfs/dbraw/zinc/66/37/33/410663733.db2.gz RQODXRYZCWWGOW-WCQYABFASA-N 1 2 313.373 1.018 20 30 DDEDLO Cc1nsc(NC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)c1C#N ZINC000333901430 410617224 /nfs/dbraw/zinc/61/72/24/410617224.db2.gz SULNQGABXGPEAK-MRVPVSSYSA-N 1 2 302.363 1.725 20 30 DDEDLO Cc1nsc(NC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)c1C#N ZINC000333901430 410617230 /nfs/dbraw/zinc/61/72/30/410617230.db2.gz SULNQGABXGPEAK-MRVPVSSYSA-N 1 2 302.363 1.725 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NC[C@@H](c1ccco1)[NH+]1CCCCC1 ZINC000352412722 410654039 /nfs/dbraw/zinc/65/40/39/410654039.db2.gz IFLRUESEDLDAQO-STQMWFEESA-N 1 2 311.407 1.638 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001120541834 782015087 /nfs/dbraw/zinc/01/50/87/782015087.db2.gz ZDMGURCBCFJKHH-LBPRGKRZSA-N 1 2 316.409 1.978 20 30 DDEDLO C=C(C)CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000355938340 410738073 /nfs/dbraw/zinc/73/80/73/410738073.db2.gz PYBMGNSBRSSFQL-HNNXBMFYSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(C)CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000355938340 410738086 /nfs/dbraw/zinc/73/80/86/410738086.db2.gz PYBMGNSBRSSFQL-HNNXBMFYSA-N 1 2 318.421 1.710 20 30 DDEDLO CC(C)c1nc(N(C)C)nc(NCC2CCN(CC#N)CC2)[nH+]1 ZINC000301908857 410825581 /nfs/dbraw/zinc/82/55/81/410825581.db2.gz AVQNCTUUNBWZFQ-UHFFFAOYSA-N 1 2 317.441 1.708 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH2+][C@@H](c3ccncc3)C2)cc1O ZINC000337595184 410885103 /nfs/dbraw/zinc/88/51/03/410885103.db2.gz AQWICIDQZGJQNA-OAHLLOKOSA-N 1 2 308.341 1.446 20 30 DDEDLO C[C@H](O)C(C)(C)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000330790316 410894393 /nfs/dbraw/zinc/89/43/93/410894393.db2.gz AKBLLFHOFOIXJF-ZDUSSCGKSA-N 1 2 306.406 1.983 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3ccc(C#N)s3)CC2)cc[nH+]1 ZINC000353313300 410914499 /nfs/dbraw/zinc/91/44/99/410914499.db2.gz XSUUFNFUYGHZRZ-UHFFFAOYSA-N 1 2 313.386 1.681 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+](C2(C(N)=O)CCCC2)CC1 ZINC000359879006 410928502 /nfs/dbraw/zinc/92/85/02/410928502.db2.gz YTSUNBYLKVHWDF-UHFFFAOYSA-N 1 2 316.380 1.617 20 30 DDEDLO CC(C)COC[C@H](O)C[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000341800003 411055184 /nfs/dbraw/zinc/05/51/84/411055184.db2.gz QSSUENTYAQQYEW-QZTJIDSGSA-N 1 2 318.417 1.965 20 30 DDEDLO CC(C)COC[C@H](O)C[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000341800003 411055189 /nfs/dbraw/zinc/05/51/89/411055189.db2.gz QSSUENTYAQQYEW-QZTJIDSGSA-N 1 2 318.417 1.965 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCO[C@]4(CCSC4)C3)n2c1 ZINC000580446955 422933617 /nfs/dbraw/zinc/93/36/17/422933617.db2.gz FFUGMKIYJLHNJP-MRXNPFEDSA-N 1 2 314.414 1.914 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCO[C@]4(CCSC4)C3)n2c1 ZINC000580446955 422933618 /nfs/dbraw/zinc/93/36/18/422933618.db2.gz FFUGMKIYJLHNJP-MRXNPFEDSA-N 1 2 314.414 1.914 20 30 DDEDLO Cc1c(C[S@](C)=O)cccc1NC[C@@H](O)C[N@H+](C)CCC#N ZINC000580709752 422949347 /nfs/dbraw/zinc/94/93/47/422949347.db2.gz BETYUXDPNZAJDU-QRQCRPRQSA-N 1 2 323.462 1.492 20 30 DDEDLO Cc1c(C[S@](C)=O)cccc1NC[C@@H](O)C[N@@H+](C)CCC#N ZINC000580709752 422949348 /nfs/dbraw/zinc/94/93/48/422949348.db2.gz BETYUXDPNZAJDU-QRQCRPRQSA-N 1 2 323.462 1.492 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC[C@@H](Nc2ccc(C#N)cn2)C1=O ZINC000542120644 416620592 /nfs/dbraw/zinc/62/05/92/416620592.db2.gz AGFCXHQHCDZCCH-CYBMUJFWSA-N 1 2 310.361 1.290 20 30 DDEDLO C=C1CC(C)(C(=O)Nc2ccn(CC[NH+]3CCOCC3)n2)C1 ZINC000631183332 417838834 /nfs/dbraw/zinc/83/88/34/417838834.db2.gz DOMMJEMLSDTZBL-UHFFFAOYSA-N 1 2 304.394 1.510 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@@H](c3nc(C4CC4)n[nH]3)C2)C1=O ZINC000374074486 418486822 /nfs/dbraw/zinc/48/68/22/418486822.db2.gz KXFZYWUCFLGTLA-ZIAGYGMSSA-N 1 2 315.421 1.648 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@@H](c3nc(C4CC4)n[nH]3)C2)C1=O ZINC000374074486 418486825 /nfs/dbraw/zinc/48/68/25/418486825.db2.gz KXFZYWUCFLGTLA-ZIAGYGMSSA-N 1 2 315.421 1.648 20 30 DDEDLO N#C[C@@H]1C[N@@H+](Cc2ccncc2)C[C@]12c1ccccc1NC2=O ZINC000374364607 418522426 /nfs/dbraw/zinc/52/24/26/418522426.db2.gz HFYGGNXVGCDXAR-RDTXWAMCSA-N 1 2 304.353 1.927 20 30 DDEDLO N#C[C@@H]1C[N@H+](Cc2ccncc2)C[C@]12c1ccccc1NC2=O ZINC000374364607 418522428 /nfs/dbraw/zinc/52/24/28/418522428.db2.gz HFYGGNXVGCDXAR-RDTXWAMCSA-N 1 2 304.353 1.927 20 30 DDEDLO Cc1ccc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)cc1C#N ZINC000367017014 418552420 /nfs/dbraw/zinc/55/24/20/418552420.db2.gz NDLUDABUYOZOKH-KRWDZBQOSA-N 1 2 313.401 1.804 20 30 DDEDLO COc1ccc(NC(=O)C[NH+]2CCC(C#N)CC2)cc1OC ZINC000195315487 222197635 /nfs/dbraw/zinc/19/76/35/222197635.db2.gz QSYHEEZOWDBWKO-UHFFFAOYSA-N 1 2 303.362 1.878 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)OC ZINC000248825120 222237921 /nfs/dbraw/zinc/23/79/21/222237921.db2.gz KEWUMZZBKIOYPP-DZKIICNBSA-N 1 2 306.406 1.461 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)OC ZINC000248825120 222237923 /nfs/dbraw/zinc/23/79/23/222237923.db2.gz KEWUMZZBKIOYPP-DZKIICNBSA-N 1 2 306.406 1.461 20 30 DDEDLO CC[N@H+]1CCC[C@@H]1CNC(=[NH2+])NCc1cn2c([nH+]1)CCCC2 ZINC000264234585 222328958 /nfs/dbraw/zinc/32/89/58/222328958.db2.gz DBCSDMKCHSJNSC-CQSZACIVSA-N 1 2 304.442 1.108 20 30 DDEDLO CCC1(NC(=O)Nc2ccn(CC[NH+]3CCOCC3)n2)CCC1 ZINC000330092569 418610463 /nfs/dbraw/zinc/61/04/63/418610463.db2.gz QVKQBIHOIKENFD-UHFFFAOYSA-N 1 2 321.425 1.874 20 30 DDEDLO Cc1nc(N(C)C)nc(NCCC(=O)NC2CCCCC2)[nH+]1 ZINC000330055338 418610681 /nfs/dbraw/zinc/61/06/81/418610681.db2.gz UFNWILQBIONQSM-UHFFFAOYSA-N 1 2 306.414 2.337 20 30 DDEDLO Cc1nc(NCCC(=O)NC2CCCCC2)nc(N(C)C)[nH+]1 ZINC000330055338 418610685 /nfs/dbraw/zinc/61/06/85/418610685.db2.gz UFNWILQBIONQSM-UHFFFAOYSA-N 1 2 306.414 2.337 20 30 DDEDLO C=CCCCCNC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000352583275 418644898 /nfs/dbraw/zinc/64/48/98/418644898.db2.gz INMUYJWXYGPUIW-UHFFFAOYSA-N 1 2 321.425 1.693 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@@H](NC(=O)COc1ccc(C#N)cc1)C2 ZINC000377298461 418708034 /nfs/dbraw/zinc/70/80/34/418708034.db2.gz NKQWSTCMEWNILL-CQSZACIVSA-N 1 2 310.357 1.573 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H]1c1nccs1 ZINC000377499091 418710268 /nfs/dbraw/zinc/71/02/68/418710268.db2.gz BLCWCMKJKKTGOP-ZDUSSCGKSA-N 1 2 317.418 1.936 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCC[C@H]1c1nccs1 ZINC000377499091 418710269 /nfs/dbraw/zinc/71/02/69/418710269.db2.gz BLCWCMKJKKTGOP-ZDUSSCGKSA-N 1 2 317.418 1.936 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CCOC[C@@H]1CC(=O)OC ZINC000377870081 418715530 /nfs/dbraw/zinc/71/55/30/418715530.db2.gz SEYULMWMIJIBBB-HNNXBMFYSA-N 1 2 303.358 1.463 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CCOC[C@@H]1CC(=O)OC ZINC000377870081 418715533 /nfs/dbraw/zinc/71/55/33/418715533.db2.gz SEYULMWMIJIBBB-HNNXBMFYSA-N 1 2 303.358 1.463 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CC[C@@H](NC(=O)C4CCC4)C3)n2c1 ZINC000378352122 418721068 /nfs/dbraw/zinc/72/10/68/418721068.db2.gz YEWXQSUZVCBRNY-OAHLLOKOSA-N 1 2 323.400 1.697 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CC[C@@H](NC(=O)C4CCC4)C3)n2c1 ZINC000378352122 418721070 /nfs/dbraw/zinc/72/10/70/418721070.db2.gz YEWXQSUZVCBRNY-OAHLLOKOSA-N 1 2 323.400 1.697 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNS(=O)(=O)c1ccc(C#N)o1)CCC2 ZINC000376392516 418696806 /nfs/dbraw/zinc/69/68/06/418696806.db2.gz UZUCMAQENYZKPI-NSHDSACASA-N 1 2 320.374 1.512 20 30 DDEDLO Cc1ccc(N2CCC(N3CC[N@@H+](C)CC3=O)CC2)nc1C#N ZINC000376959576 418703280 /nfs/dbraw/zinc/70/32/80/418703280.db2.gz YYLMKWYEVHERJI-UHFFFAOYSA-N 1 2 313.405 1.005 20 30 DDEDLO Cc1ccc(N2CCC(N3CC[N@H+](C)CC3=O)CC2)nc1C#N ZINC000376959576 418703281 /nfs/dbraw/zinc/70/32/81/418703281.db2.gz YYLMKWYEVHERJI-UHFFFAOYSA-N 1 2 313.405 1.005 20 30 DDEDLO Cc1cccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)n1 ZINC000362465077 418754884 /nfs/dbraw/zinc/75/48/84/418754884.db2.gz RPEXXIXBCKFYBN-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)n1 ZINC000362465077 418754886 /nfs/dbraw/zinc/75/48/86/418754886.db2.gz RPEXXIXBCKFYBN-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCO[C@@H](COCC2CC2)C1 ZINC000362605444 418757028 /nfs/dbraw/zinc/75/70/28/418757028.db2.gz FQDGNUJEBOLMTG-NVXWUHKLSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCO[C@@H](COCC2CC2)C1 ZINC000362605444 418757030 /nfs/dbraw/zinc/75/70/30/418757030.db2.gz FQDGNUJEBOLMTG-NVXWUHKLSA-N 1 2 324.465 1.927 20 30 DDEDLO CCCC(=O)N1CCC[N@H+](CC(=O)N(CC)CCC#N)CC1 ZINC000363029144 418761649 /nfs/dbraw/zinc/76/16/49/418761649.db2.gz CVMQQSIDOUZVNH-UHFFFAOYSA-N 1 2 308.426 1.083 20 30 DDEDLO CCCC(=O)N1CCC[N@@H+](CC(=O)N(CC)CCC#N)CC1 ZINC000363029144 418761650 /nfs/dbraw/zinc/76/16/50/418761650.db2.gz CVMQQSIDOUZVNH-UHFFFAOYSA-N 1 2 308.426 1.083 20 30 DDEDLO C[C@H]1OCC[C@@]12C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)C[C@@H](C)O2 ZINC000363926914 418771666 /nfs/dbraw/zinc/77/16/66/418771666.db2.gz QWFPENFWKHKKGI-BQGCOEIASA-N 1 2 321.421 1.063 20 30 DDEDLO C[C@H]1OCC[C@@]12C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C[C@@H](C)O2 ZINC000363926914 418771669 /nfs/dbraw/zinc/77/16/69/418771669.db2.gz QWFPENFWKHKKGI-BQGCOEIASA-N 1 2 321.421 1.063 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)C2(C#N)CCOCC2)CC1 ZINC000407962182 418779261 /nfs/dbraw/zinc/77/92/61/418779261.db2.gz LWKFCGRHEITWKJ-UHFFFAOYSA-N 1 2 314.389 1.359 20 30 DDEDLO C=CCOCCNC(=O)N(C)C1CCN(c2cccc[nH+]2)CC1 ZINC000364535318 418784168 /nfs/dbraw/zinc/78/41/68/418784168.db2.gz SZWNSNNDKVIFKG-UHFFFAOYSA-N 1 2 318.421 1.894 20 30 DDEDLO C[C@@H]1C[C@@H](C)CN(C(=O)C[NH+]2CCN(CC3(C#N)CC3)CC2)C1 ZINC000408110262 418788597 /nfs/dbraw/zinc/78/85/97/418788597.db2.gz MZQNYRCIKTWONN-HZPDHXFCSA-N 1 2 318.465 1.412 20 30 DDEDLO C=CCOCCNC(=O)N[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000371642628 418807190 /nfs/dbraw/zinc/80/71/90/418807190.db2.gz PLABNPUSTQASHY-CQSZACIVSA-N 1 2 304.394 1.552 20 30 DDEDLO N#Cc1cscc1C(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000410500864 418819685 /nfs/dbraw/zinc/81/96/85/418819685.db2.gz YITIEWLBCWGRPT-AWEZNQCLSA-N 1 2 319.430 1.947 20 30 DDEDLO C[C@H](C(=O)N(C)[C@@H](C)c1cccc(C#N)c1)[NH+]1CCN(C)CC1 ZINC000425123124 228369321 /nfs/dbraw/zinc/36/93/21/228369321.db2.gz DGUDRQSWDHYEQR-LSDHHAIUSA-N 1 2 314.433 1.714 20 30 DDEDLO COC[C@@H]1C[C@H](O)C[N@@H+]1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000411914828 419484202 /nfs/dbraw/zinc/48/42/02/419484202.db2.gz VACMEMYIWDEARK-RYUDHWBXSA-N 1 2 323.418 1.257 20 30 DDEDLO COC[C@@H]1C[C@H](O)C[N@H+]1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000411914828 419484206 /nfs/dbraw/zinc/48/42/06/419484206.db2.gz VACMEMYIWDEARK-RYUDHWBXSA-N 1 2 323.418 1.257 20 30 DDEDLO C[C@H]1[C@@H](CO)CCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000412089018 419641316 /nfs/dbraw/zinc/64/13/16/419641316.db2.gz PPXNDRATDGGYGA-DZGCQCFKSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H]1[C@@H](CO)CCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000412089018 419641326 /nfs/dbraw/zinc/64/13/26/419641326.db2.gz PPXNDRATDGGYGA-DZGCQCFKSA-N 1 2 322.430 1.425 20 30 DDEDLO N#CCC1(CNC(=O)c2ccccc2C[NH+]2CCOCC2)CC1 ZINC000431141865 229011936 /nfs/dbraw/zinc/01/19/36/229011936.db2.gz YEZBQAAAXPXJFM-UHFFFAOYSA-N 1 2 313.401 1.942 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(F)cc1C#N ZINC000435168055 229360777 /nfs/dbraw/zinc/36/07/77/229360777.db2.gz HRKHZZYAXXVBOH-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(F)cc1C#N ZINC000435168055 229360779 /nfs/dbraw/zinc/36/07/79/229360779.db2.gz HRKHZZYAXXVBOH-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N[C@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000416389388 420353190 /nfs/dbraw/zinc/35/31/90/420353190.db2.gz OHWQKTYVFLJGLV-QWRGUYRKSA-N 1 2 310.423 1.327 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@H](c3nnc[nH]3)C2)c(C#N)c1C ZINC000425547949 420416258 /nfs/dbraw/zinc/41/62/58/420416258.db2.gz MMFDXPAYVLOWFK-NSHDSACASA-N 1 2 314.349 1.314 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@H](c3nnc[nH]3)C2)c(C#N)c1C ZINC000425547949 420416260 /nfs/dbraw/zinc/41/62/60/420416260.db2.gz MMFDXPAYVLOWFK-NSHDSACASA-N 1 2 314.349 1.314 20 30 DDEDLO CN(C)c1ccc(CNC(=O)Nc2ccn(CCC#N)n2)c[nH+]1 ZINC000438322325 420450701 /nfs/dbraw/zinc/45/07/01/420450701.db2.gz BZPMLXPMMGNVRM-UHFFFAOYSA-N 1 2 313.365 1.579 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC1CC1)C[C@H]1C[N@H+](C)CCO1 ZINC000450905033 420557347 /nfs/dbraw/zinc/55/73/47/420557347.db2.gz GOYPHBSYPXSABI-OAGGEKHMSA-N 1 2 308.422 1.147 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC1CC1)C[C@H]1C[N@@H+](C)CCO1 ZINC000450905033 420557351 /nfs/dbraw/zinc/55/73/51/420557351.db2.gz GOYPHBSYPXSABI-OAGGEKHMSA-N 1 2 308.422 1.147 20 30 DDEDLO C=C(C)CCNC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000452716963 420649524 /nfs/dbraw/zinc/64/95/24/420649524.db2.gz JXJCEOSMFWHIGI-CQSZACIVSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)CCNC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000452716963 420649526 /nfs/dbraw/zinc/64/95/26/420649526.db2.gz JXJCEOSMFWHIGI-CQSZACIVSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C(F)(F)F)n[nH]2)C1=O ZINC000443065814 420741808 /nfs/dbraw/zinc/74/18/08/420741808.db2.gz GCQNZSAFECOTLL-JTQLQIEISA-N 1 2 302.300 1.647 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C(F)(F)F)n[nH]2)C1=O ZINC000443065814 420741810 /nfs/dbraw/zinc/74/18/10/420741810.db2.gz GCQNZSAFECOTLL-JTQLQIEISA-N 1 2 302.300 1.647 20 30 DDEDLO COCCO[C@@H]1C[C@H](CO)C[N@H+](Cc2ccc(F)cc2C#N)C1 ZINC000447382762 420769495 /nfs/dbraw/zinc/76/94/95/420769495.db2.gz JHDSMDVQDCNMEH-SUMWQHHRSA-N 1 2 322.380 1.543 20 30 DDEDLO COCCO[C@@H]1C[C@H](CO)C[N@@H+](Cc2ccc(F)cc2C#N)C1 ZINC000447382762 420769498 /nfs/dbraw/zinc/76/94/98/420769498.db2.gz JHDSMDVQDCNMEH-SUMWQHHRSA-N 1 2 322.380 1.543 20 30 DDEDLO COCCCNC(=O)C[N@H+](C)Cc1ccc(C#N)c(OC)c1 ZINC000442793611 420717407 /nfs/dbraw/zinc/71/74/07/420717407.db2.gz BQPYNCRBMZZKBF-UHFFFAOYSA-N 1 2 305.378 1.151 20 30 DDEDLO COCCCNC(=O)C[N@@H+](C)Cc1ccc(C#N)c(OC)c1 ZINC000442793611 420717411 /nfs/dbraw/zinc/71/74/11/420717411.db2.gz BQPYNCRBMZZKBF-UHFFFAOYSA-N 1 2 305.378 1.151 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000493636297 420903505 /nfs/dbraw/zinc/90/35/05/420903505.db2.gz YARDSFXGBKGNOK-PPYMECGQSA-N 1 2 302.334 1.568 20 30 DDEDLO N#CCOc1ccc(C=CC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000493694148 420917652 /nfs/dbraw/zinc/91/76/52/420917652.db2.gz OYKCBNYCQSANCO-FCIQKIPGSA-N 1 2 322.368 1.999 20 30 DDEDLO N#CCOc1ccc(C=CC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000493694148 420917655 /nfs/dbraw/zinc/91/76/55/420917655.db2.gz OYKCBNYCQSANCO-FCIQKIPGSA-N 1 2 322.368 1.999 20 30 DDEDLO COc1cc(/C=C/C(=O)N[C@](C)(C#N)C[NH+](C)C)cc(OC)c1 ZINC000493722806 420925303 /nfs/dbraw/zinc/92/53/03/420925303.db2.gz LKKBZQQIYQGISU-DKRLNXSXSA-N 1 2 317.389 1.677 20 30 DDEDLO COC(=O)C[C@@H](C)[NH+]1CCN(C(=O)c2ccc(C#N)s2)CC1 ZINC000487809137 421050993 /nfs/dbraw/zinc/05/09/93/421050993.db2.gz XLBKOEYXXATUAD-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO CCn1cc[nH+]c1CNC(=O)NCc1ccc(C#N)c(F)c1 ZINC000455300816 420993703 /nfs/dbraw/zinc/99/37/03/420993703.db2.gz SVIRRPAYTNCRHW-UHFFFAOYSA-N 1 2 301.325 1.913 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)c2ccc(C#N)s2)CC1 ZINC000487252534 421013115 /nfs/dbraw/zinc/01/31/15/421013115.db2.gz IRWONAPRBRDYPL-UHFFFAOYSA-N 1 2 315.402 1.311 20 30 DDEDLO CN(C[C@@H](O)C[N@H+](CC#N)C1CCCC1)C(=O)OC(C)(C)C ZINC000496047265 421124084 /nfs/dbraw/zinc/12/40/84/421124084.db2.gz GFALLKMEPUEJKK-CQSZACIVSA-N 1 2 311.426 1.982 20 30 DDEDLO CN(C[C@@H](O)C[N@@H+](CC#N)C1CCCC1)C(=O)OC(C)(C)C ZINC000496047265 421124086 /nfs/dbraw/zinc/12/40/86/421124086.db2.gz GFALLKMEPUEJKK-CQSZACIVSA-N 1 2 311.426 1.982 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H](O)C[NH+]2CCOCC2)CCCCC1 ZINC000450129639 421146675 /nfs/dbraw/zinc/14/66/75/421146675.db2.gz KHVZGTHCTFKLLH-INIZCTEOSA-N 1 2 324.465 1.665 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000489627010 421167644 /nfs/dbraw/zinc/16/76/44/421167644.db2.gz WLQYAQXEPBARJA-ZDUSSCGKSA-N 1 2 312.439 1.384 20 30 DDEDLO C=CCCCS(=O)(=O)N(C)CC(C)(C)C[NH+]1CCOCC1 ZINC000489698298 421170872 /nfs/dbraw/zinc/17/08/72/421170872.db2.gz OYOJICIOIVREPG-UHFFFAOYSA-N 1 2 318.483 1.573 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCN(C(=O)OC(C)(C)C)C(C)(C)C1 ZINC000491507683 421198404 /nfs/dbraw/zinc/19/84/04/421198404.db2.gz WDXHDTDYLSEKMR-CYBMUJFWSA-N 1 2 323.437 1.456 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCN(C(=O)OC(C)(C)C)C(C)(C)C1 ZINC000491507683 421198407 /nfs/dbraw/zinc/19/84/07/421198407.db2.gz WDXHDTDYLSEKMR-CYBMUJFWSA-N 1 2 323.437 1.456 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000560410092 421260177 /nfs/dbraw/zinc/26/01/77/421260177.db2.gz FRAZRJOAXOECDM-GASCZTMLSA-N 1 2 314.389 1.851 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000560410092 421260180 /nfs/dbraw/zinc/26/01/80/421260180.db2.gz FRAZRJOAXOECDM-GASCZTMLSA-N 1 2 314.389 1.851 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)[C@H]2CCC(=O)N(C)C2)c1C#N ZINC000514795675 421454868 /nfs/dbraw/zinc/45/48/68/421454868.db2.gz BCCXJFUUJZEJMO-ZDUSSCGKSA-N 1 2 315.373 1.397 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)[C@H]2CCC(=O)N(C)C2)c1C#N ZINC000514795675 421454871 /nfs/dbraw/zinc/45/48/71/421454871.db2.gz BCCXJFUUJZEJMO-ZDUSSCGKSA-N 1 2 315.373 1.397 20 30 DDEDLO CC[C@H](CC#N)[NH2+][C@@H](C)C(=O)Nc1cccc(C(=O)NC)c1 ZINC000514736813 421450251 /nfs/dbraw/zinc/45/02/51/421450251.db2.gz GXVAWVMWENQMJE-WCQYABFASA-N 1 2 302.378 1.655 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H]2CC[C@@H](C1)[S@@]2=O ZINC000528781460 421515565 /nfs/dbraw/zinc/51/55/65/421515565.db2.gz HOUBIQHHZIJVBA-QSKNSHDSSA-N 1 2 311.451 1.026 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@H]2CC[C@@H](C1)[S@@]2=O ZINC000528781460 421515567 /nfs/dbraw/zinc/51/55/67/421515567.db2.gz HOUBIQHHZIJVBA-QSKNSHDSSA-N 1 2 311.451 1.026 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H]2CC[C@@H](C1)[S@@]2=O ZINC000528781467 421515636 /nfs/dbraw/zinc/51/56/36/421515636.db2.gz HOUBIQHHZIJVBA-LZMALXGLSA-N 1 2 311.451 1.026 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@H]2CC[C@@H](C1)[S@@]2=O ZINC000528781467 421515638 /nfs/dbraw/zinc/51/56/38/421515638.db2.gz HOUBIQHHZIJVBA-LZMALXGLSA-N 1 2 311.451 1.026 20 30 DDEDLO C[C@@H]1C[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)[C@@H](C)CO1 ZINC000528343043 421480051 /nfs/dbraw/zinc/48/00/51/421480051.db2.gz XZGZNUCHFVLFLA-UONOGXRCSA-N 1 2 312.369 1.395 20 30 DDEDLO C[C@@H]1C[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)[C@@H](C)CO1 ZINC000528343043 421480055 /nfs/dbraw/zinc/48/00/55/421480055.db2.gz XZGZNUCHFVLFLA-UONOGXRCSA-N 1 2 312.369 1.395 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1CCO[C@@H](CNC(=O)NC)C1 ZINC000529639336 421547167 /nfs/dbraw/zinc/54/71/67/421547167.db2.gz AUCLMJDTKCLNGK-HNNXBMFYSA-N 1 2 319.405 1.381 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1CCO[C@@H](CNC(=O)NC)C1 ZINC000529639336 421547168 /nfs/dbraw/zinc/54/71/68/421547168.db2.gz AUCLMJDTKCLNGK-HNNXBMFYSA-N 1 2 319.405 1.381 20 30 DDEDLO C[C@H]([NH2+]C[C@H](O)COc1ccc(CC#N)cc1)c1csnn1 ZINC000567694277 421614168 /nfs/dbraw/zinc/61/41/68/421614168.db2.gz NNAYPRWDQDGGDB-AAEUAGOBSA-N 1 2 318.402 1.695 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NC[C@@H]3CCCN(CC#N)C3)ccn12 ZINC000569116380 421624772 /nfs/dbraw/zinc/62/47/72/421624772.db2.gz GYLYYZHCYGUGTR-AWEZNQCLSA-N 1 2 311.389 1.608 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC000570091274 421629574 /nfs/dbraw/zinc/62/95/74/421629574.db2.gz WEKXIUOYXMQWTH-UHFFFAOYSA-N 1 2 311.389 1.852 20 30 DDEDLO CCCC[N@H+](CC(N)=O)Cc1cccc(C(=O)OC)c1C#N ZINC000534488357 421692130 /nfs/dbraw/zinc/69/21/30/421692130.db2.gz ITIUBLNIHRRBNC-UHFFFAOYSA-N 1 2 303.362 1.432 20 30 DDEDLO CCCC[N@@H+](CC(N)=O)Cc1cccc(C(=O)OC)c1C#N ZINC000534488357 421692131 /nfs/dbraw/zinc/69/21/31/421692131.db2.gz ITIUBLNIHRRBNC-UHFFFAOYSA-N 1 2 303.362 1.432 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)C3(c4cccc(C#N)c4)CC3)C[C@@H]21 ZINC000533257130 421670232 /nfs/dbraw/zinc/67/02/32/421670232.db2.gz AKUAPXLVHPEDKM-HOTGVXAUSA-N 1 2 311.385 1.131 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)C3(c4cccc(C#N)c4)CC3)C[C@@H]21 ZINC000533257130 421670234 /nfs/dbraw/zinc/67/02/34/421670234.db2.gz AKUAPXLVHPEDKM-HOTGVXAUSA-N 1 2 311.385 1.131 20 30 DDEDLO N#Cc1ccc(CNC(=O)NCC2([NH+]3CCOCC3)CC2)s1 ZINC000570826176 421672898 /nfs/dbraw/zinc/67/28/98/421672898.db2.gz CYWZIQFEVPOBJI-UHFFFAOYSA-N 1 2 320.418 1.284 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCCc2c(cnn2C)C1 ZINC000556817109 421741024 /nfs/dbraw/zinc/74/10/24/421741024.db2.gz YARREGGEDGTRJW-CXAGYDPISA-N 1 2 317.437 1.611 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCCc2c(cnn2C)C1 ZINC000556817109 421741025 /nfs/dbraw/zinc/74/10/25/421741025.db2.gz YARREGGEDGTRJW-CXAGYDPISA-N 1 2 317.437 1.611 20 30 DDEDLO N#CCN1CCC(CNC(=O)c2cc(-n3cc[nH+]c3)ccn2)CC1 ZINC000538709640 421742717 /nfs/dbraw/zinc/74/27/17/421742717.db2.gz YOWVANURBGFQRW-UHFFFAOYSA-N 1 2 324.388 1.233 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@H]2CCC[N@H+](C)C2)cc1C#N ZINC000540882033 421771966 /nfs/dbraw/zinc/77/19/66/421771966.db2.gz CIXPRHAAKGODNL-SNVBAGLBSA-N 1 2 320.418 1.452 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@H]2CCC[N@@H+](C)C2)cc1C#N ZINC000540882033 421771968 /nfs/dbraw/zinc/77/19/68/421771968.db2.gz CIXPRHAAKGODNL-SNVBAGLBSA-N 1 2 320.418 1.452 20 30 DDEDLO CCn1ccc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cc2)n1 ZINC000581246339 421931126 /nfs/dbraw/zinc/93/11/26/421931126.db2.gz QTJGURVHQNGPEL-UHFFFAOYSA-N 1 2 318.402 1.338 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3ccc(C#N)c(N)n3)c[nH+]2)CCO1 ZINC000594544725 422349485 /nfs/dbraw/zinc/34/94/85/422349485.db2.gz CTNHLIIXDWRRNP-LBPRGKRZSA-N 1 2 324.388 1.768 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2ncc3c(c2C#N)CCC3)[C@H](C)CO1 ZINC000596434041 422376483 /nfs/dbraw/zinc/37/64/83/422376483.db2.gz WWWZBVGGWQGCTF-CHWSQXEVSA-N 1 2 300.406 1.963 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2ncc3c(c2C#N)CCC3)[C@H](C)CO1 ZINC000596434041 422376488 /nfs/dbraw/zinc/37/64/88/422376488.db2.gz WWWZBVGGWQGCTF-CHWSQXEVSA-N 1 2 300.406 1.963 20 30 DDEDLO C=CCCCS(=O)(=O)N[C@H]1C[C@@H]([NH+]2CCOCC2)C1(C)C ZINC000632502627 422394986 /nfs/dbraw/zinc/39/49/86/422394986.db2.gz PESPRSHBYWERAA-UONOGXRCSA-N 1 2 316.467 1.371 20 30 DDEDLO N#CCN1CCC(Nc2nc3ccccc3n3c[nH+]cc23)CC1 ZINC000599044070 422401506 /nfs/dbraw/zinc/40/15/06/422401506.db2.gz UXMLNYBRYVRQLV-UHFFFAOYSA-N 1 2 306.373 2.282 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@H]2CCC[N@@H+]2Cc2ccccn2)c1C#N ZINC000601679647 422426214 /nfs/dbraw/zinc/42/62/14/422426214.db2.gz MJSDSMGWYPFIJR-CQSZACIVSA-N 1 2 310.361 1.588 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@H]2CCC[N@H+]2Cc2ccccn2)c1C#N ZINC000601679647 422426220 /nfs/dbraw/zinc/42/62/20/422426220.db2.gz MJSDSMGWYPFIJR-CQSZACIVSA-N 1 2 310.361 1.588 20 30 DDEDLO CNc1cc2c(nn1)CC[N@H+](CCOc1ccccc1C#N)C2 ZINC000609258351 422462818 /nfs/dbraw/zinc/46/28/18/422462818.db2.gz TWSCQOJADKSJHH-UHFFFAOYSA-N 1 2 309.373 1.827 20 30 DDEDLO CNc1cc2c(nn1)CC[N@@H+](CCOc1ccccc1C#N)C2 ZINC000609258351 422462823 /nfs/dbraw/zinc/46/28/23/422462823.db2.gz TWSCQOJADKSJHH-UHFFFAOYSA-N 1 2 309.373 1.827 20 30 DDEDLO C=CCOCn1nnc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000631010671 422472463 /nfs/dbraw/zinc/47/24/63/422472463.db2.gz PINLAHZZYZNOCM-HNNXBMFYSA-N 1 2 315.377 1.407 20 30 DDEDLO C=CCOCn1nnc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000631010671 422472469 /nfs/dbraw/zinc/47/24/69/422472469.db2.gz PINLAHZZYZNOCM-HNNXBMFYSA-N 1 2 315.377 1.407 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)NCC2CCCCC2)nn1 ZINC000640765172 423172191 /nfs/dbraw/zinc/17/21/91/423172191.db2.gz RYOXJKYOYDEABE-UHFFFAOYSA-N 1 2 303.410 1.088 20 30 DDEDLO Cc1cc(C(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)c(C)n1C1CC1 ZINC000646031260 423300931 /nfs/dbraw/zinc/30/09/31/423300931.db2.gz VGECOARHMXVYBN-KRWDZBQOSA-N 1 2 301.390 1.973 20 30 DDEDLO Cc1cc(C(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)c(C)n1C1CC1 ZINC000646031260 423300934 /nfs/dbraw/zinc/30/09/34/423300934.db2.gz VGECOARHMXVYBN-KRWDZBQOSA-N 1 2 301.390 1.973 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)c2c[nH]c3ncccc23)nn1 ZINC000641134207 423411188 /nfs/dbraw/zinc/41/11/88/423411188.db2.gz KYNBTKYIHSOKKB-GFCCVEGCSA-N 1 2 322.372 1.711 20 30 DDEDLO C=CCCn1cc(CN2CC[NH+](Cc3cccnc3)CC2)nn1 ZINC000653592607 423540928 /nfs/dbraw/zinc/54/09/28/423540928.db2.gz HUULTFLUPNLAPX-UHFFFAOYSA-N 1 2 312.421 1.567 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H](CO)c2ccccc2OC)nn1 ZINC000653611855 423550139 /nfs/dbraw/zinc/55/01/39/423550139.db2.gz QJSCLHSUJUNFJR-OAHLLOKOSA-N 1 2 302.378 1.686 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000663971701 424310603 /nfs/dbraw/zinc/31/06/03/424310603.db2.gz LFYDBFXIUUBVHG-KGLIPLIRSA-N 1 2 318.421 1.973 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000663971701 424310610 /nfs/dbraw/zinc/31/06/10/424310610.db2.gz LFYDBFXIUUBVHG-KGLIPLIRSA-N 1 2 318.421 1.973 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2ccc3c(c2)C(=O)NCC3)nn1 ZINC000657431881 424342515 /nfs/dbraw/zinc/34/25/15/424342515.db2.gz AEIBCNLWNUGCNX-UHFFFAOYSA-N 1 2 311.389 1.430 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2cnc(C(F)(F)F)nc2)nn1 ZINC000657552839 424407672 /nfs/dbraw/zinc/40/76/72/424407672.db2.gz GSBYXJBVKJWRKK-UHFFFAOYSA-N 1 2 312.299 1.953 20 30 DDEDLO C=CC[N@@H+](C[C@@H](OC)C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000660070388 424499561 /nfs/dbraw/zinc/49/95/61/424499561.db2.gz PUUZGYOGVJRYSZ-LSDHHAIUSA-N 1 2 301.452 1.867 20 30 DDEDLO C=CC[N@H+](C[C@@H](OC)C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000660070388 424499567 /nfs/dbraw/zinc/49/95/67/424499567.db2.gz PUUZGYOGVJRYSZ-LSDHHAIUSA-N 1 2 301.452 1.867 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH2+]C(C)(C)c1nc(C)cc(=O)[nH]1 ZINC000660746666 424761620 /nfs/dbraw/zinc/76/16/20/424761620.db2.gz ZGYUJZIDVIDERC-UHFFFAOYSA-N 1 2 306.410 1.740 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355099547 266034931 /nfs/dbraw/zinc/03/49/31/266034931.db2.gz NMSJJRBQOAWDHT-CQSZACIVSA-N 1 2 315.421 1.380 20 30 DDEDLO CCO[C@@H]1C[C@H](O)C12CC[NH+](Cc1nc(C#N)cs1)CC2 ZINC000356107882 266299534 /nfs/dbraw/zinc/29/95/34/266299534.db2.gz FCDKRDDZNSOOMW-QWHCGFSZSA-N 1 2 307.419 1.767 20 30 DDEDLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)c1cnn(C)c1C#N ZINC000435995163 267258210 /nfs/dbraw/zinc/25/82/10/267258210.db2.gz JHNCMBJPUSIFFO-ZDUSSCGKSA-N 1 2 312.377 1.876 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@]2([NH+]3CCOCC3)CCCOC2)n1 ZINC000371385585 267377943 /nfs/dbraw/zinc/37/79/43/267377943.db2.gz RNYMYEABNZJBLR-QGZVFWFLSA-N 1 2 316.405 1.555 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CC[C@@](O)(C3CC3)C2)c1 ZINC000365851467 268275966 /nfs/dbraw/zinc/27/59/66/268275966.db2.gz DOCPBSDLAPNCBO-INIZCTEOSA-N 1 2 320.414 1.179 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CC[C@@](O)(C3CC3)C2)c1 ZINC000365851467 268275969 /nfs/dbraw/zinc/27/59/69/268275969.db2.gz DOCPBSDLAPNCBO-INIZCTEOSA-N 1 2 320.414 1.179 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@H]3CC[C@@H](C2)[S@@]3=O)c1 ZINC000528790256 268279599 /nfs/dbraw/zinc/27/95/99/268279599.db2.gz ZAMSQEWXZRDXLY-GTQRCTGISA-N 1 2 317.414 1.482 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CC[C@H]3CC[C@@H](C2)[S@@]3=O)c1 ZINC000528790256 268279600 /nfs/dbraw/zinc/27/96/00/268279600.db2.gz ZAMSQEWXZRDXLY-GTQRCTGISA-N 1 2 317.414 1.482 20 30 DDEDLO N#Cc1ccsc1C(=O)N[C@@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000366297963 268302744 /nfs/dbraw/zinc/30/27/44/268302744.db2.gz NZROIULJGPDJJK-ZIAGYGMSSA-N 1 2 319.430 1.993 20 30 DDEDLO C=C(C)CNC(=O)[C@H]1CCC(=O)N(CCC)[C@@H]1c1c[nH+]cn1C ZINC000356899385 277777442 /nfs/dbraw/zinc/77/74/42/277777442.db2.gz RVQHYOJIFLGOCM-BBRMVZONSA-N 1 2 318.421 1.802 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000457628652 277790444 /nfs/dbraw/zinc/79/04/44/277790444.db2.gz VKTWJISLGBTUTM-JYJNAYRXSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C[C@H](C)NC(=O)[C@@H]1CCC(=O)N(CCC)[C@@H]1c1c[nH+]cn1C ZINC000356367996 277910418 /nfs/dbraw/zinc/91/04/18/277910418.db2.gz MPAHIGNOAFAWHY-ZENOOKHLSA-N 1 2 318.421 1.801 20 30 DDEDLO CS(=O)(=O)N1CC[N@@H+]([C@@H]2CC[C@@H](C#N)C2)Cc2ccccc21 ZINC000407991538 278110962 /nfs/dbraw/zinc/11/09/62/278110962.db2.gz VZYXFMAWTVTOQQ-UKRRQHHQSA-N 1 2 319.430 1.960 20 30 DDEDLO CS(=O)(=O)N1CC[N@H+]([C@@H]2CC[C@@H](C#N)C2)Cc2ccccc21 ZINC000407991538 278110964 /nfs/dbraw/zinc/11/09/64/278110964.db2.gz VZYXFMAWTVTOQQ-UKRRQHHQSA-N 1 2 319.430 1.960 20 30 DDEDLO Cc1cnn(C)c1C[N@@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000377550236 279031475 /nfs/dbraw/zinc/03/14/75/279031475.db2.gz OPOPZEDGZNQSBE-FZKQIMNGSA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1cnn(C)c1C[N@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000377550236 279031476 /nfs/dbraw/zinc/03/14/76/279031476.db2.gz OPOPZEDGZNQSBE-FZKQIMNGSA-N 1 2 321.384 1.574 20 30 DDEDLO CCN1CC[NH+]([C@@H]2CCN(C(=O)N[C@@H](C)c3cn[nH]c3)C2)CC1 ZINC000328879869 279366552 /nfs/dbraw/zinc/36/65/52/279366552.db2.gz VNOKAUQDTAJXBH-DZGCQCFKSA-N 1 2 320.441 1.097 20 30 DDEDLO N#Cc1cc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)c[nH]1 ZINC000181291719 279500507 /nfs/dbraw/zinc/50/05/07/279500507.db2.gz ZWLBPPSUSQCBKJ-OAHLLOKOSA-N 1 2 302.378 1.213 20 30 DDEDLO Cc1nc(C(=O)NC[C@H](C(C)C)[NH+]2CCOCC2)ccc1C#N ZINC000330498906 293179635 /nfs/dbraw/zinc/17/96/35/293179635.db2.gz FBPGDSVUFFKRMW-MRXNPFEDSA-N 1 2 316.405 1.348 20 30 DDEDLO Cc1nc(NC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@H]3C2)sc1C ZINC000328839707 293307608 /nfs/dbraw/zinc/30/76/08/293307608.db2.gz OKPXMBVCUTZRQN-NWDGAFQWSA-N 1 2 310.423 1.710 20 30 DDEDLO Cc1nc(NC(=O)N2CC[C@H]3OCC[N@H+](C)[C@H]3C2)sc1C ZINC000328839707 293307610 /nfs/dbraw/zinc/30/76/10/293307610.db2.gz OKPXMBVCUTZRQN-NWDGAFQWSA-N 1 2 310.423 1.710 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000548311840 307764487 /nfs/dbraw/zinc/76/44/87/307764487.db2.gz JOOGFSZGIJCQOA-OCCSQVGLSA-N 1 2 310.357 1.653 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(CCOC2CCC2)c1=O ZINC000579978904 308572015 /nfs/dbraw/zinc/57/20/15/308572015.db2.gz ISRIEOVTCPAIHH-UHFFFAOYSA-N 1 2 317.389 1.121 20 30 DDEDLO N#Cc1csc(C[NH2+][C@@H]2CCN(Cc3ccccc3)C2=O)n1 ZINC000584297757 332228211 /nfs/dbraw/zinc/22/82/11/332228211.db2.gz ZCHCCSVMCORGKQ-CQSZACIVSA-N 1 2 312.398 1.905 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1ccn(-c2ccccc2)n1 ZINC000569726173 332672819 /nfs/dbraw/zinc/67/28/19/332672819.db2.gz IXVXFNMGSOIRLH-QGZVFWFLSA-N 1 2 311.389 1.375 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@@]2(CCSC2)C1 ZINC000563073573 333325040 /nfs/dbraw/zinc/32/50/40/333325040.db2.gz WWLRBJTWTZBTIS-HOCLYGCPSA-N 1 2 310.463 1.783 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@@]2(CCSC2)C1 ZINC000563073573 333325041 /nfs/dbraw/zinc/32/50/41/333325041.db2.gz WWLRBJTWTZBTIS-HOCLYGCPSA-N 1 2 310.463 1.783 20 30 DDEDLO COc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc([N+](=O)[O-])c1C ZINC000518986573 335763241 /nfs/dbraw/zinc/76/32/41/335763241.db2.gz RYRYATSGJQFWJY-HNNXBMFYSA-N 1 2 320.349 1.486 20 30 DDEDLO C[C@@H]([NH2+][C@H](C)c1nnc2ccccn21)C(=O)NC1(C#N)CCC1 ZINC000527049990 336281341 /nfs/dbraw/zinc/28/13/41/336281341.db2.gz QUKRJYSUSMGTLT-VXGBXAGGSA-N 1 2 312.377 1.331 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)Cc2ccc(OCC#N)cc2)C1 ZINC000374371060 336988324 /nfs/dbraw/zinc/98/83/24/336988324.db2.gz XYGPSGICPLRSOS-HNNXBMFYSA-N 1 2 324.384 1.881 20 30 DDEDLO C(#CC[N@@H+]1CCO[C@@H]2CCC[C@@H]21)CN1CCO[C@@H]2CCC[C@@H]21 ZINC000375351651 337008847 /nfs/dbraw/zinc/00/88/47/337008847.db2.gz DUPJETGRAFIRDR-WNRNVDISSA-N 1 2 304.434 1.496 20 30 DDEDLO C(#CC[N@H+]1CCO[C@@H]2CCC[C@@H]21)CN1CCO[C@@H]2CCC[C@@H]21 ZINC000375351651 337008848 /nfs/dbraw/zinc/00/88/48/337008848.db2.gz DUPJETGRAFIRDR-WNRNVDISSA-N 1 2 304.434 1.496 20 30 DDEDLO Cc1ncc(S(=O)(=O)N[C@@H]2C[C@@H](C)n3cc[nH+]c32)cc1C#N ZINC000582946053 337220843 /nfs/dbraw/zinc/22/08/43/337220843.db2.gz AZCHZEJZLAKQJB-NOZJJQNGSA-N 1 2 317.374 1.443 20 30 DDEDLO CNS(=O)(=O)C1CC[NH+](CC#Cc2ccc(F)cc2)CC1 ZINC000269501447 337637320 /nfs/dbraw/zinc/63/73/20/337637320.db2.gz XGTPMVSJCGHSAU-UHFFFAOYSA-N 1 2 310.394 1.191 20 30 DDEDLO C[C@@H](NC(=O)N1CCC(CCC#N)CC1)[C@@H](C)[NH+]1CCOCC1 ZINC000572478604 338135888 /nfs/dbraw/zinc/13/58/88/338135888.db2.gz SIRDVNTXRBUNSZ-HUUCEWRRSA-N 1 2 322.453 1.821 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)CC[N@H+]1C ZINC000496308720 340002730 /nfs/dbraw/zinc/00/27/30/340002730.db2.gz NYWCBBGZNMPIKK-AWEZNQCLSA-N 1 2 323.437 1.457 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)CC[N@@H+]1C ZINC000496308720 340002731 /nfs/dbraw/zinc/00/27/31/340002731.db2.gz NYWCBBGZNMPIKK-AWEZNQCLSA-N 1 2 323.437 1.457 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc([C@H](O)CC)cc2)CC1 ZINC000304811287 340370166 /nfs/dbraw/zinc/37/01/66/340370166.db2.gz XBMPRPOKVUZWCT-QGZVFWFLSA-N 1 2 316.401 1.286 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH+]1CCN(c2ccccc2)CC1 ZINC000076426566 341207209 /nfs/dbraw/zinc/20/72/09/341207209.db2.gz VSFDQNBXZWEDEE-INIZCTEOSA-N 1 2 314.433 1.817 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC000134959979 341227396 /nfs/dbraw/zinc/22/73/96/341227396.db2.gz AOUXGCKJGUKQOR-QGZVFWFLSA-N 1 2 317.437 1.317 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)N1CCn2cc(C)[nH+]c2C1 ZINC000656080015 483935307 /nfs/dbraw/zinc/93/53/07/483935307.db2.gz URHYHRVVBPZFNA-UHFFFAOYSA-N 1 2 304.394 1.396 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000679207600 485807982 /nfs/dbraw/zinc/80/79/82/485807982.db2.gz ZBAAIEFQFSWPGQ-UHFFFAOYSA-N 1 2 300.362 1.855 20 30 DDEDLO C=CC[N@H+](C[C@@H]1COc2ccccc2O1)[C@@H]1CCS(=O)(=O)C1 ZINC000676700700 486293490 /nfs/dbraw/zinc/29/34/90/486293490.db2.gz CBEMICQJOPDZTN-ZIAGYGMSSA-N 1 2 323.414 1.502 20 30 DDEDLO C=CC[N@@H+](C[C@@H]1COc2ccccc2O1)[C@@H]1CCS(=O)(=O)C1 ZINC000676700700 486293494 /nfs/dbraw/zinc/29/34/94/486293494.db2.gz CBEMICQJOPDZTN-ZIAGYGMSSA-N 1 2 323.414 1.502 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)c2cc3ccccc3[nH]2)CC1 ZINC000677133468 486397500 /nfs/dbraw/zinc/39/75/00/486397500.db2.gz XMKHLDVUUHCMDF-UHFFFAOYSA-N 1 2 311.385 1.576 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000677816818 486547332 /nfs/dbraw/zinc/54/73/32/486547332.db2.gz CLABLASPJSRXTJ-UHFFFAOYSA-N 1 2 308.328 1.372 20 30 DDEDLO COc1ccccc1[C@H]1CN(C(=O)c2cc(C#N)c[nH]2)CC[NH2+]1 ZINC000374373619 490775330 /nfs/dbraw/zinc/77/53/30/490775330.db2.gz SUYXTBIUTFZOSU-OAHLLOKOSA-N 1 2 310.357 1.682 20 30 DDEDLO Cc1cc(NC(=O)N[C@@H]2CCO[C@H](c3c[nH+]cn3C)C2)no1 ZINC000330013779 500960967 /nfs/dbraw/zinc/96/09/67/500960967.db2.gz AHNAFRRUZLGKGC-PWSUYJOCSA-N 1 2 305.338 1.963 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1ccc(C#N)cc1F ZINC000433514585 534271442 /nfs/dbraw/zinc/27/14/42/534271442.db2.gz FFBAYLCBXTZPBQ-JTQLQIEISA-N 1 2 315.308 1.037 20 30 DDEDLO Cc1ccc([N+](=O)[O-])cc1C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000330628903 534331902 /nfs/dbraw/zinc/33/19/02/534331902.db2.gz ZTQIULMTNKLJBQ-LBPRGKRZSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1ccc([N+](=O)[O-])cc1C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000330628903 534331910 /nfs/dbraw/zinc/33/19/10/534331910.db2.gz ZTQIULMTNKLJBQ-LBPRGKRZSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)NCC(C)(C)C#N ZINC000350196026 534445431 /nfs/dbraw/zinc/44/54/31/534445431.db2.gz XZEILCUXSIIKIE-UHFFFAOYSA-N 1 2 317.393 1.898 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNS(=O)(=O)CC(C)(C)CC#N)CCC2 ZINC000331314602 534509622 /nfs/dbraw/zinc/50/96/22/534509622.db2.gz ISRNKNRWIOGSLN-CYBMUJFWSA-N 1 2 324.450 1.928 20 30 DDEDLO Cc1cn2cc(NC(=O)N3C[C@H]4OCCN(C)[C@@H]4C3)ccc2[nH+]1 ZINC000329922989 534515444 /nfs/dbraw/zinc/51/54/44/534515444.db2.gz IGLLOPHWYUMPTK-ZIAGYGMSSA-N 1 2 315.377 1.203 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCn3cc[nH+]c3C2)CCCCC1 ZINC000330025277 534556506 /nfs/dbraw/zinc/55/65/06/534556506.db2.gz KJIUKVREXQCCNG-UHFFFAOYSA-N 1 2 308.407 1.503 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)NCc2cc(F)cc(F)c2)CC1 ZINC000352442691 534567114 /nfs/dbraw/zinc/56/71/14/534567114.db2.gz VTWBDRDYOVOVFO-UHFFFAOYSA-N 1 2 311.307 1.909 20 30 DDEDLO Cc1cnc([C@H](C)CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)s1 ZINC000329696341 534630872 /nfs/dbraw/zinc/63/08/72/534630872.db2.gz XWXOYXKBLVBIAT-RTXFEEFZSA-N 1 2 324.450 1.484 20 30 DDEDLO Cc1cnc([C@H](C)CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)s1 ZINC000329696341 534630878 /nfs/dbraw/zinc/63/08/78/534630878.db2.gz XWXOYXKBLVBIAT-RTXFEEFZSA-N 1 2 324.450 1.484 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000345652692 534717974 /nfs/dbraw/zinc/71/79/74/534717974.db2.gz ZQYIDJCCMIPDMW-KRWDZBQOSA-N 1 2 323.400 1.670 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCn2cc[nH+]c2C1)N(C[C@@H]1CCOC1)C1CC1 ZINC000330249466 534762923 /nfs/dbraw/zinc/76/29/23/534762923.db2.gz GTLIOAZCSLWHJU-QWHCGFSZSA-N 1 2 304.394 1.613 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)N[C@H]2CCC[C@H]2C#N)CCO1 ZINC000333004746 534813996 /nfs/dbraw/zinc/81/39/96/534813996.db2.gz DOYZPUDFSYLHAV-XFMPKHEZSA-N 1 2 317.393 1.632 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C)cc2F)C1 ZINC000330951064 526401084 /nfs/dbraw/zinc/40/10/84/526401084.db2.gz PIISNDGKWUUOTP-LLVKDONJSA-N 1 2 308.357 1.280 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C)cc2F)C1 ZINC000330951064 526401090 /nfs/dbraw/zinc/40/10/90/526401090.db2.gz PIISNDGKWUUOTP-LLVKDONJSA-N 1 2 308.357 1.280 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000339986574 526478835 /nfs/dbraw/zinc/47/88/35/526478835.db2.gz CKMQIHPWHQMBPD-UHFFFAOYSA-N 1 2 320.780 1.638 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N2C[C@H](C)C[C@H](C)C2)C1=O ZINC000337235752 526499544 /nfs/dbraw/zinc/49/95/44/526499544.db2.gz XVKPQLLIQPYQKA-ZNMIVQPWSA-N 1 2 307.438 1.210 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N2C[C@H](C)C[C@H](C)C2)C1=O ZINC000337235752 526499549 /nfs/dbraw/zinc/49/95/49/526499549.db2.gz XVKPQLLIQPYQKA-ZNMIVQPWSA-N 1 2 307.438 1.210 20 30 DDEDLO C=CCNC(=O)Cc1noc([C@@H](c2ccccc2)[NH+](C)C)n1 ZINC000346984320 526580676 /nfs/dbraw/zinc/58/06/76/526580676.db2.gz IDLDNLBOUUSWQG-OAHLLOKOSA-N 1 2 300.362 1.565 20 30 DDEDLO C=C(C)COCCNC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@@H]1C ZINC000343660004 526648900 /nfs/dbraw/zinc/64/89/00/526648900.db2.gz SCZUNYRZIGVLAN-LSDHHAIUSA-N 1 2 303.406 1.863 20 30 DDEDLO C#CCN(C)C(=O)NCc1ccc(N2C[C@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000491677433 526793004 /nfs/dbraw/zinc/79/30/04/526793004.db2.gz QOPLCSXCBGWIOA-KBPBESRZSA-N 1 2 316.405 1.470 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCCc1cccc2cccnc21)C1CC1 ZINC000491747190 526882894 /nfs/dbraw/zinc/88/28/94/526882894.db2.gz ZOOINRMGXVREBK-UHFFFAOYSA-N 1 2 307.397 1.991 20 30 DDEDLO C#CC[N@H+](CC(=O)NCCc1cccc2cccnc21)C1CC1 ZINC000491747190 526882896 /nfs/dbraw/zinc/88/28/96/526882896.db2.gz ZOOINRMGXVREBK-UHFFFAOYSA-N 1 2 307.397 1.991 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1CCN(c2ccccc2F)CC1)C1CC1 ZINC000491316341 526883072 /nfs/dbraw/zinc/88/30/72/526883072.db2.gz UOROVOSZLGKCFJ-UHFFFAOYSA-N 1 2 315.392 1.572 20 30 DDEDLO C#CC[N@H+](CC(=O)N1CCN(c2ccccc2F)CC1)C1CC1 ZINC000491316341 526883074 /nfs/dbraw/zinc/88/30/74/526883074.db2.gz UOROVOSZLGKCFJ-UHFFFAOYSA-N 1 2 315.392 1.572 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1c(C#N)cnn1-c1ccccc1 ZINC000490838918 526896473 /nfs/dbraw/zinc/89/64/73/526896473.db2.gz FJMZAAMJDFDROS-UHFFFAOYSA-N 1 2 307.357 1.638 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1c(C#N)cnn1-c1ccccc1 ZINC000490838918 526896478 /nfs/dbraw/zinc/89/64/78/526896478.db2.gz FJMZAAMJDFDROS-UHFFFAOYSA-N 1 2 307.357 1.638 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000491209524 526939674 /nfs/dbraw/zinc/93/96/74/526939674.db2.gz IIDVTTIFZUXPRB-OAHLLOKOSA-N 1 2 310.357 1.726 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000491209524 526939680 /nfs/dbraw/zinc/93/96/80/526939680.db2.gz IIDVTTIFZUXPRB-OAHLLOKOSA-N 1 2 310.357 1.726 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C)nc2Cl)CC1 ZINC000491047812 526952005 /nfs/dbraw/zinc/95/20/05/526952005.db2.gz VXYNCLXDPFIKFJ-UHFFFAOYSA-N 1 2 307.781 1.200 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@](C)(C3CCCC3)CC2=O)CC1 ZINC000491041178 526953492 /nfs/dbraw/zinc/95/34/92/526953492.db2.gz XXTWKZHHMRZOHW-GOSISDBHSA-N 1 2 303.450 1.624 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCO[C@@H](C2CCCCC2)C1 ZINC000330905752 526956455 /nfs/dbraw/zinc/95/64/55/526956455.db2.gz ZMKIYUFHVOGRPW-DZGCQCFKSA-N 1 2 323.437 1.872 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCO[C@@H](C2CCCCC2)C1 ZINC000330905752 526956459 /nfs/dbraw/zinc/95/64/59/526956459.db2.gz ZMKIYUFHVOGRPW-DZGCQCFKSA-N 1 2 323.437 1.872 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C2(CCC=C)CC2)CC1 ZINC000491640456 526989967 /nfs/dbraw/zinc/98/99/67/526989967.db2.gz YJOCUYPRVKMXIN-UHFFFAOYSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(CCC=C)CC2)CC1 ZINC000491640456 526989971 /nfs/dbraw/zinc/98/99/71/526989971.db2.gz YJOCUYPRVKMXIN-UHFFFAOYSA-N 1 2 317.433 1.017 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@@H+]1CCO[C@H](CC)C1 ZINC000495133500 526991457 /nfs/dbraw/zinc/99/14/57/526991457.db2.gz UHUFDIKUEFDXPN-CYBMUJFWSA-N 1 2 301.456 1.316 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@H+]1CCO[C@H](CC)C1 ZINC000495133500 526991465 /nfs/dbraw/zinc/99/14/65/526991465.db2.gz UHUFDIKUEFDXPN-CYBMUJFWSA-N 1 2 301.456 1.316 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000341226215 527090903 /nfs/dbraw/zinc/09/09/03/527090903.db2.gz JHLIVHQIZXWMHY-AWEZNQCLSA-N 1 2 300.362 1.609 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000341708696 527173956 /nfs/dbraw/zinc/17/39/56/527173956.db2.gz UHQVCUFEMQRZDL-NSHDSACASA-N 1 2 322.796 1.972 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000346950947 527197562 /nfs/dbraw/zinc/19/75/62/527197562.db2.gz WSEDXMOGLABKTG-LLVKDONJSA-N 1 2 308.769 1.724 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000491633375 527203596 /nfs/dbraw/zinc/20/35/96/527203596.db2.gz PZAMEGMMIYARBG-OAHLLOKOSA-N 1 2 315.417 1.682 20 30 DDEDLO CC(=O)c1ccc(C#N)cc1NC[C@H](C)[NH+]1CCN(C)CC1 ZINC000302077745 527231803 /nfs/dbraw/zinc/23/18/03/527231803.db2.gz AQHYMVNLQPDLQY-ZDUSSCGKSA-N 1 2 300.406 1.809 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[NH+]2C[C@@H](OC)[C@H](OC)C2)c1C ZINC000488059848 527296103 /nfs/dbraw/zinc/29/61/03/527296103.db2.gz GUFUWTCIHYSNRG-IAGOWNOFSA-N 1 2 306.406 1.819 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000491746389 527297616 /nfs/dbraw/zinc/29/76/16/527297616.db2.gz VEVPSSMLMVHCNE-GHMZBOCLSA-N 1 2 315.295 1.317 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCOc1ccc(/C=C\C(=O)OC)cc1 ZINC000491770949 527311356 /nfs/dbraw/zinc/31/13/56/527311356.db2.gz QQYZNBLZQXYGRE-CXOKCQFOSA-N 1 2 315.369 1.586 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCOc1ccc(/C=C\C(=O)OC)cc1 ZINC000491770949 527311363 /nfs/dbraw/zinc/31/13/63/527311363.db2.gz QQYZNBLZQXYGRE-CXOKCQFOSA-N 1 2 315.369 1.586 20 30 DDEDLO C#C[C@H]1COCCN1Cc1c[nH+]c2ccc(Br)cn12 ZINC000491427373 527429755 /nfs/dbraw/zinc/42/97/55/527429755.db2.gz KPOXONIOQXRHCM-LBPRGKRZSA-N 1 2 320.190 1.931 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1Cc1ccc(O[C@@H](C)C(=O)OC)cc1 ZINC000491658214 527429894 /nfs/dbraw/zinc/42/98/94/527429894.db2.gz HGJIFMSCUFCLNF-ZFWWWQNUSA-N 1 2 303.358 1.461 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1Cc1ccc(O[C@@H](C)C(=O)OC)cc1 ZINC000491658214 527429901 /nfs/dbraw/zinc/42/99/01/527429901.db2.gz HGJIFMSCUFCLNF-ZFWWWQNUSA-N 1 2 303.358 1.461 20 30 DDEDLO CC(=O)N[C@H](CC1CCC1)C(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000329903970 527544476 /nfs/dbraw/zinc/54/44/76/527544476.db2.gz QSFFGBJBELOBTI-HZPDHXFCSA-N 1 2 323.437 1.455 20 30 DDEDLO CC(C)(C)NC(=O)NC(=O)C[N@@H+]1CC[C@@H](Oc2ccncc2)C1 ZINC000330940844 527558434 /nfs/dbraw/zinc/55/84/34/527558434.db2.gz CPGQKVNBJUQBBJ-CYBMUJFWSA-N 1 2 320.393 1.363 20 30 DDEDLO CC(C)(C)NC(=O)NC(=O)C[N@H+]1CC[C@@H](Oc2ccncc2)C1 ZINC000330940844 527558437 /nfs/dbraw/zinc/55/84/37/527558437.db2.gz CPGQKVNBJUQBBJ-CYBMUJFWSA-N 1 2 320.393 1.363 20 30 DDEDLO CC[N@H+](CC(=O)N1CCO[C@H](C#N)C1)Cc1ccccc1Cl ZINC000339274592 527897117 /nfs/dbraw/zinc/89/71/17/527897117.db2.gz WFMRHYNLQHZWHP-CQSZACIVSA-N 1 2 321.808 1.913 20 30 DDEDLO CC[N@@H+](CC(=O)N1CCO[C@H](C#N)C1)Cc1ccccc1Cl ZINC000339274592 527897123 /nfs/dbraw/zinc/89/71/23/527897123.db2.gz WFMRHYNLQHZWHP-CQSZACIVSA-N 1 2 321.808 1.913 20 30 DDEDLO CCC(=O)N1CCCC[C@H]1C(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000329895695 528302306 /nfs/dbraw/zinc/30/23/06/528302306.db2.gz CBBJHOZROWPSBI-AWEZNQCLSA-N 1 2 323.437 1.599 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC000329648581 528726393 /nfs/dbraw/zinc/72/63/93/528726393.db2.gz BPZOKZRKBSMTQA-LBPRGKRZSA-N 1 2 313.423 1.963 20 30 DDEDLO CC(C)N1C[C@@H](NC(=O)NCc2ccc[nH+]c2N(C)C)CC1=O ZINC000330876037 529091975 /nfs/dbraw/zinc/09/19/75/529091975.db2.gz VBDVSKWCJYWQQU-ZDUSSCGKSA-N 1 2 319.409 1.161 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)[C@@]2(C#N)CC23CCCC3)CCO1 ZINC000333061507 529118599 /nfs/dbraw/zinc/11/85/99/529118599.db2.gz BEAKPVCOVCBUOJ-KRWDZBQOSA-N 1 2 305.422 1.687 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)[C@@]2(C#N)CC23CCCC3)CCO1 ZINC000333061507 529118602 /nfs/dbraw/zinc/11/86/02/529118602.db2.gz BEAKPVCOVCBUOJ-KRWDZBQOSA-N 1 2 305.422 1.687 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)C[C@@H](C)O1 ZINC000330702624 529285983 /nfs/dbraw/zinc/28/59/83/529285983.db2.gz OZWXMCUOTNTUMC-CJNGLKHVSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)C[C@@H](C)O1 ZINC000330702624 529285984 /nfs/dbraw/zinc/28/59/84/529285984.db2.gz OZWXMCUOTNTUMC-CJNGLKHVSA-N 1 2 301.390 1.674 20 30 DDEDLO N#CCCN1CC[NH+](Cc2ccc(F)c(-c3nn[nH]n3)c2)CC1 ZINC000826382131 608038436 /nfs/dbraw/zinc/03/84/36/608038436.db2.gz PWCWFISLMKCNSC-UHFFFAOYSA-N 1 2 315.356 1.037 20 30 DDEDLO CC(=O)N1CC[C@]2(C1)C[N@H+](Cc1cc(C#N)ccc1F)CCO2 ZINC000972196960 695173127 /nfs/dbraw/zinc/17/31/27/695173127.db2.gz LXPPXKZKVDUFKS-QGZVFWFLSA-N 1 2 317.364 1.521 20 30 DDEDLO CC(=O)N1CC[C@]2(C1)C[N@@H+](Cc1cc(C#N)ccc1F)CCO2 ZINC000972196960 695173128 /nfs/dbraw/zinc/17/31/28/695173128.db2.gz LXPPXKZKVDUFKS-QGZVFWFLSA-N 1 2 317.364 1.521 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3C=CCC3)C2)C1 ZINC000972247693 695189613 /nfs/dbraw/zinc/18/96/13/695189613.db2.gz GHWWKSKUPPNWCY-WMZOPIPTSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3C=CCC3)C2)C1 ZINC000972247693 695189614 /nfs/dbraw/zinc/18/96/14/695189614.db2.gz GHWWKSKUPPNWCY-WMZOPIPTSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC(F)(F)F)C2)C1 ZINC000972288980 695206475 /nfs/dbraw/zinc/20/64/75/695206475.db2.gz JKJZVTSJWSLLCE-ZDUSSCGKSA-N 1 2 304.312 1.265 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC(F)(F)F)C2)C1 ZINC000972288980 695206477 /nfs/dbraw/zinc/20/64/77/695206477.db2.gz JKJZVTSJWSLLCE-ZDUSSCGKSA-N 1 2 304.312 1.265 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)sn3)C2)C1 ZINC000972361175 695228747 /nfs/dbraw/zinc/22/87/47/695228747.db2.gz FDVZEACILDROJX-MRXNPFEDSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)sn3)C2)C1 ZINC000972361175 695228748 /nfs/dbraw/zinc/22/87/48/695228748.db2.gz FDVZEACILDROJX-MRXNPFEDSA-N 1 2 319.430 1.392 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cn(C)nc3CC)C2)C1 ZINC000972425163 695246989 /nfs/dbraw/zinc/24/69/89/695246989.db2.gz NSWAIMCNJAQSKE-KRWDZBQOSA-N 1 2 318.421 1.085 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cn(C)nc3CC)C2)C1 ZINC000972425163 695246991 /nfs/dbraw/zinc/24/69/91/695246991.db2.gz NSWAIMCNJAQSKE-KRWDZBQOSA-N 1 2 318.421 1.085 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C34CCC(CC3)C4)C2)C1 ZINC000972433942 695250531 /nfs/dbraw/zinc/25/05/31/695250531.db2.gz DHEJMTARCPNKGL-VJFUWPCTSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C34CCC(CC3)C4)C2)C1 ZINC000972433942 695250533 /nfs/dbraw/zinc/25/05/33/695250533.db2.gz DHEJMTARCPNKGL-VJFUWPCTSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3Cc4ccccc43)C2)C1 ZINC000972525800 695272670 /nfs/dbraw/zinc/27/26/70/695272670.db2.gz CJSYRDBPPPJALX-IEBWSBKVSA-N 1 2 310.397 1.263 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3Cc4ccccc43)C2)C1 ZINC000972525800 695272673 /nfs/dbraw/zinc/27/26/73/695272673.db2.gz CJSYRDBPPPJALX-IEBWSBKVSA-N 1 2 310.397 1.263 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@]2(C1)C[N@H+](CC#CC)CCO2 ZINC000972532635 695274330 /nfs/dbraw/zinc/27/43/30/695274330.db2.gz SZUZMKGROAKDQT-ZWKOTPCHSA-N 1 2 304.434 1.915 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@]2(C1)C[N@@H+](CC#CC)CCO2 ZINC000972532635 695274331 /nfs/dbraw/zinc/27/43/31/695274331.db2.gz SZUZMKGROAKDQT-ZWKOTPCHSA-N 1 2 304.434 1.915 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CC4(CC4)C3)C2)C1 ZINC000972549499 695279368 /nfs/dbraw/zinc/27/93/68/695279368.db2.gz OSSMETZAZJRQMS-SFHVURJKSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CC4(CC4)C3)C2)C1 ZINC000972549499 695279370 /nfs/dbraw/zinc/27/93/70/695279370.db2.gz OSSMETZAZJRQMS-SFHVURJKSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3CCCOC3)C2)C1 ZINC000972677598 695315984 /nfs/dbraw/zinc/31/59/84/695315984.db2.gz RULIYWBAMYSJEM-AEFFLSMTSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3CCCOC3)C2)C1 ZINC000972677598 695315985 /nfs/dbraw/zinc/31/59/85/695315985.db2.gz RULIYWBAMYSJEM-AEFFLSMTSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H](C)C3CC3)C2)C1 ZINC000972693350 695317971 /nfs/dbraw/zinc/31/79/71/695317971.db2.gz CGSAOHNUFCZVJK-MAUKXSAKSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H](C)C3CC3)C2)C1 ZINC000972693350 695317972 /nfs/dbraw/zinc/31/79/72/695317972.db2.gz CGSAOHNUFCZVJK-MAUKXSAKSA-N 1 2 304.434 1.749 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnn(C)c2C)C(C)(C)C1 ZINC000972810845 695337332 /nfs/dbraw/zinc/33/73/32/695337332.db2.gz BCQJYBSNGWJFPV-ZDUSSCGKSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnn(C)c2C)C(C)(C)C1 ZINC000972810845 695337334 /nfs/dbraw/zinc/33/73/34/695337334.db2.gz BCQJYBSNGWJFPV-ZDUSSCGKSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc(OC)ncn2)C(C)(C)C1 ZINC000974586862 695691498 /nfs/dbraw/zinc/69/14/98/695691498.db2.gz KRZGUVPIKHIGDB-LBPRGKRZSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc(OC)ncn2)C(C)(C)C1 ZINC000974586862 695691500 /nfs/dbraw/zinc/69/15/00/695691500.db2.gz KRZGUVPIKHIGDB-LBPRGKRZSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C(C)(C)C1 ZINC000975068246 695787946 /nfs/dbraw/zinc/78/79/46/695787946.db2.gz YDYDTTYLEWMPLL-GFCCVEGCSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C(C)(C)C1 ZINC000975068246 695787948 /nfs/dbraw/zinc/78/79/48/695787948.db2.gz YDYDTTYLEWMPLL-GFCCVEGCSA-N 1 2 324.812 1.306 20 30 DDEDLO C#Cc1cncc(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)c1 ZINC000798156476 700044961 /nfs/dbraw/zinc/04/49/61/700044961.db2.gz LELVFZBDOXMPFP-UHFFFAOYSA-N 1 2 306.369 1.988 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cncn2C)C(C)(C)C1 ZINC000977455704 696170847 /nfs/dbraw/zinc/17/08/47/696170847.db2.gz SWOMERGNYOTKQD-CYBMUJFWSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cncn2C)C(C)(C)C1 ZINC000977455704 696170848 /nfs/dbraw/zinc/17/08/48/696170848.db2.gz SWOMERGNYOTKQD-CYBMUJFWSA-N 1 2 310.829 1.542 20 30 DDEDLO COc1c(C)c[nH+]c(CN[C@H](C(N)=O)c2ccc(C#N)cc2)c1C ZINC000115598795 696665665 /nfs/dbraw/zinc/66/56/65/696665665.db2.gz DDLUGKPLHDMFMD-INIZCTEOSA-N 1 2 324.384 1.895 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCC(=O)N2CCCC[C@H]2C)cc1 ZINC000125460652 696753472 /nfs/dbraw/zinc/75/34/72/696753472.db2.gz UAXFHKUGENYZDP-CYBMUJFWSA-N 1 2 319.405 1.928 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CCCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000981669719 696867157 /nfs/dbraw/zinc/86/71/57/696867157.db2.gz VKZVOIUXXMGUOO-UONOGXRCSA-N 1 2 313.405 1.622 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CCCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000981669719 696867162 /nfs/dbraw/zinc/86/71/62/696867162.db2.gz VKZVOIUXXMGUOO-UONOGXRCSA-N 1 2 313.405 1.622 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC000982004240 696999805 /nfs/dbraw/zinc/99/98/05/696999805.db2.gz VHEVCIWDHUGZFE-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC000982004240 696999807 /nfs/dbraw/zinc/99/98/07/696999807.db2.gz VHEVCIWDHUGZFE-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)CN1CCCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)CC1 ZINC000981486113 697109611 /nfs/dbraw/zinc/10/96/11/697109611.db2.gz KYNDBQDPNPKHIQ-CQSZACIVSA-N 1 2 322.840 1.732 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+]2CC[C@H]2c2cccc(F)c2)CC1 ZINC000748834433 700137805 /nfs/dbraw/zinc/13/78/05/700137805.db2.gz XEBUFUCXQFVUEK-KRWDZBQOSA-N 1 2 315.392 1.350 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+]2CC[C@H]2c2cccc(F)c2)CC1 ZINC000748834433 700137806 /nfs/dbraw/zinc/13/78/06/700137806.db2.gz XEBUFUCXQFVUEK-KRWDZBQOSA-N 1 2 315.392 1.350 20 30 DDEDLO C#C[C@H](NC(=O)NCc1ccccc1-n1cc[nH+]c1)[C@@H]1CCCO1 ZINC000773232800 697731753 /nfs/dbraw/zinc/73/17/53/697731753.db2.gz FOAQRIHBINTLHG-RDJZCZTQSA-N 1 2 324.384 1.852 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[NH+]([C@@H](CC)CO)CC1 ZINC000195315789 697739307 /nfs/dbraw/zinc/73/93/07/697739307.db2.gz LOOSCESUBSOOQA-HNNXBMFYSA-N 1 2 320.499 1.675 20 30 DDEDLO C#C[C@H](NC(=O)NCCCCNc1cccc[nH+]1)[C@@H]1CCCO1 ZINC000773566124 697782233 /nfs/dbraw/zinc/78/22/33/697782233.db2.gz YKUPTQFOURFEEE-GJZGRUSLSA-N 1 2 316.405 1.754 20 30 DDEDLO C[C@H]1C[N@H+](CCOC(=O)/C=C/c2ccccc2C#N)CCO1 ZINC000800125775 700190697 /nfs/dbraw/zinc/19/06/97/700190697.db2.gz WUKQDVWABRWIAD-UZYOAWRESA-N 1 2 300.358 1.835 20 30 DDEDLO C[C@H]1C[N@@H+](CCOC(=O)/C=C/c2ccccc2C#N)CCO1 ZINC000800125775 700190698 /nfs/dbraw/zinc/19/06/98/700190698.db2.gz WUKQDVWABRWIAD-UZYOAWRESA-N 1 2 300.358 1.835 20 30 DDEDLO C#C[C@H](NC(=O)c1ccc(Cn2cc[nH+]c2)cc1)[C@H]1CCCO1 ZINC000775329485 697982966 /nfs/dbraw/zinc/98/29/66/697982966.db2.gz QBKZQBMFOPRZLR-DLBZAZTESA-N 1 2 309.369 1.842 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+]CC(=O)N1CCOCC1 ZINC000778179246 698247309 /nfs/dbraw/zinc/24/73/09/698247309.db2.gz SBAHDDVIFVMMNN-UHFFFAOYSA-N 1 2 322.792 1.300 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000778236195 698251948 /nfs/dbraw/zinc/25/19/48/698251948.db2.gz YYRXWHKTDNSMGI-HOTGVXAUSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000778236195 698251951 /nfs/dbraw/zinc/25/19/51/698251951.db2.gz YYRXWHKTDNSMGI-HOTGVXAUSA-N 1 2 318.373 1.741 20 30 DDEDLO C#CCCS(=O)(=O)NC[C@@H](c1ccccc1Cl)[NH+](C)C ZINC000279516698 698537936 /nfs/dbraw/zinc/53/79/36/698537936.db2.gz SFHJNZWPLXLONS-AWEZNQCLSA-N 1 2 314.838 1.885 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)N2CCC(n3cc[nH+]c3)CC2)cc1 ZINC000780920600 698572026 /nfs/dbraw/zinc/57/20/26/698572026.db2.gz KTCKAYZPODOJGQ-MRXNPFEDSA-N 1 2 310.357 1.652 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)cc1 ZINC000780926583 698572284 /nfs/dbraw/zinc/57/22/84/698572284.db2.gz JVBIHUHATWXJJL-GJZGRUSLSA-N 1 2 310.357 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2CCc3n[nH]cc3C2)CC1 ZINC000989472896 698635232 /nfs/dbraw/zinc/63/52/32/698635232.db2.gz PRQJCRAPSSYBEA-ZDUSSCGKSA-N 1 2 322.840 1.801 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2CCc3n[nH]cc3C2)CC1 ZINC000989472896 698635234 /nfs/dbraw/zinc/63/52/34/698635234.db2.gz PRQJCRAPSSYBEA-ZDUSSCGKSA-N 1 2 322.840 1.801 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2CCc3n[nH]cc3C2)CC1 ZINC000989472895 698635427 /nfs/dbraw/zinc/63/54/27/698635427.db2.gz PRQJCRAPSSYBEA-CYBMUJFWSA-N 1 2 322.840 1.801 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2CCc3n[nH]cc3C2)CC1 ZINC000989472895 698635428 /nfs/dbraw/zinc/63/54/28/698635428.db2.gz PRQJCRAPSSYBEA-CYBMUJFWSA-N 1 2 322.840 1.801 20 30 DDEDLO N#Cc1c2c(cn(C[N@@H+]3CCC[C@@H]3[C@H]3CCCO3)c1=O)CCC2 ZINC000789508456 699390976 /nfs/dbraw/zinc/39/09/76/699390976.db2.gz RCLVDMDHIYUCAV-IAGOWNOFSA-N 1 2 313.401 1.810 20 30 DDEDLO N#Cc1c2c(cn(C[N@H+]3CCC[C@@H]3[C@H]3CCCO3)c1=O)CCC2 ZINC000789508456 699390979 /nfs/dbraw/zinc/39/09/79/699390979.db2.gz RCLVDMDHIYUCAV-IAGOWNOFSA-N 1 2 313.401 1.810 20 30 DDEDLO Cc1ccn(C[NH+]2CCC3(CCOCC3)CC2)c(=O)c1C#N ZINC000789530596 699393336 /nfs/dbraw/zinc/39/33/36/699393336.db2.gz JNPIXYAAOLIKIO-UHFFFAOYSA-N 1 2 301.390 1.879 20 30 DDEDLO C=CC[C@H](NC(=O)NCC[N@@H+]1CCO[C@H](C)C1)c1ccncc1 ZINC000734666529 699658539 /nfs/dbraw/zinc/65/85/39/699658539.db2.gz ZDFBFIRYJKYDER-ZBFHGGJFSA-N 1 2 318.421 1.719 20 30 DDEDLO C=CC[C@H](NC(=O)NCC[N@H+]1CCO[C@H](C)C1)c1ccncc1 ZINC000734666529 699658541 /nfs/dbraw/zinc/65/85/41/699658541.db2.gz ZDFBFIRYJKYDER-ZBFHGGJFSA-N 1 2 318.421 1.719 20 30 DDEDLO N#CCCNC(=O)C[N@H+]1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000738339830 699757349 /nfs/dbraw/zinc/75/73/49/699757349.db2.gz KFJBKYBPEWXLBO-AWEZNQCLSA-N 1 2 317.364 1.750 20 30 DDEDLO N#CCCNC(=O)C[N@@H+]1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000738339830 699757351 /nfs/dbraw/zinc/75/73/51/699757351.db2.gz KFJBKYBPEWXLBO-AWEZNQCLSA-N 1 2 317.364 1.750 20 30 DDEDLO COC[C@@H]1CCC[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000793498219 699761418 /nfs/dbraw/zinc/76/14/18/699761418.db2.gz LIBRQMYIFDPTDA-CQSZACIVSA-N 1 2 315.417 1.915 20 30 DDEDLO COC[C@@H]1CCC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000793498219 699761420 /nfs/dbraw/zinc/76/14/20/699761420.db2.gz LIBRQMYIFDPTDA-CQSZACIVSA-N 1 2 315.417 1.915 20 30 DDEDLO Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)n1ncc(C#N)c1N ZINC000795037823 699843223 /nfs/dbraw/zinc/84/32/23/699843223.db2.gz HYUDARUYPRUEOI-UHFFFAOYSA-N 1 2 316.271 1.614 20 30 DDEDLO C=C(C)C[N@@H+](Cc1cnn(C(C)C)c1)[C@@H]1CCC(=O)NC1=O ZINC000796453449 699934696 /nfs/dbraw/zinc/93/46/96/699934696.db2.gz HOAOQABTWHZYBF-CQSZACIVSA-N 1 2 304.394 1.647 20 30 DDEDLO C=C(C)C[N@H+](Cc1cnn(C(C)C)c1)[C@@H]1CCC(=O)NC1=O ZINC000796453449 699934698 /nfs/dbraw/zinc/93/46/98/699934698.db2.gz HOAOQABTWHZYBF-CQSZACIVSA-N 1 2 304.394 1.647 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnn(C)c2C(F)(F)F)CC1 ZINC000744364497 699946710 /nfs/dbraw/zinc/94/67/10/699946710.db2.gz HFBDHOZKOCRLBT-UHFFFAOYSA-N 1 2 314.311 1.220 20 30 DDEDLO CCOC(=O)CC1CC[NH+](Cn2cccc(C#N)c2=O)CC1 ZINC000758184749 700687694 /nfs/dbraw/zinc/68/76/94/700687694.db2.gz IXXBRUKTDGGQKP-UHFFFAOYSA-N 1 2 303.362 1.343 20 30 DDEDLO Cc1ccn(C[N@@H+]2CCC[C@@H](C3OCCO3)C2)c(=O)c1C#N ZINC000758224309 700691220 /nfs/dbraw/zinc/69/12/20/700691220.db2.gz QXLNGGFYJSPWJY-CYBMUJFWSA-N 1 2 303.362 1.071 20 30 DDEDLO Cc1ccn(C[N@H+]2CCC[C@@H](C3OCCO3)C2)c(=O)c1C#N ZINC000758224309 700691221 /nfs/dbraw/zinc/69/12/21/700691221.db2.gz QXLNGGFYJSPWJY-CYBMUJFWSA-N 1 2 303.362 1.071 20 30 DDEDLO CC(C)S(=O)(=O)CCCO[NH+]=C(N)c1ccc(F)cc1 ZINC000758409989 700704251 /nfs/dbraw/zinc/70/42/51/700704251.db2.gz HMRUYANIBCOZCQ-UHFFFAOYSA-N 1 2 302.371 1.676 20 30 DDEDLO C#CCCCS(=O)(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000804947044 701221353 /nfs/dbraw/zinc/22/13/53/701221353.db2.gz SJLBVHOEUHMDNJ-UHFFFAOYSA-N 1 2 317.414 1.764 20 30 DDEDLO N#Cc1cccn(C[N@@H+]2CCSC3(CCOCC3)C2)c1=O ZINC000769773987 701255590 /nfs/dbraw/zinc/25/55/90/701255590.db2.gz FPYHVNHUPQAJLE-UHFFFAOYSA-N 1 2 305.403 1.275 20 30 DDEDLO N#Cc1cccn(C[N@H+]2CCSC3(CCOCC3)C2)c1=O ZINC000769773987 701255591 /nfs/dbraw/zinc/25/55/91/701255591.db2.gz FPYHVNHUPQAJLE-UHFFFAOYSA-N 1 2 305.403 1.275 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(N2CCCC2=O)cn1 ZINC000772173326 701345137 /nfs/dbraw/zinc/34/51/37/701345137.db2.gz MFABOCBDUAPVQT-AWEZNQCLSA-N 1 2 312.373 1.245 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(N2CCCC2=O)cn1 ZINC000772173326 701345138 /nfs/dbraw/zinc/34/51/38/701345138.db2.gz MFABOCBDUAPVQT-AWEZNQCLSA-N 1 2 312.373 1.245 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCc3cccc(NC(C)=O)c3C2)C1=O ZINC000879237596 706625000 /nfs/dbraw/zinc/62/50/00/706625000.db2.gz LSSBBEALNWZHDV-KRWDZBQOSA-N 1 2 313.401 1.790 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCc3cccc(NC(C)=O)c3C2)C1=O ZINC000879237596 706625001 /nfs/dbraw/zinc/62/50/01/706625001.db2.gz LSSBBEALNWZHDV-KRWDZBQOSA-N 1 2 313.401 1.790 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)C[C@@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC000840040968 701931495 /nfs/dbraw/zinc/93/14/95/701931495.db2.gz IIWSNGSKHNJCGO-HNNXBMFYSA-N 1 2 305.334 1.997 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)C[C@@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC000840040968 701931499 /nfs/dbraw/zinc/93/14/99/701931499.db2.gz IIWSNGSKHNJCGO-HNNXBMFYSA-N 1 2 305.334 1.997 20 30 DDEDLO CCc1ccc(C#CC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cc1 ZINC000815785570 701932131 /nfs/dbraw/zinc/93/21/31/701932131.db2.gz GVDWACXJILSNIJ-SFHVURJKSA-N 1 2 312.413 1.534 20 30 DDEDLO CC1(C)CCN(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1=O ZINC000840075568 701953975 /nfs/dbraw/zinc/95/39/75/701953975.db2.gz IPCFOKTZAXEHFJ-AWEZNQCLSA-N 1 2 314.389 1.622 20 30 DDEDLO CC1(C)CCN(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1=O ZINC000840075568 701953981 /nfs/dbraw/zinc/95/39/81/701953981.db2.gz IPCFOKTZAXEHFJ-AWEZNQCLSA-N 1 2 314.389 1.622 20 30 DDEDLO C=CCN1CCN(C[N@H+](C)CCc2ccccc2[N+](=O)[O-])C1=O ZINC000840081951 701957344 /nfs/dbraw/zinc/95/73/44/701957344.db2.gz YFSZWUCYKDUMKE-UHFFFAOYSA-N 1 2 318.377 1.950 20 30 DDEDLO C=CCN1CCN(C[N@@H+](C)CCc2ccccc2[N+](=O)[O-])C1=O ZINC000840081951 701957351 /nfs/dbraw/zinc/95/73/51/701957351.db2.gz YFSZWUCYKDUMKE-UHFFFAOYSA-N 1 2 318.377 1.950 20 30 DDEDLO Cn1cc(CN(CCn2cc[nH+]c2)C(=O)c2coc(C#N)c2)cn1 ZINC000868137590 701959256 /nfs/dbraw/zinc/95/92/56/701959256.db2.gz ZEXGCHMZTVEHNN-UHFFFAOYSA-N 1 2 324.344 1.424 20 30 DDEDLO C#C[C@@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000868490492 702158735 /nfs/dbraw/zinc/15/87/35/702158735.db2.gz XMJAZSLJKYOYFB-MJSXRHKHSA-N 1 2 302.349 1.505 20 30 DDEDLO CC(C)(NC(=O)/C=C\C[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000869238319 702527168 /nfs/dbraw/zinc/52/71/68/702527168.db2.gz GSDHBOJJYCSUQD-DAXSKMNVSA-N 1 2 313.401 1.798 20 30 DDEDLO C#CCCN1CCN(C(=O)C[C@H](C)n2cc[nH+]c2CC)CC1 ZINC000843780553 702922619 /nfs/dbraw/zinc/92/26/19/702922619.db2.gz FWOLOPLIHIJJOQ-HNNXBMFYSA-N 1 2 302.422 1.564 20 30 DDEDLO CC(C)(C)OC(=O)C(=O)N1CC[NH+]([C@@H]2CC[C@H](C#N)C2)CC1 ZINC000844340303 703017348 /nfs/dbraw/zinc/01/73/48/703017348.db2.gz BBUBNQYWHIWUOI-QWHCGFSZSA-N 1 2 307.394 1.165 20 30 DDEDLO C[C@H](CC(=O)N(C)C[C@H](O)c1cccc(C#N)c1)n1cc[nH+]c1 ZINC000846327716 703264999 /nfs/dbraw/zinc/26/49/99/703264999.db2.gz DVBKHKKXISRWBA-CJNGLKHVSA-N 1 2 312.373 1.898 20 30 DDEDLO C=C(C)CONC(=O)CC[NH+]1CCN(c2ccccn2)CC1 ZINC000846537812 703293347 /nfs/dbraw/zinc/29/33/47/703293347.db2.gz PKMDTUWBORLGGK-UHFFFAOYSA-N 1 2 304.394 1.218 20 30 DDEDLO Cc1cc(F)ccc1[C@H](O)C[NH+]1CCN(C(=O)CC#N)CC1 ZINC000846953143 703356906 /nfs/dbraw/zinc/35/69/06/703356906.db2.gz ABOYFGUQDDKUIS-OAHLLOKOSA-N 1 2 305.353 1.225 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CC(=O)Nc2ccc(OC)cc2[N+](=O)[O-])C1 ZINC000847024722 703367332 /nfs/dbraw/zinc/36/73/32/703367332.db2.gz KTHCDAYLOAKDMF-LBPRGKRZSA-N 1 2 317.345 1.887 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CC(=O)Nc2ccc(OC)cc2[N+](=O)[O-])C1 ZINC000847024722 703367334 /nfs/dbraw/zinc/36/73/34/703367334.db2.gz KTHCDAYLOAKDMF-LBPRGKRZSA-N 1 2 317.345 1.887 20 30 DDEDLO CC1(C)C[C@@H](O)C[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000879621470 706742009 /nfs/dbraw/zinc/74/20/09/706742009.db2.gz DOJAXRQASWWBCQ-OLZOCXBDSA-N 1 2 319.430 1.818 20 30 DDEDLO CC1(C)C[C@@H](O)C[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000879621470 706742010 /nfs/dbraw/zinc/74/20/10/706742010.db2.gz DOJAXRQASWWBCQ-OLZOCXBDSA-N 1 2 319.430 1.818 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2ccc(C)c(F)c2)CC1 ZINC000851729862 703839131 /nfs/dbraw/zinc/83/91/31/703839131.db2.gz ITDKSOCHRLMRMJ-UHFFFAOYSA-N 1 2 304.365 1.542 20 30 DDEDLO C#Cc1cccc(CNC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC000852375024 704027930 /nfs/dbraw/zinc/02/79/30/704027930.db2.gz TWXJHCWRUDPEMX-QGZVFWFLSA-N 1 2 313.401 1.284 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)c1ccccc1 ZINC000852392511 704032787 /nfs/dbraw/zinc/03/27/87/704032787.db2.gz MTFZVEWAWNIYDO-SJORKVTESA-N 1 2 313.401 1.477 20 30 DDEDLO C=C[C@H](OC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21)C(=O)OC ZINC000870532074 704070723 /nfs/dbraw/zinc/07/07/23/704070723.db2.gz OTJHMOXYAMDDPT-ZDUSSCGKSA-N 1 2 324.283 1.894 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000820020582 704204806 /nfs/dbraw/zinc/20/48/06/704204806.db2.gz CLMUMOFWQKWMSN-JKSUJKDBSA-N 1 2 311.385 1.822 20 30 DDEDLO Fc1cnccc1N[NH+]=Cc1ccc(N2CCOCC2)cc1 ZINC000853400626 704238165 /nfs/dbraw/zinc/23/81/65/704238165.db2.gz ABCPBHDKNSPPOB-UHFFFAOYSA-N 1 2 300.337 1.925 20 30 DDEDLO C=CC[N@H+](CN1C[C@H](c2ccccn2)CC1=O)[C@H](C)COC ZINC000853532890 704261224 /nfs/dbraw/zinc/26/12/24/704261224.db2.gz OTQHSLVGRTYPGT-HUUCEWRRSA-N 1 2 303.406 1.878 20 30 DDEDLO C=CC[N@@H+](CN1C[C@H](c2ccccn2)CC1=O)[C@H](C)COC ZINC000853532890 704261228 /nfs/dbraw/zinc/26/12/28/704261228.db2.gz OTQHSLVGRTYPGT-HUUCEWRRSA-N 1 2 303.406 1.878 20 30 DDEDLO C=CC[N@H+](CN1C[C@@H](c2cncn2C)CC1=O)[C@H](C)COC ZINC000853535626 704262169 /nfs/dbraw/zinc/26/21/69/704262169.db2.gz XVKVKASQLSIYOY-KGLIPLIRSA-N 1 2 306.410 1.216 20 30 DDEDLO C=CC[N@@H+](CN1C[C@@H](c2cncn2C)CC1=O)[C@H](C)COC ZINC000853535626 704262170 /nfs/dbraw/zinc/26/21/70/704262170.db2.gz XVKVKASQLSIYOY-KGLIPLIRSA-N 1 2 306.410 1.216 20 30 DDEDLO C#C[C@](C)(CC)NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000854697513 704441486 /nfs/dbraw/zinc/44/14/86/704441486.db2.gz DZFFNTUVFAZWJU-SJLPKXTDSA-N 1 2 300.402 1.806 20 30 DDEDLO C#C[C@](C)(CC)NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000854697513 704441488 /nfs/dbraw/zinc/44/14/88/704441488.db2.gz DZFFNTUVFAZWJU-SJLPKXTDSA-N 1 2 300.402 1.806 20 30 DDEDLO C[C@H](CNC(=O)c1cc(C#N)ccc1F)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000855048607 704467474 /nfs/dbraw/zinc/46/74/74/704467474.db2.gz CCYVMMBWRXNQGL-UPJWGTAASA-N 1 2 319.380 1.925 20 30 DDEDLO C[C@H](CNC(=O)c1cc(C#N)ccc1F)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000855048607 704467475 /nfs/dbraw/zinc/46/74/75/704467475.db2.gz CCYVMMBWRXNQGL-UPJWGTAASA-N 1 2 319.380 1.925 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1cc(C#N)ccc1F ZINC000855408667 704483209 /nfs/dbraw/zinc/48/32/09/704483209.db2.gz XGPCVWQIBOGRGI-NEPJUHHUSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1cc(C#N)ccc1F ZINC000855408667 704483210 /nfs/dbraw/zinc/48/32/10/704483210.db2.gz XGPCVWQIBOGRGI-NEPJUHHUSA-N 1 2 305.353 1.536 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000856327915 704523252 /nfs/dbraw/zinc/52/32/52/704523252.db2.gz GLLOGNPQHWDNAK-SFHVURJKSA-N 1 2 313.445 1.722 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000856327915 704523253 /nfs/dbraw/zinc/52/32/53/704523253.db2.gz GLLOGNPQHWDNAK-SFHVURJKSA-N 1 2 313.445 1.722 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000859025129 704786495 /nfs/dbraw/zinc/78/64/95/704786495.db2.gz NFIFKDJMLAPNGW-KBPBESRZSA-N 1 2 304.394 1.347 20 30 DDEDLO C[N@H+](C[C@@H](O)COc1ccccc1C#N)[C@H]1CC(C)(C)OC1=O ZINC000859313801 704860669 /nfs/dbraw/zinc/86/06/69/704860669.db2.gz HYKWWTXHVMTJHQ-KGLIPLIRSA-N 1 2 318.373 1.324 20 30 DDEDLO C[N@@H+](C[C@@H](O)COc1ccccc1C#N)[C@H]1CC(C)(C)OC1=O ZINC000859313801 704860676 /nfs/dbraw/zinc/86/06/76/704860676.db2.gz HYKWWTXHVMTJHQ-KGLIPLIRSA-N 1 2 318.373 1.324 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CCN(C2CC2)[C@H](C#N)C1)n1cc[nH+]c1 ZINC000874460498 705076332 /nfs/dbraw/zinc/07/63/32/705076332.db2.gz IWHFAXAGCLCJFS-CVEARBPZSA-N 1 2 315.421 1.669 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@@H+]1CCOC[C@H]1CC ZINC000875163281 705301025 /nfs/dbraw/zinc/30/10/25/705301025.db2.gz RHGYVNSRSJRYTM-LLVKDONJSA-N 1 2 320.231 1.305 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@H+]1CCOC[C@H]1CC ZINC000875163281 705301020 /nfs/dbraw/zinc/30/10/20/705301020.db2.gz RHGYVNSRSJRYTM-LLVKDONJSA-N 1 2 320.231 1.305 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2ccnn2-c2ccncc2)CC1 ZINC000824609656 705492915 /nfs/dbraw/zinc/49/29/15/705492915.db2.gz CHGGYULFDGZOSD-UHFFFAOYSA-N 1 2 323.400 1.229 20 30 DDEDLO CN(C)c1ccncc1C=[NH+]Nc1ccc(-c2nn[nH]n2)cc1 ZINC000825883321 705741099 /nfs/dbraw/zinc/74/10/99/705741099.db2.gz FPUFWWDVBMBZRX-UHFFFAOYSA-N 1 2 308.349 1.774 20 30 DDEDLO CC[C@@H](C)CN(C)c1ccc(F)cc1C=[NH+]NC1=NCC(=O)N1 ZINC000863174976 705872149 /nfs/dbraw/zinc/87/21/49/705872149.db2.gz LGBCZZYVPIVOBD-LLVKDONJSA-N 1 2 319.384 1.717 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C#N)cc1OC ZINC000828927584 706257060 /nfs/dbraw/zinc/25/70/60/706257060.db2.gz JGOIPCDRXPXIKP-AWEZNQCLSA-N 1 2 317.389 1.407 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(C#N)cc1OC ZINC000828927584 706257062 /nfs/dbraw/zinc/25/70/62/706257062.db2.gz JGOIPCDRXPXIKP-AWEZNQCLSA-N 1 2 317.389 1.407 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CCn3cnnn3)CC2)c(Cl)c1 ZINC000878515543 706413104 /nfs/dbraw/zinc/41/31/04/706413104.db2.gz CZPUZLHGRDPXNF-UHFFFAOYSA-N 1 2 317.784 1.020 20 30 DDEDLO C[C@H](CC#N)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000829908279 706417576 /nfs/dbraw/zinc/41/75/76/706417576.db2.gz NSTKESLPYWPQBD-OLZOCXBDSA-N 1 2 324.425 1.203 20 30 DDEDLO C[C@H](CC#N)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000829908279 706417578 /nfs/dbraw/zinc/41/75/78/706417578.db2.gz NSTKESLPYWPQBD-OLZOCXBDSA-N 1 2 324.425 1.203 20 30 DDEDLO COc1ccc(NC(=O)[C@@H](C)[NH+]2CCSCC2)cc1C#N ZINC000830416816 706514122 /nfs/dbraw/zinc/51/41/22/706514122.db2.gz JEDVCCRFRJGVHT-LLVKDONJSA-N 1 2 305.403 1.943 20 30 DDEDLO C#CCC1(O)CC[NH+]([C@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000880478578 706986680 /nfs/dbraw/zinc/98/66/80/706986680.db2.gz BRJZZJPPBVMEJE-INIZCTEOSA-N 1 2 316.376 1.781 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@@H+]2CCc3ncncc3C2)cc1 ZINC000880593264 707031842 /nfs/dbraw/zinc/03/18/42/707031842.db2.gz SDIYENPVJMHWBK-MRXNPFEDSA-N 1 2 324.384 1.341 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@H+]2CCc3ncncc3C2)cc1 ZINC000880593264 707031844 /nfs/dbraw/zinc/03/18/44/707031844.db2.gz SDIYENPVJMHWBK-MRXNPFEDSA-N 1 2 324.384 1.341 20 30 DDEDLO C#C[C@H](NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2)C(C)C ZINC000882153849 707519102 /nfs/dbraw/zinc/51/91/02/707519102.db2.gz KBEOEMMCEHPMOE-HNNXBMFYSA-N 1 2 306.431 1.611 20 30 DDEDLO C#C[C@H](NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2)C(C)C ZINC000882153849 707519106 /nfs/dbraw/zinc/51/91/06/707519106.db2.gz KBEOEMMCEHPMOE-HNNXBMFYSA-N 1 2 306.431 1.611 20 30 DDEDLO Cn1c[nH+]cc1CCOC(=O)c1ccc(NC(=O)CC#N)cc1 ZINC000837347197 707625974 /nfs/dbraw/zinc/62/59/74/707625974.db2.gz VLZDCBDFNWUKAP-UHFFFAOYSA-N 1 2 312.329 1.672 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCC2CCN(CC#N)CC2)c[nH+]1 ZINC000896668571 708113176 /nfs/dbraw/zinc/11/31/76/708113176.db2.gz UJCHGIXHBVPNLK-UHFFFAOYSA-N 1 2 303.410 1.278 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](CC)c1ccc(OC)cc1 ZINC000884057277 708120782 /nfs/dbraw/zinc/12/07/82/708120782.db2.gz ALQNPWNETYUJOO-GJZGRUSLSA-N 1 2 320.389 1.709 20 30 DDEDLO C=CCN1CCN(C[NH+]2CCC(C(=O)OC(C)C)CC2)C1=O ZINC000884475430 708310929 /nfs/dbraw/zinc/31/09/29/708310929.db2.gz ICDOHVLWBGGDKJ-UHFFFAOYSA-N 1 2 309.410 1.531 20 30 DDEDLO C[C@H](CS(=O)(=O)c1ccccc1)[NH2+]Cc1nc(C#N)cs1 ZINC000897629192 708400790 /nfs/dbraw/zinc/40/07/90/708400790.db2.gz SDXZZYIETJMVRC-LLVKDONJSA-N 1 2 321.427 1.967 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)C[C@@H]2CCC2(F)F)C1 ZINC000885509010 708561968 /nfs/dbraw/zinc/56/19/68/708561968.db2.gz ODXXVVFDWPYZMO-RYUDHWBXSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)C[C@@H]2CCC2(F)F)C1 ZINC000885509010 708561970 /nfs/dbraw/zinc/56/19/70/708561970.db2.gz ODXXVVFDWPYZMO-RYUDHWBXSA-N 1 2 306.378 1.049 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)CC1(C#N)CCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000886064788 708691130 /nfs/dbraw/zinc/69/11/30/708691130.db2.gz ILKXRKLDXLBUFE-ZIAGYGMSSA-N 1 2 312.435 1.106 20 30 DDEDLO C=CC[N@H+](C[C@H](O)CC1(C#N)CCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000886064788 708691131 /nfs/dbraw/zinc/69/11/31/708691131.db2.gz ILKXRKLDXLBUFE-ZIAGYGMSSA-N 1 2 312.435 1.106 20 30 DDEDLO Cc1n[nH]c(C)c1[C@H]1COCC[N@@H+]1C[C@@H](O)CC1(C#N)CCC1 ZINC000886098559 708697731 /nfs/dbraw/zinc/69/77/31/708697731.db2.gz NRKWWAOACLMXLX-LSDHHAIUSA-N 1 2 318.421 1.845 20 30 DDEDLO Cc1n[nH]c(C)c1[C@H]1COCC[N@H+]1C[C@@H](O)CC1(C#N)CCC1 ZINC000886098559 708697734 /nfs/dbraw/zinc/69/77/34/708697734.db2.gz NRKWWAOACLMXLX-LSDHHAIUSA-N 1 2 318.421 1.845 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)c2ccc(C#N)cn2)n1 ZINC000927767591 713051302 /nfs/dbraw/zinc/05/13/02/713051302.db2.gz HNOVSZJKURZUNT-UHFFFAOYSA-N 1 2 301.375 1.401 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCC[C@H](c2ncccn2)C1)C1CC1 ZINC000886675137 708811377 /nfs/dbraw/zinc/81/13/77/708811377.db2.gz XPNALYFOCWPFLY-GUYCJALGSA-N 1 2 313.405 1.464 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@H](c2ncccn2)C1)C1CC1 ZINC000886675137 708811378 /nfs/dbraw/zinc/81/13/78/708811378.db2.gz XPNALYFOCWPFLY-GUYCJALGSA-N 1 2 313.405 1.464 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@H](c2ncccn2)C1)C1CC1 ZINC000886675138 708811411 /nfs/dbraw/zinc/81/14/11/708811411.db2.gz XPNALYFOCWPFLY-SUMWQHHRSA-N 1 2 313.405 1.464 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@H](c2ncccn2)C1)C1CC1 ZINC000886675138 708811412 /nfs/dbraw/zinc/81/14/12/708811412.db2.gz XPNALYFOCWPFLY-SUMWQHHRSA-N 1 2 313.405 1.464 20 30 DDEDLO COC(=O)[C@@H](C[C@H]1CCCO1)[NH2+]CCc1ccc(C#N)cc1 ZINC000886942626 708898943 /nfs/dbraw/zinc/89/89/43/708898943.db2.gz XZCGFNDRXMFSLI-HZPDHXFCSA-N 1 2 302.374 1.801 20 30 DDEDLO C#C[C@@H](NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1)C1CCOCC1 ZINC000898977196 708942132 /nfs/dbraw/zinc/94/21/32/708942132.db2.gz HFQVFKBEPOWMTM-CZUORRHYSA-N 1 2 316.405 1.335 20 30 DDEDLO C#C[C@@H](NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1)C1CCOCC1 ZINC000898977196 708942136 /nfs/dbraw/zinc/94/21/36/708942136.db2.gz HFQVFKBEPOWMTM-CZUORRHYSA-N 1 2 316.405 1.335 20 30 DDEDLO C[C@@]1(C#N)CCN(C(=O)c2cccn2CC[NH+]2CCOCC2)C1 ZINC000912706895 713072422 /nfs/dbraw/zinc/07/24/22/713072422.db2.gz DCIVDIOXDCADSA-KRWDZBQOSA-N 1 2 316.405 1.196 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1c2ccccc2OC[C@@H]1F ZINC000928068082 713115313 /nfs/dbraw/zinc/11/53/13/713115313.db2.gz LLCHMLHDPRGCQN-DZKIICNBSA-N 1 2 302.349 1.672 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1c2ccccc2OC[C@@H]1F ZINC000928068082 713115314 /nfs/dbraw/zinc/11/53/14/713115314.db2.gz LLCHMLHDPRGCQN-DZKIICNBSA-N 1 2 302.349 1.672 20 30 DDEDLO C/C(=C\C(=O)Nc1ccn(CCC#N)n1)C[NH+]1CCOCC1 ZINC000900746228 709740283 /nfs/dbraw/zinc/74/02/83/709740283.db2.gz MFMJEDVGBUAAFH-ACCUITESSA-N 1 2 303.366 1.014 20 30 DDEDLO Cc1cccn2cc(CC(=O)NCC#C[C@H]3CCCCO3)[nH+]c12 ZINC000891128333 710102814 /nfs/dbraw/zinc/10/28/14/710102814.db2.gz NMKVGWMLFXDMTB-MRXNPFEDSA-N 1 2 311.385 1.874 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)N(C)Cc1cc(C#N)cs1 ZINC000891895389 710320591 /nfs/dbraw/zinc/32/05/91/710320591.db2.gz IQPWMVBFXYBLNM-LBPRGKRZSA-N 1 2 322.434 1.482 20 30 DDEDLO CC[C@H](CO)Nc1cc(NC2CCN(CC#N)CC2)[nH+]cn1 ZINC000892403319 710430856 /nfs/dbraw/zinc/43/08/56/710430856.db2.gz MDWNPBOBRNJGCM-GFCCVEGCSA-N 1 2 304.398 1.059 20 30 DDEDLO CC[C@H](CO)Nc1cc(NC2CCN(CC#N)CC2)nc[nH+]1 ZINC000892403319 710430858 /nfs/dbraw/zinc/43/08/58/710430858.db2.gz MDWNPBOBRNJGCM-GFCCVEGCSA-N 1 2 304.398 1.059 20 30 DDEDLO N#CCc1ccsc1C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC000913440719 713217366 /nfs/dbraw/zinc/21/73/66/713217366.db2.gz VXSZCRSFQVYYIS-LBPRGKRZSA-N 1 2 301.375 1.324 20 30 DDEDLO N#CCOc1ccccc1C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC000913445910 713219254 /nfs/dbraw/zinc/21/92/54/713219254.db2.gz VANWMKUDBTXYSQ-CQSZACIVSA-N 1 2 311.345 1.099 20 30 DDEDLO CCCC[C@@H](ON=C(C)C)C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC000913451937 713221622 /nfs/dbraw/zinc/22/16/22/713221622.db2.gz VRJONFCCMIABSB-LSDHHAIUSA-N 1 2 321.425 1.854 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)C#Cc3cccs3)CC[NH2+]2)cn1 ZINC000913460092 713225963 /nfs/dbraw/zinc/22/59/63/713225963.db2.gz LKVIVDSGOJKJBX-AWEZNQCLSA-N 1 2 300.387 1.006 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000928636795 713244611 /nfs/dbraw/zinc/24/46/11/713244611.db2.gz VUNLKLYMCXLOSR-AJTLQJAISA-N 1 2 319.449 1.933 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccccc1OCC#N ZINC000928637925 713245028 /nfs/dbraw/zinc/24/50/28/713245028.db2.gz HDVJDYGVNQXCGA-CQSZACIVSA-N 1 2 317.389 1.430 20 30 DDEDLO C#CC[N@H+](CN1CC(=O)N(Cc2ccc(C#N)cc2)C1=O)C(C)C ZINC000905743610 712136944 /nfs/dbraw/zinc/13/69/44/712136944.db2.gz WUADKACMGJKKRQ-UHFFFAOYSA-N 1 2 324.384 1.624 20 30 DDEDLO C#CC[N@@H+](CN1CC(=O)N(Cc2ccc(C#N)cc2)C1=O)C(C)C ZINC000905743610 712136945 /nfs/dbraw/zinc/13/69/45/712136945.db2.gz WUADKACMGJKKRQ-UHFFFAOYSA-N 1 2 324.384 1.624 20 30 DDEDLO C=CC[C@H]([NH2+]C1CCN(C(=O)c2ccoc2)CC1)C(=O)OC ZINC000905750229 712138664 /nfs/dbraw/zinc/13/86/64/712138664.db2.gz JPADJOGSBVDMMM-AWEZNQCLSA-N 1 2 306.362 1.592 20 30 DDEDLO C=CC[C@H]([NH2+]CCCNC(=O)c1ccc(F)cc1)C(=O)OC ZINC000905758618 712140228 /nfs/dbraw/zinc/14/02/28/712140228.db2.gz DAOOCWUJDRDZMI-AWEZNQCLSA-N 1 2 308.353 1.653 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H]2CC[C@H](C(F)(F)F)O2)nn1 ZINC000905831610 712159447 /nfs/dbraw/zinc/15/94/47/712159447.db2.gz BXWPQMYHLKQUCS-NWDGAFQWSA-N 1 2 302.300 1.501 20 30 DDEDLO N#C[C@H]1CCC[C@@H](OC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000905929844 712190213 /nfs/dbraw/zinc/19/02/13/712190213.db2.gz SFZPDJZXHZFJAO-JJXSEGSLSA-N 1 2 322.405 1.492 20 30 DDEDLO N#CC1CCN(C(=O)c2cccn2CC[NH+]2CCOCC2)CC1 ZINC000906457377 712329794 /nfs/dbraw/zinc/32/97/94/712329794.db2.gz PLMRCBIBEVITJP-UHFFFAOYSA-N 1 2 316.405 1.196 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000907834673 712633122 /nfs/dbraw/zinc/63/31/22/712633122.db2.gz AJRFFIBMMPTVPG-IDMDMSBLSA-N 1 2 319.449 1.886 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000908649580 712828793 /nfs/dbraw/zinc/82/87/93/712828793.db2.gz AOPQYHSVTMLBKJ-UONOGXRCSA-N 1 2 301.390 1.972 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)[C@@H](C)C[NH+]1CCOCC1 ZINC000908653814 712829937 /nfs/dbraw/zinc/82/99/37/712829937.db2.gz WNPIHVIOGZSPPF-LSDHHAIUSA-N 1 2 308.422 1.481 20 30 DDEDLO CCOCCOCCN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000929237451 713573389 /nfs/dbraw/zinc/57/33/89/713573389.db2.gz QSJURUQLYRTQQM-UHFFFAOYSA-N 1 2 317.433 1.729 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(F)cc2C#N)CCO1 ZINC000930287115 713794146 /nfs/dbraw/zinc/79/41/46/713794146.db2.gz GWJKECFTOAOEDM-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(F)cc2C#N)CCO1 ZINC000930287115 713794147 /nfs/dbraw/zinc/79/41/47/713794147.db2.gz GWJKECFTOAOEDM-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](C[C@@H]2CC[C@H](C(F)(F)F)O2)CC1 ZINC000930637158 713883874 /nfs/dbraw/zinc/88/38/74/713883874.db2.gz BJQWZZOKSMYWEV-WDEREUQCSA-N 1 2 320.311 1.875 20 30 DDEDLO CC1(C)C[C@@](C)([NH2+]C[C@H](O)CC2(C#N)CCOCC2)C(=O)O1 ZINC000930870875 713946794 /nfs/dbraw/zinc/94/67/94/713946794.db2.gz LCSCQYNFQWLUFW-IUODEOHRSA-N 1 2 310.394 1.132 20 30 DDEDLO C#CC1(O)CC[NH+](CCCS(=O)(=O)c2ccccc2)CC1 ZINC000931143314 714014113 /nfs/dbraw/zinc/01/41/13/714014113.db2.gz GNASICCKOGMDKL-UHFFFAOYSA-N 1 2 307.415 1.311 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2ccc(Cl)c(F)c2)CC1 ZINC000931151180 714016860 /nfs/dbraw/zinc/01/68/60/714016860.db2.gz HHQZYAOSHJICBW-UHFFFAOYSA-N 1 2 310.756 1.878 20 30 DDEDLO CC(C)N(CCC#N)C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000931326037 714068061 /nfs/dbraw/zinc/06/80/61/714068061.db2.gz CJJNKGQRZMBDGB-CABCVRRESA-N 1 2 309.410 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H]2CC=CCC2)CC1 ZINC000932039437 714243861 /nfs/dbraw/zinc/24/38/61/714243861.db2.gz SZHYRPWUJGTPFJ-INIZCTEOSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H]2CC=CCC2)CC1 ZINC000932039437 714243864 /nfs/dbraw/zinc/24/38/64/714243864.db2.gz SZHYRPWUJGTPFJ-INIZCTEOSA-N 1 2 317.433 1.017 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1C[C@@H](C)[C@H](NC(=O)OC(C)(C)C)C1 ZINC000932721224 714398240 /nfs/dbraw/zinc/39/82/40/714398240.db2.gz ZZWBMSCUVYUBAZ-UPJWGTAASA-N 1 2 312.410 1.949 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1C[C@@H](C)[C@H](NC(=O)OC(C)(C)C)C1 ZINC000932721224 714398242 /nfs/dbraw/zinc/39/82/42/714398242.db2.gz ZZWBMSCUVYUBAZ-UPJWGTAASA-N 1 2 312.410 1.949 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932898282 714433028 /nfs/dbraw/zinc/43/30/28/714433028.db2.gz KLPQFWIYZPYADB-JTQLQIEISA-N 1 2 322.331 1.241 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)[C@H](C)C1 ZINC000933174863 714527676 /nfs/dbraw/zinc/52/76/76/714527676.db2.gz FHFCUEHEMTUNCV-XHBSWPGZSA-N 1 2 307.394 1.068 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)[C@H](C)C1 ZINC000933174863 714527678 /nfs/dbraw/zinc/52/76/78/714527678.db2.gz FHFCUEHEMTUNCV-XHBSWPGZSA-N 1 2 307.394 1.068 20 30 DDEDLO C[C@@]1(C2CC2)COCC[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000934482139 714830110 /nfs/dbraw/zinc/83/01/10/714830110.db2.gz YIWCSKITJMBRNX-SFHVURJKSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@@]1(C2CC2)COCC[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000934482139 714830111 /nfs/dbraw/zinc/83/01/11/714830111.db2.gz YIWCSKITJMBRNX-SFHVURJKSA-N 1 2 313.401 1.675 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000934513399 714839648 /nfs/dbraw/zinc/83/96/48/714839648.db2.gz NUQUERQJVVESMP-INIZCTEOSA-N 1 2 313.401 1.815 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000934513399 714839650 /nfs/dbraw/zinc/83/96/50/714839650.db2.gz NUQUERQJVVESMP-INIZCTEOSA-N 1 2 313.401 1.815 20 30 DDEDLO C=CCOC[C@H](NC(=O)c1cc2c[nH+]ccc2[nH]1)C(=O)OC ZINC000935774991 715127571 /nfs/dbraw/zinc/12/75/71/715127571.db2.gz AEGHSTXJEIHZFS-ZDUSSCGKSA-N 1 2 303.318 1.037 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)CC1 ZINC000936280549 715169949 /nfs/dbraw/zinc/16/99/49/715169949.db2.gz NXXQIVRSTYDGOD-CQSZACIVSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([NH+]3CCN(C(=O)CC)CC3)C2)C1 ZINC000956566837 715471548 /nfs/dbraw/zinc/47/15/48/715471548.db2.gz HSYUVHPDKCYUFB-OAHLLOKOSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+]([C@@H]2CCN(C(C)=O)C2)CC1 ZINC000956604741 715485402 /nfs/dbraw/zinc/48/54/02/715485402.db2.gz GTOINXWMCTYTIQ-WBVHZDCISA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC000957130220 715761556 /nfs/dbraw/zinc/76/15/56/715761556.db2.gz OFQXHNMYGLREGY-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC000938318742 715798686 /nfs/dbraw/zinc/79/86/86/715798686.db2.gz SSKQNJQDPDIATC-ZDUSSCGKSA-N 1 2 318.421 1.282 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@H+](CC#Cc2ccccc2Cl)C[C@H]1O ZINC000957789761 716049201 /nfs/dbraw/zinc/04/92/01/716049201.db2.gz YPYGLPYBWVPVNQ-GDBMZVCRSA-N 1 2 306.793 1.120 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@@H+](CC#Cc2ccccc2Cl)C[C@H]1O ZINC000957789761 716049206 /nfs/dbraw/zinc/04/92/06/716049206.db2.gz YPYGLPYBWVPVNQ-GDBMZVCRSA-N 1 2 306.793 1.120 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2cc(C)sn2)[C@H](O)C1 ZINC000958010883 716275152 /nfs/dbraw/zinc/27/51/52/716275152.db2.gz PNHXICNZDARXAN-ZYHUDNBSSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2cc(C)sn2)[C@H](O)C1 ZINC000958010883 716275155 /nfs/dbraw/zinc/27/51/55/716275155.db2.gz PNHXICNZDARXAN-ZYHUDNBSSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2conc2CC)C1 ZINC000958383624 716516425 /nfs/dbraw/zinc/51/64/25/716516425.db2.gz BFZDEMONZSZQPX-GXFFZTMASA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2conc2CC)C1 ZINC000958383624 716516428 /nfs/dbraw/zinc/51/64/28/716516428.db2.gz BFZDEMONZSZQPX-GXFFZTMASA-N 1 2 313.785 1.012 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cscn4)C[C@H]32)c1 ZINC000958505196 716599761 /nfs/dbraw/zinc/59/97/61/716599761.db2.gz QLRJLNSPICPNPL-IMRBUKKESA-N 1 2 313.386 1.203 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cscn4)C[C@H]32)c1 ZINC000958505196 716599763 /nfs/dbraw/zinc/59/97/63/716599763.db2.gz QLRJLNSPICPNPL-IMRBUKKESA-N 1 2 313.386 1.203 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2cccc3nc(C)[nH]c32)C1 ZINC000958571124 716631306 /nfs/dbraw/zinc/63/13/06/716631306.db2.gz RSIOSDQNERMKLS-DOMZBBRYSA-N 1 2 314.389 1.080 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@H](CNC(=O)c2cccc3nc(C)[nH]c32)C1 ZINC000958571124 716631309 /nfs/dbraw/zinc/63/13/09/716631309.db2.gz RSIOSDQNERMKLS-DOMZBBRYSA-N 1 2 314.389 1.080 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3(CC)CCCC3)CC2)C1 ZINC000941621012 717232114 /nfs/dbraw/zinc/23/21/14/717232114.db2.gz SJMPYOCZWKOFJA-UHFFFAOYSA-N 1 2 303.450 1.418 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@@H]3C(C)C)CC2)C1 ZINC000941628482 717236062 /nfs/dbraw/zinc/23/60/62/717236062.db2.gz VQXQSXADSMSPNL-IAGOWNOFSA-N 1 2 303.450 1.130 20 30 DDEDLO N#CCN1CCC[C@H]1[C@@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC000963297943 717730398 /nfs/dbraw/zinc/73/03/98/717730398.db2.gz KDTHRFMVAAUGHO-CABCVRRESA-N 1 2 315.421 1.569 20 30 DDEDLO N#CCN1CCC[C@H]1[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000963364891 717760837 /nfs/dbraw/zinc/76/08/37/717760837.db2.gz DIFINRNROGESEI-HIFRSBDPSA-N 1 2 301.394 1.179 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)CC2OCCCO2)C1 ZINC000965946034 717829257 /nfs/dbraw/zinc/82/92/57/717829257.db2.gz GABPCQNRAXTTTI-DGCLKSJQSA-N 1 2 316.829 1.719 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)CC2OCCCO2)C1 ZINC000965946034 717829259 /nfs/dbraw/zinc/82/92/59/717829259.db2.gz GABPCQNRAXTTTI-DGCLKSJQSA-N 1 2 316.829 1.719 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccon3)C[C@@H]2C)nc1 ZINC000944192930 718269110 /nfs/dbraw/zinc/26/91/10/718269110.db2.gz OZAAADYNTDITMC-XJKSGUPXSA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccon3)C[C@@H]2C)nc1 ZINC000944192930 718269112 /nfs/dbraw/zinc/26/91/12/718269112.db2.gz OZAAADYNTDITMC-XJKSGUPXSA-N 1 2 324.384 1.691 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2nc3cnccc3s2)C1 ZINC000967282741 718816338 /nfs/dbraw/zinc/81/63/38/718816338.db2.gz BUKGEYSDOMQTKF-AAEUAGOBSA-N 1 2 314.414 1.765 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2nc3cnccc3s2)C1 ZINC000967282741 718816343 /nfs/dbraw/zinc/81/63/43/718816343.db2.gz BUKGEYSDOMQTKF-AAEUAGOBSA-N 1 2 314.414 1.765 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CCN1CC#N ZINC000947332625 719124476 /nfs/dbraw/zinc/12/44/76/719124476.db2.gz AGHXYOAOAPPLHW-KGLIPLIRSA-N 1 2 315.421 1.255 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1)c1csnn1 ZINC000968466812 719602671 /nfs/dbraw/zinc/60/26/71/719602671.db2.gz JNUYDUOZBVMDIH-MWLCHTKSSA-N 1 2 316.390 1.303 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(F)cc2NC(C)=O)CC1 ZINC000948637691 719607313 /nfs/dbraw/zinc/60/73/13/719607313.db2.gz MWWPJNJBVDMZGG-UHFFFAOYSA-N 1 2 305.353 1.728 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1n[nH]c(C)c1C ZINC000948898794 719773139 /nfs/dbraw/zinc/77/31/39/719773139.db2.gz LTSYSECSPNXLGO-KRWDZBQOSA-N 1 2 322.412 1.989 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1n[nH]c(C)c1C ZINC000948898794 719773142 /nfs/dbraw/zinc/77/31/42/719773142.db2.gz LTSYSECSPNXLGO-KRWDZBQOSA-N 1 2 322.412 1.989 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nonc1C ZINC000948990017 719826684 /nfs/dbraw/zinc/82/66/84/719826684.db2.gz WWEMAYQRVNVUAI-INIZCTEOSA-N 1 2 324.384 1.731 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nonc1C ZINC000948990017 719826692 /nfs/dbraw/zinc/82/66/92/719826692.db2.gz WWEMAYQRVNVUAI-INIZCTEOSA-N 1 2 324.384 1.731 20 30 DDEDLO N#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000968913103 719863666 /nfs/dbraw/zinc/86/36/66/719863666.db2.gz BMQHCRHWNNLDKN-HUUCEWRRSA-N 1 2 315.421 1.330 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3C=CC=CC=C3)CC2)C1 ZINC000949379047 720049938 /nfs/dbraw/zinc/04/99/38/720049938.db2.gz LHXFVCGJSCDLBH-UHFFFAOYSA-N 1 2 312.413 1.611 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3C=CC=CC=C3)CC2)C1 ZINC000949379047 720049942 /nfs/dbraw/zinc/04/99/42/720049942.db2.gz LHXFVCGJSCDLBH-UHFFFAOYSA-N 1 2 312.413 1.611 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccn(C)c3C)CC2)C1 ZINC000949464236 720105805 /nfs/dbraw/zinc/10/58/05/720105805.db2.gz YVBGTAWJBGOGTF-UHFFFAOYSA-N 1 2 315.417 1.274 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccn(C)c3C)CC2)C1 ZINC000949464236 720105807 /nfs/dbraw/zinc/10/58/07/720105807.db2.gz YVBGTAWJBGOGTF-UHFFFAOYSA-N 1 2 315.417 1.274 20 30 DDEDLO Cc1conc1C[NH+]1CC([C@@H](C)NC(=O)c2cc(C#N)c[nH]2)C1 ZINC000969463213 720143165 /nfs/dbraw/zinc/14/31/65/720143165.db2.gz LPESIFLBTWCQFH-LLVKDONJSA-N 1 2 313.361 1.433 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+]Cc3nncs3)C2)cc1 ZINC000969546406 720183669 /nfs/dbraw/zinc/18/36/69/720183669.db2.gz YIKVBJBFGUNMFR-CQSZACIVSA-N 1 2 312.398 1.524 20 30 DDEDLO C#CCC[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000969667961 720243294 /nfs/dbraw/zinc/24/32/94/720243294.db2.gz OOUVSZFYUPXUFY-OCCSQVGLSA-N 1 2 300.406 1.289 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(Cl)cnn2CC)C1 ZINC000950416588 720624069 /nfs/dbraw/zinc/62/40/69/720624069.db2.gz XJXCZONLIWKBMK-UHFFFAOYSA-N 1 2 308.813 1.726 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC000970217560 720665706 /nfs/dbraw/zinc/66/57/06/720665706.db2.gz QDUCUNJGGDBYRC-VXGBXAGGSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)CN1CC(N(CC)C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000950642696 720718602 /nfs/dbraw/zinc/71/86/02/720718602.db2.gz UEHDGCXJDXGRLQ-CYBMUJFWSA-N 1 2 322.840 1.731 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+]Cc3ncc(CC)o3)C2)nc1 ZINC000970518763 720794999 /nfs/dbraw/zinc/79/49/99/720794999.db2.gz WSZWMVHCVPMANJ-CQSZACIVSA-N 1 2 324.384 1.618 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(OC)ccnc2OC)C1 ZINC000950856706 720797030 /nfs/dbraw/zinc/79/70/30/720797030.db2.gz OQHIOAUCNKCMSL-UHFFFAOYSA-N 1 2 317.389 1.268 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc(-n3cccn3)n2)C1 ZINC000951733884 721158203 /nfs/dbraw/zinc/15/82/03/721158203.db2.gz YHVIJMSDKMBCIC-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)no1 ZINC000971365042 721259623 /nfs/dbraw/zinc/25/96/23/721259623.db2.gz ARLUEAVLKXISTB-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)no1 ZINC000971365042 721259628 /nfs/dbraw/zinc/25/96/28/721259628.db2.gz ARLUEAVLKXISTB-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971513135 721333061 /nfs/dbraw/zinc/33/30/61/721333061.db2.gz KITBGPVFESPBMG-ZDUSSCGKSA-N 1 2 311.223 1.841 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971513135 721333066 /nfs/dbraw/zinc/33/30/66/721333066.db2.gz KITBGPVFESPBMG-ZDUSSCGKSA-N 1 2 311.223 1.841 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001011280349 735331940 /nfs/dbraw/zinc/33/19/40/735331940.db2.gz JHKVCPFBTGSISR-QGZVFWFLSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001011280349 735331946 /nfs/dbraw/zinc/33/19/46/735331946.db2.gz JHKVCPFBTGSISR-QGZVFWFLSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001023682538 735395069 /nfs/dbraw/zinc/39/50/69/735395069.db2.gz CTJZGEDYKLVFLJ-ZDUSSCGKSA-N 1 2 323.824 1.878 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001023682538 735395071 /nfs/dbraw/zinc/39/50/71/735395071.db2.gz CTJZGEDYKLVFLJ-ZDUSSCGKSA-N 1 2 323.824 1.878 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2OCCN(C(=O)c3[nH]nc4ccccc43)[C@H]2C1 ZINC001083263949 735428158 /nfs/dbraw/zinc/42/81/58/735428158.db2.gz YDZXZPRFVGSULO-JKSUJKDBSA-N 1 2 324.384 1.111 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2OCCN(C(=O)c3[nH]nc4ccccc43)[C@H]2C1 ZINC001083263949 735428161 /nfs/dbraw/zinc/42/81/61/735428161.db2.gz YDZXZPRFVGSULO-JKSUJKDBSA-N 1 2 324.384 1.111 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@@H](NC(=O)C(F)F)C1 ZINC001009808151 738446154 /nfs/dbraw/zinc/44/61/54/738446154.db2.gz HPTHFJOQRDCXAC-GFCCVEGCSA-N 1 2 309.316 1.522 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@@H](NC(=O)C(F)F)C1 ZINC001009808151 738446157 /nfs/dbraw/zinc/44/61/57/738446157.db2.gz HPTHFJOQRDCXAC-GFCCVEGCSA-N 1 2 309.316 1.522 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406556 738266675 /nfs/dbraw/zinc/26/66/75/738266675.db2.gz VDAKYQXWRSRPBC-HOCLYGCPSA-N 1 2 312.417 1.874 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406556 738266677 /nfs/dbraw/zinc/26/66/77/738266677.db2.gz VDAKYQXWRSRPBC-HOCLYGCPSA-N 1 2 312.417 1.874 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCCN(C(=O)CCc2nnc[nH]2)C1 ZINC001027359124 738232284 /nfs/dbraw/zinc/23/22/84/738232284.db2.gz RNBQBAHCJMBUNU-GFCCVEGCSA-N 1 2 311.817 1.413 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCCN(C(=O)CCc2nnc[nH]2)C1 ZINC001027359124 738232290 /nfs/dbraw/zinc/23/22/90/738232290.db2.gz RNBQBAHCJMBUNU-GFCCVEGCSA-N 1 2 311.817 1.413 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)C[C@@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125272104 732311851 /nfs/dbraw/zinc/31/18/51/732311851.db2.gz NJWBADGFKQEHQB-GJZGRUSLSA-N 1 2 320.437 1.643 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H](O)CN(C)c2cc[nH+]c(C)n2)C1 ZINC001125284883 732366633 /nfs/dbraw/zinc/36/66/33/732366633.db2.gz HRQIBQHVHTVKQX-CQSZACIVSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001111488812 733457720 /nfs/dbraw/zinc/45/77/20/733457720.db2.gz VZNDBVMXZQUQFG-MXYBEHONSA-N 1 2 321.446 1.956 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001111488812 733457721 /nfs/dbraw/zinc/45/77/21/733457721.db2.gz VZNDBVMXZQUQFG-MXYBEHONSA-N 1 2 321.446 1.956 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@H]2C[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)no1 ZINC001021981154 733697201 /nfs/dbraw/zinc/69/72/01/733697201.db2.gz OVSZJABJYKBREQ-FXAINCCUSA-N 1 2 314.349 1.189 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCc2cn[nH]c21 ZINC001027885208 738773154 /nfs/dbraw/zinc/77/31/54/738773154.db2.gz BJAOIOSZLAVOIV-HUUCEWRRSA-N 1 2 302.422 1.986 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccc(C)s3)[C@H]2C1 ZINC001083247308 734804172 /nfs/dbraw/zinc/80/41/72/734804172.db2.gz KTPXDOMKAMXQQL-QLFBSQMISA-N 1 2 318.442 1.705 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccc(C)s3)[C@H]2C1 ZINC001083247308 734804173 /nfs/dbraw/zinc/80/41/73/734804173.db2.gz KTPXDOMKAMXQQL-QLFBSQMISA-N 1 2 318.442 1.705 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001098222914 735011319 /nfs/dbraw/zinc/01/13/19/735011319.db2.gz SIRDKSMXBBENAK-CYBMUJFWSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001098222914 735011321 /nfs/dbraw/zinc/01/13/21/735011321.db2.gz SIRDKSMXBBENAK-CYBMUJFWSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098224511 735105670 /nfs/dbraw/zinc/10/56/70/735105670.db2.gz WEGPYUCNQGNZOZ-LLVKDONJSA-N 1 2 302.382 1.636 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001023410471 735214912 /nfs/dbraw/zinc/21/49/12/735214912.db2.gz SPWZRJQUPDANMH-CQSZACIVSA-N 1 2 323.400 1.547 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001023410471 735214915 /nfs/dbraw/zinc/21/49/15/735214915.db2.gz SPWZRJQUPDANMH-CQSZACIVSA-N 1 2 323.400 1.547 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@H]1[C@@H]2CCCN(CC#N)[C@H]2C1(C)C ZINC001087313657 736054339 /nfs/dbraw/zinc/05/43/39/736054339.db2.gz YMLBDSHZYGJCQC-NUEKZKHPSA-N 1 2 315.421 1.091 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@@H]2CCCN(CC#N)[C@@H]2C1(C)C ZINC001087315358 736063816 /nfs/dbraw/zinc/06/38/16/736063816.db2.gz ADRIVSMFSDZSSO-MAZHCROVSA-N 1 2 315.421 1.389 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001025305153 736417982 /nfs/dbraw/zinc/41/79/82/736417982.db2.gz UFWYDQMLDUOCPC-HUUCEWRRSA-N 1 2 301.394 1.252 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3c(C)nsc3C)[C@H]2C1 ZINC001083286504 736968219 /nfs/dbraw/zinc/96/82/19/736968219.db2.gz NNMQYJPKAMFJNO-QWHCGFSZSA-N 1 2 307.419 1.471 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3c(C)nsc3C)[C@H]2C1 ZINC001083286504 736968221 /nfs/dbraw/zinc/96/82/21/736968221.db2.gz NNMQYJPKAMFJNO-QWHCGFSZSA-N 1 2 307.419 1.471 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(F)cncc2F)C1 ZINC001107974257 751381386 /nfs/dbraw/zinc/38/13/86/751381386.db2.gz NMUNCCUPDGLYFR-HNNXBMFYSA-N 1 2 311.332 1.367 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(F)cncc2F)C1 ZINC001107974257 751381393 /nfs/dbraw/zinc/38/13/93/751381393.db2.gz NMUNCCUPDGLYFR-HNNXBMFYSA-N 1 2 311.332 1.367 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@H](C)CNc2cc[nH+]c(C)n2)c1 ZINC001104805822 737227285 /nfs/dbraw/zinc/22/72/85/737227285.db2.gz FSUBCEIOBDPAQX-GFCCVEGCSA-N 1 2 309.373 1.734 20 30 DDEDLO Cc1nc(N2CCC[C@@H]2CNC(=O)[C@@H](C)C#N)c(C)c(C)[nH+]1 ZINC001100809464 737523256 /nfs/dbraw/zinc/52/32/56/737523256.db2.gz DAWSRLOTJFDZIY-IINYFYTJSA-N 1 2 301.394 1.647 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)CCCC3CC3)C2)nn1 ZINC001105309797 737807577 /nfs/dbraw/zinc/80/75/77/737807577.db2.gz CNNQGKRCGLNPDW-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@@H]1CCCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC001027354850 738229439 /nfs/dbraw/zinc/22/94/39/738229439.db2.gz LWIGBCGXIHFGTI-CQSZACIVSA-N 1 2 324.388 1.413 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@@H]1CCCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC001027354850 738229441 /nfs/dbraw/zinc/22/94/41/738229441.db2.gz LWIGBCGXIHFGTI-CQSZACIVSA-N 1 2 324.388 1.413 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)[nH]1 ZINC001027407067 738267141 /nfs/dbraw/zinc/26/71/41/738267141.db2.gz ZQFLITVNDVSIKX-DOMZBBRYSA-N 1 2 315.421 1.510 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)[nH]1 ZINC001027407067 738267143 /nfs/dbraw/zinc/26/71/43/738267143.db2.gz ZQFLITVNDVSIKX-DOMZBBRYSA-N 1 2 315.421 1.510 20 30 DDEDLO C[N@H+](Cc1cscn1)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027408068 738271597 /nfs/dbraw/zinc/27/15/97/738271597.db2.gz HXKOOTIOPLFEAZ-HNNXBMFYSA-N 1 2 303.431 1.979 20 30 DDEDLO C[N@@H+](Cc1cscn1)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027408068 738271599 /nfs/dbraw/zinc/27/15/99/738271599.db2.gz HXKOOTIOPLFEAZ-HNNXBMFYSA-N 1 2 303.431 1.979 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnn(C)c1OC ZINC001028289241 739296847 /nfs/dbraw/zinc/29/68/47/739296847.db2.gz UIYZIPQDBMYQDI-NSHDSACASA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnn(C)c1OC ZINC001028289241 739296848 /nfs/dbraw/zinc/29/68/48/739296848.db2.gz UIYZIPQDBMYQDI-NSHDSACASA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001028329259 739367214 /nfs/dbraw/zinc/36/72/14/739367214.db2.gz JDWSXANNMQRBCY-GXTWGEPZSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001028329259 739367216 /nfs/dbraw/zinc/36/72/16/739367216.db2.gz JDWSXANNMQRBCY-GXTWGEPZSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)noc2CCC)C1 ZINC001035364615 751450120 /nfs/dbraw/zinc/45/01/20/751450120.db2.gz BTOHJQQFLVFRSS-CQSZACIVSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)noc2CCC)C1 ZINC001035364615 751450121 /nfs/dbraw/zinc/45/01/21/751450121.db2.gz BTOHJQQFLVFRSS-CQSZACIVSA-N 1 2 321.421 1.942 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(F)ccc2F)C1 ZINC001035399225 751468851 /nfs/dbraw/zinc/46/88/51/751468851.db2.gz RKAMFDFUOQNRQT-ZDUSSCGKSA-N 1 2 308.328 1.419 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(F)ccc2F)C1 ZINC001035399225 751468858 /nfs/dbraw/zinc/46/88/58/751468858.db2.gz RKAMFDFUOQNRQT-ZDUSSCGKSA-N 1 2 308.328 1.419 20 30 DDEDLO C=CCN1CCO[C@H](CNC(=O)c2ccn3c(C)c[nH+]c3c2)C1 ZINC001035376116 751472308 /nfs/dbraw/zinc/47/23/08/751472308.db2.gz VLSMXKFXLWHPFA-OAHLLOKOSA-N 1 2 314.389 1.259 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2sccc2Cl)C1 ZINC001035378426 751475530 /nfs/dbraw/zinc/47/55/30/751475530.db2.gz VRIVPDKMHIPQGE-LLVKDONJSA-N 1 2 312.822 1.855 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2sccc2Cl)C1 ZINC001035378426 751475534 /nfs/dbraw/zinc/47/55/34/751475534.db2.gz VRIVPDKMHIPQGE-LLVKDONJSA-N 1 2 312.822 1.855 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccccc2COC)C1 ZINC001035427519 751484950 /nfs/dbraw/zinc/48/49/50/751484950.db2.gz KVRDDDGZMVJZKN-HNNXBMFYSA-N 1 2 304.390 1.450 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccccc2COC)C1 ZINC001035427519 751484955 /nfs/dbraw/zinc/48/49/55/751484955.db2.gz KVRDDDGZMVJZKN-HNNXBMFYSA-N 1 2 304.390 1.450 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+](Cc3cnn(C)n3)C2)cc1 ZINC001028730924 739968955 /nfs/dbraw/zinc/96/89/55/739968955.db2.gz UWJWWSUBHMUCSL-OAHLLOKOSA-N 1 2 323.400 1.048 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+](Cc3cnn(C)n3)C2)cc1 ZINC001028730924 739968961 /nfs/dbraw/zinc/96/89/61/739968961.db2.gz UWJWWSUBHMUCSL-OAHLLOKOSA-N 1 2 323.400 1.048 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccnc3ccccc32)C1 ZINC001035435039 751513307 /nfs/dbraw/zinc/51/33/07/751513307.db2.gz LTRUHBHAALCRJA-OAHLLOKOSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccnc3ccccc32)C1 ZINC001035435039 751513310 /nfs/dbraw/zinc/51/33/10/751513310.db2.gz LTRUHBHAALCRJA-OAHLLOKOSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(F)cc(C)cc2F)C1 ZINC001035439521 751516360 /nfs/dbraw/zinc/51/63/60/751516360.db2.gz ZAKDFDONPONHKS-CYBMUJFWSA-N 1 2 322.355 1.727 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(F)cc(C)cc2F)C1 ZINC001035439521 751516361 /nfs/dbraw/zinc/51/63/61/751516361.db2.gz ZAKDFDONPONHKS-CYBMUJFWSA-N 1 2 322.355 1.727 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3c2O[C@@H](C)C3)C1 ZINC001035449288 751525943 /nfs/dbraw/zinc/52/59/43/751525943.db2.gz KVPAWUFDSAPVIG-DZGCQCFKSA-N 1 2 316.401 1.627 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3c2O[C@@H](C)C3)C1 ZINC001035449288 751525944 /nfs/dbraw/zinc/52/59/44/751525944.db2.gz KVPAWUFDSAPVIG-DZGCQCFKSA-N 1 2 316.401 1.627 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098698357 740437645 /nfs/dbraw/zinc/43/76/45/740437645.db2.gz UFCDIIZWVASIBJ-CQSZACIVSA-N 1 2 323.416 1.713 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2C[N@H+](Cc3cc4n(n3)CCC4)C[C@H]21 ZINC001075780593 740450373 /nfs/dbraw/zinc/45/03/73/740450373.db2.gz PUJXHHNALFZVHL-MAUKXSAKSA-N 1 2 324.428 1.275 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2C[N@@H+](Cc3cc4n(n3)CCC4)C[C@H]21 ZINC001075780593 740450374 /nfs/dbraw/zinc/45/03/74/740450374.db2.gz PUJXHHNALFZVHL-MAUKXSAKSA-N 1 2 324.428 1.275 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccnc3ccncc32)C1 ZINC001035460531 751546889 /nfs/dbraw/zinc/54/68/89/751546889.db2.gz JXQZLEZZHZHPKR-CYBMUJFWSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccnc3ccncc32)C1 ZINC001035460531 751546894 /nfs/dbraw/zinc/54/68/94/751546894.db2.gz JXQZLEZZHZHPKR-CYBMUJFWSA-N 1 2 312.373 1.246 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc3ccncc32)C1 ZINC001035461206 751547044 /nfs/dbraw/zinc/54/70/44/751547044.db2.gz PCBVCWWTKVGWHY-AWEZNQCLSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccnc3ccncc32)C1 ZINC001035461206 751547046 /nfs/dbraw/zinc/54/70/46/751547046.db2.gz PCBVCWWTKVGWHY-AWEZNQCLSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)on2)C1 ZINC001035462688 751547772 /nfs/dbraw/zinc/54/77/72/751547772.db2.gz HHGWZPNCHXEADM-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)on2)C1 ZINC001035462688 751547774 /nfs/dbraw/zinc/54/77/74/751547774.db2.gz HHGWZPNCHXEADM-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001059284186 740559933 /nfs/dbraw/zinc/55/99/33/740559933.db2.gz ZLWVZOALGBUJPB-JOCQHMNTSA-N 1 2 310.361 1.287 20 30 DDEDLO CN(CCN(C)c1ccncc1C#N)C(=O)CCc1[nH]cc[nH+]1 ZINC001105394580 740632962 /nfs/dbraw/zinc/63/29/62/740632962.db2.gz BOGHBQOCHJHYLI-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccnn1C ZINC001029423337 740759631 /nfs/dbraw/zinc/75/96/31/740759631.db2.gz WXNBGHNJVXCIRU-GASCZTMLSA-N 1 2 302.422 1.808 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccnn1C ZINC001029423337 740759634 /nfs/dbraw/zinc/75/96/34/740759634.db2.gz WXNBGHNJVXCIRU-GASCZTMLSA-N 1 2 302.422 1.808 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2C[C@H](Nc3cc[nH+]c(C)n3)C2)c1 ZINC001059400726 740855289 /nfs/dbraw/zinc/85/52/89/740855289.db2.gz MHLQWYWCMLYXOF-SHTZXODSSA-N 1 2 307.357 1.534 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nnc(C3CC3)[nH]2)C1 ZINC001029677460 741218910 /nfs/dbraw/zinc/21/89/10/741218910.db2.gz MCYVTXOHHCQLOH-RYUDHWBXSA-N 1 2 316.409 1.122 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nnc(C3CC3)[nH]2)C1 ZINC001029677460 741218915 /nfs/dbraw/zinc/21/89/15/741218915.db2.gz MCYVTXOHHCQLOH-RYUDHWBXSA-N 1 2 316.409 1.122 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCCC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001088280916 741319287 /nfs/dbraw/zinc/31/92/87/741319287.db2.gz DKRLUISIGUJVGK-NWDGAFQWSA-N 1 2 307.423 1.559 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001035572341 751626409 /nfs/dbraw/zinc/62/64/09/751626409.db2.gz YLGPXFWKXXDEPD-HNNXBMFYSA-N 1 2 320.437 1.779 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001035572341 751626412 /nfs/dbraw/zinc/62/64/12/751626412.db2.gz YLGPXFWKXXDEPD-HNNXBMFYSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001126621589 741361917 /nfs/dbraw/zinc/36/19/17/741361917.db2.gz FXCATWAAELBJKL-CYBMUJFWSA-N 1 2 318.421 1.709 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)C(C)(C)C=C)CC2 ZINC001128027057 751647856 /nfs/dbraw/zinc/64/78/56/751647856.db2.gz IYVIFNHFUUIQDM-ZDUSSCGKSA-N 1 2 315.421 1.034 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3ccc(Cl)cc32)C1 ZINC001035596641 751653569 /nfs/dbraw/zinc/65/35/69/751653569.db2.gz SRRWZMDMHYHHOS-GOEBONIOSA-N 1 2 320.820 1.983 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3ccc(Cl)cc32)C1 ZINC001035596641 751653571 /nfs/dbraw/zinc/65/35/71/751653571.db2.gz SRRWZMDMHYHHOS-GOEBONIOSA-N 1 2 320.820 1.983 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CCC(F)(F)F)c1 ZINC001032617259 751657219 /nfs/dbraw/zinc/65/72/19/751657219.db2.gz SJOZHFSNSVWWTG-KBPBESRZSA-N 1 2 323.318 1.914 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CCC(F)(F)F)c1 ZINC001032617259 751657221 /nfs/dbraw/zinc/65/72/21/751657221.db2.gz SJOZHFSNSVWWTG-KBPBESRZSA-N 1 2 323.318 1.914 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CCN(C)c1ccncc1C#N ZINC001105443264 741700260 /nfs/dbraw/zinc/70/02/60/741700260.db2.gz CDISRSVFTJMJRW-UHFFFAOYSA-N 1 2 312.377 1.122 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]c3cccnc23)C1 ZINC001035581201 751672161 /nfs/dbraw/zinc/67/21/61/751672161.db2.gz ICYXQXRVHJNGEY-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c[nH]c3cccnc23)C1 ZINC001035581201 751672166 /nfs/dbraw/zinc/67/21/66/751672166.db2.gz ICYXQXRVHJNGEY-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO CCC[C@H](C)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001212009354 741942085 /nfs/dbraw/zinc/94/20/85/741942085.db2.gz OWHCLANPHYJKGQ-ARFHVFGLSA-N 1 2 310.438 1.278 20 30 DDEDLO CCC[C@H](C)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001212009354 741942084 /nfs/dbraw/zinc/94/20/84/741942084.db2.gz OWHCLANPHYJKGQ-ARFHVFGLSA-N 1 2 310.438 1.278 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCCS2(=O)=O)[C@H]1C ZINC001088618696 741991094 /nfs/dbraw/zinc/99/10/94/741991094.db2.gz FXPJXOMRDOBQDU-HZSPNIEDSA-N 1 2 314.451 1.109 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCCS2(=O)=O)[C@H]1C ZINC001088618696 741991097 /nfs/dbraw/zinc/99/10/97/741991097.db2.gz FXPJXOMRDOBQDU-HZSPNIEDSA-N 1 2 314.451 1.109 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(F)cccc2F)C1 ZINC001035591394 751686343 /nfs/dbraw/zinc/68/63/43/751686343.db2.gz VVLNDFVILDAQHN-LBPRGKRZSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(F)cccc2F)C1 ZINC001035591394 751686346 /nfs/dbraw/zinc/68/63/46/751686346.db2.gz VVLNDFVILDAQHN-LBPRGKRZSA-N 1 2 310.344 1.972 20 30 DDEDLO CN(CCN(C)c1ncccc1C#N)C(=O)CCn1cc[nH+]c1 ZINC001105520552 742101734 /nfs/dbraw/zinc/10/17/34/742101734.db2.gz CYEDMUVYKXSKNL-UHFFFAOYSA-N 1 2 312.377 1.135 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc(C(C)(C)C)nc2)C1 ZINC001035627838 751699499 /nfs/dbraw/zinc/69/94/99/751699499.db2.gz PUDIWMYXXRHUFC-CQSZACIVSA-N 1 2 318.421 1.391 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc(C(C)(C)C)nc2)C1 ZINC001035627838 751699503 /nfs/dbraw/zinc/69/95/03/751699503.db2.gz PUDIWMYXXRHUFC-CQSZACIVSA-N 1 2 318.421 1.391 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC(C)C)cn2)C1 ZINC001035630501 751703860 /nfs/dbraw/zinc/70/38/60/751703860.db2.gz NLWJNCMHMCMMJF-HNNXBMFYSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC(C)C)cn2)C1 ZINC001035630501 751703867 /nfs/dbraw/zinc/70/38/67/751703867.db2.gz NLWJNCMHMCMMJF-HNNXBMFYSA-N 1 2 319.405 1.485 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C(N)=O)o2)[C@H]1C ZINC001088728824 742261186 /nfs/dbraw/zinc/26/11/86/742261186.db2.gz CETUZMCKLOIJRT-ZJUUUORDSA-N 1 2 311.769 1.324 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C(N)=O)o2)[C@H]1C ZINC001088728824 742261188 /nfs/dbraw/zinc/26/11/88/742261188.db2.gz CETUZMCKLOIJRT-ZJUUUORDSA-N 1 2 311.769 1.324 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)nn(C)c2C)[C@H]1C ZINC001088780990 742355453 /nfs/dbraw/zinc/35/54/53/742355453.db2.gz LBXDSOXRCMIRQK-YPMHNXCESA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)nn(C)c2C)[C@H]1C ZINC001088780990 742355454 /nfs/dbraw/zinc/35/54/54/742355454.db2.gz LBXDSOXRCMIRQK-YPMHNXCESA-N 1 2 310.829 1.982 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C(F)=C(C)C)C2)nn1 ZINC001098656613 742369726 /nfs/dbraw/zinc/36/97/26/742369726.db2.gz OFZSJQZYRUUNLJ-CQSZACIVSA-N 1 2 319.384 1.428 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3cccc(Cl)c3)CC2)[C@@H](O)C1 ZINC001083505824 742412735 /nfs/dbraw/zinc/41/27/35/742412735.db2.gz DOVNXDIGJFYNLJ-CABCVRRESA-N 1 2 318.804 1.166 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3cccc(Cl)c3)CC2)[C@@H](O)C1 ZINC001083505824 742412736 /nfs/dbraw/zinc/41/27/36/742412736.db2.gz DOVNXDIGJFYNLJ-CABCVRRESA-N 1 2 318.804 1.166 20 30 DDEDLO C=CCOCC(=O)NCCN(CCC)c1nc(C)[nH+]c(C)c1C ZINC001101106384 742676087 /nfs/dbraw/zinc/67/60/87/742676087.db2.gz INEIBNRWJLGYPO-UHFFFAOYSA-N 1 2 320.437 1.937 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC(C)(C)C)NC(=O)Cc1c[nH+]cn1C ZINC001076433650 742732176 /nfs/dbraw/zinc/73/21/76/742732176.db2.gz KISOUNWEMMCOOR-ZDUSSCGKSA-N 1 2 318.421 1.023 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001076545598 742782752 /nfs/dbraw/zinc/78/27/52/742782752.db2.gz FMGDNUQEBRRYNP-UONOGXRCSA-N 1 2 306.410 1.811 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2snnc2C)CC1 ZINC001002321458 743028990 /nfs/dbraw/zinc/02/89/90/743028990.db2.gz QOBLXZAFABESTO-UHFFFAOYSA-N 1 2 300.815 1.793 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)C3=CCCC3)C2)s1 ZINC001076876140 743059603 /nfs/dbraw/zinc/05/96/03/743059603.db2.gz CBBRXLBWENKKFB-HUUCEWRRSA-N 1 2 317.414 1.391 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)C3=CCCC3)C2)s1 ZINC001076876140 743059613 /nfs/dbraw/zinc/05/96/13/743059613.db2.gz CBBRXLBWENKKFB-HUUCEWRRSA-N 1 2 317.414 1.391 20 30 DDEDLO CCc1nnc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001181107547 743061997 /nfs/dbraw/zinc/06/19/97/743061997.db2.gz YZOUCNIXHIBECI-GHMZBOCLSA-N 1 2 323.422 1.140 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCC[C@H](C)NC(=O)[C@@H](C)C#N)c[nH+]1 ZINC001077143627 743273471 /nfs/dbraw/zinc/27/34/71/743273471.db2.gz CHQAXBYEKJNJHU-RYUDHWBXSA-N 1 2 319.409 1.097 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC[C@@H](C)CC1 ZINC001121509979 782542224 /nfs/dbraw/zinc/54/22/24/782542224.db2.gz LJOSCAFWOXLJNH-ILXRZTDVSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC[C@@H](C)CC1 ZINC001121509979 782542228 /nfs/dbraw/zinc/54/22/28/782542228.db2.gz LJOSCAFWOXLJNH-ILXRZTDVSA-N 1 2 319.453 1.828 20 30 DDEDLO CCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C[C@H]1O ZINC001089981175 743368947 /nfs/dbraw/zinc/36/89/47/743368947.db2.gz PKRBPUCFPPTIRW-HUUCEWRRSA-N 1 2 305.353 1.159 20 30 DDEDLO CCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C[C@H]1O ZINC001089981175 743368958 /nfs/dbraw/zinc/36/89/58/743368958.db2.gz PKRBPUCFPPTIRW-HUUCEWRRSA-N 1 2 305.353 1.159 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)C#Cc1ccc(OC)cc1 ZINC001182049402 743460879 /nfs/dbraw/zinc/46/08/79/743460879.db2.gz FVIXPNLUHRCAAH-UHFFFAOYSA-N 1 2 313.357 1.206 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001182108359 743478911 /nfs/dbraw/zinc/47/89/11/743478911.db2.gz MRSASVVVHRGOLR-CYBMUJFWSA-N 1 2 320.393 1.100 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ncsc1C(F)(F)F ZINC001038513006 743483653 /nfs/dbraw/zinc/48/36/53/743483653.db2.gz CPQPKDKGZSQYSN-SECBINFHSA-N 1 2 317.336 1.989 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ncsc1C(F)(F)F ZINC001038513006 743483655 /nfs/dbraw/zinc/48/36/55/743483655.db2.gz CPQPKDKGZSQYSN-SECBINFHSA-N 1 2 317.336 1.989 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)Cc3ccoc3)c2C1 ZINC001128315953 743564437 /nfs/dbraw/zinc/56/44/37/743564437.db2.gz BTHWNMIXMDKWBF-UHFFFAOYSA-N 1 2 314.389 1.727 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)Cc3ccoc3)c2C1 ZINC001128315953 743564444 /nfs/dbraw/zinc/56/44/44/743564444.db2.gz BTHWNMIXMDKWBF-UHFFFAOYSA-N 1 2 314.389 1.727 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCn3cc[nH+]c3)CC2)nc1 ZINC001110290007 743619529 /nfs/dbraw/zinc/61/95/29/743619529.db2.gz JVXLJDAZNUOHLL-UHFFFAOYSA-N 1 2 310.361 1.301 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([NH2+]Cc3nnc(CC)o3)C2)CCC1 ZINC001183185745 743792669 /nfs/dbraw/zinc/79/26/69/743792669.db2.gz SUXREBBZWXBIQQ-CYBMUJFWSA-N 1 2 316.405 1.516 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)c2cc([C@@H]3CCC[N@H+]3C)n[nH]2)C1 ZINC001030349243 744068506 /nfs/dbraw/zinc/06/85/06/744068506.db2.gz FBPMXWFMRSZYLR-AWEZNQCLSA-N 1 2 323.828 1.343 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)c2cc([C@@H]3CCC[N@@H+]3C)n[nH]2)C1 ZINC001030349243 744068509 /nfs/dbraw/zinc/06/85/09/744068509.db2.gz FBPMXWFMRSZYLR-AWEZNQCLSA-N 1 2 323.828 1.343 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CC3(C)CC3)C2)nn1 ZINC001185962651 744320674 /nfs/dbraw/zinc/32/06/74/744320674.db2.gz MBADVAIIVVRNLI-HNNXBMFYSA-N 1 2 315.421 1.355 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1ccon1 ZINC001006841806 751908841 /nfs/dbraw/zinc/90/88/41/751908841.db2.gz XAJKEXFTWBCBEI-INIZCTEOSA-N 1 2 309.369 1.921 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1ccon1 ZINC001006841806 751908843 /nfs/dbraw/zinc/90/88/43/751908843.db2.gz XAJKEXFTWBCBEI-INIZCTEOSA-N 1 2 309.369 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC001089041618 744467960 /nfs/dbraw/zinc/46/79/60/744467960.db2.gz GYMBCUGEMKHDHT-KOLCDFICSA-N 1 2 310.785 1.059 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC001089041618 744467963 /nfs/dbraw/zinc/46/79/63/744467963.db2.gz GYMBCUGEMKHDHT-KOLCDFICSA-N 1 2 310.785 1.059 20 30 DDEDLO CC(C)[N@H+](C)Cc1n[nH]c2c1CCN(C(=O)[C@@H]1C[C@@H]1C#N)C2 ZINC001186974213 744480263 /nfs/dbraw/zinc/48/02/63/744480263.db2.gz CGFMZWQOIRKDIV-DGCLKSJQSA-N 1 2 301.394 1.294 20 30 DDEDLO CC(C)[N@@H+](C)Cc1n[nH]c2c1CCN(C(=O)[C@@H]1C[C@@H]1C#N)C2 ZINC001186974213 744480264 /nfs/dbraw/zinc/48/02/64/744480264.db2.gz CGFMZWQOIRKDIV-DGCLKSJQSA-N 1 2 301.394 1.294 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3ncc(C)o3)C[C@H]21 ZINC001187320117 744548142 /nfs/dbraw/zinc/54/81/42/744548142.db2.gz JSNZUBDSXSNLPJ-SOUVJXGZSA-N 1 2 319.405 1.779 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3ncc(C)o3)C[C@H]21 ZINC001187320117 744548144 /nfs/dbraw/zinc/54/81/44/744548144.db2.gz JSNZUBDSXSNLPJ-SOUVJXGZSA-N 1 2 319.405 1.779 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001187320175 744548731 /nfs/dbraw/zinc/54/87/31/744548731.db2.gz LVIYVMWITPBBTG-SOUVJXGZSA-N 1 2 316.405 1.273 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001187320175 744548733 /nfs/dbraw/zinc/54/87/33/744548733.db2.gz LVIYVMWITPBBTG-SOUVJXGZSA-N 1 2 316.405 1.273 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001187591163 744580534 /nfs/dbraw/zinc/58/05/34/744580534.db2.gz DKRYLKOVLRXOAE-GOEBONIOSA-N 1 2 315.421 1.041 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001187591163 744580537 /nfs/dbraw/zinc/58/05/37/744580537.db2.gz DKRYLKOVLRXOAE-GOEBONIOSA-N 1 2 315.421 1.041 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H](C)OCC)C1 ZINC001189002643 744808349 /nfs/dbraw/zinc/80/83/49/744808349.db2.gz IYFNUHBIPDJJES-VXGBXAGGSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H](C)OCC)C1 ZINC001189002643 744808350 /nfs/dbraw/zinc/80/83/50/744808350.db2.gz IYFNUHBIPDJJES-VXGBXAGGSA-N 1 2 319.243 1.853 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C[C@@H](F)CC)C[C@@H]2O)cc1 ZINC001083691422 744818291 /nfs/dbraw/zinc/81/82/91/744818291.db2.gz OQAKSPWTLGMAHN-XHSDSOJGSA-N 1 2 304.365 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C[C@@H](F)CC)C[C@@H]2O)cc1 ZINC001083691422 744818295 /nfs/dbraw/zinc/81/82/95/744818295.db2.gz OQAKSPWTLGMAHN-XHSDSOJGSA-N 1 2 304.365 1.191 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ccon2)C1 ZINC001189243004 744852084 /nfs/dbraw/zinc/85/20/84/744852084.db2.gz OMLDJWVEUBPERC-UKRRQHHQSA-N 1 2 307.394 1.689 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccon2)C1 ZINC001189243004 744852085 /nfs/dbraw/zinc/85/20/85/744852085.db2.gz OMLDJWVEUBPERC-UKRRQHHQSA-N 1 2 307.394 1.689 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)cs2)C1 ZINC001189372117 744878570 /nfs/dbraw/zinc/87/85/70/744878570.db2.gz TYNSBFAFMDMZHO-ZDUSSCGKSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)cs2)C1 ZINC001189372117 744878571 /nfs/dbraw/zinc/87/85/71/744878571.db2.gz TYNSBFAFMDMZHO-ZDUSSCGKSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2nc(CC)no2)C1 ZINC001189371407 744878648 /nfs/dbraw/zinc/87/86/48/744878648.db2.gz QOXBDEUGORFASD-QWHCGFSZSA-N 1 2 322.409 1.428 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2nc(CC)no2)C1 ZINC001189371407 744878651 /nfs/dbraw/zinc/87/86/51/744878651.db2.gz QOXBDEUGORFASD-QWHCGFSZSA-N 1 2 322.409 1.428 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@H]12 ZINC000992467722 744897960 /nfs/dbraw/zinc/89/79/60/744897960.db2.gz VMNLHYQLNBOMLU-WMZOPIPTSA-N 1 2 323.400 1.708 20 30 DDEDLO CC#CC[N@H+]1CC[C@@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@H]12 ZINC000992467722 744897961 /nfs/dbraw/zinc/89/79/61/744897961.db2.gz VMNLHYQLNBOMLU-WMZOPIPTSA-N 1 2 323.400 1.708 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001190450195 745225102 /nfs/dbraw/zinc/22/51/02/745225102.db2.gz SCCOMWAMYFTECU-DUVNUKRYSA-N 1 2 319.405 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001190450195 745225108 /nfs/dbraw/zinc/22/51/08/745225108.db2.gz SCCOMWAMYFTECU-DUVNUKRYSA-N 1 2 319.405 1.607 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[C@@H]([NH+]3CCCC3)C(F)(F)C2)ccn1 ZINC001191549654 745546160 /nfs/dbraw/zinc/54/61/60/745546160.db2.gz OZQCHUAPWNTXKR-CQSZACIVSA-N 1 2 320.343 1.899 20 30 DDEDLO Cc1nc(N[C@@H]2CC[C@@H]3CN(C(=O)C#CC4CC4)C[C@H]23)cc[nH+]1 ZINC001060527693 745777505 /nfs/dbraw/zinc/77/75/05/745777505.db2.gz ANGCPQUYXWTDQL-OWCLPIDISA-N 1 2 310.401 1.847 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)nn1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106620777 745842192 /nfs/dbraw/zinc/84/21/92/745842192.db2.gz MKVMPPAMXRHMAW-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)nn1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106620777 745842198 /nfs/dbraw/zinc/84/21/98/745842198.db2.gz MKVMPPAMXRHMAW-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1O ZINC001192871909 745909985 /nfs/dbraw/zinc/90/99/85/745909985.db2.gz ZMMMMWRDFMLONI-HZPDHXFCSA-N 1 2 318.392 1.537 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1O ZINC001192871909 745909987 /nfs/dbraw/zinc/90/99/87/745909987.db2.gz ZMMMMWRDFMLONI-HZPDHXFCSA-N 1 2 318.392 1.537 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)C2CCC(C(=O)N(C)C)CC2)C1 ZINC001031243606 745984002 /nfs/dbraw/zinc/98/40/02/745984002.db2.gz VPQKXYPTPXRJQG-UHFFFAOYSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cc(OC)ncn2)[C@@H]1C ZINC000993368019 746039914 /nfs/dbraw/zinc/03/99/14/746039914.db2.gz ROGHKVFLQIRASE-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cc(OC)ncn2)[C@@H]1C ZINC000993368019 746039920 /nfs/dbraw/zinc/03/99/20/746039920.db2.gz ROGHKVFLQIRASE-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO CCN(CCNc1cccc(F)c1C#N)C(=O)Cn1cc[nH+]c1 ZINC001106789017 746085989 /nfs/dbraw/zinc/08/59/89/746085989.db2.gz FORCWKOYVZJLOJ-UHFFFAOYSA-N 1 2 315.352 1.854 20 30 DDEDLO C=C(C)CCC(=O)N(CC)CCNc1[nH+]cnc2c1cnn2C ZINC001106860968 746502704 /nfs/dbraw/zinc/50/27/04/746502704.db2.gz BRKMARGUUBCISR-UHFFFAOYSA-N 1 2 316.409 1.980 20 30 DDEDLO CCCCCCC[N@@H+]1CCCN(C(=O)CS(C)(=O)=O)CC1 ZINC001195053576 746517605 /nfs/dbraw/zinc/51/76/05/746517605.db2.gz MSZKIZTWEJHLIU-UHFFFAOYSA-N 1 2 318.483 1.536 20 30 DDEDLO CCCCCCC[N@H+]1CCCN(C(=O)CS(C)(=O)=O)CC1 ZINC001195053576 746517609 /nfs/dbraw/zinc/51/76/09/746517609.db2.gz MSZKIZTWEJHLIU-UHFFFAOYSA-N 1 2 318.483 1.536 20 30 DDEDLO C=CCN1CC[N@@H+](C)C2(CCN(C(=O)O[C@@H](C)CC)CC2)C1=O ZINC001195102331 746529158 /nfs/dbraw/zinc/52/91/58/746529158.db2.gz JSHYDUDKBZWGEZ-AWEZNQCLSA-N 1 2 323.437 1.716 20 30 DDEDLO C=CCN1CC[N@H+](C)C2(CCN(C(=O)O[C@@H](C)CC)CC2)C1=O ZINC001195102331 746529160 /nfs/dbraw/zinc/52/91/60/746529160.db2.gz JSHYDUDKBZWGEZ-AWEZNQCLSA-N 1 2 323.437 1.716 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195555169 746630611 /nfs/dbraw/zinc/63/06/11/746630611.db2.gz XXTDQZHJJBAHPQ-NILFDRSVSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195555169 746630615 /nfs/dbraw/zinc/63/06/15/746630615.db2.gz XXTDQZHJJBAHPQ-NILFDRSVSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3ocnc3C)C[C@@H]2O)CC1 ZINC001083754793 746701165 /nfs/dbraw/zinc/70/11/65/746701165.db2.gz UJPTZAUFKYGAFQ-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3ocnc3C)C[C@@H]2O)CC1 ZINC001083754793 746701170 /nfs/dbraw/zinc/70/11/70/746701170.db2.gz UJPTZAUFKYGAFQ-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2nc(C)sc2C)CC1 ZINC001196258566 746808014 /nfs/dbraw/zinc/80/80/14/746808014.db2.gz BVWYLBSPVCIYLK-UHFFFAOYSA-N 1 2 321.446 1.558 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2nc(C)sc2C)CC1 ZINC001196258566 746808020 /nfs/dbraw/zinc/80/80/20/746808020.db2.gz BVWYLBSPVCIYLK-UHFFFAOYSA-N 1 2 321.446 1.558 20 30 DDEDLO CCc1ccc(C(=O)N2CCC[N@H+](CC#CCOC)CC2)o1 ZINC001196303521 746821092 /nfs/dbraw/zinc/82/10/92/746821092.db2.gz QXZSSFAMWVOZIC-UHFFFAOYSA-N 1 2 304.390 1.640 20 30 DDEDLO CCc1ccc(C(=O)N2CCC[N@@H+](CC#CCOC)CC2)o1 ZINC001196303521 746821096 /nfs/dbraw/zinc/82/10/96/746821096.db2.gz QXZSSFAMWVOZIC-UHFFFAOYSA-N 1 2 304.390 1.640 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc(N(CC)CC)nc2)C1 ZINC001031469900 746862196 /nfs/dbraw/zinc/86/21/96/746862196.db2.gz BUOWJZFRDFREIG-UHFFFAOYSA-N 1 2 302.422 1.918 20 30 DDEDLO N#Cc1cccnc1C(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC001196555174 746905095 /nfs/dbraw/zinc/90/50/95/746905095.db2.gz YDOACLHPRLHBEK-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO CN(c1ncccc1C#N)[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061227488 746917073 /nfs/dbraw/zinc/91/70/73/746917073.db2.gz GZVYWLSBKHRKJE-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO Cn1cc(C(=O)NCC2C[NH+](Cc3ccc(C#N)s3)C2)cn1 ZINC001031539266 746983644 /nfs/dbraw/zinc/98/36/44/746983644.db2.gz BSUZGJRIWGQXJL-UHFFFAOYSA-N 1 2 315.402 1.215 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC001196853264 746990690 /nfs/dbraw/zinc/99/06/90/746990690.db2.gz JHKGEJLCMKWMPV-UONOGXRCSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC001196853264 746990695 /nfs/dbraw/zinc/99/06/95/746990695.db2.gz JHKGEJLCMKWMPV-UONOGXRCSA-N 1 2 307.438 1.258 20 30 DDEDLO CCCCCC[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001197092058 747047648 /nfs/dbraw/zinc/04/76/48/747047648.db2.gz ZCYMBPQWNBSFOS-BRWVUGGUSA-N 1 2 324.465 1.404 20 30 DDEDLO CCCCCC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001197092058 747047658 /nfs/dbraw/zinc/04/76/58/747047658.db2.gz ZCYMBPQWNBSFOS-BRWVUGGUSA-N 1 2 324.465 1.404 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N(C)C(C)C)CC1 ZINC001197291596 747131638 /nfs/dbraw/zinc/13/16/38/747131638.db2.gz HNCQTNUDURYVOR-UHFFFAOYSA-N 1 2 323.481 1.990 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N(C)C(C)C)CC1 ZINC001197291596 747131640 /nfs/dbraw/zinc/13/16/40/747131640.db2.gz HNCQTNUDURYVOR-UHFFFAOYSA-N 1 2 323.481 1.990 20 30 DDEDLO CCc1cc(C(=O)NCc2c[nH+]cn2CCOC)ccc1C#N ZINC001197293879 747132552 /nfs/dbraw/zinc/13/25/52/747132552.db2.gz LFTJTTBIYDGKKP-UHFFFAOYSA-N 1 2 312.373 1.894 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)[C@@H]3CC3(F)F)C2)ccc1F ZINC001031655933 747324396 /nfs/dbraw/zinc/32/43/96/747324396.db2.gz PNJBAASDQROCKU-ZDUSSCGKSA-N 1 2 323.318 1.901 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(C)s2)[C@@H](O)C1 ZINC001090021596 747334100 /nfs/dbraw/zinc/33/41/00/747334100.db2.gz BUAZCMLBJQZATR-NEPJUHHUSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)s2)[C@@H](O)C1 ZINC001090021596 747334102 /nfs/dbraw/zinc/33/41/02/747334102.db2.gz BUAZCMLBJQZATR-NEPJUHHUSA-N 1 2 314.838 1.974 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH2+][C@H](CNC(C)=O)c1ccccc1OC ZINC001198463626 747500451 /nfs/dbraw/zinc/50/04/51/747500451.db2.gz POCAFZPFSKSKHF-SWLSCSKDSA-N 1 2 319.405 1.153 20 30 DDEDLO C=CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC001089689183 747658888 /nfs/dbraw/zinc/65/88/88/747658888.db2.gz BYKZTJGWPMITIP-GFCCVEGCSA-N 1 2 306.410 1.532 20 30 DDEDLO C=CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC001089689183 747658891 /nfs/dbraw/zinc/65/88/91/747658891.db2.gz BYKZTJGWPMITIP-GFCCVEGCSA-N 1 2 306.410 1.532 20 30 DDEDLO N#CCN1CCC(NC(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC001003894138 747683204 /nfs/dbraw/zinc/68/32/04/747683204.db2.gz QCMZRFCOHMQCEQ-UHFFFAOYSA-N 1 2 309.373 1.590 20 30 DDEDLO CC#CC[NH+]1CCC(NC(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC001003900806 747706936 /nfs/dbraw/zinc/70/69/36/747706936.db2.gz LZZCCFPXIFQPBI-UHFFFAOYSA-N 1 2 323.400 1.689 20 30 DDEDLO CN(C(=O)c1cocn1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001032951041 747751825 /nfs/dbraw/zinc/75/18/25/747751825.db2.gz NYCOSYZVAIGPFQ-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C(=O)c1cocn1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001032951041 747751831 /nfs/dbraw/zinc/75/18/31/747751831.db2.gz NYCOSYZVAIGPFQ-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnn(Cc3ccccn3)c2)CC1 ZINC001199571699 747899964 /nfs/dbraw/zinc/89/99/64/747899964.db2.gz BBPFPGGRDOWGSY-UHFFFAOYSA-N 1 2 311.389 1.270 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3nocc3C)CC2)nc1 ZINC001004003306 747902931 /nfs/dbraw/zinc/90/29/31/747902931.db2.gz IZAZSLJAZQVMSN-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO CN(C(=O)c1cncnc1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033034160 747997144 /nfs/dbraw/zinc/99/71/44/747997144.db2.gz VBWBFNSXPZZGPZ-KRWDZBQOSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C(=O)c1cncnc1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033034160 747997147 /nfs/dbraw/zinc/99/71/47/747997147.db2.gz VBWBFNSXPZZGPZ-KRWDZBQOSA-N 1 2 321.384 1.695 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(CC)no1 ZINC001124496146 748286064 /nfs/dbraw/zinc/28/60/64/748286064.db2.gz WWJMXDBMLFQZJG-RYUDHWBXSA-N 1 2 310.398 1.380 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001110554882 748294513 /nfs/dbraw/zinc/29/45/13/748294513.db2.gz JDIVNQQTBBBDFE-OCCSQVGLSA-N 1 2 302.378 1.226 20 30 DDEDLO C=C(C)CCC(=O)N1CCCO[C@@H](C[NH2+]Cc2csnn2)C1 ZINC001200628101 748296199 /nfs/dbraw/zinc/29/61/99/748296199.db2.gz ROOVQKMIQUHWEG-AWEZNQCLSA-N 1 2 324.450 1.602 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNC(F)(F)c1ccnc(Cl)c1C#N ZINC001161945768 748345167 /nfs/dbraw/zinc/34/51/67/748345167.db2.gz OQHCHZCWSXQICZ-SECBINFHSA-N 1 2 318.711 1.136 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@@H+]3CCCC[C@@H]3C2)cc1C#N ZINC001201304005 748470904 /nfs/dbraw/zinc/47/09/04/748470904.db2.gz WLIXNSMSQWRHOT-OAHLLOKOSA-N 1 2 319.430 1.726 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@H+]3CCCC[C@@H]3C2)cc1C#N ZINC001201304005 748470908 /nfs/dbraw/zinc/47/09/08/748470908.db2.gz WLIXNSMSQWRHOT-OAHLLOKOSA-N 1 2 319.430 1.726 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@]3(C)CCOC3)c2C1 ZINC001128524575 748732832 /nfs/dbraw/zinc/73/28/32/748732832.db2.gz JCOQTWCDFPJERL-QGZVFWFLSA-N 1 2 318.421 1.318 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@]3(C)CCOC3)c2C1 ZINC001128524575 748732840 /nfs/dbraw/zinc/73/28/40/748732840.db2.gz JCOQTWCDFPJERL-QGZVFWFLSA-N 1 2 318.421 1.318 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC(NC(=O)c3cccc4[nH+]ccn43)C2)C1 ZINC000995541530 748918716 /nfs/dbraw/zinc/91/87/16/748918716.db2.gz RTONNEFEMPFDRQ-UHFFFAOYSA-N 1 2 324.384 1.631 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2CN(C(=O)[C@@H](C)C#N)CC2(C)C)n1 ZINC000995556654 748930503 /nfs/dbraw/zinc/93/05/03/748930503.db2.gz FHXBMVINBOPVEM-QWRGUYRKSA-N 1 2 305.382 1.118 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc(OC)n2)C1 ZINC001108317224 761915796 /nfs/dbraw/zinc/91/57/96/761915796.db2.gz HCDCMPRRGWZRGC-INIZCTEOSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc(OC)n2)C1 ZINC001108317224 761915803 /nfs/dbraw/zinc/91/58/03/761915803.db2.gz HCDCMPRRGWZRGC-INIZCTEOSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CC3CCCCC3)nn2)C1 ZINC001107119831 749150420 /nfs/dbraw/zinc/15/04/20/749150420.db2.gz MNJJJQYGCDCKCQ-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001108336077 761931002 /nfs/dbraw/zinc/93/10/02/761931002.db2.gz QXPFYAGOKLMUKD-ZDUSSCGKSA-N 1 2 315.421 1.185 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001108336077 761931005 /nfs/dbraw/zinc/93/10/05/761931005.db2.gz QXPFYAGOKLMUKD-ZDUSSCGKSA-N 1 2 315.421 1.185 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114472894 749256594 /nfs/dbraw/zinc/25/65/94/749256594.db2.gz OOYLCNGPFYRMQN-CQSZACIVSA-N 1 2 316.405 1.156 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(F)nc2)[C@@H](O)C1 ZINC001090137126 749268688 /nfs/dbraw/zinc/26/86/88/749268688.db2.gz LOGWDXKXZCDHNH-NEPJUHHUSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(F)nc2)[C@@H](O)C1 ZINC001090137126 749268691 /nfs/dbraw/zinc/26/86/91/749268691.db2.gz LOGWDXKXZCDHNH-NEPJUHHUSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)/C(C)=C/CC)nn2)C1 ZINC001107140178 749370425 /nfs/dbraw/zinc/37/04/25/749370425.db2.gz ZWSKEAYITCPNIB-AWNIVKPZSA-N 1 2 303.410 1.683 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CCC(F)(F)F)nn2)C1 ZINC001107153068 749428668 /nfs/dbraw/zinc/42/86/68/749428668.db2.gz QZVRMFSQLNJLID-UHFFFAOYSA-N 1 2 317.315 1.280 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CCc3ccoc3)nn2)C1 ZINC001107156811 749438010 /nfs/dbraw/zinc/43/80/10/749438010.db2.gz GOJXOXLYSFARJW-UHFFFAOYSA-N 1 2 315.377 1.163 20 30 DDEDLO CCn1nncc1C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001039346629 761952135 /nfs/dbraw/zinc/95/21/35/761952135.db2.gz MGFOXDUDGVTZOJ-MJBXVCDLSA-N 1 2 316.409 1.023 20 30 DDEDLO CCn1nncc1C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001039346629 761952142 /nfs/dbraw/zinc/95/21/42/761952142.db2.gz MGFOXDUDGVTZOJ-MJBXVCDLSA-N 1 2 316.409 1.023 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3(CCF)CC3)nn2)C1 ZINC001107187094 749514844 /nfs/dbraw/zinc/51/48/44/749514844.db2.gz NTYOTPWNNFNUMD-UHFFFAOYSA-N 1 2 321.400 1.467 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(C)CCCCC3)nn2)C1 ZINC001107202021 749592879 /nfs/dbraw/zinc/59/28/79/749592879.db2.gz NDIZVSXLHFRJPL-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CCC3(C)CC3)nn2)C1 ZINC001107226349 749641322 /nfs/dbraw/zinc/64/13/22/749641322.db2.gz QTMXRLDXFKBIAS-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001039373353 761975387 /nfs/dbraw/zinc/97/53/87/761975387.db2.gz PMFLRIJENLIORT-CVEARBPZSA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001039373353 761975390 /nfs/dbraw/zinc/97/53/90/761975390.db2.gz PMFLRIJENLIORT-CVEARBPZSA-N 1 2 313.401 1.962 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cnsn2)C1 ZINC001033753533 749842013 /nfs/dbraw/zinc/84/20/13/749842013.db2.gz NRBURPGZPQGRMJ-JTQLQIEISA-N 1 2 300.815 1.827 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cnsn2)C1 ZINC001033753533 749842016 /nfs/dbraw/zinc/84/20/16/749842016.db2.gz NRBURPGZPQGRMJ-JTQLQIEISA-N 1 2 300.815 1.827 20 30 DDEDLO N#Cc1cccnc1N1CCC(NC(=O)CCn2cc[nH+]c2)CC1 ZINC001095438249 749897542 /nfs/dbraw/zinc/89/75/42/749897542.db2.gz GPCCRTWCDSKXHX-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCC=CCNc1ccc(C#N)nc1 ZINC001107549118 750122575 /nfs/dbraw/zinc/12/25/75/750122575.db2.gz GVCJTOSGBWWWDC-XQJDBVBESA-N 1 2 324.388 1.639 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCC=CCNc1ccc(C#N)nc1 ZINC001107549118 750122579 /nfs/dbraw/zinc/12/25/79/750122579.db2.gz GVCJTOSGBWWWDC-XQJDBVBESA-N 1 2 324.388 1.639 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)COc2ccc(C)cc2)C1 ZINC001108382209 762016058 /nfs/dbraw/zinc/01/60/58/762016058.db2.gz KZTLBEATVDTPJJ-SFHVURJKSA-N 1 2 318.417 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)COc2ccc(C)cc2)C1 ZINC001108382209 762016068 /nfs/dbraw/zinc/01/60/68/762016068.db2.gz KZTLBEATVDTPJJ-SFHVURJKSA-N 1 2 318.417 1.767 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C[C@H](C)CCC)[C@@H](n2ccnn2)C1 ZINC001128803045 750233015 /nfs/dbraw/zinc/23/30/15/750233015.db2.gz FYKXYDXRBXTTCJ-OAGGEKHMSA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C[C@H](C)CCC)[C@@H](n2ccnn2)C1 ZINC001128803045 750233019 /nfs/dbraw/zinc/23/30/19/750233019.db2.gz FYKXYDXRBXTTCJ-OAGGEKHMSA-N 1 2 317.437 1.469 20 30 DDEDLO CCN(C(=O)c1ccc(-n2cc[nH+]c2)cn1)[C@H]1CCN(CC#N)C1 ZINC001034016639 750256470 /nfs/dbraw/zinc/25/64/70/750256470.db2.gz VQONJTAOGGFRIG-HNNXBMFYSA-N 1 2 324.388 1.327 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001111072386 750348869 /nfs/dbraw/zinc/34/88/69/750348869.db2.gz KKQVGPOHWVVHSI-KFWWJZLASA-N 1 2 319.449 1.589 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001111072386 750348877 /nfs/dbraw/zinc/34/88/77/750348877.db2.gz KKQVGPOHWVVHSI-KFWWJZLASA-N 1 2 319.449 1.589 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc(F)c2)C1 ZINC001107707244 750410802 /nfs/dbraw/zinc/41/08/02/750410802.db2.gz FPIPBLWAFAWULG-KRWDZBQOSA-N 1 2 304.365 1.670 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc(F)c2)C1 ZINC001107707244 750410806 /nfs/dbraw/zinc/41/08/06/750410806.db2.gz FPIPBLWAFAWULG-KRWDZBQOSA-N 1 2 304.365 1.670 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ncc[nH]2)cc1 ZINC001032393700 750540487 /nfs/dbraw/zinc/54/04/87/750540487.db2.gz KQMJVOZEZQPHJF-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ncc[nH]2)cc1 ZINC001032393700 750540490 /nfs/dbraw/zinc/54/04/90/750540490.db2.gz KQMJVOZEZQPHJF-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)/C=C\c2ccc[nH]2)C1 ZINC001107897681 750593552 /nfs/dbraw/zinc/59/35/52/750593552.db2.gz MOLQPQCJUPCPAX-ZPUOCTKUSA-N 1 2 301.390 1.258 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)/C=C\c2ccc[nH]2)C1 ZINC001107897681 750593554 /nfs/dbraw/zinc/59/35/54/750593554.db2.gz MOLQPQCJUPCPAX-ZPUOCTKUSA-N 1 2 301.390 1.258 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1oc(CC2CC2)nc1C ZINC001032404965 750598934 /nfs/dbraw/zinc/59/89/34/750598934.db2.gz GXTQTCMEIJKMLQ-GJZGRUSLSA-N 1 2 313.401 1.858 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1oc(CC2CC2)nc1C ZINC001032404965 750598938 /nfs/dbraw/zinc/59/89/38/750598938.db2.gz GXTQTCMEIJKMLQ-GJZGRUSLSA-N 1 2 313.401 1.858 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(F)cc2ccncc21 ZINC001032409910 750621322 /nfs/dbraw/zinc/62/13/22/750621322.db2.gz GCZGHLCCZTYQJF-GJZGRUSLSA-N 1 2 309.344 1.906 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(F)cc2ccncc21 ZINC001032409910 750621323 /nfs/dbraw/zinc/62/13/23/750621323.db2.gz GCZGHLCCZTYQJF-GJZGRUSLSA-N 1 2 309.344 1.906 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)CCc1[nH+]ccn1C ZINC001077791505 750665613 /nfs/dbraw/zinc/66/56/13/750665613.db2.gz MGPPPMPBEVOIHU-AWEZNQCLSA-N 1 2 318.421 1.167 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)CCC(C)C)CC2 ZINC001127939705 750792184 /nfs/dbraw/zinc/79/21/84/750792184.db2.gz YQTCUZAGYNAVRD-AWEZNQCLSA-N 1 2 317.437 1.258 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H](CNc2cc[nH+]c(C)n2)C[C@H]1C ZINC001114669958 750967798 /nfs/dbraw/zinc/96/77/98/750967798.db2.gz CCGWYTIICPDAOG-TZMCWYRMSA-N 1 2 304.394 1.637 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114686894 750989174 /nfs/dbraw/zinc/98/91/74/750989174.db2.gz UFNGGSSYRKGHQZ-FTYKPCCVSA-N 1 2 303.410 1.433 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114686894 750989178 /nfs/dbraw/zinc/98/91/78/750989178.db2.gz UFNGGSSYRKGHQZ-FTYKPCCVSA-N 1 2 303.410 1.433 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@H]2CCCN2C(=O)C#CC2CC2)no1 ZINC001034934976 751046315 /nfs/dbraw/zinc/04/63/15/751046315.db2.gz BYFXYBMEEMHFQT-BXUZGUMPSA-N 1 2 302.378 1.433 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc2c1C(=O)NCCC2 ZINC001032531332 751205936 /nfs/dbraw/zinc/20/59/36/751205936.db2.gz NYKWSAWOEUOSDN-RYUDHWBXSA-N 1 2 315.373 1.040 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc2c1C(=O)NCCC2 ZINC001032531332 751205938 /nfs/dbraw/zinc/20/59/38/751205938.db2.gz NYKWSAWOEUOSDN-RYUDHWBXSA-N 1 2 315.373 1.040 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](F)Cc2ccccc2)C1 ZINC001108416331 762109771 /nfs/dbraw/zinc/10/97/71/762109771.db2.gz RCIHBIPJGJCTIR-SJLPKXTDSA-N 1 2 320.408 1.960 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](F)Cc2ccccc2)C1 ZINC001108416331 762109774 /nfs/dbraw/zinc/10/97/74/762109774.db2.gz RCIHBIPJGJCTIR-SJLPKXTDSA-N 1 2 320.408 1.960 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1sc(N(C)C)nc1C ZINC001032666866 752675304 /nfs/dbraw/zinc/67/53/04/752675304.db2.gz HUVJCGTXYHTYRM-RYUDHWBXSA-N 1 2 306.435 1.602 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1sc(N(C)C)nc1C ZINC001032666866 752675310 /nfs/dbraw/zinc/67/53/10/752675310.db2.gz HUVJCGTXYHTYRM-RYUDHWBXSA-N 1 2 306.435 1.602 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1CNC(=O)Cc1[nH]c[nH+]c1C ZINC001062057851 752706937 /nfs/dbraw/zinc/70/69/37/752706937.db2.gz CBGFYUKGHZPVNW-CQSZACIVSA-N 1 2 318.421 1.724 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)CCc3[nH+]ccn3C)C2)C1 ZINC000999277197 752777325 /nfs/dbraw/zinc/77/73/25/752777325.db2.gz FLSVYRJXLAVRNQ-UHFFFAOYSA-N 1 2 316.405 1.036 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2cscn2)C1 ZINC001108433323 762167514 /nfs/dbraw/zinc/16/75/14/762167514.db2.gz BGIKCPLQPHWTKZ-INIZCTEOSA-N 1 2 321.446 1.306 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2cscn2)C1 ZINC001108433323 762167518 /nfs/dbraw/zinc/16/75/18/762167518.db2.gz BGIKCPLQPHWTKZ-INIZCTEOSA-N 1 2 321.446 1.306 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCCN1C(=O)Cc1c[nH+]cn1C ZINC001062281701 752862492 /nfs/dbraw/zinc/86/24/92/752862492.db2.gz JTODELDXQMUKSL-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC001116397078 753133899 /nfs/dbraw/zinc/13/38/99/753133899.db2.gz ZVDVOOBWCIQSJI-UHFFFAOYSA-N 1 2 305.334 1.423 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC001116397078 753133902 /nfs/dbraw/zinc/13/39/02/753133902.db2.gz ZVDVOOBWCIQSJI-UHFFFAOYSA-N 1 2 305.334 1.423 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnoc3C)C2)cn1 ZINC001009278703 753138599 /nfs/dbraw/zinc/13/85/99/753138599.db2.gz VVSZOJPPCNEJHF-QGZVFWFLSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3cnoc3C)C2)cn1 ZINC001009278703 753138601 /nfs/dbraw/zinc/13/86/01/753138601.db2.gz VVSZOJPPCNEJHF-QGZVFWFLSA-N 1 2 324.384 1.754 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2c(F)cccc2F)C1 ZINC001108165586 753157626 /nfs/dbraw/zinc/15/76/26/753157626.db2.gz KDNFSMIXEWQGTN-KRWDZBQOSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2c(F)cccc2F)C1 ZINC001108165586 753157630 /nfs/dbraw/zinc/15/76/30/753157630.db2.gz KDNFSMIXEWQGTN-KRWDZBQOSA-N 1 2 324.371 1.900 20 30 DDEDLO N#CCN[C@H]1CCCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000999823457 753191645 /nfs/dbraw/zinc/19/16/45/753191645.db2.gz UZHBQCNKDWRVEN-HNNXBMFYSA-N 1 2 309.373 1.590 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001077928894 753437036 /nfs/dbraw/zinc/43/70/36/753437036.db2.gz SODOVSPMUCSAKS-CABCVRRESA-N 1 2 320.437 1.887 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2ccncc2)C1 ZINC001009899226 753446838 /nfs/dbraw/zinc/44/68/38/753446838.db2.gz LHBLODIIZMLFCF-KRWDZBQOSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2ccncc2)C1 ZINC001009899226 753446842 /nfs/dbraw/zinc/44/68/42/753446842.db2.gz LHBLODIIZMLFCF-KRWDZBQOSA-N 1 2 306.369 1.958 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)nc2ccccn21 ZINC001032769020 753575745 /nfs/dbraw/zinc/57/57/45/753575745.db2.gz XDTZTUUDNCPZIY-RYUDHWBXSA-N 1 2 314.776 1.520 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)nc2ccccn21 ZINC001032769020 753575749 /nfs/dbraw/zinc/57/57/49/753575749.db2.gz XDTZTUUDNCPZIY-RYUDHWBXSA-N 1 2 314.776 1.520 20 30 DDEDLO C#CCC1(C(=O)N[C@@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001078036025 753667863 /nfs/dbraw/zinc/66/78/63/753667863.db2.gz CNQIVTJEHRRZDO-ZDUSSCGKSA-N 1 2 316.405 1.157 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1)n1cccn1 ZINC001010287521 753735451 /nfs/dbraw/zinc/73/54/51/753735451.db2.gz UDDOZFCIGVPBHU-YOEHRIQHSA-N 1 2 323.400 1.706 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1)n1cccn1 ZINC001010287521 753735452 /nfs/dbraw/zinc/73/54/52/753735452.db2.gz UDDOZFCIGVPBHU-YOEHRIQHSA-N 1 2 323.400 1.706 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1)n1cccn1 ZINC001010287520 753735474 /nfs/dbraw/zinc/73/54/74/753735474.db2.gz UDDOZFCIGVPBHU-WMLDXEAASA-N 1 2 323.400 1.706 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1)n1cccn1 ZINC001010287520 753735480 /nfs/dbraw/zinc/73/54/80/753735480.db2.gz UDDOZFCIGVPBHU-WMLDXEAASA-N 1 2 323.400 1.706 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001078221721 753854819 /nfs/dbraw/zinc/85/48/19/753854819.db2.gz GKKOJMHVYLOUMP-BBRMVZONSA-N 1 2 306.410 1.496 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C3CCCC3)no2)C1 ZINC001078200832 753855951 /nfs/dbraw/zinc/85/59/51/753855951.db2.gz STQVBOSKGTZVRZ-ZIAGYGMSSA-N 1 2 305.378 1.293 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C3CCCC3)no2)C1 ZINC001078200832 753855956 /nfs/dbraw/zinc/85/59/56/753855956.db2.gz STQVBOSKGTZVRZ-ZIAGYGMSSA-N 1 2 305.378 1.293 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2oc3c(cccc3C)c2C)C1 ZINC001078231674 753866691 /nfs/dbraw/zinc/86/66/91/753866691.db2.gz YVTRTVIEEQJDIS-HUUCEWRRSA-N 1 2 312.369 1.458 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2oc3c(cccc3C)c2C)C1 ZINC001078231674 753866699 /nfs/dbraw/zinc/86/66/99/753866699.db2.gz YVTRTVIEEQJDIS-HUUCEWRRSA-N 1 2 312.369 1.458 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[C@H](C)NC(=O)CCn2cc[nH+]c2)C1 ZINC001078282921 753912477 /nfs/dbraw/zinc/91/24/77/753912477.db2.gz WQQXEKIMFIHPGM-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1nsc2ccccc21 ZINC001032796563 753954023 /nfs/dbraw/zinc/95/40/23/753954023.db2.gz HCMAKKSEXVOFRP-STQMWFEESA-N 1 2 311.410 1.757 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1nsc2ccccc21 ZINC001032796563 753954026 /nfs/dbraw/zinc/95/40/26/753954026.db2.gz HCMAKKSEXVOFRP-STQMWFEESA-N 1 2 311.410 1.757 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](F)Cc1ccccc1 ZINC001032799413 754031994 /nfs/dbraw/zinc/03/19/94/754031994.db2.gz VZSWGVDCICOMAQ-YESZJQIVSA-N 1 2 300.377 1.876 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](F)Cc1ccccc1 ZINC001032799413 754031996 /nfs/dbraw/zinc/03/19/96/754031996.db2.gz VZSWGVDCICOMAQ-YESZJQIVSA-N 1 2 300.377 1.876 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN2C(=O)Cc2c[nH+]c[nH]2)cn1 ZINC001063566612 754238014 /nfs/dbraw/zinc/23/80/14/754238014.db2.gz ANMDLMHOKUGTSR-OAHLLOKOSA-N 1 2 310.361 1.322 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001078758069 754379746 /nfs/dbraw/zinc/37/97/46/754379746.db2.gz PXXZWVHSMVGNHD-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001064069909 754481565 /nfs/dbraw/zinc/48/15/65/754481565.db2.gz MZSITAVDNPIAEY-UONOGXRCSA-N 1 2 318.421 1.496 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064069536 754481829 /nfs/dbraw/zinc/48/18/29/754481829.db2.gz WAILMJUNEVYVSB-QWHCGFSZSA-N 1 2 318.421 1.566 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1OC(C)C ZINC001032822802 754544282 /nfs/dbraw/zinc/54/42/82/754544282.db2.gz CWHPYNBAMDBPSH-GJZGRUSLSA-N 1 2 313.401 1.791 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1OC(C)C ZINC001032822802 754544283 /nfs/dbraw/zinc/54/42/83/754544283.db2.gz CWHPYNBAMDBPSH-GJZGRUSLSA-N 1 2 313.401 1.791 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001090300510 754786735 /nfs/dbraw/zinc/78/67/35/754786735.db2.gz OPJGBUYYGWPGOJ-UONOGXRCSA-N 1 2 302.378 1.025 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@H]2C)C1 ZINC001012010951 754810906 /nfs/dbraw/zinc/81/09/06/754810906.db2.gz DUKGNIZMQKURRC-STQMWFEESA-N 1 2 316.405 1.414 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064832399 754874735 /nfs/dbraw/zinc/87/47/35/754874735.db2.gz MZTALSXLXNCCTB-JSGCOSHPSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CSCCC)[C@H](O)C1 ZINC001099701892 755390159 /nfs/dbraw/zinc/39/01/59/755390159.db2.gz LOKAIAOWSGXEAO-VXGBXAGGSA-N 1 2 306.859 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CSCCC)[C@H](O)C1 ZINC001099701892 755390161 /nfs/dbraw/zinc/39/01/61/755390161.db2.gz LOKAIAOWSGXEAO-VXGBXAGGSA-N 1 2 306.859 1.434 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)no1 ZINC001079542168 755427984 /nfs/dbraw/zinc/42/79/84/755427984.db2.gz NFLXMFDOGNKHTR-MEBBXXQBSA-N 1 2 313.361 1.433 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)no1 ZINC001079542168 755427986 /nfs/dbraw/zinc/42/79/86/755427986.db2.gz NFLXMFDOGNKHTR-MEBBXXQBSA-N 1 2 313.361 1.433 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn3c2OCCC3)C1 ZINC001080045937 755696946 /nfs/dbraw/zinc/69/69/46/755696946.db2.gz JTTJXWZKLGNWHU-ZWNOBZJWSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn3c2OCCC3)C1 ZINC001080045937 755696947 /nfs/dbraw/zinc/69/69/47/755696947.db2.gz JTTJXWZKLGNWHU-ZWNOBZJWSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cncnc2CC)C1 ZINC001080953955 756157875 /nfs/dbraw/zinc/15/78/75/756157875.db2.gz HPAHUXSFMVIISO-QMTHXVAHSA-N 1 2 308.813 1.842 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cncnc2CC)C1 ZINC001080953955 756157877 /nfs/dbraw/zinc/15/78/77/756157877.db2.gz HPAHUXSFMVIISO-QMTHXVAHSA-N 1 2 308.813 1.842 20 30 DDEDLO Cc1cncn1CC(=O)N[C@@H]1CC[N@H+](Cc2cccc(C#N)c2)C1 ZINC001015285108 756215989 /nfs/dbraw/zinc/21/59/89/756215989.db2.gz DADPXWYLKLZSOT-QGZVFWFLSA-N 1 2 323.400 1.454 20 30 DDEDLO Cc1cncn1CC(=O)N[C@@H]1CC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC001015285108 756215993 /nfs/dbraw/zinc/21/59/93/756215993.db2.gz DADPXWYLKLZSOT-QGZVFWFLSA-N 1 2 323.400 1.454 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCc3nncn3CC2)C1 ZINC001015328486 756243588 /nfs/dbraw/zinc/24/35/88/756243588.db2.gz WAFOINJZWPDUSY-QWHCGFSZSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCc3nncn3CC2)C1 ZINC001015328486 756243591 /nfs/dbraw/zinc/24/35/91/756243591.db2.gz WAFOINJZWPDUSY-QWHCGFSZSA-N 1 2 323.828 1.174 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+](C)Cc1ccc(OCC(=O)OC)cc1 ZINC001169474030 762458811 /nfs/dbraw/zinc/45/88/11/762458811.db2.gz QBCRKTMJEQNTIL-OAHLLOKOSA-N 1 2 321.373 1.788 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+](C)Cc1ccc(OCC(=O)OC)cc1 ZINC001169474030 762458816 /nfs/dbraw/zinc/45/88/16/762458816.db2.gz QBCRKTMJEQNTIL-OAHLLOKOSA-N 1 2 321.373 1.788 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3cncs3)C2)c1 ZINC001015678452 756460740 /nfs/dbraw/zinc/46/07/40/756460740.db2.gz IICGOHYVZMPDGK-CQSZACIVSA-N 1 2 312.398 1.524 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3cncs3)C2)c1 ZINC001015678452 756460743 /nfs/dbraw/zinc/46/07/43/756460743.db2.gz IICGOHYVZMPDGK-CQSZACIVSA-N 1 2 312.398 1.524 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccnc(CCC)c2)[C@H](OC)C1 ZINC001081818795 756494505 /nfs/dbraw/zinc/49/45/05/756494505.db2.gz WXSXBNDHEGVNCP-HZPDHXFCSA-N 1 2 301.390 1.096 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccnc(CCC)c2)[C@H](OC)C1 ZINC001081818795 756494508 /nfs/dbraw/zinc/49/45/08/756494508.db2.gz WXSXBNDHEGVNCP-HZPDHXFCSA-N 1 2 301.390 1.096 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC001015767728 756533250 /nfs/dbraw/zinc/53/32/50/756533250.db2.gz QJDODVOTRSUXCR-LLVKDONJSA-N 1 2 320.780 1.825 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC001015767728 756533253 /nfs/dbraw/zinc/53/32/53/756533253.db2.gz QJDODVOTRSUXCR-LLVKDONJSA-N 1 2 320.780 1.825 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)[C@H]1C[C@@H]1C ZINC001082033057 756611647 /nfs/dbraw/zinc/61/16/47/756611647.db2.gz RTYJWBRTUARHHS-BSLXNSKLSA-N 1 2 319.430 1.591 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)[C@H]1C[C@@H]1C ZINC001082033057 756611651 /nfs/dbraw/zinc/61/16/51/756611651.db2.gz RTYJWBRTUARHHS-BSLXNSKLSA-N 1 2 319.430 1.591 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CN(Cc3c[nH+]cn3C)C[C@H]2OC)C1 ZINC001082103076 756641838 /nfs/dbraw/zinc/64/18/38/756641838.db2.gz SIJWOURENHMETP-HUUCEWRRSA-N 1 2 318.421 1.092 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccncc2Cl)[C@H](OC)C1 ZINC001082168750 756658443 /nfs/dbraw/zinc/65/84/43/756658443.db2.gz ZGZWSSXFAQFVIQ-ZIAGYGMSSA-N 1 2 307.781 1.187 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccncc2Cl)[C@H](OC)C1 ZINC001082168750 756658446 /nfs/dbraw/zinc/65/84/46/756658446.db2.gz ZGZWSSXFAQFVIQ-ZIAGYGMSSA-N 1 2 307.781 1.187 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@H](Nc2ccc(C#N)nc2)[C@@H](C)C1 ZINC001067127239 756669866 /nfs/dbraw/zinc/66/98/66/756669866.db2.gz MLSSAXCFMWPMFR-ZBEGNZNMSA-N 1 2 324.388 1.486 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001015984980 756697846 /nfs/dbraw/zinc/69/78/46/756697846.db2.gz PYYUVQQLABUMCE-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001015984980 756697848 /nfs/dbraw/zinc/69/78/48/756697848.db2.gz PYYUVQQLABUMCE-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2csc(Cl)n2)[C@H](OC)C1 ZINC001082301691 756727746 /nfs/dbraw/zinc/72/77/46/756727746.db2.gz JEJBZRSEEHRUSK-MWLCHTKSSA-N 1 2 313.810 1.249 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2csc(Cl)n2)[C@H](OC)C1 ZINC001082301691 756727749 /nfs/dbraw/zinc/72/77/49/756727749.db2.gz JEJBZRSEEHRUSK-MWLCHTKSSA-N 1 2 313.810 1.249 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cc(C)no3)C2)nc1 ZINC001016024032 756729696 /nfs/dbraw/zinc/72/96/96/756729696.db2.gz NPJQAHSRXVVQIK-CQSZACIVSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cc(C)no3)C2)nc1 ZINC001016024032 756729700 /nfs/dbraw/zinc/72/97/00/756729700.db2.gz NPJQAHSRXVVQIK-CQSZACIVSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cncc(F)c3)C2)nc1 ZINC001016025059 756729911 /nfs/dbraw/zinc/72/99/11/756729911.db2.gz UFBZNPLODUPZCT-MRXNPFEDSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cncc(F)c3)C2)nc1 ZINC001016025059 756729913 /nfs/dbraw/zinc/72/99/13/756729913.db2.gz UFBZNPLODUPZCT-MRXNPFEDSA-N 1 2 324.359 1.601 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccnn3[C@@H](C)CC)[C@H]2C1 ZINC001083096472 757133676 /nfs/dbraw/zinc/13/36/76/757133676.db2.gz IIDBFKRYRSMGOP-CWRNSKLLSA-N 1 2 316.405 1.013 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccnn3[C@@H](C)CC)[C@H]2C1 ZINC001083096472 757133677 /nfs/dbraw/zinc/13/36/77/757133677.db2.gz IIDBFKRYRSMGOP-CWRNSKLLSA-N 1 2 316.405 1.013 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(=O)n1C)C2 ZINC001097646274 757332535 /nfs/dbraw/zinc/33/25/35/757332535.db2.gz RXWXLNISKLGASA-MXWKQRLJSA-N 1 2 310.785 1.213 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(=O)n1C)C2 ZINC001097646274 757332543 /nfs/dbraw/zinc/33/25/43/757332543.db2.gz RXWXLNISKLGASA-MXWKQRLJSA-N 1 2 310.785 1.213 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)[C@@H]3C2)ncn1 ZINC001084334198 757496535 /nfs/dbraw/zinc/49/65/35/757496535.db2.gz SOKVPVIOVXKZIQ-NVXWUHKLSA-N 1 2 310.401 1.231 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)[C@@H]3C2)ncn1 ZINC001084334198 757496542 /nfs/dbraw/zinc/49/65/42/757496542.db2.gz SOKVPVIOVXKZIQ-NVXWUHKLSA-N 1 2 310.401 1.231 20 30 DDEDLO C#CCCCCC(=O)NC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001097766764 757514773 /nfs/dbraw/zinc/51/47/73/757514773.db2.gz SKKOTSPPUHPGGP-LBPRGKRZSA-N 1 2 318.425 1.356 20 30 DDEDLO C[C@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1cccc(F)c1C#N ZINC001097791852 757524526 /nfs/dbraw/zinc/52/45/26/757524526.db2.gz IDCYPCQZFWILBI-LLVKDONJSA-N 1 2 315.352 1.970 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](Cc3nnnn3C)CC2)C1 ZINC001052689599 757650477 /nfs/dbraw/zinc/65/04/77/757650477.db2.gz IPIDIMMEAJRYGJ-CYBMUJFWSA-N 1 2 318.425 1.037 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](Cc3nnnn3C)CC2)C1 ZINC001052689599 757650481 /nfs/dbraw/zinc/65/04/81/757650481.db2.gz IPIDIMMEAJRYGJ-CYBMUJFWSA-N 1 2 318.425 1.037 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001052866124 757901533 /nfs/dbraw/zinc/90/15/33/757901533.db2.gz FCYBMVULHIBLOR-ZIAGYGMSSA-N 1 2 304.394 1.060 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C#CC2CC2)o1 ZINC001017541812 758010508 /nfs/dbraw/zinc/01/05/08/758010508.db2.gz IMZCCVIUPWFPJE-IYBDPMFKSA-N 1 2 313.401 1.880 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C#CC2CC2)o1 ZINC001017541812 758010518 /nfs/dbraw/zinc/01/05/18/758010518.db2.gz IMZCCVIUPWFPJE-IYBDPMFKSA-N 1 2 313.401 1.880 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[N@H+](Cc3cscn3)[C@H]2C1 ZINC001084904683 758049762 /nfs/dbraw/zinc/04/97/62/758049762.db2.gz VFPBERLTBVXLFQ-SCRDCRAPSA-N 1 2 304.419 1.726 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[N@@H+](Cc3cscn3)[C@H]2C1 ZINC001084904683 758049769 /nfs/dbraw/zinc/04/97/69/758049769.db2.gz VFPBERLTBVXLFQ-SCRDCRAPSA-N 1 2 304.419 1.726 20 30 DDEDLO N#CCN1CCC[C@@H]([C@@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001053045828 758106381 /nfs/dbraw/zinc/10/63/81/758106381.db2.gz DNKMYZNPSQFRNV-HUUCEWRRSA-N 1 2 315.421 1.426 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001017675163 758144703 /nfs/dbraw/zinc/14/47/03/758144703.db2.gz FFPNFAUBZLLLOF-BETUJISGSA-N 1 2 322.368 1.257 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001017675163 758144707 /nfs/dbraw/zinc/14/47/07/758144707.db2.gz FFPNFAUBZLLLOF-BETUJISGSA-N 1 2 322.368 1.257 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cncc(OC)c1 ZINC001017721283 758182262 /nfs/dbraw/zinc/18/22/62/758182262.db2.gz AXBCXHHNZGNUPD-IYBDPMFKSA-N 1 2 313.401 1.331 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cncc(OC)c1 ZINC001017721283 758182266 /nfs/dbraw/zinc/18/22/66/758182266.db2.gz AXBCXHHNZGNUPD-IYBDPMFKSA-N 1 2 313.401 1.331 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cnccc2c1 ZINC001017739505 758197127 /nfs/dbraw/zinc/19/71/27/758197127.db2.gz OGMNPGVGMGKYDI-IYBDPMFKSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cnccc2c1 ZINC001017739505 758197134 /nfs/dbraw/zinc/19/71/34/758197134.db2.gz OGMNPGVGMGKYDI-IYBDPMFKSA-N 1 2 306.369 1.552 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001053139149 758198150 /nfs/dbraw/zinc/19/81/50/758198150.db2.gz VBTHMMCWGMONQG-QWHCGFSZSA-N 1 2 318.421 1.375 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)cn2ccnc12 ZINC001017768122 758218852 /nfs/dbraw/zinc/21/88/52/758218852.db2.gz XYVDYDGMHUZANY-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)cn2ccnc12 ZINC001017768122 758218865 /nfs/dbraw/zinc/21/88/65/758218865.db2.gz XYVDYDGMHUZANY-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO Cc1ccccc1C[NH+]1CC2(C1)CN(C(=O)[C@H](C)C#N)CCO2 ZINC001053191114 758249200 /nfs/dbraw/zinc/24/92/00/758249200.db2.gz MGOMUINDTKPHMH-OAHLLOKOSA-N 1 2 313.401 1.568 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCC[C@H]1OC)CCO2 ZINC001053318610 758371283 /nfs/dbraw/zinc/37/12/83/758371283.db2.gz JEDLETDDPIOJMF-LSDHHAIUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCOC[C@@H]1C)CCO2 ZINC001053415779 758446068 /nfs/dbraw/zinc/44/60/68/758446068.db2.gz DCTXNTAOEZTIQW-LSDHHAIUSA-N 1 2 308.422 1.148 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1C[C@H]1C1CCC1)CCO2 ZINC001053466684 758482870 /nfs/dbraw/zinc/48/28/70/758482870.db2.gz YANFFOQQTHZFQB-JKSUJKDBSA-N 1 2 304.434 1.912 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1scnc1COC ZINC001018037744 758496051 /nfs/dbraw/zinc/49/60/51/758496051.db2.gz KKDGGRVDEKHRHX-BETUJISGSA-N 1 2 319.430 1.602 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1scnc1COC ZINC001018037744 758496053 /nfs/dbraw/zinc/49/60/53/758496053.db2.gz KKDGGRVDEKHRHX-BETUJISGSA-N 1 2 319.430 1.602 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c(C)onc1CC)CCO2 ZINC001053529995 758536642 /nfs/dbraw/zinc/53/66/42/758536642.db2.gz PHIYQNPULIRLEZ-UHFFFAOYSA-N 1 2 319.405 1.648 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1scnc1C ZINC001018133406 758568995 /nfs/dbraw/zinc/56/89/95/758568995.db2.gz WOVXYUOQUALHPF-OKILXGFUSA-N 1 2 303.431 1.693 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1scnc1C ZINC001018133406 758569004 /nfs/dbraw/zinc/56/90/04/758569004.db2.gz WOVXYUOQUALHPF-OKILXGFUSA-N 1 2 303.431 1.693 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cccc(F)c1)O2 ZINC001053565988 758573753 /nfs/dbraw/zinc/57/37/53/758573753.db2.gz GTTGGSQSAZBGTI-HNNXBMFYSA-N 1 2 304.365 1.975 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(OC)cs1)O2 ZINC001053640611 758654848 /nfs/dbraw/zinc/65/48/48/758654848.db2.gz CGTBIAILMPOKBT-LBPRGKRZSA-N 1 2 322.430 1.906 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1nc(C)oc1C)O2 ZINC001053683545 758693500 /nfs/dbraw/zinc/69/35/00/758693500.db2.gz FILCXTLCZMRFDE-CQSZACIVSA-N 1 2 319.405 1.831 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+]([C@H]3CCN(C(C)=O)C3)CC2)CCC1 ZINC001065675152 758694251 /nfs/dbraw/zinc/69/42/51/758694251.db2.gz MPMGBSURVPUQRD-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cn(C)nc1Cl)O2 ZINC001053686417 758695794 /nfs/dbraw/zinc/69/57/94/758695794.db2.gz PMVJITTUUGCCNT-NSHDSACASA-N 1 2 324.812 1.223 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2cccc(F)c2O1 ZINC001018286627 758699112 /nfs/dbraw/zinc/69/91/12/758699112.db2.gz SQZYXDVWQCMPSA-IJEWVQPXSA-N 1 2 314.360 1.438 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2cccc(F)c2O1 ZINC001018286627 758699116 /nfs/dbraw/zinc/69/91/16/758699116.db2.gz SQZYXDVWQCMPSA-IJEWVQPXSA-N 1 2 314.360 1.438 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(Cl)cn1)O2 ZINC001053691900 758701350 /nfs/dbraw/zinc/70/13/50/758701350.db2.gz GGNMXQGNXYURTD-ZDUSSCGKSA-N 1 2 321.808 1.884 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)C=C(C)C)CC2)C1 ZINC001065692174 758710512 /nfs/dbraw/zinc/71/05/12/758710512.db2.gz PSHZHQJGACXPRW-INIZCTEOSA-N 1 2 319.449 1.664 20 30 DDEDLO C[C@H](Nc1ccc(C#N)nc1)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065722991 758725902 /nfs/dbraw/zinc/72/59/02/758725902.db2.gz KWRYWOXDOJCMNB-UONOGXRCSA-N 1 2 324.388 1.499 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(F)c(F)c1 ZINC001018339686 758740993 /nfs/dbraw/zinc/74/09/93/758740993.db2.gz ZPMNFYRLLJDNQR-BETUJISGSA-N 1 2 320.339 1.652 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(F)c(F)c1 ZINC001018339686 758740999 /nfs/dbraw/zinc/74/09/99/758740999.db2.gz ZPMNFYRLLJDNQR-BETUJISGSA-N 1 2 320.339 1.652 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]c(C#N)c1)CO2 ZINC001053779992 758803648 /nfs/dbraw/zinc/80/36/48/758803648.db2.gz YMQFVOBDMLNDTL-CQSZACIVSA-N 1 2 300.362 1.036 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1cncs1)CO2 ZINC001053836521 758866002 /nfs/dbraw/zinc/86/60/02/758866002.db2.gz WXTPTBGASVUJIZ-GFCCVEGCSA-N 1 2 307.419 1.221 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1nc(C)sc1C)CO2 ZINC001053843516 758873675 /nfs/dbraw/zinc/87/36/75/758873675.db2.gz OZAYNOCAOLCJGZ-CYBMUJFWSA-N 1 2 321.446 1.909 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]nc1C(C)C)CO2 ZINC001053856163 758888874 /nfs/dbraw/zinc/88/88/74/758888874.db2.gz CZGZTEGFHPWNKO-CYBMUJFWSA-N 1 2 318.421 1.682 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cncc3[nH]ccc31)CO2 ZINC001053858672 758891239 /nfs/dbraw/zinc/89/12/39/758891239.db2.gz OEQPAOCWKLCKRX-ZDUSSCGKSA-N 1 2 324.384 1.159 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CCC3(CC3)CC1)CO2 ZINC001053873217 758907862 /nfs/dbraw/zinc/90/78/62/758907862.db2.gz UQANBTBIUMTGHZ-MRXNPFEDSA-N 1 2 316.445 1.940 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)CC1CC(OCC)C1)CO2 ZINC001053903100 758936028 /nfs/dbraw/zinc/93/60/28/758936028.db2.gz MHTNYHNSASBMLS-HWOWSKLDSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)c1cncnc1)CO2 ZINC001053903397 758936603 /nfs/dbraw/zinc/93/66/03/758936603.db2.gz PRYIKCCABJWYKD-UKRRQHHQSA-N 1 2 316.405 1.116 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CCC(C)(C)C1)CO2 ZINC001053921015 758956706 /nfs/dbraw/zinc/95/67/06/758956706.db2.gz WXTWRKHPJJOEQV-LSDHHAIUSA-N 1 2 304.434 1.796 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cn1cc(Cl)cn1)CO2 ZINC001053943228 758978968 /nfs/dbraw/zinc/97/89/68/758978968.db2.gz ZWGZYKJCEKRKKM-CYBMUJFWSA-N 1 2 324.812 1.072 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc3ccccn3c1)CO2 ZINC001053946155 758982912 /nfs/dbraw/zinc/98/29/12/758982912.db2.gz OUWFDZMRCTVMCI-INIZCTEOSA-N 1 2 323.396 1.536 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1nc(CC)oc1C)CO2 ZINC001053951988 758990129 /nfs/dbraw/zinc/99/01/29/758990129.db2.gz JPKFBUQQTSSXHU-ZDUSSCGKSA-N 1 2 319.405 1.695 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccccc1F)CO2 ZINC001053997228 759043028 /nfs/dbraw/zinc/04/30/28/759043028.db2.gz XYVVHGFIROLSFH-HNNXBMFYSA-N 1 2 318.392 1.904 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C13CCC(CC1)CC3)CO2 ZINC001054012314 759059856 /nfs/dbraw/zinc/05/98/56/759059856.db2.gz AJLUKEWUGKCAGE-UPTPFMHGSA-N 1 2 316.445 1.940 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1[C@H]3[C@@H]1[C@@H]1CC[C@H]3C1)CO2 ZINC001054021824 759072415 /nfs/dbraw/zinc/07/24/15/759072415.db2.gz VTDPBSDEGAGUIP-NEXGVSGLSA-N 1 2 316.445 1.814 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC13CCOCC3)CO2 ZINC001054026639 759077759 /nfs/dbraw/zinc/07/77/59/759077759.db2.gz DEEZVBXKZOTBOI-CABCVRRESA-N 1 2 320.433 1.339 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001066146346 759087283 /nfs/dbraw/zinc/08/72/83/759087283.db2.gz LSQXLAQYXWSHIB-AWEZNQCLSA-N 1 2 316.405 1.109 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001066136069 759094470 /nfs/dbraw/zinc/09/44/70/759094470.db2.gz ASMCBTRQLVAINK-OAHLLOKOSA-N 1 2 316.405 1.040 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@@H]1CCCC[C@H]1NCC#N)C2 ZINC001085250299 759199537 /nfs/dbraw/zinc/19/95/37/759199537.db2.gz NXVXFAQESGBJSK-BFHYXJOUSA-N 1 2 315.421 1.364 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@@H]1CCCC[C@H]1NCC#N)CC2 ZINC001085250299 759199540 /nfs/dbraw/zinc/19/95/40/759199540.db2.gz NXVXFAQESGBJSK-BFHYXJOUSA-N 1 2 315.421 1.364 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CCC[C@]2(CCN(CC#N)C2)C1 ZINC001054170772 759250800 /nfs/dbraw/zinc/25/08/00/759250800.db2.gz HMJSHYKBQVPVDX-QGZVFWFLSA-N 1 2 315.421 1.191 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3n[nH]c4c3CCC4)cc2C1 ZINC001054272499 759378595 /nfs/dbraw/zinc/37/85/95/759378595.db2.gz VLICXGWZRMWPTJ-UHFFFAOYSA-N 1 2 320.396 1.777 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3n[nH]c4c3CCC4)cc2C1 ZINC001054272499 759378606 /nfs/dbraw/zinc/37/86/06/759378606.db2.gz VLICXGWZRMWPTJ-UHFFFAOYSA-N 1 2 320.396 1.777 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3coc(C(N)=O)c3)cc2C1 ZINC001054274476 759381241 /nfs/dbraw/zinc/38/12/41/759381241.db2.gz GZOOAUBCPMOOGS-UHFFFAOYSA-N 1 2 323.352 1.257 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3coc(C(N)=O)c3)cc2C1 ZINC001054274476 759381252 /nfs/dbraw/zinc/38/12/52/759381252.db2.gz GZOOAUBCPMOOGS-UHFFFAOYSA-N 1 2 323.352 1.257 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@H]3CCOC[C@H]3C)cc2C1 ZINC001054280390 759387142 /nfs/dbraw/zinc/38/71/42/759387142.db2.gz IJWPMBJBQLDMFJ-KDOFPFPSSA-N 1 2 312.413 1.924 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@H]3CCOC[C@H]3C)cc2C1 ZINC001054280390 759387154 /nfs/dbraw/zinc/38/71/54/759387154.db2.gz IJWPMBJBQLDMFJ-KDOFPFPSSA-N 1 2 312.413 1.924 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1)C(=O)[C@@H]1CCCO1 ZINC001085472146 759467960 /nfs/dbraw/zinc/46/79/60/759467960.db2.gz GYJSFUGWECHZCQ-WFASDCNBSA-N 1 2 319.430 1.831 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1)C(=O)[C@@H]1CCCO1 ZINC001085472146 759467964 /nfs/dbraw/zinc/46/79/64/759467964.db2.gz GYJSFUGWECHZCQ-WFASDCNBSA-N 1 2 319.430 1.831 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1)C(=O)[C@H]1CCOC1 ZINC001085507821 759572205 /nfs/dbraw/zinc/57/22/05/759572205.db2.gz NUCAYODYAOOZSV-STQMWFEESA-N 1 2 319.430 1.689 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1)C(=O)[C@H]1CCOC1 ZINC001085507821 759572214 /nfs/dbraw/zinc/57/22/14/759572214.db2.gz NUCAYODYAOOZSV-STQMWFEESA-N 1 2 319.430 1.689 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)c2c[nH]c(C#N)c2)ncn1 ZINC001085556538 759700682 /nfs/dbraw/zinc/70/06/82/759700682.db2.gz TXCPWERCXIEUDG-INIZCTEOSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)c2c[nH]c(C#N)c2)ncn1 ZINC001085556538 759700687 /nfs/dbraw/zinc/70/06/87/759700687.db2.gz TXCPWERCXIEUDG-INIZCTEOSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]cnc1C(F)(F)F ZINC001085640938 759915169 /nfs/dbraw/zinc/91/51/69/759915169.db2.gz ZHBGKWYEJDZXNR-VIFPVBQESA-N 1 2 302.300 1.761 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]cnc1C(F)(F)F ZINC001085640938 759915175 /nfs/dbraw/zinc/91/51/75/759915175.db2.gz ZHBGKWYEJDZXNR-VIFPVBQESA-N 1 2 302.300 1.761 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nc[nH]c1C(F)(F)F ZINC001085640938 759915182 /nfs/dbraw/zinc/91/51/82/759915182.db2.gz ZHBGKWYEJDZXNR-VIFPVBQESA-N 1 2 302.300 1.761 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nc[nH]c1C(F)(F)F ZINC001085640938 759915187 /nfs/dbraw/zinc/91/51/87/759915187.db2.gz ZHBGKWYEJDZXNR-VIFPVBQESA-N 1 2 302.300 1.761 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001085709050 760070953 /nfs/dbraw/zinc/07/09/53/760070953.db2.gz XPIPLJBURUVBLD-HNNXBMFYSA-N 1 2 308.385 1.856 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001085709050 760070963 /nfs/dbraw/zinc/07/09/63/760070963.db2.gz XPIPLJBURUVBLD-HNNXBMFYSA-N 1 2 308.385 1.856 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1occ2c1CCOC2 ZINC001085742175 760142157 /nfs/dbraw/zinc/14/21/57/760142157.db2.gz KMAKWQOVNGXXGW-AWEZNQCLSA-N 1 2 302.374 1.522 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1occ2c1CCOC2 ZINC001085742175 760142160 /nfs/dbraw/zinc/14/21/60/760142160.db2.gz KMAKWQOVNGXXGW-AWEZNQCLSA-N 1 2 302.374 1.522 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cccnc2)c1 ZINC001085809372 760277963 /nfs/dbraw/zinc/27/79/63/760277963.db2.gz CALPNBDVYZLQTN-GOSISDBHSA-N 1 2 320.396 1.804 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cccnc2)c1 ZINC001085809372 760277964 /nfs/dbraw/zinc/27/79/64/760277964.db2.gz CALPNBDVYZLQTN-GOSISDBHSA-N 1 2 320.396 1.804 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(-n2cccn2)n1 ZINC001085812784 760286161 /nfs/dbraw/zinc/28/61/61/760286161.db2.gz LSLBBAUFIMIQTD-AWEZNQCLSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(-n2cccn2)n1 ZINC001085812784 760286162 /nfs/dbraw/zinc/28/61/62/760286162.db2.gz LSLBBAUFIMIQTD-AWEZNQCLSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(CC)c1C1CC1 ZINC001085915734 760532852 /nfs/dbraw/zinc/53/28/52/760532852.db2.gz JHIPXZOVJIJOSJ-CQSZACIVSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(CC)c1C1CC1 ZINC001085915734 760532856 /nfs/dbraw/zinc/53/28/56/760532856.db2.gz JHIPXZOVJIJOSJ-CQSZACIVSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncoc1-c1ccon1 ZINC001085928184 760552361 /nfs/dbraw/zinc/55/23/61/760552361.db2.gz PTHRWNBUVYONFG-NSHDSACASA-N 1 2 300.318 1.109 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncoc1-c1ccon1 ZINC001085928184 760552365 /nfs/dbraw/zinc/55/23/65/760552365.db2.gz PTHRWNBUVYONFG-NSHDSACASA-N 1 2 300.318 1.109 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c(=O)c2ccccc21 ZINC001085939451 760572833 /nfs/dbraw/zinc/57/28/33/760572833.db2.gz IHRXKURQBUKMEQ-LBPRGKRZSA-N 1 2 310.357 1.115 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c(=O)c2ccccc21 ZINC001085939451 760572838 /nfs/dbraw/zinc/57/28/38/760572838.db2.gz IHRXKURQBUKMEQ-LBPRGKRZSA-N 1 2 310.357 1.115 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1ccn(C)n1 ZINC001085971423 760632906 /nfs/dbraw/zinc/63/29/06/760632906.db2.gz AZUCMRFWXIYIGD-QGZVFWFLSA-N 1 2 322.412 1.618 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1ccn(C)n1 ZINC001085971423 760632912 /nfs/dbraw/zinc/63/29/12/760632912.db2.gz AZUCMRFWXIYIGD-QGZVFWFLSA-N 1 2 322.412 1.618 20 30 DDEDLO C[C@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1ncc(C#N)cc1Cl ZINC001098005964 760744507 /nfs/dbraw/zinc/74/45/07/760744507.db2.gz AOVYMRADXYIIIA-SECBINFHSA-N 1 2 318.768 1.489 20 30 DDEDLO Cc1cncc(C[N@@H+]2CC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)c1 ZINC001038169764 760880567 /nfs/dbraw/zinc/88/05/67/760880567.db2.gz PAWRLADDLGMAMB-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cncc(C[N@H+]2CC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)c1 ZINC001038169764 760880579 /nfs/dbraw/zinc/88/05/79/760880579.db2.gz PAWRLADDLGMAMB-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1ncsc1C[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038169449 760880925 /nfs/dbraw/zinc/88/09/25/760880925.db2.gz NWAOXKCYFUOMNM-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1ncsc1C[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038169449 760880939 /nfs/dbraw/zinc/88/09/39/760880939.db2.gz NWAOXKCYFUOMNM-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cncn1-c1ccccc1 ZINC001038354227 761039505 /nfs/dbraw/zinc/03/95/05/761039505.db2.gz RIJYZBAQMHENTD-INIZCTEOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cncn1-c1ccccc1 ZINC001038354227 761039514 /nfs/dbraw/zinc/03/95/14/761039514.db2.gz RIJYZBAQMHENTD-INIZCTEOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)nc2ccnn2c1C ZINC001038436247 761115766 /nfs/dbraw/zinc/11/57/66/761115766.db2.gz FMSGPUDPSGZOIP-AWEZNQCLSA-N 1 2 311.389 1.174 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)nc2ccnn2c1C ZINC001038436247 761115769 /nfs/dbraw/zinc/11/57/69/761115769.db2.gz FMSGPUDPSGZOIP-AWEZNQCLSA-N 1 2 311.389 1.174 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(C)c1C(F)(F)F ZINC001038458300 761136312 /nfs/dbraw/zinc/13/63/12/761136312.db2.gz USPVVEFWRQNWAY-SNVBAGLBSA-N 1 2 314.311 1.266 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(C)c1C(F)(F)F ZINC001038458300 761136316 /nfs/dbraw/zinc/13/63/16/761136316.db2.gz USPVVEFWRQNWAY-SNVBAGLBSA-N 1 2 314.311 1.266 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC[C@H]2CCCCO2)C1 ZINC001108252210 761154466 /nfs/dbraw/zinc/15/44/66/761154466.db2.gz SMQUVMNSKZAZBG-AEFFLSMTSA-N 1 2 322.449 1.566 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC[C@H]2CCCCO2)C1 ZINC001108252210 761154469 /nfs/dbraw/zinc/15/44/69/761154469.db2.gz SMQUVMNSKZAZBG-AEFFLSMTSA-N 1 2 322.449 1.566 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cc(C)ncn1 ZINC001038714390 761316789 /nfs/dbraw/zinc/31/67/89/761316789.db2.gz OBHACFVLJDDXLL-XHSDSOJGSA-N 1 2 316.405 1.067 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cc(C)ncn1 ZINC001038714390 761316795 /nfs/dbraw/zinc/31/67/95/761316795.db2.gz OBHACFVLJDDXLL-XHSDSOJGSA-N 1 2 316.405 1.067 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)O1 ZINC001038720901 761326227 /nfs/dbraw/zinc/32/62/27/761326227.db2.gz VPPKMESTZRYULQ-XOKHGSTOSA-N 1 2 313.401 1.816 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)O1 ZINC001038720901 761326238 /nfs/dbraw/zinc/32/62/38/761326238.db2.gz VPPKMESTZRYULQ-XOKHGSTOSA-N 1 2 313.401 1.816 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)c2ccnnc2)c1 ZINC001038740420 761346887 /nfs/dbraw/zinc/34/68/87/761346887.db2.gz QUWIJULGCBMKOT-INIZCTEOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)c2ccnnc2)c1 ZINC001038740420 761346896 /nfs/dbraw/zinc/34/68/96/761346896.db2.gz QUWIJULGCBMKOT-INIZCTEOSA-N 1 2 307.357 1.353 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc(C)nc1C(C)C ZINC001038770627 761390834 /nfs/dbraw/zinc/39/08/34/761390834.db2.gz AAIVOROMJSTJMN-CQSZACIVSA-N 1 2 300.406 1.736 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc(C)nc1C(C)C ZINC001038770627 761390839 /nfs/dbraw/zinc/39/08/39/761390839.db2.gz AAIVOROMJSTJMN-CQSZACIVSA-N 1 2 300.406 1.736 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001038806065 761423106 /nfs/dbraw/zinc/42/31/06/761423106.db2.gz DDYBOTFXDLUFCB-QGZVFWFLSA-N 1 2 308.385 1.904 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001038806065 761423110 /nfs/dbraw/zinc/42/31/10/761423110.db2.gz DDYBOTFXDLUFCB-QGZVFWFLSA-N 1 2 308.385 1.904 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnc(C2CCCC2)n1 ZINC001038860135 761483269 /nfs/dbraw/zinc/48/32/69/761483269.db2.gz QEVPXZAVNRBIKU-OAHLLOKOSA-N 1 2 312.417 1.962 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnc(C2CCCC2)n1 ZINC001038860135 761483276 /nfs/dbraw/zinc/48/32/76/761483276.db2.gz QEVPXZAVNRBIKU-OAHLLOKOSA-N 1 2 312.417 1.962 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(-n2ccnc2)ccn1 ZINC001038868208 761490990 /nfs/dbraw/zinc/49/09/90/761490990.db2.gz YQQASSYLXZWZSS-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(-n2ccnc2)ccn1 ZINC001038868208 761490993 /nfs/dbraw/zinc/49/09/93/761490993.db2.gz YQQASSYLXZWZSS-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCn2cccc2)C1 ZINC001108302055 761880376 /nfs/dbraw/zinc/88/03/76/761880376.db2.gz CZYFANRQRJMNIE-QGZVFWFLSA-N 1 2 303.406 1.109 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCn2cccc2)C1 ZINC001108302055 761880384 /nfs/dbraw/zinc/88/03/84/761880384.db2.gz CZYFANRQRJMNIE-QGZVFWFLSA-N 1 2 303.406 1.109 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CCCC(C)C)CC2=O)C1 ZINC001108575311 762722233 /nfs/dbraw/zinc/72/22/33/762722233.db2.gz BQJFLOJBEVJHOX-OAHLLOKOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)CC(C)C)CC2=O)C1 ZINC001108583261 762749352 /nfs/dbraw/zinc/74/93/52/762749352.db2.gz KYZCPYQFIKCTKQ-KGLIPLIRSA-N 1 2 307.438 1.256 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)CCc1[nH+]ccn1C ZINC001108802309 762947941 /nfs/dbraw/zinc/94/79/41/762947941.db2.gz SYBIEPBTFURHGL-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C/C=C(\C)C=C)CC1 ZINC001131374372 768100304 /nfs/dbraw/zinc/10/03/04/768100304.db2.gz XCLUKBYBLYQJGL-GIDUJCDVSA-N 1 2 305.422 1.345 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C/C=C(\C)C=C)CC1 ZINC001131374372 768100309 /nfs/dbraw/zinc/10/03/09/768100309.db2.gz XCLUKBYBLYQJGL-GIDUJCDVSA-N 1 2 305.422 1.345 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC001046925196 768120003 /nfs/dbraw/zinc/12/00/03/768120003.db2.gz LPJCUKBKUFCLCI-VXGBXAGGSA-N 1 2 322.783 1.849 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC001046925196 768120006 /nfs/dbraw/zinc/12/00/06/768120006.db2.gz LPJCUKBKUFCLCI-VXGBXAGGSA-N 1 2 322.783 1.849 20 30 DDEDLO Cc1nc(N(C)C[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001109058251 763300997 /nfs/dbraw/zinc/30/09/97/763300997.db2.gz VIRDZXYHPOOSNZ-NSHDSACASA-N 1 2 312.377 1.168 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(CCN(C(=O)Cn3ccnc3C)C2)C1 ZINC001041832595 763384158 /nfs/dbraw/zinc/38/41/58/763384158.db2.gz CISADOIJLBQYEG-INIZCTEOSA-N 1 2 322.840 1.868 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(CCN(C(=O)Cn3ccnc3C)C2)C1 ZINC001041832595 763384168 /nfs/dbraw/zinc/38/41/68/763384168.db2.gz CISADOIJLBQYEG-INIZCTEOSA-N 1 2 322.840 1.868 20 30 DDEDLO Cc1nnsc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)C)C2 ZINC001109248388 763488093 /nfs/dbraw/zinc/48/80/93/763488093.db2.gz HWYXPHYLVNJXSG-RDBSUJKOSA-N 1 2 318.446 1.727 20 30 DDEDLO Cc1nnsc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)C)C2 ZINC001109248388 763488101 /nfs/dbraw/zinc/48/81/01/763488101.db2.gz HWYXPHYLVNJXSG-RDBSUJKOSA-N 1 2 318.446 1.727 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C)no1 ZINC001109265986 763528040 /nfs/dbraw/zinc/52/80/40/763528040.db2.gz JIVVSRHCYFYRQG-XRGAULLZSA-N 1 2 317.389 1.243 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C)no1 ZINC001109265986 763528044 /nfs/dbraw/zinc/52/80/44/763528044.db2.gz JIVVSRHCYFYRQG-XRGAULLZSA-N 1 2 317.389 1.243 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)c3csnn3)C[C@H]21 ZINC001042164924 763731277 /nfs/dbraw/zinc/73/12/77/763731277.db2.gz ALZWMOCUJPQIBY-ZYHUDNBSSA-N 1 2 312.826 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)c3csnn3)C[C@H]21 ZINC001042164924 763731282 /nfs/dbraw/zinc/73/12/82/763731282.db2.gz ALZWMOCUJPQIBY-ZYHUDNBSSA-N 1 2 312.826 1.827 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C)CCC1)C2 ZINC001109600719 763849140 /nfs/dbraw/zinc/84/91/40/763849140.db2.gz JZIPYWUDOSKKJL-RDBSUJKOSA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C)CCC1)C2 ZINC001109600719 763849148 /nfs/dbraw/zinc/84/91/48/763849148.db2.gz JZIPYWUDOSKKJL-RDBSUJKOSA-N 1 2 305.422 1.200 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(C)C ZINC001109601989 763851005 /nfs/dbraw/zinc/85/10/05/763851005.db2.gz XDYUKYKTCIDAQX-RDBSUJKOSA-N 1 2 307.438 1.445 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC(C)C ZINC001109601989 763851009 /nfs/dbraw/zinc/85/10/09/763851009.db2.gz XDYUKYKTCIDAQX-RDBSUJKOSA-N 1 2 307.438 1.445 20 30 DDEDLO Cc1ccc(C#N)c(NCCCN(C)C(=O)Cc2c[nH+]c[nH]2)n1 ZINC001109633785 763883784 /nfs/dbraw/zinc/88/37/84/763883784.db2.gz QCJAFTKXCWAJSY-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)COc1cnn(C)c1)C2 ZINC001109648083 763907210 /nfs/dbraw/zinc/90/72/10/763907210.db2.gz QSEJHLOSSCZBAX-XBFCOCLRSA-N 1 2 324.812 1.273 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)COc1cnn(C)c1)C2 ZINC001109648083 763907217 /nfs/dbraw/zinc/90/72/17/763907217.db2.gz QSEJHLOSSCZBAX-XBFCOCLRSA-N 1 2 324.812 1.273 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CCC)CC1)C2 ZINC001109690922 763953458 /nfs/dbraw/zinc/95/34/58/763953458.db2.gz PWQJYTHTMILPFO-ILXRZTDVSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CCC)CC1)C2 ZINC001109690922 763953465 /nfs/dbraw/zinc/95/34/65/763953465.db2.gz PWQJYTHTMILPFO-ILXRZTDVSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCCC(=O)N[C@H](CNc1[nH+]cnc2c1cnn2C)C1CC1 ZINC001109789723 764057174 /nfs/dbraw/zinc/05/71/74/764057174.db2.gz FXSURSURXOQBLR-CYBMUJFWSA-N 1 2 314.393 1.636 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC[C@@H](C)CC)C2 ZINC001109874863 764159706 /nfs/dbraw/zinc/15/97/06/764159706.db2.gz USDBGWDOOCXCGV-FZKCQIBNSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC[C@@H](C)CC)C2 ZINC001109874863 764159710 /nfs/dbraw/zinc/15/97/10/764159710.db2.gz USDBGWDOOCXCGV-FZKCQIBNSA-N 1 2 319.449 1.284 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001057630940 764183005 /nfs/dbraw/zinc/18/30/05/764183005.db2.gz HBRRZAQNCXZGDA-CQSZACIVSA-N 1 2 324.388 1.134 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)c2C1 ZINC001069871147 768201486 /nfs/dbraw/zinc/20/14/86/768201486.db2.gz NIQAKTXKTLMNDZ-FICVDOATSA-N 1 2 314.433 1.937 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)c2C1 ZINC001069871147 768201489 /nfs/dbraw/zinc/20/14/89/768201489.db2.gz NIQAKTXKTLMNDZ-FICVDOATSA-N 1 2 314.433 1.937 20 30 DDEDLO C#CCCN1CCOC[C@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001050979917 764377636 /nfs/dbraw/zinc/37/76/36/764377636.db2.gz FSARLFLEMCTVAL-CQSZACIVSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnnc1C ZINC001051006528 764409233 /nfs/dbraw/zinc/40/92/33/764409233.db2.gz SJKKSRKAUQOGMO-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnnc1C ZINC001051006528 764409240 /nfs/dbraw/zinc/40/92/40/764409240.db2.gz SJKKSRKAUQOGMO-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(C(C)C)cn1 ZINC001051044421 764447545 /nfs/dbraw/zinc/44/75/45/764447545.db2.gz QAIIXBHSWZYFSL-HNNXBMFYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(C(C)C)cn1 ZINC001051044421 764447549 /nfs/dbraw/zinc/44/75/49/764447549.db2.gz QAIIXBHSWZYFSL-HNNXBMFYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1Cc2ccncc2C1 ZINC001051111424 764515826 /nfs/dbraw/zinc/51/58/26/764515826.db2.gz QMZPQWUVPBCWGT-NVXWUHKLSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1Cc2ccncc2C1 ZINC001051111424 764515842 /nfs/dbraw/zinc/51/58/42/764515842.db2.gz QMZPQWUVPBCWGT-NVXWUHKLSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](CCOCC2CC2)CC1 ZINC001112671100 764566222 /nfs/dbraw/zinc/56/62/22/764566222.db2.gz ADCXLNWOXCKFDD-HNNXBMFYSA-N 1 2 310.438 1.538 20 30 DDEDLO CCOCCC(=O)N1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC001112681191 764577771 /nfs/dbraw/zinc/57/77/71/764577771.db2.gz NNYAECMOLJZTGX-UHFFFAOYSA-N 1 2 301.390 1.629 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(C1)CN(C(=O)CCCC(C)=O)C[C@@H](C)O2 ZINC001131625729 768224391 /nfs/dbraw/zinc/22/43/91/768224391.db2.gz ZHKMJIZWVVJZDD-WBVHZDCISA-N 1 2 306.406 1.071 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(C1)CN(C(=O)CCCC(C)=O)C[C@@H](C)O2 ZINC001131625729 768224395 /nfs/dbraw/zinc/22/43/95/768224395.db2.gz ZHKMJIZWVVJZDD-WBVHZDCISA-N 1 2 306.406 1.071 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1Cc2cccnc2C1 ZINC001051227627 764647892 /nfs/dbraw/zinc/64/78/92/764647892.db2.gz PIWHJGRKQJATII-JKSUJKDBSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1Cc2cccnc2C1 ZINC001051227627 764647900 /nfs/dbraw/zinc/64/79/00/764647900.db2.gz PIWHJGRKQJATII-JKSUJKDBSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CCCN2c2nccs2)C1 ZINC001043459969 764919079 /nfs/dbraw/zinc/91/90/79/764919079.db2.gz ZRCKJBBHOOQNOW-CYBMUJFWSA-N 1 2 306.435 1.441 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(-n3cncn3)c2)C1 ZINC001043823396 765117726 /nfs/dbraw/zinc/11/77/26/765117726.db2.gz GEICCCYIBVYJCY-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C2C[NH+](CC=C(C)C)C2)nn1 ZINC001044026754 765240787 /nfs/dbraw/zinc/24/07/87/765240787.db2.gz ONUFHEODVYMGNX-UHFFFAOYSA-N 1 2 303.410 1.577 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn3cccc(OC)c23)C1 ZINC001044058860 765262550 /nfs/dbraw/zinc/26/25/50/765262550.db2.gz HLSSSJSUNGQETN-UHFFFAOYSA-N 1 2 312.373 1.122 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)[C@@H](C)Nc1ncccc1C#N ZINC001113137072 765324866 /nfs/dbraw/zinc/32/48/66/765324866.db2.gz AXVOWFVOGRHMPK-GHMZBOCLSA-N 1 2 312.377 1.533 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3sccc3C)C2)CC1 ZINC001051969859 765327721 /nfs/dbraw/zinc/32/77/21/765327721.db2.gz RSXCQFJQLOBCNM-HNNXBMFYSA-N 1 2 317.458 1.522 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3sccc3C)C2)CC1 ZINC001051969859 765327723 /nfs/dbraw/zinc/32/77/23/765327723.db2.gz RSXCQFJQLOBCNM-HNNXBMFYSA-N 1 2 317.458 1.522 20 30 DDEDLO CC#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccoc3C)C2)CC1 ZINC001051978140 765339588 /nfs/dbraw/zinc/33/95/88/765339588.db2.gz MTONGIPHTCWYTP-MRXNPFEDSA-N 1 2 315.417 1.443 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C3=COCCC3)C2)CC1 ZINC001051996199 765362363 /nfs/dbraw/zinc/36/23/63/765362363.db2.gz KYEGFWWRSVHXTN-KRWDZBQOSA-N 1 2 319.449 1.475 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@H]3CCCCO3)C2)cn1 ZINC001044204807 765393661 /nfs/dbraw/zinc/39/36/61/765393661.db2.gz GHCUZCSCNIASNC-QGZVFWFLSA-N 1 2 313.401 1.388 20 30 DDEDLO CC(C)C(C)(C)C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052028482 765404448 /nfs/dbraw/zinc/40/44/48/765404448.db2.gz PVILXODEEPSIGO-OAHLLOKOSA-N 1 2 306.454 1.411 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)C3(F)CCCC3)C2)CC1 ZINC001052044898 765417542 /nfs/dbraw/zinc/41/75/42/765417542.db2.gz MSMDNWXINMZPLQ-HNNXBMFYSA-N 1 2 309.429 1.673 20 30 DDEDLO C[C@]1(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)C[C@H]2C[C@H]2C1 ZINC001052057858 765430371 /nfs/dbraw/zinc/43/03/71/765430371.db2.gz UIMIIFKTMLJONK-HPFXQQBRSA-N 1 2 316.449 1.165 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(C(C)C)CC3)C2)CC1 ZINC001052080038 765452388 /nfs/dbraw/zinc/45/23/88/765452388.db2.gz URDPHDZLXYVETA-INIZCTEOSA-N 1 2 303.450 1.274 20 30 DDEDLO C[C@H](C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1)c1ccco1 ZINC001052082105 765455319 /nfs/dbraw/zinc/45/53/19/765455319.db2.gz QGBVQRVLZMQRRB-LSDHHAIUSA-N 1 2 316.405 1.125 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](N3CC[NH+](CC#CC)CC3)C2)C1 ZINC001052082159 765456439 /nfs/dbraw/zinc/45/64/39/765456439.db2.gz RNBFRYOVCRFAQK-QGZVFWFLSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3C[C@H]3CC(C)C)C2)CC1 ZINC001052088145 765459623 /nfs/dbraw/zinc/45/96/23/765459623.db2.gz GYOJSDLKDQGQHA-FGTMMUONSA-N 1 2 317.477 1.520 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3CC34CC4)C2)CC1 ZINC001052091307 765462893 /nfs/dbraw/zinc/46/28/93/765462893.db2.gz FBIYSLBRYPALRT-HOTGVXAUSA-N 1 2 303.450 1.581 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[NH+]([C@H]2CCCN(C)C2=O)CC1 ZINC001113246174 765467462 /nfs/dbraw/zinc/46/74/62/765467462.db2.gz IOGNVTUFXNMFSK-GJZGRUSLSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+](C[C@@H](O)CC(F)(F)F)CC1 ZINC001113282287 765508607 /nfs/dbraw/zinc/50/86/07/765508607.db2.gz VCBQDTBLPRCOKX-LBPRGKRZSA-N 1 2 308.344 1.800 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnc(C)nc2C)CC1 ZINC001113439588 765693523 /nfs/dbraw/zinc/69/35/23/765693523.db2.gz JMUGIMSCVRFEJV-UHFFFAOYSA-N 1 2 304.394 1.054 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cncc(OCCOC)c2)CC1 ZINC001113479099 765736550 /nfs/dbraw/zinc/73/65/50/765736550.db2.gz JEOJRUAPVFSGJS-UHFFFAOYSA-N 1 2 305.378 1.051 20 30 DDEDLO C[C@H](CNC(=O)CCc1[nH]cc[nH+]1)N(C)c1ncccc1C#N ZINC001113590826 765919267 /nfs/dbraw/zinc/91/92/67/765919267.db2.gz JZKCXCXXJZAQDF-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@H](CNC(=O)CCc1[nH]cc[nH+]1)N(C)c1ccc(C#N)cn1 ZINC001113590796 765919497 /nfs/dbraw/zinc/91/94/97/765919497.db2.gz JPPQFPJFUQHTCD-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C)c2C)[C@@H](n2ccnn2)C1 ZINC001070088320 768333517 /nfs/dbraw/zinc/33/35/17/768333517.db2.gz XTHYZVSZAIFKHA-SJORKVTESA-N 1 2 323.400 1.183 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C)c2C)[C@@H](n2ccnn2)C1 ZINC001070088320 768333526 /nfs/dbraw/zinc/33/35/26/768333526.db2.gz XTHYZVSZAIFKHA-SJORKVTESA-N 1 2 323.400 1.183 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@@H](C)OCCC(C)C)CC1 ZINC001113716441 766057501 /nfs/dbraw/zinc/05/75/01/766057501.db2.gz BITDIHMGIILKRX-MRXNPFEDSA-N 1 2 312.454 1.784 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1C[NH2+]Cc1nnc(CC)o1 ZINC001045149479 766115156 /nfs/dbraw/zinc/11/51/56/766115156.db2.gz DRCYKDIQUUNHFL-LBPRGKRZSA-N 1 2 306.410 1.925 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113789207 766140097 /nfs/dbraw/zinc/14/00/97/766140097.db2.gz ZJNLYVOIXHVYFQ-CXAGYDPISA-N 1 2 320.437 1.955 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCn2c[nH+]cc2C1)Nc1ccc(C#N)nc1 ZINC001098116158 768358895 /nfs/dbraw/zinc/35/88/95/768358895.db2.gz URCJDNRIRSIVNS-QWHCGFSZSA-N 1 2 324.388 1.329 20 30 DDEDLO C#CC[NH+]1CCC(C)(NC(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC001045607821 766442377 /nfs/dbraw/zinc/44/23/77/766442377.db2.gz XQVXADGYQFSGSC-UHFFFAOYSA-N 1 2 323.400 1.689 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc4n(n3)CCCC4)C[C@H]21 ZINC001114026315 766557250 /nfs/dbraw/zinc/55/72/50/766557250.db2.gz ZUEPUWZAVGUOIB-VQFNDLOPSA-N 1 2 314.433 1.732 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc4n(n3)CCCC4)C[C@H]21 ZINC001114026315 766557253 /nfs/dbraw/zinc/55/72/53/766557253.db2.gz ZUEPUWZAVGUOIB-VQFNDLOPSA-N 1 2 314.433 1.732 20 30 DDEDLO CC(C)c1cnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)o1 ZINC001114067851 766593249 /nfs/dbraw/zinc/59/32/49/766593249.db2.gz XPHKFKHZWCMXJD-UUIJZJDISA-N 1 2 302.378 1.504 20 30 DDEDLO CC(C)c1cnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)o1 ZINC001114067851 766593257 /nfs/dbraw/zinc/59/32/57/766593257.db2.gz XPHKFKHZWCMXJD-UUIJZJDISA-N 1 2 302.378 1.504 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#N ZINC001045861863 766647616 /nfs/dbraw/zinc/64/76/16/766647616.db2.gz KAELFYVVMUPEIL-YUELXQCFSA-N 1 2 301.394 1.177 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#N ZINC001045861863 766647622 /nfs/dbraw/zinc/64/76/22/766647622.db2.gz KAELFYVVMUPEIL-YUELXQCFSA-N 1 2 301.394 1.177 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)c(C)c(C)[nH+]1 ZINC001067742473 766671625 /nfs/dbraw/zinc/67/16/25/766671625.db2.gz MKOBQDSOYYUVGC-NHYWBVRUSA-N 1 2 315.421 1.989 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCCN2C(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001067752775 766679026 /nfs/dbraw/zinc/67/90/26/766679026.db2.gz AKTDUGOEAZSQHQ-AWEZNQCLSA-N 1 2 324.388 1.726 20 30 DDEDLO CN(C[C@@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1)c1ncccc1C#N ZINC001067772039 766695389 /nfs/dbraw/zinc/69/53/89/766695389.db2.gz LSUXOHKRTFGATD-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001114166527 766726610 /nfs/dbraw/zinc/72/66/10/766726610.db2.gz TZYNQOPCYKXLLG-UYHMYPTGSA-N 1 2 316.405 1.679 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001114166527 766726616 /nfs/dbraw/zinc/72/66/16/766726616.db2.gz TZYNQOPCYKXLLG-UYHMYPTGSA-N 1 2 316.405 1.679 20 30 DDEDLO Cc1nc(NCC2CC(NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001067836888 766740906 /nfs/dbraw/zinc/74/09/06/766740906.db2.gz MGHQGRWELXLQBU-UHFFFAOYSA-N 1 2 310.361 1.605 20 30 DDEDLO C=CCn1c(N(CC)C(C)C)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121607308 782589491 /nfs/dbraw/zinc/58/94/91/782589491.db2.gz LTEGYXLALKKUPI-CJNGLKHVSA-N 1 2 307.442 1.610 20 30 DDEDLO C=CCn1c(N(CC)C(C)C)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121607308 782589498 /nfs/dbraw/zinc/58/94/98/782589498.db2.gz LTEGYXLALKKUPI-CJNGLKHVSA-N 1 2 307.442 1.610 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@](C)(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001046252548 767302655 /nfs/dbraw/zinc/30/26/55/767302655.db2.gz CLXZNMXVCYFGLF-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@](C)(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001046252548 767302656 /nfs/dbraw/zinc/30/26/56/767302656.db2.gz CLXZNMXVCYFGLF-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(N(C)C2CCN(C(=O)[C@@H](C)C#N)CC2)c(C)c(C)[nH+]1 ZINC001068653842 767491322 /nfs/dbraw/zinc/49/13/22/767491322.db2.gz SLHYWWYOWICTQJ-NSHDSACASA-N 1 2 315.421 1.989 20 30 DDEDLO C[C@H]1[C@H](Nc2ccncc2C#N)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001068829550 767645217 /nfs/dbraw/zinc/64/52/17/767645217.db2.gz NFMIXAGGYCUPDG-GXTWGEPZSA-N 1 2 324.388 1.132 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](Nc2ccc(C#N)cn2)[C@H]1C ZINC001068871866 767672363 /nfs/dbraw/zinc/67/23/63/767672363.db2.gz BUQJBHWYQXWTPU-OCCSQVGLSA-N 1 2 324.388 1.629 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001046575374 767703665 /nfs/dbraw/zinc/70/36/65/767703665.db2.gz PJFUILUHZWTAAT-XHDPSFHLSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001046575374 767703671 /nfs/dbraw/zinc/70/36/71/767703671.db2.gz PJFUILUHZWTAAT-XHDPSFHLSA-N 1 2 323.828 1.558 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccsc2)CC[C@H]1C ZINC001131798252 768375291 /nfs/dbraw/zinc/37/52/91/768375291.db2.gz SPEHEZFXOOTWTB-OCCSQVGLSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccsc2)CC[C@H]1C ZINC001131798252 768375299 /nfs/dbraw/zinc/37/52/99/768375299.db2.gz SPEHEZFXOOTWTB-OCCSQVGLSA-N 1 2 319.430 1.080 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+][C@@H](C)c1nc(C)no1 ZINC001131869443 768430297 /nfs/dbraw/zinc/43/02/97/768430297.db2.gz DAQHCWIMVVHFJB-NHYWBVRUSA-N 1 2 310.398 1.374 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)noc2CCC)C1 ZINC001047378103 768434716 /nfs/dbraw/zinc/43/47/16/768434716.db2.gz SUICHWKGHQEYFX-KBPBESRZSA-N 1 2 319.405 1.076 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)noc2CCC)C1 ZINC001047378103 768434720 /nfs/dbraw/zinc/43/47/20/768434720.db2.gz SUICHWKGHQEYFX-KBPBESRZSA-N 1 2 319.405 1.076 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(-c3ccco3)o2)C1 ZINC001047452914 768488651 /nfs/dbraw/zinc/48/86/51/768488651.db2.gz HSURXZZVJUXWJB-STQMWFEESA-N 1 2 314.341 1.290 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(-c3ccco3)o2)C1 ZINC001047452914 768488654 /nfs/dbraw/zinc/48/86/54/768488654.db2.gz HSURXZZVJUXWJB-STQMWFEESA-N 1 2 314.341 1.290 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(F)ccc(C)c2F)C1 ZINC001047512529 768534262 /nfs/dbraw/zinc/53/42/62/768534262.db2.gz UDFQZDXDBNXCIH-STQMWFEESA-N 1 2 308.328 1.024 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(F)ccc(C)c2F)C1 ZINC001047512529 768534267 /nfs/dbraw/zinc/53/42/67/768534267.db2.gz UDFQZDXDBNXCIH-STQMWFEESA-N 1 2 308.328 1.024 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C2CC(C)(C)C2)C[N@@H+]1CC(=O)NCC#N ZINC001131998310 768550290 /nfs/dbraw/zinc/55/02/90/768550290.db2.gz CQLLEZVEURRMHW-JSGCOSHPSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C2CC(C)(C)C2)C[N@H+]1CC(=O)NCC#N ZINC001131998310 768550295 /nfs/dbraw/zinc/55/02/95/768550295.db2.gz CQLLEZVEURRMHW-JSGCOSHPSA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001132018692 768576502 /nfs/dbraw/zinc/57/65/02/768576502.db2.gz YTOBLJXJTXQRJC-GJZGRUSLSA-N 1 2 302.422 1.549 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001132018692 768576504 /nfs/dbraw/zinc/57/65/04/768576504.db2.gz YTOBLJXJTXQRJC-GJZGRUSLSA-N 1 2 302.422 1.549 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc3scnc3c2)C1 ZINC001047582867 768589025 /nfs/dbraw/zinc/58/90/25/768589025.db2.gz JLHZOMRLBSTLIC-KBPBESRZSA-N 1 2 315.398 1.047 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc3scnc3c2)C1 ZINC001047582867 768589029 /nfs/dbraw/zinc/58/90/29/768589029.db2.gz JLHZOMRLBSTLIC-KBPBESRZSA-N 1 2 315.398 1.047 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132065221 768614818 /nfs/dbraw/zinc/61/48/18/768614818.db2.gz RREGXXOHWLWAAI-ZIAGYGMSSA-N 1 2 305.426 1.641 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132065221 768614824 /nfs/dbraw/zinc/61/48/24/768614824.db2.gz RREGXXOHWLWAAI-ZIAGYGMSSA-N 1 2 305.426 1.641 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)C[C@H]2C=CCC2)C[C@H]1NCC#N ZINC001070618802 768622699 /nfs/dbraw/zinc/62/26/99/768622699.db2.gz XSFQMEKPNRQRFZ-RRFJBIMHSA-N 1 2 313.405 1.184 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccsn2)CC[C@@H]1C ZINC001132125473 768638184 /nfs/dbraw/zinc/63/81/84/768638184.db2.gz VDEVHHZQPPCAEO-RYUDHWBXSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccsn2)CC[C@@H]1C ZINC001132125473 768638186 /nfs/dbraw/zinc/63/81/86/768638186.db2.gz VDEVHHZQPPCAEO-RYUDHWBXSA-N 1 2 322.434 1.028 20 30 DDEDLO C[C@@H](C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1)C1CCC1 ZINC001070659667 768649375 /nfs/dbraw/zinc/64/93/75/768649375.db2.gz WTFVZLLCMWQZOI-BPLDGKMQSA-N 1 2 315.421 1.264 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070685536 768669085 /nfs/dbraw/zinc/66/90/85/768669085.db2.gz GXVGBRHKXKBZLF-CKEIUWERSA-N 1 2 315.421 1.430 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001132403684 768808546 /nfs/dbraw/zinc/80/85/46/768808546.db2.gz AHPABTLUEMXVEU-UHFFFAOYSA-N 1 2 318.421 1.812 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](F)C(C)C)CC1 ZINC001070985365 768838043 /nfs/dbraw/zinc/83/80/43/768838043.db2.gz LXMBZQMDSDGUFS-OAHLLOKOSA-N 1 2 313.417 1.207 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H](F)C(C)C)CC1 ZINC001070985365 768838052 /nfs/dbraw/zinc/83/80/52/768838052.db2.gz LXMBZQMDSDGUFS-OAHLLOKOSA-N 1 2 313.417 1.207 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001132671123 769081908 /nfs/dbraw/zinc/08/19/08/769081908.db2.gz JGUHMDQUZPEZPU-LLVKDONJSA-N 1 2 312.439 1.745 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071181213 769110065 /nfs/dbraw/zinc/11/00/65/769110065.db2.gz KUIGKCCVGCPGMH-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CC2OCCCO2)CC[C@H]1C ZINC001071458447 769464617 /nfs/dbraw/zinc/46/46/17/769464617.db2.gz SOCKEVZVQLOQHL-CHWSQXEVSA-N 1 2 316.829 1.861 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CC2OCCCO2)CC[C@H]1C ZINC001071458447 769464618 /nfs/dbraw/zinc/46/46/18/769464618.db2.gz SOCKEVZVQLOQHL-CHWSQXEVSA-N 1 2 316.829 1.861 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2ccn(C)n2)CC[C@@H]1C ZINC001071504319 769527995 /nfs/dbraw/zinc/52/79/95/769527995.db2.gz CWIDOWXJZXWETH-GXTWGEPZSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2ccn(C)n2)CC[C@@H]1C ZINC001071504319 769528004 /nfs/dbraw/zinc/52/80/04/769528004.db2.gz CWIDOWXJZXWETH-GXTWGEPZSA-N 1 2 310.829 1.684 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CC[C@@H](C)N(CC#N)C3)ccn12 ZINC001071511317 769539092 /nfs/dbraw/zinc/53/90/92/769539092.db2.gz LVCWAEGOMQCQFQ-IUODEOHRSA-N 1 2 311.389 1.749 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@@H]2C1 ZINC001048976300 770198976 /nfs/dbraw/zinc/19/89/76/770198976.db2.gz HEXPHIUCIZEBHS-OKILXGFUSA-N 1 2 317.437 1.606 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@@H]2C1 ZINC001048976300 770198977 /nfs/dbraw/zinc/19/89/77/770198977.db2.gz HEXPHIUCIZEBHS-OKILXGFUSA-N 1 2 317.437 1.606 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CN1CC#N ZINC001071954132 770339597 /nfs/dbraw/zinc/33/95/97/770339597.db2.gz KICZPLBYPXFHCE-GOEBONIOSA-N 1 2 323.400 1.979 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](NC(=O)CCn2cc[nH+]c2)[C@@H](C)C1 ZINC001071994555 770404341 /nfs/dbraw/zinc/40/43/41/770404341.db2.gz CLCWBVDEWHIMDS-GJZGRUSLSA-N 1 2 316.405 1.040 20 30 DDEDLO C[C@H](CC(=O)N[C@H]1CCN(c2ccncc2C#N)C1)n1cc[nH+]c1 ZINC001096487747 770446125 /nfs/dbraw/zinc/44/61/25/770446125.db2.gz CITQGBFZSNEGBI-HIFRSBDPSA-N 1 2 324.388 1.496 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072226132 770680981 /nfs/dbraw/zinc/68/09/81/770680981.db2.gz LIGRVVSEEMYNGL-ZIAGYGMSSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001072226159 770681155 /nfs/dbraw/zinc/68/11/55/770681155.db2.gz LPTZVUAGYRRKAY-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001072226159 770681158 /nfs/dbraw/zinc/68/11/58/770681158.db2.gz LPTZVUAGYRRKAY-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO CCn1cc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)nn1 ZINC001049368556 770744102 /nfs/dbraw/zinc/74/41/02/770744102.db2.gz ACTLDOSNMACRCQ-AEGPPILISA-N 1 2 316.409 1.023 20 30 DDEDLO CCn1cc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)nn1 ZINC001049368556 770744106 /nfs/dbraw/zinc/74/41/06/770744106.db2.gz ACTLDOSNMACRCQ-AEGPPILISA-N 1 2 316.409 1.023 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)no1 ZINC001049472758 770900241 /nfs/dbraw/zinc/90/02/41/770900241.db2.gz VMLPLNRLAWNEFY-IRXDYDNUSA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)no1 ZINC001049472758 770900245 /nfs/dbraw/zinc/90/02/45/770900245.db2.gz VMLPLNRLAWNEFY-IRXDYDNUSA-N 1 2 313.401 1.962 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049494939 770926803 /nfs/dbraw/zinc/92/68/03/770926803.db2.gz MBDRDGFOJFPIFC-CVEARBPZSA-N 1 2 323.400 1.660 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049494939 770926808 /nfs/dbraw/zinc/92/68/08/770926808.db2.gz MBDRDGFOJFPIFC-CVEARBPZSA-N 1 2 323.400 1.660 20 30 DDEDLO C[C@H](C[C@H](C)NCC#N)NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001135097101 771321891 /nfs/dbraw/zinc/32/18/91/771321891.db2.gz HKVRABAXKJSHSK-UONOGXRCSA-N 1 2 311.389 1.882 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](Nc2ncnc3c2C[N@H+](C)CC3)C1 ZINC001097001905 771568601 /nfs/dbraw/zinc/56/86/01/771568601.db2.gz MPTDKPTYSCTNSV-JOCQHMNTSA-N 1 2 315.421 1.490 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](Nc2ncnc3c2C[N@@H+](C)CC3)C1 ZINC001097001905 771568605 /nfs/dbraw/zinc/56/86/05/771568605.db2.gz MPTDKPTYSCTNSV-JOCQHMNTSA-N 1 2 315.421 1.490 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001097149608 771641058 /nfs/dbraw/zinc/64/10/58/771641058.db2.gz XTEWIWINPBCNOE-PHIMTYICSA-N 1 2 300.366 1.389 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc[nH]c2C2CC2)[C@@H](O)C1 ZINC001090526479 771855682 /nfs/dbraw/zinc/85/56/82/771855682.db2.gz UDWHUCYXBFTVMZ-KBPBESRZSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc[nH]c2C2CC2)[C@@H](O)C1 ZINC001090526479 771855685 /nfs/dbraw/zinc/85/56/85/771855685.db2.gz UDWHUCYXBFTVMZ-KBPBESRZSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc3c([nH]2)CCC3)[C@H](O)C1 ZINC001090720161 772139390 /nfs/dbraw/zinc/13/93/90/772139390.db2.gz VIEGLOWOGDCKDT-DZGCQCFKSA-N 1 2 323.824 1.421 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc3c([nH]2)CCC3)[C@H](O)C1 ZINC001090720161 772139392 /nfs/dbraw/zinc/13/93/92/772139392.db2.gz VIEGLOWOGDCKDT-DZGCQCFKSA-N 1 2 323.824 1.421 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@@H]1O ZINC001091180985 772590752 /nfs/dbraw/zinc/59/07/52/772590752.db2.gz NSKPEYZLEAYWEV-CABCVRRESA-N 1 2 318.421 1.424 20 30 DDEDLO Cc1cc(NC2(CNC(=O)CCc3[nH]cc[nH+]3)CC2)c(C#N)cn1 ZINC001110115068 772638795 /nfs/dbraw/zinc/63/87/95/772638795.db2.gz IWOFIYBSSRDOKH-UHFFFAOYSA-N 1 2 324.388 1.100 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CC[C@H](CNC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001091586282 772919191 /nfs/dbraw/zinc/91/91/91/772919191.db2.gz PSJPTGLWXBGDCN-UONOGXRCSA-N 1 2 324.388 1.547 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001147499483 773154138 /nfs/dbraw/zinc/15/41/38/773154138.db2.gz TZVPXPYHVNXKOB-UHFFFAOYSA-N 1 2 301.390 1.677 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001147499483 773154141 /nfs/dbraw/zinc/15/41/41/773154141.db2.gz TZVPXPYHVNXKOB-UHFFFAOYSA-N 1 2 301.390 1.677 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001091639948 773179878 /nfs/dbraw/zinc/17/98/78/773179878.db2.gz XSAURZJNCCYXTN-GXTWGEPZSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccsn2)C1 ZINC001073658160 773272156 /nfs/dbraw/zinc/27/21/56/773272156.db2.gz XOQVKIPZGXWEBP-NSHDSACASA-N 1 2 315.826 1.716 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccsn2)C1 ZINC001073658160 773272158 /nfs/dbraw/zinc/27/21/58/773272158.db2.gz XOQVKIPZGXWEBP-NSHDSACASA-N 1 2 315.826 1.716 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001148194096 773374492 /nfs/dbraw/zinc/37/44/92/773374492.db2.gz SYKIYYKGQGJUFR-UHFFFAOYSA-N 1 2 300.406 1.867 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001148194096 773374498 /nfs/dbraw/zinc/37/44/98/773374498.db2.gz SYKIYYKGQGJUFR-UHFFFAOYSA-N 1 2 300.406 1.867 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001074188748 773710571 /nfs/dbraw/zinc/71/05/71/773710571.db2.gz YLPJBBYESRFYDQ-GJZGRUSLSA-N 1 2 304.394 1.210 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001074188748 773710573 /nfs/dbraw/zinc/71/05/73/773710573.db2.gz YLPJBBYESRFYDQ-GJZGRUSLSA-N 1 2 304.394 1.210 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccncc3C)C[C@H]21 ZINC001074233114 773756762 /nfs/dbraw/zinc/75/67/62/773756762.db2.gz LEOGHWDBCCLXRF-SJORKVTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccncc3C)C[C@H]21 ZINC001074233114 773756765 /nfs/dbraw/zinc/75/67/65/773756765.db2.gz LEOGHWDBCCLXRF-SJORKVTESA-N 1 2 313.401 1.329 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3onc(C)c3C)C[C@H]21 ZINC001074274422 773785990 /nfs/dbraw/zinc/78/59/90/773785990.db2.gz UBUBXQPLCDVCRJ-HUUCEWRRSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3onc(C)c3C)C[C@H]21 ZINC001074274422 773785993 /nfs/dbraw/zinc/78/59/93/773785993.db2.gz UBUBXQPLCDVCRJ-HUUCEWRRSA-N 1 2 319.405 1.783 20 30 DDEDLO C=C(C)CN1CCO[C@@H]2CCN(C(=O)CCn3cc[nH+]c3)C[C@@H]21 ZINC001074307869 773810750 /nfs/dbraw/zinc/81/07/50/773810750.db2.gz PSCYUYOTEWNGOI-JKSUJKDBSA-N 1 2 318.421 1.151 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@H]21 ZINC001074320065 773820700 /nfs/dbraw/zinc/82/07/00/773820700.db2.gz WNICXTRXQZEJDG-DRRXZNNHSA-N 1 2 304.434 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@H]21 ZINC001074320065 773820703 /nfs/dbraw/zinc/82/07/03/773820703.db2.gz WNICXTRXQZEJDG-DRRXZNNHSA-N 1 2 304.434 1.910 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C3CC3)C3CC3)C[C@H]21 ZINC001074380942 773873970 /nfs/dbraw/zinc/87/39/70/773873970.db2.gz WVYAWRJLKQWWQP-SJORKVTESA-N 1 2 316.445 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C3CC3)C3CC3)C[C@H]21 ZINC001074380942 773873975 /nfs/dbraw/zinc/87/39/75/773873975.db2.gz WVYAWRJLKQWWQP-SJORKVTESA-N 1 2 316.445 1.748 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3[nH]cnc3C)C[C@@H]21 ZINC001074392868 773886463 /nfs/dbraw/zinc/88/64/63/773886463.db2.gz QNYSHRBBDYJPOE-HOTGVXAUSA-N 1 2 318.421 1.138 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3[nH]cnc3C)C[C@@H]21 ZINC001074392868 773886468 /nfs/dbraw/zinc/88/64/68/773886468.db2.gz QNYSHRBBDYJPOE-HOTGVXAUSA-N 1 2 318.421 1.138 20 30 DDEDLO N#Cc1ccc(NC2CC(CNC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001092233831 774021871 /nfs/dbraw/zinc/02/18/71/774021871.db2.gz HJTKYBMPVFEMQK-UHFFFAOYSA-N 1 2 310.361 1.226 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)CC1CCC1)c1nccn12 ZINC001092359248 774073930 /nfs/dbraw/zinc/07/39/30/774073930.db2.gz PUIYLOHRPJFRAL-OAHLLOKOSA-N 1 2 312.417 1.669 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C(C)=C3CCC3)C2)nn1 ZINC001098669333 774636485 /nfs/dbraw/zinc/63/64/85/774636485.db2.gz NONJPONLMIBRRT-MRXNPFEDSA-N 1 2 313.405 1.275 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cncc(C)c3)CC2)C1 ZINC001093521532 774773662 /nfs/dbraw/zinc/77/36/62/774773662.db2.gz QYZLOLUHQXTCGU-UHFFFAOYSA-N 1 2 315.417 1.881 20 30 DDEDLO C=CCC[NH+]1CC(OC2CCN(C(=O)Cc3ccn[nH]3)CC2)C1 ZINC001093521686 774773784 /nfs/dbraw/zinc/77/37/84/774773784.db2.gz VBXCBUDBSMPUKW-UHFFFAOYSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H]3CO[C@@H](C)C3)CC2)C1 ZINC001093549319 774826856 /nfs/dbraw/zinc/82/68/56/774826856.db2.gz VEWDQZPCMMNCDU-GJZGRUSLSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@]3(C)CCCOC3)CC2)C1 ZINC001093585008 774863112 /nfs/dbraw/zinc/86/31/12/774863112.db2.gz QMNKXJQMSOSSOY-SFHVURJKSA-N 1 2 322.449 1.681 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCCNc1cccc(F)c1C#N ZINC001093750001 775051377 /nfs/dbraw/zinc/05/13/77/775051377.db2.gz GWDUBYHTXDMVIC-UHFFFAOYSA-N 1 2 301.325 1.202 20 30 DDEDLO C[C@@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001099765211 775242618 /nfs/dbraw/zinc/24/26/18/775242618.db2.gz MUYFLXKXEPBQOS-NILFDRSVSA-N 1 2 324.388 1.787 20 30 DDEDLO C[C@@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001099765211 775242627 /nfs/dbraw/zinc/24/26/27/775242627.db2.gz MUYFLXKXEPBQOS-NILFDRSVSA-N 1 2 324.388 1.787 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCCC2CC2)[C@@H](O)C1 ZINC001099965714 775493137 /nfs/dbraw/zinc/49/31/37/775493137.db2.gz ZJJSTMQMZUYDHV-KGLIPLIRSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCCC2CC2)[C@@H](O)C1 ZINC001099965714 775493143 /nfs/dbraw/zinc/49/31/43/775493143.db2.gz ZJJSTMQMZUYDHV-KGLIPLIRSA-N 1 2 300.830 1.871 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C[C@@H]1O ZINC001099976313 775508471 /nfs/dbraw/zinc/50/84/71/775508471.db2.gz MNMGKTVDEYUBCM-CVEARBPZSA-N 1 2 320.437 1.136 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C[C@@H]1O ZINC001099976313 775508478 /nfs/dbraw/zinc/50/84/78/775508478.db2.gz MNMGKTVDEYUBCM-CVEARBPZSA-N 1 2 320.437 1.136 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C[C@H]1O ZINC001100020231 775581542 /nfs/dbraw/zinc/58/15/42/775581542.db2.gz LWVGZTCDBSZBNZ-HUUCEWRRSA-N 1 2 320.437 1.074 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccn(C)n2)C[C@H]1O ZINC001100020231 775581554 /nfs/dbraw/zinc/58/15/54/775581554.db2.gz LWVGZTCDBSZBNZ-HUUCEWRRSA-N 1 2 320.437 1.074 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cscc3C)nn2)C1 ZINC001094282526 775642055 /nfs/dbraw/zinc/64/20/55/775642055.db2.gz UKBPNVXIJVHIQO-UHFFFAOYSA-N 1 2 317.418 1.621 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CC[C@H](C)C3)nn2)C1 ZINC001094324630 775752086 /nfs/dbraw/zinc/75/20/86/775752086.db2.gz YROJAIYYECPBAL-STQMWFEESA-N 1 2 303.410 1.373 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)CCCn2cc[nH+]c2)cn1 ZINC001094469774 775918538 /nfs/dbraw/zinc/91/85/38/775918538.db2.gz BZASXNHDTUKFOD-UHFFFAOYSA-N 1 2 312.377 1.548 20 30 DDEDLO C=CCCCC(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100291660 775947283 /nfs/dbraw/zinc/94/72/83/775947283.db2.gz KUWXRKSVKCQMPT-UHFFFAOYSA-N 1 2 302.382 1.272 20 30 DDEDLO C=C(C)CCC(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094605031 776127031 /nfs/dbraw/zinc/12/70/31/776127031.db2.gz DKLDOTGDRAWDKI-UHFFFAOYSA-N 1 2 306.414 1.521 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)C3CCC3)CC2=O)C1 ZINC001094751040 776246192 /nfs/dbraw/zinc/24/61/92/776246192.db2.gz NVBDJFNNKKIGFG-HIFRSBDPSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@H]3CC=CCC3)CC2=O)C1 ZINC001094772716 776262224 /nfs/dbraw/zinc/26/22/24/776262224.db2.gz FAYIVCBVBIXMJK-GJZGRUSLSA-N 1 2 317.433 1.320 20 30 DDEDLO CCN(CCNC(=O)Cc1c[nH]c[nH+]1)c1nc(C)ccc1C#N ZINC001100734566 776485280 /nfs/dbraw/zinc/48/52/80/776485280.db2.gz JPPLEAOBHATCQA-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO Cc1ccc(C#N)c(NCCCNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)n1 ZINC001094868044 776619449 /nfs/dbraw/zinc/61/94/49/776619449.db2.gz ZQRJLZWYPAZFDR-ZIAGYGMSSA-N 1 2 324.388 1.707 20 30 DDEDLO Cc1ccc(C#N)c(NCCCNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)n1 ZINC001094868044 776619457 /nfs/dbraw/zinc/61/94/57/776619457.db2.gz ZQRJLZWYPAZFDR-ZIAGYGMSSA-N 1 2 324.388 1.707 20 30 DDEDLO N#Cc1cncc(NCCCNC(=O)c2cc3c[nH+]ccc3[nH]2)n1 ZINC001094872990 776627196 /nfs/dbraw/zinc/62/71/96/776627196.db2.gz JKKGVLSLZXJEDF-UHFFFAOYSA-N 1 2 321.344 1.457 20 30 DDEDLO N#Cc1cnccc1N1CC[C@H](CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001100966539 776772326 /nfs/dbraw/zinc/77/23/26/776772326.db2.gz DLRNWYQJYOHNQE-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H]([N@H+]2C=C(N)C=CC2)C[C@H]1C#N ZINC001172980988 776950434 /nfs/dbraw/zinc/95/04/34/776950434.db2.gz IFZNLXDOATWIGB-KBPBESRZSA-N 1 2 304.394 1.950 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H]([N@@H+]2C=C(N)C=CC2)C[C@H]1C#N ZINC001172980988 776950439 /nfs/dbraw/zinc/95/04/39/776950439.db2.gz IFZNLXDOATWIGB-KBPBESRZSA-N 1 2 304.394 1.950 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC001172999668 776958298 /nfs/dbraw/zinc/95/82/98/776958298.db2.gz NMSFKPLWAMMMPE-INIZCTEOSA-N 1 2 316.380 1.228 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@@]2(C)C1 ZINC001101254435 777013183 /nfs/dbraw/zinc/01/31/83/777013183.db2.gz REANVSUVMLYNAE-PBHICJAKSA-N 1 2 317.437 1.750 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@@]2(C)C1 ZINC001101254435 777013189 /nfs/dbraw/zinc/01/31/89/777013189.db2.gz REANVSUVMLYNAE-PBHICJAKSA-N 1 2 317.437 1.750 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101337545 777082111 /nfs/dbraw/zinc/08/21/11/777082111.db2.gz CRNDXRFCFLUEPI-VBQJREDUSA-N 1 2 318.421 1.952 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101337545 777082118 /nfs/dbraw/zinc/08/21/18/777082118.db2.gz CRNDXRFCFLUEPI-VBQJREDUSA-N 1 2 318.421 1.952 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095124671 777149023 /nfs/dbraw/zinc/14/90/23/777149023.db2.gz WFSFOBVUGYRENQ-OCCSQVGLSA-N 1 2 304.394 1.413 20 30 DDEDLO Cc1cc(N(CCNC(=O)Cc2c[nH]c[nH+]2)C2CC2)c(C#N)cn1 ZINC001101452520 777149682 /nfs/dbraw/zinc/14/96/82/777149682.db2.gz RWSPIJJYUFECAQ-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)n1cccn1)C2 ZINC001095198651 777216206 /nfs/dbraw/zinc/21/62/06/777216206.db2.gz LYKGREXWLXGENL-CRWXNKLISA-N 1 2 308.813 1.918 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)n1cccn1)C2 ZINC001095198651 777216215 /nfs/dbraw/zinc/21/62/15/777216215.db2.gz LYKGREXWLXGENL-CRWXNKLISA-N 1 2 308.813 1.918 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCCC(C)=O)c1nccn12 ZINC001101605967 777296128 /nfs/dbraw/zinc/29/61/28/777296128.db2.gz LMMCPMJCRKDYKH-CQSZACIVSA-N 1 2 316.405 1.400 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCOC(C)C)c1nccn12 ZINC001101608678 777299621 /nfs/dbraw/zinc/29/96/21/777299621.db2.gz IPSQQWMWMSSSIE-CQSZACIVSA-N 1 2 318.421 1.456 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)CCC)c1nccn12 ZINC001101617652 777309779 /nfs/dbraw/zinc/30/97/79/777309779.db2.gz RSMAOPMEIXUSQZ-LSDHHAIUSA-N 1 2 314.433 1.915 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC2(C[NH+](CC=C)C2)n2ccnc21 ZINC001101624470 777318418 /nfs/dbraw/zinc/31/84/18/777318418.db2.gz VYTOAVWUFRCKQR-OAHLLOKOSA-N 1 2 312.417 1.835 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](F)C(C)C)c1nccn12 ZINC001101638716 777335181 /nfs/dbraw/zinc/33/51/81/777335181.db2.gz AIDVYHGZBRYDJE-KBPBESRZSA-N 1 2 318.396 1.472 20 30 DDEDLO N#Cc1ccc(O)c(Nc2cnn(CC[NH+]3CCOCC3)c2)c1 ZINC001174492591 777485881 /nfs/dbraw/zinc/48/58/81/777485881.db2.gz MDUYMQPUXLJKBP-UHFFFAOYSA-N 1 2 313.361 1.536 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101928995 777691076 /nfs/dbraw/zinc/69/10/76/777691076.db2.gz IZSJCGSMHACHNB-INIZCTEOSA-N 1 2 316.409 1.836 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001101947597 777717055 /nfs/dbraw/zinc/71/70/55/777717055.db2.gz XNIDQPJHLWUDJT-ZDUSSCGKSA-N 1 2 324.388 1.667 20 30 DDEDLO C[C@@H](CCCCNCC#N)NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001175227093 777721409 /nfs/dbraw/zinc/72/14/09/777721409.db2.gz QPGJEOZUIIRACH-QWHCGFSZSA-N 1 2 303.410 1.618 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N(C)CCNc1cc[nH+]c(C)n1 ZINC001101995073 777777584 /nfs/dbraw/zinc/77/75/84/777777584.db2.gz DTOSKKDJQGQYDB-KGLIPLIRSA-N 1 2 304.394 1.637 20 30 DDEDLO Cc1ccc(C#N)c(NCCN(C)C(=O)CCc2c[nH]c[nH+]2)n1 ZINC001102021701 777802879 /nfs/dbraw/zinc/80/28/79/777802879.db2.gz RISSHQROOCWFPT-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO Cc1ccc(C#N)c(NCCN(C)C(=O)CCc2c[nH+]c[nH]2)n1 ZINC001102021701 777802891 /nfs/dbraw/zinc/80/28/91/777802891.db2.gz RISSHQROOCWFPT-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCOCC(C)C)C[C@@H]21 ZINC001176929873 778317613 /nfs/dbraw/zinc/31/76/13/778317613.db2.gz NQHSITODTJNLHY-DLBZAZTESA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCOCC(C)C)C[C@@H]21 ZINC001176929873 778317619 /nfs/dbraw/zinc/31/76/19/778317619.db2.gz NQHSITODTJNLHY-DLBZAZTESA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(COCC)CC3)C[C@H]21 ZINC001177040918 778404162 /nfs/dbraw/zinc/40/41/62/778404162.db2.gz DZSLHMDSVHPQGB-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(COCC)CC3)C[C@H]21 ZINC001177040918 778404167 /nfs/dbraw/zinc/40/41/67/778404167.db2.gz DZSLHMDSVHPQGB-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO CCN(C(=O)Cc1[nH]cc[nH+]1)[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001102816177 778424833 /nfs/dbraw/zinc/42/48/33/778424833.db2.gz PBHKJVOHRNOQGZ-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CCOC)C[C@@H]21 ZINC001177120113 778434292 /nfs/dbraw/zinc/43/42/92/778434292.db2.gz SZVXYGNGTDQNDP-HOTGVXAUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CCOC)C[C@@H]21 ZINC001177120113 778434297 /nfs/dbraw/zinc/43/42/97/778434297.db2.gz SZVXYGNGTDQNDP-HOTGVXAUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102869142 778462560 /nfs/dbraw/zinc/46/25/60/778462560.db2.gz QFNKLZQPGHMHTE-UKRRQHHQSA-N 1 2 318.421 1.851 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(C2CC2)n1 ZINC001177276586 778529360 /nfs/dbraw/zinc/52/93/60/778529360.db2.gz DRUJOFJPLWZIGV-NSHDSACASA-N 1 2 308.382 1.134 20 30 DDEDLO CC[C@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1cncc(C#N)n1 ZINC001103056416 778603085 /nfs/dbraw/zinc/60/30/85/778603085.db2.gz KMERXHAUTSYYGY-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[C@H](Nc2cc[nH+]c(C)n2)CC1 ZINC001103641709 778976775 /nfs/dbraw/zinc/97/67/75/778976775.db2.gz ZCSCIEWBBGFSTC-CKEIUWERSA-N 1 2 318.421 1.951 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(CCC)no2)[C@@H]1C ZINC001178576930 779109007 /nfs/dbraw/zinc/10/90/07/779109007.db2.gz HDOWMFLIALNXDA-KBPBESRZSA-N 1 2 318.421 1.905 20 30 DDEDLO C[C@@H](CNC(=O)Cn1cc[nH+]c1)CNc1cccc(F)c1C#N ZINC001104001779 779235620 /nfs/dbraw/zinc/23/56/20/779235620.db2.gz BYQCETIDSWGQEQ-GFCCVEGCSA-N 1 2 315.352 1.758 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2NC1(CNC(=O)C#CC2CC2)CCC1 ZINC001111800973 779488390 /nfs/dbraw/zinc/48/83/90/779488390.db2.gz YZYMTFWZZKHSFU-UHFFFAOYSA-N 1 2 324.388 1.228 20 30 DDEDLO CC(C)[N@H+](C)Cc1nnc2n1CCCN(C(=O)[C@H](C)C#N)C2 ZINC001179896970 779522305 /nfs/dbraw/zinc/52/23/05/779522305.db2.gz XDHGKOQFUXQPAG-GFCCVEGCSA-N 1 2 304.398 1.010 20 30 DDEDLO CC(C)[N@@H+](C)Cc1nnc2n1CCCN(C(=O)[C@H](C)C#N)C2 ZINC001179896970 779522312 /nfs/dbraw/zinc/52/23/12/779522312.db2.gz XDHGKOQFUXQPAG-GFCCVEGCSA-N 1 2 304.398 1.010 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(F)F)C2 ZINC001110235724 779692853 /nfs/dbraw/zinc/69/28/53/779692853.db2.gz YAADNYXDWATFJL-QCNOEVLYSA-N 1 2 315.364 1.054 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(F)F)C2 ZINC001110235724 779692864 /nfs/dbraw/zinc/69/28/64/779692864.db2.gz YAADNYXDWATFJL-QCNOEVLYSA-N 1 2 315.364 1.054 20 30 DDEDLO C[NH+](C)[C@H](C(=O)NCCCCCCNCC#N)c1cccnc1 ZINC001180386360 779739182 /nfs/dbraw/zinc/73/91/82/779739182.db2.gz DKMWNBOHQRGLJK-INIZCTEOSA-N 1 2 317.437 1.474 20 30 DDEDLO C[C@H](CN(C)C(=O)Cn1cc[nH+]c1)Nc1cccc(F)c1C#N ZINC001115766464 780412866 /nfs/dbraw/zinc/41/28/66/780412866.db2.gz ICFPXAXZIAPHOX-GFCCVEGCSA-N 1 2 315.352 1.853 20 30 DDEDLO C#CCOCCNC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC001116572030 780526611 /nfs/dbraw/zinc/52/66/11/780526611.db2.gz HIEZPNNEKMLZKD-AWEZNQCLSA-N 1 2 304.394 1.034 20 30 DDEDLO Cc1nc(N2CCOCC2)sc1C=[NH+]OC[C@@H]1CCOC1 ZINC001116728570 780549896 /nfs/dbraw/zinc/54/98/96/780549896.db2.gz XBJVPRGDJKSQIF-GFCCVEGCSA-N 1 2 311.407 1.675 20 30 DDEDLO C#CC[N@@H+](CN1C[C@H]2CCO[C@H]2C1=O)[C@H]1CCc2ccccc21 ZINC001119324798 781404025 /nfs/dbraw/zinc/40/40/25/781404025.db2.gz KMTOKGMIUZYIHF-BPQIPLTHSA-N 1 2 310.397 1.814 20 30 DDEDLO C#CC[N@H+](CN1C[C@H]2CCO[C@H]2C1=O)[C@H]1CCc2ccccc21 ZINC001119324798 781404033 /nfs/dbraw/zinc/40/40/33/781404033.db2.gz KMTOKGMIUZYIHF-BPQIPLTHSA-N 1 2 310.397 1.814 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CCC[N@H+]1Cc1coc(C)n1 ZINC001267267155 837704992 /nfs/dbraw/zinc/70/49/92/837704992.db2.gz ONIUYXFVVBTSSV-HOCLYGCPSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1coc(C)n1 ZINC001267267155 837705000 /nfs/dbraw/zinc/70/50/00/837705000.db2.gz ONIUYXFVVBTSSV-HOCLYGCPSA-N 1 2 307.394 1.391 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+](Cc2nnc3n2CCCC3)C1 ZINC001267288928 837751597 /nfs/dbraw/zinc/75/15/97/837751597.db2.gz WEXAQUNLQNUHGN-CQSZACIVSA-N 1 2 317.437 1.519 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+](Cc2nnc3n2CCCC3)C1 ZINC001267288928 837751603 /nfs/dbraw/zinc/75/16/03/837751603.db2.gz WEXAQUNLQNUHGN-CQSZACIVSA-N 1 2 317.437 1.519 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1CC[N@H+](Cc2nc(C)no2)C1 ZINC001267299145 837779061 /nfs/dbraw/zinc/77/90/61/837779061.db2.gz AFMKKCKMOSMHPV-KGLIPLIRSA-N 1 2 306.410 1.918 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1CC[N@@H+](Cc2nc(C)no2)C1 ZINC001267299145 837779074 /nfs/dbraw/zinc/77/90/74/837779074.db2.gz AFMKKCKMOSMHPV-KGLIPLIRSA-N 1 2 306.410 1.918 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2cc(C)c(C)s2)C1 ZINC001266358594 836167158 /nfs/dbraw/zinc/16/71/58/836167158.db2.gz FAOPLCFZXOCOGE-ZDUSSCGKSA-N 1 2 321.446 1.471 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)c(C)s2)C1 ZINC001266358594 836167162 /nfs/dbraw/zinc/16/71/62/836167162.db2.gz FAOPLCFZXOCOGE-ZDUSSCGKSA-N 1 2 321.446 1.471 20 30 DDEDLO CC1=C(C)C[C@](C)(C(=O)NCC[N@@H+](C)CC(=O)NCC#N)CC1 ZINC001266364865 836177724 /nfs/dbraw/zinc/17/77/24/836177724.db2.gz FJIUGICEULJYPK-QGZVFWFLSA-N 1 2 320.437 1.201 20 30 DDEDLO CC1=C(C)C[C@](C)(C(=O)NCC[N@H+](C)CC(=O)NCC#N)CC1 ZINC001266364865 836177727 /nfs/dbraw/zinc/17/77/27/836177727.db2.gz FJIUGICEULJYPK-QGZVFWFLSA-N 1 2 320.437 1.201 20 30 DDEDLO Cc1nc(C[NH+]2CC([C@@H](C)NC(=O)CSCC#N)C2)oc1C ZINC001282688060 836205471 /nfs/dbraw/zinc/20/54/71/836205471.db2.gz IIFCBSDAQXJNQN-LLVKDONJSA-N 1 2 322.434 1.485 20 30 DDEDLO CC[C@H](CC(C)C)C(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266488675 836336706 /nfs/dbraw/zinc/33/67/06/836336706.db2.gz NJTUPIYQPDLWMO-HUUCEWRRSA-N 1 2 322.453 1.279 20 30 DDEDLO CC[C@H](CC(C)C)C(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266488675 836336717 /nfs/dbraw/zinc/33/67/17/836336717.db2.gz NJTUPIYQPDLWMO-HUUCEWRRSA-N 1 2 322.453 1.279 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H]1CC[N@H+](Cc2nncs2)C1 ZINC001266502536 836359360 /nfs/dbraw/zinc/35/93/60/836359360.db2.gz GCQGTRRCJHQSEJ-CHWSQXEVSA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H]1CC[N@@H+](Cc2nncs2)C1 ZINC001266502536 836359368 /nfs/dbraw/zinc/35/93/68/836359368.db2.gz GCQGTRRCJHQSEJ-CHWSQXEVSA-N 1 2 324.450 1.457 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+]Cc2nn(C)cc2Cl)C1 ZINC001266519420 836403375 /nfs/dbraw/zinc/40/33/75/836403375.db2.gz KNKBVWTUOGCRAI-ZDUSSCGKSA-N 1 2 322.840 1.958 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001266530162 836421790 /nfs/dbraw/zinc/42/17/90/836421790.db2.gz XZIYUJRWGVSJBX-LBPRGKRZSA-N 1 2 322.409 1.509 20 30 DDEDLO CCCc1nc(C[NH2+][C@H]2C[C@@H](NC(=O)C#CC(C)(C)C)C2)no1 ZINC001266683925 836661720 /nfs/dbraw/zinc/66/17/20/836661720.db2.gz YRKLUIQRKWGUBY-BETUJISGSA-N 1 2 318.421 1.808 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H](C)SC ZINC001266833046 836901449 /nfs/dbraw/zinc/90/14/49/836901449.db2.gz PAOYISKJNOSRDP-CHWSQXEVSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H](C)SC ZINC001266833046 836901460 /nfs/dbraw/zinc/90/14/60/836901460.db2.gz PAOYISKJNOSRDP-CHWSQXEVSA-N 1 2 313.467 1.011 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)COCCOC ZINC001266836508 836904545 /nfs/dbraw/zinc/90/45/45/836904545.db2.gz AGFUVGFYHOETBR-CYBMUJFWSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)COCCOC ZINC001266836508 836904554 /nfs/dbraw/zinc/90/45/54/836904554.db2.gz AGFUVGFYHOETBR-CYBMUJFWSA-N 1 2 304.818 1.373 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@@H]1CCC[N@H+](Cc2nnnn2C)C1 ZINC001266894271 837015487 /nfs/dbraw/zinc/01/54/87/837015487.db2.gz HMBQLMOSBNSFSN-UONOGXRCSA-N 1 2 320.441 1.283 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@@H]1CCC[N@@H+](Cc2nnnn2C)C1 ZINC001266894271 837015492 /nfs/dbraw/zinc/01/54/92/837015492.db2.gz HMBQLMOSBNSFSN-UONOGXRCSA-N 1 2 320.441 1.283 20 30 DDEDLO COCC#CC[N@H+](C)[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267103802 837351042 /nfs/dbraw/zinc/35/10/42/837351042.db2.gz GZQWIQCLDOTBTB-NEPJUHHUSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267103802 837351058 /nfs/dbraw/zinc/35/10/58/837351058.db2.gz GZQWIQCLDOTBTB-NEPJUHHUSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@H+](C)[C@@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001267103802 837351066 /nfs/dbraw/zinc/35/10/66/837351066.db2.gz GZQWIQCLDOTBTB-NEPJUHHUSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)[C@@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001267103802 837351078 /nfs/dbraw/zinc/35/10/78/837351078.db2.gz GZQWIQCLDOTBTB-NEPJUHHUSA-N 1 2 324.318 1.459 20 30 DDEDLO CC(C)Cc1noc(C[NH2+]CCN(C)C(=O)C#CC2CC2)n1 ZINC001267371520 837934436 /nfs/dbraw/zinc/93/44/36/837934436.db2.gz LVBFRYIUCOOPRP-UHFFFAOYSA-N 1 2 304.394 1.230 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)C2(C)CCC(C)CC2)CC1 ZINC001267577814 838348326 /nfs/dbraw/zinc/34/83/26/838348326.db2.gz SCJJZHHLVSIBSU-UHFFFAOYSA-N 1 2 319.493 1.912 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@@H]2CCCC[C@H]2C)CC1 ZINC001267617219 838492979 /nfs/dbraw/zinc/49/29/79/838492979.db2.gz AWIYWFUZPQOCDB-MSOLQXFVSA-N 1 2 319.493 1.912 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC2C[NH+](CCCOc3ccccc3)C2)c1 ZINC001267641843 838559460 /nfs/dbraw/zinc/55/94/60/838559460.db2.gz NKEIJUFOLPMHLZ-UHFFFAOYSA-N 1 2 324.384 1.769 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1C[NH+](Cc2ccc(F)c(F)c2)C1 ZINC001267680904 838633786 /nfs/dbraw/zinc/63/37/86/838633786.db2.gz AXDMIGYTSKLKQW-LBPRGKRZSA-N 1 2 322.355 1.551 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001267769664 838927411 /nfs/dbraw/zinc/92/74/11/838927411.db2.gz YVNFEMQPAQYATL-ZDUSSCGKSA-N 1 2 305.426 1.543 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001267769664 838927415 /nfs/dbraw/zinc/92/74/15/838927415.db2.gz YVNFEMQPAQYATL-ZDUSSCGKSA-N 1 2 305.426 1.543 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@](C)(CNCC#N)C3CC3)ccn12 ZINC001267854493 839084097 /nfs/dbraw/zinc/08/40/97/839084097.db2.gz ZFEFEXJHUSXESQ-KRWDZBQOSA-N 1 2 311.389 1.654 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001268029834 839445802 /nfs/dbraw/zinc/44/58/02/839445802.db2.gz ZTIQQQHEAPXOFK-XJKSGUPXSA-N 1 2 306.381 1.932 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001268029834 839445813 /nfs/dbraw/zinc/44/58/13/839445813.db2.gz ZTIQQQHEAPXOFK-XJKSGUPXSA-N 1 2 306.381 1.932 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C1C[C@@H](C)C[C@H](C)C1 ZINC001268259439 839910082 /nfs/dbraw/zinc/91/00/82/839910082.db2.gz LOTDHELFBJMBHD-GJZGRUSLSA-N 1 2 321.465 1.198 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)C1C[C@@H](C)C[C@H](C)C1 ZINC001268259439 839910087 /nfs/dbraw/zinc/91/00/87/839910087.db2.gz LOTDHELFBJMBHD-GJZGRUSLSA-N 1 2 321.465 1.198 20 30 DDEDLO CCCCCCC[NH+]1CC(NC(=O)c2cn(C)ccc2=O)C1 ZINC001268343035 840023771 /nfs/dbraw/zinc/02/37/71/840023771.db2.gz LQYNVMNUKOCAFS-UHFFFAOYSA-N 1 2 305.422 1.770 20 30 DDEDLO C=CCC1(C(=O)NC/C=C/C[NH2+]Cc2ncccn2)CCC1 ZINC001268586036 840491992 /nfs/dbraw/zinc/49/19/92/840491992.db2.gz CWWIRQVNDIOFHE-ONEGZZNKSA-N 1 2 300.406 1.985 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1c(C)onc1CC ZINC001268930024 841010787 /nfs/dbraw/zinc/01/07/87/841010787.db2.gz UITSKSINJKPYGS-KBPBESRZSA-N 1 2 319.405 1.533 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1c(C)onc1CC ZINC001268930024 841010799 /nfs/dbraw/zinc/01/07/99/841010799.db2.gz UITSKSINJKPYGS-KBPBESRZSA-N 1 2 319.405 1.533 20 30 DDEDLO C=C(C(=O)N1CC[C@H]2C[N@@H+](COCCOC)C[C@H]21)C(F)(F)F ZINC001269004794 841106896 /nfs/dbraw/zinc/10/68/96/841106896.db2.gz RRRUXGHXFKMRFD-NWDGAFQWSA-N 1 2 322.327 1.258 20 30 DDEDLO C=C(C(=O)N1CC[C@H]2C[N@H+](COCCOC)C[C@H]21)C(F)(F)F ZINC001269004794 841106900 /nfs/dbraw/zinc/10/69/00/841106900.db2.gz RRRUXGHXFKMRFD-NWDGAFQWSA-N 1 2 322.327 1.258 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)CC[N@H+](C)Cc1ccnc(OC)n1 ZINC001272106035 844618181 /nfs/dbraw/zinc/61/81/81/844618181.db2.gz RSDNTSCGGDTPAQ-QGZVFWFLSA-N 1 2 320.437 1.978 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)CC[N@@H+](C)Cc1ccnc(OC)n1 ZINC001272106035 844618188 /nfs/dbraw/zinc/61/81/88/844618188.db2.gz RSDNTSCGGDTPAQ-QGZVFWFLSA-N 1 2 320.437 1.978 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C3CC3)no2)C1 ZINC001269129977 841246154 /nfs/dbraw/zinc/24/61/54/841246154.db2.gz XBGYFEIHCYRVNK-TZMCWYRMSA-N 1 2 317.389 1.281 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C3CC3)no2)C1 ZINC001269129977 841246163 /nfs/dbraw/zinc/24/61/63/841246163.db2.gz XBGYFEIHCYRVNK-TZMCWYRMSA-N 1 2 317.389 1.281 20 30 DDEDLO C=CCNC(=O)[C@H]1CC12CCN(C(=O)CCc1[nH]cc[nH+]1)CC2 ZINC001269176025 841297321 /nfs/dbraw/zinc/29/73/21/841297321.db2.gz RMRZENHIRXRTTI-CYBMUJFWSA-N 1 2 316.405 1.273 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)[C@@H]4C[C@H]4C4CC4)C3)C2)cc1C#N ZINC001272119160 844631687 /nfs/dbraw/zinc/63/16/87/844631687.db2.gz NWODLZKOJBEZDB-DLBZAZTESA-N 1 2 324.428 1.587 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H](N(C)C(=O)c2cccs2)C1 ZINC001269209028 841351292 /nfs/dbraw/zinc/35/12/92/841351292.db2.gz SHMLGMKEKBINNL-STQMWFEESA-N 1 2 321.446 1.585 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](N(C)C(=O)c2cccs2)C1 ZINC001269209028 841351307 /nfs/dbraw/zinc/35/13/07/841351307.db2.gz SHMLGMKEKBINNL-STQMWFEESA-N 1 2 321.446 1.585 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)[C@H]1CC[N@H+](Cc2ncc(C)o2)C1 ZINC001269221349 841364651 /nfs/dbraw/zinc/36/46/51/841364651.db2.gz JCBUDPSPXGXJOP-GJZGRUSLSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001269221349 841364657 /nfs/dbraw/zinc/36/46/57/841364657.db2.gz JCBUDPSPXGXJOP-GJZGRUSLSA-N 1 2 321.421 1.997 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)C1(C(F)F)CCCC1 ZINC001269279154 841457703 /nfs/dbraw/zinc/45/77/03/841457703.db2.gz WFTLXGIIDWZSSY-ZDUSSCGKSA-N 1 2 314.376 1.652 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)C1(C(F)F)CCCC1 ZINC001269279154 841457706 /nfs/dbraw/zinc/45/77/06/841457706.db2.gz WFTLXGIIDWZSSY-ZDUSSCGKSA-N 1 2 314.376 1.652 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)N(C)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269286947 841471956 /nfs/dbraw/zinc/47/19/56/841471956.db2.gz FGKCRYXZJZHUIN-HUUCEWRRSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269286947 841471961 /nfs/dbraw/zinc/47/19/61/841471961.db2.gz FGKCRYXZJZHUIN-HUUCEWRRSA-N 1 2 322.453 1.231 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)Cc2ccsc2C)C1 ZINC001269856054 842107913 /nfs/dbraw/zinc/10/79/13/842107913.db2.gz SBDJTGCYACMYSW-UHFFFAOYSA-N 1 2 308.447 1.944 20 30 DDEDLO COc1ccccc1Cn1c[nH+]cc1CNC(=O)C1(C#N)CC1 ZINC001143188306 861403692 /nfs/dbraw/zinc/40/36/92/861403692.db2.gz GSYZVMHEMATHNC-UHFFFAOYSA-N 1 2 310.357 1.860 20 30 DDEDLO C=CCOCC[N@@H+](C)CCN(C)C(=O)c1[nH]nc2ccccc21 ZINC001272165279 844671713 /nfs/dbraw/zinc/67/17/13/844671713.db2.gz SXGMTTSHTHICNM-UHFFFAOYSA-N 1 2 316.405 1.769 20 30 DDEDLO C=CCOCC[N@H+](C)CCN(C)C(=O)c1[nH]nc2ccccc21 ZINC001272165279 844671721 /nfs/dbraw/zinc/67/17/21/844671721.db2.gz SXGMTTSHTHICNM-UHFFFAOYSA-N 1 2 316.405 1.769 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)COCC2CC2)C1 ZINC001149247713 861496545 /nfs/dbraw/zinc/49/65/45/861496545.db2.gz LQEBYJALBBQRAE-CQSZACIVSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)COCC2CC2)C1 ZINC001149247713 861496558 /nfs/dbraw/zinc/49/65/58/861496558.db2.gz LQEBYJALBBQRAE-CQSZACIVSA-N 1 2 316.829 1.373 20 30 DDEDLO C=CCOCC[NH2+]C1(CNC(=O)C(F)C(F)(F)F)CCC1 ZINC001326830113 861640800 /nfs/dbraw/zinc/64/08/00/861640800.db2.gz DHFGDVJKTRNLOC-JTQLQIEISA-N 1 2 312.307 1.718 20 30 DDEDLO C=CCOCC[NH2+]C1(CNC(=O)[C@H](F)C(F)(F)F)CCC1 ZINC001326830113 861640811 /nfs/dbraw/zinc/64/08/11/861640811.db2.gz DHFGDVJKTRNLOC-JTQLQIEISA-N 1 2 312.307 1.718 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](O)C[NH2+][C@H](C)c2csnn2)CC1 ZINC001272511465 846249695 /nfs/dbraw/zinc/24/96/95/846249695.db2.gz BTAVEBMFGJMLJN-YPMHNXCESA-N 1 2 324.450 1.412 20 30 DDEDLO C=CCCC1(C(=O)NC[C@@H](C)[N@H+](CC)Cc2cn(C)nn2)CC1 ZINC001155037504 861665059 /nfs/dbraw/zinc/66/50/59/861665059.db2.gz SSNINJCXLFICSE-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCC1(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2cn(C)nn2)CC1 ZINC001155037504 861665066 /nfs/dbraw/zinc/66/50/66/861665066.db2.gz SSNINJCXLFICSE-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001299254937 846782909 /nfs/dbraw/zinc/78/29/09/846782909.db2.gz UDERMQGNFITZSF-VXGBXAGGSA-N 1 2 304.394 1.175 20 30 DDEDLO Cn1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccc(C#N)c2)c1 ZINC001032354335 846866285 /nfs/dbraw/zinc/86/62/85/846866285.db2.gz ZBUCKGWACPDMTH-ROUUACIJSA-N 1 2 320.396 1.996 20 30 DDEDLO Cn1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccc(C#N)c2)c1 ZINC001032354335 846866289 /nfs/dbraw/zinc/86/62/89/846866289.db2.gz ZBUCKGWACPDMTH-ROUUACIJSA-N 1 2 320.396 1.996 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)C)nc2CC)C1 ZINC001077690075 847068832 /nfs/dbraw/zinc/06/88/32/847068832.db2.gz VKSOWVPBTKMFCY-IAGOWNOFSA-N 1 2 315.417 1.176 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)C)nc2CC)C1 ZINC001077690075 847068837 /nfs/dbraw/zinc/06/88/37/847068837.db2.gz VKSOWVPBTKMFCY-IAGOWNOFSA-N 1 2 315.417 1.176 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)CCOc1ccccc1 ZINC001272901970 847613203 /nfs/dbraw/zinc/61/32/03/847613203.db2.gz QEFJQFABJVMVOU-INIZCTEOSA-N 1 2 316.401 1.296 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)CCOc1ccccc1 ZINC001272901970 847613213 /nfs/dbraw/zinc/61/32/13/847613213.db2.gz QEFJQFABJVMVOU-INIZCTEOSA-N 1 2 316.401 1.296 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001061732025 848082785 /nfs/dbraw/zinc/08/27/85/848082785.db2.gz BXLAYXYMAFJMGB-ZNMIVQPWSA-N 1 2 316.405 1.319 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(F)cn2)[C@H](O)C1 ZINC001090209405 848408137 /nfs/dbraw/zinc/40/81/37/848408137.db2.gz IACPQMIHWMEKDZ-DGCLKSJQSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(F)cn2)[C@H](O)C1 ZINC001090209405 848408140 /nfs/dbraw/zinc/40/81/40/848408140.db2.gz IACPQMIHWMEKDZ-DGCLKSJQSA-N 1 2 313.760 1.138 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H](NC(=O)c2occc2C[NH+](C)C)C1 ZINC001034365384 848420261 /nfs/dbraw/zinc/42/02/61/848420261.db2.gz QKSJHKAZZBFNER-HNNXBMFYSA-N 1 2 303.406 1.559 20 30 DDEDLO C#CCN1CCCC[C@H](NC(=O)c2occc2C[NH+](C)C)C1 ZINC001034365384 848420270 /nfs/dbraw/zinc/42/02/70/848420270.db2.gz QKSJHKAZZBFNER-HNNXBMFYSA-N 1 2 303.406 1.559 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(Cl)cc1O)C2 ZINC001273159777 848601227 /nfs/dbraw/zinc/60/12/27/848601227.db2.gz MBOZSSOFSVPKKR-UHFFFAOYSA-N 1 2 322.792 1.645 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cn3c(cccc3C)n2)C1=O ZINC001273177624 848636257 /nfs/dbraw/zinc/63/62/57/848636257.db2.gz FVWXHMSREDRZFK-LJQANCHMSA-N 1 2 322.412 1.843 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cn3c(cccc3C)n2)C1=O ZINC001273177624 848636262 /nfs/dbraw/zinc/63/62/62/848636262.db2.gz FVWXHMSREDRZFK-LJQANCHMSA-N 1 2 322.412 1.843 20 30 DDEDLO C#CCNC(=O)[C@@H]1CC12CC[NH+](Cc1ccc(Cl)nn1)CC2 ZINC001273349318 849611471 /nfs/dbraw/zinc/61/14/71/849611471.db2.gz QNXJHSYBINFXNR-ZDUSSCGKSA-N 1 2 318.808 1.482 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCOC2(C[NH+](CC/C=C\CC)C2)C1 ZINC001327320116 862060068 /nfs/dbraw/zinc/06/00/68/862060068.db2.gz PJTXPTJLURQDTB-MWWKQMNYSA-N 1 2 320.433 1.294 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@H+](Cc2ccn(C)n2)CCCO1 ZINC001150085591 862071433 /nfs/dbraw/zinc/07/14/33/862071433.db2.gz QVIYRGLHAUDNIM-OAHLLOKOSA-N 1 2 320.437 1.339 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@@H+](Cc2ccn(C)n2)CCCO1 ZINC001150085591 862071446 /nfs/dbraw/zinc/07/14/46/862071446.db2.gz QVIYRGLHAUDNIM-OAHLLOKOSA-N 1 2 320.437 1.339 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H](NC(=O)CCOC)[C@@H]1C ZINC001410931576 849983264 /nfs/dbraw/zinc/98/32/64/849983264.db2.gz XTOSCZTZMJKRNB-RYUDHWBXSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H](NC(=O)CCOC)[C@@H]1C ZINC001410931576 849983267 /nfs/dbraw/zinc/98/32/67/849983267.db2.gz XTOSCZTZMJKRNB-RYUDHWBXSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](F)C(C)C)C1 ZINC001150116375 862096065 /nfs/dbraw/zinc/09/60/65/862096065.db2.gz RQMMKWSPVNJSTC-OLZOCXBDSA-N 1 2 306.809 1.940 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H](F)C(C)C)C1 ZINC001150116375 862096076 /nfs/dbraw/zinc/09/60/76/862096076.db2.gz RQMMKWSPVNJSTC-OLZOCXBDSA-N 1 2 306.809 1.940 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C/c1ccco1)CO2 ZINC001327372315 862113786 /nfs/dbraw/zinc/11/37/86/862113786.db2.gz LMUVGXMOVYEVRM-PSKZRQQASA-N 1 2 300.358 1.276 20 30 DDEDLO C#CCCC[NH+]1CC2(C1)CN(CCC(F)(F)F)C(=O)CO2 ZINC001273542703 851079226 /nfs/dbraw/zinc/07/92/26/851079226.db2.gz YPLQSCAJZYUMQW-UHFFFAOYSA-N 1 2 304.312 1.265 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H](C)C(F)(F)F)O2 ZINC001273662307 851196776 /nfs/dbraw/zinc/19/67/76/851196776.db2.gz OCESQUSVFGLBHP-MNOVXSKESA-N 1 2 306.328 1.720 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2[C@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707442 851249221 /nfs/dbraw/zinc/24/92/21/851249221.db2.gz QILCTDUBCSAYQV-DYVFJYSZSA-N 1 2 306.406 1.417 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2[C@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707442 851249233 /nfs/dbraw/zinc/24/92/33/851249233.db2.gz QILCTDUBCSAYQV-DYVFJYSZSA-N 1 2 306.406 1.417 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](Cc3cccc(C)c3O)C2)OCC1=O ZINC001273769020 851320837 /nfs/dbraw/zinc/32/08/37/851320837.db2.gz FCPGYXMUBPFNOQ-UHFFFAOYSA-N 1 2 316.401 1.690 20 30 DDEDLO C#CCN1CCC2(CC[NH+](Cc3ncc(F)cn3)CC2)C1=O ZINC001273940675 851532410 /nfs/dbraw/zinc/53/24/10/851532410.db2.gz BPGOWYAESDTPDN-UHFFFAOYSA-N 1 2 302.353 1.063 20 30 DDEDLO C#CCN1C[C@]2(CC[N@@H+](Cc3ccc(Cl)o3)C2)OCC1=O ZINC001274138786 851968034 /nfs/dbraw/zinc/96/80/34/851968034.db2.gz SVJNQQDTDVSNBJ-OAHLLOKOSA-N 1 2 308.765 1.370 20 30 DDEDLO C#CCN1C[C@]2(CC[N@H+](Cc3ccc(Cl)o3)C2)OCC1=O ZINC001274138786 851968040 /nfs/dbraw/zinc/96/80/40/851968040.db2.gz SVJNQQDTDVSNBJ-OAHLLOKOSA-N 1 2 308.765 1.370 20 30 DDEDLO CC#CCCCC(=O)NC/C=C/C[NH2+]Cc1nccc(C)n1 ZINC001274285304 852124997 /nfs/dbraw/zinc/12/49/97/852124997.db2.gz DEMHVQJMUBAUHL-BQYQJAHWSA-N 1 2 300.406 1.741 20 30 DDEDLO CN1C[C@@]2(CC[N@H+](Cc3cc(C#N)c(F)cc3F)C2)OCC1=O ZINC001274313423 852148164 /nfs/dbraw/zinc/14/81/64/852148164.db2.gz ICLDWJHCCRIYQK-MRXNPFEDSA-N 1 2 321.327 1.270 20 30 DDEDLO CN1C[C@@]2(CC[N@@H+](Cc3cc(C#N)c(F)cc3F)C2)OCC1=O ZINC001274313423 852148168 /nfs/dbraw/zinc/14/81/68/852148168.db2.gz ICLDWJHCCRIYQK-MRXNPFEDSA-N 1 2 321.327 1.270 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cccc3c1OCC3)C2 ZINC001274419317 852254351 /nfs/dbraw/zinc/25/43/51/852254351.db2.gz DCTJLURAQUQHCH-UHFFFAOYSA-N 1 2 314.385 1.221 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cnn(C)n2)CC1(C)C ZINC001274447337 852280623 /nfs/dbraw/zinc/28/06/23/852280623.db2.gz VYCLJBBNNOBSCL-CQSZACIVSA-N 1 2 319.453 1.744 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2cnn(C)n2)CC1(C)C ZINC001274447337 852280631 /nfs/dbraw/zinc/28/06/31/852280631.db2.gz VYCLJBBNNOBSCL-CQSZACIVSA-N 1 2 319.453 1.744 20 30 DDEDLO C[C@@H](C[NH2+][C@@H](C)c1nc(C2CC2)no1)NC(=O)C#CC1CC1 ZINC001275149792 852840234 /nfs/dbraw/zinc/84/02/34/852840234.db2.gz MHHQYPGCXBBEME-QWRGUYRKSA-N 1 2 302.378 1.516 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)NCCCCn1cc[nH+]c1 ZINC001327768756 862450776 /nfs/dbraw/zinc/45/07/76/862450776.db2.gz FOYBOVVUBHHSMG-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4cccc(O)c4)C3)C2)cc1C#N ZINC001275815704 853810760 /nfs/dbraw/zinc/81/07/60/853810760.db2.gz ITVKAXKMNYZLJT-UHFFFAOYSA-N 1 2 322.412 1.920 20 30 DDEDLO Cc1nccn1CC(=O)NC[C@H](C)[N@H+](C)CC#Cc1ccccc1 ZINC001276003841 854130642 /nfs/dbraw/zinc/13/06/42/854130642.db2.gz URFSAELLTYOQMB-INIZCTEOSA-N 1 2 324.428 1.680 20 30 DDEDLO Cc1nccn1CC(=O)NC[C@H](C)[N@@H+](C)CC#Cc1ccccc1 ZINC001276003841 854130647 /nfs/dbraw/zinc/13/06/47/854130647.db2.gz URFSAELLTYOQMB-INIZCTEOSA-N 1 2 324.428 1.680 20 30 DDEDLO N#C[C@H]1CC=C(Nc2cnn(CC[NH+]3CCOCC3)c2)CC1 ZINC001212857329 855166740 /nfs/dbraw/zinc/16/67/40/855166740.db2.gz GXTCKTUTESWBFD-AWEZNQCLSA-N 1 2 301.394 1.835 20 30 DDEDLO Cc1nc(N2C[C@@H]3CN(C(=O)C#CC(C)(C)C)C[C@@H]3C2)cc[nH+]1 ZINC001111294583 855452221 /nfs/dbraw/zinc/45/22/21/855452221.db2.gz GOVVFWLLLLONCJ-GASCZTMLSA-N 1 2 312.417 1.729 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C=C(CC)CC)CC2)C1 ZINC001328116677 862705616 /nfs/dbraw/zinc/70/56/16/862705616.db2.gz OCHUDOKKZUDOBE-UHFFFAOYSA-N 1 2 303.450 1.585 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)C1C[NH+](Cc2ccn(C)n2)C1 ZINC001276369716 856289751 /nfs/dbraw/zinc/28/97/51/856289751.db2.gz RIJWFPSZVPMVQQ-AWEZNQCLSA-N 1 2 302.422 1.550 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[N@@H+]1Cc1nccn1C ZINC001328239714 862808256 /nfs/dbraw/zinc/80/82/56/862808256.db2.gz MKBBZJBOCSUDKG-CABCVRRESA-N 1 2 316.449 1.939 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[N@H+]1Cc1nccn1C ZINC001328239714 862808274 /nfs/dbraw/zinc/80/82/74/862808274.db2.gz MKBBZJBOCSUDKG-CABCVRRESA-N 1 2 316.449 1.939 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N1CCc2cc[nH+]c(N)c2C1 ZINC001413377327 856731219 /nfs/dbraw/zinc/73/12/19/856731219.db2.gz KVADNAATYKCIMU-LBPRGKRZSA-N 1 2 322.368 1.888 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2ncnn2C)[C@H](C)C1 ZINC001328268631 862845697 /nfs/dbraw/zinc/84/56/97/862845697.db2.gz VGILUISKLTYZGL-KGLIPLIRSA-N 1 2 305.426 1.641 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ncnn2C)[C@H](C)C1 ZINC001328268631 862845716 /nfs/dbraw/zinc/84/57/16/862845716.db2.gz VGILUISKLTYZGL-KGLIPLIRSA-N 1 2 305.426 1.641 20 30 DDEDLO N#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001049552502 856964667 /nfs/dbraw/zinc/96/46/67/856964667.db2.gz NWRDUXJAWNIHBH-CVEARBPZSA-N 1 2 309.373 1.765 20 30 DDEDLO N#Cc1cc(C(=O)N2CC3(C2)CC[N@H+](Cc2ncccn2)C3)c[nH]1 ZINC001072639817 857544352 /nfs/dbraw/zinc/54/43/52/857544352.db2.gz NOZAOKBSMXOINM-UHFFFAOYSA-N 1 2 322.372 1.024 20 30 DDEDLO N#Cc1cc(C(=O)N2CC3(C2)CC[N@@H+](Cc2ncccn2)C3)c[nH]1 ZINC001072639817 857544361 /nfs/dbraw/zinc/54/43/61/857544361.db2.gz NOZAOKBSMXOINM-UHFFFAOYSA-N 1 2 322.372 1.024 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC001072716411 857636487 /nfs/dbraw/zinc/63/64/87/857636487.db2.gz SOSMRRNAUXSJOP-UHFFFAOYSA-N 1 2 323.234 1.843 20 30 DDEDLO C=C(Br)C[N@H+]1CCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC001072716411 857636489 /nfs/dbraw/zinc/63/64/89/857636489.db2.gz SOSMRRNAUXSJOP-UHFFFAOYSA-N 1 2 323.234 1.843 20 30 DDEDLO CC(C)(C)c1noc(-c2ccnc(N3CC[NH2+]C[C@@H]3C#N)c2)n1 ZINC001156328046 862939609 /nfs/dbraw/zinc/93/96/09/862939609.db2.gz ZGPUYRVYORVSTG-LBPRGKRZSA-N 1 2 312.377 1.731 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001072780107 857694085 /nfs/dbraw/zinc/69/40/85/857694085.db2.gz USRUOCDKSQDKJM-UHFFFAOYSA-N 1 2 324.812 1.883 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001072780107 857694088 /nfs/dbraw/zinc/69/40/88/857694088.db2.gz USRUOCDKSQDKJM-UHFFFAOYSA-N 1 2 324.812 1.883 20 30 DDEDLO C=CC[C@@H](C(=O)NCC[NH2+]Cc1nonc1C)c1ccccc1 ZINC001151838033 862997083 /nfs/dbraw/zinc/99/70/83/862997083.db2.gz GCTRMFPWQWARPA-OAHLLOKOSA-N 1 2 314.389 1.944 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H](Nc2cc[nH+]c(C)n2)C[C@H]1C ZINC001123254241 859148857 /nfs/dbraw/zinc/14/88/57/859148857.db2.gz YHPPBIYUSFCSMS-OCCSQVGLSA-N 1 2 304.394 1.779 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CNC(=O)CCc2cn[nH]n2)CC1 ZINC001224600338 881462823 /nfs/dbraw/zinc/46/28/23/881462823.db2.gz SSPWYHVYOVBKTB-UHFFFAOYSA-N 1 2 311.817 1.318 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CNC(=O)CCc2c[nH]nn2)CC1 ZINC001224600338 881462832 /nfs/dbraw/zinc/46/28/32/881462832.db2.gz SSPWYHVYOVBKTB-UHFFFAOYSA-N 1 2 311.817 1.318 20 30 DDEDLO C#CC[N@@H+](C)C[C@H]1CCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001328781359 863220614 /nfs/dbraw/zinc/22/06/14/863220614.db2.gz SFZWXTIYFGTTLB-MRXNPFEDSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CC[N@H+](C)C[C@H]1CCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001328781359 863220630 /nfs/dbraw/zinc/22/06/30/863220630.db2.gz SFZWXTIYFGTTLB-MRXNPFEDSA-N 1 2 323.400 1.641 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)o1 ZINC001157214153 863656534 /nfs/dbraw/zinc/65/65/34/863656534.db2.gz YNZPHIOXCAKXMH-TZMCWYRMSA-N 1 2 309.410 1.901 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)o1 ZINC001157214153 863656541 /nfs/dbraw/zinc/65/65/41/863656541.db2.gz YNZPHIOXCAKXMH-TZMCWYRMSA-N 1 2 309.410 1.901 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(CC(C)C)no1 ZINC001153135109 863729710 /nfs/dbraw/zinc/72/97/10/863729710.db2.gz NJVUOHZAYQGFJM-LBPRGKRZSA-N 1 2 310.398 1.065 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1cnnn1C ZINC001157706316 864065364 /nfs/dbraw/zinc/06/53/64/864065364.db2.gz XKWCAGITLFDQEC-CQSZACIVSA-N 1 2 305.426 1.594 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1cnnn1C ZINC001157706316 864065375 /nfs/dbraw/zinc/06/53/75/864065375.db2.gz XKWCAGITLFDQEC-CQSZACIVSA-N 1 2 305.426 1.594 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCC[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001157870106 864207852 /nfs/dbraw/zinc/20/78/52/864207852.db2.gz WZXAKDRACUAJDC-GFCCVEGCSA-N 1 2 322.409 1.001 20 30 DDEDLO C=CCn1cc(C[NH+]2CC3(C[C@@H]3C(=O)N3CCCCO3)C2)cn1 ZINC001277035163 881787142 /nfs/dbraw/zinc/78/71/42/881787142.db2.gz JLRKTZIMRWLOHH-OAHLLOKOSA-N 1 2 316.405 1.445 20 30 DDEDLO COC(=O)CC[C@H]1COCCN1c1[nH+]ccc2[nH]cc(C#N)c21 ZINC001158962183 865002637 /nfs/dbraw/zinc/00/26/37/865002637.db2.gz XMGBSKRDDCXSCZ-LBPRGKRZSA-N 1 2 314.345 1.593 20 30 DDEDLO N#CC[C@H](C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)c1ccccc1 ZINC001361543696 881860921 /nfs/dbraw/zinc/86/09/21/881860921.db2.gz AFYMHKDBTJWZEO-SJORKVTESA-N 1 2 313.401 1.617 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@H]1[N@H+](Cc1nncn1C)CC2 ZINC001332108510 865581736 /nfs/dbraw/zinc/58/17/36/865581736.db2.gz BOYCGMOVZUCMRZ-PBHICJAKSA-N 1 2 317.437 1.785 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@H]1[N@@H+](Cc1nncn1C)CC2 ZINC001332108510 865581744 /nfs/dbraw/zinc/58/17/44/865581744.db2.gz BOYCGMOVZUCMRZ-PBHICJAKSA-N 1 2 317.437 1.785 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2cc(F)c[nH]2)[C@H]1C ZINC001332187757 865650948 /nfs/dbraw/zinc/65/09/48/865650948.db2.gz XZTMBBYVRJMYEG-DGCLKSJQSA-N 1 2 322.384 1.039 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2cc(F)c[nH]2)[C@H]1C ZINC001332187757 865650953 /nfs/dbraw/zinc/65/09/53/865650953.db2.gz XZTMBBYVRJMYEG-DGCLKSJQSA-N 1 2 322.384 1.039 20 30 DDEDLO C#CCC[N@H+]1CC=C(CCNC(=O)c2cncc3nc[nH]c32)CC1 ZINC001160189269 865718402 /nfs/dbraw/zinc/71/84/02/865718402.db2.gz FEAGNTOARKJJPK-UHFFFAOYSA-N 1 2 323.400 1.733 20 30 DDEDLO C#CCC[N@@H+]1CC=C(CCNC(=O)c2cncc3nc[nH]c32)CC1 ZINC001160189269 865718405 /nfs/dbraw/zinc/71/84/05/865718405.db2.gz FEAGNTOARKJJPK-UHFFFAOYSA-N 1 2 323.400 1.733 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)C[C@@H](O)CN(C)C(=O)C#CC2CC2)o1 ZINC001332633449 866001164 /nfs/dbraw/zinc/00/11/64/866001164.db2.gz NAYUMCRIVCKWPS-UKRRQHHQSA-N 1 2 319.405 1.209 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)C[C@@H](O)CN(C)C(=O)C#CC2CC2)o1 ZINC001332633449 866001177 /nfs/dbraw/zinc/00/11/77/866001177.db2.gz NAYUMCRIVCKWPS-UKRRQHHQSA-N 1 2 319.405 1.209 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1nccc(F)c1C#N ZINC001160692949 866035368 /nfs/dbraw/zinc/03/53/68/866035368.db2.gz OHKOLXFFZAUXER-LBPRGKRZSA-N 1 2 303.297 1.022 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C(=O)C[C@H]1C=CCC1)C(C)C ZINC001332687899 866066829 /nfs/dbraw/zinc/06/68/29/866066829.db2.gz GAFXVBHQUYNETQ-INIZCTEOSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C(=O)C[C@H]1C=CCC1)C(C)C ZINC001332687899 866066843 /nfs/dbraw/zinc/06/68/43/866066843.db2.gz GAFXVBHQUYNETQ-INIZCTEOSA-N 1 2 319.449 1.261 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1(C2CC2)CC1 ZINC001323258741 866478105 /nfs/dbraw/zinc/47/81/05/866478105.db2.gz AXDCNACKOQKOQR-OCCSQVGLSA-N 1 2 305.422 1.058 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C1(C2CC2)CC1 ZINC001323258741 866478120 /nfs/dbraw/zinc/47/81/20/866478120.db2.gz AXDCNACKOQKOQR-OCCSQVGLSA-N 1 2 305.422 1.058 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1(CC)CCCC1 ZINC001323325977 866530633 /nfs/dbraw/zinc/53/06/33/866530633.db2.gz XOOPQBDZKVLSLP-LSDHHAIUSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1(CC)CCCC1 ZINC001323325977 866530642 /nfs/dbraw/zinc/53/06/42/866530642.db2.gz XOOPQBDZKVLSLP-LSDHHAIUSA-N 1 2 321.465 1.838 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)COC[C@H](C)c1ccccc1 ZINC001323339468 866544574 /nfs/dbraw/zinc/54/45/74/866544574.db2.gz HAULGYFZTSNRGF-RDJZCZTQSA-N 1 2 300.402 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)COC[C@H](C)c1ccccc1 ZINC001323339468 866544585 /nfs/dbraw/zinc/54/45/85/866544585.db2.gz HAULGYFZTSNRGF-RDJZCZTQSA-N 1 2 300.402 1.630 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)COc2cc(C)ccc2C)C1 ZINC001324016446 867012707 /nfs/dbraw/zinc/01/27/07/867012707.db2.gz AWRLBKVXRQTCAP-UHFFFAOYSA-N 1 2 300.402 1.848 20 30 DDEDLO C[C@H](C(=O)Nc1n[nH]c(-c2cccc(C#N)c2)n1)n1cc[nH+]c1 ZINC001161914277 867098684 /nfs/dbraw/zinc/09/86/84/867098684.db2.gz OMXFADXXADUDQG-SNVBAGLBSA-N 1 2 307.317 1.740 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001334233287 867408387 /nfs/dbraw/zinc/40/83/87/867408387.db2.gz BRNMNZIGYUDSAW-CYBMUJFWSA-N 1 2 304.394 1.109 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)[C@H](C)CNC(=O)Cn1cc[nH+]c1 ZINC001334370564 867520159 /nfs/dbraw/zinc/52/01/59/867520159.db2.gz SEMAJOSLJBIENO-ZIAGYGMSSA-N 1 2 306.410 1.449 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC/C=C\C[NH2+]Cc1ncccn1 ZINC001321362792 867528874 /nfs/dbraw/zinc/52/88/74/867528874.db2.gz GGHJVHMRBFXGRW-WAYWQWQTSA-N 1 2 320.396 1.463 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@](O)(CNC(=O)C#CC(C)C)C2)s1 ZINC001325081378 867754620 /nfs/dbraw/zinc/75/46/20/867754620.db2.gz HVOHEAAPVGIREZ-MRXNPFEDSA-N 1 2 321.446 1.164 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@](O)(CNC(=O)C#CC(C)C)C2)s1 ZINC001325081378 867754628 /nfs/dbraw/zinc/75/46/28/867754628.db2.gz HVOHEAAPVGIREZ-MRXNPFEDSA-N 1 2 321.446 1.164 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C[C@H](C)c2ccccc2F)C1 ZINC001325293429 867919114 /nfs/dbraw/zinc/91/91/14/867919114.db2.gz JDQBHRHRNNXKSE-AWEZNQCLSA-N 1 2 318.392 1.506 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C[NH2+][C@@H](C)c2csnn2)nc1 ZINC001322050064 867958599 /nfs/dbraw/zinc/95/85/99/867958599.db2.gz MFTZLYSTIZSLPO-QWRGUYRKSA-N 1 2 315.402 1.384 20 30 DDEDLO C#CCC1(C(=O)N[C@@H](C)C[NH2+]Cc2nc(C)no2)CCCCC1 ZINC001322094407 867975116 /nfs/dbraw/zinc/97/51/16/867975116.db2.gz ZHNGPCXFWMWSNH-ZDUSSCGKSA-N 1 2 318.421 1.946 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCCC[NH2+][C@H](C)c1noc(C)n1 ZINC001163345029 868378182 /nfs/dbraw/zinc/37/81/82/868378182.db2.gz QHUSRDSHOJTCEB-MLGOLLRUSA-N 1 2 324.425 1.764 20 30 DDEDLO Cc1ccnc(C[NH+]2CCC(NC(=O)CSCC#N)CC2)n1 ZINC001226145660 882231800 /nfs/dbraw/zinc/23/18/00/882231800.db2.gz XLSAAGFBQBBWBK-UHFFFAOYSA-N 1 2 319.434 1.122 20 30 DDEDLO C=C(C)CCC(=O)N1CCC(N(C)C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001336450810 868951436 /nfs/dbraw/zinc/95/14/36/868951436.db2.gz YFDVGWLMHBJIKA-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001164324341 869128882 /nfs/dbraw/zinc/12/88/82/869128882.db2.gz SGPIPXDPNNHTBE-ZDUSSCGKSA-N 1 2 322.457 1.626 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001337357380 869450593 /nfs/dbraw/zinc/45/05/93/869450593.db2.gz KCBFHGVOJWSNPU-STQMWFEESA-N 1 2 320.437 1.764 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001337357380 869450600 /nfs/dbraw/zinc/45/06/00/869450600.db2.gz KCBFHGVOJWSNPU-STQMWFEESA-N 1 2 320.437 1.764 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001338017335 869746157 /nfs/dbraw/zinc/74/61/57/869746157.db2.gz MJIGZNUVXHTFRM-TZMCWYRMSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001338017752 869746390 /nfs/dbraw/zinc/74/63/90/869746390.db2.gz MXLKIRSNVFTVTP-OCCSQVGLSA-N 1 2 304.394 1.414 20 30 DDEDLO C#CCN(C(=O)[C@H](CC)[N@@H+]1CCO[C@@H](CC)C1)C1CSC1 ZINC001166131567 869854887 /nfs/dbraw/zinc/85/48/87/869854887.db2.gz KFJQHJHNFKXPJA-GJZGRUSLSA-N 1 2 310.463 1.453 20 30 DDEDLO C#CCN(C(=O)[C@H](CC)[N@H+]1CCO[C@@H](CC)C1)C1CSC1 ZINC001166131567 869854904 /nfs/dbraw/zinc/85/49/04/869854904.db2.gz KFJQHJHNFKXPJA-GJZGRUSLSA-N 1 2 310.463 1.453 20 30 DDEDLO C=CCCC(=O)N1CC[C@]2(NC(=O)Cc3c[nH]c[nH+]3)CCC[C@H]12 ZINC001338223337 869873380 /nfs/dbraw/zinc/87/33/80/869873380.db2.gz MCOCSUWWYRMNPH-WMLDXEAASA-N 1 2 316.405 1.558 20 30 DDEDLO CC(C)=C[C@@H]1[C@H](C(=O)NCC[NH+]2CCN(CC#N)CC2)C1(C)C ZINC001316966181 870005022 /nfs/dbraw/zinc/00/50/22/870005022.db2.gz YVFIFVWJMMDYAB-HZPDHXFCSA-N 1 2 318.465 1.482 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2cc(F)cc(F)c2)C1 ZINC001316992874 870088972 /nfs/dbraw/zinc/08/89/72/870088972.db2.gz JOJKRHZRSGAGGB-AWEZNQCLSA-N 1 2 323.343 1.071 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2cc(F)cc(F)c2)C1 ZINC001316992874 870088988 /nfs/dbraw/zinc/08/89/88/870088988.db2.gz JOJKRHZRSGAGGB-AWEZNQCLSA-N 1 2 323.343 1.071 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)[C@H](C)CCC ZINC001338773163 870160359 /nfs/dbraw/zinc/16/03/59/870160359.db2.gz OEYJXJVLNSYUBA-RDBSUJKOSA-N 1 2 307.442 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)[C@H](C)CCC ZINC001338773163 870160369 /nfs/dbraw/zinc/16/03/69/870160369.db2.gz OEYJXJVLNSYUBA-RDBSUJKOSA-N 1 2 307.442 1.826 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C(C)C ZINC001317050897 870168377 /nfs/dbraw/zinc/16/83/77/870168377.db2.gz WYGROHRAXYYBNS-XUWVNRHRSA-N 1 2 321.465 1.644 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C(C)C ZINC001317050897 870168386 /nfs/dbraw/zinc/16/83/86/870168386.db2.gz WYGROHRAXYYBNS-XUWVNRHRSA-N 1 2 321.465 1.644 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N1CC=CCC1 ZINC001338963198 870268557 /nfs/dbraw/zinc/26/85/57/870268557.db2.gz HJTMMZCYHRQMOP-UHFFFAOYSA-N 1 2 317.437 1.435 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCC[N@@H+](Cc2cnn(C)n2)C1 ZINC001317133764 870326508 /nfs/dbraw/zinc/32/65/08/870326508.db2.gz OCMWARSRFLFCPJ-HNNXBMFYSA-N 1 2 317.437 1.337 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCC[N@H+](Cc2cnn(C)n2)C1 ZINC001317133764 870326531 /nfs/dbraw/zinc/32/65/31/870326531.db2.gz OCMWARSRFLFCPJ-HNNXBMFYSA-N 1 2 317.437 1.337 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1C[NH2+]Cc1cnsn1 ZINC001317183224 870430322 /nfs/dbraw/zinc/43/03/22/870430322.db2.gz DQBLVKUNITVBSR-ZDUSSCGKSA-N 1 2 310.423 1.211 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C2CC[NH+](CCC#N)CC2)n1C ZINC001339750886 870671120 /nfs/dbraw/zinc/67/11/20/870671120.db2.gz TWTACBZMQGUJCU-ZDUSSCGKSA-N 1 2 300.410 1.366 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[C@H]1CCC[N@@H+]1Cc1csnn1 ZINC001317426074 870810081 /nfs/dbraw/zinc/81/00/81/870810081.db2.gz KTFZNPQXBBUCHI-AAEUAGOBSA-N 1 2 307.423 1.559 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[C@H]1CCC[N@H+]1Cc1csnn1 ZINC001317426074 870810085 /nfs/dbraw/zinc/81/00/85/870810085.db2.gz KTFZNPQXBBUCHI-AAEUAGOBSA-N 1 2 307.423 1.559 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@]3(C)C[C@@H](O)C[N@@H+]3C)n2CC)C1 ZINC001340022113 870846533 /nfs/dbraw/zinc/84/65/33/870846533.db2.gz WVRRZLZCLFQBQX-CPUCHLNUSA-N 1 2 317.437 1.059 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@]3(C)C[C@@H](O)C[N@H+]3C)n2CC)C1 ZINC001340022113 870846539 /nfs/dbraw/zinc/84/65/39/870846539.db2.gz WVRRZLZCLFQBQX-CPUCHLNUSA-N 1 2 317.437 1.059 20 30 DDEDLO C=CCOCC[N@@H+](C)CCNC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC001317455798 870870872 /nfs/dbraw/zinc/87/08/72/870870872.db2.gz UOBYPUDJQCHTSI-BBRMVZONSA-N 1 2 320.437 1.326 20 30 DDEDLO C=CCOCC[N@H+](C)CCNC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC001317455798 870870883 /nfs/dbraw/zinc/87/08/83/870870883.db2.gz UOBYPUDJQCHTSI-BBRMVZONSA-N 1 2 320.437 1.326 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](C)CC(=O)N[C@@H]1CCCC[C@@H]1C ZINC001317458124 870874634 /nfs/dbraw/zinc/87/46/34/870874634.db2.gz CFVATDFRMYQUIM-LSDHHAIUSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](C)CC(=O)N[C@@H]1CCCC[C@@H]1C ZINC001317458124 870874647 /nfs/dbraw/zinc/87/46/47/870874647.db2.gz CFVATDFRMYQUIM-LSDHHAIUSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@@H+](Cc2nnc(C)[nH]2)C1 ZINC001317519740 870979198 /nfs/dbraw/zinc/97/91/98/870979198.db2.gz GEAAPZZWHXFLHD-CYBMUJFWSA-N 1 2 305.426 1.654 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@H+](Cc2nnc(C)[nH]2)C1 ZINC001317519740 870979200 /nfs/dbraw/zinc/97/92/00/870979200.db2.gz GEAAPZZWHXFLHD-CYBMUJFWSA-N 1 2 305.426 1.654 20 30 DDEDLO C=CCN(C)c1nnc(CC)n1CC1([NH+]2CCOCC2)CC1 ZINC001301299673 870986900 /nfs/dbraw/zinc/98/69/00/870986900.db2.gz SKHBGVFRTIFAJH-UHFFFAOYSA-N 1 2 305.426 1.328 20 30 DDEDLO CCC[N@H+](CCNC(=O)C#CC1CC1)Cc1cn(CC)nn1 ZINC001317540396 871029089 /nfs/dbraw/zinc/02/90/89/871029089.db2.gz JAJAKAZJKXTNFE-UHFFFAOYSA-N 1 2 303.410 1.040 20 30 DDEDLO CCC[N@@H+](CCNC(=O)C#CC1CC1)Cc1cn(CC)nn1 ZINC001317540396 871029110 /nfs/dbraw/zinc/02/91/10/871029110.db2.gz JAJAKAZJKXTNFE-UHFFFAOYSA-N 1 2 303.410 1.040 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC[C@H](CC)C1 ZINC001340373622 871065696 /nfs/dbraw/zinc/06/56/96/871065696.db2.gz CHURFAZSGWLZKO-MJBXVCDLSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC[C@H](CC)C1 ZINC001340373622 871065707 /nfs/dbraw/zinc/06/57/07/871065707.db2.gz CHURFAZSGWLZKO-MJBXVCDLSA-N 1 2 305.426 1.438 20 30 DDEDLO C#CC1(F)CN(C(=O)c2ccc(-n3cc[nH+]c3)c([N+](=O)[O-])c2)C1 ZINC001303145866 871102270 /nfs/dbraw/zinc/10/22/70/871102270.db2.gz WIABMOCCFOEHMV-UHFFFAOYSA-N 1 2 314.276 1.578 20 30 DDEDLO C=CCOCC(=O)NCC[C@H]1CC[N@H+](Cc2nncs2)C1 ZINC001317778709 871490546 /nfs/dbraw/zinc/49/05/46/871490546.db2.gz LVJGIBDXSVQFTJ-LBPRGKRZSA-N 1 2 310.423 1.069 20 30 DDEDLO C=CCOCC(=O)NCC[C@H]1CC[N@@H+](Cc2nncs2)C1 ZINC001317778709 871490549 /nfs/dbraw/zinc/49/05/49/871490549.db2.gz LVJGIBDXSVQFTJ-LBPRGKRZSA-N 1 2 310.423 1.069 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)CCc2ccoc2)CC1 ZINC001317816839 871542370 /nfs/dbraw/zinc/54/23/70/871542370.db2.gz PMWYAYNCWAVNGG-UHFFFAOYSA-N 1 2 305.422 1.474 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(Cc2coc(C)n2)CC1 ZINC001316961623 871870536 /nfs/dbraw/zinc/87/05/36/871870536.db2.gz CHDKCCVOHBMTPR-UHFFFAOYSA-N 1 2 306.410 1.183 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001318331486 871936404 /nfs/dbraw/zinc/93/64/04/871936404.db2.gz QECNZYWWNRRYNM-HUUCEWRRSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001318331486 871936415 /nfs/dbraw/zinc/93/64/15/871936415.db2.gz QECNZYWWNRRYNM-HUUCEWRRSA-N 1 2 321.465 1.387 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@H](CC)C1 ZINC001342062564 871979093 /nfs/dbraw/zinc/97/90/93/871979093.db2.gz XHEFCVGYOQPDLO-ZNMIVQPWSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@H](CC)C1 ZINC001342062564 871979100 /nfs/dbraw/zinc/97/91/00/871979100.db2.gz XHEFCVGYOQPDLO-ZNMIVQPWSA-N 1 2 319.453 1.828 20 30 DDEDLO Cc1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)nc(C(F)(F)F)n1 ZINC001226677399 882581256 /nfs/dbraw/zinc/58/12/56/882581256.db2.gz IXVTWGDOCDZWCA-ADAFDVPTSA-N 1 2 315.295 1.795 20 30 DDEDLO Cc1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)nc(C(F)(F)F)n1 ZINC001226677399 882581260 /nfs/dbraw/zinc/58/12/60/882581260.db2.gz IXVTWGDOCDZWCA-ADAFDVPTSA-N 1 2 315.295 1.795 20 30 DDEDLO C=CCn1c(N(C)C[C@H](C)CC)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001342386517 872186082 /nfs/dbraw/zinc/18/60/82/872186082.db2.gz VLPBPRLYDFWWKL-KEYYUXOJSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(C)C[C@H](C)CC)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001342386517 872186089 /nfs/dbraw/zinc/18/60/89/872186089.db2.gz VLPBPRLYDFWWKL-KEYYUXOJSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@@H](COC)C1 ZINC001342415845 872198125 /nfs/dbraw/zinc/19/81/25/872198125.db2.gz MKGMFPCVLMQANP-CYBMUJFWSA-N 1 2 316.409 1.261 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(CC)CCSC ZINC001342415069 872198315 /nfs/dbraw/zinc/19/83/15/872198315.db2.gz HVDVQCZSHRJGDV-UHFFFAOYSA-N 1 2 320.466 1.978 20 30 DDEDLO Cc1nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cc(C(F)(F)F)n1 ZINC001226703094 882598203 /nfs/dbraw/zinc/59/82/03/882598203.db2.gz NJJMGVDLDMQNMN-UHSPONAGSA-N 1 2 315.295 1.795 20 30 DDEDLO Cc1nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cc(C(F)(F)F)n1 ZINC001226703094 882598213 /nfs/dbraw/zinc/59/82/13/882598213.db2.gz NJJMGVDLDMQNMN-UHSPONAGSA-N 1 2 315.295 1.795 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001316941313 872425698 /nfs/dbraw/zinc/42/56/98/872425698.db2.gz NGPMYMBLRYYBFG-OAHLLOKOSA-N 1 2 307.369 1.504 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(F)cn2)C1 ZINC001316941313 872425707 /nfs/dbraw/zinc/42/57/07/872425707.db2.gz NGPMYMBLRYYBFG-OAHLLOKOSA-N 1 2 307.369 1.504 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cc(=O)c(OC)co2)C[C@H]1C ZINC001206545812 872431745 /nfs/dbraw/zinc/43/17/45/872431745.db2.gz YGSLBSDFSSVIQV-TZMCWYRMSA-N 1 2 320.389 1.551 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(=O)c(OC)co2)C[C@H]1C ZINC001206545812 872431753 /nfs/dbraw/zinc/43/17/53/872431753.db2.gz YGSLBSDFSSVIQV-TZMCWYRMSA-N 1 2 320.389 1.551 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@]2(C)C=CCC2)C1 ZINC001316948875 872446148 /nfs/dbraw/zinc/44/61/48/872446148.db2.gz IZLYLDYWJZIOPP-RHSMWYFYSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@]2(C)C=CCC2)C1 ZINC001316948875 872446160 /nfs/dbraw/zinc/44/61/60/872446160.db2.gz IZLYLDYWJZIOPP-RHSMWYFYSA-N 1 2 305.422 1.226 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@H]3CCC[N@@H+]3C)n2CCOC)C1 ZINC001343216212 872540745 /nfs/dbraw/zinc/54/07/45/872540745.db2.gz FQLGYMBEPSUKJX-HUUCEWRRSA-N 1 2 317.437 1.541 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@H]3CCC[N@H+]3C)n2CCOC)C1 ZINC001343216212 872540751 /nfs/dbraw/zinc/54/07/51/872540751.db2.gz FQLGYMBEPSUKJX-HUUCEWRRSA-N 1 2 317.437 1.541 20 30 DDEDLO C#CCC[N@@H+](CCO)[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001479654546 872556938 /nfs/dbraw/zinc/55/69/38/872556938.db2.gz MCPHCXLWNMLVKD-VXGBXAGGSA-N 1 2 324.318 1.195 20 30 DDEDLO C#CCC[N@H+](CCO)[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001479654546 872556945 /nfs/dbraw/zinc/55/69/45/872556945.db2.gz MCPHCXLWNMLVKD-VXGBXAGGSA-N 1 2 324.318 1.195 20 30 DDEDLO C#CCC[N@@H+](CCO)[C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001479654546 872556953 /nfs/dbraw/zinc/55/69/53/872556953.db2.gz MCPHCXLWNMLVKD-VXGBXAGGSA-N 1 2 324.318 1.195 20 30 DDEDLO C#CCC[N@H+](CCO)[C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001479654546 872556960 /nfs/dbraw/zinc/55/69/60/872556960.db2.gz MCPHCXLWNMLVKD-VXGBXAGGSA-N 1 2 324.318 1.195 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)Cc2csc(CC)n2)C1 ZINC001319330316 872579321 /nfs/dbraw/zinc/57/93/21/872579321.db2.gz AQQDYGALSGMSOQ-CQSZACIVSA-N 1 2 323.462 1.641 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)Cc2csc(CC)n2)C1 ZINC001319330316 872579332 /nfs/dbraw/zinc/57/93/32/872579332.db2.gz AQQDYGALSGMSOQ-CQSZACIVSA-N 1 2 323.462 1.641 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H](C)C[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001319452011 872635852 /nfs/dbraw/zinc/63/58/52/872635852.db2.gz JFACTCXQTNYRBC-CYBMUJFWSA-N 1 2 320.437 1.963 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001206965130 872857855 /nfs/dbraw/zinc/85/78/55/872857855.db2.gz OVQXKWIOALSIMU-OSAQELSMSA-N 1 2 319.405 1.266 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001206965130 872857861 /nfs/dbraw/zinc/85/78/61/872857861.db2.gz OVQXKWIOALSIMU-OSAQELSMSA-N 1 2 319.405 1.266 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCOCC)n2CC(C)C)CC1 ZINC001344354341 872984446 /nfs/dbraw/zinc/98/44/46/872984446.db2.gz NYSBGXWVESLYLF-UHFFFAOYSA-N 1 2 319.453 1.268 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001381796368 882685221 /nfs/dbraw/zinc/68/52/21/882685221.db2.gz QBAOHYHORNGVDG-NWDGAFQWSA-N 1 2 313.829 1.044 20 30 DDEDLO Cc1c(C(=O)OCCCc2[nH+]ccn2C)cnn1CCC#N ZINC001344726491 873091855 /nfs/dbraw/zinc/09/18/55/873091855.db2.gz VNYMTCYLVGYYSV-UHFFFAOYSA-N 1 2 301.350 1.628 20 30 DDEDLO C=CCN(C(=O)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1)C1CC1 ZINC001344968541 873201101 /nfs/dbraw/zinc/20/11/01/873201101.db2.gz BEHUIZYKKBNPMI-UKRRQHHQSA-N 1 2 316.405 1.470 20 30 DDEDLO CN(C)Cc1cnc(C[NH2+]CCNc2ncccc2C#N)s1 ZINC001345400634 873389986 /nfs/dbraw/zinc/38/99/86/873389986.db2.gz NKWXZSPBYGBWHE-UHFFFAOYSA-N 1 2 316.434 1.673 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001207860145 873616896 /nfs/dbraw/zinc/61/68/96/873616896.db2.gz HWKGICPQDIWUJH-AWEZNQCLSA-N 1 2 318.421 1.906 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001207995878 873727809 /nfs/dbraw/zinc/72/78/09/873727809.db2.gz RXQZDQHGZVMWPB-AWEZNQCLSA-N 1 2 318.421 1.933 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCNC(=O)CC(C)C)C1 ZINC001378137698 874227097 /nfs/dbraw/zinc/22/70/97/874227097.db2.gz IWBDHJHLYKBUQE-CYBMUJFWSA-N 1 2 315.845 1.482 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCNC(=O)CC(C)C)C1 ZINC001378137698 874227112 /nfs/dbraw/zinc/22/71/12/874227112.db2.gz IWBDHJHLYKBUQE-CYBMUJFWSA-N 1 2 315.845 1.482 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H](C[NH2+]Cc1cnsn1)C1CC1 ZINC001276589973 874468806 /nfs/dbraw/zinc/46/88/06/874468806.db2.gz UCLRELLOSGNFPD-CYBMUJFWSA-N 1 2 306.435 1.572 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+](Cc2nccs2)CC1 ZINC001227113622 882841919 /nfs/dbraw/zinc/84/19/19/882841919.db2.gz GTAWEDMZZOPGRT-HNNXBMFYSA-N 1 2 309.435 1.551 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001350583606 875769404 /nfs/dbraw/zinc/76/94/04/875769404.db2.gz QSPJRRQVMTUBCX-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001350583606 875769415 /nfs/dbraw/zinc/76/94/15/875769415.db2.gz QSPJRRQVMTUBCX-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1OC ZINC001213268163 875866599 /nfs/dbraw/zinc/86/65/99/875866599.db2.gz FSEYCJJUYKAWNC-HUUCEWRRSA-N 1 2 321.421 1.765 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1OC ZINC001213268163 875866606 /nfs/dbraw/zinc/86/66/06/875866606.db2.gz FSEYCJJUYKAWNC-HUUCEWRRSA-N 1 2 321.421 1.765 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213310877 875893018 /nfs/dbraw/zinc/89/30/18/875893018.db2.gz YDRGUICYSRPAAT-GDBMZVCRSA-N 1 2 319.405 1.492 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213310877 875893026 /nfs/dbraw/zinc/89/30/26/875893026.db2.gz YDRGUICYSRPAAT-GDBMZVCRSA-N 1 2 319.405 1.492 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213320023 875895789 /nfs/dbraw/zinc/89/57/89/875895789.db2.gz JYYDBQNCNJZFIF-FVQBIDKESA-N 1 2 320.437 1.338 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213320023 875895792 /nfs/dbraw/zinc/89/57/92/875895792.db2.gz JYYDBQNCNJZFIF-FVQBIDKESA-N 1 2 320.437 1.338 20 30 DDEDLO C=CCOCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001214403263 876321874 /nfs/dbraw/zinc/32/18/74/876321874.db2.gz OSUHKLMWDPZADZ-TZMCWYRMSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)Cc1ccoc1 ZINC001351603269 876344092 /nfs/dbraw/zinc/34/40/92/876344092.db2.gz BWSFQTBMEQKFQS-ZIAGYGMSSA-N 1 2 317.393 1.431 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)Cc1ccoc1 ZINC001351603269 876344101 /nfs/dbraw/zinc/34/41/01/876344101.db2.gz BWSFQTBMEQKFQS-ZIAGYGMSSA-N 1 2 317.393 1.431 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)[C@H](C)[C@H]1C ZINC001351604663 876344612 /nfs/dbraw/zinc/34/46/12/876344612.db2.gz OTMBHQLLNUAMQO-RMEBNNNOSA-N 1 2 319.453 1.682 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@H](C)[C@H](C)[C@H]1C ZINC001351604663 876344621 /nfs/dbraw/zinc/34/46/21/876344621.db2.gz OTMBHQLLNUAMQO-RMEBNNNOSA-N 1 2 319.453 1.682 20 30 DDEDLO CCOC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cc1 ZINC001227442443 883022988 /nfs/dbraw/zinc/02/29/88/883022988.db2.gz ODSOCRSIEVUZTH-JGPUMOJJSA-N 1 2 303.358 1.855 20 30 DDEDLO CCOC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cc1 ZINC001227442443 883023005 /nfs/dbraw/zinc/02/30/05/883023005.db2.gz ODSOCRSIEVUZTH-JGPUMOJJSA-N 1 2 303.358 1.855 20 30 DDEDLO C[C@H](CN(C)C(=O)c1c[nH]c(C#N)c1)[NH2+][C@@H](C)c1csnn1 ZINC001379096463 876386450 /nfs/dbraw/zinc/38/64/50/876386450.db2.gz OVKJNCPPXUABSF-ZJUUUORDSA-N 1 2 318.406 1.549 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)CC(C)(C)C)n2CC)CC1 ZINC001352262751 876666708 /nfs/dbraw/zinc/66/67/08/876666708.db2.gz LEOKKQBAICVONM-CQSZACIVSA-N 1 2 319.453 1.523 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001353435603 877329412 /nfs/dbraw/zinc/32/94/12/877329412.db2.gz TVWFYKIUDNCXDG-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001353435603 877329420 /nfs/dbraw/zinc/32/94/20/877329420.db2.gz TVWFYKIUDNCXDG-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)OCCCCCC)[C@H]2C1 ZINC001217894802 877347685 /nfs/dbraw/zinc/34/76/85/877347685.db2.gz IMEAGZWYKFTMIC-IXDOHACOSA-N 1 2 322.449 1.517 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)OCCCCCC)[C@H]2C1 ZINC001217894802 877347694 /nfs/dbraw/zinc/34/76/94/877347694.db2.gz IMEAGZWYKFTMIC-IXDOHACOSA-N 1 2 322.449 1.517 20 30 DDEDLO C=CCCC[N@H+]1C[C@H]2OCCN(C(=O)C(F)C(F)(F)F)[C@H]2C1 ZINC001217954867 877360706 /nfs/dbraw/zinc/36/07/06/877360706.db2.gz RJFXZZKOWHYUQL-QJPTWQEYSA-N 1 2 324.318 1.765 20 30 DDEDLO C=CCCC[N@@H+]1C[C@H]2OCCN(C(=O)C(F)C(F)(F)F)[C@H]2C1 ZINC001217954867 877360720 /nfs/dbraw/zinc/36/07/20/877360720.db2.gz RJFXZZKOWHYUQL-QJPTWQEYSA-N 1 2 324.318 1.765 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@H+](Cc3ccccn3)C[C@@H]21 ZINC001218032260 877378070 /nfs/dbraw/zinc/37/80/70/877378070.db2.gz ITISCWLSWLNCHV-DLBZAZTESA-N 1 2 315.417 1.850 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccccn3)C[C@@H]21 ZINC001218032260 877378086 /nfs/dbraw/zinc/37/80/86/877378086.db2.gz ITISCWLSWLNCHV-DLBZAZTESA-N 1 2 315.417 1.850 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219209283 878017390 /nfs/dbraw/zinc/01/73/90/878017390.db2.gz ZAAHOLGILNTSHB-LYRGGWFBSA-N 1 2 319.380 1.405 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219209283 878017410 /nfs/dbraw/zinc/01/74/10/878017410.db2.gz ZAAHOLGILNTSHB-LYRGGWFBSA-N 1 2 319.380 1.405 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001354504581 878025246 /nfs/dbraw/zinc/02/52/46/878025246.db2.gz BZCZIFFANSWPQM-CHWSQXEVSA-N 1 2 304.394 1.033 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001354604262 878101248 /nfs/dbraw/zinc/10/12/48/878101248.db2.gz NHJFQSGAASHODE-LBPRGKRZSA-N 1 2 318.421 1.628 20 30 DDEDLO C=CCCCC(=O)NC[C@H](C)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001354684493 878152038 /nfs/dbraw/zinc/15/20/38/878152038.db2.gz NMTPNFSWYCSQCO-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219434961 878211948 /nfs/dbraw/zinc/21/19/48/878211948.db2.gz RPZSCLXBMWENAK-TYBJXXNJSA-N 1 2 317.364 1.325 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219434961 878211966 /nfs/dbraw/zinc/21/19/66/878211966.db2.gz RPZSCLXBMWENAK-TYBJXXNJSA-N 1 2 317.364 1.325 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@@H]1O ZINC001219690101 878455117 /nfs/dbraw/zinc/45/51/17/878455117.db2.gz XXMDOVUZKOHMAA-CVEARBPZSA-N 1 2 319.405 1.413 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@@H]1O ZINC001219690101 878455126 /nfs/dbraw/zinc/45/51/26/878455126.db2.gz XXMDOVUZKOHMAA-CVEARBPZSA-N 1 2 319.405 1.413 20 30 DDEDLO C=C(Cl)CN(C)CCN(C(=O)[C@@H]1C[N@H+](C)CCO1)C(C)C ZINC001380006582 878538591 /nfs/dbraw/zinc/53/85/91/878538591.db2.gz IAFJOGATKISSJJ-AWEZNQCLSA-N 1 2 317.861 1.238 20 30 DDEDLO C=C(Cl)CN(C)CCN(C(=O)[C@@H]1C[N@@H+](C)CCO1)C(C)C ZINC001380006582 878538600 /nfs/dbraw/zinc/53/86/00/878538600.db2.gz IAFJOGATKISSJJ-AWEZNQCLSA-N 1 2 317.861 1.238 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)c1cnc(C)cn1 ZINC001276809639 878639603 /nfs/dbraw/zinc/63/96/03/878639603.db2.gz LSANKUQCTUTZGN-KBPBESRZSA-N 1 2 304.394 1.239 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)c1cnc(C)cn1 ZINC001276809639 878639609 /nfs/dbraw/zinc/63/96/09/878639609.db2.gz LSANKUQCTUTZGN-KBPBESRZSA-N 1 2 304.394 1.239 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220133484 878723862 /nfs/dbraw/zinc/72/38/62/878723862.db2.gz BMPYRKVGRZGCNJ-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220133484 878723873 /nfs/dbraw/zinc/72/38/73/878723873.db2.gz BMPYRKVGRZGCNJ-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220190564 878801962 /nfs/dbraw/zinc/80/19/62/878801962.db2.gz QJFGAXRLLUQMAR-XZJROXQQSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220190564 878801980 /nfs/dbraw/zinc/80/19/80/878801980.db2.gz QJFGAXRLLUQMAR-XZJROXQQSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001356338710 879002232 /nfs/dbraw/zinc/00/22/32/879002232.db2.gz GWNLAYVMNJBPCL-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO CCc1nnc(C[NH+]2CCC(C)(NC(=O)[C@H](C)C#N)CC2)o1 ZINC001380298380 879269076 /nfs/dbraw/zinc/26/90/76/879269076.db2.gz KSCKLYHARMQPFU-LLVKDONJSA-N 1 2 305.382 1.262 20 30 DDEDLO C=CCC1(C(=O)N2CC(NC(=O)Cc3[nH]c[nH+]c3C)C2)CCC1 ZINC001356780713 879341839 /nfs/dbraw/zinc/34/18/39/879341839.db2.gz IRTOAHFVPGVNGY-UHFFFAOYSA-N 1 2 316.405 1.334 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(C)s3)[C@@H]2C1 ZINC001221229042 879596447 /nfs/dbraw/zinc/59/64/47/879596447.db2.gz NAQQGHCDWYHGMO-HUUCEWRRSA-N 1 2 318.442 1.853 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(C)s3)[C@@H]2C1 ZINC001221229042 879596455 /nfs/dbraw/zinc/59/64/55/879596455.db2.gz NAQQGHCDWYHGMO-HUUCEWRRSA-N 1 2 318.442 1.853 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001356988479 879657085 /nfs/dbraw/zinc/65/70/85/879657085.db2.gz QGIBBNSRTQUVIR-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001356988479 879657104 /nfs/dbraw/zinc/65/71/04/879657104.db2.gz QGIBBNSRTQUVIR-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]1(C)CCC[N@H+](Cc2cnns2)C1 ZINC001380458272 879691428 /nfs/dbraw/zinc/69/14/28/879691428.db2.gz BSZBEEDMUODKFG-RISCZKNCSA-N 1 2 307.423 1.416 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]1(C)CCC[N@@H+](Cc2cnns2)C1 ZINC001380458272 879691446 /nfs/dbraw/zinc/69/14/46/879691446.db2.gz BSZBEEDMUODKFG-RISCZKNCSA-N 1 2 307.423 1.416 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@@H](C)CC)C[C@H]21 ZINC001221425015 879779282 /nfs/dbraw/zinc/77/92/82/879779282.db2.gz VRFFGZKVSCEIAJ-RRFJBIMHSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@@H](C)CC)C[C@H]21 ZINC001221425015 879779300 /nfs/dbraw/zinc/77/93/00/879779300.db2.gz VRFFGZKVSCEIAJ-RRFJBIMHSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001357319496 879909422 /nfs/dbraw/zinc/90/94/22/879909422.db2.gz QCVPPMAXFRYMDR-ZIAGYGMSSA-N 1 2 304.394 1.250 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)OCCOC)[C@@H]2C1 ZINC001221847063 880117504 /nfs/dbraw/zinc/11/75/04/880117504.db2.gz YKXJITWSIGDAHG-BFHYXJOUSA-N 1 2 316.829 1.323 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)OCCOC)[C@@H]2C1 ZINC001221847063 880117508 /nfs/dbraw/zinc/11/75/08/880117508.db2.gz YKXJITWSIGDAHG-BFHYXJOUSA-N 1 2 316.829 1.323 20 30 DDEDLO CCOc1nnc(C[NH2+]C2(CNC(=O)[C@H](C)C#N)CCC2)s1 ZINC001380850682 880522575 /nfs/dbraw/zinc/52/25/75/880522575.db2.gz GKUHPXLNZBXLBY-SNVBAGLBSA-N 1 2 323.422 1.225 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001222573608 880568262 /nfs/dbraw/zinc/56/82/62/880568262.db2.gz GXTBTGCDIHFULJ-CYBMUJFWSA-N 1 2 322.409 1.379 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCN1C(=O)CCc1c[nH+]cn1C ZINC001358634478 880656324 /nfs/dbraw/zinc/65/63/24/880656324.db2.gz LILRCXWEQBKBKZ-OAHLLOKOSA-N 1 2 318.421 1.426 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H](C)N(C(=O)CCn2cc[nH+]c2)C1 ZINC001287943749 912613208 /nfs/dbraw/zinc/61/32/08/912613208.db2.gz RJYMVMJDVFJRPF-LSDHHAIUSA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@H]1CCN(C2CCC2)C1=O ZINC001276915568 881077762 /nfs/dbraw/zinc/07/77/62/881077762.db2.gz CRPZLPRCYUYARD-CVEARBPZSA-N 1 2 319.449 1.687 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@H+]1[C@H]1CCN(C2CCC2)C1=O ZINC001276915568 881077779 /nfs/dbraw/zinc/07/77/79/881077779.db2.gz CRPZLPRCYUYARD-CVEARBPZSA-N 1 2 319.449 1.687 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@H]2CC[C@H](F)C2)CC1 ZINC001359029711 881161013 /nfs/dbraw/zinc/16/10/13/881161013.db2.gz FVBFXOAZQVTUHG-GJZGRUSLSA-N 1 2 305.401 1.480 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001224164997 881248435 /nfs/dbraw/zinc/24/84/35/881248435.db2.gz DQTZYLAIGYZJID-CHWSQXEVSA-N 1 2 306.410 1.856 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC1CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001224165005 881249860 /nfs/dbraw/zinc/24/98/60/881249860.db2.gz DVFMZGJIBDMTJG-CHWSQXEVSA-N 1 2 319.453 1.900 20 30 DDEDLO C#CCC1(C(=O)NC2CC[NH+](Cc3nccn3C)CC2)CCC1 ZINC001227753822 883157494 /nfs/dbraw/zinc/15/74/94/883157494.db2.gz CSNWBDPHOAUKNH-UHFFFAOYSA-N 1 2 314.433 1.694 20 30 DDEDLO C#CCC1(C(=O)NC2CC[NH+](Cc3cn(C)nn3)CC2)CCC1 ZINC001227755088 883159850 /nfs/dbraw/zinc/15/98/50/883159850.db2.gz YKFYWBFSNBVYGV-UHFFFAOYSA-N 1 2 315.421 1.089 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(F)cc(F)c3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001228613616 883573878 /nfs/dbraw/zinc/57/38/78/883573878.db2.gz RXYLHHHVEWBANW-LEGMNPEXSA-N 1 2 312.272 1.864 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(F)cc(F)c3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001228613616 883573883 /nfs/dbraw/zinc/57/38/83/883573883.db2.gz RXYLHHHVEWBANW-LEGMNPEXSA-N 1 2 312.272 1.864 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2ncc(CC)o2)CC1 ZINC001230407266 884473095 /nfs/dbraw/zinc/47/30/95/884473095.db2.gz LMUCTAQVVHNHCH-ZDUSSCGKSA-N 1 2 321.421 1.909 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230557843 884581334 /nfs/dbraw/zinc/58/13/34/884581334.db2.gz SHPIAILBUKDJMX-DOTOQJQBSA-N 1 2 305.422 1.540 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230557843 884581342 /nfs/dbraw/zinc/58/13/42/884581342.db2.gz SHPIAILBUKDJMX-DOTOQJQBSA-N 1 2 305.422 1.540 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)s1 ZINC001230594687 884632728 /nfs/dbraw/zinc/63/27/28/884632728.db2.gz KIFKTFILVVGXAY-CQSZACIVSA-N 1 2 306.431 1.853 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)s1 ZINC001230594687 884632735 /nfs/dbraw/zinc/63/27/35/884632735.db2.gz KIFKTFILVVGXAY-CQSZACIVSA-N 1 2 306.431 1.853 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)/C=C\c1ccco1 ZINC001230639198 884681926 /nfs/dbraw/zinc/68/19/26/884681926.db2.gz UFNFMFAZZJIBTI-AFNCTOJWSA-N 1 2 317.389 1.128 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C\c1ccco1 ZINC001230639198 884681931 /nfs/dbraw/zinc/68/19/31/884681931.db2.gz UFNFMFAZZJIBTI-AFNCTOJWSA-N 1 2 317.389 1.128 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CCC1CCCC1 ZINC001230670535 884723414 /nfs/dbraw/zinc/72/34/14/884723414.db2.gz ADSGKIXXEKBREW-INIZCTEOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CCC1CCCC1 ZINC001230670535 884723429 /nfs/dbraw/zinc/72/34/29/884723429.db2.gz ADSGKIXXEKBREW-INIZCTEOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C=C1CCCCC1 ZINC001231023874 885140044 /nfs/dbraw/zinc/14/00/44/885140044.db2.gz BJMSFBGKSRPNSZ-INIZCTEOSA-N 1 2 319.449 1.712 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C=C1CCCCC1 ZINC001231023874 885140050 /nfs/dbraw/zinc/14/00/50/885140050.db2.gz BJMSFBGKSRPNSZ-INIZCTEOSA-N 1 2 319.449 1.712 20 30 DDEDLO CCCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001231093441 885211376 /nfs/dbraw/zinc/21/13/76/885211376.db2.gz AKSDMGIHUBBUFG-HZPDHXFCSA-N 1 2 310.438 1.374 20 30 DDEDLO CCCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001231093441 885211390 /nfs/dbraw/zinc/21/13/90/885211390.db2.gz AKSDMGIHUBBUFG-HZPDHXFCSA-N 1 2 310.438 1.374 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@H](C)CCC ZINC001231131676 885270074 /nfs/dbraw/zinc/27/00/74/885270074.db2.gz PDJUZXUHCGFDJO-HUUCEWRRSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@H](C)CCC ZINC001231131676 885270088 /nfs/dbraw/zinc/27/00/88/885270088.db2.gz PDJUZXUHCGFDJO-HUUCEWRRSA-N 1 2 309.454 1.648 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)Cc1ccon1 ZINC001231388662 885589208 /nfs/dbraw/zinc/58/92/08/885589208.db2.gz RGHFBWQQMLHLDO-GOSISDBHSA-N 1 2 323.396 1.802 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)Cc1ccon1 ZINC001231388662 885589222 /nfs/dbraw/zinc/58/92/22/885589222.db2.gz RGHFBWQQMLHLDO-GOSISDBHSA-N 1 2 323.396 1.802 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(Br)ncc2F)CC1 ZINC001231917349 885943558 /nfs/dbraw/zinc/94/35/58/885943558.db2.gz SUOOUGLWYNHWIC-UHFFFAOYSA-N 1 2 312.186 1.734 20 30 DDEDLO CCn1cc(CC[NH+]2CC(N(C)C(=O)C#CC(C)(C)C)C2)cn1 ZINC001277594010 885982009 /nfs/dbraw/zinc/98/20/09/885982009.db2.gz QRQHUXOMJGHLAZ-UHFFFAOYSA-N 1 2 316.449 1.638 20 30 DDEDLO CCOC(=O)[C@@]12CCO[C@@H]1CC[N@H+](Cc1[nH]ccc1C#N)C2 ZINC001233044049 886751459 /nfs/dbraw/zinc/75/14/59/886751459.db2.gz OTGMNCKZUQMCNV-GDBMZVCRSA-N 1 2 303.362 1.430 20 30 DDEDLO CCOC(=O)[C@@]12CCO[C@@H]1CC[N@@H+](Cc1[nH]ccc1C#N)C2 ZINC001233044049 886751469 /nfs/dbraw/zinc/75/14/69/886751469.db2.gz OTGMNCKZUQMCNV-GDBMZVCRSA-N 1 2 303.362 1.430 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCOC[C@@](O)(C(F)(F)F)C2)c(O)c1 ZINC001233383451 886964807 /nfs/dbraw/zinc/96/48/07/886964807.db2.gz INKLFXDKTRKMLP-CYBMUJFWSA-N 1 2 316.279 1.389 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCOC[C@@](O)(C(F)(F)F)C2)c(O)c1 ZINC001233383451 886964814 /nfs/dbraw/zinc/96/48/14/886964814.db2.gz INKLFXDKTRKMLP-CYBMUJFWSA-N 1 2 316.279 1.389 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CCC1CCC1 ZINC001233510950 887057033 /nfs/dbraw/zinc/05/70/33/887057033.db2.gz KWYQBZYXZHRFFL-HNNXBMFYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CCC1CCC1 ZINC001233510950 887057038 /nfs/dbraw/zinc/05/70/38/887057038.db2.gz KWYQBZYXZHRFFL-HNNXBMFYSA-N 1 2 307.438 1.402 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(OC)ccn1 ZINC001233956931 887496634 /nfs/dbraw/zinc/49/66/34/887496634.db2.gz HJDRSNZNLNTGSG-INIZCTEOSA-N 1 2 315.417 1.926 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(OC)ccn1 ZINC001233956931 887496645 /nfs/dbraw/zinc/49/66/45/887496645.db2.gz HJDRSNZNLNTGSG-INIZCTEOSA-N 1 2 315.417 1.926 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001233976312 887515584 /nfs/dbraw/zinc/51/55/84/887515584.db2.gz DUBRANHJDITDDK-GOEBONIOSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001233976312 887515609 /nfs/dbraw/zinc/51/56/09/887515609.db2.gz DUBRANHJDITDDK-GOEBONIOSA-N 1 2 307.394 1.343 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cncs1 ZINC001234245814 887785655 /nfs/dbraw/zinc/78/56/55/887785655.db2.gz FQKJSHVUCJSOEE-CYBMUJFWSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cncs1 ZINC001234245814 887785669 /nfs/dbraw/zinc/78/56/69/887785669.db2.gz FQKJSHVUCJSOEE-CYBMUJFWSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1C ZINC001234246465 887786031 /nfs/dbraw/zinc/78/60/31/887786031.db2.gz OOHMJUIYSVWCIF-HNNXBMFYSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1C ZINC001234246465 887786044 /nfs/dbraw/zinc/78/60/44/887786044.db2.gz OOHMJUIYSVWCIF-HNNXBMFYSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)Oc1ccccc1F ZINC001234488786 888020816 /nfs/dbraw/zinc/02/08/16/888020816.db2.gz JQRASXOFXULOOM-UONOGXRCSA-N 1 2 304.365 1.759 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)Oc1ccccc1F ZINC001234488786 888020829 /nfs/dbraw/zinc/02/08/29/888020829.db2.gz JQRASXOFXULOOM-UONOGXRCSA-N 1 2 304.365 1.759 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NC ZINC001234517596 888047975 /nfs/dbraw/zinc/04/79/75/888047975.db2.gz NOMQLMCITZHMMM-ZFWWWQNUSA-N 1 2 307.438 1.422 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NC ZINC001234517596 888047989 /nfs/dbraw/zinc/04/79/89/888047989.db2.gz NOMQLMCITZHMMM-ZFWWWQNUSA-N 1 2 307.438 1.422 20 30 DDEDLO N#Cc1ncc(N2CC[NH+](Cc3cccc(O)c3)CC2)nc1C#N ZINC001364170579 888069686 /nfs/dbraw/zinc/06/96/86/888069686.db2.gz WMWLHOMFKQATNG-UHFFFAOYSA-N 1 2 320.356 1.248 20 30 DDEDLO N#Cc1ccc2nc(NC(=O)CC[N@H+]3CC[C@H](F)C3)[nH]c2c1 ZINC001364309045 888353105 /nfs/dbraw/zinc/35/31/05/888353105.db2.gz JZFUIMYVZDYCEP-NSHDSACASA-N 1 2 301.325 1.807 20 30 DDEDLO N#Cc1ccc2nc(NC(=O)CC[N@@H+]3CC[C@H](F)C3)[nH]c2c1 ZINC001364309045 888353120 /nfs/dbraw/zinc/35/31/20/888353120.db2.gz JZFUIMYVZDYCEP-NSHDSACASA-N 1 2 301.325 1.807 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H]3COC[C@H](C2)N3CCCCC#N)n(C)n1 ZINC001277951200 888708401 /nfs/dbraw/zinc/70/84/01/888708401.db2.gz GKVLWYFSILFTKU-CALCHBBNSA-N 1 2 317.437 1.307 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H]3COC[C@H](C2)N3CCCCC#N)n(C)n1 ZINC001277951200 888708413 /nfs/dbraw/zinc/70/84/13/888708413.db2.gz GKVLWYFSILFTKU-CALCHBBNSA-N 1 2 317.437 1.307 20 30 DDEDLO CN1CCn2c(C[N@H+](C)CC3CCC(C#N)CC3)nnc2C1=O ZINC001364495441 888767710 /nfs/dbraw/zinc/76/77/10/888767710.db2.gz YTKUADLRSAPESF-UHFFFAOYSA-N 1 2 316.409 1.125 20 30 DDEDLO CN1CCn2c(C[N@@H+](C)CC3CCC(C#N)CC3)nnc2C1=O ZINC001364495441 888767715 /nfs/dbraw/zinc/76/77/15/888767715.db2.gz YTKUADLRSAPESF-UHFFFAOYSA-N 1 2 316.409 1.125 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@H](CO)[NH2+]Cc1coc(C2CC2)n1 ZINC001278077110 889582994 /nfs/dbraw/zinc/58/29/94/889582994.db2.gz GKTGJKAJHZZISZ-ZDUSSCGKSA-N 1 2 319.405 1.168 20 30 DDEDLO C#CCN(C(=O)[C@@H]1C[C@@H]1C)C1CC[NH+](Cc2cnns2)CC1 ZINC001278115031 889703937 /nfs/dbraw/zinc/70/39/37/889703937.db2.gz FVHYGDCDJREWHV-SWLSCSKDSA-N 1 2 318.446 1.620 20 30 DDEDLO CCCCCCCCOC(=O)N[C@H]1C[NH2+][C@H](C(=O)OC)C1 ZINC001239318847 890600215 /nfs/dbraw/zinc/60/02/15/890600215.db2.gz VSHGMWAUPWYFAP-OLZOCXBDSA-N 1 2 300.399 1.977 20 30 DDEDLO COc1ncc(NC(=O)N[C@@H](C)Cn2cc[nH+]c2)cc1C#N ZINC001365530310 890993906 /nfs/dbraw/zinc/99/39/06/890993906.db2.gz JAHTUFCNSFIITM-JTQLQIEISA-N 1 2 300.322 1.369 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+]Cc2cnsn2)[C@H]1C ZINC001278325020 891122153 /nfs/dbraw/zinc/12/21/53/891122153.db2.gz HFGMUMGIHBKCHG-YPMHNXCESA-N 1 2 310.423 1.210 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=S)Nc1ccc(C#N)cc1 ZINC001245881795 892255447 /nfs/dbraw/zinc/25/54/47/892255447.db2.gz NWECPWVYLLITOR-UHFFFAOYSA-N 1 2 304.419 1.519 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1[N@H+](Cc1ncnn1C)CC2 ZINC001278446563 892622042 /nfs/dbraw/zinc/62/20/42/892622042.db2.gz QKYHCZHXOSFWOM-PBHICJAKSA-N 1 2 315.421 1.232 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1[N@@H+](Cc1ncnn1C)CC2 ZINC001278446563 892622049 /nfs/dbraw/zinc/62/20/49/892622049.db2.gz QKYHCZHXOSFWOM-PBHICJAKSA-N 1 2 315.421 1.232 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(Cc3cccc(C#N)c3F)C2)C[C@H](C)O1 ZINC001249694119 894107486 /nfs/dbraw/zinc/10/74/86/894107486.db2.gz XNTIAIJOSZGARW-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(Cc3cccc(C#N)c3F)C2)C[C@H](C)O1 ZINC001249694119 894107490 /nfs/dbraw/zinc/10/74/90/894107490.db2.gz XNTIAIJOSZGARW-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO N#Cc1cc(F)c(NC[C@H](O)C[NH+]2CCOCC2)c(Cl)c1 ZINC001251028430 894581279 /nfs/dbraw/zinc/58/12/79/894581279.db2.gz FJPHZNAIBRCVIR-NSHDSACASA-N 1 2 313.760 1.456 20 30 DDEDLO C=CC[C@H]([NH2+]CC1(O)CCC(C(=O)OCC)CC1)C(=O)OC ZINC001251072206 894623793 /nfs/dbraw/zinc/62/37/93/894623793.db2.gz ZDODKRFSVSWLGM-UYJPIKCFSA-N 1 2 313.394 1.178 20 30 DDEDLO C#CCCCCC(=O)NC[C@@]1(C)CCC[N@H+](Cc2cnon2)C1 ZINC001278653177 895040985 /nfs/dbraw/zinc/04/09/85/895040985.db2.gz JZBGIPPISTUORE-QGZVFWFLSA-N 1 2 318.421 1.982 20 30 DDEDLO C#CCCCCC(=O)NC[C@@]1(C)CCC[N@@H+](Cc2cnon2)C1 ZINC001278653177 895041000 /nfs/dbraw/zinc/04/10/00/895041000.db2.gz JZBGIPPISTUORE-QGZVFWFLSA-N 1 2 318.421 1.982 20 30 DDEDLO C=CCOC(=O)[C@H](CC(C)C)[NH2+]C[C@@H](O)COCCCC ZINC001252528086 895246624 /nfs/dbraw/zinc/24/66/24/895246624.db2.gz MKIKBYJKXHSNST-CABCVRRESA-N 1 2 301.427 1.898 20 30 DDEDLO CC(C)(C)OC(=O)N1CCCC[C@H](N2CC[NH2+]C[C@H]2C#N)C1 ZINC001254199939 896292598 /nfs/dbraw/zinc/29/25/98/896292598.db2.gz VRAGRRXPFPWNCO-UONOGXRCSA-N 1 2 308.426 1.573 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@H+]([C@@H]2CC[C@@H](C#N)C2)[C@@H](CO)C1 ZINC001254656986 896551025 /nfs/dbraw/zinc/55/10/25/896551025.db2.gz AZOCKKBXWRYCRQ-MGPQQGTHSA-N 1 2 309.410 1.592 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@@H+]([C@@H]2CC[C@@H](C#N)C2)[C@@H](CO)C1 ZINC001254656986 896551033 /nfs/dbraw/zinc/55/10/33/896551033.db2.gz AZOCKKBXWRYCRQ-MGPQQGTHSA-N 1 2 309.410 1.592 20 30 DDEDLO CC(=O)N(C)Cc1nnc2n1CCC[N@H+]([C@@H]1CC[C@H](C#N)C1)C2 ZINC001254665222 896563020 /nfs/dbraw/zinc/56/30/20/896563020.db2.gz ZPBXGUWCPDUDDY-UONOGXRCSA-N 1 2 316.409 1.154 20 30 DDEDLO CC(=O)N(C)Cc1nnc2n1CCC[N@@H+]([C@@H]1CC[C@H](C#N)C1)C2 ZINC001254665222 896563028 /nfs/dbraw/zinc/56/30/28/896563028.db2.gz ZPBXGUWCPDUDDY-UONOGXRCSA-N 1 2 316.409 1.154 20 30 DDEDLO C=C[C@H](COC)NC(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC001255019216 896699670 /nfs/dbraw/zinc/69/96/70/896699670.db2.gz ONAIPIVWYRIAKY-GOEBONIOSA-N 1 2 318.421 1.671 20 30 DDEDLO CC[C@H](CC#N)[NH2+][C@@H](CCC(=O)OCc1ccccc1)C(N)=O ZINC001255161863 896759420 /nfs/dbraw/zinc/75/94/20/896759420.db2.gz BEPOCXVVEYUMOE-CABCVRRESA-N 1 2 317.389 1.646 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCc2ccn(C)n2)C1 ZINC001389371657 897056212 /nfs/dbraw/zinc/05/62/12/897056212.db2.gz OGIGNUOZKCUUFY-CQSZACIVSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCc2ccn(C)n2)C1 ZINC001389371657 897056224 /nfs/dbraw/zinc/05/62/24/897056224.db2.gz OGIGNUOZKCUUFY-CQSZACIVSA-N 1 2 310.829 1.686 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC001256347383 897398401 /nfs/dbraw/zinc/39/84/01/897398401.db2.gz RFINLMILTBMIPN-HZPDHXFCSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC001256347383 897398417 /nfs/dbraw/zinc/39/84/17/897398417.db2.gz RFINLMILTBMIPN-HZPDHXFCSA-N 1 2 308.422 1.433 20 30 DDEDLO C[C@@H]1C[N@@H+](CCc2nnc(C#Cc3ccncc3)o2)C[C@H](C)O1 ZINC001258047831 898034905 /nfs/dbraw/zinc/03/49/05/898034905.db2.gz UYCGBQZPDRPTBU-OKILXGFUSA-N 1 2 312.373 1.516 20 30 DDEDLO C[C@@H]1C[N@H+](CCc2nnc(C#Cc3ccncc3)o2)C[C@H](C)O1 ZINC001258047831 898034920 /nfs/dbraw/zinc/03/49/20/898034920.db2.gz UYCGBQZPDRPTBU-OKILXGFUSA-N 1 2 312.373 1.516 20 30 DDEDLO C=CCS(=O)(=O)N1C[C@@H]2C[NH+](Cc3nccs3)C[C@H]2C1 ZINC001259925791 898939980 /nfs/dbraw/zinc/93/99/80/898939980.db2.gz HCJVHTHDKXMTLG-RYUDHWBXSA-N 1 2 313.448 1.023 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1c(C)[nH]cc1NC(C)=O ZINC001390781523 900164938 /nfs/dbraw/zinc/16/49/38/900164938.db2.gz FJLSIJDZRAOYBT-UHFFFAOYSA-N 1 2 312.801 1.696 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1c(C)[nH]cc1NC(C)=O ZINC001390781523 900164943 /nfs/dbraw/zinc/16/49/43/900164943.db2.gz FJLSIJDZRAOYBT-UHFFFAOYSA-N 1 2 312.801 1.696 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cnc2cc(C)nn2c1C ZINC001390794422 900200114 /nfs/dbraw/zinc/20/01/14/900200114.db2.gz YBFFSRMMXZNVTO-UHFFFAOYSA-N 1 2 321.812 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cnc2cc(C)nn2c1C ZINC001390794422 900200128 /nfs/dbraw/zinc/20/01/28/900200128.db2.gz YBFFSRMMXZNVTO-UHFFFAOYSA-N 1 2 321.812 1.760 20 30 DDEDLO C=CCn1c([C@@H]2C[N@H+](C(C)C)CCO2)nnc1N1CCCC1 ZINC001262941555 900427260 /nfs/dbraw/zinc/42/72/60/900427260.db2.gz NZCFRUQSEGQMMD-AWEZNQCLSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCn1c([C@@H]2C[N@@H+](C(C)C)CCO2)nnc1N1CCCC1 ZINC001262941555 900427265 /nfs/dbraw/zinc/42/72/65/900427265.db2.gz NZCFRUQSEGQMMD-AWEZNQCLSA-N 1 2 305.426 1.846 20 30 DDEDLO C#CC1CCN(c2nnc([C@@H]3C[C@@H](O)C[N@H+]3C)n2CC=C)CC1 ZINC001262947611 900431371 /nfs/dbraw/zinc/43/13/71/900431371.db2.gz PGHWXGNINOCWST-CABCVRRESA-N 1 2 315.421 1.051 20 30 DDEDLO C#CC1CCN(c2nnc([C@@H]3C[C@@H](O)C[N@@H+]3C)n2CC=C)CC1 ZINC001262947611 900431379 /nfs/dbraw/zinc/43/13/79/900431379.db2.gz PGHWXGNINOCWST-CABCVRRESA-N 1 2 315.421 1.051 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1C[C@H]2CC[C@@H](C1)O2 ZINC001262990938 900460321 /nfs/dbraw/zinc/46/03/21/900460321.db2.gz UEPCSHASAZWIQC-BETUJISGSA-N 1 2 314.393 1.156 20 30 DDEDLO CCCc1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#CCOC)no1 ZINC001263816913 900729991 /nfs/dbraw/zinc/72/99/91/900729991.db2.gz LHVAMAJAYFWACB-AWEZNQCLSA-N 1 2 319.405 1.423 20 30 DDEDLO CCCc1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#CCOC)no1 ZINC001263816913 900729994 /nfs/dbraw/zinc/72/99/94/900729994.db2.gz LHVAMAJAYFWACB-AWEZNQCLSA-N 1 2 319.405 1.423 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@]1(C)OCCc2ccccc21 ZINC001264382981 901071794 /nfs/dbraw/zinc/07/17/94/901071794.db2.gz SSHLXWFDBDNYJO-LPHOPBHVSA-N 1 2 312.413 1.640 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@]1(C)OCCc2ccccc21 ZINC001264382981 901071804 /nfs/dbraw/zinc/07/18/04/901071804.db2.gz SSHLXWFDBDNYJO-LPHOPBHVSA-N 1 2 312.413 1.640 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CCC)C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001391281000 901378035 /nfs/dbraw/zinc/37/80/35/901378035.db2.gz IOAHSFYJNXYGPD-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CCC)C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001391281000 901378040 /nfs/dbraw/zinc/37/80/40/901378040.db2.gz IOAHSFYJNXYGPD-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@H+]([C@H](C)c1nncn1C)C1CC1 ZINC001265161088 901647490 /nfs/dbraw/zinc/64/74/90/901647490.db2.gz BGBOUYBPRJHQAE-CQSZACIVSA-N 1 2 317.437 1.506 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@@H+]([C@H](C)c1nncn1C)C1CC1 ZINC001265161088 901647493 /nfs/dbraw/zinc/64/74/93/901647493.db2.gz BGBOUYBPRJHQAE-CQSZACIVSA-N 1 2 317.437 1.506 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)c2cccc(C(F)F)c2)CC1 ZINC001265265095 901788839 /nfs/dbraw/zinc/78/88/39/901788839.db2.gz PGRTZKHPNJNHDJ-UHFFFAOYSA-N 1 2 322.359 1.495 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC001265283890 901820286 /nfs/dbraw/zinc/82/02/86/901820286.db2.gz JNUFRMBIODTROD-CABCVRRESA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC001265283890 901820297 /nfs/dbraw/zinc/82/02/97/901820297.db2.gz JNUFRMBIODTROD-CABCVRRESA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC001265283894 901821171 /nfs/dbraw/zinc/82/11/71/901821171.db2.gz JNUFRMBIODTROD-LSDHHAIUSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC001265283894 901821177 /nfs/dbraw/zinc/82/11/77/901821177.db2.gz JNUFRMBIODTROD-LSDHHAIUSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001265285656 901826726 /nfs/dbraw/zinc/82/67/26/901826726.db2.gz DZCDDJNUKPJYLR-DZGCQCFKSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001265285656 901826732 /nfs/dbraw/zinc/82/67/32/901826732.db2.gz DZCDDJNUKPJYLR-DZGCQCFKSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccc(F)c(F)c2)C1 ZINC001265288156 901832636 /nfs/dbraw/zinc/83/26/36/901832636.db2.gz OIGAVNXANYTPQZ-LBPRGKRZSA-N 1 2 323.343 1.071 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccc(F)c(F)c2)C1 ZINC001265288156 901832645 /nfs/dbraw/zinc/83/26/45/901832645.db2.gz OIGAVNXANYTPQZ-LBPRGKRZSA-N 1 2 323.343 1.071 20 30 DDEDLO CCCC(CCC)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001265298832 901847601 /nfs/dbraw/zinc/84/76/01/901847601.db2.gz CQPOABWMNOPWCF-AWEZNQCLSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCC(CCC)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001265298832 901847603 /nfs/dbraw/zinc/84/76/03/901847603.db2.gz CQPOABWMNOPWCF-AWEZNQCLSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001265307894 901863265 /nfs/dbraw/zinc/86/32/65/901863265.db2.gz IDVVYPHTMDSYAF-CJNGLKHVSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001265307894 901863276 /nfs/dbraw/zinc/86/32/76/901863276.db2.gz IDVVYPHTMDSYAF-CJNGLKHVSA-N 1 2 308.426 1.033 20 30 DDEDLO CCOc1nnc(C[NH2+][C@H](C)CNC(=O)C#CC(C)C)s1 ZINC001265772433 902390083 /nfs/dbraw/zinc/39/00/83/902390083.db2.gz AYEWHRHUQPWCEN-LLVKDONJSA-N 1 2 310.423 1.191 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)Cc1ccon1 ZINC001265817821 902440623 /nfs/dbraw/zinc/44/06/23/902440623.db2.gz ZJQCDQMKGGUAEH-UHFFFAOYSA-N 1 2 316.199 1.564 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)Cc1ccon1 ZINC001265817821 902440628 /nfs/dbraw/zinc/44/06/28/902440628.db2.gz ZJQCDQMKGGUAEH-UHFFFAOYSA-N 1 2 316.199 1.564 20 30 DDEDLO CC[N@H+](CCCNC(=O)C#CC(C)C)Cc1nc(C2CC2)no1 ZINC001266041094 902821074 /nfs/dbraw/zinc/82/10/74/902821074.db2.gz VPLSAPAMNAMWOY-UHFFFAOYSA-N 1 2 318.421 1.935 20 30 DDEDLO CC[N@@H+](CCCNC(=O)C#CC(C)C)Cc1nc(C2CC2)no1 ZINC001266041094 902821089 /nfs/dbraw/zinc/82/10/89/902821089.db2.gz VPLSAPAMNAMWOY-UHFFFAOYSA-N 1 2 318.421 1.935 20 30 DDEDLO CC#CCCCC(=O)NC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001293806231 914712289 /nfs/dbraw/zinc/71/22/89/914712289.db2.gz VHZLRPCYQDDLHC-UHFFFAOYSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H]1CCCNC1=O ZINC001316602550 903581257 /nfs/dbraw/zinc/58/12/57/903581257.db2.gz MJXZFOYZRKAKIC-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@@H]1CCCNC1=O ZINC001316602550 903581264 /nfs/dbraw/zinc/58/12/64/903581264.db2.gz MJXZFOYZRKAKIC-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)Cc1ccco1 ZINC001316604275 903792417 /nfs/dbraw/zinc/79/24/17/903792417.db2.gz SJPAFGGGABWMJZ-HZPDHXFCSA-N 1 2 318.417 1.641 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)Cc1ccco1 ZINC001316604275 903792423 /nfs/dbraw/zinc/79/24/23/903792423.db2.gz SJPAFGGGABWMJZ-HZPDHXFCSA-N 1 2 318.417 1.641 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1F ZINC001316604804 903882895 /nfs/dbraw/zinc/88/28/95/903882895.db2.gz FUEWEFXPSVJJBA-CYBMUJFWSA-N 1 2 305.353 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1F ZINC001316604804 903882914 /nfs/dbraw/zinc/88/29/14/903882914.db2.gz FUEWEFXPSVJJBA-CYBMUJFWSA-N 1 2 305.353 1.017 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001280764884 904006017 /nfs/dbraw/zinc/00/60/17/904006017.db2.gz WZPTXGDLVJDDAL-HDJSIYSDSA-N 1 2 318.421 1.496 20 30 DDEDLO CCC1(NCC#N)CCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)CC1 ZINC001281021530 904325900 /nfs/dbraw/zinc/32/59/00/904325900.db2.gz OVLMFZBPYPGBQA-CQSZACIVSA-N 1 2 315.421 1.330 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001281048210 904355172 /nfs/dbraw/zinc/35/51/72/904355172.db2.gz UNGARVDRCUBNKU-WMLDXEAASA-N 1 2 320.437 1.839 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H](NC(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001281173231 904525421 /nfs/dbraw/zinc/52/54/21/904525421.db2.gz UCZMXAMUBLJPEY-KGLIPLIRSA-N 1 2 318.421 1.591 20 30 DDEDLO COC[C@H](C)CC(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001392862476 905500750 /nfs/dbraw/zinc/50/07/50/905500750.db2.gz MUNCYEVCQOXBKB-CHWSQXEVSA-N 1 2 321.446 1.983 20 30 DDEDLO COC[C@H](C)CC(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001392862476 905500767 /nfs/dbraw/zinc/50/07/67/905500767.db2.gz MUNCYEVCQOXBKB-CHWSQXEVSA-N 1 2 321.446 1.983 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)[N@H+](C)[C@@H](C)C(=O)Nc1nccs1 ZINC001282364939 905716174 /nfs/dbraw/zinc/71/61/74/905716174.db2.gz SMIGNOYMMGRJNN-RYUDHWBXSA-N 1 2 324.450 1.873 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)[N@@H+](C)[C@@H](C)C(=O)Nc1nccs1 ZINC001282364939 905716176 /nfs/dbraw/zinc/71/61/76/905716176.db2.gz SMIGNOYMMGRJNN-RYUDHWBXSA-N 1 2 324.450 1.873 20 30 DDEDLO C=CCOCC[NH+]1CC(CCO)(NC(=O)C2(C)CCCCC2)C1 ZINC001282529239 905851785 /nfs/dbraw/zinc/85/17/85/905851785.db2.gz DKQPNHKJQWYESJ-UHFFFAOYSA-N 1 2 324.465 1.712 20 30 DDEDLO C[N@H+](CCNC(=O)c1ccccn1)Cc1cc(C#N)ccc1F ZINC001371894299 905945509 /nfs/dbraw/zinc/94/55/09/905945509.db2.gz DSBIPAZHQYPIEF-UHFFFAOYSA-N 1 2 312.348 1.954 20 30 DDEDLO C[N@@H+](CCNC(=O)c1ccccn1)Cc1cc(C#N)ccc1F ZINC001371894299 905945513 /nfs/dbraw/zinc/94/55/13/905945513.db2.gz DSBIPAZHQYPIEF-UHFFFAOYSA-N 1 2 312.348 1.954 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C1C[NH+](CC(=O)N[C@H](C)CC)C1 ZINC001282734732 905993807 /nfs/dbraw/zinc/99/38/07/905993807.db2.gz RAHJFBSFJCZRES-OLZOCXBDSA-N 1 2 309.454 1.550 20 30 DDEDLO Cc1ccnc(C(=O)NCC[N@@H+](C)Cc2ccccc2C#N)n1 ZINC001371963095 906109538 /nfs/dbraw/zinc/10/95/38/906109538.db2.gz LAJJZQNAYKQQGA-UHFFFAOYSA-N 1 2 309.373 1.519 20 30 DDEDLO Cc1ccnc(C(=O)NCC[N@H+](C)Cc2ccccc2C#N)n1 ZINC001371963095 906109545 /nfs/dbraw/zinc/10/95/45/906109545.db2.gz LAJJZQNAYKQQGA-UHFFFAOYSA-N 1 2 309.373 1.519 20 30 DDEDLO CCO[C@@H](C(=O)NCC[N@H+](C)Cc1ccccc1C#N)C1CC1 ZINC001371974486 906141420 /nfs/dbraw/zinc/14/14/20/906141420.db2.gz VUXBIIUADBPNGS-QGZVFWFLSA-N 1 2 315.417 1.921 20 30 DDEDLO CCO[C@@H](C(=O)NCC[N@@H+](C)Cc1ccccc1C#N)C1CC1 ZINC001371974486 906141429 /nfs/dbraw/zinc/14/14/29/906141429.db2.gz VUXBIIUADBPNGS-QGZVFWFLSA-N 1 2 315.417 1.921 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001372029658 906283780 /nfs/dbraw/zinc/28/37/80/906283780.db2.gz VBJMKEHEBSFOKS-ZYHUDNBSSA-N 1 2 311.345 1.053 20 30 DDEDLO C=CCCCC(=O)NCCNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001283084182 906595687 /nfs/dbraw/zinc/59/56/87/906595687.db2.gz KTWNUBCCHQRMGC-CYBMUJFWSA-N 1 2 304.394 1.034 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CC[C@H]2CNC(=O)[C@H](C)C#N)c(C)[nH+]1 ZINC001393457627 907047672 /nfs/dbraw/zinc/04/76/72/907047672.db2.gz WLYKNXXGVJIOOK-RISCZKNCSA-N 1 2 314.389 1.497 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC[N@@H+]1Cc1nc2c(o1)CCCC2 ZINC001372498743 907455076 /nfs/dbraw/zinc/45/50/76/907455076.db2.gz ILRREAXRZYHSMF-CHWSQXEVSA-N 1 2 316.405 1.794 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC[N@H+]1Cc1nc2c(o1)CCCC2 ZINC001372498743 907455081 /nfs/dbraw/zinc/45/50/81/907455081.db2.gz ILRREAXRZYHSMF-CHWSQXEVSA-N 1 2 316.405 1.794 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)[C@H](C)CSC)CC1 ZINC001393844906 908063030 /nfs/dbraw/zinc/06/30/30/908063030.db2.gz OPLWGIYJUKINOO-LLVKDONJSA-N 1 2 320.886 1.681 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)[C@H](CC)OCC)CC1 ZINC001393846495 908065736 /nfs/dbraw/zinc/06/57/36/908065736.db2.gz UTFQIDLJJOUOGX-ZDUSSCGKSA-N 1 2 318.845 1.497 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001284055208 908404417 /nfs/dbraw/zinc/40/44/17/908404417.db2.gz SXZKWBSQDMHVHR-RBSFLKMASA-N 1 2 318.421 1.954 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H](C)[NH2+]Cc1nc(C2CCC2)no1 ZINC001394468461 909717851 /nfs/dbraw/zinc/71/78/51/909717851.db2.gz QDOZHJXIWZFHSU-MNOVXSKESA-N 1 2 305.382 1.433 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284914427 909722310 /nfs/dbraw/zinc/72/23/10/909722310.db2.gz AQBGDJXOFCPWFW-INIZCTEOSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284914427 909722332 /nfs/dbraw/zinc/72/23/32/909722332.db2.gz AQBGDJXOFCPWFW-INIZCTEOSA-N 1 2 304.394 1.272 20 30 DDEDLO CC[C@H](CNC(=O)C#CC(C)C)NC(=O)CCc1[nH+]ccn1C ZINC001285049634 910001234 /nfs/dbraw/zinc/00/12/34/910001234.db2.gz HWAJGYDOQVUMDA-CQSZACIVSA-N 1 2 318.421 1.023 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](CC)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001285084276 910047174 /nfs/dbraw/zinc/04/71/74/910047174.db2.gz ZFJTXOOFNUNORZ-CHWSQXEVSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](CC)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001285084276 910047189 /nfs/dbraw/zinc/04/71/89/910047189.db2.gz ZFJTXOOFNUNORZ-CHWSQXEVSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)CCn2ccccc2=O)C1 ZINC001373510093 910051019 /nfs/dbraw/zinc/05/10/19/910051019.db2.gz OQOGFHSYJYSYRJ-UHFFFAOYSA-N 1 2 309.797 1.039 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001285188178 910177863 /nfs/dbraw/zinc/17/78/63/910177863.db2.gz SOUSMFQCECRYNF-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](NC(=O)Cn2cc[nH+]c2)C(C)(C)C1 ZINC001286233468 911862472 /nfs/dbraw/zinc/86/24/72/911862472.db2.gz RRSYOJRTTKHMFD-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001286461027 911984478 /nfs/dbraw/zinc/98/44/78/911984478.db2.gz DQKQQJWGHCJXDD-HDJSIYSDSA-N 1 2 318.421 1.496 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001294774367 915356150 /nfs/dbraw/zinc/35/61/50/915356150.db2.gz WBOUXVOWZFGVTN-CYBMUJFWSA-N 1 2 304.394 1.036 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001295519244 915869655 /nfs/dbraw/zinc/86/96/55/915869655.db2.gz WWSHSIHRKSKSGC-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001297112698 916734088 /nfs/dbraw/zinc/73/40/88/916734088.db2.gz UNWZBGFHITWFSI-UHFFFAOYSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001297112698 916734101 /nfs/dbraw/zinc/73/41/01/916734101.db2.gz UNWZBGFHITWFSI-UHFFFAOYSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(CNC(=O)Cc2c[nH+]cn2C)C1 ZINC001297236800 916823808 /nfs/dbraw/zinc/82/38/08/916823808.db2.gz HAEVLRZCFAGXGG-KRWDZBQOSA-N 1 2 318.421 1.140 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]([NH2+]Cc1nnc(C2CC2)o1)C1CC1 ZINC001376199861 917939280 /nfs/dbraw/zinc/93/92/80/917939280.db2.gz NUPPWAFPJNWYED-JOYOIKCWSA-N 1 2 303.366 1.091 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001377230892 920713722 /nfs/dbraw/zinc/71/37/22/920713722.db2.gz JOMCWANVBQRBSC-AWEZNQCLSA-N 1 2 312.772 1.930 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001377230892 920713735 /nfs/dbraw/zinc/71/37/35/920713735.db2.gz JOMCWANVBQRBSC-AWEZNQCLSA-N 1 2 312.772 1.930 20 30 DDEDLO CC(C)c1nsc(C[N@@H+]2CC[C@@H](CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001377436229 921905459 /nfs/dbraw/zinc/90/54/59/921905459.db2.gz JEXGSZZNMQVEPL-RYUDHWBXSA-N 1 2 321.450 1.759 20 30 DDEDLO CC(C)c1nsc(C[N@H+]2CC[C@@H](CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001377436229 921905474 /nfs/dbraw/zinc/90/54/74/921905474.db2.gz JEXGSZZNMQVEPL-RYUDHWBXSA-N 1 2 321.450 1.759 20 30 DDEDLO C=C(Cl)CN1CC[NH+](CCN(C)C(=O)[C@H]2CC23CC3)CC1 ZINC001377733921 922833806 /nfs/dbraw/zinc/83/38/06/922833806.db2.gz QJSGXQBQPNZICY-CQSZACIVSA-N 1 2 311.857 1.615 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CCC(c2[nH+]cc3n2CCCC3)CC1 ZINC000614189432 361742966 /nfs/dbraw/zinc/74/29/66/361742966.db2.gz QEMSGOLBYOTSQQ-QGZVFWFLSA-N 1 2 303.406 1.862 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000181298494 199278165 /nfs/dbraw/zinc/27/81/65/199278165.db2.gz AITHGFFZVGNAKD-ZDUSSCGKSA-N 1 2 309.373 1.800 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000278701306 214337386 /nfs/dbraw/zinc/33/73/86/214337386.db2.gz IJPMTYQHSYIBBB-IIAWOOMASA-N 1 2 301.390 1.758 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000452024737 231273001 /nfs/dbraw/zinc/27/30/01/231273001.db2.gz WFOHATQTGBTDLM-INIZCTEOSA-N 1 2 303.381 1.913 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000452024737 231273005 /nfs/dbraw/zinc/27/30/05/231273005.db2.gz WFOHATQTGBTDLM-INIZCTEOSA-N 1 2 303.381 1.913 20 30 DDEDLO N#Cc1ccc(C(=O)NCC(=O)Nc2c[nH+]c3n2CCCC3)cc1 ZINC000452336997 231349093 /nfs/dbraw/zinc/34/90/93/231349093.db2.gz XWPDPTPOFJVYKJ-UHFFFAOYSA-N 1 2 323.356 1.460 20 30 DDEDLO O=C(NC[C@H](O)C[NH+]1CCOCC1)[C@H]1CCc2ccccc21 ZINC000328797253 539299090 /nfs/dbraw/zinc/29/90/90/539299090.db2.gz DYCGSMDBMTWAIF-HOCLYGCPSA-N 1 2 304.390 1.366 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@H]21 ZINC000329787105 529791058 /nfs/dbraw/zinc/79/10/58/529791058.db2.gz QXPQTGZPOGEBNB-KGLIPLIRSA-N 1 2 304.325 1.258 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@H]21 ZINC000329787105 529791059 /nfs/dbraw/zinc/79/10/59/529791059.db2.gz QXPQTGZPOGEBNB-KGLIPLIRSA-N 1 2 304.325 1.258 20 30 DDEDLO COCCN(CC#N)C(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000457207624 232014044 /nfs/dbraw/zinc/01/40/44/232014044.db2.gz VOTUOAPKXNBYPW-UHFFFAOYSA-N 1 2 322.315 1.622 20 30 DDEDLO C[NH+]1CCN(C(=O)c2cc(NC(=O)NC(C)(C)C)ccn2)CC1 ZINC000330898583 530069512 /nfs/dbraw/zinc/06/95/12/530069512.db2.gz VBFMIYRZQBHCBI-UHFFFAOYSA-N 1 2 319.409 1.403 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)Cc2csc3nccn23)C1 ZINC000330064515 530070132 /nfs/dbraw/zinc/07/01/32/530070132.db2.gz CITCBBXTMVKIGW-LBPRGKRZSA-N 1 2 307.423 1.141 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)Cc2csc3nccn23)C1 ZINC000330064515 530070133 /nfs/dbraw/zinc/07/01/33/530070133.db2.gz CITCBBXTMVKIGW-LBPRGKRZSA-N 1 2 307.423 1.141 20 30 DDEDLO C=CCN(CC=C)C(=O)CN(C)CC[N@@H+]1CCOC(C)(C)C1 ZINC000279768681 215130845 /nfs/dbraw/zinc/13/08/45/215130845.db2.gz SMEMGZXYWCFHAJ-UHFFFAOYSA-N 1 2 309.454 1.230 20 30 DDEDLO C=CCN(CC=C)C(=O)CN(C)CC[N@H+]1CCOC(C)(C)C1 ZINC000279768681 215130846 /nfs/dbraw/zinc/13/08/46/215130846.db2.gz SMEMGZXYWCFHAJ-UHFFFAOYSA-N 1 2 309.454 1.230 20 30 DDEDLO C[C@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CCCO1 ZINC000148137693 186043292 /nfs/dbraw/zinc/04/32/92/186043292.db2.gz FDWTYOSBEGYCST-ZDUSSCGKSA-N 1 2 308.403 1.443 20 30 DDEDLO C[C@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CCCO1 ZINC000148137693 186043295 /nfs/dbraw/zinc/04/32/95/186043295.db2.gz FDWTYOSBEGYCST-ZDUSSCGKSA-N 1 2 308.403 1.443 20 30 DDEDLO N#Cc1nccnc1NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000171026024 197867795 /nfs/dbraw/zinc/86/77/95/197867795.db2.gz BHWXPBGWELCFSM-CYBMUJFWSA-N 1 2 315.402 1.895 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(C(=O)Cc2ccccc2)CC1 ZINC000046069111 352436098 /nfs/dbraw/zinc/43/60/98/352436098.db2.gz MTOVZLKGDGORIK-HNNXBMFYSA-N 1 2 315.417 1.064 20 30 DDEDLO CCCC[N@H+](CC(N)=O)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000048048611 352522588 /nfs/dbraw/zinc/52/25/88/352522588.db2.gz CZUAXVPUDJJURF-UHFFFAOYSA-N 1 2 308.426 1.259 20 30 DDEDLO CCCC[N@@H+](CC(N)=O)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000048048611 352522590 /nfs/dbraw/zinc/52/25/90/352522590.db2.gz CZUAXVPUDJJURF-UHFFFAOYSA-N 1 2 308.426 1.259 20 30 DDEDLO C[N@H+](CC(=O)NCC(=O)NC(C)(C)C)Cc1ccc(C#N)cc1 ZINC000052581028 352618987 /nfs/dbraw/zinc/61/89/87/352618987.db2.gz XRYWOEYREUELMW-UHFFFAOYSA-N 1 2 316.405 1.021 20 30 DDEDLO C[N@@H+](CC(=O)NCC(=O)NC(C)(C)C)Cc1ccc(C#N)cc1 ZINC000052581028 352618993 /nfs/dbraw/zinc/61/89/93/352618993.db2.gz XRYWOEYREUELMW-UHFFFAOYSA-N 1 2 316.405 1.021 20 30 DDEDLO CN1CC[NH+](Cc2cccc(NC(=O)C(C)(C)C#N)c2)CC1 ZINC000057274143 352808922 /nfs/dbraw/zinc/80/89/22/352808922.db2.gz OWWQZWKMMZSRED-UHFFFAOYSA-N 1 2 300.406 1.922 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC(=O)NCCC)Cc1ccc(F)cc1 ZINC000065958478 352971707 /nfs/dbraw/zinc/97/17/07/352971707.db2.gz QGASFECYJFOCMV-UHFFFAOYSA-N 1 2 305.353 1.497 20 30 DDEDLO C#CC[N@H+](CC(=O)NC(=O)NCCC)Cc1ccc(F)cc1 ZINC000065958478 352971712 /nfs/dbraw/zinc/97/17/12/352971712.db2.gz QGASFECYJFOCMV-UHFFFAOYSA-N 1 2 305.353 1.497 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@@H]1c1cccc2c1OCCO2 ZINC000066498218 353001420 /nfs/dbraw/zinc/00/14/20/353001420.db2.gz JXLFNHQPKMKVQE-CYBMUJFWSA-N 1 2 301.346 1.234 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@@H]1c1cccc2c1OCCO2 ZINC000066498218 353001424 /nfs/dbraw/zinc/00/14/24/353001424.db2.gz JXLFNHQPKMKVQE-CYBMUJFWSA-N 1 2 301.346 1.234 20 30 DDEDLO Cn1ccnc1C[NH2+][C@H]1CCN(Cc2ccc(C#N)cc2)C1=O ZINC000277144132 213323333 /nfs/dbraw/zinc/32/33/33/213323333.db2.gz DYJHRYLLLGGGAB-HNNXBMFYSA-N 1 2 309.373 1.182 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)NCCCn2cc[nH+]c2)c1C#N ZINC000174540043 198350131 /nfs/dbraw/zinc/35/01/31/198350131.db2.gz ARQJMYVOTBPKOG-UHFFFAOYSA-N 1 2 311.345 1.208 20 30 DDEDLO CCCC[N@H+](CC(N)=O)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000075639026 353373558 /nfs/dbraw/zinc/37/35/58/353373558.db2.gz NLQYPDASFSLXLO-UHFFFAOYSA-N 1 2 313.361 1.696 20 30 DDEDLO CCCC[N@@H+](CC(N)=O)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000075639026 353373559 /nfs/dbraw/zinc/37/35/59/353373559.db2.gz NLQYPDASFSLXLO-UHFFFAOYSA-N 1 2 313.361 1.696 20 30 DDEDLO N#CCOc1cccc(NC(=O)NCC[NH+]2CCOCC2)c1 ZINC000077748029 353485495 /nfs/dbraw/zinc/48/54/95/353485495.db2.gz GMNIUCJDUIPNDM-UHFFFAOYSA-N 1 2 304.350 1.043 20 30 DDEDLO Cn1cc(NC(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)cn1 ZINC000078241470 353506751 /nfs/dbraw/zinc/50/67/51/353506751.db2.gz AGFJHVPRRRHJBQ-UHFFFAOYSA-N 1 2 324.388 1.641 20 30 DDEDLO N#Cc1ccsc1C(=O)N1CC[NH+]([C@H]2CCSC2)CC1 ZINC000080436442 353607689 /nfs/dbraw/zinc/60/76/89/353607689.db2.gz UUDJWTMDXJOYOU-LBPRGKRZSA-N 1 2 307.444 1.883 20 30 DDEDLO N#Cc1ccc(C(=O)Nc2cccc(C[NH+]3CCOCC3)c2)[nH]1 ZINC000160930062 354242086 /nfs/dbraw/zinc/24/20/86/354242086.db2.gz ROIQYXCGCXMDKE-UHFFFAOYSA-N 1 2 310.357 1.971 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc4c(c3)OCCO4)C[C@H]21 ZINC000329918390 283036142 /nfs/dbraw/zinc/03/61/42/283036142.db2.gz REOOBGWYZINNBD-DOMZBBRYSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc4c(c3)OCCO4)C[C@H]21 ZINC000329918390 283036143 /nfs/dbraw/zinc/03/61/43/283036143.db2.gz REOOBGWYZINNBD-DOMZBBRYSA-N 1 2 319.361 1.018 20 30 DDEDLO C[C@H]1C[C@@]1(C#N)C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000579243162 354717881 /nfs/dbraw/zinc/71/78/81/354717881.db2.gz ABVUUTIRZIJWCH-BBRMVZONSA-N 1 2 312.377 1.195 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[NH+]1CC(C)(C(N)=O)C1 ZINC000579349302 354718329 /nfs/dbraw/zinc/71/83/29/354718329.db2.gz HPATWFYDQJZLJF-CYBMUJFWSA-N 1 2 314.389 1.129 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)NC[C@H]1CCn2cc[nH+]c2C1 ZINC000586809484 354869085 /nfs/dbraw/zinc/86/90/85/354869085.db2.gz RVOSZALGRICNCJ-LBPRGKRZSA-N 1 2 312.348 1.815 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)c3cc(C#N)ccn3)[nH+]c12 ZINC000588630536 354922357 /nfs/dbraw/zinc/92/23/57/354922357.db2.gz XYWNVZDNHWUUEE-UHFFFAOYSA-N 1 2 305.341 1.882 20 30 DDEDLO CC(C)[C@@H](NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1 ZINC000592146333 355511634 /nfs/dbraw/zinc/51/16/34/355511634.db2.gz BQZSKXMUMCLKHV-MSOLQXFVSA-N 1 2 315.417 1.850 20 30 DDEDLO CC(C)[C@@H](NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1 ZINC000592146333 355511640 /nfs/dbraw/zinc/51/16/40/355511640.db2.gz BQZSKXMUMCLKHV-MSOLQXFVSA-N 1 2 315.417 1.850 20 30 DDEDLO CCc1ccccc1NC(=O)[C@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148009 355514367 /nfs/dbraw/zinc/51/43/67/355514367.db2.gz VDGVUJZTAIONSA-GUYCJALGSA-N 1 2 301.390 1.926 20 30 DDEDLO CCc1ccccc1NC(=O)[C@H](C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148009 355514368 /nfs/dbraw/zinc/51/43/68/355514368.db2.gz VDGVUJZTAIONSA-GUYCJALGSA-N 1 2 301.390 1.926 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149764 355516685 /nfs/dbraw/zinc/51/66/85/355516685.db2.gz WDTDWEOEHAFHBY-YGRLFVJLSA-N 1 2 308.769 1.412 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149764 355516688 /nfs/dbraw/zinc/51/66/88/355516688.db2.gz WDTDWEOEHAFHBY-YGRLFVJLSA-N 1 2 308.769 1.412 20 30 DDEDLO COc1ccc(NC(=O)[C@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592149481 355516709 /nfs/dbraw/zinc/51/67/09/355516709.db2.gz PRIWXMPJEHNBRP-LRDDRELGSA-N 1 2 303.362 1.373 20 30 DDEDLO COc1ccc(NC(=O)[C@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592149481 355516712 /nfs/dbraw/zinc/51/67/12/355516712.db2.gz PRIWXMPJEHNBRP-LRDDRELGSA-N 1 2 303.362 1.373 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2nnc(-c3ccc(C#N)cc3)o2)C1 ZINC000592150294 355517309 /nfs/dbraw/zinc/51/73/09/355517309.db2.gz DMFDMXCBWCSOIG-MRXNPFEDSA-N 1 2 309.329 1.459 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2nnc(-c3ccc(C#N)cc3)o2)C1 ZINC000592150294 355517313 /nfs/dbraw/zinc/51/73/13/355517313.db2.gz DMFDMXCBWCSOIG-MRXNPFEDSA-N 1 2 309.329 1.459 20 30 DDEDLO N#Cc1cccc2c1C[N@H+](C[C@@H](O)COC[C@H]1CCCO1)C2 ZINC000593121660 355806495 /nfs/dbraw/zinc/80/64/95/355806495.db2.gz CTIBYLLABMALNT-HZPDHXFCSA-N 1 2 302.374 1.430 20 30 DDEDLO N#Cc1cccc2c1C[N@@H+](C[C@@H](O)COC[C@H]1CCCO1)C2 ZINC000593121660 355806497 /nfs/dbraw/zinc/80/64/97/355806497.db2.gz CTIBYLLABMALNT-HZPDHXFCSA-N 1 2 302.374 1.430 20 30 DDEDLO C[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)[C@@H]1OCCc2sccc21 ZINC000593153062 355812683 /nfs/dbraw/zinc/81/26/83/355812683.db2.gz GWOCKUBXZKYLRV-HZUKXOBISA-N 1 2 321.446 1.958 20 30 DDEDLO CC[C@@H](C#N)C(=O)NCC[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000593404422 355875351 /nfs/dbraw/zinc/87/53/51/355875351.db2.gz VOQIHPDUKJTTMR-AWEZNQCLSA-N 1 2 318.396 1.614 20 30 DDEDLO COCCOCC[NH+]1CCN(c2ncc(C#N)cc2Cl)CC1 ZINC000593519305 355911485 /nfs/dbraw/zinc/91/14/85/355911485.db2.gz ZQUILYIFFJUIAL-UHFFFAOYSA-N 1 2 324.812 1.392 20 30 DDEDLO COCCOCCN1CC[NH+](Cc2cc(C#N)cs2)CC1 ZINC000593695546 355969320 /nfs/dbraw/zinc/96/93/20/355969320.db2.gz QLUDPACSALXTCF-UHFFFAOYSA-N 1 2 309.435 1.400 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+]([C@H]2CC(=O)N(c3cccc(O)c3)C2=O)C1 ZINC000594659588 356277957 /nfs/dbraw/zinc/27/79/57/356277957.db2.gz GCZMILDVANSMNQ-DOMZBBRYSA-N 1 2 313.357 1.650 20 30 DDEDLO N#CC[C@H]1CCC[N@H+]([C@H]2CC(=O)N(c3cccc(O)c3)C2=O)C1 ZINC000594659588 356277961 /nfs/dbraw/zinc/27/79/61/356277961.db2.gz GCZMILDVANSMNQ-DOMZBBRYSA-N 1 2 313.357 1.650 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(c2nnc(C3CC3)o2)CC1 ZINC000329284916 222958079 /nfs/dbraw/zinc/95/80/79/222958079.db2.gz LQEAHXKBAKZDAG-JTQLQIEISA-N 1 2 305.382 1.576 20 30 DDEDLO C[C@]1(C#N)CCN(C(=O)C(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)C1 ZINC000595150607 356393740 /nfs/dbraw/zinc/39/37/40/356393740.db2.gz MCZSKAKZPAIGQK-BLLLJJGKSA-N 1 2 315.377 1.225 20 30 DDEDLO Cn1c[nH+]c(CN2CCN([C@@H](C#N)c3ccc(F)cc3)CC2)c1 ZINC000595423863 356486290 /nfs/dbraw/zinc/48/62/90/356486290.db2.gz DAOYYEUFHUUDLJ-KRWDZBQOSA-N 1 2 313.380 1.942 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N[C@H](C)c1[nH+]ccn1C ZINC000595384548 356474132 /nfs/dbraw/zinc/47/41/32/356474132.db2.gz XGIDCUUVHSVDGH-SNVBAGLBSA-N 1 2 320.374 1.340 20 30 DDEDLO N#CCCN(C(=O)CN1CCn2c[nH+]cc2C1)c1ccccc1 ZINC000595493258 356516839 /nfs/dbraw/zinc/51/68/39/356516839.db2.gz FJJRQCIJNILGQF-UHFFFAOYSA-N 1 2 309.373 1.646 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)NCCc1ccc(C#N)cc1 ZINC000595552387 356546586 /nfs/dbraw/zinc/54/65/86/356546586.db2.gz UQSRQWMEIGJZDC-AWEZNQCLSA-N 1 2 316.405 1.121 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)NCCc1ccc(C#N)cc1 ZINC000595552387 356546591 /nfs/dbraw/zinc/54/65/91/356546591.db2.gz UQSRQWMEIGJZDC-AWEZNQCLSA-N 1 2 316.405 1.121 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3ccsc3C#N)CC2)C1=O ZINC000595629120 356584771 /nfs/dbraw/zinc/58/47/71/356584771.db2.gz CPQGDHPFLYNEOU-AWEZNQCLSA-N 1 2 316.430 1.529 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595631185 356585718 /nfs/dbraw/zinc/58/57/18/356585718.db2.gz ATXBYFYBCZZKQP-ZDUSSCGKSA-N 1 2 320.462 1.999 20 30 DDEDLO CN(C)C(=O)Cc1nc(C[NH2+][C@H]2CCCC[C@H]2C#N)cs1 ZINC000595690267 356611251 /nfs/dbraw/zinc/61/12/51/356611251.db2.gz ATSXUIVVLOZUAN-AAEUAGOBSA-N 1 2 306.435 1.946 20 30 DDEDLO C[C@]1(C#N)CC[N@H+](CC(=O)NCCCOc2ccccc2F)C1 ZINC000595837524 356672748 /nfs/dbraw/zinc/67/27/48/356672748.db2.gz IGSCUMBHNTXWTL-QGZVFWFLSA-N 1 2 319.380 1.946 20 30 DDEDLO C[C@]1(C#N)CC[N@@H+](CC(=O)NCCCOc2ccccc2F)C1 ZINC000595837524 356672749 /nfs/dbraw/zinc/67/27/49/356672749.db2.gz IGSCUMBHNTXWTL-QGZVFWFLSA-N 1 2 319.380 1.946 20 30 DDEDLO C[C@H]([NH2+]CCS(=O)(=O)c1cccc(C#N)c1)c1nccn1C ZINC000596069580 356761007 /nfs/dbraw/zinc/76/10/07/356761007.db2.gz MSTQRFLVVQEXOZ-LBPRGKRZSA-N 1 2 318.402 1.416 20 30 DDEDLO CCc1nnc(NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)c(C#N)c1CC ZINC000596433430 356878680 /nfs/dbraw/zinc/87/86/80/356878680.db2.gz VOAQBVPSADPKKI-CHWSQXEVSA-N 1 2 317.437 1.994 20 30 DDEDLO CCc1nnc(NCC[N@H+]2C[C@@H](C)OC[C@H]2C)c(C#N)c1CC ZINC000596433430 356878681 /nfs/dbraw/zinc/87/86/81/356878681.db2.gz VOAQBVPSADPKKI-CHWSQXEVSA-N 1 2 317.437 1.994 20 30 DDEDLO COC(=O)c1ccc(NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)c(C#N)n1 ZINC000596434030 356879750 /nfs/dbraw/zinc/87/97/50/356879750.db2.gz WRKLHCLBQSVXOY-NWDGAFQWSA-N 1 2 318.377 1.261 20 30 DDEDLO COC(=O)c1ccc(NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)c(C#N)n1 ZINC000596434030 356879752 /nfs/dbraw/zinc/87/97/52/356879752.db2.gz WRKLHCLBQSVXOY-NWDGAFQWSA-N 1 2 318.377 1.261 20 30 DDEDLO N#CC1(CNC(=O)N(CC[NH+]2CCOCC2)CC2CC2)CC1 ZINC000596587963 356925534 /nfs/dbraw/zinc/92/55/34/356925534.db2.gz ZPWUECNASBGGHN-UHFFFAOYSA-N 1 2 306.410 1.044 20 30 DDEDLO C[C@H](CC#N)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000596813345 356983229 /nfs/dbraw/zinc/98/32/29/356983229.db2.gz LHMXEFNMPVWDAW-OLZOCXBDSA-N 1 2 302.378 1.473 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@@H]2CCc3[nH+]c[nH]c3C2)CC1 ZINC000597050578 357049055 /nfs/dbraw/zinc/04/90/55/357049055.db2.gz WXRUCMOEFQLQEV-CZUORRHYSA-N 1 2 315.421 1.207 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@@H]2CCc3[nH]c[nH+]c3C2)CC1 ZINC000597050578 357049058 /nfs/dbraw/zinc/04/90/58/357049058.db2.gz WXRUCMOEFQLQEV-CZUORRHYSA-N 1 2 315.421 1.207 20 30 DDEDLO CO[C@@H]1C[N@@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C[C@@H]1OC ZINC000276865475 213142638 /nfs/dbraw/zinc/14/26/38/213142638.db2.gz KYEWAWHGTUEEFY-TUKIKUTGSA-N 1 2 303.362 1.231 20 30 DDEDLO CO[C@@H]1C[N@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C[C@@H]1OC ZINC000276865475 213142639 /nfs/dbraw/zinc/14/26/39/213142639.db2.gz KYEWAWHGTUEEFY-TUKIKUTGSA-N 1 2 303.362 1.231 20 30 DDEDLO N#CC1(CC(=O)NC[C@H]2CCC[N@H+](Cc3ncon3)C2)CC1 ZINC000597640189 357265067 /nfs/dbraw/zinc/26/50/67/357265067.db2.gz IFIJJFQIEXIAIN-GFCCVEGCSA-N 1 2 303.366 1.092 20 30 DDEDLO N#CC1(CC(=O)NC[C@H]2CCC[N@@H+](Cc3ncon3)C2)CC1 ZINC000597640189 357265069 /nfs/dbraw/zinc/26/50/69/357265069.db2.gz IFIJJFQIEXIAIN-GFCCVEGCSA-N 1 2 303.366 1.092 20 30 DDEDLO CC[N@@H+]1CCc2nc3ccccc3c(C(=O)N[C@H](C#N)CO)c2C1 ZINC000597739529 357311969 /nfs/dbraw/zinc/31/19/69/357311969.db2.gz AXPOOACELAWCCG-GFCCVEGCSA-N 1 2 324.384 1.227 20 30 DDEDLO CC[N@H+]1CCc2nc3ccccc3c(C(=O)N[C@H](C#N)CO)c2C1 ZINC000597739529 357311971 /nfs/dbraw/zinc/31/19/71/357311971.db2.gz AXPOOACELAWCCG-GFCCVEGCSA-N 1 2 324.384 1.227 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)COc2ccccc2C#N)[C@H](C)CO1 ZINC000597999859 357415229 /nfs/dbraw/zinc/41/52/29/357415229.db2.gz SPKWSTKJIADBTC-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)COc2ccccc2C#N)[C@H](C)CO1 ZINC000597999859 357415233 /nfs/dbraw/zinc/41/52/33/357415233.db2.gz SPKWSTKJIADBTC-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(Cl)cn1)[N@@H+]1CCN2CCOC[C@H]2C1 ZINC000329642171 223007689 /nfs/dbraw/zinc/00/76/89/223007689.db2.gz ADFJIWAHSKCVRM-WCQYABFASA-N 1 2 324.812 1.728 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(Cl)cn1)[N@H+]1CCN2CCOC[C@H]2C1 ZINC000329642171 223007692 /nfs/dbraw/zinc/00/76/92/223007692.db2.gz ADFJIWAHSKCVRM-WCQYABFASA-N 1 2 324.812 1.728 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@@H](NC(=O)N[C@@H]2CCCOC2)CCO1 ZINC000329737328 223020748 /nfs/dbraw/zinc/02/07/48/223020748.db2.gz MBBJWXYVFXPLKU-SCRDCRAPSA-N 1 2 308.382 1.323 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000329725835 223019094 /nfs/dbraw/zinc/01/90/94/223019094.db2.gz DOGGRYMGKKCQBN-XUXIUFHCSA-N 1 2 304.394 1.377 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N[C@H]2CCn3c[nH+]cc3C2)c(F)c1 ZINC000598949581 357772098 /nfs/dbraw/zinc/77/20/98/357772098.db2.gz WTBJVZDHEPOMIS-JTQLQIEISA-N 1 2 302.284 1.778 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@H]1CCn2c[nH+]cc2C1 ZINC000598950833 357774300 /nfs/dbraw/zinc/77/43/00/357774300.db2.gz UEUHOJJDRWLRPP-JSGCOSHPSA-N 1 2 310.357 1.653 20 30 DDEDLO C[C@@H](NC(=O)NC[C@@H]1COCC[N@@H+]1C)c1ccc(C#N)cc1 ZINC000598977909 357780992 /nfs/dbraw/zinc/78/09/92/357780992.db2.gz PUILKNWZTVLDES-IUODEOHRSA-N 1 2 302.378 1.249 20 30 DDEDLO C[C@@H](NC(=O)NC[C@@H]1COCC[N@H+]1C)c1ccc(C#N)cc1 ZINC000598977909 357780996 /nfs/dbraw/zinc/78/09/96/357780996.db2.gz PUILKNWZTVLDES-IUODEOHRSA-N 1 2 302.378 1.249 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(CC(=O)NC(C)C)CC2)cc1C#N ZINC000599259467 357865378 /nfs/dbraw/zinc/86/53/78/357865378.db2.gz VSFZKHGLBMNTEF-UHFFFAOYSA-N 1 2 314.433 1.509 20 30 DDEDLO Cc1ccc(CN2CC[NH+](CC(=O)NC(C)C)CC2)cc1C#N ZINC000599259467 357865382 /nfs/dbraw/zinc/86/53/82/357865382.db2.gz VSFZKHGLBMNTEF-UHFFFAOYSA-N 1 2 314.433 1.509 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCC[C@@H](c3ccn[nH]3)C2)CCOCC1 ZINC000599264949 357868352 /nfs/dbraw/zinc/86/83/52/357868352.db2.gz FVNUFTAHHVHLNT-HUUCEWRRSA-N 1 2 318.421 1.660 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCC[C@@H](c3ccn[nH]3)C2)CCOCC1 ZINC000599264949 357868356 /nfs/dbraw/zinc/86/83/56/357868356.db2.gz FVNUFTAHHVHLNT-HUUCEWRRSA-N 1 2 318.421 1.660 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]C[C@@H](O)COc2ccccc2C#N)o1 ZINC000599372567 357914039 /nfs/dbraw/zinc/91/40/39/357914039.db2.gz WUMAWJRFNNJAOC-GXFFZTMASA-N 1 2 302.334 1.340 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3[NH+](C)C)cc1C#N ZINC000599988553 358097257 /nfs/dbraw/zinc/09/72/57/358097257.db2.gz HTSQBVVFCCXNAF-GDNZZTSVSA-N 1 2 318.402 1.013 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2ccccc2CC#N)CC1 ZINC000601147241 358421508 /nfs/dbraw/zinc/42/15/08/358421508.db2.gz JZUCOQSDNLIVKI-UHFFFAOYSA-N 1 2 301.390 1.547 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)C1(C#N)CC2(CC2)C1 ZINC000601575605 358608134 /nfs/dbraw/zinc/60/81/34/358608134.db2.gz VSQCSHSNXDHMHM-UONOGXRCSA-N 1 2 303.406 1.392 20 30 DDEDLO C[C@H](CNC(=O)C1(C#N)CC2(CC2)C1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000601657444 358641197 /nfs/dbraw/zinc/64/11/97/358641197.db2.gz NAFXFBCRGVDYBI-MCIONIFRSA-N 1 2 305.422 1.684 20 30 DDEDLO C[C@H](CNC(=O)C1(C#N)CC2(CC2)C1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000601657444 358641198 /nfs/dbraw/zinc/64/11/98/358641198.db2.gz NAFXFBCRGVDYBI-MCIONIFRSA-N 1 2 305.422 1.684 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)C1(C#N)CC2(CC2)C1 ZINC000601534832 358591969 /nfs/dbraw/zinc/59/19/69/358591969.db2.gz MDEZBNJPYSMCQP-UHFFFAOYSA-N 1 2 305.422 1.640 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)C1(C#N)CC2(CC2)C1 ZINC000601534832 358591972 /nfs/dbraw/zinc/59/19/72/358591972.db2.gz MDEZBNJPYSMCQP-UHFFFAOYSA-N 1 2 305.422 1.640 20 30 DDEDLO Cc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)sn1 ZINC000602487625 359011091 /nfs/dbraw/zinc/01/10/91/359011091.db2.gz VBWWFPUZVQDLIS-LBPRGKRZSA-N 1 2 314.418 1.824 20 30 DDEDLO Cc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)sn1 ZINC000602487625 359011093 /nfs/dbraw/zinc/01/10/93/359011093.db2.gz VBWWFPUZVQDLIS-LBPRGKRZSA-N 1 2 314.418 1.824 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C(=O)OC)cs2)C1=O ZINC000602648342 359098748 /nfs/dbraw/zinc/09/87/48/359098748.db2.gz PKPDIPRODIXWFT-CYBMUJFWSA-N 1 2 308.403 1.753 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C(=O)OC)cs2)C1=O ZINC000602648342 359098756 /nfs/dbraw/zinc/09/87/56/359098756.db2.gz PKPDIPRODIXWFT-CYBMUJFWSA-N 1 2 308.403 1.753 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)NC2CCCCCC2)C[C@H](C)N1CC#N ZINC000602854621 359240916 /nfs/dbraw/zinc/24/09/16/359240916.db2.gz CIOZIEQCZJLBJD-GJZGRUSLSA-N 1 2 306.454 1.744 20 30 DDEDLO C[C@@H]1C[NH+]([C@H]2CCN(CC(F)(F)F)C2=O)C[C@@H](C)N1CC#N ZINC000602855535 359241426 /nfs/dbraw/zinc/24/14/26/359241426.db2.gz HVQWMHBUBQUWCG-UTUOFQBUSA-N 1 2 318.343 1.068 20 30 DDEDLO CCN(C(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1)c1ccccc1 ZINC000602856618 359241855 /nfs/dbraw/zinc/24/18/55/359241855.db2.gz LRJHYKDRLMFOBK-HZPDHXFCSA-N 1 2 314.433 1.958 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)NC[C@H]1CCN(c2ccccc2)C1 ZINC000602865066 359249747 /nfs/dbraw/zinc/24/97/47/359249747.db2.gz VWYGBEYZBJHYSG-LSDHHAIUSA-N 1 2 300.406 1.521 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)N(C)CCCOc1ccc(F)cc1 ZINC000602865467 359249904 /nfs/dbraw/zinc/24/99/04/359249904.db2.gz YFDXNRAJAXQSTK-ZDUSSCGKSA-N 1 2 307.369 1.945 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@@H+]1CCOC ZINC000188518669 200260894 /nfs/dbraw/zinc/26/08/94/200260894.db2.gz WZLSTLKEKXXKCI-OAHLLOKOSA-N 1 2 317.389 1.447 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@H+]1CCOC ZINC000188518669 200260896 /nfs/dbraw/zinc/26/08/96/200260896.db2.gz WZLSTLKEKXXKCI-OAHLLOKOSA-N 1 2 317.389 1.447 20 30 DDEDLO C=CCN(C)C(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000624937300 366626753 /nfs/dbraw/zinc/62/67/53/366626753.db2.gz URVGUYFKIUTXOY-OAHLLOKOSA-N 1 2 304.394 1.110 20 30 DDEDLO C=CCN(C)C(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000624937300 366626761 /nfs/dbraw/zinc/62/67/61/366626761.db2.gz URVGUYFKIUTXOY-OAHLLOKOSA-N 1 2 304.394 1.110 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCCc2nn(C)cc21)[NH+]1CCOCC1 ZINC000329856911 223039433 /nfs/dbraw/zinc/03/94/33/223039433.db2.gz YSBBVICAEPNVTD-OLZOCXBDSA-N 1 2 306.410 1.517 20 30 DDEDLO O=C(NCc1nccn1C(F)F)NC[C@@H]1CCc2[nH+]ccn2C1 ZINC000329949829 223052378 /nfs/dbraw/zinc/05/23/78/223052378.db2.gz LQIZTWAJZDOPLG-JTQLQIEISA-N 1 2 324.335 1.741 20 30 DDEDLO C[C@H]1OCC[C@@]12C[N@H+](CC(=O)NC1(C#N)CCCCC1)CCO2 ZINC000369406775 291222684 /nfs/dbraw/zinc/22/26/84/291222684.db2.gz CTOBTMYOUBVXIE-RHSMWYFYSA-N 1 2 321.421 1.209 20 30 DDEDLO C[C@H]1OCC[C@@]12C[N@@H+](CC(=O)NC1(C#N)CCCCC1)CCO2 ZINC000369406775 291222688 /nfs/dbraw/zinc/22/26/88/291222688.db2.gz CTOBTMYOUBVXIE-RHSMWYFYSA-N 1 2 321.421 1.209 20 30 DDEDLO Cc1cc(N2CCN(c3oc(C4CC4)nc3C#N)CC2)nc[nH+]1 ZINC000609560111 360324311 /nfs/dbraw/zinc/32/43/11/360324311.db2.gz WRSBHJJVSXUJAM-UHFFFAOYSA-N 1 2 310.361 1.849 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@H+](C)CCOc1ccc(C#N)cc1 ZINC000610828952 360546449 /nfs/dbraw/zinc/54/64/49/360546449.db2.gz NYQUOZJSQMLBSF-MRXNPFEDSA-N 1 2 320.389 1.835 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@@H+](C)CCOc1ccc(C#N)cc1 ZINC000610828952 360546453 /nfs/dbraw/zinc/54/64/53/360546453.db2.gz NYQUOZJSQMLBSF-MRXNPFEDSA-N 1 2 320.389 1.835 20 30 DDEDLO CCOC[C@H](C(=O)OC)[N@H+](C)CCOc1ccc(C#N)cc1 ZINC000610999051 360596814 /nfs/dbraw/zinc/59/68/14/360596814.db2.gz NWKQHGQFAVHFGU-OAHLLOKOSA-N 1 2 306.362 1.447 20 30 DDEDLO CCOC[C@H](C(=O)OC)[N@@H+](C)CCOc1ccc(C#N)cc1 ZINC000610999051 360596820 /nfs/dbraw/zinc/59/68/20/360596820.db2.gz NWKQHGQFAVHFGU-OAHLLOKOSA-N 1 2 306.362 1.447 20 30 DDEDLO C[C@@H](C(=O)NC1CCC(C)CC1)[NH+]1CCN(CCC#N)CC1 ZINC000611173981 360646725 /nfs/dbraw/zinc/64/67/25/360646725.db2.gz UBOUQRMZXMAMAE-PCKAHOCUSA-N 1 2 306.454 1.601 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCN(CCC#N)CC2)c(F)c1 ZINC000611174667 360648253 /nfs/dbraw/zinc/64/82/53/360648253.db2.gz KJSGJSOOMMQAPM-UHFFFAOYSA-N 1 2 305.353 1.644 20 30 DDEDLO COc1ccc(C[N@H+](C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)cn1 ZINC000611209127 360660297 /nfs/dbraw/zinc/66/02/97/360660297.db2.gz GOKOQCGPDSXMQH-SUMWQHHRSA-N 1 2 318.421 1.965 20 30 DDEDLO COc1ccc(C[N@@H+](C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)cn1 ZINC000611209127 360660299 /nfs/dbraw/zinc/66/02/99/360660299.db2.gz GOKOQCGPDSXMQH-SUMWQHHRSA-N 1 2 318.421 1.965 20 30 DDEDLO CO[C@@H]1CN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)C[C@@H]1OC ZINC000330170096 223082367 /nfs/dbraw/zinc/08/23/67/223082367.db2.gz DMJDBILICSUBPL-RWMBFGLXSA-N 1 2 308.382 1.020 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)N1CC2(C1)CCOCC2 ZINC000330187005 223085258 /nfs/dbraw/zinc/08/52/58/223085258.db2.gz SODDXIIQHMRLCC-UHFFFAOYSA-N 1 2 318.421 1.788 20 30 DDEDLO C[C@]1(C#N)CCCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000612145628 360933872 /nfs/dbraw/zinc/93/38/72/360933872.db2.gz MGCBHCSXUADFDB-RHSMWYFYSA-N 1 2 313.405 1.996 20 30 DDEDLO CSc1c(C(=O)NCC[N@@H+]2CCOCC2(C)C)cnn1C ZINC000331081469 223184215 /nfs/dbraw/zinc/18/42/15/223184215.db2.gz AOIVOINRXVKSFL-UHFFFAOYSA-N 1 2 312.439 1.557 20 30 DDEDLO CSc1c(C(=O)NCC[N@H+]2CCOCC2(C)C)cnn1C ZINC000331081469 223184218 /nfs/dbraw/zinc/18/42/18/223184218.db2.gz AOIVOINRXVKSFL-UHFFFAOYSA-N 1 2 312.439 1.557 20 30 DDEDLO COCC[C@H](C)NC(=O)N[C@@H]1CCO[C@H](c2c[nH+]cn2C)C1 ZINC000331207256 223193186 /nfs/dbraw/zinc/19/31/86/223193186.db2.gz UQJXFEWKPWDFRK-SCRDCRAPSA-N 1 2 310.398 1.569 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)[C@H]1CCCN1c1ccc(C#N)cc1 ZINC000614022920 361663362 /nfs/dbraw/zinc/66/33/62/361663362.db2.gz RTBDFBKCAZXRQU-QGZVFWFLSA-N 1 2 323.400 1.619 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@@H](CNC(=O)c2ccccc2)C1 ZINC000619718110 364129394 /nfs/dbraw/zinc/12/93/94/364129394.db2.gz ADMLJIBCIWRQPI-HOCLYGCPSA-N 1 2 316.401 1.856 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@@H](CNC(=O)c2ccccc2)C1 ZINC000619718110 364129395 /nfs/dbraw/zinc/12/93/95/364129395.db2.gz ADMLJIBCIWRQPI-HOCLYGCPSA-N 1 2 316.401 1.856 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N(CC[NH+]1CCOCC1)CC1CC1)OCC ZINC000619886877 364177081 /nfs/dbraw/zinc/17/70/81/364177081.db2.gz CXCQXPIGQRWOMM-QGZVFWFLSA-N 1 2 324.465 1.929 20 30 DDEDLO C[C@@H](CC#N)N(C)C(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000343271435 223318985 /nfs/dbraw/zinc/31/89/85/223318985.db2.gz QVBBSSPIYJOEBL-ZDUSSCGKSA-N 1 2 302.378 1.288 20 30 DDEDLO C[C@H]([NH2+]CC1(C#N)CC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000343578346 223323370 /nfs/dbraw/zinc/32/33/70/223323370.db2.gz FOWBNJRJGWKZPF-LBPRGKRZSA-N 1 2 307.419 1.891 20 30 DDEDLO C[C@@H](CN(C)C(=O)CCn1cc[nH+]c1)Nc1ccc(C#N)cn1 ZINC001120462172 781960664 /nfs/dbraw/zinc/96/06/64/781960664.db2.gz WRPUEFFYWRZQCL-ZDUSSCGKSA-N 1 2 312.377 1.499 20 30 DDEDLO COCC[N@H+](CC(=O)N(C)C)Cc1ccc(C#N)c(OC)c1 ZINC000282477251 217025139 /nfs/dbraw/zinc/02/51/39/217025139.db2.gz WSKCVPUFDIWSLR-UHFFFAOYSA-N 1 2 305.378 1.103 20 30 DDEDLO COCC[N@@H+](CC(=O)N(C)C)Cc1ccc(C#N)c(OC)c1 ZINC000282477251 217025143 /nfs/dbraw/zinc/02/51/43/217025143.db2.gz WSKCVPUFDIWSLR-UHFFFAOYSA-N 1 2 305.378 1.103 20 30 DDEDLO CCC[N@H+](Cc1ccc(C#N)cc1OC)[C@H]1CC(=O)N(C)C1=O ZINC000282576213 217098960 /nfs/dbraw/zinc/09/89/60/217098960.db2.gz VNBPGUSFNORNKT-AWEZNQCLSA-N 1 2 315.373 1.536 20 30 DDEDLO CCC[N@@H+](Cc1ccc(C#N)cc1OC)[C@H]1CC(=O)N(C)C1=O ZINC000282576213 217098963 /nfs/dbraw/zinc/09/89/63/217098963.db2.gz VNBPGUSFNORNKT-AWEZNQCLSA-N 1 2 315.373 1.536 20 30 DDEDLO CC(=O)[C@@H](NC(=O)C[N@H+](C)Cc1cccc(C#N)c1)C(C)C ZINC000106054287 194182968 /nfs/dbraw/zinc/18/29/68/194182968.db2.gz SMANCBXRGMBZNU-KRWDZBQOSA-N 1 2 301.390 1.720 20 30 DDEDLO CC(=O)[C@@H](NC(=O)C[N@@H+](C)Cc1cccc(C#N)c1)C(C)C ZINC000106054287 194182970 /nfs/dbraw/zinc/18/29/70/194182970.db2.gz SMANCBXRGMBZNU-KRWDZBQOSA-N 1 2 301.390 1.720 20 30 DDEDLO CC[C@@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1O ZINC000341016572 251338358 /nfs/dbraw/zinc/33/83/58/251338358.db2.gz SKEWXOBOFLEVNF-HIFRSBDPSA-N 1 2 308.403 1.035 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1O ZINC000341016572 251338361 /nfs/dbraw/zinc/33/83/61/251338361.db2.gz SKEWXOBOFLEVNF-HIFRSBDPSA-N 1 2 308.403 1.035 20 30 DDEDLO COCC[NH+]1CCN(C(=O)Nc2c(C)cc(C)nc2C)CC1 ZINC000331316680 532961701 /nfs/dbraw/zinc/96/17/01/532961701.db2.gz JJLATBQOFIFHAY-UHFFFAOYSA-N 1 2 306.410 1.816 20 30 DDEDLO CC[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@@H](C)[S@]1=O ZINC000567793147 304242680 /nfs/dbraw/zinc/24/26/80/304242680.db2.gz CBNMEOIZLXEWHX-AVKZDSLQSA-N 1 2 316.430 1.937 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)C[C@H]2CCOC2)nc[nH+]1 ZINC000329819709 533049572 /nfs/dbraw/zinc/04/95/72/533049572.db2.gz PGACRJIVSGPVKD-CHWSQXEVSA-N 1 2 319.409 1.870 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)C[C@H]2CCOC2)[nH+]cn1 ZINC000329819709 533049576 /nfs/dbraw/zinc/04/95/76/533049576.db2.gz PGACRJIVSGPVKD-CHWSQXEVSA-N 1 2 319.409 1.870 20 30 DDEDLO COc1cc(C[N@@H+]2CCO[C@]3(CCCOC3)C2)ccc1C#N ZINC000289657815 221133858 /nfs/dbraw/zinc/13/38/58/221133858.db2.gz YJMUBMGFSMHFAD-QGZVFWFLSA-N 1 2 302.374 1.948 20 30 DDEDLO COc1cc(C[N@H+]2CCO[C@]3(CCCOC3)C2)ccc1C#N ZINC000289657815 221133861 /nfs/dbraw/zinc/13/38/61/221133861.db2.gz YJMUBMGFSMHFAD-QGZVFWFLSA-N 1 2 302.374 1.948 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCO[C@H](C2CC2)C1 ZINC000332960584 533238948 /nfs/dbraw/zinc/23/89/48/533238948.db2.gz DORUWZOCKYRVBY-ZFWWWQNUSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCO[C@H](C2CC2)C1 ZINC000332960584 533238961 /nfs/dbraw/zinc/23/89/61/533238961.db2.gz DORUWZOCKYRVBY-ZFWWWQNUSA-N 1 2 305.422 1.828 20 30 DDEDLO COC(=O)C1CC[NH+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000330440555 533297999 /nfs/dbraw/zinc/29/79/99/533297999.db2.gz UKFDRGSSGDWTPR-WBMJQRKESA-N 1 2 309.410 1.314 20 30 DDEDLO C[C@@H](C(=O)Nc1ncccn1)[N@@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000330124520 533361109 /nfs/dbraw/zinc/36/11/09/533361109.db2.gz LITYNDRLYPCCIO-DVOMOZLQSA-N 1 2 320.393 1.723 20 30 DDEDLO C[C@@H](C(=O)Nc1ncccn1)[N@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000330124520 533361112 /nfs/dbraw/zinc/36/11/12/533361112.db2.gz LITYNDRLYPCCIO-DVOMOZLQSA-N 1 2 320.393 1.723 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](O)C(C)(C)C1 ZINC000446077475 533366075 /nfs/dbraw/zinc/36/60/75/533366075.db2.gz JWZVXEKIXHUQOS-GJZGRUSLSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](O)C(C)(C)C1 ZINC000446077475 533366077 /nfs/dbraw/zinc/36/60/77/533366077.db2.gz JWZVXEKIXHUQOS-GJZGRUSLSA-N 1 2 302.374 1.865 20 30 DDEDLO C#CCCOc1ccc(C[NH+]2CCN(C(=O)COC)CC2)cc1 ZINC000128702993 407564994 /nfs/dbraw/zinc/56/49/94/407564994.db2.gz GFKKYDWIUPYHRB-UHFFFAOYSA-N 1 2 316.401 1.379 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[N@@H+](C)C(C)(C)C1 ZINC000070432358 406814965 /nfs/dbraw/zinc/81/49/65/406814965.db2.gz MIDPOJUDTKHGRZ-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[N@H+](C)C(C)(C)C1 ZINC000070432358 406814967 /nfs/dbraw/zinc/81/49/67/406814967.db2.gz MIDPOJUDTKHGRZ-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO C[NH+](C)C1(C/N=C/c2cc([N+](=O)[O-])ccc2O)CCOCC1 ZINC000072613707 406884256 /nfs/dbraw/zinc/88/42/56/406884256.db2.gz JKAPWBFEHUGPHM-MHWRWJLKSA-N 1 2 307.350 1.830 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(C(=O)C(C)(C)C)CC1 ZINC000075636217 406938397 /nfs/dbraw/zinc/93/83/97/406938397.db2.gz IXBZHAJZMZFVJL-HNNXBMFYSA-N 1 2 321.465 1.766 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000078269191 407022849 /nfs/dbraw/zinc/02/28/49/407022849.db2.gz XTCJCKRELSYAGU-PBHICJAKSA-N 1 2 312.417 1.536 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)c2ccc(Br)cc2)CC1 ZINC000037845983 406994299 /nfs/dbraw/zinc/99/42/99/406994299.db2.gz UPCBZCUSUWCVQC-UHFFFAOYSA-N 1 2 307.191 1.840 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N(C)Cc1ccc(C#N)cc1 ZINC000046480571 407060857 /nfs/dbraw/zinc/06/08/57/407060857.db2.gz QYUNSEUWBVBZLC-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccccc2Cl)CC1 ZINC000046551497 407062022 /nfs/dbraw/zinc/06/20/22/407062022.db2.gz WSFNVJGXUYZZGQ-CYBMUJFWSA-N 1 2 305.809 1.600 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+]1CCNC(=O)CC1 ZINC000054867908 407190371 /nfs/dbraw/zinc/19/03/71/407190371.db2.gz UADLEBBJHCVNHG-AWEZNQCLSA-N 1 2 314.389 1.144 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@H+]1CCNC(=O)CC1 ZINC000054867908 407190373 /nfs/dbraw/zinc/19/03/73/407190373.db2.gz UADLEBBJHCVNHG-AWEZNQCLSA-N 1 2 314.389 1.144 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000093082120 407194777 /nfs/dbraw/zinc/19/47/77/407194777.db2.gz CYUHXPSVRUOQPQ-MRXNPFEDSA-N 1 2 318.373 1.743 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000093082120 407194779 /nfs/dbraw/zinc/19/47/79/407194779.db2.gz CYUHXPSVRUOQPQ-MRXNPFEDSA-N 1 2 318.373 1.743 20 30 DDEDLO CCc1ccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)cc1 ZINC000060633806 407225845 /nfs/dbraw/zinc/22/58/45/407225845.db2.gz JXNFYBPYXYPDCS-UHFFFAOYSA-N 1 2 315.417 1.937 20 30 DDEDLO N#CCNC(=O)c1ccc(S(=O)(=O)Nc2cc[nH+]cc2)cc1 ZINC000126764076 407421038 /nfs/dbraw/zinc/42/10/38/407421038.db2.gz RJAWGEQQDVUHNM-UHFFFAOYSA-N 1 2 316.342 1.136 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCN(CC(F)(F)F)CC1 ZINC000112731199 407438401 /nfs/dbraw/zinc/43/84/01/407438401.db2.gz IHFNGLUDESTWOZ-UHFFFAOYSA-N 1 2 320.359 1.319 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCN(CC(F)(F)F)CC1 ZINC000112731199 407438402 /nfs/dbraw/zinc/43/84/02/407438402.db2.gz IHFNGLUDESTWOZ-UHFFFAOYSA-N 1 2 320.359 1.319 20 30 DDEDLO COC(=O)c1cc(C[NH+]2CCC(C#N)CC2)cc(C(=O)OC)c1 ZINC000271085781 407508476 /nfs/dbraw/zinc/50/84/76/407508476.db2.gz ZLGBKLZHDHPQSW-UHFFFAOYSA-N 1 2 316.357 1.995 20 30 DDEDLO Cc1cc(C#N)ccc1C[NH+]1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000186165666 407592033 /nfs/dbraw/zinc/59/20/33/407592033.db2.gz QHBFAFRPPHIPQY-QGZVFWFLSA-N 1 2 313.401 1.690 20 30 DDEDLO C[NH+](C)[C@@H](CNC(=O)CSCC#N)c1c(F)cccc1F ZINC000115411321 407676988 /nfs/dbraw/zinc/67/69/88/407676988.db2.gz MJVXCZVGGZHROK-LBPRGKRZSA-N 1 2 313.373 1.940 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000130614347 407699332 /nfs/dbraw/zinc/69/93/32/407699332.db2.gz YGVHXJIIUQZUCV-CJNGLKHVSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000130614347 407699340 /nfs/dbraw/zinc/69/93/40/407699340.db2.gz YGVHXJIIUQZUCV-CJNGLKHVSA-N 1 2 308.426 1.031 20 30 DDEDLO C=CC[N@@H+](Cc1cccc(C(=O)OC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000171749189 407757256 /nfs/dbraw/zinc/75/72/56/407757256.db2.gz FZUVHIKCUNZBMT-OAHLLOKOSA-N 1 2 323.414 1.648 20 30 DDEDLO C=CC[N@H+](Cc1cccc(C(=O)OC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000171749189 407757270 /nfs/dbraw/zinc/75/72/70/407757270.db2.gz FZUVHIKCUNZBMT-OAHLLOKOSA-N 1 2 323.414 1.648 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)[C@H]2CCn3c[nH+]cc3C2)cc1 ZINC000153013318 407734565 /nfs/dbraw/zinc/73/45/65/407734565.db2.gz SSGQNSUAVRCKNO-AWEZNQCLSA-N 1 2 310.357 1.512 20 30 DDEDLO C=CC[C@H](C)NC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000153037220 407739998 /nfs/dbraw/zinc/73/99/98/407739998.db2.gz ZFJCALBWGZGMAR-ZDUSSCGKSA-N 1 2 324.446 1.716 20 30 DDEDLO CC(C)CC[N@H+](CCC#N)CC(=O)NC(=O)NCc1ccco1 ZINC000173545010 407887519 /nfs/dbraw/zinc/88/75/19/407887519.db2.gz NJRDZZFXJVCLLC-UHFFFAOYSA-N 1 2 320.393 1.867 20 30 DDEDLO CC(C)CC[N@@H+](CCC#N)CC(=O)NC(=O)NCc1ccco1 ZINC000173545010 407887525 /nfs/dbraw/zinc/88/75/25/407887525.db2.gz NJRDZZFXJVCLLC-UHFFFAOYSA-N 1 2 320.393 1.867 20 30 DDEDLO CC[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C[C@@H](O)C(F)(F)F ZINC000189476711 408028814 /nfs/dbraw/zinc/02/88/14/408028814.db2.gz OADORIVNRWAGBP-PWSUYJOCSA-N 1 2 307.316 1.040 20 30 DDEDLO CC[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C[C@@H](O)C(F)(F)F ZINC000189476711 408028823 /nfs/dbraw/zinc/02/88/23/408028823.db2.gz OADORIVNRWAGBP-PWSUYJOCSA-N 1 2 307.316 1.040 20 30 DDEDLO Cn1ncc2c1CCC[C@H]2[N@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000135640165 408045552 /nfs/dbraw/zinc/04/55/52/408045552.db2.gz MHSVYGZEJLJOHX-RHSMWYFYSA-N 1 2 315.421 1.538 20 30 DDEDLO Cn1ncc2c1CCC[C@H]2[N@@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000135640165 408045558 /nfs/dbraw/zinc/04/55/58/408045558.db2.gz MHSVYGZEJLJOHX-RHSMWYFYSA-N 1 2 315.421 1.538 20 30 DDEDLO C=C(Br)CN1CC[N@@H+](CCC(=O)OC)C[C@@H]1C ZINC000182108924 408074696 /nfs/dbraw/zinc/07/46/96/408074696.db2.gz VZUBKILGUXDKKC-NSHDSACASA-N 1 2 305.216 1.464 20 30 DDEDLO C=C(Br)CN1CC[N@H+](CCC(=O)OC)C[C@@H]1C ZINC000182108924 408074701 /nfs/dbraw/zinc/07/47/01/408074701.db2.gz VZUBKILGUXDKKC-NSHDSACASA-N 1 2 305.216 1.464 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC000268463845 408055042 /nfs/dbraw/zinc/05/50/42/408055042.db2.gz LINQMWFNJZRWLK-GXTWGEPZSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC000268463845 408055048 /nfs/dbraw/zinc/05/50/48/408055048.db2.gz LINQMWFNJZRWLK-GXTWGEPZSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000268463845 408055053 /nfs/dbraw/zinc/05/50/53/408055053.db2.gz LINQMWFNJZRWLK-GXTWGEPZSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000268463845 408055060 /nfs/dbraw/zinc/05/50/60/408055060.db2.gz LINQMWFNJZRWLK-GXTWGEPZSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000268463845 408055063 /nfs/dbraw/zinc/05/50/63/408055063.db2.gz LINQMWFNJZRWLK-GXTWGEPZSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000268463845 408055070 /nfs/dbraw/zinc/05/50/70/408055070.db2.gz LINQMWFNJZRWLK-GXTWGEPZSA-N 1 2 318.425 1.301 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](C)c1nc(-c2ccccc2OC)no1 ZINC000273425637 408192908 /nfs/dbraw/zinc/19/29/08/408192908.db2.gz WKEIDYNUKJSGJD-LLVKDONJSA-N 1 2 316.361 1.698 20 30 DDEDLO CO[C@H](C)c1noc(C[N@H+](C)C[C@H](O)c2ccc(C#N)cc2)n1 ZINC000268679095 408153349 /nfs/dbraw/zinc/15/33/49/408153349.db2.gz LYUYRXOZYKIQHK-RISCZKNCSA-N 1 2 316.361 1.814 20 30 DDEDLO CO[C@H](C)c1noc(C[N@@H+](C)C[C@H](O)c2ccc(C#N)cc2)n1 ZINC000268679095 408153357 /nfs/dbraw/zinc/15/33/57/408153357.db2.gz LYUYRXOZYKIQHK-RISCZKNCSA-N 1 2 316.361 1.814 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]CC(=O)NCc2ccc(C#N)cc2)s1 ZINC000273572445 408251213 /nfs/dbraw/zinc/25/12/13/408251213.db2.gz GEOHCORLTRCVFV-JTQLQIEISA-N 1 2 315.402 1.685 20 30 DDEDLO Cn1cc[nH+]c1C[C@@H]1CCCN(S(=O)(=O)CCCC#N)C1 ZINC000122137248 408254827 /nfs/dbraw/zinc/25/48/27/408254827.db2.gz ZDPLZPSBIVFZKJ-ZDUSSCGKSA-N 1 2 310.423 1.308 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)c1ccsc1 ZINC000157846895 408319762 /nfs/dbraw/zinc/31/97/62/408319762.db2.gz LYQZJZXWRBFDFH-AWEZNQCLSA-N 1 2 321.446 1.926 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCS[C@@H]2COCC[C@H]21 ZINC000247296988 408447674 /nfs/dbraw/zinc/44/76/74/408447674.db2.gz ULRWZKAXIKHICV-VXGBXAGGSA-N 1 2 323.443 1.765 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCS[C@@H]2COCC[C@H]21 ZINC000247296988 408447678 /nfs/dbraw/zinc/44/76/78/408447678.db2.gz ULRWZKAXIKHICV-VXGBXAGGSA-N 1 2 323.443 1.765 20 30 DDEDLO COC(=O)C1([N@H+](C)CC(=O)N[C@@](C)(C#N)C2CC2)CCCC1 ZINC000159238985 408394637 /nfs/dbraw/zinc/39/46/37/408394637.db2.gz AVNAEZQSULXTBP-HNNXBMFYSA-N 1 2 307.394 1.212 20 30 DDEDLO COC(=O)C1([N@@H+](C)CC(=O)N[C@@](C)(C#N)C2CC2)CCCC1 ZINC000159238985 408394643 /nfs/dbraw/zinc/39/46/43/408394643.db2.gz AVNAEZQSULXTBP-HNNXBMFYSA-N 1 2 307.394 1.212 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2cc(C#N)cs2)CC1 ZINC000177512708 408643354 /nfs/dbraw/zinc/64/33/54/408643354.db2.gz BQYVREIINBJPFQ-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO CC[C@@]1(C)C[N@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000275993139 408740969 /nfs/dbraw/zinc/74/09/69/408740969.db2.gz GOSNVKOUIWHCHV-KRWDZBQOSA-N 1 2 301.390 1.675 20 30 DDEDLO CC[C@@]1(C)C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000275993139 408740972 /nfs/dbraw/zinc/74/09/72/408740972.db2.gz GOSNVKOUIWHCHV-KRWDZBQOSA-N 1 2 301.390 1.675 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CC[NH+](Cc3ccccn3)CC2)cc1 ZINC000194841909 408801426 /nfs/dbraw/zinc/80/14/26/408801426.db2.gz RVVWMAXJGJCBDB-UHFFFAOYSA-N 1 2 320.396 1.840 20 30 DDEDLO CNC(=O)[C@]1(C)CC[N@@H+]([C@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000281180556 408874927 /nfs/dbraw/zinc/87/49/27/408874927.db2.gz QVFNZYISIGMHCD-WMLDXEAASA-N 1 2 315.373 1.230 20 30 DDEDLO CNC(=O)[C@]1(C)CC[N@H+]([C@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000281180556 408874929 /nfs/dbraw/zinc/87/49/29/408874929.db2.gz QVFNZYISIGMHCD-WMLDXEAASA-N 1 2 315.373 1.230 20 30 DDEDLO C[C@H]([NH2+]CC(=O)N[C@@](C)(C#N)C1CC1)c1c(F)cncc1F ZINC000285617316 408830119 /nfs/dbraw/zinc/83/01/19/408830119.db2.gz KDNRPOIXQGARGI-VFZGTOFNSA-N 1 2 308.332 1.819 20 30 DDEDLO COC(=O)[C@H]([NH2+]C1CCC(C#N)(c2ccccc2)CC1)[C@H](C)O ZINC000276405205 408849914 /nfs/dbraw/zinc/84/99/14/408849914.db2.gz DMGPLVFMDSRCRC-OBYFKMTLSA-N 1 2 316.401 1.903 20 30 DDEDLO COC(=O)[C@H]([NH2+]CCOc1ccc(C#N)cc1OC)C1CC1 ZINC000276410661 408851558 /nfs/dbraw/zinc/85/15/58/408851558.db2.gz GWRUDEPXEWYNMG-OAHLLOKOSA-N 1 2 304.346 1.487 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)NCCNc1cccc[nH+]1 ZINC000281686812 408910304 /nfs/dbraw/zinc/91/03/04/408910304.db2.gz BGJFJFWDASWJGN-UKRRQHHQSA-N 1 2 304.394 1.774 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NCc2ccccc2F)C1=O ZINC000281491331 408887543 /nfs/dbraw/zinc/88/75/43/408887543.db2.gz XKXRAQLRVTWZMU-HNNXBMFYSA-N 1 2 319.380 1.161 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NCc2ccccc2F)C1=O ZINC000281491331 408887546 /nfs/dbraw/zinc/88/75/46/408887546.db2.gz XKXRAQLRVTWZMU-HNNXBMFYSA-N 1 2 319.380 1.161 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)C(=O)Nc1c[nH+]ccc1OC ZINC000281848102 408940495 /nfs/dbraw/zinc/94/04/95/408940495.db2.gz QYTIASPBZULHKO-SMDDNHRTSA-N 1 2 319.361 1.126 20 30 DDEDLO N#CCCOCC[N@H+]1CCOC[C@@H]1C[C@H](O)c1cccs1 ZINC000292928870 409046161 /nfs/dbraw/zinc/04/61/61/409046161.db2.gz YTTAIALPDKLYML-KBPBESRZSA-N 1 2 310.419 1.803 20 30 DDEDLO N#CCCOCC[N@@H+]1CCOC[C@@H]1C[C@H](O)c1cccs1 ZINC000292928870 409046164 /nfs/dbraw/zinc/04/61/64/409046164.db2.gz YTTAIALPDKLYML-KBPBESRZSA-N 1 2 310.419 1.803 20 30 DDEDLO C[C@@H](CNC(=O)c1cc(C#N)cc(N(C)C)c1)Cn1cc[nH+]c1 ZINC000293089856 409051020 /nfs/dbraw/zinc/05/10/20/409051020.db2.gz FWKCEUBEQWOREP-ZDUSSCGKSA-N 1 2 311.389 1.887 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[NH+](C3CC3)CC2)cc1F ZINC000293152447 409059245 /nfs/dbraw/zinc/05/92/45/409059245.db2.gz XBYANQUGQSQFHX-UHFFFAOYSA-N 1 2 309.366 1.166 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cccc(C#N)c1 ZINC000278915557 409112488 /nfs/dbraw/zinc/11/24/88/409112488.db2.gz SMRCRGKTZMYLPC-ZDUSSCGKSA-N 1 2 302.378 1.743 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000293590136 409144401 /nfs/dbraw/zinc/14/44/01/409144401.db2.gz ULFDDKCCUURNMI-UHFFFAOYSA-N 1 2 318.373 1.376 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCc3ccc(C#N)cc3)C[C@@H]21 ZINC000283820293 409222735 /nfs/dbraw/zinc/22/27/35/409222735.db2.gz CRJKHFSMUIUQJU-JKSUJKDBSA-N 1 2 314.389 1.173 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCc3ccc(C#N)cc3)C[C@@H]21 ZINC000283820293 409222738 /nfs/dbraw/zinc/22/27/38/409222738.db2.gz CRJKHFSMUIUQJU-JKSUJKDBSA-N 1 2 314.389 1.173 20 30 DDEDLO C[C@@H](CF)NC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000289252428 409238484 /nfs/dbraw/zinc/23/84/84/409238484.db2.gz UMYINZJLPNGMDB-ZDUSSCGKSA-N 1 2 304.369 1.743 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2ccc(C(=O)OC)o2)n1 ZINC000279833417 409249429 /nfs/dbraw/zinc/24/94/29/409249429.db2.gz ZULIDJZAPYTWBY-UHFFFAOYSA-N 1 2 301.346 1.918 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2ccc(C(=O)OC)o2)n1 ZINC000279833417 409249430 /nfs/dbraw/zinc/24/94/30/409249430.db2.gz ZULIDJZAPYTWBY-UHFFFAOYSA-N 1 2 301.346 1.918 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)N(C)Cc1cccc(F)c1 ZINC000284022741 409258426 /nfs/dbraw/zinc/25/84/26/409258426.db2.gz IEAVGHYXMQKXMB-FZMZJTMJSA-N 1 2 323.368 1.640 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccnc3)n2C(C)C)CC1 ZINC000296051467 409411733 /nfs/dbraw/zinc/41/17/33/409411733.db2.gz RGIKPUOZMIFRSW-UHFFFAOYSA-N 1 2 310.405 1.676 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@H+](C3CC3)C3COC3)C2=O)cc1 ZINC000295441884 409484098 /nfs/dbraw/zinc/48/40/98/409484098.db2.gz OWMUGQJVXRWYMH-QGZVFWFLSA-N 1 2 311.385 1.917 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@@H+](C3CC3)C3COC3)C2=O)cc1 ZINC000295441884 409484103 /nfs/dbraw/zinc/48/41/03/409484103.db2.gz OWMUGQJVXRWYMH-QGZVFWFLSA-N 1 2 311.385 1.917 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@H]([NH+]2CCOCC2)[C@H]1C ZINC000356771847 409591821 /nfs/dbraw/zinc/59/18/21/409591821.db2.gz NXAVCADWKRWHQS-CABCVRRESA-N 1 2 303.406 1.609 20 30 DDEDLO N#Cc1cc(Br)ccc1C[N@@H+]1C[C@@H](O)[C@H](CO)C1 ZINC000317750473 409869996 /nfs/dbraw/zinc/86/99/96/409869996.db2.gz YQQFRJJPNAVKJR-WCQYABFASA-N 1 2 311.179 1.106 20 30 DDEDLO N#Cc1cc(Br)ccc1C[N@H+]1C[C@@H](O)[C@H](CO)C1 ZINC000317750473 409870004 /nfs/dbraw/zinc/87/00/04/409870004.db2.gz YQQFRJJPNAVKJR-WCQYABFASA-N 1 2 311.179 1.106 20 30 DDEDLO CC[C@H]1C(=O)N(CC)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000342769450 409892822 /nfs/dbraw/zinc/89/28/22/409892822.db2.gz RPEPXRUAUJVARU-HNNXBMFYSA-N 1 2 311.389 1.649 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC[C@@H]1CCC2(CCOCC2)[C@@H]1O ZINC000328724847 409962691 /nfs/dbraw/zinc/96/26/91/409962691.db2.gz YUGKXDMRNRVKKZ-XJKSGUPXSA-N 1 2 321.421 1.877 20 30 DDEDLO CNC(=O)[C@@H]1CCCN1C(=O)NC[C@@H]1CCCn2cc[nH+]c21 ZINC000328772535 409975180 /nfs/dbraw/zinc/97/51/80/409975180.db2.gz RNVQJWKLBXWRDO-RYUDHWBXSA-N 1 2 305.382 1.725 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)CCn1c(C)[nH+]c2ccccc21 ZINC000357341893 409983381 /nfs/dbraw/zinc/98/33/81/409983381.db2.gz IJLMQGDXKQOBGS-INIZCTEOSA-N 1 2 300.362 1.780 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N[C@@H]1CCO[C@H]1C1CC1 ZINC000328830445 409988053 /nfs/dbraw/zinc/98/80/53/409988053.db2.gz JYTMOEHSUDTVCP-MJBXVCDLSA-N 1 2 304.394 1.832 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N[C@@H]1CCO[C@@H]1C1CC1 ZINC000328830444 409989106 /nfs/dbraw/zinc/98/91/06/409989106.db2.gz JYTMOEHSUDTVCP-BFHYXJOUSA-N 1 2 304.394 1.832 20 30 DDEDLO CN(Cc1cn2c([nH+]1)CCCC2)S(=O)(=O)CC1(C#N)CC1 ZINC000328616148 409936057 /nfs/dbraw/zinc/93/60/57/409936057.db2.gz BKDVEGHRKFPTND-UHFFFAOYSA-N 1 2 308.407 1.285 20 30 DDEDLO CCN1CCN(C(=O)Nc2cnn(C)c2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328623749 409936855 /nfs/dbraw/zinc/93/68/55/409936855.db2.gz JLHVGVIWZUTLAA-GFCCVEGCSA-N 1 2 303.370 1.068 20 30 DDEDLO O=C(C[N@@H+]1CCN2C(=O)NC(=O)[C@@H]2C1)NCC1CCCCC1 ZINC000328922015 410009425 /nfs/dbraw/zinc/00/94/25/410009425.db2.gz UFINUHSSJKYKOB-LBPRGKRZSA-N 1 2 308.382 1.600 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1)NCC1CCCCC1 ZINC000328922015 410009429 /nfs/dbraw/zinc/00/94/29/410009429.db2.gz UFINUHSSJKYKOB-LBPRGKRZSA-N 1 2 308.382 1.600 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3scnc3C3CC3)C[C@H]21 ZINC000328921735 410009555 /nfs/dbraw/zinc/00/95/55/410009555.db2.gz MGAAXEBMPIQVHJ-GHMZBOCLSA-N 1 2 308.407 1.581 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3scnc3C3CC3)C[C@H]21 ZINC000328921735 410009560 /nfs/dbraw/zinc/00/95/60/410009560.db2.gz MGAAXEBMPIQVHJ-GHMZBOCLSA-N 1 2 308.407 1.581 20 30 DDEDLO CCc1noc(C)c1NC(=O)N1CC[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329001972 410045979 /nfs/dbraw/zinc/04/59/79/410045979.db2.gz XQHLRMHBNSIDOV-QWHCGFSZSA-N 1 2 308.382 1.496 20 30 DDEDLO CCc1noc(C)c1NC(=O)N1CC[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329001972 410045988 /nfs/dbraw/zinc/04/59/88/410045988.db2.gz XQHLRMHBNSIDOV-QWHCGFSZSA-N 1 2 308.382 1.496 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@@H]1[C@@H]1CCCO1 ZINC000328901149 410005233 /nfs/dbraw/zinc/00/52/33/410005233.db2.gz YSMGQIIWVUWVAZ-KFWWJZLASA-N 1 2 311.426 1.265 20 30 DDEDLO O=C(NCC[NH+]1CCCCCC1)[C@H]1CCCCS1(=O)=O ZINC000329027250 410056845 /nfs/dbraw/zinc/05/68/45/410056845.db2.gz OMAKVFDJSSGXER-CYBMUJFWSA-N 1 2 302.440 1.786 20 30 DDEDLO C=CCOCC(=O)NCc1cn2cc(Br)ccc2[nH+]1 ZINC000354761581 410065398 /nfs/dbraw/zinc/06/53/98/410065398.db2.gz XXLSDERWNAPKTN-UHFFFAOYSA-N 1 2 324.178 1.916 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2CCC[C@H](CO)C2)c(C#N)c1C ZINC000298083783 410104840 /nfs/dbraw/zinc/10/48/40/410104840.db2.gz NHLIUNCDANIIFW-YPMHNXCESA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2CCC[C@H](CO)C2)c(C#N)c1C ZINC000298083783 410104845 /nfs/dbraw/zinc/10/48/45/410104845.db2.gz NHLIUNCDANIIFW-YPMHNXCESA-N 1 2 305.378 1.799 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)[C@@H](C2CC2)[NH+]2CCCC2)CCS1(=O)=O ZINC000329128850 410118795 /nfs/dbraw/zinc/11/87/95/410118795.db2.gz VQLXEOFHLFVGSH-WCFLWFBJSA-N 1 2 300.424 1.393 20 30 DDEDLO C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@H+](C)[C@@H]1COC[C@H]1O ZINC000329173887 410145063 /nfs/dbraw/zinc/14/50/63/410145063.db2.gz LPCICNFGKCTIKA-FDYHWXHSSA-N 1 2 313.398 1.127 20 30 DDEDLO C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@@H+](C)[C@@H]1COC[C@H]1O ZINC000329173887 410145065 /nfs/dbraw/zinc/14/50/65/410145065.db2.gz LPCICNFGKCTIKA-FDYHWXHSSA-N 1 2 313.398 1.127 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)c2ncc(C#N)cc2Cl)C1 ZINC000339472260 410214916 /nfs/dbraw/zinc/21/49/16/410214916.db2.gz MJHNAIZTWLDBKQ-NSHDSACASA-N 1 2 315.764 1.970 20 30 DDEDLO C[N@@H+]1CCC[C@H]1C(=O)Nc1nc(CN2CCOCC2)cs1 ZINC000329296335 410216592 /nfs/dbraw/zinc/21/65/92/410216592.db2.gz SWDZUVLYYBBJGP-LBPRGKRZSA-N 1 2 310.423 1.658 20 30 DDEDLO C[N@H+]1CCC[C@H]1C(=O)Nc1nc(CN2CCOCC2)cs1 ZINC000329296335 410216597 /nfs/dbraw/zinc/21/65/97/410216597.db2.gz SWDZUVLYYBBJGP-LBPRGKRZSA-N 1 2 310.423 1.658 20 30 DDEDLO Cn1[nH]cnc1=NC(=O)N[C@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000329205421 410164114 /nfs/dbraw/zinc/16/41/14/410164114.db2.gz ZGWIRBAILFSPMS-JTQLQIEISA-N 1 2 317.397 1.072 20 30 DDEDLO CCN(CCC#N)C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000354928204 410183779 /nfs/dbraw/zinc/18/37/79/410183779.db2.gz XPVVQOZXNFPPLO-AWEZNQCLSA-N 1 2 319.409 1.245 20 30 DDEDLO CCN(CCC#N)C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000354928204 410183787 /nfs/dbraw/zinc/18/37/87/410183787.db2.gz XPVVQOZXNFPPLO-AWEZNQCLSA-N 1 2 319.409 1.245 20 30 DDEDLO CCN1CCOC[C@H]1C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000329372885 410261718 /nfs/dbraw/zinc/26/17/18/410261718.db2.gz KLNXDOKQDBKFGP-AWEZNQCLSA-N 1 2 306.410 1.439 20 30 DDEDLO CC[N@@H+]1CCOC[C@@H]1C(=O)N1CCC(NC(=O)C2CC2)CC1 ZINC000329378936 410266335 /nfs/dbraw/zinc/26/63/35/410266335.db2.gz MTKDIEOHYZJCDD-CQSZACIVSA-N 1 2 309.410 1.065 20 30 DDEDLO CC[N@H+]1CCOC[C@@H]1C(=O)N1CCC(NC(=O)C2CC2)CC1 ZINC000329378936 410266346 /nfs/dbraw/zinc/26/63/46/410266346.db2.gz MTKDIEOHYZJCDD-CQSZACIVSA-N 1 2 309.410 1.065 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)c1cnc(N(C)C)cn1)CCC2 ZINC000329382724 410268105 /nfs/dbraw/zinc/26/81/05/410268105.db2.gz OPIDTBYQUAFCTL-GFCCVEGCSA-N 1 2 314.393 1.930 20 30 DDEDLO CC(C)N1C[C@@H](NC(=O)C2([NH+]3CCOCC3)CCC2)CC1=O ZINC000329493212 410326143 /nfs/dbraw/zinc/32/61/43/410326143.db2.gz ZZFBUSPDLBLFPF-ZDUSSCGKSA-N 1 2 309.410 1.207 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)Nc2nnc(C3CCOCC3)s2)C1 ZINC000329419962 410290653 /nfs/dbraw/zinc/29/06/53/410290653.db2.gz DGYWNPWJOPXXSU-SNVBAGLBSA-N 1 2 312.395 1.351 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)Nc2nnc(C3CCOCC3)s2)C1 ZINC000329419962 410290658 /nfs/dbraw/zinc/29/06/58/410290658.db2.gz DGYWNPWJOPXXSU-SNVBAGLBSA-N 1 2 312.395 1.351 20 30 DDEDLO O=C(C[N@@H+]1CCC[C@H]1c1cccnc1)NCC(=O)N1CCCC1 ZINC000329427734 410291574 /nfs/dbraw/zinc/29/15/74/410291574.db2.gz GXEZMCCQLGZZIA-HNNXBMFYSA-N 1 2 316.405 1.797 20 30 DDEDLO O=C(C[N@H+]1CCC[C@H]1c1cccnc1)NCC(=O)N1CCCC1 ZINC000329427734 410291579 /nfs/dbraw/zinc/29/15/79/410291579.db2.gz GXEZMCCQLGZZIA-HNNXBMFYSA-N 1 2 316.405 1.797 20 30 DDEDLO O=C(C[N@@H+]1CCN2CCOC[C@@H]2C1)Nc1ccc2c(c1)OCO2 ZINC000329426003 410292152 /nfs/dbraw/zinc/29/21/52/410292152.db2.gz JAVBKJDSRMCNBC-ZDUSSCGKSA-N 1 2 319.361 1.020 20 30 DDEDLO O=C(C[N@H+]1CCN2CCOC[C@@H]2C1)Nc1ccc2c(c1)OCO2 ZINC000329426003 410292162 /nfs/dbraw/zinc/29/21/62/410292162.db2.gz JAVBKJDSRMCNBC-ZDUSSCGKSA-N 1 2 319.361 1.020 20 30 DDEDLO CCN1CCN(C(=O)c2ccc(C#N)cn2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328623450 306729370 /nfs/dbraw/zinc/72/93/70/306729370.db2.gz JEFBVBANYAJGGB-CQSZACIVSA-N 1 2 310.361 1.195 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)NCC(C)(C)CCC#N)CCO1 ZINC000358677121 410514743 /nfs/dbraw/zinc/51/47/43/410514743.db2.gz BRIIFGWNUDGTRA-CQSZACIVSA-N 1 2 310.442 1.726 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)NCC(C)(C)CCC#N)CCO1 ZINC000358677121 410514748 /nfs/dbraw/zinc/51/47/48/410514748.db2.gz BRIIFGWNUDGTRA-CQSZACIVSA-N 1 2 310.442 1.726 20 30 DDEDLO CC(C)[C@@H]1C[N@H+](C)CCN1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000330119687 410549946 /nfs/dbraw/zinc/54/99/46/410549946.db2.gz FZQITCKEYHHGKN-KGLIPLIRSA-N 1 2 305.426 1.973 20 30 DDEDLO CC(C)[C@@H]1C[N@@H+](C)CCN1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000330119687 410549951 /nfs/dbraw/zinc/54/99/51/410549951.db2.gz FZQITCKEYHHGKN-KGLIPLIRSA-N 1 2 305.426 1.973 20 30 DDEDLO CC[C@H](C(=O)OC)N1CC[NH+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000352668206 410673745 /nfs/dbraw/zinc/67/37/45/410673745.db2.gz QGPFVQPTVZYIJD-MRXNPFEDSA-N 1 2 319.380 1.767 20 30 DDEDLO COC(=O)COc1ccc(C[N@H+](C)C[C@@H](C)C#N)cc1[N+](=O)[O-] ZINC000355611052 410607717 /nfs/dbraw/zinc/60/77/17/410607717.db2.gz UWEOPEYXNNGIHE-NSHDSACASA-N 1 2 321.333 1.738 20 30 DDEDLO COC(=O)COc1ccc(C[N@@H+](C)C[C@@H](C)C#N)cc1[N+](=O)[O-] ZINC000355611052 410607720 /nfs/dbraw/zinc/60/77/20/410607720.db2.gz UWEOPEYXNNGIHE-NSHDSACASA-N 1 2 321.333 1.738 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(S(=O)(=O)CC2(C#N)CCCC2)C1 ZINC000352954588 410698182 /nfs/dbraw/zinc/69/81/82/410698182.db2.gz RGWGYMZLYWRRMF-ZDUSSCGKSA-N 1 2 322.434 1.623 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@@H](c2[nH+]ccn2C)C2CC2)s1 ZINC000359603044 410762886 /nfs/dbraw/zinc/76/28/86/410762886.db2.gz KKSJFTRECCZBOS-GFCCVEGCSA-N 1 2 317.418 2.356 20 30 DDEDLO COC(=O)[C@@H](C1CC1)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000359613109 410770407 /nfs/dbraw/zinc/77/04/07/410770407.db2.gz UWHSJYNZJMYAIO-OAHLLOKOSA-N 1 2 300.362 1.027 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3[C@@H](CCS3(=O)=O)C2)c(Cl)c1 ZINC000353120545 410797154 /nfs/dbraw/zinc/79/71/54/410797154.db2.gz AYUQIPPWGLYRPP-JSGCOSHPSA-N 1 2 310.806 1.831 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3[C@@H](CCS3(=O)=O)C2)c(Cl)c1 ZINC000353120545 410797155 /nfs/dbraw/zinc/79/71/55/410797155.db2.gz AYUQIPPWGLYRPP-JSGCOSHPSA-N 1 2 310.806 1.831 20 30 DDEDLO C=CCCC1(C(=O)N2CCN(C)[C@H](c3[nH+]ccn3C)C2)CC1 ZINC000356087666 410824147 /nfs/dbraw/zinc/82/41/47/410824147.db2.gz IGHPNXMOUTWCOQ-AWEZNQCLSA-N 1 2 302.422 1.982 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cccc(C#N)c2F)CC1 ZINC000340763870 410865467 /nfs/dbraw/zinc/86/54/67/410865467.db2.gz QTSRFRFGPLCDJY-UHFFFAOYSA-N 1 2 316.380 1.117 20 30 DDEDLO N#Cc1csc(CN2CCCC[C@@H]2C[NH+]2CCOCC2)n1 ZINC000356190222 410887077 /nfs/dbraw/zinc/88/70/77/410887077.db2.gz HGFVPIDLWQIPCY-CQSZACIVSA-N 1 2 306.435 1.701 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CCCC[C@@H]2CN2CCOCC2)n1 ZINC000356190222 410887084 /nfs/dbraw/zinc/88/70/84/410887084.db2.gz HGFVPIDLWQIPCY-CQSZACIVSA-N 1 2 306.435 1.701 20 30 DDEDLO N#Cc1csc(C[N@H+]2CCCC[C@@H]2CN2CCOCC2)n1 ZINC000356190222 410887089 /nfs/dbraw/zinc/88/70/89/410887089.db2.gz HGFVPIDLWQIPCY-CQSZACIVSA-N 1 2 306.435 1.701 20 30 DDEDLO CC[C@H]1CN(C(=O)c2ccnc(C#N)c2)C[C@H]1[NH+]1CCOCC1 ZINC000331057059 410921405 /nfs/dbraw/zinc/92/14/05/410921405.db2.gz LLAMWUZKSGTMIJ-XJKSGUPXSA-N 1 2 314.389 1.136 20 30 DDEDLO Cn1nccc1C[N@H+](C)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000348363034 410935485 /nfs/dbraw/zinc/93/54/85/410935485.db2.gz KAQUYUNEBIRQKW-QGZVFWFLSA-N 1 2 323.400 1.919 20 30 DDEDLO Cn1nccc1C[N@@H+](C)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000348363034 410935488 /nfs/dbraw/zinc/93/54/88/410935488.db2.gz KAQUYUNEBIRQKW-QGZVFWFLSA-N 1 2 323.400 1.919 20 30 DDEDLO CCN(CC#N)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000353792192 411130856 /nfs/dbraw/zinc/13/08/56/411130856.db2.gz HHNYGHMBHNYLLE-UHFFFAOYSA-N 1 2 304.375 1.466 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NS(=O)(=O)c1ccc(C#N)o1)C1CC1 ZINC000344791512 411114416 /nfs/dbraw/zinc/11/44/16/411114416.db2.gz ITCMJMIXVVRQGA-LBPRGKRZSA-N 1 2 306.347 1.314 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000652474378 423034009 /nfs/dbraw/zinc/03/40/09/423034009.db2.gz NGGCIQSDGYKXML-ZNMIVQPWSA-N 1 2 316.405 1.693 20 30 DDEDLO Cc1ncc(S(=O)(=O)Nc2c[nH+]c3n2CCCC3)cc1C#N ZINC000571612790 304474492 /nfs/dbraw/zinc/47/44/92/304474492.db2.gz FFLBOISJASWEPY-UHFFFAOYSA-N 1 2 317.374 1.595 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCC[C@@]2(CCCCO2)[C@H]1C#N ZINC000373756488 418456830 /nfs/dbraw/zinc/45/68/30/418456830.db2.gz HEJRVYMZNGVBKL-WBVHZDCISA-N 1 2 316.405 1.807 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(c3c(C#N)cccc3C#N)C2)no1 ZINC000366774876 418516984 /nfs/dbraw/zinc/51/69/84/418516984.db2.gz JZVCWLBBIYGUDE-HNNXBMFYSA-N 1 2 322.372 1.832 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(c3c(C#N)cccc3C#N)C2)no1 ZINC000366774876 418516987 /nfs/dbraw/zinc/51/69/87/418516987.db2.gz JZVCWLBBIYGUDE-HNNXBMFYSA-N 1 2 322.372 1.832 20 30 DDEDLO COC(=O)CCC[N@@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000374364355 418523034 /nfs/dbraw/zinc/52/30/34/418523034.db2.gz GMOYKIUZPZJLHI-PXAZEXFGSA-N 1 2 313.357 1.285 20 30 DDEDLO COC(=O)CCC[N@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000374364355 418523037 /nfs/dbraw/zinc/52/30/37/418523037.db2.gz GMOYKIUZPZJLHI-PXAZEXFGSA-N 1 2 313.357 1.285 20 30 DDEDLO N#CCc1cccc(C(=O)N2CC[NH2+][C@@H](c3cccnc3)C2)c1 ZINC000367100759 418559396 /nfs/dbraw/zinc/55/93/96/418559396.db2.gz QKEYOVONCBFSPS-QGZVFWFLSA-N 1 2 306.369 1.934 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)ccc1F ZINC000374756135 418560686 /nfs/dbraw/zinc/56/06/86/418560686.db2.gz XDDNQVVWZFJWCO-CYBMUJFWSA-N 1 2 320.349 1.946 20 30 DDEDLO Cn1ncc(Cl)c1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000189499547 222046396 /nfs/dbraw/zinc/04/63/96/222046396.db2.gz SVHJHFAUAXHBCA-UHFFFAOYSA-N 1 2 311.817 1.121 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NCC#Cc1cccc(C(F)(F)F)c1 ZINC000192236467 222124025 /nfs/dbraw/zinc/12/40/25/222124025.db2.gz CFHHTZHMWVTGHS-UHFFFAOYSA-N 1 2 308.303 1.738 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NCC#Cc1cccc(C(F)(F)F)c1 ZINC000192236467 222124027 /nfs/dbraw/zinc/12/40/27/222124027.db2.gz CFHHTZHMWVTGHS-UHFFFAOYSA-N 1 2 308.303 1.738 20 30 DDEDLO CNc1[nH+]cnc2c1cnn2C[C@H](O)COc1ccc(C#N)cc1 ZINC000193756898 222163642 /nfs/dbraw/zinc/16/36/42/222163642.db2.gz RMFZGPPRLHDQIC-LBPRGKRZSA-N 1 2 324.344 1.180 20 30 DDEDLO C=CC[C@H](C)NC(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000361117030 418587211 /nfs/dbraw/zinc/58/72/11/418587211.db2.gz XICIVJFOIUHEEY-STQMWFEESA-N 1 2 319.409 1.175 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(=O)OC ZINC000248825121 222237719 /nfs/dbraw/zinc/23/77/19/222237719.db2.gz KEWUMZZBKIOYPP-LZWOXQAQSA-N 1 2 306.406 1.461 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(=O)OC ZINC000248825121 222237723 /nfs/dbraw/zinc/23/77/23/222237723.db2.gz KEWUMZZBKIOYPP-LZWOXQAQSA-N 1 2 306.406 1.461 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCc1ccc2c(c1)OCCO2 ZINC000264831576 222336930 /nfs/dbraw/zinc/33/69/30/222336930.db2.gz UIDQRLWPROOWGI-UHFFFAOYSA-N 1 2 307.350 1.161 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@@H]1C(=O)NCC[C@@H]1C)CCC2 ZINC000328600437 418605611 /nfs/dbraw/zinc/60/56/11/418605611.db2.gz CYZLGKDRSSVHMY-DRZSPHRISA-N 1 2 319.409 1.937 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000375130497 418608605 /nfs/dbraw/zinc/60/86/05/418608605.db2.gz ZKXSDWJTMSTFPY-RDJZCZTQSA-N 1 2 310.438 1.586 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)Nc2ncccc2OCCCC#N)C1 ZINC000266872747 222364657 /nfs/dbraw/zinc/36/46/57/222364657.db2.gz YKXFDKFBIMCFPN-CYBMUJFWSA-N 1 2 304.350 1.033 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)Nc2ncccc2OCCCC#N)C1 ZINC000266872747 222364660 /nfs/dbraw/zinc/36/46/60/222364660.db2.gz YKXFDKFBIMCFPN-CYBMUJFWSA-N 1 2 304.350 1.033 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOC[C@H]1[C@@H]1CCCC1=O ZINC000375226701 418619651 /nfs/dbraw/zinc/61/96/51/418619651.db2.gz QQYVSAIBSHTYTL-GJZGRUSLSA-N 1 2 306.406 1.257 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOC[C@H]1[C@@H]1CCCC1=O ZINC000375226701 418619652 /nfs/dbraw/zinc/61/96/52/418619652.db2.gz QQYVSAIBSHTYTL-GJZGRUSLSA-N 1 2 306.406 1.257 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCC(c2nc(C)n[nH]2)CC1 ZINC000361304848 418622097 /nfs/dbraw/zinc/62/20/97/418622097.db2.gz SUSZIDPWFQBJCO-UHFFFAOYSA-N 1 2 304.398 1.055 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCC(c2n[nH]c(C)n2)CC1 ZINC000361304848 418622099 /nfs/dbraw/zinc/62/20/99/418622099.db2.gz SUSZIDPWFQBJCO-UHFFFAOYSA-N 1 2 304.398 1.055 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCC(c2nnc(C)[nH]2)CC1 ZINC000361304848 418622101 /nfs/dbraw/zinc/62/21/01/418622101.db2.gz SUSZIDPWFQBJCO-UHFFFAOYSA-N 1 2 304.398 1.055 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNc1cc(NCCCC)[nH+]c(N)n1 ZINC000343047058 418630515 /nfs/dbraw/zinc/63/05/15/418630515.db2.gz VJGDBVZXPJZYLX-IUODEOHRSA-N 1 2 305.426 2.086 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNc1cc(NCCCC)nc(N)[nH+]1 ZINC000343047058 418630519 /nfs/dbraw/zinc/63/05/19/418630519.db2.gz VJGDBVZXPJZYLX-IUODEOHRSA-N 1 2 305.426 2.086 20 30 DDEDLO N#Cc1ccc(NCC(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)nc1 ZINC000345437104 418636256 /nfs/dbraw/zinc/63/62/56/418636256.db2.gz PLZVFDYSSRIIEK-CYBMUJFWSA-N 1 2 310.361 1.842 20 30 DDEDLO CC(C)(C#N)CNC(=O)NCCNc1ccc2ccccc2[nH+]1 ZINC000358618691 418654938 /nfs/dbraw/zinc/65/49/38/418654938.db2.gz PVOXILWPUICFGQ-UHFFFAOYSA-N 1 2 311.389 2.496 20 30 DDEDLO C#CCCCS(=O)(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000375583166 418658171 /nfs/dbraw/zinc/65/81/71/418658171.db2.gz ISERHAYNXZJXRE-UHFFFAOYSA-N 1 2 303.387 1.705 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000376170046 418693342 /nfs/dbraw/zinc/69/33/42/418693342.db2.gz DMRBHKGZPMCZRX-CAOSSQGBSA-N 1 2 308.422 1.289 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(Cc3ccc(F)c(C#N)c3Cl)C[C@@H]21 ZINC000368189703 418701269 /nfs/dbraw/zinc/70/12/69/418701269.db2.gz JJSHOSKQDDQUKB-UONOGXRCSA-N 1 2 309.772 1.866 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(Cc3ccc(F)c(C#N)c3Cl)C[C@@H]21 ZINC000368189703 418701271 /nfs/dbraw/zinc/70/12/71/418701271.db2.gz JJSHOSKQDDQUKB-UONOGXRCSA-N 1 2 309.772 1.866 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000369213948 418730296 /nfs/dbraw/zinc/73/02/96/418730296.db2.gz HYQTXAZTDUYJCE-UHFFFAOYSA-N 1 2 300.406 1.807 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CC[C@@H](OC)C[C@@H]2C(=O)OC)cc1 ZINC000370368943 418743868 /nfs/dbraw/zinc/74/38/68/418743868.db2.gz YMFRJUUNOJCYKC-IAGOWNOFSA-N 1 2 317.385 1.851 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CC[C@@H](OC)C[C@@H]2C(=O)OC)cc1 ZINC000370368943 418743871 /nfs/dbraw/zinc/74/38/71/418743871.db2.gz YMFRJUUNOJCYKC-IAGOWNOFSA-N 1 2 317.385 1.851 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000362963502 418761721 /nfs/dbraw/zinc/76/17/21/418761721.db2.gz AHJKATUXXGGHQI-CYBMUJFWSA-N 1 2 303.322 1.137 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000362963502 418761723 /nfs/dbraw/zinc/76/17/23/418761723.db2.gz AHJKATUXXGGHQI-CYBMUJFWSA-N 1 2 303.322 1.137 20 30 DDEDLO Cc1cn2c([nH+]1)CN([C@H]1CCN(c3ccc(C#N)cc3)C1=O)CC2 ZINC000371736874 418812075 /nfs/dbraw/zinc/81/20/75/418812075.db2.gz QDHSQLFGQFWZHY-INIZCTEOSA-N 1 2 321.384 1.684 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000364955633 418828140 /nfs/dbraw/zinc/82/81/40/418828140.db2.gz QNIWWOIHNMBGLI-OAHLLOKOSA-N 1 2 310.394 1.191 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000364955633 418828142 /nfs/dbraw/zinc/82/81/42/418828142.db2.gz QNIWWOIHNMBGLI-OAHLLOKOSA-N 1 2 310.394 1.191 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCOc2c(F)cccc2C1 ZINC000365514213 418870273 /nfs/dbraw/zinc/87/02/73/418870273.db2.gz MLMPKFRMECWRDY-LBPRGKRZSA-N 1 2 305.353 1.781 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCOc2c(F)cccc2C1 ZINC000365514213 418870275 /nfs/dbraw/zinc/87/02/75/418870275.db2.gz MLMPKFRMECWRDY-LBPRGKRZSA-N 1 2 305.353 1.781 20 30 DDEDLO N#Cc1ccc(CNc2ccc(N3CCOCC3)c[nH+]2)cc1F ZINC000421332798 419571244 /nfs/dbraw/zinc/57/12/44/419571244.db2.gz MGAABCXAHSWFGQ-UHFFFAOYSA-N 1 2 312.348 2.541 20 30 DDEDLO N#Cc1cccc(CCNC(=O)NC[C@@H]2CC[NH2+]CC2(F)F)c1 ZINC000418152275 419572720 /nfs/dbraw/zinc/57/27/20/419572720.db2.gz UJUXBCUIMFNNMJ-AWEZNQCLSA-N 1 2 322.359 1.645 20 30 DDEDLO C[C@H](C(=O)N1CCc2c1cccc2C#N)[NH+]1CCSCC1 ZINC000421657477 419674367 /nfs/dbraw/zinc/67/43/67/419674367.db2.gz OKKYAZOCGFBKKE-GFCCVEGCSA-N 1 2 301.415 1.885 20 30 DDEDLO C[C@@H]1[C@@H](CO)CCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000412089020 419644624 /nfs/dbraw/zinc/64/46/24/419644624.db2.gz PPXNDRATDGGYGA-UKRRQHHQSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1[C@@H](CO)CCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000412089020 419644633 /nfs/dbraw/zinc/64/46/33/419644633.db2.gz PPXNDRATDGGYGA-UKRRQHHQSA-N 1 2 322.430 1.425 20 30 DDEDLO C=CCNc1ncc(C(=O)Nc2nc(C[NH+](C)C)cs2)s1 ZINC000412425853 419758979 /nfs/dbraw/zinc/75/89/79/419758979.db2.gz MXVGFBVCKAZISQ-UHFFFAOYSA-N 1 2 323.447 2.511 20 30 DDEDLO CCc1nc(C)c(CN(CCC#N)CC[NH+]2CCOCC2)o1 ZINC000428242101 419835666 /nfs/dbraw/zinc/83/56/66/419835666.db2.gz VEEHHHPRNSQKOS-UHFFFAOYSA-N 1 2 306.410 1.593 20 30 DDEDLO N#Cc1c(F)cccc1CN1CC[NH+](CC(=O)NC2CC2)CC1 ZINC000428471900 419870823 /nfs/dbraw/zinc/87/08/23/419870823.db2.gz CYWCJVGYGFYZRG-UHFFFAOYSA-N 1 2 316.380 1.094 20 30 DDEDLO N#C[C@@H](CCc1ccccc1)C(=O)NCC[NH+]1CCOCC1 ZINC000433110715 229154694 /nfs/dbraw/zinc/15/46/94/229154694.db2.gz BWWGJMIVCOAJTA-MRXNPFEDSA-N 1 2 301.390 1.207 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC[C@H]1CC[NH2+]CC1(F)F ZINC000423700883 420131654 /nfs/dbraw/zinc/13/16/54/420131654.db2.gz UJXCUPYXUGWKAD-LLVKDONJSA-N 1 2 311.332 1.621 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC[C@@H]1CC[NH2+]CC1(F)F ZINC000423700884 420131990 /nfs/dbraw/zinc/13/19/90/420131990.db2.gz UJXCUPYXUGWKAD-NSHDSACASA-N 1 2 311.332 1.621 20 30 DDEDLO CNc1[nH+]cnc2c1cnn2CC(=O)NCc1cccc(C#N)c1 ZINC000435789200 420284747 /nfs/dbraw/zinc/28/47/47/420284747.db2.gz IELKBBITFZCHJP-UHFFFAOYSA-N 1 2 321.344 1.056 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3ccc(Cl)nc3)CC2)nn1 ZINC000301955486 230001141 /nfs/dbraw/zinc/00/11/41/230001141.db2.gz FAHBOXVLWHETJV-UHFFFAOYSA-N 1 2 314.780 1.719 20 30 DDEDLO COC[C@@H](C)CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000445644817 230185702 /nfs/dbraw/zinc/18/57/02/230185702.db2.gz JUIYJKQISKAVMT-HNNXBMFYSA-N 1 2 315.417 1.875 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@H](c1c[nH+]cn1C)N(C)C)C(=O)OCC ZINC000456599243 420510821 /nfs/dbraw/zinc/51/08/21/420510821.db2.gz CEMINEOTJNVOOT-JSGCOSHPSA-N 1 2 322.409 1.037 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@H]1CCCc2[nH+]c[nH]c21)C(=O)OCC ZINC000456605603 420512615 /nfs/dbraw/zinc/51/26/15/420512615.db2.gz KRTOIKJGIVHNPR-WCQYABFASA-N 1 2 305.378 1.844 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@H]1CCCc2[nH]c[nH+]c21)C(=O)OCC ZINC000456605603 420512619 /nfs/dbraw/zinc/51/26/19/420512619.db2.gz KRTOIKJGIVHNPR-WCQYABFASA-N 1 2 305.378 1.844 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1C(=O)C(=O)Nc1ccc(C#N)cc1Cl ZINC000440293624 420565534 /nfs/dbraw/zinc/56/55/34/420565534.db2.gz MSWGHLKBKPJBAV-SNVBAGLBSA-N 1 2 320.780 1.313 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1C(=O)C(=O)Nc1ccc(C#N)cc1Cl ZINC000440293624 420565537 /nfs/dbraw/zinc/56/55/37/420565537.db2.gz MSWGHLKBKPJBAV-SNVBAGLBSA-N 1 2 320.780 1.313 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000458585093 420692085 /nfs/dbraw/zinc/69/20/85/420692085.db2.gz IUYGOWYAWRJJRS-KGLIPLIRSA-N 1 2 304.394 1.287 20 30 DDEDLO COc1cc(C[NH+]2CCN(Cc3ncc[nH]3)CC2)ccc1C#N ZINC000442882909 420725104 /nfs/dbraw/zinc/72/51/04/420725104.db2.gz SQQMSOIANKNSCE-UHFFFAOYSA-N 1 2 311.389 1.608 20 30 DDEDLO N#Cc1cccc(N2CCN(C(=O)/C=C/c3[nH]cc[nH+]3)CC2)n1 ZINC000493350719 420826498 /nfs/dbraw/zinc/82/64/98/420826498.db2.gz JLZZMBMCZNLRGD-SNAWJCMRSA-N 1 2 308.345 1.038 20 30 DDEDLO C=C(C)CCNC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000454378051 420849397 /nfs/dbraw/zinc/84/93/97/420849397.db2.gz SXPZHHPROGGHIV-OAHLLOKOSA-N 1 2 313.467 1.460 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)CC3(O)CCC3)CC2)cc1 ZINC000448577762 420863958 /nfs/dbraw/zinc/86/39/58/420863958.db2.gz KSARMXPSKDYQBG-UHFFFAOYSA-N 1 2 313.401 1.508 20 30 DDEDLO C[C@H]1C[C@]1(C#N)C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000448586771 420865426 /nfs/dbraw/zinc/86/54/26/420865426.db2.gz BIJZDHPZJOPKJI-MAUKXSAKSA-N 1 2 313.401 1.759 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000448586772 420866215 /nfs/dbraw/zinc/86/62/15/420866215.db2.gz BIJZDHPZJOPKJI-QAPCUYQASA-N 1 2 313.401 1.759 20 30 DDEDLO C[C@H]1C[C@@]1(C#N)C(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000448611115 420871773 /nfs/dbraw/zinc/87/17/73/420871773.db2.gz BKTSVDLJAATMLX-KSSFIOAISA-N 1 2 323.400 1.528 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000459819897 420882701 /nfs/dbraw/zinc/88/27/01/420882701.db2.gz VTGXMLYURIUAOP-HNNXBMFYSA-N 1 2 315.373 1.262 20 30 DDEDLO CO[C@@H]1C[NH+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C[C@H]1OC ZINC000487976692 421062106 /nfs/dbraw/zinc/06/21/06/421062106.db2.gz ZWQQZWGFYZJDQX-ZIAGYGMSSA-N 1 2 323.780 1.496 20 30 DDEDLO CC#CC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)OC(C)(C)C)C2)CC1 ZINC000495907907 421088685 /nfs/dbraw/zinc/08/86/85/421088685.db2.gz XZYPTEGXVSAJID-CQSZACIVSA-N 1 2 321.421 1.163 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3nccc(C#N)n3)c[nH+]2)CCO1 ZINC000450252805 421171669 /nfs/dbraw/zinc/17/16/69/421171669.db2.gz AULBINPHAQLWJG-LBPRGKRZSA-N 1 2 310.361 1.580 20 30 DDEDLO Cc1ncc(S(=O)(=O)N2CC[N@H+](C)[C@@H](C(C)C)C2)cc1C#N ZINC000489817010 421174491 /nfs/dbraw/zinc/17/44/91/421174491.db2.gz LCBWQWWQHKNLMX-OAHLLOKOSA-N 1 2 322.434 1.222 20 30 DDEDLO Cc1ncc(S(=O)(=O)N2CC[N@@H+](C)[C@@H](C(C)C)C2)cc1C#N ZINC000489817010 421174494 /nfs/dbraw/zinc/17/44/94/421174494.db2.gz LCBWQWWQHKNLMX-OAHLLOKOSA-N 1 2 322.434 1.222 20 30 DDEDLO CCOC(=O)N1CCC[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000492187090 421211450 /nfs/dbraw/zinc/21/14/50/421211450.db2.gz GTFNAPNJZOTSEB-ZDUSSCGKSA-N 1 2 322.409 1.102 20 30 DDEDLO CCOC(=O)N1CCC[N@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000492187090 421211452 /nfs/dbraw/zinc/21/14/52/421211452.db2.gz GTFNAPNJZOTSEB-ZDUSSCGKSA-N 1 2 322.409 1.102 20 30 DDEDLO C=CCN(C(=O)/C=C\c1[nH+]ccn1CC)[C@@H]1CCS(=O)(=O)C1 ZINC000492252019 421218534 /nfs/dbraw/zinc/21/85/34/421218534.db2.gz LJTFKPKWXBBRRF-CFHLNLSMSA-N 1 2 323.418 1.118 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCOC3(C2)CCCCCC3)CCC1 ZINC000527377689 421384240 /nfs/dbraw/zinc/38/42/40/421384240.db2.gz DAISPIRBUMVRAU-UHFFFAOYSA-N 1 2 305.422 1.974 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCOC3(C2)CCCCCC3)CCC1 ZINC000527377689 421384243 /nfs/dbraw/zinc/38/42/43/421384243.db2.gz DAISPIRBUMVRAU-UHFFFAOYSA-N 1 2 305.422 1.974 20 30 DDEDLO CCOC[C@@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)CCO1 ZINC000528719438 421512230 /nfs/dbraw/zinc/51/22/30/421512230.db2.gz NDSFDYQOCMBNKN-ZDUSSCGKSA-N 1 2 323.418 1.686 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)CCO1 ZINC000528719438 421512232 /nfs/dbraw/zinc/51/22/32/421512232.db2.gz NDSFDYQOCMBNKN-ZDUSSCGKSA-N 1 2 323.418 1.686 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)c1ncc2c(c1C#N)CCC2 ZINC000516052287 421524315 /nfs/dbraw/zinc/52/43/15/421524315.db2.gz KPJKIGJQWVBIQQ-ZDUSSCGKSA-N 1 2 300.406 1.599 20 30 DDEDLO C=CCN(C(=O)C[C@@H](C)n1cc[nH+]c1)[C@H]1CCS(=O)(=O)C1 ZINC000515063678 421478365 /nfs/dbraw/zinc/47/83/65/421478365.db2.gz XLSLUVYOSWCOLV-OLZOCXBDSA-N 1 2 311.407 1.036 20 30 DDEDLO C[C@H]1OCC[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)[C@@H]1C ZINC000528408468 421485777 /nfs/dbraw/zinc/48/57/77/421485777.db2.gz WNXRFZVFVBWZNX-ZIAGYGMSSA-N 1 2 312.369 1.395 20 30 DDEDLO C[C@H]1OCC[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)[C@@H]1C ZINC000528408468 421485782 /nfs/dbraw/zinc/48/57/82/421485782.db2.gz WNXRFZVFVBWZNX-ZIAGYGMSSA-N 1 2 312.369 1.395 20 30 DDEDLO CCN1CC[N@H+](C[C@H](O)COc2ccccc2C#N)[C@@H](C)C1 ZINC000528510680 421496617 /nfs/dbraw/zinc/49/66/17/421496617.db2.gz YHZUNYUOFOQSCW-HOCLYGCPSA-N 1 2 303.406 1.324 20 30 DDEDLO CCN1CC[N@@H+](C[C@H](O)COc2ccccc2C#N)[C@@H](C)C1 ZINC000528510680 421496620 /nfs/dbraw/zinc/49/66/20/421496620.db2.gz YHZUNYUOFOQSCW-HOCLYGCPSA-N 1 2 303.406 1.324 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3cc(C#N)ncn3)c[nH+]2)C[C@@H](C)O1 ZINC000564701462 421592134 /nfs/dbraw/zinc/59/21/34/421592134.db2.gz JALRQNVYHCAIEH-CHWSQXEVSA-N 1 2 324.388 1.969 20 30 DDEDLO COC(=O)[C@H](C)c1cccc(NC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000567494627 421612564 /nfs/dbraw/zinc/61/25/64/421612564.db2.gz UICRZSPXJIYZGA-CZUORRHYSA-N 1 2 319.405 1.581 20 30 DDEDLO COC(=O)[C@H](C)c1cccc(NC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000567494627 421612567 /nfs/dbraw/zinc/61/25/67/421612567.db2.gz UICRZSPXJIYZGA-CZUORRHYSA-N 1 2 319.405 1.581 20 30 DDEDLO Cn1cc(CN(CCn2cc[nH+]c2)C(=O)C(C)(C)C#N)cn1 ZINC000570427730 421646034 /nfs/dbraw/zinc/64/60/34/421646034.db2.gz SSFHREZWJDWMGG-UHFFFAOYSA-N 1 2 300.366 1.195 20 30 DDEDLO N#CCN(CC(=O)N1CC[NH+](Cc2ccsc2)CC1)C1CC1 ZINC000533406487 421672484 /nfs/dbraw/zinc/67/24/84/421672484.db2.gz CGQXRPAJJRNYCA-UHFFFAOYSA-N 1 2 318.446 1.380 20 30 DDEDLO N#CCC[NH2+]Cc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000570920125 421676214 /nfs/dbraw/zinc/67/62/14/421676214.db2.gz ANTZCNHTIYKVGQ-AWEZNQCLSA-N 1 2 323.418 1.147 20 30 DDEDLO CC(C)C(=O)Nc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)ccn1 ZINC000570932509 421676974 /nfs/dbraw/zinc/67/69/74/421676974.db2.gz ITJMPSCWBQKYRH-INIZCTEOSA-N 1 2 317.393 1.250 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CC[C@H](N3CCCC3=O)C2)cc1 ZINC000534127328 421685165 /nfs/dbraw/zinc/68/51/65/421685165.db2.gz BQSGWVQYRQOZOK-HNNXBMFYSA-N 1 2 312.373 1.193 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CC[C@H](N3CCCC3=O)C2)cc1 ZINC000534127328 421685167 /nfs/dbraw/zinc/68/51/67/421685167.db2.gz BQSGWVQYRQOZOK-HNNXBMFYSA-N 1 2 312.373 1.193 20 30 DDEDLO C[C@H](C#N)CNC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000541951100 421815792 /nfs/dbraw/zinc/81/57/92/421815792.db2.gz ZZJFJGNOGZXIFO-CQSZACIVSA-N 1 2 311.389 1.545 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC000542982649 421832456 /nfs/dbraw/zinc/83/24/56/421832456.db2.gz PQILKKLZNPRTNS-UHFFFAOYSA-N 1 2 302.359 1.309 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC000542981463 421832472 /nfs/dbraw/zinc/83/24/72/421832472.db2.gz NNOSURQUBDUWDH-UHFFFAOYSA-N 1 2 302.359 1.309 20 30 DDEDLO C=CC[NH+]1CCC(NS(=O)(=O)c2cc(O)cc(F)c2)CC1 ZINC000631909242 421933432 /nfs/dbraw/zinc/93/34/32/421933432.db2.gz YLAXSCFFFJRGNT-UHFFFAOYSA-N 1 2 314.382 1.460 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@]2(CO)COCC3(CCC3)[N@H+]2C)C1 ZINC000633675369 422040614 /nfs/dbraw/zinc/04/06/14/422040614.db2.gz XLMHHSPRURAUOQ-KRWDZBQOSA-N 1 2 308.422 1.075 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@]2(CO)COCC3(CCC3)[N@@H+]2C)C1 ZINC000633675369 422040620 /nfs/dbraw/zinc/04/06/20/422040620.db2.gz XLMHHSPRURAUOQ-KRWDZBQOSA-N 1 2 308.422 1.075 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000573689451 422001446 /nfs/dbraw/zinc/00/14/46/422001446.db2.gz RVBOBEXSHDUVCY-KRWDZBQOSA-N 1 2 314.389 1.490 20 30 DDEDLO N#CCCC1CC[NH+](Cc2nnnn2CC2CCOCC2)CC1 ZINC000576397197 422373586 /nfs/dbraw/zinc/37/35/86/422373586.db2.gz YKLQUAAXKCDWMN-UHFFFAOYSA-N 1 2 318.425 1.615 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000635767781 422327221 /nfs/dbraw/zinc/32/72/21/422327221.db2.gz YPRMFBYQMVXSDW-GFCCVEGCSA-N 1 2 301.346 1.492 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@@H]1CCc2[nH+]c[nH]c2C1)C(=O)OCC ZINC000597733938 422390394 /nfs/dbraw/zinc/39/03/94/422390394.db2.gz YHVQWEVOJLVESK-DGCLKSJQSA-N 1 2 305.378 1.529 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@@H]1CCc2[nH]c[nH+]c2C1)C(=O)OCC ZINC000597733938 422390398 /nfs/dbraw/zinc/39/03/98/422390398.db2.gz YHVQWEVOJLVESK-DGCLKSJQSA-N 1 2 305.378 1.529 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCCO[C@H](CF)C2)c1C#N ZINC000578301448 422540937 /nfs/dbraw/zinc/54/09/37/422540937.db2.gz NFFLQIIAMJYKEB-CYBMUJFWSA-N 1 2 306.337 1.905 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCCO[C@H](CF)C2)c1C#N ZINC000578301448 422540941 /nfs/dbraw/zinc/54/09/41/422540941.db2.gz NFFLQIIAMJYKEB-CYBMUJFWSA-N 1 2 306.337 1.905 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[N@@H+](C)C[C@@H]2c2ccccc2)nn1 ZINC000648223550 423280586 /nfs/dbraw/zinc/28/05/86/423280586.db2.gz GKXNEPANHNLUIF-MRXNPFEDSA-N 1 2 311.389 1.593 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[N@H+](C)C[C@@H]2c2ccccc2)nn1 ZINC000648223550 423280591 /nfs/dbraw/zinc/28/05/91/423280591.db2.gz GKXNEPANHNLUIF-MRXNPFEDSA-N 1 2 311.389 1.593 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2noc(-c3ccsc3)n2)nn1 ZINC000640935142 423283953 /nfs/dbraw/zinc/28/39/53/423283953.db2.gz SHWGPEBWJVVVGR-UHFFFAOYSA-N 1 2 314.374 1.551 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)c1C ZINC000646031251 423299953 /nfs/dbraw/zinc/29/99/53/423299953.db2.gz SVFXQORPUJBKDM-KRWDZBQOSA-N 1 2 301.390 1.824 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)c1C ZINC000646031251 423299956 /nfs/dbraw/zinc/29/99/56/423299956.db2.gz SVFXQORPUJBKDM-KRWDZBQOSA-N 1 2 301.390 1.824 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(C(=O)NCCC)CC2)nn1 ZINC000653483533 423493769 /nfs/dbraw/zinc/49/37/69/423493769.db2.gz RUCFBJPQQJPJAH-UHFFFAOYSA-N 1 2 305.426 1.592 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H](N3CCCC3=O)C2)nn1 ZINC000653555720 423529384 /nfs/dbraw/zinc/52/93/84/423529384.db2.gz RLTLRDIUHHXAMP-OAHLLOKOSA-N 1 2 303.410 1.441 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H](N3CCCC3=O)C2)nn1 ZINC000653555720 423529388 /nfs/dbraw/zinc/52/93/88/423529388.db2.gz RLTLRDIUHHXAMP-OAHLLOKOSA-N 1 2 303.410 1.441 20 30 DDEDLO C=C(C)c1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@@H](O)C2)cc1 ZINC000649444403 423905097 /nfs/dbraw/zinc/90/50/97/423905097.db2.gz SFNWWZFRBOASCG-OAHLLOKOSA-N 1 2 309.431 1.950 20 30 DDEDLO C=C(C)c1ccc(S(=O)(=O)CC[N@H+]2CCC[C@@H](O)C2)cc1 ZINC000649444403 423905111 /nfs/dbraw/zinc/90/51/11/423905111.db2.gz SFNWWZFRBOASCG-OAHLLOKOSA-N 1 2 309.431 1.950 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCCC[N@@H+](CC)c1ccccc1 ZINC000645132172 424183202 /nfs/dbraw/zinc/18/32/02/424183202.db2.gz QHWLVVHJXUXOSD-MRXNPFEDSA-N 1 2 317.433 1.977 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCCC[N@H+](CC)c1ccccc1 ZINC000645132172 424183209 /nfs/dbraw/zinc/18/32/09/424183209.db2.gz QHWLVVHJXUXOSD-MRXNPFEDSA-N 1 2 317.433 1.977 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCc2nc(C)ncc2C1 ZINC000642531290 424189478 /nfs/dbraw/zinc/18/94/78/424189478.db2.gz CVHRQUYIHBYTRG-CYBMUJFWSA-N 1 2 300.406 1.732 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCc2nc(C)ncc2C1 ZINC000642531290 424189487 /nfs/dbraw/zinc/18/94/87/424189487.db2.gz CVHRQUYIHBYTRG-CYBMUJFWSA-N 1 2 300.406 1.732 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H]2CCN(CC(F)(F)F)C2=O)nn1 ZINC000657369428 424313903 /nfs/dbraw/zinc/31/39/03/424313903.db2.gz FZNUVGWADMVNTD-NSHDSACASA-N 1 2 317.315 1.107 20 30 DDEDLO C=CCCNC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000660216073 424599010 /nfs/dbraw/zinc/59/90/10/424599010.db2.gz YMUKOMZJZBFGKL-ZDUSSCGKSA-N 1 2 309.435 1.997 20 30 DDEDLO C=CCOCCCNC(=O)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000658523422 424682532 /nfs/dbraw/zinc/68/25/32/424682532.db2.gz HWTZHZRYESAHCE-ZDUSSCGKSA-N 1 2 320.393 1.172 20 30 DDEDLO C[C@@H]1C[C@H](CO)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000398662634 267088963 /nfs/dbraw/zinc/08/89/63/267088963.db2.gz PBHNPTWDEUAQIP-HIFRSBDPSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]1C[C@H](CO)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000398662634 267088964 /nfs/dbraw/zinc/08/89/64/267088964.db2.gz PBHNPTWDEUAQIP-HIFRSBDPSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000360741348 267108336 /nfs/dbraw/zinc/10/83/36/267108336.db2.gz ZBGKOOKZPFPCOC-IFMYKAFSSA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000360741348 267108338 /nfs/dbraw/zinc/10/83/38/267108338.db2.gz ZBGKOOKZPFPCOC-IFMYKAFSSA-N 1 2 319.430 1.728 20 30 DDEDLO CCOC[C@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)CCO1 ZINC000528719435 267141906 /nfs/dbraw/zinc/14/19/06/267141906.db2.gz NDSFDYQOCMBNKN-CYBMUJFWSA-N 1 2 323.418 1.686 20 30 DDEDLO CCOC[C@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)CCO1 ZINC000528719435 267141908 /nfs/dbraw/zinc/14/19/08/267141908.db2.gz NDSFDYQOCMBNKN-CYBMUJFWSA-N 1 2 323.418 1.686 20 30 DDEDLO C[C@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)Nc1ccncc1C#N ZINC000346619347 267239452 /nfs/dbraw/zinc/23/94/52/267239452.db2.gz LEOAIMLRWLZTQF-GXTWGEPZSA-N 1 2 307.357 1.481 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])C[C@@H](O)C(F)(F)F ZINC000517238788 267314429 /nfs/dbraw/zinc/31/44/29/267314429.db2.gz GUBUUDWFRCOFFU-LLVKDONJSA-N 1 2 303.240 1.821 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])C[C@@H](O)C(F)(F)F ZINC000517238788 267314433 /nfs/dbraw/zinc/31/44/33/267314433.db2.gz GUBUUDWFRCOFFU-LLVKDONJSA-N 1 2 303.240 1.821 20 30 DDEDLO Cc1oc(NC(=O)C[NH+]2CCC3(CCO3)CC2)c(C#N)c1C ZINC000368516899 267731032 /nfs/dbraw/zinc/73/10/32/267731032.db2.gz FFSIMTCCRXUSCU-UHFFFAOYSA-N 1 2 303.362 1.962 20 30 DDEDLO N#Cc1ccnc(NC[C@@H]2C[C@H](F)C[N@H+]2Cc2cncnc2)c1 ZINC000367817923 268298007 /nfs/dbraw/zinc/29/80/07/268298007.db2.gz ZENMCKOJWJDLEK-GJZGRUSLSA-N 1 2 312.352 1.768 20 30 DDEDLO N#Cc1ccnc(NC[C@@H]2C[C@H](F)C[N@@H+]2Cc2cncnc2)c1 ZINC000367817923 268298010 /nfs/dbraw/zinc/29/80/10/268298010.db2.gz ZENMCKOJWJDLEK-GJZGRUSLSA-N 1 2 312.352 1.768 20 30 DDEDLO N#Cc1csc(C(=O)N[C@H]2CCCC[C@@H]2[NH+]2CCOCC2)c1 ZINC000371985427 268318903 /nfs/dbraw/zinc/31/89/03/268318903.db2.gz FYHOUOUROIUWHY-KBPBESRZSA-N 1 2 319.430 1.993 20 30 DDEDLO N#Cc1cc(Br)cnc1N1CCn2c[nH+]cc2C1 ZINC000450531155 274919189 /nfs/dbraw/zinc/91/91/89/274919189.db2.gz HEIXGVYBYZPGPE-UHFFFAOYSA-N 1 2 304.151 1.932 20 30 DDEDLO CC(=O)N1CC[N@H+](CC(=O)NC2(C#N)CCCCC2)C(C)(C)C1 ZINC000182214213 278040229 /nfs/dbraw/zinc/04/02/29/278040229.db2.gz PBWBNGWLDYXVRO-UHFFFAOYSA-N 1 2 320.437 1.272 20 30 DDEDLO CC(=O)N1CC[N@@H+](CC(=O)NC2(C#N)CCCCC2)C(C)(C)C1 ZINC000182214213 278040230 /nfs/dbraw/zinc/04/02/30/278040230.db2.gz PBWBNGWLDYXVRO-UHFFFAOYSA-N 1 2 320.437 1.272 20 30 DDEDLO CC(C)(C#N)CCCCN1CC[NH+]([C@@H]2CCCCNC2=O)CC1 ZINC000365430017 278256958 /nfs/dbraw/zinc/25/69/58/278256958.db2.gz DIXUJLONZOWJEW-MRXNPFEDSA-N 1 2 320.481 1.993 20 30 DDEDLO CC(C)(C#N)c1ccccc1C[N@@H+]1CCSC[C@H]1C(N)=O ZINC000271593386 278259783 /nfs/dbraw/zinc/25/97/83/278259783.db2.gz SAVMGLGFXUVUJS-AWEZNQCLSA-N 1 2 303.431 1.890 20 30 DDEDLO CC(C)(C#N)c1ccccc1C[N@H+]1CCSC[C@H]1C(N)=O ZINC000271593386 278259784 /nfs/dbraw/zinc/25/97/84/278259784.db2.gz SAVMGLGFXUVUJS-AWEZNQCLSA-N 1 2 303.431 1.890 20 30 DDEDLO CC1(C)CN(C(=O)C2CC2)CC[N@@H+]1CC(=O)NC1CCOCC1 ZINC000329230321 278295801 /nfs/dbraw/zinc/29/58/01/278295801.db2.gz JYGFSCXTIQUODS-UHFFFAOYSA-N 1 2 323.437 1.455 20 30 DDEDLO CC1(C)CN(C(=O)C2CC2)CC[N@H+]1CC(=O)NC1CCOCC1 ZINC000329230321 278295804 /nfs/dbraw/zinc/29/58/04/278295804.db2.gz JYGFSCXTIQUODS-UHFFFAOYSA-N 1 2 323.437 1.455 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000338250726 279152666 /nfs/dbraw/zinc/15/26/66/279152666.db2.gz HWERVNURHPLOFT-KSSFIOAISA-N 1 2 319.368 1.631 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000338250726 279152669 /nfs/dbraw/zinc/15/26/69/279152669.db2.gz HWERVNURHPLOFT-KSSFIOAISA-N 1 2 319.368 1.631 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CN(c2ccccc2)C1=O)[NH+]1CCSCC1 ZINC000329424476 289232120 /nfs/dbraw/zinc/23/21/20/289232120.db2.gz FNIWRDPLNCYSGX-TZMCWYRMSA-N 1 2 319.430 1.796 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N1CCC(F)(C#N)CC1)[NH+]1CCOCC1 ZINC000345032357 290203311 /nfs/dbraw/zinc/20/33/11/290203311.db2.gz WVLYPEMWRUDRCP-QWHCGFSZSA-N 1 2 312.389 1.133 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CC[C@@H](O)C12CCCC2 ZINC000330286236 295384855 /nfs/dbraw/zinc/38/48/55/295384855.db2.gz AHJKTNJEVRGHLP-BXUZGUMPSA-N 1 2 304.394 1.560 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CC[C@@H](O)C12CCCC2 ZINC000330286236 295384857 /nfs/dbraw/zinc/38/48/57/295384857.db2.gz AHJKTNJEVRGHLP-BXUZGUMPSA-N 1 2 304.394 1.560 20 30 DDEDLO Cc1nsc(NC(=O)N[C@H](C)C[NH+]2CCOCC2)c1C#N ZINC000294283001 302863343 /nfs/dbraw/zinc/86/33/43/302863343.db2.gz SWQJWLDLOQJLMD-SECBINFHSA-N 1 2 309.395 1.165 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1[C@H](NC(=O)NC2CC2)CCC(=O)N1C1CC1 ZINC000328954394 303031606 /nfs/dbraw/zinc/03/16/06/303031606.db2.gz MVAMDSVDMLDXBX-IUODEOHRSA-N 1 2 317.393 1.281 20 30 DDEDLO N#Cc1cccc(NC(=O)[C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000571287704 308193828 /nfs/dbraw/zinc/19/38/28/308193828.db2.gz VJLQJOIKXKKUTH-INIZCTEOSA-N 1 2 322.368 1.793 20 30 DDEDLO N#Cc1cccc(NC(=O)[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000571287704 308193829 /nfs/dbraw/zinc/19/38/29/308193829.db2.gz VJLQJOIKXKKUTH-INIZCTEOSA-N 1 2 322.368 1.793 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3C[C@]4(CCCO4)[C@H]3C3CC3)cnc12 ZINC000575401934 308276338 /nfs/dbraw/zinc/27/63/38/308276338.db2.gz UNGDIFAQZQNHIB-NVXWUHKLSA-N 1 2 309.373 1.744 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3C[C@]4(CCCO4)[C@H]3C3CC3)cnc12 ZINC000575401934 308276340 /nfs/dbraw/zinc/27/63/40/308276340.db2.gz UNGDIFAQZQNHIB-NVXWUHKLSA-N 1 2 309.373 1.744 20 30 DDEDLO CC(=O)Nc1ccc(C)c(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000580198225 333000481 /nfs/dbraw/zinc/00/04/81/333000481.db2.gz WVTGCXNWZHZNAW-MRXNPFEDSA-N 1 2 302.378 1.527 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@H](C)c2nnnn2-c2ccccc2)C1=O ZINC000531191312 333329852 /nfs/dbraw/zinc/32/98/52/333329852.db2.gz ORHWGHGWAMLAAX-OCCSQVGLSA-N 1 2 312.377 1.100 20 30 DDEDLO Cc1ccc(C(N)=[NH+]OCc2nnc3n2CCOC3)cc1F ZINC000280758669 334120243 /nfs/dbraw/zinc/12/02/43/334120243.db2.gz NCYAGTOGJNMWBJ-UHFFFAOYSA-N 1 2 305.313 1.093 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[NH+]2CC(C(F)(F)F)C2)cc1 ZINC000583660995 336035323 /nfs/dbraw/zinc/03/53/23/336035323.db2.gz VWGFHWPZWPCYHT-GFCCVEGCSA-N 1 2 300.280 1.792 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+](C)CC(=O)Nc1ccccc1 ZINC000527050762 336281330 /nfs/dbraw/zinc/28/13/30/336281330.db2.gz XLSCHUMBGKUORR-ZDUSSCGKSA-N 1 2 314.389 1.508 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+](C)CC(=O)Nc1ccccc1 ZINC000527050762 336281331 /nfs/dbraw/zinc/28/13/31/336281331.db2.gz XLSCHUMBGKUORR-ZDUSSCGKSA-N 1 2 314.389 1.508 20 30 DDEDLO Cc1nsc(N[C@H](C)CN(C)C(=O)Cc2c[nH+]c[nH]2)c1C#N ZINC001120712781 782103322 /nfs/dbraw/zinc/10/33/22/782103322.db2.gz RTUBMKSFZSYBNL-SECBINFHSA-N 1 2 318.406 1.548 20 30 DDEDLO CC1=NN(c2ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc2)CC1 ZINC000582244790 336392591 /nfs/dbraw/zinc/39/25/91/336392591.db2.gz OHFODKQRIGKOLB-QGZVFWFLSA-N 1 2 313.405 1.846 20 30 DDEDLO C=CCCn1cc(C(=O)N2CC(C)(C)[C@@H]2c2[nH+]ccn2C)nn1 ZINC000515415008 337988331 /nfs/dbraw/zinc/98/83/31/337988331.db2.gz NLABFCHBNNNPIV-ZDUSSCGKSA-N 1 2 314.393 1.811 20 30 DDEDLO C=CCCn1cc(C(=O)N2CC[C@H](C)[C@@H](n3cc[nH+]c3)C2)nn1 ZINC000516052181 338003141 /nfs/dbraw/zinc/00/31/41/338003141.db2.gz ZGDLNUWUKPIVNY-ZFWWWQNUSA-N 1 2 314.393 1.774 20 30 DDEDLO C#CC[C@H]([NH2+][C@@H]1CCCN(C(=O)OC(C)(C)C)CC1)C(=O)OC ZINC000496827358 340013941 /nfs/dbraw/zinc/01/39/41/340013941.db2.gz JZAMIECHPVECPT-KGLIPLIRSA-N 1 2 324.421 1.931 20 30 DDEDLO COC(=O)C[C@H](C)[NH+]1CCN(C(=O)C2(C#N)CCCCC2)CC1 ZINC000174365957 340386879 /nfs/dbraw/zinc/38/68/79/340386879.db2.gz AUYHEWXEURTSLS-AWEZNQCLSA-N 1 2 321.421 1.556 20 30 DDEDLO N#CC1(CS(=O)(=O)NCCCCn2cc[nH+]c2)CCCC1 ZINC000546783255 341182422 /nfs/dbraw/zinc/18/24/22/341182422.db2.gz PKHDGAPFNCOIMR-UHFFFAOYSA-N 1 2 310.423 1.667 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH+]1CCN(c2ccccc2)CC1 ZINC000076426569 341207114 /nfs/dbraw/zinc/20/71/14/341207114.db2.gz VSFDQNBXZWEDEE-MRXNPFEDSA-N 1 2 314.433 1.817 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CCN(S(C)(=O)=O)CC2)cc1 ZINC000134536075 341217489 /nfs/dbraw/zinc/21/74/89/341217489.db2.gz FYOFSFQUQSWUIB-UHFFFAOYSA-N 1 2 310.419 1.329 20 30 DDEDLO C=CC[N@H+](CC(=O)N1CC(=O)Nc2ccccc21)[C@H](C)COC ZINC000661244418 484996857 /nfs/dbraw/zinc/99/68/57/484996857.db2.gz TYVLLGSJCMJTIT-CYBMUJFWSA-N 1 2 317.389 1.495 20 30 DDEDLO C=CC[N@@H+](CC(=O)N1CC(=O)Nc2ccccc21)[C@H](C)COC ZINC000661244418 484996861 /nfs/dbraw/zinc/99/68/61/484996861.db2.gz TYVLLGSJCMJTIT-CYBMUJFWSA-N 1 2 317.389 1.495 20 30 DDEDLO N#CCN1CC[NH+](Cc2ccc(Cl)cc2-n2cncn2)CC1 ZINC000279626223 501029370 /nfs/dbraw/zinc/02/93/70/501029370.db2.gz KMNDBQYIBYSGIO-UHFFFAOYSA-N 1 2 316.796 1.562 20 30 DDEDLO C[C@@H]1CCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)[C@H]1CO ZINC000331293294 533909001 /nfs/dbraw/zinc/90/90/01/533909001.db2.gz QRJJUXGXDIVAIC-ZJUUUORDSA-N 1 2 320.315 1.562 20 30 DDEDLO C[C@]1(C(N)=O)CCN(C(=O)NC[C@H]2CCCn3cc[nH+]c32)C1 ZINC000329944162 534162263 /nfs/dbraw/zinc/16/22/63/534162263.db2.gz DZUQZNOMNCJXEF-ABAIWWIYSA-N 1 2 305.382 1.922 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)Cc1cccc(C#N)c1 ZINC000299079867 534272688 /nfs/dbraw/zinc/27/26/88/534272688.db2.gz RLTUDLJKBVDJML-LBPRGKRZSA-N 1 2 304.375 1.263 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@]2(C#N)CC23CCCC3)C[C@@H]1[NH+]1CCOCC1 ZINC000333601106 534391410 /nfs/dbraw/zinc/39/14/10/534391410.db2.gz VEHNHMMCEMQJFZ-RVKKMQEKSA-N 1 2 317.433 1.640 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@@H](CCO)C(C)C)CCC2 ZINC000330412667 534510610 /nfs/dbraw/zinc/51/06/10/534510610.db2.gz VHSOULLEYXQEOJ-KBPBESRZSA-N 1 2 308.426 1.980 20 30 DDEDLO N#CCCS(=O)(=O)N1CCC(CCCn2cc[nH+]c2)CC1 ZINC000331303604 534651131 /nfs/dbraw/zinc/65/11/31/534651131.db2.gz FOGIIFVYTGPCCJ-UHFFFAOYSA-N 1 2 310.423 1.619 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000345652693 534718674 /nfs/dbraw/zinc/71/86/74/534718674.db2.gz ZQYIDJCCMIPDMW-QGZVFWFLSA-N 1 2 323.400 1.670 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2c(C)cccc2C)C1 ZINC000330949925 526401003 /nfs/dbraw/zinc/40/10/03/526401003.db2.gz OEIXBAQCWJMFLJ-CYBMUJFWSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2c(C)cccc2C)C1 ZINC000330949925 526401007 /nfs/dbraw/zinc/40/10/07/526401007.db2.gz OEIXBAQCWJMFLJ-CYBMUJFWSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000330944463 526403123 /nfs/dbraw/zinc/40/31/23/526403123.db2.gz IVVNZOOPPXSUAR-DGCLKSJQSA-N 1 2 315.377 1.093 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000330944463 526403127 /nfs/dbraw/zinc/40/31/27/526403127.db2.gz IVVNZOOPPXSUAR-DGCLKSJQSA-N 1 2 315.377 1.093 20 30 DDEDLO C=CCN1C(=O)c2ccc(C(=O)N(C)Cc3[nH]cc[nH+]3)cc2C1=O ZINC000347518836 526408655 /nfs/dbraw/zinc/40/86/55/526408655.db2.gz NSAJKAXWZICRGB-UHFFFAOYSA-N 1 2 324.340 1.464 20 30 DDEDLO CC(=O)N1CC[C@H](NC(=O)N2CC[C@H](C)[C@@H](n3cc[nH+]c3)C2)C1 ZINC000329945391 526655869 /nfs/dbraw/zinc/65/58/69/526655869.db2.gz FUHPQNLTOYCSOF-QEJZJMRPSA-N 1 2 319.409 1.301 20 30 DDEDLO CC(=O)N1CC[C@H]([NH+]=C([O-])N2CC[C@H](C)[C@@H](n3cc[nH+]c3)C2)C1 ZINC000329945391 526655871 /nfs/dbraw/zinc/65/58/71/526655871.db2.gz FUHPQNLTOYCSOF-QEJZJMRPSA-N 1 2 319.409 1.301 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCc1ccc(OC(F)F)cc1 ZINC000490759314 526845721 /nfs/dbraw/zinc/84/57/21/526845721.db2.gz CDGIBVADNPIJPJ-UHFFFAOYSA-N 1 2 306.312 1.473 20 30 DDEDLO CC(C)(C)c1nsc(NC(=O)N[C@H]2CCn3cc[nH+]c3C2)n1 ZINC000330242857 526854196 /nfs/dbraw/zinc/85/41/96/526854196.db2.gz CHWKMNOBYJCWKN-VIFPVBQESA-N 1 2 320.422 1.795 20 30 DDEDLO C#CC[N@@H+](CC[C@@H]1CC1(Cl)Cl)[C@H]1CCS(=O)(=O)C1 ZINC000491771869 526901230 /nfs/dbraw/zinc/90/12/30/526901230.db2.gz ROJNQYGFVQKJBN-MNOVXSKESA-N 1 2 310.246 1.693 20 30 DDEDLO C#CC[N@H+](CC[C@@H]1CC1(Cl)Cl)[C@H]1CCS(=O)(=O)C1 ZINC000491771869 526901237 /nfs/dbraw/zinc/90/12/37/526901237.db2.gz ROJNQYGFVQKJBN-MNOVXSKESA-N 1 2 310.246 1.693 20 30 DDEDLO C#CC[N@@H+](C[C@H](Cc1ccccc1)OC)[C@@H]1CCS(=O)(=O)C1 ZINC000491255971 526902474 /nfs/dbraw/zinc/90/24/74/526902474.db2.gz YUPCGHOYDRBIRZ-SJORKVTESA-N 1 2 321.442 1.366 20 30 DDEDLO C#CC[N@H+](C[C@H](Cc1ccccc1)OC)[C@@H]1CCS(=O)(=O)C1 ZINC000491255971 526902479 /nfs/dbraw/zinc/90/24/79/526902479.db2.gz YUPCGHOYDRBIRZ-SJORKVTESA-N 1 2 321.442 1.366 20 30 DDEDLO C=CCOc1cccc(N2C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C2=O)c1 ZINC000348591196 526904920 /nfs/dbraw/zinc/90/49/20/526904920.db2.gz VRTPSABMIFPXQS-AWEZNQCLSA-N 1 2 312.329 1.642 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCO3)n2CC(C)C)CC1 ZINC000491811909 526955603 /nfs/dbraw/zinc/95/56/03/526955603.db2.gz DEKRRWCZYWVRCI-HNNXBMFYSA-N 1 2 317.437 1.541 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCC([C@@](C)(O)C(F)(F)F)CC1 ZINC000491013150 527025825 /nfs/dbraw/zinc/02/58/25/527025825.db2.gz INGGLAFQVIFXTQ-GXFFZTMASA-N 1 2 306.328 1.150 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)CCc2csc(C)n2)n1 ZINC000491714924 527190621 /nfs/dbraw/zinc/19/06/21/527190621.db2.gz IFMUMUAKCVNWPQ-UHFFFAOYSA-N 1 2 318.446 1.972 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)CCc2csc(C)n2)n1 ZINC000491714924 527190627 /nfs/dbraw/zinc/19/06/27/527190627.db2.gz IFMUMUAKCVNWPQ-UHFFFAOYSA-N 1 2 318.446 1.972 20 30 DDEDLO C#C[C@@H](NC(=O)C1([NH+]2CCOCC2)CCC1)c1ccc(F)cc1 ZINC000491729928 527263738 /nfs/dbraw/zinc/26/37/38/527263738.db2.gz IYJNFUBKFRLSHP-MRXNPFEDSA-N 1 2 316.376 1.871 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000491644767 527310414 /nfs/dbraw/zinc/31/04/14/527310414.db2.gz LMOYSRNWASGEAB-CYBMUJFWSA-N 1 2 303.318 1.257 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000491644767 527310423 /nfs/dbraw/zinc/31/04/23/527310423.db2.gz LMOYSRNWASGEAB-CYBMUJFWSA-N 1 2 303.318 1.257 20 30 DDEDLO C#C[C@H](C)N(C)CC(=O)Nc1nc(C[NH+]2CCCC2)cs1 ZINC000491806953 527331493 /nfs/dbraw/zinc/33/14/93/527331493.db2.gz RYKKCVZFPZMPRU-LBPRGKRZSA-N 1 2 306.435 1.631 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@@H](O)Cc1ccccc1C(F)(F)F ZINC000491689408 527428475 /nfs/dbraw/zinc/42/84/75/527428475.db2.gz ILHGTRLFRXDGKW-KBPBESRZSA-N 1 2 313.319 1.943 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@@H](O)Cc1ccccc1C(F)(F)F ZINC000491689408 527428480 /nfs/dbraw/zinc/42/84/80/527428480.db2.gz ILHGTRLFRXDGKW-KBPBESRZSA-N 1 2 313.319 1.943 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@H](O)COc1ccccc1CC=C ZINC000491775860 527428818 /nfs/dbraw/zinc/42/88/18/527428818.db2.gz XMFYIPXACOMJNR-IRXDYDNUSA-N 1 2 301.386 1.489 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@H](O)COc1ccccc1CC=C ZINC000491775860 527428827 /nfs/dbraw/zinc/42/88/27/527428827.db2.gz XMFYIPXACOMJNR-IRXDYDNUSA-N 1 2 301.386 1.489 20 30 DDEDLO C=CCN(C(=O)C[NH+]1[C@H](C)CC[C@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000330917799 527534464 /nfs/dbraw/zinc/53/44/64/527534464.db2.gz WOASOMSKYXTZBL-MCIONIFRSA-N 1 2 314.451 1.061 20 30 DDEDLO CCN(C)C(=O)[C@H](C)NC(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000331020115 527768347 /nfs/dbraw/zinc/76/83/47/527768347.db2.gz OIEXGXNBZHUABA-JSGCOSHPSA-N 1 2 320.393 1.316 20 30 DDEDLO CCCN1CCCN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)CC1=O ZINC000329908758 528207295 /nfs/dbraw/zinc/20/72/95/528207295.db2.gz MBLXNNRZXCUCGL-ZDUSSCGKSA-N 1 2 319.409 1.056 20 30 DDEDLO CCOC1CC(CCNC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)C1 ZINC000329973236 528480292 /nfs/dbraw/zinc/48/02/92/528480292.db2.gz WQUYLJQKWORSOS-WUCCLRPBSA-N 1 2 311.426 1.120 20 30 DDEDLO CCOC1CC(CCNC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)C1 ZINC000329973236 528480295 /nfs/dbraw/zinc/48/02/95/528480295.db2.gz WQUYLJQKWORSOS-WUCCLRPBSA-N 1 2 311.426 1.120 20 30 DDEDLO CC(C)[C@@H]1C[C@H](NC([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)CCO1 ZINC000330181174 528780753 /nfs/dbraw/zinc/78/07/53/528780753.db2.gz GMRBKRMETZHZDY-MCIONIFRSA-N 1 2 306.410 1.905 20 30 DDEDLO CC(C)[C@@H]1C[C@H]([NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)CCO1 ZINC000330181174 528780757 /nfs/dbraw/zinc/78/07/57/528780757.db2.gz GMRBKRMETZHZDY-MCIONIFRSA-N 1 2 306.410 1.905 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)NC[C@@H]1CCCCS1 ZINC000329669514 529131521 /nfs/dbraw/zinc/13/15/21/529131521.db2.gz SNUCLDYIFFYYPT-ZDUSSCGKSA-N 1 2 315.483 1.887 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)NC[C@@H]1CCCCS1 ZINC000329669514 529131522 /nfs/dbraw/zinc/13/15/22/529131522.db2.gz SNUCLDYIFFYYPT-ZDUSSCGKSA-N 1 2 315.483 1.887 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CC[N@@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000833760034 604988711 /nfs/dbraw/zinc/98/87/11/604988711.db2.gz KOGMVVNOHNPSHP-LSDHHAIUSA-N 1 2 310.357 1.907 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000833760034 604988715 /nfs/dbraw/zinc/98/87/15/604988715.db2.gz KOGMVVNOHNPSHP-LSDHHAIUSA-N 1 2 310.357 1.907 20 30 DDEDLO CC[N@H+](C[C@@H](C)C#N)C[C@H](O)c1ccc(-c2nn[nH]n2)cc1 ZINC000821170778 606891477 /nfs/dbraw/zinc/89/14/77/606891477.db2.gz WOROQQLUXFJZSR-FZMZJTMJSA-N 1 2 300.366 1.382 20 30 DDEDLO CC[N@@H+](C[C@@H](C)C#N)C[C@H](O)c1ccc(-c2nn[nH]n2)cc1 ZINC000821170778 606891479 /nfs/dbraw/zinc/89/14/79/606891479.db2.gz WOROQQLUXFJZSR-FZMZJTMJSA-N 1 2 300.366 1.382 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)N[C@@](C)(C#N)C(C)C ZINC000745084806 699971003 /nfs/dbraw/zinc/97/10/03/699971003.db2.gz BLUDUVTULLMRAA-CJNGLKHVSA-N 1 2 309.410 1.458 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)N[C@@](C)(C#N)C(C)C ZINC000745084806 699971005 /nfs/dbraw/zinc/97/10/05/699971005.db2.gz BLUDUVTULLMRAA-CJNGLKHVSA-N 1 2 309.410 1.458 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccs3)C2)C1 ZINC000972227327 695182338 /nfs/dbraw/zinc/18/23/38/695182338.db2.gz HWIOHPKAILGGHM-INIZCTEOSA-N 1 2 306.431 1.780 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccs3)C2)C1 ZINC000972227327 695182340 /nfs/dbraw/zinc/18/23/40/695182340.db2.gz HWIOHPKAILGGHM-INIZCTEOSA-N 1 2 306.431 1.780 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]([N@H+](C)Cc3nocc3C)C2)c1 ZINC000972292426 695207558 /nfs/dbraw/zinc/20/75/58/695207558.db2.gz QLSHQTLKEFFKJI-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]([N@@H+](C)Cc3nocc3C)C2)c1 ZINC000972292426 695207561 /nfs/dbraw/zinc/20/75/61/695207561.db2.gz QLSHQTLKEFFKJI-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCOC3)C2)C1 ZINC000972325594 695216353 /nfs/dbraw/zinc/21/63/53/695216353.db2.gz AYVCQIJXYLGNCR-AEFFLSMTSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCOC3)C2)C1 ZINC000972325594 695216354 /nfs/dbraw/zinc/21/63/54/695216354.db2.gz AYVCQIJXYLGNCR-AEFFLSMTSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3coc(C)c3)C2)C1 ZINC000972358675 695227678 /nfs/dbraw/zinc/22/76/78/695227678.db2.gz UJBJCFHVENKCHP-QGZVFWFLSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3coc(C)c3)C2)C1 ZINC000972358675 695227680 /nfs/dbraw/zinc/22/76/80/695227680.db2.gz UJBJCFHVENKCHP-QGZVFWFLSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CC(C)(C)C3)C2)C1 ZINC000972367240 695230899 /nfs/dbraw/zinc/23/08/99/695230899.db2.gz HECIZDXGEPKIDX-GOSISDBHSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CC(C)(C)C3)C2)C1 ZINC000972367240 695230901 /nfs/dbraw/zinc/23/09/01/695230901.db2.gz HECIZDXGEPKIDX-GOSISDBHSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(=C)Cl)C2)C1 ZINC000972394439 695239051 /nfs/dbraw/zinc/23/90/51/695239051.db2.gz HQYSUBADVXCKRS-MRXNPFEDSA-N 1 2 310.825 1.702 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(=C)Cl)C2)C1 ZINC000972394439 695239052 /nfs/dbraw/zinc/23/90/52/695239052.db2.gz HQYSUBADVXCKRS-MRXNPFEDSA-N 1 2 310.825 1.702 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccns3)C2)C1 ZINC000972410988 695242541 /nfs/dbraw/zinc/24/25/41/695242541.db2.gz YROBWBRKCQDYFS-HNNXBMFYSA-N 1 2 305.403 1.083 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccns3)C2)C1 ZINC000972410988 695242542 /nfs/dbraw/zinc/24/25/42/695242542.db2.gz YROBWBRKCQDYFS-HNNXBMFYSA-N 1 2 305.403 1.083 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccnc(OC)c3)C2)C1 ZINC000972443540 695252871 /nfs/dbraw/zinc/25/28/71/695252871.db2.gz IUNGFIORONTQML-QGZVFWFLSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccnc(OC)c3)C2)C1 ZINC000972443540 695252873 /nfs/dbraw/zinc/25/28/73/695252873.db2.gz IUNGFIORONTQML-QGZVFWFLSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(OCC)no3)C2)C1 ZINC000972465547 695257541 /nfs/dbraw/zinc/25/75/41/695257541.db2.gz JEAGOLLCTSKGMX-INIZCTEOSA-N 1 2 321.377 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(OCC)no3)C2)C1 ZINC000972465547 695257542 /nfs/dbraw/zinc/25/75/42/695257542.db2.gz JEAGOLLCTSKGMX-INIZCTEOSA-N 1 2 321.377 1.176 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCC3(C)C)C2)C1 ZINC000972510929 695268918 /nfs/dbraw/zinc/26/89/18/695268918.db2.gz QMWDPIMKXSHAKR-QAPCUYQASA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCC3(C)C)C2)C1 ZINC000972510929 695268919 /nfs/dbraw/zinc/26/89/19/695268919.db2.gz QMWDPIMKXSHAKR-QAPCUYQASA-N 1 2 304.434 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C(C)(F)F)C2)C1 ZINC000972556169 695281720 /nfs/dbraw/zinc/28/17/20/695281720.db2.gz DGMUOUSXGDZIGD-WFASDCNBSA-N 1 2 302.365 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C(C)(F)F)C2)C1 ZINC000972556169 695281722 /nfs/dbraw/zinc/28/17/22/695281722.db2.gz DGMUOUSXGDZIGD-WFASDCNBSA-N 1 2 302.365 1.767 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC34CCCC4)C2)C1 ZINC000972623600 695302294 /nfs/dbraw/zinc/30/22/94/695302294.db2.gz AZAWCIGQGKTUEO-VQIMIIECSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC34CCCC4)C2)C1 ZINC000972623600 695302296 /nfs/dbraw/zinc/30/22/96/695302296.db2.gz AZAWCIGQGKTUEO-VQIMIIECSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CC34CCCC4)C2)C1 ZINC000972624619 695302429 /nfs/dbraw/zinc/30/24/29/695302429.db2.gz RELRTTJGGFNOIV-MAUKXSAKSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CC34CCCC4)C2)C1 ZINC000972624619 695302431 /nfs/dbraw/zinc/30/24/31/695302431.db2.gz RELRTTJGGFNOIV-MAUKXSAKSA-N 1 2 302.418 1.503 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(F)cc3)C2)C1 ZINC000972662670 695312880 /nfs/dbraw/zinc/31/28/80/695312880.db2.gz SRHKAKIHRHWFPH-GOSISDBHSA-N 1 2 318.392 1.858 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(F)cc3)C2)C1 ZINC000972662670 695312883 /nfs/dbraw/zinc/31/28/83/695312883.db2.gz SRHKAKIHRHWFPH-GOSISDBHSA-N 1 2 318.392 1.858 20 30 DDEDLO N#CCCNC(=O)C[N@H+](CCc1ccccn1)Cc1cccnc1 ZINC000797531208 700013775 /nfs/dbraw/zinc/01/37/75/700013775.db2.gz SMGSSPHSBMKYQE-UHFFFAOYSA-N 1 2 323.400 1.551 20 30 DDEDLO N#CCCNC(=O)C[N@@H+](CCc1ccccn1)Cc1cccnc1 ZINC000797531208 700013777 /nfs/dbraw/zinc/01/37/77/700013777.db2.gz SMGSSPHSBMKYQE-UHFFFAOYSA-N 1 2 323.400 1.551 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnnn2CC)C(C)(C)C1 ZINC000974566626 695687179 /nfs/dbraw/zinc/68/71/79/695687179.db2.gz BPYRLJQSWCBLRY-GFCCVEGCSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnnn2CC)C(C)(C)C1 ZINC000974566626 695687180 /nfs/dbraw/zinc/68/71/80/695687180.db2.gz BPYRLJQSWCBLRY-GFCCVEGCSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C[C@H]2CCNC2=O)C(C)(C)C1 ZINC000974662862 695706635 /nfs/dbraw/zinc/70/66/35/695706635.db2.gz BBZWWZZMFOGWIY-NEPJUHHUSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C[C@H]2CCNC2=O)C(C)(C)C1 ZINC000974662862 695706636 /nfs/dbraw/zinc/70/66/36/695706636.db2.gz BBZWWZZMFOGWIY-NEPJUHHUSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974771475 695731020 /nfs/dbraw/zinc/73/10/20/695731020.db2.gz YKEWXJQXFXLPRI-RYUDHWBXSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974771475 695731022 /nfs/dbraw/zinc/73/10/22/695731022.db2.gz YKEWXJQXFXLPRI-RYUDHWBXSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)C(C)(C)C1 ZINC000975005029 695776353 /nfs/dbraw/zinc/77/63/53/695776353.db2.gz TZTWMRMMOBQOHB-ABAIWWIYSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)C(C)(C)C1 ZINC000975005029 695776355 /nfs/dbraw/zinc/77/63/55/695776355.db2.gz TZTWMRMMOBQOHB-ABAIWWIYSA-N 1 2 313.829 1.234 20 30 DDEDLO CC1(C)CN(CC#N)C[C@H]1NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000975028739 695780020 /nfs/dbraw/zinc/78/00/20/695780020.db2.gz MQYZHJJEFOLPCY-DGCLKSJQSA-N 1 2 301.394 1.180 20 30 DDEDLO C#CCN(CC#CC)C(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000746775609 700039837 /nfs/dbraw/zinc/03/98/37/700039837.db2.gz JCLDTCICFSPNRS-UHFFFAOYSA-N 1 2 308.385 1.853 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[NH+](CCOC(C)C)CC2)c1 ZINC000798173709 700046811 /nfs/dbraw/zinc/04/68/11/700046811.db2.gz YEOHWZSXQIMWNK-UHFFFAOYSA-N 1 2 301.390 1.246 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1[nH]cc[nH+]1 ZINC000977053758 696041510 /nfs/dbraw/zinc/04/15/10/696041510.db2.gz XRENSSIQZTYCNR-NENTXLIVSA-N 1 2 316.405 1.128 20 30 DDEDLO NC(=[NH+]OCC(=O)NCc1cccs1)c1ccc(F)cc1 ZINC000029464546 696110528 /nfs/dbraw/zinc/11/05/28/696110528.db2.gz WGPXRTXFOCZUHF-UHFFFAOYSA-N 1 2 307.350 1.841 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(C)(C)S(C)(=O)=O)cc1C#N ZINC000124202109 696740225 /nfs/dbraw/zinc/74/02/25/696740225.db2.gz VSHAHCKDQFMCLU-UHFFFAOYSA-N 1 2 310.419 1.822 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(C)(C)S(C)(=O)=O)cc1C#N ZINC000124202109 696740226 /nfs/dbraw/zinc/74/02/26/696740226.db2.gz VSHAHCKDQFMCLU-UHFFFAOYSA-N 1 2 310.419 1.822 20 30 DDEDLO COc1ccccc1NC(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000137657077 696859164 /nfs/dbraw/zinc/85/91/64/696859164.db2.gz KGFSNPWMJDOVCD-UHFFFAOYSA-N 1 2 314.345 1.560 20 30 DDEDLO C=C(C)C[N@@H+]1CCCN(C(=O)C[C@@H]2CCCS2(=O)=O)CC1 ZINC000981692959 696877249 /nfs/dbraw/zinc/87/72/49/696877249.db2.gz KSRMFKKSFYRRGU-AWEZNQCLSA-N 1 2 314.451 1.064 20 30 DDEDLO C=C(C)C[N@H+]1CCCN(C(=O)C[C@@H]2CCCS2(=O)=O)CC1 ZINC000981692959 696877252 /nfs/dbraw/zinc/87/72/52/696877252.db2.gz KSRMFKKSFYRRGU-AWEZNQCLSA-N 1 2 314.451 1.064 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CCCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC000981758516 696904471 /nfs/dbraw/zinc/90/44/71/696904471.db2.gz SVMLEJYMSKQIOY-OAHLLOKOSA-N 1 2 324.428 1.875 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CCCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC000981758516 696904474 /nfs/dbraw/zinc/90/44/74/696904474.db2.gz SVMLEJYMSKQIOY-OAHLLOKOSA-N 1 2 324.428 1.875 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](CC(=O)NC2CCCCC2)CC1 ZINC000980848420 696916493 /nfs/dbraw/zinc/91/64/93/696916493.db2.gz WQDNOVYCRSESLR-CQSZACIVSA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](CC(=O)NC2CCCCC2)CC1 ZINC000980848420 696916494 /nfs/dbraw/zinc/91/64/94/696916494.db2.gz WQDNOVYCRSESLR-CQSZACIVSA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000980848164 696916559 /nfs/dbraw/zinc/91/65/59/696916559.db2.gz QUXAEFOOEDAYGB-CABCVRRESA-N 1 2 320.437 1.081 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000980848164 696916561 /nfs/dbraw/zinc/91/65/61/696916561.db2.gz QUXAEFOOEDAYGB-CABCVRRESA-N 1 2 320.437 1.081 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cn2nccc2-c2ccncc2)C1=O ZINC000799143104 700122446 /nfs/dbraw/zinc/12/24/46/700122446.db2.gz FQNAJPJWOZYUHS-INIZCTEOSA-N 1 2 311.389 1.621 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cn2nccc2-c2ccncc2)C1=O ZINC000799143104 700122449 /nfs/dbraw/zinc/12/24/49/700122449.db2.gz FQNAJPJWOZYUHS-INIZCTEOSA-N 1 2 311.389 1.621 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2ccc(Cn3cccn3)o2)CC1 ZINC000981220148 697038259 /nfs/dbraw/zinc/03/82/59/697038259.db2.gz ZMBNGJLJKRAVOO-UHFFFAOYSA-N 1 2 312.373 1.306 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2ccc(Cn3cccn3)o2)CC1 ZINC000981220148 697038261 /nfs/dbraw/zinc/03/82/61/697038261.db2.gz ZMBNGJLJKRAVOO-UHFFFAOYSA-N 1 2 312.373 1.306 20 30 DDEDLO C=CCC[N@H+]1CCCN(C(=O)[C@]2(C)CCCS2(=O)=O)CC1 ZINC000982172512 697048311 /nfs/dbraw/zinc/04/83/11/697048311.db2.gz BJUQEGOFCNGYFX-HNNXBMFYSA-N 1 2 314.451 1.064 20 30 DDEDLO C=CCC[N@@H+]1CCCN(C(=O)[C@]2(C)CCCS2(=O)=O)CC1 ZINC000982172512 697048312 /nfs/dbraw/zinc/04/83/12/697048312.db2.gz BJUQEGOFCNGYFX-HNNXBMFYSA-N 1 2 314.451 1.064 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)C[C@@H]2CCC(=O)NC2)CC1 ZINC000981314717 697064037 /nfs/dbraw/zinc/06/40/37/697064037.db2.gz CEPHHXFQWZWRGM-ZDUSSCGKSA-N 1 2 313.829 1.190 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)C[C@@H]2CCC(=O)NC2)CC1 ZINC000981314717 697064039 /nfs/dbraw/zinc/06/40/39/697064039.db2.gz CEPHHXFQWZWRGM-ZDUSSCGKSA-N 1 2 313.829 1.190 20 30 DDEDLO C[C@]12CN(CC#N)C[C@@]1(C)CN(C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C2 ZINC000982299954 697087548 /nfs/dbraw/zinc/08/75/48/697087548.db2.gz QLCDCAHZUJETHF-KFZJALRRSA-N 1 2 313.405 1.207 20 30 DDEDLO C[C@]12CN(CC#N)C[C@@]1(C)CN(C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C2 ZINC000982299954 697087550 /nfs/dbraw/zinc/08/75/50/697087550.db2.gz QLCDCAHZUJETHF-KFZJALRRSA-N 1 2 313.405 1.207 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC([N@H+](C)Cc2nn(C)cc2Cl)CC1 ZINC000985338941 697493997 /nfs/dbraw/zinc/49/39/97/697493997.db2.gz NVZIMNREDXULOZ-NSHDSACASA-N 1 2 323.828 1.656 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC([N@@H+](C)Cc2nn(C)cc2Cl)CC1 ZINC000985338941 697493999 /nfs/dbraw/zinc/49/39/99/697493999.db2.gz NVZIMNREDXULOZ-NSHDSACASA-N 1 2 323.828 1.656 20 30 DDEDLO CN(CC#N)C1CCN(C(=O)[C@H](c2cccnc2)[NH+](C)C)CC1 ZINC000985800861 697576693 /nfs/dbraw/zinc/57/66/93/697576693.db2.gz SKXODBSXIKZUCH-INIZCTEOSA-N 1 2 315.421 1.131 20 30 DDEDLO COc1ccccc1-c1nc(CO[NH+]=C(N)CCO)cs1 ZINC000193713523 697677684 /nfs/dbraw/zinc/67/76/84/697677684.db2.gz OCGCTHFEZJALNF-UHFFFAOYSA-N 1 2 307.375 1.990 20 30 DDEDLO C[C@@H]1[C@@H]([NH2+]Cc2nc(C3CC3)no2)CCN1C(=O)C#CC1CC1 ZINC000986285691 697767326 /nfs/dbraw/zinc/76/73/26/697767326.db2.gz KJXSYFNESWAIAF-RISCZKNCSA-N 1 2 314.389 1.439 20 30 DDEDLO C#C[C@H](C)NC(=O)N1CC[NH+](Cc2cc(C)ccc2OC)CC1 ZINC000773598387 697785331 /nfs/dbraw/zinc/78/53/31/697785331.db2.gz UHGZSCBGHBGRKI-HNNXBMFYSA-N 1 2 315.417 1.853 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H](CO)C[C@@H](OCCOC)C1 ZINC000773963881 697828275 /nfs/dbraw/zinc/82/82/75/697828275.db2.gz MVDCQIRXUBAQOC-VXGBXAGGSA-N 1 2 308.216 1.241 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H](CO)C[C@@H](OCCOC)C1 ZINC000773963881 697828278 /nfs/dbraw/zinc/82/82/78/697828278.db2.gz MVDCQIRXUBAQOC-VXGBXAGGSA-N 1 2 308.216 1.241 20 30 DDEDLO Cc1onc(CC(=O)N[C@H](Cn2cc[nH+]c2)C(C)(C)C)c1C#N ZINC000779497270 698427973 /nfs/dbraw/zinc/42/79/73/698427973.db2.gz FEIKGWJQGHVDJL-CQSZACIVSA-N 1 2 315.377 1.825 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1CC(=O)N(CN(CC#N)C2CCCC2)C1 ZINC000783995911 698901395 /nfs/dbraw/zinc/90/13/95/698901395.db2.gz UXFVAGRCUIDITF-ZDUSSCGKSA-N 1 2 301.394 1.462 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC([NH2+]Cc2noc(C3CCC3)n2)CC1 ZINC000990315307 699023423 /nfs/dbraw/zinc/02/34/23/699023423.db2.gz SMYJAHOZRFFSRB-NSHDSACASA-N 1 2 317.393 1.577 20 30 DDEDLO COC[C@H](c1ccc(Cl)cc1)[N@H+](C)CC(=O)NCCC#N ZINC000786177819 699132103 /nfs/dbraw/zinc/13/21/03/699132103.db2.gz ORVCRLVGXCHLDM-CQSZACIVSA-N 1 2 309.797 1.989 20 30 DDEDLO COC[C@H](c1ccc(Cl)cc1)[N@@H+](C)CC(=O)NCCC#N ZINC000786177819 699132105 /nfs/dbraw/zinc/13/21/05/699132105.db2.gz ORVCRLVGXCHLDM-CQSZACIVSA-N 1 2 309.797 1.989 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@H]3CCn4cc[nH+]c4C3)CCC[C@H]12 ZINC000991474550 699332855 /nfs/dbraw/zinc/33/28/55/699332855.db2.gz QQNLMTNMTTYMGR-GRDNDAEWSA-N 1 2 313.405 1.082 20 30 DDEDLO CN(C(=O)OC(C)(C)C)[C@@H]1CCC[N@H+](CC(=O)NCCC#N)C1 ZINC000725811173 699334909 /nfs/dbraw/zinc/33/49/09/699334909.db2.gz DHPWIPSTVGMYEC-CYBMUJFWSA-N 1 2 324.425 1.348 20 30 DDEDLO CN(C(=O)OC(C)(C)C)[C@@H]1CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000725811173 699334913 /nfs/dbraw/zinc/33/49/13/699334913.db2.gz DHPWIPSTVGMYEC-CYBMUJFWSA-N 1 2 324.425 1.348 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CSC[C@H]2CCCCO2)CC1 ZINC000727382218 699411083 /nfs/dbraw/zinc/41/10/83/699411083.db2.gz MLVRXHJKLKNJAL-OAHLLOKOSA-N 1 2 310.463 1.456 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(NC(=O)OC)c1 ZINC000730279326 699506354 /nfs/dbraw/zinc/50/63/54/699506354.db2.gz WRGKYIFWPUYWLV-AWEZNQCLSA-N 1 2 301.346 1.901 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(NC(=O)OC)c1 ZINC000730279326 699506356 /nfs/dbraw/zinc/50/63/56/699506356.db2.gz WRGKYIFWPUYWLV-AWEZNQCLSA-N 1 2 301.346 1.901 20 30 DDEDLO CC(=[NH+]Nc1nc2[nH][nH]cc-2c(=O)n1)c1ccc(N(C)C)cc1 ZINC000731157973 699523521 /nfs/dbraw/zinc/52/35/21/699523521.db2.gz WAODNGKUOOQTSD-UHFFFAOYSA-N 1 2 311.349 1.334 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2ccc(C=NOC)cc2)CC1 ZINC000732122160 699547216 /nfs/dbraw/zinc/54/72/16/699547216.db2.gz YRZJKZXDTNIXCF-UHFFFAOYSA-N 1 2 319.405 1.461 20 30 DDEDLO FC(F)(F)CCN[NH+]=Cc1cnc(N2CCOCC2)s1 ZINC000794547196 699815329 /nfs/dbraw/zinc/81/53/29/699815329.db2.gz FWMOYUBZVHADKZ-UHFFFAOYSA-N 1 2 308.329 1.856 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ccc(C#N)nc3)CC2)nc1 ZINC000801552179 700319820 /nfs/dbraw/zinc/31/98/20/700319820.db2.gz BFGLOVXDFWFVFC-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[C@@H](C#N)OCCOC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000801843167 700345303 /nfs/dbraw/zinc/34/53/03/700345303.db2.gz ZSSZKXSDILBBPK-GOEBONIOSA-N 1 2 318.373 1.359 20 30 DDEDLO C[C@@H](C#N)OCCOC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000801843167 700345306 /nfs/dbraw/zinc/34/53/06/700345306.db2.gz ZSSZKXSDILBBPK-GOEBONIOSA-N 1 2 318.373 1.359 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H]([NH2+]CC2=CCCOC2)[C@@H](C#N)C1 ZINC000758030255 700681518 /nfs/dbraw/zinc/68/15/18/700681518.db2.gz PLZNKSZOQSULSW-KBPBESRZSA-N 1 2 307.394 1.682 20 30 DDEDLO COCC#CCOC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000762834774 700909524 /nfs/dbraw/zinc/90/95/24/700909524.db2.gz QOABTBVBQHBTDP-MRXNPFEDSA-N 1 2 303.358 1.080 20 30 DDEDLO COCC#CCOC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000762834774 700909525 /nfs/dbraw/zinc/90/95/25/700909525.db2.gz QOABTBVBQHBTDP-MRXNPFEDSA-N 1 2 303.358 1.080 20 30 DDEDLO COCC#CCOC(=O)C[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000762834067 700909573 /nfs/dbraw/zinc/90/95/73/700909573.db2.gz LZPAEHLGEJKLFX-KRWDZBQOSA-N 1 2 317.385 1.471 20 30 DDEDLO COCC#CCOC(=O)C[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000762834067 700909574 /nfs/dbraw/zinc/90/95/74/700909574.db2.gz LZPAEHLGEJKLFX-KRWDZBQOSA-N 1 2 317.385 1.471 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCCOc1cccc(Cl)c1)C1CC1 ZINC000763956411 700954940 /nfs/dbraw/zinc/95/49/40/700954940.db2.gz NKOCRLYTLXTSSR-UHFFFAOYSA-N 1 2 306.793 1.933 20 30 DDEDLO C#CC[N@H+](CC(=O)NCCOc1cccc(Cl)c1)C1CC1 ZINC000763956411 700954942 /nfs/dbraw/zinc/95/49/42/700954942.db2.gz NKOCRLYTLXTSSR-UHFFFAOYSA-N 1 2 306.793 1.933 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCCOc1c(C)cccc1C)C1CC1 ZINC000763957873 700955113 /nfs/dbraw/zinc/95/51/13/700955113.db2.gz LNDTXUAJTRXOLQ-UHFFFAOYSA-N 1 2 300.402 1.896 20 30 DDEDLO C#CC[N@H+](CC(=O)NCCOc1c(C)cccc1C)C1CC1 ZINC000763957873 700955114 /nfs/dbraw/zinc/95/51/14/700955114.db2.gz LNDTXUAJTRXOLQ-UHFFFAOYSA-N 1 2 300.402 1.896 20 30 DDEDLO N#Cc1cccnc1NCCNc1cc(N2CCCC2)nc[nH+]1 ZINC000764788573 700986770 /nfs/dbraw/zinc/98/67/70/700986770.db2.gz AHBGUGXGUSXUMU-UHFFFAOYSA-N 1 2 309.377 1.867 20 30 DDEDLO N#Cc1cccnc1NCCNc1cc(N2CCCC2)[nH+]cn1 ZINC000764788573 700986772 /nfs/dbraw/zinc/98/67/72/700986772.db2.gz AHBGUGXGUSXUMU-UHFFFAOYSA-N 1 2 309.377 1.867 20 30 DDEDLO Cc1nonc1C[NH2+]Cc1cn(CCC#N)nc1-c1ccncc1 ZINC000809745282 701685049 /nfs/dbraw/zinc/68/50/49/701685049.db2.gz QEBFGJLDXDWDAF-UHFFFAOYSA-N 1 2 323.360 1.840 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000803669841 701133293 /nfs/dbraw/zinc/13/32/93/701133293.db2.gz CUEZKNVNNLKSAB-UHFFFAOYSA-N 1 2 305.378 1.406 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@H+](C)Cc3ncc(C)o3)C2)cn1 ZINC000768953395 701218502 /nfs/dbraw/zinc/21/85/02/701218502.db2.gz RUPKCLQTLFVBJE-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@@H+](C)Cc3ncc(C)o3)C2)cn1 ZINC000768953395 701218505 /nfs/dbraw/zinc/21/85/05/701218505.db2.gz RUPKCLQTLFVBJE-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[NH+](Cc2cccc(C)c2)CC1 ZINC000804939143 701220566 /nfs/dbraw/zinc/22/05/66/701220566.db2.gz FQCPKPCTIXVMFH-UHFFFAOYSA-N 1 2 320.458 1.856 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2ccc(OC)cc2)CC1 ZINC000772109164 701343703 /nfs/dbraw/zinc/34/37/03/701343703.db2.gz IXJCPPGILIOYHW-UHFFFAOYSA-N 1 2 315.369 1.766 20 30 DDEDLO COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc(C#N)cc1C ZINC000807285124 701472968 /nfs/dbraw/zinc/47/29/68/701472968.db2.gz TXVJRWHBEPRXLL-AWEZNQCLSA-N 1 2 312.329 1.035 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000810070592 701721616 /nfs/dbraw/zinc/72/16/16/701721616.db2.gz QXJCUYMJLAENNX-VHSXEESVSA-N 1 2 308.300 1.105 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000810070592 701721624 /nfs/dbraw/zinc/72/16/24/701721624.db2.gz QXJCUYMJLAENNX-VHSXEESVSA-N 1 2 308.300 1.105 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@@H](CC)O[C@]3(CCOC3)C2)C1=O ZINC000840062274 701947142 /nfs/dbraw/zinc/94/71/42/701947142.db2.gz UOCLSYFSWCSUHS-GDBMZVCRSA-N 1 2 309.410 1.137 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@@H](CC)O[C@]3(CCOC3)C2)C1=O ZINC000840062274 701947143 /nfs/dbraw/zinc/94/71/43/701947143.db2.gz UOCLSYFSWCSUHS-GDBMZVCRSA-N 1 2 309.410 1.137 20 30 DDEDLO C[C@H]1CCN(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1=O ZINC000840075409 701953795 /nfs/dbraw/zinc/95/37/95/701953795.db2.gz HQPUTGOWQSILTQ-JSGCOSHPSA-N 1 2 300.362 1.232 20 30 DDEDLO C[C@H]1CCN(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1=O ZINC000840075409 701953801 /nfs/dbraw/zinc/95/38/01/701953801.db2.gz HQPUTGOWQSILTQ-JSGCOSHPSA-N 1 2 300.362 1.232 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@H](CNc3ccnc(C#N)n3)C2)c1 ZINC000866189853 706643806 /nfs/dbraw/zinc/64/38/06/706643806.db2.gz FDZAPHMGPFWXIZ-MRXNPFEDSA-N 1 2 323.400 1.392 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@H](CNc3ccnc(C#N)n3)C2)c1 ZINC000866189853 706643807 /nfs/dbraw/zinc/64/38/07/706643807.db2.gz FDZAPHMGPFWXIZ-MRXNPFEDSA-N 1 2 323.400 1.392 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000868515586 702172327 /nfs/dbraw/zinc/17/23/27/702172327.db2.gz ZYIVGTLBBJPQQM-DOTOQJQBSA-N 1 2 315.417 1.517 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000868515586 702172329 /nfs/dbraw/zinc/17/23/29/702172329.db2.gz ZYIVGTLBBJPQQM-DOTOQJQBSA-N 1 2 315.417 1.517 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)N[C@@H]1CCn3cc[nH+]c3C1)C2 ZINC000868519158 702173199 /nfs/dbraw/zinc/17/31/99/702173199.db2.gz BQOWYZPWWOXYEX-HZPDHXFCSA-N 1 2 321.384 1.536 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[NH+]1CCC(c2cc(C(=O)OC)on2)CC1 ZINC000879427141 706677023 /nfs/dbraw/zinc/67/70/23/706677023.db2.gz ABFHSXJRBLSXQQ-ZDUSSCGKSA-N 1 2 322.361 1.758 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)C#CC(C)(C)C)C2 ZINC000846121676 703240320 /nfs/dbraw/zinc/24/03/20/703240320.db2.gz DBHPKXKOWYDQDH-CYBMUJFWSA-N 1 2 303.406 1.207 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](c2cccc(Br)c2)C1 ZINC000831592884 706735458 /nfs/dbraw/zinc/73/54/58/706735458.db2.gz GFWXNGFIWYWJRV-ZDUSSCGKSA-N 1 2 321.218 1.988 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](c2cccc(Br)c2)C1 ZINC000831592884 706735459 /nfs/dbraw/zinc/73/54/59/706735459.db2.gz GFWXNGFIWYWJRV-ZDUSSCGKSA-N 1 2 321.218 1.988 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[C@H](Nc2cccc[nH+]2)CC1 ZINC000846655619 703309171 /nfs/dbraw/zinc/30/91/71/703309171.db2.gz RAJUFJFKLBBMCX-KKUMJFAQSA-N 1 2 301.390 1.959 20 30 DDEDLO COc1cc(C=NNCCCn2cc[nH+]c2)ccc1OCC#N ZINC000848417678 703548244 /nfs/dbraw/zinc/54/82/44/703548244.db2.gz CVADEEVZBSFJNG-UHFFFAOYSA-N 1 2 313.361 1.808 20 30 DDEDLO N#CC1(CC(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CCOCC1 ZINC000850297050 703721554 /nfs/dbraw/zinc/72/15/54/703721554.db2.gz BQVCSTUPMDOQNM-OKILXGFUSA-N 1 2 308.378 1.103 20 30 DDEDLO N#CC1(CC(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CCOCC1 ZINC000850297050 703721555 /nfs/dbraw/zinc/72/15/55/703721555.db2.gz BQVCSTUPMDOQNM-OKILXGFUSA-N 1 2 308.378 1.103 20 30 DDEDLO C#CCOCCC(=O)OCC1CCN(c2cccc[nH+]2)CC1 ZINC000851400012 703798168 /nfs/dbraw/zinc/79/81/68/703798168.db2.gz NHFWQWVBFVUINO-UHFFFAOYSA-N 1 2 302.374 1.881 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2ccc(Cl)cc2F)CC1 ZINC000851747662 703843034 /nfs/dbraw/zinc/84/30/34/703843034.db2.gz IERTXUODPHOAQQ-UHFFFAOYSA-N 1 2 324.783 1.887 20 30 DDEDLO COc1cccc(C[NH+]2CCN(C(=O)[C@@H](C)CC#N)CC2)c1 ZINC000870159074 703937993 /nfs/dbraw/zinc/93/79/93/703937993.db2.gz VJXVSRBITQWBJB-AWEZNQCLSA-N 1 2 301.390 1.889 20 30 DDEDLO CCOC(=O)C1=C(C[N@@H+]2CCC[C@@H](CC#N)C2)NC(=O)N[C@H]1C ZINC000852318825 704010997 /nfs/dbraw/zinc/01/09/97/704010997.db2.gz CCPKQGXUCAEUPT-RYUDHWBXSA-N 1 2 320.393 1.131 20 30 DDEDLO CCOC(=O)C1=C(C[N@H+]2CCC[C@@H](CC#N)C2)NC(=O)N[C@H]1C ZINC000852318825 704010998 /nfs/dbraw/zinc/01/09/98/704010998.db2.gz CCPKQGXUCAEUPT-RYUDHWBXSA-N 1 2 320.393 1.131 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@H](O)Cn2cccn2)C1 ZINC000852328627 704013487 /nfs/dbraw/zinc/01/34/87/704013487.db2.gz JKBYNCDVJZZLDI-DOTOQJQBSA-N 1 2 311.389 1.196 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@H](O)Cn2cccn2)C1 ZINC000852328627 704013492 /nfs/dbraw/zinc/01/34/92/704013492.db2.gz JKBYNCDVJZZLDI-DOTOQJQBSA-N 1 2 311.389 1.196 20 30 DDEDLO CCOCC[C@H](O)C[N@@H+]1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852333872 704015312 /nfs/dbraw/zinc/01/53/12/704015312.db2.gz XHQMRXXYSBGOAD-DOTOQJQBSA-N 1 2 303.406 1.726 20 30 DDEDLO CCOCC[C@H](O)C[N@H+]1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852333872 704015315 /nfs/dbraw/zinc/01/53/15/704015315.db2.gz XHQMRXXYSBGOAD-DOTOQJQBSA-N 1 2 303.406 1.726 20 30 DDEDLO COC(=O)Nc1ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000819414455 704122267 /nfs/dbraw/zinc/12/22/67/704122267.db2.gz HMHRCKVKPOSXRU-AWEZNQCLSA-N 1 2 306.366 1.483 20 30 DDEDLO COC(=O)Nc1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000819414455 704122268 /nfs/dbraw/zinc/12/22/68/704122268.db2.gz HMHRCKVKPOSXRU-AWEZNQCLSA-N 1 2 306.366 1.483 20 30 DDEDLO COC(=O)N(C)c1ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000819428381 704123796 /nfs/dbraw/zinc/12/37/96/704123796.db2.gz ZUUNLKUGMINRGE-HNNXBMFYSA-N 1 2 320.393 1.507 20 30 DDEDLO COC(=O)N(C)c1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000819428381 704123798 /nfs/dbraw/zinc/12/37/98/704123798.db2.gz ZUUNLKUGMINRGE-HNNXBMFYSA-N 1 2 320.393 1.507 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc(F)cc1OCC#N ZINC000819460347 704129589 /nfs/dbraw/zinc/12/95/89/704129589.db2.gz LEMSJKJBOYNBAN-CYBMUJFWSA-N 1 2 306.341 1.346 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc(F)cc1OCC#N ZINC000819460347 704129592 /nfs/dbraw/zinc/12/95/92/704129592.db2.gz LEMSJKJBOYNBAN-CYBMUJFWSA-N 1 2 306.341 1.346 20 30 DDEDLO C=CC[N@@H+](CN1C[C@](C)(C(=O)OCC)CC1=O)[C@H](C)COC ZINC000853534359 704261734 /nfs/dbraw/zinc/26/17/34/704261734.db2.gz JQGHXSLNAGHKAK-CZUORRHYSA-N 1 2 312.410 1.269 20 30 DDEDLO C=CC[N@H+](CN1C[C@](C)(C(=O)OCC)CC1=O)[C@H](C)COC ZINC000853534359 704261736 /nfs/dbraw/zinc/26/17/36/704261736.db2.gz JQGHXSLNAGHKAK-CZUORRHYSA-N 1 2 312.410 1.269 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CC=C(C)C)C(=O)OCC ZINC000871474226 704300139 /nfs/dbraw/zinc/30/01/39/704300139.db2.gz VWBWXKDSAIVIMW-GJZGRUSLSA-N 1 2 306.406 1.488 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CC=C(C)C)C(=O)OCC ZINC000871474226 704300141 /nfs/dbraw/zinc/30/01/41/704300141.db2.gz VWBWXKDSAIVIMW-GJZGRUSLSA-N 1 2 306.406 1.488 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1N[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000853713223 704303969 /nfs/dbraw/zinc/30/39/69/704303969.db2.gz TUWBVCYBTSJSEA-CYBMUJFWSA-N 1 2 323.418 1.320 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)cc1 ZINC000854101539 704356740 /nfs/dbraw/zinc/35/67/40/704356740.db2.gz ZNAVGRKKUUHSCB-CABCVRRESA-N 1 2 315.417 1.576 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)cc1 ZINC000854101539 704356742 /nfs/dbraw/zinc/35/67/42/704356742.db2.gz ZNAVGRKKUUHSCB-CABCVRRESA-N 1 2 315.417 1.576 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)OC2CCCCC2)CC1 ZINC000866810486 706810128 /nfs/dbraw/zinc/81/01/28/706810128.db2.gz UAVASGAQAIELAU-UHFFFAOYSA-N 1 2 321.421 1.213 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)OC2CCCCC2)CC1 ZINC000866810486 706810131 /nfs/dbraw/zinc/81/01/31/706810131.db2.gz UAVASGAQAIELAU-UHFFFAOYSA-N 1 2 321.421 1.213 20 30 DDEDLO CON=Cc1ccc(C(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)cc1 ZINC000855413139 704484156 /nfs/dbraw/zinc/48/41/56/704484156.db2.gz HHGHBISKEHHJGS-KGLIPLIRSA-N 1 2 319.405 1.506 20 30 DDEDLO CON=Cc1ccc(C(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)cc1 ZINC000855413139 704484157 /nfs/dbraw/zinc/48/41/57/704484157.db2.gz HHGHBISKEHHJGS-KGLIPLIRSA-N 1 2 319.405 1.506 20 30 DDEDLO C#CCCN(CCOC)C(=O)[C@H](CC)[N@@H+]1CCO[C@@H](CC)C1 ZINC000856389657 704526038 /nfs/dbraw/zinc/52/60/38/704526038.db2.gz AVXPROSHFODZRA-HOTGVXAUSA-N 1 2 310.438 1.374 20 30 DDEDLO C#CCCN(CCOC)C(=O)[C@H](CC)[N@H+]1CCO[C@@H](CC)C1 ZINC000856389657 704526039 /nfs/dbraw/zinc/52/60/39/704526039.db2.gz AVXPROSHFODZRA-HOTGVXAUSA-N 1 2 310.438 1.374 20 30 DDEDLO C[S@](=N)(=O)N1CC[NH+](CCCOc2ccc(C#N)cc2)CC1 ZINC000879941926 706828277 /nfs/dbraw/zinc/82/82/77/706828277.db2.gz HJOXASJGJDPKBS-JOCHJYFZSA-N 1 2 322.434 1.536 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000859018854 704785390 /nfs/dbraw/zinc/78/53/90/704785390.db2.gz DPWVECGKYKKXNE-GDBMZVCRSA-N 1 2 317.389 1.673 20 30 DDEDLO N#Cc1ccccc1N1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000822094350 704875544 /nfs/dbraw/zinc/87/55/44/704875544.db2.gz OCUVSKSVXJVMMR-UHFFFAOYSA-N 1 2 309.373 1.563 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2sc3ncccc3c2N)CC1 ZINC000874263810 704990108 /nfs/dbraw/zinc/99/01/08/704990108.db2.gz NBTYXRWJRLLLHM-UHFFFAOYSA-N 1 2 314.414 1.660 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)Cc3[nH]cc[nH+]3)CC2)c(F)c1 ZINC000825197448 705622594 /nfs/dbraw/zinc/62/25/94/705622594.db2.gz LNDROBYGYXPRFG-UHFFFAOYSA-N 1 2 313.336 1.312 20 30 DDEDLO C#CCCN(CCOC)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC000876203843 705655661 /nfs/dbraw/zinc/65/56/61/705655661.db2.gz HKVXDLOHXLXGEM-KRWDZBQOSA-N 1 2 315.417 1.854 20 30 DDEDLO C#CCCN(CCOC)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC000876203843 705655663 /nfs/dbraw/zinc/65/56/63/705655663.db2.gz HKVXDLOHXLXGEM-KRWDZBQOSA-N 1 2 315.417 1.854 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCCSCCC(F)(F)F ZINC000825764131 705727676 /nfs/dbraw/zinc/72/76/76/705727676.db2.gz SRBDPTCIEAQMGK-NSHDSACASA-N 1 2 308.369 1.886 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCCSCCC(F)(F)F ZINC000825764131 705727682 /nfs/dbraw/zinc/72/76/82/705727682.db2.gz SRBDPTCIEAQMGK-NSHDSACASA-N 1 2 308.369 1.886 20 30 DDEDLO C#CCO[C@@H](C)C(=O)Nc1cc(C[NH+]2CCOCC2)ccc1C ZINC000825970528 705752713 /nfs/dbraw/zinc/75/27/13/705752713.db2.gz ZLLNOMNTAJUWLM-HNNXBMFYSA-N 1 2 316.401 1.804 20 30 DDEDLO Cc1nccn1CCCNC(=O)[C@H]1[NH2+]CCc2cc(C#N)ccc21 ZINC000876722805 705839516 /nfs/dbraw/zinc/83/95/16/705839516.db2.gz FMVBFLKYXKUGOX-KRWDZBQOSA-N 1 2 323.400 1.457 20 30 DDEDLO C#CCCOc1ccc(C[NH+]2CCN([C@@H]3CCOC3)CC2)cc1 ZINC000827119911 705933224 /nfs/dbraw/zinc/93/32/24/705933224.db2.gz PJNHSPGTGNKFQH-GOSISDBHSA-N 1 2 314.429 1.995 20 30 DDEDLO Cc1c(C#N)c(N2CCC[N@H+](CC(N)=O)CC2)nc2ccccc12 ZINC000827430143 705998889 /nfs/dbraw/zinc/99/88/89/705998889.db2.gz JFORHGHOAWCQPU-UHFFFAOYSA-N 1 2 323.400 1.412 20 30 DDEDLO Cc1c(C#N)c(N2CCC[N@@H+](CC(N)=O)CC2)nc2ccccc12 ZINC000827430143 705998890 /nfs/dbraw/zinc/99/88/90/705998890.db2.gz JFORHGHOAWCQPU-UHFFFAOYSA-N 1 2 323.400 1.412 20 30 DDEDLO COC(=O)/C=C\c1ccc(C[NH+]2CCN(CCC#N)CC2)o1 ZINC000877748117 706197381 /nfs/dbraw/zinc/19/73/81/706197381.db2.gz RPVJGRYFFZIGAI-WAYWQWQTSA-N 1 2 303.362 1.497 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)/C=C/C[NH+]1CCOCC1)C2 ZINC000829485940 706343319 /nfs/dbraw/zinc/34/33/19/706343319.db2.gz NBDDVJXQCJRTRS-WZHGYECESA-N 1 2 311.385 1.030 20 30 DDEDLO C=C(Cl)C[C@@H]1NC(=O)N([C@@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000865305159 706399813 /nfs/dbraw/zinc/39/98/13/706399813.db2.gz KRNSMVSMBYECPU-NEPJUHHUSA-N 1 2 320.780 1.723 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC000832755358 706976270 /nfs/dbraw/zinc/97/62/70/706976270.db2.gz INUXNEOIEHENLS-ZDUSSCGKSA-N 1 2 310.357 1.535 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000832761240 706977237 /nfs/dbraw/zinc/97/72/37/706977237.db2.gz UBVNOVNJPUMZAS-ZDUSSCGKSA-N 1 2 310.357 1.535 20 30 DDEDLO CC1(C)CN(C(=O)c2sccc2CC#N)CC[N@@H+]1CCO ZINC000867646449 707062308 /nfs/dbraw/zinc/06/23/08/707062308.db2.gz IAVDVCNVXSSDAJ-UHFFFAOYSA-N 1 2 307.419 1.343 20 30 DDEDLO CC1(C)CN(C(=O)c2sccc2CC#N)CC[N@H+]1CCO ZINC000867646449 707062309 /nfs/dbraw/zinc/06/23/09/707062309.db2.gz IAVDVCNVXSSDAJ-UHFFFAOYSA-N 1 2 307.419 1.343 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000837913865 707780266 /nfs/dbraw/zinc/78/02/66/707780266.db2.gz FBKLMIQKRXPNKB-OAHLLOKOSA-N 1 2 314.389 1.999 20 30 DDEDLO C#CCOCCNC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000883610407 708036741 /nfs/dbraw/zinc/03/67/41/708036741.db2.gz UZVCSHVFPHNCSC-INIZCTEOSA-N 1 2 312.373 1.573 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1nc2ccccc2n1CC ZINC000884049824 708117382 /nfs/dbraw/zinc/11/73/82/708117382.db2.gz GNVAOZKFTZAKLB-NSHDSACASA-N 1 2 316.361 1.441 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(Cc1ccccc1F)C1CC1 ZINC000884059413 708121651 /nfs/dbraw/zinc/12/16/51/708121651.db2.gz QOOJFGNNIUAHPL-HNNXBMFYSA-N 1 2 320.364 1.763 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(Cc1cccs1)C1CC1 ZINC000884074950 708127590 /nfs/dbraw/zinc/12/75/90/708127590.db2.gz HKAIKMQSQRYRAH-ZDUSSCGKSA-N 1 2 308.403 1.686 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC(c2nnc3n2CC[NH2+]C3)CC1 ZINC000884281352 708223868 /nfs/dbraw/zinc/22/38/68/708223868.db2.gz HMPUTTSQECJEJK-UHFFFAOYSA-N 1 2 317.437 1.690 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1cc2cc(C)ccc2o1 ZINC000884406650 708283766 /nfs/dbraw/zinc/28/37/66/708283766.db2.gz BAGGMDPFICYMJH-AWEZNQCLSA-N 1 2 316.357 1.804 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)C[C@H](CC(C)C)OC)C1 ZINC000885508786 708561751 /nfs/dbraw/zinc/56/17/51/708561751.db2.gz LCSUCTDXTXWXBO-CABCVRRESA-N 1 2 316.467 1.065 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)C[C@H](CC(C)C)OC)C1 ZINC000885508786 708561753 /nfs/dbraw/zinc/56/17/53/708561753.db2.gz LCSUCTDXTXWXBO-CABCVRRESA-N 1 2 316.467 1.065 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)CCc2ccc(F)cc2)C1 ZINC000885509396 708562316 /nfs/dbraw/zinc/56/23/16/708562316.db2.gz SBRCEYNTNZYADB-MRXNPFEDSA-N 1 2 324.421 1.385 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)CCc2ccc(F)cc2)C1 ZINC000885509396 708562319 /nfs/dbraw/zinc/56/23/19/708562319.db2.gz SBRCEYNTNZYADB-MRXNPFEDSA-N 1 2 324.421 1.385 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(OC)c(C)c2)C1 ZINC000885514291 708564112 /nfs/dbraw/zinc/56/41/12/708564112.db2.gz WMZZQWVMMROEFT-AWEZNQCLSA-N 1 2 322.430 1.380 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(OC)c(C)c2)C1 ZINC000885514291 708564113 /nfs/dbraw/zinc/56/41/13/708564113.db2.gz WMZZQWVMMROEFT-AWEZNQCLSA-N 1 2 322.430 1.380 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@H+](CC2(CC#N)CC2)[C@@H](CO)C1 ZINC000886351364 708747071 /nfs/dbraw/zinc/74/70/71/708747071.db2.gz DMXJKMZWODONEH-CYBMUJFWSA-N 1 2 309.410 1.594 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@@H+](CC2(CC#N)CC2)[C@@H](CO)C1 ZINC000886351364 708747072 /nfs/dbraw/zinc/74/70/72/708747072.db2.gz DMXJKMZWODONEH-CYBMUJFWSA-N 1 2 309.410 1.594 20 30 DDEDLO C#CC[C@@H]1CC[N@@H+](CC(=O)N=c2cc[nH]n2[C@@H](C)C2CC2)C1 ZINC000886363078 708749717 /nfs/dbraw/zinc/74/97/17/708749717.db2.gz ZODPFXTWVKHKFQ-UONOGXRCSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[C@@H]1CC[N@H+](CC(=O)N=c2cc[nH]n2[C@@H](C)C2CC2)C1 ZINC000886363078 708749718 /nfs/dbraw/zinc/74/97/18/708749718.db2.gz ZODPFXTWVKHKFQ-UONOGXRCSA-N 1 2 300.406 1.560 20 30 DDEDLO CC(C)OC(=O)C1CC[NH+](CC(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000886720066 708821447 /nfs/dbraw/zinc/82/14/47/708821447.db2.gz RFDQEASIAGTGQI-KRWDZBQOSA-N 1 2 323.437 1.704 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)NC[C@@H](c2ccco2)N(C)C)C1 ZINC000887606632 709081319 /nfs/dbraw/zinc/08/13/19/709081319.db2.gz GTTCZEBSUMWETC-CABCVRRESA-N 1 2 318.421 1.279 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)NC[C@@H](c2ccco2)N(C)C)C1 ZINC000887606632 709081322 /nfs/dbraw/zinc/08/13/22/709081322.db2.gz GTTCZEBSUMWETC-CABCVRRESA-N 1 2 318.421 1.279 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000887735459 709114468 /nfs/dbraw/zinc/11/44/68/709114468.db2.gz WLUYOIVUHSXICK-INIZCTEOSA-N 1 2 310.357 1.732 20 30 DDEDLO N#CC1(C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CCSCC1 ZINC000909750969 709605124 /nfs/dbraw/zinc/60/51/24/709605124.db2.gz LWCUEMKEURKWBO-BETUJISGSA-N 1 2 310.419 1.430 20 30 DDEDLO N#CC1(C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CCSCC1 ZINC000909750969 709605126 /nfs/dbraw/zinc/60/51/26/709605126.db2.gz LWCUEMKEURKWBO-BETUJISGSA-N 1 2 310.419 1.430 20 30 DDEDLO O=C(NCC#C[C@@H]1CCCCO1)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000891121437 710100048 /nfs/dbraw/zinc/10/00/48/710100048.db2.gz KGTCWLVLIAXRAE-IRXDYDNUSA-N 1 2 320.433 1.319 20 30 DDEDLO O=C(NCC#C[C@@H]1CCCCO1)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000891121437 710100050 /nfs/dbraw/zinc/10/00/50/710100050.db2.gz KGTCWLVLIAXRAE-IRXDYDNUSA-N 1 2 320.433 1.319 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C[NH+]1CC(n2cc([C@H](C)O)nn2)C1 ZINC000893454284 710662650 /nfs/dbraw/zinc/66/26/50/710662650.db2.gz RDZRZCZLSOUXKC-ZDUSSCGKSA-N 1 2 311.389 1.877 20 30 DDEDLO C#CCn1ccc(CN(CCOC)c2cc(NC)[nH+]cn2)n1 ZINC000893562561 710708718 /nfs/dbraw/zinc/70/87/18/710708718.db2.gz AMEOVAPHZSQZJT-UHFFFAOYSA-N 1 2 300.366 1.001 20 30 DDEDLO C#CCn1ccc(CN(CCOC)c2cc(NC)nc[nH+]2)n1 ZINC000893562561 710708721 /nfs/dbraw/zinc/70/87/21/710708721.db2.gz AMEOVAPHZSQZJT-UHFFFAOYSA-N 1 2 300.366 1.001 20 30 DDEDLO N#Cc1cc(Nc2ccc([NH+]3CCC(C(N)=O)CC3)cc2)ccn1 ZINC000911811053 711008343 /nfs/dbraw/zinc/00/83/43/711008343.db2.gz PNUKKFQBCHNORN-UHFFFAOYSA-N 1 2 321.384 1.820 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[NH2+][C@H](c2cnn(C)c2)C1 ZINC000913461240 713226345 /nfs/dbraw/zinc/22/63/45/713226345.db2.gz VPEXJXOKWZTJIL-KRWDZBQOSA-N 1 2 308.385 1.117 20 30 DDEDLO Cc1ccnc(N)c1C[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000894844626 711294025 /nfs/dbraw/zinc/29/40/25/711294025.db2.gz TYVOPOUBNJBTDA-UHFFFAOYSA-N 1 2 308.389 1.561 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1cc(C)c(C#N)cn1 ZINC000928647797 713249612 /nfs/dbraw/zinc/24/96/12/713249612.db2.gz RDJMBBVQKJYXPE-AWEZNQCLSA-N 1 2 302.378 1.102 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)[C@]1(C#N)CC12CCCC2 ZINC000928649890 713250726 /nfs/dbraw/zinc/25/07/26/713250726.db2.gz ZQVUIRLKAKERHA-RHSMWYFYSA-N 1 2 305.422 1.687 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)NC(C)(C)Cc2ccc(C#N)cc2)C1 ZINC000928700285 713263540 /nfs/dbraw/zinc/26/35/40/713263540.db2.gz XMSQIKMOYBYOEH-HNNXBMFYSA-N 1 2 301.390 1.326 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)NC(C)(C)Cc2ccc(C#N)cc2)C1 ZINC000928700285 713263542 /nfs/dbraw/zinc/26/35/42/713263542.db2.gz XMSQIKMOYBYOEH-HNNXBMFYSA-N 1 2 301.390 1.326 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNCC(F)(F)C1(O)CCCCC1 ZINC000905712701 712128879 /nfs/dbraw/zinc/12/88/79/712128879.db2.gz LNPOHYAFUJBNOX-CYBMUJFWSA-N 1 2 319.396 1.113 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNCC(F)(F)C1(O)CCCCC1 ZINC000905712701 712128880 /nfs/dbraw/zinc/12/88/80/712128880.db2.gz LNPOHYAFUJBNOX-CYBMUJFWSA-N 1 2 319.396 1.113 20 30 DDEDLO N#CCCCCNC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000906569813 712356498 /nfs/dbraw/zinc/35/64/98/712356498.db2.gz NRGMRLRQHMSKJM-UHFFFAOYSA-N 1 2 304.394 1.244 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)NC[C@H]1CN(C)CC[N@@H+]1C ZINC000906642380 712377388 /nfs/dbraw/zinc/37/73/88/712377388.db2.gz NKTSDJMAYAPFIG-INIZCTEOSA-N 1 2 314.433 1.080 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)NC[C@H]1CN(C)CC[N@H+]1C ZINC000906642380 712377389 /nfs/dbraw/zinc/37/73/89/712377389.db2.gz NKTSDJMAYAPFIG-INIZCTEOSA-N 1 2 314.433 1.080 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)cc1 ZINC000907038789 712472229 /nfs/dbraw/zinc/47/22/29/712472229.db2.gz MHXZJTLIIUSQRL-CQSZACIVSA-N 1 2 315.398 1.959 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)[C@@H](C)C[NH+]1CCOCC1 ZINC000908653810 712829873 /nfs/dbraw/zinc/82/98/73/712829873.db2.gz WNPIHVIOGZSPPF-GJZGRUSLSA-N 1 2 308.422 1.481 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000929340587 713592825 /nfs/dbraw/zinc/59/28/25/713592825.db2.gz UORSOOYWPDTPQQ-UHFFFAOYSA-N 1 2 317.389 1.407 20 30 DDEDLO N#Cc1cncc(CN2CCCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC000929746428 713681244 /nfs/dbraw/zinc/68/12/44/713681244.db2.gz DWNLQFKRQIONFZ-QGZVFWFLSA-N 1 2 300.406 1.640 20 30 DDEDLO CON1CC[C@@H]([NH+]2CCC(C#N)(c3ccccn3)CC2)C1=O ZINC000930334277 713806682 /nfs/dbraw/zinc/80/66/82/713806682.db2.gz VPVCXAPAMLBULY-CYBMUJFWSA-N 1 2 300.362 1.101 20 30 DDEDLO CON1CC[C@H]([NH+]2CCC(C#N)(c3ccccn3)CC2)C1=O ZINC000930334278 713806741 /nfs/dbraw/zinc/80/67/41/713806741.db2.gz VPVCXAPAMLBULY-ZDUSSCGKSA-N 1 2 300.362 1.101 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C)C(=O)Nc2ccc(F)cc2F)CC1 ZINC000931145594 714015301 /nfs/dbraw/zinc/01/53/01/714015301.db2.gz UFQXOPRSCZWACH-LLVKDONJSA-N 1 2 308.328 1.752 20 30 DDEDLO N#Cc1ccsc1NC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000931835921 714194158 /nfs/dbraw/zinc/19/41/58/714194158.db2.gz OZGIDXARJWLADC-TXEJJXNPSA-N 1 2 306.391 1.604 20 30 DDEDLO N#Cc1ccsc1NC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000931835921 714194160 /nfs/dbraw/zinc/19/41/60/714194160.db2.gz OZGIDXARJWLADC-TXEJJXNPSA-N 1 2 306.391 1.604 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cc(F)cc(C#N)c1 ZINC000932103920 714259792 /nfs/dbraw/zinc/25/97/92/714259792.db2.gz SXCIJXAVNQFCHS-GFCCVEGCSA-N 1 2 320.368 1.882 20 30 DDEDLO Cc1cc(NC(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)ncc1C#N ZINC000932155498 714273078 /nfs/dbraw/zinc/27/30/78/714273078.db2.gz GRVZGMRZAYDXHQ-ZDUSSCGKSA-N 1 2 317.393 1.446 20 30 DDEDLO C#C[C@@H](NC(=O)N[C@@H](CC)C[NH+]1CCOCC1)c1ccccc1 ZINC000923221047 714276414 /nfs/dbraw/zinc/27/64/14/714276414.db2.gz VZUZQFAOTSRVAT-DLBZAZTESA-N 1 2 315.417 1.771 20 30 DDEDLO C=CCCSCCNC(=O)N[C@@H](CC)C[NH+]1CCOCC1 ZINC000923227699 714278285 /nfs/dbraw/zinc/27/82/85/714278285.db2.gz RRMYKRYGJDFUBG-AWEZNQCLSA-N 1 2 315.483 1.706 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)C2(C#N)CCSCC2)CC1 ZINC000932486039 714343263 /nfs/dbraw/zinc/34/32/63/714343263.db2.gz LPEPNSOATRZXOY-UHFFFAOYSA-N 1 2 311.451 1.204 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@@H+]2CCn3cccc3C2)cc1 ZINC000932524260 714355207 /nfs/dbraw/zinc/35/52/07/714355207.db2.gz JQQFRUNHPHLAOU-QGZVFWFLSA-N 1 2 311.385 1.810 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@H+]2CCn3cccc3C2)cc1 ZINC000932524260 714355210 /nfs/dbraw/zinc/35/52/10/714355210.db2.gz JQQFRUNHPHLAOU-QGZVFWFLSA-N 1 2 311.385 1.810 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)c2cc(F)ccc2C)C1 ZINC000923566828 714408113 /nfs/dbraw/zinc/40/81/13/714408113.db2.gz STQUCDKEYVYGMK-CQSZACIVSA-N 1 2 302.349 1.531 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)c2cc(F)ccc2C)C1 ZINC000923566828 714408117 /nfs/dbraw/zinc/40/81/17/714408117.db2.gz STQUCDKEYVYGMK-CQSZACIVSA-N 1 2 302.349 1.531 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)O[C@H](C)C[NH+]1CCOCC1 ZINC000923591641 714420977 /nfs/dbraw/zinc/42/09/77/714420977.db2.gz AFEVCEIULMMCMB-OAHLLOKOSA-N 1 2 316.401 1.982 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](C[C@H](O)Cn3cccn3)CCO2)cc1 ZINC000933624416 714633505 /nfs/dbraw/zinc/63/35/05/714633505.db2.gz IMNZFJFFFJIOCZ-IRXDYDNUSA-N 1 2 312.373 1.189 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](C[C@H](O)Cn3cccn3)CCO2)cc1 ZINC000933624416 714633507 /nfs/dbraw/zinc/63/35/07/714633507.db2.gz IMNZFJFFFJIOCZ-IRXDYDNUSA-N 1 2 312.373 1.189 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H]1c1ccc(OC)cn1 ZINC000934348742 714801288 /nfs/dbraw/zinc/80/12/88/714801288.db2.gz HGQZCCVIHUPALY-MRXNPFEDSA-N 1 2 316.405 1.989 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H]1c1ccc(OC)cn1 ZINC000934348742 714801289 /nfs/dbraw/zinc/80/12/89/714801289.db2.gz HGQZCCVIHUPALY-MRXNPFEDSA-N 1 2 316.405 1.989 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cc3c([nH]c2=O)CCCC3)C1 ZINC000957032676 715713783 /nfs/dbraw/zinc/71/37/83/715713783.db2.gz ZOMHGXCTRMTMGY-UHFFFAOYSA-N 1 2 315.417 1.998 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2COc3ccccc3O2)C1 ZINC000957350216 715853481 /nfs/dbraw/zinc/85/34/81/715853481.db2.gz DXPFHEUWYATBPH-KRWDZBQOSA-N 1 2 316.401 1.935 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(F)c(NC(C)=O)c2)CC1 ZINC000957867375 716206231 /nfs/dbraw/zinc/20/62/31/716206231.db2.gz KMSAVPXYXMNAPK-UHFFFAOYSA-N 1 2 305.353 1.728 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000939485278 716281495 /nfs/dbraw/zinc/28/14/95/716281495.db2.gz HUJRFNKUUSRIPD-WMLDXEAASA-N 1 2 318.421 1.614 20 30 DDEDLO CC(C)(F)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC000958053120 716296408 /nfs/dbraw/zinc/29/64/08/716296408.db2.gz OAECFWWOABASMJ-FICVDOATSA-N 1 2 319.355 1.992 20 30 DDEDLO CC(C)(F)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC000958053120 716296411 /nfs/dbraw/zinc/29/64/11/716296411.db2.gz OAECFWWOABASMJ-FICVDOATSA-N 1 2 319.355 1.992 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@H]2C)C1 ZINC000939985052 716550390 /nfs/dbraw/zinc/55/03/90/716550390.db2.gz ISFCIYSMNMDMTN-CHWSQXEVSA-N 1 2 316.405 1.272 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cscn4)C[C@H]32)[nH]1 ZINC000958590843 716648166 /nfs/dbraw/zinc/64/81/66/716648166.db2.gz UGQZVZZHBVCRIS-IMRBUKKESA-N 1 2 313.386 1.203 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cscn4)C[C@H]32)[nH]1 ZINC000958590843 716648170 /nfs/dbraw/zinc/64/81/70/716648170.db2.gz UGQZVZZHBVCRIS-IMRBUKKESA-N 1 2 313.386 1.203 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000940851554 716993204 /nfs/dbraw/zinc/99/32/04/716993204.db2.gz YEVWFESWWXNPIR-KGLIPLIRSA-N 1 2 315.421 1.186 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3C(C)(C)C3(C)C)CC2)C1 ZINC000941363462 717162055 /nfs/dbraw/zinc/16/20/55/717162055.db2.gz VEPVRRLSRSZOMT-UHFFFAOYSA-N 1 2 303.450 1.130 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC[C@@H](C)C3)CC2)C1 ZINC000941540606 717200594 /nfs/dbraw/zinc/20/05/94/717200594.db2.gz IEMDLTJVPMKRFX-SJORKVTESA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(F)cc3C)CC2)C1 ZINC000941645339 717241523 /nfs/dbraw/zinc/24/15/23/717241523.db2.gz QNBMSBMYPKBGKW-UHFFFAOYSA-N 1 2 315.392 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncccc2O)[C@H](C)C1 ZINC000941990483 717421004 /nfs/dbraw/zinc/42/10/04/717421004.db2.gz ZFRYERBIMALGAA-ZYHUDNBSSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncccc2O)[C@H](C)C1 ZINC000941990483 717421005 /nfs/dbraw/zinc/42/10/05/717421005.db2.gz ZFRYERBIMALGAA-ZYHUDNBSSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnn(C)c2C)C1 ZINC000965151530 717555235 /nfs/dbraw/zinc/55/52/35/717555235.db2.gz MWAYMEBGFLYAAX-QMTHXVAHSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnn(C)c2C)C1 ZINC000965151530 717555238 /nfs/dbraw/zinc/55/52/38/717555238.db2.gz MWAYMEBGFLYAAX-QMTHXVAHSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000942281551 717598085 /nfs/dbraw/zinc/59/80/85/717598085.db2.gz SPKJKOOWSWPWPA-WBMJQRKESA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC000965832865 717775675 /nfs/dbraw/zinc/77/56/75/717775675.db2.gz YFKLRDAQOUCOBF-BXKDBHETSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC000965832865 717775676 /nfs/dbraw/zinc/77/56/76/717775676.db2.gz YFKLRDAQOUCOBF-BXKDBHETSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC000966750696 718649530 /nfs/dbraw/zinc/64/95/30/718649530.db2.gz JSNAKEYFVDCMNY-GXFFZTMASA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC000966750696 718649531 /nfs/dbraw/zinc/64/95/31/718649531.db2.gz JSNAKEYFVDCMNY-GXFFZTMASA-N 1 2 324.812 1.468 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1ccon1 ZINC000947204941 719073874 /nfs/dbraw/zinc/07/38/74/719073874.db2.gz JMWDYOZKDWFDSX-DGCLKSJQSA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1ccon1 ZINC000947204941 719073876 /nfs/dbraw/zinc/07/38/76/719073876.db2.gz JMWDYOZKDWFDSX-DGCLKSJQSA-N 1 2 313.361 1.657 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2scnc2COC)C1 ZINC000968406088 719564922 /nfs/dbraw/zinc/56/49/22/719564922.db2.gz WZONVAUSCZAQQH-STQMWFEESA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2scnc2COC)C1 ZINC000968406088 719564924 /nfs/dbraw/zinc/56/49/24/719564924.db2.gz WZONVAUSCZAQQH-STQMWFEESA-N 1 2 321.446 1.753 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2c(CC)c(CC)n[nH]c2=O)CC1 ZINC000948607239 719583345 /nfs/dbraw/zinc/58/33/45/719583345.db2.gz HFBXCEYAHBAEQY-UHFFFAOYSA-N 1 2 304.394 1.251 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2sc(COC)nc2C)C1 ZINC000968597294 719683682 /nfs/dbraw/zinc/68/36/82/719683682.db2.gz VLEOTSDWEBKTLK-DGCLKSJQSA-N 1 2 321.446 1.671 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2sc(COC)nc2C)C1 ZINC000968597294 719683686 /nfs/dbraw/zinc/68/36/86/719683686.db2.gz VLEOTSDWEBKTLK-DGCLKSJQSA-N 1 2 321.446 1.671 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCC[C@@H]2n2cccn2)CC1 ZINC000948832028 719736097 /nfs/dbraw/zinc/73/60/97/719736097.db2.gz MQKXJUXKCAIFRQ-HOTGVXAUSA-N 1 2 302.422 1.945 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccnn1C ZINC000948902163 719775822 /nfs/dbraw/zinc/77/58/22/719775822.db2.gz NQNRDPWPODFNSG-KRWDZBQOSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccnn1C ZINC000948902163 719775823 /nfs/dbraw/zinc/77/58/23/719775823.db2.gz NQNRDPWPODFNSG-KRWDZBQOSA-N 1 2 308.385 1.383 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ncn(C)n1 ZINC000948913556 719782781 /nfs/dbraw/zinc/78/27/81/719782781.db2.gz VALJHIHIXMYLLV-MRXNPFEDSA-N 1 2 323.400 1.168 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ncn(C)n1 ZINC000948913556 719782783 /nfs/dbraw/zinc/78/27/83/719782783.db2.gz VALJHIHIXMYLLV-MRXNPFEDSA-N 1 2 323.400 1.168 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1[nH]nnc1C ZINC000948946522 719796544 /nfs/dbraw/zinc/79/65/44/719796544.db2.gz MQSJFCPCPKQLRM-HNNXBMFYSA-N 1 2 309.373 1.076 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1[nH]nnc1C ZINC000948946522 719796545 /nfs/dbraw/zinc/79/65/45/719796545.db2.gz MQSJFCPCPKQLRM-HNNXBMFYSA-N 1 2 309.373 1.076 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)/C=C/c3ccco3)CC2)C1 ZINC000949282681 719992451 /nfs/dbraw/zinc/99/24/51/719992451.db2.gz TTZSAXAGLGJVQV-AATRIKPKSA-N 1 2 314.385 1.619 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)/C=C/c3ccco3)CC2)C1 ZINC000949282681 719992454 /nfs/dbraw/zinc/99/24/54/719992454.db2.gz TTZSAXAGLGJVQV-AATRIKPKSA-N 1 2 314.385 1.619 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCc3ccc(F)cc3O2)CC1 ZINC000949302732 720003528 /nfs/dbraw/zinc/00/35/28/720003528.db2.gz MKAOMKMDSZCZHD-OAHLLOKOSA-N 1 2 304.365 1.850 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@@H]3CCCCO3)CC2)C1 ZINC000949338423 720026104 /nfs/dbraw/zinc/02/61/04/720026104.db2.gz MTWINCAYPGZIOD-INIZCTEOSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@@H]3CCCCO3)CC2)C1 ZINC000949338423 720026109 /nfs/dbraw/zinc/02/61/09/720026109.db2.gz MTWINCAYPGZIOD-INIZCTEOSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cc(C)co3)CC2)C1 ZINC000949447182 720093693 /nfs/dbraw/zinc/09/36/93/720093693.db2.gz MEURBJPLDIJYQF-UHFFFAOYSA-N 1 2 302.374 1.528 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cc(C)co3)CC2)C1 ZINC000949447182 720093696 /nfs/dbraw/zinc/09/36/96/720093696.db2.gz MEURBJPLDIJYQF-UHFFFAOYSA-N 1 2 302.374 1.528 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](OC)c2cccc(OC)c2)CC1 ZINC000949498593 720123903 /nfs/dbraw/zinc/12/39/03/720123903.db2.gz NDVZANUGUIBQJO-MRXNPFEDSA-N 1 2 304.390 1.713 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(COC)no2)C1 ZINC000969586167 720201874 /nfs/dbraw/zinc/20/18/74/720201874.db2.gz HWPMDCQKEGARCP-NWDGAFQWSA-N 1 2 322.409 1.680 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC000969587868 720202952 /nfs/dbraw/zinc/20/29/52/720202952.db2.gz YAIZWIJPUQDKQP-SECBINFHSA-N 1 2 314.336 1.910 20 30 DDEDLO C=C(Cl)CN1CC([C@H](C)NC(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC000969996369 720591643 /nfs/dbraw/zinc/59/16/43/720591643.db2.gz IIGDPSMMVFVPKM-STQMWFEESA-N 1 2 322.840 1.635 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[NH+](CCOC3CCC3)CC2)c1 ZINC000950406868 720619737 /nfs/dbraw/zinc/61/97/37/720619737.db2.gz NOVSJSPSLLMATC-UHFFFAOYSA-N 1 2 313.401 1.390 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cncc(OC)c2C)C1 ZINC000950621240 720708165 /nfs/dbraw/zinc/70/81/65/720708165.db2.gz VBVBGXRPQSDENR-UHFFFAOYSA-N 1 2 301.390 1.568 20 30 DDEDLO C=C(Cl)CN1CC(N(CC)C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000950642698 720718823 /nfs/dbraw/zinc/71/88/23/720718823.db2.gz UEHDGCXJDXGRLQ-ZDUSSCGKSA-N 1 2 322.840 1.731 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2coc(-c3ccccn3)n2)C1 ZINC000950731353 720755905 /nfs/dbraw/zinc/75/59/05/720755905.db2.gz RFORNZORVJDUHV-UHFFFAOYSA-N 1 2 324.384 1.906 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H](C)C1C[NH+](CCF)C1 ZINC000970761252 720926039 /nfs/dbraw/zinc/92/60/39/720926039.db2.gz ULBQXOCLRPXCAP-GFCCVEGCSA-N 1 2 305.353 1.113 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cncnc2CC)C1 ZINC000970888824 720973997 /nfs/dbraw/zinc/97/39/97/720973997.db2.gz KLJPEHVUOGACDP-LLVKDONJSA-N 1 2 308.813 1.842 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(CCC(C)C)nn2)C1 ZINC000951741519 721161429 /nfs/dbraw/zinc/16/14/29/721161429.db2.gz BRUJGNNNAYWYOF-UHFFFAOYSA-N 1 2 317.437 1.494 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC000971502848 721325695 /nfs/dbraw/zinc/32/56/95/721325695.db2.gz VLCBJVMDTSGMKX-JTQLQIEISA-N 1 2 313.199 1.465 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC000971502848 721325698 /nfs/dbraw/zinc/32/56/98/721325698.db2.gz VLCBJVMDTSGMKX-JTQLQIEISA-N 1 2 313.199 1.465 20 30 DDEDLO C[C@@H](CCCNc1ncccc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114983851 732336565 /nfs/dbraw/zinc/33/65/65/732336565.db2.gz STFKJVRZYFVRAJ-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@H]([NH2+]Cc3noc(CC)n3)C2)cc1 ZINC001020456286 732396464 /nfs/dbraw/zinc/39/64/64/732396464.db2.gz INUDGLGDOMQRNE-SHTZXODSSA-N 1 2 324.384 1.664 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)CNc1ccc(C#N)cn1 ZINC001104246500 732861249 /nfs/dbraw/zinc/86/12/49/732861249.db2.gz VKTUJYMHMPZBBV-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)CNc1ccc(C#N)cn1 ZINC001104246500 732861251 /nfs/dbraw/zinc/86/12/51/732861251.db2.gz VKTUJYMHMPZBBV-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)CNc1ccc(C#N)cn1 ZINC001104259076 733072078 /nfs/dbraw/zinc/07/20/78/733072078.db2.gz XPTNPCSPJZGZNU-IACUBPJLSA-N 1 2 324.388 1.644 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)CNc1ccc(C#N)cn1 ZINC001104259076 733072082 /nfs/dbraw/zinc/07/20/82/733072082.db2.gz XPTNPCSPJZGZNU-IACUBPJLSA-N 1 2 324.388 1.644 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)CNc1ccncc1C#N ZINC001104259078 733072196 /nfs/dbraw/zinc/07/21/96/733072196.db2.gz XPTUZMJAZONHOT-IACUBPJLSA-N 1 2 324.388 1.066 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)CNc1ccncc1C#N ZINC001104259078 733072198 /nfs/dbraw/zinc/07/21/98/733072198.db2.gz XPTUZMJAZONHOT-IACUBPJLSA-N 1 2 324.388 1.066 20 30 DDEDLO C[C@@H](CCCNc1ccc(C#N)cn1)NC(=O)Cn1cc[nH+]c1 ZINC001114956979 733143165 /nfs/dbraw/zinc/14/31/65/733143165.db2.gz FIKRJXGXEQHTOY-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)no1 ZINC001027813275 738689407 /nfs/dbraw/zinc/68/94/07/738689407.db2.gz QDGGDPKMYOXBES-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)no1 ZINC001027813275 738689409 /nfs/dbraw/zinc/68/94/09/738689409.db2.gz QDGGDPKMYOXBES-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H](NC(=O)c3cccs3)C2)C1=O ZINC001010010531 738698741 /nfs/dbraw/zinc/69/87/41/738698741.db2.gz JDEDFTBBJWVYFP-STQMWFEESA-N 1 2 319.430 1.339 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H](NC(=O)c3cccs3)C2)C1=O ZINC001010010531 738698744 /nfs/dbraw/zinc/69/87/44/738698744.db2.gz JDEDFTBBJWVYFP-STQMWFEESA-N 1 2 319.430 1.339 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H](CCCN2C(=O)c2cccc3[nH+]ccn32)C1 ZINC001021634382 733388624 /nfs/dbraw/zinc/38/86/24/733388624.db2.gz ZJNRHYHHKFVCEF-LSDHHAIUSA-N 1 2 323.400 1.784 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@H]2C[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)no1 ZINC001021981155 733697214 /nfs/dbraw/zinc/69/72/14/733697214.db2.gz OVSZJABJYKBREQ-KPXOXKRLSA-N 1 2 314.349 1.189 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213356830 734526966 /nfs/dbraw/zinc/52/69/66/734526966.db2.gz BQTCMPPACKEMSN-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213356830 734526967 /nfs/dbraw/zinc/52/69/67/734526967.db2.gz BQTCMPPACKEMSN-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@@H]([NH2+]Cc3noc(CC)n3)C2)cc1 ZINC001022526623 734582927 /nfs/dbraw/zinc/58/29/27/734582927.db2.gz INUDGLGDOMQRNE-GASCZTMLSA-N 1 2 324.384 1.664 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1csc([C@@H](C)OC)n1 ZINC001038250497 734986838 /nfs/dbraw/zinc/98/68/38/734986838.db2.gz DTXIZTPRLZHCPS-VXGBXAGGSA-N 1 2 307.419 1.678 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1csc([C@@H](C)OC)n1 ZINC001038250497 734986841 /nfs/dbraw/zinc/98/68/41/734986841.db2.gz DTXIZTPRLZHCPS-VXGBXAGGSA-N 1 2 307.419 1.678 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001038266199 735134303 /nfs/dbraw/zinc/13/43/03/735134303.db2.gz KOCOSHMLTGMTQS-IMJJTQAJSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001038266199 735134306 /nfs/dbraw/zinc/13/43/06/735134306.db2.gz KOCOSHMLTGMTQS-IMJJTQAJSA-N 1 2 319.405 1.655 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C3CCOCC3)C2)C1 ZINC000972707418 735557577 /nfs/dbraw/zinc/55/75/77/735557577.db2.gz JFVPOMLJXISRST-CRAIPNDOSA-N 1 2 322.449 1.538 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C3CCOCC3)C2)C1 ZINC000972707418 735557579 /nfs/dbraw/zinc/55/75/79/735557579.db2.gz JFVPOMLJXISRST-CRAIPNDOSA-N 1 2 322.449 1.538 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cc(OC)ncn1 ZINC001024439544 735828243 /nfs/dbraw/zinc/82/82/43/735828243.db2.gz BOIZBLFHBXTQFB-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cc(OC)ncn1 ZINC001024439544 735828250 /nfs/dbraw/zinc/82/82/50/735828250.db2.gz BOIZBLFHBXTQFB-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccn3C(C)C)[C@H]2C1 ZINC001083277200 736267905 /nfs/dbraw/zinc/26/79/05/736267905.db2.gz TVDXDZZUGSFADK-JKSUJKDBSA-N 1 2 301.390 1.227 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccn3C(C)C)[C@H]2C1 ZINC001083277200 736267910 /nfs/dbraw/zinc/26/79/10/736267910.db2.gz TVDXDZZUGSFADK-JKSUJKDBSA-N 1 2 301.390 1.227 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)N(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001104552501 736284450 /nfs/dbraw/zinc/28/44/50/736284450.db2.gz ANJPCNOWYVVZIG-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nnc2C)C1 ZINC001107973727 751371427 /nfs/dbraw/zinc/37/14/27/751371427.db2.gz ZEUAOYMXYWLXHQ-INIZCTEOSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nnc2C)C1 ZINC001107973727 751371432 /nfs/dbraw/zinc/37/14/32/751371432.db2.gz ZEUAOYMXYWLXHQ-INIZCTEOSA-N 1 2 304.394 1.100 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](F)Cc3ccccc3)[C@H]2C1 ZINC001083281807 736679804 /nfs/dbraw/zinc/67/98/04/736679804.db2.gz CPUBAYWARYBZAI-IXDOHACOSA-N 1 2 316.376 1.112 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](F)Cc3ccccc3)[C@H]2C1 ZINC001083281807 736679806 /nfs/dbraw/zinc/67/98/06/736679806.db2.gz CPUBAYWARYBZAI-IXDOHACOSA-N 1 2 316.376 1.112 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001098602300 736749865 /nfs/dbraw/zinc/74/98/65/736749865.db2.gz ODTMGIZNJGNZQZ-UONOGXRCSA-N 1 2 304.394 1.827 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@@H]3CC[C@H](NCC#N)[C@H]3C2)c[nH+]1 ZINC001026294011 737309540 /nfs/dbraw/zinc/30/95/40/737309540.db2.gz MNFRBVROFDEYFS-KKUMJFAQSA-N 1 2 315.421 1.277 20 30 DDEDLO CC(C)[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1ccc(C#N)nc1 ZINC001105214567 737616614 /nfs/dbraw/zinc/61/66/14/737616614.db2.gz LWBPBBDFMCXMHT-CQSZACIVSA-N 1 2 312.377 1.472 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CCC[N@H+]2Cc2cnnn2C)CC1 ZINC001028114273 739053202 /nfs/dbraw/zinc/05/32/02/739053202.db2.gz GOMXCAKXMSWPLL-HNNXBMFYSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2cnnn2C)CC1 ZINC001028114273 739053208 /nfs/dbraw/zinc/05/32/08/739053208.db2.gz GOMXCAKXMSWPLL-HNNXBMFYSA-N 1 2 317.437 1.642 20 30 DDEDLO CCc1cnc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)o1 ZINC001075619858 739218980 /nfs/dbraw/zinc/21/89/80/739218980.db2.gz RBWYQHIDNNKHCA-MBNYWOFBSA-N 1 2 302.378 1.429 20 30 DDEDLO CCc1cnc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)o1 ZINC001075619858 739218982 /nfs/dbraw/zinc/21/89/82/739218982.db2.gz RBWYQHIDNNKHCA-MBNYWOFBSA-N 1 2 302.378 1.429 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C(C)C)nc2)C1 ZINC001035400271 751470332 /nfs/dbraw/zinc/47/03/32/751470332.db2.gz BBROJGOISGSIQM-HNNXBMFYSA-N 1 2 303.406 1.822 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C(C)C)nc2)C1 ZINC001035400271 751470334 /nfs/dbraw/zinc/47/03/34/751470334.db2.gz BBROJGOISGSIQM-HNNXBMFYSA-N 1 2 303.406 1.822 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c(F)cccc2OC)C1 ZINC001035376845 751473509 /nfs/dbraw/zinc/47/35/09/751473509.db2.gz BIPFWMUQLMTAKL-GFCCVEGCSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c(F)cccc2OC)C1 ZINC001035376845 751473515 /nfs/dbraw/zinc/47/35/15/751473515.db2.gz BIPFWMUQLMTAKL-GFCCVEGCSA-N 1 2 308.353 1.451 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001035429915 751479394 /nfs/dbraw/zinc/47/93/94/751479394.db2.gz VGUSQZWOFBKFHH-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001035429915 751479400 /nfs/dbraw/zinc/47/94/00/751479400.db2.gz VGUSQZWOFBKFHH-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cscc2Cl)C1 ZINC001035405927 751489446 /nfs/dbraw/zinc/48/94/46/751489446.db2.gz FSJABDRNHPJVBE-NSHDSACASA-N 1 2 312.822 1.855 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cscc2Cl)C1 ZINC001035405927 751489447 /nfs/dbraw/zinc/48/94/47/751489447.db2.gz FSJABDRNHPJVBE-NSHDSACASA-N 1 2 312.822 1.855 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn(C)c2ccccc12 ZINC001032596221 751491746 /nfs/dbraw/zinc/49/17/46/751491746.db2.gz WRPNMMPHXYTDNF-HOTGVXAUSA-N 1 2 307.397 1.639 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn(C)c2ccccc12 ZINC001032596221 751491750 /nfs/dbraw/zinc/49/17/50/751491750.db2.gz WRPNMMPHXYTDNF-HOTGVXAUSA-N 1 2 307.397 1.639 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2cccnc2)on1 ZINC001032596786 751493265 /nfs/dbraw/zinc/49/32/65/751493265.db2.gz SIQKFMMEZMBNBR-KBPBESRZSA-N 1 2 310.357 1.821 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2cccnc2)on1 ZINC001032596786 751493267 /nfs/dbraw/zinc/49/32/67/751493267.db2.gz SIQKFMMEZMBNBR-KBPBESRZSA-N 1 2 310.357 1.821 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC)cc2F)C1 ZINC001035435795 751512650 /nfs/dbraw/zinc/51/26/50/751512650.db2.gz NDWMGLBIKDVWJP-CYBMUJFWSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC)cc2F)C1 ZINC001035435795 751512652 /nfs/dbraw/zinc/51/26/52/751512652.db2.gz NDWMGLBIKDVWJP-CYBMUJFWSA-N 1 2 308.353 1.451 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)ccc2OC)C1 ZINC001035436739 751513732 /nfs/dbraw/zinc/51/37/32/751513732.db2.gz FRCBBSMRCGARSE-HNNXBMFYSA-N 1 2 316.401 1.458 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)ccc2OC)C1 ZINC001035436739 751513735 /nfs/dbraw/zinc/51/37/35/751513735.db2.gz FRCBBSMRCGARSE-HNNXBMFYSA-N 1 2 316.401 1.458 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)C=C3CCC3)[C@@H](O)C2)s1 ZINC001083400934 740149643 /nfs/dbraw/zinc/14/96/43/740149643.db2.gz NJNHZOUBGZVHMD-CABCVRRESA-N 1 2 317.414 1.391 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)C=C3CCC3)[C@@H](O)C2)s1 ZINC001083400934 740149644 /nfs/dbraw/zinc/14/96/44/740149644.db2.gz NJNHZOUBGZVHMD-CABCVRRESA-N 1 2 317.414 1.391 20 30 DDEDLO N#CCN1CC[C@H](CNC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001028907648 740162997 /nfs/dbraw/zinc/16/29/97/740162997.db2.gz PEFDBUIANJOKFB-CQSZACIVSA-N 1 2 309.373 1.448 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3c2O[C@H](C)C3)C1 ZINC001035449290 751526719 /nfs/dbraw/zinc/52/67/19/751526719.db2.gz KVPAWUFDSAPVIG-UKRRQHHQSA-N 1 2 316.401 1.627 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3c2O[C@H](C)C3)C1 ZINC001035449290 751526722 /nfs/dbraw/zinc/52/67/22/751526722.db2.gz KVPAWUFDSAPVIG-UKRRQHHQSA-N 1 2 316.401 1.627 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3ccncc3n2)C1 ZINC001035451492 751528061 /nfs/dbraw/zinc/52/80/61/751528061.db2.gz GHPOVUDQERMOIG-CQSZACIVSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3ccncc3n2)C1 ZINC001035451492 751528063 /nfs/dbraw/zinc/52/80/63/751528063.db2.gz GHPOVUDQERMOIG-CQSZACIVSA-N 1 2 312.373 1.246 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21)Nc1ncccc1C#N ZINC001098268237 740486882 /nfs/dbraw/zinc/48/68/82/740486882.db2.gz ROIFTPBSHNSQES-AAEUAGOBSA-N 1 2 324.388 1.713 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CCNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001059313875 740607205 /nfs/dbraw/zinc/60/72/05/740607205.db2.gz JHFVLVQDUMOXOT-CQSZACIVSA-N 1 2 318.421 1.582 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)[C@@H]1CCCOC1 ZINC001038103169 740641069 /nfs/dbraw/zinc/64/10/69/740641069.db2.gz JLRDOZAHZIYDOB-MSOLQXFVSA-N 1 2 312.413 1.655 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)[C@@H]1CCCOC1 ZINC001038103169 740641073 /nfs/dbraw/zinc/64/10/73/740641073.db2.gz JLRDOZAHZIYDOB-MSOLQXFVSA-N 1 2 312.413 1.655 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2snnc2C2CC2)[C@H]1CC ZINC001087611441 740676324 /nfs/dbraw/zinc/67/63/24/740676324.db2.gz OGGWJVOTXJTBAA-NWDGAFQWSA-N 1 2 304.419 1.631 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2snnc2C2CC2)[C@H]1CC ZINC001087611441 740676327 /nfs/dbraw/zinc/67/63/27/740676327.db2.gz OGGWJVOTXJTBAA-NWDGAFQWSA-N 1 2 304.419 1.631 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(C3CC3)nc2)C1 ZINC001035538478 751583819 /nfs/dbraw/zinc/58/38/19/751583819.db2.gz AXXIFROSDLTGAO-HNNXBMFYSA-N 1 2 316.405 1.361 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnc(C3CC3)nc2)C1 ZINC001035538478 751583823 /nfs/dbraw/zinc/58/38/23/751583823.db2.gz AXXIFROSDLTGAO-HNNXBMFYSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001035571164 751624883 /nfs/dbraw/zinc/62/48/83/751624883.db2.gz ATCVUMPRNRCYTL-CQSZACIVSA-N 1 2 306.410 1.389 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001035571164 751624887 /nfs/dbraw/zinc/62/48/87/751624887.db2.gz ATCVUMPRNRCYTL-CQSZACIVSA-N 1 2 306.410 1.389 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001029826819 741363061 /nfs/dbraw/zinc/36/30/61/741363061.db2.gz WBHDNBREHZCKIV-PBHICJAKSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001029826819 741363063 /nfs/dbraw/zinc/36/30/63/741363063.db2.gz WBHDNBREHZCKIV-PBHICJAKSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3[nH]c(C)nc3c2)C1 ZINC001035558440 751639792 /nfs/dbraw/zinc/63/97/92/751639792.db2.gz MXARUKIKRLQSOY-CQSZACIVSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3[nH]c(C)nc3c2)C1 ZINC001035558440 751639797 /nfs/dbraw/zinc/63/97/97/751639797.db2.gz MXARUKIKRLQSOY-CQSZACIVSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(COC)cc2)C1 ZINC001035600971 751659388 /nfs/dbraw/zinc/65/93/88/751659388.db2.gz UOBOXHNQLSGUNM-MRXNPFEDSA-N 1 2 304.390 1.450 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(COC)cc2)C1 ZINC001035600971 751659394 /nfs/dbraw/zinc/65/93/94/751659394.db2.gz UOBOXHNQLSGUNM-MRXNPFEDSA-N 1 2 304.390 1.450 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)[C@H]2C)o1 ZINC001088529159 741702280 /nfs/dbraw/zinc/70/22/80/741702280.db2.gz ILOZNQWJYWPMQX-YPMHNXCESA-N 1 2 313.361 1.576 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)[C@H]2C)o1 ZINC001088529159 741702283 /nfs/dbraw/zinc/70/22/83/741702283.db2.gz ILOZNQWJYWPMQX-YPMHNXCESA-N 1 2 313.361 1.576 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)C2CCCCC2)C1=O ZINC001038021413 751679426 /nfs/dbraw/zinc/67/94/26/751679426.db2.gz WPUMSQKKOKRXSN-HZPDHXFCSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)C2CCCCC2)C1=O ZINC001038021413 751679428 /nfs/dbraw/zinc/67/94/28/751679428.db2.gz WPUMSQKKOKRXSN-HZPDHXFCSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccnc2OCC)C1 ZINC001035591093 751684330 /nfs/dbraw/zinc/68/43/30/751684330.db2.gz BQZYLMJGIKNUCR-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccnc2OCC)C1 ZINC001035591093 751684336 /nfs/dbraw/zinc/68/43/36/751684336.db2.gz BQZYLMJGIKNUCR-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C(C)=C\C)C2)nn1 ZINC001098649259 742065516 /nfs/dbraw/zinc/06/55/16/742065516.db2.gz NMLDKCDYGOFNNC-KSKAUBAUSA-N 1 2 301.394 1.131 20 30 DDEDLO Cc1ccc(C#N)c(N(C)CCN(C)C(=O)Cc2c[nH+]c[nH]2)n1 ZINC001105576133 742123795 /nfs/dbraw/zinc/12/37/95/742123795.db2.gz NQGHVWRGOVOVHE-UHFFFAOYSA-N 1 2 312.377 1.122 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)nc1 ZINC001060093786 742496435 /nfs/dbraw/zinc/49/64/35/742496435.db2.gz ONMXVHFQCIXOGP-CQSZACIVSA-N 1 2 324.388 1.570 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001076303988 742648429 /nfs/dbraw/zinc/64/84/29/742648429.db2.gz RHVLZKDRQPNIIN-BFHYXJOUSA-N 1 2 316.405 1.328 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001076303988 742648430 /nfs/dbraw/zinc/64/84/30/742648430.db2.gz RHVLZKDRQPNIIN-BFHYXJOUSA-N 1 2 316.405 1.328 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC[C@@H](C)C1 ZINC001121509291 782542085 /nfs/dbraw/zinc/54/20/85/782542085.db2.gz DIXJEGCHAKBSAP-RDBSUJKOSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC[C@@H](C)C1 ZINC001121509291 782542089 /nfs/dbraw/zinc/54/20/89/782542089.db2.gz DIXJEGCHAKBSAP-RDBSUJKOSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108046586 743213824 /nfs/dbraw/zinc/21/38/24/743213824.db2.gz DCZLMYFQBAYRAC-SNVBAGLBSA-N 1 2 306.414 1.375 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CCNC(=O)Cn1cc[nH+]c1 ZINC001077185869 743302065 /nfs/dbraw/zinc/30/20/65/743302065.db2.gz URKPOOIMISHYRU-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCC(=O)N2c2ccccc2)CC1 ZINC001181933469 743398708 /nfs/dbraw/zinc/39/87/08/743398708.db2.gz VKIVBYWJNOQPRI-INIZCTEOSA-N 1 2 313.401 1.512 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cc(Cl)ccc3o2)C1 ZINC001077329363 743408582 /nfs/dbraw/zinc/40/85/82/743408582.db2.gz WTNTZVITMFOWRM-CHWSQXEVSA-N 1 2 318.760 1.494 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cc(Cl)ccc3o2)C1 ZINC001077329363 743408588 /nfs/dbraw/zinc/40/85/88/743408588.db2.gz WTNTZVITMFOWRM-CHWSQXEVSA-N 1 2 318.760 1.494 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc2cc(OC)ccn21 ZINC001038512421 743478294 /nfs/dbraw/zinc/47/82/94/743478294.db2.gz AEXYAXXPMKJBKK-CYBMUJFWSA-N 1 2 312.373 1.170 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc2cc(OC)ccn21 ZINC001038512421 743478298 /nfs/dbraw/zinc/47/82/98/743478298.db2.gz AEXYAXXPMKJBKK-CYBMUJFWSA-N 1 2 312.373 1.170 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CCOCC(C)C)CC2)C1 ZINC001105694650 743482628 /nfs/dbraw/zinc/48/26/28/743482628.db2.gz VKLBBTQVUNRYBS-UHFFFAOYSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)COC(C)C)CC2)C1 ZINC001105696032 743496531 /nfs/dbraw/zinc/49/65/31/743496531.db2.gz SDDYXVCZXYSNIK-UHFFFAOYSA-N 1 2 310.438 1.679 20 30 DDEDLO CC(C)OCC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC001105700112 743572915 /nfs/dbraw/zinc/57/29/15/743572915.db2.gz VNWLANOGEMXVLE-AWEZNQCLSA-N 1 2 323.437 1.263 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(CCCC)no1 ZINC001127051664 743676265 /nfs/dbraw/zinc/67/62/65/743676265.db2.gz KXMGVMWGPYFSSO-STQMWFEESA-N 1 2 322.409 1.217 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2ccc3nc(N)sc3c2)CC1 ZINC001183296975 743815495 /nfs/dbraw/zinc/81/54/95/743815495.db2.gz ANZUCGBSVSGUOH-UHFFFAOYSA-N 1 2 316.430 1.751 20 30 DDEDLO CN(C(=O)[C@H]1CCCC[N@@H+]1Cc1ccccc1C#N)C1COC1 ZINC001183831174 743914888 /nfs/dbraw/zinc/91/48/88/743914888.db2.gz LUFAMQWJOQLTSG-QGZVFWFLSA-N 1 2 313.401 1.770 20 30 DDEDLO CN(C(=O)[C@H]1CCCC[N@H+]1Cc1ccccc1C#N)C1COC1 ZINC001183831174 743914891 /nfs/dbraw/zinc/91/48/91/743914891.db2.gz LUFAMQWJOQLTSG-QGZVFWFLSA-N 1 2 313.401 1.770 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001107985955 751887140 /nfs/dbraw/zinc/88/71/40/751887140.db2.gz VVXYAVZJBRBYOL-OCCSQVGLSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001107985955 751887150 /nfs/dbraw/zinc/88/71/50/751887150.db2.gz VVXYAVZJBRBYOL-OCCSQVGLSA-N 1 2 302.365 1.815 20 30 DDEDLO C[C@@H](CC(=O)NCC1(Nc2ccncc2C#N)CC1)n1cc[nH+]c1 ZINC001110361436 744209910 /nfs/dbraw/zinc/20/99/10/744209910.db2.gz LYNNRXJDDMZYSQ-ZDUSSCGKSA-N 1 2 324.388 1.284 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cc(C)n(C(CC)CC)n2)C1 ZINC001030583702 744291428 /nfs/dbraw/zinc/29/14/28/744291428.db2.gz ZPQIBHMBRYMRME-UHFFFAOYSA-N 1 2 302.422 1.990 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C[C@@H](C)CC)C2)nn1 ZINC001185932334 744316421 /nfs/dbraw/zinc/31/64/21/744316421.db2.gz GCPPPNHONGZFDA-GOEBONIOSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2c(OC)cccc2OC)C1 ZINC001030620266 744336385 /nfs/dbraw/zinc/33/63/85/744336385.db2.gz DAVBVSGNSTXAAB-UHFFFAOYSA-N 1 2 302.374 1.070 20 30 DDEDLO COc1ccnc(C(=N)Nc2ccc(Cn3cc[nH+]c3)cn2)n1 ZINC001168418644 744340400 /nfs/dbraw/zinc/34/04/00/744340400.db2.gz FDTYUMWBCXDHTL-UHFFFAOYSA-N 1 2 309.333 1.162 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)[C@H]1C ZINC001088951130 744398257 /nfs/dbraw/zinc/39/82/57/744398257.db2.gz AIRFMUJZEJTTFG-BZPMIXESSA-N 1 2 300.406 1.360 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)[C@H]1C ZINC001088951130 744398258 /nfs/dbraw/zinc/39/82/58/744398258.db2.gz AIRFMUJZEJTTFG-BZPMIXESSA-N 1 2 300.406 1.360 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cn(C(C)C)nn3)C[C@H]21 ZINC001187191136 744514633 /nfs/dbraw/zinc/51/46/33/744514633.db2.gz GDEAWAHTXWNJCL-GOEBONIOSA-N 1 2 317.437 1.858 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+](Cc3cn(C(C)C)nn3)C[C@H]21 ZINC001187191136 744514636 /nfs/dbraw/zinc/51/46/36/744514636.db2.gz GDEAWAHTXWNJCL-GOEBONIOSA-N 1 2 317.437 1.858 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C#N)C2 ZINC001110395089 744576591 /nfs/dbraw/zinc/57/65/91/744576591.db2.gz VRXGSDHOLSVZPJ-SYQHCUMBSA-N 1 2 320.437 1.172 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C#N)C2 ZINC001110395089 744576594 /nfs/dbraw/zinc/57/65/94/744576594.db2.gz VRXGSDHOLSVZPJ-SYQHCUMBSA-N 1 2 320.437 1.172 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@H]1C[N@H+](C)Cc1ncccn1 ZINC001089139820 744584026 /nfs/dbraw/zinc/58/40/26/744584026.db2.gz OHOVMRNVEJKGGT-KBPBESRZSA-N 1 2 301.394 1.449 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@H]1C[N@@H+](C)Cc1ncccn1 ZINC001089139820 744584027 /nfs/dbraw/zinc/58/40/27/744584027.db2.gz OHOVMRNVEJKGGT-KBPBESRZSA-N 1 2 301.394 1.449 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)o1 ZINC001089140182 744585239 /nfs/dbraw/zinc/58/52/39/744585239.db2.gz XVNMOJOAGZTZII-OCCSQVGLSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)o1 ZINC001089140182 744585242 /nfs/dbraw/zinc/58/52/42/744585242.db2.gz XVNMOJOAGZTZII-OCCSQVGLSA-N 1 2 304.394 1.956 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001189371337 744878379 /nfs/dbraw/zinc/87/83/79/744878379.db2.gz PPAIVSRGLXMVDQ-AWEZNQCLSA-N 1 2 307.394 1.527 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001189371337 744878381 /nfs/dbraw/zinc/87/83/81/744878381.db2.gz PPAIVSRGLXMVDQ-AWEZNQCLSA-N 1 2 307.394 1.527 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@H+](C)CC(=O)Nc2ccccc2)C1 ZINC001189388783 744881046 /nfs/dbraw/zinc/88/10/46/744881046.db2.gz GTNOQNYZRUEEHB-ZFWWWQNUSA-N 1 2 314.389 1.317 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)CC(=O)Nc2ccccc2)C1 ZINC001189388783 744881047 /nfs/dbraw/zinc/88/10/47/744881047.db2.gz GTNOQNYZRUEEHB-ZFWWWQNUSA-N 1 2 314.389 1.317 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2nnc(CC)o2)C1 ZINC001189363083 744886422 /nfs/dbraw/zinc/88/64/22/744886422.db2.gz LGIKKTSUWKRXPF-OLZOCXBDSA-N 1 2 322.409 1.428 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2nnc(CC)o2)C1 ZINC001189363083 744886423 /nfs/dbraw/zinc/88/64/23/744886423.db2.gz LGIKKTSUWKRXPF-OLZOCXBDSA-N 1 2 322.409 1.428 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001189744244 744966948 /nfs/dbraw/zinc/96/69/48/744966948.db2.gz PENLCPASTFKOTO-AWEZNQCLSA-N 1 2 319.405 1.910 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001189744244 744966950 /nfs/dbraw/zinc/96/69/50/744966950.db2.gz PENLCPASTFKOTO-AWEZNQCLSA-N 1 2 319.405 1.910 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001190122277 745123314 /nfs/dbraw/zinc/12/33/14/745123314.db2.gz VYOGLDLJBXXRAE-OAHLLOKOSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001190122277 745123319 /nfs/dbraw/zinc/12/33/19/745123319.db2.gz VYOGLDLJBXXRAE-OAHLLOKOSA-N 1 2 305.378 1.056 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CCC[C@H](NC(C)=O)[C@@H]2C)c1 ZINC000992916690 745291105 /nfs/dbraw/zinc/29/11/05/745291105.db2.gz UMNAZJQDCDCLTG-GUYCJALGSA-N 1 2 313.401 1.595 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@H](NC(C)=O)[C@@H]2C)c1 ZINC000992916690 745291106 /nfs/dbraw/zinc/29/11/06/745291106.db2.gz UMNAZJQDCDCLTG-GUYCJALGSA-N 1 2 313.401 1.595 20 30 DDEDLO CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191067747 745416319 /nfs/dbraw/zinc/41/63/19/745416319.db2.gz FGYZRLVIFAGYOC-ZIAGYGMSSA-N 1 2 321.446 1.717 20 30 DDEDLO CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191067747 745416322 /nfs/dbraw/zinc/41/63/22/745416322.db2.gz FGYZRLVIFAGYOC-ZIAGYGMSSA-N 1 2 321.446 1.717 20 30 DDEDLO C/C(=C\C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)C1CC1 ZINC001191409475 745502571 /nfs/dbraw/zinc/50/25/71/745502571.db2.gz NXQQYYSPHRFASG-BDZHWRPJSA-N 1 2 324.424 1.556 20 30 DDEDLO C/C(=C\C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)C1CC1 ZINC001191409475 745502575 /nfs/dbraw/zinc/50/25/75/745502575.db2.gz NXQQYYSPHRFASG-BDZHWRPJSA-N 1 2 324.424 1.556 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@H]1O ZINC001191777468 745596363 /nfs/dbraw/zinc/59/63/63/745596363.db2.gz MSAOAJSHDDELLY-HUUCEWRRSA-N 1 2 324.808 1.204 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@H]1O ZINC001191777468 745596367 /nfs/dbraw/zinc/59/63/67/745596367.db2.gz MSAOAJSHDDELLY-HUUCEWRRSA-N 1 2 324.808 1.204 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@H]1O ZINC001191806994 745617128 /nfs/dbraw/zinc/61/71/28/745617128.db2.gz GXIBOORELNWDBP-HUUCEWRRSA-N 1 2 324.808 1.204 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@H]1O ZINC001191806994 745617130 /nfs/dbraw/zinc/61/71/30/745617130.db2.gz GXIBOORELNWDBP-HUUCEWRRSA-N 1 2 324.808 1.204 20 30 DDEDLO CCC[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191898623 745641676 /nfs/dbraw/zinc/64/16/76/745641676.db2.gz OJDXKTPKNMRRQE-CGTJXYLNSA-N 1 2 314.429 1.636 20 30 DDEDLO CCC[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191898623 745641679 /nfs/dbraw/zinc/64/16/79/745641679.db2.gz OJDXKTPKNMRRQE-CGTJXYLNSA-N 1 2 314.429 1.636 20 30 DDEDLO C[C@H](C[C@H](C)Nc1ccc(C#N)cn1)NC(=O)Cn1cc[nH+]c1 ZINC001089342950 745957041 /nfs/dbraw/zinc/95/70/41/745957041.db2.gz BNXXOUXXPYHAMB-QWHCGFSZSA-N 1 2 312.377 1.545 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1O ZINC001193320076 746061604 /nfs/dbraw/zinc/06/16/04/746061604.db2.gz LFLXYEMPTLIQEO-HUUCEWRRSA-N 1 2 303.406 1.345 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1O ZINC001193320076 746061607 /nfs/dbraw/zinc/06/16/07/746061607.db2.gz LFLXYEMPTLIQEO-HUUCEWRRSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc(C(=O)N(C)C)cc2)C1 ZINC001031271294 746109082 /nfs/dbraw/zinc/10/90/82/746109082.db2.gz CYIUWANDBLCLKK-UHFFFAOYSA-N 1 2 301.390 1.379 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](Cc3ccccc3C)C2)nc1 ZINC001031278412 746119983 /nfs/dbraw/zinc/11/99/83/746119983.db2.gz LJMKNBFGEULQQT-UHFFFAOYSA-N 1 2 305.381 1.986 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2cn[nH]n2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000993538448 746203338 /nfs/dbraw/zinc/20/33/38/746203338.db2.gz FMPKXUBWUGWJHL-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2cn[nH]n2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000993538448 746203342 /nfs/dbraw/zinc/20/33/42/746203342.db2.gz FMPKXUBWUGWJHL-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2cnn[nH]2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000993538448 746203346 /nfs/dbraw/zinc/20/33/46/746203346.db2.gz FMPKXUBWUGWJHL-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2cnn[nH]2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000993538448 746203349 /nfs/dbraw/zinc/20/33/49/746203349.db2.gz FMPKXUBWUGWJHL-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C=CCOC(=O)N1CC[N@@H+](Cc2ccccc2)C[C@@H]1C(=O)OC ZINC001194311973 746332797 /nfs/dbraw/zinc/33/27/97/746332797.db2.gz YXQWQHDXGXVASG-OAHLLOKOSA-N 1 2 318.373 1.668 20 30 DDEDLO C=CCOC(=O)N1CC[N@H+](Cc2ccccc2)C[C@@H]1C(=O)OC ZINC001194311973 746332801 /nfs/dbraw/zinc/33/28/01/746332801.db2.gz YXQWQHDXGXVASG-OAHLLOKOSA-N 1 2 318.373 1.668 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2CCC[N@H+](CCF)[C@H]2C)nn1 ZINC000993983962 746410736 /nfs/dbraw/zinc/41/07/36/746410736.db2.gz IBMYIEJQGUVGIP-QWHCGFSZSA-N 1 2 309.389 1.406 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2CCC[N@@H+](CCF)[C@H]2C)nn1 ZINC000993983962 746410738 /nfs/dbraw/zinc/41/07/38/746410738.db2.gz IBMYIEJQGUVGIP-QWHCGFSZSA-N 1 2 309.389 1.406 20 30 DDEDLO CCOCC(=O)N1CCC[N@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC001194746777 746444568 /nfs/dbraw/zinc/44/45/68/746444568.db2.gz LVKJKRWQANUSIG-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO CCOCC(=O)N1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC001194746777 746444570 /nfs/dbraw/zinc/44/45/70/746444570.db2.gz LVKJKRWQANUSIG-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO CCCC[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001194840743 746472101 /nfs/dbraw/zinc/47/21/01/746472101.db2.gz NCEYWHPUXACJIW-BRWVUGGUSA-N 1 2 324.465 1.404 20 30 DDEDLO CCCC[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001194840743 746472103 /nfs/dbraw/zinc/47/21/03/746472103.db2.gz NCEYWHPUXACJIW-BRWVUGGUSA-N 1 2 324.465 1.404 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](CC(=O)NCCC(C)(C)C)CC1 ZINC001195314313 746574640 /nfs/dbraw/zinc/57/46/40/746574640.db2.gz KUWSSZXLLSJJSC-CQSZACIVSA-N 1 2 322.453 1.233 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](CC(=O)NCCC(C)(C)C)CC1 ZINC001195314313 746574641 /nfs/dbraw/zinc/57/46/41/746574641.db2.gz KUWSSZXLLSJJSC-CQSZACIVSA-N 1 2 322.453 1.233 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1O ZINC001195334061 746589220 /nfs/dbraw/zinc/58/92/20/746589220.db2.gz UZMSABPYNYBXSL-IAGOWNOFSA-N 1 2 315.417 1.327 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1O ZINC001195334061 746589223 /nfs/dbraw/zinc/58/92/23/746589223.db2.gz UZMSABPYNYBXSL-IAGOWNOFSA-N 1 2 315.417 1.327 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1O ZINC001195533058 746639598 /nfs/dbraw/zinc/63/95/98/746639598.db2.gz XOCIAZRJZMSCGQ-DAXOMENPSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1O ZINC001195533058 746639599 /nfs/dbraw/zinc/63/95/99/746639599.db2.gz XOCIAZRJZMSCGQ-DAXOMENPSA-N 1 2 321.421 1.501 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)NC2CCCC2)CC1 ZINC001195645065 746663714 /nfs/dbraw/zinc/66/37/14/746663714.db2.gz IHJQKBBFXJWZDI-UHFFFAOYSA-N 1 2 319.449 1.383 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)NC2CCCC2)CC1 ZINC001195645065 746663716 /nfs/dbraw/zinc/66/37/16/746663716.db2.gz IHJQKBBFXJWZDI-UHFFFAOYSA-N 1 2 319.449 1.383 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2ccns2)CC1 ZINC001195806278 746711214 /nfs/dbraw/zinc/71/12/14/746711214.db2.gz MEFWDZAQOVDERC-CYBMUJFWSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccns2)CC1 ZINC001195806278 746711219 /nfs/dbraw/zinc/71/12/19/746711219.db2.gz MEFWDZAQOVDERC-CYBMUJFWSA-N 1 2 307.419 1.216 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)CC1 ZINC001196358372 746844675 /nfs/dbraw/zinc/84/46/75/746844675.db2.gz MLIVRMTYCVSNPE-BZUAXINKSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)CC1 ZINC001196358372 746844679 /nfs/dbraw/zinc/84/46/79/746844679.db2.gz MLIVRMTYCVSNPE-BZUAXINKSA-N 1 2 319.449 1.259 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CC2CCCC2)C1 ZINC001196590479 746914202 /nfs/dbraw/zinc/91/42/02/746914202.db2.gz OERWWVNCYLKSHM-USXIJHARSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CC2CCCC2)C1 ZINC001196590479 746914209 /nfs/dbraw/zinc/91/42/09/746914209.db2.gz OERWWVNCYLKSHM-USXIJHARSA-N 1 2 322.449 1.014 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(CC)CCC2)CC1 ZINC001196813727 746980660 /nfs/dbraw/zinc/98/06/60/746980660.db2.gz JAWMLXZDTYHNOH-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(CC)CCC2)CC1 ZINC001196813727 746980663 /nfs/dbraw/zinc/98/06/63/746980663.db2.gz JAWMLXZDTYHNOH-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)CC(=C)C)CC1 ZINC001196895111 747003015 /nfs/dbraw/zinc/00/30/15/747003015.db2.gz GNEBUVPIHTVMTQ-HOTGVXAUSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)CC(=C)C)CC1 ZINC001196895111 747003020 /nfs/dbraw/zinc/00/30/20/747003020.db2.gz GNEBUVPIHTVMTQ-HOTGVXAUSA-N 1 2 319.449 1.261 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)CC)CC1 ZINC001196993932 747027622 /nfs/dbraw/zinc/02/76/22/747027622.db2.gz WVWJKEZRGFQVPD-AWEZNQCLSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C(C)(C)CC)CC1 ZINC001196993932 747027631 /nfs/dbraw/zinc/02/76/31/747027631.db2.gz WVWJKEZRGFQVPD-AWEZNQCLSA-N 1 2 309.454 1.648 20 30 DDEDLO N#Cc1cc(C(=O)NCC2C[NH+](Cc3ccc(F)cn3)C2)c[nH]1 ZINC001031622564 747218670 /nfs/dbraw/zinc/21/86/70/747218670.db2.gz XMVIPMGUTUAFDZ-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2(CC)CCCC2)CC1 ZINC001197640424 747221038 /nfs/dbraw/zinc/22/10/38/747221038.db2.gz UUWKSNFHDSQFKS-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(CC)CCCC2)CC1 ZINC001197640424 747221041 /nfs/dbraw/zinc/22/10/41/747221041.db2.gz UUWKSNFHDSQFKS-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001007490302 752170168 /nfs/dbraw/zinc/17/01/68/752170168.db2.gz CALYCTKSTDZBEQ-ZDUSSCGKSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001007490302 752170173 /nfs/dbraw/zinc/17/01/73/752170173.db2.gz CALYCTKSTDZBEQ-ZDUSSCGKSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[NH2+][C@H](CNC(=O)COC)c1ccccc1OC ZINC001198432747 747491467 /nfs/dbraw/zinc/49/14/67/747491467.db2.gz BAYFPFMOIXLCSQ-CYBMUJFWSA-N 1 2 312.797 1.841 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc3c([nH]c2=O)CCC3)C1 ZINC001044292383 747569334 /nfs/dbraw/zinc/56/93/34/747569334.db2.gz RSFQBJNOUCCKBW-UHFFFAOYSA-N 1 2 301.390 1.608 20 30 DDEDLO CCN(c1ncccc1C#N)[C@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001089678705 747643253 /nfs/dbraw/zinc/64/32/53/747643253.db2.gz NZVJMDOOAZILGM-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CC[C@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001032987612 747836094 /nfs/dbraw/zinc/83/60/94/747836094.db2.gz STXAFYJPXOANAK-OCCSQVGLSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CC[C@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001032987612 747836104 /nfs/dbraw/zinc/83/61/04/747836104.db2.gz STXAFYJPXOANAK-OCCSQVGLSA-N 1 2 324.388 1.584 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)C)C2 ZINC001110533860 747868924 /nfs/dbraw/zinc/86/89/24/747868924.db2.gz JSDVGBPCGBNXIL-OAGGEKHMSA-N 1 2 319.449 1.284 20 30 DDEDLO CCCCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)C)C2 ZINC001110533860 747868932 /nfs/dbraw/zinc/86/89/32/747868932.db2.gz JSDVGBPCGBNXIL-OAGGEKHMSA-N 1 2 319.449 1.284 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001212339047 747921635 /nfs/dbraw/zinc/92/16/35/747921635.db2.gz WQMPEFQQRQCISI-UKRRQHHQSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001212339047 747921639 /nfs/dbraw/zinc/92/16/39/747921639.db2.gz WQMPEFQQRQCISI-UKRRQHHQSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(Cl)c[nH]2)[C@@H](O)C1 ZINC001090077744 748093602 /nfs/dbraw/zinc/09/36/02/748093602.db2.gz WSOJAWXWAYSOPK-PWSUYJOCSA-N 1 2 318.204 1.586 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(Cl)c[nH]2)[C@@H](O)C1 ZINC001090077744 748093611 /nfs/dbraw/zinc/09/36/11/748093611.db2.gz WSOJAWXWAYSOPK-PWSUYJOCSA-N 1 2 318.204 1.586 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001031891254 748133075 /nfs/dbraw/zinc/13/30/75/748133075.db2.gz FWIFIHUHESVDLS-UHFFFAOYSA-N 1 2 310.785 1.213 20 30 DDEDLO CN(C(=O)c1ncc[nH]1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033065886 748167508 /nfs/dbraw/zinc/16/75/08/748167508.db2.gz RATVUOLIWFOCID-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C(=O)c1ncc[nH]1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033065886 748167510 /nfs/dbraw/zinc/16/75/10/748167510.db2.gz RATVUOLIWFOCID-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001124530157 748313964 /nfs/dbraw/zinc/31/39/64/748313964.db2.gz QNNVDQIEYOJAOD-OAHLLOKOSA-N 1 2 318.421 1.785 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2cscn2)CC1 ZINC001004368835 748370515 /nfs/dbraw/zinc/37/05/15/748370515.db2.gz FUPNINUPJWSVRR-CQSZACIVSA-N 1 2 304.419 1.983 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2cscn2)CC1 ZINC001004368835 748370520 /nfs/dbraw/zinc/37/05/20/748370520.db2.gz FUPNINUPJWSVRR-CQSZACIVSA-N 1 2 304.419 1.983 20 30 DDEDLO CO[C@@H](C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1)C(C)C ZINC001004526466 748516056 /nfs/dbraw/zinc/51/60/56/748516056.db2.gz AAAXRZCCMCTZTB-HZPDHXFCSA-N 1 2 307.438 1.884 20 30 DDEDLO CO[C@@H](C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1)C(C)C ZINC001004526466 748516060 /nfs/dbraw/zinc/51/60/60/748516060.db2.gz AAAXRZCCMCTZTB-HZPDHXFCSA-N 1 2 307.438 1.884 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+][C@H](C)c1nnc(CC)o1)OCC ZINC001128540013 748765033 /nfs/dbraw/zinc/76/50/33/748765033.db2.gz NYRBVNHOXHMNQK-OLZOCXBDSA-N 1 2 324.425 1.770 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC001108090301 748783909 /nfs/dbraw/zinc/78/39/09/748783909.db2.gz ULSVWVRMHJXVSO-HLLBOEOZSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC001108090301 748783913 /nfs/dbraw/zinc/78/39/13/748783913.db2.gz ULSVWVRMHJXVSO-HLLBOEOZSA-N 1 2 308.422 1.032 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)C(C)C)C2 ZINC001110597538 748812979 /nfs/dbraw/zinc/81/29/79/748812979.db2.gz HASBSXJZFQCBHM-KFWWJZLASA-N 1 2 319.449 1.140 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)C(C)C)C2 ZINC001110597538 748812983 /nfs/dbraw/zinc/81/29/83/748812983.db2.gz HASBSXJZFQCBHM-KFWWJZLASA-N 1 2 319.449 1.140 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnn(C)c2Cl)C1 ZINC001033197089 748850260 /nfs/dbraw/zinc/85/02/60/748850260.db2.gz GUHMRWJYVFZSCY-SNVBAGLBSA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnn(C)c2Cl)C1 ZINC001033197089 748850271 /nfs/dbraw/zinc/85/02/71/748850271.db2.gz GUHMRWJYVFZSCY-SNVBAGLBSA-N 1 2 317.220 1.972 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C1CCC1)C2 ZINC001110704415 748915787 /nfs/dbraw/zinc/91/57/87/748915787.db2.gz QYSLFEBETSVSMU-MIGQKNRLSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C1CCC1)C2 ZINC001110704415 748915795 /nfs/dbraw/zinc/91/57/95/748915795.db2.gz QYSLFEBETSVSMU-MIGQKNRLSA-N 1 2 319.449 1.446 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2n[nH]c3c2CCC3)C1 ZINC001033223980 748981462 /nfs/dbraw/zinc/98/14/62/748981462.db2.gz XCIQOFZGNKKBBT-LLVKDONJSA-N 1 2 308.813 1.797 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2n[nH]c3c2CCC3)C1 ZINC001033223980 748981467 /nfs/dbraw/zinc/98/14/67/748981467.db2.gz XCIQOFZGNKKBBT-LLVKDONJSA-N 1 2 308.813 1.797 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC001108313379 761908103 /nfs/dbraw/zinc/90/81/03/761908103.db2.gz CKQIGMOPGHKQGV-GOSISDBHSA-N 1 2 315.417 1.736 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC001108313379 761908108 /nfs/dbraw/zinc/90/81/08/761908108.db2.gz CKQIGMOPGHKQGV-GOSISDBHSA-N 1 2 315.417 1.736 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2nc3cnccc3s2)C1 ZINC001033251982 749054780 /nfs/dbraw/zinc/05/47/80/749054780.db2.gz AXZNLVCUAKQGHY-NSHDSACASA-N 1 2 300.387 1.471 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2nc3cnccc3s2)C1 ZINC001033251982 749054781 /nfs/dbraw/zinc/05/47/81/749054781.db2.gz AXZNLVCUAKQGHY-NSHDSACASA-N 1 2 300.387 1.471 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@H]2C[C@@H]2C)cc1C#N ZINC001038603021 749102625 /nfs/dbraw/zinc/10/26/25/749102625.db2.gz QFASYEOCCJEBSC-MAZHCROVSA-N 1 2 313.401 1.913 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@H]2C[C@@H]2C)cc1C#N ZINC001038603021 749102628 /nfs/dbraw/zinc/10/26/28/749102628.db2.gz QFASYEOCCJEBSC-MAZHCROVSA-N 1 2 313.401 1.913 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H](C)CCOC)c2C1 ZINC001128580548 749104977 /nfs/dbraw/zinc/10/49/77/749104977.db2.gz CXQCCKXHBGGIDL-CQSZACIVSA-N 1 2 320.437 1.564 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H](C)CCOC)c2C1 ZINC001128580548 749104979 /nfs/dbraw/zinc/10/49/79/749104979.db2.gz CXQCCKXHBGGIDL-CQSZACIVSA-N 1 2 320.437 1.564 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114353408 749106139 /nfs/dbraw/zinc/10/61/39/749106139.db2.gz RPPLWIQKQVSVCN-PBOSXPJTSA-N 1 2 304.394 1.842 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114353408 749106143 /nfs/dbraw/zinc/10/61/43/749106143.db2.gz RPPLWIQKQVSVCN-PBOSXPJTSA-N 1 2 304.394 1.842 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)/C=C/c3ccco3)nn2)C1 ZINC001107117213 749141287 /nfs/dbraw/zinc/14/12/87/749141287.db2.gz PETKAXANRQJUIB-AATRIKPKSA-N 1 2 313.361 1.243 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC001033308863 749168675 /nfs/dbraw/zinc/16/86/75/749168675.db2.gz JTVILTMBAVOUEZ-GFCCVEGCSA-N 1 2 316.430 1.723 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC001033308863 749168679 /nfs/dbraw/zinc/16/86/79/749168679.db2.gz JTVILTMBAVOUEZ-GFCCVEGCSA-N 1 2 316.430 1.723 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N1C[C@H](NCC#N)C(C)(C)C1)C2 ZINC000995975829 749311017 /nfs/dbraw/zinc/31/10/17/749311017.db2.gz BSLFDPMUIASTOO-WFASDCNBSA-N 1 2 315.421 1.173 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N1C[C@H](NCC#N)C(C)(C)C1)CC2 ZINC000995975829 749311025 /nfs/dbraw/zinc/31/10/25/749311025.db2.gz BSLFDPMUIASTOO-WFASDCNBSA-N 1 2 315.421 1.173 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CC[N@H+](Cc2coc(C)n2)C1 ZINC001033432475 749328648 /nfs/dbraw/zinc/32/86/48/749328648.db2.gz OLGUJGQQOOVXHY-OAGGEKHMSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2coc(C)n2)C1 ZINC001033432475 749328652 /nfs/dbraw/zinc/32/86/52/749328652.db2.gz OLGUJGQQOOVXHY-OAGGEKHMSA-N 1 2 319.405 1.607 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)c2cccnc2)C1 ZINC001108348332 761953849 /nfs/dbraw/zinc/95/38/49/761953849.db2.gz YVZWMMQLHJSMBQ-YJBOKZPZSA-N 1 2 315.417 1.416 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)c2cccnc2)C1 ZINC001108348332 761953852 /nfs/dbraw/zinc/95/38/52/761953852.db2.gz YVZWMMQLHJSMBQ-YJBOKZPZSA-N 1 2 315.417 1.416 20 30 DDEDLO Cn1nccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(C#N)c1)C2 ZINC001095330973 749529813 /nfs/dbraw/zinc/52/98/13/749529813.db2.gz SRLJXLOAQRTRBK-KBMXLJTQSA-N 1 2 324.388 1.155 20 30 DDEDLO Cn1nccc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(C#N)c1)C2 ZINC001095330973 749529820 /nfs/dbraw/zinc/52/98/20/749529820.db2.gz SRLJXLOAQRTRBK-KBMXLJTQSA-N 1 2 324.388 1.155 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C(C)(C)CCC)nn2)C1 ZINC001107205476 749590277 /nfs/dbraw/zinc/59/02/77/749590277.db2.gz XLHRUJZOYXHFHG-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095354952 749593407 /nfs/dbraw/zinc/59/34/07/749593407.db2.gz RGQVCOWAHCBNSL-KRWDZBQOSA-N 1 2 318.421 1.445 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(=O)n(C)o1)C2 ZINC001095358754 749597168 /nfs/dbraw/zinc/59/71/68/749597168.db2.gz LWAJAPHTVKHXSQ-VWYCJHECSA-N 1 2 311.769 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(=O)n(C)o1)C2 ZINC001095358754 749597174 /nfs/dbraw/zinc/59/71/74/749597174.db2.gz LWAJAPHTVKHXSQ-VWYCJHECSA-N 1 2 311.769 1.066 20 30 DDEDLO CC1(C)CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C[C@@H]1NCC#N ZINC000996479291 749615243 /nfs/dbraw/zinc/61/52/43/749615243.db2.gz IJGNBTBYMBLDLE-HNNXBMFYSA-N 1 2 324.388 1.231 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CC(C)(C)O ZINC001039366845 761968657 /nfs/dbraw/zinc/96/86/57/761968657.db2.gz CZRITWPMTSDGHJ-OLZOCXBDSA-N 1 2 300.830 1.965 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CC(C)(C)O ZINC001039366845 761968663 /nfs/dbraw/zinc/96/86/63/761968663.db2.gz CZRITWPMTSDGHJ-OLZOCXBDSA-N 1 2 300.830 1.965 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC/C=C\CNc1nc(C)ccc1C#N ZINC001107285354 749734950 /nfs/dbraw/zinc/73/49/50/749734950.db2.gz LSCPLRLQJRAUII-ARJAWSKDSA-N 1 2 324.388 1.620 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc(C(N)=O)ccn2)C1 ZINC001033713456 749764369 /nfs/dbraw/zinc/76/43/69/749764369.db2.gz GGKOWYIIRDKLAV-GFCCVEGCSA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(C(N)=O)ccn2)C1 ZINC001033713456 749764377 /nfs/dbraw/zinc/76/43/77/749764377.db2.gz GGKOWYIIRDKLAV-GFCCVEGCSA-N 1 2 322.796 1.079 20 30 DDEDLO CC[C@@H](OC)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032310280 749824187 /nfs/dbraw/zinc/82/41/87/749824187.db2.gz FWXBYWFFVQUVEH-YESZJQIVSA-N 1 2 313.401 1.768 20 30 DDEDLO CC[C@@H](OC)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032310280 749824190 /nfs/dbraw/zinc/82/41/90/749824190.db2.gz FWXBYWFFVQUVEH-YESZJQIVSA-N 1 2 313.401 1.768 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098188445 749882143 /nfs/dbraw/zinc/88/21/43/749882143.db2.gz MGOHOCHRPAWNGF-JTQLQIEISA-N 1 2 302.382 1.492 20 30 DDEDLO C=CCOCC(=O)NCCCN(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC001095492509 749965175 /nfs/dbraw/zinc/96/51/75/749965175.db2.gz IIDOOPAXWVXFEX-UHFFFAOYSA-N 1 2 318.421 1.808 20 30 DDEDLO N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001039396762 761996016 /nfs/dbraw/zinc/99/60/16/761996016.db2.gz DZISLEJCQBYHFB-KFWWJZLASA-N 1 2 313.405 1.034 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCCNC2=O)C1 ZINC001033863265 750005408 /nfs/dbraw/zinc/00/54/08/750005408.db2.gz UXHQBXNQTXIUMX-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCCNC2=O)C1 ZINC001033863265 750005413 /nfs/dbraw/zinc/00/54/13/750005413.db2.gz UXHQBXNQTXIUMX-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC2CCOCC2)[C@@H](O)C1 ZINC001090150942 750098021 /nfs/dbraw/zinc/09/80/21/750098021.db2.gz PRQPVJLTOFDXGS-KBPBESRZSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC2CCOCC2)[C@@H](O)C1 ZINC001090150942 750098029 /nfs/dbraw/zinc/09/80/29/750098029.db2.gz PRQPVJLTOFDXGS-KBPBESRZSA-N 1 2 316.829 1.107 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC/C=C/CNc1ccncc1C#N ZINC001107549953 750113526 /nfs/dbraw/zinc/11/35/26/750113526.db2.gz UAXGWVYWKWCLNG-YWVDXFKGSA-N 1 2 324.388 1.061 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC/C=C/CNc1ccncc1C#N ZINC001107549953 750113531 /nfs/dbraw/zinc/11/35/31/750113531.db2.gz UAXGWVYWKWCLNG-YWVDXFKGSA-N 1 2 324.388 1.061 20 30 DDEDLO CCN(C(=O)[C@@H]1CCCc2[nH+]c[nH]c21)[C@@H]1CCN(CC#N)C1 ZINC001033949447 750170513 /nfs/dbraw/zinc/17/05/13/750170513.db2.gz IAWFRSHLOUTXNZ-CHWSQXEVSA-N 1 2 301.394 1.276 20 30 DDEDLO CCN(C(=O)[C@@H]1CCCc2[nH+]c[nH]c21)[C@H]1CCN(CC#N)C1 ZINC001033949449 750171114 /nfs/dbraw/zinc/17/11/14/750171114.db2.gz IAWFRSHLOUTXNZ-QWHCGFSZSA-N 1 2 301.394 1.276 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001107706130 750401255 /nfs/dbraw/zinc/40/12/55/750401255.db2.gz ZURSUQLMSFWVSH-CYBMUJFWSA-N 1 2 315.421 1.041 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001107706130 750401259 /nfs/dbraw/zinc/40/12/59/750401259.db2.gz ZURSUQLMSFWVSH-CYBMUJFWSA-N 1 2 315.421 1.041 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CC(C)C)C2 ZINC001111191262 750426013 /nfs/dbraw/zinc/42/60/13/750426013.db2.gz LXRXFUNDQJAEJW-JJXSEGSLSA-N 1 2 321.465 1.692 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CC(C)C)C2 ZINC001111191262 750426017 /nfs/dbraw/zinc/42/60/17/750426017.db2.gz LXRXFUNDQJAEJW-JJXSEGSLSA-N 1 2 321.465 1.692 20 30 DDEDLO N#CCN1CCCC[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001034467770 750450040 /nfs/dbraw/zinc/45/00/40/750450040.db2.gz CFPKZRADXITIJK-MRXNPFEDSA-N 1 2 323.400 1.980 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001108131351 750563552 /nfs/dbraw/zinc/56/35/52/750563552.db2.gz GDARHYGXDTZKSX-MRVWCRGKSA-N 1 2 324.388 1.407 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Oc1cccc(F)c1 ZINC001032417852 750719569 /nfs/dbraw/zinc/71/95/69/750719569.db2.gz OUIRXBUHRYPYBF-BPUTZDHNSA-N 1 2 316.376 1.901 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Oc1cccc(F)c1 ZINC001032417852 750719573 /nfs/dbraw/zinc/71/95/73/750719573.db2.gz OUIRXBUHRYPYBF-BPUTZDHNSA-N 1 2 316.376 1.901 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001107943055 750775471 /nfs/dbraw/zinc/77/54/71/750775471.db2.gz ZDDBVBFSYZXPAN-KRWDZBQOSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001107943055 750775472 /nfs/dbraw/zinc/77/54/72/750775472.db2.gz ZDDBVBFSYZXPAN-KRWDZBQOSA-N 1 2 321.421 1.979 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C3CC3)nc2C)[C@@H](O)C1 ZINC001083789276 750826692 /nfs/dbraw/zinc/82/66/92/750826692.db2.gz SXEYZNXSLOVVBV-SJORKVTESA-N 1 2 313.401 1.066 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C3CC3)nc2C)[C@@H](O)C1 ZINC001083789276 750826697 /nfs/dbraw/zinc/82/66/97/750826697.db2.gz SXEYZNXSLOVVBV-SJORKVTESA-N 1 2 313.401 1.066 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)oc2nc[nH]c(=O)c21 ZINC001032446861 750850917 /nfs/dbraw/zinc/85/09/17/750850917.db2.gz PISVHDRREDNNJU-QWRGUYRKSA-N 1 2 314.345 1.322 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)oc2nc[nH]c(=O)c21 ZINC001032446861 750850922 /nfs/dbraw/zinc/85/09/22/750850922.db2.gz PISVHDRREDNNJU-QWRGUYRKSA-N 1 2 314.345 1.322 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1snnc1C1CC1 ZINC001032457759 750879200 /nfs/dbraw/zinc/87/92/00/750879200.db2.gz NIRBFIWABITHOM-RYUDHWBXSA-N 1 2 302.403 1.338 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1snnc1C1CC1 ZINC001032457759 750879206 /nfs/dbraw/zinc/87/92/06/750879206.db2.gz NIRBFIWABITHOM-RYUDHWBXSA-N 1 2 302.403 1.338 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001107958117 750905371 /nfs/dbraw/zinc/90/53/71/750905371.db2.gz CEMQWDCDSZRITR-CYBMUJFWSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001107958117 750905374 /nfs/dbraw/zinc/90/53/74/750905374.db2.gz CEMQWDCDSZRITR-CYBMUJFWSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCc3cccnc32)C1 ZINC001108163822 750920571 /nfs/dbraw/zinc/92/05/71/750920571.db2.gz PPQAYQFSSYBILE-YJBOKZPZSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCc3cccnc32)C1 ZINC001108163822 750920578 /nfs/dbraw/zinc/92/05/78/750920578.db2.gz PPQAYQFSSYBILE-YJBOKZPZSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001108406291 762083964 /nfs/dbraw/zinc/08/39/64/762083964.db2.gz RXSALIUHDNYLLD-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001108406291 762083973 /nfs/dbraw/zinc/08/39/73/762083973.db2.gz RXSALIUHDNYLLD-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C(C)C)o3)C[C@H]21 ZINC001114672696 750973212 /nfs/dbraw/zinc/97/32/12/750973212.db2.gz SYEUEPUHYILHMT-IMRBUKKESA-N 1 2 318.421 1.952 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C(C)C)o3)C[C@H]21 ZINC001114672696 750973217 /nfs/dbraw/zinc/97/32/17/750973217.db2.gz SYEUEPUHYILHMT-IMRBUKKESA-N 1 2 318.421 1.952 20 30 DDEDLO Cc1nc(NC[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001060849684 750987301 /nfs/dbraw/zinc/98/73/01/750987301.db2.gz FECJIBDXKJTACL-GFCCVEGCSA-N 1 2 310.361 1.559 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2ccccc2c1O ZINC001032493630 751057534 /nfs/dbraw/zinc/05/75/34/751057534.db2.gz GWUDZUDHASTLLR-KBPBESRZSA-N 1 2 321.380 1.450 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2ccccc2c1O ZINC001032493630 751057543 /nfs/dbraw/zinc/05/75/43/751057543.db2.gz GWUDZUDHASTLLR-KBPBESRZSA-N 1 2 321.380 1.450 20 30 DDEDLO C#Cc1cnc(Nc2ccc[nH+]c2N2CCOCC2)c(C#C)n1 ZINC001212354228 751077652 /nfs/dbraw/zinc/07/76/52/751077652.db2.gz JFTFECNQFCAORM-UHFFFAOYSA-N 1 2 305.341 1.414 20 30 DDEDLO Cn1cncc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032501319 751100909 /nfs/dbraw/zinc/10/09/09/751100909.db2.gz BPEDKFUERGSXRZ-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO Cn1cncc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032501319 751100914 /nfs/dbraw/zinc/10/09/14/751100914.db2.gz BPEDKFUERGSXRZ-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001032507263 751114263 /nfs/dbraw/zinc/11/42/63/751114263.db2.gz VJXWLMJHTMKUAB-OFQRWUPVSA-N 1 2 316.788 1.562 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001032507263 751114267 /nfs/dbraw/zinc/11/42/67/751114267.db2.gz VJXWLMJHTMKUAB-OFQRWUPVSA-N 1 2 316.788 1.562 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999118217 752542560 /nfs/dbraw/zinc/54/25/60/752542560.db2.gz RSCXEBPXSSEYFL-RISCZKNCSA-N 1 2 302.378 1.433 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cncn3C)C2)cc1 ZINC001008148254 752579802 /nfs/dbraw/zinc/57/98/02/752579802.db2.gz LYLSYBGUYOVLNT-QGZVFWFLSA-N 1 2 322.412 1.796 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3cncn3C)C2)cc1 ZINC001008148254 752579806 /nfs/dbraw/zinc/57/98/06/752579806.db2.gz LYLSYBGUYOVLNT-QGZVFWFLSA-N 1 2 322.412 1.796 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(-c2ccccn2)c1 ZINC001032660977 752640838 /nfs/dbraw/zinc/64/08/38/752640838.db2.gz RPSHENSFGPZBTD-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(-c2ccccn2)c1 ZINC001032660977 752640842 /nfs/dbraw/zinc/64/08/42/752640842.db2.gz RPSHENSFGPZBTD-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccccc1NC(C)=O ZINC001032688708 752705241 /nfs/dbraw/zinc/70/52/41/752705241.db2.gz LUMLKALFKQVQCB-HOTGVXAUSA-N 1 2 311.385 1.106 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccccc1NC(C)=O ZINC001032688708 752705244 /nfs/dbraw/zinc/70/52/44/752705244.db2.gz LUMLKALFKQVQCB-HOTGVXAUSA-N 1 2 311.385 1.106 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001008549504 752795124 /nfs/dbraw/zinc/79/51/24/752795124.db2.gz GZKDKVUPJRZZNN-AGIUHOORSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001008549504 752795131 /nfs/dbraw/zinc/79/51/31/752795131.db2.gz GZKDKVUPJRZZNN-AGIUHOORSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)C[C@H](C)n3cc[nH+]c3)C2)C1 ZINC000999293565 752797815 /nfs/dbraw/zinc/79/78/15/752797815.db2.gz WRNWTDYRRYJPRB-ZDUSSCGKSA-N 1 2 316.405 1.518 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001108432418 762161738 /nfs/dbraw/zinc/16/17/38/762161738.db2.gz IXLFIRSBWUKTQF-NGXVOCDNSA-N 1 2 316.445 1.509 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001108432418 762161743 /nfs/dbraw/zinc/16/17/43/762161743.db2.gz IXLFIRSBWUKTQF-NGXVOCDNSA-N 1 2 316.445 1.509 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001060884929 753113515 /nfs/dbraw/zinc/11/35/15/753113515.db2.gz CHRSCZKOVVBALI-CYBMUJFWSA-N 1 2 310.361 1.110 20 30 DDEDLO C#CCC1(C(=O)NC[C@]2(C)C[N@H+](CC=C)CCO2)CCOCC1 ZINC001107990458 753133826 /nfs/dbraw/zinc/13/38/26/753133826.db2.gz HDSABXAWDZDPDF-QGZVFWFLSA-N 1 2 320.433 1.200 20 30 DDEDLO C#CCC1(C(=O)NC[C@]2(C)C[N@@H+](CC=C)CCO2)CCOCC1 ZINC001107990458 753133829 /nfs/dbraw/zinc/13/38/29/753133829.db2.gz HDSABXAWDZDPDF-QGZVFWFLSA-N 1 2 320.433 1.200 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001009473927 753208687 /nfs/dbraw/zinc/20/86/87/753208687.db2.gz CJLXWLKLMCHFNV-AWEZNQCLSA-N 1 2 321.808 1.732 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001009473927 753208691 /nfs/dbraw/zinc/20/86/91/753208691.db2.gz CJLXWLKLMCHFNV-AWEZNQCLSA-N 1 2 321.808 1.732 20 30 DDEDLO Cc1nonc1C(=O)N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001009727318 753328450 /nfs/dbraw/zinc/32/84/50/753328450.db2.gz YSCMFQUOKDBTPL-INIZCTEOSA-N 1 2 324.384 1.624 20 30 DDEDLO Cc1nonc1C(=O)N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001009727318 753328456 /nfs/dbraw/zinc/32/84/56/753328456.db2.gz YSCMFQUOKDBTPL-INIZCTEOSA-N 1 2 324.384 1.624 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ncccc1C#N ZINC001039767962 762209343 /nfs/dbraw/zinc/20/93/43/762209343.db2.gz TZKIFCOSMMKLPG-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049479816 753382718 /nfs/dbraw/zinc/38/27/18/753382718.db2.gz CBDPIKDJOSUOAD-TUAOUCFPSA-N 1 2 306.303 1.975 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049479816 753382723 /nfs/dbraw/zinc/38/27/23/753382723.db2.gz CBDPIKDJOSUOAD-TUAOUCFPSA-N 1 2 306.303 1.975 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CCC(F)(F)F)nc1 ZINC001032738081 753409149 /nfs/dbraw/zinc/40/91/49/753409149.db2.gz PUONBOULWLPZOB-STQMWFEESA-N 1 2 323.318 1.914 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CCC(F)(F)F)nc1 ZINC001032738081 753409151 /nfs/dbraw/zinc/40/91/51/753409151.db2.gz PUONBOULWLPZOB-STQMWFEESA-N 1 2 323.318 1.914 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1cc2ccccc2n1 ZINC001032739409 753416156 /nfs/dbraw/zinc/41/61/56/753416156.db2.gz REGOWOXGFNWFDC-HOTGVXAUSA-N 1 2 308.385 1.345 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1cc2ccccc2n1 ZINC001032739409 753416157 /nfs/dbraw/zinc/41/61/57/753416157.db2.gz REGOWOXGFNWFDC-HOTGVXAUSA-N 1 2 308.385 1.345 20 30 DDEDLO C[C@H](CNc1ccncc1C#N)NC(=O)c1cccc2[nH+]ccn21 ZINC001108008096 753496034 /nfs/dbraw/zinc/49/60/34/753496034.db2.gz JHPYZMIEOFCWJB-GFCCVEGCSA-N 1 2 320.356 1.253 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)N(C)CC2 ZINC001032758869 753519767 /nfs/dbraw/zinc/51/97/67/753519767.db2.gz MKYOQOUFFJUFDO-IRXDYDNUSA-N 1 2 309.413 1.601 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)N(C)CC2 ZINC001032758869 753519772 /nfs/dbraw/zinc/51/97/72/753519772.db2.gz MKYOQOUFFJUFDO-IRXDYDNUSA-N 1 2 309.413 1.601 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2C=CCCC2)C1 ZINC001108020589 753539293 /nfs/dbraw/zinc/53/92/93/753539293.db2.gz RDYXRNHEGZLPMP-SJLPKXTDSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2C=CCCC2)C1 ZINC001108020589 753539301 /nfs/dbraw/zinc/53/93/01/753539301.db2.gz RDYXRNHEGZLPMP-SJLPKXTDSA-N 1 2 304.434 1.963 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccnc2OC)C1 ZINC001108181624 753592476 /nfs/dbraw/zinc/59/24/76/753592476.db2.gz YPUIZMHDEIRGRV-INIZCTEOSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccnc2OC)C1 ZINC001108181624 753592478 /nfs/dbraw/zinc/59/24/78/753592478.db2.gz YPUIZMHDEIRGRV-INIZCTEOSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)C2CCOCC2)C1 ZINC001108447854 762234500 /nfs/dbraw/zinc/23/45/00/762234500.db2.gz XWAVHHKYEKGCBW-YOEHRIQHSA-N 1 2 310.438 1.442 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)C2CCOCC2)C1 ZINC001108447854 762234504 /nfs/dbraw/zinc/23/45/04/762234504.db2.gz XWAVHHKYEKGCBW-YOEHRIQHSA-N 1 2 310.438 1.442 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@H](NC(=O)c3cn[nH]c3)C2)ccc1F ZINC001010304461 753749062 /nfs/dbraw/zinc/74/90/62/753749062.db2.gz SZVNZQPFLHHWRK-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3cn[nH]c3)C2)ccc1F ZINC001010304461 753749068 /nfs/dbraw/zinc/74/90/68/753749068.db2.gz SZVNZQPFLHHWRK-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1c(C)n[nH]c1C ZINC001032791340 753753607 /nfs/dbraw/zinc/75/36/07/753753607.db2.gz RELFJCMRZYOXNF-KCXAZCMYSA-N 1 2 314.433 1.514 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1c(C)n[nH]c1C ZINC001032791340 753753610 /nfs/dbraw/zinc/75/36/10/753753610.db2.gz RELFJCMRZYOXNF-KCXAZCMYSA-N 1 2 314.433 1.514 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)nn1C ZINC001010342144 753783665 /nfs/dbraw/zinc/78/36/65/753783665.db2.gz PGKFOLXSESMMBO-QGZVFWFLSA-N 1 2 322.412 1.584 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)nn1C ZINC001010342144 753783667 /nfs/dbraw/zinc/78/36/67/753783667.db2.gz PGKFOLXSESMMBO-QGZVFWFLSA-N 1 2 322.412 1.584 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)[nH]n1 ZINC001010343121 753786104 /nfs/dbraw/zinc/78/61/04/753786104.db2.gz SHHPPYGKJYNDLN-LLVKDONJSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)[nH]n1 ZINC001010343121 753786110 /nfs/dbraw/zinc/78/61/10/753786110.db2.gz SHHPPYGKJYNDLN-LLVKDONJSA-N 1 2 315.402 1.656 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CC2CC(F)(F)C2)C1 ZINC001108033193 753797974 /nfs/dbraw/zinc/79/79/74/753797974.db2.gz IJHKNLLRGIWRFB-CQSZACIVSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CC2CC(F)(F)C2)C1 ZINC001108033193 753797976 /nfs/dbraw/zinc/79/79/76/753797976.db2.gz IJHKNLLRGIWRFB-CQSZACIVSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(F)cn2)C1 ZINC001108032665 753807136 /nfs/dbraw/zinc/80/71/36/753807136.db2.gz DIUKWMUIDZSDME-MRXNPFEDSA-N 1 2 307.369 1.156 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(F)cn2)C1 ZINC001108032665 753807142 /nfs/dbraw/zinc/80/71/42/753807142.db2.gz DIUKWMUIDZSDME-MRXNPFEDSA-N 1 2 307.369 1.156 20 30 DDEDLO Cn1nccc1C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001010360443 753809031 /nfs/dbraw/zinc/80/90/31/753809031.db2.gz HUEXSTHYAYCCNI-LLVKDONJSA-N 1 2 315.402 1.358 20 30 DDEDLO Cn1nccc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001010360443 753809037 /nfs/dbraw/zinc/80/90/37/753809037.db2.gz HUEXSTHYAYCCNI-LLVKDONJSA-N 1 2 315.402 1.358 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2ncc(C#N)c3ccsc32)C[NH2+]1 ZINC001169131053 762246237 /nfs/dbraw/zinc/24/62/37/762246237.db2.gz SUITZOLJUUGLDR-KOLCDFICSA-N 1 2 302.359 1.483 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3ccns3)C2)c1 ZINC001010467534 753946713 /nfs/dbraw/zinc/94/67/13/753946713.db2.gz DMFASHKLONABAJ-LLVKDONJSA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3ccns3)C2)c1 ZINC001010467534 753946718 /nfs/dbraw/zinc/94/67/18/753946718.db2.gz DMFASHKLONABAJ-LLVKDONJSA-N 1 2 301.375 1.347 20 30 DDEDLO C=C(C)CCC(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001063257125 754056864 /nfs/dbraw/zinc/05/68/64/754056864.db2.gz RABDVLZJCGOOBN-UHFFFAOYSA-N 1 2 304.394 1.464 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3cncc(F)c3)C2)c[nH]1 ZINC001010667384 754089457 /nfs/dbraw/zinc/08/94/57/754089457.db2.gz GYYXTRLMNHIYHC-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3cncc(F)c3)C2)c[nH]1 ZINC001010667384 754089468 /nfs/dbraw/zinc/08/94/68/754089468.db2.gz GYYXTRLMNHIYHC-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cncnc3)C2)s1 ZINC001010710858 754116129 /nfs/dbraw/zinc/11/61/29/754116129.db2.gz GYIDGOYZSVHSOV-LBPRGKRZSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cncnc3)C2)s1 ZINC001010710858 754116135 /nfs/dbraw/zinc/11/61/35/754116135.db2.gz GYIDGOYZSVHSOV-LBPRGKRZSA-N 1 2 313.386 1.414 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2NC[C@H]1CCCN1C(=O)C#CC1CC1 ZINC001063482021 754187180 /nfs/dbraw/zinc/18/71/80/754187180.db2.gz QISKBSYMMIKANV-CYBMUJFWSA-N 1 2 324.388 1.180 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@H]1Nc1ccc(C#N)cn1 ZINC001063801915 754353320 /nfs/dbraw/zinc/35/33/20/754353320.db2.gz DQWBUEVYHBKMQL-IUODEOHRSA-N 1 2 324.388 1.568 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)CCNC(=O)Cc2c[nH]c[nH+]2)cc1 ZINC001078756091 754353654 /nfs/dbraw/zinc/35/36/54/754353654.db2.gz HFVVPKNQZKCPET-ZDUSSCGKSA-N 1 2 324.384 1.258 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnn(C)c2C(F)(F)F)C1 ZINC001043210444 754439019 /nfs/dbraw/zinc/43/90/19/754439019.db2.gz RDWMWEXJHJAACP-UHFFFAOYSA-N 1 2 316.327 1.771 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064104318 754514701 /nfs/dbraw/zinc/51/47/01/754514701.db2.gz MEPSRQVBXBBBOL-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2[nH]ccc2C2CC2)[C@H](O)C1 ZINC001090314390 754860459 /nfs/dbraw/zinc/86/04/59/754860459.db2.gz CHWUYHOQAMFESF-UONOGXRCSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2[nH]ccc2C2CC2)[C@H](O)C1 ZINC001090314390 754860465 /nfs/dbraw/zinc/86/04/65/754860465.db2.gz CHWUYHOQAMFESF-UONOGXRCSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(CC)o2)[C@H](O)C1 ZINC001090366215 755568920 /nfs/dbraw/zinc/56/89/20/755568920.db2.gz XXLHRUCQSKFBQR-CHWSQXEVSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(CC)o2)[C@H](O)C1 ZINC001090366215 755568922 /nfs/dbraw/zinc/56/89/22/755568922.db2.gz XXLHRUCQSKFBQR-CHWSQXEVSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(C)CCC(=O)N1CC(N(CC)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001080199630 755773154 /nfs/dbraw/zinc/77/31/54/755773154.db2.gz JFJYHEKFSHJLLT-UHFFFAOYSA-N 1 2 318.421 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(COC)on2)C1 ZINC001080572394 755980326 /nfs/dbraw/zinc/98/03/26/755980326.db2.gz DGYYZAQBKRQOHY-NOZJJQNGSA-N 1 2 313.785 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(COC)on2)C1 ZINC001080572394 755980329 /nfs/dbraw/zinc/98/03/29/755980329.db2.gz DGYYZAQBKRQOHY-NOZJJQNGSA-N 1 2 313.785 1.624 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)C1 ZINC001080679465 756043992 /nfs/dbraw/zinc/04/39/92/756043992.db2.gz GMYIFJHIBLSMPE-RHSMWYFYSA-N 1 2 323.400 1.341 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)C1 ZINC001080679465 756043998 /nfs/dbraw/zinc/04/39/98/756043998.db2.gz GMYIFJHIBLSMPE-RHSMWYFYSA-N 1 2 323.400 1.341 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn(-c3ccncc3)c2)C1 ZINC001080679465 756044013 /nfs/dbraw/zinc/04/40/13/756044013.db2.gz GMYIFJHIBLSMPE-RHSMWYFYSA-N 1 2 323.400 1.341 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001080695116 756055499 /nfs/dbraw/zinc/05/54/99/756055499.db2.gz ZAXRZPFMRGTNRV-BXUZGUMPSA-N 1 2 324.856 1.887 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001080695116 756055502 /nfs/dbraw/zinc/05/55/02/756055502.db2.gz ZAXRZPFMRGTNRV-BXUZGUMPSA-N 1 2 324.856 1.887 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001080773822 756092216 /nfs/dbraw/zinc/09/22/16/756092216.db2.gz UGPYPMXCLPWFMU-OLUVUFQESA-N 1 2 323.828 1.099 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001080773822 756092220 /nfs/dbraw/zinc/09/22/20/756092220.db2.gz UGPYPMXCLPWFMU-OLUVUFQESA-N 1 2 323.828 1.099 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C1CCC1 ZINC001081258432 756255183 /nfs/dbraw/zinc/25/51/83/756255183.db2.gz QLLHKUULDYVBNJ-QZTJIDSGSA-N 1 2 312.413 1.654 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C1CCC1 ZINC001081258432 756255185 /nfs/dbraw/zinc/25/51/85/756255185.db2.gz QLLHKUULDYVBNJ-QZTJIDSGSA-N 1 2 312.413 1.654 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C1=CCCC1 ZINC001081527234 756372304 /nfs/dbraw/zinc/37/23/04/756372304.db2.gz PNAZPYRSHOLNNE-RTBURBONSA-N 1 2 324.424 1.964 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C1=CCCC1 ZINC001081527234 756372309 /nfs/dbraw/zinc/37/23/09/756372309.db2.gz PNAZPYRSHOLNNE-RTBURBONSA-N 1 2 324.424 1.964 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001015619747 756418773 /nfs/dbraw/zinc/41/87/73/756418773.db2.gz CYTRVYJQUDYGTL-QWRGUYRKSA-N 1 2 309.801 1.168 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001015619747 756418774 /nfs/dbraw/zinc/41/87/74/756418774.db2.gz CYTRVYJQUDYGTL-QWRGUYRKSA-N 1 2 309.801 1.168 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)cccc2OC)[C@H](OC)C1 ZINC001081715023 756441706 /nfs/dbraw/zinc/44/17/06/756441706.db2.gz VATZEPZAEKERMV-UKRRQHHQSA-N 1 2 320.364 1.287 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(F)cccc2OC)[C@H](OC)C1 ZINC001081715023 756441709 /nfs/dbraw/zinc/44/17/09/756441709.db2.gz VATZEPZAEKERMV-UKRRQHHQSA-N 1 2 320.364 1.287 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COCCCC)[C@H](O)C1 ZINC001099754629 756863861 /nfs/dbraw/zinc/86/38/61/756863861.db2.gz HSPPPZIHYRBHHZ-QWHCGFSZSA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COCCCC)[C@H](O)C1 ZINC001099754629 756863863 /nfs/dbraw/zinc/86/38/63/756863863.db2.gz HSPPPZIHYRBHHZ-QWHCGFSZSA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2csc3nccn23)C1 ZINC001016268481 756891560 /nfs/dbraw/zinc/89/15/60/756891560.db2.gz NEXVLJAYMQBJHJ-LLVKDONJSA-N 1 2 324.837 1.881 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2csc3nccn23)C1 ZINC001016268481 756891567 /nfs/dbraw/zinc/89/15/67/756891567.db2.gz NEXVLJAYMQBJHJ-LLVKDONJSA-N 1 2 324.837 1.881 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097282430 757053672 /nfs/dbraw/zinc/05/36/72/757053672.db2.gz CTXLTVCCPZXDDM-AWEZNQCLSA-N 1 2 304.394 1.320 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(F)c(C)cc3F)[C@H]2C1 ZINC001083112173 757116823 /nfs/dbraw/zinc/11/68/23/757116823.db2.gz PPKABUVIHHSMFZ-JKSUJKDBSA-N 1 2 320.339 1.432 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(F)c(C)cc3F)[C@H]2C1 ZINC001083112173 757116827 /nfs/dbraw/zinc/11/68/27/757116827.db2.gz PPKABUVIHHSMFZ-JKSUJKDBSA-N 1 2 320.339 1.432 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)c(C)c3)[C@H]2C1 ZINC001083180567 757180350 /nfs/dbraw/zinc/18/03/50/757180350.db2.gz ORMRCEIXNGZQTH-JKSUJKDBSA-N 1 2 302.349 1.293 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)c(C)c3)[C@H]2C1 ZINC001083180567 757180356 /nfs/dbraw/zinc/18/03/56/757180356.db2.gz ORMRCEIXNGZQTH-JKSUJKDBSA-N 1 2 302.349 1.293 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3cc(C)c(C)cc3o2)[C@@H](O)C1 ZINC001083960789 757217958 /nfs/dbraw/zinc/21/79/58/757217958.db2.gz WPYCJGXSAJLUAW-CABCVRRESA-N 1 2 312.369 1.458 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cc(C)c(C)cc3o2)[C@@H](O)C1 ZINC001083960789 757217963 /nfs/dbraw/zinc/21/79/63/757217963.db2.gz WPYCJGXSAJLUAW-CABCVRRESA-N 1 2 312.369 1.458 20 30 DDEDLO Cc1nc(N2CCC[C@H]([C@@H](C)NC(=O)C#CC3CC3)C2)cc[nH+]1 ZINC001097528910 757239657 /nfs/dbraw/zinc/23/96/57/757239657.db2.gz GRLDAZDFOHCSOY-CJNGLKHVSA-N 1 2 312.417 1.920 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](CC=C(Cl)Cl)C[C@H]21 ZINC001084236335 757437821 /nfs/dbraw/zinc/43/78/21/757437821.db2.gz FHDBNCYAEVCVKI-GMTAPVOTSA-N 1 2 302.205 1.998 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@H+](CC=C(Cl)Cl)C[C@H]21 ZINC001084236335 757437815 /nfs/dbraw/zinc/43/78/15/757437815.db2.gz FHDBNCYAEVCVKI-GMTAPVOTSA-N 1 2 302.205 1.998 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)CCC(=O)N1)C2 ZINC001097792718 757527230 /nfs/dbraw/zinc/52/72/30/757527230.db2.gz LUDUUKQPKWIDSH-FJJYHAOUSA-N 1 2 311.813 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)CCC(=O)N1)C2 ZINC001097792718 757527232 /nfs/dbraw/zinc/52/72/32/757527232.db2.gz LUDUUKQPKWIDSH-FJJYHAOUSA-N 1 2 311.813 1.129 20 30 DDEDLO N#CCN1CCC[C@@H](NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001052700432 757662772 /nfs/dbraw/zinc/66/27/72/757662772.db2.gz MCNTZZUWYLCFPU-CHWSQXEVSA-N 1 2 301.394 1.324 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3cnccn3)[C@@H]2C1 ZINC001084759121 757874356 /nfs/dbraw/zinc/87/43/56/757874356.db2.gz TVBKWRSQOSAFSQ-UKRRQHHQSA-N 1 2 320.824 1.694 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3cnccn3)[C@@H]2C1 ZINC001084759121 757874367 /nfs/dbraw/zinc/87/43/67/757874367.db2.gz TVBKWRSQOSAFSQ-UKRRQHHQSA-N 1 2 320.824 1.694 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2ccnc2C)CC1 ZINC001052931248 757972859 /nfs/dbraw/zinc/97/28/59/757972859.db2.gz RMLQDIWDSMNBIN-CQSZACIVSA-N 1 2 310.829 1.915 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2ccnc2C)CC1 ZINC001052931248 757972862 /nfs/dbraw/zinc/97/28/62/757972862.db2.gz RMLQDIWDSMNBIN-CQSZACIVSA-N 1 2 310.829 1.915 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CCCC(C)(C)C)CC2=O)C1 ZINC001108523514 762589902 /nfs/dbraw/zinc/58/99/02/762589902.db2.gz KYVLEXBAQUPJQS-CQSZACIVSA-N 1 2 321.465 1.790 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CC[C@@H]2CCN(C(=O)[C@@H](C)C#N)C[C@@H]21 ZINC001084906258 758045467 /nfs/dbraw/zinc/04/54/67/758045467.db2.gz IVQLXUVRZDHRKV-ZNMIVQPWSA-N 1 2 315.421 1.485 20 30 DDEDLO CCn1ccnc1C[N@H+]1CC[C@@H]2CCN(C(=O)[C@@H](C)C#N)C[C@@H]21 ZINC001084906258 758045476 /nfs/dbraw/zinc/04/54/76/758045476.db2.gz IVQLXUVRZDHRKV-ZNMIVQPWSA-N 1 2 315.421 1.485 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)/C=C/C(C)(C)C)CC2=O)C1 ZINC001108524804 762598038 /nfs/dbraw/zinc/59/80/38/762598038.db2.gz CMSUNAACDTXJIV-KTRBRXNASA-N 1 2 305.422 1.176 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099857909 758112752 /nfs/dbraw/zinc/11/27/52/758112752.db2.gz DUGCOZFZDFBSOB-ZIAGYGMSSA-N 1 2 309.435 1.551 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099857909 758112756 /nfs/dbraw/zinc/11/27/56/758112756.db2.gz DUGCOZFZDFBSOB-ZIAGYGMSSA-N 1 2 309.435 1.551 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)c1ccnn1C)CCO2 ZINC001053259630 758317089 /nfs/dbraw/zinc/31/70/89/758317089.db2.gz GPGHGULLUYDZCV-AWEZNQCLSA-N 1 2 318.421 1.013 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-n2cccn2)ccn1 ZINC001017930814 758400558 /nfs/dbraw/zinc/40/05/58/758400558.db2.gz IFKIQCXRSXFDQK-IYBDPMFKSA-N 1 2 323.400 1.742 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-n2cccn2)ccn1 ZINC001017930814 758400565 /nfs/dbraw/zinc/40/05/65/758400565.db2.gz IFKIQCXRSXFDQK-IYBDPMFKSA-N 1 2 323.400 1.742 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001017968227 758427699 /nfs/dbraw/zinc/42/76/99/758427699.db2.gz QSDLPEQJQIWWTM-QKPAOTATSA-N 1 2 312.417 1.222 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001017968227 758427705 /nfs/dbraw/zinc/42/77/05/758427705.db2.gz QSDLPEQJQIWWTM-QKPAOTATSA-N 1 2 312.417 1.222 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnc(C)nc1C)CCO2 ZINC001053476362 758487899 /nfs/dbraw/zinc/48/78/99/758487899.db2.gz OWMACZAQCSJEOK-UHFFFAOYSA-N 1 2 316.405 1.196 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CCOC2(C[NH+](CCC=C)C2)C1 ZINC001053519189 758527311 /nfs/dbraw/zinc/52/73/11/758527311.db2.gz NZKKPRNHMDFFQO-UHFFFAOYSA-N 1 2 324.424 1.700 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c2ccccc2nn1C ZINC001018141621 758575546 /nfs/dbraw/zinc/57/55/46/758575546.db2.gz DWGVVKLUJLLCAL-GASCZTMLSA-N 1 2 322.412 1.885 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c2ccccc2nn1C ZINC001018141621 758575550 /nfs/dbraw/zinc/57/55/50/758575550.db2.gz DWGVVKLUJLLCAL-GASCZTMLSA-N 1 2 322.412 1.885 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc2ccccn2c1F ZINC001018142335 758576917 /nfs/dbraw/zinc/57/69/17/758576917.db2.gz PAWKDIOHZZAURO-BETUJISGSA-N 1 2 314.364 1.948 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc2ccccn2c1F ZINC001018142335 758576922 /nfs/dbraw/zinc/57/69/22/758576922.db2.gz PAWKDIOHZZAURO-BETUJISGSA-N 1 2 314.364 1.948 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1CC2(C1)CCOCC2 ZINC001018168785 758599231 /nfs/dbraw/zinc/59/92/31/758599231.db2.gz CGTXNLDNMSFOPC-IYBDPMFKSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1CC2(C1)CCOCC2 ZINC001018168785 758599236 /nfs/dbraw/zinc/59/92/36/758599236.db2.gz CGTXNLDNMSFOPC-IYBDPMFKSA-N 1 2 302.418 1.502 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccncc1F)O2 ZINC001053585748 758601485 /nfs/dbraw/zinc/60/14/85/758601485.db2.gz KMGSRVJOKBNXHH-ZDUSSCGKSA-N 1 2 319.380 1.760 20 30 DDEDLO C=C(Cl)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1[nH]c[nH+]c1C ZINC001018218032 758642228 /nfs/dbraw/zinc/64/22/28/758642228.db2.gz IETMPEDBHWNOEE-BETUJISGSA-N 1 2 308.813 1.688 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1occ3c1CCC3)O2 ZINC001053638568 758653572 /nfs/dbraw/zinc/65/35/72/758653572.db2.gz PQKRBLYWLVGWJW-AWEZNQCLSA-N 1 2 316.401 1.918 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCO[C@H]1C=C)O2 ZINC001053663090 758675754 /nfs/dbraw/zinc/67/57/54/758675754.db2.gz GCZPOORXDZLZDY-KFWWJZLASA-N 1 2 306.406 1.113 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)n1nc(C)cc1C ZINC001018268617 758682096 /nfs/dbraw/zinc/68/20/96/758682096.db2.gz AAXNWRJGXUQKOB-YESZJQIVSA-N 1 2 314.433 1.759 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)n1nc(C)cc1C ZINC001018268617 758682099 /nfs/dbraw/zinc/68/20/99/758682099.db2.gz AAXNWRJGXUQKOB-YESZJQIVSA-N 1 2 314.433 1.759 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1oncc1C)O2 ZINC001053682526 758692157 /nfs/dbraw/zinc/69/21/57/758692157.db2.gz HVIBDPVSPKXVKI-ZDUSSCGKSA-N 1 2 305.378 1.522 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+]([C@H]2CCN(C(C)=O)C2)CC1 ZINC001065675417 758694174 /nfs/dbraw/zinc/69/41/74/758694174.db2.gz BDJAAPGKNVELGI-ZBFHGGJFSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)[C@@H](C)CC)CC2)C1 ZINC001065692675 758710451 /nfs/dbraw/zinc/71/04/51/758710451.db2.gz TXTLARTTYNVUPD-HOTGVXAUSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)C3CC3)CC2)C1 ZINC001065712059 758730841 /nfs/dbraw/zinc/73/08/41/758730841.db2.gz UHYKUNJJGAZHDZ-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)C(C)(C)C)C2)CC1 ZINC001065712585 758730887 /nfs/dbraw/zinc/73/08/87/758730887.db2.gz ASUZMZFQGWXYGP-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1cnn(C)c1 ZINC001018354281 758752891 /nfs/dbraw/zinc/75/28/91/758752891.db2.gz IZPHHIBTLMLEEF-CWRNSKLLSA-N 1 2 300.406 1.222 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1cnn(C)c1 ZINC001018354281 758752894 /nfs/dbraw/zinc/75/28/94/758752894.db2.gz IZPHHIBTLMLEEF-CWRNSKLLSA-N 1 2 300.406 1.222 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H]1CCCCO1)CO2 ZINC001053772442 758794303 /nfs/dbraw/zinc/79/43/03/758794303.db2.gz OOJOVVQQCQZSNZ-LSDHHAIUSA-N 1 2 308.422 1.481 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1=CCCCCC1)CO2 ZINC001053782858 758807004 /nfs/dbraw/zinc/80/70/04/758807004.db2.gz DEKBWUYLMZYOAT-INIZCTEOSA-N 1 2 302.418 1.860 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncc(C)cc1C)CO2 ZINC001053876473 758912164 /nfs/dbraw/zinc/91/21/64/758912164.db2.gz DVJIOSXVMDLHJW-OAHLLOKOSA-N 1 2 315.417 1.848 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C(C1CC1)C1CC1)CO2 ZINC001053980006 759018402 /nfs/dbraw/zinc/01/84/02/759018402.db2.gz FKNDTINTRMGBEP-OAHLLOKOSA-N 1 2 304.434 1.958 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1sccc1OC)CO2 ZINC001053986461 759027875 /nfs/dbraw/zinc/02/78/75/759027875.db2.gz BZQUTQAMYVCXGE-LBPRGKRZSA-N 1 2 320.414 1.353 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@]23C[C@@H]2CCCC3)C1 ZINC001108201709 759031612 /nfs/dbraw/zinc/03/16/12/759031612.db2.gz QSSZNJVOQRVDCJ-JQHSSLGASA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@]23C[C@@H]2CCCC3)C1 ZINC001108201709 759031618 /nfs/dbraw/zinc/03/16/18/759031618.db2.gz QSSZNJVOQRVDCJ-JQHSSLGASA-N 1 2 304.434 1.797 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(c3ccccc3)CC1)CO2 ZINC001054000796 759046936 /nfs/dbraw/zinc/04/69/36/759046936.db2.gz JTTSLAFBCZERSO-QGZVFWFLSA-N 1 2 324.424 1.701 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(F)s1)CO2 ZINC001054021089 759071297 /nfs/dbraw/zinc/07/12/97/759071297.db2.gz BZVKFOOCYQGBGT-NSHDSACASA-N 1 2 308.378 1.484 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cnc(CC)s1)CO2 ZINC001054021189 759071862 /nfs/dbraw/zinc/07/18/62/759071862.db2.gz FKCWMZOSUWTLJB-LBPRGKRZSA-N 1 2 319.430 1.302 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)cccc1F)CO2 ZINC001054022992 759073585 /nfs/dbraw/zinc/07/35/85/759073585.db2.gz RARQZBLRBNAMOB-CQSZACIVSA-N 1 2 316.376 1.731 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccn(CC)n1 ZINC001054055197 759109691 /nfs/dbraw/zinc/10/96/91/759109691.db2.gz GUHOUTUVCJOMSI-KRWDZBQOSA-N 1 2 322.412 1.693 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccn(CC)n1 ZINC001054055197 759109694 /nfs/dbraw/zinc/10/96/94/759109694.db2.gz GUHOUTUVCJOMSI-KRWDZBQOSA-N 1 2 322.412 1.693 20 30 DDEDLO C=CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nonc1C ZINC001054060149 759112270 /nfs/dbraw/zinc/11/22/70/759112270.db2.gz HWDLAWXUTWETDR-OAHLLOKOSA-N 1 2 312.373 1.721 20 30 DDEDLO C=CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nonc1C ZINC001054060149 759112276 /nfs/dbraw/zinc/11/22/76/759112276.db2.gz HWDLAWXUTWETDR-OAHLLOKOSA-N 1 2 312.373 1.721 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC=C(C)C)C2)C1 ZINC001108568281 762695230 /nfs/dbraw/zinc/69/52/30/762695230.db2.gz PDULNRPWWVRFHU-OAHLLOKOSA-N 1 2 319.449 1.710 20 30 DDEDLO C[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1nc(C#N)c(Cl)s1 ZINC001098307125 759297555 /nfs/dbraw/zinc/29/75/55/759297555.db2.gz UOWSGMXMYDZDTQ-SSDOTTSWSA-N 1 2 324.797 1.551 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(C(=O)C#CC2CC2)C1)c1nc(C2CC2)no1 ZINC001018818425 759301605 /nfs/dbraw/zinc/30/16/05/759301605.db2.gz GHYNRLAWYNGNJD-RISCZKNCSA-N 1 2 314.389 1.612 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc[n+]([O-])cc3)cc2C1 ZINC001054255539 759351147 /nfs/dbraw/zinc/35/11/47/759351147.db2.gz SAJFBLRLUDJHPV-UHFFFAOYSA-N 1 2 307.353 1.199 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc[n+]([O-])cc3)cc2C1 ZINC001054255539 759351156 /nfs/dbraw/zinc/35/11/56/759351156.db2.gz SAJFBLRLUDJHPV-UHFFFAOYSA-N 1 2 307.353 1.199 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3coc(OC)n3)cc2C1 ZINC001054275757 759380930 /nfs/dbraw/zinc/38/09/30/759380930.db2.gz CPRMTTVFRNDXJE-UHFFFAOYSA-N 1 2 311.341 1.562 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3coc(OC)n3)cc2C1 ZINC001054275757 759380940 /nfs/dbraw/zinc/38/09/40/759380940.db2.gz CPRMTTVFRNDXJE-UHFFFAOYSA-N 1 2 311.341 1.562 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001019232302 759704427 /nfs/dbraw/zinc/70/44/27/759704427.db2.gz UEYGDKWKNQUIQF-LBPRGKRZSA-N 1 2 322.409 1.509 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nn(C)cc2Cl)C1 ZINC001019236865 759707248 /nfs/dbraw/zinc/70/72/48/759707248.db2.gz GNVFVERSEFQJMB-NSHDSACASA-N 1 2 310.829 1.976 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001066284848 759855707 /nfs/dbraw/zinc/85/57/07/759855707.db2.gz HDIGMBLHLCYHKC-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(C2CCCC2)n1 ZINC001085622753 759866638 /nfs/dbraw/zinc/86/66/38/759866638.db2.gz DEVQHXBWQCMAKV-OAHLLOKOSA-N 1 2 300.406 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(C2CCCC2)n1 ZINC001085622753 759866643 /nfs/dbraw/zinc/86/66/43/759866643.db2.gz DEVQHXBWQCMAKV-OAHLLOKOSA-N 1 2 300.406 1.778 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2ccn(C)c2)n[nH]1 ZINC001085636561 759905164 /nfs/dbraw/zinc/90/51/64/759905164.db2.gz UMILVZWPWXDEAA-AWEZNQCLSA-N 1 2 311.389 1.195 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2ccn(C)c2)n[nH]1 ZINC001085636561 759905169 /nfs/dbraw/zinc/90/51/69/759905169.db2.gz UMILVZWPWXDEAA-AWEZNQCLSA-N 1 2 311.389 1.195 20 30 DDEDLO C[C@H]1CN(C(=O)C#CC2CC2)C[C@H]1[NH2+]Cc1nnc(C2CC2)o1 ZINC001054636613 759928240 /nfs/dbraw/zinc/92/82/40/759928240.db2.gz KULBUYOCFGRNBP-SMDDNHRTSA-N 1 2 314.389 1.297 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC001085670563 759978678 /nfs/dbraw/zinc/97/86/78/759978678.db2.gz ZAXZMFHGYZSZAM-KGLIPLIRSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC001085670563 759978681 /nfs/dbraw/zinc/97/86/81/759978681.db2.gz ZAXZMFHGYZSZAM-KGLIPLIRSA-N 1 2 300.406 1.245 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1csc(NC(C)=O)n1 ZINC001085691109 760024714 /nfs/dbraw/zinc/02/47/14/760024714.db2.gz XPTAUWSBCVNKSS-GFCCVEGCSA-N 1 2 320.418 1.271 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1csc(NC(C)=O)n1 ZINC001085691109 760024724 /nfs/dbraw/zinc/02/47/24/760024724.db2.gz XPTAUWSBCVNKSS-GFCCVEGCSA-N 1 2 320.418 1.271 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(-c2nc[nH]n2)c1 ZINC001085759240 760181592 /nfs/dbraw/zinc/18/15/92/760181592.db2.gz BYJYKAXFZPYMPL-HNNXBMFYSA-N 1 2 309.373 1.251 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(-c2nc[nH]n2)c1 ZINC001085759240 760181597 /nfs/dbraw/zinc/18/15/97/760181597.db2.gz BYJYKAXFZPYMPL-HNNXBMFYSA-N 1 2 309.373 1.251 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001085827155 760305777 /nfs/dbraw/zinc/30/57/77/760305777.db2.gz JPGAPLDPIGLFJL-IMJJTQAJSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001085827155 760305786 /nfs/dbraw/zinc/30/57/86/760305786.db2.gz JPGAPLDPIGLFJL-IMJJTQAJSA-N 1 2 319.405 1.607 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)nc1OCCC ZINC001085901058 760492651 /nfs/dbraw/zinc/49/26/51/760492651.db2.gz XCTVZXYTYAHXQD-OAHLLOKOSA-N 1 2 315.417 1.958 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)nc1OCCC ZINC001085901058 760492656 /nfs/dbraw/zinc/49/26/56/760492656.db2.gz XCTVZXYTYAHXQD-OAHLLOKOSA-N 1 2 315.417 1.958 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001085902169 760495846 /nfs/dbraw/zinc/49/58/46/760495846.db2.gz WAUOSDCXGCRLRR-CHWSQXEVSA-N 1 2 300.406 1.314 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001085902169 760495849 /nfs/dbraw/zinc/49/58/49/760495849.db2.gz WAUOSDCXGCRLRR-CHWSQXEVSA-N 1 2 300.406 1.314 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001046855467 767987490 /nfs/dbraw/zinc/98/74/90/767987490.db2.gz TVLMPIQQLUDEDJ-BLLLJJGKSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001046855467 767987493 /nfs/dbraw/zinc/98/74/93/767987493.db2.gz TVLMPIQQLUDEDJ-BLLLJJGKSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)CCC)CC1 ZINC001131341809 767999318 /nfs/dbraw/zinc/99/93/18/767999318.db2.gz CHNBOKYQYMQHDF-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)CCC)CC1 ZINC001131341809 767999321 /nfs/dbraw/zinc/99/93/21/767999321.db2.gz CHNBOKYQYMQHDF-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C2CC2)n(CC)n1 ZINC001038328279 761011099 /nfs/dbraw/zinc/01/10/99/761011099.db2.gz UTAYILNZJMDOBA-AWEZNQCLSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C2CC2)n(CC)n1 ZINC001038328279 761011110 /nfs/dbraw/zinc/01/11/10/761011110.db2.gz UTAYILNZJMDOBA-AWEZNQCLSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001038338883 761023244 /nfs/dbraw/zinc/02/32/44/761023244.db2.gz DIKWVFUAWLWPPZ-NSHDSACASA-N 1 2 306.797 1.768 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001038338883 761023255 /nfs/dbraw/zinc/02/32/55/761023255.db2.gz DIKWVFUAWLWPPZ-NSHDSACASA-N 1 2 306.797 1.768 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(OC)c(OC)c1C ZINC001038381553 761067574 /nfs/dbraw/zinc/06/75/74/761067574.db2.gz JEHGFQDYVGHZBZ-CQSZACIVSA-N 1 2 316.401 1.840 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(OC)c(OC)c1C ZINC001038381553 761067586 /nfs/dbraw/zinc/06/75/86/761067586.db2.gz JEHGFQDYVGHZBZ-CQSZACIVSA-N 1 2 316.401 1.840 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ncccc1C#N ZINC001069414770 768015339 /nfs/dbraw/zinc/01/53/39/768015339.db2.gz GLTMDOJNDMHJAP-IUODEOHRSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(N(C)C)cc1 ZINC001038537980 761184728 /nfs/dbraw/zinc/18/47/28/761184728.db2.gz SNVFRHUIUIFEEL-OKZBNKHCSA-N 1 2 311.429 1.680 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(N(C)C)cc1 ZINC001038537980 761184730 /nfs/dbraw/zinc/18/47/30/761184730.db2.gz SNVFRHUIUIFEEL-OKZBNKHCSA-N 1 2 311.429 1.680 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CNC(=O)c1csc(-c2ncc[nH]2)n1 ZINC001038548895 761191287 /nfs/dbraw/zinc/19/12/87/761191287.db2.gz PKKJLCKFWXHQQF-JTQLQIEISA-N 1 2 303.391 1.523 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CNC(=O)c1csc(-c2ncc[nH]2)n1 ZINC001038548895 761191289 /nfs/dbraw/zinc/19/12/89/761191289.db2.gz PKKJLCKFWXHQQF-JTQLQIEISA-N 1 2 303.391 1.523 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)c2cccnc2)C1 ZINC001108256407 761216160 /nfs/dbraw/zinc/21/61/60/761216160.db2.gz WPEDBOFPEJJUIU-YJBOKZPZSA-N 1 2 317.433 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)c2cccnc2)C1 ZINC001108256407 761216165 /nfs/dbraw/zinc/21/61/65/761216165.db2.gz WPEDBOFPEJJUIU-YJBOKZPZSA-N 1 2 317.433 1.968 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001098319548 761265828 /nfs/dbraw/zinc/26/58/28/761265828.db2.gz HGQZAIYGGSCHPX-NWDGAFQWSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001098319548 761265832 /nfs/dbraw/zinc/26/58/32/761265832.db2.gz HGQZAIYGGSCHPX-NWDGAFQWSA-N 1 2 312.377 1.472 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2ccnnc2)cc1 ZINC001038743864 761353124 /nfs/dbraw/zinc/35/31/24/761353124.db2.gz OEDHOKPXWKXSLC-INIZCTEOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2ccnnc2)cc1 ZINC001038743864 761353134 /nfs/dbraw/zinc/35/31/34/761353134.db2.gz OEDHOKPXWKXSLC-INIZCTEOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2ncccn2)cc1 ZINC001038836058 761454140 /nfs/dbraw/zinc/45/41/40/761454140.db2.gz IRRXIWXFXLQAKM-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2ncccn2)cc1 ZINC001038836058 761454142 /nfs/dbraw/zinc/45/41/42/761454142.db2.gz IRRXIWXFXLQAKM-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(NC(=O)NC)c1 ZINC001038917229 761542269 /nfs/dbraw/zinc/54/22/69/761542269.db2.gz OEZGERGUCKEGHR-OAHLLOKOSA-N 1 2 314.389 1.265 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(NC(=O)NC)c1 ZINC001038917229 761542272 /nfs/dbraw/zinc/54/22/72/761542272.db2.gz OEZGERGUCKEGHR-OAHLLOKOSA-N 1 2 314.389 1.265 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2oc(C)nc2C)cn1 ZINC001038931069 761559724 /nfs/dbraw/zinc/55/97/24/761559724.db2.gz GJFHQLCKJMKNEL-INIZCTEOSA-N 1 2 324.384 1.672 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2oc(C)nc2C)cn1 ZINC001038931069 761559730 /nfs/dbraw/zinc/55/97/30/761559730.db2.gz GJFHQLCKJMKNEL-INIZCTEOSA-N 1 2 324.384 1.672 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(Cl)cc2c1OCC2 ZINC001039057800 761698060 /nfs/dbraw/zinc/69/80/60/761698060.db2.gz XQWASXJEWLLAML-ZDUSSCGKSA-N 1 2 304.777 1.712 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(Cl)cc2c1OCC2 ZINC001039057800 761698064 /nfs/dbraw/zinc/69/80/64/761698064.db2.gz XQWASXJEWLLAML-ZDUSSCGKSA-N 1 2 304.777 1.712 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@]4(CCN(CC#N)C4)C3)ccn12 ZINC001041287578 762935273 /nfs/dbraw/zinc/93/52/73/762935273.db2.gz RFXRFQQUAFTJMF-SFHVURJKSA-N 1 2 323.400 1.704 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCCN(C(=O)c3cccc4nn[nH]c43)[C@@H]2C1 ZINC001050055696 763069934 /nfs/dbraw/zinc/06/99/34/763069934.db2.gz LVHNTPXNHZXEBH-SWLSCSKDSA-N 1 2 309.373 1.128 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCCN(C(=O)c3cccc4nn[nH]c43)[C@@H]2C1 ZINC001050055696 763069941 /nfs/dbraw/zinc/06/99/41/763069941.db2.gz LVHNTPXNHZXEBH-SWLSCSKDSA-N 1 2 309.373 1.128 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001109166279 763406817 /nfs/dbraw/zinc/40/68/17/763406817.db2.gz KYWHTNIEZAYCBK-KBMXLJTQSA-N 1 2 315.421 1.230 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001109166279 763406825 /nfs/dbraw/zinc/40/68/25/763406825.db2.gz KYWHTNIEZAYCBK-KBMXLJTQSA-N 1 2 315.421 1.230 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001109257990 763523851 /nfs/dbraw/zinc/52/38/51/763523851.db2.gz WLVINNKVCVSUPD-KBEGMRNHSA-N 1 2 324.428 1.981 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001109257990 763523859 /nfs/dbraw/zinc/52/38/59/763523859.db2.gz WLVINNKVCVSUPD-KBEGMRNHSA-N 1 2 324.428 1.981 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)n1 ZINC001042057856 763602134 /nfs/dbraw/zinc/60/21/34/763602134.db2.gz NJBJYMVELROQLE-HUUCEWRRSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)n1 ZINC001042057856 763602136 /nfs/dbraw/zinc/60/21/36/763602136.db2.gz NJBJYMVELROQLE-HUUCEWRRSA-N 1 2 314.389 1.214 20 30 DDEDLO N#CCN1CC[C@H]2CCN(C(=O)c3cccc4[nH+]ccn43)C[C@@H]21 ZINC001042148992 763710155 /nfs/dbraw/zinc/71/01/55/763710155.db2.gz OBNVNDWAOJBYID-ZFWWWQNUSA-N 1 2 309.373 1.394 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@@H+](Cc3cnn(C)n3)[C@H]2C1 ZINC001042181685 763746498 /nfs/dbraw/zinc/74/64/98/763746498.db2.gz ILXBWDHCHNXHAP-ZFWWWQNUSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@H+](Cc3cnn(C)n3)[C@H]2C1 ZINC001042181685 763746505 /nfs/dbraw/zinc/74/65/05/763746505.db2.gz ILXBWDHCHNXHAP-ZFWWWQNUSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3nnc(C)[nH]3)[C@@H]2C1 ZINC001042184811 763751466 /nfs/dbraw/zinc/75/14/66/763751466.db2.gz ZKNRRZZKSFLLIG-ZIAGYGMSSA-N 1 2 317.437 1.748 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@H+](Cc3nnc(C)[nH]3)[C@@H]2C1 ZINC001042184811 763751470 /nfs/dbraw/zinc/75/14/70/763751470.db2.gz ZKNRRZZKSFLLIG-ZIAGYGMSSA-N 1 2 317.437 1.748 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109819178 764086402 /nfs/dbraw/zinc/08/64/02/764086402.db2.gz YZERHNSNERTWOA-XJKSGUPXSA-N 1 2 304.394 1.419 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3n[nH]cc3C)c2C1 ZINC001069860191 768192366 /nfs/dbraw/zinc/19/23/66/768192366.db2.gz IMHTTZNNNFJNQB-UHFFFAOYSA-N 1 2 314.393 1.236 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3n[nH]cc3C)c2C1 ZINC001069860191 768192370 /nfs/dbraw/zinc/19/23/70/768192370.db2.gz IMHTTZNNNFJNQB-UHFFFAOYSA-N 1 2 314.393 1.236 20 30 DDEDLO C=CCn1cccc1C(=O)NCc1cnn2c1C[N@H+](CC)CC2 ZINC001069863466 768195448 /nfs/dbraw/zinc/19/54/48/768195448.db2.gz SCVLTUGBVQUKSI-UHFFFAOYSA-N 1 2 313.405 1.636 20 30 DDEDLO C=CCn1cccc1C(=O)NCc1cnn2c1C[N@@H+](CC)CC2 ZINC001069863466 768195451 /nfs/dbraw/zinc/19/54/51/768195451.db2.gz SCVLTUGBVQUKSI-UHFFFAOYSA-N 1 2 313.405 1.636 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001057660474 764262955 /nfs/dbraw/zinc/26/29/55/764262955.db2.gz PJSCISMPCCFZBM-OAHLLOKOSA-N 1 2 324.388 1.561 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(OCC)nc1 ZINC001050945812 764321476 /nfs/dbraw/zinc/32/14/76/764321476.db2.gz WXOHOTCBNDHZII-HNNXBMFYSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(OCC)nc1 ZINC001050945812 764321486 /nfs/dbraw/zinc/32/14/86/764321486.db2.gz WXOHOTCBNDHZII-HNNXBMFYSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3CC34CC4)c2C1 ZINC001069875205 768205699 /nfs/dbraw/zinc/20/56/99/768205699.db2.gz PCRIVNSOAVETAC-CQSZACIVSA-N 1 2 300.406 1.691 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3CC34CC4)c2C1 ZINC001069875205 768205705 /nfs/dbraw/zinc/20/57/05/768205705.db2.gz PCRIVNSOAVETAC-CQSZACIVSA-N 1 2 300.406 1.691 20 30 DDEDLO C=C(Cl)CN1CCOC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001050963614 764358454 /nfs/dbraw/zinc/35/84/54/764358454.db2.gz GVUPQKSIWQMTFU-YNEHKIRRSA-N 1 2 324.812 1.083 20 30 DDEDLO C=C(Cl)CN1CCOC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001050963614 764358462 /nfs/dbraw/zinc/35/84/62/764358462.db2.gz GVUPQKSIWQMTFU-YNEHKIRRSA-N 1 2 324.812 1.083 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001050993692 764393977 /nfs/dbraw/zinc/39/39/77/764393977.db2.gz OAUAQDRKZMCIAW-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001050993692 764393984 /nfs/dbraw/zinc/39/39/84/764393984.db2.gz OAUAQDRKZMCIAW-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(C)C)on1 ZINC001051051491 764454586 /nfs/dbraw/zinc/45/45/86/764454586.db2.gz MLGQIUQOAKYGTJ-ZDUSSCGKSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(C)C)on1 ZINC001051051491 764454594 /nfs/dbraw/zinc/45/45/94/764454594.db2.gz MLGQIUQOAKYGTJ-ZDUSSCGKSA-N 1 2 307.394 1.805 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(N(C)C)cc2F)C1 ZINC001042921689 764528439 /nfs/dbraw/zinc/52/84/39/764528439.db2.gz NEFDFIDZIZXKIJ-UHFFFAOYSA-N 1 2 303.381 1.671 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2cccc(COC)c2)CC1 ZINC001112706168 764624790 /nfs/dbraw/zinc/62/47/90/764624790.db2.gz IDQUNODFYKXLPM-UHFFFAOYSA-N 1 2 318.417 1.680 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CCc2ccccc2F)CC1 ZINC001112708445 764628223 /nfs/dbraw/zinc/62/82/23/764628223.db2.gz HAURADDMEZGHAY-UHFFFAOYSA-N 1 2 306.381 1.715 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn3c(n2)C[C@H](C)CC3)C1 ZINC001043071745 764638341 /nfs/dbraw/zinc/63/83/41/764638341.db2.gz BCBAWTDUZNTADQ-CYBMUJFWSA-N 1 2 300.406 1.245 20 30 DDEDLO C=CCOCCCC(=O)N1CC[NH+](CCn2cccn2)CC1 ZINC001112744867 764678669 /nfs/dbraw/zinc/67/86/69/764678669.db2.gz ZJDLXWPDTSEZKL-UHFFFAOYSA-N 1 2 306.410 1.010 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc3c(cc[nH]c3=O)n2)C1 ZINC001043163253 764730352 /nfs/dbraw/zinc/73/03/52/764730352.db2.gz OQCUOVHEGAKTCI-UHFFFAOYSA-N 1 2 310.357 1.115 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+](CCO[C@@H]2CC2(F)F)CC1 ZINC001112904077 764944104 /nfs/dbraw/zinc/94/41/04/764944104.db2.gz DOMNPBQJERSOGQ-CYBMUJFWSA-N 1 2 302.365 1.911 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2C[C@@H](C)Cc3cn[nH]c32)C1 ZINC001043621544 765010133 /nfs/dbraw/zinc/01/01/33/765010133.db2.gz HNSCDAIISJHDOA-WFASDCNBSA-N 1 2 302.422 1.794 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001112996153 765109831 /nfs/dbraw/zinc/10/98/31/765109831.db2.gz KACSCCZTWHITRH-WDEREUQCSA-N 1 2 306.414 1.517 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn(C)c2Cl)CC1 ZINC001113015766 765147558 /nfs/dbraw/zinc/14/75/58/765147558.db2.gz GEMOFXGMYMQXGH-UHFFFAOYSA-N 1 2 312.801 1.034 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2nn(C)c3c2CCCC3)C1 ZINC001043940159 765178519 /nfs/dbraw/zinc/17/85/19/765178519.db2.gz VFEYZRWWCOTBBJ-UHFFFAOYSA-N 1 2 300.406 1.078 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)C1CN(Cc2ccccc2C#N)C1 ZINC001043978122 765201384 /nfs/dbraw/zinc/20/13/84/765201384.db2.gz PCDQAPUXTWCAMR-UHFFFAOYSA-N 1 2 309.373 1.167 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-c3c[nH]cn3)cc2)C1 ZINC001044134884 765333576 /nfs/dbraw/zinc/33/35/76/765333576.db2.gz CCYMVFPGXVRJQI-UHFFFAOYSA-N 1 2 308.385 1.856 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)c3cccn3C)C2)CC1 ZINC001051980870 765343239 /nfs/dbraw/zinc/34/32/39/765343239.db2.gz KYTCEUCBUMDREX-MRXNPFEDSA-N 1 2 316.449 1.433 20 30 DDEDLO C#CCC[N@H+]1CCN([C@H]2CCCN(C(=O)CCC=C)C2)C(=O)C1 ZINC001131710355 768287504 /nfs/dbraw/zinc/28/75/04/768287504.db2.gz UDEPEXLEGRGSJJ-INIZCTEOSA-N 1 2 317.433 1.111 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@H]2CCCN(C(=O)CCC=C)C2)C(=O)C1 ZINC001131710355 768287508 /nfs/dbraw/zinc/28/75/08/768287508.db2.gz UDEPEXLEGRGSJJ-INIZCTEOSA-N 1 2 317.433 1.111 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131711261 768288555 /nfs/dbraw/zinc/28/85/55/768288555.db2.gz BUOQHZSMOZRLDS-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131711261 768288561 /nfs/dbraw/zinc/28/85/61/768288561.db2.gz BUOQHZSMOZRLDS-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@@H]3CC[C@@H](C)O3)C2)cn1 ZINC001044205904 765394282 /nfs/dbraw/zinc/39/42/82/765394282.db2.gz NYEUJLQULVWYQS-DYVFJYSZSA-N 1 2 313.401 1.387 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)C3(F)CCCC3)C2)CC1 ZINC001052044265 765417332 /nfs/dbraw/zinc/41/73/32/765417332.db2.gz FJONVLBUVCPNON-HNNXBMFYSA-N 1 2 307.413 1.120 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(F)CCCC3)C2)CC1 ZINC001052044265 765417341 /nfs/dbraw/zinc/41/73/41/765417341.db2.gz FJONVLBUVCPNON-HNNXBMFYSA-N 1 2 307.413 1.120 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](CCCc2nc(C)no2)CC1 ZINC001113226261 765435291 /nfs/dbraw/zinc/43/52/91/765435291.db2.gz ULGZNZRUWBXXRQ-UHFFFAOYSA-N 1 2 318.421 1.648 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(C(C)C)CC3)C2)CC1 ZINC001052079981 765452842 /nfs/dbraw/zinc/45/28/42/765452842.db2.gz SYGBKFJIANYZSC-KRWDZBQOSA-N 1 2 317.477 1.664 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH+]3CCN(CC=C)CC3)C2)cn1 ZINC001052108286 765477649 /nfs/dbraw/zinc/47/76/49/765477649.db2.gz LZJNYGYYVYDFQN-SFHVURJKSA-N 1 2 324.428 1.081 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(OCC3CC3)nc2)C1 ZINC001044336773 765491550 /nfs/dbraw/zinc/49/15/50/765491550.db2.gz VQBLBOOTEZABKG-UHFFFAOYSA-N 1 2 313.401 1.650 20 30 DDEDLO C[C@H]1C[C@@H](NCC#N)CCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001044605602 765693145 /nfs/dbraw/zinc/69/31/45/765693145.db2.gz BDECOUMNEZRNMS-KBPBESRZSA-N 1 2 315.421 1.255 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@H](F)Cc2ccccc2)CC1 ZINC001113667302 765992882 /nfs/dbraw/zinc/99/28/82/765992882.db2.gz YFKLEOHYOKRYJL-QGZVFWFLSA-N 1 2 320.408 1.914 20 30 DDEDLO CN(C(=O)C1CC1)[C@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001047273633 768357884 /nfs/dbraw/zinc/35/78/84/768357884.db2.gz PZECHNALSCKHSU-HOTGVXAUSA-N 1 2 317.364 1.111 20 30 DDEDLO CN(C(=O)C1CC1)[C@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001047273633 768357892 /nfs/dbraw/zinc/35/78/92/768357892.db2.gz PZECHNALSCKHSU-HOTGVXAUSA-N 1 2 317.364 1.111 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC[C@@H]1C ZINC001114027924 766559537 /nfs/dbraw/zinc/55/95/37/766559537.db2.gz OPRUZPPDUCZWDB-GXTWGEPZSA-N 1 2 304.394 1.319 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001114063365 766601376 /nfs/dbraw/zinc/60/13/76/766601376.db2.gz BWKWFNDTVPQOCT-XGVBPZLHSA-N 1 2 312.348 1.403 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001114063365 766601378 /nfs/dbraw/zinc/60/13/78/766601378.db2.gz BWKWFNDTVPQOCT-XGVBPZLHSA-N 1 2 312.348 1.403 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ncccc1C#N ZINC001067543314 766606148 /nfs/dbraw/zinc/60/61/48/766606148.db2.gz WRZAXVDVBZCCFP-UKRRQHHQSA-N 1 2 324.388 1.323 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ncccc1C#N ZINC001067550833 766610834 /nfs/dbraw/zinc/61/08/34/766610834.db2.gz YLWFUAAGOYTABB-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccncc1C#N ZINC001067577856 766620309 /nfs/dbraw/zinc/62/03/09/766620309.db2.gz OOUVKOVTGUBUST-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067716848 766648502 /nfs/dbraw/zinc/64/85/02/766648502.db2.gz KBLSEONDNNLNTJ-GJZGRUSLSA-N 1 2 320.437 1.648 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCC[C@]2(CCN(CC#N)C2)C1 ZINC001045981848 766759545 /nfs/dbraw/zinc/75/95/45/766759545.db2.gz HRALSYQRSCLHOK-QGZVFWFLSA-N 1 2 315.421 1.489 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C[C@H]3C=CCC3)CC2)C1 ZINC001046002029 766788415 /nfs/dbraw/zinc/78/84/15/766788415.db2.gz RASLGLVDPBPSCX-HOTGVXAUSA-N 1 2 302.422 1.085 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccn(C(C)C)n3)C[C@H]21 ZINC001114248596 766815185 /nfs/dbraw/zinc/81/51/85/766815185.db2.gz YUZVSKDKMMWSFG-VQFNDLOPSA-N 1 2 314.433 1.670 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccn(C(C)C)n3)C[C@H]21 ZINC001114248596 766815192 /nfs/dbraw/zinc/81/51/92/766815192.db2.gz YUZVSKDKMMWSFG-VQFNDLOPSA-N 1 2 314.433 1.670 20 30 DDEDLO C[C@H]1C[C@H](CNc2ccc(C#N)nc2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068348555 767161609 /nfs/dbraw/zinc/16/16/09/767161609.db2.gz SAWCGRBXZZKTBT-QWHCGFSZSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)C2CCC(O)CC2)C1 ZINC001046272117 767341132 /nfs/dbraw/zinc/34/11/32/767341132.db2.gz YYNWWGCYOSUFIK-SSDMNJCBSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)C2CCC(O)CC2)C1 ZINC001046272117 767341137 /nfs/dbraw/zinc/34/11/37/767341137.db2.gz YYNWWGCYOSUFIK-SSDMNJCBSA-N 1 2 300.830 1.871 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2C[C@@H](Nc3cc[nH+]c(C)n3)C2)cn1 ZINC001046414965 767533018 /nfs/dbraw/zinc/53/30/18/767533018.db2.gz LYOAVFSJDIWBGE-AKAXFMLLSA-N 1 2 321.384 1.782 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001046516279 767645038 /nfs/dbraw/zinc/64/50/38/767645038.db2.gz IQDIFRVALQHPOI-SWLSCSKDSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001046516279 767645040 /nfs/dbraw/zinc/64/50/40/767645040.db2.gz IQDIFRVALQHPOI-SWLSCSKDSA-N 1 2 313.829 1.236 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1c[nH+]cn1C ZINC001068872548 767672496 /nfs/dbraw/zinc/67/24/96/767672496.db2.gz MOQFJYKSABNLPM-SWLSCSKDSA-N 1 2 324.388 1.331 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccncc2C#N)CCN1C(=O)CCn1cc[nH+]c1 ZINC001068929213 767705872 /nfs/dbraw/zinc/70/58/72/767705872.db2.gz ZGZGICPRIUTLSM-HIFRSBDPSA-N 1 2 324.388 1.063 20 30 DDEDLO C[C@@]1(NC(=O)c2cnon2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046717165 767843450 /nfs/dbraw/zinc/84/34/50/767843450.db2.gz QMSVRJRTCWWNBB-MRXNPFEDSA-N 1 2 311.345 1.336 20 30 DDEDLO C[C@@]1(NC(=O)c2cnon2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046717165 767843458 /nfs/dbraw/zinc/84/34/58/767843458.db2.gz QMSVRJRTCWWNBB-MRXNPFEDSA-N 1 2 311.345 1.336 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CNC(=O)C2CC2)CC[C@@H]1C ZINC001131830835 768411048 /nfs/dbraw/zinc/41/10/48/768411048.db2.gz VSYAGDCHDVVDPT-WCQYABFASA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CNC(=O)C2CC2)CC[C@@H]1C ZINC001131830835 768411050 /nfs/dbraw/zinc/41/10/50/768411050.db2.gz VSYAGDCHDVVDPT-WCQYABFASA-N 1 2 313.829 1.234 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)Cn1cc[nH+]c1)C2 ZINC001047387405 768441033 /nfs/dbraw/zinc/44/10/33/768441033.db2.gz QQQKXRRRXZLRSG-ZNMIVQPWSA-N 1 2 316.405 1.345 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc3ccsc3c2)C1 ZINC001047482632 768508682 /nfs/dbraw/zinc/50/86/82/768508682.db2.gz FASSHXASVTXXAF-KBPBESRZSA-N 1 2 315.398 1.047 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc3ccsc3c2)C1 ZINC001047482632 768508684 /nfs/dbraw/zinc/50/86/84/768508684.db2.gz FASSHXASVTXXAF-KBPBESRZSA-N 1 2 315.398 1.047 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCCC(=O)NC)CC[C@@H]1C ZINC001131985754 768539984 /nfs/dbraw/zinc/53/99/84/768539984.db2.gz ZZLSJGRPJRJTIO-QWHCGFSZSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCCC(=O)NC)CC[C@@H]1C ZINC001131985754 768539987 /nfs/dbraw/zinc/53/99/87/768539987.db2.gz ZZLSJGRPJRJTIO-QWHCGFSZSA-N 1 2 315.845 1.624 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001047538872 768552182 /nfs/dbraw/zinc/55/21/82/768552182.db2.gz YTFDIKNLWVDHBV-HOTGVXAUSA-N 1 2 324.380 1.697 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001047538872 768552185 /nfs/dbraw/zinc/55/21/85/768552185.db2.gz YTFDIKNLWVDHBV-HOTGVXAUSA-N 1 2 324.380 1.697 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001070538791 768561378 /nfs/dbraw/zinc/56/13/78/768561378.db2.gz MUFCQSONWKIEQM-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scnc2C(C)C)C1 ZINC001047554475 768566935 /nfs/dbraw/zinc/56/69/35/768566935.db2.gz XNUHNHCAXKKWOZ-RYUDHWBXSA-N 1 2 309.435 1.570 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scnc2C(C)C)C1 ZINC001047554475 768566937 /nfs/dbraw/zinc/56/69/37/768566937.db2.gz XNUHNHCAXKKWOZ-RYUDHWBXSA-N 1 2 309.435 1.570 20 30 DDEDLO CC(C(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1)=C1CCC1 ZINC001132018016 768575196 /nfs/dbraw/zinc/57/51/96/768575196.db2.gz URUSQTVHFQBSTK-DOMZBBRYSA-N 1 2 318.421 1.096 20 30 DDEDLO CC(C(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1)=C1CCC1 ZINC001132018016 768575202 /nfs/dbraw/zinc/57/52/02/768575202.db2.gz URUSQTVHFQBSTK-DOMZBBRYSA-N 1 2 318.421 1.096 20 30 DDEDLO CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[C@@H]1C ZINC001132033542 768579057 /nfs/dbraw/zinc/57/90/57/768579057.db2.gz HVUBUHPGHHBCOB-KBPBESRZSA-N 1 2 307.438 1.141 20 30 DDEDLO CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[C@@H]1C ZINC001132033542 768579063 /nfs/dbraw/zinc/57/90/63/768579063.db2.gz HVUBUHPGHHBCOB-KBPBESRZSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2coc(C)n2)C1 ZINC001132028977 768587287 /nfs/dbraw/zinc/58/72/87/768587287.db2.gz FAVUFYFJQWJIJP-DZGCQCFKSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2coc(C)n2)C1 ZINC001132028977 768587292 /nfs/dbraw/zinc/58/72/92/768587292.db2.gz FAVUFYFJQWJIJP-DZGCQCFKSA-N 1 2 319.405 1.492 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2COC)C1 ZINC001047597186 768599152 /nfs/dbraw/zinc/59/91/52/768599152.db2.gz HQCBSSPXJCKMPY-KBPBESRZSA-N 1 2 322.430 1.035 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2COC)C1 ZINC001047597186 768599154 /nfs/dbraw/zinc/59/91/54/768599154.db2.gz HQCBSSPXJCKMPY-KBPBESRZSA-N 1 2 322.430 1.035 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](CCS(C)(=O)=O)C1 ZINC001132257080 768714176 /nfs/dbraw/zinc/71/41/76/768714176.db2.gz TXAHDGGFWLASRB-KBPBESRZSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[C@H](C)[N@H+](CCS(C)(=O)=O)C1 ZINC001132257080 768714182 /nfs/dbraw/zinc/71/41/82/768714182.db2.gz TXAHDGGFWLASRB-KBPBESRZSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[NH2+][C@H](C)c1nc(COC)no1 ZINC001132304198 768747870 /nfs/dbraw/zinc/74/78/70/768747870.db2.gz JMYTXDQTJAMBSA-LLVKDONJSA-N 1 2 310.398 1.585 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132332765 768759263 /nfs/dbraw/zinc/75/92/63/768759263.db2.gz WTFXOIFHAQXSDI-KGLIPLIRSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132332765 768759270 /nfs/dbraw/zinc/75/92/70/768759270.db2.gz WTFXOIFHAQXSDI-KGLIPLIRSA-N 1 2 319.453 1.887 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070935709 768795508 /nfs/dbraw/zinc/79/55/08/768795508.db2.gz XJSGMCVVEFTRCD-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2CC(C)C)CC1 ZINC001071001241 768864965 /nfs/dbraw/zinc/86/49/65/768864965.db2.gz NRXHVMKHNIIHFR-HZPDHXFCSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2CC(C)C)CC1 ZINC001071001241 768864976 /nfs/dbraw/zinc/86/49/76/768864976.db2.gz NRXHVMKHNIIHFR-HZPDHXFCSA-N 1 2 321.465 1.505 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nc(CCCC)no1 ZINC001132591149 769012546 /nfs/dbraw/zinc/01/25/46/769012546.db2.gz ILNWMCFEKKWXHV-UHFFFAOYSA-N 1 2 306.410 1.812 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+][C@@H](C)c1nc(COC)no1 ZINC001132591474 769013523 /nfs/dbraw/zinc/01/35/23/769013523.db2.gz PSIFAPUBRSAADJ-LBPRGKRZSA-N 1 2 308.382 1.176 20 30 DDEDLO C#CCC[N@H+]1CCN([C@H]2CCCN(C(=O)[C@@H](C)CC)C2)C(=O)C1 ZINC001071257163 769202917 /nfs/dbraw/zinc/20/29/17/769202917.db2.gz SYECMGCYXXLYGZ-HOTGVXAUSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@H]2CCCN(C(=O)[C@@H](C)CC)C2)C(=O)C1 ZINC001071257163 769202920 /nfs/dbraw/zinc/20/29/20/769202920.db2.gz SYECMGCYXXLYGZ-HOTGVXAUSA-N 1 2 319.449 1.191 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096333924 769244896 /nfs/dbraw/zinc/24/48/96/769244896.db2.gz BJNHLWMXVNJYON-CQSZACIVSA-N 1 2 304.394 1.299 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096393800 769634536 /nfs/dbraw/zinc/63/45/36/769634536.db2.gz SCSCLTBEKWSIOX-HIFRSBDPSA-N 1 2 318.421 1.688 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nc(CC)c2Cl)CC[C@@H]1C ZINC001071576818 769653146 /nfs/dbraw/zinc/65/31/46/769653146.db2.gz PTODOFRSICNPFF-WDEREUQCSA-N 1 2 308.813 1.841 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2[nH]nc(CC)c2Cl)CC[C@@H]1C ZINC001071576818 769653153 /nfs/dbraw/zinc/65/31/53/769653153.db2.gz PTODOFRSICNPFF-WDEREUQCSA-N 1 2 308.813 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cn(CC)nn2)CC[C@@H]1C ZINC001071942086 770320021 /nfs/dbraw/zinc/32/00/21/770320021.db2.gz MTLUAVHYABBOPG-NWDGAFQWSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cn(CC)nn2)CC[C@@H]1C ZINC001071942086 770320034 /nfs/dbraw/zinc/32/00/34/770320034.db2.gz MTLUAVHYABBOPG-NWDGAFQWSA-N 1 2 311.817 1.633 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(=O)c3ccccc3o1)C2 ZINC001096469622 770345833 /nfs/dbraw/zinc/34/58/33/770345833.db2.gz QQHCPOAQGSPMRV-SNPRPXQTSA-N 1 2 322.364 1.761 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(=O)c3ccccc3o1)C2 ZINC001096469622 770345840 /nfs/dbraw/zinc/34/58/40/770345840.db2.gz QQHCPOAQGSPMRV-SNPRPXQTSA-N 1 2 322.364 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnc(OC)nc2)CC[C@@H]1C ZINC001071957539 770346899 /nfs/dbraw/zinc/34/68/99/770346899.db2.gz JYZWUPYWWFNDIJ-AAEUAGOBSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnc(OC)nc2)CC[C@@H]1C ZINC001071957539 770346912 /nfs/dbraw/zinc/34/69/12/770346912.db2.gz JYZWUPYWWFNDIJ-AAEUAGOBSA-N 1 2 324.812 1.820 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001071987231 770391737 /nfs/dbraw/zinc/39/17/37/770391737.db2.gz DKYWGALVGKCQKZ-SWLSCSKDSA-N 1 2 316.405 1.027 20 30 DDEDLO N#CCN1C[C@@H]2CN(C(=O)c3cccc(-n4cc[nH+]c4)c3)C[C@@H]2C1 ZINC001049151219 770464594 /nfs/dbraw/zinc/46/45/94/770464594.db2.gz ODPPBJNPXMAJDA-IYBDPMFKSA-N 1 2 321.384 1.400 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001134053010 770603423 /nfs/dbraw/zinc/60/34/23/770603423.db2.gz JXFLYSBSHWQEPC-OCCSQVGLSA-N 1 2 319.405 1.350 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnsn1 ZINC001049341400 770709804 /nfs/dbraw/zinc/70/98/04/770709804.db2.gz NCOQWIBTKGBLFR-VXGBXAGGSA-N 1 2 312.826 1.970 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnsn1 ZINC001049341400 770709807 /nfs/dbraw/zinc/70/98/07/770709807.db2.gz NCOQWIBTKGBLFR-VXGBXAGGSA-N 1 2 312.826 1.970 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)C#N ZINC001049372755 770751512 /nfs/dbraw/zinc/75/15/12/770751512.db2.gz NKEOFRAAQGUJQB-NILFDRSVSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)C#N ZINC001049372755 770751519 /nfs/dbraw/zinc/75/15/19/770751519.db2.gz NKEOFRAAQGUJQB-NILFDRSVSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466865 770889683 /nfs/dbraw/zinc/88/96/83/770889683.db2.gz KYWOAEXCAKZWNA-SJORKVTESA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466865 770889698 /nfs/dbraw/zinc/88/96/98/770889698.db2.gz KYWOAEXCAKZWNA-SJORKVTESA-N 1 2 313.401 1.962 20 30 DDEDLO N#Cc1ccc(N[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C2CC2)cn1 ZINC001096820304 771467891 /nfs/dbraw/zinc/46/78/91/771467891.db2.gz RWVKIUFXTGQBSE-HNNXBMFYSA-N 1 2 310.361 1.226 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001097085087 771616901 /nfs/dbraw/zinc/61/69/01/771616901.db2.gz YVFIKWJSLRCLLP-HDJSIYSDSA-N 1 2 310.361 1.299 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001090692738 772116161 /nfs/dbraw/zinc/11/61/61/772116161.db2.gz SJMKYTCTRMXTNF-UKRRQHHQSA-N 1 2 300.406 1.920 20 30 DDEDLO CCOC(=O)c1c(C[N@H+]2[C@@H]3CC[C@H]2C[C@H](C#N)C3)cnn1C ZINC001136909782 772209199 /nfs/dbraw/zinc/20/91/99/772209199.db2.gz BKSXIHKLFCFGSU-DEZZGGKRSA-N 1 2 302.378 1.863 20 30 DDEDLO CCOC(=O)c1c(C[N@@H+]2[C@@H]3CC[C@H]2C[C@H](C#N)C3)cnn1C ZINC001136909782 772209201 /nfs/dbraw/zinc/20/92/01/772209201.db2.gz BKSXIHKLFCFGSU-DEZZGGKRSA-N 1 2 302.378 1.863 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091464845 772775983 /nfs/dbraw/zinc/77/59/83/772775983.db2.gz JQTFISCCMWOPCA-UBHSHLNASA-N 1 2 304.394 1.683 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001091515111 772796849 /nfs/dbraw/zinc/79/68/49/772796849.db2.gz BUVZSYSRFCPPDK-YEORSEQZSA-N 1 2 310.361 1.226 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001091515706 772797227 /nfs/dbraw/zinc/79/72/27/772797227.db2.gz UCMUFNSDIIWLJX-AULYBMBSSA-N 1 2 310.361 1.226 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1ccon1)C2 ZINC001147132641 773058995 /nfs/dbraw/zinc/05/89/95/773058995.db2.gz PMZCPKYEFIMVOX-AWEZNQCLSA-N 1 2 319.405 1.690 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1ccon1)C2 ZINC001147132641 773058997 /nfs/dbraw/zinc/05/89/97/773058997.db2.gz PMZCPKYEFIMVOX-AWEZNQCLSA-N 1 2 319.405 1.690 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cc(C)on1)C2 ZINC001147530708 773169625 /nfs/dbraw/zinc/16/96/25/773169625.db2.gz PZCLAUHMZCGMKU-AWEZNQCLSA-N 1 2 317.389 1.056 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cc(C)on1)C2 ZINC001147530708 773169627 /nfs/dbraw/zinc/16/96/27/773169627.db2.gz PZCLAUHMZCGMKU-AWEZNQCLSA-N 1 2 317.389 1.056 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001148088358 773359323 /nfs/dbraw/zinc/35/93/23/773359323.db2.gz LTFZCIPZWCSNKA-GJZGRUSLSA-N 1 2 314.433 1.969 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001148088358 773359331 /nfs/dbraw/zinc/35/93/31/773359331.db2.gz LTFZCIPZWCSNKA-GJZGRUSLSA-N 1 2 314.433 1.969 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001148125233 773372597 /nfs/dbraw/zinc/37/25/97/773372597.db2.gz KSRLNWPICBXMJY-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001148125233 773372605 /nfs/dbraw/zinc/37/26/05/773372605.db2.gz KSRLNWPICBXMJY-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001073802981 773424918 /nfs/dbraw/zinc/42/49/18/773424918.db2.gz NMKNDGGKHBSRDQ-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001073802981 773424921 /nfs/dbraw/zinc/42/49/21/773424921.db2.gz NMKNDGGKHBSRDQ-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2ncc(C(C)C)o2)nc1 ZINC001148377258 773461128 /nfs/dbraw/zinc/46/11/28/773461128.db2.gz UXAYGVZHVUFNQK-UHFFFAOYSA-N 1 2 312.373 1.694 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)co1 ZINC001073972980 773566441 /nfs/dbraw/zinc/56/64/41/773566441.db2.gz MPJUSCKHBIITRL-IUODEOHRSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)co1 ZINC001073972980 773566445 /nfs/dbraw/zinc/56/64/45/773566445.db2.gz MPJUSCKHBIITRL-IUODEOHRSA-N 1 2 304.394 1.956 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCn2cc[nH+]c2)[C@@H](C)C1 ZINC001074084036 773622134 /nfs/dbraw/zinc/62/21/34/773622134.db2.gz BLKVPFKWAJXQTG-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO CCC(C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001110122570 773678720 /nfs/dbraw/zinc/67/87/20/773678720.db2.gz QZMTUPVUDOMOMT-RDBSUJKOSA-N 1 2 320.437 1.174 20 30 DDEDLO CCC(C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001110122570 773678723 /nfs/dbraw/zinc/67/87/23/773678723.db2.gz QZMTUPVUDOMOMT-RDBSUJKOSA-N 1 2 320.437 1.174 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccnc(F)c3)C[C@@H]21 ZINC001074188718 773710359 /nfs/dbraw/zinc/71/03/59/773710359.db2.gz YEFASYILLOKOBT-LSDHHAIUSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccnc(F)c3)C[C@@H]21 ZINC001074188718 773710365 /nfs/dbraw/zinc/71/03/65/773710365.db2.gz YEFASYILLOKOBT-LSDHHAIUSA-N 1 2 317.364 1.159 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3=COCCC3)C[C@H]21 ZINC001074189005 773711419 /nfs/dbraw/zinc/71/14/19/773711419.db2.gz CKWNORFJLWOJFH-HZPDHXFCSA-N 1 2 306.406 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3=COCCC3)C[C@H]21 ZINC001074189005 773711424 /nfs/dbraw/zinc/71/14/24/773711424.db2.gz CKWNORFJLWOJFH-HZPDHXFCSA-N 1 2 306.406 1.559 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ocnc3C)C[C@H]21 ZINC001074205640 773729016 /nfs/dbraw/zinc/72/90/16/773729016.db2.gz VFNATJKPGMNGDB-KGLIPLIRSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ocnc3C)C[C@H]21 ZINC001074205640 773729017 /nfs/dbraw/zinc/72/90/17/773729017.db2.gz VFNATJKPGMNGDB-KGLIPLIRSA-N 1 2 305.378 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cncc(F)c3)C[C@H]21 ZINC001074208407 773731361 /nfs/dbraw/zinc/73/13/61/773731361.db2.gz HRVYKHCVNFXLTF-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cncc(F)c3)C[C@H]21 ZINC001074208407 773731365 /nfs/dbraw/zinc/73/13/65/773731365.db2.gz HRVYKHCVNFXLTF-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCCO2)C1 ZINC000586062798 773751799 /nfs/dbraw/zinc/75/17/99/773751799.db2.gz GDCCAZFLWNRNBD-DLBZAZTESA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCCO2)C1 ZINC000586062798 773751805 /nfs/dbraw/zinc/75/18/05/773751805.db2.gz GDCCAZFLWNRNBD-DLBZAZTESA-N 1 2 313.401 1.818 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cnc(C)o3)C[C@@H]21 ZINC001074266183 773778507 /nfs/dbraw/zinc/77/85/07/773778507.db2.gz GHYLJBBSKPJMRQ-JKSUJKDBSA-N 1 2 319.405 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cnc(C)o3)C[C@@H]21 ZINC001074266183 773778513 /nfs/dbraw/zinc/77/85/13/773778513.db2.gz GHYLJBBSKPJMRQ-JKSUJKDBSA-N 1 2 319.405 1.403 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(F)c[nH]3)C[C@H]21 ZINC001074301952 773805718 /nfs/dbraw/zinc/80/57/18/773805718.db2.gz IXDUSGJTGJYSMU-HUUCEWRRSA-N 1 2 305.353 1.092 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(F)c[nH]3)C[C@H]21 ZINC001074301952 773805724 /nfs/dbraw/zinc/80/57/24/773805724.db2.gz IXDUSGJTGJYSMU-HUUCEWRRSA-N 1 2 305.353 1.092 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccco3)C[C@@H]21 ZINC001074365650 773857011 /nfs/dbraw/zinc/85/70/11/773857011.db2.gz IAQMGJDLNDNXBE-HOTGVXAUSA-N 1 2 304.390 1.700 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccco3)C[C@@H]21 ZINC001074365650 773857015 /nfs/dbraw/zinc/85/70/15/773857015.db2.gz IAQMGJDLNDNXBE-HOTGVXAUSA-N 1 2 304.390 1.700 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092165199 773969596 /nfs/dbraw/zinc/96/95/96/773969596.db2.gz URUNLJASYUUSTO-KBPBESRZSA-N 1 2 304.394 1.197 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([NH2+]Cc2csnn2)[C@@H]1C ZINC001074899994 774229338 /nfs/dbraw/zinc/22/93/38/774229338.db2.gz WBGSEZCLSVVQTH-GHYVTOPFSA-N 1 2 322.434 1.208 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001075127306 774371203 /nfs/dbraw/zinc/37/12/03/774371203.db2.gz LXOBZNHEMRIXHJ-KGLIPLIRSA-N 1 2 316.405 1.251 20 30 DDEDLO C#CCC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1n[nH]c3ccccc31)C2 ZINC001098319392 774534123 /nfs/dbraw/zinc/53/41/23/774534123.db2.gz LKVYKNHKPUXXPN-WQVCFCJDSA-N 1 2 308.385 1.921 20 30 DDEDLO C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1n[nH]c3ccccc31)C2 ZINC001098319392 774534129 /nfs/dbraw/zinc/53/41/29/774534129.db2.gz LKVYKNHKPUXXPN-WQVCFCJDSA-N 1 2 308.385 1.921 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001093310162 774607756 /nfs/dbraw/zinc/60/77/56/774607756.db2.gz GAZKSMAJWRKOFS-VIKVFOODSA-N 1 2 300.406 1.938 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cnn(C)c3)CC2)C1 ZINC001093505537 774749181 /nfs/dbraw/zinc/74/91/81/774749181.db2.gz LBTIZUZMUBPLFC-UHFFFAOYSA-N 1 2 318.421 1.302 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3c[nH]c(C)n3)CC2)C1 ZINC001093517717 774768589 /nfs/dbraw/zinc/76/85/89/774768589.db2.gz XTDZXZGMBDSONJ-UHFFFAOYSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@]3(C)CCOC3)CC2)C1 ZINC001093588485 774868989 /nfs/dbraw/zinc/86/89/89/774868989.db2.gz NWPIXADPBWXMQU-KRWDZBQOSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)c(F)c2)C[C@@H]1O ZINC001099724165 775185352 /nfs/dbraw/zinc/18/53/52/775185352.db2.gz MPXXHENEBMASOK-CVEARBPZSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)c(F)c2)C[C@@H]1O ZINC001099724165 775185355 /nfs/dbraw/zinc/18/53/55/775185355.db2.gz MPXXHENEBMASOK-CVEARBPZSA-N 1 2 324.371 1.982 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1cncc(C#N)n1 ZINC001099765469 775243386 /nfs/dbraw/zinc/24/33/86/775243386.db2.gz TZJPGHCVHGMHSR-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1cncc(C#N)n1 ZINC001099765469 775243391 /nfs/dbraw/zinc/24/33/91/775243391.db2.gz TZJPGHCVHGMHSR-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001099859171 775360969 /nfs/dbraw/zinc/36/09/69/775360969.db2.gz XXXXJRRRXSOOCN-HIFRSBDPSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001099859171 775360979 /nfs/dbraw/zinc/36/09/79/775360979.db2.gz XXXXJRRRXSOOCN-HIFRSBDPSA-N 1 2 307.394 1.391 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099944900 775456873 /nfs/dbraw/zinc/45/68/73/775456873.db2.gz SFRLMZNAGXVZBN-OLZOCXBDSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099944900 775456879 /nfs/dbraw/zinc/45/68/79/775456879.db2.gz SFRLMZNAGXVZBN-OLZOCXBDSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001099960050 775483288 /nfs/dbraw/zinc/48/32/88/775483288.db2.gz NGWNHTNTXQHZLO-LSDHHAIUSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001099960050 775483297 /nfs/dbraw/zinc/48/32/97/775483297.db2.gz NGWNHTNTXQHZLO-LSDHHAIUSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCN(C)c1cc(C)[nH+]c(C(C)C)n1 ZINC001100040110 775605816 /nfs/dbraw/zinc/60/58/16/775605816.db2.gz CWGHLEPSPMMINN-QGZVFWFLSA-N 1 2 320.437 1.788 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)c3cccc(C)c3)nn2)C1 ZINC001094270505 775613974 /nfs/dbraw/zinc/61/39/74/775613974.db2.gz AGBALNFFBAJMKM-UHFFFAOYSA-N 1 2 323.400 1.397 20 30 DDEDLO C=C(C)CCC(=O)NCCN(C)c1ncnc2c1C[N@H+](C)CC2 ZINC001100167166 775750484 /nfs/dbraw/zinc/75/04/84/775750484.db2.gz GPCBTYZJXUOKFL-UHFFFAOYSA-N 1 2 317.437 1.373 20 30 DDEDLO C=C(C)CCC(=O)NCCN(C)c1ncnc2c1C[N@@H+](C)CC2 ZINC001100167166 775750489 /nfs/dbraw/zinc/75/04/89/775750489.db2.gz GPCBTYZJXUOKFL-UHFFFAOYSA-N 1 2 317.437 1.373 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCCN(C)c1ncccc1C#N ZINC001100368357 776062844 /nfs/dbraw/zinc/06/28/44/776062844.db2.gz ZFJZJGMIEKSHGB-GFCCVEGCSA-N 1 2 312.377 1.108 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCCN(C)c1ncccc1C#N ZINC001100368357 776062855 /nfs/dbraw/zinc/06/28/55/776062855.db2.gz ZFJZJGMIEKSHGB-GFCCVEGCSA-N 1 2 312.377 1.108 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094710534 776179098 /nfs/dbraw/zinc/17/90/98/776179098.db2.gz NREPGWNUHARPBF-LBPRGKRZSA-N 1 2 316.409 1.884 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3cc(C)cs3)CC2=O)C1 ZINC001094735544 776238059 /nfs/dbraw/zinc/23/80/59/776238059.db2.gz RECLMVHVXPXHJX-LBPRGKRZSA-N 1 2 319.430 1.257 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3CCC(F)CC3)CC2=O)C1 ZINC001094782033 776272419 /nfs/dbraw/zinc/27/24/19/776272419.db2.gz HZCICWXGIPIOSB-JXQTWKCFSA-N 1 2 323.412 1.102 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCCCNc1cc[nH+]c(C)n1 ZINC001094832495 776372532 /nfs/dbraw/zinc/37/25/32/776372532.db2.gz KSEJSGIOPLYODI-KGLIPLIRSA-N 1 2 304.394 1.684 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NCCCNc1ncccc1C#N ZINC001094907290 776781590 /nfs/dbraw/zinc/78/15/90/776781590.db2.gz JSYVXYKNDZKDTH-UHFFFAOYSA-N 1 2 312.377 1.238 20 30 DDEDLO C#Cc1cc(Nc2ccc(N3CCN(C(C)=O)CC3)cc2)cc[nH+]1 ZINC001212678357 776866298 /nfs/dbraw/zinc/86/62/98/776866298.db2.gz UUNRMHZKNJCFCN-UHFFFAOYSA-N 1 2 320.396 1.897 20 30 DDEDLO C[C@@H]1CC[C@@H](N2CC[NH2+]C[C@@H]2C#N)CN1C(=O)OC(C)(C)C ZINC001173057464 776967954 /nfs/dbraw/zinc/96/79/54/776967954.db2.gz RMICFIHONNCXMU-MCIONIFRSA-N 1 2 308.426 1.572 20 30 DDEDLO C=CCCC(=O)N1CCC(Nc2nc(N(C)C)nc(C)[nH+]2)CC1 ZINC001095218154 777254397 /nfs/dbraw/zinc/25/43/97/777254397.db2.gz OFBCLIAFGJVCCG-UHFFFAOYSA-N 1 2 318.425 1.615 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](CC)OC)c1nccn12 ZINC001101614074 777305291 /nfs/dbraw/zinc/30/52/91/777305291.db2.gz NUWPHAVESJQUGS-OLZOCXBDSA-N 1 2 304.394 1.066 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2nnnn2C2CC2)C[C@H]1C ZINC001101805471 777541373 /nfs/dbraw/zinc/54/13/73/777541373.db2.gz RGJQWKOIJFOJFA-CHWSQXEVSA-N 1 2 318.425 1.158 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2nnnn2C2CC2)C[C@H]1C ZINC001101805471 777541382 /nfs/dbraw/zinc/54/13/82/777541382.db2.gz RGJQWKOIJFOJFA-CHWSQXEVSA-N 1 2 318.425 1.158 20 30 DDEDLO CN(CCNc1cccc(F)c1C#N)C(=O)CCc1c[nH]c[nH+]1 ZINC001102021078 777803196 /nfs/dbraw/zinc/80/31/96/777803196.db2.gz CWGOZTJTZOTJMK-UHFFFAOYSA-N 1 2 315.352 1.924 20 30 DDEDLO CN(CCNc1cccc(F)c1C#N)C(=O)CCc1c[nH+]c[nH]1 ZINC001102021078 777803209 /nfs/dbraw/zinc/80/32/09/777803209.db2.gz CWGOZTJTZOTJMK-UHFFFAOYSA-N 1 2 315.352 1.924 20 30 DDEDLO N#Cc1cccnc1N1CC[C@@H](CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001102398318 778116777 /nfs/dbraw/zinc/11/67/77/778116777.db2.gz OQHFBBLVHYBAAG-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102705010 778332157 /nfs/dbraw/zinc/33/21/57/778332157.db2.gz VOYBEIUJAJDGLG-CZUORRHYSA-N 1 2 304.394 1.149 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCC)C[C@H]21 ZINC001176917440 778340349 /nfs/dbraw/zinc/34/03/49/778340349.db2.gz IQKHRDRJZNDFJO-CVEARBPZSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCC)C[C@H]21 ZINC001176917440 778340356 /nfs/dbraw/zinc/34/03/56/778340356.db2.gz IQKHRDRJZNDFJO-CVEARBPZSA-N 1 2 310.438 1.681 20 30 DDEDLO Cn1cc(NC(=O)[C@@H]2CCCN(c3cccc[nH+]3)C2)c(C#N)n1 ZINC001176956669 778348872 /nfs/dbraw/zinc/34/88/72/778348872.db2.gz IKXLAFFEGJSABI-GFCCVEGCSA-N 1 2 310.361 1.542 20 30 DDEDLO CCc1nc(C[N@@H+]2C[C@@H](C)[C@H](CCNC(=O)[C@H](C)C#N)C2)no1 ZINC001102784210 778398174 /nfs/dbraw/zinc/39/81/74/778398174.db2.gz OQVBTRRBKWJPCC-JHJVBQTASA-N 1 2 319.409 1.366 20 30 DDEDLO CCc1nc(C[N@H+]2C[C@@H](C)[C@H](CCNC(=O)[C@H](C)C#N)C2)no1 ZINC001102784210 778398177 /nfs/dbraw/zinc/39/81/77/778398177.db2.gz OQVBTRRBKWJPCC-JHJVBQTASA-N 1 2 319.409 1.366 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CCCF)[C@H]3C2)CCC1 ZINC001177030871 778400299 /nfs/dbraw/zinc/40/02/99/778400299.db2.gz QJASYSNFNGUIOL-JKSUJKDBSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]3OCC[N@H+](CCCF)[C@H]3C2)CCC1 ZINC001177030871 778400301 /nfs/dbraw/zinc/40/03/01/778400301.db2.gz QJASYSNFNGUIOL-JKSUJKDBSA-N 1 2 322.424 1.841 20 30 DDEDLO CN(CC(=O)Nc1cc(C#N)c(C#N)cc1N)c1cccc[nH+]1 ZINC001177412306 778587087 /nfs/dbraw/zinc/58/70/87/778587087.db2.gz AXSZPBKTNHMKPE-UHFFFAOYSA-N 1 2 306.329 1.482 20 30 DDEDLO CN(CC(=O)NC1(C#N)Cc2ccccc2C1)c1cccc[nH+]1 ZINC001177416537 778588841 /nfs/dbraw/zinc/58/88/41/778588841.db2.gz ADPCOCOLWMYSON-UHFFFAOYSA-N 1 2 306.369 1.695 20 30 DDEDLO CC[C@H](CNC(=O)Cn1cc[nH+]c1)Nc1snc(C)c1C#N ZINC001103117239 778648318 /nfs/dbraw/zinc/64/83/18/778648318.db2.gz FFYPIVIACDOSDH-LLVKDONJSA-N 1 2 318.406 1.527 20 30 DDEDLO C[N@@H+]1CCN(C(=O)C#Cc2cccnc2)[C@@H](c2ccccc2)C1 ZINC001177773409 778712665 /nfs/dbraw/zinc/71/26/65/778712665.db2.gz TYBQPBMBAVIXDC-GOSISDBHSA-N 1 2 305.381 1.948 20 30 DDEDLO C[N@H+]1CCN(C(=O)C#Cc2cccnc2)[C@@H](c2ccccc2)C1 ZINC001177773409 778712670 /nfs/dbraw/zinc/71/26/70/778712670.db2.gz TYBQPBMBAVIXDC-GOSISDBHSA-N 1 2 305.381 1.948 20 30 DDEDLO CC[C@H](CNC(=O)CCn1cc[nH+]c1)Nc1ncccc1C#N ZINC001103249204 778735396 /nfs/dbraw/zinc/73/53/96/778735396.db2.gz XESVHDFXGGBAJG-CQSZACIVSA-N 1 2 312.377 1.547 20 30 DDEDLO Cc1nc(NC[C@](C)(NC(=O)CSCC#N)C2CC2)cc[nH+]1 ZINC001103500025 778905506 /nfs/dbraw/zinc/90/55/06/778905506.db2.gz FSUBXCROYPSSTK-HNNXBMFYSA-N 1 2 319.434 1.739 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@](C)(CNc1cc[nH+]c(C)n1)C1CC1 ZINC001103547231 778938056 /nfs/dbraw/zinc/93/80/56/778938056.db2.gz GJKGNFXREWQOHU-SJKOYZFVSA-N 1 2 316.405 1.520 20 30 DDEDLO C[C@@H](CNC(=O)CCn1cc[nH+]c1)CNc1ccc(C#N)cn1 ZINC001104153051 779339025 /nfs/dbraw/zinc/33/90/25/779339025.db2.gz QAKBKVDLKZDAAG-CYBMUJFWSA-N 1 2 312.377 1.404 20 30 DDEDLO C=CCCC(=O)NC[C@H]1COCCN1c1nc(C)[nH+]c(C)c1C ZINC001111674298 779430398 /nfs/dbraw/zinc/43/03/98/779430398.db2.gz XVBVMIANKXEROP-HNNXBMFYSA-N 1 2 318.421 1.689 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnon1)C2 ZINC001111859052 779521988 /nfs/dbraw/zinc/52/19/88/779521988.db2.gz LLHFAVJXLHBXIH-BBRMVZONSA-N 1 2 304.394 1.897 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnon1)C2 ZINC001111859052 779521995 /nfs/dbraw/zinc/52/19/95/779521995.db2.gz LLHFAVJXLHBXIH-BBRMVZONSA-N 1 2 304.394 1.897 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001115323726 780037364 /nfs/dbraw/zinc/03/73/64/780037364.db2.gz DMKCWXKOXZDUSW-FOLVSLTJSA-N 1 2 305.378 1.122 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001115323726 780037372 /nfs/dbraw/zinc/03/73/72/780037372.db2.gz DMKCWXKOXZDUSW-FOLVSLTJSA-N 1 2 305.378 1.122 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCNC(=O)[C@@H]2C[C@H]2C)c1 ZINC001267183500 837486794 /nfs/dbraw/zinc/48/67/94/837486794.db2.gz RYPYMHOVJPCAAE-CZUORRHYSA-N 1 2 313.401 1.310 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCNC(=O)[C@@H]2C[C@H]2C)c1 ZINC001267183500 837486800 /nfs/dbraw/zinc/48/68/00/837486800.db2.gz RYPYMHOVJPCAAE-CZUORRHYSA-N 1 2 313.401 1.310 20 30 DDEDLO CC1(C)CCC[C@@H]1C(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001267273311 837718080 /nfs/dbraw/zinc/71/80/80/837718080.db2.gz WKAZWXBZAPFGPH-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CCC[C@@H]1C(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001267273311 837718088 /nfs/dbraw/zinc/71/80/88/837718088.db2.gz WKAZWXBZAPFGPH-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CC[C@@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001267292957 837763920 /nfs/dbraw/zinc/76/39/20/837763920.db2.gz JGJXMPRSUKTTNI-RISCZKNCSA-N 1 2 301.394 1.026 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CC[C@@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001267292957 837763928 /nfs/dbraw/zinc/76/39/28/837763928.db2.gz JGJXMPRSUKTTNI-RISCZKNCSA-N 1 2 301.394 1.026 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@H+](Cc1nnnn1C)C(C)C ZINC001267308316 837797074 /nfs/dbraw/zinc/79/70/74/837797074.db2.gz TUCVDFHRZNDBES-UHFFFAOYSA-N 1 2 308.430 1.139 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@@H+](Cc1nnnn1C)C(C)C ZINC001267308316 837797079 /nfs/dbraw/zinc/79/70/79/837797079.db2.gz TUCVDFHRZNDBES-UHFFFAOYSA-N 1 2 308.430 1.139 20 30 DDEDLO CC(C)[N@H+](C)CC(=O)NC1=C(Cl)C(=O)c2ccccc2C1=O ZINC001142590082 861190128 /nfs/dbraw/zinc/19/01/28/861190128.db2.gz DQDCQVCAOJLQBD-UHFFFAOYSA-N 1 2 320.776 1.972 20 30 DDEDLO CC(C)[N@@H+](C)CC(=O)NC1=C(Cl)C(=O)c2ccccc2C1=O ZINC001142590082 861190144 /nfs/dbraw/zinc/19/01/44/861190144.db2.gz DQDCQVCAOJLQBD-UHFFFAOYSA-N 1 2 320.776 1.972 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@@H]2CCCC[C@H]2C2CC2)CC1 ZINC001266290335 836062395 /nfs/dbraw/zinc/06/23/95/836062395.db2.gz WFTGESBTGQJLCN-ZWKOTPCHSA-N 1 2 317.477 1.570 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@@H]2CCCC[C@@H]2C2CC2)CC1 ZINC001266290333 836063022 /nfs/dbraw/zinc/06/30/22/836063022.db2.gz WFTGESBTGQJLCN-QZTJIDSGSA-N 1 2 317.477 1.570 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[N@@H+](C)CC(=O)N(C)CC1CC1 ZINC001266306383 836090187 /nfs/dbraw/zinc/09/01/87/836090187.db2.gz PMVKLAFKZJYUNC-UHFFFAOYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[N@H+](C)CC(=O)N(C)CC1CC1 ZINC001266306383 836090194 /nfs/dbraw/zinc/09/01/94/836090194.db2.gz PMVKLAFKZJYUNC-UHFFFAOYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001266307775 836093559 /nfs/dbraw/zinc/09/35/59/836093559.db2.gz KOJAWZHDIWJFCA-QWHCGFSZSA-N 1 2 323.393 1.355 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001266307775 836093564 /nfs/dbraw/zinc/09/35/64/836093564.db2.gz KOJAWZHDIWJFCA-QWHCGFSZSA-N 1 2 323.393 1.355 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2cccc(Cl)n2)C1 ZINC001266320098 836114102 /nfs/dbraw/zinc/11/41/02/836114102.db2.gz YRQZBDOTEXHZHF-GXTWGEPZSA-N 1 2 321.808 1.464 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2cccc(Cl)n2)C1 ZINC001266320098 836114106 /nfs/dbraw/zinc/11/41/06/836114106.db2.gz YRQZBDOTEXHZHF-GXTWGEPZSA-N 1 2 321.808 1.464 20 30 DDEDLO C=C[C@H](CC(=O)NCC[N@@H+](C)CC(=O)NC)c1ccccc1 ZINC001266362891 836174819 /nfs/dbraw/zinc/17/48/19/836174819.db2.gz YYXNYRAOWYWMFG-CQSZACIVSA-N 1 2 303.406 1.140 20 30 DDEDLO C=C[C@H](CC(=O)NCC[N@H+](C)CC(=O)NC)c1ccccc1 ZINC001266362891 836174822 /nfs/dbraw/zinc/17/48/22/836174822.db2.gz YYXNYRAOWYWMFG-CQSZACIVSA-N 1 2 303.406 1.140 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001266459615 836289583 /nfs/dbraw/zinc/28/95/83/836289583.db2.gz RIYZFSXUAMNYMC-GJZGRUSLSA-N 1 2 315.421 1.139 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@@H+]([C@H](C)c2nnnn2C)C1 ZINC001266528725 836420149 /nfs/dbraw/zinc/42/01/49/836420149.db2.gz XHCOOAWXDYXGCS-OLZOCXBDSA-N 1 2 306.414 1.066 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@H+]([C@H](C)c2nnnn2C)C1 ZINC001266528725 836420150 /nfs/dbraw/zinc/42/01/50/836420150.db2.gz XHCOOAWXDYXGCS-OLZOCXBDSA-N 1 2 306.414 1.066 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2C[N@H+](Cc3cnon3)CC[C@@H]21 ZINC001266660312 836609420 /nfs/dbraw/zinc/60/94/20/836609420.db2.gz WGNHQRCCDADNNF-HIFRSBDPSA-N 1 2 304.394 1.849 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2C[N@@H+](Cc3cnon3)CC[C@@H]21 ZINC001266660312 836609428 /nfs/dbraw/zinc/60/94/28/836609428.db2.gz WGNHQRCCDADNNF-HIFRSBDPSA-N 1 2 304.394 1.849 20 30 DDEDLO COCC#CC[NH2+]C[C@@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC001266868696 836970820 /nfs/dbraw/zinc/97/08/20/836970820.db2.gz ZAENWDLNKBAFGT-RYUDHWBXSA-N 1 2 324.318 1.507 20 30 DDEDLO COCC#CC[NH2+]C[C@@H]1CCCCN1C(=O)[C@H](F)C(F)(F)F ZINC001266868696 836970828 /nfs/dbraw/zinc/97/08/28/836970828.db2.gz ZAENWDLNKBAFGT-RYUDHWBXSA-N 1 2 324.318 1.507 20 30 DDEDLO CCCN(C(=O)c1cnns1)[C@H]1CC[N@H+](CC#CCOC)C1 ZINC001267449407 838074674 /nfs/dbraw/zinc/07/46/74/838074674.db2.gz AEVAFMYYXIAVFC-ZDUSSCGKSA-N 1 2 322.434 1.114 20 30 DDEDLO CCCN(C(=O)c1cnns1)[C@H]1CC[N@@H+](CC#CCOC)C1 ZINC001267449407 838074683 /nfs/dbraw/zinc/07/46/83/838074683.db2.gz AEVAFMYYXIAVFC-ZDUSSCGKSA-N 1 2 322.434 1.114 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)NCCC ZINC001267530726 838258079 /nfs/dbraw/zinc/25/80/79/838258079.db2.gz YJEQPDHVXYJJAR-HRCADAONSA-N 1 2 321.465 1.933 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@@H](C)C(=O)NCCC ZINC001267530726 838258084 /nfs/dbraw/zinc/25/80/84/838258084.db2.gz YJEQPDHVXYJJAR-HRCADAONSA-N 1 2 321.465 1.933 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)c2ccccc2F)CC1 ZINC001267574186 838340356 /nfs/dbraw/zinc/34/03/56/838340356.db2.gz XPOZFNXQNZEPFM-UHFFFAOYSA-N 1 2 317.408 1.539 20 30 DDEDLO CCC(CC)NC(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001267724368 838739522 /nfs/dbraw/zinc/73/95/22/838739522.db2.gz ULPQEBQSKMZVJW-CJNGLKHVSA-N 1 2 319.449 1.284 20 30 DDEDLO CCC(CC)NC(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001267724368 838739527 /nfs/dbraw/zinc/73/95/27/838739527.db2.gz ULPQEBQSKMZVJW-CJNGLKHVSA-N 1 2 319.449 1.284 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)CCc2cn(C)nc2C)C1 ZINC001268026057 839425756 /nfs/dbraw/zinc/42/57/56/839425756.db2.gz LPRMBIIQIFWEBJ-MRXNPFEDSA-N 1 2 320.437 1.054 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)CCc2cn(C)nc2C)C1 ZINC001268026057 839425760 /nfs/dbraw/zinc/42/57/60/839425760.db2.gz LPRMBIIQIFWEBJ-MRXNPFEDSA-N 1 2 320.437 1.054 20 30 DDEDLO C=C[C@H](CC(=O)NC[C@@H]1C[N@H+](CCO)CCO1)c1ccccc1 ZINC001268029858 839446312 /nfs/dbraw/zinc/44/63/12/839446312.db2.gz ZZEXJFFPJPFTQH-NVXWUHKLSA-N 1 2 318.417 1.156 20 30 DDEDLO C=C[C@H](CC(=O)NC[C@@H]1C[N@@H+](CCO)CCO1)c1ccccc1 ZINC001268029858 839446319 /nfs/dbraw/zinc/44/63/19/839446319.db2.gz ZZEXJFFPJPFTQH-NVXWUHKLSA-N 1 2 318.417 1.156 20 30 DDEDLO N#CCNC[C@@H](NC(=O)CCCn1cc[nH+]c1)C1CCCCC1 ZINC001268123762 839716434 /nfs/dbraw/zinc/71/64/34/839716434.db2.gz NEJUNUDFQNDEMO-MRXNPFEDSA-N 1 2 317.437 1.842 20 30 DDEDLO CC[C@H]1CCC[C@]1(C)C(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001268241403 839880028 /nfs/dbraw/zinc/88/00/28/839880028.db2.gz ZWCWTEBOTFLVMK-YOEHRIQHSA-N 1 2 322.453 1.233 20 30 DDEDLO CC[C@H]1CCC[C@]1(C)C(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001268241403 839880033 /nfs/dbraw/zinc/88/00/33/839880033.db2.gz ZWCWTEBOTFLVMK-YOEHRIQHSA-N 1 2 322.453 1.233 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[N@H+](C)Cc2ccn(C)n2)nc1 ZINC001268255036 839902269 /nfs/dbraw/zinc/90/22/69/839902269.db2.gz LRTPVLVRRRTMGW-UHFFFAOYSA-N 1 2 311.389 1.000 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[N@@H+](C)Cc2ccn(C)n2)nc1 ZINC001268255036 839902277 /nfs/dbraw/zinc/90/22/77/839902277.db2.gz LRTPVLVRRRTMGW-UHFFFAOYSA-N 1 2 311.389 1.000 20 30 DDEDLO C#CC(=O)N1CCC2(C[C@H]2C(=O)Nc2cccc3[nH+]ccn32)CC1 ZINC001268851064 840899523 /nfs/dbraw/zinc/89/95/23/840899523.db2.gz HQXPXHMHWVLGQO-ZDUSSCGKSA-N 1 2 322.368 1.535 20 30 DDEDLO CCC#CC(=O)N1CCCC2(C[NH+](Cc3cc(C)nn3C)C2)C1 ZINC001268953150 841035828 /nfs/dbraw/zinc/03/58/28/841035828.db2.gz FXYWCXRWGVINAH-UHFFFAOYSA-N 1 2 314.433 1.566 20 30 DDEDLO C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](CC)C(N)=O ZINC001268960481 841056635 /nfs/dbraw/zinc/05/66/35/841056635.db2.gz RQWMJAFLYSTGBV-KKUMJFAQSA-N 1 2 305.422 1.119 20 30 DDEDLO C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](CC)C(N)=O ZINC001268960481 841056652 /nfs/dbraw/zinc/05/66/52/841056652.db2.gz RQWMJAFLYSTGBV-KKUMJFAQSA-N 1 2 305.422 1.119 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCC23CC3)C1 ZINC001269138543 841255906 /nfs/dbraw/zinc/25/59/06/841255906.db2.gz QMRVAWCHKPIVLX-KGLIPLIRSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCC23CC3)C1 ZINC001269138543 841255918 /nfs/dbraw/zinc/25/59/18/841255918.db2.gz QMRVAWCHKPIVLX-KGLIPLIRSA-N 1 2 305.422 1.059 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@H+]1[C@@H](C)C(=O)N(C)C ZINC001269271776 841451181 /nfs/dbraw/zinc/45/11/81/841451181.db2.gz CYBQRPMGBYVXDC-LSDHHAIUSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)C(=O)N(C)C ZINC001269271776 841451184 /nfs/dbraw/zinc/45/11/84/841451184.db2.gz CYBQRPMGBYVXDC-LSDHHAIUSA-N 1 2 307.438 1.237 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](N(CC)C(=O)c2ccc(C)o2)C1 ZINC001269307553 841501306 /nfs/dbraw/zinc/50/13/06/841501306.db2.gz UAJXUQGRMHHFHZ-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc(C)o2)C1 ZINC001269307553 841501313 /nfs/dbraw/zinc/50/13/13/841501313.db2.gz UAJXUQGRMHHFHZ-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)Cc1noc2ccc(F)cc12 ZINC001269321599 841519032 /nfs/dbraw/zinc/51/90/32/841519032.db2.gz ZDRJZSDWRLGNGI-LBPRGKRZSA-N 1 2 301.321 1.333 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)Cc1noc2ccc(F)cc12 ZINC001269321599 841519035 /nfs/dbraw/zinc/51/90/35/841519035.db2.gz ZDRJZSDWRLGNGI-LBPRGKRZSA-N 1 2 301.321 1.333 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)C(C)(CC)CC)C1=O ZINC001269344509 841546540 /nfs/dbraw/zinc/54/65/40/841546540.db2.gz UXBTZDRIRVUOID-HUUCEWRRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)C(C)(CC)CC)C1=O ZINC001269344509 841546550 /nfs/dbraw/zinc/54/65/50/841546550.db2.gz UXBTZDRIRVUOID-HUUCEWRRSA-N 1 2 321.465 1.790 20 30 DDEDLO CCc1nnc([C@@H](C)[NH2+]C2(CNC(=O)CSCC#N)CC2)o1 ZINC001269380061 841582305 /nfs/dbraw/zinc/58/23/05/841582305.db2.gz VIHHQGGYIZLVMM-SNVBAGLBSA-N 1 2 323.422 1.188 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1([NH2+]Cc2nnnn2C2CC2)CC1 ZINC001269419299 841623844 /nfs/dbraw/zinc/62/38/44/841623844.db2.gz BRRFMVMLXYKESI-UHFFFAOYSA-N 1 2 318.425 1.349 20 30 DDEDLO C#CCN1CC[C@@]2(CCN(C(=O)c3cccc4[nH+]ccn43)C2)C1=O ZINC001269485122 841682849 /nfs/dbraw/zinc/68/28/49/841682849.db2.gz JZJCNJSKLXHPGG-SFHVURJKSA-N 1 2 322.368 1.032 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H]1C[NH2+][C@@H](C)c1noc(C)n1 ZINC001270206145 842383855 /nfs/dbraw/zinc/38/38/55/842383855.db2.gz UHJRNLWXABBWHY-GXTWGEPZSA-N 1 2 320.393 1.060 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+](Cc2cc3n(n2)CCC3)C1 ZINC001270557765 842663751 /nfs/dbraw/zinc/66/37/51/842663751.db2.gz NGFVMBFYFINVTG-QGZVFWFLSA-N 1 2 318.421 1.113 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+](Cc2cc3n(n2)CCC3)C1 ZINC001270557765 842663757 /nfs/dbraw/zinc/66/37/57/842663757.db2.gz NGFVMBFYFINVTG-QGZVFWFLSA-N 1 2 318.421 1.113 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)COc2cccc(CC)c2)C1 ZINC001270700493 842830936 /nfs/dbraw/zinc/83/09/36/842830936.db2.gz IADVJNVOCJPOCI-QGZVFWFLSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)COc2cccc(CC)c2)C1 ZINC001270700493 842830941 /nfs/dbraw/zinc/83/09/41/842830941.db2.gz IADVJNVOCJPOCI-QGZVFWFLSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001280306079 842949677 /nfs/dbraw/zinc/94/96/77/842949677.db2.gz PTBIQJQFVRFEJI-KGLIPLIRSA-N 1 2 320.437 1.885 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@]1(O)CC[N@@H+](Cc2nonc2C)C1 ZINC001271191938 843400422 /nfs/dbraw/zinc/40/04/22/843400422.db2.gz IPJKUZQBSILCGD-MRXNPFEDSA-N 1 2 322.409 1.033 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@]1(O)CC[N@H+](Cc2nonc2C)C1 ZINC001271191938 843400426 /nfs/dbraw/zinc/40/04/26/843400426.db2.gz IPJKUZQBSILCGD-MRXNPFEDSA-N 1 2 322.409 1.033 20 30 DDEDLO CC(C)C[C@@H](CNCC#N)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001271278687 843464744 /nfs/dbraw/zinc/46/47/44/843464744.db2.gz OXZJGNRFKNHTBV-KGLIPLIRSA-N 1 2 303.410 1.090 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CCCOCC)C1 ZINC001149247065 861499311 /nfs/dbraw/zinc/49/93/11/861499311.db2.gz HBMRGKGFWYFGMI-CQSZACIVSA-N 1 2 318.845 1.763 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CCCOCC)C1 ZINC001149247065 861499322 /nfs/dbraw/zinc/49/93/22/861499322.db2.gz HBMRGKGFWYFGMI-CQSZACIVSA-N 1 2 318.845 1.763 20 30 DDEDLO C=CCOCC[NH2+]C1(CNC(=O)C(F)C(F)(F)F)CCC1 ZINC001326830114 861640198 /nfs/dbraw/zinc/64/01/98/861640198.db2.gz DHFGDVJKTRNLOC-SNVBAGLBSA-N 1 2 312.307 1.718 20 30 DDEDLO C=CCOCC[NH2+]C1(CNC(=O)[C@@H](F)C(F)(F)F)CCC1 ZINC001326830114 861640207 /nfs/dbraw/zinc/64/02/07/861640207.db2.gz DHFGDVJKTRNLOC-SNVBAGLBSA-N 1 2 312.307 1.718 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1cc[nH]n1 ZINC001272539681 846290093 /nfs/dbraw/zinc/29/00/93/846290093.db2.gz ZDFXXZMHCUBZHB-MRXNPFEDSA-N 1 2 301.394 1.670 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1cc[nH]n1 ZINC001272539681 846290103 /nfs/dbraw/zinc/29/01/03/846290103.db2.gz ZDFXXZMHCUBZHB-MRXNPFEDSA-N 1 2 301.394 1.670 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2Cc2cnc(OC)nc2)C1=O ZINC001272740461 846758347 /nfs/dbraw/zinc/75/83/47/846758347.db2.gz VYTRBLSEBZREQY-INIZCTEOSA-N 1 2 302.378 1.238 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2Cc2cnc(OC)nc2)C1=O ZINC001272740461 846758353 /nfs/dbraw/zinc/75/83/53/846758353.db2.gz VYTRBLSEBZREQY-INIZCTEOSA-N 1 2 302.378 1.238 20 30 DDEDLO C[C@H](CNc1ncc(C#N)cc1Cl)NC(=O)Cn1cc[nH+]c1 ZINC001107812575 847176987 /nfs/dbraw/zinc/17/69/87/847176987.db2.gz XMRDLJXBYCEBAX-SNVBAGLBSA-N 1 2 318.768 1.420 20 30 DDEDLO C=C(C)CN1C(=O)C[C@@]2(CCC[N@H+](Cc3csnn3)C2)C1=O ZINC001272780296 847417590 /nfs/dbraw/zinc/41/75/90/847417590.db2.gz DVXXLUWWXXXVKK-OAHLLOKOSA-N 1 2 320.418 1.455 20 30 DDEDLO C=C(C)CN1C(=O)C[C@@]2(CCC[N@@H+](Cc3csnn3)C2)C1=O ZINC001272780296 847417594 /nfs/dbraw/zinc/41/75/94/847417594.db2.gz DVXXLUWWXXXVKK-OAHLLOKOSA-N 1 2 320.418 1.455 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1CCC ZINC001272869221 847568211 /nfs/dbraw/zinc/56/82/11/847568211.db2.gz MOFZDAINCXDGRH-CQSZACIVSA-N 1 2 320.437 1.759 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1CCC ZINC001272869221 847568214 /nfs/dbraw/zinc/56/82/14/847568214.db2.gz MOFZDAINCXDGRH-CQSZACIVSA-N 1 2 320.437 1.759 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccn(C(C)C)n1 ZINC001272892194 847598939 /nfs/dbraw/zinc/59/89/39/847598939.db2.gz ARTRZGXDKYGLRF-OAHLLOKOSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccn(C(C)C)n1 ZINC001272892194 847598950 /nfs/dbraw/zinc/59/89/50/847598950.db2.gz ARTRZGXDKYGLRF-OAHLLOKOSA-N 1 2 320.437 1.861 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc(C(C)C)cc1)C2 ZINC001272969308 847722034 /nfs/dbraw/zinc/72/20/34/847722034.db2.gz POAQGYSYPYNHOZ-UHFFFAOYSA-N 1 2 312.413 1.856 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC001034173056 848071007 /nfs/dbraw/zinc/07/10/07/848071007.db2.gz FWBQMFUHAINTAQ-LLVKDONJSA-N 1 2 311.817 1.339 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC001034173056 848071014 /nfs/dbraw/zinc/07/10/14/848071014.db2.gz FWBQMFUHAINTAQ-LLVKDONJSA-N 1 2 311.817 1.339 20 30 DDEDLO C#CCCCCCC(=O)NC[C@]1(C)C[N@H+](CC#CC)CCO1 ZINC001108122087 848148243 /nfs/dbraw/zinc/14/82/43/848148243.db2.gz MUWXTUOSKNVZDL-GOSISDBHSA-N 1 2 304.434 1.801 20 30 DDEDLO C#CCCCCCC(=O)NC[C@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001108122087 848148252 /nfs/dbraw/zinc/14/82/52/848148252.db2.gz MUWXTUOSKNVZDL-GOSISDBHSA-N 1 2 304.434 1.801 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2csnc2C)[C@@H](O)C1 ZINC001090203847 848234598 /nfs/dbraw/zinc/23/45/98/848234598.db2.gz KIAMKGQDBRHNMP-RYUDHWBXSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2csnc2C)[C@@H](O)C1 ZINC001090203847 848234604 /nfs/dbraw/zinc/23/46/04/848234604.db2.gz KIAMKGQDBRHNMP-RYUDHWBXSA-N 1 2 315.826 1.369 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001034295052 848275192 /nfs/dbraw/zinc/27/51/92/848275192.db2.gz YWQQMDHAXJLDQV-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001034295052 848275195 /nfs/dbraw/zinc/27/51/95/848275195.db2.gz YWQQMDHAXJLDQV-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1cn2ccncc2n1 ZINC001273209081 848780406 /nfs/dbraw/zinc/78/04/06/848780406.db2.gz ZTYYKULJZRPCJL-SFHVURJKSA-N 1 2 323.400 1.320 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1cn2ccncc2n1 ZINC001273209081 848780410 /nfs/dbraw/zinc/78/04/10/848780410.db2.gz ZTYYKULJZRPCJL-SFHVURJKSA-N 1 2 323.400 1.320 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3cccc4ncccc34)C2)OCC1=O ZINC001273221129 848802868 /nfs/dbraw/zinc/80/28/68/848802868.db2.gz FIPJJNIHVFYRCI-UHFFFAOYSA-N 1 2 321.380 1.281 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CC13CC3)O2 ZINC001327365065 862104904 /nfs/dbraw/zinc/10/49/04/862104904.db2.gz QJMCIEZTKLMSNK-GJZGRUSLSA-N 1 2 320.433 1.339 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)CCOCC(C)C)O2 ZINC001273646037 851178751 /nfs/dbraw/zinc/17/87/51/851178751.db2.gz MBCNSJZJWPDICZ-MRXNPFEDSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)CO[C@H](C)CC)O2 ZINC001273653914 851187173 /nfs/dbraw/zinc/18/71/73/851187173.db2.gz CZWMGRCRMIJSDL-CABCVRRESA-N 1 2 310.438 1.727 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@H]1CCC)CO2 ZINC001273714227 851263850 /nfs/dbraw/zinc/26/38/50/851263850.db2.gz XAVUCQPGBRYKBP-OWCLPIDISA-N 1 2 322.449 1.585 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)Cc1ccco1)CO2 ZINC001273722161 851273667 /nfs/dbraw/zinc/27/36/67/851273667.db2.gz AUIOXMSWVAHPAY-LSDHHAIUSA-N 1 2 318.417 1.994 20 30 DDEDLO C[C@@H](C#N)C(=O)NC/C=C/C[NH2+]Cc1nnc(C(F)F)s1 ZINC001273911304 851496842 /nfs/dbraw/zinc/49/68/42/851496842.db2.gz SXXQMZZXSVBFAH-SGJFDWMWSA-N 1 2 315.349 1.397 20 30 DDEDLO Cc1cc(CN2C(=O)CC[C@@H]3[C@H]2CC[N@@H+]3CCC#N)n(C)n1 ZINC001274032116 851873428 /nfs/dbraw/zinc/87/34/28/851873428.db2.gz HZKPYODOULIVPL-HUUCEWRRSA-N 1 2 301.394 1.208 20 30 DDEDLO Cc1cc(CN2C(=O)CC[C@@H]3[C@H]2CC[N@H+]3CCC#N)n(C)n1 ZINC001274032116 851873434 /nfs/dbraw/zinc/87/34/34/851873434.db2.gz HZKPYODOULIVPL-HUUCEWRRSA-N 1 2 301.394 1.208 20 30 DDEDLO Cn1cc(C[NH+]2CC3(C2)COCC(=O)N3C)c2cc(C#N)ccc21 ZINC001274282906 852121790 /nfs/dbraw/zinc/12/17/90/852121790.db2.gz BIMWCOWUWZSJSC-UHFFFAOYSA-N 1 2 324.384 1.093 20 30 DDEDLO CC#CCCCC(=O)NC/C=C/C[NH2+]Cc1ncc(C)cn1 ZINC001274284955 852124262 /nfs/dbraw/zinc/12/42/62/852124262.db2.gz AHEJDSAIRGKUDM-BQYQJAHWSA-N 1 2 300.406 1.741 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[C@H]1C ZINC001274625718 852457873 /nfs/dbraw/zinc/45/78/73/852457873.db2.gz WBGNGRATZCONFX-TZMCWYRMSA-N 1 2 318.421 1.897 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[C@H]1C ZINC001274625718 852457877 /nfs/dbraw/zinc/45/78/77/852457877.db2.gz WBGNGRATZCONFX-TZMCWYRMSA-N 1 2 318.421 1.897 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cn(CCC)cn2)C1=O ZINC001274730003 852557973 /nfs/dbraw/zinc/55/79/73/852557973.db2.gz UISYPKWHGMNGDY-QGZVFWFLSA-N 1 2 300.406 1.493 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cn(CCC)cn2)C1=O ZINC001274730003 852557981 /nfs/dbraw/zinc/55/79/81/852557981.db2.gz UISYPKWHGMNGDY-QGZVFWFLSA-N 1 2 300.406 1.493 20 30 DDEDLO CN1C[C@@]2(CC[N@H+](Cc3cc(F)ccc3C#N)C2)OCC1=O ZINC001274778025 852594605 /nfs/dbraw/zinc/59/46/05/852594605.db2.gz POAQFDCYQVJILJ-MRXNPFEDSA-N 1 2 303.337 1.130 20 30 DDEDLO CN1C[C@@]2(CC[N@@H+](Cc3cc(F)ccc3C#N)C2)OCC1=O ZINC001274778025 852594610 /nfs/dbraw/zinc/59/46/10/852594610.db2.gz POAQFDCYQVJILJ-MRXNPFEDSA-N 1 2 303.337 1.130 20 30 DDEDLO N#Cc1cncc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)c1 ZINC001274777283 852594916 /nfs/dbraw/zinc/59/49/16/852594916.db2.gz IWNLVQJFSSZAHO-UHFFFAOYSA-N 1 2 307.357 1.239 20 30 DDEDLO C#CCN(C(C)=O)C1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC001299443843 852855575 /nfs/dbraw/zinc/85/55/75/852855575.db2.gz CDKWYZBGYRTGPI-AWEZNQCLSA-N 1 2 316.405 1.307 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)C[NH2+]Cc1nc(N(C)C)no1 ZINC001275319463 852975741 /nfs/dbraw/zinc/97/57/41/852975741.db2.gz GYLVNPBHDNIUBA-NSHDSACASA-N 1 2 309.414 1.332 20 30 DDEDLO CN(CC(C)(C)CNCC#N)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001275685885 853569895 /nfs/dbraw/zinc/56/98/95/853569895.db2.gz YHLGQAWKVJJZFH-CYBMUJFWSA-N 1 2 303.410 1.043 20 30 DDEDLO N#Cc1ccc(F)cc1C(=O)N1CC2(C1)C[NH+](Cc1ccc[nH]1)C2 ZINC001275752929 853690753 /nfs/dbraw/zinc/69/07/53/853690753.db2.gz JKHQRWFAMQRDCC-UHFFFAOYSA-N 1 2 324.359 1.983 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@@H](C)CNC(=O)C#CC(C)(C)C)n1 ZINC001275828338 853828531 /nfs/dbraw/zinc/82/85/31/853828531.db2.gz XMQUKSXUJQTJPP-AWEZNQCLSA-N 1 2 304.438 1.889 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@@H](C)CNC(=O)C#CC(C)(C)C)n1 ZINC001275828338 853828535 /nfs/dbraw/zinc/82/85/35/853828535.db2.gz XMQUKSXUJQTJPP-AWEZNQCLSA-N 1 2 304.438 1.889 20 30 DDEDLO C=CCOCC[NH+]1CC(CCO)(NC(=O)[C@]23C[C@H]2CCCC3)C1 ZINC001276082425 854722286 /nfs/dbraw/zinc/72/22/86/854722286.db2.gz HXRFZQPLVNOREE-CRAIPNDOSA-N 1 2 322.449 1.322 20 30 DDEDLO Cc1[nH]c([C@H]2CCCN2C(=O)[C@H](C)n2cnc(C#N)n2)[nH+]c1C ZINC001412603704 854796379 /nfs/dbraw/zinc/79/63/79/854796379.db2.gz IGBRZAVGMRXRBX-NWDGAFQWSA-N 1 2 313.365 1.414 20 30 DDEDLO Cc1ncoc1CN1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001276216096 855000727 /nfs/dbraw/zinc/00/07/27/855000727.db2.gz LYSYEJAIRUNBPM-UHFFFAOYSA-N 1 2 311.389 1.511 20 30 DDEDLO Cc1ncoc1C[NH+]1CC2(CN(Cc3cc(C#N)n(C)c3)C2)C1 ZINC001276216096 855000729 /nfs/dbraw/zinc/00/07/29/855000729.db2.gz LYSYEJAIRUNBPM-UHFFFAOYSA-N 1 2 311.389 1.511 20 30 DDEDLO CN(CCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)c1ccc(C#N)cn1 ZINC001095771822 855336107 /nfs/dbraw/zinc/33/61/07/855336107.db2.gz JYFXJAULAVSOJK-ZIAGYGMSSA-N 1 2 324.388 1.423 20 30 DDEDLO CN(CCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)c1ccc(C#N)cn1 ZINC001095771822 855336110 /nfs/dbraw/zinc/33/61/10/855336110.db2.gz JYFXJAULAVSOJK-ZIAGYGMSSA-N 1 2 324.388 1.423 20 30 DDEDLO Cc1nnc([C@H]2CC[N@H+](CC(=O)NCc3cccc(C#N)c3)C2)[nH]1 ZINC001413014284 855933680 /nfs/dbraw/zinc/93/36/80/855933680.db2.gz SVKJZBVHXCRCJR-HNNXBMFYSA-N 1 2 324.388 1.091 20 30 DDEDLO Cc1nnc([C@H]2CC[N@@H+](CC(=O)NCc3cccc(C#N)c3)C2)[nH]1 ZINC001413014284 855933682 /nfs/dbraw/zinc/93/36/82/855933682.db2.gz SVKJZBVHXCRCJR-HNNXBMFYSA-N 1 2 324.388 1.091 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cccnc1N ZINC001413183854 856525037 /nfs/dbraw/zinc/52/50/37/856525037.db2.gz SSGLVWBXTVYVEL-LBPRGKRZSA-N 1 2 309.377 1.036 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cccnc1N ZINC001413183854 856525040 /nfs/dbraw/zinc/52/50/40/856525040.db2.gz SSGLVWBXTVYVEL-LBPRGKRZSA-N 1 2 309.377 1.036 20 30 DDEDLO N#CC[C@@]1(O)CCN(C(=O)c2cc(-n3cc[nH+]c3)ccc2O)C1 ZINC001413187021 856529637 /nfs/dbraw/zinc/52/96/37/856529637.db2.gz VLWRYSZDWNVJMM-MRXNPFEDSA-N 1 2 312.329 1.069 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2[nH]c3c([nH+]2)CCCC3)cc1 ZINC001413464237 856846056 /nfs/dbraw/zinc/84/60/56/856846056.db2.gz UBWYGVBPSWPUAK-UHFFFAOYSA-N 1 2 316.386 1.639 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nncn2C)[C@@H](C)C1 ZINC001328266495 862842805 /nfs/dbraw/zinc/84/28/05/862842805.db2.gz JNRRATDYEDQBCE-UONOGXRCSA-N 1 2 305.426 1.641 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nncn2C)[C@@H](C)C1 ZINC001328266495 862842813 /nfs/dbraw/zinc/84/28/13/862842813.db2.gz JNRRATDYEDQBCE-UONOGXRCSA-N 1 2 305.426 1.641 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC001328294548 862874391 /nfs/dbraw/zinc/87/43/91/862874391.db2.gz YNWMDSDLICVGDB-MELADBBJSA-N 1 2 302.378 1.097 20 30 DDEDLO CCOC(=O)c1cc(N2CC[NH2+]C[C@@H]2C#N)nc2ccccc21 ZINC001156320233 862932419 /nfs/dbraw/zinc/93/24/19/862932419.db2.gz UZJCYBBZIDKAGI-LBPRGKRZSA-N 1 2 310.357 1.713 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@H+](Cc2ncccn2)C3)C1 ZINC001072964208 857943072 /nfs/dbraw/zinc/94/30/72/857943072.db2.gz NREWUVIGQIHJCL-UHFFFAOYSA-N 1 2 312.417 1.867 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@@H+](Cc2ncccn2)C3)C1 ZINC001072964208 857943078 /nfs/dbraw/zinc/94/30/78/857943078.db2.gz NREWUVIGQIHJCL-UHFFFAOYSA-N 1 2 312.417 1.867 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)Cc1cc[nH]n1 ZINC001328465404 862990333 /nfs/dbraw/zinc/99/03/33/862990333.db2.gz VUBJCRIGKHQOJA-QGZVFWFLSA-N 1 2 308.385 1.301 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)Cc1cc[nH]n1 ZINC001328465404 862990344 /nfs/dbraw/zinc/99/03/44/862990344.db2.gz VUBJCRIGKHQOJA-QGZVFWFLSA-N 1 2 308.385 1.301 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H](OC)C1CC1 ZINC001328469071 862992644 /nfs/dbraw/zinc/99/26/44/862992644.db2.gz ONWDEUMZFYONIW-ZWKOTPCHSA-N 1 2 312.413 1.760 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H](OC)C1CC1 ZINC001328469071 862992654 /nfs/dbraw/zinc/99/26/54/862992654.db2.gz ONWDEUMZFYONIW-ZWKOTPCHSA-N 1 2 312.413 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccncc2)C1 ZINC001073514860 858402622 /nfs/dbraw/zinc/40/26/22/858402622.db2.gz GDTBYMGUKZJZEI-AWEZNQCLSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccncc2)C1 ZINC001073514860 858402623 /nfs/dbraw/zinc/40/26/23/858402623.db2.gz GDTBYMGUKZJZEI-AWEZNQCLSA-N 1 2 309.797 1.655 20 30 DDEDLO C=CCN(C)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001122396201 858791152 /nfs/dbraw/zinc/79/11/52/858791152.db2.gz YAXYRSWZCWIFHF-CJNGLKHVSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCN(C)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC(=C)C ZINC001122396201 858791158 /nfs/dbraw/zinc/79/11/58/858791158.db2.gz YAXYRSWZCWIFHF-CJNGLKHVSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCOCC[NH+]1CCC(CNC(=O)c2[nH]ncc2F)CC1 ZINC001224403044 881370228 /nfs/dbraw/zinc/37/02/28/881370228.db2.gz UGXVIKMBSGETMX-UHFFFAOYSA-N 1 2 310.373 1.193 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C[NH+]2CCCC2)n1C[C@H]1CCCO1 ZINC001122773400 858966214 /nfs/dbraw/zinc/96/62/14/858966214.db2.gz LSZSRBSHXQQVFJ-HUUCEWRRSA-N 1 2 317.437 1.511 20 30 DDEDLO C=CCc1cccc(C[NH+]2CCN(S(=O)(=O)CC)CC2)c1O ZINC001138630134 860148168 /nfs/dbraw/zinc/14/81/68/860148168.db2.gz QZLVABXUCMEZCV-UHFFFAOYSA-N 1 2 324.446 1.588 20 30 DDEDLO C=CCn1cc(C[N@@H+]2CCOC3(CCN(C(C)=O)CC3)C2)cn1 ZINC001139769358 860476092 /nfs/dbraw/zinc/47/60/92/860476092.db2.gz ALCXKWJQSVKENM-UHFFFAOYSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCn1cc(C[N@H+]2CCOC3(CCN(C(C)=O)CC3)C2)cn1 ZINC001139769358 860476097 /nfs/dbraw/zinc/47/60/97/860476097.db2.gz ALCXKWJQSVKENM-UHFFFAOYSA-N 1 2 318.421 1.282 20 30 DDEDLO CCOC[C@@H]1C[N@H+](Cc2ccc(C#N)nc2)Cc2c1cnn2C ZINC001140542008 860653066 /nfs/dbraw/zinc/65/30/66/860653066.db2.gz XZGBPCCRSLHISQ-AWEZNQCLSA-N 1 2 311.389 1.823 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](Cc2ccc(C#N)nc2)Cc2c1cnn2C ZINC001140542008 860653069 /nfs/dbraw/zinc/65/30/69/860653069.db2.gz XZGBPCCRSLHISQ-AWEZNQCLSA-N 1 2 311.389 1.823 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)nc2)C1 ZINC001140547378 860654128 /nfs/dbraw/zinc/65/41/28/860654128.db2.gz QJNNWCANAOLTEX-HNNXBMFYSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)nc2)C1 ZINC001140547378 860654132 /nfs/dbraw/zinc/65/41/32/860654132.db2.gz QJNNWCANAOLTEX-HNNXBMFYSA-N 1 2 300.362 1.830 20 30 DDEDLO COC(=O)C1(C#N)CCN(C(=O)c2cccc3[nH+]ccn32)CC1 ZINC001154521749 861171154 /nfs/dbraw/zinc/17/11/54/861171154.db2.gz KROSJHJYMUDQMO-UHFFFAOYSA-N 1 2 312.329 1.253 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1nccs1 ZINC001328720740 863175513 /nfs/dbraw/zinc/17/55/13/863175513.db2.gz PFLHBWKWLDYLJI-ZIAGYGMSSA-N 1 2 321.446 1.604 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1nccs1 ZINC001328720740 863175516 /nfs/dbraw/zinc/17/55/16/863175516.db2.gz PFLHBWKWLDYLJI-ZIAGYGMSSA-N 1 2 321.446 1.604 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1C ZINC001152228725 863230044 /nfs/dbraw/zinc/23/00/44/863230044.db2.gz YLNLZWFVMAPTER-CABCVRRESA-N 1 2 322.453 1.728 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1C ZINC001152228725 863230054 /nfs/dbraw/zinc/23/00/54/863230054.db2.gz YLNLZWFVMAPTER-CABCVRRESA-N 1 2 322.453 1.728 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)C1 ZINC001328848005 863274400 /nfs/dbraw/zinc/27/44/00/863274400.db2.gz KCCCPLZNYMBNKT-UONOGXRCSA-N 1 2 316.405 1.540 20 30 DDEDLO CC(C)c1nc(C[NH2+]CCCNC(=O)c2ccc(C#N)[nH]2)no1 ZINC001156703443 863275407 /nfs/dbraw/zinc/27/54/07/863275407.db2.gz PIXOUDNMQKIAAM-UHFFFAOYSA-N 1 2 316.365 1.302 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)C[N@@H+]1CCc2sccc2C1 ZINC001157068938 863550380 /nfs/dbraw/zinc/55/03/80/863550380.db2.gz JOOZGFFDRYWNOQ-CYBMUJFWSA-N 1 2 320.462 1.456 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)C[N@H+]1CCc2sccc2C1 ZINC001157068938 863550386 /nfs/dbraw/zinc/55/03/86/863550386.db2.gz JOOZGFFDRYWNOQ-CYBMUJFWSA-N 1 2 320.462 1.456 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(CC)nn1 ZINC001152842236 863566351 /nfs/dbraw/zinc/56/63/51/863566351.db2.gz SLFXRYWJEGCLOK-OAHLLOKOSA-N 1 2 319.453 1.818 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(CC)nn1 ZINC001152842236 863566361 /nfs/dbraw/zinc/56/63/61/863566361.db2.gz SLFXRYWJEGCLOK-OAHLLOKOSA-N 1 2 319.453 1.818 20 30 DDEDLO C=CCn1cc(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)NC(C)(C)C)cn1 ZINC001277019034 881678065 /nfs/dbraw/zinc/67/80/65/881678065.db2.gz TXDOKWNBGXKWJH-PBHICJAKSA-N 1 2 302.422 1.948 20 30 DDEDLO C=CCn1cc(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)NC(C)(C)C)cn1 ZINC001277019034 881678073 /nfs/dbraw/zinc/67/80/73/881678073.db2.gz TXDOKWNBGXKWJH-PBHICJAKSA-N 1 2 302.422 1.948 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC[NH2+]Cc1nnsc1Cl ZINC001157189160 863641790 /nfs/dbraw/zinc/64/17/90/863641790.db2.gz GRFMWGOTNVXIPK-GFCCVEGCSA-N 1 2 318.830 1.115 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+][C@H](C)c1nnc(CC(C)C)o1 ZINC001153091541 863705605 /nfs/dbraw/zinc/70/56/05/863705605.db2.gz SCYGFXWWCNGHNA-CYBMUJFWSA-N 1 2 324.425 1.628 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+][C@@H](C)c1nnc(CC(C)C)o1 ZINC001153149618 863734746 /nfs/dbraw/zinc/73/47/46/863734746.db2.gz ZZIYEDJOLVVLOB-QWHCGFSZSA-N 1 2 324.425 1.626 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)Cc2ccccc2CC)C1 ZINC001329668143 863780597 /nfs/dbraw/zinc/78/05/97/863780597.db2.gz FBCVKGNMZCNVEI-UHFFFAOYSA-N 1 2 316.445 1.921 20 30 DDEDLO CCn1cc(C[N@H+](CC)[C@H](C)CNC(=O)C#CC2CC2)nn1 ZINC001153272567 863798620 /nfs/dbraw/zinc/79/86/20/863798620.db2.gz FQXOTCIDVCTVAU-CYBMUJFWSA-N 1 2 303.410 1.038 20 30 DDEDLO CCn1cc(C[N@@H+](CC)[C@H](C)CNC(=O)C#CC2CC2)nn1 ZINC001153272567 863798627 /nfs/dbraw/zinc/79/86/27/863798627.db2.gz FQXOTCIDVCTVAU-CYBMUJFWSA-N 1 2 303.410 1.038 20 30 DDEDLO CCN(c1nc(SC)nc(Cl)c1/C=N/O)C1C[NH+](C)C1 ZINC001157455554 863831427 /nfs/dbraw/zinc/83/14/27/863831427.db2.gz PGPHDQQSVORRMQ-LHHJGKSTSA-N 1 2 315.830 1.800 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)N2CC[C@H](c3[nH+]ccn3C)C2)C1 ZINC001329874874 863912480 /nfs/dbraw/zinc/91/24/80/863912480.db2.gz ZTDYKMROEGGMJZ-KBPBESRZSA-N 1 2 316.405 1.161 20 30 DDEDLO N#Cc1cc2c(nc1N[C@@H]1CCC[N@@H+](C3COC3)C1)CCOC2 ZINC001157587468 863959058 /nfs/dbraw/zinc/95/90/58/863959058.db2.gz MUAPYEFBLGWAJM-CQSZACIVSA-N 1 2 314.389 1.301 20 30 DDEDLO N#Cc1cc2c(nc1N[C@@H]1CCC[N@H+](C3COC3)C1)CCOC2 ZINC001157587468 863959072 /nfs/dbraw/zinc/95/90/72/863959072.db2.gz MUAPYEFBLGWAJM-CQSZACIVSA-N 1 2 314.389 1.301 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@H]([C@H](C)[NH2+]Cc2csnn2)C1 ZINC001330399581 864308291 /nfs/dbraw/zinc/30/82/91/864308291.db2.gz ALXBFTASNKDIHQ-JSGCOSHPSA-N 1 2 324.450 1.600 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCC[C@@H](c2n[nH]cc2NC(C)=O)C1 ZINC001330672593 864525404 /nfs/dbraw/zinc/52/54/04/864525404.db2.gz NVYFCSMRSBEQEY-OCCSQVGLSA-N 1 2 320.393 1.665 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCC[C@@H](c2n[nH]cc2NC(C)=O)C1 ZINC001330672593 864525413 /nfs/dbraw/zinc/52/54/13/864525413.db2.gz NVYFCSMRSBEQEY-OCCSQVGLSA-N 1 2 320.393 1.665 20 30 DDEDLO CC(C)c1nc(C[NH2+][C@@H]2CCCC[C@H]2NC(=O)[C@H](C)C#N)no1 ZINC001225395046 881809754 /nfs/dbraw/zinc/80/97/54/881809754.db2.gz ILRLLBPOHDZGOO-JHJVBQTASA-N 1 2 319.409 1.870 20 30 DDEDLO Cc1nc(C[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)co1 ZINC001159586923 865413999 /nfs/dbraw/zinc/41/39/99/865413999.db2.gz FECQIKSYYDYEHF-LBPRGKRZSA-N 1 2 302.378 1.781 20 30 DDEDLO Cc1nc(C[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)co1 ZINC001159586923 865414001 /nfs/dbraw/zinc/41/40/01/865414001.db2.gz FECQIKSYYDYEHF-LBPRGKRZSA-N 1 2 302.378 1.781 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCCNc1ncnc2c1ccn2C ZINC001159921358 865595188 /nfs/dbraw/zinc/59/51/88/865595188.db2.gz QLNJASNTUGEOAE-ZDUSSCGKSA-N 1 2 317.393 1.607 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC1=CC[N@H+](Cc2cnon2)CC1 ZINC001159979025 865627424 /nfs/dbraw/zinc/62/74/24/865627424.db2.gz GINYWSFUYXWKCQ-MRXNPFEDSA-N 1 2 320.393 1.035 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC1=CC[N@@H+](Cc2cnon2)CC1 ZINC001159979025 865627432 /nfs/dbraw/zinc/62/74/32/865627432.db2.gz GINYWSFUYXWKCQ-MRXNPFEDSA-N 1 2 320.393 1.035 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H](O)C[NH2+]Cc1cnsn1 ZINC001332566815 865939388 /nfs/dbraw/zinc/93/93/88/865939388.db2.gz QRZMZDLQGKRMCC-GXTWGEPZSA-N 1 2 312.439 1.049 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](O)C[N@H+](C)Cc1coc(C)n1 ZINC001332648572 866023150 /nfs/dbraw/zinc/02/31/50/866023150.db2.gz QPPXQIYPCSJWAO-OAHLLOKOSA-N 1 2 323.437 1.836 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1coc(C)n1 ZINC001332648572 866023167 /nfs/dbraw/zinc/02/31/67/866023167.db2.gz QPPXQIYPCSJWAO-OAHLLOKOSA-N 1 2 323.437 1.836 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1ncc(Cl)cc1C#N ZINC001160696959 866041328 /nfs/dbraw/zinc/04/13/28/866041328.db2.gz RYMAROAKTZYIGS-LBPRGKRZSA-N 1 2 319.752 1.536 20 30 DDEDLO C#CCCN1CCC[C@H](Nc2[nH+]ccc(C)c2NC(C)=O)C1=O ZINC001160900066 866175481 /nfs/dbraw/zinc/17/54/81/866175481.db2.gz UBTHPQMVFXBLJZ-AWEZNQCLSA-N 1 2 314.389 1.775 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1ccc(C)c(C)c1 ZINC001320079255 866458316 /nfs/dbraw/zinc/45/83/16/866458316.db2.gz QXFDFBSHQPCOCQ-UHFFFAOYSA-N 1 2 315.417 1.057 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1ccc(C)c(C)c1 ZINC001320079255 866458317 /nfs/dbraw/zinc/45/83/17/866458317.db2.gz QXFDFBSHQPCOCQ-UHFFFAOYSA-N 1 2 315.417 1.057 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1CC ZINC001323307585 866517584 /nfs/dbraw/zinc/51/75/84/866517584.db2.gz FAPZYQRLEIYCSQ-OWCLPIDISA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1CC ZINC001323307585 866517586 /nfs/dbraw/zinc/51/75/86/866517586.db2.gz FAPZYQRLEIYCSQ-OWCLPIDISA-N 1 2 321.465 1.648 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc3cc[nH]c32)C1 ZINC001333585216 866861654 /nfs/dbraw/zinc/86/16/54/866861654.db2.gz ZOQJSLVVUSFHAV-CQSZACIVSA-N 1 2 324.384 1.320 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc3cc[nH]c32)C1 ZINC001333585216 866861672 /nfs/dbraw/zinc/86/16/72/866861672.db2.gz ZOQJSLVVUSFHAV-CQSZACIVSA-N 1 2 324.384 1.320 20 30 DDEDLO CN(C(=O)CSCC#N)C1C[NH+](CCOc2ccccc2)C1 ZINC001323887651 866926030 /nfs/dbraw/zinc/92/60/30/866926030.db2.gz OFDKUIFALLHBFX-UHFFFAOYSA-N 1 2 319.430 1.465 20 30 DDEDLO C=C[C@H](COC)NC(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC001323960091 866978303 /nfs/dbraw/zinc/97/83/03/866978303.db2.gz DZAYTRHOBRLVJA-MRXNPFEDSA-N 1 2 314.389 1.932 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC001320889674 867102662 /nfs/dbraw/zinc/10/26/62/867102662.db2.gz SISFMKOOAMSGEV-ZDUSSCGKSA-N 1 2 307.394 1.476 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC001320889674 867102669 /nfs/dbraw/zinc/10/26/69/867102669.db2.gz SISFMKOOAMSGEV-ZDUSSCGKSA-N 1 2 307.394 1.476 20 30 DDEDLO Cc1noc([C@H](C)[NH+]2CCC(C)(NC(=O)C#CC3CC3)CC2)n1 ZINC001324307891 867193833 /nfs/dbraw/zinc/19/38/33/867193833.db2.gz AFCRRHWPCKZPHH-LBPRGKRZSA-N 1 2 316.405 1.823 20 30 DDEDLO C#CCN(C(=O)[C@H]1C[C@H]1C)C1CC[NH+](Cc2nonc2C)CC1 ZINC001324365040 867229613 /nfs/dbraw/zinc/22/96/13/867229613.db2.gz QFEAAYQDGQNLFL-DOMZBBRYSA-N 1 2 316.405 1.460 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC/C=C\C[NH2+]Cc1nc(C)c(C)o1 ZINC001321057831 867276101 /nfs/dbraw/zinc/27/61/01/867276101.db2.gz TVKAVQROKKTNBE-LMVHVUTASA-N 1 2 305.378 1.092 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)COCC)CC1 ZINC001225904340 882104808 /nfs/dbraw/zinc/10/48/08/882104808.db2.gz UWOPJTHQRLKPEY-UHFFFAOYSA-N 1 2 305.216 1.512 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001335124721 868080219 /nfs/dbraw/zinc/08/02/19/868080219.db2.gz BVZSJRPIKMHMPR-PXAZEXFGSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)N1CCCC2(CN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001335560237 868385276 /nfs/dbraw/zinc/38/52/76/868385276.db2.gz VVNXDZOEMUBCKB-UHFFFAOYSA-N 1 2 316.405 1.300 20 30 DDEDLO C=CCOCC(=O)NCCC1(C[NH2+]Cc2noc(CC)n2)CC1 ZINC001163799778 868715949 /nfs/dbraw/zinc/71/59/49/868715949.db2.gz ZNSYZNFSULORMW-UHFFFAOYSA-N 1 2 322.409 1.211 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](Cc3cn[nH]c3)CC2)c1[N+](=O)[O-] ZINC001164098932 868943236 /nfs/dbraw/zinc/94/32/36/868943236.db2.gz MGKJCZGKADXGCZ-UHFFFAOYSA-N 1 2 312.333 1.512 20 30 DDEDLO N#Cc1ccc2cc[nH+]c(N3CC(=O)N(C4CC4)C(=O)C3)c2c1 ZINC001164179844 868995992 /nfs/dbraw/zinc/99/59/92/868995992.db2.gz DIJRIBULINTWGP-UHFFFAOYSA-N 1 2 306.325 1.444 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CN(C)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001337263254 869406967 /nfs/dbraw/zinc/40/69/67/869406967.db2.gz ZJMKFVAESFPPPN-ZIAGYGMSSA-N 1 2 318.421 1.375 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)[C@H]1C ZINC001337982839 869727311 /nfs/dbraw/zinc/72/73/11/869727311.db2.gz GNIALQNNIPVZMU-JSGCOSHPSA-N 1 2 318.421 1.280 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)[C@H]1C ZINC001338307635 869914757 /nfs/dbraw/zinc/91/47/57/869914757.db2.gz ULDXDUZXOSZPHT-JSGCOSHPSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCn1c([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)nnc1N(C)C1CC1 ZINC001338690941 870116335 /nfs/dbraw/zinc/11/63/35/870116335.db2.gz OQYPAOLGWZASCS-HUUCEWRRSA-N 1 2 317.437 1.989 20 30 DDEDLO C=CCn1c([C@H]2C[N@H+]3CCCC[C@@H]3CO2)nnc1N(C)C1CC1 ZINC001338690941 870116342 /nfs/dbraw/zinc/11/63/42/870116342.db2.gz OQYPAOLGWZASCS-HUUCEWRRSA-N 1 2 317.437 1.989 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)[C@@H](C)COC ZINC001317047452 870163064 /nfs/dbraw/zinc/16/30/64/870163064.db2.gz VBASWAJCSSLCNA-LUKYLMHMSA-N 1 2 322.449 1.230 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)[C@@H](C)COC ZINC001317047452 870163070 /nfs/dbraw/zinc/16/30/70/870163070.db2.gz VBASWAJCSSLCNA-LUKYLMHMSA-N 1 2 322.449 1.230 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N(CC)C(C)C ZINC001338835441 870196418 /nfs/dbraw/zinc/19/64/18/870196418.db2.gz ZJDAEHVFHIUDHC-UHFFFAOYSA-N 1 2 321.469 1.903 20 30 DDEDLO CC(C)[C@H](CNC(=O)C#CC1CC1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001297972112 870225685 /nfs/dbraw/zinc/22/56/85/870225685.db2.gz WDWRHRCUEZPUAF-HNNXBMFYSA-N 1 2 316.405 1.013 20 30 DDEDLO CC(C)[C@H](CNC(=O)C#CC1CC1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001297972112 870225692 /nfs/dbraw/zinc/22/56/92/870225692.db2.gz WDWRHRCUEZPUAF-HNNXBMFYSA-N 1 2 316.405 1.013 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)/C=C\CC)n2CC=C)CC1 ZINC001339136325 870361393 /nfs/dbraw/zinc/36/13/93/870361393.db2.gz PDLTZAROQDXLND-LZNQSLFOSA-N 1 2 315.421 1.219 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC2CC1(C)C2 ZINC001339532141 870543472 /nfs/dbraw/zinc/54/34/72/870543472.db2.gz WJESBEVSBIYSNJ-BTJONVMOSA-N 1 2 303.410 1.190 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC2CC1(C)C2 ZINC001339532141 870543480 /nfs/dbraw/zinc/54/34/80/870543480.db2.gz WJESBEVSBIYSNJ-BTJONVMOSA-N 1 2 303.410 1.190 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[NH2+][C@@H](C)c2csnn2)cn1 ZINC001317280293 870571381 /nfs/dbraw/zinc/57/13/81/870571381.db2.gz QIFOFEGBDPECES-MNOVXSKESA-N 1 2 315.402 1.384 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@]3(C)C[C@H](O)C[N@@H+]3C)n2CC)C1 ZINC001340022115 870846171 /nfs/dbraw/zinc/84/61/71/870846171.db2.gz WVRRZLZCLFQBQX-KEYYUXOJSA-N 1 2 317.437 1.059 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@]3(C)C[C@H](O)C[N@H+]3C)n2CC)C1 ZINC001340022115 870846181 /nfs/dbraw/zinc/84/61/81/870846181.db2.gz WVRRZLZCLFQBQX-KEYYUXOJSA-N 1 2 317.437 1.059 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3C[C@H]3c3ccccn3)n2C)CC1 ZINC001340052998 870865367 /nfs/dbraw/zinc/86/53/67/870865367.db2.gz DATRXFHRVIRQCE-HUUCEWRRSA-N 1 2 322.416 1.236 20 30 DDEDLO N#CCSCC(=O)NC[C@H]1CCC[N@H+]1Cc1ccc(F)cn1 ZINC001317495003 870916354 /nfs/dbraw/zinc/91/63/54/870916354.db2.gz RAIFIUGHLNSARV-CQSZACIVSA-N 1 2 322.409 1.558 20 30 DDEDLO N#CCSCC(=O)NC[C@H]1CCC[N@@H+]1Cc1ccc(F)cn1 ZINC001317495003 870916362 /nfs/dbraw/zinc/91/63/62/870916362.db2.gz RAIFIUGHLNSARV-CQSZACIVSA-N 1 2 322.409 1.558 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CCC[N@@H+]1Cc1csc(C)n1 ZINC001317505574 870941906 /nfs/dbraw/zinc/94/19/06/870941906.db2.gz QSXBICDDDSMSJT-IUODEOHRSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CCC[N@H+]1Cc1csc(C)n1 ZINC001317505574 870941915 /nfs/dbraw/zinc/94/19/15/870941915.db2.gz QSXBICDDDSMSJT-IUODEOHRSA-N 1 2 321.446 1.570 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(C)(C)CC1 ZINC001340251653 870984423 /nfs/dbraw/zinc/98/44/23/870984423.db2.gz OJQIRIPYFYYEHS-UONOGXRCSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(C)(C)CC1 ZINC001340251653 870984435 /nfs/dbraw/zinc/98/44/35/870984435.db2.gz OJQIRIPYFYYEHS-UONOGXRCSA-N 1 2 319.453 1.828 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCC[C@@H](O)C3)n2CC)CC1 ZINC001340274276 871000706 /nfs/dbraw/zinc/00/07/06/871000706.db2.gz MYXXLAXRFCPEED-LSDHHAIUSA-N 1 2 317.437 1.072 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CCN1Cc1[nH]c[nH+]c1C ZINC001204588838 871164338 /nfs/dbraw/zinc/16/43/38/871164338.db2.gz BZTJJPBURHKDTP-AWEZNQCLSA-N 1 2 302.382 1.149 20 30 DDEDLO C=C(C)CN(CC)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC ZINC001340882796 871407820 /nfs/dbraw/zinc/40/78/20/871407820.db2.gz CAMHVYCVMQRAFU-XJKSGUPXSA-N 1 2 307.442 1.612 20 30 DDEDLO C=C(C)CN(CC)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC ZINC001340882796 871407829 /nfs/dbraw/zinc/40/78/29/871407829.db2.gz CAMHVYCVMQRAFU-XJKSGUPXSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1CC[N@@H+]([C@@H](C)c2csnn2)C1 ZINC001317778688 871488419 /nfs/dbraw/zinc/48/84/19/871488419.db2.gz LLVPOLLMRMVQSP-QWHCGFSZSA-N 1 2 324.450 1.630 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1CC[N@H+]([C@@H](C)c2csnn2)C1 ZINC001317778688 871488428 /nfs/dbraw/zinc/48/84/28/871488428.db2.gz LLVPOLLMRMVQSP-QWHCGFSZSA-N 1 2 324.450 1.630 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(-c3ccccn3)n[nH]2)C1=O ZINC001308721062 871521670 /nfs/dbraw/zinc/52/16/70/871521670.db2.gz PFOGKILUODCJKA-INIZCTEOSA-N 1 2 311.389 1.690 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(-c3ccccn3)n[nH]2)C1=O ZINC001308721062 871521712 /nfs/dbraw/zinc/52/17/12/871521712.db2.gz PFOGKILUODCJKA-INIZCTEOSA-N 1 2 311.389 1.690 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@H+]1Cc1nc(C)oc1C ZINC001317495776 871665778 /nfs/dbraw/zinc/66/57/78/871665778.db2.gz KVLYNJGFHQKGMT-AWEZNQCLSA-N 1 2 307.394 1.575 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1nc(C)oc1C ZINC001317495776 871665796 /nfs/dbraw/zinc/66/57/96/871665796.db2.gz KVLYNJGFHQKGMT-AWEZNQCLSA-N 1 2 307.394 1.575 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CC[N@@H+]([C@@H](C)c2csnn2)C1 ZINC001317522204 871734251 /nfs/dbraw/zinc/73/42/51/871734251.db2.gz HQNJJLWGJDMOIY-QWHCGFSZSA-N 1 2 322.434 1.077 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CC[N@H+]([C@@H](C)c2csnn2)C1 ZINC001317522204 871734264 /nfs/dbraw/zinc/73/42/64/871734264.db2.gz HQNJJLWGJDMOIY-QWHCGFSZSA-N 1 2 322.434 1.077 20 30 DDEDLO C=C(C)Cn1c(N2CC=CCC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001341739601 871799575 /nfs/dbraw/zinc/79/95/75/871799575.db2.gz LFNQBYDUXRTFQY-PBHICJAKSA-N 1 2 317.437 1.532 20 30 DDEDLO C=C(C)Cn1c(N2CC=CCC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001341739601 871799595 /nfs/dbraw/zinc/79/95/95/871799595.db2.gz LFNQBYDUXRTFQY-PBHICJAKSA-N 1 2 317.437 1.532 20 30 DDEDLO C=C(C)Cn1c(N2CC=CCC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001341739604 871799973 /nfs/dbraw/zinc/79/99/73/871799973.db2.gz LFNQBYDUXRTFQY-YOEHRIQHSA-N 1 2 317.437 1.532 20 30 DDEDLO C=C(C)Cn1c(N2CC=CCC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001341739604 871799982 /nfs/dbraw/zinc/79/99/82/871799982.db2.gz LFNQBYDUXRTFQY-YOEHRIQHSA-N 1 2 317.437 1.532 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCNC(=O)NC(C)C ZINC001318201120 871820844 /nfs/dbraw/zinc/82/08/44/871820844.db2.gz GEYQRYHFNWEJJP-GJZGRUSLSA-N 1 2 322.453 1.335 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCNC(=O)NC(C)C ZINC001318201120 871820863 /nfs/dbraw/zinc/82/08/63/871820863.db2.gz GEYQRYHFNWEJJP-GJZGRUSLSA-N 1 2 322.453 1.335 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C12CCC2 ZINC001341861805 871862273 /nfs/dbraw/zinc/86/22/73/871862273.db2.gz GLVOVHIHXDZRGC-KBPBESRZSA-N 1 2 316.405 1.393 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@@H](C)C[C@@H]1CC ZINC001342062523 871978685 /nfs/dbraw/zinc/97/86/85/871978685.db2.gz WLEVJOIHWGUJSK-AJNGGQMLSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@@H](C)C[C@@H]1CC ZINC001342062523 871978698 /nfs/dbraw/zinc/97/86/98/871978698.db2.gz WLEVJOIHWGUJSK-AJNGGQMLSA-N 1 2 319.453 1.826 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@H](NC(=O)C#CC(C)C)[C@H]1C ZINC001316781685 872036642 /nfs/dbraw/zinc/03/66/42/872036642.db2.gz PFYTZDDUPJZFLL-OLZOCXBDSA-N 1 2 306.435 1.585 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@H](NC(=O)C#CC(C)C)[C@H]1C ZINC001316781685 872036658 /nfs/dbraw/zinc/03/66/58/872036658.db2.gz PFYTZDDUPJZFLL-OLZOCXBDSA-N 1 2 306.435 1.585 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)on2)C[C@H]1C ZINC001206479616 872362841 /nfs/dbraw/zinc/36/28/41/872362841.db2.gz PYUOQCZEKWIAML-IVMMDQJWSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)on2)C[C@H]1C ZINC001206479616 872362865 /nfs/dbraw/zinc/36/28/65/872362865.db2.gz PYUOQCZEKWIAML-IVMMDQJWSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)/C=C(\C)C2CC2)C1 ZINC001319294860 872544356 /nfs/dbraw/zinc/54/43/56/872544356.db2.gz FPVJBOGXJJTGMN-WSYSLRRZSA-N 1 2 308.422 1.362 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)/C=C(\C)C2CC2)C1 ZINC001319294860 872544371 /nfs/dbraw/zinc/54/43/71/872544371.db2.gz FPVJBOGXJJTGMN-WSYSLRRZSA-N 1 2 308.422 1.362 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nncn2C)C[C@H]1C ZINC001206884808 872700791 /nfs/dbraw/zinc/70/07/91/872700791.db2.gz GTGXJXKNRSCUJN-QLFBSQMISA-N 1 2 317.437 1.506 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nncn2C)C[C@H]1C ZINC001206884808 872700799 /nfs/dbraw/zinc/70/07/99/872700799.db2.gz GTGXJXKNRSCUJN-QLFBSQMISA-N 1 2 317.437 1.506 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]1CN(C)C(=O)Cn1cc[nH+]c1 ZINC001344168725 872906027 /nfs/dbraw/zinc/90/60/27/872906027.db2.gz ISTREKXXHRRQEW-OAHLLOKOSA-N 1 2 316.405 1.136 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]1CN(C)C(=O)CCc1c[nH+]cn1C ZINC001344177541 872912596 /nfs/dbraw/zinc/91/25/96/872912596.db2.gz YAJWRXMCCQCLKG-OAHLLOKOSA-N 1 2 318.421 1.378 20 30 DDEDLO CO[C@H](C)C(=O)OCC#Cc1csc(C[NH+]2CCOCC2)c1 ZINC001345546992 873435510 /nfs/dbraw/zinc/43/55/10/873435510.db2.gz IMYXIVDVXUHEHO-CYBMUJFWSA-N 1 2 323.414 1.510 20 30 DDEDLO C#CCCCCC(=O)NC1CC[NH+](Cc2nccn2C)CC1 ZINC001226951881 882740117 /nfs/dbraw/zinc/74/01/17/882740117.db2.gz LRAODHOKJHCPCS-UHFFFAOYSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCN(CC#C)c1nnc(Cc2[nH+]ccn2C)n1CC1CC1 ZINC001346666545 873842467 /nfs/dbraw/zinc/84/24/67/873842467.db2.gz RKJVAIPFKSFCSL-UHFFFAOYSA-N 1 2 308.389 1.085 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001378190379 874383944 /nfs/dbraw/zinc/38/39/44/874383944.db2.gz JTDPBSBDRNVUCN-OCCSQVGLSA-N 1 2 317.393 1.068 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001378190379 874383958 /nfs/dbraw/zinc/38/39/58/874383958.db2.gz JTDPBSBDRNVUCN-OCCSQVGLSA-N 1 2 317.393 1.068 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)CCCn2cncn2)C1 ZINC001378192460 874391901 /nfs/dbraw/zinc/39/19/01/874391901.db2.gz GEFFMXQAJWLJMA-CYBMUJFWSA-N 1 2 311.817 1.344 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)CCCn2cncn2)C1 ZINC001378192460 874391919 /nfs/dbraw/zinc/39/19/19/874391919.db2.gz GEFFMXQAJWLJMA-CYBMUJFWSA-N 1 2 311.817 1.344 20 30 DDEDLO C=CCCC(=O)N1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC001348186015 874409996 /nfs/dbraw/zinc/40/99/96/874409996.db2.gz IEPARUIGTIZJKJ-CQSZACIVSA-N 1 2 304.394 1.471 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+](Cc2ncc(CC)o2)CC1 ZINC001227116012 882849889 /nfs/dbraw/zinc/84/98/89/882849889.db2.gz ZMEVQGQVOANMTI-QGZVFWFLSA-N 1 2 321.421 1.645 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1([C@H]2CCCCO2)CCC1 ZINC001349818441 875390129 /nfs/dbraw/zinc/39/01/29/875390129.db2.gz SKTMKVPWRYONQW-QWHCGFSZSA-N 1 2 310.394 1.041 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@](C)(C(F)(F)F)C1 ZINC001349818802 875390797 /nfs/dbraw/zinc/39/07/97/875390797.db2.gz XCBUFXFQTZEQCU-CABZTGNLSA-N 1 2 308.300 1.234 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@H]2C[C@@H](C1)N2Cc1cc(C#N)ccn1 ZINC001276686982 875438959 /nfs/dbraw/zinc/43/89/59/875438959.db2.gz KIXUSEOOJSJFHQ-CALCHBBNSA-N 1 2 322.416 1.628 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@H]2C[C@@H](C1)N2Cc1cc(C#N)ccn1 ZINC001276686982 875438966 /nfs/dbraw/zinc/43/89/66/875438966.db2.gz KIXUSEOOJSJFHQ-CALCHBBNSA-N 1 2 322.416 1.628 20 30 DDEDLO Cc1cc(C[NH+]2CC3(CN(Cc4cc(C#N)ccn4)C3)C2)n(C)n1 ZINC001276688749 875502185 /nfs/dbraw/zinc/50/21/85/875502185.db2.gz RAAYOKSMVOPPNU-UHFFFAOYSA-N 1 2 322.416 1.313 20 30 DDEDLO C=CCC1(C(=O)NCCCNC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001350334587 875634273 /nfs/dbraw/zinc/63/42/73/875634273.db2.gz KYVZVGNCEMGUKK-UHFFFAOYSA-N 1 2 318.421 1.630 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+](Cc2coc(C)n2)CC1 ZINC001227341733 882975396 /nfs/dbraw/zinc/97/53/96/882975396.db2.gz ASTDXSOCBMKVDK-GFCCVEGCSA-N 1 2 305.378 1.102 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213389973 875918417 /nfs/dbraw/zinc/91/84/17/875918417.db2.gz MWHJMCGZUXLCHQ-INMHGKMJSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213389973 875918425 /nfs/dbraw/zinc/91/84/25/875918425.db2.gz MWHJMCGZUXLCHQ-INMHGKMJSA-N 1 2 320.437 1.338 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001350865855 875933573 /nfs/dbraw/zinc/93/35/73/875933573.db2.gz STTKOMFRZLZIMX-UHFFFAOYSA-N 1 2 316.405 1.109 20 30 DDEDLO N#CC1(NC(=O)[C@H]2CC23C[NH+](Cc2cncs2)C3)CCC1 ZINC001277217361 883065487 /nfs/dbraw/zinc/06/54/87/883065487.db2.gz PKZAKRNNSVIGGC-GFCCVEGCSA-N 1 2 302.403 1.527 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001352924907 877015240 /nfs/dbraw/zinc/01/52/40/877015240.db2.gz UGXOBZFBMWHXSG-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001352924907 877015252 /nfs/dbraw/zinc/01/52/52/877015252.db2.gz UGXOBZFBMWHXSG-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C2CC2)n1C[C@H](C)[NH+]1CCOCC1 ZINC001300305618 877349088 /nfs/dbraw/zinc/34/90/88/877349088.db2.gz XRTCRHJGURZKRK-KBPBESRZSA-N 1 2 317.437 1.334 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@H](C)NC(=O)c2ccc(C#N)[nH]2)oc1C ZINC001379636439 877604415 /nfs/dbraw/zinc/60/44/15/877604415.db2.gz BWDBSYVNJDNRSG-JTQLQIEISA-N 1 2 315.377 1.742 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@H](C)NC(=O)c2ccc(C#N)[nH]2)oc1C ZINC001379636439 877604418 /nfs/dbraw/zinc/60/44/18/877604418.db2.gz BWDBSYVNJDNRSG-JTQLQIEISA-N 1 2 315.377 1.742 20 30 DDEDLO C#Cc1ccc(N2CC[NH+]([C@@H](C)C(=O)N3CCCC3)CC2)nc1 ZINC001354129310 877769954 /nfs/dbraw/zinc/76/99/54/877769954.db2.gz FJHIDMYAYFVXPB-HNNXBMFYSA-N 1 2 312.417 1.196 20 30 DDEDLO CC(F)(F)C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC001379933638 878351491 /nfs/dbraw/zinc/35/14/91/878351491.db2.gz GPEYOVURCMORAQ-ZDUSSCGKSA-N 1 2 323.343 1.935 20 30 DDEDLO CC(F)(F)C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(OCC#N)cc1 ZINC001379933638 878351506 /nfs/dbraw/zinc/35/15/06/878351506.db2.gz GPEYOVURCMORAQ-ZDUSSCGKSA-N 1 2 323.343 1.935 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@@H]1O ZINC001220123336 878716891 /nfs/dbraw/zinc/71/68/91/878716891.db2.gz AKCVESONDKZYND-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@@H]1O ZINC001220123336 878716900 /nfs/dbraw/zinc/71/69/00/878716900.db2.gz AKCVESONDKZYND-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220291810 878887430 /nfs/dbraw/zinc/88/74/30/878887430.db2.gz GWOZTRRXOVUYQC-YCPHGPKFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220291810 878887437 /nfs/dbraw/zinc/88/74/37/878887437.db2.gz GWOZTRRXOVUYQC-YCPHGPKFSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CCC=C)c2ccccc2)[C@@H](O)C1 ZINC001221199562 879564524 /nfs/dbraw/zinc/56/45/24/879564524.db2.gz ZKSSLTZXPWZAPC-KSZLIROESA-N 1 2 312.413 1.531 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CCC=C)c2ccccc2)[C@@H](O)C1 ZINC001221199562 879564532 /nfs/dbraw/zinc/56/45/32/879564532.db2.gz ZKSSLTZXPWZAPC-KSZLIROESA-N 1 2 312.413 1.531 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3CC)C[C@H]21 ZINC001221424983 879780603 /nfs/dbraw/zinc/78/06/03/879780603.db2.gz TYLBFUDHRLUOKH-UKRRQHHQSA-N 1 2 303.410 1.297 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3CC)C[C@H]21 ZINC001221424983 879780610 /nfs/dbraw/zinc/78/06/10/879780610.db2.gz TYLBFUDHRLUOKH-UKRRQHHQSA-N 1 2 303.410 1.297 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001221514065 879902142 /nfs/dbraw/zinc/90/21/42/879902142.db2.gz GNIOVDBAIOZBOJ-GDBMZVCRSA-N 1 2 300.406 1.256 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001221514065 879902156 /nfs/dbraw/zinc/90/21/56/879902156.db2.gz GNIOVDBAIOZBOJ-GDBMZVCRSA-N 1 2 300.406 1.256 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@H](C)CC)C[C@H]21 ZINC001221731763 880032118 /nfs/dbraw/zinc/03/21/18/880032118.db2.gz PTLWFWCEALVNHN-RBSFLKMASA-N 1 2 321.465 1.646 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@H](C)CC)C[C@H]21 ZINC001221731763 880032131 /nfs/dbraw/zinc/03/21/31/880032131.db2.gz PTLWFWCEALVNHN-RBSFLKMASA-N 1 2 321.465 1.646 20 30 DDEDLO C=CC1(CC(=O)N2C[C@H]3CC[N@@H+](CC(N)=O)C[C@H]32)CCCCC1 ZINC001221867580 880122837 /nfs/dbraw/zinc/12/28/37/880122837.db2.gz XLDJMXCRAKKEFB-HUUCEWRRSA-N 1 2 319.449 1.531 20 30 DDEDLO C=CC1(CC(=O)N2C[C@H]3CC[N@H+](CC(N)=O)C[C@H]32)CCCCC1 ZINC001221867580 880122847 /nfs/dbraw/zinc/12/28/47/880122847.db2.gz XLDJMXCRAKKEFB-HUUCEWRRSA-N 1 2 319.449 1.531 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)COCCOCC)[C@@H]2C1 ZINC001222054334 880202994 /nfs/dbraw/zinc/20/29/94/880202994.db2.gz NCDAVCYGZAQXJT-ZIAGYGMSSA-N 1 2 316.829 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)COCCOCC)[C@@H]2C1 ZINC001222054334 880203010 /nfs/dbraw/zinc/20/30/10/880203010.db2.gz NCDAVCYGZAQXJT-ZIAGYGMSSA-N 1 2 316.829 1.325 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H]1C ZINC001287878941 912543234 /nfs/dbraw/zinc/54/32/34/912543234.db2.gz BGTNIJMHUCOICW-TZMCWYRMSA-N 1 2 318.421 1.660 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001358198429 880365043 /nfs/dbraw/zinc/36/50/43/880365043.db2.gz UGUWIMQRGHQOAB-KGLIPLIRSA-N 1 2 318.421 1.423 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H](C)CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001358539761 880514077 /nfs/dbraw/zinc/51/40/77/880514077.db2.gz HIYJOJMPULEJCS-LBPRGKRZSA-N 1 2 304.394 1.320 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3CCN(C(=O)C#CC(C)C)C[C@@H]32)o1 ZINC001222651873 880621864 /nfs/dbraw/zinc/62/18/64/880621864.db2.gz MNAQJZRKUVSDLQ-CABCVRRESA-N 1 2 316.405 1.460 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)C#CC(C)C)C[C@@H]32)o1 ZINC001222651873 880621868 /nfs/dbraw/zinc/62/18/68/880621868.db2.gz MNAQJZRKUVSDLQ-CABCVRRESA-N 1 2 316.405 1.460 20 30 DDEDLO CC(F)(F)CN1CC[NH+](C[C@H](O)c2cccc(C#N)c2)CC1 ZINC001414044167 881193354 /nfs/dbraw/zinc/19/33/54/881193354.db2.gz DEGKJADPWMNLHE-HNNXBMFYSA-N 1 2 309.360 1.864 20 30 DDEDLO CCOC(=O)c1ncccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001229148681 883845495 /nfs/dbraw/zinc/84/54/95/883845495.db2.gz BJLOVXMUIPIGKP-RBRDRRMGSA-N 1 2 304.346 1.250 20 30 DDEDLO CCOC(=O)c1ncccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001229148681 883845515 /nfs/dbraw/zinc/84/55/15/883845515.db2.gz BJLOVXMUIPIGKP-RBRDRRMGSA-N 1 2 304.346 1.250 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCc3cc(C(N)=O)[nH]c3C2)cc1 ZINC001277434191 884248305 /nfs/dbraw/zinc/24/83/05/884248305.db2.gz AESAMNLYEZWWFS-UHFFFAOYSA-N 1 2 309.369 1.684 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCc3cc(C(N)=O)[nH]c3C2)cc1 ZINC001277434191 884248312 /nfs/dbraw/zinc/24/83/12/884248312.db2.gz AESAMNLYEZWWFS-UHFFFAOYSA-N 1 2 309.369 1.684 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230557846 884580923 /nfs/dbraw/zinc/58/09/23/884580923.db2.gz SHPIAILBUKDJMX-NVXWUHKLSA-N 1 2 305.422 1.540 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230557846 884580939 /nfs/dbraw/zinc/58/09/39/884580939.db2.gz SHPIAILBUKDJMX-NVXWUHKLSA-N 1 2 305.422 1.540 20 30 DDEDLO C=CCCCC(=O)NC1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288340891 912844983 /nfs/dbraw/zinc/84/49/83/912844983.db2.gz UKVZKZJCZOBJDO-UHFFFAOYSA-N 1 2 304.394 1.414 20 30 DDEDLO CC[C@@H](NC(C)=O)C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230603866 884643332 /nfs/dbraw/zinc/64/33/32/884643332.db2.gz ARRKWLWULSLFEX-CVEARBPZSA-N 1 2 320.437 1.128 20 30 DDEDLO CC[C@@H](NC(C)=O)C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230603866 884643343 /nfs/dbraw/zinc/64/33/43/884643343.db2.gz ARRKWLWULSLFEX-CVEARBPZSA-N 1 2 320.437 1.128 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CCCCC1 ZINC001230636959 884677696 /nfs/dbraw/zinc/67/76/96/884677696.db2.gz CONJWHGURIUQBL-GOEBONIOSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1CCCCC1 ZINC001230636959 884677711 /nfs/dbraw/zinc/67/77/11/884677711.db2.gz CONJWHGURIUQBL-GOEBONIOSA-N 1 2 319.449 1.237 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)C ZINC001230650994 884699666 /nfs/dbraw/zinc/69/96/66/884699666.db2.gz JNFWCRMOFGSNSY-MRXNPFEDSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)C ZINC001230650994 884699670 /nfs/dbraw/zinc/69/96/70/884699670.db2.gz JNFWCRMOFGSNSY-MRXNPFEDSA-N 1 2 323.481 1.990 20 30 DDEDLO N#CCC1CN(C(=O)[C@H]2CC23C[NH+](Cc2ccc(F)nc2)C3)C1 ZINC001277462822 884742236 /nfs/dbraw/zinc/74/22/36/884742236.db2.gz BYZCMNVBLUVGBS-CQSZACIVSA-N 1 2 314.364 1.415 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(CC)CC ZINC001230834357 884935349 /nfs/dbraw/zinc/93/53/49/884935349.db2.gz CPPHSXJIHQVMHA-HIFRSBDPSA-N 1 2 307.438 1.093 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(CC)CC ZINC001230834357 884935365 /nfs/dbraw/zinc/93/53/65/884935365.db2.gz CPPHSXJIHQVMHA-HIFRSBDPSA-N 1 2 307.438 1.093 20 30 DDEDLO COC(=O)C[C@@H](C#N)c1ccc(OC[C@@H]2C[N@H+](C)CCO2)cc1 ZINC001230997656 885116966 /nfs/dbraw/zinc/11/69/66/885116966.db2.gz CVGZPYATNTZQBU-HOCLYGCPSA-N 1 2 318.373 1.566 20 30 DDEDLO COC(=O)C[C@@H](C#N)c1ccc(OC[C@@H]2C[N@@H+](C)CCO2)cc1 ZINC001230997656 885116969 /nfs/dbraw/zinc/11/69/69/885116969.db2.gz CVGZPYATNTZQBU-HOCLYGCPSA-N 1 2 318.373 1.566 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccnn1C ZINC001231095075 885213224 /nfs/dbraw/zinc/21/32/24/885213224.db2.gz MRLNAHVXLNETFO-GDBMZVCRSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccnn1C ZINC001231095075 885213242 /nfs/dbraw/zinc/21/32/42/885213242.db2.gz MRLNAHVXLNETFO-GDBMZVCRSA-N 1 2 320.437 1.434 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001231246362 885426813 /nfs/dbraw/zinc/42/68/13/885426813.db2.gz FYWIBPACPCDTAV-VXGBXAGGSA-N 1 2 302.403 1.812 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001231246362 885426819 /nfs/dbraw/zinc/42/68/19/885426819.db2.gz FYWIBPACPCDTAV-VXGBXAGGSA-N 1 2 302.403 1.812 20 30 DDEDLO Cc1ccncc1C[N@@H+]1CC[C@@H]2C[C@@]21C(=O)NC1(C#N)CCC1 ZINC001277589908 885968034 /nfs/dbraw/zinc/96/80/34/885968034.db2.gz RMVPMTZOXJMLLP-QAPCUYQASA-N 1 2 310.401 1.917 20 30 DDEDLO Cc1ccncc1C[N@H+]1CC[C@@H]2C[C@@]21C(=O)NC1(C#N)CCC1 ZINC001277589908 885968045 /nfs/dbraw/zinc/96/80/45/885968045.db2.gz RMVPMTZOXJMLLP-QAPCUYQASA-N 1 2 310.401 1.917 20 30 DDEDLO COC[C@@H]1C[N@H+](Cc2cc(C#N)ccc2O)Cc2cn(C)nc21 ZINC001232678185 886530036 /nfs/dbraw/zinc/53/00/36/886530036.db2.gz XZKZRGOFBSNRSZ-HNNXBMFYSA-N 1 2 312.373 1.743 20 30 DDEDLO COC[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2O)Cc2cn(C)nc21 ZINC001232678185 886530047 /nfs/dbraw/zinc/53/00/47/886530047.db2.gz XZKZRGOFBSNRSZ-HNNXBMFYSA-N 1 2 312.373 1.743 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2ccc3ncoc3c2)CC1 ZINC001232942559 886688146 /nfs/dbraw/zinc/68/81/46/886688146.db2.gz BBQORMRYZOMYLV-UHFFFAOYSA-N 1 2 320.356 1.812 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(F)=C(C)C ZINC001233664811 887201286 /nfs/dbraw/zinc/20/12/86/887201286.db2.gz WXPIDJHPJRSNIJ-STQMWFEESA-N 1 2 311.401 1.473 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(F)=C(C)C ZINC001233664811 887201303 /nfs/dbraw/zinc/20/13/03/887201303.db2.gz WXPIDJHPJRSNIJ-STQMWFEESA-N 1 2 311.401 1.473 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001233976645 887514988 /nfs/dbraw/zinc/51/49/88/887514988.db2.gz HHRBWDARESBYPI-XJKSGUPXSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001233976645 887514994 /nfs/dbraw/zinc/51/49/94/887514994.db2.gz HHRBWDARESBYPI-XJKSGUPXSA-N 1 2 307.394 1.343 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cncs1 ZINC001234266407 887805726 /nfs/dbraw/zinc/80/57/26/887805726.db2.gz PUQDZJXRILUCMB-OLZOCXBDSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cncs1 ZINC001234266407 887805737 /nfs/dbraw/zinc/80/57/37/887805737.db2.gz PUQDZJXRILUCMB-OLZOCXBDSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ocnc1C ZINC001234266828 887805797 /nfs/dbraw/zinc/80/57/97/887805797.db2.gz UOQFWYQSXCDKIX-KBPBESRZSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ocnc1C ZINC001234266828 887805808 /nfs/dbraw/zinc/80/58/08/887805808.db2.gz UOQFWYQSXCDKIX-KBPBESRZSA-N 1 2 305.378 1.054 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)NCc1c[nH+]cn1C ZINC001364055051 887808863 /nfs/dbraw/zinc/80/88/63/887808863.db2.gz OVKAVCCCZITUIF-UHFFFAOYSA-N 1 2 308.338 1.218 20 30 DDEDLO CCc1ccnc(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#CCOC)c1 ZINC001234465965 887994954 /nfs/dbraw/zinc/99/49/54/887994954.db2.gz JWHFCYPOKCXAFO-MRXNPFEDSA-N 1 2 315.417 1.440 20 30 DDEDLO CCc1ccnc(C(=O)N(C)C[C@H]2CC[N@H+]2CC#CCOC)c1 ZINC001234465965 887994960 /nfs/dbraw/zinc/99/49/60/887994960.db2.gz JWHFCYPOKCXAFO-MRXNPFEDSA-N 1 2 315.417 1.440 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnn1C(C)C ZINC001234510233 888038868 /nfs/dbraw/zinc/03/88/68/888038868.db2.gz VJZCRCQMVZJKLL-OAHLLOKOSA-N 1 2 318.421 1.260 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnn1C(C)C ZINC001234510233 888038883 /nfs/dbraw/zinc/03/88/83/888038883.db2.gz VJZCRCQMVZJKLL-OAHLLOKOSA-N 1 2 318.421 1.260 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc2occc2[nH]1 ZINC001234604698 888135307 /nfs/dbraw/zinc/13/53/07/888135307.db2.gz UAMLSPLQPLAFEK-CYBMUJFWSA-N 1 2 315.373 1.557 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc2occc2[nH]1 ZINC001234604698 888135318 /nfs/dbraw/zinc/13/53/18/888135318.db2.gz UAMLSPLQPLAFEK-CYBMUJFWSA-N 1 2 315.373 1.557 20 30 DDEDLO CC(=O)O/N=C(/C)c1ccccc1OC[C@H]1C[N@H+](C)CCO1 ZINC001234847455 888223158 /nfs/dbraw/zinc/22/31/58/888223158.db2.gz UEEJCLRSKDDGLG-MTOJCTJASA-N 1 2 306.362 1.683 20 30 DDEDLO CC(=O)O/N=C(/C)c1ccccc1OC[C@H]1C[N@@H+](C)CCO1 ZINC001234847455 888223171 /nfs/dbraw/zinc/22/31/71/888223171.db2.gz UEEJCLRSKDDGLG-MTOJCTJASA-N 1 2 306.362 1.683 20 30 DDEDLO N#Cc1csc(C[NH2+]C2(C(=O)NCC3CC3)CCCC2)n1 ZINC001364347589 888430883 /nfs/dbraw/zinc/43/08/83/888430883.db2.gz HFXCTRGKZQXECH-UHFFFAOYSA-N 1 2 304.419 1.943 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](C)CC[N@@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001364389425 888518815 /nfs/dbraw/zinc/51/88/15/888518815.db2.gz ZDRLHRQWFOFZCV-RCBQFDQVSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](C)CC[N@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001364389425 888518826 /nfs/dbraw/zinc/51/88/26/888518826.db2.gz ZDRLHRQWFOFZCV-RCBQFDQVSA-N 1 2 302.374 1.865 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(C)c(OCCO)c(C)c2)CC1 ZINC001235377482 888597583 /nfs/dbraw/zinc/59/75/83/888597583.db2.gz VVWGODOJALXLPR-UHFFFAOYSA-N 1 2 302.418 1.425 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)Cc1cnccc1C ZINC001235510758 888697972 /nfs/dbraw/zinc/69/79/72/888697972.db2.gz DBOGLGQTRZDLSX-KRWDZBQOSA-N 1 2 315.417 1.115 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)Cc1cnccc1C ZINC001235510758 888697980 /nfs/dbraw/zinc/69/79/80/888697980.db2.gz DBOGLGQTRZDLSX-KRWDZBQOSA-N 1 2 315.417 1.115 20 30 DDEDLO COC(=O)CO[C@H]1CC[N@H+](Cc2c(F)cc(C#N)cc2F)C1 ZINC001235661180 888854497 /nfs/dbraw/zinc/85/44/97/888854497.db2.gz LGFIVBYMKCROCG-NSHDSACASA-N 1 2 310.300 1.600 20 30 DDEDLO COC(=O)CO[C@H]1CC[N@@H+](Cc2c(F)cc(C#N)cc2F)C1 ZINC001235661180 888854511 /nfs/dbraw/zinc/85/45/11/888854511.db2.gz LGFIVBYMKCROCG-NSHDSACASA-N 1 2 310.300 1.600 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nnc(C(C)C)n1C ZINC001235698634 888893542 /nfs/dbraw/zinc/89/35/42/888893542.db2.gz NGZCYHALFFSRGA-UHFFFAOYSA-N 1 2 323.441 1.079 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nnc(C(C)C)n1C ZINC001235698634 888893554 /nfs/dbraw/zinc/89/35/54/888893554.db2.gz NGZCYHALFFSRGA-UHFFFAOYSA-N 1 2 323.441 1.079 20 30 DDEDLO C#CCN(C(=O)CCCOC)C1CC[NH+](Cc2ccon2)CC1 ZINC001278121542 889756039 /nfs/dbraw/zinc/75/60/39/889756039.db2.gz FCWYYYGORPIHNI-UHFFFAOYSA-N 1 2 319.405 1.527 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](Cc3nc(CO)cs3)C2)nc1 ZINC001364968737 889782043 /nfs/dbraw/zinc/78/20/43/889782043.db2.gz NIOUHPJUAXFVGX-ZDUSSCGKSA-N 1 2 316.386 1.555 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](Cc3nc(CO)cs3)C2)nc1 ZINC001364968737 889782052 /nfs/dbraw/zinc/78/20/52/889782052.db2.gz NIOUHPJUAXFVGX-ZDUSSCGKSA-N 1 2 316.386 1.555 20 30 DDEDLO CCCCCCCCOC(=O)N[C@@H]1C[NH2+][C@H](C(=O)OC)C1 ZINC001239318848 890600368 /nfs/dbraw/zinc/60/03/68/890600368.db2.gz VSHGMWAUPWYFAP-STQMWFEESA-N 1 2 300.399 1.977 20 30 DDEDLO CCc1noc([C@H](C)[NH+]2CCC(N(C)C(=O)[C@@H](C)C#N)CC2)n1 ZINC001365920472 891918540 /nfs/dbraw/zinc/91/85/40/891918540.db2.gz XEQNOBNDVHUCPV-RYUDHWBXSA-N 1 2 319.409 1.775 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=S)Nc1ccc(C#N)cc1 ZINC001245940912 892279092 /nfs/dbraw/zinc/27/90/92/892279092.db2.gz DJCLUWSGAIYOKM-UHFFFAOYSA-N 1 2 315.402 1.888 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCN(C)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001389012069 896349563 /nfs/dbraw/zinc/34/95/63/896349563.db2.gz YOTQYMMNMTWORF-LLVKDONJSA-N 1 2 313.361 1.253 20 30 DDEDLO C#CC[C@H]([NH2+]C1CCN(c2ccc(F)cc2)CC1)C(=O)OC ZINC001254618073 896536594 /nfs/dbraw/zinc/53/65/94/896536594.db2.gz FELVIKAEHIIAMW-INIZCTEOSA-N 1 2 304.365 1.949 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@H+]([C@@H]2CC[C@H](C#N)C2)[C@@H](CO)C1 ZINC001254656984 896550607 /nfs/dbraw/zinc/55/06/07/896550607.db2.gz AZOCKKBXWRYCRQ-BFHYXJOUSA-N 1 2 309.410 1.592 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@@H+]([C@@H]2CC[C@H](C#N)C2)[C@@H](CO)C1 ZINC001254656984 896550614 /nfs/dbraw/zinc/55/06/14/896550614.db2.gz AZOCKKBXWRYCRQ-BFHYXJOUSA-N 1 2 309.410 1.592 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cc3sccc3s2)C1 ZINC001278883316 897105720 /nfs/dbraw/zinc/10/57/20/897105720.db2.gz JBCIRJRAYJWBOO-UHFFFAOYSA-N 1 2 320.439 1.763 20 30 DDEDLO C[C@@H](C[NH2+]Cc1nnc(C2CC2)o1)NC(=O)c1cc(C#N)c[nH]1 ZINC001367728371 897604096 /nfs/dbraw/zinc/60/40/96/897604096.db2.gz HVSWOLXCELQXBM-VIFPVBQESA-N 1 2 314.349 1.055 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)C[C@H]2CCOC2)s1 ZINC001367961610 898324744 /nfs/dbraw/zinc/32/47/44/898324744.db2.gz DYEWJEUZPYZGSQ-CHWSQXEVSA-N 1 2 319.430 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)C[C@H]2CCOC2)s1 ZINC001367961610 898324759 /nfs/dbraw/zinc/32/47/59/898324759.db2.gz DYEWJEUZPYZGSQ-CHWSQXEVSA-N 1 2 319.430 1.737 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](Cc2cn(C)nc2Br)C1 ZINC001261829537 899782640 /nfs/dbraw/zinc/78/26/40/899782640.db2.gz SOLIVTJVDBWKJE-LLVKDONJSA-N 1 2 300.200 1.960 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](Cc2cn(C)nc2Br)C1 ZINC001261829537 899782649 /nfs/dbraw/zinc/78/26/49/899782649.db2.gz SOLIVTJVDBWKJE-LLVKDONJSA-N 1 2 300.200 1.960 20 30 DDEDLO C[N@H+](CCNC(=O)c1ncc[nH]1)Cc1ccc(C#N)cc1F ZINC001390756037 900107975 /nfs/dbraw/zinc/10/79/75/900107975.db2.gz QIKYMVLVWSBQFK-UHFFFAOYSA-N 1 2 301.325 1.282 20 30 DDEDLO C[N@@H+](CCNC(=O)c1ncc[nH]1)Cc1ccc(C#N)cc1F ZINC001390756037 900107985 /nfs/dbraw/zinc/10/79/85/900107985.db2.gz QIKYMVLVWSBQFK-UHFFFAOYSA-N 1 2 301.325 1.282 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@H](OC)c1cnn(C)c1 ZINC001390943261 900555470 /nfs/dbraw/zinc/55/54/70/900555470.db2.gz HZJWGQVHSMUVIX-CYBMUJFWSA-N 1 2 314.817 1.298 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@H](OC)c1cnn(C)c1 ZINC001390943261 900555482 /nfs/dbraw/zinc/55/54/82/900555482.db2.gz HZJWGQVHSMUVIX-CYBMUJFWSA-N 1 2 314.817 1.298 20 30 DDEDLO C[N@H+](CCCNC(=O)c1cc(C#N)c[nH]1)Cc1cscn1 ZINC001264974317 901370047 /nfs/dbraw/zinc/37/00/47/901370047.db2.gz XIAODCCOYCTTAX-UHFFFAOYSA-N 1 2 303.391 1.595 20 30 DDEDLO C[N@@H+](CCCNC(=O)c1cc(C#N)c[nH]1)Cc1cscn1 ZINC001264974317 901370056 /nfs/dbraw/zinc/37/00/56/901370056.db2.gz XIAODCCOYCTTAX-UHFFFAOYSA-N 1 2 303.391 1.595 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(OC)no2)C1 ZINC001265234829 901755105 /nfs/dbraw/zinc/75/51/05/901755105.db2.gz BXZSJGHPMXJUNU-CYBMUJFWSA-N 1 2 323.393 1.357 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(OC)no2)C1 ZINC001265234829 901755114 /nfs/dbraw/zinc/75/51/14/901755114.db2.gz BXZSJGHPMXJUNU-CYBMUJFWSA-N 1 2 323.393 1.357 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)[C@H]2CCC(C)=C(C)C2)CC1 ZINC001265259521 901781660 /nfs/dbraw/zinc/78/16/60/901781660.db2.gz CATZCLYLPKDLMF-SFHVURJKSA-N 1 2 317.477 1.880 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(Cl)nc2)C1 ZINC001265283391 901819615 /nfs/dbraw/zinc/81/96/15/901819615.db2.gz FAAXCYMGMFOEPJ-OCCSQVGLSA-N 1 2 321.808 1.464 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(Cl)nc2)C1 ZINC001265283391 901819629 /nfs/dbraw/zinc/81/96/29/901819629.db2.gz FAAXCYMGMFOEPJ-OCCSQVGLSA-N 1 2 321.808 1.464 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CCC[N@H+](Cc2cnns2)C1 ZINC001265597391 902180520 /nfs/dbraw/zinc/18/05/20/902180520.db2.gz XLELVQGNJFHGGJ-ZDUSSCGKSA-N 1 2 324.450 1.459 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CCC[N@@H+](Cc2cnns2)C1 ZINC001265597391 902180529 /nfs/dbraw/zinc/18/05/29/902180529.db2.gz XLELVQGNJFHGGJ-ZDUSSCGKSA-N 1 2 324.450 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)COC[C@@H]2CCCO2)C1 ZINC001391633160 902196740 /nfs/dbraw/zinc/19/67/40/902196740.db2.gz KIEXMRNFNVOAGA-KGLIPLIRSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)COC[C@@H]2CCCO2)C1 ZINC001391633160 902196753 /nfs/dbraw/zinc/19/67/53/902196753.db2.gz KIEXMRNFNVOAGA-KGLIPLIRSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001293699661 914645607 /nfs/dbraw/zinc/64/56/07/914645607.db2.gz ZOAYPKBZCCWXLJ-GUYCJALGSA-N 1 2 318.421 1.614 20 30 DDEDLO CC1(C)CC[C@H](C(=O)N[C@H]2CCC[N@H+](CC(=O)NCC#N)C2)C1 ZINC001266236228 903168968 /nfs/dbraw/zinc/16/89/68/903168968.db2.gz FVPNIEIBFOJQII-KBPBESRZSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CC[C@H](C(=O)N[C@H]2CCC[N@@H+](CC(=O)NCC#N)C2)C1 ZINC001266236228 903168972 /nfs/dbraw/zinc/16/89/72/903168972.db2.gz FVPNIEIBFOJQII-KBPBESRZSA-N 1 2 320.437 1.033 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[N@H+](Cc3ncnn3CC)C[C@@H]2C1 ZINC001279372469 903240844 /nfs/dbraw/zinc/24/08/44/903240844.db2.gz XJHLKEWKJCYINB-GASCZTMLSA-N 1 2 317.437 1.545 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[N@@H+](Cc3ncnn3CC)C[C@@H]2C1 ZINC001279372469 903240856 /nfs/dbraw/zinc/24/08/56/903240856.db2.gz XJHLKEWKJCYINB-GASCZTMLSA-N 1 2 317.437 1.545 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1cnn(C)n1 ZINC001279574642 903334425 /nfs/dbraw/zinc/33/44/25/903334425.db2.gz ARXBKUWPNPZHQN-JKSUJKDBSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1cnn(C)n1 ZINC001279574642 903334438 /nfs/dbraw/zinc/33/44/38/903334438.db2.gz ARXBKUWPNPZHQN-JKSUJKDBSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2[C@@H](CC)C(N)=O)CCC1 ZINC001316601128 903500554 /nfs/dbraw/zinc/50/05/54/903500554.db2.gz BDDFXYCTJHIGBC-KGLIPLIRSA-N 1 2 307.438 1.529 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@@H](CC)C(N)=O)CCC1 ZINC001316601128 903500569 /nfs/dbraw/zinc/50/05/69/903500569.db2.gz BDDFXYCTJHIGBC-KGLIPLIRSA-N 1 2 307.438 1.529 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnoc1C(C)C ZINC001316602930 903620013 /nfs/dbraw/zinc/62/00/13/903620013.db2.gz AOYIJELRERMAEF-AWEZNQCLSA-N 1 2 319.405 1.594 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnoc1C(C)C ZINC001316602930 903620022 /nfs/dbraw/zinc/62/00/22/903620022.db2.gz AOYIJELRERMAEF-AWEZNQCLSA-N 1 2 319.405 1.594 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)N(C)C(=O)CCc1c[nH+]cn1C ZINC001280492340 903735518 /nfs/dbraw/zinc/73/55/18/903735518.db2.gz NULHAHHHOUCZQI-AWEZNQCLSA-N 1 2 320.437 1.672 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](C)N(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001280523204 903758576 /nfs/dbraw/zinc/75/85/76/903758576.db2.gz GZWMDMIPUCRBIR-LBPRGKRZSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001280774319 904015431 /nfs/dbraw/zinc/01/54/31/904015431.db2.gz ZAETVOZZIKDZPW-HDJSIYSDSA-N 1 2 318.421 1.628 20 30 DDEDLO C=CCC[C@H](C)[NH+]1CC2(C1)CN(C(=O)c1cc(C)[nH]n1)CCO2 ZINC001280889339 904152630 /nfs/dbraw/zinc/15/26/30/904152630.db2.gz NTNYNHZCMKXZHB-AWEZNQCLSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCOC2(C[NH+](C[C@H](CC)OC)C2)C1 ZINC001280899088 904165599 /nfs/dbraw/zinc/16/55/99/904165599.db2.gz MUTLUGKJDJIDLW-HNNXBMFYSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H](C)c1cnn(C)c1)O2 ZINC001280937443 904215903 /nfs/dbraw/zinc/21/59/03/904215903.db2.gz VQBSQFKEYNKYJT-ZFWWWQNUSA-N 1 2 318.421 1.059 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](NC(=O)Cc2c[nH+]cn2C)C[C@@H]1C ZINC001281179045 904532763 /nfs/dbraw/zinc/53/27/63/904532763.db2.gz ILWVFRJAXKFJLY-UONOGXRCSA-N 1 2 318.421 1.425 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)C[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001281441418 904853446 /nfs/dbraw/zinc/85/34/46/904853446.db2.gz FYGOZSWVTILCDB-ZIAGYGMSSA-N 1 2 318.421 1.401 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)C[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001281441418 904853460 /nfs/dbraw/zinc/85/34/60/904853460.db2.gz FYGOZSWVTILCDB-ZIAGYGMSSA-N 1 2 318.421 1.401 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1cccc(C(=O)NC)c1 ZINC001377367538 921147807 /nfs/dbraw/zinc/14/78/07/921147807.db2.gz KCJBVUOPYAYUSD-UHFFFAOYSA-N 1 2 323.824 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1cccc(C(=O)NC)c1 ZINC001377367538 921147810 /nfs/dbraw/zinc/14/78/10/921147810.db2.gz KCJBVUOPYAYUSD-UHFFFAOYSA-N 1 2 323.824 1.850 20 30 DDEDLO Cc1cnc([C@@H](C)[NH2+]C2(CNC(=O)c3cc(C#N)c[nH]3)CC2)o1 ZINC001392901134 905644131 /nfs/dbraw/zinc/64/41/31/905644131.db2.gz XDNZOESZUGVDJP-LLVKDONJSA-N 1 2 313.361 1.796 20 30 DDEDLO CC(C)c1noc(C[N@@H+]2CCC[C@H](NC(=O)[C@H](C)C#N)CC2)n1 ZINC001393599335 907432851 /nfs/dbraw/zinc/43/28/51/907432851.db2.gz LDXNMUFJTKEDFH-OLZOCXBDSA-N 1 2 319.409 1.823 20 30 DDEDLO CC(C)c1noc(C[N@H+]2CCC[C@H](NC(=O)[C@H](C)C#N)CC2)n1 ZINC001393599335 907432856 /nfs/dbraw/zinc/43/28/56/907432856.db2.gz LDXNMUFJTKEDFH-OLZOCXBDSA-N 1 2 319.409 1.823 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@H](C2CC2)N(C)C(=O)C#CC(C)C)no1 ZINC001283500963 907476556 /nfs/dbraw/zinc/47/65/56/907476556.db2.gz ATQJBGDOQYLICZ-IUODEOHRSA-N 1 2 318.421 1.925 20 30 DDEDLO C[C@H](NC(=O)c1ccc(C#N)[nH]1)[C@H](C)[NH2+]Cc1cnsn1 ZINC001393937058 908320697 /nfs/dbraw/zinc/32/06/97/908320697.db2.gz UBWDWIZBSHJTNA-IUCAKERBSA-N 1 2 304.379 1.034 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)C1 ZINC001284017764 908324459 /nfs/dbraw/zinc/32/44/59/908324459.db2.gz JJFANEODSXWAMU-KBPBESRZSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001284270336 908753836 /nfs/dbraw/zinc/75/38/36/908753836.db2.gz MOMPGSOLOSRIJY-JHJVBQTASA-N 1 2 318.421 1.736 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001284270336 908753851 /nfs/dbraw/zinc/75/38/51/908753851.db2.gz MOMPGSOLOSRIJY-JHJVBQTASA-N 1 2 318.421 1.736 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cc(OCC)no1 ZINC001394093167 908769203 /nfs/dbraw/zinc/76/92/03/908769203.db2.gz MRQRNVLTTMWMFQ-SNVBAGLBSA-N 1 2 301.774 1.876 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cc(OCC)no1 ZINC001394093167 908769216 /nfs/dbraw/zinc/76/92/16/908769216.db2.gz MRQRNVLTTMWMFQ-SNVBAGLBSA-N 1 2 301.774 1.876 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001284692390 909360707 /nfs/dbraw/zinc/36/07/07/909360707.db2.gz SCYSUGTVHBNYLB-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](CCN(C)C(=O)[C@H](C)C2CC2)CC1 ZINC001284820248 909526426 /nfs/dbraw/zinc/52/64/26/909526426.db2.gz RILQYDQEVKVVNA-OAHLLOKOSA-N 1 2 321.465 1.601 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCN(C)C(=O)CCC)CC1 ZINC001284835600 909549160 /nfs/dbraw/zinc/54/91/60/909549160.db2.gz NEBDXDUFJADDNP-UHFFFAOYSA-N 1 2 307.438 1.193 20 30 DDEDLO C[C@H](C#N)C(=O)NC1C[NH+](CCn2cc(-c3ccccc3)cn2)C1 ZINC001373416635 909801711 /nfs/dbraw/zinc/80/17/11/909801711.db2.gz LPLUKZIMHSURBZ-CQSZACIVSA-N 1 2 323.400 1.510 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C(C)C)C1 ZINC001285341404 910380178 /nfs/dbraw/zinc/38/01/78/910380178.db2.gz PJHSIDLUQJKGOC-ZDUSSCGKSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001285391761 910456824 /nfs/dbraw/zinc/45/68/24/910456824.db2.gz NLIRPVDPXORCEY-NWDGAFQWSA-N 1 2 304.394 1.318 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001285660329 910990280 /nfs/dbraw/zinc/99/02/80/910990280.db2.gz LIJGVLWTLBFZPR-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001285660329 910990289 /nfs/dbraw/zinc/99/02/89/910990289.db2.gz LIJGVLWTLBFZPR-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)NC(=O)c1cccc2[nH+]ccn21 ZINC001285671394 911011702 /nfs/dbraw/zinc/01/17/02/911011702.db2.gz ZAJZOOOOSPEAQL-CYBMUJFWSA-N 1 2 314.389 1.925 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001285867810 911335577 /nfs/dbraw/zinc/33/55/77/911335577.db2.gz ZIVTUKFEGPMOSX-CYBMUJFWSA-N 1 2 304.394 1.334 20 30 DDEDLO C=CCC1(C(=O)N(C)CCCNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001294268296 915028223 /nfs/dbraw/zinc/02/82/23/915028223.db2.gz RPNBRXHBZYNOBD-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C1CC(C)(C(=O)N(CC)CCCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001294550328 915205053 /nfs/dbraw/zinc/20/50/53/915205053.db2.gz OXYMQXUNBLGHJF-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1cc[nH+]c1 ZINC001295358498 915739875 /nfs/dbraw/zinc/73/98/75/915739875.db2.gz VVEONVXQHYHERS-HALDLXJZSA-N 1 2 316.405 1.203 20 30 DDEDLO CO[C@@H](C)c1nc(C[NH2+]C2(CNC(=O)[C@H](C)C#N)CC2)cs1 ZINC001375401126 915824481 /nfs/dbraw/zinc/82/44/81/915824481.db2.gz BSDXJRGGLMXURT-MNOVXSKESA-N 1 2 322.434 1.749 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001295519242 915870130 /nfs/dbraw/zinc/87/01/30/915870130.db2.gz WWSHSIHRKSKSGC-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCN(CC)C(=O)Cc1c[nH+]cn1C ZINC001296370917 916366145 /nfs/dbraw/zinc/36/61/45/916366145.db2.gz LTTHQWXRAUDDCW-QGZVFWFLSA-N 1 2 320.437 1.530 20 30 DDEDLO CCC(CC)C(=O)N[C@H]1CC[N@H+](CC(=O)N(CC)CCC#N)C1 ZINC001376119862 917724370 /nfs/dbraw/zinc/72/43/70/917724370.db2.gz OAQCQPOJSKJQMZ-HNNXBMFYSA-N 1 2 322.453 1.375 20 30 DDEDLO CCC(CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)N(CC)CCC#N)C1 ZINC001376119862 917724380 /nfs/dbraw/zinc/72/43/80/917724380.db2.gz OAQCQPOJSKJQMZ-HNNXBMFYSA-N 1 2 322.453 1.375 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H](CNC(=O)[C@H](C)C#N)C2CC2)n1 ZINC001376197112 917933967 /nfs/dbraw/zinc/93/39/67/917933967.db2.gz KEKSRWVAAZQACK-PWSUYJOCSA-N 1 2 305.382 1.166 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cn(CCC(C)C)nn1 ZINC001377215686 920662154 /nfs/dbraw/zinc/66/21/54/920662154.db2.gz NMOAARNWPYQKDF-UHFFFAOYSA-N 1 2 313.833 1.738 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cn(CCC(C)C)nn1 ZINC001377215686 920662161 /nfs/dbraw/zinc/66/21/61/920662161.db2.gz NMOAARNWPYQKDF-UHFFFAOYSA-N 1 2 313.833 1.738 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1cc2n(n1)CCCO2 ZINC001377322530 921045402 /nfs/dbraw/zinc/04/54/02/921045402.db2.gz IFBRCWUFZHKDOU-UHFFFAOYSA-N 1 2 312.801 1.470 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1cc2n(n1)CCCO2 ZINC001377322530 921045415 /nfs/dbraw/zinc/04/54/15/921045415.db2.gz IFBRCWUFZHKDOU-UHFFFAOYSA-N 1 2 312.801 1.470 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1ccnc(N(C)C)c1 ZINC001377336089 921081948 /nfs/dbraw/zinc/08/19/48/921081948.db2.gz DWJAGMBTPWUZTD-UHFFFAOYSA-N 1 2 310.829 1.952 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1ccnc(N(C)C)c1 ZINC001377336089 921081960 /nfs/dbraw/zinc/08/19/60/921081960.db2.gz DWJAGMBTPWUZTD-UHFFFAOYSA-N 1 2 310.829 1.952 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)C1CCC(O)CC1)C1CC1 ZINC001377527370 922232632 /nfs/dbraw/zinc/23/26/32/922232632.db2.gz SEWZTBBOHOILCB-UHFFFAOYSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)C1CCC(O)CC1)C1CC1 ZINC001377527370 922232649 /nfs/dbraw/zinc/23/26/49/922232649.db2.gz SEWZTBBOHOILCB-UHFFFAOYSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)[C@@H]2CCC2(F)F)CC1 ZINC001377561714 922337620 /nfs/dbraw/zinc/33/76/20/922337620.db2.gz IVYXZLRLCLIPJT-NSHDSACASA-N 1 2 322.783 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cc(CC)n(C)n1)C1CC1 ZINC001377569400 922361633 /nfs/dbraw/zinc/36/16/33/922361633.db2.gz BOXMAJSAAIBQRI-UHFFFAOYSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cc(CC)n(C)n1)C1CC1 ZINC001377569400 922361648 /nfs/dbraw/zinc/36/16/48/922361648.db2.gz BOXMAJSAAIBQRI-UHFFFAOYSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000278523748 214210762 /nfs/dbraw/zinc/21/07/62/214210762.db2.gz CWHPYNLHIWKTJD-GOSISDBHSA-N 1 2 318.417 1.537 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)c2cc(C)c(C#N)cn2)CCO1 ZINC000457755312 529393294 /nfs/dbraw/zinc/39/32/94/529393294.db2.gz GFNRNHMOFYGPIF-CQSZACIVSA-N 1 2 302.378 1.102 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)c2cc(C)c(C#N)cn2)CCO1 ZINC000457755312 529393297 /nfs/dbraw/zinc/39/32/97/529393297.db2.gz GFNRNHMOFYGPIF-CQSZACIVSA-N 1 2 302.378 1.102 20 30 DDEDLO CC1(C)NC(=O)CC[C@@H]1NC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000328751022 539298889 /nfs/dbraw/zinc/29/88/89/539298889.db2.gz QTLDCENNGZWYQK-LBPRGKRZSA-N 1 2 324.425 1.252 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)N1CCc2cncnc2C1 ZINC000328825790 539299038 /nfs/dbraw/zinc/29/90/38/539299038.db2.gz SNCLCXSEBYGTAB-CQSZACIVSA-N 1 2 324.388 1.423 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CCC[C@H]1c1[nH+]c2c(n1C)CCCC2 ZINC000416468033 295695876 /nfs/dbraw/zinc/69/58/76/295695876.db2.gz DNRYWDMNXPPOJE-FZMZJTMJSA-N 1 2 322.434 1.678 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N[C@H]2CC[C@H](CO)CC2)c[nH+]1 ZINC000330946849 529778948 /nfs/dbraw/zinc/77/89/48/529778948.db2.gz AUQLZPBGXSUMCD-MQMHXKEQSA-N 1 2 306.410 1.702 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)N2CCCC3(CCOCC3)C2)C1 ZINC000329769904 529782369 /nfs/dbraw/zinc/78/23/69/529782369.db2.gz IGKIDVLBFIJBSY-AWEZNQCLSA-N 1 2 311.426 1.124 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)N2CCCC3(CCOCC3)C2)C1 ZINC000329769904 529782372 /nfs/dbraw/zinc/78/23/72/529782372.db2.gz IGKIDVLBFIJBSY-AWEZNQCLSA-N 1 2 311.426 1.124 20 30 DDEDLO CN(CC(=O)NC(C)(C)C)C(=O)NCc1cn2c([nH+]1)CCCC2 ZINC000330459512 529830324 /nfs/dbraw/zinc/83/03/24/529830324.db2.gz QDXYZTWZMIRVKK-UHFFFAOYSA-N 1 2 321.425 1.480 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CSc1nnnn1C1CCCC1 ZINC000414108491 529868203 /nfs/dbraw/zinc/86/82/03/529868203.db2.gz LUSNOFDUUICAFO-GFCCVEGCSA-N 1 2 310.427 1.087 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CSc1nnnn1C1CCCC1 ZINC000414108491 529868204 /nfs/dbraw/zinc/86/82/04/529868204.db2.gz LUSNOFDUUICAFO-GFCCVEGCSA-N 1 2 310.427 1.087 20 30 DDEDLO CN(CC1(O)CCCCCC1)C(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000330277284 282383355 /nfs/dbraw/zinc/38/33/55/282383355.db2.gz NZWWZBNPGYUIQU-AWEZNQCLSA-N 1 2 313.442 1.248 20 30 DDEDLO CN(CC1(O)CCCCCC1)C(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC000330277284 282383358 /nfs/dbraw/zinc/38/33/58/282383358.db2.gz NZWWZBNPGYUIQU-AWEZNQCLSA-N 1 2 313.442 1.248 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@@H]([C@H](C)O)C2)c(C#N)c1C ZINC000305649683 282398377 /nfs/dbraw/zinc/39/83/77/282398377.db2.gz MZEIDIFSPBRLPN-WCQYABFASA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@@H]([C@H](C)O)C2)c(C#N)c1C ZINC000305649683 282398380 /nfs/dbraw/zinc/39/83/80/282398380.db2.gz MZEIDIFSPBRLPN-WCQYABFASA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)NCCCCn1cc[nH+]c1 ZINC000315361490 290809287 /nfs/dbraw/zinc/80/92/87/290809287.db2.gz IKROGCSVJAKHTF-UHFFFAOYSA-N 1 2 318.402 1.822 20 30 DDEDLO C=CCOc1ccccc1CNC(=O)NC[C@H]1COCC[N@H+]1C ZINC000623598063 365949298 /nfs/dbraw/zinc/94/92/98/365949298.db2.gz NYYIGRGJIZKMDE-HNNXBMFYSA-N 1 2 319.405 1.381 20 30 DDEDLO C=CCOc1ccccc1CNC(=O)NC[C@H]1COCC[N@@H+]1C ZINC000623598063 365949306 /nfs/dbraw/zinc/94/93/06/365949306.db2.gz NYYIGRGJIZKMDE-HNNXBMFYSA-N 1 2 319.405 1.381 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)c1 ZINC000601224590 358454326 /nfs/dbraw/zinc/45/43/26/358454326.db2.gz HWSDHBZEOJGVMC-MRXNPFEDSA-N 1 2 314.389 1.887 20 30 DDEDLO Cc1nc(N2CCN(C(=O)NCC(C)(C)CC#N)CC2)cc[nH+]1 ZINC000459264566 233040397 /nfs/dbraw/zinc/04/03/97/233040397.db2.gz ZHSVFKICJULURL-UHFFFAOYSA-N 1 2 316.409 1.557 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@H+](C)C[C@H](O)c1ccc(C#N)cc1 ZINC000268739909 186373256 /nfs/dbraw/zinc/37/32/56/186373256.db2.gz ZFBUSOUZCRUKQP-DOMZBBRYSA-N 1 2 310.419 1.347 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@@H+](C)C[C@H](O)c1ccc(C#N)cc1 ZINC000268739909 186373258 /nfs/dbraw/zinc/37/32/58/186373258.db2.gz ZFBUSOUZCRUKQP-DOMZBBRYSA-N 1 2 310.419 1.347 20 30 DDEDLO C=CCn1cc(C(=O)NCc2ccc[nH+]c2N2CCCC2)nn1 ZINC000617479619 363126644 /nfs/dbraw/zinc/12/66/44/363126644.db2.gz TYXBXVYXEBFHOO-UHFFFAOYSA-N 1 2 312.377 1.389 20 30 DDEDLO CS(=O)(=O)N1CCC[N@H+](Cc2ccc(C#N)cc2F)CC1 ZINC000030694468 352257097 /nfs/dbraw/zinc/25/70/97/352257097.db2.gz YCQXLKUAOMPURD-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO CS(=O)(=O)N1CCC[N@@H+](Cc2ccc(C#N)cc2F)CC1 ZINC000030694468 352257100 /nfs/dbraw/zinc/25/71/00/352257100.db2.gz YCQXLKUAOMPURD-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO Cc1onc(CC(=O)N2CC[C@H](C)[C@@H](n3cc[nH+]c3)C2)c1C#N ZINC000331756609 234086584 /nfs/dbraw/zinc/08/65/84/234086584.db2.gz MFWXEIAAEIYRDB-NHYWBVRUSA-N 1 2 313.361 1.703 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@H+](CC)C[C@H](C)C#N)CC1 ZINC000064248877 352931883 /nfs/dbraw/zinc/93/18/83/352931883.db2.gz STSCQVDSIUOPBP-CYBMUJFWSA-N 1 2 309.410 1.270 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@@H+](CC)C[C@H](C)C#N)CC1 ZINC000064248877 352931884 /nfs/dbraw/zinc/93/18/84/352931884.db2.gz STSCQVDSIUOPBP-CYBMUJFWSA-N 1 2 309.410 1.270 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C(=O)N[C@]2(CC(C)(C)OC2(C)C)C1=O ZINC000491641813 234223725 /nfs/dbraw/zinc/22/37/25/234223725.db2.gz UAZILCPTXUQXOE-BDJLRTHQSA-N 1 2 307.394 1.166 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C(=O)N[C@]2(CC(C)(C)OC2(C)C)C1=O ZINC000491641813 234223726 /nfs/dbraw/zinc/22/37/26/234223726.db2.gz UAZILCPTXUQXOE-BDJLRTHQSA-N 1 2 307.394 1.166 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N(C)CC[NH+]1CCOCC1 ZINC000067550848 353055560 /nfs/dbraw/zinc/05/55/60/353055560.db2.gz DWIOLYODWOKFIY-UHFFFAOYSA-N 1 2 318.377 1.363 20 30 DDEDLO CCCCNC(=O)C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000070791650 353183397 /nfs/dbraw/zinc/18/33/97/353183397.db2.gz TUXGSIRIUJVLQR-UHFFFAOYSA-N 1 2 300.406 1.597 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000072831047 353219247 /nfs/dbraw/zinc/21/92/47/353219247.db2.gz JZGRIGHIFZUMBA-MRXNPFEDSA-N 1 2 309.373 1.292 20 30 DDEDLO C=CCSCCNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000073909318 353277987 /nfs/dbraw/zinc/27/79/87/353277987.db2.gz BTICGOFEMAORRE-CQSZACIVSA-N 1 2 313.467 1.412 20 30 DDEDLO CCC(=O)N1CCC[N@@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000077387712 353467014 /nfs/dbraw/zinc/46/70/14/353467014.db2.gz FFCIXEMKBAVVCE-PBHICJAKSA-N 1 2 322.453 1.374 20 30 DDEDLO CCC(=O)N1CCC[N@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000077387712 353467015 /nfs/dbraw/zinc/46/70/15/353467015.db2.gz FFCIXEMKBAVVCE-PBHICJAKSA-N 1 2 322.453 1.374 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CC[C@](O)(C(F)(F)F)C2)c1 ZINC000081050458 353649230 /nfs/dbraw/zinc/64/92/30/353649230.db2.gz MOPBUDHQYSJGCX-CYBMUJFWSA-N 1 2 313.279 1.496 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@](O)(C(F)(F)F)C2)c1 ZINC000081050458 353649233 /nfs/dbraw/zinc/64/92/33/353649233.db2.gz MOPBUDHQYSJGCX-CYBMUJFWSA-N 1 2 313.279 1.496 20 30 DDEDLO CC[N@H+](CCC#N)[C@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000081054888 353649294 /nfs/dbraw/zinc/64/92/94/353649294.db2.gz PESQGCXTCYLUGM-CYBMUJFWSA-N 1 2 302.378 1.636 20 30 DDEDLO CC[N@@H+](CCC#N)[C@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000081054888 353649297 /nfs/dbraw/zinc/64/92/97/353649297.db2.gz PESQGCXTCYLUGM-CYBMUJFWSA-N 1 2 302.378 1.636 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000089912203 353781930 /nfs/dbraw/zinc/78/19/30/353781930.db2.gz HKVJMSKTPZJSCT-KRWDZBQOSA-N 1 2 312.417 1.319 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+][C@@H](COCC(C)C)c1ccco1 ZINC000184200023 354280337 /nfs/dbraw/zinc/28/03/37/354280337.db2.gz OUVZWELXRCPIHK-ZDUSSCGKSA-N 1 2 323.393 1.595 20 30 DDEDLO Cn1c2cc(NC[C@@H](O)C[N@H+](C)CCC#N)ccc2ccc1=O ZINC000578316882 354706941 /nfs/dbraw/zinc/70/69/41/354706941.db2.gz QYICPAPOJCDLGQ-OAHLLOKOSA-N 1 2 314.389 1.157 20 30 DDEDLO Cn1c2cc(NC[C@@H](O)C[N@@H+](C)CCC#N)ccc2ccc1=O ZINC000578316882 354706945 /nfs/dbraw/zinc/70/69/45/354706945.db2.gz QYICPAPOJCDLGQ-OAHLLOKOSA-N 1 2 314.389 1.157 20 30 DDEDLO C[C@@H](Oc1ccc(F)c(F)c1)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000578657497 354711300 /nfs/dbraw/zinc/71/13/00/354711300.db2.gz NYCOCYDTZPIHIE-BMIGLBTASA-N 1 2 311.332 1.692 20 30 DDEDLO Cc1cn(-c2ccccc2)nc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000578992657 354714322 /nfs/dbraw/zinc/71/43/22/354714322.db2.gz OZCIIEHZJXDERP-QGZVFWFLSA-N 1 2 311.389 1.754 20 30 DDEDLO CO[C@@]1(CO)CCC[N@@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000402754169 354669717 /nfs/dbraw/zinc/66/97/17/354669717.db2.gz BQKVRRZKKBGAJN-DYVFJYSZSA-N 1 2 317.389 1.359 20 30 DDEDLO CO[C@@]1(CO)CCC[N@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000402754169 354669719 /nfs/dbraw/zinc/66/97/19/354669719.db2.gz BQKVRRZKKBGAJN-DYVFJYSZSA-N 1 2 317.389 1.359 20 30 DDEDLO Cc1ccnn1-c1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000584723246 354754026 /nfs/dbraw/zinc/75/40/26/354754026.db2.gz HKEQGVKHPFEDSU-QGZVFWFLSA-N 1 2 311.389 1.754 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)Nc1cnn(-c2ncccc2C#N)c1 ZINC000588682790 354928038 /nfs/dbraw/zinc/92/80/38/354928038.db2.gz UMGOZEWXGDZZBC-UHFFFAOYSA-N 1 2 307.317 1.054 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@H+](Cc3cccc(F)c3C#N)CCN2C1=O ZINC000589984548 355036995 /nfs/dbraw/zinc/03/69/95/355036995.db2.gz FTVJRXOXZFQGOJ-CQSZACIVSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@@H+](Cc3cccc(F)c3C#N)CCN2C1=O ZINC000589984548 355036997 /nfs/dbraw/zinc/03/69/97/355036997.db2.gz FTVJRXOXZFQGOJ-CQSZACIVSA-N 1 2 316.336 1.166 20 30 DDEDLO Cc1cccc(Cn2cc(C[NH+]3CCOCC3)cc(C#N)c2=O)c1 ZINC000590587987 355121491 /nfs/dbraw/zinc/12/14/91/355121491.db2.gz MQNFOLFALFRNFY-UHFFFAOYSA-N 1 2 323.396 1.909 20 30 DDEDLO CCC(=O)Nc1ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000591772483 355382349 /nfs/dbraw/zinc/38/23/49/355382349.db2.gz HCUUUBLXZVBMAT-HNNXBMFYSA-N 1 2 304.394 1.653 20 30 DDEDLO CCC(=O)Nc1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000591772483 355382352 /nfs/dbraw/zinc/38/23/52/355382352.db2.gz HCUUUBLXZVBMAT-HNNXBMFYSA-N 1 2 304.394 1.653 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000333214854 291065011 /nfs/dbraw/zinc/06/50/11/291065011.db2.gz USDHPGKDGMWDHO-YHUYYLMFSA-N 1 2 320.437 1.573 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000592131446 355508186 /nfs/dbraw/zinc/50/81/86/355508186.db2.gz YEBGRGDZPRTCIF-STQMWFEESA-N 1 2 314.380 1.020 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000592131446 355508190 /nfs/dbraw/zinc/50/81/90/355508190.db2.gz YEBGRGDZPRTCIF-STQMWFEESA-N 1 2 314.380 1.020 20 30 DDEDLO Cc1ccc(NC(=O)[C@@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)c(F)c1 ZINC000592147259 355513350 /nfs/dbraw/zinc/51/33/50/355513350.db2.gz MIRHUOQKBFJLOF-MLGOLLRUSA-N 1 2 305.353 1.812 20 30 DDEDLO Cc1ccc(NC(=O)[C@@H](C)[N@H+]2CC[C@](O)(CC#N)C2)c(F)c1 ZINC000592147259 355513354 /nfs/dbraw/zinc/51/33/54/355513354.db2.gz MIRHUOQKBFJLOF-MLGOLLRUSA-N 1 2 305.353 1.812 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2nc(-c3ccccc3F)no2)C1 ZINC000592151609 355517777 /nfs/dbraw/zinc/51/77/77/355517777.db2.gz OGMQXVJKXBHVEO-HNNXBMFYSA-N 1 2 302.309 1.726 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2nc(-c3ccccc3F)no2)C1 ZINC000592151609 355517779 /nfs/dbraw/zinc/51/77/79/355517779.db2.gz OGMQXVJKXBHVEO-HNNXBMFYSA-N 1 2 302.309 1.726 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2nnc(-c3cccc(C#N)c3)o2)C1 ZINC000592150899 355518017 /nfs/dbraw/zinc/51/80/17/355518017.db2.gz JGWUGXGPDMAMIX-INIZCTEOSA-N 1 2 309.329 1.459 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2nnc(-c3cccc(C#N)c3)o2)C1 ZINC000592150899 355518021 /nfs/dbraw/zinc/51/80/21/355518021.db2.gz JGWUGXGPDMAMIX-INIZCTEOSA-N 1 2 309.329 1.459 20 30 DDEDLO Cc1cc(Cl)ccc1NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592152452 355519215 /nfs/dbraw/zinc/51/92/15/355519215.db2.gz XXTCYGUIAVTMLO-HNNXBMFYSA-N 1 2 307.781 1.937 20 30 DDEDLO Cc1cc(Cl)ccc1NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592152452 355519219 /nfs/dbraw/zinc/51/92/19/355519219.db2.gz XXTCYGUIAVTMLO-HNNXBMFYSA-N 1 2 307.781 1.937 20 30 DDEDLO COc1ncncc1C[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000592514492 355609183 /nfs/dbraw/zinc/60/91/83/355609183.db2.gz DJYGLWWSEVTOQE-CQSZACIVSA-N 1 2 311.345 1.405 20 30 DDEDLO COc1ncncc1C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000592514492 355609186 /nfs/dbraw/zinc/60/91/86/355609186.db2.gz DJYGLWWSEVTOQE-CQSZACIVSA-N 1 2 311.345 1.405 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)NCC2(C#N)CCCC2)CCO1 ZINC000593335002 355856911 /nfs/dbraw/zinc/85/69/11/355856911.db2.gz VUOYTBLMNMGRJH-UHFFFAOYSA-N 1 2 308.426 1.480 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)NCC2(C#N)CCCC2)CCO1 ZINC000593335002 355856914 /nfs/dbraw/zinc/85/69/14/355856914.db2.gz VUOYTBLMNMGRJH-UHFFFAOYSA-N 1 2 308.426 1.480 20 30 DDEDLO C[C@@H]1CCCCN1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000594448364 356219757 /nfs/dbraw/zinc/21/97/57/356219757.db2.gz HRLQPQCCRMNMCP-OAHLLOKOSA-N 1 2 308.426 1.529 20 30 DDEDLO CCn1cc(N2C(=O)C[C@H]([N@@H+]3CCC[C@H](CC#N)C3)C2=O)cn1 ZINC000594659269 356278099 /nfs/dbraw/zinc/27/80/99/356278099.db2.gz ANANHGDHUDCZRU-OCCSQVGLSA-N 1 2 315.377 1.161 20 30 DDEDLO CCn1cc(N2C(=O)C[C@H]([N@H+]3CCC[C@H](CC#N)C3)C2=O)cn1 ZINC000594659269 356278103 /nfs/dbraw/zinc/27/81/03/356278103.db2.gz ANANHGDHUDCZRU-OCCSQVGLSA-N 1 2 315.377 1.161 20 30 DDEDLO Cc1cn2ccc(NC(=O)C(=O)NCC(C)(C)CC#N)cc2[nH+]1 ZINC000595037814 356375276 /nfs/dbraw/zinc/37/52/76/356375276.db2.gz CSAFBHFBGJGQQW-UHFFFAOYSA-N 1 2 313.361 1.637 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CCc3c[nH]nn3)CC2)c(F)c1 ZINC000595302981 356440532 /nfs/dbraw/zinc/44/05/32/356440532.db2.gz YWDTUGOPIFECRP-UHFFFAOYSA-N 1 2 300.341 1.180 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CCc3cn[nH]n3)CC2)c(F)c1 ZINC000595302981 356440536 /nfs/dbraw/zinc/44/05/36/356440536.db2.gz YWDTUGOPIFECRP-UHFFFAOYSA-N 1 2 300.341 1.180 20 30 DDEDLO CC[N@H+](C[C@@H](C)C#N)[C@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595305797 356441694 /nfs/dbraw/zinc/44/16/94/356441694.db2.gz QWLOKYJZKJOIQG-STQMWFEESA-N 1 2 309.410 1.411 20 30 DDEDLO CC[N@@H+](C[C@@H](C)C#N)[C@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595305797 356441695 /nfs/dbraw/zinc/44/16/95/356441695.db2.gz QWLOKYJZKJOIQG-STQMWFEESA-N 1 2 309.410 1.411 20 30 DDEDLO CN1CCC[C@@H]([NH+]2CCN(c3ccsc3C#N)CC2)C1=O ZINC000595630528 356584122 /nfs/dbraw/zinc/58/41/22/356584122.db2.gz ZBJWEQCKJDDRNG-CYBMUJFWSA-N 1 2 304.419 1.363 20 30 DDEDLO C[C@H](CC#N)C(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000596806672 356982514 /nfs/dbraw/zinc/98/25/14/356982514.db2.gz PDQHCWBONMZWLR-MCIONIFRSA-N 1 2 316.405 1.861 20 30 DDEDLO N#C[C@@H]1CN(C(=O)NCc2ccc[nH+]c2N2CCCC2)CCO1 ZINC000596690568 356953385 /nfs/dbraw/zinc/95/33/85/356953385.db2.gz GUSJBYXGOZEJJK-CQSZACIVSA-N 1 2 315.377 1.116 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](Cc2ccnn2CC2CC2)CC1 ZINC000597130596 357068775 /nfs/dbraw/zinc/06/87/75/357068775.db2.gz UIDNLRBHQZQKKB-UHFFFAOYSA-N 1 2 322.416 1.882 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1ccc(C#N)n1C)C(C)(C)CO ZINC000597847728 357357110 /nfs/dbraw/zinc/35/71/10/357357110.db2.gz RHEPPUIYIZKVMB-ZDUSSCGKSA-N 1 2 315.377 1.120 20 30 DDEDLO C[C@@H](C#N)N(C(=O)C[NH+]1CCN(c2ccccn2)CC1)C1CC1 ZINC000598128877 357469883 /nfs/dbraw/zinc/46/98/83/357469883.db2.gz QFQCYXDGXDQUAW-AWEZNQCLSA-N 1 2 313.405 1.107 20 30 DDEDLO C[C@]1(C#N)CCN(C(=O)c2ccc(C[NH+]3CCOCC3)cn2)C1 ZINC000598416836 357571091 /nfs/dbraw/zinc/57/10/91/357571091.db2.gz FTYPGYWQYIYCBV-QGZVFWFLSA-N 1 2 314.389 1.290 20 30 DDEDLO Cc1n[nH]c(C)c1[C@@H]1COCC[N@@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598602009 357649847 /nfs/dbraw/zinc/64/98/47/357649847.db2.gz YXPGIMGJJMTMKA-KGLIPLIRSA-N 1 2 306.410 1.701 20 30 DDEDLO Cc1n[nH]c(C)c1[C@@H]1COCC[N@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598602009 357649850 /nfs/dbraw/zinc/64/98/50/357649850.db2.gz YXPGIMGJJMTMKA-KGLIPLIRSA-N 1 2 306.410 1.701 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)N[C@@H]1CCO[C@]2(CCSC2)C1 ZINC000329630810 223005565 /nfs/dbraw/zinc/00/55/65/223005565.db2.gz VQBSEMQHMVZGBU-BXUZGUMPSA-N 1 2 310.423 1.478 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@H](C)[C@H](CO)C2)c(C#N)c1C ZINC000598645364 357667786 /nfs/dbraw/zinc/66/77/86/357667786.db2.gz IBFXMIBQIHQKIG-GWCFXTLKSA-N 1 2 305.378 1.657 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@H](C)[C@H](CO)C2)c(C#N)c1C ZINC000598645364 357667789 /nfs/dbraw/zinc/66/77/89/357667789.db2.gz IBFXMIBQIHQKIG-GWCFXTLKSA-N 1 2 305.378 1.657 20 30 DDEDLO C[C@]1([NH+]=C([O-])N[C@@H]2CCc3[nH]c[nH+]c3C2)CCO[C@@H]1C1CC1 ZINC000329735131 223020973 /nfs/dbraw/zinc/02/09/73/223020973.db2.gz KSEVUGGAXXXJNA-XFJVYGCCSA-N 1 2 304.394 1.728 20 30 DDEDLO C[C@]1([NH+]=C([O-])N[C@@H]2CCc3[nH+]c[nH]c3C2)CCO[C@@H]1C1CC1 ZINC000329735131 223020974 /nfs/dbraw/zinc/02/09/74/223020974.db2.gz KSEVUGGAXXXJNA-XFJVYGCCSA-N 1 2 304.394 1.728 20 30 DDEDLO CCC[C@@](C)([NH2+]C[C@H](O)CC1(C#N)CCOCC1)C(=O)OC ZINC000599302992 357884366 /nfs/dbraw/zinc/88/43/66/357884366.db2.gz LIVRUTLVMLITCD-UKRRQHHQSA-N 1 2 312.410 1.379 20 30 DDEDLO Cn1nccc1[C@H]1c2[nH]cnc2CC[N@H+]1CCCSCC#N ZINC000599444627 357940584 /nfs/dbraw/zinc/94/05/84/357940584.db2.gz LUSPBAQRMWJDSJ-HNNXBMFYSA-N 1 2 316.434 1.738 20 30 DDEDLO Cn1nccc1[C@H]1c2[nH]cnc2CC[N@@H+]1CCCSCC#N ZINC000599444627 357940588 /nfs/dbraw/zinc/94/05/88/357940588.db2.gz LUSPBAQRMWJDSJ-HNNXBMFYSA-N 1 2 316.434 1.738 20 30 DDEDLO Cn1cc(C[N@H+](C)Cc2ccc(S(C)(=O)=O)cc2)cc1C#N ZINC000276695823 213051954 /nfs/dbraw/zinc/05/19/54/213051954.db2.gz AIYXPGJUDLWPOI-UHFFFAOYSA-N 1 2 317.414 1.932 20 30 DDEDLO Cn1cc(C[N@@H+](C)Cc2ccc(S(C)(=O)=O)cc2)cc1C#N ZINC000276695823 213051958 /nfs/dbraw/zinc/05/19/58/213051958.db2.gz AIYXPGJUDLWPOI-UHFFFAOYSA-N 1 2 317.414 1.932 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](Cc3ccncc3)CC2)CC2(CC2)C1 ZINC000600964315 358360192 /nfs/dbraw/zinc/36/01/92/358360192.db2.gz OGFBXHYGBGBCIH-UHFFFAOYSA-N 1 2 310.401 1.810 20 30 DDEDLO Cc1cc(C#N)cc(Nc2cnn(CC[NH+]3CCOCC3)c2)n1 ZINC000601113411 358406998 /nfs/dbraw/zinc/40/69/98/358406998.db2.gz FQEFGGBCGXNDEY-UHFFFAOYSA-N 1 2 312.377 1.534 20 30 DDEDLO C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccccc1CC#N ZINC000601385666 358529211 /nfs/dbraw/zinc/52/92/11/358529211.db2.gz RHCSATRBUVPAER-STQMWFEESA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccccc1CC#N ZINC000601385666 358529215 /nfs/dbraw/zinc/52/92/15/358529215.db2.gz RHCSATRBUVPAER-STQMWFEESA-N 1 2 307.419 1.466 20 30 DDEDLO CN(C[C@H]1C[N@H+](C)CCO1)C(=O)Cc1c(F)cccc1C#N ZINC000601737980 358672142 /nfs/dbraw/zinc/67/21/42/358672142.db2.gz WXVOCUUTHQQUJW-CYBMUJFWSA-N 1 2 305.353 1.029 20 30 DDEDLO CN(C[C@H]1C[N@@H+](C)CCO1)C(=O)Cc1c(F)cccc1C#N ZINC000601737980 358672145 /nfs/dbraw/zinc/67/21/45/358672145.db2.gz WXVOCUUTHQQUJW-CYBMUJFWSA-N 1 2 305.353 1.029 20 30 DDEDLO CCn1ncnc1C[NH2+][C@@H]1CCN(Cc2ccc(C#N)cc2)C1=O ZINC000602630043 359084642 /nfs/dbraw/zinc/08/46/42/359084642.db2.gz LKNMCWHSRPOBRE-OAHLLOKOSA-N 1 2 324.388 1.060 20 30 DDEDLO Cn1nc(C(=O)OC(C)(C)C)cc1C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000602699660 359133590 /nfs/dbraw/zinc/13/35/90/359133590.db2.gz ZFFJMIXXSSANJF-INIZCTEOSA-N 1 2 320.393 1.226 20 30 DDEDLO Cn1nc(C(=O)OC(C)(C)C)cc1C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000602699660 359133593 /nfs/dbraw/zinc/13/35/93/359133593.db2.gz ZFFJMIXXSSANJF-INIZCTEOSA-N 1 2 320.393 1.226 20 30 DDEDLO Cc1cccc(CNC(=O)C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)c1 ZINC000602854320 359239910 /nfs/dbraw/zinc/23/99/10/359239910.db2.gz BNUJRZCOEUFTRR-HZPDHXFCSA-N 1 2 314.433 1.529 20 30 DDEDLO C[C@@H]1C[C@H](C)CN(C(=O)C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)C1 ZINC000602856280 359242555 /nfs/dbraw/zinc/24/25/55/359242555.db2.gz JQQRCBCLZHKJMY-GEEKYZPCSA-N 1 2 306.454 1.409 20 30 DDEDLO C[C@@H]1C[C@H](C)CN(C(=O)C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)C1 ZINC000602856280 359242560 /nfs/dbraw/zinc/24/25/60/359242560.db2.gz JQQRCBCLZHKJMY-GEEKYZPCSA-N 1 2 306.454 1.409 20 30 DDEDLO C[C@@H]1C[C@H](C)CN(C(=O)C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)C1 ZINC000602856279 359242589 /nfs/dbraw/zinc/24/25/89/359242589.db2.gz JQQRCBCLZHKJMY-FZKCQIBNSA-N 1 2 306.454 1.409 20 30 DDEDLO CCn1nc(C)c(C[N@H+](C)[C@@H](C)C(=O)N(C)CCC#N)c1C ZINC000602925253 359297515 /nfs/dbraw/zinc/29/75/15/359297515.db2.gz IJRSMCGWDGODJD-AWEZNQCLSA-N 1 2 305.426 1.712 20 30 DDEDLO CCn1nc(C)c(C[N@@H+](C)[C@@H](C)C(=O)N(C)CCC#N)c1C ZINC000602925253 359297521 /nfs/dbraw/zinc/29/75/21/359297521.db2.gz IJRSMCGWDGODJD-AWEZNQCLSA-N 1 2 305.426 1.712 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@H+](CCOc2ccccc2C#N)CCO1 ZINC000603002606 359350266 /nfs/dbraw/zinc/35/02/66/359350266.db2.gz JAVYAMCWABFHJZ-QGZVFWFLSA-N 1 2 318.373 1.591 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@@H+](CCOc2ccccc2C#N)CCO1 ZINC000603002606 359350270 /nfs/dbraw/zinc/35/02/70/359350270.db2.gz JAVYAMCWABFHJZ-QGZVFWFLSA-N 1 2 318.373 1.591 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)NC[C@H]1COCC[N@H+]1C ZINC000624742901 366540328 /nfs/dbraw/zinc/54/03/28/366540328.db2.gz JTQDKOXGMXQSRH-ZDUSSCGKSA-N 1 2 309.435 1.776 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)NC[C@H]1COCC[N@@H+]1C ZINC000624742901 366540336 /nfs/dbraw/zinc/54/03/36/366540336.db2.gz JTQDKOXGMXQSRH-ZDUSSCGKSA-N 1 2 309.435 1.776 20 30 DDEDLO COc1cc(C)nc(N2CC[NH+](Cc3ccccc3C#N)CC2)n1 ZINC000609273284 360285990 /nfs/dbraw/zinc/28/59/90/360285990.db2.gz JJGHNXDUIYIWOH-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)NC2CCCCCC2)CC1 ZINC000609485878 360313582 /nfs/dbraw/zinc/31/35/82/360313582.db2.gz ZFMQZVKGGFPHLB-KRWDZBQOSA-N 1 2 320.481 1.991 20 30 DDEDLO CCOC[C@H](C(=O)OC)[N@H+](C)CCOc1cccc(C#N)c1 ZINC000610998572 360596176 /nfs/dbraw/zinc/59/61/76/360596176.db2.gz KKLDMVZDYQDEPF-OAHLLOKOSA-N 1 2 306.362 1.447 20 30 DDEDLO CCOC[C@H](C(=O)OC)[N@@H+](C)CCOc1cccc(C#N)c1 ZINC000610998572 360596181 /nfs/dbraw/zinc/59/61/81/360596181.db2.gz KKLDMVZDYQDEPF-OAHLLOKOSA-N 1 2 306.362 1.447 20 30 DDEDLO CCC[C@@H](O)CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000611003558 360597244 /nfs/dbraw/zinc/59/72/44/360597244.db2.gz URFNEUJXTMNIFS-QGZVFWFLSA-N 1 2 315.417 1.754 20 30 DDEDLO C[C@H](C(=O)N1C[C@H](C)C[C@@H](C)C1)[NH+]1CCN(CCC#N)CC1 ZINC000611174414 360647928 /nfs/dbraw/zinc/64/79/28/360647928.db2.gz IMKGVAQXLSNYAH-BZUAXINKSA-N 1 2 306.454 1.411 20 30 DDEDLO CCOC(=O)c1ccc(N2CC[NH+](CC#CCOC)CC2)nc1 ZINC000611194636 360656871 /nfs/dbraw/zinc/65/68/71/360656871.db2.gz IQERGTRKESYDHT-UHFFFAOYSA-N 1 2 317.389 1.030 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC000611484571 360729152 /nfs/dbraw/zinc/72/91/52/360729152.db2.gz AFIIRVYEWGCQJJ-GJZGRUSLSA-N 1 2 315.421 1.138 20 30 DDEDLO CN(C)C(=O)N1CC[N@H+](Cc2ccnc(C#N)c2)C(C)(C)C1 ZINC000611483746 360729936 /nfs/dbraw/zinc/72/99/36/360729936.db2.gz JTPVLFNVHHFDFP-UHFFFAOYSA-N 1 2 301.394 1.531 20 30 DDEDLO CN(C)C(=O)N1CC[N@@H+](Cc2ccnc(C#N)c2)C(C)(C)C1 ZINC000611483746 360729939 /nfs/dbraw/zinc/72/99/39/360729939.db2.gz JTPVLFNVHHFDFP-UHFFFAOYSA-N 1 2 301.394 1.531 20 30 DDEDLO Cc1cc(C)nc(N2CC[NH+](Cc3ccnc(C#N)c3)CC2)n1 ZINC000611941160 360864089 /nfs/dbraw/zinc/86/40/89/360864089.db2.gz AXZCBDBHNZICKE-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO CO[C@@H]1CN(C(=O)NC[C@@H]2CCCn3cc(C)[nH+]c32)C[C@@H]1OC ZINC000330188897 223084554 /nfs/dbraw/zinc/08/45/54/223084554.db2.gz UTIXRFRQGKHGPW-MELADBBJSA-N 1 2 322.409 1.329 20 30 DDEDLO Cn1nc(C[NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)c2ccccc21 ZINC000612674525 361104265 /nfs/dbraw/zinc/10/42/65/361104265.db2.gz AUHSGHYELDCJPK-KRWDZBQOSA-N 1 2 319.368 1.761 20 30 DDEDLO C=C[C@](C)(O)C(=O)N[C@@H]1CC[N@@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000613137427 361286721 /nfs/dbraw/zinc/28/67/21/361286721.db2.gz BBGSKVCJWLWPPM-YCPHGPKFSA-N 1 2 310.344 1.763 20 30 DDEDLO C=C[C@](C)(O)C(=O)N[C@@H]1CC[N@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000613137427 361286724 /nfs/dbraw/zinc/28/67/24/361286724.db2.gz BBGSKVCJWLWPPM-YCPHGPKFSA-N 1 2 310.344 1.763 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2cccc(CC#N)c2)[C@@H](C)C[N@@H+]1C ZINC000619494480 364037436 /nfs/dbraw/zinc/03/74/36/364037436.db2.gz CXFIUROUTKCPKM-OLZOCXBDSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2cccc(CC#N)c2)[C@@H](C)C[N@H+]1C ZINC000619494480 364037441 /nfs/dbraw/zinc/03/74/41/364037441.db2.gz CXFIUROUTKCPKM-OLZOCXBDSA-N 1 2 307.419 1.466 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ccnc(C)n3)CC2)c1C#N ZINC000619511287 364044400 /nfs/dbraw/zinc/04/44/00/364044400.db2.gz DRFARNWGVRDDHB-UHFFFAOYSA-N 1 2 311.393 1.021 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000619709322 364123707 /nfs/dbraw/zinc/12/37/07/364123707.db2.gz DBUDJIPUEQGBSP-ZDUSSCGKSA-N 1 2 311.411 1.000 20 30 DDEDLO COCC1(C#N)CC[NH+](Cc2cnc3ccc(C#N)cn23)CC1 ZINC000342820061 223313773 /nfs/dbraw/zinc/31/37/73/223313773.db2.gz GKKALDOSGDOICE-UHFFFAOYSA-N 1 2 309.373 1.958 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCc2cc(F)ccc2C1 ZINC000091894663 193123016 /nfs/dbraw/zinc/12/30/16/193123016.db2.gz VBCHYVMLKUZZFS-UHFFFAOYSA-N 1 2 314.364 1.840 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCc2cc(F)ccc2C1 ZINC000091894663 193123017 /nfs/dbraw/zinc/12/30/17/193123017.db2.gz VBCHYVMLKUZZFS-UHFFFAOYSA-N 1 2 314.364 1.840 20 30 DDEDLO Clc1ccc(C#CC[NH+]2CCN(c3nccnn3)CC2)cc1 ZINC000625639869 367065849 /nfs/dbraw/zinc/06/58/49/367065849.db2.gz WCJJWKYYZJEWIX-UHFFFAOYSA-N 1 2 313.792 1.699 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2nc3ccccc3o2)CC1 ZINC000264309322 203835454 /nfs/dbraw/zinc/83/54/54/203835454.db2.gz ZZAGWLUHZIUMCO-ZDUSSCGKSA-N 1 2 312.373 1.088 20 30 DDEDLO N#Cc1c(NC(=O)C[N@H+]2CC[C@H](O)C2)sc2c1CCCCC2 ZINC000265038016 204358632 /nfs/dbraw/zinc/35/86/32/204358632.db2.gz MIOXZKPEJVRGEF-NSHDSACASA-N 1 2 319.430 1.894 20 30 DDEDLO N#Cc1c(NC(=O)C[N@@H+]2CC[C@H](O)C2)sc2c1CCCCC2 ZINC000265038016 204358636 /nfs/dbraw/zinc/35/86/36/204358636.db2.gz MIOXZKPEJVRGEF-NSHDSACASA-N 1 2 319.430 1.894 20 30 DDEDLO C#CCCNC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000265062071 204371264 /nfs/dbraw/zinc/37/12/64/204371264.db2.gz LZKCQGWWBJFZDH-CYBMUJFWSA-N 1 2 307.419 1.444 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](CC(=O)Nc3ccccc3)CC2)n1 ZINC000265480814 204644036 /nfs/dbraw/zinc/64/40/36/204644036.db2.gz CWQQAQRHCCQERN-UHFFFAOYSA-N 1 2 321.384 1.714 20 30 DDEDLO C[C@@](O)(C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1)C1CC1 ZINC000288297738 220069641 /nfs/dbraw/zinc/06/96/41/220069641.db2.gz LKCFPTULCWBPHB-SFHVURJKSA-N 1 2 313.401 1.363 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC(S(=O)(=O)N3CCCC3)CC2)o1 ZINC000282820341 217272603 /nfs/dbraw/zinc/27/26/03/217272603.db2.gz UDKUCTRTUYRMDF-UHFFFAOYSA-N 1 2 323.418 1.541 20 30 DDEDLO C=CCSCCNC(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000105202773 194085611 /nfs/dbraw/zinc/08/56/11/194085611.db2.gz AOOHLQALZHLJLP-QWHCGFSZSA-N 1 2 301.456 1.314 20 30 DDEDLO N#Cc1ccc(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1Cl ZINC000567578807 304226723 /nfs/dbraw/zinc/22/67/23/304226723.db2.gz SDWGWOYRUNRRIJ-OKILXGFUSA-N 1 2 319.792 1.805 20 30 DDEDLO N#Cc1ccc(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1Cl ZINC000567578807 304226724 /nfs/dbraw/zinc/22/67/24/304226724.db2.gz SDWGWOYRUNRRIJ-OKILXGFUSA-N 1 2 319.792 1.805 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)N2CCc3ccccc32)CC1 ZINC000341980962 533110374 /nfs/dbraw/zinc/11/03/74/533110374.db2.gz DKSIIJOJSQAVAO-UHFFFAOYSA-N 1 2 313.401 1.828 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000347116391 533117250 /nfs/dbraw/zinc/11/72/50/533117250.db2.gz RYWHISQUVRRCAF-GOEBONIOSA-N 1 2 301.390 1.206 20 30 DDEDLO C=C(C)CNC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000156733428 197136458 /nfs/dbraw/zinc/13/64/58/197136458.db2.gz IIAYJUKUBYQGHM-UHFFFAOYSA-N 1 2 303.406 1.894 20 30 DDEDLO C[C@@H]([C@H](C)S(C)(=O)=O)[N@H+](C)C[C@H](O)c1ccc(C#N)cc1 ZINC000156392761 197109415 /nfs/dbraw/zinc/10/94/15/197109415.db2.gz CCUWNZOYJVCHRS-HUBLWGQQSA-N 1 2 310.419 1.345 20 30 DDEDLO C[C@@H]([C@H](C)S(C)(=O)=O)[N@@H+](C)C[C@H](O)c1ccc(C#N)cc1 ZINC000156392761 197109417 /nfs/dbraw/zinc/10/94/17/197109417.db2.gz CCUWNZOYJVCHRS-HUBLWGQQSA-N 1 2 310.419 1.345 20 30 DDEDLO COC[C@H]1C[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)CC(C)(C)O1 ZINC000330555856 533172635 /nfs/dbraw/zinc/17/26/35/533172635.db2.gz ZIDFPLUKLVHQOC-CJNGLKHVSA-N 1 2 311.426 1.167 20 30 DDEDLO COC[C@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)CC(C)(C)O1 ZINC000330555856 533172641 /nfs/dbraw/zinc/17/26/41/533172641.db2.gz ZIDFPLUKLVHQOC-CJNGLKHVSA-N 1 2 311.426 1.167 20 30 DDEDLO N#Cc1cccc(N2CCN(c3cc(NC4CC4)[nH+]cn3)CC2)n1 ZINC000413225690 224157247 /nfs/dbraw/zinc/15/72/47/224157247.db2.gz GEISQPAKBQPGRI-UHFFFAOYSA-N 1 2 321.388 1.644 20 30 DDEDLO N#Cc1cccc(N2CCN(c3cc(NC4CC4)nc[nH+]3)CC2)n1 ZINC000413225690 224157249 /nfs/dbraw/zinc/15/72/49/224157249.db2.gz GEISQPAKBQPGRI-UHFFFAOYSA-N 1 2 321.388 1.644 20 30 DDEDLO N#Cc1c[nH]c(C(=O)Nc2ccc(C[NH+]3CCOCC3)cc2)c1 ZINC000153235342 196863536 /nfs/dbraw/zinc/86/35/36/196863536.db2.gz LRASZSHWOOIAMN-UHFFFAOYSA-N 1 2 310.357 1.971 20 30 DDEDLO C=CCNC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000111788315 221510492 /nfs/dbraw/zinc/51/04/92/221510492.db2.gz BKQHYCJWXNJFOB-MRXNPFEDSA-N 1 2 303.406 1.415 20 30 DDEDLO COC(=O)C1([NH2+]CCOc2ccc(C#N)cc2)CCOCC1 ZINC000451753177 533252828 /nfs/dbraw/zinc/25/28/28/533252828.db2.gz ADHYMICYEREAPT-UHFFFAOYSA-N 1 2 304.346 1.249 20 30 DDEDLO N#Cc1ccc(CNC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000569323515 304345868 /nfs/dbraw/zinc/34/58/68/304345868.db2.gz GLUKYSGHTYAOMI-IYBDPMFKSA-N 1 2 314.389 1.221 20 30 DDEDLO N#Cc1ccc(CNC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000569323515 304345872 /nfs/dbraw/zinc/34/58/72/304345872.db2.gz GLUKYSGHTYAOMI-IYBDPMFKSA-N 1 2 314.389 1.221 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@H](C)C(=O)NC(=O)NC(C)(C)C)CC1 ZINC000341985428 292208608 /nfs/dbraw/zinc/20/86/08/292208608.db2.gz XLKFZQIPCHOTQN-GFCCVEGCSA-N 1 2 324.425 1.251 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1ccc(F)c(F)c1 ZINC000071818978 406846805 /nfs/dbraw/zinc/84/68/05/406846805.db2.gz ONWOASQTPLCYIM-UHFFFAOYSA-N 1 2 323.343 1.653 20 30 DDEDLO N#Cc1c(F)cccc1Nc1ccc(N2CCNC(=O)C2)[nH+]c1 ZINC000072073039 406873978 /nfs/dbraw/zinc/87/39/78/406873978.db2.gz DAYSIMQYCXASEL-UHFFFAOYSA-N 1 2 311.320 1.772 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCNC(=O)[C@@H]1C(C)C ZINC000077280614 406982996 /nfs/dbraw/zinc/98/29/96/406982996.db2.gz XXEAAXFXLOOQDL-INIZCTEOSA-N 1 2 317.389 1.402 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCNC(=O)[C@@H]1C(C)C ZINC000077280614 406982997 /nfs/dbraw/zinc/98/29/97/406982997.db2.gz XXEAAXFXLOOQDL-INIZCTEOSA-N 1 2 317.389 1.402 20 30 DDEDLO Cc1cc(NC(=O)CO[NH+]=C(N)c2ccc(C)c(F)c2)n(C)n1 ZINC000085260785 407097422 /nfs/dbraw/zinc/09/74/22/407097422.db2.gz NXOHPKQKRKIHEH-UHFFFAOYSA-N 1 2 319.340 1.452 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2ccsc2)CC1 ZINC000054362358 407184180 /nfs/dbraw/zinc/18/41/80/407184180.db2.gz LJEPBCHDVKDIOD-UHFFFAOYSA-N 1 2 307.419 1.198 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2ccsc2)CC1 ZINC000054362358 407184183 /nfs/dbraw/zinc/18/41/83/407184183.db2.gz LJEPBCHDVKDIOD-UHFFFAOYSA-N 1 2 307.419 1.198 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](Cc2cnc3cnccn23)CC1 ZINC000122916332 407314587 /nfs/dbraw/zinc/31/45/87/407314587.db2.gz YACAQITWYPWBDP-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C[C@H](CC#N)NC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000122846794 407312261 /nfs/dbraw/zinc/31/22/61/407312261.db2.gz JXDUMJQDZPZFIJ-LLVKDONJSA-N 1 2 311.345 1.444 20 30 DDEDLO CC1=C(C(=O)N(CCC#N)CC[NH+]2CCOCC2)CCCO1 ZINC000125480670 407390123 /nfs/dbraw/zinc/39/01/23/407390123.db2.gz CKDFTJYFWCTMDS-UHFFFAOYSA-N 1 2 307.394 1.145 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](c2nc(C3CC3)n[nH]2)C1 ZINC000152205004 407582925 /nfs/dbraw/zinc/58/29/25/407582925.db2.gz YXOMTIMKDBQLJX-YPMHNXCESA-N 1 2 303.410 1.552 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000185823055 407512212 /nfs/dbraw/zinc/51/22/12/407512212.db2.gz FYXPJCAHNYVWQC-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO Cc1nc(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)ccc1C#N ZINC000170886470 407544052 /nfs/dbraw/zinc/54/40/52/407544052.db2.gz XKKKELRYUGZNSM-DGCLKSJQSA-N 1 2 302.378 1.101 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@H+](CC(=O)NC2(C#N)CCCCC2)C1 ZINC000130604608 407699252 /nfs/dbraw/zinc/69/92/52/407699252.db2.gz LDJPUHJOBXVSJV-AWEZNQCLSA-N 1 2 320.437 1.320 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NC2(C#N)CCCCC2)C1 ZINC000130604608 407699255 /nfs/dbraw/zinc/69/92/55/407699255.db2.gz LDJPUHJOBXVSJV-AWEZNQCLSA-N 1 2 320.437 1.320 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[N@@H+](C)C(C)(C)C1 ZINC000234341730 407708752 /nfs/dbraw/zinc/70/87/52/407708752.db2.gz JWPRJLZWNCOOLK-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[N@H+](C)C(C)(C)C1 ZINC000234341730 407708756 /nfs/dbraw/zinc/70/87/56/407708756.db2.gz JWPRJLZWNCOOLK-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO C=CCN(CC=C)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000267186801 407780879 /nfs/dbraw/zinc/78/08/79/407780879.db2.gz QOTBFUSAWTWKDH-UHFFFAOYSA-N 1 2 319.409 1.421 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCc2ccc(F)cc2C1 ZINC000133955906 407879502 /nfs/dbraw/zinc/87/95/02/407879502.db2.gz MFZGYFHBPIONKZ-UHFFFAOYSA-N 1 2 314.364 1.840 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCc2ccc(F)cc2C1 ZINC000133955906 407879506 /nfs/dbraw/zinc/87/95/06/407879506.db2.gz MFZGYFHBPIONKZ-UHFFFAOYSA-N 1 2 314.364 1.840 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2sccc2C#N)[C@H](C)C1 ZINC000180392486 407884377 /nfs/dbraw/zinc/88/43/77/407884377.db2.gz FSNZBQWVJRGJIJ-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2sccc2C#N)[C@H](C)C1 ZINC000180392486 407884384 /nfs/dbraw/zinc/88/43/84/407884384.db2.gz FSNZBQWVJRGJIJ-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO C#CC[N@@H+](CCc1ccc(F)c(F)c1)[C@H]1CCS(=O)(=O)C1 ZINC000153785818 407900045 /nfs/dbraw/zinc/90/00/45/407900045.db2.gz KHEITCQBVOSWMS-ZDUSSCGKSA-N 1 2 313.369 1.630 20 30 DDEDLO C#CC[N@H+](CCc1ccc(F)c(F)c1)[C@H]1CCS(=O)(=O)C1 ZINC000153785818 407900049 /nfs/dbraw/zinc/90/00/49/407900049.db2.gz KHEITCQBVOSWMS-ZDUSSCGKSA-N 1 2 313.369 1.630 20 30 DDEDLO C=C(C)COCCNC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000134992629 407962810 /nfs/dbraw/zinc/96/28/10/407962810.db2.gz RFOUWOMCIZMKCM-UHFFFAOYSA-N 1 2 304.394 1.506 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000118979808 407971186 /nfs/dbraw/zinc/97/11/86/407971186.db2.gz BDPSQWYHWPXSGI-AWEZNQCLSA-N 1 2 310.357 1.512 20 30 DDEDLO C=C(C)C[N@@H+](C)[C@H](C)C(=O)N(CCC)[C@H]1CCS(=O)(=O)C1 ZINC000181270506 407973354 /nfs/dbraw/zinc/97/33/54/407973354.db2.gz UMSSTHAXGPFXTP-KGLIPLIRSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)C[N@H+](C)[C@H](C)C(=O)N(CCC)[C@H]1CCS(=O)(=O)C1 ZINC000181270506 407973358 /nfs/dbraw/zinc/97/33/58/407973358.db2.gz UMSSTHAXGPFXTP-KGLIPLIRSA-N 1 2 316.467 1.309 20 30 DDEDLO C#Cc1cccc(NC(=O)C[NH+]2CCN(c3ccccn3)CC2)c1 ZINC000154773378 408081220 /nfs/dbraw/zinc/08/12/20/408081220.db2.gz NMWVWOQTRLWHNR-UHFFFAOYSA-N 1 2 320.396 1.824 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@H](C)[N@H+]1CCc2sccc2C1 ZINC000268779633 408192558 /nfs/dbraw/zinc/19/25/58/408192558.db2.gz COFTVDOMTXGRJZ-LBPRGKRZSA-N 1 2 314.476 1.990 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@H](S(=O)(=O)NC3CC3)C2)c1 ZINC000120640202 408138716 /nfs/dbraw/zinc/13/87/16/408138716.db2.gz CBUJQBOMXZMICQ-AWEZNQCLSA-N 1 2 323.393 1.353 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@H](S(=O)(=O)NC3CC3)C2)c1 ZINC000120640202 408138723 /nfs/dbraw/zinc/13/87/23/408138723.db2.gz CBUJQBOMXZMICQ-AWEZNQCLSA-N 1 2 323.393 1.353 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@H](C)[N@@H+]1CCc2sccc2C1 ZINC000268779633 408192552 /nfs/dbraw/zinc/19/25/52/408192552.db2.gz COFTVDOMTXGRJZ-LBPRGKRZSA-N 1 2 314.476 1.990 20 30 DDEDLO C=C(C)C[N@@H+](C)CC(=O)Nc1nc(CC(=O)OCC)cs1 ZINC000157974063 408321674 /nfs/dbraw/zinc/32/16/74/408321674.db2.gz KOJNMSUMOBLZEV-UHFFFAOYSA-N 1 2 311.407 1.695 20 30 DDEDLO C=C(C)C[N@H+](C)CC(=O)Nc1nc(CC(=O)OCC)cs1 ZINC000157974063 408321679 /nfs/dbraw/zinc/32/16/79/408321679.db2.gz KOJNMSUMOBLZEV-UHFFFAOYSA-N 1 2 311.407 1.695 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@H+](CCC#N)CC2CC2)CCCCC1 ZINC000176253339 408323702 /nfs/dbraw/zinc/32/37/02/408323702.db2.gz NXXXAWWJGOFXAL-UHFFFAOYSA-N 1 2 321.421 1.604 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@@H+](CCC#N)CC2CC2)CCCCC1 ZINC000176253339 408323708 /nfs/dbraw/zinc/32/37/08/408323708.db2.gz NXXXAWWJGOFXAL-UHFFFAOYSA-N 1 2 321.421 1.604 20 30 DDEDLO N#Cc1cc(N2CC[NH+](CC(N)=O)CC2)ccc1C(F)(F)F ZINC000269494719 408329144 /nfs/dbraw/zinc/32/91/44/408329144.db2.gz JRTRDOMVKSMHDD-UHFFFAOYSA-N 1 2 312.295 1.184 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@H]1C(=O)OC(C)(C)C ZINC000156982109 408284166 /nfs/dbraw/zinc/28/41/66/408284166.db2.gz HLYBLSVAZKLCOG-AWEZNQCLSA-N 1 2 308.422 1.993 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@H]1C(=O)OC(C)(C)C ZINC000156982109 408284171 /nfs/dbraw/zinc/28/41/71/408284171.db2.gz HLYBLSVAZKLCOG-AWEZNQCLSA-N 1 2 308.422 1.993 20 30 DDEDLO C#CCN(C(=O)NCC[N@@H+]1CCOC(C)(C)C1)C1CCCC1 ZINC000176363280 408349482 /nfs/dbraw/zinc/34/94/82/408349482.db2.gz YTYSBFSQHDKSMX-UHFFFAOYSA-N 1 2 307.438 1.685 20 30 DDEDLO C#CCN(C(=O)NCC[N@H+]1CCOC(C)(C)C1)C1CCCC1 ZINC000176363280 408349486 /nfs/dbraw/zinc/34/94/86/408349486.db2.gz YTYSBFSQHDKSMX-UHFFFAOYSA-N 1 2 307.438 1.685 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cccc(C)c2C#N)CC1 ZINC000269667116 408385156 /nfs/dbraw/zinc/38/51/56/408385156.db2.gz NYBBUHHXBKDJMB-UHFFFAOYSA-N 1 2 317.414 1.196 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+]1Cc1ncc(-c2ccc(C#N)cc2)o1 ZINC000159557332 408417394 /nfs/dbraw/zinc/41/73/94/408417394.db2.gz KDBSGZQFHKJKFQ-AWEZNQCLSA-N 1 2 310.357 1.924 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+]1Cc1ncc(-c2ccc(C#N)cc2)o1 ZINC000159557332 408417401 /nfs/dbraw/zinc/41/74/01/408417401.db2.gz KDBSGZQFHKJKFQ-AWEZNQCLSA-N 1 2 310.357 1.924 20 30 DDEDLO N#Cc1cc(CN2CC[NH+](CC(=O)NC3CC3)CC2)ccc1F ZINC000160578719 408500531 /nfs/dbraw/zinc/50/05/31/408500531.db2.gz PFDGBWWBGJIANT-UHFFFAOYSA-N 1 2 316.380 1.094 20 30 DDEDLO CC[C@H](CC#N)NC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000183861248 408502982 /nfs/dbraw/zinc/50/29/82/408502982.db2.gz BVPBWSOEHFJGMG-CQSZACIVSA-N 1 2 301.394 1.012 20 30 DDEDLO C[C@H](C#N)Oc1ccccc1NC(=O)NCC[NH+]1CCOCC1 ZINC000184342599 408595398 /nfs/dbraw/zinc/59/53/98/408595398.db2.gz IFILGPBAAVJPEY-CYBMUJFWSA-N 1 2 318.377 1.431 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000265636826 408724719 /nfs/dbraw/zinc/72/47/19/408724719.db2.gz QTQUKZQJOBYRHL-HWZVDKPASA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000265636826 408724723 /nfs/dbraw/zinc/72/47/23/408724723.db2.gz QTQUKZQJOBYRHL-HWZVDKPASA-N 1 2 322.430 1.139 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH2+][C@H](COC)c1ccc(CC)o1 ZINC000184838155 408700967 /nfs/dbraw/zinc/70/09/67/408700967.db2.gz SZICZLIDZYJWQK-WCQYABFASA-N 1 2 323.393 1.519 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C/C(=C\C)CC)CC1 ZINC000163832108 408791843 /nfs/dbraw/zinc/79/18/43/408791843.db2.gz LIDLSNPULWASAE-TXFHJUGESA-N 1 2 317.433 1.183 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C/C(=C\C)CC)CC1 ZINC000163832108 408791848 /nfs/dbraw/zinc/79/18/48/408791848.db2.gz LIDLSNPULWASAE-TXFHJUGESA-N 1 2 317.433 1.183 20 30 DDEDLO C[C@H]1CCN(S(=O)(=O)CC2(C#N)CC2)C[C@@H]1n1cc[nH+]c1 ZINC000166015805 408815358 /nfs/dbraw/zinc/81/53/58/408815358.db2.gz CTYMDIDAKXBVAK-STQMWFEESA-N 1 2 308.407 1.400 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2ccc(C#N)[nH]2)C1 ZINC000276332905 408837485 /nfs/dbraw/zinc/83/74/85/408837485.db2.gz GXMFRDQBKZNVSC-CQSZACIVSA-N 1 2 302.378 1.069 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2ccc(C#N)[nH]2)C1 ZINC000276332905 408837487 /nfs/dbraw/zinc/83/74/87/408837487.db2.gz GXMFRDQBKZNVSC-CQSZACIVSA-N 1 2 302.378 1.069 20 30 DDEDLO CC[C@@H]1C[N@H+](C[C@H](O)c2ccc(C#N)cc2)C[C@@H](C)[S@@]1=O ZINC000285997439 408905364 /nfs/dbraw/zinc/90/53/64/408905364.db2.gz ORFXLQKCWHUSGJ-HGTOXVDESA-N 1 2 306.431 1.823 20 30 DDEDLO CC[C@@H]1C[N@@H+](C[C@H](O)c2ccc(C#N)cc2)C[C@@H](C)[S@@]1=O ZINC000285997439 408905365 /nfs/dbraw/zinc/90/53/65/408905365.db2.gz ORFXLQKCWHUSGJ-HGTOXVDESA-N 1 2 306.431 1.823 20 30 DDEDLO C#C[C@H](NC(=O)NCc1cc[nH+]c(N2CCOCC2)c1)C(C)C ZINC000282311004 409031418 /nfs/dbraw/zinc/03/14/18/409031418.db2.gz SJFMRUPJVPYIGS-HNNXBMFYSA-N 1 2 316.405 1.375 20 30 DDEDLO C=CC[N@H+](CCN1CCc2ccccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000293132391 409055691 /nfs/dbraw/zinc/05/56/91/409055691.db2.gz LJIJEYVRUIWQQX-MRXNPFEDSA-N 1 2 320.458 1.724 20 30 DDEDLO C=CC[N@@H+](CCN1CCc2ccccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000293132391 409055694 /nfs/dbraw/zinc/05/56/94/409055694.db2.gz LJIJEYVRUIWQQX-MRXNPFEDSA-N 1 2 320.458 1.724 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCN(C2=[NH+]C[C@H](C)S2)CC1 ZINC000279015116 409131446 /nfs/dbraw/zinc/13/14/46/409131446.db2.gz IAFSNZNRINMYRZ-BFHYXJOUSA-N 1 2 323.462 1.603 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000278940465 409116985 /nfs/dbraw/zinc/11/69/85/409116985.db2.gz NMPLCQDXQZRXFH-SFYZADRCSA-N 1 2 305.325 1.950 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)nn1 ZINC000283454294 409160261 /nfs/dbraw/zinc/16/02/61/409160261.db2.gz HOGZCXTWNAYMJB-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO C=CCC(C)(C)CNC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000293716661 409167236 /nfs/dbraw/zinc/16/72/36/409167236.db2.gz GACHDZAFHFFYHZ-UHFFFAOYSA-N 1 2 316.449 1.922 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CC[N@@H+](C)C[C@@H]1c1ccccc1 ZINC000288506819 409170097 /nfs/dbraw/zinc/17/00/97/409170097.db2.gz FMPYBXJFSQAATH-MRXNPFEDSA-N 1 2 315.417 1.584 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CC[N@H+](C)C[C@@H]1c1ccccc1 ZINC000288506819 409170101 /nfs/dbraw/zinc/17/01/01/409170101.db2.gz FMPYBXJFSQAATH-MRXNPFEDSA-N 1 2 315.417 1.584 20 30 DDEDLO Cc1cc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)ccn1 ZINC000279266331 409181466 /nfs/dbraw/zinc/18/14/66/409181466.db2.gz RCQSBYXRFBEIHE-UHFFFAOYSA-N 1 2 302.378 1.078 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)[C@H]2CCC(=O)c3ccccc32)CC1 ZINC000283906489 409237048 /nfs/dbraw/zinc/23/70/48/409237048.db2.gz KWWFIKVWHQYRSX-KRWDZBQOSA-N 1 2 310.397 1.914 20 30 DDEDLO COc1ccccc1CNC(=O)[C@@H](C)O[NH+]=C(N)[C@H]1CCCO1 ZINC000284028224 409260152 /nfs/dbraw/zinc/26/01/52/409260152.db2.gz ILLRNLGAVVHSHA-BXUZGUMPSA-N 1 2 321.377 1.168 20 30 DDEDLO NC(=[NH+]OCc1cc([N+](=O)[O-])cc2c1OCOC2)[C@@H]1CCCO1 ZINC000284025984 409260268 /nfs/dbraw/zinc/26/02/68/409260268.db2.gz IHQIPBLYBHHONV-LBPRGKRZSA-N 1 2 323.305 1.429 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)NCCc1ccc(F)cc1 ZINC000284089214 409272896 /nfs/dbraw/zinc/27/28/96/409272896.db2.gz KUEJKOSFDPYDPF-RISCZKNCSA-N 1 2 323.368 1.341 20 30 DDEDLO COC(=O)c1cccc(OCCO[NH+]=C(N)[C@H]2CCCO2)c1 ZINC000284434501 409341062 /nfs/dbraw/zinc/34/10/62/409341062.db2.gz OXLWLBDFOPYMNA-CYBMUJFWSA-N 1 2 308.334 1.320 20 30 DDEDLO Cc1ccc(NC(=O)[C@@H](C)O[NH+]=C(N)[C@@H]2CCCO2)c(F)c1 ZINC000284469260 409344142 /nfs/dbraw/zinc/34/41/42/409344142.db2.gz RCUBSYMMBLCFDH-MFKMUULPSA-N 1 2 309.341 1.929 20 30 DDEDLO CSc1cccc(NC(=O)CO[NH+]=C(N)[C@H]2CCCO2)c1 ZINC000284579863 409351202 /nfs/dbraw/zinc/35/12/02/409351202.db2.gz XHWDLTOEMWADIY-GFCCVEGCSA-N 1 2 309.391 1.815 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Nc1ccc(C#N)cc1 ZINC000285567962 409492200 /nfs/dbraw/zinc/49/22/00/409492200.db2.gz HNYXRWDQKQOYIB-BBRMVZONSA-N 1 2 314.389 1.885 20 30 DDEDLO Cn1ccnc1C[N@H+](C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000303384750 409548472 /nfs/dbraw/zinc/54/84/72/409548472.db2.gz UZLSCZUZNROOIU-CQSZACIVSA-N 1 2 300.362 1.163 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000303384750 409548483 /nfs/dbraw/zinc/54/84/83/409548483.db2.gz UZLSCZUZNROOIU-CQSZACIVSA-N 1 2 300.362 1.163 20 30 DDEDLO CN(C(=O)C[N@H+](C)C[C@H](O)C(F)(F)F)C1(C#N)CCCCC1 ZINC000305759263 409764584 /nfs/dbraw/zinc/76/45/84/409764584.db2.gz CQEGXNZNJXZTBV-NSHDSACASA-N 1 2 321.343 1.526 20 30 DDEDLO CN(C(=O)C[N@@H+](C)C[C@H](O)C(F)(F)F)C1(C#N)CCCCC1 ZINC000305759263 409764588 /nfs/dbraw/zinc/76/45/88/409764588.db2.gz CQEGXNZNJXZTBV-NSHDSACASA-N 1 2 321.343 1.526 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000332093515 409879410 /nfs/dbraw/zinc/87/94/10/409879410.db2.gz CSJYPFZIOYLHTN-FZMZJTMJSA-N 1 2 302.378 1.130 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(N)=O)CC2)c(OC(F)F)c1 ZINC000332226910 409994148 /nfs/dbraw/zinc/99/41/48/409994148.db2.gz MMFOZORITNHXCH-UHFFFAOYSA-N 1 2 324.331 1.746 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(N)=O)CC2)c(OC(F)F)c1 ZINC000332226910 409994161 /nfs/dbraw/zinc/99/41/61/409994161.db2.gz MMFOZORITNHXCH-UHFFFAOYSA-N 1 2 324.331 1.746 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)[C@H]1CCc2n[nH]nc2C1 ZINC000329001903 410046172 /nfs/dbraw/zinc/04/61/72/410046172.db2.gz WDGMMILBDFKUPA-NSHDSACASA-N 1 2 314.393 1.642 20 30 DDEDLO Cc1ccnc(CN2CC[NH+]([C@@H](C)C(=O)NC3CC3)CC2)n1 ZINC000328886979 410001644 /nfs/dbraw/zinc/00/16/44/410001644.db2.gz ZZAXLYAUFOAVII-ZDUSSCGKSA-N 1 2 303.410 1.410 20 30 DDEDLO O=C(C[C@@H]1CCCS(=O)(=O)C1)NCC[NH+]1CCSCC1 ZINC000328896208 410005846 /nfs/dbraw/zinc/00/58/46/410005846.db2.gz WSJCCHHTEAQULH-LBPRGKRZSA-N 1 2 320.480 1.207 20 30 DDEDLO Cc1noc([C@@H]2C[N@@H+](Cc3ccc(C#N)c(F)c3)CCN2C)n1 ZINC000332326004 410070203 /nfs/dbraw/zinc/07/02/03/410070203.db2.gz OHHKHDOWXDKRPK-HNNXBMFYSA-N 1 2 315.352 1.878 20 30 DDEDLO Cc1noc([C@@H]2C[N@H+](Cc3ccc(C#N)c(F)c3)CCN2C)n1 ZINC000332326004 410070208 /nfs/dbraw/zinc/07/02/08/410070208.db2.gz OHHKHDOWXDKRPK-HNNXBMFYSA-N 1 2 315.352 1.878 20 30 DDEDLO C[C@@H]1CN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)C[C@H]1C(N)=O ZINC000329081567 410091984 /nfs/dbraw/zinc/09/19/84/410091984.db2.gz RSGJZLBHFSCFCV-GRYCIOLGSA-N 1 2 305.382 1.778 20 30 DDEDLO C[C@H](CNC(=O)CCc1ccc(C#N)cc1)[NH+]1CCN(C)CC1 ZINC000339326628 410097110 /nfs/dbraw/zinc/09/71/10/410097110.db2.gz KXSLVYPADITDHV-OAHLLOKOSA-N 1 2 314.433 1.243 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)c2cc(C#N)ccn2)CCO1 ZINC000332391230 410123274 /nfs/dbraw/zinc/12/32/74/410123274.db2.gz CYCLWDXVEJTCQE-DOMZBBRYSA-N 1 2 311.345 1.337 20 30 DDEDLO Cc1nn(C)c(C)c1CCC(=O)NC[C@H]1CN(C)CC[N@@H+]1C ZINC000329234813 410182452 /nfs/dbraw/zinc/18/24/52/410182452.db2.gz MZHQNCNMVLDDAG-AWEZNQCLSA-N 1 2 307.442 1.172 20 30 DDEDLO Cc1nn(C)c(C)c1CCC(=O)NC[C@H]1CN(C)CC[N@H+]1C ZINC000329234813 410182459 /nfs/dbraw/zinc/18/24/59/410182459.db2.gz MZHQNCNMVLDDAG-AWEZNQCLSA-N 1 2 307.442 1.172 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H]([NH+]=C([O-])N1CCC[C@H](O)C1)C2 ZINC000329269429 410202932 /nfs/dbraw/zinc/20/29/32/410202932.db2.gz IJALIMZWZSDKFI-STQMWFEESA-N 1 2 320.437 1.866 20 30 DDEDLO C=CCOc1ccccc1CNC(=O)NCc1c[nH+]cn1C ZINC000357779101 410243207 /nfs/dbraw/zinc/24/32/07/410243207.db2.gz JFECKAJCOQQGFD-UHFFFAOYSA-N 1 2 300.362 1.984 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@H+](CC(=O)Nc3ccc(C#N)cc3)C2)[nH]1 ZINC000329481609 410321589 /nfs/dbraw/zinc/32/15/89/410321589.db2.gz RGCWUVGFZWMBBZ-AWEZNQCLSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@@H+](CC(=O)Nc3ccc(C#N)cc3)C2)[nH]1 ZINC000329481609 410321594 /nfs/dbraw/zinc/32/15/94/410321594.db2.gz RGCWUVGFZWMBBZ-AWEZNQCLSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@H+](CC(=O)Nc3ccc(C#N)cc3)C2)n1 ZINC000329481609 410321599 /nfs/dbraw/zinc/32/15/99/410321599.db2.gz RGCWUVGFZWMBBZ-AWEZNQCLSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@@H+](CC(=O)Nc3ccc(C#N)cc3)C2)n1 ZINC000329481609 410321607 /nfs/dbraw/zinc/32/16/07/410321607.db2.gz RGCWUVGFZWMBBZ-AWEZNQCLSA-N 1 2 324.388 1.803 20 30 DDEDLO COC(=O)CN(C)C(=O)CC[N@H+](CCC#N)Cc1ccco1 ZINC000357933117 410327397 /nfs/dbraw/zinc/32/73/97/410327397.db2.gz UFKNXNFRQYNRRB-UHFFFAOYSA-N 1 2 307.350 1.017 20 30 DDEDLO COC(=O)CN(C)C(=O)CC[N@@H+](CCC#N)Cc1ccco1 ZINC000357933117 410327408 /nfs/dbraw/zinc/32/74/08/410327408.db2.gz UFKNXNFRQYNRRB-UHFFFAOYSA-N 1 2 307.350 1.017 20 30 DDEDLO Cc1[nH+]ccn1CC[NH+]=C([O-])N1CCC[C@@H]1C1CCOCC1 ZINC000329426460 410291507 /nfs/dbraw/zinc/29/15/07/410291507.db2.gz GOMOCJQPKPAEHL-OAHLLOKOSA-N 1 2 306.410 1.997 20 30 DDEDLO CC[C@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355105220 410317226 /nfs/dbraw/zinc/31/72/26/410317226.db2.gz VZIGFEIVLYJZFE-UONOGXRCSA-N 1 2 315.421 1.378 20 30 DDEDLO Cc1noc([C@@H]2CCCN2C([O-])=[NH+][C@H]2CCc3[nH]c[nH+]c3C2)n1 ZINC000329635706 410395579 /nfs/dbraw/zinc/39/55/79/410395579.db2.gz XSYADKFSUWLIRK-GWCFXTLKSA-N 1 2 316.365 1.710 20 30 DDEDLO Cc1noc([C@@H]2CCCN2C([O-])=[NH+][C@H]2CCc3[nH+]c[nH]c3C2)n1 ZINC000329635706 410395584 /nfs/dbraw/zinc/39/55/84/410395584.db2.gz XSYADKFSUWLIRK-GWCFXTLKSA-N 1 2 316.365 1.710 20 30 DDEDLO CO[C@]1(C)C[C@H](NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)C1(C)C ZINC000329978821 410503205 /nfs/dbraw/zinc/50/32/05/410503205.db2.gz IHHGKSKYCBDBQX-LMOYCYGVSA-N 1 2 311.426 1.119 20 30 DDEDLO CO[C@]1(C)C[C@H](NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)C1(C)C ZINC000329978821 410503212 /nfs/dbraw/zinc/50/32/12/410503212.db2.gz IHHGKSKYCBDBQX-LMOYCYGVSA-N 1 2 311.426 1.119 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000352249310 410578388 /nfs/dbraw/zinc/57/83/88/410578388.db2.gz IGACPIUNCQAFFD-OLZOCXBDSA-N 1 2 304.394 1.175 20 30 DDEDLO Cc1ccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)cn1 ZINC000299583953 410597945 /nfs/dbraw/zinc/59/79/45/410597945.db2.gz ZCLOKQHBAMAMEF-UHFFFAOYSA-N 1 2 302.378 1.078 20 30 DDEDLO COc1cc(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)ccc1C#N ZINC000358956111 410539142 /nfs/dbraw/zinc/53/91/42/410539142.db2.gz STXSTPFQTLMEAJ-CYBMUJFWSA-N 1 2 310.357 1.642 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N2CC[C@H](c3[nH+]ccn3C)C2)c1 ZINC000343751599 410667793 /nfs/dbraw/zinc/66/77/93/410667793.db2.gz GFYXCXDBVIJICN-AWEZNQCLSA-N 1 2 323.400 1.987 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC000352317017 410619991 /nfs/dbraw/zinc/61/99/91/410619991.db2.gz ZRQSDFDYRKIQMI-CQSZACIVSA-N 1 2 306.410 1.593 20 30 DDEDLO CCOC(=O)c1cnn(Cc2c(C)[nH+]c3ccccn32)c1C#N ZINC000352368695 410650264 /nfs/dbraw/zinc/65/02/64/410650264.db2.gz KEJDLAQILSTKNC-UHFFFAOYSA-N 1 2 309.329 1.936 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)C[C@H](CC#N)c2ccccc2)C1 ZINC000355979287 410759667 /nfs/dbraw/zinc/75/96/67/410759667.db2.gz DDMHGCLNOOONNY-DLBZAZTESA-N 1 2 314.433 1.436 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)C[C@H](CC#N)c2ccccc2)C1 ZINC000355979287 410759669 /nfs/dbraw/zinc/75/96/69/410759669.db2.gz DDMHGCLNOOONNY-DLBZAZTESA-N 1 2 314.433 1.436 20 30 DDEDLO C[C@@H](NC(=O)NCC#Cc1ccccc1)[C@@H](C)[NH+]1CCOCC1 ZINC000356164414 410873706 /nfs/dbraw/zinc/87/37/06/410873706.db2.gz KGKSQWHTBKGSMO-HZPDHXFCSA-N 1 2 315.417 1.447 20 30 DDEDLO CO[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@H](C)C1 ZINC000343929997 410822129 /nfs/dbraw/zinc/82/21/29/410822129.db2.gz CTNAATUBIIWPFR-TZMCWYRMSA-N 1 2 323.418 1.226 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@H](C)C1 ZINC000343929997 410822136 /nfs/dbraw/zinc/82/21/36/410822136.db2.gz CTNAATUBIIWPFR-TZMCWYRMSA-N 1 2 323.418 1.226 20 30 DDEDLO C[C@@H]1C[N@@H+](C[C@@H](O)COc2ccc(C#N)cc2)C[C@H](C)[S@@]1=O ZINC000331184247 411003008 /nfs/dbraw/zinc/00/30/08/411003008.db2.gz SZIIPHBANHAMJV-BKZHYRFYSA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@@H]1C[N@H+](C[C@@H](O)COc2ccc(C#N)cc2)C[C@H](C)[S@@]1=O ZINC000331184247 411003012 /nfs/dbraw/zinc/00/30/12/411003012.db2.gz SZIIPHBANHAMJV-BKZHYRFYSA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@H]1C[C@H](C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)CO1 ZINC000331261143 411044998 /nfs/dbraw/zinc/04/49/98/411044998.db2.gz LAZNKDTZZHQBEX-YOEHRIQHSA-N 1 2 313.401 1.627 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+](C)C[C@@H]1CCS(=O)(=O)C1 ZINC000331246517 411038360 /nfs/dbraw/zinc/03/83/60/411038360.db2.gz MLBYOMHOCXIMTM-ZDUSSCGKSA-N 1 2 308.403 1.433 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+](C)C[C@@H]1CCS(=O)(=O)C1 ZINC000331246517 411038362 /nfs/dbraw/zinc/03/83/62/411038362.db2.gz MLBYOMHOCXIMTM-ZDUSSCGKSA-N 1 2 308.403 1.433 20 30 DDEDLO C=C(C)CS(=O)(=O)N[C@H](C)C1([NH+]2CCOCC2)CCCC1 ZINC000331253410 411044317 /nfs/dbraw/zinc/04/43/17/411044317.db2.gz VHUMPRLMRHBCRH-CQSZACIVSA-N 1 2 316.467 1.515 20 30 DDEDLO Cc1cc(C2CC[NH+]([C@H](C(N)=O)c3ccc(C#N)cc3)CC2)[nH]n1 ZINC000360212881 411101401 /nfs/dbraw/zinc/10/14/01/411101401.db2.gz LUUSRQPMKIKZJG-KRWDZBQOSA-N 1 2 323.400 1.996 20 30 DDEDLO Cc1cc(C2CC[NH+]([C@H](C(N)=O)c3ccc(C#N)cc3)CC2)n[nH]1 ZINC000360212881 411101402 /nfs/dbraw/zinc/10/14/02/411101402.db2.gz LUUSRQPMKIKZJG-KRWDZBQOSA-N 1 2 323.400 1.996 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCc2ccc(F)cc2C1 ZINC000134010780 196353212 /nfs/dbraw/zinc/35/32/12/196353212.db2.gz PVORVLZSAOXHMK-NSHDSACASA-N 1 2 305.353 1.584 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCc2ccc(F)cc2C1 ZINC000134010780 196353214 /nfs/dbraw/zinc/35/32/14/196353214.db2.gz PVORVLZSAOXHMK-NSHDSACASA-N 1 2 305.353 1.584 20 30 DDEDLO Cc1c[nH+]ccc1NC(=O)N(C)CCCc1[nH]nc(N)c1C#N ZINC000540954374 416598238 /nfs/dbraw/zinc/59/82/38/416598238.db2.gz LRAWVMPNSYAJTO-UHFFFAOYSA-N 1 2 313.365 1.085 20 30 DDEDLO N#CCC[N@@H+](CC(=O)NC(=O)NCc1ccccc1)CC1CC1 ZINC000174689862 221796729 /nfs/dbraw/zinc/79/67/29/221796729.db2.gz WVKHKUTWFWMLDJ-UHFFFAOYSA-N 1 2 314.389 1.638 20 30 DDEDLO N#CCC[N@H+](CC(=O)NC(=O)NCc1ccccc1)CC1CC1 ZINC000174689862 221796731 /nfs/dbraw/zinc/79/67/31/221796731.db2.gz WVKHKUTWFWMLDJ-UHFFFAOYSA-N 1 2 314.389 1.638 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)C[C@@H](C2CC2)O1 ZINC000373506911 418433935 /nfs/dbraw/zinc/43/39/35/418433935.db2.gz GCPOXPNXYGDXMC-ZFWWWQNUSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C[C@@H](C2CC2)O1 ZINC000373506911 418433938 /nfs/dbraw/zinc/43/39/38/418433938.db2.gz GCPOXPNXYGDXMC-ZFWWWQNUSA-N 1 2 305.422 1.828 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000374205015 418504520 /nfs/dbraw/zinc/50/45/20/418504520.db2.gz MSYPIBFXYISXCZ-GJZGRUSLSA-N 1 2 318.373 1.719 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000374205015 418504522 /nfs/dbraw/zinc/50/45/22/418504522.db2.gz MSYPIBFXYISXCZ-GJZGRUSLSA-N 1 2 318.373 1.719 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)C1(C#N)CCOCC1)CCC2 ZINC000360775777 418515613 /nfs/dbraw/zinc/51/56/13/418515613.db2.gz LVFHEFYFNIROGK-CYBMUJFWSA-N 1 2 302.378 1.506 20 30 DDEDLO C[C@H](c1cnn(C)c1)[N@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000180424365 418519542 /nfs/dbraw/zinc/51/95/42/418519542.db2.gz FMBRNOZYSSVZHH-CYBMUJFWSA-N 1 2 303.410 1.756 20 30 DDEDLO C[C@H](c1cnn(C)c1)[N@@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000180424365 418519545 /nfs/dbraw/zinc/51/95/45/418519545.db2.gz FMBRNOZYSSVZHH-CYBMUJFWSA-N 1 2 303.410 1.756 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH2+][C@H](c3cccc(F)c3)C2)cn1 ZINC000374371731 418523709 /nfs/dbraw/zinc/52/37/09/418523709.db2.gz APTMTXWOMYYYQY-INIZCTEOSA-N 1 2 310.332 1.879 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2ccc(F)cn2)CC1 ZINC000361048392 418573215 /nfs/dbraw/zinc/57/32/15/418573215.db2.gz MDMKKMDASURTLK-UHFFFAOYSA-N 1 2 320.412 1.767 20 30 DDEDLO Cc1cn2c([nH+]1)CN([C@@H](C)C(=O)Nc1ccccc1C#N)CC2 ZINC000374656747 418552544 /nfs/dbraw/zinc/55/25/44/418552544.db2.gz CAYMVLWRIDOSQS-ZDUSSCGKSA-N 1 2 309.373 1.906 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@H]2CCC[C@@H]2[C@H]1C(=O)OC ZINC000191215816 222097905 /nfs/dbraw/zinc/09/79/05/222097905.db2.gz KEWUMZZBKIOYPP-YCPHGPKFSA-N 1 2 306.406 1.461 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@H]2CCC[C@@H]2[C@H]1C(=O)OC ZINC000191215816 222097909 /nfs/dbraw/zinc/09/79/09/222097909.db2.gz KEWUMZZBKIOYPP-YCPHGPKFSA-N 1 2 306.406 1.461 20 30 DDEDLO C=CCOC[C@H]([NH2+][C@@H]1CCc2ccc(OC)cc2C1)C(=O)OC ZINC000361105402 418584949 /nfs/dbraw/zinc/58/49/49/418584949.db2.gz BICZZWPVJSFLRY-WBVHZDCISA-N 1 2 319.401 1.886 20 30 DDEDLO C#CCC(C)(C)C(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000195184978 222192751 /nfs/dbraw/zinc/19/27/51/222192751.db2.gz PYIOSKJHTYHQQQ-UHFFFAOYSA-N 1 2 322.449 1.422 20 30 DDEDLO CCN(CC#N)C(=O)c1cccc(CNc2cc[nH+]c(C)n2)c1 ZINC000348573521 418640606 /nfs/dbraw/zinc/64/06/06/418640606.db2.gz HKRGRGYHHWFWEG-UHFFFAOYSA-N 1 2 309.373 2.383 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC(F)(F)[C@@H](CO)C2)cc1 ZINC000361420106 418649337 /nfs/dbraw/zinc/64/93/37/418649337.db2.gz KUGTYFVGNRVUEW-OLZOCXBDSA-N 1 2 309.316 1.034 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC(F)(F)[C@@H](CO)C2)cc1 ZINC000361420106 418649339 /nfs/dbraw/zinc/64/93/39/418649339.db2.gz KUGTYFVGNRVUEW-OLZOCXBDSA-N 1 2 309.316 1.034 20 30 DDEDLO C[C@H]1CCC[N@@H+]1CC(=O)[C@@H](C#N)c1nc2ccccc2c(=O)[nH]1 ZINC000267762374 222398210 /nfs/dbraw/zinc/39/82/10/222398210.db2.gz FQOJWLIKBZLPBZ-WCQYABFASA-N 1 2 310.357 1.996 20 30 DDEDLO C[C@H]1CCC[N@H+]1CC(=O)[C@@H](C#N)c1nc2ccccc2c(=O)[nH]1 ZINC000267762374 222398214 /nfs/dbraw/zinc/39/82/14/222398214.db2.gz FQOJWLIKBZLPBZ-WCQYABFASA-N 1 2 310.357 1.996 20 30 DDEDLO CC[C@H](C(=O)OC)[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000377454692 418710339 /nfs/dbraw/zinc/71/03/39/418710339.db2.gz WHFGNUVNWSLZJA-CQSZACIVSA-N 1 2 302.378 1.417 20 30 DDEDLO CC[C@H](C(=O)OC)[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000377454692 418710340 /nfs/dbraw/zinc/71/03/40/418710340.db2.gz WHFGNUVNWSLZJA-CQSZACIVSA-N 1 2 302.378 1.417 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cc1F ZINC000377587853 418712379 /nfs/dbraw/zinc/71/23/79/418712379.db2.gz ZXPPPZGBOZZODS-LBPRGKRZSA-N 1 2 301.325 1.901 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)cc1F ZINC000377587853 418712381 /nfs/dbraw/zinc/71/23/81/418712381.db2.gz ZXPPPZGBOZZODS-LBPRGKRZSA-N 1 2 301.325 1.901 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)NCc2ccc(C#N)cc2)C1 ZINC000378265801 418720211 /nfs/dbraw/zinc/72/02/11/418720211.db2.gz PBUQDBRUHVPHKG-HNNXBMFYSA-N 1 2 309.373 1.991 20 30 DDEDLO C=CCCOCCNC(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000375519031 418653839 /nfs/dbraw/zinc/65/38/39/418653839.db2.gz HUZBZSGQZVYNSG-CQSZACIVSA-N 1 2 306.410 1.902 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1N(CCC#N)CC(C)(C)C ZINC000377051171 418704487 /nfs/dbraw/zinc/70/44/87/418704487.db2.gz MVYQTUXLRXNGEI-HOTGVXAUSA-N 1 2 308.470 1.958 20 30 DDEDLO N#CC1(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)CCCCC1 ZINC000382664504 418732412 /nfs/dbraw/zinc/73/24/12/418732412.db2.gz ULWHFSHMJCZXHC-OAHLLOKOSA-N 1 2 305.422 1.784 20 30 DDEDLO C#CCSCCNC(=O)NCc1ccnc(-n2cc[nH+]c2)c1 ZINC000362937022 418760779 /nfs/dbraw/zinc/76/07/79/418760779.db2.gz YDDSMCKEGNFXDR-UHFFFAOYSA-N 1 2 315.402 1.433 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)N[C@@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000363848513 418770425 /nfs/dbraw/zinc/77/04/25/418770425.db2.gz FUFATSAXROBYLA-MRXNPFEDSA-N 1 2 323.400 1.356 20 30 DDEDLO CC(C)NC(=O)CC[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000371290252 418775748 /nfs/dbraw/zinc/77/57/48/418775748.db2.gz AXNHXKWVHSZYKI-INIZCTEOSA-N 1 2 301.390 1.846 20 30 DDEDLO CC(C)NC(=O)CC[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000371290252 418775751 /nfs/dbraw/zinc/77/57/51/418775751.db2.gz AXNHXKWVHSZYKI-INIZCTEOSA-N 1 2 301.390 1.846 20 30 DDEDLO C#CCSCCNC(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000368916727 418727213 /nfs/dbraw/zinc/72/72/13/418727213.db2.gz SZJUQGIUFKHWNL-CYBMUJFWSA-N 1 2 306.435 1.676 20 30 DDEDLO Cc1oncc1C[N@@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000364607227 418791740 /nfs/dbraw/zinc/79/17/40/418791740.db2.gz MCQYOXCWAZCEKC-DYVFJYSZSA-N 1 2 308.341 1.829 20 30 DDEDLO Cc1oncc1C[N@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000364607227 418791742 /nfs/dbraw/zinc/79/17/42/418791742.db2.gz MCQYOXCWAZCEKC-DYVFJYSZSA-N 1 2 308.341 1.829 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H](Oc2ccc[nH+]c2N(C)C)C1 ZINC000364716840 418804316 /nfs/dbraw/zinc/80/43/16/418804316.db2.gz NSYSVQBIPNVDCJ-CQSZACIVSA-N 1 2 317.389 1.167 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@H](C(=O)N1CCO[C@@H](C#N)C1)C2 ZINC000364633380 418795819 /nfs/dbraw/zinc/79/58/19/418795819.db2.gz WIBAKPTYIITKNS-RYUDHWBXSA-N 1 2 302.378 1.389 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@@H](C(=O)N1CCO[C@@H](C#N)C1)CC2 ZINC000364633380 418795820 /nfs/dbraw/zinc/79/58/20/418795820.db2.gz WIBAKPTYIITKNS-RYUDHWBXSA-N 1 2 302.378 1.389 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000372014074 418830670 /nfs/dbraw/zinc/83/06/70/418830670.db2.gz UBLRMXHZJQCFAP-UHFFFAOYSA-N 1 2 323.352 1.896 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000372014074 418830674 /nfs/dbraw/zinc/83/06/74/418830674.db2.gz UBLRMXHZJQCFAP-UHFFFAOYSA-N 1 2 323.352 1.896 20 30 DDEDLO C=CCCS(=O)(=O)NCC1([NH+]2CCOCC2)CCCCC1 ZINC000372784392 418901614 /nfs/dbraw/zinc/90/16/14/418901614.db2.gz COOMSRMBWQIMDW-UHFFFAOYSA-N 1 2 316.467 1.517 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)N(C)Cc1cn2c([nH+]1)CCCC2 ZINC000372988341 418919250 /nfs/dbraw/zinc/91/92/50/418919250.db2.gz AWMMFPGOIXAPBM-ZDUSSCGKSA-N 1 2 303.410 1.412 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@H](C2CCC2)C1 ZINC000411860971 419442332 /nfs/dbraw/zinc/44/23/32/419442332.db2.gz MPVNZVXZZKIPFO-UNEWFSDZSA-N 1 2 307.438 1.930 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@@H](C2CCC2)C1 ZINC000411860971 419442343 /nfs/dbraw/zinc/44/23/43/419442343.db2.gz MPVNZVXZZKIPFO-UNEWFSDZSA-N 1 2 307.438 1.930 20 30 DDEDLO C[C@H]([NH2+][C@@H](C)c1csnn1)C(=O)NC1(C#N)CCCCC1 ZINC000412026324 419571104 /nfs/dbraw/zinc/57/11/04/419571104.db2.gz LJKHWBRUDJMNQM-QWRGUYRKSA-N 1 2 307.423 1.920 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(c2ccc(C#N)cc2)C1=O)c1csnn1 ZINC000412035288 419579632 /nfs/dbraw/zinc/57/96/32/419579632.db2.gz HCODBCMBHSVDBA-MFKMUULPSA-N 1 2 313.386 1.866 20 30 DDEDLO C[C@@H]1[C@H](CO)CCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000412089019 419644729 /nfs/dbraw/zinc/64/47/29/419644729.db2.gz PPXNDRATDGGYGA-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1[C@H](CO)CCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000412089019 419644737 /nfs/dbraw/zinc/64/47/37/419644737.db2.gz PPXNDRATDGGYGA-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO Cc1cnc([C@H](C)[NH+]2CCN(c3ncccc3C#N)CC2)cn1 ZINC000427827291 419743401 /nfs/dbraw/zinc/74/34/01/419743401.db2.gz LYFXNFCYWUCLRW-AWEZNQCLSA-N 1 2 308.389 1.935 20 30 DDEDLO Cc1cnc([C@H](C)[NH+]2CCN(c3cccc(C#N)n3)CC2)cn1 ZINC000428430769 419866116 /nfs/dbraw/zinc/86/61/16/419866116.db2.gz OZTJYDUIZFMVSG-AWEZNQCLSA-N 1 2 308.389 1.935 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)c1cc(C#N)ccc1C ZINC000431580171 229043036 /nfs/dbraw/zinc/04/30/36/229043036.db2.gz UQMHDPQVVXKXBL-UHFFFAOYSA-N 1 2 317.370 1.749 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CC(=O)N3CCCC3)CC2)c(F)c1 ZINC000299315355 229045441 /nfs/dbraw/zinc/04/54/41/229045441.db2.gz AQOQQGZIMRFMQS-UHFFFAOYSA-N 1 2 316.380 1.442 20 30 DDEDLO C[N@H+](Cc1ccc(F)cc1C#N)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000428788461 419933372 /nfs/dbraw/zinc/93/33/72/419933372.db2.gz ORCPCQLBWRFWMN-AWEZNQCLSA-N 1 2 311.382 1.163 20 30 DDEDLO C[N@@H+](Cc1ccc(F)cc1C#N)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000428788461 419933376 /nfs/dbraw/zinc/93/33/76/419933376.db2.gz ORCPCQLBWRFWMN-AWEZNQCLSA-N 1 2 311.382 1.163 20 30 DDEDLO COCC[N@H+](CCC(=O)Nc1cccc(C#N)c1)CC(=O)OC ZINC000436370722 420322747 /nfs/dbraw/zinc/32/27/47/420322747.db2.gz OYMZTDCKKOCRQZ-UHFFFAOYSA-N 1 2 319.361 1.008 20 30 DDEDLO COCC[N@@H+](CCC(=O)Nc1cccc(C#N)c1)CC(=O)OC ZINC000436370722 420322750 /nfs/dbraw/zinc/32/27/50/420322750.db2.gz OYMZTDCKKOCRQZ-UHFFFAOYSA-N 1 2 319.361 1.008 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)[C@@H](C)[C@@H](C)O1 ZINC000446067317 230226214 /nfs/dbraw/zinc/22/62/14/230226214.db2.gz APQHLZYFVSNPFY-HZSPNIEDSA-N 1 2 301.390 1.672 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)[C@@H](C)[C@@H](C)O1 ZINC000446067317 230226217 /nfs/dbraw/zinc/22/62/17/230226217.db2.gz APQHLZYFVSNPFY-HZSPNIEDSA-N 1 2 301.390 1.672 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)N1CC[C@H](Oc2ccc[nH+]c2N(C)C)C1 ZINC000450882454 420551475 /nfs/dbraw/zinc/55/14/75/420551475.db2.gz NTTXXDKCRSFUHT-IGCXYCKISA-N 1 2 314.389 1.677 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(c2ccc(C#N)cn2)C1 ZINC000440639398 420592029 /nfs/dbraw/zinc/59/20/29/420592029.db2.gz SJZYSRMXMWLYGD-KRWDZBQOSA-N 1 2 316.405 1.853 20 30 DDEDLO N#C[C@@H]1N(C(=O)C=Cc2c[nH]c[nH+]2)CCC[C@]12CCCCO2 ZINC000492895744 420702011 /nfs/dbraw/zinc/70/20/11/420702011.db2.gz INDKAXFGVZAQND-YMEQAZQUSA-N 1 2 300.362 1.877 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000448952722 420920922 /nfs/dbraw/zinc/92/09/22/420920922.db2.gz SJFYRJGTVAHQPZ-XHSDSOJGSA-N 1 2 308.422 1.291 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000448628737 420875522 /nfs/dbraw/zinc/87/55/22/420875522.db2.gz CBPBDVXJXQDZRZ-UHFFFAOYSA-N 1 2 309.373 1.822 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494134067 420996490 /nfs/dbraw/zinc/99/64/90/420996490.db2.gz OKEHHBNVTWVIDR-CQSZACIVSA-N 1 2 301.346 1.593 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494134067 420996493 /nfs/dbraw/zinc/99/64/93/420996493.db2.gz OKEHHBNVTWVIDR-CQSZACIVSA-N 1 2 301.346 1.593 20 30 DDEDLO CO[C@H]1C[NH+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@@H]1OC ZINC000487977062 421061757 /nfs/dbraw/zinc/06/17/57/421061757.db2.gz FPIBONUCIBOGDI-KBPBESRZSA-N 1 2 323.780 1.496 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)Nc2cnc(C#N)c(Cl)c2)C1 ZINC000455785235 421062123 /nfs/dbraw/zinc/06/21/23/421062123.db2.gz LTIFMYNNPGGKOU-JTQLQIEISA-N 1 2 309.757 1.059 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)Nc2cnc(C#N)c(Cl)c2)C1 ZINC000455785235 421062126 /nfs/dbraw/zinc/06/21/26/421062126.db2.gz LTIFMYNNPGGKOU-JTQLQIEISA-N 1 2 309.757 1.059 20 30 DDEDLO CCOC(=O)N1CCN(C(=O)[C@H](C)[N@H+](C)CC(C)(C)C#N)CC1 ZINC000495854441 421075622 /nfs/dbraw/zinc/07/56/22/421075622.db2.gz FTBDVJHEYBPLBY-ZDUSSCGKSA-N 1 2 324.425 1.157 20 30 DDEDLO CCOC(=O)N1CCN(C(=O)[C@H](C)[N@@H+](C)CC(C)(C)C#N)CC1 ZINC000495854441 421075628 /nfs/dbraw/zinc/07/56/28/421075628.db2.gz FTBDVJHEYBPLBY-ZDUSSCGKSA-N 1 2 324.425 1.157 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)cc1F ZINC000489627623 421168669 /nfs/dbraw/zinc/16/86/69/421168669.db2.gz XJPCQGKLMUWDGR-ZDUSSCGKSA-N 1 2 320.349 1.946 20 30 DDEDLO N#Cc1cnccc1NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000546653563 421300932 /nfs/dbraw/zinc/30/09/32/421300932.db2.gz DFXISTQLRSIRDC-GOSISDBHSA-N 1 2 308.385 1.861 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3cc(C#N)ncn3)c[nH+]2)CCO1 ZINC000526273551 421335418 /nfs/dbraw/zinc/33/54/18/421335418.db2.gz QIDQGAICRRWWCD-LBPRGKRZSA-N 1 2 310.361 1.580 20 30 DDEDLO Cc1[nH+]c2cc(NC(=O)C(=O)N(C)[C@@H](C)CC#N)ccc2n1C ZINC000527376177 421384327 /nfs/dbraw/zinc/38/43/27/421384327.db2.gz URIIEIZHANQGGP-JTQLQIEISA-N 1 2 313.361 1.581 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@H](C)O[C@@]2(CCO[C@H]2C)C1 ZINC000562502854 421374305 /nfs/dbraw/zinc/37/43/05/421374305.db2.gz VXZSPMMXJWZXRO-WVZRYYJFSA-N 1 2 321.421 1.206 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@H](C)O[C@@]2(CCO[C@H]2C)C1 ZINC000562502854 421374307 /nfs/dbraw/zinc/37/43/07/421374307.db2.gz VXZSPMMXJWZXRO-WVZRYYJFSA-N 1 2 321.421 1.206 20 30 DDEDLO COCC[N@H+](Cc1ccco1)Cc1cnc2c(C#N)cnn2c1 ZINC000563535771 421518962 /nfs/dbraw/zinc/51/89/62/421518962.db2.gz UOSSFKMRFFVCER-UHFFFAOYSA-N 1 2 311.345 1.843 20 30 DDEDLO COCC[N@@H+](Cc1ccco1)Cc1cnc2c(C#N)cnn2c1 ZINC000563535771 421518965 /nfs/dbraw/zinc/51/89/65/421518965.db2.gz UOSSFKMRFFVCER-UHFFFAOYSA-N 1 2 311.345 1.843 20 30 DDEDLO Cn1ncnc1-c1cccc(OC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000515853570 421520108 /nfs/dbraw/zinc/52/01/08/421520108.db2.gz GTBQVTGWSHZNQK-CQSZACIVSA-N 1 2 315.377 1.067 20 30 DDEDLO Cn1ncnc1-c1cccc(OC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000515853570 421520112 /nfs/dbraw/zinc/52/01/12/421520112.db2.gz GTBQVTGWSHZNQK-CQSZACIVSA-N 1 2 315.377 1.067 20 30 DDEDLO N#Cc1ccc(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)nc1 ZINC000514871685 421461990 /nfs/dbraw/zinc/46/19/90/421461990.db2.gz ZSJZOMKWPZMKGG-LBPRGKRZSA-N 1 2 303.284 1.061 20 30 DDEDLO N#Cc1ccc(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)nc1 ZINC000514871685 421461992 /nfs/dbraw/zinc/46/19/92/421461992.db2.gz ZSJZOMKWPZMKGG-LBPRGKRZSA-N 1 2 303.284 1.061 20 30 DDEDLO C[C@H]1C[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)[C@@H](C)CO1 ZINC000528343041 421480948 /nfs/dbraw/zinc/48/09/48/421480948.db2.gz XZGZNUCHFVLFLA-KBPBESRZSA-N 1 2 312.369 1.395 20 30 DDEDLO C[C@H]1C[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)[C@@H](C)CO1 ZINC000528343041 421480952 /nfs/dbraw/zinc/48/09/52/421480952.db2.gz XZGZNUCHFVLFLA-KBPBESRZSA-N 1 2 312.369 1.395 20 30 DDEDLO Cc1oncc1C[N@@H+]1C[C@@H](F)C[C@H]1CNc1cncc(C#N)n1 ZINC000563839641 421548244 /nfs/dbraw/zinc/54/82/44/421548244.db2.gz PRCPMWNBFJSXOM-JSGCOSHPSA-N 1 2 316.340 1.669 20 30 DDEDLO Cc1oncc1C[N@H+]1C[C@@H](F)C[C@H]1CNc1cncc(C#N)n1 ZINC000563839641 421548248 /nfs/dbraw/zinc/54/82/48/421548248.db2.gz PRCPMWNBFJSXOM-JSGCOSHPSA-N 1 2 316.340 1.669 20 30 DDEDLO Cc1cc(NCCNC(=O)c2c[nH]c(C#N)c2)nc(C(C)C)[nH+]1 ZINC000529844035 421563897 /nfs/dbraw/zinc/56/38/97/421563897.db2.gz AEDXXVWAMSSTQJ-UHFFFAOYSA-N 1 2 312.377 1.950 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCO[C@]2(CCSC2)C1 ZINC000517026996 421589466 /nfs/dbraw/zinc/58/94/66/421589466.db2.gz CKZDMRFNPODGMM-DZGCQCFKSA-N 1 2 311.451 1.202 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCO[C@]2(CCSC2)C1 ZINC000517026996 421589469 /nfs/dbraw/zinc/58/94/69/421589469.db2.gz CKZDMRFNPODGMM-DZGCQCFKSA-N 1 2 311.451 1.202 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc2c(c1)NC(=O)CS2 ZINC000568502546 421620441 /nfs/dbraw/zinc/62/04/41/421620441.db2.gz VQBYIXHTTNPKAA-GFCCVEGCSA-N 1 2 320.418 1.349 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc2c(c1)NC(=O)CS2 ZINC000568502546 421620442 /nfs/dbraw/zinc/62/04/42/421620442.db2.gz VQBYIXHTTNPKAA-GFCCVEGCSA-N 1 2 320.418 1.349 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)Cn1nc2c(cc1=O)Cc1ccccc1-2 ZINC000570211234 421631784 /nfs/dbraw/zinc/63/17/84/421631784.db2.gz AGHCTPCFPBGMKV-OAHLLOKOSA-N 1 2 324.384 1.021 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)Cn1nc2c(cc1=O)Cc1ccccc1-2 ZINC000570211234 421631787 /nfs/dbraw/zinc/63/17/87/421631787.db2.gz AGHCTPCFPBGMKV-OAHLLOKOSA-N 1 2 324.384 1.021 20 30 DDEDLO C=CCn1cc(CNC(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)nn1 ZINC000565712984 421599732 /nfs/dbraw/zinc/59/97/32/421599732.db2.gz IUAUYYJLFQHJFQ-CYBMUJFWSA-N 1 2 315.381 1.624 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCC[C@]12CCNC2=O)C1(C#N)CCCCC1 ZINC000565958825 421601209 /nfs/dbraw/zinc/60/12/09/421601209.db2.gz DQVGSZMTPUVAJB-QGZVFWFLSA-N 1 2 318.421 1.026 20 30 DDEDLO CN(C(=O)C[N@H+]1CCC[C@]12CCNC2=O)C1(C#N)CCCCC1 ZINC000565958825 421601213 /nfs/dbraw/zinc/60/12/13/421601213.db2.gz DQVGSZMTPUVAJB-QGZVFWFLSA-N 1 2 318.421 1.026 20 30 DDEDLO CC(C)N1CC[C@H]([NH+]2CCN(c3cnccc3C#N)CC2)C1=O ZINC000555650710 421687522 /nfs/dbraw/zinc/68/75/22/421687522.db2.gz JFBKVEDVLUMUIY-HNNXBMFYSA-N 1 2 313.405 1.085 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](Cc2cnc3ccccn23)CC1 ZINC000555650842 421687544 /nfs/dbraw/zinc/68/75/44/421687544.db2.gz YNOIKYTVNUIHAS-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#CC1(NC(=O)c2cccc(Cn3cc[nH+]c3)c2)CCOCC1 ZINC000539423235 421749369 /nfs/dbraw/zinc/74/93/69/421749369.db2.gz DKAKIKHSVNFCGV-UHFFFAOYSA-N 1 2 310.357 1.734 20 30 DDEDLO COc1ccccc1N1CC[C@H]([NH2+]C[C@@H](C#N)CCC#N)C1=O ZINC000558674343 421819685 /nfs/dbraw/zinc/81/96/85/421819685.db2.gz ZQOGHITUCRFAFH-KGLIPLIRSA-N 1 2 312.373 1.834 20 30 DDEDLO Cc1nnsc1C[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000572703454 421846552 /nfs/dbraw/zinc/84/65/52/421846552.db2.gz GDRXBGKWLZKOKR-UHFFFAOYSA-N 1 2 300.391 1.435 20 30 DDEDLO Cc1nnsc1C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000573054377 421904830 /nfs/dbraw/zinc/90/48/30/421904830.db2.gz AFULOGBQOMFIEF-UHFFFAOYSA-N 1 2 300.391 1.435 20 30 DDEDLO N#CC1(CNC(=O)N2CCC[N@H+](Cc3cscn3)CC2)CC1 ZINC000574470098 422116842 /nfs/dbraw/zinc/11/68/42/422116842.db2.gz LJFYMLYXFCEPDU-UHFFFAOYSA-N 1 2 319.434 1.664 20 30 DDEDLO N#CC1(CNC(=O)N2CCC[N@@H+](Cc3cscn3)CC2)CC1 ZINC000574470098 422116845 /nfs/dbraw/zinc/11/68/45/422116845.db2.gz LJFYMLYXFCEPDU-UHFFFAOYSA-N 1 2 319.434 1.664 20 30 DDEDLO C=CCCOCCNC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635738329 422296238 /nfs/dbraw/zinc/29/62/38/422296238.db2.gz PFDCJAPIAQFPGD-QGZVFWFLSA-N 1 2 318.417 1.978 20 30 DDEDLO C=CCCOCCNC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635738329 422296244 /nfs/dbraw/zinc/29/62/44/422296244.db2.gz PFDCJAPIAQFPGD-QGZVFWFLSA-N 1 2 318.417 1.978 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000593844835 422340824 /nfs/dbraw/zinc/34/08/24/422340824.db2.gz DJEFKWVQOXTVQP-LLVKDONJSA-N 1 2 320.356 2.141 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)N1CCC(CCC#N)CC1 ZINC000577103721 422381422 /nfs/dbraw/zinc/38/14/22/422381422.db2.gz XVOBDDRKIJQPHQ-AWEZNQCLSA-N 1 2 308.426 1.432 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)N1CCC(CCC#N)CC1 ZINC000577103721 422381430 /nfs/dbraw/zinc/38/14/30/422381430.db2.gz XVOBDDRKIJQPHQ-AWEZNQCLSA-N 1 2 308.426 1.432 20 30 DDEDLO CC[C@@](C)(C#N)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000576526182 422374453 /nfs/dbraw/zinc/37/44/53/422374453.db2.gz QRGPQDHLISYULY-CJNGLKHVSA-N 1 2 319.409 1.292 20 30 DDEDLO CC[C@@](C)(C#N)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000576526182 422374459 /nfs/dbraw/zinc/37/44/59/422374459.db2.gz QRGPQDHLISYULY-CJNGLKHVSA-N 1 2 319.409 1.292 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCCc1nc(C(C)(C)C)no1 ZINC000576587220 422376462 /nfs/dbraw/zinc/37/64/62/422376462.db2.gz GVZYSVNQRSNDJI-MRXNPFEDSA-N 1 2 321.425 1.650 20 30 DDEDLO C=CCCC(C)(C)CNS(=O)(=O)C[C@@H]1C[N@H+](C)CCO1 ZINC000632640942 422490361 /nfs/dbraw/zinc/49/03/61/422490361.db2.gz KIDAFDIIVFENEU-ZDUSSCGKSA-N 1 2 304.456 1.229 20 30 DDEDLO C=CCCC(C)(C)CNS(=O)(=O)C[C@@H]1C[N@@H+](C)CCO1 ZINC000632640942 422490364 /nfs/dbraw/zinc/49/03/64/422490364.db2.gz KIDAFDIIVFENEU-ZDUSSCGKSA-N 1 2 304.456 1.229 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N[C@H]2CCCC[C@@H]2C)nn1 ZINC000640829498 423216827 /nfs/dbraw/zinc/21/68/27/423216827.db2.gz MYFZDLGBHKXWQI-LZWOXQAQSA-N 1 2 317.437 1.647 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[NH+]2CCN(c3ccccc3)CC2)cc1 ZINC000115530692 263337968 /nfs/dbraw/zinc/33/79/68/263337968.db2.gz SPHVLLBQKKQCRQ-GOSISDBHSA-N 1 2 320.396 1.907 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)N2CCCCCC2)nn1 ZINC000640904819 423265523 /nfs/dbraw/zinc/26/55/23/423265523.db2.gz NBJGKAFZPOCSSO-AWEZNQCLSA-N 1 2 303.410 1.355 20 30 DDEDLO C=CCn1cc(C(=O)N2CC(C)(C)[C@@H]2c2[nH+]ccn2C)nn1 ZINC000648406313 423350742 /nfs/dbraw/zinc/35/07/42/423350742.db2.gz DUJQBVCHJKFTHD-LBPRGKRZSA-N 1 2 300.366 1.421 20 30 DDEDLO C=CCn1cc(C(=O)N(C)CCCc2[nH+]ccn2CCC)nn1 ZINC000648880192 423517114 /nfs/dbraw/zinc/51/71/14/423517114.db2.gz ZKUTXPSJZWGZGR-UHFFFAOYSA-N 1 2 316.409 1.775 20 30 DDEDLO C=C(C)COCCNS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000641382296 423596993 /nfs/dbraw/zinc/59/69/93/423596993.db2.gz MODKBPULOBYXEA-UHFFFAOYSA-N 1 2 324.446 1.546 20 30 DDEDLO C=C(C)COCCNS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000641382296 423596996 /nfs/dbraw/zinc/59/69/96/423596996.db2.gz MODKBPULOBYXEA-UHFFFAOYSA-N 1 2 324.446 1.546 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)o2)C1 ZINC000649191670 423669594 /nfs/dbraw/zinc/66/95/94/423669594.db2.gz FJNUBYICHQCYDN-KFWWJZLASA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)o2)C1 ZINC000649191670 423669599 /nfs/dbraw/zinc/66/95/99/423669599.db2.gz FJNUBYICHQCYDN-KFWWJZLASA-N 1 2 319.405 1.607 20 30 DDEDLO C=CCCn1cc(C(=O)NCCc2cn3cccc(C)c3[nH+]2)nn1 ZINC000644659170 423750732 /nfs/dbraw/zinc/75/07/32/423750732.db2.gz QKBMQPXIIKSURV-UHFFFAOYSA-N 1 2 324.388 1.783 20 30 DDEDLO C=CCN(C(=O)NCC(C)(C)[NH+]1CCOCC1)[C@H](C)COC ZINC000663441255 423832067 /nfs/dbraw/zinc/83/20/67/423832067.db2.gz WYZQSHZIZIGNJV-CQSZACIVSA-N 1 2 313.442 1.330 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)NCC#Cc1ccccc1 ZINC000663547890 423935579 /nfs/dbraw/zinc/93/55/79/423935579.db2.gz JVUCXRPOCCKYHL-CVEARBPZSA-N 1 2 315.417 1.447 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)NCC#Cc1ccccc1 ZINC000663547890 423935592 /nfs/dbraw/zinc/93/55/92/423935592.db2.gz JVUCXRPOCCKYHL-CVEARBPZSA-N 1 2 315.417 1.447 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(c2ncns2)CC1 ZINC000660717505 424755947 /nfs/dbraw/zinc/75/59/47/424755947.db2.gz YWQDTGIZTLMKIW-CYBMUJFWSA-N 1 2 321.450 1.249 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CC[NH+](CCOc2ccc(Cl)cc2)CC1 ZINC000665398763 424799695 /nfs/dbraw/zinc/79/96/95/424799695.db2.gz XQXFHTHGIKYBPA-OAHLLOKOSA-N 1 2 324.808 1.410 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@H+](Cc3cc(F)ccc3C#N)CCN2C1=O ZINC000362821657 266142370 /nfs/dbraw/zinc/14/23/70/266142370.db2.gz BKCRMHWWMYQCAY-AWEZNQCLSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@@H+](Cc3cc(F)ccc3C#N)CCN2C1=O ZINC000362821657 266142373 /nfs/dbraw/zinc/14/23/73/266142373.db2.gz BKCRMHWWMYQCAY-AWEZNQCLSA-N 1 2 316.336 1.166 20 30 DDEDLO C[C@H](C(=O)N1CC[C@@H]([NH+]2CCOCC2)C1)c1cccc(C#N)c1 ZINC000360327318 267128850 /nfs/dbraw/zinc/12/88/50/267128850.db2.gz KUAXMTCQXQOYTK-WMLDXEAASA-N 1 2 313.401 1.595 20 30 DDEDLO Cc1cc(=O)[nH]c([C@H](C)[NH+]2CCC(n3cnc(C#N)n3)CC2)n1 ZINC000373408408 267351267 /nfs/dbraw/zinc/35/12/67/267351267.db2.gz XOLOZHWCMBCURD-NSHDSACASA-N 1 2 313.365 1.352 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000355279092 267384148 /nfs/dbraw/zinc/38/41/48/267384148.db2.gz ACSBOGWURSHWJL-UHFFFAOYSA-N 1 2 324.388 1.940 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)NC[C@H](C)Cn1cc[nH+]c1 ZINC000360494564 267398416 /nfs/dbraw/zinc/39/84/16/267398416.db2.gz GAYYJIOKTMZQDC-LBPRGKRZSA-N 1 2 318.402 1.678 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3c(F)cc(C#N)cc3F)CC2)cn1 ZINC000361008548 267795235 /nfs/dbraw/zinc/79/52/35/267795235.db2.gz FNPXFHWLPFNTET-UHFFFAOYSA-N 1 2 317.343 1.892 20 30 DDEDLO N#Cc1ccc(C2=CC[N@H+](Cc3nnc4n3CCOC4)CC2)cc1 ZINC000375631822 268055126 /nfs/dbraw/zinc/05/51/26/268055126.db2.gz YNMUXZQOKWKMST-UHFFFAOYSA-N 1 2 321.384 1.969 20 30 DDEDLO N#Cc1ccc(C2=CC[N@@H+](Cc3nnc4n3CCOC4)CC2)cc1 ZINC000375631822 268055132 /nfs/dbraw/zinc/05/51/32/268055132.db2.gz YNMUXZQOKWKMST-UHFFFAOYSA-N 1 2 321.384 1.969 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2C[C@@H]3CCC[C@@H]3[C@@H]2C(N)=O)cc1 ZINC000368973635 268141253 /nfs/dbraw/zinc/14/12/53/268141253.db2.gz KPRKPQHGVKYBSU-DUVNUKRYSA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2C[C@@H]3CCC[C@@H]3[C@@H]2C(N)=O)cc1 ZINC000368973635 268141254 /nfs/dbraw/zinc/14/12/54/268141254.db2.gz KPRKPQHGVKYBSU-DUVNUKRYSA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1cccc(N2CCN(c3cc[nH+]c(C4CC4)n3)CC2)n1 ZINC000354709543 268262034 /nfs/dbraw/zinc/26/20/34/268262034.db2.gz MUURKARCVVSVHN-UHFFFAOYSA-N 1 2 306.373 1.947 20 30 DDEDLO COC[C@@H]([NH2+]Cc1c(C#N)cccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000459450958 277569624 /nfs/dbraw/zinc/56/96/24/277569624.db2.gz YYUQGNZCVYIYBT-HIFRSBDPSA-N 1 2 305.334 1.750 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](C3(C(N)=O)CCCC3)CC2)CCC1 ZINC000365082248 277824145 /nfs/dbraw/zinc/82/41/45/277824145.db2.gz CRQLPEGUWRIFJH-UHFFFAOYSA-N 1 2 319.449 1.675 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1C[C@@H](C)O[C@@]2(CCO[C@@H]2C)C1 ZINC000366098958 280102196 /nfs/dbraw/zinc/10/21/96/280102196.db2.gz YAWKMSDHHYLBAK-SRABZTEZSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](C)O[C@@]2(CCO[C@@H]2C)C1 ZINC000366098958 280102199 /nfs/dbraw/zinc/10/21/99/280102199.db2.gz YAWKMSDHHYLBAK-SRABZTEZSA-N 1 2 323.437 1.309 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329958220 289393809 /nfs/dbraw/zinc/39/38/09/289393809.db2.gz MMYGPXLOBRXLRB-WXHSDQCUSA-N 1 2 324.812 1.726 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329958220 289393813 /nfs/dbraw/zinc/39/38/13/289393813.db2.gz MMYGPXLOBRXLRB-WXHSDQCUSA-N 1 2 324.812 1.726 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)[N@@H+]1C[C@@H]2OCCN(C)[C@H]2C1 ZINC000329958220 289393817 /nfs/dbraw/zinc/39/38/17/289393817.db2.gz MMYGPXLOBRXLRB-WXHSDQCUSA-N 1 2 324.812 1.726 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)[N@H+]1C[C@@H]2OCCN(C)[C@H]2C1 ZINC000329958220 289393822 /nfs/dbraw/zinc/39/38/22/289393822.db2.gz MMYGPXLOBRXLRB-WXHSDQCUSA-N 1 2 324.812 1.726 20 30 DDEDLO Cc1n[nH]c(C)c1C[N@@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000355188067 293099315 /nfs/dbraw/zinc/09/93/15/293099315.db2.gz ABYYFXBKIGFPOC-FZKQIMNGSA-N 1 2 321.384 1.872 20 30 DDEDLO Cc1n[nH]c(C)c1C[N@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000355188067 293099316 /nfs/dbraw/zinc/09/93/16/293099316.db2.gz ABYYFXBKIGFPOC-FZKQIMNGSA-N 1 2 321.384 1.872 20 30 DDEDLO N#Cc1ncn(C2CC[NH+](Cc3cc(F)ccc3C#N)CC2)n1 ZINC000369608233 301203876 /nfs/dbraw/zinc/20/38/76/301203876.db2.gz DYJGMVHLDBGBIC-UHFFFAOYSA-N 1 2 310.336 1.998 20 30 DDEDLO C[C@H]1OCC[C@@]12C[N@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])CCO2 ZINC000565661950 308036146 /nfs/dbraw/zinc/03/61/46/308036146.db2.gz XLIQYWGUCIEVIL-MLGOLLRUSA-N 1 2 317.345 1.846 20 30 DDEDLO C[C@H]1OCC[C@@]12C[N@@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])CCO2 ZINC000565661950 308036147 /nfs/dbraw/zinc/03/61/47/308036147.db2.gz XLIQYWGUCIEVIL-MLGOLLRUSA-N 1 2 317.345 1.846 20 30 DDEDLO Cc1cc(N2CCC([C@]3(C)NC(=O)NC3=O)CC2)c(C#N)c[nH+]1 ZINC000572150515 308213578 /nfs/dbraw/zinc/21/35/78/308213578.db2.gz FZQSZOCEAYRDJB-INIZCTEOSA-N 1 2 313.361 1.076 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N2CCn3c[nH+]cc3C2)c(F)c1 ZINC000572170554 308214015 /nfs/dbraw/zinc/21/40/15/308214015.db2.gz WXTVOCJMLAZKMK-UHFFFAOYSA-N 1 2 320.349 1.239 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]2C(=O)NCc2ccco2)nc1 ZINC000576772982 308339060 /nfs/dbraw/zinc/33/90/60/308339060.db2.gz AHNBZZNZGYINIK-MRXNPFEDSA-N 1 2 310.357 1.827 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]2C(=O)NCc2ccco2)nc1 ZINC000576772982 308339062 /nfs/dbraw/zinc/33/90/62/308339062.db2.gz AHNBZZNZGYINIK-MRXNPFEDSA-N 1 2 310.357 1.827 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[N@@H+]2CC[C@@H](C)C2)cc1C#N ZINC000576935623 308350536 /nfs/dbraw/zinc/35/05/36/308350536.db2.gz GYBQFNZBCJDEPW-SNVBAGLBSA-N 1 2 320.418 1.452 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[N@H+]2CC[C@@H](C)C2)cc1C#N ZINC000576935623 308350538 /nfs/dbraw/zinc/35/05/38/308350538.db2.gz GYBQFNZBCJDEPW-SNVBAGLBSA-N 1 2 320.418 1.452 20 30 DDEDLO CC1(C[NH+]2CCN(S(=O)(=O)c3ccc(C#N)cc3)CC2)CC1 ZINC000581762179 325912141 /nfs/dbraw/zinc/91/21/41/325912141.db2.gz IIUVVQGMZIZDCX-UHFFFAOYSA-N 1 2 319.430 1.665 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCO[C@]3(CCOC3)C2)c([N+](=O)[O-])c1 ZINC000583384553 332377641 /nfs/dbraw/zinc/37/76/41/332377641.db2.gz XKSRIMIOJKOLEH-OAHLLOKOSA-N 1 2 303.318 1.458 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCO[C@]3(CCOC3)C2)c([N+](=O)[O-])c1 ZINC000583384553 332377642 /nfs/dbraw/zinc/37/76/42/332377642.db2.gz XKSRIMIOJKOLEH-OAHLLOKOSA-N 1 2 303.318 1.458 20 30 DDEDLO CO[C@H](C)c1nc(C)c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)s1 ZINC000580332785 333329210 /nfs/dbraw/zinc/32/92/10/333329210.db2.gz DGIQUZATAJQUHF-YGRLFVJLSA-N 1 2 310.423 1.733 20 30 DDEDLO N#CCSCC(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000024752747 337282275 /nfs/dbraw/zinc/28/22/75/337282275.db2.gz UIPICOBAFWBEKQ-UHFFFAOYSA-N 1 2 300.387 1.804 20 30 DDEDLO CC[C@@H]1CCCCN1C(=O)[C@H](C)[NH+]1CCN(C(=O)CC#N)CC1 ZINC000517584483 340472998 /nfs/dbraw/zinc/47/29/98/340472998.db2.gz VKGAKWNPCBMOTE-LSDHHAIUSA-N 1 2 320.437 1.224 20 30 DDEDLO Cn1cc[nH+]c1[C@H](CC(=O)N1CCOC[C@@H]1C#N)C(F)(F)F ZINC000553158054 341418085 /nfs/dbraw/zinc/41/80/85/341418085.db2.gz JZNHQKOEQDPKOJ-UWVGGRQHSA-N 1 2 316.283 1.207 20 30 DDEDLO C=CCCNC(=O)N(CC[NH+]1CCOCC1)Cc1ccco1 ZINC000665940681 485037942 /nfs/dbraw/zinc/03/79/42/485037942.db2.gz JDAJWEZXHXZEMF-UHFFFAOYSA-N 1 2 307.394 1.700 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000666434927 485216251 /nfs/dbraw/zinc/21/62/51/485216251.db2.gz GUQWSEUKRAHTOJ-IIYDPXPESA-N 1 2 319.405 1.248 20 30 DDEDLO C=CCCOCC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000676330543 486197390 /nfs/dbraw/zinc/19/73/90/486197390.db2.gz NOMSXTYCJHWKRX-UHFFFAOYSA-N 1 2 305.378 1.758 20 30 DDEDLO C[C@@H]1C[C@H](CCNC([O-])=[NH+][C@H]2CCn3cc[nH+]c3C2)CCO1 ZINC000330201009 533831914 /nfs/dbraw/zinc/83/19/14/533831914.db2.gz XEGCJJFMVXXVOC-MCIONIFRSA-N 1 2 306.410 1.907 20 30 DDEDLO C[C@@H]1C[C@H](CC[NH+]=C([O-])N[C@H]2CCn3cc[nH+]c3C2)CCO1 ZINC000330201009 533831920 /nfs/dbraw/zinc/83/19/20/533831920.db2.gz XEGCJJFMVXXVOC-MCIONIFRSA-N 1 2 306.410 1.907 20 30 DDEDLO COC(=O)c1ccccc1N(C)C(=O)C[NH2+][C@@H]1CCC[C@H]1C#N ZINC000459474091 534290902 /nfs/dbraw/zinc/29/09/02/534290902.db2.gz YNCXUPDKLMMTRG-GXTWGEPZSA-N 1 2 315.373 1.718 20 30 DDEDLO O=C(Nc1cnn(CC[NH+]2CCOCC2)c1)N1C[C@@H]2CCC[C@@H]21 ZINC000329948527 534331564 /nfs/dbraw/zinc/33/15/64/534331564.db2.gz ZDIIELLWHLDRMU-ZFWWWQNUSA-N 1 2 319.409 1.245 20 30 DDEDLO Cc1noc([C@@H]2C[N@@H+](Cc3cc(C#N)cs3)CCN2C)n1 ZINC000328957269 534466884 /nfs/dbraw/zinc/46/68/84/534466884.db2.gz PHQPTQFABNDHPP-ZDUSSCGKSA-N 1 2 303.391 1.800 20 30 DDEDLO Cc1noc([C@@H]2C[N@H+](Cc3cc(C#N)cs3)CCN2C)n1 ZINC000328957269 534466888 /nfs/dbraw/zinc/46/68/88/534466888.db2.gz PHQPTQFABNDHPP-ZDUSSCGKSA-N 1 2 303.391 1.800 20 30 DDEDLO Cc1nc([C@@](C)([NH2+]CCC(=O)N(C)CCC#N)C2CC2)no1 ZINC000433320777 534586878 /nfs/dbraw/zinc/58/68/78/534586878.db2.gz VRPJNMLVMCZCEL-HNNXBMFYSA-N 1 2 305.382 1.355 20 30 DDEDLO Cc1sccc1CC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000345593907 534623693 /nfs/dbraw/zinc/62/36/93/534623693.db2.gz VPTUAUBYKZMJIL-UHFFFAOYSA-N 1 2 321.446 1.674 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000341331344 526301202 /nfs/dbraw/zinc/30/12/02/526301202.db2.gz OYKCHBFUHMVESK-CQSZACIVSA-N 1 2 316.405 1.221 20 30 DDEDLO CNC(=O)C1CCC([NH+]=C([O-])N2CCn3c[nH+]cc3C2)CC1 ZINC000329743651 526395742 /nfs/dbraw/zinc/39/57/42/526395742.db2.gz XJYPUUNHBNZRRL-UHFFFAOYSA-N 1 2 305.382 1.758 20 30 DDEDLO CNC(=O)C1CCC(NC(=O)N2CCn3c[nH+]cc3C2)CC1 ZINC000329743651 526395746 /nfs/dbraw/zinc/39/57/46/526395746.db2.gz XJYPUUNHBNZRRL-UHFFFAOYSA-N 1 2 305.382 1.758 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](Cc2ccc(Br)cn2)C1 ZINC000330939691 526403042 /nfs/dbraw/zinc/40/30/42/526403042.db2.gz CXXGFWJNFAFPES-NSHDSACASA-N 1 2 313.199 1.552 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](Cc2ccc(Br)cn2)C1 ZINC000330939691 526403045 /nfs/dbraw/zinc/40/30/45/526403045.db2.gz CXXGFWJNFAFPES-NSHDSACASA-N 1 2 313.199 1.552 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2c(C)cccc2C)C1=O ZINC000337132995 526468316 /nfs/dbraw/zinc/46/83/16/526468316.db2.gz LRORICWQIKUSEE-OAHLLOKOSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2c(C)cccc2C)C1=O ZINC000337132995 526468320 /nfs/dbraw/zinc/46/83/20/526468320.db2.gz LRORICWQIKUSEE-OAHLLOKOSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccccc2OC)C1=O ZINC000337220042 526499506 /nfs/dbraw/zinc/49/95/06/526499506.db2.gz KFKBCBDSIDOFDB-AWEZNQCLSA-N 1 2 317.389 1.352 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccccc2OC)C1=O ZINC000337220042 526499509 /nfs/dbraw/zinc/49/95/09/526499509.db2.gz KFKBCBDSIDOFDB-AWEZNQCLSA-N 1 2 317.389 1.352 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H]2CCCC[C@@H]2S(C)(=O)=O)C1=O ZINC000337176191 526504076 /nfs/dbraw/zinc/50/40/76/526504076.db2.gz IUVMLOAKKNVMPW-IHRRRGAJSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H]2CCCC[C@@H]2S(C)(=O)=O)C1=O ZINC000337176191 526504080 /nfs/dbraw/zinc/50/40/80/526504080.db2.gz IUVMLOAKKNVMPW-IHRRRGAJSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2cc(Cl)ccc2C#N)CC1 ZINC000346668199 526540911 /nfs/dbraw/zinc/54/09/11/526540911.db2.gz JFNUOBUKOZHDJZ-UHFFFAOYSA-N 1 2 318.808 1.636 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](c1ccc(F)cc1)c1cnccn1 ZINC000346841859 526570916 /nfs/dbraw/zinc/57/09/16/526570916.db2.gz WIHQSCOOFFQVTP-INIZCTEOSA-N 1 2 300.337 1.597 20 30 DDEDLO C=CCNC(=O)Cc1noc(-c2cc(-n3cc[nH+]c3)ccn2)n1 ZINC000346991825 526578157 /nfs/dbraw/zinc/57/81/57/526578157.db2.gz QVSRAFRGCINYFO-UHFFFAOYSA-N 1 2 310.317 1.162 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1cc(C)ccc1F ZINC000444746108 526599174 /nfs/dbraw/zinc/59/91/74/526599174.db2.gz UFJIPZUAPBSZKO-GFCCVEGCSA-N 1 2 307.369 1.966 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1cc(C)ccc1F ZINC000444746108 526599178 /nfs/dbraw/zinc/59/91/78/526599178.db2.gz UFJIPZUAPBSZKO-GFCCVEGCSA-N 1 2 307.369 1.966 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCc2c(cccc2C(=O)OC)C1 ZINC000459539771 526625719 /nfs/dbraw/zinc/62/57/19/526625719.db2.gz PQYFPLPPPLPNNF-GFCCVEGCSA-N 1 2 302.374 1.522 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCc2c(cccc2C(=O)OC)C1 ZINC000459539771 526625723 /nfs/dbraw/zinc/62/57/23/526625723.db2.gz PQYFPLPPPLPNNF-GFCCVEGCSA-N 1 2 302.374 1.522 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1sc2nccn2c1C ZINC000490936029 526941421 /nfs/dbraw/zinc/94/14/21/526941421.db2.gz PAWDILXYJLQGLN-GFCCVEGCSA-N 1 2 303.387 1.959 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1sc2nccn2c1C ZINC000490936029 526941427 /nfs/dbraw/zinc/94/14/27/526941427.db2.gz PAWDILXYJLQGLN-GFCCVEGCSA-N 1 2 303.387 1.959 20 30 DDEDLO C#CCNC(=O)C1CC[NH+]([C@H](C)c2nc(C(C)C)no2)CC1 ZINC000491201373 526972028 /nfs/dbraw/zinc/97/20/28/526972028.db2.gz HAFCJNWJZFUDTC-GFCCVEGCSA-N 1 2 304.394 1.715 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CCCC(C)C)CC1 ZINC000491747888 526989530 /nfs/dbraw/zinc/98/95/30/526989530.db2.gz QQWQHOCMSYYVTK-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CCCC(C)C)CC1 ZINC000491747888 526989537 /nfs/dbraw/zinc/98/95/37/526989537.db2.gz QQWQHOCMSYYVTK-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CC(C)(C)CCC)CC1 ZINC000491243012 526990909 /nfs/dbraw/zinc/99/09/09/526990909.db2.gz UGIMNQALDKFUOZ-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CC(C)(C)CCC)CC1 ZINC000491243012 526990916 /nfs/dbraw/zinc/99/09/16/526990916.db2.gz UGIMNQALDKFUOZ-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+]2CCO[C@@H](CC)C2)CCOCC1 ZINC000424851159 527053172 /nfs/dbraw/zinc/05/31/72/527053172.db2.gz JNRDEALRNJZDFN-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+]2CCO[C@@H](CC)C2)CCOCC1 ZINC000424851159 527053175 /nfs/dbraw/zinc/05/31/75/527053175.db2.gz JNRDEALRNJZDFN-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C#CCn1ccc(CN(CCOC)Cc2c[nH+]cn2C(C)C)n1 ZINC000491396672 527191629 /nfs/dbraw/zinc/19/16/29/527191629.db2.gz ZHQSTOLRSQABKM-UHFFFAOYSA-N 1 2 315.421 1.942 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C[C@@H](CNC(=O)OC(C)(C)C)CC1=O ZINC000491812337 527214627 /nfs/dbraw/zinc/21/46/27/527214627.db2.gz DTBDRAZFQUVMSA-CHWSQXEVSA-N 1 2 309.410 1.271 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C[C@@H](CNC(=O)OC(C)(C)C)CC1=O ZINC000491812337 527214632 /nfs/dbraw/zinc/21/46/32/527214632.db2.gz DTBDRAZFQUVMSA-CHWSQXEVSA-N 1 2 309.410 1.271 20 30 DDEDLO C#C[C@@H](CC)NC(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000491761283 527232318 /nfs/dbraw/zinc/23/23/18/527232318.db2.gz VIDXRCNDMUVGCE-HNNXBMFYSA-N 1 2 316.401 1.590 20 30 DDEDLO C#C[C@@H](CC)NC(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000491761283 527232324 /nfs/dbraw/zinc/23/23/24/527232324.db2.gz VIDXRCNDMUVGCE-HNNXBMFYSA-N 1 2 316.401 1.590 20 30 DDEDLO C#C[C@@H](NC(=O)NCCc1cn(C)c[nH+]1)c1ccc(F)cc1 ZINC000491508178 527276349 /nfs/dbraw/zinc/27/63/49/527276349.db2.gz YRFWOUHPGVVVGH-OAHLLOKOSA-N 1 2 300.337 1.775 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000491810133 527301528 /nfs/dbraw/zinc/30/15/28/527301528.db2.gz HQJZTWUJJDGABO-OAHLLOKOSA-N 1 2 310.357 1.416 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000491473736 527321105 /nfs/dbraw/zinc/32/11/05/527321105.db2.gz HGEWSNWUEDCPTK-RDJZCZTQSA-N 1 2 315.417 1.551 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000491473736 527321111 /nfs/dbraw/zinc/32/11/11/527321111.db2.gz HGEWSNWUEDCPTK-RDJZCZTQSA-N 1 2 315.417 1.551 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)NCC(=O)Nc1cc(C)ccc1OC ZINC000491375161 527330368 /nfs/dbraw/zinc/33/03/68/527330368.db2.gz UTYNPYYPIBIXRO-ZDUSSCGKSA-N 1 2 317.389 1.012 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)NCC(=O)Nc1cc(C)ccc1OC ZINC000491375161 527330375 /nfs/dbraw/zinc/33/03/75/527330375.db2.gz UTYNPYYPIBIXRO-ZDUSSCGKSA-N 1 2 317.389 1.012 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000491621340 527417166 /nfs/dbraw/zinc/41/71/66/527417166.db2.gz GEYURXBQUVXPGR-HOTGVXAUSA-N 1 2 309.369 1.792 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000491636888 527427795 /nfs/dbraw/zinc/42/77/95/527427795.db2.gz UFTARVQJKRFIOE-AWEZNQCLSA-N 1 2 317.776 1.874 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000491636888 527427797 /nfs/dbraw/zinc/42/77/97/527427797.db2.gz UFTARVQJKRFIOE-AWEZNQCLSA-N 1 2 317.776 1.874 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@H](O)COc1cccc2[nH]ccc21 ZINC000491653492 527429115 /nfs/dbraw/zinc/42/91/15/527429115.db2.gz DCPPAGOPOWRUEA-KBPBESRZSA-N 1 2 300.358 1.242 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@H](O)COc1cccc2[nH]ccc21 ZINC000491653492 527429123 /nfs/dbraw/zinc/42/91/23/527429123.db2.gz DCPPAGOPOWRUEA-KBPBESRZSA-N 1 2 300.358 1.242 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CCc2c([nH+]c(C(C)C)n2C)C1 ZINC000334067809 527505421 /nfs/dbraw/zinc/50/54/21/527505421.db2.gz DYQPJSYCLTYJIY-DOMZBBRYSA-N 1 2 318.421 1.955 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@@H+]2C[C@@H](C3CC3)O[C@@H](C)C2)C1 ZINC000329903111 527543562 /nfs/dbraw/zinc/54/35/62/527543562.db2.gz PXCJGSOXRRNVHE-MAZHCROVSA-N 1 2 323.437 1.453 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@H+]2C[C@@H](C3CC3)O[C@@H](C)C2)C1 ZINC000329903111 527543568 /nfs/dbraw/zinc/54/35/68/527543568.db2.gz PXCJGSOXRRNVHE-MAZHCROVSA-N 1 2 323.437 1.453 20 30 DDEDLO CCNC(=O)c1cccc(OC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000414147343 528273192 /nfs/dbraw/zinc/27/31/92/528273192.db2.gz RIUBGHANZRCLEY-AWEZNQCLSA-N 1 2 305.378 1.021 20 30 DDEDLO CCNC(=O)c1cccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000414147343 528273195 /nfs/dbraw/zinc/27/31/95/528273195.db2.gz RIUBGHANZRCLEY-AWEZNQCLSA-N 1 2 305.378 1.021 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCCc1cc[nH+]cc1C ZINC000336941478 528711671 /nfs/dbraw/zinc/71/16/71/528711671.db2.gz JSUQIDRIOJNGQS-GFCCVEGCSA-N 1 2 310.423 1.249 20 30 DDEDLO CCNC(=O)NC(=O)[C@H](C)[N@@H+]1C[C@H](O)C[C@H]1c1cccc(F)c1 ZINC000330554938 528826757 /nfs/dbraw/zinc/82/67/57/528826757.db2.gz YZGVRLXGDSMUSI-GDLCADMTSA-N 1 2 323.368 1.372 20 30 DDEDLO CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@H](O)C[C@H]1c1cccc(F)c1 ZINC000330554938 528826763 /nfs/dbraw/zinc/82/67/63/528826763.db2.gz YZGVRLXGDSMUSI-GDLCADMTSA-N 1 2 323.368 1.372 20 30 DDEDLO CCC1(CC)CC(=O)N(C[C@H](O)C[N@H+](C)CCC#N)C(=O)C1 ZINC000414130144 529034021 /nfs/dbraw/zinc/03/40/21/529034021.db2.gz WMOJWQIYKQYLIN-CYBMUJFWSA-N 1 2 309.410 1.148 20 30 DDEDLO CCC1(CC)CC(=O)N(C[C@H](O)C[N@@H+](C)CCC#N)C(=O)C1 ZINC000414130144 529034025 /nfs/dbraw/zinc/03/40/25/529034025.db2.gz WMOJWQIYKQYLIN-CYBMUJFWSA-N 1 2 309.410 1.148 20 30 DDEDLO CCN1CCN(CC(=O)Nc2nccs2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000329970894 529140171 /nfs/dbraw/zinc/14/01/71/529140171.db2.gz YDISDZRIVRMZIU-LLVKDONJSA-N 1 2 320.422 1.833 20 30 DDEDLO CC#CC[N@@H+]1CCCN(Cc2ccc(-c3nn[nH]n3)cc2)CC1 ZINC000735450521 599122922 /nfs/dbraw/zinc/12/29/22/599122922.db2.gz ZSIJGAUNKHXOEC-UHFFFAOYSA-N 1 2 310.405 1.398 20 30 DDEDLO CC#CC[N@H+]1CCCN(Cc2ccc(-c3nn[nH]n3)cc2)CC1 ZINC000735450521 599122923 /nfs/dbraw/zinc/12/29/23/599122923.db2.gz ZSIJGAUNKHXOEC-UHFFFAOYSA-N 1 2 310.405 1.398 20 30 DDEDLO NC(=[NH+]OCc1ccccc1-c1nn[nH]n1)c1ccc(F)cc1 ZINC000737731599 598472288 /nfs/dbraw/zinc/47/22/88/598472288.db2.gz UKHPYWZZUWTVLL-UHFFFAOYSA-N 1 2 312.308 1.843 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)OC(=O)[C@H]1CCCC[N@H+]1CC ZINC000745087693 699971321 /nfs/dbraw/zinc/97/13/21/699971321.db2.gz YYMAOJNFRWWYEU-LSDHHAIUSA-N 1 2 308.422 1.993 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)OC(=O)[C@H]1CCCC[N@@H+]1CC ZINC000745087693 699971322 /nfs/dbraw/zinc/97/13/22/699971322.db2.gz YYMAOJNFRWWYEU-LSDHHAIUSA-N 1 2 308.422 1.993 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)[nH]n3)C2)C1 ZINC000972281920 695203139 /nfs/dbraw/zinc/20/31/39/695203139.db2.gz MZWFSPCIYVYENT-MRXNPFEDSA-N 1 2 304.394 1.211 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)[nH]n3)C2)C1 ZINC000972281920 695203141 /nfs/dbraw/zinc/20/31/41/695203141.db2.gz MZWFSPCIYVYENT-MRXNPFEDSA-N 1 2 304.394 1.211 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(C)s3)C2)C1 ZINC000972291503 695207327 /nfs/dbraw/zinc/20/73/27/695207327.db2.gz UWLRFJWBQHBUGM-HNNXBMFYSA-N 1 2 307.419 1.554 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(C)s3)C2)C1 ZINC000972291503 695207329 /nfs/dbraw/zinc/20/73/29/695207329.db2.gz UWLRFJWBQHBUGM-HNNXBMFYSA-N 1 2 307.419 1.554 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3C=CC=CC=C3)C2)C1 ZINC000972395181 695238930 /nfs/dbraw/zinc/23/89/30/695238930.db2.gz AMGYIKHEMBVRBQ-LJQANCHMSA-N 1 2 312.413 1.611 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3C=CC=CC=C3)C2)C1 ZINC000972395181 695238931 /nfs/dbraw/zinc/23/89/31/695238931.db2.gz AMGYIKHEMBVRBQ-LJQANCHMSA-N 1 2 312.413 1.611 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc4occc4[nH]3)C2)C1 ZINC000972403011 695240876 /nfs/dbraw/zinc/24/08/76/695240876.db2.gz ZJCYOHBQLIZENL-QGZVFWFLSA-N 1 2 313.357 1.311 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc4occc4[nH]3)C2)C1 ZINC000972403011 695240877 /nfs/dbraw/zinc/24/08/77/695240877.db2.gz ZJCYOHBQLIZENL-QGZVFWFLSA-N 1 2 313.357 1.311 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccnc(OC)c3)C2)C1 ZINC000972443539 695253119 /nfs/dbraw/zinc/25/31/19/695253119.db2.gz IUNGFIORONTQML-KRWDZBQOSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccnc(OC)c3)C2)C1 ZINC000972443539 695253120 /nfs/dbraw/zinc/25/31/20/695253120.db2.gz IUNGFIORONTQML-KRWDZBQOSA-N 1 2 317.389 1.193 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)c(F)c3)C2)C1 ZINC000972455626 695255280 /nfs/dbraw/zinc/25/52/80/695255280.db2.gz GDJUMGUHHNVKLV-GOSISDBHSA-N 1 2 316.376 1.684 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)c(F)c3)C2)C1 ZINC000972455626 695255282 /nfs/dbraw/zinc/25/52/82/695255282.db2.gz GDJUMGUHHNVKLV-GOSISDBHSA-N 1 2 316.376 1.684 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CC4(CCC4)C3)C2)C1 ZINC000972504905 695267233 /nfs/dbraw/zinc/26/72/33/695267233.db2.gz QWFUXVFHFMIHOB-IBGZPJMESA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CC4(CCC4)C3)C2)C1 ZINC000972504905 695267234 /nfs/dbraw/zinc/26/72/34/695267234.db2.gz QWFUXVFHFMIHOB-IBGZPJMESA-N 1 2 316.445 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3cncs3)C2)C1 ZINC000972552008 695280113 /nfs/dbraw/zinc/28/01/13/695280113.db2.gz JNUXZEKXYMEUQW-MRXNPFEDSA-N 1 2 321.446 1.565 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3cncs3)C2)C1 ZINC000972552008 695280114 /nfs/dbraw/zinc/28/01/14/695280114.db2.gz JNUXZEKXYMEUQW-MRXNPFEDSA-N 1 2 321.446 1.565 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000972601342 695295153 /nfs/dbraw/zinc/29/51/53/695295153.db2.gz HQWPKECGOGEJQH-INIZCTEOSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000972601342 695295155 /nfs/dbraw/zinc/29/51/55/695295155.db2.gz HQWPKECGOGEJQH-INIZCTEOSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccccc3F)C2)C1 ZINC000972661420 695312247 /nfs/dbraw/zinc/31/22/47/695312247.db2.gz HFNFRPRCWIFQRF-GOSISDBHSA-N 1 2 318.392 1.858 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccccc3F)C2)C1 ZINC000972661420 695312248 /nfs/dbraw/zinc/31/22/48/695312248.db2.gz HFNFRPRCWIFQRF-GOSISDBHSA-N 1 2 318.392 1.858 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CCC=CCC3)C2)C1 ZINC000972676992 695315944 /nfs/dbraw/zinc/31/59/44/695315944.db2.gz MZRGOBUXLYETBJ-SFHVURJKSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CCC=CCC3)C2)C1 ZINC000972676992 695315945 /nfs/dbraw/zinc/31/59/45/695315945.db2.gz MZRGOBUXLYETBJ-SFHVURJKSA-N 1 2 302.418 1.669 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2C[C@H](NC(C)=O)C(C)(C)C2)c1 ZINC000972718494 695322323 /nfs/dbraw/zinc/32/23/23/695322323.db2.gz MZCIRUIXTFFHGH-INIZCTEOSA-N 1 2 313.401 1.453 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2C[C@H](NC(C)=O)C(C)(C)C2)c1 ZINC000972718494 695322326 /nfs/dbraw/zinc/32/23/26/695322326.db2.gz MZCIRUIXTFFHGH-INIZCTEOSA-N 1 2 313.401 1.453 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2C[C@@H](NC(=O)Cn3cc[nH+]c3)C2)CC1 ZINC000974277070 695652124 /nfs/dbraw/zinc/65/21/24/695652124.db2.gz NTXYJRFKYBRNLE-GASCZTMLSA-N 1 2 316.405 1.393 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(OC)ns2)C(C)(C)C1 ZINC000974599072 695693680 /nfs/dbraw/zinc/69/36/80/695693680.db2.gz WYNYKNNKCCVDLH-GFCCVEGCSA-N 1 2 307.419 1.615 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(OC)ns2)C(C)(C)C1 ZINC000974599072 695693682 /nfs/dbraw/zinc/69/36/82/695693682.db2.gz WYNYKNNKCCVDLH-GFCCVEGCSA-N 1 2 307.419 1.615 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)N1CC[NH+](CC[S@](C)=O)CC1 ZINC000746466052 700025510 /nfs/dbraw/zinc/02/55/10/700025510.db2.gz AEZBNZLATZBGTA-QKKBWIMNSA-N 1 2 305.447 1.615 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1cn[nH]n1 ZINC000974783487 695733508 /nfs/dbraw/zinc/73/35/08/695733508.db2.gz IZTVITVMHWILJI-HNNXBMFYSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1cn[nH]n1 ZINC000974783487 695733512 /nfs/dbraw/zinc/73/35/12/695733512.db2.gz IZTVITVMHWILJI-HNNXBMFYSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1cnn[nH]1 ZINC000974783487 695733513 /nfs/dbraw/zinc/73/35/13/695733513.db2.gz IZTVITVMHWILJI-HNNXBMFYSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1cnn[nH]1 ZINC000974783487 695733516 /nfs/dbraw/zinc/73/35/16/695733516.db2.gz IZTVITVMHWILJI-HNNXBMFYSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974881888 695752606 /nfs/dbraw/zinc/75/26/06/695752606.db2.gz SFRLDTKNGILDPY-IAQYHMDHSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974881888 695752607 /nfs/dbraw/zinc/75/26/07/695752607.db2.gz SFRLDTKNGILDPY-IAQYHMDHSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974947352 695764363 /nfs/dbraw/zinc/76/43/63/695764363.db2.gz PCYYHNQGHWDPON-VXGBXAGGSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974947352 695764364 /nfs/dbraw/zinc/76/43/64/695764364.db2.gz PCYYHNQGHWDPON-VXGBXAGGSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@]2(F)CCOC2)C(C)(C)C1 ZINC000974971955 695770855 /nfs/dbraw/zinc/77/08/55/695770855.db2.gz NRQFFAHBLGWRHG-BXUZGUMPSA-N 1 2 304.793 1.694 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@]2(F)CCOC2)C(C)(C)C1 ZINC000974971955 695770857 /nfs/dbraw/zinc/77/08/57/695770857.db2.gz NRQFFAHBLGWRHG-BXUZGUMPSA-N 1 2 304.793 1.694 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@H]2OCC[C@H]2C)C(C)(C)C1 ZINC000975065462 695786872 /nfs/dbraw/zinc/78/68/72/695786872.db2.gz PEGFOTGQVOPYNB-WXHSDQCUSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@H]2OCC[C@H]2C)C(C)(C)C1 ZINC000975065462 695786873 /nfs/dbraw/zinc/78/68/73/695786873.db2.gz PEGFOTGQVOPYNB-WXHSDQCUSA-N 1 2 300.830 1.991 20 30 DDEDLO O=C(CN1CC[NH+](Cc2ccccc2)CC1)N=C1NCCS1 ZINC000070870662 696389706 /nfs/dbraw/zinc/38/97/06/696389706.db2.gz MIEZXKSJUONIDQ-UHFFFAOYSA-N 1 2 318.446 1.023 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H]([C@H](C)[NH2+]Cc2nc(C)no2)C1 ZINC000979382787 696541992 /nfs/dbraw/zinc/54/19/92/696541992.db2.gz XSIPEMCIUIQWHB-WCQYABFASA-N 1 2 322.409 1.296 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H]([C@@H](C)[NH2+]Cc2csnn2)C1 ZINC000979382948 696542100 /nfs/dbraw/zinc/54/21/00/696542100.db2.gz YPXWZUHLHLTAME-YPMHNXCESA-N 1 2 324.450 1.456 20 30 DDEDLO C[C@@H](O)C(=O)N1CC[NH+](Cc2ccc(O[C@@H](C)C#N)cc2)CC1 ZINC000093706532 696601260 /nfs/dbraw/zinc/60/12/60/696601260.db2.gz VQRSXSDEFPSNLU-UONOGXRCSA-N 1 2 317.389 1.002 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](Cc2cc(C#N)ccc2F)CC1 ZINC000980848068 696916478 /nfs/dbraw/zinc/91/64/78/696916478.db2.gz PGEAQFTVDVPFNQ-CYBMUJFWSA-N 1 2 314.364 1.891 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](Cc2cc(C#N)ccc2F)CC1 ZINC000980848068 696916482 /nfs/dbraw/zinc/91/64/82/696916482.db2.gz PGEAQFTVDVPFNQ-CYBMUJFWSA-N 1 2 314.364 1.891 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2occc2Br)CC1 ZINC000982228490 697066996 /nfs/dbraw/zinc/06/69/96/697066996.db2.gz ZOVISCRQGZBNLQ-UHFFFAOYSA-N 1 2 311.179 1.823 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2occc2Br)CC1 ZINC000982228490 697066999 /nfs/dbraw/zinc/06/69/99/697066999.db2.gz ZOVISCRQGZBNLQ-UHFFFAOYSA-N 1 2 311.179 1.823 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000159503757 697310361 /nfs/dbraw/zinc/31/03/61/697310361.db2.gz DDWIGGJPXLFBJU-UHFFFAOYSA-N 1 2 316.401 1.250 20 30 DDEDLO CSCCCON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181837456 697465509 /nfs/dbraw/zinc/46/55/09/697465509.db2.gz BYOKLGWXJDEBEA-OAHLLOKOSA-N 1 2 323.462 1.929 20 30 DDEDLO CSCCCON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181837456 697465511 /nfs/dbraw/zinc/46/55/11/697465511.db2.gz BYOKLGWXJDEBEA-OAHLLOKOSA-N 1 2 323.462 1.929 20 30 DDEDLO Cc1nc([C@H](C)[N@H+](C)C2CCN(C(=O)C#CC3CC3)CC2)no1 ZINC000985431699 697510094 /nfs/dbraw/zinc/51/00/94/697510094.db2.gz SCWASIIFQNMXEX-LBPRGKRZSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1nc([C@H](C)[N@@H+](C)C2CCN(C(=O)C#CC3CC3)CC2)no1 ZINC000985431699 697510095 /nfs/dbraw/zinc/51/00/95/697510095.db2.gz SCWASIIFQNMXEX-LBPRGKRZSA-N 1 2 316.405 1.775 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC([N@@H+](C)Cc2ncnn2C)CC1 ZINC000985575426 697533687 /nfs/dbraw/zinc/53/36/87/697533687.db2.gz YFFIXGBHDXNGTC-UHFFFAOYSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC([N@H+](C)Cc2ncnn2C)CC1 ZINC000985575426 697533689 /nfs/dbraw/zinc/53/36/89/697533689.db2.gz YFFIXGBHDXNGTC-UHFFFAOYSA-N 1 2 305.426 1.450 20 30 DDEDLO CN(CC#N)C1CCN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC000985644095 697549061 /nfs/dbraw/zinc/54/90/61/697549061.db2.gz UIIMTMMFFQZHMP-ZDUSSCGKSA-N 1 2 301.394 1.276 20 30 DDEDLO COc1cc(CN2CC[NH+](CC[S@@](C)=O)CC2)ccc1C#N ZINC000799733637 700163607 /nfs/dbraw/zinc/16/36/07/700163607.db2.gz ONBNLECOPDKTSK-JOCHJYFZSA-N 1 2 321.446 1.063 20 30 DDEDLO C[C@@H]1C[N@H+](CCOC(=O)Cc2ccccc2CC#N)CCO1 ZINC000800195007 700201255 /nfs/dbraw/zinc/20/12/55/700201255.db2.gz OPSABYDRGVJYQD-CQSZACIVSA-N 1 2 302.374 1.559 20 30 DDEDLO C[C@@H]1C[N@@H+](CCOC(=O)Cc2ccccc2CC#N)CCO1 ZINC000800195007 700201256 /nfs/dbraw/zinc/20/12/56/700201256.db2.gz OPSABYDRGVJYQD-CQSZACIVSA-N 1 2 302.374 1.559 20 30 DDEDLO CSCCON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000778029699 698238657 /nfs/dbraw/zinc/23/86/57/698238657.db2.gz WHJIECBGUIBUHX-UHFFFAOYSA-N 1 2 309.435 1.519 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000780996019 698581070 /nfs/dbraw/zinc/58/10/70/698581070.db2.gz XHOJQRVEGNWVNH-WBVHZDCISA-N 1 2 322.368 1.382 20 30 DDEDLO COCCCNC(=S)NN=Cc1cc(-n2cc[nH+]c2)cs1 ZINC000783806517 698883103 /nfs/dbraw/zinc/88/31/03/698883103.db2.gz OKZSNBVRDYFKJY-UHFFFAOYSA-N 1 2 323.447 1.768 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@]34CCC[C@@H]3N(CC#N)CC4)ccn12 ZINC000990711311 699138801 /nfs/dbraw/zinc/13/88/01/699138801.db2.gz MIEKTNHZEIRIGF-MAUKXSAKSA-N 1 2 323.400 1.893 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCO[C@H](C)C1)c1ccc(C#N)cc1 ZINC000726255280 699356809 /nfs/dbraw/zinc/35/68/09/699356809.db2.gz SVNWFBOQSIYYIC-KGLIPLIRSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCO[C@H](C)C1)c1ccc(C#N)cc1 ZINC000726255280 699356812 /nfs/dbraw/zinc/35/68/12/699356812.db2.gz SVNWFBOQSIYYIC-KGLIPLIRSA-N 1 2 316.405 1.639 20 30 DDEDLO C#CCN(C(=O)NCC[N@@H+]1CCO[C@@H](C)C1)C1CCCCC1 ZINC000726256322 699358120 /nfs/dbraw/zinc/35/81/20/699358120.db2.gz LZVCITLJXDKNRH-HNNXBMFYSA-N 1 2 307.438 1.685 20 30 DDEDLO C#CCN(C(=O)NCC[N@H+]1CCO[C@@H](C)C1)C1CCCCC1 ZINC000726256322 699358123 /nfs/dbraw/zinc/35/81/23/699358123.db2.gz LZVCITLJXDKNRH-HNNXBMFYSA-N 1 2 307.438 1.685 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cc(OC)c(OC)c(OC)c1 ZINC000730095729 699500793 /nfs/dbraw/zinc/50/07/93/699500793.db2.gz HPEYSCHXTCKYGH-ZDUSSCGKSA-N 1 2 318.373 1.749 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cc(OC)c(OC)c(OC)c1 ZINC000730095729 699500795 /nfs/dbraw/zinc/50/07/95/699500795.db2.gz HPEYSCHXTCKYGH-ZDUSSCGKSA-N 1 2 318.373 1.749 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)nc1 ZINC000732128121 699547538 /nfs/dbraw/zinc/54/75/38/699547538.db2.gz RJRSAGLREYEYFA-MRXNPFEDSA-N 1 2 313.401 1.390 20 30 DDEDLO C=CC[C@H](NC(=O)N[C@H](C)C[NH+]1CCOCC1)c1ccncc1 ZINC000734659349 699658550 /nfs/dbraw/zinc/65/85/50/699658550.db2.gz USHDSJHDUUFKHS-ZBFHGGJFSA-N 1 2 318.421 1.719 20 30 DDEDLO COCCN(C)N=Cc1ccc(C=[NH+]N(C)CCOC)cc1 ZINC000794966620 699838579 /nfs/dbraw/zinc/83/85/79/699838579.db2.gz AIFITVODSQGKNK-UHFFFAOYSA-N 1 2 306.410 1.511 20 30 DDEDLO C#CCC[NH+]1CCC(NC(=O)c2c(O)cc(F)cc2F)CC1 ZINC000743489660 699914662 /nfs/dbraw/zinc/91/46/62/699914662.db2.gz JFDPIFXXJIUAQF-UHFFFAOYSA-N 1 2 308.328 1.888 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(c2nc(F)c(F)cc2F)CC1 ZINC000801949465 700359554 /nfs/dbraw/zinc/35/95/54/700359554.db2.gz FMWUVEHMMQDANB-SNVBAGLBSA-N 1 2 314.311 1.550 20 30 DDEDLO CC(C)C(=O)NC[C@@H]1CCC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758216680 700690310 /nfs/dbraw/zinc/69/03/10/700690310.db2.gz MSITURADWUTODL-AWEZNQCLSA-N 1 2 316.405 1.162 20 30 DDEDLO CC(C)C(=O)NC[C@@H]1CCC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758216680 700690311 /nfs/dbraw/zinc/69/03/11/700690311.db2.gz MSITURADWUTODL-AWEZNQCLSA-N 1 2 316.405 1.162 20 30 DDEDLO c1cn(-c2ccc(N=NCc3cccc4[nH+]ccn43)nn2)cn1 ZINC000761855537 700871363 /nfs/dbraw/zinc/87/13/63/700871363.db2.gz PWQRGLZEHAHVKE-UHFFFAOYSA-N 1 2 304.317 1.756 20 30 DDEDLO C[N@H+](C[C@H]1CCCCO1)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766611994 701056597 /nfs/dbraw/zinc/05/65/97/701056597.db2.gz KNWMYXXHBVDTTC-CQSZACIVSA-N 1 2 301.390 1.667 20 30 DDEDLO C[N@@H+](C[C@H]1CCCCO1)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766611994 701056599 /nfs/dbraw/zinc/05/65/99/701056599.db2.gz KNWMYXXHBVDTTC-CQSZACIVSA-N 1 2 301.390 1.667 20 30 DDEDLO C=C(CNC(=O)NCC[N@@H+]1CCO[C@H](C)C1)c1ccccc1 ZINC000806666328 701445360 /nfs/dbraw/zinc/44/53/60/701445360.db2.gz LQQNMOTZHKHJDD-OAHLLOKOSA-N 1 2 303.406 1.720 20 30 DDEDLO C=C(CNC(=O)NCC[N@H+]1CCO[C@H](C)C1)c1ccccc1 ZINC000806666328 701445361 /nfs/dbraw/zinc/44/53/61/701445361.db2.gz LQQNMOTZHKHJDD-OAHLLOKOSA-N 1 2 303.406 1.720 20 30 DDEDLO C#CCCCNC(=O)C(=O)N1CC[N@@H+](C)C[C@@H]1c1ccccc1 ZINC000806916401 701454640 /nfs/dbraw/zinc/45/46/40/701454640.db2.gz YFEFRXLXEIGFJR-MRXNPFEDSA-N 1 2 313.401 1.031 20 30 DDEDLO C#CCCCNC(=O)C(=O)N1CC[N@H+](C)C[C@@H]1c1ccccc1 ZINC000806916401 701454641 /nfs/dbraw/zinc/45/46/41/701454641.db2.gz YFEFRXLXEIGFJR-MRXNPFEDSA-N 1 2 313.401 1.031 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000879160025 706602429 /nfs/dbraw/zinc/60/24/29/706602429.db2.gz NYEKPVYUOOVZOH-OAHLLOKOSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000815062349 701790684 /nfs/dbraw/zinc/79/06/84/701790684.db2.gz JVWJQUBVLAIHMN-ZIAGYGMSSA-N 1 2 314.426 1.803 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000815062349 701790685 /nfs/dbraw/zinc/79/06/85/701790685.db2.gz JVWJQUBVLAIHMN-ZIAGYGMSSA-N 1 2 314.426 1.803 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)C[N@@H+]1CC(=O)NCCC#N ZINC000879221267 706619362 /nfs/dbraw/zinc/61/93/62/706619362.db2.gz JWBHUKLARAKCAA-VXGBXAGGSA-N 1 2 310.398 1.004 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)C[N@H+]1CC(=O)NCCC#N ZINC000879221267 706619365 /nfs/dbraw/zinc/61/93/65/706619365.db2.gz JWBHUKLARAKCAA-VXGBXAGGSA-N 1 2 310.398 1.004 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000815798328 701937119 /nfs/dbraw/zinc/93/71/19/701937119.db2.gz ZPYSEQKAFKKXBX-ZDUSSCGKSA-N 1 2 318.364 1.148 20 30 DDEDLO C[C@H]1C(=O)N(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C[C@H]1C ZINC000840074569 701953599 /nfs/dbraw/zinc/95/35/99/701953599.db2.gz FDBLUPWATWMLRT-NFAWXSAZSA-N 1 2 314.389 1.478 20 30 DDEDLO C[C@H]1C(=O)N(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C[C@H]1C ZINC000840074569 701953602 /nfs/dbraw/zinc/95/36/02/701953602.db2.gz FDBLUPWATWMLRT-NFAWXSAZSA-N 1 2 314.389 1.478 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C(C)(C)C[NH+]2CCOCC2)nc1 ZINC000840570081 702158869 /nfs/dbraw/zinc/15/88/69/702158869.db2.gz WDLLQXJOAMXMTP-UHFFFAOYSA-N 1 2 301.390 1.246 20 30 DDEDLO C=Cc1cc(OC)c(OC[C@@H](O)C[N@H+](C)CCC#N)c(OC)c1 ZINC000840757877 702230158 /nfs/dbraw/zinc/23/01/58/702230158.db2.gz AXKOEKJNFVCYPA-AWEZNQCLSA-N 1 2 320.389 1.932 20 30 DDEDLO C=Cc1cc(OC)c(OC[C@@H](O)C[N@@H+](C)CCC#N)c(OC)c1 ZINC000840757877 702230162 /nfs/dbraw/zinc/23/01/62/702230162.db2.gz AXKOEKJNFVCYPA-AWEZNQCLSA-N 1 2 320.389 1.932 20 30 DDEDLO Cc1nn(Cc2ccccc2)c(Cl)c1C=NNC1=[NH+]CCN1 ZINC000841660155 702522620 /nfs/dbraw/zinc/52/26/20/702522620.db2.gz DCMYUTIPYWBRLH-UHFFFAOYSA-N 1 2 316.796 1.776 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3ccnc(F)c3)CC2)C1=O ZINC000831463321 706711675 /nfs/dbraw/zinc/71/16/75/706711675.db2.gz RUNXAMAAWYHMIZ-AWEZNQCLSA-N 1 2 304.369 1.130 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)N2Cc3cccc(C#N)c3C2)CCO1 ZINC000844942505 703087976 /nfs/dbraw/zinc/08/79/76/703087976.db2.gz GUDLMUVAWYVSQH-ZDUSSCGKSA-N 1 2 314.389 1.304 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)N2Cc3cccc(C#N)c3C2)CCO1 ZINC000844942505 703087979 /nfs/dbraw/zinc/08/79/79/703087979.db2.gz GUDLMUVAWYVSQH-ZDUSSCGKSA-N 1 2 314.389 1.304 20 30 DDEDLO C[C@@H](CC(=O)O[C@@H](C)C(=O)NC1(C#N)CCC1)n1cc[nH+]c1 ZINC000845284497 703138861 /nfs/dbraw/zinc/13/88/61/703138861.db2.gz MOKOLWVIAXUMCC-RYUDHWBXSA-N 1 2 304.350 1.328 20 30 DDEDLO C=C[C@@H](C)ONC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000845640080 703186032 /nfs/dbraw/zinc/18/60/32/703186032.db2.gz UDFZSULFLSHMMS-OAHLLOKOSA-N 1 2 319.405 1.899 20 30 DDEDLO C=CCC(F)(F)C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000846096972 703237655 /nfs/dbraw/zinc/23/76/55/703237655.db2.gz KJJADEHDYJLBDY-UHFFFAOYSA-N 1 2 323.347 1.857 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CC(=O)Nc2ccc(OC)cc2OC)C1 ZINC000847025152 703367300 /nfs/dbraw/zinc/36/73/00/703367300.db2.gz VFRGKOQWKXKQGC-CYBMUJFWSA-N 1 2 302.374 1.988 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CC(=O)Nc2ccc(OC)cc2OC)C1 ZINC000847025152 703367303 /nfs/dbraw/zinc/36/73/03/703367303.db2.gz VFRGKOQWKXKQGC-CYBMUJFWSA-N 1 2 302.374 1.988 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+]([C@@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC000847027536 703368307 /nfs/dbraw/zinc/36/83/07/703368307.db2.gz BWAMCEYBSPQNSV-WDEREUQCSA-N 1 2 305.403 1.519 20 30 DDEDLO C#C[C@@H]1CCC[N@H+]([C@@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC000847027536 703368308 /nfs/dbraw/zinc/36/83/08/703368308.db2.gz BWAMCEYBSPQNSV-WDEREUQCSA-N 1 2 305.403 1.519 20 30 DDEDLO CCc1oc(C=NNCCCn2cc[nH+]c2)cc1C(=O)OC ZINC000848416941 703547843 /nfs/dbraw/zinc/54/78/43/703547843.db2.gz DVYZKUCSORHXLR-UHFFFAOYSA-N 1 2 304.350 1.839 20 30 DDEDLO Cn1cc(C=NNCCCn2cc[nH+]c2)c(-c2ccncc2)n1 ZINC000848418762 703548387 /nfs/dbraw/zinc/54/83/87/703548387.db2.gz SRUVQGXBUUHQLK-UHFFFAOYSA-N 1 2 309.377 1.692 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2ccccc2OC)CC1 ZINC000851711603 703834910 /nfs/dbraw/zinc/83/49/10/703834910.db2.gz CJAYJUNIVQVRCN-UHFFFAOYSA-N 1 2 302.374 1.103 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCN(c2ccc(C#N)cc2)CC1 ZINC000870095243 703915298 /nfs/dbraw/zinc/91/52/98/703915298.db2.gz NPPWPFIPXAQMMX-UHFFFAOYSA-N 1 2 309.373 1.481 20 30 DDEDLO C#CCOCC[N@H+]1CCN(C(=O)OC(C)(C)C)C[C@@](C)(F)C1 ZINC000852096811 703922125 /nfs/dbraw/zinc/92/21/25/703922125.db2.gz FXJNLHCZPSYJOR-INIZCTEOSA-N 1 2 314.401 1.917 20 30 DDEDLO C#CCOCC[N@@H+]1CCN(C(=O)OC(C)(C)C)C[C@@](C)(F)C1 ZINC000852096811 703922127 /nfs/dbraw/zinc/92/21/27/703922127.db2.gz FXJNLHCZPSYJOR-INIZCTEOSA-N 1 2 314.401 1.917 20 30 DDEDLO COc1cccc([C@H]2CN(C(=O)c3cc(C#N)cn3C)CC[NH2+]2)c1 ZINC000870150043 703932518 /nfs/dbraw/zinc/93/25/18/703932518.db2.gz IISYVWHJDVPRLV-MRXNPFEDSA-N 1 2 324.384 1.692 20 30 DDEDLO COc1cccc([C@H]2CN(C(=O)c3ccc(C#N)o3)CC[NH2+]2)c1 ZINC000870154011 703935350 /nfs/dbraw/zinc/93/53/50/703935350.db2.gz WLUQWKSCBZYPPC-OAHLLOKOSA-N 1 2 311.341 1.947 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[NH+]([C@H]2CCOC2)CC1)c1ccccc1 ZINC000852392508 704032984 /nfs/dbraw/zinc/03/29/84/704032984.db2.gz MTFZVEWAWNIYDO-DLBZAZTESA-N 1 2 313.401 1.477 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000852503200 704064329 /nfs/dbraw/zinc/06/43/29/704064329.db2.gz LKWYOSSABAEJME-KOZAUXTDSA-N 1 2 302.374 1.721 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000852503200 704064331 /nfs/dbraw/zinc/06/43/31/704064331.db2.gz LKWYOSSABAEJME-KOZAUXTDSA-N 1 2 302.374 1.721 20 30 DDEDLO C[N@@H+](Cc1nc2ccccc2c(=O)n1CC#N)CC1=CCCOC1 ZINC000852518804 704067548 /nfs/dbraw/zinc/06/75/48/704067548.db2.gz OOYWJECIRIOXHX-UHFFFAOYSA-N 1 2 324.384 1.699 20 30 DDEDLO C[N@H+](Cc1nc2ccccc2c(=O)n1CC#N)CC1=CCCOC1 ZINC000852518804 704067552 /nfs/dbraw/zinc/06/75/52/704067552.db2.gz OOYWJECIRIOXHX-UHFFFAOYSA-N 1 2 324.384 1.699 20 30 DDEDLO CCN(CC[NH+]1CCN(C(=O)OC(C)(C)C)CC1)[C@@H](C)C#N ZINC000852645533 704091918 /nfs/dbraw/zinc/09/19/18/704091918.db2.gz GGXGCHNGUKESGB-AWEZNQCLSA-N 1 2 310.442 1.773 20 30 DDEDLO COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)CC1(C#N)CCCCC1 ZINC000857183756 704560201 /nfs/dbraw/zinc/56/02/01/704560201.db2.gz NBSJZVGTEBEGHM-ZDUSSCGKSA-N 1 2 318.377 1.405 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCO[C@@H](C(F)F)C2)CCOCC1 ZINC000859296800 704853633 /nfs/dbraw/zinc/85/36/33/704853633.db2.gz OJYFWNNYADBCMQ-NWDGAFQWSA-N 1 2 304.337 1.024 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCO[C@@H](C(F)F)C2)CCOCC1 ZINC000859296800 704853640 /nfs/dbraw/zinc/85/36/40/704853640.db2.gz OJYFWNNYADBCMQ-NWDGAFQWSA-N 1 2 304.337 1.024 20 30 DDEDLO N#CCN1CC[NH+](Cc2ccc(Br)cc2O)CC1 ZINC000824682527 705505076 /nfs/dbraw/zinc/50/50/76/705505076.db2.gz HJXXFJGQPJQDEU-UHFFFAOYSA-N 1 2 310.195 1.796 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)Nc2ccc(C)[nH+]c2N(C)C)C1 ZINC000861977115 705621850 /nfs/dbraw/zinc/62/18/50/705621850.db2.gz DCZUFGCAQWSBCX-ZDUSSCGKSA-N 1 2 316.405 1.819 20 30 DDEDLO C#CCNC(=O)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000864421573 706163849 /nfs/dbraw/zinc/16/38/49/706163849.db2.gz IEEXHUSRULWYCT-INIZCTEOSA-N 1 2 301.390 1.128 20 30 DDEDLO C#CCNC(=O)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000864421573 706163851 /nfs/dbraw/zinc/16/38/51/706163851.db2.gz IEEXHUSRULWYCT-INIZCTEOSA-N 1 2 301.390 1.128 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)N[C@@H]1Cc2ccc(C#N)cc2C1 ZINC000864425684 706165040 /nfs/dbraw/zinc/16/50/40/706165040.db2.gz PAGYIHMWXUGJKF-BLLLJJGKSA-N 1 2 309.373 1.610 20 30 DDEDLO COC(=O)C[NH+]1CCC(Nc2ccc(OC)c(C#N)c2)CC1 ZINC000877770305 706204011 /nfs/dbraw/zinc/20/40/11/706204011.db2.gz RSUAPIYOQLTYRJ-UHFFFAOYSA-N 1 2 303.362 1.616 20 30 DDEDLO C[N@@H+]1CCc2nc(NC(=O)[C@@]3(C#N)CCCOC3)sc2C1 ZINC000877965150 706253359 /nfs/dbraw/zinc/25/33/59/706253359.db2.gz CCIOHRDRCKFTAY-CQSZACIVSA-N 1 2 306.391 1.390 20 30 DDEDLO C[N@H+]1CCc2nc(NC(=O)[C@@]3(C#N)CCCOC3)sc2C1 ZINC000877965150 706253360 /nfs/dbraw/zinc/25/33/60/706253360.db2.gz CCIOHRDRCKFTAY-CQSZACIVSA-N 1 2 306.391 1.390 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)Cc1cn3c([nH+]1)CCCC3)C2 ZINC000829480365 706342006 /nfs/dbraw/zinc/34/20/06/706342006.db2.gz LAMMVPMBWONZGG-MRXNPFEDSA-N 1 2 320.396 1.917 20 30 DDEDLO C=CC[C@@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1ccncc1 ZINC000865892256 706554577 /nfs/dbraw/zinc/55/45/77/706554577.db2.gz ZYAKIWWENYCNTP-OICCZTGUSA-N 1 2 301.390 1.703 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)C[C@@H]1CN(c2ccccc2)C(=O)O1 ZINC000880083835 706874544 /nfs/dbraw/zinc/87/45/44/706874544.db2.gz VLYUJNBVNYTVLB-CQSZACIVSA-N 1 2 303.362 1.246 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)C[C@@H]1CN(c2ccccc2)C(=O)O1 ZINC000880083835 706874547 /nfs/dbraw/zinc/87/45/47/706874547.db2.gz VLYUJNBVNYTVLB-CQSZACIVSA-N 1 2 303.362 1.246 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2[C@H]1C ZINC000880090170 706876314 /nfs/dbraw/zinc/87/63/14/706876314.db2.gz HPENVEZSXKWVPH-GFCCVEGCSA-N 1 2 302.374 1.372 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2[C@H]1C ZINC000880090170 706876316 /nfs/dbraw/zinc/87/63/16/706876316.db2.gz HPENVEZSXKWVPH-GFCCVEGCSA-N 1 2 302.374 1.372 20 30 DDEDLO N#Cc1c(C[N@H+]2CCC[C@@H]3CCNC(=O)[C@H]32)cn2ccccc12 ZINC000880532258 707007466 /nfs/dbraw/zinc/00/74/66/707007466.db2.gz PEPBSDLPLKVESH-DYVFJYSZSA-N 1 2 308.385 1.911 20 30 DDEDLO N#Cc1c(C[N@@H+]2CCC[C@@H]3CCNC(=O)[C@H]32)cn2ccccc12 ZINC000880532258 707007468 /nfs/dbraw/zinc/00/74/68/707007468.db2.gz PEPBSDLPLKVESH-DYVFJYSZSA-N 1 2 308.385 1.911 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H]1CCCc2ccccc21 ZINC000884085978 708132390 /nfs/dbraw/zinc/13/23/90/708132390.db2.gz JJQDHZRZUYLODV-HOCLYGCPSA-N 1 2 316.401 1.669 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)C[C@@H](C)c1ccccc1 ZINC000884122560 708148903 /nfs/dbraw/zinc/14/89/03/708148903.db2.gz UXDXNGLCELRBEM-HIFRSBDPSA-N 1 2 304.390 1.695 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)c1ccc(SC)cc1 ZINC000884130394 708152977 /nfs/dbraw/zinc/15/29/77/708152977.db2.gz JADSCNDHFFKURU-ZDUSSCGKSA-N 1 2 308.403 1.818 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@H](c2ccccc2)C[C@@H]1C ZINC000884142708 708158530 /nfs/dbraw/zinc/15/85/30/708158530.db2.gz IMXBQIDKSGJYRL-IMJJTQAJSA-N 1 2 316.401 1.838 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)Cc1cc(C)cc(C)c1 ZINC000884157415 708164638 /nfs/dbraw/zinc/16/46/38/708164638.db2.gz ZLCZLNPGNIPZGF-ZBFHGGJFSA-N 1 2 318.417 1.797 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1ccc(C)c(C)c1 ZINC000884403601 708282483 /nfs/dbraw/zinc/28/24/83/708282483.db2.gz KOUMUKOSVSCBCA-HNNXBMFYSA-N 1 2 304.390 1.409 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc2c(c1)CCOC2 ZINC000884409477 708285139 /nfs/dbraw/zinc/28/51/39/708285139.db2.gz KKUUXVYAYVTALE-AWEZNQCLSA-N 1 2 304.346 1.144 20 30 DDEDLO C#C[C@H](NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1)[C@@H]1CCCO1 ZINC000897430924 708325952 /nfs/dbraw/zinc/32/59/52/708325952.db2.gz CORZRESOFMNSDL-GLQYFDAESA-N 1 2 302.378 1.087 20 30 DDEDLO C#C[C@H](NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1)[C@@H]1CCCO1 ZINC000897430924 708325954 /nfs/dbraw/zinc/32/59/54/708325954.db2.gz CORZRESOFMNSDL-GLQYFDAESA-N 1 2 302.378 1.087 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CC[C@@H]([C@H](C)O)C3)nc[nH+]2)C1 ZINC000884595923 708335410 /nfs/dbraw/zinc/33/54/10/708335410.db2.gz QNXTUVIYJZMXNN-RRFJBIMHSA-N 1 2 300.406 1.533 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CC[C@@H]([C@H](C)O)C3)[nH+]cn2)C1 ZINC000884595923 708335413 /nfs/dbraw/zinc/33/54/13/708335413.db2.gz QNXTUVIYJZMXNN-RRFJBIMHSA-N 1 2 300.406 1.533 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)C[C@]2(C)CC2(F)F)C1 ZINC000885508564 708562110 /nfs/dbraw/zinc/56/21/10/708562110.db2.gz IKRQLIFNVMRZQL-NEPJUHHUSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)C[C@]2(C)CC2(F)F)C1 ZINC000885508564 708562111 /nfs/dbraw/zinc/56/21/11/708562111.db2.gz IKRQLIFNVMRZQL-NEPJUHHUSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2cccc3ccoc32)C1 ZINC000885509429 708562440 /nfs/dbraw/zinc/56/24/40/708562440.db2.gz SMTPZAQQJXMJGE-CQSZACIVSA-N 1 2 318.398 1.809 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2cccc3ccoc32)C1 ZINC000885509429 708562441 /nfs/dbraw/zinc/56/24/41/708562441.db2.gz SMTPZAQQJXMJGE-CQSZACIVSA-N 1 2 318.398 1.809 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2cccc(F)c2)C1 ZINC000885511686 708562904 /nfs/dbraw/zinc/56/29/04/708562904.db2.gz LMJYXTCLORJAKP-HNNXBMFYSA-N 1 2 310.394 1.343 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2cccc(F)c2)C1 ZINC000885511686 708562908 /nfs/dbraw/zinc/56/29/08/708562908.db2.gz LMJYXTCLORJAKP-HNNXBMFYSA-N 1 2 310.394 1.343 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc3c(c2)CCC3)C1 ZINC000885513972 708563624 /nfs/dbraw/zinc/56/36/24/708563624.db2.gz LULOLJPVYJOHNT-INIZCTEOSA-N 1 2 318.442 1.551 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc3c(c2)CCC3)C1 ZINC000885513972 708563625 /nfs/dbraw/zinc/56/36/25/708563625.db2.gz LULOLJPVYJOHNT-INIZCTEOSA-N 1 2 318.442 1.551 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)Cc2ccc(C#N)cc2)n1 ZINC000927772429 713052455 /nfs/dbraw/zinc/05/24/55/713052455.db2.gz SQSUXDWLNMVPCV-UHFFFAOYSA-N 1 2 314.414 1.935 20 30 DDEDLO CCCC[C@H](ON=C(C)C)C(=O)N[C@@H](CO)c1c[nH+]cn1C ZINC000898889934 708909579 /nfs/dbraw/zinc/90/95/79/708909579.db2.gz RKVCGLBCAFIWNH-JSGCOSHPSA-N 1 2 310.398 1.541 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)C[C@@H](CC#N)c1ccccc1 ZINC000898893479 708911423 /nfs/dbraw/zinc/91/14/23/708911423.db2.gz CYMNUQRKHORSNA-CABCVRRESA-N 1 2 312.373 1.657 20 30 DDEDLO C[C@@]1(C#N)CN(C(=O)c2cc(-n3cc[nH+]c3)ccc2O)CCO1 ZINC000887690599 709103968 /nfs/dbraw/zinc/10/39/68/709103968.db2.gz PIAYVQJKFJGESE-MRXNPFEDSA-N 1 2 312.329 1.333 20 30 DDEDLO C#CC[C@@H]1CCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000887760325 709119309 /nfs/dbraw/zinc/11/93/09/709119309.db2.gz XLUQFRANGKGRFC-CQSZACIVSA-N 1 2 300.406 1.817 20 30 DDEDLO C#CCSCC(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC000888407094 709301568 /nfs/dbraw/zinc/30/15/68/709301568.db2.gz RUQMDMFKBBNPPL-UHFFFAOYSA-N 1 2 304.415 1.824 20 30 DDEDLO C=C1CCC(C(=O)Nc2cnn(CC[NH+]3CCOCC3)c2)CC1 ZINC000888872911 709395003 /nfs/dbraw/zinc/39/50/03/709395003.db2.gz KXEUCLFDBXHWOE-UHFFFAOYSA-N 1 2 318.421 1.900 20 30 DDEDLO C/C(=C/C(=O)NCc1ccc(C#N)cc1F)C[NH+]1CCOCC1 ZINC000900589332 709665683 /nfs/dbraw/zinc/66/56/83/709665683.db2.gz DJRWGKFMZOPIFA-JYRVWZFOSA-N 1 2 317.364 1.592 20 30 DDEDLO C/C(=C/C(=O)NCc1cc(C#N)ccc1F)C[NH+]1CCOCC1 ZINC000900865193 709797031 /nfs/dbraw/zinc/79/70/31/709797031.db2.gz VDJUERYWVPOKMX-JYRVWZFOSA-N 1 2 317.364 1.592 20 30 DDEDLO C[C@H]1CC(NC(=O)c2ccc(NCCn3cc[nH+]c3)cc2)=NO1 ZINC000901251047 709984626 /nfs/dbraw/zinc/98/46/26/709984626.db2.gz ZAVGRBMMBJRUQT-LBPRGKRZSA-N 1 2 313.361 1.847 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccsc2C)C1 ZINC000891603418 710246039 /nfs/dbraw/zinc/24/60/39/710246039.db2.gz PDSQMERPGKNVSC-GFCCVEGCSA-N 1 2 305.403 1.209 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccsc2C)C1 ZINC000891603418 710246040 /nfs/dbraw/zinc/24/60/40/710246040.db2.gz PDSQMERPGKNVSC-GFCCVEGCSA-N 1 2 305.403 1.209 20 30 DDEDLO CC[C@@H](CO)Nc1cc(NC2CCN(CC#N)CC2)[nH+]cn1 ZINC000892403320 710430869 /nfs/dbraw/zinc/43/08/69/710430869.db2.gz MDWNPBOBRNJGCM-LBPRGKRZSA-N 1 2 304.398 1.059 20 30 DDEDLO CC[C@@H](CO)Nc1cc(NC2CCN(CC#N)CC2)nc[nH+]1 ZINC000892403320 710430870 /nfs/dbraw/zinc/43/08/70/710430870.db2.gz MDWNPBOBRNJGCM-LBPRGKRZSA-N 1 2 304.398 1.059 20 30 DDEDLO O=C1C[C@H](C2CC2)CN1C[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000902600662 710810940 /nfs/dbraw/zinc/81/09/40/710810940.db2.gz YRQVFICSXJTPHN-AWEZNQCLSA-N 1 2 304.390 1.540 20 30 DDEDLO Cc1cccc(S(=O)(=O)NC[C@H](C)Cn2cc[nH+]c2)c1C#N ZINC000903150371 711048097 /nfs/dbraw/zinc/04/80/97/711048097.db2.gz SNYKKKFJBFGVMD-LBPRGKRZSA-N 1 2 318.402 1.678 20 30 DDEDLO CCc1ccc(C#CC(=O)N2CC[NH2+][C@@H](c3cnn(C)c3)C2)cc1 ZINC000913461627 713226820 /nfs/dbraw/zinc/22/68/20/713226820.db2.gz XSHWVQOGUUKQTA-GOSISDBHSA-N 1 2 322.412 1.507 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)c3cccc(CC#N)c3)CC[NH2+]2)cn1 ZINC000913469801 713230316 /nfs/dbraw/zinc/23/03/16/713230316.db2.gz VLQHHDBHRBEGNY-INIZCTEOSA-N 1 2 309.373 1.273 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccccc1CC#N ZINC000928635741 713243771 /nfs/dbraw/zinc/24/37/71/713243771.db2.gz SZTZHFHPHUNQAM-HNNXBMFYSA-N 1 2 301.390 1.593 20 30 DDEDLO Cc1nn(C)c2nc(Cl)c(C[NH2+][C@@H]3CCCN(O)C3=O)cc12 ZINC000895167650 711440518 /nfs/dbraw/zinc/44/05/18/711440518.db2.gz ZRFAJQNXVJCCNO-LLVKDONJSA-N 1 2 323.784 1.400 20 30 DDEDLO C[C@H]([NH2+]Cc1cc(C#N)ccc1N(C)C)C1N=NC(=O)N1C ZINC000895170725 711441076 /nfs/dbraw/zinc/44/10/76/711441076.db2.gz LCQDNGGMOJKXST-JTQLQIEISA-N 1 2 300.366 1.309 20 30 DDEDLO C#CC[NH+]1CCC(NC(=O)c2[nH]nc3c2C[C@H](C)CC3)CC1 ZINC000928660227 713256042 /nfs/dbraw/zinc/25/60/42/713256042.db2.gz MOJURTKDMDJKLS-GFCCVEGCSA-N 1 2 300.406 1.362 20 30 DDEDLO Cc1cc(C(=O)N[C@@H](C)C[NH+]2CCOCC2)cc(C)c1C#N ZINC000906177122 712260468 /nfs/dbraw/zinc/26/04/68/712260468.db2.gz PJTWIYVZEMTJPC-AWEZNQCLSA-N 1 2 301.390 1.626 20 30 DDEDLO C[C@@H]1CN(C(=O)C(F)(F)c2ccccc2C#N)CC[N@@H+]1CCO ZINC000907545896 712592551 /nfs/dbraw/zinc/59/25/51/712592551.db2.gz YZJOSSUUDQBZEQ-GFCCVEGCSA-N 1 2 323.343 1.175 20 30 DDEDLO C[C@@H]1CN(C(=O)C(F)(F)c2ccccc2C#N)CC[N@H+]1CCO ZINC000907545896 712592552 /nfs/dbraw/zinc/59/25/52/712592552.db2.gz YZJOSSUUDQBZEQ-GFCCVEGCSA-N 1 2 323.343 1.175 20 30 DDEDLO Cc1cc(=O)[nH]c(N[NH+]=Cc2ccc(N(C)CCO)cc2)n1 ZINC000916241866 713448425 /nfs/dbraw/zinc/44/84/25/713448425.db2.gz YTAPYQGEFYPCAO-UHFFFAOYSA-N 1 2 301.350 1.365 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2c(C)cccc2C#N)C[C@@H]1C ZINC000919591292 713618667 /nfs/dbraw/zinc/61/86/67/713618667.db2.gz UFZONXKSFLYYOI-OKILXGFUSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2c(C)cccc2C#N)C[C@@H]1C ZINC000919591292 713618671 /nfs/dbraw/zinc/61/86/71/713618671.db2.gz UFZONXKSFLYYOI-OKILXGFUSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[C@](O)(C[NH+]1CCN([C@H](C#N)C(C)C)CC1)C(F)(F)F ZINC000930116518 713760133 /nfs/dbraw/zinc/76/01/33/713760133.db2.gz YFSCZXGWPKPIAN-OLZOCXBDSA-N 1 2 307.360 1.856 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccc(F)c(C#N)c1 ZINC000930190839 713775055 /nfs/dbraw/zinc/77/50/55/713775055.db2.gz YEJWJXHYHPKPPR-LBPRGKRZSA-N 1 2 320.368 1.882 20 30 DDEDLO C#C[C@H](NC(=O)NCc1nc(C[NH+](C)C)cs1)[C@@H]1CCCO1 ZINC000922046261 713945524 /nfs/dbraw/zinc/94/55/24/713945524.db2.gz BWPOVQJAFKGGRA-STQMWFEESA-N 1 2 322.434 1.185 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)NCC2(CC#N)CC2)n1 ZINC000922309190 714017370 /nfs/dbraw/zinc/01/73/70/714017370.db2.gz SLAIVLRTWQSGNT-UHFFFAOYSA-N 1 2 307.423 1.698 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000931658434 714151799 /nfs/dbraw/zinc/15/17/99/714151799.db2.gz LZZNNGGJSUTATB-UHFFFAOYSA-N 1 2 322.434 1.022 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[NH2+]Cc1noc2c1COCC2 ZINC000923019924 714215662 /nfs/dbraw/zinc/21/56/62/714215662.db2.gz WBYOLOZOPFCUHR-UHFFFAOYSA-N 1 2 312.373 1.975 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@H](C2OCCO2)C1 ZINC000932000021 714229136 /nfs/dbraw/zinc/22/91/36/714229136.db2.gz YHECHYCHRROJQE-GJZGRUSLSA-N 1 2 316.357 1.467 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@H](C2OCCO2)C1 ZINC000932000021 714229138 /nfs/dbraw/zinc/22/91/38/714229138.db2.gz YHECHYCHRROJQE-GJZGRUSLSA-N 1 2 316.357 1.467 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](C2OCCO2)C1 ZINC000932002144 714230068 /nfs/dbraw/zinc/23/00/68/714230068.db2.gz DTLJRNIZLWHNQW-LSDHHAIUSA-N 1 2 316.357 1.467 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](C2OCCO2)C1 ZINC000932002144 714230069 /nfs/dbraw/zinc/23/00/69/714230069.db2.gz DTLJRNIZLWHNQW-LSDHHAIUSA-N 1 2 316.357 1.467 20 30 DDEDLO CN(C[C@](C)(O)C[N@@H+]1CCC[C@@H](C#N)C1)C(=O)OC(C)(C)C ZINC000932154922 714272867 /nfs/dbraw/zinc/27/28/67/714272867.db2.gz RTPZVFRDDYZMOO-BBRMVZONSA-N 1 2 311.426 1.840 20 30 DDEDLO CN(C[C@](C)(O)C[N@H+]1CCC[C@@H](C#N)C1)C(=O)OC(C)(C)C ZINC000932154922 714272869 /nfs/dbraw/zinc/27/28/69/714272869.db2.gz RTPZVFRDDYZMOO-BBRMVZONSA-N 1 2 311.426 1.840 20 30 DDEDLO N#C[C@@H]1CCC[N@@H+](C[C@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000932161862 714275227 /nfs/dbraw/zinc/27/52/27/714275227.db2.gz CURYQXPCUMPWAA-JSGCOSHPSA-N 1 2 305.334 1.570 20 30 DDEDLO N#C[C@@H]1CCC[N@H+](C[C@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000932161862 714275228 /nfs/dbraw/zinc/27/52/28/714275228.db2.gz CURYQXPCUMPWAA-JSGCOSHPSA-N 1 2 305.334 1.570 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)NCC1(C#N)CCCCC1 ZINC000923311790 714307703 /nfs/dbraw/zinc/30/77/03/714307703.db2.gz WZPQBWNICNKDQN-OAHLLOKOSA-N 1 2 322.453 1.870 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2sc(COC)nc2C)C1 ZINC000923567573 714408789 /nfs/dbraw/zinc/40/87/89/714408789.db2.gz USFWWUMRRZQBDT-LBPRGKRZSA-N 1 2 307.419 1.425 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2sc(COC)nc2C)C1 ZINC000923567573 714408792 /nfs/dbraw/zinc/40/87/92/714408792.db2.gz USFWWUMRRZQBDT-LBPRGKRZSA-N 1 2 307.419 1.425 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2sc([C@@H](C)OC)nc2C)C1 ZINC000923572436 714411251 /nfs/dbraw/zinc/41/12/51/714411251.db2.gz JEBKEKFAYGZXNI-CHWSQXEVSA-N 1 2 321.446 1.986 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc([C@@H](C)OC)nc2C)C1 ZINC000923572436 714411253 /nfs/dbraw/zinc/41/12/53/714411253.db2.gz JEBKEKFAYGZXNI-CHWSQXEVSA-N 1 2 321.446 1.986 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000933726480 714656252 /nfs/dbraw/zinc/65/62/52/714656252.db2.gz MSCIIUORDRDSDF-OKILXGFUSA-N 1 2 306.387 1.043 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000933726480 714656254 /nfs/dbraw/zinc/65/62/54/714656254.db2.gz MSCIIUORDRDSDF-OKILXGFUSA-N 1 2 306.387 1.043 20 30 DDEDLO C=CCN(CCOC)C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000924777860 714661957 /nfs/dbraw/zinc/66/19/57/714661957.db2.gz UCFVBTJRZUXIBU-UHFFFAOYSA-N 1 2 312.439 1.549 20 30 DDEDLO Cn1cc(N2CC[C@@H]([N@H+](C)Cc3cc(C#N)cs3)C2=O)cn1 ZINC000933778934 714669809 /nfs/dbraw/zinc/66/98/09/714669809.db2.gz VWINGUPONVTNSV-CQSZACIVSA-N 1 2 315.402 1.591 20 30 DDEDLO Cn1cc(N2CC[C@@H]([N@@H+](C)Cc3cc(C#N)cs3)C2=O)cn1 ZINC000933778934 714669811 /nfs/dbraw/zinc/66/98/11/714669811.db2.gz VWINGUPONVTNSV-CQSZACIVSA-N 1 2 315.402 1.591 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)NC(=O)NCc1cccs1 ZINC000934511496 714839408 /nfs/dbraw/zinc/83/94/08/714839408.db2.gz POIZBNPKOABHDZ-LBPRGKRZSA-N 1 2 305.403 1.562 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)NC(=O)NCc1cccs1 ZINC000934511496 714839411 /nfs/dbraw/zinc/83/94/11/714839411.db2.gz POIZBNPKOABHDZ-LBPRGKRZSA-N 1 2 305.403 1.562 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@@](C)(C#N)C1 ZINC000925593693 714895002 /nfs/dbraw/zinc/89/50/02/714895002.db2.gz IAPOISIZXBWZQS-ZBFHGGJFSA-N 1 2 308.426 1.432 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1cnn(C)n1 ZINC000926274520 715039987 /nfs/dbraw/zinc/03/99/87/715039987.db2.gz BAICFEIDRWDEEC-WFASDCNBSA-N 1 2 324.388 1.138 20 30 DDEDLO CC[C@H]([NH2+]C1CN(c2ccncn2)C1)c1cccc(C#N)c1O ZINC000926793237 715125258 /nfs/dbraw/zinc/12/52/58/715125258.db2.gz JUZVITXWPUABOK-HNNXBMFYSA-N 1 2 309.373 1.983 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@H]2CCc3ccccc3O2)C1 ZINC000956972013 715688170 /nfs/dbraw/zinc/68/81/70/715688170.db2.gz GMPRAHJVCUQYFF-GOSISDBHSA-N 1 2 312.413 1.936 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000938193688 715752167 /nfs/dbraw/zinc/75/21/67/715752167.db2.gz DTTZPIRLRJBUCI-XJKSGUPXSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCCOCC2)C1 ZINC000969582171 720199535 /nfs/dbraw/zinc/19/95/35/720199535.db2.gz BCVWSTFEJIHEJV-CHWSQXEVSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cc3c([nH]c2=O)CCC3)C1 ZINC000957380543 715871305 /nfs/dbraw/zinc/87/13/05/715871305.db2.gz FOGXQAHNOUBHTO-UHFFFAOYSA-N 1 2 315.417 1.998 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000938530889 715891119 /nfs/dbraw/zinc/89/11/19/715891119.db2.gz JZFIGVUYGWXQSJ-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](C)Cc2c(C)nn(C)c2C)CC1 ZINC000957700788 716006386 /nfs/dbraw/zinc/00/63/86/716006386.db2.gz AUZOQQULKTYCJD-ZDUSSCGKSA-N 1 2 304.438 1.546 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2Cc3cc(C)c(C)cc3O2)CC1 ZINC000957730532 716020124 /nfs/dbraw/zinc/02/01/24/716020124.db2.gz JANCETHDXDKMHF-KRWDZBQOSA-N 1 2 300.402 1.937 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(=O)CCC)C2)CC1 ZINC000957758272 716031100 /nfs/dbraw/zinc/03/11/00/716031100.db2.gz UEPRDHZADBKGPU-HNNXBMFYSA-N 1 2 307.438 1.355 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1Cc2ccccc2[C@H]1NCC#N ZINC000960329906 716493599 /nfs/dbraw/zinc/49/35/99/716493599.db2.gz KSTJHQSRFRWCIN-NVXWUHKLSA-N 1 2 309.373 1.156 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2cnoc2CC)[C@H](O)C1 ZINC000958448771 716563835 /nfs/dbraw/zinc/56/38/35/716563835.db2.gz PXAASZQJPAIDAN-ZYHUDNBSSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2cnoc2CC)[C@H](O)C1 ZINC000958448771 716563839 /nfs/dbraw/zinc/56/38/39/716563839.db2.gz PXAASZQJPAIDAN-ZYHUDNBSSA-N 1 2 313.785 1.012 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)oc1C ZINC000960488779 716577534 /nfs/dbraw/zinc/57/75/34/716577534.db2.gz DIFOIVXHDPVYPT-RISCZKNCSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)oc1C ZINC000960488779 716577540 /nfs/dbraw/zinc/57/75/40/716577540.db2.gz DIFOIVXHDPVYPT-RISCZKNCSA-N 1 2 304.394 1.874 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCN(C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC000941073564 717095769 /nfs/dbraw/zinc/09/57/69/717095769.db2.gz JLGXZFAVBHXHDE-KGLIPLIRSA-N 1 2 317.393 1.055 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3C=CC=CC=C3)CC2)C1 ZINC000941426604 717174887 /nfs/dbraw/zinc/17/48/87/717174887.db2.gz CSZYMZLRYNRYJR-UHFFFAOYSA-N 1 2 311.429 1.137 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H](C)C3CCC3)CC2)C1 ZINC000941455473 717180269 /nfs/dbraw/zinc/18/02/69/717180269.db2.gz RUZLGYSSWJWBJE-OAHLLOKOSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC[C@@H](C)C3)CC2)C1 ZINC000941540518 717199748 /nfs/dbraw/zinc/19/97/48/717199748.db2.gz FQBMRXKYMOHVGG-HZPDHXFCSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCCC[C@H]3C)CC2)C1 ZINC000941541082 717200477 /nfs/dbraw/zinc/20/04/77/717200477.db2.gz UTDSUGUCHDNEQP-AEFFLSMTSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@@]3(C)CC)CC2)C1 ZINC000941622585 717233051 /nfs/dbraw/zinc/23/30/51/717233051.db2.gz KDNVNMUOSDBMEZ-FUHWJXTLSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3CCCC[C@@H]3C)CC2)C1 ZINC000941681969 717255299 /nfs/dbraw/zinc/25/52/99/717255299.db2.gz AYIIZGRWJBHPSM-DLBZAZTESA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000962198402 717348109 /nfs/dbraw/zinc/34/81/09/717348109.db2.gz MKEKXDNJIQMSNS-ZSHCYNCHSA-N 1 2 321.384 1.155 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000962198402 717348112 /nfs/dbraw/zinc/34/81/12/717348112.db2.gz MKEKXDNJIQMSNS-ZSHCYNCHSA-N 1 2 321.384 1.155 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCCN(C(=O)Cc3[nH]cc[nH+]3)CC2)C1 ZINC000942080757 717470233 /nfs/dbraw/zinc/47/02/33/717470233.db2.gz DBRYCYNPGRLLQY-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964905223 717472204 /nfs/dbraw/zinc/47/22/04/717472204.db2.gz NUZRKPDVBRUPRL-SKDRFNHKSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964905223 717472207 /nfs/dbraw/zinc/47/22/07/717472207.db2.gz NUZRKPDVBRUPRL-SKDRFNHKSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnn(C)c2C)C1 ZINC000965151526 717555599 /nfs/dbraw/zinc/55/55/99/717555599.db2.gz MWAYMEBGFLYAAX-HZMBPMFUSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnn(C)c2C)C1 ZINC000965151526 717555601 /nfs/dbraw/zinc/55/56/01/717555601.db2.gz MWAYMEBGFLYAAX-HZMBPMFUSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC000965927955 717819051 /nfs/dbraw/zinc/81/90/51/717819051.db2.gz ZZFSOBUKPDHJIZ-SKDRFNHKSA-N 1 2 311.817 1.195 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC000965927955 717819056 /nfs/dbraw/zinc/81/90/56/717819056.db2.gz ZZFSOBUKPDHJIZ-SKDRFNHKSA-N 1 2 311.817 1.195 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)[C@H](C)C1 ZINC000945184239 718416633 /nfs/dbraw/zinc/41/66/33/718416633.db2.gz VWBVVPMHLBONGH-UKRRQHHQSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)[C@H](C)C1 ZINC000945184239 718416635 /nfs/dbraw/zinc/41/66/35/718416635.db2.gz VWBVVPMHLBONGH-UKRRQHHQSA-N 1 2 323.400 1.545 20 30 DDEDLO C[C@H]1CCN(CC#N)C[C@@H]1NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000966236531 718478658 /nfs/dbraw/zinc/47/86/58/718478658.db2.gz QFVKFAFGLORWBF-ZFWWWQNUSA-N 1 2 315.421 1.112 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC000966790170 718661480 /nfs/dbraw/zinc/66/14/80/718661480.db2.gz WAPMAQJTOCQBPQ-XHDPSFHLSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC000966790170 718661483 /nfs/dbraw/zinc/66/14/83/718661483.db2.gz WAPMAQJTOCQBPQ-XHDPSFHLSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966896157 718699436 /nfs/dbraw/zinc/69/94/36/718699436.db2.gz NGEWJDZFLFEDHD-CABZTGNLSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966896157 718699437 /nfs/dbraw/zinc/69/94/37/718699437.db2.gz NGEWJDZFLFEDHD-CABZTGNLSA-N 1 2 310.785 1.375 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2nnc[nH]2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947828807 719281593 /nfs/dbraw/zinc/28/15/93/719281593.db2.gz IPGXHIKXWUNCJT-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2nnc[nH]2)CC[N@H+]1Cc1ccccc1C#N ZINC000947828807 719281594 /nfs/dbraw/zinc/28/15/94/719281594.db2.gz IPGXHIKXWUNCJT-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2ncn[nH]2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947828807 719281596 /nfs/dbraw/zinc/28/15/96/719281596.db2.gz IPGXHIKXWUNCJT-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2ncn[nH]2)CC[N@H+]1Cc1ccccc1C#N ZINC000947828807 719281598 /nfs/dbraw/zinc/28/15/98/719281598.db2.gz IPGXHIKXWUNCJT-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1 ZINC000968716664 719753797 /nfs/dbraw/zinc/75/37/97/719753797.db2.gz DKUATTFYWMGFOV-BNOWGMLFSA-N 1 2 322.434 1.210 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccc(C)nn1 ZINC000948916158 719783829 /nfs/dbraw/zinc/78/38/29/719783829.db2.gz OPVODQZVKAVOJV-SFHVURJKSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccc(C)nn1 ZINC000948916158 719783835 /nfs/dbraw/zinc/78/38/35/719783835.db2.gz OPVODQZVKAVOJV-SFHVURJKSA-N 1 2 320.396 1.747 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ncccn1 ZINC000948974714 719819511 /nfs/dbraw/zinc/81/95/11/719819511.db2.gz KALYGOCBLKURIL-KRWDZBQOSA-N 1 2 320.396 1.829 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ncccn1 ZINC000948974714 719819517 /nfs/dbraw/zinc/81/95/17/719819517.db2.gz KALYGOCBLKURIL-KRWDZBQOSA-N 1 2 320.396 1.829 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2csc(NC(C)=O)n2)CC1 ZINC000949069582 719886140 /nfs/dbraw/zinc/88/61/40/719886140.db2.gz IUIQJVHFJYPSLS-UHFFFAOYSA-N 1 2 308.407 1.435 20 30 DDEDLO C#CC[NH+]1CCC2(CC1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC000949095214 719905737 /nfs/dbraw/zinc/90/57/37/719905737.db2.gz BCJWGQSBWUWSOA-LLVKDONJSA-N 1 2 322.302 1.213 20 30 DDEDLO C#CC[NH+]1CCC2(CC1)CN(C(=O)[C@@H](F)C(F)(F)F)CCO2 ZINC000949095214 719905752 /nfs/dbraw/zinc/90/57/52/719905752.db2.gz BCJWGQSBWUWSOA-LLVKDONJSA-N 1 2 322.302 1.213 20 30 DDEDLO N#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000969022704 719928020 /nfs/dbraw/zinc/92/80/20/719928020.db2.gz IBCSYEKDLSXJFR-KGLIPLIRSA-N 1 2 315.421 1.714 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccnc(F)c3)CC2)C1 ZINC000949317786 720013669 /nfs/dbraw/zinc/01/36/69/720013669.db2.gz YFFKHCFPRRFXQJ-UHFFFAOYSA-N 1 2 317.364 1.161 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccnc(F)c3)CC2)C1 ZINC000949317786 720013671 /nfs/dbraw/zinc/01/36/71/720013671.db2.gz YFFKHCFPRRFXQJ-UHFFFAOYSA-N 1 2 317.364 1.161 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@@H](C)C3)CC2)C1 ZINC000949487120 720117340 /nfs/dbraw/zinc/11/73/40/720117340.db2.gz NPKNNMHQMKEEIF-CVEARBPZSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@@H](C)C3)CC2)C1 ZINC000949487120 720117343 /nfs/dbraw/zinc/11/73/43/720117343.db2.gz NPKNNMHQMKEEIF-CVEARBPZSA-N 1 2 304.434 1.749 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2CCN(C(=O)C(C)C)CC2)CC1 ZINC000949580441 720183605 /nfs/dbraw/zinc/18/36/05/720183605.db2.gz PDZCIJPODLCDDZ-UHFFFAOYSA-N 1 2 307.438 1.211 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC000949701908 720276589 /nfs/dbraw/zinc/27/65/89/720276589.db2.gz IFNWNZMBVFIYOB-YOEHRIQHSA-N 1 2 313.401 1.889 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(OCC)nc2)C1 ZINC000950462382 720643300 /nfs/dbraw/zinc/64/33/00/720643300.db2.gz FIJGYNDDJJFFRL-UHFFFAOYSA-N 1 2 301.390 1.650 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cn3c(n2)COCC3)C1 ZINC000950619238 720707129 /nfs/dbraw/zinc/70/71/29/720707129.db2.gz UTFZAJYDOIEFSL-UHFFFAOYSA-N 1 2 304.394 1.136 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c[nH]c(Br)c2)C1 ZINC000950898201 720818330 /nfs/dbraw/zinc/81/83/30/720818330.db2.gz IAQLDDRALVBBEM-UHFFFAOYSA-N 1 2 324.222 1.947 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cn(CCC)nn2)C1 ZINC000970661918 720874932 /nfs/dbraw/zinc/87/49/32/720874932.db2.gz GDERWYFKZKWVMO-NSHDSACASA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2c3c(nn2C)CCC3)C1 ZINC000970732553 720913652 /nfs/dbraw/zinc/91/36/52/720913652.db2.gz XNHKJXQIOBWIGU-NSHDSACASA-N 1 2 322.840 1.711 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C2C[NH+](Cc3ccnn3C)C2)nc1 ZINC000970762153 720926609 /nfs/dbraw/zinc/92/66/09/720926609.db2.gz BYTDYDIISNENOI-CYBMUJFWSA-N 1 2 323.400 1.047 20 30 DDEDLO C#Cc1cncc(C(=O)N(CC)C2C[NH+]([C@H](C)COC)C2)c1 ZINC000951719685 721152805 /nfs/dbraw/zinc/15/28/05/721152805.db2.gz ZYBNPWMCSVFMMO-CYBMUJFWSA-N 1 2 301.390 1.244 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(Cn2cccn2)o1 ZINC001038281924 735290962 /nfs/dbraw/zinc/29/09/62/735290962.db2.gz RGHALGYPCZFONE-ZDUSSCGKSA-N 1 2 300.362 1.515 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(Cn2cccn2)o1 ZINC001038281924 735290967 /nfs/dbraw/zinc/29/09/67/735290967.db2.gz RGHALGYPCZFONE-ZDUSSCGKSA-N 1 2 300.362 1.515 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2cccc3nc(C)[nH]c32)C1 ZINC001023686504 735398375 /nfs/dbraw/zinc/39/83/75/735398375.db2.gz UWEVXUYILAGWEE-AWEZNQCLSA-N 1 2 310.401 1.946 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)C)n[nH]3)[C@H]2C1 ZINC001083200653 732907653 /nfs/dbraw/zinc/90/76/53/732907653.db2.gz RHQDKGQVODJYJE-JKSUJKDBSA-N 1 2 316.405 1.082 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)C)n[nH]3)[C@H]2C1 ZINC001083200653 732907658 /nfs/dbraw/zinc/90/76/58/732907658.db2.gz RHQDKGQVODJYJE-JKSUJKDBSA-N 1 2 316.405 1.082 20 30 DDEDLO CC(C)c1cnc(C[NH2+]CCNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001125370865 732921149 /nfs/dbraw/zinc/92/11/49/732921149.db2.gz XOJBOPUJGBKLAQ-UHFFFAOYSA-N 1 2 301.350 1.517 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H](NC(=O)c3cccs3)C2)C1=O ZINC001010010528 738698426 /nfs/dbraw/zinc/69/84/26/738698426.db2.gz JDEDFTBBJWVYFP-CHWSQXEVSA-N 1 2 319.430 1.339 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H](NC(=O)c3cccs3)C2)C1=O ZINC001010010528 738698431 /nfs/dbraw/zinc/69/84/31/738698431.db2.gz JDEDFTBBJWVYFP-CHWSQXEVSA-N 1 2 319.430 1.339 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001027831583 738708420 /nfs/dbraw/zinc/70/84/20/738708420.db2.gz RTDYIHVSIWRZQE-TZMCWYRMSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001027831583 738708424 /nfs/dbraw/zinc/70/84/24/738708424.db2.gz RTDYIHVSIWRZQE-TZMCWYRMSA-N 1 2 324.388 1.632 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)no1 ZINC001027833765 738710085 /nfs/dbraw/zinc/71/00/85/738710085.db2.gz QVGVASMQSLHWCW-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)no1 ZINC001027833765 738710088 /nfs/dbraw/zinc/71/00/88/738710088.db2.gz QVGVASMQSLHWCW-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001027888737 738775962 /nfs/dbraw/zinc/77/59/62/738775962.db2.gz YMMBSQZTVBQIES-RAIGVLPGSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001027888737 738775963 /nfs/dbraw/zinc/77/59/63/738775963.db2.gz YMMBSQZTVBQIES-RAIGVLPGSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnn2c1CCC2 ZINC001027917707 738806883 /nfs/dbraw/zinc/80/68/83/738806883.db2.gz DRDXITCNBADZAQ-GFCCVEGCSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnn2c1CCC2 ZINC001027917707 738806888 /nfs/dbraw/zinc/80/68/88/738806888.db2.gz DRDXITCNBADZAQ-GFCCVEGCSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccnc2ccnn21 ZINC001027924077 738814897 /nfs/dbraw/zinc/81/48/97/738814897.db2.gz CUVQLWMKEYTRJJ-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccnc2ccnn21 ZINC001027924077 738814901 /nfs/dbraw/zinc/81/49/01/738814901.db2.gz CUVQLWMKEYTRJJ-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(CCC)on3)[C@H]2C1 ZINC001083237706 734640713 /nfs/dbraw/zinc/64/07/13/734640713.db2.gz SLOFEKZMSCBTHM-LSDHHAIUSA-N 1 2 305.378 1.338 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(CCC)on3)[C@H]2C1 ZINC001083237706 734640715 /nfs/dbraw/zinc/64/07/15/734640715.db2.gz SLOFEKZMSCBTHM-LSDHHAIUSA-N 1 2 305.378 1.338 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1COc2cc(F)ccc2C1 ZINC001038243213 734856258 /nfs/dbraw/zinc/85/62/58/734856258.db2.gz BASBQJXNFAPIGD-HIFRSBDPSA-N 1 2 302.349 1.201 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1COc2cc(F)ccc2C1 ZINC001038243213 734856261 /nfs/dbraw/zinc/85/62/61/734856261.db2.gz BASBQJXNFAPIGD-HIFRSBDPSA-N 1 2 302.349 1.201 20 30 DDEDLO C[C@H]1CN(C(=O)C(=O)C(C)(C)C)CC2(CC[NH+](CC#N)CC2)O1 ZINC001098574737 735014036 /nfs/dbraw/zinc/01/40/36/735014036.db2.gz RYYFCBBLPWIWAO-ZDUSSCGKSA-N 1 2 321.421 1.207 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CC[C@H]3CC(C)(C)CO3)[C@H]2C1 ZINC001083259160 735106866 /nfs/dbraw/zinc/10/68/66/735106866.db2.gz OOIVWACUKYRZTE-HRCADAONSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CC[C@H]3CC(C)(C)CO3)[C@H]2C1 ZINC001083259160 735106868 /nfs/dbraw/zinc/10/68/68/735106868.db2.gz OOIVWACUKYRZTE-HRCADAONSA-N 1 2 320.433 1.127 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3occ4c3CCCC4)[C@H]2C1 ZINC001083259415 735108842 /nfs/dbraw/zinc/10/88/42/735108842.db2.gz ZSTVSBNXYWHCCJ-JKSUJKDBSA-N 1 2 316.401 1.870 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3occ4c3CCCC4)[C@H]2C1 ZINC001083259415 735108844 /nfs/dbraw/zinc/10/88/44/735108844.db2.gz ZSTVSBNXYWHCCJ-JKSUJKDBSA-N 1 2 316.401 1.870 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](OC)C3CCCC3)[C@H]2C1 ZINC001083260516 735213803 /nfs/dbraw/zinc/21/38/03/735213803.db2.gz FRRDPNZGEQHSFK-BBWFWOEESA-N 1 2 320.433 1.127 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](OC)C3CCCC3)[C@H]2C1 ZINC001083260516 735213806 /nfs/dbraw/zinc/21/38/06/735213806.db2.gz FRRDPNZGEQHSFK-BBWFWOEESA-N 1 2 320.433 1.127 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc2n1[C@@H](C)CCC2 ZINC001038277516 735249460 /nfs/dbraw/zinc/24/94/60/735249460.db2.gz IEEVJAWNSCVMRC-KBPBESRZSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc2n1[C@@H](C)CCC2 ZINC001038277516 735249464 /nfs/dbraw/zinc/24/94/64/735249464.db2.gz IEEVJAWNSCVMRC-KBPBESRZSA-N 1 2 300.406 1.608 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H]1CCCN(C)C1=O ZINC001032562092 751342895 /nfs/dbraw/zinc/34/28/95/751342895.db2.gz LGJCBSLZCJBKSG-IHRRRGAJSA-N 1 2 305.422 1.105 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H]1CCCN(C)C1=O ZINC001032562092 751342900 /nfs/dbraw/zinc/34/29/00/751342900.db2.gz LGJCBSLZCJBKSG-IHRRRGAJSA-N 1 2 305.422 1.105 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H]1CNC(=O)c1cn2cc(C)nc2s1 ZINC001024479618 735861194 /nfs/dbraw/zinc/86/11/94/735861194.db2.gz LNUCVIHEPFVASG-ZDUSSCGKSA-N 1 2 316.430 1.922 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1cn2cc(C)nc2s1 ZINC001024479618 735861197 /nfs/dbraw/zinc/86/11/97/735861197.db2.gz LNUCVIHEPFVASG-ZDUSSCGKSA-N 1 2 316.430 1.922 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1ncc(OC)cn1 ZINC001024543841 735921075 /nfs/dbraw/zinc/92/10/75/735921075.db2.gz NNPZCVNGEBVFLX-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1ncc(OC)cn1 ZINC001024543841 735921080 /nfs/dbraw/zinc/92/10/80/735921080.db2.gz NNPZCVNGEBVFLX-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO COc1ccc(CN2CC([NH+]3C[C@@H](C)O[C@H](C)C3)C2)c(C#N)c1 ZINC001137706926 736729730 /nfs/dbraw/zinc/72/97/30/736729730.db2.gz WRCPGPLQMQNVSN-ZIAGYGMSSA-N 1 2 315.417 1.860 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1CC[N@H+]1Cc1conc1C ZINC001038346458 737082616 /nfs/dbraw/zinc/08/26/16/737082616.db2.gz XOXDVTHPCLJTDO-HNNXBMFYSA-N 1 2 314.389 1.975 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1conc1C ZINC001038346458 737082619 /nfs/dbraw/zinc/08/26/19/737082619.db2.gz XOXDVTHPCLJTDO-HNNXBMFYSA-N 1 2 314.389 1.975 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CCCCC)C2)nn1 ZINC001098615304 737423617 /nfs/dbraw/zinc/42/36/17/737423617.db2.gz OWCXOGXCBZJRFC-INIZCTEOSA-N 1 2 317.437 1.745 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)CC3(C)CCCC3)C2)nn1 ZINC001105230467 737636820 /nfs/dbraw/zinc/63/68/20/737636820.db2.gz IMVLXGXXQZDIBJ-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)C(C)(C)C)C2)nn1 ZINC001105270652 737699670 /nfs/dbraw/zinc/69/96/70/737699670.db2.gz GSDMDAPWSAHTPB-LBPRGKRZSA-N 1 2 303.410 1.066 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105334305 737955637 /nfs/dbraw/zinc/95/56/37/737955637.db2.gz BDPOHPMXMJLNBM-UHFFFAOYSA-N 1 2 309.389 1.323 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn(CCF)c2)[C@H]1C ZINC001088688599 742076531 /nfs/dbraw/zinc/07/65/31/742076531.db2.gz TZTBLVHMTKHALT-YPMHNXCESA-N 1 2 314.792 1.798 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn(CCF)c2)[C@H]1C ZINC001088688599 742076536 /nfs/dbraw/zinc/07/65/36/742076536.db2.gz TZTBLVHMTKHALT-YPMHNXCESA-N 1 2 314.792 1.798 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCCN(C(=O)COC)C1 ZINC001027257727 738140917 /nfs/dbraw/zinc/14/09/17/738140917.db2.gz BDXIEJQWRWJZFM-LLVKDONJSA-N 1 2 305.216 1.464 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCCN(C(=O)COC)C1 ZINC001027257727 738140919 /nfs/dbraw/zinc/14/09/19/738140919.db2.gz BDXIEJQWRWJZFM-LLVKDONJSA-N 1 2 305.216 1.464 20 30 DDEDLO CCc1cnc(C[N@H+](C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC001027336075 738208272 /nfs/dbraw/zinc/20/82/72/738208272.db2.gz MXWRWWUVHWAVOF-QWHCGFSZSA-N 1 2 304.394 1.819 20 30 DDEDLO CCc1cnc(C[N@@H+](C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC001027336075 738208273 /nfs/dbraw/zinc/20/82/73/738208273.db2.gz MXWRWWUVHWAVOF-QWHCGFSZSA-N 1 2 304.394 1.819 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2cccnc21 ZINC001032582462 751395466 /nfs/dbraw/zinc/39/54/66/751395466.db2.gz ZFNSIQHDWOMQHF-ULQDDVLXSA-N 1 2 309.413 1.810 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2cccnc21 ZINC001032582462 751395471 /nfs/dbraw/zinc/39/54/71/751395471.db2.gz ZFNSIQHDWOMQHF-ULQDDVLXSA-N 1 2 309.413 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1coc(C(N)=O)c1 ZINC001028045942 738962493 /nfs/dbraw/zinc/96/24/93/738962493.db2.gz UJKPARAZQDDECC-NSHDSACASA-N 1 2 311.769 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1coc(C(N)=O)c1 ZINC001028045942 738962495 /nfs/dbraw/zinc/96/24/95/738962495.db2.gz UJKPARAZQDDECC-NSHDSACASA-N 1 2 311.769 1.325 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](CC)CC(C)C)C2)nn1 ZINC001105359785 739178522 /nfs/dbraw/zinc/17/85/22/739178522.db2.gz WAJIBTLFAXNKQO-AWEZNQCLSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(OC)nn1 ZINC001028241003 739216189 /nfs/dbraw/zinc/21/61/89/739216189.db2.gz QPCDAEWMVVMBNS-LLVKDONJSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(OC)nn1 ZINC001028241003 739216191 /nfs/dbraw/zinc/21/61/91/739216191.db2.gz QPCDAEWMVVMBNS-LLVKDONJSA-N 1 2 310.785 1.432 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCC2CCCC2)[C@H](OC)C1 ZINC001211800443 739555256 /nfs/dbraw/zinc/55/52/56/739555256.db2.gz VSXKAIFPHUJPHT-IAGOWNOFSA-N 1 2 322.449 1.422 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCC2CCCC2)[C@H](OC)C1 ZINC001211800443 739555258 /nfs/dbraw/zinc/55/52/58/739555258.db2.gz VSXKAIFPHUJPHT-IAGOWNOFSA-N 1 2 322.449 1.422 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C3CC3)nn2C)C1 ZINC001107979836 751471818 /nfs/dbraw/zinc/47/18/18/751471818.db2.gz NJOLJQBYKSBYST-KRWDZBQOSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C3CC3)nn2C)C1 ZINC001107979836 751471821 /nfs/dbraw/zinc/47/18/21/751471821.db2.gz NJOLJQBYKSBYST-KRWDZBQOSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(F)cccc2OC)C1 ZINC001035378366 751474117 /nfs/dbraw/zinc/47/41/17/751474117.db2.gz USWNXDOIEJFJEN-ZDUSSCGKSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(F)cccc2OC)C1 ZINC001035378366 751474118 /nfs/dbraw/zinc/47/41/18/751474118.db2.gz USWNXDOIEJFJEN-ZDUSSCGKSA-N 1 2 322.380 1.841 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001059103869 739965702 /nfs/dbraw/zinc/96/57/02/739965702.db2.gz STOUTTQVZPEWMQ-AWEZNQCLSA-N 1 2 310.361 1.322 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001059103869 739965706 /nfs/dbraw/zinc/96/57/06/739965706.db2.gz STOUTTQVZPEWMQ-AWEZNQCLSA-N 1 2 310.361 1.322 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001035447711 751524684 /nfs/dbraw/zinc/52/46/84/751524684.db2.gz JNCAIKGXKOPUIC-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001035447711 751524690 /nfs/dbraw/zinc/52/46/90/751524690.db2.gz JNCAIKGXKOPUIC-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001035448526 751525720 /nfs/dbraw/zinc/52/57/20/751525720.db2.gz FJGWZNHGBCUBSK-YHUYYLMFSA-N 1 2 308.422 1.195 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001035448526 751525726 /nfs/dbraw/zinc/52/57/26/751525726.db2.gz FJGWZNHGBCUBSK-YHUYYLMFSA-N 1 2 308.422 1.195 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CCn1cc(Cl)cn1 ZINC001029244629 740486339 /nfs/dbraw/zinc/48/63/39/740486339.db2.gz JXQWOAQBXHUQAW-BNOWGMLFSA-N 1 2 321.812 1.371 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CCn1cc(Cl)cn1 ZINC001029244629 740486340 /nfs/dbraw/zinc/48/63/40/740486340.db2.gz JXQWOAQBXHUQAW-BNOWGMLFSA-N 1 2 321.812 1.371 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001035516229 751571838 /nfs/dbraw/zinc/57/18/38/751571838.db2.gz BFNBJAKMROFHBS-RRFJBIMHSA-N 1 2 304.390 1.776 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001035516229 751571844 /nfs/dbraw/zinc/57/18/44/751571844.db2.gz BFNBJAKMROFHBS-RRFJBIMHSA-N 1 2 304.390 1.776 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ocnc2C2CC2)C1 ZINC001035514301 751570843 /nfs/dbraw/zinc/57/08/43/751570843.db2.gz ZNBZDEISZACVDR-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ocnc2C2CC2)C1 ZINC001035514301 751570846 /nfs/dbraw/zinc/57/08/46/751570846.db2.gz ZNBZDEISZACVDR-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3ccc(F)cc32)C1 ZINC001035492304 751574227 /nfs/dbraw/zinc/57/42/27/751574227.db2.gz XBNXKFZFLOMBBZ-GOEBONIOSA-N 1 2 304.365 1.468 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3ccc(F)cc32)C1 ZINC001035492304 751574231 /nfs/dbraw/zinc/57/42/31/751574231.db2.gz XBNXKFZFLOMBBZ-GOEBONIOSA-N 1 2 304.365 1.468 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@](C)(C=C)CC)C2)nn1 ZINC001098721121 741073405 /nfs/dbraw/zinc/07/34/05/741073405.db2.gz BFFSVVWEAMERQI-RDJZCZTQSA-N 1 2 315.421 1.377 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)no2)C1 ZINC001035526604 751606044 /nfs/dbraw/zinc/60/60/44/751606044.db2.gz KTXBSNCOVILRFA-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)no2)C1 ZINC001035526604 751606049 /nfs/dbraw/zinc/60/60/49/751606049.db2.gz KTXBSNCOVILRFA-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(CCC)[nH]c(=O)c2)C1 ZINC001035535205 751617563 /nfs/dbraw/zinc/61/75/63/751617563.db2.gz GVAMBTJIHLVAJE-OAHLLOKOSA-N 1 2 319.405 1.356 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(CCC)[nH]c(=O)c2)C1 ZINC001035535205 751617564 /nfs/dbraw/zinc/61/75/64/751617564.db2.gz GVAMBTJIHLVAJE-OAHLLOKOSA-N 1 2 319.405 1.356 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3cccnn32)C1 ZINC001035535644 751618506 /nfs/dbraw/zinc/61/85/06/751618506.db2.gz JLSSYHMNRRTSPP-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc3cccnn32)C1 ZINC001035535644 751618508 /nfs/dbraw/zinc/61/85/08/751618508.db2.gz JLSSYHMNRRTSPP-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc3cccnn32)C1 ZINC001035535645 751618621 /nfs/dbraw/zinc/61/86/21/751618621.db2.gz JLSSYHMNRRTSPP-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc3cccnn32)C1 ZINC001035535645 751618622 /nfs/dbraw/zinc/61/86/22/751618622.db2.gz JLSSYHMNRRTSPP-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc3nccn3c2)C1 ZINC001035572356 751626419 /nfs/dbraw/zinc/62/64/19/751626419.db2.gz YXTSNJJHJHEHNY-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc3nccn3c2)C1 ZINC001035572356 751626424 /nfs/dbraw/zinc/62/64/24/751626424.db2.gz YXTSNJJHJHEHNY-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnoc2C2CC2)C1 ZINC001035561319 751643684 /nfs/dbraw/zinc/64/36/84/751643684.db2.gz BFTFTEOYNJSMHD-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnoc2C2CC2)C1 ZINC001035561319 751643686 /nfs/dbraw/zinc/64/36/86/751643686.db2.gz BFTFTEOYNJSMHD-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001211909568 741605367 /nfs/dbraw/zinc/60/53/67/741605367.db2.gz ZGNYXHHPBDEJLY-BRWVUGGUSA-N 1 2 324.465 1.668 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001211909568 741605369 /nfs/dbraw/zinc/60/53/69/741605369.db2.gz ZGNYXHHPBDEJLY-BRWVUGGUSA-N 1 2 324.465 1.668 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC001016101064 751680606 /nfs/dbraw/zinc/68/06/06/751680606.db2.gz MYUKVUAZELDUGD-STQMWFEESA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC001016101064 751680609 /nfs/dbraw/zinc/68/06/09/751680609.db2.gz MYUKVUAZELDUGD-STQMWFEESA-N 1 2 313.829 1.378 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cc(C)ccc2F)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212041662 741951112 /nfs/dbraw/zinc/95/11/12/741951112.db2.gz XGALFXFIGXBOQK-DAXOMENPSA-N 1 2 319.380 1.609 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cc(C)ccc2F)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212041662 741951115 /nfs/dbraw/zinc/95/11/15/741951115.db2.gz XGALFXFIGXBOQK-DAXOMENPSA-N 1 2 319.380 1.609 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)N(C)CC3)C1 ZINC001035591048 751684928 /nfs/dbraw/zinc/68/49/28/751684928.db2.gz AHQXICVILQZNRV-MRXNPFEDSA-N 1 2 315.417 1.296 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)N(C)CC3)C1 ZINC001035591048 751684934 /nfs/dbraw/zinc/68/49/34/751684934.db2.gz AHQXICVILQZNRV-MRXNPFEDSA-N 1 2 315.417 1.296 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001035592518 751687892 /nfs/dbraw/zinc/68/78/92/751687892.db2.gz MDTNKEVCGSVZSR-INIZCTEOSA-N 1 2 313.401 1.413 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001035592518 751687897 /nfs/dbraw/zinc/68/78/97/751687897.db2.gz MDTNKEVCGSVZSR-INIZCTEOSA-N 1 2 313.401 1.413 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C=C/C3CC3)C2)nn1 ZINC001098648845 742056144 /nfs/dbraw/zinc/05/61/44/742056144.db2.gz FUJSRKGJGVDNHA-MOEXGYKKSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc3n(c2)CCCC3)C1 ZINC001035624425 751695246 /nfs/dbraw/zinc/69/52/46/751695246.db2.gz BXBDMLCEMCAXMT-QGZVFWFLSA-N 1 2 317.433 1.831 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc3n(c2)CCCC3)C1 ZINC001035624425 751695249 /nfs/dbraw/zinc/69/52/49/751695249.db2.gz BXBDMLCEMCAXMT-QGZVFWFLSA-N 1 2 317.433 1.831 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccnn2CC(F)F)C1 ZINC001014446808 742185680 /nfs/dbraw/zinc/18/56/80/742185680.db2.gz IXXLLXWHFXOZRX-SNVBAGLBSA-N 1 2 318.755 1.705 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccnn2CC(F)F)C1 ZINC001014446808 742185683 /nfs/dbraw/zinc/18/56/83/742185683.db2.gz IXXLLXWHFXOZRX-SNVBAGLBSA-N 1 2 318.755 1.705 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn(C)nc2OC)[C@H]1C ZINC001088790847 742375520 /nfs/dbraw/zinc/37/55/20/742375520.db2.gz BVQWNJBXDRWBIY-PWSUYJOCSA-N 1 2 312.801 1.374 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn(C)nc2OC)[C@H]1C ZINC001088790847 742375526 /nfs/dbraw/zinc/37/55/26/742375526.db2.gz BVQWNJBXDRWBIY-PWSUYJOCSA-N 1 2 312.801 1.374 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C23CC(C(F)(F)F)(C2)C3)C1 ZINC001035616711 751723469 /nfs/dbraw/zinc/72/34/69/751723469.db2.gz MKUPREDIBYYZMK-XGNXJENSSA-N 1 2 318.339 1.722 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C23CC(C(F)(F)F)(C2)C3)C1 ZINC001035616711 751723474 /nfs/dbraw/zinc/72/34/74/751723474.db2.gz MKUPREDIBYYZMK-XGNXJENSSA-N 1 2 318.339 1.722 20 30 DDEDLO O=C(C#CC1CC1)NCC[NH2+]Cc1nnc(C(F)F)s1 ZINC001126875865 742406468 /nfs/dbraw/zinc/40/64/68/742406468.db2.gz QALLBWDHVVNQLA-UHFFFAOYSA-N 1 2 300.334 1.095 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001076798872 742995453 /nfs/dbraw/zinc/99/54/53/742995453.db2.gz VJDIOGLCWNVTBV-ZDUSSCGKSA-N 1 2 306.410 1.710 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001076798872 742995458 /nfs/dbraw/zinc/99/54/58/742995458.db2.gz VJDIOGLCWNVTBV-ZDUSSCGKSA-N 1 2 306.410 1.710 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2ccncc2)C1 ZINC001108042259 743005485 /nfs/dbraw/zinc/00/54/85/743005485.db2.gz KFINVHNKXAXUHP-QGZVFWFLSA-N 1 2 303.406 1.407 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2ccncc2)C1 ZINC001108042259 743005495 /nfs/dbraw/zinc/00/54/95/743005495.db2.gz KFINVHNKXAXUHP-QGZVFWFLSA-N 1 2 303.406 1.407 20 30 DDEDLO C[C@@H](c1ncccn1)[NH+]1CC2(C1)CCN(C(=O)C#CC1CC1)CC2 ZINC001035700609 751788101 /nfs/dbraw/zinc/78/81/01/751788101.db2.gz JGBSQADBPAIQCX-HNNXBMFYSA-N 1 2 324.428 1.875 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nc([C@@H](C)OC)no2)C1 ZINC001181511381 743218345 /nfs/dbraw/zinc/21/83/45/743218345.db2.gz MGJYTMHIFRQPRI-VXGBXAGGSA-N 1 2 308.382 1.434 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCCC1(C)C ZINC001121509903 782541918 /nfs/dbraw/zinc/54/19/18/782541918.db2.gz KAAAYTGDMFUIRV-OLZOCXBDSA-N 1 2 305.426 1.580 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCCC1(C)C ZINC001121509903 782541929 /nfs/dbraw/zinc/54/19/29/782541929.db2.gz KAAAYTGDMFUIRV-OLZOCXBDSA-N 1 2 305.426 1.580 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1CCc2ccc(F)cc2O1 ZINC001038510398 743368934 /nfs/dbraw/zinc/36/89/34/743368934.db2.gz CKSUAOOPHYWZQN-LSDHHAIUSA-N 1 2 302.349 1.343 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CCc2ccc(F)cc2O1 ZINC001038510398 743368937 /nfs/dbraw/zinc/36/89/37/743368937.db2.gz CKSUAOOPHYWZQN-LSDHHAIUSA-N 1 2 302.349 1.343 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nnc(C)o2)C1 ZINC001182113222 743481796 /nfs/dbraw/zinc/48/17/96/743481796.db2.gz OOWPTDTXFKKHTM-GXTWGEPZSA-N 1 2 304.394 1.823 20 30 DDEDLO C=CCOCC(=O)N1CCC(OC2C[NH+](CC(=C)C)C2)CC1 ZINC001105697280 743524605 /nfs/dbraw/zinc/52/46/05/743524605.db2.gz RZXHBVWFJVSEGQ-UHFFFAOYSA-N 1 2 308.422 1.457 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001182440966 743622787 /nfs/dbraw/zinc/62/27/87/743622787.db2.gz IYDJPTLRYCLQBL-CHWSQXEVSA-N 1 2 318.421 1.933 20 30 DDEDLO CC#CC[NH+]1CCC(NC(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC001002987455 743631383 /nfs/dbraw/zinc/63/13/83/743631383.db2.gz QKDUTKOYOHMHNU-UHFFFAOYSA-N 1 2 323.400 1.689 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([NH2+]Cc2ncc(C(C)C)o2)C1 ZINC001182521829 743658403 /nfs/dbraw/zinc/65/84/03/743658403.db2.gz CJMVCCBKLYZAML-CQSZACIVSA-N 1 2 319.405 1.528 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1sccc1-n1ccnn1 ZINC001038388710 743733921 /nfs/dbraw/zinc/73/39/21/743733921.db2.gz IXNQKBIEUAXXQU-LBPRGKRZSA-N 1 2 315.402 1.156 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1sccc1-n1ccnn1 ZINC001038388710 743733922 /nfs/dbraw/zinc/73/39/22/743733922.db2.gz IXNQKBIEUAXXQU-LBPRGKRZSA-N 1 2 315.402 1.156 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060262970 743755407 /nfs/dbraw/zinc/75/54/07/743755407.db2.gz HMRXLFPELRIRDO-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(COC)no2)C1 ZINC001182939889 743760413 /nfs/dbraw/zinc/76/04/13/743760413.db2.gz ABVQIRRMDBGWNN-CHWSQXEVSA-N 1 2 322.409 1.824 20 30 DDEDLO Cn1nccc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038100126 743773825 /nfs/dbraw/zinc/77/38/25/743773825.db2.gz ODVNCUJJCKFEHC-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1nccc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038100126 743773827 /nfs/dbraw/zinc/77/38/27/743773827.db2.gz ODVNCUJJCKFEHC-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+]Cc1nnc(CC)o1 ZINC001127124663 743807161 /nfs/dbraw/zinc/80/71/61/743807161.db2.gz IYXSRIYVZAWINH-UHFFFAOYSA-N 1 2 324.425 1.601 20 30 DDEDLO N#C[C@H](Cc1ccc(O)cc1)C(=O)N1CCc2[nH+]ccn2CC1 ZINC001183608754 743860728 /nfs/dbraw/zinc/86/07/28/743860728.db2.gz KHOVUDYXUFZPNQ-AWEZNQCLSA-N 1 2 310.357 1.356 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC2C[NH+](C[C@@H](O)c3ccccc3)C2)c1 ZINC001030195437 743927726 /nfs/dbraw/zinc/92/77/26/743927726.db2.gz CMIHGYPRYJIKLO-MRXNPFEDSA-N 1 2 310.357 1.034 20 30 DDEDLO Cc1nc(NC[C@H](O)CNC(=O)CC#Cc2ccccc2)cc[nH+]1 ZINC001105863266 743985657 /nfs/dbraw/zinc/98/56/57/743985657.db2.gz GRCVWKQIZKLLFB-INIZCTEOSA-N 1 2 324.384 1.116 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)nc1 ZINC001060313081 744022497 /nfs/dbraw/zinc/02/24/97/744022497.db2.gz NZTUVQCDCAGXLB-HNNXBMFYSA-N 1 2 324.388 1.712 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC[C@@H]1CNCC#N ZINC001184652538 744078661 /nfs/dbraw/zinc/07/86/61/744078661.db2.gz NDIGFZIWDROPKQ-CHWSQXEVSA-N 1 2 311.389 1.774 20 30 DDEDLO C[C@@H](CC(=O)NCC1(Nc2ccc(C#N)nc2)CC1)n1cc[nH+]c1 ZINC001110361243 744209274 /nfs/dbraw/zinc/20/92/74/744209274.db2.gz DSYWUHXFQFMBNN-ZDUSSCGKSA-N 1 2 324.388 1.862 20 30 DDEDLO C=CCOc1ccc(C(=O)NC2C[NH+]([C@@H](C)COC)C2)cc1 ZINC001030541584 744251464 /nfs/dbraw/zinc/25/14/64/744251464.db2.gz SRZCHSQTBZFCGT-ZDUSSCGKSA-N 1 2 304.390 1.700 20 30 DDEDLO C=CCOc1ccccc1C(=O)NC1C[NH+](C[C@H](C)OC)C1 ZINC001030542083 744253395 /nfs/dbraw/zinc/25/33/95/744253395.db2.gz MKHHCOGWQZKGTE-ZDUSSCGKSA-N 1 2 304.390 1.700 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2scnc2CC)C1 ZINC001107986143 751904447 /nfs/dbraw/zinc/90/44/47/751904447.db2.gz ZYXUTJNQZIWXED-HNNXBMFYSA-N 1 2 309.435 1.712 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2scnc2CC)C1 ZINC001107986143 751904454 /nfs/dbraw/zinc/90/44/54/751904454.db2.gz ZYXUTJNQZIWXED-HNNXBMFYSA-N 1 2 309.435 1.712 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CCC(=C)C)C2)nn1 ZINC001185955130 744313018 /nfs/dbraw/zinc/31/30/18/744313018.db2.gz QNWWEDFRDCNRPT-INIZCTEOSA-N 1 2 315.421 1.521 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2cc(F)c(C#N)cc2C)C1 ZINC001186110438 744345477 /nfs/dbraw/zinc/34/54/77/744345477.db2.gz UIXIDMVXUJRENB-NSHDSACASA-N 1 2 319.336 1.439 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2cc(F)c(C#N)cc2C)C1 ZINC001186110438 744345478 /nfs/dbraw/zinc/34/54/78/744345478.db2.gz UIXIDMVXUJRENB-NSHDSACASA-N 1 2 319.336 1.439 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001187472805 744567300 /nfs/dbraw/zinc/56/73/00/744567300.db2.gz OIHDIGGALDOXOB-GOEBONIOSA-N 1 2 319.405 1.690 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001187472805 744567302 /nfs/dbraw/zinc/56/73/02/744567302.db2.gz OIHDIGGALDOXOB-GOEBONIOSA-N 1 2 319.405 1.690 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)COC(C)C)C1 ZINC001189198616 744842421 /nfs/dbraw/zinc/84/24/21/744842421.db2.gz YCRLINBYNHPAFI-LBPRGKRZSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)COC(C)C)C1 ZINC001189198616 744842423 /nfs/dbraw/zinc/84/24/23/744842423.db2.gz YCRLINBYNHPAFI-LBPRGKRZSA-N 1 2 319.243 1.853 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nocc2C)C1 ZINC001189250275 744853506 /nfs/dbraw/zinc/85/35/06/744853506.db2.gz GDAOYMSAIPKVNP-LSDHHAIUSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001189250275 744853510 /nfs/dbraw/zinc/85/35/10/744853510.db2.gz GDAOYMSAIPKVNP-LSDHHAIUSA-N 1 2 321.421 1.997 20 30 DDEDLO C#Cc1cncc(C(=O)NC2C[NH+](C[C@@H]3CC(C)(C)CO3)C2)c1 ZINC001030928559 744858790 /nfs/dbraw/zinc/85/87/90/744858790.db2.gz YJWNCQIFFHYYEK-INIZCTEOSA-N 1 2 313.401 1.292 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001083701796 744904738 /nfs/dbraw/zinc/90/47/38/744904738.db2.gz YTCYBEROLPEJOP-NMFKLSHFSA-N 1 2 317.364 1.015 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001083701796 744904740 /nfs/dbraw/zinc/90/47/40/744904740.db2.gz YTCYBEROLPEJOP-NMFKLSHFSA-N 1 2 317.364 1.015 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2nocc2C)C1 ZINC001189573120 744925762 /nfs/dbraw/zinc/92/57/62/744925762.db2.gz BTSPUSOBBQQIHL-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001189573120 744925764 /nfs/dbraw/zinc/92/57/64/744925764.db2.gz BTSPUSOBBQQIHL-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(CC)n2)C1 ZINC001189917481 745031680 /nfs/dbraw/zinc/03/16/80/745031680.db2.gz HETJWSXMEJDVTB-NVXWUHKLSA-N 1 2 320.437 1.263 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(CC)n2)C1 ZINC001189917481 745031685 /nfs/dbraw/zinc/03/16/85/745031685.db2.gz HETJWSXMEJDVTB-NVXWUHKLSA-N 1 2 320.437 1.263 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)on1 ZINC001007044768 751997130 /nfs/dbraw/zinc/99/71/30/751997130.db2.gz LWGZONJCTSAEEA-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)on1 ZINC001007044768 751997136 /nfs/dbraw/zinc/99/71/36/751997136.db2.gz LWGZONJCTSAEEA-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO CC(C)(C)[C@H](C[NH+]1CCOCC1)NC(=O)c1ccnc(C#N)c1 ZINC001191558949 745549122 /nfs/dbraw/zinc/54/91/22/745549122.db2.gz BNFHUOOJMBHDTL-HNNXBMFYSA-N 1 2 316.405 1.430 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1C[C@@H](CNCC#N)[C@H](C)C1)n1cc[nH+]c1 ZINC001106291496 745554953 /nfs/dbraw/zinc/55/49/53/745554953.db2.gz ACHMWNGYQBFCIF-OAGGEKHMSA-N 1 2 317.437 1.678 20 30 DDEDLO C[C@@H](CCNc1cccc(F)c1C#N)NC(=O)Cn1cc[nH+]c1 ZINC001106362923 745631515 /nfs/dbraw/zinc/63/15/15/745631515.db2.gz VTHTXXACXPPHDT-LBPRGKRZSA-N 1 2 315.352 1.901 20 30 DDEDLO Cn1cncc1C[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007135360 752032676 /nfs/dbraw/zinc/03/26/76/752032676.db2.gz WRRQCNAMBNYGKA-CYBMUJFWSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1cncc1C[N@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007135360 752032682 /nfs/dbraw/zinc/03/26/82/752032682.db2.gz WRRQCNAMBNYGKA-CYBMUJFWSA-N 1 2 312.377 1.014 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)cn1 ZINC001007168731 752045777 /nfs/dbraw/zinc/04/57/77/752045777.db2.gz YZMMPNAUIMQSKZ-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)cn1 ZINC001007168731 752045778 /nfs/dbraw/zinc/04/57/78/752045778.db2.gz YZMMPNAUIMQSKZ-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2nnc(C)o2)[C@H]1C ZINC000993331295 746003857 /nfs/dbraw/zinc/00/38/57/746003857.db2.gz PYSLLSCZHSIWJK-ZYHUDNBSSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2nnc(C)o2)[C@H]1C ZINC000993331295 746003861 /nfs/dbraw/zinc/00/38/61/746003861.db2.gz PYSLLSCZHSIWJK-ZYHUDNBSSA-N 1 2 312.801 1.642 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1cncnc1 ZINC001007185754 752052429 /nfs/dbraw/zinc/05/24/29/752052429.db2.gz XFUXOKBKBVNPJP-GOSISDBHSA-N 1 2 320.396 1.723 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1cncnc1 ZINC001007185754 752052431 /nfs/dbraw/zinc/05/24/31/752052431.db2.gz XFUXOKBKBVNPJP-GOSISDBHSA-N 1 2 320.396 1.723 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](C[C@H]3CC(C)(C)CO3)C2)nc1 ZINC001031278808 746121541 /nfs/dbraw/zinc/12/15/41/746121541.db2.gz YQXKSOHXUKKCJZ-OAHLLOKOSA-N 1 2 313.401 1.292 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2nc3cccnc3s2)[C@@H]1C ZINC000993428237 746125905 /nfs/dbraw/zinc/12/59/05/746125905.db2.gz VGTSVYVTMRBOIE-RYUDHWBXSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2nc3cccnc3s2)[C@@H]1C ZINC000993428237 746125912 /nfs/dbraw/zinc/12/59/12/746125912.db2.gz VGTSVYVTMRBOIE-RYUDHWBXSA-N 1 2 314.414 1.907 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2cn[nH]n2)CCC[N@@H+]1Cc1ccc(C#N)cc1 ZINC000993545568 746206716 /nfs/dbraw/zinc/20/67/16/746206716.db2.gz VYUBJWQVCCRUEO-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2cn[nH]n2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000993545568 746206719 /nfs/dbraw/zinc/20/67/19/746206719.db2.gz VYUBJWQVCCRUEO-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2cnn[nH]2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000993545568 746206727 /nfs/dbraw/zinc/20/67/27/746206727.db2.gz VYUBJWQVCCRUEO-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C#Cc1cc(Nc2ccccc2S(=O)(=O)N(C)C)cc[nH+]1 ZINC001194135589 746289254 /nfs/dbraw/zinc/28/92/54/746289254.db2.gz VISYVDQLWKQJCV-UHFFFAOYSA-N 1 2 301.371 1.479 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(CC)n2)C1 ZINC001194407338 746369794 /nfs/dbraw/zinc/36/97/94/746369794.db2.gz KWLOVIKQGXIONP-HOCLYGCPSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ccn(CC)n2)C1 ZINC001194407338 746369800 /nfs/dbraw/zinc/36/98/00/746369800.db2.gz KWLOVIKQGXIONP-HOCLYGCPSA-N 1 2 320.437 1.527 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2scnc2COC)[C@@H]1C ZINC000993962352 746394045 /nfs/dbraw/zinc/39/40/45/746394045.db2.gz KVNMQGDXMZTHDI-NWDGAFQWSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2scnc2COC)[C@@H]1C ZINC000993962352 746394051 /nfs/dbraw/zinc/39/40/51/746394051.db2.gz KVNMQGDXMZTHDI-NWDGAFQWSA-N 1 2 307.419 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CC2CCCCC2)CC1 ZINC001194733048 746441125 /nfs/dbraw/zinc/44/11/25/746441125.db2.gz NAVDXWOYHVYOSY-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CC2CCCCC2)CC1 ZINC001194733048 746441129 /nfs/dbraw/zinc/44/11/29/746441129.db2.gz NAVDXWOYHVYOSY-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cccn(C)c2=O)[C@H]1C ZINC000994239723 746506231 /nfs/dbraw/zinc/50/62/31/746506231.db2.gz KCDGLVZPIKHEBF-TZMCWYRMSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cccn(C)c2=O)[C@H]1C ZINC000994239723 746506232 /nfs/dbraw/zinc/50/62/32/746506232.db2.gz KCDGLVZPIKHEBF-TZMCWYRMSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1O ZINC001195030568 746512589 /nfs/dbraw/zinc/51/25/89/746512589.db2.gz RZJHCGQUVRKFNE-LPTZXRHLSA-N 1 2 316.829 1.129 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1O ZINC001195030568 746512591 /nfs/dbraw/zinc/51/25/91/746512591.db2.gz RZJHCGQUVRKFNE-LPTZXRHLSA-N 1 2 316.829 1.129 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2ccc(OC)c(OC)c2)C1 ZINC001031338335 746618031 /nfs/dbraw/zinc/61/80/31/746618031.db2.gz CPLYOBXDKWLTMV-UHFFFAOYSA-N 1 2 304.390 1.623 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)[C@@H]1C ZINC000994489774 746687049 /nfs/dbraw/zinc/68/70/49/746687049.db2.gz VBXQSUSXKYWEFT-DZGCQCFKSA-N 1 2 323.400 1.688 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195755028 746687290 /nfs/dbraw/zinc/68/72/90/746687290.db2.gz VMPRIXOWCZKEMY-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195755028 746687298 /nfs/dbraw/zinc/68/72/98/746687298.db2.gz VMPRIXOWCZKEMY-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccns2)CC1 ZINC001195806280 746711639 /nfs/dbraw/zinc/71/16/39/746711639.db2.gz MEFWDZAQOVDERC-ZDUSSCGKSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccns2)CC1 ZINC001195806280 746711643 /nfs/dbraw/zinc/71/16/43/746711643.db2.gz MEFWDZAQOVDERC-ZDUSSCGKSA-N 1 2 307.419 1.216 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3conc3C)C[C@H]2O)CC1 ZINC001195905992 746733304 /nfs/dbraw/zinc/73/33/04/746733304.db2.gz JPDUWXKMQJUYDC-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3conc3C)C[C@H]2O)CC1 ZINC001195905992 746733306 /nfs/dbraw/zinc/73/33/06/746733306.db2.gz JPDUWXKMQJUYDC-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195924367 746738436 /nfs/dbraw/zinc/73/84/36/746738436.db2.gz UTXWSSMMWDBOMA-FMKPAKJESA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195924367 746738438 /nfs/dbraw/zinc/73/84/38/746738438.db2.gz UTXWSSMMWDBOMA-FMKPAKJESA-N 1 2 323.462 1.715 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2conc2C(C)C)CC1 ZINC001196153791 746788448 /nfs/dbraw/zinc/78/84/48/746788448.db2.gz AENQDIAULDJOKJ-UHFFFAOYSA-N 1 2 319.405 1.596 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2conc2C(C)C)CC1 ZINC001196153791 746788449 /nfs/dbraw/zinc/78/84/49/746788449.db2.gz AENQDIAULDJOKJ-UHFFFAOYSA-N 1 2 319.405 1.596 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)CC(F)F)CC1 ZINC001196557396 746905105 /nfs/dbraw/zinc/90/51/05/746905105.db2.gz ODKWDKCAUCWFDC-LBPRGKRZSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)CC(F)F)CC1 ZINC001196557396 746905108 /nfs/dbraw/zinc/90/51/08/746905108.db2.gz ODKWDKCAUCWFDC-LBPRGKRZSA-N 1 2 317.380 1.114 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N(C)CC)CC1 ZINC001196724864 746945376 /nfs/dbraw/zinc/94/53/76/746945376.db2.gz QETDAMGZOIENDL-UHFFFAOYSA-N 1 2 309.454 1.601 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@H+](CC(=O)N(C)CC)CC1 ZINC001196724864 746945383 /nfs/dbraw/zinc/94/53/83/746945383.db2.gz QETDAMGZOIENDL-UHFFFAOYSA-N 1 2 309.454 1.601 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccnn2C)CC1 ZINC001196853868 746990994 /nfs/dbraw/zinc/99/09/94/746990994.db2.gz MPWLAFVXJDJZMP-LSDHHAIUSA-N 1 2 304.438 1.913 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccnn2C)CC1 ZINC001196853868 746990995 /nfs/dbraw/zinc/99/09/95/746990995.db2.gz MPWLAFVXJDJZMP-LSDHHAIUSA-N 1 2 304.438 1.913 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1ccc(C#N)nn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089491081 747088959 /nfs/dbraw/zinc/08/89/59/747088959.db2.gz XBAXYAZWFANMFT-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2cc(C)[nH]c2C)CC1 ZINC001197278327 747128902 /nfs/dbraw/zinc/12/89/02/747128902.db2.gz SDROBLRXDOHFLC-UHFFFAOYSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2cc(C)[nH]c2C)CC1 ZINC001197278327 747128904 /nfs/dbraw/zinc/12/89/04/747128904.db2.gz SDROBLRXDOHFLC-UHFFFAOYSA-N 1 2 318.421 1.082 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001007473942 752159450 /nfs/dbraw/zinc/15/94/50/752159450.db2.gz RVMOVWBEHWKSJL-CQSZACIVSA-N 1 2 310.829 1.604 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001007473942 752159452 /nfs/dbraw/zinc/15/94/52/752159452.db2.gz RVMOVWBEHWKSJL-CQSZACIVSA-N 1 2 310.829 1.604 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](C)CCN1C(=S)Nc1cccc(C#N)c1 ZINC001197704580 747243683 /nfs/dbraw/zinc/24/36/83/747243683.db2.gz GWBVBJQBLFIXMT-CYBMUJFWSA-N 1 2 318.402 1.044 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](C)CCN1C(=S)Nc1cccc(C#N)c1 ZINC001197704580 747243690 /nfs/dbraw/zinc/24/36/90/747243690.db2.gz GWBVBJQBLFIXMT-CYBMUJFWSA-N 1 2 318.402 1.044 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213271057 747471739 /nfs/dbraw/zinc/47/17/39/747471739.db2.gz FSWRQPCVBORTSV-TZMCWYRMSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213271057 747471741 /nfs/dbraw/zinc/47/17/41/747471741.db2.gz FSWRQPCVBORTSV-TZMCWYRMSA-N 1 2 307.394 1.511 20 30 DDEDLO CC[N@H+](Cc1ncc(Cl)n1C)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152449519 747489571 /nfs/dbraw/zinc/48/95/71/747489571.db2.gz CQUXBCSZSWFKOF-GHMZBOCLSA-N 1 2 311.817 1.560 20 30 DDEDLO CC[N@@H+](Cc1ncc(Cl)n1C)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152449519 747489573 /nfs/dbraw/zinc/48/95/73/747489573.db2.gz CQUXBCSZSWFKOF-GHMZBOCLSA-N 1 2 311.817 1.560 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]([NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC000998745512 752191950 /nfs/dbraw/zinc/19/19/50/752191950.db2.gz IJFBVHVNKORNOV-NEPJUHHUSA-N 1 2 319.409 1.607 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]([NH2+]CCF)c1ccccc1OC ZINC001198693410 747568537 /nfs/dbraw/zinc/56/85/37/747568537.db2.gz BABISLDALOEWSB-WMLDXEAASA-N 1 2 324.396 1.739 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cncn2-c2ccc(F)cc2)CC1 ZINC001198711410 747592459 /nfs/dbraw/zinc/59/24/59/747592459.db2.gz QOJUSBRGSBQHRW-UHFFFAOYSA-N 1 2 314.364 1.955 20 30 DDEDLO CN(C(=O)c1cnn(C)c1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001032907583 747621221 /nfs/dbraw/zinc/62/12/21/747621221.db2.gz RUFPGQJCXOBASK-QGZVFWFLSA-N 1 2 323.400 1.638 20 30 DDEDLO CN(C(=O)c1cnn(C)c1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001032907583 747621227 /nfs/dbraw/zinc/62/12/27/747621227.db2.gz RUFPGQJCXOBASK-QGZVFWFLSA-N 1 2 323.400 1.638 20 30 DDEDLO C=CC(C)(C)C(=O)N(CC)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106906635 747640378 /nfs/dbraw/zinc/64/03/78/747640378.db2.gz CDPZZSCSUGPLTI-UHFFFAOYSA-N 1 2 320.441 1.719 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]([NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC000998749404 752201680 /nfs/dbraw/zinc/20/16/80/752201680.db2.gz ZSIKWAGZUNJTSH-RYUDHWBXSA-N 1 2 319.409 1.607 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001032937543 747699494 /nfs/dbraw/zinc/69/94/94/747699494.db2.gz VVKYZTGIJIELPI-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001032937543 747699497 /nfs/dbraw/zinc/69/94/97/747699497.db2.gz VVKYZTGIJIELPI-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)n[nH]1 ZINC001032937543 747699501 /nfs/dbraw/zinc/69/95/01/747699501.db2.gz VVKYZTGIJIELPI-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n[nH]1 ZINC001032937543 747699504 /nfs/dbraw/zinc/69/95/04/747699504.db2.gz VVKYZTGIJIELPI-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO COC1CC(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)C1 ZINC001031753770 747749548 /nfs/dbraw/zinc/74/95/48/747749548.db2.gz BFJZXGADNMPIRO-UHFFFAOYSA-N 1 2 313.401 1.531 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cn3c(n2)CCC3)C1 ZINC001033090003 748232620 /nfs/dbraw/zinc/23/26/20/748232620.db2.gz JWBDCLPLXVYECD-LBPRGKRZSA-N 1 2 308.813 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cn3c(n2)CCC3)C1 ZINC001033090003 748232625 /nfs/dbraw/zinc/23/26/25/748232625.db2.gz JWBDCLPLXVYECD-LBPRGKRZSA-N 1 2 308.813 1.728 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2CC(C)(C)CO2)C1 ZINC001108068933 748250100 /nfs/dbraw/zinc/25/01/00/748250100.db2.gz VXIYPHCULWXFNJ-QAPCUYQASA-N 1 2 322.449 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2CC(C)(C)CO2)C1 ZINC001108068933 748250105 /nfs/dbraw/zinc/25/01/05/748250105.db2.gz VXIYPHCULWXFNJ-QAPCUYQASA-N 1 2 322.449 1.422 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001007671510 752267349 /nfs/dbraw/zinc/26/73/49/752267349.db2.gz YJLIQTXMSIVNOT-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001007671510 752267352 /nfs/dbraw/zinc/26/73/52/752267352.db2.gz YJLIQTXMSIVNOT-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2cc(F)c[nH]2)CC1 ZINC001004486563 748470309 /nfs/dbraw/zinc/47/03/09/748470309.db2.gz WJDFUYFVXQWVNF-OAHLLOKOSA-N 1 2 304.369 1.994 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2cc(F)c[nH]2)CC1 ZINC001004486563 748470314 /nfs/dbraw/zinc/47/03/14/748470314.db2.gz WJDFUYFVXQWVNF-OAHLLOKOSA-N 1 2 304.369 1.994 20 30 DDEDLO CCN(CCNc1ncccc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001106987732 748489159 /nfs/dbraw/zinc/48/91/59/748489159.db2.gz VTCPRAMUEQUJLN-ZIAGYGMSSA-N 1 2 324.388 1.740 20 30 DDEDLO CCN(CCNc1ncccc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001106987732 748489163 /nfs/dbraw/zinc/48/91/63/748489163.db2.gz VTCPRAMUEQUJLN-ZIAGYGMSSA-N 1 2 324.388 1.740 20 30 DDEDLO CC#CC[NH2+]C1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC001201931445 748629732 /nfs/dbraw/zinc/62/97/32/748629732.db2.gz QJRCGDJUNAIWOD-UHFFFAOYSA-N 1 2 323.400 1.689 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnn3ccncc23)C1 ZINC001033152398 748641822 /nfs/dbraw/zinc/64/18/22/748641822.db2.gz LXJGAKOZPIZKOR-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnn3ccncc23)C1 ZINC001033152398 748641826 /nfs/dbraw/zinc/64/18/26/748641826.db2.gz LXJGAKOZPIZKOR-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO Cc1nc(N2CCC(C)(NC(=O)CSCC#N)CC2)cc[nH+]1 ZINC001110573876 748680573 /nfs/dbraw/zinc/68/05/73/748680573.db2.gz INWCSPLOLAWJRB-UHFFFAOYSA-N 1 2 319.434 1.517 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cccc(NC(N)=O)c2)C1 ZINC001032177042 748759387 /nfs/dbraw/zinc/75/93/87/748759387.db2.gz CVKGQBOCSWRYTG-UHFFFAOYSA-N 1 2 322.796 1.591 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)C)nn2C)C1 ZINC001108319280 761893615 /nfs/dbraw/zinc/89/36/15/761893615.db2.gz QYURCTNMDQPWPK-KRWDZBQOSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)C)nn2C)C1 ZINC001108319280 761893627 /nfs/dbraw/zinc/89/36/27/761893627.db2.gz QYURCTNMDQPWPK-KRWDZBQOSA-N 1 2 320.437 1.550 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)CCCCNc1cc[nH+]c(C)n1 ZINC001090117146 748881207 /nfs/dbraw/zinc/88/12/07/748881207.db2.gz JZNIDACSPRUMQT-KBPBESRZSA-N 1 2 318.421 1.910 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)CCCCNc1cc[nH+]c(C)n1 ZINC001090117542 748885141 /nfs/dbraw/zinc/88/51/41/748885141.db2.gz SDVOZRLPYIDFSR-AWEZNQCLSA-N 1 2 318.421 1.912 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2coc(CC3CC3)n2)C1 ZINC001108312696 761906862 /nfs/dbraw/zinc/90/68/62/761906862.db2.gz NWOWWXYBYPDKGH-QGZVFWFLSA-N 1 2 319.405 1.634 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2coc(CC3CC3)n2)C1 ZINC001108312696 761906866 /nfs/dbraw/zinc/90/68/66/761906866.db2.gz NWOWWXYBYPDKGH-QGZVFWFLSA-N 1 2 319.405 1.634 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnn(C)c2CC)C1 ZINC001033227512 748985364 /nfs/dbraw/zinc/98/53/64/748985364.db2.gz FMGXEYJFNZXSNB-GFCCVEGCSA-N 1 2 310.829 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnn(C)c2CC)C1 ZINC001033227512 748985365 /nfs/dbraw/zinc/98/53/65/748985365.db2.gz FMGXEYJFNZXSNB-GFCCVEGCSA-N 1 2 310.829 1.881 20 30 DDEDLO Cc1cc(N2CC[C@@](C)(NC(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001110778492 749003679 /nfs/dbraw/zinc/00/36/79/749003679.db2.gz HKWMIEDYJAFTMU-QGZVFWFLSA-N 1 2 324.388 1.313 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001108100167 749117894 /nfs/dbraw/zinc/11/78/94/749117894.db2.gz GNRYIMIWJOYWMT-DGCLKSJQSA-N 1 2 324.388 1.713 20 30 DDEDLO Cc1n[nH]nc1C(=O)N(C)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033319482 749179733 /nfs/dbraw/zinc/17/97/33/749179733.db2.gz SEBBGNSTYKMDLI-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1n[nH]nc1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033319482 749179736 /nfs/dbraw/zinc/17/97/36/749179736.db2.gz SEBBGNSTYKMDLI-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2csc(=O)[nH]2)C1 ZINC001033346516 749235780 /nfs/dbraw/zinc/23/57/80/749235780.db2.gz PBPLHYDQEQYDKA-VIFPVBQESA-N 1 2 301.799 1.748 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2csc(=O)[nH]2)C1 ZINC001033346516 749235782 /nfs/dbraw/zinc/23/57/82/749235782.db2.gz PBPLHYDQEQYDKA-VIFPVBQESA-N 1 2 301.799 1.748 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2ccc(OC)cn2)C1 ZINC001033364089 749252515 /nfs/dbraw/zinc/25/25/15/749252515.db2.gz AUWZVEXSYFDIOB-AWEZNQCLSA-N 1 2 323.824 1.918 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2ccc(OC)cn2)C1 ZINC001033364089 749252519 /nfs/dbraw/zinc/25/25/19/749252519.db2.gz AUWZVEXSYFDIOB-AWEZNQCLSA-N 1 2 323.824 1.918 20 30 DDEDLO C=CCNC(=O)NCc1c[nH+]cn1Cc1cccc(OC)c1 ZINC001202578578 749442222 /nfs/dbraw/zinc/44/22/22/749442222.db2.gz XFNLEVMSYYHUFW-UHFFFAOYSA-N 1 2 300.362 1.925 20 30 DDEDLO CN(C(=O)c1nc[nH]n1)[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001033516254 749466182 /nfs/dbraw/zinc/46/61/82/749466182.db2.gz STGXMOMKGFFGQS-HNNXBMFYSA-N 1 2 309.373 1.003 20 30 DDEDLO CN(C(=O)c1nc[nH]n1)[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001033516254 749466186 /nfs/dbraw/zinc/46/61/86/749466186.db2.gz STGXMOMKGFFGQS-HNNXBMFYSA-N 1 2 309.373 1.003 20 30 DDEDLO Cn1cncc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(C#N)c1)C2 ZINC001095329668 749526933 /nfs/dbraw/zinc/52/69/33/749526933.db2.gz WYDGABJBYRAEEI-KBMXLJTQSA-N 1 2 324.388 1.155 20 30 DDEDLO Cn1cncc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(C#N)c1)C2 ZINC001095329668 749526938 /nfs/dbraw/zinc/52/69/38/749526938.db2.gz WYDGABJBYRAEEI-KBMXLJTQSA-N 1 2 324.388 1.155 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(CCC)CC3)nn2)C1 ZINC001107208984 749596251 /nfs/dbraw/zinc/59/62/51/749596251.db2.gz AYUMGOOCFHPOPM-UHFFFAOYSA-N 1 2 303.410 1.517 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001033616772 749612089 /nfs/dbraw/zinc/61/20/89/749612089.db2.gz LPXPCBBOADIPRO-KBPBESRZSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001033616772 749612094 /nfs/dbraw/zinc/61/20/94/749612094.db2.gz LPXPCBBOADIPRO-KBPBESRZSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001033629597 749631857 /nfs/dbraw/zinc/63/18/57/749631857.db2.gz PNCNJDATVXAEMJ-OLZOCXBDSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001033629597 749631862 /nfs/dbraw/zinc/63/18/62/749631862.db2.gz PNCNJDATVXAEMJ-OLZOCXBDSA-N 1 2 313.829 1.283 20 30 DDEDLO Cn1ccc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)C#CC2CC2)n1 ZINC001039373688 761975177 /nfs/dbraw/zinc/97/51/77/761975177.db2.gz IXTUXAMTKKLVBS-SJORKVTESA-N 1 2 312.417 1.399 20 30 DDEDLO Cn1ccc(C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)C#CC2CC2)n1 ZINC001039373688 761975182 /nfs/dbraw/zinc/97/51/82/761975182.db2.gz IXTUXAMTKKLVBS-SJORKVTESA-N 1 2 312.417 1.399 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(C)C(=O)c2cnc(COC)s2)C1 ZINC001033706339 749744054 /nfs/dbraw/zinc/74/40/54/749744054.db2.gz DHIJNHZPZIEGTD-LBPRGKRZSA-N 1 2 307.419 1.459 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(C)C(=O)c2cnc(COC)s2)C1 ZINC001033706339 749744056 /nfs/dbraw/zinc/74/40/56/749744056.db2.gz DHIJNHZPZIEGTD-LBPRGKRZSA-N 1 2 307.419 1.459 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)c3ccc(C#N)[nH]3)CC2)cc[nH+]1 ZINC001066738203 749854430 /nfs/dbraw/zinc/85/44/30/749854430.db2.gz MKQCGXFCJWXCFG-CYBMUJFWSA-N 1 2 324.388 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)C(N)=O ZINC001039389210 761988932 /nfs/dbraw/zinc/98/89/32/761988932.db2.gz IGCMDACHGHASAX-NEPJUHHUSA-N 1 2 313.829 1.316 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)C(N)=O ZINC001039389210 761988937 /nfs/dbraw/zinc/98/89/37/761988937.db2.gz IGCMDACHGHASAX-NEPJUHHUSA-N 1 2 313.829 1.316 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn3c1CCC3)C2 ZINC001095501225 749978531 /nfs/dbraw/zinc/97/85/31/749978531.db2.gz IIXYOGXSHNTYFC-ZLDLUXBVSA-N 1 2 320.824 1.917 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn3c1CCC3)C2 ZINC001095501225 749978536 /nfs/dbraw/zinc/97/85/36/749978536.db2.gz IIXYOGXSHNTYFC-ZLDLUXBVSA-N 1 2 320.824 1.917 20 30 DDEDLO C=CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1snnc1C1CC1)C2 ZINC001095570513 750046796 /nfs/dbraw/zinc/04/67/96/750046796.db2.gz LTHRLZRGRKHPJS-WOPDTQHZSA-N 1 2 304.419 1.937 20 30 DDEDLO C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1snnc1C1CC1)C2 ZINC001095570513 750046799 /nfs/dbraw/zinc/04/67/99/750046799.db2.gz LTHRLZRGRKHPJS-WOPDTQHZSA-N 1 2 304.419 1.937 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111539745 750166257 /nfs/dbraw/zinc/16/62/57/750166257.db2.gz RCHHZLSHJPWJNT-QWHCGFSZSA-N 1 2 306.410 1.476 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2cnc(COC)s2)C1 ZINC001034053851 750297362 /nfs/dbraw/zinc/29/73/62/750297362.db2.gz CIRVARGMCSMEPC-GFCCVEGCSA-N 1 2 307.419 1.459 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cnc(COC)s2)C1 ZINC001034053851 750297366 /nfs/dbraw/zinc/29/73/66/750297366.db2.gz CIRVARGMCSMEPC-GFCCVEGCSA-N 1 2 307.419 1.459 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC(C)C)C2 ZINC001111053106 750335570 /nfs/dbraw/zinc/33/55/70/750335570.db2.gz PZUWMKHIBQYSJV-OAGGEKHMSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC(C)C)C2 ZINC001111053106 750335574 /nfs/dbraw/zinc/33/55/74/750335574.db2.gz PZUWMKHIBQYSJV-OAGGEKHMSA-N 1 2 319.449 1.284 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cccs2)C1 ZINC001107694859 750378351 /nfs/dbraw/zinc/37/83/51/750378351.db2.gz ODGLWBYKAQGDDQ-MRXNPFEDSA-N 1 2 306.431 1.521 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cccs2)C1 ZINC001107694859 750378360 /nfs/dbraw/zinc/37/83/60/750378360.db2.gz ODGLWBYKAQGDDQ-MRXNPFEDSA-N 1 2 306.431 1.521 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccsc2)C1 ZINC001107712932 750422086 /nfs/dbraw/zinc/42/20/86/750422086.db2.gz AVQGXJWHCASEAK-INIZCTEOSA-N 1 2 306.431 1.521 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccsc2)C1 ZINC001107712932 750422087 /nfs/dbraw/zinc/42/20/87/750422087.db2.gz AVQGXJWHCASEAK-INIZCTEOSA-N 1 2 306.431 1.521 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(C)o2)C1 ZINC001107880833 750534133 /nfs/dbraw/zinc/53/41/33/750534133.db2.gz UOBILWXUYZUZOH-KRWDZBQOSA-N 1 2 304.390 1.361 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(C)o2)C1 ZINC001107880833 750534139 /nfs/dbraw/zinc/53/41/39/750534139.db2.gz UOBILWXUYZUZOH-KRWDZBQOSA-N 1 2 304.390 1.361 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1c(C)nc2ccccc21 ZINC001032397088 750566198 /nfs/dbraw/zinc/56/61/98/750566198.db2.gz DBIVSYRNAYRXOC-HOTGVXAUSA-N 1 2 322.412 1.653 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1c(C)nc2ccccc21 ZINC001032397088 750566202 /nfs/dbraw/zinc/56/62/02/750566202.db2.gz DBIVSYRNAYRXOC-HOTGVXAUSA-N 1 2 322.412 1.653 20 30 DDEDLO C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001032404679 750595904 /nfs/dbraw/zinc/59/59/04/750595904.db2.gz SQKJDMZNTITKHR-IHRRRGAJSA-N 1 2 300.406 1.691 20 30 DDEDLO C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001032404679 750595907 /nfs/dbraw/zinc/59/59/07/750595907.db2.gz SQKJDMZNTITKHR-IHRRRGAJSA-N 1 2 300.406 1.691 20 30 DDEDLO CN(C)c1nc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)ns1 ZINC000089740247 750728460 /nfs/dbraw/zinc/72/84/60/750728460.db2.gz GTQOSQBATQFMJJ-UHFFFAOYSA-N 1 2 319.390 1.376 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108146148 750752232 /nfs/dbraw/zinc/75/22/32/750752232.db2.gz NGYBZEQIXHKSRH-LLVKDONJSA-N 1 2 316.409 1.882 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(OC)cn2)C1 ZINC001108160837 750891166 /nfs/dbraw/zinc/89/11/66/750891166.db2.gz UZOADYHORQAEEB-KRWDZBQOSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(OC)cn2)C1 ZINC001108160837 750891171 /nfs/dbraw/zinc/89/11/71/750891171.db2.gz UZOADYHORQAEEB-KRWDZBQOSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001108163819 750920424 /nfs/dbraw/zinc/92/04/24/750920424.db2.gz PPQAYQFSSYBILE-CRAIPNDOSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001108163819 750920431 /nfs/dbraw/zinc/92/04/31/750920431.db2.gz PPQAYQFSSYBILE-CRAIPNDOSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CC(C)C)C1=O ZINC001017307521 750931369 /nfs/dbraw/zinc/93/13/69/750931369.db2.gz BRGLMBLYDNEHHH-OWCLPIDISA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CC(C)C)C1=O ZINC001017307521 750931375 /nfs/dbraw/zinc/93/13/75/750931375.db2.gz BRGLMBLYDNEHHH-OWCLPIDISA-N 1 2 319.449 1.495 20 30 DDEDLO Cc1nc(N2C[C@@H]3CC[C@@H](NC(=O)C#CC4CC4)C[C@@H]3C2)cc[nH+]1 ZINC001114656478 750938717 /nfs/dbraw/zinc/93/87/17/750938717.db2.gz HGKPFTCXMRWZGN-GVDBMIGSSA-N 1 2 324.428 1.920 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cncnc2C(C)C)C1 ZINC001108402846 762084704 /nfs/dbraw/zinc/08/47/04/762084704.db2.gz OJTDUEKAWUSAOA-QGZVFWFLSA-N 1 2 318.421 1.607 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cncnc2C(C)C)C1 ZINC001108402846 762084710 /nfs/dbraw/zinc/08/47/10/762084710.db2.gz OJTDUEKAWUSAOA-QGZVFWFLSA-N 1 2 318.421 1.607 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114679449 750981236 /nfs/dbraw/zinc/98/12/36/750981236.db2.gz YZRCDFMMTUBVNW-FOLVSLTJSA-N 1 2 314.433 1.934 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114679449 750981238 /nfs/dbraw/zinc/98/12/38/750981238.db2.gz YZRCDFMMTUBVNW-FOLVSLTJSA-N 1 2 314.433 1.934 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001114710324 751011604 /nfs/dbraw/zinc/01/16/04/751011604.db2.gz BJWZGHLPIYZLHF-UYHMYPTGSA-N 1 2 316.405 1.679 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001114710324 751011611 /nfs/dbraw/zinc/01/16/11/751011611.db2.gz BJWZGHLPIYZLHF-UYHMYPTGSA-N 1 2 316.405 1.679 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1c(C)cc2ccccc21 ZINC001032506580 751112452 /nfs/dbraw/zinc/11/24/52/751112452.db2.gz IABOUUJWBBIJRE-IRXDYDNUSA-N 1 2 307.397 1.868 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1c(C)cc2ccccc21 ZINC001032506580 751112455 /nfs/dbraw/zinc/11/24/55/751112455.db2.gz IABOUUJWBBIJRE-IRXDYDNUSA-N 1 2 307.397 1.868 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)CCOC2 ZINC001032515248 751158529 /nfs/dbraw/zinc/15/85/29/751158529.db2.gz OOAJDERXKUFSMM-ROUUACIJSA-N 1 2 310.397 1.681 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)CCOC2 ZINC001032515248 751158532 /nfs/dbraw/zinc/15/85/32/751158532.db2.gz OOAJDERXKUFSMM-ROUUACIJSA-N 1 2 310.397 1.681 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2C(C)C)C1 ZINC001107971746 751264767 /nfs/dbraw/zinc/26/47/67/751264767.db2.gz XPCBULIPYKVHAU-INIZCTEOSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2C(C)C)C1 ZINC001107971746 751264771 /nfs/dbraw/zinc/26/47/71/751264771.db2.gz XPCBULIPYKVHAU-INIZCTEOSA-N 1 2 306.410 1.471 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cn2)CC[C@@H]1NCC#N ZINC001036253245 752306954 /nfs/dbraw/zinc/30/69/54/752306954.db2.gz JTAMXIVQLKWVFK-HIFRSBDPSA-N 1 2 324.388 1.231 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001008152739 752581072 /nfs/dbraw/zinc/58/10/72/752581072.db2.gz NKSHIRDJPZIMGO-MRXNPFEDSA-N 1 2 323.400 1.359 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001008152739 752581079 /nfs/dbraw/zinc/58/10/79/752581079.db2.gz NKSHIRDJPZIMGO-MRXNPFEDSA-N 1 2 323.400 1.359 20 30 DDEDLO N#Cc1ccccc1CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1c[nH+]c[nH]1 ZINC001032652081 752605501 /nfs/dbraw/zinc/60/55/01/752605501.db2.gz KBZIJYHTFJMZEB-IRXDYDNUSA-N 1 2 321.384 1.309 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)Cc2cnoc2)C1 ZINC001008275335 752647962 /nfs/dbraw/zinc/64/79/62/752647962.db2.gz JWZVETVAZHHHOD-KRWDZBQOSA-N 1 2 324.384 1.870 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)Cc2cnoc2)C1 ZINC001008275335 752647965 /nfs/dbraw/zinc/64/79/65/752647965.db2.gz JWZVETVAZHHHOD-KRWDZBQOSA-N 1 2 324.384 1.870 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccn(CC(C)C)c1=O ZINC001032668211 752678512 /nfs/dbraw/zinc/67/85/12/752678512.db2.gz XRAMJMYNBXBVPW-GJZGRUSLSA-N 1 2 313.401 1.036 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccn(CC(C)C)c1=O ZINC001032668211 752678515 /nfs/dbraw/zinc/67/85/15/752678515.db2.gz XRAMJMYNBXBVPW-GJZGRUSLSA-N 1 2 313.401 1.036 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001009078766 753062476 /nfs/dbraw/zinc/06/24/76/753062476.db2.gz JONGSEXEASFYQP-HNNXBMFYSA-N 1 2 311.389 1.852 20 30 DDEDLO C=CC[N@H+]1CCC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001009078766 753062481 /nfs/dbraw/zinc/06/24/81/753062481.db2.gz JONGSEXEASFYQP-HNNXBMFYSA-N 1 2 311.389 1.852 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]([NH2+]Cc3csnn3)C2)C1 ZINC001000127084 753393610 /nfs/dbraw/zinc/39/36/10/753393610.db2.gz BAUNYZOCDTZKEN-GFCCVEGCSA-N 1 2 306.435 1.975 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccccn2)cn1 ZINC001032738045 753408638 /nfs/dbraw/zinc/40/86/38/753408638.db2.gz OQDYGRYBAXBQIS-ROUUACIJSA-N 1 2 318.380 1.557 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccccn2)cn1 ZINC001032738045 753408640 /nfs/dbraw/zinc/40/86/40/753408640.db2.gz OQDYGRYBAXBQIS-ROUUACIJSA-N 1 2 318.380 1.557 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001077926942 753433011 /nfs/dbraw/zinc/43/30/11/753433011.db2.gz HWLLKRQOPUANPH-QWHCGFSZSA-N 1 2 306.410 1.566 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001078017193 753643167 /nfs/dbraw/zinc/64/31/67/753643167.db2.gz WPYCJGXSAJLUAW-HUUCEWRRSA-N 1 2 312.369 1.458 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001078017193 753643169 /nfs/dbraw/zinc/64/31/69/753643169.db2.gz WPYCJGXSAJLUAW-HUUCEWRRSA-N 1 2 312.369 1.458 20 30 DDEDLO C#CCCCCC(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001062827440 753746268 /nfs/dbraw/zinc/74/62/68/753746268.db2.gz VZRKRESFHWGBBW-UHFFFAOYSA-N 1 2 316.405 1.232 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001060955923 753786024 /nfs/dbraw/zinc/78/60/24/753786024.db2.gz YQRRLGSEPVFYHP-CQSZACIVSA-N 1 2 324.388 1.500 20 30 DDEDLO C#CCCCC(=O)NC1(CNC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001063396970 754126745 /nfs/dbraw/zinc/12/67/45/754126745.db2.gz UVQCVXQXKVQDJF-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO C#CCCCC(=O)NC1(CNC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001063396970 754126749 /nfs/dbraw/zinc/12/67/49/754126749.db2.gz UVQCVXQXKVQDJF-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO CCn1nccc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010741248 754137430 /nfs/dbraw/zinc/13/74/30/754137430.db2.gz OHSFHCXWSJDSAK-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1nccc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010741248 754137435 /nfs/dbraw/zinc/13/74/35/754137435.db2.gz OHSFHCXWSJDSAK-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](OC)c1ccc(F)cc1 ZINC001032810587 754192174 /nfs/dbraw/zinc/19/21/74/754192174.db2.gz HFOZVEJASSZCLY-HRCADAONSA-N 1 2 302.349 1.432 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](OC)c1ccc(F)cc1 ZINC001032810587 754192177 /nfs/dbraw/zinc/19/21/77/754192177.db2.gz HFOZVEJASSZCLY-HRCADAONSA-N 1 2 302.349 1.432 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H]1CNc1ncccc1C#N ZINC001063505822 754198084 /nfs/dbraw/zinc/19/80/84/754198084.db2.gz FDDYNAUROQXPCE-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1cc(NC[C@H]2CCCN2C(=O)Cc2c[nH+]c[nH]2)c(C#N)cn1 ZINC001063566824 754237858 /nfs/dbraw/zinc/23/78/58/754237858.db2.gz NGSFZLZFUIEADY-OAHLLOKOSA-N 1 2 324.388 1.052 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1C(=O)Nc2ccccc21 ZINC001032813722 754264407 /nfs/dbraw/zinc/26/44/07/754264407.db2.gz HQRFTSDSACGMGV-YDHLFZDLSA-N 1 2 309.369 1.031 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1C(=O)Nc2ccccc21 ZINC001032813722 754264409 /nfs/dbraw/zinc/26/44/09/754264409.db2.gz HQRFTSDSACGMGV-YDHLFZDLSA-N 1 2 309.369 1.031 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001063920284 754407681 /nfs/dbraw/zinc/40/76/81/754407681.db2.gz SYPICRZLNILOFT-UHFFFAOYSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CNC(=O)C2=NC(=O)N(C)C2)CC1 ZINC001001792735 754511684 /nfs/dbraw/zinc/51/16/84/754511684.db2.gz KJAJFWFFNHIWMX-UHFFFAOYSA-N 1 2 312.801 1.320 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCC[C@H]2NC(=O)Cc2c[nH]c[nH+]2)cn1 ZINC001064141341 754538315 /nfs/dbraw/zinc/53/83/15/754538315.db2.gz SATNALOAHSZLSL-BLLLJJGKSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064701872 754780319 /nfs/dbraw/zinc/78/03/19/754780319.db2.gz NWUYAZGHPLUWNA-UHFFFAOYSA-N 1 2 304.394 1.464 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064944842 754972042 /nfs/dbraw/zinc/97/20/42/754972042.db2.gz DJVUSRFJFMQARG-ZDUSSCGKSA-N 1 2 310.361 1.322 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(O[C@H](C)CC)cc2)[C@@H](O)C1 ZINC001083927026 755019628 /nfs/dbraw/zinc/01/96/28/755019628.db2.gz WBIDZFHHLOSCTD-XYPHTWIQSA-N 1 2 316.401 1.272 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(O[C@H](C)CC)cc2)[C@@H](O)C1 ZINC001083927026 755019633 /nfs/dbraw/zinc/01/96/33/755019633.db2.gz WBIDZFHHLOSCTD-XYPHTWIQSA-N 1 2 316.401 1.272 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)c3cc(C#N)c[nH]3)C[C@H]2C)cc[nH+]1 ZINC001067074694 755665457 /nfs/dbraw/zinc/66/54/57/755665457.db2.gz ZUNVRLCBMROSPZ-YGRLFVJLSA-N 1 2 310.361 1.557 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080467534 755929762 /nfs/dbraw/zinc/92/97/62/755929762.db2.gz WWUSBWXUTIRKBY-KRWDZBQOSA-N 1 2 318.421 1.614 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]2C)cn1 ZINC001080810229 756110042 /nfs/dbraw/zinc/11/00/42/756110042.db2.gz ADGJLGJKIDYWIQ-SJKOYZFVSA-N 1 2 324.384 1.610 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3cc(C)on3)C[C@H]2C)cn1 ZINC001080810229 756110048 /nfs/dbraw/zinc/11/00/48/756110048.db2.gz ADGJLGJKIDYWIQ-SJKOYZFVSA-N 1 2 324.384 1.610 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001015242634 756185691 /nfs/dbraw/zinc/18/56/91/756185691.db2.gz KDZBWBRYLBXYCA-QLFBSQMISA-N 1 2 312.841 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001015242634 756185692 /nfs/dbraw/zinc/18/56/92/756185692.db2.gz KDZBWBRYLBXYCA-QLFBSQMISA-N 1 2 312.841 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnc(N(C)C)c2)C1 ZINC001015785434 756548464 /nfs/dbraw/zinc/54/84/64/756548464.db2.gz CLZYNXUZAIDLDR-ZDUSSCGKSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnc(N(C)C)c2)C1 ZINC001015785434 756548470 /nfs/dbraw/zinc/54/84/70/756548470.db2.gz CLZYNXUZAIDLDR-ZDUSSCGKSA-N 1 2 308.813 1.704 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001081945525 756558036 /nfs/dbraw/zinc/55/80/36/756558036.db2.gz NHRFLXPFAOMEPG-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2sc(CC3CC3)nc2C)C1 ZINC001015904325 756637080 /nfs/dbraw/zinc/63/70/80/756637080.db2.gz HAEGEHCWNHXSMK-ZDUSSCGKSA-N 1 2 303.431 1.841 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2sc(CC3CC3)nc2C)C1 ZINC001015904325 756637085 /nfs/dbraw/zinc/63/70/85/756637085.db2.gz HAEGEHCWNHXSMK-ZDUSSCGKSA-N 1 2 303.431 1.841 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc([C@@H](C)CC)no2)[C@H](OC)C1 ZINC001082211658 756686468 /nfs/dbraw/zinc/68/64/68/756686468.db2.gz BIXKUALFNGULRN-NJZAAPMLSA-N 1 2 307.394 1.803 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc([C@@H](C)CC)no2)[C@H](OC)C1 ZINC001082211658 756686472 /nfs/dbraw/zinc/68/64/72/756686472.db2.gz BIXKUALFNGULRN-NJZAAPMLSA-N 1 2 307.394 1.803 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnn(C)c1C)C2 ZINC001097196217 756966520 /nfs/dbraw/zinc/96/65/20/756966520.db2.gz UXRDOJPMJJXZHY-KFWWJZLASA-N 1 2 322.840 1.745 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnn(C)c1C)C2 ZINC001097196217 756966527 /nfs/dbraw/zinc/96/65/27/756966527.db2.gz UXRDOJPMJJXZHY-KFWWJZLASA-N 1 2 322.840 1.745 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2OCCN(C(=O)c3cnc(C)s3)[C@H]2C1 ZINC001083019997 757059491 /nfs/dbraw/zinc/05/94/91/757059491.db2.gz GMYAYXMRYMRRMK-QWHCGFSZSA-N 1 2 307.419 1.553 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2OCCN(C(=O)c3cnc(C)s3)[C@H]2C1 ZINC001083019997 757059493 /nfs/dbraw/zinc/05/94/93/757059493.db2.gz GMYAYXMRYMRRMK-QWHCGFSZSA-N 1 2 307.419 1.553 20 30 DDEDLO Cc1nc(N2CCC[C@H](CNC(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001097299795 757064333 /nfs/dbraw/zinc/06/43/33/757064333.db2.gz BWPVUCNGUFVXPW-OAHLLOKOSA-N 1 2 300.406 1.777 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@@H]3CCCC3(F)F)[C@H]2C1 ZINC001083174557 757177609 /nfs/dbraw/zinc/17/76/09/757177609.db2.gz NVBGPHIAKTWLAS-MELADBBJSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@@H]3CCCC3(F)F)[C@H]2C1 ZINC001083174557 757177613 /nfs/dbraw/zinc/17/76/13/757177613.db2.gz NVBGPHIAKTWLAS-MELADBBJSA-N 1 2 312.360 1.357 20 30 DDEDLO N#Cc1cnccc1N1CCCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001097438618 757184675 /nfs/dbraw/zinc/18/46/75/757184675.db2.gz YKBKVPNTPODSOV-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099794673 757249070 /nfs/dbraw/zinc/24/90/70/757249070.db2.gz PZRQYGIQVCJQJD-GJZGRUSLSA-N 1 2 321.446 1.388 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099794673 757249073 /nfs/dbraw/zinc/24/90/73/757249073.db2.gz PZRQYGIQVCJQJD-GJZGRUSLSA-N 1 2 321.446 1.388 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(s2)CC[C@@H](C)C3)[C@@H](O)C1 ZINC001084046042 757260057 /nfs/dbraw/zinc/26/00/57/757260057.db2.gz PDRKUCMOWRBOOJ-BNOWGMLFSA-N 1 2 318.442 1.281 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(s2)CC[C@@H](C)C3)[C@@H](O)C1 ZINC001084046042 757260065 /nfs/dbraw/zinc/26/00/65/757260065.db2.gz PDRKUCMOWRBOOJ-BNOWGMLFSA-N 1 2 318.442 1.281 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC001017071530 757614795 /nfs/dbraw/zinc/61/47/95/757614795.db2.gz NRQQHMOSSHTMFV-WCQYABFASA-N 1 2 321.450 1.677 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC001017071530 757614802 /nfs/dbraw/zinc/61/48/02/757614802.db2.gz NRQQHMOSSHTMFV-WCQYABFASA-N 1 2 321.450 1.677 20 30 DDEDLO CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](Nc2nc(C)ccc2C#N)C1 ZINC001052714208 757677746 /nfs/dbraw/zinc/67/77/46/757677746.db2.gz JXCPPEPISZEETQ-HUUCEWRRSA-N 1 2 324.388 1.422 20 30 DDEDLO CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](Nc2ccc(C#N)nc2)C1 ZINC001052714115 757678142 /nfs/dbraw/zinc/67/81/42/757678142.db2.gz HLJBJFMMSIAWKK-HUUCEWRRSA-N 1 2 310.361 1.113 20 30 DDEDLO C#CC[N@H+]1CCC[C@]2(CCN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001040526331 762578093 /nfs/dbraw/zinc/57/80/93/762578093.db2.gz ZXUNTTDEXKDTGI-SFHVURJKSA-N 1 2 323.400 1.519 20 30 DDEDLO C#CC[N@@H+]1CCC[C@]2(CCN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001040526331 762578099 /nfs/dbraw/zinc/57/80/99/762578099.db2.gz ZXUNTTDEXKDTGI-SFHVURJKSA-N 1 2 323.400 1.519 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C(C)C)C1=O ZINC001017361287 757863434 /nfs/dbraw/zinc/86/34/34/757863434.db2.gz BLAWVEIVSAWSKH-QLFBSQMISA-N 1 2 305.422 1.105 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C(C)C)C1=O ZINC001017361287 757863444 /nfs/dbraw/zinc/86/34/44/757863444.db2.gz BLAWVEIVSAWSKH-QLFBSQMISA-N 1 2 305.422 1.105 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)(C)C)[C@H](O)C2)on1 ZINC001099826366 757897506 /nfs/dbraw/zinc/89/75/06/757897506.db2.gz KHEXRBZKCFIZKV-HUUCEWRRSA-N 1 2 319.405 1.084 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)(C)C)[C@H](O)C2)on1 ZINC001099826366 757897514 /nfs/dbraw/zinc/89/75/14/757897514.db2.gz KHEXRBZKCFIZKV-HUUCEWRRSA-N 1 2 319.405 1.084 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3scnc3Cl)[C@@H]2C1 ZINC001084835169 757963598 /nfs/dbraw/zinc/96/35/98/757963598.db2.gz MIHVROWQAJEJEB-GHMZBOCLSA-N 1 2 309.822 1.966 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3scnc3Cl)[C@@H]2C1 ZINC001084835169 757963601 /nfs/dbraw/zinc/96/36/01/757963601.db2.gz MIHVROWQAJEJEB-GHMZBOCLSA-N 1 2 309.822 1.966 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCn3cc(C)cn3)[C@@H]2C1 ZINC001084851954 757976894 /nfs/dbraw/zinc/97/68/94/757976894.db2.gz YTOKQPFNCKXWML-HUUCEWRRSA-N 1 2 322.840 1.867 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCn3cc(C)cn3)[C@@H]2C1 ZINC001084851954 757976898 /nfs/dbraw/zinc/97/68/98/757976898.db2.gz YTOKQPFNCKXWML-HUUCEWRRSA-N 1 2 322.840 1.867 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)nc1OC ZINC001017602620 758070355 /nfs/dbraw/zinc/07/03/55/758070355.db2.gz YBNDFKWVBSAJOB-OKILXGFUSA-N 1 2 314.389 1.106 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)nc1OC ZINC001017602620 758070366 /nfs/dbraw/zinc/07/03/66/758070366.db2.gz YBNDFKWVBSAJOB-OKILXGFUSA-N 1 2 314.389 1.106 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@H]1C ZINC001053031022 758093982 /nfs/dbraw/zinc/09/39/82/758093982.db2.gz DBECHDQHKURMCX-ZIAGYGMSSA-N 1 2 318.421 1.140 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncc(C)cc1OC ZINC001017625678 758095297 /nfs/dbraw/zinc/09/52/97/758095297.db2.gz NZQNIJQFJSNDKD-GASCZTMLSA-N 1 2 313.401 1.711 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncc(C)cc1OC ZINC001017625678 758095305 /nfs/dbraw/zinc/09/53/05/758095305.db2.gz NZQNIJQFJSNDKD-GASCZTMLSA-N 1 2 313.401 1.711 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CCC3CC3)CC2=O)C1 ZINC001108527454 762607207 /nfs/dbraw/zinc/60/72/07/762607207.db2.gz JKUJNRSWNKHGGU-AWEZNQCLSA-N 1 2 305.422 1.154 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)c1cnn(C)c1 ZINC001017760536 758213026 /nfs/dbraw/zinc/21/30/26/758213026.db2.gz GQRNOOXPXVTNKS-CWRNSKLLSA-N 1 2 300.406 1.222 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)c1cnn(C)c1 ZINC001017760536 758213033 /nfs/dbraw/zinc/21/30/33/758213033.db2.gz GQRNOOXPXVTNKS-CWRNSKLLSA-N 1 2 300.406 1.222 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@H]1CCCCO1)CCO2 ZINC001053214962 758271468 /nfs/dbraw/zinc/27/14/68/758271468.db2.gz BLVQHJXLLQGXLD-OAHLLOKOSA-N 1 2 308.422 1.435 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccnn1CCC)CCO2 ZINC001053275192 758328798 /nfs/dbraw/zinc/32/87/98/758328798.db2.gz NJADUODEIZGYRT-UHFFFAOYSA-N 1 2 318.421 1.396 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C)c(C)cn1)CCO2 ZINC001053353202 758397647 /nfs/dbraw/zinc/39/76/47/758397647.db2.gz ISRPCLJHCMOLDP-UHFFFAOYSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@]1(C)CCO[C@H]1C)CCO2 ZINC001053356793 758399225 /nfs/dbraw/zinc/39/92/25/758399225.db2.gz PEVFQEXSQFUVGI-GOEBONIOSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cccnc1OC)CCO2 ZINC001053406666 758436820 /nfs/dbraw/zinc/43/68/20/758436820.db2.gz JZEWGWNLYHTQDG-UHFFFAOYSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCOC[C@@H]1C)CCO2 ZINC001053415777 758445696 /nfs/dbraw/zinc/44/56/96/758445696.db2.gz DCTXNTAOEZTIQW-GJZGRUSLSA-N 1 2 308.422 1.148 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(C)c1OC ZINC001018015605 758476834 /nfs/dbraw/zinc/47/68/34/758476834.db2.gz WFPGDWKATQRJLU-OKILXGFUSA-N 1 2 316.405 1.049 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(C)c1OC ZINC001018015605 758476838 /nfs/dbraw/zinc/47/68/38/758476838.db2.gz WFPGDWKATQRJLU-OKILXGFUSA-N 1 2 316.405 1.049 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001053503548 758509181 /nfs/dbraw/zinc/50/91/81/758509181.db2.gz MRYSUIJCBJYYOY-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nc2cc[nH]cc-2n1 ZINC001018156067 758585540 /nfs/dbraw/zinc/58/55/40/758585540.db2.gz JEZIDBNLCQBOAZ-OKILXGFUSA-N 1 2 323.400 1.199 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nc2cc[nH]cc-2n1 ZINC001018156067 758585543 /nfs/dbraw/zinc/58/55/43/758585543.db2.gz JEZIDBNLCQBOAZ-OKILXGFUSA-N 1 2 323.400 1.199 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1scnc1C)O2 ZINC001053577328 758588460 /nfs/dbraw/zinc/58/84/60/758588460.db2.gz HCNKKDPWLNNCRP-CYBMUJFWSA-N 1 2 321.446 1.991 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ocnc1C)O2 ZINC001053588199 758603909 /nfs/dbraw/zinc/60/39/09/758603909.db2.gz QVONUUZPKCOGHT-CYBMUJFWSA-N 1 2 305.378 1.522 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1coc(C)n1)O2 ZINC001053593703 758612853 /nfs/dbraw/zinc/61/28/53/758612853.db2.gz RMHPPUISSFOLEC-ZDUSSCGKSA-N 1 2 305.378 1.522 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@](C)(OC)c1ccccc1 ZINC001018186319 758613130 /nfs/dbraw/zinc/61/31/30/758613130.db2.gz XNCNBQBOLGIZHZ-ZIFCJYIRSA-N 1 2 312.413 1.857 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@](C)(OC)c1ccccc1 ZINC001018186319 758613131 /nfs/dbraw/zinc/61/31/31/758613131.db2.gz XNCNBQBOLGIZHZ-ZIFCJYIRSA-N 1 2 312.413 1.857 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CCCOCC1)O2 ZINC001053595872 758616520 /nfs/dbraw/zinc/61/65/20/758616520.db2.gz GCYYAEDSPNEPEV-GJZGRUSLSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(C)ccc1F ZINC001018199079 758627080 /nfs/dbraw/zinc/62/70/80/758627080.db2.gz KMPOFNOOTZFBNU-IYBDPMFKSA-N 1 2 300.377 1.985 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(C)ccc1F ZINC001018199079 758627087 /nfs/dbraw/zinc/62/70/87/758627087.db2.gz KMPOFNOOTZFBNU-IYBDPMFKSA-N 1 2 300.377 1.985 20 30 DDEDLO C[C@H](CNc1cnc(C#N)cn1)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001108196692 758659959 /nfs/dbraw/zinc/65/99/59/758659959.db2.gz SEOWKGILIGHPPG-SNVBAGLBSA-N 1 2 321.344 1.455 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccn(C)c1C)O2 ZINC001053658016 758671822 /nfs/dbraw/zinc/67/18/22/758671822.db2.gz OPVSQPCGGQKEJG-OAHLLOKOSA-N 1 2 317.433 1.873 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-c2ccoc2)n[nH]1 ZINC001018268394 758681690 /nfs/dbraw/zinc/68/16/90/758681690.db2.gz XDEPSRATXUEVJS-OKILXGFUSA-N 1 2 310.357 1.592 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-c2ccoc2)n[nH]1 ZINC001018268394 758681697 /nfs/dbraw/zinc/68/16/97/758681697.db2.gz XDEPSRATXUEVJS-OKILXGFUSA-N 1 2 310.357 1.592 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1F)O2 ZINC001053683821 758693520 /nfs/dbraw/zinc/69/35/20/758693520.db2.gz IEQCJDUXWCXAMZ-LBPRGKRZSA-N 1 2 305.353 1.370 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065705041 758705665 /nfs/dbraw/zinc/70/56/65/758705665.db2.gz VARABKCTIVIUFB-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)C(C)C)CC2)C1 ZINC001065692187 758710626 /nfs/dbraw/zinc/71/06/26/758710626.db2.gz PWFJFWJFPXQHQC-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CC[N@H+]1CC=C(CNC(=O)c2nnc3ccccc3c2O)CC1 ZINC001001216323 762660414 /nfs/dbraw/zinc/66/04/14/762660414.db2.gz OAAXVRYVNKSYSG-UHFFFAOYSA-N 1 2 322.368 1.331 20 30 DDEDLO C#CC[N@@H+]1CC=C(CNC(=O)c2nnc3ccccc3c2O)CC1 ZINC001001216323 762660417 /nfs/dbraw/zinc/66/04/17/762660417.db2.gz OAAXVRYVNKSYSG-UHFFFAOYSA-N 1 2 322.368 1.331 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(=O)C(C)(C)C)C2)CC1 ZINC001018375416 758767960 /nfs/dbraw/zinc/76/79/60/758767960.db2.gz KPETWYHGHKJYSI-OAHLLOKOSA-N 1 2 321.465 1.601 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1oc(C)cc1C)CO2 ZINC001053763911 758781608 /nfs/dbraw/zinc/78/16/08/758781608.db2.gz BUENXVYXKZTUPI-AWEZNQCLSA-N 1 2 302.374 1.493 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)n1cccc1)CO2 ZINC001053777421 758799744 /nfs/dbraw/zinc/79/97/44/758799744.db2.gz KYTLLNHXTXLJMW-HUUCEWRRSA-N 1 2 303.406 1.585 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)sn1)CO2 ZINC001053790575 758818154 /nfs/dbraw/zinc/81/81/54/758818154.db2.gz ZJBXSADXCCTWDH-LBPRGKRZSA-N 1 2 307.419 1.601 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)coc1C)CO2 ZINC001053792799 758819633 /nfs/dbraw/zinc/81/96/33/758819633.db2.gz AMVQNKQHMGGYLY-AWEZNQCLSA-N 1 2 302.374 1.493 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1scnc1CC)CO2 ZINC001053823369 758853162 /nfs/dbraw/zinc/85/31/62/758853162.db2.gz KVSMGBMHHXGIKS-LBPRGKRZSA-N 1 2 321.446 1.855 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(CC)o1)CO2 ZINC001053849436 758880966 /nfs/dbraw/zinc/88/09/66/758880966.db2.gz KFTXABOWSSODQA-CYBMUJFWSA-N 1 2 302.374 1.438 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1CNc1ncccc1C#N ZINC001065909649 758902158 /nfs/dbraw/zinc/90/21/58/758902158.db2.gz CSJGUCNEOQCWIB-JSGCOSHPSA-N 1 2 324.388 1.425 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)c(C)cn1)CO2 ZINC001053877305 758912998 /nfs/dbraw/zinc/91/29/98/758912998.db2.gz WJKCCQSEKHGYNL-OAHLLOKOSA-N 1 2 313.401 1.295 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CCC(C)(C)C1)CO2 ZINC001053921013 758956517 /nfs/dbraw/zinc/95/65/17/758956517.db2.gz WXTWRKHPJJOEQV-GJZGRUSLSA-N 1 2 304.434 1.796 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc3[nH]ccc31)CO2 ZINC001053935534 758971529 /nfs/dbraw/zinc/97/15/29/758971529.db2.gz QPRFCIOBFJVREC-CQSZACIVSA-N 1 2 323.396 1.764 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)c1cccnc1)CO2 ZINC001053985227 759026862 /nfs/dbraw/zinc/02/68/62/759026862.db2.gz LUPIGCRYNAFSSB-HOCLYGCPSA-N 1 2 313.401 1.168 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1CCCO1 ZINC001054029043 759081359 /nfs/dbraw/zinc/08/13/59/759081359.db2.gz DJZPYUJUBXHCCU-MSOLQXFVSA-N 1 2 312.413 1.732 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1CCCO1 ZINC001054029043 759081367 /nfs/dbraw/zinc/08/13/67/759081367.db2.gz DJZPYUJUBXHCCU-MSOLQXFVSA-N 1 2 312.413 1.732 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001018732351 759199762 /nfs/dbraw/zinc/19/97/62/759199762.db2.gz SMMOZIMFKFQGAR-SKDRFNHKSA-N 1 2 314.349 1.143 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cn(C(C)C)nn3)cc2C1 ZINC001054288244 759394053 /nfs/dbraw/zinc/39/40/53/759394053.db2.gz PPGMIKWCGNBLMO-UHFFFAOYSA-N 1 2 323.400 1.738 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cn(C(C)C)nn3)cc2C1 ZINC001054288244 759394062 /nfs/dbraw/zinc/39/40/62/759394062.db2.gz PPGMIKWCGNBLMO-UHFFFAOYSA-N 1 2 323.400 1.738 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)c2ccoc2)C1=O ZINC001085486680 759499681 /nfs/dbraw/zinc/49/96/81/759499681.db2.gz HBJYYPHVECIKSU-LSDHHAIUSA-N 1 2 317.389 1.213 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)c2ccoc2)C1=O ZINC001085486680 759499685 /nfs/dbraw/zinc/49/96/85/759499685.db2.gz HBJYYPHVECIKSU-LSDHHAIUSA-N 1 2 317.389 1.213 20 30 DDEDLO C#CC[N@H+]1CC[C@@](C)(NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001046740562 767873502 /nfs/dbraw/zinc/87/35/02/767873502.db2.gz VSCLTNLQNYISLC-SJKOYZFVSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001046740562 767873511 /nfs/dbraw/zinc/87/35/11/767873511.db2.gz VSCLTNLQNYISLC-SJKOYZFVSA-N 1 2 300.406 1.362 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534484 759646347 /nfs/dbraw/zinc/64/63/47/759646347.db2.gz LWDHLIKMJJSIAU-OCCSQVGLSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534484 759646351 /nfs/dbraw/zinc/64/63/51/759646351.db2.gz LWDHLIKMJJSIAU-OCCSQVGLSA-N 1 2 324.388 1.584 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)c2cc(C#N)c[nH]2)on1 ZINC001085534845 759647204 /nfs/dbraw/zinc/64/72/04/759647204.db2.gz URBFXGAXTWUXCE-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)c2cc(C#N)c[nH]2)on1 ZINC001085534845 759647209 /nfs/dbraw/zinc/64/72/09/759647209.db2.gz URBFXGAXTWUXCE-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO C[C@]1(NC(=O)c2cccc(-n3cc[nH+]c3)c2)CCN(CC#N)C1 ZINC001046747290 767883289 /nfs/dbraw/zinc/88/32/89/767883289.db2.gz UQFQXIVDWNRQAE-KRWDZBQOSA-N 1 2 309.373 1.590 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2cc[nH]cc-2c1=O ZINC001085619038 759848281 /nfs/dbraw/zinc/84/82/81/759848281.db2.gz CSAHYEIEMOQLRJ-CYBMUJFWSA-N 1 2 324.384 1.093 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2cc[nH]cc-2c1=O ZINC001085619038 759848289 /nfs/dbraw/zinc/84/82/89/759848289.db2.gz CSAHYEIEMOQLRJ-CYBMUJFWSA-N 1 2 324.384 1.093 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)CCn1cc[nH+]c1 ZINC001069180636 767895431 /nfs/dbraw/zinc/89/54/31/767895431.db2.gz JVVSYSCFQUYMBN-DZGCQCFKSA-N 1 2 324.388 1.641 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)c(NC(C)=O)c1 ZINC001085614875 759851859 /nfs/dbraw/zinc/85/18/59/759851859.db2.gz XVSBXFIWERSDAU-MRXNPFEDSA-N 1 2 313.401 1.733 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)c(NC(C)=O)c1 ZINC001085614875 759851868 /nfs/dbraw/zinc/85/18/68/759851868.db2.gz XVSBXFIWERSDAU-MRXNPFEDSA-N 1 2 313.401 1.733 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccn(CC(F)(F)F)n1 ZINC001085658169 759944333 /nfs/dbraw/zinc/94/43/33/759944333.db2.gz KKAIRSQZXQDDMY-NSHDSACASA-N 1 2 314.311 1.225 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccn(CC(F)(F)F)n1 ZINC001085658169 759944339 /nfs/dbraw/zinc/94/43/39/759944339.db2.gz KKAIRSQZXQDDMY-NSHDSACASA-N 1 2 314.311 1.225 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001085709051 760070244 /nfs/dbraw/zinc/07/02/44/760070244.db2.gz XPIPLJBURUVBLD-OAHLLOKOSA-N 1 2 308.385 1.856 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001085709051 760070255 /nfs/dbraw/zinc/07/02/55/760070255.db2.gz XPIPLJBURUVBLD-OAHLLOKOSA-N 1 2 308.385 1.856 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC001108206845 760103028 /nfs/dbraw/zinc/10/30/28/760103028.db2.gz KIXRKUYEHOCAMV-CQSZACIVSA-N 1 2 314.336 1.354 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC001108206845 760103032 /nfs/dbraw/zinc/10/30/32/760103032.db2.gz KIXRKUYEHOCAMV-CQSZACIVSA-N 1 2 314.336 1.354 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001069213756 767919116 /nfs/dbraw/zinc/91/91/16/767919116.db2.gz DOABFOGYVFUVIO-FZMZJTMJSA-N 1 2 310.361 1.320 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001054970351 760244155 /nfs/dbraw/zinc/24/41/55/760244155.db2.gz BNDQIUCPQBXAKT-YPMHNXCESA-N 1 2 306.410 1.917 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2[C@@H](CC)C(N)=O)CC1 ZINC001085802296 760259169 /nfs/dbraw/zinc/25/91/69/760259169.db2.gz QGFMSAYZTQQOIB-CABCVRRESA-N 1 2 307.438 1.529 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@@H](CC)C(N)=O)CC1 ZINC001085802296 760259172 /nfs/dbraw/zinc/25/91/72/760259172.db2.gz QGFMSAYZTQQOIB-CABCVRRESA-N 1 2 307.438 1.529 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)c(COC)c1 ZINC001085805273 760260619 /nfs/dbraw/zinc/26/06/19/760260619.db2.gz CLVZBWGZSOFMCO-OAHLLOKOSA-N 1 2 304.365 1.752 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)c(COC)c1 ZINC001085805273 760260622 /nfs/dbraw/zinc/26/06/22/760260622.db2.gz CLVZBWGZSOFMCO-OAHLLOKOSA-N 1 2 304.365 1.752 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(CCC(C)C)nn1 ZINC001085815024 760293683 /nfs/dbraw/zinc/29/36/83/760293683.db2.gz MZWGHYKUPUYZSL-AWEZNQCLSA-N 1 2 303.410 1.104 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(CCC(C)C)nn1 ZINC001085815024 760293689 /nfs/dbraw/zinc/29/36/89/760293689.db2.gz MZWGHYKUPUYZSL-AWEZNQCLSA-N 1 2 303.410 1.104 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2cccs2)n[nH]1 ZINC001085830142 760323280 /nfs/dbraw/zinc/32/32/80/760323280.db2.gz QJYRFNHHRSWNNN-GFCCVEGCSA-N 1 2 314.414 1.918 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2cccs2)n[nH]1 ZINC001085830142 760323290 /nfs/dbraw/zinc/32/32/90/760323290.db2.gz QJYRFNHHRSWNNN-GFCCVEGCSA-N 1 2 314.414 1.918 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(CNC(C)=O)s1 ZINC001085845575 760357318 /nfs/dbraw/zinc/35/73/18/760357318.db2.gz PEDITJLIAVJOBD-ZDUSSCGKSA-N 1 2 321.446 1.717 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(CNC(C)=O)s1 ZINC001085845575 760357330 /nfs/dbraw/zinc/35/73/30/760357330.db2.gz PEDITJLIAVJOBD-ZDUSSCGKSA-N 1 2 321.446 1.717 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001085850018 760373320 /nfs/dbraw/zinc/37/33/20/760373320.db2.gz MIRLQGVLCKTRPQ-GDBMZVCRSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001085850018 760373332 /nfs/dbraw/zinc/37/33/32/760373332.db2.gz MIRLQGVLCKTRPQ-GDBMZVCRSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(C2CCCC2)n1 ZINC001085900025 760491076 /nfs/dbraw/zinc/49/10/76/760491076.db2.gz TURHJZYWHJFDET-HNNXBMFYSA-N 1 2 312.417 1.914 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(C2CCCC2)n1 ZINC001085900025 760491081 /nfs/dbraw/zinc/49/10/81/760491081.db2.gz TURHJZYWHJFDET-HNNXBMFYSA-N 1 2 312.417 1.914 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(-c2nccs2)c1 ZINC001085918616 760529043 /nfs/dbraw/zinc/52/90/43/760529043.db2.gz IZBPBEDMGQQIMF-ZDUSSCGKSA-N 1 2 315.402 1.108 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(-c2nccs2)c1 ZINC001085918616 760529046 /nfs/dbraw/zinc/52/90/46/760529046.db2.gz IZBPBEDMGQQIMF-ZDUSSCGKSA-N 1 2 315.402 1.108 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(OCC2CC2)cn1 ZINC001085922486 760544681 /nfs/dbraw/zinc/54/46/81/760544681.db2.gz DUYKNXIIDXWSCN-CQSZACIVSA-N 1 2 314.389 1.045 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(OCC2CC2)cn1 ZINC001085922486 760544686 /nfs/dbraw/zinc/54/46/86/760544686.db2.gz DUYKNXIIDXWSCN-CQSZACIVSA-N 1 2 314.389 1.045 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1csc(COC)n1 ZINC001085997820 760681558 /nfs/dbraw/zinc/68/15/58/760681558.db2.gz FSDPLDSLJBAVKA-GFCCVEGCSA-N 1 2 307.419 1.459 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1csc(COC)n1 ZINC001085997820 760681560 /nfs/dbraw/zinc/68/15/60/760681560.db2.gz FSDPLDSLJBAVKA-GFCCVEGCSA-N 1 2 307.419 1.459 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)C2=CCOCC2)s1 ZINC001038185884 760903358 /nfs/dbraw/zinc/90/33/58/760903358.db2.gz ZBJXJRAXIOIQGT-CYBMUJFWSA-N 1 2 317.414 1.657 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)C2=CCOCC2)s1 ZINC001038185884 760903360 /nfs/dbraw/zinc/90/33/60/760903360.db2.gz ZBJXJRAXIOIQGT-CYBMUJFWSA-N 1 2 317.414 1.657 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001046858887 767990862 /nfs/dbraw/zinc/99/08/62/767990862.db2.gz ZZIJQXQXLQMSBI-IUODEOHRSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001046858887 767990865 /nfs/dbraw/zinc/99/08/65/767990865.db2.gz ZZIJQXQXLQMSBI-IUODEOHRSA-N 1 2 313.829 1.188 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1coc(Br)c1 ZINC001038321829 761003483 /nfs/dbraw/zinc/00/34/83/761003483.db2.gz OLJGVIUFPAOLHO-NSHDSACASA-N 1 2 311.179 1.870 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1coc(Br)c1 ZINC001038321829 761003495 /nfs/dbraw/zinc/00/34/95/761003495.db2.gz OLJGVIUFPAOLHO-NSHDSACASA-N 1 2 311.179 1.870 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cccc(F)c2F)C1 ZINC001108244041 761051218 /nfs/dbraw/zinc/05/12/18/761051218.db2.gz DUHKMWBTVKMMMY-QGZVFWFLSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cccc(F)c2F)C1 ZINC001108244041 761051228 /nfs/dbraw/zinc/05/12/28/761051228.db2.gz DUHKMWBTVKMMMY-QGZVFWFLSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@@H]1CCN2C(=O)Cc1[nH]cc[nH+]1 ZINC001056298428 761101309 /nfs/dbraw/zinc/10/13/09/761101309.db2.gz QOEOVTMBSVKDAE-KBPBESRZSA-N 1 2 316.405 1.510 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001038419079 761101393 /nfs/dbraw/zinc/10/13/93/761101393.db2.gz SVXKQBLTQXLEAL-QWHCGFSZSA-N 1 2 308.813 1.389 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001038752981 761365309 /nfs/dbraw/zinc/36/53/09/761365309.db2.gz JNYXMAFTKGUZEQ-GDBMZVCRSA-N 1 2 302.349 1.343 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001038752981 761365317 /nfs/dbraw/zinc/36/53/17/761365317.db2.gz JNYXMAFTKGUZEQ-GDBMZVCRSA-N 1 2 302.349 1.343 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CC[C@H](NC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001069475880 768031944 /nfs/dbraw/zinc/03/19/44/768031944.db2.gz MYHJUQKNOJSOMH-KGLIPLIRSA-N 1 2 324.388 1.547 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001108261880 761425651 /nfs/dbraw/zinc/42/56/51/761425651.db2.gz FYVWURLOHRSOQP-YJBOKZPZSA-N 1 2 318.417 1.922 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001108261880 761425657 /nfs/dbraw/zinc/42/56/57/761425657.db2.gz FYVWURLOHRSOQP-YJBOKZPZSA-N 1 2 318.417 1.922 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1csc(-c2ccoc2)n1 ZINC001038818104 761435027 /nfs/dbraw/zinc/43/50/27/761435027.db2.gz MMMWZQGSMMCAGG-LBPRGKRZSA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1csc(-c2ccoc2)n1 ZINC001038818104 761435028 /nfs/dbraw/zinc/43/50/28/761435028.db2.gz MMMWZQGSMMCAGG-LBPRGKRZSA-N 1 2 301.371 1.840 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2oc(C)nc2C)nc1 ZINC001038932089 761561099 /nfs/dbraw/zinc/56/10/99/761561099.db2.gz PVQKCATUYRZFKA-HNNXBMFYSA-N 1 2 324.384 1.672 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2oc(C)nc2C)nc1 ZINC001038932089 761561104 /nfs/dbraw/zinc/56/11/04/761561104.db2.gz PVQKCATUYRZFKA-HNNXBMFYSA-N 1 2 324.384 1.672 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2csc(C)c2)C1 ZINC001108280055 761682078 /nfs/dbraw/zinc/68/20/78/761682078.db2.gz MSKQGGAPFVEHNI-INIZCTEOSA-N 1 2 306.431 1.901 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2csc(C)c2)C1 ZINC001108280055 761682080 /nfs/dbraw/zinc/68/20/80/761682080.db2.gz MSKQGGAPFVEHNI-INIZCTEOSA-N 1 2 306.431 1.901 20 30 DDEDLO Cc1nc(NC[C@H](C)NC(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001108292422 761825516 /nfs/dbraw/zinc/82/55/16/761825516.db2.gz RPBMIQWWMBZMJT-QWRGUYRKSA-N 1 2 301.394 1.740 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108566822 762709804 /nfs/dbraw/zinc/70/98/04/762709804.db2.gz OJQNXAWFSBBWHF-LSDHHAIUSA-N 1 2 319.449 1.566 20 30 DDEDLO C[C@@H](CC(=O)N1CCC2(C1)CCN(CC#N)CC2)n1cc[nH+]c1 ZINC001040868736 762714082 /nfs/dbraw/zinc/71/40/82/762714082.db2.gz XQUQSFOAMZHWEV-HNNXBMFYSA-N 1 2 315.421 1.672 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(C)(CC)CC)CC2=O)C1 ZINC001108591474 762782028 /nfs/dbraw/zinc/78/20/28/762782028.db2.gz ZODXBOGWSBBIKO-CYBMUJFWSA-N 1 2 307.438 1.400 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001041142142 762837274 /nfs/dbraw/zinc/83/72/74/762837274.db2.gz ULGWCASTXWWFMW-LRDDRELGSA-N 1 2 317.393 1.216 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001041142142 762837278 /nfs/dbraw/zinc/83/72/78/762837278.db2.gz ULGWCASTXWWFMW-LRDDRELGSA-N 1 2 317.393 1.216 20 30 DDEDLO Cc1nc(NC[C@@H]2CN(C(=O)C#CC3CC3)CCCO2)cc[nH+]1 ZINC001041500871 763082300 /nfs/dbraw/zinc/08/23/00/763082300.db2.gz WGRFMOUFZSJZEJ-OAHLLOKOSA-N 1 2 314.389 1.228 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(CCN(C(=O)c3cc(C(F)F)[nH]n3)C2)C1 ZINC001041665775 763206794 /nfs/dbraw/zinc/20/67/94/763206794.db2.gz VUYPAKNLWZIARJ-OAHLLOKOSA-N 1 2 308.332 1.519 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)c3cc(C(F)F)[nH]n3)C2)C1 ZINC001041665775 763206799 /nfs/dbraw/zinc/20/67/99/763206799.db2.gz VUYPAKNLWZIARJ-OAHLLOKOSA-N 1 2 308.332 1.519 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCCN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001050199260 763309083 /nfs/dbraw/zinc/30/90/83/763309083.db2.gz JMPLVWLLCTUEIZ-XJKSGUPXSA-N 1 2 309.373 1.128 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCCN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001050199260 763309094 /nfs/dbraw/zinc/30/90/94/763309094.db2.gz JMPLVWLLCTUEIZ-XJKSGUPXSA-N 1 2 309.373 1.128 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C1CC1)C2 ZINC001109117950 763355190 /nfs/dbraw/zinc/35/51/90/763355190.db2.gz UUPDQDJKIMELLK-RZFFKMDDSA-N 1 2 305.422 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C1CC1)C2 ZINC001109117950 763355199 /nfs/dbraw/zinc/35/51/99/763355199.db2.gz UUPDQDJKIMELLK-RZFFKMDDSA-N 1 2 305.422 1.056 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109252005 763518284 /nfs/dbraw/zinc/51/82/84/763518284.db2.gz VCQKLJUCUBMOOC-UKMLZYKCSA-N 1 2 317.433 1.036 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109252005 763518289 /nfs/dbraw/zinc/51/82/89/763518289.db2.gz VCQKLJUCUBMOOC-UKMLZYKCSA-N 1 2 317.433 1.036 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001109683291 763942227 /nfs/dbraw/zinc/94/22/27/763942227.db2.gz FUQDOXXUCPPERR-LLDVTBCESA-N 1 2 319.449 1.589 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001109683291 763942230 /nfs/dbraw/zinc/94/22/30/763942230.db2.gz FUQDOXXUCPPERR-LLDVTBCESA-N 1 2 319.449 1.589 20 30 DDEDLO Cc1nc(N[C@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001057684768 764329902 /nfs/dbraw/zinc/32/99/02/764329902.db2.gz XOBRSQBRUPFQPV-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnc2n1CCC2 ZINC001050960823 764354999 /nfs/dbraw/zinc/35/49/99/764354999.db2.gz NKRLCBFIOVFPBJ-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnc2n1CCC2 ZINC001050960823 764355009 /nfs/dbraw/zinc/35/50/09/764355009.db2.gz NKRLCBFIOVFPBJ-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C[C@H]1CN(c2ccc(C#N)cn2)CC[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067407230 764400168 /nfs/dbraw/zinc/40/01/68/764400168.db2.gz CYLRBIFVRMGBDE-JSGCOSHPSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccn2ccnc2c1 ZINC001051025198 764428861 /nfs/dbraw/zinc/42/88/61/764428861.db2.gz HMSWNGGRIXQFKS-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccn2ccnc2c1 ZINC001051025198 764428865 /nfs/dbraw/zinc/42/88/65/764428865.db2.gz HMSWNGGRIXQFKS-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc(F)c1F ZINC001051084331 764489690 /nfs/dbraw/zinc/48/96/90/764489690.db2.gz QDEFEPMJIHYRSK-GFCCVEGCSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc(F)c1F ZINC001051084331 764489694 /nfs/dbraw/zinc/48/96/94/764489694.db2.gz QDEFEPMJIHYRSK-GFCCVEGCSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1nc(C)ncc1Cl ZINC001051149245 764554808 /nfs/dbraw/zinc/55/48/08/764554808.db2.gz FFPREOLWRIKOKI-GFCCVEGCSA-N 1 2 324.812 1.445 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1nc(C)ncc1Cl ZINC001051149245 764554814 /nfs/dbraw/zinc/55/48/14/764554814.db2.gz FFPREOLWRIKOKI-GFCCVEGCSA-N 1 2 324.812 1.445 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccn(CC(F)(F)F)n2)C1 ZINC001043007583 764580672 /nfs/dbraw/zinc/58/06/72/764580672.db2.gz BXBWPVXCSMBSOH-UHFFFAOYSA-N 1 2 316.327 1.778 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nc(C)oc1C ZINC001051181133 764585907 /nfs/dbraw/zinc/58/59/07/764585907.db2.gz PSNVVQAYCTWORV-AWEZNQCLSA-N 1 2 307.394 1.688 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1nc(C)oc1C ZINC001051181133 764585911 /nfs/dbraw/zinc/58/59/11/764585911.db2.gz PSNVVQAYCTWORV-AWEZNQCLSA-N 1 2 307.394 1.688 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)Cc2c[nH+]ccc2OC)C1 ZINC001043064103 764628568 /nfs/dbraw/zinc/62/85/68/764628568.db2.gz BJWVRCNTSNFESA-UHFFFAOYSA-N 1 2 309.797 1.528 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051323287 764745832 /nfs/dbraw/zinc/74/58/32/764745832.db2.gz OIHUSRVEYNBMBL-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO COc1ccc(C[NH+]2CCN(C(=O)C#CC(C)C)CC2)cc1 ZINC001112831077 764840348 /nfs/dbraw/zinc/84/03/48/764840348.db2.gz QFXFYWOGKVYUFI-UHFFFAOYSA-N 1 2 300.402 1.999 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC(CNc2cc[nH+]c(C)n2)C1 ZINC001112853370 764879809 /nfs/dbraw/zinc/87/98/09/764879809.db2.gz VRZHGZAWHDMSCZ-LMWSTFAQSA-N 1 2 302.378 1.130 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1ncccc1C#N ZINC001112993239 765101991 /nfs/dbraw/zinc/10/19/91/765101991.db2.gz FOAPDUCMSOICNY-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001112993568 765103301 /nfs/dbraw/zinc/10/33/01/765103301.db2.gz JUSQBXFDNOSLNH-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCO[C@H](C[NH2+]Cc2csnn2)C1 ZINC001051752520 765125080 /nfs/dbraw/zinc/12/50/80/765125080.db2.gz LMFCMWMGALDZNR-CYBMUJFWSA-N 1 2 324.450 1.457 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c(F)cccc2NC(N)=O)C1 ZINC001043987874 765211471 /nfs/dbraw/zinc/21/14/71/765211471.db2.gz OHCNGGQVNFLFSK-UHFFFAOYSA-N 1 2 320.368 1.649 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ncc3ccccn32)CC1 ZINC001113100002 765266587 /nfs/dbraw/zinc/26/65/87/765266587.db2.gz WQFWPFUCJSDLKE-UHFFFAOYSA-N 1 2 314.389 1.295 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2scc3c2OCCO3)C1 ZINC001044135828 765335027 /nfs/dbraw/zinc/33/50/27/765335027.db2.gz YEBPYOYHJTWRRR-UHFFFAOYSA-N 1 2 306.387 1.299 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2nc3ccccn3c2F)C1 ZINC001044140253 765337038 /nfs/dbraw/zinc/33/70/38/765337038.db2.gz RZOBNMYTGCZTTD-UHFFFAOYSA-N 1 2 302.353 1.806 20 30 DDEDLO C[C@@H](NC(=O)Cc1[nH]cc[nH+]1)[C@@H](C)Nc1ncc(C#N)cc1F ZINC001113155031 765344659 /nfs/dbraw/zinc/34/46/59/765344659.db2.gz MCYQYGRYUHPQSX-NXEZZACHSA-N 1 2 316.340 1.363 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113194177 765391362 /nfs/dbraw/zinc/39/13/62/765391362.db2.gz PGFFUPQPDWCZLG-VXGBXAGGSA-N 1 2 320.441 1.907 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccoc3CC)C2)CC1 ZINC001052022235 765396501 /nfs/dbraw/zinc/39/65/01/765396501.db2.gz KURLVBSSDJFULR-OAHLLOKOSA-N 1 2 315.417 1.307 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccoc3CC)C2)CC1 ZINC001052022235 765396510 /nfs/dbraw/zinc/39/65/10/765396510.db2.gz KURLVBSSDJFULR-OAHLLOKOSA-N 1 2 315.417 1.307 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C(C)=C3CCC3)C2)CC1 ZINC001052027261 765403400 /nfs/dbraw/zinc/40/34/00/765403400.db2.gz ZRRVKSYIIBQOQA-SFHVURJKSA-N 1 2 315.461 1.729 20 30 DDEDLO C[C@]1(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)CC=CCC1 ZINC001052030239 765405304 /nfs/dbraw/zinc/40/53/04/765405304.db2.gz KDCPLTFDUZRCSF-WMZOPIPTSA-N 1 2 316.449 1.475 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)(C)C(=C)Cl)C2)CC1 ZINC001052033273 765407337 /nfs/dbraw/zinc/40/73/37/765407337.db2.gz NXPBTMWCAWPTHW-OAHLLOKOSA-N 1 2 323.868 1.617 20 30 DDEDLO C#CCCCCCC(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC001113197862 765419319 /nfs/dbraw/zinc/41/93/19/765419319.db2.gz FWVCLGVFKPAQJI-UHFFFAOYSA-N 1 2 319.449 1.337 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3CCCC3(C)C)C2)CC1 ZINC001052067158 765440895 /nfs/dbraw/zinc/44/08/95/765440895.db2.gz GAHHHIRPWJPMIW-IRXDYDNUSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](CCO[C@H]2CC2(F)F)CC1 ZINC001113232294 765448355 /nfs/dbraw/zinc/44/83/55/765448355.db2.gz KTIIOJMCUWMPEA-AWEZNQCLSA-N 1 2 314.376 1.748 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001113232556 765448422 /nfs/dbraw/zinc/44/84/22/765448422.db2.gz UFUOMRMNKOZVBG-QGZVFWFLSA-N 1 2 322.449 1.520 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H](C)C(C)(F)F)C2)CC1 ZINC001052081968 765455016 /nfs/dbraw/zinc/45/50/16/765455016.db2.gz HDGNBFGKVVGWKN-ZIAGYGMSSA-N 1 2 313.392 1.129 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2n[nH]c3c2CCCCC3)C1 ZINC001044299029 765464111 /nfs/dbraw/zinc/46/41/11/765464111.db2.gz SJRUMHZZVNRNHG-UHFFFAOYSA-N 1 2 300.406 1.458 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001052182172 765554808 /nfs/dbraw/zinc/55/48/08/765554808.db2.gz SCXHPPRYXFTCCU-CHWSQXEVSA-N 1 2 304.394 1.129 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001113314552 765555375 /nfs/dbraw/zinc/55/53/75/765555375.db2.gz UHEVUXPAMFTCGV-RYUDHWBXSA-N 1 2 312.377 1.533 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cn(C)nc2Cl)CC1 ZINC001113527047 765809075 /nfs/dbraw/zinc/80/90/75/765809075.db2.gz SZHCHTLWZUQGGZ-UHFFFAOYSA-N 1 2 312.801 1.034 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001052472007 765860684 /nfs/dbraw/zinc/86/06/84/765860684.db2.gz OBBDXIZRLYUEEW-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(C)onc2CC)CC1 ZINC001113626478 765954515 /nfs/dbraw/zinc/95/45/15/765954515.db2.gz QDJSFWRVKKNVQO-UHFFFAOYSA-N 1 2 307.394 1.506 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC001045030526 766048437 /nfs/dbraw/zinc/04/84/37/766048437.db2.gz JBAHSCOPLVUUGO-AWEZNQCLSA-N 1 2 316.405 1.687 20 30 DDEDLO CCC(=O)N(C)[C@H]1C[N@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001047270957 768353142 /nfs/dbraw/zinc/35/31/42/768353142.db2.gz YLIWIZIIUSQMOF-GJZGRUSLSA-N 1 2 305.353 1.111 20 30 DDEDLO CCC(=O)N(C)[C@H]1C[N@@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001047270957 768353150 /nfs/dbraw/zinc/35/31/50/768353150.db2.gz YLIWIZIIUSQMOF-GJZGRUSLSA-N 1 2 305.353 1.111 20 30 DDEDLO C#CC[NH+]1CCN([C@H](C)Cc2ccc(OC)cc2[N+](=O)[O-])CC1 ZINC001170352059 766279508 /nfs/dbraw/zinc/27/95/08/766279508.db2.gz KNQQQFYQEWDRFG-CQSZACIVSA-N 1 2 317.389 1.785 20 30 DDEDLO CC1(NC(=O)c2ccc(C#N)[nH]2)CC[NH+](Cc2cnon2)CC1 ZINC001045407268 766288638 /nfs/dbraw/zinc/28/86/38/766288638.db2.gz VQTBAYYGZZYIFI-UHFFFAOYSA-N 1 2 314.349 1.054 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001096175519 768362954 /nfs/dbraw/zinc/36/29/54/768362954.db2.gz OZLYKUBLQYMEOA-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ncccc1C#N ZINC001067550831 766610540 /nfs/dbraw/zinc/61/05/40/766610540.db2.gz YLWFUAAGOYTABB-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCCN2C(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001067752320 766677972 /nfs/dbraw/zinc/67/79/72/766677972.db2.gz PTEJOBAIYUKLAA-CQSZACIVSA-N 1 2 324.388 1.726 20 30 DDEDLO C[C@@H]1CCN(c2ccncc2C#N)C[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067966918 766843848 /nfs/dbraw/zinc/84/38/48/766843848.db2.gz GZPGXTKMCWAGLP-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO CC(C)(C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C1CCC1 ZINC001046048356 766853720 /nfs/dbraw/zinc/85/37/20/766853720.db2.gz UJPUFOROJFUOMD-INIZCTEOSA-N 1 2 318.465 1.555 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@@](C)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001046227995 767224999 /nfs/dbraw/zinc/22/49/99/767224999.db2.gz YNGYVTZLPFPBMO-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@@](C)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001046227995 767225001 /nfs/dbraw/zinc/22/50/01/767225001.db2.gz YNGYVTZLPFPBMO-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001068866030 767669608 /nfs/dbraw/zinc/66/96/08/767669608.db2.gz XLVHHXCSMTUIBP-DOMZBBRYSA-N 1 2 310.361 1.251 20 30 DDEDLO Cc1cc(N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@@H]2C)c(C#N)cn1 ZINC001068888291 767684303 /nfs/dbraw/zinc/68/43/03/767684303.db2.gz XXSRCOWFNMRWEZ-OCCSQVGLSA-N 1 2 324.388 1.051 20 30 DDEDLO C=C1CCC(C(=O)N[C@]2(C)CC[N@@H+](Cc3cnnn3C)C2)CC1 ZINC001046594965 767719597 /nfs/dbraw/zinc/71/95/97/767719597.db2.gz SVLXHYHERBZMPM-QGZVFWFLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CCC(C(=O)N[C@]2(C)CC[N@H+](Cc3cnnn3C)C2)CC1 ZINC001046594965 767719599 /nfs/dbraw/zinc/71/95/99/767719599.db2.gz SVLXHYHERBZMPM-QGZVFWFLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131001439 767837103 /nfs/dbraw/zinc/83/71/03/767837103.db2.gz ABVJCIRABSDSPA-ZIAGYGMSSA-N 1 2 301.394 1.184 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2[nH+]cnc3c2cnn3C)CN1C(=O)C#CC1CC1 ZINC001069089815 767840971 /nfs/dbraw/zinc/84/09/71/767840971.db2.gz JGHCXKUWKQQHHA-DGCLKSJQSA-N 1 2 324.388 1.178 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+][C@H](C)c1csnn1 ZINC001131869527 768430499 /nfs/dbraw/zinc/43/04/99/768430499.db2.gz FOIJCFFVKGELKD-BXUZGUMPSA-N 1 2 312.439 1.534 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2ccc(OC)nn2)C1 ZINC001131900225 768464794 /nfs/dbraw/zinc/46/47/94/768464794.db2.gz VHDLVEDECBWTRL-UONOGXRCSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001131900225 768464797 /nfs/dbraw/zinc/46/47/97/768464797.db2.gz VHDLVEDECBWTRL-UONOGXRCSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2nocc2C)C1 ZINC001131946106 768503655 /nfs/dbraw/zinc/50/36/55/768503655.db2.gz GUUNXBLHWWYIOB-KGLIPLIRSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2nocc2C)C1 ZINC001131946106 768503660 /nfs/dbraw/zinc/50/36/60/768503660.db2.gz GUUNXBLHWWYIOB-KGLIPLIRSA-N 1 2 307.394 1.655 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(Cl)cc(OC)c2)C1 ZINC001047572110 768581630 /nfs/dbraw/zinc/58/16/30/768581630.db2.gz BJPHHNDDGNAYPG-GJZGRUSLSA-N 1 2 322.792 1.099 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(Cl)cc(OC)c2)C1 ZINC001047572110 768581633 /nfs/dbraw/zinc/58/16/33/768581633.db2.gz BJPHHNDDGNAYPG-GJZGRUSLSA-N 1 2 322.792 1.099 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(C(C)(C)C)s2)C1 ZINC001047640849 768631181 /nfs/dbraw/zinc/63/11/81/768631181.db2.gz IRVSTUUXPRNAKO-RYUDHWBXSA-N 1 2 323.462 1.744 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(C(C)(C)C)s2)C1 ZINC001047640849 768631186 /nfs/dbraw/zinc/63/11/86/768631186.db2.gz IRVSTUUXPRNAKO-RYUDHWBXSA-N 1 2 323.462 1.744 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132218947 768691331 /nfs/dbraw/zinc/69/13/31/768691331.db2.gz YGQGVXAHTUUSPI-QWHCGFSZSA-N 1 2 305.426 1.496 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132218947 768691334 /nfs/dbraw/zinc/69/13/34/768691334.db2.gz YGQGVXAHTUUSPI-QWHCGFSZSA-N 1 2 305.426 1.496 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001096237847 768709041 /nfs/dbraw/zinc/70/90/41/768709041.db2.gz MGATXPFLTXDXBB-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001096237847 768709043 /nfs/dbraw/zinc/70/90/43/768709043.db2.gz MGATXPFLTXDXBB-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)/C(C)=C/C)C1 ZINC001070909886 768781808 /nfs/dbraw/zinc/78/18/08/768781808.db2.gz BWMWFXLAPMSCHC-SNCSSGFDSA-N 1 2 319.405 1.245 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)/C(C)=C/C)C1 ZINC001070909886 768781813 /nfs/dbraw/zinc/78/18/13/768781813.db2.gz BWMWFXLAPMSCHC-SNCSSGFDSA-N 1 2 319.405 1.245 20 30 DDEDLO C/C=C(\C)C(=O)NCC[NH+]1CCN(c2ccncc2C#N)CC1 ZINC001096280980 768926048 /nfs/dbraw/zinc/92/60/48/768926048.db2.gz NZBONEALADYZRA-LZWSPWQCSA-N 1 2 313.405 1.158 20 30 DDEDLO Cc1nc(NC[C@@H]2C[C@H](C)CCN2C(=O)[C@@H](C)C#N)cc[nH+]1 ZINC001048062356 768931765 /nfs/dbraw/zinc/93/17/65/768931765.db2.gz ZHLIRAZLNZZUGW-DYEKYZERSA-N 1 2 301.394 1.984 20 30 DDEDLO CCC(C)(CC)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132505909 768935831 /nfs/dbraw/zinc/93/58/31/768935831.db2.gz WNLXOGFLSTYGRK-ZIAGYGMSSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(C)(CC)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132505909 768935836 /nfs/dbraw/zinc/93/58/36/768935836.db2.gz WNLXOGFLSTYGRK-ZIAGYGMSSA-N 1 2 322.453 1.422 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001132572476 768994028 /nfs/dbraw/zinc/99/40/28/768994028.db2.gz AKFQVGZRPGAYNA-RYUDHWBXSA-N 1 2 306.410 1.686 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071176803 769102148 /nfs/dbraw/zinc/10/21/48/769102148.db2.gz BYHJNLMLASMIHT-CXAGYDPISA-N 1 2 318.421 1.662 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@@H]1CC[C@H](C)N(CC#N)C1 ZINC001071606039 769703771 /nfs/dbraw/zinc/70/37/71/769703771.db2.gz FJPSWHAZKRWKKP-GXTWGEPZSA-N 1 2 302.378 1.125 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001133380286 769761821 /nfs/dbraw/zinc/76/18/21/769761821.db2.gz CSXPGXKOVMQUJW-MRXNPFEDSA-N 1 2 306.410 1.830 20 30 DDEDLO Cc1cc(N2CC[C@H](NC(=O)CCn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001096460855 770208263 /nfs/dbraw/zinc/20/82/63/770208263.db2.gz RLPCDOLEQLPMFM-HNNXBMFYSA-N 1 2 324.388 1.243 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(N)=O)cs2)CC[C@@H]1C ZINC001072098464 770539955 /nfs/dbraw/zinc/53/99/55/770539955.db2.gz BYSZQMAJWPOARS-JQWIXIFHSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(N)=O)cs2)CC[C@@H]1C ZINC001072098464 770539959 /nfs/dbraw/zinc/53/99/59/770539959.db2.gz BYSZQMAJWPOARS-JQWIXIFHSA-N 1 2 305.403 1.063 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001072195035 770648903 /nfs/dbraw/zinc/64/89/03/770648903.db2.gz HGIWTDVROIPLGZ-KBPBESRZSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1C ZINC001072430816 770883556 /nfs/dbraw/zinc/88/35/56/770883556.db2.gz YNAYWUQMDSCHES-JSGCOSHPSA-N 1 2 318.421 1.138 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CCC[C@H]2[C@@H]1CCN2CC#N ZINC001049539920 771004288 /nfs/dbraw/zinc/00/42/88/771004288.db2.gz GEIKSDCLHSSWBK-GJZGRUSLSA-N 1 2 314.389 1.222 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049630947 771033788 /nfs/dbraw/zinc/03/37/88/771033788.db2.gz PKGOWBUNJRHDCG-NWDGAFQWSA-N 1 2 309.801 1.545 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049630947 771033794 /nfs/dbraw/zinc/03/37/94/771033794.db2.gz PKGOWBUNJRHDCG-NWDGAFQWSA-N 1 2 309.801 1.545 20 30 DDEDLO C=C(C)C[NH2+]CCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001170802350 771378299 /nfs/dbraw/zinc/37/82/99/771378299.db2.gz SFRHDKTUTVMHFJ-UHFFFAOYSA-N 1 2 302.382 1.096 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](Nc2cc[nH+]c(C)n2)C2CC2)nc1 ZINC001096706436 771404818 /nfs/dbraw/zinc/40/48/18/771404818.db2.gz NJGQCWIDRHVONJ-INIZCTEOSA-N 1 2 321.384 1.782 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](NC(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001097084343 771616556 /nfs/dbraw/zinc/61/65/56/771616556.db2.gz KPYCDPOFHPMPFU-SHTZXODSSA-N 1 2 310.361 1.299 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2nccs2)[C@@H](O)C1 ZINC001090666622 772094406 /nfs/dbraw/zinc/09/44/06/772094406.db2.gz FJIXCWHXWVVIIJ-ZJUUUORDSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2nccs2)[C@@H](O)C1 ZINC001090666622 772094410 /nfs/dbraw/zinc/09/44/10/772094410.db2.gz FJIXCWHXWVVIIJ-ZJUUUORDSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncccc2F)[C@@H](O)C1 ZINC001090676698 772104234 /nfs/dbraw/zinc/10/42/34/772104234.db2.gz RSXJLGJEULSNRN-NEPJUHHUSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncccc2F)[C@@H](O)C1 ZINC001090676698 772104238 /nfs/dbraw/zinc/10/42/38/772104238.db2.gz RSXJLGJEULSNRN-NEPJUHHUSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c[nH]cc2C2CC2)[C@@H](O)C1 ZINC001090690133 772112251 /nfs/dbraw/zinc/11/22/51/772112251.db2.gz MKGHAIAZXWEVKH-GJZGRUSLSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c[nH]cc2C2CC2)[C@@H](O)C1 ZINC001090690133 772112253 /nfs/dbraw/zinc/11/22/53/772112253.db2.gz MKGHAIAZXWEVKH-GJZGRUSLSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccccc2C)[C@@H](O)C1 ZINC001090796131 772219297 /nfs/dbraw/zinc/21/92/97/772219297.db2.gz NZTQUPCQAMVDAY-CVEARBPZSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccccc2C)[C@@H](O)C1 ZINC001090796131 772219300 /nfs/dbraw/zinc/21/93/00/772219300.db2.gz NZTQUPCQAMVDAY-CVEARBPZSA-N 1 2 322.836 1.841 20 30 DDEDLO CC(=O)/C=C(/C)NC(=O)[C@H]1[C@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143675804 772292135 /nfs/dbraw/zinc/29/21/35/772292135.db2.gz KHDQSWKCGUNCPG-NLNHSMHESA-N 1 2 302.374 1.231 20 30 DDEDLO CC(=O)/C=C(/C)NC(=O)[C@H]1[C@H](O)CC[N@H+]1Cc1ccccc1 ZINC001143675804 772292137 /nfs/dbraw/zinc/29/21/37/772292137.db2.gz KHDQSWKCGUNCPG-NLNHSMHESA-N 1 2 302.374 1.231 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001091515499 772796859 /nfs/dbraw/zinc/79/68/59/772796859.db2.gz NKOFXJBWNZGWBS-MQMHXKEQSA-N 1 2 324.388 1.534 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nc(CC)no1)C2 ZINC001147188131 773062205 /nfs/dbraw/zinc/06/22/05/773062205.db2.gz RUEGSFHEHQMGRI-UHFFFAOYSA-N 1 2 304.394 1.633 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1nc(CC)no1)C2 ZINC001147188131 773062209 /nfs/dbraw/zinc/06/22/09/773062209.db2.gz RUEGSFHEHQMGRI-UHFFFAOYSA-N 1 2 304.394 1.633 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cn(CC)nn1)C2 ZINC001147427889 773130131 /nfs/dbraw/zinc/13/01/31/773130131.db2.gz BHIVDJHWWJGFEE-UHFFFAOYSA-N 1 2 315.421 1.136 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cn(CC)nn1)C2 ZINC001147427889 773130133 /nfs/dbraw/zinc/13/01/33/773130133.db2.gz BHIVDJHWWJGFEE-UHFFFAOYSA-N 1 2 315.421 1.136 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2n[nH]cc2F)C1 ZINC001073758855 773383012 /nfs/dbraw/zinc/38/30/12/773383012.db2.gz REHRHSIFWYMMCL-SNVBAGLBSA-N 1 2 316.764 1.122 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2n[nH]cc2F)C1 ZINC001073758855 773383020 /nfs/dbraw/zinc/38/30/20/773383020.db2.gz REHRHSIFWYMMCL-SNVBAGLBSA-N 1 2 316.764 1.122 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccnnc2)C1 ZINC001073791863 773412688 /nfs/dbraw/zinc/41/26/88/773412688.db2.gz SIRVLYHDRIVBOH-CYBMUJFWSA-N 1 2 310.785 1.050 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccnnc2)C1 ZINC001073791863 773412693 /nfs/dbraw/zinc/41/26/93/773412693.db2.gz SIRVLYHDRIVBOH-CYBMUJFWSA-N 1 2 310.785 1.050 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001073802982 773425671 /nfs/dbraw/zinc/42/56/71/773425671.db2.gz NMKNDGGKHBSRDQ-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001073802982 773425675 /nfs/dbraw/zinc/42/56/75/773425675.db2.gz NMKNDGGKHBSRDQ-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3F)C[C@@H]21 ZINC001074151489 773676869 /nfs/dbraw/zinc/67/68/69/773676869.db2.gz NKLKILWXZKNNFJ-DLBZAZTESA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3F)C[C@@H]21 ZINC001074151489 773676872 /nfs/dbraw/zinc/67/68/72/773676872.db2.gz NKLKILWXZKNNFJ-DLBZAZTESA-N 1 2 316.376 1.764 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3=COCCC3)C[C@@H]21 ZINC001074189006 773711838 /nfs/dbraw/zinc/71/18/38/773711838.db2.gz CKWNORFJLWOJFH-JKSUJKDBSA-N 1 2 306.406 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3=COCCC3)C[C@@H]21 ZINC001074189006 773711839 /nfs/dbraw/zinc/71/18/39/773711839.db2.gz CKWNORFJLWOJFH-JKSUJKDBSA-N 1 2 306.406 1.559 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)nc3)C[C@@H]21 ZINC001074190020 773712452 /nfs/dbraw/zinc/71/24/52/773712452.db2.gz LINCUIPBPLZOLI-GJZGRUSLSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)nc3)C[C@@H]21 ZINC001074190020 773712457 /nfs/dbraw/zinc/71/24/57/773712457.db2.gz LINCUIPBPLZOLI-GJZGRUSLSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@H]21 ZINC001074209074 773732475 /nfs/dbraw/zinc/73/24/75/773732475.db2.gz SBCLCZJQENZJKL-ZIAGYGMSSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@H]21 ZINC001074209074 773732477 /nfs/dbraw/zinc/73/24/77/773732477.db2.gz SBCLCZJQENZJKL-ZIAGYGMSSA-N 1 2 312.360 1.357 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C[C@@H]21 ZINC001074219914 773743528 /nfs/dbraw/zinc/74/35/28/773743528.db2.gz YWTKSXQEJCAIKD-JKSUJKDBSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C[C@@H]21 ZINC001074219914 773743533 /nfs/dbraw/zinc/74/35/33/773743533.db2.gz YWTKSXQEJCAIKD-JKSUJKDBSA-N 1 2 314.389 1.378 20 30 DDEDLO C[C@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1cncc(C#N)n1 ZINC001098302403 773793155 /nfs/dbraw/zinc/79/31/55/773793155.db2.gz KLMGROROMDPJRY-NEPJUHHUSA-N 1 2 313.365 1.113 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccon3)C[C@H]21 ZINC001074297075 773802279 /nfs/dbraw/zinc/80/22/79/773802279.db2.gz KNDNJYWVOZMOQS-HZPDHXFCSA-N 1 2 319.405 1.485 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccon3)C[C@H]21 ZINC001074297075 773802283 /nfs/dbraw/zinc/80/22/83/773802283.db2.gz KNDNJYWVOZMOQS-HZPDHXFCSA-N 1 2 319.405 1.485 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C3CC3)C[C@@H]21 ZINC001074321303 773821646 /nfs/dbraw/zinc/82/16/46/773821646.db2.gz HQTGLFXVEJNXOL-JKSUJKDBSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C3CC3)C[C@@H]21 ZINC001074321303 773821653 /nfs/dbraw/zinc/82/16/53/773821653.db2.gz HQTGLFXVEJNXOL-JKSUJKDBSA-N 1 2 304.434 1.748 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(F)F)C[C@@H]21 ZINC001074329993 773825224 /nfs/dbraw/zinc/82/52/24/773825224.db2.gz TUFHILNCGGOSPN-QWHCGFSZSA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(F)F)C[C@@H]21 ZINC001074329993 773825229 /nfs/dbraw/zinc/82/52/29/773825229.db2.gz TUFHILNCGGOSPN-QWHCGFSZSA-N 1 2 302.365 1.910 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cccnc3C)C[C@@H]21 ZINC001074327162 773825381 /nfs/dbraw/zinc/82/53/81/773825381.db2.gz PTGTYXQLYOVDCX-IRXDYDNUSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccnc3C)C[C@@H]21 ZINC001074327162 773825385 /nfs/dbraw/zinc/82/53/85/773825385.db2.gz PTGTYXQLYOVDCX-IRXDYDNUSA-N 1 2 313.401 1.329 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccccc1)c1nccn12 ZINC001092335241 774084893 /nfs/dbraw/zinc/08/48/93/774084893.db2.gz BLVSNBDYDYZIBS-INIZCTEOSA-N 1 2 320.396 1.792 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC1(F)F)c1nccn12 ZINC001092366045 774105773 /nfs/dbraw/zinc/10/57/73/774105773.db2.gz OBBUSLDYSHQJLI-NWDGAFQWSA-N 1 2 320.343 1.134 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001075016688 774300133 /nfs/dbraw/zinc/30/01/33/774300133.db2.gz FBZJLEREOAWSLV-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3c(=O)ccoc3c1)C2 ZINC001098230733 774517486 /nfs/dbraw/zinc/51/74/86/774517486.db2.gz OTJLCKKQXLKJIV-BMFZPTHFSA-N 1 2 322.364 1.761 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3c(=O)ccoc3c1)C2 ZINC001098230733 774517489 /nfs/dbraw/zinc/51/74/89/774517489.db2.gz OTJLCKKQXLKJIV-BMFZPTHFSA-N 1 2 322.364 1.761 20 30 DDEDLO N#CCN1CC[C@H]2CN(C(=O)c3cccc4[nH+]ccn43)CC[C@@H]21 ZINC001036771315 774560929 /nfs/dbraw/zinc/56/09/29/774560929.db2.gz DUCWTCLSXDDTPD-KBPBESRZSA-N 1 2 309.373 1.394 20 30 DDEDLO Cc1cc(NCCNC(=O)CSCC#N)nc(C2CC2)[nH+]1 ZINC001093526451 774777981 /nfs/dbraw/zinc/77/79/81/774777981.db2.gz FQPXSHCPCLALBU-UHFFFAOYSA-N 1 2 305.407 1.447 20 30 DDEDLO C[C@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1cnc(C#N)cn1 ZINC001099031892 774783671 /nfs/dbraw/zinc/78/36/71/774783671.db2.gz MABQKTCOVWSUON-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CCC[C@H]3OC)CC2)C1 ZINC001093549097 774827157 /nfs/dbraw/zinc/82/71/57/774827157.db2.gz QDEAALKVBVRXQI-DLBZAZTESA-N 1 2 322.449 1.679 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3c[nH]nc3C)CC2)C1 ZINC001093557887 774882803 /nfs/dbraw/zinc/88/28/03/774882803.db2.gz PVHARSWAIDFDMX-UHFFFAOYSA-N 1 2 318.421 1.600 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001099859172 775361742 /nfs/dbraw/zinc/36/17/42/775361742.db2.gz XXXXJRRRXSOOCN-UKRRQHHQSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001099859172 775361754 /nfs/dbraw/zinc/36/17/54/775361754.db2.gz XXXXJRRRXSOOCN-UKRRQHHQSA-N 1 2 307.394 1.391 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H]3CC=CCC3)nn2)C1 ZINC001094274221 775621558 /nfs/dbraw/zinc/62/15/58/775621558.db2.gz IRMDJRIGQQJQLO-AWEZNQCLSA-N 1 2 313.405 1.131 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)CCc2[nH+]ccn2C)n1 ZINC001094302308 775653563 /nfs/dbraw/zinc/65/35/63/775653563.db2.gz WUGXOFDWQZVOPS-UHFFFAOYSA-N 1 2 312.377 1.156 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@@H]3C(C)C)nn2)C1 ZINC001094332095 775715525 /nfs/dbraw/zinc/71/55/25/775715525.db2.gz KRPLEZHJVVZJTD-CVEARBPZSA-N 1 2 315.421 1.066 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3oc(C)cc3C)CC2=O)C1 ZINC001094714841 776181383 /nfs/dbraw/zinc/18/13/83/776181383.db2.gz CKFSRYFAVLRLON-CYBMUJFWSA-N 1 2 317.389 1.097 20 30 DDEDLO N#Cc1cnc(NCCCNC(=O)CCn2cc[nH+]c2)c(F)c1 ZINC001094688752 776198179 /nfs/dbraw/zinc/19/81/79/776198179.db2.gz BDZPNPRAFWYMGQ-UHFFFAOYSA-N 1 2 316.340 1.297 20 30 DDEDLO Cc1nsc(NCCCNC(=O)CCn2cc[nH+]c2)c1C#N ZINC001094688735 776198297 /nfs/dbraw/zinc/19/82/97/776198297.db2.gz AMKFHXVONSILLY-UHFFFAOYSA-N 1 2 318.406 1.528 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3CCCC34CC4)CC2=O)C1 ZINC001094751952 776248299 /nfs/dbraw/zinc/24/82/99/776248299.db2.gz ZSNGQSFPXQAIHY-ZFWWWQNUSA-N 1 2 317.433 1.154 20 30 DDEDLO C=CCCn1cc(C(=O)NCCCNc2cc[nH+]c(C)n2)nn1 ZINC001094827266 776382411 /nfs/dbraw/zinc/38/24/11/776382411.db2.gz BABUGTZFXIFJIR-UHFFFAOYSA-N 1 2 315.381 1.185 20 30 DDEDLO CCN(CCNC(=O)CCn1cc[nH+]c1)c1ccncc1C#N ZINC001100673790 776426216 /nfs/dbraw/zinc/42/62/16/776426216.db2.gz YKLFJKPBXMPXHD-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO COC(=O)[C@H](CS)[NH2+]C1CCC(C(=O)OC(C)(C)C)CC1 ZINC001171910340 776515908 /nfs/dbraw/zinc/51/59/08/776515908.db2.gz KLZWZXRCYXOQMQ-MCIGGMRASA-N 1 2 317.451 1.948 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001100859429 776642681 /nfs/dbraw/zinc/64/26/81/776642681.db2.gz SARYFMKTZHZOKY-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001100885335 776667832 /nfs/dbraw/zinc/66/78/32/776667832.db2.gz XCRTYDRGFNXODK-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO Cc1cc(N2CC[C@@H](CNC(=O)Cn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001100990122 776801119 /nfs/dbraw/zinc/80/11/19/776801119.db2.gz OGNQPXURXQBNKV-AWEZNQCLSA-N 1 2 324.388 1.101 20 30 DDEDLO Cc1cc(N2CC[C@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)c(C#N)cn1 ZINC001101063313 776870064 /nfs/dbraw/zinc/87/00/64/776870064.db2.gz YPKRPIYAFDSVRX-CYBMUJFWSA-N 1 2 324.388 1.170 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(N2CCO[C@@H](C#N)C2)CC1 ZINC001172896507 776928989 /nfs/dbraw/zinc/92/89/89/776928989.db2.gz GBAKNPKINOWWDK-AWEZNQCLSA-N 1 2 309.410 1.017 20 30 DDEDLO CC(C)(C)C#CC(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101232529 777002135 /nfs/dbraw/zinc/00/21/35/777002135.db2.gz XUMJVOSMNFZGJM-DYVFJYSZSA-N 1 2 316.405 1.399 20 30 DDEDLO CC(C)(C)C#CC(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101232529 777002138 /nfs/dbraw/zinc/00/21/38/777002138.db2.gz XUMJVOSMNFZGJM-DYVFJYSZSA-N 1 2 316.405 1.399 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001095066758 777054210 /nfs/dbraw/zinc/05/42/10/777054210.db2.gz NARZNISUJLLVSF-GFCCVEGCSA-N 1 2 314.393 1.732 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H](C)SC)c1nccn12 ZINC001101623909 777317527 /nfs/dbraw/zinc/31/75/27/777317527.db2.gz LJHVMDUIHLJXHK-STQMWFEESA-N 1 2 320.462 1.783 20 30 DDEDLO C=C[C@@H]1C[C@]1([NH2+][C@H]1CCC[C@@H](n2ncnn2)C1)C(=O)OCC ZINC001173743758 777334926 /nfs/dbraw/zinc/33/49/26/777334926.db2.gz DQOCAQSCERNCMD-QVHKTLOISA-N 1 2 305.382 1.254 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCOCCOC)C2 ZINC001110181936 777710224 /nfs/dbraw/zinc/71/02/24/777710224.db2.gz STDKXZMNAOBVNI-RDBSUJKOSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCOCCOC)C2 ZINC001110181936 777710236 /nfs/dbraw/zinc/71/02/36/777710236.db2.gz STDKXZMNAOBVNI-RDBSUJKOSA-N 1 2 316.829 1.514 20 30 DDEDLO C[C@@H](CC(=O)N(C)CCNc1nccnc1C#N)n1cc[nH+]c1 ZINC001101975455 777755115 /nfs/dbraw/zinc/75/51/15/777755115.db2.gz BYGUCAABAPAVCP-LBPRGKRZSA-N 1 2 313.365 1.066 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102440328 778142488 /nfs/dbraw/zinc/14/24/88/778142488.db2.gz YYGZZYPHRDXMLQ-PBHICJAKSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001102691595 778317951 /nfs/dbraw/zinc/31/79/51/778317951.db2.gz DFGWLKICDCBLMU-OAHLLOKOSA-N 1 2 318.421 1.642 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCCN(Cc3c[nH+]cn3C)[C@@H]2C1 ZINC001176949686 778342905 /nfs/dbraw/zinc/34/29/05/778342905.db2.gz RDQOUFIOBPSLIO-CVEARBPZSA-N 1 2 318.421 1.188 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@H]2CCCN(c3cccc[nH+]3)C2)cn1 ZINC001176974055 778360222 /nfs/dbraw/zinc/36/02/22/778360222.db2.gz RSGZNTSJWSMIPD-HNNXBMFYSA-N 1 2 321.384 1.881 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C/C)C[C@H]21 ZINC001176976749 778362456 /nfs/dbraw/zinc/36/24/56/778362456.db2.gz LJJIEEZUEGBUCO-LVKIJNIGSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C/C)C[C@H]21 ZINC001176976749 778362461 /nfs/dbraw/zinc/36/24/61/778362461.db2.gz LJJIEEZUEGBUCO-LVKIJNIGSA-N 1 2 308.422 1.457 20 30 DDEDLO CC(C)=CC[N@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)C)C[C@@H]21 ZINC001177019722 778395866 /nfs/dbraw/zinc/39/58/66/778395866.db2.gz RANJWSBWMMFQEC-IRXDYDNUSA-N 1 2 304.434 1.914 20 30 DDEDLO CC(C)=CC[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)C)C[C@@H]21 ZINC001177019722 778395872 /nfs/dbraw/zinc/39/58/72/778395872.db2.gz RANJWSBWMMFQEC-IRXDYDNUSA-N 1 2 304.434 1.914 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCOC(C)C)[C@@H]2C1 ZINC001177061527 778421246 /nfs/dbraw/zinc/42/12/46/778421246.db2.gz MPYZHJUSZRAKPE-CVEARBPZSA-N 1 2 324.465 1.925 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCOC(C)C)[C@@H]2C1 ZINC001177061527 778421248 /nfs/dbraw/zinc/42/12/48/778421248.db2.gz MPYZHJUSZRAKPE-CVEARBPZSA-N 1 2 324.465 1.925 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C=C)C[C@@H]21 ZINC001177061496 778421291 /nfs/dbraw/zinc/42/12/91/778421291.db2.gz MMRPHAKZBGSFBD-HOTGVXAUSA-N 1 2 322.449 1.703 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C=C)C[C@@H]21 ZINC001177061496 778421293 /nfs/dbraw/zinc/42/12/93/778421293.db2.gz MMRPHAKZBGSFBD-HOTGVXAUSA-N 1 2 322.449 1.703 20 30 DDEDLO CCN(C(=O)Cc1[nH]cc[nH+]1)[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001102815466 778423400 /nfs/dbraw/zinc/42/34/00/778423400.db2.gz DMQNSAUJRXNJFC-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C)C[C@@H]21 ZINC001177130705 778455624 /nfs/dbraw/zinc/45/56/24/778455624.db2.gz FOMUBOZACVAIPT-GJZGRUSLSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C)C[C@@H]21 ZINC001177130705 778455628 /nfs/dbraw/zinc/45/56/28/778455628.db2.gz FOMUBOZACVAIPT-GJZGRUSLSA-N 1 2 310.438 1.537 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2noc(C)n2)[C@@H]1C ZINC001178582263 779092121 /nfs/dbraw/zinc/09/21/21/779092121.db2.gz UIQPJTOOCHNFDT-OBJOEFQTSA-N 1 2 304.394 1.822 20 30 DDEDLO C[C@H](CNC(=O)Cc1c[nH+]cn1C)CNc1ccc(C#N)cn1 ZINC001104023077 779249132 /nfs/dbraw/zinc/24/91/32/779249132.db2.gz RNZOADOFTXXOKN-LBPRGKRZSA-N 1 2 312.377 1.094 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)C#CC2CC2)C1 ZINC001111740836 779458437 /nfs/dbraw/zinc/45/84/37/779458437.db2.gz QGWSLHCAKHOLPS-QOKNQOGYSA-N 1 2 324.428 1.922 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)C#CC2CC2)C1 ZINC001111740836 779458442 /nfs/dbraw/zinc/45/84/42/779458442.db2.gz QGWSLHCAKHOLPS-QOKNQOGYSA-N 1 2 324.428 1.922 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001115330752 780043258 /nfs/dbraw/zinc/04/32/58/780043258.db2.gz SPSBHAWEGHCSMK-PBOSXPJTSA-N 1 2 320.393 1.078 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001115330752 780043262 /nfs/dbraw/zinc/04/32/62/780043262.db2.gz SPSBHAWEGHCSMK-PBOSXPJTSA-N 1 2 320.393 1.078 20 30 DDEDLO C[C@@H](CN(C)C(=O)Cc1c[nH+]cn1C)Nc1ccc(C#N)nc1 ZINC001115779293 780425162 /nfs/dbraw/zinc/42/51/62/780425162.db2.gz JBTUHPBXDBNIFY-LBPRGKRZSA-N 1 2 312.377 1.188 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1nc2ccccc2s1 ZINC001116396910 780505554 /nfs/dbraw/zinc/50/55/54/780505554.db2.gz UENNDMBVPPVWCV-UHFFFAOYSA-N 1 2 303.387 1.816 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1nc2ccccc2s1 ZINC001116396910 780505559 /nfs/dbraw/zinc/50/55/59/780505559.db2.gz UENNDMBVPPVWCV-UHFFFAOYSA-N 1 2 303.387 1.816 20 30 DDEDLO C=C[C@H](COC)NC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001116617551 780531264 /nfs/dbraw/zinc/53/12/64/780531264.db2.gz QHNBEWPZFZDGSI-GFCCVEGCSA-N 1 2 314.345 1.128 20 30 DDEDLO C=CC[C@@H]1CCN1C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001119850270 781643732 /nfs/dbraw/zinc/64/37/32/781643732.db2.gz QRPUGEOMMHRNOX-OAHLLOKOSA-N 1 2 319.409 1.398 20 30 DDEDLO CCC(C)(C)CC(=O)NCC[NH+]1CCN(CC#CCOC)CC1 ZINC001266285911 836047896 /nfs/dbraw/zinc/04/78/96/836047896.db2.gz RFCWGMLGNIXGSV-UHFFFAOYSA-N 1 2 323.481 1.196 20 30 DDEDLO CCC(C)(C)CC(=O)NCCN1CC[NH+](CC#CCOC)CC1 ZINC001266285911 836047906 /nfs/dbraw/zinc/04/79/06/836047906.db2.gz RFCWGMLGNIXGSV-UHFFFAOYSA-N 1 2 323.481 1.196 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCC2(C)C)C1 ZINC001266335770 836134993 /nfs/dbraw/zinc/13/49/93/836134993.db2.gz FBQQVUBMDDGLMI-ZIAGYGMSSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCC2(C)C)C1 ZINC001266335770 836134997 /nfs/dbraw/zinc/13/49/97/836134997.db2.gz FBQQVUBMDDGLMI-ZIAGYGMSSA-N 1 2 307.438 1.305 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266488098 836337769 /nfs/dbraw/zinc/33/77/69/836337769.db2.gz CEJHWHYQQQFYKC-CABCVRRESA-N 1 2 322.453 1.279 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266488098 836337777 /nfs/dbraw/zinc/33/77/77/836337777.db2.gz CEJHWHYQQQFYKC-CABCVRRESA-N 1 2 322.453 1.279 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[NH2+][C@H](C)c2nc(C)no2)cc1 ZINC001266962393 837119833 /nfs/dbraw/zinc/11/98/33/837119833.db2.gz ZUUCOVSHYJCNKY-VXGBXAGGSA-N 1 2 312.373 1.828 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CCF ZINC001267534505 838261866 /nfs/dbraw/zinc/26/18/66/838261866.db2.gz ZQSWMCUMQNQQHO-ZNMIVQPWSA-N 1 2 311.401 1.102 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CCF ZINC001267534505 838261872 /nfs/dbraw/zinc/26/18/72/838261872.db2.gz ZQSWMCUMQNQQHO-ZNMIVQPWSA-N 1 2 311.401 1.102 20 30 DDEDLO C#CCCCC(=O)NCC[C@@H]1CC[N@H+](Cc2cnsn2)C1 ZINC001267555718 838297264 /nfs/dbraw/zinc/29/72/64/838297264.db2.gz IZAVSOZTDNBOLX-CYBMUJFWSA-N 1 2 306.435 1.670 20 30 DDEDLO C#CCCCC(=O)NCC[C@@H]1CC[N@@H+](Cc2cnsn2)C1 ZINC001267555718 838297273 /nfs/dbraw/zinc/29/72/73/838297273.db2.gz IZAVSOZTDNBOLX-CYBMUJFWSA-N 1 2 306.435 1.670 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@@H]2CC[C@H](C)C2)CC1 ZINC001267574929 838342196 /nfs/dbraw/zinc/34/21/96/838342196.db2.gz XOJKSRPQDUDXPB-DLBZAZTESA-N 1 2 305.466 1.522 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)c2ccc(C)cc2)CC1 ZINC001267618567 838504563 /nfs/dbraw/zinc/50/45/63/838504563.db2.gz PFQXMDAIIBIGCI-UHFFFAOYSA-N 1 2 301.434 1.871 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCCC)C1 ZINC001267629568 838532053 /nfs/dbraw/zinc/53/20/53/838532053.db2.gz ZGESFBUOTYJYHI-HNNXBMFYSA-N 1 2 307.438 1.287 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCCC)C1 ZINC001267629568 838532057 /nfs/dbraw/zinc/53/20/57/838532057.db2.gz ZGESFBUOTYJYHI-HNNXBMFYSA-N 1 2 307.438 1.287 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@@H]2CCO[C@H](CC)C2)C1 ZINC001267664566 838606831 /nfs/dbraw/zinc/60/68/31/838606831.db2.gz MPNQIWOYKJOZCC-GDBMZVCRSA-N 1 2 310.438 1.585 20 30 DDEDLO CC(C)COCC[NH+]1CC(NC(=O)CC#Cc2ccccc2)C1 ZINC001267670699 838614994 /nfs/dbraw/zinc/61/49/94/838614994.db2.gz STDPVHLUZNAISD-UHFFFAOYSA-N 1 2 314.429 1.901 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2cccc(C)c2C)C1 ZINC001267698356 838672631 /nfs/dbraw/zinc/67/26/31/838672631.db2.gz FIJWWCRVOUTFPR-UHFFFAOYSA-N 1 2 315.417 1.267 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)N(C)CC(F)(F)F ZINC001267711834 838698660 /nfs/dbraw/zinc/69/86/60/838698660.db2.gz GVXVMJCMXDAWPO-AGIUHOORSA-N 1 2 317.355 1.177 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)N(C)CC(F)(F)F ZINC001267711834 838698667 /nfs/dbraw/zinc/69/86/67/838698667.db2.gz GVXVMJCMXDAWPO-AGIUHOORSA-N 1 2 317.355 1.177 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001267722124 838728567 /nfs/dbraw/zinc/72/85/67/838728567.db2.gz XPFUAWKPAIJHNY-BBRMVZONSA-N 1 2 307.394 1.309 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001267722124 838728573 /nfs/dbraw/zinc/72/85/73/838728573.db2.gz XPFUAWKPAIJHNY-BBRMVZONSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001267760630 838886708 /nfs/dbraw/zinc/88/67/08/838886708.db2.gz VXQXWHSHDHOLGN-UONOGXRCSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001267760630 838886720 /nfs/dbraw/zinc/88/67/20/838886720.db2.gz VXQXWHSHDHOLGN-UONOGXRCSA-N 1 2 304.394 1.273 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@@H]1CC[N@H+](CC=C(Cl)Cl)C1 ZINC001267762232 838892012 /nfs/dbraw/zinc/89/20/12/838892012.db2.gz PMWXQMYXXKILGM-VXGBXAGGSA-N 1 2 319.232 1.876 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C1 ZINC001267762232 838892016 /nfs/dbraw/zinc/89/20/16/838892016.db2.gz PMWXQMYXXKILGM-VXGBXAGGSA-N 1 2 319.232 1.876 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H](Cc2ccccc2)OC)C1 ZINC001268029772 839446018 /nfs/dbraw/zinc/44/60/18/839446018.db2.gz ZEUCFJXGMSBMTQ-IRXDYDNUSA-N 1 2 318.417 1.247 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H](Cc2ccccc2)OC)C1 ZINC001268029772 839446025 /nfs/dbraw/zinc/44/60/25/839446025.db2.gz ZEUCFJXGMSBMTQ-IRXDYDNUSA-N 1 2 318.417 1.247 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)CCc2ccc(F)cc2F)C1 ZINC001268030668 839456859 /nfs/dbraw/zinc/45/68/59/839456859.db2.gz UGZAQUUJBFHXGX-OAHLLOKOSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)CCc2ccc(F)cc2F)C1 ZINC001268030668 839456869 /nfs/dbraw/zinc/45/68/69/839456869.db2.gz UGZAQUUJBFHXGX-OAHLLOKOSA-N 1 2 324.371 1.900 20 30 DDEDLO CC1(C)CCC[C@H]1C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001268216358 839850616 /nfs/dbraw/zinc/85/06/16/839850616.db2.gz FUXZHDYUWAWLQW-KBPBESRZSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CCC[C@H]1C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001268216358 839850628 /nfs/dbraw/zinc/85/06/28/839850628.db2.gz FUXZHDYUWAWLQW-KBPBESRZSA-N 1 2 320.437 1.033 20 30 DDEDLO C#CCOCCC(=O)N(C)CC[N@H+](C)Cc1cccc(F)c1F ZINC001268238100 839876396 /nfs/dbraw/zinc/87/63/96/839876396.db2.gz WTJDQMHHKQLDDN-UHFFFAOYSA-N 1 2 324.371 1.895 20 30 DDEDLO C#CCOCCC(=O)N(C)CC[N@@H+](C)Cc1cccc(F)c1F ZINC001268238100 839876410 /nfs/dbraw/zinc/87/64/10/839876410.db2.gz WTJDQMHHKQLDDN-UHFFFAOYSA-N 1 2 324.371 1.895 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001272062075 844518573 /nfs/dbraw/zinc/51/85/73/844518573.db2.gz DZGVZBJORCZEBP-DZGCQCFKSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001272062075 844518577 /nfs/dbraw/zinc/51/85/77/844518577.db2.gz DZGVZBJORCZEBP-DZGCQCFKSA-N 1 2 303.410 1.204 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]C/C=C/CNC(=O)C#CC(C)(C)C)o1 ZINC001268536107 840409035 /nfs/dbraw/zinc/40/90/35/840409035.db2.gz HHFTXFXIXYLQOM-SYTKJHMZSA-N 1 2 304.394 1.751 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C/C[NH2+]Cc1coc(C2CC2)n1 ZINC001268536262 840409461 /nfs/dbraw/zinc/40/94/61/840409461.db2.gz IWHZKIOJYCEGGA-ONEGZZNKSA-N 1 2 301.390 1.973 20 30 DDEDLO C#Cc1cncc(C(=O)NC/C=C/C[NH2+]Cc2cc(C)on2)c1 ZINC001268591554 840505225 /nfs/dbraw/zinc/50/52/25/840505225.db2.gz SOYVDXXOYIAWDS-SNAWJCMRSA-N 1 2 310.357 1.435 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCC=CCCC2)C1 ZINC001269039339 841142589 /nfs/dbraw/zinc/14/25/89/841142589.db2.gz UKLSILHUYLFTCB-HOTGVXAUSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCC=CCCC2)C1 ZINC001269039339 841142595 /nfs/dbraw/zinc/14/25/95/841142595.db2.gz UKLSILHUYLFTCB-HOTGVXAUSA-N 1 2 319.449 1.616 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1CC[N@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001269108249 841212515 /nfs/dbraw/zinc/21/25/15/841212515.db2.gz ODEBUGFXUTUYCU-CZUORRHYSA-N 1 2 320.820 1.917 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001269108249 841212526 /nfs/dbraw/zinc/21/25/26/841212526.db2.gz ODEBUGFXUTUYCU-CZUORRHYSA-N 1 2 320.820 1.917 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@@H](C)CN1C(=O)c1cc(=O)c2cc(C#N)ccc2[nH]1 ZINC001154552703 861204322 /nfs/dbraw/zinc/20/43/22/861204322.db2.gz FQOWRRSNWJMXGK-NWDGAFQWSA-N 1 2 324.384 1.564 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@@H](C)CN1C(=O)c1cc(=O)c2cc(C#N)ccc2[nH]1 ZINC001154552703 861204336 /nfs/dbraw/zinc/20/43/36/861204336.db2.gz FQOWRRSNWJMXGK-NWDGAFQWSA-N 1 2 324.384 1.564 20 30 DDEDLO C=CC1(CC(=O)NC[C@H]2CC[N@H+]2[C@H](C)C(N)=O)CCCCC1 ZINC001269320785 841514556 /nfs/dbraw/zinc/51/45/56/841514556.db2.gz JDVATRYFPOYNAF-ZIAGYGMSSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CC1(CC(=O)NC[C@H]2CC[N@@H+]2[C@H](C)C(N)=O)CCCCC1 ZINC001269320785 841514566 /nfs/dbraw/zinc/51/45/66/841514566.db2.gz JDVATRYFPOYNAF-ZIAGYGMSSA-N 1 2 307.438 1.577 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCCN2C(=O)Cc2c[nH+]c[nH]2)C1=O ZINC001269568785 841775531 /nfs/dbraw/zinc/77/55/31/841775531.db2.gz DVLGNKMWPPWADK-INIZCTEOSA-N 1 2 302.378 1.122 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C1C[NH+](CCn2nc(C)nc2C)C1 ZINC001269855169 842109209 /nfs/dbraw/zinc/10/92/09/842109209.db2.gz DNNMPTJMLXVHKX-UHFFFAOYSA-N 1 2 319.453 1.640 20 30 DDEDLO C=C[C@@H](C(=O)N(C)C1C[NH+](CCOCCO)C1)c1ccccc1 ZINC001269892598 842135021 /nfs/dbraw/zinc/13/50/21/842135021.db2.gz JADRWCVUBGASGZ-QGZVFWFLSA-N 1 2 318.417 1.108 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@](C)(NC(=O)c2cncs2)C1 ZINC001270547461 842658034 /nfs/dbraw/zinc/65/80/34/842658034.db2.gz FIQLPGNKEFSRGF-ABAIWWIYSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@](C)(NC(=O)c2cncs2)C1 ZINC001270547461 842658043 /nfs/dbraw/zinc/65/80/43/842658043.db2.gz FIQLPGNKEFSRGF-ABAIWWIYSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC1(O)C[NH+](Cc2ccccc2)C1 ZINC001271253376 843447724 /nfs/dbraw/zinc/44/77/24/843447724.db2.gz CCRWFXRIVGALPY-HNNXBMFYSA-N 1 2 318.417 1.331 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CCCCCF)[nH]1 ZINC001280403052 843643351 /nfs/dbraw/zinc/64/33/51/843643351.db2.gz QULMRBKIUWBJST-CQSZACIVSA-N 1 2 322.384 1.457 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CCCCCF)[nH]1 ZINC001280403052 843643355 /nfs/dbraw/zinc/64/33/55/843643355.db2.gz QULMRBKIUWBJST-CQSZACIVSA-N 1 2 322.384 1.457 20 30 DDEDLO N#CCN1CC[C@@H]2CN(C(=O)CCCn3cc[nH+]c3)CC[C@H]21 ZINC001272226198 844777843 /nfs/dbraw/zinc/77/78/43/844777843.db2.gz RGNGRZSJZSVOKE-HUUCEWRRSA-N 1 2 301.394 1.110 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)N(C)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001280525257 846019737 /nfs/dbraw/zinc/01/97/37/846019737.db2.gz PBDMMAQULSHPGK-LBPRGKRZSA-N 1 2 320.437 1.863 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](O)C[NH2+][C@@H](C)c2csnn2)CCC1 ZINC001272514424 846254864 /nfs/dbraw/zinc/25/48/64/846254864.db2.gz VNOFSBJABPSKNF-RYUDHWBXSA-N 1 2 324.450 1.412 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cc(F)ccc1C)C2 ZINC001272615794 846411882 /nfs/dbraw/zinc/41/18/82/846411882.db2.gz BVIDJQDGGWBNCR-UHFFFAOYSA-N 1 2 304.365 1.733 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1ccc(O)c(C)c1)C2 ZINC001272616256 846413354 /nfs/dbraw/zinc/41/33/54/846413354.db2.gz HQHXJHWGAYXNBK-UHFFFAOYSA-N 1 2 316.401 1.690 20 30 DDEDLO CC#CC[NH+]1CCC([C@@H](C)NC(=O)c2[nH]nc(C)c2C)CC1 ZINC000996986425 847055749 /nfs/dbraw/zinc/05/57/49/847055749.db2.gz SRWPWHBIMGUOOY-CQSZACIVSA-N 1 2 302.422 1.880 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@](C)(CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001107827938 847231557 /nfs/dbraw/zinc/23/15/57/847231557.db2.gz CMAIIZAMRSHZRK-INIZCTEOSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@](C)(CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001107827938 847231562 /nfs/dbraw/zinc/23/15/62/847231562.db2.gz CMAIIZAMRSHZRK-INIZCTEOSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1ccc(F)cn1)C2 ZINC001272803710 847450079 /nfs/dbraw/zinc/45/00/79/847450079.db2.gz XEMVFFRBJXMBRZ-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1ccc(F)cn1)C2 ZINC001272803710 847450088 /nfs/dbraw/zinc/45/00/88/847450088.db2.gz XEMVFFRBJXMBRZ-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1COCC[N@H+]1C[C@H](OC)C1CCC1 ZINC001272843203 847538348 /nfs/dbraw/zinc/53/83/48/847538348.db2.gz PHUNZRSRTCYIDE-CVEARBPZSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1COCC[N@@H+]1C[C@H](OC)C1CCC1 ZINC001272843203 847538359 /nfs/dbraw/zinc/53/83/59/847538359.db2.gz PHUNZRSRTCYIDE-CVEARBPZSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1CCC ZINC001272869220 847567372 /nfs/dbraw/zinc/56/73/72/847567372.db2.gz MOFZDAINCXDGRH-AWEZNQCLSA-N 1 2 320.437 1.759 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1CCC ZINC001272869220 847567381 /nfs/dbraw/zinc/56/73/81/847567381.db2.gz MOFZDAINCXDGRH-AWEZNQCLSA-N 1 2 320.437 1.759 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)c(C)o2)[C@H](O)C1 ZINC001090198529 848175742 /nfs/dbraw/zinc/17/57/42/848175742.db2.gz YNZANVKAHIBJAF-QWHCGFSZSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)c(C)o2)[C@H](O)C1 ZINC001090198529 848175750 /nfs/dbraw/zinc/17/57/50/848175750.db2.gz YNZANVKAHIBJAF-QWHCGFSZSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001034362903 848414243 /nfs/dbraw/zinc/41/42/43/848414243.db2.gz JUIKUIHLYCTCMX-JSGCOSHPSA-N 1 2 304.793 1.838 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001034362903 848414245 /nfs/dbraw/zinc/41/42/45/848414245.db2.gz JUIKUIHLYCTCMX-JSGCOSHPSA-N 1 2 304.793 1.838 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCCC3(C[NH+](CC(=O)N(C)C)C3)C2)C1 ZINC001273135699 848526346 /nfs/dbraw/zinc/52/63/46/848526346.db2.gz SATPFHPFCPYPHZ-UHFFFAOYSA-N 1 2 319.449 1.355 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1ncc(C)s1)C2 ZINC001273221365 848804176 /nfs/dbraw/zinc/80/41/76/848804176.db2.gz HPPCJTGMVVWUOJ-INIZCTEOSA-N 1 2 319.430 1.136 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1ncc(C)s1)C2 ZINC001273221365 848804183 /nfs/dbraw/zinc/80/41/83/848804183.db2.gz HPPCJTGMVVWUOJ-INIZCTEOSA-N 1 2 319.430 1.136 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2Cc2cnc(OC)s2)C1=O ZINC001273223420 848811756 /nfs/dbraw/zinc/81/17/56/848811756.db2.gz FXRUPPGXQSSGLG-OAHLLOKOSA-N 1 2 307.419 1.905 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2Cc2cnc(OC)s2)C1=O ZINC001273223420 848811763 /nfs/dbraw/zinc/81/17/63/848811763.db2.gz FXRUPPGXQSSGLG-OAHLLOKOSA-N 1 2 307.419 1.905 20 30 DDEDLO CCOc1nnc(C[NH2+][C@@H](C)CN(C)C(=O)[C@@H](C)C#N)s1 ZINC001410340346 849105002 /nfs/dbraw/zinc/10/50/02/849105002.db2.gz HIFJEUTXIQCKMI-UWVGGRQHSA-N 1 2 311.411 1.033 20 30 DDEDLO CC#CCCCC(=O)N(C)CCC[N@H+](C)[C@H](C)c1nnnn1C ZINC001327245558 861992947 /nfs/dbraw/zinc/99/29/47/861992947.db2.gz LYTACTWWDKSJGN-CQSZACIVSA-N 1 2 320.441 1.245 20 30 DDEDLO CC#CCCCC(=O)N(C)CCC[N@@H+](C)[C@H](C)c1nnnn1C ZINC001327245558 861992951 /nfs/dbraw/zinc/99/29/51/861992951.db2.gz LYTACTWWDKSJGN-CQSZACIVSA-N 1 2 320.441 1.245 20 30 DDEDLO CCN(CC[NH2+]Cc1nc([C@@H](C)OC)no1)C(=O)C#CC(C)C ZINC001273362291 849677670 /nfs/dbraw/zinc/67/76/70/849677670.db2.gz BTZIAHBTTULYDD-CYBMUJFWSA-N 1 2 322.409 1.375 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCOC2(C[NH+](CC/C=C/CC)C2)C1 ZINC001327320115 862059804 /nfs/dbraw/zinc/05/98/04/862059804.db2.gz PJTXPTJLURQDTB-MOEXGYKKSA-N 1 2 320.433 1.294 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C)CCC1)CO2 ZINC001327403339 862144368 /nfs/dbraw/zinc/14/43/68/862144368.db2.gz CJAPLFXSMPGLGH-CQSZACIVSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CCN1CC[C@@]2(CCCN(c3cc[nH+]c(OC)c3)CC2)C1=O ZINC001273585595 851116371 /nfs/dbraw/zinc/11/63/71/851116371.db2.gz RUCFXMVHYGXMAW-SFHVURJKSA-N 1 2 313.401 1.932 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)CCc1ccco1)O2 ZINC001273647850 851181129 /nfs/dbraw/zinc/18/11/29/851181129.db2.gz VNUHBZXLSAYNOI-OAHLLOKOSA-N 1 2 304.390 1.748 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)CC1OCCCO1)O2 ZINC001273654958 851190159 /nfs/dbraw/zinc/19/01/59/851190159.db2.gz JTCIOTUJVIOYHD-AWEZNQCLSA-N 1 2 324.421 1.065 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H](C)C(C)C)O2 ZINC001273669526 851205876 /nfs/dbraw/zinc/20/58/76/851205876.db2.gz VPNYFRWWBIVEPD-JKSUJKDBSA-N 1 2 324.465 1.831 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)C[C@H](NC(=O)C(=O)C(C)(C)C)CO2 ZINC001273684309 851224562 /nfs/dbraw/zinc/22/45/62/851224562.db2.gz AKKYXVBMHUPCSR-ZDUSSCGKSA-N 1 2 308.422 1.527 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2[C@@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707443 851250229 /nfs/dbraw/zinc/25/02/29/851250229.db2.gz QILCTDUBCSAYQV-GUYCJALGSA-N 1 2 306.406 1.417 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2[C@@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707443 851250235 /nfs/dbraw/zinc/25/02/35/851250235.db2.gz QILCTDUBCSAYQV-GUYCJALGSA-N 1 2 306.406 1.417 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](C[C@@H](O)CCCCC)C2)OCC1=O ZINC001273878792 851448715 /nfs/dbraw/zinc/44/87/15/851448715.db2.gz RWXDJVOWYBNBLR-HNNXBMFYSA-N 1 2 310.438 1.417 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc3c[nH]nc3c1)C2 ZINC001274224727 852053292 /nfs/dbraw/zinc/05/32/92/852053292.db2.gz GEIJCDGGRWJYDY-UHFFFAOYSA-N 1 2 312.373 1.162 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H](CC)CC(F)F)CC2)C1 ZINC001274474177 852309538 /nfs/dbraw/zinc/30/95/38/852309538.db2.gz FFBVXLWTJJKSRH-ZDUSSCGKSA-N 1 2 313.392 1.129 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1C[C@]2(F)CN([C@@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274614163 852442960 /nfs/dbraw/zinc/44/29/60/852442960.db2.gz BQUUHUUIAZQXSK-PMOUVXMZSA-N 1 2 302.365 1.296 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1C[C@]2(F)CN([C@@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274614163 852442963 /nfs/dbraw/zinc/44/29/63/852442963.db2.gz BQUUHUUIAZQXSK-PMOUVXMZSA-N 1 2 302.365 1.296 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)C[C@@H]2C)nc1 ZINC001274623231 852454800 /nfs/dbraw/zinc/45/48/00/852454800.db2.gz KAMSTKDEKSEFKX-JKSUJKDBSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)C[C@@H]2C)nc1 ZINC001274623231 852454804 /nfs/dbraw/zinc/45/48/04/852454804.db2.gz KAMSTKDEKSEFKX-JKSUJKDBSA-N 1 2 314.433 1.914 20 30 DDEDLO C=CCCC(=O)N1CC(N(C)C(=O)[C@H]2CCc3[nH+]ccn3C2)C1 ZINC001299373643 852562671 /nfs/dbraw/zinc/56/26/71/852562671.db2.gz UOGOQQQDQINXIG-ZDUSSCGKSA-N 1 2 316.405 1.081 20 30 DDEDLO C=CCCC(=O)N1CC(N(C)C(=O)c2cccc3[nH+]ccn32)C1 ZINC001299380509 852591095 /nfs/dbraw/zinc/59/10/95/852591095.db2.gz MOGYNNCXKHATAO-UHFFFAOYSA-N 1 2 312.373 1.583 20 30 DDEDLO N#CCc1ccccc1C(=O)N1CC2(C1)C[NH+](Cc1cn[nH]c1)C2 ZINC001274891736 852667700 /nfs/dbraw/zinc/66/77/00/852667700.db2.gz CUORLIWETVMESV-UHFFFAOYSA-N 1 2 321.384 1.434 20 30 DDEDLO C#CCN(C(C)=O)C1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC001299443845 852855030 /nfs/dbraw/zinc/85/50/30/852855030.db2.gz CDKWYZBGYRTGPI-CQSZACIVSA-N 1 2 316.405 1.307 20 30 DDEDLO N#Cc1ccc(CN2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)cc1F ZINC001275327430 852984289 /nfs/dbraw/zinc/98/42/89/852984289.db2.gz SGIPFKKCXPUGLE-UHFFFAOYSA-N 1 2 311.364 1.738 20 30 DDEDLO CN1CCO[C@]2(CCC[N@H+](Cc3cc(C#N)ccc3F)C2)C1 ZINC001275357610 853013520 /nfs/dbraw/zinc/01/35/20/853013520.db2.gz HCTZZFKGQGPOJA-QGZVFWFLSA-N 1 2 303.381 1.994 20 30 DDEDLO CN1CCO[C@]2(CCC[N@@H+](Cc3cc(C#N)ccc3F)C2)C1 ZINC001275357610 853013532 /nfs/dbraw/zinc/01/35/32/853013532.db2.gz HCTZZFKGQGPOJA-QGZVFWFLSA-N 1 2 303.381 1.994 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccccc1)c1ccc2[nH]nnc2c1 ZINC001150703515 862423848 /nfs/dbraw/zinc/42/38/48/862423848.db2.gz ONEWSCHUHAUJAU-UHFFFAOYSA-N 1 2 319.368 1.329 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@H]2C[C@@H](C1)N2Cc1cccc(C#N)n1 ZINC001275605017 853424730 /nfs/dbraw/zinc/42/47/30/853424730.db2.gz CHJUSQKASBDYIE-CALCHBBNSA-N 1 2 322.416 1.628 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@H]2C[C@@H](C1)N2Cc1cccc(C#N)n1 ZINC001275605017 853424734 /nfs/dbraw/zinc/42/47/34/853424734.db2.gz CHJUSQKASBDYIE-CALCHBBNSA-N 1 2 322.416 1.628 20 30 DDEDLO C=CCOCC[NH+]1CC(CCO)(NC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001276062249 854689097 /nfs/dbraw/zinc/68/90/97/854689097.db2.gz IMHTZOLZWWQTIM-HUUCEWRRSA-N 1 2 324.465 1.424 20 30 DDEDLO C=CCC[NH+]1CC(CCO)(NC(=O)c2scnc2C2CC2)C1 ZINC001276088150 854734629 /nfs/dbraw/zinc/73/46/29/854734629.db2.gz IETIEQHKWNJZBX-UHFFFAOYSA-N 1 2 321.446 1.763 20 30 DDEDLO CCC(=O)NC1CC[NH+]([C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC001413065843 856318142 /nfs/dbraw/zinc/31/81/42/856318142.db2.gz ZMTWUXIQMILBHK-MRXNPFEDSA-N 1 2 314.389 1.075 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)C#CC(C)(C)C)CC[N@@H+]1Cc1nccn1C ZINC001328239718 862807946 /nfs/dbraw/zinc/80/79/46/862807946.db2.gz MKBBZJBOCSUDKG-HUUCEWRRSA-N 1 2 316.449 1.939 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)C#CC(C)(C)C)CC[N@H+]1Cc1nccn1C ZINC001328239718 862807967 /nfs/dbraw/zinc/80/79/67/862807967.db2.gz MKBBZJBOCSUDKG-HUUCEWRRSA-N 1 2 316.449 1.939 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2[nH]c3c([nH+]2)CCCC3)s1 ZINC001413461679 856841584 /nfs/dbraw/zinc/84/15/84/856841584.db2.gz NBIRJPRQFZUETK-UHFFFAOYSA-N 1 2 322.415 1.700 20 30 DDEDLO C#CC[N@H+]1CCC2(CN(C(=O)c3n[nH]cc3C(F)(F)F)C2)C1 ZINC001072808670 857734287 /nfs/dbraw/zinc/73/42/87/857734287.db2.gz LWIKGIPRPIRLLA-UHFFFAOYSA-N 1 2 312.295 1.210 20 30 DDEDLO C#CC[N@@H+]1CCC2(CN(C(=O)c3n[nH]cc3C(F)(F)F)C2)C1 ZINC001072808670 857734289 /nfs/dbraw/zinc/73/42/89/857734289.db2.gz LWIKGIPRPIRLLA-UHFFFAOYSA-N 1 2 312.295 1.210 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2C)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121708979 858578574 /nfs/dbraw/zinc/57/85/74/858578574.db2.gz PKHABSYMPPWDLG-WOSRLPQWSA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2C)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121708979 858578575 /nfs/dbraw/zinc/57/85/75/858578575.db2.gz PKHABSYMPPWDLG-WOSRLPQWSA-N 1 2 305.426 1.364 20 30 DDEDLO C#CC[C@@H](OC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(C)C ZINC001122761732 858962541 /nfs/dbraw/zinc/96/25/41/858962541.db2.gz APCLDSBGQOCXDD-OAGGEKHMSA-N 1 2 309.406 1.457 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@@H](Nc2cc[nH+]c(C)n2)C(C)(C)C1 ZINC001123972102 859504186 /nfs/dbraw/zinc/50/41/86/859504186.db2.gz LLFYIBFDNCVOEU-GXTWGEPZSA-N 1 2 316.405 1.472 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)C(=O)/C=C\c1ccc(F)cc1 ZINC001138185796 860036176 /nfs/dbraw/zinc/03/61/76/860036176.db2.gz UBPMFMDPLYPIJW-DAXSKMNVSA-N 1 2 301.321 1.500 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCc3cnn(C)c3C2)cc1OC ZINC001138346534 860063514 /nfs/dbraw/zinc/06/35/14/860063514.db2.gz HCTCEQNYOOKWQS-UHFFFAOYSA-N 1 2 311.385 1.999 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCc3cnn(C)c3C2)cc1OC ZINC001138346534 860063525 /nfs/dbraw/zinc/06/35/25/860063525.db2.gz HCTCEQNYOOKWQS-UHFFFAOYSA-N 1 2 311.385 1.999 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccc(-c3cc(C#N)ccn3)cc2)CC(=O)N1 ZINC001139400343 860388195 /nfs/dbraw/zinc/38/81/95/860388195.db2.gz OZVMNCAXGQSHOD-ZDUSSCGKSA-N 1 2 306.369 1.941 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccc(-c3cc(C#N)ccn3)cc2)CC(=O)N1 ZINC001139400343 860388205 /nfs/dbraw/zinc/38/82/05/860388205.db2.gz OZVMNCAXGQSHOD-ZDUSSCGKSA-N 1 2 306.369 1.941 20 30 DDEDLO N#CCCN1CC[NH+](Cc2c[nH]c3ncnc(Cl)c23)CC1 ZINC001139937515 860520116 /nfs/dbraw/zinc/52/01/16/860520116.db2.gz SBKKZHSVPVTTPA-UHFFFAOYSA-N 1 2 304.785 1.594 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1nc(C)no1 ZINC001325899453 860937187 /nfs/dbraw/zinc/93/71/87/860937187.db2.gz LVTNUCQGLYUSFD-HUUCEWRRSA-N 1 2 316.405 1.747 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1nc(C)no1 ZINC001325899453 860937205 /nfs/dbraw/zinc/93/72/05/860937205.db2.gz LVTNUCQGLYUSFD-HUUCEWRRSA-N 1 2 316.405 1.747 20 30 DDEDLO C=C[C@H](C(=O)NCC[NH2+]Cc1nonc1C)c1ccccc1 ZINC001151990601 863096250 /nfs/dbraw/zinc/09/62/50/863096250.db2.gz KCIJSNROOBHEBB-AWEZNQCLSA-N 1 2 300.362 1.554 20 30 DDEDLO N#CCNC[C@@H]1CCCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001328631513 863119277 /nfs/dbraw/zinc/11/92/77/863119277.db2.gz QJVDPKKPXXDZKJ-KRWDZBQOSA-N 1 2 323.400 1.980 20 30 DDEDLO C#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001328737559 863182643 /nfs/dbraw/zinc/18/26/43/863182643.db2.gz OTFBNZRSXIAETR-KBPBESRZSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001328737559 863182653 /nfs/dbraw/zinc/18/26/53/863182653.db2.gz OTFBNZRSXIAETR-KBPBESRZSA-N 1 2 300.406 1.386 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2noc3ccc(OC)cc32)CC1 ZINC001156727689 863302354 /nfs/dbraw/zinc/30/23/54/863302354.db2.gz ZWGROLKXUFBNFV-UHFFFAOYSA-N 1 2 301.346 1.780 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@H](C)[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001329025944 863429360 /nfs/dbraw/zinc/42/93/60/863429360.db2.gz DSIBUIKFJPDTPL-STQMWFEESA-N 1 2 320.441 1.070 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2csnn2)CCCCC1 ZINC001153009199 863648304 /nfs/dbraw/zinc/64/83/04/863648304.db2.gz KCBHXBMMAXNRKR-UHFFFAOYSA-N 1 2 306.435 1.718 20 30 DDEDLO C=CCN(C(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C)C1CC1 ZINC001329425021 863657651 /nfs/dbraw/zinc/65/76/51/863657651.db2.gz WBUUCLRIOGWCIV-INIZCTEOSA-N 1 2 313.401 1.466 20 30 DDEDLO C=CCN(C(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C)C1CC1 ZINC001329425021 863657654 /nfs/dbraw/zinc/65/76/54/863657654.db2.gz WBUUCLRIOGWCIV-INIZCTEOSA-N 1 2 313.401 1.466 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](C)[N@H+](C)Cc2cnnn2C)CC1 ZINC001329539144 863719781 /nfs/dbraw/zinc/71/97/81/863719781.db2.gz GIGWIPRHZUSAEA-CYBMUJFWSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](C)[N@@H+](C)Cc2cnnn2C)CC1 ZINC001329539144 863719786 /nfs/dbraw/zinc/71/97/86/863719786.db2.gz GIGWIPRHZUSAEA-CYBMUJFWSA-N 1 2 305.426 1.498 20 30 DDEDLO CC[N@H+](Cc1snnc1C)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153233167 863778255 /nfs/dbraw/zinc/77/82/55/863778255.db2.gz WGNXHQKMTYUWFB-LLVKDONJSA-N 1 2 306.435 1.587 20 30 DDEDLO CC[N@@H+](Cc1snnc1C)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153233167 863778260 /nfs/dbraw/zinc/77/82/60/863778260.db2.gz WGNXHQKMTYUWFB-LLVKDONJSA-N 1 2 306.435 1.587 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)C1C[NH+](Cc2cnnn2C)C1 ZINC001330030006 864031032 /nfs/dbraw/zinc/03/10/32/864031032.db2.gz BZNPGPCDAJYBFL-GFCCVEGCSA-N 1 2 305.426 1.354 20 30 DDEDLO C#CC[C@@H](CC(=O)NCC[NH2+]Cc1ncccn1)c1ccccc1 ZINC001153714530 864034231 /nfs/dbraw/zinc/03/42/31/864034231.db2.gz PJIPYVHQTSTOBM-KRWDZBQOSA-N 1 2 322.412 1.880 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)OCC3CC3)C2)C1 ZINC001330149385 864105607 /nfs/dbraw/zinc/10/56/07/864105607.db2.gz WOYJHDUTWGRGPQ-PBHICJAKSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)OCC3CC3)C2)C1 ZINC001330149385 864105615 /nfs/dbraw/zinc/10/56/15/864105615.db2.gz WOYJHDUTWGRGPQ-PBHICJAKSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1C[N@H+](CC(=O)NCC#N)CC1(C)C ZINC001330200658 864151009 /nfs/dbraw/zinc/15/10/09/864151009.db2.gz AIIIZHARBPPIIQ-ZDUSSCGKSA-N 1 2 320.437 1.055 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1C[N@@H+](CC(=O)NCC#N)CC1(C)C ZINC001330200658 864151013 /nfs/dbraw/zinc/15/10/13/864151013.db2.gz AIIIZHARBPPIIQ-ZDUSSCGKSA-N 1 2 320.437 1.055 20 30 DDEDLO C#CC[N@@H+](C)C[C@H]1CCCCN1C(=O)c1cc(C2CC2)[nH]n1 ZINC001158507438 864697548 /nfs/dbraw/zinc/69/75/48/864697548.db2.gz GORFKAPQOTZEJY-CQSZACIVSA-N 1 2 300.406 1.847 20 30 DDEDLO C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)c1cc(C2CC2)[nH]n1 ZINC001158507438 864697551 /nfs/dbraw/zinc/69/75/51/864697551.db2.gz GORFKAPQOTZEJY-CQSZACIVSA-N 1 2 300.406 1.847 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H](C)[NH2+]Cc2nnc(C)o2)nc1 ZINC001331612698 865183408 /nfs/dbraw/zinc/18/34/08/865183408.db2.gz NXQDUBKRJNJDOA-NSHDSACASA-N 1 2 313.361 1.005 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@H+](Cc2ncc(C)o2)CC1 ZINC001159600780 865422302 /nfs/dbraw/zinc/42/23/02/865422302.db2.gz FHDFIGVXLYRWET-UHFFFAOYSA-N 1 2 319.405 1.824 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@@H+](Cc2ncc(C)o2)CC1 ZINC001159600780 865422311 /nfs/dbraw/zinc/42/23/11/865422311.db2.gz FHDFIGVXLYRWET-UHFFFAOYSA-N 1 2 319.405 1.824 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)C(=O)NCc1c[nH+]c(C)cc1C ZINC001332045153 865527840 /nfs/dbraw/zinc/52/78/40/865527840.db2.gz SSHPLECLGHKQIK-ZFWWWQNUSA-N 1 2 317.389 1.022 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2cc(F)c[nH]2)[C@@H]1C ZINC001332187758 865650451 /nfs/dbraw/zinc/65/04/51/865650451.db2.gz XZTMBBYVRJMYEG-WCQYABFASA-N 1 2 322.384 1.039 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2cc(F)c[nH]2)[C@@H]1C ZINC001332187758 865650456 /nfs/dbraw/zinc/65/04/56/865650456.db2.gz XZTMBBYVRJMYEG-WCQYABFASA-N 1 2 322.384 1.039 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1([NH2+][C@@H](C)c2ncc(C)o2)CC1 ZINC001277055388 881910429 /nfs/dbraw/zinc/91/04/29/881910429.db2.gz OWPAFIZRPKGALA-STQMWFEESA-N 1 2 305.378 1.321 20 30 DDEDLO O=C(C#CC1CC1)NCC1([NH2+]Cc2csc(Cl)n2)CC1 ZINC001277055654 881914047 /nfs/dbraw/zinc/91/40/47/881914047.db2.gz SNYHBXJFKJMKJU-UHFFFAOYSA-N 1 2 309.822 1.948 20 30 DDEDLO Cc1nc(Cl)nc(N[C@H]2CCC[C@@H]2[NH+]2CCOCC2)c1C#N ZINC001160722843 866067431 /nfs/dbraw/zinc/06/74/31/866067431.db2.gz CYDUYILPKFNYGZ-STQMWFEESA-N 1 2 321.812 1.397 20 30 DDEDLO Nc1ccc(C=[NH+]NC(=S)NC[C@@H]2CCCO2)cc1Cl ZINC001322930663 866199435 /nfs/dbraw/zinc/19/94/35/866199435.db2.gz FMONKHJXBHHABF-JTQLQIEISA-N 1 2 312.826 1.899 20 30 DDEDLO C=CCOCC(=O)N(C)CC[N@H+](C)Cc1nc(C)sc1C ZINC001319981969 866416018 /nfs/dbraw/zinc/41/60/18/866416018.db2.gz WZWQVYYIMVDEIS-UHFFFAOYSA-N 1 2 311.451 1.853 20 30 DDEDLO C=CCOCC(=O)N(C)CC[N@@H+](C)Cc1nc(C)sc1C ZINC001319981969 866416022 /nfs/dbraw/zinc/41/60/22/866416022.db2.gz WZWQVYYIMVDEIS-UHFFFAOYSA-N 1 2 311.451 1.853 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)C1CC(C)C1 ZINC001323209100 866432853 /nfs/dbraw/zinc/43/28/53/866432853.db2.gz FLXFSSLIMBYAJN-UYSNPLJNSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)C1CC(C)C1 ZINC001323209100 866432854 /nfs/dbraw/zinc/43/28/54/866432854.db2.gz FLXFSSLIMBYAJN-UYSNPLJNSA-N 1 2 321.465 1.648 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)cc(C)n1 ZINC001323258035 866478874 /nfs/dbraw/zinc/47/88/74/866478874.db2.gz GGEPABSZAMYXNO-OAHLLOKOSA-N 1 2 301.390 1.152 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)cc(C)n1 ZINC001323258035 866478877 /nfs/dbraw/zinc/47/88/77/866478877.db2.gz GGEPABSZAMYXNO-OAHLLOKOSA-N 1 2 301.390 1.152 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)CCC(C)(F)F ZINC001323261830 866482469 /nfs/dbraw/zinc/48/24/69/866482469.db2.gz KWQXQIDQPSCVKT-NWDGAFQWSA-N 1 2 317.380 1.303 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)CCC(C)(F)F ZINC001323261830 866482476 /nfs/dbraw/zinc/48/24/76/866482476.db2.gz KWQXQIDQPSCVKT-NWDGAFQWSA-N 1 2 317.380 1.303 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@H+]1CC(=O)N(C)C(C)C ZINC001323278344 866494940 /nfs/dbraw/zinc/49/49/40/866494940.db2.gz PSKUHJSDGSVPRA-AWEZNQCLSA-N 1 2 309.454 1.646 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N(C)C(C)C ZINC001323278344 866494944 /nfs/dbraw/zinc/49/49/44/866494944.db2.gz PSKUHJSDGSVPRA-AWEZNQCLSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001323292453 866505602 /nfs/dbraw/zinc/50/56/02/866505602.db2.gz FIAPNZCLDBMRFP-CABCVRRESA-N 1 2 307.438 1.543 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001323292453 866505610 /nfs/dbraw/zinc/50/56/10/866505610.db2.gz FIAPNZCLDBMRFP-CABCVRRESA-N 1 2 307.438 1.543 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H](C)c1ccccc1F ZINC001323325358 866531783 /nfs/dbraw/zinc/53/17/83/866531783.db2.gz SSSDOMSPXHIWIA-HUUCEWRRSA-N 1 2 318.392 1.770 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H](C)c1ccccc1F ZINC001323325358 866531799 /nfs/dbraw/zinc/53/17/99/866531799.db2.gz SSSDOMSPXHIWIA-HUUCEWRRSA-N 1 2 318.392 1.770 20 30 DDEDLO C#CCC1(C(=O)NC[C@H]2CC[N@H+]2CC#CCOC)CCCCC1 ZINC001323369381 866566749 /nfs/dbraw/zinc/56/67/49/866566749.db2.gz BXBOUUCGIOXRKW-QGZVFWFLSA-N 1 2 316.445 1.801 20 30 DDEDLO C#CCC1(C(=O)NC[C@H]2CC[N@@H+]2CC#CCOC)CCCCC1 ZINC001323369381 866566760 /nfs/dbraw/zinc/56/67/60/866566760.db2.gz BXBOUUCGIOXRKW-QGZVFWFLSA-N 1 2 316.445 1.801 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CC[C@@H]2CC[C@@H](C)O2)C1 ZINC001324031324 867021499 /nfs/dbraw/zinc/02/14/99/867021499.db2.gz ZTGNVVDXEJJGHF-ZBFHGGJFSA-N 1 2 310.438 1.679 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]1C[NH2+]Cc1nc(N(C)C)no1 ZINC001324145992 867106042 /nfs/dbraw/zinc/10/60/42/867106042.db2.gz KNMPOOCSHOYHPU-ZDUSSCGKSA-N 1 2 319.409 1.020 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CC(=O)NCC(=O)c2cccs2)C1 ZINC001320898651 867109647 /nfs/dbraw/zinc/10/96/47/867109647.db2.gz MEVOACHQUYKFHC-LBPRGKRZSA-N 1 2 308.403 1.324 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CC(=O)NCC(=O)c2cccs2)C1 ZINC001320898651 867109660 /nfs/dbraw/zinc/10/96/60/867109660.db2.gz MEVOACHQUYKFHC-LBPRGKRZSA-N 1 2 308.403 1.324 20 30 DDEDLO C[C@H](C#N)C(=O)NC/C=C\C[NH2+]Cc1cnn(-c2ccccc2)n1 ZINC001320998690 867190732 /nfs/dbraw/zinc/19/07/32/867190732.db2.gz PSDUHGCQSTZYKS-GEXIGZQTSA-N 1 2 324.388 1.189 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cnn(Cc2cccnc2)c1 ZINC001333984359 867211670 /nfs/dbraw/zinc/21/16/70/867211670.db2.gz FKXWBZUXTXCJGQ-INIZCTEOSA-N 1 2 309.373 1.363 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cnn(Cc2cccnc2)c1 ZINC001333984359 867211680 /nfs/dbraw/zinc/21/16/80/867211680.db2.gz FKXWBZUXTXCJGQ-INIZCTEOSA-N 1 2 309.373 1.363 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C[NH2+]Cc1nnn(C)n1)C1CCCC1 ZINC001324495686 867312858 /nfs/dbraw/zinc/31/28/58/867312858.db2.gz PZMSGOVCIFLAIB-ZDUSSCGKSA-N 1 2 320.441 1.187 20 30 DDEDLO C=CC[C@H]1NC(=O)N(Cc2cc[nH+]c(N3CCCC3)c2)C1=O ZINC001324607806 867400716 /nfs/dbraw/zinc/40/07/16/867400716.db2.gz KWUZXRMIPZTSDO-CYBMUJFWSA-N 1 2 300.362 1.678 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@](C)(NC(=O)c2nccs2)C1 ZINC001324661176 867434672 /nfs/dbraw/zinc/43/46/72/867434672.db2.gz MCDYWDBJARXGNQ-XHDPSFHLSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@](C)(NC(=O)c2nccs2)C1 ZINC001324661176 867434681 /nfs/dbraw/zinc/43/46/81/867434681.db2.gz MCDYWDBJARXGNQ-XHDPSFHLSA-N 1 2 322.434 1.028 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+](C)Cc2cc(F)cc(F)c2)CC1 ZINC001324696048 867458690 /nfs/dbraw/zinc/45/86/90/867458690.db2.gz KLLTVPJXGODTBC-UHFFFAOYSA-N 1 2 321.371 1.174 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+](C)Cc2cc(F)cc(F)c2)CC1 ZINC001324696048 867458705 /nfs/dbraw/zinc/45/87/05/867458705.db2.gz KLLTVPJXGODTBC-UHFFFAOYSA-N 1 2 321.371 1.174 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C/C[NH2+]Cc2nc(C)c(C)o2)cn1 ZINC001321363793 867531480 /nfs/dbraw/zinc/53/14/80/867531480.db2.gz DGWRQZUPARUOSR-AATRIKPKSA-N 1 2 324.384 1.744 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1C[N@H+]([C@@H](C)C(N)=O)CCC1(F)F ZINC001325049961 867720719 /nfs/dbraw/zinc/72/07/19/867720719.db2.gz GHCUYMPDPOAKTB-NWDGAFQWSA-N 1 2 317.380 1.290 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1C[N@@H+]([C@@H](C)C(N)=O)CCC1(F)F ZINC001325049961 867720729 /nfs/dbraw/zinc/72/07/29/867720729.db2.gz GHCUYMPDPOAKTB-NWDGAFQWSA-N 1 2 317.380 1.290 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1C[N@H+]([C@H](C)C(N)=O)CCC1(F)F ZINC001325049963 867721745 /nfs/dbraw/zinc/72/17/45/867721745.db2.gz GHCUYMPDPOAKTB-VXGBXAGGSA-N 1 2 317.380 1.290 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1C[N@@H+]([C@H](C)C(N)=O)CCC1(F)F ZINC001325049963 867721749 /nfs/dbraw/zinc/72/17/49/867721749.db2.gz GHCUYMPDPOAKTB-VXGBXAGGSA-N 1 2 317.380 1.290 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNc2ncc(C(F)(F)F)cc2C#N)C1 ZINC001162683222 867728392 /nfs/dbraw/zinc/72/83/92/867728392.db2.gz ROBSBULHSSBWAG-LLVKDONJSA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCO[C@H](CNc2ncc(C(F)(F)F)cc2C#N)C1 ZINC001162683222 867728402 /nfs/dbraw/zinc/72/84/02/867728402.db2.gz ROBSBULHSSBWAG-LLVKDONJSA-N 1 2 300.284 1.715 20 30 DDEDLO C[C@@H](c1ccccc1)[NH+]1CC(O)(CNC(=O)C#CC(C)(C)C)C1 ZINC001325207211 867862805 /nfs/dbraw/zinc/86/28/05/867862805.db2.gz HGBZLJZRCIDHJF-HNNXBMFYSA-N 1 2 314.429 1.960 20 30 DDEDLO N#CC1(NC(=O)[C@H]2CC23C[NH+](Cc2ccnc(F)c2)C3)CCC1 ZINC001277100699 882212076 /nfs/dbraw/zinc/21/20/76/882212076.db2.gz ZWGVRXGTFTZPOZ-CYBMUJFWSA-N 1 2 314.364 1.605 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCOC[C@@H]1Nc1cc[nH+]c(C)n1 ZINC001336299476 868851997 /nfs/dbraw/zinc/85/19/97/868851997.db2.gz UHODZUCBWDNHPM-KGLIPLIRSA-N 1 2 304.394 1.827 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001337357800 869450812 /nfs/dbraw/zinc/45/08/12/869450812.db2.gz LCINKICIPRJURM-LLVKDONJSA-N 1 2 306.410 1.436 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](C)N(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001338109214 869809765 /nfs/dbraw/zinc/80/97/65/869809765.db2.gz SZNAWSUHONMHMO-ZFWWWQNUSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](C)N(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001338109214 869809770 /nfs/dbraw/zinc/80/97/70/869809770.db2.gz SZNAWSUHONMHMO-ZFWWWQNUSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@@H](C)N(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001338155320 869837935 /nfs/dbraw/zinc/83/79/35/869837935.db2.gz PIRSLFSFWNKSPA-YPMHNXCESA-N 1 2 304.394 1.270 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)C[C@H](CC)C(C)(C)C)CC1 ZINC001316972789 870030157 /nfs/dbraw/zinc/03/01/57/870030157.db2.gz UKBIXHBFQJKLOS-INIZCTEOSA-N 1 2 307.482 1.816 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)c2oc(C)cc2C)C1 ZINC001316974044 870033378 /nfs/dbraw/zinc/03/33/78/870033378.db2.gz PSCHIEYMDAOCRS-KBPBESRZSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)c2oc(C)cc2C)C1 ZINC001316974044 870033390 /nfs/dbraw/zinc/03/33/90/870033390.db2.gz PSCHIEYMDAOCRS-KBPBESRZSA-N 1 2 319.405 1.391 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(Cl)[nH]1 ZINC001317048078 870164463 /nfs/dbraw/zinc/16/44/63/870164463.db2.gz CXTUNDBVJMQRIB-BETUJISGSA-N 1 2 321.808 1.607 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(Cl)[nH]1 ZINC001317048078 870164472 /nfs/dbraw/zinc/16/44/72/870164472.db2.gz CXTUNDBVJMQRIB-BETUJISGSA-N 1 2 321.808 1.607 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](CC(C)C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001297851404 870189738 /nfs/dbraw/zinc/18/97/38/870189738.db2.gz MOTPDBRGNDXJIJ-ZDUSSCGKSA-N 1 2 320.437 1.812 20 30 DDEDLO COC(=O)C[C@@H]1CN(c2[nH+]ccc3[nH]cc(C#N)c32)CCO1 ZINC001166836854 870299529 /nfs/dbraw/zinc/29/95/29/870299529.db2.gz RDQYDZZFMNEOSA-LLVKDONJSA-N 1 2 300.318 1.203 20 30 DDEDLO C#C[C@H]1CCCCN1c1nnc(Cc2[nH+]ccn2C)n1CC=C ZINC001339095295 870343175 /nfs/dbraw/zinc/34/31/75/870343175.db2.gz NIQMYDASSAITCF-AWEZNQCLSA-N 1 2 310.405 1.781 20 30 DDEDLO Cc1cc(N2CCN(c3nccnc3C#N)CC2)nc(C2CC2)[nH+]1 ZINC001166921979 870377831 /nfs/dbraw/zinc/37/78/31/870377831.db2.gz WSEMOIHAPACODI-UHFFFAOYSA-N 1 2 321.388 1.651 20 30 DDEDLO CC(C)C[C@H](C(=O)NC[C@@H]1CCCCN1CC#N)n1cc[nH+]c1 ZINC001317173656 870414918 /nfs/dbraw/zinc/41/49/18/870414918.db2.gz PUUYBZFSCYOTTA-JKSUJKDBSA-N 1 2 317.437 1.965 20 30 DDEDLO CN(Cc1cccc(C#N)c1)c1nnc(Cc2[nH+]ccn2C)n1C ZINC001339429114 870494662 /nfs/dbraw/zinc/49/46/62/870494662.db2.gz WNZCRSLMWCIRRA-UHFFFAOYSA-N 1 2 321.388 1.647 20 30 DDEDLO N#Cc1cc(F)cc(C[NH+]2CC3(C2)SCC[C@H]3C(N)=O)c1 ZINC001277126844 882419139 /nfs/dbraw/zinc/41/91/39/882419139.db2.gz QEZGYWGFFNQSNR-ZDUSSCGKSA-N 1 2 305.378 1.490 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)[NH2+]Cc1nnc(OCC)s1 ZINC001317257885 870546971 /nfs/dbraw/zinc/54/69/71/870546971.db2.gz QYSNJFQDFJLYLK-JTQLQIEISA-N 1 2 312.439 1.743 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+]Cc2ncc(C(C)C)o2)C1 ZINC001317298330 870597290 /nfs/dbraw/zinc/59/72/90/870597290.db2.gz LBROQENEQXWMKF-ZDUSSCGKSA-N 1 2 307.394 1.691 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[C@@H]1CCC[N@@H+]1Cc1csnn1 ZINC001317426084 870808420 /nfs/dbraw/zinc/80/84/20/870808420.db2.gz KTFZNPQXBBUCHI-DGCLKSJQSA-N 1 2 307.423 1.559 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[C@@H]1CCC[N@H+]1Cc1csnn1 ZINC001317426084 870808428 /nfs/dbraw/zinc/80/84/28/870808428.db2.gz KTFZNPQXBBUCHI-DGCLKSJQSA-N 1 2 307.423 1.559 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1csc(C)n1 ZINC001317505573 870940925 /nfs/dbraw/zinc/94/09/25/870940925.db2.gz QSXBICDDDSMSJT-DOMZBBRYSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1csc(C)n1 ZINC001317505573 870940934 /nfs/dbraw/zinc/94/09/34/870940934.db2.gz QSXBICDDDSMSJT-DOMZBBRYSA-N 1 2 321.446 1.570 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)[C@H](C)C1 ZINC001340374199 871064364 /nfs/dbraw/zinc/06/43/64/871064364.db2.gz KQNZOZNMWSUUPN-RQJABVFESA-N 1 2 305.426 1.294 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1C[C@H](C)[C@H](C)C1 ZINC001340374199 871064385 /nfs/dbraw/zinc/06/43/85/871064385.db2.gz KQNZOZNMWSUUPN-RQJABVFESA-N 1 2 305.426 1.294 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](Cc1ccn(C)n1)C1CC1 ZINC001317557098 871067976 /nfs/dbraw/zinc/06/79/76/871067976.db2.gz RSJFZXZVUQLQAY-CVEARBPZSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](Cc1ccn(C)n1)C1CC1 ZINC001317557098 871067987 /nfs/dbraw/zinc/06/79/87/871067987.db2.gz RSJFZXZVUQLQAY-CVEARBPZSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C(Cl)C[NH2+]CCN(C)C(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001317649164 871308916 /nfs/dbraw/zinc/30/89/16/871308916.db2.gz RJPBYQSLSNSVSD-UHFFFAOYSA-N 1 2 322.800 1.224 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@H](C)COC)CC1 ZINC001226564057 882509275 /nfs/dbraw/zinc/50/92/75/882509275.db2.gz RSMCBTVVBGYFMY-SNVBAGLBSA-N 1 2 319.243 1.758 20 30 DDEDLO CCCN(C(=O)CCc1c[nH+]cn1C)[C@@H]1CCN(CC#N)C1 ZINC001317771262 871482024 /nfs/dbraw/zinc/48/20/24/871482024.db2.gz YEKUOSRZBKQJBI-OAHLLOKOSA-N 1 2 303.410 1.189 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nc4ccc(Cl)nc4[nH]3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226587149 882524079 /nfs/dbraw/zinc/52/40/79/882524079.db2.gz BQPJSSNZHIPVNC-MOGLMSHVSA-N 1 2 306.753 1.603 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nc4ccc(Cl)nc4[nH]3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226587149 882524090 /nfs/dbraw/zinc/52/40/90/882524090.db2.gz BQPJSSNZHIPVNC-MOGLMSHVSA-N 1 2 306.753 1.603 20 30 DDEDLO CCCC1(C(=O)N(C)CC[NH+]2CCN(CC#N)CC2)CCC1 ZINC001317820632 871547860 /nfs/dbraw/zinc/54/78/60/871547860.db2.gz UUIQATCNZNDTDX-UHFFFAOYSA-N 1 2 306.454 1.556 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(OCC)cc2)CC1 ZINC001341187500 871548341 /nfs/dbraw/zinc/54/83/41/871548341.db2.gz NABIGPTVQXMUDV-HNNXBMFYSA-N 1 2 315.417 1.345 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(OCC)cc2)CC1 ZINC001341187502 871549689 /nfs/dbraw/zinc/54/96/89/871549689.db2.gz NABIGPTVQXMUDV-OAHLLOKOSA-N 1 2 315.417 1.345 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CC2=CCCCC2)C1 ZINC001317960927 871653301 /nfs/dbraw/zinc/65/33/01/871653301.db2.gz HQCZXCCTDMCNOT-INIZCTEOSA-N 1 2 317.433 1.207 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CC2=CCCCC2)C1 ZINC001317960927 871653303 /nfs/dbraw/zinc/65/33/03/871653303.db2.gz HQCZXCCTDMCNOT-INIZCTEOSA-N 1 2 317.433 1.207 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C2(C(F)F)CCCC2)C1 ZINC001318056299 871717681 /nfs/dbraw/zinc/71/76/81/871717681.db2.gz KGIRMESTVFWPNJ-UHFFFAOYSA-N 1 2 302.365 1.815 20 30 DDEDLO CC#CCCCC(=O)NCC1C[NH+](Cc2ccc(OC)nn2)C1 ZINC001318144355 871776377 /nfs/dbraw/zinc/77/63/77/871776377.db2.gz BTTIFUWDORUIJJ-UHFFFAOYSA-N 1 2 316.405 1.227 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2C[NH+](Cc3ccc(OC)nn3)C2)C1 ZINC001318149010 871782380 /nfs/dbraw/zinc/78/23/80/871782380.db2.gz BYEZMYTTZHJSSC-UHFFFAOYSA-N 1 2 316.405 1.390 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2cc(C)cc(C)c2)C1 ZINC001318170707 871791066 /nfs/dbraw/zinc/79/10/66/871791066.db2.gz CJZCVVRWXLUGPL-UHFFFAOYSA-N 1 2 315.417 1.267 20 30 DDEDLO N#CCSCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccsc1 ZINC001318186783 871804645 /nfs/dbraw/zinc/80/46/45/871804645.db2.gz GCYZGNQQPPKXKH-STQMWFEESA-N 1 2 307.444 1.790 20 30 DDEDLO N#CCSCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccsc1 ZINC001318186783 871804661 /nfs/dbraw/zinc/80/46/61/871804661.db2.gz GCYZGNQQPPKXKH-STQMWFEESA-N 1 2 307.444 1.790 20 30 DDEDLO O=C(C#CC1CC1)N1CC[NH+](C[C@@H](O)c2ccc(F)cc2)CC1 ZINC001313445466 871809285 /nfs/dbraw/zinc/80/92/85/871809285.db2.gz VYWHVAIKULFLDZ-QGZVFWFLSA-N 1 2 316.376 1.417 20 30 DDEDLO C=CCOCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)s1 ZINC001318232757 871856554 /nfs/dbraw/zinc/85/65/54/871856554.db2.gz MATFIUIYDSLUDR-RYUDHWBXSA-N 1 2 310.394 1.989 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)s1 ZINC001318232757 871856581 /nfs/dbraw/zinc/85/65/81/871856581.db2.gz MATFIUIYDSLUDR-RYUDHWBXSA-N 1 2 310.394 1.989 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2ncoc2C2CC2)C1 ZINC001316992564 871889747 /nfs/dbraw/zinc/88/97/47/871889747.db2.gz RHCYPGBPKYWHPP-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2ncoc2C2CC2)C1 ZINC001316992564 871889767 /nfs/dbraw/zinc/88/97/67/871889767.db2.gz RHCYPGBPKYWHPP-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001318318938 871922270 /nfs/dbraw/zinc/92/22/70/871922270.db2.gz FSVJVTNRYALZCQ-CHWSQXEVSA-N 1 2 324.450 1.518 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001318318938 871922281 /nfs/dbraw/zinc/92/22/81/871922281.db2.gz FSVJVTNRYALZCQ-CHWSQXEVSA-N 1 2 324.450 1.518 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001318387002 871978889 /nfs/dbraw/zinc/97/88/89/871978889.db2.gz QFIMFKGYPWHGPZ-GDBMZVCRSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001318387002 871978899 /nfs/dbraw/zinc/97/88/99/871978899.db2.gz QFIMFKGYPWHGPZ-GDBMZVCRSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1C[C@@H]2[C@H](C1)C2(C)C ZINC001342062052 871979405 /nfs/dbraw/zinc/97/94/05/871979405.db2.gz PRJGLWCKPPRWQZ-MQYQWHSLSA-N 1 2 317.437 1.294 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1C[C@@H]2[C@H](C1)C2(C)C ZINC001342062052 871979412 /nfs/dbraw/zinc/97/94/12/871979412.db2.gz PRJGLWCKPPRWQZ-MQYQWHSLSA-N 1 2 317.437 1.294 20 30 DDEDLO CC[C@H](C)CCC(=O)N(CC)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318483891 872107040 /nfs/dbraw/zinc/10/70/40/872107040.db2.gz HNFREMJQBVGRKV-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO CC[C@H](C)CCC(=O)N(CC)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318483891 872107053 /nfs/dbraw/zinc/10/70/53/872107053.db2.gz HNFREMJQBVGRKV-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](CC)[NH2+]Cc1cnsn1 ZINC001318574572 872153474 /nfs/dbraw/zinc/15/34/74/872153474.db2.gz DJIHZFCJVJTLSU-RTXFEEFZSA-N 1 2 310.423 1.114 20 30 DDEDLO C=C(C)Cn1c(C2CC[NH+](CCC#N)CC2)nnc1N(C)OC ZINC001342672901 872327536 /nfs/dbraw/zinc/32/75/36/872327536.db2.gz IOGOFBRJTXMSLR-UHFFFAOYSA-N 1 2 318.425 1.945 20 30 DDEDLO C#CC1CCN(c2nnc([C@@H]3CCC[N@@H+]3C)n2CCOC)CC1 ZINC001343105593 872501162 /nfs/dbraw/zinc/50/11/62/872501162.db2.gz SOSMOGPXQHWSIP-HNNXBMFYSA-N 1 2 317.437 1.541 20 30 DDEDLO C#CC1CCN(c2nnc([C@@H]3CCC[N@H+]3C)n2CCOC)CC1 ZINC001343105593 872501171 /nfs/dbraw/zinc/50/11/71/872501171.db2.gz SOSMOGPXQHWSIP-HNNXBMFYSA-N 1 2 317.437 1.541 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001319319229 872566821 /nfs/dbraw/zinc/56/68/21/872566821.db2.gz PIAFNQZYWFSAHO-NWDGAFQWSA-N 1 2 308.344 1.968 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001319319229 872566827 /nfs/dbraw/zinc/56/68/27/872566827.db2.gz PIAFNQZYWFSAHO-NWDGAFQWSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(OCC)cc2)CC1 ZINC001319380807 872604611 /nfs/dbraw/zinc/60/46/11/872604611.db2.gz MJBYXGCMRBEADG-HNNXBMFYSA-N 1 2 317.433 1.898 20 30 DDEDLO C=C(C)Cn1c(N(C)CC2CC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001343402599 872610887 /nfs/dbraw/zinc/61/08/87/872610887.db2.gz ABZOZURPQZMMOO-WMLDXEAASA-N 1 2 319.453 1.612 20 30 DDEDLO C=C(C)Cn1c(N(C)CC2CC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001343402599 872610892 /nfs/dbraw/zinc/61/08/92/872610892.db2.gz ABZOZURPQZMMOO-WMLDXEAASA-N 1 2 319.453 1.612 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001206887146 872706968 /nfs/dbraw/zinc/70/69/68/872706968.db2.gz YIKLLXNMKXGQAW-GDBMZVCRSA-N 1 2 317.437 1.428 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001206887146 872706981 /nfs/dbraw/zinc/70/69/81/872706981.db2.gz YIKLLXNMKXGQAW-GDBMZVCRSA-N 1 2 317.437 1.428 20 30 DDEDLO C=C(C)Cn1c([C@@H]2C[N@@H+]3CCCC[C@H]3CO2)nnc1N(C)OC ZINC001346645759 873836343 /nfs/dbraw/zinc/83/63/43/873836343.db2.gz ANWJPBWOKUJWDT-KBPBESRZSA-N 1 2 321.425 1.778 20 30 DDEDLO C=C(C)Cn1c([C@@H]2C[N@H+]3CCCC[C@H]3CO2)nnc1N(C)OC ZINC001346645759 873836360 /nfs/dbraw/zinc/83/63/60/873836360.db2.gz ANWJPBWOKUJWDT-KBPBESRZSA-N 1 2 321.425 1.778 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2CC)C[C@H]1C ZINC001208309679 873990463 /nfs/dbraw/zinc/99/04/63/873990463.db2.gz SLEAKXZCDJVGJG-TZMCWYRMSA-N 1 2 305.426 1.447 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2CC)C[C@H]1C ZINC001208309679 873990472 /nfs/dbraw/zinc/99/04/72/873990472.db2.gz SLEAKXZCDJVGJG-TZMCWYRMSA-N 1 2 305.426 1.447 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+]([C@H](C)c2nc(C)no2)CC1 ZINC001227076004 882815584 /nfs/dbraw/zinc/81/55/84/882815584.db2.gz CQYGJSVWLDZAEP-BZNIZROVSA-N 1 2 322.409 1.347 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)CCCn2cccn2)C1 ZINC001378184350 874358413 /nfs/dbraw/zinc/35/84/13/874358413.db2.gz CHLRAKZDLAQZFC-CQSZACIVSA-N 1 2 310.829 1.949 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)CCCn2cccn2)C1 ZINC001378184350 874358430 /nfs/dbraw/zinc/35/84/30/874358430.db2.gz CHLRAKZDLAQZFC-CQSZACIVSA-N 1 2 310.829 1.949 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2cccc(SCC#N)c2)CCO1 ZINC001362012585 882822051 /nfs/dbraw/zinc/82/20/51/882822051.db2.gz RGWXKRMUGCCWAB-CYBMUJFWSA-N 1 2 319.430 1.753 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2cccc(SCC#N)c2)CCO1 ZINC001362012585 882822060 /nfs/dbraw/zinc/82/20/60/882822060.db2.gz RGWXKRMUGCCWAB-CYBMUJFWSA-N 1 2 319.430 1.753 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C4CC4)[nH]3)C[C@H]21 ZINC001276650704 874977692 /nfs/dbraw/zinc/97/76/92/874977692.db2.gz KEGBNOMUPNFGNV-ZSOGYDGISA-N 1 2 315.421 1.442 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nnc(C4CC4)[nH]3)C[C@H]21 ZINC001276650704 874977693 /nfs/dbraw/zinc/97/76/93/874977693.db2.gz KEGBNOMUPNFGNV-ZSOGYDGISA-N 1 2 315.421 1.442 20 30 DDEDLO C[N@@H+]1CCO[C@H](COc2ccc(Cl)cc2C(=O)NO)C1 ZINC001227175930 882893357 /nfs/dbraw/zinc/89/33/57/882893357.db2.gz CXWHBRRVCQGPTL-JTQLQIEISA-N 1 2 300.742 1.168 20 30 DDEDLO C[N@H+]1CCO[C@H](COc2ccc(Cl)cc2C(=O)NO)C1 ZINC001227175930 882893380 /nfs/dbraw/zinc/89/33/80/882893380.db2.gz CXWHBRRVCQGPTL-JTQLQIEISA-N 1 2 300.742 1.168 20 30 DDEDLO CC(C)CC(C)(C)C(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001210135248 875240080 /nfs/dbraw/zinc/24/00/80/875240080.db2.gz PFBFLWLHOWDZJH-ZIAGYGMSSA-N 1 2 322.453 1.135 20 30 DDEDLO CC(C)CC(C)(C)C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001210135248 875240087 /nfs/dbraw/zinc/24/00/87/875240087.db2.gz PFBFLWLHOWDZJH-ZIAGYGMSSA-N 1 2 322.453 1.135 20 30 DDEDLO CC(C)C#CC(=O)NC1CC[NH+](Cc2cc3n(n2)CCC3)CC1 ZINC001227265036 882942820 /nfs/dbraw/zinc/94/28/20/882942820.db2.gz CHBYESSVCOSZOI-UHFFFAOYSA-N 1 2 314.433 1.569 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)CC(C)C)[C@H](OC)C1 ZINC001213634333 876005784 /nfs/dbraw/zinc/00/57/84/876005784.db2.gz UAJRMPJETZJQIC-BZUAXINKSA-N 1 2 310.438 1.134 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)CC(C)C)[C@H](OC)C1 ZINC001213634333 876005802 /nfs/dbraw/zinc/00/58/02/876005802.db2.gz UAJRMPJETZJQIC-BZUAXINKSA-N 1 2 310.438 1.134 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)Nc2cccc([S@](C)=O)c2)C1 ZINC001351271158 876155973 /nfs/dbraw/zinc/15/59/73/876155973.db2.gz BHXLVZBLGLPTFX-FPTDNZKUSA-N 1 2 319.430 1.643 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)Nc2cccc([S@](C)=O)c2)C1 ZINC001351271158 876155987 /nfs/dbraw/zinc/15/59/87/876155987.db2.gz BHXLVZBLGLPTFX-FPTDNZKUSA-N 1 2 319.430 1.643 20 30 DDEDLO Cc1ccccc1[C@@H]1CN(S(=O)(=O)CCCC#N)CC[NH2+]1 ZINC001214108464 876174944 /nfs/dbraw/zinc/17/49/44/876174944.db2.gz ICELPGKISMSFIU-HNNXBMFYSA-N 1 2 307.419 1.575 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)C1CC(C)(C)C1 ZINC001351606536 876346860 /nfs/dbraw/zinc/34/68/60/876346860.db2.gz ZOABCDQSDMHECE-KGLIPLIRSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)C1CC(C)(C)C1 ZINC001351606536 876346873 /nfs/dbraw/zinc/34/68/73/876346873.db2.gz ZOABCDQSDMHECE-KGLIPLIRSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001214727673 876450567 /nfs/dbraw/zinc/45/05/67/876450567.db2.gz MINZGTDBJCQGAT-DGCLKSJQSA-N 1 2 306.410 1.917 20 30 DDEDLO COc1c(Cl)cnc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1C#N ZINC001227558709 883078513 /nfs/dbraw/zinc/07/85/13/883078513.db2.gz DOGVPEOAWFLTNJ-PEUDNIOHSA-N 1 2 321.764 1.606 20 30 DDEDLO COc1c(Cl)cnc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1C#N ZINC001227558709 883078531 /nfs/dbraw/zinc/07/85/31/883078531.db2.gz DOGVPEOAWFLTNJ-PEUDNIOHSA-N 1 2 321.764 1.606 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2OCCN(C(=O)C3CCCCC3)[C@H]2C1 ZINC001217200525 877135806 /nfs/dbraw/zinc/13/58/06/877135806.db2.gz IVVXYLAVJSRNKA-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2OCCN(C(=O)C3CCCCC3)[C@H]2C1 ZINC001217200525 877135814 /nfs/dbraw/zinc/13/58/14/877135814.db2.gz IVVXYLAVJSRNKA-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cc(C)ns3)C[C@@H]21 ZINC001217510463 877215816 /nfs/dbraw/zinc/21/58/16/877215816.db2.gz MEIYGPXVCCWVHR-LSDHHAIUSA-N 1 2 321.446 1.829 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cc(C)ns3)C[C@@H]21 ZINC001217510463 877215831 /nfs/dbraw/zinc/21/58/31/877215831.db2.gz MEIYGPXVCCWVHR-LSDHHAIUSA-N 1 2 321.446 1.829 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(CC)n1Cc1ccc(N(C)C)[nH+]c1 ZINC001300309055 877358060 /nfs/dbraw/zinc/35/80/60/877358060.db2.gz FZXWAZWOWIHLCM-CYBMUJFWSA-N 1 2 312.421 1.808 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)CC1CCCC1 ZINC001276782328 877458545 /nfs/dbraw/zinc/45/85/45/877458545.db2.gz HYGSSBLCXJJJQU-HIFRSBDPSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)CC1CCCC1 ZINC001276782328 877458550 /nfs/dbraw/zinc/45/85/50/877458550.db2.gz HYGSSBLCXJJJQU-HIFRSBDPSA-N 1 2 307.438 1.448 20 30 DDEDLO C#C[C@](C)([NH3+])C(=O)Nc1n[nH]c2nc(C(F)(F)F)cc(C)c12 ZINC001218549719 877558623 /nfs/dbraw/zinc/55/86/23/877558623.db2.gz KTMMTZSNPJOEMN-LBPRGKRZSA-N 1 2 311.267 1.574 20 30 DDEDLO C=CCCC(=O)NC1CCC(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001354189108 877800474 /nfs/dbraw/zinc/80/04/74/877800474.db2.gz AEJLLUPACAJJTO-UHFFFAOYSA-N 1 2 304.394 1.462 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)NC(=O)Cc1c[nH+]ccc1OC ZINC001354282286 877856251 /nfs/dbraw/zinc/85/62/51/877856251.db2.gz JGDWDHSSRPQNNM-GFCCVEGCSA-N 1 2 305.378 1.220 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C#N)ccc2OC)C[C@@H]1O ZINC001219103108 877922199 /nfs/dbraw/zinc/92/21/99/877922199.db2.gz PZZFELJWMHVZPP-CABCVRRESA-N 1 2 317.389 1.028 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2OC)C[C@@H]1O ZINC001219103108 877922212 /nfs/dbraw/zinc/92/22/12/877922212.db2.gz PZZFELJWMHVZPP-CABCVRRESA-N 1 2 317.389 1.028 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CC(C)(C)SC)CC1 ZINC001300682041 878130798 /nfs/dbraw/zinc/13/07/98/878130798.db2.gz DNVZVVIPDNBPPJ-UHFFFAOYSA-N 1 2 307.467 1.483 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)OCCCCCC)[C@@H](O)C1 ZINC001219623590 878398593 /nfs/dbraw/zinc/39/85/93/878398593.db2.gz ZZXFPORVGVJGGK-OAGGEKHMSA-N 1 2 310.438 1.156 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)OCCCCCC)[C@@H](O)C1 ZINC001219623590 878398607 /nfs/dbraw/zinc/39/86/07/878398607.db2.gz ZZXFPORVGVJGGK-OAGGEKHMSA-N 1 2 310.438 1.156 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)CN(C)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC001287616089 912351761 /nfs/dbraw/zinc/35/17/61/912351761.db2.gz DFHOWUSTQNUCOH-CABCVRRESA-N 1 2 318.421 1.601 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@@H]1O ZINC001220190482 878804163 /nfs/dbraw/zinc/80/41/63/878804163.db2.gz NSKLUEOTGMFHDP-IMJJTQAJSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@@H]1O ZINC001220190482 878804179 /nfs/dbraw/zinc/80/41/79/878804179.db2.gz NSKLUEOTGMFHDP-IMJJTQAJSA-N 1 2 303.406 1.345 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H](C)NC(=O)Cn2cc[nH+]c2)cc1 ZINC001287678030 912389214 /nfs/dbraw/zinc/38/92/14/912389214.db2.gz DJITVDVLPYZEQY-AWEZNQCLSA-N 1 2 324.384 1.141 20 30 DDEDLO CCCC(CCC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001220233892 878838639 /nfs/dbraw/zinc/83/86/39/878838639.db2.gz KTMWHFRXOBOWSK-CVEARBPZSA-N 1 2 310.438 1.014 20 30 DDEDLO CCCC(CCC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001220233892 878838645 /nfs/dbraw/zinc/83/86/45/878838645.db2.gz KTMWHFRXOBOWSK-CVEARBPZSA-N 1 2 310.438 1.014 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220292061 878890755 /nfs/dbraw/zinc/89/07/55/878890755.db2.gz MSGNAPCBSNISDJ-VYDXJSESSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220292061 878890763 /nfs/dbraw/zinc/89/07/63/878890763.db2.gz MSGNAPCBSNISDJ-VYDXJSESSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCO[C@H](C)C1 ZINC001356153218 878892728 /nfs/dbraw/zinc/89/27/28/878892728.db2.gz WYVDXWFLVCGDRX-GFCCVEGCSA-N 1 2 302.382 1.014 20 30 DDEDLO CO[C@@H](C[NH+]1CC(N(C)C(=O)[C@@H](C)C#N)C1)c1ccccc1 ZINC001380220985 879083991 /nfs/dbraw/zinc/08/39/91/879083991.db2.gz KTTGSLIRPIJNDY-BBRMVZONSA-N 1 2 301.390 1.676 20 30 DDEDLO CCCCCC(C)(C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001221032690 879458787 /nfs/dbraw/zinc/45/87/87/879458787.db2.gz BJYIXAIWUNUGQF-CVEARBPZSA-N 1 2 324.465 1.404 20 30 DDEDLO CCCCCC(C)(C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001221032690 879458794 /nfs/dbraw/zinc/45/87/94/879458794.db2.gz BJYIXAIWUNUGQF-CVEARBPZSA-N 1 2 324.465 1.404 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)cc2)C[C@@H]1O ZINC001221140757 879528452 /nfs/dbraw/zinc/52/84/52/879528452.db2.gz BNWUORYEQVTJFJ-WQVCFCJDSA-N 1 2 322.380 1.078 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)cc2)C[C@@H]1O ZINC001221140757 879528461 /nfs/dbraw/zinc/52/84/61/879528461.db2.gz BNWUORYEQVTJFJ-WQVCFCJDSA-N 1 2 322.380 1.078 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@](C)(NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001356965546 879633279 /nfs/dbraw/zinc/63/32/79/879633279.db2.gz LLBRJBIOKVUAGZ-QGZVFWFLSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001357033818 879730628 /nfs/dbraw/zinc/73/06/28/879730628.db2.gz ABUINOBHSLFRCM-WBMJQRKESA-N 1 2 306.410 1.423 20 30 DDEDLO C#CCCCC(=O)NC[C@H](NC(=O)Cc1[nH]cc[nH+]1)C(C)C ZINC001357094013 879781431 /nfs/dbraw/zinc/78/14/31/879781431.db2.gz ZATKYFVITPFATL-ZDUSSCGKSA-N 1 2 304.394 1.013 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cnc(C)cn3)C[C@H]21 ZINC001221429209 879788008 /nfs/dbraw/zinc/78/80/08/879788008.db2.gz MWCLKULIJQBETG-GDBMZVCRSA-N 1 2 300.406 1.784 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3cnc(C)cn3)C[C@H]21 ZINC001221429209 879788016 /nfs/dbraw/zinc/78/80/16/879788016.db2.gz MWCLKULIJQBETG-GDBMZVCRSA-N 1 2 300.406 1.784 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3CN(C(=O)C#CC(C)C)[C@@H]3C2)cn1 ZINC001221535310 879933473 /nfs/dbraw/zinc/93/34/73/879933473.db2.gz WOXXDPNDJVBYTO-NVXWUHKLSA-N 1 2 312.417 1.477 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3CN(C(=O)C#CC(C)C)[C@@H]3C2)cn1 ZINC001221535310 879933477 /nfs/dbraw/zinc/93/34/77/879933477.db2.gz WOXXDPNDJVBYTO-NVXWUHKLSA-N 1 2 312.417 1.477 20 30 DDEDLO Cc1cc(C[NH+]2CC(O)(CNC(=O)[C@H](C)C#N)C2)c(C)s1 ZINC001380580194 879980335 /nfs/dbraw/zinc/98/03/35/879980335.db2.gz GCMCKRNGKMWHKU-SNVBAGLBSA-N 1 2 307.419 1.188 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC3CC3)C[C@H]21 ZINC001221731627 880032474 /nfs/dbraw/zinc/03/24/74/880032474.db2.gz MEJVWSVSQWYQLX-HUUCEWRRSA-N 1 2 319.449 1.258 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC3CC3)C[C@H]21 ZINC001221731627 880032487 /nfs/dbraw/zinc/03/24/87/880032487.db2.gz MEJVWSVSQWYQLX-HUUCEWRRSA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@](C)(C=C)CC)[C@@H]2C1 ZINC001221796507 880094386 /nfs/dbraw/zinc/09/43/86/880094386.db2.gz IRKGHBJANZHDNY-RKVPGOIHSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@](C)(C=C)CC)[C@@H]2C1 ZINC001221796507 880094396 /nfs/dbraw/zinc/09/43/96/880094396.db2.gz IRKGHBJANZHDNY-RKVPGOIHSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)CC(C)C)[C@@H]2C1 ZINC001221897649 880142497 /nfs/dbraw/zinc/14/24/97/880142497.db2.gz CIZJUSWSWFGBAX-BZUAXINKSA-N 1 2 321.465 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)CC(C)C)[C@@H]2C1 ZINC001221897649 880142500 /nfs/dbraw/zinc/14/25/00/880142500.db2.gz CIZJUSWSWFGBAX-BZUAXINKSA-N 1 2 321.465 1.504 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CNC(=O)CCc1c[nH+]cn1C ZINC001358303311 880395756 /nfs/dbraw/zinc/39/57/56/880395756.db2.gz JUAJRZKUONKRRA-GFCCVEGCSA-N 1 2 306.410 1.186 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3cnns3)[C@H]2C1 ZINC001222617687 880604695 /nfs/dbraw/zinc/60/46/95/880604695.db2.gz KIRHDJBVQUBCIS-HIFRSBDPSA-N 1 2 318.446 1.764 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnns3)[C@H]2C1 ZINC001222617687 880604699 /nfs/dbraw/zinc/60/46/99/880604699.db2.gz KIRHDJBVQUBCIS-HIFRSBDPSA-N 1 2 318.446 1.764 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]1CNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001358618025 880630627 /nfs/dbraw/zinc/63/06/27/880630627.db2.gz CFTSEGRNNYIPPL-UONOGXRCSA-N 1 2 316.405 1.129 20 30 DDEDLO C=CCCC(=O)N1CC[C@]2(CCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001358714284 880786609 /nfs/dbraw/zinc/78/66/09/880786609.db2.gz OLFQVKHPCAPTSK-KRWDZBQOSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCCC[C@@H]1C ZINC001276901468 881010976 /nfs/dbraw/zinc/01/09/76/881010976.db2.gz UDVMUOLEQSFGES-GJZGRUSLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCCC[C@@H]1C ZINC001276901468 881010990 /nfs/dbraw/zinc/01/09/90/881010990.db2.gz UDVMUOLEQSFGES-GJZGRUSLSA-N 1 2 321.465 1.790 20 30 DDEDLO N#CC1(NC(=O)[C@@]23C[C@@H]2CC[N@H+]3Cc2cccc(O)c2)CCC1 ZINC001276904927 881024560 /nfs/dbraw/zinc/02/45/60/881024560.db2.gz OTQAPPUKTMWPJG-KBXCAEBGSA-N 1 2 311.385 1.919 20 30 DDEDLO N#CC1(NC(=O)[C@@]23C[C@@H]2CC[N@@H+]3Cc2cccc(O)c2)CCC1 ZINC001276904927 881024573 /nfs/dbraw/zinc/02/45/73/881024573.db2.gz OTQAPPUKTMWPJG-KBXCAEBGSA-N 1 2 311.385 1.919 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@H+]2[C@H]2CCN(C)C2=O)CCC1 ZINC001276923754 881111750 /nfs/dbraw/zinc/11/17/50/881111750.db2.gz MYPHWBLGXPTDCU-KGLIPLIRSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@@H+]2[C@H]2CCN(C)C2=O)CCC1 ZINC001276923754 881111757 /nfs/dbraw/zinc/11/17/57/881111757.db2.gz MYPHWBLGXPTDCU-KGLIPLIRSA-N 1 2 305.422 1.154 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nccc(Cl)c3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001228017757 883289763 /nfs/dbraw/zinc/28/97/63/883289763.db2.gz RRDQGERNIGFYEG-MOGLMSHVSA-N 1 2 311.725 1.634 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nccc(Cl)c3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001228017757 883289773 /nfs/dbraw/zinc/28/97/73/883289773.db2.gz RRDQGERNIGFYEG-MOGLMSHVSA-N 1 2 311.725 1.634 20 30 DDEDLO C[C@@H]1CCN(C(=O)CNc2ccc(C#N)cn2)C[C@H]1n1cc[nH+]c1 ZINC001362275086 883460205 /nfs/dbraw/zinc/46/02/05/883460205.db2.gz YQSALRAZHKRRBD-UKRRQHHQSA-N 1 2 324.388 1.671 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001228748633 883652371 /nfs/dbraw/zinc/65/23/71/883652371.db2.gz MXFTUCCIOZNSAQ-KGLIPLIRSA-N 1 2 304.438 1.959 20 30 DDEDLO N#Cc1ccnnc1OC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001229605354 884069179 /nfs/dbraw/zinc/06/91/79/884069179.db2.gz YXIGTFLCVQMIHH-MRXNPFEDSA-N 1 2 310.357 1.628 20 30 DDEDLO N#Cc1ccnnc1OC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001229605354 884069195 /nfs/dbraw/zinc/06/91/95/884069195.db2.gz YXIGTFLCVQMIHH-MRXNPFEDSA-N 1 2 310.357 1.628 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@H](O)CN(C)C(=O)C(C)(C)C ZINC001382474883 884087268 /nfs/dbraw/zinc/08/72/68/884087268.db2.gz AOTKYNQPYNBFSG-NSHDSACASA-N 1 2 321.259 1.692 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@H](O)CN(C)C(=O)C(C)(C)C ZINC001382474883 884087294 /nfs/dbraw/zinc/08/72/94/884087294.db2.gz AOTKYNQPYNBFSG-NSHDSACASA-N 1 2 321.259 1.692 20 30 DDEDLO CC(C)N(CC[N@H+](C)CC(=O)NCC1CCC1)C(=O)[C@@H](C)C#N ZINC001382491876 884121630 /nfs/dbraw/zinc/12/16/30/884121630.db2.gz RSYWWNOGXPAIAC-AWEZNQCLSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)N(CC[N@@H+](C)CC(=O)NCC1CCC1)C(=O)[C@@H](C)C#N ZINC001382491876 884121638 /nfs/dbraw/zinc/12/16/38/884121638.db2.gz RSYWWNOGXPAIAC-AWEZNQCLSA-N 1 2 322.453 1.231 20 30 DDEDLO O=C(NO)c1ccc(O)c(OC2C[NH+](Cc3ccccc3)C2)c1 ZINC001229969264 884257476 /nfs/dbraw/zinc/25/74/76/884257476.db2.gz XPNAVEUKUDQQOZ-UHFFFAOYSA-N 1 2 314.341 1.774 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)nc1 ZINC001230769847 884857594 /nfs/dbraw/zinc/85/75/94/884857594.db2.gz GRNBPWZGBBPZJI-INIZCTEOSA-N 1 2 301.390 1.186 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)nc1 ZINC001230769847 884857611 /nfs/dbraw/zinc/85/76/11/884857611.db2.gz GRNBPWZGBBPZJI-INIZCTEOSA-N 1 2 301.390 1.186 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C(/C)C1CC1 ZINC001230948001 885076634 /nfs/dbraw/zinc/07/66/34/885076634.db2.gz JYBJWWWORQZWTP-VSKPTYQZSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C(/C)C1CC1 ZINC001230948001 885076647 /nfs/dbraw/zinc/07/66/47/885076647.db2.gz JYBJWWWORQZWTP-VSKPTYQZSA-N 1 2 305.422 1.178 20 30 DDEDLO CCCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001231093440 885211660 /nfs/dbraw/zinc/21/16/60/885211660.db2.gz AKSDMGIHUBBUFG-HOTGVXAUSA-N 1 2 310.438 1.374 20 30 DDEDLO CCCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001231093440 885211681 /nfs/dbraw/zinc/21/16/81/885211681.db2.gz AKSDMGIHUBBUFG-HOTGVXAUSA-N 1 2 310.438 1.374 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H]1CCN(CC=C)C1=O ZINC001231171212 885318222 /nfs/dbraw/zinc/31/82/22/885318222.db2.gz ZEQLHMXBKJLAIA-GJZGRUSLSA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H]1CCN(CC=C)C1=O ZINC001231171212 885318240 /nfs/dbraw/zinc/31/82/40/885318240.db2.gz ZEQLHMXBKJLAIA-GJZGRUSLSA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccnc(OC)c1 ZINC001231226856 885403741 /nfs/dbraw/zinc/40/37/41/885403741.db2.gz SDGJLIBNRWEISX-HNNXBMFYSA-N 1 2 319.405 1.326 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccnc(OC)c1 ZINC001231226856 885403749 /nfs/dbraw/zinc/40/37/49/885403749.db2.gz SDGJLIBNRWEISX-HNNXBMFYSA-N 1 2 319.405 1.326 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](CNC(=O)[C@H](C)C#N)C(C)(C)C ZINC001383260227 885499474 /nfs/dbraw/zinc/49/94/74/885499474.db2.gz OYHLLUOJUYKHSY-MFKMUULPSA-N 1 2 319.409 1.067 20 30 DDEDLO COC(=O)[C@@H](COC1CCC1)[N@H+](C)C[C@H](O)CC1(C#N)CCC1 ZINC001363206941 885714944 /nfs/dbraw/zinc/71/49/44/885714944.db2.gz PGONBBZAWWPIGZ-UKRRQHHQSA-N 1 2 324.421 1.474 20 30 DDEDLO COC(=O)[C@@H](COC1CCC1)[N@@H+](C)C[C@H](O)CC1(C#N)CCC1 ZINC001363206941 885714959 /nfs/dbraw/zinc/71/49/59/885714959.db2.gz PGONBBZAWWPIGZ-UKRRQHHQSA-N 1 2 324.421 1.474 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001288536337 912965280 /nfs/dbraw/zinc/96/52/80/912965280.db2.gz VCXJSUAPWHULCG-CHWSQXEVSA-N 1 2 320.437 1.574 20 30 DDEDLO N#Cc1ccnc(C[N@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)c1 ZINC001232480975 886422654 /nfs/dbraw/zinc/42/26/54/886422654.db2.gz PEJYTJGBVOVBAI-MRXNPFEDSA-N 1 2 314.389 1.167 20 30 DDEDLO N#Cc1ccnc(C[N@@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)c1 ZINC001232480975 886422656 /nfs/dbraw/zinc/42/26/56/886422656.db2.gz PEJYTJGBVOVBAI-MRXNPFEDSA-N 1 2 314.389 1.167 20 30 DDEDLO CN(C)C(=O)[C@H]1C[C@@H]2[C@@H](CC[N@@H+]2Cc2cc(C#N)ccc2O)O1 ZINC001232677109 886531663 /nfs/dbraw/zinc/53/16/63/886531663.db2.gz HNQUJTYUXOAZNV-FVQBIDKESA-N 1 2 315.373 1.084 20 30 DDEDLO CN(C)C(=O)[C@H]1C[C@@H]2[C@@H](CC[N@H+]2Cc2cc(C#N)ccc2O)O1 ZINC001232677109 886531673 /nfs/dbraw/zinc/53/16/73/886531673.db2.gz HNQUJTYUXOAZNV-FVQBIDKESA-N 1 2 315.373 1.084 20 30 DDEDLO CN1CC[N@H+](C)C2(CCN(Cc3ccc(C#N)s3)CC2)C1=O ZINC001232842906 886629044 /nfs/dbraw/zinc/62/90/44/886629044.db2.gz PPYURYXSGOOYJU-UHFFFAOYSA-N 1 2 318.446 1.358 20 30 DDEDLO CN1CC[N@@H+](C)C2(CCN(Cc3ccc(C#N)s3)CC2)C1=O ZINC001232842906 886629048 /nfs/dbraw/zinc/62/90/48/886629048.db2.gz PPYURYXSGOOYJU-UHFFFAOYSA-N 1 2 318.446 1.358 20 30 DDEDLO Cc1ccc(C#N)c(NC2CC[NH+]([C@H]3CCOC3=O)CC2)n1 ZINC001363728767 887086955 /nfs/dbraw/zinc/08/69/55/887086955.db2.gz RVZAKEGXLJASSV-AWEZNQCLSA-N 1 2 300.362 1.454 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[N@@H+]1C ZINC001363777952 887195135 /nfs/dbraw/zinc/19/51/35/887195135.db2.gz XBYDEIWGCAOPEY-RISCZKNCSA-N 1 2 314.393 1.221 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[N@H+]1C ZINC001363777952 887195142 /nfs/dbraw/zinc/19/51/42/887195142.db2.gz XBYDEIWGCAOPEY-RISCZKNCSA-N 1 2 314.393 1.221 20 30 DDEDLO C[C@@H]1C[C@@H]([N@H+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[NH+]1C ZINC001363777952 887195151 /nfs/dbraw/zinc/19/51/51/887195151.db2.gz XBYDEIWGCAOPEY-RISCZKNCSA-N 1 2 314.393 1.221 20 30 DDEDLO C[C@@H]1C[C@@H]([N@@H+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[NH+]1C ZINC001363777952 887195157 /nfs/dbraw/zinc/19/51/57/887195157.db2.gz XBYDEIWGCAOPEY-RISCZKNCSA-N 1 2 314.393 1.221 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1O ZINC001233838040 887373141 /nfs/dbraw/zinc/37/31/41/887373141.db2.gz RUMOEPPTZSFIOY-ZDUSSCGKSA-N 1 2 305.378 1.136 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1O ZINC001233838040 887373154 /nfs/dbraw/zinc/37/31/54/887373154.db2.gz RUMOEPPTZSFIOY-ZDUSSCGKSA-N 1 2 305.378 1.136 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCCCC ZINC001233907355 887447490 /nfs/dbraw/zinc/44/74/90/887447490.db2.gz QYLFMCPSVKJWFG-CVEARBPZSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCCCC ZINC001233907355 887447493 /nfs/dbraw/zinc/44/74/93/887447493.db2.gz QYLFMCPSVKJWFG-CVEARBPZSA-N 1 2 321.465 1.627 20 30 DDEDLO CCCn1c[nH+]cc1CN1CCN(c2ncccc2C#N)CC1 ZINC001363890777 887483479 /nfs/dbraw/zinc/48/34/79/887483479.db2.gz DCXGZPDBZIZLOF-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H]1CCN(CC=C)C1=O ZINC001233947345 887487142 /nfs/dbraw/zinc/48/71/42/887487142.db2.gz KSXIVDYTXURBMS-HOTGVXAUSA-N 1 2 317.433 1.110 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H]1CCN(CC=C)C1=O ZINC001233947345 887487155 /nfs/dbraw/zinc/48/71/55/887487155.db2.gz KSXIVDYTXURBMS-HOTGVXAUSA-N 1 2 317.433 1.110 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1c(C)nnn1CC ZINC001233967079 887507776 /nfs/dbraw/zinc/50/77/76/887507776.db2.gz UTHNTUVNQPNUMZ-HNNXBMFYSA-N 1 2 317.437 1.443 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1c(C)nnn1CC ZINC001233967079 887507784 /nfs/dbraw/zinc/50/77/84/887507784.db2.gz UTHNTUVNQPNUMZ-HNNXBMFYSA-N 1 2 317.437 1.443 20 30 DDEDLO Cn1ccc(=O)c(O[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)c1 ZINC001234029355 887569245 /nfs/dbraw/zinc/56/92/45/887569245.db2.gz OSVGOGNXBUQZJH-INIZCTEOSA-N 1 2 309.369 1.910 20 30 DDEDLO Cn1ccc(=O)c(O[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001234029355 887569257 /nfs/dbraw/zinc/56/92/57/887569257.db2.gz OSVGOGNXBUQZJH-INIZCTEOSA-N 1 2 309.369 1.910 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196820 887738484 /nfs/dbraw/zinc/73/84/84/887738484.db2.gz VUUYBIVFUNHLGP-ZBFHGGJFSA-N 1 2 319.449 1.093 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196820 887738495 /nfs/dbraw/zinc/73/84/95/887738495.db2.gz VUUYBIVFUNHLGP-ZBFHGGJFSA-N 1 2 319.449 1.093 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H]1CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001290010807 913331420 /nfs/dbraw/zinc/33/14/20/913331420.db2.gz NMJWNACYZXOZIC-WMLDXEAASA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCC(=O)NC[C@@H](CO)[NH2+]Cc1nc2ccc(F)cc2o1 ZINC001278054046 889504087 /nfs/dbraw/zinc/50/40/87/889504087.db2.gz MSKWFCMKAZHNRR-LBPRGKRZSA-N 1 2 321.352 1.500 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001278071777 889559073 /nfs/dbraw/zinc/55/90/73/889559073.db2.gz PWWKDJXDLKOVAP-INIZCTEOSA-N 1 2 317.364 1.068 20 30 DDEDLO Cn1cc(C[N@H+]2CC(=O)N3CCC[C@H]3C2)c2cc(C#N)ccc21 ZINC001237473842 889654460 /nfs/dbraw/zinc/65/44/60/889654460.db2.gz WPLUKXGBLKRWPV-HNNXBMFYSA-N 1 2 308.385 1.857 20 30 DDEDLO Cn1cc(C[N@@H+]2CC(=O)N3CCC[C@H]3C2)c2cc(C#N)ccc21 ZINC001237473842 889654473 /nfs/dbraw/zinc/65/44/73/889654473.db2.gz WPLUKXGBLKRWPV-HNNXBMFYSA-N 1 2 308.385 1.857 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(Cl)c(C#N)c2)C1 ZINC001238196353 890131111 /nfs/dbraw/zinc/13/11/11/890131111.db2.gz QLOPJHLFARKJNG-CQSZACIVSA-N 1 2 321.808 1.939 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(Cl)c(C#N)c2)C1 ZINC001238196353 890131115 /nfs/dbraw/zinc/13/11/15/890131115.db2.gz QLOPJHLFARKJNG-CQSZACIVSA-N 1 2 321.808 1.939 20 30 DDEDLO N#CCC1CN(C(=O)[C@H]2CC23C[NH+](Cc2ccccc2C#N)C3)C1 ZINC001278239281 890237478 /nfs/dbraw/zinc/23/74/78/890237478.db2.gz KZQBQQAKKZUWJQ-QGZVFWFLSA-N 1 2 320.396 1.752 20 30 DDEDLO C=CCN(CC=C)C(=O)N1CC2(C1)CC[N@@H+](COCCOC)C2 ZINC001278297862 890665588 /nfs/dbraw/zinc/66/55/88/890665588.db2.gz VTUFWUZREHRONO-UHFFFAOYSA-N 1 2 323.437 1.409 20 30 DDEDLO C=CCN(CC=C)C(=O)N1CC2(C1)CC[N@H+](COCCOC)C2 ZINC001278297862 890665599 /nfs/dbraw/zinc/66/55/99/890665599.db2.gz VTUFWUZREHRONO-UHFFFAOYSA-N 1 2 323.437 1.409 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]([NH2+]Cc2nc(N(C)C)no2)C[C@@H]1C ZINC001278358366 891598178 /nfs/dbraw/zinc/59/81/78/891598178.db2.gz XTPCJVOEXXBLBO-RYUDHWBXSA-N 1 2 307.398 1.181 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]([NH2+]Cc2nc(COC)no2)C[C@@H]1C ZINC001278380155 891830001 /nfs/dbraw/zinc/83/00/01/891830001.db2.gz XFIDDJQFMXCEQZ-QWHCGFSZSA-N 1 2 322.409 1.651 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@]1(C)CC[N@H+](Cc2nccs2)C1 ZINC001278387874 891905666 /nfs/dbraw/zinc/90/56/66/891905666.db2.gz GDFYTOGLJQBGLJ-IUODEOHRSA-N 1 2 307.419 1.262 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@]1(C)CC[N@@H+](Cc2nccs2)C1 ZINC001278387874 891905691 /nfs/dbraw/zinc/90/56/91/891905691.db2.gz GDFYTOGLJQBGLJ-IUODEOHRSA-N 1 2 307.419 1.262 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]([NH2+]Cc1nnc(C(C)(C)C)o1)C1CC1 ZINC001366025937 892252434 /nfs/dbraw/zinc/25/24/34/892252434.db2.gz HWGQFEQZVMYUNL-JQWIXIFHSA-N 1 2 319.409 1.511 20 30 DDEDLO N#CCN(CC#N)C(=O)c1ccc([N+](=O)[O-])c(-n2cc[nH+]c2)c1 ZINC001292649758 913893310 /nfs/dbraw/zinc/89/33/10/913893310.db2.gz DDMCNPNNCPJPEW-UHFFFAOYSA-N 1 2 310.273 1.270 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1C[C@](C)(O)C=C ZINC001252547162 895258477 /nfs/dbraw/zinc/25/84/77/895258477.db2.gz DSCKTSHRHJTTHG-GOEBONIOSA-N 1 2 306.410 1.337 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1C[C@](C)(O)C=C ZINC001252547162 895258490 /nfs/dbraw/zinc/25/84/90/895258490.db2.gz DSCKTSHRHJTTHG-GOEBONIOSA-N 1 2 306.410 1.337 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1Cc2nnn(CC)c2[C@@H](COCC)C1 ZINC001252594911 895294340 /nfs/dbraw/zinc/29/43/40/895294340.db2.gz BKIDPYZQHZOHGN-ZIAGYGMSSA-N 1 2 308.426 1.561 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1Cc2nnn(CC)c2[C@@H](COCC)C1 ZINC001252594911 895294353 /nfs/dbraw/zinc/29/43/53/895294353.db2.gz BKIDPYZQHZOHGN-ZIAGYGMSSA-N 1 2 308.426 1.561 20 30 DDEDLO N#C[C@H]1CCC[N@@H+](C[C@H](O)COc2ccc(CCO)cc2)C1 ZINC001253145299 895668113 /nfs/dbraw/zinc/66/81/13/895668113.db2.gz IZQJXCNETPXZTH-CVEARBPZSA-N 1 2 304.390 1.197 20 30 DDEDLO N#C[C@H]1CCC[N@H+](C[C@H](O)COc2ccc(CCO)cc2)C1 ZINC001253145299 895668119 /nfs/dbraw/zinc/66/81/19/895668119.db2.gz IZQJXCNETPXZTH-CVEARBPZSA-N 1 2 304.390 1.197 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H](CC)CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001367096208 895853533 /nfs/dbraw/zinc/85/35/33/895853533.db2.gz XPKNKSMLRXREPT-JTQLQIEISA-N 1 2 316.365 1.130 20 30 DDEDLO N#C[C@H]1CC[C@@H]([N@H+]2CCc3onc(C(=O)N4CCCC4)c3C2)C1 ZINC001254665217 896562704 /nfs/dbraw/zinc/56/27/04/896562704.db2.gz MDMWHBQAXHIQHL-QWHCGFSZSA-N 1 2 314.389 1.961 20 30 DDEDLO N#C[C@H]1CC[C@@H]([N@@H+]2CCc3onc(C(=O)N4CCCC4)c3C2)C1 ZINC001254665217 896562712 /nfs/dbraw/zinc/56/27/12/896562712.db2.gz MDMWHBQAXHIQHL-QWHCGFSZSA-N 1 2 314.389 1.961 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H](N3CC[NH2+]C[C@H]3C#N)C[C@@H]2C1 ZINC001254901991 896652745 /nfs/dbraw/zinc/65/27/45/896652745.db2.gz AQUJVXAGMTVXDR-CBBWQLFWSA-N 1 2 320.437 1.429 20 30 DDEDLO COCCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001389366503 897042521 /nfs/dbraw/zinc/04/25/21/897042521.db2.gz YREWGLRRHMTKBM-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO COCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001389366503 897042533 /nfs/dbraw/zinc/04/25/33/897042533.db2.gz YREWGLRRHMTKBM-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2c(C)nn(C)c2C)C1 ZINC001389486085 897337658 /nfs/dbraw/zinc/33/76/58/897337658.db2.gz ODNZNIBRHIJDNM-AWEZNQCLSA-N 1 2 324.856 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2c(C)nn(C)c2C)C1 ZINC001389486085 897337668 /nfs/dbraw/zinc/33/76/68/897337668.db2.gz ODNZNIBRHIJDNM-AWEZNQCLSA-N 1 2 324.856 1.913 20 30 DDEDLO CCCC[N@H+](CC#N)[C@H]1CC[C@@H](C(=O)OC)[C@H](C(=O)OC)C1 ZINC001257747155 897937808 /nfs/dbraw/zinc/93/78/08/897937808.db2.gz ZVNSXRQAKJEVGL-BFHYXJOUSA-N 1 2 310.394 1.743 20 30 DDEDLO CCCC[N@@H+](CC#N)[C@H]1CC[C@@H](C(=O)OC)[C@H](C(=O)OC)C1 ZINC001257747155 897937814 /nfs/dbraw/zinc/93/78/14/897937814.db2.gz ZVNSXRQAKJEVGL-BFHYXJOUSA-N 1 2 310.394 1.743 20 30 DDEDLO C[C@@H]([NH2+]C[C@H](C)NC(=O)c1c[nH]c(C#N)c1)c1csnn1 ZINC001374881947 914226470 /nfs/dbraw/zinc/22/64/70/914226470.db2.gz BMAYTORKECGXOE-DTWKUNHWSA-N 1 2 304.379 1.207 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)N[C@H](CC)C[NH+]2CCOCC2)C1 ZINC001262201654 900012911 /nfs/dbraw/zinc/01/29/11/900012911.db2.gz BSLUNBQCRYMDOL-CABCVRRESA-N 1 2 311.426 1.084 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@H+](C)[C@H](C)c1nc(-c2ccco2)no1 ZINC001390739593 900063046 /nfs/dbraw/zinc/06/30/46/900063046.db2.gz BWQQLQKGVINSFY-GHMZBOCLSA-N 1 2 317.349 1.598 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@@H+](C)[C@H](C)c1nc(-c2ccco2)no1 ZINC001390739593 900063051 /nfs/dbraw/zinc/06/30/51/900063051.db2.gz BWQQLQKGVINSFY-GHMZBOCLSA-N 1 2 317.349 1.598 20 30 DDEDLO CCO[C@H](CC)C(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001390743019 900075027 /nfs/dbraw/zinc/07/50/27/900075027.db2.gz RRCIGCMQBHFQMR-MRXNPFEDSA-N 1 2 303.406 1.921 20 30 DDEDLO CCO[C@H](CC)C(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001390743019 900075039 /nfs/dbraw/zinc/07/50/39/900075039.db2.gz RRCIGCMQBHFQMR-MRXNPFEDSA-N 1 2 303.406 1.921 20 30 DDEDLO C[N@H+](CCNC(=O)[C@H]1CC1(F)F)Cc1ccc(C#N)cc1F ZINC001390760010 900118958 /nfs/dbraw/zinc/11/89/58/900118958.db2.gz OSOCEOCFUDXMLM-GFCCVEGCSA-N 1 2 311.307 1.901 20 30 DDEDLO C[N@@H+](CCNC(=O)[C@H]1CC1(F)F)Cc1ccc(C#N)cc1F ZINC001390760010 900118963 /nfs/dbraw/zinc/11/89/63/900118963.db2.gz OSOCEOCFUDXMLM-GFCCVEGCSA-N 1 2 311.307 1.901 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)c1cscn1)C2 ZINC001264188723 901006375 /nfs/dbraw/zinc/00/63/75/901006375.db2.gz SQYPQBCHXIWSNN-UHFFFAOYSA-N 1 2 318.427 1.521 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CCC[N@@H+](Cc2snnc2C)C1 ZINC001265213118 901716620 /nfs/dbraw/zinc/71/66/20/901716620.db2.gz MJXZILFNXSAJKD-IUODEOHRSA-N 1 2 324.450 1.254 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CCC[N@H+](Cc2snnc2C)C1 ZINC001265213118 901716633 /nfs/dbraw/zinc/71/66/33/901716633.db2.gz MJXZILFNXSAJKD-IUODEOHRSA-N 1 2 324.450 1.254 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CC23CCC3)C1 ZINC001265227346 901740687 /nfs/dbraw/zinc/74/06/87/901740687.db2.gz UBIXOYCILBTCTA-ZIAGYGMSSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CC23CCC3)C1 ZINC001265227346 901740697 /nfs/dbraw/zinc/74/06/97/901740697.db2.gz UBIXOYCILBTCTA-ZIAGYGMSSA-N 1 2 305.422 1.059 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@H+](CC=C(Cl)Cl)C1 ZINC001265280431 901815876 /nfs/dbraw/zinc/81/58/76/901815876.db2.gz BFVINQXMGDNEJE-MFKMUULPSA-N 1 2 307.221 1.823 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C1 ZINC001265280431 901815882 /nfs/dbraw/zinc/81/58/82/901815882.db2.gz BFVINQXMGDNEJE-MFKMUULPSA-N 1 2 307.221 1.823 20 30 DDEDLO CCO[C@H](CC)C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001391480604 901824247 /nfs/dbraw/zinc/82/42/47/901824247.db2.gz SHUXAHMCLBNPDL-QGZVFWFLSA-N 1 2 315.417 1.921 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001265301583 901853568 /nfs/dbraw/zinc/85/35/68/901853568.db2.gz NPKLWCYTOBACJR-CYBMUJFWSA-N 1 2 304.394 1.532 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cncc(OC)n2)C1 ZINC001265301583 901853576 /nfs/dbraw/zinc/85/35/76/901853576.db2.gz NPKLWCYTOBACJR-CYBMUJFWSA-N 1 2 304.394 1.532 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001265584546 902163273 /nfs/dbraw/zinc/16/32/73/902163273.db2.gz PEWKMFLOQDAUDC-NEPJUHHUSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001265584546 902163280 /nfs/dbraw/zinc/16/32/80/902163280.db2.gz PEWKMFLOQDAUDC-NEPJUHHUSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001265584546 902163290 /nfs/dbraw/zinc/16/32/90/902163290.db2.gz PEWKMFLOQDAUDC-NEPJUHHUSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001265584546 902163303 /nfs/dbraw/zinc/16/33/03/902163303.db2.gz PEWKMFLOQDAUDC-NEPJUHHUSA-N 1 2 324.318 1.365 20 30 DDEDLO CCCC[C@@H](CNC(=O)Cc1[nH]c[nH+]c1C)NC(=O)[C@@H](C)C#N ZINC001370064399 902478850 /nfs/dbraw/zinc/47/88/50/902478850.db2.gz WRNFNMCWTKJAIZ-AAEUAGOBSA-N 1 2 319.409 1.212 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([NH2+]Cc2ncc(C(C)C)o2)C1 ZINC001265901091 902564804 /nfs/dbraw/zinc/56/48/04/902564804.db2.gz QKBQFXYQSXRCIJ-SUMWQHHRSA-N 1 2 321.421 1.816 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([N@@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001266094513 902927452 /nfs/dbraw/zinc/92/74/52/902927452.db2.gz VPCOSSLZVJUFDK-LSDHHAIUSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([N@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001266094513 902927461 /nfs/dbraw/zinc/92/74/61/902927461.db2.gz VPCOSSLZVJUFDK-LSDHHAIUSA-N 1 2 318.421 1.663 20 30 DDEDLO CC1(C)CC[C@@H](C(=O)N[C@@H]2CCC[N@H+](CC(=O)NCC#N)C2)C1 ZINC001266236233 903169058 /nfs/dbraw/zinc/16/90/58/903169058.db2.gz FVPNIEIBFOJQII-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CC[C@@H](C(=O)N[C@@H]2CCC[N@@H+](CC(=O)NCC#N)C2)C1 ZINC001266236233 903169063 /nfs/dbraw/zinc/16/90/63/903169063.db2.gz FVPNIEIBFOJQII-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1cnsn1)C1CCCCC1 ZINC001392173768 903448768 /nfs/dbraw/zinc/44/87/68/903448768.db2.gz YCZPRZHQLAELLZ-FZMZJTMJSA-N 1 2 321.450 1.852 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H]1CCC[C@@H](C(N)=O)C1 ZINC001392311337 903853370 /nfs/dbraw/zinc/85/33/70/903853370.db2.gz JWHAGFBVHBIZGP-CHWSQXEVSA-N 1 2 315.845 1.421 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H]1CCC[C@@H](C(N)=O)C1 ZINC001392311337 903853375 /nfs/dbraw/zinc/85/33/75/903853375.db2.gz JWHAGFBVHBIZGP-CHWSQXEVSA-N 1 2 315.845 1.421 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)[C@H](C)COC)CCO2 ZINC001280900563 904168427 /nfs/dbraw/zinc/16/84/27/904168427.db2.gz YJBLTGUXLAEJCN-HUUCEWRRSA-N 1 2 310.438 1.394 20 30 DDEDLO CCc1nnc([C@H](C)[NH2+][C@H](C)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001371144972 904343947 /nfs/dbraw/zinc/34/39/47/904343947.db2.gz RMMGKKFVERNCLF-ZJUUUORDSA-N 1 2 316.365 1.301 20 30 DDEDLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001371144970 904345153 /nfs/dbraw/zinc/34/51/53/904345153.db2.gz RMMGKKFVERNCLF-VHSXEESVSA-N 1 2 316.365 1.301 20 30 DDEDLO C=CCCCC(=O)NC1CC(N(C)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001281352455 904715187 /nfs/dbraw/zinc/71/51/87/904715187.db2.gz ZFRBSBGSHLVBCO-UHFFFAOYSA-N 1 2 318.421 1.723 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)Cc2[nH]c[nH+]c2C)CC[C@@H]1C ZINC001281419347 904819545 /nfs/dbraw/zinc/81/95/45/904819545.db2.gz XUNVKMJDHFDFLC-GXTWGEPZSA-N 1 2 318.421 1.723 20 30 DDEDLO C[C@H](CC(=O)N[C@@H]1CCN(CC#N)CC1(C)C)n1cc[nH+]c1 ZINC001281572414 905047025 /nfs/dbraw/zinc/04/70/25/905047025.db2.gz RJCRTQCGKNBUHP-ZIAGYGMSSA-N 1 2 303.410 1.574 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C(C)(C)CC(C)C)CC2)C1 ZINC001281585531 905065436 /nfs/dbraw/zinc/06/54/36/905065436.db2.gz BOXFJCVRSJELSO-UHFFFAOYSA-N 1 2 319.493 1.910 20 30 DDEDLO CC(C)C[C@H](C(=O)NC[C@@H]1[C@H]2CN(CC#N)C[C@H]21)n1cc[nH+]c1 ZINC001282859429 906110862 /nfs/dbraw/zinc/11/08/62/906110862.db2.gz CHIWNAJKGBQWHH-LVQVYYBASA-N 1 2 315.421 1.288 20 30 DDEDLO C=CCCC(=O)NCCCNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001283201762 906872746 /nfs/dbraw/zinc/87/27/46/906872746.db2.gz SJDPXFZZAHADMF-CYBMUJFWSA-N 1 2 304.394 1.034 20 30 DDEDLO C=CCCC1(C(=O)N[C@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001284295607 908790608 /nfs/dbraw/zinc/79/06/08/908790608.db2.gz UUGXFYGRYZPXRJ-GFCCVEGCSA-N 1 2 318.421 1.628 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001284547168 909168441 /nfs/dbraw/zinc/16/84/41/909168441.db2.gz ZTXVLGWCUZEYNR-AWEZNQCLSA-N 1 2 318.421 1.376 20 30 DDEDLO C=C1CCC(C(=O)N(C)CCNC(=O)Cn2cc[nH+]c2)CC1 ZINC001284549125 909171270 /nfs/dbraw/zinc/17/12/70/909171270.db2.gz BNXPXMVFUUTELR-UHFFFAOYSA-N 1 2 304.394 1.204 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001284692391 909360800 /nfs/dbraw/zinc/36/08/00/909360800.db2.gz SCYSUGTVHBNYLB-OAHLLOKOSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CCC1(C(=O)NC2CN(C(=O)Cc3[nH]c[nH+]c3C)C2)CCC1 ZINC001284941173 909777008 /nfs/dbraw/zinc/77/70/08/909777008.db2.gz NOXUTUIOKJOFSQ-UHFFFAOYSA-N 1 2 316.405 1.334 20 30 DDEDLO C=CCCCC(=O)NCC1CN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284989061 909896038 /nfs/dbraw/zinc/89/60/38/909896038.db2.gz ANPDXXNOGYTIGN-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCCC(=O)NCC1CN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284989061 909896050 /nfs/dbraw/zinc/89/60/50/909896050.db2.gz ANPDXXNOGYTIGN-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2CN(C(=O)Cc3[nH]cc[nH+]3)CC[C@@H]21 ZINC001285399495 910464342 /nfs/dbraw/zinc/46/43/42/910464342.db2.gz ZYDVTSPDCNGNNF-KGLIPLIRSA-N 1 2 316.405 1.368 20 30 DDEDLO C#CCCCCC(=O)N(C)[C@@H](C)CNC(=O)Cn1cc[nH+]c1 ZINC001285732955 911122251 /nfs/dbraw/zinc/12/22/51/911122251.db2.gz GNURLIVHXJNXCR-AWEZNQCLSA-N 1 2 304.394 1.040 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001285765411 911173374 /nfs/dbraw/zinc/17/33/74/911173374.db2.gz FJZPRWLOLKDXFA-KGLIPLIRSA-N 1 2 320.437 1.576 20 30 DDEDLO C#CCN(CC#C)c1nnc(CC)n1CCCNc1cccc[nH+]1 ZINC001286410197 911966564 /nfs/dbraw/zinc/96/65/64/911966564.db2.gz YJZBMKTWFXFYPY-UHFFFAOYSA-N 1 2 322.416 1.811 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001286502198 912001661 /nfs/dbraw/zinc/00/16/61/912001661.db2.gz HPMPDOYAUWZCLA-JOCQHMNTSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CCN(C)c1nnc(COCC)n1Cc1c[nH+]ccc1OC ZINC001286729154 912091517 /nfs/dbraw/zinc/09/15/17/912091517.db2.gz PDVKUQJQNDOTHZ-UHFFFAOYSA-N 1 2 317.393 1.889 20 30 DDEDLO CCc1nc(C[NH2+][C@@H]2CC[C@H](CNC(=O)[C@@H](C)C#N)C2)no1 ZINC001397153687 915295664 /nfs/dbraw/zinc/29/56/64/915295664.db2.gz RWGHWNAKMBPDDC-SDDRHHMPSA-N 1 2 305.382 1.166 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001294769178 915353573 /nfs/dbraw/zinc/35/35/73/915353573.db2.gz QKQVXRWLBOZIED-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO C=C(C)CCC(=O)NCCN(C)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001296104097 916237292 /nfs/dbraw/zinc/23/72/92/916237292.db2.gz CEFAVIASABALNG-AWEZNQCLSA-N 1 2 318.421 1.376 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCNC(=O)CCCn2cc[nH+]c2)C1 ZINC001296133996 916250233 /nfs/dbraw/zinc/25/02/33/916250233.db2.gz PAAMAKQHEGNRPN-UHFFFAOYSA-N 1 2 318.421 1.594 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001296440414 916417820 /nfs/dbraw/zinc/41/78/20/916417820.db2.gz FJLBSLSPRSESNT-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001296440414 916417829 /nfs/dbraw/zinc/41/78/29/916417829.db2.gz FJLBSLSPRSESNT-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)CCC(=C)C)CC1 ZINC001296825068 916580121 /nfs/dbraw/zinc/58/01/21/916580121.db2.gz QADHAYCTIBNPHG-UHFFFAOYSA-N 1 2 321.465 1.912 20 30 DDEDLO C=CCCCC(=O)NCC1CN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001297258546 916844585 /nfs/dbraw/zinc/84/45/85/916844585.db2.gz SIOISJKEOQGMJH-ZIAGYGMSSA-N 1 2 316.405 1.444 20 30 DDEDLO C=CCCCC(=O)NCC1CN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001297258546 916844600 /nfs/dbraw/zinc/84/46/00/916844600.db2.gz SIOISJKEOQGMJH-ZIAGYGMSSA-N 1 2 316.405 1.444 20 30 DDEDLO COc1cc(C[N@@H+]2CCCC[C@@H]2CNC(=O)[C@H](C)C#N)on1 ZINC001376574733 918794591 /nfs/dbraw/zinc/79/45/91/918794591.db2.gz MVEUOTRFRNCMJT-VXGBXAGGSA-N 1 2 306.366 1.314 20 30 DDEDLO COc1cc(C[N@H+]2CCCC[C@@H]2CNC(=O)[C@H](C)C#N)on1 ZINC001376574733 918794602 /nfs/dbraw/zinc/79/46/02/918794602.db2.gz MVEUOTRFRNCMJT-VXGBXAGGSA-N 1 2 306.366 1.314 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCCC[N@@H+]1Cc1ccc(F)cn1 ZINC001376583351 918813296 /nfs/dbraw/zinc/81/32/96/918813296.db2.gz XEHSJYDZNQWHCO-IUODEOHRSA-N 1 2 304.369 1.851 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCCC[N@H+]1Cc1ccc(F)cn1 ZINC001376583351 918813306 /nfs/dbraw/zinc/81/33/06/918813306.db2.gz XEHSJYDZNQWHCO-IUODEOHRSA-N 1 2 304.369 1.851 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@H+](CC(=O)NC2CCCCC2)C1 ZINC001377840645 923198575 /nfs/dbraw/zinc/19/85/75/923198575.db2.gz MSTQCWVPBJAKLF-ZFWWWQNUSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NC2CCCCC2)C1 ZINC001377840645 923198583 /nfs/dbraw/zinc/19/85/83/923198583.db2.gz MSTQCWVPBJAKLF-ZFWWWQNUSA-N 1 2 320.437 1.176 20 30 DDEDLO CC(C)[C@@H]([NH2+]Cc1ccnc(C#N)c1)c1nc(-c2nc[nH]n2)no1 ZINC000614574530 361924407 /nfs/dbraw/zinc/92/44/07/361924407.db2.gz XNYYMOQFZHACFU-GFCCVEGCSA-N 1 2 324.348 1.608 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C)[NH+]1CCCCCC1 ZINC000329634592 295691232 /nfs/dbraw/zinc/69/12/32/295691232.db2.gz XPJOFBPEHYPLSC-RWMBFGLXSA-N 1 2 302.440 1.641 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)NC[C@@H]3CCCCO3)CC2)cn1 ZINC000329137298 539301424 /nfs/dbraw/zinc/30/14/24/539301424.db2.gz DDVRAIPLLYAKCY-HNNXBMFYSA-N 1 2 321.425 1.021 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)CNC(=O)c2ccsc2)C1 ZINC000329228285 539302021 /nfs/dbraw/zinc/30/20/21/539302021.db2.gz INMGNRIRWJXUDK-GFCCVEGCSA-N 1 2 310.423 1.255 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)CNC(=O)c2ccsc2)C1 ZINC000329228285 539302022 /nfs/dbraw/zinc/30/20/22/539302022.db2.gz INMGNRIRWJXUDK-GFCCVEGCSA-N 1 2 310.423 1.255 20 30 DDEDLO CC1(C)CCC[N@@H+](CCNC(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000329528966 539305421 /nfs/dbraw/zinc/30/54/21/539305421.db2.gz UWWNNYBTIRUHBV-UHFFFAOYSA-N 1 2 313.427 1.681 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)Nc2ccc(C(N)=O)cc2F)C1 ZINC000331059468 529782506 /nfs/dbraw/zinc/78/25/06/529782506.db2.gz MVNODTUNOIXHOU-JTQLQIEISA-N 1 2 310.329 1.366 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)Nc2ccc(C(N)=O)cc2F)C1 ZINC000331059468 529782508 /nfs/dbraw/zinc/78/25/08/529782508.db2.gz MVNODTUNOIXHOU-JTQLQIEISA-N 1 2 310.329 1.366 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)Cn1cnc2c(Cl)cccc2c1=O ZINC000414129089 529868214 /nfs/dbraw/zinc/86/82/14/529868214.db2.gz UGSDWAZCOKLSFW-LLVKDONJSA-N 1 2 320.780 1.256 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)Cn1cnc2c(Cl)cccc2c1=O ZINC000414129089 529868215 /nfs/dbraw/zinc/86/82/15/529868215.db2.gz UGSDWAZCOKLSFW-LLVKDONJSA-N 1 2 320.780 1.256 20 30 DDEDLO CNC(=O)[C@@H]1CCC[C@H]1NC(=O)NCc1[nH+]ccn1CC(C)C ZINC000330572973 529905070 /nfs/dbraw/zinc/90/50/70/529905070.db2.gz NNBRMSFFIAXNLX-CHWSQXEVSA-N 1 2 321.425 1.457 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1C(=O)c1cc(-c2cccc(C#N)c2)no1 ZINC000330997031 232306192 /nfs/dbraw/zinc/30/61/92/232306192.db2.gz UGPWETXFRIKFHW-GFCCVEGCSA-N 1 2 310.357 1.989 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1C(=O)c1cc(-c2cccc(C#N)c2)no1 ZINC000330997031 232306196 /nfs/dbraw/zinc/30/61/96/232306196.db2.gz UGPWETXFRIKFHW-GFCCVEGCSA-N 1 2 310.357 1.989 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@@H]1O ZINC000279741990 215107586 /nfs/dbraw/zinc/10/75/86/215107586.db2.gz KOQNXNMWLDKNEU-ZDUSSCGKSA-N 1 2 307.781 1.853 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@@H]1O ZINC000279741990 215107588 /nfs/dbraw/zinc/10/75/88/215107588.db2.gz KOQNXNMWLDKNEU-ZDUSSCGKSA-N 1 2 307.781 1.853 20 30 DDEDLO CC(C)N1CC[N@H+](CCC(=O)Nc2ccccc2C#N)CC1=O ZINC000272609440 210010086 /nfs/dbraw/zinc/01/00/86/210010086.db2.gz SDLBVEICWLBETN-UHFFFAOYSA-N 1 2 314.389 1.439 20 30 DDEDLO CC(C)N1CC[N@@H+](CCC(=O)Nc2ccccc2C#N)CC1=O ZINC000272609440 210010087 /nfs/dbraw/zinc/01/00/87/210010087.db2.gz SDLBVEICWLBETN-UHFFFAOYSA-N 1 2 314.389 1.439 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](Cc1ccccc1F)C1CC1 ZINC000015522329 352156569 /nfs/dbraw/zinc/15/65/69/352156569.db2.gz GFIQQFJNKFMUFD-UHFFFAOYSA-N 1 2 305.353 1.802 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](Cc1ccccc1F)C1CC1 ZINC000015522329 352156571 /nfs/dbraw/zinc/15/65/71/352156571.db2.gz GFIQQFJNKFMUFD-UHFFFAOYSA-N 1 2 305.353 1.802 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(CC(F)(F)F)CC1 ZINC000042566218 352343388 /nfs/dbraw/zinc/34/33/88/352343388.db2.gz CPLLWCKUWOWFNP-CYBMUJFWSA-N 1 2 319.371 1.755 20 30 DDEDLO C=CCOc1ccc(C(=O)N[C@@H](C)C[NH+]2CCOCC2)cc1 ZINC000047151617 352483901 /nfs/dbraw/zinc/48/39/01/352483901.db2.gz FQFYOXWAYZXRAI-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO CC(=O)N1CCC[N@@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)CC1 ZINC000053457307 352656967 /nfs/dbraw/zinc/65/69/67/352656967.db2.gz MDFIKYIGOQVCJV-CQSZACIVSA-N 1 2 320.437 1.272 20 30 DDEDLO CC(=O)N1CCC[N@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)CC1 ZINC000053457307 352656970 /nfs/dbraw/zinc/65/69/70/352656970.db2.gz MDFIKYIGOQVCJV-CQSZACIVSA-N 1 2 320.437 1.272 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000057667856 352822811 /nfs/dbraw/zinc/82/28/11/352822811.db2.gz GLSCCCNFFRAFQQ-LBPRGKRZSA-N 1 2 311.345 1.207 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000067652179 353060815 /nfs/dbraw/zinc/06/08/15/353060815.db2.gz GLHVHIOUAMKUEL-YOEHRIQHSA-N 1 2 322.453 1.374 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCC[N@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000067652179 353060819 /nfs/dbraw/zinc/06/08/19/353060819.db2.gz GLHVHIOUAMKUEL-YOEHRIQHSA-N 1 2 322.453 1.374 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C(=O)C[C@@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC000491773382 234283165 /nfs/dbraw/zinc/28/31/65/234283165.db2.gz UXNCQUIUZWHNKL-ABAIWWIYSA-N 1 2 303.318 1.443 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C(=O)C[C@@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC000491773382 234283169 /nfs/dbraw/zinc/28/31/69/234283169.db2.gz UXNCQUIUZWHNKL-ABAIWWIYSA-N 1 2 303.318 1.443 20 30 DDEDLO CN(C(=O)CN1CCc2c([nH+]cn2C)C1)C1(C#N)CCCCC1 ZINC000069560092 353167657 /nfs/dbraw/zinc/16/76/57/353167657.db2.gz AXEUEAXBPRGNSX-UHFFFAOYSA-N 1 2 315.421 1.463 20 30 DDEDLO C=CCSCCNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000073909321 353278178 /nfs/dbraw/zinc/27/81/78/353278178.db2.gz BTICGOFEMAORRE-AWEZNQCLSA-N 1 2 313.467 1.412 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[NH+]1CCC([C@@H](C)O)CC1 ZINC000083171640 353707090 /nfs/dbraw/zinc/70/70/90/353707090.db2.gz FKCBKVLZUGQFCF-WBMJQRKESA-N 1 2 302.374 1.865 20 30 DDEDLO CCC(=O)N1CCC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000115536750 353986893 /nfs/dbraw/zinc/98/68/93/353986893.db2.gz LXRISSWLTFZIBP-INIZCTEOSA-N 1 2 314.389 1.029 20 30 DDEDLO CCC(=O)N1CCC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000115536750 353986898 /nfs/dbraw/zinc/98/68/98/353986898.db2.gz LXRISSWLTFZIBP-INIZCTEOSA-N 1 2 314.389 1.029 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](CC(N)=O)C2CCCC2)c(C#N)c1C ZINC000154957913 354210280 /nfs/dbraw/zinc/21/02/80/354210280.db2.gz KWRRULZLQGXQLD-UHFFFAOYSA-N 1 2 318.377 1.437 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](CC(N)=O)C2CCCC2)c(C#N)c1C ZINC000154957913 354210282 /nfs/dbraw/zinc/21/02/82/354210282.db2.gz KWRRULZLQGXQLD-UHFFFAOYSA-N 1 2 318.377 1.437 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)NC[C@@H]2CCCO2)C1 ZINC000328626056 354550820 /nfs/dbraw/zinc/55/08/20/354550820.db2.gz DTWHMXLYRZTJEH-GJZGRUSLSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)NC[C@@H]2CCCO2)C1 ZINC000328626056 354550825 /nfs/dbraw/zinc/55/08/25/354550825.db2.gz DTWHMXLYRZTJEH-GJZGRUSLSA-N 1 2 311.426 1.122 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CSCc1ccc(C#N)cc1 ZINC000578776169 354712674 /nfs/dbraw/zinc/71/26/74/354712674.db2.gz XOAXCODRYLQUGN-MRXNPFEDSA-N 1 2 316.430 1.752 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)o1 ZINC000588114411 354902411 /nfs/dbraw/zinc/90/24/11/354902411.db2.gz WOFIFVIVQZERQR-LLVKDONJSA-N 1 2 306.347 1.374 20 30 DDEDLO N#CC1(C(=O)NC[C@@H]2CCC[N@H+](Cc3ncon3)C2)CCC1 ZINC000588842868 354941049 /nfs/dbraw/zinc/94/10/49/354941049.db2.gz GGZATMUTGDPPCK-LBPRGKRZSA-N 1 2 303.366 1.092 20 30 DDEDLO N#CC1(C(=O)NC[C@@H]2CCC[N@@H+](Cc3ncon3)C2)CCC1 ZINC000588842868 354941052 /nfs/dbraw/zinc/94/10/52/354941052.db2.gz GGZATMUTGDPPCK-LBPRGKRZSA-N 1 2 303.366 1.092 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@@](CO)(Cc2ccc(F)cc2)C1 ZINC000588984713 354953686 /nfs/dbraw/zinc/95/36/86/354953686.db2.gz OLJLWDADQADBKU-NVXWUHKLSA-N 1 2 307.365 1.780 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@@](CO)(Cc2ccc(F)cc2)C1 ZINC000588984713 354953689 /nfs/dbraw/zinc/95/36/89/354953689.db2.gz OLJLWDADQADBKU-NVXWUHKLSA-N 1 2 307.365 1.780 20 30 DDEDLO C[C@H](CC[NH+]1CCN(C)CC1)NC(=O)c1cc(O)cc(C#N)c1 ZINC000589204343 354972587 /nfs/dbraw/zinc/97/25/87/354972587.db2.gz AUEZXYYWINSCTR-CYBMUJFWSA-N 1 2 316.405 1.020 20 30 DDEDLO C[C@H](CCN1CC[NH+](C)CC1)NC(=O)c1cc(O)cc(C#N)c1 ZINC000589204343 354972590 /nfs/dbraw/zinc/97/25/90/354972590.db2.gz AUEZXYYWINSCTR-CYBMUJFWSA-N 1 2 316.405 1.020 20 30 DDEDLO CCOc1ncc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1Cl ZINC000589826907 355022125 /nfs/dbraw/zinc/02/21/25/355022125.db2.gz AZXNJNUVOCGCSZ-CQSZACIVSA-N 1 2 310.785 1.707 20 30 DDEDLO N#C[C@@H](c1ccc(F)cc1)N1CC[NH+]([C@H]2CCCNC2=O)CC1 ZINC000591025974 355235808 /nfs/dbraw/zinc/23/58/08/355235808.db2.gz OBFHIYBDYXKDQX-HOTGVXAUSA-N 1 2 316.380 1.287 20 30 DDEDLO Cc1nc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cn2)cs1 ZINC000591729141 355374000 /nfs/dbraw/zinc/37/40/00/355374000.db2.gz RDJKWFOQVFMFAJ-UHFFFAOYSA-N 1 2 322.415 1.282 20 30 DDEDLO COC[C@@]1(C(N)=O)CCC[N@@H+]1Cc1ccc(C#N)cc1Cl ZINC000591647635 355351313 /nfs/dbraw/zinc/35/13/13/355351313.db2.gz SJHIFQXSYQJXNM-OAHLLOKOSA-N 1 2 307.781 1.678 20 30 DDEDLO COC[C@@]1(C(N)=O)CCC[N@H+]1Cc1ccc(C#N)cc1Cl ZINC000591647635 355351317 /nfs/dbraw/zinc/35/13/17/355351317.db2.gz SJHIFQXSYQJXNM-OAHLLOKOSA-N 1 2 307.781 1.678 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@@H](n3ccnn3)C2)c1 ZINC000591851613 355395290 /nfs/dbraw/zinc/39/52/90/355395290.db2.gz GCAAPQCCPXZBLP-OAHLLOKOSA-N 1 2 310.361 1.425 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCC[C@@H](n3ccnn3)C2)c1 ZINC000591851613 355395292 /nfs/dbraw/zinc/39/52/92/355395292.db2.gz GCAAPQCCPXZBLP-OAHLLOKOSA-N 1 2 310.361 1.425 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc2c(c1)OCO2)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592146596 355511648 /nfs/dbraw/zinc/51/16/48/355511648.db2.gz DBOVGZBFDBGKHU-MEDUHNTESA-N 1 2 317.345 1.093 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc2c(c1)OCO2)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592146596 355511654 /nfs/dbraw/zinc/51/16/54/355511654.db2.gz DBOVGZBFDBGKHU-MEDUHNTESA-N 1 2 317.345 1.093 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)NCCCC2CCCCC2)C1 ZINC000592149695 355516243 /nfs/dbraw/zinc/51/62/43/355516243.db2.gz UPAVGVYKGSLCKS-KRWDZBQOSA-N 1 2 307.438 1.814 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)NCCCC2CCCCC2)C1 ZINC000592149695 355516244 /nfs/dbraw/zinc/51/62/44/355516244.db2.gz UPAVGVYKGSLCKS-KRWDZBQOSA-N 1 2 307.438 1.814 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)N[C@H](c2ccccc2)C2CC2)C1 ZINC000592149608 355516297 /nfs/dbraw/zinc/51/62/97/355516297.db2.gz SABYLPPNPYZBTK-QZTJIDSGSA-N 1 2 313.401 1.604 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)N[C@H](c2ccccc2)C2CC2)C1 ZINC000592149608 355516302 /nfs/dbraw/zinc/51/63/02/355516302.db2.gz SABYLPPNPYZBTK-QZTJIDSGSA-N 1 2 313.401 1.604 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000592150935 355517607 /nfs/dbraw/zinc/51/76/07/355517607.db2.gz JYKKJOYRBQDFFP-HOCLYGCPSA-N 1 2 303.337 1.281 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000592150935 355517610 /nfs/dbraw/zinc/51/76/10/355517610.db2.gz JYKKJOYRBQDFFP-HOCLYGCPSA-N 1 2 303.337 1.281 20 30 DDEDLO Cc1cc(Cl)ccc1NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592152453 355518676 /nfs/dbraw/zinc/51/86/76/355518676.db2.gz XXTCYGUIAVTMLO-OAHLLOKOSA-N 1 2 307.781 1.937 20 30 DDEDLO Cc1cc(Cl)ccc1NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592152453 355518678 /nfs/dbraw/zinc/51/86/78/355518678.db2.gz XXTCYGUIAVTMLO-OAHLLOKOSA-N 1 2 307.781 1.937 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)[C@H](C)CO1 ZINC000593064321 355784925 /nfs/dbraw/zinc/78/49/25/355784925.db2.gz RUDUJHQKJHWAHB-CJNGLKHVSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)[C@H](C)CO1 ZINC000593064321 355784927 /nfs/dbraw/zinc/78/49/27/355784927.db2.gz RUDUJHQKJHWAHB-CJNGLKHVSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)C2(CCC2)CO1 ZINC000593094221 355794313 /nfs/dbraw/zinc/79/43/13/355794313.db2.gz XRYCIZAXFWYEPZ-AWEZNQCLSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)C2(CCC2)CO1 ZINC000593094221 355794314 /nfs/dbraw/zinc/79/43/14/355794314.db2.gz XRYCIZAXFWYEPZ-AWEZNQCLSA-N 1 2 313.401 1.818 20 30 DDEDLO CC(C)Oc1cccc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000593155524 355813685 /nfs/dbraw/zinc/81/36/85/355813685.db2.gz ZBAMSFCHJMHDLE-KRWDZBQOSA-N 1 2 303.406 1.976 20 30 DDEDLO C[C@@H](NC(=O)NCC1(C#N)CCCC1)[C@@H](C)[NH+]1CCOCC1 ZINC000593339918 355858150 /nfs/dbraw/zinc/85/81/50/355858150.db2.gz WFMZPWOZCGGQIS-ZIAGYGMSSA-N 1 2 308.426 1.479 20 30 DDEDLO COc1cc(C)nc(N2CC[NH+](Cc3ccc(C#N)cn3)CC2)n1 ZINC000593956752 356072647 /nfs/dbraw/zinc/07/26/47/356072647.db2.gz FQQYGGPAHVUWIM-UHFFFAOYSA-N 1 2 324.388 1.383 20 30 DDEDLO COc1c(C)ncnc1N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000594539371 356245681 /nfs/dbraw/zinc/24/56/81/356245681.db2.gz CTDXCDNQCDMUBQ-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)NCC2(C#N)CCCCC2)C1 ZINC000595499987 356519651 /nfs/dbraw/zinc/51/96/51/356519651.db2.gz PGPNDICRVULSPN-CQSZACIVSA-N 1 2 307.442 1.005 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)NCC2(C#N)CCCCC2)C1 ZINC000595499987 356519656 /nfs/dbraw/zinc/51/96/56/356519656.db2.gz PGPNDICRVULSPN-CQSZACIVSA-N 1 2 307.442 1.005 20 30 DDEDLO N#CC1(CNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)CCCCC1 ZINC000595591587 356565855 /nfs/dbraw/zinc/56/58/55/356565855.db2.gz WMXCOYXCOYEHQX-CYBMUJFWSA-N 1 2 301.394 1.971 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@](C)(C2CC2)C1 ZINC000595618959 356580183 /nfs/dbraw/zinc/58/01/83/356580183.db2.gz XCYPKNAGNIKESU-XYPHTWIQSA-N 1 2 307.438 1.930 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@](C)(C2CC2)C1 ZINC000595618959 356580188 /nfs/dbraw/zinc/58/01/88/356580188.db2.gz XCYPKNAGNIKESU-XYPHTWIQSA-N 1 2 307.438 1.930 20 30 DDEDLO N#Cc1sccc1N1CCN(CCC[NH+]2CCOCC2)CC1 ZINC000595631537 356585581 /nfs/dbraw/zinc/58/55/81/356585581.db2.gz UWDPVXICKLEUIN-UHFFFAOYSA-N 1 2 320.462 1.464 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@H](O)COc2ccc(CC#N)cc2)o1 ZINC000595701774 356614960 /nfs/dbraw/zinc/61/49/60/356614960.db2.gz UIQIHSOKYJMNHV-AWEZNQCLSA-N 1 2 316.361 1.316 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@H](O)COc2ccc(CC#N)cc2)o1 ZINC000595701774 356614967 /nfs/dbraw/zinc/61/49/67/356614967.db2.gz UIQIHSOKYJMNHV-AWEZNQCLSA-N 1 2 316.361 1.316 20 30 DDEDLO C[C@@H]1COC2(CCC2)C[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000595676869 356605921 /nfs/dbraw/zinc/60/59/21/356605921.db2.gz VHQSUTZQLRINCH-CQSZACIVSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@@H]1COC2(CCC2)C[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000595676869 356605925 /nfs/dbraw/zinc/60/59/25/356605925.db2.gz VHQSUTZQLRINCH-CQSZACIVSA-N 1 2 313.401 1.818 20 30 DDEDLO C[N@@H+](CC(=O)Nc1cc(Cl)ccc1C#N)C[C@H]1COCCO1 ZINC000595739906 356630132 /nfs/dbraw/zinc/63/01/32/356630132.db2.gz LFPYMXRAOMSURU-ZDUSSCGKSA-N 1 2 323.780 1.497 20 30 DDEDLO C[N@H+](CC(=O)Nc1cc(Cl)ccc1C#N)C[C@H]1COCCO1 ZINC000595739906 356630135 /nfs/dbraw/zinc/63/01/35/356630135.db2.gz LFPYMXRAOMSURU-ZDUSSCGKSA-N 1 2 323.780 1.497 20 30 DDEDLO COC(=O)[C@@]1([NH2+]CCC(=O)N(C)CCC#N)CC[C@@H](C)CC1 ZINC000595706689 356616808 /nfs/dbraw/zinc/61/68/08/356616808.db2.gz DCUGAPJEROJICK-SAZUREKKSA-N 1 2 309.410 1.460 20 30 DDEDLO C[C@H]1COC2(CCCC2)C[N@@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000595814438 356662303 /nfs/dbraw/zinc/66/23/03/356662303.db2.gz GNBGUSVMZASTQM-XJKSGUPXSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@H]1COC2(CCCC2)C[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000595814438 356662305 /nfs/dbraw/zinc/66/23/05/356662305.db2.gz GNBGUSVMZASTQM-XJKSGUPXSA-N 1 2 305.422 1.828 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+]2CC[C@@](C)(C#N)C2)C1 ZINC000595832363 356669275 /nfs/dbraw/zinc/66/92/75/356669275.db2.gz BKBHGOOJFKYUEQ-CJNGLKHVSA-N 1 2 307.394 1.024 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+]2CC[C@@](C)(C#N)C2)C1 ZINC000595832363 356669280 /nfs/dbraw/zinc/66/92/80/356669280.db2.gz BKBHGOOJFKYUEQ-CJNGLKHVSA-N 1 2 307.394 1.024 20 30 DDEDLO COc1ccccc1CNC(=O)[C@@H](C)[N@@H+]1CC[C@@](C)(C#N)C1 ZINC000595834967 356670569 /nfs/dbraw/zinc/67/05/69/356670569.db2.gz ODWVRKOPLCHGCW-DYVFJYSZSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccccc1CNC(=O)[C@@H](C)[N@H+]1CC[C@@](C)(C#N)C1 ZINC000595834967 356670571 /nfs/dbraw/zinc/67/05/71/356670571.db2.gz ODWVRKOPLCHGCW-DYVFJYSZSA-N 1 2 301.390 1.935 20 30 DDEDLO C[C@@]1(C#N)CC[N@H+](Cc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000595841281 356673584 /nfs/dbraw/zinc/67/35/84/356673584.db2.gz JUPZZUSFBSRNNP-INIZCTEOSA-N 1 2 319.430 1.863 20 30 DDEDLO C[C@@]1(C#N)CC[N@@H+](Cc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000595841281 356673587 /nfs/dbraw/zinc/67/35/87/356673587.db2.gz JUPZZUSFBSRNNP-INIZCTEOSA-N 1 2 319.430 1.863 20 30 DDEDLO C[C@H]([NH2+][C@@H](CO)c1ccc(F)cc1F)C(=O)NC1(C#N)CCC1 ZINC000595868631 356684535 /nfs/dbraw/zinc/68/45/35/356684535.db2.gz XAMZFBNJCDEXNH-HZMBPMFUSA-N 1 2 323.343 1.539 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([NH+]3CCOCC3)C2)c([N+](=O)[O-])c1 ZINC000596248041 356831993 /nfs/dbraw/zinc/83/19/93/356831993.db2.gz VWKKLBZYNRQPJV-AWEZNQCLSA-N 1 2 316.361 1.767 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)cc2)[C@H](C)CO1 ZINC000596452130 356883896 /nfs/dbraw/zinc/88/38/96/356883896.db2.gz DIBGKMWXAYQBDI-CHWSQXEVSA-N 1 2 302.378 1.789 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)cc2)[C@H](C)CO1 ZINC000596452130 356883898 /nfs/dbraw/zinc/88/38/98/356883898.db2.gz DIBGKMWXAYQBDI-CHWSQXEVSA-N 1 2 302.378 1.789 20 30 DDEDLO N#CC[C@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000596330922 356859520 /nfs/dbraw/zinc/85/95/20/356859520.db2.gz PKIKKSFFPNEHMN-CABCVRRESA-N 1 2 315.421 1.815 20 30 DDEDLO N#CC[C@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000596330922 356859524 /nfs/dbraw/zinc/85/95/24/356859524.db2.gz PKIKKSFFPNEHMN-CABCVRRESA-N 1 2 315.421 1.815 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)NCC(C)(C)C#N)C(C)(C)CO ZINC000596551283 356914258 /nfs/dbraw/zinc/91/42/58/356914258.db2.gz ZOTBIJQOAJTPOP-NSHDSACASA-N 1 2 307.398 1.329 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cnn2c1CCC2 ZINC000597026481 357043144 /nfs/dbraw/zinc/04/31/44/357043144.db2.gz IVDLLGAZHBELAE-CYBMUJFWSA-N 1 2 323.404 1.202 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cnn2c1CCC2 ZINC000597026481 357043149 /nfs/dbraw/zinc/04/31/49/357043149.db2.gz IVDLLGAZHBELAE-CYBMUJFWSA-N 1 2 323.404 1.202 20 30 DDEDLO N#Cc1c(F)cc(C(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)cc1F ZINC000597413848 357169472 /nfs/dbraw/zinc/16/94/72/357169472.db2.gz RRICNURMEGTZKU-SECBINFHSA-N 1 2 302.284 1.847 20 30 DDEDLO N#Cc1c(F)cc(C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)cc1F ZINC000597413848 357169475 /nfs/dbraw/zinc/16/94/75/357169475.db2.gz RRICNURMEGTZKU-SECBINFHSA-N 1 2 302.284 1.847 20 30 DDEDLO CC1CC(C#N)(C(=O)NCc2nc(C[NH+]3CCCCC3)no2)C1 ZINC000597620785 357258427 /nfs/dbraw/zinc/25/84/27/357258427.db2.gz BABMCLRDOKFZBD-UHFFFAOYSA-N 1 2 317.393 1.612 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1cccc(C#N)c1)C(C)(C)CO ZINC000597846266 357356014 /nfs/dbraw/zinc/35/60/14/357356014.db2.gz KGFDSAJWRHGVPF-AWEZNQCLSA-N 1 2 312.373 1.781 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC[N@H+]1C[C@@H](C)OC[C@@H]1C ZINC000598001231 357417594 /nfs/dbraw/zinc/41/75/94/357417594.db2.gz XPKNWISUZJYNLA-UONOGXRCSA-N 1 2 301.390 1.706 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC[N@@H+]1C[C@@H](C)OC[C@@H]1C ZINC000598001231 357417598 /nfs/dbraw/zinc/41/75/98/357417598.db2.gz XPKNWISUZJYNLA-UONOGXRCSA-N 1 2 301.390 1.706 20 30 DDEDLO C[C@@]1(CNC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)CCOC1 ZINC000329635147 223006350 /nfs/dbraw/zinc/00/63/50/223006350.db2.gz XMFGZGIREFGRAG-HOCLYGCPSA-N 1 2 311.426 1.124 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC(C)(C)C[NH+](C)C)cc1C#N ZINC000598739650 357711407 /nfs/dbraw/zinc/71/14/07/357711407.db2.gz JULGYEWEKXCQHA-UHFFFAOYSA-N 1 2 308.407 1.451 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cccc(N2CCCC2)c1 ZINC000598836291 357739860 /nfs/dbraw/zinc/73/98/60/357739860.db2.gz FNGRJEXLLWNVIL-QGZVFWFLSA-N 1 2 300.406 1.860 20 30 DDEDLO C[C@H](O)C[C@@H]1CCCCN1C([O-])=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000329754713 223023533 /nfs/dbraw/zinc/02/35/33/223023533.db2.gz XNLAXKBCNYSPPE-XQQFMLRXSA-N 1 2 306.410 1.806 20 30 DDEDLO C[C@H](O)C[C@@H]1CCCCN1C([O-])=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000329754713 223023536 /nfs/dbraw/zinc/02/35/36/223023536.db2.gz XNLAXKBCNYSPPE-XQQFMLRXSA-N 1 2 306.410 1.806 20 30 DDEDLO Cc1cc(C)c2ncc(C#N)c(NC[C@H]3COCC[N@H+]3C)c2c1 ZINC000599160456 357833223 /nfs/dbraw/zinc/83/32/23/357833223.db2.gz JTNMBTFESVHPMG-HNNXBMFYSA-N 1 2 310.401 1.888 20 30 DDEDLO Cc1cc(C)c2ncc(C#N)c(NC[C@H]3COCC[N@@H+]3C)c2c1 ZINC000599160456 357833228 /nfs/dbraw/zinc/83/32/28/357833228.db2.gz JTNMBTFESVHPMG-HNNXBMFYSA-N 1 2 310.401 1.888 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCC[C@H](c3ccn[nH]3)C2)CCOCC1 ZINC000599264748 357866721 /nfs/dbraw/zinc/86/67/21/357866721.db2.gz FVNUFTAHHVHLNT-GJZGRUSLSA-N 1 2 318.421 1.660 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCC[C@H](c3ccn[nH]3)C2)CCOCC1 ZINC000599264748 357866724 /nfs/dbraw/zinc/86/67/24/357866724.db2.gz FVNUFTAHHVHLNT-GJZGRUSLSA-N 1 2 318.421 1.660 20 30 DDEDLO CCC[N@@H+](CC(=O)OC)C[C@@H](O)COc1ccccc1C#N ZINC000599263614 357866786 /nfs/dbraw/zinc/86/67/86/357866786.db2.gz HCVRMACDDNUWRK-CQSZACIVSA-N 1 2 306.362 1.183 20 30 DDEDLO CCC[N@H+](CC(=O)OC)C[C@@H](O)COc1ccccc1C#N ZINC000599263614 357866789 /nfs/dbraw/zinc/86/67/89/357866789.db2.gz HCVRMACDDNUWRK-CQSZACIVSA-N 1 2 306.362 1.183 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3ccccc3C#N)CC2)n1 ZINC000599281186 357873631 /nfs/dbraw/zinc/87/36/31/357873631.db2.gz IOFDERKSFSFNEQ-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CCC[C@@](C)([NH2+]C[C@H](O)COc1ccccc1C#N)C(=O)OC ZINC000599304028 357884264 /nfs/dbraw/zinc/88/42/64/357884264.db2.gz PBSVACXOSGGQPW-WMLDXEAASA-N 1 2 320.389 1.619 20 30 DDEDLO N#CCCN1CC[NH+]([C@@H]2CCN(C3CCCCC3)C2=O)CC1 ZINC000599336979 357899916 /nfs/dbraw/zinc/89/99/16/357899916.db2.gz QLRISHRCKDXTQC-MRXNPFEDSA-N 1 2 304.438 1.451 20 30 DDEDLO C=CC[N@H+](Cc1ccc2c[nH]nc2c1)[C@H]1CCS(=O)(=O)C1 ZINC000179526207 199050498 /nfs/dbraw/zinc/05/04/98/199050498.db2.gz CTOJBAJRDNBEOO-AWEZNQCLSA-N 1 2 305.403 1.738 20 30 DDEDLO C=CC[N@@H+](Cc1ccc2c[nH]nc2c1)[C@H]1CCS(=O)(=O)C1 ZINC000179526207 199050500 /nfs/dbraw/zinc/05/05/00/199050500.db2.gz CTOJBAJRDNBEOO-AWEZNQCLSA-N 1 2 305.403 1.738 20 30 DDEDLO COc1cc(NC(=O)NCC[NH+]2CCOCC2)c(F)cc1C#N ZINC000599819947 358066033 /nfs/dbraw/zinc/06/60/33/358066033.db2.gz NTVXNJAOUKZSKC-UHFFFAOYSA-N 1 2 322.340 1.160 20 30 DDEDLO C[N@@H+]1C2(CCC2)COC[C@@]1(CO)CNC(=O)CCCCCC#N ZINC000600231312 358163621 /nfs/dbraw/zinc/16/36/21/358163621.db2.gz DUHBKZDEXNANJC-KRWDZBQOSA-N 1 2 323.437 1.192 20 30 DDEDLO C[N@H+]1C2(CCC2)COC[C@@]1(CO)CNC(=O)CCCCCC#N ZINC000600231312 358163624 /nfs/dbraw/zinc/16/36/24/358163624.db2.gz DUHBKZDEXNANJC-KRWDZBQOSA-N 1 2 323.437 1.192 20 30 DDEDLO COC[C@@]1(C)C[N@H+](Cc2cnc3ccc(C#N)cn23)CCO1 ZINC000600217959 358159839 /nfs/dbraw/zinc/15/98/39/358159839.db2.gz OSCRNXMBOQOLNT-MRXNPFEDSA-N 1 2 300.362 1.443 20 30 DDEDLO COC[C@@]1(C)C[N@@H+](Cc2cnc3ccc(C#N)cn23)CCO1 ZINC000600217959 358159843 /nfs/dbraw/zinc/15/98/43/358159843.db2.gz OSCRNXMBOQOLNT-MRXNPFEDSA-N 1 2 300.362 1.443 20 30 DDEDLO COC[C@@]1(C)CN(Cc2c[nH+]c3ccc(C#N)cn23)CCO1 ZINC000600217959 358159848 /nfs/dbraw/zinc/15/98/48/358159848.db2.gz OSCRNXMBOQOLNT-MRXNPFEDSA-N 1 2 300.362 1.443 20 30 DDEDLO C[NH+](C)C1(CNS(=O)(=O)c2c(F)cc(C#N)cc2F)CC1 ZINC000601448495 358557845 /nfs/dbraw/zinc/55/78/45/358557845.db2.gz IYVCEHYTEMSILU-UHFFFAOYSA-N 1 2 315.345 1.209 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)NCC[N@H+]1CC=CCC1 ZINC000601407441 358539540 /nfs/dbraw/zinc/53/95/40/358539540.db2.gz RJIHJZLUVWEAIS-UHFFFAOYSA-N 1 2 323.393 1.546 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)NCC[N@@H+]1CC=CCC1 ZINC000601407441 358539542 /nfs/dbraw/zinc/53/95/42/358539542.db2.gz RJIHJZLUVWEAIS-UHFFFAOYSA-N 1 2 323.393 1.546 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)c1 ZINC000602198253 358878223 /nfs/dbraw/zinc/87/82/23/358878223.db2.gz JYVVLHHUAUYCDC-UHFFFAOYSA-N 1 2 320.396 1.954 20 30 DDEDLO CO[C@]1(C[N@@H+]2CCN(Cc3ccccc3)[C@@H](C#N)C2)CCOC1 ZINC000602428554 358969653 /nfs/dbraw/zinc/96/96/53/358969653.db2.gz PRTLVQGSYUINSS-ROUUACIJSA-N 1 2 315.417 1.502 20 30 DDEDLO CO[C@]1(C[N@H+]2CCN(Cc3ccccc3)[C@@H](C#N)C2)CCOC1 ZINC000602428554 358969655 /nfs/dbraw/zinc/96/96/55/358969655.db2.gz PRTLVQGSYUINSS-ROUUACIJSA-N 1 2 315.417 1.502 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H]1COc2cc(F)ccc2C1 ZINC000602333142 358934671 /nfs/dbraw/zinc/93/46/71/358934671.db2.gz LSIHKPHYOHOTJC-LRDDRELGSA-N 1 2 305.353 1.337 20 30 DDEDLO COC(=O)Cc1nc(NC(=O)[C@@H](C)[NH+]2CCCCCC2)n[nH]1 ZINC000073765412 291170074 /nfs/dbraw/zinc/17/00/74/291170074.db2.gz YBEJDOGZIGYBBK-SNVBAGLBSA-N 1 2 309.370 1.373 20 30 DDEDLO N#CCCN1CC[NH+](Cc2ccc3c(c2)NC(=O)CO3)CC1 ZINC000602748915 359166614 /nfs/dbraw/zinc/16/66/14/359166614.db2.gz WPJBTXFQCKYDFW-UHFFFAOYSA-N 1 2 300.362 1.049 20 30 DDEDLO COC(=O)c1cccc(OC)c1C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000602852008 359238926 /nfs/dbraw/zinc/23/89/26/359238926.db2.gz MNNGDZWWJCAFLS-MRXNPFEDSA-N 1 2 304.346 1.332 20 30 DDEDLO COC(=O)c1cccc(OC)c1C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000602852008 359238930 /nfs/dbraw/zinc/23/89/30/359238930.db2.gz MNNGDZWWJCAFLS-MRXNPFEDSA-N 1 2 304.346 1.332 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1)[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602857067 359243953 /nfs/dbraw/zinc/24/39/53/359243953.db2.gz OOSOYYVGMKUTDA-QLFBSQMISA-N 1 2 300.406 1.932 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1)[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602857067 359243958 /nfs/dbraw/zinc/24/39/58/359243958.db2.gz OOSOYYVGMKUTDA-QLFBSQMISA-N 1 2 300.406 1.932 20 30 DDEDLO COc1ccc(NC(=O)C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)cc1 ZINC000602859382 359245238 /nfs/dbraw/zinc/24/52/38/359245238.db2.gz GGBYHTJPFOJTJS-ZIAGYGMSSA-N 1 2 316.405 1.552 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2nc(-c3ccoc3)no2)C[C@H](C)N1CC#N ZINC000602859848 359246066 /nfs/dbraw/zinc/24/60/66/359246066.db2.gz ZQBLKOLJNVGPRX-TXEJJXNPSA-N 1 2 301.350 1.748 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2nc(-c3ccoc3)no2)C[C@H](C)N1CC#N ZINC000602859848 359246070 /nfs/dbraw/zinc/24/60/70/359246070.db2.gz ZQBLKOLJNVGPRX-TXEJJXNPSA-N 1 2 301.350 1.748 20 30 DDEDLO COc1ccc(CNC(=O)[C@@H](C)[NH2+][C@H](C)CC#N)cc1OC ZINC000602866407 359250456 /nfs/dbraw/zinc/25/04/56/359250456.db2.gz ZTQOOOKJHQRPCI-VXGBXAGGSA-N 1 2 305.378 1.600 20 30 DDEDLO COc1ccc(C[NH+]2CCN(Cc3nc[nH]n3)CC2)cc1C#N ZINC000602866122 359250624 /nfs/dbraw/zinc/25/06/24/359250624.db2.gz NBKAZUUIYIGEPL-UHFFFAOYSA-N 1 2 312.377 1.003 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1 ZINC000602869836 359254629 /nfs/dbraw/zinc/25/46/29/359254629.db2.gz PJWIGQFHCCXMMB-VXGBXAGGSA-N 1 2 320.368 1.011 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)CC#N)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000602870079 359254945 /nfs/dbraw/zinc/25/49/45/359254945.db2.gz SJISLQRCNZIIGS-UONOGXRCSA-N 1 2 316.405 1.321 20 30 DDEDLO CS(=O)(=O)N1CC[N@H+](CC2(CC#N)CC2)Cc2ccccc21 ZINC000602796740 359197253 /nfs/dbraw/zinc/19/72/53/359197253.db2.gz FQIGAUATHOGASE-UHFFFAOYSA-N 1 2 319.430 1.962 20 30 DDEDLO CS(=O)(=O)N1CC[N@@H+](CC2(CC#N)CC2)Cc2ccccc21 ZINC000602796740 359197256 /nfs/dbraw/zinc/19/72/56/359197256.db2.gz FQIGAUATHOGASE-UHFFFAOYSA-N 1 2 319.430 1.962 20 30 DDEDLO Cc1nnc(N2CC[NH+](Cc3ccc(C#N)cc3F)CC2)n1C ZINC000602945192 359312422 /nfs/dbraw/zinc/31/24/22/359312422.db2.gz YDIGNGWPPOKMKH-UHFFFAOYSA-N 1 2 314.368 1.457 20 30 DDEDLO COc1ccccc1CCNC(=O)C[N@H+](C)[C@H]1CCC[C@H]1C#N ZINC000602973908 359333520 /nfs/dbraw/zinc/33/35/20/359333520.db2.gz HHKHJAFQTVPQAC-HOTGVXAUSA-N 1 2 315.417 1.978 20 30 DDEDLO COc1ccccc1CCNC(=O)C[N@@H+](C)[C@H]1CCC[C@H]1C#N ZINC000602973908 359333526 /nfs/dbraw/zinc/33/35/26/359333526.db2.gz HHKHJAFQTVPQAC-HOTGVXAUSA-N 1 2 315.417 1.978 20 30 DDEDLO C[N@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)[C@H]1CCC[C@@H]1C#N ZINC000602978002 359336692 /nfs/dbraw/zinc/33/66/92/359336692.db2.gz KCNQKSLINAOCIQ-PMPSAXMXSA-N 1 2 313.401 1.730 20 30 DDEDLO C[N@@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)[C@H]1CCC[C@@H]1C#N ZINC000602978002 359336695 /nfs/dbraw/zinc/33/66/95/359336695.db2.gz KCNQKSLINAOCIQ-PMPSAXMXSA-N 1 2 313.401 1.730 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)Nc1ccccc1)[C@@H]1CCC[C@@H]1C#N ZINC000602980472 359336844 /nfs/dbraw/zinc/33/68/44/359336844.db2.gz QKLYOIJJKRQWNB-TZMCWYRMSA-N 1 2 300.362 1.959 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)Nc1ccccc1)[C@@H]1CCC[C@@H]1C#N ZINC000602980472 359336850 /nfs/dbraw/zinc/33/68/50/359336850.db2.gz QKLYOIJJKRQWNB-TZMCWYRMSA-N 1 2 300.362 1.959 20 30 DDEDLO C[C@H](C(=O)Nc1cnn(-c2ncccc2C#N)c1)[NH+]1CC(C)C1 ZINC000565666479 304083288 /nfs/dbraw/zinc/08/32/88/304083288.db2.gz KQIVOWKKEKRUNU-GFCCVEGCSA-N 1 2 310.361 1.418 20 30 DDEDLO C[C@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C[C@H](C)N1CC#N ZINC000603104446 359405801 /nfs/dbraw/zinc/40/58/01/359405801.db2.gz CJWRHNKKHUFSQX-GJZGRUSLSA-N 1 2 323.400 1.931 20 30 DDEDLO CC[C@@H](NC(=O)N(CCC#N)CC[NH+]1CCOCC1)C(F)F ZINC000603184273 359464822 /nfs/dbraw/zinc/46/48/22/359464822.db2.gz ZOTAQCSLADOVPY-GFCCVEGCSA-N 1 2 318.368 1.288 20 30 DDEDLO N#Cc1cccc(Cn2cc(C[NH+]3CCSCC3)nn2)c1F ZINC000603238872 359506547 /nfs/dbraw/zinc/50/65/47/359506547.db2.gz ZOTIVUOPXDXRSJ-UHFFFAOYSA-N 1 2 317.393 1.886 20 30 DDEDLO CC1(CO)CC[NH+](CCS(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000186949137 200036522 /nfs/dbraw/zinc/03/65/22/200036522.db2.gz YWKVCXZGEVPUHC-UHFFFAOYSA-N 1 2 322.430 1.426 20 30 DDEDLO N#Cc1ccc(CNC(=O)c2ccc(-n3cc[nH+]c3)nn2)cc1 ZINC000604559974 359771066 /nfs/dbraw/zinc/77/10/66/359771066.db2.gz JHTKIXNRPZDPPZ-UHFFFAOYSA-N 1 2 304.313 1.464 20 30 DDEDLO CC[C@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@@H+]1CCOC ZINC000188518694 200260415 /nfs/dbraw/zinc/26/04/15/200260415.db2.gz WZLSTLKEKXXKCI-HNNXBMFYSA-N 1 2 317.389 1.447 20 30 DDEDLO CC[C@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@H+]1CCOC ZINC000188518694 200260417 /nfs/dbraw/zinc/26/04/17/200260417.db2.gz WZLSTLKEKXXKCI-HNNXBMFYSA-N 1 2 317.389 1.447 20 30 DDEDLO COc1cccc(CNC(=O)C[N@H+]2C[C@@H]3[C@H](C2)OCCN3C)c1 ZINC000329929951 223048419 /nfs/dbraw/zinc/04/84/19/223048419.db2.gz NZOATKOCCINAKK-CVEARBPZSA-N 1 2 319.405 1.167 20 30 DDEDLO COc1cccc(CNC(=O)C[N@@H+]2C[C@@H]3[C@H](C2)OCCN3C)c1 ZINC000329929951 223048423 /nfs/dbraw/zinc/04/84/23/223048423.db2.gz NZOATKOCCINAKK-CVEARBPZSA-N 1 2 319.405 1.167 20 30 DDEDLO COc1cccc(CNC(=O)CN2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c1 ZINC000329929951 223048429 /nfs/dbraw/zinc/04/84/29/223048429.db2.gz NZOATKOCCINAKK-CVEARBPZSA-N 1 2 319.405 1.167 20 30 DDEDLO COc1cccc(CNC(=O)CN2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c1 ZINC000329929951 223048432 /nfs/dbraw/zinc/04/84/32/223048432.db2.gz NZOATKOCCINAKK-CVEARBPZSA-N 1 2 319.405 1.167 20 30 DDEDLO C[C@@H]1C[C@H](C(=O)NC[C@]2([NH+]3CCOCC3)CCSC2)CO1 ZINC000329934361 223050145 /nfs/dbraw/zinc/05/01/45/223050145.db2.gz QMEASVZRHOSBHS-VNHYZAJKSA-N 1 2 314.451 1.576 20 30 DDEDLO N#Cc1ccc(OCC(=O)N2CC(Oc3cc[nH+]cc3)C2)cc1 ZINC000609030610 360258079 /nfs/dbraw/zinc/25/80/79/360258079.db2.gz NDPHLEJBJQYSNW-UHFFFAOYSA-N 1 2 309.325 1.622 20 30 DDEDLO C[C@H](NC(=O)NCC[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000610335312 360416241 /nfs/dbraw/zinc/41/62/41/360416241.db2.gz YWTDSCNPIHTLRJ-ZDUSSCGKSA-N 1 2 302.378 1.251 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N[C@H](C)c1cccc(C#N)c1 ZINC000610385241 360424144 /nfs/dbraw/zinc/42/41/44/360424144.db2.gz PKOPVGOERBGGBP-LLVKDONJSA-N 1 2 324.340 1.778 20 30 DDEDLO C[N@H+](CCN1CCCS1(=O)=O)Cc1ccc(C#N)cc1F ZINC000610604280 360479968 /nfs/dbraw/zinc/47/99/68/360479968.db2.gz LNJYONKHZHABAD-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO C[N@@H+](CCN1CCCS1(=O)=O)Cc1ccc(C#N)cc1F ZINC000610604280 360479973 /nfs/dbraw/zinc/47/99/73/360479973.db2.gz LNJYONKHZHABAD-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO C[N@@H+]1CCC[C@@H](NS(=O)(=O)c2c(F)cc(C#N)cc2F)C1 ZINC000610711306 360512874 /nfs/dbraw/zinc/51/28/74/360512874.db2.gz BWXDJWTYEIFLRP-SNVBAGLBSA-N 1 2 315.345 1.209 20 30 DDEDLO C[N@H+]1CCC[C@@H](NS(=O)(=O)c2c(F)cc(C#N)cc2F)C1 ZINC000610711306 360512878 /nfs/dbraw/zinc/51/28/78/360512878.db2.gz BWXDJWTYEIFLRP-SNVBAGLBSA-N 1 2 315.345 1.209 20 30 DDEDLO CC(C)c1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC000610726855 360519122 /nfs/dbraw/zinc/51/91/22/360519122.db2.gz RUQPSCUREWYOSX-QWHCGFSZSA-N 1 2 304.394 1.990 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)CC1 ZINC000611012017 360601875 /nfs/dbraw/zinc/60/18/75/360601875.db2.gz FXFUXRQTUGXPSW-GDBMZVCRSA-N 1 2 315.421 1.138 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CCCC[C@H]1C)[NH+]1CCN(CCC#N)CC1 ZINC000611174627 360647841 /nfs/dbraw/zinc/64/78/41/360647841.db2.gz NACLDIBOGKKFPU-PMPSAXMXSA-N 1 2 306.454 1.601 20 30 DDEDLO O=C(NCc1nc(C(F)(F)F)n[nH]1)[C@H]1CCC[N@@H+]1C1CC1 ZINC000330224829 223090376 /nfs/dbraw/zinc/09/03/76/223090376.db2.gz NWGYKIBTAVWGKC-MRVPVSSYSA-N 1 2 303.288 1.907 20 30 DDEDLO O=C(NCc1nc(C(F)(F)F)n[nH]1)[C@H]1CCC[N@H+]1C1CC1 ZINC000330224829 223090378 /nfs/dbraw/zinc/09/03/78/223090378.db2.gz NWGYKIBTAVWGKC-MRVPVSSYSA-N 1 2 303.288 1.907 20 30 DDEDLO Cc1nnsc1CNC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000330251800 223095382 /nfs/dbraw/zinc/09/53/82/223095382.db2.gz HXHJFATZUYJRFP-UHFFFAOYSA-N 1 2 306.395 1.794 20 30 DDEDLO COc1cc(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)cc(C)n1 ZINC000330379318 223107721 /nfs/dbraw/zinc/10/77/21/223107721.db2.gz KQZNWAJKSANMQT-UHFFFAOYSA-N 1 2 307.394 1.814 20 30 DDEDLO COc1cc(C(=O)NCC[N@H+]2CCOC(C)(C)C2)cc(C)n1 ZINC000330379318 223107722 /nfs/dbraw/zinc/10/77/22/223107722.db2.gz KQZNWAJKSANMQT-UHFFFAOYSA-N 1 2 307.394 1.814 20 30 DDEDLO Cc1ncc([N+](=O)[O-])cc1C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000330617255 223131619 /nfs/dbraw/zinc/13/16/19/223131619.db2.gz FXAYUEFKLZLGAW-SNVBAGLBSA-N 1 2 308.338 1.324 20 30 DDEDLO Cc1ncc([N+](=O)[O-])cc1C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000330617255 223131621 /nfs/dbraw/zinc/13/16/21/223131621.db2.gz FXAYUEFKLZLGAW-SNVBAGLBSA-N 1 2 308.338 1.324 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)c1ccc(CC#N)cc1)[NH+]1CCOCC1 ZINC000330491541 223122506 /nfs/dbraw/zinc/12/25/06/223122506.db2.gz PDOQSANDZMGORM-UONOGXRCSA-N 1 2 301.390 1.592 20 30 DDEDLO COCCC(=O)N1CCN(C(=O)Nc2ccc(C)[nH+]c2C)CC1 ZINC000331037868 223181901 /nfs/dbraw/zinc/18/19/01/223181901.db2.gz OJGUOENKEDZNJQ-UHFFFAOYSA-N 1 2 320.393 1.425 20 30 DDEDLO Cc1nc(C)c(C(=O)NC[C@](C)(O)C[NH+]2CCOCC2)s1 ZINC000331104648 223186127 /nfs/dbraw/zinc/18/61/27/223186127.db2.gz QELZKLRTJOSAKA-AWEZNQCLSA-N 1 2 313.423 1.148 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@H+]3CCC[C@@]34CCNC4=O)o2)cc1 ZINC000565900718 304102366 /nfs/dbraw/zinc/10/23/66/304102366.db2.gz RYAAAZIUFXNBRC-KRWDZBQOSA-N 1 2 323.356 1.463 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@@H+]3CCC[C@@]34CCNC4=O)o2)cc1 ZINC000565900718 304102368 /nfs/dbraw/zinc/10/23/68/304102368.db2.gz RYAAAZIUFXNBRC-KRWDZBQOSA-N 1 2 323.356 1.463 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)Nc1cc[nH+]cc1N(C)C ZINC000614050549 361676499 /nfs/dbraw/zinc/67/64/99/361676499.db2.gz IFPPVVTZNBQHGU-GFCCVEGCSA-N 1 2 310.357 1.847 20 30 DDEDLO C=C[C@@H](C(=O)OC)N1CC[NH+](Cc2cc(F)ccc2OC)CC1 ZINC000619715705 364126672 /nfs/dbraw/zinc/12/66/72/364126672.db2.gz BCPSJRRMFRIULY-HNNXBMFYSA-N 1 2 322.380 1.680 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@@H](c2nnc3ccccn32)C1 ZINC000619718370 364128942 /nfs/dbraw/zinc/12/89/42/364128942.db2.gz HYTJCMRRWAVENJ-CHWSQXEVSA-N 1 2 300.362 1.636 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@@H](c2nnc3ccccn32)C1 ZINC000619718370 364128946 /nfs/dbraw/zinc/12/89/46/364128946.db2.gz HYTJCMRRWAVENJ-CHWSQXEVSA-N 1 2 300.362 1.636 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(NC(=O)CC2CCCC2)CC1 ZINC000619717862 364129191 /nfs/dbraw/zinc/12/91/91/364129191.db2.gz RNNIENLPWBJHEB-HNNXBMFYSA-N 1 2 308.422 1.875 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCC[N@@H+](C)CC2(C#N)CC2)cc1 ZINC000343315908 223319507 /nfs/dbraw/zinc/31/95/07/223319507.db2.gz RVKPQJHOPDLWHS-UHFFFAOYSA-N 1 2 307.419 1.509 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCC[N@H+](C)CC2(C#N)CC2)cc1 ZINC000343315908 223319509 /nfs/dbraw/zinc/31/95/09/223319509.db2.gz RVKPQJHOPDLWHS-UHFFFAOYSA-N 1 2 307.419 1.509 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@@H+]1CCOC[C@H]1CC(=O)c1ccco1 ZINC000621284600 364773259 /nfs/dbraw/zinc/77/32/59/364773259.db2.gz XSFZOHDNIXULLI-ZIAGYGMSSA-N 1 2 320.389 1.854 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@H+]1CCOC[C@H]1CC(=O)c1ccco1 ZINC000621284600 364773261 /nfs/dbraw/zinc/77/32/61/364773261.db2.gz XSFZOHDNIXULLI-ZIAGYGMSSA-N 1 2 320.389 1.854 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)NCCCn2cc[nH+]c2)cc1 ZINC000020361948 182211970 /nfs/dbraw/zinc/21/19/70/182211970.db2.gz AUGIMSUBVXODGE-UHFFFAOYSA-N 1 2 304.375 1.264 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(c2cc(Cl)ccc2C#N)CC1 ZINC000267525833 206122191 /nfs/dbraw/zinc/12/21/91/206122191.db2.gz SGIMZMDUQCVGKC-UHFFFAOYSA-N 1 2 306.797 1.598 20 30 DDEDLO Cc1cc(CC(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)[nH]n1 ZINC000267940426 206349072 /nfs/dbraw/zinc/34/90/72/206349072.db2.gz TTXWGAFXEYANPF-UHFFFAOYSA-N 1 2 323.400 1.477 20 30 DDEDLO C[N@H+](CCC(=O)Nc1cccc(C#N)c1)Cc1nnc2n1CCC2 ZINC000533024006 269686712 /nfs/dbraw/zinc/68/67/12/269686712.db2.gz JMFRWHJLLIXBQE-UHFFFAOYSA-N 1 2 324.388 1.557 20 30 DDEDLO C[N@@H+](CCC(=O)Nc1cccc(C#N)c1)Cc1nnc2n1CCC2 ZINC000533024006 269686713 /nfs/dbraw/zinc/68/67/13/269686713.db2.gz JMFRWHJLLIXBQE-UHFFFAOYSA-N 1 2 324.388 1.557 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(F)c(C#N)c2)C[C@@H]1C ZINC000110751909 194356325 /nfs/dbraw/zinc/35/63/25/194356325.db2.gz KWJVKVFKOPMJPE-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(F)c(C#N)c2)C[C@@H]1C ZINC000110751909 194356326 /nfs/dbraw/zinc/35/63/26/194356326.db2.gz KWJVKVFKOPMJPE-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO C[C@H](C(=O)N1CCc2ccc(C#N)cc21)[NH+]1CCSCC1 ZINC000270229697 208294927 /nfs/dbraw/zinc/29/49/27/208294927.db2.gz MODVXLVDJQELKT-GFCCVEGCSA-N 1 2 301.415 1.885 20 30 DDEDLO C[N@H+](CCN1C(=O)c2ccccc2C1=O)C[C@H](C#N)CCC#N ZINC000158954838 197314317 /nfs/dbraw/zinc/31/43/17/197314317.db2.gz UQPMCURXOUWCKC-ZDUSSCGKSA-N 1 2 310.357 1.658 20 30 DDEDLO C[N@@H+](CCN1C(=O)c2ccccc2C1=O)C[C@H](C#N)CCC#N ZINC000158954838 197314319 /nfs/dbraw/zinc/31/43/19/197314319.db2.gz UQPMCURXOUWCKC-ZDUSSCGKSA-N 1 2 310.357 1.658 20 30 DDEDLO CC#CC[NH+]1CCN(CC(=O)Nc2ccccc2Cl)CC1 ZINC000157426276 197186071 /nfs/dbraw/zinc/18/60/71/197186071.db2.gz YCKJLEHTLOBRFX-UHFFFAOYSA-N 1 2 305.809 1.919 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](Cc3cccnc3N)CC2)cc1 ZINC000289533768 221046918 /nfs/dbraw/zinc/04/69/18/221046918.db2.gz GRXMVFPSUSKBOM-UHFFFAOYSA-N 1 2 307.401 1.853 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC000289728483 221183362 /nfs/dbraw/zinc/18/33/62/221183362.db2.gz XNSJZRSRZHWRSN-UHFFFAOYSA-N 1 2 302.359 1.268 20 30 DDEDLO Cc1cc([N+](=O)[O-])c(C)cc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000414122491 224302614 /nfs/dbraw/zinc/30/26/14/224302614.db2.gz GAOWIDLHFONUOW-CYBMUJFWSA-N 1 2 307.350 1.797 20 30 DDEDLO Cc1cc([N+](=O)[O-])c(C)cc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000414122491 224302616 /nfs/dbraw/zinc/30/26/16/224302616.db2.gz GAOWIDLHFONUOW-CYBMUJFWSA-N 1 2 307.350 1.797 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)N[C@H]2CCCC[C@H]2C)cs1 ZINC000054391034 221457902 /nfs/dbraw/zinc/45/79/02/221457902.db2.gz AKCYEPRFXINMAZ-MFKMUULPSA-N 1 2 324.450 1.978 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(Cc2ccc(C#N)o2)CC1 ZINC000121919443 195374301 /nfs/dbraw/zinc/37/43/01/195374301.db2.gz ZGLXUSRTGSYCQQ-UHFFFAOYSA-N 1 2 304.394 1.137 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)C2(C#N)CCCCC2)C1 ZINC000330604243 533505031 /nfs/dbraw/zinc/50/50/31/533505031.db2.gz LBHPCJSMHFGMDR-AWEZNQCLSA-N 1 2 321.421 1.462 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNC(=O)C2(C#N)CCCCC2)C1 ZINC000330604243 533505036 /nfs/dbraw/zinc/50/50/36/533505036.db2.gz LBHPCJSMHFGMDR-AWEZNQCLSA-N 1 2 321.421 1.462 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNC(=O)c2cnc(C)nc2C)C1 ZINC000330601640 533506452 /nfs/dbraw/zinc/50/64/52/533506452.db2.gz IKGRJRILCMIXCA-CYBMUJFWSA-N 1 2 320.393 1.283 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNC(=O)c2cnc(C)nc2C)C1 ZINC000330601640 533506453 /nfs/dbraw/zinc/50/64/53/533506453.db2.gz IKGRJRILCMIXCA-CYBMUJFWSA-N 1 2 320.393 1.283 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)NC2(C#N)CCC2)c(OC)c1 ZINC000346847221 533537736 /nfs/dbraw/zinc/53/77/36/533537736.db2.gz OJXKHIYJWKRCRP-UHFFFAOYSA-N 1 2 317.389 1.698 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)NC2(C#N)CCC2)c(OC)c1 ZINC000346847221 533537748 /nfs/dbraw/zinc/53/77/48/533537748.db2.gz OJXKHIYJWKRCRP-UHFFFAOYSA-N 1 2 317.389 1.698 20 30 DDEDLO COC1(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)CCCCC1 ZINC000330137319 292103494 /nfs/dbraw/zinc/10/34/94/292103494.db2.gz UWCWIKZQHOGTMR-KGLIPLIRSA-N 1 2 311.426 1.265 20 30 DDEDLO COC1(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)CCCCC1 ZINC000330137319 292103495 /nfs/dbraw/zinc/10/34/95/292103495.db2.gz UWCWIKZQHOGTMR-KGLIPLIRSA-N 1 2 311.426 1.265 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCOC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000331871751 286270695 /nfs/dbraw/zinc/27/06/95/286270695.db2.gz CTDXYILJUSWHMA-GVDBMIGSSA-N 1 2 316.401 1.929 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCOC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000331871751 286270698 /nfs/dbraw/zinc/27/06/98/286270698.db2.gz CTDXYILJUSWHMA-GVDBMIGSSA-N 1 2 316.401 1.929 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CCCN(c2cccc[nH+]2)CC1 ZINC000186037362 407565952 /nfs/dbraw/zinc/56/59/52/407565952.db2.gz LOBUNHIPECCTGZ-UHFFFAOYSA-N 1 2 309.373 1.644 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000071112828 406831336 /nfs/dbraw/zinc/83/13/36/406831336.db2.gz XPJWTCRFCQIHIK-HNNXBMFYSA-N 1 2 308.403 1.194 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000071112828 406831339 /nfs/dbraw/zinc/83/13/39/406831339.db2.gz XPJWTCRFCQIHIK-HNNXBMFYSA-N 1 2 308.403 1.194 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nnn(-c3ccccc3)c2=S)CC1 ZINC000074171264 406904897 /nfs/dbraw/zinc/90/48/97/406904897.db2.gz USYQPPMOHAIKPE-UHFFFAOYSA-N 1 2 314.418 1.007 20 30 DDEDLO NC(=[NH+]OCc1ccon1)c1ccc(N2CCCCC2)nc1 ZINC000077239164 406981007 /nfs/dbraw/zinc/98/10/07/406981007.db2.gz AVIUQCUGEIKIDQ-UHFFFAOYSA-N 1 2 301.350 1.897 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1c(F)cccc1Cl ZINC000078640041 407037272 /nfs/dbraw/zinc/03/72/72/407037272.db2.gz HHAWWXZKGJMGIG-UHFFFAOYSA-N 1 2 301.749 1.600 20 30 DDEDLO CCC[N@H+](CC(=O)NCC#N)[C@H](C)C(=O)Nc1ccccc1 ZINC000066497333 407252436 /nfs/dbraw/zinc/25/24/36/407252436.db2.gz KCZHTSADXNSEHA-CYBMUJFWSA-N 1 2 302.378 1.365 20 30 DDEDLO CCC[N@@H+](CC(=O)NCC#N)[C@H](C)C(=O)Nc1ccccc1 ZINC000066497333 407252437 /nfs/dbraw/zinc/25/24/37/407252437.db2.gz KCZHTSADXNSEHA-CYBMUJFWSA-N 1 2 302.378 1.365 20 30 DDEDLO C=CC[N@H+](CCC(=O)Nc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000067400022 407272772 /nfs/dbraw/zinc/27/27/72/407272772.db2.gz QIYSPFMQURRONH-OAHLLOKOSA-N 1 2 322.430 1.690 20 30 DDEDLO C=CC[N@@H+](CCC(=O)Nc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000067400022 407272776 /nfs/dbraw/zinc/27/27/76/407272776.db2.gz QIYSPFMQURRONH-OAHLLOKOSA-N 1 2 322.430 1.690 20 30 DDEDLO C[N@@H+](CCCn1cc[nH+]c1)C[C@H](O)COc1ccc(C#N)cc1 ZINC000124325781 407354933 /nfs/dbraw/zinc/35/49/33/407354933.db2.gz MCFNEESSXROANN-INIZCTEOSA-N 1 2 314.389 1.517 20 30 DDEDLO C=CCOc1cccc(NC(=O)C(=O)N2CC[NH+](CC)CC2)c1 ZINC000124361137 407356349 /nfs/dbraw/zinc/35/63/49/407356349.db2.gz XWGOJDSWSMJZKS-UHFFFAOYSA-N 1 2 317.389 1.354 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)C(F)(F)c2ccc(OC)cc2)CC1 ZINC000126089206 407405085 /nfs/dbraw/zinc/40/50/85/407405085.db2.gz FOUZRNDKKGYNHA-UHFFFAOYSA-N 1 2 322.355 1.955 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@H+](C)CCOc1cccc(C#N)c1 ZINC000113003955 407459078 /nfs/dbraw/zinc/45/90/78/407459078.db2.gz AWUWQWWBTMELGS-CYBMUJFWSA-N 1 2 310.419 1.692 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@@H+](C)CCOc1cccc(C#N)c1 ZINC000113003955 407459084 /nfs/dbraw/zinc/45/90/84/407459084.db2.gz AWUWQWWBTMELGS-CYBMUJFWSA-N 1 2 310.419 1.692 20 30 DDEDLO CSc1cc2c(cc1C[NH+]1CCN(CC#N)CC1)OCCO2 ZINC000271146364 407537658 /nfs/dbraw/zinc/53/76/58/407537658.db2.gz IHMLBITXBOXDCZ-UHFFFAOYSA-N 1 2 319.430 1.821 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[NH+]1CCN(CC(=O)NC2CC2)CC1 ZINC000186283331 407629831 /nfs/dbraw/zinc/62/98/31/407629831.db2.gz QWJHRKFEHPLVEJ-AWEZNQCLSA-N 1 2 312.417 1.515 20 30 DDEDLO C=CCOc1ccccc1C(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000115164697 407658451 /nfs/dbraw/zinc/65/84/51/407658451.db2.gz JPJQVFHSLSMUGX-OAHLLOKOSA-N 1 2 316.401 1.844 20 30 DDEDLO C=CCOc1ccccc1C(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000115164697 407658457 /nfs/dbraw/zinc/65/84/57/407658457.db2.gz JPJQVFHSLSMUGX-OAHLLOKOSA-N 1 2 316.401 1.844 20 30 DDEDLO C#CC[N@@H+](CC)[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000266938002 407683734 /nfs/dbraw/zinc/68/37/34/407683734.db2.gz QLVJVCAVVULLBP-LLVKDONJSA-N 1 2 305.334 1.886 20 30 DDEDLO C#CC[N@H+](CC)[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000266938002 407683740 /nfs/dbraw/zinc/68/37/40/407683740.db2.gz QLVJVCAVVULLBP-LLVKDONJSA-N 1 2 305.334 1.886 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)N1CC[NH+](CCO)CC1 ZINC000130616549 407700072 /nfs/dbraw/zinc/70/00/72/407700072.db2.gz RCLPCLGJXSHFOE-UHFFFAOYSA-N 1 2 320.389 1.010 20 30 DDEDLO COc1cccc(/C=[NH+]/C[C@@H]2CCN(S(C)(=O)=O)C2)c1O ZINC000133097897 407819432 /nfs/dbraw/zinc/81/94/32/407819432.db2.gz VQIMMWWLIINFTB-ZRRZQRFHSA-N 1 2 312.391 1.101 20 30 DDEDLO C[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)[C@H](C(N)=O)C1 ZINC000187415397 407853469 /nfs/dbraw/zinc/85/34/69/407853469.db2.gz KPMQUSCZADCFMV-RISCZKNCSA-N 1 2 300.362 1.083 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)[C@H](C(N)=O)C1 ZINC000187415397 407853477 /nfs/dbraw/zinc/85/34/77/407853477.db2.gz KPMQUSCZADCFMV-RISCZKNCSA-N 1 2 300.362 1.083 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(c2ncccn2)CC1 ZINC000153626904 407863943 /nfs/dbraw/zinc/86/39/43/407863943.db2.gz YAAIGIHHSSFMTL-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCc2ccc(F)cc2C1)C1CC1 ZINC000133971526 407880372 /nfs/dbraw/zinc/88/03/72/407880372.db2.gz ZNTGAMBRBKBSNM-QGZVFWFLSA-N 1 2 301.365 1.992 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCc2ccc(F)cc2C1)C1CC1 ZINC000133971526 407880382 /nfs/dbraw/zinc/88/03/82/407880382.db2.gz ZNTGAMBRBKBSNM-QGZVFWFLSA-N 1 2 301.365 1.992 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](CC(=O)OC)C1CCCC1 ZINC000134107261 407884933 /nfs/dbraw/zinc/88/49/33/407884933.db2.gz CUQVSOGKJABSBH-CYBMUJFWSA-N 1 2 309.410 1.412 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CC(=O)OC)C1CCCC1 ZINC000134107261 407884938 /nfs/dbraw/zinc/88/49/38/407884938.db2.gz CUQVSOGKJABSBH-CYBMUJFWSA-N 1 2 309.410 1.412 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[NH2+][C@@H](C)c1nnc2ccccn21 ZINC000172612672 407843541 /nfs/dbraw/zinc/84/35/41/407843541.db2.gz DPYZEHQBIIQTJG-BLLLJJGKSA-N 1 2 314.393 1.434 20 30 DDEDLO CC#CCNC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000134966276 407959312 /nfs/dbraw/zinc/95/93/12/407959312.db2.gz JIUSGHFTWRDXJL-UHFFFAOYSA-N 1 2 301.390 1.341 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Nc1ccccc1F ZINC000119191990 408011544 /nfs/dbraw/zinc/01/15/44/408011544.db2.gz SKSJNLWCFWOGAZ-UHFFFAOYSA-N 1 2 320.368 1.905 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)[nH]1 ZINC000268506244 408073623 /nfs/dbraw/zinc/07/36/23/408073623.db2.gz SZPMTRSJMCRCHC-WCQYABFASA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)[nH]1 ZINC000268506244 408073625 /nfs/dbraw/zinc/07/36/25/408073625.db2.gz SZPMTRSJMCRCHC-WCQYABFASA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)n1 ZINC000268506244 408073626 /nfs/dbraw/zinc/07/36/26/408073626.db2.gz SZPMTRSJMCRCHC-WCQYABFASA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)n1 ZINC000268506244 408073628 /nfs/dbraw/zinc/07/36/28/408073628.db2.gz SZPMTRSJMCRCHC-WCQYABFASA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)n[nH]1 ZINC000268506244 408073633 /nfs/dbraw/zinc/07/36/33/408073633.db2.gz SZPMTRSJMCRCHC-WCQYABFASA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)n[nH]1 ZINC000268506244 408073635 /nfs/dbraw/zinc/07/36/35/408073635.db2.gz SZPMTRSJMCRCHC-WCQYABFASA-N 1 2 304.398 1.053 20 30 DDEDLO C=CCNC(=O)CNC(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000182313795 408122928 /nfs/dbraw/zinc/12/29/28/408122928.db2.gz UABCBSQZCHKXEX-UHFFFAOYSA-N 1 2 313.361 1.511 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@H](C(=O)OC)C1 ZINC000246381987 408238198 /nfs/dbraw/zinc/23/81/98/408238198.db2.gz IFGXURKOKSDXPB-LSDHHAIUSA-N 1 2 316.357 1.657 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CCC[C@H](C(=O)OC)C1 ZINC000246381987 408238204 /nfs/dbraw/zinc/23/82/04/408238204.db2.gz IFGXURKOKSDXPB-LSDHHAIUSA-N 1 2 316.357 1.657 20 30 DDEDLO Cc1cnccc1NC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000121514224 408229944 /nfs/dbraw/zinc/22/99/44/408229944.db2.gz OIFWEMBLWCUVJD-UHFFFAOYSA-N 1 2 317.393 1.470 20 30 DDEDLO COCc1noc([C@H](C)O[NH+]=C(N)c2ccc3c(c2)CCO3)n1 ZINC000182948550 408290545 /nfs/dbraw/zinc/29/05/45/408290545.db2.gz WCQCOBMQLDOREK-VIFPVBQESA-N 1 2 318.333 1.549 20 30 DDEDLO CNC(=O)C[N@H+](C)CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000269605390 408357938 /nfs/dbraw/zinc/35/79/38/408357938.db2.gz XDWPHBNKNCIURH-UHFFFAOYSA-N 1 2 300.280 1.615 20 30 DDEDLO CNC(=O)C[N@@H+](C)CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000269605390 408357946 /nfs/dbraw/zinc/35/79/46/408357946.db2.gz XDWPHBNKNCIURH-UHFFFAOYSA-N 1 2 300.280 1.615 20 30 DDEDLO CC#CCCCC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000274358735 408376329 /nfs/dbraw/zinc/37/63/29/408376329.db2.gz RJQXXPMHQJSSKV-UHFFFAOYSA-N 1 2 304.394 1.347 20 30 DDEDLO C=CCSCCNC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000159797410 408435890 /nfs/dbraw/zinc/43/58/90/408435890.db2.gz WYRAEOLXRCOCKK-UHFFFAOYSA-N 1 2 320.462 1.828 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@H](CO)O[C@@H](C)C2)cc1OC ZINC000191531775 408440240 /nfs/dbraw/zinc/44/02/40/408440240.db2.gz IGPMAQRCWDQILR-DZGCQCFKSA-N 1 2 307.390 1.842 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@H](CO)O[C@@H](C)C2)cc1OC ZINC000191531775 408440244 /nfs/dbraw/zinc/44/02/44/408440244.db2.gz IGPMAQRCWDQILR-DZGCQCFKSA-N 1 2 307.390 1.842 20 30 DDEDLO C=CCCCCNC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000274703313 408503433 /nfs/dbraw/zinc/50/34/33/408503433.db2.gz YXUJSQDZSSNKKG-UHFFFAOYSA-N 1 2 303.410 1.973 20 30 DDEDLO Cc1cnccc1CC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000275048293 408576193 /nfs/dbraw/zinc/57/61/93/408576193.db2.gz WOJHLCJEQUDDBR-UHFFFAOYSA-N 1 2 316.405 1.007 20 30 DDEDLO N#Cc1cc(C(=O)NCc2ccc[nH+]c2N2CCOCC2)ccn1 ZINC000192559462 408610962 /nfs/dbraw/zinc/61/09/62/408610962.db2.gz PREKHUKPTOQXOO-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(Cc2ccccc2Cl)CC1 ZINC000165887124 408814372 /nfs/dbraw/zinc/81/43/72/408814372.db2.gz MTHVWNWNYUTVCJ-AWEZNQCLSA-N 1 2 319.836 1.596 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CC[NH+](Cc2ccccc2Cl)CC1 ZINC000165887124 408814374 /nfs/dbraw/zinc/81/43/74/408814374.db2.gz MTHVWNWNYUTVCJ-AWEZNQCLSA-N 1 2 319.836 1.596 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@@H](C)c1nc(Cc2ccccc2)no1 ZINC000185383866 408802657 /nfs/dbraw/zinc/80/26/57/408802657.db2.gz AEVFODZXPPYKSR-OLZOCXBDSA-N 1 2 312.373 1.449 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)CC[C@H]1O ZINC000249577168 408745199 /nfs/dbraw/zinc/74/51/99/408745199.db2.gz PVCQDZONMGQPLM-QMTHXVAHSA-N 1 2 307.781 1.853 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)CC[C@H]1O ZINC000249577168 408745202 /nfs/dbraw/zinc/74/52/02/408745202.db2.gz PVCQDZONMGQPLM-QMTHXVAHSA-N 1 2 307.781 1.853 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)[C@H](C)C[S@](C)=O)c(C#N)c1C ZINC000185116459 408762355 /nfs/dbraw/zinc/76/23/55/408762355.db2.gz SQBSLTFZMKXPHT-BTKVJGODSA-N 1 2 311.407 1.405 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)[C@H](C)C[S@](C)=O)c(C#N)c1C ZINC000185116459 408762361 /nfs/dbraw/zinc/76/23/61/408762361.db2.gz SQBSLTFZMKXPHT-BTKVJGODSA-N 1 2 311.407 1.405 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1Cc1cc(C#N)ccc1F ZINC000285895412 408884017 /nfs/dbraw/zinc/88/40/17/408884017.db2.gz KCWATGGEAPDOEI-XJKSGUPXSA-N 1 2 303.381 1.992 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNc1[nH+]cnc(-n2cccn2)c1N ZINC000280833061 408843456 /nfs/dbraw/zinc/84/34/56/408843456.db2.gz WLYMKTWSZVVTIW-WCQYABFASA-N 1 2 300.366 1.638 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@H+](Cc2ccc(C#N)c(OC)c2)C1 ZINC000282276813 409025359 /nfs/dbraw/zinc/02/53/59/409025359.db2.gz KTACPXQYYLINNN-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccc(C#N)c(OC)c2)C1 ZINC000282276813 409025362 /nfs/dbraw/zinc/02/53/62/409025362.db2.gz KTACPXQYYLINNN-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000293033278 409048959 /nfs/dbraw/zinc/04/89/59/409048959.db2.gz GJQMVPHNPPVGIF-UHFFFAOYSA-N 1 2 315.417 1.622 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000293033278 409048960 /nfs/dbraw/zinc/04/89/60/409048960.db2.gz GJQMVPHNPPVGIF-UHFFFAOYSA-N 1 2 315.417 1.622 20 30 DDEDLO N#Cc1cc(NCC2([NH+]3CCOCC3)CC2)cc(N)c1[N+](=O)[O-] ZINC000287568967 409057542 /nfs/dbraw/zinc/05/75/42/409057542.db2.gz CYZOVRYZYHVUBV-UHFFFAOYSA-N 1 2 317.349 1.325 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCCc3ccccc3)n2C)CC1 ZINC000282598653 409058477 /nfs/dbraw/zinc/05/84/77/409058477.db2.gz XZUIAKGPLSSVAZ-UHFFFAOYSA-N 1 2 323.444 1.746 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(c2ccnc(C#N)c2)CC1 ZINC000287928704 409116577 /nfs/dbraw/zinc/11/65/77/409116577.db2.gz QRWPMEGNEUCLQJ-CQSZACIVSA-N 1 2 313.405 1.086 20 30 DDEDLO C[C@H]1Cc2ccccc2N1C(=O)CO[NH+]=C(N)[C@H]1CCCO1 ZINC000283756520 409209865 /nfs/dbraw/zinc/20/98/65/409209865.db2.gz AKZLWIXJJHFSKP-SMDDNHRTSA-N 1 2 303.362 1.432 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)C#Cc2ccccc2)C[C@H](C)O1 ZINC000279418506 409211009 /nfs/dbraw/zinc/21/10/09/409211009.db2.gz XTRPNDPXXURFHS-IYBDPMFKSA-N 1 2 300.402 1.606 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)C#Cc2ccccc2)C[C@H](C)O1 ZINC000279418506 409211010 /nfs/dbraw/zinc/21/10/10/409211010.db2.gz XTRPNDPXXURFHS-IYBDPMFKSA-N 1 2 300.402 1.606 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+](Cc2cccnc2N)CC1 ZINC000289268574 409240450 /nfs/dbraw/zinc/24/04/50/409240450.db2.gz DSONTLDQVHIPDA-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnnc(-c3ccccc3)c2)CC1 ZINC000290090015 409299696 /nfs/dbraw/zinc/29/96/96/409299696.db2.gz JHCGSAQPRGLWOE-UHFFFAOYSA-N 1 2 320.396 1.925 20 30 DDEDLO C[N@H+](CCOCC1CC1)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000290272674 409344644 /nfs/dbraw/zinc/34/46/44/409344644.db2.gz LOTYPQXMDWRSQF-UHFFFAOYSA-N 1 2 323.418 1.085 20 30 DDEDLO C[N@@H+](CCOCC1CC1)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000290272674 409344646 /nfs/dbraw/zinc/34/46/46/409344646.db2.gz LOTYPQXMDWRSQF-UHFFFAOYSA-N 1 2 323.418 1.085 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@@H+]2CCOC(C)(C)C2)cc1 ZINC000296321239 409501995 /nfs/dbraw/zinc/50/19/95/409501995.db2.gz GFOCTAPKEIYNLD-UHFFFAOYSA-N 1 2 315.417 1.578 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@H+]2CCOC(C)(C)C2)cc1 ZINC000296321239 409501999 /nfs/dbraw/zinc/50/19/99/409501999.db2.gz GFOCTAPKEIYNLD-UHFFFAOYSA-N 1 2 315.417 1.578 20 30 DDEDLO COc1cc[nH+]cc1CNC(=O)C(=O)Nc1sccc1C#N ZINC000342374258 409589618 /nfs/dbraw/zinc/58/96/18/409589618.db2.gz NBYQDASBBYORFP-UHFFFAOYSA-N 1 2 316.342 1.278 20 30 DDEDLO C[N@H+](CC[C@@H]1CCCO1)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000342606513 409760504 /nfs/dbraw/zinc/76/05/04/409760504.db2.gz PULHMURLLXKEEZ-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO C[N@@H+](CC[C@@H]1CCCO1)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000342606513 409760511 /nfs/dbraw/zinc/76/05/11/409760511.db2.gz PULHMURLLXKEEZ-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO COc1cc(CNC(=O)NCCCn2cc[nH+]c2)ccc1C#N ZINC000357070837 409839977 /nfs/dbraw/zinc/83/99/77/409839977.db2.gz ULKDEELNNXGXPA-UHFFFAOYSA-N 1 2 313.361 1.653 20 30 DDEDLO Cc1noc(C)c1[C@H](C)CNC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000328694492 409956862 /nfs/dbraw/zinc/95/68/62/409956862.db2.gz FZVLMUFYSBPTMD-SWHYSGLUSA-N 1 2 322.409 1.324 20 30 DDEDLO Cc1noc(C)c1[C@H](C)CNC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000328694492 409956872 /nfs/dbraw/zinc/95/68/72/409956872.db2.gz FZVLMUFYSBPTMD-SWHYSGLUSA-N 1 2 322.409 1.324 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN([C@@H](C(N)=O)c3ccc(C#N)cc3)C[C@H]21 ZINC000332202812 409974911 /nfs/dbraw/zinc/97/49/11/409974911.db2.gz DODLRGKIPLRDTQ-RBSFLKMASA-N 1 2 300.362 1.149 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN([C@@H](C(N)=O)c3ccc(C#N)cc3)C[C@H]21 ZINC000332202812 409974919 /nfs/dbraw/zinc/97/49/19/409974919.db2.gz DODLRGKIPLRDTQ-RBSFLKMASA-N 1 2 300.362 1.149 20 30 DDEDLO CN1CCO[C@@H]2C[N@@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C[C@H]21 ZINC000332202812 409974925 /nfs/dbraw/zinc/97/49/25/409974925.db2.gz DODLRGKIPLRDTQ-RBSFLKMASA-N 1 2 300.362 1.149 20 30 DDEDLO CN1CCO[C@@H]2C[N@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C[C@H]21 ZINC000332202812 409974932 /nfs/dbraw/zinc/97/49/32/409974932.db2.gz DODLRGKIPLRDTQ-RBSFLKMASA-N 1 2 300.362 1.149 20 30 DDEDLO CO[C@@H]1C[C@H](NC(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)C1(C)C ZINC000328775197 409976435 /nfs/dbraw/zinc/97/64/35/409976435.db2.gz CDHWXWAUIJGZCN-FRRDWIJNSA-N 1 2 306.410 1.937 20 30 DDEDLO C=CCN(CC=C)C(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000350767962 409992010 /nfs/dbraw/zinc/99/20/10/409992010.db2.gz RCUCGSDOKHXIIO-UHFFFAOYSA-N 1 2 301.390 1.728 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(C#N)s2)C[C@@H]1[NH+]1CCOCC1 ZINC000332162111 409938260 /nfs/dbraw/zinc/93/82/60/409938260.db2.gz VRQQBNXJGOZADT-YPMHNXCESA-N 1 2 305.403 1.412 20 30 DDEDLO C#Cc1ccc(CNC(=O)N(C)CC[NH+]2CCOCC2)cc1 ZINC000297655497 409944512 /nfs/dbraw/zinc/94/45/12/409944512.db2.gz OBXGLZDGQPFXKO-UHFFFAOYSA-N 1 2 301.390 1.142 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000328943075 410016973 /nfs/dbraw/zinc/01/69/73/410016973.db2.gz ITVFKDBRSWYEMR-JHJVBQTASA-N 1 2 323.418 1.298 20 30 DDEDLO CCc1csc(NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)n1 ZINC000328958393 410021115 /nfs/dbraw/zinc/02/11/15/410021115.db2.gz JUAWLAMHWSHZHY-NEPJUHHUSA-N 1 2 310.423 1.656 20 30 DDEDLO CCc1csc(NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)n1 ZINC000328958393 410021122 /nfs/dbraw/zinc/02/11/22/410021122.db2.gz JUAWLAMHWSHZHY-NEPJUHHUSA-N 1 2 310.423 1.656 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCCOC3CCCC3)C[C@@H]21 ZINC000328855797 409994798 /nfs/dbraw/zinc/99/47/98/409994798.db2.gz CUHIOUMOSQAKAG-LSDHHAIUSA-N 1 2 311.426 1.265 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCCOC3CCCC3)C[C@@H]21 ZINC000328855797 409994807 /nfs/dbraw/zinc/99/48/07/409994807.db2.gz CUHIOUMOSQAKAG-LSDHHAIUSA-N 1 2 311.426 1.265 20 30 DDEDLO C=C[C@H](CO)Nc1cc(C)[nH+]c(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000357602401 410127359 /nfs/dbraw/zinc/12/73/59/410127359.db2.gz MAENWHPYFIRCDE-GFCCVEGCSA-N 1 2 300.318 1.741 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)c1C ZINC000329160941 410138306 /nfs/dbraw/zinc/13/83/06/410138306.db2.gz YPPPLIQGEOJDAV-QWHCGFSZSA-N 1 2 307.398 1.080 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)c1C ZINC000329160941 410138316 /nfs/dbraw/zinc/13/83/16/410138316.db2.gz YPPPLIQGEOJDAV-QWHCGFSZSA-N 1 2 307.398 1.080 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)N[C@H]1[C@@H]2COC[C@@H]21)C1CCOCC1 ZINC000329191985 410154334 /nfs/dbraw/zinc/15/43/34/410154334.db2.gz QPJDHDJRTYLSDA-RFQIPJPRSA-N 1 2 320.393 1.036 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000354917716 410170314 /nfs/dbraw/zinc/17/03/14/410170314.db2.gz KOFWKJOUZMHFOU-HNNXBMFYSA-N 1 2 318.421 1.684 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000354917716 410170319 /nfs/dbraw/zinc/17/03/19/410170319.db2.gz KOFWKJOUZMHFOU-HNNXBMFYSA-N 1 2 318.421 1.684 20 30 DDEDLO O=C(Cc1cccnc1)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000329230184 410179329 /nfs/dbraw/zinc/17/93/29/410179329.db2.gz JVIXQWWTVCPAFQ-INIZCTEOSA-N 1 2 321.446 1.789 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)CC(=O)Nc1ccc(C#N)cc1 ZINC000339433707 410183820 /nfs/dbraw/zinc/18/38/20/410183820.db2.gz XFERKPMWHJWMOK-UHFFFAOYSA-N 1 2 316.405 1.735 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)CC(=O)Nc1ccc(C#N)cc1 ZINC000339433707 410183824 /nfs/dbraw/zinc/18/38/24/410183824.db2.gz XFERKPMWHJWMOK-UHFFFAOYSA-N 1 2 316.405 1.735 20 30 DDEDLO CCCc1cnc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)s1 ZINC000329274548 410207028 /nfs/dbraw/zinc/20/70/28/410207028.db2.gz KMZHKKABDYTIQJ-NWDGAFQWSA-N 1 2 310.423 1.656 20 30 DDEDLO CCCc1cnc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)s1 ZINC000329274548 410207034 /nfs/dbraw/zinc/20/70/34/410207034.db2.gz KMZHKKABDYTIQJ-NWDGAFQWSA-N 1 2 310.423 1.656 20 30 DDEDLO O=C(CN1CCn2cc[nH+]c2C1)Nc1ccc2c(c1)OCO2 ZINC000329337946 410242692 /nfs/dbraw/zinc/24/26/92/410242692.db2.gz MUHRZLJLXAGHMB-UHFFFAOYSA-N 1 2 300.318 1.716 20 30 DDEDLO COCCC(=O)N1CC[NH+](Cc2ccc(OC)c(C#N)c2)CC1 ZINC000355053317 410268577 /nfs/dbraw/zinc/26/85/77/410268577.db2.gz OOMHWIXYIUPPMV-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO CN(C(=O)N[C@H](c1[nH+]ccn1C)C1CCOCC1)C1CC(O)C1 ZINC000329309328 410222817 /nfs/dbraw/zinc/22/28/17/410222817.db2.gz FLUZVSXIHYOKRS-RUXDESIVSA-N 1 2 322.409 1.257 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@H]3CCOC4(CCC4)C3)C[C@@H]21 ZINC000329650199 410397604 /nfs/dbraw/zinc/39/76/04/410397604.db2.gz JWQAAYMAFGSFQJ-MELADBBJSA-N 1 2 309.410 1.017 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@H]3CCOC4(CCC4)C3)C[C@@H]21 ZINC000329650199 410397610 /nfs/dbraw/zinc/39/76/10/410397610.db2.gz JWQAAYMAFGSFQJ-MELADBBJSA-N 1 2 309.410 1.017 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)CC1(C#N)CCCCC1 ZINC000355563691 410582584 /nfs/dbraw/zinc/58/25/84/410582584.db2.gz PTEXHALLUGSNIH-CYBMUJFWSA-N 1 2 310.423 1.665 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(C(F)(F)F)cn2)CC1 ZINC000299301140 410586817 /nfs/dbraw/zinc/58/68/17/410586817.db2.gz GIMWBIMICXSMQZ-UHFFFAOYSA-N 1 2 311.307 1.882 20 30 DDEDLO COCCC(=O)N1CC[NH+](CCOc2ccc(C#N)cc2)CC1 ZINC000355737848 410668301 /nfs/dbraw/zinc/66/83/01/410668301.db2.gz VHUROWDPCPQJAN-UHFFFAOYSA-N 1 2 317.389 1.118 20 30 DDEDLO CC[C@H](C(=O)OC)[NH+]1CCN(Cc2ccc(F)cc2C#N)CC1 ZINC000352673246 410673951 /nfs/dbraw/zinc/67/39/51/410673951.db2.gz NFBYHPZDEXTQSL-MRXNPFEDSA-N 1 2 319.380 1.767 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)NC[C@@H]2Cc3ccccc3O2)CC1 ZINC000352462490 410658637 /nfs/dbraw/zinc/65/86/37/410658637.db2.gz CXWNKMOLYZMGGD-AWEZNQCLSA-N 1 2 317.364 1.434 20 30 DDEDLO C=C(C)C[N@H+](Cc1ccc(CO)o1)[C@@H]1CCN(C2CC2)C1=O ZINC000352801822 410681681 /nfs/dbraw/zinc/68/16/81/410681681.db2.gz KSMFVWRJLVKQSV-MRXNPFEDSA-N 1 2 304.390 1.913 20 30 DDEDLO C=C(C)C[N@@H+](Cc1ccc(CO)o1)[C@@H]1CCN(C2CC2)C1=O ZINC000352801822 410681686 /nfs/dbraw/zinc/68/16/86/410681686.db2.gz KSMFVWRJLVKQSV-MRXNPFEDSA-N 1 2 304.390 1.913 20 30 DDEDLO C=CCN(CCOC)C(=O)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000352796403 410682256 /nfs/dbraw/zinc/68/22/56/410682256.db2.gz PDUKATJUWVFYRH-UHFFFAOYSA-N 1 2 315.377 1.993 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H]1[C@H](O)C(F)(F)F ZINC000330687498 410879020 /nfs/dbraw/zinc/87/90/20/410879020.db2.gz WEQJVCKTBLCPLK-DRZSPHRISA-N 1 2 321.343 1.428 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H]1[C@H](O)C(F)(F)F ZINC000330687498 410879027 /nfs/dbraw/zinc/87/90/27/410879027.db2.gz WEQJVCKTBLCPLK-DRZSPHRISA-N 1 2 321.343 1.428 20 30 DDEDLO C[C@@]1(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)CCOC1 ZINC000347985410 410817998 /nfs/dbraw/zinc/81/79/98/410817998.db2.gz ANSNJGSESJKGEM-GOSISDBHSA-N 1 2 313.401 1.629 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)Nc2cccc(C#N)c2)CC[S@@]1=O ZINC000330835885 410896573 /nfs/dbraw/zinc/89/65/73/410896573.db2.gz IIMHKMHZRWKRJB-QKVFXAPYSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)Nc2cccc(C#N)c2)CC[S@@]1=O ZINC000330835885 410896578 /nfs/dbraw/zinc/89/65/78/410896578.db2.gz IIMHKMHZRWKRJB-QKVFXAPYSA-N 1 2 305.403 1.340 20 30 DDEDLO CC(C)C(=O)NC[C@@H]1CCC[N@H+](Cc2nc(C#N)cs2)C1 ZINC000356208927 410898058 /nfs/dbraw/zinc/89/80/58/410898058.db2.gz CVYSKWCPXIXXPM-LBPRGKRZSA-N 1 2 306.435 1.999 20 30 DDEDLO CC(C)C(=O)NC[C@@H]1CCC[N@@H+](Cc2nc(C#N)cs2)C1 ZINC000356208927 410898063 /nfs/dbraw/zinc/89/80/63/410898063.db2.gz CVYSKWCPXIXXPM-LBPRGKRZSA-N 1 2 306.435 1.999 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](C)CC(=O)NCc1cccs1 ZINC000353299286 410909446 /nfs/dbraw/zinc/90/94/46/410909446.db2.gz RSJOVMJOMSFAEF-UHFFFAOYSA-N 1 2 321.446 1.497 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](C)CC(=O)NCc1cccs1 ZINC000353299286 410909453 /nfs/dbraw/zinc/90/94/53/410909453.db2.gz RSJOVMJOMSFAEF-UHFFFAOYSA-N 1 2 321.446 1.497 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCO[C@H](C(=O)C4CC4)C3)n2c1 ZINC000356308708 410957497 /nfs/dbraw/zinc/95/74/97/410957497.db2.gz UGXUXMHXMGSGCF-HNNXBMFYSA-N 1 2 310.357 1.386 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H](CO)C[C@@H]2C)c(C#N)c1C ZINC000344160051 410999579 /nfs/dbraw/zinc/99/95/79/410999579.db2.gz WZMABWLHUSJHKW-GXFFZTMASA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H](CO)C[C@@H]2C)c(C#N)c1C ZINC000344160051 410999583 /nfs/dbraw/zinc/99/95/83/410999583.db2.gz WZMABWLHUSJHKW-GXFFZTMASA-N 1 2 305.378 1.799 20 30 DDEDLO COC(=O)C[C@](C)([NH2+]Cc1nc(C#N)cs1)c1ccncc1 ZINC000356408226 411017265 /nfs/dbraw/zinc/01/72/65/411017265.db2.gz IFFQHNCOHLFIRN-HNNXBMFYSA-N 1 2 316.386 1.978 20 30 DDEDLO C[N@@H+]1CCN(C2CCN(c3ccc(C#N)cc3F)CC2)C(=O)C1 ZINC000374861553 418570438 /nfs/dbraw/zinc/57/04/38/418570438.db2.gz ZWNIJVCEJPVXTB-UHFFFAOYSA-N 1 2 316.380 1.440 20 30 DDEDLO C[N@H+]1CCN(C2CCN(c3ccc(C#N)cc3F)CC2)C(=O)C1 ZINC000374861553 418570439 /nfs/dbraw/zinc/57/04/39/418570439.db2.gz ZWNIJVCEJPVXTB-UHFFFAOYSA-N 1 2 316.380 1.440 20 30 DDEDLO CCc1nc(CN2CC[NH+](CC#Cc3ccccc3)CC2)no1 ZINC000192111256 222120579 /nfs/dbraw/zinc/12/05/79/222120579.db2.gz KIYPUATUXWGFAZ-UHFFFAOYSA-N 1 2 310.401 1.801 20 30 DDEDLO CCCn1cc[nH+]c1CCCN(C)S(=O)(=O)CCCC#N ZINC000192245262 222124050 /nfs/dbraw/zinc/12/40/50/222124050.db2.gz WJRKSUYNMIIADJ-UHFFFAOYSA-N 1 2 312.439 1.791 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CC[NH+]([C@H]2CCCCNC2=O)CC1 ZINC000192934201 222138511 /nfs/dbraw/zinc/13/85/11/222138511.db2.gz FOELXVNIUOUJJR-DLBZAZTESA-N 1 2 312.417 1.538 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+]2CCOC[C@]23CCOC3)cc1 ZINC000249458420 222240112 /nfs/dbraw/zinc/24/01/12/222240112.db2.gz GKVHYQTWHZBDEQ-WMLDXEAASA-N 1 2 302.374 1.969 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+]2CCOC[C@]23CCOC3)cc1 ZINC000249458420 222240114 /nfs/dbraw/zinc/24/01/14/222240114.db2.gz GKVHYQTWHZBDEQ-WMLDXEAASA-N 1 2 302.374 1.969 20 30 DDEDLO Cn1cc(C[N@@H+]2CCCC[C@H]2CCS(C)(=O)=O)cc1C#N ZINC000367832226 418641497 /nfs/dbraw/zinc/64/14/97/418641497.db2.gz MIYOYBRBLMBPKD-AWEZNQCLSA-N 1 2 309.435 1.686 20 30 DDEDLO Cn1cc(C[N@H+]2CCCC[C@H]2CCS(C)(=O)=O)cc1C#N ZINC000367832226 418641501 /nfs/dbraw/zinc/64/15/01/418641501.db2.gz MIYOYBRBLMBPKD-AWEZNQCLSA-N 1 2 309.435 1.686 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)OC(C)(C)C)C2)CC1 ZINC000377525487 418710662 /nfs/dbraw/zinc/71/06/62/418710662.db2.gz BGPJSUFEZDXYMG-OAHLLOKOSA-N 1 2 307.438 1.637 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CC[N@H+](C)[C@H](c2ccccc2Cl)C1 ZINC000377523741 418710706 /nfs/dbraw/zinc/71/07/06/418710706.db2.gz KVVJHZXCQSSPFN-BBRMVZONSA-N 1 2 319.836 1.766 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CC[N@@H+](C)[C@H](c2ccccc2Cl)C1 ZINC000377523741 418710708 /nfs/dbraw/zinc/71/07/08/418710708.db2.gz KVVJHZXCQSSPFN-BBRMVZONSA-N 1 2 319.836 1.766 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H]1c1nccs1 ZINC000377499087 418710971 /nfs/dbraw/zinc/71/09/71/418710971.db2.gz BLCWCMKJKKTGOP-CYBMUJFWSA-N 1 2 317.418 1.936 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H]1c1nccs1 ZINC000377499087 418710973 /nfs/dbraw/zinc/71/09/73/418710973.db2.gz BLCWCMKJKKTGOP-CYBMUJFWSA-N 1 2 317.418 1.936 20 30 DDEDLO CC(C)(C#N)CCS(=O)(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000377886972 418716762 /nfs/dbraw/zinc/71/67/62/418716762.db2.gz CDMATEVUZGNLMY-CQSZACIVSA-N 1 2 323.418 1.804 20 30 DDEDLO C#CCSCCNC(=O)[C@H]1CCC[N@@H+]1Cc1ccccn1 ZINC000377944473 418717778 /nfs/dbraw/zinc/71/77/78/418717778.db2.gz FIBZTDWSFSIUFX-OAHLLOKOSA-N 1 2 303.431 1.529 20 30 DDEDLO C#CCSCCNC(=O)[C@H]1CCC[N@H+]1Cc1ccccn1 ZINC000377944473 418717780 /nfs/dbraw/zinc/71/77/80/418717780.db2.gz FIBZTDWSFSIUFX-OAHLLOKOSA-N 1 2 303.431 1.529 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)COc2ccc(C#N)cc2)C1 ZINC000378264051 418720168 /nfs/dbraw/zinc/72/01/68/418720168.db2.gz JTCQOIFBJUJAMJ-CQSZACIVSA-N 1 2 310.357 1.687 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+](CCO)[C@@H]2CCO[C@@H]2C)c1C ZINC000361577566 418683618 /nfs/dbraw/zinc/68/36/18/418683618.db2.gz LLCCGCYVVFNPAA-NVXWUHKLSA-N 1 2 320.433 1.945 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+](CCO)[C@@H]2CCO[C@@H]2C)c1C ZINC000361577566 418683621 /nfs/dbraw/zinc/68/36/21/418683621.db2.gz LLCCGCYVVFNPAA-NVXWUHKLSA-N 1 2 320.433 1.945 20 30 DDEDLO C#CCCCS(=O)(=O)N[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000376323935 418695424 /nfs/dbraw/zinc/69/54/24/418695424.db2.gz RNUWGVYUYDYHHH-CQSZACIVSA-N 1 2 307.419 1.383 20 30 DDEDLO N#C[C@@H]1C[N@@H+](Cc2cncs2)C[C@]12C(=O)Nc1ccccc12 ZINC000376644920 418699608 /nfs/dbraw/zinc/69/96/08/418699608.db2.gz SFBVIDUXYTYWRC-BZNIZROVSA-N 1 2 310.382 1.989 20 30 DDEDLO N#C[C@@H]1C[N@H+](Cc2cncs2)C[C@]12C(=O)Nc1ccccc12 ZINC000376644920 418699609 /nfs/dbraw/zinc/69/96/09/418699609.db2.gz SFBVIDUXYTYWRC-BZNIZROVSA-N 1 2 310.382 1.989 20 30 DDEDLO CN(CCC#N)C(=O)CC[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000377009275 418703769 /nfs/dbraw/zinc/70/37/69/418703769.db2.gz FYXJNQCPTVXCMO-OAHLLOKOSA-N 1 2 312.377 1.246 20 30 DDEDLO COC(=O)[C@@H]([NH2+]Cc1cn(C)nc1-c1ccc(C#N)cc1)C1CC1 ZINC000370335312 418743208 /nfs/dbraw/zinc/74/32/08/418743208.db2.gz RZVLXFCIPWYDGJ-KRWDZBQOSA-N 1 2 324.384 2.000 20 30 DDEDLO CC[C@@H]1CC[C@@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)O1 ZINC000362499805 418755302 /nfs/dbraw/zinc/75/53/02/418755302.db2.gz WSBSPVLYGKOCAE-CABCVRRESA-N 1 2 309.410 1.018 20 30 DDEDLO CN(C(=O)CSCC#N)C1CCN(c2cccc[nH+]2)CC1 ZINC000363627779 418768044 /nfs/dbraw/zinc/76/80/44/418768044.db2.gz QPAVBKTWVBTBKG-UHFFFAOYSA-N 1 2 304.419 1.766 20 30 DDEDLO Cc1cn2c([nH+]1)CN(CC(=O)NC1(C#N)CCCCC1)CC2 ZINC000363714396 418769334 /nfs/dbraw/zinc/76/93/34/418769334.db2.gz PHWRIDNHLQUARB-UHFFFAOYSA-N 1 2 301.394 1.350 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000408024024 418781890 /nfs/dbraw/zinc/78/18/90/418781890.db2.gz XDBLLMMDEQKETQ-UGUYLWEFSA-N 1 2 323.437 1.082 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000408024024 418781892 /nfs/dbraw/zinc/78/18/92/418781892.db2.gz XDBLLMMDEQKETQ-UGUYLWEFSA-N 1 2 323.437 1.082 20 30 DDEDLO N#CCCCCS(=O)(=O)N1CC[NH+]([C@@H]2CCSC2)CC1 ZINC000364753271 418808644 /nfs/dbraw/zinc/80/86/44/418808644.db2.gz FEUAUPMOKAMPFI-CYBMUJFWSA-N 1 2 317.480 1.133 20 30 DDEDLO Cc1nn(C)cc1[C@@H]1CCC[N@@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000371944732 418826665 /nfs/dbraw/zinc/82/66/65/418826665.db2.gz XOHBOJHBAQMNFJ-DOTOQJQBSA-N 1 2 315.421 1.674 20 30 DDEDLO Cc1nn(C)cc1[C@@H]1CCC[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000371944732 418826670 /nfs/dbraw/zinc/82/66/70/418826670.db2.gz XOHBOJHBAQMNFJ-DOTOQJQBSA-N 1 2 315.421 1.674 20 30 DDEDLO N#CC1(NC(=O)[C@H]2CCCN(c3cccc[nH+]3)C2)CCOCC1 ZINC000365339107 418852566 /nfs/dbraw/zinc/85/25/66/418852566.db2.gz JXLOOGVUOYXQKA-AWEZNQCLSA-N 1 2 314.389 1.487 20 30 DDEDLO CC(C)CC[N@H+](CCC#N)CC(=O)N1CCOC[C@H]1C1CC1 ZINC000372326404 418855677 /nfs/dbraw/zinc/85/56/77/418855677.db2.gz UMSSDPHTJABUBG-INIZCTEOSA-N 1 2 307.438 1.886 20 30 DDEDLO CC(C)CC[N@@H+](CCC#N)CC(=O)N1CCOC[C@H]1C1CC1 ZINC000372326404 418855680 /nfs/dbraw/zinc/85/56/80/418855680.db2.gz UMSSDPHTJABUBG-INIZCTEOSA-N 1 2 307.438 1.886 20 30 DDEDLO N#C[C@@H]1CN(C(=O)[C@H]2CCCN(c3cccc[nH+]3)C2)CCO1 ZINC000365133943 418838572 /nfs/dbraw/zinc/83/85/72/418838572.db2.gz MYBYCDWRIALOHT-UONOGXRCSA-N 1 2 300.362 1.049 20 30 DDEDLO CC(C)(CC#N)CNC(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC000422068469 419830264 /nfs/dbraw/zinc/83/02/64/419830264.db2.gz BWUIWHHIAQXCMP-UHFFFAOYSA-N 1 2 317.393 1.056 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)CCOCC1 ZINC000424645329 420320776 /nfs/dbraw/zinc/32/07/76/420320776.db2.gz ICTUDTQKRIDNIH-HUUCEWRRSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)NCc1[nH+]ccn1CC(C)C ZINC000425458980 420389768 /nfs/dbraw/zinc/38/97/68/420389768.db2.gz OJJDNOZDCPSGIW-KBPBESRZSA-N 1 2 306.410 1.929 20 30 DDEDLO N#Cc1cc(F)ccc1S(=O)(=O)NCCNc1cccc[nH+]1 ZINC000443510498 230014501 /nfs/dbraw/zinc/01/45/01/230014501.db2.gz OCYQWVCRQUENNH-UHFFFAOYSA-N 1 2 320.349 1.483 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(C[C@@H](C#N)CCC#N)C1 ZINC000440487781 420580545 /nfs/dbraw/zinc/58/05/45/420580545.db2.gz AVRYAAVQVNVABC-RHSMWYFYSA-N 1 2 320.437 1.826 20 30 DDEDLO CC(C)(C)OC(=O)CN[C@]1(C)CC[N@H+](C[C@@H](C#N)CCC#N)C1 ZINC000440487781 420580546 /nfs/dbraw/zinc/58/05/46/420580546.db2.gz AVRYAAVQVNVABC-RHSMWYFYSA-N 1 2 320.437 1.826 20 30 DDEDLO CC(C)(C)OC(=O)CN[C@]1(C)CC[N@@H+](C[C@@H](C#N)CCC#N)C1 ZINC000440487781 420580548 /nfs/dbraw/zinc/58/05/48/420580548.db2.gz AVRYAAVQVNVABC-RHSMWYFYSA-N 1 2 320.437 1.826 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)NCC2CCC(C#N)CC2)CCO1 ZINC000440992942 420627016 /nfs/dbraw/zinc/62/70/16/420627016.db2.gz UMPUMVHQLXEBQN-UHFFFAOYSA-N 1 2 322.453 1.726 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)NCC2CCC(C#N)CC2)CCO1 ZINC000440992942 420627020 /nfs/dbraw/zinc/62/70/20/420627020.db2.gz UMPUMVHQLXEBQN-UHFFFAOYSA-N 1 2 322.453 1.726 20 30 DDEDLO C=C(C)CCNC(=O)NC[C@@H]1CCCN1c1cc(NC)[nH+]cn1 ZINC000458499219 420672762 /nfs/dbraw/zinc/67/27/62/420672762.db2.gz ZKUSVWHBZJHTNS-ZDUSSCGKSA-N 1 2 318.425 1.753 20 30 DDEDLO C=C(C)CCNC(=O)NC[C@@H]1CCCN1c1cc(NC)nc[nH+]1 ZINC000458499219 420672766 /nfs/dbraw/zinc/67/27/66/420672766.db2.gz ZKUSVWHBZJHTNS-ZDUSSCGKSA-N 1 2 318.425 1.753 20 30 DDEDLO C[C@H]1CN(c2cccc3cnccc32)CC[N@@H+]1CC(=O)NCC#N ZINC000447389997 420771154 /nfs/dbraw/zinc/77/11/54/420771154.db2.gz CMLDFQLERYZWOX-AWEZNQCLSA-N 1 2 323.400 1.385 20 30 DDEDLO C[C@H]1CN(c2cccc3cnccc32)CC[N@H+]1CC(=O)NCC#N ZINC000447389997 420771156 /nfs/dbraw/zinc/77/11/56/420771156.db2.gz CMLDFQLERYZWOX-AWEZNQCLSA-N 1 2 323.400 1.385 20 30 DDEDLO C=C(CC)CNC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000454351686 420843472 /nfs/dbraw/zinc/84/34/72/420843472.db2.gz UMWLEDIIJOSHRM-UHFFFAOYSA-N 1 2 304.394 1.684 20 30 DDEDLO CC(=O)Nc1ccc(/C=C/C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000493284518 420808046 /nfs/dbraw/zinc/80/80/46/420808046.db2.gz BJXUZRLAXWFEDL-JEJOPICUSA-N 1 2 314.389 1.618 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000448579994 420864303 /nfs/dbraw/zinc/86/43/03/420864303.db2.gz VZOPHMZFTAUXLI-KDOFPFPSSA-N 1 2 308.385 1.752 20 30 DDEDLO COc1ccc(C)cc1/C=C/C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000493546263 420878676 /nfs/dbraw/zinc/87/86/76/420878676.db2.gz DRATUHUTYQILER-UGAXZCSASA-N 1 2 301.390 1.977 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1ccc(C#N)s1)[NH+]1CCN(C)CC1 ZINC000487691518 421045764 /nfs/dbraw/zinc/04/57/64/421045764.db2.gz RIEMLLIMVZJKLS-CQSZACIVSA-N 1 2 320.462 1.622 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)Nc1cccc(C#N)c1[N+](=O)[O-] ZINC000450314109 421180169 /nfs/dbraw/zinc/18/01/69/421180169.db2.gz ALUDPGFQXGGLPJ-GFCCVEGCSA-N 1 2 303.366 1.514 20 30 DDEDLO C#CCNC(=O)C1CCN(c2nc(N)c3ccccc3[nH+]2)CC1 ZINC000491154382 421195078 /nfs/dbraw/zinc/19/50/78/421195078.db2.gz JEHMCVIZVJEWLP-UHFFFAOYSA-N 1 2 309.373 1.178 20 30 DDEDLO C#CC[N@H+](C[C@@H]1CCC2(CCCC2)O1)[C@@H]1CCS(=O)(=O)C1 ZINC000491535671 421198453 /nfs/dbraw/zinc/19/84/53/421198453.db2.gz DUPHQSKQFJCXHK-CABCVRRESA-N 1 2 311.447 1.601 20 30 DDEDLO C#CC[N@@H+](C[C@@H]1CCC2(CCCC2)O1)[C@@H]1CCS(=O)(=O)C1 ZINC000491535671 421198454 /nfs/dbraw/zinc/19/84/54/421198454.db2.gz DUPHQSKQFJCXHK-CABCVRRESA-N 1 2 311.447 1.601 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)[C@H](C)C[S@@](C)=O)c1C#N ZINC000546640136 421300752 /nfs/dbraw/zinc/30/07/52/421300752.db2.gz IFPJZIUXGNQFOZ-WSVYEEACSA-N 1 2 308.403 1.544 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)[C@H](C)C[S@@](C)=O)c1C#N ZINC000546640136 421300756 /nfs/dbraw/zinc/30/07/56/421300756.db2.gz IFPJZIUXGNQFOZ-WSVYEEACSA-N 1 2 308.403 1.544 20 30 DDEDLO CCOC[C@@H]1C[N@H+](CCOc2ccc(C#N)cc2OC)CCO1 ZINC000528722664 421513724 /nfs/dbraw/zinc/51/37/24/421513724.db2.gz RLUBIFFDMNVDJM-HNNXBMFYSA-N 1 2 320.389 1.683 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](CCOc2ccc(C#N)cc2OC)CCO1 ZINC000528722664 421513726 /nfs/dbraw/zinc/51/37/26/421513726.db2.gz RLUBIFFDMNVDJM-HNNXBMFYSA-N 1 2 320.389 1.683 20 30 DDEDLO COc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c(C)c1OC ZINC000563220831 421474647 /nfs/dbraw/zinc/47/46/47/421474647.db2.gz WEABJZRENUNNDG-MRXNPFEDSA-N 1 2 305.378 1.586 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cn1)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000563350422 421490136 /nfs/dbraw/zinc/49/01/36/421490136.db2.gz NFEFYJQICWNYFN-CABCVRRESA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cn1)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000563350422 421490138 /nfs/dbraw/zinc/49/01/38/421490138.db2.gz NFEFYJQICWNYFN-CABCVRRESA-N 1 2 307.419 1.741 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CC2CC1(c1nc(C)no1)C2 ZINC000528630356 421502919 /nfs/dbraw/zinc/50/29/19/421502919.db2.gz BSTGGAULIFRSGX-RFSCMCKOSA-N 1 2 316.405 1.888 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC2CC1(c1nc(C)no1)C2 ZINC000528630356 421502922 /nfs/dbraw/zinc/50/29/22/421502922.db2.gz BSTGGAULIFRSGX-RFSCMCKOSA-N 1 2 316.405 1.888 20 30 DDEDLO CC[C@H](CC#N)[NH2+][C@@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000570737428 421666842 /nfs/dbraw/zinc/66/68/42/421666842.db2.gz VGDBVEBSALLWTE-NWDGAFQWSA-N 1 2 323.418 1.699 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000572579899 421801396 /nfs/dbraw/zinc/80/13/96/421801396.db2.gz SLGLSNOYZMHPQB-CQSZACIVSA-N 1 2 315.421 1.332 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000572933283 421887652 /nfs/dbraw/zinc/88/76/52/421887652.db2.gz VAWIXWBTLQRLPI-JKIFEVAISA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000572933283 421887657 /nfs/dbraw/zinc/88/76/57/421887657.db2.gz VAWIXWBTLQRLPI-JKIFEVAISA-N 1 2 321.421 1.207 20 30 DDEDLO N#Cc1cc(Cl)ccc1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC000543912244 421842269 /nfs/dbraw/zinc/84/22/69/421842269.db2.gz KEYRUNZZFAPEJN-UHFFFAOYSA-N 1 2 322.777 1.654 20 30 DDEDLO COc1nccc2cc(NC[C@@H](O)C[N@H+](C)CCC#N)ccc21 ZINC000573558258 421978656 /nfs/dbraw/zinc/97/86/56/421978656.db2.gz IPYMJZXBPLTKTD-OAHLLOKOSA-N 1 2 314.389 1.862 20 30 DDEDLO COc1nccc2cc(NC[C@@H](O)C[N@@H+](C)CCC#N)ccc21 ZINC000573558258 421978663 /nfs/dbraw/zinc/97/86/63/421978663.db2.gz IPYMJZXBPLTKTD-OAHLLOKOSA-N 1 2 314.389 1.862 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NCCCCn1cc[nH+]c1 ZINC000582339302 422143358 /nfs/dbraw/zinc/14/33/58/422143358.db2.gz YJCCVJKJTXYQLL-GJZGRUSLSA-N 1 2 306.410 1.944 20 30 DDEDLO C[C@H]1C[N@H+](CC2(C#N)CCC2)CCN1c1nccn2cnnc12 ZINC000575132398 422266910 /nfs/dbraw/zinc/26/69/10/422266910.db2.gz FGQDXEMQACBNEP-ZDUSSCGKSA-N 1 2 311.393 1.329 20 30 DDEDLO C[C@H]1C[N@@H+](CC2(C#N)CCC2)CCN1c1nccn2cnnc12 ZINC000575132398 422266916 /nfs/dbraw/zinc/26/69/16/422266916.db2.gz FGQDXEMQACBNEP-ZDUSSCGKSA-N 1 2 311.393 1.329 20 30 DDEDLO Cc1ccc2c(c1)CC[C@@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)O2 ZINC000575806964 422328024 /nfs/dbraw/zinc/32/80/24/422328024.db2.gz WJZRLLWHGFYWML-DOTOQJQBSA-N 1 2 301.390 1.649 20 30 DDEDLO C[C@@H](CNc1nc(C#N)c(Cl)s1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000596299152 422373785 /nfs/dbraw/zinc/37/37/85/422373785.db2.gz OLPFEAZQAFVHJB-LPEHRKFASA-N 1 2 314.842 2.578 20 30 DDEDLO C[C@@H](CNc1nc(C#N)c(Cl)s1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000596299152 422373790 /nfs/dbraw/zinc/37/37/90/422373790.db2.gz OLPFEAZQAFVHJB-LPEHRKFASA-N 1 2 314.842 2.578 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNc1nc(C#N)c(Cl)s1 ZINC000596430657 422376307 /nfs/dbraw/zinc/37/63/07/422376307.db2.gz MROXVZJVTBFBBP-BDAKNGLRSA-N 1 2 300.815 2.189 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNc1nc(C#N)c(Cl)s1 ZINC000596430657 422376311 /nfs/dbraw/zinc/37/63/11/422376311.db2.gz MROXVZJVTBFBBP-BDAKNGLRSA-N 1 2 300.815 2.189 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc([C@H]2CCOC2)cc1 ZINC000576865726 422377556 /nfs/dbraw/zinc/37/75/56/422377556.db2.gz KQVSIGQXWTZNSH-DOTOQJQBSA-N 1 2 303.406 1.809 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc([C@H]2CCOC2)cc1 ZINC000576865726 422377563 /nfs/dbraw/zinc/37/75/63/422377563.db2.gz KQVSIGQXWTZNSH-DOTOQJQBSA-N 1 2 303.406 1.809 20 30 DDEDLO CC#CCN(C)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000637681680 422324919 /nfs/dbraw/zinc/32/49/19/422324919.db2.gz QDBUCMWUPHTZKE-UHFFFAOYSA-N 1 2 318.446 1.800 20 30 DDEDLO N#CCC[NH2+]CCNS(=O)(=O)c1cccc(Cl)c1Cl ZINC000577172995 422382889 /nfs/dbraw/zinc/38/28/89/422382889.db2.gz PYIJXVSJGBXOJL-UHFFFAOYSA-N 1 2 322.217 1.775 20 30 DDEDLO C[N@H+](CCCc1[nH]nc(N)c1C#N)Cc1noc(C2CCC2)n1 ZINC000599342117 422404862 /nfs/dbraw/zinc/40/48/62/422404862.db2.gz HJZDIKORTAKTPL-UHFFFAOYSA-N 1 2 315.381 1.579 20 30 DDEDLO C[N@@H+](CCCc1[nH]nc(N)c1C#N)Cc1noc(C2CCC2)n1 ZINC000599342117 422404868 /nfs/dbraw/zinc/40/48/68/422404868.db2.gz HJZDIKORTAKTPL-UHFFFAOYSA-N 1 2 315.381 1.579 20 30 DDEDLO C=CCN(Cc1ccccc1F)C(=O)[C@@H](C)N1CC[NH2+]CC1=O ZINC000637947085 422498771 /nfs/dbraw/zinc/49/87/71/422498771.db2.gz PMQVRJRESDNXTC-CYBMUJFWSA-N 1 2 319.380 1.161 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)N(CC)CC)CC2)C1 ZINC000631268467 422644663 /nfs/dbraw/zinc/64/46/63/422644663.db2.gz GKCUWTSMPPETPZ-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)N(CC)CC)CC2)C1 ZINC000631268467 422644668 /nfs/dbraw/zinc/64/46/68/422644668.db2.gz GKCUWTSMPPETPZ-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=CCn1cc(C(=O)NC2CCN(c3cccc[nH+]3)CC2)nn1 ZINC000648243918 423288249 /nfs/dbraw/zinc/28/82/49/423288249.db2.gz BRNOJYDUBBRNNP-UHFFFAOYSA-N 1 2 312.377 1.258 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CC[NH+](CCOCCOC)CC1 ZINC000651077722 423380289 /nfs/dbraw/zinc/38/02/89/423380289.db2.gz XVGSTLGKGQMXPQ-HNNXBMFYSA-N 1 2 313.442 1.331 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2C[C@H]3CCCC[C@@H]3NC2=O)nn1 ZINC000641096401 423382335 /nfs/dbraw/zinc/38/23/35/423382335.db2.gz PPGVEUWFRXFYKP-VHDGCEQUSA-N 1 2 301.394 1.011 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CC[NH+](CCOCCOC)CC1 ZINC000651133926 423407204 /nfs/dbraw/zinc/40/72/04/423407204.db2.gz JMHHNAQNRLEPCY-UHFFFAOYSA-N 1 2 313.442 1.189 20 30 DDEDLO C=CCn1cc(C(=O)NCc2ccc(N(CC)CC)[nH+]c2)nn1 ZINC000648737455 423437132 /nfs/dbraw/zinc/43/71/32/423437132.db2.gz PYMBMIRHKBZELA-UHFFFAOYSA-N 1 2 314.393 1.635 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC3(CC2)[C@H](O)C[C@H]3OCC)nn1 ZINC000653559187 423530018 /nfs/dbraw/zinc/53/00/18/423530018.db2.gz NZHVSGSFDSKPCT-HZPDHXFCSA-N 1 2 320.437 1.606 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)o2)C1 ZINC000649191671 423669884 /nfs/dbraw/zinc/66/98/84/423669884.db2.gz FJNUBYICHQCYDN-ZNMIVQPWSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)o2)C1 ZINC000649191671 423669891 /nfs/dbraw/zinc/66/98/91/423669891.db2.gz FJNUBYICHQCYDN-ZNMIVQPWSA-N 1 2 319.405 1.607 20 30 DDEDLO C=CCNC(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000642486574 424186501 /nfs/dbraw/zinc/18/65/01/424186501.db2.gz ZBHHKJWJWURRIA-UHFFFAOYSA-N 1 2 302.378 1.382 20 30 DDEDLO C=CCOCCCNC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000661795665 424180291 /nfs/dbraw/zinc/18/02/91/424180291.db2.gz FTXUNBQYRJBFLV-UHFFFAOYSA-N 1 2 318.421 1.502 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+][C@H](CO)c1ccc(F)cc1F ZINC000662163688 424449522 /nfs/dbraw/zinc/44/95/22/424449522.db2.gz YMIOHZZZHVAZQM-OAHLLOKOSA-N 1 2 310.344 1.788 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@H](Cc1[nH+]ccn1C)c1ccccc1 ZINC000664255293 424495019 /nfs/dbraw/zinc/49/50/19/424495019.db2.gz KBZWPHHUSYWUOF-HUUCEWRRSA-N 1 2 314.389 1.550 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@H+](Cc3ccc(F)c(C#N)c3)CCN2C1=O ZINC000371957188 266142608 /nfs/dbraw/zinc/14/26/08/266142608.db2.gz NTWWCZBMHBASFS-CQSZACIVSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@@H+](Cc3ccc(F)c(C#N)c3)CCN2C1=O ZINC000371957188 266142612 /nfs/dbraw/zinc/14/26/12/266142612.db2.gz NTWWCZBMHBASFS-CQSZACIVSA-N 1 2 316.336 1.166 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)CCO1 ZINC000347614425 266379429 /nfs/dbraw/zinc/37/94/29/266379429.db2.gz SHEMTHZQPBPBQC-GFCCVEGCSA-N 1 2 323.343 1.677 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)CCO1 ZINC000347614425 266379433 /nfs/dbraw/zinc/37/94/33/266379433.db2.gz SHEMTHZQPBPBQC-GFCCVEGCSA-N 1 2 323.343 1.677 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1nccc(C#N)c1Cl ZINC000343250843 267091753 /nfs/dbraw/zinc/09/17/53/267091753.db2.gz NPCKQGSKNRPOMH-YPMHNXCESA-N 1 2 306.797 1.906 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3ccnc(C#N)c3)c[nH+]2)C[C@H](C)O1 ZINC000341528905 267239338 /nfs/dbraw/zinc/23/93/38/267239338.db2.gz GHUZAWYJQYZZGT-KBPBESRZSA-N 1 2 323.400 1.996 20 30 DDEDLO CN1C[C@@H](C[NH+]2CCN(c3cccc(F)c3C#N)CC2)OC1=O ZINC000495732683 267341425 /nfs/dbraw/zinc/34/14/25/267341425.db2.gz XLVUAKDBGKNGBI-LBPRGKRZSA-N 1 2 318.352 1.270 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@H]3OCC[C@H]32)cc1 ZINC000366470968 268187590 /nfs/dbraw/zinc/18/75/90/268187590.db2.gz VAYUAMPQZHQQEW-HZPDHXFCSA-N 1 2 320.414 1.585 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC[C@H]3OCC[C@H]32)cc1 ZINC000366470968 268187593 /nfs/dbraw/zinc/18/75/93/268187593.db2.gz VAYUAMPQZHQQEW-HZPDHXFCSA-N 1 2 320.414 1.585 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CC[C@H](COC(F)F)C2)cc1 ZINC000353027160 268214183 /nfs/dbraw/zinc/21/41/83/268214183.db2.gz WXGDXDGDRNOSRZ-WCQYABFASA-N 1 2 309.316 1.646 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CC[C@H](COC(F)F)C2)cc1 ZINC000353027160 268214185 /nfs/dbraw/zinc/21/41/85/268214185.db2.gz WXGDXDGDRNOSRZ-WCQYABFASA-N 1 2 309.316 1.646 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@@H+]1CCN2C(=O)OC[C@H]2C1 ZINC000370569221 268307509 /nfs/dbraw/zinc/30/75/09/268307509.db2.gz NEDHDXHKBXKTRD-LLVKDONJSA-N 1 2 320.374 1.085 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@H+]1CCN2C(=O)OC[C@H]2C1 ZINC000370569221 268307513 /nfs/dbraw/zinc/30/75/13/268307513.db2.gz NEDHDXHKBXKTRD-LLVKDONJSA-N 1 2 320.374 1.085 20 30 DDEDLO C[N@@H+]1CCc2nc(NC(=O)[C@H]3CCc4nncn4C3)sc2C1 ZINC000330321448 277188321 /nfs/dbraw/zinc/18/83/21/277188321.db2.gz FYNCNVGPWLQRTH-VIFPVBQESA-N 1 2 318.406 1.573 20 30 DDEDLO C[N@H+]1CCc2nc(NC(=O)[C@H]3CCc4nncn4C3)sc2C1 ZINC000330321448 277188322 /nfs/dbraw/zinc/18/83/22/277188322.db2.gz FYNCNVGPWLQRTH-VIFPVBQESA-N 1 2 318.406 1.573 20 30 DDEDLO Cn1ccc(N2CCC[C@H]([NH+]3CCC(F)(C#N)CC3)C2=O)n1 ZINC000352484684 279362701 /nfs/dbraw/zinc/36/27/01/279362701.db2.gz SDIXXXSUNMMICD-LBPRGKRZSA-N 1 2 305.357 1.243 20 30 DDEDLO CC(C)[C@@H]1C[C@@H](NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)CCO1 ZINC000329636271 280017250 /nfs/dbraw/zinc/01/72/50/280017250.db2.gz YBNFDDPEHWCTHI-ZQDZILKHSA-N 1 2 311.426 1.119 20 30 DDEDLO CC(C)[C@@H]1C[C@@H](NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)CCO1 ZINC000329636271 280017251 /nfs/dbraw/zinc/01/72/51/280017251.db2.gz YBNFDDPEHWCTHI-ZQDZILKHSA-N 1 2 311.426 1.119 20 30 DDEDLO CCCC[N@H+](CCO)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081512557 281009652 /nfs/dbraw/zinc/00/96/52/281009652.db2.gz XUADBRRSTKNCPO-UHFFFAOYSA-N 1 2 310.419 1.426 20 30 DDEDLO CCCC[N@@H+](CCO)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081512557 281009655 /nfs/dbraw/zinc/00/96/55/281009655.db2.gz XUADBRRSTKNCPO-UHFFFAOYSA-N 1 2 310.419 1.426 20 30 DDEDLO CCc1ccc([C@H](COC)[NH2+][C@H](C)C(=O)N(C)CCC#N)o1 ZINC000184837261 288563453 /nfs/dbraw/zinc/56/34/53/288563453.db2.gz PDZASFUHKGGKHE-OCCSQVGLSA-N 1 2 307.394 1.880 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@@H+]1CCO[C@]2(CCCOC2)C1 ZINC000329061173 298243719 /nfs/dbraw/zinc/24/37/19/298243719.db2.gz JOOBJVJSAHPWOQ-IAQYHMDHSA-N 1 2 311.382 1.289 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@H+]1CCO[C@]2(CCCOC2)C1 ZINC000329061173 298243724 /nfs/dbraw/zinc/24/37/24/298243724.db2.gz JOOBJVJSAHPWOQ-IAQYHMDHSA-N 1 2 311.382 1.289 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2ccc(C#N)cn2)C1 ZINC000328654225 298263722 /nfs/dbraw/zinc/26/37/22/298263722.db2.gz XIFUZVMPXAXBGU-OAHLLOKOSA-N 1 2 314.389 1.136 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2ccc(C#N)cn2)C1 ZINC000328654225 298263724 /nfs/dbraw/zinc/26/37/24/298263724.db2.gz XIFUZVMPXAXBGU-OAHLLOKOSA-N 1 2 314.389 1.136 20 30 DDEDLO C[C@H](CCC#N)N1CC[NH+]([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000411234881 298365447 /nfs/dbraw/zinc/36/54/47/298365447.db2.gz GFOGUKDNGRXNLO-HUUCEWRRSA-N 1 2 306.454 1.744 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@H](C(F)(F)F)[C@H](CO)C2)CCC1 ZINC000352676492 303145657 /nfs/dbraw/zinc/14/56/57/303145657.db2.gz TXKWVGZRYVTALQ-QWRGUYRKSA-N 1 2 319.327 1.042 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@H](C(F)(F)F)[C@H](CO)C2)CCC1 ZINC000352676492 303145658 /nfs/dbraw/zinc/14/56/58/303145658.db2.gz TXKWVGZRYVTALQ-QWRGUYRKSA-N 1 2 319.327 1.042 20 30 DDEDLO N#Cc1ncccc1S(=O)(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000276939914 303217791 /nfs/dbraw/zinc/21/77/91/303217791.db2.gz ANIKRCFSOWVLOD-LBPRGKRZSA-N 1 2 317.374 1.592 20 30 DDEDLO CCc1nn(CC[NH+]2C[C@@H](C)O[C@H](C)C2)c(=O)c(C#N)c1CC ZINC000569867317 308152108 /nfs/dbraw/zinc/15/21/08/308152108.db2.gz FUNYACNWPYDVCV-CHWSQXEVSA-N 1 2 318.421 1.349 20 30 DDEDLO N#CCCC1CCN(C(=O)NC[C@@H]2CC[NH2+]CC2(F)F)CC1 ZINC000564935487 332102787 /nfs/dbraw/zinc/10/27/87/332102787.db2.gz CDWSNUIACDPYTH-ZDUSSCGKSA-N 1 2 314.380 1.957 20 30 DDEDLO CO/N=C/c1ccc(C(=O)NCC[N@@H+]2CCOCC2(C)C)cc1 ZINC000170860917 333041249 /nfs/dbraw/zinc/04/12/49/333041249.db2.gz ZNFTWVWMINIAJT-XDHOZWIPSA-N 1 2 319.405 1.508 20 30 DDEDLO CO/N=C/c1ccc(C(=O)NCC[N@H+]2CCOCC2(C)C)cc1 ZINC000170860917 333041250 /nfs/dbraw/zinc/04/12/50/333041250.db2.gz ZNFTWVWMINIAJT-XDHOZWIPSA-N 1 2 319.405 1.508 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCCNS(=O)(=O)CCCC#N ZINC000111325752 333329092 /nfs/dbraw/zinc/32/90/92/333329092.db2.gz MKBIGBGVBXAEMR-UHFFFAOYSA-N 1 2 320.418 1.958 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000571019669 333479863 /nfs/dbraw/zinc/47/98/63/333479863.db2.gz XLCDGMGBABOIEX-BARDWOONSA-N 1 2 311.426 1.128 20 30 DDEDLO C[C@H](CCC#N)N1CC[NH+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000351616724 336050530 /nfs/dbraw/zinc/05/05/30/336050530.db2.gz UULORDVWMBMQGP-HZPDHXFCSA-N 1 2 306.454 1.697 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000582734053 337125227 /nfs/dbraw/zinc/12/52/27/337125227.db2.gz QWQBGHAPSMVBBR-ZDUSSCGKSA-N 1 2 314.389 1.799 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000584449751 337339451 /nfs/dbraw/zinc/33/94/51/337339451.db2.gz SCWGYLZOZLNWLK-LBPRGKRZSA-N 1 2 305.426 1.900 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000584449751 337339452 /nfs/dbraw/zinc/33/94/52/337339452.db2.gz SCWGYLZOZLNWLK-LBPRGKRZSA-N 1 2 305.426 1.900 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(Cl)c(F)c2)CC1 ZINC000085272802 338007991 /nfs/dbraw/zinc/00/79/91/338007991.db2.gz QHARTFZRKAKOJP-UHFFFAOYSA-N 1 2 309.772 1.668 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[NH+](CCNC(=O)C#CC2CC2)CC1 ZINC000496798355 340013234 /nfs/dbraw/zinc/01/32/34/340013234.db2.gz VJABGOXKBVBDTK-UHFFFAOYSA-N 1 2 321.421 1.069 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@@H]1C[N@H+](CCOCCC#N)CCO1 ZINC000496865911 340014935 /nfs/dbraw/zinc/01/49/35/340014935.db2.gz SCAXGKDEONSXDM-CYBMUJFWSA-N 1 2 313.398 1.142 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@@H]1C[N@@H+](CCOCCC#N)CCO1 ZINC000496865911 340014936 /nfs/dbraw/zinc/01/49/36/340014936.db2.gz SCAXGKDEONSXDM-CYBMUJFWSA-N 1 2 313.398 1.142 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnn(CCC(F)(F)F)c1 ZINC000516774362 340455418 /nfs/dbraw/zinc/45/54/18/340455418.db2.gz NZQQIRRQOXTYNY-GFCCVEGCSA-N 1 2 317.315 1.409 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@](C)(C[NH+]2CC(O)(CC#N)C2)C1 ZINC000192640833 340568202 /nfs/dbraw/zinc/56/82/02/340568202.db2.gz HVQMRXTVNLGYQU-HNNXBMFYSA-N 1 2 309.410 1.594 20 30 DDEDLO N#CCC1CCN(C(=O)NCC2([NH+]3CCOCC3)CC2)CC1 ZINC000565518178 341529752 /nfs/dbraw/zinc/52/97/52/341529752.db2.gz QYUSAGLGMLRITA-UHFFFAOYSA-N 1 2 306.410 1.186 20 30 DDEDLO C[C@@H]1CCN(C(=O)Nc2nn(C)cc2C#N)C[C@@H]1n1cc[nH+]c1 ZINC000610730877 483936890 /nfs/dbraw/zinc/93/68/90/483936890.db2.gz TXROQPVLGMLKQY-YPMHNXCESA-N 1 2 313.365 1.603 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[NH+]2CCC(CO)(OC)CC2)c1C ZINC000683677393 486076845 /nfs/dbraw/zinc/07/68/45/486076845.db2.gz UHIKLXFMXZKCEX-UHFFFAOYSA-N 1 2 320.433 1.947 20 30 DDEDLO COc1cc(F)ccc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329941438 534019167 /nfs/dbraw/zinc/01/91/67/534019167.db2.gz VCDRVXUSCYADFT-OCCSQVGLSA-N 1 2 309.341 1.395 20 30 DDEDLO COc1cc(F)ccc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329941438 534019178 /nfs/dbraw/zinc/01/91/78/534019178.db2.gz VCDRVXUSCYADFT-OCCSQVGLSA-N 1 2 309.341 1.395 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@H+](C)CCC#N)cc1OC ZINC000414121286 534234437 /nfs/dbraw/zinc/23/44/37/534234437.db2.gz GILCQYPIRKNGGQ-GFCCVEGCSA-N 1 2 322.361 1.067 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)cc1OC ZINC000414121286 534234441 /nfs/dbraw/zinc/23/44/41/534234441.db2.gz GILCQYPIRKNGGQ-GFCCVEGCSA-N 1 2 322.361 1.067 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)C[C@@H](O)C(F)(F)F ZINC000305778259 534297663 /nfs/dbraw/zinc/29/76/63/534297663.db2.gz QNQBRVKIKKEJII-BXKDBHETSA-N 1 2 315.295 1.740 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)C[C@@H](O)C(F)(F)F ZINC000305778259 534297665 /nfs/dbraw/zinc/29/76/65/534297665.db2.gz QNQBRVKIKKEJII-BXKDBHETSA-N 1 2 315.295 1.740 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)N[C@H](C)[C@@H]2CCOC2)CC1 ZINC000329618969 534422226 /nfs/dbraw/zinc/42/22/26/534422226.db2.gz FCTPUVRKOQGUBO-HUUCEWRRSA-N 1 2 318.421 1.851 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@H+]3CCSC[C@H]3CO)o2)c1 ZINC000330851897 534801536 /nfs/dbraw/zinc/80/15/36/534801536.db2.gz QHFAMYLJMMKLHF-CYBMUJFWSA-N 1 2 316.386 1.518 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@@H+]3CCSC[C@H]3CO)o2)c1 ZINC000330851897 534801540 /nfs/dbraw/zinc/80/15/40/534801540.db2.gz QHFAMYLJMMKLHF-CYBMUJFWSA-N 1 2 316.386 1.518 20 30 DDEDLO N#Cc1ccnc(NC[C@@H](c2ccccc2)[NH+]2CCOCC2)n1 ZINC000295847834 534824527 /nfs/dbraw/zinc/82/45/27/534824527.db2.gz AVIVFKXXEZLSKZ-INIZCTEOSA-N 1 2 309.373 1.834 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)N(c2ccccc2)C(C)C)C1 ZINC000330939698 526402706 /nfs/dbraw/zinc/40/27/06/526402706.db2.gz CYRLKGSPSIWLGP-AWEZNQCLSA-N 1 2 318.421 1.636 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)N(c2ccccc2)C(C)C)C1 ZINC000330939698 526402712 /nfs/dbraw/zinc/40/27/12/526402712.db2.gz CYRLKGSPSIWLGP-AWEZNQCLSA-N 1 2 318.421 1.636 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2cc(F)ccc2C)C1 ZINC000330964404 526402731 /nfs/dbraw/zinc/40/27/31/526402731.db2.gz ZMNQUGRCMMZUAZ-DGCLKSJQSA-N 1 2 322.384 1.669 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2cc(F)ccc2C)C1 ZINC000330964404 526402735 /nfs/dbraw/zinc/40/27/35/526402735.db2.gz ZMNQUGRCMMZUAZ-DGCLKSJQSA-N 1 2 322.384 1.669 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H]2CCCC[C@@H]2S(C)(=O)=O)C1=O ZINC000337176194 526503526 /nfs/dbraw/zinc/50/35/26/526503526.db2.gz IUVMLOAKKNVMPW-RDBSUJKOSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H]2CCCC[C@@H]2S(C)(=O)=O)C1=O ZINC000337176194 526503529 /nfs/dbraw/zinc/50/35/29/526503529.db2.gz IUVMLOAKKNVMPW-RDBSUJKOSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(Cc3cscn3)CC2)C1=O ZINC000337133899 526509957 /nfs/dbraw/zinc/50/99/57/526509957.db2.gz LFKWJNKVIBLVCL-AWEZNQCLSA-N 1 2 306.435 1.048 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H](NC([O-])=[NH+][C@H]1[C@@H]3COC[C@@H]31)C2 ZINC000329997153 526813501 /nfs/dbraw/zinc/81/35/01/526813501.db2.gz QAAOZUSCMBOBGO-UUIJZJDISA-N 1 2 318.421 1.644 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H]([NH+]=C([O-])N[C@H]1[C@@H]3COC[C@@H]31)C2 ZINC000329997153 526813506 /nfs/dbraw/zinc/81/35/06/526813506.db2.gz QAAOZUSCMBOBGO-UUIJZJDISA-N 1 2 318.421 1.644 20 30 DDEDLO C#CCN(C)c1cnc(-c2noc(Cc3ccc[nH+]c3C)n2)cn1 ZINC000491814200 526833846 /nfs/dbraw/zinc/83/38/46/526833846.db2.gz MLKAZENGQZGIEW-UHFFFAOYSA-N 1 2 320.356 1.890 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CONC(=O)[C@H](CC)CCCC)CC1 ZINC000490717220 526951576 /nfs/dbraw/zinc/95/15/76/526951576.db2.gz BQHFVUBYIALOGZ-OAHLLOKOSA-N 1 2 323.437 1.028 20 30 DDEDLO CC(C)(CC#N)CNC(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000459258760 526953325 /nfs/dbraw/zinc/95/33/25/526953325.db2.gz MFUFJGWWXZHOHQ-UHFFFAOYSA-N 1 2 304.398 1.177 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN([C@H](C)c2ccc(F)c(F)c2)CC1 ZINC000491165889 527003055 /nfs/dbraw/zinc/00/30/55/527003055.db2.gz NYPZFUSREBCEAG-CYBMUJFWSA-N 1 2 321.371 1.393 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2ccccc2Cl)CC1 ZINC000491126651 527003821 /nfs/dbraw/zinc/00/38/21/527003821.db2.gz QQYVDYIDGJUOGZ-UHFFFAOYSA-N 1 2 305.809 1.207 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(Cc2ccccc2Cl)CC1 ZINC000491126651 527003829 /nfs/dbraw/zinc/00/38/29/527003829.db2.gz QQYVDYIDGJUOGZ-UHFFFAOYSA-N 1 2 305.809 1.207 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN([C@H](C)c2ccc(F)cc2F)CC1 ZINC000491164693 527003856 /nfs/dbraw/zinc/00/38/56/527003856.db2.gz DRLLRNLGIAEEHN-CYBMUJFWSA-N 1 2 321.371 1.393 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000341861282 527065286 /nfs/dbraw/zinc/06/52/86/527065286.db2.gz WVDHBRISDXNGLI-CQSZACIVSA-N 1 2 314.389 1.856 20 30 DDEDLO C=CCCC[N@@H+](C)CC(=O)NCc1ccc(S(C)(=O)=O)cc1 ZINC000346976523 527192423 /nfs/dbraw/zinc/19/24/23/527192423.db2.gz AIBOJECJCJAWGI-UHFFFAOYSA-N 1 2 324.446 1.604 20 30 DDEDLO C=CCCC[N@H+](C)CC(=O)NCc1ccc(S(C)(=O)=O)cc1 ZINC000346976523 527192424 /nfs/dbraw/zinc/19/24/24/527192424.db2.gz AIBOJECJCJAWGI-UHFFFAOYSA-N 1 2 324.446 1.604 20 30 DDEDLO CC(=O)c1ccc(C#N)c(NC[C@](C)(O)C[NH+]2CCOCC2)c1 ZINC000302025487 527227094 /nfs/dbraw/zinc/22/70/94/527227094.db2.gz KMSXHBKZKVXBPY-KRWDZBQOSA-N 1 2 317.389 1.256 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1)C(C)(C)C ZINC000491771532 527271492 /nfs/dbraw/zinc/27/14/92/527271492.db2.gz OQLUQPQPYXYTNY-UONOGXRCSA-N 1 2 317.437 1.846 20 30 DDEDLO CC(=O)c1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)c([N+](=O)[O-])c1 ZINC000414145246 527327319 /nfs/dbraw/zinc/32/73/19/527327319.db2.gz PGNFICSNVLKRCG-ZDUSSCGKSA-N 1 2 321.333 1.383 20 30 DDEDLO CC(=O)c1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c([N+](=O)[O-])c1 ZINC000414145246 527327323 /nfs/dbraw/zinc/32/73/23/527327323.db2.gz PGNFICSNVLKRCG-ZDUSSCGKSA-N 1 2 321.333 1.383 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000491808811 527417780 /nfs/dbraw/zinc/41/77/80/527417780.db2.gz FQCLCYRMRNKBGJ-HNNXBMFYSA-N 1 2 314.389 1.094 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)NCc1ccccc1Cl ZINC000491703577 527427838 /nfs/dbraw/zinc/42/78/38/527427838.db2.gz XFQYPVUKEQEWBR-AWEZNQCLSA-N 1 2 306.793 1.680 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)NCc1ccccc1Cl ZINC000491703577 527427843 /nfs/dbraw/zinc/42/78/43/527427843.db2.gz XFQYPVUKEQEWBR-AWEZNQCLSA-N 1 2 306.793 1.680 20 30 DDEDLO CC(=O)NC1CN(C(=O)c2cccc(C[NH+]3CCOCC3)c2)C1 ZINC000329929028 527542086 /nfs/dbraw/zinc/54/20/86/527542086.db2.gz YLKSWDAZUAIPDQ-UHFFFAOYSA-N 1 2 317.389 1.320 20 30 DDEDLO CCN(CCC#N)C(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000343164711 528372472 /nfs/dbraw/zinc/37/24/72/528372472.db2.gz KUVOTYQEGNCKKO-UHFFFAOYSA-N 1 2 302.378 1.290 20 30 DDEDLO CCN(CCC#N)CC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000357902250 528373973 /nfs/dbraw/zinc/37/39/73/528373973.db2.gz DPYRGNTWFXUGCU-UHFFFAOYSA-N 1 2 320.462 1.628 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[NH2+][C@H](C)c2ccon2)CC1 ZINC000349240962 528899937 /nfs/dbraw/zinc/89/99/37/528899937.db2.gz MYGNRMGVUWDFAG-HIFRSBDPSA-N 1 2 319.409 1.018 20 30 DDEDLO CCN1CCN(C(=O)NCCOC(C)C)C[C@@H]1c1[nH]cc[nH+]1 ZINC000331030146 529107419 /nfs/dbraw/zinc/10/74/19/529107419.db2.gz FIUPXLVXDPWSKA-CYBMUJFWSA-N 1 2 309.414 1.427 20 30 DDEDLO CC[C@@H]1C(=O)N(CC)CC[N@H+]1CCOc1ccccc1C#N ZINC000495236169 529263980 /nfs/dbraw/zinc/26/39/80/529263980.db2.gz ABESLHFCTJHLFM-OAHLLOKOSA-N 1 2 301.390 1.880 20 30 DDEDLO CC[C@@H]1C(=O)N(CC)CC[N@@H+]1CCOc1ccccc1C#N ZINC000495236169 529263982 /nfs/dbraw/zinc/26/39/82/529263982.db2.gz ABESLHFCTJHLFM-OAHLLOKOSA-N 1 2 301.390 1.880 20 30 DDEDLO CCSc1nnc(SC[C@@H](O)C[N@H+](C)CCC#N)s1 ZINC000414104837 529265348 /nfs/dbraw/zinc/26/53/48/529265348.db2.gz ZDXAWUKXMTYWLC-VIFPVBQESA-N 1 2 318.493 1.949 20 30 DDEDLO CCSc1nnc(SC[C@@H](O)C[N@@H+](C)CCC#N)s1 ZINC000414104837 529265351 /nfs/dbraw/zinc/26/53/51/529265351.db2.gz ZDXAWUKXMTYWLC-VIFPVBQESA-N 1 2 318.493 1.949 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC([NH2+]CCCNC(=O)[O-])CC2)cc1 ZINC000833971229 606008103 /nfs/dbraw/zinc/00/81/03/606008103.db2.gz BEODFTRVMKTNDO-UHFFFAOYSA-N 1 2 316.405 1.770 20 30 DDEDLO Cc1nc(-c2nn[nH]n2)c(N=NC2C[N@H+](C3CC3)C[C@@H]2C)o1 ZINC000822422627 606703066 /nfs/dbraw/zinc/70/30/66/606703066.db2.gz AOBHVFREBBFQMJ-ZETCQYMHSA-N 1 2 302.342 1.045 20 30 DDEDLO Cc1nc(-c2nn[nH]n2)c(N=NC2C[N@@H+](C3CC3)C[C@@H]2C)o1 ZINC000822422627 606703067 /nfs/dbraw/zinc/70/30/67/606703067.db2.gz AOBHVFREBBFQMJ-ZETCQYMHSA-N 1 2 302.342 1.045 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2cccc(-c3nn[nH]n3)c2)cc1 ZINC000826188845 608151232 /nfs/dbraw/zinc/15/12/32/608151232.db2.gz IOMDZWNGAHAMLD-UHFFFAOYSA-N 1 2 324.344 1.712 20 30 DDEDLO CC[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)N[C@@](C)(C#N)C1CC1 ZINC000745088092 699971346 /nfs/dbraw/zinc/97/13/46/699971346.db2.gz TWPOJBCHSDDIKM-BBRMVZONSA-N 1 2 307.394 1.212 20 30 DDEDLO CC[N@H+]1CCCC[C@H]1C(=O)OCC(=O)N[C@@](C)(C#N)C1CC1 ZINC000745088092 699971347 /nfs/dbraw/zinc/97/13/47/699971347.db2.gz TWPOJBCHSDDIKM-BBRMVZONSA-N 1 2 307.394 1.212 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cscc3C)C2)C1 ZINC000972318252 695215123 /nfs/dbraw/zinc/21/51/23/695215123.db2.gz DNICOYXJDBNIQF-KRWDZBQOSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cscc3C)C2)C1 ZINC000972318252 695215124 /nfs/dbraw/zinc/21/51/24/695215124.db2.gz DNICOYXJDBNIQF-KRWDZBQOSA-N 1 2 318.442 1.997 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCCOCC3)C2)C1 ZINC000972362236 695229153 /nfs/dbraw/zinc/22/91/53/695229153.db2.gz NXPYKBJABHKDHV-RDJZCZTQSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCCOCC3)C2)C1 ZINC000972362236 695229155 /nfs/dbraw/zinc/22/91/55/695229155.db2.gz NXPYKBJABHKDHV-RDJZCZTQSA-N 1 2 308.422 1.292 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C3CCC3)C2)C1 ZINC000972431052 695249590 /nfs/dbraw/zinc/24/95/90/695249590.db2.gz MFDWAJRDYFKXMD-CRAIPNDOSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C3CCC3)C2)C1 ZINC000972431052 695249591 /nfs/dbraw/zinc/24/95/91/695249591.db2.gz MFDWAJRDYFKXMD-CRAIPNDOSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C4CC4)CCC3)C2)C1 ZINC000972483556 695260988 /nfs/dbraw/zinc/26/09/88/695260988.db2.gz IANSEGZUYLRASL-GOSISDBHSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C4CC4)CCC3)C2)C1 ZINC000972483556 695260989 /nfs/dbraw/zinc/26/09/89/695260989.db2.gz IANSEGZUYLRASL-GOSISDBHSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)c(C)c3)C2)C1 ZINC000972525105 695272697 /nfs/dbraw/zinc/27/26/97/695272697.db2.gz YQURXVWXVYUFPQ-GOSISDBHSA-N 1 2 316.376 1.684 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)c(C)c3)C2)C1 ZINC000972525105 695272698 /nfs/dbraw/zinc/27/26/98/695272698.db2.gz YQURXVWXVYUFPQ-GOSISDBHSA-N 1 2 316.376 1.684 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3Cl)C2)C1 ZINC000972647788 695308716 /nfs/dbraw/zinc/30/87/16/695308716.db2.gz UHYJPWKBILWMTL-INIZCTEOSA-N 1 2 321.808 1.838 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3Cl)C2)C1 ZINC000972647788 695308718 /nfs/dbraw/zinc/30/87/18/695308718.db2.gz UHYJPWKBILWMTL-INIZCTEOSA-N 1 2 321.808 1.838 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C(C)(C)C1 ZINC000975068251 695787764 /nfs/dbraw/zinc/78/77/64/695787764.db2.gz YDYDTTYLEWMPLL-LBPRGKRZSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C(C)(C)C1 ZINC000975068251 695787765 /nfs/dbraw/zinc/78/77/65/695787765.db2.gz YDYDTTYLEWMPLL-LBPRGKRZSA-N 1 2 324.812 1.306 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H](C(C)C)[NH+]2CCOCC2)c1 ZINC000798156598 700044771 /nfs/dbraw/zinc/04/47/71/700044771.db2.gz PWKACDORYIEABZ-INIZCTEOSA-N 1 2 301.390 1.150 20 30 DDEDLO COC[C@@H](C)ON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000748097102 700099143 /nfs/dbraw/zinc/09/91/43/700099143.db2.gz BWEJZHDRQSMZSG-CYBMUJFWSA-N 1 2 307.394 1.191 20 30 DDEDLO COCC(=O)N1CC[NH+](Cc2ccc(O[C@@H](C)C#N)cc2)CC1 ZINC000128682598 696780971 /nfs/dbraw/zinc/78/09/71/696780971.db2.gz YUFGILHXGVSYCU-AWEZNQCLSA-N 1 2 317.389 1.268 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000799077118 700114798 /nfs/dbraw/zinc/11/47/98/700114798.db2.gz ZLRAXEWGMVRFJJ-CVEARBPZSA-N 1 2 310.438 1.014 20 30 DDEDLO C#CCC[N@H+]1CCCN(C(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)CC1 ZINC000981644729 696855736 /nfs/dbraw/zinc/85/57/36/696855736.db2.gz UMHNHTFFBJHJJU-WMLDXEAASA-N 1 2 314.433 1.633 20 30 DDEDLO C#CCC[N@@H+]1CCCN(C(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)CC1 ZINC000981644729 696855740 /nfs/dbraw/zinc/85/57/40/696855740.db2.gz UMHNHTFFBJHJJU-WMLDXEAASA-N 1 2 314.433 1.633 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC000981668889 696867017 /nfs/dbraw/zinc/86/70/17/696867017.db2.gz GCLQLFXXUWFZBG-RYUDHWBXSA-N 1 2 317.393 1.523 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC000981668889 696867020 /nfs/dbraw/zinc/86/70/20/696867020.db2.gz GCLQLFXXUWFZBG-RYUDHWBXSA-N 1 2 317.393 1.523 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N2CCCCC2)CC1 ZINC000981750809 696902314 /nfs/dbraw/zinc/90/23/14/696902314.db2.gz KLUWXTJFTJGYQU-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N2CCCCC2)CC1 ZINC000981750809 696902316 /nfs/dbraw/zinc/90/23/16/696902316.db2.gz KLUWXTJFTJGYQU-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](Cc2ccc(C#N)cc2F)CC1 ZINC000980847376 696915815 /nfs/dbraw/zinc/91/58/15/696915815.db2.gz AAKULYKEDQOUPY-ZDUSSCGKSA-N 1 2 314.364 1.891 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)cc2F)CC1 ZINC000980847376 696915818 /nfs/dbraw/zinc/91/58/18/696915818.db2.gz AAKULYKEDQOUPY-ZDUSSCGKSA-N 1 2 314.364 1.891 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000980848165 696916351 /nfs/dbraw/zinc/91/63/51/696916351.db2.gz QUXAEFOOEDAYGB-GJZGRUSLSA-N 1 2 320.437 1.081 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000980848165 696916353 /nfs/dbraw/zinc/91/63/53/696916353.db2.gz QUXAEFOOEDAYGB-GJZGRUSLSA-N 1 2 320.437 1.081 20 30 DDEDLO CN(CC1CC1)C(=O)CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158173002 696987570 /nfs/dbraw/zinc/98/75/70/696987570.db2.gz NWRZLKPNKOKTQM-UHFFFAOYSA-N 1 2 303.362 1.127 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC000981093473 697002550 /nfs/dbraw/zinc/00/25/50/697002550.db2.gz AKDJOTNCQFBNEN-QGZVFWFLSA-N 1 2 315.417 1.609 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC000981093473 697002553 /nfs/dbraw/zinc/00/25/53/697002553.db2.gz AKDJOTNCQFBNEN-QGZVFWFLSA-N 1 2 315.417 1.609 20 30 DDEDLO N#CCN[C@@H]1CCC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC000981453533 697101203 /nfs/dbraw/zinc/10/12/03/697101203.db2.gz JPCYAXDNJSIKJE-KGLIPLIRSA-N 1 2 324.388 1.421 20 30 DDEDLO CCc1nnc(CO[NH+]=C(N)Cc2ccc(OC)c(OC)c2)o1 ZINC000160736406 697319755 /nfs/dbraw/zinc/31/97/55/697319755.db2.gz WRKLJTAOMIKYOJ-UHFFFAOYSA-N 1 2 320.349 1.681 20 30 DDEDLO C[N@H+](Cc1csnn1)C1CCN(C(=O)C#CC2CC2)CC1 ZINC000985430169 697509719 /nfs/dbraw/zinc/50/97/19/697509719.db2.gz YQTLBSFBRMCYKA-UHFFFAOYSA-N 1 2 304.419 1.374 20 30 DDEDLO C[N@@H+](Cc1csnn1)C1CCN(C(=O)C#CC2CC2)CC1 ZINC000985430169 697509722 /nfs/dbraw/zinc/50/97/22/697509722.db2.gz YQTLBSFBRMCYKA-UHFFFAOYSA-N 1 2 304.419 1.374 20 30 DDEDLO C#C[C@@H](NC(=O)NCCCCNc1cccc[nH+]1)[C@H]1CCCO1 ZINC000773566125 697782201 /nfs/dbraw/zinc/78/22/01/697782201.db2.gz YKUPTQFOURFEEE-HUUCEWRRSA-N 1 2 316.405 1.754 20 30 DDEDLO C#C[C@H]([NH2+][C@H](C(=O)NC1CC1)c1ccc(F)cc1)[C@@H]1CCCO1 ZINC000775791622 698034694 /nfs/dbraw/zinc/03/46/94/698034694.db2.gz NBQIPUWKEMJNPP-ULQDDVLXSA-N 1 2 316.376 1.916 20 30 DDEDLO CC[C@@]1(O)CC[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000778385930 698270410 /nfs/dbraw/zinc/27/04/10/698270410.db2.gz QOKBMKRURZLPPI-QGZVFWFLSA-N 1 2 312.373 1.267 20 30 DDEDLO CC[C@@]1(O)CC[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000778385930 698270414 /nfs/dbraw/zinc/27/04/14/698270414.db2.gz QOKBMKRURZLPPI-QGZVFWFLSA-N 1 2 312.373 1.267 20 30 DDEDLO C[C@H]1CCN(C(=O)NCc2ccc(C#N)cn2)C[C@@H]1n1cc[nH+]c1 ZINC000780642807 698541860 /nfs/dbraw/zinc/54/18/60/698541860.db2.gz BQZCLUVTSLKZBH-BBRMVZONSA-N 1 2 324.388 1.942 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cn2ccccc2=O)CC1 ZINC000989638240 698703679 /nfs/dbraw/zinc/70/36/79/698703679.db2.gz RDOQPULKCQWMKK-UHFFFAOYSA-N 1 2 309.797 1.135 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cn2ccccc2=O)CC1 ZINC000989638240 698703680 /nfs/dbraw/zinc/70/36/80/698703680.db2.gz RDOQPULKCQWMKK-UHFFFAOYSA-N 1 2 309.797 1.135 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN2CCC(C)(C#N)CC2)C1 ZINC000783993219 698900974 /nfs/dbraw/zinc/90/09/74/698900974.db2.gz OMNXWJPUGHTPOI-CYBMUJFWSA-N 1 2 301.394 1.319 20 30 DDEDLO C#CCC[N@@H+]1CC[C@]2(NC(=O)c3c[nH]cc4ncnc3-4)CCC[C@H]12 ZINC000990850512 699172869 /nfs/dbraw/zinc/17/28/69/699172869.db2.gz MMYKGIXXWWOFOJ-MAUKXSAKSA-N 1 2 323.400 1.708 20 30 DDEDLO C#CCC[N@H+]1CC[C@]2(NC(=O)c3c[nH]cc4ncnc3-4)CCC[C@H]12 ZINC000990850512 699172871 /nfs/dbraw/zinc/17/28/71/699172871.db2.gz MMYKGIXXWWOFOJ-MAUKXSAKSA-N 1 2 323.400 1.708 20 30 DDEDLO CC[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000712276384 699260906 /nfs/dbraw/zinc/26/09/06/699260906.db2.gz GAWNNKPSURFGKU-LLVKDONJSA-N 1 2 313.810 1.584 20 30 DDEDLO CC[N@H+]1CC[C@@H]1CNS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000712276384 699260909 /nfs/dbraw/zinc/26/09/09/699260909.db2.gz GAWNNKPSURFGKU-LLVKDONJSA-N 1 2 313.810 1.584 20 30 DDEDLO Cc1nn2c([nH]c3ccccc3c2=O)c1C=[NH+]N[C@@H]1CCOC1 ZINC000788449418 699315232 /nfs/dbraw/zinc/31/52/32/699315232.db2.gz ZCKPOKOAOWEPHF-LLVKDONJSA-N 1 2 311.345 1.197 20 30 DDEDLO C#CCn1ccc(CN(CCOC)c2nc(C)[nH+]c(C)c2C)n1 ZINC000788558338 699324452 /nfs/dbraw/zinc/32/44/52/699324452.db2.gz FVDYLJGJVUUXAL-UHFFFAOYSA-N 1 2 313.405 1.885 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(-n2nc(C)cc2C)nc1 ZINC000730276450 699505853 /nfs/dbraw/zinc/50/58/53/699505853.db2.gz MVIOHXOVXGYHLY-INIZCTEOSA-N 1 2 323.400 1.920 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(-n2nc(C)cc2C)nc1 ZINC000730276450 699505854 /nfs/dbraw/zinc/50/58/54/699505854.db2.gz MVIOHXOVXGYHLY-INIZCTEOSA-N 1 2 323.400 1.920 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1cccc(C#N)c1 ZINC000741147376 699818278 /nfs/dbraw/zinc/81/82/78/699818278.db2.gz BFWYSMFWFYBESY-OAHLLOKOSA-N 1 2 315.373 1.914 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1cccc(C#N)c1 ZINC000741147376 699818281 /nfs/dbraw/zinc/81/82/81/699818281.db2.gz BFWYSMFWFYBESY-OAHLLOKOSA-N 1 2 315.373 1.914 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CCC[C@H]3COCC[C@@H]32)c1=S ZINC000794632773 699820222 /nfs/dbraw/zinc/82/02/22/699820222.db2.gz RZRDUTLHTKIILT-RYUDHWBXSA-N 1 2 307.423 1.476 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CCC[C@H]3COCC[C@@H]32)c1=S ZINC000794632773 699820226 /nfs/dbraw/zinc/82/02/26/699820226.db2.gz RZRDUTLHTKIILT-RYUDHWBXSA-N 1 2 307.423 1.476 20 30 DDEDLO C=CC[N@@H+](CCC#N)CCCN1C(=O)CS/C1=C\C(=O)OC ZINC000794734167 699827676 /nfs/dbraw/zinc/82/76/76/699827676.db2.gz JPGFWYNTYOVTFS-KAMYIIQDSA-N 1 2 323.418 1.368 20 30 DDEDLO C=CC[N@H+](CCC#N)CCCN1C(=O)CS/C1=C\C(=O)OC ZINC000794734167 699827677 /nfs/dbraw/zinc/82/76/77/699827677.db2.gz JPGFWYNTYOVTFS-KAMYIIQDSA-N 1 2 323.418 1.368 20 30 DDEDLO N#CCCNC(=O)C[N@H+]1CC[C@H](Oc2ccccc2Cl)C1 ZINC000752104282 700344503 /nfs/dbraw/zinc/34/45/03/700344503.db2.gz USTCRLYIAMUNOI-LBPRGKRZSA-N 1 2 307.781 1.823 20 30 DDEDLO N#CCCNC(=O)C[N@@H+]1CC[C@H](Oc2ccccc2Cl)C1 ZINC000752104282 700344506 /nfs/dbraw/zinc/34/45/06/700344506.db2.gz USTCRLYIAMUNOI-LBPRGKRZSA-N 1 2 307.781 1.823 20 30 DDEDLO Cn1cc(Br)cc1C[N@H+](C)CC(=O)NCCC#N ZINC000758820640 700724476 /nfs/dbraw/zinc/72/44/76/700724476.db2.gz LKWRFKYWBDPKKH-UHFFFAOYSA-N 1 2 313.199 1.249 20 30 DDEDLO Cn1cc(Br)cc1C[N@@H+](C)CC(=O)NCCC#N ZINC000758820640 700724478 /nfs/dbraw/zinc/72/44/78/700724478.db2.gz LKWRFKYWBDPKKH-UHFFFAOYSA-N 1 2 313.199 1.249 20 30 DDEDLO Cn1cc(N[NH+]=Cc2ccc(N3CCOCC3)c(F)c2)cn1 ZINC000761860539 700871539 /nfs/dbraw/zinc/87/15/39/700871539.db2.gz LNKXBHNTCYBJQY-UHFFFAOYSA-N 1 2 303.341 1.842 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@](C)(O)c1ccccc1Cl ZINC000765760360 701026358 /nfs/dbraw/zinc/02/63/58/701026358.db2.gz CUZLJILJBQSEOK-RDJZCZTQSA-N 1 2 320.820 1.761 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@](C)(O)c1ccccc1Cl ZINC000765760360 701026360 /nfs/dbraw/zinc/02/63/60/701026360.db2.gz CUZLJILJBQSEOK-RDJZCZTQSA-N 1 2 320.820 1.761 20 30 DDEDLO CN(C)c1cc(COC(=O)C#Cc2ccc3c(c2)OCO3)cc[nH+]1 ZINC000767565097 701116706 /nfs/dbraw/zinc/11/67/06/701116706.db2.gz HYVDFVGAKAACJK-UHFFFAOYSA-N 1 2 324.336 1.971 20 30 DDEDLO C#CCOc1ccccc1C[N@H+](C)C[C@H]1CCS(=O)(=O)C1 ZINC000769468386 701246368 /nfs/dbraw/zinc/24/63/68/701246368.db2.gz HFIRGHBYLYRRAB-CQSZACIVSA-N 1 2 307.415 1.565 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+](C)C[C@H]1CCS(=O)(=O)C1 ZINC000769468386 701246369 /nfs/dbraw/zinc/24/63/69/701246369.db2.gz HFIRGHBYLYRRAB-CQSZACIVSA-N 1 2 307.415 1.565 20 30 DDEDLO N#Cc1ccc(CNC(=O)/C=C\C[NH+]2CCOCC2)cc1Cl ZINC000868107061 701943613 /nfs/dbraw/zinc/94/36/13/701943613.db2.gz OPGXRXXOGQVURP-UPHRSURJSA-N 1 2 319.792 1.716 20 30 DDEDLO CC(C)S(=O)(=O)NN=C1CC[N@H+](Cc2ccccc2)[C@H]1C ZINC000811639668 702019671 /nfs/dbraw/zinc/01/96/71/702019671.db2.gz DOUQEMDHDVUXCP-ZDUSSCGKSA-N 1 2 309.435 1.965 20 30 DDEDLO CC(C)S(=O)(=O)NN=C1CC[N@@H+](Cc2ccccc2)[C@H]1C ZINC000811639668 702019678 /nfs/dbraw/zinc/01/96/78/702019678.db2.gz DOUQEMDHDVUXCP-ZDUSSCGKSA-N 1 2 309.435 1.965 20 30 DDEDLO CC[C@H](O)CNN=Cc1ccc(C=[NH+]NC[C@@H](O)CC)cc1 ZINC000811653105 702021960 /nfs/dbraw/zinc/02/19/60/702021960.db2.gz GNARBQIYOCOFFB-HOTGVXAUSA-N 1 2 306.410 1.075 20 30 DDEDLO COc1cc(CNC(=O)/C=C\C[NH+]2CCOCC2)ccc1C#N ZINC000868441309 702129369 /nfs/dbraw/zinc/12/93/69/702129369.db2.gz UMYXVMVBFYZZMH-IHWYPQMZSA-N 1 2 315.373 1.071 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)c1cccc(C#N)c1O)C1(S(C)(=O)=O)CC1 ZINC000866357470 706680909 /nfs/dbraw/zinc/68/09/09/706680909.db2.gz HVVLYFMZXFXNRG-WDEREUQCSA-N 1 2 308.403 1.880 20 30 DDEDLO C=CCOCCON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000842024666 702658112 /nfs/dbraw/zinc/65/81/12/702658112.db2.gz PUPIMXRVQLRIDL-UHFFFAOYSA-N 1 2 319.405 1.358 20 30 DDEDLO CC(=[NH+]N(C)[C@H](C)CO)c1c(F)cccc1N1CCOCC1 ZINC000842541314 702733173 /nfs/dbraw/zinc/73/31/73/702733173.db2.gz QNTUEGWBMAHJKT-GFCCVEGCSA-N 1 2 309.385 1.699 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)[C@H]2CCc3c[nH+]cn3C2)c1 ZINC000844130440 702975795 /nfs/dbraw/zinc/97/57/95/702975795.db2.gz FDFPQWRWUDQKKE-AWEZNQCLSA-N 1 2 322.368 1.182 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCC(c2nc(C3CCC3)no2)CC1 ZINC000879548933 706715431 /nfs/dbraw/zinc/71/54/31/706715431.db2.gz KGYFQVBOBRELFX-UHFFFAOYSA-N 1 2 302.378 1.266 20 30 DDEDLO C[C@@H](CC(=O)[C@H](C#N)C(=O)NC1CCCCC1)n1cc[nH+]c1 ZINC000845441732 703157485 /nfs/dbraw/zinc/15/74/85/703157485.db2.gz SHFHDRFPEKLNOS-JSGCOSHPSA-N 1 2 302.378 1.992 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(O[C@H](C)C#N)cc2)C[C@H](C)O1 ZINC000846060072 703231631 /nfs/dbraw/zinc/23/16/31/703231631.db2.gz UDHXVLSYPLIMEY-WWGRRREGSA-N 1 2 318.373 1.740 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(O[C@H](C)C#N)cc2)C[C@H](C)O1 ZINC000846060072 703231633 /nfs/dbraw/zinc/23/16/33/703231633.db2.gz UDHXVLSYPLIMEY-WWGRRREGSA-N 1 2 318.373 1.740 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+](Cc2cccnc2N)CC1 ZINC000879590425 706730194 /nfs/dbraw/zinc/73/01/94/706730194.db2.gz CFJJUJIZRCHWEX-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000846339924 703267108 /nfs/dbraw/zinc/26/71/08/703267108.db2.gz FNEDDWXJANHYMI-CVEARBPZSA-N 1 2 324.384 1.509 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+]([C@@H](C)C(=O)N(C(C)C)C(C)C)CC1 ZINC000831687620 706753693 /nfs/dbraw/zinc/75/36/93/706753693.db2.gz UDEWVKAFAIWXTA-AWEZNQCLSA-N 1 2 323.437 1.799 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)CCc3[nH]cc[nH+]3)CC2)cc1 ZINC000870094858 703914974 /nfs/dbraw/zinc/91/49/74/703914974.db2.gz ALWIVYDSAUBMFX-UHFFFAOYSA-N 1 2 309.373 1.563 20 30 DDEDLO O=C(C[C@@H](c1[nH]cc[nH+]1)c1ccccc1)N[C@H]1CCN(O)C1=O ZINC000820144891 704229752 /nfs/dbraw/zinc/22/97/52/704229752.db2.gz ZVZSTRNPICUPTQ-OLZOCXBDSA-N 1 2 314.345 1.038 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCC2(C#N)CCCCC2)CCO1 ZINC000853604884 704284226 /nfs/dbraw/zinc/28/42/26/704284226.db2.gz IXHMJYKJQIHKGM-AWEZNQCLSA-N 1 2 308.426 1.480 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCC2(C#N)CCCCC2)CCO1 ZINC000853604884 704284227 /nfs/dbraw/zinc/28/42/27/704284227.db2.gz IXHMJYKJQIHKGM-AWEZNQCLSA-N 1 2 308.426 1.480 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC000879938612 706826265 /nfs/dbraw/zinc/82/62/65/706826265.db2.gz DUISAJNDPBDTET-LSDHHAIUSA-N 1 2 320.414 1.433 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC000879938612 706826266 /nfs/dbraw/zinc/82/62/66/706826266.db2.gz DUISAJNDPBDTET-LSDHHAIUSA-N 1 2 320.414 1.433 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000822680101 705005107 /nfs/dbraw/zinc/00/51/07/705005107.db2.gz PDYQKVHTVCEXQB-DOTOQJQBSA-N 1 2 316.401 1.160 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000822680101 705005113 /nfs/dbraw/zinc/00/51/13/705005113.db2.gz PDYQKVHTVCEXQB-DOTOQJQBSA-N 1 2 316.401 1.160 20 30 DDEDLO COc1ccc(CNC(=O)N[C@@H]2Cc3c[nH+]cn3C2)cc1C#N ZINC000875404887 705376974 /nfs/dbraw/zinc/37/69/74/705376974.db2.gz JOSHWUOPNXBEDP-CYBMUJFWSA-N 1 2 311.345 1.187 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cccc3c2OCO3)CC1 ZINC000824861559 705544287 /nfs/dbraw/zinc/54/42/87/705544287.db2.gz NCKBEOFLZRHMHX-UHFFFAOYSA-N 1 2 302.330 1.694 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2coc(-c3cccnc3)n2)CC1 ZINC000825077764 705595637 /nfs/dbraw/zinc/59/56/37/705595637.db2.gz HULMPEQSKWIXRZ-UHFFFAOYSA-N 1 2 310.357 1.518 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)cn1 ZINC000825099771 705600290 /nfs/dbraw/zinc/60/02/90/705600290.db2.gz GXCKOOHZNRNJQP-UHFFFAOYSA-N 1 2 320.396 1.458 20 30 DDEDLO Cc1ccc(C[N@H+](CCC#N)CCN2CCOCC2)c(O)c1 ZINC000876510405 705740158 /nfs/dbraw/zinc/74/01/58/705740158.db2.gz MZMLROQSTALLAA-UHFFFAOYSA-N 1 2 303.406 1.749 20 30 DDEDLO Cc1ccc(C[N@@H+](CCC#N)CCN2CCOCC2)c(O)c1 ZINC000876510405 705740159 /nfs/dbraw/zinc/74/01/59/705740159.db2.gz MZMLROQSTALLAA-UHFFFAOYSA-N 1 2 303.406 1.749 20 30 DDEDLO CC(=O)NC[C@@H]1CCC[N@H+](Cc2cc(C#N)cnc2Cl)C1 ZINC000876512279 705741454 /nfs/dbraw/zinc/74/14/54/705741454.db2.gz KNGKFEDABNQNFF-LBPRGKRZSA-N 1 2 306.797 1.955 20 30 DDEDLO CC(=O)NC[C@@H]1CCC[N@@H+](Cc2cc(C#N)cnc2Cl)C1 ZINC000876512279 705741456 /nfs/dbraw/zinc/74/14/56/705741456.db2.gz KNGKFEDABNQNFF-LBPRGKRZSA-N 1 2 306.797 1.955 20 30 DDEDLO C#CCO[C@H](C)C(=O)Nc1cc(C[NH+]2CCOCC2)ccc1C ZINC000825970529 705753086 /nfs/dbraw/zinc/75/30/86/705753086.db2.gz ZLLNOMNTAJUWLM-OAHLLOKOSA-N 1 2 316.401 1.804 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+]1CC[C@H](CS(C)(=O)=O)C1 ZINC000877547246 706138573 /nfs/dbraw/zinc/13/85/73/706138573.db2.gz RARBUSCDLWZGCE-AWEZNQCLSA-N 1 2 321.446 1.491 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+]1CC[C@H](CS(C)(=O)=O)C1 ZINC000877547246 706138576 /nfs/dbraw/zinc/13/85/76/706138576.db2.gz RARBUSCDLWZGCE-AWEZNQCLSA-N 1 2 321.446 1.491 20 30 DDEDLO C=C(C)C[C@H]([NH2+]C1CC(n2cc([N+](=O)[O-])cn2)C1)C(=O)OC ZINC000877689365 706179450 /nfs/dbraw/zinc/17/94/50/706179450.db2.gz FOADBGJEOYTJBN-XIVSLSHWSA-N 1 2 308.338 1.592 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])c(NC[C@@H]2C[NH+]3CCN2CC3)cc1F ZINC000865629633 706482122 /nfs/dbraw/zinc/48/21/22/706482122.db2.gz LJZDFOUIQXNBGX-LLVKDONJSA-N 1 2 305.313 1.017 20 30 DDEDLO C[C@@H]1C[N@@H+](C[C@H](O)c2c(F)cccc2F)C[C@H](C)N1CC#N ZINC000878776386 706496370 /nfs/dbraw/zinc/49/63/70/706496370.db2.gz VNFZMUVGMRVZLI-ZOWXZIJZSA-N 1 2 309.360 1.916 20 30 DDEDLO C[C@@H]1C[N@H+](C[C@H](O)c2c(F)cccc2F)C[C@H](C)N1CC#N ZINC000878776386 706496372 /nfs/dbraw/zinc/49/63/72/706496372.db2.gz VNFZMUVGMRVZLI-ZOWXZIJZSA-N 1 2 309.360 1.916 20 30 DDEDLO C#CC[N@@H+](C[C@H](O)COc1cccc(C(C)=O)c1)C1CSC1 ZINC000878913138 706531170 /nfs/dbraw/zinc/53/11/70/706531170.db2.gz CARGOBRAYAOXLR-INIZCTEOSA-N 1 2 319.426 1.680 20 30 DDEDLO C#CC[N@H+](C[C@H](O)COc1cccc(C(C)=O)c1)C1CSC1 ZINC000878913138 706531173 /nfs/dbraw/zinc/53/11/73/706531173.db2.gz CARGOBRAYAOXLR-INIZCTEOSA-N 1 2 319.426 1.680 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2nc3ccc(OC)nc3[nH]2)CC1 ZINC000880481582 706988257 /nfs/dbraw/zinc/98/82/57/706988257.db2.gz WWISEOHSPZGDFN-UHFFFAOYSA-N 1 2 300.362 1.317 20 30 DDEDLO C=CCCN(OCc1ccccc1)C(=O)[C@H](O)c1c[nH+]c[nH]1 ZINC000867665701 707067719 /nfs/dbraw/zinc/06/77/19/707067719.db2.gz AKGJXGHDEDFSGV-OAHLLOKOSA-N 1 2 301.346 1.980 20 30 DDEDLO NC(=[NH+]O[C@H]1CCN(C2CCC2)C1=O)c1ccc2c(c1)CCO2 ZINC000871737960 707182488 /nfs/dbraw/zinc/18/24/88/707182488.db2.gz QDHBLEZYEBNKEG-HNNXBMFYSA-N 1 2 315.373 1.412 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ncccc2Br)nn1 ZINC000881364691 707215432 /nfs/dbraw/zinc/21/54/32/707215432.db2.gz MPPODAMTCBSLGI-UHFFFAOYSA-N 1 2 320.194 1.597 20 30 DDEDLO Cc1cc([N-][NH+]=Cc2ccc(N3CCOCC3)cc2)nc(N)[nH+]1 ZINC000872419439 707404033 /nfs/dbraw/zinc/40/40/33/707404033.db2.gz IIUSBCHVTDSRAU-UHFFFAOYSA-N 1 2 312.377 1.650 20 30 DDEDLO C#CCOc1ccc(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)cc1 ZINC000836868829 707535582 /nfs/dbraw/zinc/53/55/82/707535582.db2.gz OZNUTPJMJLRTLO-HNNXBMFYSA-N 1 2 309.369 1.774 20 30 DDEDLO C#Cc1cccc(CNC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)c1 ZINC000837107430 707579393 /nfs/dbraw/zinc/57/93/93/707579393.db2.gz NIBYWWARLGRJIO-GOSISDBHSA-N 1 2 312.413 1.928 20 30 DDEDLO C#Cc1cccc(CNC(=O)[C@H]2COCC[N@H+]2C2CCCC2)c1 ZINC000837107430 707579396 /nfs/dbraw/zinc/57/93/96/707579396.db2.gz NIBYWWARLGRJIO-GOSISDBHSA-N 1 2 312.413 1.928 20 30 DDEDLO CC(C)(C)C#CC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000837404263 707638570 /nfs/dbraw/zinc/63/85/70/707638570.db2.gz GFWREXLRNLYMJB-UHFFFAOYSA-N 1 2 304.394 1.203 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@@H]2C)CC1 ZINC000873296707 707802037 /nfs/dbraw/zinc/80/20/37/707802037.db2.gz LPQHYEAJXUITGS-QAETUUGQSA-N 1 2 319.449 1.683 20 30 DDEDLO C[C@H](C#N)OCCOC(=O)c1ccc(N)c(-n2cc[nH+]c2)c1 ZINC000838098023 707840108 /nfs/dbraw/zinc/84/01/08/707840108.db2.gz SWMIVHQZDHBFKW-LLVKDONJSA-N 1 2 300.318 1.540 20 30 DDEDLO C=CCN(C(=O)C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1)C(C)C ZINC000839247980 708038901 /nfs/dbraw/zinc/03/89/01/708038901.db2.gz UPOYZCHQSILSDF-OAHLLOKOSA-N 1 2 317.389 1.484 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)c1cccc(OC)c1 ZINC000884068188 708125038 /nfs/dbraw/zinc/12/50/38/708125038.db2.gz HCIXQCKQLKSQGN-FZMZJTMJSA-N 1 2 306.362 1.319 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCc2c1cccc2Cl ZINC000884100943 708139321 /nfs/dbraw/zinc/13/93/21/708139321.db2.gz JXKHAFIQKBDZJD-UONOGXRCSA-N 1 2 322.792 1.890 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(OC)ccc1OCC ZINC000884124130 708149639 /nfs/dbraw/zinc/14/96/39/708149639.db2.gz OFNMPSBCBWQOJW-LBPRGKRZSA-N 1 2 322.361 1.479 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)c1ccc(C)cc1 ZINC000884146778 708160132 /nfs/dbraw/zinc/16/01/32/708160132.db2.gz RCVHMBKXVBBPCI-ZFWWWQNUSA-N 1 2 304.390 1.661 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C1CCCC1)C(F)(F)F ZINC000884171045 708170737 /nfs/dbraw/zinc/17/07/37/708170737.db2.gz LSVDOBJTPVENOD-JQWIXIFHSA-N 1 2 322.327 1.670 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@H](OC)C1(CC)CC ZINC000884173575 708172137 /nfs/dbraw/zinc/17/21/37/708172137.db2.gz ZKVBUNRCHQLKRB-XQQFMLRXSA-N 1 2 312.410 1.143 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H](OCC[NH+]3CCOCC3)C2)CC1 ZINC000896978809 708197401 /nfs/dbraw/zinc/19/74/01/708197401.db2.gz JWKMVVPREXHQMW-QGZVFWFLSA-N 1 2 322.449 1.683 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000885511396 708562860 /nfs/dbraw/zinc/56/28/60/708562860.db2.gz HPFHIJMRSZJCOX-HNNXBMFYSA-N 1 2 320.414 1.093 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000885511396 708562863 /nfs/dbraw/zinc/56/28/63/708562863.db2.gz HPFHIJMRSZJCOX-HNNXBMFYSA-N 1 2 320.414 1.093 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NCc2csc(N(CC)C(C)=O)n2)C1 ZINC000886212987 708716291 /nfs/dbraw/zinc/71/62/91/708716291.db2.gz JERXVQOFWLQNIK-CQSZACIVSA-N 1 2 320.462 1.703 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NCc2csc(N(CC)C(C)=O)n2)C1 ZINC000886212987 708716292 /nfs/dbraw/zinc/71/62/92/708716292.db2.gz JERXVQOFWLQNIK-CQSZACIVSA-N 1 2 320.462 1.703 20 30 DDEDLO C#C[C@H](NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1)C1CCOCC1 ZINC000898977194 708942324 /nfs/dbraw/zinc/94/23/24/708942324.db2.gz HFQVFKBEPOWMTM-BBRMVZONSA-N 1 2 316.405 1.335 20 30 DDEDLO C#C[C@H](NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1)C1CCOCC1 ZINC000898977194 708942326 /nfs/dbraw/zinc/94/23/26/708942326.db2.gz HFQVFKBEPOWMTM-BBRMVZONSA-N 1 2 316.405 1.335 20 30 DDEDLO C=CCC1(O)CC[NH+]([C@H]2CC(=O)N(CC(F)(F)F)C2=O)CC1 ZINC000887306701 709013163 /nfs/dbraw/zinc/01/31/63/709013163.db2.gz ZJWRZZFWLBIEDB-JTQLQIEISA-N 1 2 320.311 1.079 20 30 DDEDLO CC(C)(CNC(=O)Nc1cc(C#N)ccc1F)[NH+]1CCOCC1 ZINC000888352086 709285970 /nfs/dbraw/zinc/28/59/70/709285970.db2.gz HCLJKYJJBXRUAA-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1c2ccccc2OC[C@H]1F ZINC000928068083 713115331 /nfs/dbraw/zinc/11/53/31/713115331.db2.gz LLCHMLHDPRGCQN-IJEWVQPXSA-N 1 2 302.349 1.672 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1c2ccccc2OC[C@H]1F ZINC000928068083 713115332 /nfs/dbraw/zinc/11/53/32/713115332.db2.gz LLCHMLHDPRGCQN-IJEWVQPXSA-N 1 2 302.349 1.672 20 30 DDEDLO CC[C@@H](C(=O)NCC#C[C@@H]1CCCCO1)[N@@H+]1CCO[C@H](CC)C1 ZINC000891117901 710098523 /nfs/dbraw/zinc/09/85/23/710098523.db2.gz ZHKFKPCIFPIRNP-IKGGRYGDSA-N 1 2 322.449 1.565 20 30 DDEDLO CC[C@@H](C(=O)NCC#C[C@@H]1CCCCO1)[N@H+]1CCO[C@H](CC)C1 ZINC000891117901 710098528 /nfs/dbraw/zinc/09/85/28/710098528.db2.gz ZHKFKPCIFPIRNP-IKGGRYGDSA-N 1 2 322.449 1.565 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(Cl)c2)C1 ZINC000891605757 710246512 /nfs/dbraw/zinc/24/65/12/710246512.db2.gz HNOXOSIDXLRZOT-AWEZNQCLSA-N 1 2 319.792 1.492 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(Cl)c2)C1 ZINC000891605757 710246515 /nfs/dbraw/zinc/24/65/15/710246515.db2.gz HNOXOSIDXLRZOT-AWEZNQCLSA-N 1 2 319.792 1.492 20 30 DDEDLO Cc1cc(C#N)nc(N2CC[NH+]([C@@H](C)C(=O)NC3CC3)CC2)c1 ZINC000891653168 710258079 /nfs/dbraw/zinc/25/80/79/710258079.db2.gz PSRIXQDLUOVPEA-ZDUSSCGKSA-N 1 2 313.405 1.051 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[NH2+][C@@H](c2cnn(C)c2)C1 ZINC000913461241 713226311 /nfs/dbraw/zinc/22/63/11/713226311.db2.gz VPEXJXOKWZTJIL-QGZVFWFLSA-N 1 2 308.385 1.117 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)/C=C\c3ccccc3C#N)CC[NH2+]2)cn1 ZINC000913472778 713231169 /nfs/dbraw/zinc/23/11/69/713231169.db2.gz GZFNINVKVHRAIN-JTGQJZMRSA-N 1 2 321.384 1.478 20 30 DDEDLO C=C(C[NH+](C)C)C(=O)N=[S@@](C)(=O)c1ccc(N(C)C)cc1 ZINC000913502938 713239993 /nfs/dbraw/zinc/23/99/93/713239993.db2.gz PHZXTVDDLUFASF-NRFANRHFSA-N 1 2 309.435 1.854 20 30 DDEDLO C=CCNC(=O)Nc1ccc(NC(=O)C[C@@H]([NH3+])C(F)F)cc1 ZINC000912207007 711221129 /nfs/dbraw/zinc/22/11/29/711221129.db2.gz HGYXRKOUQSZXSJ-LLVKDONJSA-N 1 2 312.320 1.915 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)CNc1cccc[nH+]1 ZINC000912400763 711312579 /nfs/dbraw/zinc/31/25/79/711312579.db2.gz NWPWMTQDBKUSOJ-UHFFFAOYSA-N 1 2 303.362 1.297 20 30 DDEDLO CN(CCC#N)C[C@H](O)C[NH2+][C@H]1c2ccccc2OC[C@@H]1F ZINC000905540183 712070573 /nfs/dbraw/zinc/07/05/73/712070573.db2.gz XLTKENRPOSCEJL-INWMFGNUSA-N 1 2 307.369 1.254 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CN[C@H]1c2ccccc2OC[C@@H]1F ZINC000905540183 712070575 /nfs/dbraw/zinc/07/05/75/712070575.db2.gz XLTKENRPOSCEJL-INWMFGNUSA-N 1 2 307.369 1.254 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CN[C@H]1c2ccccc2OC[C@@H]1F ZINC000905540183 712070577 /nfs/dbraw/zinc/07/05/77/712070577.db2.gz XLTKENRPOSCEJL-INWMFGNUSA-N 1 2 307.369 1.254 20 30 DDEDLO C=CC[C@@H](Nc1ccc(N2CCOCC2)[nH+]c1C)C(=O)OC ZINC000905766086 712142204 /nfs/dbraw/zinc/14/22/04/712142204.db2.gz HFUXSMKEIBDGEL-CQSZACIVSA-N 1 2 305.378 1.756 20 30 DDEDLO C[N@@H+]1CC[C@H]1CNS(=O)(=O)c1c(C#N)sc2ccccc21 ZINC000907417880 712566384 /nfs/dbraw/zinc/56/63/84/712566384.db2.gz QJFPGZKTCQOFDE-JTQLQIEISA-N 1 2 321.427 1.755 20 30 DDEDLO C[N@H+]1CC[C@H]1CNS(=O)(=O)c1c(C#N)sc2ccccc21 ZINC000907417880 712566385 /nfs/dbraw/zinc/56/63/85/712566385.db2.gz QJFPGZKTCQOFDE-JTQLQIEISA-N 1 2 321.427 1.755 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)NCC#Cc1ccccc1 ZINC000913984145 713328241 /nfs/dbraw/zinc/32/82/41/713328241.db2.gz JUTWPNVZXZOTSU-QGZVFWFLSA-N 1 2 315.417 1.448 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCN(C(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC000928722020 713471616 /nfs/dbraw/zinc/47/16/16/713471616.db2.gz ZJWOLVSLCJATHK-CQSZACIVSA-N 1 2 324.388 1.712 20 30 DDEDLO C#CCSCCNC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000919874675 713639828 /nfs/dbraw/zinc/63/98/28/713639828.db2.gz CKSITZDHAZOWBJ-UHFFFAOYSA-N 1 2 312.464 1.370 20 30 DDEDLO CS(=O)(=O)N[C@H]1CC[N@H+](Cc2cc(Cl)cc(C#N)c2)C1 ZINC000929664577 713664141 /nfs/dbraw/zinc/66/41/41/713664141.db2.gz FEQDGTCXGUYLGC-ZDUSSCGKSA-N 1 2 313.810 1.335 20 30 DDEDLO CS(=O)(=O)N[C@H]1CC[N@@H+](Cc2cc(Cl)cc(C#N)c2)C1 ZINC000929664577 713664143 /nfs/dbraw/zinc/66/41/43/713664143.db2.gz FEQDGTCXGUYLGC-ZDUSSCGKSA-N 1 2 313.810 1.335 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](C[C@@H](O)C3(O)CCC3)CCO2)c1 ZINC000930135122 713764230 /nfs/dbraw/zinc/76/42/30/713764230.db2.gz LBRRBHZUFWFTLH-HZPDHXFCSA-N 1 2 302.374 1.207 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](C[C@@H](O)C3(O)CCC3)CCO2)c1 ZINC000930135122 713764233 /nfs/dbraw/zinc/76/42/33/713764233.db2.gz LBRRBHZUFWFTLH-HZPDHXFCSA-N 1 2 302.374 1.207 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@@H]2C[C@@H]3COC[C@H]3O2)C1 ZINC000930924074 713961064 /nfs/dbraw/zinc/96/10/64/713961064.db2.gz SUYWCUSDASHMDJ-KYHPRHEASA-N 1 2 313.401 1.743 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@@H]2C[C@@H]3COC[C@H]3O2)C1 ZINC000930924074 713961065 /nfs/dbraw/zinc/96/10/65/713961065.db2.gz SUYWCUSDASHMDJ-KYHPRHEASA-N 1 2 313.401 1.743 20 30 DDEDLO NS(=O)(=O)C[C@@H]1CCCC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC000931230063 714043128 /nfs/dbraw/zinc/04/31/28/714043128.db2.gz SIXKMXPAFZDWMQ-HNNXBMFYSA-N 1 2 310.394 1.320 20 30 DDEDLO NS(=O)(=O)C[C@@H]1CCCC[N@H+]1CC#Cc1ccc(F)cc1 ZINC000931230063 714043129 /nfs/dbraw/zinc/04/31/29/714043129.db2.gz SIXKMXPAFZDWMQ-HNNXBMFYSA-N 1 2 310.394 1.320 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cccc(CC#N)c1 ZINC000931688584 714161454 /nfs/dbraw/zinc/16/14/54/714161454.db2.gz NBQRUHNGUBLKJN-OAHLLOKOSA-N 1 2 316.405 1.985 20 30 DDEDLO C=CC1(CC(=O)N2CCC[N@@H+](CC(N)=O)CC2)CCCCC1 ZINC000931930576 714214854 /nfs/dbraw/zinc/21/48/54/714214854.db2.gz WKMWGJSCLGOKMW-UHFFFAOYSA-N 1 2 307.438 1.533 20 30 DDEDLO C=CC1(CC(=O)N2CCC[N@H+](CC(N)=O)CC2)CCCCC1 ZINC000931930576 714214857 /nfs/dbraw/zinc/21/48/57/714214857.db2.gz WKMWGJSCLGOKMW-UHFFFAOYSA-N 1 2 307.438 1.533 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(F)cc(C#N)c1 ZINC000932105203 714259956 /nfs/dbraw/zinc/25/99/56/714259956.db2.gz QWWKQPPAWYACCB-CQSZACIVSA-N 1 2 320.368 1.930 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1cc(-n2cnnn2)ccc1F)C(C)C ZINC000932388755 714321524 /nfs/dbraw/zinc/32/15/24/714321524.db2.gz VCMHZTPMGNHUBX-UHFFFAOYSA-N 1 2 316.340 1.084 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1cc(-n2cnnn2)ccc1F)C(C)C ZINC000932388755 714321528 /nfs/dbraw/zinc/32/15/28/714321528.db2.gz VCMHZTPMGNHUBX-UHFFFAOYSA-N 1 2 316.340 1.084 20 30 DDEDLO C#CC[N@@H+](Cc1nc2cc(C(=O)OC)ccc2c(=O)[nH]1)C(C)C ZINC000932389999 714321912 /nfs/dbraw/zinc/32/19/12/714321912.db2.gz GXGJGEDXJXYWGJ-UHFFFAOYSA-N 1 2 313.357 1.966 20 30 DDEDLO C#CC[N@H+](Cc1nc2cc(C(=O)OC)ccc2c(=O)[nH]1)C(C)C ZINC000932389999 714321914 /nfs/dbraw/zinc/32/19/14/714321914.db2.gz GXGJGEDXJXYWGJ-UHFFFAOYSA-N 1 2 313.357 1.966 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(CCC#N)cc1 ZINC000932581993 714362666 /nfs/dbraw/zinc/36/26/66/714362666.db2.gz WAVQSRQWCLTCJK-AWEZNQCLSA-N 1 2 316.405 1.985 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932891092 714431599 /nfs/dbraw/zinc/43/15/99/714431599.db2.gz RMOHGNDJVKGVLF-MNOVXSKESA-N 1 2 322.331 1.241 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932891092 714431600 /nfs/dbraw/zinc/43/16/00/714431600.db2.gz RMOHGNDJVKGVLF-MNOVXSKESA-N 1 2 322.331 1.241 20 30 DDEDLO C#CCN(CC#CC)C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000924771676 714660778 /nfs/dbraw/zinc/66/07/78/714660778.db2.gz BMHIOZTXQKMZKO-UHFFFAOYSA-N 1 2 304.419 1.373 20 30 DDEDLO CCOC(=O)CCCNC(=O)C[N@H+](C)Cc1cc(C#N)cs1 ZINC000933780612 714670224 /nfs/dbraw/zinc/67/02/24/714670224.db2.gz RZIPKDZAUCBTNS-UHFFFAOYSA-N 1 2 323.418 1.511 20 30 DDEDLO CCOC(=O)CCCNC(=O)C[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933780612 714670226 /nfs/dbraw/zinc/67/02/26/714670226.db2.gz RZIPKDZAUCBTNS-UHFFFAOYSA-N 1 2 323.418 1.511 20 30 DDEDLO C#Cc1ccc(NC(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)cc1 ZINC000934933538 714932553 /nfs/dbraw/zinc/93/25/53/714932553.db2.gz FRLQFYLJSCVYPQ-KGLIPLIRSA-N 1 2 301.390 1.899 20 30 DDEDLO CC[C@@H]([NH2+]CCS(=O)(=O)N(C)C)c1cccc(C#N)c1O ZINC000926457690 715069959 /nfs/dbraw/zinc/06/99/59/715069959.db2.gz IAZJOZKOLDTCIH-CYBMUJFWSA-N 1 2 311.407 1.196 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(N(C)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000954932166 715550555 /nfs/dbraw/zinc/55/05/55/715550555.db2.gz MTJQVIQASBBKIF-KRWDZBQOSA-N 1 2 318.421 1.532 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cccc(COC)c2)CC1 ZINC000957299611 715823075 /nfs/dbraw/zinc/82/30/75/715823075.db2.gz JPCYZRCDRZNYPV-UHFFFAOYSA-N 1 2 300.402 1.543 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc(OCC)cn2)C1 ZINC000957465836 715912581 /nfs/dbraw/zinc/91/25/81/715912581.db2.gz DDPXUXCDEVRPBW-UHFFFAOYSA-N 1 2 304.394 1.598 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)COc2ccc(C)cc2OC)CC1 ZINC000957569637 715955371 /nfs/dbraw/zinc/95/53/71/715955371.db2.gz BWGUCGUFZYCPFP-UHFFFAOYSA-N 1 2 304.390 1.713 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC000938779966 715999759 /nfs/dbraw/zinc/99/97/59/715999759.db2.gz MBPKKYKQCXJFFW-CQSZACIVSA-N 1 2 316.405 1.299 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(=O)CCC)C2)CC1 ZINC000957758273 716031554 /nfs/dbraw/zinc/03/15/54/716031554.db2.gz UEPRDHZADBKGPU-OAHLLOKOSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3cnsn3)C2)C1 ZINC000939101699 716134274 /nfs/dbraw/zinc/13/42/74/716134274.db2.gz AJGOPSLQNPRREC-DGCLKSJQSA-N 1 2 306.435 1.831 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)n1 ZINC000959923308 716357139 /nfs/dbraw/zinc/35/71/39/716357139.db2.gz HCBRAPMFVSQRBU-STQMWFEESA-N 1 2 319.409 1.652 20 30 DDEDLO CCn1ccc(C[N@H+](C)C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)n1 ZINC000960505520 716587936 /nfs/dbraw/zinc/58/79/36/716587936.db2.gz VXEVQLMRXWEFIR-ZFWWWQNUSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)n1 ZINC000960505520 716587940 /nfs/dbraw/zinc/58/79/40/716587940.db2.gz VXEVQLMRXWEFIR-ZFWWWQNUSA-N 1 2 303.410 1.485 20 30 DDEDLO N#CCN1CCC[C@@H](C2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)C1 ZINC000961417264 716939173 /nfs/dbraw/zinc/93/91/73/716939173.db2.gz YMXUKXIMVNDXMS-OAHLLOKOSA-N 1 2 315.421 1.426 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@]3(C)CCC[C@H]3CC)CC2)C1 ZINC000941456866 717180625 /nfs/dbraw/zinc/18/06/25/717180625.db2.gz UXNPUYODUAKTSN-VQIMIIECSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@@]3(C)C(C)C)CC2)C1 ZINC000941623719 717233674 /nfs/dbraw/zinc/23/36/74/717233674.db2.gz ZYNNFTPECFJEIS-HKUYNNGSSA-N 1 2 317.477 1.520 20 30 DDEDLO C[C@@H]1CN(CC#N)CC[C@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000943879848 718214547 /nfs/dbraw/zinc/21/45/47/718214547.db2.gz WJNYZKDENYCARH-RHSMWYFYSA-N 1 2 323.400 1.836 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ncc(OC)cn2)C1 ZINC000967294168 718827209 /nfs/dbraw/zinc/82/72/09/718827209.db2.gz YKTZYXMLKFJACR-ZWNOBZJWSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ncc(OC)cn2)C1 ZINC000967294168 718827215 /nfs/dbraw/zinc/82/72/15/718827215.db2.gz YKTZYXMLKFJACR-ZWNOBZJWSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000967409088 718875979 /nfs/dbraw/zinc/87/59/79/718875979.db2.gz QCBFSJAHAHKIDT-SMDDNHRTSA-N 1 2 323.824 1.909 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000967409088 718875982 /nfs/dbraw/zinc/87/59/82/718875982.db2.gz QCBFSJAHAHKIDT-SMDDNHRTSA-N 1 2 323.824 1.909 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CCN(CC#N)[C@H](C)C3)ccn12 ZINC000947315047 719115245 /nfs/dbraw/zinc/11/52/45/719115245.db2.gz MFKWWMDUZZCTGC-DOMZBBRYSA-N 1 2 311.389 1.749 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CCN(CC#N)[C@@H](C)C2)c[nH+]1 ZINC000947440504 719164160 /nfs/dbraw/zinc/16/41/60/719164160.db2.gz AOGRLWPGASWCIJ-UONOGXRCSA-N 1 2 303.410 1.419 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccnc(C)n2)C1 ZINC000968665247 719723272 /nfs/dbraw/zinc/72/32/72/719723272.db2.gz OXUUNHRFUBAKAO-QMTHXVAHSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccnc(C)n2)C1 ZINC000968665247 719723275 /nfs/dbraw/zinc/72/32/75/719723275.db2.gz OXUUNHRFUBAKAO-QMTHXVAHSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccc3[nH]c(=O)[nH]c32)CC1 ZINC000948815802 719727592 /nfs/dbraw/zinc/72/75/92/719727592.db2.gz AWLJEAQXGQJORM-UHFFFAOYSA-N 1 2 300.362 1.602 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnsn1 ZINC000948894847 719771806 /nfs/dbraw/zinc/77/18/06/719771806.db2.gz QVWBTZZNPJAQDU-OAHLLOKOSA-N 1 2 312.398 1.501 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnsn1 ZINC000948894847 719771809 /nfs/dbraw/zinc/77/18/09/719771809.db2.gz QVWBTZZNPJAQDU-OAHLLOKOSA-N 1 2 312.398 1.501 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)CC1 ZINC000948926914 719789112 /nfs/dbraw/zinc/78/91/12/719789112.db2.gz MVMGCDIZYDCSMX-ZDUSSCGKSA-N 1 2 308.813 1.342 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC000948946668 719796407 /nfs/dbraw/zinc/79/64/07/719796407.db2.gz NTNIMVNFUSVXKW-OAHLLOKOSA-N 1 2 324.384 1.088 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC000948946668 719796409 /nfs/dbraw/zinc/79/64/09/719796409.db2.gz NTNIMVNFUSVXKW-OAHLLOKOSA-N 1 2 324.384 1.088 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccnnc1 ZINC000948965092 719811701 /nfs/dbraw/zinc/81/17/01/719811701.db2.gz FHWMWKSUTBGZIS-KRWDZBQOSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccnnc1 ZINC000948965092 719811709 /nfs/dbraw/zinc/81/17/09/719811709.db2.gz FHWMWKSUTBGZIS-KRWDZBQOSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cccnc3C)CC2)C1 ZINC000949454405 720098334 /nfs/dbraw/zinc/09/83/34/720098334.db2.gz JXWAFMPUSDSXLW-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cccnc3C)CC2)C1 ZINC000949454405 720098338 /nfs/dbraw/zinc/09/83/38/720098338.db2.gz JXWAFMPUSDSXLW-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CCn3cccc3)CC2)C1 ZINC000949501389 720126738 /nfs/dbraw/zinc/12/67/38/720126738.db2.gz UASBNRUOGSROIA-UHFFFAOYSA-N 1 2 315.417 1.205 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CCn3cccc3)CC2)C1 ZINC000949501389 720126743 /nfs/dbraw/zinc/12/67/43/720126743.db2.gz UASBNRUOGSROIA-UHFFFAOYSA-N 1 2 315.417 1.205 20 30 DDEDLO C[C@H](NC(=O)c1cn(C)cn1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969497579 720160418 /nfs/dbraw/zinc/16/04/18/720160418.db2.gz VRSRYCFXMXLPBV-ZDUSSCGKSA-N 1 2 323.400 1.542 20 30 DDEDLO Cc1cnc(C[NH+]2CC([C@@H](C)NC(=O)c3ccc(C#N)[nH]3)C2)cn1 ZINC000969506382 720165449 /nfs/dbraw/zinc/16/54/49/720165449.db2.gz QPQFWBXWLFAJOZ-GFCCVEGCSA-N 1 2 324.388 1.235 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC000950090116 720485838 /nfs/dbraw/zinc/48/58/38/720485838.db2.gz WHXRZCFHHGOUGZ-AWEZNQCLSA-N 1 2 302.422 1.938 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+](Cc3ccn(C)c(=O)c3)CC2)C1 ZINC000950373130 720601388 /nfs/dbraw/zinc/60/13/88/720601388.db2.gz HJSAVVZFMHYMIK-UHFFFAOYSA-N 1 2 315.417 1.386 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccnc(OC)n2)C1 ZINC000970126326 720635113 /nfs/dbraw/zinc/63/51/13/720635113.db2.gz CPJYBWBNUOCRAU-SNVBAGLBSA-N 1 2 310.785 1.288 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2[nH]cnc2C(F)(F)F)C1 ZINC000950515562 720667205 /nfs/dbraw/zinc/66/72/05/720667205.db2.gz VQUGHMSGUTVDLC-UHFFFAOYSA-N 1 2 314.311 1.598 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2cccnc2C)CC1 ZINC000950590310 720697011 /nfs/dbraw/zinc/69/70/11/720697011.db2.gz KLLAQZFHCXWYMA-SJORKVTESA-N 1 2 315.417 1.625 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@H]2CCc3nccn3C2)C1 ZINC000950658259 720723391 /nfs/dbraw/zinc/72/33/91/720723391.db2.gz GXQQLUJMBFKCDU-AWEZNQCLSA-N 1 2 300.406 1.002 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccc3nncn3c2)C1 ZINC000970576524 720823495 /nfs/dbraw/zinc/82/34/95/720823495.db2.gz DMALAGAWVOKIRJ-NSHDSACASA-N 1 2 319.796 1.532 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(C)ccnc2OCC)C1 ZINC000950984090 720864826 /nfs/dbraw/zinc/86/48/26/720864826.db2.gz HHZUYBVGQCSGOZ-UHFFFAOYSA-N 1 2 315.417 1.958 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[NH+](CCn2cccn2)CC1 ZINC000951699274 721143227 /nfs/dbraw/zinc/14/32/27/721143227.db2.gz AFFZKDHJJYBZJF-HOTGVXAUSA-N 1 2 318.421 1.009 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CCN2C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000951967876 721259078 /nfs/dbraw/zinc/25/90/78/721259078.db2.gz LPONZMCBCZSUNB-OAHLLOKOSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1C[C@H]1C ZINC001026009894 737074954 /nfs/dbraw/zinc/07/49/54/737074954.db2.gz ZWKPBWWYOURZCG-HSZBIYQXSA-N 1 2 313.239 1.988 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1C[C@H]1C ZINC001026009894 737074957 /nfs/dbraw/zinc/07/49/57/737074957.db2.gz ZWKPBWWYOURZCG-HSZBIYQXSA-N 1 2 313.239 1.988 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H]([NH2+]Cc2csnn2)C1 ZINC001207860576 732298510 /nfs/dbraw/zinc/29/85/10/732298510.db2.gz MYVQFSCXGDCIFH-ZDUSSCGKSA-N 1 2 306.435 1.812 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2CCO[C@@H]2CC2(F)F)c[nH]1 ZINC001038173593 732639137 /nfs/dbraw/zinc/63/91/37/732639137.db2.gz AUTJXSKAGSYGNJ-QWHCGFSZSA-N 1 2 324.331 1.115 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2CCO[C@@H]2CC2(F)F)c[nH]1 ZINC001038173593 732639138 /nfs/dbraw/zinc/63/91/38/732639138.db2.gz AUTJXSKAGSYGNJ-QWHCGFSZSA-N 1 2 324.331 1.115 20 30 DDEDLO N#CCN1CC[C@H]2[C@@H](CCCN2C(=O)c2cccc3[nH+]ccn32)C1 ZINC001021634377 733388781 /nfs/dbraw/zinc/38/87/81/733388781.db2.gz ZJNRHYHHKFVCEF-GJZGRUSLSA-N 1 2 323.400 1.784 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)C[C@H]1CCNCC#N ZINC001104365667 734374446 /nfs/dbraw/zinc/37/44/46/734374446.db2.gz UMUZBYNNMZVGMC-RBSFLKMASA-N 1 2 315.421 1.043 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4c3ccn4C)[C@H]2C1 ZINC001083225844 734494925 /nfs/dbraw/zinc/49/49/25/734494925.db2.gz QWAAXKKTJFUSJV-ZWKOTPCHSA-N 1 2 323.396 1.337 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4c3ccn4C)[C@H]2C1 ZINC001083225844 734494927 /nfs/dbraw/zinc/49/49/27/734494927.db2.gz QWAAXKKTJFUSJV-ZWKOTPCHSA-N 1 2 323.396 1.337 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1csc([C@@H](C)OC)n1 ZINC001038250483 734986137 /nfs/dbraw/zinc/98/61/37/734986137.db2.gz DTXIZTPRLZHCPS-NEPJUHHUSA-N 1 2 307.419 1.678 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1csc([C@@H](C)OC)n1 ZINC001038250483 734986141 /nfs/dbraw/zinc/98/61/41/734986141.db2.gz DTXIZTPRLZHCPS-NEPJUHHUSA-N 1 2 307.419 1.678 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1csc([C@H]2CCCO2)n1 ZINC001038253368 735015986 /nfs/dbraw/zinc/01/59/86/735015986.db2.gz NOAHGXRUSINJSY-TZMCWYRMSA-N 1 2 319.430 1.822 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1csc([C@H]2CCCO2)n1 ZINC001038253368 735015992 /nfs/dbraw/zinc/01/59/92/735015992.db2.gz NOAHGXRUSINJSY-TZMCWYRMSA-N 1 2 319.430 1.822 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cn(CC)nc3C)C2)C1 ZINC000972571131 735255122 /nfs/dbraw/zinc/25/51/22/735255122.db2.gz ATEMYHGRGAQPPZ-KRWDZBQOSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cn(CC)nc3C)C2)C1 ZINC000972571131 735255126 /nfs/dbraw/zinc/25/51/26/735255126.db2.gz ATEMYHGRGAQPPZ-KRWDZBQOSA-N 1 2 318.421 1.314 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCN(C)C1=O ZINC001024605539 735975366 /nfs/dbraw/zinc/97/53/66/735975366.db2.gz XOMGNSGVQOXVQK-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCN(C)C1=O ZINC001024605539 735975369 /nfs/dbraw/zinc/97/53/69/735975369.db2.gz XOMGNSGVQOXVQK-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111945880 736146180 /nfs/dbraw/zinc/14/61/80/736146180.db2.gz XALSHNQXNSKGKO-CQSZACIVSA-N 1 2 302.378 1.228 20 30 DDEDLO CCc1noc(C[NH2+]C[C@H]2CCCCN2C(=O)C#CC2CC2)n1 ZINC001024959534 736184288 /nfs/dbraw/zinc/18/42/88/736184288.db2.gz BDRYJVJQPOCBHJ-CQSZACIVSA-N 1 2 316.405 1.516 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(C)nc3C)n2C)CC1 ZINC001121459346 782516912 /nfs/dbraw/zinc/51/69/12/782516912.db2.gz KKFZTMSGLMJTFH-UHFFFAOYSA-N 1 2 310.405 1.249 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+][C@@H](C)c2nc(CC)no2)CCC1 ZINC001129143960 751370891 /nfs/dbraw/zinc/37/08/91/751370891.db2.gz WWTBBOABPHLQOC-LBPRGKRZSA-N 1 2 304.394 1.592 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)Cc3ccccc3)[C@H]2C1 ZINC001083281252 736535801 /nfs/dbraw/zinc/53/58/01/736535801.db2.gz BSHXFCKVXXGXQF-BPQIPLTHSA-N 1 2 312.413 1.410 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)Cc3ccccc3)[C@H]2C1 ZINC001083281252 736535803 /nfs/dbraw/zinc/53/58/03/736535803.db2.gz BSHXFCKVXXGXQF-BPQIPLTHSA-N 1 2 312.413 1.410 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cncc4ccccc43)[C@H]2C1 ZINC001083282597 736721593 /nfs/dbraw/zinc/72/15/93/736721593.db2.gz KOKPWCOOWJYBPD-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cncc4ccccc43)[C@H]2C1 ZINC001083282597 736721598 /nfs/dbraw/zinc/72/15/98/736721598.db2.gz KOKPWCOOWJYBPD-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3CCC3(C)C)C2)nn1 ZINC001105305486 737794857 /nfs/dbraw/zinc/79/48/57/737794857.db2.gz DURKDXQSECMCKI-HNNXBMFYSA-N 1 2 315.421 1.211 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CCC[N@@H+](C/C=C/Cl)C2)nn1 ZINC001008801298 738038909 /nfs/dbraw/zinc/03/89/09/738038909.db2.gz WAJUVILQRJZPDM-FUEXJSSKSA-N 1 2 309.801 1.411 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CCC[N@H+](C/C=C/Cl)C2)nn1 ZINC001008801298 738038913 /nfs/dbraw/zinc/03/89/13/738038913.db2.gz WAJUVILQRJZPDM-FUEXJSSKSA-N 1 2 309.801 1.411 20 30 DDEDLO CCn1ccnc1C[N@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406402 738265917 /nfs/dbraw/zinc/26/59/17/738265917.db2.gz QQGPLHRFEMOSAW-MRXNPFEDSA-N 1 2 314.433 1.739 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406402 738265919 /nfs/dbraw/zinc/26/59/19/738265919.db2.gz QQGPLHRFEMOSAW-MRXNPFEDSA-N 1 2 314.433 1.739 20 30 DDEDLO CN(CC#N)[C@H]1CCCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001027510176 738361356 /nfs/dbraw/zinc/36/13/56/738361356.db2.gz QVJYQHCQJAVSFN-KRWDZBQOSA-N 1 2 323.400 1.932 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cccc2ncnn21 ZINC001028002320 738925278 /nfs/dbraw/zinc/92/52/78/738925278.db2.gz WHPNVJDVNSKQBR-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cccc2ncnn21 ZINC001028002320 738925280 /nfs/dbraw/zinc/92/52/80/738925280.db2.gz WHPNVJDVNSKQBR-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cn[nH]c3)C2)s1 ZINC001010296895 738990637 /nfs/dbraw/zinc/99/06/37/738990637.db2.gz CUYPBQFZLWWPRW-LLVKDONJSA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cn[nH]c3)C2)s1 ZINC001010296895 738990639 /nfs/dbraw/zinc/99/06/39/738990639.db2.gz CUYPBQFZLWWPRW-LLVKDONJSA-N 1 2 301.375 1.347 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccn2nnnc2c1 ZINC001028074264 738996437 /nfs/dbraw/zinc/99/64/37/738996437.db2.gz WFPOCOHIOWSMJG-LBPRGKRZSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccn2nnnc2c1 ZINC001028074264 738996438 /nfs/dbraw/zinc/99/64/38/738996438.db2.gz WFPOCOHIOWSMJG-LBPRGKRZSA-N 1 2 320.784 1.071 20 30 DDEDLO C=CCOC(=O)N1CCN(Cc2ccc3[nH+]ccn3c2)CC1 ZINC001143036008 751440039 /nfs/dbraw/zinc/44/00/39/751440039.db2.gz WRXNAGBYHYCLJE-UHFFFAOYSA-N 1 2 300.362 1.775 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C(F)F)nc2)C1 ZINC001035393698 751463685 /nfs/dbraw/zinc/46/36/85/751463685.db2.gz DGRFZNJLISAEAI-GFCCVEGCSA-N 1 2 311.332 1.636 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C(F)F)nc2)C1 ZINC001035393698 751463688 /nfs/dbraw/zinc/46/36/88/751463688.db2.gz DGRFZNJLISAEAI-GFCCVEGCSA-N 1 2 311.332 1.636 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)n([C@@H](C)CC)n2)C1 ZINC001035424322 751483343 /nfs/dbraw/zinc/48/33/43/751483343.db2.gz PCNDCDQTVIKLLS-ZFWWWQNUSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)n([C@@H](C)CC)n2)C1 ZINC001035424322 751483346 /nfs/dbraw/zinc/48/33/46/751483346.db2.gz PCNDCDQTVIKLLS-ZFWWWQNUSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3oc(C)nc3c2)C1 ZINC001035407591 751489771 /nfs/dbraw/zinc/48/97/71/751489771.db2.gz ALRDNQHDFIBWQK-CQSZACIVSA-N 1 2 315.373 1.753 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3oc(C)nc3c2)C1 ZINC001035407591 751489778 /nfs/dbraw/zinc/48/97/78/751489778.db2.gz ALRDNQHDFIBWQK-CQSZACIVSA-N 1 2 315.373 1.753 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@H]3C[N@H+](Cc4ccon4)C[C@H]32)[nH]1 ZINC001075696791 739975267 /nfs/dbraw/zinc/97/52/67/739975267.db2.gz SQPUEXJCPIVBRW-XHDPSFHLSA-N 1 2 311.345 1.221 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@H]3C[N@@H+](Cc4ccon4)C[C@H]32)[nH]1 ZINC001075696791 739975270 /nfs/dbraw/zinc/97/52/70/739975270.db2.gz SQPUEXJCPIVBRW-XHDPSFHLSA-N 1 2 311.345 1.221 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncc(C)cc2C)C1 ZINC001035455716 751504351 /nfs/dbraw/zinc/50/43/51/751504351.db2.gz JIZWFBCMGUGPBE-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncc(C)cc2C)C1 ZINC001035455716 751504355 /nfs/dbraw/zinc/50/43/55/751504355.db2.gz JIZWFBCMGUGPBE-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn3ccc(C)cc3n2)C1 ZINC001035432735 751509787 /nfs/dbraw/zinc/50/97/87/751509787.db2.gz XCKNJUJYELIEPL-AWEZNQCLSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn3ccc(C)cc3n2)C1 ZINC001035432735 751509790 /nfs/dbraw/zinc/50/97/90/751509790.db2.gz XCKNJUJYELIEPL-AWEZNQCLSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c(CC)ncnc2CC)C1 ZINC001035512176 751569926 /nfs/dbraw/zinc/56/99/26/751569926.db2.gz UCMOZSPNSJQBPK-ZDUSSCGKSA-N 1 2 318.421 1.218 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c(CC)ncnc2CC)C1 ZINC001035512176 751569932 /nfs/dbraw/zinc/56/99/32/751569932.db2.gz UCMOZSPNSJQBPK-ZDUSSCGKSA-N 1 2 318.421 1.218 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CCN1CC#N ZINC001087782402 740748668 /nfs/dbraw/zinc/74/86/68/740748668.db2.gz QYHVOVMPIULKJY-DLBZAZTESA-N 1 2 323.400 1.979 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3[C@H](C)C(=O)NC)C1 ZINC001029464594 740865630 /nfs/dbraw/zinc/86/56/30/740865630.db2.gz BZHJGOMJPVFBHK-MCIONIFRSA-N 1 2 305.422 1.152 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3[C@H](C)C(=O)NC)C1 ZINC001029464594 740865632 /nfs/dbraw/zinc/86/56/32/740865632.db2.gz BZHJGOMJPVFBHK-MCIONIFRSA-N 1 2 305.422 1.152 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@](C)(C=C)CC)C2)nn1 ZINC001098721122 741073058 /nfs/dbraw/zinc/07/30/58/741073058.db2.gz BFFSVVWEAMERQI-WBVHZDCISA-N 1 2 315.421 1.377 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2CCN(C(=O)c3n[nH]cc3C(F)(F)F)[C@@H]2C1 ZINC001075867598 741376482 /nfs/dbraw/zinc/37/64/82/741376482.db2.gz CSGPEIZNYFDGIO-GXSJLCMTSA-N 1 2 314.311 1.761 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2CCN(C(=O)c3n[nH]cc3C(F)(F)F)[C@@H]2C1 ZINC001075867598 741376485 /nfs/dbraw/zinc/37/64/85/741376485.db2.gz CSGPEIZNYFDGIO-GXSJLCMTSA-N 1 2 314.311 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2CCC(O)CC2)[C@H]1C ZINC001088542636 741717156 /nfs/dbraw/zinc/71/71/56/741717156.db2.gz NZXPQVUWKOYBSL-RYSNWHEDSA-N 1 2 300.830 1.869 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2CCC(O)CC2)[C@H]1C ZINC001088542636 741717158 /nfs/dbraw/zinc/71/71/58/741717158.db2.gz NZXPQVUWKOYBSL-RYSNWHEDSA-N 1 2 300.830 1.869 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(OC)ccn2)C[C@H]1OC ZINC001211997101 741931746 /nfs/dbraw/zinc/93/17/46/741931746.db2.gz HCQOXPNGCAEXHY-HZPDHXFCSA-N 1 2 319.405 1.372 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cc(OC)ccn2)C[C@H]1OC ZINC001211997101 741931748 /nfs/dbraw/zinc/93/17/48/741931748.db2.gz HCQOXPNGCAEXHY-HZPDHXFCSA-N 1 2 319.405 1.372 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(F)CCOCC2)[C@H]1C ZINC001088611354 741964752 /nfs/dbraw/zinc/96/47/52/741964752.db2.gz LWPQLCPERGNODM-NEPJUHHUSA-N 1 2 304.793 1.837 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(F)CCOCC2)[C@H]1C ZINC001088611354 741964754 /nfs/dbraw/zinc/96/47/54/741964754.db2.gz LWPQLCPERGNODM-NEPJUHHUSA-N 1 2 304.793 1.837 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-c2ncon2)c1 ZINC001032624081 751698093 /nfs/dbraw/zinc/69/80/93/751698093.db2.gz XQNJTZJQBDRUEK-GJZGRUSLSA-N 1 2 308.341 1.269 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-c2ncon2)c1 ZINC001032624081 751698098 /nfs/dbraw/zinc/69/80/98/751698098.db2.gz XQNJTZJQBDRUEK-GJZGRUSLSA-N 1 2 308.341 1.269 20 30 DDEDLO CCCCc1noc([C@H](C)[NH2+]CCNC(=O)C#CC2CC2)n1 ZINC001126885059 742424384 /nfs/dbraw/zinc/42/43/84/742424384.db2.gz ANVSPJKOVPHWRX-LBPRGKRZSA-N 1 2 304.394 1.592 20 30 DDEDLO CC(C)CCc1noc(C[NH2+]CCNC(=O)C#CC2CC2)n1 ZINC001126886483 742428355 /nfs/dbraw/zinc/42/83/55/742428355.db2.gz UVQKFQGOGYULQY-UHFFFAOYSA-N 1 2 304.394 1.277 20 30 DDEDLO Cc1cc(C[NH+]2CC3(C2)CCN(C(=O)[C@H](C)C#N)CC3)nn1C ZINC001035673453 751753341 /nfs/dbraw/zinc/75/33/41/751753341.db2.gz OLGDIFMTKBVJFM-CYBMUJFWSA-N 1 2 315.421 1.313 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)C1CCCC1 ZINC001076667811 742881872 /nfs/dbraw/zinc/88/18/72/742881872.db2.gz LQSPQTGUMSPPDR-QZTJIDSGSA-N 1 2 312.413 1.390 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)C1CCCC1 ZINC001076667811 742881879 /nfs/dbraw/zinc/88/18/79/742881879.db2.gz LQSPQTGUMSPPDR-QZTJIDSGSA-N 1 2 312.413 1.390 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2coc3ccc(CC)cc23)C1 ZINC001077005003 743164178 /nfs/dbraw/zinc/16/41/78/743164178.db2.gz FDXWHOGNPKLUTJ-HZPDHXFCSA-N 1 2 312.369 1.403 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2coc3ccc(CC)cc23)C1 ZINC001077005003 743164183 /nfs/dbraw/zinc/16/41/83/743164183.db2.gz FDXWHOGNPKLUTJ-HZPDHXFCSA-N 1 2 312.369 1.403 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(C3CC3)no2)C1 ZINC001181600331 743260856 /nfs/dbraw/zinc/26/08/56/743260856.db2.gz ZMEPDYMWSSFGIP-WCQYABFASA-N 1 2 320.393 1.401 20 30 DDEDLO Cn1ccc(C[NH+]2CCC(NC(=O)c3ccc(C#N)[nH]3)CC2)n1 ZINC001002625900 743293541 /nfs/dbraw/zinc/29/35/41/743293541.db2.gz IXGRLOWAASTRKG-UHFFFAOYSA-N 1 2 312.377 1.014 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CCCOC)c2C1 ZINC001128234359 743339350 /nfs/dbraw/zinc/33/93/50/743339350.db2.gz DBQQRYXVMVWNMA-UHFFFAOYSA-N 1 2 306.410 1.318 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CCCOC)c2C1 ZINC001128234359 743339362 /nfs/dbraw/zinc/33/93/62/743339362.db2.gz DBQQRYXVMVWNMA-UHFFFAOYSA-N 1 2 306.410 1.318 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2coc(OCC)n2)C1 ZINC001108049982 743370278 /nfs/dbraw/zinc/37/02/78/743370278.db2.gz UPVGHTTYDKENEM-HNNXBMFYSA-N 1 2 309.366 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2coc(OCC)n2)C1 ZINC001108049982 743370286 /nfs/dbraw/zinc/37/02/86/743370286.db2.gz UPVGHTTYDKENEM-HNNXBMFYSA-N 1 2 309.366 1.080 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nnc(C)o2)C1 ZINC001181898250 743383715 /nfs/dbraw/zinc/38/37/15/743383715.db2.gz NAZVCMUXGBVFQC-TZMCWYRMSA-N 1 2 322.409 1.612 20 30 DDEDLO C[C@H](C#N)C(=O)NCc1cnn2c1C[N@H+](CC[C@H](C)F)CC2 ZINC001128264155 743403089 /nfs/dbraw/zinc/40/30/89/743403089.db2.gz JTHKOXZMWATDGY-NEPJUHHUSA-N 1 2 307.373 1.223 20 30 DDEDLO C[C@H](C#N)C(=O)NCc1cnn2c1C[N@@H+](CC[C@H](C)F)CC2 ZINC001128264155 743403090 /nfs/dbraw/zinc/40/30/90/743403090.db2.gz JTHKOXZMWATDGY-NEPJUHHUSA-N 1 2 307.373 1.223 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2nc3cnccc3s2)[C@@H](O)C1 ZINC001083629057 743628180 /nfs/dbraw/zinc/62/81/80/743628180.db2.gz ASDIKBZQMPAGJG-NEPJUHHUSA-N 1 2 318.402 1.042 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2nc3cnccc3s2)[C@@H](O)C1 ZINC001083629057 743628184 /nfs/dbraw/zinc/62/81/84/743628184.db2.gz ASDIKBZQMPAGJG-NEPJUHHUSA-N 1 2 318.402 1.042 20 30 DDEDLO COc1ccc(C(=NC(=O)[C@@H]2CCC[N@@H+]2CC2CC2)NO)nc1 ZINC001182559482 743662857 /nfs/dbraw/zinc/66/28/57/743662857.db2.gz OHTVZHKGNHNHNN-AWEZNQCLSA-N 1 2 318.377 1.217 20 30 DDEDLO COc1ccc(C(=NC(=O)[C@@H]2CCC[N@H+]2CC2CC2)NO)nc1 ZINC001182559482 743662860 /nfs/dbraw/zinc/66/28/60/743662860.db2.gz OHTVZHKGNHNHNN-AWEZNQCLSA-N 1 2 318.377 1.217 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCc3c2cccc3Cl)[C@@H](O)C1 ZINC001083646584 743669308 /nfs/dbraw/zinc/66/93/08/743669308.db2.gz KTDZLBBOHYMLQB-BMFZPTHFSA-N 1 2 318.804 1.164 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCc3c2cccc3Cl)[C@@H](O)C1 ZINC001083646584 743669309 /nfs/dbraw/zinc/66/93/09/743669309.db2.gz KTDZLBBOHYMLQB-BMFZPTHFSA-N 1 2 318.804 1.164 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cn2ccc3ccccc3c2=O)CC1 ZINC001182756760 743706666 /nfs/dbraw/zinc/70/66/66/743706666.db2.gz HJNAIMMUQMSIIL-UHFFFAOYSA-N 1 2 311.385 1.332 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105721295 743759082 /nfs/dbraw/zinc/75/90/82/743759082.db2.gz XJEMIWMTJUHBGA-OAHLLOKOSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cn([C@@H](C)COC)nc2C)C1 ZINC001030311047 744041989 /nfs/dbraw/zinc/04/19/89/744041989.db2.gz JZAQIOGYGMHNDB-LBPRGKRZSA-N 1 2 306.410 1.389 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cn([C@H](C)COC)nc2C)C1 ZINC001030311046 744042344 /nfs/dbraw/zinc/04/23/44/744042344.db2.gz JZAQIOGYGMHNDB-GFCCVEGCSA-N 1 2 306.410 1.389 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cnn(C)n1)CC2 ZINC001035816810 751897001 /nfs/dbraw/zinc/89/70/01/751897001.db2.gz RUIUSVMJJHWZMW-UHFFFAOYSA-N 1 2 309.801 1.106 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@H](F)C(C)C)C2)nn1 ZINC001185984972 744317048 /nfs/dbraw/zinc/31/70/48/744317048.db2.gz UUHKYFWHAJIPJB-LSDHHAIUSA-N 1 2 321.400 1.159 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H]2CCOc3c(F)cccc32)C1 ZINC001030654147 744387423 /nfs/dbraw/zinc/38/74/23/744387423.db2.gz WQWYDOLJTVHSIQ-AWEZNQCLSA-N 1 2 302.349 1.516 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)[C@H]1C ZINC001088977956 744422670 /nfs/dbraw/zinc/42/26/70/744422670.db2.gz VLDXOGLKVISQSA-BZPMIXESSA-N 1 2 322.840 1.846 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)[C@H]1C ZINC001088977956 744422673 /nfs/dbraw/zinc/42/26/73/744422673.db2.gz VLDXOGLKVISQSA-BZPMIXESSA-N 1 2 322.840 1.846 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cnoc2-c2cnn(C)c2)C1 ZINC001030730589 744542836 /nfs/dbraw/zinc/54/28/36/744542836.db2.gz MLTMHSGWSIFSHX-UHFFFAOYSA-N 1 2 301.350 1.065 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001187866047 744622514 /nfs/dbraw/zinc/62/25/14/744622514.db2.gz XGIJTZPXVQNURK-GOEBONIOSA-N 1 2 317.389 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001187866047 744622518 /nfs/dbraw/zinc/62/25/18/744622518.db2.gz XGIJTZPXVQNURK-GOEBONIOSA-N 1 2 317.389 1.056 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)c3ccc(Cl)[nH]3)CC2)C1 ZINC001046062972 744699603 /nfs/dbraw/zinc/69/96/03/744699603.db2.gz YIFCDSOWPMURSI-LBPRGKRZSA-N 1 2 321.812 1.024 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001188903470 744791792 /nfs/dbraw/zinc/79/17/92/744791792.db2.gz WWRARYPKSIGELO-LSDHHAIUSA-N 1 2 316.405 1.604 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001188903470 744791794 /nfs/dbraw/zinc/79/17/94/744791794.db2.gz WWRARYPKSIGELO-LSDHHAIUSA-N 1 2 316.405 1.604 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)no1 ZINC001188958963 744799287 /nfs/dbraw/zinc/79/92/87/744799287.db2.gz LSYHNUGHVWESAB-CYBMUJFWSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)no1 ZINC001188958963 744799288 /nfs/dbraw/zinc/79/92/88/744799288.db2.gz LSYHNUGHVWESAB-CYBMUJFWSA-N 1 2 308.407 1.273 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cn(C)nc2C2CCCC2)C1 ZINC001030931850 744871539 /nfs/dbraw/zinc/87/15/39/744871539.db2.gz CXBDNSFCUZSHLD-UHFFFAOYSA-N 1 2 300.406 1.515 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)CC(=C)Br)C1 ZINC001189369331 744877604 /nfs/dbraw/zinc/87/76/04/744877604.db2.gz BXBHGVJCKBQODR-LBPRGKRZSA-N 1 2 317.227 1.630 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)CC(=C)Br)C1 ZINC001189369331 744877607 /nfs/dbraw/zinc/87/76/07/744877607.db2.gz BXBHGVJCKBQODR-LBPRGKRZSA-N 1 2 317.227 1.630 20 30 DDEDLO N#CC1(NC(=O)c2cn[nH]n2)CC[NH+](Cc2ccccc2)CC1 ZINC001189355990 744884762 /nfs/dbraw/zinc/88/47/62/744884762.db2.gz QJIHHIIOHZVDDQ-UHFFFAOYSA-N 1 2 310.361 1.093 20 30 DDEDLO N#CC1(NC(=O)c2cnn[nH]2)CC[NH+](Cc2ccccc2)CC1 ZINC001189355990 744884763 /nfs/dbraw/zinc/88/47/63/744884763.db2.gz QJIHHIIOHZVDDQ-UHFFFAOYSA-N 1 2 310.361 1.093 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1C[NH+](CCc2scnc2C)C1 ZINC001030977302 745008909 /nfs/dbraw/zinc/00/89/09/745008909.db2.gz IBSMKPMDHZDBCE-KGLIPLIRSA-N 1 2 321.446 1.386 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)oc1C ZINC001190047036 745088964 /nfs/dbraw/zinc/08/89/64/745088964.db2.gz NRYYYGDKXJPEKK-OAHLLOKOSA-N 1 2 303.406 1.984 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)oc1C ZINC001190047036 745088970 /nfs/dbraw/zinc/08/89/70/745088970.db2.gz NRYYYGDKXJPEKK-OAHLLOKOSA-N 1 2 303.406 1.984 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cccc(OCC)n2)C1 ZINC001015340468 745197161 /nfs/dbraw/zinc/19/71/61/745197161.db2.gz MOPCCVAMHOYHQS-AWEZNQCLSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cccc(OCC)n2)C1 ZINC001015340468 745197165 /nfs/dbraw/zinc/19/71/65/745197165.db2.gz MOPCCVAMHOYHQS-AWEZNQCLSA-N 1 2 323.824 1.966 20 30 DDEDLO CC(C)(C(=O)N1C[C@@H]2CCC[C@H](NCC#N)[C@@H]2C1)c1c[nH+]c[nH]1 ZINC000992861128 745256806 /nfs/dbraw/zinc/25/68/06/745256806.db2.gz VCEIDOXDJQOYJZ-MJBXVCDLSA-N 1 2 315.421 1.428 20 30 DDEDLO C=CCn1cc(C(=O)NC2C[NH+]([C@@H]3CCc4ccccc43)C2)nn1 ZINC001031070062 745300251 /nfs/dbraw/zinc/30/02/51/745300251.db2.gz MYPDAWJNHVMCBH-QGZVFWFLSA-N 1 2 323.400 1.566 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cc[n+]([O-])cc2)[C@H]1C ZINC000993010517 745373423 /nfs/dbraw/zinc/37/34/23/745373423.db2.gz DETIKLMUCRDKOQ-OCCSQVGLSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cc[n+]([O-])cc2)[C@H]1C ZINC000993010517 745373428 /nfs/dbraw/zinc/37/34/28/745373428.db2.gz DETIKLMUCRDKOQ-OCCSQVGLSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc(N3CCCC3)nc2)C1 ZINC001031110114 745397036 /nfs/dbraw/zinc/39/70/36/745397036.db2.gz JAWGFXUJXKMHSZ-UHFFFAOYSA-N 1 2 300.406 1.672 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(Cl)c(O)c(OC)c2)CC1 ZINC001191142967 745443894 /nfs/dbraw/zinc/44/38/94/745443894.db2.gz BGTOYUQMNGMRJL-UHFFFAOYSA-N 1 2 310.781 1.998 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)cn1)NC(=O)Cc1c[nH+]cn1C ZINC001106381043 745644243 /nfs/dbraw/zinc/64/42/43/745644243.db2.gz DUQBKIODUMZHGI-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C#CC[NH2+]C[C@@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@H]1C ZINC001106513955 745760145 /nfs/dbraw/zinc/76/01/45/745760145.db2.gz XEGIRVKIWLDYGL-CZUORRHYSA-N 1 2 323.400 1.403 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cnn(CCCC)c2CC)C1 ZINC001031228611 745929732 /nfs/dbraw/zinc/92/97/32/745929732.db2.gz VYFWAUVOXLVARW-UHFFFAOYSA-N 1 2 302.422 1.683 20 30 DDEDLO N#Cc1ccncc1C(=O)N1CC[C@@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001192973959 745952418 /nfs/dbraw/zinc/95/24/18/745952418.db2.gz KDCSXBXYOBVTJT-CQSZACIVSA-N 1 2 320.343 1.899 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NC(C)=O)C1 ZINC000993625026 746260442 /nfs/dbraw/zinc/26/04/42/746260442.db2.gz TXDJEIIXFDCSBU-CHWSQXEVSA-N 1 2 304.394 1.063 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccnc3c2ncn3C)C1 ZINC001015685691 746349455 /nfs/dbraw/zinc/34/94/55/746349455.db2.gz LRBQNXAYBFJSQQ-LLVKDONJSA-N 1 2 319.796 1.525 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccnc3c2ncn3C)C1 ZINC001015685691 746349464 /nfs/dbraw/zinc/34/94/64/746349464.db2.gz LRBQNXAYBFJSQQ-LLVKDONJSA-N 1 2 319.796 1.525 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)Cc2nocc2C)C1 ZINC001194397221 746366987 /nfs/dbraw/zinc/36/69/87/746366987.db2.gz RDLOFZPXEDUDSR-AWEZNQCLSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001194397221 746366991 /nfs/dbraw/zinc/36/69/91/746366991.db2.gz RDLOFZPXEDUDSR-AWEZNQCLSA-N 1 2 307.394 1.608 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001007305278 752086824 /nfs/dbraw/zinc/08/68/24/752086824.db2.gz WGDLADVSCSWCHV-MRXNPFEDSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001007305278 752086828 /nfs/dbraw/zinc/08/68/28/752086828.db2.gz WGDLADVSCSWCHV-MRXNPFEDSA-N 1 2 323.400 1.984 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC1C[NH+](C[C@@H]2CC[C@@H](C)O2)C1 ZINC001031314408 746533968 /nfs/dbraw/zinc/53/39/68/746533968.db2.gz SOCJAUITYPFGGR-KDOFPFPSSA-N 1 2 312.413 1.578 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cnoc2C)CC1 ZINC001195174052 746544862 /nfs/dbraw/zinc/54/48/62/746544862.db2.gz IKEWAWFPDJLCLL-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cnoc2C)CC1 ZINC001195174052 746544864 /nfs/dbraw/zinc/54/48/64/746544864.db2.gz IKEWAWFPDJLCLL-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195311997 746573596 /nfs/dbraw/zinc/57/35/96/746573596.db2.gz ABMXIUBGMQSPFG-CHWSQXEVSA-N 1 2 307.394 1.165 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195311997 746573600 /nfs/dbraw/zinc/57/36/00/746573600.db2.gz ABMXIUBGMQSPFG-CHWSQXEVSA-N 1 2 307.394 1.165 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195473518 746618651 /nfs/dbraw/zinc/61/86/51/746618651.db2.gz NHMDVXWNNHIXCB-HUUCEWRRSA-N 1 2 321.446 1.306 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195473518 746618654 /nfs/dbraw/zinc/61/86/54/746618654.db2.gz NHMDVXWNNHIXCB-HUUCEWRRSA-N 1 2 321.446 1.306 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+](Cc2cncc(F)c2)CC1 ZINC001195652468 746674283 /nfs/dbraw/zinc/67/42/83/746674283.db2.gz PUHOZCKFTCHNHY-QGZVFWFLSA-N 1 2 321.396 1.582 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cncc(F)c2)CC1 ZINC001195652468 746674285 /nfs/dbraw/zinc/67/42/85/746674285.db2.gz PUHOZCKFTCHNHY-QGZVFWFLSA-N 1 2 321.396 1.582 20 30 DDEDLO C=CCOCC[N@H+]1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001195906977 746733898 /nfs/dbraw/zinc/73/38/98/746733898.db2.gz IAYZQPJARYMVRM-LLVKDONJSA-N 1 2 312.307 1.624 20 30 DDEDLO C=CCOCC[N@@H+]1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001195906977 746733899 /nfs/dbraw/zinc/73/38/99/746733899.db2.gz IAYZQPJARYMVRM-LLVKDONJSA-N 1 2 312.307 1.624 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195923817 746737509 /nfs/dbraw/zinc/73/75/09/746737509.db2.gz MSJDZHHAOSVXTN-FMKPAKJESA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195923817 746737516 /nfs/dbraw/zinc/73/75/16/746737516.db2.gz MSJDZHHAOSVXTN-FMKPAKJESA-N 1 2 307.394 1.247 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)C(C)C)CC1 ZINC001195958013 746749650 /nfs/dbraw/zinc/74/96/50/746749650.db2.gz LECJVQIRRRHXIQ-OAHLLOKOSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C(C)(C)C(C)C)CC1 ZINC001195958013 746749655 /nfs/dbraw/zinc/74/96/55/746749655.db2.gz LECJVQIRRRHXIQ-OAHLLOKOSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCc2ccccc2F)C1 ZINC001196123429 746786213 /nfs/dbraw/zinc/78/62/13/746786213.db2.gz RFLJKETYIHBTBZ-KBRIMQKVSA-N 1 2 318.392 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCc2ccccc2F)C1 ZINC001196123429 746786216 /nfs/dbraw/zinc/78/62/16/746786216.db2.gz RFLJKETYIHBTBZ-KBRIMQKVSA-N 1 2 318.392 1.189 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(C3CC3)CC2)CC1 ZINC001196231504 746815385 /nfs/dbraw/zinc/81/53/85/746815385.db2.gz HYVOJUDKJYIWSD-AWEZNQCLSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(C3CC3)CC2)CC1 ZINC001196231504 746815388 /nfs/dbraw/zinc/81/53/88/746815388.db2.gz HYVOJUDKJYIWSD-AWEZNQCLSA-N 1 2 319.449 1.402 20 30 DDEDLO CN(c1ncccc1C#N)[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061227487 746916941 /nfs/dbraw/zinc/91/69/41/746916941.db2.gz GZVYWLSBKHRKJE-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)N2CCC2)CC1 ZINC001196881882 746988932 /nfs/dbraw/zinc/98/89/32/746988932.db2.gz ZCWIFEXPNHZTIU-OAHLLOKOSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)N2CCC2)CC1 ZINC001196881882 746988939 /nfs/dbraw/zinc/98/89/39/746988939.db2.gz ZCWIFEXPNHZTIU-OAHLLOKOSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001196895098 747003084 /nfs/dbraw/zinc/00/30/84/747003084.db2.gz GKNWCWUGHWMTQL-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001196895098 747003091 /nfs/dbraw/zinc/00/30/91/747003091.db2.gz GKNWCWUGHWMTQL-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+](CC(=O)N(C)CC)CC2)CCC1 ZINC001197055146 747038044 /nfs/dbraw/zinc/03/80/44/747038044.db2.gz JISKLXFBBXWZJO-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+](CC(=O)N(C)CC)CC2)CCC1 ZINC001197055146 747038048 /nfs/dbraw/zinc/03/80/48/747038048.db2.gz JISKLXFBBXWZJO-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)[C@H]3CCOC3)C2)c1 ZINC001031571837 747074099 /nfs/dbraw/zinc/07/40/99/747074099.db2.gz YPYMHDYCQNTPTA-AWEZNQCLSA-N 1 2 317.364 1.282 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@@H](NC(=O)C3CC3)[C@@H](O)C2)c1 ZINC001089995220 747098941 /nfs/dbraw/zinc/09/89/41/747098941.db2.gz ZZJRHHSDIBAVPU-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@@H](NC(=O)C3CC3)[C@@H](O)C2)c1 ZINC001089995220 747098944 /nfs/dbraw/zinc/09/89/44/747098944.db2.gz ZZJRHHSDIBAVPU-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)[C@H]3CC3(F)F)C2)c(F)c1 ZINC001031656318 747325351 /nfs/dbraw/zinc/32/53/51/747325351.db2.gz YBNXRSRASNIAKR-CYBMUJFWSA-N 1 2 323.318 1.901 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CCCCCC)CC1 ZINC001198026997 747352893 /nfs/dbraw/zinc/35/28/93/747352893.db2.gz FXTLSIUTMMAKKN-UHFFFAOYSA-N 1 2 309.454 1.793 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CCCCCC)CC1 ZINC001198026997 747352899 /nfs/dbraw/zinc/35/28/99/747352899.db2.gz FXTLSIUTMMAKKN-UHFFFAOYSA-N 1 2 309.454 1.793 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cc(C)no2)CC1 ZINC001198337039 747456764 /nfs/dbraw/zinc/45/67/64/747456764.db2.gz IYKAXARNAWOBGL-CQSZACIVSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cc(C)no2)CC1 ZINC001198337039 747456768 /nfs/dbraw/zinc/45/67/68/747456768.db2.gz IYKAXARNAWOBGL-CQSZACIVSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cnc(C)o2)CC1 ZINC001198343636 747458401 /nfs/dbraw/zinc/45/84/01/747458401.db2.gz LUUFOXLIHHSUJT-CYBMUJFWSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cnc(C)o2)CC1 ZINC001198343636 747458406 /nfs/dbraw/zinc/45/84/06/747458406.db2.gz LUUFOXLIHHSUJT-CYBMUJFWSA-N 1 2 307.394 1.608 20 30 DDEDLO C#CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1[nH]nc(C)c1C ZINC001089619863 747481721 /nfs/dbraw/zinc/48/17/21/747481721.db2.gz XIXCYNNBAMOAIA-AWEZNQCLSA-N 1 2 302.422 1.880 20 30 DDEDLO C#CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1[nH]nc(C)c1C ZINC001089619863 747481727 /nfs/dbraw/zinc/48/17/27/747481727.db2.gz XIXCYNNBAMOAIA-AWEZNQCLSA-N 1 2 302.422 1.880 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC1CC[NH+](Cc2ccon2)CC1 ZINC001003780729 747486878 /nfs/dbraw/zinc/48/68/78/747486878.db2.gz WECBCINUDIGBKS-HOTGVXAUSA-N 1 2 319.405 1.736 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108060645 747584527 /nfs/dbraw/zinc/58/45/27/747584527.db2.gz KBZQACRACXABPH-LLVKDONJSA-N 1 2 320.441 1.765 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001007548032 752201783 /nfs/dbraw/zinc/20/17/83/752201783.db2.gz SJEFLPUMCCINJJ-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001007548032 752201787 /nfs/dbraw/zinc/20/17/87/752201787.db2.gz SJEFLPUMCCINJJ-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cc(C(F)F)nn2C)C1 ZINC001031844093 748003034 /nfs/dbraw/zinc/00/30/34/748003034.db2.gz XSUQEQUTXPNVTG-UHFFFAOYSA-N 1 2 318.755 1.772 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001200084691 748130862 /nfs/dbraw/zinc/13/08/62/748130862.db2.gz YHXGIXFXNRLUNN-CYBMUJFWSA-N 1 2 308.382 1.051 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)C1 ZINC001031922778 748218735 /nfs/dbraw/zinc/21/87/35/748218735.db2.gz JNUJQFBKYUVLHS-QMTHXVAHSA-N 1 2 322.840 1.876 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1nnc(C2CC2)o1 ZINC001124484340 748275180 /nfs/dbraw/zinc/27/51/80/748275180.db2.gz UMHDLEZCGKJWJV-NSHDSACASA-N 1 2 308.382 1.134 20 30 DDEDLO Cn1cc(CC(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)cn1 ZINC001004373507 748380067 /nfs/dbraw/zinc/38/00/67/748380067.db2.gz WTFRPNZYSAKESI-INIZCTEOSA-N 1 2 315.421 1.189 20 30 DDEDLO Cn1cc(CC(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)cn1 ZINC001004373507 748380071 /nfs/dbraw/zinc/38/00/71/748380071.db2.gz WTFRPNZYSAKESI-INIZCTEOSA-N 1 2 315.421 1.189 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001004407835 748405604 /nfs/dbraw/zinc/40/56/04/748405604.db2.gz QYLBVUYJRQLDQA-ZFWWWQNUSA-N 1 2 319.405 1.166 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001004407835 748405605 /nfs/dbraw/zinc/40/56/05/748405605.db2.gz QYLBVUYJRQLDQA-ZFWWWQNUSA-N 1 2 319.405 1.166 20 30 DDEDLO Cc1[nH]c(=O)[nH]c1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004465221 748453738 /nfs/dbraw/zinc/45/37/38/748453738.db2.gz QPZFSSYOCBFWJQ-ZDUSSCGKSA-N 1 2 317.393 1.264 20 30 DDEDLO Cc1[nH]c(=O)[nH]c1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004465221 748453742 /nfs/dbraw/zinc/45/37/42/748453742.db2.gz QPZFSSYOCBFWJQ-ZDUSSCGKSA-N 1 2 317.393 1.264 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)c(C)n2)C1 ZINC001108074042 748456343 /nfs/dbraw/zinc/45/63/43/748456343.db2.gz AAFLZRUVGHUBDA-QGZVFWFLSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)c(C)n2)C1 ZINC001108074042 748456347 /nfs/dbraw/zinc/45/63/47/748456347.db2.gz AAFLZRUVGHUBDA-QGZVFWFLSA-N 1 2 303.406 1.705 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)[C@@]2(F)CCOC2)CC1 ZINC001004518950 748508294 /nfs/dbraw/zinc/50/82/94/748508294.db2.gz AWSAXBDVFSPBPI-GOEBONIOSA-N 1 2 309.385 1.342 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)[C@@]2(F)CCOC2)CC1 ZINC001004518950 748508299 /nfs/dbraw/zinc/50/82/99/748508299.db2.gz AWSAXBDVFSPBPI-GOEBONIOSA-N 1 2 309.385 1.342 20 30 DDEDLO Cc1nocc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004530982 748530668 /nfs/dbraw/zinc/53/06/68/748530668.db2.gz QHETULFXLNGOKW-OAHLLOKOSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1nocc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004530982 748530672 /nfs/dbraw/zinc/53/06/72/748530672.db2.gz QHETULFXLNGOKW-OAHLLOKOSA-N 1 2 302.378 1.823 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@H]1OC ZINC001212348985 748550466 /nfs/dbraw/zinc/55/04/66/748550466.db2.gz FJMWKGGTIQWAJM-NUEKZKHPSA-N 1 2 318.421 1.709 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@H]1OC ZINC001212348985 748550469 /nfs/dbraw/zinc/55/04/69/748550469.db2.gz FJMWKGGTIQWAJM-NUEKZKHPSA-N 1 2 318.421 1.709 20 30 DDEDLO Cc1ccnn1CC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004566549 748554267 /nfs/dbraw/zinc/55/42/67/748554267.db2.gz DSVREUGGYNVYPI-MRXNPFEDSA-N 1 2 315.421 1.418 20 30 DDEDLO Cc1ccnn1CC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004566549 748554271 /nfs/dbraw/zinc/55/42/71/748554271.db2.gz DSVREUGGYNVYPI-MRXNPFEDSA-N 1 2 315.421 1.418 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2nc[nH]c2C(F)(F)F)C1 ZINC001033153685 748649343 /nfs/dbraw/zinc/64/93/43/748649343.db2.gz XDWBDAFZWOBWOG-SECBINFHSA-N 1 2 300.284 1.208 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2nc[nH]c2C(F)(F)F)C1 ZINC001033153685 748649347 /nfs/dbraw/zinc/64/93/47/748649347.db2.gz XDWBDAFZWOBWOG-SECBINFHSA-N 1 2 300.284 1.208 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cnc(C)cn3)C2)nc1 ZINC001032137581 748730355 /nfs/dbraw/zinc/73/03/55/748730355.db2.gz TZCCSHRJMYOMFK-UHFFFAOYSA-N 1 2 321.384 1.023 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001108087834 748759462 /nfs/dbraw/zinc/75/94/62/748759462.db2.gz NTXYSTRQDZTDNF-BQFCYCMXSA-N 1 2 304.434 1.653 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001108087834 748759466 /nfs/dbraw/zinc/75/94/66/748759466.db2.gz NTXYSTRQDZTDNF-BQFCYCMXSA-N 1 2 304.434 1.653 20 30 DDEDLO C=CCCOCC(=O)NC1(C)CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110594873 748808125 /nfs/dbraw/zinc/80/81/25/748808125.db2.gz PYEZNPXSXNYWDJ-UHFFFAOYSA-N 1 2 318.421 1.853 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@](C)(CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001108320480 761897418 /nfs/dbraw/zinc/89/74/18/761897418.db2.gz HDGFGIMQKAJPPG-QGZVFWFLSA-N 1 2 319.405 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@](C)(CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001108320480 761897426 /nfs/dbraw/zinc/89/74/26/761897426.db2.gz HDGFGIMQKAJPPG-QGZVFWFLSA-N 1 2 319.405 1.080 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(c3ncccn3)C2)CC1 ZINC001110700239 748911602 /nfs/dbraw/zinc/91/16/02/748911602.db2.gz DCWPZOOQBPZJQE-OAHLLOKOSA-N 1 2 315.421 1.166 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095315142 748921528 /nfs/dbraw/zinc/92/15/28/748921528.db2.gz QOBYIMHKFUVBQH-CQSZACIVSA-N 1 2 302.378 1.228 20 30 DDEDLO Cc1nc(N2CC[C@@](C)(NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001110720950 748939819 /nfs/dbraw/zinc/93/98/19/748939819.db2.gz PDDHWIXNOMXFJF-CQSZACIVSA-N 1 2 305.407 1.127 20 30 DDEDLO Cc1cc(N2CC[C@](C)(NC(=O)[C@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001110735647 748953725 /nfs/dbraw/zinc/95/37/25/748953725.db2.gz IXDHCBFHMBIOSY-DIFFPNOSSA-N 1 2 313.405 1.907 20 30 DDEDLO CN(C(=O)c1cn[nH]n1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033221231 748974053 /nfs/dbraw/zinc/97/40/53/748974053.db2.gz KMCPNBVMSBWSBZ-CQSZACIVSA-N 1 2 310.361 1.023 20 30 DDEDLO CN(C(=O)c1cn[nH]n1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033221231 748974060 /nfs/dbraw/zinc/97/40/60/748974060.db2.gz KMCPNBVMSBWSBZ-CQSZACIVSA-N 1 2 310.361 1.023 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110770141 748997188 /nfs/dbraw/zinc/99/71/88/748997188.db2.gz SKQYKFXTCGCQQB-WBMJQRKESA-N 1 2 304.394 1.461 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nonc4C)C[C@H]32)CCC1 ZINC001114397072 749137825 /nfs/dbraw/zinc/13/78/25/749137825.db2.gz GXEHRMYIKIDFMM-NHAGDIPZSA-N 1 2 314.389 1.118 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nonc4C)C[C@H]32)CCC1 ZINC001114397072 749137830 /nfs/dbraw/zinc/13/78/30/749137830.db2.gz GXEHRMYIKIDFMM-NHAGDIPZSA-N 1 2 314.389 1.118 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@H+](Cc3cnnn3C)C2)cc1 ZINC001033323797 749189887 /nfs/dbraw/zinc/18/98/87/749189887.db2.gz XYBPOPKACXTJQC-INIZCTEOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3cnnn3C)C2)cc1 ZINC001033323797 749189892 /nfs/dbraw/zinc/18/98/92/749189892.db2.gz XYBPOPKACXTJQC-INIZCTEOSA-N 1 2 323.400 1.143 20 30 DDEDLO C=CCn1cc(C(=O)N(C)[C@H]2CC[N@H+](CC(=C)Cl)C2)nn1 ZINC001033484757 749411885 /nfs/dbraw/zinc/41/18/85/749411885.db2.gz UFUGBSVXTBDSJG-LBPRGKRZSA-N 1 2 309.801 1.363 20 30 DDEDLO C=CCn1cc(C(=O)N(C)[C@H]2CC[N@@H+](CC(=C)Cl)C2)nn1 ZINC001033484757 749411887 /nfs/dbraw/zinc/41/18/87/749411887.db2.gz UFUGBSVXTBDSJG-LBPRGKRZSA-N 1 2 309.801 1.363 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnc3cccnn32)C1 ZINC001033485649 749412034 /nfs/dbraw/zinc/41/20/34/749412034.db2.gz NBYKSSXTASFTOX-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnc3cccnn32)C1 ZINC001033485649 749412038 /nfs/dbraw/zinc/41/20/38/749412038.db2.gz NBYKSSXTASFTOX-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)CCCC)nn2)C1 ZINC001107166802 749472052 /nfs/dbraw/zinc/47/20/52/749472052.db2.gz BZUQCKHZQSBCPK-CYBMUJFWSA-N 1 2 305.426 1.763 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001033575849 749552216 /nfs/dbraw/zinc/55/22/16/749552216.db2.gz CHRMNTBNGXHDNT-NWDGAFQWSA-N 1 2 323.828 1.195 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001033575849 749552221 /nfs/dbraw/zinc/55/22/21/749552221.db2.gz CHRMNTBNGXHDNT-NWDGAFQWSA-N 1 2 323.828 1.195 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@H+](Cc3ccn(C)n3)C2)nc1 ZINC001033591843 749576481 /nfs/dbraw/zinc/57/64/81/749576481.db2.gz CJZATOJWIOUAGP-MRXNPFEDSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3ccn(C)n3)C2)nc1 ZINC001033591843 749576487 /nfs/dbraw/zinc/57/64/87/749576487.db2.gz CJZATOJWIOUAGP-MRXNPFEDSA-N 1 2 323.400 1.143 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)C(C)(F)F)nn2)C1 ZINC001107212268 749611052 /nfs/dbraw/zinc/61/10/52/749611052.db2.gz XELFFUQURRUWPV-SNVBAGLBSA-N 1 2 313.352 1.228 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc([C@H](C)OC)s1)C2 ZINC001095417634 749879077 /nfs/dbraw/zinc/87/90/77/749879077.db2.gz XEPQRKLRBUTTNZ-RNJOBUHISA-N 1 2 319.430 1.819 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc([C@H](C)OC)s1)C2 ZINC001095417634 749879081 /nfs/dbraw/zinc/87/90/81/749879081.db2.gz XEPQRKLRBUTTNZ-RNJOBUHISA-N 1 2 319.430 1.819 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(F)c(Cl)c2)C1 ZINC001077593843 749974537 /nfs/dbraw/zinc/97/45/37/749974537.db2.gz IRMSEUJXLBZHHC-ZIAGYGMSSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(F)c(Cl)c2)C1 ZINC001077593843 749974541 /nfs/dbraw/zinc/97/45/41/749974541.db2.gz IRMSEUJXLBZHHC-ZIAGYGMSSA-N 1 2 310.756 1.277 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033854042 749989878 /nfs/dbraw/zinc/98/98/78/749989878.db2.gz SGVBOTSVRDYCMP-QWHCGFSZSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033854042 749989882 /nfs/dbraw/zinc/98/98/82/749989882.db2.gz SGVBOTSVRDYCMP-QWHCGFSZSA-N 1 2 313.829 1.140 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1snnc1C1CC1)C2 ZINC001095569134 750046813 /nfs/dbraw/zinc/04/68/13/750046813.db2.gz HSRDWFMCQNLJKJ-AGIUHOORSA-N 1 2 316.430 1.774 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1snnc1C1CC1)C2 ZINC001095569134 750046816 /nfs/dbraw/zinc/04/68/16/750046816.db2.gz HSRDWFMCQNLJKJ-AGIUHOORSA-N 1 2 316.430 1.774 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC/C=C/CNc1cc[nH+]c(C)n1 ZINC001107546037 750110309 /nfs/dbraw/zinc/11/03/09/750110309.db2.gz SMPDCPBBPFFRFF-QNKPMFPBSA-N 1 2 316.405 1.851 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@](C)(C=C)CC)C2 ZINC001110989048 750284732 /nfs/dbraw/zinc/28/47/32/750284732.db2.gz FJDLVZJMADXNJY-BSXFFOKHSA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@](C)(C=C)CC)C2 ZINC001110989048 750284742 /nfs/dbraw/zinc/28/47/42/750284742.db2.gz FJDLVZJMADXNJY-BSXFFOKHSA-N 1 2 319.449 1.612 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncc(OC)cc1F ZINC001032438060 750832010 /nfs/dbraw/zinc/83/20/10/750832010.db2.gz DMNPDLSJFYDVPZ-RYUDHWBXSA-N 1 2 303.337 1.151 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncc(OC)cc1F ZINC001032438060 750832018 /nfs/dbraw/zinc/83/20/18/750832018.db2.gz DMNPDLSJFYDVPZ-RYUDHWBXSA-N 1 2 303.337 1.151 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]([C@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001114637740 750907167 /nfs/dbraw/zinc/90/71/67/750907167.db2.gz UHYYPYTXQNJTDC-DZGCQCFKSA-N 1 2 316.405 1.616 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2cnco2)cc1 ZINC001032479616 750975750 /nfs/dbraw/zinc/97/57/50/750975750.db2.gz POZKJJWWSDXSNO-HOTGVXAUSA-N 1 2 307.353 1.874 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2cnco2)cc1 ZINC001032479616 750975752 /nfs/dbraw/zinc/97/57/52/750975752.db2.gz POZKJJWWSDXSNO-HOTGVXAUSA-N 1 2 307.353 1.874 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nc(CC)no3)C[C@H]21 ZINC001114692230 750994742 /nfs/dbraw/zinc/99/47/42/750994742.db2.gz CWLQCUNUALHZPI-NMKXLXIOSA-N 1 2 318.421 1.952 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nc(CC)no3)C[C@H]21 ZINC001114692230 750994746 /nfs/dbraw/zinc/99/47/46/750994746.db2.gz CWLQCUNUALHZPI-NMKXLXIOSA-N 1 2 318.421 1.952 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nnc(CC)o1 ZINC001035015626 751124940 /nfs/dbraw/zinc/12/49/40/751124940.db2.gz DRCYKDIQUUNHFL-GFCCVEGCSA-N 1 2 306.410 1.925 20 30 DDEDLO Cc1cc(N(C)[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001056936292 762133850 /nfs/dbraw/zinc/13/38/50/762133850.db2.gz YSCYELGKRRUMFR-HNNXBMFYSA-N 1 2 324.388 1.196 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1scnc1COC ZINC001032671168 752668607 /nfs/dbraw/zinc/66/86/07/752668607.db2.gz FUQBUIXPDCAYDB-RYUDHWBXSA-N 1 2 307.419 1.764 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1scnc1COC ZINC001032671168 752668612 /nfs/dbraw/zinc/66/86/12/752668612.db2.gz FUQBUIXPDCAYDB-RYUDHWBXSA-N 1 2 307.419 1.764 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(N)=O)s1 ZINC001032680083 752701134 /nfs/dbraw/zinc/70/11/34/752701134.db2.gz ZYAPBYYTXYWRCK-QWRGUYRKSA-N 1 2 305.403 1.322 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(N)=O)s1 ZINC001032680083 752701140 /nfs/dbraw/zinc/70/11/40/752701140.db2.gz ZYAPBYYTXYWRCK-QWRGUYRKSA-N 1 2 305.403 1.322 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2ccnc2)c1 ZINC001032712199 752819667 /nfs/dbraw/zinc/81/96/67/752819667.db2.gz MTULXETZUYSBFO-IRXDYDNUSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2ccnc2)c1 ZINC001032712199 752819671 /nfs/dbraw/zinc/81/96/71/752819671.db2.gz MTULXETZUYSBFO-IRXDYDNUSA-N 1 2 306.369 1.404 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001008603160 752823284 /nfs/dbraw/zinc/82/32/84/752823284.db2.gz LPYCQGNJFHSBMP-SOUVJXGZSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001008603160 752823291 /nfs/dbraw/zinc/82/32/91/752823291.db2.gz LPYCQGNJFHSBMP-SOUVJXGZSA-N 1 2 322.840 1.857 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)c2cccnn2)C1 ZINC001008947829 753002378 /nfs/dbraw/zinc/00/23/78/753002378.db2.gz XWJVIQSBAICKIO-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)c2cccnn2)C1 ZINC001008947829 753002385 /nfs/dbraw/zinc/00/23/85/753002385.db2.gz XWJVIQSBAICKIO-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC001107990151 753063326 /nfs/dbraw/zinc/06/33/26/753063326.db2.gz AYTJNDOHLLNHEY-KRWDZBQOSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC001107990151 753063333 /nfs/dbraw/zinc/06/33/33/753063333.db2.gz AYTJNDOHLLNHEY-KRWDZBQOSA-N 1 2 303.406 1.899 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001009242884 753122942 /nfs/dbraw/zinc/12/29/42/753122942.db2.gz FIEORWYGOSXNJM-GFCCVEGCSA-N 1 2 309.797 1.332 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001009242884 753122944 /nfs/dbraw/zinc/12/29/44/753122944.db2.gz FIEORWYGOSXNJM-GFCCVEGCSA-N 1 2 309.797 1.332 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2COc3ccccc32)C1 ZINC001108441346 762194866 /nfs/dbraw/zinc/19/48/66/762194866.db2.gz MRCSEHCLFCKSDI-QAPCUYQASA-N 1 2 316.401 1.556 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2COc3ccccc32)C1 ZINC001108441346 762194872 /nfs/dbraw/zinc/19/48/72/762194872.db2.gz MRCSEHCLFCKSDI-QAPCUYQASA-N 1 2 316.401 1.556 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@]1(C)C[N@H+](CC#CC)CCO1 ZINC001107998190 753406971 /nfs/dbraw/zinc/40/69/71/753406971.db2.gz JBJJBKCHSDZYHR-GOSISDBHSA-N 1 2 315.417 1.518 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001107998190 753406974 /nfs/dbraw/zinc/40/69/74/753406974.db2.gz JBJJBKCHSDZYHR-GOSISDBHSA-N 1 2 315.417 1.518 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3ccon3)C2)s1 ZINC001010331797 753770371 /nfs/dbraw/zinc/77/03/71/753770371.db2.gz VCIJQRSLNGEJSX-SNVBAGLBSA-N 1 2 302.359 1.612 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3ccon3)C2)s1 ZINC001010331797 753770373 /nfs/dbraw/zinc/77/03/73/753770373.db2.gz VCIJQRSLNGEJSX-SNVBAGLBSA-N 1 2 302.359 1.612 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001010342093 753784096 /nfs/dbraw/zinc/78/40/96/753784096.db2.gz IVXBAGCLWLXWHS-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001010342093 753784098 /nfs/dbraw/zinc/78/40/98/753784098.db2.gz IVXBAGCLWLXWHS-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cc(N2CC[C@H](N(C)C(=O)Cn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001062948466 753855751 /nfs/dbraw/zinc/85/57/51/753855751.db2.gz QBHFDHOOCBWLFO-HNNXBMFYSA-N 1 2 324.388 1.196 20 30 DDEDLO Cc1cc(N2CC[C@H](N(C)C(=O)Cc3c[nH+]c[nH]3)C2)c(C#N)cn1 ZINC001063047992 753940144 /nfs/dbraw/zinc/94/01/44/753940144.db2.gz BISMMFJKNFMZHX-HNNXBMFYSA-N 1 2 324.388 1.265 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@@H]2CCc3[nH+]ccn3C2)C1 ZINC001043132300 753980194 /nfs/dbraw/zinc/98/01/94/753980194.db2.gz HGEQNGJQXDCZJF-GFCCVEGCSA-N 1 2 308.813 1.341 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCCO2)C1 ZINC001010629294 754064957 /nfs/dbraw/zinc/06/49/57/754064957.db2.gz LOSRCMPVTTYXKM-SJORKVTESA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCCO2)C1 ZINC001010629294 754064965 /nfs/dbraw/zinc/06/49/65/754064965.db2.gz LOSRCMPVTTYXKM-SJORKVTESA-N 1 2 313.401 1.818 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2CC#CC ZINC001039923562 762268623 /nfs/dbraw/zinc/26/86/23/762268623.db2.gz XDXFPCJPXMHXBP-TWMKSMIVSA-N 1 2 302.418 1.666 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2CC#CC ZINC001039923562 762268624 /nfs/dbraw/zinc/26/86/24/762268624.db2.gz XDXFPCJPXMHXBP-TWMKSMIVSA-N 1 2 302.418 1.666 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)cc[nH+]1 ZINC001039950999 762284019 /nfs/dbraw/zinc/28/40/19/762284019.db2.gz VYPMATAIXTXOSY-GXTWGEPZSA-N 1 2 301.394 1.762 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(COC)s1 ZINC001032819279 754488074 /nfs/dbraw/zinc/48/80/74/754488074.db2.gz ROSKRZFIMKBGQH-RYUDHWBXSA-N 1 2 307.419 1.764 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(COC)s1 ZINC001032819279 754488080 /nfs/dbraw/zinc/48/80/80/754488080.db2.gz ROSKRZFIMKBGQH-RYUDHWBXSA-N 1 2 307.419 1.764 20 30 DDEDLO CN(c1ncccc1C#N)[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001056997510 762310203 /nfs/dbraw/zinc/31/02/03/762310203.db2.gz CQVNACRAVFVJMZ-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO C=CCCCC(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064701582 754779639 /nfs/dbraw/zinc/77/96/39/754779639.db2.gz DJQHBPRCYJLLIB-UHFFFAOYSA-N 1 2 304.394 1.464 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccncc2C#N)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064786275 754848610 /nfs/dbraw/zinc/84/86/10/754848610.db2.gz ZACDIIDVBXCDCY-ZFWWWQNUSA-N 1 2 324.388 1.063 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC001079733301 755553969 /nfs/dbraw/zinc/55/39/69/755553969.db2.gz CEGABIITZKJXME-BXUZGUMPSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC001079733301 755553973 /nfs/dbraw/zinc/55/39/73/755553973.db2.gz CEGABIITZKJXME-BXUZGUMPSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(C)CCC(=O)N1CC(N(CC)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001079966113 755658539 /nfs/dbraw/zinc/65/85/39/755658539.db2.gz JBUTWVPMIDJUTC-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(C)CCC(=O)N1CC(N(CC)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001079966113 755658541 /nfs/dbraw/zinc/65/85/41/755658541.db2.gz JBUTWVPMIDJUTC-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080031663 755691665 /nfs/dbraw/zinc/69/16/65/755691665.db2.gz DWGATVJQQDYRFC-CQSZACIVSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(CC)nn2C)C1 ZINC001080666278 756033938 /nfs/dbraw/zinc/03/39/38/756033938.db2.gz BWPPTWKVDYOCPI-ZWNOBZJWSA-N 1 2 310.829 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(CC)nn2C)C1 ZINC001080666278 756033946 /nfs/dbraw/zinc/03/39/46/756033946.db2.gz BWPPTWKVDYOCPI-ZWNOBZJWSA-N 1 2 310.829 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001080869723 756132686 /nfs/dbraw/zinc/13/26/86/756132686.db2.gz WFPVNAUVZLMJJY-RAIGVLPGSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001080869723 756132688 /nfs/dbraw/zinc/13/26/88/756132688.db2.gz WFPVNAUVZLMJJY-RAIGVLPGSA-N 1 2 313.829 1.186 20 30 DDEDLO C[NH+]1CCN(c2nccnc2NC=CC(=O)C(F)(F)F)CC1 ZINC001169473668 762458652 /nfs/dbraw/zinc/45/86/52/762458652.db2.gz OWDQEZPHKMLFGN-NSCUHMNNSA-N 1 2 315.299 1.285 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cccc(F)c2F)C[C@@H]1O ZINC001099727242 756429498 /nfs/dbraw/zinc/42/94/98/756429498.db2.gz ODUSMCHGWRMOQW-CABCVRRESA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2cccc(F)c2F)C[C@@H]1O ZINC001099727242 756429503 /nfs/dbraw/zinc/42/95/03/756429503.db2.gz ODUSMCHGWRMOQW-CABCVRRESA-N 1 2 324.371 1.982 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)cn3)C2)c1 ZINC001015679884 756462076 /nfs/dbraw/zinc/46/20/76/756462076.db2.gz OYXDDBCRLBIQHS-MRXNPFEDSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)cn3)C2)c1 ZINC001015679884 756462080 /nfs/dbraw/zinc/46/20/80/756462080.db2.gz OYXDDBCRLBIQHS-MRXNPFEDSA-N 1 2 321.384 1.166 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001015813730 756568611 /nfs/dbraw/zinc/56/86/11/756568611.db2.gz XVAGCUKBRRNONL-RYUDHWBXSA-N 1 2 305.403 1.432 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001015813730 756568614 /nfs/dbraw/zinc/56/86/14/756568614.db2.gz XVAGCUKBRRNONL-RYUDHWBXSA-N 1 2 305.403 1.432 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2occ3c2CCC3)[C@H](OC)C1 ZINC001081988166 756575796 /nfs/dbraw/zinc/57/57/96/756575796.db2.gz XZDJHYBVPHBSPG-HUUCEWRRSA-N 1 2 302.374 1.221 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2occ3c2CCC3)[C@H](OC)C1 ZINC001081988166 756575799 /nfs/dbraw/zinc/57/57/99/756575799.db2.gz XZDJHYBVPHBSPG-HUUCEWRRSA-N 1 2 302.374 1.221 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001015828960 756576886 /nfs/dbraw/zinc/57/68/86/756576886.db2.gz NEHWRCJAUWXEDE-KBMXLJTQSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001015828960 756576889 /nfs/dbraw/zinc/57/68/89/756576889.db2.gz NEHWRCJAUWXEDE-KBMXLJTQSA-N 1 2 318.421 1.092 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@H](C)[C@H](Nc2ccc(C#N)cn2)C1 ZINC001067127037 756668443 /nfs/dbraw/zinc/66/84/43/756668443.db2.gz LCKWQTAQDWYYAS-XHDPSFHLSA-N 1 2 324.388 1.486 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCCN1C(=O)CCn1cc[nH+]c1 ZINC001082186862 756679783 /nfs/dbraw/zinc/67/97/83/756679783.db2.gz LXJJZBXHYCPFSJ-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn3cc(C)nc3s1)C2 ZINC001097218806 756988240 /nfs/dbraw/zinc/98/82/40/756988240.db2.gz KHAGMIMDERZMMG-UPJWGTAASA-N 1 2 314.414 1.673 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn3cc(C)nc3s1)C2 ZINC001097218806 756988246 /nfs/dbraw/zinc/98/82/46/756988246.db2.gz KHAGMIMDERZMMG-UPJWGTAASA-N 1 2 314.414 1.673 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(Cl)c[nH]3)[C@H]2C1 ZINC001082997142 757034563 /nfs/dbraw/zinc/03/45/63/757034563.db2.gz MTOUFRZDWHEQEL-UONOGXRCSA-N 1 2 307.781 1.217 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(Cl)c[nH]3)[C@H]2C1 ZINC001082997142 757034571 /nfs/dbraw/zinc/03/45/71/757034571.db2.gz MTOUFRZDWHEQEL-UONOGXRCSA-N 1 2 307.781 1.217 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3c(C)coc3C)[C@H]2C1 ZINC001083069946 757076508 /nfs/dbraw/zinc/07/65/08/757076508.db2.gz YZDRPGAZBKNNFF-LSDHHAIUSA-N 1 2 302.374 1.445 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3c(C)coc3C)[C@H]2C1 ZINC001083069946 757076513 /nfs/dbraw/zinc/07/65/13/757076513.db2.gz YZDRPGAZBKNNFF-LSDHHAIUSA-N 1 2 302.374 1.445 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097310690 757081505 /nfs/dbraw/zinc/08/15/05/757081505.db2.gz ACQCOZBRCWIALF-HNNXBMFYSA-N 1 2 318.421 1.710 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3coc4c3cccc4C)[C@H]2C1 ZINC001083106670 757139610 /nfs/dbraw/zinc/13/96/10/757139610.db2.gz PDGKEYCFUSQMAT-DLBZAZTESA-N 1 2 324.380 1.900 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3coc4c3cccc4C)[C@H]2C1 ZINC001083106670 757139613 /nfs/dbraw/zinc/13/96/13/757139613.db2.gz PDGKEYCFUSQMAT-DLBZAZTESA-N 1 2 324.380 1.900 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3cccc(C4CC4)c3)[C@H]2C1 ZINC001083152161 757161022 /nfs/dbraw/zinc/16/10/22/757161022.db2.gz PJLZHBVWPBXTQI-RBUKOAKNSA-N 1 2 324.424 1.651 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3cccc(C4CC4)c3)[C@H]2C1 ZINC001083152161 757161029 /nfs/dbraw/zinc/16/10/29/757161029.db2.gz PJLZHBVWPBXTQI-RBUKOAKNSA-N 1 2 324.424 1.651 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(-c3ccccc3)co2)[C@@H](O)C1 ZINC001084127576 757294199 /nfs/dbraw/zinc/29/41/99/757294199.db2.gz NKOTZUUZTIYMLC-SJORKVTESA-N 1 2 324.380 1.745 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(-c3ccccc3)co2)[C@@H](O)C1 ZINC001084127576 757294209 /nfs/dbraw/zinc/29/42/09/757294209.db2.gz NKOTZUUZTIYMLC-SJORKVTESA-N 1 2 324.380 1.745 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[N@H+](Cc3cc(C#N)ccc3F)C[C@H]21 ZINC001084135100 757299391 /nfs/dbraw/zinc/29/93/91/757299391.db2.gz HFGBHWLEZFLTSK-CZUORRHYSA-N 1 2 317.364 1.376 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[N@@H+](Cc3cc(C#N)ccc3F)C[C@H]21 ZINC001084135100 757299397 /nfs/dbraw/zinc/29/93/97/757299397.db2.gz HFGBHWLEZFLTSK-CZUORRHYSA-N 1 2 317.364 1.376 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H]2CN(C(=O)c3cn[nH]n3)[C@@H]2C1 ZINC001084479473 757617682 /nfs/dbraw/zinc/61/76/82/757617682.db2.gz JBRFPJXRQDXQAN-GDBMZVCRSA-N 1 2 322.372 1.023 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H]2CN(C(=O)c3cn[nH]n3)[C@@H]2C1 ZINC001084479473 757617688 /nfs/dbraw/zinc/61/76/88/757617688.db2.gz JBRFPJXRQDXQAN-GDBMZVCRSA-N 1 2 322.372 1.023 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001084578284 757679316 /nfs/dbraw/zinc/67/93/16/757679316.db2.gz TWCXSQFCGFHWSW-UKRRQHHQSA-N 1 2 302.422 1.665 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001084578284 757679320 /nfs/dbraw/zinc/67/93/20/757679320.db2.gz TWCXSQFCGFHWSW-UKRRQHHQSA-N 1 2 302.422 1.665 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2=CC[N@H+](Cc3ccon3)CC2)c1 ZINC001001129206 762573465 /nfs/dbraw/zinc/57/34/65/762573465.db2.gz RRJKKSXWUWAWQT-UHFFFAOYSA-N 1 2 322.368 1.613 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2=CC[N@@H+](Cc3ccon3)CC2)c1 ZINC001001129206 762573469 /nfs/dbraw/zinc/57/34/69/762573469.db2.gz RRJKKSXWUWAWQT-UHFFFAOYSA-N 1 2 322.368 1.613 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3cn[nH]c3)[C@@H]2C1 ZINC001084711075 757802891 /nfs/dbraw/zinc/80/28/91/757802891.db2.gz OVRJTQMVEHFUIZ-ZIAGYGMSSA-N 1 2 308.813 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3cn[nH]c3)[C@@H]2C1 ZINC001084711075 757802902 /nfs/dbraw/zinc/80/29/02/757802902.db2.gz OVRJTQMVEHFUIZ-ZIAGYGMSSA-N 1 2 308.813 1.628 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCO[C@H]1C(C)C ZINC001017564993 758034795 /nfs/dbraw/zinc/03/47/95/758034795.db2.gz XYAPTZMWWPEWOZ-YVSFHVDLSA-N 1 2 304.434 1.746 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCO[C@H]1C(C)C ZINC001017564993 758034806 /nfs/dbraw/zinc/03/48/06/758034806.db2.gz XYAPTZMWWPEWOZ-YVSFHVDLSA-N 1 2 304.434 1.746 20 30 DDEDLO C[C@@]1(NC(=O)c2ncccn2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046721646 767850903 /nfs/dbraw/zinc/85/09/03/767850903.db2.gz MQTQNZLGQZAVCI-GOSISDBHSA-N 1 2 321.384 1.743 20 30 DDEDLO C[C@@]1(NC(=O)c2ncccn2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046721646 767850908 /nfs/dbraw/zinc/85/09/08/767850908.db2.gz MQTQNZLGQZAVCI-GOSISDBHSA-N 1 2 321.384 1.743 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCn2cc(C)nc2C1 ZINC001017663958 758131748 /nfs/dbraw/zinc/13/17/48/758131748.db2.gz YKFSIJPQPCKJPJ-HRCADAONSA-N 1 2 312.417 1.062 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCn2cc(C)nc2C1 ZINC001017663958 758131751 /nfs/dbraw/zinc/13/17/51/758131751.db2.gz YKFSIJPQPCKJPJ-HRCADAONSA-N 1 2 312.417 1.062 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N(C)C)nc1 ZINC001017696608 758160829 /nfs/dbraw/zinc/16/08/29/758160829.db2.gz UMMBPYQOPKDTMA-IYBDPMFKSA-N 1 2 312.417 1.460 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N(C)C)nc1 ZINC001017696608 758160833 /nfs/dbraw/zinc/16/08/33/758160833.db2.gz UMMBPYQOPKDTMA-IYBDPMFKSA-N 1 2 312.417 1.460 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccn2nccc12 ZINC001017703806 758166990 /nfs/dbraw/zinc/16/69/90/758166990.db2.gz DHYAMUAKTHFHFN-GASCZTMLSA-N 1 2 308.385 1.646 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccn2nccc12 ZINC001017703806 758166994 /nfs/dbraw/zinc/16/69/94/758166994.db2.gz DHYAMUAKTHFHFN-GASCZTMLSA-N 1 2 308.385 1.646 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COc2ccccc2C1 ZINC001017719501 758179258 /nfs/dbraw/zinc/17/92/58/758179258.db2.gz DMNHLJSGNGNKKB-YESZJQIVSA-N 1 2 310.397 1.546 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COc2ccccc2C1 ZINC001017719501 758179261 /nfs/dbraw/zinc/17/92/61/758179261.db2.gz DMNHLJSGNGNKKB-YESZJQIVSA-N 1 2 310.397 1.546 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2ccc(C)cn12 ZINC001017734045 758192695 /nfs/dbraw/zinc/19/26/95/758192695.db2.gz XSTPCRBPUGOSPP-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2ccc(C)cn12 ZINC001017734045 758192704 /nfs/dbraw/zinc/19/27/04/758192704.db2.gz XSTPCRBPUGOSPP-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2ccncc21 ZINC001017770128 758220353 /nfs/dbraw/zinc/22/03/53/758220353.db2.gz XLQQPJHHXKHCNZ-OKILXGFUSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2ccncc21 ZINC001017770128 758220357 /nfs/dbraw/zinc/22/03/57/758220357.db2.gz XLQQPJHHXKHCNZ-OKILXGFUSA-N 1 2 306.369 1.552 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC[C@H](OC)C1 ZINC001017863354 758308879 /nfs/dbraw/zinc/30/88/79/758308879.db2.gz HVCDNGIPPGSIFD-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC[C@H](OC)C1 ZINC001017863354 758308885 /nfs/dbraw/zinc/30/88/85/758308885.db2.gz HVCDNGIPPGSIFD-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCO[C@@H]1C(C)C)CCO2 ZINC001053281993 758336064 /nfs/dbraw/zinc/33/60/64/758336064.db2.gz FGFWYGRKFPOFIK-JKSUJKDBSA-N 1 2 322.449 1.537 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(Cl)n(C)n1)CCO2 ZINC001053333221 758382452 /nfs/dbraw/zinc/38/24/52/758382452.db2.gz AAGMDUHOAIXBRZ-UHFFFAOYSA-N 1 2 324.812 1.176 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cnccc2n1 ZINC001017992977 758450313 /nfs/dbraw/zinc/45/03/13/758450313.db2.gz KJHBJHJJJCISDT-GASCZTMLSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cnccc2n1 ZINC001017992977 758450321 /nfs/dbraw/zinc/45/03/21/758450321.db2.gz KJHBJHJJJCISDT-GASCZTMLSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccc(F)c1F ZINC001017998614 758457101 /nfs/dbraw/zinc/45/71/01/758457101.db2.gz PYIQNMBIYCUPJD-OKILXGFUSA-N 1 2 304.340 1.816 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccc(F)c1F ZINC001017998614 758457102 /nfs/dbraw/zinc/45/71/02/758457102.db2.gz PYIQNMBIYCUPJD-OKILXGFUSA-N 1 2 304.340 1.816 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@]1(C)CCCOC1)CCO2 ZINC001053487271 758493855 /nfs/dbraw/zinc/49/38/55/758493855.db2.gz IOERWJZLRRLXJQ-INIZCTEOSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cccn1CC)CCO2 ZINC001053535580 758540456 /nfs/dbraw/zinc/54/04/56/758540456.db2.gz UUAULYJATWTVOQ-UHFFFAOYSA-N 1 2 303.406 1.611 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c2c(nn1C)CCC2 ZINC001018169496 758598569 /nfs/dbraw/zinc/59/85/69/758598569.db2.gz SXXJLGFYLWRUFW-OKILXGFUSA-N 1 2 312.417 1.221 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c2c(nn1C)CCC2 ZINC001018169496 758598575 /nfs/dbraw/zinc/59/85/75/758598575.db2.gz SXXJLGFYLWRUFW-OKILXGFUSA-N 1 2 312.417 1.221 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C#N)c[nH]1)O2 ZINC001053587580 758603288 /nfs/dbraw/zinc/60/32/88/758603288.db2.gz FULUDZCUNAZWRM-CQSZACIVSA-N 1 2 314.389 1.426 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(C#N)[nH]1)O2 ZINC001053590946 758608330 /nfs/dbraw/zinc/60/83/30/758608330.db2.gz SRYAPSPIZGYGDT-CQSZACIVSA-N 1 2 314.389 1.426 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccn(C)c1)O2 ZINC001053591718 758609448 /nfs/dbraw/zinc/60/94/48/758609448.db2.gz BFHMPKSVPCQOMO-OAHLLOKOSA-N 1 2 303.406 1.564 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1CCC2(C[NH+](CC=C)C2)O1 ZINC001053611201 758630323 /nfs/dbraw/zinc/63/03/23/758630323.db2.gz MIPCLMLBBHWSLB-HNNXBMFYSA-N 1 2 315.417 1.823 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1csnn1)O2 ZINC001053634649 758650694 /nfs/dbraw/zinc/65/06/94/758650694.db2.gz ZFNBMBRTFWHTRF-NSHDSACASA-N 1 2 308.407 1.077 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)c(C)cn1)O2 ZINC001053637664 758653595 /nfs/dbraw/zinc/65/35/95/758653595.db2.gz BMJYYSLIXOAVAR-OAHLLOKOSA-N 1 2 315.417 1.848 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnoc1CC)O2 ZINC001053671992 758682161 /nfs/dbraw/zinc/68/21/61/758682161.db2.gz JRMYKWRZYIXGDA-GFCCVEGCSA-N 1 2 305.378 1.386 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnc(CC)s1)O2 ZINC001053701177 758709060 /nfs/dbraw/zinc/70/90/60/758709060.db2.gz ODDGMXGOQGVIEF-LBPRGKRZSA-N 1 2 321.446 1.855 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCN1C(=O)CCC ZINC001018300892 758710573 /nfs/dbraw/zinc/71/05/73/758710573.db2.gz FEVDATDQHGLBDP-OWCLPIDISA-N 1 2 317.433 1.086 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCN1C(=O)CCC ZINC001018300892 758710578 /nfs/dbraw/zinc/71/05/78/758710578.db2.gz FEVDATDQHGLBDP-OWCLPIDISA-N 1 2 317.433 1.086 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@H]([NH+]3CCN(C(C)=O)CC3)C2)CC1 ZINC001065712977 758730825 /nfs/dbraw/zinc/73/08/25/758730825.db2.gz JKUQDFQDJQNSCH-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO C[C@H](Nc1ncccc1C#N)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065729436 758745175 /nfs/dbraw/zinc/74/51/75/758745175.db2.gz NJFDNUFHPFNQFG-GXTWGEPZSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)oc1C)CO2 ZINC001053739516 758753158 /nfs/dbraw/zinc/75/31/58/758753158.db2.gz LZTUDLJWWPSEBN-AWEZNQCLSA-N 1 2 302.374 1.493 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)n1cccc1)CO2 ZINC001053777420 758799610 /nfs/dbraw/zinc/79/96/10/758799610.db2.gz KYTLLNHXTXLJMW-GJZGRUSLSA-N 1 2 303.406 1.585 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnc(C3CC3)o1)CO2 ZINC001053831766 758862302 /nfs/dbraw/zinc/86/23/02/758862302.db2.gz PAHGXUZCJXDRRI-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnn(C)c1Cl)CO2 ZINC001053847019 758879447 /nfs/dbraw/zinc/87/94/47/758879447.db2.gz VZRJLXXIFPRRBB-LLVKDONJSA-N 1 2 324.812 1.223 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC13CCCC3)CO2 ZINC001053974708 759011793 /nfs/dbraw/zinc/01/17/93/759011793.db2.gz SKYJUDKWIAJJRD-GJZGRUSLSA-N 1 2 302.418 1.550 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(Cl)cn1)CO2 ZINC001053988370 759030708 /nfs/dbraw/zinc/03/07/08/759030708.db2.gz HLGVDJRHIABMTG-CYBMUJFWSA-N 1 2 321.808 1.884 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001018629868 759047899 /nfs/dbraw/zinc/04/78/99/759047899.db2.gz BTFMIQHAFLFRQH-NEPJUHHUSA-N 1 2 305.382 1.118 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)C[C@H](NC(=O)Cn1ccnc1C)CO2 ZINC001054007697 759055521 /nfs/dbraw/zinc/05/55/21/759055521.db2.gz LENVFUQFCFWPMR-HNNXBMFYSA-N 1 2 318.421 1.117 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cncnc1CC)CO2 ZINC001054011204 759058512 /nfs/dbraw/zinc/05/85/12/759058512.db2.gz GRJQVASKWCQHPK-ZDUSSCGKSA-N 1 2 316.405 1.188 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001054029320 759080842 /nfs/dbraw/zinc/08/08/42/759080842.db2.gz KPHYRDHHBDMNSY-GOSISDBHSA-N 1 2 321.380 1.110 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001054029320 759080846 /nfs/dbraw/zinc/08/08/46/759080846.db2.gz KPHYRDHHBDMNSY-GOSISDBHSA-N 1 2 321.380 1.110 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccccn1 ZINC001054028811 759081183 /nfs/dbraw/zinc/08/11/83/759081183.db2.gz XNFMUPSOPNBFOP-QGZVFWFLSA-N 1 2 305.381 1.872 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccccn1 ZINC001054028811 759081192 /nfs/dbraw/zinc/08/11/92/759081192.db2.gz XNFMUPSOPNBFOP-QGZVFWFLSA-N 1 2 305.381 1.872 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cn(C)cn1 ZINC001054037607 759095248 /nfs/dbraw/zinc/09/52/48/759095248.db2.gz KSESGRKWUXZZOT-INIZCTEOSA-N 1 2 308.385 1.210 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cn(C)cn1 ZINC001054037607 759095257 /nfs/dbraw/zinc/09/52/57/759095257.db2.gz KSESGRKWUXZZOT-INIZCTEOSA-N 1 2 308.385 1.210 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)C1CC(OC)C1 ZINC001054043705 759101552 /nfs/dbraw/zinc/10/15/52/759101552.db2.gz HARRQXWOENSWKZ-ADKAHSJRSA-N 1 2 312.413 1.588 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)C1CC(OC)C1 ZINC001054043705 759101554 /nfs/dbraw/zinc/10/15/54/759101554.db2.gz HARRQXWOENSWKZ-ADKAHSJRSA-N 1 2 312.413 1.588 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@H]([NH2+]Cc2nncs2)C1 ZINC001018863114 759344762 /nfs/dbraw/zinc/34/47/62/759344762.db2.gz NPASSALKBFJTIY-XQQFMLRXSA-N 1 2 322.434 1.210 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnc(C)n3C)cc2C1 ZINC001054278442 759384781 /nfs/dbraw/zinc/38/47/81/759384781.db2.gz BAWUSRVILWLDIF-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnc(C)n3C)cc2C1 ZINC001054278442 759384791 /nfs/dbraw/zinc/38/47/91/759384791.db2.gz BAWUSRVILWLDIF-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@@H]3CCOC[C@H]3C)cc2C1 ZINC001054280392 759387986 /nfs/dbraw/zinc/38/79/86/759387986.db2.gz IJWPMBJBQLDMFJ-RDTXWAMCSA-N 1 2 312.413 1.924 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@@H]3CCOC[C@H]3C)cc2C1 ZINC001054280392 759387995 /nfs/dbraw/zinc/38/79/95/759387995.db2.gz IJWPMBJBQLDMFJ-RDTXWAMCSA-N 1 2 312.413 1.924 20 30 DDEDLO Cc1nc(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#Cc2ccccc2)c[nH]1 ZINC001085520640 759618722 /nfs/dbraw/zinc/61/87/22/759618722.db2.gz UGIPPHGRMYMJJJ-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1nc(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#Cc2ccccc2)c[nH]1 ZINC001085520640 759618724 /nfs/dbraw/zinc/61/87/24/759618724.db2.gz UGIPPHGRMYMJJJ-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cncs1)C(=O)c1ccc(C#N)[nH]1 ZINC001085553569 759682566 /nfs/dbraw/zinc/68/25/66/759682566.db2.gz OTQBFSTTWMYXRT-LBPRGKRZSA-N 1 2 315.402 1.689 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cncs1)C(=O)c1ccc(C#N)[nH]1 ZINC001085553569 759682573 /nfs/dbraw/zinc/68/25/73/759682573.db2.gz OTQBFSTTWMYXRT-LBPRGKRZSA-N 1 2 315.402 1.689 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnc(OC)nc2)C1 ZINC001046746724 767883208 /nfs/dbraw/zinc/88/32/08/767883208.db2.gz OKLCDOSUJBEPSD-AWEZNQCLSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnc(OC)nc2)C1 ZINC001046746724 767883215 /nfs/dbraw/zinc/88/32/15/767883215.db2.gz OKLCDOSUJBEPSD-AWEZNQCLSA-N 1 2 310.785 1.432 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncnc2sccc21 ZINC001085602916 759823021 /nfs/dbraw/zinc/82/30/21/759823021.db2.gz ONMYUPHUHNDKFV-LLVKDONJSA-N 1 2 300.387 1.471 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncnc2sccc21 ZINC001085602916 759823032 /nfs/dbraw/zinc/82/30/32/759823032.db2.gz ONMYUPHUHNDKFV-LLVKDONJSA-N 1 2 300.387 1.471 20 30 DDEDLO C[C@H]1CN(C(=O)C#CC2CC2)C[C@@H]1[NH2+]Cc1nnc(C2CC2)o1 ZINC001054636609 759928081 /nfs/dbraw/zinc/92/80/81/759928081.db2.gz KULBUYOCFGRNBP-FZMZJTMJSA-N 1 2 314.389 1.297 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]ncc1C(F)(F)F ZINC001085637776 759929702 /nfs/dbraw/zinc/92/97/02/759929702.db2.gz ZEXBQSLFGPTTDB-VIFPVBQESA-N 1 2 300.284 1.208 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]ncc1C(F)(F)F ZINC001085637776 759929710 /nfs/dbraw/zinc/92/97/10/759929710.db2.gz ZEXBQSLFGPTTDB-VIFPVBQESA-N 1 2 300.284 1.208 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001019500451 759996921 /nfs/dbraw/zinc/99/69/21/759996921.db2.gz XMQKBQXXXHIBGO-UBHSHLNASA-N 1 2 322.434 1.210 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1coc(-c2cccnc2)n1 ZINC001085697073 760035162 /nfs/dbraw/zinc/03/51/62/760035162.db2.gz BAZIHFVSCVRDGZ-HNNXBMFYSA-N 1 2 324.384 1.906 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1coc(-c2cccnc2)n1 ZINC001085697073 760035174 /nfs/dbraw/zinc/03/51/74/760035174.db2.gz BAZIHFVSCVRDGZ-HNNXBMFYSA-N 1 2 324.384 1.906 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2ccncc2n1 ZINC001085727424 760112948 /nfs/dbraw/zinc/11/29/48/760112948.db2.gz WZGOSTWWBWRZKV-OAHLLOKOSA-N 1 2 308.385 1.799 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2ccncc2n1 ZINC001085727424 760112953 /nfs/dbraw/zinc/11/29/53/760112953.db2.gz WZGOSTWWBWRZKV-OAHLLOKOSA-N 1 2 308.385 1.799 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069221358 767925118 /nfs/dbraw/zinc/92/51/18/767925118.db2.gz NDCSUAUNISKTEI-DOMZBBRYSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069221358 767925122 /nfs/dbraw/zinc/92/51/22/767925122.db2.gz NDCSUAUNISKTEI-DOMZBBRYSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001054970870 760245355 /nfs/dbraw/zinc/24/53/55/760245355.db2.gz JPLNORHHXFICIK-YPMHNXCESA-N 1 2 306.410 1.917 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cc(C)no2)c1 ZINC001085809648 760277925 /nfs/dbraw/zinc/27/79/25/760277925.db2.gz KMKBQMGIOHCWSM-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cc(C)no2)c1 ZINC001085809648 760277930 /nfs/dbraw/zinc/27/79/30/760277930.db2.gz KMKBQMGIOHCWSM-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001046804741 767938605 /nfs/dbraw/zinc/93/86/05/767938605.db2.gz FXVOLOCXPNSONW-HIFRSBDPSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001046804741 767938611 /nfs/dbraw/zinc/93/86/11/767938611.db2.gz FXVOLOCXPNSONW-HIFRSBDPSA-N 1 2 313.829 1.188 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1noc2c1CCCC2 ZINC001085841095 760339138 /nfs/dbraw/zinc/33/91/38/760339138.db2.gz XSRWQJZIDNCTOO-ZDUSSCGKSA-N 1 2 301.390 1.723 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1noc2c1CCCC2 ZINC001085841095 760339147 /nfs/dbraw/zinc/33/91/47/760339147.db2.gz XSRWQJZIDNCTOO-ZDUSSCGKSA-N 1 2 301.390 1.723 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-n2cccc2)nc1 ZINC001085878891 760437431 /nfs/dbraw/zinc/43/74/31/760437431.db2.gz PYJOPBIJAMQILO-MRXNPFEDSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-n2cccc2)nc1 ZINC001085878891 760437436 /nfs/dbraw/zinc/43/74/36/760437436.db2.gz PYJOPBIJAMQILO-MRXNPFEDSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001085902172 760495696 /nfs/dbraw/zinc/49/56/96/760495696.db2.gz WAUOSDCXGCRLRR-STQMWFEESA-N 1 2 300.406 1.314 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001085902172 760495700 /nfs/dbraw/zinc/49/57/00/760495700.db2.gz WAUOSDCXGCRLRR-STQMWFEESA-N 1 2 300.406 1.314 20 30 DDEDLO C#CC[N@H+]1CC[C@@](C)(NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001046831370 767962756 /nfs/dbraw/zinc/96/27/56/767962756.db2.gz KDICMAJVQQKXHB-QGZVFWFLSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001046831370 767962760 /nfs/dbraw/zinc/96/27/60/767962760.db2.gz KDICMAJVQQKXHB-QGZVFWFLSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(OC)cccc1OC ZINC001085944698 760585638 /nfs/dbraw/zinc/58/56/38/760585638.db2.gz PWXGCIHYYJDCHB-CYBMUJFWSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(OC)cccc1OC ZINC001085944698 760585639 /nfs/dbraw/zinc/58/56/39/760585639.db2.gz PWXGCIHYYJDCHB-CYBMUJFWSA-N 1 2 302.374 1.483 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001108227523 760613989 /nfs/dbraw/zinc/61/39/89/760613989.db2.gz BYPQIZHHAPANBE-JFIYKMOQSA-N 1 2 306.450 1.899 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001108227523 760613993 /nfs/dbraw/zinc/61/39/93/760613993.db2.gz BYPQIZHHAPANBE-JFIYKMOQSA-N 1 2 306.450 1.899 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]c2ccccc2c1OC ZINC001085992079 760671524 /nfs/dbraw/zinc/67/15/24/760671524.db2.gz BUXNXZHFPZGSEO-ZDUSSCGKSA-N 1 2 311.385 1.956 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]c2ccccc2c1OC ZINC001085992079 760671527 /nfs/dbraw/zinc/67/15/27/760671527.db2.gz BUXNXZHFPZGSEO-ZDUSSCGKSA-N 1 2 311.385 1.956 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](N2C(=O)O[C@H]3C[N@@H+](CC=C)C[C@H]32)C1 ZINC001131304745 767980401 /nfs/dbraw/zinc/98/04/01/767980401.db2.gz RRWARTPGIWPMDL-KFWWJZLASA-N 1 2 319.405 1.245 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](N2C(=O)O[C@H]3C[N@H+](CC=C)C[C@H]32)C1 ZINC001131304745 767980403 /nfs/dbraw/zinc/98/04/03/767980403.db2.gz RRWARTPGIWPMDL-KFWWJZLASA-N 1 2 319.405 1.245 20 30 DDEDLO Cc1cc(N2CCN(C(=O)CCn3cc[nH+]c3)CC2)c(C#N)cn1 ZINC001055968377 760868566 /nfs/dbraw/zinc/86/85/66/760868566.db2.gz XEKWHKXWXCKQLI-UHFFFAOYSA-N 1 2 324.388 1.197 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ncn2cc(Cl)ccc12 ZINC001038268979 760962202 /nfs/dbraw/zinc/96/22/02/760962202.db2.gz LCUWVZKMWMIYQW-CYBMUJFWSA-N 1 2 316.792 1.815 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ncn2cc(Cl)ccc12 ZINC001038268979 760962206 /nfs/dbraw/zinc/96/22/06/760962206.db2.gz LCUWVZKMWMIYQW-CYBMUJFWSA-N 1 2 316.792 1.815 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC001038414683 761098650 /nfs/dbraw/zinc/09/86/50/761098650.db2.gz ZWFGNECXPZIKLI-KGLIPLIRSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC001038414683 761098657 /nfs/dbraw/zinc/09/86/57/761098657.db2.gz ZWFGNECXPZIKLI-KGLIPLIRSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001038480200 761151625 /nfs/dbraw/zinc/15/16/25/761151625.db2.gz GWHNYBBKSPMVKY-HNNXBMFYSA-N 1 2 311.385 1.399 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001038480200 761151628 /nfs/dbraw/zinc/15/16/28/761151628.db2.gz GWHNYBBKSPMVKY-HNNXBMFYSA-N 1 2 311.385 1.399 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(-n2cncn2)c1 ZINC001038686561 761287524 /nfs/dbraw/zinc/28/75/24/761287524.db2.gz JPCNFWLKHVWXTD-MRXNPFEDSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(-n2cncn2)c1 ZINC001038686561 761287528 /nfs/dbraw/zinc/28/75/28/761287528.db2.gz JPCNFWLKHVWXTD-MRXNPFEDSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc(C)nc1C(C)C ZINC001038770625 761391726 /nfs/dbraw/zinc/39/17/26/761391726.db2.gz AAIVOROMJSTJMN-AWEZNQCLSA-N 1 2 300.406 1.736 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc(C)nc1C(C)C ZINC001038770625 761391731 /nfs/dbraw/zinc/39/17/31/761391731.db2.gz AAIVOROMJSTJMN-AWEZNQCLSA-N 1 2 300.406 1.736 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)Cc2ccco2)C1 ZINC001108272472 761573895 /nfs/dbraw/zinc/57/38/95/761573895.db2.gz IHSCVFAMYQUYQG-WMLDXEAASA-N 1 2 306.406 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)Cc2ccco2)C1 ZINC001108272472 761573904 /nfs/dbraw/zinc/57/39/04/761573904.db2.gz IHSCVFAMYQUYQG-WMLDXEAASA-N 1 2 306.406 1.851 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2ncccc2C)cn1 ZINC001038942623 761575658 /nfs/dbraw/zinc/57/56/58/761575658.db2.gz YLLLOSSPDRRLPN-QGZVFWFLSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ncccc2C)cn1 ZINC001038942623 761575669 /nfs/dbraw/zinc/57/56/69/761575669.db2.gz YLLLOSSPDRRLPN-QGZVFWFLSA-N 1 2 320.396 1.771 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CC[C@H](C)CC)CC2=O)C1 ZINC001108580219 762741140 /nfs/dbraw/zinc/74/11/40/762741140.db2.gz CVYCZBXDDPLZCC-CABCVRRESA-N 1 2 321.465 1.790 20 30 DDEDLO Cc1nc(NC[C@H](C)NC(=O)CCn2cc[nH+]c2)ccc1C#N ZINC001108624791 762811120 /nfs/dbraw/zinc/81/11/20/762811120.db2.gz YMRCVUHRPBIUBN-LBPRGKRZSA-N 1 2 312.377 1.465 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)CC(C)(C)C)CC1 ZINC001131386041 768099159 /nfs/dbraw/zinc/09/91/59/768099159.db2.gz SAPJRNYNGYPIDN-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)CC(C)(C)C)CC1 ZINC001131386041 768099167 /nfs/dbraw/zinc/09/91/67/768099167.db2.gz SAPJRNYNGYPIDN-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO Cc1cc(N(C)C[C@@H](C)NC(=O)Cn2cc[nH+]c2)c(C#N)cn1 ZINC001109014815 763231143 /nfs/dbraw/zinc/23/11/43/763231143.db2.gz NMYQFXPRXIHVPV-CYBMUJFWSA-N 1 2 312.377 1.099 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(CCN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001041825731 763376902 /nfs/dbraw/zinc/37/69/02/763376902.db2.gz TXDCAEARYJEKFR-QGZVFWFLSA-N 1 2 309.373 1.129 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001041825731 763376911 /nfs/dbraw/zinc/37/69/11/763376911.db2.gz TXDCAEARYJEKFR-QGZVFWFLSA-N 1 2 309.373 1.129 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001050258364 763382097 /nfs/dbraw/zinc/38/20/97/763382097.db2.gz AQFRPMSCNRNWAC-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001050258364 763382106 /nfs/dbraw/zinc/38/21/06/763382106.db2.gz AQFRPMSCNRNWAC-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nc(NC2CC(N(C)C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001069764589 768132611 /nfs/dbraw/zinc/13/26/11/768132611.db2.gz ZAYMLLUYYRNBDT-UHFFFAOYSA-N 1 2 324.388 1.629 20 30 DDEDLO Cc1nc(N2C[C@@H](C)[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001041866436 763421797 /nfs/dbraw/zinc/42/17/97/763421797.db2.gz AFOCARWSRNWCJB-QMTHXVAHSA-N 1 2 310.361 1.240 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H]2CCN(C(=O)COC)C[C@H]21 ZINC001041872920 763432314 /nfs/dbraw/zinc/43/23/14/763432314.db2.gz RCRARZXJAINSPL-NWDGAFQWSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H]2CCN(C(=O)COC)C[C@H]21 ZINC001041872920 763432310 /nfs/dbraw/zinc/43/23/10/763432310.db2.gz RCRARZXJAINSPL-NWDGAFQWSA-N 1 2 317.227 1.464 20 30 DDEDLO CCCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)(C)C)C2 ZINC001109261018 763526594 /nfs/dbraw/zinc/52/65/94/763526594.db2.gz RJUMKMLHKZLJOI-ILXRZTDVSA-N 1 2 319.449 1.284 20 30 DDEDLO CCCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)(C)C)C2 ZINC001109261018 763526600 /nfs/dbraw/zinc/52/66/00/763526600.db2.gz RJUMKMLHKZLJOI-ILXRZTDVSA-N 1 2 319.449 1.284 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)nc1 ZINC001042058593 763602140 /nfs/dbraw/zinc/60/21/40/763602140.db2.gz SKMBLGCBYZRSQF-IRXDYDNUSA-N 1 2 324.428 1.621 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)nc1 ZINC001042058593 763602142 /nfs/dbraw/zinc/60/21/42/763602142.db2.gz SKMBLGCBYZRSQF-IRXDYDNUSA-N 1 2 324.428 1.621 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[NH+](Cc1nncs1)CC2 ZINC001050464191 763627571 /nfs/dbraw/zinc/62/75/71/763627571.db2.gz OJGPHJRBAXCYIB-LLVKDONJSA-N 1 2 305.407 1.122 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C1CCC1)C2 ZINC001109439125 763709888 /nfs/dbraw/zinc/70/98/88/763709888.db2.gz QYSLFEBETSVSMU-UKMLZYKCSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C1CCC1)C2 ZINC001109439125 763709893 /nfs/dbraw/zinc/70/98/93/763709893.db2.gz QYSLFEBETSVSMU-UKMLZYKCSA-N 1 2 319.449 1.446 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)c3nonc3C)C[C@@H]21 ZINC001042380539 763982782 /nfs/dbraw/zinc/98/27/82/763982782.db2.gz UPSOKKIOQCZQNJ-RYUDHWBXSA-N 1 2 310.785 1.667 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)c3nonc3C)C[C@@H]21 ZINC001042380539 763982787 /nfs/dbraw/zinc/98/27/87/763982787.db2.gz UPSOKKIOQCZQNJ-RYUDHWBXSA-N 1 2 310.785 1.667 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3ccns3)c2C1 ZINC001069863018 768194949 /nfs/dbraw/zinc/19/49/49/768194949.db2.gz RMURMZYFFHASHU-UHFFFAOYSA-N 1 2 317.418 1.661 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3ccns3)c2C1 ZINC001069863018 768194954 /nfs/dbraw/zinc/19/49/54/768194954.db2.gz RMURMZYFFHASHU-UHFFFAOYSA-N 1 2 317.418 1.661 20 30 DDEDLO N#Cc1ccc(NC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C2CC2)cn1 ZINC001109895920 764176143 /nfs/dbraw/zinc/17/61/43/764176143.db2.gz ZTTVPBRQBKZDBM-AWEZNQCLSA-N 1 2 310.361 1.226 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001050882949 764232256 /nfs/dbraw/zinc/23/22/56/764232256.db2.gz CURDZBPIEWDIJV-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1nn(C)cc1Cl ZINC001050936596 764304552 /nfs/dbraw/zinc/30/45/52/764304552.db2.gz KSCGKNWFCUEDOA-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1nn(C)cc1Cl ZINC001050936596 764304558 /nfs/dbraw/zinc/30/45/58/764304558.db2.gz KSCGKNWFCUEDOA-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(C)c(C)n2)[C@@H](O)C1 ZINC001090434639 764331857 /nfs/dbraw/zinc/33/18/57/764331857.db2.gz AKVNLYAMGKEUOA-HIFRSBDPSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)c(C)n2)[C@@H](O)C1 ZINC001090434639 764331866 /nfs/dbraw/zinc/33/18/66/764331866.db2.gz AKVNLYAMGKEUOA-HIFRSBDPSA-N 1 2 323.824 1.616 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(C(C)C)cn1 ZINC001051044431 764448767 /nfs/dbraw/zinc/44/87/67/764448767.db2.gz QEYYFAJCMAUXAP-CQSZACIVSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(C(C)C)cn1 ZINC001051044431 764448771 /nfs/dbraw/zinc/44/87/71/764448771.db2.gz QEYYFAJCMAUXAP-CQSZACIVSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCCc2nc[nH]c21 ZINC001051101684 764507186 /nfs/dbraw/zinc/50/71/86/764507186.db2.gz ZOAIBPJCFDBKGC-UONOGXRCSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCCc2nc[nH]c21 ZINC001051101684 764507190 /nfs/dbraw/zinc/50/71/90/764507190.db2.gz ZOAIBPJCFDBKGC-UONOGXRCSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C1C[NH+](C[C@H]2CC[C@@H](C)O2)C1 ZINC001042930156 764534412 /nfs/dbraw/zinc/53/44/12/764534412.db2.gz CUYZJAIGIHYQGH-GDBMZVCRSA-N 1 2 317.433 1.998 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc(OC)c1F ZINC001051178285 764582826 /nfs/dbraw/zinc/58/28/26/764582826.db2.gz RRELNSKVJQNDDG-CYBMUJFWSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc(OC)c1F ZINC001051178285 764582829 /nfs/dbraw/zinc/58/28/29/764582829.db2.gz RRELNSKVJQNDDG-CYBMUJFWSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c(F)cccc1F ZINC001051224207 764640922 /nfs/dbraw/zinc/64/09/22/764640922.db2.gz VIVTZTCVNVQUGP-GFCCVEGCSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(F)cccc1F ZINC001051224207 764640934 /nfs/dbraw/zinc/64/09/34/764640934.db2.gz VIVTZTCVNVQUGP-GFCCVEGCSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1[nH]cnc1CC ZINC001051224895 764642568 /nfs/dbraw/zinc/64/25/68/764642568.db2.gz DDIGHLQUUPTDSX-ZDUSSCGKSA-N 1 2 306.410 1.369 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1[nH]cnc1CC ZINC001051224895 764642572 /nfs/dbraw/zinc/64/25/72/764642572.db2.gz DDIGHLQUUPTDSX-ZDUSSCGKSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1[nH]nc2ccccc21 ZINC001051230595 764652102 /nfs/dbraw/zinc/65/21/02/764652102.db2.gz JRPYLCHFBGAOBP-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1[nH]nc2ccccc21 ZINC001051230595 764652108 /nfs/dbraw/zinc/65/21/08/764652108.db2.gz JRPYLCHFBGAOBP-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)N[C@H](CC(C)C)C2)C1 ZINC001043188774 764749010 /nfs/dbraw/zinc/74/90/10/764749010.db2.gz UINODYMSVNORBE-ZIAGYGMSSA-N 1 2 307.438 1.256 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2ccc(CC)cc2)CC1 ZINC001112845960 764860027 /nfs/dbraw/zinc/86/00/27/764860027.db2.gz ASAOHWPJAPPXJT-INIZCTEOSA-N 1 2 314.429 1.932 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(Cl)cnn2C)CC1 ZINC001112857661 764887563 /nfs/dbraw/zinc/88/75/63/764887563.db2.gz UDVKKTFOGLLDJJ-UHFFFAOYSA-N 1 2 312.801 1.034 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2cn3cc(F)ccc3n2)C1 ZINC001043486934 764932187 /nfs/dbraw/zinc/93/21/87/764932187.db2.gz MAHRICDRNWWAEV-UHFFFAOYSA-N 1 2 302.353 1.345 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2cn3c(C)csc3n2)C1 ZINC001043508135 764953588 /nfs/dbraw/zinc/95/35/88/764953588.db2.gz QEJLYFZLBSLLAB-UHFFFAOYSA-N 1 2 318.446 1.965 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(OCC)nc2)CC1 ZINC001112922754 764979455 /nfs/dbraw/zinc/97/94/55/764979455.db2.gz UVVMUUNRLISDJS-UHFFFAOYSA-N 1 2 319.405 1.441 20 30 DDEDLO C[C@@H](Nc1nccnc1C#N)[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001112993766 765104313 /nfs/dbraw/zinc/10/43/13/765104313.db2.gz MSHJNYUXBIDHKV-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCO[C@H](C[NH2+]Cc2csnn2)C1 ZINC001051804313 765175868 /nfs/dbraw/zinc/17/58/68/765175868.db2.gz YXSNBNGYISIVTB-UKRRQHHQSA-N 1 2 324.450 1.457 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)C2(c3cccc(OC)c3)CC2)C1 ZINC001043985320 765208281 /nfs/dbraw/zinc/20/82/81/765208281.db2.gz WKCANTAWCMDWIK-UHFFFAOYSA-N 1 2 312.413 1.893 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)C1C[NH+](CCOC2CCC2)C1 ZINC001044037641 765248115 /nfs/dbraw/zinc/24/81/15/765248115.db2.gz MHXRGIVZJINQLG-IRXDYDNUSA-N 1 2 322.449 1.679 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(C)nc3n[nH]c(C)c32)C1 ZINC001044049136 765256067 /nfs/dbraw/zinc/25/60/67/765256067.db2.gz QBJFJEPBHIAPOM-UHFFFAOYSA-N 1 2 313.405 1.907 20 30 DDEDLO CC(=O)N1CC[C@H](N2CC[NH+](Cc3ccc(C#N)cc3)CC2)C1 ZINC001051959144 765313028 /nfs/dbraw/zinc/31/30/28/765313028.db2.gz FVFNTMGNQITVNT-SFHVURJKSA-N 1 2 312.417 1.297 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)CC3CC3)C2)CC1 ZINC001051964940 765322557 /nfs/dbraw/zinc/32/25/57/765322557.db2.gz PKFNIRXBIZSSDZ-HNNXBMFYSA-N 1 2 311.857 1.758 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2occ3c2CCOC3)CC1 ZINC001113154802 765342619 /nfs/dbraw/zinc/34/26/19/765342619.db2.gz BYOUJZSSLVPORO-UHFFFAOYSA-N 1 2 320.389 1.313 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc(Cl)o3)C2)CC1 ZINC001051984358 765349657 /nfs/dbraw/zinc/34/96/57/765349657.db2.gz FOVOXHQDWOUIPH-GFCCVEGCSA-N 1 2 322.796 1.289 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)CC1 ZINC001052005955 765373099 /nfs/dbraw/zinc/37/30/99/765373099.db2.gz JOAAJUAEJGXCLN-WNRNVDISSA-N 1 2 317.477 1.827 20 30 DDEDLO CN(C(=O)Cc1c[nH+]cn1C)C1CN(Cc2cccc(C#N)c2)C1 ZINC001044187267 765375273 /nfs/dbraw/zinc/37/52/73/765375273.db2.gz ZPRUHJMUXYZVSO-UHFFFAOYSA-N 1 2 323.400 1.177 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3CC(C)(C)C3)C2)CC1 ZINC001052023393 765398795 /nfs/dbraw/zinc/39/87/95/765398795.db2.gz SOVNZJMKPYJUCI-MRXNPFEDSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3c(C)coc3C)C2)CC1 ZINC001052023387 765399004 /nfs/dbraw/zinc/39/90/04/765399004.db2.gz SOICYYMUDVJYGW-MRXNPFEDSA-N 1 2 315.417 1.362 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3c(C)coc3C)C2)CC1 ZINC001052023387 765399009 /nfs/dbraw/zinc/39/90/09/765399009.db2.gz SOICYYMUDVJYGW-MRXNPFEDSA-N 1 2 315.417 1.362 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](N2CC[NH+](CC#CC)CC2)C1 ZINC001052060419 765432765 /nfs/dbraw/zinc/43/27/65/765432765.db2.gz DDKBMEKEDAAKGZ-MRXNPFEDSA-N 1 2 303.450 1.440 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(C)CC(=C)C3)C2)CC1 ZINC001052080914 765453633 /nfs/dbraw/zinc/45/36/33/765453633.db2.gz HXSLXUQPDWEFQM-MRXNPFEDSA-N 1 2 301.434 1.194 20 30 DDEDLO C[C@@H](NC(=O)CCn1cc[nH+]c1)[C@H](C)Nc1ncccc1C#N ZINC001113239079 765459710 /nfs/dbraw/zinc/45/97/10/765459710.db2.gz VUZBUDSWICWJSZ-OLZOCXBDSA-N 1 2 312.377 1.545 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113251412 765474577 /nfs/dbraw/zinc/47/45/77/765474577.db2.gz PUCHXTRCGQTMLW-QWRGUYRKSA-N 1 2 316.409 1.881 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(NC(=O)NC)cc2)C1 ZINC001044352843 765502118 /nfs/dbraw/zinc/50/21/18/765502118.db2.gz UMVMAXIWZNPOPX-UHFFFAOYSA-N 1 2 314.389 1.217 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001052180899 765551863 /nfs/dbraw/zinc/55/18/63/765551863.db2.gz HQJTZYOAHNBPJZ-JSGCOSHPSA-N 1 2 318.421 1.438 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001113314076 765555140 /nfs/dbraw/zinc/55/51/40/765555140.db2.gz PPJSKPSDMBZQPR-QWRGUYRKSA-N 1 2 312.377 1.533 20 30 DDEDLO C[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)N(C)c1ncccc1C#N ZINC001113590827 765919452 /nfs/dbraw/zinc/91/94/52/765919452.db2.gz JZKCXCXXJZAQDF-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCCc2nc(C(C)C)no2)CC1 ZINC001113633311 765962387 /nfs/dbraw/zinc/96/23/87/765962387.db2.gz VFBDDZHABLHYEH-UHFFFAOYSA-N 1 2 306.410 1.846 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc(OCCOC)c2)CC1 ZINC001113671873 766009298 /nfs/dbraw/zinc/00/92/98/766009298.db2.gz HNQWBHPFEAEIGD-UHFFFAOYSA-N 1 2 304.390 1.656 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001058247679 766324091 /nfs/dbraw/zinc/32/40/91/766324091.db2.gz WKJHFGXMPZWWIF-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)N(C)c1ccncc1C#N ZINC001113936169 766410172 /nfs/dbraw/zinc/41/01/72/766410172.db2.gz AGJBENOIUDJTEQ-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)N(C)c1ccncc1C#N ZINC001113936169 766410179 /nfs/dbraw/zinc/41/01/79/766410179.db2.gz AGJBENOIUDJTEQ-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC[C@H]1C ZINC001114027930 766559528 /nfs/dbraw/zinc/55/95/28/766559528.db2.gz OPRUZPPDUCZWDB-TZMCWYRMSA-N 1 2 304.394 1.319 20 30 DDEDLO C[C@@H]1CCN(c2ccc(C#N)nc2)C[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067992654 766860393 /nfs/dbraw/zinc/86/03/93/766860393.db2.gz ACEKJBSTFUQUQL-MLGOLLRUSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)CC(C)C ZINC001121611529 782591436 /nfs/dbraw/zinc/59/14/36/782591436.db2.gz ZUANNJZNVHVVAC-UONOGXRCSA-N 1 2 307.442 1.684 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)CC(C)C ZINC001121611529 782591446 /nfs/dbraw/zinc/59/14/46/782591446.db2.gz ZUANNJZNVHVVAC-UONOGXRCSA-N 1 2 307.442 1.684 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H](C)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC001098058883 766979511 /nfs/dbraw/zinc/97/95/11/766979511.db2.gz FWQYSCPEGNJFOR-UONOGXRCSA-N 1 2 318.421 1.863 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@@H](C)N(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001068316837 767092386 /nfs/dbraw/zinc/09/23/86/767092386.db2.gz JQQHZRUKLZODAJ-DGCLKSJQSA-N 1 2 324.388 1.948 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](c2nc(CNC(=O)CC)n[nH]2)[C@H](C2CC2)C1 ZINC001130168839 767338633 /nfs/dbraw/zinc/33/86/33/767338633.db2.gz GQGHGMRKZVUDMC-UONOGXRCSA-N 1 2 315.421 1.280 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](c2nc(CNC(=O)CC)n[nH]2)[C@H](C2CC2)C1 ZINC001130168839 767338643 /nfs/dbraw/zinc/33/86/43/767338643.db2.gz GQGHGMRKZVUDMC-UONOGXRCSA-N 1 2 315.421 1.280 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](CC(=C)Cl)C[C@H]2O)cc1 ZINC001090483877 767464361 /nfs/dbraw/zinc/46/43/61/767464361.db2.gz UYCRVHBKUWQWHD-HZPDHXFCSA-N 1 2 318.804 1.585 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](CC(=C)Cl)C[C@H]2O)cc1 ZINC001090483877 767464365 /nfs/dbraw/zinc/46/43/65/767464365.db2.gz UYCRVHBKUWQWHD-HZPDHXFCSA-N 1 2 318.804 1.585 20 30 DDEDLO C[C@@]1(NC(=O)c2cnco2)CC[N@H+](CC#Cc2ccccc2)C1 ZINC001046519065 767648536 /nfs/dbraw/zinc/64/85/36/767648536.db2.gz APBWISILWCUYAN-GOSISDBHSA-N 1 2 309.369 1.921 20 30 DDEDLO C[C@@]1(NC(=O)c2cnco2)CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001046519065 767648539 /nfs/dbraw/zinc/64/85/39/767648539.db2.gz APBWISILWCUYAN-GOSISDBHSA-N 1 2 309.369 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnn3cccnc23)C1 ZINC001046533148 767664733 /nfs/dbraw/zinc/66/47/33/767664733.db2.gz HIHCEYXVCYJLDV-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnn3cccnc23)C1 ZINC001046533148 767664736 /nfs/dbraw/zinc/66/47/36/767664736.db2.gz HIHCEYXVCYJLDV-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)[C@@H]2C)n1 ZINC001068863617 767667544 /nfs/dbraw/zinc/66/75/44/767667544.db2.gz ADBNBLGSKWZGCD-UKRRQHHQSA-N 1 2 324.388 1.560 20 30 DDEDLO C[C@@H]1C[C@H](Nc2[nH+]cnc3c2cnn3C)CN1C(=O)C#CC1CC1 ZINC001069089820 767841183 /nfs/dbraw/zinc/84/11/83/767841183.db2.gz JGHCXKUWKQQHHA-YPMHNXCESA-N 1 2 324.388 1.178 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CC(C)(C)C)C[N@@H+]1CC(=O)NCC#N ZINC001131816973 768400567 /nfs/dbraw/zinc/40/05/67/768400567.db2.gz JBDQUGVBQPRBIO-OLZOCXBDSA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CC(C)(C)C)C[N@H+]1CC(=O)NCC#N ZINC001131816973 768400570 /nfs/dbraw/zinc/40/05/70/768400570.db2.gz JBDQUGVBQPRBIO-OLZOCXBDSA-N 1 2 308.426 1.031 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+][C@H](C)c1nnc(C)o1 ZINC001131870782 768432703 /nfs/dbraw/zinc/43/27/03/768432703.db2.gz ZSCXRSOVPKYYDP-IAQYHMDHSA-N 1 2 310.398 1.374 20 30 DDEDLO C#CC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)CC)C[C@@H]2c1nnc(C)[nH]1 ZINC001070441407 768484654 /nfs/dbraw/zinc/48/46/54/768484654.db2.gz UFNBXGAYYVQHHO-GXTWGEPZSA-N 1 2 315.421 1.020 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001131947177 768506174 /nfs/dbraw/zinc/50/61/74/768506174.db2.gz QOAHLDYXIHABMB-DZGCQCFKSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001131947177 768506175 /nfs/dbraw/zinc/50/61/75/768506175.db2.gz QOAHLDYXIHABMB-DZGCQCFKSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[C@H]1C ZINC001132033526 768579310 /nfs/dbraw/zinc/57/93/10/768579310.db2.gz HOBXAAWXFPVJPQ-CABCVRRESA-N 1 2 319.449 1.307 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[C@H]1C ZINC001132033526 768579315 /nfs/dbraw/zinc/57/93/15/768579315.db2.gz HOBXAAWXFPVJPQ-CABCVRRESA-N 1 2 319.449 1.307 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cccc3[nH]cnc32)C1 ZINC001047572672 768581594 /nfs/dbraw/zinc/58/15/94/768581594.db2.gz ANVIGBNIMSLFDR-GJZGRUSLSA-N 1 2 314.389 1.256 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cccc3[nH]cnc32)C1 ZINC001047572672 768581596 /nfs/dbraw/zinc/58/15/96/768581596.db2.gz ANVIGBNIMSLFDR-GJZGRUSLSA-N 1 2 314.389 1.256 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2csc(CC(C)C)n2)C1 ZINC001047647993 768636390 /nfs/dbraw/zinc/63/63/90/768636390.db2.gz RJNOEMMKSJHMSD-KBPBESRZSA-N 1 2 321.446 1.092 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2csc(CC(C)C)n2)C1 ZINC001047647993 768636395 /nfs/dbraw/zinc/63/63/95/768636395.db2.gz RJNOEMMKSJHMSD-KBPBESRZSA-N 1 2 321.446 1.092 20 30 DDEDLO CCC(C)(C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070684761 768668244 /nfs/dbraw/zinc/66/82/44/768668244.db2.gz YMTIYLPLGMDBOX-CHWSQXEVSA-N 1 2 303.410 1.264 20 30 DDEDLO CC(C)=CC(=O)NCC[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC001096263484 768833938 /nfs/dbraw/zinc/83/39/38/768833938.db2.gz BYGJCNDIVIYCMD-UHFFFAOYSA-N 1 2 313.405 1.158 20 30 DDEDLO Cc1cc(N2CC[C@H]([NH+]3CCCC3)C(F)(F)C2)nc(C#N)n1 ZINC001158624916 768851341 /nfs/dbraw/zinc/85/13/41/768851341.db2.gz YGDPINSJXQLALH-LBPRGKRZSA-N 1 2 307.348 1.967 20 30 DDEDLO N#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(-n3cc[nH+]c3)c1)C2 ZINC001096275848 768916452 /nfs/dbraw/zinc/91/64/52/768916452.db2.gz RKUYVHGUNPCFBH-IKGGRYGDSA-N 1 2 321.384 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)COCCOCC)CC[C@@H]1C ZINC001132518942 768933622 /nfs/dbraw/zinc/93/36/22/768933622.db2.gz QKDLYXJXCYNQGV-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)COCCOCC)CC[C@@H]1C ZINC001132518942 768933625 /nfs/dbraw/zinc/93/36/25/768933625.db2.gz QKDLYXJXCYNQGV-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO CC(C)(C)C(=O)NCC[NH+]1CCN(c2ncccc2C#N)CC1 ZINC001096283779 768951810 /nfs/dbraw/zinc/95/18/10/768951810.db2.gz SGVPKEFSQZBYQJ-UHFFFAOYSA-N 1 2 315.421 1.238 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC001096294644 769031928 /nfs/dbraw/zinc/03/19/28/769031928.db2.gz LOLIMOJHNRQEDD-OAHLLOKOSA-N 1 2 317.437 1.317 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001132670847 769079419 /nfs/dbraw/zinc/07/94/19/769079419.db2.gz CPOJAYYPAWKIOX-GFCCVEGCSA-N 1 2 324.450 1.910 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071212716 769146346 /nfs/dbraw/zinc/14/63/46/769146346.db2.gz GLWLPXFFKKECQC-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@H]1C ZINC001071499078 769519450 /nfs/dbraw/zinc/51/94/50/769519450.db2.gz XVDAIIXQGIFYDK-PWSUYJOCSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@H]1C ZINC001071499078 769519457 /nfs/dbraw/zinc/51/94/57/769519457.db2.gz XVDAIIXQGIFYDK-PWSUYJOCSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@@H]1C ZINC001071499076 769520174 /nfs/dbraw/zinc/52/01/74/769520174.db2.gz XVDAIIXQGIFYDK-JQWIXIFHSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@@H]1C ZINC001071499076 769520182 /nfs/dbraw/zinc/52/01/82/769520182.db2.gz XVDAIIXQGIFYDK-JQWIXIFHSA-N 1 2 311.817 1.377 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nc3c2CCC3)CC[C@H]1C ZINC001071638371 769771347 /nfs/dbraw/zinc/77/13/47/769771347.db2.gz IPMDHMBTMGUTEG-CHWSQXEVSA-N 1 2 300.406 1.504 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2[nH]nc3c2CCC3)CC[C@H]1C ZINC001071638371 769771356 /nfs/dbraw/zinc/77/13/56/769771356.db2.gz IPMDHMBTMGUTEG-CHWSQXEVSA-N 1 2 300.406 1.504 20 30 DDEDLO C#CCN1C[C@H](NC(=O)c2ccn(-c3cc[nH+]cc3)n2)CC[C@@H]1C ZINC001071676750 769849403 /nfs/dbraw/zinc/84/94/03/769849403.db2.gz OCYGFMJJTLSDPC-LSDHHAIUSA-N 1 2 323.400 1.483 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001158887250 770002970 /nfs/dbraw/zinc/00/29/70/770002970.db2.gz TWJLXZCZQFTELP-UHFFFAOYSA-N 1 2 324.425 1.457 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001096435088 770033029 /nfs/dbraw/zinc/03/30/29/770033029.db2.gz AUXYEIYCQWNFJK-LBPRGKRZSA-N 1 2 314.393 1.415 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@H]1C ZINC001071776319 770050306 /nfs/dbraw/zinc/05/03/06/770050306.db2.gz QHJLJMKHPPVEBC-GHMZBOCLSA-N 1 2 310.785 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@H]1C ZINC001071776319 770050311 /nfs/dbraw/zinc/05/03/11/770050311.db2.gz QHJLJMKHPPVEBC-GHMZBOCLSA-N 1 2 310.785 1.105 20 30 DDEDLO C#CCN1C[C@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)CC[C@@H]1C ZINC001071940061 770315740 /nfs/dbraw/zinc/31/57/40/770315740.db2.gz VLBONANGHOCDLF-GOEBONIOSA-N 1 2 323.400 1.483 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001072226639 770681225 /nfs/dbraw/zinc/68/12/25/770681225.db2.gz RZELRUVVKXNTDP-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001049475707 770904173 /nfs/dbraw/zinc/90/41/73/770904173.db2.gz AQJMSXUDKQUFLI-IAOVAPTHSA-N 1 2 312.417 1.528 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001049475707 770904177 /nfs/dbraw/zinc/90/41/77/770904177.db2.gz AQJMSXUDKQUFLI-IAOVAPTHSA-N 1 2 312.417 1.528 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001072505554 770996703 /nfs/dbraw/zinc/99/67/03/770996703.db2.gz UHQUDDSDDUFRME-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001072505554 770996704 /nfs/dbraw/zinc/99/67/04/770996704.db2.gz UHQUDDSDDUFRME-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1n[nH]cc1F ZINC001049698032 771094694 /nfs/dbraw/zinc/09/46/94/771094694.db2.gz BCUYLCYIEHOBMC-RYUDHWBXSA-N 1 2 312.776 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1n[nH]cc1F ZINC001049698032 771094699 /nfs/dbraw/zinc/09/46/99/771094699.db2.gz BCUYLCYIEHOBMC-RYUDHWBXSA-N 1 2 312.776 1.980 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)no1 ZINC001049999388 771353208 /nfs/dbraw/zinc/35/32/08/771353208.db2.gz YGGKWJOYBXXJBX-CORIIIEPSA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)no1 ZINC001049999388 771353212 /nfs/dbraw/zinc/35/32/12/771353212.db2.gz YGGKWJOYBXXJBX-CORIIIEPSA-N 1 2 302.378 1.566 20 30 DDEDLO C#CC[NH2+][C@@H](C)C[C@@H](C)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001135165482 771362416 /nfs/dbraw/zinc/36/24/16/771362416.db2.gz GJIVAYQESGBGNU-QWHCGFSZSA-N 1 2 311.389 1.591 20 30 DDEDLO Cc1nc(N[C@H](CNC(=O)Cn2cc[nH+]c2)C2CC2)ccc1C#N ZINC001096684068 771391857 /nfs/dbraw/zinc/39/18/57/771391857.db2.gz YQLUVQOVOUAHBY-OAHLLOKOSA-N 1 2 324.388 1.465 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)/C=C/c1ccc(F)cc1 ZINC001136226836 771919145 /nfs/dbraw/zinc/91/91/45/771919145.db2.gz OCYVYMQEKHANOO-NTYUQYKDSA-N 1 2 301.321 1.809 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnc(C)nc2C)[C@H](O)C1 ZINC001090655019 772079542 /nfs/dbraw/zinc/07/95/42/772079542.db2.gz NPZVUFYDJVMFAN-ZIAGYGMSSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnc(C)nc2C)[C@H](O)C1 ZINC001090655019 772079548 /nfs/dbraw/zinc/07/95/48/772079548.db2.gz NPZVUFYDJVMFAN-ZIAGYGMSSA-N 1 2 324.812 1.011 20 30 DDEDLO CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001090747134 772157747 /nfs/dbraw/zinc/15/77/47/772157747.db2.gz LNVLPOUKMYVPIU-JKSUJKDBSA-N 1 2 315.417 1.656 20 30 DDEDLO CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001090747134 772157751 /nfs/dbraw/zinc/15/77/51/772157751.db2.gz LNVLPOUKMYVPIU-JKSUJKDBSA-N 1 2 315.417 1.656 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(OC)cc2)[C@H](O)C1 ZINC001090751952 772167596 /nfs/dbraw/zinc/16/75/96/772167596.db2.gz MPBWSXQPBOMUFO-LSDHHAIUSA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(OC)cc2)[C@H](O)C1 ZINC001090751952 772167599 /nfs/dbraw/zinc/16/75/99/772167599.db2.gz MPBWSXQPBOMUFO-LSDHHAIUSA-N 1 2 324.808 1.613 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(c2cc(C)[nH+]c(C3CC3)n2)C[C@@H]1O ZINC001091074648 772509644 /nfs/dbraw/zinc/50/96/44/772509644.db2.gz FNZGRACLGUZVSA-KGLIPLIRSA-N 1 2 316.405 1.294 20 30 DDEDLO N#CCNC1CC(CNC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001091309948 772675022 /nfs/dbraw/zinc/67/50/22/772675022.db2.gz ZIKDMGMQMKAYCI-UHFFFAOYSA-N 1 2 309.373 1.494 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001073736502 773357004 /nfs/dbraw/zinc/35/70/04/773357004.db2.gz UNHGEIFLIFBKBZ-CBBWQLFWSA-N 1 2 312.841 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001073736502 773357010 /nfs/dbraw/zinc/35/70/10/773357010.db2.gz UNHGEIFLIFBKBZ-CBBWQLFWSA-N 1 2 312.841 1.992 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nc(C)no1)C2 ZINC001148087732 773359714 /nfs/dbraw/zinc/35/97/14/773359714.db2.gz FPGULCRGGXQYNP-STQMWFEESA-N 1 2 318.421 1.871 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C)no1)C2 ZINC001148087732 773359721 /nfs/dbraw/zinc/35/97/21/773359721.db2.gz FPGULCRGGXQYNP-STQMWFEESA-N 1 2 318.421 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H]2OCC[C@H]2C)C1 ZINC001073776149 773400300 /nfs/dbraw/zinc/40/03/00/773400300.db2.gz BRCFEEJZWDNXSZ-XBFCOCLRSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H]2OCC[C@H]2C)C1 ZINC001073776149 773400301 /nfs/dbraw/zinc/40/03/01/773400301.db2.gz BRCFEEJZWDNXSZ-XBFCOCLRSA-N 1 2 316.829 1.371 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001148363870 773457272 /nfs/dbraw/zinc/45/72/72/773457272.db2.gz OMZVHDRTGKATFQ-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001148363870 773457274 /nfs/dbraw/zinc/45/72/74/773457274.db2.gz OMZVHDRTGKATFQ-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001073917249 773523551 /nfs/dbraw/zinc/52/35/51/773523551.db2.gz XZGADJZLXOXUGO-UKRRQHHQSA-N 1 2 316.405 1.109 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2cccc([C@H](C)C#N)c2)CC1 ZINC001148614740 773552967 /nfs/dbraw/zinc/55/29/67/773552967.db2.gz VMEDEOUDUJSYBE-CQSZACIVSA-N 1 2 301.390 1.718 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@H](C)C1 ZINC001074090833 773625241 /nfs/dbraw/zinc/62/52/41/773625241.db2.gz REIIKSWLKHFANE-TZMCWYRMSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1coc(C)n1)C2 ZINC001148881354 773636385 /nfs/dbraw/zinc/63/63/85/773636385.db2.gz QMXMTEXGYFFRQZ-CYBMUJFWSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1coc(C)n1)C2 ZINC001148881354 773636388 /nfs/dbraw/zinc/63/63/88/773636388.db2.gz QMXMTEXGYFFRQZ-CYBMUJFWSA-N 1 2 319.405 1.608 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074149678 773675134 /nfs/dbraw/zinc/67/51/34/773675134.db2.gz NPKPTPHLEPVAGO-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)noc3C)C[C@H]21 ZINC001074163131 773685997 /nfs/dbraw/zinc/68/59/97/773685997.db2.gz KWXUMBCMEJRRTK-HUUCEWRRSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)noc3C)C[C@H]21 ZINC001074163131 773686004 /nfs/dbraw/zinc/68/60/04/773686004.db2.gz KWXUMBCMEJRRTK-HUUCEWRRSA-N 1 2 319.405 1.783 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cc(C)on3)C[C@@H]21 ZINC001074196172 773720332 /nfs/dbraw/zinc/72/03/32/773720332.db2.gz HUGGOKFCRYFLET-HOTGVXAUSA-N 1 2 319.405 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cc(C)on3)C[C@@H]21 ZINC001074196172 773720335 /nfs/dbraw/zinc/72/03/35/773720335.db2.gz HUGGOKFCRYFLET-HOTGVXAUSA-N 1 2 319.405 1.403 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C[C@@H]21 ZINC001074208638 773732450 /nfs/dbraw/zinc/73/24/50/773732450.db2.gz LEFGSOZLIQWXCB-JKSUJKDBSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C[C@@H]21 ZINC001074208638 773732452 /nfs/dbraw/zinc/73/24/52/773732452.db2.gz LEFGSOZLIQWXCB-JKSUJKDBSA-N 1 2 314.389 1.378 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3=CCOCC3)C[C@@H]21 ZINC001074334290 773831070 /nfs/dbraw/zinc/83/10/70/773831070.db2.gz PRDSZAWWOCZRDT-IRXDYDNUSA-N 1 2 318.417 1.048 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3=CCOCC3)C[C@@H]21 ZINC001074334290 773831074 /nfs/dbraw/zinc/83/10/74/773831074.db2.gz PRDSZAWWOCZRDT-IRXDYDNUSA-N 1 2 318.417 1.048 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cscn3)C[C@H]21 ZINC001074354053 773849306 /nfs/dbraw/zinc/84/93/06/773849306.db2.gz KEQNQJNDCMJKJC-HUUCEWRRSA-N 1 2 319.430 1.011 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cscn3)C[C@H]21 ZINC001074354053 773849310 /nfs/dbraw/zinc/84/93/10/773849310.db2.gz KEQNQJNDCMJKJC-HUUCEWRRSA-N 1 2 319.430 1.011 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3nccs3)C[C@@H]21 ZINC001074369589 773861180 /nfs/dbraw/zinc/86/11/80/773861180.db2.gz YYRIVQMQPAUMHC-STQMWFEESA-N 1 2 307.419 1.635 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3nccs3)C[C@@H]21 ZINC001074369589 773861184 /nfs/dbraw/zinc/86/11/84/773861184.db2.gz YYRIVQMQPAUMHC-STQMWFEESA-N 1 2 307.419 1.635 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CN(C(=O)c3cc(C(C)C)[nH]n3)C[C@]2(C)C1 ZINC001092031234 773912997 /nfs/dbraw/zinc/91/29/97/773912997.db2.gz OSTJCEYOLHGORP-DYVFJYSZSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CN(C(=O)c3cc(C(C)C)[nH]n3)C[C@]2(C)C1 ZINC001092031234 773913001 /nfs/dbraw/zinc/91/30/01/773913001.db2.gz OSTJCEYOLHGORP-DYVFJYSZSA-N 1 2 300.406 1.560 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(C(F)F)no2)[C@@H]1C ZINC001074569985 774001870 /nfs/dbraw/zinc/00/18/70/774001870.db2.gz CFEHAUOLJFKAIO-CIUDSAMLSA-N 1 2 313.308 1.246 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CC1CCC1)c1nccn12 ZINC001092359023 774074132 /nfs/dbraw/zinc/07/41/32/774074132.db2.gz KZHXMJHDZLZRRK-AWEZNQCLSA-N 1 2 300.406 1.831 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(N)=O)CCCCC1 ZINC001110135712 774233079 /nfs/dbraw/zinc/23/30/79/774233079.db2.gz RBIOUQLSBDCBGO-ILXRZTDVSA-N 1 2 319.449 1.720 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(N)=O)CCCCC1 ZINC001110135712 774233082 /nfs/dbraw/zinc/23/30/82/774233082.db2.gz RBIOUQLSBDCBGO-ILXRZTDVSA-N 1 2 319.449 1.720 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001074974674 774278246 /nfs/dbraw/zinc/27/82/46/774278246.db2.gz HHZUDODKLXWTQS-HUUCEWRRSA-N 1 2 316.405 1.038 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001075036420 774313302 /nfs/dbraw/zinc/31/33/02/774313302.db2.gz KPWAXULINFYFIS-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001075036420 774313313 /nfs/dbraw/zinc/31/33/13/774313313.db2.gz KPWAXULINFYFIS-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001075099559 774348761 /nfs/dbraw/zinc/34/87/61/774348761.db2.gz NIDPFFFPTLMGTJ-CHWSQXEVSA-N 1 2 318.421 1.660 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C(C)(F)F)CC2)C1 ZINC001093497033 774720146 /nfs/dbraw/zinc/72/01/46/774720146.db2.gz RBCAEXHBRBGLHB-UHFFFAOYSA-N 1 2 302.365 1.910 20 30 DDEDLO C[C@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)nn1 ZINC001099032576 774784341 /nfs/dbraw/zinc/78/43/41/774784341.db2.gz ULRBWAGTANYRRC-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H](OC)C3CC3)CC2)C1 ZINC001093532500 774786752 /nfs/dbraw/zinc/78/67/52/774786752.db2.gz BONFNEHOPVNJCL-KRWDZBQOSA-N 1 2 322.449 1.679 20 30 DDEDLO C#CCCCC(=O)NCC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001099047635 774793130 /nfs/dbraw/zinc/79/31/30/774793130.db2.gz ZRGPRIRDRGQWHH-GFCCVEGCSA-N 1 2 314.393 1.474 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cccnc3C)CC2)C1 ZINC001093565826 774835832 /nfs/dbraw/zinc/83/58/32/774835832.db2.gz DFXPEYIFPVKVEI-UHFFFAOYSA-N 1 2 315.417 1.881 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@H]2CCn3c[nH+]cc3C2)ccc1C#N ZINC001093951211 775257564 /nfs/dbraw/zinc/25/75/64/775257564.db2.gz JXPOGFNTJVOGDR-ZDUSSCGKSA-N 1 2 324.388 1.249 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099830457 775336962 /nfs/dbraw/zinc/33/69/62/775336962.db2.gz SDZFJVWMSDUHBW-KGLIPLIRSA-N 1 2 321.446 1.244 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099830457 775336973 /nfs/dbraw/zinc/33/69/73/775336973.db2.gz SDZFJVWMSDUHBW-KGLIPLIRSA-N 1 2 321.446 1.244 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(COC)CCC2)[C@H](O)C1 ZINC001099925770 775428154 /nfs/dbraw/zinc/42/81/54/775428154.db2.gz KJIOIZZWCIZGNX-CHWSQXEVSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(COC)CCC2)[C@H](O)C1 ZINC001099925770 775428162 /nfs/dbraw/zinc/42/81/62/775428162.db2.gz KJIOIZZWCIZGNX-CHWSQXEVSA-N 1 2 316.829 1.107 20 30 DDEDLO Cc1cc(C#N)nc(NCCNC(=O)C[C@H](C)n2cc[nH+]c2)n1 ZINC001094167564 775511040 /nfs/dbraw/zinc/51/10/40/775511040.db2.gz HQAVMNUWUQBYNZ-LBPRGKRZSA-N 1 2 313.365 1.033 20 30 DDEDLO N#Cc1cnc(NCCCNC(=O)CCc2[nH]cc[nH+]2)c(F)c1 ZINC001094406694 775865531 /nfs/dbraw/zinc/86/55/31/775865531.db2.gz IEIMDHGZGRXHFI-UHFFFAOYSA-N 1 2 316.340 1.366 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCCCNc1cc[nH+]c(C)n1 ZINC001094592849 776086815 /nfs/dbraw/zinc/08/68/15/776086815.db2.gz WXSHTIKSRJJRMA-AWEZNQCLSA-N 1 2 319.409 1.174 20 30 DDEDLO C#CCOCCC(=O)NCCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001094593974 776088316 /nfs/dbraw/zinc/08/83/16/776088316.db2.gz RTKDUBJRCMGPGR-UHFFFAOYSA-N 1 2 316.405 1.621 20 30 DDEDLO CCN(CCNC(=O)CCc1c[nH]c[nH+]1)c1ccc(C#N)cn1 ZINC001100756200 776505101 /nfs/dbraw/zinc/50/51/01/776505101.db2.gz IKKPKNNZNJZAGS-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CCN(CCNC(=O)CCc1c[nH+]c[nH]1)c1ccc(C#N)cn1 ZINC001100756200 776505110 /nfs/dbraw/zinc/50/51/10/776505110.db2.gz IKKPKNNZNJZAGS-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO Cc1cc(N2CCC[C@H]2CNC(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001100810547 776577601 /nfs/dbraw/zinc/57/76/01/776577601.db2.gz WIFXHFYBQQMHLS-RISCZKNCSA-N 1 2 313.405 1.907 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCCNc2ccnc(C#N)n2)c(C)[nH+]1 ZINC001094913009 776786133 /nfs/dbraw/zinc/78/61/33/776786133.db2.gz KKDOGKADYQFQFD-UHFFFAOYSA-N 1 2 324.388 1.322 20 30 DDEDLO C[C@@H]1C[N@@H+]([C@H]2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)CCO1 ZINC001172980173 776950468 /nfs/dbraw/zinc/95/04/68/776950468.db2.gz LDQDTIIFHBNAAR-RDBSUJKOSA-N 1 2 309.410 1.999 20 30 DDEDLO C[C@@H]1C[N@H+]([C@H]2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)CCO1 ZINC001172980173 776950471 /nfs/dbraw/zinc/95/04/71/776950471.db2.gz LDQDTIIFHBNAAR-RDBSUJKOSA-N 1 2 309.410 1.999 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001095017218 776993014 /nfs/dbraw/zinc/99/30/14/776993014.db2.gz NLUROKZHYNBFGW-GFCCVEGCSA-N 1 2 304.398 1.225 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101336492 777081278 /nfs/dbraw/zinc/08/12/78/777081278.db2.gz FBYADPSAHGHBQX-PBHICJAKSA-N 1 2 316.405 1.544 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101336492 777081280 /nfs/dbraw/zinc/08/12/80/777081280.db2.gz FBYADPSAHGHBQX-PBHICJAKSA-N 1 2 316.405 1.544 20 30 DDEDLO C=CCOCC(=O)N1CCCN(c2nc(C)[nH+]c(C)c2C)CC1 ZINC001095167837 777193109 /nfs/dbraw/zinc/19/31/09/777193109.db2.gz MJCSUJLXLMHNOB-UHFFFAOYSA-N 1 2 318.421 1.643 20 30 DDEDLO C[C@@H]1CC(N2CC[NH2+]C[C@H]2C#N)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC001173679033 777308761 /nfs/dbraw/zinc/30/87/61/777308761.db2.gz YDTVODINORFCTE-UMVBOHGHSA-N 1 2 322.453 1.960 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(CCF)CC1)c1nccn12 ZINC001101635633 777332715 /nfs/dbraw/zinc/33/27/15/777332715.db2.gz NZBKAHAMCSTAGC-CYBMUJFWSA-N 1 2 318.396 1.781 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCNc2[nH+]cnc3c2cnn3C)C1 ZINC001101942913 777709501 /nfs/dbraw/zinc/70/95/01/777709501.db2.gz VXYVZQCJBQXPOA-UHFFFAOYSA-N 1 2 314.393 1.590 20 30 DDEDLO C[C@@H](CC(=O)N(C)CCNc1ccc(C#N)nn1)n1cc[nH+]c1 ZINC001101976053 777756622 /nfs/dbraw/zinc/75/66/22/777756622.db2.gz YPVNTBWRIDFQNW-LBPRGKRZSA-N 1 2 313.365 1.066 20 30 DDEDLO CN(C(=O)CCc1[nH]cc[nH+]1)[C@H]1CCN(c2ncccc2C#N)C1 ZINC001102695548 778322240 /nfs/dbraw/zinc/32/22/40/778322240.db2.gz IHBLNNRUKAGTQZ-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)COCCCC)C[C@H]21 ZINC001176976795 778361887 /nfs/dbraw/zinc/36/18/87/778361887.db2.gz LSCNHCNVNVCZBX-CVEARBPZSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)COCCCC)C[C@H]21 ZINC001176976795 778361891 /nfs/dbraw/zinc/36/18/91/778361891.db2.gz LSCNHCNVNVCZBX-CVEARBPZSA-N 1 2 308.422 1.128 20 30 DDEDLO CN(C(=O)CCn1cc[nH+]c1)[C@H]1CCN(c2ccncc2C#N)C1 ZINC001102748204 778365197 /nfs/dbraw/zinc/36/51/97/778365197.db2.gz IXXZTSRCFQNSDM-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@H]2OCC[N@H+](CCCF)[C@@H]2C1 ZINC001177005932 778385774 /nfs/dbraw/zinc/38/57/74/778385774.db2.gz KPDMJEVSSRXMOC-HUUCEWRRSA-N 1 2 310.413 1.697 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@H]2OCC[N@@H+](CCCF)[C@@H]2C1 ZINC001177005932 778385783 /nfs/dbraw/zinc/38/57/83/778385783.db2.gz KPDMJEVSSRXMOC-HUUCEWRRSA-N 1 2 310.413 1.697 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3(COC)CCC3)C[C@H]21 ZINC001177050160 778413055 /nfs/dbraw/zinc/41/30/55/778413055.db2.gz YENQPKYTCFGWQB-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3(COC)CCC3)C[C@H]21 ZINC001177050160 778413063 /nfs/dbraw/zinc/41/30/63/778413063.db2.gz YENQPKYTCFGWQB-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCOC)[C@@H]2C1 ZINC001177102467 778447978 /nfs/dbraw/zinc/44/79/78/778447978.db2.gz WCDCTLRZDBBPNY-HUUCEWRRSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCOC)[C@@H]2C1 ZINC001177102467 778447980 /nfs/dbraw/zinc/44/79/80/778447980.db2.gz WCDCTLRZDBBPNY-HUUCEWRRSA-N 1 2 310.438 1.537 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CC=C)C[C@H]21 ZINC001177107068 778448470 /nfs/dbraw/zinc/44/84/70/778448470.db2.gz MIJKRISTUBODLG-CVEARBPZSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CC=C)C[C@H]21 ZINC001177107068 778448477 /nfs/dbraw/zinc/44/84/77/778448477.db2.gz MIJKRISTUBODLG-CVEARBPZSA-N 1 2 304.434 1.914 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C)C[C@H]21 ZINC001177130706 778455197 /nfs/dbraw/zinc/45/51/97/778455197.db2.gz FOMUBOZACVAIPT-HUUCEWRRSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C)C[C@H]21 ZINC001177130706 778455199 /nfs/dbraw/zinc/45/51/99/778455199.db2.gz FOMUBOZACVAIPT-HUUCEWRRSA-N 1 2 310.438 1.537 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001103159704 778677365 /nfs/dbraw/zinc/67/73/65/778677365.db2.gz VEQOLACGSOJMLL-QLFBSQMISA-N 1 2 315.421 1.043 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001103356903 778812083 /nfs/dbraw/zinc/81/20/83/778812083.db2.gz CCQGWJPYTLLKDS-CYBMUJFWSA-N 1 2 312.377 1.616 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001103356903 778812086 /nfs/dbraw/zinc/81/20/86/778812086.db2.gz CCQGWJPYTLLKDS-CYBMUJFWSA-N 1 2 312.377 1.616 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([NH2+]Cc2cnsn2)[C@@H]1C ZINC001178278841 778970044 /nfs/dbraw/zinc/97/00/44/778970044.db2.gz OEEPXPIQSUYIPB-SCRDCRAPSA-N 1 2 324.450 1.598 20 30 DDEDLO C[C@H](CNC(=O)CCc1[nH]cc[nH+]1)CNc1ncccc1C#N ZINC001103943749 779193688 /nfs/dbraw/zinc/19/36/88/779193688.db2.gz QQKGIQHWVUMMGM-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO Cc1nc(NCCCOCCNC(=O)C#CC2CC2)cc[nH+]1 ZINC001115408287 780110404 /nfs/dbraw/zinc/11/04/04/780110404.db2.gz PGZTZDVKLUOQEF-UHFFFAOYSA-N 1 2 302.378 1.133 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCC1(NCC#N)CCCCC1 ZINC001115765816 780412241 /nfs/dbraw/zinc/41/22/41/780412241.db2.gz GZRFXQJXSABHPA-ZDUSSCGKSA-N 1 2 303.410 1.521 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCC1(NCC#N)CCCCC1 ZINC001115765816 780412246 /nfs/dbraw/zinc/41/22/46/780412246.db2.gz GZRFXQJXSABHPA-ZDUSSCGKSA-N 1 2 303.410 1.521 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)C[C@H](C)Nc1ccc(C#N)cn1 ZINC001115801514 780445161 /nfs/dbraw/zinc/44/51/61/780445161.db2.gz HWHFRDXNYGJLAF-NSHDSACASA-N 1 2 312.377 1.486 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C)no2)C1 ZINC001266307525 836091662 /nfs/dbraw/zinc/09/16/62/836091662.db2.gz FZMQRUWYIFUWNG-UONOGXRCSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C)no2)C1 ZINC001266307525 836091673 /nfs/dbraw/zinc/09/16/73/836091673.db2.gz FZMQRUWYIFUWNG-UONOGXRCSA-N 1 2 307.394 1.655 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001266341660 836142849 /nfs/dbraw/zinc/14/28/49/836142849.db2.gz LMIBLBTZWBIMHG-GUYCJALGSA-N 1 2 318.421 1.778 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001266341660 836142851 /nfs/dbraw/zinc/14/28/51/836142851.db2.gz LMIBLBTZWBIMHG-GUYCJALGSA-N 1 2 318.421 1.778 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)n1cccc1 ZINC001266484032 836332264 /nfs/dbraw/zinc/33/22/64/836332264.db2.gz MWVLQHRUTKRXDO-ZACQAIPSSA-N 1 2 315.417 1.374 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)n1cccc1 ZINC001266484032 836332269 /nfs/dbraw/zinc/33/22/69/836332269.db2.gz MWVLQHRUTKRXDO-ZACQAIPSSA-N 1 2 315.417 1.374 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@@H]([NH2+]Cc2nsc(N(C)C)n2)C1 ZINC001266680199 836655811 /nfs/dbraw/zinc/65/58/11/836655811.db2.gz KNQVAOJGYJMJMZ-TXEJJXNPSA-N 1 2 321.450 1.144 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)CC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001266686422 836668064 /nfs/dbraw/zinc/66/80/64/836668064.db2.gz BIZXQUYIMDFCFZ-QGZVFWFLSA-N 1 2 321.421 1.429 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)CC[NH2+][C@@H](C)c1nc(C(C)C)no1 ZINC001266687078 836668940 /nfs/dbraw/zinc/66/89/40/836668940.db2.gz ZQSYLSCQQFCGDR-BLLLJJGKSA-N 1 2 324.425 1.629 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+]Cc2ncc(C3CC3)o2)C1 ZINC001267006570 837178172 /nfs/dbraw/zinc/17/81/72/837178172.db2.gz OFNSFVBQIUTYPG-ZDUSSCGKSA-N 1 2 305.378 1.445 20 30 DDEDLO CCCN(C(=O)C1CCCC1)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267442971 838055538 /nfs/dbraw/zinc/05/55/38/838055538.db2.gz GJJCTCWKNAQKHQ-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO CCCN(C(=O)C1CCCC1)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267442971 838055553 /nfs/dbraw/zinc/05/55/53/838055553.db2.gz GJJCTCWKNAQKHQ-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@H](CN(C)C(=O)C#CC1CC1)[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001283608262 838172419 /nfs/dbraw/zinc/17/24/19/838172419.db2.gz QCRZDFHPIVHPNU-GFCCVEGCSA-N 1 2 318.421 1.717 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](CCNC(=O)c2[nH]nc(C)c2C)C1 ZINC001267513153 838225108 /nfs/dbraw/zinc/22/51/08/838225108.db2.gz DUXBELIKXIEHGX-OAHLLOKOSA-N 1 2 318.421 1.118 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](CCNC(=O)c2[nH]nc(C)c2C)C1 ZINC001267513153 838225122 /nfs/dbraw/zinc/22/51/22/838225122.db2.gz DUXBELIKXIEHGX-OAHLLOKOSA-N 1 2 318.421 1.118 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)c2cncc(Cl)c2)CC1 ZINC001267612460 838462891 /nfs/dbraw/zinc/46/28/91/838462891.db2.gz LAVRVLSWZJMJGI-UHFFFAOYSA-N 1 2 320.824 1.058 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1C[NH+](Cc2cnc(C)nc2)C1 ZINC001267684438 838638780 /nfs/dbraw/zinc/63/87/80/838638780.db2.gz WTFMUBGNBAHOGF-UHFFFAOYSA-N 1 2 302.422 1.935 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(C)(C)CC ZINC001267705641 838686538 /nfs/dbraw/zinc/68/65/38/838686538.db2.gz HUFWBSNGHSYHHP-ILXRZTDVSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(C)(C)CC ZINC001267705641 838686541 /nfs/dbraw/zinc/68/65/41/838686541.db2.gz HUFWBSNGHSYHHP-ILXRZTDVSA-N 1 2 321.465 1.789 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCOC[C@H]2CCCO2)C1 ZINC001267726986 838755969 /nfs/dbraw/zinc/75/59/69/838755969.db2.gz ZXFFOAVMAWNELW-UONOGXRCSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCOC[C@H]2CCCO2)C1 ZINC001267726986 838755978 /nfs/dbraw/zinc/75/59/78/838755978.db2.gz ZXFFOAVMAWNELW-UONOGXRCSA-N 1 2 316.829 1.515 20 30 DDEDLO CN(C(=O)C#CC1CC1)[C@@H]1CC[N@H+](Cc2cc3n(n2)CCC3)C1 ZINC001267763652 838898378 /nfs/dbraw/zinc/89/83/78/838898378.db2.gz ZIDPSDFMRKSLRG-QGZVFWFLSA-N 1 2 312.417 1.275 20 30 DDEDLO CN(C(=O)C#CC1CC1)[C@@H]1CC[N@@H+](Cc2cc3n(n2)CCC3)C1 ZINC001267763652 838898386 /nfs/dbraw/zinc/89/83/86/838898386.db2.gz ZIDPSDFMRKSLRG-QGZVFWFLSA-N 1 2 312.417 1.275 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CC[N@H+](CCS(C)(=O)=O)C1 ZINC001267769574 838925504 /nfs/dbraw/zinc/92/55/04/838925504.db2.gz BZLIXTLINSFIKK-UONOGXRCSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CC[N@@H+](CCS(C)(=O)=O)C1 ZINC001267769574 838925510 /nfs/dbraw/zinc/92/55/10/838925510.db2.gz BZLIXTLINSFIKK-UONOGXRCSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CCCOCC(=O)N(CC)[C@@H]1CC[N@H+](Cc2ncc(C)o2)C1 ZINC001267794666 838990515 /nfs/dbraw/zinc/99/05/15/838990515.db2.gz QKOKELBJGIZDNU-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCOCC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001267794666 838990522 /nfs/dbraw/zinc/99/05/22/838990522.db2.gz QKOKELBJGIZDNU-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@](C)(CNCC#N)C3CC3)ccn12 ZINC001267854494 839083968 /nfs/dbraw/zinc/08/39/68/839083968.db2.gz ZFEFEXJHUSXESQ-QGZVFWFLSA-N 1 2 311.389 1.654 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCCC2CCN(CC#N)CC2)c[nH+]1 ZINC001267944579 839236814 /nfs/dbraw/zinc/23/68/14/839236814.db2.gz DUEZXGWEAJKCDI-UHFFFAOYSA-N 1 2 317.437 1.669 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)CS(=O)(=O)CCC ZINC001272042716 844420741 /nfs/dbraw/zinc/42/07/41/844420741.db2.gz IJURVEKKJBVRLZ-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)CS(=O)(=O)CCC ZINC001272042716 844420745 /nfs/dbraw/zinc/42/07/45/844420745.db2.gz IJURVEKKJBVRLZ-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@@H](CNC(=O)CCc2cnc[nH]2)C1 ZINC001268026809 839428943 /nfs/dbraw/zinc/42/89/43/839428943.db2.gz XHEUOUZSUQGGMO-HNNXBMFYSA-N 1 2 306.410 1.126 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@@H](CNC(=O)CCc2cnc[nH]2)C1 ZINC001268026809 839428952 /nfs/dbraw/zinc/42/89/52/839428952.db2.gz XHEUOUZSUQGGMO-HNNXBMFYSA-N 1 2 306.410 1.126 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2(CCF)CC2)C1 ZINC001268208552 839840610 /nfs/dbraw/zinc/84/06/10/839840610.db2.gz NWXZUYSPWBWNGA-ZDUSSCGKSA-N 1 2 311.401 1.009 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2(CCF)CC2)C1 ZINC001268208552 839840617 /nfs/dbraw/zinc/84/06/17/839840617.db2.gz NWXZUYSPWBWNGA-ZDUSSCGKSA-N 1 2 311.401 1.009 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C(C)(C)C1CCCC1 ZINC001268238525 839876099 /nfs/dbraw/zinc/87/60/99/839876099.db2.gz GYZXBXRMFFKHCS-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)C(C)(C)C1CCCC1 ZINC001268238525 839876103 /nfs/dbraw/zinc/87/61/03/839876103.db2.gz GYZXBXRMFFKHCS-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC1(CC(=O)N(C)CC[N@@H+](C)CC(=O)NC)CCCCC1 ZINC001268252735 839898026 /nfs/dbraw/zinc/89/80/26/839898026.db2.gz FLEFSFCBRXHTKL-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC1(CC(=O)N(C)CC[N@H+](C)CC(=O)NC)CCCCC1 ZINC001268252735 839898030 /nfs/dbraw/zinc/89/80/30/839898030.db2.gz FLEFSFCBRXHTKL-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H](CC(C)C)C(N)=O ZINC001268260350 839908275 /nfs/dbraw/zinc/90/82/75/839908275.db2.gz UGZCWZAUUXIORK-LBPRGKRZSA-N 1 2 303.834 1.277 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H](CC(C)C)C(N)=O ZINC001268260350 839908282 /nfs/dbraw/zinc/90/82/82/839908282.db2.gz UGZCWZAUUXIORK-LBPRGKRZSA-N 1 2 303.834 1.277 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](O)C[NH2+]Cc1nc(C2CC2)no1 ZINC001268316409 839989639 /nfs/dbraw/zinc/98/96/39/839989639.db2.gz LNSHUOGPWBWBNB-LBPRGKRZSA-N 1 2 322.409 1.116 20 30 DDEDLO Cc1nc(C[NH2+]C/C=C/CNC(=O)[C@H](C)C#N)nc2ccccc12 ZINC001268523199 840380463 /nfs/dbraw/zinc/38/04/63/840380463.db2.gz PZJKDSJTGPGZEW-URWSZGRFSA-N 1 2 323.400 1.860 20 30 DDEDLO C#CCCCC(=O)NC/C=C/C[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001268534399 840407674 /nfs/dbraw/zinc/40/76/74/840407674.db2.gz ULQNBFFWNFUJQX-CMDGGOBGSA-N 1 2 318.421 1.933 20 30 DDEDLO COCC#CC[NH2+]C/C=C/CNC(=O)c1cccc2nc[nH]c21 ZINC001268606697 840571386 /nfs/dbraw/zinc/57/13/86/840571386.db2.gz HDOPWUYWGMALLF-NSCUHMNNSA-N 1 2 312.373 1.088 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C/C[NH2+][C@H](C)c2ncccn2)cn1 ZINC001268618530 840586770 /nfs/dbraw/zinc/58/67/70/840586770.db2.gz RAGOTQUFJUWSLA-ISZGNANSSA-N 1 2 321.384 1.490 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[N@H+](C)CC(=O)NCC2CC2)C1 ZINC001272106738 844620030 /nfs/dbraw/zinc/62/00/30/844620030.db2.gz YMTYBPNPYIBRQK-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[N@@H+](C)CC(=O)NCC2CC2)C1 ZINC001272106738 844620035 /nfs/dbraw/zinc/62/00/35/844620035.db2.gz YMTYBPNPYIBRQK-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(OC)nc1 ZINC001269222798 841373584 /nfs/dbraw/zinc/37/35/84/841373584.db2.gz IRBGXDPAOXYCFA-INIZCTEOSA-N 1 2 315.417 1.974 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(OC)nc1 ZINC001269222798 841373589 /nfs/dbraw/zinc/37/35/89/841373589.db2.gz IRBGXDPAOXYCFA-INIZCTEOSA-N 1 2 315.417 1.974 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1(CF)CCC1 ZINC001269290733 841476160 /nfs/dbraw/zinc/47/61/60/841476160.db2.gz DLJAAQVFHHFTQE-CHWSQXEVSA-N 1 2 311.401 1.007 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1(CF)CCC1 ZINC001269290733 841476166 /nfs/dbraw/zinc/47/61/66/841476166.db2.gz DLJAAQVFHHFTQE-CHWSQXEVSA-N 1 2 311.401 1.007 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc2c1OCC2 ZINC001269311483 841502766 /nfs/dbraw/zinc/50/27/66/841502766.db2.gz SUOCWUXOBFHJOF-OAHLLOKOSA-N 1 2 314.385 1.075 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc2c1OCC2 ZINC001269311483 841502774 /nfs/dbraw/zinc/50/27/74/841502774.db2.gz SUOCWUXOBFHJOF-OAHLLOKOSA-N 1 2 314.385 1.075 20 30 DDEDLO C=CCC[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C(C)(C)S(C)(=O)=O ZINC001269310560 841506260 /nfs/dbraw/zinc/50/62/60/841506260.db2.gz MZSRQKRJIRYAAP-QWHCGFSZSA-N 1 2 316.467 1.355 20 30 DDEDLO C=CCC[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C(C)(C)S(C)(=O)=O ZINC001269310560 841506267 /nfs/dbraw/zinc/50/62/67/841506267.db2.gz MZSRQKRJIRYAAP-QWHCGFSZSA-N 1 2 316.467 1.355 20 30 DDEDLO C=CCC1(C(=O)NCC2([NH2+]Cc3ncnn3CC)CC2)CCC1 ZINC001269484873 841682415 /nfs/dbraw/zinc/68/24/15/841682415.db2.gz VZGUHAJPZCVKRD-UHFFFAOYSA-N 1 2 317.437 1.783 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)C2CC3(C2)CCOCC3)C1 ZINC001269876360 842124892 /nfs/dbraw/zinc/12/48/92/842124892.db2.gz SHRXEGNJPMLMMR-UHFFFAOYSA-N 1 2 322.449 1.538 20 30 DDEDLO C#CCN(C(=O)c1ccon1)C1CC[NH+](Cc2ccon2)CC1 ZINC001270290688 842461840 /nfs/dbraw/zinc/46/18/40/842461840.db2.gz OHXPSXQVAUZGPF-UHFFFAOYSA-N 1 2 314.345 1.403 20 30 DDEDLO Cc1ncsc1C[N@@H+]1C[C@@H]2COC[C@H](C1)N2C(=O)[C@H](C)C#N ZINC001270506559 842626929 /nfs/dbraw/zinc/62/69/29/842626929.db2.gz AOGUENKOAMGICZ-RTXFEEFZSA-N 1 2 320.418 1.023 20 30 DDEDLO Cc1ncsc1C[N@H+]1C[C@@H]2COC[C@H](C1)N2C(=O)[C@H](C)C#N ZINC001270506559 842626935 /nfs/dbraw/zinc/62/69/35/842626935.db2.gz AOGUENKOAMGICZ-RTXFEEFZSA-N 1 2 320.418 1.023 20 30 DDEDLO C=C1CC(C(=O)N2CC[C@H]3C[N@@H+](Cc4nccn4CC)C[C@H]32)C1 ZINC001270592058 842699902 /nfs/dbraw/zinc/69/99/02/842699902.db2.gz PKCMRRNNEIPZBO-GOEBONIOSA-N 1 2 314.433 1.902 20 30 DDEDLO C=C1CC(C(=O)N2CC[C@H]3C[N@H+](Cc4nccn4CC)C[C@H]32)C1 ZINC001270592058 842699908 /nfs/dbraw/zinc/69/99/08/842699908.db2.gz PKCMRRNNEIPZBO-GOEBONIOSA-N 1 2 314.433 1.902 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H](C)OCCOCC)C1 ZINC001270659692 842786677 /nfs/dbraw/zinc/78/66/77/842786677.db2.gz WCRURLFWLNIEEZ-HIFRSBDPSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H](C)OCCOCC)C1 ZINC001270659692 842786683 /nfs/dbraw/zinc/78/66/83/842786683.db2.gz WCRURLFWLNIEEZ-HIFRSBDPSA-N 1 2 318.845 1.761 20 30 DDEDLO C#CC[N@@H+](C)Cc1ccccc1CNC(=O)C1=NC(=O)N(C)C1 ZINC001326458120 861388832 /nfs/dbraw/zinc/38/88/32/861388832.db2.gz KRGBOKRJTIEVJO-UHFFFAOYSA-N 1 2 312.373 1.121 20 30 DDEDLO C#CC[N@H+](C)Cc1ccccc1CNC(=O)C1=NC(=O)N(C)C1 ZINC001326458120 861388844 /nfs/dbraw/zinc/38/88/44/861388844.db2.gz KRGBOKRJTIEVJO-UHFFFAOYSA-N 1 2 312.373 1.121 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@H]1COCC[N@@H+]1CC[C@@H]1CCOC1 ZINC001326635298 861498898 /nfs/dbraw/zinc/49/88/98/861498898.db2.gz WBSBCEUVLNBNIO-HZPDHXFCSA-N 1 2 322.449 1.280 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@H]1COCC[N@H+]1CC[C@@H]1CCOC1 ZINC001326635298 861498907 /nfs/dbraw/zinc/49/89/07/861498907.db2.gz WBSBCEUVLNBNIO-HZPDHXFCSA-N 1 2 322.449 1.280 20 30 DDEDLO Cc1noc(C[NH2+]C2(CNC(=O)C#CC(C)(C)C)CCC2)n1 ZINC001326823044 861633649 /nfs/dbraw/zinc/63/36/49/861633649.db2.gz QQHXNVOEJOAJGB-UHFFFAOYSA-N 1 2 304.394 1.556 20 30 DDEDLO N#CCCCN1CC2(C[NH+](CCc3ccccc3)C2)OCC1=O ZINC001272447533 846144019 /nfs/dbraw/zinc/14/40/19/846144019.db2.gz WNAUEPFTUUANLR-UHFFFAOYSA-N 1 2 313.401 1.446 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](C)[N@H+](CC)Cc2cn(C)nn2)CCC1 ZINC001155061935 861692042 /nfs/dbraw/zinc/69/20/42/861692042.db2.gz NQCOMVWHJRZOOG-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2cn(C)nn2)CCC1 ZINC001155061935 861692053 /nfs/dbraw/zinc/69/20/53/861692053.db2.gz NQCOMVWHJRZOOG-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](C)NC(=O)Cc2c[nH+]cn2C)n1 ZINC001107852038 847348128 /nfs/dbraw/zinc/34/81/28/847348128.db2.gz WRKYNZQHSNFCAI-GFCCVEGCSA-N 1 2 312.377 1.155 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)C1(COC)CCCCC1 ZINC001272858684 847559601 /nfs/dbraw/zinc/55/96/01/847559601.db2.gz CKOJTJGZERBWJD-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)C1(COC)CCCCC1 ZINC001272858684 847559602 /nfs/dbraw/zinc/55/96/02/847559602.db2.gz CKOJTJGZERBWJD-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CC3(C2)COCC(=O)N3C)cc1 ZINC001272987632 847748710 /nfs/dbraw/zinc/74/87/10/847748710.db2.gz FQUVXJVRWXCGCI-UHFFFAOYSA-N 1 2 302.374 1.294 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2nccnc2N)C1 ZINC001034143329 848027802 /nfs/dbraw/zinc/02/78/02/848027802.db2.gz RTSJNIAOHLKUTO-NSHDSACASA-N 1 2 309.801 1.396 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2nccnc2N)C1 ZINC001034143329 848027806 /nfs/dbraw/zinc/02/78/06/848027806.db2.gz RTSJNIAOHLKUTO-NSHDSACASA-N 1 2 309.801 1.396 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2coc(CC)n2)[C@H](O)C1 ZINC001090205552 848298375 /nfs/dbraw/zinc/29/83/75/848298375.db2.gz GPYSBOHAJHAIHH-CMPLNLGQSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2coc(CC)n2)[C@H](O)C1 ZINC001090205552 848298382 /nfs/dbraw/zinc/29/83/82/848298382.db2.gz GPYSBOHAJHAIHH-CMPLNLGQSA-N 1 2 313.785 1.155 20 30 DDEDLO N#CCN1CCCC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001034328610 848333429 /nfs/dbraw/zinc/33/34/29/848333429.db2.gz YPEGBUPUAOEMEJ-INIZCTEOSA-N 1 2 323.400 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@H](CC)NC(C)=O)C(C)(C)C1 ZINC001410029146 848699262 /nfs/dbraw/zinc/69/92/62/848699262.db2.gz QKYWUBMDHVOCJX-OLZOCXBDSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@H](CC)NC(C)=O)C(C)(C)C1 ZINC001410029146 848699267 /nfs/dbraw/zinc/69/92/67/848699267.db2.gz QKYWUBMDHVOCJX-OLZOCXBDSA-N 1 2 315.845 1.480 20 30 DDEDLO Cc1cnc(C[N@@H+](C)CCCN(C)C(=O)CSCC#N)nc1 ZINC001327191120 861954309 /nfs/dbraw/zinc/95/43/09/861954309.db2.gz YDQJOCCXVWQCBD-UHFFFAOYSA-N 1 2 321.450 1.322 20 30 DDEDLO Cc1cnc(C[N@H+](C)CCCN(C)C(=O)CSCC#N)nc1 ZINC001327191120 861954329 /nfs/dbraw/zinc/95/43/29/861954329.db2.gz YDQJOCCXVWQCBD-UHFFFAOYSA-N 1 2 321.450 1.322 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H](C)C(=O)NCC(F)(F)F)nn1 ZINC001327239281 861986110 /nfs/dbraw/zinc/98/61/10/861986110.db2.gz UGFIXVSGPVGXAP-SECBINFHSA-N 1 2 305.304 1.011 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C(CC=C)CC=C)C2)CC1 ZINC001273374393 849732771 /nfs/dbraw/zinc/73/27/71/849732771.db2.gz KESUWTBRQXOQAH-SFHVURJKSA-N 1 2 315.461 1.607 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](F)C(C)C)CO2 ZINC001327406395 862146728 /nfs/dbraw/zinc/14/67/28/862146728.db2.gz MRDSGQJWNLPODA-ZIAGYGMSSA-N 1 2 314.401 1.143 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001134412091 850669732 /nfs/dbraw/zinc/66/97/32/850669732.db2.gz UHJZQMFKSSVCFO-UHFFFAOYSA-N 1 2 306.410 1.830 20 30 DDEDLO CCOC(=O)[C@@H](C)[N@@H+]1CCC[C@]12CCN(CCCC#N)C2=O ZINC001273913367 851496995 /nfs/dbraw/zinc/49/69/95/851496995.db2.gz NMUALVWEUYVGOV-CZUORRHYSA-N 1 2 307.394 1.309 20 30 DDEDLO CCOC(=O)[C@@H](C)[N@H+]1CCC[C@]12CCN(CCCC#N)C2=O ZINC001273913367 851497002 /nfs/dbraw/zinc/49/70/02/851497002.db2.gz NMUALVWEUYVGOV-CZUORRHYSA-N 1 2 307.394 1.309 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)nc1 ZINC001274193371 852021557 /nfs/dbraw/zinc/02/15/57/852021557.db2.gz YJQCJZBZBVOQPS-UHFFFAOYSA-N 1 2 307.357 1.239 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)n1 ZINC001274397403 852229248 /nfs/dbraw/zinc/22/92/48/852229248.db2.gz LKNMQKCNBQIYAL-UHFFFAOYSA-N 1 2 307.357 1.239 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2nnc(C)o2)CC1(C)C ZINC001274415783 852250775 /nfs/dbraw/zinc/25/07/75/852250775.db2.gz JGTCVPRYPYFQFN-CYBMUJFWSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](Cc2nnc(C)o2)CC1(C)C ZINC001274415783 852250782 /nfs/dbraw/zinc/25/07/82/852250782.db2.gz JGTCVPRYPYFQFN-CYBMUJFWSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CCNC(=O)[C@@H]1CC12CC[NH+](Cc1ncc(C)cn1)CC2 ZINC001274441446 852270456 /nfs/dbraw/zinc/27/04/56/852270456.db2.gz CPYRISPDOGETGR-AWEZNQCLSA-N 1 2 300.406 1.689 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C\C[NH2+][C@H](C)c2ncc(C)o2)nc1 ZINC001274512087 852345667 /nfs/dbraw/zinc/34/56/67/852345667.db2.gz UNCCQGHCBITZLT-GEXIGZQTSA-N 1 2 324.384 1.996 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CC[N@H+](Cc3n[nH]c4c3CCC4)C2)C1=O ZINC001274570066 852403008 /nfs/dbraw/zinc/40/30/08/852403008.db2.gz UWENVKVPRDOIIJ-GOSISDBHSA-N 1 2 314.433 1.899 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CC[N@@H+](Cc3n[nH]c4c3CCC4)C2)C1=O ZINC001274570066 852403015 /nfs/dbraw/zinc/40/30/15/852403015.db2.gz UWENVKVPRDOIIJ-GOSISDBHSA-N 1 2 314.433 1.899 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)COCC1CC1 ZINC001274847766 852638071 /nfs/dbraw/zinc/63/80/71/852638071.db2.gz PWEJRIDFTUURLC-GOSISDBHSA-N 1 2 312.413 1.762 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)COCC1CC1 ZINC001274847766 852638080 /nfs/dbraw/zinc/63/80/80/852638080.db2.gz PWEJRIDFTUURLC-GOSISDBHSA-N 1 2 312.413 1.762 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)C[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001274878390 852662549 /nfs/dbraw/zinc/66/25/49/852662549.db2.gz XQVVHWUDJRGDEM-VXGBXAGGSA-N 1 2 324.425 1.974 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C[N@H+](C)Cc1snnc1C ZINC001322226228 852842699 /nfs/dbraw/zinc/84/26/99/852842699.db2.gz ZPEILVYNFVHWQQ-GFCCVEGCSA-N 1 2 308.451 1.977 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C[N@@H+](C)Cc1snnc1C ZINC001322226228 852842700 /nfs/dbraw/zinc/84/27/00/852842700.db2.gz ZPEILVYNFVHWQQ-GFCCVEGCSA-N 1 2 308.451 1.977 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4ccncn4)C3)C2)cc1C#N ZINC001275224130 852906485 /nfs/dbraw/zinc/90/64/85/852906485.db2.gz UUKPETWTNJXVLW-UHFFFAOYSA-N 1 2 308.389 1.005 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)C[C@H](C)C(F)(F)F ZINC001323292747 853075984 /nfs/dbraw/zinc/07/59/84/853075984.db2.gz HQIQQZPCEGCWGV-RYUDHWBXSA-N 1 2 306.328 1.415 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)C[C@H](C)C(F)(F)F ZINC001323292747 853075987 /nfs/dbraw/zinc/07/59/87/853075987.db2.gz HQIQQZPCEGCWGV-RYUDHWBXSA-N 1 2 306.328 1.415 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H](C)CN(C)C(=O)[C@H](C)C#N)c[nH+]1 ZINC001412670020 854910970 /nfs/dbraw/zinc/91/09/70/854910970.db2.gz GBUCTPOXZZNVHL-YPMHNXCESA-N 1 2 319.409 1.049 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)n2)C[C@H]1C ZINC001206640641 862732369 /nfs/dbraw/zinc/73/23/69/862732369.db2.gz IPRZWBUFUGQELW-NQBHXWOUSA-N 1 2 306.797 1.831 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)n2)C[C@H]1C ZINC001206640641 862732378 /nfs/dbraw/zinc/73/23/78/862732378.db2.gz IPRZWBUFUGQELW-NQBHXWOUSA-N 1 2 306.797 1.831 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C3CC3)o1)C2 ZINC001072551615 857435481 /nfs/dbraw/zinc/43/54/81/857435481.db2.gz IGKYXRQDCAUENU-GFCCVEGCSA-N 1 2 314.389 1.746 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C3CC3)o1)C2 ZINC001072551615 857435485 /nfs/dbraw/zinc/43/54/85/857435485.db2.gz IGKYXRQDCAUENU-GFCCVEGCSA-N 1 2 314.389 1.746 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001072641127 857546107 /nfs/dbraw/zinc/54/61/07/857546107.db2.gz KWSAEJYTKLHZLP-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO CN(C)c1cc(Cl)nc(C(F)(F)N2CC[NH2+]C[C@@H]2C#N)n1 ZINC001156321217 862931369 /nfs/dbraw/zinc/93/13/69/862931369.db2.gz UVRYQYXHOKFMCJ-QMMMGPOBSA-N 1 2 316.743 1.043 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072801067 857719424 /nfs/dbraw/zinc/71/94/24/857719424.db2.gz LBYYWCGQYUNRNC-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H](C)OCC ZINC001328463084 862987976 /nfs/dbraw/zinc/98/79/76/862987976.db2.gz BTEOYLOLDDREHL-WMLDXEAASA-N 1 2 300.402 1.760 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H](C)OCC ZINC001328463084 862987981 /nfs/dbraw/zinc/98/79/81/862987981.db2.gz BTEOYLOLDDREHL-WMLDXEAASA-N 1 2 300.402 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ncoc2C)C1 ZINC001073547377 858430078 /nfs/dbraw/zinc/43/00/78/858430078.db2.gz IECMROBLZMQOAU-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ncoc2C)C1 ZINC001073547377 858430081 /nfs/dbraw/zinc/43/00/81/858430081.db2.gz IECMROBLZMQOAU-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)OC(C)(C)C)n2CC)CC1 ZINC001121707275 858577826 /nfs/dbraw/zinc/57/78/26/858577826.db2.gz SNMNRUDXGFCDQV-AWEZNQCLSA-N 1 2 319.453 1.929 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1CC ZINC001121884638 858618485 /nfs/dbraw/zinc/61/84/85/858618485.db2.gz CATYVDWWPFNIAG-KGLIPLIRSA-N 1 2 303.410 1.293 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2C[N@H+]3CCCC[C@@H]3CO2)n1CC ZINC001121884638 858618489 /nfs/dbraw/zinc/61/84/89/858618489.db2.gz CATYVDWWPFNIAG-KGLIPLIRSA-N 1 2 303.410 1.293 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2CC[NH+](Cc3ncnn3C)CC2)C1 ZINC001224477414 881414541 /nfs/dbraw/zinc/41/45/41/881414541.db2.gz VODTWMGVKCWLFD-UHFFFAOYSA-N 1 2 317.437 1.500 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001123759054 859399833 /nfs/dbraw/zinc/39/98/33/859399833.db2.gz BXQLOEBIWSXEIV-UONOGXRCSA-N 1 2 304.394 1.684 20 30 DDEDLO C#CCOc1ccc(CN2CCc3c([nH+]cn3C)C2)cc1OC ZINC001138347791 860066363 /nfs/dbraw/zinc/06/63/63/860066363.db2.gz FBMIZMAUGHGMPD-UHFFFAOYSA-N 1 2 311.385 1.999 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c1 ZINC001139651249 860448300 /nfs/dbraw/zinc/44/83/00/860448300.db2.gz NEKFAVBUNTYIFQ-UHFFFAOYSA-N 1 2 300.293 1.457 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c1 ZINC001139651249 860448303 /nfs/dbraw/zinc/44/83/03/860448303.db2.gz NEKFAVBUNTYIFQ-UHFFFAOYSA-N 1 2 300.293 1.457 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(C(C)C)ns1 ZINC001153148917 863732940 /nfs/dbraw/zinc/73/29/40/863732940.db2.gz OCHSGPJPIUXCIW-NSHDSACASA-N 1 2 312.439 1.458 20 30 DDEDLO C#CCN(CC#C)c1nc(N2CCCC2)[nH+]c(N2CCCC2)n1 ZINC001157562160 863927071 /nfs/dbraw/zinc/92/70/71/863927071.db2.gz CIDRUPBNKDTXOD-UHFFFAOYSA-N 1 2 310.405 1.145 20 30 DDEDLO C#CCN(CC#C)c1nc(N2CCCC2)nc(N2CCCC2)[nH+]1 ZINC001157562160 863927081 /nfs/dbraw/zinc/92/70/81/863927081.db2.gz CIDRUPBNKDTXOD-UHFFFAOYSA-N 1 2 310.405 1.145 20 30 DDEDLO C=CCn1cnn(C[N@@H+]2C[C@H](C)[C@@](C)(C(=O)OC)C2)c1=S ZINC001330726822 864555282 /nfs/dbraw/zinc/55/52/82/864555282.db2.gz AMXYXMXRORJMDH-FZMZJTMJSA-N 1 2 310.423 1.689 20 30 DDEDLO C=CCn1cnn(C[N@H+]2C[C@H](C)[C@@](C)(C(=O)OC)C2)c1=S ZINC001330726822 864555292 /nfs/dbraw/zinc/55/52/92/864555292.db2.gz AMXYXMXRORJMDH-FZMZJTMJSA-N 1 2 310.423 1.689 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cnc(N3CCCC3)nc2)C[C@H](C)N1CC#N ZINC001331586017 865167776 /nfs/dbraw/zinc/16/77/76/865167776.db2.gz WVRJGOXTBYZBRQ-GASCZTMLSA-N 1 2 314.437 1.495 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cnc(N3CCCC3)nc2)C[C@H](C)N1CC#N ZINC001331586017 865167781 /nfs/dbraw/zinc/16/77/81/865167781.db2.gz WVRJGOXTBYZBRQ-GASCZTMLSA-N 1 2 314.437 1.495 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC001159587317 865413846 /nfs/dbraw/zinc/41/38/46/865413846.db2.gz NRLVDSZKNDTTKJ-RYUDHWBXSA-N 1 2 316.409 1.472 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC001159587317 865413852 /nfs/dbraw/zinc/41/38/52/865413852.db2.gz NRLVDSZKNDTTKJ-RYUDHWBXSA-N 1 2 316.409 1.472 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(F)cn2)[C@H]1C ZINC001332162289 865626210 /nfs/dbraw/zinc/62/62/10/865626210.db2.gz BBALPWYXPJTEQF-XJKSGUPXSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(F)cn2)[C@H]1C ZINC001332162289 865626214 /nfs/dbraw/zinc/62/62/14/865626214.db2.gz BBALPWYXPJTEQF-XJKSGUPXSA-N 1 2 321.396 1.892 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](O)C[NH2+]Cc1nnc(CC)o1 ZINC001332550547 865928729 /nfs/dbraw/zinc/92/87/29/865928729.db2.gz GTPOWTOONXGMDF-GFCCVEGCSA-N 1 2 324.425 1.143 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+]1Cc1cc(CO)ccc1F ZINC001323198783 866423321 /nfs/dbraw/zinc/42/33/21/866423321.db2.gz KBYLHUXMGQLEAW-HNNXBMFYSA-N 1 2 306.381 1.975 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+]1Cc1cc(CO)ccc1F ZINC001323198783 866423326 /nfs/dbraw/zinc/42/33/26/866423326.db2.gz KBYLHUXMGQLEAW-HNNXBMFYSA-N 1 2 306.381 1.975 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001323311958 866521246 /nfs/dbraw/zinc/52/12/46/866521246.db2.gz WWKFFHIEZMXHQQ-GXTWGEPZSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001323311958 866521253 /nfs/dbraw/zinc/52/12/53/866521253.db2.gz WWKFFHIEZMXHQQ-GXTWGEPZSA-N 1 2 307.438 1.446 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2cnccc2c1 ZINC001323336430 866541620 /nfs/dbraw/zinc/54/16/20/866541620.db2.gz PQINNXCVUIHGAL-GOSISDBHSA-N 1 2 323.396 1.689 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2cnccc2c1 ZINC001323336430 866541626 /nfs/dbraw/zinc/54/16/26/866541626.db2.gz PQINNXCVUIHGAL-GOSISDBHSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H](CC)Oc1ccccc1F ZINC001323337263 866544189 /nfs/dbraw/zinc/54/41/89/866544189.db2.gz WKHXQKBMXGWAHV-UKRRQHHQSA-N 1 2 304.365 1.807 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H](CC)Oc1ccccc1F ZINC001323337263 866544202 /nfs/dbraw/zinc/54/42/02/866544202.db2.gz WKHXQKBMXGWAHV-UKRRQHHQSA-N 1 2 304.365 1.807 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)COc1ccc(C)c(C)c1 ZINC001323353978 866556930 /nfs/dbraw/zinc/55/69/30/866556930.db2.gz UOHGXSTURQTJJZ-MRXNPFEDSA-N 1 2 300.402 1.896 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)COc1ccc(C)c(C)c1 ZINC001323353978 866556936 /nfs/dbraw/zinc/55/69/36/866556936.db2.gz UOHGXSTURQTJJZ-MRXNPFEDSA-N 1 2 300.402 1.896 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC(CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001333753248 866983631 /nfs/dbraw/zinc/98/36/31/866983631.db2.gz HJTHCWSCNGPSRS-UHFFFAOYSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC(CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001333753248 866983652 /nfs/dbraw/zinc/98/36/52/866983652.db2.gz HJTHCWSCNGPSRS-UHFFFAOYSA-N 1 2 318.421 1.566 20 30 DDEDLO C#CCN(C(=O)c1n[nH]cc1F)C1CC[NH+](CC(=C)Cl)CC1 ZINC001324420094 867269954 /nfs/dbraw/zinc/26/99/54/867269954.db2.gz LTINNSDILXDGPS-UHFFFAOYSA-N 1 2 324.787 1.841 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001324559858 867355894 /nfs/dbraw/zinc/35/58/94/867355894.db2.gz KCBQDCOMJTXJFR-WMZOPIPTSA-N 1 2 320.481 1.945 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+]([C@@H](C)c2nc(C)no2)C1 ZINC001324586752 867375186 /nfs/dbraw/zinc/37/51/86/867375186.db2.gz ALFZQXNORCSSGR-XHDPSFHLSA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+]([C@@H](C)c2nc(C)no2)C1 ZINC001324586752 867375198 /nfs/dbraw/zinc/37/51/98/867375198.db2.gz ALFZQXNORCSSGR-XHDPSFHLSA-N 1 2 308.382 1.222 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C/C[NH2+][C@@H](C)c2nc(C)no2)cc1 ZINC001321193805 867392936 /nfs/dbraw/zinc/39/29/36/867392936.db2.gz SBXXHPGFIOBURO-GFUIURDCSA-N 1 2 324.384 1.996 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)[C@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001334565675 867671605 /nfs/dbraw/zinc/67/16/05/867671605.db2.gz GDTRDBGBXJNMSR-CHWSQXEVSA-N 1 2 306.410 1.518 20 30 DDEDLO C#CC[C@@H]1CCN(c2nnnn2CCCc2cccc(C)[nH+]2)C1 ZINC001334634454 867723452 /nfs/dbraw/zinc/72/34/52/867723452.db2.gz DDTPCSSODSYFFT-OAHLLOKOSA-N 1 2 310.405 1.859 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)N[C@@](C)(C3CCCC3)C2=O)C1 ZINC001321808559 867805649 /nfs/dbraw/zinc/80/56/49/867805649.db2.gz HIFXIRLEMUYIIQ-YOEHRIQHSA-N 1 2 321.421 1.722 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)N[C@@](C)(C3CCCC3)C2=O)C1 ZINC001321808559 867805663 /nfs/dbraw/zinc/80/56/63/867805663.db2.gz HIFXIRLEMUYIIQ-YOEHRIQHSA-N 1 2 321.421 1.722 20 30 DDEDLO C=C(C)CCC(=O)N1CC([C@H](C)NC(=O)CCn2cc[nH+]c2)C1 ZINC001335085103 868053663 /nfs/dbraw/zinc/05/36/63/868053663.db2.gz TXCZTVLBNKYGJB-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[NH2+]CCCNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001163781567 868699587 /nfs/dbraw/zinc/69/95/87/868699587.db2.gz LAEFOMHSKPHDPK-UHFFFAOYSA-N 1 2 319.796 1.934 20 30 DDEDLO N#CCc1cc[nH+]c(N2C[C@H]3C[C@@H](C2)Cn2c(=O)cccc23)c1 ZINC001163823104 868735909 /nfs/dbraw/zinc/73/59/09/868735909.db2.gz QKYKSBALOOQEPE-LSDHHAIUSA-N 1 2 306.369 1.933 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H](C)CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001337504799 869514849 /nfs/dbraw/zinc/51/48/49/869514849.db2.gz AUIDGABFOGAWIZ-QWHCGFSZSA-N 1 2 306.410 1.518 20 30 DDEDLO Cc1nc(N2CCc3onc(Cn4cc[nH+]c4)c3C2)cnc1C#N ZINC001165546536 869636492 /nfs/dbraw/zinc/63/64/92/869636492.db2.gz BOSOXKBUSJNWSD-UHFFFAOYSA-N 1 2 321.344 1.452 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@@H]1C ZINC001337982793 869727620 /nfs/dbraw/zinc/72/76/20/869727620.db2.gz GJWGFXVONQMRAM-HUUCEWRRSA-N 1 2 316.405 1.038 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3n[nH]c4ccccc43)n2C)CC1 ZINC001338515739 870026127 /nfs/dbraw/zinc/02/61/27/870026127.db2.gz WNTMDTUIUGFQKI-UHFFFAOYSA-N 1 2 321.388 1.114 20 30 DDEDLO CC[C@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)NC(=O)C#CC1CC1 ZINC001297439480 870048179 /nfs/dbraw/zinc/04/81/79/870048179.db2.gz UABCSOJRZNHOBW-CQSZACIVSA-N 1 2 324.384 1.601 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCC1(C[NH2+]Cc2nnn(C)n2)CC1 ZINC001166545817 870053247 /nfs/dbraw/zinc/05/32/47/870053247.db2.gz PENIJODORFISCN-UHFFFAOYSA-N 1 2 320.441 1.189 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H](C)c2ccccc2)C1 ZINC001316991607 870085274 /nfs/dbraw/zinc/08/52/74/870085274.db2.gz PGXDQLKPRGXPKM-ZBFHGGJFSA-N 1 2 315.417 1.283 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)c2ccccc2)C1 ZINC001316991607 870085290 /nfs/dbraw/zinc/08/52/90/870085290.db2.gz PGXDQLKPRGXPKM-ZBFHGGJFSA-N 1 2 315.417 1.283 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H](C[N@H+](C)Cc2nncs2)C1 ZINC001317037246 870147085 /nfs/dbraw/zinc/14/70/85/870147085.db2.gz PFMPPOQNAHQPNO-CQSZACIVSA-N 1 2 320.462 1.868 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H](C[N@@H+](C)Cc2nncs2)C1 ZINC001317037246 870147089 /nfs/dbraw/zinc/14/70/89/870147089.db2.gz PFMPPOQNAHQPNO-CQSZACIVSA-N 1 2 320.462 1.868 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](OC)C(F)(F)F ZINC001317052504 870168633 /nfs/dbraw/zinc/16/86/33/870168633.db2.gz XYWZUKJTLDQUPH-GRYCIOLGSA-N 1 2 304.312 1.262 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](OC)C(F)(F)F ZINC001317052504 870168639 /nfs/dbraw/zinc/16/86/39/870168639.db2.gz XYWZUKJTLDQUPH-GRYCIOLGSA-N 1 2 304.312 1.262 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(C)CCN(C)C(=O)Cn1cc[nH+]c1 ZINC001298089388 870276072 /nfs/dbraw/zinc/27/60/72/870276072.db2.gz HKENKMBCZNOAKP-CQSZACIVSA-N 1 2 306.410 1.402 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@H](CNC(=O)C#CC(C)C)C2)[nH]1 ZINC001317130220 870314932 /nfs/dbraw/zinc/31/49/32/870314932.db2.gz GLAGRKDMYQQPDN-AWEZNQCLSA-N 1 2 303.410 1.101 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@H](CNC(=O)C#CC(C)C)C2)[nH]1 ZINC001317130220 870314948 /nfs/dbraw/zinc/31/49/48/870314948.db2.gz GLAGRKDMYQQPDN-AWEZNQCLSA-N 1 2 303.410 1.101 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCC[N@H+](Cc2cnon2)C1 ZINC001317132440 870323904 /nfs/dbraw/zinc/32/39/04/870323904.db2.gz YHIJLMSDBODQOJ-AWEZNQCLSA-N 1 2 304.394 1.591 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCC[N@@H+](Cc2cnon2)C1 ZINC001317132440 870323912 /nfs/dbraw/zinc/32/39/12/870323912.db2.gz YHIJLMSDBODQOJ-AWEZNQCLSA-N 1 2 304.394 1.591 20 30 DDEDLO C=CCCC(=O)N1CCC([C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001339066930 870327008 /nfs/dbraw/zinc/32/70/08/870327008.db2.gz BEEHPTLMUPDPDV-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nc(N2CCN(c3nccnc3C#N)CC2)c(C)c(C)[nH+]1 ZINC001166921984 870376102 /nfs/dbraw/zinc/37/61/02/870376102.db2.gz WWHYZKNAOBAGMZ-UHFFFAOYSA-N 1 2 309.377 1.390 20 30 DDEDLO C=CC1CCN(c2nnc(C[NH+]3CCC(CO)CC3)n2C)CC1 ZINC001339882109 870758594 /nfs/dbraw/zinc/75/85/94/870758594.db2.gz QSBXANZSOJJWKG-UHFFFAOYSA-N 1 2 319.453 1.422 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[C@@H]1CCC[N@@H+]1Cc1cnsn1 ZINC001317426126 870809358 /nfs/dbraw/zinc/80/93/58/870809358.db2.gz LSLNTSBDKWBBGN-DGCLKSJQSA-N 1 2 307.423 1.559 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[C@@H]1CCC[N@H+]1Cc1cnsn1 ZINC001317426126 870809367 /nfs/dbraw/zinc/80/93/67/870809367.db2.gz LSLNTSBDKWBBGN-DGCLKSJQSA-N 1 2 307.423 1.559 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@@H+](C)CC(=O)N[C@H](C)C2CC2)C1 ZINC001317461396 870881909 /nfs/dbraw/zinc/88/19/09/870881909.db2.gz BDXSBGDSSNODON-CYBMUJFWSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@H+](C)CC(=O)N[C@H](C)C2CC2)C1 ZINC001317461396 870881915 /nfs/dbraw/zinc/88/19/15/870881915.db2.gz BDXSBGDSSNODON-CYBMUJFWSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN1C(=O)Cn1cc[nH+]c1 ZINC001299094131 870894026 /nfs/dbraw/zinc/89/40/26/870894026.db2.gz AFTLFTUQYNEOJU-CJNGLKHVSA-N 1 2 304.394 1.203 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)c1ccc2c(C#N)c[nH]c2c1 ZINC001301548479 871002604 /nfs/dbraw/zinc/00/26/04/871002604.db2.gz TYZOSQOAEQENGG-AWEZNQCLSA-N 1 2 309.329 1.236 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1CCO[C@@H](C)C1 ZINC001340297336 871016006 /nfs/dbraw/zinc/01/60/06/871016006.db2.gz NZFCEODWAPFOCT-AWEZNQCLSA-N 1 2 305.426 1.675 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@@H+]([C@H](C)c1ncc(C)o1)C1CC1 ZINC001317549885 871044091 /nfs/dbraw/zinc/04/40/91/871044091.db2.gz KSSZGYFQHVYTBV-CXAGYDPISA-N 1 2 321.421 1.952 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@H+]([C@H](C)c1ncc(C)o1)C1CC1 ZINC001317549885 871044104 /nfs/dbraw/zinc/04/41/04/871044104.db2.gz KSSZGYFQHVYTBV-CXAGYDPISA-N 1 2 321.421 1.952 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@H](CC)C1 ZINC001340373618 871065034 /nfs/dbraw/zinc/06/50/34/871065034.db2.gz CHURFAZSGWLZKO-IHRRRGAJSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@H](CC)C1 ZINC001340373618 871065052 /nfs/dbraw/zinc/06/50/52/871065052.db2.gz CHURFAZSGWLZKO-IHRRRGAJSA-N 1 2 305.426 1.438 20 30 DDEDLO C#CCCN(CCOC)c1nnc(C[NH+]2CCCCC2)n1CC ZINC001340414734 871091148 /nfs/dbraw/zinc/09/11/48/871091148.db2.gz DZGAYZDBNIVBSF-UHFFFAOYSA-N 1 2 319.453 1.760 20 30 DDEDLO C=CCn1c(N2CCC=C(C)C2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001340700466 871293553 /nfs/dbraw/zinc/29/35/53/871293553.db2.gz DHNOHHSHILMUEU-PBHICJAKSA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1c(N2CCC=C(C)C2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001340700466 871293567 /nfs/dbraw/zinc/29/35/67/871293567.db2.gz DHNOHHSHILMUEU-PBHICJAKSA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@@H+](CCCS(C)(=O)=O)C1 ZINC001317943563 871641676 /nfs/dbraw/zinc/64/16/76/871641676.db2.gz NMNCXLYAENBMAE-CQSZACIVSA-N 1 2 316.467 1.358 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@H+](CCCS(C)(=O)=O)C1 ZINC001317943563 871641677 /nfs/dbraw/zinc/64/16/77/871641677.db2.gz NMNCXLYAENBMAE-CQSZACIVSA-N 1 2 316.467 1.358 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1C[NH+](C[C@H](O)Cc2ccccc2)C1 ZINC001318060583 871719243 /nfs/dbraw/zinc/71/92/43/871719243.db2.gz IHFLTWCEBQBWKT-IEBWSBKVSA-N 1 2 316.445 1.993 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2ccc(CC)s2)C1 ZINC001318136205 871773073 /nfs/dbraw/zinc/77/30/73/871773073.db2.gz NFBRGHXGKUOXBO-UHFFFAOYSA-N 1 2 321.446 1.274 20 30 DDEDLO CC(C)c1noc([C@@H](C)[NH+]2CCC(NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001226649202 882564798 /nfs/dbraw/zinc/56/47/98/882564798.db2.gz RVARMWBQIIBAJS-NWDGAFQWSA-N 1 2 319.409 1.994 20 30 DDEDLO N#CCNCC[C@H]1CCCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001318803793 872279003 /nfs/dbraw/zinc/27/90/03/872279003.db2.gz HHKFALRTJCKEHM-HUUCEWRRSA-N 1 2 315.421 1.187 20 30 DDEDLO C#CC1CCN(c2nnc([C@H]3CCC[N@@H+]3C)n2CCOC)CC1 ZINC001343105595 872501320 /nfs/dbraw/zinc/50/13/20/872501320.db2.gz SOSMOGPXQHWSIP-OAHLLOKOSA-N 1 2 317.437 1.541 20 30 DDEDLO C#CC1CCN(c2nnc([C@H]3CCC[N@H+]3C)n2CCOC)CC1 ZINC001343105595 872501327 /nfs/dbraw/zinc/50/13/27/872501327.db2.gz SOSMOGPXQHWSIP-OAHLLOKOSA-N 1 2 317.437 1.541 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCCC[N@@H+]2C)n1CCCOCC ZINC001343119824 872508544 /nfs/dbraw/zinc/50/85/44/872508544.db2.gz KWIWGZWTIJVLAO-HNNXBMFYSA-N 1 2 319.453 1.931 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCCC[N@H+]2C)n1CCCOCC ZINC001343119824 872508555 /nfs/dbraw/zinc/50/85/55/872508555.db2.gz KWIWGZWTIJVLAO-HNNXBMFYSA-N 1 2 319.453 1.931 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@@H]1CCCO1 ZINC001343160490 872522295 /nfs/dbraw/zinc/52/22/95/872522295.db2.gz ZKCIYNBYABEUKT-KKUMJFAQSA-N 1 2 317.437 1.682 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@@H]1CCCO1 ZINC001343160490 872522302 /nfs/dbraw/zinc/52/23/02/872522302.db2.gz ZKCIYNBYABEUKT-KKUMJFAQSA-N 1 2 317.437 1.682 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H](CC)c2c(C)noc2C)C1 ZINC001319321813 872573536 /nfs/dbraw/zinc/57/35/36/872573536.db2.gz FUTZRGAVZJUUCQ-HUUCEWRRSA-N 1 2 321.421 1.788 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H](CC)c2c(C)noc2C)C1 ZINC001319321813 872573550 /nfs/dbraw/zinc/57/35/50/872573550.db2.gz FUTZRGAVZJUUCQ-HUUCEWRRSA-N 1 2 321.421 1.788 20 30 DDEDLO CC(C)NC(=O)N1CC2(C1)C[NH+](Cc1ccc(C#N)cc1O)C2 ZINC001276492954 872586988 /nfs/dbraw/zinc/58/69/88/872586988.db2.gz DBSYXMFVEPSVNA-UHFFFAOYSA-N 1 2 314.389 1.499 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@@H+](Cc2nccs2)C[C@H]1C ZINC001206960169 872848439 /nfs/dbraw/zinc/84/84/39/872848439.db2.gz WOYILIUQKAJHAD-CHWSQXEVSA-N 1 2 307.419 1.120 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@H+](Cc2nccs2)C[C@H]1C ZINC001206960169 872848458 /nfs/dbraw/zinc/84/84/58/872848458.db2.gz WOYILIUQKAJHAD-CHWSQXEVSA-N 1 2 307.419 1.120 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2ccnc(CC)n2)C1 ZINC001207090636 873004005 /nfs/dbraw/zinc/00/40/05/873004005.db2.gz LFZLJYYBOCQZND-ZDUSSCGKSA-N 1 2 304.394 1.916 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2ccnc(CC)n2)C1 ZINC001207090636 873004023 /nfs/dbraw/zinc/00/40/23/873004023.db2.gz LFZLJYYBOCQZND-ZDUSSCGKSA-N 1 2 304.394 1.916 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001381796361 882684760 /nfs/dbraw/zinc/68/47/60/882684760.db2.gz QBAOHYHORNGVDG-NEPJUHHUSA-N 1 2 313.829 1.044 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]([NH2+][C@H](C)c2nc(COC)no2)C1 ZINC001207654919 873446888 /nfs/dbraw/zinc/44/68/88/873446888.db2.gz XEQHILNWTPDKSM-OLZOCXBDSA-N 1 2 322.409 1.824 20 30 DDEDLO CC(C)C[C@@H](C(=O)N[C@@H]1CN(CC#N)C[C@H]1C)n1cc[nH+]c1 ZINC001207660068 873450982 /nfs/dbraw/zinc/45/09/82/873450982.db2.gz ZVKABWQMAHYQPC-KFWWJZLASA-N 1 2 303.410 1.430 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(C)c1ccc(OC)c(OC)c1 ZINC001347176790 874042447 /nfs/dbraw/zinc/04/24/47/874042447.db2.gz RFUKISKDUQEQFX-AWEZNQCLSA-N 1 2 302.374 1.764 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)c1ccc(OC)c(OC)c1 ZINC001347176790 874042464 /nfs/dbraw/zinc/04/24/64/874042464.db2.gz RFUKISKDUQEQFX-AWEZNQCLSA-N 1 2 302.374 1.764 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)Cn2nccc2C)C1 ZINC001378203479 874421203 /nfs/dbraw/zinc/42/12/03/874421203.db2.gz VYXVGZSYTIOSND-CQSZACIVSA-N 1 2 310.829 1.867 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)Cn2nccc2C)C1 ZINC001378203479 874421205 /nfs/dbraw/zinc/42/12/05/874421205.db2.gz VYXVGZSYTIOSND-CQSZACIVSA-N 1 2 310.829 1.867 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC001348682504 874710860 /nfs/dbraw/zinc/71/08/60/874710860.db2.gz YLKCLCOPFFYCCZ-UHFFFAOYSA-N 1 2 305.378 1.406 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)c1ncc(C#N)cc1Cl)[NH+]1CCOCC1 ZINC001362262473 883432353 /nfs/dbraw/zinc/43/23/53/883432353.db2.gz YQLJNHXTHHIGGL-WDEREUQCSA-N 1 2 322.796 1.446 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)cn1 ZINC001378643540 875309203 /nfs/dbraw/zinc/30/92/03/875309203.db2.gz OEKPLVBBUCNGBO-SMDDNHRTSA-N 1 2 301.394 1.271 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)cn1 ZINC001378643540 875309213 /nfs/dbraw/zinc/30/92/13/875309213.db2.gz OEKPLVBBUCNGBO-SMDDNHRTSA-N 1 2 301.394 1.271 20 30 DDEDLO Cc1nc(C[NH+]2CCC(NC(=O)C#CC3CC3)CC2)cs1 ZINC001227272620 882947318 /nfs/dbraw/zinc/94/73/18/882947318.db2.gz JIIZNDYXFGWRIA-UHFFFAOYSA-N 1 2 303.431 1.946 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+](Cc2ncc(CC)o2)CC1 ZINC001227331980 882971948 /nfs/dbraw/zinc/97/19/48/882971948.db2.gz FHWKLKQLGNWKMX-UHFFFAOYSA-N 1 2 319.405 1.358 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCC2(C)C)[C@H](OC)C1 ZINC001213290674 875879369 /nfs/dbraw/zinc/87/93/69/875879369.db2.gz XBJRDYHOBMHQQA-BZUAXINKSA-N 1 2 322.449 1.278 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CCCC2(C)C)[C@H](OC)C1 ZINC001213290674 875879380 /nfs/dbraw/zinc/87/93/80/875879380.db2.gz XBJRDYHOBMHQQA-BZUAXINKSA-N 1 2 322.449 1.278 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001227342830 882979264 /nfs/dbraw/zinc/97/92/64/882979264.db2.gz ZJHHBQJUWSMYCR-UONOGXRCSA-N 1 2 316.405 1.157 20 30 DDEDLO C=C(Cl)C[NH2+][C@H](CNC(=O)c1[nH]nc2c1CCC2)C(C)C ZINC001378984474 876071290 /nfs/dbraw/zinc/07/12/90/876071290.db2.gz ZZGRPHLUSNQPNP-CYBMUJFWSA-N 1 2 310.829 1.995 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(CC=C)CCCCC2)[C@H](OC)C1 ZINC001213899966 876098599 /nfs/dbraw/zinc/09/85/99/876098599.db2.gz OXQIJYWYXCETJG-HZPDHXFCSA-N 1 2 304.434 1.962 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(CC=C)CCCCC2)[C@H](OC)C1 ZINC001213899966 876098613 /nfs/dbraw/zinc/09/86/13/876098613.db2.gz OXQIJYWYXCETJG-HZPDHXFCSA-N 1 2 304.434 1.962 20 30 DDEDLO CCO[C@H]1C[C@H]1C(=O)N(C)CC[N@H+](C)Cc1ccccc1C#N ZINC001379022795 876155847 /nfs/dbraw/zinc/15/58/47/876155847.db2.gz DTSOUPGXRBXEBO-SJORKVTESA-N 1 2 315.417 1.873 20 30 DDEDLO CCO[C@H]1C[C@H]1C(=O)N(C)CC[N@@H+](C)Cc1ccccc1C#N ZINC001379022795 876155853 /nfs/dbraw/zinc/15/58/53/876155853.db2.gz DTSOUPGXRBXEBO-SJORKVTESA-N 1 2 315.417 1.873 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@@H]2CN(C(=O)C#CC(C)(C)C)C[C@H]2C)no1 ZINC001214591164 876407802 /nfs/dbraw/zinc/40/78/02/876407802.db2.gz PPYLZJFJKNEKPC-MBNYWOFBSA-N 1 2 318.421 1.925 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)CC(C)(C)C)n2CC)CC1 ZINC001352262748 876666663 /nfs/dbraw/zinc/66/66/63/876666663.db2.gz LEOKKQBAICVONM-AWEZNQCLSA-N 1 2 319.453 1.523 20 30 DDEDLO CC(C)C#CC(=O)N1CCO[C@@H]2C[N@H+](CCC(F)(F)F)C[C@@H]21 ZINC001217852431 877337972 /nfs/dbraw/zinc/33/79/72/877337972.db2.gz KHIPPEQPFOUXNV-QWHCGFSZSA-N 1 2 318.339 1.510 20 30 DDEDLO CC(C)C#CC(=O)N1CCO[C@@H]2C[N@@H+](CCC(F)(F)F)C[C@@H]21 ZINC001217852431 877337992 /nfs/dbraw/zinc/33/79/92/877337992.db2.gz KHIPPEQPFOUXNV-QWHCGFSZSA-N 1 2 318.339 1.510 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001353808513 877580940 /nfs/dbraw/zinc/58/09/40/877580940.db2.gz LBGNUAALJIMQFQ-RQJABVFESA-N 1 2 318.421 1.184 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CNC(=O)OC)[C@@H]1C ZINC001379631192 877583973 /nfs/dbraw/zinc/58/39/73/877583973.db2.gz KMLFPDJPWPXCKG-QWRGUYRKSA-N 1 2 303.790 1.064 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CNC(=O)OC)[C@@H]1C ZINC001379631192 877583989 /nfs/dbraw/zinc/58/39/89/877583989.db2.gz KMLFPDJPWPXCKG-QWRGUYRKSA-N 1 2 303.790 1.064 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@@H]2CNC(=O)CSCC#N)s1 ZINC001276794034 877695560 /nfs/dbraw/zinc/69/55/60/877695560.db2.gz ZFFBSSPVGADLAE-GFCCVEGCSA-N 1 2 324.475 1.707 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@@H]2CNC(=O)CSCC#N)s1 ZINC001276794034 877695574 /nfs/dbraw/zinc/69/55/74/877695574.db2.gz ZFFBSSPVGADLAE-GFCCVEGCSA-N 1 2 324.475 1.707 20 30 DDEDLO CC/C=C(\C)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219299380 878102814 /nfs/dbraw/zinc/10/28/14/878102814.db2.gz ALINJEHMCSFUGZ-QWTYIJNRSA-N 1 2 313.401 1.576 20 30 DDEDLO CC/C=C(\C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219299380 878102819 /nfs/dbraw/zinc/10/28/19/878102819.db2.gz ALINJEHMCSFUGZ-QWTYIJNRSA-N 1 2 313.401 1.576 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219333030 878128041 /nfs/dbraw/zinc/12/80/41/878128041.db2.gz NUFPDIGHIASHHQ-CVEARBPZSA-N 1 2 317.364 1.325 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219333030 878128051 /nfs/dbraw/zinc/12/80/51/878128051.db2.gz NUFPDIGHIASHHQ-CVEARBPZSA-N 1 2 317.364 1.325 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220141276 878729624 /nfs/dbraw/zinc/72/96/24/878729624.db2.gz OEVHCOPHRKGGAH-OLZOCXBDSA-N 1 2 309.435 1.325 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220141276 878729627 /nfs/dbraw/zinc/72/96/27/878729627.db2.gz OEVHCOPHRKGGAH-OLZOCXBDSA-N 1 2 309.435 1.325 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCO[C@@H](C)C1 ZINC001356153220 878892704 /nfs/dbraw/zinc/89/27/04/878892704.db2.gz WYVDXWFLVCGDRX-LBPRGKRZSA-N 1 2 302.382 1.014 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220435520 879014225 /nfs/dbraw/zinc/01/42/25/879014225.db2.gz FCTLKDAXOUGVLE-KGLIPLIRSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220435520 879014240 /nfs/dbraw/zinc/01/42/40/879014240.db2.gz FCTLKDAXOUGVLE-KGLIPLIRSA-N 1 2 323.462 1.715 20 30 DDEDLO COC(=O)c1ccc(C(=[NH2+])NC(O)=C2C[C@H](C)CCN2)cc1 ZINC001220577067 879108755 /nfs/dbraw/zinc/10/87/55/879108755.db2.gz BRVKJPOQTDOYPO-ZWNOBZJWSA-N 1 2 303.362 1.093 20 30 DDEDLO C#CCCCCC(=O)NCC1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001356807308 879402228 /nfs/dbraw/zinc/40/22/28/879402228.db2.gz JEHSQHNQJMYSRG-UHFFFAOYSA-N 1 2 316.405 1.111 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccoc3C)[C@@H]2C1 ZINC001221253219 879618567 /nfs/dbraw/zinc/61/85/67/879618567.db2.gz LHCBFIZVYKHNRV-UKRRQHHQSA-N 1 2 317.389 1.037 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccoc3C)[C@@H]2C1 ZINC001221253219 879618581 /nfs/dbraw/zinc/61/85/81/879618581.db2.gz LHCBFIZVYKHNRV-UKRRQHHQSA-N 1 2 317.389 1.037 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001357011284 879693516 /nfs/dbraw/zinc/69/35/16/879693516.db2.gz ILNISWQVSBOQDO-ZDUSSCGKSA-N 1 2 314.389 1.783 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@](C)(CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001380464635 879705391 /nfs/dbraw/zinc/70/53/91/879705391.db2.gz UPCRPJPKIPWGQW-XHDPSFHLSA-N 1 2 305.382 1.256 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@](C)(CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001380464635 879705407 /nfs/dbraw/zinc/70/54/07/879705407.db2.gz UPCRPJPKIPWGQW-XHDPSFHLSA-N 1 2 305.382 1.256 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cncc(OC)n3)C[C@H]21 ZINC001221429307 879786188 /nfs/dbraw/zinc/78/61/88/879786188.db2.gz RLOBJMFTTNZPON-UKRRQHHQSA-N 1 2 316.405 1.484 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3cncc(OC)n3)C[C@H]21 ZINC001221429307 879786207 /nfs/dbraw/zinc/78/62/07/879786207.db2.gz RLOBJMFTTNZPON-UKRRQHHQSA-N 1 2 316.405 1.484 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@@H+]([C@@H](C)c3ncc(C)o3)C[C@H]21 ZINC001221441338 879804605 /nfs/dbraw/zinc/80/46/05/879804605.db2.gz BQXDYWSURHOTEN-RRFJBIMHSA-N 1 2 319.405 1.779 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@H+]([C@@H](C)c3ncc(C)o3)C[C@H]21 ZINC001221441338 879804619 /nfs/dbraw/zinc/80/46/19/879804619.db2.gz BQXDYWSURHOTEN-RRFJBIMHSA-N 1 2 319.405 1.779 20 30 DDEDLO C#CCCCC(=O)N[C@H](CNC(=O)Cc1[nH]cc[nH+]1)C(C)C ZINC001357117052 879805520 /nfs/dbraw/zinc/80/55/20/879805520.db2.gz PNXCMXZJQVXZFI-CYBMUJFWSA-N 1 2 304.394 1.013 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](O)(CNC(=O)C2(C)CC2)C1 ZINC001380533955 879883841 /nfs/dbraw/zinc/88/38/41/879883841.db2.gz AHWMGYMPHQMEPJ-CYBMUJFWSA-N 1 2 317.227 1.248 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](O)(CNC(=O)C2(C)CC2)C1 ZINC001380533955 879883854 /nfs/dbraw/zinc/88/38/54/879883854.db2.gz AHWMGYMPHQMEPJ-CYBMUJFWSA-N 1 2 317.227 1.248 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CN(C(=O)Cn3cc[nH+]c3)CC[C@@H]21 ZINC001357297567 879897000 /nfs/dbraw/zinc/89/70/00/879897000.db2.gz SWLGXDAGQGHFFX-GJZGRUSLSA-N 1 2 316.405 1.299 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3CN(C(=O)C#CC(C)C)[C@@H]3C2)on1 ZINC001221529257 879922568 /nfs/dbraw/zinc/92/25/68/879922568.db2.gz BPVDXBJMEAVCTD-GDBMZVCRSA-N 1 2 301.390 1.675 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3CN(C(=O)C#CC(C)C)[C@@H]3C2)on1 ZINC001221529257 879922571 /nfs/dbraw/zinc/92/25/71/879922571.db2.gz BPVDXBJMEAVCTD-GDBMZVCRSA-N 1 2 301.390 1.675 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H]2CC[N@@H+](Cc3nocc3C)C[C@H]21 ZINC001221540892 879934623 /nfs/dbraw/zinc/93/46/23/879934623.db2.gz FKCCGZFKEYYVLV-GDBMZVCRSA-N 1 2 317.389 1.056 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H]2CC[N@H+](Cc3nocc3C)C[C@H]21 ZINC001221540892 879934641 /nfs/dbraw/zinc/93/46/41/879934641.db2.gz FKCCGZFKEYYVLV-GDBMZVCRSA-N 1 2 317.389 1.056 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(F)s3)[C@@H]2C1 ZINC001222078723 880226301 /nfs/dbraw/zinc/22/63/01/880226301.db2.gz XHAOZGRCBALBIC-CHWSQXEVSA-N 1 2 322.405 1.683 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(F)s3)[C@@H]2C1 ZINC001222078723 880226318 /nfs/dbraw/zinc/22/63/18/880226318.db2.gz XHAOZGRCBALBIC-CHWSQXEVSA-N 1 2 322.405 1.683 20 30 DDEDLO C=C1CCC(C(=O)NC/C=C\CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001357931928 880255846 /nfs/dbraw/zinc/25/58/46/880255846.db2.gz IANYUFFIFQREMG-IHWYPQMZSA-N 1 2 316.405 1.418 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CC[N@@H+]1[C@@H]1CCN(C(C)(C)C)C1=O ZINC001276843274 880700040 /nfs/dbraw/zinc/70/00/40/880700040.db2.gz WMGRFPCQCXQMOU-HUUCEWRRSA-N 1 2 319.449 1.236 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CC[N@H+]1[C@@H]1CCN(C(C)(C)C)C1=O ZINC001276843274 880700048 /nfs/dbraw/zinc/70/00/48/880700048.db2.gz WMGRFPCQCXQMOU-HUUCEWRRSA-N 1 2 319.449 1.236 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001287932532 912603679 /nfs/dbraw/zinc/60/36/79/912603679.db2.gz DYDOOZHSGYRKSZ-STQMWFEESA-N 1 2 304.394 1.414 20 30 DDEDLO CC(C)[C@@H](CCN(C)C(=O)[C@@H](C)C#N)NC(=O)Cn1cc[nH+]c1 ZINC001381040983 880907896 /nfs/dbraw/zinc/90/78/96/880907896.db2.gz UICAGLLAXUHFQS-UONOGXRCSA-N 1 2 319.409 1.032 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001223334059 880920723 /nfs/dbraw/zinc/92/07/23/880920723.db2.gz LWKIGYUOCLUZGY-UHFFFAOYSA-N 1 2 319.453 1.746 20 30 DDEDLO COCC[N@@H+]1CCc2cc(C(=O)N3CC(CC#N)C3)[nH]c2C1 ZINC001276896214 880986781 /nfs/dbraw/zinc/98/67/81/880986781.db2.gz FFPRAURRQBEUQN-UHFFFAOYSA-N 1 2 302.378 1.005 20 30 DDEDLO COCC[N@H+]1CCc2cc(C(=O)N3CC(CC#N)C3)[nH]c2C1 ZINC001276896214 880986792 /nfs/dbraw/zinc/98/67/92/880986792.db2.gz FFPRAURRQBEUQN-UHFFFAOYSA-N 1 2 302.378 1.005 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@@H+]2CCNC(=O)C2CC2)CCC1 ZINC001276922879 881108879 /nfs/dbraw/zinc/10/88/79/881108879.db2.gz CSKKYLCNCWSCDE-OAHLLOKOSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@H+]2CCNC(=O)C2CC2)CCC1 ZINC001276922879 881108888 /nfs/dbraw/zinc/10/88/88/881108888.db2.gz CSKKYLCNCWSCDE-OAHLLOKOSA-N 1 2 319.449 1.450 20 30 DDEDLO C#CCC1(C(=O)NC2CC[NH+](Cc3nnc(C)o3)CC2)CCC1 ZINC001227755036 883159690 /nfs/dbraw/zinc/15/96/90/883159690.db2.gz WYASNKDGVOPELL-UHFFFAOYSA-N 1 2 316.405 1.652 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001228748635 883653385 /nfs/dbraw/zinc/65/33/85/883653385.db2.gz MXFTUCCIOZNSAQ-UONOGXRCSA-N 1 2 304.438 1.959 20 30 DDEDLO Cc1ncc(C[NH+]2CC3(C[C@H]3C(=O)NC3(C#N)CCC3)C2)cn1 ZINC001277365095 883872741 /nfs/dbraw/zinc/87/27/41/883872741.db2.gz QDSKVOJZTTTWOJ-AWEZNQCLSA-N 1 2 311.389 1.169 20 30 DDEDLO CCc1noc(C[NH2+]C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C)n1 ZINC001382528365 884188773 /nfs/dbraw/zinc/18/87/73/884188773.db2.gz SRYLHASWBXAWAX-WDEREUQCSA-N 1 2 307.398 1.412 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC001230407578 884476317 /nfs/dbraw/zinc/47/63/17/884476317.db2.gz UKJVIUQJKHQSTC-UHFFFAOYSA-N 1 2 309.435 1.816 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001230411320 884478308 /nfs/dbraw/zinc/47/83/08/884478308.db2.gz FCZNPJFACWIWHL-UHFFFAOYSA-N 1 2 308.382 1.051 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)o2)CC1 ZINC001230414744 884480134 /nfs/dbraw/zinc/48/01/34/884480134.db2.gz GCTTWDHVYOBBSN-RYUDHWBXSA-N 1 2 322.409 1.611 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2cnns2)CC1 ZINC001230415353 884483465 /nfs/dbraw/zinc/48/34/65/884483465.db2.gz OCIRZYOJMPDBKC-LLVKDONJSA-N 1 2 310.423 1.210 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CCCC1 ZINC001230556747 884579780 /nfs/dbraw/zinc/57/97/80/884579780.db2.gz PFJCVVUCWICAOC-UKRRQHHQSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1CCCC1 ZINC001230556747 884579791 /nfs/dbraw/zinc/57/97/91/884579791.db2.gz PFJCVVUCWICAOC-UKRRQHHQSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230569593 884598552 /nfs/dbraw/zinc/59/85/52/884598552.db2.gz PSLYIJCAXCNDQX-GOEBONIOSA-N 1 2 303.406 1.251 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230569593 884598562 /nfs/dbraw/zinc/59/85/62/884598562.db2.gz PSLYIJCAXCNDQX-GOEBONIOSA-N 1 2 303.406 1.251 20 30 DDEDLO C=CCCOCC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230629443 884668852 /nfs/dbraw/zinc/66/88/52/884668852.db2.gz OTFGOAHPDUZTSR-INIZCTEOSA-N 1 2 305.422 1.806 20 30 DDEDLO C=CCCOCC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230629443 884668859 /nfs/dbraw/zinc/66/88/59/884668859.db2.gz OTFGOAHPDUZTSR-INIZCTEOSA-N 1 2 305.422 1.806 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C=C(C)C ZINC001230695266 884753371 /nfs/dbraw/zinc/75/33/71/884753371.db2.gz OCQGJBJVVUGIGK-MRXNPFEDSA-N 1 2 321.465 1.910 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C=C(C)C ZINC001230695266 884753375 /nfs/dbraw/zinc/75/33/75/884753375.db2.gz OCQGJBJVVUGIGK-MRXNPFEDSA-N 1 2 321.465 1.910 20 30 DDEDLO Cn1c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)nc2c1ncnc2Cl ZINC001231046147 885163430 /nfs/dbraw/zinc/16/34/30/885163430.db2.gz LKQPUHYXMHLCHC-ZTEPNEHBSA-N 1 2 321.768 1.008 20 30 DDEDLO Cn1c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)nc2c1ncnc2Cl ZINC001231046147 885163435 /nfs/dbraw/zinc/16/34/35/885163435.db2.gz LKQPUHYXMHLCHC-ZTEPNEHBSA-N 1 2 321.768 1.008 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCCC[C@H]1C ZINC001231113223 885245153 /nfs/dbraw/zinc/24/51/53/885245153.db2.gz HLLTWFRZZJVJBD-CVEARBPZSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCCC[C@H]1C ZINC001231113223 885245174 /nfs/dbraw/zinc/24/51/74/885245174.db2.gz HLLTWFRZZJVJBD-CVEARBPZSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCCCC ZINC001231122659 885255003 /nfs/dbraw/zinc/25/50/03/885255003.db2.gz MOONJXHYTAPOAT-GJZGRUSLSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCCC ZINC001231122659 885255014 /nfs/dbraw/zinc/25/50/14/885255014.db2.gz MOONJXHYTAPOAT-GJZGRUSLSA-N 1 2 309.454 1.790 20 30 DDEDLO N#Cc1ccc(CC[N@H+]2CC[C@H]3C[C@]32C(=O)Nc2nnco2)cc1 ZINC001277644148 886220441 /nfs/dbraw/zinc/22/04/41/886220441.db2.gz WWLNHKPVDIRZMF-WMLDXEAASA-N 1 2 323.356 1.587 20 30 DDEDLO N#Cc1ccc(CC[N@@H+]2CC[C@H]3C[C@]32C(=O)Nc2nnco2)cc1 ZINC001277644148 886220458 /nfs/dbraw/zinc/22/04/58/886220458.db2.gz WWLNHKPVDIRZMF-WMLDXEAASA-N 1 2 323.356 1.587 20 30 DDEDLO N#Cc1cc(F)ccc1C[NH+]1CC2(C1)CCOC[C@@H]2C(N)=O ZINC001277660224 886335219 /nfs/dbraw/zinc/33/52/19/886335219.db2.gz QRIDQRNYJWFWNQ-CQSZACIVSA-N 1 2 303.337 1.021 20 30 DDEDLO C#CC[NH+]1CCN(Cc2n[nH]c3cccc(C(=O)OC)c23)CC1 ZINC001232438786 886388601 /nfs/dbraw/zinc/38/86/01/886388601.db2.gz CNFQSRYCDKHMQM-UHFFFAOYSA-N 1 2 312.373 1.100 20 30 DDEDLO N#Cc1ccnc(C[NH+]2CCC(NC(=O)C(F)(F)F)CC2)c1 ZINC001232478932 886419476 /nfs/dbraw/zinc/41/94/76/886419476.db2.gz LNCKJAMHSYPAGS-UHFFFAOYSA-N 1 2 312.295 1.596 20 30 DDEDLO COc1cc(O)cc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)c1 ZINC001232805095 886600587 /nfs/dbraw/zinc/60/05/87/886600587.db2.gz UDGMHLZHRQKIGP-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO Cc1ccc(CN2CC[N@H+](CC(N)=O)C(C)(C)C2)c(C#N)c1 ZINC001232967068 886699138 /nfs/dbraw/zinc/69/91/38/886699138.db2.gz AEPSKQMMLQNJFF-UHFFFAOYSA-N 1 2 300.406 1.248 20 30 DDEDLO Cc1ccc(CN2CC[N@@H+](CC(N)=O)C(C)(C)C2)c(C#N)c1 ZINC001232967068 886699142 /nfs/dbraw/zinc/69/91/42/886699142.db2.gz AEPSKQMMLQNJFF-UHFFFAOYSA-N 1 2 300.406 1.248 20 30 DDEDLO Cc1cc(C#N)ccc1C[N@@H+]1CCOC[C@@](O)(C(F)(F)F)C1 ZINC001233080002 886777398 /nfs/dbraw/zinc/77/73/98/886777398.db2.gz AKHLVQZRYHWBHJ-CQSZACIVSA-N 1 2 314.307 1.992 20 30 DDEDLO Cc1cc(C#N)ccc1C[N@H+]1CCOC[C@@](O)(C(F)(F)F)C1 ZINC001233080002 886777403 /nfs/dbraw/zinc/77/74/03/886777403.db2.gz AKHLVQZRYHWBHJ-CQSZACIVSA-N 1 2 314.307 1.992 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C(F)=C(C)C)C1=O ZINC001233653414 887191421 /nfs/dbraw/zinc/19/14/21/887191421.db2.gz LFAAIJCFGKGVMR-ZIAGYGMSSA-N 1 2 323.412 1.569 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C(F)=C(C)C)C1=O ZINC001233653414 887191426 /nfs/dbraw/zinc/19/14/26/887191426.db2.gz LFAAIJCFGKGVMR-ZIAGYGMSSA-N 1 2 323.412 1.569 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001233760345 887290105 /nfs/dbraw/zinc/29/01/05/887290105.db2.gz BCQVRGLTHURNGK-HNNXBMFYSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC(C)(C)C ZINC001233760345 887290120 /nfs/dbraw/zinc/29/01/20/887290120.db2.gz BCQVRGLTHURNGK-HNNXBMFYSA-N 1 2 321.465 1.627 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)Cc1ccoc1 ZINC001233899723 887443677 /nfs/dbraw/zinc/44/36/77/887443677.db2.gz ZEVRNFYQVQZODF-UKRRQHHQSA-N 1 2 319.405 1.046 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)Cc1ccoc1 ZINC001233899723 887443683 /nfs/dbraw/zinc/44/36/83/887443683.db2.gz ZEVRNFYQVQZODF-UKRRQHHQSA-N 1 2 319.405 1.046 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001233976644 887513667 /nfs/dbraw/zinc/51/36/67/887513667.db2.gz HHRBWDARESBYPI-CZUORRHYSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001233976644 887513677 /nfs/dbraw/zinc/51/36/77/887513677.db2.gz HHRBWDARESBYPI-CZUORRHYSA-N 1 2 307.394 1.343 20 30 DDEDLO COc1cc(CN2CCC(=O)[C@H](C#N)C2)ccc1-n1cc[nH+]c1 ZINC001234065706 887608698 /nfs/dbraw/zinc/60/86/98/887608698.db2.gz DHHKIQLZNYQSKA-CQSZACIVSA-N 1 2 310.357 1.795 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC1(C)CCCC1 ZINC001234077813 887623071 /nfs/dbraw/zinc/62/30/71/887623071.db2.gz FSHBMPIZBTVKEN-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC1(C)CCCC1 ZINC001234077813 887623077 /nfs/dbraw/zinc/62/30/77/887623077.db2.gz FSHBMPIZBTVKEN-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO CCc1nc(C)c(C[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)o1 ZINC001234179179 887719295 /nfs/dbraw/zinc/71/92/95/887719295.db2.gz TVMVQLUPZDOPRY-HNNXBMFYSA-N 1 2 315.417 1.992 20 30 DDEDLO CCc1nc(C)c(C[N@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)o1 ZINC001234179179 887719310 /nfs/dbraw/zinc/71/93/10/887719310.db2.gz TVMVQLUPZDOPRY-HNNXBMFYSA-N 1 2 315.417 1.992 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CCNC(=O)C1CC1)C(=O)C#CC(C)(C)C ZINC001234216533 887760367 /nfs/dbraw/zinc/76/03/67/887760367.db2.gz YVLMIKDDGOPEMN-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CCNC(=O)C1CC1)C(=O)C#CC(C)(C)C ZINC001234216533 887760381 /nfs/dbraw/zinc/76/03/81/887760381.db2.gz YVLMIKDDGOPEMN-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1oc(C)nc1C ZINC001234266248 887804476 /nfs/dbraw/zinc/80/44/76/887804476.db2.gz OEJYQEQBTVUNNY-ZFWWWQNUSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1oc(C)nc1C ZINC001234266248 887804483 /nfs/dbraw/zinc/80/44/83/887804483.db2.gz OEJYQEQBTVUNNY-ZFWWWQNUSA-N 1 2 319.405 1.362 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1OCC[C@H]1C(C)C ZINC001234377738 887917844 /nfs/dbraw/zinc/91/78/44/887917844.db2.gz IAAIWMBPSRUBLF-IKGGRYGDSA-N 1 2 322.449 1.230 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1OCC[C@H]1C(C)C ZINC001234377738 887917851 /nfs/dbraw/zinc/91/78/51/887917851.db2.gz IAAIWMBPSRUBLF-IKGGRYGDSA-N 1 2 322.449 1.230 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)Oc1cccc(F)c1 ZINC001234479545 888014904 /nfs/dbraw/zinc/01/49/04/888014904.db2.gz XECHAVAOOFMZMF-ZFWWWQNUSA-N 1 2 304.365 1.759 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)Oc1cccc(F)c1 ZINC001234479545 888014915 /nfs/dbraw/zinc/01/49/15/888014915.db2.gz XECHAVAOOFMZMF-ZFWWWQNUSA-N 1 2 304.365 1.759 20 30 DDEDLO CC[N@H+](C[C@H](O)c1cccc(C#N)c1)[C@H](C)CS(=O)(=O)CC ZINC001364353384 888441107 /nfs/dbraw/zinc/44/11/07/888441107.db2.gz SUAWJCXVDHAXHF-CJNGLKHVSA-N 1 2 324.446 1.737 20 30 DDEDLO CC[N@@H+](C[C@H](O)c1cccc(C#N)c1)[C@H](C)CS(=O)(=O)CC ZINC001364353384 888441112 /nfs/dbraw/zinc/44/11/12/888441112.db2.gz SUAWJCXVDHAXHF-CJNGLKHVSA-N 1 2 324.446 1.737 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1CCCCCO ZINC001235488721 888674627 /nfs/dbraw/zinc/67/46/27/888674627.db2.gz LEFDWRSIRUGVPC-HNNXBMFYSA-N 1 2 308.426 1.562 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1CCCCCO ZINC001235488721 888674636 /nfs/dbraw/zinc/67/46/36/888674636.db2.gz LEFDWRSIRUGVPC-HNNXBMFYSA-N 1 2 308.426 1.562 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCC1(F)F ZINC001235686482 888885673 /nfs/dbraw/zinc/88/56/73/888885673.db2.gz TXZUCKOPODZDRV-STQMWFEESA-N 1 2 300.349 1.214 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCC1(F)F ZINC001235686482 888885686 /nfs/dbraw/zinc/88/56/86/888885686.db2.gz TXZUCKOPODZDRV-STQMWFEESA-N 1 2 300.349 1.214 20 30 DDEDLO CCn1nnc2c1[C@H](COC)C[N@H+](Cc1ccnc(C#N)c1)C2 ZINC001237461652 889647087 /nfs/dbraw/zinc/64/70/87/889647087.db2.gz DEYXYWWEFFPWGL-ZDUSSCGKSA-N 1 2 312.377 1.310 20 30 DDEDLO CCn1nnc2c1[C@H](COC)C[N@@H+](Cc1ccnc(C#N)c1)C2 ZINC001237461652 889647091 /nfs/dbraw/zinc/64/70/91/889647091.db2.gz DEYXYWWEFFPWGL-ZDUSSCGKSA-N 1 2 312.377 1.310 20 30 DDEDLO CCOC(=O)[C@H]1[C@@H]2C[N@@H+](Cc3cn4cc(C#N)ccc4n3)C[C@@H]21 ZINC001237607179 889748798 /nfs/dbraw/zinc/74/87/98/889748798.db2.gz RMLHALAZCLJBLP-FOLVSLTJSA-N 1 2 310.357 1.447 20 30 DDEDLO CCOC(=O)[C@H]1[C@@H]2C[N@H+](Cc3cn4cc(C#N)ccc4n3)C[C@@H]21 ZINC001237607179 889748802 /nfs/dbraw/zinc/74/88/02/889748802.db2.gz RMLHALAZCLJBLP-FOLVSLTJSA-N 1 2 310.357 1.447 20 30 DDEDLO N#Cc1c(N)sc2c1CCN(C(=O)CCc1[nH]cc[nH+]1)C2 ZINC001365121161 890143608 /nfs/dbraw/zinc/14/36/08/890143608.db2.gz PIPFJZVALNQNIB-UHFFFAOYSA-N 1 2 301.375 1.443 20 30 DDEDLO CCCCOC(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001278318442 891025064 /nfs/dbraw/zinc/02/50/64/891025064.db2.gz IKTIRNOFACGSGO-UHFFFAOYSA-N 1 2 316.405 1.951 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001278368856 891705055 /nfs/dbraw/zinc/70/50/55/891705055.db2.gz IIRLFHOJAWTPBP-BBRMVZONSA-N 1 2 304.394 1.321 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001278368856 891705066 /nfs/dbraw/zinc/70/50/66/891705066.db2.gz IIRLFHOJAWTPBP-BBRMVZONSA-N 1 2 304.394 1.321 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC(NC(=O)CCn2cc[nH+]c2)C1 ZINC001374719291 913676087 /nfs/dbraw/zinc/67/60/87/913676087.db2.gz OEOFSTSBIQXLHG-UHFFFAOYSA-N 1 2 324.812 1.379 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@]12CCC[C@@H]1N(CC#N)CC2 ZINC001278465757 892897485 /nfs/dbraw/zinc/89/74/85/892897485.db2.gz CFEWUKWQUXRNHJ-INWMFGNUSA-N 1 2 301.394 1.225 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@]12CCC[C@@H]1N(CC#N)CC2 ZINC001278465757 892897501 /nfs/dbraw/zinc/89/75/01/892897501.db2.gz CFEWUKWQUXRNHJ-INWMFGNUSA-N 1 2 301.394 1.225 20 30 DDEDLO C[N@H+](CCNC(=O)CC1OCCCO1)Cc1ccccc1C#N ZINC001366525542 893874916 /nfs/dbraw/zinc/87/49/16/893874916.db2.gz HHTFGZOPERSGBD-UHFFFAOYSA-N 1 2 317.389 1.259 20 30 DDEDLO C[N@@H+](CCNC(=O)CC1OCCCO1)Cc1ccccc1C#N ZINC001366525542 893874930 /nfs/dbraw/zinc/87/49/30/893874930.db2.gz HHTFGZOPERSGBD-UHFFFAOYSA-N 1 2 317.389 1.259 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(C)C)[C@@H]1C ZINC001278516615 893993463 /nfs/dbraw/zinc/99/34/63/893993463.db2.gz VFCQYXQRUTYNMS-HUUCEWRRSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(C)C)[C@@H]1C ZINC001278516615 893993472 /nfs/dbraw/zinc/99/34/72/893993472.db2.gz VFCQYXQRUTYNMS-HUUCEWRRSA-N 1 2 323.481 1.940 20 30 DDEDLO CC(C)CCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)[C@H]1C ZINC001278522516 894066083 /nfs/dbraw/zinc/06/60/83/894066083.db2.gz YYYOWTZXGUNNMO-GJZGRUSLSA-N 1 2 322.453 1.422 20 30 DDEDLO CC(C)CCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)[C@H]1C ZINC001278522516 894066100 /nfs/dbraw/zinc/06/61/00/894066100.db2.gz YYYOWTZXGUNNMO-GJZGRUSLSA-N 1 2 322.453 1.422 20 30 DDEDLO COC(=O)[C@H]1COCCC12C[NH+](Cc1cccc(C#N)c1F)C2 ZINC001249687501 894106828 /nfs/dbraw/zinc/10/68/28/894106828.db2.gz FQPWVGUHFRYDPQ-CQSZACIVSA-N 1 2 318.348 1.709 20 30 DDEDLO CC[C@@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)NC(=O)[C@H](C)C#N ZINC001388115133 894588212 /nfs/dbraw/zinc/58/82/12/894588212.db2.gz OUDOCGZWGOSYMV-PWSUYJOCSA-N 1 2 313.361 1.347 20 30 DDEDLO C=CCOC[C@@H](O)C[N@H+](Cc1cc[nH]n1)Cc1ccccc1 ZINC001252474327 895193535 /nfs/dbraw/zinc/19/35/35/895193535.db2.gz YWTFFOQADVHPLV-KRWDZBQOSA-N 1 2 301.390 1.975 20 30 DDEDLO C=CCOC[C@@H](O)C[N@@H+](Cc1cc[nH]n1)Cc1ccccc1 ZINC001252474327 895193541 /nfs/dbraw/zinc/19/35/41/895193541.db2.gz YWTFFOQADVHPLV-KRWDZBQOSA-N 1 2 301.390 1.975 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1C[C@H](F)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC001252588442 895292212 /nfs/dbraw/zinc/29/22/12/895292212.db2.gz AMCXEEWYUMWCKW-AVGNSLFASA-N 1 2 302.390 1.861 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1C[C@H](F)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC001252588442 895292224 /nfs/dbraw/zinc/29/22/24/895292224.db2.gz AMCXEEWYUMWCKW-AVGNSLFASA-N 1 2 302.390 1.861 20 30 DDEDLO C=C(Br)C[N@@H+](CCO)[C@H]1CCCN(C(C)=O)C1 ZINC001388774685 895874829 /nfs/dbraw/zinc/87/48/29/895874829.db2.gz FDRYTDNQUMIMMD-LBPRGKRZSA-N 1 2 305.216 1.200 20 30 DDEDLO C=C(Br)C[N@H+](CCO)[C@H]1CCCN(C(C)=O)C1 ZINC001388774685 895874835 /nfs/dbraw/zinc/87/48/35/895874835.db2.gz FDRYTDNQUMIMMD-LBPRGKRZSA-N 1 2 305.216 1.200 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1C[C@@H](O)CCCCC ZINC001253527265 895883210 /nfs/dbraw/zinc/88/32/10/895883210.db2.gz JHSBRLJVPKUJDQ-GOEBONIOSA-N 1 2 322.453 1.858 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1C[C@@H](O)CCCCC ZINC001253527265 895883221 /nfs/dbraw/zinc/88/32/21/895883221.db2.gz JHSBRLJVPKUJDQ-GOEBONIOSA-N 1 2 322.453 1.858 20 30 DDEDLO C[C@@](O)(C[N@@H+]1CCC[C@@H](C#N)C1)C(=O)OCc1ccccc1 ZINC001253636314 895949786 /nfs/dbraw/zinc/94/97/86/895949786.db2.gz MZOIZSYXYNTICA-DOTOQJQBSA-N 1 2 302.374 1.716 20 30 DDEDLO C[C@@](O)(C[N@H+]1CCC[C@@H](C#N)C1)C(=O)OCc1ccccc1 ZINC001253636314 895949793 /nfs/dbraw/zinc/94/97/93/895949793.db2.gz MZOIZSYXYNTICA-DOTOQJQBSA-N 1 2 302.374 1.716 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C(=O)c1cccs1)C(C)C ZINC001278889222 897110097 /nfs/dbraw/zinc/11/00/97/897110097.db2.gz OUBSLXXPVFXFGX-UHFFFAOYSA-N 1 2 321.446 1.280 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C(=O)c1cccs1)C(C)C ZINC001278889222 897110104 /nfs/dbraw/zinc/11/01/04/897110104.db2.gz OUBSLXXPVFXFGX-UHFFFAOYSA-N 1 2 321.446 1.280 20 30 DDEDLO CC(C)N(CC[N@H+](C)CC(=O)NCC#N)C(=O)[C@@H](C)C1CCC1 ZINC001278912012 897289601 /nfs/dbraw/zinc/28/96/01/897289601.db2.gz IWANBKJHSSMIAO-AWEZNQCLSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)N(CC[N@@H+](C)CC(=O)NCC#N)C(=O)[C@@H](C)C1CCC1 ZINC001278912012 897289615 /nfs/dbraw/zinc/28/96/15/897289615.db2.gz IWANBKJHSSMIAO-AWEZNQCLSA-N 1 2 322.453 1.231 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)NC(C(C)C)(C(C)C)C2=O)C1 ZINC001256576181 897481462 /nfs/dbraw/zinc/48/14/62/897481462.db2.gz ZIRKAEWTFBFQLB-CQSZACIVSA-N 1 2 323.437 1.823 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)NC(C(C)C)(C(C)C)C2=O)C1 ZINC001256576181 897481469 /nfs/dbraw/zinc/48/14/69/897481469.db2.gz ZIRKAEWTFBFQLB-CQSZACIVSA-N 1 2 323.437 1.823 20 30 DDEDLO CC(C)(C)[C@H](CNCC#N)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001278978754 897640131 /nfs/dbraw/zinc/64/01/31/897640131.db2.gz MCNPEIRVZZRNRQ-JSGCOSHPSA-N 1 2 303.410 1.090 20 30 DDEDLO C=CCCC(=O)N[C@]1(C)CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001299516949 898260103 /nfs/dbraw/zinc/26/01/03/898260103.db2.gz ZOURWUZRGSIFHQ-RHSMWYFYSA-N 1 2 318.421 1.908 20 30 DDEDLO C=C(C)CCC(=O)N[C@@]1(C)CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001299544671 898386372 /nfs/dbraw/zinc/38/63/72/898386372.db2.gz ZXSGOIWPZFZALB-KRWDZBQOSA-N 1 2 318.421 1.737 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)Cn1nccc1C ZINC001390142899 898777236 /nfs/dbraw/zinc/77/72/36/898777236.db2.gz UDVIPIMPMJKTHV-CQSZACIVSA-N 1 2 310.829 1.915 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)Cn1nccc1C ZINC001390142899 898777247 /nfs/dbraw/zinc/77/72/47/898777247.db2.gz UDVIPIMPMJKTHV-CQSZACIVSA-N 1 2 310.829 1.915 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)C#N)[NH2+]Cc1nnc(C(F)(F)F)s1 ZINC001390315400 899149541 /nfs/dbraw/zinc/14/95/41/899149541.db2.gz QHPAYXQPMYVRIA-NKWVEPMBSA-N 1 2 321.328 1.311 20 30 DDEDLO C=CCCC(=O)NCCCNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001293191866 914321588 /nfs/dbraw/zinc/32/15/88/914321588.db2.gz XCYAQDDTLDJHAL-LBPRGKRZSA-N 1 2 304.394 1.418 20 30 DDEDLO N#Cc1ccc(N2CC([NH+]3CCN(c4ccccn4)CC3)C2)nc1 ZINC000585563917 900601326 /nfs/dbraw/zinc/60/13/26/900601326.db2.gz RYGOEBNZBLZYTG-UHFFFAOYSA-N 1 2 320.400 1.359 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](Cc2cn(C)nn2)[C@@H]1C ZINC001264138265 900971207 /nfs/dbraw/zinc/97/12/07/900971207.db2.gz QQAOZFRDRXTRQA-HIFRSBDPSA-N 1 2 303.410 1.088 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](Cc2cn(C)nn2)[C@@H]1C ZINC001264138265 900971216 /nfs/dbraw/zinc/97/12/16/900971216.db2.gz QQAOZFRDRXTRQA-HIFRSBDPSA-N 1 2 303.410 1.088 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cncn1C ZINC001264368452 901047340 /nfs/dbraw/zinc/04/73/40/901047340.db2.gz FYFBDKIAAJHQCR-OAHLLOKOSA-N 1 2 302.422 1.646 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cncn1C ZINC001264368452 901047356 /nfs/dbraw/zinc/04/73/56/901047356.db2.gz FYFBDKIAAJHQCR-OAHLLOKOSA-N 1 2 302.422 1.646 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@@H+](CC)[C@@H](C)c2nnnn2C)C1 ZINC001265132445 901607304 /nfs/dbraw/zinc/60/73/04/901607304.db2.gz GFYFXCGNSHETGH-ZDUSSCGKSA-N 1 2 320.441 1.456 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@H+](CC)[C@@H](C)c2nnnn2C)C1 ZINC001265132445 901607315 /nfs/dbraw/zinc/60/73/15/901607315.db2.gz GFYFXCGNSHETGH-ZDUSSCGKSA-N 1 2 320.441 1.456 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001265214759 901721378 /nfs/dbraw/zinc/72/13/78/901721378.db2.gz OPNDBCVGOUGAAE-AWEZNQCLSA-N 1 2 318.421 1.922 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@H+](Cc2cncc(OC)n2)C1 ZINC001265214759 901721387 /nfs/dbraw/zinc/72/13/87/901721387.db2.gz OPNDBCVGOUGAAE-AWEZNQCLSA-N 1 2 318.421 1.922 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001265222998 901733479 /nfs/dbraw/zinc/73/34/79/901733479.db2.gz OSOGLPVIPMSDTF-JKSUJKDBSA-N 1 2 321.465 1.675 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001265222998 901733491 /nfs/dbraw/zinc/73/34/91/901733491.db2.gz OSOGLPVIPMSDTF-JKSUJKDBSA-N 1 2 321.465 1.675 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C[C@@](C)(O)C2CC2)C1 ZINC001391610619 902138897 /nfs/dbraw/zinc/13/88/97/902138897.db2.gz BDBDLITUOTVYKS-DZGCQCFKSA-N 1 2 300.830 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C[C@@](C)(O)C2CC2)C1 ZINC001391610619 902138905 /nfs/dbraw/zinc/13/89/05/902138905.db2.gz BDBDLITUOTVYKS-DZGCQCFKSA-N 1 2 300.830 1.823 20 30 DDEDLO CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001369961368 902287399 /nfs/dbraw/zinc/28/73/99/902287399.db2.gz UUCDOZFREHMLDR-DOMZBBRYSA-N 1 2 319.380 1.813 20 30 DDEDLO CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001369961368 902287408 /nfs/dbraw/zinc/28/74/08/902287408.db2.gz UUCDOZFREHMLDR-DOMZBBRYSA-N 1 2 319.380 1.813 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@H]([C@@H](C)NC(=O)C#CC(C)C)C2)o1 ZINC001265703353 902320131 /nfs/dbraw/zinc/32/01/31/902320131.db2.gz OOXNDJPGVQLUAX-UKRRQHHQSA-N 1 2 318.421 1.754 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@H]([C@@H](C)NC(=O)C#CC(C)C)C2)o1 ZINC001265703353 902320142 /nfs/dbraw/zinc/32/01/42/902320142.db2.gz OOXNDJPGVQLUAX-UKRRQHHQSA-N 1 2 318.421 1.754 20 30 DDEDLO C=CCOCC(=O)NC[C@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001265759398 902378374 /nfs/dbraw/zinc/37/83/74/902378374.db2.gz VABUXPXPLXEUNZ-NSHDSACASA-N 1 2 310.398 1.164 20 30 DDEDLO C[N@H+](CCCNC(=O)C#CC(C)(C)C)Cc1nnc(C2CC2)[nH]1 ZINC001265842890 902479704 /nfs/dbraw/zinc/47/97/04/902479704.db2.gz NIHRJZUAKZLDSY-UHFFFAOYSA-N 1 2 317.437 1.670 20 30 DDEDLO C[N@@H+](CCCNC(=O)C#CC(C)(C)C)Cc1nnc(C2CC2)[nH]1 ZINC001265842890 902479712 /nfs/dbraw/zinc/47/97/12/902479712.db2.gz NIHRJZUAKZLDSY-UHFFFAOYSA-N 1 2 317.437 1.670 20 30 DDEDLO C=CCOCC(=O)N(C)C1CC[NH+](Cc2cc(C)on2)CC1 ZINC001266177649 903065919 /nfs/dbraw/zinc/06/59/19/903065919.db2.gz JVCYIPOVKCTVSO-UHFFFAOYSA-N 1 2 307.394 1.608 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001266215985 903128939 /nfs/dbraw/zinc/12/89/39/903128939.db2.gz OYMXZCHVIOTPSU-HOTGVXAUSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001266215985 903128945 /nfs/dbraw/zinc/12/89/45/903128945.db2.gz OYMXZCHVIOTPSU-HOTGVXAUSA-N 1 2 321.465 1.531 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001266221577 903138961 /nfs/dbraw/zinc/13/89/61/903138961.db2.gz DIVFXRQLGJNMQV-AWEZNQCLSA-N 1 2 318.421 1.922 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001266221577 903138968 /nfs/dbraw/zinc/13/89/68/903138968.db2.gz DIVFXRQLGJNMQV-AWEZNQCLSA-N 1 2 318.421 1.922 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(C3CC3)on2)C1 ZINC001266231022 903156993 /nfs/dbraw/zinc/15/69/93/903156993.db2.gz YNZTWWCNQNYXCT-CQSZACIVSA-N 1 2 317.389 1.396 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(C3CC3)on2)C1 ZINC001266231022 903157004 /nfs/dbraw/zinc/15/70/04/903157004.db2.gz YNZTWWCNQNYXCT-CQSZACIVSA-N 1 2 317.389 1.396 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)COc1ccccc1C ZINC001280420739 903657003 /nfs/dbraw/zinc/65/70/03/903657003.db2.gz FKPWEZVVODHVEQ-MRXNPFEDSA-N 1 2 318.417 1.767 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)COc1ccccc1C ZINC001280420739 903657009 /nfs/dbraw/zinc/65/70/09/903657009.db2.gz FKPWEZVVODHVEQ-MRXNPFEDSA-N 1 2 318.417 1.767 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCCC[C@@H]1C[NH2+]Cc1nnn(C)n1 ZINC001280452185 903694621 /nfs/dbraw/zinc/69/46/21/903694621.db2.gz RGLNEDASKITNDF-ZIAGYGMSSA-N 1 2 320.441 1.283 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@H](NC(=O)C#CC3CC3)CC2)s1 ZINC001280732659 903967389 /nfs/dbraw/zinc/96/73/89/903967389.db2.gz DBQAAVUMBSJSTM-AWEZNQCLSA-N 1 2 318.446 1.731 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@H](NC(=O)C#CC3CC3)CC2)s1 ZINC001280732659 903967398 /nfs/dbraw/zinc/96/73/98/903967398.db2.gz DBQAAVUMBSJSTM-AWEZNQCLSA-N 1 2 318.446 1.731 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)[C@@H](C)COC)CCO2 ZINC001280900562 904168552 /nfs/dbraw/zinc/16/85/52/904168552.db2.gz YJBLTGUXLAEJCN-GJZGRUSLSA-N 1 2 310.438 1.394 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1COC2(C[NH+](Cc3ccccc3)C2)C1 ZINC001280947372 904229116 /nfs/dbraw/zinc/22/91/16/904229116.db2.gz LDQWXWBMECPAIF-QGZVFWFLSA-N 1 2 310.397 1.560 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@@H]1N(C(=O)Cn1cc[nH+]c1)CC2 ZINC001281184341 904540494 /nfs/dbraw/zinc/54/04/94/904540494.db2.gz LHCUDLHTASHSKP-YOEHRIQHSA-N 1 2 316.405 1.489 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(OCCOC)c1 ZINC001316611583 904954648 /nfs/dbraw/zinc/95/46/48/904954648.db2.gz UXSLWHOUKBFQKB-MRXNPFEDSA-N 1 2 316.401 1.491 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(OCCOC)c1 ZINC001316611583 904954659 /nfs/dbraw/zinc/95/46/59/904954659.db2.gz UXSLWHOUKBFQKB-MRXNPFEDSA-N 1 2 316.401 1.491 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)c2nnnn2C)CC1(C)C ZINC001281541172 904992738 /nfs/dbraw/zinc/99/27/38/904992738.db2.gz JZYIXAVIDOCTSL-QWHCGFSZSA-N 1 2 320.441 1.454 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+]([C@@H](C)c2nnnn2C)CC1(C)C ZINC001281541172 904992745 /nfs/dbraw/zinc/99/27/45/904992745.db2.gz JZYIXAVIDOCTSL-QWHCGFSZSA-N 1 2 320.441 1.454 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CCCCNC(=O)[C@@H](C)C#N ZINC001281573722 905048718 /nfs/dbraw/zinc/04/87/18/905048718.db2.gz GFLUHUUJPCVYHW-NWDGAFQWSA-N 1 2 319.409 1.212 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H](C)OC ZINC001281777034 905211486 /nfs/dbraw/zinc/21/14/86/905211486.db2.gz RPDIDFXJOMQJSE-YOEHRIQHSA-N 1 2 300.402 1.760 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H](C)OC ZINC001281777034 905211503 /nfs/dbraw/zinc/21/15/03/905211503.db2.gz RPDIDFXJOMQJSE-YOEHRIQHSA-N 1 2 300.402 1.760 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2CN(C(=O)Cn3cc[nH+]c3)CC[C@@H]2C1 ZINC001282287323 905665040 /nfs/dbraw/zinc/66/50/40/905665040.db2.gz MCYZQABEMIZOQO-CABCVRRESA-N 1 2 316.405 1.156 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001282871220 906130836 /nfs/dbraw/zinc/13/08/36/906130836.db2.gz WWUHWOQTFXSLJK-GJZGRUSLSA-N 1 2 318.421 1.402 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CCC[NH2+]Cc1nnn(C)n1 ZINC001283214568 906908965 /nfs/dbraw/zinc/90/89/65/906908965.db2.gz PCXHLINKLMFPOT-LBPRGKRZSA-N 1 2 308.430 1.187 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)CCC1 ZINC001283349340 907190544 /nfs/dbraw/zinc/19/05/44/907190544.db2.gz HBXOFKQENRSSLK-CQSZACIVSA-N 1 2 316.405 1.347 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001283872079 908109751 /nfs/dbraw/zinc/10/97/51/908109751.db2.gz QUHRZSZMKZWQJI-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001283872079 908109760 /nfs/dbraw/zinc/10/97/60/908109760.db2.gz QUHRZSZMKZWQJI-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001283954463 908234093 /nfs/dbraw/zinc/23/40/93/908234093.db2.gz RHFOMXCRIKVZKG-LBPRGKRZSA-N 1 2 304.394 1.175 20 30 DDEDLO CCn1nncc1C[N@@H+]1CCC[C@@H](NC(=O)C#CC2CC2)[C@H]1C ZINC001284123379 908512836 /nfs/dbraw/zinc/51/28/36/908512836.db2.gz RBNFNIPTEDBFHK-CZUORRHYSA-N 1 2 315.421 1.181 20 30 DDEDLO CCn1nncc1C[N@H+]1CCC[C@@H](NC(=O)C#CC2CC2)[C@H]1C ZINC001284123379 908512846 /nfs/dbraw/zinc/51/28/46/908512846.db2.gz RBNFNIPTEDBFHK-CZUORRHYSA-N 1 2 315.421 1.181 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001394084635 908746650 /nfs/dbraw/zinc/74/66/50/908746650.db2.gz YGTZQWPEYJMJRQ-CHWSQXEVSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001394084635 908746662 /nfs/dbraw/zinc/74/66/62/908746662.db2.gz YGTZQWPEYJMJRQ-CHWSQXEVSA-N 1 2 310.829 1.635 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001284379098 908914798 /nfs/dbraw/zinc/91/47/98/908914798.db2.gz OEJHHSQSYZEDAV-AWEZNQCLSA-N 1 2 318.421 1.582 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[C@@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001284455117 909025760 /nfs/dbraw/zinc/02/57/60/909025760.db2.gz BKMQIHMBWVIWSH-MLGOLLRUSA-N 1 2 306.410 1.566 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCCCC[N@@H+]1Cc1cnns1 ZINC001394203023 909067519 /nfs/dbraw/zinc/06/75/19/909067519.db2.gz FIDQGZNCEVIPHW-NWDGAFQWSA-N 1 2 307.423 1.559 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCCCC[N@H+]1Cc1cnns1 ZINC001394203023 909067537 /nfs/dbraw/zinc/06/75/37/909067537.db2.gz FIDQGZNCEVIPHW-NWDGAFQWSA-N 1 2 307.423 1.559 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCCN1C(=O)Cc1c[nH+]cn1C ZINC001284687413 909356256 /nfs/dbraw/zinc/35/62/56/909356256.db2.gz ASSQHPOHKSYJMU-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCn2ccnn2)[C@@H]1C ZINC001394698497 910328411 /nfs/dbraw/zinc/32/84/11/910328411.db2.gz YQHFHAGUUFRSPN-STQMWFEESA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCn2ccnn2)[C@@H]1C ZINC001394698497 910328425 /nfs/dbraw/zinc/32/84/25/910328425.db2.gz YQHFHAGUUFRSPN-STQMWFEESA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C(C)C ZINC001285329904 910363635 /nfs/dbraw/zinc/36/36/35/910363635.db2.gz AXTALADCMGQKDK-CYBMUJFWSA-N 1 2 320.437 1.812 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@H]1CC[N@H+](CC(=O)NC2CCCCC2)C1 ZINC001373672493 910571640 /nfs/dbraw/zinc/57/16/40/910571640.db2.gz YKYXHNFIRCAJPY-ZFWWWQNUSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NC2CCCCC2)C1 ZINC001373672493 910571651 /nfs/dbraw/zinc/57/16/51/910571651.db2.gz YKYXHNFIRCAJPY-ZFWWWQNUSA-N 1 2 320.437 1.128 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)CO[C@@H]1CCOC1)C(C)C ZINC001394797732 910585317 /nfs/dbraw/zinc/58/53/17/910585317.db2.gz DRJIZWZXHMBRSB-CQSZACIVSA-N 1 2 318.845 1.713 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)CO[C@@H]1CCOC1)C(C)C ZINC001394797732 910585332 /nfs/dbraw/zinc/58/53/32/910585332.db2.gz DRJIZWZXHMBRSB-CQSZACIVSA-N 1 2 318.845 1.713 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@](C)(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286108804 911703560 /nfs/dbraw/zinc/70/35/60/911703560.db2.gz WUMZXJOVDFPUEW-CXAGYDPISA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1[C@H]2CN(C(=O)Cc3[nH]c[nH+]c3C)C[C@H]21 ZINC001286244926 911877905 /nfs/dbraw/zinc/87/79/05/911877905.db2.gz GMOUXFJXKJBYHW-ZSOGYDGISA-N 1 2 316.405 1.047 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001294748035 915339027 /nfs/dbraw/zinc/33/90/27/915339027.db2.gz JBFAUDNUHOLEAJ-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001295494658 915850041 /nfs/dbraw/zinc/85/00/41/915850041.db2.gz OYTRWAZGQYVBHE-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001295543767 915886164 /nfs/dbraw/zinc/88/61/64/915886164.db2.gz CNVFRRNZWCETPX-MGPQQGTHSA-N 1 2 316.405 1.328 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001295543767 915886175 /nfs/dbraw/zinc/88/61/75/915886175.db2.gz CNVFRRNZWCETPX-MGPQQGTHSA-N 1 2 316.405 1.328 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(CC)CCNC(=O)Cc1c[nH+]cn1C ZINC001296344367 916349078 /nfs/dbraw/zinc/34/90/78/916349078.db2.gz CTUWYXQJICBCKA-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO CCc1noc(C[NH2+]C[C@H](NC(=O)[C@@H](C)C#N)C2CCCC2)n1 ZINC001375782935 916949645 /nfs/dbraw/zinc/94/96/45/916949645.db2.gz DFAGPDQNSWSYRD-AAEUAGOBSA-N 1 2 319.409 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)[C@@H](C)C(C)C)C1 ZINC001376494433 918617899 /nfs/dbraw/zinc/61/78/99/918617899.db2.gz QSDMUCGHFUOCLA-ZFWWWQNUSA-N 1 2 302.846 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)[C@@H](C)C(C)C)C1 ZINC001376494433 918617906 /nfs/dbraw/zinc/61/79/06/918617906.db2.gz QSDMUCGHFUOCLA-ZFWWWQNUSA-N 1 2 302.846 1.974 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)Cc1cccc(C#N)c1 ZINC000451939294 231243385 /nfs/dbraw/zinc/24/33/85/231243385.db2.gz ZLSYNWUGXIKPFI-LBPRGKRZSA-N 1 2 318.402 1.955 20 30 DDEDLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)N[C@@H]1CC[S@@](=O)C1 ZINC000330285664 529591406 /nfs/dbraw/zinc/59/14/06/529591406.db2.gz ZFSWIDKCMQHLNT-GPAUDHNESA-N 1 2 324.450 1.865 20 30 DDEDLO CCn1nc(NC(=O)NC[C@@H](C)[NH+]2CCOCC2)cc1C1CC1 ZINC000330244690 539309856 /nfs/dbraw/zinc/30/98/56/539309856.db2.gz DJRUOIRTKATKBL-GFCCVEGCSA-N 1 2 321.425 1.827 20 30 DDEDLO CCC(CC)[C@H](C(=O)N[C@@H]1CCC[C@@H]1C#N)[NH+]1CCOCC1 ZINC000331106684 282256327 /nfs/dbraw/zinc/25/63/27/282256327.db2.gz RCEPLHFPJPFIQI-BZUAXINKSA-N 1 2 307.438 1.932 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(Cc2ccc(C#N)cc2Cl)CC1 ZINC000615223688 362202071 /nfs/dbraw/zinc/20/20/71/362202071.db2.gz NMROBSFRBFMYLN-UHFFFAOYSA-N 1 2 320.824 1.417 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000616868565 362854907 /nfs/dbraw/zinc/85/49/07/362854907.db2.gz QGTRGBXVNXSSPF-CHWSQXEVSA-N 1 2 309.410 1.577 20 30 DDEDLO CC(C)C[N@H+](CC(N)=O)CC(=O)N(CCC#N)c1ccccc1 ZINC000046061633 352435511 /nfs/dbraw/zinc/43/55/11/352435511.db2.gz XYFBDNBHUGUUSV-UHFFFAOYSA-N 1 2 316.405 1.377 20 30 DDEDLO CC(C)C[N@@H+](CC(N)=O)CC(=O)N(CCC#N)c1ccccc1 ZINC000046061633 352435514 /nfs/dbraw/zinc/43/55/14/352435514.db2.gz XYFBDNBHUGUUSV-UHFFFAOYSA-N 1 2 316.405 1.377 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000047795451 352512719 /nfs/dbraw/zinc/51/27/19/352512719.db2.gz DWYYHEJLHQZRBJ-BBRMVZONSA-N 1 2 324.425 1.251 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000047795451 352512722 /nfs/dbraw/zinc/51/27/22/352512722.db2.gz DWYYHEJLHQZRBJ-BBRMVZONSA-N 1 2 324.425 1.251 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)N[C@@H](Cc1ccccc1)C(C)=O ZINC000052868419 352629515 /nfs/dbraw/zinc/62/95/15/352629515.db2.gz FHIOWRQTEGBMLN-KRWDZBQOSA-N 1 2 300.402 1.977 20 30 DDEDLO CC[N@@H+](Cc1cccc(S(=O)(=O)N(C)C)c1)C[C@@H](C)C#N ZINC000066424455 352996481 /nfs/dbraw/zinc/99/64/81/352996481.db2.gz QUQUHLBPTPYOGE-ZDUSSCGKSA-N 1 2 309.435 1.918 20 30 DDEDLO CC[N@H+](Cc1cccc(S(=O)(=O)N(C)C)c1)C[C@@H](C)C#N ZINC000066424455 352996483 /nfs/dbraw/zinc/99/64/83/352996483.db2.gz QUQUHLBPTPYOGE-ZDUSSCGKSA-N 1 2 309.435 1.918 20 30 DDEDLO Cc1nnc(N2CC[NH+](Cc3cccc(O)c3)CC2)c(C#N)c1C ZINC000068901230 353135339 /nfs/dbraw/zinc/13/53/39/353135339.db2.gz DAPSWKDOURGVEZ-UHFFFAOYSA-N 1 2 323.400 1.993 20 30 DDEDLO Cc1nc(C(=O)N2C[C@@H](C)[C@H]([NH+]3CCOCC3)C2)ccc1C#N ZINC000173830289 198258229 /nfs/dbraw/zinc/25/82/29/198258229.db2.gz SHSFQSVRTZJTOC-MLGOLLRUSA-N 1 2 314.389 1.054 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCCc1nc2ccccc2s1 ZINC000174048661 198285127 /nfs/dbraw/zinc/28/51/27/198285127.db2.gz YPOOQSOZAZVEHG-UHFFFAOYSA-N 1 2 311.410 1.523 20 30 DDEDLO N#CCNC(=O)C[NH+]1CCC(C(=O)c2cc(F)ccc2F)CC1 ZINC000076668996 353431785 /nfs/dbraw/zinc/43/17/85/353431785.db2.gz LGMXKLAJIKVFJW-UHFFFAOYSA-N 1 2 321.327 1.499 20 30 DDEDLO C[C@@H](CNc1c(C#N)nnc2ccccc21)[NH+]1CCN(C)CC1 ZINC000077215872 353458003 /nfs/dbraw/zinc/45/80/03/353458003.db2.gz JLNVBUMPMXUFEH-ZDUSSCGKSA-N 1 2 310.405 1.549 20 30 DDEDLO CN(C[C@H](O)C[NH+]1CCOCC1)c1ccc(Cl)cc1C#N ZINC000081015275 353644601 /nfs/dbraw/zinc/64/46/01/353644601.db2.gz VSMVSAIBFSAXIQ-AWEZNQCLSA-N 1 2 309.797 1.341 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cn2ccsc2n1 ZINC000081530757 353681221 /nfs/dbraw/zinc/68/12/21/353681221.db2.gz IHWVRGHMVOZTET-UHFFFAOYSA-N 1 2 319.434 1.444 20 30 DDEDLO CCC1(O)CC[NH+]([C@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000083213684 353708301 /nfs/dbraw/zinc/70/83/01/353708301.db2.gz KFKQQWHJXJKXCE-ZDUSSCGKSA-N 1 2 319.430 1.962 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCC(c2nc[nH]n2)CC1 ZINC000083445407 353710542 /nfs/dbraw/zinc/71/05/42/353710542.db2.gz IQDSFDHRWJPKJE-CYBMUJFWSA-N 1 2 305.426 1.797 20 30 DDEDLO N#Cc1ccc(CN2CC[N@@H+](CC3=CCCOC3)CC2=O)cc1 ZINC000093661391 353903751 /nfs/dbraw/zinc/90/37/51/353903751.db2.gz KVOACMMYAUUHGP-UHFFFAOYSA-N 1 2 311.385 1.549 20 30 DDEDLO N#Cc1ccc(CN2CC[N@H+](CC3=CCCOC3)CC2=O)cc1 ZINC000093661391 353903755 /nfs/dbraw/zinc/90/37/55/353903755.db2.gz KVOACMMYAUUHGP-UHFFFAOYSA-N 1 2 311.385 1.549 20 30 DDEDLO C[C@@H](SCC[NH+]1CCOCC1)C(=O)Nc1cccc(C#N)c1 ZINC000127389460 354063683 /nfs/dbraw/zinc/06/36/83/354063683.db2.gz HLXHJVJYJNVLDW-CYBMUJFWSA-N 1 2 319.430 1.951 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)N(C)C[C@H](O)C[NH+]1CCOCC1 ZINC000181096478 354267728 /nfs/dbraw/zinc/26/77/28/354267728.db2.gz LMWMPDOMOCFRDJ-CABCVRRESA-N 1 2 313.442 1.066 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCCCn2cc[nH+]c2)s1 ZINC000230338811 354327050 /nfs/dbraw/zinc/32/70/50/354327050.db2.gz OTKLIJFBMCVZRF-UHFFFAOYSA-N 1 2 310.404 1.575 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000397616527 354655102 /nfs/dbraw/zinc/65/51/02/354655102.db2.gz QPDKDULBIAYDJC-OAHLLOKOSA-N 1 2 317.414 1.958 20 30 DDEDLO N#CC[C@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000397616527 354655104 /nfs/dbraw/zinc/65/51/04/354655104.db2.gz QPDKDULBIAYDJC-OAHLLOKOSA-N 1 2 317.414 1.958 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cccc4c3OCCO4)C[C@@H]21 ZINC000328892133 283035674 /nfs/dbraw/zinc/03/56/74/283035674.db2.gz TXYAAEJCCGCWOI-JSGCOSHPSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cccc4c3OCCO4)C[C@@H]21 ZINC000328892133 283035677 /nfs/dbraw/zinc/03/56/77/283035677.db2.gz TXYAAEJCCGCWOI-JSGCOSHPSA-N 1 2 319.361 1.018 20 30 DDEDLO N#CC1(NC(=O)c2ccnc(-n3cc[nH+]c3)c2)CCSCC1 ZINC000270273374 283048843 /nfs/dbraw/zinc/04/88/43/283048843.db2.gz WQIKXOKLJBZIAQ-UHFFFAOYSA-N 1 2 313.386 1.786 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N[C@@H]2CCc3c[nH+]cn3C2)cc1 ZINC000587051153 354879536 /nfs/dbraw/zinc/87/95/36/354879536.db2.gz HNYJRJXJKLJWDZ-HZPDHXFCSA-N 1 2 319.368 1.568 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H]1CCc2c[nH+]cn2C1 ZINC000587057693 354880196 /nfs/dbraw/zinc/88/01/96/354880196.db2.gz XVERTQNQWUCZCU-GXTWGEPZSA-N 1 2 310.357 1.653 20 30 DDEDLO Cc1cc(N2CCC[C@H](O)C2)c(C#N)c(N2CCC[C@@H](O)C2)[nH+]1 ZINC000589103278 354959321 /nfs/dbraw/zinc/95/93/21/354959321.db2.gz VRIFQITXYSCYGT-UONOGXRCSA-N 1 2 316.405 1.184 20 30 DDEDLO C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@H+](C)CC(=O)N(C)C ZINC000073643609 191336751 /nfs/dbraw/zinc/33/67/51/191336751.db2.gz UVQBNYLATFOGBS-XOYHFGRZSA-N 1 2 322.453 1.326 20 30 DDEDLO C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@@H+](C)CC(=O)N(C)C ZINC000073643609 191336754 /nfs/dbraw/zinc/33/67/54/191336754.db2.gz UVQBNYLATFOGBS-XOYHFGRZSA-N 1 2 322.453 1.326 20 30 DDEDLO CN(C(=O)c1cc(O)cc(C#N)c1)C(C)(C)C[NH+]1CCOCC1 ZINC000591236850 355270436 /nfs/dbraw/zinc/27/04/36/355270436.db2.gz PLVDVFRNPNURJO-UHFFFAOYSA-N 1 2 317.389 1.447 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@@H+]1Cc1cccc(C#N)c1F ZINC000591646900 355351532 /nfs/dbraw/zinc/35/15/32/355351532.db2.gz NPJNADRKBBXDPD-MRXNPFEDSA-N 1 2 305.353 1.424 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@H+]1Cc1cccc(C#N)c1F ZINC000591646900 355351534 /nfs/dbraw/zinc/35/15/34/355351534.db2.gz NPJNADRKBBXDPD-MRXNPFEDSA-N 1 2 305.353 1.424 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](O)C[N@H+](Cc2cn3ccccc3c2C#N)C1 ZINC000592197569 355533350 /nfs/dbraw/zinc/53/33/50/355533350.db2.gz DYYQFWJCLQRTGF-OCCSQVGLSA-N 1 2 313.357 1.167 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](O)C[N@@H+](Cc2cn3ccccc3c2C#N)C1 ZINC000592197569 355533351 /nfs/dbraw/zinc/53/33/51/355533351.db2.gz DYYQFWJCLQRTGF-OCCSQVGLSA-N 1 2 313.357 1.167 20 30 DDEDLO C[C@H](C#N)C[N@H+](C)Cc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000593007725 355767620 /nfs/dbraw/zinc/76/76/20/355767620.db2.gz NYSGTOCVOPTJRN-GFCCVEGCSA-N 1 2 307.419 1.719 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C)Cc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000593007725 355767625 /nfs/dbraw/zinc/76/76/25/355767625.db2.gz NYSGTOCVOPTJRN-GFCCVEGCSA-N 1 2 307.419 1.719 20 30 DDEDLO CC(=O)N1CC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C(C)(C)C1 ZINC000593020260 355772074 /nfs/dbraw/zinc/77/20/74/355772074.db2.gz DVJUZIVQWSRYRC-UHFFFAOYSA-N 1 2 316.361 1.909 20 30 DDEDLO CC(=O)N1CC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C(C)(C)C1 ZINC000593020260 355772078 /nfs/dbraw/zinc/77/20/78/355772078.db2.gz DVJUZIVQWSRYRC-UHFFFAOYSA-N 1 2 316.361 1.909 20 30 DDEDLO Cn1cc(N2CCC[C@H]([N@@H+]3Cc4cccc(C#N)c4C3)C2=O)cn1 ZINC000593119597 355805354 /nfs/dbraw/zinc/80/53/54/355805354.db2.gz BQBOBBJWEXCOGS-KRWDZBQOSA-N 1 2 321.384 1.803 20 30 DDEDLO Cn1cc(N2CCC[C@H]([N@H+]3Cc4cccc(C#N)c4C3)C2=O)cn1 ZINC000593119597 355805355 /nfs/dbraw/zinc/80/53/55/355805355.db2.gz BQBOBBJWEXCOGS-KRWDZBQOSA-N 1 2 321.384 1.803 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC000593444710 355887088 /nfs/dbraw/zinc/88/70/88/355887088.db2.gz XTQMALDMXJFRKL-IXDOHACOSA-N 1 2 317.433 1.663 20 30 DDEDLO Cc1noc(C[N@H+](C)C[C@@H](O)COc2ccc(C#N)cc2)n1 ZINC000594052891 356099943 /nfs/dbraw/zinc/09/99/43/356099943.db2.gz IWFCZLYGLNFIJS-CYBMUJFWSA-N 1 2 302.334 1.121 20 30 DDEDLO Cc1noc(C[N@@H+](C)C[C@@H](O)COc2ccc(C#N)cc2)n1 ZINC000594052891 356099950 /nfs/dbraw/zinc/09/99/50/356099950.db2.gz IWFCZLYGLNFIJS-CYBMUJFWSA-N 1 2 302.334 1.121 20 30 DDEDLO C[C@@H](O)C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C1CC1 ZINC000594065131 356103927 /nfs/dbraw/zinc/10/39/27/356103927.db2.gz OSHCWFSIYUMTJG-GFCCVEGCSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@@H](O)C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C1CC1 ZINC000594065131 356103929 /nfs/dbraw/zinc/10/39/29/356103929.db2.gz OSHCWFSIYUMTJG-GFCCVEGCSA-N 1 2 308.403 1.177 20 30 DDEDLO N#Cc1ccc(Cn2cc(C[NH+]3CCSCC3)nn2)c(F)c1 ZINC000594650062 356274214 /nfs/dbraw/zinc/27/42/14/356274214.db2.gz PSDBLMBUIAUSRA-UHFFFAOYSA-N 1 2 317.393 1.886 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(CCC2CCC2)c1=O ZINC000594897247 356350333 /nfs/dbraw/zinc/35/03/33/356350333.db2.gz KTLWZNHODRPTMF-UHFFFAOYSA-N 1 2 301.390 1.742 20 30 DDEDLO C[N@H+](CCn1cccn1)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513356 192275737 /nfs/dbraw/zinc/27/57/37/192275737.db2.gz VQKKNTKSYDRZRT-UHFFFAOYSA-N 1 2 318.402 1.160 20 30 DDEDLO C[N@@H+](CCn1cccn1)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513356 192275740 /nfs/dbraw/zinc/27/57/40/192275740.db2.gz VQKKNTKSYDRZRT-UHFFFAOYSA-N 1 2 318.402 1.160 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1ccccc1C(=O)OC)[C@H](C)COC ZINC000595638691 356588533 /nfs/dbraw/zinc/58/85/33/356588533.db2.gz LDNBSVKUXXPINM-CYBMUJFWSA-N 1 2 320.389 1.935 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1ccccc1C(=O)OC)[C@H](C)COC ZINC000595638691 356588536 /nfs/dbraw/zinc/58/85/36/356588536.db2.gz LDNBSVKUXXPINM-CYBMUJFWSA-N 1 2 320.389 1.935 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595631184 356584995 /nfs/dbraw/zinc/58/49/95/356584995.db2.gz ATXBYFYBCZZKQP-CYBMUJFWSA-N 1 2 320.462 1.999 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CC[C@@H]2NC(=O)CC[C@@H]2C1 ZINC000595729412 356625221 /nfs/dbraw/zinc/62/52/21/356625221.db2.gz RMKPHWGFGJPCQQ-OCCSQVGLSA-N 1 2 314.345 1.567 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CC[C@@H]2NC(=O)CC[C@@H]2C1 ZINC000595729412 356625224 /nfs/dbraw/zinc/62/52/24/356625224.db2.gz RMKPHWGFGJPCQQ-OCCSQVGLSA-N 1 2 314.345 1.567 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1CC[C@@]2(O)C[C@H]2C1 ZINC000595758535 356639905 /nfs/dbraw/zinc/63/99/05/356639905.db2.gz RPKBLFUGJZVWLI-BLLLJJGKSA-N 1 2 317.414 1.697 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1CC[C@@]2(O)C[C@H]2C1 ZINC000595758535 356639909 /nfs/dbraw/zinc/63/99/09/356639909.db2.gz RPKBLFUGJZVWLI-BLLLJJGKSA-N 1 2 317.414 1.697 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCO[C@@H](CC(F)(F)F)C1 ZINC000595885512 356690856 /nfs/dbraw/zinc/69/08/56/356690856.db2.gz ILYAIHBNMMTZKN-NSHDSACASA-N 1 2 307.316 1.402 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCO[C@@H](CC(F)(F)F)C1 ZINC000595885512 356690860 /nfs/dbraw/zinc/69/08/60/356690860.db2.gz ILYAIHBNMMTZKN-NSHDSACASA-N 1 2 307.316 1.402 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1nc(C#N)c(Cl)s1 ZINC000596232654 356826367 /nfs/dbraw/zinc/82/63/67/356826367.db2.gz RGMXMRQSXHMSMX-UWVGGRQHSA-N 1 2 312.826 1.968 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCC2CCC(C#N)CC2)[C@@H](C)CO1 ZINC000596595766 356929583 /nfs/dbraw/zinc/92/95/83/356929583.db2.gz FWJOCXONNQCRQG-FXQLRMTLSA-N 1 2 322.453 1.725 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCC2CCC(C#N)CC2)[C@@H](C)CO1 ZINC000596595766 356929585 /nfs/dbraw/zinc/92/95/85/356929585.db2.gz FWJOCXONNQCRQG-FXQLRMTLSA-N 1 2 322.453 1.725 20 30 DDEDLO N#CC1(CC(=O)NCCc2cn3c([nH+]2)CCCC3)CCOCC1 ZINC000596751189 356967194 /nfs/dbraw/zinc/96/71/94/356967194.db2.gz SAVPATXJCVRBEZ-UHFFFAOYSA-N 1 2 316.405 1.589 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)NCCc1ccc(C#N)cc1)CC2 ZINC000596672122 356948314 /nfs/dbraw/zinc/94/83/14/356948314.db2.gz LNJLNNYXPXNHFA-UHFFFAOYSA-N 1 2 309.373 1.831 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)c2cc(F)c(C#N)c(F)c2)CCO1 ZINC000597054684 357049881 /nfs/dbraw/zinc/04/98/81/357049881.db2.gz GULHVAQMVKZKHV-UHFFFAOYSA-N 1 2 323.343 1.677 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)c2cc(F)c(C#N)c(F)c2)CCO1 ZINC000597054684 357049884 /nfs/dbraw/zinc/04/98/84/357049884.db2.gz GULHVAQMVKZKHV-UHFFFAOYSA-N 1 2 323.343 1.677 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000597383259 357157206 /nfs/dbraw/zinc/15/72/06/357157206.db2.gz XKPKSPXKYXSNAQ-WMLDXEAASA-N 1 2 312.373 1.977 20 30 DDEDLO CC(C)(C(=O)NCCc1ccc(C#N)cc1)[NH+]1CCOCC1 ZINC000597888541 357372172 /nfs/dbraw/zinc/37/21/72/357372172.db2.gz BBSVSEYYYMYGQO-UHFFFAOYSA-N 1 2 301.390 1.328 20 30 DDEDLO N#C[C@H](CO)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccc(F)cc1 ZINC000597742847 357313725 /nfs/dbraw/zinc/31/37/25/357313725.db2.gz YTBJZWUMGFORBH-CABCVRRESA-N 1 2 305.353 1.181 20 30 DDEDLO N#C[C@H](CO)NC(=O)[C@@H]1CCCC[N@H+]1Cc1ccc(F)cc1 ZINC000597742847 357313729 /nfs/dbraw/zinc/31/37/29/357313729.db2.gz YTBJZWUMGFORBH-CABCVRRESA-N 1 2 305.353 1.181 20 30 DDEDLO Cc1cc(Cl)cnc1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000329596264 223000563 /nfs/dbraw/zinc/00/05/63/223000563.db2.gz CAUNUASCEVDWRK-VXGBXAGGSA-N 1 2 310.785 1.604 20 30 DDEDLO Cc1cc(Cl)cnc1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000329596264 223000567 /nfs/dbraw/zinc/00/05/67/223000567.db2.gz CAUNUASCEVDWRK-VXGBXAGGSA-N 1 2 310.785 1.604 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Cc2c(F)cccc2C#N)[C@@H](C)CO1 ZINC000597999420 357415472 /nfs/dbraw/zinc/41/54/72/357415472.db2.gz WPFFNFFDDRWQIE-QWHCGFSZSA-N 1 2 319.380 1.465 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Cc2c(F)cccc2C#N)[C@@H](C)CO1 ZINC000597999420 357415477 /nfs/dbraw/zinc/41/54/77/357415477.db2.gz WPFFNFFDDRWQIE-QWHCGFSZSA-N 1 2 319.380 1.465 20 30 DDEDLO CO[C@@H]1C[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@@H]1OC ZINC000276821519 213115277 /nfs/dbraw/zinc/11/52/77/213115277.db2.gz FPIBONUCIBOGDI-OKILXGFUSA-N 1 2 323.780 1.496 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@@H]1OC ZINC000276821519 213115282 /nfs/dbraw/zinc/11/52/82/213115282.db2.gz FPIBONUCIBOGDI-OKILXGFUSA-N 1 2 323.780 1.496 20 30 DDEDLO CCO[C@H](C)c1noc(C[N@H+](C)C[C@H](O)CC(C)(C)C#N)n1 ZINC000598596825 357646326 /nfs/dbraw/zinc/64/63/26/357646326.db2.gz UCZGYAUTIPRGPB-VXGBXAGGSA-N 1 2 310.398 1.900 20 30 DDEDLO CCO[C@H](C)c1noc(C[N@@H+](C)C[C@H](O)CC(C)(C)C#N)n1 ZINC000598596825 357646329 /nfs/dbraw/zinc/64/63/29/357646329.db2.gz UCZGYAUTIPRGPB-VXGBXAGGSA-N 1 2 310.398 1.900 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)NC[C@@H]1CCCCS1)[NH+]1CCOCC1 ZINC000329674157 223012264 /nfs/dbraw/zinc/01/22/64/223012264.db2.gz VHXRROAXNCLPRX-MJBXVCDLSA-N 1 2 315.483 1.885 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(Cc3cnn(C)c3)CC2)cc1C#N ZINC000599289358 357877588 /nfs/dbraw/zinc/87/75/88/357877588.db2.gz DSZIOQUCPDCFPO-UHFFFAOYSA-N 1 2 309.417 1.918 20 30 DDEDLO Cc1ccc(CN2CC[NH+](Cc3cnn(C)c3)CC2)cc1C#N ZINC000599289358 357877590 /nfs/dbraw/zinc/87/75/90/357877590.db2.gz DSZIOQUCPDCFPO-UHFFFAOYSA-N 1 2 309.417 1.918 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C[C@@H](O)COc1ccccc1C#N)C(C)(C)C ZINC000599340085 357900872 /nfs/dbraw/zinc/90/08/72/357900872.db2.gz AXRHYALSCAHNHP-UKRRQHHQSA-N 1 2 320.389 1.475 20 30 DDEDLO COC(=O)[C@@](C)(CCF)[NH2+]C[C@@H](O)COc1ccccc1C#N ZINC000599469253 357947772 /nfs/dbraw/zinc/94/77/72/357947772.db2.gz UBNYSNWGUQRLJW-CZUORRHYSA-N 1 2 324.352 1.179 20 30 DDEDLO Cc1cn2c([nH+]1)C[C@@H](C(=O)NC1(C#N)CCSCC1)CC2 ZINC000599715141 358037082 /nfs/dbraw/zinc/03/70/82/358037082.db2.gz WXYMLPAUVZMJOM-LBPRGKRZSA-N 1 2 304.419 1.660 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@@H]1CCn2cc(C)[nH+]c2C1)C(=O)OCC ZINC000599997047 358099624 /nfs/dbraw/zinc/09/96/24/358099624.db2.gz RFJSXXOYKDILGQ-KGLIPLIRSA-N 1 2 319.405 1.768 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](Cc3ccccn3)CC2)CC2(CC2)C1 ZINC000600977949 358367231 /nfs/dbraw/zinc/36/72/31/358367231.db2.gz NXZIRBLSOXXRKN-UHFFFAOYSA-N 1 2 310.401 1.810 20 30 DDEDLO N#Cc1cc(N2CC[NH+](Cc3ccncc3)CC2)nc(C2CC2)n1 ZINC000601100212 358402081 /nfs/dbraw/zinc/40/20/81/358402081.db2.gz IIABRYOFEMPVNX-UHFFFAOYSA-N 1 2 320.400 1.943 20 30 DDEDLO CN(C)[C@@H](C(=O)Nc1cc(F)cc(C#N)c1)c1c[nH+]cn1C ZINC000601712449 358661543 /nfs/dbraw/zinc/66/15/43/358661543.db2.gz UDTLCFMEZCAUQI-CQSZACIVSA-N 1 2 301.325 1.672 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)N[C@H]2Cc3c[nH+]cn3C2)cc1 ZINC000602263240 358904217 /nfs/dbraw/zinc/90/42/17/358904217.db2.gz VGAVIPFRUZVZPW-ZDUSSCGKSA-N 1 2 311.345 1.058 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C)c(C(=O)OC)[nH]2)C1=O ZINC000602649547 359099551 /nfs/dbraw/zinc/09/95/51/359099551.db2.gz VVKFELJMXDILTA-CYBMUJFWSA-N 1 2 305.378 1.328 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C)c(C(=O)OC)[nH]2)C1=O ZINC000602649547 359099555 /nfs/dbraw/zinc/09/95/55/359099555.db2.gz VVKFELJMXDILTA-CYBMUJFWSA-N 1 2 305.378 1.328 20 30 DDEDLO C[C@@H](CCC#N)C[N@@H+]1CCc2sc(S(N)(=O)=O)cc2C1 ZINC000602722127 359149268 /nfs/dbraw/zinc/14/92/68/359149268.db2.gz NAZLFZIPHNEFGX-JTQLQIEISA-N 1 2 313.448 1.693 20 30 DDEDLO C[C@@H](CCC#N)C[N@H+]1CCc2sc(S(N)(=O)=O)cc2C1 ZINC000602722127 359149269 /nfs/dbraw/zinc/14/92/69/359149269.db2.gz NAZLFZIPHNEFGX-JTQLQIEISA-N 1 2 313.448 1.693 20 30 DDEDLO COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)C[NH2+][C@@H](C)CC#N ZINC000602862817 359247549 /nfs/dbraw/zinc/24/75/49/359247549.db2.gz KBKAFVOZOQELCZ-WFASDCNBSA-N 1 2 315.373 1.005 20 30 DDEDLO COCc1noc(CN2CC[NH+](CC3(CC#N)CC3)CC2)n1 ZINC000602817045 359211693 /nfs/dbraw/zinc/21/16/93/359211693.db2.gz WGCHHCNJWYBMMU-UHFFFAOYSA-N 1 2 305.382 1.027 20 30 DDEDLO CN(C)c1noc(C[NH+]2CCC(c3ccc(C#N)cn3)CC2)n1 ZINC000602908843 359287431 /nfs/dbraw/zinc/28/74/31/359287431.db2.gz VCCACWWAUXWDSA-UHFFFAOYSA-N 1 2 312.377 1.782 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCO[C@@H](Cn2ccnn2)C1 ZINC000602903771 359283922 /nfs/dbraw/zinc/28/39/22/359283922.db2.gz BLNSXOYIQDHDML-OAHLLOKOSA-N 1 2 313.361 1.059 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCO[C@@H](Cn2ccnn2)C1 ZINC000602903771 359283926 /nfs/dbraw/zinc/28/39/26/359283926.db2.gz BLNSXOYIQDHDML-OAHLLOKOSA-N 1 2 313.361 1.059 20 30 DDEDLO N#C[C@@H]1CC[C@@H]([N@@H+]2CCc3c(cccc3S(N)(=O)=O)C2)C1 ZINC000603027355 359368636 /nfs/dbraw/zinc/36/86/36/359368636.db2.gz WBEZMMSMYWBOPX-DGCLKSJQSA-N 1 2 305.403 1.384 20 30 DDEDLO N#C[C@@H]1CC[C@@H]([N@H+]2CCc3c(cccc3S(N)(=O)=O)C2)C1 ZINC000603027355 359368639 /nfs/dbraw/zinc/36/86/39/359368639.db2.gz WBEZMMSMYWBOPX-DGCLKSJQSA-N 1 2 305.403 1.384 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N(CC#N)C2CC2)C1 ZINC000603278163 359536979 /nfs/dbraw/zinc/53/69/79/359536979.db2.gz FXFWDYVZWMECKZ-UHFFFAOYSA-N 1 2 305.403 1.351 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N(CC#N)C2CC2)C1 ZINC000603278163 359536980 /nfs/dbraw/zinc/53/69/80/359536980.db2.gz FXFWDYVZWMECKZ-UHFFFAOYSA-N 1 2 305.403 1.351 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000604034740 359713157 /nfs/dbraw/zinc/71/31/57/359713157.db2.gz FPCKAUXQMLUVHJ-HNNXBMFYSA-N 1 2 303.406 1.880 20 30 DDEDLO CNC(=O)[C@@]1(C)CC[N@@H+]([C@@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000281180546 216134720 /nfs/dbraw/zinc/13/47/20/216134720.db2.gz QVFNZYISIGMHCD-PBHICJAKSA-N 1 2 315.373 1.230 20 30 DDEDLO CNC(=O)[C@@]1(C)CC[N@H+]([C@@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000281180546 216134722 /nfs/dbraw/zinc/13/47/22/216134722.db2.gz QVFNZYISIGMHCD-PBHICJAKSA-N 1 2 315.373 1.230 20 30 DDEDLO Cc1cc(NC2CCN(CC#N)CC2)nc(-c2ccncc2)[nH+]1 ZINC000609334369 360295443 /nfs/dbraw/zinc/29/54/43/360295443.db2.gz ZZGKBCNXVFVWTR-UHFFFAOYSA-N 1 2 308.389 1.669 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(c2cc(Cl)ccc2C#N)CC1 ZINC000609890575 360362876 /nfs/dbraw/zinc/36/28/76/360362876.db2.gz OOCOSWMFJZCDTG-UHFFFAOYSA-N 1 2 306.797 1.422 20 30 DDEDLO COC[C@H]1CCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000610605324 360480685 /nfs/dbraw/zinc/48/06/85/360480685.db2.gz XVGRGSXOKBRDSR-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO COC[C@H]1CCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000610605324 360480689 /nfs/dbraw/zinc/48/06/89/360480689.db2.gz XVGRGSXOKBRDSR-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO N#Cc1csc(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)c1 ZINC000610620316 360484383 /nfs/dbraw/zinc/48/43/83/360484383.db2.gz HDXLSQWVUVQDRN-ZDUSSCGKSA-N 1 2 305.403 1.557 20 30 DDEDLO Cc1nc(CC2CC2)oc1C(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000330038304 223067022 /nfs/dbraw/zinc/06/70/22/223067022.db2.gz ZUSFEUNWLNYCGA-LLVKDONJSA-N 1 2 307.394 1.961 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000330045775 223067859 /nfs/dbraw/zinc/06/78/59/223067859.db2.gz DMQDDFFFPRJIND-JTQLQIEISA-N 1 2 318.299 1.472 20 30 DDEDLO C[C@H](C(=O)N1CCc2ccccc21)[NH+]1CCN(CCC#N)CC1 ZINC000611175487 360649239 /nfs/dbraw/zinc/64/92/39/360649239.db2.gz ZDKIZTHXGAKOAL-OAHLLOKOSA-N 1 2 312.417 1.495 20 30 DDEDLO O=C(C[NH+]1CCC(C(F)F)CC1)NCC(=O)N1CCCC1 ZINC000330097014 223073763 /nfs/dbraw/zinc/07/37/63/223073763.db2.gz AHRVNNAEGZVBIX-UHFFFAOYSA-N 1 2 303.353 1.542 20 30 DDEDLO [O-]C(N[C@@H]1CCn2cc[nH+]c2C1)=[NH+][C@H]1COc2ccccc2C1 ZINC000330199962 223086877 /nfs/dbraw/zinc/08/68/77/223086877.db2.gz WCGQLXDYFWVDQN-ZIAGYGMSSA-N 1 2 312.373 1.705 20 30 DDEDLO [O-]C(N[C@H]1COc2ccccc2C1)=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330199962 223086879 /nfs/dbraw/zinc/08/68/79/223086879.db2.gz WCGQLXDYFWVDQN-ZIAGYGMSSA-N 1 2 312.373 1.705 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+]2CCC[C@@](C)(C#N)C2)C1 ZINC000612167725 360938473 /nfs/dbraw/zinc/93/84/73/360938473.db2.gz VUHJLULBHSLPGA-PBHICJAKSA-N 1 2 321.421 1.414 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+]2CCC[C@@](C)(C#N)C2)C1 ZINC000612167725 360938479 /nfs/dbraw/zinc/93/84/79/360938479.db2.gz VUHJLULBHSLPGA-PBHICJAKSA-N 1 2 321.421 1.414 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC000330254490 223095601 /nfs/dbraw/zinc/09/56/01/223095601.db2.gz PGBWGKFSJHLENU-BZUAXINKSA-N 1 2 304.438 1.307 20 30 DDEDLO C[C@@]1(C#N)CCCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000612268261 360954471 /nfs/dbraw/zinc/95/44/71/360954471.db2.gz MMIWGOLPHJIEDT-INIZCTEOSA-N 1 2 311.345 1.425 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccc(CC#N)cc2)CCN1S(C)(=O)=O ZINC000330808615 223146327 /nfs/dbraw/zinc/14/63/27/223146327.db2.gz AVCSKYVRTJRHRD-ZDUSSCGKSA-N 1 2 307.419 1.218 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccc(CC#N)cc2)CCN1S(C)(=O)=O ZINC000330808615 223146330 /nfs/dbraw/zinc/14/63/30/223146330.db2.gz AVCSKYVRTJRHRD-ZDUSSCGKSA-N 1 2 307.419 1.218 20 30 DDEDLO CCn1ncc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)n1 ZINC000292548919 223138938 /nfs/dbraw/zinc/13/89/38/223138938.db2.gz BXLNLABAANMKRK-UHFFFAOYSA-N 1 2 324.388 1.128 20 30 DDEDLO N#C[C@H]1CC[C@@H](Nc2cc(N3CCCC[C@H]3CO)nc[nH+]2)C1 ZINC000333516571 223222175 /nfs/dbraw/zinc/22/21/75/223222175.db2.gz VHPIQOPMZNRENF-MJBXVCDLSA-N 1 2 301.394 1.932 20 30 DDEDLO N#C[C@H]1CC[C@@H](Nc2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC000333516571 223222177 /nfs/dbraw/zinc/22/21/77/223222177.db2.gz VHPIQOPMZNRENF-MJBXVCDLSA-N 1 2 301.394 1.932 20 30 DDEDLO N#Cc1ccc(C(=O)NCC(=O)Nc2ccn3cc[nH+]c3c2)cc1 ZINC000619578074 364070406 /nfs/dbraw/zinc/07/04/06/364070406.db2.gz CEKPWPARDLKYJT-UHFFFAOYSA-N 1 2 319.324 1.574 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(C(=O)Nc2ccc(C)cn2)CC1 ZINC000619719174 364131049 /nfs/dbraw/zinc/13/10/49/364131049.db2.gz FPVIXVRLCJECIR-AWEZNQCLSA-N 1 2 317.389 1.768 20 30 DDEDLO Cc1nn(C(C)C)cc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000343585833 223322895 /nfs/dbraw/zinc/32/28/95/223322895.db2.gz CQUKNWYYKRGGFU-UHFFFAOYSA-N 1 2 319.453 1.820 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000625421087 366915747 /nfs/dbraw/zinc/91/57/47/366915747.db2.gz REBXZNKJOHEEIH-CYBMUJFWSA-N 1 2 318.421 1.834 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCCC[C@@H]2[C@H](O)C(F)(F)F)CCC1 ZINC000346962412 223363475 /nfs/dbraw/zinc/36/34/75/223363475.db2.gz GEMHSKAUVNZADA-PWSUYJOCSA-N 1 2 319.327 1.327 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCCC[C@@H]2[C@H](O)C(F)(F)F)CCC1 ZINC000346962412 223363477 /nfs/dbraw/zinc/36/34/77/223363477.db2.gz GEMHSKAUVNZADA-PWSUYJOCSA-N 1 2 319.327 1.327 20 30 DDEDLO COCC[N@H+](CC(=O)NC1(C#N)CCC1)Cc1scnc1C ZINC000347138326 223368141 /nfs/dbraw/zinc/36/81/41/223368141.db2.gz XFVMXRBVSMDKSH-UHFFFAOYSA-N 1 2 322.434 1.462 20 30 DDEDLO COCC[N@@H+](CC(=O)NC1(C#N)CCC1)Cc1scnc1C ZINC000347138326 223368144 /nfs/dbraw/zinc/36/81/44/223368144.db2.gz XFVMXRBVSMDKSH-UHFFFAOYSA-N 1 2 322.434 1.462 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)ccc1F ZINC000264977515 204320342 /nfs/dbraw/zinc/32/03/42/204320342.db2.gz SLBJAYKTXINYNE-UHFFFAOYSA-N 1 2 323.393 1.556 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)Cc2cnn(C)c2)c(C#N)c1C ZINC000021308480 182271317 /nfs/dbraw/zinc/27/13/17/182271317.db2.gz XQCXIKYFFZNFTL-UHFFFAOYSA-N 1 2 301.350 1.572 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)Cc2cnn(C)c2)c(C#N)c1C ZINC000021308480 182271321 /nfs/dbraw/zinc/27/13/21/182271321.db2.gz XQCXIKYFFZNFTL-UHFFFAOYSA-N 1 2 301.350 1.572 20 30 DDEDLO C[C@@H](C(=O)NCCCCNc1cccc[nH+]1)n1cnc(C#N)n1 ZINC000336929211 249292241 /nfs/dbraw/zinc/29/22/41/249292241.db2.gz OIXPUQXDDVWXLF-LBPRGKRZSA-N 1 2 313.365 1.114 20 30 DDEDLO N#CCN(C(=O)CSCC[NH+]1CCOCC1)c1ccccc1 ZINC000159797467 197365878 /nfs/dbraw/zinc/36/58/78/197365878.db2.gz OTAGVLRVYUNNEC-UHFFFAOYSA-N 1 2 319.430 1.609 20 30 DDEDLO CO[C@H](C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1)C1CC1 ZINC000329958376 533037244 /nfs/dbraw/zinc/03/72/44/533037244.db2.gz QOLLYCBYROTZJX-DZGCQCFKSA-N 1 2 314.451 1.576 20 30 DDEDLO C[C@@H](NC(=O)C1CCCC1)C(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329706342 533099707 /nfs/dbraw/zinc/09/97/07/533099707.db2.gz JXQDUORNGZELPJ-KWCYVHTRSA-N 1 2 309.410 1.063 20 30 DDEDLO C[C@@H](NC(=O)C1CCCC1)C(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329706342 533099713 /nfs/dbraw/zinc/09/97/13/533099713.db2.gz JXQDUORNGZELPJ-KWCYVHTRSA-N 1 2 309.410 1.063 20 30 DDEDLO C[C@@H](C#N)C[N@H+](CCOc1ccc(S(N)(=O)=O)cc1)C1CC1 ZINC000338354643 533149427 /nfs/dbraw/zinc/14/94/27/533149427.db2.gz ZSIOVUVQSAYTPC-LBPRGKRZSA-N 1 2 323.418 1.337 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](CCOc1ccc(S(N)(=O)=O)cc1)C1CC1 ZINC000338354643 533149435 /nfs/dbraw/zinc/14/94/35/533149435.db2.gz ZSIOVUVQSAYTPC-LBPRGKRZSA-N 1 2 323.418 1.337 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)c1c(F)cc(C#N)cc1F ZINC000120578992 195194284 /nfs/dbraw/zinc/19/42/84/195194284.db2.gz NQJVHBRIYASBLD-SNVBAGLBSA-N 1 2 309.316 1.287 20 30 DDEDLO CCOC(=O)CC[NH+]1CCN(c2snc(C)c2C#N)CC1 ZINC000289474113 221000134 /nfs/dbraw/zinc/00/01/34/221000134.db2.gz NJDLNVKPAUAULA-UHFFFAOYSA-N 1 2 308.407 1.398 20 30 DDEDLO CO[C@@H]1CC[C@H](NC(=O)N[C@@H]2CCO[C@H](c3c[nH+]cn3C)C2)C1 ZINC000329978973 533431986 /nfs/dbraw/zinc/43/19/86/533431986.db2.gz IQPHLAMMPFXKTL-JLNYLFASSA-N 1 2 322.409 1.711 20 30 DDEDLO CO[C@@H]1C[NH+](Cc2nnc(-c3cccc(C#N)c3)o2)C[C@H]1OC ZINC000487969642 533479316 /nfs/dbraw/zinc/47/93/16/533479316.db2.gz GBIWOOPKHCVZDE-ZIAGYGMSSA-N 1 2 314.345 1.454 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNC(=O)c2oc(C)nc2C)C1 ZINC000330611586 533506133 /nfs/dbraw/zinc/50/61/33/533506133.db2.gz VURKEZOSHGFTFE-GFCCVEGCSA-N 1 2 309.366 1.481 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNC(=O)c2oc(C)nc2C)C1 ZINC000330611586 533506136 /nfs/dbraw/zinc/50/61/36/533506136.db2.gz VURKEZOSHGFTFE-GFCCVEGCSA-N 1 2 309.366 1.481 20 30 DDEDLO CN(C)C(=O)N1CC[NH+](CCOc2cccc(C#N)c2)CC1 ZINC000071948474 406869053 /nfs/dbraw/zinc/86/90/53/406869053.db2.gz PHYCUROPSQIDLN-UHFFFAOYSA-N 1 2 302.378 1.236 20 30 DDEDLO N#CCSCC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000041809788 407010098 /nfs/dbraw/zinc/01/00/98/407010098.db2.gz AXJICNMHPAXWQU-OAHLLOKOSA-N 1 2 319.430 1.433 20 30 DDEDLO Cc1cccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1O ZINC000078346188 407025623 /nfs/dbraw/zinc/02/56/23/407025623.db2.gz UQFFITRQCMPYTN-UHFFFAOYSA-N 1 2 317.389 1.389 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@@H]2C(=O)NC2CC2)c1 ZINC000045957421 407051972 /nfs/dbraw/zinc/05/19/72/407051972.db2.gz ONZMICMKUBSQMV-OAHLLOKOSA-N 1 2 312.373 1.240 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCC[C@@H]2C(=O)NC2CC2)c1 ZINC000045957421 407051974 /nfs/dbraw/zinc/05/19/74/407051974.db2.gz ONZMICMKUBSQMV-OAHLLOKOSA-N 1 2 312.373 1.240 20 30 DDEDLO C=CCOc1ccccc1C(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000047151678 407070887 /nfs/dbraw/zinc/07/08/87/407070887.db2.gz AZUUHHLRCQEUJT-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO CC(C)CNC(=O)C[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000080907198 407074064 /nfs/dbraw/zinc/07/40/64/407074064.db2.gz NTEQTGGPBXSWFG-KRWDZBQOSA-N 1 2 314.433 1.641 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)c2ccsc2)CC1 ZINC000054363888 407183816 /nfs/dbraw/zinc/18/38/16/407183816.db2.gz XNJMEOMHWLQVTR-CYBMUJFWSA-N 1 2 319.430 1.034 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)c2ccsc2)CC1 ZINC000054363888 407183818 /nfs/dbraw/zinc/18/38/18/407183818.db2.gz XNJMEOMHWLQVTR-CYBMUJFWSA-N 1 2 319.430 1.034 20 30 DDEDLO C=CC[N@H+](CCC(=O)NC1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000066559957 407254413 /nfs/dbraw/zinc/25/44/13/407254413.db2.gz KADKNEGKQSNEQK-AWEZNQCLSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CC[N@@H+](CCC(=O)NC1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000066559957 407254414 /nfs/dbraw/zinc/25/44/14/407254414.db2.gz KADKNEGKQSNEQK-AWEZNQCLSA-N 1 2 314.451 1.110 20 30 DDEDLO C[C@@H]1CC[C@@H](CC(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000126595831 407416729 /nfs/dbraw/zinc/41/67/29/407416729.db2.gz WKNIDSLVMKYZTM-HZPDHXFCSA-N 1 2 307.438 1.887 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000128561440 407548001 /nfs/dbraw/zinc/54/80/01/407548001.db2.gz GWRNCGYIBVPKOB-ZBFHGGJFSA-N 1 2 303.406 1.934 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3nc(N)ns3)CC2)s1 ZINC000271293280 407621442 /nfs/dbraw/zinc/62/14/42/407621442.db2.gz RTKCGRVGVOSQAJ-UHFFFAOYSA-N 1 2 306.420 1.376 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000178978014 407680545 /nfs/dbraw/zinc/68/05/45/407680545.db2.gz CYQDTXXWPWXFCG-GHMZBOCLSA-N 1 2 305.378 1.562 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)C[N@H+]1CCOc1cccc(C#N)c1 ZINC000116282551 407738968 /nfs/dbraw/zinc/73/89/68/407738968.db2.gz CBDOOMZSERKQAD-HUUCEWRRSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)C[N@@H+]1CCOc1cccc(C#N)c1 ZINC000116282551 407738973 /nfs/dbraw/zinc/73/89/73/407738973.db2.gz CBDOOMZSERKQAD-HUUCEWRRSA-N 1 2 304.346 1.199 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000131643417 407742328 /nfs/dbraw/zinc/74/23/28/407742328.db2.gz DRZIYULJBZSXQR-AWEZNQCLSA-N 1 2 304.390 1.276 20 30 DDEDLO COCC[C@@H](C)O[NH+]=C(N)COc1cccc(C(=O)OC)c1 ZINC000267997103 407857712 /nfs/dbraw/zinc/85/77/12/407857712.db2.gz LTBMARXROXRNMM-LLVKDONJSA-N 1 2 310.350 1.566 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(c2cnn(C)c2)CC1 ZINC000154204347 407993146 /nfs/dbraw/zinc/99/31/46/407993146.db2.gz FUKYXRCHCLJGJV-UHFFFAOYSA-N 1 2 311.389 1.623 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3ccccn3)CC2)c1[N+](=O)[O-] ZINC000268481265 408063654 /nfs/dbraw/zinc/06/36/54/408063654.db2.gz LLXPQOKQWSNRQZ-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO CC[N@H+](C[C@H](C)C#N)[C@@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000155320089 408152024 /nfs/dbraw/zinc/15/20/24/408152024.db2.gz OKSUHOMGYIVZNL-KGLIPLIRSA-N 1 2 316.405 1.882 20 30 DDEDLO CC[N@@H+](C[C@H](C)C#N)[C@@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000155320089 408152031 /nfs/dbraw/zinc/15/20/31/408152031.db2.gz OKSUHOMGYIVZNL-KGLIPLIRSA-N 1 2 316.405 1.882 20 30 DDEDLO COC(=O)c1occc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000176265835 408325920 /nfs/dbraw/zinc/32/59/20/408325920.db2.gz ABWYOMVGHRVOER-UHFFFAOYSA-N 1 2 321.377 1.114 20 30 DDEDLO CC1(C)C[NH+]=C(N2CCN(c3cccc(C#N)n3)CC2)S1 ZINC000269380742 408288231 /nfs/dbraw/zinc/28/82/31/408288231.db2.gz VAKXCGRBOJLJKU-UHFFFAOYSA-N 1 2 301.419 1.957 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000176156048 408299430 /nfs/dbraw/zinc/29/94/30/408299430.db2.gz BJMMAUUZKGBDKP-WBVHZDCISA-N 1 2 314.433 1.784 20 30 DDEDLO N#Cc1ccsc1NC(=O)CSCC[NH+]1CCOCC1 ZINC000160043191 408451498 /nfs/dbraw/zinc/45/14/98/408451498.db2.gz IMEXINAOHVOZPW-UHFFFAOYSA-N 1 2 311.432 1.624 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)c3ccc(O)cc3)CC2)cc1C#N ZINC000191247675 408392784 /nfs/dbraw/zinc/39/27/84/408392784.db2.gz BAVKRRPBEUDNLY-UHFFFAOYSA-N 1 2 324.384 1.560 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@](C)(C(=O)OC)C1 ZINC000177461087 408625677 /nfs/dbraw/zinc/62/56/77/408625677.db2.gz DCRNVVMSZSTVMH-WMLDXEAASA-N 1 2 316.357 1.657 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@](C)(C(=O)OC)C1 ZINC000177461087 408625681 /nfs/dbraw/zinc/62/56/81/408625681.db2.gz DCRNVVMSZSTVMH-WMLDXEAASA-N 1 2 316.357 1.657 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@H+](C)[C@@H](C)CC#N)cc1 ZINC000161835649 408644855 /nfs/dbraw/zinc/64/48/55/408644855.db2.gz IIPHUSAETYSQQD-JSGCOSHPSA-N 1 2 306.362 1.447 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@@H+](C)[C@@H](C)CC#N)cc1 ZINC000161835649 408644858 /nfs/dbraw/zinc/64/48/58/408644858.db2.gz IIPHUSAETYSQQD-JSGCOSHPSA-N 1 2 306.362 1.447 20 30 DDEDLO C[C@H](C[S@](C)=O)[N@H+](C)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000184572852 408645325 /nfs/dbraw/zinc/64/53/25/408645325.db2.gz ASTLFFACGRDKPJ-XFNZEKPQSA-N 1 2 318.402 1.807 20 30 DDEDLO C[C@H](C[S@](C)=O)[N@@H+](C)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000184572852 408645330 /nfs/dbraw/zinc/64/53/30/408645330.db2.gz ASTLFFACGRDKPJ-XFNZEKPQSA-N 1 2 318.402 1.807 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+](CC(N)=O)CC(C)C)c1C ZINC000177555706 408657275 /nfs/dbraw/zinc/65/72/75/408657275.db2.gz VERHLJUHNDKDBF-UHFFFAOYSA-N 1 2 305.422 1.917 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+](CC(N)=O)CC(C)C)c1C ZINC000177555706 408657283 /nfs/dbraw/zinc/65/72/83/408657283.db2.gz VERHLJUHNDKDBF-UHFFFAOYSA-N 1 2 305.422 1.917 20 30 DDEDLO C[C@@H]1[C@@H](C)[S@](=O)CC[N@@H+]1CCC(=O)Nc1ccccc1C#N ZINC000265484735 408667690 /nfs/dbraw/zinc/66/76/90/408667690.db2.gz APCWDMNKVULNHM-GIYNXVAASA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1[C@@H](C)[S@](=O)CC[N@H+]1CCC(=O)Nc1ccccc1C#N ZINC000265484735 408667697 /nfs/dbraw/zinc/66/76/97/408667697.db2.gz APCWDMNKVULNHM-GIYNXVAASA-N 1 2 319.430 1.728 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000194707803 408792651 /nfs/dbraw/zinc/79/26/51/408792651.db2.gz XMGGHJMWEZDILX-UHFFFAOYSA-N 1 2 306.369 1.845 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(OC)c([N+](=O)[O-])c2)C1=O ZINC000281340308 408882678 /nfs/dbraw/zinc/88/26/78/408882678.db2.gz SQOXXQLCJGWVDE-ZDUSSCGKSA-N 1 2 319.361 1.822 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(OC)c([N+](=O)[O-])c2)C1=O ZINC000281340308 408882680 /nfs/dbraw/zinc/88/26/80/408882680.db2.gz SQOXXQLCJGWVDE-ZDUSSCGKSA-N 1 2 319.361 1.822 20 30 DDEDLO C[C@@H](O)[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000167746627 408817910 /nfs/dbraw/zinc/81/79/10/408817910.db2.gz UZJKRIRWPGONCQ-OCCSQVGLSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H](O)[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000167746627 408817911 /nfs/dbraw/zinc/81/79/11/408817911.db2.gz UZJKRIRWPGONCQ-OCCSQVGLSA-N 1 2 308.403 1.035 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(c2cc(C)[nH]n2)CC1 ZINC000187175599 163007666 /nfs/dbraw/zinc/00/76/66/163007666.db2.gz QXJHBZYFDSTKFY-UHFFFAOYSA-N 1 2 311.389 1.921 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2cc(C)[nH]n2)CC1 ZINC000187176230 163007941 /nfs/dbraw/zinc/00/79/41/163007941.db2.gz XDXLJQGOGTVUDV-UHFFFAOYSA-N 1 2 311.389 1.921 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1NCC1([NH+]2CCOCC2)CC1 ZINC000277399676 408932900 /nfs/dbraw/zinc/93/29/00/408932900.db2.gz JTGLDZGPWVUUSF-UHFFFAOYSA-N 1 2 303.322 1.138 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(Cc3ccccc3)C[C@H]2C)C1=O ZINC000282039592 408977500 /nfs/dbraw/zinc/97/75/00/408977500.db2.gz QVUGLUFZSSNXJD-AEFFLSMTSA-N 1 2 313.445 1.980 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(Cc3ccccc3)C[C@H]2C)C1=O ZINC000282039592 408977503 /nfs/dbraw/zinc/97/75/03/408977503.db2.gz QVUGLUFZSSNXJD-AEFFLSMTSA-N 1 2 313.445 1.980 20 30 DDEDLO CN(C)c1noc(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000292087821 408978231 /nfs/dbraw/zinc/97/82/31/408978231.db2.gz FDVPEGJJUMZITO-LBPRGKRZSA-N 1 2 314.349 1.056 20 30 DDEDLO CN(C)c1noc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000292087821 408978232 /nfs/dbraw/zinc/97/82/32/408978232.db2.gz FDVPEGJJUMZITO-LBPRGKRZSA-N 1 2 314.349 1.056 20 30 DDEDLO NC(=[NH+]OCc1cccc(Br)n1)[C@H]1CCCO1 ZINC000292138837 408987894 /nfs/dbraw/zinc/98/78/94/408987894.db2.gz UBFWVOKOTKRCAI-SECBINFHSA-N 1 2 300.156 1.812 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000292454830 409029990 /nfs/dbraw/zinc/02/99/90/409029990.db2.gz ORNDBMBIJVRESX-HNNXBMFYSA-N 1 2 300.362 1.278 20 30 DDEDLO Cc1ccc(C(=O)N2CC[NH+](CCOCCC#N)CC2)cc1F ZINC000293521664 409130528 /nfs/dbraw/zinc/13/05/28/409130528.db2.gz OWHQAVLWPDYQKU-UHFFFAOYSA-N 1 2 319.380 1.822 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(C(=O)Nc3cccc(C#N)c3)CC2)[nH]1 ZINC000293255678 409077913 /nfs/dbraw/zinc/07/79/13/409077913.db2.gz RQWQBBTXPQQIEW-UHFFFAOYSA-N 1 2 324.388 1.836 20 30 DDEDLO C[C@H]1CN(c2ccc(CNC(=O)CC(C)(C)C#N)c[nH+]2)CCO1 ZINC000278385324 409079260 /nfs/dbraw/zinc/07/92/60/409079260.db2.gz IFVDCLNMJRRVLY-ZDUSSCGKSA-N 1 2 316.405 1.863 20 30 DDEDLO CCc1cc(N)nc(SCC(=O)N(CCC#N)CCC#N)[nH+]1 ZINC000279106770 409150081 /nfs/dbraw/zinc/15/00/81/409150081.db2.gz QQQYGBZEKGUIPQ-UHFFFAOYSA-N 1 2 318.406 1.369 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)NCC#Cc1ccccc1 ZINC000279146752 409157897 /nfs/dbraw/zinc/15/78/97/409157897.db2.gz CMOWVCOGCVGFGY-MRXNPFEDSA-N 1 2 315.417 1.400 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000279183046 409166075 /nfs/dbraw/zinc/16/60/75/409166075.db2.gz KKYACTDFFVHSSR-WMZOPIPTSA-N 1 2 318.417 1.331 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000279183046 409166077 /nfs/dbraw/zinc/16/60/77/409166077.db2.gz KKYACTDFFVHSSR-WMZOPIPTSA-N 1 2 318.417 1.331 20 30 DDEDLO COCCOCC[N@H+](C)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000289148312 409224898 /nfs/dbraw/zinc/22/48/98/409224898.db2.gz ZHDDTNAHHWFTOS-UHFFFAOYSA-N 1 2 316.361 1.703 20 30 DDEDLO COCCOCC[N@@H+](C)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000289148312 409224901 /nfs/dbraw/zinc/22/49/01/409224901.db2.gz ZHDDTNAHHWFTOS-UHFFFAOYSA-N 1 2 316.361 1.703 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CCC[C@H](CS(C)(=O)=O)C1 ZINC000293890156 409200384 /nfs/dbraw/zinc/20/03/84/409200384.db2.gz KHMWAZGSGLCWAN-HNNXBMFYSA-N 1 2 321.442 1.955 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CCC[C@H](CS(C)(=O)=O)C1 ZINC000293890156 409200387 /nfs/dbraw/zinc/20/03/87/409200387.db2.gz KHMWAZGSGLCWAN-HNNXBMFYSA-N 1 2 321.442 1.955 20 30 DDEDLO CN1CCN(c2cc(N[C@H]3CC[C@@H](CC#N)C3)[nH+]cn2)CC1=O ZINC000294942679 409306530 /nfs/dbraw/zinc/30/65/30/409306530.db2.gz IJENCZHGKDUGSH-STQMWFEESA-N 1 2 314.393 1.249 20 30 DDEDLO CN1CCN(c2cc(N[C@H]3CC[C@@H](CC#N)C3)nc[nH+]2)CC1=O ZINC000294942679 409306534 /nfs/dbraw/zinc/30/65/34/409306534.db2.gz IJENCZHGKDUGSH-STQMWFEESA-N 1 2 314.393 1.249 20 30 DDEDLO CCOc1ccccc1NC(=O)[C@@H](C)O[NH+]=C(N)[C@@H]1CCCO1 ZINC000284089166 409273272 /nfs/dbraw/zinc/27/32/72/409273272.db2.gz KUBRTBIQHJXKDS-RISCZKNCSA-N 1 2 321.377 1.880 20 30 DDEDLO Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)N1CCO[C@H](C#N)C1 ZINC000280592590 409372891 /nfs/dbraw/zinc/37/28/91/409372891.db2.gz TWAFVUIMPGLEKY-SNVBAGLBSA-N 1 2 320.299 1.374 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000285262421 409406820 /nfs/dbraw/zinc/40/68/20/409406820.db2.gz IAAKYLBXELJOFU-WBVHZDCISA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000285262421 409406827 /nfs/dbraw/zinc/40/68/27/409406827.db2.gz IAAKYLBXELJOFU-WBVHZDCISA-N 1 2 308.422 1.457 20 30 DDEDLO C[C@@H]1CCC[C@H](C)[N@H+]1CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000290450218 409406793 /nfs/dbraw/zinc/40/67/93/409406793.db2.gz VYHUEBAEADGTLU-BETUJISGSA-N 1 2 307.419 1.990 20 30 DDEDLO C[C@@H]1CCC[C@H](C)[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000290450218 409406801 /nfs/dbraw/zinc/40/68/01/409406801.db2.gz VYHUEBAEADGTLU-BETUJISGSA-N 1 2 307.419 1.990 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)c1F)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000315145875 164018615 /nfs/dbraw/zinc/01/86/15/164018615.db2.gz WPXWAXNDRRCQRX-CYBMUJFWSA-N 1 2 311.382 1.163 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)c1F)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000315145875 164018616 /nfs/dbraw/zinc/01/86/16/164018616.db2.gz WPXWAXNDRRCQRX-CYBMUJFWSA-N 1 2 311.382 1.163 20 30 DDEDLO C#CC[N@@H+](CCNS(=O)(=O)CCCOC)Cc1ccccc1 ZINC000285533393 409479829 /nfs/dbraw/zinc/47/98/29/409479829.db2.gz FMRWAWYZOLQMAP-UHFFFAOYSA-N 1 2 324.446 1.078 20 30 DDEDLO C#CC[N@H+](CCNS(=O)(=O)CCCOC)Cc1ccccc1 ZINC000285533393 409479833 /nfs/dbraw/zinc/47/98/33/409479833.db2.gz FMRWAWYZOLQMAP-UHFFFAOYSA-N 1 2 324.446 1.078 20 30 DDEDLO Cc1onc(CC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)c1C#N ZINC000354582214 409952582 /nfs/dbraw/zinc/95/25/82/409952582.db2.gz ZLGAYCUDDJCGQK-LBPRGKRZSA-N 1 2 311.345 1.187 20 30 DDEDLO CC(C)C(=O)N1CCCC[C@@H]1C(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000328764361 409973130 /nfs/dbraw/zinc/97/31/30/409973130.db2.gz ZZGWOQWKIWWJIO-ZIAGYGMSSA-N 1 2 311.426 1.311 20 30 DDEDLO CC(C)C(=O)N1CCCC[C@@H]1C(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000328764361 409973137 /nfs/dbraw/zinc/97/31/37/409973137.db2.gz ZZGWOQWKIWWJIO-ZIAGYGMSSA-N 1 2 311.426 1.311 20 30 DDEDLO CCN1CCN(C(=O)Nc2ccc(F)cn2)C[C@H]1c1[nH]cc[nH+]1 ZINC000328617250 409936959 /nfs/dbraw/zinc/93/69/59/409936959.db2.gz COCHMOAKCFZUNA-LBPRGKRZSA-N 1 2 318.356 1.868 20 30 DDEDLO COC[C@H](NC(=O)NC[C@H]1CCCn2cc(C)[nH+]c21)C1CC1 ZINC000328657848 409950853 /nfs/dbraw/zinc/95/08/53/409950853.db2.gz PWPDSOSEFXFUHY-KGLIPLIRSA-N 1 2 306.410 1.998 20 30 DDEDLO C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)[C@@H]2CCn3c[nH+]cc3C2)CC1=O ZINC000328921573 410008212 /nfs/dbraw/zinc/00/82/12/410008212.db2.gz CGJYGUMTCHYWBI-BYNQJWBRSA-N 1 2 302.378 1.411 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)[C@@H]1COCCN1C ZINC000328969683 410027632 /nfs/dbraw/zinc/02/76/32/410027632.db2.gz OHMRITSHQOEMBS-AWEZNQCLSA-N 1 2 320.393 1.145 20 30 DDEDLO Cc1noc([C@H]2CC[N@@H+]([C@@H](C)C(=O)Nc3ncccn3)C2)n1 ZINC000329045697 410070654 /nfs/dbraw/zinc/07/06/54/410070654.db2.gz PTCAJIMRGLKXOF-ONGXEEELSA-N 1 2 302.338 1.634 20 30 DDEDLO Cc1noc([C@H]2CC[N@H+]([C@@H](C)C(=O)Nc3ncccn3)C2)n1 ZINC000329045697 410070658 /nfs/dbraw/zinc/07/06/58/410070658.db2.gz PTCAJIMRGLKXOF-ONGXEEELSA-N 1 2 302.338 1.634 20 30 DDEDLO O=C(CS(=O)(=O)C1CCCC1)NCC[N@H+]1CC=CCC1 ZINC000329095991 410100617 /nfs/dbraw/zinc/10/06/17/410100617.db2.gz LAEZGBMHQQZRAI-UHFFFAOYSA-N 1 2 300.424 1.562 20 30 DDEDLO O=C(CS(=O)(=O)C1CCCC1)NCC[N@@H+]1CC=CCC1 ZINC000329095991 410100623 /nfs/dbraw/zinc/10/06/23/410100623.db2.gz LAEZGBMHQQZRAI-UHFFFAOYSA-N 1 2 300.424 1.562 20 30 DDEDLO Cc1c(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)cnn1CC(C)C ZINC000329105240 410102584 /nfs/dbraw/zinc/10/25/84/410102584.db2.gz DTWCQOWYDNVYCY-HUUCEWRRSA-N 1 2 321.425 1.408 20 30 DDEDLO Cc1c(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)cnn1CC(C)C ZINC000329105240 410102591 /nfs/dbraw/zinc/10/25/91/410102591.db2.gz DTWCQOWYDNVYCY-HUUCEWRRSA-N 1 2 321.425 1.408 20 30 DDEDLO C[C@H](C(=O)NC1CCCCC1)[N@@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000329198840 410161384 /nfs/dbraw/zinc/16/13/84/410161384.db2.gz VUCGBIQYSZRWTE-MBNYWOFBSA-N 1 2 314.451 1.783 20 30 DDEDLO C[C@H](C(=O)NC1CCCCC1)[N@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000329198840 410161393 /nfs/dbraw/zinc/16/13/93/410161393.db2.gz VUCGBIQYSZRWTE-MBNYWOFBSA-N 1 2 314.451 1.783 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@@H](C)c1nc[nH]n1)CCC2 ZINC000329245297 410191242 /nfs/dbraw/zinc/19/12/42/410191242.db2.gz AEJYOEZTBLGAIO-QWRGUYRKSA-N 1 2 303.370 1.452 20 30 DDEDLO Cc1nc(C(=O)NCC(C)(C)[NH+]2CCOCC2)ccc1C#N ZINC000298360053 410196028 /nfs/dbraw/zinc/19/60/28/410196028.db2.gz RUDXYGDULLUWTF-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO CN(C(=O)N[C@H](c1[nH+]ccn1C)C1CCOCC1)[C@H]1CCOC1 ZINC000329339298 410242777 /nfs/dbraw/zinc/24/27/77/410242777.db2.gz NOMAMFNKZNUFHH-KBPBESRZSA-N 1 2 322.409 1.523 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cccc2c1OCO2 ZINC000329458181 410307951 /nfs/dbraw/zinc/30/79/51/410307951.db2.gz OCZBMCOKQBYTDG-LLVKDONJSA-N 1 2 307.350 1.462 20 30 DDEDLO CNC(=O)C1CCC(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)CC1 ZINC000329594019 410374698 /nfs/dbraw/zinc/37/46/98/410374698.db2.gz HSVKZWVGFHZEKH-CLRIEMFWSA-N 1 2 324.425 1.060 20 30 DDEDLO CNC(=O)C1CCC(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)CC1 ZINC000329594019 410374708 /nfs/dbraw/zinc/37/47/08/410374708.db2.gz HSVKZWVGFHZEKH-CLRIEMFWSA-N 1 2 324.425 1.060 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)CC2(CCOCC2)O1 ZINC000333149305 410388304 /nfs/dbraw/zinc/38/83/04/410388304.db2.gz ANZUYDBRWZYGQP-CJNGLKHVSA-N 1 2 321.421 1.065 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)CC2(CCOCC2)O1 ZINC000333149305 410388310 /nfs/dbraw/zinc/38/83/10/410388310.db2.gz ANZUYDBRWZYGQP-CJNGLKHVSA-N 1 2 321.421 1.065 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@H](C)O[C@H](C(N)=O)C2)cc1Cl ZINC000355269501 410409225 /nfs/dbraw/zinc/40/92/25/410409225.db2.gz VEPUVPFLEZLZPP-NHYWBVRUSA-N 1 2 324.808 1.979 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@H](C)O[C@H](C(N)=O)C2)cc1Cl ZINC000355269501 410409232 /nfs/dbraw/zinc/40/92/32/410409232.db2.gz VEPUVPFLEZLZPP-NHYWBVRUSA-N 1 2 324.808 1.979 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(F)cc3C#N)C[C@H]21 ZINC000329950407 410495880 /nfs/dbraw/zinc/49/58/80/410495880.db2.gz HTUUKNCZYDCDBG-KGLIPLIRSA-N 1 2 304.325 1.244 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(F)cc3C#N)C[C@H]21 ZINC000329950407 410495883 /nfs/dbraw/zinc/49/58/83/410495883.db2.gz HTUUKNCZYDCDBG-KGLIPLIRSA-N 1 2 304.325 1.244 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000358602281 410492803 /nfs/dbraw/zinc/49/28/03/410492803.db2.gz JBIIZDLASLCEMH-HNNXBMFYSA-N 1 2 300.362 1.278 20 30 DDEDLO Cc1[nH+]ccn1C[C@@H]1CCCN1C(=O)N[C@@H]1CC[S@](=O)C1 ZINC000330038375 410524680 /nfs/dbraw/zinc/52/46/80/410524680.db2.gz ZXZQHDKWEYRVJM-BHVCSQLQSA-N 1 2 310.423 1.091 20 30 DDEDLO CC(C)(C)C[N@H+](CCC#N)CC1(O)CCS(=O)(=O)CC1 ZINC000336825698 410637102 /nfs/dbraw/zinc/63/71/02/410637102.db2.gz BMYBPVPOFKTXDE-UHFFFAOYSA-N 1 2 302.440 1.188 20 30 DDEDLO CC(C)(C)C[N@@H+](CCC#N)CC1(O)CCS(=O)(=O)CC1 ZINC000336825698 410637107 /nfs/dbraw/zinc/63/71/07/410637107.db2.gz BMYBPVPOFKTXDE-UHFFFAOYSA-N 1 2 302.440 1.188 20 30 DDEDLO CCn1nccc1C[N@H+](C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000352348531 410637362 /nfs/dbraw/zinc/63/73/62/410637362.db2.gz ATXYBEKCXYPREM-BBRMVZONSA-N 1 2 305.426 1.778 20 30 DDEDLO CCn1nccc1C[N@@H+](C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000352348531 410637370 /nfs/dbraw/zinc/63/73/70/410637370.db2.gz ATXYBEKCXYPREM-BBRMVZONSA-N 1 2 305.426 1.778 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cnn(C)c2C(F)(F)F)C1=O ZINC000337151217 410658885 /nfs/dbraw/zinc/65/88/85/410658885.db2.gz JPRXIULGLQYMMH-LLVKDONJSA-N 1 2 316.327 1.658 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cnn(C)c2C(F)(F)F)C1=O ZINC000337151217 410658887 /nfs/dbraw/zinc/65/88/87/410658887.db2.gz JPRXIULGLQYMMH-LLVKDONJSA-N 1 2 316.327 1.658 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)NCCc1[nH]cc[nH+]1 ZINC000359696696 410821032 /nfs/dbraw/zinc/82/10/32/410821032.db2.gz ZYFDVFPBWPUHMY-UHFFFAOYSA-N 1 2 300.318 1.028 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)N[C@]1(C#N)CCC[C@@H](C)C1 ZINC000330790467 410893768 /nfs/dbraw/zinc/89/37/68/410893768.db2.gz BZHSZLOQNXSFGS-CZUORRHYSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)N[C@]1(C#N)CCC[C@@H](C)C1 ZINC000330790467 410893772 /nfs/dbraw/zinc/89/37/72/410893772.db2.gz BZHSZLOQNXSFGS-CZUORRHYSA-N 1 2 308.426 1.031 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)[C@]1(CO)CCOC1 ZINC000359829019 410905381 /nfs/dbraw/zinc/90/53/81/410905381.db2.gz FCKKSRNAXYNKCK-HNNXBMFYSA-N 1 2 323.780 1.233 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)[C@]1(CO)CCOC1 ZINC000359829019 410905387 /nfs/dbraw/zinc/90/53/87/410905387.db2.gz FCKKSRNAXYNKCK-HNNXBMFYSA-N 1 2 323.780 1.233 20 30 DDEDLO CN(CC(C)(C)C#N)C(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000353297982 410909699 /nfs/dbraw/zinc/90/96/99/410909699.db2.gz UQOCGGCJNJNYEH-UHFFFAOYSA-N 1 2 316.405 1.536 20 30 DDEDLO CC(C)(C)OC(=O)C[C@](C)(C#N)C(=O)NCCCn1cc[nH+]c1 ZINC000356230930 410910303 /nfs/dbraw/zinc/91/03/03/410910303.db2.gz WTGNBBSLDNFNSM-MRXNPFEDSA-N 1 2 320.393 1.651 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1)OCC ZINC000353322630 410920176 /nfs/dbraw/zinc/92/01/76/410920176.db2.gz LQOYUMSOAQIWMT-OAHLLOKOSA-N 1 2 318.421 1.805 20 30 DDEDLO C[C@@H](CNC(=O)NCCc1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000344266912 411062515 /nfs/dbraw/zinc/06/25/15/411062515.db2.gz WHHKERKGBKVTTR-AWEZNQCLSA-N 1 2 316.405 1.121 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000331234703 411032941 /nfs/dbraw/zinc/03/29/41/411032941.db2.gz GPYVWLXZMQWSIX-UHFFFAOYSA-N 1 2 309.373 1.548 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)NCCOc2ccc(F)cc2)CC1 ZINC000356444189 411033149 /nfs/dbraw/zinc/03/31/49/411033149.db2.gz GEJJHRDLMITSPF-UHFFFAOYSA-N 1 2 319.380 1.946 20 30 DDEDLO Cc1n[nH]c(C2CC[NH+]([C@H](C)C(=O)N(C)CCC#N)CC2)n1 ZINC000366002170 418415482 /nfs/dbraw/zinc/41/54/82/418415482.db2.gz AZXYJUBUSSHHLH-LLVKDONJSA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nc(C2CC[NH+]([C@H](C)C(=O)N(C)CCC#N)CC2)n[nH]1 ZINC000366002170 418415483 /nfs/dbraw/zinc/41/54/83/418415483.db2.gz AZXYJUBUSSHHLH-LLVKDONJSA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nnc(C2CC[NH+]([C@H](C)C(=O)N(C)CCC#N)CC2)[nH]1 ZINC000366002170 418415484 /nfs/dbraw/zinc/41/54/84/418415484.db2.gz AZXYJUBUSSHHLH-LLVKDONJSA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1cn2c([nH+]1)CN([C@H](C)C(=O)Nc1cccc(C#N)c1)CC2 ZINC000373308692 418419709 /nfs/dbraw/zinc/41/97/09/418419709.db2.gz BNRVDSWNRGCOHQ-CYBMUJFWSA-N 1 2 309.373 1.906 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@H](CN2CC[NH+](C)CC2)C1 ZINC000373350174 418423438 /nfs/dbraw/zinc/42/34/38/418423438.db2.gz ZBHWLQKJOCIKQI-QGZVFWFLSA-N 1 2 305.466 1.666 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCc2ncnc(N(C)C)c2C1 ZINC000366512519 418483520 /nfs/dbraw/zinc/48/35/20/418483520.db2.gz JCDAFHPNVRRULZ-UHFFFAOYSA-N 1 2 323.400 1.981 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCc2ncnc(N(C)C)c2C1 ZINC000366512519 418483521 /nfs/dbraw/zinc/48/35/21/418483521.db2.gz JCDAFHPNVRRULZ-UHFFFAOYSA-N 1 2 323.400 1.981 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(C(=O)NC2CCCCC2)CC1 ZINC000192631347 222131175 /nfs/dbraw/zinc/13/11/75/222131175.db2.gz WNVZSRWOLRMTEO-CQSZACIVSA-N 1 2 322.453 1.337 20 30 DDEDLO C=CCOC[C@@H]([NH2+][C@H]1CCc2ccc(OC)cc2C1)C(=O)OC ZINC000361105399 418584501 /nfs/dbraw/zinc/58/45/01/418584501.db2.gz BICZZWPVJSFLRY-DOTOQJQBSA-N 1 2 319.401 1.886 20 30 DDEDLO N#CC1(NC(=O)CN2CCC[C@H]2c2[nH]cc[nH+]2)CCCCC1 ZINC000375001300 418586188 /nfs/dbraw/zinc/58/61/88/418586188.db2.gz AWGZHABFVUWRLC-ZDUSSCGKSA-N 1 2 301.394 1.889 20 30 DDEDLO Cc1cc(C#N)cc(N2CCC(N3CC[N@@H+](C)CC3=O)CC2)n1 ZINC000367339322 418586877 /nfs/dbraw/zinc/58/68/77/418586877.db2.gz KZIPHCXNADNWMS-UHFFFAOYSA-N 1 2 313.405 1.005 20 30 DDEDLO Cc1cc(C#N)cc(N2CCC(N3CC[N@H+](C)CC3=O)CC2)n1 ZINC000367339322 418586879 /nfs/dbraw/zinc/58/68/79/418586879.db2.gz KZIPHCXNADNWMS-UHFFFAOYSA-N 1 2 313.405 1.005 20 30 DDEDLO CC(C)CO[C@H](C)C(N)=[NH+]OCC(=O)NCc1ccccc1 ZINC000266247205 222361103 /nfs/dbraw/zinc/36/11/03/222361103.db2.gz ZDVKAJGCWSVIKV-CYBMUJFWSA-N 1 2 307.394 1.653 20 30 DDEDLO CC[N@H+](CCC#N)[C@H](C)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000267111847 222373224 /nfs/dbraw/zinc/37/32/24/222373224.db2.gz XVCHEOKQZGWRDB-KGLIPLIRSA-N 1 2 317.389 1.567 20 30 DDEDLO CC[N@@H+](CCC#N)[C@H](C)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000267111847 222373227 /nfs/dbraw/zinc/37/32/27/222373227.db2.gz XVCHEOKQZGWRDB-KGLIPLIRSA-N 1 2 317.389 1.567 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CC[C@@H](n2cncn2)C1 ZINC000375315958 418628420 /nfs/dbraw/zinc/62/84/20/418628420.db2.gz BXQNGJNIDINRQU-GXTWGEPZSA-N 1 2 310.361 1.424 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CC[C@@H](n2cncn2)C1 ZINC000375315958 418628423 /nfs/dbraw/zinc/62/84/23/418628423.db2.gz BXQNGJNIDINRQU-GXTWGEPZSA-N 1 2 310.361 1.424 20 30 DDEDLO N#Cc1cc(Cl)ccc1S(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC000377728324 418714327 /nfs/dbraw/zinc/71/43/27/418714327.db2.gz WJPLODUYIBSUTI-UHFFFAOYSA-N 1 2 322.777 1.613 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)c1 ZINC000377951436 418717573 /nfs/dbraw/zinc/71/75/73/418717573.db2.gz BKXLIGVZEMUVIY-ZDUSSCGKSA-N 1 2 308.341 1.003 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)c1 ZINC000377951436 418717575 /nfs/dbraw/zinc/71/75/75/418717575.db2.gz BKXLIGVZEMUVIY-ZDUSSCGKSA-N 1 2 308.341 1.003 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000375729222 418677738 /nfs/dbraw/zinc/67/77/38/418677738.db2.gz DHSTVGVQRGUTSS-ARFHVFGLSA-N 1 2 308.422 1.291 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(c2c(C#N)cccc2C#N)CCN1C ZINC000376021281 418691481 /nfs/dbraw/zinc/69/14/81/418691481.db2.gz KNMGIPYMCTYZOK-HNNXBMFYSA-N 1 2 306.373 1.657 20 30 DDEDLO N#C[C@@H]1C[N@@H+](Cc2cncnc2)C[C@]12c1ccccc1NC2=O ZINC000376641309 418699765 /nfs/dbraw/zinc/69/97/65/418699765.db2.gz IXESCRCGVCRPIS-CXAGYDPISA-N 1 2 305.341 1.322 20 30 DDEDLO N#C[C@@H]1C[N@H+](Cc2cncnc2)C[C@]12c1ccccc1NC2=O ZINC000376641309 418699768 /nfs/dbraw/zinc/69/97/68/418699768.db2.gz IXESCRCGVCRPIS-CXAGYDPISA-N 1 2 305.341 1.322 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N1CCC(C#N)(c2ccccn2)CC1 ZINC000376753423 418700867 /nfs/dbraw/zinc/70/08/67/418700867.db2.gz OKKPLRVZXCUFEY-UHFFFAOYSA-N 1 2 319.372 1.820 20 30 DDEDLO N#CCOc1ccc(/C=C/C(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC000368198803 418703320 /nfs/dbraw/zinc/70/33/20/418703320.db2.gz CUORCBUCOOCESZ-ZZXKWVIFSA-N 1 2 308.341 1.841 20 30 DDEDLO N#Cc1ccccc1N1CCC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC000369134526 418729221 /nfs/dbraw/zinc/72/92/21/418729221.db2.gz NODPBPKCKPDHLG-MRXNPFEDSA-N 1 2 323.400 1.999 20 30 DDEDLO N#Cc1ccccc1N1CCC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC000369134526 418729223 /nfs/dbraw/zinc/72/92/23/418729223.db2.gz NODPBPKCKPDHLG-MRXNPFEDSA-N 1 2 323.400 1.999 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1ccc2c(c1)OCCCO2 ZINC000361996622 418736533 /nfs/dbraw/zinc/73/65/33/418736533.db2.gz OOYLNZGTEWKBEN-UHFFFAOYSA-N 1 2 320.389 1.921 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1ccc2c(c1)OCCCO2 ZINC000361996622 418736535 /nfs/dbraw/zinc/73/65/35/418736535.db2.gz OOYLNZGTEWKBEN-UHFFFAOYSA-N 1 2 320.389 1.921 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccc(OC)cc1 ZINC000364319987 418775135 /nfs/dbraw/zinc/77/51/35/418775135.db2.gz UZXSEVLAXAHFCU-WFASDCNBSA-N 1 2 312.373 1.839 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@@H](C)c1nc(-c2ccc(F)cc2)no1 ZINC000368812513 418725729 /nfs/dbraw/zinc/72/57/29/418725729.db2.gz RDZBZKJVRYLQHT-QWRGUYRKSA-N 1 2 316.336 1.664 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)s2)C[C@@H]1C ZINC000408408152 418801831 /nfs/dbraw/zinc/80/18/31/418801831.db2.gz YQGDHUTUNIMXQD-QWRGUYRKSA-N 1 2 313.448 1.723 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)s2)C[C@@H]1C ZINC000408408152 418801833 /nfs/dbraw/zinc/80/18/33/418801833.db2.gz YQGDHUTUNIMXQD-QWRGUYRKSA-N 1 2 313.448 1.723 20 30 DDEDLO CC#CCCCC(=O)N1CCCN(Cc2[nH+]ccn2C)CC1 ZINC000372008028 418831306 /nfs/dbraw/zinc/83/13/06/418831306.db2.gz CFJYKXRULHEEHP-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](Cc2nccn2C)CC1 ZINC000372008028 418831308 /nfs/dbraw/zinc/83/13/08/418831308.db2.gz CFJYKXRULHEEHP-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](Cc2nccn2C)CC1 ZINC000372008028 418831310 /nfs/dbraw/zinc/83/13/10/418831310.db2.gz CFJYKXRULHEEHP-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(c2nccc(C)c2C#N)CC1 ZINC000371693529 418809813 /nfs/dbraw/zinc/80/98/13/418809813.db2.gz QLCBEPRDPILTHQ-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(c2nccc(C)c2C#N)CC1 ZINC000371693529 418809814 /nfs/dbraw/zinc/80/98/14/418809814.db2.gz QLCBEPRDPILTHQ-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO Cc1c(Cl)cnc(NC[C@H]2C[N@@H+](C3CC3)CCO2)c1C#N ZINC000371695292 418810185 /nfs/dbraw/zinc/81/01/85/418810185.db2.gz WTKARYWNLGZGST-LBPRGKRZSA-N 1 2 306.797 2.190 20 30 DDEDLO Cc1c(Cl)cnc(NC[C@H]2C[N@H+](C3CC3)CCO2)c1C#N ZINC000371695292 418810186 /nfs/dbraw/zinc/81/01/86/418810186.db2.gz WTKARYWNLGZGST-LBPRGKRZSA-N 1 2 306.797 2.190 20 30 DDEDLO Cc1cc(NCC(=O)N(C)CCc2ccccn2)c(C#N)c[nH+]1 ZINC000425223338 228393953 /nfs/dbraw/zinc/39/39/53/228393953.db2.gz JQOLXMODOPHKCS-UHFFFAOYSA-N 1 2 309.373 1.192 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@H](C2CCC2)C1 ZINC000411860969 419443997 /nfs/dbraw/zinc/44/39/97/419443997.db2.gz MPVNZVXZZKIPFO-UKPHBRMFSA-N 1 2 307.438 1.930 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@H](C2CCC2)C1 ZINC000411860969 419444017 /nfs/dbraw/zinc/44/40/17/419444017.db2.gz MPVNZVXZZKIPFO-UKPHBRMFSA-N 1 2 307.438 1.930 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)CNc2ccc(C#N)cc2)CCO1 ZINC000428059295 419803012 /nfs/dbraw/zinc/80/30/12/419803012.db2.gz MSGFOTCQJFCWMQ-MRXNPFEDSA-N 1 2 316.405 1.197 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)CNc2ccc(C#N)cc2)CCO1 ZINC000428059295 419803019 /nfs/dbraw/zinc/80/30/19/419803019.db2.gz MSGFOTCQJFCWMQ-MRXNPFEDSA-N 1 2 316.405 1.197 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)Cc1ccc(C#N)cc1 ZINC000431579874 229042757 /nfs/dbraw/zinc/04/27/57/229042757.db2.gz RDSVJLUXUAWCGZ-UHFFFAOYSA-N 1 2 317.370 1.581 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+][C@H](C)c1ncc(C(=O)OC)s1 ZINC000425478044 420395130 /nfs/dbraw/zinc/39/51/30/420395130.db2.gz BORKPCISIYMSMN-LLVKDONJSA-N 1 2 323.418 1.781 20 30 DDEDLO CCOC(=O)C1(O)CC[NH+](Cc2cc(C#N)ccc2OC)CC1 ZINC000438327585 420450434 /nfs/dbraw/zinc/45/04/34/420450434.db2.gz XLEFXIHTHFZZPV-UHFFFAOYSA-N 1 2 318.373 1.457 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1cnc(C(C)C)nc1 ZINC000440472569 420579194 /nfs/dbraw/zinc/57/91/94/420579194.db2.gz XDYCQYVCEFEHKS-UHFFFAOYSA-N 1 2 305.382 1.044 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1cnc(C(C)C)nc1 ZINC000440472569 420579196 /nfs/dbraw/zinc/57/91/96/420579196.db2.gz XDYCQYVCEFEHKS-UHFFFAOYSA-N 1 2 305.382 1.044 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)C=Cc3c[nH]c[nH+]3)CC2)nc1 ZINC000492816243 420674866 /nfs/dbraw/zinc/67/48/66/420674866.db2.gz ZRJOMUMFAAWVFA-DUXPYHPUSA-N 1 2 308.345 1.038 20 30 DDEDLO CC[N@H+](Cc1ccc(C#N)cc1OC(F)F)[C@@H]1CCNC1=O ZINC000440969475 420624432 /nfs/dbraw/zinc/62/44/32/420624432.db2.gz PWGJFSKNNBNXNK-GFCCVEGCSA-N 1 2 309.316 1.870 20 30 DDEDLO CC[N@@H+](Cc1ccc(C#N)cc1OC(F)F)[C@@H]1CCNC1=O ZINC000440969475 420624435 /nfs/dbraw/zinc/62/44/35/420624435.db2.gz PWGJFSKNNBNXNK-GFCCVEGCSA-N 1 2 309.316 1.870 20 30 DDEDLO COCC[NH+](CCOC)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000441255229 420643040 /nfs/dbraw/zinc/64/30/40/420643040.db2.gz GTRTVSMUCUCLNJ-UHFFFAOYSA-N 1 2 316.361 1.703 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C(F)(F)F)n[nH]2)C1=O ZINC000443065815 420742306 /nfs/dbraw/zinc/74/23/06/420742306.db2.gz GCQNZSAFECOTLL-SNVBAGLBSA-N 1 2 302.300 1.647 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C(F)(F)F)n[nH]2)C1=O ZINC000443065815 420742307 /nfs/dbraw/zinc/74/23/07/420742307.db2.gz GCQNZSAFECOTLL-SNVBAGLBSA-N 1 2 302.300 1.647 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000448611396 420872690 /nfs/dbraw/zinc/87/26/90/420872690.db2.gz CYGVUJAFRYLSAF-UHFFFAOYSA-N 1 2 309.373 1.548 20 30 DDEDLO N#CCc1ccc(NC(=O)NCC[NH+]2CCOCC2)c(Cl)c1 ZINC000455755929 421058886 /nfs/dbraw/zinc/05/88/86/421058886.db2.gz RZDWRKCPUUNDFI-UHFFFAOYSA-N 1 2 322.796 1.860 20 30 DDEDLO C=C(C)CCNC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000456118922 421104196 /nfs/dbraw/zinc/10/41/96/421104196.db2.gz IXMNKHCGIFOPDF-UHFFFAOYSA-N 1 2 324.446 1.718 20 30 DDEDLO CC[C@@H](CC#N)[NH2+][C@@H](C)C(=O)Nc1cccc(C(=O)NC)c1 ZINC000514736809 421450057 /nfs/dbraw/zinc/45/00/57/421450057.db2.gz GXVAWVMWENQMJE-AAEUAGOBSA-N 1 2 302.378 1.655 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cccc2c1OCC2(C)C ZINC000563220497 421473908 /nfs/dbraw/zinc/47/39/08/421473908.db2.gz PFZKOXUCPAQRQX-KRWDZBQOSA-N 1 2 301.390 1.930 20 30 DDEDLO CNC(=O)NC[C@@H]1C[N@@H+]([C@@H](C)c2cccc(C#N)c2)CCO1 ZINC000528630611 421502421 /nfs/dbraw/zinc/50/24/21/421502421.db2.gz TXHZRSYALYDILL-SWLSCSKDSA-N 1 2 302.378 1.249 20 30 DDEDLO CNC(=O)NC[C@@H]1C[N@H+]([C@@H](C)c2cccc(C#N)c2)CCO1 ZINC000528630611 421502426 /nfs/dbraw/zinc/50/24/26/421502426.db2.gz TXHZRSYALYDILL-SWLSCSKDSA-N 1 2 302.378 1.249 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H]1COc2ccc(Cl)cc2C1 ZINC000564872317 421594073 /nfs/dbraw/zinc/59/40/73/421594073.db2.gz ODZQKUZUFQDGGI-LRDDRELGSA-N 1 2 321.808 1.851 20 30 DDEDLO COc1cccc2c1[C@@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)CC2 ZINC000566307901 421604500 /nfs/dbraw/zinc/60/45/00/421604500.db2.gz BUOSAAPETNMZOR-GUYCJALGSA-N 1 2 301.390 1.685 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C(=O)OCC ZINC000567967783 421616449 /nfs/dbraw/zinc/61/64/49/421616449.db2.gz UCLRAMIACKIITQ-GXTWGEPZSA-N 1 2 305.378 1.460 20 30 DDEDLO CC[N@@H+]1CCc2nc3ccccc3c(C(=O)NCC#CCO)c2C1 ZINC000568106798 421617604 /nfs/dbraw/zinc/61/76/04/421617604.db2.gz WPAWDIVKTYHMSI-UHFFFAOYSA-N 1 2 323.396 1.338 20 30 DDEDLO CC[N@H+]1CCc2nc3ccccc3c(C(=O)NCC#CCO)c2C1 ZINC000568106798 421617608 /nfs/dbraw/zinc/61/76/08/421617608.db2.gz WPAWDIVKTYHMSI-UHFFFAOYSA-N 1 2 323.396 1.338 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000569928743 421628573 /nfs/dbraw/zinc/62/85/73/421628573.db2.gz NJZLCUWVKKZTMG-HOCLYGCPSA-N 1 2 318.421 1.425 20 30 DDEDLO CC1(C)C[C@@]1(C#N)NC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000565398878 421598028 /nfs/dbraw/zinc/59/80/28/421598028.db2.gz WCGHADMFIPINPH-KRWDZBQOSA-N 1 2 314.389 1.336 20 30 DDEDLO C=CCN(C(=O)Nc1cc(C)[nH+]cc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000538327866 421738893 /nfs/dbraw/zinc/73/88/93/421738893.db2.gz DLQBNDXYQJCJJP-CYBMUJFWSA-N 1 2 323.418 1.327 20 30 DDEDLO N#Cc1cccc(CS(=O)(=O)NCCCCn2cc[nH+]c2)c1 ZINC000538279293 421738947 /nfs/dbraw/zinc/73/89/47/421738947.db2.gz GTGIASPXJVRLKA-UHFFFAOYSA-N 1 2 318.402 1.655 20 30 DDEDLO Cc1nc(-c2cccc(OC[C@H](O)C[N@H+](C)CCC#N)c2)no1 ZINC000556882126 421749028 /nfs/dbraw/zinc/74/90/28/421749028.db2.gz JXJOYCDOVKPWFS-CQSZACIVSA-N 1 2 316.361 1.630 20 30 DDEDLO Cc1nc(-c2cccc(OC[C@H](O)C[N@@H+](C)CCC#N)c2)no1 ZINC000556882126 421749032 /nfs/dbraw/zinc/74/90/32/421749032.db2.gz JXJOYCDOVKPWFS-CQSZACIVSA-N 1 2 316.361 1.630 20 30 DDEDLO CN1C[C@H]([N@H+](C)Cc2c(C#N)cccc2[N+](=O)[O-])CCC1=O ZINC000572055207 421761927 /nfs/dbraw/zinc/76/19/27/421761927.db2.gz GJYSDGRFDFQUDI-GFCCVEGCSA-N 1 2 302.334 1.519 20 30 DDEDLO CN1C[C@H]([N@@H+](C)Cc2c(C#N)cccc2[N+](=O)[O-])CCC1=O ZINC000572055207 421761929 /nfs/dbraw/zinc/76/19/29/421761929.db2.gz GJYSDGRFDFQUDI-GFCCVEGCSA-N 1 2 302.334 1.519 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNc2nc3c(cc2C#N)CCC3)CCO1 ZINC000572576464 421801175 /nfs/dbraw/zinc/80/11/75/421801175.db2.gz YEYZRRNPOSABTB-OAHLLOKOSA-N 1 2 300.406 1.965 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNc2nc3c(cc2C#N)CCC3)CCO1 ZINC000572576464 421801177 /nfs/dbraw/zinc/80/11/77/421801177.db2.gz YEYZRRNPOSABTB-OAHLLOKOSA-N 1 2 300.406 1.965 20 30 DDEDLO C=CC(C)(C)CCNC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000637321841 422141819 /nfs/dbraw/zinc/14/18/19/422141819.db2.gz YSSVOSITXYVRTA-UHFFFAOYSA-N 1 2 319.453 1.850 20 30 DDEDLO C=CCc1ccc(OC[C@@H](O)C[NH2+]Cc2ccn[nH]2)c(OC)c1 ZINC000575248136 422281346 /nfs/dbraw/zinc/28/13/46/422281346.db2.gz BQOZJDKEAMARGP-HNNXBMFYSA-N 1 2 317.389 1.676 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000576366051 422372924 /nfs/dbraw/zinc/37/29/24/422372924.db2.gz IPERLLDGSXITAO-RQJABVFESA-N 1 2 304.394 1.439 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000576366051 422372929 /nfs/dbraw/zinc/37/29/29/422372929.db2.gz IPERLLDGSXITAO-RQJABVFESA-N 1 2 304.394 1.439 20 30 DDEDLO Cc1c(Cl)cnc(NCC[N@H+]2C[C@H](C)OC[C@H]2C)c1C#N ZINC000596433316 422376498 /nfs/dbraw/zinc/37/64/98/422376498.db2.gz UBUXGELQWFMUMW-MNOVXSKESA-N 1 2 308.813 2.436 20 30 DDEDLO Cc1c(Cl)cnc(NCC[N@@H+]2C[C@H](C)OC[C@H]2C)c1C#N ZINC000596433316 422376501 /nfs/dbraw/zinc/37/65/01/422376501.db2.gz UBUXGELQWFMUMW-MNOVXSKESA-N 1 2 308.813 2.436 20 30 DDEDLO C[C@H](O)[C@@H]1CCN(c2cc(NCC3(CC#N)CC3)[nH+]cn2)C1 ZINC000575773923 422324522 /nfs/dbraw/zinc/32/45/22/422324522.db2.gz HFKXGOFJCZCLKD-QWHCGFSZSA-N 1 2 301.394 1.789 20 30 DDEDLO C[C@H](O)[C@@H]1CCN(c2cc(NCC3(CC#N)CC3)nc[nH+]2)C1 ZINC000575773923 422324525 /nfs/dbraw/zinc/32/45/25/422324525.db2.gz HFKXGOFJCZCLKD-QWHCGFSZSA-N 1 2 301.394 1.789 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@H+](C)[C@H]2CCC[C@@H]2C#N)cc1 ZINC000602982377 422440470 /nfs/dbraw/zinc/44/04/70/422440470.db2.gz RQRIDRUMPAVPPX-HIFRSBDPSA-N 1 2 307.419 1.719 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@@H+](C)[C@H]2CCC[C@@H]2C#N)cc1 ZINC000602982377 422440474 /nfs/dbraw/zinc/44/04/74/422440474.db2.gz RQRIDRUMPAVPPX-HIFRSBDPSA-N 1 2 307.419 1.719 20 30 DDEDLO C=CCOCCNC(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000623870579 422541773 /nfs/dbraw/zinc/54/17/73/422541773.db2.gz FRHQJHGBFXFHRG-UHFFFAOYSA-N 1 2 321.425 1.822 20 30 DDEDLO C[C@H]1C[C@H](NS(=O)(=O)c2ccc(C#N)cc2)c2[nH+]ccn21 ZINC000578716171 422628866 /nfs/dbraw/zinc/62/88/66/422628866.db2.gz VESAMTOURWZYBW-GWCFXTLKSA-N 1 2 302.359 1.739 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccccc2F)nn1 ZINC000640766986 423174622 /nfs/dbraw/zinc/17/46/22/423174622.db2.gz GYVWGQBSRGDCJQ-UHFFFAOYSA-N 1 2 301.325 1.169 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)c2nc(CCCC)no2)nn1 ZINC000640938096 423287483 /nfs/dbraw/zinc/28/74/83/423287483.db2.gz ZDJOCVZYOJMDRZ-LBPRGKRZSA-N 1 2 302.382 1.726 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000649238924 423692970 /nfs/dbraw/zinc/69/29/70/423692970.db2.gz PJCAILDQOPLPHJ-CVEARBPZSA-N 1 2 311.385 1.822 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000651969772 423757242 /nfs/dbraw/zinc/75/72/42/423757242.db2.gz GKHDRONTQLYSLG-SUMWQHHRSA-N 1 2 303.406 1.859 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+](C)C[C@H]2COCCO2)c1C ZINC000661607148 423968007 /nfs/dbraw/zinc/96/80/07/423968007.db2.gz PZGYQPYRAWOCHU-HNNXBMFYSA-N 1 2 306.406 1.821 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+](C)C[C@H]2COCCO2)c1C ZINC000661607148 423968023 /nfs/dbraw/zinc/96/80/23/423968023.db2.gz PZGYQPYRAWOCHU-HNNXBMFYSA-N 1 2 306.406 1.821 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCc1ccc(N(CC)CC)[nH+]c1 ZINC000645155295 424197897 /nfs/dbraw/zinc/19/78/97/424197897.db2.gz XMZKVYQFVMPDHM-OAHLLOKOSA-N 1 2 318.421 1.501 20 30 DDEDLO C=CCN(C(=O)NCC[N@@H+]1CCOC(C)(C)C1)[C@H](C)COC ZINC000663898958 424266389 /nfs/dbraw/zinc/26/63/89/424266389.db2.gz JKXJHTPAPILYRR-CQSZACIVSA-N 1 2 313.442 1.330 20 30 DDEDLO C=CCN(C(=O)NCC[N@H+]1CCOC(C)(C)C1)[C@H](C)COC ZINC000663898958 424266396 /nfs/dbraw/zinc/26/63/96/424266396.db2.gz JKXJHTPAPILYRR-CQSZACIVSA-N 1 2 313.442 1.330 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2ccc(OC)nc2OC)nn1 ZINC000657470466 424365154 /nfs/dbraw/zinc/36/51/54/424365154.db2.gz QBULLEXLZZPNMY-UHFFFAOYSA-N 1 2 303.366 1.556 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2cc3ccccc3n(C)c2=O)nn1 ZINC000657472001 424366134 /nfs/dbraw/zinc/36/61/34/424366134.db2.gz LFKWQPSDCQKLEV-UHFFFAOYSA-N 1 2 323.400 1.996 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1csc(CC(=O)Nc2ccccc2)n1 ZINC000662167808 424450611 /nfs/dbraw/zinc/45/06/11/424450611.db2.gz IGAOQWDHNMTMMI-GFCCVEGCSA-N 1 2 317.414 1.961 20 30 DDEDLO C=C(CNC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2)c1ccccc1 ZINC000655925363 424692981 /nfs/dbraw/zinc/69/29/81/424692981.db2.gz VEXWGXMQIAREEY-CALCHBBNSA-N 1 2 315.417 1.862 20 30 DDEDLO C=C(CNC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2)c1ccccc1 ZINC000655925363 424692984 /nfs/dbraw/zinc/69/29/84/424692984.db2.gz VEXWGXMQIAREEY-CALCHBBNSA-N 1 2 315.417 1.862 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](CN2CCN(c3ccc(C#N)cc3)CC2)C1 ZINC000363968337 266154012 /nfs/dbraw/zinc/15/40/12/266154012.db2.gz ZFIMWNVMGUDKQN-GOSISDBHSA-N 1 2 314.433 1.401 20 30 DDEDLO CC[N@H+]1CCO[C@@H](CN2CCN(c3ccc(C#N)cc3)CC2)C1 ZINC000363968337 266154016 /nfs/dbraw/zinc/15/40/16/266154016.db2.gz ZFIMWNVMGUDKQN-GOSISDBHSA-N 1 2 314.433 1.401 20 30 DDEDLO CC[N@@H+]1CC[C@H](CNC(=O)c2cc(C#N)c(SC)[nH]c2=O)C1 ZINC000351101035 266155209 /nfs/dbraw/zinc/15/52/09/266155209.db2.gz HADMDKRSNLVAMZ-SNVBAGLBSA-N 1 2 320.418 1.452 20 30 DDEDLO CC[N@H+]1CC[C@H](CNC(=O)c2cc(C#N)c(SC)[nH]c2=O)C1 ZINC000351101035 266155211 /nfs/dbraw/zinc/15/52/11/266155211.db2.gz HADMDKRSNLVAMZ-SNVBAGLBSA-N 1 2 320.418 1.452 20 30 DDEDLO C[NH+](C)Cc1ccnc(NS(=O)(=O)c2cncc(C#N)c2)c1 ZINC000357395212 266506308 /nfs/dbraw/zinc/50/63/08/266506308.db2.gz MKQIOQXFKATLAC-UHFFFAOYSA-N 1 2 317.374 1.211 20 30 DDEDLO C[C@@H]1C[NH+](CCN(C)C(=O)c2cscc2C#N)C[C@@H](C)O1 ZINC000351818641 267050187 /nfs/dbraw/zinc/05/01/87/267050187.db2.gz HWBLUUYLAKCXFV-VXGBXAGGSA-N 1 2 307.419 1.801 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ccnc(C#N)c3)c[nH+]2)C[C@@H](C)O1 ZINC000341528912 267063657 /nfs/dbraw/zinc/06/36/57/267063657.db2.gz GHUZAWYJQYZZGT-ZIAGYGMSSA-N 1 2 323.400 1.996 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@H](C)c1cccc(C#N)c1 ZINC000360176681 267155529 /nfs/dbraw/zinc/15/55/29/267155529.db2.gz XALPBDJIJMWQJA-CABCVRRESA-N 1 2 314.433 1.414 20 30 DDEDLO C[C@H](CNC(=O)[C@H](C)c1cccc(C#N)c1)[NH+]1CCN(C)CC1 ZINC000360261107 267160474 /nfs/dbraw/zinc/16/04/74/267160474.db2.gz KNUMXWHMAWSORK-HUUCEWRRSA-N 1 2 314.433 1.414 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)c1ccn(-c2cccc(C#N)c2)n1 ZINC000342518673 267168757 /nfs/dbraw/zinc/16/87/57/267168757.db2.gz PVKOXCIPHRHNAM-CYBMUJFWSA-N 1 2 320.356 1.759 20 30 DDEDLO Cc1cc(NC(=O)N2CCN(c3cccc(C#N)n3)CC2)cc[nH+]1 ZINC000355187151 267298948 /nfs/dbraw/zinc/29/89/48/267298948.db2.gz JGBAARBNOCMZPU-UHFFFAOYSA-N 1 2 322.372 1.433 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@@H](NC(=O)COc1ccccc1C#N)C2 ZINC000377296528 267532211 /nfs/dbraw/zinc/53/22/11/267532211.db2.gz GBNJVIVXFBQVKV-CQSZACIVSA-N 1 2 310.357 1.573 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccc(-n3cc[nH+]c3)nc2)cn1 ZINC000365259984 268037662 /nfs/dbraw/zinc/03/76/62/268037662.db2.gz NMIKOVVTWNQJNJ-UHFFFAOYSA-N 1 2 304.313 1.464 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000363976202 268284892 /nfs/dbraw/zinc/28/48/92/268284892.db2.gz MRGFTJWHHSBZCY-GFCCVEGCSA-N 1 2 302.359 1.807 20 30 DDEDLO N#Cc1ccnc(N2CCC[C@H]2C[NH+]2CCOCC2)c1Cl ZINC000345244100 268291490 /nfs/dbraw/zinc/29/14/90/268291490.db2.gz FOHVBISIJSJGDI-ZDUSSCGKSA-N 1 2 306.797 1.908 20 30 DDEDLO CC#CCNC(=O)NC[C@@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000135985332 276932015 /nfs/dbraw/zinc/93/20/15/276932015.db2.gz TWADGCSSPLQGCK-KRWDZBQOSA-N 1 2 315.417 1.691 20 30 DDEDLO C[C@H](CS(C)(=O)=O)N(C)Cc1c[nH+]c2ccc(C#N)cn12 ZINC000093384541 278214852 /nfs/dbraw/zinc/21/48/52/278214852.db2.gz DECYHBIPWGBNAC-LLVKDONJSA-N 1 2 306.391 1.071 20 30 DDEDLO CC1(C)C[NH+]=C(N2CCN(c3ncccc3C#N)CC2)S1 ZINC000267910003 278348016 /nfs/dbraw/zinc/34/80/16/278348016.db2.gz FUJKHEMQLISZLE-UHFFFAOYSA-N 1 2 301.419 1.957 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1ncc(C#N)cc1Cl ZINC000286436364 280000229 /nfs/dbraw/zinc/00/02/29/280000229.db2.gz SFINIBMHCXZMND-AAEUAGOBSA-N 1 2 306.797 1.906 20 30 DDEDLO O=C1CO[C@@H]2CC[N@@H+](CC#Cc3cccc(Cl)c3)C[C@@H]2N1 ZINC000370712220 280266240 /nfs/dbraw/zinc/26/62/40/280266240.db2.gz ZUBWNXRZSZFASL-LSDHHAIUSA-N 1 2 304.777 1.281 20 30 DDEDLO O=C1CO[C@@H]2CC[N@H+](CC#Cc3cccc(Cl)c3)C[C@@H]2N1 ZINC000370712220 280266241 /nfs/dbraw/zinc/26/62/41/280266241.db2.gz ZUBWNXRZSZFASL-LSDHHAIUSA-N 1 2 304.777 1.281 20 30 DDEDLO C[C@@H]1CN(CCS(=O)(=O)c2cccc(C#N)c2)[C@@H](C)C[N@@H+]1C ZINC000330584872 297008439 /nfs/dbraw/zinc/00/84/39/297008439.db2.gz LDCKHSXHDFDVAO-KGLIPLIRSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1CN(CCS(=O)(=O)c2cccc(C#N)c2)[C@@H](C)C[N@H+]1C ZINC000330584872 297008442 /nfs/dbraw/zinc/00/84/42/297008442.db2.gz LDCKHSXHDFDVAO-KGLIPLIRSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)CC2(CCOCC2)O1 ZINC000333149302 300241001 /nfs/dbraw/zinc/24/10/01/300241001.db2.gz ANZUYDBRWZYGQP-BBRMVZONSA-N 1 2 321.421 1.065 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)CC2(CCOCC2)O1 ZINC000333149302 300241004 /nfs/dbraw/zinc/24/10/04/300241004.db2.gz ANZUYDBRWZYGQP-BBRMVZONSA-N 1 2 321.421 1.065 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[N@@H+](C)CC1(C)C ZINC000271035938 301075513 /nfs/dbraw/zinc/07/55/13/301075513.db2.gz LUXTWTNKHQNBAK-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[N@H+](C)CC1(C)C ZINC000271035938 301075517 /nfs/dbraw/zinc/07/55/17/301075517.db2.gz LUXTWTNKHQNBAK-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)on1 ZINC000374385811 301274810 /nfs/dbraw/zinc/27/48/10/301274810.db2.gz MMWWISOOTPMFKS-PXAZEXFGSA-N 1 2 308.341 1.829 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)on1 ZINC000374385811 301274813 /nfs/dbraw/zinc/27/48/13/301274813.db2.gz MMWWISOOTPMFKS-PXAZEXFGSA-N 1 2 308.341 1.829 20 30 DDEDLO N#Cc1cncc(NC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000401317429 302371474 /nfs/dbraw/zinc/37/14/74/302371474.db2.gz DJWRVKVODWQGOU-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1cncc(NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000401317429 302371476 /nfs/dbraw/zinc/37/14/76/302371476.db2.gz DJWRVKVODWQGOU-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1cnc(N2CCCC[C@@H]2C[NH+]2CCOCC2)c(F)c1 ZINC000413151289 303212180 /nfs/dbraw/zinc/21/21/80/303212180.db2.gz YRRSLKYQMGEONV-CQSZACIVSA-N 1 2 304.369 1.783 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)c(F)c1 ZINC000543910794 303467270 /nfs/dbraw/zinc/46/72/70/303467270.db2.gz XYAODAIMWJLCPG-UHFFFAOYSA-N 1 2 306.322 1.139 20 30 DDEDLO N#Cc1cccc(CCNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000570887851 308183567 /nfs/dbraw/zinc/18/35/67/308183567.db2.gz LIXOREHBLJTILT-OAHLLOKOSA-N 1 2 309.373 1.611 20 30 DDEDLO Cn1ncc2c1CCC[N@H+](CC(=O)NCc1cccc(C#N)c1)C2 ZINC000574287783 308266718 /nfs/dbraw/zinc/26/67/18/308266718.db2.gz CBYHSPMVZSKBPF-UHFFFAOYSA-N 1 2 323.400 1.356 20 30 DDEDLO Cn1ncc2c1CCC[N@@H+](CC(=O)NCc1cccc(C#N)c1)C2 ZINC000574287783 308266719 /nfs/dbraw/zinc/26/67/19/308266719.db2.gz CBYHSPMVZSKBPF-UHFFFAOYSA-N 1 2 323.400 1.356 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1csc(C(C)(C)C)n1 ZINC000574952043 332867037 /nfs/dbraw/zinc/86/70/37/332867037.db2.gz FYQPADIDNKGZKB-HNNXBMFYSA-N 1 2 308.451 1.943 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1coc(-c2cccs2)n1 ZINC000516833154 331639303 /nfs/dbraw/zinc/63/93/03/331639303.db2.gz IXURUUJLWPTSQQ-HNNXBMFYSA-N 1 2 318.402 1.906 20 30 DDEDLO CC(C)([NH2+]CC(=O)N(CCC#N)CCC#N)c1nccs1 ZINC000118848077 332357254 /nfs/dbraw/zinc/35/72/54/332357254.db2.gz ZXEOKEPPFUQSRU-UHFFFAOYSA-N 1 2 305.407 1.624 20 30 DDEDLO COc1ccc(OC)c(CC(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000565050854 332495709 /nfs/dbraw/zinc/49/57/09/332495709.db2.gz IIRCTBLQSCXSBN-MRXNPFEDSA-N 1 2 305.378 1.206 20 30 DDEDLO C[C@H]1CCC[C@H](NC(=O)CN2CC[NH+](CCCC#N)CC2)C1 ZINC000066566232 335135187 /nfs/dbraw/zinc/13/51/87/335135187.db2.gz BHKCXCVSAOQCCL-HOTGVXAUSA-N 1 2 306.454 1.603 20 30 DDEDLO CC(C)c1nnc([C@H](C)[NH2+]Cc2cnc3c(C#N)cnn3c2)[nH]1 ZINC000582964627 337220518 /nfs/dbraw/zinc/22/05/18/337220518.db2.gz FYHVFDXYKKXJOH-JTQLQIEISA-N 1 2 310.365 1.693 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCc1ccccc1 ZINC000060633687 337459235 /nfs/dbraw/zinc/45/92/35/337459235.db2.gz DIJHOTGDTXNUEL-UHFFFAOYSA-N 1 2 315.417 1.694 20 30 DDEDLO COCCOc1cccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000572125162 337591789 /nfs/dbraw/zinc/59/17/89/337591789.db2.gz QZAJJBCTCNLTLQ-INIZCTEOSA-N 1 2 305.378 1.285 20 30 DDEDLO COc1cccc(OC)c1CC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000572164844 337594485 /nfs/dbraw/zinc/59/44/85/337594485.db2.gz BNDYQRFQTBZSIG-MRXNPFEDSA-N 1 2 305.378 1.206 20 30 DDEDLO C[C@@H](C#N)OCC[N@@H+]1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000496937926 340016636 /nfs/dbraw/zinc/01/66/36/340016636.db2.gz MMNGNEOTPVEAGZ-QWHCGFSZSA-N 1 2 313.398 1.141 20 30 DDEDLO C[C@@H](C#N)OCC[N@H+]1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000496937926 340016637 /nfs/dbraw/zinc/01/66/37/340016637.db2.gz MMNGNEOTPVEAGZ-QWHCGFSZSA-N 1 2 313.398 1.141 20 30 DDEDLO CC(C)(C)c1nc(CN(CCC#N)CC[NH+]2CCOCC2)no1 ZINC000174831153 340397011 /nfs/dbraw/zinc/39/70/11/340397011.db2.gz LLTPLIQSGVJEAN-UHFFFAOYSA-N 1 2 321.425 1.415 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[NH+]1CCN(C(C)=O)CC1 ZINC000034860755 340709262 /nfs/dbraw/zinc/70/92/62/340709262.db2.gz UXJHFBKNPKRIFB-KRWDZBQOSA-N 1 2 318.417 1.319 20 30 DDEDLO C=CCNC(=O)c1ccc(NC(=O)CCc2c[nH+]cn2C)cc1 ZINC000192808802 341889224 /nfs/dbraw/zinc/88/92/24/341889224.db2.gz RMNPRDAMBOUGFC-UHFFFAOYSA-N 1 2 312.373 1.907 20 30 DDEDLO C=CCOCCCNC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000658620590 484421025 /nfs/dbraw/zinc/42/10/25/484421025.db2.gz SAKKFOKDFGKHMN-UHFFFAOYSA-N 1 2 305.378 1.654 20 30 DDEDLO C=C[C@](C)(O)C(=O)NCc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1 ZINC000666434928 485216399 /nfs/dbraw/zinc/21/63/99/485216399.db2.gz GUQWSEUKRAHTOJ-XNJGSVPQSA-N 1 2 319.405 1.248 20 30 DDEDLO C=C[C@H](O)C(=O)NC[C@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000666848663 485367357 /nfs/dbraw/zinc/36/73/57/485367357.db2.gz UISVBXDKXSOYBA-CVEARBPZSA-N 1 2 304.390 1.031 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+](Cc2ccc(CC)s2)CC1 ZINC000668973729 485371869 /nfs/dbraw/zinc/37/18/69/485371869.db2.gz HLEDPUUCSOEPOQ-INIZCTEOSA-N 1 2 308.447 1.892 20 30 DDEDLO C=C(C)[C@H](CO)[N@@H+]1CCN(Cc2cccc(Cl)c2)C(=O)C1 ZINC000678050238 486617241 /nfs/dbraw/zinc/61/72/41/486617241.db2.gz WMSJUNBZOXDYBX-HNNXBMFYSA-N 1 2 308.809 1.921 20 30 DDEDLO C=C(C)[C@H](CO)[N@H+]1CCN(Cc2cccc(Cl)c2)C(=O)C1 ZINC000678050238 486617244 /nfs/dbraw/zinc/61/72/44/486617244.db2.gz WMSJUNBZOXDYBX-HNNXBMFYSA-N 1 2 308.809 1.921 20 30 DDEDLO COc1ccccc1-c1noc([C@@H](C)[NH2+]CC(=O)NCC#N)n1 ZINC000348516802 533928358 /nfs/dbraw/zinc/92/83/58/533928358.db2.gz SYXCIWJKLRLANZ-SNVBAGLBSA-N 1 2 315.333 1.036 20 30 DDEDLO C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)Cc1cn2ccccc2[nH+]1 ZINC000329633756 534056269 /nfs/dbraw/zinc/05/62/69/534056269.db2.gz XFLFCANKDILIFM-CMPLNLGQSA-N 1 2 307.375 1.266 20 30 DDEDLO C[C@@H]1CN(C([O-])=[NH+]C[C@@H]2CCc3[nH+]ccn3C2)C[C@H](C2CC2)O1 ZINC000329983568 534273374 /nfs/dbraw/zinc/27/33/74/534273374.db2.gz BHIIYSFRADBLHA-VNHYZAJKSA-N 1 2 318.421 1.859 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(S(=O)(=O)CCCCC#N)CC1 ZINC000330899798 534424546 /nfs/dbraw/zinc/42/45/46/534424546.db2.gz QDDHKBZCNBHSCQ-UHFFFAOYSA-N 1 2 322.434 1.536 20 30 DDEDLO C[C@@H]1C[C@@H](C(=O)NC[C@@H]2C[C@H](F)C[N@@H+]2Cc2cnn(C)c2)CO1 ZINC000329980367 534489974 /nfs/dbraw/zinc/48/99/74/534489974.db2.gz LFLCQRMQHMVALN-RZFFKMDDSA-N 1 2 324.400 1.714 20 30 DDEDLO C[C@@H]1C[C@@H](C(=O)NC[C@@H]2C[C@H](F)C[N@H+]2Cc2cnn(C)c2)CO1 ZINC000329980367 534489981 /nfs/dbraw/zinc/48/99/81/534489981.db2.gz LFLCQRMQHMVALN-RZFFKMDDSA-N 1 2 324.400 1.714 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCN4C(=O)OCC[C@@H]4C3)n2c1 ZINC000494471545 534799449 /nfs/dbraw/zinc/79/94/49/534799449.db2.gz QMDJONHQEOGMPN-CYBMUJFWSA-N 1 2 311.345 1.232 20 30 DDEDLO Cc1n[nH]cc1CNC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000329838287 534850739 /nfs/dbraw/zinc/85/07/39/534850739.db2.gz NIGRLHBIHTYWNN-CQSZACIVSA-N 1 2 301.350 1.680 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)Cc1nnnn1C1CCOCC1 ZINC000433272252 526327898 /nfs/dbraw/zinc/32/78/98/526327898.db2.gz WFRWRYKFSDSUPF-UHFFFAOYSA-N 1 2 317.393 1.848 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)Cc1nnnn1C1CCOCC1 ZINC000433272252 526327900 /nfs/dbraw/zinc/32/79/00/526327900.db2.gz WFRWRYKFSDSUPF-UHFFFAOYSA-N 1 2 317.393 1.848 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N1CCN(Cc2ccsc2)CC1 ZINC000339766518 526357488 /nfs/dbraw/zinc/35/74/88/526357488.db2.gz QAIYGXOAKHSIJC-UHFFFAOYSA-N 1 2 305.447 1.347 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N1CCN(Cc2ccsc2)CC1 ZINC000339766518 526357490 /nfs/dbraw/zinc/35/74/90/526357490.db2.gz QAIYGXOAKHSIJC-UHFFFAOYSA-N 1 2 305.447 1.347 20 30 DDEDLO CNC(=O)[C@]1(C)CCN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)C1 ZINC000330240516 526407580 /nfs/dbraw/zinc/40/75/80/526407580.db2.gz BNFIPOWQFLWZHA-BLLLJJGKSA-N 1 2 319.409 1.973 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)N(C)C2CCCCC2)C1=O ZINC000337218296 526471912 /nfs/dbraw/zinc/47/19/12/526471912.db2.gz IWLDNTVUMWFIGE-GDBMZVCRSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)N(C)C2CCCCC2)C1=O ZINC000337218296 526471915 /nfs/dbraw/zinc/47/19/15/526471915.db2.gz IWLDNTVUMWFIGE-GDBMZVCRSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C1=O ZINC000337218901 526502372 /nfs/dbraw/zinc/50/23/72/526502372.db2.gz JJFLVCNSHXJNLN-ZQIUZPCESA-N 1 2 320.437 1.148 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C1=O ZINC000337218901 526502375 /nfs/dbraw/zinc/50/23/75/526502375.db2.gz JJFLVCNSHXJNLN-ZQIUZPCESA-N 1 2 320.437 1.148 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCCN(CC(F)(F)F)CC2)C1=O ZINC000374149903 526507298 /nfs/dbraw/zinc/50/72/98/526507298.db2.gz VOORCVFWDPEWMU-LBPRGKRZSA-N 1 2 305.344 1.343 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCCN(CC(F)(F)F)CC2)C1=O ZINC000374149903 526507306 /nfs/dbraw/zinc/50/73/06/526507306.db2.gz VOORCVFWDPEWMU-LBPRGKRZSA-N 1 2 305.344 1.343 20 30 DDEDLO C=CCNC(=O)Cc1noc([C@H](c2ccccc2)[NH+](C)C)n1 ZINC000346984316 526581469 /nfs/dbraw/zinc/58/14/69/526581469.db2.gz IDLDNLBOUUSWQG-HNNXBMFYSA-N 1 2 300.362 1.565 20 30 DDEDLO CC(C)(C)[C@@H](O)CNC(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000330822748 526640979 /nfs/dbraw/zinc/64/09/79/526640979.db2.gz PNVIUQCZCXDWFX-LBPRGKRZSA-N 1 2 301.431 1.008 20 30 DDEDLO CC(C)(C)[C@@H](O)CNC(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000330822748 526640986 /nfs/dbraw/zinc/64/09/86/526640986.db2.gz PNVIUQCZCXDWFX-LBPRGKRZSA-N 1 2 301.431 1.008 20 30 DDEDLO C#CCN(CC)C(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000490892746 526885236 /nfs/dbraw/zinc/88/52/36/526885236.db2.gz OFHLDWYGLHBQRW-UHFFFAOYSA-N 1 2 324.384 1.871 20 30 DDEDLO C#CCNC(=O)C1CC[NH+]([C@@H](C)c2nc(C3CC3)no2)CC1 ZINC000491067924 526971867 /nfs/dbraw/zinc/97/18/67/526971867.db2.gz LKMDYXBRCNUDPY-NSHDSACASA-N 1 2 302.378 1.469 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000342903320 526989142 /nfs/dbraw/zinc/98/91/42/526989142.db2.gz JRVOUJNYMOYSJS-HNNXBMFYSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000341859833 527169929 /nfs/dbraw/zinc/16/99/29/527169929.db2.gz NPKHFBIKPDPIMN-AWEZNQCLSA-N 1 2 318.421 1.534 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000341847069 527176589 /nfs/dbraw/zinc/17/65/89/527176589.db2.gz VVUNLCVOIBDPDO-KBPBESRZSA-N 1 2 304.394 1.144 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)[C@H]2CCn3cc[nH+]c3C2)n1 ZINC000491674111 527182290 /nfs/dbraw/zinc/18/22/90/527182290.db2.gz JUNOJEMAVJCHFJ-AWEZNQCLSA-N 1 2 311.389 1.324 20 30 DDEDLO C#CCn1ccc(CN(CCOC)c2cc(C)[nH+]c(CC)n2)n1 ZINC000491180622 527193198 /nfs/dbraw/zinc/19/31/98/527193198.db2.gz MWBCBYGQTIXBNF-UHFFFAOYSA-N 1 2 313.405 1.830 20 30 DDEDLO CC(=O)c1ccc(C#N)cc1NCC[NH+]1CCN(C(C)=O)CC1 ZINC000302050894 527230973 /nfs/dbraw/zinc/23/09/73/527230973.db2.gz NUBNZQFVCDFLPD-UHFFFAOYSA-N 1 2 314.389 1.337 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCOc1ccc(/C=C/C(=O)OC)cc1 ZINC000491770955 527310962 /nfs/dbraw/zinc/31/09/62/527310962.db2.gz QQYZNBLZQXYGRE-YXMGTMDOSA-N 1 2 315.369 1.586 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCOc1ccc(/C=C/C(=O)OC)cc1 ZINC000491770955 527310965 /nfs/dbraw/zinc/31/09/65/527310965.db2.gz QQYZNBLZQXYGRE-YXMGTMDOSA-N 1 2 315.369 1.586 20 30 DDEDLO C=CCn1cc(C[NH2+][C@H](C)CS(=O)(=O)c2ccccc2)nn1 ZINC000424295755 527373472 /nfs/dbraw/zinc/37/34/72/527373472.db2.gz NFWMZUZADUUJQS-CYBMUJFWSA-N 1 2 320.418 1.416 20 30 DDEDLO C#C[C@H](NC(=O)C(C)(C)[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000491651715 527380006 /nfs/dbraw/zinc/38/00/06/527380006.db2.gz ILUGSUAJLAJMIY-HNNXBMFYSA-N 1 2 304.365 1.727 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)NC(=O)Nc1ccccc1 ZINC000491710319 527427362 /nfs/dbraw/zinc/42/73/62/527427362.db2.gz YAHVKNBHOWISBI-AWEZNQCLSA-N 1 2 301.346 1.059 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)NC(=O)Nc1ccccc1 ZINC000491710319 527427366 /nfs/dbraw/zinc/42/73/66/527427366.db2.gz YAHVKNBHOWISBI-AWEZNQCLSA-N 1 2 301.346 1.059 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000491713810 527428016 /nfs/dbraw/zinc/42/80/16/527428016.db2.gz KZQXWFHYBPPFOD-ZDUSSCGKSA-N 1 2 317.345 1.566 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000491713810 527428019 /nfs/dbraw/zinc/42/80/19/527428019.db2.gz KZQXWFHYBPPFOD-ZDUSSCGKSA-N 1 2 317.345 1.566 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCc1cn2cc(C)ccc2[nH+]1 ZINC000457919355 527430540 /nfs/dbraw/zinc/43/05/40/527430540.db2.gz IFDHEAQOPYXLQL-ZDUSSCGKSA-N 1 2 302.378 1.769 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000413316883 527473695 /nfs/dbraw/zinc/47/36/95/527473695.db2.gz LVFGEFPVLWNBJF-MELADBBJSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000413316883 527473701 /nfs/dbraw/zinc/47/37/01/527473701.db2.gz LVFGEFPVLWNBJF-MELADBBJSA-N 1 2 306.410 1.423 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@H+](Cc2ccc(F)cc2C#N)CC12COC2 ZINC000451135981 527886008 /nfs/dbraw/zinc/88/60/08/527886008.db2.gz NEOFTQQBMKWCIS-HNNXBMFYSA-N 1 2 318.348 1.709 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@@H+](Cc2ccc(F)cc2C#N)CC12COC2 ZINC000451135981 527886015 /nfs/dbraw/zinc/88/60/15/527886015.db2.gz NEOFTQQBMKWCIS-HNNXBMFYSA-N 1 2 318.348 1.709 20 30 DDEDLO CCCn1c(C)cc(C(=O)C[N@@H+]2CC[C@@H](NC(=O)NC)C2)c1C ZINC000330943162 528811653 /nfs/dbraw/zinc/81/16/53/528811653.db2.gz HJAPBHUGICPMEO-CQSZACIVSA-N 1 2 320.437 1.905 20 30 DDEDLO CCCn1c(C)cc(C(=O)C[N@H+]2CC[C@@H](NC(=O)NC)C2)c1C ZINC000330943162 528811660 /nfs/dbraw/zinc/81/16/60/528811660.db2.gz HJAPBHUGICPMEO-CQSZACIVSA-N 1 2 320.437 1.905 20 30 DDEDLO CCCS(=O)(=O)NC1CCN(c2cc(C)[nH+]cc2C#N)CC1 ZINC000425203399 528813075 /nfs/dbraw/zinc/81/30/75/528813075.db2.gz FJGICPLHXFXMAV-UHFFFAOYSA-N 1 2 322.434 1.560 20 30 DDEDLO CCNC(=O)NC(=O)[C@H](C)[N@@H+]1C[C@H](O)C[C@@H]1c1ccc(F)cc1 ZINC000330464956 528827039 /nfs/dbraw/zinc/82/70/39/528827039.db2.gz PLSMOGIQNZSDIG-ZLKJLUDKSA-N 1 2 323.368 1.372 20 30 DDEDLO CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@H](O)C[C@@H]1c1ccc(F)cc1 ZINC000330464956 528827046 /nfs/dbraw/zinc/82/70/46/528827046.db2.gz PLSMOGIQNZSDIG-ZLKJLUDKSA-N 1 2 323.368 1.372 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000330825463 528848455 /nfs/dbraw/zinc/84/84/55/528848455.db2.gz ONDBOCNQAKHDCP-OLZOCXBDSA-N 1 2 321.343 1.430 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000330825463 528848457 /nfs/dbraw/zinc/84/84/57/528848457.db2.gz ONDBOCNQAKHDCP-OLZOCXBDSA-N 1 2 321.343 1.430 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCCc2c(cnn2C)C1 ZINC000334058141 528848973 /nfs/dbraw/zinc/84/89/73/528848973.db2.gz WXSCJDGUFCIRLK-MRXNPFEDSA-N 1 2 303.410 1.223 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCCc2c(cnn2C)C1 ZINC000334058141 528848977 /nfs/dbraw/zinc/84/89/77/528848977.db2.gz WXSCJDGUFCIRLK-MRXNPFEDSA-N 1 2 303.410 1.223 20 30 DDEDLO CCN1CCN(C(=O)Cc2ccc(C#N)cc2)C[C@H]1c1[nH]cc[nH+]1 ZINC000433103696 529125977 /nfs/dbraw/zinc/12/59/77/529125977.db2.gz JYOSHAZXFKVNMH-INIZCTEOSA-N 1 2 323.400 1.729 20 30 DDEDLO CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)NCc2[nH+]ccn2C)C1 ZINC000330841050 529146249 /nfs/dbraw/zinc/14/62/49/529146249.db2.gz XVUSZYIOCZIBMO-OLZOCXBDSA-N 1 2 321.425 1.507 20 30 DDEDLO N#CCCN1CC[NH+](Cc2cccc(-c3nn[nH]n3)c2F)CC1 ZINC000826381820 608418882 /nfs/dbraw/zinc/41/88/82/608418882.db2.gz AGWKWXHLSZEOLT-UHFFFAOYSA-N 1 2 315.356 1.037 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2C)C1 ZINC000972245458 695188109 /nfs/dbraw/zinc/18/81/09/695188109.db2.gz LAGFSQGHKDTGAQ-CZUORRHYSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC000972245458 695188112 /nfs/dbraw/zinc/18/81/12/695188112.db2.gz LAGFSQGHKDTGAQ-CZUORRHYSA-N 1 2 305.426 1.450 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cncs3)C2)C1 ZINC000972286132 695205275 /nfs/dbraw/zinc/20/52/75/695205275.db2.gz QYTAPSCEMWYYDY-OAHLLOKOSA-N 1 2 305.403 1.083 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cncs3)C2)C1 ZINC000972286132 695205277 /nfs/dbraw/zinc/20/52/77/695205277.db2.gz QYTAPSCEMWYYDY-OAHLLOKOSA-N 1 2 305.403 1.083 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3C=CC=CC=C3)C2)C1 ZINC000972396716 695239472 /nfs/dbraw/zinc/23/94/72/695239472.db2.gz CCDYDCDGHHMNEU-GOSISDBHSA-N 1 2 300.402 1.774 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C3C=CC=CC=C3)C2)C1 ZINC000972396716 695239473 /nfs/dbraw/zinc/23/94/73/695239473.db2.gz CCDYDCDGHHMNEU-GOSISDBHSA-N 1 2 300.402 1.774 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3[nH]c(C)cc3C)C2)C1 ZINC000972439081 695251707 /nfs/dbraw/zinc/25/17/07/695251707.db2.gz UNTCISFBNVZNTE-GOSISDBHSA-N 1 2 315.417 1.572 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3[nH]c(C)cc3C)C2)C1 ZINC000972439081 695251710 /nfs/dbraw/zinc/25/17/10/695251710.db2.gz UNTCISFBNVZNTE-GOSISDBHSA-N 1 2 315.417 1.572 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(CC)o3)C2)C1 ZINC000972441913 695252663 /nfs/dbraw/zinc/25/26/63/695252663.db2.gz WJJZXUFGWDOKDD-KRWDZBQOSA-N 1 2 304.390 1.945 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(CC)o3)C2)C1 ZINC000972441913 695252664 /nfs/dbraw/zinc/25/26/64/695252664.db2.gz WJJZXUFGWDOKDD-KRWDZBQOSA-N 1 2 304.390 1.945 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)C1 ZINC000972474475 695259455 /nfs/dbraw/zinc/25/94/55/695259455.db2.gz JHHYAQAWIVTCTH-CYGHRXIMSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)C1 ZINC000972474475 695259456 /nfs/dbraw/zinc/25/94/56/695259456.db2.gz JHHYAQAWIVTCTH-CYGHRXIMSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3ccc(C)o3)C2)C1 ZINC000972582833 695289807 /nfs/dbraw/zinc/28/98/07/695289807.db2.gz NTSSOLRBPJZJDG-QAPCUYQASA-N 1 2 316.401 1.628 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3ccc(C)o3)C2)C1 ZINC000972582833 695289810 /nfs/dbraw/zinc/28/98/10/695289810.db2.gz NTSSOLRBPJZJDG-QAPCUYQASA-N 1 2 316.401 1.628 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)COc3ccccc3)C2)C1 ZINC000972654554 695309975 /nfs/dbraw/zinc/30/99/75/695309975.db2.gz RSNZRKWFOAOEFP-SFHVURJKSA-N 1 2 316.401 1.555 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)COc3ccccc3)C2)C1 ZINC000972654554 695309977 /nfs/dbraw/zinc/30/99/77/695309977.db2.gz RSNZRKWFOAOEFP-SFHVURJKSA-N 1 2 316.401 1.555 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@@H](NC(=O)Cc3[nH]c[nH+]c3C)C2)C1 ZINC000974349249 695657079 /nfs/dbraw/zinc/65/70/79/695657079.db2.gz ATXHKBRHDYTBOC-BETUJISGSA-N 1 2 316.405 1.380 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(Cc2ccc(OCC#N)cc2)CC1 ZINC000746465421 700025394 /nfs/dbraw/zinc/02/53/94/700025394.db2.gz SWHVCVAYMKRZAX-QFIPXVFZSA-N 1 2 321.446 1.085 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnc(C)n2C)C(C)(C)C1 ZINC000974955006 695766226 /nfs/dbraw/zinc/76/62/26/695766226.db2.gz LLOHUNGTMSDWPW-CYBMUJFWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnc(C)n2C)C(C)(C)C1 ZINC000974955006 695766229 /nfs/dbraw/zinc/76/62/29/695766229.db2.gz LLOHUNGTMSDWPW-CYBMUJFWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C(C)(C)C1 ZINC000975005035 695776208 /nfs/dbraw/zinc/77/62/08/695776208.db2.gz TZTWMRMMOBQOHB-NHYWBVRUSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C(C)(C)C1 ZINC000975005035 695776210 /nfs/dbraw/zinc/77/62/10/695776210.db2.gz TZTWMRMMOBQOHB-NHYWBVRUSA-N 1 2 313.829 1.234 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000008474346 696035316 /nfs/dbraw/zinc/03/53/16/696035316.db2.gz VGCFFMBJBBRUPE-ZDUSSCGKSA-N 1 2 318.377 1.408 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccnc(C)n2)C(C)(C)C1 ZINC000977603142 696231064 /nfs/dbraw/zinc/23/10/64/696231064.db2.gz NDJLPRFJCMQECX-CYBMUJFWSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccnc(C)n2)C(C)(C)C1 ZINC000977603142 696231067 /nfs/dbraw/zinc/23/10/67/696231067.db2.gz NDJLPRFJCMQECX-CYBMUJFWSA-N 1 2 308.813 1.978 20 30 DDEDLO N#Cc1cnn(C(=O)c2ccc(-n3cc[nH+]c3)c([N+](=O)[O-])c2)c1N ZINC000057556012 696297492 /nfs/dbraw/zinc/29/74/92/696297492.db2.gz QVQJNLCTMKFFLS-UHFFFAOYSA-N 1 2 323.272 1.119 20 30 DDEDLO C=CC[N@@H+](CCc1ccccc1)Cn1cnc(C(=O)OC)n1 ZINC000065943203 696348352 /nfs/dbraw/zinc/34/83/52/696348352.db2.gz GIFXGBMFCQEDIO-UHFFFAOYSA-N 1 2 300.362 1.753 20 30 DDEDLO C=CC[N@H+](CCc1ccccc1)Cn1cnc(C(=O)OC)n1 ZINC000065943203 696348355 /nfs/dbraw/zinc/34/83/55/696348355.db2.gz GIFXGBMFCQEDIO-UHFFFAOYSA-N 1 2 300.362 1.753 20 30 DDEDLO CN(CCC#N)S(=O)(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000066871637 696356567 /nfs/dbraw/zinc/35/65/67/696356567.db2.gz DERDFRQEHWHSCE-UHFFFAOYSA-N 1 2 319.390 1.052 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2F)CC1 ZINC000068444300 696372560 /nfs/dbraw/zinc/37/25/60/696372560.db2.gz REKRZNOCGDQJTQ-UHFFFAOYSA-N 1 2 305.309 1.515 20 30 DDEDLO CN(CC1CCCCC1)C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000092917239 696598214 /nfs/dbraw/zinc/59/82/14/696598214.db2.gz FBRDQEFGIIAXON-UHFFFAOYSA-N 1 2 318.421 1.952 20 30 DDEDLO COCC(=O)N1CCC[N@H+](Cc2cc(C#N)ccc2F)CC1 ZINC000980577444 696804838 /nfs/dbraw/zinc/80/48/38/696804838.db2.gz PBJOYHKMXQXUIH-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO COCC(=O)N1CCC[N@@H+](Cc2cc(C#N)ccc2F)CC1 ZINC000980577444 696804839 /nfs/dbraw/zinc/80/48/39/696804839.db2.gz PBJOYHKMXQXUIH-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)OCC(=O)N2C ZINC000799056973 700111760 /nfs/dbraw/zinc/11/17/60/700111760.db2.gz UVUCRWPIQQADGA-AWEZNQCLSA-N 1 2 313.357 1.078 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)OCC(=O)N2C ZINC000799056973 700111762 /nfs/dbraw/zinc/11/17/62/700111762.db2.gz UVUCRWPIQQADGA-AWEZNQCLSA-N 1 2 313.357 1.078 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)Nc1cccc(F)c1 ZINC000137650233 696858922 /nfs/dbraw/zinc/85/89/22/696858922.db2.gz JUNIMRIJQLLPTI-UHFFFAOYSA-N 1 2 302.309 1.691 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC000981668884 696867400 /nfs/dbraw/zinc/86/74/00/696867400.db2.gz GCLQLFXXUWFZBG-NEPJUHHUSA-N 1 2 317.393 1.523 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC000981668884 696867404 /nfs/dbraw/zinc/86/74/04/696867404.db2.gz GCLQLFXXUWFZBG-NEPJUHHUSA-N 1 2 317.393 1.523 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)[nH]1 ZINC000981671702 696868382 /nfs/dbraw/zinc/86/83/82/696868382.db2.gz FNLDAXFOJSOOPH-NWDGAFQWSA-N 1 2 316.409 1.258 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)[nH]1 ZINC000981671702 696868383 /nfs/dbraw/zinc/86/83/83/696868383.db2.gz FNLDAXFOJSOOPH-NWDGAFQWSA-N 1 2 316.409 1.258 20 30 DDEDLO Cc1cc(C(=O)N2CCC[N@H+](Cc3ccccc3C#N)CC2)[nH]n1 ZINC000980772986 696884998 /nfs/dbraw/zinc/88/49/98/696884998.db2.gz CFPZDCJDLJWDEL-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO Cc1cc(C(=O)N2CCC[N@@H+](Cc3ccccc3C#N)CC2)[nH]n1 ZINC000980772986 696885000 /nfs/dbraw/zinc/88/50/00/696885000.db2.gz CFPZDCJDLJWDEL-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](Cc2ccnc(OC)n2)CC1 ZINC000981753514 696902855 /nfs/dbraw/zinc/90/28/55/696902855.db2.gz FCRVRHKXVQNJES-UHFFFAOYSA-N 1 2 318.421 1.732 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](Cc2ccnc(OC)n2)CC1 ZINC000981753514 696902856 /nfs/dbraw/zinc/90/28/56/696902856.db2.gz FCRVRHKXVQNJES-UHFFFAOYSA-N 1 2 318.421 1.732 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](Cc2cc(C3CC3)no2)CC1 ZINC000980848718 696917004 /nfs/dbraw/zinc/91/70/04/696917004.db2.gz AOFHKFITABAMSG-LBPRGKRZSA-N 1 2 302.378 1.746 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](Cc2cc(C3CC3)no2)CC1 ZINC000980848718 696917006 /nfs/dbraw/zinc/91/70/06/696917006.db2.gz AOFHKFITABAMSG-LBPRGKRZSA-N 1 2 302.378 1.746 20 30 DDEDLO Cc1oncc1C[N@@H+]1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000980991272 696973461 /nfs/dbraw/zinc/97/34/61/696973461.db2.gz IIGAIHTVSHFEMO-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO Cc1oncc1C[N@H+]1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000980991272 696973463 /nfs/dbraw/zinc/97/34/63/696973463.db2.gz IIGAIHTVSHFEMO-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CCN(C(=O)OCC)CC2)cc1 ZINC000158399072 696989788 /nfs/dbraw/zinc/98/97/88/696989788.db2.gz PJSLOOLNMONOJO-UHFFFAOYSA-N 1 2 302.374 1.973 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@]2(C)C[N@H+](Cc3ccon3)C[C@]2(C)C1 ZINC000982232796 697067648 /nfs/dbraw/zinc/06/76/48/697067648.db2.gz CSAQRXJGMQLYGF-VBNZEHGJSA-N 1 2 302.378 1.505 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@]2(C)C[N@@H+](Cc3ccon3)C[C@]2(C)C1 ZINC000982232796 697067650 /nfs/dbraw/zinc/06/76/50/697067650.db2.gz CSAQRXJGMQLYGF-VBNZEHGJSA-N 1 2 302.378 1.505 20 30 DDEDLO CCCn1ncnc1C[N@H+](C)C1CCN(C(=O)[C@H](C)C#N)CC1 ZINC000985339687 697494223 /nfs/dbraw/zinc/49/42/23/697494223.db2.gz YHIHVRBAZCOMBR-CYBMUJFWSA-N 1 2 318.425 1.271 20 30 DDEDLO CCCn1ncnc1C[N@@H+](C)C1CCN(C(=O)[C@H](C)C#N)CC1 ZINC000985339687 697494224 /nfs/dbraw/zinc/49/42/24/697494224.db2.gz YHIHVRBAZCOMBR-CYBMUJFWSA-N 1 2 318.425 1.271 20 30 DDEDLO C#C[C@H](C)NC(=O)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000773588964 697784374 /nfs/dbraw/zinc/78/43/74/697784374.db2.gz ZMVZJOWNMBTBSU-ZDUSSCGKSA-N 1 2 315.421 1.762 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](C(C)C)[N@H+]1C[C@@H](C)O[C@@H](C)C1)[C@@H]1CCCO1 ZINC000775327066 697982383 /nfs/dbraw/zinc/98/23/83/697982383.db2.gz XGKADRIWXHVBGZ-QEOTZNIISA-N 1 2 322.449 1.417 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](C(C)C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1)[C@@H]1CCCO1 ZINC000775327066 697982387 /nfs/dbraw/zinc/98/23/87/697982387.db2.gz XGKADRIWXHVBGZ-QEOTZNIISA-N 1 2 322.449 1.417 20 30 DDEDLO C[C@@H]1C[N@H+](CCOC(=O)C2(c3ccc(C#N)cc3)CC2)CCO1 ZINC000800205877 700202545 /nfs/dbraw/zinc/20/25/45/700202545.db2.gz RFSRFGULNQPSAC-CQSZACIVSA-N 1 2 314.385 1.854 20 30 DDEDLO C[C@@H]1C[N@@H+](CCOC(=O)C2(c3ccc(C#N)cc3)CC2)CCO1 ZINC000800205877 700202548 /nfs/dbraw/zinc/20/25/48/700202548.db2.gz RFSRFGULNQPSAC-CQSZACIVSA-N 1 2 314.385 1.854 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=C2C(=O)Nc3c2cc(Cl)cc3Cl)N1 ZINC000779791827 698465819 /nfs/dbraw/zinc/46/58/19/698465819.db2.gz GKLVPRHOYIHCHY-RXMQYKEDSA-N 1 2 312.160 1.587 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)nc1 ZINC000780563020 698532327 /nfs/dbraw/zinc/53/23/27/698532327.db2.gz NYTHFOSDKONIRL-HNNXBMFYSA-N 1 2 322.372 1.426 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)cc1 ZINC000780928000 698572396 /nfs/dbraw/zinc/57/23/96/698572396.db2.gz CUOCGLSBFNXBRE-CVEARBPZSA-N 1 2 310.357 1.652 20 30 DDEDLO CN(Cc1cccc(C#N)c1)CN1C[C@H](c2c[nH+]cn2C)CC1=O ZINC000783971095 698896624 /nfs/dbraw/zinc/89/66/24/698896624.db2.gz GUKWLHOGRQDKQC-MRXNPFEDSA-N 1 2 323.400 1.697 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN(CC#N)C2CCCC2)C1 ZINC000783995910 698901146 /nfs/dbraw/zinc/90/11/46/698901146.db2.gz UXFVAGRCUIDITF-CYBMUJFWSA-N 1 2 301.394 1.462 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@@H]3CCn4cc[nH+]c4C3)CCC[C@H]12 ZINC000991474554 699332788 /nfs/dbraw/zinc/33/27/88/699332788.db2.gz QQNLMTNMTTYMGR-JKIFEVAISA-N 1 2 313.405 1.082 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)N(C)Cc2ccc(C#N)cc2)CCO1 ZINC000726259052 699358507 /nfs/dbraw/zinc/35/85/07/699358507.db2.gz YXNWERYXGZHACB-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)N(C)Cc2ccc(C#N)cc2)CCO1 ZINC000726259052 699358511 /nfs/dbraw/zinc/35/85/11/699358511.db2.gz YXNWERYXGZHACB-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)CC[C@H]2CCS(=O)(=O)C2)n1 ZINC000789329636 699377889 /nfs/dbraw/zinc/37/78/89/699377889.db2.gz PKVDUOCZOBZNJO-AWEZNQCLSA-N 1 2 309.435 1.163 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)CC[C@H]2CCS(=O)(=O)C2)n1 ZINC000789329636 699377891 /nfs/dbraw/zinc/37/78/91/699377891.db2.gz PKVDUOCZOBZNJO-AWEZNQCLSA-N 1 2 309.435 1.163 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)COc1cccc(C#N)c1 ZINC000728282724 699443316 /nfs/dbraw/zinc/44/33/16/699443316.db2.gz TYKIPYZBQRQRDE-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)COc1cccc(C#N)c1 ZINC000728282724 699443318 /nfs/dbraw/zinc/44/33/18/699443318.db2.gz TYKIPYZBQRQRDE-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C(C)C)[NH+]2CCOCC2)nc1 ZINC000728805630 699456456 /nfs/dbraw/zinc/45/64/56/699456456.db2.gz DCQQJABGAVZMDN-MRXNPFEDSA-N 1 2 301.390 1.150 20 30 DDEDLO C=CCNC(=O)[C@@H](C)OC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccc1 ZINC000732356526 699557051 /nfs/dbraw/zinc/55/70/51/699557051.db2.gz JBKGRJODWMWYFY-ZBFHGGJFSA-N 1 2 316.401 1.885 20 30 DDEDLO C=CCNC(=O)[C@@H](C)OC(=O)[C@@H]1CCC[N@H+]1Cc1ccccc1 ZINC000732356526 699557052 /nfs/dbraw/zinc/55/70/52/699557052.db2.gz JBKGRJODWMWYFY-ZBFHGGJFSA-N 1 2 316.401 1.885 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(Cc2ccc(C#N)c(F)c2)CC1 ZINC000792255004 699687058 /nfs/dbraw/zinc/68/70/58/699687058.db2.gz LGUORFYYFMGKLE-OAQYLSRUSA-N 1 2 309.410 1.193 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[NH2+][C@H](C)c1nnnn1C1CC1 ZINC000792470335 699696813 /nfs/dbraw/zinc/69/68/13/699696813.db2.gz QVHCTJALBQVQJD-ZETOZRRWSA-N 1 2 319.413 1.102 20 30 DDEDLO CC(=NNC(=S)N(C)C)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000735615949 699698107 /nfs/dbraw/zinc/69/81/07/699698107.db2.gz TYDOXKXDBJXHGV-HNNXBMFYSA-N 1 2 320.462 1.699 20 30 DDEDLO CC(=NNC(=S)N(C)C)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000735615949 699698108 /nfs/dbraw/zinc/69/81/08/699698108.db2.gz TYDOXKXDBJXHGV-HNNXBMFYSA-N 1 2 320.462 1.699 20 30 DDEDLO C=CCn1nnn(CN2CCC[C@@H](n3cc[nH+]c3)C2)c1=S ZINC000738159928 699754403 /nfs/dbraw/zinc/75/44/03/699754403.db2.gz UYNTYJSONMGMIL-GFCCVEGCSA-N 1 2 305.411 1.486 20 30 DDEDLO N#C[C@H]1CN(C(=O)Nc2ccc3[nH+]ccn3c2)CCN1C1CC1 ZINC000738951557 699767709 /nfs/dbraw/zinc/76/77/09/699767709.db2.gz XLXRDTJWCNHOLJ-AWEZNQCLSA-N 1 2 310.361 1.538 20 30 DDEDLO COC(=O)/C(C)=C\C[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000801553605 700320357 /nfs/dbraw/zinc/32/03/57/700320357.db2.gz AYUIUTJNZDWQTB-ACAGNQJTSA-N 1 2 300.362 1.195 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cncc(Br)c1 ZINC000757386294 700662570 /nfs/dbraw/zinc/66/25/70/700662570.db2.gz DWGZWGRNCQYYBW-LBPRGKRZSA-N 1 2 308.179 1.880 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cncc(Br)c1 ZINC000757386294 700662571 /nfs/dbraw/zinc/66/25/71/700662571.db2.gz DWGZWGRNCQYYBW-LBPRGKRZSA-N 1 2 308.179 1.880 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@](C)(O)c1ccc(F)cc1 ZINC000759963526 700785398 /nfs/dbraw/zinc/78/53/98/700785398.db2.gz VLVDYNHQOHAMGS-RDJZCZTQSA-N 1 2 304.365 1.247 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@](C)(O)c1ccc(F)cc1 ZINC000759963526 700785400 /nfs/dbraw/zinc/78/54/00/700785400.db2.gz VLVDYNHQOHAMGS-RDJZCZTQSA-N 1 2 304.365 1.247 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NC1C[N@H+](C)C[C@H]1C ZINC000767394699 701103031 /nfs/dbraw/zinc/10/30/31/701103031.db2.gz ZWHWVJUUDLWGIV-SNVBAGLBSA-N 1 2 311.407 1.448 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NC1C[N@@H+](C)C[C@H]1C ZINC000767394699 701103034 /nfs/dbraw/zinc/10/30/34/701103034.db2.gz ZWHWVJUUDLWGIV-SNVBAGLBSA-N 1 2 311.407 1.448 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000803350816 701104658 /nfs/dbraw/zinc/10/46/58/701104658.db2.gz AIQRBJKGYSDWHJ-UHFFFAOYSA-N 1 2 312.373 1.523 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)OCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000767577937 701117552 /nfs/dbraw/zinc/11/75/52/701117552.db2.gz UGPKINHHKLEGRJ-GASCZTMLSA-N 1 2 300.358 1.887 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000767577937 701117553 /nfs/dbraw/zinc/11/75/53/701117553.db2.gz UGPKINHHKLEGRJ-GASCZTMLSA-N 1 2 300.358 1.887 20 30 DDEDLO CN(C)c1cc(COC(=O)[C@@H](O)c2ccc(C#N)cc2)cc[nH+]1 ZINC000767590210 701119103 /nfs/dbraw/zinc/11/91/03/701119103.db2.gz XUCKNLAFFOKYHV-INIZCTEOSA-N 1 2 311.341 1.796 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCCC2 ZINC000769961082 701262282 /nfs/dbraw/zinc/26/22/82/701262282.db2.gz HYZJOLPPFBJSLJ-JTQLQIEISA-N 1 2 319.430 1.618 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCCC2 ZINC000769961082 701262283 /nfs/dbraw/zinc/26/22/83/701262283.db2.gz HYZJOLPPFBJSLJ-JTQLQIEISA-N 1 2 319.430 1.618 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]2C1 ZINC000839593428 701748035 /nfs/dbraw/zinc/74/80/35/701748035.db2.gz RWGBVZFPJZZURZ-FRRDWIJNSA-N 1 2 310.394 1.513 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]2C1 ZINC000839593428 701748039 /nfs/dbraw/zinc/74/80/39/701748039.db2.gz RWGBVZFPJZZURZ-FRRDWIJNSA-N 1 2 310.394 1.513 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)CN1CC[C@@H](C)C1=O ZINC000839981047 701889444 /nfs/dbraw/zinc/88/94/44/701889444.db2.gz SRMAODWWLHZKBY-CQSZACIVSA-N 1 2 301.390 1.833 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)CN1CC[C@@H](C)C1=O ZINC000839981047 701889450 /nfs/dbraw/zinc/88/94/50/701889450.db2.gz SRMAODWWLHZKBY-CQSZACIVSA-N 1 2 301.390 1.833 20 30 DDEDLO C[C@H](O)CNc1cc(N(C)CCc2cccc(C#N)c2)nc[nH+]1 ZINC000866122730 706625580 /nfs/dbraw/zinc/62/55/80/706625580.db2.gz AQERMLMHVLKCRR-ZDUSSCGKSA-N 1 2 311.389 1.820 20 30 DDEDLO C[C@H](O)CNc1cc(N(C)CCc2cccc(C#N)c2)[nH+]cn1 ZINC000866122730 706625583 /nfs/dbraw/zinc/62/55/83/706625583.db2.gz AQERMLMHVLKCRR-ZDUSSCGKSA-N 1 2 311.389 1.820 20 30 DDEDLO C#CCn1cc(CNC(=O)c2ccc(Cn3cc[nH+]c3)cc2)cn1 ZINC000816370580 702075713 /nfs/dbraw/zinc/07/57/13/702075713.db2.gz FHRQPUPANUUIPC-UHFFFAOYSA-N 1 2 319.368 1.691 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@H](CNc3cc(C#N)ncn3)C2)c1 ZINC000866189717 706643812 /nfs/dbraw/zinc/64/38/12/706643812.db2.gz DDTNBLQURBBYKU-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@H](CNc3cc(C#N)ncn3)C2)c1 ZINC000866189717 706643814 /nfs/dbraw/zinc/64/38/14/706643814.db2.gz DDTNBLQURBBYKU-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@H](CNc3cc(C#N)cnn3)C2)c1 ZINC000866189847 706643893 /nfs/dbraw/zinc/64/38/93/706643893.db2.gz FBGWVKXSIZISJP-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@H](CNc3cc(C#N)cnn3)C2)c1 ZINC000866189847 706643898 /nfs/dbraw/zinc/64/38/98/706643898.db2.gz FBGWVKXSIZISJP-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO C[C@H]1C[N@H+](CCOC(=O)[C@@H]2C[C@H]2c2cccc(C#N)c2)CCO1 ZINC000840605639 702170319 /nfs/dbraw/zinc/17/03/19/702170319.db2.gz PGYCHRAJCAVQRQ-RRQGHBQHSA-N 1 2 314.385 1.926 20 30 DDEDLO C[C@H]1C[N@@H+](CCOC(=O)[C@@H]2C[C@H]2c2cccc(C#N)c2)CCO1 ZINC000840605639 702170321 /nfs/dbraw/zinc/17/03/21/702170321.db2.gz PGYCHRAJCAVQRQ-RRQGHBQHSA-N 1 2 314.385 1.926 20 30 DDEDLO C#C[C@H](CCC)NC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000868536205 702184194 /nfs/dbraw/zinc/18/41/94/702184194.db2.gz LJBKONLYZVMWIJ-OAHLLOKOSA-N 1 2 315.421 1.148 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC(F)(F)[C@H](C(=O)OCC)C2)C1=O ZINC000879368482 706664518 /nfs/dbraw/zinc/66/45/18/706664518.db2.gz QDQMXGFMRJPHKQ-RYUDHWBXSA-N 1 2 316.348 1.294 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC(F)(F)[C@H](C(=O)OCC)C2)C1=O ZINC000879368482 706664521 /nfs/dbraw/zinc/66/45/21/706664521.db2.gz QDQMXGFMRJPHKQ-RYUDHWBXSA-N 1 2 316.348 1.294 20 30 DDEDLO CNC(=S)N(C)N=C(C)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000841648191 702517481 /nfs/dbraw/zinc/51/74/81/702517481.db2.gz YIHCCZWNIYJXMZ-OAHLLOKOSA-N 1 2 320.462 1.699 20 30 DDEDLO CNC(=S)N(C)N=C(C)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000841648191 702517487 /nfs/dbraw/zinc/51/74/87/702517487.db2.gz YIHCCZWNIYJXMZ-OAHLLOKOSA-N 1 2 320.462 1.699 20 30 DDEDLO C=C[C@H](C)ONC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000845640076 703186063 /nfs/dbraw/zinc/18/60/63/703186063.db2.gz UDFZSULFLSHMMS-HNNXBMFYSA-N 1 2 319.405 1.899 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](Cc2nnc3n2c2ccccc2c(=O)n3C)C1 ZINC000847030168 703368654 /nfs/dbraw/zinc/36/86/54/703368654.db2.gz OZRUCHSAZWCZMY-ZDUSSCGKSA-N 1 2 321.384 1.426 20 30 DDEDLO C#C[C@H]1CCC[N@H+](Cc2nnc3n2c2ccccc2c(=O)n3C)C1 ZINC000847030168 703368656 /nfs/dbraw/zinc/36/86/56/703368656.db2.gz OZRUCHSAZWCZMY-ZDUSSCGKSA-N 1 2 321.384 1.426 20 30 DDEDLO C#C[C@@H]1CCCN(C(=O)C[NH+]2CCN(c3ccccn3)CC2)C1 ZINC000848285090 703535923 /nfs/dbraw/zinc/53/59/23/703535923.db2.gz QEHKEFFXKINYAA-MRXNPFEDSA-N 1 2 312.417 1.075 20 30 DDEDLO CC(CN1Cc2ccccc2C1=O)=NNCCCn1cc[nH+]c1 ZINC000848416967 703547861 /nfs/dbraw/zinc/54/78/61/703547861.db2.gz FZSBWXATZSOYAB-UHFFFAOYSA-N 1 2 311.389 1.895 20 30 DDEDLO C#CCOCC[N@H+]1CCN(C(=O)OC(C)(C)C)C[C@](C)(F)C1 ZINC000852096812 703922206 /nfs/dbraw/zinc/92/22/06/703922206.db2.gz FXJNLHCZPSYJOR-MRXNPFEDSA-N 1 2 314.401 1.917 20 30 DDEDLO C#CCOCC[N@@H+]1CCN(C(=O)OC(C)(C)C)C[C@](C)(F)C1 ZINC000852096812 703922207 /nfs/dbraw/zinc/92/22/07/703922207.db2.gz FXJNLHCZPSYJOR-MRXNPFEDSA-N 1 2 314.401 1.917 20 30 DDEDLO C[C@H](C#N)Oc1ccccc1NC[C@@H](O)C[NH+]1CCOCC1 ZINC000819467405 704130659 /nfs/dbraw/zinc/13/06/59/704130659.db2.gz FQTIUJUIALAJSK-ZIAGYGMSSA-N 1 2 305.378 1.082 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000820059545 704213078 /nfs/dbraw/zinc/21/30/78/704213078.db2.gz IINMHCDNVLWKSP-JTQLQIEISA-N 1 2 307.300 1.123 20 30 DDEDLO O=S1(=O)CCCC(=[NH+]n2cc(Br)cn2)CC1 ZINC000853324836 704234060 /nfs/dbraw/zinc/23/40/60/704234060.db2.gz OLYZHZRKMCOCKU-UHFFFAOYSA-N 1 2 306.185 1.448 20 30 DDEDLO C[N@@H+](CC1=CCCOC1)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000853562154 704271007 /nfs/dbraw/zinc/27/10/07/704271007.db2.gz FCXFNGUAFFUTOF-UHFFFAOYSA-N 1 2 313.401 1.835 20 30 DDEDLO C[N@H+](CC1=CCCOC1)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000853562154 704271008 /nfs/dbraw/zinc/27/10/08/704271008.db2.gz FCXFNGUAFFUTOF-UHFFFAOYSA-N 1 2 313.401 1.835 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000853620293 704287468 /nfs/dbraw/zinc/28/74/68/704287468.db2.gz XWUDNEULUAPHQN-UHFFFAOYSA-N 1 2 321.421 1.416 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000853620293 704287470 /nfs/dbraw/zinc/28/74/70/704287470.db2.gz XWUDNEULUAPHQN-UHFFFAOYSA-N 1 2 321.421 1.416 20 30 DDEDLO C#C[C@H](NC(=O)NC(C)(C)C[NH+]1CCOCC1)c1ccccc1 ZINC000820583025 704304651 /nfs/dbraw/zinc/30/46/51/704304651.db2.gz DPRDFRQHZWXGPV-INIZCTEOSA-N 1 2 315.417 1.771 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N[C@@H]2CCn3c[nH+]cc32)cc1 ZINC000857980232 704654575 /nfs/dbraw/zinc/65/45/75/704654575.db2.gz VLRQJZWWXCHLNR-HUUCEWRRSA-N 1 2 305.341 1.698 20 30 DDEDLO C#CC[C@@H](CCOC)Nc1cc(N2CCSCC2)nc[nH+]1 ZINC000858856464 704764664 /nfs/dbraw/zinc/76/46/64/704764664.db2.gz DWBMLUZDYIUVOW-ZDUSSCGKSA-N 1 2 306.435 1.870 20 30 DDEDLO C#CC[C@@H](CCOC)Nc1cc(N2CCSCC2)[nH+]cn1 ZINC000858856464 704764666 /nfs/dbraw/zinc/76/46/66/704764666.db2.gz DWBMLUZDYIUVOW-ZDUSSCGKSA-N 1 2 306.435 1.870 20 30 DDEDLO CC[N@H+](CCC#N)[C@H]1CN(C(=O)OC(C)(C)C)CC[C@@]1(C)O ZINC000859155735 704805874 /nfs/dbraw/zinc/80/58/74/704805874.db2.gz TYOFFNOZCPTVEY-XJKSGUPXSA-N 1 2 311.426 1.982 20 30 DDEDLO CC[N@@H+](CCC#N)[C@H]1CN(C(=O)OC(C)(C)C)CC[C@@]1(C)O ZINC000859155735 704805879 /nfs/dbraw/zinc/80/58/79/704805879.db2.gz TYOFFNOZCPTVEY-XJKSGUPXSA-N 1 2 311.426 1.982 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)c1 ZINC000874096395 704941010 /nfs/dbraw/zinc/94/10/10/704941010.db2.gz IFUCGVRIBSSJNQ-HUUCEWRRSA-N 1 2 322.368 1.592 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)c1 ZINC000874096395 704941013 /nfs/dbraw/zinc/94/10/13/704941013.db2.gz IFUCGVRIBSSJNQ-HUUCEWRRSA-N 1 2 322.368 1.592 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000822681330 705006163 /nfs/dbraw/zinc/00/61/63/705006163.db2.gz ZFESJENVBUMSPT-QWRGUYRKSA-N 1 2 303.284 1.267 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(C#N)cc1 ZINC000875064545 705270163 /nfs/dbraw/zinc/27/01/63/705270163.db2.gz HIEIOADPFATOFF-MRXNPFEDSA-N 1 2 316.405 1.468 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(C#N)cc1 ZINC000875064545 705270168 /nfs/dbraw/zinc/27/01/68/705270168.db2.gz HIEIOADPFATOFF-MRXNPFEDSA-N 1 2 316.405 1.468 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000875917194 705558549 /nfs/dbraw/zinc/55/85/49/705558549.db2.gz AXMLHLVZAYJKAE-XHSDSOJGSA-N 1 2 318.421 1.904 20 30 DDEDLO N#CCN1CC[NH+](Cc2c(O)cccc2Br)CC1 ZINC000862238092 705685456 /nfs/dbraw/zinc/68/54/56/705685456.db2.gz LHUCFYBBBZDANZ-UHFFFAOYSA-N 1 2 310.195 1.796 20 30 DDEDLO Cc1[nH]c(CN[C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]2C#N)[nH+]c1C ZINC000862324128 705696574 /nfs/dbraw/zinc/69/65/74/705696574.db2.gz DAZBAUHNDBMCKW-QWHCGFSZSA-N 1 2 319.409 1.875 20 30 DDEDLO COc1cc(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)ccc1C#N ZINC000826571256 705817302 /nfs/dbraw/zinc/81/73/02/705817302.db2.gz FVAXLMAJKCRGFM-CHWSQXEVSA-N 1 2 317.389 1.406 20 30 DDEDLO C#CC1CCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)CC1 ZINC000826709973 705854013 /nfs/dbraw/zinc/85/40/13/705854013.db2.gz CGOLCYLZRKIITK-OAHLLOKOSA-N 1 2 300.406 1.677 20 30 DDEDLO C#CC1CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)CC1 ZINC000826709973 705854014 /nfs/dbraw/zinc/85/40/14/705854014.db2.gz CGOLCYLZRKIITK-OAHLLOKOSA-N 1 2 300.406 1.677 20 30 DDEDLO C=CC[C@H](C(=O)OC)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000878253560 706339374 /nfs/dbraw/zinc/33/93/74/706339374.db2.gz PIVIEWWTTQUCMR-MRXNPFEDSA-N 1 2 304.390 1.628 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+](C)CCN1C(=O)c2ccccc2C1=O ZINC000878299350 706351374 /nfs/dbraw/zinc/35/13/74/706351374.db2.gz RJQNMKKGCZGELD-CQSZACIVSA-N 1 2 316.357 1.332 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+](C)CCN1C(=O)c2ccccc2C1=O ZINC000878299350 706351375 /nfs/dbraw/zinc/35/13/75/706351375.db2.gz RJQNMKKGCZGELD-CQSZACIVSA-N 1 2 316.357 1.332 20 30 DDEDLO C[C@H](CC#N)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000829908278 706417585 /nfs/dbraw/zinc/41/75/85/706417585.db2.gz NSTKESLPYWPQBD-CHWSQXEVSA-N 1 2 324.425 1.203 20 30 DDEDLO C[C@H](CC#N)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000829908278 706417587 /nfs/dbraw/zinc/41/75/87/706417587.db2.gz NSTKESLPYWPQBD-CHWSQXEVSA-N 1 2 324.425 1.203 20 30 DDEDLO C#CC1CC[NH+](CC(=O)Nc2ccc(OC)cc2[N+](=O)[O-])CC1 ZINC000830329968 706497147 /nfs/dbraw/zinc/49/71/47/706497147.db2.gz NSBIDRYGQNWZEQ-UHFFFAOYSA-N 1 2 317.345 1.887 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@H](C(F)(F)F)CC1 ZINC000880046533 706863038 /nfs/dbraw/zinc/86/30/38/706863038.db2.gz XTOSXTVMMJTVRL-MNOVXSKESA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@H](C(F)(F)F)CC1 ZINC000880046533 706863040 /nfs/dbraw/zinc/86/30/40/706863040.db2.gz XTOSXTVMMJTVRL-MNOVXSKESA-N 1 2 307.316 1.400 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2[C@@H]1C ZINC000880090728 706876278 /nfs/dbraw/zinc/87/62/78/706876278.db2.gz NLMDZVURVGJNJL-LBPRGKRZSA-N 1 2 304.390 1.925 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2[C@@H]1C ZINC000880090728 706876280 /nfs/dbraw/zinc/87/62/80/706876280.db2.gz NLMDZVURVGJNJL-LBPRGKRZSA-N 1 2 304.390 1.925 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC000867551911 707037065 /nfs/dbraw/zinc/03/70/65/707037065.db2.gz XRZYDSHRYUOPFO-HNNXBMFYSA-N 1 2 301.390 1.324 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC000867551911 707037066 /nfs/dbraw/zinc/03/70/66/707037066.db2.gz XRZYDSHRYUOPFO-HNNXBMFYSA-N 1 2 301.390 1.324 20 30 DDEDLO CC(C)(CNS(=O)(=O)c1cccc(F)c1C#N)n1cc[nH+]c1 ZINC000867722819 707085803 /nfs/dbraw/zinc/08/58/03/707085803.db2.gz NBBWTKRJFNXWTE-UHFFFAOYSA-N 1 2 322.365 1.607 20 30 DDEDLO COc1cc(C(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)ccc1C#N ZINC000834708835 707105123 /nfs/dbraw/zinc/10/51/23/707105123.db2.gz BNBNYKIQWKGHJV-ZDUSSCGKSA-N 1 2 317.389 1.360 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1cccc(CC#N)n1)[NH+]1CCOCC1 ZINC000881153791 707139027 /nfs/dbraw/zinc/13/90/27/707139027.db2.gz HSMCKOVIUPEHLJ-QWHCGFSZSA-N 1 2 317.393 1.378 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1C[C@H](C)[N@@H+](C)C[C@H]1C ZINC000872468507 707430230 /nfs/dbraw/zinc/43/02/30/707430230.db2.gz WHROSWOBQJFQJM-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1C[C@H](C)[N@H+](C)C[C@H]1C ZINC000872468507 707430232 /nfs/dbraw/zinc/43/02/32/707430232.db2.gz WHROSWOBQJFQJM-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N2C[C@H]([NH+]3CCOCC3)C[C@H]2C)CC1 ZINC000873296708 707802338 /nfs/dbraw/zinc/80/23/38/707802338.db2.gz LPQHYEAJXUITGS-QBPKDAKJSA-N 1 2 319.449 1.683 20 30 DDEDLO C[C@@H]([NH2+]CC[C@@H]1CCCS1(=O)=O)c1cccc(C#N)c1O ZINC000883309966 707973742 /nfs/dbraw/zinc/97/37/42/707973742.db2.gz XWCFBWIIICLSLD-YPMHNXCESA-N 1 2 308.403 1.882 20 30 DDEDLO N#CCNC(=O)C[NH+]1CCC(Oc2ccc(Cl)cn2)CC1 ZINC000839203078 708036224 /nfs/dbraw/zinc/03/62/24/708036224.db2.gz TXTNAKURYREAIE-UHFFFAOYSA-N 1 2 308.769 1.218 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccnn1C1CCCC1 ZINC000884055272 708119622 /nfs/dbraw/zinc/11/96/22/708119622.db2.gz HMVDHKVGOONWPE-LBPRGKRZSA-N 1 2 306.366 1.383 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H]1c1ccsc1 ZINC000884080431 708129990 /nfs/dbraw/zinc/12/99/90/708129990.db2.gz VRNUCPBHLADAFG-QWHCGFSZSA-N 1 2 308.403 1.858 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCc2ccc(F)cc21 ZINC000884129211 708152593 /nfs/dbraw/zinc/15/25/93/708152593.db2.gz ASCZUAGWGIDFAK-UONOGXRCSA-N 1 2 306.337 1.376 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2ccccc2[C@@H]1CC ZINC000884139630 708157436 /nfs/dbraw/zinc/15/74/36/708157436.db2.gz XPQSCDJVQKEKPT-HOTGVXAUSA-N 1 2 316.401 1.969 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCC[C@H]1SCC ZINC000884169499 708169650 /nfs/dbraw/zinc/16/96/50/708169650.db2.gz DVTDBYZOUYXVME-QJPTWQEYSA-N 1 2 300.424 1.223 20 30 DDEDLO N#Cc1csc(CNc2cc(N3CCSCC3)nc[nH+]2)n1 ZINC000896931062 708186140 /nfs/dbraw/zinc/18/61/40/708186140.db2.gz XBTNZHDDVNNWNJ-UHFFFAOYSA-N 1 2 318.431 1.970 20 30 DDEDLO N#Cc1csc(CNc2cc(N3CCSCC3)[nH+]cn2)n1 ZINC000896931062 708186142 /nfs/dbraw/zinc/18/61/42/708186142.db2.gz XBTNZHDDVNNWNJ-UHFFFAOYSA-N 1 2 318.431 1.970 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000897360283 708304290 /nfs/dbraw/zinc/30/42/90/708304290.db2.gz BWPGSDWJVCPZRC-LSDHHAIUSA-N 1 2 300.406 1.677 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000897360283 708304293 /nfs/dbraw/zinc/30/42/93/708304293.db2.gz BWPGSDWJVCPZRC-LSDHHAIUSA-N 1 2 300.406 1.677 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1 ZINC000897641128 708403594 /nfs/dbraw/zinc/40/35/94/708403594.db2.gz WBJGCJMDXOSKBE-MAZHCROVSA-N 1 2 318.421 1.887 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1 ZINC000897641128 708403595 /nfs/dbraw/zinc/40/35/95/708403595.db2.gz WBJGCJMDXOSKBE-MAZHCROVSA-N 1 2 318.421 1.887 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@H]1CCC[N@H+](CCF)C1 ZINC000898489299 708654929 /nfs/dbraw/zinc/65/49/29/708654929.db2.gz LOHBAYIJRXGNHQ-HIFRSBDPSA-N 1 2 319.380 1.876 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@H]1CCC[N@@H+](CCF)C1 ZINC000898489299 708654932 /nfs/dbraw/zinc/65/49/32/708654932.db2.gz LOHBAYIJRXGNHQ-HIFRSBDPSA-N 1 2 319.380 1.876 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000927764885 713050244 /nfs/dbraw/zinc/05/02/44/713050244.db2.gz JQJIXKVFOMZWED-UHFFFAOYSA-N 1 2 315.402 1.710 20 30 DDEDLO C#Cc1cncc(C(=O)NCc2nc(C[NH+](C)C)cs2)c1 ZINC000927765665 713050700 /nfs/dbraw/zinc/05/07/00/713050700.db2.gz QYWVCJWOPXLZPA-UHFFFAOYSA-N 1 2 300.387 1.511 20 30 DDEDLO C#CCN(C(=O)c1cc(F)c(O)c(F)c1)C1CC[NH+](C)CC1 ZINC000886579127 708780631 /nfs/dbraw/zinc/78/06/31/708780631.db2.gz ZCZHIYIPGNHGDL-UHFFFAOYSA-N 1 2 308.328 1.840 20 30 DDEDLO Cc1onc(CC(=O)NCc2nc(C[NH+](C)C)cs2)c1C#N ZINC000927768809 713051836 /nfs/dbraw/zinc/05/18/36/713051836.db2.gz MZCWJCOHARZCJO-UHFFFAOYSA-N 1 2 319.390 1.232 20 30 DDEDLO COC(=O)[C@H](C[C@@H]1CCCO1)[NH2+]C[C@@H](O)CC1(C#N)CCC1 ZINC000886941514 708898634 /nfs/dbraw/zinc/89/86/34/708898634.db2.gz GFBNUCNTTLXUTJ-IHRRRGAJSA-N 1 2 310.394 1.132 20 30 DDEDLO CC(C)(CNC(=O)Cc1cccc(OCC#N)c1)n1cc[nH+]c1 ZINC000898904883 708918051 /nfs/dbraw/zinc/91/80/51/708918051.db2.gz MCWIRIWNMCKFAU-UHFFFAOYSA-N 1 2 312.373 1.879 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)CCCC1 ZINC000899064213 708971196 /nfs/dbraw/zinc/97/11/96/708971196.db2.gz VGMOWEZHCJQQLU-UHFFFAOYSA-N 1 2 308.422 1.688 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)CCCC1 ZINC000899064213 708971198 /nfs/dbraw/zinc/97/11/98/708971198.db2.gz VGMOWEZHCJQQLU-UHFFFAOYSA-N 1 2 308.422 1.688 20 30 DDEDLO C[C@H](NC(=O)Nc1cc(C#N)ccc1F)[C@H](C)[NH+]1CCOCC1 ZINC000888356696 709287114 /nfs/dbraw/zinc/28/71/14/709287114.db2.gz SUVSTBLODUYMQX-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO N#CC1CCC(Cn2cc(C[NH+]3CCC(O)CC3)nn2)CC1 ZINC000900582964 709662145 /nfs/dbraw/zinc/66/21/45/709662145.db2.gz ZAPKOBNLLYIGIY-UHFFFAOYSA-N 1 2 303.410 1.565 20 30 DDEDLO C[C@@H](O)C[NH+]1CCN(C(=O)C(F)(F)c2ccccc2C#N)CC1 ZINC000900600379 709670765 /nfs/dbraw/zinc/67/07/65/709670765.db2.gz BRJRPBFBRCXMSH-GFCCVEGCSA-N 1 2 323.343 1.175 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)c1cccc(C#CCO)c1 ZINC000901187739 709960911 /nfs/dbraw/zinc/96/09/11/709960911.db2.gz BRIZVRILWULYRU-QGZVFWFLSA-N 1 2 321.380 1.434 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(F)c(C)c2)C1 ZINC000891606777 710246558 /nfs/dbraw/zinc/24/65/58/710246558.db2.gz PDZAELVQUSDOGF-AWEZNQCLSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(F)c(C)c2)C1 ZINC000891606777 710246560 /nfs/dbraw/zinc/24/65/60/710246560.db2.gz PDZAELVQUSDOGF-AWEZNQCLSA-N 1 2 317.364 1.286 20 30 DDEDLO CC(C)[C@H]1CC(=O)N(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1 ZINC000902600674 710811036 /nfs/dbraw/zinc/81/10/36/710811036.db2.gz ZFEXZIMPWAWKIF-AWEZNQCLSA-N 1 2 306.406 1.786 20 30 DDEDLO CCn1cc(C[NH2+][C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)nn1 ZINC000894006802 710922310 /nfs/dbraw/zinc/92/23/10/710922310.db2.gz ZLKLNRPIJBPGEX-INIZCTEOSA-N 1 2 324.388 1.060 20 30 DDEDLO CCn1cc(C[NH2+][C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)nn1 ZINC000894006803 710922462 /nfs/dbraw/zinc/92/24/62/710922462.db2.gz ZLKLNRPIJBPGEX-MRXNPFEDSA-N 1 2 324.388 1.060 20 30 DDEDLO COc1ccc2nc(C[NH2+][C@@H]3CCCN(O)C3=O)sc2c1 ZINC000895159979 711436981 /nfs/dbraw/zinc/43/69/81/711436981.db2.gz JQMUMVJYNQMZTO-LLVKDONJSA-N 1 2 307.375 1.775 20 30 DDEDLO N#Cc1cc(N2CC[NH+](Cc3cn[nH]c3)CC2)nc(C2CC2)n1 ZINC000895960798 711656111 /nfs/dbraw/zinc/65/61/11/711656111.db2.gz YAXRTEHXANFERE-UHFFFAOYSA-N 1 2 309.377 1.271 20 30 DDEDLO Cc1ccc(CNC(=O)NCC[N@@H+]2CCO[C@H](C)C2)cc1C#N ZINC000915830259 713432685 /nfs/dbraw/zinc/43/26/85/713432685.db2.gz SEABNJDDEJPBHL-CQSZACIVSA-N 1 2 316.405 1.387 20 30 DDEDLO Cc1ccc(CNC(=O)NCC[N@H+]2CCO[C@H](C)C2)cc1C#N ZINC000915830259 713432686 /nfs/dbraw/zinc/43/26/86/713432686.db2.gz SEABNJDDEJPBHL-CQSZACIVSA-N 1 2 316.405 1.387 20 30 DDEDLO Cn1ncc2c1ncnc2N=NC1CCN(c2cccc[nH+]2)CC1 ZINC000915961640 713436321 /nfs/dbraw/zinc/43/63/21/713436321.db2.gz IGGOLJLQGOPZES-UHFFFAOYSA-N 1 2 322.376 1.827 20 30 DDEDLO N#CC[C@@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(F)(F)F ZINC000928800950 713483072 /nfs/dbraw/zinc/48/30/72/713483072.db2.gz QEROOXMTMXPVLD-SNVBAGLBSA-N 1 2 300.284 1.723 20 30 DDEDLO N#Cc1cccc(NC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)c1 ZINC000929214400 713568451 /nfs/dbraw/zinc/56/84/51/713568451.db2.gz ATICOTGFJKROHW-CVEARBPZSA-N 1 2 315.373 1.377 20 30 DDEDLO COc1ccc(CN2CC[NH+](C[C@H](O)CC#N)CC2)cc1F ZINC000929237476 713573613 /nfs/dbraw/zinc/57/36/13/713573613.db2.gz RCMCMQSHZUYWNM-CQSZACIVSA-N 1 2 307.369 1.226 20 30 DDEDLO C=CC[N@H+](Cc1cc(Br)ccc1F)C[C@H](O)CO ZINC000929427625 713608575 /nfs/dbraw/zinc/60/85/75/713608575.db2.gz KLVAAMQRXIGEKV-LBPRGKRZSA-N 1 2 318.186 1.929 20 30 DDEDLO C=CC[N@@H+](Cc1cc(Br)ccc1F)C[C@H](O)CO ZINC000929427625 713608577 /nfs/dbraw/zinc/60/85/77/713608577.db2.gz KLVAAMQRXIGEKV-LBPRGKRZSA-N 1 2 318.186 1.929 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](C[C@H](O)C3(O)CCCC3)CCO2)c1 ZINC000930135397 713764156 /nfs/dbraw/zinc/76/41/56/713764156.db2.gz NATBXNPENSSCSP-IRXDYDNUSA-N 1 2 316.401 1.598 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](C[C@H](O)C3(O)CCCC3)CCO2)c1 ZINC000930135397 713764158 /nfs/dbraw/zinc/76/41/58/713764158.db2.gz NATBXNPENSSCSP-IRXDYDNUSA-N 1 2 316.401 1.598 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2ccccc2OC(F)F)CC1 ZINC000931142695 714014242 /nfs/dbraw/zinc/01/42/42/714014242.db2.gz MCWVFJJWIPTTIA-UHFFFAOYSA-N 1 2 324.327 1.687 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2cccc(NC(C)=O)c2)CC1 ZINC000931144244 714014600 /nfs/dbraw/zinc/01/46/00/714014600.db2.gz QWYCRTDTGGSQHU-UHFFFAOYSA-N 1 2 315.373 1.044 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2cc(C(C)(C)C)nn2C)CC1 ZINC000931148219 714016235 /nfs/dbraw/zinc/01/62/35/714016235.db2.gz SMFSOGWTNFAXLS-UHFFFAOYSA-N 1 2 318.421 1.116 20 30 DDEDLO CC(C)C[C@](C)(C#N)NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000931302093 714061052 /nfs/dbraw/zinc/06/10/52/714061052.db2.gz GAMUGODLKGHTHZ-HLLBOEOZSA-N 1 2 323.437 1.311 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(C#N)c(F)c1 ZINC000931478880 714107147 /nfs/dbraw/zinc/10/71/47/714107147.db2.gz GMIRYTHIVFSZBC-ZDUSSCGKSA-N 1 2 320.368 1.930 20 30 DDEDLO N#CC[C@@H](O)C[NH+]1CCN(Cc2ccc(O)c(Cl)c2)CC1 ZINC000931518452 714117684 /nfs/dbraw/zinc/11/76/84/714117684.db2.gz PGURRVXBFVGTSK-CYBMUJFWSA-N 1 2 309.797 1.438 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(C#N)cn1 ZINC000932111979 714262175 /nfs/dbraw/zinc/26/21/75/714262175.db2.gz UXBQSHCGLPXXRA-CYBMUJFWSA-N 1 2 303.366 1.186 20 30 DDEDLO CN(C[C@@](C)(O)C[N@@H+]1CCC[C@H](C#N)C1)C(=O)OC(C)(C)C ZINC000932154924 714272962 /nfs/dbraw/zinc/27/29/62/714272962.db2.gz RTPZVFRDDYZMOO-CZUORRHYSA-N 1 2 311.426 1.840 20 30 DDEDLO CN(C[C@@](C)(O)C[N@H+]1CCC[C@H](C#N)C1)C(=O)OC(C)(C)C ZINC000932154924 714272964 /nfs/dbraw/zinc/27/29/64/714272964.db2.gz RTPZVFRDDYZMOO-CZUORRHYSA-N 1 2 311.426 1.840 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2oc(C(=O)OC)cc2C)C1 ZINC000923599030 714425454 /nfs/dbraw/zinc/42/54/54/714425454.db2.gz ZGPUJRDWFCKMLE-GFCCVEGCSA-N 1 2 304.346 1.202 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2oc(C(=O)OC)cc2C)C1 ZINC000923599030 714425455 /nfs/dbraw/zinc/42/54/55/714425455.db2.gz ZGPUJRDWFCKMLE-GFCCVEGCSA-N 1 2 304.346 1.202 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@H+]1CC[C@H](CS(N)(=O)=O)C1 ZINC000932948843 714442699 /nfs/dbraw/zinc/44/26/99/714442699.db2.gz JWWSQRBOCJQEHI-JTQLQIEISA-N 1 2 313.810 1.322 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@@H+]1CC[C@H](CS(N)(=O)=O)C1 ZINC000932948843 714442700 /nfs/dbraw/zinc/44/27/00/714442700.db2.gz JWWSQRBOCJQEHI-JTQLQIEISA-N 1 2 313.810 1.322 20 30 DDEDLO N#C[C@]12C[N@H+]([C@@H]3CCCc4ccccc43)C[C@H]1CS(=O)(=O)C2 ZINC000932966653 714445901 /nfs/dbraw/zinc/44/59/01/714445901.db2.gz IWMLPVFUBMHGAU-USXIJHARSA-N 1 2 316.426 1.934 20 30 DDEDLO N#C[C@]12C[N@@H+]([C@@H]3CCCc4ccccc43)C[C@H]1CS(=O)(=O)C2 ZINC000932966653 714445902 /nfs/dbraw/zinc/44/59/02/714445902.db2.gz IWMLPVFUBMHGAU-USXIJHARSA-N 1 2 316.426 1.934 20 30 DDEDLO Cc1cc(C)[nH+]c(CN2C[C@@H]3CS(=O)(=O)C[C@@]3(C#N)C2)c1 ZINC000933113787 714517128 /nfs/dbraw/zinc/51/71/28/714517128.db2.gz GVJGHORMIPHURM-UKRRQHHQSA-N 1 2 305.403 1.069 20 30 DDEDLO COC[C@@H]1CC[C@@H]([C@@H]2COCC[N@@H+]2Cc2ccc(C#N)cc2)O1 ZINC000933199686 714534369 /nfs/dbraw/zinc/53/43/69/714534369.db2.gz UIZWYWJKOLPITO-BZSNNMDCSA-N 1 2 316.401 1.953 20 30 DDEDLO COC[C@@H]1CC[C@@H]([C@@H]2COCC[N@H+]2Cc2ccc(C#N)cc2)O1 ZINC000933199686 714534372 /nfs/dbraw/zinc/53/43/72/714534372.db2.gz UIZWYWJKOLPITO-BZSNNMDCSA-N 1 2 316.401 1.953 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@@H+]2CC(=O)NC[C@H]2c2ccccc2)cc1 ZINC000933328057 714563387 /nfs/dbraw/zinc/56/33/87/714563387.db2.gz WMHYKHSTVFDRHT-ZWKOTPCHSA-N 1 2 321.380 1.765 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@H+]2CC(=O)NC[C@H]2c2ccccc2)cc1 ZINC000933328057 714563390 /nfs/dbraw/zinc/56/33/90/714563390.db2.gz WMHYKHSTVFDRHT-ZWKOTPCHSA-N 1 2 321.380 1.765 20 30 DDEDLO O=C1C=COC2(CC[NH+](CC[S@@](=O)C(F)(F)F)CC2)C1 ZINC000933644942 714637436 /nfs/dbraw/zinc/63/74/36/714637436.db2.gz XVOQDXDMEAUKEE-HXUWFJFHSA-N 1 2 311.325 1.593 20 30 DDEDLO C[N@H+](CC(=O)NCC(=O)OC(C)(C)C)Cc1cc(C#N)cs1 ZINC000933773035 714669510 /nfs/dbraw/zinc/66/95/10/714669510.db2.gz AECGPSWKHDGXTK-UHFFFAOYSA-N 1 2 323.418 1.509 20 30 DDEDLO C[N@@H+](CC(=O)NCC(=O)OC(C)(C)C)Cc1cc(C#N)cs1 ZINC000933773035 714669511 /nfs/dbraw/zinc/66/95/11/714669511.db2.gz AECGPSWKHDGXTK-UHFFFAOYSA-N 1 2 323.418 1.509 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000937572354 715410270 /nfs/dbraw/zinc/41/02/70/715410270.db2.gz AOFAIYZKTCYRCJ-KBPBESRZSA-N 1 2 314.389 1.120 20 30 DDEDLO C=CCN1CC(N(CC)C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000957089929 715740649 /nfs/dbraw/zinc/74/06/49/715740649.db2.gz VMNCDBGSRUJIJB-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc3c(s2)CCOC3)C1 ZINC000957292615 715819160 /nfs/dbraw/zinc/81/91/60/715819160.db2.gz CXMDMOPUGJIFOZ-UHFFFAOYSA-N 1 2 318.442 1.990 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(OC)ccc2OC)C1 ZINC000957371969 715866929 /nfs/dbraw/zinc/86/69/29/715866929.db2.gz CAMZDPJAHKRQMS-UHFFFAOYSA-N 1 2 316.401 1.873 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000938531670 715891841 /nfs/dbraw/zinc/89/18/41/715891841.db2.gz GFYCMAOLRKDBFO-NSHDSACASA-N 1 2 324.812 1.448 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1C[C@H](O)[C@@H](CNC(=O)C2CC2)C1 ZINC000957783408 716042219 /nfs/dbraw/zinc/04/22/19/716042219.db2.gz GYYVONXYKOREDS-HOCLYGCPSA-N 1 2 317.364 1.016 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)C2CC2)C1 ZINC000957783408 716042224 /nfs/dbraw/zinc/04/22/24/716042224.db2.gz GYYVONXYKOREDS-HOCLYGCPSA-N 1 2 317.364 1.016 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2scnc2C)C1 ZINC000957884109 716216888 /nfs/dbraw/zinc/21/68/88/716216888.db2.gz ZLALDKYDOMDNRM-MNOVXSKESA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2scnc2C)C1 ZINC000957884109 716216892 /nfs/dbraw/zinc/21/68/92/716216892.db2.gz ZLALDKYDOMDNRM-MNOVXSKESA-N 1 2 315.826 1.227 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@]2(C)OCCc3ccccc32)CC1 ZINC000957900010 716222023 /nfs/dbraw/zinc/22/20/23/716222023.db2.gz NXVKCVGBFSDLAT-SFHVURJKSA-N 1 2 300.402 1.805 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCO1 ZINC000958169219 716370954 /nfs/dbraw/zinc/37/09/54/716370954.db2.gz MDGVNADZPKCVCS-WHOHXGKFSA-N 1 2 315.211 1.120 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCO1 ZINC000958169219 716370959 /nfs/dbraw/zinc/37/09/59/716370959.db2.gz MDGVNADZPKCVCS-WHOHXGKFSA-N 1 2 315.211 1.120 20 30 DDEDLO C[N@@H+](Cc1ccon1)C[C@H]1CCCN1C(=O)c1ccc(C#N)[nH]1 ZINC000960566604 716613995 /nfs/dbraw/zinc/61/39/95/716613995.db2.gz ZSTIOXCYBWNGAT-CQSZACIVSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@H+](Cc1ccon1)C[C@H]1CCCN1C(=O)c1ccc(C#N)[nH]1 ZINC000960566604 716613997 /nfs/dbraw/zinc/61/39/97/716613997.db2.gz ZSTIOXCYBWNGAT-CQSZACIVSA-N 1 2 313.361 1.611 20 30 DDEDLO N#CCN1CC[C@@H]([C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC000961237130 716875314 /nfs/dbraw/zinc/87/53/14/716875314.db2.gz BPJTUSZUQWEUJA-ZIAGYGMSSA-N 1 2 301.394 1.036 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ccn(CC)n4)C[C@H]32)C1 ZINC000961732758 717078496 /nfs/dbraw/zinc/07/84/96/717078496.db2.gz NQHASOWSQWZZMX-ZSHCYNCHSA-N 1 2 314.433 1.806 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ccn(CC)n4)C[C@H]32)C1 ZINC000961732758 717078499 /nfs/dbraw/zinc/07/84/99/717078499.db2.gz NQHASOWSQWZZMX-ZSHCYNCHSA-N 1 2 314.433 1.806 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+]([C@@H](C)c4nnc(C)o4)C[C@H]32)C1 ZINC000961736279 717079370 /nfs/dbraw/zinc/07/93/70/717079370.db2.gz WCFPOOFRMHAHIZ-SCUASFONSA-N 1 2 316.405 1.842 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+]([C@@H](C)c4nnc(C)o4)C[C@H]32)C1 ZINC000961736279 717079373 /nfs/dbraw/zinc/07/93/73/717079373.db2.gz WCFPOOFRMHAHIZ-SCUASFONSA-N 1 2 316.405 1.842 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@]3(C)CC=CCC3)CC2)C1 ZINC000941422164 717174302 /nfs/dbraw/zinc/17/43/02/717174302.db2.gz GCTVTYSENTZZQY-IBGZPJMESA-N 1 2 315.461 1.585 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3(C(C)C)CC3)CC2)C1 ZINC000941558518 717209824 /nfs/dbraw/zinc/20/98/24/717209824.db2.gz FFYDYZWBGCHJDV-UHFFFAOYSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3C[C@]3(C)C(C)C)CC2)C1 ZINC000941621724 717232796 /nfs/dbraw/zinc/23/27/96/717232796.db2.gz BTVVTNNFMCZZLJ-SJLPKXTDSA-N 1 2 303.450 1.130 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C(C)(C)C(F)(F)F)CC2)C1 ZINC000941645029 717241565 /nfs/dbraw/zinc/24/15/65/717241565.db2.gz KCXUZDMQSBPVKC-UHFFFAOYSA-N 1 2 317.355 1.037 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CCN(C(=O)Cn3cc[nH+]c3)CC2)C1 ZINC000947275424 719097176 /nfs/dbraw/zinc/09/71/76/719097176.db2.gz WFBMNMGANYJBGB-UHFFFAOYSA-N 1 2 316.405 1.347 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(NC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC000947717430 719251771 /nfs/dbraw/zinc/25/17/71/719251771.db2.gz BWDVGBKITHOJTK-UHFFFAOYSA-N 1 2 318.421 1.580 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](N(C)C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000948378572 719473921 /nfs/dbraw/zinc/47/39/21/719473921.db2.gz YHFQCYBJWVVNFZ-CABCVRRESA-N 1 2 314.433 1.776 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](N(C)C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000948378572 719473927 /nfs/dbraw/zinc/47/39/27/719473927.db2.gz YHFQCYBJWVVNFZ-CABCVRRESA-N 1 2 314.433 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cncc(OC)n2)C1 ZINC000968390982 719552907 /nfs/dbraw/zinc/55/29/07/719552907.db2.gz OQBOPGMEDNARRZ-GXFFZTMASA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cncc(OC)n2)C1 ZINC000968390982 719552908 /nfs/dbraw/zinc/55/29/08/719552908.db2.gz OQBOPGMEDNARRZ-GXFFZTMASA-N 1 2 324.812 1.678 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC000968467280 719602485 /nfs/dbraw/zinc/60/24/85/719602485.db2.gz ZMNQQKLRBXMFII-BXKDBHETSA-N 1 2 314.349 1.143 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccccc3C)CC2)C1 ZINC000949285402 719994417 /nfs/dbraw/zinc/99/44/17/719994417.db2.gz BXTGGKRDBZDSSG-UHFFFAOYSA-N 1 2 312.413 1.935 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccccc3C)CC2)C1 ZINC000949285402 719994422 /nfs/dbraw/zinc/99/44/22/719994422.db2.gz BXTGGKRDBZDSSG-UHFFFAOYSA-N 1 2 312.413 1.935 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CC[C@H]3CCCO3)CC2)C1 ZINC000949304828 720004515 /nfs/dbraw/zinc/00/45/15/720004515.db2.gz KNTAZEOBMWPHKU-MRXNPFEDSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CC[C@H]3CCCO3)CC2)C1 ZINC000949304828 720004516 /nfs/dbraw/zinc/00/45/16/720004516.db2.gz KNTAZEOBMWPHKU-MRXNPFEDSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H](C)n3cccc3)CC2)C1 ZINC000949340584 720027939 /nfs/dbraw/zinc/02/79/39/720027939.db2.gz URBOKMOBCKBWGM-INIZCTEOSA-N 1 2 315.417 1.376 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H](C)n3cccc3)CC2)C1 ZINC000949340584 720027940 /nfs/dbraw/zinc/02/79/40/720027940.db2.gz URBOKMOBCKBWGM-INIZCTEOSA-N 1 2 315.417 1.376 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3c(C)coc3C)CC2)C1 ZINC000949355570 720037229 /nfs/dbraw/zinc/03/72/29/720037229.db2.gz ROZKEMQRIOFIDR-UHFFFAOYSA-N 1 2 316.401 1.837 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3c(C)coc3C)CC2)C1 ZINC000949355570 720037232 /nfs/dbraw/zinc/03/72/32/720037232.db2.gz ROZKEMQRIOFIDR-UHFFFAOYSA-N 1 2 316.401 1.837 20 30 DDEDLO C=CC[N@@H+]1CCOC2(CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC000949369749 720043712 /nfs/dbraw/zinc/04/37/12/720043712.db2.gz CEZSYKDQAKCEKV-LLVKDONJSA-N 1 2 324.318 1.766 20 30 DDEDLO C=CC[N@H+]1CCOC2(CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC000949369749 720043719 /nfs/dbraw/zinc/04/37/19/720043719.db2.gz CEZSYKDQAKCEKV-LLVKDONJSA-N 1 2 324.318 1.766 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@@H](CC)O3)CC2)C1 ZINC000949379007 720049698 /nfs/dbraw/zinc/04/96/98/720049698.db2.gz JQHYJLYVCXVYGP-CVEARBPZSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@@H](CC)O3)CC2)C1 ZINC000949379007 720049703 /nfs/dbraw/zinc/04/97/03/720049703.db2.gz JQHYJLYVCXVYGP-CVEARBPZSA-N 1 2 320.433 1.271 20 30 DDEDLO Cc1conc1C[NH+]1CC([C@H](C)NC(=O)c2ccc(C#N)[nH]2)C1 ZINC000969513426 720169684 /nfs/dbraw/zinc/16/96/84/720169684.db2.gz CYAOHFRCMWPJIG-NSHDSACASA-N 1 2 313.361 1.433 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCN(Cc3c[nH+]cn3C)CC2)cc1 ZINC000949593706 720196623 /nfs/dbraw/zinc/19/66/23/720196623.db2.gz GTNFDTLMPDFNIU-UHFFFAOYSA-N 1 2 308.385 1.359 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC000969791237 720293924 /nfs/dbraw/zinc/29/39/24/720293924.db2.gz CKJGROLGTYZJPR-SECBINFHSA-N 1 2 320.784 1.156 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC000970241685 720675255 /nfs/dbraw/zinc/67/52/55/720675255.db2.gz LWJYBDDWFTUNGB-IPYPFGDCSA-N 1 2 320.393 1.050 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cc(=O)[nH]c(C3CC3)n2)C1 ZINC000950573316 720690016 /nfs/dbraw/zinc/69/00/16/720690016.db2.gz OYOFCGDTBGIKHM-UHFFFAOYSA-N 1 2 302.378 1.392 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2nccn3ccnc23)C1 ZINC000970445458 720764456 /nfs/dbraw/zinc/76/44/56/720764456.db2.gz SHLDJQINFKKRRR-NSHDSACASA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)CN1CC([C@@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC000970444094 720764563 /nfs/dbraw/zinc/76/45/63/720764563.db2.gz DXGYNLTZVROJLW-MRVWCRGKSA-N 1 2 322.840 1.713 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C2C[NH+](CC(=C)Cl)C2)nc1 ZINC000970763616 720926779 /nfs/dbraw/zinc/92/67/79/720926779.db2.gz MMXDOSSYEDOHJH-GFCCVEGCSA-N 1 2 303.793 1.866 20 30 DDEDLO C=CC[NH+]1CC([C@H](C)NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC000970930932 720993992 /nfs/dbraw/zinc/99/39/92/720993992.db2.gz HBZWVMLASGHCAH-LBPRGKRZSA-N 1 2 311.389 1.708 20 30 DDEDLO CO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971159060 721145950 /nfs/dbraw/zinc/14/59/50/721145950.db2.gz XHNJLVGCMODARH-MLGOLLRUSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971159060 721145953 /nfs/dbraw/zinc/14/59/53/721145953.db2.gz XHNJLVGCMODARH-MLGOLLRUSA-N 1 2 319.380 1.765 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000971217408 721184341 /nfs/dbraw/zinc/18/43/41/721184341.db2.gz DVRCUWQDUZAKSG-JQWIXIFHSA-N 1 2 323.828 1.574 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+](C)[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000971217408 721184344 /nfs/dbraw/zinc/18/43/44/721184344.db2.gz DVRCUWQDUZAKSG-JQWIXIFHSA-N 1 2 323.828 1.574 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C3CC3)o2)C1 ZINC000971219048 721185843 /nfs/dbraw/zinc/18/58/43/721185843.db2.gz VNRROXUIKNMMBA-DGCLKSJQSA-N 1 2 302.378 1.744 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C3CC3)o2)C1 ZINC000971219048 721185847 /nfs/dbraw/zinc/18/58/47/721185847.db2.gz VNRROXUIKNMMBA-DGCLKSJQSA-N 1 2 302.378 1.744 20 30 DDEDLO C[N@H+](Cc1nccs1)[C@@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971367557 721260993 /nfs/dbraw/zinc/26/09/93/721260993.db2.gz TWJWIDGGOZBATJ-GFCCVEGCSA-N 1 2 315.402 1.689 20 30 DDEDLO C[N@@H+](Cc1nccs1)[C@@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971367557 721260997 /nfs/dbraw/zinc/26/09/97/721260997.db2.gz TWJWIDGGOZBATJ-GFCCVEGCSA-N 1 2 315.402 1.689 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971511729 721332796 /nfs/dbraw/zinc/33/27/96/721332796.db2.gz PNZMWPWVUMDFSX-CYBMUJFWSA-N 1 2 304.419 1.293 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971511729 721332799 /nfs/dbraw/zinc/33/27/99/721332799.db2.gz PNZMWPWVUMDFSX-CYBMUJFWSA-N 1 2 304.419 1.293 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC000952151150 721335278 /nfs/dbraw/zinc/33/52/78/721335278.db2.gz DOVNRQTUUKSOSC-CYBMUJFWSA-N 1 2 316.405 1.416 20 30 DDEDLO C=CC[NH+]1CCN(c2nc(CC)ncc2C(=O)OCC)CC1 ZINC001165034374 721872510 /nfs/dbraw/zinc/87/25/10/721872510.db2.gz IOZAROWAIUKACG-UHFFFAOYSA-N 1 2 304.394 1.524 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(C)s3)C2)C1 ZINC000972688202 735473356 /nfs/dbraw/zinc/47/33/56/735473356.db2.gz NBKPJXHLQAWIGT-KRWDZBQOSA-N 1 2 318.442 1.536 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(C)s3)C2)C1 ZINC000972688202 735473358 /nfs/dbraw/zinc/47/33/58/735473358.db2.gz NBKPJXHLQAWIGT-KRWDZBQOSA-N 1 2 318.442 1.536 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc2c1OCCO2 ZINC001038325479 736426787 /nfs/dbraw/zinc/42/67/87/736426787.db2.gz DOPSRUSANPNUMH-ZDUSSCGKSA-N 1 2 300.358 1.285 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc2c1OCCO2 ZINC001038325479 736426788 /nfs/dbraw/zinc/42/67/88/736426788.db2.gz DOPSRUSANPNUMH-ZDUSSCGKSA-N 1 2 300.358 1.285 20 30 DDEDLO C#CCSCC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC001125352157 732889706 /nfs/dbraw/zinc/88/97/06/732889706.db2.gz RNUPYQAACRBYBH-UHFFFAOYSA-N 1 2 303.362 1.994 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)no1 ZINC001027813274 738689366 /nfs/dbraw/zinc/68/93/66/738689366.db2.gz QDGGDPKMYOXBES-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)no1 ZINC001027813274 738689368 /nfs/dbraw/zinc/68/93/68/738689368.db2.gz QDGGDPKMYOXBES-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO CCc1nnc(C[NH2+]C[C@@H]2C[C@H](C)CCN2C(=O)[C@@H](C)C#N)o1 ZINC001087135997 733464546 /nfs/dbraw/zinc/46/45/46/733464546.db2.gz HRPHRILWPLSCTR-AGIUHOORSA-N 1 2 319.409 1.508 20 30 DDEDLO Cn1cnc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2ccccc2C#N)n1 ZINC001027867639 738754736 /nfs/dbraw/zinc/75/47/36/738754736.db2.gz WVALTSGMXISSOJ-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cnc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2ccccc2C#N)n1 ZINC001027867639 738754740 /nfs/dbraw/zinc/75/47/40/738754740.db2.gz WVALTSGMXISSOJ-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(Cl)c3)[C@H]2C1 ZINC001083255690 734978941 /nfs/dbraw/zinc/97/89/41/734978941.db2.gz IVMGUJQTLUUTFZ-JKSUJKDBSA-N 1 2 318.804 1.889 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(Cl)c3)[C@H]2C1 ZINC001083255690 734978944 /nfs/dbraw/zinc/97/89/44/734978944.db2.gz IVMGUJQTLUUTFZ-JKSUJKDBSA-N 1 2 318.804 1.889 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCc2cncn2C1 ZINC001027980967 738902547 /nfs/dbraw/zinc/90/25/47/738902547.db2.gz HDPXMGIEEBFZOQ-ZIAGYGMSSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCc2cncn2C1 ZINC001027980967 738902550 /nfs/dbraw/zinc/90/25/50/738902550.db2.gz HDPXMGIEEBFZOQ-ZIAGYGMSSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCN(C)C1=O ZINC001024605538 735975310 /nfs/dbraw/zinc/97/53/10/735975310.db2.gz XOMGNSGVQOXVQK-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCN(C)C1=O ZINC001024605538 735975312 /nfs/dbraw/zinc/97/53/12/735975312.db2.gz XOMGNSGVQOXVQK-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)o1 ZINC001024902467 736159735 /nfs/dbraw/zinc/15/97/35/736159735.db2.gz SCIKCKCRFQRQJD-QWHCGFSZSA-N 1 2 319.409 1.823 20 30 DDEDLO Cc1nc(N[C@@H](C)CNC(=O)CCn2cc[nH+]c2)ccc1C#N ZINC001098167568 736215945 /nfs/dbraw/zinc/21/59/45/736215945.db2.gz DXRDKXSEWODYHI-LBPRGKRZSA-N 1 2 312.377 1.465 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2cc[n+]([O-])cc2)cc1 ZINC001038046701 736263490 /nfs/dbraw/zinc/26/34/90/736263490.db2.gz ZSPILFUHWPJZPD-KRWDZBQOSA-N 1 2 322.368 1.196 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cc[n+]([O-])cc2)cc1 ZINC001038046701 736263493 /nfs/dbraw/zinc/26/34/93/736263493.db2.gz ZSPILFUHWPJZPD-KRWDZBQOSA-N 1 2 322.368 1.196 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)N(C)C(=O)Cc1c[nH+]cn1C ZINC001104624638 736463132 /nfs/dbraw/zinc/46/31/32/736463132.db2.gz OFTKTHUDISMKAD-LBPRGKRZSA-N 1 2 312.377 1.188 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001098602299 736749386 /nfs/dbraw/zinc/74/93/86/736749386.db2.gz ODTMGIZNJGNZQZ-KGLIPLIRSA-N 1 2 304.394 1.827 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(OC(C)C)nc1 ZINC001038330483 736791228 /nfs/dbraw/zinc/79/12/28/736791228.db2.gz TUHRYTPOLTYTRN-HNNXBMFYSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(OC(C)C)nc1 ZINC001038330483 736791233 /nfs/dbraw/zinc/79/12/33/736791233.db2.gz TUHRYTPOLTYTRN-HNNXBMFYSA-N 1 2 301.390 1.696 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001028049227 738966590 /nfs/dbraw/zinc/96/65/90/738966590.db2.gz FPADVWWLAJMBCH-STQMWFEESA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001028049227 738966592 /nfs/dbraw/zinc/96/65/92/738966592.db2.gz FPADVWWLAJMBCH-STQMWFEESA-N 1 2 313.829 1.236 20 30 DDEDLO CC(C)(C(=O)N1C[C@@H]2CC[C@H](NCC#N)[C@H]2C1)c1c[nH+]c[nH]1 ZINC001026399893 737480617 /nfs/dbraw/zinc/48/06/17/737480617.db2.gz AEXBGNLDARXBHM-AVGNSLFASA-N 1 2 301.394 1.037 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3CC[C@@H](C)C3)C2)nn1 ZINC001105336883 738035005 /nfs/dbraw/zinc/03/50/05/738035005.db2.gz NAERRZOCCWBQGS-ZIAGYGMSSA-N 1 2 315.421 1.211 20 30 DDEDLO COc1ccsc1C[NH+]1CCN(c2nccnc2C#N)CC1 ZINC001141327297 738168045 /nfs/dbraw/zinc/16/80/45/738168045.db2.gz OJXSNPADGPLMGZ-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N1CCC[C@H](N(C)CC#N)C1)C2 ZINC001027472123 738326577 /nfs/dbraw/zinc/32/65/77/738326577.db2.gz ZBSLBZVZMSGQBE-KBPBESRZSA-N 1 2 315.421 1.269 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N1CCC[C@H](N(C)CC#N)C1)CC2 ZINC001027472123 738326582 /nfs/dbraw/zinc/32/65/82/738326582.db2.gz ZBSLBZVZMSGQBE-KBPBESRZSA-N 1 2 315.421 1.269 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccn(CC(C)C)n2)C1 ZINC001107977995 751399630 /nfs/dbraw/zinc/39/96/30/751399630.db2.gz YXEBBXRYZVFGSF-QGZVFWFLSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccn(CC(C)C)n2)C1 ZINC001107977995 751399635 /nfs/dbraw/zinc/39/96/35/751399635.db2.gz YXEBBXRYZVFGSF-QGZVFWFLSA-N 1 2 320.437 1.546 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(CCC)c1C1CC1 ZINC001038900563 739106602 /nfs/dbraw/zinc/10/66/02/739106602.db2.gz NYLXVQUXKZXQSZ-CQSZACIVSA-N 1 2 300.406 1.608 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(CCC)c1C1CC1 ZINC001038900563 739106603 /nfs/dbraw/zinc/10/66/03/739106603.db2.gz NYLXVQUXKZXQSZ-CQSZACIVSA-N 1 2 300.406 1.608 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(N(C)C)nn1 ZINC001028268769 739256767 /nfs/dbraw/zinc/25/67/67/739256767.db2.gz ZUNRXVJLDSELKF-GFCCVEGCSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(N(C)C)nn1 ZINC001028268769 739256771 /nfs/dbraw/zinc/25/67/71/739256771.db2.gz ZUNRXVJLDSELKF-GFCCVEGCSA-N 1 2 323.828 1.489 20 30 DDEDLO C=CCOC(=O)CC[C@H]([NH2+][C@@H]1C[C@H]2C[C@H]2C1)C(=O)OCC=C ZINC001167915089 739323117 /nfs/dbraw/zinc/32/31/17/739323117.db2.gz ZPMIOTIGRKBBMU-XGUBFFRZSA-N 1 2 307.390 1.982 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)nc1 ZINC001028347780 739384102 /nfs/dbraw/zinc/38/41/02/739384102.db2.gz CPRXIVYDQXPBPB-GFCCVEGCSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)nc1 ZINC001028347780 739384105 /nfs/dbraw/zinc/38/41/05/739384105.db2.gz CPRXIVYDQXPBPB-GFCCVEGCSA-N 1 2 322.796 1.127 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3C=CC=CC=C3)C2)nn1 ZINC001098681442 739721297 /nfs/dbraw/zinc/72/12/97/739721297.db2.gz STIGSIIETYRTDF-KRWDZBQOSA-N 1 2 323.400 1.073 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001035411342 751492888 /nfs/dbraw/zinc/49/28/88/751492888.db2.gz CXUQIEHZIOHHQX-CYBMUJFWSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001035411342 751492893 /nfs/dbraw/zinc/49/28/93/751492893.db2.gz CXUQIEHZIOHHQX-CYBMUJFWSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cncc(OC)c2C)C1 ZINC001035418014 751498346 /nfs/dbraw/zinc/49/83/46/751498346.db2.gz AHUTULYSCLPBAT-CYBMUJFWSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cncc(OC)c2C)C1 ZINC001035418014 751498350 /nfs/dbraw/zinc/49/83/50/751498350.db2.gz AHUTULYSCLPBAT-CYBMUJFWSA-N 1 2 305.378 1.015 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+](Cc2nncs2)C1 ZINC001028812552 740071834 /nfs/dbraw/zinc/07/18/34/740071834.db2.gz FXXABRLQSZDCJY-XQQFMLRXSA-N 1 2 322.434 1.067 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+](Cc2nncs2)C1 ZINC001028812552 740071835 /nfs/dbraw/zinc/07/18/35/740071835.db2.gz FXXABRLQSZDCJY-XQQFMLRXSA-N 1 2 322.434 1.067 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H](NC(=O)C=C2CCC2)[C@@H](O)C1 ZINC001083400777 740112962 /nfs/dbraw/zinc/11/29/62/740112962.db2.gz GHJUPBHNOGOQRH-SJORKVTESA-N 1 2 311.385 1.330 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H](NC(=O)C=C2CCC2)[C@@H](O)C1 ZINC001083400777 740112964 /nfs/dbraw/zinc/11/29/64/740112964.db2.gz GHJUPBHNOGOQRH-SJORKVTESA-N 1 2 311.385 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)cc(OC)c2C)C1 ZINC001035434469 751511807 /nfs/dbraw/zinc/51/18/07/751511807.db2.gz ZUBTUVIGRNNYBO-HNNXBMFYSA-N 1 2 318.417 1.929 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)cc(OC)c2C)C1 ZINC001035434469 751511810 /nfs/dbraw/zinc/51/18/10/751511810.db2.gz ZUBTUVIGRNNYBO-HNNXBMFYSA-N 1 2 318.417 1.929 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccnc2C(F)F)C1 ZINC001035438026 751515312 /nfs/dbraw/zinc/51/53/12/751515312.db2.gz ISRJFMJLLFDJSI-LLVKDONJSA-N 1 2 311.332 1.636 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccnc2C(F)F)C1 ZINC001035438026 751515314 /nfs/dbraw/zinc/51/53/14/751515314.db2.gz ISRJFMJLLFDJSI-LLVKDONJSA-N 1 2 311.332 1.636 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001075780437 740450236 /nfs/dbraw/zinc/45/02/36/740450236.db2.gz MZLKJOMQVQJVFW-UONOGXRCSA-N 1 2 316.430 1.293 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001075780437 740450237 /nfs/dbraw/zinc/45/02/37/740450237.db2.gz MZLKJOMQVQJVFW-UONOGXRCSA-N 1 2 316.430 1.293 20 30 DDEDLO N#Cc1cnc(N[C@H]2C[C@H](NC(=O)Cn3cc[nH+]c3)C2)c(F)c1 ZINC001059250244 740480533 /nfs/dbraw/zinc/48/05/33/740480533.db2.gz LDWRBPFBNNMSBJ-HAQNSBGRSA-N 1 2 314.324 1.048 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001059313646 740606747 /nfs/dbraw/zinc/60/67/47/740606747.db2.gz DFAADISKFSODID-CYBMUJFWSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@H](C)C(=O)NC1CC1 ZINC001029422164 740758931 /nfs/dbraw/zinc/75/89/31/740758931.db2.gz XBEOADZSXCWOIT-YUELXQCFSA-N 1 2 319.449 1.541 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)NC1CC1 ZINC001029422164 740758936 /nfs/dbraw/zinc/75/89/36/740758936.db2.gz XBEOADZSXCWOIT-YUELXQCFSA-N 1 2 319.449 1.541 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@](C)(C=C)CC)C2)nn1 ZINC001098721119 741073316 /nfs/dbraw/zinc/07/33/16/741073316.db2.gz BFFSVVWEAMERQI-DOTOQJQBSA-N 1 2 315.421 1.377 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029802214 741319116 /nfs/dbraw/zinc/31/91/16/741319116.db2.gz XXQQCQINBXOPIB-ZDUSSCGKSA-N 1 2 305.426 1.606 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029802214 741319119 /nfs/dbraw/zinc/31/91/19/741319119.db2.gz XXQQCQINBXOPIB-ZDUSSCGKSA-N 1 2 305.426 1.606 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(Cl)s2)C1 ZINC001035596897 751654061 /nfs/dbraw/zinc/65/40/61/751654061.db2.gz IBECKYGXWXHDBD-NSHDSACASA-N 1 2 312.822 1.855 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(Cl)s2)C1 ZINC001035596897 751654063 /nfs/dbraw/zinc/65/40/63/751654063.db2.gz IBECKYGXWXHDBD-NSHDSACASA-N 1 2 312.822 1.855 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(OC)ccc2OC)C1 ZINC001035600909 751659307 /nfs/dbraw/zinc/65/93/07/751659307.db2.gz TYCKMWVUUZJUQY-AWEZNQCLSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(OC)ccc2OC)C1 ZINC001035600909 751659313 /nfs/dbraw/zinc/65/93/13/751659313.db2.gz TYCKMWVUUZJUQY-AWEZNQCLSA-N 1 2 320.389 1.320 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001059871097 741814167 /nfs/dbraw/zinc/81/41/67/741814167.db2.gz ULZCZPLJCHWYEW-XJFOESAGSA-N 1 2 322.372 1.539 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001059871097 741814172 /nfs/dbraw/zinc/81/41/72/741814172.db2.gz ULZCZPLJCHWYEW-XJFOESAGSA-N 1 2 322.372 1.539 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC001035582927 751675072 /nfs/dbraw/zinc/67/50/72/751675072.db2.gz LMHPALBZAGTXBA-OAHLLOKOSA-N 1 2 305.422 1.830 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC001035582927 751675076 /nfs/dbraw/zinc/67/50/76/751675076.db2.gz LMHPALBZAGTXBA-OAHLLOKOSA-N 1 2 305.422 1.830 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3c(C)ncn3C)[C@@H]2C1 ZINC001075911789 741933079 /nfs/dbraw/zinc/93/30/79/741933079.db2.gz CQBMVVZAZDKNPG-QWHCGFSZSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3c(C)ncn3C)[C@@H]2C1 ZINC001075911789 741933082 /nfs/dbraw/zinc/93/30/82/741933082.db2.gz CQBMVVZAZDKNPG-QWHCGFSZSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)[C@H]1C ZINC001088657380 742055394 /nfs/dbraw/zinc/05/53/94/742055394.db2.gz CKAGUWHIZZPPBS-TYNCELHUSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)[C@H]1C ZINC001088657380 742055400 /nfs/dbraw/zinc/05/54/00/742055400.db2.gz CKAGUWHIZZPPBS-TYNCELHUSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)CCN(C)c1cc[nH+]c(C)n1 ZINC001105586139 742129429 /nfs/dbraw/zinc/12/94/29/742129429.db2.gz KTJODXRMUIWLKM-HOCLYGCPSA-N 1 2 318.421 1.661 20 30 DDEDLO Cc1nn(C)cc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038112245 742196773 /nfs/dbraw/zinc/19/67/73/742196773.db2.gz FYECAECPFHYBLR-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1nn(C)cc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038112245 742196776 /nfs/dbraw/zinc/19/67/76/742196776.db2.gz FYECAECPFHYBLR-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CC3CCC3)C2)nn1 ZINC001098652910 742253169 /nfs/dbraw/zinc/25/31/69/742253169.db2.gz OWSOWWCIPHLOJG-MRXNPFEDSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)[C@H]1C ZINC001088823906 742464917 /nfs/dbraw/zinc/46/49/17/742464917.db2.gz JFHVAYUXBRGCIG-UTUOFQBUSA-N 1 2 323.828 1.556 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)[C@H]1C ZINC001088823906 742464918 /nfs/dbraw/zinc/46/49/18/742464918.db2.gz JFHVAYUXBRGCIG-UTUOFQBUSA-N 1 2 323.828 1.556 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)[C@@H](O)C1 ZINC001083539297 742499849 /nfs/dbraw/zinc/49/98/49/742499849.db2.gz PXSHXQQBDZKIAB-VQHPVUNQSA-N 1 2 304.434 1.387 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)[C@@H](O)C1 ZINC001083539297 742499850 /nfs/dbraw/zinc/49/98/50/742499850.db2.gz PXSHXQQBDZKIAB-VQHPVUNQSA-N 1 2 304.434 1.387 20 30 DDEDLO CN(C(=O)CCc1[nH]cc[nH+]1)C1CC(Nc2ccncc2C#N)C1 ZINC001126919992 742580275 /nfs/dbraw/zinc/58/02/75/742580275.db2.gz LPMXSWQSGUZENI-UHFFFAOYSA-N 1 2 324.388 1.132 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]3C[N@@H+](CC(=C)Cl)C[C@H]32)nc1 ZINC001076475830 742749876 /nfs/dbraw/zinc/74/98/76/742749876.db2.gz HVEFEPQAUBWVKI-GOEBONIOSA-N 1 2 315.804 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]3C[N@H+](CC(=C)Cl)C[C@H]32)nc1 ZINC001076475830 742749880 /nfs/dbraw/zinc/74/98/80/742749880.db2.gz HVEFEPQAUBWVKI-GOEBONIOSA-N 1 2 315.804 1.962 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@@H](C)C1 ZINC001121509289 782541104 /nfs/dbraw/zinc/54/11/04/782541104.db2.gz DIXJEGCHAKBSAP-MCIONIFRSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@@H](C)C1 ZINC001121509289 782541098 /nfs/dbraw/zinc/54/10/98/782541098.db2.gz DIXJEGCHAKBSAP-MCIONIFRSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001077141552 743272024 /nfs/dbraw/zinc/27/20/24/743272024.db2.gz PNRZZQAGPVQVJB-YNEHKIRRSA-N 1 2 318.421 1.736 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001077141552 743272028 /nfs/dbraw/zinc/27/20/28/743272028.db2.gz PNRZZQAGPVQVJB-YNEHKIRRSA-N 1 2 318.421 1.736 20 30 DDEDLO CCC(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C[C@H]1O ZINC001089981140 743374663 /nfs/dbraw/zinc/37/46/63/743374663.db2.gz OYBMWTHXMPKKBB-LSDHHAIUSA-N 1 2 305.353 1.159 20 30 DDEDLO CCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C[C@H]1O ZINC001089981140 743374671 /nfs/dbraw/zinc/37/46/71/743374671.db2.gz OYBMWTHXMPKKBB-LSDHHAIUSA-N 1 2 305.353 1.159 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)[C@H]3CCCO3)C2)s1 ZINC001006673412 751825418 /nfs/dbraw/zinc/82/54/18/751825418.db2.gz ULQKKGIDNUUQEU-IUODEOHRSA-N 1 2 319.430 1.879 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)[C@H]3CCCO3)C2)s1 ZINC001006673412 751825423 /nfs/dbraw/zinc/82/54/23/751825423.db2.gz ULQKKGIDNUUQEU-IUODEOHRSA-N 1 2 319.430 1.879 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccs1)C2 ZINC001110250030 743454451 /nfs/dbraw/zinc/45/44/51/743454451.db2.gz QXEFIUPAVVTIOF-MCIONIFRSA-N 1 2 304.415 1.733 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccs1)C2 ZINC001110250030 743454455 /nfs/dbraw/zinc/45/44/55/743454455.db2.gz QXEFIUPAVVTIOF-MCIONIFRSA-N 1 2 304.415 1.733 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C3CC3)n(C)n2)C1 ZINC001107985484 751827488 /nfs/dbraw/zinc/82/74/88/751827488.db2.gz LJXJHUYROHJSKP-KRWDZBQOSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C3CC3)n(C)n2)C1 ZINC001107985484 751827494 /nfs/dbraw/zinc/82/74/94/751827494.db2.gz LJXJHUYROHJSKP-KRWDZBQOSA-N 1 2 318.421 1.304 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(OCC)s2)C1 ZINC001182220991 743533547 /nfs/dbraw/zinc/53/35/47/743533547.db2.gz SYJVHWQRCXJMDG-GFCCVEGCSA-N 1 2 322.434 1.431 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CCC[N@H+](CC#Cc3ccccc3)C2)cn1 ZINC001006714442 751841076 /nfs/dbraw/zinc/84/10/76/751841076.db2.gz UPBQCXHANPXSPS-GOSISDBHSA-N 1 2 322.412 1.666 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)cn1 ZINC001006714442 751841087 /nfs/dbraw/zinc/84/10/87/751841087.db2.gz UPBQCXHANPXSPS-GOSISDBHSA-N 1 2 322.412 1.666 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001182390036 743607704 /nfs/dbraw/zinc/60/77/04/743607704.db2.gz XHDXMMFEOJMWKV-CYBMUJFWSA-N 1 2 304.394 1.372 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2ncc(C(C)C)o2)C1 ZINC001182472597 743644264 /nfs/dbraw/zinc/64/42/64/743644264.db2.gz FYAOJZRVIAXGCY-UONOGXRCSA-N 1 2 319.405 1.527 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C(C)(C)OC(=O)c2ccccc2)CC1 ZINC001182722672 743697594 /nfs/dbraw/zinc/69/75/94/743697594.db2.gz MUUOESRUCBTQSN-UHFFFAOYSA-N 1 2 316.401 1.952 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)[C@@H](C)c1ccc(C#N)cc1 ZINC001182800426 743719826 /nfs/dbraw/zinc/71/98/26/743719826.db2.gz UDAOSJRBXUQLBM-ZDUSSCGKSA-N 1 2 312.373 1.821 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCCN2C(=O)Cn2cc[nH+]c2)nc1 ZINC001060257910 743743818 /nfs/dbraw/zinc/74/38/18/743743818.db2.gz ULPPJPNCRFBSKS-OAHLLOKOSA-N 1 2 324.388 1.643 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1)OCC ZINC001182973614 743764322 /nfs/dbraw/zinc/76/43/22/743764322.db2.gz PNUVVGZDBILGKN-TZMCWYRMSA-N 1 2 324.450 1.600 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C[C@@H](C)COC)CC2)C1 ZINC001105730119 743766590 /nfs/dbraw/zinc/76/65/90/743766590.db2.gz JEEFLYDHBNDJGI-OAHLLOKOSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C[C@H]1C[C@@]1(NC(=O)CCc1ccc(N)[nH+]c1)C(=O)OCC ZINC001183935106 743930210 /nfs/dbraw/zinc/93/02/10/743930210.db2.gz GVNYGBMLEPJUOK-LRDDRELGSA-N 1 2 303.362 1.220 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@@H](CNCC#N)[C@@H](C)C2)c[nH+]1 ZINC001184651733 744077982 /nfs/dbraw/zinc/07/79/82/744077982.db2.gz HTOMHQRPPQNCAH-ZFWWWQNUSA-N 1 2 317.437 1.524 20 30 DDEDLO N#Cc1c(Cl)cc(C(=O)NCCn2cc[nH+]c2)nc1Cl ZINC001184787792 744100829 /nfs/dbraw/zinc/10/08/29/744100829.db2.gz JFCNSZGQLRCJPR-UHFFFAOYSA-N 1 2 310.144 1.887 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001185019348 744138960 /nfs/dbraw/zinc/13/89/60/744138960.db2.gz GDLBFELEPAWAML-CYBMUJFWSA-N 1 2 304.394 1.516 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H](C)CC(C)C)C2)nn1 ZINC001098756797 744186575 /nfs/dbraw/zinc/18/65/75/744186575.db2.gz DPINWYSFRBMRDB-GDBMZVCRSA-N 1 2 317.437 1.457 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C(C)(C)F)C2)nn1 ZINC001185842522 744298724 /nfs/dbraw/zinc/29/87/24/744298724.db2.gz IKVKLJSBBTVBTK-AWEZNQCLSA-N 1 2 321.400 1.303 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C(F)=C(C)C)C2)nn1 ZINC001185915401 744313875 /nfs/dbraw/zinc/31/38/75/744313875.db2.gz DXRNIEQZUWGXDX-AWEZNQCLSA-N 1 2 319.384 1.428 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC2(CN(CC#N)C2)CC1 ZINC001035814455 751916956 /nfs/dbraw/zinc/91/69/56/751916956.db2.gz PVIFPNFUTDEFPP-CYBMUJFWSA-N 1 2 301.394 1.036 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC2(CN(CC#N)C2)CC1 ZINC001035814455 751916959 /nfs/dbraw/zinc/91/69/59/751916959.db2.gz PVIFPNFUTDEFPP-CYBMUJFWSA-N 1 2 301.394 1.036 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C)n(C)n1 ZINC001110389135 744498376 /nfs/dbraw/zinc/49/83/76/744498376.db2.gz NJUXXFWLWWSAIM-OAGGEKHMSA-N 1 2 318.421 1.153 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C)n(C)n1 ZINC001110389135 744498377 /nfs/dbraw/zinc/49/83/77/744498377.db2.gz NJUXXFWLWWSAIM-OAGGEKHMSA-N 1 2 318.421 1.153 20 30 DDEDLO Cc1n[nH]cc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038566200 744507355 /nfs/dbraw/zinc/50/73/55/744507355.db2.gz BIKUAUSPQFZCGF-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1n[nH]cc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038566200 744507356 /nfs/dbraw/zinc/50/73/56/744507356.db2.gz BIKUAUSPQFZCGF-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO COCC#CC(=O)NCc1c[nH+]cn1Cc1ccccc1OC ZINC001187136882 744509117 /nfs/dbraw/zinc/50/91/17/744509117.db2.gz XTJNOADERMRBLU-UHFFFAOYSA-N 1 2 313.357 1.206 20 30 DDEDLO CCn1ccnc1C[N@H+](C)C[C@@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001089140050 744585947 /nfs/dbraw/zinc/58/59/47/744585947.db2.gz VWVHJWDITQDKDK-GJZGRUSLSA-N 1 2 317.437 1.876 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)C[C@@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001089140050 744585950 /nfs/dbraw/zinc/58/59/50/744585950.db2.gz VWVHJWDITQDKDK-GJZGRUSLSA-N 1 2 317.437 1.876 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)o1 ZINC001187803462 744609548 /nfs/dbraw/zinc/60/95/48/744609548.db2.gz BDSWDIRNWUSWNM-LSDHHAIUSA-N 1 2 301.390 1.675 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)o1 ZINC001187803462 744609550 /nfs/dbraw/zinc/60/95/50/744609550.db2.gz BDSWDIRNWUSWNM-LSDHHAIUSA-N 1 2 301.390 1.675 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001188903320 744791767 /nfs/dbraw/zinc/79/17/67/744791767.db2.gz PMEZOWYPIWZOER-GOEBONIOSA-N 1 2 316.405 1.604 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001188903320 744791768 /nfs/dbraw/zinc/79/17/68/744791768.db2.gz PMEZOWYPIWZOER-GOEBONIOSA-N 1 2 316.405 1.604 20 30 DDEDLO CCc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188958333 744799110 /nfs/dbraw/zinc/79/91/10/744799110.db2.gz FUPMVYKRRXOXLW-LBPRGKRZSA-N 1 2 322.434 1.526 20 30 DDEDLO CCc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188958333 744799112 /nfs/dbraw/zinc/79/91/12/744799112.db2.gz FUPMVYKRRXOXLW-LBPRGKRZSA-N 1 2 322.434 1.526 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H](C)COC)C1 ZINC001189311679 744869768 /nfs/dbraw/zinc/86/97/68/744869768.db2.gz VKPDQALFWYGKFE-CMPLNLGQSA-N 1 2 319.243 1.710 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H](C)COC)C1 ZINC001189311679 744869772 /nfs/dbraw/zinc/86/97/72/744869772.db2.gz VKPDQALFWYGKFE-CMPLNLGQSA-N 1 2 319.243 1.710 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2ncc(C)o2)C1 ZINC001189379979 744879879 /nfs/dbraw/zinc/87/98/79/744879879.db2.gz MRSNTPHARZWKRQ-KBPBESRZSA-N 1 2 307.394 1.779 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2ncc(C)o2)C1 ZINC001189379979 744879881 /nfs/dbraw/zinc/87/98/81/744879881.db2.gz MRSNTPHARZWKRQ-KBPBESRZSA-N 1 2 307.394 1.779 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001190053485 745091530 /nfs/dbraw/zinc/09/15/30/745091530.db2.gz JDSHMNZLCJTTHX-GJZGRUSLSA-N 1 2 300.406 1.730 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001190053485 745091537 /nfs/dbraw/zinc/09/15/37/745091537.db2.gz JDSHMNZLCJTTHX-GJZGRUSLSA-N 1 2 300.406 1.730 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cc(C#N)ccc2F)[C@H](C)C1 ZINC001190647795 745283776 /nfs/dbraw/zinc/28/37/76/745283776.db2.gz APPDPRBFZHRCCO-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cc(C#N)ccc2F)[C@H](C)C1 ZINC001190647795 745283780 /nfs/dbraw/zinc/28/37/80/745283780.db2.gz APPDPRBFZHRCCO-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC(C)C[C@H](C(=O)N1C[C@@H](CNCC#N)[C@H](C)C1)n1cc[nH+]c1 ZINC001106291495 745555203 /nfs/dbraw/zinc/55/52/03/745555203.db2.gz ACHMWNGYQBFCIF-BZUAXINKSA-N 1 2 317.437 1.678 20 30 DDEDLO Cc1cc(Cl)ccc1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001191855139 745618027 /nfs/dbraw/zinc/61/80/27/745618027.db2.gz XCJVOYZGUDVKRH-KCPJHIHWSA-N 1 2 321.808 1.469 20 30 DDEDLO Cc1cc(Cl)ccc1C[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001191855139 745618033 /nfs/dbraw/zinc/61/80/33/745618033.db2.gz XCJVOYZGUDVKRH-KCPJHIHWSA-N 1 2 321.808 1.469 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001191867483 745634462 /nfs/dbraw/zinc/63/44/62/745634462.db2.gz BYBQGGZRAKUQLD-KGLIPLIRSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001191867483 745634463 /nfs/dbraw/zinc/63/44/63/745634463.db2.gz BYBQGGZRAKUQLD-KGLIPLIRSA-N 1 2 305.426 1.450 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CCCN(CC#N)[C@@H]3C)ccn12 ZINC000993357007 746025776 /nfs/dbraw/zinc/02/57/76/746025776.db2.gz XSDOGAFJSHRHIZ-HIFRSBDPSA-N 1 2 311.389 1.749 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001110459453 746117662 /nfs/dbraw/zinc/11/76/62/746117662.db2.gz KYWHTNIEZAYCBK-BMFZPTHFSA-N 1 2 315.421 1.230 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001110459453 746117663 /nfs/dbraw/zinc/11/76/63/746117663.db2.gz KYWHTNIEZAYCBK-BMFZPTHFSA-N 1 2 315.421 1.230 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](CC3CC(F)(F)C3)C2)cn1 ZINC001031278513 746120678 /nfs/dbraw/zinc/12/06/78/746120678.db2.gz PENSGDWRSAMVGA-UHFFFAOYSA-N 1 2 305.328 1.522 20 30 DDEDLO CCN(CCNc1ncc(C#N)cc1F)C(=O)Cc1[nH]cc[nH+]1 ZINC001106822745 746161625 /nfs/dbraw/zinc/16/16/25/746161625.db2.gz SBAPTTPGHUYFSE-UHFFFAOYSA-N 1 2 316.340 1.319 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)cn3)C2)C1 ZINC001015676150 746268348 /nfs/dbraw/zinc/26/83/48/746268348.db2.gz HUOWBSCBZFROJW-CQSZACIVSA-N 1 2 300.406 1.832 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)cn3)C2)C1 ZINC001015676150 746268351 /nfs/dbraw/zinc/26/83/51/746268351.db2.gz HUOWBSCBZFROJW-CQSZACIVSA-N 1 2 300.406 1.832 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001194396522 746365984 /nfs/dbraw/zinc/36/59/84/746365984.db2.gz DZUYCEKZIAKISO-ZFWWWQNUSA-N 1 2 306.410 1.044 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001194396522 746365988 /nfs/dbraw/zinc/36/59/88/746365988.db2.gz DZUYCEKZIAKISO-ZFWWWQNUSA-N 1 2 306.410 1.044 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@H]2CC=CCC2)CC1 ZINC001194802733 746463892 /nfs/dbraw/zinc/46/38/92/746463892.db2.gz PXCBQKHEEDVGKC-HOTGVXAUSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@H]2CC=CCC2)CC1 ZINC001194802733 746463894 /nfs/dbraw/zinc/46/38/94/746463894.db2.gz PXCBQKHEEDVGKC-HOTGVXAUSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C(CC)CC)CC1 ZINC001194883295 746479656 /nfs/dbraw/zinc/47/96/56/746479656.db2.gz NWZHCJPPTSJTDA-CQSZACIVSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C(CC)CC)CC1 ZINC001194883295 746479657 /nfs/dbraw/zinc/47/96/57/746479657.db2.gz NWZHCJPPTSJTDA-CQSZACIVSA-N 1 2 307.438 1.095 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CCCN1CC#N ZINC000994213587 746488874 /nfs/dbraw/zinc/48/88/74/746488874.db2.gz SCTLVUVDZNOFJA-DZGCQCFKSA-N 1 2 324.388 1.374 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+]([C@H](C)C(=O)NCC(C)C)CC1 ZINC001195182479 746540007 /nfs/dbraw/zinc/54/00/07/746540007.db2.gz OFJXWTONIGKFPX-OAHLLOKOSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+]([C@H](C)C(=O)NCC(C)C)CC1 ZINC001195182479 746540010 /nfs/dbraw/zinc/54/00/10/746540010.db2.gz OFJXWTONIGKFPX-OAHLLOKOSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cncn2C)CC1 ZINC001195173974 746544498 /nfs/dbraw/zinc/54/44/98/746544498.db2.gz HIZHQEOEYVTFIQ-OAHLLOKOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cncn2C)CC1 ZINC001195173974 746544501 /nfs/dbraw/zinc/54/45/01/746544501.db2.gz HIZHQEOEYVTFIQ-OAHLLOKOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001195285859 746568727 /nfs/dbraw/zinc/56/87/27/746568727.db2.gz AGORCBXVQIDYKF-IAGOWNOFSA-N 1 2 312.413 1.412 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001195285859 746568730 /nfs/dbraw/zinc/56/87/30/746568730.db2.gz AGORCBXVQIDYKF-IAGOWNOFSA-N 1 2 312.413 1.412 20 30 DDEDLO C#CCC[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001007344287 752104945 /nfs/dbraw/zinc/10/49/45/752104945.db2.gz OJXOUWZGXYPUPM-HUUCEWRRSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001007344287 752104949 /nfs/dbraw/zinc/10/49/49/752104949.db2.gz OJXOUWZGXYPUPM-HUUCEWRRSA-N 1 2 300.406 1.434 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccncc2F)CC1 ZINC001195403518 746591064 /nfs/dbraw/zinc/59/10/64/746591064.db2.gz DTCUSVLZXSLBAH-UHFFFAOYSA-N 1 2 305.353 1.018 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccncc2F)CC1 ZINC001195403518 746591067 /nfs/dbraw/zinc/59/10/67/746591067.db2.gz DTCUSVLZXSLBAH-UHFFFAOYSA-N 1 2 305.353 1.018 20 30 DDEDLO C#CCC[N@H+]1CCC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)[C@H]1C ZINC000994407563 746641426 /nfs/dbraw/zinc/64/14/26/746641426.db2.gz ZGHCZBKPCPZGBU-TZMCWYRMSA-N 1 2 311.389 1.564 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)[C@H]1C ZINC000994407563 746641428 /nfs/dbraw/zinc/64/14/28/746641428.db2.gz ZGHCZBKPCPZGBU-TZMCWYRMSA-N 1 2 311.389 1.564 20 30 DDEDLO CC[C@H](C)NC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754436 746687031 /nfs/dbraw/zinc/68/70/31/746687031.db2.gz NQTYWHZTUCTNIT-GJZGRUSLSA-N 1 2 319.449 1.237 20 30 DDEDLO CC[C@H](C)NC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754436 746687033 /nfs/dbraw/zinc/68/70/33/746687033.db2.gz NQTYWHZTUCTNIT-GJZGRUSLSA-N 1 2 319.449 1.237 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@H+](CC(=O)N2CCC2)CC1 ZINC001195951167 746748132 /nfs/dbraw/zinc/74/81/32/746748132.db2.gz UXROPMKNNHCUMT-UHFFFAOYSA-N 1 2 319.449 1.521 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@@H+](CC(=O)N2CCC2)CC1 ZINC001195951167 746748137 /nfs/dbraw/zinc/74/81/37/746748137.db2.gz UXROPMKNNHCUMT-UHFFFAOYSA-N 1 2 319.449 1.521 20 30 DDEDLO C[C@H](C[C@H](C)Nc1ccc(C#N)cn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089382017 746760402 /nfs/dbraw/zinc/76/04/02/746760402.db2.gz WWALWIHQEFHVHB-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CCCCN2C(=O)CCC)C1 ZINC001031427107 746787230 /nfs/dbraw/zinc/78/72/30/746787230.db2.gz QHVIRQRWQAFJAX-OAHLLOKOSA-N 1 2 307.438 1.544 20 30 DDEDLO C=CCN(C)c1nnc(C[NH+]2CCC(CO)CC2)n1CC=C ZINC001121533299 782553644 /nfs/dbraw/zinc/55/36/44/782553644.db2.gz XQKDKGKOISZIFH-UHFFFAOYSA-N 1 2 305.426 1.291 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)c3ccncc3)C2)ccc1F ZINC001031514403 746933574 /nfs/dbraw/zinc/93/35/74/746933574.db2.gz PMVHYDYUROUKFL-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO C#CCCCCCC(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC001196771930 746968774 /nfs/dbraw/zinc/96/87/74/746968774.db2.gz ZUCVVVVTXDGOFH-UHFFFAOYSA-N 1 2 319.449 1.383 20 30 DDEDLO C#CCCCCCC(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC001196771930 746968777 /nfs/dbraw/zinc/96/87/77/746968777.db2.gz ZUCVVVVTXDGOFH-UHFFFAOYSA-N 1 2 319.449 1.383 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)[C@@H]3CCCO3)C2)c1 ZINC001031535529 746978424 /nfs/dbraw/zinc/97/84/24/746978424.db2.gz WBZXRXFCMOMZOO-INIZCTEOSA-N 1 2 317.364 1.424 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001196855043 746991601 /nfs/dbraw/zinc/99/16/01/746991601.db2.gz XLPOOSWVZQCILK-HUUCEWRRSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001196855043 746991605 /nfs/dbraw/zinc/99/16/05/746991605.db2.gz XLPOOSWVZQCILK-HUUCEWRRSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001196854313 746991623 /nfs/dbraw/zinc/99/16/23/746991623.db2.gz PWQKPBBWBPYHKW-GJZGRUSLSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001196854313 746991627 /nfs/dbraw/zinc/99/16/27/746991627.db2.gz PWQKPBBWBPYHKW-GJZGRUSLSA-N 1 2 321.465 1.505 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)c3cn[nH]c3)C2)ccc1F ZINC001031559699 747032173 /nfs/dbraw/zinc/03/21/73/747032173.db2.gz VKJBHQOWHUOVPM-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(CCC)CC2)CC1 ZINC001197084659 747045987 /nfs/dbraw/zinc/04/59/87/747045987.db2.gz WNSUPZLSKHIHHA-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(CCC)CC2)CC1 ZINC001197084659 747045994 /nfs/dbraw/zinc/04/59/94/747045994.db2.gz WNSUPZLSKHIHHA-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)N[C@H](C)CC)CC2)C1 ZINC001197093401 747049485 /nfs/dbraw/zinc/04/94/85/747049485.db2.gz SJZGLQZIVVGJRD-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)N[C@H](C)CC)CC2)C1 ZINC001197093401 747049493 /nfs/dbraw/zinc/04/94/93/747049493.db2.gz SJZGLQZIVVGJRD-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO Cc1nn(C)cc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031586338 747109040 /nfs/dbraw/zinc/10/90/40/747109040.db2.gz ZBPYDVKHSDPCPC-UHFFFAOYSA-N 1 2 323.400 1.462 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(OC)cc2F)C1 ZINC001108056217 747223470 /nfs/dbraw/zinc/22/34/70/747223470.db2.gz YIBBQLXTKSOORA-QGZVFWFLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(OC)cc2F)C1 ZINC001108056217 747223477 /nfs/dbraw/zinc/22/34/77/747223477.db2.gz YIBBQLXTKSOORA-QGZVFWFLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@@H+](CC)CC(=C)Br ZINC001152370959 747314760 /nfs/dbraw/zinc/31/47/60/747314760.db2.gz VSLAFRUXZLDWLC-GFCCVEGCSA-N 1 2 319.243 1.924 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@H+](CC)CC(=C)Br ZINC001152370959 747314755 /nfs/dbraw/zinc/31/47/55/747314755.db2.gz VSLAFRUXZLDWLC-GFCCVEGCSA-N 1 2 319.243 1.924 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2ccnn2C)CC1 ZINC001198337023 747456523 /nfs/dbraw/zinc/45/65/23/747456523.db2.gz IQFGYEGMARSQRE-CQSZACIVSA-N 1 2 306.410 1.046 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccnn2C)CC1 ZINC001198337023 747456525 /nfs/dbraw/zinc/45/65/25/747456525.db2.gz IQFGYEGMARSQRE-CQSZACIVSA-N 1 2 306.410 1.046 20 30 DDEDLO CCCCc1noc(C[NH2+][C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000998745351 752191990 /nfs/dbraw/zinc/19/19/90/752191990.db2.gz HCXHQTPOGOZRGM-STQMWFEESA-N 1 2 319.409 1.652 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2CCCCN2C(=O)C(C)C)C1 ZINC001044327365 747710319 /nfs/dbraw/zinc/71/03/19/747710319.db2.gz ZYVWZJXWUONIOD-INIZCTEOSA-N 1 2 319.449 1.189 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001031762051 747767918 /nfs/dbraw/zinc/76/79/18/747767918.db2.gz NVAKJRGDQGWHAU-UHFFFAOYSA-N 1 2 310.785 1.213 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001107987613 752220105 /nfs/dbraw/zinc/22/01/05/752220105.db2.gz QKCNTWRZRCNULX-ZFWWWQNUSA-N 1 2 314.376 1.652 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001107987613 752220108 /nfs/dbraw/zinc/22/01/08/752220108.db2.gz QKCNTWRZRCNULX-ZFWWWQNUSA-N 1 2 314.376 1.652 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CCC=C)c2ccccc2)C1 ZINC001199560781 747895146 /nfs/dbraw/zinc/89/51/46/747895146.db2.gz ZKSSLTZXPWZAPC-RCCFBDPRSA-N 1 2 312.413 1.531 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CCC=C)c2ccccc2)C1 ZINC001199560781 747895156 /nfs/dbraw/zinc/89/51/56/747895156.db2.gz ZKSSLTZXPWZAPC-RCCFBDPRSA-N 1 2 312.413 1.531 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001033012661 747906905 /nfs/dbraw/zinc/90/69/05/747906905.db2.gz XYBYAKXSMFBLSI-JSGCOSHPSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CC[C@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001033012661 747906909 /nfs/dbraw/zinc/90/69/09/747906909.db2.gz XYBYAKXSMFBLSI-JSGCOSHPSA-N 1 2 324.388 1.584 20 30 DDEDLO CC[N@H+](Cc1cc(C)on1)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152774248 748256435 /nfs/dbraw/zinc/25/64/35/748256435.db2.gz ACOCQCNDKCCWIF-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO CC[N@@H+](Cc1cc(C)on1)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152774248 748256442 /nfs/dbraw/zinc/25/64/42/748256442.db2.gz ACOCQCNDKCCWIF-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO C#CCC[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200555439 748276987 /nfs/dbraw/zinc/27/69/87/748276987.db2.gz RAFIHJWCOLFOPH-GHMZBOCLSA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[NH2+]C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCCO1 ZINC001200555439 748276991 /nfs/dbraw/zinc/27/69/91/748276991.db2.gz RAFIHJWCOLFOPH-GHMZBOCLSA-N 1 2 310.291 1.117 20 30 DDEDLO Cn1ccc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)c1 ZINC001004422127 748415702 /nfs/dbraw/zinc/41/57/02/748415702.db2.gz VFALBOALMASRID-INIZCTEOSA-N 1 2 300.406 1.865 20 30 DDEDLO Cn1ccc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)c1 ZINC001004422127 748415707 /nfs/dbraw/zinc/41/57/07/748415707.db2.gz VFALBOALMASRID-INIZCTEOSA-N 1 2 300.406 1.865 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2csnn2)CC1 ZINC001004498712 748486412 /nfs/dbraw/zinc/48/64/12/748486412.db2.gz OYCLOXDOPAPIAT-CYBMUJFWSA-N 1 2 305.407 1.378 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2csnn2)CC1 ZINC001004498712 748486416 /nfs/dbraw/zinc/48/64/16/748486416.db2.gz OYCLOXDOPAPIAT-CYBMUJFWSA-N 1 2 305.407 1.378 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004580559 748564835 /nfs/dbraw/zinc/56/48/35/748564835.db2.gz FTZGOWSPXRYADI-HNNXBMFYSA-N 1 2 315.421 1.867 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004580559 748564838 /nfs/dbraw/zinc/56/48/38/748564838.db2.gz FTZGOWSPXRYADI-HNNXBMFYSA-N 1 2 315.421 1.867 20 30 DDEDLO CCn1cnc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)c1 ZINC001004598146 748576980 /nfs/dbraw/zinc/57/69/80/748576980.db2.gz USDATTIJBRFEIV-INIZCTEOSA-N 1 2 315.421 1.743 20 30 DDEDLO CCn1cnc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)c1 ZINC001004598146 748576984 /nfs/dbraw/zinc/57/69/84/748576984.db2.gz USDATTIJBRFEIV-INIZCTEOSA-N 1 2 315.421 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2nc(CC)c[nH]2)C1 ZINC001033155150 748656781 /nfs/dbraw/zinc/65/67/81/748656781.db2.gz VDJZZUNRIPTZEZ-ZDUSSCGKSA-N 1 2 310.829 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2nc(CC)c[nH]2)C1 ZINC001033155150 748656783 /nfs/dbraw/zinc/65/67/83/748656783.db2.gz VDJZZUNRIPTZEZ-ZDUSSCGKSA-N 1 2 310.829 1.800 20 30 DDEDLO CCn1cnc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)c1 ZINC001032132134 748721978 /nfs/dbraw/zinc/72/19/78/748721978.db2.gz JZQUQBYAPWXQQO-UHFFFAOYSA-N 1 2 322.412 1.616 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+]Cc1ncc(CC)o1)OCC ZINC001128528804 748740432 /nfs/dbraw/zinc/74/04/32/748740432.db2.gz SBXMXMVOYRLFMY-AWEZNQCLSA-N 1 2 309.410 1.814 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccn(C(C)C)n2)C1 ZINC001108086175 748754708 /nfs/dbraw/zinc/75/47/08/748754708.db2.gz HSRJAYLNJZKXKF-KRWDZBQOSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccn(C(C)C)n2)C1 ZINC001108086175 748754711 /nfs/dbraw/zinc/75/47/11/748754711.db2.gz HSRJAYLNJZKXKF-KRWDZBQOSA-N 1 2 320.437 1.400 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)[C@@H](O)C1 ZINC001083847908 748876814 /nfs/dbraw/zinc/87/68/14/748876814.db2.gz AZSVTHSICKQKKN-JJXSEGSLSA-N 1 2 318.804 1.238 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)[C@@H](O)C1 ZINC001083847908 748876819 /nfs/dbraw/zinc/87/68/19/748876819.db2.gz AZSVTHSICKQKKN-JJXSEGSLSA-N 1 2 318.804 1.238 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2CN(C(=O)[C@@H](C)C#N)CC2(C)C)n1 ZINC000995556655 748930701 /nfs/dbraw/zinc/93/07/01/748930701.db2.gz FHXBMVINBOPVEM-WDEREUQCSA-N 1 2 305.382 1.118 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCc3cncn3C2)C1 ZINC001033212525 748946808 /nfs/dbraw/zinc/94/68/08/748946808.db2.gz UXAYHCWRHRTZFS-ZFWWWQNUSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCc3cncn3C2)C1 ZINC001033212525 748946816 /nfs/dbraw/zinc/94/68/16/748946816.db2.gz UXAYHCWRHRTZFS-ZFWWWQNUSA-N 1 2 322.840 1.731 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@@](C)(NC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001110777966 749002978 /nfs/dbraw/zinc/00/29/78/749002978.db2.gz BDSTZNFXTODRKY-QGZVFWFLSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125237088 749053356 /nfs/dbraw/zinc/05/33/56/749053356.db2.gz MYTKNLZROQDVHS-CQSZACIVSA-N 1 2 306.410 1.397 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)/C=C\C(C)(C)C)nn2)C1 ZINC001107145907 749399997 /nfs/dbraw/zinc/39/99/97/749399997.db2.gz NSKZLDHXQDPYEO-SREVYHEPSA-N 1 2 317.437 1.929 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(C)C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC001033500993 749422221 /nfs/dbraw/zinc/42/22/21/749422221.db2.gz ROXIFCCTAKVLSL-SECBINFHSA-N 1 2 302.300 1.761 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC001033500993 749422226 /nfs/dbraw/zinc/42/22/26/749422226.db2.gz ROXIFCCTAKVLSL-SECBINFHSA-N 1 2 302.300 1.761 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C[C@@H](C)CC)nn2)C1 ZINC001107164817 749451634 /nfs/dbraw/zinc/45/16/34/749451634.db2.gz KGDMFBHGGXEXAI-ZDUSSCGKSA-N 1 2 305.426 1.763 20 30 DDEDLO CN(C(=O)c1cccnn1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033526875 749477854 /nfs/dbraw/zinc/47/78/54/749477854.db2.gz OLCFHJPWYHMCSJ-MRXNPFEDSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C(=O)c1cccnn1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033526875 749477859 /nfs/dbraw/zinc/47/78/59/749477859.db2.gz OLCFHJPWYHMCSJ-MRXNPFEDSA-N 1 2 321.384 1.695 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C/CNC(=O)CCc2[nH]cc[nH+]2)n1 ZINC001107173283 749489751 /nfs/dbraw/zinc/48/97/51/749489751.db2.gz HHWSLDDLUTWFKD-NSCUHMNNSA-N 1 2 324.388 1.702 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001033539185 749514304 /nfs/dbraw/zinc/51/43/04/749514304.db2.gz HTLTXXCEPATFKA-NSHDSACASA-N 1 2 310.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001033539185 749514307 /nfs/dbraw/zinc/51/43/07/749514307.db2.gz HTLTXXCEPATFKA-NSHDSACASA-N 1 2 310.785 1.012 20 30 DDEDLO N#Cc1cccnc1N1CCC(CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001095363609 749602585 /nfs/dbraw/zinc/60/25/85/749602585.db2.gz IGGRJMZMUKEYMQ-UHFFFAOYSA-N 1 2 324.388 1.252 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@](C)(C=C)CC)nn2)C1 ZINC001107207107 749603571 /nfs/dbraw/zinc/60/35/71/749603571.db2.gz UOMWGJVFLXHIIB-MRXNPFEDSA-N 1 2 303.410 1.539 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(N(C)C(=O)c2cc(OC)no2)CC1 ZINC001005290641 749743166 /nfs/dbraw/zinc/74/31/66/749743166.db2.gz RLMHQAPLHMFMLB-UHFFFAOYSA-N 1 2 313.785 1.972 20 30 DDEDLO Cc1nc(N2CCC(NC(=O)C#CC3CC3)CC2)c(C)c(C)[nH+]1 ZINC001095412946 749827189 /nfs/dbraw/zinc/82/71/89/749827189.db2.gz RSBCRZDFJHPGLI-UHFFFAOYSA-N 1 2 312.417 1.900 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CC[C@H]2CC(C)(C)CO2)C1 ZINC001108366370 761985066 /nfs/dbraw/zinc/98/50/66/761985066.db2.gz TXONKHUAIYVXNU-MAUKXSAKSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CC[C@H]2CC(C)(C)CO2)C1 ZINC001108366370 761985072 /nfs/dbraw/zinc/98/50/72/761985072.db2.gz TXONKHUAIYVXNU-MAUKXSAKSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001108368270 761986705 /nfs/dbraw/zinc/98/67/05/761986705.db2.gz WZGIAGUGJRZUGC-YJBOKZPZSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001108368270 761986710 /nfs/dbraw/zinc/98/67/10/761986710.db2.gz WZGIAGUGJRZUGC-YJBOKZPZSA-N 1 2 315.417 1.190 20 30 DDEDLO N#Cc1ccc(NC/C=C\CNC(=O)CCn2cc[nH+]c2)cn1 ZINC001107444924 749967262 /nfs/dbraw/zinc/96/72/62/749967262.db2.gz CBHYHNVZLJDNMI-UPHRSURJSA-N 1 2 310.361 1.324 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033861994 750004646 /nfs/dbraw/zinc/00/46/46/750004646.db2.gz STADQINBICGPBD-MJBXVCDLSA-N 1 2 316.829 1.466 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033861994 750004650 /nfs/dbraw/zinc/00/46/50/750004650.db2.gz STADQINBICGPBD-MJBXVCDLSA-N 1 2 316.829 1.466 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1snnc1CC)C2 ZINC001095708071 750157096 /nfs/dbraw/zinc/15/70/96/750157096.db2.gz JIDVTYUQHTYOAR-WXHSDQCUSA-N 1 2 304.419 1.459 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1snnc1CC)C2 ZINC001095708071 750157100 /nfs/dbraw/zinc/15/71/00/750157100.db2.gz JIDVTYUQHTYOAR-WXHSDQCUSA-N 1 2 304.419 1.459 20 30 DDEDLO N#Cc1ccc(NCC=CCNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)cn1 ZINC001107573760 750164438 /nfs/dbraw/zinc/16/44/38/750164438.db2.gz UBCXEGWUALYKOO-LHBIUNCVSA-N 1 2 322.372 1.564 20 30 DDEDLO N#Cc1ccc(NCC=CCNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)cn1 ZINC001107573760 750164443 /nfs/dbraw/zinc/16/44/43/750164443.db2.gz UBCXEGWUALYKOO-LHBIUNCVSA-N 1 2 322.372 1.564 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1nc(C)cc1C)C2 ZINC001095723713 750167920 /nfs/dbraw/zinc/16/79/20/750167920.db2.gz RVAMIHXPSXCNDH-ILXRZTDVSA-N 1 2 322.840 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1nc(C)cc1C)C2 ZINC001095723713 750167925 /nfs/dbraw/zinc/16/79/25/750167925.db2.gz RVAMIHXPSXCNDH-ILXRZTDVSA-N 1 2 322.840 1.974 20 30 DDEDLO CCN(C(=O)c1cnon1)[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001033994461 750232466 /nfs/dbraw/zinc/23/24/66/750232466.db2.gz GJHJTBGUSWBZQH-INIZCTEOSA-N 1 2 324.384 1.658 20 30 DDEDLO CCN(C(=O)c1cnon1)[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001033994461 750232471 /nfs/dbraw/zinc/23/24/71/750232471.db2.gz GJHJTBGUSWBZQH-INIZCTEOSA-N 1 2 324.384 1.658 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cc(C)no3)C[C@H]2O)C1 ZINC001077640535 750257894 /nfs/dbraw/zinc/25/78/94/750257894.db2.gz GSSGRKXTLYVKIG-ZIAGYGMSSA-N 1 2 305.378 1.001 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cc(C)no3)C[C@H]2O)C1 ZINC001077640535 750257899 /nfs/dbraw/zinc/25/78/99/750257899.db2.gz GSSGRKXTLYVKIG-ZIAGYGMSSA-N 1 2 305.378 1.001 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001110964587 750258777 /nfs/dbraw/zinc/25/87/77/750258777.db2.gz RLGZOQLEMIXRNM-YUELXQCFSA-N 1 2 303.410 1.393 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001110964587 750258782 /nfs/dbraw/zinc/25/87/82/750258782.db2.gz RLGZOQLEMIXRNM-YUELXQCFSA-N 1 2 303.410 1.393 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(OC)cs2)C1 ZINC001108112321 750325996 /nfs/dbraw/zinc/32/59/96/750325996.db2.gz PMKYCQSRABJKPS-OAHLLOKOSA-N 1 2 310.419 1.763 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(OC)cs2)C1 ZINC001108112321 750326004 /nfs/dbraw/zinc/32/60/04/750326004.db2.gz PMKYCQSRABJKPS-OAHLLOKOSA-N 1 2 310.419 1.763 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001034104268 750407655 /nfs/dbraw/zinc/40/76/55/750407655.db2.gz JQJINCHOJIZWMS-OLZOCXBDSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001034104268 750407661 /nfs/dbraw/zinc/40/76/61/750407661.db2.gz JQJINCHOJIZWMS-OLZOCXBDSA-N 1 2 302.802 1.125 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)CCC)C2 ZINC001111192448 750427290 /nfs/dbraw/zinc/42/72/90/750427290.db2.gz LHXLZNSHNYGPII-FPCVCCKLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)CCC)C2 ZINC001111192448 750427296 /nfs/dbraw/zinc/42/72/96/750427296.db2.gz LHXLZNSHNYGPII-FPCVCCKLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2cn(CC)nn2)C1 ZINC001034468899 750472812 /nfs/dbraw/zinc/47/28/12/750472812.db2.gz UTPNAIQXGILOSN-GFCCVEGCSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2cn(CC)nn2)C1 ZINC001034468899 750472817 /nfs/dbraw/zinc/47/28/17/750472817.db2.gz UTPNAIQXGILOSN-GFCCVEGCSA-N 1 2 311.817 1.635 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2cccc(Cl)c2)C1 ZINC001077749293 750502552 /nfs/dbraw/zinc/50/25/52/750502552.db2.gz KZOWOCJXMWQPAW-HUUCEWRRSA-N 1 2 320.820 1.412 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2cccc(Cl)c2)C1 ZINC001077749293 750502555 /nfs/dbraw/zinc/50/25/55/750502555.db2.gz KZOWOCJXMWQPAW-HUUCEWRRSA-N 1 2 320.820 1.412 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)[C@@H]1CC12CCC2 ZINC001077750301 750509061 /nfs/dbraw/zinc/50/90/61/750509061.db2.gz DJTYGDVVOKQJPH-RCCFBDPRSA-N 1 2 324.424 1.390 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)[C@@H]1CC12CCC2 ZINC001077750301 750509063 /nfs/dbraw/zinc/50/90/63/750509063.db2.gz DJTYGDVVOKQJPH-RCCFBDPRSA-N 1 2 324.424 1.390 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)CC(C)C)CC2 ZINC001127926201 750672438 /nfs/dbraw/zinc/67/24/38/750672438.db2.gz ZVJSXUQHSZSQTA-CQSZACIVSA-N 1 2 317.437 1.258 20 30 DDEDLO C#Cc1cc(Nc2cnn(CCO[C@@H]3CCCCO3)c2)cc[nH+]1 ZINC001212945311 750966957 /nfs/dbraw/zinc/96/69/57/750966957.db2.gz VCUKJSTUPCEJQP-QGZVFWFLSA-N 1 2 312.373 1.968 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001034887130 750984811 /nfs/dbraw/zinc/98/48/11/750984811.db2.gz SZCGXXQRDVUGKL-NWDGAFQWSA-N 1 2 319.409 1.607 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001114693161 750995306 /nfs/dbraw/zinc/99/53/06/750995306.db2.gz VTFQKSRDZSTMHW-XEZLXBQYSA-N 1 2 304.394 1.698 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001114693161 750995310 /nfs/dbraw/zinc/99/53/10/750995310.db2.gz VTFQKSRDZSTMHW-XEZLXBQYSA-N 1 2 304.394 1.698 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114692798 750995364 /nfs/dbraw/zinc/99/53/64/750995364.db2.gz OXLSGWPFIMCKFG-HALDLXJZSA-N 1 2 316.405 1.508 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114692798 750995369 /nfs/dbraw/zinc/99/53/69/750995369.db2.gz OXLSGWPFIMCKFG-HALDLXJZSA-N 1 2 316.405 1.508 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnn2C(C)C)C1 ZINC001107971747 751264715 /nfs/dbraw/zinc/26/47/15/751264715.db2.gz XPCBULIPYKVHAU-MRXNPFEDSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnn2C(C)C)C1 ZINC001107971747 751264718 /nfs/dbraw/zinc/26/47/18/751264718.db2.gz XPCBULIPYKVHAU-MRXNPFEDSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H]1CCN(CC)C1=O ZINC001032557923 751300965 /nfs/dbraw/zinc/30/09/65/751300965.db2.gz XDEVGSOEUVXLMN-SOUVJXGZSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H]1CCN(CC)C1=O ZINC001032557923 751300969 /nfs/dbraw/zinc/30/09/69/751300969.db2.gz XDEVGSOEUVXLMN-SOUVJXGZSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CCN2Cc2c[nH+]cn2C)CC1 ZINC001038663194 751327444 /nfs/dbraw/zinc/32/74/44/751327444.db2.gz ZHJBCAJCBRPNQK-OAHLLOKOSA-N 1 2 302.422 1.857 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001008152733 752580469 /nfs/dbraw/zinc/58/04/69/752580469.db2.gz NKSHIRDJPZIMGO-INIZCTEOSA-N 1 2 323.400 1.359 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001008152733 752580476 /nfs/dbraw/zinc/58/04/76/752580476.db2.gz NKSHIRDJPZIMGO-INIZCTEOSA-N 1 2 323.400 1.359 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001008337020 752680272 /nfs/dbraw/zinc/68/02/72/752680272.db2.gz BYERGGFQQJSAKI-NWDGAFQWSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001008337020 752680277 /nfs/dbraw/zinc/68/02/77/752680277.db2.gz BYERGGFQQJSAKI-NWDGAFQWSA-N 1 2 323.828 1.558 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)C[C@@H](C)O2 ZINC001032684359 752719119 /nfs/dbraw/zinc/71/91/19/752719119.db2.gz NERADJZQXYDXPD-COXVUDFISA-N 1 2 310.397 1.932 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)C[C@@H](C)O2 ZINC001032684359 752719124 /nfs/dbraw/zinc/71/91/24/752719124.db2.gz NERADJZQXYDXPD-COXVUDFISA-N 1 2 310.397 1.932 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1cccnn1 ZINC001008953848 753005999 /nfs/dbraw/zinc/00/59/99/753005999.db2.gz DJHWUBHUOZHFHU-QGZVFWFLSA-N 1 2 320.396 1.723 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1cccnn1 ZINC001008953848 753006005 /nfs/dbraw/zinc/00/60/05/753006005.db2.gz DJHWUBHUOZHFHU-QGZVFWFLSA-N 1 2 320.396 1.723 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc3c(c2)N(C)CCO3)C1 ZINC001043077947 753070457 /nfs/dbraw/zinc/07/04/57/753070457.db2.gz AMGGATJLWLCAFR-UHFFFAOYSA-N 1 2 313.401 1.295 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[NH2+][C@H](C)c1nc(COC)no1 ZINC001128085246 753292036 /nfs/dbraw/zinc/29/20/36/753292036.db2.gz PXZWAYGPYQCRNH-GFCCVEGCSA-N 1 2 322.409 1.751 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3C[C@@H](F)CC)nc1 ZINC001032737850 753408855 /nfs/dbraw/zinc/40/88/55/753408855.db2.gz JQTNIGAMJYIZPV-KKUMJFAQSA-N 1 2 301.365 1.710 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3C[C@@H](F)CC)nc1 ZINC001032737850 753408861 /nfs/dbraw/zinc/40/88/61/753408861.db2.gz JQTNIGAMJYIZPV-KKUMJFAQSA-N 1 2 301.365 1.710 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nn(C)c2ccccc21 ZINC001032741219 753418079 /nfs/dbraw/zinc/41/80/79/753418079.db2.gz CERCPWIYJDEQOC-KBPBESRZSA-N 1 2 308.385 1.495 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nn(C)c2ccccc21 ZINC001032741219 753418082 /nfs/dbraw/zinc/41/80/82/753418082.db2.gz CERCPWIYJDEQOC-KBPBESRZSA-N 1 2 308.385 1.495 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001108002944 753483985 /nfs/dbraw/zinc/48/39/85/753483985.db2.gz XFHGDGZYKPUTPX-TZMCWYRMSA-N 1 2 324.388 1.329 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2C=CCCC2)C1 ZINC001108020587 753539503 /nfs/dbraw/zinc/53/95/03/753539503.db2.gz RDYXRNHEGZLPMP-AEFFLSMTSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2C=CCCC2)C1 ZINC001108020587 753539511 /nfs/dbraw/zinc/53/95/11/753539511.db2.gz RDYXRNHEGZLPMP-AEFFLSMTSA-N 1 2 304.434 1.963 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@H](N(C)C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001062965646 753876448 /nfs/dbraw/zinc/87/64/48/753876448.db2.gz MXDAFJWKGQMYEI-AWEZNQCLSA-N 1 2 324.388 1.265 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001010458882 753939558 /nfs/dbraw/zinc/93/95/58/753939558.db2.gz VBFGLMVQXRFJRT-WCQYABFASA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001010458882 753939564 /nfs/dbraw/zinc/93/95/64/753939564.db2.gz VBFGLMVQXRFJRT-WCQYABFASA-N 1 2 313.361 1.748 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001063060714 753944634 /nfs/dbraw/zinc/94/46/34/753944634.db2.gz GZEXFQAAXPKDDR-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001063197838 754038553 /nfs/dbraw/zinc/03/85/53/754038553.db2.gz GJIKIKVSXHYICF-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1)n1cncn1 ZINC001010685611 754097824 /nfs/dbraw/zinc/09/78/24/754097824.db2.gz ABUIHENYEOUSSA-BBRMVZONSA-N 1 2 324.388 1.101 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1)n1cncn1 ZINC001010685611 754097834 /nfs/dbraw/zinc/09/78/34/754097834.db2.gz ABUIHENYEOUSSA-BBRMVZONSA-N 1 2 324.388 1.101 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)nc1 ZINC001060997854 754251507 /nfs/dbraw/zinc/25/15/07/754251507.db2.gz OPTBOHCIOAZBKO-CYBMUJFWSA-N 1 2 310.361 1.179 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C[C@H]2C)cc[nH+]1 ZINC001063716265 754313622 /nfs/dbraw/zinc/31/36/22/754313622.db2.gz OGCHQZIDQOXPAI-RISCZKNCSA-N 1 2 324.388 1.948 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001011281465 754421566 /nfs/dbraw/zinc/42/15/66/754421566.db2.gz XWCDSWKJGAEIDQ-UHFFFAOYSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001011281465 754421568 /nfs/dbraw/zinc/42/15/68/754421568.db2.gz XWCDSWKJGAEIDQ-UHFFFAOYSA-N 1 2 318.421 1.615 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2coc(C3CCCC3)n2)[C@@H](O)C1 ZINC001083901873 754731029 /nfs/dbraw/zinc/73/10/29/754731029.db2.gz TUEVGSNXPNMWAM-HIFRSBDPSA-N 1 2 317.389 1.130 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2coc(C3CCCC3)n2)[C@@H](O)C1 ZINC001083901873 754731034 /nfs/dbraw/zinc/73/10/34/754731034.db2.gz TUEVGSNXPNMWAM-HIFRSBDPSA-N 1 2 317.389 1.130 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001011888080 754756898 /nfs/dbraw/zinc/75/68/98/754756898.db2.gz BWGXXPIQKOQEGO-UHFFFAOYSA-N 1 2 304.394 1.225 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccncc2C#N)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064786274 754848420 /nfs/dbraw/zinc/84/84/20/754848420.db2.gz ZACDIIDVBXCDCY-UKRRQHHQSA-N 1 2 324.388 1.063 20 30 DDEDLO Cc1nsc(NC[C@H](C)NC(=O)Cc2c[nH+]cn2C)c1C#N ZINC001108455878 762345671 /nfs/dbraw/zinc/34/56/71/762345671.db2.gz DMQDYPJFPGXXNN-VIFPVBQESA-N 1 2 318.406 1.216 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1CC[N@@H+](CC(=C)Cl)C[C@H]1O ZINC001090324415 754927680 /nfs/dbraw/zinc/92/76/80/754927680.db2.gz XZYJMWJNAPRUJI-UKRRQHHQSA-N 1 2 323.824 1.592 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1CC[N@H+](CC(=C)Cl)C[C@H]1O ZINC001090324415 754927686 /nfs/dbraw/zinc/92/76/86/754927686.db2.gz XZYJMWJNAPRUJI-UKRRQHHQSA-N 1 2 323.824 1.592 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)c2ccco2)C1 ZINC001079124223 755122256 /nfs/dbraw/zinc/12/22/56/755122256.db2.gz JAJFGQVDZUWPRW-IAGOWNOFSA-N 1 2 324.380 1.600 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)c2ccco2)C1 ZINC001079124223 755122259 /nfs/dbraw/zinc/12/22/59/755122259.db2.gz JAJFGQVDZUWPRW-IAGOWNOFSA-N 1 2 324.380 1.600 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001079473479 755367963 /nfs/dbraw/zinc/36/79/63/755367963.db2.gz NRPSGTIUVSKUMV-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001079473479 755367968 /nfs/dbraw/zinc/36/79/68/755367968.db2.gz NRPSGTIUVSKUMV-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001079700506 755536696 /nfs/dbraw/zinc/53/66/96/755536696.db2.gz BJNGCEUEHLWNAL-GRYCIOLGSA-N 1 2 307.419 1.534 20 30 DDEDLO C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001079700506 755536699 /nfs/dbraw/zinc/53/66/99/755536699.db2.gz BJNGCEUEHLWNAL-GRYCIOLGSA-N 1 2 307.419 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(Cl)n(C)n2)C1 ZINC001080091053 755718592 /nfs/dbraw/zinc/71/85/92/755718592.db2.gz KKLZESDONZAISD-LDYMZIIASA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(Cl)n(C)n2)C1 ZINC001080091053 755718594 /nfs/dbraw/zinc/71/85/94/755718594.db2.gz KKLZESDONZAISD-LDYMZIIASA-N 1 2 317.220 1.876 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001080142893 755733346 /nfs/dbraw/zinc/73/33/46/755733346.db2.gz MRXVBUAVXWXGNI-UHFFFAOYSA-N 1 2 318.421 1.713 20 30 DDEDLO CC#CCCCC(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001080299601 755811226 /nfs/dbraw/zinc/81/12/26/755811226.db2.gz KQQKSBQWRUPNQD-UHFFFAOYSA-N 1 2 316.405 1.136 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(C(C)C)nn2)C1 ZINC001080685074 756048368 /nfs/dbraw/zinc/04/83/68/756048368.db2.gz RZNGMHDYETXOLT-ZYHUDNBSSA-N 1 2 311.817 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(C(C)C)nn2)C1 ZINC001080685074 756048373 /nfs/dbraw/zinc/04/83/73/756048373.db2.gz RZNGMHDYETXOLT-ZYHUDNBSSA-N 1 2 311.817 1.662 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](CC(=C)Cl)C[C@H]2C)nc1 ZINC001080807942 756103056 /nfs/dbraw/zinc/10/30/56/756103056.db2.gz APTRVGQKYVOJLL-IAQYHMDHSA-N 1 2 303.793 1.866 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](CC(=C)Cl)C[C@H]2C)nc1 ZINC001080807942 756103061 /nfs/dbraw/zinc/10/30/61/756103061.db2.gz APTRVGQKYVOJLL-IAQYHMDHSA-N 1 2 303.793 1.866 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2c(F)cccc2F)C[C@H]1O ZINC001099727888 756453292 /nfs/dbraw/zinc/45/32/92/756453292.db2.gz SUUGSJLKZZNTTN-JKSUJKDBSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2c(F)cccc2F)C[C@H]1O ZINC001099727888 756453297 /nfs/dbraw/zinc/45/32/97/756453297.db2.gz SUUGSJLKZZNTTN-JKSUJKDBSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3c(C)nnn3CC)C2)C1 ZINC001015674108 756456590 /nfs/dbraw/zinc/45/65/90/756456590.db2.gz VFBBXHQRZWWPGN-CQSZACIVSA-N 1 2 317.437 1.653 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3c(C)nnn3CC)C2)C1 ZINC001015674108 756456594 /nfs/dbraw/zinc/45/65/94/756456594.db2.gz VFBBXHQRZWWPGN-CQSZACIVSA-N 1 2 317.437 1.653 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ncoc2C(F)(F)F)[C@H](OC)C1 ZINC001081872211 756500510 /nfs/dbraw/zinc/50/05/10/756500510.db2.gz XSBLJUVGOLSYOE-RKDXNWHRSA-N 1 2 319.283 1.308 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ncoc2C(F)(F)F)[C@H](OC)C1 ZINC001081872211 756500512 /nfs/dbraw/zinc/50/05/12/756500512.db2.gz XSBLJUVGOLSYOE-RKDXNWHRSA-N 1 2 319.283 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc3cccnn32)C1 ZINC001015805981 756563440 /nfs/dbraw/zinc/56/34/40/756563440.db2.gz OKKRUGLGAQSHJA-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc3cccnn32)C1 ZINC001015805981 756563447 /nfs/dbraw/zinc/56/34/47/756563447.db2.gz OKKRUGLGAQSHJA-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)[C@@H]1C[C@H]1C ZINC001082026933 756608217 /nfs/dbraw/zinc/60/82/17/756608217.db2.gz GNKRFBQJSAFWFP-BASLNEPJSA-N 1 2 313.401 1.530 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)[C@@H]1C[C@H]1C ZINC001082026933 756608220 /nfs/dbraw/zinc/60/82/20/756608220.db2.gz GNKRFBQJSAFWFP-BASLNEPJSA-N 1 2 313.401 1.530 20 30 DDEDLO Cc1conc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015951693 756673439 /nfs/dbraw/zinc/67/34/39/756673439.db2.gz OYDZHGRPZPOFCQ-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1conc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015951693 756673441 /nfs/dbraw/zinc/67/34/41/756673441.db2.gz OYDZHGRPZPOFCQ-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C)nn3cccnc23)C1 ZINC001016286514 756905533 /nfs/dbraw/zinc/90/55/33/756905533.db2.gz JSWBUGYYRKSIFY-GFCCVEGCSA-N 1 2 319.796 1.594 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)nn3cccnc23)C1 ZINC001016286514 756905537 /nfs/dbraw/zinc/90/55/37/756905537.db2.gz JSWBUGYYRKSIFY-GFCCVEGCSA-N 1 2 319.796 1.594 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001097197077 756966957 /nfs/dbraw/zinc/96/69/57/756966957.db2.gz IGZFWYKJYLMRGU-GASCZTMLSA-N 1 2 324.388 1.689 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cs3)[C@H]2C1 ZINC001083073597 757080931 /nfs/dbraw/zinc/08/09/31/757080931.db2.gz LCPIYPISYLKBJG-UONOGXRCSA-N 1 2 304.415 1.605 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cs3)[C@H]2C1 ZINC001083073597 757080935 /nfs/dbraw/zinc/08/09/35/757080935.db2.gz LCPIYPISYLKBJG-UONOGXRCSA-N 1 2 304.415 1.605 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001097376118 757119887 /nfs/dbraw/zinc/11/98/87/757119887.db2.gz GLSRYKIUIUWGJW-HNNXBMFYSA-N 1 2 316.405 1.475 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cn(C)c4ccccc34)[C@H]2C1 ZINC001083134706 757149934 /nfs/dbraw/zinc/14/99/34/757149934.db2.gz OFRDTWQZTYWBTF-ZWKOTPCHSA-N 1 2 323.396 1.337 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cn(C)c4ccccc34)[C@H]2C1 ZINC001083134706 757149937 /nfs/dbraw/zinc/14/99/37/757149937.db2.gz OFRDTWQZTYWBTF-ZWKOTPCHSA-N 1 2 323.396 1.337 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3c(C)oc(C)c3C)[C@H]2C1 ZINC001083136917 757151773 /nfs/dbraw/zinc/15/17/73/757151773.db2.gz WMSDDFGOTNYGPT-LSDHHAIUSA-N 1 2 302.374 1.363 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3c(C)oc(C)c3C)[C@H]2C1 ZINC001083136917 757151775 /nfs/dbraw/zinc/15/17/75/757151775.db2.gz WMSDDFGOTNYGPT-LSDHHAIUSA-N 1 2 302.374 1.363 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3occ4c3CCC4)[C@H]2C1 ZINC001083153439 757162421 /nfs/dbraw/zinc/16/24/21/757162421.db2.gz ARLABBFDOSDWNQ-JKSUJKDBSA-N 1 2 314.385 1.317 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3occ4c3CCC4)[C@H]2C1 ZINC001083153439 757162425 /nfs/dbraw/zinc/16/24/25/757162425.db2.gz ARLABBFDOSDWNQ-JKSUJKDBSA-N 1 2 314.385 1.317 20 30 DDEDLO Cc1nc(NC[C@@H]2CCCCN2C(=O)CSCC#N)cc[nH+]1 ZINC001097472988 757196597 /nfs/dbraw/zinc/19/65/97/757196597.db2.gz FENNDZWCZOTPFL-ZDUSSCGKSA-N 1 2 319.434 1.835 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@@]2(C1)CCCN(CC#N)C2)n1cc[nH+]c1 ZINC001040425921 762531695 /nfs/dbraw/zinc/53/16/95/762531695.db2.gz UJJDILQJLDTBFS-WBVHZDCISA-N 1 2 315.421 1.672 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001097632574 757296115 /nfs/dbraw/zinc/29/61/15/757296115.db2.gz DXFXVAVUVKNKPJ-LRVUVFPRSA-N 1 2 316.405 1.663 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC)C[C@H]21 ZINC001084372423 757524207 /nfs/dbraw/zinc/52/42/07/757524207.db2.gz UHUGLBAPFNPBJI-VXGBXAGGSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC)C[C@H]21 ZINC001084372423 757524218 /nfs/dbraw/zinc/52/42/18/757524218.db2.gz UHUGLBAPFNPBJI-VXGBXAGGSA-N 1 2 313.829 1.044 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1CC(F)F ZINC001017553374 758020195 /nfs/dbraw/zinc/02/01/95/758020195.db2.gz ZPNNTZQYGTWPEQ-TXEJJXNPSA-N 1 2 308.332 1.070 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1CC(F)F ZINC001017553374 758020206 /nfs/dbraw/zinc/02/02/06/758020206.db2.gz ZPNNTZQYGTWPEQ-TXEJJXNPSA-N 1 2 308.332 1.070 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2n1[C@H](C)CCC2 ZINC001017572119 758042785 /nfs/dbraw/zinc/04/27/85/758042785.db2.gz BPMPSWYQGYIDSP-KFWWJZLASA-N 1 2 312.417 1.702 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2n1[C@H](C)CCC2 ZINC001017572119 758042795 /nfs/dbraw/zinc/04/27/95/758042795.db2.gz BPMPSWYQGYIDSP-KFWWJZLASA-N 1 2 312.417 1.702 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)no1 ZINC001084906171 758044573 /nfs/dbraw/zinc/04/45/73/758044573.db2.gz FQJSVXMWHSQPEE-KYOSRNDESA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)no1 ZINC001084906171 758044583 /nfs/dbraw/zinc/04/45/83/758044583.db2.gz FQJSVXMWHSQPEE-KYOSRNDESA-N 1 2 302.378 1.566 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]cnc1C(F)(F)F ZINC001017619120 758087281 /nfs/dbraw/zinc/08/72/81/758087281.db2.gz GRGOOAZWPWTGHF-AOOOYVTPSA-N 1 2 314.311 1.903 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]cnc1C(F)(F)F ZINC001017619120 758087292 /nfs/dbraw/zinc/08/72/92/758087292.db2.gz GRGOOAZWPWTGHF-AOOOYVTPSA-N 1 2 314.311 1.903 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc[nH]c1C(F)(F)F ZINC001017619120 758087304 /nfs/dbraw/zinc/08/73/04/758087304.db2.gz GRGOOAZWPWTGHF-AOOOYVTPSA-N 1 2 314.311 1.903 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc[nH]c1C(F)(F)F ZINC001017619120 758087318 /nfs/dbraw/zinc/08/73/18/758087318.db2.gz GRGOOAZWPWTGHF-AOOOYVTPSA-N 1 2 314.311 1.903 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2ccncc12 ZINC001017620813 758090411 /nfs/dbraw/zinc/09/04/11/758090411.db2.gz FGHXVOWXGSJJEU-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2ccncc12 ZINC001017620813 758090421 /nfs/dbraw/zinc/09/04/21/758090421.db2.gz FGHXVOWXGSJJEU-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C(F)F ZINC001017623164 758093266 /nfs/dbraw/zinc/09/32/66/758093266.db2.gz OEWVXOXJIXPYKE-PHIMTYICSA-N 1 2 308.332 1.280 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C(F)F ZINC001017623164 758093273 /nfs/dbraw/zinc/09/32/73/758093273.db2.gz OEWVXOXJIXPYKE-PHIMTYICSA-N 1 2 308.332 1.280 20 30 DDEDLO N#CCN1CCC[C@@H]([C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001053044228 758103994 /nfs/dbraw/zinc/10/39/94/758103994.db2.gz NVAIJLSTSVQVNT-CABCVRRESA-N 1 2 315.421 1.426 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)ncn2C ZINC001017678059 758147668 /nfs/dbraw/zinc/14/76/68/758147668.db2.gz VCYUZNRNBDJUIH-IYBDPMFKSA-N 1 2 322.412 1.885 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)ncn2C ZINC001017678059 758147674 /nfs/dbraw/zinc/14/76/74/758147674.db2.gz VCYUZNRNBDJUIH-IYBDPMFKSA-N 1 2 322.412 1.885 20 30 DDEDLO N#CCN1CCC[C@H]([C@@H]2CCCCN2C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001053105065 758156537 /nfs/dbraw/zinc/15/65/37/758156537.db2.gz RKVDGKYULPTAIE-GJZGRUSLSA-N 1 2 315.421 1.569 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@@H]1CCCCO1)CCO2 ZINC001053214961 758272283 /nfs/dbraw/zinc/27/22/83/758272283.db2.gz BLVQHJXLLQGXLD-HNNXBMFYSA-N 1 2 308.422 1.435 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1coc(C)n1)CCO2 ZINC001053231880 758288421 /nfs/dbraw/zinc/28/84/21/758288421.db2.gz DGXDYUFCILAZPX-UHFFFAOYSA-N 1 2 305.378 1.476 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccncc1C)CCO2 ZINC001053248424 758304060 /nfs/dbraw/zinc/30/40/60/758304060.db2.gz AMYXISGHNXYJRV-UHFFFAOYSA-N 1 2 301.390 1.493 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC[C@@H](OC)C1 ZINC001017863355 758308295 /nfs/dbraw/zinc/30/82/95/758308295.db2.gz HVCDNGIPPGSIFD-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC[C@@H](OC)C1 ZINC001017863355 758308302 /nfs/dbraw/zinc/30/83/02/758308302.db2.gz HVCDNGIPPGSIFD-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1conc1CC)CCO2 ZINC001053416044 758446168 /nfs/dbraw/zinc/44/61/68/758446168.db2.gz MMYYFDQMGSPFFV-UHFFFAOYSA-N 1 2 305.378 1.340 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(C)nc1)O2 ZINC001053573811 758582618 /nfs/dbraw/zinc/58/26/18/758582618.db2.gz MULIGUQQDALZTA-MRXNPFEDSA-N 1 2 315.417 1.929 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1nccn1CC)O2 ZINC001053595010 758614952 /nfs/dbraw/zinc/61/49/52/758614952.db2.gz XLZJMWBBDKREDB-ZDUSSCGKSA-N 1 2 304.394 1.052 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cn(C)nc1CC)O2 ZINC001053613288 758631087 /nfs/dbraw/zinc/63/10/87/758631087.db2.gz AAYSSJKUIFZEAO-CYBMUJFWSA-N 1 2 318.421 1.132 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnn(C)c1Cl)O2 ZINC001053618916 758636721 /nfs/dbraw/zinc/63/67/21/758636721.db2.gz GEHMZXTUNPWVAG-LLVKDONJSA-N 1 2 324.812 1.223 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1OCc2ccccc21 ZINC001018225908 758647319 /nfs/dbraw/zinc/64/73/19/758647319.db2.gz LLFRHCYUKBYSQX-SOLBZPMBSA-N 1 2 310.397 1.956 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1OCc2ccccc21 ZINC001018225908 758647324 /nfs/dbraw/zinc/64/73/24/758647324.db2.gz LLFRHCYUKBYSQX-SOLBZPMBSA-N 1 2 310.397 1.956 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(C)nn(C)c1C)O2 ZINC001053634522 758649815 /nfs/dbraw/zinc/64/98/15/758649815.db2.gz VNRQLOORDYOFJR-AWEZNQCLSA-N 1 2 318.421 1.186 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(C)nn(C)c1C)O2 ZINC001053634523 758650587 /nfs/dbraw/zinc/65/05/87/758650587.db2.gz VNRQLOORDYOFJR-CQSZACIVSA-N 1 2 318.421 1.186 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cc(C)ccc1C ZINC001018263531 758678099 /nfs/dbraw/zinc/67/80/99/758678099.db2.gz KGOVECYIJNTXGC-CALCHBBNSA-N 1 2 312.413 1.991 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cc(C)ccc1C ZINC001018263531 758678104 /nfs/dbraw/zinc/67/81/04/758678104.db2.gz KGOVECYIJNTXGC-CALCHBBNSA-N 1 2 312.413 1.991 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)C(C)C)C2)CC1 ZINC001065687373 758682305 /nfs/dbraw/zinc/68/23/05/758682305.db2.gz ZJKQQKCPMQTGDV-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1C[C@H]1C1CCC1)O2 ZINC001053676781 758686945 /nfs/dbraw/zinc/68/69/45/758686945.db2.gz JDISNOCLNLAJMH-HRCADAONSA-N 1 2 304.434 1.958 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnoc1C(C)C)O2 ZINC001053683281 758692804 /nfs/dbraw/zinc/69/28/04/758692804.db2.gz QGKHICIIWBUDNE-CYBMUJFWSA-N 1 2 319.405 1.947 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CN1CCc2ccccc21 ZINC001018281984 758695757 /nfs/dbraw/zinc/69/57/57/758695757.db2.gz MIHCHMILHJHQBD-HDICACEKSA-N 1 2 323.440 1.748 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CN1CCc2ccccc21 ZINC001018281984 758695761 /nfs/dbraw/zinc/69/57/61/758695761.db2.gz MIHCHMILHJHQBD-HDICACEKSA-N 1 2 323.440 1.748 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065704806 758705580 /nfs/dbraw/zinc/70/55/80/758705580.db2.gz RTZMECFMTMCKFJ-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO CCCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001065712408 758730424 /nfs/dbraw/zinc/73/04/24/758730424.db2.gz XKRPWIGCMWGFAD-LSDHHAIUSA-N 1 2 320.437 1.081 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C=C1CCCCC1)CO2 ZINC001053751558 758768607 /nfs/dbraw/zinc/76/86/07/758768607.db2.gz LJVUPHAHNJRUFO-MRXNPFEDSA-N 1 2 302.418 1.860 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncoc1CC)CO2 ZINC001053762526 758780149 /nfs/dbraw/zinc/78/01/49/758780149.db2.gz AXELTBQBTFFLLN-GFCCVEGCSA-N 1 2 305.378 1.386 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)c1ccnn1C)CO2 ZINC001053804451 758832232 /nfs/dbraw/zinc/83/22/32/758832232.db2.gz UWEISJWQDICBCD-KBPBESRZSA-N 1 2 318.421 1.059 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc(F)c1C)CO2 ZINC001053809297 758837675 /nfs/dbraw/zinc/83/76/75/758837675.db2.gz FAOADOBFHDQKFG-AWEZNQCLSA-N 1 2 316.376 1.731 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1snnc1CC)CO2 ZINC001053865105 758899168 /nfs/dbraw/zinc/89/91/68/758899168.db2.gz REJSYURWZUMPLA-NSHDSACASA-N 1 2 322.434 1.250 20 30 DDEDLO C=C[C@@H](CO)Nc1nc[nH+]c2cc(N3CCOCC3)ccc21 ZINC001169779385 762670203 /nfs/dbraw/zinc/67/02/03/762670203.db2.gz ICFXUAGZQANYLQ-LBPRGKRZSA-N 1 2 300.362 1.425 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1occc1CC)CO2 ZINC001053915406 758949721 /nfs/dbraw/zinc/94/97/21/758949721.db2.gz KEKCQZHSLZUFTI-CQSZACIVSA-N 1 2 304.390 1.991 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1occc1CC)CO2 ZINC001053915405 758950415 /nfs/dbraw/zinc/95/04/15/758950415.db2.gz KEKCQZHSLZUFTI-AWEZNQCLSA-N 1 2 304.390 1.991 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccsc1Cl)CO2 ZINC001053945953 758982788 /nfs/dbraw/zinc/98/27/88/758982788.db2.gz KCXQNFYAFMCZOK-NSHDSACASA-N 1 2 324.833 1.998 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(OC)o1)CO2 ZINC001053967490 759003217 /nfs/dbraw/zinc/00/32/17/759003217.db2.gz YKVCKMLLOGDVOZ-LBPRGKRZSA-N 1 2 306.362 1.437 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1cccc(F)c1)CO2 ZINC001054001334 759047632 /nfs/dbraw/zinc/04/76/32/759047632.db2.gz VVDGEPNJHUJMQL-INIZCTEOSA-N 1 2 316.376 1.351 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1sccc1CC)CO2 ZINC001054015543 759063832 /nfs/dbraw/zinc/06/38/32/759063832.db2.gz KJAUDCZGHOIZKX-AWEZNQCLSA-N 1 2 318.442 1.907 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cn[nH]c1 ZINC001054034794 759090720 /nfs/dbraw/zinc/09/07/20/759090720.db2.gz ZIIDMYCCWLDDBZ-KRWDZBQOSA-N 1 2 308.385 1.590 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cn[nH]c1 ZINC001054034794 759090727 /nfs/dbraw/zinc/09/07/27/759090727.db2.gz ZIIDMYCCWLDDBZ-KRWDZBQOSA-N 1 2 308.385 1.590 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccnn1C ZINC001054035991 759091982 /nfs/dbraw/zinc/09/19/82/759091982.db2.gz ZIEGPEVLTGPFNC-MRXNPFEDSA-N 1 2 308.385 1.210 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccnn1C ZINC001054035991 759091992 /nfs/dbraw/zinc/09/19/92/759091992.db2.gz ZIEGPEVLTGPFNC-MRXNPFEDSA-N 1 2 308.385 1.210 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccsn1 ZINC001054044645 759101704 /nfs/dbraw/zinc/10/17/04/759101704.db2.gz AAEDSJNVUAQGRS-HNNXBMFYSA-N 1 2 311.410 1.933 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccsn1 ZINC001054044645 759101705 /nfs/dbraw/zinc/10/17/05/759101705.db2.gz AAEDSJNVUAQGRS-HNNXBMFYSA-N 1 2 311.410 1.933 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2snnc2C)C[C@H]1O ZINC001099960416 759233489 /nfs/dbraw/zinc/23/34/89/759233489.db2.gz UDSLZGLXKRGEJT-NWDGAFQWSA-N 1 2 324.450 1.110 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2snnc2C)C[C@H]1O ZINC001099960416 759233492 /nfs/dbraw/zinc/23/34/92/759233492.db2.gz UDSLZGLXKRGEJT-NWDGAFQWSA-N 1 2 324.450 1.110 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnn(C)c3CC)cc2C1 ZINC001054272544 759377753 /nfs/dbraw/zinc/37/77/53/759377753.db2.gz XKLBVOZKGYPDJH-UHFFFAOYSA-N 1 2 322.412 1.861 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnn(C)c3CC)cc2C1 ZINC001054272544 759377765 /nfs/dbraw/zinc/37/77/65/759377765.db2.gz XKLBVOZKGYPDJH-UHFFFAOYSA-N 1 2 322.412 1.861 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cncnc3CC)cc2C1 ZINC001054291674 759398120 /nfs/dbraw/zinc/39/81/20/759398120.db2.gz BMAOQKBRXVFGNJ-UHFFFAOYSA-N 1 2 320.396 1.918 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cncnc3CC)cc2C1 ZINC001054291674 759398130 /nfs/dbraw/zinc/39/81/30/759398130.db2.gz BMAOQKBRXVFGNJ-UHFFFAOYSA-N 1 2 320.396 1.918 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cnn(C)c1 ZINC001085474237 759473214 /nfs/dbraw/zinc/47/32/14/759473214.db2.gz XXJWXRSIUZFLEX-GOSISDBHSA-N 1 2 322.412 1.618 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cnn(C)c1 ZINC001085474237 759473220 /nfs/dbraw/zinc/47/32/20/759473220.db2.gz XXJWXRSIUZFLEX-GOSISDBHSA-N 1 2 322.412 1.618 20 30 DDEDLO CC(C)C[C@H](C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131098605 767873995 /nfs/dbraw/zinc/87/39/95/767873995.db2.gz HKPXTEGUVRTIKG-RRFJBIMHSA-N 1 2 317.437 1.510 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC001019238354 759708592 /nfs/dbraw/zinc/70/85/92/759708592.db2.gz YAIZWIJPUQDKQP-VIFPVBQESA-N 1 2 314.336 1.910 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(C)C)n(C)n1 ZINC001085591364 759788282 /nfs/dbraw/zinc/78/82/82/759788282.db2.gz IFZLWLBBPBERKV-AWEZNQCLSA-N 1 2 302.422 1.713 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(C)C)n(C)n1 ZINC001085591364 759788293 /nfs/dbraw/zinc/78/82/93/759788293.db2.gz IFZLWLBBPBERKV-AWEZNQCLSA-N 1 2 302.422 1.713 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn[nH]c1-c1ccccn1 ZINC001085634690 759900105 /nfs/dbraw/zinc/90/01/05/759900105.db2.gz WXRACMRRPHOBCF-CQSZACIVSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn[nH]c1-c1ccccn1 ZINC001085634690 759900118 /nfs/dbraw/zinc/90/01/18/759900118.db2.gz WXRACMRRPHOBCF-CQSZACIVSA-N 1 2 323.400 1.641 20 30 DDEDLO Cc1nsc(N[C@@H](C)CNC(=O)Cc2c[nH+]cn2C)c1C#N ZINC001097955584 759984388 /nfs/dbraw/zinc/98/43/88/759984388.db2.gz PTDKVPLWMMDWNO-VIFPVBQESA-N 1 2 318.406 1.216 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)n([C@@H](C)C2CC2)n1 ZINC001085684762 760008959 /nfs/dbraw/zinc/00/89/59/760008959.db2.gz ARNXIXBGTBXHSH-GOEBONIOSA-N 1 2 314.433 1.942 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)n([C@@H](C)C2CC2)n1 ZINC001085684762 760008970 /nfs/dbraw/zinc/00/89/70/760008970.db2.gz ARNXIXBGTBXHSH-GOEBONIOSA-N 1 2 314.433 1.942 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001069213765 767918723 /nfs/dbraw/zinc/91/87/23/767918723.db2.gz DOWVNEBTYXBOKV-NHYWBVRUSA-N 1 2 310.361 1.320 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2ccncc2)on1 ZINC001085792881 760251212 /nfs/dbraw/zinc/25/12/12/760251212.db2.gz WMDKOIBQMSFJQB-CQSZACIVSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2ccncc2)on1 ZINC001085792881 760251216 /nfs/dbraw/zinc/25/12/16/760251216.db2.gz WMDKOIBQMSFJQB-CQSZACIVSA-N 1 2 310.357 1.516 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cnc(C)o2)c1 ZINC001085810164 760279227 /nfs/dbraw/zinc/27/92/27/760279227.db2.gz WFGKCYDECSYAJA-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cnc(C)o2)c1 ZINC001085810164 760279230 /nfs/dbraw/zinc/27/92/30/760279230.db2.gz WFGKCYDECSYAJA-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001085825595 760302830 /nfs/dbraw/zinc/30/28/30/760302830.db2.gz PTLFHHPQMNXBGK-MGPQQGTHSA-N 1 2 322.840 1.809 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ocnc2C2CC2)C1 ZINC001108212421 760377959 /nfs/dbraw/zinc/37/79/59/760377959.db2.gz CSUZPMJNWCLFPV-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ocnc2C2CC2)C1 ZINC001108212421 760377970 /nfs/dbraw/zinc/37/79/70/760377970.db2.gz CSUZPMJNWCLFPV-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2cncs2)C1 ZINC001108216335 760408624 /nfs/dbraw/zinc/40/86/24/760408624.db2.gz XBPBKQFGZFSAFY-INIZCTEOSA-N 1 2 321.446 1.306 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2cncs2)C1 ZINC001108216335 760408629 /nfs/dbraw/zinc/40/86/29/760408629.db2.gz XBPBKQFGZFSAFY-INIZCTEOSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(Br)o1 ZINC001085940624 760577499 /nfs/dbraw/zinc/57/74/99/760577499.db2.gz KZTLTVWKXGLXRM-JTQLQIEISA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(Br)o1 ZINC001085940624 760577503 /nfs/dbraw/zinc/57/75/03/760577503.db2.gz KZTLTVWKXGLXRM-JTQLQIEISA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(COC)c(F)c1 ZINC001085945038 760585605 /nfs/dbraw/zinc/58/56/05/760585605.db2.gz YEVLAWCYWCVCBL-HNNXBMFYSA-N 1 2 304.365 1.752 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(COC)c(F)c1 ZINC001085945038 760585609 /nfs/dbraw/zinc/58/56/09/760585609.db2.gz YEVLAWCYWCVCBL-HNNXBMFYSA-N 1 2 304.365 1.752 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(N(C)C)c(F)c1 ZINC001085989026 760666523 /nfs/dbraw/zinc/66/65/23/760666523.db2.gz GLHFFJGIWJRCKK-CQSZACIVSA-N 1 2 303.381 1.671 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(N(C)C)c(F)c1 ZINC001085989026 760666529 /nfs/dbraw/zinc/66/65/29/760666529.db2.gz GLHFFJGIWJRCKK-CQSZACIVSA-N 1 2 303.381 1.671 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(C(C)(C)C)nc1 ZINC001086002681 760695463 /nfs/dbraw/zinc/69/54/63/760695463.db2.gz OHNQIGIQMLUYEL-OAHLLOKOSA-N 1 2 314.433 1.944 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(C(C)(C)C)nc1 ZINC001086002681 760695464 /nfs/dbraw/zinc/69/54/64/760695464.db2.gz OHNQIGIQMLUYEL-OAHLLOKOSA-N 1 2 314.433 1.944 20 30 DDEDLO Cc1cccnc1C[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038166975 760879217 /nfs/dbraw/zinc/87/92/17/760879217.db2.gz DVWACYNNQHAZLV-CQSZACIVSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cccnc1C[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038166975 760879232 /nfs/dbraw/zinc/87/92/32/760879232.db2.gz DVWACYNNQHAZLV-CQSZACIVSA-N 1 2 309.373 1.594 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2C[C@H](Nc3cc[nH+]c(C)n3)C2)nc1 ZINC001046862010 767991899 /nfs/dbraw/zinc/99/18/99/767991899.db2.gz OHQQOAZLRNXVHF-SHTZXODSSA-N 1 2 321.384 1.782 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CCCc2cn[nH]c21 ZINC001038264755 760959384 /nfs/dbraw/zinc/95/93/84/760959384.db2.gz YNZUXOXDSDIKII-GJZGRUSLSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1CCCc2cn[nH]c21 ZINC001038264755 760959387 /nfs/dbraw/zinc/95/93/87/760959387.db2.gz YNZUXOXDSDIKII-GJZGRUSLSA-N 1 2 302.422 1.986 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(OC)c(OC)c1C ZINC001038382476 761066581 /nfs/dbraw/zinc/06/65/81/761066581.db2.gz TWZNPNJPXNHCAO-CYBMUJFWSA-N 1 2 302.374 1.450 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(OC)c(OC)c1C ZINC001038382476 761066591 /nfs/dbraw/zinc/06/65/91/761066591.db2.gz TWZNPNJPXNHCAO-CYBMUJFWSA-N 1 2 302.374 1.450 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2[C@H]1CCCN2C(=O)Cc1[nH]cc[nH+]1 ZINC001056527934 761213694 /nfs/dbraw/zinc/21/36/94/761213694.db2.gz XVWKMKQSKIWXOY-KGLIPLIRSA-N 1 2 316.405 1.510 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(F)ccc(F)c1OC ZINC001038588012 761218734 /nfs/dbraw/zinc/21/87/34/761218734.db2.gz GQAHVIJEOAUSPP-NSHDSACASA-N 1 2 308.328 1.801 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(F)ccc(F)c1OC ZINC001038588012 761218736 /nfs/dbraw/zinc/21/87/36/761218736.db2.gz GQAHVIJEOAUSPP-NSHDSACASA-N 1 2 308.328 1.801 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cncc(C)c2)c1 ZINC001038684487 761285008 /nfs/dbraw/zinc/28/50/08/761285008.db2.gz JWRQKUISNGFCJB-SFHVURJKSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cncc(C)c2)c1 ZINC001038684487 761285012 /nfs/dbraw/zinc/28/50/12/761285012.db2.gz JWRQKUISNGFCJB-SFHVURJKSA-N 1 2 320.396 1.771 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn(C)nc1C1CCCC1 ZINC001038688138 761288942 /nfs/dbraw/zinc/28/89/42/761288942.db2.gz SPUFTCGZOBCQJZ-HNNXBMFYSA-N 1 2 314.433 1.905 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn(C)nc1C1CCCC1 ZINC001038688138 761288947 /nfs/dbraw/zinc/28/89/47/761288947.db2.gz SPUFTCGZOBCQJZ-HNNXBMFYSA-N 1 2 314.433 1.905 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](Nc2ncccc2C#N)C1 ZINC001056681005 761338048 /nfs/dbraw/zinc/33/80/48/761338048.db2.gz POQGENYRPVILQJ-CYBMUJFWSA-N 1 2 310.361 1.240 20 30 DDEDLO Cc1conc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038898138 761522473 /nfs/dbraw/zinc/52/24/73/761522473.db2.gz HYMYMFAWCUJYKU-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1conc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038898138 761522485 /nfs/dbraw/zinc/52/24/85/761522485.db2.gz HYMYMFAWCUJYKU-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ncoc1-c1ccon1 ZINC001038926625 761553803 /nfs/dbraw/zinc/55/38/03/761553803.db2.gz WAFUDAZEZMFPAW-NSHDSACASA-N 1 2 300.318 1.157 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ncoc1-c1ccon1 ZINC001038926625 761553807 /nfs/dbraw/zinc/55/38/07/761553807.db2.gz WAFUDAZEZMFPAW-NSHDSACASA-N 1 2 300.318 1.157 20 30 DDEDLO C#CC[NH2+][C@H]1C[C@H](CNC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001086445810 761620959 /nfs/dbraw/zinc/62/09/59/761620959.db2.gz PPLLXCNEXFENIC-NNUKFRKNSA-N 1 2 309.373 1.203 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(F)c(NC(C)=O)c1 ZINC001039060800 761699919 /nfs/dbraw/zinc/69/99/19/761699919.db2.gz MSMTYRGYMIJWAI-CQSZACIVSA-N 1 2 317.364 1.612 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(F)c(NC(C)=O)c1 ZINC001039060800 761699923 /nfs/dbraw/zinc/69/99/23/761699923.db2.gz MSMTYRGYMIJWAI-CQSZACIVSA-N 1 2 317.364 1.612 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001066561531 761797961 /nfs/dbraw/zinc/79/79/61/761797961.db2.gz NPAHQTLTGJYLBW-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@](C)(CC)CCC)[C@@H](n2ccnn2)C1 ZINC001129348066 762710183 /nfs/dbraw/zinc/71/01/83/762710183.db2.gz JOBZKADTPSCGAL-VYDXJSESSA-N 1 2 317.437 1.469 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@](C)(CC)CCC)[C@@H](n2ccnn2)C1 ZINC001129348066 762710188 /nfs/dbraw/zinc/71/01/88/762710188.db2.gz JOBZKADTPSCGAL-VYDXJSESSA-N 1 2 317.437 1.469 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001108707709 762877952 /nfs/dbraw/zinc/87/79/52/762877952.db2.gz OOGIHNPRHYLAHA-IACUBPJLSA-N 1 2 324.388 1.407 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108721369 762892704 /nfs/dbraw/zinc/89/27/04/762892704.db2.gz DZKWXPAKFLEEIF-STQMWFEESA-N 1 2 312.377 1.718 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nn1)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001108777699 762926399 /nfs/dbraw/zinc/92/63/99/762926399.db2.gz CGLMCGRZXSIKRG-JTQLQIEISA-N 1 2 321.344 1.455 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@]3(CCN(CC#N)C3)C2)c[nH+]1 ZINC001041400301 763005539 /nfs/dbraw/zinc/00/55/39/763005539.db2.gz SZHQGLSLZCGOHA-KRWDZBQOSA-N 1 2 315.421 1.375 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)o1 ZINC001050045090 763057364 /nfs/dbraw/zinc/05/73/64/763057364.db2.gz VUVIWRHMVDMQHD-LSDHHAIUSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)o1 ZINC001050045090 763057370 /nfs/dbraw/zinc/05/73/70/763057370.db2.gz VUVIWRHMVDMQHD-LSDHHAIUSA-N 1 2 314.389 1.214 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@]2(CCN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001041825722 763376370 /nfs/dbraw/zinc/37/63/70/763376370.db2.gz SXTCCDYDVVNRPB-GOSISDBHSA-N 1 2 323.400 1.519 20 30 DDEDLO CC#CC[N@H+]1CC[C@@]2(CCN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001041825722 763376378 /nfs/dbraw/zinc/37/63/78/763376378.db2.gz SXTCCDYDVVNRPB-GOSISDBHSA-N 1 2 323.400 1.519 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109257784 763522943 /nfs/dbraw/zinc/52/29/43/763522943.db2.gz MVAHATOWOJRSBD-GUSZCTEKSA-N 1 2 310.401 1.673 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109257784 763522949 /nfs/dbraw/zinc/52/29/49/763522949.db2.gz MVAHATOWOJRSBD-GUSZCTEKSA-N 1 2 310.401 1.673 20 30 DDEDLO C=CCCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NC)CC1 ZINC001109675747 763927990 /nfs/dbraw/zinc/92/79/90/763927990.db2.gz GEBDHMTWNGEZFB-RDBSUJKOSA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NC)CC1 ZINC001109675747 763927995 /nfs/dbraw/zinc/92/79/95/763927995.db2.gz GEBDHMTWNGEZFB-RDBSUJKOSA-N 1 2 305.422 1.200 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@H]21 ZINC001042352279 763953161 /nfs/dbraw/zinc/95/31/61/763953161.db2.gz XMSDJWHYFQAEMD-CXAGYDPISA-N 1 2 323.400 1.518 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@H]21 ZINC001042352279 763953168 /nfs/dbraw/zinc/95/31/68/763953168.db2.gz XMSDJWHYFQAEMD-CXAGYDPISA-N 1 2 323.400 1.518 20 30 DDEDLO C=CCC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)S(C)(=O)=O)C2 ZINC001109791365 764059417 /nfs/dbraw/zinc/05/94/17/764059417.db2.gz XVEXYDKNMYRUSB-AGIUHOORSA-N 1 2 314.451 1.107 20 30 DDEDLO C=CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)S(C)(=O)=O)C2 ZINC001109791365 764059419 /nfs/dbraw/zinc/05/94/19/764059419.db2.gz XVEXYDKNMYRUSB-AGIUHOORSA-N 1 2 314.451 1.107 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001057660188 764261373 /nfs/dbraw/zinc/26/13/73/764261373.db2.gz KQCMZLPHSORCOW-AWEZNQCLSA-N 1 2 310.361 1.253 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnn1C1CCC1 ZINC001050922321 764285874 /nfs/dbraw/zinc/28/58/74/764285874.db2.gz RROPUMHROANZIR-OAHLLOKOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnn1C1CCC1 ZINC001050922321 764285881 /nfs/dbraw/zinc/28/58/81/764285881.db2.gz RROPUMHROANZIR-OAHLLOKOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nnc1C ZINC001050938707 764307601 /nfs/dbraw/zinc/30/76/01/764307601.db2.gz VSHJDUARNYTDDR-OAHLLOKOSA-N 1 2 318.421 1.490 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nnc1C ZINC001050938707 764307607 /nfs/dbraw/zinc/30/76/07/764307607.db2.gz VSHJDUARNYTDDR-OAHLLOKOSA-N 1 2 318.421 1.490 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncc(F)cc1F ZINC001050981739 764380928 /nfs/dbraw/zinc/38/09/28/764380928.db2.gz KQYRHFAOLZQORX-LBPRGKRZSA-N 1 2 311.332 1.367 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncc(F)cc1F ZINC001050981739 764380934 /nfs/dbraw/zinc/38/09/34/764380934.db2.gz KQYRHFAOLZQORX-LBPRGKRZSA-N 1 2 311.332 1.367 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn([C@H](C)COC)nc2C)C1 ZINC001042723728 764389965 /nfs/dbraw/zinc/38/99/65/764389965.db2.gz YZDBAJRVVMZJBI-CYBMUJFWSA-N 1 2 320.437 1.731 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncc(C)cc1C ZINC001051039765 764444573 /nfs/dbraw/zinc/44/45/73/764444573.db2.gz KNOOEXVTTBQEBI-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncc(C)cc1C ZINC001051039765 764444577 /nfs/dbraw/zinc/44/45/77/764444577.db2.gz KNOOEXVTTBQEBI-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1occ2c1CCOC2 ZINC001051040772 764444598 /nfs/dbraw/zinc/44/45/98/764444598.db2.gz QZMBPFMOLKIWAS-CQSZACIVSA-N 1 2 320.389 1.359 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1occ2c1CCOC2 ZINC001051040772 764444599 /nfs/dbraw/zinc/44/45/99/764444599.db2.gz QZMBPFMOLKIWAS-CQSZACIVSA-N 1 2 320.389 1.359 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc2n[nH]cc2c1 ZINC001051090206 764495338 /nfs/dbraw/zinc/49/53/38/764495338.db2.gz WBKNQJIFCKQJDF-HNNXBMFYSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc2n[nH]cc2c1 ZINC001051090206 764495344 /nfs/dbraw/zinc/49/53/44/764495344.db2.gz WBKNQJIFCKQJDF-HNNXBMFYSA-N 1 2 314.389 1.570 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CC2CCCCC2)[C@@H](n2ccnn2)C1 ZINC001069893470 768218242 /nfs/dbraw/zinc/21/82/42/768218242.db2.gz AJFUSCZBZSUBDB-CVEARBPZSA-N 1 2 315.421 1.223 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CC2CCCCC2)[C@@H](n2ccnn2)C1 ZINC001069893470 768218247 /nfs/dbraw/zinc/21/82/47/768218247.db2.gz AJFUSCZBZSUBDB-CVEARBPZSA-N 1 2 315.421 1.223 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(F)=C2CCCC2)[C@@H](n2ccnn2)C1 ZINC001069909970 768223226 /nfs/dbraw/zinc/22/32/26/768223226.db2.gz YQJJHDAGWIOUCS-KGLIPLIRSA-N 1 2 317.368 1.050 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(F)=C2CCCC2)[C@@H](n2ccnn2)C1 ZINC001069909970 768223236 /nfs/dbraw/zinc/22/32/36/768223236.db2.gz YQJJHDAGWIOUCS-KGLIPLIRSA-N 1 2 317.368 1.050 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(C)nc1C(C)C ZINC001051239739 764662150 /nfs/dbraw/zinc/66/21/50/764662150.db2.gz CGONBWFSFROFJQ-CQSZACIVSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(C)nc1C(C)C ZINC001051239739 764662155 /nfs/dbraw/zinc/66/21/55/764662155.db2.gz CGONBWFSFROFJQ-CQSZACIVSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CCOc1ccc(C(=O)N(C)C2C[NH+](CCOC)C2)cc1 ZINC001043117247 764683414 /nfs/dbraw/zinc/68/34/14/764683414.db2.gz FTWNJONMGRDPJJ-UHFFFAOYSA-N 1 2 304.390 1.654 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)c3ccc(C#N)[nH]3)C[C@H]2C)cc[nH+]1 ZINC001043128816 764693465 /nfs/dbraw/zinc/69/34/65/764693465.db2.gz QCDNMPDCXWKVIZ-QMTHXVAHSA-N 1 2 310.361 1.557 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3c(c2)N(C)C(=O)C3)C1 ZINC001043241730 764788168 /nfs/dbraw/zinc/78/81/68/764788168.db2.gz KQJICAXFDXWMMV-UHFFFAOYSA-N 1 2 313.401 1.538 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC001112801382 764791581 /nfs/dbraw/zinc/79/15/81/764791581.db2.gz QDDNTBWDTFAHDK-GOSISDBHSA-N 1 2 313.401 1.530 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@H]2C)ccc1C#N ZINC001043296009 764817398 /nfs/dbraw/zinc/81/73/98/764817398.db2.gz WJUPAIGJSLWRBD-BXUZGUMPSA-N 1 2 324.388 1.486 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051426014 764865232 /nfs/dbraw/zinc/86/52/32/764865232.db2.gz FGIDAXXEOKIERD-KGLIPLIRSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccn(C(C)(C)C)n2)CC1 ZINC001112906558 764948255 /nfs/dbraw/zinc/94/82/55/764948255.db2.gz ILHWIFNACGFINT-UHFFFAOYSA-N 1 2 320.437 1.599 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2C[C@@H](C)Cc3cn[nH]c32)C1 ZINC001043621541 765010297 /nfs/dbraw/zinc/01/02/97/765010297.db2.gz HNSCDAIISJHDOA-SWLSCSKDSA-N 1 2 302.422 1.794 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc3cccn3cn2)CC1 ZINC001113001385 765124936 /nfs/dbraw/zinc/12/49/36/765124936.db2.gz VQFSEQPOFNBYFA-UHFFFAOYSA-N 1 2 314.389 1.295 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113031197 765163717 /nfs/dbraw/zinc/16/37/17/765163717.db2.gz MJYXYGRCGOBLPK-NWDGAFQWSA-N 1 2 314.393 1.328 20 30 DDEDLO Cc1nsc(N[C@H](C)[C@@H](C)NC(=O)Cn2cc[nH+]c2)c1C#N ZINC001113081631 765234287 /nfs/dbraw/zinc/23/42/87/765234287.db2.gz GZMWGJKBUXJYBN-NXEZZACHSA-N 1 2 318.406 1.525 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C[C@@H]2NC(=O)c3ccccc32)C1 ZINC001044075097 765275798 /nfs/dbraw/zinc/27/57/98/765275798.db2.gz DRWSLGPJDMLQPV-INIZCTEOSA-N 1 2 313.401 1.580 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2nccc3occc32)CC1 ZINC001113134109 765310015 /nfs/dbraw/zinc/31/00/15/765310015.db2.gz SGIUCQHRKKDQSB-UHFFFAOYSA-N 1 2 315.373 1.788 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)[C@@H](C)Nc1ccc(C#N)nc1 ZINC001113137759 765325877 /nfs/dbraw/zinc/32/58/77/765325877.db2.gz GZCNZPMYOBNTEA-MNOVXSKESA-N 1 2 312.377 1.533 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3cccc(F)c3)C2)CC1 ZINC001051974475 765334088 /nfs/dbraw/zinc/33/40/88/765334088.db2.gz HLTQPBAUIMAMNS-QGZVFWFLSA-N 1 2 315.392 1.291 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)C1C[NH+]([C@@H](C)COC)C1 ZINC001044162632 765354770 /nfs/dbraw/zinc/35/47/70/765354770.db2.gz AESLVCYRMPBSIY-ZDUSSCGKSA-N 1 2 319.405 1.438 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@H](C)C3CC3)C2)CC1 ZINC001052005546 765372123 /nfs/dbraw/zinc/37/21/23/765372123.db2.gz DHABZWZDTPROKS-NVXWUHKLSA-N 1 2 305.466 1.827 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@H](C)C3CC3)C2)CC1 ZINC001052005548 765373917 /nfs/dbraw/zinc/37/39/17/765373917.db2.gz DHABZWZDTPROKS-WBVHZDCISA-N 1 2 305.466 1.827 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(OC)cs2)CC1 ZINC001113183189 765378704 /nfs/dbraw/zinc/37/87/04/765378704.db2.gz IDWPQRUFDJKPON-UHFFFAOYSA-N 1 2 310.419 1.717 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccccc2C(=O)N(C)C)C1 ZINC001044197909 765387374 /nfs/dbraw/zinc/38/73/74/765387374.db2.gz KFBAKWGAIOKNQA-UHFFFAOYSA-N 1 2 313.401 1.168 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2ccc3c(c2)OCCO3)C1 ZINC001044264004 765434411 /nfs/dbraw/zinc/43/44/11/765434411.db2.gz CWOALNUQHNWJAM-UHFFFAOYSA-N 1 2 302.374 1.329 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)(C)C3CC3)C2)CC1 ZINC001052068573 765441716 /nfs/dbraw/zinc/44/17/16/765441716.db2.gz JREPPBWRWHGJKL-QGZVFWFLSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc3c(c2)nnn3CC)C1 ZINC001044284874 765450014 /nfs/dbraw/zinc/45/00/14/765450014.db2.gz WGJSBDWNTNKOHX-UHFFFAOYSA-N 1 2 311.389 1.231 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)CC(C)(F)F)C2)CC1 ZINC001052077443 765450291 /nfs/dbraw/zinc/45/02/91/765450291.db2.gz RACGXWAQQNNOED-CQSZACIVSA-N 1 2 313.392 1.274 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(-c3ccc(C)o3)n[nH]2)C1 ZINC001044296013 765461610 /nfs/dbraw/zinc/46/16/10/765461610.db2.gz RITOPSRFCGNVPR-UHFFFAOYSA-N 1 2 312.373 1.758 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C3CC3)C3CC3)C2)CC1 ZINC001052106734 765476714 /nfs/dbraw/zinc/47/67/14/765476714.db2.gz SGFSAFWNEFLLRN-KRWDZBQOSA-N 1 2 315.461 1.274 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cc(CC)on3)C2)CC1 ZINC001052110019 765478906 /nfs/dbraw/zinc/47/89/06/765478906.db2.gz KDGJTGYGLLBXRH-AWEZNQCLSA-N 1 2 318.421 1.255 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(N=NC(N)=O)cc2)C1 ZINC001044354602 765503198 /nfs/dbraw/zinc/50/31/98/765503198.db2.gz HHMNCTITLSUSBE-UHFFFAOYSA-N 1 2 313.361 1.629 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001052193494 765568313 /nfs/dbraw/zinc/56/83/13/765568313.db2.gz JCTOFOWFGMQFLS-QWHCGFSZSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C(C)(C)C(F)(F)F)CC1 ZINC001113566155 765886505 /nfs/dbraw/zinc/88/65/05/765886505.db2.gz GRWNLDKZWWOKCH-UHFFFAOYSA-N 1 2 308.344 1.922 20 30 DDEDLO C[C@H](CNC(=O)CCc1[nH]cc[nH+]1)N(C)c1ccc(C#N)nc1 ZINC001113591382 765920211 /nfs/dbraw/zinc/92/02/11/765920211.db2.gz VPRJCSONFIAGHF-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCCOc2cccnc2)CC1 ZINC001113661374 765986160 /nfs/dbraw/zinc/98/61/60/765986160.db2.gz RIYMJWZTEYJPER-UHFFFAOYSA-N 1 2 301.390 1.408 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(N(C)C)cn2)CC1 ZINC001113696927 766039933 /nfs/dbraw/zinc/03/99/33/766039933.db2.gz RBQSHBXQJITYNX-UHFFFAOYSA-N 1 2 318.421 1.108 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067716897 766648342 /nfs/dbraw/zinc/64/83/42/766648342.db2.gz OSPSZSDKTJFUON-UHFFFAOYSA-N 1 2 320.437 1.792 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001114194216 766755007 /nfs/dbraw/zinc/75/50/07/766755007.db2.gz MQPLBMIDSFJJAC-DRABBMOASA-N 1 2 307.419 1.017 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001114194216 766755015 /nfs/dbraw/zinc/75/50/15/766755015.db2.gz MQPLBMIDSFJJAC-DRABBMOASA-N 1 2 307.419 1.017 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114198312 766770834 /nfs/dbraw/zinc/77/08/34/766770834.db2.gz AKAQNABJFHWMEC-MUYACECFSA-N 1 2 301.394 1.024 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114198312 766770837 /nfs/dbraw/zinc/77/08/37/766770837.db2.gz AKAQNABJFHWMEC-MUYACECFSA-N 1 2 301.394 1.024 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114255476 766825929 /nfs/dbraw/zinc/82/59/29/766825929.db2.gz JTWFQARDSUFFLE-IMRBUKKESA-N 1 2 304.419 1.134 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114255476 766825939 /nfs/dbraw/zinc/82/59/39/766825939.db2.gz JTWFQARDSUFFLE-IMRBUKKESA-N 1 2 304.419 1.134 20 30 DDEDLO C[C@@H]1CCN(c2ncccc2C#N)C[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067994140 766861022 /nfs/dbraw/zinc/86/10/22/766861022.db2.gz RSPDGNPCLFTEOQ-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)CCC1CC1 ZINC001121607620 782589462 /nfs/dbraw/zinc/58/94/62/782589462.db2.gz ANUNPZMYQCKOAZ-UONOGXRCSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)CCC1CC1 ZINC001121607620 782589470 /nfs/dbraw/zinc/58/94/70/782589470.db2.gz ANUNPZMYQCKOAZ-UONOGXRCSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)CC1CCCC1 ZINC001121611104 782590901 /nfs/dbraw/zinc/59/09/01/782590901.db2.gz XBIUCGJCFUIZOS-GJZGRUSLSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)CC1CCCC1 ZINC001121611104 782590909 /nfs/dbraw/zinc/59/09/09/782590909.db2.gz XBIUCGJCFUIZOS-GJZGRUSLSA-N 1 2 319.453 1.828 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCOC2)C1 ZINC001046176800 767058302 /nfs/dbraw/zinc/05/83/02/767058302.db2.gz GGWCHCCMBPSNDU-WCQYABFASA-N 1 2 317.227 1.512 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCOC2)C1 ZINC001046176800 767058298 /nfs/dbraw/zinc/05/82/98/767058298.db2.gz GGWCHCCMBPSNDU-WCQYABFASA-N 1 2 317.227 1.512 20 30 DDEDLO C#CCC[N@H+]1CC[C@](C)(NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001046536696 767666792 /nfs/dbraw/zinc/66/67/92/767666792.db2.gz XGABNADZYAOOPW-SFHVURJKSA-N 1 2 323.400 1.689 20 30 DDEDLO C#CCC[N@@H+]1CC[C@](C)(NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001046536696 767666798 /nfs/dbraw/zinc/66/67/98/767666798.db2.gz XGABNADZYAOOPW-SFHVURJKSA-N 1 2 323.400 1.689 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+]Cc1nnc(CC)o1 ZINC001131867731 768444345 /nfs/dbraw/zinc/44/43/45/768444345.db2.gz VCSWDITZRZRCOO-OAHLLOKOSA-N 1 2 310.398 1.067 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2occc2C(C)C)C1 ZINC001047501603 768523876 /nfs/dbraw/zinc/52/38/76/768523876.db2.gz REHWNEUZVHJMFW-GJZGRUSLSA-N 1 2 304.390 1.543 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2occc2C(C)C)C1 ZINC001047501603 768523879 /nfs/dbraw/zinc/52/38/79/768523879.db2.gz REHWNEUZVHJMFW-GJZGRUSLSA-N 1 2 304.390 1.543 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cccc(Cl)c2F)C1 ZINC001047510527 768533154 /nfs/dbraw/zinc/53/31/54/768533154.db2.gz RTHNKXXSCGPZGM-STQMWFEESA-N 1 2 310.756 1.229 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cccc(Cl)c2F)C1 ZINC001047510527 768533157 /nfs/dbraw/zinc/53/31/57/768533157.db2.gz RTHNKXXSCGPZGM-STQMWFEESA-N 1 2 310.756 1.229 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cc(OC)no2)C1 ZINC001132003024 768554846 /nfs/dbraw/zinc/55/48/46/768554846.db2.gz PIEXAOVYNNFEOX-UONOGXRCSA-N 1 2 319.405 1.956 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cc(OC)no2)C1 ZINC001132003024 768554848 /nfs/dbraw/zinc/55/48/48/768554848.db2.gz PIEXAOVYNNFEOX-UONOGXRCSA-N 1 2 319.405 1.956 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2cc(F)c[nH]2)CC[C@H]1C ZINC001132183001 768669777 /nfs/dbraw/zinc/66/97/77/768669777.db2.gz KZRSFVYNIKCOIC-DGCLKSJQSA-N 1 2 322.384 1.039 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2cc(F)c[nH]2)CC[C@H]1C ZINC001132183001 768669781 /nfs/dbraw/zinc/66/97/81/768669781.db2.gz KZRSFVYNIKCOIC-DGCLKSJQSA-N 1 2 322.384 1.039 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)CC)CC[C@@H]1C ZINC001132256268 768713216 /nfs/dbraw/zinc/71/32/16/768713216.db2.gz LSSKASZNMZIOMN-KBPBESRZSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(C)(C)CC)CC[C@@H]1C ZINC001132256268 768713220 /nfs/dbraw/zinc/71/32/20/768713220.db2.gz LSSKASZNMZIOMN-KBPBESRZSA-N 1 2 307.438 1.141 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)/C(C)=C\C)C1 ZINC001070909887 768782349 /nfs/dbraw/zinc/78/23/49/768782349.db2.gz BWMWFXLAPMSCHC-WERVPBBBSA-N 1 2 319.405 1.245 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)/C(C)=C\C)C1 ZINC001070909887 768782352 /nfs/dbraw/zinc/78/23/52/768782352.db2.gz BWMWFXLAPMSCHC-WERVPBBBSA-N 1 2 319.405 1.245 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C(C)(C)C)CC1 ZINC001070973124 768825947 /nfs/dbraw/zinc/82/59/47/768825947.db2.gz CVPLZNUEIAERFE-AWEZNQCLSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C(C)(C)C)CC1 ZINC001070973124 768825958 /nfs/dbraw/zinc/82/59/58/768825958.db2.gz CVPLZNUEIAERFE-AWEZNQCLSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C(C)C)CC1 ZINC001071004948 768867718 /nfs/dbraw/zinc/86/77/18/768867718.db2.gz XMBOHMSBLZFSOL-HNNXBMFYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C(C)C)CC1 ZINC001071004948 768867730 /nfs/dbraw/zinc/86/77/30/768867730.db2.gz XMBOHMSBLZFSOL-HNNXBMFYSA-N 1 2 309.454 1.505 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001071120848 768975262 /nfs/dbraw/zinc/97/52/62/768975262.db2.gz HZYRCYWSUBSEPF-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nc(C2CCC2)no1 ZINC001132593150 769015286 /nfs/dbraw/zinc/01/52/86/769015286.db2.gz TUHQLSCAPFWZLG-UHFFFAOYSA-N 1 2 304.394 1.737 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H](N3CC[N@@H+](CC)CC3=O)C2)C1 ZINC001071274030 769219997 /nfs/dbraw/zinc/21/99/97/769219997.db2.gz NLXVBIGUZMLZDR-OAHLLOKOSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H](N3CC[N@H+](CC)CC3=O)C2)C1 ZINC001071274030 769220002 /nfs/dbraw/zinc/22/00/02/769220002.db2.gz NLXVBIGUZMLZDR-OAHLLOKOSA-N 1 2 319.449 1.498 20 30 DDEDLO Cc1cc(N2CC[C@@H](NC(=O)[C@H](C)C#N)C2)nc(C(C)C)[nH+]1 ZINC001096339887 769273390 /nfs/dbraw/zinc/27/33/90/769273390.db2.gz XCDYBJQNYKHEAC-DGCLKSJQSA-N 1 2 301.394 1.763 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CN2CCOCC2)CC[C@H]1C ZINC001071439726 769435355 /nfs/dbraw/zinc/43/53/55/769435355.db2.gz HUWBSZXBKRHUKX-ZIAGYGMSSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CN2CCOCC2)CC[C@H]1C ZINC001071439726 769435365 /nfs/dbraw/zinc/43/53/65/769435365.db2.gz HUWBSZXBKRHUKX-ZIAGYGMSSA-N 1 2 315.845 1.040 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2nc3cnccc3s2)CC[C@H]1C ZINC001071663338 769816729 /nfs/dbraw/zinc/81/67/29/769816729.db2.gz LYERNVQPEYSMLX-VXGBXAGGSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2nc3cnccc3s2)CC[C@H]1C ZINC001071663338 769816739 /nfs/dbraw/zinc/81/67/39/769816739.db2.gz LYERNVQPEYSMLX-VXGBXAGGSA-N 1 2 314.414 1.907 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2nc(CC(C)C)no2)C1 ZINC001133570011 769999940 /nfs/dbraw/zinc/99/99/40/769999940.db2.gz INBRBDSRPZPTOO-UHFFFAOYSA-N 1 2 306.410 1.830 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2nc([C@@H](C)OC)no2)C1 ZINC001133569790 770000482 /nfs/dbraw/zinc/00/04/82/770000482.db2.gz BWKCZLWGQFLAHN-LLVKDONJSA-N 1 2 308.382 1.339 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001096462134 770219794 /nfs/dbraw/zinc/21/97/94/770219794.db2.gz BAPRJZHOYALNST-LLVKDONJSA-N 1 2 314.393 1.270 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CC[C@@H](C)[N@@H+](CCF)C2)nn1 ZINC001071890872 770236044 /nfs/dbraw/zinc/23/60/44/770236044.db2.gz SXOPXBFAJDTXDR-OLZOCXBDSA-N 1 2 309.389 1.406 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CC[C@@H](C)[N@H+](CCF)C2)nn1 ZINC001071890872 770236049 /nfs/dbraw/zinc/23/60/49/770236049.db2.gz SXOPXBFAJDTXDR-OLZOCXBDSA-N 1 2 309.389 1.406 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cncn2C)CC[C@@H]1C ZINC001071989327 770395953 /nfs/dbraw/zinc/39/59/53/770395953.db2.gz OWXVKQIGVYKVFT-QWHCGFSZSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cncn2C)CC[C@@H]1C ZINC001071989327 770395965 /nfs/dbraw/zinc/39/59/65/770395965.db2.gz OWXVKQIGVYKVFT-QWHCGFSZSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001072218842 770673937 /nfs/dbraw/zinc/67/39/37/770673937.db2.gz LSYSAHRBDHXFFW-IUODEOHRSA-N 1 2 318.421 1.580 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1cscn1 ZINC001049368804 770743765 /nfs/dbraw/zinc/74/37/65/770743765.db2.gz BSFPOATWBKWCET-KWCYVHTRSA-N 1 2 304.419 1.868 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1cscn1 ZINC001049368804 770743772 /nfs/dbraw/zinc/74/37/72/770743772.db2.gz BSFPOATWBKWCET-KWCYVHTRSA-N 1 2 304.419 1.868 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001072317576 770754619 /nfs/dbraw/zinc/75/46/19/770754619.db2.gz RIAPMHWLTVTYSD-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072317576 770754621 /nfs/dbraw/zinc/75/46/21/770754621.db2.gz RIAPMHWLTVTYSD-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)C#CC1CC1 ZINC001049470636 770896076 /nfs/dbraw/zinc/89/60/76/770896076.db2.gz HVRFENUVPYIJOC-CVEARBPZSA-N 1 2 312.417 1.399 20 30 DDEDLO Cn1ccnc1C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)C#CC1CC1 ZINC001049470636 770896089 /nfs/dbraw/zinc/89/60/89/770896089.db2.gz HVRFENUVPYIJOC-CVEARBPZSA-N 1 2 312.417 1.399 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001072454562 770922022 /nfs/dbraw/zinc/92/20/22/770922022.db2.gz ZBJSNKDGDVWIGT-KBPBESRZSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C(C)(C)S(C)(=O)=O ZINC001049770847 771147765 /nfs/dbraw/zinc/14/77/65/771147765.db2.gz YCOAJNMBLWNLEH-CHWSQXEVSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C(C)(C)S(C)(=O)=O ZINC001049770847 771147766 /nfs/dbraw/zinc/14/77/66/771147766.db2.gz YCOAJNMBLWNLEH-CHWSQXEVSA-N 1 2 314.451 1.061 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[NH2+]Cc2cnsn2)cc1 ZINC001134950072 771251754 /nfs/dbraw/zinc/25/17/54/771251754.db2.gz BKHPFUGKCSZPAX-UHFFFAOYSA-N 1 2 316.386 1.070 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H](Nc1ccc(C#N)cn1)C1CC1 ZINC001096695073 771421273 /nfs/dbraw/zinc/42/12/73/771421273.db2.gz BGLQQNTUPASBAG-HNNXBMFYSA-N 1 2 324.388 1.236 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001096943973 771543577 /nfs/dbraw/zinc/54/35/77/771543577.db2.gz NNHVRUMFXURMBJ-HNNXBMFYSA-N 1 2 324.388 1.643 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001090673443 772099823 /nfs/dbraw/zinc/09/98/23/772099823.db2.gz MNOIQENQAXRZHZ-UMVBOHGHSA-N 1 2 318.421 1.707 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@@H](O)C1 ZINC001090696718 772122206 /nfs/dbraw/zinc/12/22/06/772122206.db2.gz XGHGVNZHMPRQGG-HIFRSBDPSA-N 1 2 314.389 1.222 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@@H](O)C1 ZINC001090696718 772122208 /nfs/dbraw/zinc/12/22/08/772122208.db2.gz XGHGVNZHMPRQGG-HIFRSBDPSA-N 1 2 314.389 1.222 20 30 DDEDLO O=C(CCS)N1CCC2(CC1)CN(c1cccc[nH+]1)CCO2 ZINC001143979059 772367580 /nfs/dbraw/zinc/36/75/80/772367580.db2.gz NLRKTRGJPCTANY-UHFFFAOYSA-N 1 2 321.446 1.599 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+]([C@@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171225287 772628417 /nfs/dbraw/zinc/62/84/17/772628417.db2.gz ZNZXTVRDUWKPAJ-XJKSGUPXSA-N 1 2 302.374 1.753 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+]([C@@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171225287 772628421 /nfs/dbraw/zinc/62/84/21/772628421.db2.gz ZNZXTVRDUWKPAJ-XJKSGUPXSA-N 1 2 302.374 1.753 20 30 DDEDLO C[C@]12CN(C(=O)C#CC3CC3)C[C@H]1C[N@H+](Cc1cnns1)C2 ZINC001091659483 773235790 /nfs/dbraw/zinc/23/57/90/773235790.db2.gz KOKIXNFOIIYAPF-CJNGLKHVSA-N 1 2 316.430 1.232 20 30 DDEDLO C[C@]12CN(C(=O)C#CC3CC3)C[C@H]1C[N@@H+](Cc1cnns1)C2 ZINC001091659483 773235792 /nfs/dbraw/zinc/23/57/92/773235792.db2.gz KOKIXNFOIIYAPF-CJNGLKHVSA-N 1 2 316.430 1.232 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccnnc2C)C1 ZINC001073679718 773299395 /nfs/dbraw/zinc/29/93/95/773299395.db2.gz RWTUKRZGHMEMFN-CYBMUJFWSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccnnc2C)C1 ZINC001073679718 773299397 /nfs/dbraw/zinc/29/93/97/773299397.db2.gz RWTUKRZGHMEMFN-CYBMUJFWSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001148088356 773359734 /nfs/dbraw/zinc/35/97/34/773359734.db2.gz LTFZCIPZWCSNKA-CABCVRRESA-N 1 2 314.433 1.969 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001148088356 773359741 /nfs/dbraw/zinc/35/97/41/773359741.db2.gz LTFZCIPZWCSNKA-CABCVRRESA-N 1 2 314.433 1.969 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001148146303 773366318 /nfs/dbraw/zinc/36/63/18/773366318.db2.gz CZAJVIBNAZJKJW-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001148146303 773366325 /nfs/dbraw/zinc/36/63/25/773366325.db2.gz CZAJVIBNAZJKJW-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001073999490 773578783 /nfs/dbraw/zinc/57/87/83/773578783.db2.gz NAZPFOYYTJLZBM-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001074036095 773599067 /nfs/dbraw/zinc/59/90/67/773599067.db2.gz IXDNHDBIVJERDY-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnns1)C2 ZINC001148899754 773629277 /nfs/dbraw/zinc/62/92/77/773629277.db2.gz CZNFFRNWSKQISF-LBPRGKRZSA-N 1 2 322.434 1.164 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnns1)C2 ZINC001148899754 773629282 /nfs/dbraw/zinc/62/92/82/773629282.db2.gz CZNFFRNWSKQISF-LBPRGKRZSA-N 1 2 322.434 1.164 20 30 DDEDLO C=C(C)C[N@@H+]1CCn2c(nnc2C2CCN(C(=O)CC)CC2)C1 ZINC001074105648 773634636 /nfs/dbraw/zinc/63/46/36/773634636.db2.gz BKTILSRCJZSIJK-UHFFFAOYSA-N 1 2 317.437 1.786 20 30 DDEDLO C=C(C)C[N@H+]1CCn2c(nnc2C2CCN(C(=O)CC)CC2)C1 ZINC001074105648 773634640 /nfs/dbraw/zinc/63/46/40/773634640.db2.gz BKTILSRCJZSIJK-UHFFFAOYSA-N 1 2 317.437 1.786 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074149677 773675240 /nfs/dbraw/zinc/67/52/40/773675240.db2.gz NPKPTPHLEPVAGO-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)n3C)C[C@@H]21 ZINC001074163723 773686842 /nfs/dbraw/zinc/68/68/42/773686842.db2.gz UWPJHZMQMRXACO-IRXDYDNUSA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)n3C)C[C@@H]21 ZINC001074163723 773686847 /nfs/dbraw/zinc/68/68/47/773686847.db2.gz UWPJHZMQMRXACO-IRXDYDNUSA-N 1 2 317.433 1.825 20 30 DDEDLO C[C@@H]1CC2(CN1C(=O)CCc1[nH]cc[nH+]1)CCN(CC#N)CC2 ZINC001086920181 773720851 /nfs/dbraw/zinc/72/08/51/773720851.db2.gz ILWUPPJWRMJLPE-CQSZACIVSA-N 1 2 315.421 1.569 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cscc3C)C[C@H]21 ZINC001074205669 773728897 /nfs/dbraw/zinc/72/88/97/773728897.db2.gz VRDOFAAEBOKSMZ-HZPDHXFCSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cscc3C)C[C@H]21 ZINC001074205669 773728899 /nfs/dbraw/zinc/72/88/99/773728899.db2.gz VRDOFAAEBOKSMZ-HZPDHXFCSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)sn3)C[C@H]21 ZINC001074222273 773745927 /nfs/dbraw/zinc/74/59/27/773745927.db2.gz FCOQXERERQCBER-HUUCEWRRSA-N 1 2 319.430 1.390 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)sn3)C[C@H]21 ZINC001074222273 773745930 /nfs/dbraw/zinc/74/59/30/773745930.db2.gz FCOQXERERQCBER-HUUCEWRRSA-N 1 2 319.430 1.390 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)cn3)C[C@H]21 ZINC001074222755 773748213 /nfs/dbraw/zinc/74/82/13/773748213.db2.gz MNCNKESXWOUSMH-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)cn3)C[C@H]21 ZINC001074222755 773748215 /nfs/dbraw/zinc/74/82/15/773748215.db2.gz MNCNKESXWOUSMH-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2OCC[N@H+](Cc3ccccc3)[C@@H]2C1 ZINC001074237414 773759832 /nfs/dbraw/zinc/75/98/32/773759832.db2.gz YTIJYAYJOFQNOZ-RTBURBONSA-N 1 2 324.424 1.902 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2OCC[N@@H+](Cc3ccccc3)[C@@H]2C1 ZINC001074237414 773759838 /nfs/dbraw/zinc/75/98/38/773759838.db2.gz YTIJYAYJOFQNOZ-RTBURBONSA-N 1 2 324.424 1.902 20 30 DDEDLO C=C(C)CN1CCO[C@H]2CCN(C(=O)CCn3cc[nH+]c3)C[C@@H]21 ZINC001074307867 773811261 /nfs/dbraw/zinc/81/12/61/773811261.db2.gz PSCYUYOTEWNGOI-HOTGVXAUSA-N 1 2 318.421 1.151 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccnc(C)c3)C[C@@H]21 ZINC001074366463 773859198 /nfs/dbraw/zinc/85/91/98/773859198.db2.gz UWLSQRUQPNIXRG-IRXDYDNUSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccnc(C)c3)C[C@@H]21 ZINC001074366463 773859202 /nfs/dbraw/zinc/85/92/02/773859202.db2.gz UWLSQRUQPNIXRG-IRXDYDNUSA-N 1 2 313.401 1.329 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3nocc3C)C[C@H]21 ZINC001074377517 773870566 /nfs/dbraw/zinc/87/05/66/773870566.db2.gz MPPFVRQYRRWTEU-KGLIPLIRSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3nocc3C)C[C@H]21 ZINC001074377517 773870569 /nfs/dbraw/zinc/87/05/69/773870569.db2.gz MPPFVRQYRRWTEU-KGLIPLIRSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccccc1O)c1nccn12 ZINC001092344317 774064857 /nfs/dbraw/zinc/06/48/57/774064857.db2.gz OCUPQEWDXDZHIL-CQSZACIVSA-N 1 2 324.384 1.660 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C#N)[nH]1)c1nccn12 ZINC001092361150 774075923 /nfs/dbraw/zinc/07/59/23/774075923.db2.gz CORFIOUGPXHUFB-CQSZACIVSA-N 1 2 322.372 1.155 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccccc1)c1nccn12 ZINC001092377846 774093752 /nfs/dbraw/zinc/09/37/52/774093752.db2.gz CKQZXKREDDJOCI-INIZCTEOSA-N 1 2 322.412 1.884 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@@H](CNc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001092652678 774200446 /nfs/dbraw/zinc/20/04/46/774200446.db2.gz VRULNMRJDGJLIL-VNHYZAJKSA-N 1 2 318.421 1.883 20 30 DDEDLO C#CCOCCC(=O)N1CCN(c2nc(C)[nH+]c(C)c2C)CC1 ZINC001093177592 774468105 /nfs/dbraw/zinc/46/81/05/774468105.db2.gz DSJASCORIHUARG-UHFFFAOYSA-N 1 2 316.405 1.090 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001098673996 774637615 /nfs/dbraw/zinc/63/76/15/774637615.db2.gz ZSMOMBLINFNNAO-ZDUSSCGKSA-N 1 2 319.434 1.469 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3n[nH]cc3C)CC2)C1 ZINC001093534072 774788614 /nfs/dbraw/zinc/78/86/14/774788614.db2.gz HKJOQCMJYZFPJF-UHFFFAOYSA-N 1 2 304.394 1.210 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)Cn3ccc(C)n3)CC2)C1 ZINC001093574085 774847152 /nfs/dbraw/zinc/84/71/52/774847152.db2.gz MDRMZPIRRPBZEV-UHFFFAOYSA-N 1 2 318.421 1.069 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC[C@H](C)Nc1ncccc1C#N ZINC001099362285 774964861 /nfs/dbraw/zinc/96/48/61/774964861.db2.gz UNLURPVUWUKCJL-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099726252 775188633 /nfs/dbraw/zinc/18/86/33/775188633.db2.gz FRCGLKQBEZPDGR-SJORKVTESA-N 1 2 313.401 1.576 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099726252 775188636 /nfs/dbraw/zinc/18/86/36/775188636.db2.gz FRCGLKQBEZPDGR-SJORKVTESA-N 1 2 313.401 1.576 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C[C@@H]1O ZINC001099855072 775356710 /nfs/dbraw/zinc/35/67/10/775356710.db2.gz CACQASJAVJSTLQ-CVEARBPZSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C[C@@H]1O ZINC001099855072 775356721 /nfs/dbraw/zinc/35/67/21/775356721.db2.gz CACQASJAVJSTLQ-CVEARBPZSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099857908 775359550 /nfs/dbraw/zinc/35/95/50/775359550.db2.gz DUGCOZFZDFBSOB-UONOGXRCSA-N 1 2 309.435 1.551 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099857908 775359558 /nfs/dbraw/zinc/35/95/58/775359558.db2.gz DUGCOZFZDFBSOB-UONOGXRCSA-N 1 2 309.435 1.551 20 30 DDEDLO N#Cc1ccc(NCCNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)cn1 ZINC001094111938 775438047 /nfs/dbraw/zinc/43/80/47/775438047.db2.gz CDWIOCVKKWBDDR-CYBMUJFWSA-N 1 2 310.361 1.325 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001099961696 775484565 /nfs/dbraw/zinc/48/45/65/775484565.db2.gz AVOHJCSNLSSAJB-HOCLYGCPSA-N 1 2 319.405 1.228 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001099961696 775484576 /nfs/dbraw/zinc/48/45/76/775484576.db2.gz AVOHJCSNLSSAJB-HOCLYGCPSA-N 1 2 319.405 1.228 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)CCOC)[C@@H](O)C1 ZINC001100058595 775630806 /nfs/dbraw/zinc/63/08/06/775630806.db2.gz WTDCVNMIMOBLMQ-OLZOCXBDSA-N 1 2 318.845 1.353 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)CCOC)[C@@H](O)C1 ZINC001100058595 775630811 /nfs/dbraw/zinc/63/08/11/775630811.db2.gz WTDCVNMIMOBLMQ-OLZOCXBDSA-N 1 2 318.845 1.353 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094604507 776126978 /nfs/dbraw/zinc/12/69/78/776126978.db2.gz QWDZUGKAZMZJAH-UHFFFAOYSA-N 1 2 320.441 1.767 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCN(CC)c1nc(C)[nH+]c(C)c1C ZINC001100552255 776298278 /nfs/dbraw/zinc/29/82/78/776298278.db2.gz OLZXEILOWCMUKR-AWEZNQCLSA-N 1 2 320.437 1.935 20 30 DDEDLO COC(=O)[C@H]([NH3+])Cc1cn([C@@H](C)Cc2ccc(C#N)cc2)cn1 ZINC001172309068 776744688 /nfs/dbraw/zinc/74/46/88/776744688.db2.gz PZZFITWESCLESA-BLLLJJGKSA-N 1 2 312.373 1.601 20 30 DDEDLO N#Cc1ccc(N(CCNC(=O)Cc2[nH]cc[nH+]2)C2CC2)nc1 ZINC001101374538 777105309 /nfs/dbraw/zinc/10/53/09/777105309.db2.gz JDQIHPGHYSDUNU-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)CCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001101781230 777510142 /nfs/dbraw/zinc/51/01/42/777510142.db2.gz ILIUKRSJGAOXOK-CYBMUJFWSA-N 1 2 316.405 1.571 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001101946879 777715429 /nfs/dbraw/zinc/71/54/29/777715429.db2.gz OADZGNUQGYGNHI-CHWSQXEVSA-N 1 2 306.435 1.382 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001101946879 777715441 /nfs/dbraw/zinc/71/54/41/777715441.db2.gz OADZGNUQGYGNHI-CHWSQXEVSA-N 1 2 306.435 1.382 20 30 DDEDLO C[C@H](c1csnn1)[N@H+]1C[C@@H](CNC(=O)C#CC2CC2)[C@H](C)C1 ZINC001101953194 777725504 /nfs/dbraw/zinc/72/55/04/777725504.db2.gz OVSAINKHHTYZOE-YRGRVCCFSA-N 1 2 318.446 1.697 20 30 DDEDLO C[C@H](c1csnn1)[N@@H+]1C[C@@H](CNC(=O)C#CC2CC2)[C@H](C)C1 ZINC001101953194 777725510 /nfs/dbraw/zinc/72/55/10/777725510.db2.gz OVSAINKHHTYZOE-YRGRVCCFSA-N 1 2 318.446 1.697 20 30 DDEDLO CCCC(=O)N(C)CC[NH+]1CCN(c2ccncc2C#N)CC1 ZINC001102410460 778122138 /nfs/dbraw/zinc/12/21/38/778122138.db2.gz CYQNODHBBHHVJJ-UHFFFAOYSA-N 1 2 315.421 1.334 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001102429872 778133403 /nfs/dbraw/zinc/13/34/03/778133403.db2.gz XDWIAXYOQYIVLS-ZDUSSCGKSA-N 1 2 319.434 1.327 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2ccc3[nH]cnc3c2)CC1 ZINC001137047863 778243608 /nfs/dbraw/zinc/24/36/08/778243608.db2.gz QNXXNVAQLAOXBR-UHFFFAOYSA-N 1 2 319.372 1.547 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2ccc3nc[nH]c3c2)CC1 ZINC001137047863 778243613 /nfs/dbraw/zinc/24/36/13/778243613.db2.gz QNXXNVAQLAOXBR-UHFFFAOYSA-N 1 2 319.372 1.547 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@H+](CCOCC=C)[C@@H]2C1 ZINC001176955465 778347390 /nfs/dbraw/zinc/34/73/90/778347390.db2.gz UDCQOXJTOZOQGC-HZPDHXFCSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOCC=C)[C@@H]2C1 ZINC001176955465 778347394 /nfs/dbraw/zinc/34/73/94/778347394.db2.gz UDCQOXJTOZOQGC-HZPDHXFCSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC3CC3)C[C@@H]21 ZINC001176955831 778348831 /nfs/dbraw/zinc/34/88/31/778348831.db2.gz ZXWQWPXQGUVFOF-DLBZAZTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC3CC3)C[C@@H]21 ZINC001176955831 778348837 /nfs/dbraw/zinc/34/88/37/778348837.db2.gz ZXWQWPXQGUVFOF-DLBZAZTESA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(CCF)CC3)C[C@H]21 ZINC001177055172 778416102 /nfs/dbraw/zinc/41/61/02/778416102.db2.gz IULLSWWBNSNFBZ-CABCVRRESA-N 1 2 308.397 1.451 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CCF)CC3)C[C@H]21 ZINC001177055172 778416106 /nfs/dbraw/zinc/41/61/06/778416106.db2.gz IULLSWWBNSNFBZ-CABCVRRESA-N 1 2 308.397 1.451 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001103425653 778859631 /nfs/dbraw/zinc/85/96/31/778859631.db2.gz JFZRJCNVHAFTTH-MGPQQGTHSA-N 1 2 315.421 1.427 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)[C@@H]1C ZINC001178278093 778970438 /nfs/dbraw/zinc/97/04/38/778970438.db2.gz CYMFNEGBYQWFJW-SCRDCRAPSA-N 1 2 322.409 1.438 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cn(C)nc2C2CCOCC2)nn1 ZINC001178987150 779252561 /nfs/dbraw/zinc/25/25/61/779252561.db2.gz ZYTJUGNVJBWSRG-UHFFFAOYSA-N 1 2 316.409 1.381 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)o1 ZINC001111667241 779427595 /nfs/dbraw/zinc/42/75/95/779427595.db2.gz YALSURSQPJVNTE-GMXABZIVSA-N 1 2 303.366 1.008 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)o1 ZINC001111667241 779427596 /nfs/dbraw/zinc/42/75/96/779427596.db2.gz YALSURSQPJVNTE-GMXABZIVSA-N 1 2 303.366 1.008 20 30 DDEDLO Cc1ccc(C#N)c(NC2(CNC(=O)Cc3[nH]cc[nH+]3)CCC2)n1 ZINC001111840195 779510009 /nfs/dbraw/zinc/51/00/09/779510009.db2.gz SBEGMHPQGPQVCC-UHFFFAOYSA-N 1 2 324.388 1.678 20 30 DDEDLO C=CCO[C@H](C)C(=O)N(C)CCCN(C)c1cc[nH+]c(C)n1 ZINC001112099462 779624348 /nfs/dbraw/zinc/62/43/48/779624348.db2.gz VUHIIEBANQALSC-CYBMUJFWSA-N 1 2 306.410 1.661 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC(C)(Nc2cc[nH+]c(C)n2)CC1 ZINC001112349312 779732314 /nfs/dbraw/zinc/73/23/14/779732314.db2.gz AVEVTTYTSZNEHV-QGZVFWFLSA-N 1 2 318.421 1.905 20 30 DDEDLO Cc1nc(N[C@H](CCNC(=O)CSCC#N)C(C)C)cc[nH+]1 ZINC001115283116 780004119 /nfs/dbraw/zinc/00/41/19/780004119.db2.gz GXNWUNORUXYLTQ-CYBMUJFWSA-N 1 2 321.450 1.985 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001115332265 780046580 /nfs/dbraw/zinc/04/65/80/780046580.db2.gz LZFRJRVIVUVFJH-RABLLNBGSA-N 1 2 305.378 1.121 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001115332265 780046591 /nfs/dbraw/zinc/04/65/91/780046591.db2.gz LZFRJRVIVUVFJH-RABLLNBGSA-N 1 2 305.378 1.121 20 30 DDEDLO C[C@@H](CN(C)C(=O)Cn1cc[nH+]c1)Nc1cccc(F)c1C#N ZINC001115766465 780413247 /nfs/dbraw/zinc/41/32/47/780413247.db2.gz ICFPXAXZIAPHOX-LBPRGKRZSA-N 1 2 315.352 1.853 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC001117348746 780732098 /nfs/dbraw/zinc/73/20/98/780732098.db2.gz QFEDMNJVHSYMIW-UONOGXRCSA-N 1 2 316.405 1.247 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H]1C[C@@H]1C1CCCC1 ZINC001267225214 837561036 /nfs/dbraw/zinc/56/10/36/837561036.db2.gz RJRSTANDVUWTLM-HUUCEWRRSA-N 1 2 307.438 1.163 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H]1C[C@@H]1C1CCCC1 ZINC001267225214 837561044 /nfs/dbraw/zinc/56/10/44/837561044.db2.gz RJRSTANDVUWTLM-HUUCEWRRSA-N 1 2 307.438 1.163 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1CC[N@H+](Cc2nc(C)no2)C1 ZINC001267299142 837779158 /nfs/dbraw/zinc/77/91/58/837779158.db2.gz AFMKKCKMOSMHPV-KBPBESRZSA-N 1 2 306.410 1.918 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1CC[N@@H+](Cc2nc(C)no2)C1 ZINC001267299142 837779169 /nfs/dbraw/zinc/77/91/69/837779169.db2.gz AFMKKCKMOSMHPV-KBPBESRZSA-N 1 2 306.410 1.918 20 30 DDEDLO C[C@H]1CCC[C@H](C)N1C(=O)C[N@H+](C)CCNC(=O)C#CC1CC1 ZINC001266273017 836023079 /nfs/dbraw/zinc/02/30/79/836023079.db2.gz ITCSBQGOSWITPE-GJZGRUSLSA-N 1 2 319.449 1.237 20 30 DDEDLO C[C@H]1CCC[C@H](C)N1C(=O)C[N@@H+](C)CCNC(=O)C#CC1CC1 ZINC001266273017 836023092 /nfs/dbraw/zinc/02/30/92/836023092.db2.gz ITCSBQGOSWITPE-GJZGRUSLSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@H]2C[C@@H]2c2ccccc2)CC1 ZINC001266295052 836068578 /nfs/dbraw/zinc/06/85/78/836068578.db2.gz VETBVFKPQOKGLD-MSOLQXFVSA-N 1 2 311.429 1.157 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2cc(C3CC3)no2)C1 ZINC001266345437 836149196 /nfs/dbraw/zinc/14/91/96/836149196.db2.gz UDTLCDCGVWVPQH-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2cc(C3CC3)no2)C1 ZINC001266345437 836149200 /nfs/dbraw/zinc/14/92/00/836149200.db2.gz UDTLCDCGVWVPQH-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@@H]2C1 ZINC001271873067 844170206 /nfs/dbraw/zinc/17/02/06/844170206.db2.gz AIENCLWWCZTQPD-GASCZTMLSA-N 1 2 315.421 1.197 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@@H]2C1 ZINC001271873067 844170210 /nfs/dbraw/zinc/17/02/10/844170210.db2.gz AIENCLWWCZTQPD-GASCZTMLSA-N 1 2 315.421 1.197 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@](CO)(NC(=O)C#CC(C)C)C2)on1 ZINC001279481361 836690209 /nfs/dbraw/zinc/69/02/09/836690209.db2.gz VFAHGSCCYWGYOU-KRWDZBQOSA-N 1 2 319.405 1.086 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@](CO)(NC(=O)C#CC(C)C)C2)on1 ZINC001279481361 836690212 /nfs/dbraw/zinc/69/02/12/836690212.db2.gz VFAHGSCCYWGYOU-KRWDZBQOSA-N 1 2 319.405 1.086 20 30 DDEDLO C=CCOCC(=O)NCC[C@H]1CC[N@@H+]([C@H](C)c2noc(C)n2)C1 ZINC001267555672 838298125 /nfs/dbraw/zinc/29/81/25/838298125.db2.gz PKLIMWLRTHJJPM-OCCSQVGLSA-N 1 2 322.409 1.470 20 30 DDEDLO C=CCOCC(=O)NCC[C@H]1CC[N@H+]([C@H](C)c2noc(C)n2)C1 ZINC001267555672 838298136 /nfs/dbraw/zinc/29/81/36/838298136.db2.gz PKLIMWLRTHJJPM-OCCSQVGLSA-N 1 2 322.409 1.470 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@H](C)CC(C)C)CC1 ZINC001267576504 838346337 /nfs/dbraw/zinc/34/63/37/838346337.db2.gz ZEKFQJKTUUXPKV-QGZVFWFLSA-N 1 2 307.482 1.768 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@H]2CCO[C@@H](CC)C2)C1 ZINC001267664568 838606904 /nfs/dbraw/zinc/60/69/04/838606904.db2.gz MPNQIWOYKJOZCC-HOCLYGCPSA-N 1 2 310.438 1.585 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1C[NH+](Cc2cccc(F)c2F)C1 ZINC001267681075 838635015 /nfs/dbraw/zinc/63/50/15/838635015.db2.gz HQRAUISVRYGNLH-LBPRGKRZSA-N 1 2 322.355 1.551 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@H+](Cc2cncc(F)c2)C1 ZINC001267931325 839214580 /nfs/dbraw/zinc/21/45/80/839214580.db2.gz QKHMSDQTOVJNSJ-MRXNPFEDSA-N 1 2 303.381 1.961 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@@H+](Cc2cncc(F)c2)C1 ZINC001267931325 839214588 /nfs/dbraw/zinc/21/45/88/839214588.db2.gz QKHMSDQTOVJNSJ-MRXNPFEDSA-N 1 2 303.381 1.961 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)Cc2cc3ccccc3o2)C1 ZINC001268023119 839401772 /nfs/dbraw/zinc/40/17/72/839401772.db2.gz DOBHLVYHEGRDMD-MRXNPFEDSA-N 1 2 314.385 1.978 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)Cc2cc3ccccc3o2)C1 ZINC001268023119 839401779 /nfs/dbraw/zinc/40/17/79/839401779.db2.gz DOBHLVYHEGRDMD-MRXNPFEDSA-N 1 2 314.385 1.978 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ncn3ccc(C)cc23)C1 ZINC001268332182 840009067 /nfs/dbraw/zinc/00/90/67/840009067.db2.gz GOVHHLBYJWWMDS-UHFFFAOYSA-N 1 2 314.389 1.259 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)CCNC(=O)c2cccs2)C1 ZINC001268481671 840278024 /nfs/dbraw/zinc/27/80/24/840278024.db2.gz GKYKAOBSBDDCBY-UHFFFAOYSA-N 1 2 307.419 1.245 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H](C)C[NH2+]Cc1nnc(CC)o1 ZINC001268665238 840648270 /nfs/dbraw/zinc/64/82/70/840648270.db2.gz URSVZVAIERHPND-XUJVJEKNSA-N 1 2 322.409 1.208 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](C)C(=O)N(CC)CC ZINC001268965081 841052205 /nfs/dbraw/zinc/05/22/05/841052205.db2.gz JCFISKXCQIDKGY-JYJNAYRXSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](C)C(=O)N(CC)CC ZINC001268965081 841052218 /nfs/dbraw/zinc/05/22/18/841052218.db2.gz JCFISKXCQIDKGY-JYJNAYRXSA-N 1 2 321.465 1.885 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)CC[N@H+](C)Cc2ccnn2C)c1 ZINC001272104902 844617828 /nfs/dbraw/zinc/61/78/28/844617828.db2.gz JLZOIWFOHQRIPT-UHFFFAOYSA-N 1 2 311.389 1.000 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)CC[N@@H+](C)Cc2ccnn2C)c1 ZINC001272104902 844617833 /nfs/dbraw/zinc/61/78/33/844617833.db2.gz JLZOIWFOHQRIPT-UHFFFAOYSA-N 1 2 311.389 1.000 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[N@H+]1Cc1cc(CO)ccc1F ZINC001269211778 841355409 /nfs/dbraw/zinc/35/54/09/841355409.db2.gz VNWIQWXWAWMNFN-OAHLLOKOSA-N 1 2 322.380 1.211 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[N@@H+]1Cc1cc(CO)ccc1F ZINC001269211778 841355415 /nfs/dbraw/zinc/35/54/15/841355415.db2.gz VNWIQWXWAWMNFN-OAHLLOKOSA-N 1 2 322.380 1.211 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@H]1CC[N@@H+]1[C@H]1CCCNC1=O ZINC001269241074 841408608 /nfs/dbraw/zinc/40/86/08/841408608.db2.gz QECZSUNXAYIBTM-CABCVRRESA-N 1 2 305.422 1.224 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@H]1CC[N@H+]1[C@H]1CCCNC1=O ZINC001269241074 841408611 /nfs/dbraw/zinc/40/86/11/841408611.db2.gz QECZSUNXAYIBTM-CABCVRRESA-N 1 2 305.422 1.224 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CC[N@@H+]1CCNC(=O)NC(C)C ZINC001269285157 841466803 /nfs/dbraw/zinc/46/68/03/841466803.db2.gz IEKSXSIWELPCKT-YOEHRIQHSA-N 1 2 324.469 1.487 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CC[N@H+]1CCNC(=O)NC(C)C ZINC001269285157 841466806 /nfs/dbraw/zinc/46/68/06/841466806.db2.gz IEKSXSIWELPCKT-YOEHRIQHSA-N 1 2 324.469 1.487 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001269387003 841590490 /nfs/dbraw/zinc/59/04/90/841590490.db2.gz VAWQJJXIBLIJIQ-HZPDHXFCSA-N 1 2 322.368 1.402 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2Cc1ocnc1C ZINC001269655171 841901662 /nfs/dbraw/zinc/90/16/62/841901662.db2.gz OCKLBHMECGHCDV-GASCZTMLSA-N 1 2 319.405 1.751 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2Cc1ocnc1C ZINC001269655171 841901671 /nfs/dbraw/zinc/90/16/71/841901671.db2.gz OCKLBHMECGHCDV-GASCZTMLSA-N 1 2 319.405 1.751 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCCN2C(=O)CCc2c[nH]c[nH+]2)C1=O ZINC001269660661 841910257 /nfs/dbraw/zinc/91/02/57/841910257.db2.gz AHSQLYKMPCFGQI-KRWDZBQOSA-N 1 2 316.405 1.512 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCCN2C(=O)CCc2c[nH+]c[nH]2)C1=O ZINC001269660661 841910262 /nfs/dbraw/zinc/91/02/62/841910262.db2.gz AHSQLYKMPCFGQI-KRWDZBQOSA-N 1 2 316.405 1.512 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@]3(CCN(C(=O)C#CC(C)C)C3)C2)n1 ZINC001269733218 841981098 /nfs/dbraw/zinc/98/10/98/841981098.db2.gz XOMUZLGBMDWBSQ-QGZVFWFLSA-N 1 2 316.405 1.462 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@]3(CCN(C(=O)C#CC(C)C)C3)C2)n1 ZINC001269733218 841981103 /nfs/dbraw/zinc/98/11/03/841981103.db2.gz XOMUZLGBMDWBSQ-QGZVFWFLSA-N 1 2 316.405 1.462 20 30 DDEDLO C=C[C@H](C(=O)N(C)C1C[NH+](CCn2cccn2)C1)c1ccccc1 ZINC001269893886 842135759 /nfs/dbraw/zinc/13/57/59/842135759.db2.gz WTLLJIPEYXGILC-SFHVURJKSA-N 1 2 324.428 1.996 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(C)(NC(=O)CSCC#N)CC2)o1 ZINC001270208462 842388783 /nfs/dbraw/zinc/38/87/83/842388783.db2.gz SIYYRPMRTXOSHF-UHFFFAOYSA-N 1 2 323.422 1.106 20 30 DDEDLO CCn1cc(C[NH+]2CCC(C)(NC(=O)C#CC(C)C)CC2)nn1 ZINC001270225522 842400916 /nfs/dbraw/zinc/40/09/16/842400916.db2.gz JPXBGFBXXVIQRC-UHFFFAOYSA-N 1 2 317.437 1.428 20 30 DDEDLO C#CCN(C(=O)CC)C1CC[NH+]([C@H](C)c2nncn2C)CC1 ZINC001270274251 842446306 /nfs/dbraw/zinc/44/63/06/842446306.db2.gz VAWPTVDPPIUHIO-CYBMUJFWSA-N 1 2 303.410 1.212 20 30 DDEDLO C#CCN(C(=O)[C@H](C)CC)C1CC[NH+](Cc2ncccn2)CC1 ZINC001270290222 842459599 /nfs/dbraw/zinc/45/95/99/842459599.db2.gz IJYKSJOYKZQTGV-OAHLLOKOSA-N 1 2 314.433 1.949 20 30 DDEDLO C[C@@H]1CCCN(C(=O)CCc2c[nH+]cn2C)[C@H]1CNCC#N ZINC001271750271 843837348 /nfs/dbraw/zinc/83/73/48/843837348.db2.gz JBGPSNBMBZTVSJ-HIFRSBDPSA-N 1 2 303.410 1.093 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1nc(C)c(C)s1 ZINC001272172236 844676372 /nfs/dbraw/zinc/67/63/72/844676372.db2.gz MFGUMGJLWWYGPP-UHFFFAOYSA-N 1 2 324.450 1.066 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1nc(C)c(C)s1 ZINC001272172236 844676374 /nfs/dbraw/zinc/67/63/74/844676374.db2.gz MFGUMGJLWWYGPP-UHFFFAOYSA-N 1 2 324.450 1.066 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)CCCc1ccsc1 ZINC001326644200 861505606 /nfs/dbraw/zinc/50/56/06/861505606.db2.gz SCDZENABFRCAPA-INIZCTEOSA-N 1 2 320.458 1.911 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)CCCc1ccsc1 ZINC001326644200 861505609 /nfs/dbraw/zinc/50/56/09/861505609.db2.gz SCDZENABFRCAPA-INIZCTEOSA-N 1 2 320.458 1.911 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1COCCN1Cc1c[nH+]cn1C ZINC001326648369 861510116 /nfs/dbraw/zinc/51/01/16/861510116.db2.gz SZHAHEIOLBHNFT-HOCLYGCPSA-N 1 2 320.437 1.339 20 30 DDEDLO Cc1nc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccc(C#N)cc2)co1 ZINC001032368012 847136992 /nfs/dbraw/zinc/13/69/92/847136992.db2.gz GSWXKAVPJWLZDU-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1nc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccc(C#N)cc2)co1 ZINC001032368012 847136998 /nfs/dbraw/zinc/13/69/98/847136998.db2.gz GSWXKAVPJWLZDU-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[NH+](Cc1nncs1)CC2 ZINC001272804799 847452119 /nfs/dbraw/zinc/45/21/19/847452119.db2.gz HJZXRWXAVBWIQX-UHFFFAOYSA-N 1 2 318.446 1.622 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2ccnc(Cl)c2)C1=O ZINC001272878174 847580269 /nfs/dbraw/zinc/58/02/69/847580269.db2.gz GTVUKABNWMPXPQ-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2ccnc(Cl)c2)C1=O ZINC001272878174 847580278 /nfs/dbraw/zinc/58/02/78/847580278.db2.gz GTVUKABNWMPXPQ-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@H](NC(=O)c3cnn[nH]3)C2)c1 ZINC001034282551 848248782 /nfs/dbraw/zinc/24/87/82/848248782.db2.gz LCKWNLMDXSXHMR-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@H](NC(=O)c3cnn[nH]3)C2)c1 ZINC001034282551 848248792 /nfs/dbraw/zinc/24/87/92/848248792.db2.gz LCKWNLMDXSXHMR-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(F)cn2)[C@@H](O)C1 ZINC001090209407 848408100 /nfs/dbraw/zinc/40/81/00/848408100.db2.gz IACPQMIHWMEKDZ-YPMHNXCESA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(F)cn2)[C@@H](O)C1 ZINC001090209407 848408103 /nfs/dbraw/zinc/40/81/03/848408103.db2.gz IACPQMIHWMEKDZ-YPMHNXCESA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2n[nH]cc2F)C1 ZINC001034381085 848434113 /nfs/dbraw/zinc/43/41/13/848434113.db2.gz LLBYTNZFYGCEMJ-SNVBAGLBSA-N 1 2 300.765 1.886 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2n[nH]cc2F)C1 ZINC001034381085 848434114 /nfs/dbraw/zinc/43/41/14/848434114.db2.gz LLBYTNZFYGCEMJ-SNVBAGLBSA-N 1 2 300.765 1.886 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@H](C)NC(=O)CC)C(C)(C)C1 ZINC001410009739 848578137 /nfs/dbraw/zinc/57/81/37/848578137.db2.gz BEQCDOIBBVNNDR-RYUDHWBXSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@H](C)NC(=O)CC)C(C)(C)C1 ZINC001410009739 848578145 /nfs/dbraw/zinc/57/81/45/848578145.db2.gz BEQCDOIBBVNNDR-RYUDHWBXSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C2(COC)CC2)C(C)(C)C1 ZINC001410034419 848711908 /nfs/dbraw/zinc/71/19/08/848711908.db2.gz MTOFMOHWCKDEFT-LBPRGKRZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C2(COC)CC2)C(C)(C)C1 ZINC001410034419 848711912 /nfs/dbraw/zinc/71/19/12/848711912.db2.gz MTOFMOHWCKDEFT-LBPRGKRZSA-N 1 2 300.830 1.992 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2ccc(C#N)cc2F)C1=O ZINC001273304069 849373882 /nfs/dbraw/zinc/37/38/82/849373882.db2.gz RSROWYDXIXZHGI-SFHVURJKSA-N 1 2 311.360 1.897 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2ccc(C#N)cc2F)C1=O ZINC001273304069 849373889 /nfs/dbraw/zinc/37/38/89/849373889.db2.gz RSROWYDXIXZHGI-SFHVURJKSA-N 1 2 311.360 1.897 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C[C@H](C)SC)C2)CC1 ZINC001273374110 849731782 /nfs/dbraw/zinc/73/17/82/849731782.db2.gz HRORKKXOVZYQCV-HOTGVXAUSA-N 1 2 323.506 1.370 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCOC2(C[NH+](CCCOCC)C2)C1 ZINC001327326241 862064957 /nfs/dbraw/zinc/06/49/57/862064957.db2.gz LOCKTLUUIGJCEC-UHFFFAOYSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2nnc(CC)o2)CC1 ZINC001273398816 849905560 /nfs/dbraw/zinc/90/55/60/849905560.db2.gz DTAJFVBQVREMSK-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2nnc(CC)o2)CC1 ZINC001273398816 849905568 /nfs/dbraw/zinc/90/55/68/849905568.db2.gz DTAJFVBQVREMSK-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](CC(C)(C)C(=O)CC)C[C@@]2(F)C1=O ZINC001273452234 850821664 /nfs/dbraw/zinc/82/16/64/850821664.db2.gz MYFSROXNXDFONG-JKSUJKDBSA-N 1 2 312.360 1.199 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](CC(C)(C)C(=O)CC)C[C@@]2(F)C1=O ZINC001273452234 850821666 /nfs/dbraw/zinc/82/16/66/850821666.db2.gz MYFSROXNXDFONG-JKSUJKDBSA-N 1 2 312.360 1.199 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1CC[NH+](C2CC2)CC1 ZINC001327464953 862195132 /nfs/dbraw/zinc/19/51/32/862195132.db2.gz XHAUFPUBMBUXMA-GASCZTMLSA-N 1 2 303.406 1.003 20 30 DDEDLO C=C(C)CN1C[C@@]2(CCN(c3cc[nH+]c(OC)c3)C2)OCC1=O ZINC001273585957 851117471 /nfs/dbraw/zinc/11/74/71/851117471.db2.gz VVSIRECWJHGWJQ-KRWDZBQOSA-N 1 2 317.389 1.474 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)CCc1ccco1)O2 ZINC001273647849 851181008 /nfs/dbraw/zinc/18/10/08/851181008.db2.gz VNUHBZXLSAYNOI-HNNXBMFYSA-N 1 2 304.390 1.748 20 30 DDEDLO CN1CCC2(CC[NH+](CC(=O)c3cccc(C#N)c3)CC2)C1=O ZINC001273766502 851319906 /nfs/dbraw/zinc/31/99/06/851319906.db2.gz VWLQWJWBLQWEQD-UHFFFAOYSA-N 1 2 311.385 1.685 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+](Cc3noc(C)n3)CC2)C1=O ZINC001273770341 851323052 /nfs/dbraw/zinc/32/30/52/851323052.db2.gz NKVURSCRHLTHED-INIZCTEOSA-N 1 2 304.394 1.769 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+](Cc3noc(C)n3)CC2)C1=O ZINC001273770341 851323060 /nfs/dbraw/zinc/32/30/60/851323060.db2.gz NKVURSCRHLTHED-INIZCTEOSA-N 1 2 304.394 1.769 20 30 DDEDLO Cc1noc(C[NH+]2CCC3(C[C@@H]3C(=O)NCCCC#N)CC2)n1 ZINC001273815665 851372308 /nfs/dbraw/zinc/37/23/08/851372308.db2.gz BGXQBCGUQZTWGB-CYBMUJFWSA-N 1 2 317.393 1.400 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](C[C@@H](O)C(C)(C)C)C[C@@]2(F)C1=O ZINC001273891322 851469309 /nfs/dbraw/zinc/46/93/09/851469309.db2.gz IOXRZHLWLJPHQH-UHOFOFEASA-N 1 2 316.392 1.544 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](C[C@@H](O)C(C)(C)C)C[C@@]2(F)C1=O ZINC001273891322 851469315 /nfs/dbraw/zinc/46/93/15/851469315.db2.gz IOXRZHLWLJPHQH-UHOFOFEASA-N 1 2 316.392 1.544 20 30 DDEDLO Cc1cnc([C@H](C)[NH2+]C/C=C/CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001273939924 851532483 /nfs/dbraw/zinc/53/24/83/851532483.db2.gz QHOMCQPIDWDSCH-PCAWENJQSA-N 1 2 313.361 1.820 20 30 DDEDLO Cc1nnc(C[NH2+]C/C=C/CNC(=O)c2c[nH]c(C#N)c2)s1 ZINC001273967074 851624509 /nfs/dbraw/zinc/62/45/09/851624509.db2.gz UZYVYGHLZKBVCI-NSCUHMNNSA-N 1 2 316.390 1.122 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115253061 851672549 /nfs/dbraw/zinc/67/25/49/851672549.db2.gz ODGFIDPPQOZDDV-QGZVFWFLSA-N 1 2 320.437 1.956 20 30 DDEDLO O=C(CC#Cc1ccccc1)NC/C=C/C[NH2+]Cc1ccon1 ZINC001274599394 852430010 /nfs/dbraw/zinc/43/00/10/852430010.db2.gz HMWCHXSDOVBMPI-SNAWJCMRSA-N 1 2 309.369 1.878 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C[NH2+]Cc1nc(C(F)F)no1 ZINC001275141963 852834814 /nfs/dbraw/zinc/83/48/14/852834814.db2.gz KLIFILSYVZKARL-VIFPVBQESA-N 1 2 300.309 1.261 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NC[C@@H](C)N(C)C(=O)[C@H](C)C#N)c[nH+]1 ZINC001411587742 853417065 /nfs/dbraw/zinc/41/70/65/853417065.db2.gz ASISTONLSBQSFQ-DGCLKSJQSA-N 1 2 319.409 1.049 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4ccnnc4)C3)C2)cc1C#N ZINC001275882961 853906036 /nfs/dbraw/zinc/90/60/36/853906036.db2.gz ZIGDRQOPDTXUSI-UHFFFAOYSA-N 1 2 308.389 1.005 20 30 DDEDLO C=C1CCC(C(=O)NC2(CCO)C[NH+](CCOC(C)C)C2)CC1 ZINC001276082833 854720918 /nfs/dbraw/zinc/72/09/18/854720918.db2.gz NUPOZLBMZSNCGO-UHFFFAOYSA-N 1 2 324.465 1.711 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001276107157 854768384 /nfs/dbraw/zinc/76/83/84/854768384.db2.gz DQXPBWIHPNVHOI-GUWHFVFCSA-N 1 2 304.434 1.408 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098186465 855252024 /nfs/dbraw/zinc/25/20/24/855252024.db2.gz DNWYJEDRTLYLLN-LLVKDONJSA-N 1 2 316.409 1.882 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@H](NC(=O)CSCC#N)C[C@@H]1C ZINC001328243348 862814684 /nfs/dbraw/zinc/81/46/84/862814684.db2.gz PEIQZHGQQZQYND-JQWIXIFHSA-N 1 2 323.422 1.104 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@H](NC(=O)CSCC#N)C[C@@H]1C ZINC001328243348 862814691 /nfs/dbraw/zinc/81/46/91/862814691.db2.gz PEIQZHGQQZQYND-JQWIXIFHSA-N 1 2 323.422 1.104 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccncc2C)C1 ZINC001073597995 858471491 /nfs/dbraw/zinc/47/14/91/858471491.db2.gz NIFXLSHVWBNVOG-AWEZNQCLSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccncc2C)C1 ZINC001073597995 858471492 /nfs/dbraw/zinc/47/14/92/858471492.db2.gz NIFXLSHVWBNVOG-AWEZNQCLSA-N 1 2 323.824 1.963 20 30 DDEDLO C#CCCC[N@H+]1CCc2c([nH]nc2C(=O)NC2(C#N)CCC2)C1 ZINC001276980234 881445272 /nfs/dbraw/zinc/44/52/72/881445272.db2.gz URJYRFWWSRNEIP-UHFFFAOYSA-N 1 2 311.389 1.357 20 30 DDEDLO C#CCCC[N@@H+]1CCc2c([nH]nc2C(=O)NC2(C#N)CCC2)C1 ZINC001276980234 881445275 /nfs/dbraw/zinc/44/52/75/881445275.db2.gz URJYRFWWSRNEIP-UHFFFAOYSA-N 1 2 311.389 1.357 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(O)cc(O)c2)C1 ZINC001138333559 860061384 /nfs/dbraw/zinc/06/13/84/860061384.db2.gz ICLYEMDGNZVPNA-CYBMUJFWSA-N 1 2 306.362 1.974 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2cc(O)cc(O)c2)C1 ZINC001138333559 860061395 /nfs/dbraw/zinc/06/13/95/860061395.db2.gz ICLYEMDGNZVPNA-CYBMUJFWSA-N 1 2 306.362 1.974 20 30 DDEDLO C=CCOc1ccccc1C[NH+]1CCN(CC(=O)OC)CC1 ZINC001139029553 860259224 /nfs/dbraw/zinc/25/92/24/860259224.db2.gz DEWMHWHUESWUIM-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO C=CCn1cc(C[NH+]2CCN(c3nccc(Cl)n3)CC2)cn1 ZINC001139772862 860477960 /nfs/dbraw/zinc/47/79/60/860477960.db2.gz RDCQQBCRLYNOIB-UHFFFAOYSA-N 1 2 318.812 1.835 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(Cc3ccc(C#N)cc3F)C2)C[C@@H](C)O1 ZINC001140140723 860582214 /nfs/dbraw/zinc/58/22/14/860582214.db2.gz JDIRVKGXDBFUNQ-CHWSQXEVSA-N 1 2 303.381 1.991 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cnc(-c3cccc(OC)c3)nc2)CC1 ZINC001140196484 860589056 /nfs/dbraw/zinc/58/90/56/860589056.db2.gz DKMIFTOPKDFCLI-UHFFFAOYSA-N 1 2 322.412 1.903 20 30 DDEDLO C#CCN1CC[NH+](Cc2cnc(-c3cccc(OC)c3)nc2)CC1 ZINC001140196484 860589064 /nfs/dbraw/zinc/58/90/64/860589064.db2.gz DKMIFTOPKDFCLI-UHFFFAOYSA-N 1 2 322.412 1.903 20 30 DDEDLO CNC(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)c(SC)n2)C1 ZINC001141945574 860978584 /nfs/dbraw/zinc/97/85/84/860978584.db2.gz DZXGUYLDWJKQHV-LBPRGKRZSA-N 1 2 319.434 1.569 20 30 DDEDLO CNC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)c(SC)n2)C1 ZINC001141945574 860978602 /nfs/dbraw/zinc/97/86/02/860978602.db2.gz DZXGUYLDWJKQHV-LBPRGKRZSA-N 1 2 319.434 1.569 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1nccn1C ZINC001328721575 863175739 /nfs/dbraw/zinc/17/57/39/863175739.db2.gz VSUCXFVJGBGSBO-WMLDXEAASA-N 1 2 320.437 1.170 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]1C[N@H+](C)Cc1nccn1C ZINC001328721575 863175743 /nfs/dbraw/zinc/17/57/43/863175743.db2.gz VSUCXFVJGBGSBO-WMLDXEAASA-N 1 2 320.437 1.170 20 30 DDEDLO C#CC[N@@H+](CC)[C@H](C)CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001157158661 863622198 /nfs/dbraw/zinc/62/21/98/863622198.db2.gz IKCCHOXDQXRINZ-CYBMUJFWSA-N 1 2 311.389 1.545 20 30 DDEDLO C#CC[N@H+](CC)[C@H](C)CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001157158661 863622201 /nfs/dbraw/zinc/62/22/01/863622201.db2.gz IKCCHOXDQXRINZ-CYBMUJFWSA-N 1 2 311.389 1.545 20 30 DDEDLO CC(C)(C)C#CC(=O)NC1(CCO)C[NH+](Cc2ccccc2)C1 ZINC001329654832 863774401 /nfs/dbraw/zinc/77/44/01/863774401.db2.gz FURQCFXAMQWEDW-UHFFFAOYSA-N 1 2 314.429 1.789 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)CC(C)(C)C(F)(F)F)C1 ZINC001329708185 863803533 /nfs/dbraw/zinc/80/35/33/863803533.db2.gz WFXFYUYCZFLYBS-UHFFFAOYSA-N 1 2 320.355 1.541 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)CCC(C)(F)F)C1 ZINC001330018898 864022395 /nfs/dbraw/zinc/02/23/95/864022395.db2.gz CKUUSKJCPQYQHQ-LLVKDONJSA-N 1 2 317.380 1.161 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](C)CCN1c1nc(Cl)c(F)cc1C#N ZINC001157770973 864121631 /nfs/dbraw/zinc/12/16/31/864121631.db2.gz XHRYRHFCNFJSKW-SNVBAGLBSA-N 1 2 312.732 1.039 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](C)CCN1c1nc(Cl)c(F)cc1C#N ZINC001157770973 864121634 /nfs/dbraw/zinc/12/16/34/864121634.db2.gz XHRYRHFCNFJSKW-SNVBAGLBSA-N 1 2 312.732 1.039 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2ccc(C3(C#N)CCC3)cn2)C[NH2+]1 ZINC001158254409 864516367 /nfs/dbraw/zinc/51/63/67/864516367.db2.gz CABNOWCGGKPVEO-OLZOCXBDSA-N 1 2 300.362 1.342 20 30 DDEDLO CCNC(=O)c1ccccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001225483776 881862820 /nfs/dbraw/zinc/86/28/20/881862820.db2.gz NKXVEVMKNYTOSI-UCHAVSLVSA-N 1 2 302.374 1.428 20 30 DDEDLO CCNC(=O)c1ccccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001225483776 881862838 /nfs/dbraw/zinc/86/28/38/881862838.db2.gz NKXVEVMKNYTOSI-UCHAVSLVSA-N 1 2 302.374 1.428 20 30 DDEDLO N#Cc1cc(Cl)nnc1N[C@@H]1CCC[C@H]1[NH+]1CCOCC1 ZINC001160726336 866076550 /nfs/dbraw/zinc/07/65/50/866076550.db2.gz YUHRBJGSXOKPRI-VXGBXAGGSA-N 1 2 307.785 1.667 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@H](NC(=O)C#CC2CC2)C2CC2)n1 ZINC001322990634 866245792 /nfs/dbraw/zinc/24/57/92/866245792.db2.gz IVRNRKXNLUPQDX-AWEZNQCLSA-N 1 2 316.405 1.591 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[N@H+]1Cc1oc(CC)nc1C ZINC001323198159 866422636 /nfs/dbraw/zinc/42/26/36/866422636.db2.gz HOSDVGLWLDJNQZ-CYBMUJFWSA-N 1 2 307.394 1.439 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[N@@H+]1Cc1oc(CC)nc1C ZINC001323198159 866422645 /nfs/dbraw/zinc/42/26/45/866422645.db2.gz HOSDVGLWLDJNQZ-CYBMUJFWSA-N 1 2 307.394 1.439 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CC[N@H+]2[C@@H]2CCN(CC)C2=O)C1 ZINC001323302543 866512780 /nfs/dbraw/zinc/51/27/80/866512780.db2.gz PBMDAKYNWHJFBF-UONOGXRCSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CC[N@@H+]2[C@@H]2CCN(CC)C2=O)C1 ZINC001323302543 866512786 /nfs/dbraw/zinc/51/27/86/866512786.db2.gz PBMDAKYNWHJFBF-UONOGXRCSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)C1(CC)CC1 ZINC001323304245 866514648 /nfs/dbraw/zinc/51/46/48/866514648.db2.gz WBDRXFRENXAECO-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CNC(=O)C1(CC)CC1 ZINC001323304245 866514664 /nfs/dbraw/zinc/51/46/64/866514664.db2.gz WBDRXFRENXAECO-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(C)CCC(=O)NCC1=CC[N@H+](CCS(C)(=O)=O)CC1 ZINC001333351101 866661443 /nfs/dbraw/zinc/66/14/43/866661443.db2.gz QVKLGUSTMGRJRZ-UHFFFAOYSA-N 1 2 314.451 1.136 20 30 DDEDLO C=C(C)CCC(=O)NCC1=CC[N@@H+](CCS(C)(=O)=O)CC1 ZINC001333351101 866661453 /nfs/dbraw/zinc/66/14/53/866661453.db2.gz QVKLGUSTMGRJRZ-UHFFFAOYSA-N 1 2 314.451 1.136 20 30 DDEDLO CC1(c2nnc(C3CCOCC3)o2)C[NH+](CCOCCC#N)C1 ZINC001333658824 866911009 /nfs/dbraw/zinc/91/10/09/866911009.db2.gz PYDPDGOABIRWSQ-UHFFFAOYSA-N 1 2 320.393 1.467 20 30 DDEDLO COc1cc(N2CC3(CC[N@@H+]3Cc3cc(C#N)n(C)c3)C2)ccn1 ZINC001277073831 882034955 /nfs/dbraw/zinc/03/49/55/882034955.db2.gz WNMGZSUJAIWLSP-UHFFFAOYSA-N 1 2 323.400 1.765 20 30 DDEDLO COc1cc(N2CC3(CC[N@H+]3Cc3cc(C#N)n(C)c3)C2)ccn1 ZINC001277073831 882034975 /nfs/dbraw/zinc/03/49/75/882034975.db2.gz WNMGZSUJAIWLSP-UHFFFAOYSA-N 1 2 323.400 1.765 20 30 DDEDLO COc1cc(N2CC3(CCN3Cc3cc(C#N)n(C)c3)C2)cc[nH+]1 ZINC001277073831 882034990 /nfs/dbraw/zinc/03/49/90/882034990.db2.gz WNMGZSUJAIWLSP-UHFFFAOYSA-N 1 2 323.400 1.765 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CCc2nc(C)cs2)C1 ZINC001323953868 866972207 /nfs/dbraw/zinc/97/22/07/866972207.db2.gz FIZCTSVWFFPJKV-UHFFFAOYSA-N 1 2 323.462 1.729 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C1C[NH+](CCOCCC(C)C)C1 ZINC001323981666 866990544 /nfs/dbraw/zinc/99/05/44/866990544.db2.gz PKWADVIGGSDKOB-SJORKVTESA-N 1 2 324.465 1.783 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNc1ncc(C)cc1C#C[Si](C)(C)C ZINC001161949676 867130327 /nfs/dbraw/zinc/13/03/27/867130327.db2.gz DLEMCOBVKWBMCK-CQSZACIVSA-N 1 2 319.481 1.921 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)N(C)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001334191080 867371351 /nfs/dbraw/zinc/37/13/51/867371351.db2.gz SHHDQGKJDNPMBI-CHWSQXEVSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)N(C)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001334191080 867371370 /nfs/dbraw/zinc/37/13/70/867371370.db2.gz SHHDQGKJDNPMBI-CHWSQXEVSA-N 1 2 306.410 1.518 20 30 DDEDLO C=C(C)CCC(=O)NC[C@]1(O)CC[N@H+](Cc2nocc2C)C1 ZINC001325102141 867771608 /nfs/dbraw/zinc/77/16/08/867771608.db2.gz LWXABYMQVURPFZ-MRXNPFEDSA-N 1 2 307.394 1.392 20 30 DDEDLO C=C(C)CCC(=O)NC[C@]1(O)CC[N@@H+](Cc2nocc2C)C1 ZINC001325102141 867771615 /nfs/dbraw/zinc/77/16/15/867771615.db2.gz LWXABYMQVURPFZ-MRXNPFEDSA-N 1 2 307.394 1.392 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)C/C=C/c2ccc(F)cc2)C1 ZINC001325233333 867879884 /nfs/dbraw/zinc/87/98/84/867879884.db2.gz LROASNXLEDFZLT-ONEGZZNKSA-N 1 2 318.392 1.968 20 30 DDEDLO CC#CC[N@H+](C)C[C@H](C)NC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001322274124 868093223 /nfs/dbraw/zinc/09/32/23/868093223.db2.gz MAJDBGDILBGWLD-OLZOCXBDSA-N 1 2 302.422 1.608 20 30 DDEDLO CC#CC[N@@H+](C)C[C@H](C)NC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001322274124 868093236 /nfs/dbraw/zinc/09/32/36/868093236.db2.gz MAJDBGDILBGWLD-OLZOCXBDSA-N 1 2 302.422 1.608 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@@H]2C1 ZINC001325764038 868334521 /nfs/dbraw/zinc/33/45/21/868334521.db2.gz YDKMZCLZLIZUOF-OKILXGFUSA-N 1 2 303.410 1.360 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@@H]2C1 ZINC001325764038 868334544 /nfs/dbraw/zinc/33/45/44/868334544.db2.gz YDKMZCLZLIZUOF-OKILXGFUSA-N 1 2 303.410 1.360 20 30 DDEDLO COC(=O)c1c(C)cc(C)[nH+]c1N[C@@H](CC(C)C)C(=O)NO ZINC001163418469 868437645 /nfs/dbraw/zinc/43/76/45/868437645.db2.gz YHHUXULYVSMJOD-NSHDSACASA-N 1 2 309.366 1.817 20 30 DDEDLO CCCc1nc(C[NH2+]CC2(CCNC(=O)[C@@H](C)C#N)CC2)no1 ZINC001163853905 868756576 /nfs/dbraw/zinc/75/65/76/868756576.db2.gz GYGXKDMUSRNDEQ-LBPRGKRZSA-N 1 2 319.409 1.558 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]2CCC(NC(=O)CSCC#N)CC2)[nH]1 ZINC001226152269 882235416 /nfs/dbraw/zinc/23/54/16/882235416.db2.gz FOMGRARUFQBRTJ-JTQLQIEISA-N 1 2 322.438 1.012 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC001336345814 868885887 /nfs/dbraw/zinc/88/58/87/868885887.db2.gz DMRYBOSXUTZGDE-HOCLYGCPSA-N 1 2 315.417 1.760 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC001336345814 868885904 /nfs/dbraw/zinc/88/59/04/868885904.db2.gz DMRYBOSXUTZGDE-HOCLYGCPSA-N 1 2 315.417 1.760 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C[C@@H](NC(=O)OC(C)(C)C)CC1=O ZINC001337592370 869555928 /nfs/dbraw/zinc/55/59/28/869555928.db2.gz WPIMCSSMIZNTMW-AWEZNQCLSA-N 1 2 321.421 1.415 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C[C@@H](NC(=O)OC(C)(C)C)CC1=O ZINC001337592370 869555937 /nfs/dbraw/zinc/55/59/37/869555937.db2.gz WPIMCSSMIZNTMW-AWEZNQCLSA-N 1 2 321.421 1.415 20 30 DDEDLO CC(C)(C)CC(C)(C)C(=O)NCC[NH+]1CCN(CC#N)CC1 ZINC001316968813 870014953 /nfs/dbraw/zinc/01/49/53/870014953.db2.gz ZNFJTHDNTOTQBZ-UHFFFAOYSA-N 1 2 308.470 1.706 20 30 DDEDLO CN(CC#N)C[C@H]1CCCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC001317043082 870154065 /nfs/dbraw/zinc/15/40/65/870154065.db2.gz HGIPFUJQKCYEBU-HUUCEWRRSA-N 1 2 315.421 1.139 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1CC ZINC001339690755 870634904 /nfs/dbraw/zinc/63/49/04/870634904.db2.gz XIHLBDGGBIRIFB-CQSZACIVSA-N 1 2 305.426 1.539 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1CC ZINC001339690755 870634912 /nfs/dbraw/zinc/63/49/12/870634912.db2.gz XIHLBDGGBIRIFB-CQSZACIVSA-N 1 2 305.426 1.539 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(Br)ccc2O)CC1 ZINC001203645730 870694116 /nfs/dbraw/zinc/69/41/16/870694116.db2.gz NYFBVKCUWVKQCB-UHFFFAOYSA-N 1 2 309.207 1.906 20 30 DDEDLO C#CCN1CC[NH+](Cc2cc(Br)ccc2O)CC1 ZINC001203645730 870694117 /nfs/dbraw/zinc/69/41/17/870694117.db2.gz NYFBVKCUWVKQCB-UHFFFAOYSA-N 1 2 309.207 1.906 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCN1C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001298994790 870814383 /nfs/dbraw/zinc/81/43/83/870814383.db2.gz USAAWEMNWRWEKL-KBPBESRZSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(CC)o2)C1 ZINC001317521013 870981792 /nfs/dbraw/zinc/98/17/92/870981792.db2.gz UCWOGJTYULLYMT-ZDUSSCGKSA-N 1 2 306.410 1.926 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@H+](Cc2nnc(CC)o2)C1 ZINC001317521013 870981804 /nfs/dbraw/zinc/98/18/04/870981804.db2.gz UCWOGJTYULLYMT-ZDUSSCGKSA-N 1 2 306.410 1.926 20 30 DDEDLO CC(C)c1noc(C[NH2+]CCN(C)C(=O)c2ccc(C#N)[nH]2)n1 ZINC001317577855 871125162 /nfs/dbraw/zinc/12/51/62/871125162.db2.gz YBTNTDSZMWOTRE-UHFFFAOYSA-N 1 2 316.365 1.255 20 30 DDEDLO C=CCCC(=O)NC1CC[NH+]([C@H](C)c2nc(COC)no2)CC1 ZINC001226528286 882486906 /nfs/dbraw/zinc/48/69/06/882486906.db2.gz VWAZOODOUUEDCN-GFCCVEGCSA-N 1 2 322.409 1.824 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)CCCSC ZINC001340593906 871217709 /nfs/dbraw/zinc/21/77/09/871217709.db2.gz MBRHKLJVGPNQAI-UHFFFAOYSA-N 1 2 320.466 1.978 20 30 DDEDLO CC[C@@](COC)([NH2+]CCCC1(C#N)CCOCC1)C(=O)OC ZINC001307823172 871456294 /nfs/dbraw/zinc/45/62/94/871456294.db2.gz CFVYDQYMUUCLNR-INIZCTEOSA-N 1 2 312.410 1.645 20 30 DDEDLO C=CCOCC(=O)N(CCC)[C@@H]1CC[N@H+](Cc2cc(C)on2)C1 ZINC001317752639 871463705 /nfs/dbraw/zinc/46/37/05/871463705.db2.gz CFPIZWHQLIUIJC-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCC(=O)N(CCC)[C@@H]1CC[N@@H+](Cc2cc(C)on2)C1 ZINC001317752639 871463715 /nfs/dbraw/zinc/46/37/15/871463715.db2.gz CFPIZWHQLIUIJC-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO CCCN(C(=O)[C@@H]1CCn2c[nH+]cc2C1)[C@@H]1CCN(CC#N)C1 ZINC001317762326 871477089 /nfs/dbraw/zinc/47/70/89/871477089.db2.gz WRZJSQFXXYBDRR-HUUCEWRRSA-N 1 2 315.421 1.282 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)c2cc(F)ccc2C)CC1 ZINC001317819563 871545939 /nfs/dbraw/zinc/54/59/39/871545939.db2.gz ASXLFXMQPDUHII-UHFFFAOYSA-N 1 2 317.408 1.457 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)C2(CCCC)CC2)CC1 ZINC001317823023 871547298 /nfs/dbraw/zinc/54/72/98/871547298.db2.gz PPSZKRDZVHNLLQ-UHFFFAOYSA-N 1 2 305.466 1.666 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@@H]2CCO[C@H](C)C2)CC1 ZINC001317823097 871547419 /nfs/dbraw/zinc/54/74/19/871547419.db2.gz PZDLTRLTFKPGMV-HZPDHXFCSA-N 1 2 309.454 1.064 20 30 DDEDLO N#Cc1cc(F)cc(S(=O)(=O)NCCNc2cccc[nH+]2)c1 ZINC001309290476 871561087 /nfs/dbraw/zinc/56/10/87/871561087.db2.gz JVLIMFBSXUSLDB-UHFFFAOYSA-N 1 2 320.349 1.483 20 30 DDEDLO N#Cc1cc(Cl)cc(C[N@@H+]2CCC[C@@]3(C2)NC(=O)NC3=O)c1 ZINC001341427343 871657024 /nfs/dbraw/zinc/65/70/24/871657024.db2.gz NUNSAPCBAYRXAA-HNNXBMFYSA-N 1 2 318.764 1.386 20 30 DDEDLO N#Cc1cc(Cl)cc(C[N@H+]2CCC[C@@]3(C2)NC(=O)NC3=O)c1 ZINC001341427343 871657029 /nfs/dbraw/zinc/65/70/29/871657029.db2.gz NUNSAPCBAYRXAA-HNNXBMFYSA-N 1 2 318.764 1.386 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCOCCOC)C1 ZINC001317994206 871677472 /nfs/dbraw/zinc/67/74/72/871677472.db2.gz NMZJNNKBDSZYQO-ZDUSSCGKSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCOCCOC)C1 ZINC001317994206 871677475 /nfs/dbraw/zinc/67/74/75/871677475.db2.gz NMZJNNKBDSZYQO-ZDUSSCGKSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1CCC[N@@H+]1CCS(C)(=O)=O ZINC001317508031 871702261 /nfs/dbraw/zinc/70/22/61/871702261.db2.gz LWMGAXGOQBILHC-ZIAGYGMSSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1CCC[N@H+]1CCS(C)(=O)=O ZINC001317508031 871702269 /nfs/dbraw/zinc/70/22/69/871702269.db2.gz LWMGAXGOQBILHC-ZIAGYGMSSA-N 1 2 316.467 1.214 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1CC[NH+](Cc2ncc(C3CC3)o2)CC1 ZINC001226617192 882540778 /nfs/dbraw/zinc/54/07/78/882540778.db2.gz BYIDBYYAAJBCOP-NSHDSACASA-N 1 2 302.378 1.792 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)CCOC[C@@H]2CCCO2)C1 ZINC001318137307 871772975 /nfs/dbraw/zinc/77/29/75/871772975.db2.gz YIISYSAWYLHEFG-AWEZNQCLSA-N 1 2 316.829 1.373 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)CCC2CCCCC2)C1 ZINC001318367370 871962525 /nfs/dbraw/zinc/96/25/25/871962525.db2.gz SSLASLPIEYAGHQ-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)CCC2CCCCC2)C1 ZINC001318367370 871962538 /nfs/dbraw/zinc/96/25/38/871962538.db2.gz SSLASLPIEYAGHQ-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](Cc2coc(C)n2)[C@@H]1C ZINC001316782260 872036270 /nfs/dbraw/zinc/03/62/70/872036270.db2.gz FRBYRXRHOMLVBL-DOMZBBRYSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](Cc2coc(C)n2)[C@@H]1C ZINC001316782260 872036282 /nfs/dbraw/zinc/03/62/82/872036282.db2.gz FRBYRXRHOMLVBL-DOMZBBRYSA-N 1 2 305.378 1.102 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)[C@@H]1CC[N@H+](Cc2nccs2)C1 ZINC001318470887 872089727 /nfs/dbraw/zinc/08/97/27/872089727.db2.gz ONCFWUKRCGFOCY-CZUORRHYSA-N 1 2 323.462 1.893 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2nccs2)C1 ZINC001318470887 872089743 /nfs/dbraw/zinc/08/97/43/872089743.db2.gz ONCFWUKRCGFOCY-CZUORRHYSA-N 1 2 323.462 1.893 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@@]2(C)C=CCC2)C1 ZINC001319320936 872568266 /nfs/dbraw/zinc/56/82/66/872568266.db2.gz ZESPECGDWTUXPJ-RDJZCZTQSA-N 1 2 308.422 1.362 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@@]2(C)C=CCC2)C1 ZINC001319320936 872568270 /nfs/dbraw/zinc/56/82/70/872568270.db2.gz ZESPECGDWTUXPJ-RDJZCZTQSA-N 1 2 308.422 1.362 20 30 DDEDLO COCCOCN1C[C@H]2C[C@@H](C1)[N@H+]2Cc1ccc(C#N)cc1O ZINC001276494529 872615131 /nfs/dbraw/zinc/61/51/31/872615131.db2.gz VCVXDLQPUVGLEE-IYBDPMFKSA-N 1 2 317.389 1.143 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2nccs2)C[C@H]1C ZINC001206914743 872757999 /nfs/dbraw/zinc/75/79/99/872757999.db2.gz PFCASHYCZZVNSL-JMSVASOKSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2nccs2)C[C@H]1C ZINC001206914743 872758016 /nfs/dbraw/zinc/75/80/16/872758016.db2.gz PFCASHYCZZVNSL-JMSVASOKSA-N 1 2 309.435 1.407 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](CC)OCC)C2)C1 ZINC001276531658 873251109 /nfs/dbraw/zinc/25/11/09/873251109.db2.gz DKMDVKMSSUFBFK-RDJZCZTQSA-N 1 2 308.422 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](CC)OCC)C2)C1 ZINC001276531658 873251117 /nfs/dbraw/zinc/25/11/17/873251117.db2.gz DKMDVKMSSUFBFK-RDJZCZTQSA-N 1 2 308.422 1.128 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001207759037 873540027 /nfs/dbraw/zinc/54/00/27/873540027.db2.gz NDMSXJCDJIUZCQ-ZDUSSCGKSA-N 1 2 324.450 1.602 20 30 DDEDLO C=CCOCC[NH2+][C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001208083329 873819597 /nfs/dbraw/zinc/81/95/97/873819597.db2.gz CMMPJURQQJRLAM-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCOCC[NH2+][C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001208083329 873819611 /nfs/dbraw/zinc/81/96/11/873819611.db2.gz CMMPJURQQJRLAM-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)Cn1c([C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)nnc1N(C)OC ZINC001346645760 873836601 /nfs/dbraw/zinc/83/66/01/873836601.db2.gz ANWJPBWOKUJWDT-KGLIPLIRSA-N 1 2 321.425 1.778 20 30 DDEDLO C=C(C)Cn1c([C@@H]2C[N@H+]3CCCC[C@@H]3CO2)nnc1N(C)OC ZINC001346645760 873836610 /nfs/dbraw/zinc/83/66/10/873836610.db2.gz ANWJPBWOKUJWDT-KGLIPLIRSA-N 1 2 321.425 1.778 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[N@@H+](CC(=O)NCC(C)(C)C)CC1(C)C ZINC001276561498 873916833 /nfs/dbraw/zinc/91/68/33/873916833.db2.gz NMRCLZFUTBDQRT-AWEZNQCLSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[N@H+](CC(=O)NCC(C)(C)C)CC1(C)C ZINC001276561498 873916843 /nfs/dbraw/zinc/91/68/43/873916843.db2.gz NMRCLZFUTBDQRT-AWEZNQCLSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001208255806 873939247 /nfs/dbraw/zinc/93/92/47/873939247.db2.gz RKYCWSXTKGIBGV-CYBMUJFWSA-N 1 2 322.409 1.653 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001209112584 874673751 /nfs/dbraw/zinc/67/37/51/874673751.db2.gz VGLDKYFOHWHPBQ-AWEZNQCLSA-N 1 2 304.394 1.652 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+]([C@H](C)c2nnc(C)[nH]2)CC1 ZINC001227124830 882857062 /nfs/dbraw/zinc/85/70/62/882857062.db2.gz ZUQGKACZLAOBQL-BZNIZROVSA-N 1 2 321.425 1.082 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC001348780414 874774700 /nfs/dbraw/zinc/77/47/00/874774700.db2.gz HVBFWGNAQHQDTR-CQSZACIVSA-N 1 2 303.362 1.144 20 30 DDEDLO CC(C)C#CC(=O)NCCNC(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC001348925543 874878404 /nfs/dbraw/zinc/87/84/04/874878404.db2.gz WCVXXVJJWSSAFE-OAHLLOKOSA-N 1 2 318.421 1.362 20 30 DDEDLO C=CCO[C@H]1CO[C@@]2(C1)CCC[N@@H+](Cc1cnccc1N)C2 ZINC001209729806 875082885 /nfs/dbraw/zinc/08/28/85/875082885.db2.gz CWNHKXCBFJKVOQ-NVXWUHKLSA-N 1 2 303.406 1.820 20 30 DDEDLO C=CCO[C@H]1CO[C@@]2(C1)CCC[N@H+](Cc1cnccc1N)C2 ZINC001209729806 875082890 /nfs/dbraw/zinc/08/28/90/875082890.db2.gz CWNHKXCBFJKVOQ-NVXWUHKLSA-N 1 2 303.406 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)Cc2cnn(C)c2)C1 ZINC001209853062 875144425 /nfs/dbraw/zinc/14/44/25/875144425.db2.gz UFXJLUXFHDOFTG-LALPHHSUSA-N 1 2 324.856 1.788 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)Cc2cnn(C)c2)C1 ZINC001209853062 875144430 /nfs/dbraw/zinc/14/44/30/875144430.db2.gz UFXJLUXFHDOFTG-LALPHHSUSA-N 1 2 324.856 1.788 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C2(C(=O)NC)CCC2)C1 ZINC001210469001 875398955 /nfs/dbraw/zinc/39/89/55/875398955.db2.gz YGBDSJRJSSKUIK-ZYHUDNBSSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C2(C(=O)NC)CCC2)C1 ZINC001210469001 875398962 /nfs/dbraw/zinc/39/89/62/875398962.db2.gz YGBDSJRJSSKUIK-ZYHUDNBSSA-N 1 2 313.829 1.092 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)nc2)C[C@H]1OC ZINC001213271420 875868216 /nfs/dbraw/zinc/86/82/16/875868216.db2.gz YFDQAKUFDLDXLY-HUUCEWRRSA-N 1 2 318.421 1.313 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)nc2)C[C@H]1OC ZINC001213271420 875868221 /nfs/dbraw/zinc/86/82/21/875868221.db2.gz YFDQAKUFDLDXLY-HUUCEWRRSA-N 1 2 318.421 1.313 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+](Cc2cc(C)on2)CC1 ZINC001227332060 882973362 /nfs/dbraw/zinc/97/33/62/882973362.db2.gz HXXGVCFVYYVOGK-UHFFFAOYSA-N 1 2 305.378 1.104 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+]([C@H](C)c2nnc(C)o2)CC1 ZINC001227342749 882977226 /nfs/dbraw/zinc/97/72/26/882977226.db2.gz WMWNHCWYJDFGTE-NEPJUHHUSA-N 1 2 320.393 1.058 20 30 DDEDLO C=CCCCC(=O)N1CCC(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001350921958 875959372 /nfs/dbraw/zinc/95/93/72/875959372.db2.gz ITIOHHCPIDURIM-UHFFFAOYSA-N 1 2 304.394 1.347 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](OC)C2CCCCC2)[C@H](OC)C1 ZINC001214005553 876126078 /nfs/dbraw/zinc/12/60/78/876126078.db2.gz UIHWRZREKYEDIH-OAGGEKHMSA-N 1 2 308.422 1.030 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](OC)C2CCCCC2)[C@H](OC)C1 ZINC001214005553 876126082 /nfs/dbraw/zinc/12/60/82/876126082.db2.gz UIHWRZREKYEDIH-OAGGEKHMSA-N 1 2 308.422 1.030 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(COCC=C)n2CC2CC2)CC1 ZINC001351268388 876153989 /nfs/dbraw/zinc/15/39/89/876153989.db2.gz KWDPRDMBQOMAIW-UHFFFAOYSA-N 1 2 315.421 1.146 20 30 DDEDLO CCN(CCCNC(=O)Cc1[nH]c[nH+]c1C)C(=O)C#CC1CC1 ZINC001352280108 876673380 /nfs/dbraw/zinc/67/33/80/876673380.db2.gz OELRCCHRDWSDKX-UHFFFAOYSA-N 1 2 316.405 1.029 20 30 DDEDLO C[C@H](C[NH2+]Cc1nnc(C(F)(F)F)s1)NC(=O)[C@H](C)C#N ZINC001379340580 876864442 /nfs/dbraw/zinc/86/44/42/876864442.db2.gz ABPJCILBHIYFNB-RNFRBKRXSA-N 1 2 321.328 1.311 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3conc3C)C[C@@H]21 ZINC001217758675 877317544 /nfs/dbraw/zinc/31/75/44/877317544.db2.gz PZGNMEVBWPAFLS-JKSUJKDBSA-N 1 2 317.389 1.198 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3conc3C)C[C@@H]21 ZINC001217758675 877317562 /nfs/dbraw/zinc/31/75/62/877317562.db2.gz PZGNMEVBWPAFLS-JKSUJKDBSA-N 1 2 317.389 1.198 20 30 DDEDLO CC[C@H]1CN(C(=O)CCCn2cc[nH+]c2)CC[C@@H]1NCC#N ZINC001276772523 877406980 /nfs/dbraw/zinc/40/69/80/877406980.db2.gz OZFOYQVMRWJFGX-GJZGRUSLSA-N 1 2 303.410 1.404 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001353639327 877460591 /nfs/dbraw/zinc/46/05/91/877460591.db2.gz MVVKXERHVJQXKZ-MGPQQGTHSA-N 1 2 304.394 1.249 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001218517685 877527112 /nfs/dbraw/zinc/52/71/12/877527112.db2.gz NWBLFKJGIZYJHN-IXDOHACOSA-N 1 2 320.433 1.150 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001218517685 877527123 /nfs/dbraw/zinc/52/71/23/877527123.db2.gz NWBLFKJGIZYJHN-IXDOHACOSA-N 1 2 320.433 1.150 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001354549824 878065022 /nfs/dbraw/zinc/06/50/22/878065022.db2.gz KWULCGNLMCLYKR-ZDUSSCGKSA-N 1 2 314.389 1.925 20 30 DDEDLO COC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc(C#C[Si](C)(C)C)c1 ZINC001219310465 878109282 /nfs/dbraw/zinc/10/92/82/878109282.db2.gz FLCIODVDBRZMRQ-AWEZNQCLSA-N 1 2 318.449 1.744 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1([NH2+]Cc2nc3c(o2)CCCC3)CC1 ZINC001380066886 878704665 /nfs/dbraw/zinc/70/46/65/878704665.db2.gz ISSJJHKAKKPAPD-LLVKDONJSA-N 1 2 302.378 1.451 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001220141546 878733070 /nfs/dbraw/zinc/73/30/70/878733070.db2.gz XKYCNKRBDRUPIJ-KGLIPLIRSA-N 1 2 319.430 1.493 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001220141546 878733085 /nfs/dbraw/zinc/73/30/85/878733085.db2.gz XKYCNKRBDRUPIJ-KGLIPLIRSA-N 1 2 319.430 1.493 20 30 DDEDLO C=CCn1c(N2C[C@H](C)C[C@@H]2C)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001356135180 878881454 /nfs/dbraw/zinc/88/14/54/878881454.db2.gz UAQLEJXKEYNTGH-FHIRATQRSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c(N2C[C@H](C)C[C@@H]2C)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001356135180 878881464 /nfs/dbraw/zinc/88/14/64/878881464.db2.gz UAQLEJXKEYNTGH-FHIRATQRSA-N 1 2 319.453 1.610 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220292318 878889392 /nfs/dbraw/zinc/88/93/92/878889392.db2.gz UTXWSSMMWDBOMA-IJEWVQPXSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220292318 878889412 /nfs/dbraw/zinc/88/94/12/878889412.db2.gz UTXWSSMMWDBOMA-IJEWVQPXSA-N 1 2 323.462 1.715 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC)c2ccc(F)cc2)[C@@H](O)C1 ZINC001221122552 879513267 /nfs/dbraw/zinc/51/32/67/879513267.db2.gz ZGEWXCLFQIJZMO-XHSDSOJGSA-N 1 2 304.365 1.114 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)c2ccc(F)cc2)[C@@H](O)C1 ZINC001221122552 879513277 /nfs/dbraw/zinc/51/32/77/879513277.db2.gz ZGEWXCLFQIJZMO-XHSDSOJGSA-N 1 2 304.365 1.114 20 30 DDEDLO N#Cc1cccc(C(=O)NC[C@@H]2Cc3ccccc3C[NH2+]2)c1O ZINC001221399492 879753831 /nfs/dbraw/zinc/75/38/31/879753831.db2.gz DGAYQDUDLPRSEO-HNNXBMFYSA-N 1 2 307.353 1.708 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CC34CCC4)[C@@H]2C1 ZINC001221836734 880113480 /nfs/dbraw/zinc/11/34/80/880113480.db2.gz LGGLRQWMTFOFDY-QLFBSQMISA-N 1 2 317.433 1.012 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CC34CCC4)[C@@H]2C1 ZINC001221836734 880113491 /nfs/dbraw/zinc/11/34/91/880113491.db2.gz LGGLRQWMTFOFDY-QLFBSQMISA-N 1 2 317.433 1.012 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)c1nc(N)nc(N(C)C)n1)c1ccc(C#N)cc1 ZINC001413992587 880517591 /nfs/dbraw/zinc/51/75/91/880517591.db2.gz XKMVUDBWZTYOAK-MNOVXSKESA-N 1 2 311.393 1.803 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+]([C@@H](C)c2nnc(C)[nH]2)CC1 ZINC001222609571 880596748 /nfs/dbraw/zinc/59/67/48/880596748.db2.gz MGIWACNWNCOFEP-LBPRGKRZSA-N 1 2 305.426 1.969 20 30 DDEDLO C=C(Cl)C[NH2+]C1(CNC(=O)c2ccc3[nH]nnc3c2)CCC1 ZINC001380898439 880627305 /nfs/dbraw/zinc/62/73/05/880627305.db2.gz SJUJLWAOIVSVFD-UHFFFAOYSA-N 1 2 319.796 1.953 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001358637332 880661223 /nfs/dbraw/zinc/66/12/23/880661223.db2.gz RHHZIPCQLFKPDY-DYVFJYSZSA-N 1 2 318.421 1.662 20 30 DDEDLO CN(C)c1ccc(CN2C[C@@H]3OCC[N@H+](C)[C@H]3C2)c(F)c1C#N ZINC001276858274 880795751 /nfs/dbraw/zinc/79/57/51/880795751.db2.gz DJQQMVZYRPYEPQ-HOTGVXAUSA-N 1 2 318.396 1.278 20 30 DDEDLO CN(C)c1ccc(CN2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)c(F)c1C#N ZINC001276858274 880795760 /nfs/dbraw/zinc/79/57/60/880795760.db2.gz DJQQMVZYRPYEPQ-HOTGVXAUSA-N 1 2 318.396 1.278 20 30 DDEDLO CN(C)c1ccc(C[N@@H+]2C[C@@H]3OCCN(C)[C@H]3C2)c(F)c1C#N ZINC001276858274 880795766 /nfs/dbraw/zinc/79/57/66/880795766.db2.gz DJQQMVZYRPYEPQ-HOTGVXAUSA-N 1 2 318.396 1.278 20 30 DDEDLO CN(C)c1ccc(C[N@H+]2C[C@@H]3OCCN(C)[C@H]3C2)c(F)c1C#N ZINC001276858274 880795778 /nfs/dbraw/zinc/79/57/78/880795778.db2.gz DJQQMVZYRPYEPQ-HOTGVXAUSA-N 1 2 318.396 1.278 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CNC(=O)Cc2n[nH]c(C)n2)CC1 ZINC001223148060 880853074 /nfs/dbraw/zinc/85/30/74/880853074.db2.gz FVCLUNXNAXPXMI-UHFFFAOYSA-N 1 2 311.817 1.236 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C(C)C)n1CC[N@@H+]1CCOC[C@H]1C ZINC001358871405 881076681 /nfs/dbraw/zinc/07/66/81/881076681.db2.gz FAGYCSKINYFZPR-LSDHHAIUSA-N 1 2 319.453 1.580 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C(C)C)n1CC[N@H+]1CCOC[C@H]1C ZINC001358871405 881076696 /nfs/dbraw/zinc/07/66/96/881076696.db2.gz FAGYCSKINYFZPR-LSDHHAIUSA-N 1 2 319.453 1.580 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)[C@H]1C ZINC001287998856 912651928 /nfs/dbraw/zinc/65/19/28/912651928.db2.gz NHZSXPFGZHIKSU-GXTWGEPZSA-N 1 2 304.394 1.414 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@@H](C)Cc1cnn(C)c1 ZINC001377348641 921113438 /nfs/dbraw/zinc/11/34/38/921113438.db2.gz CERMYAMTNGIKDI-LBPRGKRZSA-N 1 2 312.845 1.789 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@@H](C)Cc1cnn(C)c1 ZINC001377348641 921113440 /nfs/dbraw/zinc/11/34/40/921113440.db2.gz CERMYAMTNGIKDI-LBPRGKRZSA-N 1 2 312.845 1.789 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC[NH+]([C@H](C)c2nnc(C)[nH]2)CC1 ZINC001228631920 883580784 /nfs/dbraw/zinc/58/07/84/883580784.db2.gz XUGGMAYNIQMYCN-LLVKDONJSA-N 1 2 305.426 1.967 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@H]23)o1 ZINC001382325096 883823318 /nfs/dbraw/zinc/82/33/18/883823318.db2.gz HONUOYMALLZBGB-MQIPJXDCSA-N 1 2 317.393 1.405 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@H]23)o1 ZINC001382325096 883823329 /nfs/dbraw/zinc/82/33/29/883823329.db2.gz HONUOYMALLZBGB-MQIPJXDCSA-N 1 2 317.393 1.405 20 30 DDEDLO CC[N@@H+]1CC[C@@H]1C(=O)Nc1nn(-c2ccc(C#N)cn2)cc1C ZINC001362498452 883946972 /nfs/dbraw/zinc/94/69/72/883946972.db2.gz UXPSTPBNKWKQQY-CYBMUJFWSA-N 1 2 310.361 1.480 20 30 DDEDLO CC[N@H+]1CC[C@@H]1C(=O)Nc1nn(-c2ccc(C#N)cn2)cc1C ZINC001362498452 883946986 /nfs/dbraw/zinc/94/69/86/883946986.db2.gz UXPSTPBNKWKQQY-CYBMUJFWSA-N 1 2 310.361 1.480 20 30 DDEDLO CCO[C@@H](CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2CC#CCOC ZINC001277419663 884159291 /nfs/dbraw/zinc/15/92/91/884159291.db2.gz YDODBRPLRVDSGD-BBWFWOEESA-N 1 2 322.449 1.517 20 30 DDEDLO CCO[C@@H](CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2CC#CCOC ZINC001277419663 884159298 /nfs/dbraw/zinc/15/92/98/884159298.db2.gz YDODBRPLRVDSGD-BBWFWOEESA-N 1 2 322.449 1.517 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230568279 884593321 /nfs/dbraw/zinc/59/33/21/884593321.db2.gz IQQZPMNTKLZADC-OAHLLOKOSA-N 1 2 319.380 1.767 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230568279 884593334 /nfs/dbraw/zinc/59/33/34/884593334.db2.gz IQQZPMNTKLZADC-OAHLLOKOSA-N 1 2 319.380 1.767 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1CC1 ZINC001230585433 884620567 /nfs/dbraw/zinc/62/05/67/884620567.db2.gz ROVQPYIQVOTDOD-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC1CC1 ZINC001230585433 884620576 /nfs/dbraw/zinc/62/05/76/884620576.db2.gz ROVQPYIQVOTDOD-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1F ZINC001230621466 884663215 /nfs/dbraw/zinc/66/32/15/884663215.db2.gz OQKXDEJHPBNHAD-AWEZNQCLSA-N 1 2 304.365 1.622 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1F ZINC001230621466 884663223 /nfs/dbraw/zinc/66/32/23/884663223.db2.gz OQKXDEJHPBNHAD-AWEZNQCLSA-N 1 2 304.365 1.622 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1 ZINC001230651346 884699847 /nfs/dbraw/zinc/69/98/47/884699847.db2.gz NJTQRDUEFOHVKV-GOEBONIOSA-N 1 2 315.417 1.524 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1 ZINC001230651346 884699853 /nfs/dbraw/zinc/69/98/53/884699853.db2.gz NJTQRDUEFOHVKV-GOEBONIOSA-N 1 2 315.417 1.524 20 30 DDEDLO CSCC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001230709540 884777214 /nfs/dbraw/zinc/77/72/14/884777214.db2.gz CEYLVSMETVFPHN-MRXNPFEDSA-N 1 2 302.443 1.934 20 30 DDEDLO CSCC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001230709540 884777221 /nfs/dbraw/zinc/77/72/21/884777221.db2.gz CEYLVSMETVFPHN-MRXNPFEDSA-N 1 2 302.443 1.934 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1O ZINC001230788509 884883999 /nfs/dbraw/zinc/88/39/99/884883999.db2.gz QCYLQTJMULXYLM-CQSZACIVSA-N 1 2 302.374 1.188 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1O ZINC001230788509 884884013 /nfs/dbraw/zinc/88/40/13/884884013.db2.gz QCYLQTJMULXYLM-CQSZACIVSA-N 1 2 302.374 1.188 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H]1CCN(CC=C)C1=O ZINC001231171211 885319861 /nfs/dbraw/zinc/31/98/61/885319861.db2.gz ZEQLHMXBKJLAIA-CABCVRRESA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H]1CCN(CC=C)C1=O ZINC001231171211 885319877 /nfs/dbraw/zinc/31/98/77/885319877.db2.gz ZEQLHMXBKJLAIA-CABCVRRESA-N 1 2 305.422 1.272 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccnc(N(C)C)c1 ZINC001231247807 885431138 /nfs/dbraw/zinc/43/11/38/885431138.db2.gz RLWSZALYNUMUSU-HIFRSBDPSA-N 1 2 315.421 1.340 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccnc(N(C)C)c1 ZINC001231247807 885431148 /nfs/dbraw/zinc/43/11/48/885431148.db2.gz RLWSZALYNUMUSU-HIFRSBDPSA-N 1 2 315.421 1.340 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(OC)c(OC(C)=O)c2)CC1 ZINC001231708650 885828512 /nfs/dbraw/zinc/82/85/12/885828512.db2.gz YZVLBIUZXBNCOU-UHFFFAOYSA-N 1 2 302.374 1.371 20 30 DDEDLO C#CCN1CC[NH+](Cc2ccc(OC)c(OC(C)=O)c2)CC1 ZINC001231708650 885828523 /nfs/dbraw/zinc/82/85/23/885828523.db2.gz YZVLBIUZXBNCOU-UHFFFAOYSA-N 1 2 302.374 1.371 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@H]2C[C@@H](C1)N2c1ccc(C#N)cn1 ZINC001277687328 886493045 /nfs/dbraw/zinc/49/30/45/886493045.db2.gz NJFNIABELWWNDB-GASCZTMLSA-N 1 2 308.389 1.633 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@H]2C[C@@H](C1)N2c1ccc(C#N)cn1 ZINC001277687328 886493052 /nfs/dbraw/zinc/49/30/52/886493052.db2.gz NJFNIABELWWNDB-GASCZTMLSA-N 1 2 308.389 1.633 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2CCCN(c3ncccn3)CC2)c1 ZINC001232679861 886535079 /nfs/dbraw/zinc/53/50/79/886535079.db2.gz UEGUAOXIKPCHDJ-UHFFFAOYSA-N 1 2 309.373 1.766 20 30 DDEDLO N#Cc1ccc(O)c(C[N@H+]2CCCN(c3ncccn3)CC2)c1 ZINC001232679861 886535084 /nfs/dbraw/zinc/53/50/84/886535084.db2.gz UEGUAOXIKPCHDJ-UHFFFAOYSA-N 1 2 309.373 1.766 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)ncn1 ZINC001233978297 887517490 /nfs/dbraw/zinc/51/74/90/887517490.db2.gz SVRLHFDIRTZVJD-WBVHZDCISA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)ncn1 ZINC001233978297 887517504 /nfs/dbraw/zinc/51/75/04/887517504.db2.gz SVRLHFDIRTZVJD-WBVHZDCISA-N 1 2 318.421 1.145 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)CC(C)(C)O ZINC001234004114 887543866 /nfs/dbraw/zinc/54/38/66/887543866.db2.gz SHJOGVJYRALFJG-QGZVFWFLSA-N 1 2 314.429 1.732 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)CC(C)(C)O ZINC001234004114 887543871 /nfs/dbraw/zinc/54/38/71/887543871.db2.gz SHJOGVJYRALFJG-QGZVFWFLSA-N 1 2 314.429 1.732 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1C[C@@H]1C(F)F ZINC001234040195 887581305 /nfs/dbraw/zinc/58/13/05/887581305.db2.gz USIURLBNGSTGAV-AGIUHOORSA-N 1 2 300.349 1.070 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1C[C@@H]1C(F)F ZINC001234040195 887581320 /nfs/dbraw/zinc/58/13/20/887581320.db2.gz USIURLBNGSTGAV-AGIUHOORSA-N 1 2 300.349 1.070 20 30 DDEDLO CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)(C)C)C1=O ZINC001234216224 887756127 /nfs/dbraw/zinc/75/61/27/887756127.db2.gz VQISNLOCDUNXAX-CABCVRRESA-N 1 2 319.449 1.189 20 30 DDEDLO CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)(C)C)C1=O ZINC001234216224 887756138 /nfs/dbraw/zinc/75/61/38/887756138.db2.gz VQISNLOCDUNXAX-CABCVRRESA-N 1 2 319.449 1.189 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234215916 887758317 /nfs/dbraw/zinc/75/83/17/887758317.db2.gz QYQUXWCLNKTFOZ-HUUCEWRRSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234215916 887758326 /nfs/dbraw/zinc/75/83/26/887758326.db2.gz QYQUXWCLNKTFOZ-HUUCEWRRSA-N 1 2 319.449 1.259 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1CC ZINC001234224427 887764566 /nfs/dbraw/zinc/76/45/66/887764566.db2.gz IBTABGXPBMMSQH-UKRRQHHQSA-N 1 2 319.405 1.308 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1CC ZINC001234224427 887764574 /nfs/dbraw/zinc/76/45/74/887764574.db2.gz IBTABGXPBMMSQH-UKRRQHHQSA-N 1 2 319.405 1.308 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ocnc1C ZINC001234266833 887806714 /nfs/dbraw/zinc/80/67/14/887806714.db2.gz UOQFWYQSXCDKIX-UONOGXRCSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ocnc1C ZINC001234266833 887806722 /nfs/dbraw/zinc/80/67/22/887806722.db2.gz UOQFWYQSXCDKIX-UONOGXRCSA-N 1 2 305.378 1.054 20 30 DDEDLO N#Cc1c(F)cccc1NC1CC[NH+]([C@H]2CCOC2=O)CC1 ZINC001364174502 888078208 /nfs/dbraw/zinc/07/82/08/888078208.db2.gz VTJCWPXIEBWRCW-HNNXBMFYSA-N 1 2 303.337 1.889 20 30 DDEDLO CC(=O)[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2Cc1cc(C#N)n(C)c1 ZINC001277890122 888201869 /nfs/dbraw/zinc/20/18/69/888201869.db2.gz TZTSONIMKSUDPA-VBNZEHGJSA-N 1 2 300.406 1.523 20 30 DDEDLO CC(=O)[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2Cc1cc(C#N)n(C)c1 ZINC001277890122 888201880 /nfs/dbraw/zinc/20/18/80/888201880.db2.gz TZTSONIMKSUDPA-VBNZEHGJSA-N 1 2 300.406 1.523 20 30 DDEDLO N#CCC[N@H+]1CCC[C@]2(CN(Cc3cccc(=O)[nH]3)CCO2)C1 ZINC001277939213 888580151 /nfs/dbraw/zinc/58/01/51/888580151.db2.gz PIWVDRJXNCXGJU-QGZVFWFLSA-N 1 2 316.405 1.368 20 30 DDEDLO N#CCC[N@@H+]1CCC[C@]2(CN(Cc3cccc(=O)[nH]3)CCO2)C1 ZINC001277939213 888580162 /nfs/dbraw/zinc/58/01/62/888580162.db2.gz PIWVDRJXNCXGJU-QGZVFWFLSA-N 1 2 316.405 1.368 20 30 DDEDLO N#CCCN1CCC[C@@]2(C1)C[N@H+](Cc1cccc(=O)[nH]1)CCO2 ZINC001277939213 888580172 /nfs/dbraw/zinc/58/01/72/888580172.db2.gz PIWVDRJXNCXGJU-QGZVFWFLSA-N 1 2 316.405 1.368 20 30 DDEDLO N#CCCN1CCC[C@@]2(C1)C[N@@H+](Cc1cccc(=O)[nH]1)CCO2 ZINC001277939213 888580187 /nfs/dbraw/zinc/58/01/87/888580187.db2.gz PIWVDRJXNCXGJU-QGZVFWFLSA-N 1 2 316.405 1.368 20 30 DDEDLO Cc1nnc2n1CC[N@@H+](CCC(=O)Nc1ccccc1C#N)[C@@H]2C ZINC001364542175 888889176 /nfs/dbraw/zinc/88/91/76/888889176.db2.gz XPWWWOKQXWKBQM-GFCCVEGCSA-N 1 2 324.388 1.864 20 30 DDEDLO Cc1nnc2n1CC[N@H+](CCC(=O)Nc1ccccc1C#N)[C@@H]2C ZINC001364542175 888889185 /nfs/dbraw/zinc/88/91/85/888889185.db2.gz XPWWWOKQXWKBQM-GFCCVEGCSA-N 1 2 324.388 1.864 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001290057239 913369320 /nfs/dbraw/zinc/36/93/20/913369320.db2.gz PLIIJURWIIUYRD-BETUJISGSA-N 1 2 318.421 1.566 20 30 DDEDLO N#Cc1cc(C[NH+]2CCC3(CC2)NC(=O)NC3=O)c(F)cc1F ZINC001237531169 889683153 /nfs/dbraw/zinc/68/31/53/889683153.db2.gz WGLVJGLBEXHFAW-UHFFFAOYSA-N 1 2 320.299 1.010 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[NH+](Cc2cccc(N(C)C)c2)CC1 ZINC001364941828 889721399 /nfs/dbraw/zinc/72/13/99/889721399.db2.gz SJTVZBTTXQPOIL-CQSZACIVSA-N 1 2 300.406 1.556 20 30 DDEDLO CC[C@@H](C)C[N@@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001278131155 889801836 /nfs/dbraw/zinc/80/18/36/889801836.db2.gz FZTXRJOKTDHXKK-GFCCVEGCSA-N 1 2 315.421 1.990 20 30 DDEDLO CC[C@@H](C)C[N@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001278131155 889801843 /nfs/dbraw/zinc/80/18/43/889801843.db2.gz FZTXRJOKTDHXKK-GFCCVEGCSA-N 1 2 315.421 1.990 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCc2cnccn2)C1 ZINC001365957541 892042192 /nfs/dbraw/zinc/04/21/92/892042192.db2.gz UFTGUTANFAUXPN-AWEZNQCLSA-N 1 2 308.813 1.742 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCc2cnccn2)C1 ZINC001365957541 892042207 /nfs/dbraw/zinc/04/22/07/892042207.db2.gz UFTGUTANFAUXPN-AWEZNQCLSA-N 1 2 308.813 1.742 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](N[C@@](C)(C#N)c2ccccc2Cl)C[NH2+]1 ZINC001246263360 892506328 /nfs/dbraw/zinc/50/63/28/892506328.db2.gz YURGYLBDZCWPKI-XEGUGMAKSA-N 1 2 307.781 1.572 20 30 DDEDLO CCc1cnc(C[NH2+][C@@H](C)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001366267118 892952079 /nfs/dbraw/zinc/95/20/79/892952079.db2.gz IHSQQKSTHPOYHG-JTQLQIEISA-N 1 2 301.350 1.345 20 30 DDEDLO N#Cc1cccc(-c2noc([C@H](Cc3c[nH]c[nH+]3)NC=O)n2)c1 ZINC001247268219 893149614 /nfs/dbraw/zinc/14/96/14/893149614.db2.gz AGLCDOZBFTYPAV-ZDUSSCGKSA-N 1 2 308.301 1.361 20 30 DDEDLO N#Cc1cccc(-c2noc([C@H](Cc3c[nH+]c[nH]3)NC=O)n2)c1 ZINC001247268219 893149622 /nfs/dbraw/zinc/14/96/22/893149622.db2.gz AGLCDOZBFTYPAV-ZDUSSCGKSA-N 1 2 308.301 1.361 20 30 DDEDLO Cc1oc(-c2ccco2)nc1C[N@@H+](C)CCNC(=O)[C@H](C)C#N ZINC001366520729 893843236 /nfs/dbraw/zinc/84/32/36/893843236.db2.gz RHEBNIYGRUCRLA-LLVKDONJSA-N 1 2 316.361 1.951 20 30 DDEDLO Cc1oc(-c2ccco2)nc1C[N@H+](C)CCNC(=O)[C@H](C)C#N ZINC001366520729 893843253 /nfs/dbraw/zinc/84/32/53/893843253.db2.gz RHEBNIYGRUCRLA-LLVKDONJSA-N 1 2 316.361 1.951 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CCC)C(=O)[C@H](C)CC(N)=O)C1 ZINC001366807477 894972910 /nfs/dbraw/zinc/97/29/10/894972910.db2.gz RREDRMRHCMQCEG-DGCLKSJQSA-N 1 2 315.845 1.563 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CCC)C(=O)[C@H](C)CC(N)=O)C1 ZINC001366807477 894972919 /nfs/dbraw/zinc/97/29/19/894972919.db2.gz RREDRMRHCMQCEG-DGCLKSJQSA-N 1 2 315.845 1.563 20 30 DDEDLO C=C[C@@](C)(O)C[NH+]1CCN(c2cccc(S(C)(=O)=O)c2)CC1 ZINC001252557681 895278688 /nfs/dbraw/zinc/27/86/88/895278688.db2.gz OJFGXYYLZZGDLR-MRXNPFEDSA-N 1 2 324.446 1.149 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](NC(=O)COCC)[C@H]1CC ZINC001388767559 895804736 /nfs/dbraw/zinc/80/47/36/895804736.db2.gz UXWUJEKIDOVEFY-NWDGAFQWSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](NC(=O)COCC)[C@H]1CC ZINC001388767559 895804744 /nfs/dbraw/zinc/80/47/44/895804744.db2.gz UXWUJEKIDOVEFY-NWDGAFQWSA-N 1 2 319.243 1.901 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1nc2ccc(F)cc2s1 ZINC001253749710 896056738 /nfs/dbraw/zinc/05/67/38/896056738.db2.gz KYKXCYIKPAVGGP-UHFFFAOYSA-N 1 2 321.377 1.956 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1nc2ccc(F)cc2s1 ZINC001253749710 896056751 /nfs/dbraw/zinc/05/67/51/896056751.db2.gz KYKXCYIKPAVGGP-UHFFFAOYSA-N 1 2 321.377 1.956 20 30 DDEDLO CC(C)(C)OC(=O)N1CCCC[C@@H](N2CC[NH2+]C[C@H]2C#N)C1 ZINC001254199940 896293238 /nfs/dbraw/zinc/29/32/38/896293238.db2.gz VRAGRRXPFPWNCO-ZIAGYGMSSA-N 1 2 308.426 1.573 20 30 DDEDLO N#Cc1ccccc1O[C@@H]1CCC[N@@H+]([C@H]2CCS(=O)(=O)C2)C1 ZINC001254340988 896375698 /nfs/dbraw/zinc/37/56/98/896375698.db2.gz IUNTYGFTZKMMPZ-LSDHHAIUSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1ccccc1O[C@@H]1CCC[N@H+]([C@H]2CCS(=O)(=O)C2)C1 ZINC001254340988 896375709 /nfs/dbraw/zinc/37/57/09/896375709.db2.gz IUNTYGFTZKMMPZ-LSDHHAIUSA-N 1 2 320.414 1.589 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H](O)C[N@H+](C)Cc1ncc(C)o1 ZINC001278868340 896946515 /nfs/dbraw/zinc/94/65/15/896946515.db2.gz ADWSSMSLBAYNQR-OAHLLOKOSA-N 1 2 321.421 1.428 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1ncc(C)o1 ZINC001278868340 896946527 /nfs/dbraw/zinc/94/65/27/896946527.db2.gz ADWSSMSLBAYNQR-OAHLLOKOSA-N 1 2 321.421 1.428 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC[N@H+](C)Cc1ccn(C)n1)C(C)C ZINC001278908209 897264692 /nfs/dbraw/zinc/26/46/92/897264692.db2.gz NWKQTLOFVNYMFI-OAHLLOKOSA-N 1 2 320.437 1.127 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC[N@@H+](C)Cc1ccn(C)n1)C(C)C ZINC001278908209 897264708 /nfs/dbraw/zinc/26/47/08/897264708.db2.gz NWKQTLOFVNYMFI-OAHLLOKOSA-N 1 2 320.437 1.127 20 30 DDEDLO C[C@H](C[N@H+](C)CC(=O)Nc1ccccc1F)NC(=O)[C@@H](C)C#N ZINC001367792480 897811790 /nfs/dbraw/zinc/81/17/90/897811790.db2.gz XVACTKZKJHERMV-NWDGAFQWSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@H](C[N@@H+](C)CC(=O)Nc1ccccc1F)NC(=O)[C@@H](C)C#N ZINC001367792480 897811800 /nfs/dbraw/zinc/81/18/00/897811800.db2.gz XVACTKZKJHERMV-NWDGAFQWSA-N 1 2 320.368 1.360 20 30 DDEDLO CCOC(=O)CC1CCC([NH2+][C@@H](CS)C(=O)OCC)CC1 ZINC001258020567 898020509 /nfs/dbraw/zinc/02/05/09/898020509.db2.gz GTWJQFDMUSRAQU-BPCQOVAHSA-N 1 2 317.451 1.950 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCc3[nH+]ccn3CC2)cc1 ZINC001258089328 898047630 /nfs/dbraw/zinc/04/76/30/898047630.db2.gz IWSMIHYUEMBMSZ-UHFFFAOYSA-N 1 2 302.359 1.002 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)NC2CC[NH+](CCF)CC2)c1 ZINC001259127680 898484309 /nfs/dbraw/zinc/48/43/09/898484309.db2.gz YIOCZDOAENLKPJ-UHFFFAOYSA-N 1 2 311.382 1.270 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC001259416299 898658549 /nfs/dbraw/zinc/65/85/49/898658549.db2.gz WYWSXZJAGNKZER-TXEJJXNPSA-N 1 2 309.366 1.164 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC001259416299 898658558 /nfs/dbraw/zinc/65/85/58/898658558.db2.gz WYWSXZJAGNKZER-TXEJJXNPSA-N 1 2 309.366 1.164 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC(C)(C)C ZINC001390112749 898710671 /nfs/dbraw/zinc/71/06/71/898710671.db2.gz QVQPWDZTOIOUQP-UONOGXRCSA-N 1 2 322.453 1.279 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC(C)(C)C ZINC001390112749 898710677 /nfs/dbraw/zinc/71/06/77/898710677.db2.gz QVQPWDZTOIOUQP-UONOGXRCSA-N 1 2 322.453 1.279 20 30 DDEDLO CCn1nc(C)c(C[NH+]2CCC(C)(NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001368111834 898724356 /nfs/dbraw/zinc/72/43/56/898724356.db2.gz IXXYKQZXPVYBQH-LBPRGKRZSA-N 1 2 318.425 1.237 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N1CC[NH+](Cc2ccccc2)CC1 ZINC001261412165 899553953 /nfs/dbraw/zinc/55/39/53/899553953.db2.gz XFYSGOVRUGFPIT-UHFFFAOYSA-N 1 2 315.417 1.413 20 30 DDEDLO Cc1ccnn1CC(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001390816415 900265240 /nfs/dbraw/zinc/26/52/40/900265240.db2.gz FESCYVDLCANJCQ-UHFFFAOYSA-N 1 2 311.389 1.311 20 30 DDEDLO Cc1ccnn1CC(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001390816415 900265253 /nfs/dbraw/zinc/26/52/53/900265253.db2.gz FESCYVDLCANJCQ-UHFFFAOYSA-N 1 2 311.389 1.311 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@H](C)[C@@H]1C ZINC001262947585 900431471 /nfs/dbraw/zinc/43/14/71/900431471.db2.gz OOQBIYYWNUFBBS-XUXIUFHCSA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@H](C)[C@@H]1C ZINC001262947585 900431477 /nfs/dbraw/zinc/43/14/77/900431477.db2.gz OOQBIYYWNUFBBS-XUXIUFHCSA-N 1 2 305.426 1.436 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC ZINC001263009925 900466283 /nfs/dbraw/zinc/46/62/83/900466283.db2.gz LKGLHNBKZQTGEK-CPUCHLNUSA-N 1 2 317.437 1.202 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC ZINC001263009925 900466290 /nfs/dbraw/zinc/46/62/90/900466290.db2.gz LKGLHNBKZQTGEK-CPUCHLNUSA-N 1 2 317.437 1.202 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)cn2)[C@@H]1CC ZINC001264048053 900892001 /nfs/dbraw/zinc/89/20/01/900892001.db2.gz ZYWMHBZFEFMZJE-LSDHHAIUSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)cn2)[C@@H]1CC ZINC001264048053 900892010 /nfs/dbraw/zinc/89/20/10/900892010.db2.gz ZYWMHBZFEFMZJE-LSDHHAIUSA-N 1 2 318.421 1.457 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)[C@@H]1C ZINC001264701077 901299970 /nfs/dbraw/zinc/29/99/70/901299970.db2.gz GIYALXVOZIXFCZ-KYOSRNDESA-N 1 2 319.405 1.409 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)[C@@H]1C ZINC001264701077 901299978 /nfs/dbraw/zinc/29/99/78/901299978.db2.gz GIYALXVOZIXFCZ-KYOSRNDESA-N 1 2 319.405 1.409 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)c1[nH]c(=O)[nH]c1C)C(C)C ZINC001369531571 901467552 /nfs/dbraw/zinc/46/75/52/901467552.db2.gz ZGWCOVHPXCBHDG-UHFFFAOYSA-N 1 2 314.817 1.959 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)c1[nH]c(=O)[nH]c1C)C(C)C ZINC001369531571 901467555 /nfs/dbraw/zinc/46/75/55/901467555.db2.gz ZGWCOVHPXCBHDG-UHFFFAOYSA-N 1 2 314.817 1.959 20 30 DDEDLO CC[N@@H+](CC#CCOC)CCCNC(=O)C(F)C(F)(F)F ZINC001265115013 901582091 /nfs/dbraw/zinc/58/20/91/901582091.db2.gz WGZDCAZWWCDDKM-NSHDSACASA-N 1 2 312.307 1.365 20 30 DDEDLO CC[N@H+](CC#CCOC)CCCNC(=O)C(F)C(F)(F)F ZINC001265115013 901582099 /nfs/dbraw/zinc/58/20/99/901582099.db2.gz WGZDCAZWWCDDKM-NSHDSACASA-N 1 2 312.307 1.365 20 30 DDEDLO CC[N@@H+](CC#CCOC)CCCNC(=O)[C@H](F)C(F)(F)F ZINC001265115013 901582105 /nfs/dbraw/zinc/58/21/05/901582105.db2.gz WGZDCAZWWCDDKM-NSHDSACASA-N 1 2 312.307 1.365 20 30 DDEDLO CC[N@H+](CC#CCOC)CCCNC(=O)[C@H](F)C(F)(F)F ZINC001265115013 901582110 /nfs/dbraw/zinc/58/21/10/901582110.db2.gz WGZDCAZWWCDDKM-NSHDSACASA-N 1 2 312.307 1.365 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H](C)C(C)(F)F)C1 ZINC001265224303 901739057 /nfs/dbraw/zinc/73/90/57/901739057.db2.gz AFWNELTZUSHPCN-RYUDHWBXSA-N 1 2 317.380 1.161 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)C(C)(F)F)C1 ZINC001265224303 901739073 /nfs/dbraw/zinc/73/90/73/901739073.db2.gz AFWNELTZUSHPCN-RYUDHWBXSA-N 1 2 317.380 1.161 20 30 DDEDLO C[C@H](Cc1ccccc1)C(=O)NCC[NH+]1CCN(CC#N)CC1 ZINC001265266482 901791166 /nfs/dbraw/zinc/79/11/66/901791166.db2.gz ORETVDSCCRLASD-MRXNPFEDSA-N 1 2 314.433 1.123 20 30 DDEDLO CCC1(C(=O)N[C@@H]2CC[N@H+](CC(=O)NCC#N)C2)CCCCC1 ZINC001265319820 901881567 /nfs/dbraw/zinc/88/15/67/901881567.db2.gz RZKXHYQMNKFBSN-CQSZACIVSA-N 1 2 320.437 1.177 20 30 DDEDLO CCC1(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NCC#N)C2)CCCCC1 ZINC001265319820 901881578 /nfs/dbraw/zinc/88/15/78/901881578.db2.gz RZKXHYQMNKFBSN-CQSZACIVSA-N 1 2 320.437 1.177 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC12CC[NH+](Cc1nncn1C)CC2 ZINC001265332704 901894989 /nfs/dbraw/zinc/89/49/89/901894989.db2.gz ZGZVNJSAQHYDOC-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C[C@](C)(O)C2CC2)C1 ZINC001391610624 902138345 /nfs/dbraw/zinc/13/83/45/902138345.db2.gz BDBDLITUOTVYKS-HIFRSBDPSA-N 1 2 300.830 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C[C@](C)(O)C2CC2)C1 ZINC001391610624 902138348 /nfs/dbraw/zinc/13/83/48/902138348.db2.gz BDBDLITUOTVYKS-HIFRSBDPSA-N 1 2 300.830 1.823 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)CCn2cccn2)C1 ZINC001391636666 902207085 /nfs/dbraw/zinc/20/70/85/902207085.db2.gz FAPCTAGWVWGQJL-CQSZACIVSA-N 1 2 310.829 1.949 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)CCn2cccn2)C1 ZINC001391636666 902207098 /nfs/dbraw/zinc/20/70/98/902207098.db2.gz FAPCTAGWVWGQJL-CQSZACIVSA-N 1 2 310.829 1.949 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1ccc(F)cn1 ZINC001265641271 902235118 /nfs/dbraw/zinc/23/51/18/902235118.db2.gz SSZOTOSUEAMURT-INIZCTEOSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1ccc(F)cn1 ZINC001265641271 902235130 /nfs/dbraw/zinc/23/51/30/902235130.db2.gz SSZOTOSUEAMURT-INIZCTEOSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@@H+](C)Cc1noc2c1COCC2 ZINC001265901852 902565393 /nfs/dbraw/zinc/56/53/93/902565393.db2.gz FQPQOKRDTSSUJR-UHFFFAOYSA-N 1 2 321.421 1.898 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@H+](C)Cc1noc2c1COCC2 ZINC001265901852 902565401 /nfs/dbraw/zinc/56/54/01/902565401.db2.gz FQPQOKRDTSSUJR-UHFFFAOYSA-N 1 2 321.421 1.898 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@@H+](CC)[C@H](C)c1nnnn1C ZINC001266069828 902881469 /nfs/dbraw/zinc/88/14/69/902881469.db2.gz CCIJVIZHYVSKAB-GFCCVEGCSA-N 1 2 308.430 1.312 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@H+](CC)[C@H](C)c1nnnn1C ZINC001266069828 902881481 /nfs/dbraw/zinc/88/14/81/902881481.db2.gz CCIJVIZHYVSKAB-GFCCVEGCSA-N 1 2 308.430 1.312 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001280510601 903747465 /nfs/dbraw/zinc/74/74/65/903747465.db2.gz CVVWEUJPBNEFMO-CYBMUJFWSA-N 1 2 306.410 1.282 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)c1cccnn1 ZINC001392310641 903848458 /nfs/dbraw/zinc/84/84/58/903848458.db2.gz HHLOYYHORRWUOL-UHFFFAOYSA-N 1 2 309.373 1.552 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)c1cccnn1 ZINC001392310641 903848461 /nfs/dbraw/zinc/84/84/61/903848461.db2.gz HHLOYYHORRWUOL-UHFFFAOYSA-N 1 2 309.373 1.552 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+]C[C@H](C)NC(=O)c2c[nH]c(C#N)c2)n1 ZINC001392558115 904464116 /nfs/dbraw/zinc/46/41/16/904464116.db2.gz ULASDGFKNUFXCG-VHSXEESVSA-N 1 2 316.365 1.301 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001281139854 904464792 /nfs/dbraw/zinc/46/47/92/904464792.db2.gz JCERZUCGHYKKER-UONOGXRCSA-N 1 2 304.394 1.345 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1cn(C)nc1Cl ZINC001377362108 921135068 /nfs/dbraw/zinc/13/50/68/921135068.db2.gz HWTXVOZEIMOCNX-UHFFFAOYSA-N 1 2 305.209 1.878 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1cn(C)nc1Cl ZINC001377362108 921135071 /nfs/dbraw/zinc/13/50/71/921135071.db2.gz HWTXVOZEIMOCNX-UHFFFAOYSA-N 1 2 305.209 1.878 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1ncccn1 ZINC001281931553 905325708 /nfs/dbraw/zinc/32/57/08/905325708.db2.gz CGQGBHZCOQTOPS-WMLDXEAASA-N 1 2 318.421 1.227 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]1C[N@H+](C)Cc1ncccn1 ZINC001281931553 905325729 /nfs/dbraw/zinc/32/57/29/905325729.db2.gz CGQGBHZCOQTOPS-WMLDXEAASA-N 1 2 318.421 1.227 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1coc(C)n1 ZINC001281968150 905375486 /nfs/dbraw/zinc/37/54/86/905375486.db2.gz LTYGGQKYSYUEHN-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1coc(C)n1 ZINC001281968150 905375504 /nfs/dbraw/zinc/37/55/04/905375504.db2.gz LTYGGQKYSYUEHN-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2[nH]nc3ccccc32)C1 ZINC001282538910 905856548 /nfs/dbraw/zinc/85/65/48/905856548.db2.gz DURPKLIKGVUDBJ-UHFFFAOYSA-N 1 2 314.389 1.306 20 30 DDEDLO C=C(C)C[NH+]1CC([C@@H](C)NC(=O)CS(=O)(=O)CC(C)C)C1 ZINC001282724390 905984615 /nfs/dbraw/zinc/98/46/15/905984615.db2.gz GQELBIJWPKIQJC-CYBMUJFWSA-N 1 2 316.467 1.070 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)COCCCC)C2)C1 ZINC001282775555 906024568 /nfs/dbraw/zinc/02/45/68/906024568.db2.gz UNLQOTFJVYPWDM-QGZVFWFLSA-N 1 2 308.422 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)COCCCC)C2)C1 ZINC001282775555 906024586 /nfs/dbraw/zinc/02/45/86/906024586.db2.gz UNLQOTFJVYPWDM-QGZVFWFLSA-N 1 2 308.422 1.130 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001283473213 907409291 /nfs/dbraw/zinc/40/92/91/907409291.db2.gz CPXKZMRVFOWUIG-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@@H]1CCCN1C(=O)CCOC ZINC001393865544 908112080 /nfs/dbraw/zinc/11/20/80/908112080.db2.gz RPRQMEDDHQLKSV-LBPRGKRZSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@@H]1CCCN1C(=O)CCOC ZINC001393865544 908112086 /nfs/dbraw/zinc/11/20/86/908112086.db2.gz RPRQMEDDHQLKSV-LBPRGKRZSA-N 1 2 319.243 1.854 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1[N@H+](Cc1nonc1C)CC2 ZINC001284049915 908392326 /nfs/dbraw/zinc/39/23/26/908392326.db2.gz FUYJRBUOUMCSAJ-WBVHZDCISA-N 1 2 316.405 1.795 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1[N@@H+](Cc1nonc1C)CC2 ZINC001284049915 908392348 /nfs/dbraw/zinc/39/23/48/908392348.db2.gz FUYJRBUOUMCSAJ-WBVHZDCISA-N 1 2 316.405 1.795 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC3CC3)[C@H]2C)on1 ZINC001284127654 908519149 /nfs/dbraw/zinc/51/91/49/908519149.db2.gz CYNYUPRMPHZKGC-IUODEOHRSA-N 1 2 317.389 1.566 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H](NC(=O)C#CC3CC3)[C@H]2C)on1 ZINC001284127654 908519164 /nfs/dbraw/zinc/51/91/64/908519164.db2.gz CYNYUPRMPHZKGC-IUODEOHRSA-N 1 2 317.389 1.566 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@H+](Cc2cnnn2C)[C@H]1C ZINC001284127804 908519354 /nfs/dbraw/zinc/51/93/54/908519354.db2.gz FLZXLJLAWUEYJV-ZFWWWQNUSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@@H+](Cc2cnnn2C)[C@H]1C ZINC001284127804 908519362 /nfs/dbraw/zinc/51/93/62/908519362.db2.gz FLZXLJLAWUEYJV-ZFWWWQNUSA-N 1 2 319.453 1.887 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001284129471 908523081 /nfs/dbraw/zinc/52/30/81/908523081.db2.gz QZGNTDXFSPTNTG-KGLIPLIRSA-N 1 2 322.453 1.277 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001284129471 908523089 /nfs/dbraw/zinc/52/30/89/908523089.db2.gz QZGNTDXFSPTNTG-KGLIPLIRSA-N 1 2 322.453 1.277 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1coc(C)n1 ZINC001284508937 909109849 /nfs/dbraw/zinc/10/98/49/909109849.db2.gz HOBZGYHGWPHPKE-INIZCTEOSA-N 1 2 321.421 1.428 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1coc(C)n1 ZINC001284508937 909109853 /nfs/dbraw/zinc/10/98/53/909109853.db2.gz HOBZGYHGWPHPKE-INIZCTEOSA-N 1 2 321.421 1.428 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)C(C)(F)F)C(C)C ZINC001284528256 909145890 /nfs/dbraw/zinc/14/58/90/909145890.db2.gz UYELAQPXBFCWLB-UHFFFAOYSA-N 1 2 305.369 1.113 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)C(C)(F)F)C(C)C ZINC001284528256 909145896 /nfs/dbraw/zinc/14/58/96/909145896.db2.gz UYELAQPXBFCWLB-UHFFFAOYSA-N 1 2 305.369 1.113 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1CNC(=O)Cc1[nH]c[nH+]c1C ZINC001284682375 909348942 /nfs/dbraw/zinc/34/89/42/909348942.db2.gz WRFLENXSNOPNIW-CYBMUJFWSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H](CC)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001285078394 910039174 /nfs/dbraw/zinc/03/91/74/910039174.db2.gz BNRSFPRCCWWVHU-TZMCWYRMSA-N 1 2 320.437 1.874 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001285193362 910185517 /nfs/dbraw/zinc/18/55/17/910185517.db2.gz KHRNACSYMSLNOG-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001285376309 910440865 /nfs/dbraw/zinc/44/08/65/910440865.db2.gz SCSORQKLKCKUSF-OLZOCXBDSA-N 1 2 306.410 1.708 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001285376309 910440877 /nfs/dbraw/zinc/44/08/77/910440877.db2.gz SCSORQKLKCKUSF-OLZOCXBDSA-N 1 2 306.410 1.708 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001285726824 911108291 /nfs/dbraw/zinc/10/82/91/911108291.db2.gz ZMBOHEYADLWIBI-QWHCGFSZSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCN1C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001285851844 911300185 /nfs/dbraw/zinc/30/01/85/911300185.db2.gz PUJFAIPLFOEKFZ-DZGCQCFKSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCN1C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001285851844 911300213 /nfs/dbraw/zinc/30/02/13/911300213.db2.gz PUJFAIPLFOEKFZ-DZGCQCFKSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001285871944 911340626 /nfs/dbraw/zinc/34/06/26/911340626.db2.gz OQXIEGQZGPILFL-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001285871944 911340652 /nfs/dbraw/zinc/34/06/52/911340652.db2.gz OQXIEGQZGPILFL-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)NCCCN(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001294232240 914997466 /nfs/dbraw/zinc/99/74/66/914997466.db2.gz PEZWGXNQJYJRHT-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1nc(C(C)(C)C)no1)C1CC1 ZINC001375219343 915297488 /nfs/dbraw/zinc/29/74/88/915297488.db2.gz SDOVLACDTHRDQK-JQWIXIFHSA-N 1 2 319.409 1.511 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc2c(c1)OCCO2 ZINC001375298826 915520320 /nfs/dbraw/zinc/52/03/20/915520320.db2.gz YKDQZHDWAYEJEO-OCCSQVGLSA-N 1 2 315.373 1.308 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc2c(c1)OCCO2 ZINC001375298826 915520343 /nfs/dbraw/zinc/52/03/43/915520343.db2.gz YKDQZHDWAYEJEO-OCCSQVGLSA-N 1 2 315.373 1.308 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@H]21 ZINC001295370757 915747079 /nfs/dbraw/zinc/74/70/79/915747079.db2.gz MGQWKOBWZUDITK-PZNPJNSTSA-N 1 2 316.405 1.128 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295748765 916036369 /nfs/dbraw/zinc/03/63/69/916036369.db2.gz FMFFVMSVMRQIOS-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)c1c[nH+]ccc1Nc1ccccc1 ZINC001296956071 916642950 /nfs/dbraw/zinc/64/29/50/916642950.db2.gz QPLYONHVYYZHCC-HNNXBMFYSA-N 1 2 309.369 1.751 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H](CNC(=O)[C@H](C)C#N)C2CC2)o1 ZINC001376203802 917952772 /nfs/dbraw/zinc/95/27/72/917952772.db2.gz WVVBIBFJWBLZPR-ZYHUDNBSSA-N 1 2 305.382 1.337 20 30 DDEDLO COc1cc(C[N@@H+]2CCCC[C@H]2CNC(=O)[C@@H](C)C#N)on1 ZINC001376574727 918792812 /nfs/dbraw/zinc/79/28/12/918792812.db2.gz MVEUOTRFRNCMJT-RYUDHWBXSA-N 1 2 306.366 1.314 20 30 DDEDLO COc1cc(C[N@H+]2CCCC[C@H]2CNC(=O)[C@@H](C)C#N)on1 ZINC001376574727 918792827 /nfs/dbraw/zinc/79/28/27/918792827.db2.gz MVEUOTRFRNCMJT-RYUDHWBXSA-N 1 2 306.366 1.314 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2cc(C#N)cn2C)CC1 ZINC000081794735 192324380 /nfs/dbraw/zinc/32/43/80/192324380.db2.gz MIWZGXPRAOCWIP-UHFFFAOYSA-N 1 2 304.394 1.080 20 30 DDEDLO CCn1cc[nH+]c1CNC(=O)NCC#Cc1cccc(F)c1 ZINC000454924919 529572295 /nfs/dbraw/zinc/57/22/95/529572295.db2.gz OGLDMYLMKOEBAS-UHFFFAOYSA-N 1 2 300.337 1.893 20 30 DDEDLO CCc1nc2n(n1)C[C@H](NC(=O)[C@@H]1CCn3c[nH+]cc3C1)CC2 ZINC000328939692 539299870 /nfs/dbraw/zinc/29/98/70/539299870.db2.gz HEUSNNIDBRRKIB-VXGBXAGGSA-N 1 2 314.393 1.571 20 30 DDEDLO Cc1noc([C@@H]2CCCN2C(=O)NC[C@H](C)[NH+]2CCOCC2)n1 ZINC000329218578 539301744 /nfs/dbraw/zinc/30/17/44/539301744.db2.gz RVRZHECZOYNVAP-AAEUAGOBSA-N 1 2 323.397 1.150 20 30 DDEDLO COCC[NH+](CCOC)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000097010227 185396818 /nfs/dbraw/zinc/39/68/18/185396818.db2.gz UHOQIOGVIQKQQS-UHFFFAOYSA-N 1 2 309.366 1.301 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)CCCC2=O ZINC000414147715 529868482 /nfs/dbraw/zinc/86/84/82/529868482.db2.gz RVEARHCONLXZEH-AWEZNQCLSA-N 1 2 302.374 1.791 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)CCCC2=O ZINC000414147715 529868483 /nfs/dbraw/zinc/86/84/83/529868483.db2.gz RVEARHCONLXZEH-AWEZNQCLSA-N 1 2 302.374 1.791 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)CCn2c(C)csc2=O)n1 ZINC000279711806 215083824 /nfs/dbraw/zinc/08/38/24/215083824.db2.gz KJSQCCDGJRVOQS-UHFFFAOYSA-N 1 2 304.419 1.570 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)CCn2c(C)csc2=O)n1 ZINC000279711806 215083826 /nfs/dbraw/zinc/08/38/26/215083826.db2.gz KJSQCCDGJRVOQS-UHFFFAOYSA-N 1 2 304.419 1.570 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@H](C)C(=O)NCC(F)(F)F)n1 ZINC000279733204 215099783 /nfs/dbraw/zinc/09/97/83/215099783.db2.gz NMRMJIWARQIOFA-LLVKDONJSA-N 1 2 316.327 1.405 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@H](C)C(=O)NCC(F)(F)F)n1 ZINC000279733204 215099786 /nfs/dbraw/zinc/09/97/86/215099786.db2.gz NMRMJIWARQIOFA-LLVKDONJSA-N 1 2 316.327 1.405 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1ccc(OC)cc1OC ZINC000016590891 352161964 /nfs/dbraw/zinc/16/19/64/352161964.db2.gz SNFIXZGUUUCYSU-UHFFFAOYSA-N 1 2 321.377 1.147 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1ccc(OC)cc1OC ZINC000016590891 352161965 /nfs/dbraw/zinc/16/19/65/352161965.db2.gz SNFIXZGUUUCYSU-UHFFFAOYSA-N 1 2 321.377 1.147 20 30 DDEDLO N#Cc1ccc(NCC(=O)NCCc2cn3c([nH+]2)CCCC3)cc1 ZINC000068352156 353106506 /nfs/dbraw/zinc/10/65/06/353106506.db2.gz HJRZCFAVIJMSQV-UHFFFAOYSA-N 1 2 323.400 1.862 20 30 DDEDLO C=C(C)CN(CC)C(=O)CSc1[nH+]cnc2c1cnn2C ZINC000073324578 353246924 /nfs/dbraw/zinc/24/69/24/353246924.db2.gz AJPUGGJVHYVBST-UHFFFAOYSA-N 1 2 305.407 1.880 20 30 DDEDLO C[C@H](SCC[NH+]1CCOCC1)C(=O)Nc1ccccc1C#N ZINC000127392771 354063866 /nfs/dbraw/zinc/06/38/66/354063866.db2.gz SIESSKZFFUWHEE-ZDUSSCGKSA-N 1 2 319.430 1.951 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000578450598 354708299 /nfs/dbraw/zinc/70/82/99/354708299.db2.gz GJOJGVSBIYTTIC-JTQLQIEISA-N 1 2 306.322 1.824 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)Nc2c[nH+]c3n2CCCC3)c1 ZINC000584701554 354748024 /nfs/dbraw/zinc/74/80/24/354748024.db2.gz VXEZOCUHYZNODQ-UHFFFAOYSA-N 1 2 302.359 1.892 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N[C@H]2CCc3c[nH+]cn3C2)c(F)c1 ZINC000587051743 354879256 /nfs/dbraw/zinc/87/92/56/354879256.db2.gz RWDVKFYICUTMBX-JTQLQIEISA-N 1 2 302.284 1.778 20 30 DDEDLO N#Cc1ccnc(NCC[NH+]2CCN(C(=O)C3CCC3)CC2)c1 ZINC000587977644 354887910 /nfs/dbraw/zinc/88/79/10/354887910.db2.gz QETNGHGTPPAZRP-UHFFFAOYSA-N 1 2 313.405 1.309 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)c(F)c1 ZINC000589629845 355007092 /nfs/dbraw/zinc/00/70/92/355007092.db2.gz BDXNYYHLKUPHGH-LBPRGKRZSA-N 1 2 313.336 1.777 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)c(F)c1 ZINC000589629845 355007096 /nfs/dbraw/zinc/00/70/96/355007096.db2.gz BDXNYYHLKUPHGH-LBPRGKRZSA-N 1 2 313.336 1.777 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2C(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC000589654869 355010372 /nfs/dbraw/zinc/01/03/72/355010372.db2.gz ASYAQNPRLWBDDT-QGZVFWFLSA-N 1 2 321.384 1.766 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1C/C=C/c1ccncc1 ZINC000494994045 235112205 /nfs/dbraw/zinc/11/22/05/235112205.db2.gz JGHZVXCHTWWYAZ-FAAWYNLUSA-N 1 2 320.400 1.967 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1C/C=C/c1ccncc1 ZINC000494994045 235112208 /nfs/dbraw/zinc/11/22/08/235112208.db2.gz JGHZVXCHTWWYAZ-FAAWYNLUSA-N 1 2 320.400 1.967 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[C@@H]3C[N@H+](C)C[C@@H]32)cc1C#N ZINC000590444234 355098818 /nfs/dbraw/zinc/09/88/18/355098818.db2.gz MTUWIPJQPKFJRY-SKDRFNHKSA-N 1 2 318.402 1.157 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[C@@H]3C[N@@H+](C)C[C@@H]32)cc1C#N ZINC000590444234 355098820 /nfs/dbraw/zinc/09/88/20/355098820.db2.gz MTUWIPJQPKFJRY-SKDRFNHKSA-N 1 2 318.402 1.157 20 30 DDEDLO CCOC(=O)C[C@@H]1C[C@H](OC)C[N@@H+]1Cc1ccncc1C#N ZINC000592107490 355501791 /nfs/dbraw/zinc/50/17/91/355501791.db2.gz JKMAKKLQQAJZNW-GJZGRUSLSA-N 1 2 303.362 1.496 20 30 DDEDLO CCOC(=O)C[C@@H]1C[C@H](OC)C[N@H+]1Cc1ccncc1C#N ZINC000592107490 355501794 /nfs/dbraw/zinc/50/17/94/355501794.db2.gz JKMAKKLQQAJZNW-GJZGRUSLSA-N 1 2 303.362 1.496 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[NH+]1CC(C)(C(N)=O)C1 ZINC000592136251 355509829 /nfs/dbraw/zinc/50/98/29/355509829.db2.gz UIHJDZCYHSSDCQ-VIFPVBQESA-N 1 2 320.780 1.346 20 30 DDEDLO C[C@H](NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1)c1ccc(Cl)cc1 ZINC000592148523 355514799 /nfs/dbraw/zinc/51/47/99/355514799.db2.gz VZBRWYBCVNAMKS-LRDDRELGSA-N 1 2 321.808 1.868 20 30 DDEDLO C[C@H](NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1)c1ccc(Cl)cc1 ZINC000592148523 355514803 /nfs/dbraw/zinc/51/48/03/355514803.db2.gz VZBRWYBCVNAMKS-LRDDRELGSA-N 1 2 321.808 1.868 20 30 DDEDLO CCc1ccc([C@@H](C)NC(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592148311 355515589 /nfs/dbraw/zinc/51/55/89/355515589.db2.gz UBQLJANWWYHZQP-RDTXWAMCSA-N 1 2 315.417 1.777 20 30 DDEDLO CCc1ccc([C@@H](C)NC(=O)C[N@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592148311 355515593 /nfs/dbraw/zinc/51/55/93/355515593.db2.gz UBQLJANWWYHZQP-RDTXWAMCSA-N 1 2 315.417 1.777 20 30 DDEDLO CNC(=O)[C@@]1(C(F)(F)F)CC[N@H+](C[C@H](C#N)CCC#N)C1 ZINC000592184755 355528634 /nfs/dbraw/zinc/52/86/34/355528634.db2.gz PFHHKJBFKZVPEC-CMPLNLGQSA-N 1 2 302.300 1.430 20 30 DDEDLO CNC(=O)[C@@]1(C(F)(F)F)CC[N@@H+](C[C@H](C#N)CCC#N)C1 ZINC000592184755 355528636 /nfs/dbraw/zinc/52/86/36/355528636.db2.gz PFHHKJBFKZVPEC-CMPLNLGQSA-N 1 2 302.300 1.430 20 30 DDEDLO Cc1nn(C)c2nc(C)cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c12 ZINC000593155773 355813747 /nfs/dbraw/zinc/81/37/47/355813747.db2.gz YZAQJKIENZGMMQ-INIZCTEOSA-N 1 2 314.393 1.159 20 30 DDEDLO CC[C@@H](C#N)C(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000593448263 355888152 /nfs/dbraw/zinc/88/81/52/355888152.db2.gz NVBZQSUFVXWXMK-AWEZNQCLSA-N 1 2 301.390 1.889 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1CCO[C@H]1CC1(F)F ZINC000595337498 356453982 /nfs/dbraw/zinc/45/39/82/356453982.db2.gz ATEUFNKNYLXBLU-AAEUAGOBSA-N 1 2 323.347 1.283 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1CCO[C@H]1CC1(F)F ZINC000595337498 356453983 /nfs/dbraw/zinc/45/39/83/356453983.db2.gz ATEUFNKNYLXBLU-AAEUAGOBSA-N 1 2 323.347 1.283 20 30 DDEDLO CCN1CC[C@@H]([NH+]2CCN(c3ccc(C#N)cc3F)CC2)C1=O ZINC000595300992 356440747 /nfs/dbraw/zinc/44/07/47/356440747.db2.gz HCWWWOKJZBHWDA-MRXNPFEDSA-N 1 2 316.380 1.440 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(CC2CC(F)(F)C2)c1=O ZINC000565241129 304056876 /nfs/dbraw/zinc/05/68/76/304056876.db2.gz RCUMECARSNNYNG-UHFFFAOYSA-N 1 2 323.343 1.597 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC(=O)N(C)CC1 ZINC000595783256 356650478 /nfs/dbraw/zinc/65/04/78/356650478.db2.gz PNVLNSLBFSJMJE-LBPRGKRZSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC(=O)N(C)CC1 ZINC000595783256 356650484 /nfs/dbraw/zinc/65/04/84/356650484.db2.gz PNVLNSLBFSJMJE-LBPRGKRZSA-N 1 2 300.362 1.049 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H]2COC[C@]2(C)C1 ZINC000595755640 356637334 /nfs/dbraw/zinc/63/73/34/356637334.db2.gz BWCSPHMTFRPCKC-VYDXJSESSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@@H]2COC[C@]2(C)C1 ZINC000595755640 356637336 /nfs/dbraw/zinc/63/73/36/356637336.db2.gz BWCSPHMTFRPCKC-VYDXJSESSA-N 1 2 300.358 1.741 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@H+]1C[C@@H](C)OC[C@@H]1C ZINC000596214462 356817943 /nfs/dbraw/zinc/81/79/43/356817943.db2.gz DRFZBBGUVOQARH-QWHCGFSZSA-N 1 2 301.456 1.314 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@@H]1C ZINC000596214462 356817944 /nfs/dbraw/zinc/81/79/44/356817944.db2.gz DRFZBBGUVOQARH-QWHCGFSZSA-N 1 2 301.456 1.314 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1nc2ncccc2cc1C#N ZINC000596231926 356826266 /nfs/dbraw/zinc/82/62/66/356826266.db2.gz MUYRDQQSOGVWNT-BBRMVZONSA-N 1 2 323.400 1.801 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNc1cc(C#N)ccc1[N+](=O)[O-] ZINC000596430679 356877008 /nfs/dbraw/zinc/87/70/08/356877008.db2.gz NIOSDNUWJNWUFJ-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNc1cc(C#N)ccc1[N+](=O)[O-] ZINC000596430679 356877011 /nfs/dbraw/zinc/87/70/11/356877011.db2.gz NIOSDNUWJNWUFJ-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(CC#N)cc2)[C@@H](C)CO1 ZINC000596659531 356944500 /nfs/dbraw/zinc/94/45/00/356944500.db2.gz LEVPMAQRNJCQJB-UONOGXRCSA-N 1 2 316.405 1.983 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(CC#N)cc2)[C@@H](C)CO1 ZINC000596659531 356944501 /nfs/dbraw/zinc/94/45/01/356944501.db2.gz LEVPMAQRNJCQJB-UONOGXRCSA-N 1 2 316.405 1.983 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)c2ccc(C#N)cc2)CCN1C ZINC000276856621 213136946 /nfs/dbraw/zinc/13/69/46/213136946.db2.gz ZZUHFVJXUZYUIV-OAHLLOKOSA-N 1 2 309.373 1.421 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1ccc(C#N)cn1)C(C)(C)CO ZINC000597844706 357355019 /nfs/dbraw/zinc/35/50/19/357355019.db2.gz AWIZZJDRKYBTQM-CYBMUJFWSA-N 1 2 313.361 1.176 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)COc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000597998007 357413725 /nfs/dbraw/zinc/41/37/25/357413725.db2.gz OEPHOGJLXOWBEL-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)COc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000597998007 357413727 /nfs/dbraw/zinc/41/37/27/357413727.db2.gz OEPHOGJLXOWBEL-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H](NC(=O)[C@@H](C#N)Cc1ccc(C#N)cc1)c1[nH+]ccn1C ZINC000598466100 357592981 /nfs/dbraw/zinc/59/29/81/357592981.db2.gz XAYZOWPLGILJFY-IUODEOHRSA-N 1 2 307.357 1.851 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCC[N@H+]2CC=CCC2)cc1F ZINC000292338172 223004895 /nfs/dbraw/zinc/00/48/95/223004895.db2.gz OVWMYYYKHHCEEL-UHFFFAOYSA-N 1 2 309.366 1.238 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCC[N@@H+]2CC=CCC2)cc1F ZINC000292338172 223004901 /nfs/dbraw/zinc/00/49/01/223004901.db2.gz OVWMYYYKHHCEEL-UHFFFAOYSA-N 1 2 309.366 1.238 20 30 DDEDLO [O-]C(=[NH+]C[C@@H]1COc2ccccc2O1)N1CCn2c[nH+]cc2C1 ZINC000329742304 223021486 /nfs/dbraw/zinc/02/14/86/223021486.db2.gz WMGZSRIGNJHJTC-CYBMUJFWSA-N 1 2 314.345 1.453 20 30 DDEDLO C[C@@H](O)C[N@H+](CC(F)F)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000599435647 357934859 /nfs/dbraw/zinc/93/48/59/357934859.db2.gz YGCKSRWFASHAAF-NEPJUHHUSA-N 1 2 306.353 1.006 20 30 DDEDLO C[C@@H](O)C[N@@H+](CC(F)F)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000599435647 357934862 /nfs/dbraw/zinc/93/48/62/357934862.db2.gz YGCKSRWFASHAAF-NEPJUHHUSA-N 1 2 306.353 1.006 20 30 DDEDLO COC(=O)[C@](C)(CCF)[NH2+]C[C@H](O)COc1ccccc1C#N ZINC000599469250 357947760 /nfs/dbraw/zinc/94/77/60/357947760.db2.gz UBNYSNWGUQRLJW-BBRMVZONSA-N 1 2 324.352 1.179 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@]2(C)C(=O)OC(C)(C)C)C1=O ZINC000599499866 357956575 /nfs/dbraw/zinc/95/65/75/357956575.db2.gz MIAQLTZPCAATEO-CXAGYDPISA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@]2(C)C(=O)OC(C)(C)C)C1=O ZINC000599499866 357956580 /nfs/dbraw/zinc/95/65/80/357956580.db2.gz MIAQLTZPCAATEO-CXAGYDPISA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](C)c1nnn(-c2ccc(F)cc2)c1C ZINC000180031179 199109239 /nfs/dbraw/zinc/10/92/39/199109239.db2.gz SBBVIVVIVKAEEW-NSHDSACASA-N 1 2 317.368 1.668 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)c2ccccc2CC#N)CC1 ZINC000601041357 358391279 /nfs/dbraw/zinc/39/12/79/358391279.db2.gz XUDOGCAPGBRCCS-UHFFFAOYSA-N 1 2 323.400 1.444 20 30 DDEDLO N#CCN1CCN(C(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000601206044 358447429 /nfs/dbraw/zinc/44/74/29/358447429.db2.gz VHAGKVXCFXHHOL-UHFFFAOYSA-N 1 2 309.373 1.083 20 30 DDEDLO CC(C)(CNS(=O)(=O)c1ccsc1C#N)n1cc[nH+]c1 ZINC000601526370 358588517 /nfs/dbraw/zinc/58/85/17/358588517.db2.gz LBMCPNDWRBHYEX-UHFFFAOYSA-N 1 2 310.404 1.530 20 30 DDEDLO CC(C)C[C@@H](C(=O)NC1CCN(CC#N)CC1)n1cc[nH+]c1 ZINC000602098556 358812666 /nfs/dbraw/zinc/81/26/66/358812666.db2.gz YBUNTNLIXALVFS-HNNXBMFYSA-N 1 2 303.410 1.574 20 30 DDEDLO Cn1ccnc1[C@@H](C1CC1)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000602617378 359076424 /nfs/dbraw/zinc/07/64/24/359076424.db2.gz OLAPAYMEFATTFH-QGZVFWFLSA-N 1 2 322.416 1.960 20 30 DDEDLO CCN(C#N)CC[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000602772123 359179782 /nfs/dbraw/zinc/17/97/82/359179782.db2.gz PNKJTKGOYDNXAQ-UHFFFAOYSA-N 1 2 301.369 1.622 20 30 DDEDLO COC(=O)[C@H]([N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)C1(OC)CCC1 ZINC000602847691 359234904 /nfs/dbraw/zinc/23/49/04/359234904.db2.gz CBGHBWCCLSWYAT-MJBXVCDLSA-N 1 2 309.410 1.015 20 30 DDEDLO COC(=O)[C@H]([N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)C1(OC)CCC1 ZINC000602847691 359234909 /nfs/dbraw/zinc/23/49/09/359234909.db2.gz CBGHBWCCLSWYAT-MJBXVCDLSA-N 1 2 309.410 1.015 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CCCC[C@H]1C)[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602858045 359244673 /nfs/dbraw/zinc/24/46/73/359244673.db2.gz YBLRKPJLULTRJG-NRKLIOEPSA-N 1 2 320.481 1.988 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CCCC[C@H]1C)[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602858045 359244676 /nfs/dbraw/zinc/24/46/76/359244676.db2.gz YBLRKPJLULTRJG-NRKLIOEPSA-N 1 2 320.481 1.988 20 30 DDEDLO COC(=O)[C@H]1Cc2ccccc2CN1C(=O)C[NH2+][C@H](C)CC#N ZINC000602862809 359247525 /nfs/dbraw/zinc/24/75/25/359247525.db2.gz KBKAFVOZOQELCZ-IUODEOHRSA-N 1 2 315.373 1.005 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1N(C)C(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602863186 359247666 /nfs/dbraw/zinc/24/76/66/359247666.db2.gz OZUMEFPTSRAJBC-VQHPVUNQSA-N 1 2 320.481 1.942 20 30 DDEDLO CCn1nc(C)c(C[N@H+](C)[C@@H](C)C(=O)NC2(C#N)CCC2)c1C ZINC000602926050 359296961 /nfs/dbraw/zinc/29/69/61/359296961.db2.gz ODYZUEPWHRIYOB-AWEZNQCLSA-N 1 2 317.437 1.903 20 30 DDEDLO CCn1nc(C)c(C[N@@H+](C)[C@@H](C)C(=O)NC2(C#N)CCC2)c1C ZINC000602926050 359296965 /nfs/dbraw/zinc/29/69/65/359296965.db2.gz ODYZUEPWHRIYOB-AWEZNQCLSA-N 1 2 317.437 1.903 20 30 DDEDLO CO[C@H]1C[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@@](C)(CO)C1 ZINC000602963315 359326940 /nfs/dbraw/zinc/32/69/40/359326940.db2.gz VECLEKUJAXQBBU-IUODEOHRSA-N 1 2 305.334 1.438 20 30 DDEDLO CO[C@H]1C[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@@](C)(CO)C1 ZINC000602963315 359326942 /nfs/dbraw/zinc/32/69/42/359326942.db2.gz VECLEKUJAXQBBU-IUODEOHRSA-N 1 2 305.334 1.438 20 30 DDEDLO CO[C@H]1C[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@@](C)(CO)C1 ZINC000602964300 359327972 /nfs/dbraw/zinc/32/79/72/359327972.db2.gz OMXNVABNGYMCCH-MLGOLLRUSA-N 1 2 321.377 1.178 20 30 DDEDLO CO[C@H]1C[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@@](C)(CO)C1 ZINC000602964300 359327976 /nfs/dbraw/zinc/32/79/76/359327976.db2.gz OMXNVABNGYMCCH-MLGOLLRUSA-N 1 2 321.377 1.178 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@@H](C)N(CC#N)[C@H](C)C3)ccn12 ZINC000603087875 359394665 /nfs/dbraw/zinc/39/46/65/359394665.db2.gz AGDNMLHJQSGOOL-ZIAGYGMSSA-N 1 2 311.389 1.701 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)c2cc(C)c(C(=O)OC)o2)nn1 ZINC000603247223 359512860 /nfs/dbraw/zinc/51/28/60/359512860.db2.gz GBOFDNVWLHREOW-GFCCVEGCSA-N 1 2 316.361 1.688 20 30 DDEDLO N#Cc1ccc2c(c1)CN(S(=O)(=O)CCn1cc[nH+]c1)C2 ZINC000603375857 359601669 /nfs/dbraw/zinc/60/16/69/359601669.db2.gz PIFLAQFIDQGFCZ-UHFFFAOYSA-N 1 2 302.359 1.100 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCOc2ccccc2C1 ZINC000604323583 359735658 /nfs/dbraw/zinc/73/56/58/359735658.db2.gz GZVPXFXBRLXOEE-UHFFFAOYSA-N 1 2 312.373 1.537 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCOc2ccccc2C1 ZINC000604323583 359735661 /nfs/dbraw/zinc/73/56/61/359735661.db2.gz GZVPXFXBRLXOEE-UHFFFAOYSA-N 1 2 312.373 1.537 20 30 DDEDLO C=CCC[C@H](C(=O)N1CC[NH+](CCO)CC1)c1ccccc1 ZINC000189132526 200354127 /nfs/dbraw/zinc/35/41/27/200354127.db2.gz FNUQUKZGLHJRQD-KRWDZBQOSA-N 1 2 302.418 1.873 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+]([C@@H]3CCC[C@@H]3O)CC2)s1 ZINC000610521414 360459874 /nfs/dbraw/zinc/45/98/74/360459874.db2.gz LLHLTAZFXBWCAP-OLZOCXBDSA-N 1 2 305.403 1.291 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000610682627 360504831 /nfs/dbraw/zinc/50/48/31/360504831.db2.gz WFZBJQJCLCBFAR-KCYZZUKISA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000610682627 360504834 /nfs/dbraw/zinc/50/48/34/360504834.db2.gz WFZBJQJCLCBFAR-KCYZZUKISA-N 1 2 323.437 1.309 20 30 DDEDLO COc1ccc(NC(=O)[C@H](C)[NH+]2CCN(CCC#N)CC2)cc1 ZINC000611174354 360647805 /nfs/dbraw/zinc/64/78/05/360647805.db2.gz CNIPUPLYHJPCJQ-AWEZNQCLSA-N 1 2 316.405 1.553 20 30 DDEDLO C[C@@H](C(=O)N1C[C@H](C)C[C@H](C)C1)[NH+]1CCN(CCC#N)CC1 ZINC000611174418 360647837 /nfs/dbraw/zinc/64/78/37/360647837.db2.gz IMKGVAQXLSNYAH-XHSDSOJGSA-N 1 2 306.454 1.411 20 30 DDEDLO COCC1([N@H+](C)CCS(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000611178759 360650643 /nfs/dbraw/zinc/65/06/43/360650643.db2.gz MHWSADJMAOAODB-UHFFFAOYSA-N 1 2 308.403 1.443 20 30 DDEDLO COCC1([N@@H+](C)CCS(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000611178759 360650645 /nfs/dbraw/zinc/65/06/45/360650645.db2.gz MHWSADJMAOAODB-UHFFFAOYSA-N 1 2 308.403 1.443 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@@H](C)[N@@H+]2CCC[C@](C)(C#N)C2)CC1 ZINC000612155649 360936337 /nfs/dbraw/zinc/93/63/37/360936337.db2.gz ZDKBXRQDDKUDIL-CXAGYDPISA-N 1 2 321.421 1.412 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@@H](C)[N@H+]2CCC[C@](C)(C#N)C2)CC1 ZINC000612155649 360936341 /nfs/dbraw/zinc/93/63/41/360936341.db2.gz ZDKBXRQDDKUDIL-CXAGYDPISA-N 1 2 321.421 1.412 20 30 DDEDLO O=C(CS(=O)(=O)C1CCCC1)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330290368 223100294 /nfs/dbraw/zinc/10/02/94/223100294.db2.gz CJFKUHWIISVDQR-LLVKDONJSA-N 1 2 311.407 1.512 20 30 DDEDLO [O-]C(=[NH+]CCn1cc[nH+]c1)N1CCC(O)(C(F)(F)F)CC1 ZINC000330649952 223133617 /nfs/dbraw/zinc/13/36/17/223133617.db2.gz OWKQGZHBWMLJSI-UHFFFAOYSA-N 1 2 306.288 1.186 20 30 DDEDLO C[C@H]1CCN(S(=O)(=O)CC2(CC#N)CC2)C[C@@H]1n1cc[nH+]c1 ZINC000333461402 223221701 /nfs/dbraw/zinc/22/17/01/223221701.db2.gz URSDIZCORZEHSG-KBPBESRZSA-N 1 2 322.434 1.790 20 30 DDEDLO COC(=O)[C@@H]([NH2+]CCC(=O)Nc1cccc(C#N)c1)C(C)C ZINC000565937814 304105078 /nfs/dbraw/zinc/10/50/78/304105078.db2.gz APNAZIWTJHWGGB-HNNXBMFYSA-N 1 2 303.362 1.674 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(C(=O)N2CCC[C@@H](C)C2)CC1 ZINC000619716476 364128287 /nfs/dbraw/zinc/12/82/87/364128287.db2.gz PGVOFEPUSUZWRN-HIFRSBDPSA-N 1 2 308.422 1.685 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(Cc2cn[nH]c2)CC1 ZINC000625478916 366953233 /nfs/dbraw/zinc/95/32/33/366953233.db2.gz KJEQYQQVAGNNHG-OAHLLOKOSA-N 1 2 317.437 1.116 20 30 DDEDLO C[C@H](CN(C)C(=O)CCn1cc[nH+]c1)Nc1ncccc1C#N ZINC001120462183 781961034 /nfs/dbraw/zinc/96/10/34/781961034.db2.gz WUKJJYXHJJXMFH-CYBMUJFWSA-N 1 2 312.377 1.499 20 30 DDEDLO CCOC(=O)C[NH+]1CCC(C(=O)Nc2cccc(C#N)c2)CC1 ZINC000266028193 205039463 /nfs/dbraw/zinc/03/94/63/205039463.db2.gz ZCWKJBZCIBFFDU-UHFFFAOYSA-N 1 2 315.373 1.772 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(c2cnccn2)CC1 ZINC000042633356 183292550 /nfs/dbraw/zinc/29/25/50/183292550.db2.gz AZNGJHANESKPFM-OAHLLOKOSA-N 1 2 315.421 1.188 20 30 DDEDLO C[C@H]([NH2+]CC(=O)Nc1cc(Cl)ccc1C#N)c1nncn1C ZINC000274013261 211270140 /nfs/dbraw/zinc/27/01/40/211270140.db2.gz LYTRNZWZPRQABY-VIFPVBQESA-N 1 2 318.768 1.629 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)Cc2cccc(C#N)c2)[C@@H](C)C1 ZINC000285358189 218396475 /nfs/dbraw/zinc/39/64/75/218396475.db2.gz QBMYQICGOHALOL-ZDUSSCGKSA-N 1 2 307.419 1.414 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)Cc2cccc(C#N)c2)[C@@H](C)C1 ZINC000285358189 218396478 /nfs/dbraw/zinc/39/64/78/218396478.db2.gz QBMYQICGOHALOL-ZDUSSCGKSA-N 1 2 307.419 1.414 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CC[NH+](CCCF)CC1 ZINC000120235370 195124294 /nfs/dbraw/zinc/12/42/94/195124294.db2.gz BYMUWIIPYSVRDG-UHFFFAOYSA-N 1 2 311.382 1.224 20 30 DDEDLO CCOC(=O)C[N@H+](CCOc1ccc(C#N)cc1OC)C1CC1 ZINC000157638345 197204119 /nfs/dbraw/zinc/20/41/19/197204119.db2.gz CKOXZSUVKUHVEL-UHFFFAOYSA-N 1 2 318.373 1.973 20 30 DDEDLO CCOC(=O)C[N@@H+](CCOc1ccc(C#N)cc1OC)C1CC1 ZINC000157638345 197204121 /nfs/dbraw/zinc/20/41/21/197204121.db2.gz CKOXZSUVKUHVEL-UHFFFAOYSA-N 1 2 318.373 1.973 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCOC[C@@H]1C[C@@H](C)O ZINC000157475282 197190223 /nfs/dbraw/zinc/19/02/23/197190223.db2.gz HZSGRKLLZDFANI-HIFRSBDPSA-N 1 2 320.389 1.417 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCOC[C@@H]1C[C@@H](C)O ZINC000157475282 197190225 /nfs/dbraw/zinc/19/02/25/197190225.db2.gz HZSGRKLLZDFANI-HIFRSBDPSA-N 1 2 320.389 1.417 20 30 DDEDLO COC(=O)[C@H]1CCCC[N@@H+]1CCOc1ccc(C#N)cc1OC ZINC000157375555 197182865 /nfs/dbraw/zinc/18/28/65/197182865.db2.gz FBSXUAJWZSEIBB-CQSZACIVSA-N 1 2 318.373 1.973 20 30 DDEDLO COC(=O)[C@H]1CCCC[N@H+]1CCOc1ccc(C#N)cc1OC ZINC000157375555 197182866 /nfs/dbraw/zinc/18/28/66/197182866.db2.gz FBSXUAJWZSEIBB-CQSZACIVSA-N 1 2 318.373 1.973 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ncccc3C#N)CC2)cc1O ZINC000122928438 195522384 /nfs/dbraw/zinc/52/23/84/195522384.db2.gz SIDUFIREAODOCJ-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000330803416 533271366 /nfs/dbraw/zinc/27/13/66/533271366.db2.gz BBTRRPVGTWHOHQ-GFCCVEGCSA-N 1 2 304.350 1.223 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000330803416 533271371 /nfs/dbraw/zinc/27/13/71/533271371.db2.gz BBTRRPVGTWHOHQ-GFCCVEGCSA-N 1 2 304.350 1.223 20 30 DDEDLO C#C[C@@H]1CCCCN1C(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001120513608 781997569 /nfs/dbraw/zinc/99/75/69/781997569.db2.gz NHMOSDYRHAQDBN-CQSZACIVSA-N 1 2 314.389 1.061 20 30 DDEDLO COC(=O)[C@H]([NH2+]Cc1cc(C#N)n(C)c1)[C@H]1CCC[C@H](OC)C1 ZINC000568642165 304303477 /nfs/dbraw/zinc/30/34/77/304303477.db2.gz YGSJHLAUPRJRCD-CWRNSKLLSA-N 1 2 319.405 1.733 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2CC[C@@H](C)C2)CC1 ZINC000128775888 407568703 /nfs/dbraw/zinc/56/87/03/407568703.db2.gz AJUWWBBXGQRWJE-HZPDHXFCSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2CC[C@@H](C)C2)CC1 ZINC000128775888 407568708 /nfs/dbraw/zinc/56/87/08/407568708.db2.gz AJUWWBBXGQRWJE-HZPDHXFCSA-N 1 2 319.449 1.096 20 30 DDEDLO CCCS(=O)(=O)NC1CC[NH+](C[C@@H](C#N)CCC#N)CC1 ZINC000030534173 406932618 /nfs/dbraw/zinc/93/26/18/406932618.db2.gz BFIOFJCJAXYOHQ-CYBMUJFWSA-N 1 2 312.439 1.224 20 30 DDEDLO CCS(=O)(=O)NCCC/[NH+]=C/c1cccc(OC)c1O ZINC000050234211 407128431 /nfs/dbraw/zinc/12/84/31/407128431.db2.gz QSTMEXFOFPMBAM-GXDHUFHOSA-N 1 2 300.380 1.149 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+](Cc2ccc(O[C@@H](C)C#N)cc2)CCO1 ZINC000093458404 407198894 /nfs/dbraw/zinc/19/88/94/407198894.db2.gz NXGQEDRXYZVRFE-XJKSGUPXSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+](Cc2ccc(O[C@@H](C)C#N)cc2)CCO1 ZINC000093458404 407198896 /nfs/dbraw/zinc/19/88/96/407198896.db2.gz NXGQEDRXYZVRFE-XJKSGUPXSA-N 1 2 318.373 1.741 20 30 DDEDLO COc1ccc(C[N@H+](C)[C@H](C)C(=O)N(C)CCC#N)c(OC)c1 ZINC000057595655 407215997 /nfs/dbraw/zinc/21/59/97/407215997.db2.gz YWWSFQBLTQTBLC-CYBMUJFWSA-N 1 2 319.405 1.896 20 30 DDEDLO COc1ccc(C[N@@H+](C)[C@H](C)C(=O)N(C)CCC#N)c(OC)c1 ZINC000057595655 407216000 /nfs/dbraw/zinc/21/60/00/407216000.db2.gz YWWSFQBLTQTBLC-CYBMUJFWSA-N 1 2 319.405 1.896 20 30 DDEDLO C=CC[N@@H+](CC(=O)NC(=O)NC)Cc1cccc([N+](=O)[O-])c1 ZINC000063297861 407232400 /nfs/dbraw/zinc/23/24/00/407232400.db2.gz BAUWEHPQRWLZSF-UHFFFAOYSA-N 1 2 306.322 1.038 20 30 DDEDLO C=CC[N@H+](CC(=O)NC(=O)NC)Cc1cccc([N+](=O)[O-])c1 ZINC000063297861 407232402 /nfs/dbraw/zinc/23/24/02/407232402.db2.gz BAUWEHPQRWLZSF-UHFFFAOYSA-N 1 2 306.322 1.038 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CCN3CCCC3=O)CC2)c(F)c1 ZINC000101558283 407311352 /nfs/dbraw/zinc/31/13/52/407311352.db2.gz JIPWTYGXUPOTLQ-UHFFFAOYSA-N 1 2 316.380 1.442 20 30 DDEDLO Cn1ncc2c1ncnc2N1CC[NH+](Cc2ccc(C#N)o2)CC1 ZINC000124362070 407356455 /nfs/dbraw/zinc/35/64/55/407356455.db2.gz CCBXPOLOTNREKJ-UHFFFAOYSA-N 1 2 323.360 1.150 20 30 DDEDLO CS(=O)(=O)CCCO[NH+]=C(N)Cc1ccc(Cl)cc1 ZINC000106067099 407358369 /nfs/dbraw/zinc/35/83/69/407358369.db2.gz KEXFXZRJHLRWAI-UHFFFAOYSA-N 1 2 304.799 1.606 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2C[C@H](OC)C[C@@H]2C(=O)OC)cc1 ZINC000125808400 407398358 /nfs/dbraw/zinc/39/83/58/407398358.db2.gz MYBODAUMHKNTPR-IAGOWNOFSA-N 1 2 317.385 1.851 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2C[C@H](OC)C[C@@H]2C(=O)OC)cc1 ZINC000125808400 407398360 /nfs/dbraw/zinc/39/83/60/407398360.db2.gz MYBODAUMHKNTPR-IAGOWNOFSA-N 1 2 317.385 1.851 20 30 DDEDLO C=CCOc1ccccc1CNC(=O)NCCn1cc[nH+]c1 ZINC000111787248 407415953 /nfs/dbraw/zinc/41/59/53/407415953.db2.gz JQYYQUMZYBLTAP-UHFFFAOYSA-N 1 2 300.362 1.947 20 30 DDEDLO C#CCSCCNC(=O)NCc1cn2cc(C)ccc2[nH+]1 ZINC000265820767 407469599 /nfs/dbraw/zinc/46/95/99/407469599.db2.gz KTAJZPHVXYGBBD-UHFFFAOYSA-N 1 2 302.403 1.808 20 30 DDEDLO N#Cc1ccc([C@@H](O)CN2CC[NH+](Cc3ccncc3)CC2)cc1 ZINC000271029973 407477003 /nfs/dbraw/zinc/47/70/03/407477003.db2.gz QEFXCJPCCVWEIP-IBGZPJMESA-N 1 2 322.412 1.804 20 30 DDEDLO Cc1cccn2cc(CNS(=O)(=O)CCCCC#N)[nH+]c12 ZINC000128796657 407573526 /nfs/dbraw/zinc/57/35/26/407573526.db2.gz AMOFEWUZTXDKHE-UHFFFAOYSA-N 1 2 306.391 1.756 20 30 DDEDLO Cn1cccc1C(=O)CN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000265917524 407511456 /nfs/dbraw/zinc/51/14/56/407511456.db2.gz SQEMROPPSHWHNI-UHFFFAOYSA-N 1 2 322.412 1.897 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCN(S(C)(=O)=O)CC2)cc1C#N ZINC000179189918 407750317 /nfs/dbraw/zinc/75/03/17/407750317.db2.gz GQFNUZBIBRQJHE-UHFFFAOYSA-N 1 2 323.418 1.034 20 30 DDEDLO COc1ccc(C[N@H+]2CCCN(S(C)(=O)=O)CC2)cc1C#N ZINC000179189918 407750325 /nfs/dbraw/zinc/75/03/25/407750325.db2.gz GQFNUZBIBRQJHE-UHFFFAOYSA-N 1 2 323.418 1.034 20 30 DDEDLO CN(C(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1)C1(C#N)CCC1 ZINC000131949004 407761744 /nfs/dbraw/zinc/76/17/44/407761744.db2.gz UYTQVYBMLYNAGA-MRXNPFEDSA-N 1 2 313.401 1.792 20 30 DDEDLO CN(C(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1)C1(C#N)CCC1 ZINC000131949004 407761751 /nfs/dbraw/zinc/76/17/51/407761751.db2.gz UYTQVYBMLYNAGA-MRXNPFEDSA-N 1 2 313.401 1.792 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2ccc(C#N)c(C)n2)CC1 ZINC000171861242 407784844 /nfs/dbraw/zinc/78/48/44/407784844.db2.gz ODFBJCDCQKQVPV-UHFFFAOYSA-N 1 2 302.378 1.056 20 30 DDEDLO CC[C@@H](C#N)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000172115087 407805755 /nfs/dbraw/zinc/80/57/55/407805755.db2.gz TYWKFJVLCVHPIW-HIFRSBDPSA-N 1 2 318.396 1.755 20 30 DDEDLO CC#CCNC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000134991183 407962787 /nfs/dbraw/zinc/96/27/87/407962787.db2.gz DMVKQPPMYHGMCX-MRXNPFEDSA-N 1 2 301.390 1.382 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)NCc2ccc3c(c2)OCO3)CC1 ZINC000272569194 407988178 /nfs/dbraw/zinc/98/81/78/407988178.db2.gz MVVLEVNCDQPSLY-UHFFFAOYSA-N 1 2 315.373 1.657 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)cc1 ZINC000119125172 407999426 /nfs/dbraw/zinc/99/94/26/407999426.db2.gz GXKMLDAUCVKIKU-LSDHHAIUSA-N 1 2 316.401 1.538 20 30 DDEDLO N#CCCCNC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000272986948 408022297 /nfs/dbraw/zinc/02/22/97/408022297.db2.gz VSTCKYJYNZBLQV-UHFFFAOYSA-N 1 2 303.366 1.021 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@H+](C)Cc1ccc(C#N)cc1OC ZINC000120964746 408148403 /nfs/dbraw/zinc/14/84/03/408148403.db2.gz RMMOAIKZZMTMSI-GFCCVEGCSA-N 1 2 310.419 1.822 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@@H+](C)Cc1ccc(C#N)cc1OC ZINC000120964746 408148408 /nfs/dbraw/zinc/14/84/08/408148408.db2.gz RMMOAIKZZMTMSI-GFCCVEGCSA-N 1 2 310.419 1.822 20 30 DDEDLO C=CCCOCC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000144941035 408186583 /nfs/dbraw/zinc/18/65/83/408186583.db2.gz OJUIPKUZXYIRCT-KRWDZBQOSA-N 1 2 318.417 1.769 20 30 DDEDLO C[C@@H]1CSCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000147437416 408189779 /nfs/dbraw/zinc/18/97/79/408189779.db2.gz ABBYSYDEFACKKP-GFCCVEGCSA-N 1 2 310.444 1.769 20 30 DDEDLO C[C@@H]1CSCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000147437416 408189782 /nfs/dbraw/zinc/18/97/82/408189782.db2.gz ABBYSYDEFACKKP-GFCCVEGCSA-N 1 2 310.444 1.769 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)c1ccc(C#N)nc1 ZINC000155678466 408196995 /nfs/dbraw/zinc/19/69/95/408196995.db2.gz KCRDCJKCZHRSNV-UHFFFAOYSA-N 1 2 323.356 1.746 20 30 DDEDLO Cn1cc(C[N@H+](C)[C@H]2CCCN(c3ccc(C#N)cc3)C2=O)cn1 ZINC000182597035 408201480 /nfs/dbraw/zinc/20/14/80/408201480.db2.gz KTGZDAVBVQLQNU-KRWDZBQOSA-N 1 2 323.400 1.919 20 30 DDEDLO Cn1cc(C[N@@H+](C)[C@H]2CCCN(c3ccc(C#N)cc3)C2=O)cn1 ZINC000182597035 408201484 /nfs/dbraw/zinc/20/14/84/408201484.db2.gz KTGZDAVBVQLQNU-KRWDZBQOSA-N 1 2 323.400 1.919 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCc2c(cccc2OC)C1 ZINC000182677640 408222035 /nfs/dbraw/zinc/22/20/35/408222035.db2.gz OBLGDSWVTOXZAM-LBPRGKRZSA-N 1 2 317.389 1.454 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCc2c(cccc2OC)C1 ZINC000182677640 408222038 /nfs/dbraw/zinc/22/20/38/408222038.db2.gz OBLGDSWVTOXZAM-LBPRGKRZSA-N 1 2 317.389 1.454 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cccc(C#N)c1 ZINC000176371493 408349024 /nfs/dbraw/zinc/34/90/24/408349024.db2.gz JEUXIXLLSVGHOV-AWEZNQCLSA-N 1 2 318.384 1.931 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cccc(C#N)c1 ZINC000176371493 408349028 /nfs/dbraw/zinc/34/90/28/408349028.db2.gz JEUXIXLLSVGHOV-AWEZNQCLSA-N 1 2 318.384 1.931 20 30 DDEDLO C[C@H]([C@H](C)Nc1ccc([N+](=O)[O-])cc1C#N)[NH+]1CCOCC1 ZINC000264303677 408435274 /nfs/dbraw/zinc/43/52/74/408435274.db2.gz RVGVEBIOQIHVBG-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO C=CCNC(=O)C(=O)Nc1cccc([C@H](C)[NH+]2CCOCC2)c1 ZINC000264399410 408474240 /nfs/dbraw/zinc/47/42/40/408474240.db2.gz ZYLBIBQLIWWESU-ZDUSSCGKSA-N 1 2 317.389 1.321 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[NH+]2CCC(C)(O)CC2)c(C#N)c1C ZINC000177335298 408581342 /nfs/dbraw/zinc/58/13/42/408581342.db2.gz RLNFWIBFCPAFTG-LLVKDONJSA-N 1 2 305.378 1.942 20 30 DDEDLO C=C(C)CS(=O)(=O)NCC[N@@H+]1CCc2sccc2C1 ZINC000184399684 408608243 /nfs/dbraw/zinc/60/82/43/408608243.db2.gz UALYXQQGMCUPKT-UHFFFAOYSA-N 1 2 300.449 1.602 20 30 DDEDLO C=C(C)CS(=O)(=O)NCC[N@H+]1CCc2sccc2C1 ZINC000184399684 408608251 /nfs/dbraw/zinc/60/82/51/408608251.db2.gz UALYXQQGMCUPKT-UHFFFAOYSA-N 1 2 300.449 1.602 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCO[C@@]2(CCOC2)C1 ZINC000248918171 408661496 /nfs/dbraw/zinc/66/14/96/408661496.db2.gz DOUFQLXEIPJTDJ-YOEHRIQHSA-N 1 2 321.421 1.209 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCO[C@@]2(CCOC2)C1 ZINC000248918171 408661500 /nfs/dbraw/zinc/66/15/00/408661500.db2.gz DOUFQLXEIPJTDJ-YOEHRIQHSA-N 1 2 321.421 1.209 20 30 DDEDLO CN(C)C(=[NH+]Cc1nnc(COc2ccccc2)[nH]1)N(C)C ZINC000184654596 408662491 /nfs/dbraw/zinc/66/24/91/408662491.db2.gz XNSSPMGAYVTYCJ-UHFFFAOYSA-N 1 2 302.382 1.363 20 30 DDEDLO Cc1nc(N2CCN(c3ccc(C#N)cn3)CC2)c(C)c(C)[nH+]1 ZINC000177816607 408721834 /nfs/dbraw/zinc/72/18/34/408721834.db2.gz WQBKSTPEBRVVNS-UHFFFAOYSA-N 1 2 308.389 1.995 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@@H](COC)c1ccc(C)o1 ZINC000184730581 408679049 /nfs/dbraw/zinc/67/90/49/408679049.db2.gz LAUBTUMASLBKGL-NEPJUHHUSA-N 1 2 309.366 1.265 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C(=O)N(C)C)c2ccccc2)C1=O ZINC000281185896 408874491 /nfs/dbraw/zinc/87/44/91/408874491.db2.gz BFIGXDAIGQIPBY-HOTGVXAUSA-N 1 2 315.417 1.535 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C(=O)N(C)C)c2ccccc2)C1=O ZINC000281185896 408874493 /nfs/dbraw/zinc/87/44/93/408874493.db2.gz BFIGXDAIGQIPBY-HOTGVXAUSA-N 1 2 315.417 1.535 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccccc2C)C1=O ZINC000281187974 408874694 /nfs/dbraw/zinc/87/46/94/408874694.db2.gz BMLMODLMAHBUBT-HNNXBMFYSA-N 1 2 301.390 1.652 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccccc2C)C1=O ZINC000281187974 408874695 /nfs/dbraw/zinc/87/46/95/408874695.db2.gz BMLMODLMAHBUBT-HNNXBMFYSA-N 1 2 301.390 1.652 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(-c3cc[nH]n3)s2)CC1 ZINC000277275986 408910920 /nfs/dbraw/zinc/91/09/20/408910920.db2.gz POTQWWMOLBZZDF-UHFFFAOYSA-N 1 2 314.414 1.919 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N[C@H](C)c2ccccc2)C1=O ZINC000281441237 408886889 /nfs/dbraw/zinc/88/68/89/408886889.db2.gz TZXOXCCHRVKLJL-ZBFHGGJFSA-N 1 2 315.417 1.583 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N[C@H](C)c2ccccc2)C1=O ZINC000281441237 408886892 /nfs/dbraw/zinc/88/68/92/408886892.db2.gz TZXOXCCHRVKLJL-ZBFHGGJFSA-N 1 2 315.417 1.583 20 30 DDEDLO CC(C)n1cnc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000281521755 408889356 /nfs/dbraw/zinc/88/93/56/408889356.db2.gz HTMRMJSEPDGBAR-UHFFFAOYSA-N 1 2 319.409 1.152 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@H+](C)[C@@H]1COC[C@H]1O ZINC000285923249 408889919 /nfs/dbraw/zinc/88/99/19/408889919.db2.gz LIQJKSWQOGXVHZ-CUOATXAZSA-N 1 2 323.780 1.230 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@@H+](C)[C@@H]1COC[C@H]1O ZINC000285923249 408889920 /nfs/dbraw/zinc/88/99/20/408889920.db2.gz LIQJKSWQOGXVHZ-CUOATXAZSA-N 1 2 323.780 1.230 20 30 DDEDLO Cc1cc(C)c(C#N)c(N2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)n1 ZINC000286274641 408954597 /nfs/dbraw/zinc/95/45/97/408954597.db2.gz HOFMYZYOAXMOCO-LSDHHAIUSA-N 1 2 300.406 1.870 20 30 DDEDLO N#CCCCC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000292585572 409037555 /nfs/dbraw/zinc/03/75/55/409037555.db2.gz LIOVLZYGZGYQKB-INIZCTEOSA-N 1 2 301.390 1.870 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cccc(OCC)c2)CC1 ZINC000282926136 409070318 /nfs/dbraw/zinc/07/03/18/409070318.db2.gz SWAOTPSJKQIWHV-UHFFFAOYSA-N 1 2 322.430 1.415 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCC(=O)Nc1cc(C)ccc1OC ZINC000293338801 409092888 /nfs/dbraw/zinc/09/28/88/409092888.db2.gz YNISLHUQTSOFRI-UHFFFAOYSA-N 1 2 317.389 1.013 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCC(=O)Nc1cc(C)ccc1OC ZINC000293338801 409092890 /nfs/dbraw/zinc/09/28/90/409092890.db2.gz YNISLHUQTSOFRI-UHFFFAOYSA-N 1 2 317.389 1.013 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000293716775 409167498 /nfs/dbraw/zinc/16/74/98/409167498.db2.gz MHIAHLRQUUVCGH-CYBMUJFWSA-N 1 2 311.345 1.207 20 30 DDEDLO C[C@H](NC(=O)c1ccc(F)c(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000279549326 409235522 /nfs/dbraw/zinc/23/55/22/409235522.db2.gz XMOUQFWDRVORFT-RYUDHWBXSA-N 1 2 305.353 1.536 20 30 DDEDLO C=CCCCCC[NH+]1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000289311795 409246615 /nfs/dbraw/zinc/24/66/15/409246615.db2.gz CTYADCBLNRWAHG-UHFFFAOYSA-N 1 2 312.439 1.462 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H](c3nc(=O)[nH][nH]3)C2)c(F)c1 ZINC000289470182 409279024 /nfs/dbraw/zinc/27/90/24/409279024.db2.gz QWNPUYWPYDIKNO-LBPRGKRZSA-N 1 2 301.325 1.488 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H](c3nc(=O)[nH][nH]3)C2)c(F)c1 ZINC000289470182 409279027 /nfs/dbraw/zinc/27/90/27/409279027.db2.gz QWNPUYWPYDIKNO-LBPRGKRZSA-N 1 2 301.325 1.488 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccc3ccccc3n2)CC1 ZINC000280351675 409296624 /nfs/dbraw/zinc/29/66/24/409296624.db2.gz IZXIOVJXZWENTA-UHFFFAOYSA-N 1 2 310.401 1.659 20 30 DDEDLO CC(C)(C)[N@@H+]1CC[C@](F)(C(=O)Nc2nc(C#N)c(C#N)[nH]2)C1 ZINC000290140278 409308230 /nfs/dbraw/zinc/30/82/30/409308230.db2.gz MPWKZTCBLVBHFF-CQSZACIVSA-N 1 2 304.329 1.304 20 30 DDEDLO CC1(C)C[N@H+](CCNc2ncc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000295451570 409488050 /nfs/dbraw/zinc/48/80/50/409488050.db2.gz HDCBNCQZIQZAES-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO CC1(C)C[N@@H+](CCNc2ncc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000295451570 409488055 /nfs/dbraw/zinc/48/80/55/409488055.db2.gz HDCBNCQZIQZAES-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCOc2ccc(C)cc2C1 ZINC000285596958 409501147 /nfs/dbraw/zinc/50/11/47/409501147.db2.gz PGWUONDMKCXOBI-UHFFFAOYSA-N 1 2 301.390 1.952 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCOc2ccc(C)cc2C1 ZINC000285596958 409501149 /nfs/dbraw/zinc/50/11/49/409501149.db2.gz PGWUONDMKCXOBI-UHFFFAOYSA-N 1 2 301.390 1.952 20 30 DDEDLO C[C@H](c1cnn(C)c1)[N@H+](C)CC(=O)NCc1cccc(C#N)c1 ZINC000348953107 409608851 /nfs/dbraw/zinc/60/88/51/409608851.db2.gz XGEQUKGZNKTNJJ-CYBMUJFWSA-N 1 2 311.389 1.601 20 30 DDEDLO C[C@H](c1cnn(C)c1)[N@@H+](C)CC(=O)NCc1cccc(C#N)c1 ZINC000348953107 409608861 /nfs/dbraw/zinc/60/88/61/409608861.db2.gz XGEQUKGZNKTNJJ-CYBMUJFWSA-N 1 2 311.389 1.601 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+](CCC(F)(F)F)C[C@H](O)CO ZINC000305079269 409674949 /nfs/dbraw/zinc/67/49/49/409674949.db2.gz WLNUDBAHMYCFBH-ZDUSSCGKSA-N 1 2 320.286 1.805 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+](CCC(F)(F)F)C[C@H](O)CO ZINC000305079269 409674952 /nfs/dbraw/zinc/67/49/52/409674952.db2.gz WLNUDBAHMYCFBH-ZDUSSCGKSA-N 1 2 320.286 1.805 20 30 DDEDLO CN(C(=O)C[N@@H+]1C[C@H](CO)OC(C)(C)C1)C1(C#N)CCCCC1 ZINC000323563736 409919929 /nfs/dbraw/zinc/91/99/29/409919929.db2.gz RDNICYYUWDEYGI-CQSZACIVSA-N 1 2 323.437 1.143 20 30 DDEDLO CN(C(=O)C[N@H+]1C[C@H](CO)OC(C)(C)C1)C1(C#N)CCCCC1 ZINC000323563736 409919938 /nfs/dbraw/zinc/91/99/38/409919938.db2.gz RDNICYYUWDEYGI-CQSZACIVSA-N 1 2 323.437 1.143 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)N[C@H]1CCCNC1=O ZINC000328736223 409966928 /nfs/dbraw/zinc/96/69/28/409966928.db2.gz LBNNWICREDKLPL-NEPJUHHUSA-N 1 2 303.366 1.283 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[N@H+](C)C[C@@H]1c1ccccc1 ZINC000297737968 409978256 /nfs/dbraw/zinc/97/82/56/409978256.db2.gz GAQLXNKTRAHESH-OAHLLOKOSA-N 1 2 301.390 1.146 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[N@@H+](C)C[C@@H]1c1ccccc1 ZINC000297737968 409978265 /nfs/dbraw/zinc/97/82/65/409978265.db2.gz GAQLXNKTRAHESH-OAHLLOKOSA-N 1 2 301.390 1.146 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CCO[C@H](C#N)C1)CCC2 ZINC000328660917 409950824 /nfs/dbraw/zinc/95/08/24/409950824.db2.gz WEGNYSARXQNDGX-QWHCGFSZSA-N 1 2 303.366 1.207 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3cnn(C(C)(C)C)c3)C[C@H]21 ZINC000328997772 410046632 /nfs/dbraw/zinc/04/66/32/410046632.db2.gz FWYVOAQXTSJMMC-ZIAGYGMSSA-N 1 2 321.425 1.589 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3cnn(C(C)(C)C)c3)C[C@H]21 ZINC000328997772 410046636 /nfs/dbraw/zinc/04/66/36/410046636.db2.gz FWYVOAQXTSJMMC-ZIAGYGMSSA-N 1 2 321.425 1.589 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)N[C@@H]2CCO[C@H]2C2CC2)C1 ZINC000328857819 409996464 /nfs/dbraw/zinc/99/64/64/409996464.db2.gz XZAWCGVPAVYJGB-MCIONIFRSA-N 1 2 304.394 1.691 20 30 DDEDLO O=C(C[NH+]1CCN(c2cncc(F)c2)CC1)NC(=O)NC1CC1 ZINC000328890222 410003131 /nfs/dbraw/zinc/00/31/31/410003131.db2.gz RNIBGYIDOUOAJF-UHFFFAOYSA-N 1 2 321.356 1.376 20 30 DDEDLO COCc1cc(NC(=O)NCC[N@@H+]2CCOCC2(C)C)ncn1 ZINC000329104950 410102812 /nfs/dbraw/zinc/10/28/12/410102812.db2.gz CUBOTOLHCZWMQP-UHFFFAOYSA-N 1 2 323.397 1.060 20 30 DDEDLO COCc1cc(NC(=O)NCC[N@H+]2CCOCC2(C)C)ncn1 ZINC000329104950 410102818 /nfs/dbraw/zinc/10/28/18/410102818.db2.gz CUBOTOLHCZWMQP-UHFFFAOYSA-N 1 2 323.397 1.060 20 30 DDEDLO C#CCOc1ccccc1CNc1cc(NC[C@@H](C)O)[nH+]cn1 ZINC000298185252 410127283 /nfs/dbraw/zinc/12/72/83/410127283.db2.gz GYZAVFTUNUECEK-CYBMUJFWSA-N 1 2 312.373 1.893 20 30 DDEDLO C#CCOc1ccccc1CNc1cc(NC[C@@H](C)O)nc[nH+]1 ZINC000298185252 410127286 /nfs/dbraw/zinc/12/72/86/410127286.db2.gz GYZAVFTUNUECEK-CYBMUJFWSA-N 1 2 312.373 1.893 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)c2ccc(C#N)c(C)n2)CC1 ZINC000332401464 410128820 /nfs/dbraw/zinc/12/88/20/410128820.db2.gz TVFLCJAEZOHETI-UHFFFAOYSA-N 1 2 321.384 1.928 20 30 DDEDLO C[C@H](NC(=O)N1CCC[C@H]2C(=O)NC[C@H]21)[C@H](C)[NH+]1CCOCC1 ZINC000329288909 410214925 /nfs/dbraw/zinc/21/49/25/410214925.db2.gz HZEMRDHOHFRUHO-IGQOVBAYSA-N 1 2 324.425 1.060 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NC[C@@]1(C)CCOC1 ZINC000329200940 410162443 /nfs/dbraw/zinc/16/24/43/410162443.db2.gz BQXXDQYPGCAGND-IJEWVQPXSA-N 1 2 311.426 1.122 20 30 DDEDLO C[C@H](NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1)c1nncn1C ZINC000329231704 410178121 /nfs/dbraw/zinc/17/81/21/410178121.db2.gz FJCHEZDWTNDPQH-WCQYABFASA-N 1 2 307.398 1.476 20 30 DDEDLO C[C@H](NC(=O)[C@H]1COCC[N@H+]1C1CCCC1)c1nncn1C ZINC000329231704 410178133 /nfs/dbraw/zinc/17/81/33/410178133.db2.gz FJCHEZDWTNDPQH-WCQYABFASA-N 1 2 307.398 1.476 20 30 DDEDLO Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)NC[C@@H]2C[C@@H]2C)CC1 ZINC000329338390 410242828 /nfs/dbraw/zinc/24/28/28/410242828.db2.gz QLXOXQASAAGUAC-WFASDCNBSA-N 1 2 319.453 1.725 20 30 DDEDLO O=C(NCCC1(O)CCOCC1)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000329387786 410271804 /nfs/dbraw/zinc/27/18/04/410271804.db2.gz SZZOBMSIQJFYLU-UHFFFAOYSA-N 1 2 322.409 1.435 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1)c1ncn(C)n1 ZINC000329318468 410230698 /nfs/dbraw/zinc/23/06/98/410230698.db2.gz IHCQAZXNASLVNX-AAEUAGOBSA-N 1 2 307.398 1.476 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1)c1ncn(C)n1 ZINC000329318468 410230702 /nfs/dbraw/zinc/23/07/02/410230702.db2.gz IHCQAZXNASLVNX-AAEUAGOBSA-N 1 2 307.398 1.476 20 30 DDEDLO CO[C@]1(CNC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)CCOC1 ZINC000329486599 410323414 /nfs/dbraw/zinc/32/34/14/410323414.db2.gz GIBAOOKHVMGTGT-ZBFHGGJFSA-N 1 2 312.410 1.392 20 30 DDEDLO CO[C@]1(CNC(=O)[C@H]2COCC[N@H+]2C2CCCC2)CCOC1 ZINC000329486599 410323419 /nfs/dbraw/zinc/32/34/19/410323419.db2.gz GIBAOOKHVMGTGT-ZBFHGGJFSA-N 1 2 312.410 1.392 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@H+](CC)CCC#N)C1 ZINC000357928315 410326617 /nfs/dbraw/zinc/32/66/17/410326617.db2.gz RFPBENUHLHFORV-ZIAGYGMSSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@@H+](CC)CCC#N)C1 ZINC000357928315 410326624 /nfs/dbraw/zinc/32/66/24/410326624.db2.gz RFPBENUHLHFORV-ZIAGYGMSSA-N 1 2 309.410 1.412 20 30 DDEDLO COCc1nc(CN(CCC#N)CC[NH+]2CCOCC2)cs1 ZINC000357956143 410331978 /nfs/dbraw/zinc/33/19/78/410331978.db2.gz GTXOMWOPIIEZBO-UHFFFAOYSA-N 1 2 324.450 1.337 20 30 DDEDLO CC[C@@H]1CN(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)CCCO1 ZINC000329470675 410313813 /nfs/dbraw/zinc/31/38/13/410313813.db2.gz YZKVEXWYUOJUPA-CQSZACIVSA-N 1 2 313.442 1.512 20 30 DDEDLO CC[C@@H]1CN(C(=O)NCC[N@H+]2CCOC(C)(C)C2)CCCO1 ZINC000329470675 410313818 /nfs/dbraw/zinc/31/38/18/410313818.db2.gz YZKVEXWYUOJUPA-CQSZACIVSA-N 1 2 313.442 1.512 20 30 DDEDLO Cc1n[nH]c(C)c1C[N@@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000355188066 410369150 /nfs/dbraw/zinc/36/91/50/410369150.db2.gz ABYYFXBKIGFPOC-ACJLOTCBSA-N 1 2 321.384 1.872 20 30 DDEDLO Cc1n[nH]c(C)c1C[N@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000355188066 410369156 /nfs/dbraw/zinc/36/91/56/410369156.db2.gz ABYYFXBKIGFPOC-ACJLOTCBSA-N 1 2 321.384 1.872 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+]C(C)(C)c2cc(C(=O)OC)on2)C1=O ZINC000351984400 410390375 /nfs/dbraw/zinc/39/03/75/410390375.db2.gz XPOHAAMKGCYEJV-SNVBAGLBSA-N 1 2 307.350 1.073 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCC[C@H](O)CC2)c1 ZINC000339784753 410457382 /nfs/dbraw/zinc/45/73/82/410457382.db2.gz WCZBGECNRNODEI-AWEZNQCLSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCC[C@H](O)CC2)c1 ZINC000339784753 410457388 /nfs/dbraw/zinc/45/73/88/410457388.db2.gz WCZBGECNRNODEI-AWEZNQCLSA-N 1 2 308.403 1.179 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C[C@H]1C ZINC000355380303 410484173 /nfs/dbraw/zinc/48/41/73/410484173.db2.gz HZVCQDJKTODBDC-KCPJHIHWSA-N 1 2 315.373 1.216 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C[C@H]1C ZINC000355380303 410484177 /nfs/dbraw/zinc/48/41/77/410484177.db2.gz HZVCQDJKTODBDC-KCPJHIHWSA-N 1 2 315.373 1.216 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)[C@H](C)[N@H+](C)CC(N)=O)cc1 ZINC000299047442 410497761 /nfs/dbraw/zinc/49/77/61/410497761.db2.gz OVUFMDRDCSNMEJ-ZDUSSCGKSA-N 1 2 302.378 1.047 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)[C@H](C)[N@@H+](C)CC(N)=O)cc1 ZINC000299047442 410497769 /nfs/dbraw/zinc/49/77/69/410497769.db2.gz OVUFMDRDCSNMEJ-ZDUSSCGKSA-N 1 2 302.378 1.047 20 30 DDEDLO C=C(CC)C[NH+]1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000358636310 410502914 /nfs/dbraw/zinc/50/29/14/410502914.db2.gz VLBKXGFGJQXQGA-UHFFFAOYSA-N 1 2 313.423 1.564 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)CC1(C#N)CCCCC1 ZINC000355563692 410583217 /nfs/dbraw/zinc/58/32/17/410583217.db2.gz PTEXHALLUGSNIH-ZDUSSCGKSA-N 1 2 310.423 1.665 20 30 DDEDLO C=C[C@@H](CO)[NH2+]Cc1ccc(OC)c(O)c1Br ZINC000352454467 410660606 /nfs/dbraw/zinc/66/06/06/410660606.db2.gz UIBWXGDNTPGUDM-VIFPVBQESA-N 1 2 302.168 1.800 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000352314570 410616829 /nfs/dbraw/zinc/61/68/29/410616829.db2.gz KHMXUBRREDYNLC-ZIAGYGMSSA-N 1 2 318.421 1.597 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cnn(C)c2C(F)(F)F)C1=O ZINC000337151218 410657197 /nfs/dbraw/zinc/65/71/97/410657197.db2.gz JPRXIULGLQYMMH-NSHDSACASA-N 1 2 316.327 1.658 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cnn(C)c2C(F)(F)F)C1=O ZINC000337151218 410657203 /nfs/dbraw/zinc/65/72/03/410657203.db2.gz JPRXIULGLQYMMH-NSHDSACASA-N 1 2 316.327 1.658 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)N1CCC(C)(C#N)CC1 ZINC000355795670 410686138 /nfs/dbraw/zinc/68/61/38/410686138.db2.gz LPEFVNMCCNQAHE-UHFFFAOYSA-N 1 2 301.394 1.983 20 30 DDEDLO N#Cc1ccc(C(=O)CNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)cc1 ZINC000356186474 410885115 /nfs/dbraw/zinc/88/51/15/410885115.db2.gz QRJVBSXOQUWZSN-ZDUSSCGKSA-N 1 2 308.341 1.700 20 30 DDEDLO N#Cc1ccc(C(=O)CNC(=O)[C@H]2CCCc3[nH]c[nH+]c32)cc1 ZINC000356186474 410885123 /nfs/dbraw/zinc/88/51/23/410885123.db2.gz QRJVBSXOQUWZSN-ZDUSSCGKSA-N 1 2 308.341 1.700 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@H](CO)C[C@H]2C)c(C#N)c1C ZINC000344160052 411000082 /nfs/dbraw/zinc/00/00/82/411000082.db2.gz WZMABWLHUSJHKW-MFKMUULPSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@H](CO)C[C@H]2C)c(C#N)c1C ZINC000344160052 411000086 /nfs/dbraw/zinc/00/00/86/411000086.db2.gz WZMABWLHUSJHKW-MFKMUULPSA-N 1 2 305.378 1.799 20 30 DDEDLO C[C@@H](NC(=O)[C@@H](C)c1cccc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000360144483 411072220 /nfs/dbraw/zinc/07/22/20/411072220.db2.gz VPDLTFQPDXWVEM-ZNMIVQPWSA-N 1 2 315.417 1.887 20 30 DDEDLO CC[N@H+](CC(=O)N1CC(O)(CC#N)C1)Cc1ccccc1Cl ZINC000356551646 411082994 /nfs/dbraw/zinc/08/29/94/411082994.db2.gz JMMPYZXTFMXEGK-UHFFFAOYSA-N 1 2 321.808 1.649 20 30 DDEDLO CC[N@@H+](CC(=O)N1CC(O)(CC#N)C1)Cc1ccccc1Cl ZINC000356551646 411083003 /nfs/dbraw/zinc/08/30/03/411083003.db2.gz JMMPYZXTFMXEGK-UHFFFAOYSA-N 1 2 321.808 1.649 20 30 DDEDLO CC(C)OC(=O)[C@@H](C)[N@H+](C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000129671445 196066536 /nfs/dbraw/zinc/06/65/36/196066536.db2.gz MHGWGWAPJLGKMF-HIFRSBDPSA-N 1 2 320.389 1.570 20 30 DDEDLO CC(C)OC(=O)[C@@H](C)[N@@H+](C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000129671445 196066538 /nfs/dbraw/zinc/06/65/38/196066538.db2.gz MHGWGWAPJLGKMF-HIFRSBDPSA-N 1 2 320.389 1.570 20 30 DDEDLO N#CCCn1cc(C(=O)C[N@H+]2C[C@@H]3C[C@]3(O)C2)c2ccccc21 ZINC000640480274 422983066 /nfs/dbraw/zinc/98/30/66/422983066.db2.gz UGQUWDSIQCPUQE-UGSOOPFHSA-N 1 2 309.369 1.804 20 30 DDEDLO N#CCCn1cc(C(=O)C[N@@H+]2C[C@@H]3C[C@]3(O)C2)c2ccccc21 ZINC000640480274 422983074 /nfs/dbraw/zinc/98/30/74/422983074.db2.gz UGQUWDSIQCPUQE-UGSOOPFHSA-N 1 2 309.369 1.804 20 30 DDEDLO N#Cc1cnc(NC[C@H](c2ccccc2)[NH+]2CCOCC2)cn1 ZINC000133088610 196310679 /nfs/dbraw/zinc/31/06/79/196310679.db2.gz JRSGPYGXHBPDIY-MRXNPFEDSA-N 1 2 309.373 1.834 20 30 DDEDLO CC#CC[C@@H](CO)Nc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000645405472 423022215 /nfs/dbraw/zinc/02/22/15/423022215.db2.gz AQWJTYLCXVTJNH-KBPBESRZSA-N 1 2 304.394 1.014 20 30 DDEDLO CC#CC[C@@H](CO)Nc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000645405472 423022220 /nfs/dbraw/zinc/02/22/20/423022220.db2.gz AQWJTYLCXVTJNH-KBPBESRZSA-N 1 2 304.394 1.014 20 30 DDEDLO Cc1cc(NC(=O)N[C@H](C)C[NH+]2CCN(C)CC2)ncc1C#N ZINC000603119006 416632540 /nfs/dbraw/zinc/63/25/40/416632540.db2.gz UOJRCTGYWSHQMC-CYBMUJFWSA-N 1 2 316.409 1.019 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCc2n[nH]c(=O)cc2C1 ZINC000360442651 418435515 /nfs/dbraw/zinc/43/55/15/418435515.db2.gz KLNMPJMTGIDHNC-NSHDSACASA-N 1 2 323.356 1.439 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCc2n[nH]c(=O)cc2C1 ZINC000360442651 418435519 /nfs/dbraw/zinc/43/55/19/418435519.db2.gz KLNMPJMTGIDHNC-NSHDSACASA-N 1 2 323.356 1.439 20 30 DDEDLO CC(C)(O)C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C1CC1 ZINC000189914607 222060779 /nfs/dbraw/zinc/06/07/79/222060779.db2.gz ZAJDHYYWDJSJAN-UHFFFAOYSA-N 1 2 322.430 1.567 20 30 DDEDLO CC(C)(O)C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C1CC1 ZINC000189914607 222060784 /nfs/dbraw/zinc/06/07/84/222060784.db2.gz ZAJDHYYWDJSJAN-UHFFFAOYSA-N 1 2 322.430 1.567 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2cccc(F)c2C#N)CC1 ZINC000190049264 222067075 /nfs/dbraw/zinc/06/70/75/222067075.db2.gz LMLRJHWBYVLMFF-UHFFFAOYSA-N 1 2 319.380 1.880 20 30 DDEDLO N#CCC[N@H+](CCN1CCOCC1)C[C@H](O)C1CCCCC1 ZINC000190628419 222084706 /nfs/dbraw/zinc/08/47/06/222084706.db2.gz OBOQLEPABYUHEO-KRWDZBQOSA-N 1 2 309.454 1.475 20 30 DDEDLO N#CCC[N@@H+](CCN1CCOCC1)C[C@H](O)C1CCCCC1 ZINC000190628419 222084709 /nfs/dbraw/zinc/08/47/09/222084709.db2.gz OBOQLEPABYUHEO-KRWDZBQOSA-N 1 2 309.454 1.475 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@H](O)C1CCCCC1 ZINC000190628419 222084711 /nfs/dbraw/zinc/08/47/11/222084711.db2.gz OBOQLEPABYUHEO-KRWDZBQOSA-N 1 2 309.454 1.475 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCC[C@@H]1c1ccc2c(c1)OCCO2 ZINC000192219222 222122137 /nfs/dbraw/zinc/12/21/37/222122137.db2.gz OAFJNLQOIAWBTF-OAHLLOKOSA-N 1 2 314.385 1.686 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCC[C@@H]1c1ccc2c(c1)OCCO2 ZINC000192219222 222122139 /nfs/dbraw/zinc/12/21/39/222122139.db2.gz OAFJNLQOIAWBTF-OAHLLOKOSA-N 1 2 314.385 1.686 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCN(CC(F)(F)F)[C@H](C)C1 ZINC000245701492 222217476 /nfs/dbraw/zinc/21/74/76/222217476.db2.gz NHUWHYXWRWKUKR-VXGBXAGGSA-N 1 2 320.359 1.315 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCN(CC(F)(F)F)[C@H](C)C1 ZINC000245701492 222217479 /nfs/dbraw/zinc/21/74/79/222217479.db2.gz NHUWHYXWRWKUKR-VXGBXAGGSA-N 1 2 320.359 1.315 20 30 DDEDLO Cn1cc[nH+]c1-c1cccc(CNC(=O)CSCC#N)c1 ZINC000367419782 418599764 /nfs/dbraw/zinc/59/97/64/418599764.db2.gz VVPVJWZNRHAQIQ-UHFFFAOYSA-N 1 2 300.387 1.960 20 30 DDEDLO [O-]C(NC[C@@H]1CCc2[nH+]ccn2C1)=[NH+]c1ccc(C2CC2)nn1 ZINC000329805235 418609624 /nfs/dbraw/zinc/60/96/24/418609624.db2.gz MTVZSKWQQZDALL-NSHDSACASA-N 1 2 312.377 2.139 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)[C@@H]2CC(F)(F)C[NH2+]2)c1 ZINC000375442449 418639555 /nfs/dbraw/zinc/63/95/55/418639555.db2.gz FGNZIGTXEUFMNC-ZDUSSCGKSA-N 1 2 321.327 1.062 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC3(CCC3)[C@H]2[C@H]2CCCO2)CCC1 ZINC000377757696 418714639 /nfs/dbraw/zinc/71/46/39/418714639.db2.gz COKQNKPDSFGQPY-UKRRQHHQSA-N 1 2 303.406 1.582 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC3(CCC3)[C@H]2[C@H]2CCCO2)CCC1 ZINC000377757696 418714642 /nfs/dbraw/zinc/71/46/42/418714642.db2.gz COKQNKPDSFGQPY-UKRRQHHQSA-N 1 2 303.406 1.582 20 30 DDEDLO CC1(C)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CCCO1 ZINC000368008253 418673800 /nfs/dbraw/zinc/67/38/00/418673800.db2.gz IXOGYXWOZZRMHD-UHFFFAOYSA-N 1 2 323.418 1.228 20 30 DDEDLO CC1(C)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CCCO1 ZINC000368008253 418673801 /nfs/dbraw/zinc/67/38/01/418673801.db2.gz IXOGYXWOZZRMHD-UHFFFAOYSA-N 1 2 323.418 1.228 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000368193908 418701510 /nfs/dbraw/zinc/70/15/10/418701510.db2.gz GEUOJYBQRMVBNM-ZOWXZIJZSA-N 1 2 304.394 1.547 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000368193908 418701512 /nfs/dbraw/zinc/70/15/12/418701512.db2.gz GEUOJYBQRMVBNM-ZOWXZIJZSA-N 1 2 304.394 1.547 20 30 DDEDLO CCOCC[C@@H](O)C[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000369318709 418732350 /nfs/dbraw/zinc/73/23/50/418732350.db2.gz GOUFERNSDXORCK-SJORKVTESA-N 1 2 304.390 1.719 20 30 DDEDLO CCOCC[C@@H](O)C[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000369318709 418732352 /nfs/dbraw/zinc/73/23/52/418732352.db2.gz GOUFERNSDXORCK-SJORKVTESA-N 1 2 304.390 1.719 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N(CC)CCC#N)C[C@@]2(CCOC2)O1 ZINC000369531853 418735179 /nfs/dbraw/zinc/73/51/79/418735179.db2.gz LXZJNIVHULIUTL-GDBMZVCRSA-N 1 2 309.410 1.018 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N(CC)CCC#N)C[C@@]2(CCOC2)O1 ZINC000369531853 418735181 /nfs/dbraw/zinc/73/51/81/418735181.db2.gz LXZJNIVHULIUTL-GDBMZVCRSA-N 1 2 309.410 1.018 20 30 DDEDLO CC1(C)C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1O ZINC000388154949 418745621 /nfs/dbraw/zinc/74/56/21/418745621.db2.gz IJAWPADERPIYEI-CQSZACIVSA-N 1 2 308.403 1.035 20 30 DDEDLO CC1(C)C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1O ZINC000388154949 418745622 /nfs/dbraw/zinc/74/56/22/418745622.db2.gz IJAWPADERPIYEI-CQSZACIVSA-N 1 2 308.403 1.035 20 30 DDEDLO CCO[C@H](C)c1noc(CN2CCC[N@H+](CCC#N)CC2)n1 ZINC000363116361 418762426 /nfs/dbraw/zinc/76/24/26/418762426.db2.gz HUFWDYVCJYLZBM-CYBMUJFWSA-N 1 2 307.398 1.588 20 30 DDEDLO CCO[C@H](C)c1noc(CN2CCC[N@@H+](CCC#N)CC2)n1 ZINC000363116361 418762429 /nfs/dbraw/zinc/76/24/29/418762429.db2.gz HUFWDYVCJYLZBM-CYBMUJFWSA-N 1 2 307.398 1.588 20 30 DDEDLO CCO[C@H](C)c1noc(C[N@@H+]2CCCN(CCC#N)CC2)n1 ZINC000363116361 418762432 /nfs/dbraw/zinc/76/24/32/418762432.db2.gz HUFWDYVCJYLZBM-CYBMUJFWSA-N 1 2 307.398 1.588 20 30 DDEDLO CCO[C@H](C)c1noc(C[N@H+]2CCCN(CCC#N)CC2)n1 ZINC000363116361 418762434 /nfs/dbraw/zinc/76/24/34/418762434.db2.gz HUFWDYVCJYLZBM-CYBMUJFWSA-N 1 2 307.398 1.588 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CCC[N@H+](Cc2nc(C)c(C)o2)CC1 ZINC000371103349 418762783 /nfs/dbraw/zinc/76/27/83/418762783.db2.gz CCSJIWUJOQVFGS-CYBMUJFWSA-N 1 2 318.421 1.921 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CCC[N@@H+](Cc2nc(C)c(C)o2)CC1 ZINC000371103349 418762784 /nfs/dbraw/zinc/76/27/84/418762784.db2.gz CCSJIWUJOQVFGS-CYBMUJFWSA-N 1 2 318.421 1.921 20 30 DDEDLO N#CCC[NH+]1CCC(C(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000363481519 418766446 /nfs/dbraw/zinc/76/64/46/418766446.db2.gz SYXIRGFQCFCHBO-UHFFFAOYSA-N 1 2 313.357 1.826 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N[C@@H]2CCc3[nH+]c(C)cn3C2)cc1 ZINC000364502825 418781339 /nfs/dbraw/zinc/78/13/39/418781339.db2.gz VBSNGTVBPZFSNA-QANDDYDISA-N 1 2 312.373 1.917 20 30 DDEDLO C[N@H+](CC(=O)Nc1sccc1C#N)[C@@H]1CCCCNC1=O ZINC000368891438 418726897 /nfs/dbraw/zinc/72/68/97/418726897.db2.gz FFHAWBOBEDHQAB-LLVKDONJSA-N 1 2 306.391 1.159 20 30 DDEDLO C[N@@H+](CC(=O)Nc1sccc1C#N)[C@@H]1CCCCNC1=O ZINC000368891438 418726901 /nfs/dbraw/zinc/72/69/01/418726901.db2.gz FFHAWBOBEDHQAB-LLVKDONJSA-N 1 2 306.391 1.159 20 30 DDEDLO C[C@H](NC(=O)C[NH+]1CCC(C)(C#N)CC1)C(=O)N1CCCCC1 ZINC000408160094 418790620 /nfs/dbraw/zinc/79/06/20/418790620.db2.gz TZMZSPNCXLXKHB-AWEZNQCLSA-N 1 2 320.437 1.129 20 30 DDEDLO CN(C)c1ccc(N[C@@H]2CCCN(C(=O)CC#N)CC2)c[nH+]1 ZINC000364634065 418795826 /nfs/dbraw/zinc/79/58/26/418795826.db2.gz YRHLKULXVVRELL-CYBMUJFWSA-N 1 2 301.394 1.854 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC(N2CC[N@@H+](C)CC2=O)CC1 ZINC000371573754 418802314 /nfs/dbraw/zinc/80/23/14/418802314.db2.gz JXNUHFRRWOBGFK-UHFFFAOYSA-N 1 2 319.449 1.520 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC(N2CC[N@H+](C)CC2=O)CC1 ZINC000371573754 418802315 /nfs/dbraw/zinc/80/23/15/418802315.db2.gz JXNUHFRRWOBGFK-UHFFFAOYSA-N 1 2 319.449 1.520 20 30 DDEDLO N#Cc1c(C[N@H+]2CCC[C@]3(CNC(=O)O3)C2)cn2ccccc12 ZINC000364796011 418811449 /nfs/dbraw/zinc/81/14/49/418811449.db2.gz VVMVXFUPESYKNT-KRWDZBQOSA-N 1 2 310.357 1.885 20 30 DDEDLO N#Cc1c(C[N@@H+]2CCC[C@]3(CNC(=O)O3)C2)cn2ccccc12 ZINC000364796011 418811450 /nfs/dbraw/zinc/81/14/50/418811450.db2.gz VVMVXFUPESYKNT-KRWDZBQOSA-N 1 2 310.357 1.885 20 30 DDEDLO N#CCc1ccc(NC(=O)C(=O)N[C@H]2CCc3[nH+]ccn3C2)cc1 ZINC000365442184 418860972 /nfs/dbraw/zinc/86/09/72/418860972.db2.gz AFCVSKGHBYVTOF-AWEZNQCLSA-N 1 2 323.356 1.019 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](C2(C(N)=O)CCCC2)CC1 ZINC000372807832 418904188 /nfs/dbraw/zinc/90/41/88/418904188.db2.gz VURDBZQOHWBTOU-UHFFFAOYSA-N 1 2 305.422 1.122 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNc1nccc(C#N)c1[N+](=O)[O-])CCC2 ZINC000372744366 418898850 /nfs/dbraw/zinc/89/88/50/418898850.db2.gz UTOXEJYOMIXFOX-GFCCVEGCSA-N 1 2 312.333 2.356 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCN2C(=O)N(C)C[C@H]2C1 ZINC000365842931 418914924 /nfs/dbraw/zinc/91/49/24/418914924.db2.gz CMKDQOYTVCTLPJ-AWEZNQCLSA-N 1 2 300.362 1.118 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCN2C(=O)N(C)C[C@H]2C1 ZINC000365842931 418914926 /nfs/dbraw/zinc/91/49/26/418914926.db2.gz CMKDQOYTVCTLPJ-AWEZNQCLSA-N 1 2 300.362 1.118 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000413961276 419805380 /nfs/dbraw/zinc/80/53/80/419805380.db2.gz SBMSKDYVGJNSOB-CQSZACIVSA-N 1 2 315.417 1.889 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@@H+]2CCO[C@H](CC)C2)s1 ZINC000429457342 420018488 /nfs/dbraw/zinc/01/84/88/420018488.db2.gz SBHKQGFZUADOKR-GFCCVEGCSA-N 1 2 324.450 1.582 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@H+]2CCO[C@H](CC)C2)s1 ZINC000429457342 420018489 /nfs/dbraw/zinc/01/84/89/420018489.db2.gz SBHKQGFZUADOKR-GFCCVEGCSA-N 1 2 324.450 1.582 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000457776055 420636669 /nfs/dbraw/zinc/63/66/69/420636669.db2.gz ACLRKMNJUDYNGB-FXUDXRNXSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000457776055 420636670 /nfs/dbraw/zinc/63/66/70/420636670.db2.gz ACLRKMNJUDYNGB-FXUDXRNXSA-N 1 2 308.422 1.289 20 30 DDEDLO C[C@@H]1CN(c2cccc3cnccc32)CC[N@@H+]1CC(=O)NCC#N ZINC000447389998 420771718 /nfs/dbraw/zinc/77/17/18/420771718.db2.gz CMLDFQLERYZWOX-CQSZACIVSA-N 1 2 323.400 1.385 20 30 DDEDLO C[C@@H]1CN(c2cccc3cnccc32)CC[N@H+]1CC(=O)NCC#N ZINC000447389998 420771720 /nfs/dbraw/zinc/77/17/20/420771720.db2.gz CMLDFQLERYZWOX-CQSZACIVSA-N 1 2 323.400 1.385 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CCC[C@@]2(CNC(=O)C2)C1 ZINC000459381765 420834053 /nfs/dbraw/zinc/83/40/53/420834053.db2.gz DKVOQKBNWUSTIH-MRXNPFEDSA-N 1 2 314.345 1.569 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CCC[C@@]2(CNC(=O)C2)C1 ZINC000459381765 420834058 /nfs/dbraw/zinc/83/40/58/420834058.db2.gz DKVOQKBNWUSTIH-MRXNPFEDSA-N 1 2 314.345 1.569 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000448598636 420869152 /nfs/dbraw/zinc/86/91/52/420869152.db2.gz QGWGSVPEGMXYAP-UHFFFAOYSA-N 1 2 309.373 1.548 20 30 DDEDLO CN1CCN(S(=O)(=O)c2ccc(C#N)cc2)c2ccc[nH+]c21 ZINC000487142171 421005657 /nfs/dbraw/zinc/00/56/57/421005657.db2.gz XNJNQIWKAJGKAP-UHFFFAOYSA-N 1 2 314.370 1.598 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)c2ccc(C#N)n2C)CC1 ZINC000449866316 421101625 /nfs/dbraw/zinc/10/16/25/421101625.db2.gz DHCHXMWWBBESMS-AWEZNQCLSA-N 1 2 323.400 1.811 20 30 DDEDLO N#Cc1cc(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)ccc1F ZINC000456196283 421117440 /nfs/dbraw/zinc/11/74/40/421117440.db2.gz CPWXKJGPCSCVRV-OKILXGFUSA-N 1 2 303.337 1.290 20 30 DDEDLO N#Cc1cc(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)ccc1F ZINC000456196283 421117443 /nfs/dbraw/zinc/11/74/43/421117443.db2.gz CPWXKJGPCSCVRV-OKILXGFUSA-N 1 2 303.337 1.290 20 30 DDEDLO C=CCn1cccc1C(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000488927546 421126536 /nfs/dbraw/zinc/12/65/36/421126536.db2.gz XXHCLGQNTXWUQB-HNNXBMFYSA-N 1 2 313.405 1.916 20 30 DDEDLO Cc1ncc(S(=O)(=O)N2CC[N@H+](C)[C@H](C(C)C)C2)cc1C#N ZINC000489817009 421174852 /nfs/dbraw/zinc/17/48/52/421174852.db2.gz LCBWQWWQHKNLMX-HNNXBMFYSA-N 1 2 322.434 1.222 20 30 DDEDLO Cc1ncc(S(=O)(=O)N2CC[N@@H+](C)[C@H](C(C)C)C2)cc1C#N ZINC000489817009 421174853 /nfs/dbraw/zinc/17/48/53/421174853.db2.gz LCBWQWWQHKNLMX-HNNXBMFYSA-N 1 2 322.434 1.222 20 30 DDEDLO CCn1c2ccccc2nc1[C@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC000490166601 421191875 /nfs/dbraw/zinc/19/18/75/421191875.db2.gz ZIZDQRMXJMZTSL-OAHLLOKOSA-N 1 2 311.389 1.833 20 30 DDEDLO CCn1c2ccccc2nc1[C@H]1CCC[N@H+]1CC(=O)NCC#N ZINC000490166601 421191877 /nfs/dbraw/zinc/19/18/77/421191877.db2.gz ZIZDQRMXJMZTSL-OAHLLOKOSA-N 1 2 311.389 1.833 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)N(C)Cc1[nH+]ccn1C ZINC000525854118 421307280 /nfs/dbraw/zinc/30/72/80/421307280.db2.gz KDVWPARPJWJZLF-UHFFFAOYSA-N 1 2 311.345 1.197 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CC[C@H](NC(=O)NC4CC4)C3)n2c1 ZINC000546267508 421289689 /nfs/dbraw/zinc/28/96/89/421289689.db2.gz VDDQSXDIDBYABE-AWEZNQCLSA-N 1 2 324.388 1.242 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CC[C@H](NC(=O)NC4CC4)C3)n2c1 ZINC000546267508 421289690 /nfs/dbraw/zinc/28/96/90/421289690.db2.gz VDDQSXDIDBYABE-AWEZNQCLSA-N 1 2 324.388 1.242 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)[C@@H](C#N)Cc1ccc(C#N)cc1 ZINC000526958485 421341449 /nfs/dbraw/zinc/34/14/49/421341449.db2.gz IYQKIJKVSAHEMR-OAHLLOKOSA-N 1 2 307.357 1.333 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)[C@H](C#N)Cc1ccc(C#N)cc1 ZINC000526958481 421341610 /nfs/dbraw/zinc/34/16/10/421341610.db2.gz IYQKIJKVSAHEMR-HNNXBMFYSA-N 1 2 307.357 1.333 20 30 DDEDLO C[C@@H]1C[N@@H+](C[C@H](O)COc2ccccc2C#N)C[C@H](C)[S@@]1=O ZINC000528478669 421493538 /nfs/dbraw/zinc/49/35/38/421493538.db2.gz RSAYTKDWXJNLTB-VQOAGZRVSA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@@H]1C[N@H+](C[C@H](O)COc2ccccc2C#N)C[C@H](C)[S@@]1=O ZINC000528478669 421493540 /nfs/dbraw/zinc/49/35/40/421493540.db2.gz RSAYTKDWXJNLTB-VQOAGZRVSA-N 1 2 322.430 1.139 20 30 DDEDLO COc1cccc2[nH]cc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)c21 ZINC000566309977 421604826 /nfs/dbraw/zinc/60/48/26/421604826.db2.gz YWYXQNCUBIOVHM-KRWDZBQOSA-N 1 2 314.389 1.679 20 30 DDEDLO C[C@@H]1C[NH+](CCSc2nc(N)c(C#N)cc2C#N)C[C@@H](C)O1 ZINC000566984863 421609490 /nfs/dbraw/zinc/60/94/90/421609490.db2.gz VVSYNSQNLBFWQW-GHMZBOCLSA-N 1 2 317.418 1.608 20 30 DDEDLO CCC(=O)Nc1cccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000568038445 421617424 /nfs/dbraw/zinc/61/74/24/421617424.db2.gz NFYJPNLWHMYXCS-MRXNPFEDSA-N 1 2 302.378 1.609 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2F)C(C)(C)C1 ZINC000535752640 421709637 /nfs/dbraw/zinc/70/96/37/421709637.db2.gz JMVBYHUZOSYJFY-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2F)C(C)(C)C1 ZINC000535752640 421709640 /nfs/dbraw/zinc/70/96/40/421709640.db2.gz JMVBYHUZOSYJFY-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2nc3c(cc2C#N)CCCC3)CCO1 ZINC000535748804 421710218 /nfs/dbraw/zinc/71/02/18/421710218.db2.gz FCEQBISSNNAKRC-CYBMUJFWSA-N 1 2 300.406 1.965 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2nc3c(cc2C#N)CCCC3)CCO1 ZINC000535748804 421710220 /nfs/dbraw/zinc/71/02/20/421710220.db2.gz FCEQBISSNNAKRC-CYBMUJFWSA-N 1 2 300.406 1.965 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@@H+]1CC[C@H](OC(F)F)C1 ZINC000555075159 421668850 /nfs/dbraw/zinc/66/88/50/421668850.db2.gz OXMURKQAOCBQBM-COPLHBTASA-N 1 2 303.353 1.743 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@H+]1CC[C@H](OC(F)F)C1 ZINC000555075159 421668851 /nfs/dbraw/zinc/66/88/51/421668851.db2.gz OXMURKQAOCBQBM-COPLHBTASA-N 1 2 303.353 1.743 20 30 DDEDLO COc1cc2c(cc1C[NH+]1CCN(CC#N)CC1)O[C@H](C)C2 ZINC000539816659 421753770 /nfs/dbraw/zinc/75/37/70/421753770.db2.gz WPMMIIUUTBVIHQ-CYBMUJFWSA-N 1 2 301.390 1.660 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccc(Cl)c(C#N)c2)CCO1 ZINC000541762173 421805434 /nfs/dbraw/zinc/80/54/34/421805434.db2.gz JXIWWUMULRDYLP-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(Cl)c(C#N)c2)CCO1 ZINC000541762173 421805435 /nfs/dbraw/zinc/80/54/35/421805435.db2.gz JXIWWUMULRDYLP-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(OC2CCCC2)nc1 ZINC000573806354 422021675 /nfs/dbraw/zinc/02/16/75/422021675.db2.gz DABHEZYTUXOIPE-KRWDZBQOSA-N 1 2 316.405 1.977 20 30 DDEDLO C[C@@H]1CCN(c2cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)ccn2)C1 ZINC000574576079 422137977 /nfs/dbraw/zinc/13/79/77/422137977.db2.gz GUEKBERLZSUGLC-CXAGYDPISA-N 1 2 315.421 1.501 20 30 DDEDLO C=C(C)COCCNC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000635689251 422241943 /nfs/dbraw/zinc/24/19/43/422241943.db2.gz KDINCMIYBJWZGP-UHFFFAOYSA-N 1 2 301.346 1.900 20 30 DDEDLO CC(C)(CNc1cc(C#N)nc(C2CC2)n1)[NH+]1CCOCC1 ZINC000601100149 422416569 /nfs/dbraw/zinc/41/65/69/422416569.db2.gz NFXKWJJBHDDDEI-UHFFFAOYSA-N 1 2 301.394 1.748 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCN(CC(F)(F)F)CC3)n2c1 ZINC000179843469 263362711 /nfs/dbraw/zinc/36/27/11/263362711.db2.gz MLAQQARXMWNRLT-UHFFFAOYSA-N 1 2 323.322 1.886 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc(-c3ccccc3C)no2)nn1 ZINC000640851098 423229004 /nfs/dbraw/zinc/22/90/04/423229004.db2.gz PNDJQMVAZJWFRO-UHFFFAOYSA-N 1 2 322.372 1.798 20 30 DDEDLO C=CCn1cc(C(=O)NCCc2cn3cccc(C)c3[nH+]2)nn1 ZINC000648311096 423313298 /nfs/dbraw/zinc/31/32/98/423313298.db2.gz JXHAWTPTWBXBPK-UHFFFAOYSA-N 1 2 310.361 1.393 20 30 DDEDLO C=CCCn1cc(C[N@@H+](C)[C@@H](CC(N)=O)c2ccccc2)nn1 ZINC000653654039 423567143 /nfs/dbraw/zinc/56/71/43/423567143.db2.gz XIVSQDKCIBHCQP-INIZCTEOSA-N 1 2 313.405 1.903 20 30 DDEDLO C=CCCn1cc(C[N@H+](C)[C@@H](CC(N)=O)c2ccccc2)nn1 ZINC000653654039 423567148 /nfs/dbraw/zinc/56/71/48/423567148.db2.gz XIVSQDKCIBHCQP-INIZCTEOSA-N 1 2 313.405 1.903 20 30 DDEDLO CCCC[C@@H](C(=O)OC)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355120830 266036303 /nfs/dbraw/zinc/03/63/03/266036303.db2.gz GBZTXQDUTQHUEB-HNNXBMFYSA-N 1 2 316.405 1.807 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)CC[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000370232728 266654674 /nfs/dbraw/zinc/65/46/74/266654674.db2.gz YGCKWMCRSTWGSB-JKSUJKDBSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)CC[N@H+]1CCOc1ccc(C#N)cc1 ZINC000370232728 266654677 /nfs/dbraw/zinc/65/46/77/266654677.db2.gz YGCKWMCRSTWGSB-JKSUJKDBSA-N 1 2 318.373 1.589 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)c1cccc(C#N)c1)[NH+]1CCN(C)CC1 ZINC000360261105 267160430 /nfs/dbraw/zinc/16/04/30/267160430.db2.gz KNUMXWHMAWSORK-CABCVRRESA-N 1 2 314.433 1.414 20 30 DDEDLO C[C@H]1CCS(=O)(=O)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000375284993 267221182 /nfs/dbraw/zinc/22/11/82/267221182.db2.gz YANSPKDZMDMROF-LBPRGKRZSA-N 1 2 318.402 1.215 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCCC[C@@H]2[NH+]2CCOCC2)s1 ZINC000532174808 268202038 /nfs/dbraw/zinc/20/20/38/268202038.db2.gz CUPPNAOENVVYBY-KGLIPLIRSA-N 1 2 319.430 1.993 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CC[NH+](Cc3cccnc3)CC2)cn1 ZINC000527814929 268216122 /nfs/dbraw/zinc/21/61/22/268216122.db2.gz ZIIZYYAKFZHVLP-UHFFFAOYSA-N 1 2 321.384 1.235 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@]3(C2)NC(=O)NC3=O)c(Cl)c1 ZINC000517484786 268219021 /nfs/dbraw/zinc/21/90/21/268219021.db2.gz VAEKSYYJOGBBQX-HNNXBMFYSA-N 1 2 318.764 1.386 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@]3(C2)NC(=O)NC3=O)c(Cl)c1 ZINC000517484786 268219023 /nfs/dbraw/zinc/21/90/23/268219023.db2.gz VAEKSYYJOGBBQX-HNNXBMFYSA-N 1 2 318.764 1.386 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCN3C(=O)OCC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000496212876 268221291 /nfs/dbraw/zinc/22/12/91/268221291.db2.gz AIRRNYBGZFOOQX-CYBMUJFWSA-N 1 2 316.317 1.493 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCN3C(=O)OCC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000496212876 268221292 /nfs/dbraw/zinc/22/12/92/268221292.db2.gz AIRRNYBGZFOOQX-CYBMUJFWSA-N 1 2 316.317 1.493 20 30 DDEDLO N#Cc1ncccc1NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000377414248 268327647 /nfs/dbraw/zinc/32/76/47/268327647.db2.gz UKWTYIMRGAPZMS-MRXNPFEDSA-N 1 2 302.378 1.247 20 30 DDEDLO CCN1CC[N@H+](CCOc2cccc(C#N)c2)C(C)(C)C1=O ZINC000186532560 276195428 /nfs/dbraw/zinc/19/54/28/276195428.db2.gz DQEBSBDWPCLKMF-UHFFFAOYSA-N 1 2 301.390 1.880 20 30 DDEDLO CCN1CC[N@@H+](CCOc2cccc(C#N)c2)C(C)(C)C1=O ZINC000186532560 276195431 /nfs/dbraw/zinc/19/54/31/276195431.db2.gz DQEBSBDWPCLKMF-UHFFFAOYSA-N 1 2 301.390 1.880 20 30 DDEDLO CC(C)(C)N1C[C@H](C(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)CC1=O ZINC000328979501 277158486 /nfs/dbraw/zinc/15/84/86/277158486.db2.gz XLXRMCRKPJUGIY-GHMZBOCLSA-N 1 2 304.394 1.871 20 30 DDEDLO CC(C)(C)N1C[C@H](C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)CC1=O ZINC000328979501 277158488 /nfs/dbraw/zinc/15/84/88/277158488.db2.gz XLXRMCRKPJUGIY-GHMZBOCLSA-N 1 2 304.394 1.871 20 30 DDEDLO O=C1CO[C@H]2CC[N@@H+](CC#Cc3ccc(Cl)cc3)C[C@@H]2N1 ZINC000366795644 280266925 /nfs/dbraw/zinc/26/69/25/280266925.db2.gz IBYQCHSDCDIXIO-GJZGRUSLSA-N 1 2 304.777 1.281 20 30 DDEDLO O=C1CO[C@H]2CC[N@H+](CC#Cc3ccc(Cl)cc3)C[C@@H]2N1 ZINC000366795644 280266927 /nfs/dbraw/zinc/26/69/27/280266927.db2.gz IBYQCHSDCDIXIO-GJZGRUSLSA-N 1 2 304.777 1.281 20 30 DDEDLO CC[C@@H](C)NC(=O)CN1CC[NH+](Cc2cc(C#N)cs2)CC1 ZINC000129132088 281333127 /nfs/dbraw/zinc/33/31/27/281333127.db2.gz FRTBWZKDZXMUPX-CYBMUJFWSA-N 1 2 320.462 1.652 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000346265030 289341373 /nfs/dbraw/zinc/34/13/73/289341373.db2.gz QRTXAAHPNMRSDH-ZWNOBZJWSA-N 1 2 319.327 1.327 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000346265030 289341376 /nfs/dbraw/zinc/34/13/76/289341376.db2.gz QRTXAAHPNMRSDH-ZWNOBZJWSA-N 1 2 319.327 1.327 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H](C)Nc2cc[nH+]c(C)n2)c1 ZINC001120614123 782055381 /nfs/dbraw/zinc/05/53/81/782055381.db2.gz YUZBTRSHPAQZTG-GFCCVEGCSA-N 1 2 309.373 1.734 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[NH+](C3CC3)CC2)c1C#N ZINC000132500901 302010398 /nfs/dbraw/zinc/01/03/98/302010398.db2.gz DRPUFOHJLSTFBD-UHFFFAOYSA-N 1 2 305.403 1.335 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000547991460 303567038 /nfs/dbraw/zinc/56/70/38/303567038.db2.gz HESGFQFYRANDMW-GFCCVEGCSA-N 1 2 311.345 1.900 20 30 DDEDLO N#Cc1ccc(Cl)c(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC000368609362 307106147 /nfs/dbraw/zinc/10/61/47/307106147.db2.gz XQYJQKWHCBCDRG-CYBMUJFWSA-N 1 2 319.792 1.758 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000548295641 307762814 /nfs/dbraw/zinc/76/28/14/307762814.db2.gz GSTJXHDGQGNHQK-LBPRGKRZSA-N 1 2 302.359 1.048 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(c2ccncc2C#N)CC1 ZINC000548490872 307772328 /nfs/dbraw/zinc/77/23/28/307772328.db2.gz MIHMFCOTLRBHLY-AWEZNQCLSA-N 1 2 313.405 1.086 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCO[C@@H](c2ccccc2F)C1 ZINC000092795827 332130974 /nfs/dbraw/zinc/13/09/74/332130974.db2.gz ORYYHTBXPCWWMC-MRXNPFEDSA-N 1 2 319.380 1.961 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCO[C@@H](c2ccccc2F)C1 ZINC000092795827 332130976 /nfs/dbraw/zinc/13/09/76/332130976.db2.gz ORYYHTBXPCWWMC-MRXNPFEDSA-N 1 2 319.380 1.961 20 30 DDEDLO Cc1cccc(C)c1OCCO[NH+]=C(N)CN1CCOCC1 ZINC000111181744 332301962 /nfs/dbraw/zinc/30/19/62/332301962.db2.gz DDLQIOFQKDGVIB-UHFFFAOYSA-N 1 2 307.394 1.303 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)[C@]2(C#N)C[C@H]2C)nc[nH+]1 ZINC000560178694 332317211 /nfs/dbraw/zinc/31/72/11/332317211.db2.gz UEBWVMVBVXMXBE-HSMVNMDESA-N 1 2 314.393 1.153 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)[C@]2(C#N)C[C@H]2C)[nH+]cn1 ZINC000560178694 332317213 /nfs/dbraw/zinc/31/72/13/332317213.db2.gz UEBWVMVBVXMXBE-HSMVNMDESA-N 1 2 314.393 1.153 20 30 DDEDLO CCCn1cc(C[NH+]2CCN(c3cnccc3C#N)CC2)cn1 ZINC000584327940 333034206 /nfs/dbraw/zinc/03/42/06/333034206.db2.gz CGPLGWCTYYSUGQ-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCO[C@@]2(CCSC2)C1 ZINC000563885524 335124065 /nfs/dbraw/zinc/12/40/65/335124065.db2.gz QHOXNPATHCVRNF-WFASDCNBSA-N 1 2 309.435 1.145 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCO[C@@]2(CCSC2)C1 ZINC000563885524 335124067 /nfs/dbraw/zinc/12/40/67/335124067.db2.gz QHOXNPATHCVRNF-WFASDCNBSA-N 1 2 309.435 1.145 20 30 DDEDLO COC(=O)Nc1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000497229894 333225284 /nfs/dbraw/zinc/22/52/84/333225284.db2.gz QZWOGIAGFVZWQI-ZDUSSCGKSA-N 1 2 307.350 1.450 20 30 DDEDLO COC(=O)Nc1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000497229894 333225285 /nfs/dbraw/zinc/22/52/85/333225285.db2.gz QZWOGIAGFVZWQI-ZDUSSCGKSA-N 1 2 307.350 1.450 20 30 DDEDLO CC(=O)N1CC(C(=O)N[C@@H]2CCc3[nH+]c(C(C)(C)C)cn3C2)C1 ZINC000328676418 334747577 /nfs/dbraw/zinc/74/75/77/334747577.db2.gz RRRYMBKUDIZSAP-CYBMUJFWSA-N 1 2 318.421 1.930 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2cccc(C#N)n2)CCO1 ZINC000583811401 337336384 /nfs/dbraw/zinc/33/63/84/337336384.db2.gz YWYLYFCILBFWCK-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2cccc(C#N)n2)CCO1 ZINC000583811401 337336385 /nfs/dbraw/zinc/33/63/85/337336385.db2.gz YWYLYFCILBFWCK-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnn(Cc2ccccc2)c1 ZINC000572125003 337591816 /nfs/dbraw/zinc/59/18/16/337591816.db2.gz LTQZIBCWMHBKDV-KRWDZBQOSA-N 1 2 311.389 1.505 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@@H]1COC(=O)NC1CCCCC1 ZINC000497069045 340019599 /nfs/dbraw/zinc/01/95/99/340019599.db2.gz BZVUWXHDPHIYNP-CQSZACIVSA-N 1 2 322.409 1.149 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@@H]1COC(=O)NC1CCCCC1 ZINC000497069045 340019600 /nfs/dbraw/zinc/01/96/00/340019600.db2.gz BZVUWXHDPHIYNP-CQSZACIVSA-N 1 2 322.409 1.149 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CCN(S(=O)(=O)CC)CC2)c1 ZINC000127229352 340847120 /nfs/dbraw/zinc/84/71/20/340847120.db2.gz SECPYXIXSSEDJK-UHFFFAOYSA-N 1 2 324.446 1.719 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)C(=O)NC2(C#N)CCCCC2)o1 ZINC000542615417 341015164 /nfs/dbraw/zinc/01/51/64/341015164.db2.gz DQIIPRSVDQRZIM-WDEREUQCSA-N 1 2 305.382 1.760 20 30 DDEDLO C#CCNC(=O)NCc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1 ZINC000077668415 341228585 /nfs/dbraw/zinc/22/85/85/341228585.db2.gz FSHKSQDQQRJHFK-CHWSQXEVSA-N 1 2 302.378 1.128 20 30 DDEDLO COC(=O)c1cc([C@H](C)[NH+]2CCN(C(=O)CC#N)CC2)oc1C ZINC000568175622 341656533 /nfs/dbraw/zinc/65/65/33/341656533.db2.gz CKKGLXXHQVNQSC-NSHDSACASA-N 1 2 319.361 1.494 20 30 DDEDLO COc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)ccc1[N+](=O)[O-] ZINC000576587259 341853917 /nfs/dbraw/zinc/85/39/17/341853917.db2.gz HBUBHZQWLFQSER-AWEZNQCLSA-N 1 2 306.322 1.177 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCCc1nc(C(C)(C)C)no1 ZINC000576587218 341853997 /nfs/dbraw/zinc/85/39/97/341853997.db2.gz GVZYSVNQRSNDJI-INIZCTEOSA-N 1 2 321.425 1.650 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCCOc1ccc(F)cc1 ZINC000575183976 484349430 /nfs/dbraw/zinc/34/94/30/484349430.db2.gz RGTUNTKULUEZFX-MRXNPFEDSA-N 1 2 307.369 1.945 20 30 DDEDLO C=CCOCCCC(=O)NC[C@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000673464803 485414938 /nfs/dbraw/zinc/41/49/38/485414938.db2.gz FOVJPQYMYITSQC-CQSZACIVSA-N 1 2 322.409 1.381 20 30 DDEDLO C=CCOCCCC(=O)NC[C@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000673464803 485414940 /nfs/dbraw/zinc/41/49/40/485414940.db2.gz FOVJPQYMYITSQC-CQSZACIVSA-N 1 2 322.409 1.381 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccnc(C(C)(C)C)n2)CC1 ZINC000674061484 485527407 /nfs/dbraw/zinc/52/74/07/485527407.db2.gz DLKWWZNLVJGYAT-UHFFFAOYSA-N 1 2 317.437 1.198 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000680386831 486172413 /nfs/dbraw/zinc/17/24/13/486172413.db2.gz XSWGZGIKOQAXDC-AWEZNQCLSA-N 1 2 302.378 1.421 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+][C@H](C)c1nnc2n1CCCCC2 ZINC000680832535 486276952 /nfs/dbraw/zinc/27/69/52/486276952.db2.gz CTCIEAVADJMAJQ-CQSZACIVSA-N 1 2 317.437 1.856 20 30 DDEDLO Cc1cc(N2CC[C@H](S(=O)(=O)NC(C)C)C2)c(C#N)c[nH+]1 ZINC000425240324 533910599 /nfs/dbraw/zinc/91/05/99/533910599.db2.gz OSNXNCNJVYRQGH-ZDUSSCGKSA-N 1 2 308.407 1.168 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)CC[S@]1=O ZINC000330841309 533927054 /nfs/dbraw/zinc/92/70/54/533927054.db2.gz JRJLYHJBGMTXOG-FVINQWEUSA-N 1 2 311.432 1.401 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)CC[S@]1=O ZINC000330841309 533927057 /nfs/dbraw/zinc/92/70/57/533927057.db2.gz JRJLYHJBGMTXOG-FVINQWEUSA-N 1 2 311.432 1.401 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CC(=O)N[C@H]1CCCS(=O)(=O)C1 ZINC000329710604 534673241 /nfs/dbraw/zinc/67/32/41/534673241.db2.gz NTMWIXXDKNUMDE-LBPRGKRZSA-N 1 2 321.402 1.878 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N1CC[C@@]2(CCOC2)C1 ZINC000329646935 534757017 /nfs/dbraw/zinc/75/70/17/534757017.db2.gz AIFRGFBVCXCMGX-MRXNPFEDSA-N 1 2 304.394 1.746 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2ccccc2Cl)C1 ZINC000330941175 526402426 /nfs/dbraw/zinc/40/24/26/526402426.db2.gz FIHBMAVXJWCMAF-GHMZBOCLSA-N 1 2 324.812 1.875 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2ccccc2Cl)C1 ZINC000330941175 526402430 /nfs/dbraw/zinc/40/24/30/526402430.db2.gz FIHBMAVXJWCMAF-GHMZBOCLSA-N 1 2 324.812 1.875 20 30 DDEDLO CNC(=O)[C@]1(C)CCN(C([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000330268649 526407322 /nfs/dbraw/zinc/40/73/22/526407322.db2.gz IUDLXHGETMOPQN-IAQYHMDHSA-N 1 2 305.382 1.410 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N([C@@H](C)C2CC2)C2CC2)C1=O ZINC000337220929 526467546 /nfs/dbraw/zinc/46/75/46/526467546.db2.gz KYDISSBNZOCFMU-XJKSGUPXSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N([C@@H](C)C2CC2)C2CC2)C1=O ZINC000337220929 526467551 /nfs/dbraw/zinc/46/75/51/526467551.db2.gz KYDISSBNZOCFMU-XJKSGUPXSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000330550394 526522832 /nfs/dbraw/zinc/52/28/32/526522832.db2.gz DTXROUBHOQFOLX-OAGGEKHMSA-N 1 2 310.438 1.273 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000330550394 526522840 /nfs/dbraw/zinc/52/28/40/526522840.db2.gz DTXROUBHOQFOLX-OAGGEKHMSA-N 1 2 310.438 1.273 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000337477846 526525235 /nfs/dbraw/zinc/52/52/35/526525235.db2.gz ZGBRFCWRAJYLPU-AWEZNQCLSA-N 1 2 303.406 1.440 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000337477846 526525242 /nfs/dbraw/zinc/52/52/42/526525242.db2.gz ZGBRFCWRAJYLPU-AWEZNQCLSA-N 1 2 303.406 1.440 20 30 DDEDLO C=CCNC(=O)N1CCC[C@@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000353181656 526583401 /nfs/dbraw/zinc/58/34/01/526583401.db2.gz LSJUGUIRCRYUOA-CYBMUJFWSA-N 1 2 307.398 1.560 20 30 DDEDLO C=CCNC(=O)N1CCC[C@@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000353181656 526583405 /nfs/dbraw/zinc/58/34/05/526583405.db2.gz LSJUGUIRCRYUOA-CYBMUJFWSA-N 1 2 307.398 1.560 20 30 DDEDLO C=CCOCC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000347894756 526716584 /nfs/dbraw/zinc/71/65/84/526716584.db2.gz BVLCIFWGUONTEO-QGZVFWFLSA-N 1 2 318.417 1.249 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000346372065 526789377 /nfs/dbraw/zinc/78/93/77/526789377.db2.gz IJPMTYQHSYIBBB-LZWOXQAQSA-N 1 2 301.390 1.758 20 30 DDEDLO C=CCOc1cccc(NC(=O)C(=O)NCc2[nH+]ccn2C)c1 ZINC000348590547 526909018 /nfs/dbraw/zinc/90/90/18/526909018.db2.gz YGTGXQIYDGUZTE-UHFFFAOYSA-N 1 2 314.345 1.240 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(CCC#N)CCC(C)C)CC1 ZINC000490741559 526948748 /nfs/dbraw/zinc/94/87/48/526948748.db2.gz SQIQZSSVUIIGTR-UHFFFAOYSA-N 1 2 304.438 1.026 20 30 DDEDLO C#CCNC(=O)C1CCN(C(=O)c2ccn3c(C)c[nH+]c3c2)CC1 ZINC000491415124 526966901 /nfs/dbraw/zinc/96/69/01/526966901.db2.gz GOORZPSLBKFOEH-UHFFFAOYSA-N 1 2 324.384 1.244 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](C(COC)COC)CC2)CCCC1 ZINC000412445116 527043073 /nfs/dbraw/zinc/04/30/73/527043073.db2.gz LZWJYUBERSFKJQ-UHFFFAOYSA-N 1 2 324.465 1.929 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)NCc1cccc(C#N)c1 ZINC000413096248 527085373 /nfs/dbraw/zinc/08/53/73/527085373.db2.gz BAAVTEJHPDIYAX-UHFFFAOYSA-N 1 2 316.405 1.468 20 30 DDEDLO CC(=O)c1ccc(C#N)cc1N[C@H](C)C[NH+]1CCN(C)CC1 ZINC000302113626 527233825 /nfs/dbraw/zinc/23/38/25/527233825.db2.gz QQISPHJMTSJBFF-CYBMUJFWSA-N 1 2 300.406 1.809 20 30 DDEDLO C=CCCCS(=O)(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000489115357 527241624 /nfs/dbraw/zinc/24/16/24/527241624.db2.gz KSICOWXNBJTIRS-UHFFFAOYSA-N 1 2 309.435 1.936 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000342255631 527302149 /nfs/dbraw/zinc/30/21/49/527302149.db2.gz NOGRRVZFSOACFA-CYBMUJFWSA-N 1 2 304.394 1.220 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@H](O)COc1ccc(OCC)cc1 ZINC000491681342 527311189 /nfs/dbraw/zinc/31/11/89/527311189.db2.gz MNOKZHVOHIJGNN-HUUCEWRRSA-N 1 2 305.374 1.159 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@H](O)COc1ccc(OCC)cc1 ZINC000491681342 527311194 /nfs/dbraw/zinc/31/11/94/527311194.db2.gz MNOKZHVOHIJGNN-HUUCEWRRSA-N 1 2 305.374 1.159 20 30 DDEDLO C=CCCN(C)C(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000342143398 527312101 /nfs/dbraw/zinc/31/21/01/527312101.db2.gz KRRLOBNUBLBQPL-ZDUSSCGKSA-N 1 2 319.409 1.129 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000491810565 527331588 /nfs/dbraw/zinc/33/15/88/527331588.db2.gz IXYKKJCQTSUOHG-JTQLQIEISA-N 1 2 316.340 1.002 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000491810565 527331597 /nfs/dbraw/zinc/33/15/97/527331597.db2.gz IXYKKJCQTSUOHG-JTQLQIEISA-N 1 2 316.340 1.002 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NCc1ccc(Cn2cc[nH+]c2)cc1 ZINC000358557137 527430684 /nfs/dbraw/zinc/43/06/84/527430684.db2.gz LONVVEIJDADXNJ-HNNXBMFYSA-N 1 2 300.362 1.278 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@H]1C ZINC000424533577 527461567 /nfs/dbraw/zinc/46/15/67/527461567.db2.gz QYTUODJSRMDOLX-FPCVCCKLSA-N 1 2 308.422 1.289 20 30 DDEDLO CC(C)C(=O)NC1CCN(C(=O)N[C@H](C)Cn2cc[nH+]c2)CC1 ZINC000330396718 527484268 /nfs/dbraw/zinc/48/42/68/527484268.db2.gz KPYNRAQEBKUNJD-CYBMUJFWSA-N 1 2 321.425 1.422 20 30 DDEDLO CC(C)C(=O)NC1CCN(C([O-])=[NH+][C@H](C)Cn2cc[nH+]c2)CC1 ZINC000330396718 527484270 /nfs/dbraw/zinc/48/42/70/527484270.db2.gz KPYNRAQEBKUNJD-CYBMUJFWSA-N 1 2 321.425 1.422 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@@H+]1CCc2ncsc2C1 ZINC000445853674 527938476 /nfs/dbraw/zinc/93/84/76/527938476.db2.gz KLQHCRDTEHMCIL-NHYWBVRUSA-N 1 2 306.435 1.944 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@H+]1CCc2ncsc2C1 ZINC000445853674 527938479 /nfs/dbraw/zinc/93/84/79/527938479.db2.gz KLQHCRDTEHMCIL-NHYWBVRUSA-N 1 2 306.435 1.944 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCc2ccc(O)cc2C1 ZINC000332736451 528623243 /nfs/dbraw/zinc/62/32/43/528623243.db2.gz YOMLEOALDCNCPB-ZDUSSCGKSA-N 1 2 301.390 1.758 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCc2ccc(O)cc2C1 ZINC000332736451 528623251 /nfs/dbraw/zinc/62/32/51/528623251.db2.gz YOMLEOALDCNCPB-ZDUSSCGKSA-N 1 2 301.390 1.758 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCCCc1[nH+]cccc1C ZINC000451807577 528641293 /nfs/dbraw/zinc/64/12/93/528641293.db2.gz GYWJESIMQANVBY-ZDUSSCGKSA-N 1 2 324.450 1.639 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000331477680 528853650 /nfs/dbraw/zinc/85/36/50/528853650.db2.gz MVELVMSVLODGHE-GKLJAUDBSA-N 1 2 307.438 1.929 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000331477680 528853651 /nfs/dbraw/zinc/85/36/51/528853651.db2.gz MVELVMSVLODGHE-GKLJAUDBSA-N 1 2 307.438 1.929 20 30 DDEDLO CC(C)[C@@](C)(O)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000331001789 528868050 /nfs/dbraw/zinc/86/80/50/528868050.db2.gz FVRFVORLWAQFQH-GOSISDBHSA-N 1 2 315.417 1.609 20 30 DDEDLO CCN1CCN(C(=O)Nc2ccnc(C)n2)C[C@H]1c1[nH]cc[nH+]1 ZINC000330279943 529136443 /nfs/dbraw/zinc/13/64/43/529136443.db2.gz RUKPOGXVAYCHSM-LBPRGKRZSA-N 1 2 315.381 1.432 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)NC[C@@H]2C[N@H+](C)CCO2)c1C ZINC000331102593 529290935 /nfs/dbraw/zinc/29/09/35/529290935.db2.gz DTERAWUZGCTQFI-WCQYABFASA-N 1 2 309.414 1.819 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)NC[C@@H]2C[N@@H+](C)CCO2)c1C ZINC000331102593 529290937 /nfs/dbraw/zinc/29/09/37/529290937.db2.gz DTERAWUZGCTQFI-WCQYABFASA-N 1 2 309.414 1.819 20 30 DDEDLO NC(CCO)=[NH+]OCc1coc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000121382489 696709099 /nfs/dbraw/zinc/70/90/99/696709099.db2.gz SKMHTJOGXZXVIM-UHFFFAOYSA-N 1 2 306.278 1.421 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)[C@H]2CCn3ccnc3C2)C1 ZINC000971822540 695103653 /nfs/dbraw/zinc/10/36/53/695103653.db2.gz WHAJWIIPBGWTAB-KBPBESRZSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)[C@H]2CCn3ccnc3C2)C1 ZINC000971822540 695103654 /nfs/dbraw/zinc/10/36/54/695103654.db2.gz WHAJWIIPBGWTAB-KBPBESRZSA-N 1 2 322.840 1.731 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]([N@H+](C)Cc3coc(C)n3)C2)c1 ZINC000972284134 695204575 /nfs/dbraw/zinc/20/45/75/695204575.db2.gz HNKOLNVXOPIIIA-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]([N@@H+](C)Cc3coc(C)n3)C2)c1 ZINC000972284134 695204576 /nfs/dbraw/zinc/20/45/76/695204576.db2.gz HNKOLNVXOPIIIA-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3oc(C)cc3C)C2)C1 ZINC000972323202 695215861 /nfs/dbraw/zinc/21/58/61/695215861.db2.gz IIKHTAJATSDEQS-GOSISDBHSA-N 1 2 316.401 1.837 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3oc(C)cc3C)C2)C1 ZINC000972323202 695215864 /nfs/dbraw/zinc/21/58/64/695215864.db2.gz IIKHTAJATSDEQS-GOSISDBHSA-N 1 2 316.401 1.837 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3[nH]ccc3C3CC3)C2)C1 ZINC000972404999 695241546 /nfs/dbraw/zinc/24/15/46/695241546.db2.gz KHCLXCQWGSRODT-GOSISDBHSA-N 1 2 313.401 1.442 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3[nH]ccc3C3CC3)C2)C1 ZINC000972404999 695241547 /nfs/dbraw/zinc/24/15/47/695241547.db2.gz KHCLXCQWGSRODT-GOSISDBHSA-N 1 2 313.401 1.442 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@]3(C2)C[N@H+](CC=C)CCO3)cc1 ZINC000972491936 695264381 /nfs/dbraw/zinc/26/43/81/695264381.db2.gz GBEYSQJKZDMTSD-LJQANCHMSA-N 1 2 310.397 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@]3(C2)C[N@@H+](CC=C)CCO3)cc1 ZINC000972491936 695264383 /nfs/dbraw/zinc/26/43/83/695264383.db2.gz GBEYSQJKZDMTSD-LJQANCHMSA-N 1 2 310.397 1.771 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C(F)F)CCC3)C2)C1 ZINC000972521301 695272357 /nfs/dbraw/zinc/27/23/57/695272357.db2.gz ZHCIJZBWTHGSKC-OAHLLOKOSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C(F)F)CCC3)C2)C1 ZINC000972521301 695272358 /nfs/dbraw/zinc/27/23/58/695272358.db2.gz ZHCIJZBWTHGSKC-OAHLLOKOSA-N 1 2 314.376 1.911 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3occc3CC)C2)C1 ZINC000972537495 695276224 /nfs/dbraw/zinc/27/62/24/695276224.db2.gz IJYRDYYZTYFDOV-SFHVURJKSA-N 1 2 316.401 1.782 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3occc3CC)C2)C1 ZINC000972537495 695276227 /nfs/dbraw/zinc/27/62/27/695276227.db2.gz IJYRDYYZTYFDOV-SFHVURJKSA-N 1 2 316.401 1.782 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3conc3CC)C2)C1 ZINC000972541163 695277109 /nfs/dbraw/zinc/27/71/09/695277109.db2.gz ZCZMOSLVPXWKGQ-QGZVFWFLSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3conc3CC)C2)C1 ZINC000972541163 695277110 /nfs/dbraw/zinc/27/71/10/695277110.db2.gz ZCZMOSLVPXWKGQ-QGZVFWFLSA-N 1 2 317.389 1.177 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCC3CCOCC3)C2)C1 ZINC000972583800 695290410 /nfs/dbraw/zinc/29/04/10/695290410.db2.gz XKJKNOGYBWCYBB-SFHVURJKSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCC3CCOCC3)C2)C1 ZINC000972583800 695290413 /nfs/dbraw/zinc/29/04/13/695290413.db2.gz XKJKNOGYBWCYBB-SFHVURJKSA-N 1 2 320.433 1.130 20 30 DDEDLO N#Cc1cccc(CNC(=O)CO[NH+]=C(N)c2ccccc2)c1 ZINC000746089961 700004331 /nfs/dbraw/zinc/00/43/31/700004331.db2.gz IOPGEWGPUDEDKY-UHFFFAOYSA-N 1 2 308.341 1.721 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000798390476 700061217 /nfs/dbraw/zinc/06/12/17/700061217.db2.gz PYABMJFNMOJSPR-MRXNPFEDSA-N 1 2 312.373 1.446 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(=O)c3ccccc3o2)C(C)(C)C1 ZINC000977572468 696218121 /nfs/dbraw/zinc/21/81/21/696218121.db2.gz JRGSDXBCGFNKIO-QGZVFWFLSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(=O)c3ccccc3o2)C(C)(C)C1 ZINC000977572468 696218125 /nfs/dbraw/zinc/21/81/25/696218125.db2.gz JRGSDXBCGFNKIO-QGZVFWFLSA-N 1 2 324.380 1.866 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+](CCS(C)(=O)=O)C2CC2)cc1 ZINC000093816436 696601843 /nfs/dbraw/zinc/60/18/43/696601843.db2.gz NSXPRCGPWZRHDA-ZDUSSCGKSA-N 1 2 322.430 1.986 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+](CCS(C)(=O)=O)C2CC2)cc1 ZINC000093816436 696601844 /nfs/dbraw/zinc/60/18/44/696601844.db2.gz NSXPRCGPWZRHDA-ZDUSSCGKSA-N 1 2 322.430 1.986 20 30 DDEDLO C[C@@H](C(=O)N[C@H](Cn1cc[nH+]c1)C(C)(C)C)n1cnc(C#N)n1 ZINC000798927345 700105733 /nfs/dbraw/zinc/10/57/33/700105733.db2.gz RQKCPIKUUFAFIG-NWDGAFQWSA-N 1 2 315.381 1.138 20 30 DDEDLO COc1ccc(NC(=O)CO[NH+]=C(N)Cc2cccnc2)cc1 ZINC000137648941 696858864 /nfs/dbraw/zinc/85/88/64/696858864.db2.gz HZZQRYIAPNGCIB-UHFFFAOYSA-N 1 2 314.345 1.560 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cnc(CC3CC3)s2)CC1 ZINC000981683092 696873511 /nfs/dbraw/zinc/87/35/11/696873511.db2.gz SMGQYUUWUMSRKP-UHFFFAOYSA-N 1 2 303.431 1.877 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cnc(CC3CC3)s2)CC1 ZINC000981683092 696873514 /nfs/dbraw/zinc/87/35/14/696873514.db2.gz SMGQYUUWUMSRKP-UHFFFAOYSA-N 1 2 303.431 1.877 20 30 DDEDLO COc1ncccc1C[N@@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC000980847944 696916130 /nfs/dbraw/zinc/91/61/30/696916130.db2.gz NCSJEXZLOWGVLK-ZDUSSCGKSA-N 1 2 302.378 1.284 20 30 DDEDLO COc1ncccc1C[N@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC000980847944 696916132 /nfs/dbraw/zinc/91/61/32/696916132.db2.gz NCSJEXZLOWGVLK-ZDUSSCGKSA-N 1 2 302.378 1.284 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cc2ccnc(OC)c2)CC1 ZINC000981962418 696986577 /nfs/dbraw/zinc/98/65/77/696986577.db2.gz MEXPAVHIAYTOTM-UHFFFAOYSA-N 1 2 323.824 1.920 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cc2ccnc(OC)c2)CC1 ZINC000981962418 696986580 /nfs/dbraw/zinc/98/65/80/696986580.db2.gz MEXPAVHIAYTOTM-UHFFFAOYSA-N 1 2 323.824 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@H]2c2cnn(C)c2)CC1 ZINC000982006612 697000421 /nfs/dbraw/zinc/00/04/21/697000421.db2.gz KMMVXZXHNWKPMH-LSDHHAIUSA-N 1 2 322.840 1.810 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2c2cnn(C)c2)CC1 ZINC000982006612 697000424 /nfs/dbraw/zinc/00/04/24/697000424.db2.gz KMMVXZXHNWKPMH-LSDHHAIUSA-N 1 2 322.840 1.810 20 30 DDEDLO CCn1nnc(C)c1C[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC000981125940 697011670 /nfs/dbraw/zinc/01/16/70/697011670.db2.gz MDSVTLTUMJZHGC-UHFFFAOYSA-N 1 2 315.421 1.054 20 30 DDEDLO CCn1nnc(C)c1C[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC000981125940 697011674 /nfs/dbraw/zinc/01/16/74/697011674.db2.gz MDSVTLTUMJZHGC-UHFFFAOYSA-N 1 2 315.421 1.054 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@@H+]([C@@H](C)C(N)=O)CC1 ZINC000981242127 697044125 /nfs/dbraw/zinc/04/41/25/697044125.db2.gz CPVYFVYLZPFFHK-JTQLQIEISA-N 1 2 301.818 1.173 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@H+]([C@@H](C)C(N)=O)CC1 ZINC000981242127 697044127 /nfs/dbraw/zinc/04/41/27/697044127.db2.gz CPVYFVYLZPFFHK-JTQLQIEISA-N 1 2 301.818 1.173 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+](CC)CCC(F)(F)F)CC1 ZINC000748814762 700136130 /nfs/dbraw/zinc/13/61/30/700136130.db2.gz FWYLFPAELVUCAA-UHFFFAOYSA-N 1 2 305.344 1.038 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+](CC)CCC(F)(F)F)CC1 ZINC000748814762 700136132 /nfs/dbraw/zinc/13/61/32/700136132.db2.gz FWYLFPAELVUCAA-UHFFFAOYSA-N 1 2 305.344 1.038 20 30 DDEDLO C=CCC[N@H+]1CCCN(C(=O)C2(S(C)(=O)=O)CCC2)CC1 ZINC000983427074 697262390 /nfs/dbraw/zinc/26/23/90/697262390.db2.gz AQJYNSNTBFBFRX-UHFFFAOYSA-N 1 2 314.451 1.064 20 30 DDEDLO C=CCC[N@@H+]1CCCN(C(=O)C2(S(C)(=O)=O)CCC2)CC1 ZINC000983427074 697262391 /nfs/dbraw/zinc/26/23/91/697262391.db2.gz AQJYNSNTBFBFRX-UHFFFAOYSA-N 1 2 314.451 1.064 20 30 DDEDLO Cn1c(CO[NH+]=C(N)Cc2cccnc2)nnc1C1CCC1 ZINC000181455256 697461073 /nfs/dbraw/zinc/46/10/73/697461073.db2.gz DWOPLADXKJDUIN-UHFFFAOYSA-N 1 2 300.366 1.509 20 30 DDEDLO C#C[C@H](NC(=O)c1cccc(Cn2cc[nH+]c2)c1)[C@H]1CCCO1 ZINC000772887385 697689242 /nfs/dbraw/zinc/68/92/42/697689242.db2.gz WHECDSRMICCJDM-DLBZAZTESA-N 1 2 309.369 1.842 20 30 DDEDLO C#C[C@H](NC(=O)NCCc1cn2c([nH+]1)CCCC2)[C@@H]1CCCO1 ZINC000773179682 697725073 /nfs/dbraw/zinc/72/50/73/697725073.db2.gz JMHSJUCCWGGADU-GJZGRUSLSA-N 1 2 316.405 1.242 20 30 DDEDLO C#C[C@H](NC(=O)NCc1ccccc1-n1cc[nH+]c1)[C@H]1CCCO1 ZINC000773232785 697731811 /nfs/dbraw/zinc/73/18/11/697731811.db2.gz FOAQRIHBINTLHG-DOTOQJQBSA-N 1 2 324.384 1.852 20 30 DDEDLO COC(=O)c1cccc(CO[NH+]=C(N)c2ccc(OC)cc2)n1 ZINC000774813211 697931695 /nfs/dbraw/zinc/93/16/95/697931695.db2.gz CONBRZKSDGJGJA-UHFFFAOYSA-N 1 2 315.329 1.714 20 30 DDEDLO C#C[C@H](NC(=O)Nc1ccc(C)[nH+]c1N(C)C)[C@@H]1CCCO1 ZINC000775682991 698022944 /nfs/dbraw/zinc/02/29/44/698022944.db2.gz NNFRIHSIOZOHJC-JSGCOSHPSA-N 1 2 302.378 1.758 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000780634336 698541054 /nfs/dbraw/zinc/54/10/54/698541054.db2.gz MXYGKGGDDAQMNP-KRWDZBQOSA-N 1 2 322.449 1.520 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)NCCCCNc2cccc[nH+]2)cc1 ZINC000780931502 698572804 /nfs/dbraw/zinc/57/28/04/698572804.db2.gz KNRDIEXFMREPBF-QGZVFWFLSA-N 1 2 324.384 1.995 20 30 DDEDLO C=CCN(C(=O)C(=O)NCCCNc1cccc[nH+]1)C(C)C ZINC000788643101 699330176 /nfs/dbraw/zinc/33/01/76/699330176.db2.gz GEEMTPUBZKXJJK-UHFFFAOYSA-N 1 2 304.394 1.423 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000726258729 699358998 /nfs/dbraw/zinc/35/89/98/699358998.db2.gz XJIHHYHTZMACDU-ZDUSSCGKSA-N 1 2 302.378 1.078 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000726258729 699359001 /nfs/dbraw/zinc/35/90/01/699359001.db2.gz XJIHHYHTZMACDU-ZDUSSCGKSA-N 1 2 302.378 1.078 20 30 DDEDLO COCCOc1cc2c(cc1C=NNc1[nH+]ccn1C)OCO2 ZINC000790299296 699452617 /nfs/dbraw/zinc/45/26/17/699452617.db2.gz BBGGKNFZEVHQNJ-UHFFFAOYSA-N 1 2 318.333 1.620 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)COc1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000729445408 699479252 /nfs/dbraw/zinc/47/92/52/699479252.db2.gz OCKCGAFOKPZEQD-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCOc2ccc(F)cc21 ZINC000731834447 699537596 /nfs/dbraw/zinc/53/75/96/699537596.db2.gz CFOYGPHAFVCUNM-CABCVRRESA-N 1 2 302.349 1.863 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCOc2ccc(F)cc21 ZINC000731834447 699537597 /nfs/dbraw/zinc/53/75/97/699537597.db2.gz CFOYGPHAFVCUNM-CABCVRRESA-N 1 2 302.349 1.863 20 30 DDEDLO C#CCOc1ccccc1C[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000734506086 699653028 /nfs/dbraw/zinc/65/30/28/699653028.db2.gz BSHZMEYFALSFCG-SFHVURJKSA-N 1 2 319.364 1.886 20 30 DDEDLO CC[N@H+](CCC(=O)OC)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793498146 699761270 /nfs/dbraw/zinc/76/12/70/699761270.db2.gz KNTRYZNEARKJQE-UHFFFAOYSA-N 1 2 317.389 1.441 20 30 DDEDLO CC[N@@H+](CCC(=O)OC)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793498146 699761272 /nfs/dbraw/zinc/76/12/72/699761272.db2.gz KNTRYZNEARKJQE-UHFFFAOYSA-N 1 2 317.389 1.441 20 30 DDEDLO C=CCNC(=O)[C@H](C)OC(=O)[C@@H](c1ccccc1)[N@@H+](C)CC ZINC000741150404 699817843 /nfs/dbraw/zinc/81/78/43/699817843.db2.gz FLRIHDQMWKJHGX-DZGCQCFKSA-N 1 2 304.390 1.913 20 30 DDEDLO C=CCNC(=O)[C@H](C)OC(=O)[C@@H](c1ccccc1)[N@H+](C)CC ZINC000741150404 699817846 /nfs/dbraw/zinc/81/78/46/699817846.db2.gz FLRIHDQMWKJHGX-DZGCQCFKSA-N 1 2 304.390 1.913 20 30 DDEDLO C[C@@H](OC(=O)[C@H]1CCCC[N@@H+]1C)C(=O)Nc1ccccc1C#N ZINC000741147552 699818135 /nfs/dbraw/zinc/81/81/35/699818135.db2.gz VLNOWXRDBFSDAZ-IUODEOHRSA-N 1 2 315.373 1.913 20 30 DDEDLO C[C@@H](OC(=O)[C@H]1CCCC[N@H+]1C)C(=O)Nc1ccccc1C#N ZINC000741147552 699818137 /nfs/dbraw/zinc/81/81/37/699818137.db2.gz VLNOWXRDBFSDAZ-IUODEOHRSA-N 1 2 315.373 1.913 20 30 DDEDLO NC(=NOCCCCF)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000795206663 699851631 /nfs/dbraw/zinc/85/16/31/699851631.db2.gz YKHNQWKZSYOSMT-UHFFFAOYSA-N 1 2 309.385 1.905 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+]CC(=O)NCC(F)F ZINC000795595655 699877788 /nfs/dbraw/zinc/87/77/88/699877788.db2.gz XPQBOIDEALZPMA-UHFFFAOYSA-N 1 2 316.735 1.823 20 30 DDEDLO CC#CC(=O)NCc1ccccc1OCC[NH+]1CCOCC1 ZINC000801141947 700271594 /nfs/dbraw/zinc/27/15/94/700271594.db2.gz YSGGODCVJKENEL-UHFFFAOYSA-N 1 2 302.374 1.037 20 30 DDEDLO C#CCNC(=O)C[NH2+][C@@H](C)c1nc(C)c(C(=O)OCC)s1 ZINC000755019084 700545156 /nfs/dbraw/zinc/54/51/56/700545156.db2.gz IBYPPVLXUYYIRG-JTQLQIEISA-N 1 2 309.391 1.028 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000756050045 700600829 /nfs/dbraw/zinc/60/08/29/700600829.db2.gz ZGBHLOTZRXQVNZ-ODIUBACGSA-N 1 2 318.442 1.699 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000756050045 700600831 /nfs/dbraw/zinc/60/08/31/700600831.db2.gz ZGBHLOTZRXQVNZ-ODIUBACGSA-N 1 2 318.442 1.699 20 30 DDEDLO C#CC[C@@H](NC(=O)NCC[N@H+]1CCOC[C@H]1C)c1ccccc1 ZINC000757035196 700653384 /nfs/dbraw/zinc/65/33/84/700653384.db2.gz PYCPSSGDRCOFFH-NVXWUHKLSA-N 1 2 315.417 1.771 20 30 DDEDLO C#CC[C@@H](NC(=O)NCC[N@@H+]1CCOC[C@H]1C)c1ccccc1 ZINC000757035196 700653386 /nfs/dbraw/zinc/65/33/86/700653386.db2.gz PYCPSSGDRCOFFH-NVXWUHKLSA-N 1 2 315.417 1.771 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[NH2+]Cc2cc(C(N)=O)no2)cc1 ZINC000757905189 700675723 /nfs/dbraw/zinc/67/57/23/700675723.db2.gz GNILTAIZUJLJCL-SNVBAGLBSA-N 1 2 300.318 1.354 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2cccc(F)c2C#N)C(C)(C)C1 ZINC000766858624 701066609 /nfs/dbraw/zinc/06/66/09/701066609.db2.gz DZTIEIXAKFMTPD-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2cccc(F)c2C#N)C(C)(C)C1 ZINC000766858624 701066610 /nfs/dbraw/zinc/06/66/10/701066610.db2.gz DZTIEIXAKFMTPD-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)OC(=O)[C@H]1C[N@H+](CC)CCO1 ZINC000805469299 701383645 /nfs/dbraw/zinc/38/36/45/701383645.db2.gz DPKPMGRMUDXRRP-ZIAGYGMSSA-N 1 2 312.410 1.063 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)OC(=O)[C@H]1C[N@@H+](CC)CCO1 ZINC000805469299 701383646 /nfs/dbraw/zinc/38/36/46/701383646.db2.gz DPKPMGRMUDXRRP-ZIAGYGMSSA-N 1 2 312.410 1.063 20 30 DDEDLO Oc1cc(F)c(Cl)cc1C=NNCCC[NH+]1CCOCC1 ZINC000814923159 701771744 /nfs/dbraw/zinc/77/17/44/701771744.db2.gz ABDPCKYFZXOSEN-UHFFFAOYSA-N 1 2 315.776 1.831 20 30 DDEDLO CC(=[NH+]Nc1cnnn1C)c1ccc(N2CCCC2)cc1O ZINC000814980513 701779134 /nfs/dbraw/zinc/77/91/34/701779134.db2.gz DDACLPFNUVMJKG-UHFFFAOYSA-N 1 2 300.366 1.957 20 30 DDEDLO CO[C@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C[C@@H]1C ZINC000840127091 701984252 /nfs/dbraw/zinc/98/42/52/701984252.db2.gz XCAMETVWLCAKES-GUYCJALGSA-N 1 2 315.417 1.913 20 30 DDEDLO CO[C@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C[C@@H]1C ZINC000840127091 701984258 /nfs/dbraw/zinc/98/42/58/701984258.db2.gz XCAMETVWLCAKES-GUYCJALGSA-N 1 2 315.417 1.913 20 30 DDEDLO CCc1ccc([C@@H](C#N)NC(=O)/C=C/C[NH+]2CCOCC2)cc1 ZINC000868356662 702081208 /nfs/dbraw/zinc/08/12/08/702081208.db2.gz CMJPENIWTZBAPL-REMYHPOOSA-N 1 2 313.401 1.818 20 30 DDEDLO Cc1ccc(C(=O)NC(C)(C)C[NH+]2CCOCC2)cc1C#N ZINC000840521925 702139535 /nfs/dbraw/zinc/13/95/35/702139535.db2.gz GBHAXMJVODLEJR-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO CNC(=S)N(C)[NH+]=C(C)c1ccc(N2CCOCC2)cc1 ZINC000841648471 702517267 /nfs/dbraw/zinc/51/72/67/702517267.db2.gz KNDAQIYPAHUIHV-UHFFFAOYSA-N 1 2 306.435 1.683 20 30 DDEDLO CCS(=O)(=O)C1(C[NH2+][C@@H](C)c2cccc(C#N)c2O)CC1 ZINC000866392243 706688100 /nfs/dbraw/zinc/68/81/00/706688100.db2.gz AMIBQEMNRXJCSE-NSHDSACASA-N 1 2 308.403 1.882 20 30 DDEDLO C#CC[C@H]1CCC[N@@H+](Cn2cc(C)n(-c3cc(C)on3)c2=O)C1 ZINC000842630188 702745909 /nfs/dbraw/zinc/74/59/09/702745909.db2.gz ZLUHKGICELFCFL-HNNXBMFYSA-N 1 2 314.389 1.937 20 30 DDEDLO C#CC[C@H]1CCC[N@H+](Cn2cc(C)n(-c3cc(C)on3)c2=O)C1 ZINC000842630188 702745910 /nfs/dbraw/zinc/74/59/10/702745910.db2.gz ZLUHKGICELFCFL-HNNXBMFYSA-N 1 2 314.389 1.937 20 30 DDEDLO CC(C)(C)OC(=O)C(=O)N1CC[NH+]([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC000844340300 703017560 /nfs/dbraw/zinc/01/75/60/703017560.db2.gz BBUBNQYWHIWUOI-CHWSQXEVSA-N 1 2 307.394 1.165 20 30 DDEDLO CS(=O)(=O)c1cccc(C=NNCCCn2cc[nH+]c2)c1 ZINC000848416780 703547914 /nfs/dbraw/zinc/54/79/14/703547914.db2.gz XVKYKTXLGQDSKE-UHFFFAOYSA-N 1 2 306.391 1.300 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N2CC[C@](C)(C#N)C2)c(N(C)C)[nH+]1 ZINC000850700936 703745388 /nfs/dbraw/zinc/74/53/88/703745388.db2.gz AEZQPWBPRUDZLP-MRXNPFEDSA-N 1 2 315.377 1.157 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@@H](O)c2ccccn2)n1 ZINC000851996205 703900115 /nfs/dbraw/zinc/90/01/15/703900115.db2.gz KEFFZPJFGHOPTR-QGZVFWFLSA-N 1 2 314.389 1.093 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@@H](O)c2ccccn2)n1 ZINC000851996205 703900116 /nfs/dbraw/zinc/90/01/16/703900116.db2.gz KEFFZPJFGHOPTR-QGZVFWFLSA-N 1 2 314.389 1.093 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH2+][C@H](c2cccc(OC)c2)C1 ZINC000870153730 703934986 /nfs/dbraw/zinc/93/49/86/703934986.db2.gz XGNSUVKHXKAGDR-DOTOQJQBSA-N 1 2 304.390 1.495 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000870158641 703938035 /nfs/dbraw/zinc/93/80/35/703938035.db2.gz NAVUFPAOECIMHG-OAHLLOKOSA-N 1 2 316.401 1.378 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852331856 704014444 /nfs/dbraw/zinc/01/44/44/704014444.db2.gz MMJJTIIWBYTDFC-WMZOPIPTSA-N 1 2 314.433 1.659 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)c1ccccc1 ZINC000852392509 704032573 /nfs/dbraw/zinc/03/25/73/704032573.db2.gz MTFZVEWAWNIYDO-IAGOWNOFSA-N 1 2 313.401 1.477 20 30 DDEDLO CCOC(=O)C[C@@H](CC#N)OC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000870617829 704087749 /nfs/dbraw/zinc/08/77/49/704087749.db2.gz WOSLHOYWLLQTSM-CYBMUJFWSA-N 1 2 319.361 1.541 20 30 DDEDLO N#CCn1c(C[N@@H+]2CCC3(COC3)C2)nc2ccccc2c1=O ZINC000852683647 704099523 /nfs/dbraw/zinc/09/95/23/704099523.db2.gz OCFUGFDPCXMKFP-UHFFFAOYSA-N 1 2 310.357 1.142 20 30 DDEDLO N#CCn1c(C[N@H+]2CCC3(COC3)C2)nc2ccccc2c1=O ZINC000852683647 704099525 /nfs/dbraw/zinc/09/95/25/704099525.db2.gz OCFUGFDPCXMKFP-UHFFFAOYSA-N 1 2 310.357 1.142 20 30 DDEDLO C#CCC[N@@H+](CCOC)Cc1nc(-c2cc(OC)ncn2)no1 ZINC000852749469 704113232 /nfs/dbraw/zinc/11/32/32/704113232.db2.gz ZJMCRMHHUAVTSQ-UHFFFAOYSA-N 1 2 317.349 1.007 20 30 DDEDLO C#CCC[N@H+](CCOC)Cc1nc(-c2cc(OC)ncn2)no1 ZINC000852749469 704113235 /nfs/dbraw/zinc/11/32/35/704113235.db2.gz ZJMCRMHHUAVTSQ-UHFFFAOYSA-N 1 2 317.349 1.007 20 30 DDEDLO C#CCC[N@@H+](CCOC)Cc1nc2ccccc2c(=O)n1CC#N ZINC000852752432 704114078 /nfs/dbraw/zinc/11/40/78/704114078.db2.gz YJJGQPLHCNRDMT-UHFFFAOYSA-N 1 2 324.384 1.392 20 30 DDEDLO C#CCC[N@H+](CCOC)Cc1nc2ccccc2c(=O)n1CC#N ZINC000852752432 704114081 /nfs/dbraw/zinc/11/40/81/704114081.db2.gz YJJGQPLHCNRDMT-UHFFFAOYSA-N 1 2 324.384 1.392 20 30 DDEDLO CO[C@H]1Cc2ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc2C1 ZINC000819469828 704130631 /nfs/dbraw/zinc/13/06/31/704130631.db2.gz KPDXLDWIZZXIQW-IRXDYDNUSA-N 1 2 303.406 1.418 20 30 DDEDLO CO[C@H]1Cc2ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc2C1 ZINC000819469828 704130634 /nfs/dbraw/zinc/13/06/34/704130634.db2.gz KPDXLDWIZZXIQW-IRXDYDNUSA-N 1 2 303.406 1.418 20 30 DDEDLO N#Cc1c2c(cn(C[N@@H+]3CCC[C@@H](n4ccnn4)C3)c1=O)CCC2 ZINC000819897629 704183462 /nfs/dbraw/zinc/18/34/62/704183462.db2.gz SGZTYFCNFFGLEA-CQSZACIVSA-N 1 2 324.388 1.095 20 30 DDEDLO N#Cc1c2c(cn(C[N@H+]3CCC[C@@H](n4ccnn4)C3)c1=O)CCC2 ZINC000819897629 704183464 /nfs/dbraw/zinc/18/34/64/704183464.db2.gz SGZTYFCNFFGLEA-CQSZACIVSA-N 1 2 324.388 1.095 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)NC2(CCCCCC2)C1=O ZINC000853619771 704287018 /nfs/dbraw/zinc/28/70/18/704287018.db2.gz KGHZOSOHSURTBP-UHFFFAOYSA-N 1 2 321.421 1.560 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)NC2(CCCCCC2)C1=O ZINC000853619771 704287020 /nfs/dbraw/zinc/28/70/20/704287020.db2.gz KGHZOSOHSURTBP-UHFFFAOYSA-N 1 2 321.421 1.560 20 30 DDEDLO C#C[C@H](NC(=O)N(C)CC[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000820494426 704289965 /nfs/dbraw/zinc/28/99/65/704289965.db2.gz GPYPOPXLRRNCLR-INIZCTEOSA-N 1 2 319.380 1.474 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2)c1ccccc1 ZINC000820583669 704304835 /nfs/dbraw/zinc/30/48/35/704304835.db2.gz KMLMLBMWTDPLRK-IXDOHACOSA-N 1 2 313.401 1.523 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2)c1ccccc1 ZINC000820583669 704304838 /nfs/dbraw/zinc/30/48/38/704304838.db2.gz KMLMLBMWTDPLRK-IXDOHACOSA-N 1 2 313.401 1.523 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NC[C@@]1(OCCO)CCOC1 ZINC000853798400 704316706 /nfs/dbraw/zinc/31/67/06/704316706.db2.gz DTAWGXNMPPQPRC-KRWDZBQOSA-N 1 2 320.389 1.454 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000821703695 704431158 /nfs/dbraw/zinc/43/11/58/704431158.db2.gz GMQVIADCTSKOLQ-OKILXGFUSA-N 1 2 315.373 1.160 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000821703695 704431159 /nfs/dbraw/zinc/43/11/59/704431159.db2.gz GMQVIADCTSKOLQ-OKILXGFUSA-N 1 2 315.373 1.160 20 30 DDEDLO C[S@@](=N)(=O)N1CC[NH+](CCCOc2cccc(C#N)c2)CC1 ZINC000879944695 706829159 /nfs/dbraw/zinc/82/91/59/706829159.db2.gz VRBOJANEJZPCRP-QFIPXVFZSA-N 1 2 322.434 1.536 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H](C#N)[C@H](Nc2cc[nH+]c(CO)c2)C1 ZINC000858481742 704716738 /nfs/dbraw/zinc/71/67/38/704716738.db2.gz OHSYWZFZPYUWED-SMDDNHRTSA-N 1 2 318.377 1.745 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000858861363 704765626 /nfs/dbraw/zinc/76/56/26/704765626.db2.gz XIZWANAXNNJZBH-BFHYXJOUSA-N 1 2 304.394 1.134 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000858861363 704765628 /nfs/dbraw/zinc/76/56/28/704765628.db2.gz XIZWANAXNNJZBH-BFHYXJOUSA-N 1 2 304.394 1.134 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)Cc2ccc(C#N)nc2)c1C ZINC000858926736 704773621 /nfs/dbraw/zinc/77/36/21/704773621.db2.gz IQZZEESSQVXMLS-UHFFFAOYSA-N 1 2 310.357 1.833 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](C[C@@H](O)CC3(O)CCC3)CCO2)c1 ZINC000859219271 704825930 /nfs/dbraw/zinc/82/59/30/704825930.db2.gz TZURMQVDEJSVEZ-DLBZAZTESA-N 1 2 316.401 1.598 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](C[C@@H](O)CC3(O)CCC3)CCO2)c1 ZINC000859219271 704825935 /nfs/dbraw/zinc/82/59/35/704825935.db2.gz TZURMQVDEJSVEZ-DLBZAZTESA-N 1 2 316.401 1.598 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000874712369 705147022 /nfs/dbraw/zinc/14/70/22/705147022.db2.gz XWQNTBRHQJXJMN-GFCCVEGCSA-N 1 2 323.343 1.677 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000874712369 705147025 /nfs/dbraw/zinc/14/70/25/705147025.db2.gz XWQNTBRHQJXJMN-GFCCVEGCSA-N 1 2 323.343 1.677 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+]([C@@H]2CCN(C(C)(C)C)C2=O)CC1 ZINC000823215560 705173831 /nfs/dbraw/zinc/17/38/31/705173831.db2.gz KGCBEVACGOMQEK-GFCCVEGCSA-N 1 2 307.394 1.165 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[NH2+][C@H](c2ccc(F)cc2)C1 ZINC000874892798 705220511 /nfs/dbraw/zinc/22/05/11/705220511.db2.gz LSPLVZOZVKYTHO-HNNXBMFYSA-N 1 2 312.348 1.823 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1ccc2c(=O)cc[nH]c2c1 ZINC000834690663 707103555 /nfs/dbraw/zinc/10/35/55/707103555.db2.gz FCDMXVUQVCLGNI-INIZCTEOSA-N 1 2 309.369 1.242 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1ccc2c(=O)cc[nH]c2c1 ZINC000834690663 707103556 /nfs/dbraw/zinc/10/35/56/707103556.db2.gz FCDMXVUQVCLGNI-INIZCTEOSA-N 1 2 309.369 1.242 20 30 DDEDLO C=CCONC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000834919987 707147840 /nfs/dbraw/zinc/14/78/40/707147840.db2.gz DZQWJNHCCHQOLD-HNNXBMFYSA-N 1 2 305.378 1.304 20 30 DDEDLO C=CCONC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000834919987 707147843 /nfs/dbraw/zinc/14/78/43/707147843.db2.gz DZQWJNHCCHQOLD-HNNXBMFYSA-N 1 2 305.378 1.304 20 30 DDEDLO CC(C)[C@H](CNC(=O)C#Cc1cccs1)[NH+]1CCOCC1 ZINC000824220942 705402534 /nfs/dbraw/zinc/40/25/34/705402534.db2.gz GFKSAMHWJHIIAJ-HNNXBMFYSA-N 1 2 306.431 1.573 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@H](CNC(=O)NCCC#N)C2)cc1 ZINC000875541093 705423530 /nfs/dbraw/zinc/42/35/30/705423530.db2.gz TYKVEWYWUMYLIL-MRXNPFEDSA-N 1 2 316.405 1.409 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@H](CNC(=O)NCCC#N)C2)cc1 ZINC000875541093 705423533 /nfs/dbraw/zinc/42/35/33/705423533.db2.gz TYKVEWYWUMYLIL-MRXNPFEDSA-N 1 2 316.405 1.409 20 30 DDEDLO Cc1c(F)nccc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000862210627 705678970 /nfs/dbraw/zinc/67/89/70/705678970.db2.gz QUKVIWBNHUXDNC-UHFFFAOYSA-N 1 2 306.385 1.577 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)CC1 ZINC000876442627 705722368 /nfs/dbraw/zinc/72/23/68/705722368.db2.gz PIMCETWKDPSJAS-JYJNAYRXSA-N 1 2 307.438 1.541 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2ccc(CC)s2)CC1 ZINC000825969511 705752969 /nfs/dbraw/zinc/75/29/69/705752969.db2.gz NRIVDLWAUSUZHI-CQSZACIVSA-N 1 2 320.458 1.993 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000826030818 705761118 /nfs/dbraw/zinc/76/11/18/705761118.db2.gz RLCSMGMWGDFDLC-CYBMUJFWSA-N 1 2 305.378 1.281 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2cc(C#N)cnc2Cl)CC1 ZINC000876632617 705793925 /nfs/dbraw/zinc/79/39/25/705793925.db2.gz GAWUUBUANVAUDC-UHFFFAOYSA-N 1 2 316.792 1.568 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@H](CNC(=O)[C@@H](C)C#N)C2)cc1 ZINC000826606024 705824805 /nfs/dbraw/zinc/82/48/05/705824805.db2.gz WAPHJALCPLNOMY-GOEBONIOSA-N 1 2 301.390 1.472 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@H](CNC(=O)[C@@H](C)C#N)C2)cc1 ZINC000826606024 705824808 /nfs/dbraw/zinc/82/48/08/705824808.db2.gz WAPHJALCPLNOMY-GOEBONIOSA-N 1 2 301.390 1.472 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[NH2+]Cc1nc(C2CC2)no1 ZINC000863550593 705954904 /nfs/dbraw/zinc/95/49/04/705954904.db2.gz AYUMSMNSXINISK-ZDUSSCGKSA-N 1 2 314.345 1.348 20 30 DDEDLO C#Cc1cnc(NC[C@H](c2ccccc2)[NH+]2CCOCC2)nc1 ZINC000827354003 705984517 /nfs/dbraw/zinc/98/45/17/705984517.db2.gz LZVKDSYDSQNUAC-QGZVFWFLSA-N 1 2 308.385 1.943 20 30 DDEDLO N#Cc1cnc(Cl)c(C[N@@H+]2CC[C@@H]3NC(=O)OC[C@H]3C2)c1 ZINC000877497241 706123301 /nfs/dbraw/zinc/12/33/01/706123301.db2.gz KYANJQQVROORCI-NEPJUHHUSA-N 1 2 306.753 1.537 20 30 DDEDLO N#Cc1cnc(Cl)c(C[N@H+]2CC[C@@H]3NC(=O)OC[C@H]3C2)c1 ZINC000877497241 706123302 /nfs/dbraw/zinc/12/33/02/706123302.db2.gz KYANJQQVROORCI-NEPJUHHUSA-N 1 2 306.753 1.537 20 30 DDEDLO CCn1nnc(C)c1C[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000877744990 706196276 /nfs/dbraw/zinc/19/62/76/706196276.db2.gz DHSIWFUYEOIKAF-UHFFFAOYSA-N 1 2 310.405 1.800 20 30 DDEDLO C=CC[C@H](NS(=O)(=O)CCn1cc[nH+]c1)c1ccncc1 ZINC000881905719 707417824 /nfs/dbraw/zinc/41/78/24/707417824.db2.gz XJEGRQPEUBBUAF-AWEZNQCLSA-N 1 2 306.391 1.515 20 30 DDEDLO C=CC[C@H](C(=O)OC)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000878219545 706329719 /nfs/dbraw/zinc/32/97/19/706329719.db2.gz NEECECHHEMDMOY-OAHLLOKOSA-N 1 2 307.394 1.527 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@@H](C)[N@@H+]2Cc2ccc(C#N)o2)O1 ZINC000878566345 706429365 /nfs/dbraw/zinc/42/93/65/706429365.db2.gz YUTAMDCKAROZEO-QZKOUSHUSA-N 1 2 304.346 1.835 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@@H](C)[N@H+]2Cc2ccc(C#N)o2)O1 ZINC000878566345 706429367 /nfs/dbraw/zinc/42/93/67/706429367.db2.gz YUTAMDCKAROZEO-QZKOUSHUSA-N 1 2 304.346 1.835 20 30 DDEDLO Nc1ccc2c(c1)CCC2=[NH+]NC(=S)NC[C@H]1CCCO1 ZINC000834925379 707149013 /nfs/dbraw/zinc/14/90/13/707149013.db2.gz MOUOVDQIOYZQHW-GFCCVEGCSA-N 1 2 304.419 1.562 20 30 DDEDLO CC(C)(C)c1cn(CCS(=O)(=O)c2ccc(C#N)cn2)c[nH+]1 ZINC000871988954 707270091 /nfs/dbraw/zinc/27/00/91/707270091.db2.gz IKTZYOPQFBRZAZ-UHFFFAOYSA-N 1 2 318.402 1.921 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C(=O)OCC ZINC000881755739 707353861 /nfs/dbraw/zinc/35/38/61/707353861.db2.gz PDRQUUJPTBNMJQ-GXTWGEPZSA-N 1 2 305.378 1.460 20 30 DDEDLO CCCN1c2ccccc2C(=NNc2cc(C)[nH+]c(N)n2)C1=O ZINC000872420769 707403961 /nfs/dbraw/zinc/40/39/61/707403961.db2.gz YJHXRAIKZUYUOQ-UHFFFAOYSA-N 1 2 310.361 1.940 20 30 DDEDLO C#CC[C@H](NS(=O)(=O)CCn1cc[nH+]c1)c1ccccc1 ZINC000882020047 707468886 /nfs/dbraw/zinc/46/88/86/707468886.db2.gz VGZSYSGXXFQHLJ-HNNXBMFYSA-N 1 2 303.387 1.567 20 30 DDEDLO C=CC[N@@H+](C)CN1C[C@H](c2ccccc2)[C@@H](C(=O)OC)C1=O ZINC000872601354 707506076 /nfs/dbraw/zinc/50/60/76/707506076.db2.gz PZIDLXCCDYUTKZ-HUUCEWRRSA-N 1 2 302.374 1.477 20 30 DDEDLO C=CC[N@H+](C)CN1C[C@H](c2ccccc2)[C@@H](C(=O)OC)C1=O ZINC000872601354 707506083 /nfs/dbraw/zinc/50/60/83/707506083.db2.gz PZIDLXCCDYUTKZ-HUUCEWRRSA-N 1 2 302.374 1.477 20 30 DDEDLO Cc1cc(C[NH2+][C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)no1 ZINC000883102013 707927274 /nfs/dbraw/zinc/92/72/74/707927274.db2.gz CQQVVUOSHIRNIL-INIZCTEOSA-N 1 2 310.357 1.745 20 30 DDEDLO C=CC[N@@H+](Cc1cccc([N+](=O)[O-])c1)[C@H]1CCC(=O)NC1=O ZINC000839469317 708049990 /nfs/dbraw/zinc/04/99/90/708049990.db2.gz FYAMBFICJJQZSX-ZDUSSCGKSA-N 1 2 303.318 1.388 20 30 DDEDLO C=CC[N@H+](Cc1cccc([N+](=O)[O-])c1)[C@H]1CCC(=O)NC1=O ZINC000839469317 708049991 /nfs/dbraw/zinc/04/99/91/708049991.db2.gz FYAMBFICJJQZSX-ZDUSSCGKSA-N 1 2 303.318 1.388 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H](C)c1ccccc1F ZINC000884071952 708126885 /nfs/dbraw/zinc/12/68/85/708126885.db2.gz LVLLMIMXZAYEJE-RISCZKNCSA-N 1 2 308.353 1.792 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1ccc(CC)cc1 ZINC000884113662 708145149 /nfs/dbraw/zinc/14/51/49/708145149.db2.gz WZEBGYPSPUOFDT-HNNXBMFYSA-N 1 2 304.390 1.354 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCCc1ccc(Cl)cc1 ZINC000884117224 708146476 /nfs/dbraw/zinc/14/64/76/708146476.db2.gz UGBDUPDGJQSFDN-AWEZNQCLSA-N 1 2 324.808 1.835 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(CSC)cc1 ZINC000884121477 708148626 /nfs/dbraw/zinc/14/86/26/708148626.db2.gz PXNSIYIWYNRJDZ-ZDUSSCGKSA-N 1 2 308.403 1.935 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CCC)C[C@@H]1CCCCO1 ZINC000884127359 708151152 /nfs/dbraw/zinc/15/11/52/708151152.db2.gz BOTFIBFDYWMKIS-KBPBESRZSA-N 1 2 312.410 1.241 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCCc2c(C)cccc21 ZINC000884139597 708157559 /nfs/dbraw/zinc/15/75/59/708157559.db2.gz WXOPWFPJMOOCDL-AWEZNQCLSA-N 1 2 302.374 1.721 20 30 DDEDLO CC1=CCC[C@H](C)[C@H]1C[N@@H+]1C[C@@H]2CS(=O)(=O)C[C@]2(C#N)C1 ZINC000897061379 708219636 /nfs/dbraw/zinc/21/96/36/708219636.db2.gz UEXDEKLRCNMAOY-FZKCQIBNSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=CCC[C@H](C)[C@H]1C[N@H+]1C[C@@H]2CS(=O)(=O)C[C@]2(C#N)C1 ZINC000897061379 708219639 /nfs/dbraw/zinc/21/96/39/708219639.db2.gz UEXDEKLRCNMAOY-FZKCQIBNSA-N 1 2 308.447 1.849 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC1C2CC3CC(C2)CC1C3 ZINC000884288050 708227140 /nfs/dbraw/zinc/22/71/40/708227140.db2.gz UFFAEZVGHUPIMJ-UFYAKOEJSA-N 1 2 320.433 1.622 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCCCc1nnnn1C ZINC000897250771 708269031 /nfs/dbraw/zinc/26/90/31/708269031.db2.gz BDWYZNSYQHCGQR-UHFFFAOYSA-N 1 2 300.366 1.442 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCN([C@H](C#N)C(C)C)CC2)c[nH+]1 ZINC000897309044 708287079 /nfs/dbraw/zinc/28/70/79/708287079.db2.gz SPWFBQHYPZGZSA-OAHLLOKOSA-N 1 2 317.437 1.619 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)/C=C/c2ccc(F)cc2)C1 ZINC000885508017 708562006 /nfs/dbraw/zinc/56/20/06/708562006.db2.gz BBLDUSRMZLVPHM-YHVDPYDOSA-N 1 2 322.405 1.813 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)/C=C/c2ccc(F)cc2)C1 ZINC000885508017 708562008 /nfs/dbraw/zinc/56/20/08/708562008.db2.gz BBLDUSRMZLVPHM-YHVDPYDOSA-N 1 2 322.405 1.813 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccc(C)c(F)c2)C1 ZINC000885511593 708562754 /nfs/dbraw/zinc/56/27/54/708562754.db2.gz KGUXBIZYWZAOMT-OAHLLOKOSA-N 1 2 324.421 1.651 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccc(C)c(F)c2)C1 ZINC000885511593 708562757 /nfs/dbraw/zinc/56/27/57/708562757.db2.gz KGUXBIZYWZAOMT-OAHLLOKOSA-N 1 2 324.421 1.651 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@H+](C)C[C@@H](O)CC1(C#N)CCC1 ZINC000886043772 708687822 /nfs/dbraw/zinc/68/78/22/708687822.db2.gz JNKPZCVDIYHQSU-KBPBESRZSA-N 1 2 312.410 1.330 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@@H+](C)C[C@@H](O)CC1(C#N)CCC1 ZINC000886043772 708687824 /nfs/dbraw/zinc/68/78/24/708687824.db2.gz JNKPZCVDIYHQSU-KBPBESRZSA-N 1 2 312.410 1.330 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@](CO)(C(F)(F)F)C1 ZINC000886793647 708846006 /nfs/dbraw/zinc/84/60/06/708846006.db2.gz QETZRJAZYQCFJH-CHWSQXEVSA-N 1 2 321.343 1.288 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@@](CO)(C(F)(F)F)C1 ZINC000886793647 708846009 /nfs/dbraw/zinc/84/60/09/708846009.db2.gz QETZRJAZYQCFJH-CHWSQXEVSA-N 1 2 321.343 1.288 20 30 DDEDLO C/C(=C/C(=O)N(C)Cc1ccc(C#N)cc1)C[NH+]1CCOCC1 ZINC000900128359 709300503 /nfs/dbraw/zinc/30/05/03/709300503.db2.gz RYGVBPJNMRDHFR-PTNGSMBKSA-N 1 2 313.401 1.795 20 30 DDEDLO COCC[NH+]1CCN(C(=O)/C=C/C(=O)c2ccc(C)cc2)CC1 ZINC000900684644 709710743 /nfs/dbraw/zinc/71/07/43/709710743.db2.gz UFWCHHOJTYHMOK-BQYQJAHWSA-N 1 2 316.401 1.525 20 30 DDEDLO Cc1cc(C#N)nc(N2CC[NH+](CC(=O)N3CCCC3)CC2)c1 ZINC000891554927 710234542 /nfs/dbraw/zinc/23/45/42/710234542.db2.gz FDNWLZHKWWECBO-UHFFFAOYSA-N 1 2 313.405 1.006 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N(C)Cc1cc(C#N)cs1 ZINC000891895388 710320442 /nfs/dbraw/zinc/32/04/42/710320442.db2.gz IQPWMVBFXYBLNM-GFCCVEGCSA-N 1 2 322.434 1.482 20 30 DDEDLO O=C1N(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C[C@@H]2CCCCN12 ZINC000902610315 710815060 /nfs/dbraw/zinc/81/50/60/710815060.db2.gz WIWMGXRVNBXXKQ-AWEZNQCLSA-N 1 2 319.405 1.572 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)cc1Cl ZINC000913451117 713221221 /nfs/dbraw/zinc/22/12/21/713221221.db2.gz JAXLJLXOIACZDN-CQSZACIVSA-N 1 2 315.764 1.721 20 30 DDEDLO C=CCCNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000912378507 711301623 /nfs/dbraw/zinc/30/16/23/711301623.db2.gz HIWKTWJOXZUUJR-HNNXBMFYSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000912378507 711301627 /nfs/dbraw/zinc/30/16/27/711301627.db2.gz HIWKTWJOXZUUJR-HNNXBMFYSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(CCc2ccccc2)no1 ZINC000904081156 711376125 /nfs/dbraw/zinc/37/61/25/711376125.db2.gz MFHYQRBYHVAJRX-ZDUSSCGKSA-N 1 2 301.346 1.454 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2ccc(OCC(F)F)cc2)CC1 ZINC000895066458 711394696 /nfs/dbraw/zinc/39/46/96/711394696.db2.gz SPLMWEFPQWZUOJ-UHFFFAOYSA-N 1 2 323.343 1.888 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)N(O)Cc2cccc3ccccc32)C1 ZINC000904171706 711399953 /nfs/dbraw/zinc/39/99/53/711399953.db2.gz RTFFFBDBLAWGEE-INIZCTEOSA-N 1 2 300.358 1.888 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)N(O)Cc2cccc3ccccc32)C1 ZINC000904171706 711399957 /nfs/dbraw/zinc/39/99/57/711399957.db2.gz RTFFFBDBLAWGEE-INIZCTEOSA-N 1 2 300.358 1.888 20 30 DDEDLO CCCCCCCCOCC(=O)N1CC[N@H+](C)[C@H](CO)C1 ZINC000913550412 713255590 /nfs/dbraw/zinc/25/55/90/713255590.db2.gz UACUDPNNGKJBGW-HNNXBMFYSA-N 1 2 300.443 1.498 20 30 DDEDLO CCCCCCCCOCC(=O)N1CC[N@@H+](C)[C@H](CO)C1 ZINC000913550412 713255592 /nfs/dbraw/zinc/25/55/92/713255592.db2.gz UACUDPNNGKJBGW-HNNXBMFYSA-N 1 2 300.443 1.498 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@H+](Cc3csc(C#N)c3)C2)C1 ZINC000895826169 711618158 /nfs/dbraw/zinc/61/81/58/711618158.db2.gz WWPBAQZMUPBTPR-AWEZNQCLSA-N 1 2 305.359 1.514 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@@H+](Cc3csc(C#N)c3)C2)C1 ZINC000895826169 711618159 /nfs/dbraw/zinc/61/81/59/711618159.db2.gz WWPBAQZMUPBTPR-AWEZNQCLSA-N 1 2 305.359 1.514 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](CCCCCO)CC2)cc1O ZINC000913693219 713283643 /nfs/dbraw/zinc/28/36/43/713283643.db2.gz RQCNNOFHZRAHDI-UHFFFAOYSA-N 1 2 317.389 1.184 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)Cc2csc(C#N)c2)C[C@H]1C ZINC000914289552 713371216 /nfs/dbraw/zinc/37/12/16/713371216.db2.gz QNYZNDANXYRRIN-LLVKDONJSA-N 1 2 313.448 1.476 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)Cc2csc(C#N)c2)C[C@H]1C ZINC000914289552 713371217 /nfs/dbraw/zinc/37/12/17/713371217.db2.gz QNYZNDANXYRRIN-LLVKDONJSA-N 1 2 313.448 1.476 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2cncc(C#N)c2)C[C@@H]1C ZINC000919579074 713616518 /nfs/dbraw/zinc/61/65/18/713616518.db2.gz BZWRRAPWNKZDTN-TXEJJXNPSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2cncc(C#N)c2)C[C@@H]1C ZINC000919579074 713616520 /nfs/dbraw/zinc/61/65/20/713616520.db2.gz BZWRRAPWNKZDTN-TXEJJXNPSA-N 1 2 308.407 1.056 20 30 DDEDLO N#CCC[N@H+](CCCc1cccnc1)CCN1CCOCC1 ZINC000929775358 713687821 /nfs/dbraw/zinc/68/78/21/713687821.db2.gz XQODSFKOUVWHET-UHFFFAOYSA-N 1 2 302.422 1.562 20 30 DDEDLO N#CCC[N@@H+](CCCc1cccnc1)CCN1CCOCC1 ZINC000929775358 713687824 /nfs/dbraw/zinc/68/78/24/713687824.db2.gz XQODSFKOUVWHET-UHFFFAOYSA-N 1 2 302.422 1.562 20 30 DDEDLO C[S@](=O)CCN1CC[NH+](CCCCC2(C#N)CCC2)CC1 ZINC000929993567 713731286 /nfs/dbraw/zinc/73/12/86/713731286.db2.gz MIVCXHDAQIGMOA-NRFANRHFSA-N 1 2 311.495 1.847 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(CCCCC2(C#N)CCC2)CC1 ZINC000929993567 713731287 /nfs/dbraw/zinc/73/12/87/713731287.db2.gz MIVCXHDAQIGMOA-NRFANRHFSA-N 1 2 311.495 1.847 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)N[C@H](C#N)C2CCCC2)[nH]n1 ZINC000921555565 713806968 /nfs/dbraw/zinc/80/69/68/713806968.db2.gz PIVJGCUQCBZSBH-CABCVRRESA-N 1 2 301.394 1.989 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)N[C@H](C#N)C2CCCC2)[nH]n1 ZINC000921555565 713806970 /nfs/dbraw/zinc/80/69/70/713806970.db2.gz PIVJGCUQCBZSBH-CABCVRRESA-N 1 2 301.394 1.989 20 30 DDEDLO C=CCN(C(=O)[C@@H]1CCC[N@H+]1C(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000930376982 713818360 /nfs/dbraw/zinc/81/83/60/713818360.db2.gz ACNHXZLIHKTGGE-KGLIPLIRSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCN(C(=O)[C@@H]1CCC[N@@H+]1C(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000930376982 713818364 /nfs/dbraw/zinc/81/83/64/713818364.db2.gz ACNHXZLIHKTGGE-KGLIPLIRSA-N 1 2 314.451 1.061 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2nc3sc(C)c(C)c3c(N)n2)CC1 ZINC000931151103 714016763 /nfs/dbraw/zinc/01/67/63/714016763.db2.gz MVGCSVWWSYCFBX-UHFFFAOYSA-N 1 2 316.430 1.850 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)NCC1CCC(C#N)CC1 ZINC000922348186 714026353 /nfs/dbraw/zinc/02/63/53/714026353.db2.gz HEFZTLWHJSBZMP-GPANFISMSA-N 1 2 322.453 1.726 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(C)c(C#N)cn1 ZINC000932157807 714273882 /nfs/dbraw/zinc/27/38/82/714273882.db2.gz SHMXLKWKCLWKCN-AWEZNQCLSA-N 1 2 317.393 1.494 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000932370289 714319299 /nfs/dbraw/zinc/31/92/99/714319299.db2.gz YFUBAIJJESSULR-GDBMZVCRSA-N 1 2 301.394 1.572 20 30 DDEDLO C#CC[N@H+](Cc1ccc(S(=O)(=O)N2CCCC2)o1)C(C)C ZINC000932391478 714321987 /nfs/dbraw/zinc/32/19/87/714321987.db2.gz HCGLOQACACZBHH-UHFFFAOYSA-N 1 2 310.419 1.908 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(S(=O)(=O)N2CCCC2)o1)C(C)C ZINC000932391478 714321989 /nfs/dbraw/zinc/32/19/89/714321989.db2.gz HCGLOQACACZBHH-UHFFFAOYSA-N 1 2 310.419 1.908 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CC[C@H](c2ncc(C(=O)OC)s2)C1 ZINC000932671146 714383751 /nfs/dbraw/zinc/38/37/51/714383751.db2.gz MTGMDAAQYVGKCR-WDEREUQCSA-N 1 2 324.402 1.837 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CC[C@H](c2ncc(C(=O)OC)s2)C1 ZINC000932671146 714383753 /nfs/dbraw/zinc/38/37/53/714383753.db2.gz MTGMDAAQYVGKCR-WDEREUQCSA-N 1 2 324.402 1.837 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ncsc2C(F)(F)F)C1 ZINC000923564421 714406595 /nfs/dbraw/zinc/40/65/95/714406595.db2.gz QXTMNRMSIMMXMF-VIFPVBQESA-N 1 2 317.336 1.989 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ncsc2C(F)(F)F)C1 ZINC000923564421 714406597 /nfs/dbraw/zinc/40/65/97/714406597.db2.gz QXTMNRMSIMMXMF-VIFPVBQESA-N 1 2 317.336 1.989 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cccc([S@](C)=O)c2)C1 ZINC000923566784 714408338 /nfs/dbraw/zinc/40/83/38/714408338.db2.gz SGURDMIRGGGWPO-QKKBWIMNSA-N 1 2 304.415 1.251 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cccc([S@](C)=O)c2)C1 ZINC000923566784 714408339 /nfs/dbraw/zinc/40/83/39/714408339.db2.gz SGURDMIRGGGWPO-QKKBWIMNSA-N 1 2 304.415 1.251 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2sc([C@H](C)OC)nc2C)C1 ZINC000923572440 714411196 /nfs/dbraw/zinc/41/11/96/714411196.db2.gz JEBKEKFAYGZXNI-QWHCGFSZSA-N 1 2 321.446 1.986 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc([C@H](C)OC)nc2C)C1 ZINC000923572440 714411197 /nfs/dbraw/zinc/41/11/97/714411197.db2.gz JEBKEKFAYGZXNI-QWHCGFSZSA-N 1 2 321.446 1.986 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@H+](C)Cc1cccc(=O)[nH]1 ZINC000933394256 714581658 /nfs/dbraw/zinc/58/16/58/714581658.db2.gz MRGVWTAPXOPGOG-BLLLJJGKSA-N 1 2 304.394 1.662 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@@H+](C)Cc1cccc(=O)[nH]1 ZINC000933394256 714581660 /nfs/dbraw/zinc/58/16/60/714581660.db2.gz MRGVWTAPXOPGOG-BLLLJJGKSA-N 1 2 304.394 1.662 20 30 DDEDLO C=CCC1(O)CCN(C(=O)C2([NH+]3CCOCC3)CCC2)CC1 ZINC000924437046 714581980 /nfs/dbraw/zinc/58/19/80/714581980.db2.gz YTIOTJAAMJTEAD-UHFFFAOYSA-N 1 2 308.422 1.171 20 30 DDEDLO COc1cnc([C@H]2CCC[N@@H+]2CCCSCC#N)[nH]c1=O ZINC000934272259 714783259 /nfs/dbraw/zinc/78/32/59/714783259.db2.gz FXCFTEOYGUYJOX-LLVKDONJSA-N 1 2 308.407 1.975 20 30 DDEDLO COc1cnc([C@H]2CCC[N@H+]2CCCSCC#N)[nH]c1=O ZINC000934272259 714783260 /nfs/dbraw/zinc/78/32/60/714783260.db2.gz FXCFTEOYGUYJOX-LLVKDONJSA-N 1 2 308.407 1.975 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC000957104201 715748382 /nfs/dbraw/zinc/74/83/82/715748382.db2.gz OSSJZWFCCXIGID-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)C2CCC(NC(C)=O)CC2)C1 ZINC000957455865 715908404 /nfs/dbraw/zinc/90/84/04/715908404.db2.gz PWVXCUUDUGPHCO-UHFFFAOYSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2Oc3ccccc3O[C@H]2C)CC1 ZINC000957622121 715971760 /nfs/dbraw/zinc/97/17/60/715971760.db2.gz ZMFLFOGWQKILAZ-XJKSGUPXSA-N 1 2 302.374 1.545 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC2(CCN(CC#N)CC2)CC1 ZINC000957636015 715978908 /nfs/dbraw/zinc/97/89/08/715978908.db2.gz SNNHWHBVRCRTSZ-UHFFFAOYSA-N 1 2 315.421 1.191 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cnnn1C ZINC000960785820 716690020 /nfs/dbraw/zinc/69/00/20/716690020.db2.gz AVIYAUMAKNQFOA-ZDUSSCGKSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1cnnn1C ZINC000960785820 716690022 /nfs/dbraw/zinc/69/00/22/716690022.db2.gz AVIYAUMAKNQFOA-ZDUSSCGKSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cn(C)nn4)C[C@H]32)CC1 ZINC000961686746 717058318 /nfs/dbraw/zinc/05/83/18/717058318.db2.gz KJSIEFYSTIBYGV-ZSHCYNCHSA-N 1 2 315.421 1.108 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cn(C)nn4)C[C@H]32)CC1 ZINC000961686746 717058322 /nfs/dbraw/zinc/05/83/22/717058322.db2.gz KJSIEFYSTIBYGV-ZSHCYNCHSA-N 1 2 315.421 1.108 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3cc(Cl)c[nH]3)CC2)C1 ZINC000941312058 717153058 /nfs/dbraw/zinc/15/30/58/717153058.db2.gz YDBCREGKXJKFFD-UHFFFAOYSA-N 1 2 320.824 1.133 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@]3(C)CCC[C@H]3CC)CC2)C1 ZINC000941456860 717180569 /nfs/dbraw/zinc/18/05/69/717180569.db2.gz UXNPUYODUAKTSN-APWZRJJASA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H](C)c3cccs3)CC2)C1 ZINC000941565284 717212863 /nfs/dbraw/zinc/21/28/63/717212863.db2.gz VDWKNBYQSZITMH-CQSZACIVSA-N 1 2 317.458 1.313 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@H]3CC(C)C)CC2)C1 ZINC000941574329 717215995 /nfs/dbraw/zinc/21/59/95/717215995.db2.gz ANFMGKKKMSSEAN-NVXWUHKLSA-N 1 2 303.450 1.130 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3C[C@H]3C3CCCC3)CC2)C1 ZINC000941622668 717233101 /nfs/dbraw/zinc/23/31/01/717233101.db2.gz LSMUOCOGSSTQPQ-ROUUACIJSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2cn[nH]c2-c2ccccn2)[C@H](C)C1 ZINC000942113642 717488093 /nfs/dbraw/zinc/48/80/93/717488093.db2.gz QPJJEEQXBCVLTL-UKRRQHHQSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2cn[nH]c2-c2ccccn2)[C@H](C)C1 ZINC000942113642 717488095 /nfs/dbraw/zinc/48/80/95/717488095.db2.gz QPJJEEQXBCVLTL-UKRRQHHQSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000942216275 717555711 /nfs/dbraw/zinc/55/57/11/717555711.db2.gz CUFPQFDELLIYNI-QGZVFWFLSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3nncn3C)C[C@@H]2C)C1 ZINC000942603085 717772198 /nfs/dbraw/zinc/77/21/98/717772198.db2.gz RZLNWZOFPCZMHC-UONOGXRCSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3nncn3C)C[C@@H]2C)C1 ZINC000942603085 717772205 /nfs/dbraw/zinc/77/22/05/717772205.db2.gz RZLNWZOFPCZMHC-UONOGXRCSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@@H]2C)C1 ZINC000966873399 718689036 /nfs/dbraw/zinc/68/90/36/718689036.db2.gz PUAMDOIXXDBVKE-GXTWGEPZSA-N 1 2 316.405 1.272 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3nnc(C)[nH]3)[C@@H](C)C2)C1 ZINC000947702901 719248941 /nfs/dbraw/zinc/24/89/41/719248941.db2.gz UMJIQMBEHBYAKB-GXTWGEPZSA-N 1 2 317.437 1.939 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3nnc(C)[nH]3)[C@@H](C)C2)C1 ZINC000947702901 719248942 /nfs/dbraw/zinc/24/89/42/719248942.db2.gz UMJIQMBEHBYAKB-GXTWGEPZSA-N 1 2 317.437 1.939 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccnc2N2CCCC2)CC1 ZINC000949142947 719928486 /nfs/dbraw/zinc/92/84/86/719928486.db2.gz FHYMWUAZFYKXGJ-UHFFFAOYSA-N 1 2 300.406 1.626 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@@H](C)C3)CC2)C1 ZINC000949487122 720117828 /nfs/dbraw/zinc/11/78/28/720117828.db2.gz NPKNNMHQMKEEIF-HZPDHXFCSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@@H](C)C3)CC2)C1 ZINC000949487122 720117832 /nfs/dbraw/zinc/11/78/32/720117832.db2.gz NPKNNMHQMKEEIF-HZPDHXFCSA-N 1 2 304.434 1.749 20 30 DDEDLO Cc1conc1C[NH+]1CC([C@@H](C)NC(=O)c2ccc(C#N)[nH]2)C1 ZINC000969513425 720169324 /nfs/dbraw/zinc/16/93/24/720169324.db2.gz CYAOHFRCMWPJIG-LLVKDONJSA-N 1 2 313.361 1.433 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCOC3CCC3)CC2)cc1 ZINC000949597177 720199209 /nfs/dbraw/zinc/19/92/09/720199209.db2.gz MKYYZTUEFUTMEA-UHFFFAOYSA-N 1 2 312.413 1.995 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]([NH2+]Cc3nncs3)C2)CC1 ZINC000969830912 720315012 /nfs/dbraw/zinc/31/50/12/720315012.db2.gz VWQMXLJRDMWRJP-CYBMUJFWSA-N 1 2 306.435 1.975 20 30 DDEDLO C=C1CCC(C(=O)N2CC[NH+](CCc3cnn(C)c3)CC2)CC1 ZINC000950047229 720466940 /nfs/dbraw/zinc/46/69/40/720466940.db2.gz RZLQGVMIQLNAEH-UHFFFAOYSA-N 1 2 316.449 1.853 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cccc3ncnn32)C1 ZINC000970074517 720618046 /nfs/dbraw/zinc/61/80/46/720618046.db2.gz CEFBONLXWLFNOK-LLVKDONJSA-N 1 2 319.796 1.532 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(CCC(N)=O)cc2)CC1 ZINC000950413672 720622579 /nfs/dbraw/zinc/62/25/79/720622579.db2.gz NPGIEFYZVPXKIB-UHFFFAOYSA-N 1 2 301.390 1.048 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnc(Cl)n2C)C1 ZINC000970152938 720645129 /nfs/dbraw/zinc/64/51/29/720645129.db2.gz AMZKXRFVFWNSSR-VIFPVBQESA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc(OC)ccn2)C1 ZINC000970259646 720681409 /nfs/dbraw/zinc/68/14/09/720681409.db2.gz LOSRLSJBYIHMRX-LLVKDONJSA-N 1 2 309.797 1.893 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@H]2CCn3cncc3C2)C1 ZINC000950642624 720718775 /nfs/dbraw/zinc/71/87/75/720718775.db2.gz SFEPKYHWELAQDE-CQSZACIVSA-N 1 2 300.406 1.002 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn3ccc(C)cc3n2)C1 ZINC000950790756 720775650 /nfs/dbraw/zinc/77/56/50/720775650.db2.gz UCNFAJGRIPFTBJ-UHFFFAOYSA-N 1 2 310.401 1.812 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C)cn3ccnc23)C1 ZINC000950984558 720864290 /nfs/dbraw/zinc/86/42/90/720864290.db2.gz WUHINKHKVKCUBU-UHFFFAOYSA-N 1 2 310.401 1.812 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C2C[NH+](Cc3ccn(C)n3)C2)nc1 ZINC000970764354 720927067 /nfs/dbraw/zinc/92/70/67/720927067.db2.gz RPHBQMPZQYLBEL-ZDUSSCGKSA-N 1 2 323.400 1.047 20 30 DDEDLO CC(C)c1ocnc1C[N@H+](C)[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000971222707 721188949 /nfs/dbraw/zinc/18/89/49/721188949.db2.gz ZXSGTBOHGCPJSF-CHWSQXEVSA-N 1 2 304.394 1.990 20 30 DDEDLO CC(C)c1ocnc1C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000971222707 721188951 /nfs/dbraw/zinc/18/89/51/721188951.db2.gz ZXSGTBOHGCPJSF-CHWSQXEVSA-N 1 2 304.394 1.990 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000951916391 721235865 /nfs/dbraw/zinc/23/58/65/721235865.db2.gz HEEBUPLZORBYCC-DYVFJYSZSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2nn(C)c(C)c2Cl)C1 ZINC000952380594 721428951 /nfs/dbraw/zinc/42/89/51/721428951.db2.gz HTGAHUXWKVRWHG-UHFFFAOYSA-N 1 2 308.813 1.552 20 30 DDEDLO C=CC[NH+]1CCN(c2ncc(C(=O)OCC)cc2OC)CC1 ZINC001165032180 721868172 /nfs/dbraw/zinc/86/81/72/721868172.db2.gz KUWQOYRVRSYLSQ-UHFFFAOYSA-N 1 2 305.378 1.575 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001120878426 782204616 /nfs/dbraw/zinc/20/46/16/782204616.db2.gz LETUOENCBKPXLN-MRVWCRGKSA-N 1 2 324.388 1.739 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001120878426 782204618 /nfs/dbraw/zinc/20/46/18/782204618.db2.gz LETUOENCBKPXLN-MRVWCRGKSA-N 1 2 324.388 1.739 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)sn1 ZINC001038175228 732653691 /nfs/dbraw/zinc/65/36/91/732653691.db2.gz MZWZIAUITVMFKI-CYBMUJFWSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)sn1 ZINC001038175228 732653693 /nfs/dbraw/zinc/65/36/93/732653693.db2.gz MZWZIAUITVMFKI-CYBMUJFWSA-N 1 2 315.402 1.656 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3nccc4[nH]ccc43)[C@H]2C1 ZINC001083195211 732695255 /nfs/dbraw/zinc/69/52/55/732695255.db2.gz INCJNXIRIWVCFS-JKSUJKDBSA-N 1 2 324.384 1.111 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3nccc4[nH]ccc43)[C@H]2C1 ZINC001083195211 732695256 /nfs/dbraw/zinc/69/52/56/732695256.db2.gz INCJNXIRIWVCFS-JKSUJKDBSA-N 1 2 324.384 1.111 20 30 DDEDLO CC(C)(C)c1cnc(C[NH2+]CCNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001125369996 732922053 /nfs/dbraw/zinc/92/20/53/732922053.db2.gz FMDCENZUCDPGKY-UHFFFAOYSA-N 1 2 315.377 1.691 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001167363141 733296883 /nfs/dbraw/zinc/29/68/83/733296883.db2.gz OHAYBGFUSPXYAW-UHFFFAOYSA-N 1 2 324.425 1.457 20 30 DDEDLO C#C[C@H]1CCCCN1C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC001121147867 782379962 /nfs/dbraw/zinc/37/99/62/782379962.db2.gz DGTOYXQZQPQKPJ-ZDUSSCGKSA-N 1 2 314.389 1.409 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCc2cn[nH]c21 ZINC001027885206 738772941 /nfs/dbraw/zinc/77/29/41/738772941.db2.gz BJAOIOSZLAVOIV-CABCVRRESA-N 1 2 302.422 1.986 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1(Nc2cc[nH+]c(C)n2)CCCC1 ZINC001104408418 734721247 /nfs/dbraw/zinc/72/12/47/734721247.db2.gz LUSXBUKESAOEIV-ZDUSSCGKSA-N 1 2 316.405 1.664 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@H]3c3ccccc3)[C@H]2C1 ZINC001083253068 734886834 /nfs/dbraw/zinc/88/68/34/734886834.db2.gz UZQVDQHPAQHJKG-XWTMOSNGSA-N 1 2 310.397 1.335 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@H]3c3ccccc3)[C@H]2C1 ZINC001083253068 734886839 /nfs/dbraw/zinc/88/68/39/734886839.db2.gz UZQVDQHPAQHJKG-XWTMOSNGSA-N 1 2 310.397 1.335 20 30 DDEDLO C=C(C)C(=O)NCCCNc1cc(C(=O)OC)cc2[nH+]c[nH]c21 ZINC001167669770 735076164 /nfs/dbraw/zinc/07/61/64/735076164.db2.gz OXPJKUZGLFONGS-UHFFFAOYSA-N 1 2 316.361 1.844 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2CCC[N@H+](Cc3ncccn3)C2)[nH]1 ZINC001023319339 735154375 /nfs/dbraw/zinc/15/43/75/735154375.db2.gz YPLUPYOPIDECMQ-CYBMUJFWSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2CCC[N@@H+](Cc3ncccn3)C2)[nH]1 ZINC001023319339 735154379 /nfs/dbraw/zinc/15/43/79/735154379.db2.gz YPLUPYOPIDECMQ-CYBMUJFWSA-N 1 2 324.388 1.318 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3ccc(C)c(C)c3)[C@H]2C1 ZINC001083267982 735944689 /nfs/dbraw/zinc/94/46/89/735944689.db2.gz CXQAILWWZOPADC-ZWKOTPCHSA-N 1 2 312.413 1.391 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3ccc(C)c(C)c3)[C@H]2C1 ZINC001083267982 735944691 /nfs/dbraw/zinc/94/46/91/735944691.db2.gz CXQAILWWZOPADC-ZWKOTPCHSA-N 1 2 312.413 1.391 20 30 DDEDLO CC1(C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H]2CCCN(CC#N)[C@@H]21 ZINC001087306927 736012849 /nfs/dbraw/zinc/01/28/49/736012849.db2.gz QNEJNONRJOFEFW-YUELXQCFSA-N 1 2 301.394 1.081 20 30 DDEDLO N#CCN1CCCC[C@@H]1CNC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001024740447 736057762 /nfs/dbraw/zinc/05/77/62/736057762.db2.gz VBKBXPYTDFXLOU-QGZVFWFLSA-N 1 2 323.400 1.980 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC001027995695 738919416 /nfs/dbraw/zinc/91/94/16/738919416.db2.gz UZBJEOANPAOHKI-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC001027995695 738919420 /nfs/dbraw/zinc/91/94/20/738919420.db2.gz UZBJEOANPAOHKI-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001111981783 736326672 /nfs/dbraw/zinc/32/66/72/736326672.db2.gz PQGCIXOPCYEUMH-AWEZNQCLSA-N 1 2 304.394 1.463 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](F)Cc3ccccc3)[C@H]2C1 ZINC001083281808 736679672 /nfs/dbraw/zinc/67/96/72/736679672.db2.gz CPUBAYWARYBZAI-YESZJQIVSA-N 1 2 316.376 1.112 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](F)Cc3ccccc3)[C@H]2C1 ZINC001083281808 736679675 /nfs/dbraw/zinc/67/96/75/736679675.db2.gz CPUBAYWARYBZAI-YESZJQIVSA-N 1 2 316.376 1.112 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)o1 ZINC001028015291 738937022 /nfs/dbraw/zinc/93/70/22/738937022.db2.gz ZCOSTRAZNNDYGT-JTQLQIEISA-N 1 2 311.769 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)o1 ZINC001028015291 738937024 /nfs/dbraw/zinc/93/70/24/738937024.db2.gz ZCOSTRAZNNDYGT-JTQLQIEISA-N 1 2 311.769 1.325 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC001025908756 737021605 /nfs/dbraw/zinc/02/16/05/737021605.db2.gz XPZUPLQQLLFUJD-IMNPFHOJSA-N 1 2 312.417 1.145 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC001025908756 737021610 /nfs/dbraw/zinc/02/16/10/737021610.db2.gz XPZUPLQQLLFUJD-IMNPFHOJSA-N 1 2 312.417 1.145 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(-c2cccnc2)c1 ZINC001038339531 737022464 /nfs/dbraw/zinc/02/24/64/737022464.db2.gz HNAKIQMMODASMJ-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(-c2cccnc2)c1 ZINC001038339531 737022468 /nfs/dbraw/zinc/02/24/68/737022468.db2.gz HNAKIQMMODASMJ-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC(C)(C(=O)N1C[C@@H]2CC[C@@H](NCC#N)[C@H]2C1)c1c[nH+]c[nH]1 ZINC001026399894 737480546 /nfs/dbraw/zinc/48/05/46/737480546.db2.gz AEXBGNLDARXBHM-RWMBFGLXSA-N 1 2 301.394 1.037 20 30 DDEDLO C[C@@H]1CN(C(=O)CCCn2cc[nH+]c2)C[C@H]1CCNCC#N ZINC001105097410 737525888 /nfs/dbraw/zinc/52/58/88/737525888.db2.gz PMWWIKMFYWWYHR-HUUCEWRRSA-N 1 2 303.410 1.261 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)c3cccs3)C2)nn1 ZINC001105141969 737577659 /nfs/dbraw/zinc/57/76/59/737577659.db2.gz DVTZUKBJMVCUGD-UHFFFAOYSA-N 1 2 315.402 1.150 20 30 DDEDLO C=C/C(C)=C\CC(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105305882 737798898 /nfs/dbraw/zinc/79/88/98/737798898.db2.gz RYHQWZOUMXXFKX-AUWJEWJLSA-N 1 2 313.405 1.297 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC001027408434 738272191 /nfs/dbraw/zinc/27/21/91/738272191.db2.gz PMRDGAVVQUJPCN-SWLSCSKDSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC001027408434 738272192 /nfs/dbraw/zinc/27/21/92/738272192.db2.gz PMRDGAVVQUJPCN-SWLSCSKDSA-N 1 2 316.405 1.775 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2CC(C)C)C1 ZINC001107977063 751397720 /nfs/dbraw/zinc/39/77/20/751397720.db2.gz DQPCRXYLDOZDNU-KRWDZBQOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2CC(C)C)C1 ZINC001107977063 751397727 /nfs/dbraw/zinc/39/77/27/751397727.db2.gz DQPCRXYLDOZDNU-KRWDZBQOSA-N 1 2 320.437 1.546 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001028231330 739202723 /nfs/dbraw/zinc/20/27/23/739202723.db2.gz LIFZHYPYOZDVLB-QWHCGFSZSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001028231330 739202728 /nfs/dbraw/zinc/20/27/28/739202728.db2.gz LIFZHYPYOZDVLB-QWHCGFSZSA-N 1 2 300.406 1.362 20 30 DDEDLO CC(C)(C(=O)N1CC[C@H](Nc2ccc(C#N)nc2)C1)c1c[nH+]c[nH]1 ZINC001058930764 739217568 /nfs/dbraw/zinc/21/75/68/739217568.db2.gz FCDPBNDXACPHTN-AWEZNQCLSA-N 1 2 324.388 1.667 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H](NC(=O)c3ccoc3)[C@@H](O)C2)c1 ZINC001083337832 739711535 /nfs/dbraw/zinc/71/15/35/739711535.db2.gz LCBUHQTVCNJSNT-CVEARBPZSA-N 1 2 311.341 1.126 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H](NC(=O)c3ccoc3)[C@@H](O)C2)c1 ZINC001083337832 739711536 /nfs/dbraw/zinc/71/15/36/739711536.db2.gz LCBUHQTVCNJSNT-CVEARBPZSA-N 1 2 311.341 1.126 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C3CC3)nn2C)C1 ZINC001107979839 751472042 /nfs/dbraw/zinc/47/20/42/751472042.db2.gz NJOLJQBYKSBYST-QGZVFWFLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C3CC3)nn2C)C1 ZINC001107979839 751472045 /nfs/dbraw/zinc/47/20/45/751472045.db2.gz NJOLJQBYKSBYST-QGZVFWFLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC)c(F)c2)C1 ZINC001035402683 751472376 /nfs/dbraw/zinc/47/23/76/751472376.db2.gz NKPLBIXIOYLHCY-CYBMUJFWSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC)c(F)c2)C1 ZINC001035402683 751472379 /nfs/dbraw/zinc/47/23/79/751472379.db2.gz NKPLBIXIOYLHCY-CYBMUJFWSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@H]2C[N@H+](CC=C)CCO2)cc1 ZINC001035420584 751479669 /nfs/dbraw/zinc/47/96/69/751479669.db2.gz ONYQNHSCGZUEKW-KRWDZBQOSA-N 1 2 316.401 1.868 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@H]2C[N@@H+](CC=C)CCO2)cc1 ZINC001035420584 751479674 /nfs/dbraw/zinc/47/96/74/751479674.db2.gz ONYQNHSCGZUEKW-KRWDZBQOSA-N 1 2 316.401 1.868 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3(F)CCCC3)C2)nn1 ZINC001098687864 739924902 /nfs/dbraw/zinc/92/49/02/739924902.db2.gz GFJZMYYZEHPMSC-CQSZACIVSA-N 1 2 319.384 1.057 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2nccc3occc32)C1 ZINC001035452914 751501653 /nfs/dbraw/zinc/50/16/53/751501653.db2.gz JRDLXIATXXNBEC-ZDUSSCGKSA-N 1 2 313.357 1.282 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2nccc3occc32)C1 ZINC001035452914 751501657 /nfs/dbraw/zinc/50/16/57/751501657.db2.gz JRDLXIATXXNBEC-ZDUSSCGKSA-N 1 2 313.357 1.282 20 30 DDEDLO CC1(C)C[C@@H]1C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083412950 740496313 /nfs/dbraw/zinc/49/63/13/740496313.db2.gz VKORJXCJNLXADC-ZACQAIPSSA-N 1 2 312.413 1.246 20 30 DDEDLO CC1(C)C[C@@H]1C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083412950 740496316 /nfs/dbraw/zinc/49/63/16/740496316.db2.gz VKORJXCJNLXADC-ZACQAIPSSA-N 1 2 312.413 1.246 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(OC)cs2)C1 ZINC001035465763 751551105 /nfs/dbraw/zinc/55/11/05/751551105.db2.gz AXGXMIKELQQSHS-ZDUSSCGKSA-N 1 2 308.403 1.211 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(OC)cs2)C1 ZINC001035465763 751551107 /nfs/dbraw/zinc/55/11/07/751551107.db2.gz AXGXMIKELQQSHS-ZDUSSCGKSA-N 1 2 308.403 1.211 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)nc(C)n2)C1 ZINC001035541364 751588005 /nfs/dbraw/zinc/58/80/05/751588005.db2.gz HZBPAJKPMVTFEG-CQSZACIVSA-N 1 2 304.394 1.100 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C)nc(C)n2)C1 ZINC001035541364 751588007 /nfs/dbraw/zinc/58/80/07/751588007.db2.gz HZBPAJKPMVTFEG-CQSZACIVSA-N 1 2 304.394 1.100 20 30 DDEDLO N#Cc1ccc(Br)cc1C[N@H+]1CC[C@@H](C(N)=O)C1 ZINC001141902881 740918729 /nfs/dbraw/zinc/91/87/29/740918729.db2.gz HRBHXXUNEFAFBU-SNVBAGLBSA-N 1 2 308.179 1.628 20 30 DDEDLO N#Cc1ccc(Br)cc1C[N@@H+]1CC[C@@H](C(N)=O)C1 ZINC001141902881 740918733 /nfs/dbraw/zinc/91/87/33/740918733.db2.gz HRBHXXUNEFAFBU-SNVBAGLBSA-N 1 2 308.179 1.628 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cncc(Cl)c2)C1 ZINC001035546000 751592693 /nfs/dbraw/zinc/59/26/93/751592693.db2.gz OYPYHNZHGKBCGQ-AWEZNQCLSA-N 1 2 307.781 1.189 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cncc(Cl)c2)C1 ZINC001035546000 751592695 /nfs/dbraw/zinc/59/26/95/751592695.db2.gz OYPYHNZHGKBCGQ-AWEZNQCLSA-N 1 2 307.781 1.189 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(F)c2Cl)C1 ZINC001035524940 751603205 /nfs/dbraw/zinc/60/32/05/751603205.db2.gz VFCMEGFRUACIRJ-LBPRGKRZSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(F)c2Cl)C1 ZINC001035524940 751603207 /nfs/dbraw/zinc/60/32/07/751603207.db2.gz VFCMEGFRUACIRJ-LBPRGKRZSA-N 1 2 324.783 1.933 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccn(C(C)C)n2)C1 ZINC001035529605 751610072 /nfs/dbraw/zinc/61/00/72/751610072.db2.gz DUKZEVHWXFPZCW-AWEZNQCLSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccn(C(C)C)n2)C1 ZINC001035529605 751610077 /nfs/dbraw/zinc/61/00/77/751610077.db2.gz DUKZEVHWXFPZCW-AWEZNQCLSA-N 1 2 306.410 1.471 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@H]2CCCOC2)s1 ZINC001038104505 741267768 /nfs/dbraw/zinc/26/77/68/741267768.db2.gz NEPOHDONDPHJKZ-QWHCGFSZSA-N 1 2 319.430 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@H]2CCCOC2)s1 ZINC001038104505 741267770 /nfs/dbraw/zinc/26/77/70/741267770.db2.gz NEPOHDONDPHJKZ-QWHCGFSZSA-N 1 2 319.430 1.737 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3scnc3c2)C1 ZINC001035570648 751623408 /nfs/dbraw/zinc/62/34/08/751623408.db2.gz UMLKAJGMNNKVAN-CYBMUJFWSA-N 1 2 317.414 1.913 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3scnc3c2)C1 ZINC001035570648 751623410 /nfs/dbraw/zinc/62/34/10/751623410.db2.gz UMLKAJGMNNKVAN-CYBMUJFWSA-N 1 2 317.414 1.913 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn(CCCC)nc2C)C1 ZINC001035571822 751625174 /nfs/dbraw/zinc/62/51/74/751625174.db2.gz KKIFOGCHULBRMA-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn(CCCC)nc2C)C1 ZINC001035571822 751625180 /nfs/dbraw/zinc/62/51/80/751625180.db2.gz KKIFOGCHULBRMA-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(COC)CCCC2)C1 ZINC001107981742 751638842 /nfs/dbraw/zinc/63/88/42/751638842.db2.gz DZZYMUQCECSONK-INIZCTEOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(COC)CCCC2)C1 ZINC001107981742 751638846 /nfs/dbraw/zinc/63/88/46/751638846.db2.gz DZZYMUQCECSONK-INIZCTEOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(CC)c[nH]c2=O)C1 ZINC001035560930 751642269 /nfs/dbraw/zinc/64/22/69/751642269.db2.gz HCVUJIZDRGEOSA-CQSZACIVSA-N 1 2 319.405 1.356 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(CC)c[nH]c2=O)C1 ZINC001035560930 751642276 /nfs/dbraw/zinc/64/22/76/751642276.db2.gz HCVUJIZDRGEOSA-CQSZACIVSA-N 1 2 319.405 1.356 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CCCC(C)C)C2)nn1 ZINC001098741407 741587883 /nfs/dbraw/zinc/58/78/83/741587883.db2.gz ZFCHTCGYCVJIOZ-INIZCTEOSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C(F)F)o2)C1 ZINC001035596678 751653991 /nfs/dbraw/zinc/65/39/91/751653991.db2.gz SZRIWVCCJREHNY-LLVKDONJSA-N 1 2 312.316 1.671 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C(F)F)o2)C1 ZINC001035596678 751653995 /nfs/dbraw/zinc/65/39/95/751653995.db2.gz SZRIWVCCJREHNY-LLVKDONJSA-N 1 2 312.316 1.671 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1ccn(C)n1 ZINC001088513554 741676460 /nfs/dbraw/zinc/67/64/60/741676460.db2.gz XYCUTJBJZNUZCK-RISCZKNCSA-N 1 2 312.377 1.013 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1ccn(C)n1 ZINC001088513554 741676465 /nfs/dbraw/zinc/67/64/65/741676465.db2.gz XYCUTJBJZNUZCK-RISCZKNCSA-N 1 2 312.377 1.013 20 30 DDEDLO C[C@H](CC(=O)N1C[C@@H]2CCC[C@]2(CNCC#N)C1)n1cc[nH+]c1 ZINC001112537054 741746767 /nfs/dbraw/zinc/74/67/67/741746767.db2.gz NPYUYLABDOLISA-VYDXJSESSA-N 1 2 315.421 1.576 20 30 DDEDLO N#CC1(c2cc[nH+]c(NC3CC4(C3)CS(=O)(=O)C4)c2)CC1 ZINC001168206953 741824154 /nfs/dbraw/zinc/82/41/54/741824154.db2.gz SACQBIVVMCAAGE-UHFFFAOYSA-N 1 2 303.387 1.626 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@]2(C1)CCC[N@H+](Cc1ccon1)C2 ZINC001040198145 741826377 /nfs/dbraw/zinc/82/63/77/741826377.db2.gz PURFKEWGLQTVMX-SFHVURJKSA-N 1 2 313.401 1.903 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@]2(C1)CCC[N@@H+](Cc1ccon1)C2 ZINC001040198145 741826382 /nfs/dbraw/zinc/82/63/82/741826382.db2.gz PURFKEWGLQTVMX-SFHVURJKSA-N 1 2 313.401 1.903 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212039421 741949849 /nfs/dbraw/zinc/94/98/49/741949849.db2.gz LVEJFIFRCLVHIP-NILFDRSVSA-N 1 2 305.353 1.301 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212039421 741949853 /nfs/dbraw/zinc/94/98/53/741949853.db2.gz LVEJFIFRCLVHIP-NILFDRSVSA-N 1 2 305.353 1.301 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1C[C@@H](NC(=O)[C@@H](C)C#N)[C@H](OC)C1 ZINC001212044871 741951911 /nfs/dbraw/zinc/95/19/11/741951911.db2.gz BVXNFVUADZPGIH-PSOPSSQASA-N 1 2 320.393 1.021 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1C[C@@H](NC(=O)[C@@H](C)C#N)[C@H](OC)C1 ZINC001212044871 741951913 /nfs/dbraw/zinc/95/19/13/741951913.db2.gz BVXNFVUADZPGIH-PSOPSSQASA-N 1 2 320.393 1.021 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C1=COCCO1 ZINC001038023278 751683202 /nfs/dbraw/zinc/68/32/02/751683202.db2.gz HXIFCVPRHIRSOQ-MRXNPFEDSA-N 1 2 312.369 1.117 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)C1=COCCO1 ZINC001038023278 751683209 /nfs/dbraw/zinc/68/32/09/751683209.db2.gz HXIFCVPRHIRSOQ-MRXNPFEDSA-N 1 2 312.369 1.117 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc3n[nH]cc3c2)[C@H]1C ZINC001088651701 742047648 /nfs/dbraw/zinc/04/76/48/742047648.db2.gz ZLWBVOIBZLQUQB-MFKMUULPSA-N 1 2 319.796 1.903 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc3n[nH]cc3c2)[C@H]1C ZINC001088651701 742047652 /nfs/dbraw/zinc/04/76/52/742047652.db2.gz ZLWBVOIBZLQUQB-MFKMUULPSA-N 1 2 319.796 1.903 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCc3nccn3C2)[C@H]1C ZINC001088678393 742070190 /nfs/dbraw/zinc/07/01/90/742070190.db2.gz SAKFWTXLYFKAMN-RDBSUJKOSA-N 1 2 322.840 1.777 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCc3nccn3C2)[C@H]1C ZINC001088678393 742070192 /nfs/dbraw/zinc/07/01/92/742070192.db2.gz SAKFWTXLYFKAMN-RDBSUJKOSA-N 1 2 322.840 1.777 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)CCN(C)c1cc[nH+]c(C)n1 ZINC001105588493 742130909 /nfs/dbraw/zinc/13/09/09/742130909.db2.gz AZCDWXJGQCGCLB-UHFFFAOYSA-N 1 2 313.405 1.981 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncsc1C1CC1 ZINC001032625220 751702894 /nfs/dbraw/zinc/70/28/94/751702894.db2.gz IDPNEXNJXYUNLR-STQMWFEESA-N 1 2 301.415 1.943 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncsc1C1CC1 ZINC001032625220 751702900 /nfs/dbraw/zinc/70/29/00/751702900.db2.gz IDPNEXNJXYUNLR-STQMWFEESA-N 1 2 301.415 1.943 20 30 DDEDLO C[C@H]([NH2+]CCNC(=O)C#CC1CC1)c1nc(C(C)(C)C)no1 ZINC001126885432 742425785 /nfs/dbraw/zinc/42/57/85/742425785.db2.gz GKOMRORTVOCQBT-NSHDSACASA-N 1 2 304.394 1.547 20 30 DDEDLO CN(C(=O)CCc1[nH]cc[nH+]1)C1CC(Nc2ccc(C#N)cn2)C1 ZINC001126921170 742617007 /nfs/dbraw/zinc/61/70/07/742617007.db2.gz ZPEURCWKCPMDTN-UHFFFAOYSA-N 1 2 324.388 1.710 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076320460 742663801 /nfs/dbraw/zinc/66/38/01/742663801.db2.gz KHOBNBJWQLYYFO-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001076411289 742716535 /nfs/dbraw/zinc/71/65/35/742716535.db2.gz BKDVFVOELVFDLN-AWEZNQCLSA-N 1 2 318.421 1.023 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001076712190 742933701 /nfs/dbraw/zinc/93/37/01/742933701.db2.gz UTWLDCMKQBCOFP-KBPBESRZSA-N 1 2 318.421 1.403 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001076712190 742933704 /nfs/dbraw/zinc/93/37/04/742933704.db2.gz UTWLDCMKQBCOFP-KBPBESRZSA-N 1 2 318.421 1.403 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cn(C)nn1)CC2 ZINC001035697574 751784156 /nfs/dbraw/zinc/78/41/56/751784156.db2.gz IODNKSDGMQDNKG-UHFFFAOYSA-N 1 2 309.801 1.106 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(COC)no2)C1 ZINC001181517348 743246433 /nfs/dbraw/zinc/24/64/33/743246433.db2.gz KDQNQDOEBCZVIO-NWDGAFQWSA-N 1 2 308.382 1.434 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(C3CC3)no2)C1 ZINC001181600329 743260946 /nfs/dbraw/zinc/26/09/46/743260946.db2.gz ZMEPDYMWSSFGIP-DGCLKSJQSA-N 1 2 320.393 1.401 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)C1 ZINC001077182701 743298852 /nfs/dbraw/zinc/29/88/52/743298852.db2.gz ATMHCHAPRHUKSM-VXGBXAGGSA-N 1 2 318.402 1.099 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)C1 ZINC001077182701 743298857 /nfs/dbraw/zinc/29/88/57/743298857.db2.gz ATMHCHAPRHUKSM-VXGBXAGGSA-N 1 2 318.402 1.099 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)CCNC(=O)Cn1cc[nH+]c1 ZINC001077186653 743303376 /nfs/dbraw/zinc/30/33/76/743303376.db2.gz IZAJWUTUFLQAAW-KBPBESRZSA-N 1 2 306.410 1.496 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccnc2C2CC2)C1 ZINC001108049906 743374705 /nfs/dbraw/zinc/37/47/05/743374705.db2.gz RMMPRQISAAFRMD-GOSISDBHSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccnc2C2CC2)C1 ZINC001108049906 743374710 /nfs/dbraw/zinc/37/47/10/743374710.db2.gz RMMPRQISAAFRMD-GOSISDBHSA-N 1 2 315.417 1.966 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2sccc2C)[C@@H](O)C1 ZINC001089982490 743433016 /nfs/dbraw/zinc/43/30/16/743433016.db2.gz ARWNUKQVDPGCLP-RYUDHWBXSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2sccc2C)[C@@H](O)C1 ZINC001089982490 743433021 /nfs/dbraw/zinc/43/30/21/743433021.db2.gz ARWNUKQVDPGCLP-RYUDHWBXSA-N 1 2 314.838 1.974 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](CC(C)C)OC)CC2)C1 ZINC001105724194 743761032 /nfs/dbraw/zinc/76/10/32/743761032.db2.gz RFTCFRBHZIQYAD-KRWDZBQOSA-N 1 2 324.465 1.925 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2COc3cc(F)ccc3C2)C1 ZINC001030310273 744039325 /nfs/dbraw/zinc/03/93/25/744039325.db2.gz FNBIVOAEYZJQOI-CYBMUJFWSA-N 1 2 304.365 1.753 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1ccn[nH]1 ZINC001006807183 751888087 /nfs/dbraw/zinc/88/80/87/751888087.db2.gz PEUIIMBIUQSNGW-INIZCTEOSA-N 1 2 308.385 1.656 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1ccn[nH]1 ZINC001006807183 751888099 /nfs/dbraw/zinc/88/80/99/751888099.db2.gz PEUIIMBIUQSNGW-INIZCTEOSA-N 1 2 308.385 1.656 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cnn(CC(F)(F)F)c2)C1 ZINC001030449097 744168029 /nfs/dbraw/zinc/16/80/29/744168029.db2.gz QQQXYOQJGLISEF-UHFFFAOYSA-N 1 2 302.300 1.436 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2cn3cc(C)sc3n2)C1 ZINC001030608256 744320628 /nfs/dbraw/zinc/32/06/28/744320628.db2.gz BBHHECCBQXOQCB-UHFFFAOYSA-N 1 2 302.403 1.070 20 30 DDEDLO Cc1ccc(C#N)c(NC2(CNC(=O)CCc3c[nH]c[nH+]3)CC2)n1 ZINC001110383668 744428337 /nfs/dbraw/zinc/42/83/37/744428337.db2.gz SBYMXBYWIIGNHQ-UHFFFAOYSA-N 1 2 324.388 1.678 20 30 DDEDLO Cc1ccc(C#N)c(NC2(CNC(=O)CCc3c[nH+]c[nH]3)CC2)n1 ZINC001110383668 744428338 /nfs/dbraw/zinc/42/83/38/744428338.db2.gz SBYMXBYWIIGNHQ-UHFFFAOYSA-N 1 2 324.388 1.678 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001187296815 744543879 /nfs/dbraw/zinc/54/38/79/744543879.db2.gz SSQUXIZIASVNAZ-DZGCQCFKSA-N 1 2 319.405 1.472 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001187296815 744543882 /nfs/dbraw/zinc/54/38/82/744543882.db2.gz SSQUXIZIASVNAZ-DZGCQCFKSA-N 1 2 319.405 1.472 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)[C@H]2COCC[N@H+]2CCCC)C1 ZINC001030737796 744551488 /nfs/dbraw/zinc/55/14/88/744551488.db2.gz KIEJFKPWSJEIKW-CQSZACIVSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)[C@H]2COCC[N@@H+]2CCCC)C1 ZINC001030737796 744551490 /nfs/dbraw/zinc/55/14/90/744551490.db2.gz KIEJFKPWSJEIKW-CQSZACIVSA-N 1 2 315.845 1.040 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCOC2)C1 ZINC001006889965 751929234 /nfs/dbraw/zinc/92/92/34/751929234.db2.gz DNZXKOAOALIRMU-IAGOWNOFSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCOC2)C1 ZINC001006889965 751929243 /nfs/dbraw/zinc/92/92/43/751929243.db2.gz DNZXKOAOALIRMU-IAGOWNOFSA-N 1 2 313.401 1.675 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001187569405 744583021 /nfs/dbraw/zinc/58/30/21/744583021.db2.gz KLRJQXHWUJIYCF-JKSUJKDBSA-N 1 2 314.433 1.646 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001187569405 744583023 /nfs/dbraw/zinc/58/30/23/744583023.db2.gz KLRJQXHWUJIYCF-JKSUJKDBSA-N 1 2 314.433 1.646 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)COCC ZINC001151676211 744635766 /nfs/dbraw/zinc/63/57/66/744635766.db2.gz RISCIZDBCQABTF-LLVKDONJSA-N 1 2 307.232 1.758 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)COCC ZINC001151676211 744635769 /nfs/dbraw/zinc/63/57/69/744635769.db2.gz RISCIZDBCQABTF-LLVKDONJSA-N 1 2 307.232 1.758 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001187737066 744601800 /nfs/dbraw/zinc/60/18/00/744601800.db2.gz QABHUWLFMPUXAD-UONOGXRCSA-N 1 2 302.378 1.214 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001187737066 744601803 /nfs/dbraw/zinc/60/18/03/744601803.db2.gz QABHUWLFMPUXAD-UONOGXRCSA-N 1 2 302.378 1.214 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001188903233 744791830 /nfs/dbraw/zinc/79/18/30/744791830.db2.gz NQBXPYJYWVAQKC-LSDHHAIUSA-N 1 2 316.405 1.604 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001188903233 744791833 /nfs/dbraw/zinc/79/18/33/744791833.db2.gz NQBXPYJYWVAQKC-LSDHHAIUSA-N 1 2 316.405 1.604 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)CCCOC)C1 ZINC001189085587 744825882 /nfs/dbraw/zinc/82/58/82/744825882.db2.gz KJMKGOQRQLXLBR-GFCCVEGCSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)CCCOC)C1 ZINC001189085587 744825885 /nfs/dbraw/zinc/82/58/85/744825885.db2.gz KJMKGOQRQLXLBR-GFCCVEGCSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)OCCOC)[C@@H]2C1 ZINC001189302621 744864254 /nfs/dbraw/zinc/86/42/54/744864254.db2.gz GJGXQQMYLNZSPT-HZSPNIEDSA-N 1 2 316.829 1.323 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)OCCOC)[C@@H]2C1 ZINC001189302621 744864256 /nfs/dbraw/zinc/86/42/56/744864256.db2.gz GJGXQQMYLNZSPT-HZSPNIEDSA-N 1 2 316.829 1.323 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001189365255 744887098 /nfs/dbraw/zinc/88/70/98/744887098.db2.gz WZGJOQBCTLDNNM-MRXNPFEDSA-N 1 2 318.421 1.065 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001189365255 744887101 /nfs/dbraw/zinc/88/71/01/744887101.db2.gz WZGJOQBCTLDNNM-MRXNPFEDSA-N 1 2 318.421 1.065 20 30 DDEDLO N#Cc1ccnc(NCC2([NH+]3CCCC3)COC2)c1[N+](=O)[O-] ZINC001168445527 744986071 /nfs/dbraw/zinc/98/60/71/744986071.db2.gz WYNAUVLMBUBPHZ-UHFFFAOYSA-N 1 2 303.322 1.138 20 30 DDEDLO N#CCN[C@@H]1CCC[C@H]2CN(C(=O)CCn3cc[nH+]c3)C[C@H]21 ZINC000992796121 745203358 /nfs/dbraw/zinc/20/33/58/745203358.db2.gz VNIDNIQVOZVALP-RRFJBIMHSA-N 1 2 301.394 1.013 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H]2CCC[C@@H](NCC#N)[C@@H]2C1 ZINC000992870899 745260698 /nfs/dbraw/zinc/26/06/98/745260698.db2.gz JAWYCAXQRSNRJA-BFHYXJOUSA-N 1 2 301.394 1.001 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)on1 ZINC001007143553 745515820 /nfs/dbraw/zinc/51/58/20/745515820.db2.gz NHHCQOGCHYXSTQ-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)on1 ZINC001007143553 745515822 /nfs/dbraw/zinc/51/58/22/745515822.db2.gz NHHCQOGCHYXSTQ-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CC1(C)C)C2 ZINC001110448732 745845921 /nfs/dbraw/zinc/84/59/21/745845921.db2.gz IPUZZVLVRYXHCU-SYQHCUMBSA-N 1 2 305.422 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CC1(C)C)C2 ZINC001110448732 745845929 /nfs/dbraw/zinc/84/59/29/745845929.db2.gz IPUZZVLVRYXHCU-SYQHCUMBSA-N 1 2 305.422 1.056 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192871660 745909948 /nfs/dbraw/zinc/90/99/48/745909948.db2.gz VZBOMWFOYVQRGD-HUUCEWRRSA-N 1 2 319.405 1.002 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192871660 745909950 /nfs/dbraw/zinc/90/99/50/745909950.db2.gz VZBOMWFOYVQRGD-HUUCEWRRSA-N 1 2 319.405 1.002 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cc(=O)n(C)o2)[C@H]1C ZINC000993283510 745940224 /nfs/dbraw/zinc/94/02/24/745940224.db2.gz QDNZKDKGHSDLTJ-MNOVXSKESA-N 1 2 313.785 1.314 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cc(=O)n(C)o2)[C@H]1C ZINC000993283510 745940229 /nfs/dbraw/zinc/94/02/29/745940229.db2.gz QDNZKDKGHSDLTJ-MNOVXSKESA-N 1 2 313.785 1.314 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(=O)n(C)c2)[C@@H]1C ZINC000993546605 746207492 /nfs/dbraw/zinc/20/74/92/746207492.db2.gz XNRCQNAFMOBELK-GXTWGEPZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(=O)n(C)c2)[C@@H]1C ZINC000993546605 746207496 /nfs/dbraw/zinc/20/74/96/746207496.db2.gz XNRCQNAFMOBELK-GXTWGEPZSA-N 1 2 323.824 1.721 20 30 DDEDLO COCCC(=O)N1CCC[N@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC001194622171 746428184 /nfs/dbraw/zinc/42/81/84/746428184.db2.gz SXXSYEORMZKWPF-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO COCCC(=O)N1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC001194622171 746428186 /nfs/dbraw/zinc/42/81/86/746428186.db2.gz SXXSYEORMZKWPF-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@H]1C ZINC000994118134 746453299 /nfs/dbraw/zinc/45/32/99/746453299.db2.gz VAAGPEWBSALYMJ-ZYHUDNBSSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@H]1C ZINC000994118134 746453300 /nfs/dbraw/zinc/45/33/00/746453300.db2.gz VAAGPEWBSALYMJ-ZYHUDNBSSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)CC)CC1 ZINC001194876370 746478794 /nfs/dbraw/zinc/47/87/94/746478794.db2.gz QFGNTTUXMUWCQC-INIZCTEOSA-N 1 2 323.481 1.991 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)CC)CC1 ZINC001194876370 746478797 /nfs/dbraw/zinc/47/87/97/746478797.db2.gz QFGNTTUXMUWCQC-INIZCTEOSA-N 1 2 323.481 1.991 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccnn2C)CC1 ZINC001195174609 746545404 /nfs/dbraw/zinc/54/54/04/746545404.db2.gz QJQKFUOVVIVKBE-HNNXBMFYSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccnn2C)CC1 ZINC001195174609 746545407 /nfs/dbraw/zinc/54/54/07/746545407.db2.gz QJQKFUOVVIVKBE-HNNXBMFYSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CC2=CCCCC2)CC1 ZINC001195244892 746554401 /nfs/dbraw/zinc/55/44/01/746554401.db2.gz GIJRIIISCLZDNG-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CC2=CCCCC2)CC1 ZINC001195244892 746554405 /nfs/dbraw/zinc/55/44/05/746554405.db2.gz GIJRIIISCLZDNG-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C#CCC[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001007338969 752103535 /nfs/dbraw/zinc/10/35/35/752103535.db2.gz NRIQNWDQVWJBJS-KGLIPLIRSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001007338969 752103539 /nfs/dbraw/zinc/10/35/39/752103539.db2.gz NRIQNWDQVWJBJS-KGLIPLIRSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccc(F)cn2)CC1 ZINC001195791363 746705753 /nfs/dbraw/zinc/70/57/53/746705753.db2.gz AUKVQLHQZTUNGL-AWEZNQCLSA-N 1 2 319.380 1.293 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccc(F)cn2)CC1 ZINC001195791363 746705755 /nfs/dbraw/zinc/70/57/55/746705755.db2.gz AUKVQLHQZTUNGL-AWEZNQCLSA-N 1 2 319.380 1.293 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CC(=O)N(C3CCCC3)C2)C1 ZINC001031372633 746707244 /nfs/dbraw/zinc/70/72/44/746707244.db2.gz LGYGSFQPMCFHMI-ZDUSSCGKSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H]2CCC[C@@H]2C)CC1 ZINC001195915130 746736008 /nfs/dbraw/zinc/73/60/08/746736008.db2.gz LJZDWBAQTSOKTH-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H]2CCC[C@@H]2C)CC1 ZINC001195915130 746736010 /nfs/dbraw/zinc/73/60/10/746736010.db2.gz LJZDWBAQTSOKTH-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](Cc2ccccc2)C(C)C)C1 ZINC001196169107 746791700 /nfs/dbraw/zinc/79/17/00/746791700.db2.gz ONYFCTXYAPMETP-RCCFBDPRSA-N 1 2 314.429 1.296 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](Cc2ccccc2)C(C)C)C1 ZINC001196169107 746791701 /nfs/dbraw/zinc/79/17/01/746791701.db2.gz ONYFCTXYAPMETP-RCCFBDPRSA-N 1 2 314.429 1.296 20 30 DDEDLO C[C@@H]1C[C@@H]1C(=O)NCC1C[NH+](Cc2ccc(C#N)c(F)c2)C1 ZINC001031522103 746951857 /nfs/dbraw/zinc/95/18/57/746951857.db2.gz PWLFBGZSTWSCIW-ABAIWWIYSA-N 1 2 301.365 1.901 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)c2cc(C)co2)CC1 ZINC001196838976 746977058 /nfs/dbraw/zinc/97/70/58/746977058.db2.gz NVNBXLQWXAUAON-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)c2cc(C)co2)CC1 ZINC001196838976 746977062 /nfs/dbraw/zinc/97/70/62/746977062.db2.gz NVNBXLQWXAUAON-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)CC(C)C)CC1 ZINC001197596421 747215869 /nfs/dbraw/zinc/21/58/69/747215869.db2.gz HBODVALCXABQAN-OAHLLOKOSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)CC(C)C)CC1 ZINC001197596421 747215874 /nfs/dbraw/zinc/21/58/74/747215874.db2.gz HBODVALCXABQAN-OAHLLOKOSA-N 1 2 309.454 1.505 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC001007496735 752174513 /nfs/dbraw/zinc/17/45/13/752174513.db2.gz TUBRPNIVKDJWMD-ZDUSSCGKSA-N 1 2 316.430 1.922 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC001007496735 752174516 /nfs/dbraw/zinc/17/45/16/752174516.db2.gz TUBRPNIVKDJWMD-ZDUSSCGKSA-N 1 2 316.430 1.922 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccsc2)[C@@H](O)C1 ZINC001090041470 747501288 /nfs/dbraw/zinc/50/12/88/747501288.db2.gz BFWSPWYXMNLJCQ-OLZOCXBDSA-N 1 2 314.838 1.595 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccsc2)[C@@H](O)C1 ZINC001090041470 747501292 /nfs/dbraw/zinc/50/12/92/747501292.db2.gz BFWSPWYXMNLJCQ-OLZOCXBDSA-N 1 2 314.838 1.595 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC(NC(=O)c3nnc[nH]3)CC2)s1 ZINC001003813543 747531531 /nfs/dbraw/zinc/53/15/31/747531531.db2.gz IPTAMWTWRTZGLT-UHFFFAOYSA-N 1 2 316.390 1.132 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC(NC(=O)c3ncn[nH]3)CC2)s1 ZINC001003813543 747531532 /nfs/dbraw/zinc/53/15/32/747531532.db2.gz IPTAMWTWRTZGLT-UHFFFAOYSA-N 1 2 316.390 1.132 20 30 DDEDLO C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCCC[N@H+]1C ZINC001089657277 747601197 /nfs/dbraw/zinc/60/11/97/747601197.db2.gz BWSHSUXLEGKEKB-HOTGVXAUSA-N 1 2 305.466 1.711 20 30 DDEDLO C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCCC[N@@H+]1C ZINC001089657277 747601204 /nfs/dbraw/zinc/60/12/04/747601204.db2.gz BWSHSUXLEGKEKB-HOTGVXAUSA-N 1 2 305.466 1.711 20 30 DDEDLO C=C(Cl)CN1CC(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC001031775145 747803973 /nfs/dbraw/zinc/80/39/73/747803973.db2.gz ACZJDTBJKAQQAS-CYBMUJFWSA-N 1 2 308.813 1.246 20 30 DDEDLO C=CC[NH+]1CCN(C(=S)Nc2ccc3c(c2)OCCO3)CC1 ZINC001199676128 747947972 /nfs/dbraw/zinc/94/79/72/747947972.db2.gz WOONKABEVFEOQJ-UHFFFAOYSA-N 1 2 319.430 1.958 20 30 DDEDLO Cc1cnc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001110540167 747949723 /nfs/dbraw/zinc/94/97/23/747949723.db2.gz GCYZPZREPCKJAX-ZACQAIPSSA-N 1 2 310.401 1.420 20 30 DDEDLO Cc1cnc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001110540167 747949727 /nfs/dbraw/zinc/94/97/27/747949727.db2.gz GCYZPZREPCKJAX-ZACQAIPSSA-N 1 2 310.401 1.420 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C)noc2C)[C@@H](O)C1 ZINC001090061828 747950971 /nfs/dbraw/zinc/95/09/71/747950971.db2.gz STFLRMQTFQUQMU-NEPJUHHUSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)noc2C)[C@@H](O)C1 ZINC001090061828 747950975 /nfs/dbraw/zinc/95/09/75/747950975.db2.gz STFLRMQTFQUQMU-NEPJUHHUSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(C)C[NH+]1CC(CNC(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC001031856691 748032838 /nfs/dbraw/zinc/03/28/38/748032838.db2.gz GFQSINCRYWDTJU-WBMJQRKESA-N 1 2 302.422 1.700 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C)nc2)[C@H](O)C1 ZINC001090073514 748063737 /nfs/dbraw/zinc/06/37/37/748063737.db2.gz GFJUBAUDTMRPTM-UONOGXRCSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C)nc2)[C@H](O)C1 ZINC001090073514 748063747 /nfs/dbraw/zinc/06/37/47/748063747.db2.gz GFJUBAUDTMRPTM-UONOGXRCSA-N 1 2 309.797 1.308 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C)no1 ZINC001110546030 748095615 /nfs/dbraw/zinc/09/56/15/748095615.db2.gz JIVVSRHCYFYRQG-XOUADPBQSA-N 1 2 317.389 1.243 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C)no1 ZINC001110546030 748095627 /nfs/dbraw/zinc/09/56/27/748095627.db2.gz JIVVSRHCYFYRQG-XOUADPBQSA-N 1 2 317.389 1.243 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2csnn2)C1 ZINC001031882306 748114857 /nfs/dbraw/zinc/11/48/57/748114857.db2.gz NMLOMLOPVMFAAO-UHFFFAOYSA-N 1 2 313.386 1.272 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001090083857 748151037 /nfs/dbraw/zinc/15/10/37/748151037.db2.gz PVEVTOOWHUUCHZ-CVEARBPZSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001090083857 748151040 /nfs/dbraw/zinc/15/10/40/748151040.db2.gz PVEVTOOWHUUCHZ-CVEARBPZSA-N 1 2 319.380 1.405 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077572319 748155007 /nfs/dbraw/zinc/15/50/07/748155007.db2.gz PDRFAWYXVDGBQA-HNNXBMFYSA-N 1 2 318.421 1.478 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001110551759 748212272 /nfs/dbraw/zinc/21/22/72/748212272.db2.gz ASNYJTIPEODLOT-GBOPCIDUSA-N 1 2 319.430 1.403 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001110551759 748212279 /nfs/dbraw/zinc/21/22/79/748212279.db2.gz ASNYJTIPEODLOT-GBOPCIDUSA-N 1 2 319.430 1.403 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2CC(C)(C)CO2)C1 ZINC001108068931 748250498 /nfs/dbraw/zinc/25/04/98/748250498.db2.gz VXIYPHCULWXFNJ-CRAIPNDOSA-N 1 2 322.449 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2CC(C)(C)CO2)C1 ZINC001108068931 748250502 /nfs/dbraw/zinc/25/05/02/748250502.db2.gz VXIYPHCULWXFNJ-CRAIPNDOSA-N 1 2 322.449 1.422 20 30 DDEDLO C=C(C)CCC(=O)N1CCCO[C@@H](C[NH2+]Cc2cnsn2)C1 ZINC001200627543 748295076 /nfs/dbraw/zinc/29/50/76/748295076.db2.gz LQUHVKITDSDDCP-AWEZNQCLSA-N 1 2 324.450 1.602 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)cncc2C)C1 ZINC001108069974 748304136 /nfs/dbraw/zinc/30/41/36/748304136.db2.gz LCQXPSPJTJEULL-SFHVURJKSA-N 1 2 315.417 1.542 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)cncc2C)C1 ZINC001108069974 748304142 /nfs/dbraw/zinc/30/41/42/748304142.db2.gz LCQXPSPJTJEULL-SFHVURJKSA-N 1 2 315.417 1.542 20 30 DDEDLO C[C@@H]1OCCO[C@@H]1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004458461 748450061 /nfs/dbraw/zinc/45/00/61/748450061.db2.gz ORWAXDBJDHEUAE-IMJJTQAJSA-N 1 2 321.421 1.017 20 30 DDEDLO C[C@@H]1OCCO[C@@H]1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004458461 748450063 /nfs/dbraw/zinc/45/00/63/748450063.db2.gz ORWAXDBJDHEUAE-IMJJTQAJSA-N 1 2 321.421 1.017 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[NH+](C(C)C)CC2)cc1C#N ZINC001201289231 748455165 /nfs/dbraw/zinc/45/51/65/748455165.db2.gz CPFCSMHTGLDZJU-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO CCn1ccc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)n1 ZINC001032028604 748461623 /nfs/dbraw/zinc/46/16/23/748461623.db2.gz UBBQAJPKTWOQHO-UHFFFAOYSA-N 1 2 322.412 1.616 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)[C@@]2(F)CCOC2)CC1 ZINC001004518945 748508482 /nfs/dbraw/zinc/50/84/82/748508482.db2.gz AWSAXBDVFSPBPI-GDBMZVCRSA-N 1 2 309.385 1.342 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)[C@@]2(F)CCOC2)CC1 ZINC001004518945 748508485 /nfs/dbraw/zinc/50/84/85/748508485.db2.gz AWSAXBDVFSPBPI-GDBMZVCRSA-N 1 2 309.385 1.342 20 30 DDEDLO Cc1nc(C)c(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)[nH]1 ZINC001004552305 748550631 /nfs/dbraw/zinc/55/06/31/748550631.db2.gz MVKMSLNZWRDOOL-OAHLLOKOSA-N 1 2 315.421 1.867 20 30 DDEDLO Cc1nc(C)c(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)[nH]1 ZINC001004552305 748550636 /nfs/dbraw/zinc/55/06/36/748550636.db2.gz MVKMSLNZWRDOOL-OAHLLOKOSA-N 1 2 315.421 1.867 20 30 DDEDLO C[C@]1(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)CCOC1 ZINC001004589349 748570817 /nfs/dbraw/zinc/57/08/17/748570817.db2.gz CGLNLJBEGDBQTI-WBVHZDCISA-N 1 2 305.422 1.640 20 30 DDEDLO C[C@]1(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)CCOC1 ZINC001004589349 748570824 /nfs/dbraw/zinc/57/08/24/748570824.db2.gz CGLNLJBEGDBQTI-WBVHZDCISA-N 1 2 305.422 1.640 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001108084654 748743477 /nfs/dbraw/zinc/74/34/77/748743477.db2.gz KIYQESGVOVDMKY-LISAXSMJSA-N 1 2 320.433 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001108084654 748743482 /nfs/dbraw/zinc/74/34/82/748743482.db2.gz KIYQESGVOVDMKY-LISAXSMJSA-N 1 2 320.433 1.032 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001108090312 748783928 /nfs/dbraw/zinc/78/39/28/748783928.db2.gz RHDWOOJQVZQOHR-OCCSQVGLSA-N 1 2 320.355 1.805 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001108090312 748783933 /nfs/dbraw/zinc/78/39/33/748783933.db2.gz RHDWOOJQVZQOHR-OCCSQVGLSA-N 1 2 320.355 1.805 20 30 DDEDLO Cc1nc(N2CC[C@H](CNC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001061571433 748816644 /nfs/dbraw/zinc/81/66/44/748816644.db2.gz ZPDAIGVBELWRBZ-GFCCVEGCSA-N 1 2 310.361 1.241 20 30 DDEDLO CC1(NC(=O)Cc2c[nH]c[nH+]2)CCN(c2ccc(C#N)cn2)CC1 ZINC001110610195 748823169 /nfs/dbraw/zinc/82/31/69/748823169.db2.gz RMVQOYUKBFMGIW-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H]2CN(C(=O)[C@@H](C)C#N)CC2(C)C)n1 ZINC000995581493 748958596 /nfs/dbraw/zinc/95/85/96/748958596.db2.gz MZUJQFZRLDGOPP-RYUDHWBXSA-N 1 2 319.409 1.679 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(CC)nnc2C)C1 ZINC001108097249 749028832 /nfs/dbraw/zinc/02/88/32/749028832.db2.gz DGEFDDIPJAWXTL-QGZVFWFLSA-N 1 2 318.421 1.354 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(CC)nnc2C)C1 ZINC001108097249 749028835 /nfs/dbraw/zinc/02/88/35/749028835.db2.gz DGEFDDIPJAWXTL-QGZVFWFLSA-N 1 2 318.421 1.354 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cn2nc(C)cc2C)C1 ZINC001033243145 749045204 /nfs/dbraw/zinc/04/52/04/749045204.db2.gz PYKUZFGOEFVUKY-AWEZNQCLSA-N 1 2 310.829 1.785 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cn2nc(C)cc2C)C1 ZINC001033243145 749045209 /nfs/dbraw/zinc/04/52/09/749045209.db2.gz PYKUZFGOEFVUKY-AWEZNQCLSA-N 1 2 310.829 1.785 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@](C)(C=C)CCOC)C1 ZINC001108098870 749100273 /nfs/dbraw/zinc/10/02/73/749100273.db2.gz BHCUBTFOPHMJRJ-IRXDYDNUSA-N 1 2 310.438 1.608 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@](C)(C=C)CCOC)C1 ZINC001108098870 749100276 /nfs/dbraw/zinc/10/02/76/749100276.db2.gz BHCUBTFOPHMJRJ-IRXDYDNUSA-N 1 2 310.438 1.608 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nc(C)no4)C[C@H]32)CCC1 ZINC001114394506 749136389 /nfs/dbraw/zinc/13/63/89/749136389.db2.gz CTYRRQOKNYXMLR-NHAGDIPZSA-N 1 2 314.389 1.118 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nc(C)no4)C[C@H]32)CCC1 ZINC001114394506 749136393 /nfs/dbraw/zinc/13/63/93/749136393.db2.gz CTYRRQOKNYXMLR-NHAGDIPZSA-N 1 2 314.389 1.118 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H]2CCCO2)c1 ZINC001032265260 749136803 /nfs/dbraw/zinc/13/68/03/749136803.db2.gz KIOBQGIYFBKHLH-YESZJQIVSA-N 1 2 311.385 1.522 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H]2CCCO2)c1 ZINC001032265260 749136807 /nfs/dbraw/zinc/13/68/07/749136807.db2.gz KIOBQGIYFBKHLH-YESZJQIVSA-N 1 2 311.385 1.522 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)/C=C(\C)C3CC3)nn2)C1 ZINC001107137532 749319353 /nfs/dbraw/zinc/31/93/53/749319353.db2.gz XTPMCLVWZXCAJB-AWNIVKPZSA-N 1 2 315.421 1.683 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H](C)CCC)nn2)C1 ZINC001107149582 749422652 /nfs/dbraw/zinc/42/26/52/749422652.db2.gz JRSUHJCNXOAKRO-ZDUSSCGKSA-N 1 2 305.426 1.763 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@]3(C)C=CCC3)nn2)C1 ZINC001107196667 749557999 /nfs/dbraw/zinc/55/79/99/749557999.db2.gz PNRFRXACUOCYMA-QGZVFWFLSA-N 1 2 315.421 1.683 20 30 DDEDLO C=CCCC1(C(=O)NCc2cn(C3C[NH+](CC=C)C3)nn2)CC1 ZINC001107206432 749603133 /nfs/dbraw/zinc/60/31/33/749603133.db2.gz LVRYFSIKFNDPLL-UHFFFAOYSA-N 1 2 315.421 1.683 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2c(C)nn(C)c2F)C1 ZINC001033624099 749623635 /nfs/dbraw/zinc/62/36/35/749623635.db2.gz JVPTYLUBWCMRLC-LLVKDONJSA-N 1 2 314.792 1.766 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2c(C)nn(C)c2F)C1 ZINC001033624099 749623638 /nfs/dbraw/zinc/62/36/38/749623638.db2.gz JVPTYLUBWCMRLC-LLVKDONJSA-N 1 2 314.792 1.766 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ncn(C)n1 ZINC001039370492 761972132 /nfs/dbraw/zinc/97/21/32/761972132.db2.gz NHKVQIBOCZGQHH-NEPJUHHUSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ncn(C)n1 ZINC001039370492 761972135 /nfs/dbraw/zinc/97/21/35/761972135.db2.gz NHKVQIBOCZGQHH-NEPJUHHUSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)cn2)C1 ZINC001033699677 749733795 /nfs/dbraw/zinc/73/37/95/749733795.db2.gz JMECKXVJUZZRKH-GFCCVEGCSA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)cn2)C1 ZINC001033699677 749733801 /nfs/dbraw/zinc/73/38/01/749733801.db2.gz JMECKXVJUZZRKH-GFCCVEGCSA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001033723941 749775777 /nfs/dbraw/zinc/77/57/77/749775777.db2.gz REWUXZQZVQWQPR-JSGCOSHPSA-N 1 2 310.829 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001033723941 749775781 /nfs/dbraw/zinc/77/57/81/749775781.db2.gz REWUXZQZVQWQPR-JSGCOSHPSA-N 1 2 310.829 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]c(=O)[nH]c1C ZINC001039390509 761990499 /nfs/dbraw/zinc/99/04/99/761990499.db2.gz REUGKTSPRUKWJW-NEPJUHHUSA-N 1 2 324.812 1.855 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]c(=O)[nH]c1C ZINC001039390509 761990502 /nfs/dbraw/zinc/99/05/02/761990502.db2.gz REUGKTSPRUKWJW-NEPJUHHUSA-N 1 2 324.812 1.855 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(CNC(=O)C(F)C(F)(F)F)CCC[C@@H]12 ZINC001107414942 749935954 /nfs/dbraw/zinc/93/59/54/749935954.db2.gz VCEAIQMDUWBEBP-NTZNESFSSA-N 1 2 306.303 1.881 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(CNC(=O)C(F)C(F)(F)F)CCC[C@@H]12 ZINC001107414942 749935955 /nfs/dbraw/zinc/93/59/55/749935955.db2.gz VCEAIQMDUWBEBP-NTZNESFSSA-N 1 2 306.303 1.881 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(CNC(=O)[C@H](F)C(F)(F)F)CCC[C@@H]12 ZINC001107414942 749935959 /nfs/dbraw/zinc/93/59/59/749935959.db2.gz VCEAIQMDUWBEBP-NTZNESFSSA-N 1 2 306.303 1.881 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(CNC(=O)[C@H](F)C(F)(F)F)CCC[C@@H]12 ZINC001107414942 749935966 /nfs/dbraw/zinc/93/59/66/749935966.db2.gz VCEAIQMDUWBEBP-NTZNESFSSA-N 1 2 306.303 1.881 20 30 DDEDLO N#Cc1cnccc1N1CC=C(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001127774469 749964140 /nfs/dbraw/zinc/96/41/40/749964140.db2.gz MPOZDQKAZDRETH-UHFFFAOYSA-N 1 2 322.372 1.172 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cc(=O)n(C)cn2)C1 ZINC001033859636 750001337 /nfs/dbraw/zinc/00/13/37/750001337.db2.gz CZDNYAMPUPOFDW-GFCCVEGCSA-N 1 2 324.812 1.069 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(=O)n(C)cn2)C1 ZINC001033859636 750001339 /nfs/dbraw/zinc/00/13/39/750001339.db2.gz CZDNYAMPUPOFDW-GFCCVEGCSA-N 1 2 324.812 1.069 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001033863266 750005551 /nfs/dbraw/zinc/00/55/51/750005551.db2.gz UXHQBXNQTXIUMX-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001033863266 750005557 /nfs/dbraw/zinc/00/55/57/750005557.db2.gz UXHQBXNQTXIUMX-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cn(C)nc1C)C2 ZINC001095544688 750029937 /nfs/dbraw/zinc/02/99/37/750029937.db2.gz WSRBIKVOTNWICY-ILXRZTDVSA-N 1 2 322.840 1.745 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cn(C)nc1C)C2 ZINC001095544688 750029940 /nfs/dbraw/zinc/02/99/40/750029940.db2.gz WSRBIKVOTNWICY-ILXRZTDVSA-N 1 2 322.840 1.745 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)C=CCC1)C2 ZINC001110902158 750192096 /nfs/dbraw/zinc/19/20/96/750192096.db2.gz OCQZJZUADIILHQ-ZXFNITATSA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)C=CCC1)C2 ZINC001110902158 750192098 /nfs/dbraw/zinc/19/20/98/750192098.db2.gz OCQZJZUADIILHQ-ZXFNITATSA-N 1 2 317.433 1.366 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC ZINC001110932852 750239353 /nfs/dbraw/zinc/23/93/53/750239353.db2.gz AOFRUVFKIGMZIK-YJNKXOJESA-N 1 2 307.438 1.446 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC ZINC001110932852 750239357 /nfs/dbraw/zinc/23/93/57/750239357.db2.gz AOFRUVFKIGMZIK-YJNKXOJESA-N 1 2 307.438 1.446 20 30 DDEDLO Cc1cccnc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032337490 750243947 /nfs/dbraw/zinc/24/39/47/750243947.db2.gz BWKLIBSQLZZXKV-GJZGRUSLSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1cccnc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032337490 750243952 /nfs/dbraw/zinc/24/39/52/750243952.db2.gz BWKLIBSQLZZXKV-GJZGRUSLSA-N 1 2 321.384 1.689 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cscc2C)[C@H](O)C1 ZINC001090176636 750244517 /nfs/dbraw/zinc/24/45/17/750244517.db2.gz BUCOXZGOKAJKLP-CHWSQXEVSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cscc2C)[C@H](O)C1 ZINC001090176636 750244520 /nfs/dbraw/zinc/24/45/20/750244520.db2.gz BUCOXZGOKAJKLP-CHWSQXEVSA-N 1 2 314.838 1.974 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CNc1ccc(C#N)c(C)n1 ZINC001107869438 750497460 /nfs/dbraw/zinc/49/74/60/750497460.db2.gz ZZPODMIQZXAYCY-SNVBAGLBSA-N 1 2 312.377 1.453 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)oc2C(F)(F)F)C1 ZINC001077751904 750510436 /nfs/dbraw/zinc/51/04/36/750510436.db2.gz FZIJZZQGAGALER-GHMZBOCLSA-N 1 2 316.279 1.015 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)oc2C(F)(F)F)C1 ZINC001077751904 750510440 /nfs/dbraw/zinc/51/04/40/750510440.db2.gz FZIJZZQGAGALER-GHMZBOCLSA-N 1 2 316.279 1.015 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1c(C)nc2ccccc21 ZINC001032398184 750569488 /nfs/dbraw/zinc/56/94/88/750569488.db2.gz YVFPUQNOKVCLKO-GJZGRUSLSA-N 1 2 308.385 1.263 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1c(C)nc2ccccc21 ZINC001032398184 750569489 /nfs/dbraw/zinc/56/94/89/750569489.db2.gz YVFPUQNOKVCLKO-GJZGRUSLSA-N 1 2 308.385 1.263 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc([C@@H](C)OC)n1 ZINC001032402767 750589806 /nfs/dbraw/zinc/58/98/06/750589806.db2.gz JBUJTJNDWQQEPP-WOPDTQHZSA-N 1 2 307.419 1.935 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc([C@@H](C)OC)n1 ZINC001032402767 750589807 /nfs/dbraw/zinc/58/98/07/750589807.db2.gz JBUJTJNDWQQEPP-WOPDTQHZSA-N 1 2 307.419 1.935 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc[nH]c2CC)C1 ZINC001107915448 750632149 /nfs/dbraw/zinc/63/21/49/750632149.db2.gz XWZSVMNCTBPMTJ-KRWDZBQOSA-N 1 2 303.406 1.421 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc[nH]c2CC)C1 ZINC001107915448 750632153 /nfs/dbraw/zinc/63/21/53/750632153.db2.gz XWZSVMNCTBPMTJ-KRWDZBQOSA-N 1 2 303.406 1.421 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2CC(OCC)C2)C1 ZINC001107917281 750635102 /nfs/dbraw/zinc/63/51/02/750635102.db2.gz XMOCYXMOQOHTMP-VMBOVVBDSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2CC(OCC)C2)C1 ZINC001107917281 750635104 /nfs/dbraw/zinc/63/51/04/750635104.db2.gz XMOCYXMOQOHTMP-VMBOVVBDSA-N 1 2 308.422 1.032 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCOCC(C)C)[C@H](O)C1 ZINC001099678974 750688274 /nfs/dbraw/zinc/68/82/74/750688274.db2.gz SBOYZBQDQUMFIY-ZIAGYGMSSA-N 1 2 318.845 1.353 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCOCC(C)C)[C@H](O)C1 ZINC001099678974 750688276 /nfs/dbraw/zinc/68/82/76/750688276.db2.gz SBOYZBQDQUMFIY-ZIAGYGMSSA-N 1 2 318.845 1.353 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C(CC)CC)no2)C1 ZINC001077795614 750693526 /nfs/dbraw/zinc/69/35/26/750693526.db2.gz PNCIAGGMGKYPDJ-ZIAGYGMSSA-N 1 2 307.394 1.539 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C(CC)CC)no2)C1 ZINC001077795614 750693529 /nfs/dbraw/zinc/69/35/29/750693529.db2.gz PNCIAGGMGKYPDJ-ZIAGYGMSSA-N 1 2 307.394 1.539 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccsc2C)[C@@H](O)C1 ZINC001090249315 750760363 /nfs/dbraw/zinc/76/03/63/750760363.db2.gz NMKRBONSMTWNDY-STQMWFEESA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccsc2C)[C@@H](O)C1 ZINC001090249315 750760366 /nfs/dbraw/zinc/76/03/66/750760366.db2.gz NMKRBONSMTWNDY-STQMWFEESA-N 1 2 314.838 1.974 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)C(F)=C(C)C)CC2 ZINC001127970879 750909427 /nfs/dbraw/zinc/90/94/27/750909427.db2.gz HJKPKLRJTSQVIB-LBPRGKRZSA-N 1 2 319.384 1.085 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001114686286 750991009 /nfs/dbraw/zinc/99/10/09/750991009.db2.gz JQHFFQJTPCOEDY-FICVDOATSA-N 1 2 302.422 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001114686286 750991013 /nfs/dbraw/zinc/99/10/13/750991013.db2.gz JQHFFQJTPCOEDY-FICVDOATSA-N 1 2 302.422 1.662 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H](CNc2cc[nH+]c(C)n2)C[C@H]1C ZINC001114691930 750995258 /nfs/dbraw/zinc/99/52/58/750995258.db2.gz YEENQCIUMYQYIQ-HIFRSBDPSA-N 1 2 316.405 1.474 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1n1ccnn1 ZINC001129032921 751076974 /nfs/dbraw/zinc/07/69/74/751076974.db2.gz VQWWBZFLFJRHCD-UZBOCLDFSA-N 1 2 323.828 1.728 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1n1ccnn1 ZINC001129032921 751076982 /nfs/dbraw/zinc/07/69/82/751076982.db2.gz VQWWBZFLFJRHCD-UZBOCLDFSA-N 1 2 323.828 1.728 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1n1ccnn1 ZINC001129032920 751077415 /nfs/dbraw/zinc/07/74/15/751077415.db2.gz VQWWBZFLFJRHCD-IVDJAJHCSA-N 1 2 323.828 1.728 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1n1ccnn1 ZINC001129032920 751077421 /nfs/dbraw/zinc/07/74/21/751077421.db2.gz VQWWBZFLFJRHCD-IVDJAJHCSA-N 1 2 323.828 1.728 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001032506803 751113309 /nfs/dbraw/zinc/11/33/09/751113309.db2.gz NKCFFWPEDGIUKK-GJZGRUSLSA-N 1 2 306.369 1.609 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001032506803 751113311 /nfs/dbraw/zinc/11/33/11/751113311.db2.gz NKCFFWPEDGIUKK-GJZGRUSLSA-N 1 2 306.369 1.609 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H]2C[NH2+]Cc2nnc(C)o2)cc1 ZINC001035006599 751117980 /nfs/dbraw/zinc/11/79/80/751117980.db2.gz APMLIQPSDFFNGJ-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO CCN(CCCNC(=O)Cc1[nH]cc[nH+]1)c1ccncc1C#N ZINC001095904961 751222853 /nfs/dbraw/zinc/22/28/53/751222853.db2.gz DBZNKVPNUAHEDK-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC001007848213 752390799 /nfs/dbraw/zinc/39/07/99/752390799.db2.gz YSMLBUBBHPBCIS-AWEZNQCLSA-N 1 2 310.829 1.833 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC001007848213 752390808 /nfs/dbraw/zinc/39/08/08/752390808.db2.gz YSMLBUBBHPBCIS-AWEZNQCLSA-N 1 2 310.829 1.833 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001062109163 752770824 /nfs/dbraw/zinc/77/08/24/752770824.db2.gz KGRJBTGWPQIXMI-ZDUSSCGKSA-N 1 2 324.388 1.583 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001008541315 752791630 /nfs/dbraw/zinc/79/16/30/752791630.db2.gz QKBJVZIHJZCJMI-JTQLQIEISA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001008541315 752791634 /nfs/dbraw/zinc/79/16/34/752791634.db2.gz QKBJVZIHJZCJMI-JTQLQIEISA-N 1 2 310.785 1.060 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)c2ccncn2)C1 ZINC001008922932 752983775 /nfs/dbraw/zinc/98/37/75/752983775.db2.gz IIKJLYHLUXFADB-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)c2ccncn2)C1 ZINC001008922932 752983778 /nfs/dbraw/zinc/98/37/78/752983778.db2.gz IIKJLYHLUXFADB-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccc(N2C[C@@H]3C[C@H]2CN3C(=O)CCn2cc[nH+]c2)nc1 ZINC001062553147 753107831 /nfs/dbraw/zinc/10/78/31/753107831.db2.gz VDOKPFHIIXHWLN-GJZGRUSLSA-N 1 2 322.372 1.030 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2nc(Cl)c(Cl)cc2C#N)C[NH2+]1 ZINC001156242951 762187437 /nfs/dbraw/zinc/18/74/37/762187437.db2.gz OUDKRUHVDCSFQL-CBAPKCEASA-N 1 2 315.160 1.575 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2OCC(C)C)[C@@H](O)C1 ZINC001083870237 753171525 /nfs/dbraw/zinc/17/15/25/753171525.db2.gz WZTHTNZDAJRXPM-CVEARBPZSA-N 1 2 316.401 1.130 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2OCC(C)C)[C@@H](O)C1 ZINC001083870237 753171530 /nfs/dbraw/zinc/17/15/30/753171530.db2.gz WZTHTNZDAJRXPM-CVEARBPZSA-N 1 2 316.401 1.130 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCC2(F)F)C1 ZINC001108016996 753520477 /nfs/dbraw/zinc/52/04/77/753520477.db2.gz LUHUKZMROFMGMI-GXTWGEPZSA-N 1 2 300.349 1.262 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCC2(F)F)C1 ZINC001108016996 753520480 /nfs/dbraw/zinc/52/04/80/753520480.db2.gz LUHUKZMROFMGMI-GXTWGEPZSA-N 1 2 300.349 1.262 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001078036420 753668234 /nfs/dbraw/zinc/66/82/34/753668234.db2.gz UEDGMAZOMWGFQR-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(C3CC3)s2)C1 ZINC001078047418 753681122 /nfs/dbraw/zinc/68/11/22/753681122.db2.gz QXHNUNIBTTXMOD-VXGBXAGGSA-N 1 2 307.419 1.372 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(C3CC3)s2)C1 ZINC001078047418 753681128 /nfs/dbraw/zinc/68/11/28/753681128.db2.gz QXHNUNIBTTXMOD-VXGBXAGGSA-N 1 2 307.419 1.372 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3[nH]ccc3s2)C1 ZINC001078084243 753721831 /nfs/dbraw/zinc/72/18/31/753721831.db2.gz JABOBKHQNGXLED-VXGBXAGGSA-N 1 2 303.387 1.028 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3[nH]ccc3s2)C1 ZINC001078084243 753721837 /nfs/dbraw/zinc/72/18/37/753721837.db2.gz JABOBKHQNGXLED-VXGBXAGGSA-N 1 2 303.387 1.028 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2[nH]c(C)cc2C)C1 ZINC001108028726 753730125 /nfs/dbraw/zinc/73/01/25/753730125.db2.gz KDIUMMHANCPGNJ-KRWDZBQOSA-N 1 2 303.406 1.476 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2[nH]c(C)cc2C)C1 ZINC001108028726 753730128 /nfs/dbraw/zinc/73/01/28/753730128.db2.gz KDIUMMHANCPGNJ-KRWDZBQOSA-N 1 2 303.406 1.476 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001078129087 753764037 /nfs/dbraw/zinc/76/40/37/753764037.db2.gz PMEGIASQKCBDTP-CHWSQXEVSA-N 1 2 306.431 1.588 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001078129087 753764042 /nfs/dbraw/zinc/76/40/42/753764042.db2.gz PMEGIASQKCBDTP-CHWSQXEVSA-N 1 2 306.431 1.588 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001060955843 753785260 /nfs/dbraw/zinc/78/52/60/753785260.db2.gz XSJSDMQVMSWKFA-AWEZNQCLSA-N 1 2 324.388 1.500 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCC(C)(F)F)C1 ZINC001108032600 753806076 /nfs/dbraw/zinc/80/60/76/753806076.db2.gz BXEKVQLRLFTCNN-AWEZNQCLSA-N 1 2 302.365 1.652 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCC(C)(F)F)C1 ZINC001108032600 753806078 /nfs/dbraw/zinc/80/60/78/753806078.db2.gz BXEKVQLRLFTCNN-AWEZNQCLSA-N 1 2 302.365 1.652 20 30 DDEDLO CC(C)C#CC(=O)NCC1(NC(=O)CCn2cc[nH+]c2)CCC1 ZINC001062964825 753875443 /nfs/dbraw/zinc/87/54/43/753875443.db2.gz OEDDXKSTFXVAFY-UHFFFAOYSA-N 1 2 316.405 1.088 20 30 DDEDLO Cc1nc(N2CC[C@@H](N(C)C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001062967821 753878696 /nfs/dbraw/zinc/87/86/96/753878696.db2.gz SRMJPLNUTFTRNP-CQSZACIVSA-N 1 2 324.388 1.265 20 30 DDEDLO C=C(C)CCC(=O)NCC1(NC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001063257227 754056836 /nfs/dbraw/zinc/05/68/36/754056836.db2.gz VKFVFKBONRAQMY-UHFFFAOYSA-N 1 2 318.421 1.772 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCO[C@@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001078441311 754079797 /nfs/dbraw/zinc/07/97/97/754079797.db2.gz SLESKTIQOSJVCY-ZDUSSCGKSA-N 1 2 322.409 1.297 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H](NC(=O)c3ncccc3O)C2)c1 ZINC001010718495 754121791 /nfs/dbraw/zinc/12/17/91/754121791.db2.gz IKVWNUNBRVGLIN-HNNXBMFYSA-N 1 2 322.368 1.663 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H](NC(=O)c3ncccc3O)C2)c1 ZINC001010718495 754121793 /nfs/dbraw/zinc/12/17/93/754121793.db2.gz IKVWNUNBRVGLIN-HNNXBMFYSA-N 1 2 322.368 1.663 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2ccn(C)c(=O)c2)CC1 ZINC001000861062 762275336 /nfs/dbraw/zinc/27/53/36/762275336.db2.gz XTOBDJNCILXLSK-UHFFFAOYSA-N 1 2 321.808 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2ccn(C)c(=O)c2)CC1 ZINC001000861062 762275351 /nfs/dbraw/zinc/27/53/51/762275351.db2.gz XTOBDJNCILXLSK-UHFFFAOYSA-N 1 2 321.808 1.500 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2C[C@@H](NCC#N)C23CCC3)c[nH+]1 ZINC001078657353 754255129 /nfs/dbraw/zinc/25/51/29/754255129.db2.gz VITMEZKLBYOHIV-ZIAGYGMSSA-N 1 2 315.421 1.467 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC1(NC(=O)C#CC(C)C)CCC1 ZINC001063864193 754380316 /nfs/dbraw/zinc/38/03/16/754380316.db2.gz VZPCGBLTNQRSMZ-UHFFFAOYSA-N 1 2 316.405 1.075 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064209343 754563954 /nfs/dbraw/zinc/56/39/54/754563954.db2.gz WZSNTIGLULNPCV-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)nc(C)c2)[C@@H](O)C1 ZINC001090294851 754776667 /nfs/dbraw/zinc/77/66/67/754776667.db2.gz IGBODKBVMUFLFN-CABCVRRESA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)nc(C)c2)[C@@H](O)C1 ZINC001090294851 754776668 /nfs/dbraw/zinc/77/66/68/754776668.db2.gz IGBODKBVMUFLFN-CABCVRRESA-N 1 2 323.824 1.616 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064785721 754847657 /nfs/dbraw/zinc/84/76/57/754847657.db2.gz RBDHQVZUMRMMOA-UONOGXRCSA-N 1 2 324.388 1.641 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001040121111 762394965 /nfs/dbraw/zinc/39/49/65/762394965.db2.gz PUMLHDJHMHGYDM-BLLLJJGKSA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001040121111 762394971 /nfs/dbraw/zinc/39/49/71/762394971.db2.gz PUMLHDJHMHGYDM-BLLLJJGKSA-N 1 2 317.393 1.352 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(CC)o2)[C@H](O)C1 ZINC001090366218 755568989 /nfs/dbraw/zinc/56/89/89/755568989.db2.gz XXLHRUCQSKFBQR-QWHCGFSZSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(CC)o2)[C@H](O)C1 ZINC001090366218 755568990 /nfs/dbraw/zinc/56/89/90/755568990.db2.gz XXLHRUCQSKFBQR-QWHCGFSZSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001014102232 755594734 /nfs/dbraw/zinc/59/47/34/755594734.db2.gz JKBVGTMCBRUXCE-TZMCWYRMSA-N 1 2 316.405 1.414 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001080288685 755827824 /nfs/dbraw/zinc/82/78/24/755827824.db2.gz AMHYJYRHHFJKDX-KGLIPLIRSA-N 1 2 318.421 1.401 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001080345910 755860455 /nfs/dbraw/zinc/86/04/55/755860455.db2.gz FCXVGZAAHFYFTK-SUZMYJTESA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001080345910 755860459 /nfs/dbraw/zinc/86/04/59/755860459.db2.gz FCXVGZAAHFYFTK-SUZMYJTESA-N 1 2 323.828 1.414 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3cnnn3C)C[C@H]2C)CC1 ZINC001080391576 755878481 /nfs/dbraw/zinc/87/84/81/755878481.db2.gz QQWFZGCVFHTZPT-CZUORRHYSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3cnnn3C)C[C@H]2C)CC1 ZINC001080391576 755878488 /nfs/dbraw/zinc/87/84/88/755878488.db2.gz QQWFZGCVFHTZPT-CZUORRHYSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cnnn3CC)C[C@H]2C)C1 ZINC001080404549 755898632 /nfs/dbraw/zinc/89/86/32/755898632.db2.gz NBIUYJUOILARLE-UKRRQHHQSA-N 1 2 317.437 1.591 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cnnn3CC)C[C@H]2C)C1 ZINC001080404549 755898637 /nfs/dbraw/zinc/89/86/37/755898637.db2.gz NBIUYJUOILARLE-UKRRQHHQSA-N 1 2 317.437 1.591 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2nccn3ccnc23)C1 ZINC001080464253 755927202 /nfs/dbraw/zinc/92/72/02/755927202.db2.gz NVXIIEVCQKMKLK-ZYHUDNBSSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2nccn3ccnc23)C1 ZINC001080464253 755927207 /nfs/dbraw/zinc/92/72/07/755927207.db2.gz NVXIIEVCQKMKLK-ZYHUDNBSSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCc3nncn3CC2)C1 ZINC001015328490 756243731 /nfs/dbraw/zinc/24/37/31/756243731.db2.gz WAFOINJZWPDUSY-STQMWFEESA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCc3nncn3CC2)C1 ZINC001015328490 756243732 /nfs/dbraw/zinc/24/37/32/756243732.db2.gz WAFOINJZWPDUSY-STQMWFEESA-N 1 2 323.828 1.174 20 30 DDEDLO Cc1cc(N(C)[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)c(C#N)cn1 ZINC001057038934 762453274 /nfs/dbraw/zinc/45/32/74/762453274.db2.gz YVIUNFBODGSWPF-HNNXBMFYSA-N 1 2 324.388 1.265 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C(C)(C)F ZINC001081270354 756265100 /nfs/dbraw/zinc/26/51/00/756265100.db2.gz WBMCWHRDXIUABC-HZPDHXFCSA-N 1 2 318.392 1.602 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C(C)(C)F ZINC001081270354 756265105 /nfs/dbraw/zinc/26/51/05/756265105.db2.gz WBMCWHRDXIUABC-HZPDHXFCSA-N 1 2 318.392 1.602 20 30 DDEDLO Cc1nocc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015644261 756433980 /nfs/dbraw/zinc/43/39/80/756433980.db2.gz NJECWFBVONDNRP-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1nocc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015644261 756433985 /nfs/dbraw/zinc/43/39/85/756433985.db2.gz NJECWFBVONDNRP-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099728281 756442425 /nfs/dbraw/zinc/44/24/25/756442425.db2.gz WHJKIXZJQQEQPC-OLZOCXBDSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099728281 756442428 /nfs/dbraw/zinc/44/24/28/756442428.db2.gz WHJKIXZJQQEQPC-OLZOCXBDSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3ccnc(OC)n3)C2)C1 ZINC001015674392 756456431 /nfs/dbraw/zinc/45/64/31/756456431.db2.gz ZIITYXTWHUPXJK-AWEZNQCLSA-N 1 2 316.405 1.532 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3ccnc(OC)n3)C2)C1 ZINC001015674392 756456435 /nfs/dbraw/zinc/45/64/35/756456435.db2.gz ZIITYXTWHUPXJK-AWEZNQCLSA-N 1 2 316.405 1.532 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)CC(C)(C)C)CC2=O)C1 ZINC001108576403 762724719 /nfs/dbraw/zinc/72/47/19/762724719.db2.gz SDMFIXUDZNRAMR-ZIAGYGMSSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(CC)c[nH]c2=O)C1 ZINC001015923917 756653983 /nfs/dbraw/zinc/65/39/83/756653983.db2.gz VHMILQKBLGFSBP-GFCCVEGCSA-N 1 2 309.797 1.906 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(CC)c[nH]c2=O)C1 ZINC001015923917 756653984 /nfs/dbraw/zinc/65/39/84/756653984.db2.gz VHMILQKBLGFSBP-GFCCVEGCSA-N 1 2 309.797 1.906 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@@H]2CN(C(=O)C#CC3CC3)C[C@H]2C)no1 ZINC001082504962 756841326 /nfs/dbraw/zinc/84/13/26/756841326.db2.gz YWSHNCDDHURAKT-JTNHKYCSSA-N 1 2 302.378 1.289 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nc3cccnc3s1)C2 ZINC001097227095 756996238 /nfs/dbraw/zinc/99/62/38/756996238.db2.gz IQRLXLPNXKWVCN-RTXFEEFZSA-N 1 2 312.398 1.660 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nc3cccnc3s1)C2 ZINC001097227095 756996241 /nfs/dbraw/zinc/99/62/41/756996241.db2.gz IQRLXLPNXKWVCN-RTXFEEFZSA-N 1 2 312.398 1.660 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3OCCN(C(=O)C(F)F)[C@H]3C2)cc1 ZINC001082972307 756996396 /nfs/dbraw/zinc/99/63/96/756996396.db2.gz USWPAZGJTVMUIH-UONOGXRCSA-N 1 2 321.327 1.235 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3OCCN(C(=O)C(F)F)[C@H]3C2)cc1 ZINC001082972307 756996399 /nfs/dbraw/zinc/99/63/99/756996399.db2.gz USWPAZGJTVMUIH-UONOGXRCSA-N 1 2 321.327 1.235 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nc(CC)c[nH]1)C2 ZINC001097252883 757018278 /nfs/dbraw/zinc/01/82/78/757018278.db2.gz HNRMVDLJBVOQTR-MCIONIFRSA-N 1 2 322.840 1.989 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nc(CC)c[nH]1)C2 ZINC001097252883 757018289 /nfs/dbraw/zinc/01/82/89/757018289.db2.gz HNRMVDLJBVOQTR-MCIONIFRSA-N 1 2 322.840 1.989 20 30 DDEDLO C[C@@H](CC(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)nc2)C1)n1cc[nH+]c1 ZINC001097254801 757020658 /nfs/dbraw/zinc/02/06/58/757020658.db2.gz VOBIYVYONMKNRD-VBNZEHGJSA-N 1 2 324.388 1.860 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097302737 757066342 /nfs/dbraw/zinc/06/63/42/757066342.db2.gz NCUUEOHXVXJTQA-HNNXBMFYSA-N 1 2 300.406 1.921 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(CC)ccn3)[C@H]2C1 ZINC001083091960 757132188 /nfs/dbraw/zinc/13/21/88/757132188.db2.gz KWIAQHISVSEQCZ-DLBZAZTESA-N 1 2 313.401 1.193 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(CC)ccn3)[C@H]2C1 ZINC001083091960 757132190 /nfs/dbraw/zinc/13/21/90/757132190.db2.gz KWIAQHISVSEQCZ-DLBZAZTESA-N 1 2 313.401 1.193 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c(F)c3)[C@H]2C1 ZINC001083136354 757150055 /nfs/dbraw/zinc/15/00/55/757150055.db2.gz SMPFTEIFIMLNFM-DLBZAZTESA-N 1 2 316.376 1.683 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c(F)c3)[C@H]2C1 ZINC001083136354 757150058 /nfs/dbraw/zinc/15/00/58/757150058.db2.gz SMPFTEIFIMLNFM-DLBZAZTESA-N 1 2 316.376 1.683 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097517184 757223938 /nfs/dbraw/zinc/22/39/38/757223938.db2.gz YDLGHRBKVWUQSU-DZGCQCFKSA-N 1 2 318.421 1.709 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COC(C)(C)C)[C@@H](O)C1 ZINC001099794506 757225656 /nfs/dbraw/zinc/22/56/56/757225656.db2.gz NVNKKZXZBBCCRX-RYUDHWBXSA-N 1 2 304.818 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COC(C)(C)C)[C@@H](O)C1 ZINC001099794506 757225661 /nfs/dbraw/zinc/22/56/61/757225661.db2.gz NVNKKZXZBBCCRX-RYUDHWBXSA-N 1 2 304.818 1.105 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC(N(C)C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001016934516 757490622 /nfs/dbraw/zinc/49/06/22/757490622.db2.gz NKVGSWVWUIUNEZ-UHFFFAOYSA-N 1 2 316.405 1.414 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001097766763 757514539 /nfs/dbraw/zinc/51/45/39/757514539.db2.gz SKKOTSPPUHPGGP-GFCCVEGCSA-N 1 2 318.425 1.356 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3Cc2ccnn2C)C1 ZINC001097804778 757549680 /nfs/dbraw/zinc/54/96/80/757549680.db2.gz BOJZOPCTJJKUFP-BMFZPTHFSA-N 1 2 314.433 1.998 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3Cc2ccnn2C)C1 ZINC001097804778 757549685 /nfs/dbraw/zinc/54/96/85/757549685.db2.gz BOJZOPCTJJKUFP-BMFZPTHFSA-N 1 2 314.433 1.998 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CC2CC2)C1=O ZINC001017289938 757792492 /nfs/dbraw/zinc/79/24/92/757792492.db2.gz ISXSUERKYGECDF-OWCLPIDISA-N 1 2 317.433 1.249 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CC2CC2)C1=O ZINC001017289938 757792498 /nfs/dbraw/zinc/79/24/98/757792498.db2.gz ISXSUERKYGECDF-OWCLPIDISA-N 1 2 317.433 1.249 20 30 DDEDLO C#CCOc1ccc(C(=O)N2C[C@H]3CC[N@@H+](CCF)C[C@H]32)cc1 ZINC001084708622 757797121 /nfs/dbraw/zinc/79/71/21/757797121.db2.gz NAIZEQVYTZOHMA-NVXWUHKLSA-N 1 2 316.376 1.814 20 30 DDEDLO C#CCOc1ccc(C(=O)N2C[C@H]3CC[N@H+](CCF)C[C@H]32)cc1 ZINC001084708622 757797131 /nfs/dbraw/zinc/79/71/31/757797131.db2.gz NAIZEQVYTZOHMA-NVXWUHKLSA-N 1 2 316.376 1.814 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C2)on1 ZINC001099826364 757898081 /nfs/dbraw/zinc/89/80/81/757898081.db2.gz KHEXRBZKCFIZKV-CABCVRRESA-N 1 2 319.405 1.084 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C2)on1 ZINC001099826364 757898087 /nfs/dbraw/zinc/89/80/87/757898087.db2.gz KHEXRBZKCFIZKV-CABCVRRESA-N 1 2 319.405 1.084 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[N@H+](Cc3cscn3)[C@H]2C1 ZINC001084904682 758050057 /nfs/dbraw/zinc/05/00/57/758050057.db2.gz VFPBERLTBVXLFQ-BZPMIXESSA-N 1 2 304.419 1.726 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[N@@H+](Cc3cscn3)[C@H]2C1 ZINC001084904682 758050071 /nfs/dbraw/zinc/05/00/71/758050071.db2.gz VFPBERLTBVXLFQ-BZPMIXESSA-N 1 2 304.419 1.726 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1OCCO2 ZINC001017599865 758066921 /nfs/dbraw/zinc/06/69/21/758066921.db2.gz YAZFXOSCLGFZIK-OKILXGFUSA-N 1 2 312.369 1.380 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1OCCO2 ZINC001017599865 758066933 /nfs/dbraw/zinc/06/69/33/758066933.db2.gz YAZFXOSCLGFZIK-OKILXGFUSA-N 1 2 312.369 1.380 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccc(C(F)F)n1 ZINC001017605010 758071384 /nfs/dbraw/zinc/07/13/84/758071384.db2.gz NROWYVAQEJFJIF-BETUJISGSA-N 1 2 322.359 1.519 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccc(C(F)F)n1 ZINC001017605010 758071391 /nfs/dbraw/zinc/07/13/91/758071391.db2.gz NROWYVAQEJFJIF-BETUJISGSA-N 1 2 322.359 1.519 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCN(c2ccccc2)C1 ZINC001017651535 758121000 /nfs/dbraw/zinc/12/10/00/758121000.db2.gz KSEPCXFQIAXYGH-QRQLOZEOSA-N 1 2 323.440 1.821 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCN(c2ccccc2)C1 ZINC001017651535 758121004 /nfs/dbraw/zinc/12/10/04/758121004.db2.gz KSEPCXFQIAXYGH-QRQLOZEOSA-N 1 2 323.440 1.821 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(C)ccc1OC ZINC001017713621 758176483 /nfs/dbraw/zinc/17/64/83/758176483.db2.gz OWFNCFDMCANODR-CALCHBBNSA-N 1 2 312.413 1.855 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(C)ccc1OC ZINC001017713621 758176486 /nfs/dbraw/zinc/17/64/86/758176486.db2.gz OWFNCFDMCANODR-CALCHBBNSA-N 1 2 312.413 1.855 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc2c(c1)CCO2 ZINC001017779800 758231559 /nfs/dbraw/zinc/23/15/59/758231559.db2.gz DMPQSXHOIYDPII-CALCHBBNSA-N 1 2 310.397 1.472 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc2c(c1)CCO2 ZINC001017779800 758231566 /nfs/dbraw/zinc/23/15/66/758231566.db2.gz DMPQSXHOIYDPII-CALCHBBNSA-N 1 2 310.397 1.472 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1n[nH]c3c1CCC3)CCO2 ZINC001053325196 758375484 /nfs/dbraw/zinc/37/54/84/758375484.db2.gz NYEOFKXSRCGHCH-UHFFFAOYSA-N 1 2 316.405 1.001 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCC[C@H](OC)C1)CCO2 ZINC001053402704 758434404 /nfs/dbraw/zinc/43/44/04/758434404.db2.gz LCOVMVOCURYFJM-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001018013280 758473694 /nfs/dbraw/zinc/47/36/94/758473694.db2.gz BSCKKDMAJYIONJ-UXLLHSPISA-N 1 2 314.360 1.438 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001018013280 758473703 /nfs/dbraw/zinc/47/37/03/758473703.db2.gz BSCKKDMAJYIONJ-UXLLHSPISA-N 1 2 314.360 1.438 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)nc1OC ZINC001018034696 758492558 /nfs/dbraw/zinc/49/25/58/758492558.db2.gz RBBRRBDHUNYATJ-OKILXGFUSA-N 1 2 301.390 1.873 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)nc1OC ZINC001018034696 758492561 /nfs/dbraw/zinc/49/25/61/758492561.db2.gz RBBRRBDHUNYATJ-OKILXGFUSA-N 1 2 301.390 1.873 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cnon2)cc1 ZINC001018084619 758534935 /nfs/dbraw/zinc/53/49/35/758534935.db2.gz XYTLMHQUOCMFDV-GASCZTMLSA-N 1 2 323.356 1.430 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cnon2)cc1 ZINC001018084619 758534939 /nfs/dbraw/zinc/53/49/39/758534939.db2.gz XYTLMHQUOCMFDV-GASCZTMLSA-N 1 2 323.356 1.430 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@H](C(C)C)C1 ZINC001018144402 758579333 /nfs/dbraw/zinc/57/93/33/758579333.db2.gz POGZHSWVERXQNF-NXOAAHMSSA-N 1 2 304.434 1.746 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@H](C(C)C)C1 ZINC001018144402 758579338 /nfs/dbraw/zinc/57/93/38/758579338.db2.gz POGZHSWVERXQNF-NXOAAHMSSA-N 1 2 304.434 1.746 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c[nH]nc1CC)O2 ZINC001053622544 758641080 /nfs/dbraw/zinc/64/10/80/758641080.db2.gz ZUXOJSPXXKCBQA-LBPRGKRZSA-N 1 2 304.394 1.121 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)n(C)nc1Cl ZINC001018224310 758646353 /nfs/dbraw/zinc/64/63/53/758646353.db2.gz KPUZIYPYJSBNSR-TXEJJXNPSA-N 1 2 306.797 1.304 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)n(C)nc1Cl ZINC001018224310 758646355 /nfs/dbraw/zinc/64/63/55/758646355.db2.gz KPUZIYPYJSBNSR-TXEJJXNPSA-N 1 2 306.797 1.304 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccn(C)c1C)O2 ZINC001053659285 758673038 /nfs/dbraw/zinc/67/30/38/758673038.db2.gz OWMRVUYOSACTED-AWEZNQCLSA-N 1 2 303.406 1.483 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C(C)C ZINC001018266735 758679362 /nfs/dbraw/zinc/67/93/62/758679362.db2.gz QYCVJKGYIMQVCL-OKILXGFUSA-N 1 2 300.406 1.466 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C(C)C ZINC001018266735 758679366 /nfs/dbraw/zinc/67/93/66/758679366.db2.gz QYCVJKGYIMQVCL-OKILXGFUSA-N 1 2 300.406 1.466 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)[nH]c1C)O2 ZINC001053669073 758681114 /nfs/dbraw/zinc/68/11/14/758681114.db2.gz QSGKOHCWIRODMM-CQSZACIVSA-N 1 2 303.406 1.781 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c2c1CCCCC2 ZINC001018271003 758685645 /nfs/dbraw/zinc/68/56/45/758685645.db2.gz YCBAEMWQBGWTNP-OKILXGFUSA-N 1 2 312.417 1.601 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c2c1CCCCC2 ZINC001018271003 758685650 /nfs/dbraw/zinc/68/56/50/758685650.db2.gz YCBAEMWQBGWTNP-OKILXGFUSA-N 1 2 312.417 1.601 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cncc(Cl)c1)O2 ZINC001053678708 758689631 /nfs/dbraw/zinc/68/96/31/758689631.db2.gz JNFNTVSEDIPFAA-CQSZACIVSA-N 1 2 321.808 1.884 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnoc1C(C)C)O2 ZINC001053683282 758692887 /nfs/dbraw/zinc/69/28/87/758692887.db2.gz QGKHICIIWBUDNE-ZDUSSCGKSA-N 1 2 319.405 1.947 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC001065677713 758696799 /nfs/dbraw/zinc/69/67/99/758696799.db2.gz WGGKIDIAXFSTLP-JKSUJKDBSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1csc(CC)n1 ZINC001018301834 758711930 /nfs/dbraw/zinc/71/19/30/758711930.db2.gz LIGXSXNUDMHEPS-OKILXGFUSA-N 1 2 303.431 1.556 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1csc(CC)n1 ZINC001018301834 758711939 /nfs/dbraw/zinc/71/19/39/758711939.db2.gz LIGXSXNUDMHEPS-OKILXGFUSA-N 1 2 303.431 1.556 20 30 DDEDLO CCCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)[C@H](C)C#N)C2)CC1 ZINC001065712405 758729961 /nfs/dbraw/zinc/72/99/61/758729961.db2.gz XKRPWIGCMWGFAD-CABCVRRESA-N 1 2 320.437 1.081 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CCC(C)(F)F)CC2=O)C1 ZINC001108553365 762666112 /nfs/dbraw/zinc/66/61/12/762666112.db2.gz LDICQPRDOIJSIO-LLVKDONJSA-N 1 2 315.364 1.009 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCCC1(C)C)CO2 ZINC001053897156 758929960 /nfs/dbraw/zinc/92/99/60/758929960.db2.gz MEWYVAVUGAHDTG-CABCVRRESA-N 1 2 304.434 1.796 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1Cc3ccccc31)CO2 ZINC001053911964 758946815 /nfs/dbraw/zinc/94/68/15/758946815.db2.gz QWGLEISZRIVQDF-NVXWUHKLSA-N 1 2 312.413 1.862 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@]13C[C@H]1CCCC3)CO2 ZINC001053918456 758953892 /nfs/dbraw/zinc/95/38/92/758953892.db2.gz MYWGOVYJCOHTFN-RVKKMQEKSA-N 1 2 302.418 1.550 20 30 DDEDLO Cc1nc(N2C[C@@H]3CN(C(=O)c4cc(C#N)c[nH]4)C[C@@H]3C2)cc[nH+]1 ZINC001065988119 758962659 /nfs/dbraw/zinc/96/26/59/758962659.db2.gz YCJWGCFUSAAFHV-OKILXGFUSA-N 1 2 322.372 1.193 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)[nH]c1C)CO2 ZINC001053940178 758976392 /nfs/dbraw/zinc/97/63/92/758976392.db2.gz YTCNPGGQCINMBU-AWEZNQCLSA-N 1 2 301.390 1.228 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc3cc[nH]c3c1)CO2 ZINC001053940953 758976989 /nfs/dbraw/zinc/97/69/89/758976989.db2.gz PBGAUFMOACNLOA-INIZCTEOSA-N 1 2 323.396 1.764 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C1CC1)C1CC1)CO2 ZINC001053980876 759020082 /nfs/dbraw/zinc/02/00/82/759020082.db2.gz UCZQRHOSTRHCTG-OAHLLOKOSA-N 1 2 302.418 1.405 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001066106353 759082221 /nfs/dbraw/zinc/08/22/21/759082221.db2.gz AZSLWPXQFYVBKR-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cncnc1C ZINC001054032812 759085792 /nfs/dbraw/zinc/08/57/92/759085792.db2.gz IGUZZYQSIQQLNP-KRWDZBQOSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cncnc1C ZINC001054032812 759085799 /nfs/dbraw/zinc/08/57/99/759085799.db2.gz IGUZZYQSIQQLNP-KRWDZBQOSA-N 1 2 320.396 1.575 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCCC[C@H]2[NH2+]Cc2nnn(C)n2)C1 ZINC001085325009 759268537 /nfs/dbraw/zinc/26/85/37/759268537.db2.gz UPFCAUOXYVVONC-CHWSQXEVSA-N 1 2 318.425 1.083 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnn(CC)n3)cc2C1 ZINC001054264310 759370318 /nfs/dbraw/zinc/37/03/18/759370318.db2.gz ZZGJZIUAKIMFGR-UHFFFAOYSA-N 1 2 309.373 1.177 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnn(CC)n3)cc2C1 ZINC001054264310 759370329 /nfs/dbraw/zinc/37/03/29/759370329.db2.gz ZZGJZIUAKIMFGR-UHFFFAOYSA-N 1 2 309.373 1.177 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnc[nH]c3=O)cc2C1 ZINC001054270522 759375650 /nfs/dbraw/zinc/37/56/50/759375650.db2.gz QPJTYHHPGROUDX-UHFFFAOYSA-N 1 2 322.368 1.451 20 30 DDEDLO CC#CC[N@H+]1Cc2ccc(CNC(=O)c3cnc[nH]c3=O)cc2C1 ZINC001054270522 759375662 /nfs/dbraw/zinc/37/56/62/759375662.db2.gz QPJTYHHPGROUDX-UHFFFAOYSA-N 1 2 322.368 1.451 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C2CCC2)C1=O ZINC001085451921 759410192 /nfs/dbraw/zinc/41/01/92/759410192.db2.gz CUMKYBWBXCSYGC-CABCVRRESA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C2CCC2)C1=O ZINC001085451921 759410195 /nfs/dbraw/zinc/41/01/95/759410195.db2.gz CUMKYBWBXCSYGC-CABCVRRESA-N 1 2 305.422 1.106 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cncnc1 ZINC001085560290 759694353 /nfs/dbraw/zinc/69/43/53/759694353.db2.gz JDINPKIBLCVASG-GOSISDBHSA-N 1 2 320.396 1.675 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cncnc1 ZINC001085560290 759694356 /nfs/dbraw/zinc/69/43/56/759694356.db2.gz JDINPKIBLCVASG-GOSISDBHSA-N 1 2 320.396 1.675 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cn(C)nn1 ZINC001085573549 759745441 /nfs/dbraw/zinc/74/54/41/759745441.db2.gz ZMTIHHUVFKCPJT-MRXNPFEDSA-N 1 2 323.400 1.013 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cn(C)nn1 ZINC001085573549 759745447 /nfs/dbraw/zinc/74/54/47/759745447.db2.gz ZMTIHHUVFKCPJT-MRXNPFEDSA-N 1 2 323.400 1.013 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)Oc2cccnc2)C1 ZINC001108205383 760086954 /nfs/dbraw/zinc/08/69/54/760086954.db2.gz NKUOXGRSBWYOFE-YOEHRIQHSA-N 1 2 319.405 1.242 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)Oc2cccnc2)C1 ZINC001108205383 760086967 /nfs/dbraw/zinc/08/69/67/760086967.db2.gz NKUOXGRSBWYOFE-YOEHRIQHSA-N 1 2 319.405 1.242 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1conc1C(F)(F)F ZINC001085724303 760124632 /nfs/dbraw/zinc/12/46/32/760124632.db2.gz SJBDZPQJQREUEV-JTQLQIEISA-N 1 2 315.295 1.863 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1conc1C(F)(F)F ZINC001085724303 760124637 /nfs/dbraw/zinc/12/46/37/760124637.db2.gz SJBDZPQJQREUEV-JTQLQIEISA-N 1 2 315.295 1.863 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001069215642 767920802 /nfs/dbraw/zinc/92/08/02/767920802.db2.gz XHCJOTKPQFDJTQ-RISCZKNCSA-N 1 2 310.361 1.320 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2[C@@H](C)C(=O)NC)CC1 ZINC001085800916 760270845 /nfs/dbraw/zinc/27/08/45/760270845.db2.gz FKOGDGMPOLUPKN-DZGCQCFKSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@@H](C)C(=O)NC)CC1 ZINC001085800916 760270847 /nfs/dbraw/zinc/27/08/47/760270847.db2.gz FKOGDGMPOLUPKN-DZGCQCFKSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)nnn2CC ZINC001085966427 760617989 /nfs/dbraw/zinc/61/79/89/760617989.db2.gz JVIOYFJEQLCGMK-CQSZACIVSA-N 1 2 311.389 1.231 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)nnn2CC ZINC001085966427 760617995 /nfs/dbraw/zinc/61/79/95/760617995.db2.gz JVIOYFJEQLCGMK-CQSZACIVSA-N 1 2 311.389 1.231 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)C12CC(C(F)(F)F)(C1)C2 ZINC001085988478 760660538 /nfs/dbraw/zinc/66/05/38/760660538.db2.gz KPNKRKPXJGVKEL-XGNXJENSSA-N 1 2 300.324 1.885 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C12CC(C(F)(F)F)(C1)C2 ZINC001085988478 760660540 /nfs/dbraw/zinc/66/05/40/760660540.db2.gz KPNKRKPXJGVKEL-XGNXJENSSA-N 1 2 300.324 1.885 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OCC2CC2)nc1 ZINC001085984832 760663130 /nfs/dbraw/zinc/66/31/30/760663130.db2.gz NZNNASJOJUANFJ-MRXNPFEDSA-N 1 2 313.401 1.650 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OCC2CC2)nc1 ZINC001085984832 760663134 /nfs/dbraw/zinc/66/31/34/760663134.db2.gz NZNNASJOJUANFJ-MRXNPFEDSA-N 1 2 313.401 1.650 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(NC(C)=O)ccc1F ZINC001085996636 760673988 /nfs/dbraw/zinc/67/39/88/760673988.db2.gz SLQJPTPVAUPDBL-AWEZNQCLSA-N 1 2 317.364 1.564 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(NC(C)=O)ccc1F ZINC001085996636 760673995 /nfs/dbraw/zinc/67/39/95/760673995.db2.gz SLQJPTPVAUPDBL-AWEZNQCLSA-N 1 2 317.364 1.564 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001038175346 760891104 /nfs/dbraw/zinc/89/11/04/760891104.db2.gz YQDHHSVCYISKHS-ZDUSSCGKSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001038175346 760891117 /nfs/dbraw/zinc/89/11/17/760891117.db2.gz YQDHHSVCYISKHS-ZDUSSCGKSA-N 1 2 313.361 1.496 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)[nH]c2C)C1 ZINC001108246355 761086148 /nfs/dbraw/zinc/08/61/48/761086148.db2.gz KAGYSCXGRLDMKX-QGZVFWFLSA-N 1 2 303.406 1.476 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)[nH]c2C)C1 ZINC001108246355 761086157 /nfs/dbraw/zinc/08/61/57/761086157.db2.gz KAGYSCXGRLDMKX-QGZVFWFLSA-N 1 2 303.406 1.476 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001038483893 761153867 /nfs/dbraw/zinc/15/38/67/761153867.db2.gz IBPZAVNCOROIQP-OAHLLOKOSA-N 1 2 308.385 1.904 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001038483893 761153870 /nfs/dbraw/zinc/15/38/70/761153870.db2.gz IBPZAVNCOROIQP-OAHLLOKOSA-N 1 2 308.385 1.904 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1csc(-c2ncc[nH]2)n1 ZINC001038532558 761181969 /nfs/dbraw/zinc/18/19/69/761181969.db2.gz OEXZYRQXBWSNGH-NSHDSACASA-N 1 2 315.402 1.361 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1csc(-c2ncc[nH]2)n1 ZINC001038532558 761181970 /nfs/dbraw/zinc/18/19/70/761181970.db2.gz OEXZYRQXBWSNGH-NSHDSACASA-N 1 2 315.402 1.361 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCN2Cc2c[nH+]cn2C)cc1 ZINC001038578621 761212259 /nfs/dbraw/zinc/21/22/59/761212259.db2.gz NGCJNFBYRHDDDT-INIZCTEOSA-N 1 2 308.385 1.406 20 30 DDEDLO Cc1nc(NC[C@@H]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001069465544 768029610 /nfs/dbraw/zinc/02/96/10/768029610.db2.gz GZMQGVSMZRFKLR-OLZOCXBDSA-N 1 2 324.388 1.995 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@H]21 ZINC001056625117 761300382 /nfs/dbraw/zinc/30/03/82/761300382.db2.gz UJSSCQNBQFMXSH-UONOGXRCSA-N 1 2 316.405 1.368 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(N2CCCC2)nc1 ZINC001038826964 761442837 /nfs/dbraw/zinc/44/28/37/761442837.db2.gz PFMKRDVKPIRAON-MRXNPFEDSA-N 1 2 312.417 1.509 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(N2CCCC2)nc1 ZINC001038826964 761442839 /nfs/dbraw/zinc/44/28/39/761442839.db2.gz PFMKRDVKPIRAON-MRXNPFEDSA-N 1 2 312.417 1.509 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccncc1C(F)(F)F ZINC001038874937 761498683 /nfs/dbraw/zinc/49/86/83/761498683.db2.gz UCWVCGVIXDVKPR-NSHDSACASA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccncc1C(F)(F)F ZINC001038874937 761498686 /nfs/dbraw/zinc/49/86/86/761498686.db2.gz UCWVCGVIXDVKPR-NSHDSACASA-N 1 2 311.307 1.928 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@@H](C)Nc2cncc(C#N)n2)c(C)[nH+]1 ZINC001098431044 761534964 /nfs/dbraw/zinc/53/49/64/761534964.db2.gz AONNDJFIKWZVRD-GFCCVEGCSA-N 1 2 324.388 1.899 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2csc(C)c2)C1 ZINC001108280056 761682040 /nfs/dbraw/zinc/68/20/40/761682040.db2.gz MSKQGGAPFVEHNI-MRXNPFEDSA-N 1 2 306.431 1.901 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2csc(C)c2)C1 ZINC001108280056 761682045 /nfs/dbraw/zinc/68/20/45/761682045.db2.gz MSKQGGAPFVEHNI-MRXNPFEDSA-N 1 2 306.431 1.901 20 30 DDEDLO N#CCN1CC[C@@H]([C@@H]2CCCCN2C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001039211706 761844244 /nfs/dbraw/zinc/84/42/44/761844244.db2.gz UBXMNXPFNJWYTK-ZBFHGGJFSA-N 1 2 315.421 1.569 20 30 DDEDLO N#CCN1CC[C@@H]([C@@H]2CCCCN2C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001039211706 761844253 /nfs/dbraw/zinc/84/42/53/761844253.db2.gz UBXMNXPFNJWYTK-ZBFHGGJFSA-N 1 2 315.421 1.569 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC001000515058 761860383 /nfs/dbraw/zinc/86/03/83/761860383.db2.gz HKTLZVQNCOFNAR-OLZOCXBDSA-N 1 2 312.797 1.296 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC001000515058 761860391 /nfs/dbraw/zinc/86/03/91/761860391.db2.gz HKTLZVQNCOFNAR-OLZOCXBDSA-N 1 2 312.797 1.296 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H](CC)CCC)CC2=O)C1 ZINC001108585171 762760927 /nfs/dbraw/zinc/76/09/27/762760927.db2.gz PQQDYVYNYHGBBQ-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC)CCC)[C@@H](n2ccnn2)C1 ZINC001129353424 762815850 /nfs/dbraw/zinc/81/58/50/762815850.db2.gz OZPRUEHPUQIXAW-ZNMIVQPWSA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)CCC)[C@@H](n2ccnn2)C1 ZINC001129353424 762815854 /nfs/dbraw/zinc/81/58/54/762815854.db2.gz OZPRUEHPUQIXAW-ZNMIVQPWSA-N 1 2 303.410 1.079 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cnn(C)c2OC)CC1 ZINC001001407858 762835473 /nfs/dbraw/zinc/83/54/73/762835473.db2.gz FXMDMXGVKJXOQK-UHFFFAOYSA-N 1 2 324.812 1.543 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cnn(C)c2OC)CC1 ZINC001001407858 762835477 /nfs/dbraw/zinc/83/54/77/762835477.db2.gz FXMDMXGVKJXOQK-UHFFFAOYSA-N 1 2 324.812 1.543 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@]2(CC[N@@H+](Cc3nncn3C)C2)C1 ZINC001041544907 763122667 /nfs/dbraw/zinc/12/26/67/763122667.db2.gz RIOJPEOOVARQKT-QGZVFWFLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@]2(CC[N@H+](Cc3nncn3C)C2)C1 ZINC001041544907 763122676 /nfs/dbraw/zinc/12/26/76/763122676.db2.gz RIOJPEOOVARQKT-QGZVFWFLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@]2(CC[N@@H+](Cc3nnc(C)[nH]3)C2)C1 ZINC001041544904 763123047 /nfs/dbraw/zinc/12/30/47/763123047.db2.gz RIFNWGYJBJETPT-KRWDZBQOSA-N 1 2 317.437 1.750 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@]2(CC[N@H+](Cc3nnc(C)[nH]3)C2)C1 ZINC001041544904 763123054 /nfs/dbraw/zinc/12/30/54/763123054.db2.gz RIFNWGYJBJETPT-KRWDZBQOSA-N 1 2 317.437 1.750 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccnn1C ZINC001109246207 763508058 /nfs/dbraw/zinc/50/80/58/763508058.db2.gz SJEDPRIEBAOART-KBMXLJTQSA-N 1 2 300.406 1.301 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccnn1C ZINC001109246207 763508066 /nfs/dbraw/zinc/50/80/66/763508066.db2.gz SJEDPRIEBAOART-KBMXLJTQSA-N 1 2 300.406 1.301 20 30 DDEDLO Cc1ccc(C#N)c(N(C)C[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001109255410 763519093 /nfs/dbraw/zinc/51/90/93/763519093.db2.gz RNTZGUKOLSQMLH-LBPRGKRZSA-N 1 2 312.377 1.168 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109257785 763522527 /nfs/dbraw/zinc/52/25/27/763522527.db2.gz MVAHATOWOJRSBD-UTXMOHQDSA-N 1 2 310.401 1.673 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109257785 763522534 /nfs/dbraw/zinc/52/25/34/763522534.db2.gz MVAHATOWOJRSBD-UTXMOHQDSA-N 1 2 310.401 1.673 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@H+](Cc3nccs3)[C@H]2C1 ZINC001042056987 763600780 /nfs/dbraw/zinc/60/07/80/763600780.db2.gz DOYMXRPQYYWUCS-GJZGRUSLSA-N 1 2 315.442 1.979 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@@H+](Cc3nccs3)[C@H]2C1 ZINC001042056987 763600783 /nfs/dbraw/zinc/60/07/83/763600783.db2.gz DOYMXRPQYYWUCS-GJZGRUSLSA-N 1 2 315.442 1.979 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CN(c2ccncc2C#N)C[C@H]1C ZINC001042113786 763667234 /nfs/dbraw/zinc/66/72/34/763667234.db2.gz OEYWPEKFDLLGFI-IAQYHMDHSA-N 1 2 324.388 1.168 20 30 DDEDLO CC#CC[NH+]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)CC1 ZINC001050527701 763710164 /nfs/dbraw/zinc/71/01/64/763710164.db2.gz SKWSBGIZYIFKTN-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[NH+]1CCC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)CC1 ZINC001050527701 763710169 /nfs/dbraw/zinc/71/01/69/763710169.db2.gz SKWSBGIZYIFKTN-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001050589107 763792189 /nfs/dbraw/zinc/79/21/89/763792189.db2.gz ZRUBTKGCNNVWTL-KBPBESRZSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)C=C)C2 ZINC001109601603 763850747 /nfs/dbraw/zinc/85/07/47/763850747.db2.gz HQHAOSRQEINMSR-RDBSUJKOSA-N 1 2 305.422 1.222 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)C=C)C2 ZINC001109601603 763850753 /nfs/dbraw/zinc/85/07/53/763850753.db2.gz HQHAOSRQEINMSR-RDBSUJKOSA-N 1 2 305.422 1.222 20 30 DDEDLO N#CCN1CCC2(CN(C(=O)[C@@H]3CCCc4[nH+]c[nH]c43)C2)CC1 ZINC001050660669 763882195 /nfs/dbraw/zinc/88/21/95/763882195.db2.gz WOUNFSGJIFCXMU-CYBMUJFWSA-N 1 2 313.405 1.278 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@H]21 ZINC001042350984 763951196 /nfs/dbraw/zinc/95/11/96/763951196.db2.gz LUMKZWFKBKBJCL-MLGOLLRUSA-N 1 2 309.373 1.128 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@H]21 ZINC001042350984 763951200 /nfs/dbraw/zinc/95/12/00/763951200.db2.gz LUMKZWFKBKBJCL-MLGOLLRUSA-N 1 2 309.373 1.128 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3ccncc3)c2C1 ZINC001069849457 768177677 /nfs/dbraw/zinc/17/76/77/768177677.db2.gz VFELHOATPPETAV-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3ccncc3)c2C1 ZINC001069849457 768177679 /nfs/dbraw/zinc/17/76/79/768177679.db2.gz VFELHOATPPETAV-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)c3nonc3C)C[C@@H]21 ZINC001042380537 763983046 /nfs/dbraw/zinc/98/30/46/763983046.db2.gz UPSOKKIOQCZQNJ-NEPJUHHUSA-N 1 2 310.785 1.667 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)c3nonc3C)C[C@@H]21 ZINC001042380537 763983051 /nfs/dbraw/zinc/98/30/51/763983051.db2.gz UPSOKKIOQCZQNJ-NEPJUHHUSA-N 1 2 310.785 1.667 20 30 DDEDLO CCc1oncc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#CCOC ZINC001109773184 764023959 /nfs/dbraw/zinc/02/39/59/764023959.db2.gz JOKCALLPYYIUPF-SNPRPXQTSA-N 1 2 317.389 1.222 20 30 DDEDLO CCc1oncc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#CCOC ZINC001109773184 764023968 /nfs/dbraw/zinc/02/39/68/764023968.db2.gz JOKCALLPYYIUPF-SNPRPXQTSA-N 1 2 317.389 1.222 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)CCC)C2 ZINC001109896204 764176231 /nfs/dbraw/zinc/17/62/31/764176231.db2.gz LHXLZNSHNYGPII-WCVJEAGWSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)CCC)C2 ZINC001109896204 764176239 /nfs/dbraw/zinc/17/62/39/764176239.db2.gz LHXLZNSHNYGPII-WCVJEAGWSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)CCC)C2 ZINC001109896203 764176499 /nfs/dbraw/zinc/17/64/99/764176499.db2.gz LHXLZNSHNYGPII-FZKCQIBNSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)CCC)C2 ZINC001109896203 764176508 /nfs/dbraw/zinc/17/65/08/764176508.db2.gz LHXLZNSHNYGPII-FZKCQIBNSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1coc(CC)n1 ZINC001050881243 764229534 /nfs/dbraw/zinc/22/95/34/764229534.db2.gz DNXJWGKJBCVCFX-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1coc(CC)n1 ZINC001050881243 764229543 /nfs/dbraw/zinc/22/95/43/764229543.db2.gz DNXJWGKJBCVCFX-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnnc(C)c1 ZINC001050892795 764243471 /nfs/dbraw/zinc/24/34/71/764243471.db2.gz IYPPYARDTWRXOM-HNNXBMFYSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnnc(C)c1 ZINC001050892795 764243474 /nfs/dbraw/zinc/24/34/74/764243474.db2.gz IYPPYARDTWRXOM-HNNXBMFYSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(C)nc1CC ZINC001050968446 764362398 /nfs/dbraw/zinc/36/23/98/764362398.db2.gz FADIOUPBIDWRRQ-AWEZNQCLSA-N 1 2 320.437 1.379 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(C)nc1CC ZINC001050968446 764362406 /nfs/dbraw/zinc/36/24/06/764362406.db2.gz FADIOUPBIDWRRQ-AWEZNQCLSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1coc(OCC)n1 ZINC001051006780 764410400 /nfs/dbraw/zinc/41/04/00/764410400.db2.gz ZMWQOLBCOIORDR-LBPRGKRZSA-N 1 2 309.366 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1coc(OCC)n1 ZINC001051006780 764410407 /nfs/dbraw/zinc/41/04/07/764410407.db2.gz ZMWQOLBCOIORDR-LBPRGKRZSA-N 1 2 309.366 1.080 20 30 DDEDLO CC#CCN1CCOC[C@H]1CNC(=O)c1c(C)cc(C)[nH+]c1C ZINC001051038597 764443296 /nfs/dbraw/zinc/44/32/96/764443296.db2.gz WNKHPJABCGSVBC-MRXNPFEDSA-N 1 2 315.417 1.461 20 30 DDEDLO Cc1cc(N2CCC[C@H](NC(=O)[C@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001096151278 768218599 /nfs/dbraw/zinc/21/85/99/768218599.db2.gz INMMMFJTAUBWTF-RISCZKNCSA-N 1 2 313.405 1.907 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)CCOC)C[C@@H](C)O2 ZINC001131619999 768220833 /nfs/dbraw/zinc/22/08/33/768220833.db2.gz AKTQDFRTJFPYPI-UKRRQHHQSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)CCOC)C[C@@H](C)O2 ZINC001131619999 768220838 /nfs/dbraw/zinc/22/08/38/768220838.db2.gz AKTQDFRTJFPYPI-UKRRQHHQSA-N 1 2 316.829 1.467 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CCOc2ccc(F)cc2)CC1 ZINC001112703840 764622646 /nfs/dbraw/zinc/62/26/46/764622646.db2.gz HOVAXNYZNLDBSH-UHFFFAOYSA-N 1 2 322.380 1.551 20 30 DDEDLO CC#CC[N@@H+]1CCc2c(CNC(=O)c3ncn[nH]3)cccc2C1 ZINC001051301502 764724342 /nfs/dbraw/zinc/72/43/42/764724342.db2.gz JEVYBSLLGQECJU-UHFFFAOYSA-N 1 2 309.373 1.116 20 30 DDEDLO CC#CC[N@H+]1CCc2c(CNC(=O)c3ncn[nH]3)cccc2C1 ZINC001051301502 764724350 /nfs/dbraw/zinc/72/43/50/764724350.db2.gz JEVYBSLLGQECJU-UHFFFAOYSA-N 1 2 309.373 1.116 20 30 DDEDLO CC#CC[N@@H+]1CCc2c(CNC(=O)c3nc[nH]n3)cccc2C1 ZINC001051301502 764724358 /nfs/dbraw/zinc/72/43/58/764724358.db2.gz JEVYBSLLGQECJU-UHFFFAOYSA-N 1 2 309.373 1.116 20 30 DDEDLO CC#CC[N@H+]1CCc2c(CNC(=O)c3nc[nH]n3)cccc2C1 ZINC001051301502 764724362 /nfs/dbraw/zinc/72/43/62/764724362.db2.gz JEVYBSLLGQECJU-UHFFFAOYSA-N 1 2 309.373 1.116 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+](CCn2nc(C)cc2C)CC1 ZINC001112775088 764746778 /nfs/dbraw/zinc/74/67/78/764746778.db2.gz FOUKTVSEVYCLHW-UHFFFAOYSA-N 1 2 316.449 1.838 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001112775321 764748028 /nfs/dbraw/zinc/74/80/28/764748028.db2.gz PXAOHDLHQGTZHF-QGZVFWFLSA-N 1 2 322.449 1.520 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2CCc3ccc(F)cc3O2)C1 ZINC001043342308 764845239 /nfs/dbraw/zinc/84/52/39/764845239.db2.gz CPHZAMBTCUDMGM-INIZCTEOSA-N 1 2 316.376 1.685 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(C)[nH]nc2C(F)(F)F)C1 ZINC001043363980 764860552 /nfs/dbraw/zinc/86/05/52/764860552.db2.gz OZHPCBTZRXNGHZ-UHFFFAOYSA-N 1 2 314.311 1.516 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001051461001 764904886 /nfs/dbraw/zinc/90/48/86/764904886.db2.gz XQEIMRIQOOVQNH-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c(C)nc(C(C)C)[nH]c2=O)C1 ZINC001043504731 764948195 /nfs/dbraw/zinc/94/81/95/764948195.db2.gz MKGQCFQXOSCGIP-UHFFFAOYSA-N 1 2 318.421 1.946 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2C[NH+](CCc3cnn(CC)c3)C2)C1 ZINC001043810826 765111157 /nfs/dbraw/zinc/11/11/57/765111157.db2.gz BDDFGHQLRPGAEN-UHFFFAOYSA-N 1 2 316.449 1.944 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCO[C@@H](C[NH2+]Cc3csnn3)C2)C1 ZINC001051814858 765185076 /nfs/dbraw/zinc/18/50/76/765185076.db2.gz LEKDBPIOZGQGDJ-ZDUSSCGKSA-N 1 2 322.434 1.211 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[C@@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131717395 768282727 /nfs/dbraw/zinc/28/27/27/768282727.db2.gz QQTPPOLPFYJAEE-JKSUJKDBSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[C@@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131717395 768282732 /nfs/dbraw/zinc/28/27/32/768282732.db2.gz QQTPPOLPFYJAEE-JKSUJKDBSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2noc3c2C[C@@H](C)CC3)C1 ZINC001044126752 765327031 /nfs/dbraw/zinc/32/70/31/765327031.db2.gz IBTLCDJMXHCSMV-LBPRGKRZSA-N 1 2 301.390 1.579 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-c3nc[nH]n3)cc2)C1 ZINC001044135852 765334876 /nfs/dbraw/zinc/33/48/76/765334876.db2.gz YXMYVOWGOIZTNO-UHFFFAOYSA-N 1 2 309.373 1.251 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C[C@@H]3C=CCC3)C2)CC1 ZINC001051978136 765340253 /nfs/dbraw/zinc/34/02/53/765340253.db2.gz MPUFJVHAFPJGDR-QZTJIDSGSA-N 1 2 315.461 1.585 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2occ3c2CCC3)CC1 ZINC001113155608 765344586 /nfs/dbraw/zinc/34/45/86/765344586.db2.gz VMFDUZWNMJDONU-UHFFFAOYSA-N 1 2 304.390 1.729 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C[C@@H]3CCCO3)C2)CC1 ZINC001051991372 765355391 /nfs/dbraw/zinc/35/53/91/765355391.db2.gz GWRYTIZPICPVHD-IRXDYDNUSA-N 1 2 321.465 1.350 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc(OCC3CC3)cn2)C1 ZINC001044175358 765367604 /nfs/dbraw/zinc/36/76/04/765367604.db2.gz ALHMWFVMRHYLAI-UHFFFAOYSA-N 1 2 314.389 1.045 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cc(C)c(C)o3)C2)CC1 ZINC001052013293 765381683 /nfs/dbraw/zinc/38/16/83/765381683.db2.gz VCJMGWDITSJEBP-INIZCTEOSA-N 1 2 317.433 1.915 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ncoc2-c2ccon2)C1 ZINC001044194424 765383640 /nfs/dbraw/zinc/38/36/40/765383640.db2.gz IUSNCMYVVRJCOZ-UHFFFAOYSA-N 1 2 300.318 1.109 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3CC3(F)F)C2)CC1 ZINC001052019791 765391373 /nfs/dbraw/zinc/39/13/73/765391373.db2.gz BCHBFBQXFOVNJN-KBPBESRZSA-N 1 2 313.392 1.436 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)cc1 ZINC001052060310 765432843 /nfs/dbraw/zinc/43/28/43/765432843.db2.gz BYSIXMSDXAWABE-SFHVURJKSA-N 1 2 322.412 1.024 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3c(c2)nc[nH]c3=O)C1 ZINC001044303565 765466691 /nfs/dbraw/zinc/46/66/91/765466691.db2.gz WJJIHEISWFBOKZ-UHFFFAOYSA-N 1 2 312.373 1.668 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3C[C@H]3C3CC3)C2)CC1 ZINC001052096751 765468078 /nfs/dbraw/zinc/46/80/78/765468078.db2.gz OKKIHJNJPWLFPW-OKZBNKHCSA-N 1 2 315.461 1.274 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@H](C)Oc2cccnc2)CC1 ZINC001113332868 765575183 /nfs/dbraw/zinc/57/51/83/765575183.db2.gz HLWLRHFPXVOIMG-HNNXBMFYSA-N 1 2 319.405 1.196 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc3cccnn32)CC1 ZINC001113411105 765663690 /nfs/dbraw/zinc/66/36/90/765663690.db2.gz FGZHFRNSEMZCRY-UHFFFAOYSA-N 1 2 314.389 1.295 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001057760254 765801450 /nfs/dbraw/zinc/80/14/50/765801450.db2.gz MISLYYSFRSMKRM-KFWWJZLASA-N 1 2 316.405 1.779 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113533449 765832299 /nfs/dbraw/zinc/83/22/99/765832299.db2.gz FDFMNOSDODWDAY-CYBMUJFWSA-N 1 2 306.410 1.709 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@@H]2CCCN2C(=O)C#CC2CC2)no1 ZINC001045033247 766053209 /nfs/dbraw/zinc/05/32/09/766053209.db2.gz BYFXYBMEEMHFQT-FZMZJTMJSA-N 1 2 302.378 1.433 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[NH+](CCOCCC(C)C)CC1 ZINC001113715417 766054217 /nfs/dbraw/zinc/05/42/17/766054217.db2.gz ZMRVTFAIAHSUEC-INIZCTEOSA-N 1 2 312.454 1.784 20 30 DDEDLO N#Cc1ccnc(N(C(N)=[NH2+])c2ccc(N3CCOCC3)cc2)n1 ZINC001170163370 766055489 /nfs/dbraw/zinc/05/54/89/766055489.db2.gz YLLGYFSADCKSPP-UHFFFAOYSA-N 1 2 323.360 1.216 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccco2)CC[C@H]1C ZINC001131759952 768347921 /nfs/dbraw/zinc/34/79/21/768347921.db2.gz DNCLMECUDXWWCC-CHWSQXEVSA-N 1 2 305.378 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccco2)CC[C@H]1C ZINC001131759952 768347929 /nfs/dbraw/zinc/34/79/29/768347929.db2.gz DNCLMECUDXWWCC-CHWSQXEVSA-N 1 2 305.378 1.165 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnc(Cl)s3)C[C@H]21 ZINC001114064663 766603116 /nfs/dbraw/zinc/60/31/16/766603116.db2.gz UCKLFENNNZMVKZ-CPOMMVLXSA-N 1 2 310.810 1.503 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnc(Cl)s3)C[C@H]21 ZINC001114064663 766603123 /nfs/dbraw/zinc/60/31/23/766603123.db2.gz UCKLFENNNZMVKZ-CPOMMVLXSA-N 1 2 310.810 1.503 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001114108111 766644347 /nfs/dbraw/zinc/64/43/47/766644347.db2.gz KCXRRQRFJAFBPL-VIKVFOODSA-N 1 2 321.446 1.672 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001114108111 766644353 /nfs/dbraw/zinc/64/43/53/766644353.db2.gz KCXRRQRFJAFBPL-VIKVFOODSA-N 1 2 321.446 1.672 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#N ZINC001045861862 766647548 /nfs/dbraw/zinc/64/75/48/766647548.db2.gz KAELFYVVMUPEIL-CFVMTHIKSA-N 1 2 301.394 1.177 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#N ZINC001045861862 766647555 /nfs/dbraw/zinc/64/75/55/766647555.db2.gz KAELFYVVMUPEIL-CFVMTHIKSA-N 1 2 301.394 1.177 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)c(C)c(C)[nH+]1 ZINC001067742460 766671135 /nfs/dbraw/zinc/67/11/35/766671135.db2.gz MKOBQDSOYYUVGC-ABAIWWIYSA-N 1 2 315.421 1.989 20 30 DDEDLO N#CCN1CC[C@]2(C1)CCCCN(C(=O)CCn1cc[nH+]c1)C2 ZINC001045958671 766738940 /nfs/dbraw/zinc/73/89/40/766738940.db2.gz VXMWTYAADDILAB-KRWDZBQOSA-N 1 2 315.421 1.501 20 30 DDEDLO Cc1nc(N2CC[C@H](C)[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001067942469 766828588 /nfs/dbraw/zinc/82/85/88/766828588.db2.gz TYFPIWOMKFWWMT-NHYWBVRUSA-N 1 2 324.388 1.630 20 30 DDEDLO C=CCCCC(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001068029081 766874920 /nfs/dbraw/zinc/87/49/20/766874920.db2.gz FFWGNFOFPLAWAO-UHFFFAOYSA-N 1 2 306.410 1.615 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cscn3)n2CC=C)CC1 ZINC001121621593 782597036 /nfs/dbraw/zinc/59/70/36/782597036.db2.gz AKMYEUDDIGLPDV-UHFFFAOYSA-N 1 2 314.418 1.343 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[NH2+]Cc2cnon2)cc1 ZINC001130371323 767472083 /nfs/dbraw/zinc/47/20/83/767472083.db2.gz MQHYJEIXJIUSOL-UHFFFAOYSA-N 1 2 302.334 1.154 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](Nc2ncccc2C#N)[C@H]1C ZINC001068872424 767672614 /nfs/dbraw/zinc/67/26/14/767672614.db2.gz KOLBMNSDNXQOCV-OCCSQVGLSA-N 1 2 324.388 1.629 20 30 DDEDLO C=C(Cl)C[NH2+]CCNC(=O)c1c[nH]c2ccccc2c1=O ZINC001130736905 767704620 /nfs/dbraw/zinc/70/46/20/767704620.db2.gz SEMJMMCPIXFDRY-UHFFFAOYSA-N 1 2 305.765 1.600 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001046602815 767727979 /nfs/dbraw/zinc/72/79/79/767727979.db2.gz JVBGMSWUQVEFOY-BARDWOONSA-N 1 2 316.405 1.683 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2nccn2C)C1 ZINC001046632210 767755938 /nfs/dbraw/zinc/75/59/38/767755938.db2.gz VFXAAIOSMTUIRY-KEYYUXOJSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2nccn2C)C1 ZINC001046632210 767755943 /nfs/dbraw/zinc/75/59/43/767755943.db2.gz VFXAAIOSMTUIRY-KEYYUXOJSA-N 1 2 318.421 1.092 20 30 DDEDLO CC/C=C(\C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130928706 767808392 /nfs/dbraw/zinc/80/83/92/767808392.db2.gz FXKUYLYAAHIVQW-KDHQJITESA-N 1 2 301.394 1.184 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3cscc3s2)C1 ZINC001047461524 768494958 /nfs/dbraw/zinc/49/49/58/768494958.db2.gz AYNLCHXBXYKLSQ-RYUDHWBXSA-N 1 2 320.439 1.713 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3cscc3s2)C1 ZINC001047461524 768494961 /nfs/dbraw/zinc/49/49/61/768494961.db2.gz AYNLCHXBXYKLSQ-RYUDHWBXSA-N 1 2 320.439 1.713 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CCC2CCC2)C[N@@H+]1CC(=O)NCC#N ZINC001131951788 768510225 /nfs/dbraw/zinc/51/02/25/768510225.db2.gz SKVMUPNDMWBKBQ-UKRRQHHQSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CCC2CCC2)C[N@H+]1CC(=O)NCC#N ZINC001131951788 768510229 /nfs/dbraw/zinc/51/02/29/768510229.db2.gz SKVMUPNDMWBKBQ-UKRRQHHQSA-N 1 2 320.437 1.176 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2ccon2)C1 ZINC001132029041 768587714 /nfs/dbraw/zinc/58/77/14/768587714.db2.gz GAFPLGOBPYXDCD-KBPBESRZSA-N 1 2 305.378 1.184 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2ccon2)C1 ZINC001132029041 768587716 /nfs/dbraw/zinc/58/77/16/768587716.db2.gz GAFPLGOBPYXDCD-KBPBESRZSA-N 1 2 305.378 1.184 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@H]2C)on1 ZINC001132045562 768599425 /nfs/dbraw/zinc/59/94/25/768599425.db2.gz GVPBAZJSWSRTRK-TZMCWYRMSA-N 1 2 317.389 1.566 20 30 DDEDLO COc1cc(C[N@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@H]2C)on1 ZINC001132045562 768599430 /nfs/dbraw/zinc/59/94/30/768599430.db2.gz GVPBAZJSWSRTRK-TZMCWYRMSA-N 1 2 317.389 1.566 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CCS(C)(=O)=O)C1 ZINC001132063841 768612867 /nfs/dbraw/zinc/61/28/67/768612867.db2.gz ACVMFBHFGRXSGE-ZIAGYGMSSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CCS(C)(=O)=O)C1 ZINC001132063841 768612873 /nfs/dbraw/zinc/61/28/73/768612873.db2.gz ACVMFBHFGRXSGE-ZIAGYGMSSA-N 1 2 316.467 1.356 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3cccc(C)c3o2)C1 ZINC001047638917 768630625 /nfs/dbraw/zinc/63/06/25/768630625.db2.gz FHULMVWDHZSXDF-GJZGRUSLSA-N 1 2 312.369 1.492 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3cccc(C)c3o2)C1 ZINC001047638917 768630628 /nfs/dbraw/zinc/63/06/28/768630628.db2.gz FHULMVWDHZSXDF-GJZGRUSLSA-N 1 2 312.369 1.492 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C(C)(C)C2CC2)C[N@@H+]1CC(=O)NCC#N ZINC001132223961 768696509 /nfs/dbraw/zinc/69/65/09/768696509.db2.gz IQDNZXIBXAHFTR-TZMCWYRMSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C(C)(C)C2CC2)C[N@H+]1CC(=O)NCC#N ZINC001132223961 768696513 /nfs/dbraw/zinc/69/65/13/768696513.db2.gz IQDNZXIBXAHFTR-TZMCWYRMSA-N 1 2 320.437 1.031 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C=C2CCCCC2)CC1 ZINC001070946228 768802422 /nfs/dbraw/zinc/80/24/22/768802422.db2.gz YTSBBYCBBICQSJ-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C=C2CCCCC2)CC1 ZINC001070946228 768802428 /nfs/dbraw/zinc/80/24/28/768802428.db2.gz YTSBBYCBBICQSJ-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001096346075 769302488 /nfs/dbraw/zinc/30/24/88/769302488.db2.gz OWVMFRFCLPZNKY-CQSZACIVSA-N 1 2 304.394 1.463 20 30 DDEDLO C[C@H]1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C[C@@H]1CNCC#N ZINC001132920954 769324814 /nfs/dbraw/zinc/32/48/14/769324814.db2.gz WEXVAJHMKGVNAW-JSGCOSHPSA-N 1 2 311.389 1.774 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[N@H+]([C@H](C)c3csnn3)C[C@@H]2C1 ZINC001048724736 769773869 /nfs/dbraw/zinc/77/38/69/769773869.db2.gz FOKWMZDCQUPIDG-KKOKHZNYSA-N 1 2 305.407 1.149 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[N@@H+]([C@H](C)c3csnn3)C[C@@H]2C1 ZINC001048724736 769773873 /nfs/dbraw/zinc/77/38/73/769773873.db2.gz FOKWMZDCQUPIDG-KKOKHZNYSA-N 1 2 305.407 1.149 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccncc1C#N ZINC001048731767 769786965 /nfs/dbraw/zinc/78/69/65/769786965.db2.gz KGSXWECSIQJPLA-LSDHHAIUSA-N 1 2 324.388 1.323 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001071778282 770051784 /nfs/dbraw/zinc/05/17/84/770051784.db2.gz RNMIIHZGZLAROD-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001071933099 770309003 /nfs/dbraw/zinc/30/90/03/770309003.db2.gz QYNYLHNQQCOLFA-DZGCQCFKSA-N 1 2 316.405 1.109 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1cnns1 ZINC001049374323 770752688 /nfs/dbraw/zinc/75/26/88/770752688.db2.gz YTKKPNOSONPBOE-KGYLQXTDSA-N 1 2 305.407 1.263 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1cnns1 ZINC001049374323 770752694 /nfs/dbraw/zinc/75/26/94/770752694.db2.gz YTKKPNOSONPBOE-KGYLQXTDSA-N 1 2 305.407 1.263 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049379993 770759091 /nfs/dbraw/zinc/75/90/91/770759091.db2.gz WCTNQJFHZWZLPL-RWMBFGLXSA-N 1 2 317.393 1.357 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049379993 770759096 /nfs/dbraw/zinc/75/90/96/770759096.db2.gz WCTNQJFHZWZLPL-RWMBFGLXSA-N 1 2 317.393 1.357 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+]Cc1ncc(CC)o1 ZINC001135058077 771297056 /nfs/dbraw/zinc/29/70/56/771297056.db2.gz CPRHJIVPOZYQMM-HOCLYGCPSA-N 1 2 321.421 1.814 20 30 DDEDLO Cc1nc(N[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C2CC2)ccc1C#N ZINC001096711916 771409632 /nfs/dbraw/zinc/40/96/32/771409632.db2.gz HSSYVKKJMWCBOU-AWEZNQCLSA-N 1 2 324.388 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cccc(C)c2)[C@H](O)C1 ZINC001090531167 771931346 /nfs/dbraw/zinc/93/13/46/771931346.db2.gz IWKDTVPCLYVYLZ-JKSUJKDBSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cccc(C)c2)[C@H](O)C1 ZINC001090531167 771931348 /nfs/dbraw/zinc/93/13/48/771931348.db2.gz IWKDTVPCLYVYLZ-JKSUJKDBSA-N 1 2 322.836 1.841 20 30 DDEDLO COC(=O)c1ccc(C(=[NH2+])Nc2ccc(C(N)=O)nc2C)cc1 ZINC001170984004 772018036 /nfs/dbraw/zinc/01/80/36/772018036.db2.gz JBNDONRVUXPJSM-UHFFFAOYSA-N 1 2 312.329 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccnc(C)c2)[C@@H](O)C1 ZINC001090655798 772080532 /nfs/dbraw/zinc/08/05/32/772080532.db2.gz WDRIFCFTSWYQKI-KGLIPLIRSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccnc(C)c2)[C@@H](O)C1 ZINC001090655798 772080537 /nfs/dbraw/zinc/08/05/37/772080537.db2.gz WDRIFCFTSWYQKI-KGLIPLIRSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C2CC2)C2CC2)[C@@H](O)C1 ZINC001090716617 772136642 /nfs/dbraw/zinc/13/66/42/772136642.db2.gz SIWCSTKSYDLBLW-KGLIPLIRSA-N 1 2 312.841 1.727 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C2CC2)C2CC2)[C@@H](O)C1 ZINC001090716617 772136644 /nfs/dbraw/zinc/13/66/44/772136644.db2.gz SIWCSTKSYDLBLW-KGLIPLIRSA-N 1 2 312.841 1.727 20 30 DDEDLO COc1cccc(N2CC[NH+](Cc3ccc(C#N)cn3)CC2)n1 ZINC001144163681 772425677 /nfs/dbraw/zinc/42/56/77/772425677.db2.gz RQKCVRJBSBTLSR-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CC(=O)Oc1ccc(C[NH+]2CC(CC#N)C2)cc1OC(C)=O ZINC001144269423 772461721 /nfs/dbraw/zinc/46/17/21/772461721.db2.gz OQHFRVDLIRMIIL-UHFFFAOYSA-N 1 2 302.330 1.883 20 30 DDEDLO COc1ccnc2cc(NC(=[NH2+])c3ccc(C(N)=O)cc3)cnc21 ZINC001171261445 772762557 /nfs/dbraw/zinc/76/25/57/772762557.db2.gz YVSYIKBMNCDWSC-UHFFFAOYSA-N 1 2 321.340 1.774 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+]Cc1ncc(CC)o1 ZINC001149117257 772805110 /nfs/dbraw/zinc/80/51/10/772805110.db2.gz FJMCILSXPRLJTH-UHFFFAOYSA-N 1 2 311.385 1.667 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074150538 773675170 /nfs/dbraw/zinc/67/51/70/773675170.db2.gz YREGZBLROLTZRQ-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3cccn3C)C[C@@H]21 ZINC001074169822 773692165 /nfs/dbraw/zinc/69/21/65/773692165.db2.gz CZHASQIFGMBQAM-HOTGVXAUSA-N 1 2 303.406 1.517 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccn3C)C[C@@H]21 ZINC001074169822 773692168 /nfs/dbraw/zinc/69/21/68/773692168.db2.gz CZHASQIFGMBQAM-HOTGVXAUSA-N 1 2 303.406 1.517 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cnc(C)s3)C[C@H]21 ZINC001074191449 773715063 /nfs/dbraw/zinc/71/50/63/773715063.db2.gz WAJXDSOIWPXNTO-KGLIPLIRSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cnc(C)s3)C[C@H]21 ZINC001074191449 773715068 /nfs/dbraw/zinc/71/50/68/773715068.db2.gz WAJXDSOIWPXNTO-KGLIPLIRSA-N 1 2 321.446 1.943 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C[C@@H]21 ZINC001074204916 773726875 /nfs/dbraw/zinc/72/68/75/773726875.db2.gz KDWTWBQGBAQWPX-HOTGVXAUSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C[C@@H]21 ZINC001074204916 773726881 /nfs/dbraw/zinc/72/68/81/773726881.db2.gz KDWTWBQGBAQWPX-HOTGVXAUSA-N 1 2 314.389 1.378 20 30 DDEDLO C[C@H]1CC2(CN1C(=O)Cn1cc[nH+]c1)CCN(CC#N)CC2 ZINC001086959377 773745810 /nfs/dbraw/zinc/74/58/10/773745810.db2.gz BNEPJGQNZDCQPI-AWEZNQCLSA-N 1 2 301.394 1.110 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3C=CC=CC=C3)C[C@@H]21 ZINC001074259389 773774708 /nfs/dbraw/zinc/77/47/08/773774708.db2.gz MFRVXYHFCQRPFU-ROUUACIJSA-N 1 2 312.413 1.610 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3C=CC=CC=C3)C[C@@H]21 ZINC001074259389 773774711 /nfs/dbraw/zinc/77/47/11/773774711.db2.gz MFRVXYHFCQRPFU-ROUUACIJSA-N 1 2 312.413 1.610 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCCC3)C[C@H]21 ZINC001074308260 773811359 /nfs/dbraw/zinc/81/13/59/773811359.db2.gz WHJZXDVWGCYUGY-HZPDHXFCSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCCC3)C[C@H]21 ZINC001074308260 773811366 /nfs/dbraw/zinc/81/13/66/773811366.db2.gz WHJZXDVWGCYUGY-HZPDHXFCSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C)CC(=C)C3)C[C@H]21 ZINC001074334592 773832189 /nfs/dbraw/zinc/83/21/89/773832189.db2.gz VFJXNHRQRZPRPS-CVEARBPZSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C)CC(=C)C3)C[C@H]21 ZINC001074334592 773832191 /nfs/dbraw/zinc/83/21/91/773832191.db2.gz VFJXNHRQRZPRPS-CVEARBPZSA-N 1 2 302.418 1.668 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2CCN(C(=O)[C@H](C)C#N)[C@H]2C)n1 ZINC001074569960 774000627 /nfs/dbraw/zinc/00/06/27/774000627.db2.gz AHTOKZQJKFWGJF-WOPDTQHZSA-N 1 2 305.382 1.261 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@H]2CCN(C(=O)[C@H](C)C#N)[C@H]2C)n1 ZINC001074569998 774002249 /nfs/dbraw/zinc/00/22/49/774002249.db2.gz DCZHUZIZPKNUNN-AGIUHOORSA-N 1 2 319.409 1.507 20 30 DDEDLO N#Cc1cccnc1NC1CC(CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001092213115 774008885 /nfs/dbraw/zinc/00/88/85/774008885.db2.gz SBBPBMLYYCDGHW-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO Cc1nc(N2C[C@@H]3CN(C(=O)C#CC(C)C)C[C@]3(C)C2)cc[nH+]1 ZINC001092288563 774062774 /nfs/dbraw/zinc/06/27/74/774062774.db2.gz AIWOYYZCEDDKHF-QAPCUYQASA-N 1 2 312.417 1.729 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccn1C)c1nccn12 ZINC001092348221 774067759 /nfs/dbraw/zinc/06/77/59/774067759.db2.gz QWRKXIJWDAASMY-CQSZACIVSA-N 1 2 323.400 1.131 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@H]1C1CC1)c1nccn12 ZINC001092403867 774103056 /nfs/dbraw/zinc/10/30/56/774103056.db2.gz KGPANEWGTVLZOF-XHSDSOJGSA-N 1 2 324.428 1.524 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001075138573 774376711 /nfs/dbraw/zinc/37/67/11/774376711.db2.gz AGVIPIQTZLUTNE-HUUCEWRRSA-N 1 2 318.421 1.735 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C=C3CCC3)C2)nn1 ZINC001098654913 774632284 /nfs/dbraw/zinc/63/22/84/774632284.db2.gz CSVWFFRANKTIQG-INIZCTEOSA-N 1 2 313.405 1.275 20 30 DDEDLO CC1(C)CC[C@@H](CNC(=O)CCc2c[nH]c[nH+]2)N(CC#N)C1 ZINC001099106649 774837700 /nfs/dbraw/zinc/83/77/00/774837700.db2.gz LCFAPSGTQQORRD-AWEZNQCLSA-N 1 2 303.410 1.473 20 30 DDEDLO CC1(C)CC[C@@H](CNC(=O)CCc2c[nH+]c[nH]2)N(CC#N)C1 ZINC001099106649 774837709 /nfs/dbraw/zinc/83/77/09/774837709.db2.gz LCFAPSGTQQORRD-AWEZNQCLSA-N 1 2 303.410 1.473 20 30 DDEDLO C[C@H](CCNC(=O)CCn1cc[nH+]c1)Nc1ccc(C#N)cn1 ZINC001099625645 775075105 /nfs/dbraw/zinc/07/51/05/775075105.db2.gz DPBNLJZWDUDWIY-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001099765637 775245500 /nfs/dbraw/zinc/24/55/00/775245500.db2.gz YUCPPHFPMRLWHE-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001099765637 775245509 /nfs/dbraw/zinc/24/55/09/775245509.db2.gz YUCPPHFPMRLWHE-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099855604 775357898 /nfs/dbraw/zinc/35/78/98/775357898.db2.gz KFNIQNPKWGSHIJ-CABCVRRESA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099855604 775357905 /nfs/dbraw/zinc/35/79/05/775357905.db2.gz KFNIQNPKWGSHIJ-CABCVRRESA-N 1 2 321.421 1.699 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099976810 775508660 /nfs/dbraw/zinc/50/86/60/775508660.db2.gz RFDXPAUJBJCAPI-CVEARBPZSA-N 1 2 321.396 1.628 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099976810 775508666 /nfs/dbraw/zinc/50/86/66/775508666.db2.gz RFDXPAUJBJCAPI-CVEARBPZSA-N 1 2 321.396 1.628 20 30 DDEDLO N#Cc1cnc(NCCNC(=O)c2cc3c[nH+]ccc3[nH]2)c(F)c1 ZINC001094236101 775573573 /nfs/dbraw/zinc/57/35/73/775573573.db2.gz OWCOBILJLVZOCM-UHFFFAOYSA-N 1 2 324.319 1.811 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCC2(C)CC2)[C@H](O)C1 ZINC001100060659 775632280 /nfs/dbraw/zinc/63/22/80/775632280.db2.gz WOCAHRLBXCWINK-QWHCGFSZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCC2(C)CC2)[C@H](O)C1 ZINC001100060659 775632287 /nfs/dbraw/zinc/63/22/87/775632287.db2.gz WOCAHRLBXCWINK-QWHCGFSZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3coc(C)c3)nn2)C1 ZINC001094285498 775684965 /nfs/dbraw/zinc/68/49/65/775684965.db2.gz LRUOJUOOBVMZMM-UHFFFAOYSA-N 1 2 315.377 1.542 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@@H]2CCc3c[nH+]cn3C2)nc1 ZINC001094656911 776175848 /nfs/dbraw/zinc/17/58/48/776175848.db2.gz SWBCJIOREQMRJA-CQSZACIVSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3cc(C)oc3C)CC2=O)C1 ZINC001094704317 776214843 /nfs/dbraw/zinc/21/48/43/776214843.db2.gz UCPLUFDKOYZBAJ-CYBMUJFWSA-N 1 2 317.389 1.097 20 30 DDEDLO COC(=O)C1C[NH+]([C@@H]2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)C1 ZINC001172980960 776950719 /nfs/dbraw/zinc/95/07/19/776950719.db2.gz RLUIFEHCZIMLOV-OLZOCXBDSA-N 1 2 323.393 1.383 20 30 DDEDLO N#Cc1cccnc1N(CCNC(=O)CCn1cc[nH+]c1)C1CC1 ZINC001101416760 777127902 /nfs/dbraw/zinc/12/79/02/777127902.db2.gz HZGIKFGLQXYDHJ-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC(C(C)(C)CNCC#N)CC1 ZINC001101592303 777282901 /nfs/dbraw/zinc/28/29/01/777282901.db2.gz XGPQRTOGMDJWOL-UHFFFAOYSA-N 1 2 317.437 1.639 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CCSC)c1nccn12 ZINC001101605681 777296384 /nfs/dbraw/zinc/29/63/84/777296384.db2.gz CNNAFMBMZBWAIE-LBPRGKRZSA-N 1 2 306.435 1.394 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C\c1ccc[nH]1)c1nccn12 ZINC001101623964 777318396 /nfs/dbraw/zinc/31/83/96/777318396.db2.gz MDSQVCRVQIYOQR-YVACAVLKSA-N 1 2 323.400 1.683 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095295624 777461058 /nfs/dbraw/zinc/46/10/58/777461058.db2.gz FLCPJCPWIOEKSU-XJKSGUPXSA-N 1 2 304.394 1.515 20 30 DDEDLO N#Cc1ncn(-c2ccc(OCC[NH+]3CCOCC3)cc2)c1N ZINC001174431520 777476326 /nfs/dbraw/zinc/47/63/26/777476326.db2.gz BEELADXPVZQOSV-UHFFFAOYSA-N 1 2 313.361 1.037 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc3c(cn2)OCC3(C)C)CC1 ZINC001174940229 777643747 /nfs/dbraw/zinc/64/37/47/777643747.db2.gz FPGQQAHLTIYSCJ-UHFFFAOYSA-N 1 2 301.390 1.695 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)CCNc1cc[nH+]c(C)n1 ZINC001102009448 777792142 /nfs/dbraw/zinc/79/21/42/777792142.db2.gz SQIVUTBFCCWLAQ-ZFWWWQNUSA-N 1 2 304.394 1.637 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102356038 778092736 /nfs/dbraw/zinc/09/27/36/778092736.db2.gz UZURNTUZSKDCOG-HIFRSBDPSA-N 1 2 316.405 1.156 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102440329 778142623 /nfs/dbraw/zinc/14/26/23/778142623.db2.gz YYGZZYPHRDXMLQ-RHSMWYFYSA-N 1 2 318.421 1.397 20 30 DDEDLO Cc1cc(N2CC[C@H](N(C)C(=O)[C@@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001102701824 778328011 /nfs/dbraw/zinc/32/80/11/778328011.db2.gz JEGYHZBYMBTWGY-FZMZJTMJSA-N 1 2 313.405 1.859 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@@H]21 ZINC001176962284 778350416 /nfs/dbraw/zinc/35/04/16/778350416.db2.gz HQOBMYVJJVGUNX-JKSUJKDBSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@@H]21 ZINC001176962284 778350426 /nfs/dbraw/zinc/35/04/26/778350426.db2.gz HQOBMYVJJVGUNX-JKSUJKDBSA-N 1 2 318.421 1.600 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2OCC[N@@H+](CCOC(C)C)[C@H]2C1 ZINC001177019460 778395940 /nfs/dbraw/zinc/39/59/40/778395940.db2.gz MPWORRFWKSFBLU-DLBZAZTESA-N 1 2 322.449 1.373 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2OCC[N@H+](CCOC(C)C)[C@H]2C1 ZINC001177019460 778395945 /nfs/dbraw/zinc/39/59/45/778395945.db2.gz MPWORRFWKSFBLU-DLBZAZTESA-N 1 2 322.449 1.373 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCC#CC)C[C@H]21 ZINC001177079542 778431485 /nfs/dbraw/zinc/43/14/85/778431485.db2.gz DKMVUBCWCURDOU-SJORKVTESA-N 1 2 302.418 1.505 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCC#CC)C[C@H]21 ZINC001177079542 778431487 /nfs/dbraw/zinc/43/14/87/778431487.db2.gz DKMVUBCWCURDOU-SJORKVTESA-N 1 2 302.418 1.505 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCC#CC)C[C@@H]21 ZINC001177079540 778431526 /nfs/dbraw/zinc/43/15/26/778431526.db2.gz DKMVUBCWCURDOU-IRXDYDNUSA-N 1 2 302.418 1.505 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCC#CC)C[C@@H]21 ZINC001177079540 778431531 /nfs/dbraw/zinc/43/15/31/778431531.db2.gz DKMVUBCWCURDOU-IRXDYDNUSA-N 1 2 302.418 1.505 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CC)[C@@H]3C2)CCOCC1 ZINC001177139542 778459160 /nfs/dbraw/zinc/45/91/60/778459160.db2.gz ROLIGJJMPUJIEJ-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CC)[C@@H]3C2)CCOCC1 ZINC001177139542 778459164 /nfs/dbraw/zinc/45/91/64/778459164.db2.gz ROLIGJJMPUJIEJ-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](CC)Nc1[nH+]cnc2c1cnn2C ZINC001103071947 778618657 /nfs/dbraw/zinc/61/86/57/778618657.db2.gz HHZKQPCBSXVSLP-GFCCVEGCSA-N 1 2 314.393 1.474 20 30 DDEDLO Cc1nc(N2CCC(CCNC(=O)[C@H](C)C#N)CC2)cc[nH+]1 ZINC001103677680 778992443 /nfs/dbraw/zinc/99/24/43/778992443.db2.gz LEVBIHHSTRPIBN-GFCCVEGCSA-N 1 2 301.394 1.667 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)[C@@H]1C ZINC001178572479 779106238 /nfs/dbraw/zinc/10/62/38/779106238.db2.gz IYXCWHSCFBJORU-MQIPJXDCSA-N 1 2 322.409 1.038 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC001117932417 780953987 /nfs/dbraw/zinc/95/39/87/780953987.db2.gz BJDUGOHSVHYSJR-CYBMUJFWSA-N 1 2 314.345 1.076 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NCCC[C@@H]1CCCN1CC#N ZINC001267178971 837477960 /nfs/dbraw/zinc/47/79/60/837477960.db2.gz HYNFWBIBEIVFIS-CQSZACIVSA-N 1 2 303.410 1.237 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@@H]1CC[N@H+](Cc2cnon2)C1 ZINC001267297622 837774638 /nfs/dbraw/zinc/77/46/38/837774638.db2.gz DRRDKMISPQMQNI-BBRMVZONSA-N 1 2 322.409 1.237 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@@H]1CC[N@@H+](Cc2cnon2)C1 ZINC001267297622 837774640 /nfs/dbraw/zinc/77/46/40/837774640.db2.gz DRRDKMISPQMQNI-BBRMVZONSA-N 1 2 322.409 1.237 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2cnc(C)cn2)C1 ZINC001266252263 835989380 /nfs/dbraw/zinc/98/93/80/835989380.db2.gz QEHKLRDCPRGGQJ-OAHLLOKOSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@H+](Cc2cnc(C)cn2)C1 ZINC001266252263 835989386 /nfs/dbraw/zinc/98/93/86/835989386.db2.gz QEHKLRDCPRGGQJ-OAHLLOKOSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2ccc(F)cc2F)C1 ZINC001266355413 836163602 /nfs/dbraw/zinc/16/36/02/836163602.db2.gz HSKKIJMNIYFYRC-GFCCVEGCSA-N 1 2 323.343 1.071 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(F)cc2F)C1 ZINC001266355413 836163612 /nfs/dbraw/zinc/16/36/12/836163612.db2.gz HSKKIJMNIYFYRC-GFCCVEGCSA-N 1 2 323.343 1.071 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC12CC[NH+](Cc1nnc(C)[nH]1)CC2 ZINC001266377492 836187717 /nfs/dbraw/zinc/18/77/17/836187717.db2.gz XHHZLFSXEIVNJK-CQSZACIVSA-N 1 2 317.437 1.940 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H](CNC(=O)C#CC3CC3)C2)s1 ZINC001266510365 836381836 /nfs/dbraw/zinc/38/18/36/836381836.db2.gz ASNIDZXSCPYCJE-CYBMUJFWSA-N 1 2 304.419 1.198 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H](CNC(=O)C#CC3CC3)C2)s1 ZINC001266510365 836381848 /nfs/dbraw/zinc/38/18/48/836381848.db2.gz ASNIDZXSCPYCJE-CYBMUJFWSA-N 1 2 304.419 1.198 20 30 DDEDLO COCC#CC[N@H+](CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001266592533 836508857 /nfs/dbraw/zinc/50/88/57/836508857.db2.gz SDRSXRWSLZXGTE-NSHDSACASA-N 1 2 310.291 1.117 20 30 DDEDLO COCC#CC[N@@H+](CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001266592533 836508861 /nfs/dbraw/zinc/50/88/61/836508861.db2.gz SDRSXRWSLZXGTE-NSHDSACASA-N 1 2 310.291 1.117 20 30 DDEDLO COCC#CC[N@H+](CCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001266592533 836508870 /nfs/dbraw/zinc/50/88/70/836508870.db2.gz SDRSXRWSLZXGTE-NSHDSACASA-N 1 2 310.291 1.117 20 30 DDEDLO COCC#CC[N@@H+](CCNC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001266592533 836508879 /nfs/dbraw/zinc/50/88/79/836508879.db2.gz SDRSXRWSLZXGTE-NSHDSACASA-N 1 2 310.291 1.117 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC001267010507 837185568 /nfs/dbraw/zinc/18/55/68/837185568.db2.gz ZAODULBOHATYAX-JTQLQIEISA-N 1 2 312.320 1.357 20 30 DDEDLO COCC#CC[N@H+](C)[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267103804 837351515 /nfs/dbraw/zinc/35/15/15/837351515.db2.gz GZQWIQCLDOTBTB-RYUDHWBXSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267103804 837351527 /nfs/dbraw/zinc/35/15/27/837351527.db2.gz GZQWIQCLDOTBTB-RYUDHWBXSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@H+](C)[C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001267103804 837351538 /nfs/dbraw/zinc/35/15/38/837351538.db2.gz GZQWIQCLDOTBTB-RYUDHWBXSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)[C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001267103804 837351545 /nfs/dbraw/zinc/35/15/45/837351545.db2.gz GZQWIQCLDOTBTB-RYUDHWBXSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(Cl)no2)C1 ZINC001267633733 838541930 /nfs/dbraw/zinc/54/19/30/838541930.db2.gz MMMCKBHAVPYPHX-NSHDSACASA-N 1 2 311.769 1.172 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2cc(Cl)no2)C1 ZINC001267633733 838541940 /nfs/dbraw/zinc/54/19/40/838541940.db2.gz MMMCKBHAVPYPHX-NSHDSACASA-N 1 2 311.769 1.172 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2sccc2C)C1 ZINC001267673995 838621875 /nfs/dbraw/zinc/62/18/75/838621875.db2.gz QMOAVHQESQVHFR-UHFFFAOYSA-N 1 2 307.419 1.020 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2c(F)cccc2F)C1 ZINC001267721457 838730659 /nfs/dbraw/zinc/73/06/59/838730659.db2.gz ALATZNRQPXZHIY-SJCJKPOMSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2c(F)cccc2F)C1 ZINC001267721457 838730661 /nfs/dbraw/zinc/73/06/61/838730661.db2.gz ALATZNRQPXZHIY-SJCJKPOMSA-N 1 2 324.371 1.982 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[N@@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267723723 838735841 /nfs/dbraw/zinc/73/58/41/838735841.db2.gz HWEBXQAQNJWCNU-CABCVRRESA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267723723 838735844 /nfs/dbraw/zinc/73/58/44/838735844.db2.gz HWEBXQAQNJWCNU-CABCVRRESA-N 1 2 321.465 1.387 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@H](C)CCC)C1 ZINC001267727367 838759277 /nfs/dbraw/zinc/75/92/77/838759277.db2.gz DWHVTSMWHHRXBU-KGLIPLIRSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)N[C@H](C)CCC)C1 ZINC001267727367 838759282 /nfs/dbraw/zinc/75/92/82/838759282.db2.gz DWHVTSMWHHRXBU-KGLIPLIRSA-N 1 2 309.454 1.694 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@H]1CC[N@H+](CC=C(Cl)Cl)C1 ZINC001267762231 838891460 /nfs/dbraw/zinc/89/14/60/838891460.db2.gz PMWXQMYXXKILGM-RYUDHWBXSA-N 1 2 319.232 1.876 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@H]1CC[N@@H+](CC=C(Cl)Cl)C1 ZINC001267762231 838891473 /nfs/dbraw/zinc/89/14/73/838891473.db2.gz PMWXQMYXXKILGM-RYUDHWBXSA-N 1 2 319.232 1.876 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)CCc2cncc(C)c2)C1 ZINC001268024041 839410559 /nfs/dbraw/zinc/41/05/59/839410559.db2.gz VVOIYABEWHFUAQ-MRXNPFEDSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)CCc2cncc(C)c2)C1 ZINC001268024041 839410564 /nfs/dbraw/zinc/41/05/64/839410564.db2.gz VVOIYABEWHFUAQ-MRXNPFEDSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2ccc(C=C)cc2)C1 ZINC001268879721 840941580 /nfs/dbraw/zinc/94/15/80/840941580.db2.gz SVRQHDLBCSSOPZ-UHFFFAOYSA-N 1 2 313.401 1.293 20 30 DDEDLO CCCC1(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CC#CCOC)CCC1 ZINC001268960520 841057007 /nfs/dbraw/zinc/05/70/07/841057007.db2.gz STGFKSMWCAUGAF-HOTGVXAUSA-N 1 2 304.434 1.892 20 30 DDEDLO CCCC1(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CC#CCOC)CCC1 ZINC001268960520 841057021 /nfs/dbraw/zinc/05/70/21/841057021.db2.gz STGFKSMWCAUGAF-HOTGVXAUSA-N 1 2 304.434 1.892 20 30 DDEDLO CC1(C)CCCC[C@@H]1C(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269097125 841200261 /nfs/dbraw/zinc/20/02/61/841200261.db2.gz QTVLWAPVJMNMNW-UONOGXRCSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CCCC[C@@H]1C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269097125 841200271 /nfs/dbraw/zinc/20/02/71/841200271.db2.gz QTVLWAPVJMNMNW-UONOGXRCSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CC[N@H+]1Cc1cc(CO)ccc1F ZINC001269211777 841354337 /nfs/dbraw/zinc/35/43/37/841354337.db2.gz VNWIQWXWAWMNFN-HNNXBMFYSA-N 1 2 322.380 1.211 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CC[N@@H+]1Cc1cc(CO)ccc1F ZINC001269211777 841354345 /nfs/dbraw/zinc/35/43/45/841354345.db2.gz VNWIQWXWAWMNFN-HNNXBMFYSA-N 1 2 322.380 1.211 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CC[N@@H+]1Cc1cc(C)ccc1F ZINC001269234499 841393999 /nfs/dbraw/zinc/39/39/99/841393999.db2.gz AMMXTOHRRDYKFR-ZBFHGGJFSA-N 1 2 318.392 1.863 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CC[N@H+]1Cc1cc(C)ccc1F ZINC001269234499 841394010 /nfs/dbraw/zinc/39/40/10/841394010.db2.gz AMMXTOHRRDYKFR-ZBFHGGJFSA-N 1 2 318.392 1.863 20 30 DDEDLO C#CCCCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001269234746 841394134 /nfs/dbraw/zinc/39/41/34/841394134.db2.gz SFZWDFTZVSKJDA-MRXNPFEDSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001269234746 841394146 /nfs/dbraw/zinc/39/41/46/841394146.db2.gz SFZWDFTZVSKJDA-MRXNPFEDSA-N 1 2 302.422 1.646 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)C(C)(C)[C@@H]1CCCCO1 ZINC001269235831 841401397 /nfs/dbraw/zinc/40/13/97/841401397.db2.gz PUQOYWYSYRCFRO-CVEARBPZSA-N 1 2 322.449 1.422 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)C(C)(C)[C@@H]1CCCCO1 ZINC001269235831 841401399 /nfs/dbraw/zinc/40/13/99/841401399.db2.gz PUQOYWYSYRCFRO-CVEARBPZSA-N 1 2 322.449 1.422 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H](N(C)C(=O)C#CC(C)C)C2)oc1C ZINC001269240824 841406361 /nfs/dbraw/zinc/40/63/61/841406361.db2.gz PDZCIFUAYXWBSY-HNNXBMFYSA-N 1 2 303.406 1.984 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H](N(C)C(=O)C#CC(C)C)C2)oc1C ZINC001269240824 841406368 /nfs/dbraw/zinc/40/63/68/841406368.db2.gz PDZCIFUAYXWBSY-HNNXBMFYSA-N 1 2 303.406 1.984 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)COCc1cccc(OC)c1 ZINC001269260338 841438063 /nfs/dbraw/zinc/43/80/63/841438063.db2.gz CLQJUOZFTPQRQR-HNNXBMFYSA-N 1 2 302.374 1.036 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)COCc1cccc(OC)c1 ZINC001269260338 841438066 /nfs/dbraw/zinc/43/80/66/841438066.db2.gz CLQJUOZFTPQRQR-HNNXBMFYSA-N 1 2 302.374 1.036 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC)[C@H]1CC[N@H+](Cc2nccs2)C1 ZINC001269325314 841523637 /nfs/dbraw/zinc/52/36/37/841523637.db2.gz YYRDFNLMNOWDHK-KBPBESRZSA-N 1 2 321.446 1.604 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC)[C@H]1CC[N@@H+](Cc2nccs2)C1 ZINC001269325314 841523648 /nfs/dbraw/zinc/52/36/48/841523648.db2.gz YYRDFNLMNOWDHK-KBPBESRZSA-N 1 2 321.446 1.604 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001269325167 841524334 /nfs/dbraw/zinc/52/43/34/841524334.db2.gz WLFSJJUQJVHLQC-QGZVFWFLSA-N 1 2 314.433 1.565 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001269325167 841524338 /nfs/dbraw/zinc/52/43/38/841524338.db2.gz WLFSJJUQJVHLQC-QGZVFWFLSA-N 1 2 314.433 1.565 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@H]1CCN1Cc1c[nH+]cn1C ZINC001269336407 841535140 /nfs/dbraw/zinc/53/51/40/841535140.db2.gz BSNGMYLJKYUOOY-QGZVFWFLSA-N 1 2 322.412 1.335 20 30 DDEDLO C=CCN1CCC2(CCN(C(=O)CCc3c[nH]c[nH+]3)CC2)C1=O ZINC001269661219 841911625 /nfs/dbraw/zinc/91/16/25/841911625.db2.gz JAPTZUPLFZDROF-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CCN1CCC2(CCN(C(=O)CCc3c[nH+]c[nH]3)CC2)C1=O ZINC001269661219 841911631 /nfs/dbraw/zinc/91/16/31/841911631.db2.gz JAPTZUPLFZDROF-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO CCn1ccc(C[N@@H+]2CC[C@@](C)(NC(=O)CSCC#N)C2)n1 ZINC001270549418 842658822 /nfs/dbraw/zinc/65/88/22/842658822.db2.gz SLKFSDUTTLJCKT-OAHLLOKOSA-N 1 2 321.450 1.240 20 30 DDEDLO CCn1ccc(C[N@H+]2CC[C@@](C)(NC(=O)CSCC#N)C2)n1 ZINC001270549418 842658827 /nfs/dbraw/zinc/65/88/27/842658827.db2.gz SLKFSDUTTLJCKT-OAHLLOKOSA-N 1 2 321.450 1.240 20 30 DDEDLO CC1(CNC(=O)c2cc3c[nH+]ccc3[nH]2)CCN(CC#N)CC1 ZINC001270885873 843012561 /nfs/dbraw/zinc/01/25/61/843012561.db2.gz RGSFMEHGHXKYNE-UHFFFAOYSA-N 1 2 311.389 1.918 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCC1(C)CCN(CC#N)CC1 ZINC001270910556 843039619 /nfs/dbraw/zinc/03/96/19/843039619.db2.gz GXZOCXYQRRYAAB-ZDUSSCGKSA-N 1 2 303.410 1.330 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCC1(C)CCN(CC#N)CC1 ZINC001270910556 843039628 /nfs/dbraw/zinc/03/96/28/843039628.db2.gz GXZOCXYQRRYAAB-ZDUSSCGKSA-N 1 2 303.410 1.330 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccc(C)nc2C(C)C)C1 ZINC001271327264 843494793 /nfs/dbraw/zinc/49/47/93/843494793.db2.gz IEPXHVWOXLQTQX-UHFFFAOYSA-N 1 2 315.417 1.313 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cc3c(C)cccn3c2)C1 ZINC001271380790 843539454 /nfs/dbraw/zinc/53/94/54/843539454.db2.gz REOSYIPSTWVXNP-UHFFFAOYSA-N 1 2 311.385 1.048 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1COCC[N@H+]1CCC[C@@H](C)O ZINC001326657836 861517752 /nfs/dbraw/zinc/51/77/52/861517752.db2.gz AITFPFSSNRHCQT-CABCVRRESA-N 1 2 312.454 1.567 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1COCC[N@@H+]1CCC[C@@H](C)O ZINC001326657836 861517766 /nfs/dbraw/zinc/51/77/66/861517766.db2.gz AITFPFSSNRHCQT-CABCVRRESA-N 1 2 312.454 1.567 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)CCCCCC#N)C2 ZINC001326791244 861612856 /nfs/dbraw/zinc/61/28/56/861612856.db2.gz UIBJQDRALSCHFF-AWEZNQCLSA-N 1 2 318.421 1.631 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1c(O)cc(F)cc1F ZINC001272616341 846413327 /nfs/dbraw/zinc/41/33/27/846413327.db2.gz IUGBOQMXUUQZQW-HUUCEWRRSA-N 1 2 320.339 1.869 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H](OCC)C2CC2)C1 ZINC001409909149 846557786 /nfs/dbraw/zinc/55/77/86/846557786.db2.gz KBZVQIQJFKGNHD-SMDDNHRTSA-N 1 2 300.830 1.991 20 30 DDEDLO CC[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001077699867 847140290 /nfs/dbraw/zinc/14/02/90/847140290.db2.gz BOKSRZKKNIAVIX-JUDXGUMMSA-N 1 2 319.430 1.327 20 30 DDEDLO CC[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001077699867 847140294 /nfs/dbraw/zinc/14/02/94/847140294.db2.gz BOKSRZKKNIAVIX-JUDXGUMMSA-N 1 2 319.430 1.327 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnccc2C)C1 ZINC001107837354 847274038 /nfs/dbraw/zinc/27/40/38/847274038.db2.gz JKYQJZTUHBDMIB-QGZVFWFLSA-N 1 2 301.390 1.234 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnccc2C)C1 ZINC001107837354 847274048 /nfs/dbraw/zinc/27/40/48/847274048.db2.gz JKYQJZTUHBDMIB-QGZVFWFLSA-N 1 2 301.390 1.234 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@H](C[NH2+][C@H](C)c2nc(C)no2)C1 ZINC001326987263 861773281 /nfs/dbraw/zinc/77/32/81/861773281.db2.gz AWHPHUSFJKXXOM-TZMCWYRMSA-N 1 2 322.409 1.612 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC001077725731 847310033 /nfs/dbraw/zinc/31/00/33/847310033.db2.gz IACOJOYLWLVZTC-ZIAGYGMSSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC001077725731 847310045 /nfs/dbraw/zinc/31/00/45/847310045.db2.gz IACOJOYLWLVZTC-ZIAGYGMSSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)C)nn1CC ZINC001032496706 847412433 /nfs/dbraw/zinc/41/24/33/847412433.db2.gz NCTMTTYRGKYTFY-GJZGRUSLSA-N 1 2 314.433 1.948 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)C)nn1CC ZINC001032496706 847412444 /nfs/dbraw/zinc/41/24/44/847412444.db2.gz NCTMTTYRGKYTFY-GJZGRUSLSA-N 1 2 314.433 1.948 20 30 DDEDLO C=CCN1C(=O)C[C@@]2(CCC[N@H+](Cc3csnn3)C2)C1=O ZINC001272781040 847419406 /nfs/dbraw/zinc/41/94/06/847419406.db2.gz UKAVGHYKVZEGAQ-CQSZACIVSA-N 1 2 306.391 1.065 20 30 DDEDLO C=CCN1C(=O)C[C@@]2(CCC[N@@H+](Cc3csnn3)C2)C1=O ZINC001272781040 847419413 /nfs/dbraw/zinc/41/94/13/847419413.db2.gz UKAVGHYKVZEGAQ-CQSZACIVSA-N 1 2 306.391 1.065 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)C1(CC=C)CCOCC1 ZINC001272901202 847612055 /nfs/dbraw/zinc/61/20/55/847612055.db2.gz DSJZMCMOTNYLJX-INIZCTEOSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)C1(CC=C)CCOCC1 ZINC001272901202 847612061 /nfs/dbraw/zinc/61/20/61/847612061.db2.gz DSJZMCMOTNYLJX-INIZCTEOSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CCOCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H](C)CC)C2)CC1 ZINC001327082741 861861242 /nfs/dbraw/zinc/86/12/42/861861242.db2.gz NWQZDJSBVWJXCW-DLBZAZTESA-N 1 2 323.481 1.454 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)CCC(C)C)C2)CC1 ZINC001327083848 861866839 /nfs/dbraw/zinc/86/68/39/861866839.db2.gz WDAZJWVDIYZBJQ-KRWDZBQOSA-N 1 2 305.466 1.664 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc3n[nH]cc3c1)C2 ZINC001273135666 848502005 /nfs/dbraw/zinc/50/20/05/848502005.db2.gz RHMROHFFLRZZOT-UHFFFAOYSA-N 1 2 312.373 1.162 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)C[NH+](Cc2cccc(=O)[nH]2)C3)C1 ZINC001273136229 848529332 /nfs/dbraw/zinc/52/93/32/848529332.db2.gz SPYBCRGPQYQQDH-UHFFFAOYSA-N 1 2 313.401 1.788 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1([NH2+]Cc2ncc(C)o2)CCC1 ZINC001273154205 848588021 /nfs/dbraw/zinc/58/80/21/848588021.db2.gz LEUQBVXLWXZCIC-KGLIPLIRSA-N 1 2 319.405 1.703 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)COC(C)C)C2)CC1 ZINC001273375986 849739118 /nfs/dbraw/zinc/73/91/18/849739118.db2.gz UERRWAGEZQBYQW-INIZCTEOSA-N 1 2 309.454 1.206 20 30 DDEDLO CC(C)N(CC[N@H+](C)CC(=O)NCC(C)(C)C)C(=O)[C@H](C)C#N ZINC001411185828 850348363 /nfs/dbraw/zinc/34/83/63/850348363.db2.gz PBSGAZRNQMXOGK-CQSZACIVSA-N 1 2 324.469 1.477 20 30 DDEDLO CC(C)N(CC[N@@H+](C)CC(=O)NCC(C)(C)C)C(=O)[C@H](C)C#N ZINC001411185828 850348374 /nfs/dbraw/zinc/34/83/74/850348374.db2.gz PBSGAZRNQMXOGK-CQSZACIVSA-N 1 2 324.469 1.477 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)CCNC(=O)C(C)(C)C ZINC001440952353 850746247 /nfs/dbraw/zinc/74/62/47/850746247.db2.gz CSOSBDOOUTVOOE-GFCCVEGCSA-N 1 2 317.861 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)CCNC(=O)C(C)(C)C ZINC001440952353 850746235 /nfs/dbraw/zinc/74/62/35/850746235.db2.gz CSOSBDOOUTVOOE-GFCCVEGCSA-N 1 2 317.861 1.728 20 30 DDEDLO C[C@@H](C[NH+]1CC2(C1)COCC(=O)N2CCCC#N)C(F)(F)F ZINC001273445894 850815134 /nfs/dbraw/zinc/81/51/34/850815134.db2.gz LHCVWOVBYFPJOT-NSHDSACASA-N 1 2 319.327 1.402 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)CCCC[N@H+]2CCS(=O)(=O)CC ZINC001273831873 851401709 /nfs/dbraw/zinc/40/17/09/851401709.db2.gz ONFIWRCNJSKQET-OAHLLOKOSA-N 1 2 314.451 1.064 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)CCCC[N@@H+]2CCS(=O)(=O)CC ZINC001273831873 851401710 /nfs/dbraw/zinc/40/17/10/851401710.db2.gz ONFIWRCNJSKQET-OAHLLOKOSA-N 1 2 314.451 1.064 20 30 DDEDLO C[N@@H+]1CCC12CN(C(=O)c1cc(-c3ccc(C#N)cc3)on1)C2 ZINC001273875393 851445531 /nfs/dbraw/zinc/44/55/31/851445531.db2.gz KOWNQCDIZXNXPN-UHFFFAOYSA-N 1 2 308.341 1.743 20 30 DDEDLO C[N@H+]1CCC12CN(C(=O)c1cc(-c3ccc(C#N)cc3)on1)C2 ZINC001273875393 851445537 /nfs/dbraw/zinc/44/55/37/851445537.db2.gz KOWNQCDIZXNXPN-UHFFFAOYSA-N 1 2 308.341 1.743 20 30 DDEDLO Cc1nc(C[NH2+][C@]2(CO)CCCN(C(=O)C#CC(C)C)C2)co1 ZINC001273875239 851445668 /nfs/dbraw/zinc/44/56/68/851445668.db2.gz DVOHWHDUPXODOH-QGZVFWFLSA-N 1 2 319.405 1.086 20 30 DDEDLO Cc1cc(CN2C[C@@]3(CC2=O)CCCC[N@@H+]3CCC#N)n(C)n1 ZINC001274031957 851871174 /nfs/dbraw/zinc/87/11/74/851871174.db2.gz CVKPJKFGYQLMLE-KRWDZBQOSA-N 1 2 315.421 1.599 20 30 DDEDLO Cc1cc(CN2C[C@@]3(CC2=O)CCCC[N@H+]3CCC#N)n(C)n1 ZINC001274031957 851871183 /nfs/dbraw/zinc/87/11/83/851871183.db2.gz CVKPJKFGYQLMLE-KRWDZBQOSA-N 1 2 315.421 1.599 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](Cc3c(C)cccc3O)C[C@@]2(F)C1=O ZINC001274301497 852139703 /nfs/dbraw/zinc/13/97/03/852139703.db2.gz QQFCDHLBBWVUQL-DLBZAZTESA-N 1 2 322.355 1.961 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](Cc3c(C)cccc3O)C[C@@]2(F)C1=O ZINC001274301497 852139710 /nfs/dbraw/zinc/13/97/10/852139710.db2.gz QQFCDHLBBWVUQL-DLBZAZTESA-N 1 2 322.355 1.961 20 30 DDEDLO Cc1[nH]c(CN2C[C@@]3(F)C(=O)N(CCCC#N)C[C@@]3(F)C2)c[nH+]1 ZINC001274576872 852408326 /nfs/dbraw/zinc/40/83/26/852408326.db2.gz RMKKBDLEDQNEDI-LSDHHAIUSA-N 1 2 323.347 1.096 20 30 DDEDLO Cc1[nH]cc(CN2C[C@@]3(F)C(=O)N(CCCC#N)C[C@@]3(F)C2)[nH+]1 ZINC001274576872 852408328 /nfs/dbraw/zinc/40/83/28/852408328.db2.gz RMKKBDLEDQNEDI-LSDHHAIUSA-N 1 2 323.347 1.096 20 30 DDEDLO C#CCN1CC[C@@]2(CCCN2c2nc(N(C)CC)cc[nH+]2)C1=O ZINC001274735644 852564000 /nfs/dbraw/zinc/56/40/00/852564000.db2.gz DMCAVYZOEGNQRP-KRWDZBQOSA-N 1 2 313.405 1.137 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)C[NH2+]Cc1nc(CC2CC2)no1 ZINC001274746614 852574812 /nfs/dbraw/zinc/57/48/12/852574812.db2.gz BJOBXUSIVGNSAN-GFCCVEGCSA-N 1 2 304.394 1.276 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001299388055 852624019 /nfs/dbraw/zinc/62/40/19/852624019.db2.gz SAUNVSPFWYWYQU-UHFFFAOYSA-N 1 2 304.394 1.224 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1CCC2(C[C@@H]2C(=O)NCC(F)F)CC1 ZINC001274855745 852643742 /nfs/dbraw/zinc/64/37/42/852643742.db2.gz RKGQQDKWHKUKCY-GFCCVEGCSA-N 1 2 322.359 1.870 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@H]1C[NH2+]Cc1nc(C)no1 ZINC001274989747 852736111 /nfs/dbraw/zinc/73/61/11/852736111.db2.gz KJCZYAXDMBINGB-AWEZNQCLSA-N 1 2 304.394 1.652 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3OCCN(Cc4ccc[nH]4)[C@H]3C2)n1 ZINC001275606105 853428775 /nfs/dbraw/zinc/42/87/75/853428775.db2.gz VBNATXFDVUVORG-ROUUACIJSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3OCCN(Cc4ccc[nH]4)[C@H]3C2)n1 ZINC001275606105 853428780 /nfs/dbraw/zinc/42/87/80/853428780.db2.gz VBNATXFDVUVORG-ROUUACIJSA-N 1 2 323.400 1.367 20 30 DDEDLO Cc1cc(CNC(=O)CNC(=O)c2ccc(C#N)cc2)cc(C)[nH+]1 ZINC001412254040 854379142 /nfs/dbraw/zinc/37/91/42/854379142.db2.gz CPRDZEYPVYRCFS-UHFFFAOYSA-N 1 2 322.368 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)COCCCOC)C2 ZINC001111250435 855264105 /nfs/dbraw/zinc/26/41/05/855264105.db2.gz CTZOAYNDBJLRFU-MCIONIFRSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)COCCCOC)C2 ZINC001111250435 855264109 /nfs/dbraw/zinc/26/41/09/855264109.db2.gz CTZOAYNDBJLRFU-MCIONIFRSA-N 1 2 316.829 1.514 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cc3n(n2)CCCC3)C[C@H]1C ZINC001206659935 862753530 /nfs/dbraw/zinc/75/35/30/862753530.db2.gz TYWXWSUTYKUBLY-XJKCOSOUSA-N 1 2 315.421 1.316 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cc3n(n2)CCCC3)C[C@H]1C ZINC001206659935 862753541 /nfs/dbraw/zinc/75/35/41/862753541.db2.gz TYWXWSUTYKUBLY-XJKCOSOUSA-N 1 2 315.421 1.316 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072604385 857505873 /nfs/dbraw/zinc/50/58/73/857505873.db2.gz XKEHZGXOGUIVFS-KBPBESRZSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072607602 857509014 /nfs/dbraw/zinc/50/90/14/857509014.db2.gz ZUPLGQSCKUIELF-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)NC1(C)CCN(C(=O)Cc2c[nH+]cn2C)CC1 ZINC001073164590 858151346 /nfs/dbraw/zinc/15/13/46/858151346.db2.gz DKKIKJYAARLBSZ-UHFFFAOYSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cc[nH]c2C)C1 ZINC001073570460 858448753 /nfs/dbraw/zinc/44/87/53/858448753.db2.gz TUWCVOZSDWKRAW-ZDUSSCGKSA-N 1 2 311.813 1.896 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cc[nH]c2C)C1 ZINC001073570460 858448757 /nfs/dbraw/zinc/44/87/57/858448757.db2.gz TUWCVOZSDWKRAW-ZDUSSCGKSA-N 1 2 311.813 1.896 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C2CC(C)C2)C1 ZINC001073572225 858451521 /nfs/dbraw/zinc/45/15/21/858451521.db2.gz UUSBOQGATUDKFE-UXUKBGGZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C2CC(C)C2)C1 ZINC001073572225 858451525 /nfs/dbraw/zinc/45/15/25/858451525.db2.gz UUSBOQGATUDKFE-UXUKBGGZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H]2C[C@@H]2C(F)F)C1 ZINC001073587973 858465392 /nfs/dbraw/zinc/46/53/92/858465392.db2.gz XIHZJCMTGXGLRF-WOPDTQHZSA-N 1 2 322.783 1.847 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H]2C[C@@H]2C(F)F)C1 ZINC001073587973 858465396 /nfs/dbraw/zinc/46/53/96/858465396.db2.gz XIHZJCMTGXGLRF-WOPDTQHZSA-N 1 2 322.783 1.847 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@@H+]2C)n1CCCOCC ZINC001122605833 858908001 /nfs/dbraw/zinc/90/80/01/858908001.db2.gz KQSSFIWONSCXRG-CQSZACIVSA-N 1 2 305.426 1.541 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@H+]2C)n1CCCOCC ZINC001122605833 858908006 /nfs/dbraw/zinc/90/80/06/858908006.db2.gz KQSSFIWONSCXRG-CQSZACIVSA-N 1 2 305.426 1.541 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1CC[NH+](Cc2nnnn2C)CC1 ZINC001224385508 881360289 /nfs/dbraw/zinc/36/02/89/881360289.db2.gz DCVSYVFBOLRXGH-MRXNPFEDSA-N 1 2 320.441 1.141 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)F)n2CC(C)C)CC1 ZINC001122803818 858973310 /nfs/dbraw/zinc/97/33/10/858973310.db2.gz BGGFDQOIHZPMHF-UHFFFAOYSA-N 1 2 307.417 1.894 20 30 DDEDLO C=CCn1cc(C(=O)N2CCC(Cc3c[nH]c[nH+]3)CC2)nn1 ZINC001124074663 859563403 /nfs/dbraw/zinc/56/34/03/859563403.db2.gz TYXXNCNDPSMUJN-UHFFFAOYSA-N 1 2 300.366 1.282 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001124324577 859681534 /nfs/dbraw/zinc/68/15/34/859681534.db2.gz YFANRWSWALDGRT-AWEZNQCLSA-N 1 2 304.394 1.210 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001124786582 859811886 /nfs/dbraw/zinc/81/18/86/859811886.db2.gz OXIMPIIHHCPJBB-ZDUSSCGKSA-N 1 2 306.410 1.619 20 30 DDEDLO COCC(=O)NC1CC[NH+](Cc2cccc(Cl)c2C#N)CC1 ZINC001139691033 860453302 /nfs/dbraw/zinc/45/33/02/860453302.db2.gz PQXBDOVSMOFRPX-UHFFFAOYSA-N 1 2 321.808 1.939 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1cccc2c(C#N)c[nH]c21 ZINC001157173971 863632772 /nfs/dbraw/zinc/63/27/72/863632772.db2.gz TZABJZBTKJPHAO-GFCCVEGCSA-N 1 2 312.373 1.490 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1cccc2c(C#N)c[nH]c21 ZINC001157173971 863632773 /nfs/dbraw/zinc/63/27/73/863632773.db2.gz TZABJZBTKJPHAO-GFCCVEGCSA-N 1 2 312.373 1.490 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+][C@H](C)c1nc(C(C)C)no1 ZINC001153091147 863703608 /nfs/dbraw/zinc/70/36/08/863703608.db2.gz GMHXMBSENRBGGK-GFCCVEGCSA-N 1 2 310.398 1.553 20 30 DDEDLO CC[N@H+](Cc1cn(C(C)C)nn1)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153233050 863778333 /nfs/dbraw/zinc/77/83/33/863778333.db2.gz UTAFYRYLSWWGHZ-CQSZACIVSA-N 1 2 317.437 1.599 20 30 DDEDLO CC[N@@H+](Cc1cn(C(C)C)nn1)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153233050 863778335 /nfs/dbraw/zinc/77/83/35/863778335.db2.gz UTAFYRYLSWWGHZ-CQSZACIVSA-N 1 2 317.437 1.599 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2cccn3ccnc23)C1 ZINC001329704582 863800505 /nfs/dbraw/zinc/80/05/05/863800505.db2.gz GCYBZRBIEXQPQH-UHFFFAOYSA-N 1 2 314.389 1.077 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1csc(C)n1 ZINC001153306680 863814186 /nfs/dbraw/zinc/81/41/86/863814186.db2.gz OIFHKZONCIDFHC-CHWSQXEVSA-N 1 2 323.462 1.816 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1csc(C)n1 ZINC001153306680 863814187 /nfs/dbraw/zinc/81/41/87/863814187.db2.gz OIFHKZONCIDFHC-CHWSQXEVSA-N 1 2 323.462 1.816 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1coc(C)n1 ZINC001153306392 863814516 /nfs/dbraw/zinc/81/45/16/863814516.db2.gz IRBAYIWVOPAUSV-CYBMUJFWSA-N 1 2 307.394 1.350 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1coc(C)n1 ZINC001153306392 863814519 /nfs/dbraw/zinc/81/45/19/863814519.db2.gz IRBAYIWVOPAUSV-CYBMUJFWSA-N 1 2 307.394 1.350 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)c2cccc(C)c2)C1 ZINC001329952179 863970952 /nfs/dbraw/zinc/97/09/52/863970952.db2.gz JSOMAZIOWRQZBS-CQSZACIVSA-N 1 2 315.417 1.347 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](C)CCN1c1nc2c(cc1C#N)CCCC2 ZINC001157772835 864123000 /nfs/dbraw/zinc/12/30/00/864123000.db2.gz QBHGFMPEAPGFEF-HNNXBMFYSA-N 1 2 314.389 1.125 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](C)CCN1c1nc2c(cc1C#N)CCCC2 ZINC001157772835 864123005 /nfs/dbraw/zinc/12/30/05/864123005.db2.gz QBHGFMPEAPGFEF-HNNXBMFYSA-N 1 2 314.389 1.125 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(C)(C)CCC)C(C)(C)C1 ZINC001330229758 864175180 /nfs/dbraw/zinc/17/51/80/864175180.db2.gz JFRBRNHZMPEJBD-AWEZNQCLSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(C)(C)CCC)C(C)(C)C1 ZINC001330229758 864175193 /nfs/dbraw/zinc/17/51/93/864175193.db2.gz JFRBRNHZMPEJBD-AWEZNQCLSA-N 1 2 321.465 1.389 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1cnnn1C ZINC001157939713 864286043 /nfs/dbraw/zinc/28/60/43/864286043.db2.gz KLFVIHUIPVVTBO-OAHLLOKOSA-N 1 2 317.437 1.287 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1cnnn1C ZINC001157939713 864286054 /nfs/dbraw/zinc/28/60/54/864286054.db2.gz KLFVIHUIPVVTBO-OAHLLOKOSA-N 1 2 317.437 1.287 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCC[C@@H](NCC#N)C(C)C)c[nH+]1 ZINC001331203255 864940503 /nfs/dbraw/zinc/94/05/03/864940503.db2.gz SJMIXYOZAJIVTC-CQSZACIVSA-N 1 2 305.426 1.571 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H](C)CN(C)C(=O)C#CC(C)(C)C)o1 ZINC001331438668 865083924 /nfs/dbraw/zinc/08/39/24/865083924.db2.gz IVEVBUMERUZMAF-LBPRGKRZSA-N 1 2 306.410 1.618 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](c1ccccc1)[C@H](O)C(C)C ZINC001332679776 866062295 /nfs/dbraw/zinc/06/22/95/866062295.db2.gz KLNOCTZERWQTSF-OKZBNKHCSA-N 1 2 314.429 1.958 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](c1ccccc1)[C@H](O)C(C)C ZINC001332679776 866062305 /nfs/dbraw/zinc/06/23/05/866062305.db2.gz KLNOCTZERWQTSF-OKZBNKHCSA-N 1 2 314.429 1.958 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001323279292 866496049 /nfs/dbraw/zinc/49/60/49/866496049.db2.gz UDJOOCMKHILRNG-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001323279292 866496055 /nfs/dbraw/zinc/49/60/55/866496055.db2.gz UDJOOCMKHILRNG-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)Cc2ccn(CC)n2)CC1 ZINC001333342472 866652897 /nfs/dbraw/zinc/65/28/97/866652897.db2.gz JWDGPLNUBZVVFA-UHFFFAOYSA-N 1 2 322.840 1.946 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)Cc2ccn(CC)n2)CC1 ZINC001333342472 866652903 /nfs/dbraw/zinc/65/29/03/866652903.db2.gz JWDGPLNUBZVVFA-UHFFFAOYSA-N 1 2 322.840 1.946 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H]1CCN(C)C1=O ZINC001323774922 866834867 /nfs/dbraw/zinc/83/48/67/866834867.db2.gz GKYARJCAOAFBDZ-XHSDSOJGSA-N 1 2 317.433 1.086 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H]1CCN(C)C1=O ZINC001323774922 866834876 /nfs/dbraw/zinc/83/48/76/866834876.db2.gz GKYARJCAOAFBDZ-XHSDSOJGSA-N 1 2 317.433 1.086 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H]1CCN(C)C1=O ZINC001323789497 866845920 /nfs/dbraw/zinc/84/59/20/866845920.db2.gz VQDDWIXBRWXDOL-OWCLPIDISA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H]1CCN(C)C1=O ZINC001323789497 866845938 /nfs/dbraw/zinc/84/59/38/866845938.db2.gz VQDDWIXBRWXDOL-OWCLPIDISA-N 1 2 319.449 1.639 20 30 DDEDLO C#CCCOc1ccc(C(=O)N(C)C2C[NH+](C[C@@H](C)O)C2)cc1 ZINC001323920966 866948907 /nfs/dbraw/zinc/94/89/07/866948907.db2.gz KIMFQHWVPKOUFF-CQSZACIVSA-N 1 2 316.401 1.226 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C1C[NH+](Cc2ccc(CC)cc2)C1 ZINC001323921596 866950762 /nfs/dbraw/zinc/95/07/62/866950762.db2.gz RKKZRETZVMFAKS-OAHLLOKOSA-N 1 2 314.429 1.930 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)Cc2ccc(C)cc2)C1 ZINC001323945286 866964306 /nfs/dbraw/zinc/96/43/06/866964306.db2.gz KLYAMBGLOIKMKV-UHFFFAOYSA-N 1 2 302.418 1.883 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CCc2cncc(C)c2)C1 ZINC001323955240 866974846 /nfs/dbraw/zinc/97/48/46/866974846.db2.gz RLXQQDMYWAZAHJ-UHFFFAOYSA-N 1 2 317.433 1.668 20 30 DDEDLO CC(C)c1nsc(C[NH2+]C/C=C/CNC(=O)[C@@H](C)C#N)n1 ZINC001320999258 867193455 /nfs/dbraw/zinc/19/34/55/867193455.db2.gz TZHIYORHJANYFX-ZWNMCFTASA-N 1 2 307.423 1.583 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1nncn1C ZINC001324537674 867340043 /nfs/dbraw/zinc/34/00/43/867340043.db2.gz MLZCBEUGNUFYJL-CABCVRRESA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1nncn1C ZINC001324537674 867340060 /nfs/dbraw/zinc/34/00/60/867340060.db2.gz MLZCBEUGNUFYJL-CABCVRRESA-N 1 2 317.437 1.737 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001324559756 867354471 /nfs/dbraw/zinc/35/44/71/867354471.db2.gz JGGPTISFGYAAEN-IRXDYDNUSA-N 1 2 320.481 1.801 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C\C[NH2+]Cc2coc(C)n2)cn1 ZINC001321374855 867541894 /nfs/dbraw/zinc/54/18/94/867541894.db2.gz XQVPUJAIFCDMMY-PLNGDYQASA-N 1 2 310.357 1.435 20 30 DDEDLO C=CCOCC(=O)NC[C@]1(C)CCC[N@@H+](Cc2nc(C)no2)C1 ZINC001324919294 867627467 /nfs/dbraw/zinc/62/74/67/867627467.db2.gz DNUHYONJVDLYKM-INIZCTEOSA-N 1 2 322.409 1.299 20 30 DDEDLO C=CCOCC(=O)NC[C@]1(C)CCC[N@H+](Cc2nc(C)no2)C1 ZINC001324919294 867627476 /nfs/dbraw/zinc/62/74/76/867627476.db2.gz DNUHYONJVDLYKM-INIZCTEOSA-N 1 2 322.409 1.299 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1cc2ccccc2[nH]1 ZINC001322423735 868161857 /nfs/dbraw/zinc/16/18/57/868161857.db2.gz UJHFSNXQNHCRDG-UHFFFAOYSA-N 1 2 300.362 1.612 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1cc2ccccc2[nH]1 ZINC001322423735 868161865 /nfs/dbraw/zinc/16/18/65/868161865.db2.gz UJHFSNXQNHCRDG-UHFFFAOYSA-N 1 2 300.362 1.612 20 30 DDEDLO CC(C)(C#N)CC(=O)Nc1ccc(C(N)=O)cc1-n1cc[nH+]c1 ZINC001361693683 882173129 /nfs/dbraw/zinc/17/31/29/882173129.db2.gz SCYBYRGYPTZGCX-UHFFFAOYSA-N 1 2 311.345 1.850 20 30 DDEDLO C#CCCCCCC(=O)NCCC[NH2+]Cc1noc(CC)n1 ZINC001163964365 868828684 /nfs/dbraw/zinc/82/86/84/868828684.db2.gz ZDUOFZXFMPIQHL-UHFFFAOYSA-N 1 2 306.410 1.812 20 30 DDEDLO CC#CCCCC(=O)NCCC[NH2+]Cc1noc(CCC)n1 ZINC001164262547 869071995 /nfs/dbraw/zinc/07/19/95/869071995.db2.gz GSTVFBHJKUYPPZ-UHFFFAOYSA-N 1 2 306.410 1.812 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](CNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001336887077 869202097 /nfs/dbraw/zinc/20/20/97/869202097.db2.gz XKVXTDSFEXSVFI-CQSZACIVSA-N 1 2 304.394 1.203 20 30 DDEDLO N#CC1(c2ccc(N3CC[NH2+]CC(F)(F)C3)nc2)CCOCC1 ZINC001164498045 869235679 /nfs/dbraw/zinc/23/56/79/869235679.db2.gz OGFUNOUFRNAGLH-UHFFFAOYSA-N 1 2 322.359 1.698 20 30 DDEDLO C#CC1(NC(=O)C(=O)Nc2ccc3[nH+]c(C)cn3c2)CCCC1 ZINC001337829344 869647390 /nfs/dbraw/zinc/64/73/90/869647390.db2.gz KTOYERXOAKDCPW-UHFFFAOYSA-N 1 2 310.357 1.643 20 30 DDEDLO COC(=O)c1cc(C#N)ccc1O[C@@H](C)C[NH+]1CCOCC1 ZINC001226305110 882335848 /nfs/dbraw/zinc/33/58/48/882335848.db2.gz HZVXZKBPIBBRMF-LBPRGKRZSA-N 1 2 304.346 1.444 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1C[C@H](C)N(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001338135517 869823543 /nfs/dbraw/zinc/82/35/43/869823543.db2.gz PANVAVRRUVGATC-STQMWFEESA-N 1 2 318.421 1.660 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H](C)N(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001338155317 869838572 /nfs/dbraw/zinc/83/85/72/869838572.db2.gz PIRSLFSFWNKSPA-AAEUAGOBSA-N 1 2 304.394 1.270 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(Cc2nocc2C)CC1 ZINC001316964695 870002895 /nfs/dbraw/zinc/00/28/95/870002895.db2.gz GXIPYZNRBRLPCX-UHFFFAOYSA-N 1 2 320.437 1.573 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H](F)CC)C1 ZINC001316984542 870068241 /nfs/dbraw/zinc/06/82/41/870068241.db2.gz LHAYAPOEDJEOAI-KBPBESRZSA-N 1 2 313.417 1.350 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H](F)CC)C1 ZINC001316984542 870068251 /nfs/dbraw/zinc/06/82/51/870068251.db2.gz LHAYAPOEDJEOAI-KBPBESRZSA-N 1 2 313.417 1.350 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCCC[C@@H](C)C1 ZINC001338773143 870160796 /nfs/dbraw/zinc/16/07/96/870160796.db2.gz NZPIDWGUCMHCKQ-ILXRZTDVSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCCC[C@@H](C)C1 ZINC001338773143 870160803 /nfs/dbraw/zinc/16/08/03/870160803.db2.gz NZPIDWGUCMHCKQ-ILXRZTDVSA-N 1 2 319.453 1.828 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1C[C@@H]([NH2+]CC(F)(F)C(F)F)C1 ZINC001317110570 870271065 /nfs/dbraw/zinc/27/10/65/870271065.db2.gz VWGCJZZUPZOFGK-LPEHRKFASA-N 1 2 310.291 1.162 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@]1(C)CCC[C@@H]1CC ZINC001317451746 870863566 /nfs/dbraw/zinc/86/35/66/870863566.db2.gz PUXIPNRFIUUHNM-YOEHRIQHSA-N 1 2 309.454 1.553 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@@]1(C)CCC[C@@H]1CC ZINC001317451746 870863572 /nfs/dbraw/zinc/86/35/72/870863572.db2.gz PUXIPNRFIUUHNM-YOEHRIQHSA-N 1 2 309.454 1.553 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1cncc(F)c1 ZINC001317500149 870928865 /nfs/dbraw/zinc/92/88/65/870928865.db2.gz WEOTVWYNGDIPRB-INIZCTEOSA-N 1 2 303.381 1.961 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCC[N@H+]1Cc1cncc(F)c1 ZINC001317500149 870928871 /nfs/dbraw/zinc/92/88/71/870928871.db2.gz WEOTVWYNGDIPRB-INIZCTEOSA-N 1 2 303.381 1.961 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001317523795 870989451 /nfs/dbraw/zinc/98/94/51/870989451.db2.gz VEMVBGXQPCZBLI-ZDUSSCGKSA-N 1 2 304.394 1.366 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001317523795 870989458 /nfs/dbraw/zinc/98/94/58/870989458.db2.gz VEMVBGXQPCZBLI-ZDUSSCGKSA-N 1 2 304.394 1.366 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N1CCO[C@H](C#N)C1 ZINC001340263728 870994989 /nfs/dbraw/zinc/99/49/89/870994989.db2.gz GWNCVLUTRPGCIF-ZIAGYGMSSA-N 1 2 316.409 1.350 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@H](C#N)C1 ZINC001340263728 870994997 /nfs/dbraw/zinc/99/49/97/870994997.db2.gz GWNCVLUTRPGCIF-ZIAGYGMSSA-N 1 2 316.409 1.350 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@@H+]([C@@H](C)c1ncc(C)o1)C1CC1 ZINC001317549887 871045794 /nfs/dbraw/zinc/04/57/94/871045794.db2.gz KSSZGYFQHVYTBV-GUYCJALGSA-N 1 2 321.421 1.952 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@H+]([C@@H](C)c1ncc(C)o1)C1CC1 ZINC001317549887 871045808 /nfs/dbraw/zinc/04/58/08/871045808.db2.gz KSSZGYFQHVYTBV-GUYCJALGSA-N 1 2 321.421 1.952 20 30 DDEDLO COC(=O)[C@@H]1COCCC12C[NH+](Cc1ccccc1C#N)C2 ZINC001204373858 871084279 /nfs/dbraw/zinc/08/42/79/871084279.db2.gz WAIWZAJUUYZAKK-HNNXBMFYSA-N 1 2 300.358 1.570 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)C2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001340703719 871295703 /nfs/dbraw/zinc/29/57/03/871295703.db2.gz ZMMTYCGNFKRDLA-HEHGZKQESA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)C2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001340703719 871295714 /nfs/dbraw/zinc/29/57/14/871295714.db2.gz ZMMTYCGNFKRDLA-HEHGZKQESA-N 1 2 305.426 1.222 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[N@H+](C)Cc1cccc(F)c1F ZINC001317447824 871339624 /nfs/dbraw/zinc/33/96/24/871339624.db2.gz HYEUXVWHZXBUMR-LBPRGKRZSA-N 1 2 310.344 1.551 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[N@@H+](C)Cc1cccc(F)c1F ZINC001317447824 871339646 /nfs/dbraw/zinc/33/96/46/871339646.db2.gz HYEUXVWHZXBUMR-LBPRGKRZSA-N 1 2 310.344 1.551 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3c(O)ccc4ccc(=O)oc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226600177 882530354 /nfs/dbraw/zinc/53/03/54/882530354.db2.gz VDHVBZDMWAMTMI-JSHWIKAXSA-N 1 2 315.325 1.490 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CC(CNC(=O)c2ccc(C)s2)C1 ZINC001318097305 871743972 /nfs/dbraw/zinc/74/39/72/871743972.db2.gz UBSBDRNDHCGQFD-LBPRGKRZSA-N 1 2 321.446 1.409 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCC23CC3)C1 ZINC001318260859 871889201 /nfs/dbraw/zinc/88/92/01/871889201.db2.gz QMRVAWCHKPIVLX-KBPBESRZSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCC23CC3)C1 ZINC001318260859 871889227 /nfs/dbraw/zinc/88/92/27/871889227.db2.gz QMRVAWCHKPIVLX-KBPBESRZSA-N 1 2 305.422 1.059 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cc2F)C1 ZINC001318331473 871936323 /nfs/dbraw/zinc/93/63/23/871936323.db2.gz PZJBIPRABWKYBW-IUODEOHRSA-N 1 2 322.355 1.694 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cc2F)C1 ZINC001318331473 871936338 /nfs/dbraw/zinc/93/63/38/871936338.db2.gz PZJBIPRABWKYBW-IUODEOHRSA-N 1 2 322.355 1.694 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@@H]2C[C@H](O)C[N@@H+]2C)n1CC=C ZINC001342061732 871979265 /nfs/dbraw/zinc/97/92/65/871979265.db2.gz KYGUPNHBSVXJQV-GJZGRUSLSA-N 1 2 319.453 1.994 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@@H]2C[C@H](O)C[N@H+]2C)n1CC=C ZINC001342061732 871979272 /nfs/dbraw/zinc/97/92/72/871979272.db2.gz KYGUPNHBSVXJQV-GJZGRUSLSA-N 1 2 319.453 1.994 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@H+](CC=C(Cl)Cl)C1 ZINC001318402665 872002170 /nfs/dbraw/zinc/00/21/70/872002170.db2.gz MMVXXSRUBXYMBN-LBPRGKRZSA-N 1 2 319.232 1.878 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@@H+](CC=C(Cl)Cl)C1 ZINC001318402665 872002189 /nfs/dbraw/zinc/00/21/89/872002189.db2.gz MMVXXSRUBXYMBN-LBPRGKRZSA-N 1 2 319.232 1.878 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H]2C)nnc1N(C)CC[NH+]1CCOCC1 ZINC001342114864 872014981 /nfs/dbraw/zinc/01/49/81/872014981.db2.gz GCSSMKMRNRUBFV-KBPBESRZSA-N 1 2 305.426 1.356 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](CCS(C)(=O)=O)[C@@H]1C ZINC001316788507 872051216 /nfs/dbraw/zinc/05/12/16/872051216.db2.gz SEXHKEKHIBSEFG-OLZOCXBDSA-N 1 2 316.467 1.212 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](CCS(C)(=O)=O)[C@@H]1C ZINC001316788507 872051239 /nfs/dbraw/zinc/05/12/39/872051239.db2.gz SEXHKEKHIBSEFG-OLZOCXBDSA-N 1 2 316.467 1.212 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001479240257 872055778 /nfs/dbraw/zinc/05/57/78/872055778.db2.gz DMACXEOPIIADAX-MRXNPFEDSA-N 1 2 321.465 1.888 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001479240257 872055799 /nfs/dbraw/zinc/05/57/99/872055799.db2.gz DMACXEOPIIADAX-MRXNPFEDSA-N 1 2 321.465 1.888 20 30 DDEDLO CC[C@@H](CC(=O)N(C)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1)C(C)C ZINC001318447149 872060768 /nfs/dbraw/zinc/06/07/68/872060768.db2.gz XRBVHRONSDDIEY-LSDHHAIUSA-N 1 2 322.453 1.231 20 30 DDEDLO CC[C@@H](CC(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1)C(C)C ZINC001318447149 872060789 /nfs/dbraw/zinc/06/07/89/872060789.db2.gz XRBVHRONSDDIEY-LSDHHAIUSA-N 1 2 322.453 1.231 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC)[C@@H]1CC[N@H+](Cc2coc(C)n2)C1 ZINC001318469515 872090686 /nfs/dbraw/zinc/09/06/86/872090686.db2.gz FBHFFPLIGSONTP-CZUORRHYSA-N 1 2 319.405 1.444 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC)[C@@H]1CC[N@@H+](Cc2coc(C)n2)C1 ZINC001318469515 872090711 /nfs/dbraw/zinc/09/07/11/872090711.db2.gz FBHFFPLIGSONTP-CZUORRHYSA-N 1 2 319.405 1.444 20 30 DDEDLO Cc1nnc(C[N@@H+](CCCNC(=O)C#CC(C)C)C2CC2)o1 ZINC001316914112 872343274 /nfs/dbraw/zinc/34/32/74/872343274.db2.gz FQHBHGBYLBDJDV-UHFFFAOYSA-N 1 2 304.394 1.508 20 30 DDEDLO Cc1nnc(C[N@H+](CCCNC(=O)C#CC(C)C)C2CC2)o1 ZINC001316914112 872343292 /nfs/dbraw/zinc/34/32/92/872343292.db2.gz FQHBHGBYLBDJDV-UHFFFAOYSA-N 1 2 304.394 1.508 20 30 DDEDLO CN(C)c1ncccc1C=[NH+]Nc1ccc(S(C)(=O)=O)cc1 ZINC001342841906 872409524 /nfs/dbraw/zinc/40/95/24/872409524.db2.gz LLSDVWJQMHGKGK-UHFFFAOYSA-N 1 2 318.402 1.997 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)COC)C1 ZINC001206551965 872434785 /nfs/dbraw/zinc/43/47/85/872434785.db2.gz PJJITZQQWHFWMQ-CKYFFXLPSA-N 1 2 319.243 1.614 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)COC)C1 ZINC001206551965 872434799 /nfs/dbraw/zinc/43/47/99/872434799.db2.gz PJJITZQQWHFWMQ-CKYFFXLPSA-N 1 2 319.243 1.614 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001316945845 872438883 /nfs/dbraw/zinc/43/88/83/872438883.db2.gz UFCHNDGGJABPLO-OAHLLOKOSA-N 1 2 319.405 1.412 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@H+](Cc2nc(C)oc2C)C1 ZINC001316945845 872438899 /nfs/dbraw/zinc/43/88/99/872438899.db2.gz UFCHNDGGJABPLO-OAHLLOKOSA-N 1 2 319.405 1.412 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC2CC2)C1 ZINC001316948087 872445968 /nfs/dbraw/zinc/44/59/68/872445968.db2.gz CSXUZKVUNXFOLG-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001316948087 872445977 /nfs/dbraw/zinc/44/59/77/872445977.db2.gz CSXUZKVUNXFOLG-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2nccn2CC)C[C@H]1C ZINC001206606475 872471249 /nfs/dbraw/zinc/47/12/49/872471249.db2.gz CKVSGQHMKVVWHS-ZIAGYGMSSA-N 1 2 306.410 1.042 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2nccn2CC)C[C@H]1C ZINC001206606475 872471267 /nfs/dbraw/zinc/47/12/67/872471267.db2.gz CKVSGQHMKVVWHS-ZIAGYGMSSA-N 1 2 306.410 1.042 20 30 DDEDLO C=CCN1CCN(C)C2(CC[NH+](Cc3cc(C)on3)CC2)C1=O ZINC001206818127 872621133 /nfs/dbraw/zinc/62/11/33/872621133.db2.gz WZQVPRXQCRXWHQ-UHFFFAOYSA-N 1 2 318.421 1.278 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)cs1 ZINC001206953116 872839257 /nfs/dbraw/zinc/83/92/57/872839257.db2.gz RSGSDWLUDFXDDK-IAQYHMDHSA-N 1 2 303.431 1.801 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)cs1 ZINC001206953116 872839262 /nfs/dbraw/zinc/83/92/62/872839262.db2.gz RSGSDWLUDFXDDK-IAQYHMDHSA-N 1 2 303.431 1.801 20 30 DDEDLO C[NH+](C)[C@@H](C(=O)N1CCC(CNCC#N)CC1)c1cccnc1 ZINC001206955783 872844313 /nfs/dbraw/zinc/84/43/13/872844313.db2.gz HFVGLAUFNARNIG-MRXNPFEDSA-N 1 2 315.421 1.036 20 30 DDEDLO C=C(C)COCCNC(=O)C(=O)NCc1c[nH+]c(C)cc1C ZINC001345374683 873378607 /nfs/dbraw/zinc/37/86/07/873378607.db2.gz GINIAUUJWAYBMN-UHFFFAOYSA-N 1 2 305.378 1.024 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@]2(C1)C[N@H+](CC#CC)CCO2 ZINC001276541421 873413945 /nfs/dbraw/zinc/41/39/45/873413945.db2.gz YZOGLFAVIUDJDJ-SFHVURJKSA-N 1 2 304.434 1.915 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@]2(C1)C[N@@H+](CC#CC)CCO2 ZINC001276541421 873413947 /nfs/dbraw/zinc/41/39/47/873413947.db2.gz YZOGLFAVIUDJDJ-SFHVURJKSA-N 1 2 304.434 1.915 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001207636121 873430751 /nfs/dbraw/zinc/43/07/51/873430751.db2.gz QFXRDCWKENXZCW-ZDUSSCGKSA-N 1 2 304.394 1.994 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@H]1CCCCO1 ZINC001347054762 873993174 /nfs/dbraw/zinc/99/31/74/873993174.db2.gz LHMIZSVGDTXOQD-CABCVRRESA-N 1 2 317.437 1.683 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@H]1CCCCO1 ZINC001347054762 873993188 /nfs/dbraw/zinc/99/31/88/873993188.db2.gz LHMIZSVGDTXOQD-CABCVRRESA-N 1 2 317.437 1.683 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1([NH2+][C@@H](C)c2ncccn2)CC1 ZINC001277177389 882795550 /nfs/dbraw/zinc/79/55/50/882795550.db2.gz LBRYNUHCYZPQEO-MJBXVCDLSA-N 1 2 316.405 1.367 20 30 DDEDLO C=CCCC(=O)N1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC001348186014 874409536 /nfs/dbraw/zinc/40/95/36/874409536.db2.gz IEPARUIGTIZJKJ-AWEZNQCLSA-N 1 2 304.394 1.471 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+]([C@H](C)c2nnc(C)o2)CC1 ZINC001227107427 882837611 /nfs/dbraw/zinc/83/76/11/882837611.db2.gz DLSSJLLAXVQHFM-GFCCVEGCSA-N 1 2 304.394 1.823 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cnc3onc(C)c3c2)C1=O ZINC001350320200 875626895 /nfs/dbraw/zinc/62/68/95/875626895.db2.gz WUNSJOJOACGWHH-AWEZNQCLSA-N 1 2 300.362 1.750 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cnc3onc(C)c3c2)C1=O ZINC001350320200 875626903 /nfs/dbraw/zinc/62/69/03/875626903.db2.gz WUNSJOJOACGWHH-AWEZNQCLSA-N 1 2 300.362 1.750 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(OC)no2)C[C@H]1C ZINC001211427528 875805450 /nfs/dbraw/zinc/80/54/50/875805450.db2.gz HYSOCCNLQADXIJ-YRGRVCCFSA-N 1 2 323.393 1.211 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(OC)no2)C[C@H]1C ZINC001211427528 875805454 /nfs/dbraw/zinc/80/54/54/875805454.db2.gz HYSOCCNLQADXIJ-YRGRVCCFSA-N 1 2 323.393 1.211 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)[C@H](CC#N)c1ccccc1 ZINC001362084107 882994494 /nfs/dbraw/zinc/99/44/94/882994494.db2.gz CFJGRGFMWFGYFE-GDBMZVCRSA-N 1 2 301.390 1.521 20 30 DDEDLO N#CCC1CN(C(=O)[C@@H]2CC23C[NH+](Cc2cncs2)C3)C1 ZINC001277220434 883081663 /nfs/dbraw/zinc/08/16/63/883081663.db2.gz TWVRSBNWWWBBAR-ZDUSSCGKSA-N 1 2 302.403 1.337 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2csnn2)C1 ZINC001216913450 877094457 /nfs/dbraw/zinc/09/44/57/877094457.db2.gz ONAXNKQMTSVUCA-DGCLKSJQSA-N 1 2 310.423 1.067 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCCc3ccsc3)[C@H]2C1 ZINC001218138960 877407429 /nfs/dbraw/zinc/40/74/29/877407429.db2.gz TXQJHJZHPLMIIF-JKSUJKDBSA-N 1 2 318.442 1.616 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCCc3ccsc3)[C@H]2C1 ZINC001218138960 877407433 /nfs/dbraw/zinc/40/74/33/877407433.db2.gz TXQJHJZHPLMIIF-JKSUJKDBSA-N 1 2 318.442 1.616 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001353608611 877448337 /nfs/dbraw/zinc/44/83/37/877448337.db2.gz YYWXWTMWALIAAS-JOCQHMNTSA-N 1 2 316.405 1.074 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001353641276 877460059 /nfs/dbraw/zinc/46/00/59/877460059.db2.gz LBGNUAALJIMQFQ-CRWXNKLISA-N 1 2 318.421 1.184 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)/C(C)=C/CC ZINC001276800592 877846595 /nfs/dbraw/zinc/84/65/95/877846595.db2.gz LCCZIKLYXFZZOA-WPBGYSLVSA-N 1 2 321.465 1.958 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)/C(C)=C/CC ZINC001276800592 877846601 /nfs/dbraw/zinc/84/66/01/877846601.db2.gz LCCZIKLYXFZZOA-WPBGYSLVSA-N 1 2 321.465 1.958 20 30 DDEDLO CC[C@@H](C)CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219516711 878290638 /nfs/dbraw/zinc/29/06/38/878290638.db2.gz CEAVSESLMDZWFP-XYPHTWIQSA-N 1 2 315.417 1.656 20 30 DDEDLO CC[C@@H](C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219516711 878290648 /nfs/dbraw/zinc/29/06/48/878290648.db2.gz CEAVSESLMDZWFP-XYPHTWIQSA-N 1 2 315.417 1.656 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001287630150 912361463 /nfs/dbraw/zinc/36/14/63/912361463.db2.gz ROOFXQMOZFBPKH-CQSZACIVSA-N 1 2 320.437 1.672 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@H]2C[N@@H+]3CCCC[C@H]3CO2)n1C ZINC001355734232 878694257 /nfs/dbraw/zinc/69/42/57/878694257.db2.gz ORTJILGYUBUCSM-LSDHHAIUSA-N 1 2 317.437 1.919 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@H]2C[N@H+]3CCCC[C@H]3CO2)n1C ZINC001355734232 878694261 /nfs/dbraw/zinc/69/42/61/878694261.db2.gz ORTJILGYUBUCSM-LSDHHAIUSA-N 1 2 317.437 1.919 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(C)CCNC(=O)CCc1[nH+]ccn1C ZINC001355813881 878729234 /nfs/dbraw/zinc/72/92/34/878729234.db2.gz FAHOFBWNLXVEDX-KBPBESRZSA-N 1 2 320.437 1.386 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@@H]1CC ZINC001355919045 878779316 /nfs/dbraw/zinc/77/93/16/878779316.db2.gz GVSBTWCYTCNDLW-MJBXVCDLSA-N 1 2 305.426 1.580 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@@H]1CC ZINC001355919045 878779330 /nfs/dbraw/zinc/77/93/30/878779330.db2.gz GVSBTWCYTCNDLW-MJBXVCDLSA-N 1 2 305.426 1.580 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)C[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001287697392 912402489 /nfs/dbraw/zinc/40/24/89/912402489.db2.gz VFYIJQHMCGEYOA-KGLIPLIRSA-N 1 2 320.437 1.528 20 30 DDEDLO CCCCC[C@H]([NH2+]C)C(=O)N=C(N)c1ccc(C(=O)OC)cc1 ZINC001220581637 879114805 /nfs/dbraw/zinc/11/48/05/879114805.db2.gz PIWDRBARNLTSIP-AWEZNQCLSA-N 1 2 319.405 1.873 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](c2ccc(F)cc2)C(C)C)[C@@H](O)C1 ZINC001221122332 879513617 /nfs/dbraw/zinc/51/36/17/879513617.db2.gz PGANYDYYGGVXPC-IKGGRYGDSA-N 1 2 318.392 1.360 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](c2ccc(F)cc2)C(C)C)[C@@H](O)C1 ZINC001221122332 879513621 /nfs/dbraw/zinc/51/36/21/879513621.db2.gz PGANYDYYGGVXPC-IKGGRYGDSA-N 1 2 318.392 1.360 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](CC)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001356928621 879596663 /nfs/dbraw/zinc/59/66/63/879596663.db2.gz IYSGDPRFASRQGN-MLGOLLRUSA-N 1 2 306.410 1.566 20 30 DDEDLO C[C@@H](CNC(=O)C#CC1CC1)CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001357021809 879717582 /nfs/dbraw/zinc/71/75/82/879717582.db2.gz AEXHMDXLJKSZMS-KBPBESRZSA-N 1 2 316.405 1.116 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]cn2C)[C@@H]1C ZINC001287885457 912547701 /nfs/dbraw/zinc/54/77/01/912547701.db2.gz FPOQKHTYKWCTIO-TZMCWYRMSA-N 1 2 304.394 1.034 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)C[C@H]2CCOC2)C1 ZINC001380732724 880297198 /nfs/dbraw/zinc/29/71/98/880297198.db2.gz XNUAJLXAHAJFPY-HIFRSBDPSA-N 1 2 316.829 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)C[C@H]2CCOC2)C1 ZINC001380732724 880297216 /nfs/dbraw/zinc/29/72/16/880297216.db2.gz XNUAJLXAHAJFPY-HIFRSBDPSA-N 1 2 316.829 1.109 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001358215374 880369674 /nfs/dbraw/zinc/36/96/74/880369674.db2.gz QUXMFCBCPKQEGZ-MLGOLLRUSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001358215374 880369685 /nfs/dbraw/zinc/36/96/85/880369685.db2.gz QUXMFCBCPKQEGZ-MLGOLLRUSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001358347180 880408963 /nfs/dbraw/zinc/40/89/63/880408963.db2.gz AOASAXLTCPJGFV-CHWSQXEVSA-N 1 2 318.421 1.807 20 30 DDEDLO C=CCn1cc(-c2cc(C(=O)N3CC[NH+](C)CC3)ccn2)cn1 ZINC001222367170 880421069 /nfs/dbraw/zinc/42/10/69/880421069.db2.gz FYQTZRDYEVHYBO-UHFFFAOYSA-N 1 2 311.389 1.519 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](CC)C1 ZINC001358576041 880547155 /nfs/dbraw/zinc/54/71/55/880547155.db2.gz NFDROGIESWPVEV-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCN(CC#C)c1nnc(C)n1C[C@@H]1CCCn2cc(C)[nH+]c21 ZINC001358970427 881121978 /nfs/dbraw/zinc/12/19/78/881121978.db2.gz OCADKUBEHSNLTB-INIZCTEOSA-N 1 2 322.416 1.742 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CC2(SC)CC2)CC1 ZINC001359030097 881161177 /nfs/dbraw/zinc/16/11/77/881161177.db2.gz OAJFHAYSZIOENA-UHFFFAOYSA-N 1 2 305.451 1.237 20 30 DDEDLO N#Cc1c(Cl)cccc1C[N@H+]1C[C@H]2C[C@H](CC(N)=O)O[C@H]2C1 ZINC001276943602 881203446 /nfs/dbraw/zinc/20/34/46/881203446.db2.gz HXPASQREKJMXOH-JMSVASOKSA-N 1 2 319.792 1.676 20 30 DDEDLO N#Cc1c(Cl)cccc1C[N@@H+]1C[C@H]2C[C@H](CC(N)=O)O[C@H]2C1 ZINC001276943602 881203455 /nfs/dbraw/zinc/20/34/55/881203455.db2.gz HXPASQREKJMXOH-JMSVASOKSA-N 1 2 319.792 1.676 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2ccc(/C=C/C(C)=O)cc2)C[N@@H+]1C ZINC001228494687 883525959 /nfs/dbraw/zinc/52/59/59/883525959.db2.gz QRIVVRNNOLRMGJ-SGFLJFKBSA-N 1 2 303.358 1.913 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2ccc(/C=C/C(C)=O)cc2)C[N@H+]1C ZINC001228494687 883525965 /nfs/dbraw/zinc/52/59/65/883525965.db2.gz QRIVVRNNOLRMGJ-SGFLJFKBSA-N 1 2 303.358 1.913 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001228747502 883651362 /nfs/dbraw/zinc/65/13/62/883651362.db2.gz BUVKMWFCCRDRHF-NEPJUHHUSA-N 1 2 306.410 1.917 20 30 DDEDLO Cc1cc(Cl)c(C#N)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)n1 ZINC001230182747 884365097 /nfs/dbraw/zinc/36/50/97/884365097.db2.gz FYGSMYLJOUHVFR-UBYSCORNSA-N 1 2 305.765 1.906 20 30 DDEDLO Cc1cc(Cl)c(C#N)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)n1 ZINC001230182747 884365108 /nfs/dbraw/zinc/36/51/08/884365108.db2.gz FYGSMYLJOUHVFR-UBYSCORNSA-N 1 2 305.765 1.906 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001230415683 884483804 /nfs/dbraw/zinc/48/38/04/884483804.db2.gz WMYWHRZBAHQRMQ-UONOGXRCSA-N 1 2 318.421 1.709 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CCCCC1 ZINC001230636958 884679366 /nfs/dbraw/zinc/67/93/66/884679366.db2.gz CONJWHGURIUQBL-GDBMZVCRSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1CCCCC1 ZINC001230636958 884679370 /nfs/dbraw/zinc/67/93/70/884679370.db2.gz CONJWHGURIUQBL-GDBMZVCRSA-N 1 2 319.449 1.237 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)Cc1ccsc1 ZINC001230663410 884714870 /nfs/dbraw/zinc/71/48/70/884714870.db2.gz GTYXVKHPNJKDBA-AWEZNQCLSA-N 1 2 321.446 1.126 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)Cc1ccsc1 ZINC001230663410 884714882 /nfs/dbraw/zinc/71/48/82/884714882.db2.gz GTYXVKHPNJKDBA-AWEZNQCLSA-N 1 2 321.446 1.126 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC001230939537 885064906 /nfs/dbraw/zinc/06/49/06/885064906.db2.gz UCFBDIITDQCLAG-WMLDXEAASA-N 1 2 318.392 1.745 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccc(F)cc1 ZINC001230939537 885064918 /nfs/dbraw/zinc/06/49/18/885064918.db2.gz UCFBDIITDQCLAG-WMLDXEAASA-N 1 2 318.392 1.745 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC001375136204 915075612 /nfs/dbraw/zinc/07/56/12/915075612.db2.gz ZFYXRXGNTWJWFH-IUODEOHRSA-N 1 2 315.845 1.434 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@@H](C)CCC ZINC001231130846 885269903 /nfs/dbraw/zinc/26/99/03/885269903.db2.gz KVLIHFQFKKIJAJ-GJZGRUSLSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@@H](C)CCC ZINC001231130846 885269922 /nfs/dbraw/zinc/26/99/22/885269922.db2.gz KVLIHFQFKKIJAJ-GJZGRUSLSA-N 1 2 307.438 1.095 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)c1cnccn1 ZINC001231240657 885418514 /nfs/dbraw/zinc/41/85/14/885418514.db2.gz NNCSPQPYNKJYLM-KBPBESRZSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)c1cnccn1 ZINC001231240657 885418515 /nfs/dbraw/zinc/41/85/15/885418515.db2.gz NNCSPQPYNKJYLM-KBPBESRZSA-N 1 2 304.394 1.273 20 30 DDEDLO Cc1nn(C(C)C)cc1C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231292053 885474507 /nfs/dbraw/zinc/47/45/07/885474507.db2.gz AVGBUZPATYCVKD-BBRMVZONSA-N 1 2 317.437 1.965 20 30 DDEDLO Cc1nn(C(C)C)cc1C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231292053 885474519 /nfs/dbraw/zinc/47/45/19/885474519.db2.gz AVGBUZPATYCVKD-BBRMVZONSA-N 1 2 317.437 1.965 20 30 DDEDLO COCc1ncc2c(n1)CC[N@@H+](C[C@@H](O)c1cccc(C#N)c1)C2 ZINC001363292229 885931878 /nfs/dbraw/zinc/93/18/78/885931878.db2.gz FIOOWYUMVAOMMN-QGZVFWFLSA-N 1 2 324.384 1.586 20 30 DDEDLO COCc1ncc2c(n1)CC[N@H+](C[C@@H](O)c1cccc(C#N)c1)C2 ZINC001363292229 885931891 /nfs/dbraw/zinc/93/18/91/885931891.db2.gz FIOOWYUMVAOMMN-QGZVFWFLSA-N 1 2 324.384 1.586 20 30 DDEDLO CC(=O)N1CCC[N@@H+](Cc2ccc(N(C)C)c(C#N)c2F)CC1 ZINC001233096588 886785500 /nfs/dbraw/zinc/78/55/00/886785500.db2.gz ILDCGKAIQTVAOE-UHFFFAOYSA-N 1 2 318.396 1.818 20 30 DDEDLO CC(=O)N1CCC[N@H+](Cc2ccc(N(C)C)c(C#N)c2F)CC1 ZINC001233096588 886785511 /nfs/dbraw/zinc/78/55/11/886785511.db2.gz ILDCGKAIQTVAOE-UHFFFAOYSA-N 1 2 318.396 1.818 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001233490997 887034809 /nfs/dbraw/zinc/03/48/09/887034809.db2.gz UQQCAOBFXJNNPF-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001233490997 887034825 /nfs/dbraw/zinc/03/48/25/887034825.db2.gz UQQCAOBFXJNNPF-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001233491809 887037954 /nfs/dbraw/zinc/03/79/54/887037954.db2.gz ZIIXEFVCVXIUGS-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001233491809 887037969 /nfs/dbraw/zinc/03/79/69/887037969.db2.gz ZIIXEFVCVXIUGS-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC001363805889 887268467 /nfs/dbraw/zinc/26/84/67/887268467.db2.gz RRIQCMWJRHLWBL-ZDUSSCGKSA-N 1 2 322.796 1.746 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC001363805889 887268486 /nfs/dbraw/zinc/26/84/86/887268486.db2.gz RRIQCMWJRHLWBL-ZDUSSCGKSA-N 1 2 322.796 1.746 20 30 DDEDLO CCOC(=O)C[NH2+][C@@H]1[C@H]2CC[C@H](C2)[C@@H]1C(=O)NC1(C#N)CCC1 ZINC001277814009 887406678 /nfs/dbraw/zinc/40/66/78/887406678.db2.gz FWUQQCKWFNDAOD-PAPYEOQZSA-N 1 2 319.405 1.116 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NC(C)(C)C ZINC001234132961 887675073 /nfs/dbraw/zinc/67/50/73/887675073.db2.gz YHJHVPUXJUMNQL-HUUCEWRRSA-N 1 2 321.465 1.482 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NC(C)(C)C ZINC001234132961 887675078 /nfs/dbraw/zinc/67/50/78/887675078.db2.gz YHJHVPUXJUMNQL-HUUCEWRRSA-N 1 2 321.465 1.482 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C(\C)CC ZINC001234131145 887675345 /nfs/dbraw/zinc/67/53/45/887675345.db2.gz PQFJZNGOAJWEGW-TZLBIFBXSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C(\C)CC ZINC001234131145 887675347 /nfs/dbraw/zinc/67/53/47/887675347.db2.gz PQFJZNGOAJWEGW-TZLBIFBXSA-N 1 2 305.422 1.013 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@@H](C)OCC ZINC001234312067 887852239 /nfs/dbraw/zinc/85/22/39/887852239.db2.gz RKMLKDAFBMUTNP-LLVKDONJSA-N 1 2 307.232 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@@H](C)OCC ZINC001234312067 887852250 /nfs/dbraw/zinc/85/22/50/887852250.db2.gz RKMLKDAFBMUTNP-LLVKDONJSA-N 1 2 307.232 1.758 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@H](CC)C(N)=O ZINC001234517546 888046049 /nfs/dbraw/zinc/04/60/49/888046049.db2.gz MPHXIHDXVAHAIM-HUUCEWRRSA-N 1 2 307.438 1.551 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](CC)C(N)=O ZINC001234517546 888046058 /nfs/dbraw/zinc/04/60/58/888046058.db2.gz MPHXIHDXVAHAIM-HUUCEWRRSA-N 1 2 307.438 1.551 20 30 DDEDLO CC(C)[N@H+](CCS(C)(=O)=O)C[C@@H](O)c1cccc(C#N)c1 ZINC001364359322 888452517 /nfs/dbraw/zinc/45/25/17/888452517.db2.gz NJMMFWYWOVHNQP-OAHLLOKOSA-N 1 2 310.419 1.347 20 30 DDEDLO CC(C)[N@@H+](CCS(C)(=O)=O)C[C@@H](O)c1cccc(C#N)c1 ZINC001364359322 888452521 /nfs/dbraw/zinc/45/25/21/888452521.db2.gz NJMMFWYWOVHNQP-OAHLLOKOSA-N 1 2 310.419 1.347 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2CC#CCOC)CCC1 ZINC001235208759 888478998 /nfs/dbraw/zinc/47/89/98/888478998.db2.gz GIMPIHSXXMDTEG-MRXNPFEDSA-N 1 2 302.418 1.363 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#CCOC)CCC1 ZINC001235208759 888479009 /nfs/dbraw/zinc/47/90/09/888479009.db2.gz GIMPIHSXXMDTEG-MRXNPFEDSA-N 1 2 302.418 1.363 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)[C@H](C)c1nncn1C ZINC001235230488 888492290 /nfs/dbraw/zinc/49/22/90/888492290.db2.gz UROTWFAJPOTZQU-KGLIPLIRSA-N 1 2 323.441 1.295 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)[C@H](C)c1nncn1C ZINC001235230488 888492297 /nfs/dbraw/zinc/49/22/97/888492297.db2.gz UROTWFAJPOTZQU-KGLIPLIRSA-N 1 2 323.441 1.295 20 30 DDEDLO Cn1nc(C(F)(F)F)cc1C[NH2+]CC1(C#N)CCOCC1 ZINC001364637385 889092859 /nfs/dbraw/zinc/09/28/59/889092859.db2.gz IEYMYFBHKNFCMA-UHFFFAOYSA-N 1 2 302.300 1.849 20 30 DDEDLO CC1(C)CN(Cc2cc(C#N)c(F)cc2F)CC[N@@H+]1CC(N)=O ZINC001237534903 889683317 /nfs/dbraw/zinc/68/33/17/889683317.db2.gz SDVSPZDCTFQVHM-UHFFFAOYSA-N 1 2 322.359 1.218 20 30 DDEDLO CC1(C)CN(Cc2cc(C#N)c(F)cc2F)CC[N@H+]1CC(N)=O ZINC001237534903 889683323 /nfs/dbraw/zinc/68/33/23/889683323.db2.gz SDVSPZDCTFQVHM-UHFFFAOYSA-N 1 2 322.359 1.218 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(C(=O)CCn3cccn3)CC2)c1 ZINC001364939096 889710561 /nfs/dbraw/zinc/71/05/61/889710561.db2.gz GNAPXTYQDKABIV-UHFFFAOYSA-N 1 2 323.400 1.489 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)[C@@H]1C ZINC001278229614 890201742 /nfs/dbraw/zinc/20/17/42/890201742.db2.gz ASBKLHMLDWRPCN-WCQYABFASA-N 1 2 304.394 1.992 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2CCN(C(=O)C#CC(C)C)[C@@H]2C)o1 ZINC001278251960 890277965 /nfs/dbraw/zinc/27/79/65/890277965.db2.gz SDPMXZHDUJWZCY-CHWSQXEVSA-N 1 2 304.394 1.370 20 30 DDEDLO Cc1cn(C)nc1C[NH2+][C@H]1CCN(Cc2ccc(C#N)cc2)C1=O ZINC001365425193 890777998 /nfs/dbraw/zinc/77/79/98/890777998.db2.gz KZSLKZZHMCAHSG-INIZCTEOSA-N 1 2 323.400 1.491 20 30 DDEDLO N#Cc1nc(-c2cnn(CC[NH+]3CCOCC3)c2)cc2nc[nH]c21 ZINC001240540918 890970439 /nfs/dbraw/zinc/97/04/39/890970439.db2.gz PMQJWALZSWSMBY-UHFFFAOYSA-N 1 2 323.360 1.025 20 30 DDEDLO N#Cc1nc(-c2cnn(CC[NH+]3CCOCC3)c2)cc2[nH]cnc21 ZINC001240540918 890970449 /nfs/dbraw/zinc/97/04/49/890970449.db2.gz PMQJWALZSWSMBY-UHFFFAOYSA-N 1 2 323.360 1.025 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2ccc(C#N)cc2C)c[nH+]1 ZINC001365744821 891442404 /nfs/dbraw/zinc/44/24/04/891442404.db2.gz PLFKUFQTLKZTJJ-UHFFFAOYSA-N 1 2 304.375 1.631 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)c2ccc(C#N)cc2C)[nH+]1 ZINC001365744821 891442407 /nfs/dbraw/zinc/44/24/07/891442407.db2.gz PLFKUFQTLKZTJJ-UHFFFAOYSA-N 1 2 304.375 1.631 20 30 DDEDLO N#Cc1c[nH]c2nc(-c3ccc(C[NH+]4CC(O)C4)cc3)ncc12 ZINC001243637620 891693374 /nfs/dbraw/zinc/69/33/74/891693374.db2.gz UQLWFWVUWGSDNZ-UHFFFAOYSA-N 1 2 305.341 1.673 20 30 DDEDLO N#Cc1ccccc1C[NH2+][C@H]1C[C@@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001366159851 892615699 /nfs/dbraw/zinc/61/56/99/892615699.db2.gz NQQBICFTFZHSQY-IYBDPMFKSA-N 1 2 324.388 1.046 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cccc(C(=O)OC)c2OC)CC1 ZINC001248920283 893775711 /nfs/dbraw/zinc/77/57/11/893775711.db2.gz LQGIMSKXLKPRFJ-UHFFFAOYSA-N 1 2 302.374 1.233 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@H+](Cc2ccon2)[C@@H]1C ZINC001278511481 893788179 /nfs/dbraw/zinc/78/81/79/893788179.db2.gz VUIPJOSOCGNBRF-UKRRQHHQSA-N 1 2 305.378 1.184 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccon2)[C@@H]1C ZINC001278511481 893788193 /nfs/dbraw/zinc/78/81/93/893788193.db2.gz VUIPJOSOCGNBRF-UKRRQHHQSA-N 1 2 305.378 1.184 20 30 DDEDLO N#Cc1c(F)c(Cl)ccc1NC[C@@H](O)C[NH+]1CCOCC1 ZINC001251031319 894587094 /nfs/dbraw/zinc/58/70/94/894587094.db2.gz KAYOSFHGGHOHBM-SNVBAGLBSA-N 1 2 313.760 1.456 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)Cc1cnccc1OC)C1CC1 ZINC001366740105 894776856 /nfs/dbraw/zinc/77/68/56/894776856.db2.gz BQXAGIRORQGOGN-UHFFFAOYSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)Cc1cnccc1OC)C1CC1 ZINC001366740105 894776858 /nfs/dbraw/zinc/77/68/58/894776858.db2.gz BQXAGIRORQGOGN-UHFFFAOYSA-N 1 2 323.824 1.966 20 30 DDEDLO Cc1ncc(C(=O)NCC[N@H+](Cc2ccccc2C#N)C2CC2)[nH]1 ZINC001366740467 894777602 /nfs/dbraw/zinc/77/76/02/894777602.db2.gz XSHFAALZNYEMFI-UHFFFAOYSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1ncc(C(=O)NCC[N@@H+](Cc2ccccc2C#N)C2CC2)[nH]1 ZINC001366740467 894777616 /nfs/dbraw/zinc/77/76/16/894777616.db2.gz XSHFAALZNYEMFI-UHFFFAOYSA-N 1 2 323.400 1.984 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1ccn(C)c(=O)c1)C1CC1 ZINC001366743924 894786405 /nfs/dbraw/zinc/78/64/05/894786405.db2.gz KRBHQGVQFZZAJN-UHFFFAOYSA-N 1 2 309.797 1.332 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1ccn(C)c(=O)c1)C1CC1 ZINC001366743924 894786414 /nfs/dbraw/zinc/78/64/14/894786414.db2.gz KRBHQGVQFZZAJN-UHFFFAOYSA-N 1 2 309.797 1.332 20 30 DDEDLO C=CCOC[C@H](O)C[N@H+]1CCN(C(=O)OC(C)(C)C)[C@@H]2C[C@@H]21 ZINC001252461057 895169422 /nfs/dbraw/zinc/16/94/22/895169422.db2.gz KUPAJYDCXIFAMZ-HZSPNIEDSA-N 1 2 312.410 1.244 20 30 DDEDLO C=CCOC[C@H](O)C[N@@H+]1CCN(C(=O)OC(C)(C)C)[C@@H]2C[C@@H]21 ZINC001252461057 895169427 /nfs/dbraw/zinc/16/94/27/895169427.db2.gz KUPAJYDCXIFAMZ-HZSPNIEDSA-N 1 2 312.410 1.244 20 30 DDEDLO C[C@@]1(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)CCCN(CC#N)C1 ZINC001278668197 895190963 /nfs/dbraw/zinc/19/09/63/895190963.db2.gz LRWOUYFJKFIMRD-YOEHRIQHSA-N 1 2 315.421 1.187 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](C[C@H](O)c2ccc(F)cc2)CC1 ZINC001252849174 895493125 /nfs/dbraw/zinc/49/31/25/895493125.db2.gz ZUXOYZQGJWSPOM-HNNXBMFYSA-N 1 2 308.353 1.799 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@@H](C)C#N)CC[N@@H+]1Cc1ncc(C)cn1 ZINC001388768283 895855517 /nfs/dbraw/zinc/85/55/17/895855517.db2.gz JISGOAACNUCXNR-MELADBBJSA-N 1 2 301.394 1.414 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@@H](C)C#N)CC[N@H+]1Cc1ncc(C)cn1 ZINC001388768283 895855532 /nfs/dbraw/zinc/85/55/32/895855532.db2.gz JISGOAACNUCXNR-MELADBBJSA-N 1 2 301.394 1.414 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1[C@@H]1Cc2ccc(Br)cc2C1 ZINC001254278439 896334048 /nfs/dbraw/zinc/33/40/48/896334048.db2.gz KIDPEALKRALRPI-ZIAGYGMSSA-N 1 2 306.207 1.714 20 30 DDEDLO COc1nscc1C[NH+]1CCC(N(C)C(=O)[C@H](C)C#N)CC1 ZINC001389337688 896971076 /nfs/dbraw/zinc/97/10/76/896971076.db2.gz YCUDKWWEHCNCNY-LLVKDONJSA-N 1 2 322.434 1.734 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC001278872516 897014175 /nfs/dbraw/zinc/01/41/75/897014175.db2.gz LGLCJIGSVGHLMP-UHFFFAOYSA-N 1 2 316.445 1.245 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCCC(=O)N(C)C)C1 ZINC001389384194 897085781 /nfs/dbraw/zinc/08/57/81/897085781.db2.gz AOZYYAIGILBCNH-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCCC(=O)N(C)C)C1 ZINC001389384194 897085797 /nfs/dbraw/zinc/08/57/97/897085797.db2.gz AOZYYAIGILBCNH-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)COc2ccc(CC)cc2)C1 ZINC001278927480 897357491 /nfs/dbraw/zinc/35/74/91/897357491.db2.gz UJLOWUKLZOQNPB-UHFFFAOYSA-N 1 2 318.417 1.367 20 30 DDEDLO C[C@@H](C[NH2+]Cc1nnc(C2CC2)o1)NC(=O)c1ccc(C#N)[nH]1 ZINC001367731585 897616483 /nfs/dbraw/zinc/61/64/83/897616483.db2.gz UDRDQFSAGGLATP-VIFPVBQESA-N 1 2 314.349 1.055 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@@H](C)NC(=O)c2c[nH]c(C#N)c2)s1 ZINC001367797225 897833792 /nfs/dbraw/zinc/83/37/92/897833792.db2.gz STLBRGBGFHNDNR-SNVBAGLBSA-N 1 2 317.418 1.902 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@@H](C)NC(=O)c2c[nH]c(C#N)c2)s1 ZINC001367797225 897833800 /nfs/dbraw/zinc/83/38/00/897833800.db2.gz STLBRGBGFHNDNR-SNVBAGLBSA-N 1 2 317.418 1.902 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2c[nH+]cn2CC2CC2)cc1 ZINC001258179249 898094592 /nfs/dbraw/zinc/09/45/92/898094592.db2.gz NWUIGMYKPBHVJE-UHFFFAOYSA-N 1 2 316.386 1.643 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)NCCOc1cc[nH+]cc1 ZINC001258335068 898141377 /nfs/dbraw/zinc/14/13/77/898141377.db2.gz RBRWBYJYVCXWEV-UHFFFAOYSA-N 1 2 303.343 1.311 20 30 DDEDLO C#CC[C@H]([NH2+]C1CCN(C(=O)c2ccccc2)CC1)C(=O)OC ZINC001258639202 898284910 /nfs/dbraw/zinc/28/49/10/898284910.db2.gz RJQNZPMMNLJVKL-INIZCTEOSA-N 1 2 314.385 1.446 20 30 DDEDLO CCN(CCn1cc[nH+]c1)S(=O)(=O)c1cccc(C#N)c1 ZINC001259121752 898480296 /nfs/dbraw/zinc/48/02/96/898480296.db2.gz FIVFIFRCECXVCM-UHFFFAOYSA-N 1 2 304.375 1.466 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H](C)OC[C@@H]1CCCCO1 ZINC001390865719 900394251 /nfs/dbraw/zinc/39/42/51/900394251.db2.gz OOFVFGRWJDGRPZ-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H](C)OC[C@@H]1CCCCO1 ZINC001390865719 900394263 /nfs/dbraw/zinc/39/42/63/900394263.db2.gz OOFVFGRWJDGRPZ-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CC=CCC1 ZINC001264359861 901040781 /nfs/dbraw/zinc/04/07/81/901040781.db2.gz OVIWZZANGZQOMD-LSDHHAIUSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CC=CCC1 ZINC001264359861 901040788 /nfs/dbraw/zinc/04/07/88/901040788.db2.gz OVIWZZANGZQOMD-LSDHHAIUSA-N 1 2 305.422 1.178 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@H+](CC(=O)NC2CCCC2)[C@@H]1C ZINC001264699805 901298793 /nfs/dbraw/zinc/29/87/93/901298793.db2.gz GSIJGHUBSPCTLO-ZBFHGGJFSA-N 1 2 319.449 1.284 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@@H+](CC(=O)NC2CCCC2)[C@@H]1C ZINC001264699805 901298802 /nfs/dbraw/zinc/29/88/02/901298802.db2.gz GSIJGHUBSPCTLO-ZBFHGGJFSA-N 1 2 319.449 1.284 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2ccc(C)cc2)C1 ZINC001265230721 901749517 /nfs/dbraw/zinc/74/95/17/901749517.db2.gz KNNQSKPXZPFOKC-INIZCTEOSA-N 1 2 315.417 1.491 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(C)cc2)C1 ZINC001265230721 901749525 /nfs/dbraw/zinc/74/95/25/901749525.db2.gz KNNQSKPXZPFOKC-INIZCTEOSA-N 1 2 315.417 1.491 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2=CCCCCC2)C1 ZINC001265279370 901813565 /nfs/dbraw/zinc/81/35/65/901813565.db2.gz RPVYKNOTAQRWPM-HNNXBMFYSA-N 1 2 305.422 1.370 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2=CCCCCC2)C1 ZINC001265279370 901813574 /nfs/dbraw/zinc/81/35/74/901813574.db2.gz RPVYKNOTAQRWPM-HNNXBMFYSA-N 1 2 305.422 1.370 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001265284593 901823512 /nfs/dbraw/zinc/82/35/12/901823512.db2.gz SPUICLKVFVJULX-HUUCEWRRSA-N 1 2 307.438 1.141 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001265284593 901823518 /nfs/dbraw/zinc/82/35/18/901823518.db2.gz SPUICLKVFVJULX-HUUCEWRRSA-N 1 2 307.438 1.141 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)C(C)(C)n2c[nH+]c(C)c2)C1 ZINC001293585951 914569295 /nfs/dbraw/zinc/56/92/95/914569295.db2.gz RZCNENXQSSWRAC-CQSZACIVSA-N 1 2 318.421 1.610 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H](C)c2ccsc2)C1 ZINC001265303149 901854551 /nfs/dbraw/zinc/85/45/51/901854551.db2.gz BUFJDMXYTMJVQJ-TZMCWYRMSA-N 1 2 321.446 1.344 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H](C)c2ccsc2)C1 ZINC001265303149 901854555 /nfs/dbraw/zinc/85/45/55/901854555.db2.gz BUFJDMXYTMJVQJ-TZMCWYRMSA-N 1 2 321.446 1.344 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCCC[N@H+]1Cc1nocc1C ZINC001265640616 902235433 /nfs/dbraw/zinc/23/54/33/902235433.db2.gz HUQZDFNKZVDUCG-CQSZACIVSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1nocc1C ZINC001265640616 902235452 /nfs/dbraw/zinc/23/54/52/902235452.db2.gz HUQZDFNKZVDUCG-CQSZACIVSA-N 1 2 307.394 1.656 20 30 DDEDLO C#CCOCCC(=O)N1CCCC[C@H]1C[NH2+]Cc1cnsn1 ZINC001265670954 902282972 /nfs/dbraw/zinc/28/29/72/902282972.db2.gz YFCKHEIHXNRFCX-AWEZNQCLSA-N 1 2 322.434 1.049 20 30 DDEDLO C#Cc1cncc(C(=O)NCCC[N@@H+](C)Cc2nccc(C)n2)c1 ZINC001265921874 902600300 /nfs/dbraw/zinc/60/03/00/902600300.db2.gz XLEXRUZEMGUZNQ-UHFFFAOYSA-N 1 2 323.400 1.413 20 30 DDEDLO C#Cc1cncc(C(=O)NCCC[N@H+](C)Cc2nccc(C)n2)c1 ZINC001265921874 902600311 /nfs/dbraw/zinc/60/03/11/902600311.db2.gz XLEXRUZEMGUZNQ-UHFFFAOYSA-N 1 2 323.400 1.413 20 30 DDEDLO C=C(Cl)C[NH2+]CCN(CCO)C(=O)c1cc(C2CC2)[nH]n1 ZINC001279838313 903432478 /nfs/dbraw/zinc/43/24/78/903432478.db2.gz LDAREFHBULUFNI-UHFFFAOYSA-N 1 2 312.801 1.064 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H](CC)SC ZINC001280402937 903639309 /nfs/dbraw/zinc/63/93/09/903639309.db2.gz PALQQVHAWCTUAL-UONOGXRCSA-N 1 2 300.468 1.911 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H](CC)SC ZINC001280402937 903639313 /nfs/dbraw/zinc/63/93/13/903639313.db2.gz PALQQVHAWCTUAL-UONOGXRCSA-N 1 2 300.468 1.911 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H](C)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001280487260 903731039 /nfs/dbraw/zinc/73/10/39/903731039.db2.gz MIMHOPPBNUHCNY-ZIAGYGMSSA-N 1 2 318.421 1.211 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H](C)CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001280487260 903731046 /nfs/dbraw/zinc/73/10/46/903731046.db2.gz MIMHOPPBNUHCNY-ZIAGYGMSSA-N 1 2 318.421 1.211 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CN(C)C(=O)COc1c(C)occc1=O ZINC001316604466 903808183 /nfs/dbraw/zinc/80/81/83/903808183.db2.gz YJASLBGXVQPGDM-CYBMUJFWSA-N 1 2 306.362 1.046 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)COc1c(C)occc1=O ZINC001316604466 903808192 /nfs/dbraw/zinc/80/81/92/903808192.db2.gz YJASLBGXVQPGDM-CYBMUJFWSA-N 1 2 306.362 1.046 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C(C)(F)F ZINC001316605349 903930277 /nfs/dbraw/zinc/93/02/77/903930277.db2.gz JENZNLBNULQJNK-CYBMUJFWSA-N 1 2 316.392 1.850 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C(C)(F)F ZINC001316605349 903930288 /nfs/dbraw/zinc/93/02/88/903930288.db2.gz JENZNLBNULQJNK-CYBMUJFWSA-N 1 2 316.392 1.850 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CCCNC(=O)C#CC(C)C ZINC001280851216 904116395 /nfs/dbraw/zinc/11/63/95/904116395.db2.gz RPSUKXACXQNQPR-CYBMUJFWSA-N 1 2 318.421 1.321 20 30 DDEDLO C=CCOCC(=O)N1CCOC2(C[NH+](CC/C=C/CCC)C2)C1 ZINC001280890979 904154818 /nfs/dbraw/zinc/15/48/18/904154818.db2.gz GQXWCVOXYRHHAS-VOTSOKGWSA-N 1 2 322.449 1.849 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1CCC=CCC1 ZINC001316607349 904180751 /nfs/dbraw/zinc/18/07/51/904180751.db2.gz AYTMXUGIHCKNAH-MRXNPFEDSA-N 1 2 319.449 1.568 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CCC=CCC1 ZINC001316607349 904180759 /nfs/dbraw/zinc/18/07/59/904180759.db2.gz AYTMXUGIHCKNAH-MRXNPFEDSA-N 1 2 319.449 1.568 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)CC1CCOCC1)O2 ZINC001280920503 904189843 /nfs/dbraw/zinc/18/98/43/904189843.db2.gz SIPUINFOUQKZKJ-INIZCTEOSA-N 1 2 322.449 1.729 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H](O)C(C)C ZINC001281063776 904367766 /nfs/dbraw/zinc/36/77/66/904367766.db2.gz SSNFUNUZJMSEDH-DLBZAZTESA-N 1 2 300.402 1.180 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H](O)C(C)C ZINC001281063776 904367779 /nfs/dbraw/zinc/36/77/79/904367779.db2.gz SSNFUNUZJMSEDH-DLBZAZTESA-N 1 2 300.402 1.180 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)[C@H](C)[NH2+]Cc1nnc(C(C)C)o1 ZINC001282121358 905561244 /nfs/dbraw/zinc/56/12/44/905561244.db2.gz CIBGSPKGYUXTLF-QWHCGFSZSA-N 1 2 306.410 1.979 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)Cc2cccs2)C1 ZINC001282682212 905945344 /nfs/dbraw/zinc/94/53/44/905945344.db2.gz NXXXOHRYFXDGTN-GFCCVEGCSA-N 1 2 321.446 1.029 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)C1C[NH+](Cc2cc(OC)no2)C1 ZINC001282735135 905997811 /nfs/dbraw/zinc/99/78/11/905997811.db2.gz VTKCLTYBAHJYCO-ZDUSSCGKSA-N 1 2 319.405 1.813 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C[C@H](C)C2CC2)C1 ZINC001282739980 906005207 /nfs/dbraw/zinc/00/52/07/906005207.db2.gz MRLHKKPYFNCUIT-STQMWFEESA-N 1 2 307.438 1.161 20 30 DDEDLO C[N@H+](CCNC(=O)Cc1ncc[nH]1)Cc1cc(C#N)ccc1F ZINC001372164894 906619733 /nfs/dbraw/zinc/61/97/33/906619733.db2.gz KSFOSGDTPRHPJG-UHFFFAOYSA-N 1 2 315.352 1.211 20 30 DDEDLO C[N@@H+](CCNC(=O)Cc1ncc[nH]1)Cc1cc(C#N)ccc1F ZINC001372164894 906619738 /nfs/dbraw/zinc/61/97/38/906619738.db2.gz KSFOSGDTPRHPJG-UHFFFAOYSA-N 1 2 315.352 1.211 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001283460444 907389258 /nfs/dbraw/zinc/38/92/58/907389258.db2.gz XPTVAWMXIJCILS-ZDUSSCGKSA-N 1 2 304.394 1.334 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@H+](Cc2ncnn2C(C)(C)C)C1 ZINC001372597238 907726412 /nfs/dbraw/zinc/72/64/12/907726412.db2.gz RSPAQYYAXPVIJN-QWHCGFSZSA-N 1 2 318.425 1.131 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@@H+](Cc2ncnn2C(C)(C)C)C1 ZINC001372597238 907726424 /nfs/dbraw/zinc/72/64/24/907726424.db2.gz RSPAQYYAXPVIJN-QWHCGFSZSA-N 1 2 318.425 1.131 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](CO)[NH2+]Cc1nnc(C(C)C)[nH]1 ZINC001283792613 907969997 /nfs/dbraw/zinc/96/99/97/907969997.db2.gz MKBHEIOUKRKPSF-LBPRGKRZSA-N 1 2 323.441 1.097 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCC[N@H+](Cc2cnnn2C)[C@H]1C ZINC001284127801 908519678 /nfs/dbraw/zinc/51/96/78/908519678.db2.gz FLZXLJLAWUEYJV-DZGCQCFKSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCC[N@@H+](Cc2cnnn2C)[C@H]1C ZINC001284127801 908519687 /nfs/dbraw/zinc/51/96/87/908519687.db2.gz FLZXLJLAWUEYJV-DZGCQCFKSA-N 1 2 319.453 1.887 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cc[nH+]c1 ZINC001284165131 908581873 /nfs/dbraw/zinc/58/18/73/908581873.db2.gz BNWRTBYYTQYLFF-SZOQZIPDSA-N 1 2 316.405 1.058 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H](O)C[N@H+](C)Cc1ccn(C)n1 ZINC001284493363 909077371 /nfs/dbraw/zinc/07/73/71/909077371.db2.gz RLAXQLSQCHVGNM-OAHLLOKOSA-N 1 2 322.453 1.274 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1ccn(C)n1 ZINC001284493363 909077387 /nfs/dbraw/zinc/07/73/87/909077387.db2.gz RLAXQLSQCHVGNM-OAHLLOKOSA-N 1 2 322.453 1.274 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCN(CC)C(=O)Cc1[nH]c[nH+]c1C ZINC001284617639 909264321 /nfs/dbraw/zinc/26/43/21/909264321.db2.gz VWAICOFIUKJZGE-UHFFFAOYSA-N 1 2 320.437 1.828 20 30 DDEDLO CC(C)(O)CC(=O)NCC1C[NH+](Cc2ccc(C#N)cc2F)C1 ZINC001373508177 910046904 /nfs/dbraw/zinc/04/69/04/910046904.db2.gz GFLXPCOSEBHCDT-UHFFFAOYSA-N 1 2 319.380 1.406 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCCC1 ZINC001285116919 910088851 /nfs/dbraw/zinc/08/88/51/910088851.db2.gz AWHQMVNBOPMYMZ-UHFFFAOYSA-N 1 2 318.421 1.641 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]1CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001285156216 910125696 /nfs/dbraw/zinc/12/56/96/910125696.db2.gz SOPHENWKMHFQJH-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO CC(C)(C)C(=O)NC[C@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001394651718 910177815 /nfs/dbraw/zinc/17/78/15/910177815.db2.gz XUDKCLAMBTULEE-CQSZACIVSA-N 1 2 307.369 1.310 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2CC(NC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC001285269689 910284016 /nfs/dbraw/zinc/28/40/16/910284016.db2.gz KAMIKFKRVKUDDZ-UHFFFAOYSA-N 1 2 316.405 1.320 20 30 DDEDLO CC(C)[C@@H](CCN(C)C(=O)Cc1c[nH+]c[nH]1)NC(=O)[C@H](C)C#N ZINC001395226101 911591616 /nfs/dbraw/zinc/59/16/16/911591616.db2.gz UNCUBEGLECVYRX-TZMCWYRMSA-N 1 2 319.409 1.101 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001294104124 914920536 /nfs/dbraw/zinc/92/05/36/914920536.db2.gz VTLBCXIHTIJENE-CYBMUJFWSA-N 1 2 320.437 1.765 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001294104124 914920547 /nfs/dbraw/zinc/92/05/47/914920547.db2.gz VTLBCXIHTIJENE-CYBMUJFWSA-N 1 2 320.437 1.765 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC001375136204 915075595 /nfs/dbraw/zinc/07/55/95/915075595.db2.gz ZFYXRXGNTWJWFH-IUODEOHRSA-N 1 2 315.845 1.434 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H](C[NH2+]Cc1nc(C(C)(C)C)no1)C1CC1 ZINC001375219342 915297880 /nfs/dbraw/zinc/29/78/80/915297880.db2.gz SDOVLACDTHRDQK-CMPLNLGQSA-N 1 2 319.409 1.511 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001294748623 915336923 /nfs/dbraw/zinc/33/69/23/915336923.db2.gz OVKKNIWSRMAUKA-GJZGRUSLSA-N 1 2 318.421 1.908 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](N(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC001295777143 916047922 /nfs/dbraw/zinc/04/79/22/916047922.db2.gz GYJFQVMSEKTKNS-CQSZACIVSA-N 1 2 318.421 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](CCC)NC(N)=O)[C@H]1C ZINC001375668412 916653639 /nfs/dbraw/zinc/65/36/39/916653639.db2.gz GIESIJUTSWMYGP-GRYCIOLGSA-N 1 2 316.833 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](CCC)NC(N)=O)[C@H]1C ZINC001375668412 916653647 /nfs/dbraw/zinc/65/36/47/916653647.db2.gz GIESIJUTSWMYGP-GRYCIOLGSA-N 1 2 316.833 1.155 20 30 DDEDLO CCC(=O)NC[C@@]1(O)CC[N@H+](Cc2cc(F)ccc2C#N)C1 ZINC001375999095 917446067 /nfs/dbraw/zinc/44/60/67/917446067.db2.gz QWHIXPXRENSUMF-INIZCTEOSA-N 1 2 305.353 1.160 20 30 DDEDLO CCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2cc(F)ccc2C#N)C1 ZINC001375999095 917446073 /nfs/dbraw/zinc/44/60/73/917446073.db2.gz QWHIXPXRENSUMF-INIZCTEOSA-N 1 2 305.353 1.160 20 30 DDEDLO CC[C@@H](OC)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001376113389 917702159 /nfs/dbraw/zinc/70/21/59/917702159.db2.gz HFVJAMKDPCHKKK-GDBMZVCRSA-N 1 2 319.380 1.813 20 30 DDEDLO CC[C@@H](OC)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001376113389 917702166 /nfs/dbraw/zinc/70/21/66/917702166.db2.gz HFVJAMKDPCHKKK-GDBMZVCRSA-N 1 2 319.380 1.813 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@H+](Cc2nccn2C(F)F)C1 ZINC001377441171 921924384 /nfs/dbraw/zinc/92/43/84/921924384.db2.gz RVJJOQMXXKBBGH-GHMZBOCLSA-N 1 2 311.336 1.376 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@@H+](Cc2nccn2C(F)F)C1 ZINC001377441171 921924394 /nfs/dbraw/zinc/92/43/94/921924394.db2.gz RVJJOQMXXKBBGH-GHMZBOCLSA-N 1 2 311.336 1.376 20 30 DDEDLO CC[C@H](NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C)c1nccs1 ZINC000329657601 529454496 /nfs/dbraw/zinc/45/44/96/529454496.db2.gz GVOMDFMHCVZYNL-RYUDHWBXSA-N 1 2 311.455 1.344 20 30 DDEDLO CC[C@H](NC(=O)NC[C@H]1CN(C)CC[N@H+]1C)c1nccs1 ZINC000329657601 529454499 /nfs/dbraw/zinc/45/44/99/529454499.db2.gz GVOMDFMHCVZYNL-RYUDHWBXSA-N 1 2 311.455 1.344 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+]([C@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000083171485 185215871 /nfs/dbraw/zinc/21/58/71/185215871.db2.gz GALMOMDKMCHEIF-GJZGRUSLSA-N 1 2 315.373 1.230 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+]([C@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000083171485 185215872 /nfs/dbraw/zinc/21/58/72/185215872.db2.gz GALMOMDKMCHEIF-GJZGRUSLSA-N 1 2 315.373 1.230 20 30 DDEDLO C[C@H]1C[C@H](O)C[N@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000452072451 231290936 /nfs/dbraw/zinc/29/09/36/231290936.db2.gz WTOZHQBGKHJELV-STQMWFEESA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@H]1C[C@H](O)C[N@@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000452072451 231290939 /nfs/dbraw/zinc/29/09/39/231290939.db2.gz WTOZHQBGKHJELV-STQMWFEESA-N 1 2 319.430 1.943 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)Cc1cccc(C#N)c1 ZINC000451939293 529589442 /nfs/dbraw/zinc/58/94/42/529589442.db2.gz ZLSYNWUGXIKPFI-GFCCVEGCSA-N 1 2 318.402 1.955 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(C[C@@H](C#N)CCC#N)CC1 ZINC000088616154 185260105 /nfs/dbraw/zinc/26/01/05/185260105.db2.gz FENMCACPPBEKBL-JKSUJKDBSA-N 1 2 317.437 1.058 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)C[C@@H]1NC(=O)C(C)(C)S(=O)(=O)C1CC1 ZINC000328844557 539299333 /nfs/dbraw/zinc/29/93/33/539299333.db2.gz WYANECJMKIVHNG-MFKMUULPSA-N 1 2 314.451 1.781 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)C(C)(C)S(=O)(=O)C1CC1 ZINC000328844557 539299334 /nfs/dbraw/zinc/29/93/34/539299334.db2.gz WYANECJMKIVHNG-MFKMUULPSA-N 1 2 314.451 1.781 20 30 DDEDLO CN1CC[N@H+](C)C[C@@H]1CNC(=O)C1C[C@H]2CCC[C@@H](C1)C2=O ZINC000329392036 539304073 /nfs/dbraw/zinc/30/40/73/539304073.db2.gz VQQCVHMWRDQTMF-IXXDHKBRSA-N 1 2 307.438 1.584 20 30 DDEDLO CN1CC[N@@H+](C)C[C@@H]1CNC(=O)C1C[C@H]2CCC[C@@H](C1)C2=O ZINC000329392036 539304074 /nfs/dbraw/zinc/30/40/74/539304074.db2.gz VQQCVHMWRDQTMF-IXXDHKBRSA-N 1 2 307.438 1.584 20 30 DDEDLO [O-]C(N[C@@H]1CCc2[nH]c[nH+]c2C1)=[NH+][C@H]1COc2ccccc2C1 ZINC000329597054 539306203 /nfs/dbraw/zinc/30/62/03/539306203.db2.gz IVASPFVAINSVTH-CHWSQXEVSA-N 1 2 312.373 1.774 20 30 DDEDLO [O-]C(N[C@@H]1CCc2[nH+]c[nH]c2C1)=[NH+][C@H]1COc2ccccc2C1 ZINC000329597054 539306204 /nfs/dbraw/zinc/30/62/04/539306204.db2.gz IVASPFVAINSVTH-CHWSQXEVSA-N 1 2 312.373 1.774 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N(C)CC2CCOCC2)c[nH+]1 ZINC000330578335 529778856 /nfs/dbraw/zinc/77/88/56/529778856.db2.gz QBFUSJASGDITAB-UHFFFAOYSA-N 1 2 306.410 1.920 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(CC(=O)Nc3nc4c(s3)CCC4)C[C@H]21 ZINC000330350126 529791107 /nfs/dbraw/zinc/79/11/07/529791107.db2.gz KRRFSEJCRZWWLP-NEPJUHHUSA-N 1 2 322.434 1.235 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(CC(=O)Nc3nc4c(s3)CCC4)C[C@H]21 ZINC000330350126 529791109 /nfs/dbraw/zinc/79/11/09/529791109.db2.gz KRRFSEJCRZWWLP-NEPJUHHUSA-N 1 2 322.434 1.235 20 30 DDEDLO C[C@H]1C[N@H+](CCO)CCN1C(=O)CSc1ccc(C#N)cc1 ZINC000457138126 232001065 /nfs/dbraw/zinc/00/10/65/232001065.db2.gz WIFSUNIYIZAOOA-ZDUSSCGKSA-N 1 2 319.430 1.175 20 30 DDEDLO C[C@H]1C[N@@H+](CCO)CCN1C(=O)CSc1ccc(C#N)cc1 ZINC000457138126 232001069 /nfs/dbraw/zinc/00/10/69/232001069.db2.gz WIFSUNIYIZAOOA-ZDUSSCGKSA-N 1 2 319.430 1.175 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCN(C2=[NH+]CC(C)(C)S2)CC1 ZINC000290123266 222695959 /nfs/dbraw/zinc/69/59/59/222695959.db2.gz SJTUQAVTZJUYMG-OAHLLOKOSA-N 1 2 311.451 1.339 20 30 DDEDLO C[C@H]1[N@H+](CC(=O)NCc2ccc(C#N)cc2)CCOC1(C)C ZINC000331039404 232399477 /nfs/dbraw/zinc/39/94/77/232399477.db2.gz BZGQCXTZPSPBOB-CYBMUJFWSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@H]1[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CCOC1(C)C ZINC000331039404 232399482 /nfs/dbraw/zinc/39/94/82/232399482.db2.gz BZGQCXTZPSPBOB-CYBMUJFWSA-N 1 2 301.390 1.674 20 30 DDEDLO Cc1cc(C#N)nc(NC[C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000286860273 219199299 /nfs/dbraw/zinc/19/92/99/219199299.db2.gz HCVMZBUJMMCVCE-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cc(C#N)nc(NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000286860273 219199301 /nfs/dbraw/zinc/19/93/01/219199301.db2.gz HCVMZBUJMMCVCE-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)NCC#Cc1ccccc1 ZINC000048415877 352539060 /nfs/dbraw/zinc/53/90/60/352539060.db2.gz HKLINVAEBWLXEC-HNNXBMFYSA-N 1 2 301.390 1.058 20 30 DDEDLO CCC[C@@H]1C(=O)NCC[N@H+]1CCOc1ccc(C#N)cc1OC ZINC000152588764 186087623 /nfs/dbraw/zinc/08/76/23/186087623.db2.gz UYBFSXRLRYPOFJ-CQSZACIVSA-N 1 2 317.389 1.546 20 30 DDEDLO CCC[C@@H]1C(=O)NCC[N@@H+]1CCOc1ccc(C#N)cc1OC ZINC000152588764 186087625 /nfs/dbraw/zinc/08/76/25/186087625.db2.gz UYBFSXRLRYPOFJ-CQSZACIVSA-N 1 2 317.389 1.546 20 30 DDEDLO COCC[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)Cc1ccco1 ZINC000026448999 352208962 /nfs/dbraw/zinc/20/89/62/352208962.db2.gz PGPIKMZFIQGIIV-INIZCTEOSA-N 1 2 305.378 1.536 20 30 DDEDLO COCC[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)Cc1ccco1 ZINC000026448999 352208965 /nfs/dbraw/zinc/20/89/65/352208965.db2.gz PGPIKMZFIQGIIV-INIZCTEOSA-N 1 2 305.378 1.536 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(C(=O)C(C)C)CC1 ZINC000042353635 352335534 /nfs/dbraw/zinc/33/55/34/352335534.db2.gz AEHREODHNWOITA-HNNXBMFYSA-N 1 2 309.454 1.600 20 30 DDEDLO CC(C)C[N@H+](CC(N)=O)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000044898672 352397172 /nfs/dbraw/zinc/39/71/72/352397172.db2.gz QMGGKTWRACSMPG-UHFFFAOYSA-N 1 2 322.796 1.593 20 30 DDEDLO CC(C)C[N@@H+](CC(N)=O)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000044898672 352397177 /nfs/dbraw/zinc/39/71/77/352397177.db2.gz QMGGKTWRACSMPG-UHFFFAOYSA-N 1 2 322.796 1.593 20 30 DDEDLO C=CCN(CC=C)C(=O)CO[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000060455395 352873287 /nfs/dbraw/zinc/87/32/87/352873287.db2.gz GEYSWJXPTKHGEF-UHFFFAOYSA-N 1 2 317.389 1.727 20 30 DDEDLO N#CC1CC[NH+](CC(=O)NCCc2c(F)cccc2F)CC1 ZINC000057874702 352831993 /nfs/dbraw/zinc/83/19/93/352831993.db2.gz QVVXJWCUHUAWFZ-UHFFFAOYSA-N 1 2 307.344 1.859 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C/c1cccs1 ZINC000060855801 352880963 /nfs/dbraw/zinc/88/09/63/352880963.db2.gz WBKCOZXNRNNHRN-SNAWJCMRSA-N 1 2 319.430 1.836 20 30 DDEDLO CCC(CC)C[N@H+](CCC#N)CC(=O)N1CCC[C@H](C(N)=O)C1 ZINC000072693008 353209586 /nfs/dbraw/zinc/20/95/86/353209586.db2.gz HHWABHGCFVKDBK-HNNXBMFYSA-N 1 2 322.453 1.362 20 30 DDEDLO CCC(CC)C[N@@H+](CCC#N)CC(=O)N1CCC[C@H](C(N)=O)C1 ZINC000072693008 353209589 /nfs/dbraw/zinc/20/95/89/353209589.db2.gz HHWABHGCFVKDBK-HNNXBMFYSA-N 1 2 322.453 1.362 20 30 DDEDLO CCC[N@H+](CC(=O)NC)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000075644070 353373786 /nfs/dbraw/zinc/37/37/86/353373786.db2.gz COKVFFAFTRFGKU-UHFFFAOYSA-N 1 2 313.361 1.566 20 30 DDEDLO CCC[N@@H+](CC(=O)NC)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000075644070 353373790 /nfs/dbraw/zinc/37/37/90/353373790.db2.gz COKVFFAFTRFGKU-UHFFFAOYSA-N 1 2 313.361 1.566 20 30 DDEDLO N#Cc1cc(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)ccc1F ZINC000076880846 353442810 /nfs/dbraw/zinc/44/28/10/353442810.db2.gz APMGFZZHNAAJPL-UHFFFAOYSA-N 1 2 308.276 1.925 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)C(F)(F)F ZINC000079844536 353578443 /nfs/dbraw/zinc/57/84/43/353578443.db2.gz URUWYBVNXDCDLS-NSHDSACASA-N 1 2 307.316 1.259 20 30 DDEDLO COc1ccc(C[NH+]2CCN(C(=O)N(C)C)CC2)cc1C#N ZINC000134951366 354114348 /nfs/dbraw/zinc/11/43/48/354114348.db2.gz BBBOMUVAJVXKMX-UHFFFAOYSA-N 1 2 302.378 1.366 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@H](CO)CC1 ZINC000293267404 354455265 /nfs/dbraw/zinc/45/52/65/354455265.db2.gz UTCPSSSFZUWHAF-XJKSGUPXSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CCC[C@H](CO)CC1 ZINC000293267404 354455269 /nfs/dbraw/zinc/45/52/69/354455269.db2.gz UTCPSSSFZUWHAF-XJKSGUPXSA-N 1 2 302.374 1.867 20 30 DDEDLO Cc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)ccc1-n1cncn1 ZINC000578578846 354709643 /nfs/dbraw/zinc/70/96/43/354709643.db2.gz WAIFWSHKJMFYFO-MRXNPFEDSA-N 1 2 312.377 1.149 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCc1cn2ccccc2[nH+]1 ZINC000581412844 354733981 /nfs/dbraw/zinc/73/39/81/354733981.db2.gz NGZZJYHFGJTUNG-KGLIPLIRSA-N 1 2 300.362 1.867 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](Cc3ccsc3)CC2)n1 ZINC000589258707 354979611 /nfs/dbraw/zinc/97/96/11/354979611.db2.gz HBJOXXHLRNQGQT-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H](O)C[C@H](O)C2)c(C(F)(F)F)c1 ZINC000589501385 354997088 /nfs/dbraw/zinc/99/70/88/354997088.db2.gz KYOQAOHCCLPINU-TXEJJXNPSA-N 1 2 300.280 1.505 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H](O)C[C@H](O)C2)c(C(F)(F)F)c1 ZINC000589501385 354997091 /nfs/dbraw/zinc/99/70/91/354997091.db2.gz KYOQAOHCCLPINU-TXEJJXNPSA-N 1 2 300.280 1.505 20 30 DDEDLO C=CCOCC[NH+]1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000590166079 355056045 /nfs/dbraw/zinc/05/60/45/355056045.db2.gz OMJAVTDRPAFNOP-UHFFFAOYSA-N 1 2 302.378 1.748 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1cc(C#N)ccc1[N+](=O)[O-] ZINC000286219324 291067871 /nfs/dbraw/zinc/06/78/71/291067871.db2.gz CYKUQEWWKNCHNJ-GXTWGEPZSA-N 1 2 316.361 1.766 20 30 DDEDLO N#Cc1cnccc1C[N@@H+]1CCCC[C@H]1CN1CCOCC1 ZINC000592070249 355482245 /nfs/dbraw/zinc/48/22/45/355482245.db2.gz LPMQJKAXBNQYNT-KRWDZBQOSA-N 1 2 300.406 1.640 20 30 DDEDLO N#Cc1cnccc1C[N@H+]1CCCC[C@H]1CN1CCOCC1 ZINC000592070249 355482246 /nfs/dbraw/zinc/48/22/46/355482246.db2.gz LPMQJKAXBNQYNT-KRWDZBQOSA-N 1 2 300.406 1.640 20 30 DDEDLO COc1ccc(C[N@H+](C)Cc2cnc3c(C#N)cnn3c2)cn1 ZINC000592507591 355606814 /nfs/dbraw/zinc/60/68/14/355606814.db2.gz RKVWPCZBYMUVBT-UHFFFAOYSA-N 1 2 308.345 1.637 20 30 DDEDLO COc1ccc(C[N@@H+](C)Cc2cnc3c(C#N)cnn3c2)cn1 ZINC000592507591 355606816 /nfs/dbraw/zinc/60/68/16/355606816.db2.gz RKVWPCZBYMUVBT-UHFFFAOYSA-N 1 2 308.345 1.637 20 30 DDEDLO CC[C@H]([NH2+]C1CC[NH+](Cc2ccc(C#N)cc2)CC1)C(=O)[O-] ZINC000592424025 355579139 /nfs/dbraw/zinc/57/91/39/355579139.db2.gz UZBVCQMMRGDSLX-INIZCTEOSA-N 1 2 301.390 1.975 20 30 DDEDLO COC(=O)c1ccccc1CSC[C@@H](O)C[N@H+](C)CCC#N ZINC000592999836 355765542 /nfs/dbraw/zinc/76/55/42/355765542.db2.gz SNUWYZQSSKGKGO-AWEZNQCLSA-N 1 2 322.430 1.913 20 30 DDEDLO COC(=O)c1ccccc1CSC[C@@H](O)C[N@@H+](C)CCC#N ZINC000592999836 355765543 /nfs/dbraw/zinc/76/55/43/355765543.db2.gz SNUWYZQSSKGKGO-AWEZNQCLSA-N 1 2 322.430 1.913 20 30 DDEDLO COC(=O)c1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c(F)c1 ZINC000593154792 355813826 /nfs/dbraw/zinc/81/38/26/355813826.db2.gz FFEOMDGGSSXBMJ-HNNXBMFYSA-N 1 2 307.325 1.186 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCC(NC(=O)c3nc[nH]n3)CC2)c1 ZINC000593885680 356042253 /nfs/dbraw/zinc/04/22/53/356042253.db2.gz KSIAITULVMXTHP-UHFFFAOYSA-N 1 2 310.361 1.071 20 30 DDEDLO CC[C@@H](C#N)N(C)C(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000594087618 356109346 /nfs/dbraw/zinc/10/93/46/356109346.db2.gz BGTMAZUNIALPLL-HOTGVXAUSA-N 1 2 301.390 1.648 20 30 DDEDLO CC[C@@H](C#N)N(C)C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000594087618 356109349 /nfs/dbraw/zinc/10/93/49/356109349.db2.gz BGTMAZUNIALPLL-HOTGVXAUSA-N 1 2 301.390 1.648 20 30 DDEDLO N#Cc1ccc(C[NH2+][C@]2(C(N)=O)CCOC2)c(Br)c1 ZINC000594037650 356096349 /nfs/dbraw/zinc/09/63/49/356096349.db2.gz PGZYPDPRZMKUJX-CYBMUJFWSA-N 1 2 324.178 1.055 20 30 DDEDLO O=C(Nc1cccc2c1OCCC2=O)N1CCn2cc[nH+]c2C1 ZINC000329340701 222966154 /nfs/dbraw/zinc/96/61/54/222966154.db2.gz OKVKKHMDBUDRKY-UHFFFAOYSA-N 1 2 312.329 1.910 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)C(=O)N[C@H](C)c2[nH+]ccn2C)c1 ZINC000595219714 356410656 /nfs/dbraw/zinc/41/06/56/356410656.db2.gz OMCGFTRRQBPQRS-LLVKDONJSA-N 1 2 311.345 1.416 20 30 DDEDLO COc1ccc2c(c1)CC[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C2 ZINC000595761107 356642350 /nfs/dbraw/zinc/64/23/50/356642350.db2.gz ZFLSPDMWQYSDNK-GOSISDBHSA-N 1 2 313.401 1.862 20 30 DDEDLO COc1ccc2c(c1)CC[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)C2 ZINC000595761107 356642356 /nfs/dbraw/zinc/64/23/56/356642356.db2.gz ZFLSPDMWQYSDNK-GOSISDBHSA-N 1 2 313.401 1.862 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2cccc(C#N)c2[N+](=O)[O-])[C@H](C)CO1 ZINC000596427968 356876090 /nfs/dbraw/zinc/87/60/90/356876090.db2.gz GHHVNSGWCNBFLN-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2cccc(C#N)c2[N+](=O)[O-])[C@H](C)CO1 ZINC000596427968 356876094 /nfs/dbraw/zinc/87/60/94/356876094.db2.gz GHHVNSGWCNBFLN-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@H](O)[C@@H]1CCN(c2cc(N3CCC[C@H](CC#N)C3)[nH+]cn2)C1 ZINC000596325371 356858029 /nfs/dbraw/zinc/85/80/29/356858029.db2.gz KAKXGBWVKOTCOV-RRFJBIMHSA-N 1 2 315.421 1.814 20 30 DDEDLO C[C@H](O)[C@@H]1CCN(c2cc(N3CCC[C@H](CC#N)C3)nc[nH+]2)C1 ZINC000596325371 356858031 /nfs/dbraw/zinc/85/80/31/356858031.db2.gz KAKXGBWVKOTCOV-RRFJBIMHSA-N 1 2 315.421 1.814 20 30 DDEDLO N#CC[C@H]1CCCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)C1 ZINC000596327718 356858176 /nfs/dbraw/zinc/85/81/76/356858176.db2.gz ADDQRTZROIVRCW-HUUCEWRRSA-N 1 2 315.421 1.958 20 30 DDEDLO N#CC[C@H]1CCCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC000596327718 356858179 /nfs/dbraw/zinc/85/81/79/356858179.db2.gz ADDQRTZROIVRCW-HUUCEWRRSA-N 1 2 315.421 1.958 20 30 DDEDLO N#CC[C@@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000596330928 356859056 /nfs/dbraw/zinc/85/90/56/356859056.db2.gz PKIKKSFFPNEHMN-LSDHHAIUSA-N 1 2 315.421 1.815 20 30 DDEDLO N#CC[C@@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000596330928 356859059 /nfs/dbraw/zinc/85/90/59/356859059.db2.gz PKIKKSFFPNEHMN-LSDHHAIUSA-N 1 2 315.421 1.815 20 30 DDEDLO N#C[C@H]1CN(C(=O)NCc2ccc[nH+]c2N2CCCC2)CCO1 ZINC000596690567 356953413 /nfs/dbraw/zinc/95/34/13/356953413.db2.gz GUSJBYXGOZEJJK-AWEZNQCLSA-N 1 2 315.377 1.116 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000596692107 356954195 /nfs/dbraw/zinc/95/41/95/356954195.db2.gz WIOLKSZOKQJVHZ-CYBMUJFWSA-N 1 2 301.394 1.983 20 30 DDEDLO CC(=O)NC[C@H]1C[N@H+](Cc2ccc(C3(C#N)CC3)cc2)CCO1 ZINC000597010180 357039592 /nfs/dbraw/zinc/03/95/92/357039592.db2.gz VLOVQHGGFXSRDC-KRWDZBQOSA-N 1 2 313.401 1.579 20 30 DDEDLO CC(=O)NC[C@H]1C[N@@H+](Cc2ccc(C3(C#N)CC3)cc2)CCO1 ZINC000597010180 357039594 /nfs/dbraw/zinc/03/95/94/357039594.db2.gz VLOVQHGGFXSRDC-KRWDZBQOSA-N 1 2 313.401 1.579 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N(C)CC(=O)Nc1ccccc1SC ZINC000276854172 213134625 /nfs/dbraw/zinc/13/46/25/213134625.db2.gz UYLBQWNXZQEPMJ-UHFFFAOYSA-N 1 2 319.430 1.370 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N(C)CC(=O)Nc1ccccc1SC ZINC000276854172 213134627 /nfs/dbraw/zinc/13/46/27/213134627.db2.gz UYLBQWNXZQEPMJ-UHFFFAOYSA-N 1 2 319.430 1.370 20 30 DDEDLO N#Cc1cscc1C(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000598342345 357545396 /nfs/dbraw/zinc/54/53/96/357545396.db2.gz HBMRUYCYXJAFKK-UHFFFAOYSA-N 1 2 319.430 1.804 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NC[C@@H]1CCCCS1 ZINC000329627257 223004527 /nfs/dbraw/zinc/00/45/27/223004527.db2.gz GWFKBTIIFIGNAU-OLZOCXBDSA-N 1 2 301.456 1.496 20 30 DDEDLO CCOC(=O)[C@@H]([NH2+]C[C@H](O)CC(C)(C)C#N)C1CCOCC1 ZINC000598604163 357650956 /nfs/dbraw/zinc/65/09/56/357650956.db2.gz JWWIOQXTWKIRRB-KGLIPLIRSA-N 1 2 312.410 1.235 20 30 DDEDLO Cc1cccc([C@@H]2C(=O)NCC[N@H+]2C[C@@H](O)CC(C)(C)C#N)c1 ZINC000598622229 357658851 /nfs/dbraw/zinc/65/88/51/357658851.db2.gz GNJCJOKPHNGWBF-JKSUJKDBSA-N 1 2 315.417 1.769 20 30 DDEDLO Cc1cccc([C@@H]2C(=O)NCC[N@@H+]2C[C@@H](O)CC(C)(C)C#N)c1 ZINC000598622229 357658854 /nfs/dbraw/zinc/65/88/54/357658854.db2.gz GNJCJOKPHNGWBF-JKSUJKDBSA-N 1 2 315.417 1.769 20 30 DDEDLO COc1cccc([C@H](OC)C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000598837510 357739965 /nfs/dbraw/zinc/73/99/65/357739965.db2.gz WCCLGXZPCBCZLP-HOCLYGCPSA-N 1 2 305.378 1.343 20 30 DDEDLO COc1ccc2ncc(C#N)c(NC[C@@H]3COCC[N@@H+]3C)c2c1 ZINC000599161883 357834540 /nfs/dbraw/zinc/83/45/40/357834540.db2.gz XQHWJIYZEOEKJY-CYBMUJFWSA-N 1 2 312.373 1.279 20 30 DDEDLO COc1ccc2ncc(C#N)c(NC[C@@H]3COCC[N@H+]3C)c2c1 ZINC000599161883 357834546 /nfs/dbraw/zinc/83/45/46/357834546.db2.gz XQHWJIYZEOEKJY-CYBMUJFWSA-N 1 2 312.373 1.279 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C[C@H](O)CC1(C#N)CCOCC1)C(C)(C)C ZINC000599341617 357901892 /nfs/dbraw/zinc/90/18/92/357901892.db2.gz LYYXKTAYTIEHCQ-CHWSQXEVSA-N 1 2 312.410 1.235 20 30 DDEDLO CCC(CC)([NH2+]C[C@H](O)COc1ccccc1C#N)C(=O)OC ZINC000599362276 357910265 /nfs/dbraw/zinc/91/02/65/357910265.db2.gz JBZYFRIWJZHBFJ-AWEZNQCLSA-N 1 2 320.389 1.619 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](N3CCc4[nH+]c[nH]c4C3)C2=O)cc1 ZINC000599430222 357932010 /nfs/dbraw/zinc/93/20/10/357932010.db2.gz PYCGPWQVURMJCL-INIZCTEOSA-N 1 2 307.357 1.445 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@@]2(C)C(=O)OC(C)(C)C)C1=O ZINC000599499867 357957100 /nfs/dbraw/zinc/95/71/00/357957100.db2.gz MIAQLTZPCAATEO-DYVFJYSZSA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@@]2(C)C(=O)OC(C)(C)C)C1=O ZINC000599499867 357957103 /nfs/dbraw/zinc/95/71/03/357957103.db2.gz MIAQLTZPCAATEO-DYVFJYSZSA-N 1 2 308.422 1.970 20 30 DDEDLO N#Cc1cc(F)c(C(=O)NC2CC([NH+]3CCOCC3)C2)c(F)c1 ZINC000600066515 358113582 /nfs/dbraw/zinc/11/35/82/358113582.db2.gz JUJWRIQQXMQRPM-UHFFFAOYSA-N 1 2 321.327 1.429 20 30 DDEDLO COC1CC[NH+](Cc2cn(CC3(C#N)CCCC3)nn2)CC1 ZINC000600970331 358362725 /nfs/dbraw/zinc/36/27/25/358362725.db2.gz URVMFWORDRSFNN-UHFFFAOYSA-N 1 2 303.410 1.973 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)CC(=O)N[C@](C)(C#N)C(C)C ZINC000052246838 283367333 /nfs/dbraw/zinc/36/73/33/283367333.db2.gz PPDRSQXPGUONSQ-MRXNPFEDSA-N 1 2 310.442 1.279 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)CC(=O)N[C@](C)(C#N)C(C)C ZINC000052246838 283367339 /nfs/dbraw/zinc/36/73/39/283367339.db2.gz PPDRSQXPGUONSQ-MRXNPFEDSA-N 1 2 310.442 1.279 20 30 DDEDLO C[C@H]1C[C@@H](NS(=O)(=O)c2ccccc2CC#N)c2[nH+]ccn21 ZINC000601431291 358550077 /nfs/dbraw/zinc/55/00/77/358550077.db2.gz JSSPTOBVMIPMQO-WCQYABFASA-N 1 2 316.386 1.933 20 30 DDEDLO CN(C)[C@H](C(=O)Nc1cc(F)cc(C#N)c1)c1c[nH+]cn1C ZINC000601712448 358661396 /nfs/dbraw/zinc/66/13/96/358661396.db2.gz UDTLCFMEZCAUQI-AWEZNQCLSA-N 1 2 301.325 1.672 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N2CC[C@](F)(C#N)C2)cc1 ZINC000602145606 358845343 /nfs/dbraw/zinc/84/53/43/358845343.db2.gz ZJQMGOHXEKWNBN-RDJZCZTQSA-N 1 2 317.364 1.734 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2C(=O)N2CC[C@](F)(C#N)C2)cc1 ZINC000602145606 358845349 /nfs/dbraw/zinc/84/53/49/358845349.db2.gz ZJQMGOHXEKWNBN-RDJZCZTQSA-N 1 2 317.364 1.734 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000185444761 199854499 /nfs/dbraw/zinc/85/44/99/199854499.db2.gz YWJUQJCUAJQISA-UHFFFAOYSA-N 1 2 321.384 1.928 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC000602200480 358880554 /nfs/dbraw/zinc/88/05/54/358880554.db2.gz RZHIEFNKXBQQHO-UHFFFAOYSA-N 1 2 323.400 1.590 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cccc(F)c3N)CC2)c1 ZINC000602436481 358974868 /nfs/dbraw/zinc/97/48/68/358974868.db2.gz OEOKUIBLHSHCIX-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO C=C(C)C[C@@H]([NH2+]C1CCN(c2cnccn2)CC1)C(=O)OC ZINC000602733591 359155909 /nfs/dbraw/zinc/15/59/09/359155909.db2.gz JKCOAZWFVRZAIS-CQSZACIVSA-N 1 2 304.394 1.543 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602854581 359241411 /nfs/dbraw/zinc/24/14/11/359241411.db2.gz CAMWKCNXDBSBHF-KCYZZUKISA-N 1 2 319.453 1.348 20 30 DDEDLO CCN(C(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1)c1ccccc1 ZINC000602856616 359242596 /nfs/dbraw/zinc/24/25/96/359242596.db2.gz LRJHYKDRLMFOBK-HOTGVXAUSA-N 1 2 314.433 1.958 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@@H]2CCN(C3CCC3)C2=O)CC1 ZINC000602796803 359197676 /nfs/dbraw/zinc/19/76/76/359197676.db2.gz SOIWQLYGDJDXQR-HZPDHXFCSA-N 1 2 304.438 1.306 20 30 DDEDLO N#Cc1ccccc1NC1CC[NH+](Cc2nnnn2C2CC2)CC1 ZINC000602894972 359278064 /nfs/dbraw/zinc/27/80/64/359278064.db2.gz FECGHGLMIRMGIC-UHFFFAOYSA-N 1 2 323.404 1.956 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCOc2ccc([N+](=O)[O-])cc2)nn1 ZINC000603202784 359477426 /nfs/dbraw/zinc/47/74/26/359477426.db2.gz ZRVZZCRPPVAYNO-UHFFFAOYSA-N 1 2 315.333 1.378 20 30 DDEDLO COCC[N@H+](C)Cc1cn(Cc2cc(C#N)ccc2OC)nn1 ZINC000603235371 359503588 /nfs/dbraw/zinc/50/35/88/359503588.db2.gz QEQJDIZSSVLDGG-UHFFFAOYSA-N 1 2 315.377 1.285 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(Cc2cc(C#N)ccc2OC)nn1 ZINC000603235371 359503591 /nfs/dbraw/zinc/50/35/91/359503591.db2.gz QEQJDIZSSVLDGG-UHFFFAOYSA-N 1 2 315.377 1.285 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2F)CC1(C)C ZINC000603374711 359600265 /nfs/dbraw/zinc/60/02/65/359600265.db2.gz MRNQNTLQJLZHDE-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2F)CC1(C)C ZINC000603374711 359600270 /nfs/dbraw/zinc/60/02/70/359600270.db2.gz MRNQNTLQJLZHDE-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO N#Cc1cccc(OCCn2cc[nH+]c2CN2CCOCC2)c1 ZINC000603420198 359629726 /nfs/dbraw/zinc/62/97/26/359629726.db2.gz HMLZBUKUTNXOCY-UHFFFAOYSA-N 1 2 312.373 1.666 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@H](C)CN(C(=O)OC(C)(C)C)C[C@@H]1C ZINC000187454002 200114382 /nfs/dbraw/zinc/11/43/82/200114382.db2.gz NFPSNZXYSJVWPR-BETUJISGSA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@H](C)CN(C(=O)OC(C)(C)C)C[C@@H]1C ZINC000187454002 200114384 /nfs/dbraw/zinc/11/43/84/200114384.db2.gz NFPSNZXYSJVWPR-BETUJISGSA-N 1 2 311.426 1.618 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CC(=O)N[C@]1(C#N)CCSC1 ZINC000603887238 359694565 /nfs/dbraw/zinc/69/45/65/359694565.db2.gz ZKGSUOIGQRTNSR-HNNXBMFYSA-N 1 2 300.387 1.860 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329943870 223051626 /nfs/dbraw/zinc/05/16/26/223051626.db2.gz WLSKFHXYUUFIKT-WQVCFCJDSA-N 1 2 314.389 1.550 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329943870 223051630 /nfs/dbraw/zinc/05/16/30/223051630.db2.gz WLSKFHXYUUFIKT-WQVCFCJDSA-N 1 2 314.389 1.550 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1C[C@@H]2[C@H](C1)OCCN2C ZINC000329943870 223051633 /nfs/dbraw/zinc/05/16/33/223051633.db2.gz WLSKFHXYUUFIKT-WQVCFCJDSA-N 1 2 314.389 1.550 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1C[C@@H]2[C@H](C1)OCCN2C ZINC000329943870 223051635 /nfs/dbraw/zinc/05/16/35/223051635.db2.gz WLSKFHXYUUFIKT-WQVCFCJDSA-N 1 2 314.389 1.550 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)N1CC[NH+](CC(=O)N(C)C)CC1 ZINC000609822846 360353167 /nfs/dbraw/zinc/35/31/67/360353167.db2.gz JKZACCBKAUAUMM-AWEZNQCLSA-N 1 2 300.406 1.325 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)N2CCC[C@H](n3cc[nH+]c3)C2)cc1 ZINC000609672111 360342876 /nfs/dbraw/zinc/34/28/76/360342876.db2.gz QGMZKOUDQONARN-HOTGVXAUSA-N 1 2 309.373 1.618 20 30 DDEDLO C[C@@H](NC(=O)N[C@@H](C)C[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000610347569 360418258 /nfs/dbraw/zinc/41/82/58/360418258.db2.gz OKQCQGRHRYRNKE-UONOGXRCSA-N 1 2 316.405 1.639 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)N[C@@](C)(C#N)C2CC2)cn1 ZINC000610999157 360597849 /nfs/dbraw/zinc/59/78/49/360597849.db2.gz DSGKJPAQRVSDQI-INIZCTEOSA-N 1 2 302.378 1.330 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)N[C@@](C)(C#N)C2CC2)cn1 ZINC000610999157 360597854 /nfs/dbraw/zinc/59/78/54/360597854.db2.gz DSGKJPAQRVSDQI-INIZCTEOSA-N 1 2 302.378 1.330 20 30 DDEDLO CCN(C(=O)C[NH+]1CCN(CCC#N)CC1)c1ccccc1 ZINC000611175521 360648721 /nfs/dbraw/zinc/64/87/21/360648721.db2.gz DZDZMGRDQXWMEQ-UHFFFAOYSA-N 1 2 300.406 1.571 20 30 DDEDLO COC(=O)c1oc([C@@H](C)[NH+]2CCN(CCC#N)CC2)cc1C ZINC000611374099 360707366 /nfs/dbraw/zinc/70/73/66/360707366.db2.gz VXQKKLCTVPPTGE-CYBMUJFWSA-N 1 2 305.378 1.967 20 30 DDEDLO Cc1nccc(NC(=O)N(CC2CC2)C[C@@H]2C[N@H+](C)CCO2)n1 ZINC000330247526 223094505 /nfs/dbraw/zinc/09/45/05/223094505.db2.gz FQTNZCRALSVOQZ-AWEZNQCLSA-N 1 2 319.409 1.373 20 30 DDEDLO Cc1nccc(NC(=O)N(CC2CC2)C[C@@H]2C[N@@H+](C)CCO2)n1 ZINC000330247526 223094508 /nfs/dbraw/zinc/09/45/08/223094508.db2.gz FQTNZCRALSVOQZ-AWEZNQCLSA-N 1 2 319.409 1.373 20 30 DDEDLO C[C@H](CCNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)[S@](C)=O ZINC000330412197 223113189 /nfs/dbraw/zinc/11/31/89/223113189.db2.gz LGXMONBBBNAZCS-VJBOLBCHSA-N 1 2 324.450 1.321 20 30 DDEDLO C[C@H](C(=O)NCCc1ccccc1)[N@@H+]1CCN2C(=O)NC[C@@H]2C1 ZINC000330274122 223098180 /nfs/dbraw/zinc/09/81/80/223098180.db2.gz JPDUVJGLSARIJG-UKRRQHHQSA-N 1 2 316.405 1.488 20 30 DDEDLO C[C@H](C(=O)NCCc1ccccc1)[N@H+]1CCN2C(=O)NC[C@@H]2C1 ZINC000330274122 223098182 /nfs/dbraw/zinc/09/81/82/223098182.db2.gz JPDUVJGLSARIJG-UKRRQHHQSA-N 1 2 316.405 1.488 20 30 DDEDLO Cc1nccc(NC(=O)N[C@@H]2CCO[C@@H](c3c[nH+]cn3C)C2)n1 ZINC000330279622 223098986 /nfs/dbraw/zinc/09/89/86/223098986.db2.gz RIXSOLDNHANMQS-DGCLKSJQSA-N 1 2 316.365 1.765 20 30 DDEDLO C[C@]1([NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)CCO[C@@H]1C1CC1 ZINC000330284742 223100321 /nfs/dbraw/zinc/10/03/21/223100321.db2.gz XYBNGTKBNVMCOL-XPKDYRNWSA-N 1 2 304.394 1.659 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)c1ccc(CC#N)cc1 ZINC000330486145 223121135 /nfs/dbraw/zinc/12/11/35/223121135.db2.gz DCRUHBJGSZWDKJ-CQSZACIVSA-N 1 2 300.406 1.118 20 30 DDEDLO Cc1nnsc1C(=O)NC(C)(C)c1noc(C[NH+](C)C)n1 ZINC000331135297 223188422 /nfs/dbraw/zinc/18/84/22/223188422.db2.gz KHLHPTCCSBTBCF-UHFFFAOYSA-N 1 2 310.383 1.531 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(C(=O)NCC2(C(F)F)CC2)CC1 ZINC000332157969 223211700 /nfs/dbraw/zinc/21/17/00/223211700.db2.gz JYGRHQBNRAVGLL-UHFFFAOYSA-N 1 2 300.353 1.661 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cccc(CC#N)c2)C[C@H]1C ZINC000619493494 364035894 /nfs/dbraw/zinc/03/58/94/364035894.db2.gz LVODSSPVLANIKK-CYBMUJFWSA-N 1 2 307.419 1.467 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cccc(CC#N)c2)C[C@H]1C ZINC000619493494 364035897 /nfs/dbraw/zinc/03/58/97/364035897.db2.gz LVODSSPVLANIKK-CYBMUJFWSA-N 1 2 307.419 1.467 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@@H](C(=O)Nc2cccc(C)n2)C1 ZINC000619719110 364129096 /nfs/dbraw/zinc/12/90/96/364129096.db2.gz WHCWFGLCVSMVDA-KGLIPLIRSA-N 1 2 317.389 1.768 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@@H](C(=O)Nc2cccc(C)n2)C1 ZINC000619719110 364129099 /nfs/dbraw/zinc/12/90/99/364129099.db2.gz WHCWFGLCVSMVDA-KGLIPLIRSA-N 1 2 317.389 1.768 20 30 DDEDLO C[C@@H](NC(=O)C(C)(C)[NH+]1CCOCC1)c1ccc(C#N)cc1 ZINC000282332581 216917476 /nfs/dbraw/zinc/91/74/76/216917476.db2.gz ADKPPYOWTAMKQY-CYBMUJFWSA-N 1 2 301.390 1.846 20 30 DDEDLO Cc1nc(NCCCc2[nH]nc(N)c2C#N)c2c([nH+]1)CCCC2 ZINC000268012501 206395426 /nfs/dbraw/zinc/39/54/26/206395426.db2.gz VEZXQGGIJJQBKB-UHFFFAOYSA-N 1 2 311.393 1.886 20 30 DDEDLO Cc1nsc(NCC2([NH+]3CCOCC3)CCOCC2)c1C#N ZINC000334025316 249183919 /nfs/dbraw/zinc/18/39/19/249183919.db2.gz GQAQKNGAKVUQKV-UHFFFAOYSA-N 1 2 322.434 1.617 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1CCC[C@H](O)C1 ZINC000042286986 183249722 /nfs/dbraw/zinc/24/97/22/183249722.db2.gz FMKPSLBTVHLUFG-MNOVXSKESA-N 1 2 319.430 1.892 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1CCC[C@H](O)C1 ZINC000042286986 183249723 /nfs/dbraw/zinc/24/97/23/183249723.db2.gz FMKPSLBTVHLUFG-MNOVXSKESA-N 1 2 319.430 1.892 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@H+](CCOC)Cc1ccc(C#N)cc1 ZINC000063754400 184288126 /nfs/dbraw/zinc/28/81/26/184288126.db2.gz NGDCFQWIWZEKNQ-AWEZNQCLSA-N 1 2 303.406 1.921 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@@H+](CCOC)Cc1ccc(C#N)cc1 ZINC000063754400 184288128 /nfs/dbraw/zinc/28/81/28/184288128.db2.gz NGDCFQWIWZEKNQ-AWEZNQCLSA-N 1 2 303.406 1.921 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)c2csc(=O)[nH]2)CC1 ZINC000329903008 533096733 /nfs/dbraw/zinc/09/67/33/533096733.db2.gz IBISWINTVIZEAP-VIFPVBQESA-N 1 2 324.406 1.114 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000330688042 533138000 /nfs/dbraw/zinc/13/80/00/533138000.db2.gz NTVNZDKNYPKSCT-ZDUSSCGKSA-N 1 2 302.378 1.145 20 30 DDEDLO C#CCCCCNC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000155091798 197020971 /nfs/dbraw/zinc/02/09/71/197020971.db2.gz PBQJZGTWPCURDQ-UHFFFAOYSA-N 1 2 300.406 1.712 20 30 DDEDLO C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[NH+]1CC(O)(C2CC2)C1 ZINC000375709530 533170654 /nfs/dbraw/zinc/17/06/54/533170654.db2.gz ANSKXOATEWXUDL-UUCFBXCCSA-N 1 2 319.449 1.762 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@H+](C)CC(C)(C)C#N)CCCCC1 ZINC000433304208 533233813 /nfs/dbraw/zinc/23/38/13/533233813.db2.gz KKGYQBJOAPTWTO-UHFFFAOYSA-N 1 2 309.410 1.460 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@@H+](C)CC(C)(C)C#N)CCCCC1 ZINC000433304208 533233820 /nfs/dbraw/zinc/23/38/20/533233820.db2.gz KKGYQBJOAPTWTO-UHFFFAOYSA-N 1 2 309.410 1.460 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2cnn(C)c2)CC1 ZINC000121108835 195294191 /nfs/dbraw/zinc/29/41/91/195294191.db2.gz OSBKNKGBGVOSSW-UHFFFAOYSA-N 1 2 311.389 1.623 20 30 DDEDLO COC(=O)c1[nH]c(C)c(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)c1C ZINC000331128125 533650025 /nfs/dbraw/zinc/65/00/25/533650025.db2.gz FPWAKPKURQONPP-JTQLQIEISA-N 1 2 323.393 1.443 20 30 DDEDLO COC(=O)c1[nH]c(C)c(C(=O)NCC[N@H+]2CCOC[C@@H]2C)c1C ZINC000331128125 533650033 /nfs/dbraw/zinc/65/00/33/533650033.db2.gz FPWAKPKURQONPP-JTQLQIEISA-N 1 2 323.393 1.443 20 30 DDEDLO C[C@@H]1C[C@@H](O)C[N@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000452072446 533671909 /nfs/dbraw/zinc/67/19/09/533671909.db2.gz WTOZHQBGKHJELV-CHWSQXEVSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@@H]1C[C@@H](O)C[N@@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000452072446 533671914 /nfs/dbraw/zinc/67/19/14/533671914.db2.gz WTOZHQBGKHJELV-CHWSQXEVSA-N 1 2 319.430 1.943 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)C(C)(C)C ZINC000152105203 407563584 /nfs/dbraw/zinc/56/35/84/407563584.db2.gz WXBFSBJMHZHTOF-MRXNPFEDSA-N 1 2 309.410 1.458 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C(C)(C)C ZINC000152105203 407563589 /nfs/dbraw/zinc/56/35/89/407563589.db2.gz WXBFSBJMHZHTOF-MRXNPFEDSA-N 1 2 309.410 1.458 20 30 DDEDLO N#Cc1csc(C[NH2+][C@@H]2CCCN(CC(F)(F)F)C2=O)n1 ZINC000570204446 304401522 /nfs/dbraw/zinc/40/15/22/304401522.db2.gz FUMSHWBBFAJJON-SECBINFHSA-N 1 2 318.324 1.658 20 30 DDEDLO N#Cc1ccccc1N1CC[NH+]([C@H](C(N)=O)c2ccccc2)CC1 ZINC000073771578 406893954 /nfs/dbraw/zinc/89/39/54/406893954.db2.gz SFVKOAFFBSPMMD-SFHVURJKSA-N 1 2 320.396 1.907 20 30 DDEDLO CN(C)C(=O)CN1CCC[N@H+](Cc2ccc(C#N)cc2F)CC1 ZINC000029849339 406927926 /nfs/dbraw/zinc/92/79/26/406927926.db2.gz YZEKNAPXNRQXKN-UHFFFAOYSA-N 1 2 318.396 1.293 20 30 DDEDLO CN(C)C(=O)CN1CCC[N@@H+](Cc2ccc(C#N)cc2F)CC1 ZINC000029849339 406927927 /nfs/dbraw/zinc/92/79/27/406927927.db2.gz YZEKNAPXNRQXKN-UHFFFAOYSA-N 1 2 318.396 1.293 20 30 DDEDLO Cc1sc(NC(=O)C[NH+]2CCC(C(N)=O)CC2)c(C#N)c1C ZINC000078649338 407039097 /nfs/dbraw/zinc/03/90/97/407039097.db2.gz VYILJNKRYOSIOC-UHFFFAOYSA-N 1 2 320.418 1.372 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCCOc1ccccc1C ZINC000078670570 407039890 /nfs/dbraw/zinc/03/98/90/407039890.db2.gz BULCKHDUSGPJGI-UHFFFAOYSA-N 1 2 307.394 1.967 20 30 DDEDLO COc1ccc(C(N)=[NH+]O[C@@H](C)C(=O)NCC(F)(F)F)cc1 ZINC000078695775 407041081 /nfs/dbraw/zinc/04/10/81/407041081.db2.gz QOVVIHBTQFLGPZ-QMMMGPOBSA-N 1 2 319.283 1.399 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)c1ccc(C#N)c(Cl)c1 ZINC000077703327 407003202 /nfs/dbraw/zinc/00/32/02/407003202.db2.gz VELHPQPLTRPPRP-UHFFFAOYSA-N 1 2 324.793 1.766 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]2C(=O)N2CCSCC2)o1 ZINC000092672510 407191612 /nfs/dbraw/zinc/19/16/12/407191612.db2.gz UWUUDPYQVBZKLP-AWEZNQCLSA-N 1 2 305.403 1.691 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]2C(=O)N2CCSCC2)o1 ZINC000092672510 407191614 /nfs/dbraw/zinc/19/16/14/407191614.db2.gz UWUUDPYQVBZKLP-AWEZNQCLSA-N 1 2 305.403 1.691 20 30 DDEDLO C#CC[N@H+](Cc1cccc2cccnc21)[C@H]1CCS(=O)(=O)C1 ZINC000066538832 407254409 /nfs/dbraw/zinc/25/44/09/407254409.db2.gz LPQHCVANYVBOEI-INIZCTEOSA-N 1 2 314.410 1.857 20 30 DDEDLO C#CC[N@@H+](Cc1cccc2cccnc21)[C@H]1CCS(=O)(=O)C1 ZINC000066538832 407254410 /nfs/dbraw/zinc/25/44/10/407254410.db2.gz LPQHCVANYVBOEI-INIZCTEOSA-N 1 2 314.410 1.857 20 30 DDEDLO CC[N@@H+](CC(=O)NCc1ccc(COC)cc1)C[C@H](C)C#N ZINC000064249220 407237526 /nfs/dbraw/zinc/23/75/26/407237526.db2.gz UUFPWVYNUOYJRL-CQSZACIVSA-N 1 2 303.406 1.931 20 30 DDEDLO CC[N@H+](CC(=O)NCc1ccc(COC)cc1)C[C@H](C)C#N ZINC000064249220 407237528 /nfs/dbraw/zinc/23/75/28/407237528.db2.gz UUFPWVYNUOYJRL-CQSZACIVSA-N 1 2 303.406 1.931 20 30 DDEDLO Cn1cc[nH+]c1CN1C(=O)N[C@@](C)(c2ccc(C#N)cc2)C1=O ZINC000123515460 407330991 /nfs/dbraw/zinc/33/09/91/407330991.db2.gz BYAITVJFULINMX-INIZCTEOSA-N 1 2 309.329 1.259 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCc1ccncc1 ZINC000104348350 407351152 /nfs/dbraw/zinc/35/11/52/407351152.db2.gz VIUJFDQRXMJVOI-UHFFFAOYSA-N 1 2 316.405 1.089 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)/C=C/c2ccc(OCC#N)cc2)C1 ZINC000170683393 407474929 /nfs/dbraw/zinc/47/49/29/407474929.db2.gz QKADZOANZBFNFS-RRGWEWPQSA-N 1 2 315.373 1.049 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)/C=C/c2ccc(OCC#N)cc2)C1 ZINC000170683393 407474936 /nfs/dbraw/zinc/47/49/36/407474936.db2.gz QKADZOANZBFNFS-RRGWEWPQSA-N 1 2 315.373 1.049 20 30 DDEDLO N#Cc1ccc(CCC(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)cc1 ZINC000127611150 407445905 /nfs/dbraw/zinc/44/59/05/407445905.db2.gz ILMANTHXKOFYNY-KRWDZBQOSA-N 1 2 313.401 1.470 20 30 DDEDLO N#Cc1ccc(CCC(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)cc1 ZINC000127611150 407445916 /nfs/dbraw/zinc/44/59/16/407445916.db2.gz ILMANTHXKOFYNY-KRWDZBQOSA-N 1 2 313.401 1.470 20 30 DDEDLO C=CC[C@H](CO)NC(=O)[C@H](c1ccccc1)[NH+]1CCSCC1 ZINC000185883588 407529787 /nfs/dbraw/zinc/52/97/87/407529787.db2.gz FBDKNWDFVJDVIK-CVEARBPZSA-N 1 2 320.458 1.830 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccccn3)CC2)cn1 ZINC000115323351 407668148 /nfs/dbraw/zinc/66/81/48/407668148.db2.gz VUUALFAHNGSENS-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCC(O)(c2c(F)cccc2F)CC1 ZINC000186506888 407687010 /nfs/dbraw/zinc/68/70/10/407687010.db2.gz HFRPKXATBPUJFV-GFCCVEGCSA-N 1 2 322.355 1.386 20 30 DDEDLO CC(C)N(C)C(=O)[C@@H](C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000267026159 407722315 /nfs/dbraw/zinc/72/23/15/407722315.db2.gz LHHORPWSSHLGRY-CQSZACIVSA-N 1 2 315.421 1.331 20 30 DDEDLO COc1c(C)c[nH+]c(CN(C)C(=O)C2(C#N)CCOCC2)c1C ZINC000171764197 407761845 /nfs/dbraw/zinc/76/18/45/407761845.db2.gz AILXGDYZMIWZED-UHFFFAOYSA-N 1 2 317.389 1.986 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000132381201 407782625 /nfs/dbraw/zinc/78/26/25/407782625.db2.gz FBNOQPVFGOEHED-FUHWJXTLSA-N 1 2 315.417 1.942 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000132381201 407782632 /nfs/dbraw/zinc/78/26/32/407782632.db2.gz FBNOQPVFGOEHED-FUHWJXTLSA-N 1 2 315.417 1.942 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2ccc(C3(C#N)CC3)cc2)CC1 ZINC000180389533 407882989 /nfs/dbraw/zinc/88/29/89/407882989.db2.gz XESZJHSVRWSXNY-UHFFFAOYSA-N 1 2 313.401 1.646 20 30 DDEDLO C#CCSCCNC(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000153835729 407914377 /nfs/dbraw/zinc/91/43/77/407914377.db2.gz HOTABULVRFTKNO-ZIAGYGMSSA-N 1 2 306.435 1.842 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CC[NH+](C[C@@H](O)C(F)(F)F)CC1 ZINC000181762448 408037065 /nfs/dbraw/zinc/03/70/65/408037065.db2.gz YESKORIPGCLEAE-UONOGXRCSA-N 1 2 313.323 1.792 20 30 DDEDLO CNc1[nH+]cnc2c1cnn2CCOc1ccc(C#N)cc1OC ZINC000181837918 408044077 /nfs/dbraw/zinc/04/40/77/408044077.db2.gz IENIVZXTKJCNCZ-UHFFFAOYSA-N 1 2 324.344 1.827 20 30 DDEDLO C=CCCS(=O)(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000268538621 408089715 /nfs/dbraw/zinc/08/97/15/408089715.db2.gz KIDRKXRQUCGKGN-UHFFFAOYSA-N 1 2 324.446 1.514 20 30 DDEDLO CC(C)OCCN1CC[NH+](C[C@@H](O)c2ccc(C#N)cc2)CC1 ZINC000268605521 408117023 /nfs/dbraw/zinc/11/70/23/408117023.db2.gz JSZAKGNRAHMTTF-GOSISDBHSA-N 1 2 317.433 1.634 20 30 DDEDLO NC(CCO)=[NH+]OCc1nc(-c2cc(F)c(F)c(F)c2)no1 ZINC000121330258 408187556 /nfs/dbraw/zinc/18/75/56/408187556.db2.gz MKKRQQAABFNDFZ-UHFFFAOYSA-N 1 2 316.239 1.325 20 30 DDEDLO CCOC(=O)[C@H]1CCCCN1C(=O)C[N@H+](CCC#N)CC1CC1 ZINC000176255462 408323769 /nfs/dbraw/zinc/32/37/69/408323769.db2.gz RJXMGIFYHMZYAC-OAHLLOKOSA-N 1 2 321.421 1.556 20 30 DDEDLO CCOC(=O)[C@H]1CCCCN1C(=O)C[N@@H+](CCC#N)CC1CC1 ZINC000176255462 408323774 /nfs/dbraw/zinc/32/37/74/408323774.db2.gz RJXMGIFYHMZYAC-OAHLLOKOSA-N 1 2 321.421 1.556 20 30 DDEDLO C[C@@H]1C[N@H+](C[C@H](C#N)CCC#N)CCN1c1nccn2cnnc12 ZINC000269405812 408296508 /nfs/dbraw/zinc/29/65/08/408296508.db2.gz ZUEYEYXITFVABC-KGLIPLIRSA-N 1 2 324.392 1.078 20 30 DDEDLO C[C@@H]1C[N@@H+](C[C@H](C#N)CCC#N)CCN1c1nccn2cnnc12 ZINC000269405812 408296512 /nfs/dbraw/zinc/29/65/12/408296512.db2.gz ZUEYEYXITFVABC-KGLIPLIRSA-N 1 2 324.392 1.078 20 30 DDEDLO NC(=[NH+]OCc1noc(C2CC2)n1)c1ccc2c(c1)CCO2 ZINC000158235358 408333284 /nfs/dbraw/zinc/33/32/84/408333284.db2.gz QDHUHQVRWIVZIB-UHFFFAOYSA-N 1 2 300.318 1.719 20 30 DDEDLO N#Cc1c(N)n[nH]c1CCCNc1cc(NCC2CC2)nc[nH+]1 ZINC000270328871 408489579 /nfs/dbraw/zinc/48/95/79/408489579.db2.gz ZBYPKTPJYOHILH-UHFFFAOYSA-N 1 2 312.381 1.520 20 30 DDEDLO N#Cc1c(N)n[nH]c1CCCNc1cc(NCC2CC2)[nH+]cn1 ZINC000270328871 408489584 /nfs/dbraw/zinc/48/95/84/408489584.db2.gz ZBYPKTPJYOHILH-UHFFFAOYSA-N 1 2 312.381 1.520 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(C(=O)N[C@H]2COc3ccccc32)CC1 ZINC000274701288 408500755 /nfs/dbraw/zinc/50/07/55/408500755.db2.gz QILTVBFYPYQMRG-AWEZNQCLSA-N 1 2 314.389 1.749 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000274742403 408516908 /nfs/dbraw/zinc/51/69/08/408516908.db2.gz GIDYPVASKBQGDC-MRXNPFEDSA-N 1 2 324.446 1.383 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000274742403 408516911 /nfs/dbraw/zinc/51/69/11/408516911.db2.gz GIDYPVASKBQGDC-MRXNPFEDSA-N 1 2 324.446 1.383 20 30 DDEDLO NC(COc1cc(F)cc(F)c1)=[NH+]OCCC1OCCCO1 ZINC000275580123 408608132 /nfs/dbraw/zinc/60/81/32/408608132.db2.gz YZWLQUYDAAHRCL-UHFFFAOYSA-N 1 2 316.304 1.786 20 30 DDEDLO C[C@@H]1[C@@H](C)[S@@](=O)CC[N@@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000265670069 408741180 /nfs/dbraw/zinc/74/11/80/408741180.db2.gz ZJLZTOUEQFQSTI-VJBOLBCHSA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1[C@@H](C)[S@@](=O)CC[N@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000265670069 408741183 /nfs/dbraw/zinc/74/11/83/408741183.db2.gz ZJLZTOUEQFQSTI-VJBOLBCHSA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H](C[S@@](C)=O)[N@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000185115373 408761961 /nfs/dbraw/zinc/76/19/61/408761961.db2.gz OOUPDQDDXKCLKY-YEJXKQKISA-N 1 2 313.467 1.370 20 30 DDEDLO C[C@@H](C[S@@](C)=O)[N@@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000185115373 408761966 /nfs/dbraw/zinc/76/19/66/408761966.db2.gz OOUPDQDDXKCLKY-YEJXKQKISA-N 1 2 313.467 1.370 20 30 DDEDLO C#CCC[NH+]1CCN([C@@H](C)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000276122482 408822090 /nfs/dbraw/zinc/82/20/90/408822090.db2.gz ZAJTZDPJGFMTOV-HNNXBMFYSA-N 1 2 320.458 1.792 20 30 DDEDLO C[N@H+](Cc1cc(C#N)ccc1Br)[C@@H]1COC[C@H]1O ZINC000286062382 408916688 /nfs/dbraw/zinc/91/66/88/408916688.db2.gz RMTLPOGFEAGTCZ-CHWSQXEVSA-N 1 2 311.179 1.512 20 30 DDEDLO C[N@@H+](Cc1cc(C#N)ccc1Br)[C@@H]1COC[C@H]1O ZINC000286062382 408916690 /nfs/dbraw/zinc/91/66/90/408916690.db2.gz RMTLPOGFEAGTCZ-CHWSQXEVSA-N 1 2 311.179 1.512 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000292252615 409010610 /nfs/dbraw/zinc/01/06/10/409010610.db2.gz QXPDJMGNLADEKV-CQSZACIVSA-N 1 2 323.418 1.033 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000292252615 409010612 /nfs/dbraw/zinc/01/06/12/409010612.db2.gz QXPDJMGNLADEKV-CQSZACIVSA-N 1 2 323.418 1.033 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[NH+]1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC000277801402 409013611 /nfs/dbraw/zinc/01/36/11/409013611.db2.gz PIHUPMITOXMDAZ-LBPRGKRZSA-N 1 2 320.824 1.810 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1ccc(F)c(C#N)c1 ZINC000287286557 409013729 /nfs/dbraw/zinc/01/37/29/409013729.db2.gz ZFGGDAGSZDXULT-UHFFFAOYSA-N 1 2 319.380 1.880 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1ccc(F)c(C#N)c1 ZINC000287286557 409013730 /nfs/dbraw/zinc/01/37/30/409013730.db2.gz ZFGGDAGSZDXULT-UHFFFAOYSA-N 1 2 319.380 1.880 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2sc(C)cc2C)CC1 ZINC000292538229 409036571 /nfs/dbraw/zinc/03/65/71/409036571.db2.gz WGDFQMGHHSSBPX-UHFFFAOYSA-N 1 2 312.460 1.695 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)[C@H](C#N)c2nc3ccccc3c(=O)[nH]2)[C@H]1C ZINC000292980967 409047268 /nfs/dbraw/zinc/04/72/68/409047268.db2.gz LGHFLPCKEYVHQP-MDZLAQPJSA-N 1 2 310.357 1.852 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)[C@H](C#N)c2nc3ccccc3c(=O)[nH]2)[C@H]1C ZINC000292980967 409047271 /nfs/dbraw/zinc/04/72/71/409047271.db2.gz LGHFLPCKEYVHQP-MDZLAQPJSA-N 1 2 310.357 1.852 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)[C@@H](C#N)c2nc3ccccc3c(=O)[nH]2)[C@H]1C ZINC000292980971 409047859 /nfs/dbraw/zinc/04/78/59/409047859.db2.gz LGHFLPCKEYVHQP-NTZNESFSSA-N 1 2 310.357 1.852 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)[C@@H](C#N)c2nc3ccccc3c(=O)[nH]2)[C@H]1C ZINC000292980971 409047861 /nfs/dbraw/zinc/04/78/61/409047861.db2.gz LGHFLPCKEYVHQP-NTZNESFSSA-N 1 2 310.357 1.852 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCc2nnc3n2CCCC3)cs1 ZINC000278272761 409076957 /nfs/dbraw/zinc/07/69/57/409076957.db2.gz PDVCFQKLZJFYNK-UHFFFAOYSA-N 1 2 306.395 1.411 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1c(F)cc(C#N)cc1F ZINC000279055992 409140769 /nfs/dbraw/zinc/14/07/69/409140769.db2.gz CSWJUGRHVRSUHB-LLVKDONJSA-N 1 2 323.343 1.629 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2cc(C#N)ccn2)CC1 ZINC000283459537 409160641 /nfs/dbraw/zinc/16/06/41/409160641.db2.gz OJDAEZZZAPRWFI-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N2CC[NH+](C3CC3)CC2)cc1 ZINC000279549825 409236067 /nfs/dbraw/zinc/23/60/67/409236067.db2.gz NZDCNEQRVPMKCU-MRXNPFEDSA-N 1 2 308.385 1.547 20 30 DDEDLO C=CCCCNC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000280047792 409255473 /nfs/dbraw/zinc/25/54/73/409255473.db2.gz KIFZCWUJIGJGCL-UHFFFAOYSA-N 1 2 304.394 1.684 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000290020486 409292120 /nfs/dbraw/zinc/29/21/20/409292120.db2.gz VASIPVFZPPBGCS-ZFWWWQNUSA-N 1 2 323.828 1.838 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000290020486 409292124 /nfs/dbraw/zinc/29/21/24/409292124.db2.gz VASIPVFZPPBGCS-ZFWWWQNUSA-N 1 2 323.828 1.838 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)NCC[N@H+]1CC=CCC1 ZINC000280192611 409267517 /nfs/dbraw/zinc/26/75/17/409267517.db2.gz IXJMKFDCHOUTHM-UHFFFAOYSA-N 1 2 305.403 1.407 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)NCC[N@@H+]1CC=CCC1 ZINC000280192611 409267521 /nfs/dbraw/zinc/26/75/21/409267521.db2.gz IXJMKFDCHOUTHM-UHFFFAOYSA-N 1 2 305.403 1.407 20 30 DDEDLO Cc1c[nH]nc1C[NH2+][C@H]1CCN(Cc2ccc(C#N)cc2)C1=O ZINC000289430486 409269883 /nfs/dbraw/zinc/26/98/83/409269883.db2.gz DPRRBQQGIXPPPF-HNNXBMFYSA-N 1 2 309.373 1.481 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000295181525 409360591 /nfs/dbraw/zinc/36/05/91/409360591.db2.gz PSVWVKRHAVNSLK-ZDUSSCGKSA-N 1 2 322.434 1.520 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000280544835 409352120 /nfs/dbraw/zinc/35/21/20/409352120.db2.gz DEKOTLATZLSPTN-ZDUSSCGKSA-N 1 2 303.362 1.397 20 30 DDEDLO Cc1noc([C@@H]2C[N@H+](Cc3cc(C#N)n(C)c3)CCN2C)n1 ZINC000285472950 409458229 /nfs/dbraw/zinc/45/82/29/409458229.db2.gz LCEQYAQNBFLFSY-AWEZNQCLSA-N 1 2 300.366 1.077 20 30 DDEDLO Cc1noc([C@@H]2C[N@@H+](Cc3cc(C#N)n(C)c3)CCN2C)n1 ZINC000285472950 409458231 /nfs/dbraw/zinc/45/82/31/409458231.db2.gz LCEQYAQNBFLFSY-AWEZNQCLSA-N 1 2 300.366 1.077 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[N@@H+](C)[C@@H](C)[C@H]1C ZINC000408085061 164222717 /nfs/dbraw/zinc/22/27/17/164222717.db2.gz WIUVOUUDATWOAO-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[N@H+](C)[C@@H](C)[C@H]1C ZINC000408085061 164222718 /nfs/dbraw/zinc/22/27/18/164222718.db2.gz WIUVOUUDATWOAO-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO COc1cc(CNC(=O)N[C@H](C)Cn2cc[nH+]c2)ccc1C#N ZINC000357065259 409832313 /nfs/dbraw/zinc/83/23/13/409832313.db2.gz JYMBMYYBMVMDQE-GFCCVEGCSA-N 1 2 313.361 1.651 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000297118812 409813303 /nfs/dbraw/zinc/81/33/03/409813303.db2.gz MFZPJJDUFDEUEW-RLCCDNCMSA-N 1 2 322.355 1.865 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000297118812 409813308 /nfs/dbraw/zinc/81/33/08/409813308.db2.gz MFZPJJDUFDEUEW-RLCCDNCMSA-N 1 2 322.355 1.865 20 30 DDEDLO C[C@H](CNC(=O)C[C@@H]1CCCCC(=O)N1)[NH+]1CCN(C)CC1 ZINC000328684390 409952256 /nfs/dbraw/zinc/95/22/56/409952256.db2.gz WTEJLWDHMBSHMH-KGLIPLIRSA-N 1 2 310.442 1.868 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NCc1[nH+]ccn1CC(C)C ZINC000357250288 409953353 /nfs/dbraw/zinc/95/33/53/409953353.db2.gz YICINXOJFZLUIF-UHFFFAOYSA-N 1 2 306.410 1.628 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)NCCc1nc(C)no1)CCC2 ZINC000328688565 409954919 /nfs/dbraw/zinc/95/49/19/409954919.db2.gz CRJZXPRORODVHE-GFCCVEGCSA-N 1 2 318.381 1.507 20 30 DDEDLO CC1(C)SC[C@H]1NC(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000328762932 409971876 /nfs/dbraw/zinc/97/18/76/409971876.db2.gz ZQFHSZMFLLAVCC-LLVKDONJSA-N 1 2 301.456 1.495 20 30 DDEDLO CC1(C)SC[C@H]1NC(=O)NCC[N@H+]1CCOCC1(C)C ZINC000328762932 409971884 /nfs/dbraw/zinc/97/18/84/409971884.db2.gz ZQFHSZMFLLAVCC-LLVKDONJSA-N 1 2 301.456 1.495 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)N[C@@H]1C=C[C@H](CO)C1)CCC2 ZINC000328663134 409948580 /nfs/dbraw/zinc/94/85/80/409948580.db2.gz XTBWPZZLPCTMMI-BFHYXJOUSA-N 1 2 304.394 1.510 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)NCC1(O)CCOCC1)CCC2 ZINC000328658274 409949612 /nfs/dbraw/zinc/94/96/12/409949612.db2.gz QTGFTMCIMNAOAX-CYBMUJFWSA-N 1 2 322.409 1.114 20 30 DDEDLO COC(=O)C(C)(C)N1CC[NH+](Cc2ccccc2C#N)CC1 ZINC000346532106 410011307 /nfs/dbraw/zinc/01/13/07/410011307.db2.gz SOGQLKWNRZZMSK-UHFFFAOYSA-N 1 2 301.390 1.627 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1C[C@@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000351565773 410090676 /nfs/dbraw/zinc/09/06/76/410090676.db2.gz XZUHCQUOMORZKB-HUUCEWRRSA-N 1 2 308.401 1.805 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1C[C@@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000351565773 410090681 /nfs/dbraw/zinc/09/06/81/410090681.db2.gz XZUHCQUOMORZKB-HUUCEWRRSA-N 1 2 308.401 1.805 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@H+](C)CC(=O)N(C)C ZINC000298071796 410101716 /nfs/dbraw/zinc/10/17/16/410101716.db2.gz IFXBLWXUNQODEP-CYBMUJFWSA-N 1 2 323.462 1.671 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@@H+](C)CC(=O)N(C)C ZINC000298071796 410101723 /nfs/dbraw/zinc/10/17/23/410101723.db2.gz IFXBLWXUNQODEP-CYBMUJFWSA-N 1 2 323.462 1.671 20 30 DDEDLO Cn1[nH]c(C[NH+]2CCC(NC(=O)c3ccsc3)CC2)nc1=O ZINC000329294502 410218628 /nfs/dbraw/zinc/21/86/28/410218628.db2.gz RWZRLNMELBPMDM-UHFFFAOYSA-N 1 2 321.406 1.139 20 30 DDEDLO CCOC(=O)COCC[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000351715408 410177543 /nfs/dbraw/zinc/17/75/43/410177543.db2.gz JLAJHZMSIHTYBX-MRXNPFEDSA-N 1 2 318.373 1.511 20 30 DDEDLO CCOC(=O)COCC[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000351715408 410177549 /nfs/dbraw/zinc/17/75/49/410177549.db2.gz JLAJHZMSIHTYBX-MRXNPFEDSA-N 1 2 318.373 1.511 20 30 DDEDLO CCc1cc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)[nH]n1 ZINC000298323572 410178846 /nfs/dbraw/zinc/17/88/46/410178846.db2.gz XBBNAKRLMUKMFF-UHFFFAOYSA-N 1 2 323.400 1.802 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)N1CCOCC2(CC2)C1 ZINC000329263350 410201313 /nfs/dbraw/zinc/20/13/13/410201313.db2.gz BPVIWYWXYDWAJT-UHFFFAOYSA-N 1 2 311.426 1.124 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)N1CCOCC2(CC2)C1 ZINC000329263350 410201319 /nfs/dbraw/zinc/20/13/19/410201319.db2.gz BPVIWYWXYDWAJT-UHFFFAOYSA-N 1 2 311.426 1.124 20 30 DDEDLO Cn1ccnc(NC(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)c1=O ZINC000329406908 410281500 /nfs/dbraw/zinc/28/15/00/410281500.db2.gz CWLUUFHJCKITTA-JTQLQIEISA-N 1 2 302.338 1.276 20 30 DDEDLO CCOC(=O)C1([N@H+](C)CC(=O)N(CC)CCC#N)CCCCC1 ZINC000357951347 410329749 /nfs/dbraw/zinc/32/97/49/410329749.db2.gz ZIGPJOGYBWDNJE-UHFFFAOYSA-N 1 2 323.437 1.946 20 30 DDEDLO CCOC(=O)C1([N@@H+](C)CC(=O)N(CC)CCC#N)CCCCC1 ZINC000357951347 410329755 /nfs/dbraw/zinc/32/97/55/410329755.db2.gz ZIGPJOGYBWDNJE-UHFFFAOYSA-N 1 2 323.437 1.946 20 30 DDEDLO C[C@@H](C#N)C[N@H+](CCC(=O)NCC(=O)c1ccccc1)C1CC1 ZINC000358026740 410341878 /nfs/dbraw/zinc/34/18/78/410341878.db2.gz BUOVFFDXOANYTR-AWEZNQCLSA-N 1 2 313.401 2.000 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](CCC(=O)NCC(=O)c1ccccc1)C1CC1 ZINC000358026740 410341886 /nfs/dbraw/zinc/34/18/86/410341886.db2.gz BUOVFFDXOANYTR-AWEZNQCLSA-N 1 2 313.401 2.000 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1COCC[N@@H+]1CC1CCC1)C(=O)N1CCCC1 ZINC000329525422 410344957 /nfs/dbraw/zinc/34/49/57/410344957.db2.gz SORTXVMEJDADKQ-ZFWWWQNUSA-N 1 2 323.437 1.455 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1COCC[N@H+]1CC1CCC1)C(=O)N1CCCC1 ZINC000329525422 410344961 /nfs/dbraw/zinc/34/49/61/410344961.db2.gz SORTXVMEJDADKQ-ZFWWWQNUSA-N 1 2 323.437 1.455 20 30 DDEDLO C#CCNC(=O)c1ccc(N2CC[NH+](Cc3ccco3)CC2)nc1 ZINC000298690948 410350438 /nfs/dbraw/zinc/35/04/38/410350438.db2.gz BOTJNMWBYDTTAW-UHFFFAOYSA-N 1 2 324.384 1.360 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CCOCC3(CC3)C2)c[nH+]1 ZINC000329432666 410297212 /nfs/dbraw/zinc/29/72/12/410297212.db2.gz IBBXQAJOSNOYGU-UHFFFAOYSA-N 1 2 304.394 1.674 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2nc3c(cc2C#N)CCC3)CC1 ZINC000298708378 410359838 /nfs/dbraw/zinc/35/98/38/410359838.db2.gz GZRMQVBREGHVCN-UHFFFAOYSA-N 1 2 313.405 1.018 20 30 DDEDLO CC1CCC(NC(=O)C[N@H+](C)C[C@H]2CCS(=O)(=O)C2)CC1 ZINC000329567503 410364340 /nfs/dbraw/zinc/36/43/40/410364340.db2.gz LEUTZMZJSZJYTG-ROKHWSDSSA-N 1 2 316.467 1.888 20 30 DDEDLO CC1CCC(NC(=O)C[N@@H+](C)C[C@H]2CCS(=O)(=O)C2)CC1 ZINC000329567503 410364346 /nfs/dbraw/zinc/36/43/46/410364346.db2.gz LEUTZMZJSZJYTG-ROKHWSDSSA-N 1 2 316.467 1.888 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@@H]([NH+]=C([O-])N1CCC[C@@H]1CO)C2 ZINC000329591533 410374147 /nfs/dbraw/zinc/37/41/47/410374147.db2.gz YZZGNRSCFNPVTB-CHWSQXEVSA-N 1 2 320.437 1.866 20 30 DDEDLO O=C(C[N@@H+]1CCC2(C1)Oc1ccccc1O2)NC(=O)NC1CC1 ZINC000329621865 410388689 /nfs/dbraw/zinc/38/86/89/410388689.db2.gz FSMKDSWGKVAPPS-UHFFFAOYSA-N 1 2 317.345 1.893 20 30 DDEDLO O=C(C[N@H+]1CCC2(C1)Oc1ccccc1O2)NC(=O)NC1CC1 ZINC000329621865 410388697 /nfs/dbraw/zinc/38/86/97/410388697.db2.gz FSMKDSWGKVAPPS-UHFFFAOYSA-N 1 2 317.345 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC000355232815 410391446 /nfs/dbraw/zinc/39/14/46/410391446.db2.gz HJBQIIZPPKBPGI-INIZCTEOSA-N 1 2 318.442 1.867 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC000355232815 410391452 /nfs/dbraw/zinc/39/14/52/410391452.db2.gz HJBQIIZPPKBPGI-INIZCTEOSA-N 1 2 318.442 1.867 20 30 DDEDLO N#Cc1ccsc1NC(=O)C(=O)NCCNc1cccc[nH+]1 ZINC000339843224 410495485 /nfs/dbraw/zinc/49/54/85/410495485.db2.gz HFYHSZFIULOMIY-UHFFFAOYSA-N 1 2 315.358 1.182 20 30 DDEDLO C[C@@H]1CN(C([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)C[C@H](C2CC2)O1 ZINC000329966392 410499882 /nfs/dbraw/zinc/49/98/82/410499882.db2.gz VNQUKTPINRODIR-MRVWCRGKSA-N 1 2 304.394 1.611 20 30 DDEDLO CCn1cc(C#N)c(=O)n(Cc2ccc3[nH]c(C)[nH+]c3c2)c1=O ZINC000358854069 410536463 /nfs/dbraw/zinc/53/64/63/410536463.db2.gz OHVOOHSFBKOFKJ-UHFFFAOYSA-N 1 2 309.329 1.135 20 30 DDEDLO CCn1cc(C#N)c(=O)n(Cc2ccc3[nH+]c(C)[nH]c3c2)c1=O ZINC000358854069 410536469 /nfs/dbraw/zinc/53/64/69/410536469.db2.gz OHVOOHSFBKOFKJ-UHFFFAOYSA-N 1 2 309.329 1.135 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1cc(C#N)cc(N(C)C)c1 ZINC000339954412 410575758 /nfs/dbraw/zinc/57/57/58/410575758.db2.gz FACYQFUSESNVKB-CYBMUJFWSA-N 1 2 316.405 1.075 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1cc(C#N)cc(N(C)C)c1 ZINC000339954412 410575762 /nfs/dbraw/zinc/57/57/62/410575762.db2.gz FACYQFUSESNVKB-CYBMUJFWSA-N 1 2 316.405 1.075 20 30 DDEDLO N#Cc1csc(C[NH2+]Cc2cccc(OCC(N)=O)c2)n1 ZINC000352843078 410682429 /nfs/dbraw/zinc/68/24/29/410682429.db2.gz QIUCYNGZHNUOJS-UHFFFAOYSA-N 1 2 302.359 1.169 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)C[C@H](CC#N)c2ccccc2)C1 ZINC000355979289 410760669 /nfs/dbraw/zinc/76/06/69/410760669.db2.gz DDMHGCLNOOONNY-IRXDYDNUSA-N 1 2 314.433 1.436 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)C[C@H](CC#N)c2ccccc2)C1 ZINC000355979289 410760679 /nfs/dbraw/zinc/76/06/79/410760679.db2.gz DDMHGCLNOOONNY-IRXDYDNUSA-N 1 2 314.433 1.436 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)CNc1cccc(C#N)c1)C1CC1 ZINC000341713973 411049541 /nfs/dbraw/zinc/04/95/41/411049541.db2.gz PZHNUBSLGZAQLY-INIZCTEOSA-N 1 2 309.373 1.971 20 30 DDEDLO C[C@@H](C(=O)NCC[N@@H+]1CCOC[C@H]1C)c1cccc(C#N)c1 ZINC000360162241 411084316 /nfs/dbraw/zinc/08/43/16/411084316.db2.gz MDAIJXKAHGMOHP-ZIAGYGMSSA-N 1 2 301.390 1.499 20 30 DDEDLO C[C@@H](C(=O)NCC[N@H+]1CCOC[C@H]1C)c1cccc(C#N)c1 ZINC000360162241 411084321 /nfs/dbraw/zinc/08/43/21/411084321.db2.gz MDAIJXKAHGMOHP-ZIAGYGMSSA-N 1 2 301.390 1.499 20 30 DDEDLO CN(C)c1noc([C@H]2CCC[N@H+](Cc3nc(C#N)cs3)C2)n1 ZINC000356432913 411029736 /nfs/dbraw/zinc/02/97/36/411029736.db2.gz UUEDVLOCSVFKNJ-JTQLQIEISA-N 1 2 318.406 1.843 20 30 DDEDLO CN(C)c1noc([C@H]2CCC[N@@H+](Cc3nc(C#N)cs3)C2)n1 ZINC000356432913 411029744 /nfs/dbraw/zinc/02/97/44/411029744.db2.gz UUEDVLOCSVFKNJ-JTQLQIEISA-N 1 2 318.406 1.843 20 30 DDEDLO CC(C)OC(=O)[C@H](C)[N@H+](C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000129671650 196067069 /nfs/dbraw/zinc/06/70/69/196067069.db2.gz MHGWGWAPJLGKMF-ZFWWWQNUSA-N 1 2 320.389 1.570 20 30 DDEDLO CC(C)OC(=O)[C@H](C)[N@@H+](C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000129671650 196067071 /nfs/dbraw/zinc/06/70/71/196067071.db2.gz MHGWGWAPJLGKMF-ZFWWWQNUSA-N 1 2 320.389 1.570 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@H+]2CCOC[C@@H]2C)ncc1C#N ZINC000603119017 416631835 /nfs/dbraw/zinc/63/18/35/416631835.db2.gz UQJUJMZHFQVQQT-LBPRGKRZSA-N 1 2 303.366 1.104 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@@H+]2CCOC[C@@H]2C)ncc1C#N ZINC000603119017 416631840 /nfs/dbraw/zinc/63/18/40/416631840.db2.gz UQJUJMZHFQVQQT-LBPRGKRZSA-N 1 2 303.366 1.104 20 30 DDEDLO Cc1cc(NC(=O)NCC(C)(C)[NH+]2CCOCC2)ncc1C#N ZINC000603117852 416632880 /nfs/dbraw/zinc/63/28/80/416632880.db2.gz CVVOMAINHVBZFH-UHFFFAOYSA-N 1 2 317.393 1.494 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)NCCc2cn3c([nH+]2)CCCC3)n1 ZINC000610561978 416658780 /nfs/dbraw/zinc/65/87/80/416658780.db2.gz UJFJCZGBXSANDH-UHFFFAOYSA-N 1 2 313.365 1.189 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC000366737589 418510908 /nfs/dbraw/zinc/51/09/08/418510908.db2.gz HYNYWLLITWXAJM-UHFFFAOYSA-N 1 2 307.419 1.264 20 30 DDEDLO C=C(C)CS(=O)(=O)NCc1ccc(Cn2cc[nH+]c2)cc1 ZINC000184389574 221938039 /nfs/dbraw/zinc/93/80/39/221938039.db2.gz IMQBSWDTAVDGDJ-UHFFFAOYSA-N 1 2 305.403 1.927 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000374355337 418521770 /nfs/dbraw/zinc/52/17/70/418521770.db2.gz FYBIQNMNVJKYCP-OAHLLOKOSA-N 1 2 320.356 1.813 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)C1([NH+]2CCOCC2)CCCC1 ZINC000373886708 418468952 /nfs/dbraw/zinc/46/89/52/418468952.db2.gz FUHGYWVNVWXFOX-JKSUJKDBSA-N 1 2 322.449 1.729 20 30 DDEDLO CC(C)c1nnc2n1CC[N@@H+](CCC(=O)N(C)CCC#N)[C@H]2C ZINC000189506566 222046516 /nfs/dbraw/zinc/04/65/16/222046516.db2.gz BLZQBDWPLDWISR-ZDUSSCGKSA-N 1 2 318.425 1.540 20 30 DDEDLO CC(C)c1nnc2n1CC[N@H+](CCC(=O)N(C)CCC#N)[C@H]2C ZINC000189506566 222046518 /nfs/dbraw/zinc/04/65/18/222046518.db2.gz BLZQBDWPLDWISR-ZDUSSCGKSA-N 1 2 318.425 1.540 20 30 DDEDLO CCO[C@H]1C[C@@]([NH2+]CCCSCC#N)(C(=O)OC)C1(C)C ZINC000189863781 222059037 /nfs/dbraw/zinc/05/90/37/222059037.db2.gz DKSWCXWBXFDOGK-SWLSCSKDSA-N 1 2 314.451 1.970 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)CC1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000249135727 222238818 /nfs/dbraw/zinc/23/88/18/222238818.db2.gz VJOGYRIJAXFZPL-LSDHHAIUSA-N 1 2 301.452 1.603 20 30 DDEDLO C=CC[N@H+](C[C@H](O)CC1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000249135727 222238822 /nfs/dbraw/zinc/23/88/22/222238822.db2.gz VJOGYRIJAXFZPL-LSDHHAIUSA-N 1 2 301.452 1.603 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)c1csc(NC2CC2)n1)[NH+]1CCOCC1 ZINC000330269358 418611103 /nfs/dbraw/zinc/61/11/03/418611103.db2.gz RXVCBBQBFHIQDX-WDEREUQCSA-N 1 2 324.450 2.131 20 30 DDEDLO COc1cc(C[NH+]2CC(n3cc([C@H](C)O)nn3)C2)ccc1C#N ZINC000375583288 418658206 /nfs/dbraw/zinc/65/82/06/418658206.db2.gz NNYFOCGYWJTZSL-NSHDSACASA-N 1 2 313.361 1.269 20 30 DDEDLO Cc1nc([C@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)no1 ZINC000375766550 418682800 /nfs/dbraw/zinc/68/28/00/418682800.db2.gz WJAINNBWMQLPIH-XJKSGUPXSA-N 1 2 319.409 1.612 20 30 DDEDLO Cc1nc([C@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)no1 ZINC000375766550 418682803 /nfs/dbraw/zinc/68/28/03/418682803.db2.gz WJAINNBWMQLPIH-XJKSGUPXSA-N 1 2 319.409 1.612 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)ccc1F ZINC000376102820 418692578 /nfs/dbraw/zinc/69/25/78/418692578.db2.gz KCBNYFAZPHLUIA-AWEZNQCLSA-N 1 2 303.337 1.244 20 30 DDEDLO C[N@@H+]1CCc2ncnc(N3CC(Oc4ccc(C#N)cc4)C3)c2C1 ZINC000368119814 418692790 /nfs/dbraw/zinc/69/27/90/418692790.db2.gz YMJLDIYMCNKLIY-UHFFFAOYSA-N 1 2 321.384 1.604 20 30 DDEDLO C[N@H+]1CCc2ncnc(N3CC(Oc4ccc(C#N)cc4)C3)c2C1 ZINC000368119814 418692793 /nfs/dbraw/zinc/69/27/93/418692793.db2.gz YMJLDIYMCNKLIY-UHFFFAOYSA-N 1 2 321.384 1.604 20 30 DDEDLO Cn1ccc(/C=C/C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000276844944 222509363 /nfs/dbraw/zinc/50/93/63/222509363.db2.gz CTUZBRSQVOYOSQ-ONEGZZNKSA-N 1 2 316.405 1.113 20 30 DDEDLO C#CCNC(=O)C1CCN(c2cccc(-n3cc[nH+]c3)n2)CC1 ZINC000370746367 418747395 /nfs/dbraw/zinc/74/73/95/418747395.db2.gz BDPMOLQTMMMGBZ-UHFFFAOYSA-N 1 2 309.373 1.233 20 30 DDEDLO O=C1CO[C@@H]2CC[N@@H+](CC#Cc3cccc(Cl)c3)C[C@H]2N1 ZINC000370712219 418747557 /nfs/dbraw/zinc/74/75/57/418747557.db2.gz ZUBWNXRZSZFASL-HUUCEWRRSA-N 1 2 304.777 1.281 20 30 DDEDLO O=C1CO[C@@H]2CC[N@H+](CC#Cc3cccc(Cl)c3)C[C@H]2N1 ZINC000370712219 418747558 /nfs/dbraw/zinc/74/75/58/418747558.db2.gz ZUBWNXRZSZFASL-HUUCEWRRSA-N 1 2 304.777 1.281 20 30 DDEDLO N#CCOc1ccc(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)cc1 ZINC000363163629 418763080 /nfs/dbraw/zinc/76/30/80/418763080.db2.gz AAYKCSMZTVNYOW-CQSZACIVSA-N 1 2 310.357 1.664 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)[C@@]2(C#N)CCc3ccccc3C2)CC1 ZINC000378454005 418723607 /nfs/dbraw/zinc/72/36/07/418723607.db2.gz NEXAPUWHZLAHTF-FQEVSTJZSA-N 1 2 321.424 1.853 20 30 DDEDLO C#CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC000364680391 418799929 /nfs/dbraw/zinc/79/99/29/418799929.db2.gz XSFFREBGYMMBHW-UHFFFAOYSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC000364680391 418799931 /nfs/dbraw/zinc/79/99/31/418799931.db2.gz XSFFREBGYMMBHW-UHFFFAOYSA-N 1 2 321.465 1.485 20 30 DDEDLO Cc1cc(N2CCC([C@@H](O)C(=O)NC3CC3)CC2)c(C#N)c[nH+]1 ZINC000425249627 228399327 /nfs/dbraw/zinc/39/93/27/228399327.db2.gz BPPCWWGFSGXJOF-MRXNPFEDSA-N 1 2 314.389 1.118 20 30 DDEDLO Cc1c[nH]c(-c2ccccc2NC(=O)Cn2cnc(C#N)n2)[nH+]1 ZINC000427195005 419618953 /nfs/dbraw/zinc/61/89/53/419618953.db2.gz ZJEYLVHGKZSGPQ-UHFFFAOYSA-N 1 2 307.317 1.487 20 30 DDEDLO C[C@H]1[C@H](CO)CCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000412089022 419642306 /nfs/dbraw/zinc/64/23/06/419642306.db2.gz PPXNDRATDGGYGA-ZFWWWQNUSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H]1[C@H](CO)CCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000412089022 419642313 /nfs/dbraw/zinc/64/23/13/419642313.db2.gz PPXNDRATDGGYGA-ZFWWWQNUSA-N 1 2 322.430 1.425 20 30 DDEDLO CC[C@@H]1CN(S(=O)(=O)[C@@H](C)C#N)CC[N@@H+]1Cc1ccccc1 ZINC000416461463 420381497 /nfs/dbraw/zinc/38/14/97/420381497.db2.gz ZHDIXBHQIXVACR-GOEBONIOSA-N 1 2 321.446 1.825 20 30 DDEDLO CC[C@@H]1CN(S(=O)(=O)[C@@H](C)C#N)CC[N@H+]1Cc1ccccc1 ZINC000416461463 420381499 /nfs/dbraw/zinc/38/14/99/420381499.db2.gz ZHDIXBHQIXVACR-GOEBONIOSA-N 1 2 321.446 1.825 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](C[C@@H]3COCCO3)CC2)c(Cl)c1 ZINC000450864862 420547416 /nfs/dbraw/zinc/54/74/16/420547416.db2.gz UZOJYBMKZMVSMN-CQSZACIVSA-N 1 2 321.808 1.749 20 30 DDEDLO C=CCOc1cccc(NC(=O)C(=O)N2CC[N@@H+](C)C[C@H]2C)c1 ZINC000440268609 420566788 /nfs/dbraw/zinc/56/67/88/420566788.db2.gz PMAURKSUFVVNLP-CYBMUJFWSA-N 1 2 317.389 1.352 20 30 DDEDLO C=CCOc1cccc(NC(=O)C(=O)N2CC[N@H+](C)C[C@H]2C)c1 ZINC000440268609 420566795 /nfs/dbraw/zinc/56/67/95/420566795.db2.gz PMAURKSUFVVNLP-CYBMUJFWSA-N 1 2 317.389 1.352 20 30 DDEDLO N#CCC1(CS(=O)(=O)NCc2cn3ccccc3[nH+]2)CC1 ZINC000450987501 420577033 /nfs/dbraw/zinc/57/70/33/420577033.db2.gz WMESSJIQKVDQKF-UHFFFAOYSA-N 1 2 304.375 1.448 20 30 DDEDLO Nc1nsc(N2CC[NH+](CC#Cc3ccc(F)cc3)CC2)n1 ZINC000440566844 420587809 /nfs/dbraw/zinc/58/78/09/420587809.db2.gz UWESGSAXPJJMGP-UHFFFAOYSA-N 1 2 317.393 1.433 20 30 DDEDLO CC(C)CC[N@H+](CCC#N)CC1(O)CCS(=O)(=O)CC1 ZINC000441315333 420645056 /nfs/dbraw/zinc/64/50/56/420645056.db2.gz AOVKZOPVZDKUHW-UHFFFAOYSA-N 1 2 302.440 1.188 20 30 DDEDLO CC(C)CC[N@@H+](CCC#N)CC1(O)CCS(=O)(=O)CC1 ZINC000441315333 420645058 /nfs/dbraw/zinc/64/50/58/420645058.db2.gz AOVKZOPVZDKUHW-UHFFFAOYSA-N 1 2 302.440 1.188 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CCN(C(=O)/C=C/c2[nH]cc[nH+]2)CC1 ZINC000493105439 420758989 /nfs/dbraw/zinc/75/89/89/420758989.db2.gz LVAVNRJELJJHGK-FYCOFBDGSA-N 1 2 321.384 1.832 20 30 DDEDLO N#Cc1cccc(/C=C\C(=O)NCC2([NH+]3CCOCC3)CC2)c1 ZINC000493297878 420813088 /nfs/dbraw/zinc/81/30/88/420813088.db2.gz UAZWNUZHJVEPQC-PLNGDYQASA-N 1 2 311.385 1.552 20 30 DDEDLO C[NH+]1CCN(C(=O)c2cccc(C#N)c2Br)CC1 ZINC000448559129 420862383 /nfs/dbraw/zinc/86/23/83/420862383.db2.gz XQGLPGVTJPUDHI-UHFFFAOYSA-N 1 2 308.179 1.708 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000448579998 420864289 /nfs/dbraw/zinc/86/42/89/420864289.db2.gz VZOPHMZFTAUXLI-RDTXWAMCSA-N 1 2 308.385 1.752 20 30 DDEDLO CN(C)C(=O)O[C@@H]1CC[N@H+](Cc2cnc3ccc(C#N)cn23)C1 ZINC000496014342 421118563 /nfs/dbraw/zinc/11/85/63/421118563.db2.gz LIZFPJXKOPSGPM-CQSZACIVSA-N 1 2 313.361 1.478 20 30 DDEDLO CN(C)C(=O)O[C@@H]1CC[N@@H+](Cc2cnc3ccc(C#N)cn23)C1 ZINC000496014342 421118568 /nfs/dbraw/zinc/11/85/68/421118568.db2.gz LIZFPJXKOPSGPM-CQSZACIVSA-N 1 2 313.361 1.478 20 30 DDEDLO C=C(CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(C)=O)c1ccccc1 ZINC000488893817 421122981 /nfs/dbraw/zinc/12/29/81/421122981.db2.gz FLSIXJFWKPBKRB-INIZCTEOSA-N 1 2 312.373 1.287 20 30 DDEDLO C=C(CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(C)=O)c1ccccc1 ZINC000488893817 421122985 /nfs/dbraw/zinc/12/29/85/421122985.db2.gz FLSIXJFWKPBKRB-INIZCTEOSA-N 1 2 312.373 1.287 20 30 DDEDLO C=CCn1cccc1C(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000488909282 421125105 /nfs/dbraw/zinc/12/51/05/421125105.db2.gz ASVJMYKZUNYSHG-OAHLLOKOSA-N 1 2 313.405 1.537 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(c2ccc(C#N)c(C)n2)CC1 ZINC000488055977 421067687 /nfs/dbraw/zinc/06/76/87/421067687.db2.gz XVDIKFHQNLBBFE-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(c2ccc(C#N)c(C)n2)CC1 ZINC000488055977 421067689 /nfs/dbraw/zinc/06/76/89/421067689.db2.gz XVDIKFHQNLBBFE-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1cccc(CC#N)c1 ZINC000455916156 421076024 /nfs/dbraw/zinc/07/60/24/421076024.db2.gz IBVBKOXULAPGOJ-UHFFFAOYSA-N 1 2 316.405 1.985 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1cccc(CC#N)c1 ZINC000455916156 421076027 /nfs/dbraw/zinc/07/60/27/421076027.db2.gz IBVBKOXULAPGOJ-UHFFFAOYSA-N 1 2 316.405 1.985 20 30 DDEDLO COCC[N@H+](CC(=O)Nc1sccc1C#N)[C@@H]1CCO[C@H]1C ZINC000489463839 421157131 /nfs/dbraw/zinc/15/71/31/421157131.db2.gz AHPDKGODRBFNQR-WCQYABFASA-N 1 2 323.418 1.684 20 30 DDEDLO COCC[N@@H+](CC(=O)Nc1sccc1C#N)[C@@H]1CCO[C@H]1C ZINC000489463839 421157135 /nfs/dbraw/zinc/15/71/35/421157135.db2.gz AHPDKGODRBFNQR-WCQYABFASA-N 1 2 323.418 1.684 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2cccc(C#N)c2[N+](=O)[O-])CC1 ZINC000450257933 421172568 /nfs/dbraw/zinc/17/25/68/421172568.db2.gz OCCAPYDIMSEAFL-UHFFFAOYSA-N 1 2 317.349 1.042 20 30 DDEDLO C=CCCCS(=O)(=O)NC[C@@H](C(C)C)[NH+]1CCOCC1 ZINC000489072711 421134546 /nfs/dbraw/zinc/13/45/46/421134546.db2.gz KTONRBGACFSHGM-AWEZNQCLSA-N 1 2 304.456 1.229 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)/C=C\c1ccc(-n2cc[nH+]c2)cc1 ZINC000492231201 421217303 /nfs/dbraw/zinc/21/73/03/421217303.db2.gz IHVLVDHXRXNQTL-CTEOGUNLSA-N 1 2 310.357 1.930 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)ccc1F ZINC000548295735 421418259 /nfs/dbraw/zinc/41/82/59/421418259.db2.gz GKENQGYJBNGOOK-LLVKDONJSA-N 1 2 320.349 1.187 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N2CC(n3cc[nH+]c3)C2)cc1 ZINC000527455997 421387945 /nfs/dbraw/zinc/38/79/45/421387945.db2.gz WCLOWSYFWAKSRX-HNNXBMFYSA-N 1 2 305.341 1.521 20 30 DDEDLO C[C@@H]1C[NH+](C[C@H](O)COc2ccccc2C#N)C[C@@H](C)S1=O ZINC000528478674 421493740 /nfs/dbraw/zinc/49/37/40/421493740.db2.gz RSAYTKDWXJNLTB-NFAWXSAZSA-N 1 2 322.430 1.139 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)c1ccc(C#N)cc1)C2 ZINC000552147426 421577201 /nfs/dbraw/zinc/57/72/01/421577201.db2.gz DDASEEGOJAKCDQ-OAHLLOKOSA-N 1 2 324.384 1.343 20 30 DDEDLO Cc1c[nH+]ccc1NC(=O)N1CCN(c2cnccc2C#N)CC1 ZINC000564293012 421577842 /nfs/dbraw/zinc/57/78/42/421577842.db2.gz ASDMSZRQSYTGGC-UHFFFAOYSA-N 1 2 322.372 1.433 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000565050921 421595294 /nfs/dbraw/zinc/59/52/94/421595294.db2.gz JMTDYRRRWFJBHI-IPYPFGDCSA-N 1 2 307.344 1.995 20 30 DDEDLO Cc1cc2c(cc1C)O[C@@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)C2 ZINC000568344632 421619741 /nfs/dbraw/zinc/61/97/41/421619741.db2.gz WTDCRILMEVLMHR-WBVHZDCISA-N 1 2 301.390 1.567 20 30 DDEDLO N#Cc1ccc2c(c1)N(C(=O)c1ccc(-n3cc[nH+]c3)nn1)CC2 ZINC000555771710 421689989 /nfs/dbraw/zinc/68/99/89/421689989.db2.gz DNUORMPSVPXLHZ-UHFFFAOYSA-N 1 2 316.324 1.737 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCCc2c(cnn2C)C1 ZINC000556817110 421741560 /nfs/dbraw/zinc/74/15/60/421741560.db2.gz YARREGGEDGTRJW-DYVFJYSZSA-N 1 2 317.437 1.611 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCCc2c(cnn2C)C1 ZINC000556817110 421741562 /nfs/dbraw/zinc/74/15/62/421741562.db2.gz YARREGGEDGTRJW-DYVFJYSZSA-N 1 2 317.437 1.611 20 30 DDEDLO CC(=O)NCC[N@@H+](C)[C@H](C)C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000572032432 421760439 /nfs/dbraw/zinc/76/04/39/421760439.db2.gz OCBXCUWADQIOCZ-SNVBAGLBSA-N 1 2 322.796 1.607 20 30 DDEDLO CC(=O)NCC[N@H+](C)[C@H](C)C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000572032432 421760441 /nfs/dbraw/zinc/76/04/41/421760441.db2.gz OCBXCUWADQIOCZ-SNVBAGLBSA-N 1 2 322.796 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000573201494 421930954 /nfs/dbraw/zinc/93/09/54/421930954.db2.gz ZUVIBIXELNUTHG-IMJJTQAJSA-N 1 2 317.389 1.835 20 30 DDEDLO Cn1cc[nH+]c1-c1cccc(NC(=O)C(=O)NCC2(C#N)CC2)c1 ZINC000575114230 422259481 /nfs/dbraw/zinc/25/94/81/422259481.db2.gz HFDDFFRXVCCPDV-UHFFFAOYSA-N 1 2 323.356 1.446 20 30 DDEDLO C=CCOCCNC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635783923 422339843 /nfs/dbraw/zinc/33/98/43/422339843.db2.gz NATHMHAKGMDYIX-MRXNPFEDSA-N 1 2 304.390 1.588 20 30 DDEDLO C=CCOCCNC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635783923 422339848 /nfs/dbraw/zinc/33/98/48/422339848.db2.gz NATHMHAKGMDYIX-MRXNPFEDSA-N 1 2 304.390 1.588 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000593844852 422341353 /nfs/dbraw/zinc/34/13/53/422341353.db2.gz FGZPHNXGQBLQTO-NSHDSACASA-N 1 2 320.356 2.141 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCOCC(F)(F)C(F)F)nn1 ZINC000641135290 423411658 /nfs/dbraw/zinc/41/16/58/423411658.db2.gz RFWLOHGXLLTWDY-UHFFFAOYSA-N 1 2 308.279 1.308 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCCC[C@@H]2CN2CCOCC2)nn1 ZINC000653560885 423531934 /nfs/dbraw/zinc/53/19/34/423531934.db2.gz JPYMYRIFZWOHDE-QGZVFWFLSA-N 1 2 319.453 1.541 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCCC[C@@H]2CN2CCOCC2)nn1 ZINC000653560885 423531940 /nfs/dbraw/zinc/53/19/40/423531940.db2.gz JPYMYRIFZWOHDE-QGZVFWFLSA-N 1 2 319.453 1.541 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2cncc(C#N)c2)C[C@@H]1C ZINC000352082401 266159855 /nfs/dbraw/zinc/15/98/55/266159855.db2.gz JDZSJCPZJMQNQB-RYUDHWBXSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2cncc(C#N)c2)C[C@@H]1C ZINC000352082401 266159858 /nfs/dbraw/zinc/15/98/58/266159858.db2.gz JDZSJCPZJMQNQB-RYUDHWBXSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)cc2)CCO1 ZINC000362464078 266379991 /nfs/dbraw/zinc/37/99/91/266379991.db2.gz IRAGZFJSVUHBQE-OAHLLOKOSA-N 1 2 302.378 1.791 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)cc2)CCO1 ZINC000362464078 266379993 /nfs/dbraw/zinc/37/99/93/266379993.db2.gz IRAGZFJSVUHBQE-OAHLLOKOSA-N 1 2 302.378 1.791 20 30 DDEDLO C[C@@H]1CCOCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000374980967 267024322 /nfs/dbraw/zinc/02/43/22/267024322.db2.gz PWWOKFGZIBICPJ-CYBMUJFWSA-N 1 2 308.403 1.443 20 30 DDEDLO C[C@@H]1CCOCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000374980967 267024326 /nfs/dbraw/zinc/02/43/26/267024326.db2.gz PWWOKFGZIBICPJ-CYBMUJFWSA-N 1 2 308.403 1.443 20 30 DDEDLO C[C@H]1C[NH+](CCN(C)C(=O)c2ccc(F)c(C#N)c2)C[C@H](C)O1 ZINC000355488708 267232381 /nfs/dbraw/zinc/23/23/81/267232381.db2.gz DEFGIXZVBBVXEY-STQMWFEESA-N 1 2 319.380 1.879 20 30 DDEDLO C[C@H]1OCC[C@@H]1[N@H+](CCO)CC(=O)Nc1sccc1C#N ZINC000361550367 267244677 /nfs/dbraw/zinc/24/46/77/267244677.db2.gz FBWMMLBPJHWWNG-PWSUYJOCSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@H]1OCC[C@@H]1[N@@H+](CCO)CC(=O)Nc1sccc1C#N ZINC000361550367 267244678 /nfs/dbraw/zinc/24/46/78/267244678.db2.gz FBWMMLBPJHWWNG-PWSUYJOCSA-N 1 2 309.391 1.030 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)[C@H]2CCc3[nH+]ccn3C2)cc1 ZINC000376396468 268175810 /nfs/dbraw/zinc/17/58/10/268175810.db2.gz AZKLWBQURCCSNJ-AWEZNQCLSA-N 1 2 310.357 1.512 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](CC(=O)NCC3CCC3)C2)nc1 ZINC000366420763 268181448 /nfs/dbraw/zinc/18/14/48/268181448.db2.gz PORBYGSTGNDXQW-OAHLLOKOSA-N 1 2 314.389 1.323 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](CC(=O)NCC3CCC3)C2)nc1 ZINC000366420763 268181451 /nfs/dbraw/zinc/18/14/51/268181451.db2.gz PORBYGSTGNDXQW-OAHLLOKOSA-N 1 2 314.389 1.323 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCCC[C@@H]2[NH+]2CCOCC2)s1 ZINC000532174807 268203332 /nfs/dbraw/zinc/20/33/32/268203332.db2.gz CUPPNAOENVVYBY-KBPBESRZSA-N 1 2 319.430 1.993 20 30 DDEDLO N#Cc1cccc(CN2C(=O)CC[C@@H]2C(=O)NCc2[nH]cc[nH+]2)c1 ZINC000356518018 268245902 /nfs/dbraw/zinc/24/59/02/268245902.db2.gz KKARWDJKESEFJV-CQSZACIVSA-N 1 2 323.356 1.089 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCC(c3n[nH]c(=O)[nH]3)CC2)c1F ZINC000343763903 268246804 /nfs/dbraw/zinc/24/68/04/268246804.db2.gz JQTJCDCSEUJCGP-UHFFFAOYSA-N 1 2 301.325 1.901 20 30 DDEDLO N#Cc1ccccc1OCC[NH+]1CCN(Cc2ncc[nH]2)CC1 ZINC000357758017 268281978 /nfs/dbraw/zinc/28/19/78/268281978.db2.gz RYHWVVSCZYZIAZ-UHFFFAOYSA-N 1 2 311.389 1.478 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3cccc(O)c3)CC2)o1 ZINC000342206022 271339711 /nfs/dbraw/zinc/33/97/11/271339711.db2.gz HWFFGTCCUSCEQQ-UHFFFAOYSA-N 1 2 311.341 1.815 20 30 DDEDLO CC(C)(C)[C@@H]1CCN(C([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)C[C@@H]1O ZINC000329752814 277232182 /nfs/dbraw/zinc/23/21/82/277232182.db2.gz WKURJIFDHWSLKF-JMSVASOKSA-N 1 2 320.437 1.910 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)cc1 ZINC000293226448 281393005 /nfs/dbraw/zinc/39/30/05/281393005.db2.gz XURYAXCGLIAMPJ-HZPDHXFCSA-N 1 2 314.429 1.826 20 30 DDEDLO C[N@@H+]1CCN(C(=O)N[C@@H]2CC[S@](=O)C2)[C@@H](c2ccccc2)C1 ZINC000330034327 290005821 /nfs/dbraw/zinc/00/58/21/290005821.db2.gz WHJKRCCLSVFXQI-HLFMIMNKSA-N 1 2 321.446 1.410 20 30 DDEDLO C[N@H+]1CCN(C(=O)N[C@@H]2CC[S@](=O)C2)[C@@H](c2ccccc2)C1 ZINC000330034327 290005822 /nfs/dbraw/zinc/00/58/22/290005822.db2.gz WHJKRCCLSVFXQI-HLFMIMNKSA-N 1 2 321.446 1.410 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000329061172 298243793 /nfs/dbraw/zinc/24/37/93/298243793.db2.gz JOOBJVJSAHPWOQ-ABAIWWIYSA-N 1 2 311.382 1.289 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000329061172 298243798 /nfs/dbraw/zinc/24/37/98/298243798.db2.gz JOOBJVJSAHPWOQ-ABAIWWIYSA-N 1 2 311.382 1.289 20 30 DDEDLO N#Cc1cnc(C(=O)N[C@H]2CCn3cc[nH+]c3C2)c(Cl)c1 ZINC000547984297 303565994 /nfs/dbraw/zinc/56/59/94/303565994.db2.gz BTLNALLYRRVAJA-JTQLQIEISA-N 1 2 301.737 1.548 20 30 DDEDLO N#Cc1cnn2cc(C[NH+]3CCN(c4ccccn4)CC3)cnc12 ZINC000550156565 303623585 /nfs/dbraw/zinc/62/35/85/303623585.db2.gz AZOJGVNTEKIBFX-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000548610064 307779335 /nfs/dbraw/zinc/77/93/35/307779335.db2.gz KEWHIYUUWANAGU-HNNXBMFYSA-N 1 2 309.373 1.911 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000579795189 308556793 /nfs/dbraw/zinc/55/67/93/308556793.db2.gz BUXGVKPAMIHOHE-HNNXBMFYSA-N 1 2 316.405 1.522 20 30 DDEDLO C=C(C)Cn1c(C(C)C)nnc1N(C)CC[NH+]1CCOCC1 ZINC000338848096 333499465 /nfs/dbraw/zinc/49/94/65/333499465.db2.gz XRLRQPPQZNMIJH-UHFFFAOYSA-N 1 2 307.442 1.746 20 30 DDEDLO C=CCC(C)(C)CNc1nc(NCCO)c2ccccc2[nH+]1 ZINC000520703512 336028946 /nfs/dbraw/zinc/02/89/46/336028946.db2.gz WXSHPXKDBOTAJI-UHFFFAOYSA-N 1 2 300.406 1.892 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H]2C=CCCC2)CC1 ZINC000189174869 337277648 /nfs/dbraw/zinc/27/76/48/337277648.db2.gz BCJVUUPIOOPILU-INIZCTEOSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H]2C=CCCC2)CC1 ZINC000189174869 337277649 /nfs/dbraw/zinc/27/76/49/337277649.db2.gz BCJVUUPIOOPILU-INIZCTEOSA-N 1 2 317.433 1.017 20 30 DDEDLO C=CC[N@H+](Cc1ccccc1)CN1C[C@@H](C(=O)OC)OC1=O ZINC000496638020 340009438 /nfs/dbraw/zinc/00/94/38/340009438.db2.gz ZXLNRDUZRCGJAE-AWEZNQCLSA-N 1 2 304.346 1.626 20 30 DDEDLO C=CC[N@@H+](Cc1ccccc1)CN1C[C@@H](C(=O)OC)OC1=O ZINC000496638020 340009439 /nfs/dbraw/zinc/00/94/39/340009439.db2.gz ZXLNRDUZRCGJAE-AWEZNQCLSA-N 1 2 304.346 1.626 20 30 DDEDLO CCC(C#N)(CC)C(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000529351301 340753257 /nfs/dbraw/zinc/75/32/57/340753257.db2.gz XEFJBNVDJHEKEB-CYBMUJFWSA-N 1 2 318.421 1.487 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2cccc(Cl)c2)CC1 ZINC000125849540 340812119 /nfs/dbraw/zinc/81/21/19/340812119.db2.gz RRYNDGASRZOLIS-CYBMUJFWSA-N 1 2 305.809 1.600 20 30 DDEDLO C#CC[NH+](CC#C)C[C@@H](O)COc1ccc(C(C)=O)cc1OC ZINC000076185476 341203646 /nfs/dbraw/zinc/20/36/46/341203646.db2.gz OYOVUQFJKQLJIU-MRXNPFEDSA-N 1 2 315.369 1.206 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@H](O)C[N@H+](C)[C@@H](C)CC#N ZINC000247566106 341367641 /nfs/dbraw/zinc/36/76/41/341367641.db2.gz LDBFYSJRCLKQGD-SWLSCSKDSA-N 1 2 320.389 1.871 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@H](O)C[N@@H+](C)[C@@H](C)CC#N ZINC000247566106 341367642 /nfs/dbraw/zinc/36/76/42/341367642.db2.gz LDBFYSJRCLKQGD-SWLSCSKDSA-N 1 2 320.389 1.871 20 30 DDEDLO C=CCNC(=O)N1CC[NH+](Cc2ccc3c(c2)OCCO3)CC1 ZINC000053512420 483936374 /nfs/dbraw/zinc/93/63/74/483936374.db2.gz UAGDMAICYAIYAR-UHFFFAOYSA-N 1 2 317.389 1.471 20 30 DDEDLO C=CC[C@H]1CCCC[C@@H]1NC(=O)C(=O)NCCn1cc[nH+]c1 ZINC000668375675 485138241 /nfs/dbraw/zinc/13/82/41/485138241.db2.gz NZLFZQYAILIMMA-KBPBESRZSA-N 1 2 304.394 1.250 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCc1cn2c(cccc2C)[nH+]1 ZINC000668528448 485196783 /nfs/dbraw/zinc/19/67/83/485196783.db2.gz PWRWVKMDMLMWCP-HNNXBMFYSA-N 1 2 314.389 1.730 20 30 DDEDLO COCC#CC[NH+]1CCN(c2ncnc3sc(C)cc32)CC1 ZINC000682030795 485465325 /nfs/dbraw/zinc/46/53/25/485465325.db2.gz BFYUCAVXRBAZSV-UHFFFAOYSA-N 1 2 316.430 1.772 20 30 DDEDLO Cc1cc(C[NH2+][C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)nn1C ZINC000414442633 533721557 /nfs/dbraw/zinc/72/15/57/533721557.db2.gz YMTOYVCTPMSRGA-QGZVFWFLSA-N 1 2 323.400 1.491 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000333214851 533904492 /nfs/dbraw/zinc/90/44/92/533904492.db2.gz USDHPGKDGMWDHO-UGUYLWEFSA-N 1 2 320.437 1.573 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CC[C@@](O)(C(F)F)C1 ZINC000451440662 534297448 /nfs/dbraw/zinc/29/74/48/534297448.db2.gz XKPXICDHXKHORF-BMIGLBTASA-N 1 2 309.316 1.587 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CC[C@@](O)(C(F)F)C1 ZINC000451440662 534297451 /nfs/dbraw/zinc/29/74/51/534297451.db2.gz XKPXICDHXKHORF-BMIGLBTASA-N 1 2 309.316 1.587 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+](Cc2ccco2)C[C@H]2CCCO2)CCC1 ZINC000346851924 534568881 /nfs/dbraw/zinc/56/88/81/534568881.db2.gz UWEWKMMUOHYSCN-OAHLLOKOSA-N 1 2 317.389 1.823 20 30 DDEDLO N#CC1(NC(=O)C[N@H+](Cc2ccco2)C[C@H]2CCCO2)CCC1 ZINC000346851924 534568885 /nfs/dbraw/zinc/56/88/85/534568885.db2.gz UWEWKMMUOHYSCN-OAHLLOKOSA-N 1 2 317.389 1.823 20 30 DDEDLO N#Cc1ccc(N2CCC[N@H+](Cc3cscn3)CC2)nn1 ZINC000302007869 534789964 /nfs/dbraw/zinc/78/99/64/534789964.db2.gz VBJKTGFSKDAKSK-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO N#Cc1ccc(N2CCC[N@@H+](Cc3cscn3)CC2)nn1 ZINC000302007869 534789970 /nfs/dbraw/zinc/78/99/70/534789970.db2.gz VBJKTGFSKDAKSK-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)NC[C@H]2CCCO2)CCO1 ZINC000330317632 534813607 /nfs/dbraw/zinc/81/36/07/534813607.db2.gz BUYDOHQLMUAMCL-BZPMIXESSA-N 1 2 308.382 1.323 20 30 DDEDLO CC#CCNC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccc(C)cc1 ZINC000342806340 526375190 /nfs/dbraw/zinc/37/51/90/526375190.db2.gz PSQCCQTYSDRJHT-KRWDZBQOSA-N 1 2 315.417 1.691 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2ccccc2C)C1 ZINC000330937248 526402140 /nfs/dbraw/zinc/40/21/40/526402140.db2.gz AMSNKIAINYYZFU-CHWSQXEVSA-N 1 2 304.394 1.530 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2ccccc2C)C1 ZINC000330937248 526402145 /nfs/dbraw/zinc/40/21/45/526402145.db2.gz AMSNKIAINYYZFU-CHWSQXEVSA-N 1 2 304.394 1.530 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCc3c(ccc(OC)c3OC)C2)C1=O ZINC000337192384 526482622 /nfs/dbraw/zinc/48/26/22/526482622.db2.gz URKXUDGOXMBWJH-OAHLLOKOSA-N 1 2 316.401 1.849 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCc3c(ccc(OC)c3OC)C2)C1=O ZINC000337192384 526482624 /nfs/dbraw/zinc/48/26/24/526482624.db2.gz URKXUDGOXMBWJH-OAHLLOKOSA-N 1 2 316.401 1.849 20 30 DDEDLO CC(=O)NC[C@@H]1CCC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459322623 526771199 /nfs/dbraw/zinc/77/11/99/526771199.db2.gz HOWOMENIBIXLIB-ZDUSSCGKSA-N 1 2 316.361 1.815 20 30 DDEDLO CC(=O)NC[C@@H]1CCC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459322623 526771202 /nfs/dbraw/zinc/77/12/02/526771202.db2.gz HOWOMENIBIXLIB-ZDUSSCGKSA-N 1 2 316.361 1.815 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1c(C#N)c(C)c(C)n1CCCOC ZINC000491753837 526801466 /nfs/dbraw/zinc/80/14/66/526801466.db2.gz QAEBAVWTKSPFLD-UHFFFAOYSA-N 1 2 316.405 1.517 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1c(C#N)c(C)c(C)n1CCCOC ZINC000491753837 526801473 /nfs/dbraw/zinc/80/14/73/526801473.db2.gz QAEBAVWTKSPFLD-UHFFFAOYSA-N 1 2 316.405 1.517 20 30 DDEDLO C#CC[N@@H+](CCC1(C#N)CCCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000491637079 526901572 /nfs/dbraw/zinc/90/15/72/526901572.db2.gz UJYKPSCETICNMP-HNNXBMFYSA-N 1 2 308.447 1.973 20 30 DDEDLO C#CC[N@H+](CCC1(C#N)CCCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000491637079 526901577 /nfs/dbraw/zinc/90/15/77/526901577.db2.gz UJYKPSCETICNMP-HNNXBMFYSA-N 1 2 308.447 1.973 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(CCC#N)CC(C)(C)C)CC1 ZINC000490779851 526947570 /nfs/dbraw/zinc/94/75/70/526947570.db2.gz AYLCYSUSFFRUAE-UHFFFAOYSA-N 1 2 304.438 1.026 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN2CCCc3cc(C#N)ccc32)CC1 ZINC000491737114 526950340 /nfs/dbraw/zinc/95/03/40/526950340.db2.gz VTFJWABWNAQBMD-UHFFFAOYSA-N 1 2 322.412 1.088 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccccc2C(F)F)CC1 ZINC000491621142 526951991 /nfs/dbraw/zinc/95/19/91/526951991.db2.gz DFMJPURMFYZYKG-UHFFFAOYSA-N 1 2 307.344 1.814 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCS3)n2CC=C)CC1 ZINC000491813306 526955565 /nfs/dbraw/zinc/95/55/65/526955565.db2.gz KMLXQGMYTVJBDP-AWEZNQCLSA-N 1 2 317.462 1.787 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CC3)n2CCCOCC)CC1 ZINC000491693844 526956110 /nfs/dbraw/zinc/95/61/10/526956110.db2.gz UTVBBSLHKDMCPW-UHFFFAOYSA-N 1 2 317.437 1.337 20 30 DDEDLO C=CCSCCNC(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000413198691 526991025 /nfs/dbraw/zinc/99/10/25/526991025.db2.gz LLBOTRWHAHNKES-UHFFFAOYSA-N 1 2 301.456 1.316 20 30 DDEDLO CC(=O)Nc1ccc(NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)cc1 ZINC000330708740 527004472 /nfs/dbraw/zinc/00/44/72/527004472.db2.gz NKWFVLBQJMQPRO-OAHLLOKOSA-N 1 2 319.409 1.217 20 30 DDEDLO CC(=O)Nc1ccc(NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)cc1 ZINC000330708740 527004474 /nfs/dbraw/zinc/00/44/74/527004474.db2.gz NKWFVLBQJMQPRO-OAHLLOKOSA-N 1 2 319.409 1.217 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](C(COC)COC)CC2)CCC1 ZINC000412435666 527042759 /nfs/dbraw/zinc/04/27/59/527042759.db2.gz MSIWKJUTIANTPB-UHFFFAOYSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000341708691 527065203 /nfs/dbraw/zinc/06/52/03/527065203.db2.gz UHQVCUFEMQRZDL-LLVKDONJSA-N 1 2 322.796 1.972 20 30 DDEDLO C=CC[C@@H](CO)CNc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000433766449 527084180 /nfs/dbraw/zinc/08/41/80/527084180.db2.gz PNKUWFGBHVCJKY-KGLIPLIRSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CC[C@@H](CO)CNc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000433766449 527084186 /nfs/dbraw/zinc/08/41/86/527084186.db2.gz PNKUWFGBHVCJKY-KGLIPLIRSA-N 1 2 306.410 1.424 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2cc(=O)[nH]c(C3CC3)n2)n1 ZINC000491503003 527182785 /nfs/dbraw/zinc/18/27/85/527182785.db2.gz AWVYINMZWHIROL-UHFFFAOYSA-N 1 2 311.389 1.911 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2cc(=O)[nH]c(C3CC3)n2)n1 ZINC000491503003 527182790 /nfs/dbraw/zinc/18/27/90/527182790.db2.gz AWVYINMZWHIROL-UHFFFAOYSA-N 1 2 311.389 1.911 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000342175809 527183385 /nfs/dbraw/zinc/18/33/85/527183385.db2.gz FZSOUJJEYQLBTF-HNNXBMFYSA-N 1 2 318.417 1.433 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1Cc1ccc(OCC(=O)OCC)cc1 ZINC000491554054 527430356 /nfs/dbraw/zinc/43/03/56/527430356.db2.gz FZQAFWJXJCGKLD-HNNXBMFYSA-N 1 2 303.358 1.463 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1Cc1ccc(OCC(=O)OCC)cc1 ZINC000491554054 527430359 /nfs/dbraw/zinc/43/03/59/527430359.db2.gz FZQAFWJXJCGKLD-HNNXBMFYSA-N 1 2 303.358 1.463 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)NC[C@H]2CCCCO2)CC1 ZINC000330259150 527501217 /nfs/dbraw/zinc/50/12/17/527501217.db2.gz NEIACESKNLVNHV-GFCCVEGCSA-N 1 2 312.414 1.401 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@H+](Cc2cc(F)ccc2C#N)CC12COC2 ZINC000451143677 527884911 /nfs/dbraw/zinc/88/49/11/527884911.db2.gz XKCIABBQVDQHBG-HNNXBMFYSA-N 1 2 318.348 1.709 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@@H+](Cc2cc(F)ccc2C#N)CC12COC2 ZINC000451143677 527884915 /nfs/dbraw/zinc/88/49/15/527884915.db2.gz XKCIABBQVDQHBG-HNNXBMFYSA-N 1 2 318.348 1.709 20 30 DDEDLO CCN(CCC#N)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000330526322 528352925 /nfs/dbraw/zinc/35/29/25/528352925.db2.gz LVOFXYIEEYAXRQ-UHFFFAOYSA-N 1 2 303.410 1.394 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330747837 528409918 /nfs/dbraw/zinc/40/99/18/528409918.db2.gz GRFWORAJEVLHMW-CKEIUWERSA-N 1 2 322.453 1.420 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330747837 528409926 /nfs/dbraw/zinc/40/99/26/528409926.db2.gz GRFWORAJEVLHMW-CKEIUWERSA-N 1 2 322.453 1.420 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCCc1cccc(C)[nH+]1 ZINC000337150156 528711507 /nfs/dbraw/zinc/71/15/07/528711507.db2.gz IOAVKONAIMURPR-GFCCVEGCSA-N 1 2 310.423 1.249 20 30 DDEDLO CCNC(=O)NC(=O)[C@H](C)N1CCCC[C@@H]1c1[nH+]ccn1CC ZINC000330452328 528827325 /nfs/dbraw/zinc/82/73/25/528827325.db2.gz KOSGMPBWTMNXJA-QWHCGFSZSA-N 1 2 321.425 1.869 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)N[C@@H]1CCCSC1 ZINC000330158050 529131086 /nfs/dbraw/zinc/13/10/86/529131086.db2.gz PTDUIHMGXBFPAW-GFCCVEGCSA-N 1 2 301.456 1.496 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)N[C@@H]1CCCSC1 ZINC000330158050 529131088 /nfs/dbraw/zinc/13/10/88/529131088.db2.gz PTDUIHMGXBFPAW-GFCCVEGCSA-N 1 2 301.456 1.496 20 30 DDEDLO CC[C@@H](NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1)C1CCOCC1 ZINC000329639500 529265902 /nfs/dbraw/zinc/26/59/02/529265902.db2.gz ZHEFHJQMLKVAGL-QLFBSQMISA-N 1 2 311.426 1.120 20 30 DDEDLO CC[C@@H](NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1)C1CCOCC1 ZINC000329639500 529265904 /nfs/dbraw/zinc/26/59/04/529265904.db2.gz ZHEFHJQMLKVAGL-QLFBSQMISA-N 1 2 311.426 1.120 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)N2CC[NH+](CCO)CC2)c1C ZINC000331116038 529291884 /nfs/dbraw/zinc/29/18/84/529291884.db2.gz KELFSKCOCAJARE-LBPRGKRZSA-N 1 2 309.414 1.318 20 30 DDEDLO C=C1CC[NH+]([C@H]2CCN(c3ccc(-c4nn[nH]n4)cc3)C2=O)CC1 ZINC000735402531 598504446 /nfs/dbraw/zinc/50/44/46/598504446.db2.gz DYHHBNVHFRWWPW-HNNXBMFYSA-N 1 2 324.388 1.624 20 30 DDEDLO Cc1c(C(=O)C=Cc2[nH]cc[nH+]2)c(-c2nn[nH]n2)c2n1CCC2 ZINC000737202094 598639289 /nfs/dbraw/zinc/63/92/89/598639289.db2.gz JKBZCNBKNBGMKP-SNAWJCMRSA-N 1 2 309.333 1.542 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@@H+](C)Cc3ccn(C)n3)C2)cc1 ZINC000972057626 695148119 /nfs/dbraw/zinc/14/81/19/695148119.db2.gz BCADOILASZNKJG-SFHVURJKSA-N 1 2 322.412 1.748 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@H+](C)Cc3ccn(C)n3)C2)cc1 ZINC000972057626 695148121 /nfs/dbraw/zinc/14/81/21/695148121.db2.gz BCADOILASZNKJG-SFHVURJKSA-N 1 2 322.412 1.748 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC000972372585 695232896 /nfs/dbraw/zinc/23/28/96/695232896.db2.gz FTEPATMVOOZWGL-OAGGEKHMSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC000972372585 695232898 /nfs/dbraw/zinc/23/28/98/695232898.db2.gz FTEPATMVOOZWGL-OAGGEKHMSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC000972376161 695234365 /nfs/dbraw/zinc/23/43/65/695234365.db2.gz YGEPMSLTYRLCBT-BFHYXJOUSA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC000972376161 695234367 /nfs/dbraw/zinc/23/43/67/695234367.db2.gz YGEPMSLTYRLCBT-BFHYXJOUSA-N 1 2 322.840 1.809 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3C=CCCC3)C2)C1 ZINC000972430168 695249628 /nfs/dbraw/zinc/24/96/28/695249628.db2.gz BBOWCPCRGXWWKQ-WMZOPIPTSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3C=CCCC3)C2)C1 ZINC000972430168 695249629 /nfs/dbraw/zinc/24/96/29/695249629.db2.gz BBOWCPCRGXWWKQ-WMZOPIPTSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CCC4(CC4)CC3)C2)C1 ZINC000972468436 695258170 /nfs/dbraw/zinc/25/81/70/695258170.db2.gz AJIKGKIVYIVMCE-LJQANCHMSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CCC4(CC4)CC3)C2)C1 ZINC000972468436 695258171 /nfs/dbraw/zinc/25/81/71/695258171.db2.gz AJIKGKIVYIVMCE-LJQANCHMSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C(F)(F)F)C2)C1 ZINC000972493175 695264661 /nfs/dbraw/zinc/26/46/61/695264661.db2.gz BZPFCPHPFIAZAZ-JSGCOSHPSA-N 1 2 318.339 1.511 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C(F)(F)F)C2)C1 ZINC000972493175 695264663 /nfs/dbraw/zinc/26/46/63/695264663.db2.gz BZPFCPHPFIAZAZ-JSGCOSHPSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3ccsc3)C2)C1 ZINC000972547496 695278931 /nfs/dbraw/zinc/27/89/31/695278931.db2.gz BNMMHVYHTUYESI-RHSMWYFYSA-N 1 2 318.442 1.788 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3ccsc3)C2)C1 ZINC000972547496 695278933 /nfs/dbraw/zinc/27/89/33/695278933.db2.gz BNMMHVYHTUYESI-RHSMWYFYSA-N 1 2 318.442 1.788 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cccnc3C)C2)C1 ZINC000972552282 695279865 /nfs/dbraw/zinc/27/98/65/695279865.db2.gz MTVXRGUTWJHELZ-GOSISDBHSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cccnc3C)C2)C1 ZINC000972552282 695279867 /nfs/dbraw/zinc/27/98/67/695279867.db2.gz MTVXRGUTWJHELZ-GOSISDBHSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(C)c3CC)C2)C1 ZINC000972552928 695280335 /nfs/dbraw/zinc/28/03/35/695280335.db2.gz WKTJHIIXMHFKHY-SFHVURJKSA-N 1 2 317.433 1.690 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(C)c3CC)C2)C1 ZINC000972552928 695280336 /nfs/dbraw/zinc/28/03/36/695280336.db2.gz WKTJHIIXMHFKHY-SFHVURJKSA-N 1 2 317.433 1.690 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C)CC(F)(F)C3)C2)C1 ZINC000972635853 695305254 /nfs/dbraw/zinc/30/52/54/695305254.db2.gz UGRUGKSEHXXNAT-HNNXBMFYSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C)CC(F)(F)C3)C2)C1 ZINC000972635853 695305258 /nfs/dbraw/zinc/30/52/58/695305258.db2.gz UGRUGKSEHXXNAT-HNNXBMFYSA-N 1 2 314.376 1.911 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)C2)C1 ZINC000972692094 695317218 /nfs/dbraw/zinc/31/72/18/695317218.db2.gz LGYNJAKGCXLINM-NGXVOCDNSA-N 1 2 314.429 1.215 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)C2)C1 ZINC000972692094 695317219 /nfs/dbraw/zinc/31/72/19/695317219.db2.gz LGYNJAKGCXLINM-NGXVOCDNSA-N 1 2 314.429 1.215 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cn2nccc2C)C(C)(C)C1 ZINC000975091346 695792367 /nfs/dbraw/zinc/79/23/67/695792367.db2.gz CPEMFHCLZKKIGN-ZDUSSCGKSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cn2nccc2C)C(C)(C)C1 ZINC000975091346 695792369 /nfs/dbraw/zinc/79/23/69/695792369.db2.gz CPEMFHCLZKKIGN-ZDUSSCGKSA-N 1 2 310.829 1.771 20 30 DDEDLO CC1(C)CN(CC#N)C[C@H]1NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000977414489 696151177 /nfs/dbraw/zinc/15/11/77/696151177.db2.gz FCKOKTPSWYZOPS-OAHLLOKOSA-N 1 2 324.388 1.231 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2sc(COC)nc2C)C(C)(C)C1 ZINC000977537909 696204500 /nfs/dbraw/zinc/20/45/00/696204500.db2.gz VZNSZYAKQYHZEM-LBPRGKRZSA-N 1 2 321.446 1.671 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2sc(COC)nc2C)C(C)(C)C1 ZINC000977537909 696204501 /nfs/dbraw/zinc/20/45/01/696204501.db2.gz VZNSZYAKQYHZEM-LBPRGKRZSA-N 1 2 321.446 1.671 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCC(=O)NC2CCCCC2)cc1 ZINC000060455429 696310856 /nfs/dbraw/zinc/31/08/56/696310856.db2.gz MOCYSKYWBJZOKG-UHFFFAOYSA-N 1 2 319.405 1.975 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)NCCCNc1cccc[nH+]1 ZINC000119802378 696685869 /nfs/dbraw/zinc/68/58/69/696685869.db2.gz FJHPQZCDUHJFFP-UHFFFAOYSA-N 1 2 323.352 1.780 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCC(=O)Nc2cc(C)on2)cc1 ZINC000125461578 696753516 /nfs/dbraw/zinc/75/35/16/696753516.db2.gz LEDAXAXWKQCXTP-UHFFFAOYSA-N 1 2 318.333 1.462 20 30 DDEDLO CCOCCN1CC(=O)C(=C2N(C)c3ccccc3N2C)C1=[NH2+] ZINC000125563730 696754160 /nfs/dbraw/zinc/75/41/60/696754160.db2.gz MAOPRUXGTFRGSK-UHFFFAOYSA-N 1 2 314.389 1.683 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000799074615 700114097 /nfs/dbraw/zinc/11/40/97/700114097.db2.gz MAKOEZKGVYSOFS-NSHDSACASA-N 1 2 321.327 1.513 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC000980826354 696904131 /nfs/dbraw/zinc/90/41/31/696904131.db2.gz WYAIHHHXJIVSIT-NWDGAFQWSA-N 1 2 300.786 1.082 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC000980826354 696904132 /nfs/dbraw/zinc/90/41/32/696904132.db2.gz WYAIHHHXJIVSIT-NWDGAFQWSA-N 1 2 300.786 1.082 20 30 DDEDLO COc1cccc(C[N@@H+]2CCCN(C(=O)C#CC3CC3)CC2)n1 ZINC000981124628 697011139 /nfs/dbraw/zinc/01/11/39/697011139.db2.gz RYIWWUHPEIMMPR-UHFFFAOYSA-N 1 2 313.401 1.538 20 30 DDEDLO COc1cccc(C[N@H+]2CCCN(C(=O)C#CC3CC3)CC2)n1 ZINC000981124628 697011142 /nfs/dbraw/zinc/01/11/42/697011142.db2.gz RYIWWUHPEIMMPR-UHFFFAOYSA-N 1 2 313.401 1.538 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@@H+]([C@H](C)C(=O)NC)CC1 ZINC000981242873 697044560 /nfs/dbraw/zinc/04/45/60/697044560.db2.gz VJAQUMAZJUMOCW-LLVKDONJSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@H+]([C@H](C)C(=O)NC)CC1 ZINC000981242873 697044561 /nfs/dbraw/zinc/04/45/61/697044561.db2.gz VJAQUMAZJUMOCW-LLVKDONJSA-N 1 2 315.845 1.434 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)N2CCN(C(=O)[C@H](C)CC)CC2)CC1 ZINC000985824571 697580529 /nfs/dbraw/zinc/58/05/29/697580529.db2.gz GBZHMUJHWXPCAF-OAHLLOKOSA-N 1 2 322.453 1.100 20 30 DDEDLO C[C@H]1[C@H]([NH2+]Cc2csnn2)CCN1C(=O)c1cc(C#N)c[nH]1 ZINC000986132987 697698321 /nfs/dbraw/zinc/69/83/21/697698321.db2.gz LVEQLNNMQXSJJV-JOYOIKCWSA-N 1 2 316.390 1.131 20 30 DDEDLO CCC1CC(=O)C(=CNC[C@H]2C[N@H+](CC(C)C)CCO2)C(=O)C1 ZINC000194964523 697726565 /nfs/dbraw/zinc/72/65/65/697726565.db2.gz HNBSPBOWNPGUFE-LOACHALJSA-N 1 2 322.449 1.775 20 30 DDEDLO CCC1CC(=O)C(=CNC[C@H]2C[N@@H+](CC(C)C)CCO2)C(=O)C1 ZINC000194964523 697726568 /nfs/dbraw/zinc/72/65/68/697726568.db2.gz HNBSPBOWNPGUFE-LOACHALJSA-N 1 2 322.449 1.775 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[C@H](c2[nH+]ccn2C)C1)[C@@H]1CCCO1 ZINC000773545118 697776591 /nfs/dbraw/zinc/77/65/91/697776591.db2.gz BBJYHGCFHTXBCU-IHRRRGAJSA-N 1 2 302.378 1.100 20 30 DDEDLO N#CCCNC(=O)C[N@H+]1CC=C(c2ccc(Cl)nc2)CC1 ZINC000773664928 697792036 /nfs/dbraw/zinc/79/20/36/697792036.db2.gz HXJIIKPTENNAEK-UHFFFAOYSA-N 1 2 304.781 1.854 20 30 DDEDLO N#CCCNC(=O)C[N@@H+]1CC=C(c2ccc(Cl)nc2)CC1 ZINC000773664928 697792039 /nfs/dbraw/zinc/79/20/39/697792039.db2.gz HXJIIKPTENNAEK-UHFFFAOYSA-N 1 2 304.781 1.854 20 30 DDEDLO C[C@H]1C[N@H+](CCOC(=O)COc2ccccc2C#N)CCO1 ZINC000800082247 700185113 /nfs/dbraw/zinc/18/51/13/700185113.db2.gz LFKYXTHBWSLEPE-ZDUSSCGKSA-N 1 2 304.346 1.201 20 30 DDEDLO C[C@H]1C[N@@H+](CCOC(=O)COc2ccccc2C#N)CCO1 ZINC000800082247 700185117 /nfs/dbraw/zinc/18/51/17/700185117.db2.gz LFKYXTHBWSLEPE-ZDUSSCGKSA-N 1 2 304.346 1.201 20 30 DDEDLO CC(C)S(=O)(=O)NN=C1CC[NH+](Cc2ccccn2)CC1 ZINC000749747809 700182625 /nfs/dbraw/zinc/18/26/25/700182625.db2.gz PQUDMWYJEGNBGP-UHFFFAOYSA-N 1 2 310.423 1.361 20 30 DDEDLO CCOC(=O)c1cnc(N=NCc2ccc[nH+]c2N)nc1C ZINC000777891967 698230053 /nfs/dbraw/zinc/23/00/53/698230053.db2.gz VSZBGHSRVADBON-UHFFFAOYSA-N 1 2 300.322 1.385 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+]C[C@@H]1CN(C)CCN1C ZINC000778170021 698247009 /nfs/dbraw/zinc/24/70/09/698247009.db2.gz IXVJVLJTCZIGSP-CQSZACIVSA-N 1 2 321.852 1.687 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)/C=C(/C)Cc2ccccc2OC)CC1 ZINC000255882338 698424475 /nfs/dbraw/zinc/42/44/75/698424475.db2.gz CPTVXBUYGAIRFR-NXVVXOECSA-N 1 2 312.413 1.961 20 30 DDEDLO COC(=O)c1ccc(C[N@H+](C)Cn2cccc(C#N)c2=O)cc1 ZINC000750607860 700234390 /nfs/dbraw/zinc/23/43/90/700234390.db2.gz HCFPNPSWXXLOSL-UHFFFAOYSA-N 1 2 311.341 1.596 20 30 DDEDLO COC(=O)c1ccc(C[N@@H+](C)Cn2cccc(C#N)c2=O)cc1 ZINC000750607860 700234392 /nfs/dbraw/zinc/23/43/92/700234392.db2.gz HCFPNPSWXXLOSL-UHFFFAOYSA-N 1 2 311.341 1.596 20 30 DDEDLO Cc1ccc(NC(=O)[C@H](O)c2ccc(C#N)cc2)c(N(C)C)[nH+]1 ZINC000780993792 698580806 /nfs/dbraw/zinc/58/08/06/698580806.db2.gz QHWGXSSADFDFLJ-OAHLLOKOSA-N 1 2 310.357 2.000 20 30 DDEDLO N#Cc1c(F)cccc1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC000782478733 698728147 /nfs/dbraw/zinc/72/81/47/698728147.db2.gz SRGXSGCLTJCDFI-UHFFFAOYSA-N 1 2 306.322 1.139 20 30 DDEDLO Cc1nc[nH]c1C(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC000989808302 698774310 /nfs/dbraw/zinc/77/43/10/698774310.db2.gz AMOLBWTZYXVDKS-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO Cc1nc[nH]c1C(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC000989808302 698774314 /nfs/dbraw/zinc/77/43/14/698774314.db2.gz AMOLBWTZYXVDKS-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO CC(C)NC(=O)CO[NH+]=C(N)c1ccc(Br)cc1 ZINC000782950034 698788330 /nfs/dbraw/zinc/78/83/30/698788330.db2.gz QKEIIAUJHFVLTP-UHFFFAOYSA-N 1 2 314.183 1.611 20 30 DDEDLO C[C@@H](C(=O)NCCC#N)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000427648277 699156674 /nfs/dbraw/zinc/15/66/74/699156674.db2.gz OYTGVIRXWYXTTL-ZDUSSCGKSA-N 1 2 304.369 1.366 20 30 DDEDLO C[C@@H](NC(=O)COc1cccc(C#N)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000729445411 699479279 /nfs/dbraw/zinc/47/92/79/699479279.db2.gz OCKCGAFOKPZEQD-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(OC)c(NC(C)=O)c1 ZINC000730096336 699500651 /nfs/dbraw/zinc/50/06/51/699500651.db2.gz NGOCOGRTDIGOBK-HNNXBMFYSA-N 1 2 315.373 1.690 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(OC)c(NC(C)=O)c1 ZINC000730096336 699500652 /nfs/dbraw/zinc/50/06/52/699500652.db2.gz NGOCOGRTDIGOBK-HNNXBMFYSA-N 1 2 315.373 1.690 20 30 DDEDLO C#CCSCCNC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000740071372 699785547 /nfs/dbraw/zinc/78/55/47/699785547.db2.gz IXIRWJKXPAUGBV-UHFFFAOYSA-N 1 2 323.487 1.942 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCCCC1=NNCC[NH+]1CCCCC1 ZINC000741461654 699840889 /nfs/dbraw/zinc/84/08/89/699840889.db2.gz NNYFXJJSWSQMKB-CQSZACIVSA-N 1 2 301.456 1.405 20 30 DDEDLO C#CCC[NH+]1CCC(NC(=O)c2cc(O)ccc2[N+](=O)[O-])CC1 ZINC000796600886 699942007 /nfs/dbraw/zinc/94/20/07/699942007.db2.gz DACNZNFQZULKQD-UHFFFAOYSA-N 1 2 317.345 1.518 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+][C@@H](CCO)c2cccs2)C(N)=O)cc1 ZINC000754383154 700498986 /nfs/dbraw/zinc/49/89/86/700498986.db2.gz CIDSGGHQOAKLMU-DZGCQCFKSA-N 1 2 315.398 1.859 20 30 DDEDLO CCc1[nH+]c(C=NNc2nc3[nH][nH]cc-3c(=O)n2)c2ccccn12 ZINC000755703634 700578442 /nfs/dbraw/zinc/57/84/42/700578442.db2.gz MZTRDQGQEMDNBH-UHFFFAOYSA-N 1 2 322.332 1.088 20 30 DDEDLO N#Cc1cc2ccccc2nc1N1CCC[N@@H+](CC(N)=O)CC1 ZINC000757841062 700674086 /nfs/dbraw/zinc/67/40/86/700674086.db2.gz UCOPDTQKLCVDOY-UHFFFAOYSA-N 1 2 309.373 1.104 20 30 DDEDLO N#Cc1cc2ccccc2nc1N1CCC[N@H+](CC(N)=O)CC1 ZINC000757841062 700674088 /nfs/dbraw/zinc/67/40/88/700674088.db2.gz UCOPDTQKLCVDOY-UHFFFAOYSA-N 1 2 309.373 1.104 20 30 DDEDLO Cc1cc(C#N)ccc1OS(=O)(=O)CCC[NH+]1CCOCC1 ZINC000766043158 701035166 /nfs/dbraw/zinc/03/51/66/701035166.db2.gz PLURKTDCTWBQLE-UHFFFAOYSA-N 1 2 324.402 1.298 20 30 DDEDLO N#CCCn1cc(C[N@@H+]2CCCNC(=O)C2)c(-c2ccccc2)n1 ZINC000769105238 701232066 /nfs/dbraw/zinc/23/20/66/701232066.db2.gz AGCVDTIDALAORC-UHFFFAOYSA-N 1 2 323.400 1.786 20 30 DDEDLO N#CCCn1cc(C[N@H+]2CCCNC(=O)C2)c(-c2ccccc2)n1 ZINC000769105238 701232067 /nfs/dbraw/zinc/23/20/67/701232067.db2.gz AGCVDTIDALAORC-UHFFFAOYSA-N 1 2 323.400 1.786 20 30 DDEDLO C#CC[N@H+](C[C@@H]1CCOc2ccccc21)[C@H]1CCS(=O)(=O)C1 ZINC000768932449 701217426 /nfs/dbraw/zinc/21/74/26/701217426.db2.gz TVIXWRRWUWTFEY-GJZGRUSLSA-N 1 2 319.426 1.675 20 30 DDEDLO C#CC[N@@H+](C[C@@H]1CCOc2ccccc21)[C@H]1CCS(=O)(=O)C1 ZINC000768932449 701217428 /nfs/dbraw/zinc/21/74/28/701217428.db2.gz TVIXWRRWUWTFEY-GJZGRUSLSA-N 1 2 319.426 1.675 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)nc1 ZINC000807319881 701473736 /nfs/dbraw/zinc/47/37/36/701473736.db2.gz KXIKEDRAYLFGQC-MRXNPFEDSA-N 1 2 314.389 1.213 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@H]2COCC[N@H+]2C2CCCC2)nc1 ZINC000807319881 701473737 /nfs/dbraw/zinc/47/37/37/701473737.db2.gz KXIKEDRAYLFGQC-MRXNPFEDSA-N 1 2 314.389 1.213 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@H](C(=O)N(C)C)C1 ZINC000839636238 701762101 /nfs/dbraw/zinc/76/21/01/701762101.db2.gz PIQREQLTPCVUSK-HUUCEWRRSA-N 1 2 315.373 1.182 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@H](C(=O)N(C)C)C1 ZINC000839636238 701762111 /nfs/dbraw/zinc/76/21/11/701762111.db2.gz PIQREQLTPCVUSK-HUUCEWRRSA-N 1 2 315.373 1.182 20 30 DDEDLO CC(C)(C)[N@@H+]1CC[C@](F)(C(=O)[C@@H](C#N)C(=O)N2CCCC2)C1 ZINC000810527828 701784051 /nfs/dbraw/zinc/78/40/51/701784051.db2.gz OSMVJVHJQHEBJS-MLGOLLRUSA-N 1 2 309.385 1.530 20 30 DDEDLO CC(C)(C)[N@H+]1CC[C@](F)(C(=O)[C@@H](C#N)C(=O)N2CCCC2)C1 ZINC000810527828 701784055 /nfs/dbraw/zinc/78/40/55/701784055.db2.gz OSMVJVHJQHEBJS-MLGOLLRUSA-N 1 2 309.385 1.530 20 30 DDEDLO CC(C)(C[C@@H]1CCC[N@H+](Cn2cccc(C#N)c2=O)C1)C(N)=O ZINC000840110968 701973814 /nfs/dbraw/zinc/97/38/14/701973814.db2.gz VREBZHVTDNMZNO-ZDUSSCGKSA-N 1 2 316.405 1.291 20 30 DDEDLO CC(C)(C[C@@H]1CCC[N@@H+](Cn2cccc(C#N)c2=O)C1)C(N)=O ZINC000840110968 701973817 /nfs/dbraw/zinc/97/38/17/701973817.db2.gz VREBZHVTDNMZNO-ZDUSSCGKSA-N 1 2 316.405 1.291 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)c(Cl)n1 ZINC000840521725 702139761 /nfs/dbraw/zinc/13/97/61/702139761.db2.gz DXPUAFLYVKXFQK-UHFFFAOYSA-N 1 2 322.796 1.447 20 30 DDEDLO COC(=O)CC[C@@H](C(=O)OC)[N@H+](C)CCc1cccc(C#N)c1 ZINC000879432136 706678214 /nfs/dbraw/zinc/67/82/14/706678214.db2.gz CWHVYYOASPFFBM-HNNXBMFYSA-N 1 2 318.373 1.527 20 30 DDEDLO COC(=O)CC[C@@H](C(=O)OC)[N@@H+](C)CCc1cccc(C#N)c1 ZINC000879432136 706678216 /nfs/dbraw/zinc/67/82/16/706678216.db2.gz CWHVYYOASPFFBM-HNNXBMFYSA-N 1 2 318.373 1.527 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(C)c(F)ccc2[N+](=O)[O-])CC1 ZINC000843781389 702923102 /nfs/dbraw/zinc/92/31/02/702923102.db2.gz UTSFSOXGOSDPJP-UHFFFAOYSA-N 1 2 319.336 1.823 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1CC(=O)N(C[NH+]2CCC(C)(C#N)CC2)C1 ZINC000844701021 703058608 /nfs/dbraw/zinc/05/86/08/703058608.db2.gz QINPNJBWDLYIDX-CYBMUJFWSA-N 1 2 321.421 1.760 20 30 DDEDLO C#CC[C@H]1CCC[N@@H+](CN2C(=O)c3ccc(OC)cc3C2=O)C1 ZINC000844709663 703060888 /nfs/dbraw/zinc/06/08/88/703060888.db2.gz GACMDFYKCPRWHU-ZDUSSCGKSA-N 1 2 312.369 1.984 20 30 DDEDLO C#CC[C@H]1CCC[N@H+](CN2C(=O)c3ccc(OC)cc3C2=O)C1 ZINC000844709663 703060890 /nfs/dbraw/zinc/06/08/90/703060890.db2.gz GACMDFYKCPRWHU-ZDUSSCGKSA-N 1 2 312.369 1.984 20 30 DDEDLO C=CC[N@@H+](CC(=O)[O-])C[C@H]1C[NH+](Cc2ccccc2)CCCO1 ZINC000846262765 703257646 /nfs/dbraw/zinc/25/76/46/703257646.db2.gz KSVCLPFRLSNYNK-KRWDZBQOSA-N 1 2 318.417 1.850 20 30 DDEDLO C=CC[N@H+](CC(=O)[O-])C[C@H]1C[NH+](Cc2ccccc2)CCCO1 ZINC000846262765 703257648 /nfs/dbraw/zinc/25/76/48/703257648.db2.gz KSVCLPFRLSNYNK-KRWDZBQOSA-N 1 2 318.417 1.850 20 30 DDEDLO C=CC[NH+](CC(=O)[O-])C[C@H]1C[N@H+](Cc2ccccc2)CCCO1 ZINC000846262765 703257650 /nfs/dbraw/zinc/25/76/50/703257650.db2.gz KSVCLPFRLSNYNK-KRWDZBQOSA-N 1 2 318.417 1.850 20 30 DDEDLO C=CC[NH+](CC(=O)[O-])C[C@H]1C[N@@H+](Cc2ccccc2)CCCO1 ZINC000846262765 703257652 /nfs/dbraw/zinc/25/76/52/703257652.db2.gz KSVCLPFRLSNYNK-KRWDZBQOSA-N 1 2 318.417 1.850 20 30 DDEDLO C#CCN1CCN(C(=O)C[NH2+][C@@H](CF)c2ccc(F)cc2)CC1 ZINC000879659816 706752126 /nfs/dbraw/zinc/75/21/26/706752126.db2.gz ACNBOJSUNATJLO-INIZCTEOSA-N 1 2 321.371 1.203 20 30 DDEDLO COc1ccc(C=NNCCCn2cc[nH+]c2)cc1[N+](=O)[O-] ZINC000848418293 703548087 /nfs/dbraw/zinc/54/80/87/703548087.db2.gz LVYYFYVYIPYPIR-UHFFFAOYSA-N 1 2 303.322 1.814 20 30 DDEDLO COc1cc(C=NNCCCn2cc[nH+]c2)cc2c1OCCO2 ZINC000848417772 703548309 /nfs/dbraw/zinc/54/83/09/703548309.db2.gz FIAWBVPOHIKENV-UHFFFAOYSA-N 1 2 316.361 1.677 20 30 DDEDLO Cn1c(Cl)ncc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000848876053 703596767 /nfs/dbraw/zinc/59/67/67/703596767.db2.gz GYUWUAKXUHAINT-UHFFFAOYSA-N 1 2 311.817 1.121 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC3(CCC3)[C@](F)(C(=O)OCC)C2)C1=O ZINC000852317912 704010563 /nfs/dbraw/zinc/01/05/63/704010563.db2.gz UIMWUJRBSIXJCR-CXAGYDPISA-N 1 2 324.396 1.531 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC3(CCC3)[C@](F)(C(=O)OCC)C2)C1=O ZINC000852317912 704010567 /nfs/dbraw/zinc/01/05/67/704010567.db2.gz UIMWUJRBSIXJCR-CXAGYDPISA-N 1 2 324.396 1.531 20 30 DDEDLO C#C[C@H](NC(=O)NCc1c[nH+]c(C)cc1C)C1CCOCC1 ZINC000852373524 704028055 /nfs/dbraw/zinc/02/80/55/704028055.db2.gz AWPJQOUJJFSNLA-INIZCTEOSA-N 1 2 301.390 1.926 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(O)c(C(=O)OCC)c1 ZINC000819361224 704113263 /nfs/dbraw/zinc/11/32/63/704113263.db2.gz XCYJEBDJHRTOAJ-AWEZNQCLSA-N 1 2 316.357 1.605 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(O)c(C(=O)OCC)c1 ZINC000819361224 704113264 /nfs/dbraw/zinc/11/32/64/704113264.db2.gz XCYJEBDJHRTOAJ-AWEZNQCLSA-N 1 2 316.357 1.605 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)N1CCC(c2ccccc2)=N1 ZINC000852752602 704114236 /nfs/dbraw/zinc/11/42/36/704114236.db2.gz DTTRFLVVTMGJIT-UHFFFAOYSA-N 1 2 313.401 1.595 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)N1CCC(c2ccccc2)=N1 ZINC000852752602 704114238 /nfs/dbraw/zinc/11/42/38/704114238.db2.gz DTTRFLVVTMGJIT-UHFFFAOYSA-N 1 2 313.401 1.595 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000819990892 704199025 /nfs/dbraw/zinc/19/90/25/704199025.db2.gz MFNBZULVMMCAQN-OAHLLOKOSA-N 1 2 309.373 1.361 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CN2C(=O)[C@]3(CCCO3)[C@H]2C2CC2)C1 ZINC000853523794 704259264 /nfs/dbraw/zinc/25/92/64/704259264.db2.gz GZNQFGIFZOZQLE-LXZKKBNFSA-N 1 2 303.406 1.740 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CN2C(=O)[C@]3(CCCO3)[C@H]2C2CC2)C1 ZINC000853523794 704259267 /nfs/dbraw/zinc/25/92/67/704259267.db2.gz GZNQFGIFZOZQLE-LXZKKBNFSA-N 1 2 303.406 1.740 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000853604629 704283691 /nfs/dbraw/zinc/28/36/91/704283691.db2.gz GUEDCIBDPSITRI-ZDUSSCGKSA-N 1 2 301.456 1.316 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000853604629 704283693 /nfs/dbraw/zinc/28/36/93/704283693.db2.gz GUEDCIBDPSITRI-ZDUSSCGKSA-N 1 2 301.456 1.316 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@H](C)[C@@H](CO)C1 ZINC000856795149 704538958 /nfs/dbraw/zinc/53/89/58/704538958.db2.gz BCWBGLMHLPZVRX-MAZHCROVSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@H](C)[C@@H](CO)C1 ZINC000856795149 704538959 /nfs/dbraw/zinc/53/89/59/704538959.db2.gz BCWBGLMHLPZVRX-MAZHCROVSA-N 1 2 302.374 1.723 20 30 DDEDLO N#CCCNC(=O)C[NH+]1CCC(C(=O)c2ccc(O)cc2)CC1 ZINC000857836429 704631344 /nfs/dbraw/zinc/63/13/44/704631344.db2.gz XZAGZLXRHHTTMO-UHFFFAOYSA-N 1 2 315.373 1.317 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@H+](CCO)C[C@H]1C ZINC000832764875 706978358 /nfs/dbraw/zinc/97/83/58/706978358.db2.gz QHYSEVMSQAYXOC-CQSZACIVSA-N 1 2 306.472 1.285 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@@H+](CCO)C[C@H]1C ZINC000832764875 706978361 /nfs/dbraw/zinc/97/83/61/706978361.db2.gz QHYSEVMSQAYXOC-CQSZACIVSA-N 1 2 306.472 1.285 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000875919816 705560094 /nfs/dbraw/zinc/56/00/94/705560094.db2.gz PKGPJQZWQZEWOG-AWEZNQCLSA-N 1 2 304.394 1.658 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)C2(C#N)CCSCC2)[C@H](C)CO1 ZINC000824949827 705564743 /nfs/dbraw/zinc/56/47/43/705564743.db2.gz LLDPUTXTWXISLA-CHWSQXEVSA-N 1 2 311.451 1.249 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)C2(C#N)CCSCC2)[C@H](C)CO1 ZINC000824949827 705564746 /nfs/dbraw/zinc/56/47/46/705564746.db2.gz LLDPUTXTWXISLA-CHWSQXEVSA-N 1 2 311.451 1.249 20 30 DDEDLO COc1cc(C(=O)NCC[N@@H+]2CCOCC2(C)C)ccc1C#N ZINC000825928129 705747226 /nfs/dbraw/zinc/74/72/26/705747226.db2.gz NDFCFWZKBORLQM-UHFFFAOYSA-N 1 2 317.389 1.407 20 30 DDEDLO COc1cc(C(=O)NCC[N@H+]2CCOCC2(C)C)ccc1C#N ZINC000825928129 705747229 /nfs/dbraw/zinc/74/72/29/705747229.db2.gz NDFCFWZKBORLQM-UHFFFAOYSA-N 1 2 317.389 1.407 20 30 DDEDLO CC(C)N1CCN(C(=O)[C@H]2[NH2+]CCc3cc(C#N)ccc32)CC1 ZINC000876718897 705837180 /nfs/dbraw/zinc/83/71/80/705837180.db2.gz XZHIYJOESZJAJM-KRWDZBQOSA-N 1 2 312.417 1.298 20 30 DDEDLO CC(C)[NH+]1CCN(C(=O)[C@H]2NCCc3cc(C#N)ccc32)CC1 ZINC000876718897 705837182 /nfs/dbraw/zinc/83/71/82/705837182.db2.gz XZHIYJOESZJAJM-KRWDZBQOSA-N 1 2 312.417 1.298 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCc3c(CC#N)cccc3C2)C1=O ZINC000863335227 705905132 /nfs/dbraw/zinc/90/51/32/705905132.db2.gz DJWZXGNHUOPUIA-UHFFFAOYSA-N 1 2 310.401 1.992 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCc3c(CC#N)cccc3C2)C1=O ZINC000863335227 705905137 /nfs/dbraw/zinc/90/51/37/705905137.db2.gz DJWZXGNHUOPUIA-UHFFFAOYSA-N 1 2 310.401 1.992 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H](C#N)[C@@H]([NH2+]Cc2ccc(=O)[nH]c2)C1 ZINC000877341024 706070993 /nfs/dbraw/zinc/07/09/93/706070993.db2.gz PCLVACMZUCIGHW-OLZOCXBDSA-N 1 2 318.377 1.636 20 30 DDEDLO CONC(=O)C1CC[NH+](Cc2cc(C#N)cnc2Cl)CC1 ZINC000877785720 706211761 /nfs/dbraw/zinc/21/17/61/706211761.db2.gz DJAQYYKXIVNHDI-UHFFFAOYSA-N 1 2 308.769 1.496 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000877787578 706212743 /nfs/dbraw/zinc/21/27/43/706212743.db2.gz OREUNAJTLDTKRY-CVEARBPZSA-N 1 2 319.426 1.565 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000877787578 706212744 /nfs/dbraw/zinc/21/27/44/706212744.db2.gz OREUNAJTLDTKRY-CVEARBPZSA-N 1 2 319.426 1.565 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000878159807 706309881 /nfs/dbraw/zinc/30/98/81/706309881.db2.gz WRMHJCVXMXECSL-IXDOHACOSA-N 1 2 307.438 1.492 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)/C=C\C[NH+]1CCOCC1)C2 ZINC000829485941 706343273 /nfs/dbraw/zinc/34/32/73/706343273.db2.gz NBDDVJXQCJRTRS-YYZONTRBSA-N 1 2 311.385 1.030 20 30 DDEDLO C=C(Cl)C[C@@H]1NC(=O)N(CC(C)(C)[NH+]2CCOCC2)C1=O ZINC000865297170 706397156 /nfs/dbraw/zinc/39/71/56/706397156.db2.gz OMNACMMNKJXYIN-NSHDSACASA-N 1 2 315.801 1.160 20 30 DDEDLO N#Cc1ccc(F)c(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC000830035026 706442649 /nfs/dbraw/zinc/44/26/49/706442649.db2.gz GRECVQZLHRGNIL-ZDUSSCGKSA-N 1 2 303.337 1.244 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CC[C@@](C(=O)NC)(C(F)(F)F)C1 ZINC000878768060 706494552 /nfs/dbraw/zinc/49/45/52/706494552.db2.gz CCGNLYZKMBAZHL-JOYOIKCWSA-N 1 2 308.300 1.105 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CC[C@@](C(=O)NC)(C(F)(F)F)C1 ZINC000878768060 706494556 /nfs/dbraw/zinc/49/45/56/706494556.db2.gz CCGNLYZKMBAZHL-JOYOIKCWSA-N 1 2 308.300 1.105 20 30 DDEDLO C[C@@H](CC#N)[NH2+][C@@H](C)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000878818208 706508083 /nfs/dbraw/zinc/50/80/83/706508083.db2.gz NWADMRFGGOKJRR-KBPBESRZSA-N 1 2 316.405 1.742 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@H+](C[C@@H]2CCc3ncnn32)C1 ZINC000878887284 706525499 /nfs/dbraw/zinc/52/54/99/706525499.db2.gz KQASIFJFUHGLIG-YOEHRIQHSA-N 1 2 308.389 1.718 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@@H+](C[C@@H]2CCc3ncnn32)C1 ZINC000878887284 706525500 /nfs/dbraw/zinc/52/55/00/706525500.db2.gz KQASIFJFUHGLIG-YOEHRIQHSA-N 1 2 308.389 1.718 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1Cc2ccccc2[C@@H](C(=O)OC)C1 ZINC000879061623 706576452 /nfs/dbraw/zinc/57/64/52/706576452.db2.gz FTVSHHVPQPYEQL-GJZGRUSLSA-N 1 2 303.358 1.877 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1Cc2ccccc2[C@@H](C(=O)OC)C1 ZINC000879061623 706576454 /nfs/dbraw/zinc/57/64/54/706576454.db2.gz FTVSHHVPQPYEQL-GJZGRUSLSA-N 1 2 303.358 1.877 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)C#Cc1cccs1 ZINC000832383361 706901425 /nfs/dbraw/zinc/90/14/25/706901425.db2.gz AXEMRMLXOLHYOK-KGLIPLIRSA-N 1 2 304.415 1.421 20 30 DDEDLO COCC1([N@H+](C)CCS(=O)(=O)c2ccc(C#N)cc2)CCC1 ZINC000880188319 706905578 /nfs/dbraw/zinc/90/55/78/706905578.db2.gz ZJAPPWIDSOYHMR-UHFFFAOYSA-N 1 2 322.430 1.833 20 30 DDEDLO COCC1([N@@H+](C)CCS(=O)(=O)c2ccc(C#N)cc2)CCC1 ZINC000880188319 706905581 /nfs/dbraw/zinc/90/55/81/706905581.db2.gz ZJAPPWIDSOYHMR-UHFFFAOYSA-N 1 2 322.430 1.833 20 30 DDEDLO COc1cccc2c1CC[C@@]2(CO)[NH2+]Cc1ccncc1C#N ZINC000880381843 706958022 /nfs/dbraw/zinc/95/80/22/706958022.db2.gz GGMKVLJIFXMXAU-SFHVURJKSA-N 1 2 309.369 1.885 20 30 DDEDLO CSCCNC(=S)NN=C1CCCc2[nH+]c(N)ccc21 ZINC000834967396 707153280 /nfs/dbraw/zinc/15/32/80/707153280.db2.gz BYDMDZVKVPFWSP-UHFFFAOYSA-N 1 2 309.464 1.531 20 30 DDEDLO CC(C)(C)OC1C[NH+](C[C@@H](O)COc2ccc(CC#N)cc2)C1 ZINC000871991789 707271686 /nfs/dbraw/zinc/27/16/86/707271686.db2.gz JXLOXKXACGUWQC-OAHLLOKOSA-N 1 2 318.417 1.992 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCN(C)c2ncccc2C1 ZINC000872316975 707379724 /nfs/dbraw/zinc/37/97/24/707379724.db2.gz DHCOKBBWZRYOOJ-UHFFFAOYSA-N 1 2 302.422 1.758 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCN(C)c2ncccc2C1 ZINC000872316975 707379730 /nfs/dbraw/zinc/37/97/30/707379730.db2.gz DHCOKBBWZRYOOJ-UHFFFAOYSA-N 1 2 302.422 1.758 20 30 DDEDLO CC(C)(C)NC(=S)NN=C1CCC[N@@H+]2CCSC[C@H]12 ZINC000872334273 707385514 /nfs/dbraw/zinc/38/55/14/707385514.db2.gz RSNDIGMERILWRR-LLVKDONJSA-N 1 2 300.497 1.816 20 30 DDEDLO CC(C)(C)NC(=S)NN=C1CCC[N@H+]2CCSC[C@H]12 ZINC000872334273 707385518 /nfs/dbraw/zinc/38/55/18/707385518.db2.gz RSNDIGMERILWRR-LLVKDONJSA-N 1 2 300.497 1.816 20 30 DDEDLO C#CC[C@@H](Cc1ccccc1)NS(=O)(=O)CCn1cc[nH+]c1 ZINC000882190229 707530232 /nfs/dbraw/zinc/53/02/32/707530232.db2.gz ABYMPQWGXJZIHA-INIZCTEOSA-N 1 2 317.414 1.437 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1ccc(OC)cc1 ZINC000884068037 708125044 /nfs/dbraw/zinc/12/50/44/708125044.db2.gz BAVOFZLIQOXMPH-RISCZKNCSA-N 1 2 306.362 1.319 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC(C)(C)c1ccc(F)cc1 ZINC000884124756 708150278 /nfs/dbraw/zinc/15/02/78/708150278.db2.gz GEKGOIGBBCODPV-ZDUSSCGKSA-N 1 2 308.353 1.624 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CC(C)(C)c2ccccc21 ZINC000884313127 708239446 /nfs/dbraw/zinc/23/94/46/708239446.db2.gz XBGUHZXTEVQHQH-GJZGRUSLSA-N 1 2 316.401 1.972 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H]1C[C@@H](OCC)C12CCC2 ZINC000884328081 708247105 /nfs/dbraw/zinc/24/71/05/708247105.db2.gz IUQABHYPHKYUQZ-BFHYXJOUSA-N 1 2 324.421 1.239 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCc2ccc(Cl)cc21 ZINC000884444167 708299465 /nfs/dbraw/zinc/29/94/65/708299465.db2.gz AKRJFNXIFJFRFS-UONOGXRCSA-N 1 2 322.792 1.890 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)CC3(CCCC3)C2=O)CC1 ZINC000884478841 708311600 /nfs/dbraw/zinc/31/16/00/708311600.db2.gz NZWIBOVTYFBJOY-UHFFFAOYSA-N 1 2 306.406 1.666 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccc(C)c(C)c2)C1 ZINC000885512348 708563002 /nfs/dbraw/zinc/56/30/02/708563002.db2.gz VEXVOTPNCZVAAD-QGZVFWFLSA-N 1 2 320.458 1.820 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccc(C)c(C)c2)C1 ZINC000885512348 708563005 /nfs/dbraw/zinc/56/30/05/708563005.db2.gz VEXVOTPNCZVAAD-QGZVFWFLSA-N 1 2 320.458 1.820 20 30 DDEDLO C=CCOCC[N@H+]1CCN(C(=O)OC(C)(C)C)C[C@H]1CO ZINC000886351610 708747291 /nfs/dbraw/zinc/74/72/91/708747291.db2.gz KOGXUZLRFOVBOR-ZDUSSCGKSA-N 1 2 300.399 1.103 20 30 DDEDLO C=CCOCC[N@@H+]1CCN(C(=O)OC(C)(C)C)C[C@H]1CO ZINC000886351610 708747292 /nfs/dbraw/zinc/74/72/92/708747292.db2.gz KOGXUZLRFOVBOR-ZDUSSCGKSA-N 1 2 300.399 1.103 20 30 DDEDLO C=CCC1(O)CC[NH+](CC(=O)N(CC)CC(F)(F)F)CC1 ZINC000886780662 708843619 /nfs/dbraw/zinc/84/36/19/708843619.db2.gz VNBBNPXJTASGJI-UHFFFAOYSA-N 1 2 308.344 1.800 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1Cc2cc(C(=O)OCC)nn2C[C@@H]1C ZINC000887118006 708961246 /nfs/dbraw/zinc/96/12/46/708961246.db2.gz FXBDJIGCFVTNPK-JSGCOSHPSA-N 1 2 307.394 1.591 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1Cc2cc(C(=O)OCC)nn2C[C@@H]1C ZINC000887118006 708961248 /nfs/dbraw/zinc/96/12/48/708961248.db2.gz FXBDJIGCFVTNPK-JSGCOSHPSA-N 1 2 307.394 1.591 20 30 DDEDLO C=CCNC(=S)N[NH+]=Cc1ccccc1N1CCOCC1 ZINC000912783721 713091648 /nfs/dbraw/zinc/09/16/48/713091648.db2.gz VAFFTZGQEDHZEX-UHFFFAOYSA-N 1 2 304.419 1.507 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1ccc(C#N)cn1 ZINC000889239704 709466267 /nfs/dbraw/zinc/46/62/67/709466267.db2.gz YIBKTWSWGBKMJT-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO N#CCN(CC#N)C(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC000900465020 709608193 /nfs/dbraw/zinc/60/81/93/709608193.db2.gz XMNSYLFJPZIDQY-UHFFFAOYSA-N 1 2 308.345 1.485 20 30 DDEDLO N#Cc1ccccc1N1CCN(C(=O)CNc2cccc[nH+]2)CC1 ZINC000900484396 709617855 /nfs/dbraw/zinc/61/78/55/709617855.db2.gz KTXJZZOQNOSDHN-UHFFFAOYSA-N 1 2 321.384 1.714 20 30 DDEDLO C#CCCCCCCn1cc(C[NH2+][C@@H]2CCS(=O)(=O)C2)nn1 ZINC000900643572 709692378 /nfs/dbraw/zinc/69/23/78/709692378.db2.gz PHFSNASTKGZDBP-CQSZACIVSA-N 1 2 324.450 1.139 20 30 DDEDLO COC(=O)[C@H](C)Oc1ccccc1C=NNc1[nH+]ccn1C ZINC000901236209 709979313 /nfs/dbraw/zinc/97/93/13/709979313.db2.gz PLJHARWSADGBNZ-NSHDSACASA-N 1 2 302.334 1.806 20 30 DDEDLO Cn1cc(CN(CCC#N)CC[NH+]2CCOCC2)c(C2CC2)n1 ZINC000891393993 710183327 /nfs/dbraw/zinc/18/33/27/710183327.db2.gz NEPRRUZPOGPUIJ-UHFFFAOYSA-N 1 2 317.437 1.345 20 30 DDEDLO C=CC[N@@H+](C[C@@H]1C[C@@]1(C)Br)[C@@H]1CCS(=O)(=O)C1 ZINC000891769172 710290422 /nfs/dbraw/zinc/29/04/22/710290422.db2.gz HGGCSPAYNHELKU-QJPTWQEYSA-N 1 2 322.268 1.835 20 30 DDEDLO C=CC[N@H+](C[C@@H]1C[C@@]1(C)Br)[C@@H]1CCS(=O)(=O)C1 ZINC000891769172 710290425 /nfs/dbraw/zinc/29/04/25/710290425.db2.gz HGGCSPAYNHELKU-QJPTWQEYSA-N 1 2 322.268 1.835 20 30 DDEDLO C[C@@H](CC(=O)Nc1ncc2c(c1C#N)COCC2)n1cc[nH+]c1 ZINC000891899091 710321779 /nfs/dbraw/zinc/32/17/79/710321779.db2.gz IYAXXLVMMXTWBV-NSHDSACASA-N 1 2 311.345 1.812 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)CN1C[C@@]2(CCCOC2)C1=O ZINC000902218569 710669176 /nfs/dbraw/zinc/66/91/76/710669176.db2.gz PPIMUINNZRJFDW-QGZVFWFLSA-N 1 2 304.390 1.907 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)CN1C[C@@]2(CCCOC2)C1=O ZINC000902218569 710669178 /nfs/dbraw/zinc/66/91/78/710669178.db2.gz PPIMUINNZRJFDW-QGZVFWFLSA-N 1 2 304.390 1.907 20 30 DDEDLO C=CC[N@H+](CN1C(=O)[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1=O)[C@@H](C)COC ZINC000902313667 710712749 /nfs/dbraw/zinc/71/27/49/710712749.db2.gz RDPIAWALIINXNG-DTFBLWLJSA-N 1 2 318.417 1.664 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1=O)[C@@H](C)COC ZINC000902313667 710712751 /nfs/dbraw/zinc/71/27/51/710712751.db2.gz RDPIAWALIINXNG-DTFBLWLJSA-N 1 2 318.417 1.664 20 30 DDEDLO NC(=[NH+]OC[C@@H]1CNC(=O)C1)c1ccc(Br)cc1 ZINC000902328176 710718650 /nfs/dbraw/zinc/71/86/50/710718650.db2.gz ZQXHZKJVGFRSJP-QMMMGPOBSA-N 1 2 312.167 1.222 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1cn(C)nn1 ZINC000902945901 710969794 /nfs/dbraw/zinc/96/97/94/710969794.db2.gz XDJGVWSALHQJIQ-WFASDCNBSA-N 1 2 324.388 1.533 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC000913449780 713220634 /nfs/dbraw/zinc/22/06/34/713220634.db2.gz PLFMNLYBDCQBHL-ZDUSSCGKSA-N 1 2 313.361 1.156 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC000913458535 713224816 /nfs/dbraw/zinc/22/48/16/713224816.db2.gz PRTSRKLSOJCOCY-CXAGYDPISA-N 1 2 323.400 1.633 20 30 DDEDLO C=CC[C@H]1CCCC[C@H]1[NH2+]Cc1nc(CS(C)(=O)=O)n[nH]1 ZINC000903295830 711091929 /nfs/dbraw/zinc/09/19/29/711091929.db2.gz CAOARDBAAJGSMF-NWDGAFQWSA-N 1 2 312.439 1.574 20 30 DDEDLO C#C[C@@H]1CCCN(C(=O)c2cccn2CC[NH+]2CCOCC2)C1 ZINC000912047949 711144185 /nfs/dbraw/zinc/14/41/85/711144185.db2.gz OGXDDLDZRMDSBO-MRXNPFEDSA-N 1 2 315.417 1.306 20 30 DDEDLO CC(=NN[C@@H]1CCS(=O)(=O)C1)c1cccc(-n2cc[nH+]c2)c1 ZINC000905417360 712032497 /nfs/dbraw/zinc/03/24/97/712032497.db2.gz SQGQKDWNHPTALT-CQSZACIVSA-N 1 2 318.402 1.373 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000907230693 712518576 /nfs/dbraw/zinc/51/85/76/712518576.db2.gz ZWGDJGQFHFCZEA-GFCCVEGCSA-N 1 2 308.407 1.058 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)CC[NH+]1CCN(CC)CC1 ZINC000908647834 712828515 /nfs/dbraw/zinc/82/85/15/712828515.db2.gz NLSITISGZKEFQK-MRXNPFEDSA-N 1 2 321.465 1.540 20 30 DDEDLO N#Cc1ccccc1C(F)(F)C(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000908759079 712849520 /nfs/dbraw/zinc/84/95/20/712849520.db2.gz XYIUJSXXAGGAPC-LLVKDONJSA-N 1 2 302.284 1.588 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](CCSc2ccncc2)CC1 ZINC000929097390 713545274 /nfs/dbraw/zinc/54/52/74/713545274.db2.gz QUTJNFZPPVFXHS-UHFFFAOYSA-N 1 2 320.462 1.094 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2ccc(C#N)nc2)C[C@@H]1C ZINC000919571122 713616053 /nfs/dbraw/zinc/61/60/53/713616053.db2.gz SQHMLRZTWRPIPR-TXEJJXNPSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2ccc(C#N)nc2)C[C@@H]1C ZINC000919571122 713616056 /nfs/dbraw/zinc/61/60/56/713616056.db2.gz SQHMLRZTWRPIPR-TXEJJXNPSA-N 1 2 308.407 1.056 20 30 DDEDLO N#Cc1ccc(NC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)cc1 ZINC000929508233 713626512 /nfs/dbraw/zinc/62/65/12/713626512.db2.gz DZQBVKUFBZEGQG-CVEARBPZSA-N 1 2 315.373 1.377 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+]2CC[S@](=O)[C@H](C)[C@@H]2C)cc1 ZINC000929678163 713666827 /nfs/dbraw/zinc/66/68/27/713666827.db2.gz BWWSKZSZHUQNSJ-VVYDWRJNSA-N 1 2 318.442 1.838 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+]2CC[S@](=O)[C@H](C)[C@@H]2C)cc1 ZINC000929678163 713666830 /nfs/dbraw/zinc/66/68/30/713666830.db2.gz BWWSKZSZHUQNSJ-VVYDWRJNSA-N 1 2 318.442 1.838 20 30 DDEDLO CC(C)(C)c1coc(C[NH2+]CCNC(=O)C2N=CC=CC2=O)n1 ZINC000921741468 713859436 /nfs/dbraw/zinc/85/94/36/713859436.db2.gz ICUZMKBEYWYIHF-CCEZHUSRSA-N 1 2 318.377 1.588 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)N[C@@H]2CCC[C@H]2C#N)n1 ZINC000921982659 713928210 /nfs/dbraw/zinc/92/82/10/713928210.db2.gz ZXJOESNVGMHMFD-CMPLNLGQSA-N 1 2 307.423 1.696 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C)C(=O)Nc2ccccc2OCC)CC1 ZINC000931141393 714013606 /nfs/dbraw/zinc/01/36/06/714013606.db2.gz ALNCFCBUDTXQBJ-AWEZNQCLSA-N 1 2 316.401 1.872 20 30 DDEDLO C[C@@H](NC(=O)C1(C#N)CCSCC1)[C@H](C)[NH+]1CCOCC1 ZINC000932051581 714246979 /nfs/dbraw/zinc/24/69/79/714246979.db2.gz HLLASGILAIQEBC-OLZOCXBDSA-N 1 2 311.451 1.249 20 30 DDEDLO N#CCNC1CC2(C1)CC(NC(=O)c1cc3c[nH+]ccc3[nH]1)C2 ZINC000964032062 717971505 /nfs/dbraw/zinc/97/15/05/717971505.db2.gz KKYBBEIWRMFIKD-UHFFFAOYSA-N 1 2 309.373 1.717 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(=O)c3ccccc3n2C)C1 ZINC000923554093 714399877 /nfs/dbraw/zinc/39/98/77/714399877.db2.gz MNBCUCGMFAHBHK-CQSZACIVSA-N 1 2 323.396 1.366 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(=O)c3ccccc3n2C)C1 ZINC000923554093 714399880 /nfs/dbraw/zinc/39/98/80/714399880.db2.gz MNBCUCGMFAHBHK-CQSZACIVSA-N 1 2 323.396 1.366 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(F)(F)C2(O)CCCC2)C1 ZINC000923560404 714403700 /nfs/dbraw/zinc/40/37/00/714403700.db2.gz AHAVXTJCBBBFJP-GFCCVEGCSA-N 1 2 300.349 1.141 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(F)(F)C2(O)CCCC2)C1 ZINC000923560404 714403702 /nfs/dbraw/zinc/40/37/02/714403702.db2.gz AHAVXTJCBBBFJP-GFCCVEGCSA-N 1 2 300.349 1.141 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)Cn2cc(C=O)c3ccccc32)C1 ZINC000923562443 714405183 /nfs/dbraw/zinc/40/51/83/714405183.db2.gz WVGGATBFDYWUBA-MRXNPFEDSA-N 1 2 323.396 1.668 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)Cn2cc(C=O)c3ccccc32)C1 ZINC000923562443 714405185 /nfs/dbraw/zinc/40/51/85/714405185.db2.gz WVGGATBFDYWUBA-MRXNPFEDSA-N 1 2 323.396 1.668 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cccc(C[S@@](C)=O)c2)C1 ZINC000923588598 714419248 /nfs/dbraw/zinc/41/92/48/714419248.db2.gz GSBZINYMMCLFLU-KSFYIVLOSA-N 1 2 318.442 1.393 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cccc(C[S@@](C)=O)c2)C1 ZINC000923588598 714419249 /nfs/dbraw/zinc/41/92/49/714419249.db2.gz GSBZINYMMCLFLU-KSFYIVLOSA-N 1 2 318.442 1.393 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](C[C@@H]3C[C@@H]4COC[C@@H]4O3)CCO2)cc1 ZINC000933632618 714635030 /nfs/dbraw/zinc/63/50/30/714635030.db2.gz SFRHYYZLDCOOBW-OWSLCNJRSA-N 1 2 314.385 1.735 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](C[C@@H]3C[C@@H]4COC[C@@H]4O3)CCO2)cc1 ZINC000933632618 714635031 /nfs/dbraw/zinc/63/50/31/714635031.db2.gz SFRHYYZLDCOOBW-OWSLCNJRSA-N 1 2 314.385 1.735 20 30 DDEDLO C#CC1(NC(=O)NCc2nc(C[NH+](C)C)cs2)CCCC1 ZINC000924790362 714664824 /nfs/dbraw/zinc/66/48/24/714664824.db2.gz FSARLPHQHDUZAV-UHFFFAOYSA-N 1 2 306.435 1.950 20 30 DDEDLO C[C@@]1(C2CC2)COCC[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000934481536 714830177 /nfs/dbraw/zinc/83/01/77/714830177.db2.gz VFPAYDHJTZIRMR-SFHVURJKSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@@]1(C2CC2)COCC[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000934481536 714830178 /nfs/dbraw/zinc/83/01/78/714830178.db2.gz VFPAYDHJTZIRMR-SFHVURJKSA-N 1 2 313.401 1.675 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CCOc1cccc(S(C)(=O)=O)c1 ZINC000934511987 714839379 /nfs/dbraw/zinc/83/93/79/714839379.db2.gz CJABPHSSJJYGOD-CQSZACIVSA-N 1 2 307.415 1.957 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CCOc1cccc(S(C)(=O)=O)c1 ZINC000934511987 714839381 /nfs/dbraw/zinc/83/93/81/714839381.db2.gz CJABPHSSJJYGOD-CQSZACIVSA-N 1 2 307.415 1.957 20 30 DDEDLO C#CCC1(NC(=O)[C@H]2CCc3[nH+]c(C)[nH]c3C2)CCOCC1 ZINC000925420096 714851023 /nfs/dbraw/zinc/85/10/23/714851023.db2.gz GNWARJAKDZAXGY-ZDUSSCGKSA-N 1 2 301.390 1.512 20 30 DDEDLO C#CCC1(NC(=O)[C@H]2CCc3[nH]c(C)[nH+]c3C2)CCOCC1 ZINC000925420096 714851024 /nfs/dbraw/zinc/85/10/24/714851024.db2.gz GNWARJAKDZAXGY-ZDUSSCGKSA-N 1 2 301.390 1.512 20 30 DDEDLO C[C@H](C#N)CN(C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C1CC1 ZINC000935070128 714968642 /nfs/dbraw/zinc/96/86/42/714968642.db2.gz YOZQMGDKBMHQSC-BMFZPTHFSA-N 1 2 321.421 1.017 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccnc(NC(C)=O)c2)C1 ZINC000956975599 715689829 /nfs/dbraw/zinc/68/98/29/715689829.db2.gz CYODSUTXHUUWCE-UHFFFAOYSA-N 1 2 316.405 1.762 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2c(CC)nn(C)c2Cl)CC1 ZINC000957107085 715750684 /nfs/dbraw/zinc/75/06/84/715750684.db2.gz LOSDZAYTRVWZKC-UHFFFAOYSA-N 1 2 310.829 1.970 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3nnc(C)o3)C2)C1 ZINC000939103200 716135618 /nfs/dbraw/zinc/13/56/18/716135618.db2.gz OGQCITKPHPOSBM-DGCLKSJQSA-N 1 2 304.394 1.671 20 30 DDEDLO O=C(Cn1cc[nH+]c1)N1CCN(CC#Cc2ccccc2)CC1 ZINC000957899440 716221302 /nfs/dbraw/zinc/22/13/02/716221302.db2.gz BPSHYKXGZYEREW-UHFFFAOYSA-N 1 2 308.385 1.079 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2ocnc2CC)[C@H](O)C1 ZINC000958384105 716517293 /nfs/dbraw/zinc/51/72/93/716517293.db2.gz FEGRYMZYKUVFMM-ZYHUDNBSSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2ocnc2CC)[C@H](O)C1 ZINC000958384105 716517297 /nfs/dbraw/zinc/51/72/97/716517297.db2.gz FEGRYMZYKUVFMM-ZYHUDNBSSA-N 1 2 313.785 1.012 20 30 DDEDLO CC(C)n1cc(C[N@H+](C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)nn1 ZINC000960500496 716582941 /nfs/dbraw/zinc/58/29/41/716582941.db2.gz GRILRXMAMRXADC-DZGCQCFKSA-N 1 2 318.425 1.441 20 30 DDEDLO CC(C)n1cc(C[N@@H+](C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)nn1 ZINC000960500496 716582944 /nfs/dbraw/zinc/58/29/44/716582944.db2.gz GRILRXMAMRXADC-DZGCQCFKSA-N 1 2 318.425 1.441 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ccc(OC)o2)C1 ZINC000958522324 716609821 /nfs/dbraw/zinc/60/98/21/716609821.db2.gz ORNQMMPKQQOYPQ-WDEREUQCSA-N 1 2 314.769 1.063 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ccc(OC)o2)C1 ZINC000958522324 716609822 /nfs/dbraw/zinc/60/98/22/716609822.db2.gz ORNQMMPKQQOYPQ-WDEREUQCSA-N 1 2 314.769 1.063 20 30 DDEDLO C[N@@H+](Cc1ccon1)C[C@H]1CCCN1C(=O)c1c[nH]c(C#N)c1 ZINC000960568365 716614893 /nfs/dbraw/zinc/61/48/93/716614893.db2.gz HMVXVMBAFNBJST-OAHLLOKOSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@H+](Cc1ccon1)C[C@H]1CCCN1C(=O)c1c[nH]c(C#N)c1 ZINC000960568365 716614895 /nfs/dbraw/zinc/61/48/95/716614895.db2.gz HMVXVMBAFNBJST-OAHLLOKOSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@@H+](Cc1nccs1)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960628194 716637580 /nfs/dbraw/zinc/63/75/80/716637580.db2.gz VEJLOKMEDWCWFI-AWEZNQCLSA-N 1 2 303.431 1.979 20 30 DDEDLO C[N@H+](Cc1nccs1)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960628194 716637582 /nfs/dbraw/zinc/63/75/82/716637582.db2.gz VEJLOKMEDWCWFI-AWEZNQCLSA-N 1 2 303.431 1.979 20 30 DDEDLO N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000961358873 716921597 /nfs/dbraw/zinc/92/15/97/716921597.db2.gz BIAXPIVTBWSREY-ZSHCYNCHSA-N 1 2 307.357 1.056 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000940850723 716991748 /nfs/dbraw/zinc/99/17/48/716991748.db2.gz MCXMNHKHCTVSRY-UKRRQHHQSA-N 1 2 315.421 1.186 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3CCC=CCC3)CC2)C1 ZINC000941670072 717249031 /nfs/dbraw/zinc/24/90/31/717249031.db2.gz CKZGCVBXHMAAIF-UHFFFAOYSA-N 1 2 301.434 1.194 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cn[nH]c2-c2ccccn2)[C@H](C)C1 ZINC000942113641 717487944 /nfs/dbraw/zinc/48/79/44/717487944.db2.gz QPJJEEQXBCVLTL-HIFRSBDPSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cn[nH]c2-c2ccccn2)[C@H](C)C1 ZINC000942113641 717487947 /nfs/dbraw/zinc/48/79/47/717487947.db2.gz QPJJEEQXBCVLTL-HIFRSBDPSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC000966572079 718592825 /nfs/dbraw/zinc/59/28/25/718592825.db2.gz YOVNZRMFIGLNMI-NHYWBVRUSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC000966572079 718592829 /nfs/dbraw/zinc/59/28/29/718592829.db2.gz YOVNZRMFIGLNMI-NHYWBVRUSA-N 1 2 324.856 1.850 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC000966659177 718619351 /nfs/dbraw/zinc/61/93/51/718619351.db2.gz CQFVILPWVNFWPF-OCCSQVGLSA-N 1 2 318.421 1.138 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC000967184661 718786145 /nfs/dbraw/zinc/78/61/45/718786145.db2.gz OKXFJKLLJJPXFX-WFASDCNBSA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC000967184661 718786149 /nfs/dbraw/zinc/78/61/49/718786149.db2.gz OKXFJKLLJJPXFX-WFASDCNBSA-N 1 2 300.406 1.362 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC000947692156 719245989 /nfs/dbraw/zinc/24/59/89/719245989.db2.gz BVLLDRFFKIDWBY-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cn(C)nn3)[C@@H](C)C2)C1 ZINC000947701462 719248030 /nfs/dbraw/zinc/24/80/30/719248030.db2.gz CFHZXVFCNYMKDF-KBPBESRZSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cn(C)nn3)[C@@H](C)C2)C1 ZINC000947701462 719248031 /nfs/dbraw/zinc/24/80/31/719248031.db2.gz CFHZXVFCNYMKDF-KBPBESRZSA-N 1 2 317.437 1.641 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000947717698 719251692 /nfs/dbraw/zinc/25/16/92/719251692.db2.gz GYRKZOFZPMFYMZ-UHFFFAOYSA-N 1 2 304.394 1.272 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCN1CC#N ZINC000947891560 719299549 /nfs/dbraw/zinc/29/95/49/719299549.db2.gz SVLTUGZMMOCXPA-KGLIPLIRSA-N 1 2 324.388 1.374 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC000948202853 719392313 /nfs/dbraw/zinc/39/23/13/719392313.db2.gz DJRLKSVGQWKLNE-KRWDZBQOSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cncn2C)C1 ZINC000968534991 719651079 /nfs/dbraw/zinc/65/10/79/719651079.db2.gz UTUOFRKZARUAOW-BXUZGUMPSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cncn2C)C1 ZINC000968534991 719651084 /nfs/dbraw/zinc/65/10/84/719651084.db2.gz UTUOFRKZARUAOW-BXUZGUMPSA-N 1 2 310.829 1.542 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1c(C)n[nH]c1C ZINC000948975279 719819476 /nfs/dbraw/zinc/81/94/76/719819476.db2.gz XIOJVYPIBZVPGI-KRWDZBQOSA-N 1 2 322.412 1.989 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1c(C)n[nH]c1C ZINC000948975279 719819481 /nfs/dbraw/zinc/81/94/81/719819481.db2.gz XIOJVYPIBZVPGI-KRWDZBQOSA-N 1 2 322.412 1.989 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2c(OC)cccc2OC)CC1 ZINC000949130673 719922899 /nfs/dbraw/zinc/92/28/99/719922899.db2.gz XJTYXFLRXRGMCC-UHFFFAOYSA-N 1 2 316.401 1.414 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2ccc3cnccc3c2)CC1 ZINC000949269339 719985500 /nfs/dbraw/zinc/98/55/00/719985500.db2.gz MFTNTSVWXREHGB-UHFFFAOYSA-N 1 2 307.397 1.945 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ncccc3C)CC2)C1 ZINC000949332854 720022774 /nfs/dbraw/zinc/02/27/74/720022774.db2.gz WAGYBPIRINHOGG-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ncccc3C)CC2)C1 ZINC000949332854 720022776 /nfs/dbraw/zinc/02/27/76/720022776.db2.gz WAGYBPIRINHOGG-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@@H]2CC[C@H](C(F)(F)F)O2)CC1 ZINC000949406209 720067269 /nfs/dbraw/zinc/06/72/69/720067269.db2.gz JZMVVLZXPFZEFC-QWHCGFSZSA-N 1 2 318.339 1.654 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@]3(C)CCO[C@H]3C)CC2)C1 ZINC000949426941 720080575 /nfs/dbraw/zinc/08/05/75/720080575.db2.gz BZWCGCATHDWMTB-DOTOQJQBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@]3(C)CCO[C@H]3C)CC2)C1 ZINC000949426941 720080577 /nfs/dbraw/zinc/08/05/77/720080577.db2.gz BZWCGCATHDWMTB-DOTOQJQBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3CC4(CCC4)C3)CC2)C1 ZINC000949445197 720091226 /nfs/dbraw/zinc/09/12/26/720091226.db2.gz UQJMLHFZUHPCCF-UHFFFAOYSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3CC4(CCC4)C3)CC2)C1 ZINC000949445197 720091229 /nfs/dbraw/zinc/09/12/29/720091229.db2.gz UQJMLHFZUHPCCF-UHFFFAOYSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@@]3(C)C(C)C)CC2)C1 ZINC000949501005 720126763 /nfs/dbraw/zinc/12/67/63/720126763.db2.gz QLYROLHDEZZPCG-WMZOPIPTSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@@]3(C)C(C)C)CC2)C1 ZINC000949501005 720126768 /nfs/dbraw/zinc/12/67/68/720126768.db2.gz QLYROLHDEZZPCG-WMZOPIPTSA-N 1 2 318.461 1.995 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCc3cnn(C)c3)CC2)cc1 ZINC000949597480 720199941 /nfs/dbraw/zinc/19/99/41/720199941.db2.gz YMZHBIZICVJBGR-UHFFFAOYSA-N 1 2 322.412 1.402 20 30 DDEDLO C=CCC[NH+]1CCN(C(=O)[C@H]2C[C@H](C)Cc3c[nH]nc32)CC1 ZINC000949837599 720373884 /nfs/dbraw/zinc/37/38/84/720373884.db2.gz QOAWAVZKFYSQCL-HIFRSBDPSA-N 1 2 302.422 1.796 20 30 DDEDLO CCN(C(=O)c1c[nH]c(C#N)c1)C1C[NH+](CCOC2CCC2)C1 ZINC000949918832 720415936 /nfs/dbraw/zinc/41/59/36/720415936.db2.gz FTUIMJKXWKJRLR-UHFFFAOYSA-N 1 2 316.405 1.602 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn(CCOC)c2C)C1 ZINC000950085234 720483962 /nfs/dbraw/zinc/48/39/62/720483962.db2.gz BDKSTSZPTQCEJC-UHFFFAOYSA-N 1 2 318.421 1.008 20 30 DDEDLO C=C(Cl)CN1CC([C@@H](C)NC(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000969977905 720583779 /nfs/dbraw/zinc/58/37/79/720583779.db2.gz BFRFQDIBCCCJST-CHWSQXEVSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCc3ncncc3C2)C1 ZINC000950497459 720658243 /nfs/dbraw/zinc/65/82/43/720658243.db2.gz RYWXCMRCDOGHPX-AWEZNQCLSA-N 1 2 314.433 1.690 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1 ZINC000970242389 720675329 /nfs/dbraw/zinc/67/53/29/720675329.db2.gz XJYSPSNCSHIEOR-DYEKYZERSA-N 1 2 322.434 1.210 20 30 DDEDLO C=C1CCC(C(=O)N[C@H](C)C2C[NH+](Cc3cnnn3C)C2)CC1 ZINC000970377281 720735830 /nfs/dbraw/zinc/73/58/30/720735830.db2.gz PHHYNBVNXSRFKB-CYBMUJFWSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccnc3n[nH]nc32)C1 ZINC000950844776 720793419 /nfs/dbraw/zinc/79/34/19/720793419.db2.gz JRRJSCQAEVYVLR-UHFFFAOYSA-N 1 2 300.366 1.075 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC000970940434 720998495 /nfs/dbraw/zinc/99/84/95/720998495.db2.gz BPBOGOWCZMCFIC-SMDDNHRTSA-N 1 2 313.829 1.186 20 30 DDEDLO C#Cc1cncc(C(=O)N(CC)C2C[NH+]([C@@H](C)COC)C2)c1 ZINC000951719686 721152832 /nfs/dbraw/zinc/15/28/32/721152832.db2.gz ZYBNPWMCSVFMMO-ZDUSSCGKSA-N 1 2 301.390 1.244 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncn(C(C)(C)C)n2)C1 ZINC000951749707 721165768 /nfs/dbraw/zinc/16/57/68/721165768.db2.gz DUMJCWRRCYCYOT-UHFFFAOYSA-N 1 2 303.410 1.203 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2[nH]ncc2Br)CC1 ZINC000951790572 721185833 /nfs/dbraw/zinc/18/58/33/721185833.db2.gz ADTQJINJTYFRSP-UHFFFAOYSA-N 1 2 313.199 1.506 20 30 DDEDLO C[N@H+](Cc1nccs1)[C@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971292509 721222452 /nfs/dbraw/zinc/22/24/52/721222452.db2.gz VFVXQHKKFXMELW-LBPRGKRZSA-N 1 2 315.402 1.689 20 30 DDEDLO C[N@@H+](Cc1nccs1)[C@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971292509 721222454 /nfs/dbraw/zinc/22/24/54/721222454.db2.gz VFVXQHKKFXMELW-LBPRGKRZSA-N 1 2 315.402 1.689 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@]3(C)CCCOC3)C2)C1 ZINC000972616592 735355570 /nfs/dbraw/zinc/35/55/70/735355570.db2.gz AXHONYSLWGOQGC-IRXDYDNUSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@]3(C)CCCOC3)C2)C1 ZINC000972616592 735355573 /nfs/dbraw/zinc/35/55/73/735355573.db2.gz AXHONYSLWGOQGC-IRXDYDNUSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ncoc3C3CC3)C2)C1 ZINC000972627129 735375868 /nfs/dbraw/zinc/37/58/68/735375868.db2.gz HXTAZKODPYDLGZ-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ncoc3C3CC3)C2)C1 ZINC000972627129 735375870 /nfs/dbraw/zinc/37/58/70/735375870.db2.gz HXTAZKODPYDLGZ-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCCN(C(=O)CCc2cn[nH]n2)C1 ZINC001027583903 738432432 /nfs/dbraw/zinc/43/24/32/738432432.db2.gz CMJZKCWCPDKMRM-CYBMUJFWSA-N 1 2 311.817 1.413 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCCN(C(=O)CCc2cn[nH]n2)C1 ZINC001027583903 738432433 /nfs/dbraw/zinc/43/24/33/738432433.db2.gz CMJZKCWCPDKMRM-CYBMUJFWSA-N 1 2 311.817 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001027583903 738432438 /nfs/dbraw/zinc/43/24/38/738432438.db2.gz CMJZKCWCPDKMRM-CYBMUJFWSA-N 1 2 311.817 1.413 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001027583903 738432439 /nfs/dbraw/zinc/43/24/39/738432439.db2.gz CMJZKCWCPDKMRM-CYBMUJFWSA-N 1 2 311.817 1.413 20 30 DDEDLO C[C@@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1ccc(C#N)nc1 ZINC001098153449 732318287 /nfs/dbraw/zinc/31/82/87/732318287.db2.gz SKDPKLLPSNXFTA-LBPRGKRZSA-N 1 2 320.356 1.831 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067716442 732679201 /nfs/dbraw/zinc/67/92/01/732679201.db2.gz RNVWMYPYKPFUHV-QGZVFWFLSA-N 1 2 320.437 1.792 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1C[C@@H]2CCC[C@]2(CNCC#N)C1 ZINC001112486469 733148712 /nfs/dbraw/zinc/14/87/12/733148712.db2.gz TVIRBQWFNNMFLZ-YOEHRIQHSA-N 1 2 315.421 1.095 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CNC(=O)c1c(Cl)nn(C)c1Cl ZINC001038799842 738684136 /nfs/dbraw/zinc/68/41/36/738684136.db2.gz YPALFGAMNCVSRV-MRVPVSSYSA-N 1 2 303.193 1.717 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(Cl)nn(C)c1Cl ZINC001038799842 738684139 /nfs/dbraw/zinc/68/41/39/738684139.db2.gz YPALFGAMNCVSRV-MRVPVSSYSA-N 1 2 303.193 1.717 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4c([nH]3)CCCC4)[C@H]2C1 ZINC001083241969 734703972 /nfs/dbraw/zinc/70/39/72/734703972.db2.gz IKJLLSFBRJDRDG-DLBZAZTESA-N 1 2 313.401 1.052 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4c([nH]3)CCCC4)[C@H]2C1 ZINC001083241969 734703976 /nfs/dbraw/zinc/70/39/76/734703976.db2.gz IKJLLSFBRJDRDG-DLBZAZTESA-N 1 2 313.401 1.052 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccoc3)C2)C1 ZINC000972334294 734794928 /nfs/dbraw/zinc/79/49/28/734794928.db2.gz WCFVQHBHIABPGY-SFHVURJKSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccoc3)C2)C1 ZINC000972334294 734794931 /nfs/dbraw/zinc/79/49/31/734794931.db2.gz WCFVQHBHIABPGY-SFHVURJKSA-N 1 2 316.401 1.539 20 30 DDEDLO C=C(C)C(=O)NCCCNc1ccc(N2CCOCC2)c[nH+]1 ZINC001167666377 735008400 /nfs/dbraw/zinc/00/84/00/735008400.db2.gz JGANNXSLOJSZSG-UHFFFAOYSA-N 1 2 304.394 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cnn(CC)n1 ZINC001024427973 735817737 /nfs/dbraw/zinc/81/77/37/735817737.db2.gz SXQYANQEBGIURW-GFCCVEGCSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cnn(CC)n1 ZINC001024427973 735817743 /nfs/dbraw/zinc/81/77/43/735817743.db2.gz SXQYANQEBGIURW-GFCCVEGCSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001024598134 735971410 /nfs/dbraw/zinc/97/14/10/735971410.db2.gz AOLOWRSKULSCBZ-LLVKDONJSA-N 1 2 312.801 1.463 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001024598134 735971414 /nfs/dbraw/zinc/97/14/14/735971414.db2.gz AOLOWRSKULSCBZ-LLVKDONJSA-N 1 2 312.801 1.463 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1C ZINC001121426233 782505063 /nfs/dbraw/zinc/50/50/63/782505063.db2.gz AEBTUQJCUDZRIP-AWEZNQCLSA-N 1 2 305.426 1.775 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1C ZINC001121426233 782505066 /nfs/dbraw/zinc/50/50/66/782505066.db2.gz AEBTUQJCUDZRIP-AWEZNQCLSA-N 1 2 305.426 1.775 20 30 DDEDLO C#CCOc1ncccc1C(=O)NC[C@@H]1CCCC[N@H+]1CCF ZINC001024767159 736077159 /nfs/dbraw/zinc/07/71/59/736077159.db2.gz ZXBPBRPNOQPFIG-AWEZNQCLSA-N 1 2 319.380 1.647 20 30 DDEDLO C#CCOc1ncccc1C(=O)NC[C@@H]1CCCC[N@@H+]1CCF ZINC001024767159 736077161 /nfs/dbraw/zinc/07/71/61/736077161.db2.gz ZXBPBRPNOQPFIG-AWEZNQCLSA-N 1 2 319.380 1.647 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@H](CC[NH2+]Cc2nnn(C)n2)C1 ZINC001104607509 736448191 /nfs/dbraw/zinc/44/81/91/736448191.db2.gz XLHDFFXZCIPPFN-ZIAGYGMSSA-N 1 2 320.441 1.141 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)Cc3ccccc3)[C@H]2C1 ZINC001083280686 736498326 /nfs/dbraw/zinc/49/83/26/736498326.db2.gz BSHXFCKVXXGXQF-RYQLBKOJSA-N 1 2 312.413 1.410 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)Cc3ccccc3)[C@H]2C1 ZINC001083280686 736498328 /nfs/dbraw/zinc/49/83/28/736498328.db2.gz BSHXFCKVXXGXQF-RYQLBKOJSA-N 1 2 312.413 1.410 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CC(C3CC3)C3CC3)[C@H]2C1 ZINC001083283736 736770325 /nfs/dbraw/zinc/77/03/25/736770325.db2.gz QIRLCJVUDGXGPG-DLBZAZTESA-N 1 2 302.418 1.358 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CC(C3CC3)C3CC3)[C@H]2C1 ZINC001083283736 736770327 /nfs/dbraw/zinc/77/03/27/736770327.db2.gz QIRLCJVUDGXGPG-DLBZAZTESA-N 1 2 302.418 1.358 20 30 DDEDLO N#CCNCC1(CCNC(=O)c2ccc(-n3cc[nH+]c3)cn2)CC1 ZINC001167720524 736989145 /nfs/dbraw/zinc/98/91/45/736989145.db2.gz YOIQNIJCJUARDS-UHFFFAOYSA-N 1 2 324.388 1.281 20 30 DDEDLO C=CCCCC(=O)N(C)[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104785682 737205068 /nfs/dbraw/zinc/20/50/68/737205068.db2.gz ZAHZWAHCOHOFFK-LBPRGKRZSA-N 1 2 316.409 1.978 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C[C@H]3C=CCC3)C2)nn1 ZINC001098607921 737222669 /nfs/dbraw/zinc/22/26/69/737222669.db2.gz IWZZMYPOFKUDGV-GOEBONIOSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098195872 738990609 /nfs/dbraw/zinc/99/06/09/738990609.db2.gz MELWAYKVZZYULM-NEPJUHHUSA-N 1 2 316.409 1.882 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001028240308 739214295 /nfs/dbraw/zinc/21/42/95/739214295.db2.gz PLFCMRYJTABADQ-HNNXBMFYSA-N 1 2 311.389 1.852 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001028240308 739214298 /nfs/dbraw/zinc/21/42/98/739214298.db2.gz PLFCMRYJTABADQ-HNNXBMFYSA-N 1 2 311.389 1.852 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(Cl)nc2ccccn21 ZINC001038993565 739260634 /nfs/dbraw/zinc/26/06/34/739260634.db2.gz JDIQVYYHAAUEBC-GFCCVEGCSA-N 1 2 316.792 1.815 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(Cl)nc2ccccn21 ZINC001038993565 739260637 /nfs/dbraw/zinc/26/06/37/739260637.db2.gz JDIQVYYHAAUEBC-GFCCVEGCSA-N 1 2 316.792 1.815 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3CC[C@H](C)CC3)C2)nn1 ZINC001105363195 739333323 /nfs/dbraw/zinc/33/33/23/739333323.db2.gz TUPRGSWIWIXLSS-HDJSIYSDSA-N 1 2 315.421 1.211 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)cc2F)C[C@H]1OC ZINC001211770784 739520710 /nfs/dbraw/zinc/52/07/10/739520710.db2.gz GOQFWYFBLLRBPB-HZPDHXFCSA-N 1 2 319.380 1.813 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2F)C[C@H]1OC ZINC001211770784 739520711 /nfs/dbraw/zinc/52/07/11/739520711.db2.gz GOQFWYFBLLRBPB-HZPDHXFCSA-N 1 2 319.380 1.813 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+][C@@H](C)c1nnc(CC(C)C)o1 ZINC001126378196 739626287 /nfs/dbraw/zinc/62/62/87/739626287.db2.gz JCNXUHIDTPUPAU-LRDDRELGSA-N 1 2 324.425 1.362 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)CSC ZINC001211819275 739628539 /nfs/dbraw/zinc/62/85/39/739628539.db2.gz MZVHQLAWVPQAFV-HZPDHXFCSA-N 1 2 318.442 1.217 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)CSC ZINC001211819275 739628541 /nfs/dbraw/zinc/62/85/41/739628541.db2.gz MZVHQLAWVPQAFV-HZPDHXFCSA-N 1 2 318.442 1.217 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn3ccc(C)cc23)C1 ZINC001035408859 751491241 /nfs/dbraw/zinc/49/12/41/751491241.db2.gz WQOGLRPJKNPSKM-AWEZNQCLSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnn3ccc(C)cc23)C1 ZINC001035408859 751491244 /nfs/dbraw/zinc/49/12/44/751491244.db2.gz WQOGLRPJKNPSKM-AWEZNQCLSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)nc3sccn32)C1 ZINC001035418575 751499817 /nfs/dbraw/zinc/49/98/17/751499817.db2.gz YDEWCQXDRGVONY-LBPRGKRZSA-N 1 2 320.418 1.321 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)nc3sccn32)C1 ZINC001035418575 751499821 /nfs/dbraw/zinc/49/98/21/751499821.db2.gz YDEWCQXDRGVONY-LBPRGKRZSA-N 1 2 320.418 1.321 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)cncc2C)C1 ZINC001035445676 751523308 /nfs/dbraw/zinc/52/33/08/751523308.db2.gz UDWNMEAXDZOAGI-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)cncc2C)C1 ZINC001035445676 751523313 /nfs/dbraw/zinc/52/33/13/751523313.db2.gz UDWNMEAXDZOAGI-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3[C@@H](C)C(=O)NC)C1 ZINC001029464596 740865661 /nfs/dbraw/zinc/86/56/61/740865661.db2.gz BZHJGOMJPVFBHK-MELADBBJSA-N 1 2 305.422 1.152 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3[C@@H](C)C(=O)NC)C1 ZINC001029464596 740865664 /nfs/dbraw/zinc/86/56/64/740865664.db2.gz BZHJGOMJPVFBHK-MELADBBJSA-N 1 2 305.422 1.152 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)no2)C1 ZINC001035532204 751613562 /nfs/dbraw/zinc/61/35/62/751613562.db2.gz DNDZBESVNGMVFM-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)no2)C1 ZINC001035532204 751613564 /nfs/dbraw/zinc/61/35/64/751613564.db2.gz DNDZBESVNGMVFM-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@H]2CCN(C(=O)[C@H](C)C#N)C2)s1 ZINC001029679245 741220463 /nfs/dbraw/zinc/22/04/63/741220463.db2.gz YGRNIOCPMVYPBS-ZYHUDNBSSA-N 1 2 307.423 1.287 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@H]2CCN(C(=O)[C@H](C)C#N)C2)s1 ZINC001029679245 741220466 /nfs/dbraw/zinc/22/04/66/741220466.db2.gz YGRNIOCPMVYPBS-ZYHUDNBSSA-N 1 2 307.423 1.287 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnn([C@@H](C)CC)c2C)C1 ZINC001035572923 751628190 /nfs/dbraw/zinc/62/81/90/751628190.db2.gz GCPZSNIYVVBRGI-DZGCQCFKSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnn([C@@H](C)CC)c2C)C1 ZINC001035572923 751628197 /nfs/dbraw/zinc/62/81/97/751628197.db2.gz GCPZSNIYVVBRGI-DZGCQCFKSA-N 1 2 320.437 1.779 20 30 DDEDLO N#CCN[C@@H]1CCCCCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC001088338153 741411447 /nfs/dbraw/zinc/41/14/47/741411447.db2.gz WJRCBYLCUZXZFP-HUUCEWRRSA-N 1 2 315.421 1.330 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCCCC[C@@H](NCC#N)C1 ZINC001088420642 741480649 /nfs/dbraw/zinc/48/06/49/741480649.db2.gz UUGIVFWSKQIQKF-CQSZACIVSA-N 1 2 303.410 1.237 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3ccncc32)C1 ZINC001035564870 751647729 /nfs/dbraw/zinc/64/77/29/751647729.db2.gz ZIGITSRDSSWKOL-OAHLLOKOSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3ccncc32)C1 ZINC001035564870 751647735 /nfs/dbraw/zinc/64/77/35/751647735.db2.gz ZIGITSRDSSWKOL-OAHLLOKOSA-N 1 2 311.385 1.851 20 30 DDEDLO C[N@@H+](Cc1nncs1)CC1CCN(C(=O)C#CC2CC2)CC1 ZINC001029965129 741648160 /nfs/dbraw/zinc/64/81/60/741648160.db2.gz OLSVZNOCMCHTNK-UHFFFAOYSA-N 1 2 318.446 1.622 20 30 DDEDLO C[N@H+](Cc1nncs1)CC1CCN(C(=O)C#CC2CC2)CC1 ZINC001029965129 741648162 /nfs/dbraw/zinc/64/81/62/741648162.db2.gz OLSVZNOCMCHTNK-UHFFFAOYSA-N 1 2 318.446 1.622 20 30 DDEDLO C#Cc1ccccc1CC(=O)N(C)CCN(C)c1cc[nH+]c(C)n1 ZINC001105442209 741698781 /nfs/dbraw/zinc/69/87/81/741698781.db2.gz GFYZIFNMPQUCKV-UHFFFAOYSA-N 1 2 322.412 1.904 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2cccc(C)c2=O)[C@H]1C ZINC001088565003 741836165 /nfs/dbraw/zinc/83/61/65/741836165.db2.gz YDLMAZAHBMLCMU-KGLIPLIRSA-N 1 2 323.824 1.488 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2cccc(C)c2=O)[C@H]1C ZINC001088565003 741836172 /nfs/dbraw/zinc/83/61/72/741836172.db2.gz YDLMAZAHBMLCMU-KGLIPLIRSA-N 1 2 323.824 1.488 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1OC ZINC001211999316 741932894 /nfs/dbraw/zinc/93/28/94/741932894.db2.gz GTUZJEPNPDVVRY-IAGOWNOFSA-N 1 2 313.401 1.840 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1OC ZINC001211999316 741932897 /nfs/dbraw/zinc/93/28/97/741932897.db2.gz GTUZJEPNPDVVRY-IAGOWNOFSA-N 1 2 313.401 1.840 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1OC ZINC001212022197 741944774 /nfs/dbraw/zinc/94/47/74/741944774.db2.gz FNUQOLRILPCVGA-ZIAGYGMSSA-N 1 2 309.435 1.733 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1OC ZINC001212022197 741944777 /nfs/dbraw/zinc/94/47/77/741944777.db2.gz FNUQOLRILPCVGA-ZIAGYGMSSA-N 1 2 309.435 1.733 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001035589320 751683638 /nfs/dbraw/zinc/68/36/38/751683638.db2.gz KMRJWEGQJNWFNX-HOTGVXAUSA-N 1 2 315.417 1.190 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001035589320 751683640 /nfs/dbraw/zinc/68/36/40/751683640.db2.gz KMRJWEGQJNWFNX-HOTGVXAUSA-N 1 2 315.417 1.190 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1OC ZINC001212097108 741988655 /nfs/dbraw/zinc/98/86/55/741988655.db2.gz NALLUVVXALLYPC-RSSSTFLOSA-N 1 2 313.401 1.840 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1OC ZINC001212097108 741988657 /nfs/dbraw/zinc/98/86/57/741988657.db2.gz NALLUVVXALLYPC-RSSSTFLOSA-N 1 2 313.401 1.840 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001035592991 751688792 /nfs/dbraw/zinc/68/87/92/751688792.db2.gz RRQZFFGYTXZPIJ-HNNXBMFYSA-N 1 2 301.390 1.576 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001035592991 751688798 /nfs/dbraw/zinc/68/87/98/751688798.db2.gz RRQZFFGYTXZPIJ-HNNXBMFYSA-N 1 2 301.390 1.576 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001035621008 751692784 /nfs/dbraw/zinc/69/27/84/751692784.db2.gz HOXRHYXPQJYDKC-AWEZNQCLSA-N 1 2 306.410 1.389 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001035621008 751692789 /nfs/dbraw/zinc/69/27/89/751692789.db2.gz HOXRHYXPQJYDKC-AWEZNQCLSA-N 1 2 306.410 1.389 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2COc3ccccc32)C1 ZINC001035625876 751697445 /nfs/dbraw/zinc/69/74/45/751697445.db2.gz YPPYRXKIAMYBLG-ZFWWWQNUSA-N 1 2 302.374 1.166 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2COc3ccccc32)C1 ZINC001035625876 751697447 /nfs/dbraw/zinc/69/74/47/751697447.db2.gz YPPYRXKIAMYBLG-ZFWWWQNUSA-N 1 2 302.374 1.166 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001035607098 751710693 /nfs/dbraw/zinc/71/06/93/751710693.db2.gz NEPDKZAVEVADFC-AWEZNQCLSA-N 1 2 319.405 1.411 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001035607098 751710699 /nfs/dbraw/zinc/71/06/99/751710699.db2.gz NEPDKZAVEVADFC-AWEZNQCLSA-N 1 2 319.405 1.411 20 30 DDEDLO C=CCCC(=O)NCC[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001060040482 742261929 /nfs/dbraw/zinc/26/19/29/742261929.db2.gz XQUVIKICSBJEEJ-CQSZACIVSA-N 1 2 318.421 1.582 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)n(C(C)(C)C)n2)C1 ZINC001035614974 751721172 /nfs/dbraw/zinc/72/11/72/751721172.db2.gz VAMDECYYWABMOY-CQSZACIVSA-N 1 2 320.437 1.563 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)n(C(C)(C)C)n2)C1 ZINC001035614974 751721178 /nfs/dbraw/zinc/72/11/78/751721178.db2.gz VAMDECYYWABMOY-CQSZACIVSA-N 1 2 320.437 1.563 20 30 DDEDLO Cc1cccc(-c2noc(C[NH2+]CCNC(=O)C#CC3CC3)n2)c1 ZINC001126885532 742425986 /nfs/dbraw/zinc/42/59/86/742425986.db2.gz IJWYSGUYYXBQGQ-UHFFFAOYSA-N 1 2 324.384 1.664 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)CCn1cccn1)CC2 ZINC001035658048 751735572 /nfs/dbraw/zinc/73/55/72/751735572.db2.gz IHJJKNPOZINQIP-UHFFFAOYSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077017370 743174706 /nfs/dbraw/zinc/17/47/06/743174706.db2.gz XWINNMBUUPCJII-UONOGXRCSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077017370 743174709 /nfs/dbraw/zinc/17/47/09/743174709.db2.gz XWINNMBUUPCJII-UONOGXRCSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077015513 743180135 /nfs/dbraw/zinc/18/01/35/743180135.db2.gz AMUBIQDNSUAANZ-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077015513 743180143 /nfs/dbraw/zinc/18/01/43/743180143.db2.gz AMUBIQDNSUAANZ-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@@H](C)CC1 ZINC001121509981 782542140 /nfs/dbraw/zinc/54/21/40/782542140.db2.gz LJOSCAFWOXLJNH-KFWWJZLASA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@@H](C)CC1 ZINC001121509981 782542147 /nfs/dbraw/zinc/54/21/47/782542147.db2.gz LJOSCAFWOXLJNH-KFWWJZLASA-N 1 2 319.453 1.828 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2n[nH]c3c2CCCC3)C1 ZINC001108048442 743293960 /nfs/dbraw/zinc/29/39/60/743293960.db2.gz UVPMTRHVLRBVQZ-KRWDZBQOSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2n[nH]c3c2CCCC3)C1 ZINC001108048442 743293964 /nfs/dbraw/zinc/29/39/64/743293964.db2.gz UVPMTRHVLRBVQZ-KRWDZBQOSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@H+](C[C@H](C)OC)CC2 ZINC001128259785 743407907 /nfs/dbraw/zinc/40/79/07/743407907.db2.gz STZKKJOUXKPKQR-ZDUSSCGKSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@@H+](C[C@H](C)OC)CC2 ZINC001128259785 743407914 /nfs/dbraw/zinc/40/79/14/743407914.db2.gz STZKKJOUXKPKQR-ZDUSSCGKSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2scnc2C2CCCC2)[C@@H](O)C1 ZINC001083610323 743541190 /nfs/dbraw/zinc/54/11/90/743541190.db2.gz ONODIRWNPGTYAJ-OLZOCXBDSA-N 1 2 321.446 1.762 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2scnc2C2CCCC2)[C@@H](O)C1 ZINC001083610323 743541195 /nfs/dbraw/zinc/54/11/95/743541195.db2.gz ONODIRWNPGTYAJ-OLZOCXBDSA-N 1 2 321.446 1.762 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2cccc3n[nH]nc32)CC1 ZINC001002899867 743565070 /nfs/dbraw/zinc/56/50/70/743565070.db2.gz VCFOLNADKVULQF-UHFFFAOYSA-N 1 2 319.796 1.905 20 30 DDEDLO Cc1ccc(C#N)c(NC2(CNC(=O)CCn3cc[nH+]c3)CC2)n1 ZINC001110290260 743620171 /nfs/dbraw/zinc/62/01/71/743620171.db2.gz RDCAFGLLHOIVNH-UHFFFAOYSA-N 1 2 324.388 1.609 20 30 DDEDLO Cn1cc[nH+]c1CCNC(=O)Cc1c[nH]c2cccc(C#N)c12 ZINC001182586546 743671098 /nfs/dbraw/zinc/67/10/98/743671098.db2.gz NVUHWYBCAWWSKG-UHFFFAOYSA-N 1 2 307.357 1.674 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@@H]2CCOC2)cc1F ZINC001038099409 743754167 /nfs/dbraw/zinc/75/41/67/743754167.db2.gz XFHHYRQHAXAGRT-HUUCEWRRSA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@@H]2CCOC2)cc1F ZINC001038099409 743754169 /nfs/dbraw/zinc/75/41/69/743754169.db2.gz XFHHYRQHAXAGRT-HUUCEWRRSA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCCN2C(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001060266116 743759816 /nfs/dbraw/zinc/75/98/16/743759816.db2.gz GJJSAFXLDUXOIF-OAHLLOKOSA-N 1 2 324.388 1.712 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1)OCC ZINC001182973388 743764427 /nfs/dbraw/zinc/76/44/27/743764427.db2.gz IUKMHTBOFRUQTP-KGLIPLIRSA-N 1 2 322.409 1.440 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+]Cc1nccc(C)n1 ZINC001127124740 743807138 /nfs/dbraw/zinc/80/71/38/743807138.db2.gz LHOCIRURRQWYRV-UHFFFAOYSA-N 1 2 320.437 1.754 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccccc1C#N ZINC001110341322 743926654 /nfs/dbraw/zinc/92/66/54/743926654.db2.gz FPWQXEGZJWLFLC-DXEWXGHRSA-N 1 2 313.401 1.815 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccccc1C#N ZINC001110341322 743926658 /nfs/dbraw/zinc/92/66/58/743926658.db2.gz FPWQXEGZJWLFLC-DXEWXGHRSA-N 1 2 313.401 1.815 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ccn(C3CCCCC3)n2)C1 ZINC001030310459 744042059 /nfs/dbraw/zinc/04/20/59/744042059.db2.gz KMUZHNWKIWJMBZ-UHFFFAOYSA-N 1 2 300.406 1.826 20 30 DDEDLO C=CCn1cccc1C(=O)NC1C[NH+](C[C@@H]2CC[C@@H](C)O2)C1 ZINC001030446266 744164941 /nfs/dbraw/zinc/16/49/41/744164941.db2.gz BKWILNIPEJGBPB-HIFRSBDPSA-N 1 2 303.406 1.656 20 30 DDEDLO C#CC[NH+]1CC2(C1)CCN(C(=O)c1cc(C(C)C)[nH]n1)CC2 ZINC001035801783 751906647 /nfs/dbraw/zinc/90/66/47/751906647.db2.gz HTVHKYIQTDDBDP-UHFFFAOYSA-N 1 2 300.406 1.704 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CC3CCC3)C2)nn1 ZINC001185898780 744305227 /nfs/dbraw/zinc/30/52/27/744305227.db2.gz GFHSYAJBGFHYPX-MRXNPFEDSA-N 1 2 315.421 1.355 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2cnc[nH]2)CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001088885138 744342909 /nfs/dbraw/zinc/34/29/09/744342909.db2.gz FUYDJKYNDWQCPW-DYVFJYSZSA-N 1 2 323.400 1.603 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2cnc[nH]2)CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001088885138 744342912 /nfs/dbraw/zinc/34/29/12/744342912.db2.gz FUYDJKYNDWQCPW-DYVFJYSZSA-N 1 2 323.400 1.603 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CCCS(C)(=O)=O ZINC001110379150 744377031 /nfs/dbraw/zinc/37/70/31/744377031.db2.gz YDLLSENWERYEBU-MCIONIFRSA-N 1 2 314.451 1.109 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CCCS(C)(=O)=O ZINC001110379150 744377035 /nfs/dbraw/zinc/37/70/35/744377035.db2.gz YDLLSENWERYEBU-MCIONIFRSA-N 1 2 314.451 1.109 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](Cc2ccccc2)OC(C)=O)CC1 ZINC001186330515 744387156 /nfs/dbraw/zinc/38/71/56/744387156.db2.gz FQHSIACIKKJJBC-KRWDZBQOSA-N 1 2 316.401 1.491 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001187209152 744527260 /nfs/dbraw/zinc/52/72/60/744527260.db2.gz KTCWHPPFRMVLAR-FPMFFAJLSA-N 1 2 304.394 1.938 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001187209152 744527263 /nfs/dbraw/zinc/52/72/63/744527263.db2.gz KTCWHPPFRMVLAR-FPMFFAJLSA-N 1 2 304.394 1.938 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCOC2)C1 ZINC001006889968 751928919 /nfs/dbraw/zinc/92/89/19/751928919.db2.gz DNZXKOAOALIRMU-IRXDYDNUSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCOC2)C1 ZINC001006889968 751928923 /nfs/dbraw/zinc/92/89/23/751928923.db2.gz DNZXKOAOALIRMU-IRXDYDNUSA-N 1 2 313.401 1.675 20 30 DDEDLO COc1ccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H](C)C#N)C3)cn1 ZINC001110395075 744576191 /nfs/dbraw/zinc/57/61/91/744576191.db2.gz VMYGJZUBLPZSGE-MYPMTAMASA-N 1 2 314.389 1.471 20 30 DDEDLO COc1ccc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H](C)C#N)C3)cn1 ZINC001110395075 744576195 /nfs/dbraw/zinc/57/61/95/744576195.db2.gz VMYGJZUBLPZSGE-MYPMTAMASA-N 1 2 314.389 1.471 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccccc1C#N ZINC001110394553 744579288 /nfs/dbraw/zinc/57/92/88/744579288.db2.gz FIYWBWWITYHMID-DXEWXGHRSA-N 1 2 308.385 1.939 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccccc1C#N ZINC001110394553 744579290 /nfs/dbraw/zinc/57/92/90/744579290.db2.gz FIYWBWWITYHMID-DXEWXGHRSA-N 1 2 308.385 1.939 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001187698082 744593354 /nfs/dbraw/zinc/59/33/54/744593354.db2.gz HHZIKJZAMBEAKO-BJJXKVORSA-N 1 2 305.378 1.034 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001187698082 744593358 /nfs/dbraw/zinc/59/33/58/744593358.db2.gz HHZIKJZAMBEAKO-BJJXKVORSA-N 1 2 305.378 1.034 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001089251180 744788161 /nfs/dbraw/zinc/78/81/61/744788161.db2.gz UNFUYMBANDUHSC-NEPJUHHUSA-N 1 2 320.441 1.907 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2snnc2C)C1 ZINC001189381335 744880292 /nfs/dbraw/zinc/88/02/92/744880292.db2.gz XBLXEBASLLLIGU-LBPRGKRZSA-N 1 2 310.423 1.082 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2snnc2C)C1 ZINC001189381335 744880295 /nfs/dbraw/zinc/88/02/95/744880295.db2.gz XBLXEBASLLLIGU-LBPRGKRZSA-N 1 2 310.423 1.082 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001190439734 745220899 /nfs/dbraw/zinc/22/08/99/745220899.db2.gz BXDZQHSGHYADKC-IJEWVQPXSA-N 1 2 319.405 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001190439734 745220905 /nfs/dbraw/zinc/22/09/05/745220905.db2.gz BXDZQHSGHYADKC-IJEWVQPXSA-N 1 2 319.405 1.607 20 30 DDEDLO CC#CC[N@H+](C)[C@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC001190566781 745255833 /nfs/dbraw/zinc/25/58/33/745255833.db2.gz SPWSMLQHXLNXAF-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC001190566781 745255837 /nfs/dbraw/zinc/25/58/37/745255837.db2.gz SPWSMLQHXLNXAF-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO C=CCC1(S(=O)(=O)N[C@@H]2CC[N@H+](Cc3ccccn3)C2)CC1 ZINC001190835875 745345812 /nfs/dbraw/zinc/34/58/12/745345812.db2.gz CTLFWKDJXMOUBY-OAHLLOKOSA-N 1 2 321.446 1.684 20 30 DDEDLO C=CCC1(S(=O)(=O)N[C@@H]2CC[N@@H+](Cc3ccccn3)C2)CC1 ZINC001190835875 745345816 /nfs/dbraw/zinc/34/58/16/745345816.db2.gz CTLFWKDJXMOUBY-OAHLLOKOSA-N 1 2 321.446 1.684 20 30 DDEDLO Cn1nccc1C[N@@H+]1CCC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007052269 751999592 /nfs/dbraw/zinc/99/95/92/751999592.db2.gz YRWKASVZDCOQQV-CYBMUJFWSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1nccc1C[N@H+]1CCC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007052269 751999594 /nfs/dbraw/zinc/99/95/94/751999594.db2.gz YRWKASVZDCOQQV-CYBMUJFWSA-N 1 2 312.377 1.014 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1n1ccnn1 ZINC001129210043 752015451 /nfs/dbraw/zinc/01/54/51/752015451.db2.gz OBAPMGZZXMSMNJ-RKWPFYGESA-N 1 2 323.828 1.728 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1n1ccnn1 ZINC001129210043 752015456 /nfs/dbraw/zinc/01/54/56/752015456.db2.gz OBAPMGZZXMSMNJ-RKWPFYGESA-N 1 2 323.828 1.728 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]([N@@H+](C)Cc3cnnn3C)C2)CC1 ZINC001192181918 745722741 /nfs/dbraw/zinc/72/27/41/745722741.db2.gz YPVFFXAVURMOIS-CQSZACIVSA-N 1 2 317.437 1.594 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]([N@H+](C)Cc3cnnn3C)C2)CC1 ZINC001192181918 745722744 /nfs/dbraw/zinc/72/27/44/745722744.db2.gz YPVFFXAVURMOIS-CQSZACIVSA-N 1 2 317.437 1.594 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@H+](CCC(F)(F)F)C[C@H]1O ZINC001192871894 745910141 /nfs/dbraw/zinc/91/01/41/745910141.db2.gz YPHGUUOWNRLUTI-GHMZBOCLSA-N 1 2 306.328 1.150 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@@H+](CCC(F)(F)F)C[C@H]1O ZINC001192871894 745910144 /nfs/dbraw/zinc/91/01/44/745910144.db2.gz YPHGUUOWNRLUTI-GHMZBOCLSA-N 1 2 306.328 1.150 20 30 DDEDLO C[C@H](c1ccccc1F)[N@@H+]1C[C@@H](O)[C@H](NC(=O)C#CC2CC2)C1 ZINC001192861510 745919810 /nfs/dbraw/zinc/91/98/10/745919810.db2.gz BGKZICHYBONTKG-CSMYWGQOSA-N 1 2 316.376 1.461 20 30 DDEDLO C[C@H](c1ccccc1F)[N@H+]1C[C@@H](O)[C@H](NC(=O)C#CC2CC2)C1 ZINC001192861510 745919813 /nfs/dbraw/zinc/91/98/13/745919813.db2.gz BGKZICHYBONTKG-CSMYWGQOSA-N 1 2 316.376 1.461 20 30 DDEDLO CC#CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)CCc1cnn[nH]1 ZINC001099244838 745929772 /nfs/dbraw/zinc/92/97/72/745929772.db2.gz DYIQZIDQVFMFNF-OAHLLOKOSA-N 1 2 317.437 1.367 20 30 DDEDLO CC#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)CCc1cnn[nH]1 ZINC001099244838 745929776 /nfs/dbraw/zinc/92/97/76/745929776.db2.gz DYIQZIDQVFMFNF-OAHLLOKOSA-N 1 2 317.437 1.367 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cnn(C(C)C)c2C2CC2)C1 ZINC001031229630 745936699 /nfs/dbraw/zinc/93/66/99/745936699.db2.gz DXEZGUJVHPCWBZ-UHFFFAOYSA-N 1 2 300.406 1.779 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)Nc1ccc(F)cc1OCC[NH+](C)C ZINC001193158366 746002110 /nfs/dbraw/zinc/00/21/10/746002110.db2.gz OXWVTRGILIARGX-SNVBAGLBSA-N 1 2 315.370 1.420 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001193337177 746068261 /nfs/dbraw/zinc/06/82/61/746068261.db2.gz KIALWKVYMZIAKH-ZIAGYGMSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001193337177 746068264 /nfs/dbraw/zinc/06/82/64/746068264.db2.gz KIALWKVYMZIAKH-ZIAGYGMSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cnc[nH]c2=O)[C@@H]1C ZINC000993465637 746173934 /nfs/dbraw/zinc/17/39/34/746173934.db2.gz HWPJMSLDBBOICL-JQWIXIFHSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cnc[nH]c2=O)[C@@H]1C ZINC000993465637 746173936 /nfs/dbraw/zinc/17/39/36/746173936.db2.gz HWPJMSLDBBOICL-JQWIXIFHSA-N 1 2 310.785 1.517 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001194396519 746366370 /nfs/dbraw/zinc/36/63/70/746366370.db2.gz DZUYCEKZIAKISO-DZGCQCFKSA-N 1 2 306.410 1.044 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001194396519 746366376 /nfs/dbraw/zinc/36/63/76/746366376.db2.gz DZUYCEKZIAKISO-DZGCQCFKSA-N 1 2 306.410 1.044 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2nnc[nH]2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000994015300 746420952 /nfs/dbraw/zinc/42/09/52/746420952.db2.gz ASRDKUNYJFXHMC-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2nnc[nH]2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000994015300 746420955 /nfs/dbraw/zinc/42/09/55/746420955.db2.gz ASRDKUNYJFXHMC-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2ncn[nH]2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000994015300 746420960 /nfs/dbraw/zinc/42/09/60/746420960.db2.gz ASRDKUNYJFXHMC-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2ncn[nH]2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000994015300 746420961 /nfs/dbraw/zinc/42/09/61/746420961.db2.gz ASRDKUNYJFXHMC-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C(F)=C(C)C)CC1 ZINC001195472301 746618663 /nfs/dbraw/zinc/61/86/63/746618663.db2.gz BCRBFTDXWIVPMR-ZDUSSCGKSA-N 1 2 311.401 1.475 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C(F)=C(C)C)CC1 ZINC001195472301 746618666 /nfs/dbraw/zinc/61/86/66/746618666.db2.gz BCRBFTDXWIVPMR-ZDUSSCGKSA-N 1 2 311.401 1.475 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)CC)CC1 ZINC001195645232 746663960 /nfs/dbraw/zinc/66/39/60/746663960.db2.gz LJYQQOJIAPSTHX-OAHLLOKOSA-N 1 2 307.438 1.239 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)CC)CC1 ZINC001195645232 746663963 /nfs/dbraw/zinc/66/39/63/746663963.db2.gz LJYQQOJIAPSTHX-OAHLLOKOSA-N 1 2 307.438 1.239 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)CCCC)CC1 ZINC001195727042 746677639 /nfs/dbraw/zinc/67/76/39/746677639.db2.gz HMHHOWIEOBLINP-JKSUJKDBSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)CCCC)CC1 ZINC001195727042 746677643 /nfs/dbraw/zinc/67/76/43/746677643.db2.gz HMHHOWIEOBLINP-JKSUJKDBSA-N 1 2 321.465 1.485 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccnc(OC)c2)C[C@H]1O ZINC001195732801 746679230 /nfs/dbraw/zinc/67/92/30/746679230.db2.gz KAJLIUMGMTZQOO-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccnc(OC)c2)C[C@H]1O ZINC001195732801 746679231 /nfs/dbraw/zinc/67/92/31/746679231.db2.gz KAJLIUMGMTZQOO-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3ccccn3)C[C@@H]2O)CC1 ZINC001083754243 746693525 /nfs/dbraw/zinc/69/35/25/746693525.db2.gz HYGYBCBFIMWAAY-SJORKVTESA-N 1 2 315.417 1.489 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3ccccn3)C[C@@H]2O)CC1 ZINC001083754243 746693527 /nfs/dbraw/zinc/69/35/27/746693527.db2.gz HYGYBCBFIMWAAY-SJORKVTESA-N 1 2 315.417 1.489 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@@H+](Cc2ccc(F)cn2)CC1 ZINC001195824601 746718115 /nfs/dbraw/zinc/71/81/15/746718115.db2.gz XXRFPUPDQUJNID-UHFFFAOYSA-N 1 2 319.380 1.295 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@H+](Cc2ccc(F)cn2)CC1 ZINC001195824601 746718119 /nfs/dbraw/zinc/71/81/19/746718119.db2.gz XXRFPUPDQUJNID-UHFFFAOYSA-N 1 2 319.380 1.295 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NCC)CC1 ZINC001195958268 746749871 /nfs/dbraw/zinc/74/98/71/746749871.db2.gz SWVOIDBWRAJUTD-UHFFFAOYSA-N 1 2 315.845 1.436 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@H+](CC(=O)NCC)CC1 ZINC001195958268 746749874 /nfs/dbraw/zinc/74/98/74/746749874.db2.gz SWVOIDBWRAJUTD-UHFFFAOYSA-N 1 2 315.845 1.436 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cc(NC(C)=O)ccc2F)C1 ZINC001031467614 746858308 /nfs/dbraw/zinc/85/83/08/746858308.db2.gz FKIIPXLLHONWQB-UHFFFAOYSA-N 1 2 303.337 1.221 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(C3CC3)CCC2)CC1 ZINC001196656947 746924712 /nfs/dbraw/zinc/92/47/12/746924712.db2.gz WUIGDSRTUSRHPQ-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2(C3CC3)CCC2)CC1 ZINC001196656947 746924718 /nfs/dbraw/zinc/92/47/18/746924718.db2.gz WUIGDSRTUSRHPQ-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO CCC(CC)[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001212494280 746942645 /nfs/dbraw/zinc/94/26/45/746942645.db2.gz ZYWFEXHQYVCNSR-DJIMGWMZSA-N 1 2 324.465 1.524 20 30 DDEDLO CCC(CC)[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001212494280 746942648 /nfs/dbraw/zinc/94/26/48/746942648.db2.gz ZYWFEXHQYVCNSR-DJIMGWMZSA-N 1 2 324.465 1.524 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C/C=C(\C)C=C)CC1 ZINC001196790655 746962632 /nfs/dbraw/zinc/96/26/32/746962632.db2.gz OHFFPZBXVTYQSR-VIZOYTHASA-N 1 2 305.422 1.345 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C/C=C(\C)C=C)CC1 ZINC001196790655 746962638 /nfs/dbraw/zinc/96/26/38/746962638.db2.gz OHFFPZBXVTYQSR-VIZOYTHASA-N 1 2 305.422 1.345 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)c2cocc2C)CC1 ZINC001196774931 746969675 /nfs/dbraw/zinc/96/96/75/746969675.db2.gz NKKYKFPPNKWSSO-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)c2cocc2C)CC1 ZINC001196774931 746969682 /nfs/dbraw/zinc/96/96/82/746969682.db2.gz NKKYKFPPNKWSSO-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[N@H+](Cc2cnnn2C)CC1 ZINC001196901305 747004809 /nfs/dbraw/zinc/00/48/09/747004809.db2.gz LDBHGOITDSUGGM-CQSZACIVSA-N 1 2 305.426 1.452 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[N@@H+](Cc2cnnn2C)CC1 ZINC001196901305 747004814 /nfs/dbraw/zinc/00/48/14/747004814.db2.gz LDBHGOITDSUGGM-CQSZACIVSA-N 1 2 305.426 1.452 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2(C)CCCCC2)CC1 ZINC001197014093 747032928 /nfs/dbraw/zinc/03/29/28/747032928.db2.gz AIZRSFOPTXYGTP-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(C)CCCCC2)CC1 ZINC001197014093 747032931 /nfs/dbraw/zinc/03/29/31/747032931.db2.gz AIZRSFOPTXYGTP-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO CCc1ccoc1C(=O)N1CCC[N@H+](CC#CCOC)CC1 ZINC001197048687 747035896 /nfs/dbraw/zinc/03/58/96/747035896.db2.gz IUNBIYYUUUYGIZ-UHFFFAOYSA-N 1 2 304.390 1.640 20 30 DDEDLO CCc1ccoc1C(=O)N1CCC[N@@H+](CC#CCOC)CC1 ZINC001197048687 747035907 /nfs/dbraw/zinc/03/59/07/747035907.db2.gz IUNBIYYUUUYGIZ-UHFFFAOYSA-N 1 2 304.390 1.640 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CC[C@H](C)C2)CC1 ZINC001197338423 747140908 /nfs/dbraw/zinc/14/09/08/747140908.db2.gz AAPKYGNYGOUUJJ-GJZGRUSLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CC[C@H](C)C2)CC1 ZINC001197338423 747140914 /nfs/dbraw/zinc/14/09/14/747140914.db2.gz AAPKYGNYGOUUJJ-GJZGRUSLSA-N 1 2 307.438 1.259 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)C2CCC2)[C@@H](O)C1 ZINC001090000414 747158657 /nfs/dbraw/zinc/15/86/57/747158657.db2.gz GHRJQXUSEBJLNK-SJORKVTESA-N 1 2 313.401 1.410 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)C2CCC2)[C@@H](O)C1 ZINC001090000414 747158661 /nfs/dbraw/zinc/15/86/61/747158661.db2.gz GHRJQXUSEBJLNK-SJORKVTESA-N 1 2 313.401 1.410 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1NC(=O)C#CC1CC1 ZINC001212244440 747234139 /nfs/dbraw/zinc/23/41/39/747234139.db2.gz UJAQFWSLPUKXQU-HUUCEWRRSA-N 1 2 319.430 1.180 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1NC(=O)C#CC1CC1 ZINC001212244440 747234142 /nfs/dbraw/zinc/23/41/42/747234142.db2.gz UJAQFWSLPUKXQU-HUUCEWRRSA-N 1 2 319.430 1.180 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn(C)c2C2CC2)C1 ZINC001016404210 747270059 /nfs/dbraw/zinc/27/00/59/747270059.db2.gz CUMNSEUOSKRJSF-LBPRGKRZSA-N 1 2 308.813 1.854 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn(C)c2C2CC2)C1 ZINC001016404210 747270066 /nfs/dbraw/zinc/27/00/66/747270066.db2.gz CUMNSEUOSKRJSF-LBPRGKRZSA-N 1 2 308.813 1.854 20 30 DDEDLO C#Cc1cncc(C(=O)NC2CC[NH+](Cc3ncc(C)o3)CC2)c1 ZINC001003594949 747309715 /nfs/dbraw/zinc/30/97/15/747309715.db2.gz WIAYWTAKTYBFEW-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C[C@H]3C[C@H]3C)c2C1 ZINC001128476471 747354869 /nfs/dbraw/zinc/35/48/69/747354869.db2.gz VACWWKILVJQIRY-ZIAGYGMSSA-N 1 2 302.422 1.937 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C[C@H]3C[C@H]3C)c2C1 ZINC001128476471 747354871 /nfs/dbraw/zinc/35/48/71/747354871.db2.gz VACWWKILVJQIRY-ZIAGYGMSSA-N 1 2 302.422 1.937 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3ocnc3C)C[C@@H]2O)C1 ZINC001083763508 747496379 /nfs/dbraw/zinc/49/63/79/747496379.db2.gz OCVWOTCKAGLBKC-OLZOCXBDSA-N 1 2 305.378 1.001 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3ocnc3C)C[C@@H]2O)C1 ZINC001083763508 747496383 /nfs/dbraw/zinc/49/63/83/747496383.db2.gz OCVWOTCKAGLBKC-OLZOCXBDSA-N 1 2 305.378 1.001 20 30 DDEDLO C#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1ncccc1O ZINC001089633358 747514058 /nfs/dbraw/zinc/51/40/58/747514058.db2.gz HWVFMHQQOCQLSH-CYBMUJFWSA-N 1 2 301.390 1.641 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001007548033 752201977 /nfs/dbraw/zinc/20/19/77/752201977.db2.gz SJEFLPUMCCINJJ-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001007548033 752201982 /nfs/dbraw/zinc/20/19/82/752201982.db2.gz SJEFLPUMCCINJJ-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](N(C)C(=O)c3cc(C#N)c[nH]3)C2)cn1 ZINC001032992945 747872932 /nfs/dbraw/zinc/87/29/32/747872932.db2.gz TXRGOHMVKUGSQJ-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](N(C)C(=O)c3cc(C#N)c[nH]3)C2)cn1 ZINC001032992945 747872941 /nfs/dbraw/zinc/87/29/41/747872941.db2.gz TXRGOHMVKUGSQJ-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001110540142 747949710 /nfs/dbraw/zinc/94/97/10/747949710.db2.gz DVMRPENELCWCCV-YUELXQCFSA-N 1 2 301.415 1.778 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001110540142 747949713 /nfs/dbraw/zinc/94/97/13/747949713.db2.gz DVMRPENELCWCCV-YUELXQCFSA-N 1 2 301.415 1.778 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001007603918 752235848 /nfs/dbraw/zinc/23/58/48/752235848.db2.gz LUDWTSRFKIGGHZ-WFASDCNBSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001007603918 752235853 /nfs/dbraw/zinc/23/58/53/752235853.db2.gz LUDWTSRFKIGGHZ-WFASDCNBSA-N 1 2 313.829 1.236 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@H](C[NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001200101578 748123623 /nfs/dbraw/zinc/12/36/23/748123623.db2.gz YTOCGFATAIPEST-GXTWGEPZSA-N 1 2 322.409 1.612 20 30 DDEDLO Cc1n[nH]cc1C(=O)NCC1C[NH+](Cc2ccc(C#N)s2)C1 ZINC001031888933 748127614 /nfs/dbraw/zinc/12/76/14/748127614.db2.gz PPMSWUVPPWKKJG-UHFFFAOYSA-N 1 2 315.402 1.513 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@@H](C[NH2+]Cc2cnsn2)C1 ZINC001200084346 748130775 /nfs/dbraw/zinc/13/07/75/748130775.db2.gz VXHVTNKMCYCDNG-ZDUSSCGKSA-N 1 2 310.423 1.211 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077574369 748249305 /nfs/dbraw/zinc/24/93/05/748249305.db2.gz BHNFKTKQZHOCFF-LSDHHAIUSA-N 1 2 320.437 1.887 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1ncc(C2CC2)o1 ZINC001124482800 748275077 /nfs/dbraw/zinc/27/50/77/748275077.db2.gz HHCGQTACGKZGRB-LBPRGKRZSA-N 1 2 307.394 1.739 20 30 DDEDLO CCc1ncoc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031965088 748316335 /nfs/dbraw/zinc/31/63/35/748316335.db2.gz UVDBETAXNASYMK-UHFFFAOYSA-N 1 2 324.384 1.970 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)C2CCC(O)CC2)CC1 ZINC001004427586 748424145 /nfs/dbraw/zinc/42/41/45/748424145.db2.gz UFBMIYUKMHXPKE-JCYILVPMSA-N 1 2 319.449 1.764 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)C2CCC(O)CC2)CC1 ZINC001004427586 748424146 /nfs/dbraw/zinc/42/41/46/748424146.db2.gz UFBMIYUKMHXPKE-JCYILVPMSA-N 1 2 319.449 1.764 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108072902 748442654 /nfs/dbraw/zinc/44/26/54/748442654.db2.gz XAEQKBVJBUTNFZ-NWDGAFQWSA-N 1 2 316.409 1.882 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ccc3cccnn32)C1 ZINC001032032375 748469685 /nfs/dbraw/zinc/46/96/85/748469685.db2.gz ABSXEZDLHRSUHN-UHFFFAOYSA-N 1 2 304.781 1.748 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cn3cc(C)nc3s2)C1 ZINC001033144555 748552737 /nfs/dbraw/zinc/55/27/37/748552737.db2.gz YLPLICXHWZNALT-GFCCVEGCSA-N 1 2 302.403 1.484 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cn3cc(C)nc3s2)C1 ZINC001033144555 748552741 /nfs/dbraw/zinc/55/27/41/748552741.db2.gz YLPLICXHWZNALT-GFCCVEGCSA-N 1 2 302.403 1.484 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(CC)CC)no2)[C@@H](O)C1 ZINC001083842847 748585606 /nfs/dbraw/zinc/58/56/06/748585606.db2.gz GDULOYPMTHTOLA-CABCVRRESA-N 1 2 319.405 1.376 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(CC)CC)no2)[C@@H](O)C1 ZINC001083842847 748585610 /nfs/dbraw/zinc/58/56/10/748585610.db2.gz GDULOYPMTHTOLA-CABCVRRESA-N 1 2 319.405 1.376 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+]([C@@H](C)c3cnccn3)C2)nc1 ZINC001032134779 748726392 /nfs/dbraw/zinc/72/63/92/748726392.db2.gz BGCJZNOEZRGVHN-ZDUSSCGKSA-N 1 2 321.384 1.276 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+]Cc1nc(C2CC2)no1)OCC ZINC001128528005 748739567 /nfs/dbraw/zinc/73/95/67/748739567.db2.gz HSLNRZYBCDOMQN-CYBMUJFWSA-N 1 2 322.409 1.524 20 30 DDEDLO CC(C)C[C@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#N)n1cc[nH+]c1 ZINC001110697083 748902811 /nfs/dbraw/zinc/90/28/11/748902811.db2.gz ZFVJOAXDFAEQTI-LVQVYYBASA-N 1 2 315.421 1.715 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cn3cccnc3n2)C1 ZINC001033226954 748984098 /nfs/dbraw/zinc/98/40/98/748984098.db2.gz YWWYCXGIZRJNCO-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cn3cccnc3n2)C1 ZINC001033226954 748984100 /nfs/dbraw/zinc/98/41/00/748984100.db2.gz YWWYCXGIZRJNCO-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@H]1OC ZINC001212349306 749039751 /nfs/dbraw/zinc/03/97/51/749039751.db2.gz PANOCZCCQCIJJM-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@H]1OC ZINC001212349306 749039757 /nfs/dbraw/zinc/03/97/57/749039757.db2.gz PANOCZCCQCIJJM-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)C)on2)C1 ZINC001108102747 749158760 /nfs/dbraw/zinc/15/87/60/749158760.db2.gz FPVHBADAGURFBC-INIZCTEOSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)C)on2)C1 ZINC001108102747 749158762 /nfs/dbraw/zinc/15/87/62/749158762.db2.gz FPVHBADAGURFBC-INIZCTEOSA-N 1 2 307.394 1.805 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CC(C)(C)C)nn2)C1 ZINC001107129407 749188777 /nfs/dbraw/zinc/18/87/77/749188777.db2.gz WEMOYYFBVJDPHC-UHFFFAOYSA-N 1 2 303.410 1.211 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2ccc(OC)cn2)C1 ZINC001033364093 749252236 /nfs/dbraw/zinc/25/22/36/749252236.db2.gz AUWZVEXSYFDIOB-CQSZACIVSA-N 1 2 323.824 1.918 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2ccc(OC)cn2)C1 ZINC001033364093 749252242 /nfs/dbraw/zinc/25/22/42/749252242.db2.gz AUWZVEXSYFDIOB-CQSZACIVSA-N 1 2 323.824 1.918 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2oc(CC)nc2C)C1 ZINC001108324434 761935790 /nfs/dbraw/zinc/93/57/90/761935790.db2.gz WNXQXBKVHITUJE-INIZCTEOSA-N 1 2 307.394 1.552 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2oc(CC)nc2C)C1 ZINC001108324434 761935794 /nfs/dbraw/zinc/93/57/94/761935794.db2.gz WNXQXBKVHITUJE-INIZCTEOSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnccn1 ZINC001039324480 761935921 /nfs/dbraw/zinc/93/59/21/761935921.db2.gz KIKYKOFFRFXDPO-OLZOCXBDSA-N 1 2 306.797 1.908 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnccn1 ZINC001039324480 761935926 /nfs/dbraw/zinc/93/59/26/761935926.db2.gz KIKYKOFFRFXDPO-OLZOCXBDSA-N 1 2 306.797 1.908 20 30 DDEDLO CN(C(=O)c1ccnnc1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033453981 749359900 /nfs/dbraw/zinc/35/99/00/749359900.db2.gz RWWAIANVZFSBJM-QGZVFWFLSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C(=O)c1ccnnc1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033453981 749359909 /nfs/dbraw/zinc/35/99/09/749359909.db2.gz RWWAIANVZFSBJM-QGZVFWFLSA-N 1 2 321.384 1.695 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc3ncccn3n2)C1 ZINC001033526969 749478260 /nfs/dbraw/zinc/47/82/60/749478260.db2.gz NLQKRTAKQPBRAU-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc3ncccn3n2)C1 ZINC001033526969 749478263 /nfs/dbraw/zinc/47/82/63/749478263.db2.gz NLQKRTAKQPBRAU-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)Cc3ccccc3)nn2)C1 ZINC001107183715 749516016 /nfs/dbraw/zinc/51/60/16/749516016.db2.gz RWVNMGWWCNJPOJ-UHFFFAOYSA-N 1 2 323.400 1.017 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(CC=C)CCC3)nn2)C1 ZINC001107204798 749587142 /nfs/dbraw/zinc/58/71/42/749587142.db2.gz IBXUBHXHIJWGIQ-UHFFFAOYSA-N 1 2 315.421 1.683 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnn3cc[nH]c23)C1 ZINC001033613428 749594471 /nfs/dbraw/zinc/59/44/71/749594471.db2.gz LPLJSGKELFJGSZ-LLVKDONJSA-N 1 2 307.785 1.561 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnn3cc[nH]c23)C1 ZINC001033613428 749594478 /nfs/dbraw/zinc/59/44/78/749594478.db2.gz LPLJSGKELFJGSZ-LLVKDONJSA-N 1 2 307.785 1.561 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)c3ccco3)nn2)C1 ZINC001107211130 749599279 /nfs/dbraw/zinc/59/92/79/749599279.db2.gz MEUGZEGNODWYRN-LBPRGKRZSA-N 1 2 315.377 1.334 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnnn1C ZINC001039368196 761969841 /nfs/dbraw/zinc/96/98/41/761969841.db2.gz HOGUOUYVJVGZCS-NEPJUHHUSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnnn1C ZINC001039368196 761969844 /nfs/dbraw/zinc/96/98/44/761969844.db2.gz HOGUOUYVJVGZCS-NEPJUHHUSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C[C@@H](C)C3CC3)nn2)C1 ZINC001107238664 749680103 /nfs/dbraw/zinc/68/01/03/749680103.db2.gz JUCRDPZSOGCSNA-CYBMUJFWSA-N 1 2 317.437 1.763 20 30 DDEDLO Cc1nc(N2CCC(NC(=O)CSCC#N)CC2)cc[nH+]1 ZINC001095405333 749722180 /nfs/dbraw/zinc/72/21/80/749722180.db2.gz JRZKIKVPPSDBIY-UHFFFAOYSA-N 1 2 305.407 1.127 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cc[n+]([O-])cc2)C1 ZINC001033738106 749801535 /nfs/dbraw/zinc/80/15/35/749801535.db2.gz YAKQEXUQXQZDBS-CQSZACIVSA-N 1 2 309.797 1.609 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc[n+]([O-])cc2)C1 ZINC001033738106 749801541 /nfs/dbraw/zinc/80/15/41/749801541.db2.gz YAKQEXUQXQZDBS-CQSZACIVSA-N 1 2 309.797 1.609 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@@H]2COCCO2)C1 ZINC001033761144 749836955 /nfs/dbraw/zinc/83/69/55/749836955.db2.gz GLAQBSLFPIBIBR-STQMWFEESA-N 1 2 302.802 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2COCCO2)C1 ZINC001033761144 749836959 /nfs/dbraw/zinc/83/69/59/749836959.db2.gz GLAQBSLFPIBIBR-STQMWFEESA-N 1 2 302.802 1.077 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc2nonc2c1 ZINC001032318638 749953665 /nfs/dbraw/zinc/95/36/65/749953665.db2.gz UQHNJFQNOUHEKY-WXHSDQCUSA-N 1 2 311.345 1.168 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc2nonc2c1 ZINC001032318638 749953672 /nfs/dbraw/zinc/95/36/72/749953672.db2.gz UQHNJFQNOUHEKY-WXHSDQCUSA-N 1 2 311.345 1.168 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001033837912 749962345 /nfs/dbraw/zinc/96/23/45/749962345.db2.gz VCKNQNUGBNPPCU-CABCVRRESA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001033837912 749962350 /nfs/dbraw/zinc/96/23/50/749962350.db2.gz VCKNQNUGBNPPCU-CABCVRRESA-N 1 2 300.406 1.386 20 30 DDEDLO CCN(C(=O)[C@@H]1CCc2[nH+]c(C)[nH]c2C1)[C@H]1CCN(CC#N)C1 ZINC001033877998 750016854 /nfs/dbraw/zinc/01/68/54/750016854.db2.gz LNYQQFYBPZMMLE-KGLIPLIRSA-N 1 2 315.421 1.269 20 30 DDEDLO CCN(C(=O)[C@@H]1CCc2[nH]c(C)[nH+]c2C1)[C@H]1CCN(CC#N)C1 ZINC001033877998 750016860 /nfs/dbraw/zinc/01/68/60/750016860.db2.gz LNYQQFYBPZMMLE-KGLIPLIRSA-N 1 2 315.421 1.269 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCCCN(C)c1cc[nH+]c(C)n1 ZINC001095604476 750073031 /nfs/dbraw/zinc/07/30/31/750073031.db2.gz VAPNZEORRRKVBQ-UHFFFAOYSA-N 1 2 322.412 1.952 20 30 DDEDLO C[C@@H](CC(=O)NC/C=C/CNc1ccc(C#N)cn1)n1cc[nH+]c1 ZINC001107546081 750110487 /nfs/dbraw/zinc/11/04/87/750110487.db2.gz TUUAIHQXRZWZSK-HSWBROFVSA-N 1 2 324.388 1.885 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC/C=C\CNc1ccncc1C#N ZINC001107549952 750114152 /nfs/dbraw/zinc/11/41/52/750114152.db2.gz UAXGWVYWKWCLNG-XQJDBVBESA-N 1 2 324.388 1.061 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC/C=C\CNc1ccncc1C#N ZINC001107549952 750114155 /nfs/dbraw/zinc/11/41/55/750114155.db2.gz UAXGWVYWKWCLNG-XQJDBVBESA-N 1 2 324.388 1.061 20 30 DDEDLO N#Cc1cccnc1NC/C=C/CNC(=O)CCc1c[nH]c[nH+]1 ZINC001107565617 750151051 /nfs/dbraw/zinc/15/10/51/750151051.db2.gz CGZXGEFKKZWEQC-OWOJBTEDSA-N 1 2 310.361 1.393 20 30 DDEDLO N#Cc1cccnc1NC/C=C/CNC(=O)CCc1c[nH+]c[nH]1 ZINC001107565617 750151054 /nfs/dbraw/zinc/15/10/54/750151054.db2.gz CGZXGEFKKZWEQC-OWOJBTEDSA-N 1 2 310.361 1.393 20 30 DDEDLO N#Cc1ccc(NCC=CCNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)cn1 ZINC001107573761 750163783 /nfs/dbraw/zinc/16/37/83/750163783.db2.gz UBCXEGWUALYKOO-RIVGAVQESA-N 1 2 322.372 1.564 20 30 DDEDLO N#Cc1ccc(NCC=CCNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)cn1 ZINC001107573761 750163787 /nfs/dbraw/zinc/16/37/87/750163787.db2.gz UBCXEGWUALYKOO-RIVGAVQESA-N 1 2 322.372 1.564 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC/C=C\CNc1ccc(C#N)nc1 ZINC001107602543 750224557 /nfs/dbraw/zinc/22/45/57/750224557.db2.gz LWVIXESSVKCGJP-IHWYPQMZSA-N 1 2 324.388 1.404 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(C)C ZINC001110989313 750285027 /nfs/dbraw/zinc/28/50/27/750285027.db2.gz KSZVGHLIDOCPEL-ZXFNITATSA-N 1 2 321.465 1.835 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC(C)C ZINC001110989313 750285028 /nfs/dbraw/zinc/28/50/28/750285028.db2.gz KSZVGHLIDOCPEL-ZXFNITATSA-N 1 2 321.465 1.835 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCCc2nc(C)no2)C1 ZINC001108393956 762035978 /nfs/dbraw/zinc/03/59/78/762035978.db2.gz CLUJVDUIQKREKG-INIZCTEOSA-N 1 2 322.409 1.094 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCCc2nc(C)no2)C1 ZINC001108393956 762035988 /nfs/dbraw/zinc/03/59/88/762035988.db2.gz CLUJVDUIQKREKG-INIZCTEOSA-N 1 2 322.409 1.094 20 30 DDEDLO N#CCN1CCCC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC001034491909 750480597 /nfs/dbraw/zinc/48/05/97/750480597.db2.gz ISULIIHPZHEXRW-AWEZNQCLSA-N 1 2 324.388 1.375 20 30 DDEDLO CCCCOCC[N@@H+]1CCO[C@@](C)(CNC(=O)C#CC(C)C)C1 ZINC001107930688 750663988 /nfs/dbraw/zinc/66/39/88/750663988.db2.gz MVHQXBMFMIQIEO-SFHVURJKSA-N 1 2 324.465 1.670 20 30 DDEDLO CCCCOCC[N@H+]1CCO[C@@](C)(CNC(=O)C#CC(C)C)C1 ZINC001107930688 750663990 /nfs/dbraw/zinc/66/39/90/750663990.db2.gz MVHQXBMFMIQIEO-SFHVURJKSA-N 1 2 324.465 1.670 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)CCC)CC2 ZINC001127925460 750667274 /nfs/dbraw/zinc/66/72/74/750667274.db2.gz SUKYDQNMGXNSGF-ZDUSSCGKSA-N 1 2 303.410 1.012 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H]2[C@H]3CN(c4cc[nH+]c(C)n4)C[C@H]32)CCC1 ZINC001114583412 750772475 /nfs/dbraw/zinc/77/24/75/750772475.db2.gz RCMQSBODAXAFBS-PHZGNYQRSA-N 1 2 324.428 1.777 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2csc(C(C)C)n2)[C@@H](O)C1 ZINC001083865727 750916619 /nfs/dbraw/zinc/91/66/19/750916619.db2.gz UGFKNIQMGKOVEY-YPMHNXCESA-N 1 2 307.419 1.065 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2csc(C(C)C)n2)[C@@H](O)C1 ZINC001083865727 750916620 /nfs/dbraw/zinc/91/66/20/750916620.db2.gz UGFKNIQMGKOVEY-YPMHNXCESA-N 1 2 307.419 1.065 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001114759639 751055797 /nfs/dbraw/zinc/05/57/97/751055797.db2.gz MESDNQGVGKRPRM-PBOSXPJTSA-N 1 2 304.394 1.842 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001114759639 751055802 /nfs/dbraw/zinc/05/58/02/751055802.db2.gz MESDNQGVGKRPRM-PBOSXPJTSA-N 1 2 304.394 1.842 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2NC[C@H]1C[C@H](NC(=O)C#CC2CC2)C1 ZINC001114766845 751063991 /nfs/dbraw/zinc/06/39/91/751063991.db2.gz PMLXSISHZWFISZ-JOCQHMNTSA-N 1 2 324.388 1.083 20 30 DDEDLO N#Cc1cnc2ccnn2c1Nc1ccc[nH+]c1N1CCOCC1 ZINC001212351972 751071542 /nfs/dbraw/zinc/07/15/42/751071542.db2.gz BCOILPGQFQXVNX-UHFFFAOYSA-N 1 2 321.344 1.576 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nonc4C)C[C@H]32)CCC1 ZINC001114785217 751077262 /nfs/dbraw/zinc/07/72/62/751077262.db2.gz KADMGDSQWFHFSG-NHAGDIPZSA-N 1 2 316.405 1.671 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nonc4C)C[C@H]32)CCC1 ZINC001114785217 751077268 /nfs/dbraw/zinc/07/72/68/751077268.db2.gz KADMGDSQWFHFSG-NHAGDIPZSA-N 1 2 316.405 1.671 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999129885 752535923 /nfs/dbraw/zinc/53/59/23/752535923.db2.gz SMWGYLIYQVLFMX-CQSZACIVSA-N 1 2 316.405 1.516 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCO[C@H]1c1ccccc1 ZINC001032676630 752696369 /nfs/dbraw/zinc/69/63/69/752696369.db2.gz AZBLHYZPTNEMFX-FJIDUMEYSA-N 1 2 310.397 1.683 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCO[C@H]1c1ccccc1 ZINC001032676630 752696376 /nfs/dbraw/zinc/69/63/76/752696376.db2.gz AZBLHYZPTNEMFX-FJIDUMEYSA-N 1 2 310.397 1.683 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001008558430 752798648 /nfs/dbraw/zinc/79/86/48/752798648.db2.gz NQXNVGNXKLDKFT-RWMBFGLXSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001008558430 752798651 /nfs/dbraw/zinc/79/86/51/752798651.db2.gz NQXNVGNXKLDKFT-RWMBFGLXSA-N 1 2 313.829 1.044 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001107992014 753343314 /nfs/dbraw/zinc/34/33/14/753343314.db2.gz FVNMDHDYRNUWBP-TZMCWYRMSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC#CC ZINC001032723361 753357509 /nfs/dbraw/zinc/35/75/09/753357509.db2.gz DUHUNZWGAKPTNF-GJZGRUSLSA-N 1 2 311.385 1.568 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#CC ZINC001032723361 753357513 /nfs/dbraw/zinc/35/75/13/753357513.db2.gz DUHUNZWGAKPTNF-GJZGRUSLSA-N 1 2 311.385 1.568 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CCCC)nc1C ZINC001032723781 753360972 /nfs/dbraw/zinc/36/09/72/753360972.db2.gz UNPISXJBDORYAM-HOTGVXAUSA-N 1 2 314.433 1.914 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CCCC)nc1C ZINC001032723781 753360975 /nfs/dbraw/zinc/36/09/75/753360975.db2.gz UNPISXJBDORYAM-HOTGVXAUSA-N 1 2 314.433 1.914 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1cnccn1 ZINC001009966877 753509549 /nfs/dbraw/zinc/50/95/49/753509549.db2.gz GZXDNYSKAHHIIJ-INIZCTEOSA-N 1 2 324.359 1.472 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1cnccn1 ZINC001009966877 753509552 /nfs/dbraw/zinc/50/95/52/753509552.db2.gz GZXDNYSKAHHIIJ-INIZCTEOSA-N 1 2 324.359 1.472 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)cn1 ZINC001010119381 753623506 /nfs/dbraw/zinc/62/35/06/753623506.db2.gz UCSARJHHOOOYER-LBPRGKRZSA-N 1 2 315.402 1.358 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)cn1 ZINC001010119381 753623507 /nfs/dbraw/zinc/62/35/07/753623507.db2.gz UCSARJHHOOOYER-LBPRGKRZSA-N 1 2 315.402 1.358 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001108028209 753715077 /nfs/dbraw/zinc/71/50/77/753715077.db2.gz CTMLAVXOUULPED-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001108028209 753715084 /nfs/dbraw/zinc/71/50/84/753715084.db2.gz CTMLAVXOUULPED-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCN1CCO[C@](C)(CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001108028209 753715089 /nfs/dbraw/zinc/71/50/89/753715089.db2.gz CTMLAVXOUULPED-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccsc2C(F)F)C1 ZINC001078088451 753730029 /nfs/dbraw/zinc/73/00/29/753730029.db2.gz SHMLNNWIDFNEQI-NXEZZACHSA-N 1 2 300.330 1.094 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccsc2C(F)F)C1 ZINC001078088451 753730032 /nfs/dbraw/zinc/73/00/32/753730032.db2.gz SHMLNNWIDFNEQI-NXEZZACHSA-N 1 2 300.330 1.094 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)[nH]n1 ZINC001010336232 753775985 /nfs/dbraw/zinc/77/59/85/753775985.db2.gz BQYPIPOCYQFPNJ-INIZCTEOSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)[nH]n1 ZINC001010336232 753775988 /nfs/dbraw/zinc/77/59/88/753775988.db2.gz BQYPIPOCYQFPNJ-INIZCTEOSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1ncc(C(=O)N[C@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)[nH]1 ZINC001010379760 753837361 /nfs/dbraw/zinc/83/73/61/753837361.db2.gz LBDOXZROLRQVJX-NSHDSACASA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1ncc(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)[nH]1 ZINC001010379760 753837368 /nfs/dbraw/zinc/83/73/68/753837368.db2.gz LBDOXZROLRQVJX-NSHDSACASA-N 1 2 315.402 1.656 20 30 DDEDLO C=CCCC1(C(=O)NCC[C@H](C)NC(=O)Cn2cc[nH+]c2)CC1 ZINC001078220454 753853296 /nfs/dbraw/zinc/85/32/96/753853296.db2.gz LLZZIRQEDPWTTC-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1ccc(OC)cc1 ZINC001032795464 753925638 /nfs/dbraw/zinc/92/56/38/753925638.db2.gz NMZCEODYWIYDGD-XIRDDKMYSA-N 1 2 312.413 1.792 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1ccc(OC)cc1 ZINC001032795464 753925644 /nfs/dbraw/zinc/92/56/44/753925644.db2.gz NMZCEODYWIYDGD-XIRDDKMYSA-N 1 2 312.413 1.792 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)c1 ZINC001010459261 753939304 /nfs/dbraw/zinc/93/93/04/753939304.db2.gz YFXVESNHEYOVAW-INIZCTEOSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001010459261 753939308 /nfs/dbraw/zinc/93/93/08/753939308.db2.gz YFXVESNHEYOVAW-INIZCTEOSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN2C(=O)CCc2c[nH]c[nH+]2)cn1 ZINC001063574860 754233785 /nfs/dbraw/zinc/23/37/85/754233785.db2.gz YYJHHZNZOMJTTB-MRXNPFEDSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN2C(=O)CCc2c[nH+]c[nH]2)cn1 ZINC001063574860 754233788 /nfs/dbraw/zinc/23/37/88/754233788.db2.gz YYJHHZNZOMJTTB-MRXNPFEDSA-N 1 2 324.388 1.712 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2C[C@H](NCC#N)C23CCC3)c[nH+]1 ZINC001078657352 754255519 /nfs/dbraw/zinc/25/55/19/754255519.db2.gz VITMEZKLBYOHIV-UONOGXRCSA-N 1 2 315.421 1.467 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)CC[C@H]1Nc1ncccc1C#N ZINC001063759907 754323163 /nfs/dbraw/zinc/32/31/63/754323163.db2.gz DEKHHQZCRLWDDF-GXTWGEPZSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001078752555 754348635 /nfs/dbraw/zinc/34/86/35/754348635.db2.gz NNNUCRIVEFRAHN-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cccc(C)c2O1 ZINC001032823045 754531291 /nfs/dbraw/zinc/53/12/91/754531291.db2.gz DKJDZUKVBFQTNB-ULQDDVLXSA-N 1 2 310.397 1.607 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cccc(C)c2O1 ZINC001032823045 754531296 /nfs/dbraw/zinc/53/12/96/754531296.db2.gz DKJDZUKVBFQTNB-ULQDDVLXSA-N 1 2 310.397 1.607 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)nnc2C)[C@@H](O)C1 ZINC001090291849 754772568 /nfs/dbraw/zinc/77/25/68/754772568.db2.gz KFMFISNFRVROCX-KBPBESRZSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)nnc2C)[C@@H](O)C1 ZINC001090291849 754772572 /nfs/dbraw/zinc/77/25/72/754772572.db2.gz KFMFISNFRVROCX-KBPBESRZSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc3occc3[nH]2)[C@H](O)C1 ZINC001090304666 754812706 /nfs/dbraw/zinc/81/27/06/754812706.db2.gz FJGFFOSZHPXWLR-ZWNOBZJWSA-N 1 2 323.780 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc3occc3[nH]2)[C@H](O)C1 ZINC001090304666 754812709 /nfs/dbraw/zinc/81/27/09/754812709.db2.gz FJGFFOSZHPXWLR-ZWNOBZJWSA-N 1 2 323.780 1.678 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccncc2C#N)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064833432 754876470 /nfs/dbraw/zinc/87/64/70/754876470.db2.gz YMOQWXUUXDYOQX-TZMCWYRMSA-N 1 2 324.388 1.132 20 30 DDEDLO Cc1nc(NC[C@@H]2CCCN2C(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001064884718 754923869 /nfs/dbraw/zinc/92/38/69/754923869.db2.gz CARSIJXAEGPGMC-ZDUSSCGKSA-N 1 2 310.361 1.702 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2[nH]c(C)cc2C)[C@@H](O)C1 ZINC001090363100 755081625 /nfs/dbraw/zinc/08/16/25/755081625.db2.gz JKZAOAVKCBRPGR-STQMWFEESA-N 1 2 311.813 1.549 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2[nH]c(C)cc2C)[C@@H](O)C1 ZINC001090363100 755081626 /nfs/dbraw/zinc/08/16/26/755081626.db2.gz JKZAOAVKCBRPGR-STQMWFEESA-N 1 2 311.813 1.549 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)c3c[nH]c(C#N)c3)C[C@H]2C)cc[nH+]1 ZINC001067059926 755483533 /nfs/dbraw/zinc/48/35/33/755483533.db2.gz FNKKHKIRGSEICB-YGRLFVJLSA-N 1 2 310.361 1.557 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC(N(CC)C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001080034046 755693088 /nfs/dbraw/zinc/69/30/88/755693088.db2.gz YOFCRQXWPDYPKN-UHFFFAOYSA-N 1 2 316.405 1.299 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)c3ncc[nH]3)C2)ccc1F ZINC001014370205 755701658 /nfs/dbraw/zinc/70/16/58/755701658.db2.gz GIMQNDVAXROJTC-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@@H](NC(=O)c3ncc[nH]3)C2)ccc1F ZINC001014370205 755701663 /nfs/dbraw/zinc/70/16/63/755701663.db2.gz GIMQNDVAXROJTC-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)CCc2[nH+]ccn2C)C1 ZINC001080241352 755780586 /nfs/dbraw/zinc/78/05/86/755780586.db2.gz AOPWRQCJHSJCRG-UHFFFAOYSA-N 1 2 318.421 1.378 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080353817 755866549 /nfs/dbraw/zinc/86/65/49/755866549.db2.gz NBYQQBVYUBBFGC-QWHCGFSZSA-N 1 2 318.421 1.470 20 30 DDEDLO Cc1nc(N2CCOCC2)cc(NC=CC(=O)C(F)(F)F)[nH+]1 ZINC001169476987 762440106 /nfs/dbraw/zinc/44/01/06/762440106.db2.gz PMHUFEUWAVFZML-NSCUHMNNSA-N 1 2 316.283 1.679 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2conc2COC)C1 ZINC001080764451 756086983 /nfs/dbraw/zinc/08/69/83/756086983.db2.gz UIBGTAIWYSNFEN-BXKDBHETSA-N 1 2 313.785 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2conc2COC)C1 ZINC001080764451 756086987 /nfs/dbraw/zinc/08/69/87/756086987.db2.gz UIBGTAIWYSNFEN-BXKDBHETSA-N 1 2 313.785 1.624 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067114529 756345316 /nfs/dbraw/zinc/34/53/16/756345316.db2.gz RPJLDMIJNZCQQA-JSGCOSHPSA-N 1 2 310.361 1.109 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(Cl)nc2)C[C@@H]1O ZINC001099727657 756416073 /nfs/dbraw/zinc/41/60/73/756416073.db2.gz RLHKVUWAALVDKF-KBPBESRZSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(Cl)nc2)C[C@@H]1O ZINC001099727657 756416077 /nfs/dbraw/zinc/41/60/77/756416077.db2.gz RLHKVUWAALVDKF-KBPBESRZSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(F)CCCC2)[C@@H](O)C1 ZINC001090370350 756434511 /nfs/dbraw/zinc/43/45/11/756434511.db2.gz CDENWJBXAREYEO-RYUDHWBXSA-N 1 2 304.793 1.573 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(F)CCCC2)[C@@H](O)C1 ZINC001090370350 756434514 /nfs/dbraw/zinc/43/45/14/756434514.db2.gz CDENWJBXAREYEO-RYUDHWBXSA-N 1 2 304.793 1.573 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001015726750 756499555 /nfs/dbraw/zinc/49/95/55/756499555.db2.gz RUXQFWPXXOPFMA-MCIONIFRSA-N 1 2 321.446 1.733 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001015726750 756499559 /nfs/dbraw/zinc/49/95/59/756499559.db2.gz RUXQFWPXXOPFMA-MCIONIFRSA-N 1 2 321.446 1.733 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)ccc(F)c2OC)[C@H](OC)C1 ZINC001082016430 756597251 /nfs/dbraw/zinc/59/72/51/756597251.db2.gz NZPVZKJEJVYYDV-CHWSQXEVSA-N 1 2 324.327 1.036 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(F)ccc(F)c2OC)[C@H](OC)C1 ZINC001082016430 756597253 /nfs/dbraw/zinc/59/72/53/756597253.db2.gz NZPVZKJEJVYYDV-CHWSQXEVSA-N 1 2 324.327 1.036 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001015920775 756651629 /nfs/dbraw/zinc/65/16/29/756651629.db2.gz YHIWAOWZVNOJCD-ZDUSSCGKSA-N 1 2 310.829 1.641 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001015920775 756651633 /nfs/dbraw/zinc/65/16/33/756651633.db2.gz YHIWAOWZVNOJCD-ZDUSSCGKSA-N 1 2 310.829 1.641 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@]2(C)CCN(C(C)=O)C2)C1 ZINC001015962975 756681322 /nfs/dbraw/zinc/68/13/22/756681322.db2.gz KHILBOPYPSOBFG-HIFRSBDPSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@]2(C)CCN(C(C)=O)C2)C1 ZINC001015962975 756681325 /nfs/dbraw/zinc/68/13/25/756681325.db2.gz KHILBOPYPSOBFG-HIFRSBDPSA-N 1 2 313.829 1.188 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cnoc2C2CC2)[C@H](OC)C1 ZINC001082292285 756716520 /nfs/dbraw/zinc/71/65/20/756716520.db2.gz FBGDYWUHNFTCTQ-ZIAGYGMSSA-N 1 2 303.362 1.004 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cnoc2C2CC2)[C@H](OC)C1 ZINC001082292285 756716523 /nfs/dbraw/zinc/71/65/23/756716523.db2.gz FBGDYWUHNFTCTQ-ZIAGYGMSSA-N 1 2 303.362 1.004 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2nc(C3CC3)oc2C)[C@H](OC)C1 ZINC001082286966 756723966 /nfs/dbraw/zinc/72/39/66/756723966.db2.gz VDJCARDFDDBBNZ-ZIAGYGMSSA-N 1 2 317.389 1.313 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2nc(C3CC3)oc2C)[C@H](OC)C1 ZINC001082286966 756723969 /nfs/dbraw/zinc/72/39/69/756723969.db2.gz VDJCARDFDDBBNZ-ZIAGYGMSSA-N 1 2 317.389 1.313 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sccc2COC)[C@H](OC)C1 ZINC001082326076 756739088 /nfs/dbraw/zinc/73/90/88/756739088.db2.gz MSRVYMPWHJAYRU-CHWSQXEVSA-N 1 2 310.419 1.510 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sccc2COC)[C@H](OC)C1 ZINC001082326076 756739091 /nfs/dbraw/zinc/73/90/91/756739091.db2.gz MSRVYMPWHJAYRU-CHWSQXEVSA-N 1 2 310.419 1.510 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3ccccc3c2)[C@H](OC)C1 ZINC001082340885 756746227 /nfs/dbraw/zinc/74/62/27/756746227.db2.gz LFHXPGKXYRHNNQ-QZTJIDSGSA-N 1 2 308.381 1.902 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3ccccc3c2)[C@H](OC)C1 ZINC001082340885 756746230 /nfs/dbraw/zinc/74/62/30/756746230.db2.gz LFHXPGKXYRHNNQ-QZTJIDSGSA-N 1 2 308.381 1.902 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)n1 ZINC001082450878 756791179 /nfs/dbraw/zinc/79/11/79/756791179.db2.gz KHHWWDLCEREEIP-IJLUTSLNSA-N 1 2 305.382 1.289 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COCCCC)[C@@H](O)C1 ZINC001099754632 756864078 /nfs/dbraw/zinc/86/40/78/756864078.db2.gz HSPPPZIHYRBHHZ-STQMWFEESA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COCCCC)[C@@H](O)C1 ZINC001099754632 756864083 /nfs/dbraw/zinc/86/40/83/756864083.db2.gz HSPPPZIHYRBHHZ-STQMWFEESA-N 1 2 304.818 1.107 20 30 DDEDLO Cc1nccc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001016291450 756909397 /nfs/dbraw/zinc/90/93/97/756909397.db2.gz SSGOAINBWAFEEB-QGZVFWFLSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1nccc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001016291450 756909398 /nfs/dbraw/zinc/90/93/98/756909398.db2.gz SSGOAINBWAFEEB-QGZVFWFLSA-N 1 2 320.396 1.641 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001097180730 756954146 /nfs/dbraw/zinc/95/41/46/756954146.db2.gz ZPLSOPLQUBHLFB-OKILXGFUSA-N 1 2 310.361 1.368 20 30 DDEDLO CC(=O)N1CCO[C@@H]2C[N@H+](CC#Cc3ccc(Cl)cc3)C[C@@H]21 ZINC001082970445 756990594 /nfs/dbraw/zinc/99/05/94/756990594.db2.gz NBFDKIPBYWLLKZ-DLBZAZTESA-N 1 2 318.804 1.623 20 30 DDEDLO CC(=O)N1CCO[C@@H]2C[N@@H+](CC#Cc3ccc(Cl)cc3)C[C@@H]21 ZINC001082970445 756990599 /nfs/dbraw/zinc/99/05/99/756990599.db2.gz NBFDKIPBYWLLKZ-DLBZAZTESA-N 1 2 318.804 1.623 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097317632 757088513 /nfs/dbraw/zinc/08/85/13/757088513.db2.gz IOANIKFCUYGXKV-HIFRSBDPSA-N 1 2 316.405 1.156 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccoc3Cl)[C@H]2C1 ZINC001083064340 757112868 /nfs/dbraw/zinc/11/28/68/757112868.db2.gz FUCIQAXIDHKMDM-QWHCGFSZSA-N 1 2 308.765 1.482 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccoc3Cl)[C@H]2C1 ZINC001083064340 757112870 /nfs/dbraw/zinc/11/28/70/757112870.db2.gz FUCIQAXIDHKMDM-QWHCGFSZSA-N 1 2 308.765 1.482 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3cc(F)ccc3F)[C@H]2C1 ZINC001083178106 757182412 /nfs/dbraw/zinc/18/24/12/757182412.db2.gz BPNMDVXPVHSNFI-JKSUJKDBSA-N 1 2 320.339 1.052 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3cc(F)ccc3F)[C@H]2C1 ZINC001083178106 757182415 /nfs/dbraw/zinc/18/24/15/757182415.db2.gz BPNMDVXPVHSNFI-JKSUJKDBSA-N 1 2 320.339 1.052 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCCC3)[C@@H](O)C1 ZINC001084026098 757230848 /nfs/dbraw/zinc/23/08/48/757230848.db2.gz HOEVBQCDZJQQKP-OLZOCXBDSA-N 1 2 304.415 1.035 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCCC3)[C@@H](O)C1 ZINC001084026098 757230851 /nfs/dbraw/zinc/23/08/51/757230851.db2.gz HOEVBQCDZJQQKP-OLZOCXBDSA-N 1 2 304.415 1.035 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@@H](O)C1 ZINC001084058672 757263530 /nfs/dbraw/zinc/26/35/30/757263530.db2.gz CQMCDWOJTBIKTG-CABCVRRESA-N 1 2 318.421 1.030 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@@H](O)C1 ZINC001084058672 757263533 /nfs/dbraw/zinc/26/35/33/757263533.db2.gz CQMCDWOJTBIKTG-CABCVRRESA-N 1 2 318.421 1.030 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2CC[C@@H](Nc3cc[nH+]c(C)n3)[C@@H]2C1 ZINC001097597757 757270924 /nfs/dbraw/zinc/27/09/24/757270924.db2.gz APGPAZOQCHXQDM-RRFJBIMHSA-N 1 2 316.405 1.637 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCc4c[nH]nc43)[C@@H]2C1 ZINC001084346506 757503744 /nfs/dbraw/zinc/50/37/44/757503744.db2.gz NKIXQDQOCYRWDA-OWCLPIDISA-N 1 2 312.417 1.386 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCc4c[nH]nc43)[C@@H]2C1 ZINC001084346506 757503747 /nfs/dbraw/zinc/50/37/47/757503747.db2.gz NKIXQDQOCYRWDA-OWCLPIDISA-N 1 2 312.417 1.386 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3nc4c(s3)CCC4)[C@@H]2C1 ZINC001084520168 757634176 /nfs/dbraw/zinc/63/41/76/757634176.db2.gz KNDFNANAGLLFLN-DGCLKSJQSA-N 1 2 301.415 1.411 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3nc4c(s3)CCC4)[C@@H]2C1 ZINC001084520168 757634183 /nfs/dbraw/zinc/63/41/83/757634183.db2.gz KNDFNANAGLLFLN-DGCLKSJQSA-N 1 2 301.415 1.411 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](Cc3nncn3C)CC2)C1 ZINC001052689249 757650377 /nfs/dbraw/zinc/65/03/77/757650377.db2.gz GEDGMYMSHBYQMP-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](Cc3nncn3C)CC2)C1 ZINC001052689249 757650381 /nfs/dbraw/zinc/65/03/81/757650381.db2.gz GEDGMYMSHBYQMP-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[N@H+](CC(=O)NC4CC4)C[C@H]32)C1 ZINC001084645709 757730906 /nfs/dbraw/zinc/73/09/06/757730906.db2.gz AULNGMGXTNSIHR-UKRRQHHQSA-N 1 2 317.433 1.154 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[N@@H+](CC(=O)NC4CC4)C[C@H]32)C1 ZINC001084645709 757730914 /nfs/dbraw/zinc/73/09/14/757730914.db2.gz AULNGMGXTNSIHR-UKRRQHHQSA-N 1 2 317.433 1.154 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)c3cnn(C)c3)[C@@H]2C1 ZINC001084877218 758009969 /nfs/dbraw/zinc/00/99/69/758009969.db2.gz CFUQCPQIPULETP-UMVBOHGHSA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)c3cnn(C)c3)[C@@H]2C1 ZINC001084877218 758009982 /nfs/dbraw/zinc/00/99/82/758009982.db2.gz CFUQCPQIPULETP-UMVBOHGHSA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099855375 758107643 /nfs/dbraw/zinc/10/76/43/758107643.db2.gz HANVAPOPWPABHL-ZIAGYGMSSA-N 1 2 323.462 1.859 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099855375 758107649 /nfs/dbraw/zinc/10/76/49/758107649.db2.gz HANVAPOPWPABHL-ZIAGYGMSSA-N 1 2 323.462 1.859 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1Cl ZINC001017663040 758130894 /nfs/dbraw/zinc/13/08/94/758130894.db2.gz BIAOVRXFUZLOJD-TXEJJXNPSA-N 1 2 306.797 1.386 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1Cl ZINC001017663040 758130898 /nfs/dbraw/zinc/13/08/98/758130898.db2.gz BIAOVRXFUZLOJD-TXEJJXNPSA-N 1 2 306.797 1.386 20 30 DDEDLO C#C[C@@](C)(CC)Nc1cc(N2CCC(C(N)=O)CC2)nc[nH+]1 ZINC001169693551 762603370 /nfs/dbraw/zinc/60/33/70/762603370.db2.gz WHIVIIKEHUINQI-INIZCTEOSA-N 1 2 301.394 1.392 20 30 DDEDLO C#C[C@@](C)(CC)Nc1cc(N2CCC(C(N)=O)CC2)[nH+]cn1 ZINC001169693551 762603371 /nfs/dbraw/zinc/60/33/71/762603371.db2.gz WHIVIIKEHUINQI-INIZCTEOSA-N 1 2 301.394 1.392 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C(C)(C)C)c1 ZINC001017694854 758159763 /nfs/dbraw/zinc/15/97/63/758159763.db2.gz CKIKKIYKQMYIKG-GASCZTMLSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C(C)(C)C)c1 ZINC001017694854 758159766 /nfs/dbraw/zinc/15/97/66/758159766.db2.gz CKIKKIYKQMYIKG-GASCZTMLSA-N 1 2 300.406 1.560 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001053136883 758194084 /nfs/dbraw/zinc/19/40/84/758194084.db2.gz GKSQPCSNQFMFPX-KBPBESRZSA-N 1 2 318.421 1.306 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2ccccc2OCC)CC1 ZINC000823399256 758308965 /nfs/dbraw/zinc/30/89/65/758308965.db2.gz VISXCHLJXLADHG-UHFFFAOYSA-N 1 2 302.374 1.484 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@]1(C)C[C@H]3C[C@H]3C1)CCO2 ZINC001053356552 758398724 /nfs/dbraw/zinc/39/87/24/758398724.db2.gz MRUBBUGPQDVJGX-SCAQPMJSSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C1CCC(OC)CC1)CCO2 ZINC001053401723 758433376 /nfs/dbraw/zinc/43/33/76/758433376.db2.gz CRCOQIUIAKQLST-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)n[nH]1 ZINC001017985064 758441942 /nfs/dbraw/zinc/44/19/42/758441942.db2.gz ZHSMDVUJZMYVEV-OKILXGFUSA-N 1 2 300.406 1.845 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)n[nH]1 ZINC001017985064 758441946 /nfs/dbraw/zinc/44/19/46/758441946.db2.gz ZHSMDVUJZMYVEV-OKILXGFUSA-N 1 2 300.406 1.845 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)cc2cn[nH]c21 ZINC001018056836 758511267 /nfs/dbraw/zinc/51/12/67/758511267.db2.gz DAEZVXSWRVXLOH-GASCZTMLSA-N 1 2 308.385 1.793 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)cc2cn[nH]c21 ZINC001018056836 758511272 /nfs/dbraw/zinc/51/12/72/758511272.db2.gz DAEZVXSWRVXLOH-GASCZTMLSA-N 1 2 308.385 1.793 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)cc2c[nH]nc21 ZINC001018056836 758511277 /nfs/dbraw/zinc/51/12/77/758511277.db2.gz DAEZVXSWRVXLOH-GASCZTMLSA-N 1 2 308.385 1.793 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)cc2c[nH]nc21 ZINC001018056836 758511281 /nfs/dbraw/zinc/51/12/81/758511281.db2.gz DAEZVXSWRVXLOH-GASCZTMLSA-N 1 2 308.385 1.793 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@H](C)n1cccc1)CCO2 ZINC001053512804 758519213 /nfs/dbraw/zinc/51/92/13/758519213.db2.gz AYIDURPTUQLBFF-INIZCTEOSA-N 1 2 317.433 1.929 20 30 DDEDLO C[C@@]1(CNc2ncccc2C#N)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065436762 758533497 /nfs/dbraw/zinc/53/34/97/758533497.db2.gz PKMLIPVHXRLPBE-KRWDZBQOSA-N 1 2 324.388 1.500 20 30 DDEDLO N#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001018137589 758572595 /nfs/dbraw/zinc/57/25/95/758572595.db2.gz NUTIFUHZWIBDQQ-GASCZTMLSA-N 1 2 322.372 1.080 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(Cl)c[nH]1)O2 ZINC001053574171 758583002 /nfs/dbraw/zinc/58/30/02/758583002.db2.gz WOEVLYICOACBNT-GFCCVEGCSA-N 1 2 309.797 1.817 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)nn1C)O2 ZINC001053575636 758586135 /nfs/dbraw/zinc/58/61/35/758586135.db2.gz BCMSLSCQEKXNOV-AWEZNQCLSA-N 1 2 318.421 1.268 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(C)nn1)O2 ZINC001053599272 758620770 /nfs/dbraw/zinc/62/07/70/758620770.db2.gz PTFKYDWSWZESJC-AWEZNQCLSA-N 1 2 316.405 1.324 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(C)nn1)O2 ZINC001053599273 758620942 /nfs/dbraw/zinc/62/09/42/758620942.db2.gz PTFKYDWSWZESJC-CQSZACIVSA-N 1 2 316.405 1.324 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ocnc1CC)O2 ZINC001053656919 758667638 /nfs/dbraw/zinc/66/76/38/758667638.db2.gz CLQFZHYOVMMYMW-LBPRGKRZSA-N 1 2 305.378 1.386 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2n[nH]c(C)c2c1 ZINC001018268423 758681464 /nfs/dbraw/zinc/68/14/64/758681464.db2.gz YLHCHYHMZNERFV-GASCZTMLSA-N 1 2 323.400 1.578 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2n[nH]c(C)c2c1 ZINC001018268423 758681470 /nfs/dbraw/zinc/68/14/70/758681470.db2.gz YLHCHYHMZNERFV-GASCZTMLSA-N 1 2 323.400 1.578 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(C)n[nH]c1C)O2 ZINC001053681792 758692183 /nfs/dbraw/zinc/69/21/83/758692183.db2.gz UNBWKOZOHDXTKY-CYBMUJFWSA-N 1 2 304.394 1.176 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnn(C(C)C)c1)O2 ZINC001053687495 758697348 /nfs/dbraw/zinc/69/73/48/758697348.db2.gz ROZZPVWBDUHLHS-HNNXBMFYSA-N 1 2 318.421 1.613 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC3(C[NH+](CC=C)C3)O2)cn1 ZINC001053690821 758699821 /nfs/dbraw/zinc/69/98/21/758699821.db2.gz OJVOPFOLJWIZAX-INIZCTEOSA-N 1 2 311.385 1.212 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(C)nn(C)c1F)O2 ZINC001053693566 758703654 /nfs/dbraw/zinc/70/36/54/758703654.db2.gz GIARVCFORCDISC-LBPRGKRZSA-N 1 2 322.384 1.017 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065699779 758715571 /nfs/dbraw/zinc/71/55/71/758715571.db2.gz OMTWDKUMWSTWML-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]([NH+]3CCN(C(C)=O)CC3)C2)CC1 ZINC001065712978 758729801 /nfs/dbraw/zinc/72/98/01/758729801.db2.gz JKUQDFQDJQNSCH-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)cs1)CO2 ZINC001053797133 758825150 /nfs/dbraw/zinc/82/51/50/758825150.db2.gz UZCCZDYVBGXGDD-ZDUSSCGKSA-N 1 2 304.415 1.653 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@]1(C)CC=CCC1)CO2 ZINC001053813881 758842403 /nfs/dbraw/zinc/84/24/03/758842403.db2.gz BMJDBGTUAKLPHK-WBVHZDCISA-N 1 2 302.418 1.716 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccnn1CCC)CO2 ZINC001053819690 758847227 /nfs/dbraw/zinc/84/72/27/758847227.db2.gz FMCQUTWFAKOJTM-AWEZNQCLSA-N 1 2 318.421 1.442 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)C(C)(C)C)CC2=O)C1 ZINC001108553722 762667011 /nfs/dbraw/zinc/66/70/11/762667011.db2.gz UQXOJMKUEGGKJE-OLZOCXBDSA-N 1 2 307.438 1.256 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)CC1CC(F)(F)C1)CO2 ZINC001053850706 758881958 /nfs/dbraw/zinc/88/19/58/758881958.db2.gz CRHRWCBZRMCAKH-CYBMUJFWSA-N 1 2 314.376 1.957 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1CNc1ncccc1C#N ZINC001065909642 758902347 /nfs/dbraw/zinc/90/23/47/758902347.db2.gz CSJGUCNEOQCWIB-GXTWGEPZSA-N 1 2 324.388 1.425 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1OC[C@@H]3CCC[C@@H]31)CO2 ZINC001053873571 758909339 /nfs/dbraw/zinc/90/93/39/758909339.db2.gz BUNWFWFPMIYDPE-YHUYYLMFSA-N 1 2 320.433 1.337 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2COC3(C[NH+]([C@H](C)COC)C3)C2)C1 ZINC001053919454 758953393 /nfs/dbraw/zinc/95/33/93/758953393.db2.gz LMHVHUQCRNHBOV-KGLIPLIRSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2COC3(C[NH+](C[C@H](C)OC)C3)C2)C1 ZINC001053919629 758955060 /nfs/dbraw/zinc/95/50/60/758955060.db2.gz PTUFPCHQPZHWNL-KBPBESRZSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CCO[C@H]1C(=C)C)CO2 ZINC001053953733 758990713 /nfs/dbraw/zinc/99/07/13/758990713.db2.gz YVIKQLHYLSHBCL-PMPSAXMXSA-N 1 2 320.433 1.503 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@H]1c1ccccc1)CO2 ZINC001053987008 759029255 /nfs/dbraw/zinc/02/92/55/759029255.db2.gz ZVXKVNOZIGVBEM-OKZBNKHCSA-N 1 2 324.424 1.773 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nnc(C(F)F)s2)C1 ZINC001018633300 759052731 /nfs/dbraw/zinc/05/27/31/759052731.db2.gz VZSHENSJYYLEGO-SFYZADRCSA-N 1 2 315.349 1.326 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cncnc1 ZINC001054040042 759098317 /nfs/dbraw/zinc/09/83/17/759098317.db2.gz AFAVEQQAOLZROF-KRWDZBQOSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cncnc1 ZINC001054040042 759098324 /nfs/dbraw/zinc/09/83/24/759098324.db2.gz AFAVEQQAOLZROF-KRWDZBQOSA-N 1 2 306.369 1.267 20 30 DDEDLO CCc1cnc(C[NH2+][C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001018731221 759199068 /nfs/dbraw/zinc/19/90/68/759199068.db2.gz QQCHMNXQCLOYLF-LBPRGKRZSA-N 1 2 313.361 1.441 20 30 DDEDLO N#CCN1CC[C@]2(C1)CCCN(C(=O)CCc1c[nH]c[nH+]1)C2 ZINC001054141484 759216544 /nfs/dbraw/zinc/21/65/44/759216544.db2.gz ARCUCKRAMXDMJU-INIZCTEOSA-N 1 2 301.394 1.180 20 30 DDEDLO N#CCN1CC[C@]2(C1)CCCN(C(=O)CCc1c[nH+]c[nH]1)C2 ZINC001054141484 759216548 /nfs/dbraw/zinc/21/65/48/759216548.db2.gz ARCUCKRAMXDMJU-INIZCTEOSA-N 1 2 301.394 1.180 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@H]([NH2+]Cc2csnn2)C1 ZINC001018865003 759347889 /nfs/dbraw/zinc/34/78/89/759347889.db2.gz DKUATTFYWMGFOV-YUTCNCBUSA-N 1 2 322.434 1.210 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@H]([NH2+]Cc2nncs2)C1 ZINC001018969135 759461763 /nfs/dbraw/zinc/46/17/63/759461763.db2.gz ODHKWRQUOIYNQR-LBPRGKRZSA-N 1 2 317.418 1.530 20 30 DDEDLO CCC[C@H](CC)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131098555 767874113 /nfs/dbraw/zinc/87/41/13/767874113.db2.gz FOCZBLJVYVBDRS-RRFJBIMHSA-N 1 2 317.437 1.654 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001069137952 767874296 /nfs/dbraw/zinc/87/42/96/767874296.db2.gz RUNPVKUMTLDEAU-AAEUAGOBSA-N 1 2 310.361 1.320 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nnc(OCC)s2)C1 ZINC001019238167 759707895 /nfs/dbraw/zinc/70/78/95/759707895.db2.gz PBJRMAKOCOUNGO-NSHDSACASA-N 1 2 324.450 1.840 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncoc1C(F)(F)F ZINC001085682143 760000034 /nfs/dbraw/zinc/00/00/34/760000034.db2.gz OAFZKHHQAMZWGI-JTQLQIEISA-N 1 2 315.295 1.863 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncoc1C(F)(F)F ZINC001085682143 760000041 /nfs/dbraw/zinc/00/00/41/760000041.db2.gz OAFZKHHQAMZWGI-JTQLQIEISA-N 1 2 315.295 1.863 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001069215643 767921702 /nfs/dbraw/zinc/92/17/02/767921702.db2.gz XHCJOTKPQFDJTQ-SMDDNHRTSA-N 1 2 310.361 1.320 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-n2ccnc2)nc1 ZINC001085748223 760150728 /nfs/dbraw/zinc/15/07/28/760150728.db2.gz BHOYHPHXICANOA-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-n2ccnc2)nc1 ZINC001085748223 760150732 /nfs/dbraw/zinc/15/07/32/760150732.db2.gz BHOYHPHXICANOA-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC(=O)NC2CC2)CC1 ZINC001085798178 760249590 /nfs/dbraw/zinc/24/95/90/760249590.db2.gz LACYXNFCMGLSTA-INIZCTEOSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2CC(=O)NC2CC2)CC1 ZINC001085798178 760249594 /nfs/dbraw/zinc/24/95/94/760249594.db2.gz LACYXNFCMGLSTA-INIZCTEOSA-N 1 2 319.449 1.544 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@H+]2C[C@@H](F)CC)c1 ZINC001085809497 760277967 /nfs/dbraw/zinc/27/79/67/760277967.db2.gz GCGBMLSVCZTCCF-HOTGVXAUSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C[C@@H](F)CC)c1 ZINC001085809497 760277968 /nfs/dbraw/zinc/27/79/68/760277968.db2.gz GCGBMLSVCZTCCF-HOTGVXAUSA-N 1 2 303.381 1.957 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-n2cccn2)ccn1 ZINC001085813869 760290163 /nfs/dbraw/zinc/29/01/63/760290163.db2.gz LJCLFUMINCMKLZ-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-n2cccn2)ccn1 ZINC001085813869 760290172 /nfs/dbraw/zinc/29/01/72/760290172.db2.gz LJCLFUMINCMKLZ-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(F)cccc1NC(C)=O ZINC001085851682 760365309 /nfs/dbraw/zinc/36/53/09/760365309.db2.gz CUOORDIJQQAQIG-CYBMUJFWSA-N 1 2 317.364 1.564 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(F)cccc1NC(C)=O ZINC001085851682 760365317 /nfs/dbraw/zinc/36/53/17/760365317.db2.gz CUOORDIJQQAQIG-CYBMUJFWSA-N 1 2 317.364 1.564 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1ccncn1 ZINC001085882541 760455914 /nfs/dbraw/zinc/45/59/14/760455914.db2.gz GVDSRJGJLLFDSB-QGZVFWFLSA-N 1 2 320.396 1.675 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1ccncn1 ZINC001085882541 760455918 /nfs/dbraw/zinc/45/59/18/760455918.db2.gz GVDSRJGJLLFDSB-QGZVFWFLSA-N 1 2 320.396 1.675 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1C(F)(F)F ZINC001085908515 760505010 /nfs/dbraw/zinc/50/50/10/760505010.db2.gz LAKCRVREGKBDGJ-LLVKDONJSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1C(F)(F)F ZINC001085908515 760505016 /nfs/dbraw/zinc/50/50/16/760505016.db2.gz LAKCRVREGKBDGJ-LLVKDONJSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H](C)Nc2cc[nH+]c(C)n2)CCOCC1 ZINC001098090797 767961203 /nfs/dbraw/zinc/96/12/03/767961203.db2.gz PKCSISATSRONBK-CYBMUJFWSA-N 1 2 316.405 1.522 20 30 DDEDLO Cc1nc[nH]c1C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001086002869 760696947 /nfs/dbraw/zinc/69/69/47/760696947.db2.gz UIZZQKUXEDOPMW-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1nc[nH]c1C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001086002869 760696954 /nfs/dbraw/zinc/69/69/54/760696954.db2.gz UIZZQKUXEDOPMW-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001100020356 760722780 /nfs/dbraw/zinc/72/27/80/760722780.db2.gz NOLSTPCERBMXCC-ZFWWWQNUSA-N 1 2 321.421 1.637 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001100020356 760722784 /nfs/dbraw/zinc/72/27/84/760722784.db2.gz NOLSTPCERBMXCC-ZFWWWQNUSA-N 1 2 321.421 1.637 20 30 DDEDLO Cc1ccc(C#N)c(N2CCN(C(=O)CCc3[nH]cc[nH+]3)CC2)n1 ZINC001055790525 760732742 /nfs/dbraw/zinc/73/27/42/760732742.db2.gz UNMGWCKOGQVALC-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CCCCC(C)C)[C@@H](n2ccnn2)C1 ZINC001129327302 761137088 /nfs/dbraw/zinc/13/70/88/761137088.db2.gz SAOAYCWQSUASSP-CVEARBPZSA-N 1 2 317.437 1.469 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CCCCC(C)C)[C@@H](n2ccnn2)C1 ZINC001129327302 761137092 /nfs/dbraw/zinc/13/70/92/761137092.db2.gz SAOAYCWQSUASSP-CVEARBPZSA-N 1 2 317.437 1.469 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccnc2C)c1 ZINC001038684582 761285526 /nfs/dbraw/zinc/28/55/26/761285526.db2.gz KLJMISZCVVSCHJ-SFHVURJKSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccnc2C)c1 ZINC001038684582 761285532 /nfs/dbraw/zinc/28/55/32/761285532.db2.gz KLJMISZCVVSCHJ-SFHVURJKSA-N 1 2 320.396 1.771 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@H](Nc2ncccc2C#N)C1 ZINC001056796522 761437224 /nfs/dbraw/zinc/43/72/24/761437224.db2.gz WBHRZBMDIHDJPO-TZMCWYRMSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@@H](Nc2ncccc2C#N)C1 ZINC001056796522 761437227 /nfs/dbraw/zinc/43/72/27/761437227.db2.gz WBHRZBMDIHDJPO-TZMCWYRMSA-N 1 2 324.388 1.568 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001069511016 768040488 /nfs/dbraw/zinc/04/04/88/768040488.db2.gz SKTOYGSKDARRDH-JSGCOSHPSA-N 1 2 324.388 1.616 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(C(C)C)c1C1CC1 ZINC001038894471 761519557 /nfs/dbraw/zinc/51/95/57/761519557.db2.gz DFNJAOXPHLMROA-CQSZACIVSA-N 1 2 300.406 1.779 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(C(C)C)c1C1CC1 ZINC001038894471 761519560 /nfs/dbraw/zinc/51/95/60/761519560.db2.gz DFNJAOXPHLMROA-CQSZACIVSA-N 1 2 300.406 1.779 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2CC[NH+](Cc3ccon3)CC2)nc1 ZINC001006303835 761550759 /nfs/dbraw/zinc/55/07/59/761550759.db2.gz RPEOLSODNUAGSW-UHFFFAOYSA-N 1 2 324.384 1.788 20 30 DDEDLO C#CCOc1ncccc1C(=O)NC[C@@H]1CC[N@H+]1C[C@H](F)CC ZINC001038928182 761556440 /nfs/dbraw/zinc/55/64/40/761556440.db2.gz LDXHGZLFVIYKHY-KGLIPLIRSA-N 1 2 319.380 1.646 20 30 DDEDLO C#CCOc1ncccc1C(=O)NC[C@@H]1CC[N@@H+]1C[C@H](F)CC ZINC001038928182 761556447 /nfs/dbraw/zinc/55/64/47/761556447.db2.gz LDXHGZLFVIYKHY-KGLIPLIRSA-N 1 2 319.380 1.646 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccnc2)nc1 ZINC001038943672 761576937 /nfs/dbraw/zinc/57/69/37/761576937.db2.gz UCXMACTXMXIJQW-INIZCTEOSA-N 1 2 306.369 1.462 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccnc2)nc1 ZINC001038943672 761576939 /nfs/dbraw/zinc/57/69/39/761576939.db2.gz UCXMACTXMXIJQW-INIZCTEOSA-N 1 2 306.369 1.462 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(OC)cccc1OC ZINC001038952845 761586331 /nfs/dbraw/zinc/58/63/31/761586331.db2.gz IDJOPQVBVHFAEV-ZDUSSCGKSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(OC)cccc1OC ZINC001038952845 761586339 /nfs/dbraw/zinc/58/63/39/761586339.db2.gz IDJOPQVBVHFAEV-ZDUSSCGKSA-N 1 2 302.374 1.531 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@](C)(CC)CCC)CC2=O)C1 ZINC001108584067 762753478 /nfs/dbraw/zinc/75/34/78/762753478.db2.gz YSALPPUOJJEOSZ-KDOFPFPSSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CCCCCC)CC2=O)C1 ZINC001108589467 762775156 /nfs/dbraw/zinc/77/51/56/762775156.db2.gz RYHWMHOEKMDJAF-AWEZNQCLSA-N 1 2 307.438 1.544 20 30 DDEDLO C[C@@H](CNc1snc(Cl)c1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001108718807 762875493 /nfs/dbraw/zinc/87/54/93/762875493.db2.gz VOYOLIJCOGINTG-ZETCQYMHSA-N 1 2 324.797 1.551 20 30 DDEDLO C[C@H](CN(C)c1ncccc1C#N)NC(=O)CCc1[nH]cc[nH+]1 ZINC001108930963 763136986 /nfs/dbraw/zinc/13/69/86/763136986.db2.gz HWZZXEDPHUTLKE-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C#CCC[N@H+]1CC[C@]2(CCN(C(=O)c3cc(C4CC4)[nH]n3)C2)C1 ZINC001041707832 763232780 /nfs/dbraw/zinc/23/27/80/763232780.db2.gz RXNVMLHGIHRONU-SFHVURJKSA-N 1 2 312.417 1.848 20 30 DDEDLO C#CCC[N@@H+]1CC[C@]2(CCN(C(=O)c3cc(C4CC4)[nH]n3)C2)C1 ZINC001041707832 763232791 /nfs/dbraw/zinc/23/27/91/763232791.db2.gz RXNVMLHGIHRONU-SFHVURJKSA-N 1 2 312.417 1.848 20 30 DDEDLO Cc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC(C)C)C3)on1 ZINC001109245805 763507932 /nfs/dbraw/zinc/50/79/32/763507932.db2.gz AUSXEVWTFTXECW-KBMXLJTQSA-N 1 2 301.390 1.864 20 30 DDEDLO Cc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC(C)C)C3)on1 ZINC001109245805 763507935 /nfs/dbraw/zinc/50/79/35/763507935.db2.gz AUSXEVWTFTXECW-KBMXLJTQSA-N 1 2 301.390 1.864 20 30 DDEDLO C=CCCCC(=O)N(C)CCCNc1[nH+]cnc2c1cnn2C ZINC001109600456 763849440 /nfs/dbraw/zinc/84/94/40/763849440.db2.gz VTFQVBPMBHKVRN-UHFFFAOYSA-N 1 2 316.409 1.980 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[NH+](Cc2cnon2)CC3)C1 ZINC001050657690 763876871 /nfs/dbraw/zinc/87/68/71/763876871.db2.gz UPVOIMNZLNTBRT-UHFFFAOYSA-N 1 2 316.405 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)NC(C)=O)C2 ZINC001109745631 763987809 /nfs/dbraw/zinc/98/78/09/763987809.db2.gz WDCMYBBVTGFEQQ-MQYQWHSLSA-N 1 2 313.829 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)NC(C)=O)C2 ZINC001109745631 763987814 /nfs/dbraw/zinc/98/78/14/763987814.db2.gz WDCMYBBVTGFEQQ-MQYQWHSLSA-N 1 2 313.829 1.375 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3C[C@@H]3OCC)c2C1 ZINC001069853905 768184125 /nfs/dbraw/zinc/18/41/25/768184125.db2.gz MUDNNLOKKYUPCT-HOCLYGCPSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3C[C@@H]3OCC)c2C1 ZINC001069853905 768184128 /nfs/dbraw/zinc/18/41/28/768184128.db2.gz MUDNNLOKKYUPCT-HOCLYGCPSA-N 1 2 318.421 1.316 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C)c1C ZINC001050815683 764123567 /nfs/dbraw/zinc/12/35/67/764123567.db2.gz SKVNVNHDRDCBNQ-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C)c1C ZINC001050815683 764123572 /nfs/dbraw/zinc/12/35/72/764123572.db2.gz SKVNVNHDRDCBNQ-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](CNc2cc[nH+]c(C)n2)C2CC2)nc1 ZINC001109876822 764161355 /nfs/dbraw/zinc/16/13/55/764161355.db2.gz AKPNBZVAHKAXQT-INIZCTEOSA-N 1 2 321.384 1.782 20 30 DDEDLO C#CCOCCC(=O)N[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109905329 764186458 /nfs/dbraw/zinc/18/64/58/764186458.db2.gz NYIOIGMASWLCND-CQSZACIVSA-N 1 2 302.378 1.132 20 30 DDEDLO CN(C(=O)c1ccc(C#N)[nH]1)C1C[NH+](Cc2ccc(CO)cc2)C1 ZINC001042629210 764292788 /nfs/dbraw/zinc/29/27/88/764292788.db2.gz VJKJILKRASJOJR-UHFFFAOYSA-N 1 2 324.384 1.335 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccn(CC(C)C)n1 ZINC001050939009 764309141 /nfs/dbraw/zinc/30/91/41/764309141.db2.gz BKUVXJLOIKXMNF-OAHLLOKOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccn(CC(C)C)n1 ZINC001050939009 764309149 /nfs/dbraw/zinc/30/91/49/764309149.db2.gz BKUVXJLOIKXMNF-OAHLLOKOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3CC[C@@H](C)O3)c2C1 ZINC001069875529 768205750 /nfs/dbraw/zinc/20/57/50/768205750.db2.gz CRBIDACFEXGHIS-CZUORRHYSA-N 1 2 318.421 1.459 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3CC[C@@H](C)O3)c2C1 ZINC001069875529 768205755 /nfs/dbraw/zinc/20/57/55/768205755.db2.gz CRBIDACFEXGHIS-CZUORRHYSA-N 1 2 318.421 1.459 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCc1cnn2c1C[N@H+](C(C)C)CC2 ZINC001069876256 768205970 /nfs/dbraw/zinc/20/59/70/768205970.db2.gz PULXNBJFVCPGBL-ZBFHGGJFSA-N 1 2 318.421 1.314 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCc1cnn2c1C[N@@H+](C(C)C)CC2 ZINC001069876256 768205974 /nfs/dbraw/zinc/20/59/74/768205974.db2.gz PULXNBJFVCPGBL-ZBFHGGJFSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(OC)c(F)c1 ZINC001050965389 764361216 /nfs/dbraw/zinc/36/12/16/764361216.db2.gz PLNNXMVESPRVJX-CQSZACIVSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(OC)c(F)c1 ZINC001050965389 764361225 /nfs/dbraw/zinc/36/12/25/764361225.db2.gz PLNNXMVESPRVJX-CQSZACIVSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnnc1C ZINC001051006527 764408685 /nfs/dbraw/zinc/40/86/85/764408685.db2.gz SJKKSRKAUQOGMO-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnnc1C ZINC001051006527 764408689 /nfs/dbraw/zinc/40/86/89/764408689.db2.gz SJKKSRKAUQOGMO-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051059946 764463284 /nfs/dbraw/zinc/46/32/84/764463284.db2.gz MNKADMJAFGVCTJ-HUUCEWRRSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H]2COCC[N@H+]2CCCC)nn1 ZINC001051156060 764563558 /nfs/dbraw/zinc/56/35/58/764563558.db2.gz NXMWWQRVXLTRDZ-CQSZACIVSA-N 1 2 321.425 1.085 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H]2COCC[N@@H+]2CCCC)nn1 ZINC001051156060 764563564 /nfs/dbraw/zinc/56/35/64/764563564.db2.gz NXMWWQRVXLTRDZ-CQSZACIVSA-N 1 2 321.425 1.085 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnc(C)nc1C ZINC001051172380 764578513 /nfs/dbraw/zinc/57/85/13/764578513.db2.gz IIFWWYIOESSNCW-HNNXBMFYSA-N 1 2 318.421 1.490 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnc(C)nc1C ZINC001051172380 764578514 /nfs/dbraw/zinc/57/85/14/764578514.db2.gz IIFWWYIOESSNCW-HNNXBMFYSA-N 1 2 318.421 1.490 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C(F)F)c1 ZINC001051180770 764585809 /nfs/dbraw/zinc/58/58/09/764585809.db2.gz KDGLMHZRKCFOCN-LBPRGKRZSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C(F)F)c1 ZINC001051180770 764585815 /nfs/dbraw/zinc/58/58/15/764585815.db2.gz KDGLMHZRKCFOCN-LBPRGKRZSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)C1CC2(C1)CCOCC2 ZINC001051209415 764623228 /nfs/dbraw/zinc/62/32/28/764623228.db2.gz KBIBQZHDCJTRJJ-INIZCTEOSA-N 1 2 322.449 1.586 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)C1CC2(C1)CCOCC2 ZINC001051209415 764623235 /nfs/dbraw/zinc/62/32/35/764623235.db2.gz KBIBQZHDCJTRJJ-INIZCTEOSA-N 1 2 322.449 1.586 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CCC(=C)C)cn1 ZINC001051211993 764626034 /nfs/dbraw/zinc/62/60/34/764626034.db2.gz JSFSGRNIHVEDNE-KRWDZBQOSA-N 1 2 313.401 1.460 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CCC(=C)C)cn1 ZINC001051211993 764626040 /nfs/dbraw/zinc/62/60/40/764626040.db2.gz JSFSGRNIHVEDNE-KRWDZBQOSA-N 1 2 313.401 1.460 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn3c(n2)C[C@@H](OC)CC3)C1 ZINC001043063106 764627605 /nfs/dbraw/zinc/62/76/05/764627605.db2.gz OGWSBWKEUKYCSQ-AWEZNQCLSA-N 1 2 318.421 1.177 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CC[C@H](C)F)cn1 ZINC001051216734 764630795 /nfs/dbraw/zinc/63/07/95/764630795.db2.gz LOLAYRYGOKHQAI-BBRMVZONSA-N 1 2 319.380 1.242 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CC[C@H](C)F)cn1 ZINC001051216734 764630799 /nfs/dbraw/zinc/63/07/99/764630799.db2.gz LOLAYRYGOKHQAI-BBRMVZONSA-N 1 2 319.380 1.242 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(Br)c[nH]2)C1 ZINC001043257304 764793563 /nfs/dbraw/zinc/79/35/63/764793563.db2.gz DYLMHFSMMUIZHB-UHFFFAOYSA-N 1 2 310.195 1.557 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051417822 764857965 /nfs/dbraw/zinc/85/79/65/764857965.db2.gz OBADLTCINNFJAE-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccnc(OCCOC)c2)CC1 ZINC001112843232 764867269 /nfs/dbraw/zinc/86/72/69/764867269.db2.gz PQAXJSYOQPEZJB-UHFFFAOYSA-N 1 2 305.378 1.051 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001051463557 764906506 /nfs/dbraw/zinc/90/65/06/764906506.db2.gz DZBBHFFDYZAJGR-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c[nH]c(-c3ccccc3)n2)C1 ZINC001043980667 765204021 /nfs/dbraw/zinc/20/40/21/765204021.db2.gz AMEIROGPSBEMFW-UHFFFAOYSA-N 1 2 308.385 1.856 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C2C[NH+](Cc3ccccc3)C2)nn1 ZINC001044022741 765239631 /nfs/dbraw/zinc/23/96/31/765239631.db2.gz RTDZCXUIHFNYHT-UHFFFAOYSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3sccc3C)C2)CC1 ZINC001051969860 765326951 /nfs/dbraw/zinc/32/69/51/765326951.db2.gz RSXCQFJQLOBCNM-OAHLLOKOSA-N 1 2 317.458 1.522 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3sccc3C)C2)CC1 ZINC001051969860 765326956 /nfs/dbraw/zinc/32/69/56/765326956.db2.gz RSXCQFJQLOBCNM-OAHLLOKOSA-N 1 2 317.458 1.522 20 30 DDEDLO Cc1ccc(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)s1 ZINC001051970183 765327110 /nfs/dbraw/zinc/32/71/10/765327110.db2.gz YZUZPWZYUYMQQY-AWEZNQCLSA-N 1 2 318.446 1.412 20 30 DDEDLO Cc1nsc(N[C@H](C)[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001113156186 765346359 /nfs/dbraw/zinc/34/63/59/765346359.db2.gz XWFJGGSGXRVQHN-RKDXNWHRSA-N 1 2 318.406 1.594 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cc(Cl)c[nH]3)C2)CC1 ZINC001051983382 765348053 /nfs/dbraw/zinc/34/80/53/765348053.db2.gz NZBNVDHJSYPABG-CYBMUJFWSA-N 1 2 321.812 1.024 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)C1C[NH+](C[C@H](C)OC)C1 ZINC001044164808 765357433 /nfs/dbraw/zinc/35/74/33/765357433.db2.gz GEWCCOOCBWZMKE-ZDUSSCGKSA-N 1 2 319.405 1.438 20 30 DDEDLO CC#CCN1CC[NH+]([C@@H]2CCN(C(=O)C3(F)CCCC3)C2)CC1 ZINC001052044079 765417667 /nfs/dbraw/zinc/41/76/67/765417667.db2.gz CGSMAQOTBKTYPI-MRXNPFEDSA-N 1 2 321.440 1.511 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](N2CC[NH+](CC#CC)CC2)C1 ZINC001052077451 765450399 /nfs/dbraw/zinc/45/03/99/765450399.db2.gz RHGWJKGIDRCGMO-IEBWSBKVSA-N 1 2 317.477 1.831 20 30 DDEDLO CC(C)(C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1)C(F)F ZINC001052079471 765452488 /nfs/dbraw/zinc/45/24/88/765452488.db2.gz AHTWJXNCJJHJCX-GFCCVEGCSA-N 1 2 314.380 1.020 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(C(C)C)CC3)C2)CC1 ZINC001052080039 765452808 /nfs/dbraw/zinc/45/28/08/765452808.db2.gz URDPHDZLXYVETA-MRXNPFEDSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3CC34CCCC4)C2)CC1 ZINC001052101995 765471532 /nfs/dbraw/zinc/47/15/32/765471532.db2.gz CAAZIQIRRMGRPC-DLBZAZTESA-N 1 2 315.461 1.418 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+](C[C@H](O)CC(F)(F)F)CC1 ZINC001113282286 765508943 /nfs/dbraw/zinc/50/89/43/765508943.db2.gz VCBQDTBLPRCOKX-GFCCVEGCSA-N 1 2 308.344 1.800 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CCC[N@H+](Cc3cnon3)CC2)c1 ZINC001052289705 765680201 /nfs/dbraw/zinc/68/02/01/765680201.db2.gz DIOVQQMZFLPQKL-GFCCVEGCSA-N 1 2 314.349 1.054 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnon3)CC2)c1 ZINC001052289705 765680205 /nfs/dbraw/zinc/68/02/05/765680205.db2.gz DIOVQQMZFLPQKL-GFCCVEGCSA-N 1 2 314.349 1.054 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3cnon3)CC2)[nH]1 ZINC001052319611 765714668 /nfs/dbraw/zinc/71/46/68/765714668.db2.gz GQNSSWFJXFPYAC-LLVKDONJSA-N 1 2 314.349 1.054 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnon3)CC2)[nH]1 ZINC001052319611 765714670 /nfs/dbraw/zinc/71/46/70/765714670.db2.gz GQNSSWFJXFPYAC-LLVKDONJSA-N 1 2 314.349 1.054 20 30 DDEDLO C[C@@H]1C[C@@H](NCC#N)CCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001044695590 765757938 /nfs/dbraw/zinc/75/79/38/765757938.db2.gz WYISFRVTZAIAKM-ZBFHGGJFSA-N 1 2 323.400 1.979 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CC2CCCC2)C[N@@H+]1CC(=O)NCC#N ZINC001131767469 768333659 /nfs/dbraw/zinc/33/36/59/768333659.db2.gz AMBZXAJXXDBWCW-ZFWWWQNUSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CC2CCCC2)C[N@H+]1CC(=O)NCC#N ZINC001131767469 768333667 /nfs/dbraw/zinc/33/36/67/768333667.db2.gz AMBZXAJXXDBWCW-ZFWWWQNUSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCn2c[nH+]cc2C1)Nc1ncccc1C#N ZINC001098115245 768347908 /nfs/dbraw/zinc/34/79/08/768347908.db2.gz BNMFPHCBHFWVTE-STQMWFEESA-N 1 2 324.388 1.329 20 30 DDEDLO Cc1cc(N2CCC(NC(=O)Cn3cc[nH+]c3)CC2)c(C#N)cn1 ZINC001057880816 766116355 /nfs/dbraw/zinc/11/63/55/766116355.db2.gz ZEAZQSHUQWOGRY-UHFFFAOYSA-N 1 2 324.388 1.243 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC(NC(=O)Cc3[nH]cc[nH+]3)CC2)n1 ZINC001057893817 766124070 /nfs/dbraw/zinc/12/40/70/766124070.db2.gz NCXUESQDEFLQOR-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO C#Cc1ccc(C(=O)NC2(C)CC[NH+](Cc3cnon3)CC2)cc1 ZINC001045520531 766373500 /nfs/dbraw/zinc/37/35/00/766373500.db2.gz HTMLMBRTZVWTKC-UHFFFAOYSA-N 1 2 324.384 1.835 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C4CC4)n3)C[C@H]21 ZINC001114067792 766593518 /nfs/dbraw/zinc/59/35/18/766593518.db2.gz WZJWTLJQHBCVEE-QQUHWDOBSA-N 1 2 300.362 1.258 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C4CC4)n3)C[C@H]21 ZINC001114067792 766593528 /nfs/dbraw/zinc/59/35/28/766593528.db2.gz WZJWTLJQHBCVEE-QQUHWDOBSA-N 1 2 300.362 1.258 20 30 DDEDLO CCc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)cs1 ZINC001114069798 766618454 /nfs/dbraw/zinc/61/84/54/766618454.db2.gz OVOVRDRUNJYKGL-PQFRYHKHSA-N 1 2 304.419 1.412 20 30 DDEDLO CCc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)cs1 ZINC001114069798 766618458 /nfs/dbraw/zinc/61/84/58/766618458.db2.gz OVOVRDRUNJYKGL-PQFRYHKHSA-N 1 2 304.419 1.412 20 30 DDEDLO CCc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)cs1 ZINC001114069799 766618616 /nfs/dbraw/zinc/61/86/16/766618616.db2.gz OVOVRDRUNJYKGL-WUWHUORYSA-N 1 2 304.419 1.412 20 30 DDEDLO CCc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)cs1 ZINC001114069799 766618621 /nfs/dbraw/zinc/61/86/21/766618621.db2.gz OVOVRDRUNJYKGL-WUWHUORYSA-N 1 2 304.419 1.412 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#N ZINC001045850182 766637969 /nfs/dbraw/zinc/63/79/69/766637969.db2.gz UYAXWQYLFYGDCW-LXTVHRRPSA-N 1 2 313.405 1.112 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114161143 766712406 /nfs/dbraw/zinc/71/24/06/766712406.db2.gz AUEIBRUZDQYSJM-NHAGDIPZSA-N 1 2 304.419 1.278 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114161143 766712409 /nfs/dbraw/zinc/71/24/09/766712409.db2.gz AUEIBRUZDQYSJM-NHAGDIPZSA-N 1 2 304.419 1.278 20 30 DDEDLO CCc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)cs1 ZINC001114234191 766798039 /nfs/dbraw/zinc/79/80/39/766798039.db2.gz HTUXJTFBEFDUMP-HALDLXJZSA-N 1 2 317.458 1.911 20 30 DDEDLO CCc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)cs1 ZINC001114234191 766798044 /nfs/dbraw/zinc/79/80/44/766798044.db2.gz HTUXJTFBEFDUMP-HALDLXJZSA-N 1 2 317.458 1.911 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C4CC4)n3)C[C@H]21 ZINC001114248440 766815616 /nfs/dbraw/zinc/81/56/16/766815616.db2.gz XOCMDZHIOSETEG-QLPKVWCKSA-N 1 2 313.401 1.758 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C4CC4)n3)C[C@H]21 ZINC001114248440 766815624 /nfs/dbraw/zinc/81/56/24/766815624.db2.gz XOCMDZHIOSETEG-QLPKVWCKSA-N 1 2 313.401 1.758 20 30 DDEDLO CCc1cnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)o1 ZINC001114260188 766831294 /nfs/dbraw/zinc/83/12/94/766831294.db2.gz IRKUDKOOSVFZPP-HALDLXJZSA-N 1 2 315.417 1.833 20 30 DDEDLO CCc1cnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)o1 ZINC001114260188 766831302 /nfs/dbraw/zinc/83/13/02/766831302.db2.gz IRKUDKOOSVFZPP-HALDLXJZSA-N 1 2 315.417 1.833 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC=C(C)C1 ZINC001121608816 782589615 /nfs/dbraw/zinc/58/96/15/782589615.db2.gz ISOMDYAYIROVGS-ZIAGYGMSSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC=C(C)C1 ZINC001121608816 782589622 /nfs/dbraw/zinc/58/96/22/782589622.db2.gz ISOMDYAYIROVGS-ZIAGYGMSSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c(N2CCC(=C)CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121607099 782589699 /nfs/dbraw/zinc/58/96/99/782589699.db2.gz ISVJCLHDOGFFER-RHSMWYFYSA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1c(N2CCC(=C)CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121607099 782589704 /nfs/dbraw/zinc/58/97/04/782589704.db2.gz ISVJCLHDOGFFER-RHSMWYFYSA-N 1 2 317.437 1.532 20 30 DDEDLO C[C@H]1C[C@H](CNc2ccc(C#N)nc2)CN1C(=O)Cn1cc[nH+]c1 ZINC001068335288 767119777 /nfs/dbraw/zinc/11/97/77/767119777.db2.gz JKUTZPAJDZWFRI-UONOGXRCSA-N 1 2 324.388 1.499 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)no1 ZINC001046225071 767213400 /nfs/dbraw/zinc/21/34/00/767213400.db2.gz XCNRHLSVAPRFON-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)no1 ZINC001046225071 767213407 /nfs/dbraw/zinc/21/34/07/767213407.db2.gz XCNRHLSVAPRFON-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1nccc1C)C2 ZINC001096086232 767395619 /nfs/dbraw/zinc/39/56/19/767395619.db2.gz RFTSIZCGRKGXNQ-RDBSUJKOSA-N 1 2 308.813 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1nccc1C)C2 ZINC001096086232 767395630 /nfs/dbraw/zinc/39/56/30/767395630.db2.gz RFTSIZCGRKGXNQ-RDBSUJKOSA-N 1 2 308.813 1.666 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCn2cccn2)CC[C@@H]1C ZINC001131820944 768389550 /nfs/dbraw/zinc/38/95/50/768389550.db2.gz LGUVLAZSLINCHC-KBPBESRZSA-N 1 2 310.829 1.995 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCn2cccn2)CC[C@@H]1C ZINC001131820944 768389542 /nfs/dbraw/zinc/38/95/42/768389542.db2.gz LGUVLAZSLINCHC-KBPBESRZSA-N 1 2 310.829 1.995 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CSCC#N)C[N@@H+]1Cc1ccnn1C ZINC001131825940 768393829 /nfs/dbraw/zinc/39/38/29/768393829.db2.gz MNXDBEQZTUBUEH-STQMWFEESA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CSCC#N)C[N@H+]1Cc1ccnn1C ZINC001131825940 768393837 /nfs/dbraw/zinc/39/38/37/768393837.db2.gz MNXDBEQZTUBUEH-STQMWFEESA-N 1 2 321.450 1.146 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cncc(F)c2)C1 ZINC001131947428 768506327 /nfs/dbraw/zinc/50/63/27/768506327.db2.gz UIWSHBFCPVVXPY-BBRMVZONSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cncc(F)c2)C1 ZINC001131947428 768506330 /nfs/dbraw/zinc/50/63/30/768506330.db2.gz UIWSHBFCPVVXPY-BBRMVZONSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132068837 768603516 /nfs/dbraw/zinc/60/35/16/768603516.db2.gz KMVLRPZQOPWPHR-UONOGXRCSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132068837 768603521 /nfs/dbraw/zinc/60/35/21/768603521.db2.gz KMVLRPZQOPWPHR-UONOGXRCSA-N 1 2 319.453 1.887 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)[C@@]2(C)C=CCC2)C[C@H]1NCC#N ZINC001070679929 768666184 /nfs/dbraw/zinc/66/61/84/768666184.db2.gz VHJVVYFTVIPQLW-CPUCHLNUSA-N 1 2 313.405 1.184 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+][C@H](C)c2nnc(CC)o2)cc1 ZINC001132226586 768697803 /nfs/dbraw/zinc/69/78/03/768697803.db2.gz GIFNQSUBQGMSKV-GFCCVEGCSA-N 1 2 312.373 1.694 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(C)(C)CCC)CC[C@H]1C ZINC001132253098 768703911 /nfs/dbraw/zinc/70/39/11/768703911.db2.gz OKNWHJYBOWDARM-HUUCEWRRSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(C)(C)CCC)CC[C@H]1C ZINC001132253098 768703913 /nfs/dbraw/zinc/70/39/13/768703913.db2.gz OKNWHJYBOWDARM-HUUCEWRRSA-N 1 2 321.465 1.531 20 30 DDEDLO CC(C)CCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132319247 768756482 /nfs/dbraw/zinc/75/64/82/768756482.db2.gz WISGISWSBXAXOE-CABCVRRESA-N 1 2 322.453 1.422 20 30 DDEDLO CC(C)CCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132319247 768756485 /nfs/dbraw/zinc/75/64/85/768756485.db2.gz WISGISWSBXAXOE-CABCVRRESA-N 1 2 322.453 1.422 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070935491 768794457 /nfs/dbraw/zinc/79/44/57/768794457.db2.gz PAMDQUFOTWMUQK-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)COCCCOC)CC[C@@H]1C ZINC001132410645 768824601 /nfs/dbraw/zinc/82/46/01/768824601.db2.gz MSBRAHFCVIQPEP-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)COCCCOC)CC[C@@H]1C ZINC001132410645 768824606 /nfs/dbraw/zinc/82/46/06/768824606.db2.gz MSBRAHFCVIQPEP-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001132650322 769066898 /nfs/dbraw/zinc/06/68/98/769066898.db2.gz JNLYWNIJVUUVGR-VXGBXAGGSA-N 1 2 310.398 1.585 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001096330686 769222749 /nfs/dbraw/zinc/22/27/49/769222749.db2.gz HTTTXKIGLCIQHI-LLVKDONJSA-N 1 2 300.366 1.024 20 30 DDEDLO C[C@@H]1CCN(C(=O)c2cccc3[nH+]ccn32)C[C@H]1CNCC#N ZINC001132981798 769385430 /nfs/dbraw/zinc/38/54/30/769385430.db2.gz GHVKPWRXTHXKJM-ZIAGYGMSSA-N 1 2 311.389 1.546 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[N@@H+](Cc3ncnn3C)C[C@@H]2C1 ZINC001049035609 770278607 /nfs/dbraw/zinc/27/86/07/770278607.db2.gz LYKMDQZXQOGPTD-VBQJREDUSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[N@H+](Cc3ncnn3C)C[C@@H]2C1 ZINC001049035609 770278612 /nfs/dbraw/zinc/27/86/12/770278612.db2.gz LYKMDQZXQOGPTD-VBQJREDUSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(=O)n(C)n2)CC[C@@H]1C ZINC001072052441 770486920 /nfs/dbraw/zinc/48/69/20/770486920.db2.gz MXENGJIXEAUHNX-RYUDHWBXSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(=O)n(C)n2)CC[C@@H]1C ZINC001072052441 770486924 /nfs/dbraw/zinc/48/69/24/770486924.db2.gz MXENGJIXEAUHNX-RYUDHWBXSA-N 1 2 324.812 1.116 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)C#N ZINC001049372757 770750841 /nfs/dbraw/zinc/75/08/41/770750841.db2.gz NKEOFRAAQGUJQB-TUKIKUTGSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)C#N ZINC001049372757 770750902 /nfs/dbraw/zinc/75/09/02/770750902.db2.gz NKEOFRAAQGUJQB-TUKIKUTGSA-N 1 2 302.378 1.708 20 30 DDEDLO N#Cc1cccnc1N[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001096711873 771409344 /nfs/dbraw/zinc/40/93/44/771409344.db2.gz GNFOTYIZDWZSMR-ZDUSSCGKSA-N 1 2 310.361 1.226 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@@H](Nc1ccc(C#N)cn1)C1CC1 ZINC001096695074 771421653 /nfs/dbraw/zinc/42/16/53/771421653.db2.gz BGLQQNTUPASBAG-OAHLLOKOSA-N 1 2 324.388 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)nc(C)n2)[C@@H](O)C1 ZINC001090644733 772069718 /nfs/dbraw/zinc/06/97/18/772069718.db2.gz QRMAXDDCCIXXSD-OCCSQVGLSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)nc(C)n2)[C@@H](O)C1 ZINC001090644733 772069723 /nfs/dbraw/zinc/06/97/23/772069723.db2.gz QRMAXDDCCIXXSD-OCCSQVGLSA-N 1 2 324.812 1.011 20 30 DDEDLO COCCOc1cncc(NC(=[NH2+])c2ccc(C(N)=O)cc2)c1 ZINC001171260380 772756790 /nfs/dbraw/zinc/75/67/90/772756790.db2.gz GZUSVXWZNCWSMZ-UHFFFAOYSA-N 1 2 314.345 1.243 20 30 DDEDLO C=C[C@@H]1C[C@]1(NC(=O)OC(C)(C)C)C(=O)N1CC[NH+](C)CC1 ZINC001146217721 772824461 /nfs/dbraw/zinc/82/44/61/772824461.db2.gz HOMSOKOCSYFNCP-MLGOLLRUSA-N 1 2 309.410 1.230 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@H]2CN(CC#N)CCCO2)c(C)[nH+]1 ZINC001073709480 773331364 /nfs/dbraw/zinc/33/13/64/773331364.db2.gz XJMZJHXGXGQFTF-HNNXBMFYSA-N 1 2 316.405 1.351 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001148893965 773626875 /nfs/dbraw/zinc/62/68/75/773626875.db2.gz YDOUMFMYSNTPHK-UHFFFAOYSA-N 1 2 316.405 1.104 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001148893965 773626879 /nfs/dbraw/zinc/62/68/79/773626879.db2.gz YDOUMFMYSNTPHK-UHFFFAOYSA-N 1 2 316.405 1.104 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CCCCC3)C[C@H]21 ZINC001074150874 773675946 /nfs/dbraw/zinc/67/59/46/773675946.db2.gz FHCKRJUSBFNLDK-IAGOWNOFSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CCCCC3)C[C@H]21 ZINC001074150874 773675949 /nfs/dbraw/zinc/67/59/49/773675949.db2.gz FHCKRJUSBFNLDK-IAGOWNOFSA-N 1 2 304.434 1.892 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)n3C)C[C@@H]21 ZINC001074163721 773686896 /nfs/dbraw/zinc/68/68/96/773686896.db2.gz UWPJHZMQMRXACO-DLBZAZTESA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)n3C)C[C@@H]21 ZINC001074163721 773686899 /nfs/dbraw/zinc/68/68/99/773686899.db2.gz UWPJHZMQMRXACO-DLBZAZTESA-N 1 2 317.433 1.825 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC3CCC3)C[C@H]21 ZINC001074204964 773726990 /nfs/dbraw/zinc/72/69/90/773726990.db2.gz KYQODXHMVCABRD-IAGOWNOFSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC3CCC3)C[C@H]21 ZINC001074204964 773726997 /nfs/dbraw/zinc/72/69/97/773726997.db2.gz KYQODXHMVCABRD-IAGOWNOFSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cscc3C)C[C@@H]21 ZINC001074205668 773728752 /nfs/dbraw/zinc/72/87/52/773728752.db2.gz VRDOFAAEBOKSMZ-HOTGVXAUSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cscc3C)C[C@@H]21 ZINC001074205668 773728759 /nfs/dbraw/zinc/72/87/59/773728759.db2.gz VRDOFAAEBOKSMZ-HOTGVXAUSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C(C)C)C[C@H]21 ZINC001074253535 773771850 /nfs/dbraw/zinc/77/18/50/773771850.db2.gz WRGALHQXRQRIQT-CVEARBPZSA-N 1 2 306.450 1.994 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C(C)C)C[C@H]21 ZINC001074253535 773771852 /nfs/dbraw/zinc/77/18/52/773771852.db2.gz WRGALHQXRQRIQT-CVEARBPZSA-N 1 2 306.450 1.994 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C4CC4)CC3)C[C@@H]21 ZINC001074273656 773785017 /nfs/dbraw/zinc/78/50/17/773785017.db2.gz MMAPHXKMQGQISY-HOTGVXAUSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C4CC4)CC3)C[C@@H]21 ZINC001074273656 773785018 /nfs/dbraw/zinc/78/50/18/773785018.db2.gz MMAPHXKMQGQISY-HOTGVXAUSA-N 1 2 302.418 1.502 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ncccc3F)C[C@@H]21 ZINC001074372095 773865194 /nfs/dbraw/zinc/86/51/94/773865194.db2.gz RIEOQQDRMZTNLP-GJZGRUSLSA-N 1 2 319.380 1.712 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ncccc3F)C[C@@H]21 ZINC001074372095 773865198 /nfs/dbraw/zinc/86/51/98/773865198.db2.gz RIEOQQDRMZTNLP-GJZGRUSLSA-N 1 2 319.380 1.712 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3C[N@H+](Cc4cnon4)C[C@@]3(C)C2)C1 ZINC001091996419 773903113 /nfs/dbraw/zinc/90/31/13/773903113.db2.gz UKWPKGMUXRDGOU-DYVFJYSZSA-N 1 2 316.405 1.706 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3C[N@@H+](Cc4cnon4)C[C@@]3(C)C2)C1 ZINC001091996419 773903117 /nfs/dbraw/zinc/90/31/17/773903117.db2.gz UKWPKGMUXRDGOU-DYVFJYSZSA-N 1 2 316.405 1.706 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2CCN(C(=O)[C@@H](C)C#N)[C@H]2C)o1 ZINC001074568747 774000845 /nfs/dbraw/zinc/00/08/45/774000845.db2.gz WTBFLCDCMVZZFC-SRVKXCTJSA-N 1 2 305.382 1.432 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1C[C@H]3C[C@H]3C1)c1nccn12 ZINC001092389752 774098641 /nfs/dbraw/zinc/09/86/41/774098641.db2.gz XQEHCNKBQYKZML-CBBWQLFWSA-N 1 2 312.417 1.687 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NC[C@@H]3CN(CC#N)C[C@H]3C)ccn12 ZINC001092607193 774186378 /nfs/dbraw/zinc/18/63/78/774186378.db2.gz OPZAMWZTHGGKMX-IUODEOHRSA-N 1 2 311.389 1.464 20 30 DDEDLO C[C@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1ccncc1C#N ZINC001098303361 774340863 /nfs/dbraw/zinc/34/08/63/774340863.db2.gz RMNZUGCTLHUEAY-OLZOCXBDSA-N 1 2 312.377 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2cnsn2)C1 ZINC001098742306 774659169 /nfs/dbraw/zinc/65/91/69/774659169.db2.gz KGSCBPZKYSURBM-GWCFXTLKSA-N 1 2 312.826 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2cnsn2)C1 ZINC001098742306 774659171 /nfs/dbraw/zinc/65/91/71/774659171.db2.gz KGSCBPZKYSURBM-GWCFXTLKSA-N 1 2 312.826 1.875 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](OC)C3CCC3)CC2)C1 ZINC001093557090 774881988 /nfs/dbraw/zinc/88/19/88/774881988.db2.gz KDLGUMXYGKKKDD-QGZVFWFLSA-N 1 2 322.449 1.679 20 30 DDEDLO Cc1nc(NCCNC(=O)CCc2c[nH+]cn2C)ccc1C#N ZINC001093785100 775076801 /nfs/dbraw/zinc/07/68/01/775076801.db2.gz NLEPYBHLJACODA-UHFFFAOYSA-N 1 2 312.377 1.156 20 30 DDEDLO C[C@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccncc1C#N ZINC001099765319 775242833 /nfs/dbraw/zinc/24/28/33/775242833.db2.gz PNVGMGPSOUYVMT-MRVWCRGKSA-N 1 2 324.388 1.209 20 30 DDEDLO C[C@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccncc1C#N ZINC001099765319 775242841 /nfs/dbraw/zinc/24/28/41/775242841.db2.gz PNVGMGPSOUYVMT-MRVWCRGKSA-N 1 2 324.388 1.209 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@@H]2CCc3[nH+]ccn3C2)ccc1C#N ZINC001093956138 775267594 /nfs/dbraw/zinc/26/75/94/775267594.db2.gz CXLWHZXEDQYQAR-CQSZACIVSA-N 1 2 324.388 1.249 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](CC(=C)Cl)C[C@H]1O ZINC001099850090 775354406 /nfs/dbraw/zinc/35/44/06/775354406.db2.gz MJGVWWBNJNUZCP-QWHCGFSZSA-N 1 2 300.830 1.893 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](CC(=C)Cl)C[C@H]1O ZINC001099850090 775354419 /nfs/dbraw/zinc/35/44/19/775354419.db2.gz MJGVWWBNJNUZCP-QWHCGFSZSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C1CC(C)(C(=O)NCCNc2[nH+]cnc3c2cnn3C)C1 ZINC001094124092 775457633 /nfs/dbraw/zinc/45/76/33/775457633.db2.gz JGFAMFOTROOPFS-UHFFFAOYSA-N 1 2 300.366 1.248 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001099961697 775485013 /nfs/dbraw/zinc/48/50/13/775485013.db2.gz AVOHJCSNLSSAJB-ZBFHGGJFSA-N 1 2 319.405 1.228 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001099961697 775485019 /nfs/dbraw/zinc/48/50/19/775485019.db2.gz AVOHJCSNLSSAJB-ZBFHGGJFSA-N 1 2 319.405 1.228 20 30 DDEDLO CN(CCNC(=O)CCc1[nH]cc[nH+]1)c1ncc(C#N)cc1F ZINC001100042943 775610307 /nfs/dbraw/zinc/61/03/07/775610307.db2.gz VISMFXBKSGSKAG-UHFFFAOYSA-N 1 2 316.340 1.001 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cccc(C)c3)nn2)C1 ZINC001094270577 775613801 /nfs/dbraw/zinc/61/38/01/775613801.db2.gz CDKNQHVIQSBOFB-UHFFFAOYSA-N 1 2 311.389 1.559 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3CCC=CCC3)nn2)C1 ZINC001094338547 775725238 /nfs/dbraw/zinc/72/52/38/775725238.db2.gz JTYRMGLPCVQIRV-UHFFFAOYSA-N 1 2 315.421 1.683 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3CC[C@@H](C)C3)nn2)C1 ZINC001094323873 775750578 /nfs/dbraw/zinc/75/05/78/775750578.db2.gz HVIZRBYXVVRQFG-KGLIPLIRSA-N 1 2 317.437 1.763 20 30 DDEDLO CN(CCNC(=O)c1cccc2[nH+]ccn21)c1ccncc1C#N ZINC001100226201 775853787 /nfs/dbraw/zinc/85/37/87/775853787.db2.gz KZVZRJDKPKSFMD-UHFFFAOYSA-N 1 2 320.356 1.467 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001100858785 776641324 /nfs/dbraw/zinc/64/13/24/776641324.db2.gz FCCCPYSDWRDLSM-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO CCCN(CCNC(=O)c1ccc(C#N)[nH]1)c1cc[nH+]c(C)n1 ZINC001101119068 776921750 /nfs/dbraw/zinc/92/17/50/776921750.db2.gz QMPQKSRJVHAWIG-UHFFFAOYSA-N 1 2 312.377 1.631 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101203282 776983617 /nfs/dbraw/zinc/98/36/17/776983617.db2.gz OZXPSGHCXAAOGD-PBHICJAKSA-N 1 2 316.405 1.544 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101203282 776983627 /nfs/dbraw/zinc/98/36/27/776983627.db2.gz OZXPSGHCXAAOGD-PBHICJAKSA-N 1 2 316.405 1.544 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)CC(F)F)c1nccn12 ZINC001101634049 777330484 /nfs/dbraw/zinc/33/04/84/777330484.db2.gz LOMBRODKCRPDMF-RYUDHWBXSA-N 1 2 324.375 1.932 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCCN(Cc3c[nH+]cn3C)[C@H]2C1 ZINC001176949687 778343318 /nfs/dbraw/zinc/34/33/18/778343318.db2.gz RDQOUFIOBPSLIO-HOTGVXAUSA-N 1 2 318.421 1.188 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@H+](CCOCC=C)[C@H]2C1 ZINC001176955466 778346731 /nfs/dbraw/zinc/34/67/31/778346731.db2.gz UDCQOXJTOZOQGC-JKSUJKDBSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOCC=C)[C@H]2C1 ZINC001176955466 778346738 /nfs/dbraw/zinc/34/67/38/778346738.db2.gz UDCQOXJTOZOQGC-JKSUJKDBSA-N 1 2 308.422 1.457 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2OCC[N@H+](CCC#C)[C@H]2C1 ZINC001176990057 778375602 /nfs/dbraw/zinc/37/56/02/778375602.db2.gz YSDSQVRXLBFIAB-DLBZAZTESA-N 1 2 302.418 1.505 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2OCC[N@@H+](CCC#C)[C@H]2C1 ZINC001176990057 778375610 /nfs/dbraw/zinc/37/56/10/778375610.db2.gz YSDSQVRXLBFIAB-DLBZAZTESA-N 1 2 302.418 1.505 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(COCC)CC3)C[C@H]21 ZINC001177040920 778404591 /nfs/dbraw/zinc/40/45/91/778404591.db2.gz DZSLHMDSVHPQGB-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(COCC)CC3)C[C@H]21 ZINC001177040920 778404593 /nfs/dbraw/zinc/40/45/93/778404593.db2.gz DZSLHMDSVHPQGB-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3OCC[N@@H+](CCOCC)[C@H]3C2)C1 ZINC001177089167 778441485 /nfs/dbraw/zinc/44/14/85/778441485.db2.gz QHMGEWMWKYRWDI-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3OCC[N@H+](CCOCC)[C@H]3C2)C1 ZINC001177089167 778441490 /nfs/dbraw/zinc/44/14/90/778441490.db2.gz QHMGEWMWKYRWDI-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCCOCC(=O)NCCC[NH2+]Cc1noc(C2CC2)n1 ZINC001177269582 778524913 /nfs/dbraw/zinc/52/49/13/778524913.db2.gz RKQUBMLWTATZAH-UHFFFAOYSA-N 1 2 308.382 1.136 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)c(C)n1 ZINC001103335248 778798263 /nfs/dbraw/zinc/79/82/63/778798263.db2.gz YDVCZSXIMBMEPU-ZDUSSCGKSA-N 1 2 312.377 1.534 20 30 DDEDLO C[C@](CNc1ccc(C#N)nc1)(NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001103575096 778950910 /nfs/dbraw/zinc/95/09/10/778950910.db2.gz MKGKRMGMWMYJLC-QGZVFWFLSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+][C@H](C)c2nc(COC)no2)[C@@H]1C ZINC001178324011 779004032 /nfs/dbraw/zinc/00/40/32/779004032.db2.gz DQFLHRUWHWFABJ-AGIUHOORSA-N 1 2 322.409 1.822 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(C3CC3)o2)[C@@H]1C ZINC001178599990 779110892 /nfs/dbraw/zinc/11/08/92/779110892.db2.gz MBBNBPSXYLWEJQ-JSGCOSHPSA-N 1 2 316.405 1.830 20 30 DDEDLO N#CCNCCCCCCNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001178865617 779204357 /nfs/dbraw/zinc/20/43/57/779204357.db2.gz PSKPITGPAKFYEJ-CQSZACIVSA-N 1 2 303.410 1.235 20 30 DDEDLO C[C@H](CNC(=O)CCn1cc[nH+]c1)CNc1ccc(C#N)cn1 ZINC001104153052 779339139 /nfs/dbraw/zinc/33/91/39/779339139.db2.gz QAKBKVDLKZDAAG-ZDUSSCGKSA-N 1 2 312.377 1.404 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)CNc1cc[nH+]c(C)n1 ZINC001104207065 779373516 /nfs/dbraw/zinc/37/35/16/779373516.db2.gz YINQXSJVTGIKJT-BNOWGMLFSA-N 1 2 304.394 1.540 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001112000089 779593503 /nfs/dbraw/zinc/59/35/03/779593503.db2.gz HUCAZLXJTJZFEW-DZGCQCFKSA-N 1 2 316.405 1.298 20 30 DDEDLO N#Cc1ccccc1N1CCC(C(=O)NCCn2cc[nH+]c2)CC1 ZINC001180503710 779809993 /nfs/dbraw/zinc/80/99/93/779809993.db2.gz VSVAHBJJSCUWEC-UHFFFAOYSA-N 1 2 323.400 1.788 20 30 DDEDLO C#CCCCC(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115209777 779949836 /nfs/dbraw/zinc/94/98/36/779949836.db2.gz JPYFIQCMNHYDSV-UHFFFAOYSA-N 1 2 304.394 1.158 20 30 DDEDLO C=CCCOCC(=O)NCCOCCCNc1cc[nH+]c(C)n1 ZINC001115412405 780113757 /nfs/dbraw/zinc/11/37/57/780113757.db2.gz FZUUCFPNQISDHY-UHFFFAOYSA-N 1 2 322.409 1.313 20 30 DDEDLO COCC#CC[NH2+]C1(CNC(=O)c2[nH]ncc2F)CCCCC1 ZINC001115717241 780372653 /nfs/dbraw/zinc/37/26/53/780372653.db2.gz WHQOFIZIFWYTQB-UHFFFAOYSA-N 1 2 322.384 1.221 20 30 DDEDLO O=C(C=NOCC[N@H+]1C[C@H]2CC[C@@H](C1)O2)Nc1ccccc1 ZINC001117970806 780967902 /nfs/dbraw/zinc/96/79/02/780967902.db2.gz DHKWPTJSJQQKDC-GASCZTMLSA-N 1 2 303.362 1.491 20 30 DDEDLO O=C(C=NOCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2)Nc1ccccc1 ZINC001117970806 780967908 /nfs/dbraw/zinc/96/79/08/780967908.db2.gz DHKWPTJSJQQKDC-GASCZTMLSA-N 1 2 303.362 1.491 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@@H](c2nc(C)cs2)C1 ZINC001119299826 781398282 /nfs/dbraw/zinc/39/82/82/781398282.db2.gz XTKXHMARWVBUCC-NEPJUHHUSA-N 1 2 323.418 1.214 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H]1CCC[C@@H]1CCC ZINC001267204831 837529473 /nfs/dbraw/zinc/52/94/73/837529473.db2.gz MZPNDTQVSCFCOQ-LSDHHAIUSA-N 1 2 307.438 1.000 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H]1CCC[C@@H]1CCC ZINC001267204831 837529480 /nfs/dbraw/zinc/52/94/80/837529480.db2.gz MZPNDTQVSCFCOQ-LSDHHAIUSA-N 1 2 307.438 1.000 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)C(C)(C)C1CCCCC1 ZINC001267221001 837556987 /nfs/dbraw/zinc/55/69/87/837556987.db2.gz OSZUHMJEINBCNY-UHFFFAOYSA-N 1 2 323.481 1.943 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)C(C)(C)C1CCCCC1 ZINC001267221001 837556996 /nfs/dbraw/zinc/55/69/96/837556996.db2.gz OSZUHMJEINBCNY-UHFFFAOYSA-N 1 2 323.481 1.943 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1ccc(C(F)F)o1 ZINC001267229570 837567961 /nfs/dbraw/zinc/56/79/61/837567961.db2.gz KLMGPVOFZHZQLR-UHFFFAOYSA-N 1 2 315.320 1.181 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1ccc(C(F)F)o1 ZINC001267229570 837567966 /nfs/dbraw/zinc/56/79/66/837567966.db2.gz KLMGPVOFZHZQLR-UHFFFAOYSA-N 1 2 315.320 1.181 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001266322483 836118380 /nfs/dbraw/zinc/11/83/80/836118380.db2.gz IEYGNFLQZMFOGX-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001266322483 836118387 /nfs/dbraw/zinc/11/83/87/836118387.db2.gz IEYGNFLQZMFOGX-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](CC(=O)NCc2ccco2)C1 ZINC001266322684 836118993 /nfs/dbraw/zinc/11/89/93/836118993.db2.gz MBSDENKPZVJBAJ-AWEZNQCLSA-N 1 2 319.405 1.443 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](CC(=O)NCc2ccco2)C1 ZINC001266322684 836118995 /nfs/dbraw/zinc/11/89/95/836118995.db2.gz MBSDENKPZVJBAJ-AWEZNQCLSA-N 1 2 319.405 1.443 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@H]1CCCCC1(C)C ZINC001266364786 836176885 /nfs/dbraw/zinc/17/68/85/836176885.db2.gz BIGVUNMEDNEKSG-CQSZACIVSA-N 1 2 309.454 1.553 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H]1CCCCC1(C)C ZINC001266364786 836176890 /nfs/dbraw/zinc/17/68/90/836176890.db2.gz BIGVUNMEDNEKSG-CQSZACIVSA-N 1 2 309.454 1.553 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@@H+](CC)Cc1ncc(C)s1 ZINC001266388224 836196263 /nfs/dbraw/zinc/19/62/63/836196263.db2.gz VYZMWRVAQLDUTR-HNNXBMFYSA-N 1 2 311.451 1.717 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@H+](CC)Cc1ncc(C)s1 ZINC001266388224 836196270 /nfs/dbraw/zinc/19/62/70/836196270.db2.gz VYZMWRVAQLDUTR-HNNXBMFYSA-N 1 2 311.451 1.717 20 30 DDEDLO C=CCC1(C(=O)N(C)CC[NH2+]Cc2nnc(CC)o2)CCC1 ZINC001266828364 836892721 /nfs/dbraw/zinc/89/27/21/836892721.db2.gz WVMBWNRQIHSTPU-UHFFFAOYSA-N 1 2 306.410 1.926 20 30 DDEDLO C#Cc1ccc(C(=O)N(CCC)[C@H]2CC[N@H+](CCF)C2)nc1 ZINC001267489429 838169938 /nfs/dbraw/zinc/16/99/38/838169938.db2.gz ZSCDNQSRYGWZDA-HNNXBMFYSA-N 1 2 303.381 1.959 20 30 DDEDLO C#Cc1ccc(C(=O)N(CCC)[C@H]2CC[N@@H+](CCF)C2)nc1 ZINC001267489429 838169947 /nfs/dbraw/zinc/16/99/47/838169947.db2.gz ZSCDNQSRYGWZDA-HNNXBMFYSA-N 1 2 303.381 1.959 20 30 DDEDLO Cc1oncc1C[N@@H+]1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC001267611337 838458567 /nfs/dbraw/zinc/45/85/67/838458567.db2.gz POLWRMPWNNESJW-CYBMUJFWSA-N 1 2 308.407 1.320 20 30 DDEDLO Cc1oncc1C[N@H+]1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC001267611337 838458570 /nfs/dbraw/zinc/45/85/70/838458570.db2.gz POLWRMPWNNESJW-CYBMUJFWSA-N 1 2 308.407 1.320 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2C(C)(C)C2(C)C)C1 ZINC001267624155 838517957 /nfs/dbraw/zinc/51/79/57/838517957.db2.gz SEMKWAXLTXNNOI-ZDUSSCGKSA-N 1 2 321.465 1.551 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2C(C)(C)C2(C)C)C1 ZINC001267624155 838517962 /nfs/dbraw/zinc/51/79/62/838517962.db2.gz SEMKWAXLTXNNOI-ZDUSSCGKSA-N 1 2 321.465 1.551 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CC(CNC(=O)[C@H](F)CC)C1 ZINC001267687345 838640757 /nfs/dbraw/zinc/64/07/57/838640757.db2.gz CGBSPBLCLNNSGU-CQSZACIVSA-N 1 2 313.417 1.207 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cncc(C)c1 ZINC001267704356 838681350 /nfs/dbraw/zinc/68/13/50/838681350.db2.gz NQAXSUIZMNMBFH-HOTGVXAUSA-N 1 2 301.390 1.378 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cncc(C)c1 ZINC001267704356 838681353 /nfs/dbraw/zinc/68/13/53/838681353.db2.gz NQAXSUIZMNMBFH-HOTGVXAUSA-N 1 2 301.390 1.378 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2cc(OC)ns2)C1 ZINC001267722241 838730692 /nfs/dbraw/zinc/73/06/92/838730692.db2.gz BIVQIWJJQKHVLX-NSHDSACASA-N 1 2 311.407 1.045 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2cc(OC)ns2)C1 ZINC001267722241 838730695 /nfs/dbraw/zinc/73/06/95/838730695.db2.gz BIVQIWJJQKHVLX-NSHDSACASA-N 1 2 311.407 1.045 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCOC[C@@H]2CCCO2)C1 ZINC001267726984 838755566 /nfs/dbraw/zinc/75/55/66/838755566.db2.gz ZXFFOAVMAWNELW-KBPBESRZSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCOC[C@@H]2CCCO2)C1 ZINC001267726984 838755576 /nfs/dbraw/zinc/75/55/76/838755576.db2.gz ZXFFOAVMAWNELW-KBPBESRZSA-N 1 2 316.829 1.515 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)oc2C)C1 ZINC001267736765 838789994 /nfs/dbraw/zinc/78/99/94/838789994.db2.gz MDJYDKHXXVBAQU-CYBMUJFWSA-N 1 2 305.378 1.003 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)oc2C)C1 ZINC001267736765 838789997 /nfs/dbraw/zinc/78/99/97/838789997.db2.gz MDJYDKHXXVBAQU-CYBMUJFWSA-N 1 2 305.378 1.003 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NC2CCC(C)CC2)C1 ZINC001267738199 838797025 /nfs/dbraw/zinc/79/70/25/838797025.db2.gz BTTCYDHYUYPDQI-UYSNPLJNSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NC2CCC(C)CC2)C1 ZINC001267738199 838797030 /nfs/dbraw/zinc/79/70/30/838797030.db2.gz BTTCYDHYUYPDQI-UYSNPLJNSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2ccc(CC)cc2)C1 ZINC001267751632 838852160 /nfs/dbraw/zinc/85/21/60/838852160.db2.gz GYQNZCWNPBYHBE-MRXNPFEDSA-N 1 2 315.417 1.355 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(CC)cc2)C1 ZINC001267751632 838852170 /nfs/dbraw/zinc/85/21/70/838852170.db2.gz GYQNZCWNPBYHBE-MRXNPFEDSA-N 1 2 315.417 1.355 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001267760784 838889254 /nfs/dbraw/zinc/88/92/54/838889254.db2.gz XHXZREJVXOFCOH-LBPRGKRZSA-N 1 2 310.423 1.082 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001267760784 838889266 /nfs/dbraw/zinc/88/92/66/838889266.db2.gz XHXZREJVXOFCOH-LBPRGKRZSA-N 1 2 310.423 1.082 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H](N(C)C(=O)C#CC(C)(C)C)C2)on1 ZINC001267763515 838897988 /nfs/dbraw/zinc/89/79/88/838897988.db2.gz XXWLQHZPAXLGGD-CYBMUJFWSA-N 1 2 319.405 1.765 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H](N(C)C(=O)C#CC(C)(C)C)C2)on1 ZINC001267763515 838897997 /nfs/dbraw/zinc/89/79/97/838897997.db2.gz XXWLQHZPAXLGGD-CYBMUJFWSA-N 1 2 319.405 1.765 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)C(=O)NCC(F)F)C1 ZINC001267787783 838978804 /nfs/dbraw/zinc/97/88/04/838978804.db2.gz KAMINPLLLQRVAI-SNVBAGLBSA-N 1 2 323.771 1.043 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)C(=O)NCC(F)F)C1 ZINC001267787783 838978805 /nfs/dbraw/zinc/97/88/05/838978805.db2.gz KAMINPLLLQRVAI-SNVBAGLBSA-N 1 2 323.771 1.043 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)C[C@@H]2CCC(C)(C)CO2)C1 ZINC001268023427 839406613 /nfs/dbraw/zinc/40/66/13/839406613.db2.gz KBGPRZGLQAOEAE-JKSUJKDBSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)C[C@@H]2CCC(C)(C)CO2)C1 ZINC001268023427 839406625 /nfs/dbraw/zinc/40/66/25/839406625.db2.gz KBGPRZGLQAOEAE-JKSUJKDBSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)C2(CCOC)CCCC2)C1 ZINC001268028407 839439764 /nfs/dbraw/zinc/43/97/64/839439764.db2.gz FKZJUQHNJOPWES-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)C2(CCOC)CCCC2)C1 ZINC001268028407 839439770 /nfs/dbraw/zinc/43/97/70/839439770.db2.gz FKZJUQHNJOPWES-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCC1(C(=O)N2CCC3(C[NH+](CC(N)=O)C3)CC2)CCCC1 ZINC001268047708 839595212 /nfs/dbraw/zinc/59/52/12/839595212.db2.gz JQRPOGXITHOQOK-UHFFFAOYSA-N 1 2 319.449 1.533 20 30 DDEDLO CN(CC[N@H+](C)Cc1cc2n(n1)CCCC2)C(=O)C#CC1CC1 ZINC001268237885 839875956 /nfs/dbraw/zinc/87/59/56/839875956.db2.gz RJASKIRYKCGNQW-UHFFFAOYSA-N 1 2 314.433 1.523 20 30 DDEDLO CN(CC[N@@H+](C)Cc1cc2n(n1)CCCC2)C(=O)C#CC1CC1 ZINC001268237885 839875966 /nfs/dbraw/zinc/87/59/66/839875966.db2.gz RJASKIRYKCGNQW-UHFFFAOYSA-N 1 2 314.433 1.523 20 30 DDEDLO C#CCC1(C(=O)NC2C[NH+](CCOCC=C)C2)CCCCC1 ZINC001268492125 840296278 /nfs/dbraw/zinc/29/62/78/840296278.db2.gz QZSNTQHRKXSYKJ-UHFFFAOYSA-N 1 2 304.434 1.963 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C[C@H]1CC=CCC1 ZINC001272087484 844580192 /nfs/dbraw/zinc/58/01/92/844580192.db2.gz SVSYDTHIRNUELN-HNNXBMFYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)C[C@H]1CC=CCC1 ZINC001272087484 844580200 /nfs/dbraw/zinc/58/02/00/844580200.db2.gz SVSYDTHIRNUELN-HNNXBMFYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1C[NH+](CC(=O)NCC(C)(C)C)C1 ZINC001268867610 840921394 /nfs/dbraw/zinc/92/13/94/840921394.db2.gz QXVXBPWGLARZGO-CQSZACIVSA-N 1 2 323.481 1.799 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1C[NH+](Cc2cnc(C)nc2)C1 ZINC001268884042 840950688 /nfs/dbraw/zinc/95/06/88/840950688.db2.gz YQPQXIRXTOLNIL-UHFFFAOYSA-N 1 2 302.422 1.935 20 30 DDEDLO CO[C@H](C)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#Cc1ccccc1 ZINC001268929883 841010935 /nfs/dbraw/zinc/01/09/35/841010935.db2.gz OXDYTBIIMNITAX-NJAFHUGGSA-N 1 2 312.413 1.748 20 30 DDEDLO CO[C@H](C)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC#Cc1ccccc1 ZINC001268929883 841010937 /nfs/dbraw/zinc/01/09/37/841010937.db2.gz OXDYTBIIMNITAX-NJAFHUGGSA-N 1 2 312.413 1.748 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001268944263 841023616 /nfs/dbraw/zinc/02/36/16/841023616.db2.gz QGKUDOPZLPKBKT-RSJGLCBASA-N 1 2 314.429 1.524 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001268944263 841023625 /nfs/dbraw/zinc/02/36/25/841023625.db2.gz QGKUDOPZLPKBKT-RSJGLCBASA-N 1 2 314.429 1.524 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](C)C(=O)NCCC ZINC001268947275 841048072 /nfs/dbraw/zinc/04/80/72/841048072.db2.gz IVZCZYZYZMYGBK-KKUMJFAQSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](C)C(=O)NCCC ZINC001268947275 841048083 /nfs/dbraw/zinc/04/80/83/841048083.db2.gz IVZCZYZYZMYGBK-KKUMJFAQSA-N 1 2 321.465 1.789 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccccc1Cl ZINC001268983932 841085294 /nfs/dbraw/zinc/08/52/94/841085294.db2.gz MARGSABBMRGQPR-KBPBESRZSA-N 1 2 318.804 1.889 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccccc1Cl ZINC001268983932 841085307 /nfs/dbraw/zinc/08/53/07/841085307.db2.gz MARGSABBMRGQPR-KBPBESRZSA-N 1 2 318.804 1.889 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001269101066 841209539 /nfs/dbraw/zinc/20/95/39/841209539.db2.gz OJFBXSRVHQFYIL-NWDGAFQWSA-N 1 2 301.818 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001269101066 841209549 /nfs/dbraw/zinc/20/95/49/841209549.db2.gz OJFBXSRVHQFYIL-NWDGAFQWSA-N 1 2 301.818 1.077 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](N(C)C(=O)C#CC(C)C)C2)cn1 ZINC001269239816 841404631 /nfs/dbraw/zinc/40/46/31/841404631.db2.gz FDAOWMPEPMSIDI-INIZCTEOSA-N 1 2 300.406 1.477 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](N(C)C(=O)C#CC(C)C)C2)cn1 ZINC001269239816 841404636 /nfs/dbraw/zinc/40/46/36/841404636.db2.gz FDAOWMPEPMSIDI-INIZCTEOSA-N 1 2 300.406 1.477 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@@H]1CCCN(C)C1=O ZINC001269312753 841502441 /nfs/dbraw/zinc/50/24/41/841502441.db2.gz XNOKWNZKVKDQBO-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@@H]1CCCN(C)C1=O ZINC001269312753 841502445 /nfs/dbraw/zinc/50/24/45/841502445.db2.gz XNOKWNZKVKDQBO-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001269314821 841509573 /nfs/dbraw/zinc/50/95/73/841509573.db2.gz YTXPNEVSOYWKKH-CYBMUJFWSA-N 1 2 324.450 1.472 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001269314821 841509576 /nfs/dbraw/zinc/50/95/76/841509576.db2.gz YTXPNEVSOYWKKH-CYBMUJFWSA-N 1 2 324.450 1.472 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H](C)Cc1ccc(OC)cc1 ZINC001269352594 841561399 /nfs/dbraw/zinc/56/13/99/841561399.db2.gz VFSULHQLGMTUJN-GOEBONIOSA-N 1 2 300.402 1.698 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H](C)Cc1ccc(OC)cc1 ZINC001269352594 841561406 /nfs/dbraw/zinc/56/14/06/841561406.db2.gz VFSULHQLGMTUJN-GOEBONIOSA-N 1 2 300.402 1.698 20 30 DDEDLO CC(C)[NH+]1CC(NC(=O)c2cc(=O)c3cc(C#N)ccc3[nH]2)C1 ZINC001154558857 861214666 /nfs/dbraw/zinc/21/46/66/861214666.db2.gz ARSFZIMQBFSBCF-UHFFFAOYSA-N 1 2 310.357 1.222 20 30 DDEDLO CC(C)(C)[NH+]1CC(NC(=O)c2cc(=O)c3cc(C#N)ccc3[nH]2)C1 ZINC001154561140 861218805 /nfs/dbraw/zinc/21/88/05/861218805.db2.gz VMSZHDSSEJUUGZ-UHFFFAOYSA-N 1 2 324.384 1.612 20 30 DDEDLO Cn1cc[nH+]c1CCNC(=O)c1cc(=O)c2cc(C#N)ccc2[nH]1 ZINC001154561252 861219299 /nfs/dbraw/zinc/21/92/99/861219299.db2.gz YZXJQLKOWBFTGQ-UHFFFAOYSA-N 1 2 321.340 1.106 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H](C)C[NH2+]Cc1nsc(N(C)C)n1 ZINC001270402504 842548222 /nfs/dbraw/zinc/54/82/22/842548222.db2.gz VQXQOGDXQVDBAV-LBPRGKRZSA-N 1 2 323.466 1.105 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2CC[C@](C)(NC(=O)C#CC3CC3)C2)n1 ZINC001270582410 842690109 /nfs/dbraw/zinc/69/01/09/842690109.db2.gz GXOSVYMXERZUCB-SFHVURJKSA-N 1 2 314.433 1.958 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2CC[C@](C)(NC(=O)C#CC3CC3)C2)n1 ZINC001270582410 842690115 /nfs/dbraw/zinc/69/01/15/842690115.db2.gz GXOSVYMXERZUCB-SFHVURJKSA-N 1 2 314.433 1.958 20 30 DDEDLO C#CCCCCC(=O)NC[C@]1(O)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001271137394 843291050 /nfs/dbraw/zinc/29/10/50/843291050.db2.gz KTTBFLMUDMCGRX-QGZVFWFLSA-N 1 2 319.405 1.230 20 30 DDEDLO C#CCCCCC(=O)NC[C@]1(O)CC[N@H+](Cc2cc(C)on2)C1 ZINC001271137394 843291059 /nfs/dbraw/zinc/29/10/59/843291059.db2.gz KTTBFLMUDMCGRX-QGZVFWFLSA-N 1 2 319.405 1.230 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H](C)C[NH2+]Cc2nc(C)no2)cn1 ZINC001271170149 843370518 /nfs/dbraw/zinc/37/05/18/843370518.db2.gz HLNAJGPMINRTPB-NSHDSACASA-N 1 2 313.361 1.005 20 30 DDEDLO CC(C)[C@H](C)C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001272141280 844649366 /nfs/dbraw/zinc/64/93/66/844649366.db2.gz CSMBTLIJKXBQBM-AWEZNQCLSA-N 1 2 314.433 1.833 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nn1CC ZINC001326659152 861519338 /nfs/dbraw/zinc/51/93/38/861519338.db2.gz WDUPNZWRVBYCCB-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nn1CC ZINC001326659152 861519349 /nfs/dbraw/zinc/51/93/49/861519349.db2.gz WDUPNZWRVBYCCB-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](OC)C2CC2)C1 ZINC001149646091 861777541 /nfs/dbraw/zinc/77/75/41/861777541.db2.gz GRCWNJYFVBJXBW-KGLIPLIRSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H](OC)C2CC2)C1 ZINC001149646091 861777551 /nfs/dbraw/zinc/77/75/51/861777551.db2.gz GRCWNJYFVBJXBW-KGLIPLIRSA-N 1 2 316.829 1.371 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+](Cc3csnn3)CC2)C1=O ZINC001272780289 847417689 /nfs/dbraw/zinc/41/76/89/847417689.db2.gz DSIZRQVBUJICTR-HNNXBMFYSA-N 1 2 306.435 1.929 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+](Cc3csnn3)CC2)C1=O ZINC001272780289 847417696 /nfs/dbraw/zinc/41/76/96/847417696.db2.gz DSIZRQVBUJICTR-HNNXBMFYSA-N 1 2 306.435 1.929 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)CCOc1ccccc1 ZINC001272901971 847613290 /nfs/dbraw/zinc/61/32/90/847613290.db2.gz QEFJQFABJVMVOU-MRXNPFEDSA-N 1 2 316.401 1.296 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)CCOc1ccccc1 ZINC001272901971 847613297 /nfs/dbraw/zinc/61/32/97/847613297.db2.gz QEFJQFABJVMVOU-MRXNPFEDSA-N 1 2 316.401 1.296 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3c(F)cccc3F)C2)OCC1=O ZINC001272974172 847726599 /nfs/dbraw/zinc/72/65/99/847726599.db2.gz MIRNGVJHEAKINL-QGZVFWFLSA-N 1 2 322.355 1.954 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3c(F)cccc3F)C2)OCC1=O ZINC001272974172 847726610 /nfs/dbraw/zinc/72/66/10/847726610.db2.gz MIRNGVJHEAKINL-QGZVFWFLSA-N 1 2 322.355 1.954 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C=C(CC)CC)C2)CC1 ZINC001327080933 861858525 /nfs/dbraw/zinc/85/85/25/861858525.db2.gz FWQZFDNZYMIJQY-SFHVURJKSA-N 1 2 317.477 1.975 20 30 DDEDLO CC#CCCCC(=O)NCC1([NH2+]Cc2nnc(C)s2)CC1 ZINC001323520095 849425889 /nfs/dbraw/zinc/42/58/89/849425889.db2.gz RJCLUIBSGYSDFN-UHFFFAOYSA-N 1 2 306.435 1.779 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccc[nH]2)cn1 ZINC001273326016 849536526 /nfs/dbraw/zinc/53/65/26/849536526.db2.gz WAYFVOQDSDYKCD-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccc[nH]2)cn1 ZINC001273326016 849536529 /nfs/dbraw/zinc/53/65/29/849536529.db2.gz WAYFVOQDSDYKCD-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C[C@@H](C)COC)C2)CC1 ZINC001273383712 849773054 /nfs/dbraw/zinc/77/30/54/849773054.db2.gz JJCKXGINBDQFEQ-SJORKVTESA-N 1 2 323.481 1.454 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1COC2(C[NH+](CCCF)C2)C1 ZINC001327407140 862146776 /nfs/dbraw/zinc/14/67/76/862146776.db2.gz OEZPGSMDTRLTDW-OAHLLOKOSA-N 1 2 310.413 1.889 20 30 DDEDLO C#Cc1ccc(C[NH+]2CC3(C2)COCC(=O)N3[C@H](C)CC)cc1 ZINC001273671780 851208219 /nfs/dbraw/zinc/20/82/19/851208219.db2.gz QWEDDKAAUOJWHQ-OAHLLOKOSA-N 1 2 312.413 1.880 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)Cc1ccco1)CO2 ZINC001273722158 851274189 /nfs/dbraw/zinc/27/41/89/851274189.db2.gz AUIOXMSWVAHPAY-CABCVRRESA-N 1 2 318.417 1.994 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+](Cc3noc(C)n3)CC2)C1=O ZINC001273769746 851322108 /nfs/dbraw/zinc/32/21/08/851322108.db2.gz HZIJWSQINYDHEK-MRXNPFEDSA-N 1 2 302.378 1.216 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+](Cc3noc(C)n3)CC2)C1=O ZINC001273769746 851322116 /nfs/dbraw/zinc/32/21/16/851322116.db2.gz HZIJWSQINYDHEK-MRXNPFEDSA-N 1 2 302.378 1.216 20 30 DDEDLO C#Cc1cccc(C[NH+]2CC3(C2)COCC(=O)N3CCCC)c1 ZINC001273791908 851346110 /nfs/dbraw/zinc/34/61/10/851346110.db2.gz CHJZBHXHIGPCMP-UHFFFAOYSA-N 1 2 312.413 1.881 20 30 DDEDLO Cc1nnc(C[NH2+]C/C=C\CNC(=O)c2c[nH]c(C#N)c2)s1 ZINC001273967073 851624614 /nfs/dbraw/zinc/62/46/14/851624614.db2.gz UZYVYGHLZKBVCI-IHWYPQMZSA-N 1 2 316.390 1.122 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C\C[NH2+]Cc1ncnn1C(C)(C)C ZINC001274006807 851839369 /nfs/dbraw/zinc/83/93/69/851839369.db2.gz FBSQLZRMFZAAHE-SREVYHEPSA-N 1 2 317.437 1.455 20 30 DDEDLO COCc1noc([C@H](C)[NH2+]C/C=C/CNC(=O)C#CC(C)C)n1 ZINC001274009774 851843110 /nfs/dbraw/zinc/84/31/10/851843110.db2.gz MBMYQRDIMVYISD-GFUIURDCSA-N 1 2 320.393 1.198 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1c(N)ccnc1F ZINC001274117338 851946292 /nfs/dbraw/zinc/94/62/92/851946292.db2.gz KUFDMRQDIGZFJX-QGZVFWFLSA-N 1 2 318.396 1.946 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1c(N)ccnc1F ZINC001274117338 851946305 /nfs/dbraw/zinc/94/63/05/851946305.db2.gz KUFDMRQDIGZFJX-QGZVFWFLSA-N 1 2 318.396 1.946 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001274208409 852036703 /nfs/dbraw/zinc/03/67/03/852036703.db2.gz MCXRVDHVOSXVIN-OLZOCXBDSA-N 1 2 306.410 1.925 20 30 DDEDLO Cc1coc(C[N@@H+]2CC[C@]3(C2)CN(CCCC#N)C(=O)CO3)n1 ZINC001274372581 852208398 /nfs/dbraw/zinc/20/83/98/852208398.db2.gz PVLPTQAHDUMHDB-INIZCTEOSA-N 1 2 318.377 1.090 20 30 DDEDLO Cc1coc(C[N@H+]2CC[C@]3(C2)CN(CCCC#N)C(=O)CO3)n1 ZINC001274372581 852208406 /nfs/dbraw/zinc/20/84/06/852208406.db2.gz PVLPTQAHDUMHDB-INIZCTEOSA-N 1 2 318.377 1.090 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2nnc(C)o2)CC1(C)C ZINC001274415785 852250604 /nfs/dbraw/zinc/25/06/04/852250604.db2.gz JGTCVPRYPYFQFN-ZDUSSCGKSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2nnc(C)o2)CC1(C)C ZINC001274415785 852250616 /nfs/dbraw/zinc/25/06/16/852250616.db2.gz JGTCVPRYPYFQFN-ZDUSSCGKSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2coc(C)n2)[C@@H](C)C1 ZINC001274611593 852441472 /nfs/dbraw/zinc/44/14/72/852441472.db2.gz LZCMKUMNORWHPM-JSGCOSHPSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2coc(C)n2)[C@@H](C)C1 ZINC001274611593 852441480 /nfs/dbraw/zinc/44/14/80/852441480.db2.gz LZCMKUMNORWHPM-JSGCOSHPSA-N 1 2 307.394 1.655 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(CC)no2)[C@H](C)C1 ZINC001274623921 852457709 /nfs/dbraw/zinc/45/77/09/852457709.db2.gz NZQLKUTVEQOVMZ-KGLIPLIRSA-N 1 2 318.421 1.905 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nc(CC)no2)[C@H](C)C1 ZINC001274623921 852457713 /nfs/dbraw/zinc/45/77/13/852457713.db2.gz NZQLKUTVEQOVMZ-KGLIPLIRSA-N 1 2 318.421 1.905 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1C[C@]2(F)CN(CC(F)F)C(=O)[C@]2(F)C1 ZINC001274626107 852457795 /nfs/dbraw/zinc/45/77/95/852457795.db2.gz GFEQABPTQBCGHJ-LEWSCRJBSA-N 1 2 324.318 1.153 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1C[C@]2(F)CN(CC(F)F)C(=O)[C@]2(F)C1 ZINC001274626107 852457799 /nfs/dbraw/zinc/45/77/99/852457799.db2.gz GFEQABPTQBCGHJ-LEWSCRJBSA-N 1 2 324.318 1.153 20 30 DDEDLO CCC[C@@H](O)C[N@@H+]1C[C@]2(F)CN(CCCC#N)C(=O)[C@]2(F)C1 ZINC001274636088 852473762 /nfs/dbraw/zinc/47/37/62/852473762.db2.gz OMGDLZHPVIIRTM-VHDGCEQUSA-N 1 2 315.364 1.026 20 30 DDEDLO CCC[C@@H](O)C[N@H+]1C[C@]2(F)CN(CCCC#N)C(=O)[C@]2(F)C1 ZINC001274636088 852473765 /nfs/dbraw/zinc/47/37/65/852473765.db2.gz OMGDLZHPVIIRTM-VHDGCEQUSA-N 1 2 315.364 1.026 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1cc(O)c(F)c(F)c1 ZINC001274807735 852614318 /nfs/dbraw/zinc/61/43/18/852614318.db2.gz DQGCQHIPAWKECY-ZIAGYGMSSA-N 1 2 320.339 1.869 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cc(O)c(F)c(F)c1 ZINC001274807735 852614324 /nfs/dbraw/zinc/61/43/24/852614324.db2.gz DQGCQHIPAWKECY-ZIAGYGMSSA-N 1 2 320.339 1.869 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@H]2C[C@@H](C1)N2Cc1ccc(C#N)cn1 ZINC001275032632 852761969 /nfs/dbraw/zinc/76/19/69/852761969.db2.gz XAWLDQBKKHMLGE-CALCHBBNSA-N 1 2 322.416 1.628 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@H]2C[C@@H](C1)N2Cc1ccc(C#N)cn1 ZINC001275032632 852761971 /nfs/dbraw/zinc/76/19/71/852761971.db2.gz XAWLDQBKKHMLGE-CALCHBBNSA-N 1 2 322.416 1.628 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001275267305 852940421 /nfs/dbraw/zinc/94/04/21/852940421.db2.gz QTJSCLDBLUFWRY-WDEREUQCSA-N 1 2 310.398 1.584 20 30 DDEDLO N#Cc1ccc(CN2C[C@@H]3COC[C@H](C2)[N@@H+]3C2CCCC2)cn1 ZINC001275295365 852962657 /nfs/dbraw/zinc/96/26/57/852962657.db2.gz IMULRECPDRQKLW-HDICACEKSA-N 1 2 312.417 1.781 20 30 DDEDLO N#Cc1ccc(CN2C[C@@H]3COC[C@H](C2)[N@H+]3C2CCCC2)cn1 ZINC001275295365 852962661 /nfs/dbraw/zinc/96/26/61/852962661.db2.gz IMULRECPDRQKLW-HDICACEKSA-N 1 2 312.417 1.781 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)C[NH2+]Cc1nc(C(C)C)no1 ZINC001275309909 852971942 /nfs/dbraw/zinc/97/19/42/852971942.db2.gz QPADJGRPBLGCGY-XQQFMLRXSA-N 1 2 322.409 1.378 20 30 DDEDLO C=CCn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)NC(C)C)cn1 ZINC001275384135 853046419 /nfs/dbraw/zinc/04/64/19/853046419.db2.gz PZHBXNRXUZJFKC-IYBDPMFKSA-N 1 2 317.437 1.836 20 30 DDEDLO C=CCn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)NC(C)C)cn1 ZINC001275384135 853046426 /nfs/dbraw/zinc/04/64/26/853046426.db2.gz PZHBXNRXUZJFKC-IYBDPMFKSA-N 1 2 317.437 1.836 20 30 DDEDLO C=CCN(C(=O)C(=O)NCc1ccccc1-n1cc[nH+]c1)C1CC1 ZINC001327770320 862450325 /nfs/dbraw/zinc/45/03/25/862450325.db2.gz VRZNAFIYLQCMGA-UHFFFAOYSA-N 1 2 324.384 1.666 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]([NH2+]Cc2nc(COC)no2)[C@@H](C)C1 ZINC001327903516 862551352 /nfs/dbraw/zinc/55/13/52/862551352.db2.gz OJFKLXUYXGBATK-STQMWFEESA-N 1 2 322.409 1.509 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H](C)CN(C)C(=O)[C@@H](C)C#N)c[nH+]1 ZINC001412670017 854910704 /nfs/dbraw/zinc/91/07/04/854910704.db2.gz GBUCTPOXZZNVHL-WCQYABFASA-N 1 2 319.409 1.049 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4nccs4)C3)C2)cc1C#N ZINC001276183800 854921277 /nfs/dbraw/zinc/92/12/77/854921277.db2.gz UVQIPPNWLNJQLY-UHFFFAOYSA-N 1 2 313.430 1.671 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nccn2C)[C@@H](C)C1 ZINC001328241017 862809854 /nfs/dbraw/zinc/80/98/54/862809854.db2.gz QRRVDEROHTVWOJ-GJZGRUSLSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nccn2C)[C@@H](C)C1 ZINC001328241017 862809867 /nfs/dbraw/zinc/80/98/67/862809867.db2.gz QRRVDEROHTVWOJ-GJZGRUSLSA-N 1 2 302.422 1.693 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H]2CCCCO2)C1 ZINC001073569832 858448326 /nfs/dbraw/zinc/44/83/26/858448326.db2.gz FQXJSHWMEMKRIG-KGLIPLIRSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H]2CCCCO2)C1 ZINC001073569832 858448328 /nfs/dbraw/zinc/44/83/28/858448328.db2.gz FQXJSHWMEMKRIG-KGLIPLIRSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N1CC[C@H](OC)C1 ZINC001122398208 858792917 /nfs/dbraw/zinc/79/29/17/858792917.db2.gz QLCIZEWJIPPTKG-AWEZNQCLSA-N 1 2 305.426 1.675 20 30 DDEDLO CC(C)(CNC(=O)[C@H](CC#N)c1ccccc1)[NH+]1CCOCC1 ZINC001361289897 881395837 /nfs/dbraw/zinc/39/58/37/881395837.db2.gz JTZZKUWPNBWMJJ-MRXNPFEDSA-N 1 2 315.417 1.911 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H](C)OCCC(C)C ZINC001276986753 881480254 /nfs/dbraw/zinc/48/02/54/881480254.db2.gz ZSRUXWPTPUZYKY-HZPDHXFCSA-N 1 2 310.438 1.278 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H](C)OCCC(C)C ZINC001276986753 881480259 /nfs/dbraw/zinc/48/02/59/881480259.db2.gz ZSRUXWPTPUZYKY-HZPDHXFCSA-N 1 2 310.438 1.278 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1ccon1 ZINC001325896831 860931572 /nfs/dbraw/zinc/93/15/72/860931572.db2.gz DVMFZZOCHMQTEE-UXLLHSPISA-N 1 2 319.405 1.567 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1ccon1 ZINC001325896831 860931581 /nfs/dbraw/zinc/93/15/81/860931581.db2.gz DVMFZZOCHMQTEE-UXLLHSPISA-N 1 2 319.405 1.567 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1nnc(C)o1 ZINC001325902033 860940043 /nfs/dbraw/zinc/94/00/43/860940043.db2.gz ZCRSJZSLLWMQCD-LSDHHAIUSA-N 1 2 316.405 1.747 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1nnc(C)o1 ZINC001325902033 860940052 /nfs/dbraw/zinc/94/00/52/860940052.db2.gz ZCRSJZSLLWMQCD-LSDHHAIUSA-N 1 2 316.405 1.747 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1-n1cnc(C#N)n1)n1cc[nH+]c1 ZINC001326099550 861102498 /nfs/dbraw/zinc/10/24/98/861102498.db2.gz LJQPQGVGLVCROQ-LLVKDONJSA-N 1 2 307.317 1.535 20 30 DDEDLO C=C(CCC(=O)OC)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC001142417496 861147002 /nfs/dbraw/zinc/14/70/02/861147002.db2.gz TZFXJBNDHMHKNJ-UHFFFAOYSA-N 1 2 313.357 1.998 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)C1 ZINC001328848004 863274356 /nfs/dbraw/zinc/27/43/56/863274356.db2.gz KCCCPLZNYMBNKT-KGLIPLIRSA-N 1 2 316.405 1.540 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC[NH2+]Cc1noc(C(C)C)n1 ZINC001157189785 863642954 /nfs/dbraw/zinc/64/29/54/863642954.db2.gz RAKOWYSLKIXRQT-OAHLLOKOSA-N 1 2 310.398 1.116 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cc(C)on1 ZINC001153326960 863826991 /nfs/dbraw/zinc/82/69/91/863826991.db2.gz HOQYQGLYCZISEN-TZMCWYRMSA-N 1 2 307.394 1.348 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cc(C)on1 ZINC001153326960 863827003 /nfs/dbraw/zinc/82/70/03/863827003.db2.gz HOQYQGLYCZISEN-TZMCWYRMSA-N 1 2 307.394 1.348 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@H+](Cc2nc(C)no2)CC1 ZINC001159538803 865392116 /nfs/dbraw/zinc/39/21/16/865392116.db2.gz MOEKFGXWWVUDEM-UHFFFAOYSA-N 1 2 304.394 1.983 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@@H+](Cc2nc(C)no2)CC1 ZINC001159538803 865392132 /nfs/dbraw/zinc/39/21/32/865392132.db2.gz MOEKFGXWWVUDEM-UHFFFAOYSA-N 1 2 304.394 1.983 20 30 DDEDLO COC(=O)c1cc2n(n1)CCCC2=NNCCCn1cc[nH+]c1 ZINC001331975627 865475467 /nfs/dbraw/zinc/47/54/67/865475467.db2.gz HKXBJSUMXLCSPB-UHFFFAOYSA-N 1 2 316.365 1.044 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1[N@H+]([C@H](C)c1nncn1C)CC2 ZINC001332085048 865563978 /nfs/dbraw/zinc/56/39/78/865563978.db2.gz YTUKJPBVCDWVOQ-CPUCHLNUSA-N 1 2 317.437 1.956 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1[N@@H+]([C@H](C)c1nncn1C)CC2 ZINC001332085048 865563985 /nfs/dbraw/zinc/56/39/85/865563985.db2.gz YTUKJPBVCDWVOQ-CPUCHLNUSA-N 1 2 317.437 1.956 20 30 DDEDLO C=CCCOCC(=O)N[C@]12CCC[C@@H]1[N@H+](Cc1cnon1)CC2 ZINC001332116782 865590478 /nfs/dbraw/zinc/59/04/78/865590478.db2.gz JJXXLWQNTOAPKR-HOCLYGCPSA-N 1 2 320.393 1.276 20 30 DDEDLO C=CCCOCC(=O)N[C@]12CCC[C@@H]1[N@@H+](Cc1cnon1)CC2 ZINC001332116782 865590484 /nfs/dbraw/zinc/59/04/84/865590484.db2.gz JJXXLWQNTOAPKR-HOCLYGCPSA-N 1 2 320.393 1.276 20 30 DDEDLO Cn1ccnc1C[N@H+]1CC=C(CCNC(=O)C#CC2CC2)CC1 ZINC001160081216 865672005 /nfs/dbraw/zinc/67/20/05/865672005.db2.gz IYDUSUDEZVIUGD-UHFFFAOYSA-N 1 2 312.417 1.472 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CC=C(CCNC(=O)C#CC2CC2)CC1 ZINC001160081216 865672007 /nfs/dbraw/zinc/67/20/07/865672007.db2.gz IYDUSUDEZVIUGD-UHFFFAOYSA-N 1 2 312.417 1.472 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)CCC2 ZINC001323349781 866553985 /nfs/dbraw/zinc/55/39/85/866553985.db2.gz AWZYHBPHEQHVTG-GOSISDBHSA-N 1 2 312.413 1.629 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)CCC2 ZINC001323349781 866553994 /nfs/dbraw/zinc/55/39/94/866553994.db2.gz AWZYHBPHEQHVTG-GOSISDBHSA-N 1 2 312.413 1.629 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001323372592 866571584 /nfs/dbraw/zinc/57/15/84/866571584.db2.gz ZXXCXJSYIQPICT-PHPOFCCKSA-N 1 2 302.418 1.119 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001323372592 866571594 /nfs/dbraw/zinc/57/15/94/866571594.db2.gz ZXXCXJSYIQPICT-PHPOFCCKSA-N 1 2 302.418 1.119 20 30 DDEDLO C=C[C@@H](COC)NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC001320591267 866862508 /nfs/dbraw/zinc/86/25/08/866862508.db2.gz DBJWMTHOIARIQU-AWEZNQCLSA-N 1 2 304.394 1.682 20 30 DDEDLO C=CCCCC(=O)NC1CC(CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001333768685 866996688 /nfs/dbraw/zinc/99/66/88/866996688.db2.gz ZAETVOZZIKDZPW-UHFFFAOYSA-N 1 2 318.421 1.628 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2[nH]cc(C(=O)OC)c2C2CC2)nn1 ZINC001333779410 867006013 /nfs/dbraw/zinc/00/60/13/867006013.db2.gz HEXUXPAQCZROKG-UHFFFAOYSA-N 1 2 315.377 1.746 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CC(=O)NCC(=O)c2cccs2)C1 ZINC001320898650 867111117 /nfs/dbraw/zinc/11/11/17/867111117.db2.gz MEVOACHQUYKFHC-GFCCVEGCSA-N 1 2 308.403 1.324 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CC(=O)NCC(=O)c2cccs2)C1 ZINC001320898650 867111119 /nfs/dbraw/zinc/11/11/19/867111119.db2.gz MEVOACHQUYKFHC-GFCCVEGCSA-N 1 2 308.403 1.324 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNc1cc(C#C[Si](C)(C)C)ccn1 ZINC001161950959 867133796 /nfs/dbraw/zinc/13/37/96/867133796.db2.gz RESJZNJEGSAUGF-CYBMUJFWSA-N 1 2 305.454 1.613 20 30 DDEDLO C=CCOCCCC(=O)N[C@]1(C)CC[N@@H+](Cc2nccn2C)C1 ZINC001324600796 867392479 /nfs/dbraw/zinc/39/24/79/867392479.db2.gz HMSPWJGAEPDXTL-QGZVFWFLSA-N 1 2 320.437 1.484 20 30 DDEDLO C=CCOCCCC(=O)N[C@]1(C)CC[N@H+](Cc2nccn2C)C1 ZINC001324600796 867392494 /nfs/dbraw/zinc/39/24/94/867392494.db2.gz HMSPWJGAEPDXTL-QGZVFWFLSA-N 1 2 320.437 1.484 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@]1(C)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001324609177 867403426 /nfs/dbraw/zinc/40/34/26/867403426.db2.gz URVIYZKGRJDQBU-HOTGVXAUSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@]1(C)CC[N@H+](Cc2cc(C)on2)C1 ZINC001324609177 867403434 /nfs/dbraw/zinc/40/34/34/867403434.db2.gz URVIYZKGRJDQBU-HOTGVXAUSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cnc2n1CCOC2 ZINC001381536404 882107681 /nfs/dbraw/zinc/10/76/81/882107681.db2.gz YOKSGLFVTOVYPF-NSHDSACASA-N 1 2 312.801 1.216 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cnc2n1CCOC2 ZINC001381536404 882107694 /nfs/dbraw/zinc/10/76/94/882107694.db2.gz YOKSGLFVTOVYPF-NSHDSACASA-N 1 2 312.801 1.216 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC001325098648 867766819 /nfs/dbraw/zinc/76/68/19/867766819.db2.gz LEOGLFNGIYPJOS-CQSZACIVSA-N 1 2 316.405 1.683 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC001325098648 867766836 /nfs/dbraw/zinc/76/68/36/867766836.db2.gz LEOGLFNGIYPJOS-CQSZACIVSA-N 1 2 316.405 1.683 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001335512039 868353637 /nfs/dbraw/zinc/35/36/37/868353637.db2.gz NHUSEOZZQYUJRE-HUUCEWRRSA-N 1 2 316.405 1.230 20 30 DDEDLO Cc1cc(=O)[nH]c(OC[C@H]2CCC3(CC[NH+](C)CC3)O2)c1C#N ZINC001226220827 882289224 /nfs/dbraw/zinc/28/92/24/882289224.db2.gz CJMSHNMXNXMYNS-CYBMUJFWSA-N 1 2 317.389 1.990 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(c3ccc(Cl)c(C#N)n3)C2)CCO1 ZINC001165127383 869395445 /nfs/dbraw/zinc/39/54/45/869395445.db2.gz GHTVUQKVZLQLFF-UHFFFAOYSA-N 1 2 306.797 1.906 20 30 DDEDLO CC1(C)C[N@H+](C2CN(c3ccc(Cl)c(C#N)n3)C2)CCO1 ZINC001165127383 869395454 /nfs/dbraw/zinc/39/54/54/869395454.db2.gz GHTVUQKVZLQLFF-UHFFFAOYSA-N 1 2 306.797 1.906 20 30 DDEDLO C=CCCCC(=O)NCCN1CC[NH+](Cc2ccccn2)CC1 ZINC001316967159 870009639 /nfs/dbraw/zinc/00/96/39/870009639.db2.gz TZHNMHKCIKRZKQ-UHFFFAOYSA-N 1 2 316.449 1.672 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(Cc2ccccn2)CC1 ZINC001316967159 870009653 /nfs/dbraw/zinc/00/96/53/870009653.db2.gz TZHNMHKCIKRZKQ-UHFFFAOYSA-N 1 2 316.449 1.672 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@](C)(CC)CCC)C1 ZINC001316990497 870083244 /nfs/dbraw/zinc/08/32/44/870083244.db2.gz OTAVYOZBQNMZAD-RHSMWYFYSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@](C)(CC)CCC)C1 ZINC001316990497 870083256 /nfs/dbraw/zinc/08/32/56/870083256.db2.gz OTAVYOZBQNMZAD-RHSMWYFYSA-N 1 2 309.454 1.696 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)CCCCC)n2C)CC1 ZINC001338713227 870127759 /nfs/dbraw/zinc/12/77/59/870127759.db2.gz MGROBQFXVLZSBV-CQSZACIVSA-N 1 2 305.426 1.184 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001298022670 870242367 /nfs/dbraw/zinc/24/23/67/870242367.db2.gz XVRDMLWCDRGXES-RHSMWYFYSA-N 1 2 320.437 1.812 20 30 DDEDLO N#Cc1cc(F)cc(C[NH+]2CC3(C[C@H]3C(=O)NCC(F)F)C2)c1 ZINC001277126328 882415054 /nfs/dbraw/zinc/41/50/54/882415054.db2.gz ACECLGPQEIXDOH-ZDUSSCGKSA-N 1 2 323.318 1.901 20 30 DDEDLO C#CCCCCCC(=O)N[C@H](C)C1C[NH+](Cc2cnnn2C)C1 ZINC001276414192 870669460 /nfs/dbraw/zinc/66/94/60/870669460.db2.gz MDLGMRFKUYHTHF-CQSZACIVSA-N 1 2 317.437 1.335 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001339853046 870736397 /nfs/dbraw/zinc/73/63/97/870736397.db2.gz VTVIACZJINZMKO-OLZOCXBDSA-N 1 2 306.410 1.708 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCOC[C@@](O)(C(F)(F)F)C2)cc1 ZINC001203900008 870823128 /nfs/dbraw/zinc/82/31/28/870823128.db2.gz LZGDHBZUAGMMHH-CYBMUJFWSA-N 1 2 300.280 1.684 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCOC[C@@](O)(C(F)(F)F)C2)cc1 ZINC001203900008 870823140 /nfs/dbraw/zinc/82/31/40/870823140.db2.gz LZGDHBZUAGMMHH-CYBMUJFWSA-N 1 2 300.280 1.684 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CCN1C(=O)Cc1c[nH+]cn1C ZINC001299096681 870896930 /nfs/dbraw/zinc/89/69/30/870896930.db2.gz WDKCXGDMMMRGCN-CXAGYDPISA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)[C@@H](C)C1CC1 ZINC001340258212 870991650 /nfs/dbraw/zinc/99/16/50/870991650.db2.gz GXXZKJOACQYTFP-YUTCNCBUSA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)[C@@H](C)C1CC1 ZINC001340258212 870991655 /nfs/dbraw/zinc/99/16/55/870991655.db2.gz GXXZKJOACQYTFP-YUTCNCBUSA-N 1 2 305.426 1.436 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[N@@H+](CCC)Cc2ncccn2)c1 ZINC001317546473 871039711 /nfs/dbraw/zinc/03/97/11/871039711.db2.gz RSUSJBLQSWNPRJ-UHFFFAOYSA-N 1 2 323.400 1.495 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[N@H+](CCC)Cc2ncccn2)c1 ZINC001317546473 871039728 /nfs/dbraw/zinc/03/97/28/871039728.db2.gz RSUSJBLQSWNPRJ-UHFFFAOYSA-N 1 2 323.400 1.495 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCSC[C@@H]1C ZINC001340375697 871067849 /nfs/dbraw/zinc/06/78/49/871067849.db2.gz OPAHCMHWEADUFX-AVGNSLFASA-N 1 2 323.466 1.143 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCSC[C@@H]1C ZINC001340375697 871067861 /nfs/dbraw/zinc/06/78/61/871067861.db2.gz OPAHCMHWEADUFX-AVGNSLFASA-N 1 2 323.466 1.143 20 30 DDEDLO C=CCn1c(N2CC[C@@H]3C[C@@H]3C2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001340701156 871293361 /nfs/dbraw/zinc/29/33/61/871293361.db2.gz IJZJXXZOQNMROG-VWPFQQQWSA-N 1 2 317.437 1.222 20 30 DDEDLO C=CCn1c(N2CC[C@@H]3C[C@@H]3C2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001340701156 871293377 /nfs/dbraw/zinc/29/33/77/871293377.db2.gz IJZJXXZOQNMROG-VWPFQQQWSA-N 1 2 317.437 1.222 20 30 DDEDLO C=CCn1c(N(CC)CCC)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001340700874 871293490 /nfs/dbraw/zinc/29/34/90/871293490.db2.gz HNJBCUFIFWFVBK-XJKSGUPXSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CCn1c(N(CC)CCC)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001340700874 871293505 /nfs/dbraw/zinc/29/35/05/871293505.db2.gz HNJBCUFIFWFVBK-XJKSGUPXSA-N 1 2 307.442 1.612 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[NH2+][C@@H](C)c2nnc(C)o2)cn1 ZINC001317649881 871312613 /nfs/dbraw/zinc/31/26/13/871312613.db2.gz BPUDOPITIQOBAF-NSHDSACASA-N 1 2 313.361 1.177 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[NH2+][C@H](C)c2nnc(C)o2)cn1 ZINC001317649880 871313839 /nfs/dbraw/zinc/31/38/39/871313839.db2.gz BPUDOPITIQOBAF-LLVKDONJSA-N 1 2 313.361 1.177 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)C(F)F)C1 ZINC001205045714 871343281 /nfs/dbraw/zinc/34/32/81/871343281.db2.gz AYKHIIJZYQCSMN-HUUCEWRRSA-N 1 2 322.355 1.349 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)C(F)F)C1 ZINC001205045714 871343303 /nfs/dbraw/zinc/34/33/03/871343303.db2.gz AYKHIIJZYQCSMN-HUUCEWRRSA-N 1 2 322.355 1.349 20 30 DDEDLO Cc1cc(=O)c(C(=O)NCC[N@@H+](C)CC#Cc2ccccc2)c[nH]1 ZINC001317467868 871372211 /nfs/dbraw/zinc/37/22/11/871372211.db2.gz LFMXRZWFYZAZQN-UHFFFAOYSA-N 1 2 323.396 1.397 20 30 DDEDLO Cc1cc(=O)c(C(=O)NCC[N@H+](C)CC#Cc2ccccc2)c[nH]1 ZINC001317467868 871372224 /nfs/dbraw/zinc/37/22/24/871372224.db2.gz LFMXRZWFYZAZQN-UHFFFAOYSA-N 1 2 323.396 1.397 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)C#CC(C)C)C1 ZINC001205126646 871380061 /nfs/dbraw/zinc/38/00/61/871380061.db2.gz OXXOYWPTVRKIGF-RTBURBONSA-N 1 2 324.424 1.353 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)C#CC(C)C)C1 ZINC001205126646 871380074 /nfs/dbraw/zinc/38/00/74/871380074.db2.gz OXXOYWPTVRKIGF-RTBURBONSA-N 1 2 324.424 1.353 20 30 DDEDLO CCC#C[C@H](C)[NH+]1CCN([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC001307462112 871436376 /nfs/dbraw/zinc/43/63/76/871436376.db2.gz RJYZCQHARFLGAS-HOTGVXAUSA-N 1 2 305.466 1.853 20 30 DDEDLO C#CCC1(C(=O)NCC[N@@H+](C)Cc2ccnn2C)CCCCC1 ZINC001317472505 871454212 /nfs/dbraw/zinc/45/42/12/871454212.db2.gz DSPGPLFVBGXQOR-UHFFFAOYSA-N 1 2 316.449 1.942 20 30 DDEDLO C#CCC1(C(=O)NCC[N@H+](C)Cc2ccnn2C)CCCCC1 ZINC001317472505 871454221 /nfs/dbraw/zinc/45/42/21/871454221.db2.gz DSPGPLFVBGXQOR-UHFFFAOYSA-N 1 2 316.449 1.942 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NCC=C ZINC001317740808 871458057 /nfs/dbraw/zinc/45/80/57/871458057.db2.gz QXXUJPBVLIBYEO-GASCZTMLSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NCC=C ZINC001317740808 871458059 /nfs/dbraw/zinc/45/80/59/871458059.db2.gz QXXUJPBVLIBYEO-GASCZTMLSA-N 1 2 305.422 1.320 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001317929769 871630945 /nfs/dbraw/zinc/63/09/45/871630945.db2.gz CJGAIMWBUAKSOT-GOEBONIOSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001317929769 871630958 /nfs/dbraw/zinc/63/09/58/871630958.db2.gz CJGAIMWBUAKSOT-GOEBONIOSA-N 1 2 319.449 1.285 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](N(C)C(=O)CSCC#N)C2)cn1 ZINC001318386957 871978830 /nfs/dbraw/zinc/97/88/30/871978830.db2.gz FKKFKCFSDRRRCK-AWEZNQCLSA-N 1 2 319.434 1.075 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](N(C)C(=O)CSCC#N)C2)cn1 ZINC001318386957 871978839 /nfs/dbraw/zinc/97/88/39/871978839.db2.gz FKKFKCFSDRRRCK-AWEZNQCLSA-N 1 2 319.434 1.075 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](NC(=O)C(C)(C)CCC)C1 ZINC001316949124 872448267 /nfs/dbraw/zinc/44/82/67/872448267.db2.gz YUPPWWWLOFAWNN-CABCVRRESA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](NC(=O)C(C)(C)CCC)C1 ZINC001316949124 872448284 /nfs/dbraw/zinc/44/82/84/872448284.db2.gz YUPPWWWLOFAWNN-CABCVRRESA-N 1 2 321.465 1.531 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1NC(=O)CCCC(C)(C)C ZINC001206592401 872459269 /nfs/dbraw/zinc/45/92/69/872459269.db2.gz KBWYGPGUUXADCD-ZIAGYGMSSA-N 1 2 322.453 1.279 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1NC(=O)CCCC(C)(C)C ZINC001206592401 872459278 /nfs/dbraw/zinc/45/92/78/872459278.db2.gz KBWYGPGUUXADCD-ZIAGYGMSSA-N 1 2 322.453 1.279 20 30 DDEDLO C=CCOCCCC(=O)NC1CC[NH+](Cc2nonc2C)CC1 ZINC001226759944 882636270 /nfs/dbraw/zinc/63/62/70/882636270.db2.gz HOSZGYOACMGRPY-UHFFFAOYSA-N 1 2 322.409 1.441 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2cscn2)C[C@H]1C ZINC001206916982 872765794 /nfs/dbraw/zinc/76/57/94/872765794.db2.gz MIEFPWOZJIMVAD-UXIGCNINSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2cscn2)C[C@H]1C ZINC001206916982 872765798 /nfs/dbraw/zinc/76/57/98/872765798.db2.gz MIEFPWOZJIMVAD-UXIGCNINSA-N 1 2 309.435 1.407 20 30 DDEDLO CCOC[C@H]1C[N@H+](Cc2cc(C#N)n(C)c2)Cc2ncn(C)c21 ZINC001207104394 873020443 /nfs/dbraw/zinc/02/04/43/873020443.db2.gz RPFOQXAECCAJSC-CQSZACIVSA-N 1 2 313.405 1.766 20 30 DDEDLO CCOC[C@H]1C[N@@H+](Cc2cc(C#N)n(C)c2)Cc2ncn(C)c21 ZINC001207104394 873020462 /nfs/dbraw/zinc/02/04/62/873020462.db2.gz RPFOQXAECCAJSC-CQSZACIVSA-N 1 2 313.405 1.766 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@]2(C)CCCOC2)C1 ZINC001381806207 882708574 /nfs/dbraw/zinc/70/85/74/882708574.db2.gz DHYRCCKIZCAELH-IUODEOHRSA-N 1 2 300.830 1.992 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@H]1CCCO1 ZINC001345322432 873355347 /nfs/dbraw/zinc/35/53/47/873355347.db2.gz QLFXIOOXZAKVIZ-HUUCEWRRSA-N 1 2 317.437 1.683 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@H]1CCCO1 ZINC001345322432 873355363 /nfs/dbraw/zinc/35/53/63/873355363.db2.gz QLFXIOOXZAKVIZ-HUUCEWRRSA-N 1 2 317.437 1.683 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)[C@@H]1C ZINC001345539760 873434331 /nfs/dbraw/zinc/43/43/31/873434331.db2.gz BUXLUJPEIOQFEK-OLZOCXBDSA-N 1 2 318.421 1.660 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)COCc2ccncc2)C1 ZINC001381811716 882723662 /nfs/dbraw/zinc/72/36/62/882723662.db2.gz LDVXQYCEGMGETI-ZDUSSCGKSA-N 1 2 323.824 1.787 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N(C)CCCOC ZINC001345994807 873587230 /nfs/dbraw/zinc/58/72/30/873587230.db2.gz WDMFMSMGAOTBIR-UHFFFAOYSA-N 1 2 318.425 1.651 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001207860980 873620090 /nfs/dbraw/zinc/62/00/90/873620090.db2.gz TWMXUIDXIAELOJ-AWEZNQCLSA-N 1 2 304.394 1.652 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3C[C@@H]3C#N)n2CC2CC2)CC1 ZINC001346495481 873777248 /nfs/dbraw/zinc/77/72/48/873777248.db2.gz IDGPEEDCFCEVOT-CABCVRRESA-N 1 2 310.405 1.070 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+]([C@@H](C)c2nc(C)no2)CC1 ZINC001227108589 882836525 /nfs/dbraw/zinc/83/65/25/882836525.db2.gz SMBPRNAYEXYHDQ-LBPRGKRZSA-N 1 2 304.394 1.823 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001227107418 882836678 /nfs/dbraw/zinc/83/66/78/882836678.db2.gz DHTDQOKJBUCIRJ-UHFFFAOYSA-N 1 2 303.410 1.182 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001209111620 874673788 /nfs/dbraw/zinc/67/37/88/874673788.db2.gz OZPVRNQUALFKQZ-CYBMUJFWSA-N 1 2 306.435 1.812 20 30 DDEDLO C#Cc1cc(Nc2ccc(C(=O)N3CCOCC3)nc2)cc[nH+]1 ZINC001210651560 875472543 /nfs/dbraw/zinc/47/25/43/875472543.db2.gz GUSOGJUXRXBXQR-UHFFFAOYSA-N 1 2 308.341 1.096 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2nccs2)C[C@H]1C ZINC001211420267 875796446 /nfs/dbraw/zinc/79/64/46/875796446.db2.gz AUNMUEYWGNKTDO-CHWSQXEVSA-N 1 2 309.435 1.672 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2nccs2)C[C@H]1C ZINC001211420267 875796461 /nfs/dbraw/zinc/79/64/61/875796461.db2.gz AUNMUEYWGNKTDO-CHWSQXEVSA-N 1 2 309.435 1.672 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nnc(C)o2)C[C@H]1C ZINC001211422369 875799641 /nfs/dbraw/zinc/79/96/41/875799641.db2.gz HKOYLNBODDRVEA-MBNYWOFBSA-N 1 2 322.409 1.468 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nnc(C)o2)C[C@H]1C ZINC001211422369 875799644 /nfs/dbraw/zinc/79/96/44/875799644.db2.gz HKOYLNBODDRVEA-MBNYWOFBSA-N 1 2 322.409 1.468 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001350711998 875849837 /nfs/dbraw/zinc/84/98/37/875849837.db2.gz NNKFSJSYFLBSHP-LSDHHAIUSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1OC ZINC001213271285 875869797 /nfs/dbraw/zinc/86/97/97/875869797.db2.gz RARZYRSTBBWRFS-ZIAGYGMSSA-N 1 2 323.462 1.979 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1OC ZINC001213271285 875869800 /nfs/dbraw/zinc/86/98/00/875869800.db2.gz RARZYRSTBBWRFS-ZIAGYGMSSA-N 1 2 323.462 1.979 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)c1ccnn1C ZINC001379025500 876160089 /nfs/dbraw/zinc/16/00/89/876160089.db2.gz JLIKBFSNOJOGJT-UHFFFAOYSA-N 1 2 311.389 1.496 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)c1ccnn1C ZINC001379025500 876160096 /nfs/dbraw/zinc/16/00/96/876160096.db2.gz JLIKBFSNOJOGJT-UHFFFAOYSA-N 1 2 311.389 1.496 20 30 DDEDLO C=CCn1c([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)nnc1N(C)OC ZINC001351534257 876309683 /nfs/dbraw/zinc/30/96/83/876309683.db2.gz CUCJSPNJLPRQHY-CHWSQXEVSA-N 1 2 307.398 1.388 20 30 DDEDLO C=CCn1c([C@H]2C[N@H+]3CCCC[C@@H]3CO2)nnc1N(C)OC ZINC001351534257 876309695 /nfs/dbraw/zinc/30/96/95/876309695.db2.gz CUCJSPNJLPRQHY-CHWSQXEVSA-N 1 2 307.398 1.388 20 30 DDEDLO C=CCOCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2cnsn2)C1 ZINC001214403706 876322360 /nfs/dbraw/zinc/32/23/60/876322360.db2.gz ZNWHUVIDODQXJU-TZMCWYRMSA-N 1 2 324.450 1.457 20 30 DDEDLO C[C@H](C[NH2+]Cc1nc(C(F)F)no1)NC(=O)c1cc(C#N)c[nH]1 ZINC001379359380 876914785 /nfs/dbraw/zinc/91/47/85/876914785.db2.gz JEBXHOIPOGPDNE-SSDOTTSWSA-N 1 2 324.291 1.115 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001352834636 876961668 /nfs/dbraw/zinc/96/16/68/876961668.db2.gz LACANMRLFSMEPD-UONOGXRCSA-N 1 2 304.394 1.518 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001353640444 877460308 /nfs/dbraw/zinc/46/03/08/877460308.db2.gz CODBTHTWAWYFMG-DCGLDWPTSA-N 1 2 318.421 1.328 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219209280 878018875 /nfs/dbraw/zinc/01/88/75/878018875.db2.gz YYAYOOSXAHZKLZ-LYRGGWFBSA-N 1 2 319.380 1.405 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219209280 878018894 /nfs/dbraw/zinc/01/88/94/878018894.db2.gz YYAYOOSXAHZKLZ-LYRGGWFBSA-N 1 2 319.380 1.405 20 30 DDEDLO C=CCCCC(=O)NCCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001355861819 878752598 /nfs/dbraw/zinc/75/25/98/878752598.db2.gz SOESYOYDKZHLDY-ZIAGYGMSSA-N 1 2 318.421 1.455 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CN(C)C(=O)Cc1c[nH+]cn1C ZINC001287669386 912383098 /nfs/dbraw/zinc/38/30/98/912383098.db2.gz ZJAYWZNUPCEZNF-AWEZNQCLSA-N 1 2 318.421 1.119 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N(C)CCCOC ZINC001355969103 878801726 /nfs/dbraw/zinc/80/17/26/878801726.db2.gz ZWFMIDSKFUPDOH-UHFFFAOYSA-N 1 2 307.442 1.923 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220201356 878814281 /nfs/dbraw/zinc/81/42/81/878814281.db2.gz NOIRRLVRNJCHQK-GLQYFDAESA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220201356 878814291 /nfs/dbraw/zinc/81/42/91/878814291.db2.gz NOIRRLVRNJCHQK-GLQYFDAESA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220239557 878841031 /nfs/dbraw/zinc/84/10/31/878841031.db2.gz JVIVMDUNEZMLRH-KGLIPLIRSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220239557 878841036 /nfs/dbraw/zinc/84/10/36/878841036.db2.gz JVIVMDUNEZMLRH-KGLIPLIRSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220293828 878893253 /nfs/dbraw/zinc/89/32/53/878893253.db2.gz WFQNLRXFDZLAAU-HLLBOEOZSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220293828 878893267 /nfs/dbraw/zinc/89/32/67/878893267.db2.gz WFQNLRXFDZLAAU-HLLBOEOZSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001356715049 879227078 /nfs/dbraw/zinc/22/70/78/879227078.db2.gz YWOCUAYEVFJOEA-HNNXBMFYSA-N 1 2 318.421 1.546 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001356740785 879260966 /nfs/dbraw/zinc/26/09/66/879260966.db2.gz IQUBKCKCCHFPIB-ZDUSSCGKSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001356861723 879491651 /nfs/dbraw/zinc/49/16/51/879491651.db2.gz ICCMRZSMCAVTHN-CQSZACIVSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](CC)CNC(=O)Cn1cc[nH+]c1 ZINC001356899391 879560859 /nfs/dbraw/zinc/56/08/59/879560859.db2.gz AZSBPRYZEGPXHG-BBRMVZONSA-N 1 2 306.410 1.496 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)c3occc3C)[C@@H]2C1 ZINC001221270136 879633491 /nfs/dbraw/zinc/63/34/91/879633491.db2.gz LXSLECVODXTSTN-ZIAGYGMSSA-N 1 2 317.389 1.037 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)c3occc3C)[C@@H]2C1 ZINC001221270136 879633499 /nfs/dbraw/zinc/63/34/99/879633499.db2.gz LXSLECVODXTSTN-ZIAGYGMSSA-N 1 2 317.389 1.037 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc(C)s3)[C@@H]2C1 ZINC001221404179 879755459 /nfs/dbraw/zinc/75/54/59/879755459.db2.gz YIQADQHWJPIRKH-ZIAGYGMSSA-N 1 2 319.430 1.248 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cnc(C)s3)[C@@H]2C1 ZINC001221404179 879755471 /nfs/dbraw/zinc/75/54/71/879755471.db2.gz YIQADQHWJPIRKH-ZIAGYGMSSA-N 1 2 319.430 1.248 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(C)CCN(C)C(=O)CCn1cc[nH+]c1 ZINC001357264313 879883241 /nfs/dbraw/zinc/88/32/41/879883241.db2.gz YHIMMJAIDTYQLQ-CABCVRRESA-N 1 2 320.437 1.648 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001287896565 912556875 /nfs/dbraw/zinc/55/68/75/912556875.db2.gz LAMBMXCZPGLEER-STQMWFEESA-N 1 2 304.394 1.414 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001287932194 912602300 /nfs/dbraw/zinc/60/23/00/912602300.db2.gz CESBDEWBHMPICV-HUUCEWRRSA-N 1 2 318.421 1.735 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001276851817 880753692 /nfs/dbraw/zinc/75/36/92/880753692.db2.gz FDAKOBYHPGVWIC-ZIAGYGMSSA-N 1 2 305.378 1.020 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001276851817 880753707 /nfs/dbraw/zinc/75/37/07/880753707.db2.gz FDAKOBYHPGVWIC-ZIAGYGMSSA-N 1 2 305.378 1.020 20 30 DDEDLO C#CCN(C(=O)C(C)C)C1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001358756010 880875517 /nfs/dbraw/zinc/87/55/17/880875517.db2.gz IMYQRLVRVPTUSD-UHFFFAOYSA-N 1 2 316.405 1.061 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)COC/C=C/c1ccccc1 ZINC001276875924 880887877 /nfs/dbraw/zinc/88/78/77/880887877.db2.gz QIFSAJFQEFEDNP-RUJXFNLJSA-N 1 2 312.413 1.930 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)COC/C=C/c1ccccc1 ZINC001276875924 880887886 /nfs/dbraw/zinc/88/78/86/880887886.db2.gz QIFSAJFQEFEDNP-RUJXFNLJSA-N 1 2 312.413 1.930 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccccn3)n2CCC)CC1 ZINC001359037106 881164659 /nfs/dbraw/zinc/16/46/59/881164659.db2.gz VYNZNEYRNKBSCR-UHFFFAOYSA-N 1 2 324.432 1.429 20 30 DDEDLO Cc1nc2c(c(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@H+]4C)n1)CSCC2 ZINC001228824391 883688386 /nfs/dbraw/zinc/68/83/86/883688386.db2.gz NNZBKKZGACUGNM-BSRWDCPYSA-N 1 2 319.430 1.566 20 30 DDEDLO Cc1nc2c(c(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@@H+]4C)n1)CSCC2 ZINC001228824391 883688389 /nfs/dbraw/zinc/68/83/89/883688389.db2.gz NNZBKKZGACUGNM-BSRWDCPYSA-N 1 2 319.430 1.566 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)[nH]2)CC1 ZINC001230414985 884482900 /nfs/dbraw/zinc/48/29/00/884482900.db2.gz HNRSFMLDLOZZIS-RYUDHWBXSA-N 1 2 321.425 1.346 20 30 DDEDLO COCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C#N)ccc1OC ZINC001230538036 884553540 /nfs/dbraw/zinc/55/35/40/884553540.db2.gz JAELRBVKRYIUHF-OAHLLOKOSA-N 1 2 317.389 1.246 20 30 DDEDLO COCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C#N)ccc1OC ZINC001230538036 884553553 /nfs/dbraw/zinc/55/35/53/884553553.db2.gz JAELRBVKRYIUHF-OAHLLOKOSA-N 1 2 317.389 1.246 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001230568585 884594441 /nfs/dbraw/zinc/59/44/41/884594441.db2.gz QEHBLHAAHRZURN-LBPRGKRZSA-N 1 2 307.419 1.689 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001230568585 884594452 /nfs/dbraw/zinc/59/44/52/884594452.db2.gz QEHBLHAAHRZURN-LBPRGKRZSA-N 1 2 307.419 1.689 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)CC ZINC001230812619 884910476 /nfs/dbraw/zinc/91/04/76/884910476.db2.gz XJAUMNKQPRSRIO-JKSUJKDBSA-N 1 2 321.465 1.766 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)CC ZINC001230812619 884910497 /nfs/dbraw/zinc/91/04/97/884910497.db2.gz XJAUMNKQPRSRIO-JKSUJKDBSA-N 1 2 321.465 1.766 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230937884 885061390 /nfs/dbraw/zinc/06/13/90/885061390.db2.gz LZOMVNMWKUJJAE-IUODEOHRSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230937884 885061376 /nfs/dbraw/zinc/06/13/76/885061376.db2.gz LZOMVNMWKUJJAE-IUODEOHRSA-N 1 2 319.380 1.765 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C(\C)C1CC1 ZINC001230958649 885087785 /nfs/dbraw/zinc/08/77/85/885087785.db2.gz QJRRXACWGUBVRE-BALAJYPESA-N 1 2 319.449 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C(\C)C1CC1 ZINC001230958649 885087794 /nfs/dbraw/zinc/08/77/94/885087794.db2.gz QJRRXACWGUBVRE-BALAJYPESA-N 1 2 319.449 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C\CC ZINC001231006465 885131167 /nfs/dbraw/zinc/13/11/67/885131167.db2.gz TVMGZTTWDOFSFS-WZDYWLCFSA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C\CC ZINC001231006465 885131176 /nfs/dbraw/zinc/13/11/76/885131176.db2.gz TVMGZTTWDOFSFS-WZDYWLCFSA-N 1 2 307.438 1.566 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)NCC(F)F)c1 ZINC001277479253 885137207 /nfs/dbraw/zinc/13/72/07/885137207.db2.gz UVDAKFQKWDIPSU-CJNGLKHVSA-N 1 2 305.328 1.904 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)NCC(F)F)c1 ZINC001277479253 885137214 /nfs/dbraw/zinc/13/72/14/885137214.db2.gz UVDAKFQKWDIPSU-CJNGLKHVSA-N 1 2 305.328 1.904 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001231096055 885218304 /nfs/dbraw/zinc/21/83/04/885218304.db2.gz WXQQVGXUNVBOKA-HZPDHXFCSA-N 1 2 308.422 1.150 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001231096055 885218322 /nfs/dbraw/zinc/21/83/22/885218322.db2.gz WXQQVGXUNVBOKA-HZPDHXFCSA-N 1 2 308.422 1.150 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCC(C)CC1 ZINC001231112220 885238101 /nfs/dbraw/zinc/23/81/01/885238101.db2.gz ALRMPWJOEMLHQG-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCC(C)CC1 ZINC001231112220 885238116 /nfs/dbraw/zinc/23/81/16/885238116.db2.gz ALRMPWJOEMLHQG-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)Cc1cc[nH]n1 ZINC001231378252 885573381 /nfs/dbraw/zinc/57/33/81/885573381.db2.gz QQHBNLOYSNFYKI-GOSISDBHSA-N 1 2 322.412 1.537 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)Cc1cc[nH]n1 ZINC001231378252 885573400 /nfs/dbraw/zinc/57/34/00/885573400.db2.gz QQHBNLOYSNFYKI-GOSISDBHSA-N 1 2 322.412 1.537 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CC=CC1 ZINC001231378105 885574503 /nfs/dbraw/zinc/57/45/03/885574503.db2.gz PBLLKALJQUAXLE-UKRRQHHQSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1CC=CC1 ZINC001231378105 885574516 /nfs/dbraw/zinc/57/45/16/885574516.db2.gz PBLLKALJQUAXLE-UKRRQHHQSA-N 1 2 305.422 1.176 20 30 DDEDLO CC(C)C[C@@H]1C(=O)NCC[N@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001363229670 885768388 /nfs/dbraw/zinc/76/83/88/885768388.db2.gz GHYPUFNVIAPOCT-CVEARBPZSA-N 1 2 301.390 1.438 20 30 DDEDLO CC(C)C[C@@H]1C(=O)NCC[N@@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001363229670 885768396 /nfs/dbraw/zinc/76/83/96/885768396.db2.gz GHYPUFNVIAPOCT-CVEARBPZSA-N 1 2 301.390 1.438 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)COc2ccc(OCC)cc2)C1 ZINC001277691767 886500923 /nfs/dbraw/zinc/50/09/23/886500923.db2.gz RUFGKBPALXIOQL-UHFFFAOYSA-N 1 2 316.401 1.630 20 30 DDEDLO CC(C)(O)CC[NH+]1CCN(Cc2cc(C#N)ccc2O)CC1 ZINC001232677276 886530262 /nfs/dbraw/zinc/53/02/62/886530262.db2.gz AOKQTBGYUVPVQG-UHFFFAOYSA-N 1 2 303.406 1.542 20 30 DDEDLO C=CCCC[N@@H+]1CCc2n[nH]c(C(=O)N3CCCCO3)c2C1 ZINC001277759608 886780074 /nfs/dbraw/zinc/78/00/74/886780074.db2.gz HMUIUTGRSZDYDG-UHFFFAOYSA-N 1 2 304.394 1.902 20 30 DDEDLO C=CCCC[N@H+]1CCc2n[nH]c(C(=O)N3CCCCO3)c2C1 ZINC001277759608 886780089 /nfs/dbraw/zinc/78/00/89/886780089.db2.gz HMUIUTGRSZDYDG-UHFFFAOYSA-N 1 2 304.394 1.902 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2cnn3ccccc23)CC1 ZINC001233237302 886869702 /nfs/dbraw/zinc/86/97/02/886869702.db2.gz PZZHJNMLXQTVLN-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#CC1(C(=O)NCc2[nH]c3c([nH+]2)CCCC3)CCSCC1 ZINC001363662486 886917545 /nfs/dbraw/zinc/91/75/45/886917545.db2.gz XXYHCTWKKBIVOV-UHFFFAOYSA-N 1 2 304.419 1.942 20 30 DDEDLO C[C@H]1C[C@@H]([NH+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[N@@H+]1C ZINC001363777947 887195368 /nfs/dbraw/zinc/19/53/68/887195368.db2.gz XBYDEIWGCAOPEY-FZMZJTMJSA-N 1 2 314.393 1.221 20 30 DDEDLO C[C@H]1C[C@@H]([NH+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[N@H+]1C ZINC001363777947 887195376 /nfs/dbraw/zinc/19/53/76/887195376.db2.gz XBYDEIWGCAOPEY-FZMZJTMJSA-N 1 2 314.393 1.221 20 30 DDEDLO C[C@H]1C[C@@H]([N@H+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[NH+]1C ZINC001363777947 887195387 /nfs/dbraw/zinc/19/53/87/887195387.db2.gz XBYDEIWGCAOPEY-FZMZJTMJSA-N 1 2 314.393 1.221 20 30 DDEDLO C[C@H]1C[C@@H]([N@@H+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[NH+]1C ZINC001363777947 887195396 /nfs/dbraw/zinc/19/53/96/887195396.db2.gz XBYDEIWGCAOPEY-FZMZJTMJSA-N 1 2 314.393 1.221 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)cn1 ZINC001233946846 887487508 /nfs/dbraw/zinc/48/75/08/887487508.db2.gz FEVLQCMHAVXHDF-INIZCTEOSA-N 1 2 300.406 1.621 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)cn1 ZINC001233946846 887487514 /nfs/dbraw/zinc/48/75/14/887487514.db2.gz FEVLQCMHAVXHDF-INIZCTEOSA-N 1 2 300.406 1.621 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)c1cnccn1 ZINC001233948360 887491090 /nfs/dbraw/zinc/49/10/90/887491090.db2.gz VEXANXCZNIIBBS-GJZGRUSLSA-N 1 2 300.406 1.874 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)c1cnccn1 ZINC001233948360 887491096 /nfs/dbraw/zinc/49/10/96/887491096.db2.gz VEXANXCZNIIBBS-GJZGRUSLSA-N 1 2 300.406 1.874 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@]1(C)CCC(=O)NC1 ZINC001374529168 913166988 /nfs/dbraw/zinc/16/69/88/913166988.db2.gz YDRWYYMFUMLYDC-CQSZACIVSA-N 1 2 301.818 1.045 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@]1(C)CCC(=O)NC1 ZINC001374529168 913167002 /nfs/dbraw/zinc/16/70/02/913167002.db2.gz YDRWYYMFUMLYDC-CQSZACIVSA-N 1 2 301.818 1.045 20 30 DDEDLO CCc1nocc1C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234120498 887665730 /nfs/dbraw/zinc/66/57/30/887665730.db2.gz VALBOFMHPWNNDV-HNNXBMFYSA-N 1 2 303.406 1.929 20 30 DDEDLO CCc1nocc1C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234120498 887665744 /nfs/dbraw/zinc/66/57/44/887665744.db2.gz VALBOFMHPWNNDV-HNNXBMFYSA-N 1 2 303.406 1.929 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cncs1 ZINC001234266406 887806131 /nfs/dbraw/zinc/80/61/31/887806131.db2.gz PUQDZJXRILUCMB-CHWSQXEVSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cncs1 ZINC001234266406 887806147 /nfs/dbraw/zinc/80/61/47/887806147.db2.gz PUQDZJXRILUCMB-CHWSQXEVSA-N 1 2 307.419 1.214 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C1(C(F)F)CC1 ZINC001234304408 887842792 /nfs/dbraw/zinc/84/27/92/887842792.db2.gz MTPFXQACLDXWGH-GFCCVEGCSA-N 1 2 300.349 1.214 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C1(C(F)F)CC1 ZINC001234304408 887842808 /nfs/dbraw/zinc/84/28/08/887842808.db2.gz MTPFXQACLDXWGH-GFCCVEGCSA-N 1 2 300.349 1.214 20 30 DDEDLO COc1c(C)c[nH+]c(CN(C)c2cnc(C#N)c(C#N)n2)c1C ZINC001364152927 888035082 /nfs/dbraw/zinc/03/50/82/888035082.db2.gz SGJXXNWTCQYJQM-UHFFFAOYSA-N 1 2 308.345 1.877 20 30 DDEDLO COC(=O)Cc1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cc1F ZINC001234531859 888062541 /nfs/dbraw/zinc/06/25/41/888062541.db2.gz HCPIWISJXPARTG-BSTOKRDTSA-N 1 2 321.348 1.532 20 30 DDEDLO COC(=O)Cc1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cc1F ZINC001234531859 888062553 /nfs/dbraw/zinc/06/25/53/888062553.db2.gz HCPIWISJXPARTG-BSTOKRDTSA-N 1 2 321.348 1.532 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1c(C)nnn1CC ZINC001234604103 888134314 /nfs/dbraw/zinc/13/43/14/888134314.db2.gz KRDVSBZQTUSSMM-HNNXBMFYSA-N 1 2 319.453 1.995 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1c(C)nnn1CC ZINC001234604103 888134324 /nfs/dbraw/zinc/13/43/24/888134324.db2.gz KRDVSBZQTUSSMM-HNNXBMFYSA-N 1 2 319.453 1.995 20 30 DDEDLO CC(C)OC[C@@H]1C[N@H+](C[C@@H](O)c2cccc(C#N)c2)CCO1 ZINC001364382489 888505316 /nfs/dbraw/zinc/50/53/16/888505316.db2.gz VHGWJUDCHPDFON-DLBZAZTESA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@@H]1C[N@@H+](C[C@@H](O)c2cccc(C#N)c2)CCO1 ZINC001364382489 888505331 /nfs/dbraw/zinc/50/53/31/888505331.db2.gz VHGWJUDCHPDFON-DLBZAZTESA-N 1 2 304.390 1.717 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)[C@H](C)c1nc(C(C)C)no1 ZINC001235699443 888895095 /nfs/dbraw/zinc/89/50/95/888895095.db2.gz WDTZACCTSVWAJN-CYBMUJFWSA-N 1 2 324.425 1.895 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)[C@H](C)c1nc(C(C)C)no1 ZINC001235699443 888895114 /nfs/dbraw/zinc/89/51/14/888895114.db2.gz WDTZACCTSVWAJN-CYBMUJFWSA-N 1 2 324.425 1.895 20 30 DDEDLO CN1CC[NH+](CCNc2ccc(-c3ccnc(C#N)c3)cn2)CC1 ZINC001241561893 891201350 /nfs/dbraw/zinc/20/13/50/891201350.db2.gz FQXRMXUDYUIHTL-UHFFFAOYSA-N 1 2 322.416 1.675 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+](Cc2ncc(CC)o2)C1 ZINC001278369395 891712926 /nfs/dbraw/zinc/71/29/26/891712926.db2.gz RXJDRBULNYQYJC-MRXNPFEDSA-N 1 2 307.394 1.520 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+](Cc2ncc(CC)o2)C1 ZINC001278369395 891712937 /nfs/dbraw/zinc/71/29/37/891712937.db2.gz RXJDRBULNYQYJC-MRXNPFEDSA-N 1 2 307.394 1.520 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)[C@@H]1C ZINC001365890080 891815466 /nfs/dbraw/zinc/81/54/66/891815466.db2.gz OLRKSCUDGRTLIQ-WQGACYEGSA-N 1 2 319.380 1.811 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)[C@@H]1C ZINC001365890080 891815475 /nfs/dbraw/zinc/81/54/75/891815475.db2.gz OLRKSCUDGRTLIQ-WQGACYEGSA-N 1 2 319.380 1.811 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]([NH2+]Cc1nnc(C(C)(C)C)o1)C1CC1 ZINC001366026196 892254446 /nfs/dbraw/zinc/25/44/46/892254446.db2.gz HWGQFEQZVMYUNL-PWSUYJOCSA-N 1 2 319.409 1.511 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001292412242 913667083 /nfs/dbraw/zinc/66/70/83/913667083.db2.gz FILNGOQYUKTMMM-CQSZACIVSA-N 1 2 304.394 1.156 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]([NH3+])Cc1nc(-c2ccc(C#N)cn2)no1 ZINC001247971238 893505177 /nfs/dbraw/zinc/50/51/77/893505177.db2.gz HXNDTTLFTIUWQY-JTQLQIEISA-N 1 2 315.333 1.215 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)[C@H]1C ZINC001278510649 893756192 /nfs/dbraw/zinc/75/61/92/893756192.db2.gz NVWGSZWGYYVRPW-UONOGXRCSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)[C@H]1C ZINC001278510649 893756203 /nfs/dbraw/zinc/75/62/03/893756203.db2.gz NVWGSZWGYYVRPW-UONOGXRCSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCC[N@H+](CCS(C)(=O)=O)[C@@H]1C ZINC001278521219 894056696 /nfs/dbraw/zinc/05/66/96/894056696.db2.gz MMWSOTIHUARVES-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCC[N@@H+](CCS(C)(=O)=O)[C@@H]1C ZINC001278521219 894056713 /nfs/dbraw/zinc/05/67/13/894056713.db2.gz MMWSOTIHUARVES-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cccc(Cl)c2C(=O)OC)CC1 ZINC001249646422 894075530 /nfs/dbraw/zinc/07/55/30/894075530.db2.gz WAWDFCITLHVRDA-UHFFFAOYSA-N 1 2 306.793 1.877 20 30 DDEDLO CC[N@H+](CCNC(=O)c1cc(C#N)c[nH]1)Cc1ccns1 ZINC001366606626 894236481 /nfs/dbraw/zinc/23/64/81/894236481.db2.gz HNEKDVZBDFWARO-UHFFFAOYSA-N 1 2 303.391 1.595 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1cc(C#N)c[nH]1)Cc1ccns1 ZINC001366606626 894236496 /nfs/dbraw/zinc/23/64/96/894236496.db2.gz HNEKDVZBDFWARO-UHFFFAOYSA-N 1 2 303.391 1.595 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)CC1OCCCO1)C1CC1 ZINC001366739464 894774282 /nfs/dbraw/zinc/77/42/82/894774282.db2.gz HDZOIXBLBVMTPF-UHFFFAOYSA-N 1 2 302.802 1.473 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)CC1OCCCO1)C1CC1 ZINC001366739464 894774290 /nfs/dbraw/zinc/77/42/90/894774290.db2.gz HDZOIXBLBVMTPF-UHFFFAOYSA-N 1 2 302.802 1.473 20 30 DDEDLO C#CCCCCC(=O)NC[C@]1(C)CCC[N@H+](Cc2cnon2)C1 ZINC001278653176 895039991 /nfs/dbraw/zinc/03/99/91/895039991.db2.gz JZBGIPPISTUORE-KRWDZBQOSA-N 1 2 318.421 1.982 20 30 DDEDLO C#CCCCCC(=O)NC[C@]1(C)CCC[N@@H+](Cc2cnon2)C1 ZINC001278653176 895040005 /nfs/dbraw/zinc/04/00/05/895040005.db2.gz JZBGIPPISTUORE-KRWDZBQOSA-N 1 2 318.421 1.982 20 30 DDEDLO C=CCOC[C@@H](O)CN1CC[NH+](CCOc2ccccc2)CC1 ZINC001252466760 895177906 /nfs/dbraw/zinc/17/79/06/895177906.db2.gz RZWWEAYLOKIXTA-KRWDZBQOSA-N 1 2 320.433 1.247 20 30 DDEDLO C=C[C@H](O)C[N@H+]1CCO[C@@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001253577125 895907227 /nfs/dbraw/zinc/90/72/27/895907227.db2.gz RQGNYMHEMQMQHY-MELADBBJSA-N 1 2 312.410 1.244 20 30 DDEDLO C=C[C@H](O)C[N@@H+]1CCO[C@@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001253577125 895907245 /nfs/dbraw/zinc/90/72/45/895907245.db2.gz RQGNYMHEMQMQHY-MELADBBJSA-N 1 2 312.410 1.244 20 30 DDEDLO CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@H](N1CC[NH2+]C[C@@H]1C#N)C2 ZINC001255236243 896803863 /nfs/dbraw/zinc/80/38/63/896803863.db2.gz GYXVVPRBKLUFJR-XGUBFFRZSA-N 1 2 320.437 1.714 20 30 DDEDLO O=C1C=C2C[N@H+](C3CCN(c4ncccn4)CC3)CCC2S1 ZINC001256057791 897258003 /nfs/dbraw/zinc/25/80/03/897258003.db2.gz QAHNBKKGTVPDLO-AWEZNQCLSA-N 1 2 316.430 1.720 20 30 DDEDLO O=C1C=C2C[N@@H+](C3CCN(c4ncccn4)CC3)CCC2S1 ZINC001256057791 897258010 /nfs/dbraw/zinc/25/80/10/897258010.db2.gz QAHNBKKGTVPDLO-AWEZNQCLSA-N 1 2 316.430 1.720 20 30 DDEDLO O=C1C=C2C[N@H+](C3CCN(c4ncccn4)CC3)CC[C@@H]2S1 ZINC001256057791 897258020 /nfs/dbraw/zinc/25/80/20/897258020.db2.gz QAHNBKKGTVPDLO-AWEZNQCLSA-N 1 2 316.430 1.720 20 30 DDEDLO O=C1C=C2C[N@@H+](C3CCN(c4ncccn4)CC3)CC[C@@H]2S1 ZINC001256057791 897258026 /nfs/dbraw/zinc/25/80/26/897258026.db2.gz QAHNBKKGTVPDLO-AWEZNQCLSA-N 1 2 316.430 1.720 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2c[nH]c3ccc(C)cc23)C1 ZINC001278932098 897397857 /nfs/dbraw/zinc/39/78/57/897397857.db2.gz MNSFKCUWNPTMHY-UHFFFAOYSA-N 1 2 311.385 1.276 20 30 DDEDLO C=C1C[C@@H]2CC([N@H+]3CCN(C(C)=O)C[C@@H]3C(=O)OC)C[C@H](C1)C2 ZINC001257894150 897971730 /nfs/dbraw/zinc/97/17/30/897971730.db2.gz LNNDXENBGIIXQU-FCLJQHQZSA-N 1 2 320.433 1.827 20 30 DDEDLO C=C1C[C@@H]2CC([N@@H+]3CCN(C(C)=O)C[C@@H]3C(=O)OC)C[C@H](C1)C2 ZINC001257894150 897971741 /nfs/dbraw/zinc/97/17/41/897971741.db2.gz LNNDXENBGIIXQU-FCLJQHQZSA-N 1 2 320.433 1.827 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@](C)(CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001299617974 898766618 /nfs/dbraw/zinc/76/66/18/898766618.db2.gz IBCMPJVKVUHBGD-KRWDZBQOSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C[C@@H](COC)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC001262029165 899901416 /nfs/dbraw/zinc/90/14/16/899901416.db2.gz NSLTWGPWOLEFFL-ZDUSSCGKSA-N 1 2 310.419 1.154 20 30 DDEDLO C=C[C@@H](COC)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC001262029165 899901424 /nfs/dbraw/zinc/90/14/24/899901424.db2.gz NSLTWGPWOLEFFL-ZDUSSCGKSA-N 1 2 310.419 1.154 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)N[C@@H](CC)C[NH+]2CCOCC2)C1 ZINC001262201655 900012519 /nfs/dbraw/zinc/01/25/19/900012519.db2.gz BSLUNBQCRYMDOL-GJZGRUSLSA-N 1 2 311.426 1.084 20 30 DDEDLO CCO[C@H]1C[C@@H]1C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001390738264 900057667 /nfs/dbraw/zinc/05/76/67/900057667.db2.gz NZAQLLITTMOCJF-HOCLYGCPSA-N 1 2 319.380 1.670 20 30 DDEDLO CCO[C@H]1C[C@@H]1C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001390738264 900057677 /nfs/dbraw/zinc/05/76/77/900057677.db2.gz NZAQLLITTMOCJF-HOCLYGCPSA-N 1 2 319.380 1.670 20 30 DDEDLO C=CC1CCN(c2nnc([C@]3(C)C[C@H](O)C[N@@H+]3C)n2C)CC1 ZINC001262856079 900395663 /nfs/dbraw/zinc/39/56/63/900395663.db2.gz BUFDLEIGMDKCOG-BBRMVZONSA-N 1 2 305.426 1.129 20 30 DDEDLO C=CC1CCN(c2nnc([C@]3(C)C[C@H](O)C[N@H+]3C)n2C)CC1 ZINC001262856079 900395667 /nfs/dbraw/zinc/39/56/67/900395667.db2.gz BUFDLEIGMDKCOG-BBRMVZONSA-N 1 2 305.426 1.129 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1C ZINC001262856704 900396439 /nfs/dbraw/zinc/39/64/39/900396439.db2.gz TYWOJANBXAOKBB-CKEIUWERSA-N 1 2 319.453 1.662 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1C ZINC001262856704 900396450 /nfs/dbraw/zinc/39/64/50/900396450.db2.gz TYWOJANBXAOKBB-CKEIUWERSA-N 1 2 319.453 1.662 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[N@H+](Cc3nnc(C)[nH]3)CC[C@@H]2C1 ZINC001264084251 900920866 /nfs/dbraw/zinc/92/08/66/900920866.db2.gz FEUSLIFAHBKLRH-KGLIPLIRSA-N 1 2 303.410 1.360 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[N@@H+](Cc3nnc(C)[nH]3)CC[C@@H]2C1 ZINC001264084251 900920874 /nfs/dbraw/zinc/92/08/74/900920874.db2.gz FEUSLIFAHBKLRH-KGLIPLIRSA-N 1 2 303.410 1.360 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2(CCCC)CC2)C1 ZINC001265225481 901740854 /nfs/dbraw/zinc/74/08/54/901740854.db2.gz YPLFDSTUNSKCMX-HNNXBMFYSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2(CCCC)CC2)C1 ZINC001265225481 901740863 /nfs/dbraw/zinc/74/08/63/901740863.db2.gz YPLFDSTUNSKCMX-HNNXBMFYSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)CC1 ZINC001265261112 901783371 /nfs/dbraw/zinc/78/33/71/901783371.db2.gz AQFYYOKGCRBWKW-BJWYYQGGSA-N 1 2 303.450 1.180 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[NH+]1CC(CNC(=O)[C@H](C)C#N)C1 ZINC001391470217 901804282 /nfs/dbraw/zinc/80/42/82/901804282.db2.gz ACXYMNLMZRURRO-SECBINFHSA-N 1 2 309.801 1.090 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ncoc2C(C)C)C1 ZINC001265283480 901820625 /nfs/dbraw/zinc/82/06/25/901820625.db2.gz FSWRVZJQVPWKLX-UONOGXRCSA-N 1 2 319.405 1.527 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ncoc2C(C)C)C1 ZINC001265283480 901820638 /nfs/dbraw/zinc/82/06/38/901820638.db2.gz FSWRVZJQVPWKLX-UONOGXRCSA-N 1 2 319.405 1.527 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001293611329 914582822 /nfs/dbraw/zinc/58/28/22/914582822.db2.gz VGEWQVPLCUBIHD-UONOGXRCSA-N 1 2 304.394 1.203 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)CCCNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001265828381 902457814 /nfs/dbraw/zinc/45/78/14/902457814.db2.gz DQJICXJMVJVBHI-JTQLQIEISA-N 1 2 316.365 1.391 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)CCCNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001265828381 902457833 /nfs/dbraw/zinc/45/78/33/902457833.db2.gz DQJICXJMVJVBHI-JTQLQIEISA-N 1 2 316.365 1.391 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1([NH2+]Cc2noc(C3CC3)n2)CCCC1 ZINC001391995197 903062331 /nfs/dbraw/zinc/06/23/31/903062331.db2.gz LWLUFGIPIDWXLG-LLVKDONJSA-N 1 2 317.393 1.625 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)C#N)C1 ZINC001266209210 903114701 /nfs/dbraw/zinc/11/47/01/903114701.db2.gz LCAPOQCIAFNVJN-HUUCEWRRSA-N 1 2 320.437 1.151 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H](C)C#N)C1 ZINC001266209210 903114705 /nfs/dbraw/zinc/11/47/05/903114705.db2.gz LCAPOQCIAFNVJN-HUUCEWRRSA-N 1 2 320.437 1.151 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NC(C)(C)C)C1 ZINC001266232825 903160086 /nfs/dbraw/zinc/16/00/86/903160086.db2.gz BTFQCPZCPYHSHK-ZDUSSCGKSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NC(C)(C)C)C1 ZINC001266232825 903160094 /nfs/dbraw/zinc/16/00/94/903160094.db2.gz BTFQCPZCPYHSHK-ZDUSSCGKSA-N 1 2 309.454 1.694 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1COCC[N@@H+]1CCC1CCOCC1 ZINC001280406723 903644297 /nfs/dbraw/zinc/64/42/97/903644297.db2.gz WCBCHXUYGBSSCI-KRWDZBQOSA-N 1 2 320.433 1.034 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1COCC[N@H+]1CCC1CCOCC1 ZINC001280406723 903644301 /nfs/dbraw/zinc/64/43/01/903644301.db2.gz WCBCHXUYGBSSCI-KRWDZBQOSA-N 1 2 320.433 1.034 20 30 DDEDLO CCN(C(=O)C#CC(C)C)[C@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001281489624 904929786 /nfs/dbraw/zinc/92/97/86/904929786.db2.gz CQESFDHNOLNWFL-OAHLLOKOSA-N 1 2 318.421 1.286 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)Cc1c(C)nn(C)c1C ZINC001375073823 914870097 /nfs/dbraw/zinc/87/00/97/914870097.db2.gz BTCMCNBUXDKFRK-LLVKDONJSA-N 1 2 312.845 1.768 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)Cc1c(C)nn(C)c1C ZINC001375073823 914870109 /nfs/dbraw/zinc/87/01/09/914870109.db2.gz BTCMCNBUXDKFRK-LLVKDONJSA-N 1 2 312.845 1.768 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)[C@H]1C ZINC001282368196 905721256 /nfs/dbraw/zinc/72/12/56/905721256.db2.gz ALVASCPBOBDGRZ-OCCSQVGLSA-N 1 2 304.394 1.034 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)CO[C@@H]2CCCC[C@@H]2C)C1 ZINC001282539976 905861717 /nfs/dbraw/zinc/86/17/17/905861717.db2.gz RCRMUYAUSVLANV-JKSUJKDBSA-N 1 2 322.449 1.158 20 30 DDEDLO C#CCC1(C(=O)NC2(CCO)C[NH+](CCOC)C2)CCCCC1 ZINC001282545128 905862763 /nfs/dbraw/zinc/86/27/63/905862763.db2.gz LWYIAXFWRAXXQC-UHFFFAOYSA-N 1 2 322.449 1.160 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@H]21 ZINC001282873790 906136018 /nfs/dbraw/zinc/13/60/18/906136018.db2.gz LLSCXBVHSJGUIB-ZSOGYDGISA-N 1 2 303.410 1.263 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@H]21 ZINC001282873790 906136026 /nfs/dbraw/zinc/13/60/26/906136026.db2.gz LLSCXBVHSJGUIB-ZSOGYDGISA-N 1 2 303.410 1.263 20 30 DDEDLO CCC(=O)N[C@@]1(CO)CCC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001393427200 906962351 /nfs/dbraw/zinc/96/23/51/906962351.db2.gz XSKPHKLIPDUUSE-KRWDZBQOSA-N 1 2 319.380 1.550 20 30 DDEDLO CCC(=O)N[C@@]1(CO)CCC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001393427200 906962362 /nfs/dbraw/zinc/96/23/62/906962362.db2.gz XSKPHKLIPDUUSE-KRWDZBQOSA-N 1 2 319.380 1.550 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC[N@@H+]1Cc1nc2c(o1)CCCC2 ZINC001372498745 907455360 /nfs/dbraw/zinc/45/53/60/907455360.db2.gz ILRREAXRZYHSMF-QWHCGFSZSA-N 1 2 316.405 1.794 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC[N@H+]1Cc1nc2c(o1)CCCC2 ZINC001372498745 907455366 /nfs/dbraw/zinc/45/53/66/907455366.db2.gz ILRREAXRZYHSMF-QWHCGFSZSA-N 1 2 316.405 1.794 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001283881288 908123561 /nfs/dbraw/zinc/12/35/61/908123561.db2.gz KVTBOKZASOIJPU-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284086031 908453869 /nfs/dbraw/zinc/45/38/69/908453869.db2.gz JRBJOBHGCCYHBW-GASCZTMLSA-N 1 2 316.405 1.299 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284086031 908453877 /nfs/dbraw/zinc/45/38/77/908453877.db2.gz JRBJOBHGCCYHBW-GASCZTMLSA-N 1 2 316.405 1.299 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001284250661 908729526 /nfs/dbraw/zinc/72/95/26/908729526.db2.gz NBCMFJJIXOQMQE-QWHCGFSZSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001284250661 908729536 /nfs/dbraw/zinc/72/95/36/908729536.db2.gz NBCMFJJIXOQMQE-QWHCGFSZSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001284282982 908772883 /nfs/dbraw/zinc/77/28/83/908772883.db2.gz QYNPLNGJOFVPPK-GFCCVEGCSA-N 1 2 314.389 1.781 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284369908 908900084 /nfs/dbraw/zinc/90/00/84/908900084.db2.gz ATBBOQLRXLAJSL-ZDUSSCGKSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284369908 908900098 /nfs/dbraw/zinc/90/00/98/908900098.db2.gz ATBBOQLRXLAJSL-ZDUSSCGKSA-N 1 2 304.394 1.273 20 30 DDEDLO CC#CCCCC(=O)N(C)CCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001284531885 909152017 /nfs/dbraw/zinc/15/20/17/909152017.db2.gz VBTMMKFXNPTCOH-CQSZACIVSA-N 1 2 318.421 1.357 20 30 DDEDLO CC#CCCCC(=O)N(C)CCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001284531885 909152035 /nfs/dbraw/zinc/15/20/35/909152035.db2.gz VBTMMKFXNPTCOH-CQSZACIVSA-N 1 2 318.421 1.357 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC(CNC(=O)Cn2cc[nH+]c2)C1 ZINC001284978564 909873192 /nfs/dbraw/zinc/87/31/92/909873192.db2.gz CASQLYKHRKHHNW-ZDUSSCGKSA-N 1 2 304.394 1.060 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[C@@H]1CNC(=O)CCc1[nH]cc[nH+]1 ZINC001285401427 910469307 /nfs/dbraw/zinc/46/93/07/910469307.db2.gz PEZRNAUNBHPSLD-KGLIPLIRSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)CN(C)C(=O)C2CC2)C1 ZINC001373673372 910575492 /nfs/dbraw/zinc/57/54/92/910575492.db2.gz PXXLOSXQIGIZKK-ZDUSSCGKSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)CN(C)C(=O)C2CC2)C1 ZINC001373673372 910575506 /nfs/dbraw/zinc/57/55/06/910575506.db2.gz PXXLOSXQIGIZKK-ZDUSSCGKSA-N 1 2 313.829 1.140 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCN1C(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001285838726 911262699 /nfs/dbraw/zinc/26/26/99/911262699.db2.gz PFPFMCGAYMXBOU-CQSZACIVSA-N 1 2 318.421 1.610 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCN1C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001285839106 911265455 /nfs/dbraw/zinc/26/54/55/911265455.db2.gz SOXLLONNPSLSLP-ZIAGYGMSSA-N 1 2 316.405 1.129 20 30 DDEDLO CC(C)[C@@H](CCNC(=O)CCn1cc[nH+]c1)NC(=O)[C@@H](C)C#N ZINC001395470026 912053313 /nfs/dbraw/zinc/05/33/13/912053313.db2.gz QKVMDGUDOBGZPM-UONOGXRCSA-N 1 2 319.409 1.080 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2cn[nH]n2)C[C@@H]1C ZINC001377393407 921743878 /nfs/dbraw/zinc/74/38/78/921743878.db2.gz ODFLVXMMVNTWNZ-NWDGAFQWSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2cn[nH]n2)C[C@@H]1C ZINC001377393407 921743890 /nfs/dbraw/zinc/74/38/90/921743890.db2.gz ODFLVXMMVNTWNZ-NWDGAFQWSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2c[nH]nn2)C[C@@H]1C ZINC001377393407 921743907 /nfs/dbraw/zinc/74/39/07/921743907.db2.gz ODFLVXMMVNTWNZ-NWDGAFQWSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2c[nH]nn2)C[C@@H]1C ZINC001377393407 921743918 /nfs/dbraw/zinc/74/39/18/921743918.db2.gz ODFLVXMMVNTWNZ-NWDGAFQWSA-N 1 2 311.817 1.459 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001295702085 916002029 /nfs/dbraw/zinc/00/20/29/916002029.db2.gz VICGASSSXMDLLF-CQSZACIVSA-N 1 2 316.405 1.111 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@@H](C)CNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001376714143 919142847 /nfs/dbraw/zinc/14/28/47/919142847.db2.gz QDILJAWQHZIWOZ-VHSXEESVSA-N 1 2 316.365 1.301 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)COc1cc(C)on1 ZINC001377313872 921012523 /nfs/dbraw/zinc/01/25/23/921012523.db2.gz GWDRKRFGXRWYIO-UHFFFAOYSA-N 1 2 301.774 1.552 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)COc1cc(C)on1 ZINC001377313872 921012538 /nfs/dbraw/zinc/01/25/38/921012538.db2.gz GWDRKRFGXRWYIO-UHFFFAOYSA-N 1 2 301.774 1.552 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)c2ccnc(C)c2)CC1 ZINC001377573455 922376606 /nfs/dbraw/zinc/37/66/06/922376606.db2.gz JBIWQPKRNGCWLJ-UHFFFAOYSA-N 1 2 323.824 1.699 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCc2cnn(C)c2C)C1 ZINC001377836978 923184882 /nfs/dbraw/zinc/18/48/82/923184882.db2.gz WDZFWHVIPQIIGE-HNNXBMFYSA-N 1 2 324.856 1.994 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCc2cnn(C)c2C)C1 ZINC001377836978 923184888 /nfs/dbraw/zinc/18/48/88/923184888.db2.gz WDZFWHVIPQIIGE-HNNXBMFYSA-N 1 2 324.856 1.994 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccccc1C#N ZINC000451931040 529389526 /nfs/dbraw/zinc/38/95/26/529389526.db2.gz KIVIPKQISFQLKO-CQSZACIVSA-N 1 2 307.419 1.663 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccccc1C#N ZINC000451931040 529389528 /nfs/dbraw/zinc/38/95/28/529389528.db2.gz KIVIPKQISFQLKO-CQSZACIVSA-N 1 2 307.419 1.663 20 30 DDEDLO CC[C@]1(C)COCC[N@@H+]1CC(=O)NC(=O)NCC(F)(F)F ZINC000330502026 529408594 /nfs/dbraw/zinc/40/85/94/529408594.db2.gz BEFRPIGIPJELIE-LLVKDONJSA-N 1 2 311.304 1.080 20 30 DDEDLO CC[C@]1(C)COCC[N@H+]1CC(=O)NC(=O)NCC(F)(F)F ZINC000330502026 529408596 /nfs/dbraw/zinc/40/85/96/529408596.db2.gz BEFRPIGIPJELIE-LLVKDONJSA-N 1 2 311.304 1.080 20 30 DDEDLO CO[C@H]1C[C@@H](CO)[N@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C1 ZINC000319343092 231182749 /nfs/dbraw/zinc/18/27/49/231182749.db2.gz RAADSTMJCAVDAW-RYUDHWBXSA-N 1 2 323.418 1.257 20 30 DDEDLO CO[C@H]1C[C@@H](CO)[N@@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C1 ZINC000319343092 231182750 /nfs/dbraw/zinc/18/27/50/231182750.db2.gz RAADSTMJCAVDAW-RYUDHWBXSA-N 1 2 323.418 1.257 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]Cc1cnn([C@]2(C)CCS(=O)(=O)C2)c1 ZINC000332406009 529448206 /nfs/dbraw/zinc/44/82/06/529448206.db2.gz LUJJRTKMUFROMC-UONOGXRCSA-N 1 2 310.423 1.199 20 30 DDEDLO CC[C@@]1(CO)CCCN1C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000331276854 529555831 /nfs/dbraw/zinc/55/58/31/529555831.db2.gz YFHNHNDXKAWAHA-INIZCTEOSA-N 1 2 313.442 1.248 20 30 DDEDLO CC[C@@]1(CO)CCCN1C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000331276854 529555833 /nfs/dbraw/zinc/55/58/33/529555833.db2.gz YFHNHNDXKAWAHA-INIZCTEOSA-N 1 2 313.442 1.248 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000328929279 231390461 /nfs/dbraw/zinc/39/04/61/231390461.db2.gz YLFKYEGHGULRNC-GFCCVEGCSA-N 1 2 300.318 1.013 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000328929279 231390463 /nfs/dbraw/zinc/39/04/63/231390463.db2.gz YLFKYEGHGULRNC-GFCCVEGCSA-N 1 2 300.318 1.013 20 30 DDEDLO Cc1noc(C)c1[C@H](C)CC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C ZINC000328672921 539298443 /nfs/dbraw/zinc/29/84/43/539298443.db2.gz IOEZYZJADAMIRV-BXUZGUMPSA-N 1 2 308.426 1.987 20 30 DDEDLO Cc1noc(C)c1[C@H](C)CC(=O)NC[C@@H]1CN(C)CC[N@H+]1C ZINC000328672921 539298444 /nfs/dbraw/zinc/29/84/44/539298444.db2.gz IOEZYZJADAMIRV-BXUZGUMPSA-N 1 2 308.426 1.987 20 30 DDEDLO CN1CC[C@H](NC(=O)c2ccccc2C[NH+]2CCOCC2)C1=O ZINC000329962120 529802720 /nfs/dbraw/zinc/80/27/20/529802720.db2.gz RZEWIABMPSLURA-HNNXBMFYSA-N 1 2 317.389 1.054 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cccc(NC(=O)C2CC2)c1 ZINC000414149037 529868549 /nfs/dbraw/zinc/86/85/49/529868549.db2.gz UIVAZFGWJHKRSM-OAHLLOKOSA-N 1 2 317.389 1.620 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cccc(NC(=O)C2CC2)c1 ZINC000414149037 529868550 /nfs/dbraw/zinc/86/85/50/529868550.db2.gz UIVAZFGWJHKRSM-OAHLLOKOSA-N 1 2 317.389 1.620 20 30 DDEDLO CN(C[C@@H]1OCC[N@H+](C)[C@H]1c1ccccc1)c1nccc(C#N)n1 ZINC000295740990 529958115 /nfs/dbraw/zinc/95/81/15/529958115.db2.gz YBWHKCSSYNHEPF-IRXDYDNUSA-N 1 2 323.400 1.856 20 30 DDEDLO CN(C[C@@H]1OCC[N@@H+](C)[C@H]1c1ccccc1)c1nccc(C#N)n1 ZINC000295740990 529958116 /nfs/dbraw/zinc/95/81/16/529958116.db2.gz YBWHKCSSYNHEPF-IRXDYDNUSA-N 1 2 323.400 1.856 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@@H](O)CN3CC[C@@](F)(C#N)C3)c2cc1C ZINC000615637280 362375696 /nfs/dbraw/zinc/37/56/96/362375696.db2.gz HFTXQMAIQYXLGC-WMLDXEAASA-N 1 2 316.380 1.952 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000615793778 362442514 /nfs/dbraw/zinc/44/25/14/362442514.db2.gz ZFKIIONCLFGABM-LSDHHAIUSA-N 1 2 317.437 1.103 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCC[C@H](OC(F)F)C1 ZINC000615795499 362444202 /nfs/dbraw/zinc/44/42/02/362444202.db2.gz IRLHXUOHKBDRCV-MNOVXSKESA-N 1 2 301.337 1.641 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@H](OC(F)F)C1 ZINC000615795499 362444208 /nfs/dbraw/zinc/44/42/08/362444208.db2.gz IRLHXUOHKBDRCV-MNOVXSKESA-N 1 2 301.337 1.641 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000226156226 201767520 /nfs/dbraw/zinc/76/75/20/201767520.db2.gz YXIPUOHFWVRGDW-LBPRGKRZSA-N 1 2 304.375 1.430 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@H]([C@H](C)O)C2)c(C#N)c1C ZINC000305649682 282398229 /nfs/dbraw/zinc/39/82/29/282398229.db2.gz MZEIDIFSPBRLPN-AAEUAGOBSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@H]([C@H](C)O)C2)c(C#N)c1C ZINC000305649682 282398231 /nfs/dbraw/zinc/39/82/31/282398231.db2.gz MZEIDIFSPBRLPN-AAEUAGOBSA-N 1 2 305.378 1.799 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NC(=O)C[N@@H+](C)CC(=O)NC(C)C ZINC000617037255 362931930 /nfs/dbraw/zinc/93/19/30/362931930.db2.gz KFMBJJIGZBEQNH-CABCVRRESA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NC(=O)C[N@H+](C)CC(=O)NC(C)C ZINC000617037255 362931940 /nfs/dbraw/zinc/93/19/40/362931940.db2.gz KFMBJJIGZBEQNH-CABCVRRESA-N 1 2 309.454 1.694 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@@H+]3CCC[C@](F)(CO)C3)C2=O)cc1 ZINC000287143219 219339596 /nfs/dbraw/zinc/33/95/96/219339596.db2.gz YIGNZTGACYNUQJ-NVXWUHKLSA-N 1 2 317.364 1.460 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@H+]3CCC[C@](F)(CO)C3)C2=O)cc1 ZINC000287143219 219339597 /nfs/dbraw/zinc/33/95/97/219339597.db2.gz YIGNZTGACYNUQJ-NVXWUHKLSA-N 1 2 317.364 1.460 20 30 DDEDLO CCC[C@H]1C(=O)NCC[N@H+]1CCOc1ccc(C#N)cc1OC ZINC000152588863 186087392 /nfs/dbraw/zinc/08/73/92/186087392.db2.gz UYBFSXRLRYPOFJ-AWEZNQCLSA-N 1 2 317.389 1.546 20 30 DDEDLO CCC[C@H]1C(=O)NCC[N@@H+]1CCOc1ccc(C#N)cc1OC ZINC000152588863 186087394 /nfs/dbraw/zinc/08/73/94/186087394.db2.gz UYBFSXRLRYPOFJ-AWEZNQCLSA-N 1 2 317.389 1.546 20 30 DDEDLO COC(=O)C[NH+]1CCC(C(=O)Nc2cccc(C#N)c2)CC1 ZINC000265985749 186348891 /nfs/dbraw/zinc/34/88/91/186348891.db2.gz HFHBSCONBPRUBJ-UHFFFAOYSA-N 1 2 301.346 1.382 20 30 DDEDLO C=C(C)C[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000025174785 352194536 /nfs/dbraw/zinc/19/45/36/352194536.db2.gz PFTHEGXDICOFBD-UHFFFAOYSA-N 1 2 305.403 1.441 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCC(=O)NC(C)(C)C)Cc1cccs1 ZINC000051824575 352595176 /nfs/dbraw/zinc/59/51/76/352595176.db2.gz JSHBAZARSPYFBQ-UHFFFAOYSA-N 1 2 323.462 1.767 20 30 DDEDLO C=CC[N@H+](CC(=O)NCC(=O)NC(C)(C)C)Cc1cccs1 ZINC000051824575 352595177 /nfs/dbraw/zinc/59/51/77/352595177.db2.gz JSHBAZARSPYFBQ-UHFFFAOYSA-N 1 2 323.462 1.767 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+](C)CC(=O)Nc1cccc(F)c1 ZINC000052659097 352622354 /nfs/dbraw/zinc/62/23/54/352622354.db2.gz LBDVIEWJJOOSLU-GFCCVEGCSA-N 1 2 320.368 1.457 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+](C)CC(=O)Nc1cccc(F)c1 ZINC000052659097 352622357 /nfs/dbraw/zinc/62/23/57/352622357.db2.gz LBDVIEWJJOOSLU-GFCCVEGCSA-N 1 2 320.368 1.457 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C\c1ccsc1 ZINC000491402684 234159383 /nfs/dbraw/zinc/15/93/83/234159383.db2.gz UNCDHEXWHKSDHW-IHWYPQMZSA-N 1 2 319.430 1.836 20 30 DDEDLO N#CCN(CCC(=O)N1CCN(c2cccc[nH+]2)CC1)C1CC1 ZINC000063516095 352915116 /nfs/dbraw/zinc/91/51/16/352915116.db2.gz QFYCQVJXOBAKTK-UHFFFAOYSA-N 1 2 313.405 1.108 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1cc(F)ccc1F ZINC000070920453 353186385 /nfs/dbraw/zinc/18/63/85/353186385.db2.gz NNIJWBJZKBPVRB-UHFFFAOYSA-N 1 2 323.343 1.653 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C\c1ccc[nH]1 ZINC000491976239 234350098 /nfs/dbraw/zinc/35/00/98/234350098.db2.gz ZLKILIHDGZPTHJ-PLNGDYQASA-N 1 2 302.378 1.102 20 30 DDEDLO Cc1nc(C(=O)NCc2[nH+]ccn2CC(F)(F)F)ccc1C#N ZINC000075710234 353377423 /nfs/dbraw/zinc/37/74/23/353377423.db2.gz HVAHYPBWDIFLBH-UHFFFAOYSA-N 1 2 323.278 1.951 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@H](O)COc1cccc2[nH]ccc21 ZINC000131527486 354100548 /nfs/dbraw/zinc/10/05/48/354100548.db2.gz WAMRBUZCRLCWEG-AWEZNQCLSA-N 1 2 317.389 1.770 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@H](O)COc1cccc2[nH]ccc21 ZINC000131527486 354100549 /nfs/dbraw/zinc/10/05/49/354100549.db2.gz WAMRBUZCRLCWEG-AWEZNQCLSA-N 1 2 317.389 1.770 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC000185210372 354281600 /nfs/dbraw/zinc/28/16/00/354281600.db2.gz YXPXYJPOVXLAKU-NSHDSACASA-N 1 2 307.398 1.780 20 30 DDEDLO CC[C@H](CC#N)[NH2+][C@@H]1CCN(c2cccc([N+](=O)[O-])c2)C1=O ZINC000579849762 354724251 /nfs/dbraw/zinc/72/42/51/354724251.db2.gz ANQFSRAAWBSAGD-BXUZGUMPSA-N 1 2 302.334 1.982 20 30 DDEDLO C[C@H]1C[C@@H]([NH2+]Cc2nc(C#N)cs2)C(=O)N1c1cnn(C)c1 ZINC000589314070 354983449 /nfs/dbraw/zinc/98/34/49/354983449.db2.gz GMAFHEFFTQTJDI-JOYOIKCWSA-N 1 2 316.390 1.032 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000590313346 355068637 /nfs/dbraw/zinc/06/86/37/355068637.db2.gz GUUJWNCMTFYDPP-CQSZACIVSA-N 1 2 307.357 1.665 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@@H]3COC[C@@H]32)cc1 ZINC000590972484 355227514 /nfs/dbraw/zinc/22/75/14/355227514.db2.gz ZHAXBCFCADXUMU-ZBFHGGJFSA-N 1 2 320.414 1.443 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC[C@@H]3COC[C@@H]32)cc1 ZINC000590972484 355227516 /nfs/dbraw/zinc/22/75/16/355227516.db2.gz ZHAXBCFCADXUMU-ZBFHGGJFSA-N 1 2 320.414 1.443 20 30 DDEDLO N#C[C@H](c1ccc(F)cc1)N1CC[NH+]([C@@H]2CCCNC2=O)CC1 ZINC000591025975 355235718 /nfs/dbraw/zinc/23/57/18/355235718.db2.gz OBFHIYBDYXKDQX-HZPDHXFCSA-N 1 2 316.380 1.287 20 30 DDEDLO N#CC1(CNC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)CCC1 ZINC000591411705 355305741 /nfs/dbraw/zinc/30/57/41/355305741.db2.gz FUHZURFUDFDBJY-CQSZACIVSA-N 1 2 306.410 1.186 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNCc1c(F)c(F)cc(F)c1F ZINC000591750379 355377246 /nfs/dbraw/zinc/37/72/46/355377246.db2.gz SFVOGUINFXHIKM-VIFPVBQESA-N 1 2 319.302 1.539 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNCc1c(F)c(F)cc(F)c1F ZINC000591750379 355377248 /nfs/dbraw/zinc/37/72/48/355377248.db2.gz SFVOGUINFXHIKM-VIFPVBQESA-N 1 2 319.302 1.539 20 30 DDEDLO COC(=O)CC[N@@H+](Cc1ccncc1C#N)C[C@H]1CCCO1 ZINC000592068532 355482387 /nfs/dbraw/zinc/48/23/87/355482387.db2.gz BLNVMCOHNBVHBP-OAHLLOKOSA-N 1 2 303.362 1.497 20 30 DDEDLO COC(=O)CC[N@H+](Cc1ccncc1C#N)C[C@H]1CCCO1 ZINC000592068532 355482391 /nfs/dbraw/zinc/48/23/91/355482391.db2.gz BLNVMCOHNBVHBP-OAHLLOKOSA-N 1 2 303.362 1.497 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@@H]1CC[C@H](C(=O)OC(C)(C)C)C1 ZINC000593153236 355813196 /nfs/dbraw/zinc/81/31/96/355813196.db2.gz GGQFTVZQUSPBHM-IIYDPXPESA-N 1 2 323.437 1.704 20 30 DDEDLO COc1ccc2c(c1)OC[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)C2 ZINC000593155382 355813762 /nfs/dbraw/zinc/81/37/62/355813762.db2.gz RYFRJPNKDMSEPD-DYVFJYSZSA-N 1 2 317.389 1.206 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCC1(C#N)CCCC1 ZINC000593430216 355883500 /nfs/dbraw/zinc/88/35/00/355883500.db2.gz BJCSMICYAQAGHY-HUUCEWRRSA-N 1 2 320.437 1.575 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC1(C#N)CCCC1 ZINC000593430215 355883585 /nfs/dbraw/zinc/88/35/85/355883585.db2.gz BJCSMICYAQAGHY-GJZGRUSLSA-N 1 2 320.437 1.575 20 30 DDEDLO CC[C@H](C#N)C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000593690408 355968587 /nfs/dbraw/zinc/96/85/87/355968587.db2.gz YFMOBNUECSIOJL-CQSZACIVSA-N 1 2 300.366 1.195 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1C[C@@H](C)OC2(CCCCC2)C1 ZINC000594058926 356102359 /nfs/dbraw/zinc/10/23/59/356102359.db2.gz UVGOQRBIMKDJOV-CYBMUJFWSA-N 1 2 309.410 1.422 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1C[C@@H](C)OC2(CCCCC2)C1 ZINC000594058926 356102361 /nfs/dbraw/zinc/10/23/61/356102361.db2.gz UVGOQRBIMKDJOV-CYBMUJFWSA-N 1 2 309.410 1.422 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)nc(C)n1 ZINC000595296009 356437800 /nfs/dbraw/zinc/43/78/00/356437800.db2.gz VGVUKZMETPPWRS-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000081535237 192284655 /nfs/dbraw/zinc/28/46/55/192284655.db2.gz RRTDYVVCOPQTHC-UHFFFAOYSA-N 1 2 324.384 1.981 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000595552368 356546272 /nfs/dbraw/zinc/54/62/72/356546272.db2.gz UHNIRCILADLPGW-ZDUSSCGKSA-N 1 2 301.456 1.316 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000595552368 356546277 /nfs/dbraw/zinc/54/62/77/356546277.db2.gz UHNIRCILADLPGW-ZDUSSCGKSA-N 1 2 301.456 1.316 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2CCC(=O)N(C)CC2)c(C#N)c1C ZINC000595771742 356646042 /nfs/dbraw/zinc/64/60/42/356646042.db2.gz FGNKZMKVUBSSII-LLVKDONJSA-N 1 2 318.377 1.259 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2CCC(=O)N(C)CC2)c(C#N)c1C ZINC000595771742 356646046 /nfs/dbraw/zinc/64/60/46/356646046.db2.gz FGNKZMKVUBSSII-LLVKDONJSA-N 1 2 318.377 1.259 20 30 DDEDLO CC[N@H+](CCC(=O)NCc1ccc(OC)cc1OC)[C@H](C)C#N ZINC000595820820 356663550 /nfs/dbraw/zinc/66/35/50/356663550.db2.gz XFPQQUILFCDDAJ-CYBMUJFWSA-N 1 2 319.405 1.944 20 30 DDEDLO CC[N@@H+](CCC(=O)NCc1ccc(OC)cc1OC)[C@H](C)C#N ZINC000595820820 356663552 /nfs/dbraw/zinc/66/35/52/356663552.db2.gz XFPQQUILFCDDAJ-CYBMUJFWSA-N 1 2 319.405 1.944 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccc[nH+]c2N2CCCC2)cn1 ZINC000597941472 357391877 /nfs/dbraw/zinc/39/18/77/357391877.db2.gz QIEDTLMQYCCAAV-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO CN(C)[C@@H](C(=O)NCC1(C#N)CCCCC1)c1c[nH+]cn1C ZINC000598313439 357536166 /nfs/dbraw/zinc/53/61/66/357536166.db2.gz JVJBKVXLOYYDFZ-CQSZACIVSA-N 1 2 303.410 1.613 20 30 DDEDLO CCN(C(=O)c1cccc(C[NH+]2CCOCC2)c1)[C@H](C)C#N ZINC000598360627 357551369 /nfs/dbraw/zinc/55/13/69/357551369.db2.gz UEPBVUBDTJXZRM-CQSZACIVSA-N 1 2 301.390 1.893 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N[C@H](C)c1[nH+]ccn1C ZINC000598459641 357591377 /nfs/dbraw/zinc/59/13/77/357591377.db2.gz JWDYYZKWUVJYOH-LLVKDONJSA-N 1 2 314.345 1.557 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@H+](C)C[C@@H](O)CC(C)(C)C#N ZINC000598593400 357645228 /nfs/dbraw/zinc/64/52/28/357645228.db2.gz SKGVWHKBEAYEGJ-QWHCGFSZSA-N 1 2 300.399 1.186 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@@H+](C)C[C@@H](O)CC(C)(C)C#N ZINC000598593400 357645231 /nfs/dbraw/zinc/64/52/31/357645231.db2.gz SKGVWHKBEAYEGJ-QWHCGFSZSA-N 1 2 300.399 1.186 20 30 DDEDLO CC(C)[C@H](Oc1ccccc1C#N)C(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000598944716 357769012 /nfs/dbraw/zinc/76/90/12/357769012.db2.gz UDFSNCZNXAGYKR-PBHICJAKSA-N 1 2 324.384 1.899 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N[C@@H]2CCn3c[nH+]cc3C2)cc1 ZINC000598948467 357772020 /nfs/dbraw/zinc/77/20/20/357772020.db2.gz QBQHLHZAVFKAKQ-HZPDHXFCSA-N 1 2 319.368 1.568 20 30 DDEDLO C[C@@H](C#N)C[N@H+](CC#CCN1C(=O)c2ccccc2C1=O)C1CC1 ZINC000599340669 357901348 /nfs/dbraw/zinc/90/13/48/357901348.db2.gz XQDFKBOXNGRDNB-AWEZNQCLSA-N 1 2 321.380 1.910 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](CC#CCN1C(=O)c2ccccc2C1=O)C1CC1 ZINC000599340669 357901349 /nfs/dbraw/zinc/90/13/49/357901349.db2.gz XQDFKBOXNGRDNB-AWEZNQCLSA-N 1 2 321.380 1.910 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)C[C@H](CC#N)c1ccccc1 ZINC000600417834 358215170 /nfs/dbraw/zinc/21/51/70/358215170.db2.gz BRGZKPQEUTZMSW-JKSUJKDBSA-N 1 2 301.390 1.521 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)C[C@H](CC#N)c1ccccc1 ZINC000600417834 358215172 /nfs/dbraw/zinc/21/51/72/358215172.db2.gz BRGZKPQEUTZMSW-JKSUJKDBSA-N 1 2 301.390 1.521 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)[C@H](C#N)CCc1ccccc1 ZINC000600420951 358215576 /nfs/dbraw/zinc/21/55/76/358215576.db2.gz MBVAIWVMDKSJFF-JKSUJKDBSA-N 1 2 301.390 1.206 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)[C@H](C#N)CCc1ccccc1 ZINC000600420951 358215579 /nfs/dbraw/zinc/21/55/79/358215579.db2.gz MBVAIWVMDKSJFF-JKSUJKDBSA-N 1 2 301.390 1.206 20 30 DDEDLO Cn1cc(C[NH2+][C@H]2CS(=O)(=O)c3ccccc32)cc1C#N ZINC000276638023 213016566 /nfs/dbraw/zinc/01/65/66/213016566.db2.gz QBZJVHUQFGVHLX-AWEZNQCLSA-N 1 2 301.371 1.515 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)c3ccccc3CC#N)CC2)cn1 ZINC000601041213 358391107 /nfs/dbraw/zinc/39/11/07/358391107.db2.gz VXMPRYZDEKFJOX-UHFFFAOYSA-N 1 2 323.400 1.444 20 30 DDEDLO C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccccc1CC#N ZINC000601383006 358527863 /nfs/dbraw/zinc/52/78/63/358527863.db2.gz BQEKUGWXYQUGCP-STQMWFEESA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccccc1CC#N ZINC000601383006 358527865 /nfs/dbraw/zinc/52/78/65/358527865.db2.gz BQEKUGWXYQUGCP-STQMWFEESA-N 1 2 307.419 1.466 20 30 DDEDLO COCCCOC1C[NH+](C[C@@H](O)COc2ccccc2C#N)C1 ZINC000601959244 358753548 /nfs/dbraw/zinc/75/35/48/358753548.db2.gz PRYIUOFMBNEXGP-OAHLLOKOSA-N 1 2 320.389 1.035 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N(C)[C@@H]1CCC[C@@H]1C#N ZINC000602077890 358803301 /nfs/dbraw/zinc/80/33/01/358803301.db2.gz UXZHAURGAQSIBB-ZYHUDNBSSA-N 1 2 302.334 1.179 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC000602196767 358877420 /nfs/dbraw/zinc/87/74/20/358877420.db2.gz BUTJJWDMDGKRKF-KRWDZBQOSA-N 1 2 300.406 1.708 20 30 DDEDLO Cc1cnc(C[NH2+][C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)o1 ZINC000602632531 359087158 /nfs/dbraw/zinc/08/71/58/359087158.db2.gz UVLIABPPEVBJMM-HNNXBMFYSA-N 1 2 310.357 1.745 20 30 DDEDLO COc1ccc(OC)c([C@@H](C)NC(=O)C[NH2+][C@@H](C)CC#N)c1 ZINC000602866409 359250553 /nfs/dbraw/zinc/25/05/53/359250553.db2.gz ZTXQKMICXLMSER-NWDGAFQWSA-N 1 2 305.378 1.773 20 30 DDEDLO CCN(CC)C(=O)c1ccc(NC(=O)C[NH2+][C@H](C)CC#N)cc1 ZINC000602867483 359252348 /nfs/dbraw/zinc/25/23/48/359252348.db2.gz CNPOJQCAKBEEPH-CYBMUJFWSA-N 1 2 316.405 1.999 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@@H]2CCN(C3CCC3)C2=O)CC1 ZINC000602796793 359198122 /nfs/dbraw/zinc/19/81/22/359198122.db2.gz SOIWQLYGDJDXQR-CVEARBPZSA-N 1 2 304.438 1.306 20 30 DDEDLO CCOC(=O)C1([S@@](C)=O)CC[NH+](CC2(CC#N)CC2)CC1 ZINC000602834316 359224975 /nfs/dbraw/zinc/22/49/75/359224975.db2.gz AKDJJUQTCTXDOW-OAQYLSRUSA-N 1 2 312.435 1.456 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC=C(c2cnn(C)c2)C1 ZINC000602889940 359273631 /nfs/dbraw/zinc/27/36/31/359273631.db2.gz XKARANZIUGHAOS-UHFFFAOYSA-N 1 2 301.394 1.271 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC=C(c2cnn(C)c2)C1 ZINC000602889940 359273633 /nfs/dbraw/zinc/27/36/33/359273633.db2.gz XKARANZIUGHAOS-UHFFFAOYSA-N 1 2 301.394 1.271 20 30 DDEDLO CC(C)C[C@H](C(=O)NC[C@@H]1CCCN(CC#N)C1)n1cc[nH+]c1 ZINC000602897934 359280262 /nfs/dbraw/zinc/28/02/62/359280262.db2.gz UYZDNTHKJWWMCU-JKSUJKDBSA-N 1 2 317.437 1.822 20 30 DDEDLO C[C@@](O)(CNC(=O)C[NH+]1CCN(c2ccccn2)CC1)C1CC1 ZINC000329936466 223050074 /nfs/dbraw/zinc/05/00/74/223050074.db2.gz DCVRYVWXKPEAAO-QGZVFWFLSA-N 1 2 318.421 1.321 20 30 DDEDLO CSCCNC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000608794670 360245750 /nfs/dbraw/zinc/24/57/50/360245750.db2.gz HLAGBFRIXJRIDL-UHFFFAOYSA-N 1 2 318.446 1.748 20 30 DDEDLO N#CCN1CCC(NC(=O)N2CCC(c3[nH]cc[nH+]3)CC2)CC1 ZINC000609340796 360297613 /nfs/dbraw/zinc/29/76/13/360297613.db2.gz DVLVJIFWPXYNEZ-UHFFFAOYSA-N 1 2 316.409 1.287 20 30 DDEDLO CNC(=O)c1cccc(C[NH+]2CCN([C@@H](C#N)C(C)C)CC2)c1 ZINC000609613889 360334048 /nfs/dbraw/zinc/33/40/48/360334048.db2.gz FSDSFVFOOSCZCA-KRWDZBQOSA-N 1 2 314.433 1.712 20 30 DDEDLO CCC[C@H](C)NC(=O)[C@@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609485875 360313595 /nfs/dbraw/zinc/31/35/95/360313595.db2.gz ZCYQNGBKXHPJDI-XHSDSOJGSA-N 1 2 308.470 1.845 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@H](C)C(=O)NC2CCCCC2)CC1 ZINC000609485821 360313943 /nfs/dbraw/zinc/31/39/43/360313943.db2.gz YFECLRAMKJUNEU-WBVHZDCISA-N 1 2 320.481 1.990 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609538557 360321320 /nfs/dbraw/zinc/32/13/20/360321320.db2.gz LVMJKLDARWTXSJ-INIZCTEOSA-N 1 2 304.438 1.353 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)N[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC000330023888 223064398 /nfs/dbraw/zinc/06/43/98/223064398.db2.gz JGWRYLDEXFCPRM-ARLBYUKCSA-N 1 2 316.405 1.319 20 30 DDEDLO N#CCN1CCC(NC(=O)NCc2ccn3cc[nH+]c3c2)CC1 ZINC000610770933 360532902 /nfs/dbraw/zinc/53/29/02/360532902.db2.gz CDEITYCAXBHPOJ-UHFFFAOYSA-N 1 2 312.377 1.121 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)N[C@@](C)(C#N)C(C)C)cn1 ZINC000610999152 360597818 /nfs/dbraw/zinc/59/78/18/360597818.db2.gz CVCQPSXFNORSSI-INIZCTEOSA-N 1 2 304.394 1.576 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)N[C@@](C)(C#N)C(C)C)cn1 ZINC000610999152 360597823 /nfs/dbraw/zinc/59/78/23/360597823.db2.gz CVCQPSXFNORSSI-INIZCTEOSA-N 1 2 304.394 1.576 20 30 DDEDLO C[C@@H](C1CC1)N(C(=O)C[NH+]1CCN(CCC#N)CC1)C1CC1 ZINC000611174241 360646309 /nfs/dbraw/zinc/64/63/09/360646309.db2.gz BRCMMNPWPMMUBA-AWEZNQCLSA-N 1 2 304.438 1.307 20 30 DDEDLO CN(CC1CCCCC1)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611173728 360646374 /nfs/dbraw/zinc/64/63/74/360646374.db2.gz IHLSQEBPDORADW-UHFFFAOYSA-N 1 2 306.454 1.556 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@@H+](C[C@@H](C)C#N)C3CC3)sc2n1 ZINC000611091301 360620214 /nfs/dbraw/zinc/62/02/14/360620214.db2.gz RSKJZMYTMDAAGG-VIFPVBQESA-N 1 2 303.391 1.584 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@H+](C[C@@H](C)C#N)C3CC3)sc2n1 ZINC000611091301 360620215 /nfs/dbraw/zinc/62/02/15/360620215.db2.gz RSKJZMYTMDAAGG-VIFPVBQESA-N 1 2 303.391 1.584 20 30 DDEDLO Cc1cn2c([nH+]1)CN([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC2 ZINC000611801962 360829564 /nfs/dbraw/zinc/82/95/64/360829564.db2.gz LTNPBTVKRLGOBM-BBRMVZONSA-N 1 2 303.410 1.450 20 30 DDEDLO N#C[C@@H]1CN(C(=O)NCc2cccc(-n3cc[nH+]c3)c2)CCO1 ZINC000332220157 223211789 /nfs/dbraw/zinc/21/17/89/223211789.db2.gz VOKLXDPAQXFVTL-OAHLLOKOSA-N 1 2 311.345 1.306 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)C(C)(F)F ZINC000339587310 223270849 /nfs/dbraw/zinc/27/08/49/223270849.db2.gz QHTUXKVYEXLMBO-GFCCVEGCSA-N 1 2 303.353 1.352 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CCc2c([nH+]cn2CCc2ccccc2)C1 ZINC000613171845 361306038 /nfs/dbraw/zinc/30/60/38/361306038.db2.gz AROIMHVYRMIXQT-QGZVFWFLSA-N 1 2 311.385 1.557 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)CCC(=O)c2cccs2)CC1 ZINC000613716551 361532853 /nfs/dbraw/zinc/53/28/53/361532853.db2.gz CGQRZJQMCXXUHI-UHFFFAOYSA-N 1 2 305.403 1.815 20 30 DDEDLO O=C(N[C@@H]1CCO[C@]2(CCSC2)C1)N1CCn2cc[nH+]c2C1 ZINC000330216835 283707577 /nfs/dbraw/zinc/70/75/77/283707577.db2.gz IAUNJKOCVOAIHQ-IUODEOHRSA-N 1 2 322.434 1.667 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000619718791 364129611 /nfs/dbraw/zinc/12/96/11/364129611.db2.gz XDWPXOBHRRIKME-UHFFFAOYSA-N 1 2 320.349 1.785 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000619718791 364129617 /nfs/dbraw/zinc/12/96/17/364129617.db2.gz XDWPXOBHRRIKME-UHFFFAOYSA-N 1 2 320.349 1.785 20 30 DDEDLO N#CC1(F)CCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CC1 ZINC000345428956 223345463 /nfs/dbraw/zinc/34/54/63/223345463.db2.gz FWGUXQDZEYUFHB-CYBMUJFWSA-N 1 2 317.368 1.698 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCN3C(=O)NC[C@H]3C2)cc(OC)c1O ZINC000091864494 193120343 /nfs/dbraw/zinc/12/03/43/193120343.db2.gz SBCNTUPCCQPAKK-AWEZNQCLSA-N 1 2 317.389 1.339 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCN3C(=O)NC[C@H]3C2)cc(OC)c1O ZINC000091864494 193120345 /nfs/dbraw/zinc/12/03/45/193120345.db2.gz SBCNTUPCCQPAKK-AWEZNQCLSA-N 1 2 317.389 1.339 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cccc(O)c1 ZINC000091936825 193129368 /nfs/dbraw/zinc/12/93/68/193129368.db2.gz RANYPNBXTGDVEO-ZDUSSCGKSA-N 1 2 309.373 1.765 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cccc(O)c1 ZINC000091936825 193129370 /nfs/dbraw/zinc/12/93/70/193129370.db2.gz RANYPNBXTGDVEO-ZDUSSCGKSA-N 1 2 309.373 1.765 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@]2(CC(=O)c3ccccc3O2)C1 ZINC000625799278 367149299 /nfs/dbraw/zinc/14/92/99/367149299.db2.gz LIRNVSXBQJINES-SFHVURJKSA-N 1 2 314.385 1.789 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@]2(CC(=O)c3ccccc3O2)C1 ZINC000625799278 367149311 /nfs/dbraw/zinc/14/93/11/367149311.db2.gz LIRNVSXBQJINES-SFHVURJKSA-N 1 2 314.385 1.789 20 30 DDEDLO COCC1(C#N)CCN(C(=O)Cc2cn3ccccc3[nH+]2)CC1 ZINC000347310637 223369369 /nfs/dbraw/zinc/36/93/69/223369369.db2.gz YWNDWHZIZBBPBJ-UHFFFAOYSA-N 1 2 312.373 1.656 20 30 DDEDLO O=C([C@H]1CCCC[N@@H+]1CC#Cc1ccccc1)N1CCOCC1 ZINC000191986752 296103421 /nfs/dbraw/zinc/10/34/21/296103421.db2.gz LONSNCYBCHJMOC-GOSISDBHSA-N 1 2 312.413 1.751 20 30 DDEDLO O=C([C@H]1CCCC[N@H+]1CC#Cc1ccccc1)N1CCOCC1 ZINC000191986752 296103422 /nfs/dbraw/zinc/10/34/22/296103422.db2.gz LONSNCYBCHJMOC-GOSISDBHSA-N 1 2 312.413 1.751 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)o1 ZINC000093014352 193193388 /nfs/dbraw/zinc/19/33/88/193193388.db2.gz VIXQWSKGGJFYDF-OAHLLOKOSA-N 1 2 303.362 1.365 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)o1 ZINC000093014352 193193390 /nfs/dbraw/zinc/19/33/90/193193390.db2.gz VIXQWSKGGJFYDF-OAHLLOKOSA-N 1 2 303.362 1.365 20 30 DDEDLO COC[C@H]1C[N@H+](CC(=O)Nc2cccc(C#N)c2)CC(C)(C)O1 ZINC000284680593 218130256 /nfs/dbraw/zinc/13/02/56/218130256.db2.gz ZNLODEDYYYWVQG-OAHLLOKOSA-N 1 2 317.389 1.623 20 30 DDEDLO COC[C@H]1C[N@@H+](CC(=O)Nc2cccc(C#N)c2)CC(C)(C)O1 ZINC000284680593 218130259 /nfs/dbraw/zinc/13/02/59/218130259.db2.gz ZNLODEDYYYWVQG-OAHLLOKOSA-N 1 2 317.389 1.623 20 30 DDEDLO COCCO[C@H]1CCC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000340767017 251201095 /nfs/dbraw/zinc/20/10/95/251201095.db2.gz CMXZZKFLSTYWDX-JKSUJKDBSA-N 1 2 317.389 1.212 20 30 DDEDLO COCCO[C@H]1CCC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000340767017 251201099 /nfs/dbraw/zinc/20/10/99/251201099.db2.gz CMXZZKFLSTYWDX-JKSUJKDBSA-N 1 2 317.389 1.212 20 30 DDEDLO Cc1cc(NCC[N@H+]2CCOC[C@H]2C)c(C#N)cc1[N+](=O)[O-] ZINC000413126874 224139665 /nfs/dbraw/zinc/13/96/65/224139665.db2.gz UNDQINNVGPGTGP-GFCCVEGCSA-N 1 2 304.350 1.908 20 30 DDEDLO Cc1cc(NCC[N@@H+]2CCOC[C@H]2C)c(C#N)cc1[N+](=O)[O-] ZINC000413126874 224139667 /nfs/dbraw/zinc/13/96/67/224139667.db2.gz UNDQINNVGPGTGP-GFCCVEGCSA-N 1 2 304.350 1.908 20 30 DDEDLO COCC(COC)[NH+]1CCN(c2c(Cl)cccc2C#N)CC1 ZINC000413467888 533100879 /nfs/dbraw/zinc/10/08/79/533100879.db2.gz AVDGUBDGRXRNSN-UHFFFAOYSA-N 1 2 323.824 1.995 20 30 DDEDLO C[C@H](CC(=O)N1CCN(c2ncccc2C#N)CC1)n1cc[nH+]c1 ZINC000568012263 304261984 /nfs/dbraw/zinc/26/19/84/304261984.db2.gz OCQMGLPOCFCFNK-CQSZACIVSA-N 1 2 324.388 1.450 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+](CCO)Cc1cccnc1 ZINC000156795448 197141319 /nfs/dbraw/zinc/14/13/19/197141319.db2.gz HATITHMUXZBFAN-HNNXBMFYSA-N 1 2 305.422 1.689 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+](CCO)Cc1cccnc1 ZINC000156795448 197141320 /nfs/dbraw/zinc/14/13/20/197141320.db2.gz HATITHMUXZBFAN-HNNXBMFYSA-N 1 2 305.422 1.689 20 30 DDEDLO COc1cc([N+](=O)[O-])ccc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000414151690 533142729 /nfs/dbraw/zinc/14/27/29/533142729.db2.gz WJQFYBMRXNVHDY-LBPRGKRZSA-N 1 2 309.322 1.189 20 30 DDEDLO COc1cc([N+](=O)[O-])ccc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414151690 533142733 /nfs/dbraw/zinc/14/27/33/533142733.db2.gz WJQFYBMRXNVHDY-LBPRGKRZSA-N 1 2 309.322 1.189 20 30 DDEDLO C[C@H]([C@H](C)[N@H+](C)C[C@H](O)c1ccc(C#N)cc1)S(C)(=O)=O ZINC000156392513 197109294 /nfs/dbraw/zinc/10/92/94/197109294.db2.gz CCUWNZOYJVCHRS-ZOWXZIJZSA-N 1 2 310.419 1.345 20 30 DDEDLO C[C@H]([C@H](C)[N@@H+](C)C[C@H](O)c1ccc(C#N)cc1)S(C)(=O)=O ZINC000156392513 197109296 /nfs/dbraw/zinc/10/92/96/197109296.db2.gz CCUWNZOYJVCHRS-ZOWXZIJZSA-N 1 2 310.419 1.345 20 30 DDEDLO COC(=O)[C@H]1C[C@H](C2CCCCC2)C[N@@H+]1CC(=O)NCC#N ZINC000330922390 533548262 /nfs/dbraw/zinc/54/82/62/533548262.db2.gz BKYYFFWCYGKTSX-UONOGXRCSA-N 1 2 307.394 1.070 20 30 DDEDLO COC(=O)[C@H]1C[C@H](C2CCCCC2)C[N@H+]1CC(=O)NCC#N ZINC000330922390 533548267 /nfs/dbraw/zinc/54/82/67/533548267.db2.gz BKYYFFWCYGKTSX-UONOGXRCSA-N 1 2 307.394 1.070 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](F)C[C@H]2CN(C)C(=O)NCCC#N)on1 ZINC000332671072 533630371 /nfs/dbraw/zinc/63/03/71/533630371.db2.gz QVLMBZCZPLLNCL-STQMWFEESA-N 1 2 323.372 1.451 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CN(C)C(=O)NCCC#N)on1 ZINC000332671072 533630382 /nfs/dbraw/zinc/63/03/82/533630382.db2.gz QVLMBZCZPLLNCL-STQMWFEESA-N 1 2 323.372 1.451 20 30 DDEDLO N#Cc1ccc(CNC(=O)N2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000046046972 134744493 /nfs/dbraw/zinc/74/44/93/134744493.db2.gz XYPCISYMNLVDCL-UHFFFAOYSA-N 1 2 321.384 1.985 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(c2ncncc2C#N)CC1 ZINC000568979384 304326310 /nfs/dbraw/zinc/32/63/10/304326310.db2.gz SKMANNGEDQABMV-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCOC2(CCCCC2)C1)C1CC1 ZINC000075831995 406941966 /nfs/dbraw/zinc/94/19/66/406941966.db2.gz BXCJIEHRYVIAKS-MRXNPFEDSA-N 1 2 305.422 1.830 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCOC2(CCCCC2)C1)C1CC1 ZINC000075831995 406941968 /nfs/dbraw/zinc/94/19/68/406941968.db2.gz BXCJIEHRYVIAKS-MRXNPFEDSA-N 1 2 305.422 1.830 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N(C)Cc1ccc(SC)cc1 ZINC000076186008 406958349 /nfs/dbraw/zinc/95/83/49/406958349.db2.gz OVAJSUICKUSJQE-UHFFFAOYSA-N 1 2 300.427 1.935 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(c2cnccn2)CC1 ZINC000042633360 407013554 /nfs/dbraw/zinc/01/35/54/407013554.db2.gz YLXLLPCKKJGDLP-HNNXBMFYSA-N 1 2 317.437 1.412 20 30 DDEDLO CCCN(CC#N)C(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000078088526 407019666 /nfs/dbraw/zinc/01/96/66/407019666.db2.gz XPSIBTVKIOWPHJ-MRXNPFEDSA-N 1 2 301.390 1.650 20 30 DDEDLO CCCN(CC#N)C(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000078088526 407019667 /nfs/dbraw/zinc/01/96/67/407019667.db2.gz XPSIBTVKIOWPHJ-MRXNPFEDSA-N 1 2 301.390 1.650 20 30 DDEDLO C=CCOCCCC(=O)NC(C)(C)c1noc(C[NH+](C)C)n1 ZINC000079906614 407065685 /nfs/dbraw/zinc/06/56/85/407065685.db2.gz AJPIPVANXNQKPP-UHFFFAOYSA-N 1 2 310.398 1.465 20 30 DDEDLO C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)Cc1ccc(Cl)nc1 ZINC000080909635 407073459 /nfs/dbraw/zinc/07/34/59/407073459.db2.gz UDKOICCOTVLKEN-OAHLLOKOSA-N 1 2 306.797 1.975 20 30 DDEDLO C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)Cc1ccc(Cl)nc1 ZINC000080909635 407073462 /nfs/dbraw/zinc/07/34/62/407073462.db2.gz UDKOICCOTVLKEN-OAHLLOKOSA-N 1 2 306.797 1.975 20 30 DDEDLO CC(C)CNC(=O)C[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000080907208 407073687 /nfs/dbraw/zinc/07/36/87/407073687.db2.gz NTEQTGGPBXSWFG-QGZVFWFLSA-N 1 2 314.433 1.641 20 30 DDEDLO Cc1ccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c(C)c1 ZINC000060633793 407226078 /nfs/dbraw/zinc/22/60/78/407226078.db2.gz XHYWJAMBJTWLHR-UHFFFAOYSA-N 1 2 315.417 1.992 20 30 DDEDLO CC[N@@H+](CC(=O)NCc1cccc(COC)c1)C[C@H](C)C#N ZINC000064249084 407237104 /nfs/dbraw/zinc/23/71/04/407237104.db2.gz CIWNIFFWTAYDEW-CQSZACIVSA-N 1 2 303.406 1.931 20 30 DDEDLO CC[N@H+](CC(=O)NCc1cccc(COC)c1)C[C@H](C)C#N ZINC000064249084 407237106 /nfs/dbraw/zinc/23/71/06/407237106.db2.gz CIWNIFFWTAYDEW-CQSZACIVSA-N 1 2 303.406 1.931 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@H](O)C[N@H+](C)[C@@H](C)CC#N)c1 ZINC000123812044 407339761 /nfs/dbraw/zinc/33/97/61/407339761.db2.gz SFPBDBGWEWJRCL-DZGCQCFKSA-N 1 2 320.389 1.837 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@H](O)C[N@@H+](C)[C@@H](C)CC#N)c1 ZINC000123812044 407339763 /nfs/dbraw/zinc/33/97/63/407339763.db2.gz SFPBDBGWEWJRCL-DZGCQCFKSA-N 1 2 320.389 1.837 20 30 DDEDLO NC(Cc1ccc(Cl)cc1)=[NH+]OCc1nnnn1C1CC1 ZINC000106067151 407358287 /nfs/dbraw/zinc/35/82/87/407358287.db2.gz SDCWSXMKJHUFFO-UHFFFAOYSA-N 1 2 306.757 1.693 20 30 DDEDLO C[C@@]1(O)CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000124641206 407364584 /nfs/dbraw/zinc/36/45/84/407364584.db2.gz BVJMOSIIOUYGGP-OAHLLOKOSA-N 1 2 308.403 1.179 20 30 DDEDLO C[C@@]1(O)CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000124641206 407364585 /nfs/dbraw/zinc/36/45/85/407364585.db2.gz BVJMOSIIOUYGGP-OAHLLOKOSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1cnc(N2CC[NH+](Cc3ccc(Cl)nc3)CC2)cn1 ZINC000124861623 407371740 /nfs/dbraw/zinc/37/17/40/407371740.db2.gz PSVABYIFRDEBCO-UHFFFAOYSA-N 1 2 314.780 1.719 20 30 DDEDLO N#CC1(C(=O)NCCCCNc2cccc[nH+]2)CCOCC1 ZINC000109281861 407396987 /nfs/dbraw/zinc/39/69/87/407396987.db2.gz MMAFSJGJPMGSDK-UHFFFAOYSA-N 1 2 302.378 1.710 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[S@](=O)[C@H](C)[C@@H]1C ZINC000265810800 407465357 /nfs/dbraw/zinc/46/53/57/407465357.db2.gz FJGGTQUBEPNVPT-SASJJMKUSA-N 1 2 322.430 1.787 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[S@](=O)[C@H](C)[C@@H]1C ZINC000265810800 407465362 /nfs/dbraw/zinc/46/53/62/407465362.db2.gz FJGGTQUBEPNVPT-SASJJMKUSA-N 1 2 322.430 1.787 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@H+](C)CCOc1ccc(C#N)cc1 ZINC000113004380 407459224 /nfs/dbraw/zinc/45/92/24/407459224.db2.gz HXRIETFDQFITJW-CYBMUJFWSA-N 1 2 310.419 1.692 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@@H+](C)CCOc1ccc(C#N)cc1 ZINC000113004380 407459228 /nfs/dbraw/zinc/45/92/28/407459228.db2.gz HXRIETFDQFITJW-CYBMUJFWSA-N 1 2 310.419 1.692 20 30 DDEDLO C#C[C@H](C)NC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000185981369 407557466 /nfs/dbraw/zinc/55/74/66/407557466.db2.gz OCKFUCVEIJUAMW-AWEZNQCLSA-N 1 2 301.390 1.340 20 30 DDEDLO CC(C)(C)n1cc(C#N)cc1NC(=O)NCC[NH+]1CCOCC1 ZINC000171138016 407611913 /nfs/dbraw/zinc/61/19/13/407611913.db2.gz LVONEMGFRPDVDQ-UHFFFAOYSA-N 1 2 319.409 1.568 20 30 DDEDLO CCOC(=O)c1nn(C)cc1C[NH2+]Cc1ccc(C#N)cc1F ZINC000186340530 407642149 /nfs/dbraw/zinc/64/21/49/407642149.db2.gz YOBJJIRWPQDOKB-UHFFFAOYSA-N 1 2 316.336 1.897 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](C)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000115570981 407693692 /nfs/dbraw/zinc/69/36/92/407693692.db2.gz QVFURQFHTCTLEH-GOEBONIOSA-N 1 2 315.417 1.805 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](C)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000115570981 407693698 /nfs/dbraw/zinc/69/36/98/407693698.db2.gz QVFURQFHTCTLEH-GOEBONIOSA-N 1 2 315.417 1.805 20 30 DDEDLO Cc1cc(S(=O)(=O)N[C@@H](C)Cn2cc[nH+]c2)ccc1C#N ZINC000235151777 407717730 /nfs/dbraw/zinc/71/77/30/407717730.db2.gz VJDBIYIAHBTOQG-LBPRGKRZSA-N 1 2 304.375 1.430 20 30 DDEDLO COc1cccc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)n1 ZINC000171825665 407776477 /nfs/dbraw/zinc/77/64/77/407776477.db2.gz MASDVDQWMNOSLA-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@@H]2CCc3[nH+]c(C)cn3C2)cc1 ZINC000153296345 407793924 /nfs/dbraw/zinc/79/39/24/407793924.db2.gz SKLNYJDHHBZVGL-OAHLLOKOSA-N 1 2 309.369 1.948 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCc2ccccc2[C@H]1CO)C1CC1 ZINC000268142457 407909738 /nfs/dbraw/zinc/90/97/38/407909738.db2.gz QFULRXYKJJWHJZ-AEFFLSMTSA-N 1 2 313.401 1.387 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCc2ccccc2[C@H]1CO)C1CC1 ZINC000268142457 407909743 /nfs/dbraw/zinc/90/97/43/407909743.db2.gz QFULRXYKJJWHJZ-AEFFLSMTSA-N 1 2 313.401 1.387 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C(=O)C2(C#N)CCC2)CC1 ZINC000134608383 407914517 /nfs/dbraw/zinc/91/45/17/407914517.db2.gz UCLQDBSFRBBKAG-UHFFFAOYSA-N 1 2 302.378 1.630 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)Cc1c(C)nc2sccn21 ZINC000268513224 408076598 /nfs/dbraw/zinc/07/65/98/408076598.db2.gz XCZUUZJGTQNIJE-UHFFFAOYSA-N 1 2 319.434 1.898 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)Cc1c(C)nc2sccn21 ZINC000268513224 408076604 /nfs/dbraw/zinc/07/66/04/408076604.db2.gz XCZUUZJGTQNIJE-UHFFFAOYSA-N 1 2 319.434 1.898 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCOC2(C1)CCCCCC2 ZINC000119508599 408084135 /nfs/dbraw/zinc/08/41/35/408084135.db2.gz RSNMJZNAJAAAKP-UHFFFAOYSA-N 1 2 309.410 1.423 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCOC2(C1)CCCCCC2 ZINC000119508599 408084141 /nfs/dbraw/zinc/08/41/41/408084141.db2.gz RSNMJZNAJAAAKP-UHFFFAOYSA-N 1 2 309.410 1.423 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)c1ccc(C#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000268649439 408137135 /nfs/dbraw/zinc/13/71/35/408137135.db2.gz JHWUNVYOHWAFKH-CVEARBPZSA-N 1 2 320.414 1.267 20 30 DDEDLO C=CC[N@H+](C[C@H](O)c1ccc(C#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000268649439 408137139 /nfs/dbraw/zinc/13/71/39/408137139.db2.gz JHWUNVYOHWAFKH-CVEARBPZSA-N 1 2 320.414 1.267 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)N(C)C[C@@H](C)C#N ZINC000269286961 408253226 /nfs/dbraw/zinc/25/32/26/408253226.db2.gz SKBZDBDFWZZFHC-LBPRGKRZSA-N 1 2 317.393 1.850 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCc2c(cccc2OC)C1 ZINC000182677657 408221934 /nfs/dbraw/zinc/22/19/34/408221934.db2.gz OBLGDSWVTOXZAM-GFCCVEGCSA-N 1 2 317.389 1.454 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCc2c(cccc2OC)C1 ZINC000182677657 408221936 /nfs/dbraw/zinc/22/19/36/408221936.db2.gz OBLGDSWVTOXZAM-GFCCVEGCSA-N 1 2 317.389 1.454 20 30 DDEDLO OC[C@@H](O)C[N@@H+](CC#Cc1ccc(F)cc1)CCC(F)(F)F ZINC000156751888 408272469 /nfs/dbraw/zinc/27/24/69/408272469.db2.gz XZPBIAATUMFTJY-AWEZNQCLSA-N 1 2 319.298 1.785 20 30 DDEDLO OC[C@@H](O)C[N@H+](CC#Cc1ccc(F)cc1)CCC(F)(F)F ZINC000156751888 408272472 /nfs/dbraw/zinc/27/24/72/408272472.db2.gz XZPBIAATUMFTJY-AWEZNQCLSA-N 1 2 319.298 1.785 20 30 DDEDLO COc1cc(OC)cc([C@H](NC(=O)CC#N)c2[nH+]ccn2C)c1 ZINC000273979070 408299300 /nfs/dbraw/zinc/29/93/00/408299300.db2.gz HLTPEYCNVZEBOE-HNNXBMFYSA-N 1 2 314.345 1.557 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)NC3CC3)C2)c(F)c1 ZINC000274279954 408351261 /nfs/dbraw/zinc/35/12/61/408351261.db2.gz YIRXGOSIJQLBNG-CQSZACIVSA-N 1 2 302.353 1.733 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)NC3CC3)C2)c(F)c1 ZINC000274279954 408351266 /nfs/dbraw/zinc/35/12/66/408351266.db2.gz YIRXGOSIJQLBNG-CQSZACIVSA-N 1 2 302.353 1.733 20 30 DDEDLO CCc1nn(C)cc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000183373071 408392040 /nfs/dbraw/zinc/39/20/40/408392040.db2.gz WZYVBRHSWLQNAI-UHFFFAOYSA-N 1 2 305.426 1.030 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3cccnc3)CC2)cc1 ZINC000247537133 408488209 /nfs/dbraw/zinc/48/82/09/408488209.db2.gz AKESFFVCZFYRGL-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO COCC1(O)CC[NH+]([C@@H](C)C(=O)Nc2ccccc2C#N)CC1 ZINC000270334464 408491897 /nfs/dbraw/zinc/49/18/97/408491897.db2.gz CNUNBGUWPXKQLQ-ZDUSSCGKSA-N 1 2 317.389 1.359 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[NH+]1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000183981188 408523407 /nfs/dbraw/zinc/52/34/07/408523407.db2.gz VSPIIAWGSYIANO-RHSMWYFYSA-N 1 2 313.401 1.942 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(CSCC)on2)CC1 ZINC000274590010 408461943 /nfs/dbraw/zinc/46/19/43/408461943.db2.gz LFBKVMVEXPJYED-UHFFFAOYSA-N 1 2 307.419 1.709 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000274823886 408545923 /nfs/dbraw/zinc/54/59/23/408545923.db2.gz QOZFMSAZVMOIPH-FZMZJTMJSA-N 1 2 300.337 1.970 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1cc(Cl)ccc1-n1cncn1 ZINC000192220334 408558018 /nfs/dbraw/zinc/55/80/18/408558018.db2.gz FYIQPGQNNXUFHC-UHFFFAOYSA-N 1 2 303.753 1.424 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1cc(Cl)ccc1-n1cncn1 ZINC000192220334 408558020 /nfs/dbraw/zinc/55/80/20/408558020.db2.gz FYIQPGQNNXUFHC-UHFFFAOYSA-N 1 2 303.753 1.424 20 30 DDEDLO CC[C@](C)([NH2+]CCC(=O)Nc1ccc(C#N)cc1)C(=O)OC ZINC000265457910 408659824 /nfs/dbraw/zinc/65/98/24/408659824.db2.gz WEWCNVKSXOONSU-INIZCTEOSA-N 1 2 303.362 1.818 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)Nc2cc(C#N)ccc2NC2CC2)C1 ZINC000285836377 408873962 /nfs/dbraw/zinc/87/39/62/408873962.db2.gz GDYOIVZRWDBAIF-HNNXBMFYSA-N 1 2 300.362 1.402 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)Nc2cc(C#N)ccc2NC2CC2)C1 ZINC000285836377 408873966 /nfs/dbraw/zinc/87/39/66/408873966.db2.gz GDYOIVZRWDBAIF-HNNXBMFYSA-N 1 2 300.362 1.402 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccc(F)cn1 ZINC000276139703 408822650 /nfs/dbraw/zinc/82/26/50/408822650.db2.gz APCQFBKUZBIXNG-GFCCVEGCSA-N 1 2 312.352 1.593 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccc(F)cn1 ZINC000276139703 408822651 /nfs/dbraw/zinc/82/26/51/408822651.db2.gz APCQFBKUZBIXNG-GFCCVEGCSA-N 1 2 312.352 1.593 20 30 DDEDLO CC1(C)C[C@@]1(C#N)NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000291377331 408865923 /nfs/dbraw/zinc/86/59/23/408865923.db2.gz DUQHQJJUWMAFOD-QAPCUYQASA-N 1 2 313.401 1.696 20 30 DDEDLO CC1(C)C[C@@]1(C#N)NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000291377331 408865925 /nfs/dbraw/zinc/86/59/25/408865925.db2.gz DUQHQJJUWMAFOD-QAPCUYQASA-N 1 2 313.401 1.696 20 30 DDEDLO C#CC[N@@H+](CC(=O)N(CCC#N)CCC#N)Cc1ccccc1 ZINC000285810620 408868841 /nfs/dbraw/zinc/86/88/41/408868841.db2.gz UYNZYRUQCCRQSA-UHFFFAOYSA-N 1 2 308.385 1.778 20 30 DDEDLO C#CC[N@H+](CC(=O)N(CCC#N)CCC#N)Cc1ccccc1 ZINC000285810620 408868842 /nfs/dbraw/zinc/86/88/42/408868842.db2.gz UYNZYRUQCCRQSA-UHFFFAOYSA-N 1 2 308.385 1.778 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)c3ccsc3)CC2)cc1C#N ZINC000276782005 408896025 /nfs/dbraw/zinc/89/60/25/408896025.db2.gz QVGZNDLIKNGCBD-UHFFFAOYSA-N 1 2 314.414 1.916 20 30 DDEDLO CC(C)[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@@H]1CCOC1 ZINC000291644475 408900085 /nfs/dbraw/zinc/90/00/85/408900085.db2.gz DZHBDRAHCDVEGO-OAHLLOKOSA-N 1 2 322.430 1.831 20 30 DDEDLO CC(C)[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@@H]1CCOC1 ZINC000291644475 408900088 /nfs/dbraw/zinc/90/00/88/408900088.db2.gz DZHBDRAHCDVEGO-OAHLLOKOSA-N 1 2 322.430 1.831 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCSC[C@@H]2c2cnn(C)c2)C1=O ZINC000281500615 408889182 /nfs/dbraw/zinc/88/91/82/408889182.db2.gz YAZGCMINNCUVGM-UONOGXRCSA-N 1 2 306.435 1.297 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCSC[C@@H]2c2cnn(C)c2)C1=O ZINC000281500615 408889184 /nfs/dbraw/zinc/88/91/84/408889184.db2.gz YAZGCMINNCUVGM-UONOGXRCSA-N 1 2 306.435 1.297 20 30 DDEDLO C[C@H]1C[N@H+](CC(C)(C)O)CCN1C(=O)c1ccc(C#N)c(O)c1 ZINC000188839193 163073410 /nfs/dbraw/zinc/07/34/10/163073410.db2.gz ZGARVXPBDKYHHP-LBPRGKRZSA-N 1 2 317.389 1.181 20 30 DDEDLO C[C@H]1C[N@@H+](CC(C)(C)O)CCN1C(=O)c1ccc(C#N)c(O)c1 ZINC000188839193 163073413 /nfs/dbraw/zinc/07/34/13/163073413.db2.gz ZGARVXPBDKYHHP-LBPRGKRZSA-N 1 2 317.389 1.181 20 30 DDEDLO Cn1ccc(C[NH2+][C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)n1 ZINC000277379510 408929545 /nfs/dbraw/zinc/92/95/45/408929545.db2.gz IHYUHHMWZZISFB-INIZCTEOSA-N 1 2 309.373 1.182 20 30 DDEDLO CC[N@H+](CC(=O)Nc1sccc1C#N)Cc1cnn(C)c1 ZINC000195518552 163327836 /nfs/dbraw/zinc/32/78/36/163327836.db2.gz PWBQIDKZFBRBCV-UHFFFAOYSA-N 1 2 303.391 1.814 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1sccc1C#N)Cc1cnn(C)c1 ZINC000195518552 163327839 /nfs/dbraw/zinc/32/78/39/163327839.db2.gz PWBQIDKZFBRBCV-UHFFFAOYSA-N 1 2 303.391 1.814 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3cccc(F)c3F)CC2)C1=O ZINC000281976917 408964973 /nfs/dbraw/zinc/96/49/73/408964973.db2.gz LRBFOCFAGIFPQR-HNNXBMFYSA-N 1 2 321.371 1.874 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2cccc(C)c2C)C1=O ZINC000281985418 408966380 /nfs/dbraw/zinc/96/63/80/408966380.db2.gz MKEFDWNTJCPHAH-INIZCTEOSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2cccc(C)c2C)C1=O ZINC000281985418 408966384 /nfs/dbraw/zinc/96/63/84/408966384.db2.gz MKEFDWNTJCPHAH-INIZCTEOSA-N 1 2 315.417 1.961 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1ccc(C(F)(F)F)cn1 ZINC000277602921 408975584 /nfs/dbraw/zinc/97/55/84/408975584.db2.gz MRBPGCVLTOWHLC-UHFFFAOYSA-N 1 2 318.299 1.221 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1Cc2ccccc2O[C@@H](C)C1 ZINC000292120467 408984464 /nfs/dbraw/zinc/98/44/64/408984464.db2.gz AJSWLRYOQQTNJA-STQMWFEESA-N 1 2 317.389 1.670 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1Cc2ccccc2O[C@@H](C)C1 ZINC000292120467 408984466 /nfs/dbraw/zinc/98/44/66/408984466.db2.gz AJSWLRYOQQTNJA-STQMWFEESA-N 1 2 317.389 1.670 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000292485224 409031159 /nfs/dbraw/zinc/03/11/59/409031159.db2.gz QGXKVEMQLRTRHO-GDBMZVCRSA-N 1 2 301.390 1.208 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000292485224 409031161 /nfs/dbraw/zinc/03/11/61/409031161.db2.gz QGXKVEMQLRTRHO-GDBMZVCRSA-N 1 2 301.390 1.208 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](CC(=O)OCC)Cc1ccccc1 ZINC000293374600 409099738 /nfs/dbraw/zinc/09/97/38/409099738.db2.gz FXKGTWADVDUWLX-UHFFFAOYSA-N 1 2 302.374 1.191 20 30 DDEDLO C#CCNC(=O)CC[N@H+](CC(=O)OCC)Cc1ccccc1 ZINC000293374600 409099739 /nfs/dbraw/zinc/09/97/39/409099739.db2.gz FXKGTWADVDUWLX-UHFFFAOYSA-N 1 2 302.374 1.191 20 30 DDEDLO COCCn1nnc2c1CC[N@@H+]([C@@H](C)c1ccc(C#N)cc1)C2 ZINC000293472273 409120464 /nfs/dbraw/zinc/12/04/64/409120464.db2.gz FCEVUFDNKROXDV-ZDUSSCGKSA-N 1 2 311.389 1.915 20 30 DDEDLO COCCn1nnc2c1CC[N@H+]([C@@H](C)c1ccc(C#N)cc1)C2 ZINC000293472273 409120465 /nfs/dbraw/zinc/12/04/65/409120465.db2.gz FCEVUFDNKROXDV-ZDUSSCGKSA-N 1 2 311.389 1.915 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3cc(C#N)ccn3)CC2)cc[nH+]1 ZINC000283568077 409177416 /nfs/dbraw/zinc/17/74/16/409177416.db2.gz WFDGYNBEHCGGLQ-UHFFFAOYSA-N 1 2 308.345 1.014 20 30 DDEDLO N#Cc1cc(-c2nc([C@H]3C[N@@H+]4CCCC[C@@H]4CO3)no2)ccn1 ZINC000288968491 409201863 /nfs/dbraw/zinc/20/18/63/409201863.db2.gz BCCRIBJTSQHHQE-ZIAGYGMSSA-N 1 2 311.345 1.929 20 30 DDEDLO N#Cc1cc(-c2nc([C@H]3C[N@H+]4CCCC[C@@H]4CO3)no2)ccn1 ZINC000288968491 409201865 /nfs/dbraw/zinc/20/18/65/409201865.db2.gz BCCRIBJTSQHHQE-ZIAGYGMSSA-N 1 2 311.345 1.929 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](c3nc(=O)[nH][nH]3)C2)c(F)c1 ZINC000289470178 409278854 /nfs/dbraw/zinc/27/88/54/409278854.db2.gz QWNPUYWPYDIKNO-GFCCVEGCSA-N 1 2 301.325 1.488 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](c3nc(=O)[nH][nH]3)C2)c(F)c1 ZINC000289470178 409278856 /nfs/dbraw/zinc/27/88/56/409278856.db2.gz QWNPUYWPYDIKNO-GFCCVEGCSA-N 1 2 301.325 1.488 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(c2[nH+]cnc3c2cnn3C)CC1 ZINC000294328507 409280731 /nfs/dbraw/zinc/28/07/31/409280731.db2.gz HFWHYWQEKLRRSK-UHFFFAOYSA-N 1 2 314.393 1.224 20 30 DDEDLO C=C[C@H](CO)NC(=O)Nc1cccc([C@H](C)[NH+]2CCOCC2)c1 ZINC000290414775 409392844 /nfs/dbraw/zinc/39/28/44/409392844.db2.gz NBTVKUISHMJVKU-DZGCQCFKSA-N 1 2 319.405 1.748 20 30 DDEDLO COc1ccc(NC(=O)CO[NH+]=C(N)[C@@H]2CCCO2)cc1OC ZINC000284387708 409338890 /nfs/dbraw/zinc/33/88/90/409338890.db2.gz MIBJARVQVFHXDH-LBPRGKRZSA-N 1 2 323.349 1.110 20 30 DDEDLO NC(=[NH+]OCc1nc(Cc2cccc(F)c2)no1)[C@@H]1CCCO1 ZINC000284605890 409355411 /nfs/dbraw/zinc/35/54/11/409355411.db2.gz YRQDOBVPBHATGP-LBPRGKRZSA-N 1 2 320.324 1.767 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2cc(C#N)ccc2C)CC1 ZINC000295305829 409425597 /nfs/dbraw/zinc/42/55/97/409425597.db2.gz KPXAREUAPAPJQW-AWEZNQCLSA-N 1 2 321.446 1.972 20 30 DDEDLO Cc1oc(NC(=O)C[NH+]2CCC(OCCO)CC2)c(C#N)c1C ZINC000322049598 164046262 /nfs/dbraw/zinc/04/62/62/164046262.db2.gz ACZWBSHHAXYMRV-UHFFFAOYSA-N 1 2 321.377 1.180 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CC[C@@H](C)[C@@H](O)C2)c(C#N)c1C ZINC000408088734 164224150 /nfs/dbraw/zinc/22/41/50/164224150.db2.gz HCRMIOIMJDOCDK-PUYPPJJSSA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CC[C@@H](C)[C@@H](O)C2)c(C#N)c1C ZINC000408088734 164224152 /nfs/dbraw/zinc/22/41/52/164224152.db2.gz HCRMIOIMJDOCDK-PUYPPJJSSA-N 1 2 305.378 1.798 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)s2)C[C@H]1C ZINC000408408148 164321763 /nfs/dbraw/zinc/32/17/63/164321763.db2.gz YQGDHUTUNIMXQD-GHMZBOCLSA-N 1 2 313.448 1.723 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)s2)C[C@H]1C ZINC000408408148 164321766 /nfs/dbraw/zinc/32/17/66/164321766.db2.gz YQGDHUTUNIMXQD-GHMZBOCLSA-N 1 2 313.448 1.723 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCC(n3cc[nH+]c3)CC2)CCC1 ZINC000354104308 409659729 /nfs/dbraw/zinc/65/97/29/409659729.db2.gz NECSETNEYNSOJM-UHFFFAOYSA-N 1 2 308.407 1.544 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1CCn2c(CO)nnc2C1 ZINC000354107542 409662409 /nfs/dbraw/zinc/66/24/09/409662409.db2.gz QXOJSSXXGGRGEA-UHFFFAOYSA-N 1 2 300.362 1.351 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1CCn2c(CO)nnc2C1 ZINC000354107542 409662416 /nfs/dbraw/zinc/66/24/16/409662416.db2.gz QXOJSSXXGGRGEA-UHFFFAOYSA-N 1 2 300.362 1.351 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000338131588 409740405 /nfs/dbraw/zinc/74/04/05/409740405.db2.gz WYOITLOBUOVXKB-INIZCTEOSA-N 1 2 313.401 1.804 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)NCCCCC#N ZINC000354270737 409743978 /nfs/dbraw/zinc/74/39/78/409743978.db2.gz ZYTJOFMQYVYDBT-CQSZACIVSA-N 1 2 319.409 1.293 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)NCCCCC#N ZINC000354270737 409743987 /nfs/dbraw/zinc/74/39/87/409743987.db2.gz ZYTJOFMQYVYDBT-CQSZACIVSA-N 1 2 319.409 1.293 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1ccc(C#N)c(F)c1 ZINC000354351315 409785971 /nfs/dbraw/zinc/78/59/71/409785971.db2.gz CBGWFTVVOHFGIT-JTQLQIEISA-N 1 2 315.308 1.037 20 30 DDEDLO CCC[N@H+](Cc1ccc(F)cc1C#N)[C@H]1CC(=O)N(C)C1=O ZINC000338329986 409914392 /nfs/dbraw/zinc/91/43/92/409914392.db2.gz RYHMPRFLUUBAGO-AWEZNQCLSA-N 1 2 303.337 1.667 20 30 DDEDLO CCC[N@@H+](Cc1ccc(F)cc1C#N)[C@H]1CC(=O)N(C)C1=O ZINC000338329986 409914399 /nfs/dbraw/zinc/91/43/99/409914399.db2.gz RYHMPRFLUUBAGO-AWEZNQCLSA-N 1 2 303.337 1.667 20 30 DDEDLO CC(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC000328764856 409973598 /nfs/dbraw/zinc/97/35/98/409973598.db2.gz GOBJAALCLIZAFZ-CHWSQXEVSA-N 1 2 321.425 1.223 20 30 DDEDLO CC(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC000328764856 409973603 /nfs/dbraw/zinc/97/36/03/409973603.db2.gz GOBJAALCLIZAFZ-CHWSQXEVSA-N 1 2 321.425 1.223 20 30 DDEDLO CC(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC000328764856 409973609 /nfs/dbraw/zinc/97/36/09/409973609.db2.gz GOBJAALCLIZAFZ-CHWSQXEVSA-N 1 2 321.425 1.223 20 30 DDEDLO CC(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC000328764856 409973618 /nfs/dbraw/zinc/97/36/18/409973618.db2.gz GOBJAALCLIZAFZ-CHWSQXEVSA-N 1 2 321.425 1.223 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)NCC1(O)CCCC1)CCC2 ZINC000328657224 409950783 /nfs/dbraw/zinc/95/07/83/409950783.db2.gz OPDXXMQSRDGGES-CYBMUJFWSA-N 1 2 306.410 1.878 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)N[C@@H]1CCN(C)C1=O ZINC000329028339 410061192 /nfs/dbraw/zinc/06/11/92/410061192.db2.gz OWJNRXJGVLAGMN-JHJVBQTASA-N 1 2 302.378 1.341 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)N[C@@H]1CCSC1)C1CCOCC1 ZINC000329092009 410097890 /nfs/dbraw/zinc/09/78/90/410097890.db2.gz WZWKWIRDLXGXJV-CHWSQXEVSA-N 1 2 324.450 1.897 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3cccc4c3CCO4)C[C@H]21 ZINC000329119703 410113735 /nfs/dbraw/zinc/11/37/35/410113735.db2.gz JVHGSXFKBZGSOJ-ZBFHGGJFSA-N 1 2 317.389 1.572 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3cccc4c3CCO4)C[C@H]21 ZINC000329119703 410113741 /nfs/dbraw/zinc/11/37/41/410113741.db2.gz JVHGSXFKBZGSOJ-ZBFHGGJFSA-N 1 2 317.389 1.572 20 30 DDEDLO C[C@H](NC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C)[C@H]1CCOC1 ZINC000329282524 410210312 /nfs/dbraw/zinc/21/03/12/410210312.db2.gz NPKIQNVUWFYKMN-ZQDZILKHSA-N 1 2 311.426 1.120 20 30 DDEDLO O=C(CCc1[nH]cc[nH+]1)N[C@@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000329416154 410284355 /nfs/dbraw/zinc/28/43/55/410284355.db2.gz ZBNFDRQBQDQPHB-CYBMUJFWSA-N 1 2 319.409 1.979 20 30 DDEDLO C[C@H](NC(=O)COc1ccccc1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000355151215 410343062 /nfs/dbraw/zinc/34/30/62/410343062.db2.gz SLQBAFMGUGPEMI-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C#CC[N@H+](Cc1ccccc1)[C@@H](C)C(=O)NC(=O)NC(C)C ZINC000298568691 410292389 /nfs/dbraw/zinc/29/23/89/410292389.db2.gz QEGDZIAUOIWGHX-AWEZNQCLSA-N 1 2 301.390 1.745 20 30 DDEDLO C#CC[N@@H+](Cc1ccccc1)[C@@H](C)C(=O)NC(=O)NC(C)C ZINC000298568691 410292396 /nfs/dbraw/zinc/29/23/96/410292396.db2.gz QEGDZIAUOIWGHX-AWEZNQCLSA-N 1 2 301.390 1.745 20 30 DDEDLO CNC(=O)C[NH+]1CCC(NS(=O)(=O)CCC2CCC2)CC1 ZINC000329557610 410359356 /nfs/dbraw/zinc/35/93/56/410359356.db2.gz FDQZLEBAYOTTGL-UHFFFAOYSA-N 1 2 317.455 1.147 20 30 DDEDLO Cc1onc(CC(=O)Nc2ccc(Cn3cc[nH+]c3)cn2)c1C#N ZINC000343338878 410370706 /nfs/dbraw/zinc/37/07/06/410370706.db2.gz CFJGJWOIWKNYFB-UHFFFAOYSA-N 1 2 322.328 1.676 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCCN(C(=O)[C@@H](C)C#N)CC2)no1 ZINC000358224379 410411126 /nfs/dbraw/zinc/41/11/26/410411126.db2.gz PLDJNAMXYKHWDY-AAEUAGOBSA-N 1 2 305.382 1.351 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCCN(C(=O)[C@@H](C)C#N)CC2)no1 ZINC000358224379 410411132 /nfs/dbraw/zinc/41/11/32/410411132.db2.gz PLDJNAMXYKHWDY-AAEUAGOBSA-N 1 2 305.382 1.351 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@H]21 ZINC000329933457 410490007 /nfs/dbraw/zinc/49/00/07/410490007.db2.gz QBSOMOLUJSLKMK-ZIAGYGMSSA-N 1 2 304.325 1.244 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@H]21 ZINC000329933457 410490011 /nfs/dbraw/zinc/49/00/11/410490011.db2.gz QBSOMOLUJSLKMK-ZIAGYGMSSA-N 1 2 304.325 1.244 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@]2(CCOC2)O1 ZINC000330067243 410533159 /nfs/dbraw/zinc/53/31/59/410533159.db2.gz FKYHROBETRDWBJ-WQVCFCJDSA-N 1 2 307.394 1.515 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@]2(CCOC2)O1 ZINC000330067243 410533164 /nfs/dbraw/zinc/53/31/64/410533164.db2.gz FKYHROBETRDWBJ-WQVCFCJDSA-N 1 2 307.394 1.515 20 30 DDEDLO COCc1ccccc1CNC(=O)C[NH+]1CCC(F)(C#N)CC1 ZINC000352449774 410660406 /nfs/dbraw/zinc/66/04/06/410660406.db2.gz OHZIPQXLCCRZBA-UHFFFAOYSA-N 1 2 319.380 1.777 20 30 DDEDLO CC[C@H](C(=O)OC)N1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC000352662592 410670656 /nfs/dbraw/zinc/67/06/56/410670656.db2.gz ZSTXFMGHZHFIDT-MRXNPFEDSA-N 1 2 301.390 1.627 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)N1CC[NH2+][C@@H](c2ccncc2)C1 ZINC000355839762 410694711 /nfs/dbraw/zinc/69/47/11/410694711.db2.gz UBNASIWPNRQCJG-QGZVFWFLSA-N 1 2 324.359 1.808 20 30 DDEDLO CCCNC(=O)[C@H](C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000330416371 410679037 /nfs/dbraw/zinc/67/90/37/410679037.db2.gz OAMVJGVDSDZLMI-AWEZNQCLSA-N 1 2 300.406 1.595 20 30 DDEDLO C[C@@H](C(=O)N(C)Cc1cccc(C#N)c1)[NH+]1CCN(C)CC1 ZINC000330429454 410683212 /nfs/dbraw/zinc/68/32/12/410683212.db2.gz YKAFVBSSFGSRMA-AWEZNQCLSA-N 1 2 300.406 1.153 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCOC[C@@H]1[C@@H]1CCCC[C@H]1O ZINC000330571203 410784539 /nfs/dbraw/zinc/78/45/39/410784539.db2.gz MSMYOMJRORMJKT-ARFHVFGLSA-N 1 2 323.437 1.000 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCOC[C@@H]1[C@@H]1CCCC[C@H]1O ZINC000330571203 410784544 /nfs/dbraw/zinc/78/45/44/410784544.db2.gz MSMYOMJRORMJKT-ARFHVFGLSA-N 1 2 323.437 1.000 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2ccc(C)c(C#N)n2)CC1 ZINC000302715627 410850573 /nfs/dbraw/zinc/85/05/73/410850573.db2.gz MYYZOPUUJZVMCO-UHFFFAOYSA-N 1 2 315.421 1.252 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH2+][C@H](c3cccnc3)C2)cc1O ZINC000337581011 410875885 /nfs/dbraw/zinc/87/58/85/410875885.db2.gz IUWYVAUBULYUQC-HNNXBMFYSA-N 1 2 308.341 1.446 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](C[C@@H](O)Cn3cccn3)CCO2)c1 ZINC000341813543 411060574 /nfs/dbraw/zinc/06/05/74/411060574.db2.gz KKTWKRFMSXCOJE-IAGOWNOFSA-N 1 2 312.373 1.189 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](C[C@@H](O)Cn3cccn3)CCO2)c1 ZINC000341813543 411060579 /nfs/dbraw/zinc/06/05/79/411060579.db2.gz KKTWKRFMSXCOJE-IAGOWNOFSA-N 1 2 312.373 1.189 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]CC(=O)NC2(C#N)CCCCC2)s1 ZINC000353569062 411066866 /nfs/dbraw/zinc/06/68/66/411066866.db2.gz KCSWNMXGDHLTIA-JTQLQIEISA-N 1 2 307.423 1.840 20 30 DDEDLO C=C(C)COCCNC(=O)N1CCN(c2c[nH+]ccc2C)CC1 ZINC000341368334 411024907 /nfs/dbraw/zinc/02/49/07/411024907.db2.gz QWBFBRHSZRDFJS-UHFFFAOYSA-N 1 2 318.421 1.814 20 30 DDEDLO C=CCOc1ccccc1CNC(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000636767667 422956364 /nfs/dbraw/zinc/95/63/64/422956364.db2.gz SQKIVGXJLWNFGM-CQSZACIVSA-N 1 2 312.373 1.872 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N(C)CCCn1cc[nH+]c1 ZINC000134536966 196399652 /nfs/dbraw/zinc/39/96/52/196399652.db2.gz XXKCCGZKDZJTCR-UHFFFAOYSA-N 1 2 318.402 1.774 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C)C[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419182607 226064215 /nfs/dbraw/zinc/06/42/15/226064215.db2.gz CNOAEGWNALVXJC-JSGCOSHPSA-N 1 2 317.389 1.402 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C)C[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419182607 226064220 /nfs/dbraw/zinc/06/42/20/226064220.db2.gz CNOAEGWNALVXJC-JSGCOSHPSA-N 1 2 317.389 1.402 20 30 DDEDLO N#C[C@@H]1N(C(=O)CCn2cc[nH+]c2)CCC[C@@]12CCCCO2 ZINC000373370667 418423811 /nfs/dbraw/zinc/42/38/11/418423811.db2.gz VFXSYGQJHFTBBL-HOCLYGCPSA-N 1 2 302.378 1.727 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000373797207 418461199 /nfs/dbraw/zinc/46/11/99/418461199.db2.gz NANXUKGNDKYVMZ-CXAGYDPISA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000373797207 418461201 /nfs/dbraw/zinc/46/12/01/418461201.db2.gz NANXUKGNDKYVMZ-CXAGYDPISA-N 1 2 302.374 1.947 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2nccnc2C)CC1 ZINC000374125733 418491827 /nfs/dbraw/zinc/49/18/27/418491827.db2.gz KGDRGGBCSNNVRH-UHFFFAOYSA-N 1 2 317.437 1.332 20 30 DDEDLO COc1ccccc1[C@@H]1CN(C(=O)c2cc(C#N)cn2C)CC[NH2+]1 ZINC000367092584 418557882 /nfs/dbraw/zinc/55/78/82/418557882.db2.gz OVTZAZGRXGYXEC-HNNXBMFYSA-N 1 2 324.384 1.692 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000191109877 222097580 /nfs/dbraw/zinc/09/75/80/222097580.db2.gz NFWYNEXSVVXJCA-UHFFFAOYSA-N 1 2 319.405 1.461 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000191109877 222097583 /nfs/dbraw/zinc/09/75/83/222097583.db2.gz NFWYNEXSVVXJCA-UHFFFAOYSA-N 1 2 319.405 1.461 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]([C@@H](C)C(=O)OC)C1CCC1 ZINC000192383842 222125035 /nfs/dbraw/zinc/12/50/35/222125035.db2.gz RLWBGHIYBIKCHB-STQMWFEESA-N 1 2 309.410 1.411 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]([C@@H](C)C(=O)OC)C1CCC1 ZINC000192383842 222125039 /nfs/dbraw/zinc/12/50/39/222125039.db2.gz RLWBGHIYBIKCHB-STQMWFEESA-N 1 2 309.410 1.411 20 30 DDEDLO C=CCOC[C@H](NCc1c[nH+]c2ccc(C#N)cn12)C(=O)OC ZINC000361112877 418586574 /nfs/dbraw/zinc/58/65/74/418586574.db2.gz JYTOVFLAZDQBBA-AWEZNQCLSA-N 1 2 314.345 1.040 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000245728613 222218449 /nfs/dbraw/zinc/21/84/49/222218449.db2.gz YDOOOHLZYONFBH-DOTOQJQBSA-N 1 2 322.355 1.866 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000245728613 222218453 /nfs/dbraw/zinc/21/84/53/222218453.db2.gz YDOOOHLZYONFBH-DOTOQJQBSA-N 1 2 322.355 1.866 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@H](OC)C[C@@H]21 ZINC000246092200 222219565 /nfs/dbraw/zinc/21/95/65/222219565.db2.gz CMTQOSGGYYTMLP-SOUVJXGZSA-N 1 2 309.410 1.017 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCO[C@@H]2CC[C@H](OC)C[C@@H]21 ZINC000246092200 222219566 /nfs/dbraw/zinc/21/95/66/222219566.db2.gz CMTQOSGGYYTMLP-SOUVJXGZSA-N 1 2 309.410 1.017 20 30 DDEDLO C[C@@H]1NC(=O)CC[C@@H]1NC(=O)NC[C@H]1CCCn2cc[nH+]c21 ZINC000328599554 418606151 /nfs/dbraw/zinc/60/61/51/418606151.db2.gz CDRWCSLLNYNYIN-TUAOUCFPSA-N 1 2 305.382 1.772 20 30 DDEDLO CC#CCCNC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000361290350 418620345 /nfs/dbraw/zinc/62/03/45/418620345.db2.gz XDQFFUGUBCBPFE-AWEZNQCLSA-N 1 2 316.405 1.519 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@@H]2CCc3ccc(F)cc32)CC1 ZINC000361316155 418624815 /nfs/dbraw/zinc/62/48/15/418624815.db2.gz OZDGPPRXPKPMRU-QGZVFWFLSA-N 1 2 317.408 1.733 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC3(C2)Oc2ccccc2O3)CCC1 ZINC000377526451 418710245 /nfs/dbraw/zinc/71/02/45/418710245.db2.gz TUSJQBYURHHSHR-UHFFFAOYSA-N 1 2 313.357 1.422 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC3(C2)Oc2ccccc2O3)CCC1 ZINC000377526451 418710248 /nfs/dbraw/zinc/71/02/48/418710248.db2.gz TUSJQBYURHHSHR-UHFFFAOYSA-N 1 2 313.357 1.422 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCC2(C1)Oc1ccccc1O2 ZINC000377600240 418712329 /nfs/dbraw/zinc/71/23/29/418712329.db2.gz WUYRTKDOTAWJMP-ZDUSSCGKSA-N 1 2 315.373 1.620 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCC2(C1)Oc1ccccc1O2 ZINC000377600240 418712331 /nfs/dbraw/zinc/71/23/31/418712331.db2.gz WUYRTKDOTAWJMP-ZDUSSCGKSA-N 1 2 315.373 1.620 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C(N)=O)[C@H](C(F)(F)F)C1 ZINC000375502329 418651719 /nfs/dbraw/zinc/65/17/19/418651719.db2.gz KRIRFRUAJNDNEM-RNFRBKRXSA-N 1 2 301.106 1.491 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C(N)=O)[C@H](C(F)(F)F)C1 ZINC000375502329 418651722 /nfs/dbraw/zinc/65/17/22/418651722.db2.gz KRIRFRUAJNDNEM-RNFRBKRXSA-N 1 2 301.106 1.491 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H](c2noc(C)n2)C1 ZINC000375761449 418682522 /nfs/dbraw/zinc/68/25/22/418682522.db2.gz RTFBOOVBKGULFT-OCCSQVGLSA-N 1 2 319.409 1.566 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@H](c2noc(C)n2)C1 ZINC000375761449 418682524 /nfs/dbraw/zinc/68/25/24/418682524.db2.gz RTFBOOVBKGULFT-OCCSQVGLSA-N 1 2 319.409 1.566 20 30 DDEDLO CC1(C#N)CCN(C(=O)[C@H]2COCC[N@@H+]2CC2CCC2)CC1 ZINC000376978385 418703179 /nfs/dbraw/zinc/70/31/79/418703179.db2.gz JNBOCGWSTXNYMO-OAHLLOKOSA-N 1 2 305.422 1.640 20 30 DDEDLO CC1(C#N)CCN(C(=O)[C@H]2COCC[N@H+]2CC2CCC2)CC1 ZINC000376978385 418703182 /nfs/dbraw/zinc/70/31/82/418703182.db2.gz JNBOCGWSTXNYMO-OAHLLOKOSA-N 1 2 305.422 1.640 20 30 DDEDLO N#C[C@@H]1CN(CCn2cc[nH+]c2)C[C@]12C(=O)Nc1ccccc12 ZINC000362397908 418754051 /nfs/dbraw/zinc/75/40/51/418754051.db2.gz VFOPAVOAMKEIDN-DYVFJYSZSA-N 1 2 307.357 1.229 20 30 DDEDLO N#C[C@@H]1C[N@@H+](CCn2ccnc2)C[C@]12C(=O)Nc1ccccc12 ZINC000362397908 418754054 /nfs/dbraw/zinc/75/40/54/418754054.db2.gz VFOPAVOAMKEIDN-DYVFJYSZSA-N 1 2 307.357 1.229 20 30 DDEDLO N#C[C@@H]1C[N@H+](CCn2ccnc2)C[C@]12C(=O)Nc1ccccc12 ZINC000362397908 418754056 /nfs/dbraw/zinc/75/40/56/418754056.db2.gz VFOPAVOAMKEIDN-DYVFJYSZSA-N 1 2 307.357 1.229 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](C)[C@@H](C)C(=O)NC(C)(C)C ZINC000362577853 418756275 /nfs/dbraw/zinc/75/62/75/418756275.db2.gz BWXWNLHCJUFBTJ-OLZOCXBDSA-N 1 2 310.442 1.230 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)[C@@H](C)C(=O)NC(C)(C)C ZINC000362577853 418756277 /nfs/dbraw/zinc/75/62/77/418756277.db2.gz BWXWNLHCJUFBTJ-OLZOCXBDSA-N 1 2 310.442 1.230 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(OC)cc1 ZINC000364319986 418775832 /nfs/dbraw/zinc/77/58/32/418775832.db2.gz UZXSEVLAXAHFCU-SWLSCSKDSA-N 1 2 312.373 1.839 20 30 DDEDLO N#C[C@@H]1CN(C(=O)Nc2ccn3cc[nH+]c3c2)CCN1C1CC1 ZINC000368689348 418724507 /nfs/dbraw/zinc/72/45/07/418724507.db2.gz TUKLAUONWDWSRQ-CQSZACIVSA-N 1 2 310.361 1.538 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@H]1CCC[C@@H](F)C1 ZINC000424627906 228297223 /nfs/dbraw/zinc/29/72/23/228297223.db2.gz LUSOATMYCLWQFM-LSDHHAIUSA-N 1 2 311.401 1.589 20 30 DDEDLO C[C@@H]1C[C@H](O)C[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000412030466 419576059 /nfs/dbraw/zinc/57/60/59/419576059.db2.gz FMLRTTCUQOAHAV-MFKMUULPSA-N 1 2 307.781 1.853 20 30 DDEDLO C[C@@H]1C[C@H](O)C[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000412030466 419576062 /nfs/dbraw/zinc/57/60/62/419576062.db2.gz FMLRTTCUQOAHAV-MFKMUULPSA-N 1 2 307.781 1.853 20 30 DDEDLO COc1cc(CNc2cc(NC[C@H](C)O)[nH+]cn2)ccc1C#N ZINC000413309603 419779049 /nfs/dbraw/zinc/77/90/49/419779049.db2.gz MABZIUPBSSGYLB-NSHDSACASA-N 1 2 313.361 1.762 20 30 DDEDLO COc1cc(CNc2cc(NC[C@H](C)O)nc[nH+]2)ccc1C#N ZINC000413309603 419779057 /nfs/dbraw/zinc/77/90/57/419779057.db2.gz MABZIUPBSSGYLB-NSHDSACASA-N 1 2 313.361 1.762 20 30 DDEDLO N#Cc1ccc2ncc(C[NH+]3CCC(O)(C(F)F)CC3)n2c1 ZINC000428468284 419872715 /nfs/dbraw/zinc/87/27/15/419872715.db2.gz DLKVSLFKWZFKMB-UHFFFAOYSA-N 1 2 306.316 1.798 20 30 DDEDLO C=CCNC(=O)C[N@H+](CCc1ccccn1)Cc1cccnc1 ZINC000430316249 420107852 /nfs/dbraw/zinc/10/78/52/420107852.db2.gz PESCLJXLSSWFOZ-UHFFFAOYSA-N 1 2 310.401 1.824 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CCc1ccccn1)Cc1cccnc1 ZINC000430316249 420107855 /nfs/dbraw/zinc/10/78/55/420107855.db2.gz PESCLJXLSSWFOZ-UHFFFAOYSA-N 1 2 310.401 1.824 20 30 DDEDLO C=C(CC)CNC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000456813791 420549823 /nfs/dbraw/zinc/54/98/23/420549823.db2.gz RXHQHQJQIBBWMC-CQSZACIVSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(CC)CNC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000456813791 420549828 /nfs/dbraw/zinc/54/98/28/420549828.db2.gz RXHQHQJQIBBWMC-CQSZACIVSA-N 1 2 306.410 1.566 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N2CCCC[C@@H]2CC)nn1 ZINC000640757562 423167352 /nfs/dbraw/zinc/16/73/52/423167352.db2.gz ZDUDHRPTTHCWAF-HNNXBMFYSA-N 1 2 303.410 1.182 20 30 DDEDLO CC[N@H+](CCC#N)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000492767335 420656907 /nfs/dbraw/zinc/65/69/07/420656907.db2.gz KEPMBVCHIABPIZ-UHFFFAOYSA-N 1 2 310.442 1.775 20 30 DDEDLO CC[N@@H+](CCC#N)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000492767335 420656911 /nfs/dbraw/zinc/65/69/11/420656911.db2.gz KEPMBVCHIABPIZ-UHFFFAOYSA-N 1 2 310.442 1.775 20 30 DDEDLO CCN(CCC#N)CC[NH+]1CCN(C(=O)OC(C)(C)C)CC1 ZINC000492767335 420656917 /nfs/dbraw/zinc/65/69/17/420656917.db2.gz KEPMBVCHIABPIZ-UHFFFAOYSA-N 1 2 310.442 1.775 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000442652797 420694726 /nfs/dbraw/zinc/69/47/26/420694726.db2.gz OMOXXACNRHDMEV-SFHVURJKSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CC[C@@H]([NH+]2CCN(CC)CC2)C1 ZINC000458982542 420775303 /nfs/dbraw/zinc/77/53/03/420775303.db2.gz BFLPSRQTXPBXKD-OAHLLOKOSA-N 1 2 308.470 1.620 20 30 DDEDLO C=C(CC)CNC(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000454545090 420880320 /nfs/dbraw/zinc/88/03/20/420880320.db2.gz VQZUQZZRIZDDBI-UHFFFAOYSA-N 1 2 322.453 1.196 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)C(=O)N1CC[NH+](CC)CC1 ZINC000459871820 420894005 /nfs/dbraw/zinc/89/40/05/420894005.db2.gz SVGICLDHYHHKBM-UHFFFAOYSA-N 1 2 321.446 1.427 20 30 DDEDLO CCn1nnc(C)c1C[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000448993076 420931274 /nfs/dbraw/zinc/93/12/74/420931274.db2.gz MAGKWBBPVYVOSG-QGZVFWFLSA-N 1 2 324.432 1.989 20 30 DDEDLO Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)[C@]2(C#N)C[C@H]2C)CC1 ZINC000449867625 421101822 /nfs/dbraw/zinc/10/18/22/421101822.db2.gz HZLDEKIFCXIKNU-PXAZEXFGSA-N 1 2 315.421 1.231 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000489627009 421167656 /nfs/dbraw/zinc/16/76/56/421167656.db2.gz WLQYAQXEPBARJA-CYBMUJFWSA-N 1 2 312.439 1.384 20 30 DDEDLO C=CCN(C(=O)/C=C\c1[nH+]ccn1CC)[C@H]1CCS(=O)(=O)C1 ZINC000492252020 421218459 /nfs/dbraw/zinc/21/84/59/421218459.db2.gz LJTFKPKWXBBRRF-SZZPACECSA-N 1 2 323.418 1.118 20 30 DDEDLO N#Cc1cccc(CNC(=O)c2ccc(-n3cc[nH+]c3)nn2)c1 ZINC000523376952 421236754 /nfs/dbraw/zinc/23/67/54/421236754.db2.gz QIPPXARMMYKMNM-UHFFFAOYSA-N 1 2 304.313 1.464 20 30 DDEDLO CC(C)[N@@H+]1CCO[C@@H](c2noc(Cc3ccc(C#N)nc3)n2)C1 ZINC000528157922 421471854 /nfs/dbraw/zinc/47/18/54/421471854.db2.gz OYBGLZVOEIONMC-CQSZACIVSA-N 1 2 313.361 1.709 20 30 DDEDLO CC(C)[N@H+]1CCO[C@@H](c2noc(Cc3ccc(C#N)nc3)n2)C1 ZINC000528157922 421471856 /nfs/dbraw/zinc/47/18/56/421471856.db2.gz OYBGLZVOEIONMC-CQSZACIVSA-N 1 2 313.361 1.709 20 30 DDEDLO Cc1ccc2ncc(C#N)c(N[C@H](C)C[NH+]3CCOCC3)c2c1 ZINC000515272082 421488244 /nfs/dbraw/zinc/48/82/44/421488244.db2.gz ZQCPOZHNDNFCTD-CQSZACIVSA-N 1 2 310.401 1.969 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)Nc2ccc(Cl)c(F)c2)C1 ZINC000528540230 421498253 /nfs/dbraw/zinc/49/82/53/421498253.db2.gz XQEQMABMGWOJKS-CQSZACIVSA-N 1 2 311.744 1.768 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)Nc2ccc(Cl)c(F)c2)C1 ZINC000528540230 421498256 /nfs/dbraw/zinc/49/82/56/421498256.db2.gz XQEQMABMGWOJKS-CQSZACIVSA-N 1 2 311.744 1.768 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000563892673 421554404 /nfs/dbraw/zinc/55/44/04/421554404.db2.gz YZEUJGBLGZQUQF-CYBMUJFWSA-N 1 2 305.422 1.760 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2cc(C#N)ccc2Cl)CCO1 ZINC000551967118 421560968 /nfs/dbraw/zinc/56/09/68/421560968.db2.gz GIQHUDYWLCSMCK-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2cc(C#N)ccc2Cl)CCO1 ZINC000551967118 421560971 /nfs/dbraw/zinc/56/09/71/421560971.db2.gz GIQHUDYWLCSMCK-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO Cc1cc(OCC(=O)N[C@@](C)(C#N)C[NH+](C)C)ccc1[N+](=O)[O-] ZINC000566309988 421604494 /nfs/dbraw/zinc/60/44/94/421604494.db2.gz ZCCJMQSUSAYGBP-HNNXBMFYSA-N 1 2 320.349 1.242 20 30 DDEDLO CC[C@H](CC#N)[NH2+][C@@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000566745949 421608161 /nfs/dbraw/zinc/60/81/61/421608161.db2.gz OTKBGLUUTQRTOX-ZYHUDNBSSA-N 1 2 321.402 1.922 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000570012116 421628951 /nfs/dbraw/zinc/62/89/51/421628951.db2.gz UBUZLSWMBLAIAW-WCQYABFASA-N 1 2 321.812 1.734 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000570012116 421628953 /nfs/dbraw/zinc/62/89/53/421628953.db2.gz UBUZLSWMBLAIAW-WCQYABFASA-N 1 2 321.812 1.734 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])[C@H](C(N)=O)C1 ZINC000580957000 421871382 /nfs/dbraw/zinc/87/13/82/421871382.db2.gz KGFDZKFCBXFIPD-HZMBPMFUSA-N 1 2 302.334 1.552 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])[C@H](C(N)=O)C1 ZINC000580957000 421871385 /nfs/dbraw/zinc/87/13/85/421871385.db2.gz KGFDZKFCBXFIPD-HZMBPMFUSA-N 1 2 302.334 1.552 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+]1CCC[C@H](N2CCOCC2)C1 ZINC000581437238 421973912 /nfs/dbraw/zinc/97/39/12/421973912.db2.gz FZVROGLOODWUPV-HNNXBMFYSA-N 1 2 303.381 1.994 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+]1CCC[C@H](N2CCOCC2)C1 ZINC000581437238 421973920 /nfs/dbraw/zinc/97/39/20/421973920.db2.gz FZVROGLOODWUPV-HNNXBMFYSA-N 1 2 303.381 1.994 20 30 DDEDLO N#Cc1cnn2cc(C[NH2+]Cc3noc4c3CCCC4)cnc12 ZINC000581608094 422011949 /nfs/dbraw/zinc/01/19/49/422011949.db2.gz KPJMBKYASWUZAM-UHFFFAOYSA-N 1 2 308.345 1.758 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]Cc1ccc(S(=O)(=O)N(C)C)s1 ZINC000583573424 422190083 /nfs/dbraw/zinc/19/00/83/422190083.db2.gz UGQZSIUSWTVQBH-JTQLQIEISA-N 1 2 301.437 1.780 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000575954036 422351356 /nfs/dbraw/zinc/35/13/56/422351356.db2.gz SDFFNRYQKYCWJJ-HIFRSBDPSA-N 1 2 317.389 1.756 20 30 DDEDLO N#Cc1cnnc(NC[C@H](c2cccs2)[NH+]2CCOCC2)c1 ZINC000596057167 422363474 /nfs/dbraw/zinc/36/34/74/422363474.db2.gz ISSAIHATZXXXIF-CYBMUJFWSA-N 1 2 315.402 1.895 20 30 DDEDLO Cc1ccc([C@@H](CNc2cc(C#N)cnn2)[NH+]2CCOCC2)cc1 ZINC000596086572 422364100 /nfs/dbraw/zinc/36/41/00/422364100.db2.gz RPCVUNBFZPTTBZ-QGZVFWFLSA-N 1 2 323.400 2.142 20 30 DDEDLO C=CC[N@H+](Cc1ccc2nccnc2c1)[C@@H]1CCS(=O)(=O)C1 ZINC000637962087 422512592 /nfs/dbraw/zinc/51/25/92/422512592.db2.gz ILFDRDWUKSJVFH-CQSZACIVSA-N 1 2 317.414 1.805 20 30 DDEDLO C=CC[N@@H+](Cc1ccc2nccnc2c1)[C@@H]1CCS(=O)(=O)C1 ZINC000637962087 422512596 /nfs/dbraw/zinc/51/25/96/422512596.db2.gz ILFDRDWUKSJVFH-CQSZACIVSA-N 1 2 317.414 1.805 20 30 DDEDLO COC[C@H]([NH2+]Cc1cnc2c(C#N)cnn2c1)c1ccc(C)o1 ZINC000578013075 422477496 /nfs/dbraw/zinc/47/74/96/422477496.db2.gz AQEUFHZFHSMMAC-AWEZNQCLSA-N 1 2 311.345 1.980 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2ccccc2C#N)CC1 ZINC000579139872 422714137 /nfs/dbraw/zinc/71/41/37/422714137.db2.gz QWAWRMXFPDEAOK-CQSZACIVSA-N 1 2 323.400 1.809 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2ccccc2C#N)CC1 ZINC000579139872 422714141 /nfs/dbraw/zinc/71/41/41/422714141.db2.gz QWAWRMXFPDEAOK-CQSZACIVSA-N 1 2 323.400 1.809 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](CCc2c(F)cccc2F)CC1 ZINC000645765385 423179827 /nfs/dbraw/zinc/17/98/27/423179827.db2.gz XZTRBIUUNDKMNG-UHFFFAOYSA-N 1 2 323.387 1.427 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(CCc2c(F)cccc2F)CC1 ZINC000645765385 423179831 /nfs/dbraw/zinc/17/98/31/423179831.db2.gz XZTRBIUUNDKMNG-UHFFFAOYSA-N 1 2 323.387 1.427 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N2[C@H](C)CCC[C@H]2C)nn1 ZINC000640827663 423217688 /nfs/dbraw/zinc/21/76/88/423217688.db2.gz BDEVCMIYAHYALY-RBSFLKMASA-N 1 2 317.437 1.742 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCCc1cn2c(cccc2C)[nH+]1 ZINC000645931731 423246314 /nfs/dbraw/zinc/24/63/14/423246314.db2.gz GJJMIBFMNFLXFM-CQSZACIVSA-N 1 2 316.405 1.669 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000646161097 423373011 /nfs/dbraw/zinc/37/30/11/423373011.db2.gz PEAZRBCPLQTMBD-CQSZACIVSA-N 1 2 304.394 1.591 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000646161097 423373013 /nfs/dbraw/zinc/37/30/13/423373013.db2.gz PEAZRBCPLQTMBD-CQSZACIVSA-N 1 2 304.394 1.591 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C3CCCCC3)C2=O)nn1 ZINC000641106933 423390835 /nfs/dbraw/zinc/39/08/35/423390835.db2.gz NLPYFDBGSINLKR-MRXNPFEDSA-N 1 2 315.421 1.497 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C3CCCCC3)C2=O)nn1 ZINC000641106932 423392217 /nfs/dbraw/zinc/39/22/17/423392217.db2.gz NLPYFDBGSINLKR-INIZCTEOSA-N 1 2 315.421 1.497 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC3(CC2)[C@H](OCC)C[C@@H]3O)nn1 ZINC000653559188 423528993 /nfs/dbraw/zinc/52/89/93/423528993.db2.gz NZHVSGSFDSKPCT-JKSUJKDBSA-N 1 2 320.437 1.606 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@H]([C@H](O)C(F)(F)F)C2)nn1 ZINC000653751486 423595108 /nfs/dbraw/zinc/59/51/08/423595108.db2.gz ZTBNFZYRGRNUGZ-JQWIXIFHSA-N 1 2 304.316 1.599 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@H]([C@H](O)C(F)(F)F)C2)nn1 ZINC000653751486 423595113 /nfs/dbraw/zinc/59/51/13/423595113.db2.gz ZTBNFZYRGRNUGZ-JQWIXIFHSA-N 1 2 304.316 1.599 20 30 DDEDLO C=C(C)[C@@H](CO)[N@@H+]1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000649402743 423864061 /nfs/dbraw/zinc/86/40/61/423864061.db2.gz NMHRGGDLEIUOOU-OAHLLOKOSA-N 1 2 310.419 1.205 20 30 DDEDLO C=C(C)[C@@H](CO)[N@H+]1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000649402743 423864078 /nfs/dbraw/zinc/86/40/78/423864078.db2.gz NMHRGGDLEIUOOU-OAHLLOKOSA-N 1 2 310.419 1.205 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nc(NCCO)[nH+]c(NC(C)C)n2)C1 ZINC000664257333 424496746 /nfs/dbraw/zinc/49/67/46/424496746.db2.gz ZEOKIIAKWLJLIE-CYBMUJFWSA-N 1 2 320.441 1.889 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nc(NC(C)C)nc(NCCO)[nH+]2)C1 ZINC000664257333 424496752 /nfs/dbraw/zinc/49/67/52/424496752.db2.gz ZEOKIIAKWLJLIE-CYBMUJFWSA-N 1 2 320.441 1.889 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nc(NCCO)nc(NC(C)C)[nH+]2)C1 ZINC000664257333 424496759 /nfs/dbraw/zinc/49/67/59/424496759.db2.gz ZEOKIIAKWLJLIE-CYBMUJFWSA-N 1 2 320.441 1.889 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(C#N)c(OC)c2)C1 ZINC000369114875 266617891 /nfs/dbraw/zinc/61/78/91/266617891.db2.gz FHESKJLHWOGVKS-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(C#N)c(OC)c2)C1 ZINC000369114875 266617894 /nfs/dbraw/zinc/61/78/94/266617894.db2.gz FHESKJLHWOGVKS-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO C[C@H](C(=O)NCC(C)(C)[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000359887757 267132873 /nfs/dbraw/zinc/13/28/73/267132873.db2.gz CMZYHNYBLPIIAJ-AWEZNQCLSA-N 1 2 315.417 1.889 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Cc1c(F)cccc1C#N ZINC000355489034 267157021 /nfs/dbraw/zinc/15/70/21/267157021.db2.gz FJECEVISSYXXCL-CYBMUJFWSA-N 1 2 319.380 1.419 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[NH+]2CCC(c3nc[nH]n3)CC2)cc1 ZINC000365918752 268067554 /nfs/dbraw/zinc/06/75/54/268067554.db2.gz KQQRGVBLJFUVRB-UHFFFAOYSA-N 1 2 324.388 1.172 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)cc1 ZINC000354771399 268145222 /nfs/dbraw/zinc/14/52/22/268145222.db2.gz TZUKOCVNMGWHHE-UHFFFAOYSA-N 1 2 310.361 1.631 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)[C@H]2CCn3cc[nH+]c3C2)cc1F ZINC000519180202 268161455 /nfs/dbraw/zinc/16/14/55/268161455.db2.gz LEBYLHKWKBMIAG-NSHDSACASA-N 1 2 316.311 1.912 20 30 DDEDLO N#Cc1ccc(OCC[N@@H+]2CCOC[C@H]2[C@@H]2CCCO2)cc1 ZINC000375802426 268172563 /nfs/dbraw/zinc/17/25/63/268172563.db2.gz TZKWYWBXALPVGP-IRXDYDNUSA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccc(OCC[N@H+]2CCOC[C@H]2[C@@H]2CCCO2)cc1 ZINC000375802426 268172566 /nfs/dbraw/zinc/17/25/66/268172566.db2.gz TZKWYWBXALPVGP-IRXDYDNUSA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@@H+]2CCC[C@H]2c2ncc[nH]2)cc1 ZINC000359515300 268178431 /nfs/dbraw/zinc/17/84/31/268178431.db2.gz NGFVWABAHMBYBG-ZBFHGGJFSA-N 1 2 312.373 1.858 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@H+]2CCC[C@H]2c2ncc[nH]2)cc1 ZINC000359515300 268178433 /nfs/dbraw/zinc/17/84/33/268178433.db2.gz NGFVWABAHMBYBG-ZBFHGGJFSA-N 1 2 312.373 1.858 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CC[NH+](Cc3ccco3)CC2)cn1 ZINC000527747910 268216117 /nfs/dbraw/zinc/21/61/17/268216117.db2.gz TYNBYAXMQTYOKY-UHFFFAOYSA-N 1 2 310.357 1.433 20 30 DDEDLO N#Cc1cccc(CCNc2cc(N3CCC[C@@H]3CO)nc[nH+]2)c1 ZINC000359947351 268244993 /nfs/dbraw/zinc/24/49/93/268244993.db2.gz AVZUPWGTVSRAPG-MRXNPFEDSA-N 1 2 323.400 1.964 20 30 DDEDLO N#Cc1cccc(CCNc2cc(N3CCC[C@@H]3CO)[nH+]cn2)c1 ZINC000359947351 268244994 /nfs/dbraw/zinc/24/49/94/268244994.db2.gz AVZUPWGTVSRAPG-MRXNPFEDSA-N 1 2 323.400 1.964 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@@H](n2cncn2)C1 ZINC000364505052 268308942 /nfs/dbraw/zinc/30/89/42/268308942.db2.gz DBJZZWKQTGSHJD-LLVKDONJSA-N 1 2 302.363 1.097 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@@H](n2cncn2)C1 ZINC000364505052 268308943 /nfs/dbraw/zinc/30/89/43/268308943.db2.gz DBJZZWKQTGSHJD-LLVKDONJSA-N 1 2 302.363 1.097 20 30 DDEDLO CC1(C)C[NH+]=C(N2CCN(c3ccc(C#N)nn3)CC2)S1 ZINC000301969956 275852613 /nfs/dbraw/zinc/85/26/13/275852613.db2.gz QXXHXLHFRWKALQ-UHFFFAOYSA-N 1 2 302.407 1.352 20 30 DDEDLO CN1CC[C@H]([NH+]2CCN(c3ccc(C#N)cc3Cl)CC2)C1=O ZINC000277697752 276958205 /nfs/dbraw/zinc/95/82/05/276958205.db2.gz HLKYFBRKMJKNCY-HNNXBMFYSA-N 1 2 318.808 1.564 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3cccc(C#N)c3)C[C@@H]21 ZINC000285379102 277120303 /nfs/dbraw/zinc/12/03/03/277120303.db2.gz XTHDUFXAOZNPCO-LSDHHAIUSA-N 1 2 300.362 1.495 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3cccc(C#N)c3)C[C@@H]21 ZINC000285379102 277120304 /nfs/dbraw/zinc/12/03/04/277120304.db2.gz XTHDUFXAOZNPCO-LSDHHAIUSA-N 1 2 300.362 1.495 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000376170048 277806321 /nfs/dbraw/zinc/80/63/21/277806321.db2.gz DMRBHKGZPMCZRX-QKPAOTATSA-N 1 2 308.422 1.289 20 30 DDEDLO C[C@@H]1OCC[C@@]12C[N@H+](CC(=O)NC1(C#N)CCCCC1)CCO2 ZINC000369406776 278060203 /nfs/dbraw/zinc/06/02/03/278060203.db2.gz CTOBTMYOUBVXIE-WMLDXEAASA-N 1 2 321.421 1.209 20 30 DDEDLO C[C@@H]1OCC[C@@]12C[N@@H+](CC(=O)NC1(C#N)CCCCC1)CCO2 ZINC000369406776 278060205 /nfs/dbraw/zinc/06/02/05/278060205.db2.gz CTOBTMYOUBVXIE-WMLDXEAASA-N 1 2 321.421 1.209 20 30 DDEDLO Cc1noc(C2CC2)c1NC(=O)N1CC[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000328946810 279251281 /nfs/dbraw/zinc/25/12/81/279251281.db2.gz BFSHOQLZBCVMEY-QWHCGFSZSA-N 1 2 320.393 1.811 20 30 DDEDLO Cc1noc(C2CC2)c1NC(=O)N1CC[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000328946810 279251284 /nfs/dbraw/zinc/25/12/84/279251284.db2.gz BFSHOQLZBCVMEY-QWHCGFSZSA-N 1 2 320.393 1.811 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)c3ccccn3)CC2)o1 ZINC000371417006 307148867 /nfs/dbraw/zinc/14/88/67/307148867.db2.gz QIURANRQRPQPLJ-UHFFFAOYSA-N 1 2 310.357 1.894 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)c3ccccn3)CC2)o1 ZINC000371417006 307148868 /nfs/dbraw/zinc/14/88/68/307148868.db2.gz QIURANRQRPQPLJ-UHFFFAOYSA-N 1 2 310.357 1.894 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ncncc3C#N)CC2)cc1 ZINC000576145676 308292187 /nfs/dbraw/zinc/29/21/87/308292187.db2.gz TWGBCZASIJXZNW-UHFFFAOYSA-N 1 2 304.357 1.542 20 30 DDEDLO COc1cccc([C@@H]2C[C@H]2C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000582125492 332341979 /nfs/dbraw/zinc/34/19/79/332341979.db2.gz UEHPSKDRVHODMA-UXLLHSPISA-N 1 2 301.390 1.759 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@@H](C)c2nnnn2-c2ccccc2)C1=O ZINC000531191310 333329784 /nfs/dbraw/zinc/32/97/84/333329784.db2.gz ORHWGHGWAMLAAX-GXTWGEPZSA-N 1 2 312.377 1.100 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@H](C)c2nnnn2-c2ccccc2)C1=O ZINC000531191313 333329863 /nfs/dbraw/zinc/32/98/63/333329863.db2.gz ORHWGHGWAMLAAX-TZMCWYRMSA-N 1 2 312.377 1.100 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)NC1(C#N)CCC1)c1nc(C(C)(C)C)no1 ZINC000525886076 335141019 /nfs/dbraw/zinc/14/10/19/335141019.db2.gz RXJYHUAKVJICCT-SNVBAGLBSA-N 1 2 305.382 1.580 20 30 DDEDLO CS(=O)(=O)NCC[N@H+](CC#Cc1ccc(F)cc1)C1CC1 ZINC000340216627 334150713 /nfs/dbraw/zinc/15/07/13/334150713.db2.gz AYHMWPBBWVVWIJ-UHFFFAOYSA-N 1 2 310.394 1.191 20 30 DDEDLO CS(=O)(=O)NCC[N@@H+](CC#Cc1ccc(F)cc1)C1CC1 ZINC000340216627 334150714 /nfs/dbraw/zinc/15/07/14/334150714.db2.gz AYHMWPBBWVVWIJ-UHFFFAOYSA-N 1 2 310.394 1.191 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccccc1SCC#N)[C@H]1CCNC1=O ZINC000340221260 334151164 /nfs/dbraw/zinc/15/11/64/334151164.db2.gz OVXSCYPKCBFZBJ-LBPRGKRZSA-N 1 2 318.402 1.061 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccccc1SCC#N)[C@H]1CCNC1=O ZINC000340221260 334151166 /nfs/dbraw/zinc/15/11/66/334151166.db2.gz OVXSCYPKCBFZBJ-LBPRGKRZSA-N 1 2 318.402 1.061 20 30 DDEDLO C=CC[N@H+](Cc1nncn1C)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000534856551 334341718 /nfs/dbraw/zinc/34/17/18/334341718.db2.gz PIVYCFSZDMFZRQ-CYBMUJFWSA-N 1 2 324.392 1.540 20 30 DDEDLO C=CC[N@@H+](Cc1nncn1C)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000534856551 334341720 /nfs/dbraw/zinc/34/17/20/334341720.db2.gz PIVYCFSZDMFZRQ-CYBMUJFWSA-N 1 2 324.392 1.540 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc(-c2cccc(C#N)c2)no1 ZINC000563959577 336388466 /nfs/dbraw/zinc/38/84/66/336388466.db2.gz IDJUGOZMXNLLLH-KRWDZBQOSA-N 1 2 323.356 1.787 20 30 DDEDLO CC[NH+]1CCN(S(=O)(=O)Cc2ccc(CC#N)cc2)CC1 ZINC000515349299 337986658 /nfs/dbraw/zinc/98/66/58/337986658.db2.gz FHUIGQYTCGLIPA-UHFFFAOYSA-N 1 2 307.419 1.220 20 30 DDEDLO CC#CC(=O)N1CC[C@H]([NH+]2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000495757901 339991711 /nfs/dbraw/zinc/99/17/11/339991711.db2.gz AKHXUAIXZXGBLN-AWEZNQCLSA-N 1 2 321.421 1.163 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@@H](Oc2ccccc2Cl)C1 ZINC000133165118 341159399 /nfs/dbraw/zinc/15/93/99/341159399.db2.gz DIYLTDMDMYUNAP-GFCCVEGCSA-N 1 2 307.781 1.823 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@@H](Oc2ccccc2Cl)C1 ZINC000133165118 341159400 /nfs/dbraw/zinc/15/94/00/341159400.db2.gz DIYLTDMDMYUNAP-GFCCVEGCSA-N 1 2 307.781 1.823 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1sc2c(c1C(=O)OC)CCC2 ZINC000550128638 341310659 /nfs/dbraw/zinc/31/06/59/341310659.db2.gz KSETZLAWJAXZJY-UHFFFAOYSA-N 1 2 320.414 1.917 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1sc2c(c1C(=O)OC)CCC2 ZINC000550128638 341310660 /nfs/dbraw/zinc/31/06/60/341310660.db2.gz KSETZLAWJAXZJY-UHFFFAOYSA-N 1 2 320.414 1.917 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)NCc1ccc(CC#N)cc1 ZINC000566159743 341549447 /nfs/dbraw/zinc/54/94/47/341549447.db2.gz PFGFROSLMJVTNM-UHFFFAOYSA-N 1 2 316.405 1.226 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C1CCN(CC(F)(F)F)CC1 ZINC000566309807 341553673 /nfs/dbraw/zinc/55/36/73/341553673.db2.gz SXAKIQZLORRCSK-CYBMUJFWSA-N 1 2 320.359 1.221 20 30 DDEDLO Cc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)nc(C(F)(F)F)n1 ZINC000568096212 341651071 /nfs/dbraw/zinc/65/10/71/341651071.db2.gz TVZPXFGVIPDASM-LBPRGKRZSA-N 1 2 315.299 1.378 20 30 DDEDLO CN1c2ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc2CCC1=O ZINC000577116410 341887248 /nfs/dbraw/zinc/88/72/48/341887248.db2.gz ZLSWJXFWMWIBEQ-QGZVFWFLSA-N 1 2 314.389 1.169 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H]2CCCc3c2cnn3CCO)nn1 ZINC000657325903 484344230 /nfs/dbraw/zinc/34/42/30/484344230.db2.gz MRHIPIQWKMVIDI-OAHLLOKOSA-N 1 2 316.409 1.210 20 30 DDEDLO C=CCCCNC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000684149987 486263992 /nfs/dbraw/zinc/26/39/92/486263992.db2.gz VEUVXAIUYIPQNU-UHFFFAOYSA-N 1 2 300.362 1.903 20 30 DDEDLO Cc1cc(N2CCN(C(=O)[C@H](C)C#N)CC2)nc(C(C)C)[nH+]1 ZINC000347066680 533897286 /nfs/dbraw/zinc/89/72/86/533897286.db2.gz KLUSXAQZYABHJN-GFCCVEGCSA-N 1 2 301.394 1.717 20 30 DDEDLO COc1ccccc1CNC(=O)C[N@H+]1C[C@@H]2[C@H](C1)OCCN2C ZINC000330299143 533984345 /nfs/dbraw/zinc/98/43/45/533984345.db2.gz MCUFSYRQZONETI-ZBFHGGJFSA-N 1 2 319.405 1.167 20 30 DDEDLO COc1ccccc1CNC(=O)C[N@@H+]1C[C@@H]2[C@H](C1)OCCN2C ZINC000330299143 533984353 /nfs/dbraw/zinc/98/43/53/533984353.db2.gz MCUFSYRQZONETI-ZBFHGGJFSA-N 1 2 319.405 1.167 20 30 DDEDLO COc1ccccc1CNC(=O)CN1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000330299143 533984361 /nfs/dbraw/zinc/98/43/61/533984361.db2.gz MCUFSYRQZONETI-ZBFHGGJFSA-N 1 2 319.405 1.167 20 30 DDEDLO COc1ccccc1CNC(=O)CN1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000330299143 533984363 /nfs/dbraw/zinc/98/43/63/533984363.db2.gz MCUFSYRQZONETI-ZBFHGGJFSA-N 1 2 319.405 1.167 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000330289017 534163945 /nfs/dbraw/zinc/16/39/45/534163945.db2.gz DMLCMCKPVVWLMN-NOLJZWGESA-N 1 2 319.405 1.687 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000330289017 534163954 /nfs/dbraw/zinc/16/39/54/534163954.db2.gz DMLCMCKPVVWLMN-NOLJZWGESA-N 1 2 319.405 1.687 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)[N@@H+]1C[C@@H]2OCCN(C)[C@H]2C1 ZINC000330289017 534163961 /nfs/dbraw/zinc/16/39/61/534163961.db2.gz DMLCMCKPVVWLMN-NOLJZWGESA-N 1 2 319.405 1.687 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)[N@H+]1C[C@@H]2OCCN(C)[C@H]2C1 ZINC000330289017 534163965 /nfs/dbraw/zinc/16/39/65/534163965.db2.gz DMLCMCKPVVWLMN-NOLJZWGESA-N 1 2 319.405 1.687 20 30 DDEDLO Cc1ccn2cc(CNC(=O)N[C@H]3CCc4c[nH]nc4C3)[nH+]c2c1 ZINC000329849680 534358070 /nfs/dbraw/zinc/35/80/70/534358070.db2.gz RXUPNCFZWDHUEN-ZDUSSCGKSA-N 1 2 324.388 1.927 20 30 DDEDLO Cc1noc(C)c1NC(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000330323396 534368245 /nfs/dbraw/zinc/36/82/45/534368245.db2.gz HQDAKJGGVMKMJG-GFCCVEGCSA-N 1 2 318.381 1.559 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]CC(=O)N(CCC#N)c2ccccc2)n1 ZINC000348098554 534476123 /nfs/dbraw/zinc/47/61/23/534476123.db2.gz UDLJHSMYTCAVHZ-LBPRGKRZSA-N 1 2 313.361 1.976 20 30 DDEDLO N#CCCS(=O)(=O)NCc1ccc(N2CCCCCC2)[nH+]c1 ZINC000331418782 534652574 /nfs/dbraw/zinc/65/25/74/534652574.db2.gz AJLNTCYNEUJGLY-UHFFFAOYSA-N 1 2 322.434 1.795 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CC[NH2+][C@H](c2cccc(F)c2)C1 ZINC000451669622 534675041 /nfs/dbraw/zinc/67/50/41/534675041.db2.gz XZWBHYQTASKSCE-HNNXBMFYSA-N 1 2 312.348 1.823 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCO[C@H](COc3ccccc3)C2)C1=O ZINC000337205678 526482148 /nfs/dbraw/zinc/48/21/48/526482148.db2.gz LBCVEFIPSIVOMI-DLBZAZTESA-N 1 2 316.401 1.553 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCO[C@H](COc3ccccc3)C2)C1=O ZINC000337205678 526482150 /nfs/dbraw/zinc/48/21/50/526482150.db2.gz LBCVEFIPSIVOMI-DLBZAZTESA-N 1 2 316.401 1.553 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccc(C)cc2F)C1=O ZINC000337221605 526500057 /nfs/dbraw/zinc/50/00/57/526500057.db2.gz LJVJRDAJBSVNMS-HNNXBMFYSA-N 1 2 319.380 1.791 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccc(C)cc2F)C1=O ZINC000337221605 526500064 /nfs/dbraw/zinc/50/00/64/526500064.db2.gz LJVJRDAJBSVNMS-HNNXBMFYSA-N 1 2 319.380 1.791 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)N2CCCC[C@@H]2CC)C1=O ZINC000337234724 526501900 /nfs/dbraw/zinc/50/19/00/526501900.db2.gz XCWNPEGCGCMCFB-JYJNAYRXSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)N2CCCC[C@@H]2CC)C1=O ZINC000337234724 526501905 /nfs/dbraw/zinc/50/19/05/526501905.db2.gz XCWNPEGCGCMCFB-JYJNAYRXSA-N 1 2 321.465 1.885 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@H](S(C)(=O)=O)CC1 ZINC000337508578 526520365 /nfs/dbraw/zinc/52/03/65/526520365.db2.gz HGNPWNBUSVDKFR-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@H](S(C)(=O)=O)CC1 ZINC000337508578 526520372 /nfs/dbraw/zinc/52/03/72/526520372.db2.gz HGNPWNBUSVDKFR-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCNC(=O)CN1CCN(c2cc(C)[nH+]c(C3CC3)n2)CC1 ZINC000346677963 526540346 /nfs/dbraw/zinc/54/03/46/526540346.db2.gz SCSPBQQSVBYYTH-UHFFFAOYSA-N 1 2 315.421 1.087 20 30 DDEDLO C=C(C)C[N@@H+](Cc1nc(CC(=O)NC)no1)Cc1ccccc1 ZINC000348235600 526575968 /nfs/dbraw/zinc/57/59/68/526575968.db2.gz MBKXWLFIRVOFDV-UHFFFAOYSA-N 1 2 314.389 1.936 20 30 DDEDLO C=C(C)C[N@H+](Cc1nc(CC(=O)NC)no1)Cc1ccccc1 ZINC000348235600 526575976 /nfs/dbraw/zinc/57/59/76/526575976.db2.gz MBKXWLFIRVOFDV-UHFFFAOYSA-N 1 2 314.389 1.936 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H](c2ccccc2)[C@](F)(CO)C1 ZINC000451275858 526635719 /nfs/dbraw/zinc/63/57/19/526635719.db2.gz YLWRTIKPSFMFIX-JLJPHGGASA-N 1 2 306.381 1.477 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H](c2ccccc2)[C@](F)(CO)C1 ZINC000451275858 526635722 /nfs/dbraw/zinc/63/57/22/526635722.db2.gz YLWRTIKPSFMFIX-JLJPHGGASA-N 1 2 306.381 1.477 20 30 DDEDLO C#CCN(C(=O)NCC[N@H+]1CCOC[C@@H]1C)C1CCCCC1 ZINC000490617389 526767066 /nfs/dbraw/zinc/76/70/66/526767066.db2.gz PGCCZZNVQTXRNY-HNNXBMFYSA-N 1 2 307.438 1.685 20 30 DDEDLO C#CCN(C(=O)NCC[N@@H+]1CCOC[C@@H]1C)C1CCCCC1 ZINC000490617389 526767074 /nfs/dbraw/zinc/76/70/74/526767074.db2.gz PGCCZZNVQTXRNY-HNNXBMFYSA-N 1 2 307.438 1.685 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1)OCC ZINC000433104246 526808096 /nfs/dbraw/zinc/80/80/96/526808096.db2.gz LHIBYXXYVWFNFQ-CABCVRRESA-N 1 2 320.437 1.986 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CC(OCC(=O)N(C)C)C2)c1 ZINC000459592616 526898432 /nfs/dbraw/zinc/89/84/32/526898432.db2.gz NJAZYSDOVGQTEL-UHFFFAOYSA-N 1 2 304.390 1.540 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1cc2[nH]cnc2cc1F ZINC000491620590 526940975 /nfs/dbraw/zinc/94/09/75/526940975.db2.gz CNBLIWYGAOYQTJ-LLVKDONJSA-N 1 2 301.321 1.956 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1cc2[nH]cnc2cc1F ZINC000491620590 526940977 /nfs/dbraw/zinc/94/09/77/526940977.db2.gz CNBLIWYGAOYQTJ-LLVKDONJSA-N 1 2 301.321 1.956 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1cccc(S(C)(=O)=O)c1 ZINC000490892053 526946469 /nfs/dbraw/zinc/94/64/69/526946469.db2.gz JKUQLUQAAIFUMK-AWEZNQCLSA-N 1 2 321.398 1.345 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1cccc(S(C)(=O)=O)c1 ZINC000490892053 526946473 /nfs/dbraw/zinc/94/64/73/526946473.db2.gz JKUQLUQAAIFUMK-AWEZNQCLSA-N 1 2 321.398 1.345 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CONC(=O)[C@@H](CC)CCCC)CC1 ZINC000490717216 526951599 /nfs/dbraw/zinc/95/15/99/526951599.db2.gz BQHFVUBYIALOGZ-HNNXBMFYSA-N 1 2 323.437 1.028 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@@H+]1CCO[C@@H](CC)C1 ZINC000495133501 526991634 /nfs/dbraw/zinc/99/16/34/526991634.db2.gz UHUFDIKUEFDXPN-ZDUSSCGKSA-N 1 2 301.456 1.316 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@H+]1CCO[C@@H](CC)C1 ZINC000495133501 526991635 /nfs/dbraw/zinc/99/16/35/526991635.db2.gz UHUFDIKUEFDXPN-ZDUSSCGKSA-N 1 2 301.456 1.316 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](CC(=C)C)Cc1cnn(C(C)C)c1 ZINC000491125062 527015604 /nfs/dbraw/zinc/01/56/04/527015604.db2.gz AYOOCTFQNBMCSM-OAHLLOKOSA-N 1 2 302.422 1.980 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](CC(=C)C)Cc1cnn(C(C)C)c1 ZINC000491125062 527015609 /nfs/dbraw/zinc/01/56/09/527015609.db2.gz AYOOCTFQNBMCSM-OAHLLOKOSA-N 1 2 302.422 1.980 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NCc1cn2ccccc2[nH+]1 ZINC000339698752 527141027 /nfs/dbraw/zinc/14/10/27/527141027.db2.gz IZRPPKRJFRDROF-UHFFFAOYSA-N 1 2 300.362 1.423 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000342288181 527176623 /nfs/dbraw/zinc/17/66/23/527176623.db2.gz UESWCAIOPDZJSH-AWEZNQCLSA-N 1 2 318.421 1.610 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000491644768 527427553 /nfs/dbraw/zinc/42/75/53/527427553.db2.gz LMOYSRNWASGEAB-ZDUSSCGKSA-N 1 2 303.318 1.257 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000491644768 527427559 /nfs/dbraw/zinc/42/75/59/527427559.db2.gz LMOYSRNWASGEAB-ZDUSSCGKSA-N 1 2 303.318 1.257 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000334076300 527470296 /nfs/dbraw/zinc/47/02/96/527470296.db2.gz JRLRKFSZPPQFMO-OLZOCXBDSA-N 1 2 324.425 1.361 20 30 DDEDLO C=C[C@H](CO)NC(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000349973468 527589233 /nfs/dbraw/zinc/58/92/33/527589233.db2.gz UWQXBGNGCWTOMC-LLVKDONJSA-N 1 2 310.423 1.797 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000343980850 527611637 /nfs/dbraw/zinc/61/16/37/527611637.db2.gz OQYLDNCHNACKDF-CXAGYDPISA-N 1 2 318.421 1.630 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000330600527 527635996 /nfs/dbraw/zinc/63/59/96/527635996.db2.gz YJSACAVEWNWIKT-ZBFHGGJFSA-N 1 2 307.394 1.331 20 30 DDEDLO CC(C)CC[C@H]1CCC(=O)N(C[C@H](O)C[N@H+](C)CCC#N)C1=O ZINC000414126797 527851801 /nfs/dbraw/zinc/85/18/01/527851801.db2.gz FLCZZZVQNZKTTH-LSDHHAIUSA-N 1 2 323.437 1.394 20 30 DDEDLO CC(C)CC[C@H]1CCC(=O)N(C[C@H](O)C[N@@H+](C)CCC#N)C1=O ZINC000414126797 527851806 /nfs/dbraw/zinc/85/18/06/527851806.db2.gz FLCZZZVQNZKTTH-LSDHHAIUSA-N 1 2 323.437 1.394 20 30 DDEDLO CCOc1cc(C#N)ccc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000414140266 528203716 /nfs/dbraw/zinc/20/37/16/528203716.db2.gz LJJCMMUGDHLOLH-CQSZACIVSA-N 1 2 303.362 1.542 20 30 DDEDLO CCOc1cc(C#N)ccc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000414140266 528203725 /nfs/dbraw/zinc/20/37/25/528203725.db2.gz LJJCMMUGDHLOLH-CQSZACIVSA-N 1 2 303.362 1.542 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCOC[C@@H]1[C@@H]1CCCC[C@@H]1O ZINC000330571206 528361357 /nfs/dbraw/zinc/36/13/57/528361357.db2.gz MSMYOMJRORMJKT-XHSDSOJGSA-N 1 2 323.437 1.000 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCOC[C@@H]1[C@@H]1CCCC[C@@H]1O ZINC000330571206 528361362 /nfs/dbraw/zinc/36/13/62/528361362.db2.gz MSMYOMJRORMJKT-XHSDSOJGSA-N 1 2 323.437 1.000 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)CN(C)CC[N@@H+]1CCOC(C)(C)C1 ZINC000434710141 528846824 /nfs/dbraw/zinc/84/68/24/528846824.db2.gz WTDGRHWYNGPGRZ-QGZVFWFLSA-N 1 2 324.469 1.083 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)CN(C)CC[N@H+]1CCOC(C)(C)C1 ZINC000434710141 528846829 /nfs/dbraw/zinc/84/68/29/528846829.db2.gz WTDGRHWYNGPGRZ-QGZVFWFLSA-N 1 2 324.469 1.083 20 30 DDEDLO CCCn1nc(C)c(C(=O)NCC[N@@H+]2CCOC[C@H]2C)c1C ZINC000330945816 528868600 /nfs/dbraw/zinc/86/86/00/528868600.db2.gz ADAWMAKCIDGHQY-GFCCVEGCSA-N 1 2 308.426 1.935 20 30 DDEDLO CCCn1nc(C)c(C(=O)NCC[N@H+]2CCOC[C@H]2C)c1C ZINC000330945816 528868605 /nfs/dbraw/zinc/86/86/05/528868605.db2.gz ADAWMAKCIDGHQY-GFCCVEGCSA-N 1 2 308.426 1.935 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@@H+]2CC[C@](C)(F)C2)CC1 ZINC000341129497 528899673 /nfs/dbraw/zinc/89/96/73/528899673.db2.gz QDIUUUXDTHXKMH-HOCLYGCPSA-N 1 2 310.417 1.113 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@H+]2CC[C@](C)(F)C2)CC1 ZINC000341129497 528899676 /nfs/dbraw/zinc/89/96/76/528899676.db2.gz QDIUUUXDTHXKMH-HOCLYGCPSA-N 1 2 310.417 1.113 20 30 DDEDLO CCN1CCN(C(=O)c2cc(C#N)cn2C)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328642248 529133963 /nfs/dbraw/zinc/13/39/63/529133963.db2.gz YJFWLWYTNMRGJZ-CQSZACIVSA-N 1 2 312.377 1.139 20 30 DDEDLO CC[N@H+](C[C@@H](C)C#N)C[C@@H](O)c1ccc(-c2nn[nH]n2)cc1 ZINC000821170780 606891956 /nfs/dbraw/zinc/89/19/56/606891956.db2.gz WOROQQLUXFJZSR-SMDDNHRTSA-N 1 2 300.366 1.382 20 30 DDEDLO CC[N@@H+](C[C@@H](C)C#N)C[C@@H](O)c1ccc(-c2nn[nH]n2)cc1 ZINC000821170780 606891957 /nfs/dbraw/zinc/89/19/57/606891957.db2.gz WOROQQLUXFJZSR-SMDDNHRTSA-N 1 2 300.366 1.382 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)COC(=O)[C@@H]1CCCC[N@@H+]1CC ZINC000745088602 699971328 /nfs/dbraw/zinc/97/13/28/699971328.db2.gz WUEYKSSCNLCRJX-KGLIPLIRSA-N 1 2 309.410 1.412 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)COC(=O)[C@@H]1CCCC[N@H+]1CC ZINC000745088602 699971329 /nfs/dbraw/zinc/97/13/29/699971329.db2.gz WUEYKSSCNLCRJX-KGLIPLIRSA-N 1 2 309.410 1.412 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)s3)C2)C1 ZINC000972229129 695182924 /nfs/dbraw/zinc/18/29/24/695182924.db2.gz RJVCHAWTVPBSFO-KRWDZBQOSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)s3)C2)C1 ZINC000972229129 695182925 /nfs/dbraw/zinc/18/29/25/695182925.db2.gz RJVCHAWTVPBSFO-KRWDZBQOSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)noc3C)C2)C1 ZINC000972252824 695191490 /nfs/dbraw/zinc/19/14/90/695191490.db2.gz JSRKHRBJLPBYFQ-KRWDZBQOSA-N 1 2 317.389 1.232 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)noc3C)C2)C1 ZINC000972252824 695191491 /nfs/dbraw/zinc/19/14/91/695191491.db2.gz JSRKHRBJLPBYFQ-KRWDZBQOSA-N 1 2 317.389 1.232 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3CCOCC3)C2)C1 ZINC000972308659 695212605 /nfs/dbraw/zinc/21/26/05/695212605.db2.gz OUNQJCUXKVEVJJ-KRWDZBQOSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3CCOCC3)C2)C1 ZINC000972308659 695212607 /nfs/dbraw/zinc/21/26/07/695212607.db2.gz OUNQJCUXKVEVJJ-KRWDZBQOSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CCC(O)CC3)C2)C1 ZINC000972365176 695230625 /nfs/dbraw/zinc/23/06/25/695230625.db2.gz QMHOMVQCZXXNGR-DQPZFDDXSA-N 1 2 308.422 1.027 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CCC(O)CC3)C2)C1 ZINC000972365176 695230626 /nfs/dbraw/zinc/23/06/26/695230626.db2.gz QMHOMVQCZXXNGR-DQPZFDDXSA-N 1 2 308.422 1.027 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(Cl)n(C)n3)C2)C1 ZINC000972459646 695255965 /nfs/dbraw/zinc/25/59/65/695255965.db2.gz YBQKGVXFPSHBNP-HNNXBMFYSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(Cl)n(C)n3)C2)C1 ZINC000972459646 695255966 /nfs/dbraw/zinc/25/59/66/695255966.db2.gz YBQKGVXFPSHBNP-HNNXBMFYSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3OC[C@@H]4CCC[C@@H]43)C2)C1 ZINC000972470243 695259004 /nfs/dbraw/zinc/25/90/04/695259004.db2.gz APPHHKUBFCHAHQ-CUSZFKRNSA-N 1 2 320.433 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3OC[C@@H]4CCC[C@@H]43)C2)C1 ZINC000972470243 695259007 /nfs/dbraw/zinc/25/90/07/695259007.db2.gz APPHHKUBFCHAHQ-CUSZFKRNSA-N 1 2 320.433 1.291 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@H]([N@H+](C)Cc2ccon2)C1 ZINC000972520528 695271798 /nfs/dbraw/zinc/27/17/98/695271798.db2.gz CIJVOQFCDWGUFN-JYJNAYRXSA-N 1 2 319.405 1.689 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@H]([N@@H+](C)Cc2ccon2)C1 ZINC000972520528 695271799 /nfs/dbraw/zinc/27/17/99/695271799.db2.gz CIJVOQFCDWGUFN-JYJNAYRXSA-N 1 2 319.405 1.689 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCC(C)(C)C3)C2)C1 ZINC000972538327 695276434 /nfs/dbraw/zinc/27/64/34/695276434.db2.gz RKVTXOKQAPAMNP-QAPCUYQASA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCC(C)(C)C3)C2)C1 ZINC000972538327 695276436 /nfs/dbraw/zinc/27/64/36/695276436.db2.gz RKVTXOKQAPAMNP-QAPCUYQASA-N 1 2 304.434 1.749 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@]3(C2)C[N@H+](CC=C)CCO3)c1 ZINC000972543258 695278030 /nfs/dbraw/zinc/27/80/30/695278030.db2.gz OPONIDLIXAYXEU-GOSISDBHSA-N 1 2 311.385 1.166 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@]3(C2)C[N@@H+](CC=C)CCO3)c1 ZINC000972543258 695278031 /nfs/dbraw/zinc/27/80/31/695278031.db2.gz OPONIDLIXAYXEU-GOSISDBHSA-N 1 2 311.385 1.166 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CC)nn3C)C2)C1 ZINC000972612170 695298838 /nfs/dbraw/zinc/29/88/38/695298838.db2.gz QIXHCYUGRXELRA-KRWDZBQOSA-N 1 2 318.421 1.085 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CC)nn3C)C2)C1 ZINC000972612170 695298840 /nfs/dbraw/zinc/29/88/40/695298840.db2.gz QIXHCYUGRXELRA-KRWDZBQOSA-N 1 2 318.421 1.085 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccc(OC)n3)C2)C1 ZINC000972622519 695302273 /nfs/dbraw/zinc/30/22/73/695302273.db2.gz WSBBBVZTDALZQK-QGZVFWFLSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccc(OC)n3)C2)C1 ZINC000972622519 695302276 /nfs/dbraw/zinc/30/22/76/695302276.db2.gz WSBBBVZTDALZQK-QGZVFWFLSA-N 1 2 317.389 1.193 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)n(C)c3C)C2)C1 ZINC000972669395 695313857 /nfs/dbraw/zinc/31/38/57/695313857.db2.gz LRPXLWGONIZIJS-GOSISDBHSA-N 1 2 315.417 1.192 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)n(C)c3C)C2)C1 ZINC000972669395 695313860 /nfs/dbraw/zinc/31/38/60/695313860.db2.gz LRPXLWGONIZIJS-GOSISDBHSA-N 1 2 315.417 1.192 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)s3)C2)C1 ZINC000972694852 695318228 /nfs/dbraw/zinc/31/82/28/695318228.db2.gz FDFZMLNKSAOASN-MRXNPFEDSA-N 1 2 322.405 1.827 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)s3)C2)C1 ZINC000972694852 695318230 /nfs/dbraw/zinc/31/82/30/695318230.db2.gz FDFZMLNKSAOASN-MRXNPFEDSA-N 1 2 322.405 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974638600 695701214 /nfs/dbraw/zinc/70/12/14/695701214.db2.gz FNHJJFDXZZZRTG-VXGBXAGGSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974638600 695701216 /nfs/dbraw/zinc/70/12/16/695701216.db2.gz FNHJJFDXZZZRTG-VXGBXAGGSA-N 1 2 313.829 1.044 20 30 DDEDLO COCC[N@H+](CCC#N)Cc1ccc(N2CCOCC2)cc1 ZINC000093100028 696599184 /nfs/dbraw/zinc/59/91/84/696599184.db2.gz UZRVMONLCOUDGM-UHFFFAOYSA-N 1 2 303.406 1.885 20 30 DDEDLO COCC[N@@H+](CCC#N)Cc1ccc(N2CCOCC2)cc1 ZINC000093100028 696599186 /nfs/dbraw/zinc/59/91/86/696599186.db2.gz UZRVMONLCOUDGM-UHFFFAOYSA-N 1 2 303.406 1.885 20 30 DDEDLO C=CCN1CCCN(C(=O)c2ccn(-c3cc[nH+]cc3)n2)CC1 ZINC000981599772 696836280 /nfs/dbraw/zinc/83/62/80/696836280.db2.gz FEJCQUDKCWKEHK-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)Cn2cccn2)CC1 ZINC000980709838 696854568 /nfs/dbraw/zinc/85/45/68/696854568.db2.gz OPYRXNMWWKZWHS-UHFFFAOYSA-N 1 2 323.400 1.489 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)Cn2cccn2)CC1 ZINC000980709838 696854570 /nfs/dbraw/zinc/85/45/70/696854570.db2.gz OPYRXNMWWKZWHS-UHFFFAOYSA-N 1 2 323.400 1.489 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CCC[N@H+](Cc1cnns1)C2 ZINC000981671805 696868522 /nfs/dbraw/zinc/86/85/22/696868522.db2.gz ITGRRRJVKMWIQF-NSHDSACASA-N 1 2 305.407 1.122 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CCC[N@@H+](Cc1cnns1)C2 ZINC000981671805 696868525 /nfs/dbraw/zinc/86/85/25/696868525.db2.gz ITGRRRJVKMWIQF-NSHDSACASA-N 1 2 305.407 1.122 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC000980826357 696904203 /nfs/dbraw/zinc/90/42/03/696904203.db2.gz WYAIHHHXJIVSIT-VXGBXAGGSA-N 1 2 300.786 1.082 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC000980826357 696904200 /nfs/dbraw/zinc/90/42/00/696904200.db2.gz WYAIHHHXJIVSIT-VXGBXAGGSA-N 1 2 300.786 1.082 20 30 DDEDLO Cn1ccc(C[N@@H+]2CCCC3(CN(C(=O)C#CC4CC4)C3)C2)n1 ZINC000981761708 696906347 /nfs/dbraw/zinc/90/63/47/696906347.db2.gz OPHYIIPKQCFXFQ-UHFFFAOYSA-N 1 2 312.417 1.258 20 30 DDEDLO Cn1ccc(C[N@H+]2CCCC3(CN(C(=O)C#CC4CC4)C3)C2)n1 ZINC000981761708 696906350 /nfs/dbraw/zinc/90/63/50/696906350.db2.gz OPHYIIPKQCFXFQ-UHFFFAOYSA-N 1 2 312.417 1.258 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CCC[C@H](NCC#N)C3)ccn12 ZINC000981091125 697002077 /nfs/dbraw/zinc/00/20/77/697002077.db2.gz KTKACQXGXGQTRS-GJZGRUSLSA-N 1 2 311.389 1.797 20 30 DDEDLO NC(Cc1ccccc1)=[NH+]OCc1nnnn1-c1ccccc1 ZINC000177558092 697413552 /nfs/dbraw/zinc/41/35/52/697413552.db2.gz OSXPDRZBMSEHIB-UHFFFAOYSA-N 1 2 308.345 1.694 20 30 DDEDLO CCOC(=O)[C@]1(C)CC(=O)N(C[NH+]2CCC(C)(C#N)CC2)C1 ZINC000774566155 697895950 /nfs/dbraw/zinc/89/59/50/697895950.db2.gz OYWKOFOAWOOLGV-MRXNPFEDSA-N 1 2 307.394 1.371 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]([NH2+]Cc3nnn(C)n3)[C@H]2C)CC1 ZINC000986858264 697931240 /nfs/dbraw/zinc/93/12/40/697931240.db2.gz WKQFTPCSLFVPIB-OCCSQVGLSA-N 1 2 318.425 1.036 20 30 DDEDLO CC(=NNC1=[NH+]C[C@@H](C)N1)c1cnn(-c2ccc(F)cc2)c1C ZINC000779792306 698465561 /nfs/dbraw/zinc/46/55/61/698465561.db2.gz KXPRKUHXHJQKFC-SNVBAGLBSA-N 1 2 314.368 1.981 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3cnc(C)o3)CC2)cn1 ZINC000989512458 698652362 /nfs/dbraw/zinc/65/23/62/698652362.db2.gz KRJIACBWYSZAEP-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3cnc(C)o3)CC2)cn1 ZINC000989512458 698652363 /nfs/dbraw/zinc/65/23/63/698652363.db2.gz KRJIACBWYSZAEP-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C[C@@H]1C[C@@H](NCC#N)CN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000989635470 698702314 /nfs/dbraw/zinc/70/23/14/698702314.db2.gz MAYYWQJFTISZBX-UKRRQHHQSA-N 1 2 309.373 1.588 20 30 DDEDLO C[C@@H]1CN(C)C(=O)C[N@@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000782594339 698745207 /nfs/dbraw/zinc/74/52/07/698745207.db2.gz GJBFACHYOANASV-GFCCVEGCSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@@H]1CN(C)C(=O)C[N@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000782594339 698745210 /nfs/dbraw/zinc/74/52/10/698745210.db2.gz GJBFACHYOANASV-GFCCVEGCSA-N 1 2 300.362 1.049 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@]34CCC[C@H]3N(CC#N)CC4)ccn12 ZINC000990711309 699138992 /nfs/dbraw/zinc/13/89/92/699138992.db2.gz MIEKTNHZEIRIGF-CRAIPNDOSA-N 1 2 323.400 1.893 20 30 DDEDLO COC[C@H](C)NC(=S)N[NH+]=Cc1ccc(N(C)C)c(F)c1 ZINC000727648928 699420368 /nfs/dbraw/zinc/42/03/68/699420368.db2.gz XCXJWFMUDVSVLX-JTQLQIEISA-N 1 2 312.414 1.725 20 30 DDEDLO COCCCNC(=S)N[NH+]=Cc1ccc(N(C)C)c(F)c1 ZINC000727648684 699420587 /nfs/dbraw/zinc/42/05/87/699420587.db2.gz PESQUXBMWUEBDQ-UHFFFAOYSA-N 1 2 312.414 1.726 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(Cn2cncn2)c1 ZINC000730278622 699506026 /nfs/dbraw/zinc/50/60/26/699506026.db2.gz MARLIVVEJPGFSD-INIZCTEOSA-N 1 2 309.373 1.363 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(Cn2cncn2)c1 ZINC000730278622 699506027 /nfs/dbraw/zinc/50/60/27/699506027.db2.gz MARLIVVEJPGFSD-INIZCTEOSA-N 1 2 309.373 1.363 20 30 DDEDLO N#CCOc1ccc(CC(=O)N2CC(Oc3cc[nH+]cc3)C2)cc1 ZINC000732285247 699553881 /nfs/dbraw/zinc/55/38/81/699553881.db2.gz YWKPCAJEQWWUET-UHFFFAOYSA-N 1 2 323.352 1.816 20 30 DDEDLO CO[C@H](C[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1)C(F)(F)F ZINC000734506093 699653231 /nfs/dbraw/zinc/65/32/31/699653231.db2.gz BXXVLDGHZKNDMO-MNOVXSKESA-N 1 2 301.268 1.252 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)OCc2cc[nH+]c(N)c2)cc1 ZINC000792272606 699689054 /nfs/dbraw/zinc/68/90/54/699689054.db2.gz QGMBXCFBSDPUFM-OAHLLOKOSA-N 1 2 306.325 1.961 20 30 DDEDLO N#Cc1c(=O)n(C[N@@H+]2CC[C@@]3(CCOC3)C2)cc2c1CCCC2 ZINC000793503860 699761876 /nfs/dbraw/zinc/76/18/76/699761876.db2.gz KTRMZKLYERSSLX-GOSISDBHSA-N 1 2 313.401 1.669 20 30 DDEDLO N#Cc1c(=O)n(C[N@H+]2CC[C@@]3(CCOC3)C2)cc2c1CCCC2 ZINC000793503860 699761879 /nfs/dbraw/zinc/76/18/79/699761879.db2.gz KTRMZKLYERSSLX-GOSISDBHSA-N 1 2 313.401 1.669 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2ccn(C)c(=O)c2c1 ZINC000793508923 699762337 /nfs/dbraw/zinc/76/23/37/699762337.db2.gz RCBIWZLEVXSGGG-INIZCTEOSA-N 1 2 309.369 1.575 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2ccn(C)c(=O)c2c1 ZINC000793508923 699762338 /nfs/dbraw/zinc/76/23/38/699762338.db2.gz RCBIWZLEVXSGGG-INIZCTEOSA-N 1 2 309.369 1.575 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(Cc2ccc(F)cc2C#N)CC1 ZINC000755954293 700595480 /nfs/dbraw/zinc/59/54/80/700595480.db2.gz OTNKDGAKJCVTSG-OAQYLSRUSA-N 1 2 309.410 1.193 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)C[C@@H]2CCC(F)(F)C2)CC1 ZINC000809392331 701663207 /nfs/dbraw/zinc/66/32/07/701663207.db2.gz PCRMEGGYZWEINX-CYBMUJFWSA-N 1 2 320.405 1.393 20 30 DDEDLO N#CCCN1CC[NH+](C[C@@H]2CN(c3ccccc3)C(=O)O2)CC1 ZINC000760943934 700830551 /nfs/dbraw/zinc/83/05/51/700830551.db2.gz NKKLKYPHBHFODZ-MRXNPFEDSA-N 1 2 314.389 1.543 20 30 DDEDLO CC[C@@H]1C[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C[C@@H]1O ZINC000761128439 700846184 /nfs/dbraw/zinc/84/61/84/700846184.db2.gz VQGIAKUMDSAGGY-DOMZBBRYSA-N 1 2 312.373 1.123 20 30 DDEDLO CC[C@@H]1C[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C[C@@H]1O ZINC000761128439 700846185 /nfs/dbraw/zinc/84/61/85/700846185.db2.gz VQGIAKUMDSAGGY-DOMZBBRYSA-N 1 2 312.373 1.123 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1COc2ccc(Cl)cc21 ZINC000762311471 700888672 /nfs/dbraw/zinc/88/86/72/700888672.db2.gz BAVJPSJOUNYKEA-KBPBESRZSA-N 1 2 304.777 1.987 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1COc2ccc(Cl)cc21 ZINC000762311471 700888673 /nfs/dbraw/zinc/88/86/73/700888673.db2.gz BAVJPSJOUNYKEA-KBPBESRZSA-N 1 2 304.777 1.987 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NCc1c[nH+]cn1C ZINC000767395252 701103419 /nfs/dbraw/zinc/10/34/19/701103419.db2.gz WPQJZDFPSUZUGP-UHFFFAOYSA-N 1 2 308.363 1.278 20 30 DDEDLO C#CC[N@H+](C[C@H]1CCOc2ccccc21)[C@H]1CCS(=O)(=O)C1 ZINC000768932447 701217324 /nfs/dbraw/zinc/21/73/24/701217324.db2.gz TVIXWRRWUWTFEY-CABCVRRESA-N 1 2 319.426 1.675 20 30 DDEDLO C#CC[N@@H+](C[C@H]1CCOc2ccccc21)[C@H]1CCS(=O)(=O)C1 ZINC000768932447 701217326 /nfs/dbraw/zinc/21/73/26/701217326.db2.gz TVIXWRRWUWTFEY-CABCVRRESA-N 1 2 319.426 1.675 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000769771174 701255422 /nfs/dbraw/zinc/25/54/22/701255422.db2.gz GSAGMPAUEGKATI-CVEARBPZSA-N 1 2 324.384 1.731 20 30 DDEDLO C=C(CNC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)c1ccccc1 ZINC000806666327 701445325 /nfs/dbraw/zinc/44/53/25/701445325.db2.gz LQQNMOTZHKHJDD-HNNXBMFYSA-N 1 2 303.406 1.720 20 30 DDEDLO C=C(CNC(=O)NCC[N@H+]1CCO[C@@H](C)C1)c1ccccc1 ZINC000806666327 701445326 /nfs/dbraw/zinc/44/53/26/701445326.db2.gz LQQNMOTZHKHJDD-HNNXBMFYSA-N 1 2 303.406 1.720 20 30 DDEDLO CC(C)([NH2+]CC(=O)NCC#N)c1nc2cc(Cl)ccc2o1 ZINC000879158320 706602070 /nfs/dbraw/zinc/60/20/70/706602070.db2.gz HQPGTQYDIRUSRV-UHFFFAOYSA-N 1 2 306.753 1.946 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@H]2CCc3c[nH+]cn3C2)s1 ZINC000807685427 701483856 /nfs/dbraw/zinc/48/38/56/701483856.db2.gz OUJBTAWMTYIOFL-JTQLQIEISA-N 1 2 303.391 1.682 20 30 DDEDLO CON(C)C(=O)[C@H](CCSC)[NH2+]Cc1nc(C#N)cs1 ZINC000810148887 701736852 /nfs/dbraw/zinc/73/68/52/701736852.db2.gz QHPDLBAKANBSAB-JTQLQIEISA-N 1 2 314.436 1.246 20 30 DDEDLO COC[C@H](C)NC(=S)N[NH+]=C1CCCc2ccc(N)cc21 ZINC000814734008 701745311 /nfs/dbraw/zinc/74/53/11/701745311.db2.gz ZVPZSCFMCXJUII-JTQLQIEISA-N 1 2 306.435 1.808 20 30 DDEDLO C#CCCOC(=O)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000867790392 701750436 /nfs/dbraw/zinc/75/04/36/701750436.db2.gz HJJHFBZBFUHEOI-QGZVFWFLSA-N 1 2 316.401 1.945 20 30 DDEDLO C#CCCOC(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000867790392 701750439 /nfs/dbraw/zinc/75/04/39/701750439.db2.gz HJJHFBZBFUHEOI-QGZVFWFLSA-N 1 2 316.401 1.945 20 30 DDEDLO CC(=NNC1=[NH+]C[C@@H](C)N1)c1nnn(-c2ccc(F)cc2)c1C ZINC000814897124 701766927 /nfs/dbraw/zinc/76/69/27/701766927.db2.gz DQDURNWQNXDTDL-SECBINFHSA-N 1 2 315.356 1.376 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000811493633 701993499 /nfs/dbraw/zinc/99/34/99/701993499.db2.gz MBENFKYLSFAKMA-QGZVFWFLSA-N 1 2 311.385 1.364 20 30 DDEDLO COc1cc(C(=O)N2CC[C@H](c3[nH+]ccn3C)C2)ccc1C#N ZINC000831204926 706656579 /nfs/dbraw/zinc/65/65/79/706656579.db2.gz MZFAOQOTMKTACW-AWEZNQCLSA-N 1 2 310.357 1.930 20 30 DDEDLO C(=NNC1=[NH+]CCN1)c1cn(C2CCCC2)nc1-c1ccccn1 ZINC000841658684 702521843 /nfs/dbraw/zinc/52/18/43/702521843.db2.gz CYWOPQDVMBOLAZ-UHFFFAOYSA-N 1 2 323.404 1.943 20 30 DDEDLO CCOCCOC[C@H](O)C[NH2+][C@H](C)c1cccc(C#N)c1O ZINC000866348104 706678774 /nfs/dbraw/zinc/67/87/74/706678774.db2.gz ZJPNRINXNIRRSU-TZMCWYRMSA-N 1 2 308.378 1.328 20 30 DDEDLO C#CCCN(CCOC)C(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000869309479 702563354 /nfs/dbraw/zinc/56/33/54/702563354.db2.gz FSTXRPRWWLNBJK-UHFFFAOYSA-N 1 2 311.385 1.913 20 30 DDEDLO C[C@H]([NH2+]C[C@H]1CCCCN1CCO)c1cccc(C#N)c1O ZINC000866366353 706682554 /nfs/dbraw/zinc/68/25/54/706682554.db2.gz LMVSFSFJYQEJOW-DZGCQCFKSA-N 1 2 303.406 1.761 20 30 DDEDLO C#CC[C@@H]1NC(=O)N(Cc2cc[nH+]c(N3CCCCC3)c2)C1=O ZINC000842237976 702686970 /nfs/dbraw/zinc/68/69/70/702686970.db2.gz XTSYLQBMDYAGSI-AWEZNQCLSA-N 1 2 312.373 1.516 20 30 DDEDLO C#CC[C@H]1NC(=O)N(Cc2cc[nH+]c(N3CCCCC3)c2)C1=O ZINC000842237979 702687138 /nfs/dbraw/zinc/68/71/38/702687138.db2.gz XTSYLQBMDYAGSI-CQSZACIVSA-N 1 2 312.373 1.516 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ccc(C#N)cc3)CC2)nn1 ZINC000879586589 706728698 /nfs/dbraw/zinc/72/86/98/706728698.db2.gz NZMUZLOLBRCASV-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=C[C@@H](C)ONC(=O)C[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000846546451 703294796 /nfs/dbraw/zinc/29/47/96/703294796.db2.gz VAGKEFUEJBVCQV-GDBMZVCRSA-N 1 2 304.390 1.900 20 30 DDEDLO C=C[C@@H](C)ONC(=O)C[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000846546451 703294798 /nfs/dbraw/zinc/29/47/98/703294798.db2.gz VAGKEFUEJBVCQV-GDBMZVCRSA-N 1 2 304.390 1.900 20 30 DDEDLO C=C[C@H](C)ONC(=O)CC[NH+]1CCN(c2ccccc2)CC1 ZINC000846546130 703294941 /nfs/dbraw/zinc/29/49/41/703294941.db2.gz LPZIXXATXWMLJO-HNNXBMFYSA-N 1 2 303.406 1.821 20 30 DDEDLO CC[C@H](CC#N)[NH2+][C@H](C)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000846988036 703362316 /nfs/dbraw/zinc/36/23/16/703362316.db2.gz PQLTVDVQLFOIKQ-NXEZZACHSA-N 1 2 301.350 1.877 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CC(=O)c2[nH]c(C)c(C(=O)OC)c2C)C1 ZINC000847033567 703369739 /nfs/dbraw/zinc/36/97/39/703369739.db2.gz YPCGNCBYAXLWPY-CYBMUJFWSA-N 1 2 302.374 1.946 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CC(=O)c2[nH]c(C)c(C(=O)OC)c2C)C1 ZINC000847033567 703369740 /nfs/dbraw/zinc/36/97/40/703369740.db2.gz YPCGNCBYAXLWPY-CYBMUJFWSA-N 1 2 302.374 1.946 20 30 DDEDLO COC(=O)[C@H](C)n1cccc1C=NNCCCn1cc[nH+]c1 ZINC000848419158 703548429 /nfs/dbraw/zinc/54/84/29/703548429.db2.gz ULUSOWODYXDWJG-ZDUSSCGKSA-N 1 2 303.366 1.432 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](CCOc2ccccc2C#N)CC1 ZINC000831690557 706754269 /nfs/dbraw/zinc/75/42/69/706754269.db2.gz BCPLXAKKTVAHPI-UHFFFAOYSA-N 1 2 313.357 1.716 20 30 DDEDLO Brc1cnc(N=NCc2c[nH+]c3n2CCC3)nc1 ZINC000853371707 704237247 /nfs/dbraw/zinc/23/72/47/704237247.db2.gz BFFAONRZINIMGL-UHFFFAOYSA-N 1 2 307.155 1.828 20 30 DDEDLO C#C[C@H](CCC)NC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000820654592 704315447 /nfs/dbraw/zinc/31/54/47/704315447.db2.gz PENFGHMYJYIDSY-CQSZACIVSA-N 1 2 301.394 1.419 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)C(=O)Nc1cc(C#N)ccc1O ZINC000821349394 704394788 /nfs/dbraw/zinc/39/47/88/704394788.db2.gz QEBMWMNFNKGMFQ-UHFFFAOYSA-N 1 2 303.362 1.561 20 30 DDEDLO C#CC[C@H](CO)NC(=O)c1cccc(CNc2cc[nH+]c(C)n2)c1 ZINC000854543742 704415268 /nfs/dbraw/zinc/41/52/68/704415268.db2.gz FNIBBPLTLNXVFW-MRXNPFEDSA-N 1 2 324.384 1.511 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000858166739 704672678 /nfs/dbraw/zinc/67/26/78/704672678.db2.gz ZEFRBWGLZHYIAE-ZDUSSCGKSA-N 1 2 305.378 1.545 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CCSCC2)nc[nH+]1 ZINC000858856447 704764671 /nfs/dbraw/zinc/76/46/71/704764671.db2.gz DWBMLUZDYIUVOW-CYBMUJFWSA-N 1 2 306.435 1.870 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CCSCC2)[nH+]cn1 ZINC000858856447 704764674 /nfs/dbraw/zinc/76/46/74/704764674.db2.gz DWBMLUZDYIUVOW-CYBMUJFWSA-N 1 2 306.435 1.870 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000859024741 704786402 /nfs/dbraw/zinc/78/64/02/704786402.db2.gz IVULYEDPJRLBJB-CQSZACIVSA-N 1 2 304.394 1.727 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)C1CCOCC1 ZINC000823186146 705165510 /nfs/dbraw/zinc/16/55/10/705165510.db2.gz JBHQUIMTBLZVIF-GJZGRUSLSA-N 1 2 316.405 1.727 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1CCOC[C@H]1CC)c1ccccc1 ZINC000875724887 705490387 /nfs/dbraw/zinc/49/03/87/705490387.db2.gz JBUOWWRFSVHGMJ-SJORKVTESA-N 1 2 315.417 1.771 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1CCOC[C@H]1CC)c1ccccc1 ZINC000875724887 705490395 /nfs/dbraw/zinc/49/03/95/705490395.db2.gz JBUOWWRFSVHGMJ-SJORKVTESA-N 1 2 315.417 1.771 20 30 DDEDLO COC(=O)c1cccc(C[NH+]2CCC(C#N)(C(=O)OC)CC2)c1 ZINC000824871397 705548428 /nfs/dbraw/zinc/54/84/28/705548428.db2.gz FFULOMCKRYRYDA-UHFFFAOYSA-N 1 2 316.357 1.752 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)CC1 ZINC000876442892 705722615 /nfs/dbraw/zinc/72/26/15/705722615.db2.gz XWXFIFSILXOLJX-ULQDDVLXSA-N 1 2 319.449 1.685 20 30 DDEDLO CN(C)C(=O)C1CC[NH+](Cc2cc(C#N)cnc2Cl)CC1 ZINC000876542636 705752352 /nfs/dbraw/zinc/75/23/52/705752352.db2.gz RCDLKILGDDFBID-UHFFFAOYSA-N 1 2 306.797 1.907 20 30 DDEDLO N#Cc1cnc(Cl)c(C[N@@H+]2CCC[C@H]2CN2CCOCC2)c1 ZINC000876625737 705789934 /nfs/dbraw/zinc/78/99/34/705789934.db2.gz DMNOSXQYKFYNNM-HNNXBMFYSA-N 1 2 320.824 1.903 20 30 DDEDLO N#Cc1cnc(Cl)c(C[N@H+]2CCC[C@H]2CN2CCOCC2)c1 ZINC000876625737 705789936 /nfs/dbraw/zinc/78/99/36/705789936.db2.gz DMNOSXQYKFYNNM-HNNXBMFYSA-N 1 2 320.824 1.903 20 30 DDEDLO C#CC[C@H]1CCCN(c2nc(NCCO)[nH+]c(NC(C)C)n2)C1 ZINC000826586250 705819829 /nfs/dbraw/zinc/81/98/29/705819829.db2.gz ZORVWMNBBYCCSL-ZDUSSCGKSA-N 1 2 318.425 1.336 20 30 DDEDLO CN(C)c1cc(F)c(C=[NH+]N[C@H]2CCS(=O)(=O)C2)cc1F ZINC000863062925 705858109 /nfs/dbraw/zinc/85/81/09/705858109.db2.gz JUVYLVIHRIDZNX-JTQLQIEISA-N 1 2 317.361 1.141 20 30 DDEDLO COC(=O)[C@@H](O)C1CC[NH+](Cc2cc(C#N)cnc2Cl)CC1 ZINC000876810364 705886530 /nfs/dbraw/zinc/88/65/30/705886530.db2.gz SIQYLSGNEPWDEE-ZDUSSCGKSA-N 1 2 323.780 1.353 20 30 DDEDLO N#Cc1cnc(Cl)c(C[NH2+]CC(=O)NCC(F)(F)F)c1 ZINC000876992395 705951566 /nfs/dbraw/zinc/95/15/66/705951566.db2.gz CQEOKILXSYWWFA-UHFFFAOYSA-N 1 2 306.675 1.375 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)cc1 ZINC000827270818 705965513 /nfs/dbraw/zinc/96/55/13/705965513.db2.gz GQOMXQJUAHULFS-CQSZACIVSA-N 1 2 322.430 1.164 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)cc1 ZINC000827270818 705965515 /nfs/dbraw/zinc/96/55/15/705965515.db2.gz GQOMXQJUAHULFS-CQSZACIVSA-N 1 2 322.430 1.164 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)OCC ZINC000881753191 707352140 /nfs/dbraw/zinc/35/21/40/707352140.db2.gz JOBMXGJCIVQVAB-QWHCGFSZSA-N 1 2 314.451 1.438 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1sccc1CC#N)[NH+]1CCOCC1 ZINC000864670715 706230099 /nfs/dbraw/zinc/23/00/99/706230099.db2.gz YZRAJHOGVGTSDO-CQSZACIVSA-N 1 2 321.446 1.901 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@@H+]1CCCC[C@@H]1C(N)=O)c1ccccc1 ZINC000877942178 706247253 /nfs/dbraw/zinc/24/72/53/706247253.db2.gz BBMIWSRKFWBAOZ-SJLPKXTDSA-N 1 2 313.401 1.767 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@H+]1CCCC[C@@H]1C(N)=O)c1ccccc1 ZINC000877942178 706247254 /nfs/dbraw/zinc/24/72/54/706247254.db2.gz BBMIWSRKFWBAOZ-SJLPKXTDSA-N 1 2 313.401 1.767 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@@H+]1CCCC[C@@H]1C(N)=O)c1ccccc1 ZINC000877942176 706247422 /nfs/dbraw/zinc/24/74/22/706247422.db2.gz BBMIWSRKFWBAOZ-AEFFLSMTSA-N 1 2 313.401 1.767 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@H+]1CCCC[C@@H]1C(N)=O)c1ccccc1 ZINC000877942176 706247424 /nfs/dbraw/zinc/24/74/24/706247424.db2.gz BBMIWSRKFWBAOZ-AEFFLSMTSA-N 1 2 313.401 1.767 20 30 DDEDLO CC[N@H+](CC[C@@](C#N)(C(C)=O)c1ccccc1)CC(=O)N(C)C ZINC000877959558 706251124 /nfs/dbraw/zinc/25/11/24/706251124.db2.gz ZPLXTQBZYQVKCN-GOSISDBHSA-N 1 2 315.417 1.837 20 30 DDEDLO CC[N@@H+](CC[C@@](C#N)(C(C)=O)c1ccccc1)CC(=O)N(C)C ZINC000877959558 706251126 /nfs/dbraw/zinc/25/11/26/706251126.db2.gz ZPLXTQBZYQVKCN-GOSISDBHSA-N 1 2 315.417 1.837 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C#N)cc1OC ZINC000828927589 706257012 /nfs/dbraw/zinc/25/70/12/706257012.db2.gz JGOIPCDRXPXIKP-CQSZACIVSA-N 1 2 317.389 1.407 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(C#N)cc1OC ZINC000828927589 706257015 /nfs/dbraw/zinc/25/70/15/706257015.db2.gz JGOIPCDRXPXIKP-CQSZACIVSA-N 1 2 317.389 1.407 20 30 DDEDLO C=CC[C@@H](C(=O)OC)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000878253558 706339514 /nfs/dbraw/zinc/33/95/14/706339514.db2.gz PIVIEWWTTQUCMR-INIZCTEOSA-N 1 2 304.390 1.628 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)[C@H]1CCc3[nH+]ccn3C1)C2 ZINC000829485421 706342759 /nfs/dbraw/zinc/34/27/59/706342759.db2.gz CQDZQNXNPZLUTA-GOEBONIOSA-N 1 2 306.369 1.601 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)[C@@H]1CCc3[nH+]ccn3C1)C2 ZINC000829485420 706342766 /nfs/dbraw/zinc/34/27/66/706342766.db2.gz CQDZQNXNPZLUTA-GDBMZVCRSA-N 1 2 306.369 1.601 20 30 DDEDLO C#CCC[NH+]1CCN(CCCS(=O)(=O)C(C)(C)C)CC1 ZINC000878313461 706355994 /nfs/dbraw/zinc/35/59/94/706355994.db2.gz YCZNWTGDKBDLTI-UHFFFAOYSA-N 1 2 300.468 1.231 20 30 DDEDLO C=CCNC(=S)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000865265982 706392182 /nfs/dbraw/zinc/39/21/82/706392182.db2.gz DHBUZJASZWIXCC-MRXNPFEDSA-N 1 2 319.474 1.846 20 30 DDEDLO C=CCNC(=S)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000865265982 706392184 /nfs/dbraw/zinc/39/21/84/706392184.db2.gz DHBUZJASZWIXCC-MRXNPFEDSA-N 1 2 319.474 1.846 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+](C)CCn1cc(Br)cn1 ZINC000878623936 706448604 /nfs/dbraw/zinc/44/86/04/706448604.db2.gz APRJOSKKYFUBMB-NSHDSACASA-N 1 2 316.199 1.695 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+](C)CCn1cc(Br)cn1 ZINC000878623936 706448605 /nfs/dbraw/zinc/44/86/05/706448605.db2.gz APRJOSKKYFUBMB-NSHDSACASA-N 1 2 316.199 1.695 20 30 DDEDLO C[N@H+](C[C@@H](O)c1cc(Br)no1)CC(C)(C)C#N ZINC000878649794 706456499 /nfs/dbraw/zinc/45/64/99/706456499.db2.gz CPLPPZQZAIQJMA-MRVPVSSYSA-N 1 2 302.172 1.952 20 30 DDEDLO C[N@@H+](C[C@@H](O)c1cc(Br)no1)CC(C)(C)C#N ZINC000878649794 706456501 /nfs/dbraw/zinc/45/65/01/706456501.db2.gz CPLPPZQZAIQJMA-MRVPVSSYSA-N 1 2 302.172 1.952 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1cc(C(F)(F)F)cc(C#N)n1 ZINC000865971506 706580608 /nfs/dbraw/zinc/58/06/08/706580608.db2.gz GKHVZWSASCOTEB-NSHDSACASA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1cc(C(F)(F)F)cc(C#N)n1 ZINC000865971506 706580610 /nfs/dbraw/zinc/58/06/10/706580610.db2.gz GKHVZWSASCOTEB-NSHDSACASA-N 1 2 300.284 1.715 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)C#Cc1cccs1 ZINC000832383362 706901413 /nfs/dbraw/zinc/90/14/13/706901413.db2.gz AXEMRMLXOLHYOK-UONOGXRCSA-N 1 2 304.415 1.421 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cc(CC#N)ccn1 ZINC000871761101 707191485 /nfs/dbraw/zinc/19/14/85/707191485.db2.gz QGLNDKSTJFRXLF-AWEZNQCLSA-N 1 2 317.393 1.380 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1cc(CC#N)ccn1 ZINC000871761101 707191488 /nfs/dbraw/zinc/19/14/88/707191488.db2.gz QGLNDKSTJFRXLF-AWEZNQCLSA-N 1 2 317.393 1.380 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)c2ccccc2Cl)nn1 ZINC000881424053 707252098 /nfs/dbraw/zinc/25/20/98/707252098.db2.gz IWRYVRUZJDNGQH-HNNXBMFYSA-N 1 2 304.781 1.778 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1CCNC(=O)CC12CCOCC2 ZINC000872286388 707365646 /nfs/dbraw/zinc/36/56/46/707365646.db2.gz GRYKCJJVZNVLHR-UHFFFAOYSA-N 1 2 317.364 1.568 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1CCNC(=O)CC12CCOCC2 ZINC000872286388 707365652 /nfs/dbraw/zinc/36/56/52/707365652.db2.gz GRYKCJJVZNVLHR-UHFFFAOYSA-N 1 2 317.364 1.568 20 30 DDEDLO COCCN(C)N=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872372617 707393717 /nfs/dbraw/zinc/39/37/17/707393717.db2.gz FPGJXJOUOHGLDF-UHFFFAOYSA-N 1 2 321.421 1.310 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000837913863 707780323 /nfs/dbraw/zinc/78/03/23/707780323.db2.gz FBKLMIQKRXPNKB-HNNXBMFYSA-N 1 2 314.389 1.999 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC(C)(C)c1cccc(C)c1 ZINC000884109860 708143753 /nfs/dbraw/zinc/14/37/53/708143753.db2.gz SQZNYPMOQDSDNZ-HNNXBMFYSA-N 1 2 318.417 1.835 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)Cc1ccc(C)s1 ZINC000884147294 708160249 /nfs/dbraw/zinc/16/02/49/708160249.db2.gz FFBJWXSXWRYFJL-MFKMUULPSA-N 1 2 310.419 1.550 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCC[C@@H]1SCC ZINC000884169501 708169787 /nfs/dbraw/zinc/16/97/87/708169787.db2.gz DVTDBYZOUYXVME-SRVKXCTJSA-N 1 2 300.424 1.223 20 30 DDEDLO O=C1C=COC2(CCN(c3cc(NC4CC4)[nH+]cn3)CC2)C1 ZINC000896881794 708171697 /nfs/dbraw/zinc/17/16/97/708171697.db2.gz FXERMUNHRVBMJA-UHFFFAOYSA-N 1 2 300.362 1.893 20 30 DDEDLO O=C1C=COC2(CCN(c3cc(NC4CC4)nc[nH+]3)CC2)C1 ZINC000896881794 708171700 /nfs/dbraw/zinc/17/17/00/708171700.db2.gz FXERMUNHRVBMJA-UHFFFAOYSA-N 1 2 300.362 1.893 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)Nc2cc(C)n(CCC#N)n2)c[nH+]1 ZINC000897285164 708279315 /nfs/dbraw/zinc/27/93/15/708279315.db2.gz OMYWQGBSDZXFME-UHFFFAOYSA-N 1 2 300.366 1.984 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)CCc1cccc(C#N)c1 ZINC000884466410 708308119 /nfs/dbraw/zinc/30/81/19/708308119.db2.gz YZVUUGHJWSWAEN-HNNXBMFYSA-N 1 2 315.373 1.006 20 30 DDEDLO C=CCCCC[C@H](C)[NH2+]Cc1nc(CS(C)(=O)=O)n[nH]1 ZINC000897887283 708482062 /nfs/dbraw/zinc/48/20/62/708482062.db2.gz PPCCSHXDKCJBNG-NSHDSACASA-N 1 2 300.428 1.574 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@@H](C)N(CC#N)[C@@H](C)C2)c[nH+]1 ZINC000898132280 708551396 /nfs/dbraw/zinc/55/13/96/708551396.db2.gz GNAKURQYXUNLPH-OKILXGFUSA-N 1 2 303.410 1.371 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(C3CC3)cc2)C1 ZINC000885509938 708562566 /nfs/dbraw/zinc/56/25/66/708562566.db2.gz ZTHSKNBMTSVSIN-INIZCTEOSA-N 1 2 318.442 1.940 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(C3CC3)cc2)C1 ZINC000885509938 708562568 /nfs/dbraw/zinc/56/25/68/708562568.db2.gz ZTHSKNBMTSVSIN-INIZCTEOSA-N 1 2 318.442 1.940 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000885514062 708563558 /nfs/dbraw/zinc/56/35/58/708563558.db2.gz OGKIHOLCDSSBQF-ZDUSSCGKSA-N 1 2 312.822 1.716 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000885514062 708563560 /nfs/dbraw/zinc/56/35/60/708563560.db2.gz OGKIHOLCDSSBQF-ZDUSSCGKSA-N 1 2 312.822 1.716 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H]1CC[C@@H](C2CC2)O1 ZINC000886030016 708684654 /nfs/dbraw/zinc/68/46/54/708684654.db2.gz FFXNIBKQERWQGD-IRXDYDNUSA-N 1 2 307.438 1.492 20 30 DDEDLO Cc1nsc(N2CC[NH+](C[C@](C)(O)C(F)F)CC2)c1C#N ZINC000886210954 708715409 /nfs/dbraw/zinc/71/54/09/708715409.db2.gz FYJPHINBBGJADX-ZDUSSCGKSA-N 1 2 316.377 1.461 20 30 DDEDLO C#CCN(C(=O)c1cccc(-c2nnc[nH]2)c1)C1CC[NH+](C)CC1 ZINC000886579077 708780554 /nfs/dbraw/zinc/78/05/54/708780554.db2.gz XCMZRNCGXNPCBH-UHFFFAOYSA-N 1 2 323.400 1.641 20 30 DDEDLO C=CCC1(O)CC[NH+](CC(=O)NCC(=O)c2cccs2)CC1 ZINC000886774392 708842350 /nfs/dbraw/zinc/84/23/50/708842350.db2.gz MGNFSPHMNSQSGC-UHFFFAOYSA-N 1 2 322.430 1.450 20 30 DDEDLO COC(=O)[C@@H](C[C@@H]1CCCO1)[NH2+]CCc1ccc(C#N)cc1 ZINC000886942627 708898853 /nfs/dbraw/zinc/89/88/53/708898853.db2.gz XZCGFNDRXMFSLI-JKSUJKDBSA-N 1 2 302.374 1.801 20 30 DDEDLO C=CCOc1ccc(C(=O)N[C@H](CO)c2c[nH+]cn2C)cc1 ZINC000898886333 708907251 /nfs/dbraw/zinc/90/72/51/708907251.db2.gz ZDTAULKAWMRTKW-CQSZACIVSA-N 1 2 301.346 1.448 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NOc2cccc(C#N)c2)[nH]n1 ZINC000899166223 709006921 /nfs/dbraw/zinc/00/69/21/709006921.db2.gz LOHNAYICUXMTNJ-OAHLLOKOSA-N 1 2 311.345 1.772 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)NOc2cccc(C#N)c2)[nH]n1 ZINC000899166223 709006925 /nfs/dbraw/zinc/00/69/25/709006925.db2.gz LOHNAYICUXMTNJ-OAHLLOKOSA-N 1 2 311.345 1.772 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCc3cnccn3)CC2)cc1 ZINC000899173057 709009194 /nfs/dbraw/zinc/00/91/94/709009194.db2.gz JHTPNHBGSMOCQN-UHFFFAOYSA-N 1 2 320.396 1.458 20 30 DDEDLO C[C@]1(C#N)CN(C(=O)c2cccc(Cn3cc[nH+]c3)c2)CCO1 ZINC000887671087 709096999 /nfs/dbraw/zinc/09/69/99/709096999.db2.gz NNPNCNWWBZIYCV-KRWDZBQOSA-N 1 2 310.357 1.686 20 30 DDEDLO C[C@@H]1CCN(C(=O)c2cccc(C#CCO)c2)C[C@@H]1n1cc[nH+]c1 ZINC000901042335 709896063 /nfs/dbraw/zinc/89/60/63/709896063.db2.gz OXTRFERCEVFCBC-QAPCUYQASA-N 1 2 323.396 1.950 20 30 DDEDLO CCC(CC)[C@H](C(=O)N1CCC[C@H](C#N)C1)[NH+]1CCOCC1 ZINC000928220152 713154152 /nfs/dbraw/zinc/15/41/52/713154152.db2.gz FTICHMACZOHBHI-GDBMZVCRSA-N 1 2 307.438 1.886 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1nccn1C1CC1 ZINC000891393147 710183315 /nfs/dbraw/zinc/18/33/15/710183315.db2.gz AGRUFUOCEUJAJD-UHFFFAOYSA-N 1 2 303.410 1.266 20 30 DDEDLO Cn1nc2c(c1C[NH+]1CCN(c3cc(C#N)ccn3)CC1)CCC2 ZINC000891558443 710235870 /nfs/dbraw/zinc/23/58/70/710235870.db2.gz JTMATBFDDNXKTK-UHFFFAOYSA-N 1 2 322.416 1.498 20 30 DDEDLO C=C(Br)CNc1cc(N[C@@H](CC)CO)nc[nH+]1 ZINC000892035416 710357274 /nfs/dbraw/zinc/35/72/74/710357274.db2.gz IPHSKXDJZSCEJL-VIFPVBQESA-N 1 2 301.188 1.980 20 30 DDEDLO C=C(Br)CNc1cc(N[C@@H](CC)CO)[nH+]cn1 ZINC000892035416 710357275 /nfs/dbraw/zinc/35/72/75/710357275.db2.gz IPHSKXDJZSCEJL-VIFPVBQESA-N 1 2 301.188 1.980 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)N2CCO[C@](C)(C#N)C2)c1C ZINC000892517386 710450753 /nfs/dbraw/zinc/45/07/53/710450753.db2.gz IQPUENTZJCZRCX-MRXNPFEDSA-N 1 2 318.377 1.531 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@H](Nc2ncccn2)C1 ZINC000903031652 711007878 /nfs/dbraw/zinc/00/78/78/711007878.db2.gz SRHCZTPGLUYKBF-CZUORRHYSA-N 1 2 316.409 1.017 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@@H](Nc2ncccn2)C1 ZINC000903031652 711007882 /nfs/dbraw/zinc/00/78/82/711007882.db2.gz SRHCZTPGLUYKBF-CZUORRHYSA-N 1 2 316.409 1.017 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)c3ccsc3C#N)CC[NH2+]2)cn1 ZINC000913476086 713232570 /nfs/dbraw/zinc/23/25/70/713232570.db2.gz QLZAOYRUHZBEIT-GFCCVEGCSA-N 1 2 301.375 1.140 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCCN(C(=O)CNc2cccc[nH+]2)C1 ZINC000912296627 711265653 /nfs/dbraw/zinc/26/56/53/711265653.db2.gz UIKTVKOPOYCZKC-SFHVURJKSA-N 1 2 321.384 1.972 20 30 DDEDLO C#CCN(C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C(C)C ZINC000928624781 713240732 /nfs/dbraw/zinc/24/07/32/713240732.db2.gz GYRRQENAKGSJHS-HNNXBMFYSA-N 1 2 318.421 1.353 20 30 DDEDLO C#CCN(C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C(C)C ZINC000928624781 713240735 /nfs/dbraw/zinc/24/07/35/713240735.db2.gz GYRRQENAKGSJHS-HNNXBMFYSA-N 1 2 318.421 1.353 20 30 DDEDLO Cc1c(Cl)cnc(N[C@H](C)[C@H]2CN(C)CC[N@@H+]2C)c1C#N ZINC000895244954 711463314 /nfs/dbraw/zinc/46/33/14/711463314.db2.gz BGUFMXALOQOOMP-BXUZGUMPSA-N 1 2 307.829 1.961 20 30 DDEDLO Cc1c(Cl)cnc(N[C@H](C)[C@H]2CN(C)CC[N@H+]2C)c1C#N ZINC000895244954 711463315 /nfs/dbraw/zinc/46/33/15/711463315.db2.gz BGUFMXALOQOOMP-BXUZGUMPSA-N 1 2 307.829 1.961 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCc2cccc(C#N)c2)[nH]n1 ZINC000895639090 711566479 /nfs/dbraw/zinc/56/64/79/711566479.db2.gz YKCJDXLRVKKMNM-INIZCTEOSA-N 1 2 309.373 1.978 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)NCc2cccc(C#N)c2)[nH]n1 ZINC000895639090 711566481 /nfs/dbraw/zinc/56/64/81/711566481.db2.gz YKCJDXLRVKKMNM-INIZCTEOSA-N 1 2 309.373 1.978 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)/N=C/c1c(CO)cnc(C)c1O ZINC000905002077 711908694 /nfs/dbraw/zinc/90/86/94/711908694.db2.gz FNCXAMQRRWGYHA-UHPUNMKFSA-N 1 2 307.394 1.118 20 30 DDEDLO COC(=O)Cn1cc(C(=O)/C=C/c2c[nH+]cn2C(C)C)nn1 ZINC000905082903 711930724 /nfs/dbraw/zinc/93/07/24/711930724.db2.gz CMGRDOUYAQGOLU-SNAWJCMRSA-N 1 2 303.322 1.125 20 30 DDEDLO C=CC[C@@H]([NH2+]CCCN1C(=O)c2ccccc2C1=O)C(=O)OC ZINC000905766309 712142253 /nfs/dbraw/zinc/14/22/53/712142253.db2.gz DGJHLWUIJPYBKU-CQSZACIVSA-N 1 2 316.357 1.380 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@@H]2C[C@@H]2c2cccc(F)c2)CC1 ZINC000906590478 712363467 /nfs/dbraw/zinc/36/34/67/712363467.db2.gz KLBBTWJNJFKZDH-IAGOWNOFSA-N 1 2 315.392 1.049 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2ccsc2C#N)C[C@H]1C ZINC000907214557 712514972 /nfs/dbraw/zinc/51/49/72/712514972.db2.gz PPTDRRHHSUOWBU-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2ccsc2C#N)C[C@H]1C ZINC000907214557 712514974 /nfs/dbraw/zinc/51/49/74/712514974.db2.gz PPTDRRHHSUOWBU-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000913994068 713329464 /nfs/dbraw/zinc/32/94/64/713329464.db2.gz TZCVIYHQCMSIIS-HNNXBMFYSA-N 1 2 316.405 1.255 20 30 DDEDLO N#Cc1ccccc1C(F)(F)C(=O)N[C@H]1CCc2c[nH+]cn2C1 ZINC000908134267 712695192 /nfs/dbraw/zinc/69/51/92/712695192.db2.gz PERWCGGSWYQPSY-LBPRGKRZSA-N 1 2 316.311 1.978 20 30 DDEDLO C#CCC1(NC(=O)NC[C@H](C)[NH+]2CCOCC2)CCCCC1 ZINC000914066750 713337369 /nfs/dbraw/zinc/33/73/69/713337369.db2.gz DFTQYAHRTVLLHX-HNNXBMFYSA-N 1 2 307.438 1.733 20 30 DDEDLO C=CCSCCNC(=O)N[C@H](CC)C[NH+]1CCOCC1 ZINC000915205403 713412656 /nfs/dbraw/zinc/41/26/56/713412656.db2.gz QYQXWLNUPRPPPO-CYBMUJFWSA-N 1 2 301.456 1.316 20 30 DDEDLO COc1ccc(Cl)cc1CN1CC[NH+](C[C@H](O)CC#N)CC1 ZINC000929089800 713543670 /nfs/dbraw/zinc/54/36/70/713543670.db2.gz GDQRJAHUHQJXCF-OAHLLOKOSA-N 1 2 323.824 1.741 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CN1CC[NH+](CC[S@](C)=O)CC1 ZINC000929993681 713731251 /nfs/dbraw/zinc/73/12/51/713731251.db2.gz OKVPQBGHEQXTLB-QFIPXVFZSA-N 1 2 319.474 1.671 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2ccc(C(F)F)o2)CC1 ZINC000921437512 713771115 /nfs/dbraw/zinc/77/11/15/713771115.db2.gz ZIIZFHKLAPYGQT-UHFFFAOYSA-N 1 2 318.345 1.593 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)N[C@@H](C#N)C2CCCC2)[nH]n1 ZINC000921555572 713806875 /nfs/dbraw/zinc/80/68/75/713806875.db2.gz PIVJGCUQCBZSBH-GJZGRUSLSA-N 1 2 301.394 1.989 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)N[C@@H](C#N)C2CCCC2)[nH]n1 ZINC000921555572 713806878 /nfs/dbraw/zinc/80/68/78/713806878.db2.gz PIVJGCUQCBZSBH-GJZGRUSLSA-N 1 2 301.394 1.989 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@H]2CCC3(COC3)O2)C1 ZINC000930924966 713961487 /nfs/dbraw/zinc/96/14/87/713961487.db2.gz XSYLQPDNAOCIRW-NVXWUHKLSA-N 1 2 313.401 1.887 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@H]2CCC3(COC3)O2)C1 ZINC000930924966 713961488 /nfs/dbraw/zinc/96/14/88/713961488.db2.gz XSYLQPDNAOCIRW-NVXWUHKLSA-N 1 2 313.401 1.887 20 30 DDEDLO C#CC1(O)CC[NH+](CCCS(=O)(=O)c2cccc(C)c2)CC1 ZINC000931150437 714016588 /nfs/dbraw/zinc/01/65/88/714016588.db2.gz DHMKQJKQRXMYQE-UHFFFAOYSA-N 1 2 321.442 1.619 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)C1(C#N)CCSCC1)[NH+]1CCOCC1 ZINC000932051582 714246984 /nfs/dbraw/zinc/24/69/84/714246984.db2.gz HLLASGILAIQEBC-QWHCGFSZSA-N 1 2 311.451 1.249 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cccc(CC#N)n1 ZINC000932424124 714327037 /nfs/dbraw/zinc/32/70/37/714327037.db2.gz CFCASGVLIXJEHH-CYBMUJFWSA-N 1 2 317.393 1.332 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C[C@@H](C)n2ccnc2CC)C1 ZINC000923554916 714399463 /nfs/dbraw/zinc/39/94/63/714399463.db2.gz SCXKMXVVRMQKFL-HUUCEWRRSA-N 1 2 302.422 1.610 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C[C@@H](C)n2ccnc2CC)C1 ZINC000923554916 714399468 /nfs/dbraw/zinc/39/94/68/714399468.db2.gz SCXKMXVVRMQKFL-HUUCEWRRSA-N 1 2 302.422 1.610 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cn(C3CC3)c(C)cc2=O)C1 ZINC000923561715 714404687 /nfs/dbraw/zinc/40/46/87/714404687.db2.gz KDLGPRIMRWZFPH-CQSZACIVSA-N 1 2 313.401 1.319 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cn(C3CC3)c(C)cc2=O)C1 ZINC000923561715 714404688 /nfs/dbraw/zinc/40/46/88/714404688.db2.gz KDLGPRIMRWZFPH-CQSZACIVSA-N 1 2 313.401 1.319 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc(CC3CC3)s2)C1 ZINC000923596911 714423748 /nfs/dbraw/zinc/42/37/48/714423748.db2.gz UQZMJBZSRADVBA-CYBMUJFWSA-N 1 2 303.431 1.923 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc(CC3CC3)s2)C1 ZINC000923596911 714423751 /nfs/dbraw/zinc/42/37/51/714423751.db2.gz UQZMJBZSRADVBA-CYBMUJFWSA-N 1 2 303.431 1.923 20 30 DDEDLO N#CC[C@@H](NC(=O)NCc1ccn2cc[nH+]c2c1)C(F)(F)F ZINC000932908978 714435259 /nfs/dbraw/zinc/43/52/59/714435259.db2.gz AFICQWKXSHNOSK-SNVBAGLBSA-N 1 2 311.267 1.978 20 30 DDEDLO COC[C@@H]1CC[C@H]([C@H]2COCC[N@@H+]2Cc2ccc(C#N)cc2)O1 ZINC000933199689 714534531 /nfs/dbraw/zinc/53/45/31/714534531.db2.gz UIZWYWJKOLPITO-RCCFBDPRSA-N 1 2 316.401 1.953 20 30 DDEDLO COC[C@@H]1CC[C@H]([C@H]2COCC[N@H+]2Cc2ccc(C#N)cc2)O1 ZINC000933199689 714534533 /nfs/dbraw/zinc/53/45/33/714534533.db2.gz UIZWYWJKOLPITO-RCCFBDPRSA-N 1 2 316.401 1.953 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)CN1CCc2c([nH+]cn2CC)C1 ZINC000933261815 714549163 /nfs/dbraw/zinc/54/91/63/714549163.db2.gz WGALSFVQECODMZ-CYBMUJFWSA-N 1 2 303.410 1.269 20 30 DDEDLO CCn1c[nH+]c2c1CCN([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2 ZINC000933263940 714549506 /nfs/dbraw/zinc/54/95/06/714549506.db2.gz STVIDLKZBBDDAQ-CXAGYDPISA-N 1 2 317.437 1.704 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCOC[C@@H]1C[C@@H]1CCCO1 ZINC000933674975 714645535 /nfs/dbraw/zinc/64/55/35/714645535.db2.gz FPUUFXITMWYLBS-GJZGRUSLSA-N 1 2 309.410 1.018 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCOC[C@@H]1C[C@@H]1CCCO1 ZINC000933674975 714645537 /nfs/dbraw/zinc/64/55/37/714645537.db2.gz FPUUFXITMWYLBS-GJZGRUSLSA-N 1 2 309.410 1.018 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+][C@@H](CC)c1cccc(C#N)c1O ZINC000926127078 715010408 /nfs/dbraw/zinc/01/04/08/715010408.db2.gz PCCVZUZZEWVKSH-AWEZNQCLSA-N 1 2 308.403 1.905 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC000956570528 715473655 /nfs/dbraw/zinc/47/36/55/715473655.db2.gz MACPWOFTTJEXTF-DOTOQJQBSA-N 1 2 307.438 1.354 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2nc3cc[nH]cc-3n2)CC1 ZINC000957107112 715750591 /nfs/dbraw/zinc/75/05/91/715750591.db2.gz MMTIBCONHWZHQY-UHFFFAOYSA-N 1 2 311.389 1.058 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(-n3ccnn3)cc2)C1 ZINC000957124840 715758754 /nfs/dbraw/zinc/75/87/54/715758754.db2.gz SMVFMGRHOMFCFN-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc(NC(N)=O)cc2)C1 ZINC000957358216 715858734 /nfs/dbraw/zinc/85/87/34/715858734.db2.gz GLNHOGNTRVPMIH-UHFFFAOYSA-N 1 2 316.405 1.900 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(NC(N)=O)cc2)C1 ZINC000957358540 715858840 /nfs/dbraw/zinc/85/88/40/715858840.db2.gz XDBHMCRNKNDMHF-UHFFFAOYSA-N 1 2 314.389 1.347 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC000957429782 715900131 /nfs/dbraw/zinc/90/01/31/715900131.db2.gz PWBGINHPKCXXFU-UHFFFAOYSA-N 1 2 323.400 1.641 20 30 DDEDLO CCc1noc(C[NH2+]C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)n1 ZINC000959921460 716356206 /nfs/dbraw/zinc/35/62/06/716356206.db2.gz WTXYGGXDIZRRDH-RYUDHWBXSA-N 1 2 305.382 1.262 20 30 DDEDLO CCn1ccnc1C[N@H+](C)C[C@@H]1CCCN1C(=O)[C@@H](C)C#N ZINC000960488445 716577511 /nfs/dbraw/zinc/57/75/11/716577511.db2.gz ATWOQYUHFNPTPS-KBPBESRZSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)C[C@@H]1CCCN1C(=O)[C@@H](C)C#N ZINC000960488445 716577516 /nfs/dbraw/zinc/57/75/16/716577516.db2.gz ATWOQYUHFNPTPS-KBPBESRZSA-N 1 2 303.410 1.485 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC000960828142 716704801 /nfs/dbraw/zinc/70/48/01/716704801.db2.gz ROWKLKMYNAJEGJ-WBVHZDCISA-N 1 2 319.453 1.840 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC000960828142 716704804 /nfs/dbraw/zinc/70/48/04/716704804.db2.gz ROWKLKMYNAJEGJ-WBVHZDCISA-N 1 2 319.453 1.840 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccccc3F)CC2)C1 ZINC000941288572 717147493 /nfs/dbraw/zinc/14/74/93/717147493.db2.gz VYZVZQCBKSCKIQ-UHFFFAOYSA-N 1 2 315.392 1.291 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3cc(C)oc3C)CC2)C1 ZINC000941305414 717151253 /nfs/dbraw/zinc/15/12/53/717151253.db2.gz DVKFVRGQKJTDLL-UHFFFAOYSA-N 1 2 315.417 1.362 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CC[C@@H](C)C3)CC2)C1 ZINC000941595820 717223788 /nfs/dbraw/zinc/22/37/88/717223788.db2.gz PABJJMXPVDQEAM-HZPDHXFCSA-N 1 2 303.450 1.274 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC1CC2(CC(NCC#N)C2)C1 ZINC000965160737 717558218 /nfs/dbraw/zinc/55/82/18/717558218.db2.gz OMONTAOCNSWWEK-FZOOGMQUSA-N 1 2 313.405 1.064 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn(C)ccc2=O)[C@H](C)C1 ZINC000942445543 717680084 /nfs/dbraw/zinc/68/00/84/717680084.db2.gz WDTHBXZFOTZPIF-RISCZKNCSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn(C)ccc2=O)[C@H](C)C1 ZINC000942445543 717680089 /nfs/dbraw/zinc/68/00/89/717680089.db2.gz WDTHBXZFOTZPIF-RISCZKNCSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000967006819 718741566 /nfs/dbraw/zinc/74/15/66/718741566.db2.gz LWAIGZMYSBAOOL-SMDDNHRTSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000967006819 718741567 /nfs/dbraw/zinc/74/15/67/718741567.db2.gz LWAIGZMYSBAOOL-SMDDNHRTSA-N 1 2 323.824 1.578 20 30 DDEDLO CCCCc1noc(C[NH2+][C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000967944297 719098106 /nfs/dbraw/zinc/09/81/06/719098106.db2.gz OFVSAEMRMDKLSP-VXGBXAGGSA-N 1 2 305.382 1.262 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CCN(CC#N)[C@@H](C)C3)ccn12 ZINC000947315050 719115141 /nfs/dbraw/zinc/11/51/41/719115141.db2.gz MFKWWMDUZZCTGC-WFASDCNBSA-N 1 2 311.389 1.749 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC000947714968 719250865 /nfs/dbraw/zinc/25/08/65/719250865.db2.gz GAORAPYQSHHQKI-UHFFFAOYSA-N 1 2 304.394 1.272 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)[C@H](C)C2)nc1 ZINC000947948442 719315671 /nfs/dbraw/zinc/31/56/71/719315671.db2.gz NTBFQSJHQRKWNZ-HIFRSBDPSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)[C@H](C)C2)nc1 ZINC000947948442 719315674 /nfs/dbraw/zinc/31/56/74/719315674.db2.gz NTBFQSJHQRKWNZ-HIFRSBDPSA-N 1 2 324.384 1.834 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2scnc2COC)C1 ZINC000968399485 719559965 /nfs/dbraw/zinc/55/99/65/719559965.db2.gz DQYVJIKHXANFFO-NWDGAFQWSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2scnc2COC)C1 ZINC000968399485 719559970 /nfs/dbraw/zinc/55/99/70/719559970.db2.gz DQYVJIKHXANFFO-NWDGAFQWSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ncoc1C ZINC000948894293 719771922 /nfs/dbraw/zinc/77/19/22/719771922.db2.gz LBRCLGXEUHFVCS-MRXNPFEDSA-N 1 2 309.369 1.945 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ncoc1C ZINC000948894293 719771925 /nfs/dbraw/zinc/77/19/25/719771925.db2.gz LBRCLGXEUHFVCS-MRXNPFEDSA-N 1 2 309.369 1.945 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccnnc1C ZINC000948936692 719793318 /nfs/dbraw/zinc/79/33/18/719793318.db2.gz XVUOSWWEKOTGHS-GOSISDBHSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccnnc1C ZINC000948936692 719793321 /nfs/dbraw/zinc/79/33/21/719793321.db2.gz XVUOSWWEKOTGHS-GOSISDBHSA-N 1 2 320.396 1.747 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2c(C)nc3ccnn3c2C)CC1 ZINC000948996046 719832631 /nfs/dbraw/zinc/83/26/31/719832631.db2.gz CDECMHMPKQQEMA-UHFFFAOYSA-N 1 2 313.405 1.680 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cn(-c3ccccc3)nn2)CC1 ZINC000949053513 719875391 /nfs/dbraw/zinc/87/53/91/719875391.db2.gz WQRMWAOFXPLJHW-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CCCO[C@@H]3C)CC2)C1 ZINC000949346792 720032301 /nfs/dbraw/zinc/03/23/01/720032301.db2.gz YGMYVSIBQSJXHY-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CCCO[C@@H]3C)CC2)C1 ZINC000949346792 720032302 /nfs/dbraw/zinc/03/23/02/720032302.db2.gz YGMYVSIBQSJXHY-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@@H]3CC[C@H](C)O3)CC2)C1 ZINC000949463441 720105175 /nfs/dbraw/zinc/10/51/75/720105175.db2.gz MWTKTDBWNJNWJQ-HOTGVXAUSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@@H]3CC[C@H](C)O3)CC2)C1 ZINC000949463441 720105177 /nfs/dbraw/zinc/10/51/77/720105177.db2.gz MWTKTDBWNJNWJQ-HOTGVXAUSA-N 1 2 320.433 1.271 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC000969830617 720315075 /nfs/dbraw/zinc/31/50/75/720315075.db2.gz OVQLJZBXBYKNTO-MLGOLLRUSA-N 1 2 306.410 1.925 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3cc(C)ccn32)C1 ZINC000950410540 720620901 /nfs/dbraw/zinc/62/09/01/720620901.db2.gz ILEQIEQLPKIIEZ-UHFFFAOYSA-N 1 2 310.401 1.812 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)N(CC(C)C)C2)C1 ZINC000950463035 720644296 /nfs/dbraw/zinc/64/42/96/720644296.db2.gz XYOXJPUCUCGGIB-CQSZACIVSA-N 1 2 307.438 1.210 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncc(C)cc2OC)C1 ZINC000950528279 720671123 /nfs/dbraw/zinc/67/11/23/720671123.db2.gz CGLWNRUJYCLUCD-UHFFFAOYSA-N 1 2 301.390 1.568 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC000950663792 720726020 /nfs/dbraw/zinc/72/60/20/720726020.db2.gz XUOJMYYHJYRJNY-CQSZACIVSA-N 1 2 300.406 1.002 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccc(N(C)C)nn2)C1 ZINC000970743026 720918190 /nfs/dbraw/zinc/91/81/90/720918190.db2.gz AZFNIRSXHMTYHC-NSHDSACASA-N 1 2 323.828 1.345 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnn(C)c2OC)C1 ZINC000970806015 720942551 /nfs/dbraw/zinc/94/25/51/720942551.db2.gz HSXQQCYGTNKVQQ-JTQLQIEISA-N 1 2 312.801 1.231 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cccnc2N(C)C)C1 ZINC000970871927 720966172 /nfs/dbraw/zinc/96/61/72/720966172.db2.gz HYGOZLMAQLTPHZ-GFCCVEGCSA-N 1 2 322.840 1.950 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C)nc(OC)c2)C1 ZINC000951552392 721069741 /nfs/dbraw/zinc/06/97/41/721069741.db2.gz UFKWPWOZJBLQKM-UHFFFAOYSA-N 1 2 301.390 1.568 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccnc(-n3cccn3)c2)C1 ZINC000951725996 721155026 /nfs/dbraw/zinc/15/50/26/721155026.db2.gz LQEBCXWZXWYRBL-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@H]2CCOC2)C1 ZINC000971178357 721157985 /nfs/dbraw/zinc/15/79/85/721157985.db2.gz VLNULKDXQZYYJJ-RYUDHWBXSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@H]2CCOC2)C1 ZINC000971178357 721157988 /nfs/dbraw/zinc/15/79/88/721157988.db2.gz VLNULKDXQZYYJJ-RYUDHWBXSA-N 1 2 317.227 1.464 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C3CC3)n2)C1 ZINC000971211359 721180953 /nfs/dbraw/zinc/18/09/53/721180953.db2.gz QKQBTARARIULDR-BXUZGUMPSA-N 1 2 302.378 1.744 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C3CC3)n2)C1 ZINC000971211359 721180957 /nfs/dbraw/zinc/18/09/57/721180957.db2.gz QKQBTARARIULDR-BXUZGUMPSA-N 1 2 302.378 1.744 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2coc(C3CC3)n2)C1 ZINC000971211360 721181072 /nfs/dbraw/zinc/18/10/72/721181072.db2.gz QKQBTARARIULDR-FZMZJTMJSA-N 1 2 302.378 1.744 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C3CC3)n2)C1 ZINC000971211360 721181074 /nfs/dbraw/zinc/18/10/74/721181074.db2.gz QKQBTARARIULDR-FZMZJTMJSA-N 1 2 302.378 1.744 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCCN(C(=O)C#CC3CC3)C2)cc[nH+]1 ZINC001058690872 737200250 /nfs/dbraw/zinc/20/02/50/737200250.db2.gz WJBYCCMTCZSZCD-INIZCTEOSA-N 1 2 312.417 1.873 20 30 DDEDLO CN(CC#N)[C@@H]1CCCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC001027638404 738498876 /nfs/dbraw/zinc/49/88/76/738498876.db2.gz RLCLCIQBJLKTAB-OAHLLOKOSA-N 1 2 324.388 1.327 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)n(C(C)(C)C)n1 ZINC001039022887 732373431 /nfs/dbraw/zinc/37/34/31/732373431.db2.gz AGZHHXRBQOUKCV-CQSZACIVSA-N 1 2 302.422 1.774 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)n(C(C)(C)C)n1 ZINC001039022887 732373435 /nfs/dbraw/zinc/37/34/35/732373435.db2.gz AGZHHXRBQOUKCV-CQSZACIVSA-N 1 2 302.422 1.774 20 30 DDEDLO N#CCN1CC[C@H]([C@H]2CCCCN2C(=O)CCn2cc[nH+]c2)C1 ZINC001039226198 733286826 /nfs/dbraw/zinc/28/68/26/733286826.db2.gz SRJFTYBDMNWFLR-JKSUJKDBSA-N 1 2 315.421 1.500 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001027888736 738775826 /nfs/dbraw/zinc/77/58/26/738775826.db2.gz YMMBSQZTVBQIES-KGYLQXTDSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001027888736 738775828 /nfs/dbraw/zinc/77/58/28/738775828.db2.gz YMMBSQZTVBQIES-KGYLQXTDSA-N 1 2 313.829 1.234 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)Cc3ccco3)[C@H]2C1 ZINC001083221492 734385017 /nfs/dbraw/zinc/38/50/17/734385017.db2.gz DRPNVZAOZIGUMX-CWRNSKLLSA-N 1 2 302.374 1.003 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)Cc3ccco3)[C@H]2C1 ZINC001083221492 734385023 /nfs/dbraw/zinc/38/50/23/734385023.db2.gz DRPNVZAOZIGUMX-CWRNSKLLSA-N 1 2 302.374 1.003 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C)no1 ZINC001111490040 734478623 /nfs/dbraw/zinc/47/86/23/734478623.db2.gz RNGZWQSBZUOZIM-XNISGKROSA-N 1 2 319.405 1.796 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C)no1 ZINC001111490040 734478627 /nfs/dbraw/zinc/47/86/27/734478627.db2.gz RNGZWQSBZUOZIM-XNISGKROSA-N 1 2 319.405 1.796 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1(Nc2cc[nH+]c(C)n2)CCCC1 ZINC001104388624 734542044 /nfs/dbraw/zinc/54/20/44/734542044.db2.gz IORVAVFYWFAFSJ-MRXNPFEDSA-N 1 2 318.421 1.953 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001011184928 734833884 /nfs/dbraw/zinc/83/38/84/734833884.db2.gz XLJGGLWNOOQKIM-UHFFFAOYSA-N 1 2 324.812 1.402 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067441784 735024551 /nfs/dbraw/zinc/02/45/51/735024551.db2.gz ZGVYKRPKZNVCGO-UHFFFAOYSA-N 1 2 320.437 1.792 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc2n1[C@H](C)CCC2 ZINC001038277529 735250244 /nfs/dbraw/zinc/25/02/44/735250244.db2.gz IEEVJAWNSCVMRC-ZIAGYGMSSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc2n1[C@H](C)CCC2 ZINC001038277529 735250249 /nfs/dbraw/zinc/25/02/49/735250249.db2.gz IEEVJAWNSCVMRC-ZIAGYGMSSA-N 1 2 300.406 1.608 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCCNC1=O ZINC001024491867 735869040 /nfs/dbraw/zinc/86/90/40/735869040.db2.gz HCCZHUAGFVXJLZ-CHWSQXEVSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCCNC1=O ZINC001024491867 735869043 /nfs/dbraw/zinc/86/90/43/735869043.db2.gz HCCZHUAGFVXJLZ-CHWSQXEVSA-N 1 2 313.829 1.236 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@@H]1C[NH2+]Cc1nc(C2CC2)no1 ZINC001024902189 736159647 /nfs/dbraw/zinc/15/96/47/736159647.db2.gz KJKYTOPPQGZSEL-WCQYABFASA-N 1 2 317.393 1.577 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@@H]1C[NH2+]Cc1nnc(C2CC2)o1 ZINC001024902014 736159810 /nfs/dbraw/zinc/15/98/10/736159810.db2.gz HFEWTABMYDUIQW-WCQYABFASA-N 1 2 317.393 1.577 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)c2cocn2)C1 ZINC001006939272 736290688 /nfs/dbraw/zinc/29/06/88/736290688.db2.gz NELXJWDHJUPLIG-HNNXBMFYSA-N 1 2 310.357 1.941 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)c2cocn2)C1 ZINC001006939272 736290692 /nfs/dbraw/zinc/29/06/92/736290692.db2.gz NELXJWDHJUPLIG-HNNXBMFYSA-N 1 2 310.357 1.941 20 30 DDEDLO C[C@@H](NC(=O)c1cc2c[nH+]ccc2[nH]1)[C@H]1CCCN(CC#N)C1 ZINC001025458933 736685791 /nfs/dbraw/zinc/68/57/91/736685791.db2.gz KFUZCIWCDNFZDE-OLZOCXBDSA-N 1 2 311.389 1.917 20 30 DDEDLO CNC(=O)N[C@H]1CCC[N@H+](Cc2ccc(OC)cc2C#N)C1 ZINC001137706591 736718167 /nfs/dbraw/zinc/71/81/67/736718167.db2.gz IZUWFSRIGOLCEW-AWEZNQCLSA-N 1 2 302.378 1.460 20 30 DDEDLO CNC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(OC)cc2C#N)C1 ZINC001137706591 736718172 /nfs/dbraw/zinc/71/81/72/736718172.db2.gz IZUWFSRIGOLCEW-AWEZNQCLSA-N 1 2 302.378 1.460 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cnc(CC)s3)[C@H]2C1 ZINC001083288743 737070292 /nfs/dbraw/zinc/07/02/92/737070292.db2.gz XMFMBVBEGMYHED-NWDGAFQWSA-N 1 2 307.419 1.417 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cnc(CC)s3)[C@H]2C1 ZINC001083288743 737070295 /nfs/dbraw/zinc/07/02/95/737070295.db2.gz XMFMBVBEGMYHED-NWDGAFQWSA-N 1 2 307.419 1.417 20 30 DDEDLO CC(C)[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001105293788 737746659 /nfs/dbraw/zinc/74/66/59/737746659.db2.gz GKLQNSTYWJDFJD-HNNXBMFYSA-N 1 2 312.377 1.472 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@]2(C1)CCC[N@H+](Cc1ccon1)C2 ZINC001040198144 741827564 /nfs/dbraw/zinc/82/75/64/741827564.db2.gz PURFKEWGLQTVMX-GOSISDBHSA-N 1 2 313.401 1.903 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@]2(C1)CCC[N@@H+](Cc1ccon1)C2 ZINC001040198144 741827570 /nfs/dbraw/zinc/82/75/70/741827570.db2.gz PURFKEWGLQTVMX-GOSISDBHSA-N 1 2 313.401 1.903 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)c(C)o1 ZINC001075615911 739187411 /nfs/dbraw/zinc/18/74/11/739187411.db2.gz CTERFYUQULUGKM-RIEGTJTDSA-N 1 2 302.378 1.484 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)c(C)o1 ZINC001075615911 739187413 /nfs/dbraw/zinc/18/74/13/739187413.db2.gz CTERFYUQULUGKM-RIEGTJTDSA-N 1 2 302.378 1.484 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn(C(C)(C)C)nc2C)C1 ZINC001035366966 751432039 /nfs/dbraw/zinc/43/20/39/751432039.db2.gz SQTJFEKONMIAGF-CQSZACIVSA-N 1 2 320.437 1.563 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn(C(C)(C)C)nc2C)C1 ZINC001035366966 751432040 /nfs/dbraw/zinc/43/20/40/751432040.db2.gz SQTJFEKONMIAGF-CQSZACIVSA-N 1 2 320.437 1.563 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CC2CCCC2)[C@H](OC)C1 ZINC001211779602 739527640 /nfs/dbraw/zinc/52/76/40/739527640.db2.gz HRCSMKUNOIILNQ-HZPDHXFCSA-N 1 2 308.422 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CC2CCCC2)[C@H](OC)C1 ZINC001211779602 739527642 /nfs/dbraw/zinc/52/76/42/739527642.db2.gz HRCSMKUNOIILNQ-HZPDHXFCSA-N 1 2 308.422 1.032 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ncc(C)cc2OC)C1 ZINC001035395611 751466073 /nfs/dbraw/zinc/46/60/73/751466073.db2.gz GWRFAQMFVSBQNT-ZDUSSCGKSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ncc(C)cc2OC)C1 ZINC001035395611 751466074 /nfs/dbraw/zinc/46/60/74/751466074.db2.gz GWRFAQMFVSBQNT-ZDUSSCGKSA-N 1 2 305.378 1.015 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001035415325 751497233 /nfs/dbraw/zinc/49/72/33/751497233.db2.gz AMDGUAHMQBGBCD-AWEZNQCLSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001035415325 751497236 /nfs/dbraw/zinc/49/72/36/751497236.db2.gz AMDGUAHMQBGBCD-AWEZNQCLSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(CC)[nH]c(=O)c2)C1 ZINC001035454241 751503335 /nfs/dbraw/zinc/50/33/35/751503335.db2.gz FSZAJDWYSLSLLL-HNNXBMFYSA-N 1 2 319.405 1.356 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(CC)[nH]c(=O)c2)C1 ZINC001035454241 751503338 /nfs/dbraw/zinc/50/33/38/751503338.db2.gz FSZAJDWYSLSLLL-HNNXBMFYSA-N 1 2 319.405 1.356 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC001035433606 751511024 /nfs/dbraw/zinc/51/10/24/751511024.db2.gz RIQVYFWXKOBEHZ-OAHLLOKOSA-N 1 2 313.401 1.413 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC001035433606 751511031 /nfs/dbraw/zinc/51/10/31/751511031.db2.gz RIQVYFWXKOBEHZ-OAHLLOKOSA-N 1 2 313.401 1.413 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc2n1CCCCC2 ZINC001038411221 740116534 /nfs/dbraw/zinc/11/65/34/740116534.db2.gz PRWNCCAHMHYASL-AWEZNQCLSA-N 1 2 300.406 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc2n1CCCCC2 ZINC001038411221 740116538 /nfs/dbraw/zinc/11/65/38/740116538.db2.gz PRWNCCAHMHYASL-AWEZNQCLSA-N 1 2 300.406 1.437 20 30 DDEDLO C=C(Cl)CN1CC[C@H](CNC(=O)c2c[nH+]ccc2N(C)C)C1 ZINC001028934204 740187192 /nfs/dbraw/zinc/18/71/92/740187192.db2.gz ZMMBFBMXCUVKAO-CYBMUJFWSA-N 1 2 322.840 1.952 20 30 DDEDLO CC[C@@H]1CN(C(=O)C(C)(C)n2c[nH+]c(C)c2)CC[C@H]1NCC#N ZINC001037872233 751522573 /nfs/dbraw/zinc/52/25/73/751522573.db2.gz NUEITXXCNPCSCD-HUUCEWRRSA-N 1 2 317.437 1.667 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001038426733 740329045 /nfs/dbraw/zinc/32/90/45/740329045.db2.gz KSEBUWMYIMKQCL-QWHCGFSZSA-N 1 2 308.813 1.389 20 30 DDEDLO CO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc(C#N)s1 ZINC001029228852 740470076 /nfs/dbraw/zinc/47/00/76/740470076.db2.gz DKFFEYUEKULJCW-RWMBFGLXSA-N 1 2 319.430 1.830 20 30 DDEDLO CO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(C#N)s1 ZINC001029228852 740470078 /nfs/dbraw/zinc/47/00/78/740470078.db2.gz DKFFEYUEKULJCW-RWMBFGLXSA-N 1 2 319.430 1.830 20 30 DDEDLO C=CCCC(=O)NCCN(c1[nH+]cnc2c1cnn2C)C1CC1 ZINC001101300766 740478581 /nfs/dbraw/zinc/47/85/81/740478581.db2.gz QKISWCWYJMBMKN-UHFFFAOYSA-N 1 2 314.393 1.415 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)on2)C1 ZINC001035464485 751549481 /nfs/dbraw/zinc/54/94/81/751549481.db2.gz ZRPIWDXWOGSZFA-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)on2)C1 ZINC001035464485 751549485 /nfs/dbraw/zinc/54/94/85/751549485.db2.gz ZRPIWDXWOGSZFA-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3ncccc32)C1 ZINC001035469042 751553947 /nfs/dbraw/zinc/55/39/47/751553947.db2.gz JHYLSXZVEGKKND-AWEZNQCLSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3ncccc32)C1 ZINC001035469042 751553949 /nfs/dbraw/zinc/55/39/49/751553949.db2.gz JHYLSXZVEGKKND-AWEZNQCLSA-N 1 2 311.385 1.851 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NC1CC1 ZINC001029419573 740748407 /nfs/dbraw/zinc/74/84/07/740748407.db2.gz FYDZHZJAFSXVCE-GASCZTMLSA-N 1 2 319.449 1.543 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NC1CC1 ZINC001029419573 740748408 /nfs/dbraw/zinc/74/84/08/740748408.db2.gz FYDZHZJAFSXVCE-GASCZTMLSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2ccccc2F)C1 ZINC001035543214 751590426 /nfs/dbraw/zinc/59/04/26/751590426.db2.gz SSVWZQRVHQDVQH-CWRNSKLLSA-N 1 2 318.392 1.932 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2ccccc2F)C1 ZINC001035543214 751590430 /nfs/dbraw/zinc/59/04/30/751590430.db2.gz SSVWZQRVHQDVQH-CWRNSKLLSA-N 1 2 318.392 1.932 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccn3ccnc23)C1 ZINC001035544783 751591893 /nfs/dbraw/zinc/59/18/93/751591893.db2.gz KSNPRWCFKWJLMZ-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccn3ccnc23)C1 ZINC001035544783 751591896 /nfs/dbraw/zinc/59/18/96/751591896.db2.gz KSNPRWCFKWJLMZ-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C[C@H](CC(=O)N1C[C@H]2CCN(CC#N)C[C@H]2C1)n1cc[nH+]c1 ZINC001088124116 741046073 /nfs/dbraw/zinc/04/60/73/741046073.db2.gz XNCHYJQSKYJHAY-KFWWJZLASA-N 1 2 301.394 1.138 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3cc(F)ccc3O2)C1 ZINC001035533341 751614964 /nfs/dbraw/zinc/61/49/64/751614964.db2.gz FVQALYFYFDWXGY-HOCLYGCPSA-N 1 2 320.364 1.132 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3cc(F)ccc3O2)C1 ZINC001035533341 751614966 /nfs/dbraw/zinc/61/49/66/751614966.db2.gz FVQALYFYFDWXGY-HOCLYGCPSA-N 1 2 320.364 1.132 20 30 DDEDLO Cc1nc([C@H](C)[N@H+](C)C[C@@H]2CCN(C(=O)C#CC3CC3)C2)no1 ZINC001029735232 741265931 /nfs/dbraw/zinc/26/59/31/741265931.db2.gz GZMUWBGZYZALIA-WFASDCNBSA-N 1 2 316.405 1.633 20 30 DDEDLO Cc1nc([C@H](C)[N@@H+](C)C[C@@H]2CCN(C(=O)C#CC3CC3)C2)no1 ZINC001029735232 741265935 /nfs/dbraw/zinc/26/59/35/741265935.db2.gz GZMUWBGZYZALIA-WFASDCNBSA-N 1 2 316.405 1.633 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001029801787 741318556 /nfs/dbraw/zinc/31/85/56/741318556.db2.gz RKVIYCSPFUBSPH-CYBMUJFWSA-N 1 2 305.426 1.308 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001029801787 741318559 /nfs/dbraw/zinc/31/85/59/741318559.db2.gz RKVIYCSPFUBSPH-CYBMUJFWSA-N 1 2 305.426 1.308 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnc(C)nc2C)C1 ZINC001035547815 751631791 /nfs/dbraw/zinc/63/17/91/751631791.db2.gz NONQUBHZTVLLNP-CQSZACIVSA-N 1 2 304.394 1.100 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnc(C)nc2C)C1 ZINC001035547815 751631797 /nfs/dbraw/zinc/63/17/97/751631797.db2.gz NONQUBHZTVLLNP-CQSZACIVSA-N 1 2 304.394 1.100 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2sc(C)nc2C)C1 ZINC001035600643 751657469 /nfs/dbraw/zinc/65/74/69/751657469.db2.gz RHZXCNUOSMXXSD-ZDUSSCGKSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2sc(C)nc2C)C1 ZINC001035600643 751657472 /nfs/dbraw/zinc/65/74/72/751657472.db2.gz RHZXCNUOSMXXSD-ZDUSSCGKSA-N 1 2 307.419 1.214 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2C[N@H+](CC=C(C)C)CCO2)nc1 ZINC001035583992 751677713 /nfs/dbraw/zinc/67/77/13/751677713.db2.gz RONGUTIISOKBTI-MRXNPFEDSA-N 1 2 313.401 1.460 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2C[N@@H+](CC=C(C)C)CCO2)nc1 ZINC001035583992 751677715 /nfs/dbraw/zinc/67/77/15/751677715.db2.gz RONGUTIISOKBTI-MRXNPFEDSA-N 1 2 313.401 1.460 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)C2CCCCC2)C1=O ZINC001038021412 751679270 /nfs/dbraw/zinc/67/92/70/751679270.db2.gz WPUMSQKKOKRXSN-HOTGVXAUSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)C2CCCCC2)C1=O ZINC001038021412 751679274 /nfs/dbraw/zinc/67/92/74/751679274.db2.gz WPUMSQKKOKRXSN-HOTGVXAUSA-N 1 2 319.449 1.544 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)/C=C\C1CC1 ZINC001212084793 741986722 /nfs/dbraw/zinc/98/67/22/741986722.db2.gz HGXLMJYBJXWBHR-DBBWNDPISA-N 1 2 324.424 1.820 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)/C=C\C1CC1 ZINC001212084793 741986723 /nfs/dbraw/zinc/98/67/23/741986723.db2.gz HGXLMJYBJXWBHR-DBBWNDPISA-N 1 2 324.424 1.820 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3c2cccc3Cl)C1 ZINC001035619670 751691321 /nfs/dbraw/zinc/69/13/21/751691321.db2.gz LEWGZTMSWRMVSV-IUODEOHRSA-N 1 2 320.820 1.983 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3c2cccc3Cl)C1 ZINC001035619670 751691327 /nfs/dbraw/zinc/69/13/27/751691327.db2.gz LEWGZTMSWRMVSV-IUODEOHRSA-N 1 2 320.820 1.983 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001035608595 751713781 /nfs/dbraw/zinc/71/37/81/751713781.db2.gz HVJJNAPMGGPWGI-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001035608595 751713785 /nfs/dbraw/zinc/71/37/85/751713785.db2.gz HVJJNAPMGGPWGI-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001042758338 742308658 /nfs/dbraw/zinc/30/86/58/742308658.db2.gz AEQLKEOOMYIASX-UHFFFAOYSA-N 1 2 322.840 1.657 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC1CC([NH2+]Cc2noc(CC)n2)C1 ZINC001101057677 742377115 /nfs/dbraw/zinc/37/71/15/742377115.db2.gz RUYDPXLANWHAPH-PNESKVBLSA-N 1 2 322.409 1.208 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060066105 742381039 /nfs/dbraw/zinc/38/10/39/742381039.db2.gz JBEROMVOFAATNB-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)[C@@H]1COCCO1)CC2 ZINC001035658924 751736742 /nfs/dbraw/zinc/73/67/42/751736742.db2.gz UPYROHBIQBDLRI-ZDUSSCGKSA-N 1 2 314.813 1.079 20 30 DDEDLO Cc1cnc(C[NH+]2CC3(C2)CCN(C(=O)[C@H](C)C#N)CC3)o1 ZINC001035673820 751753990 /nfs/dbraw/zinc/75/39/90/751753990.db2.gz PMHUXHONTUUEMU-GFCCVEGCSA-N 1 2 302.378 1.567 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)c3ccoc3)C2)c1 ZINC001076745056 742963888 /nfs/dbraw/zinc/96/38/88/742963888.db2.gz LCBUHQTVCNJSNT-HZPDHXFCSA-N 1 2 311.341 1.126 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)c3ccoc3)C2)c1 ZINC001076745056 742963889 /nfs/dbraw/zinc/96/38/89/742963889.db2.gz LCBUHQTVCNJSNT-HZPDHXFCSA-N 1 2 311.341 1.126 20 30 DDEDLO Cc1[nH]ccc1C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076860504 743045822 /nfs/dbraw/zinc/04/58/22/743045822.db2.gz DLUIQOIRYJZYAI-QZTJIDSGSA-N 1 2 323.396 1.150 20 30 DDEDLO Cc1[nH]ccc1C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076860504 743045827 /nfs/dbraw/zinc/04/58/27/743045827.db2.gz DLUIQOIRYJZYAI-QZTJIDSGSA-N 1 2 323.396 1.150 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077017390 743174414 /nfs/dbraw/zinc/17/44/14/743174414.db2.gz YQMOVPFAZHEFCW-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077017390 743174419 /nfs/dbraw/zinc/17/44/19/743174419.db2.gz YQMOVPFAZHEFCW-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO N#Cc1cnccc1N[C@H]1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001061095186 743183449 /nfs/dbraw/zinc/18/34/49/743183449.db2.gz KDUKYKDLYHGIGW-LSDHHAIUSA-N 1 2 324.388 1.111 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sc3nccn3c2C)C1 ZINC001077151878 743279430 /nfs/dbraw/zinc/27/94/30/743279430.db2.gz FUPKOCJETXIEIB-VXGBXAGGSA-N 1 2 320.418 1.055 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sc3nccn3c2C)C1 ZINC001077151878 743279433 /nfs/dbraw/zinc/27/94/33/743279433.db2.gz FUPKOCJETXIEIB-VXGBXAGGSA-N 1 2 320.418 1.055 20 30 DDEDLO Cc1nc(C[NH+]2CCC(NC(=O)c3ccc(C#N)[nH]3)CC2)co1 ZINC001002620259 743283304 /nfs/dbraw/zinc/28/33/04/743283304.db2.gz MNBGOEJFJCWBTB-UHFFFAOYSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)(C)C)n[nH]2)C1 ZINC001108048455 743303422 /nfs/dbraw/zinc/30/34/22/743303422.db2.gz UZRWSIAUBDKGPR-QGZVFWFLSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)(C)C)n[nH]2)C1 ZINC001108048455 743303434 /nfs/dbraw/zinc/30/34/34/743303434.db2.gz UZRWSIAUBDKGPR-QGZVFWFLSA-N 1 2 320.437 1.714 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2C(C)(C)C)C1 ZINC001077194769 743314018 /nfs/dbraw/zinc/31/40/18/743314018.db2.gz RSJZSDRIVLHASJ-HZPDHXFCSA-N 1 2 300.402 1.392 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2C(C)(C)C)C1 ZINC001077194769 743314025 /nfs/dbraw/zinc/31/40/25/743314025.db2.gz RSJZSDRIVLHASJ-HZPDHXFCSA-N 1 2 300.402 1.392 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nnc(C)o2)C1 ZINC001181898249 743384311 /nfs/dbraw/zinc/38/43/11/743384311.db2.gz NAZVCMUXGBVFQC-GXTWGEPZSA-N 1 2 322.409 1.612 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(F)ccc2CC)C1 ZINC001077301849 743391859 /nfs/dbraw/zinc/39/18/59/743391859.db2.gz QAMVHYRMWNGGKB-HZPDHXFCSA-N 1 2 304.365 1.186 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(F)ccc2CC)C1 ZINC001077301849 743391870 /nfs/dbraw/zinc/39/18/70/743391870.db2.gz QAMVHYRMWNGGKB-HZPDHXFCSA-N 1 2 304.365 1.186 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)C[C@@]1(C)CNCC#N ZINC001181947106 743404617 /nfs/dbraw/zinc/40/46/17/743404617.db2.gz KRNBIZZEDJQTQM-CKEIUWERSA-N 1 2 315.421 1.043 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CCc3c2cccc3Cl)C1 ZINC001077398247 743449461 /nfs/dbraw/zinc/44/94/61/743449461.db2.gz KTDZLBBOHYMLQB-NUEKZKHPSA-N 1 2 318.804 1.164 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CCc3c2cccc3Cl)C1 ZINC001077398247 743449465 /nfs/dbraw/zinc/44/94/65/743449465.db2.gz KTDZLBBOHYMLQB-NUEKZKHPSA-N 1 2 318.804 1.164 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)COCCCC)c2C1 ZINC001128290064 743490745 /nfs/dbraw/zinc/49/07/45/743490745.db2.gz MRXAXVWHVMYUQH-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)COCCCC)c2C1 ZINC001128290064 743490749 /nfs/dbraw/zinc/49/07/49/743490749.db2.gz MRXAXVWHVMYUQH-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO CCCCc1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001182389671 743607253 /nfs/dbraw/zinc/60/72/53/743607253.db2.gz UZYGYKTYKGMJMI-CQSZACIVSA-N 1 2 318.421 1.762 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(C(=O)C#CC(C)(C)C)C1)c1csnn1 ZINC001182458075 743638281 /nfs/dbraw/zinc/63/82/81/743638281.db2.gz AYRNLQLCIFZJKJ-NWDGAFQWSA-N 1 2 306.435 1.839 20 30 DDEDLO C#CCCCC(=O)NCC[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060235670 743708693 /nfs/dbraw/zinc/70/86/93/743708693.db2.gz QDSYQUMYMFVSJX-CQSZACIVSA-N 1 2 316.405 1.111 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](OC)[C@@H](C)CC)CC2)C1 ZINC001105714378 743747899 /nfs/dbraw/zinc/74/78/99/743747899.db2.gz WTUYFHFBVOURCG-WMLDXEAASA-N 1 2 324.465 1.925 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1)OCC ZINC001182953961 743754729 /nfs/dbraw/zinc/75/47/29/743754729.db2.gz MPSWYEITOACNMV-ZIAGYGMSSA-N 1 2 322.409 1.440 20 30 DDEDLO CO[C@H](C[NH+]1CC(NC(=O)c2cc(C#N)c[nH]2)C1)c1ccccc1 ZINC001030196764 743926384 /nfs/dbraw/zinc/92/63/84/743926384.db2.gz PQKPQVAUQSLKKZ-QGZVFWFLSA-N 1 2 324.384 1.688 20 30 DDEDLO C=C1CCC(C(=O)N2CCC3(C[NH+](CC(N)=O)C3)CC2)CC1 ZINC001035787265 751872901 /nfs/dbraw/zinc/87/29/01/751872901.db2.gz NZXPSQMGMKJHCH-UHFFFAOYSA-N 1 2 305.422 1.143 20 30 DDEDLO CN(C)c1cccc(C[NH+]2CC(NC(=O)c3c[nH]c(C#N)c3)C2)c1 ZINC001030230574 743967321 /nfs/dbraw/zinc/96/73/21/743967321.db2.gz ISEXKZRFIHVJHU-UHFFFAOYSA-N 1 2 323.400 1.567 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc3c(c2)OCCCO3)C1 ZINC001030443338 744164155 /nfs/dbraw/zinc/16/41/55/744164155.db2.gz GJAXLIFSGFCYEI-UHFFFAOYSA-N 1 2 302.374 1.838 20 30 DDEDLO N#Cc1ccccc1CN1CC(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001030470168 744183150 /nfs/dbraw/zinc/18/31/50/744183150.db2.gz YWYSBRUOXKMJPB-HZPDHXFCSA-N 1 2 321.384 1.385 20 30 DDEDLO N#Cc1ccccc1CN1CC(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001030470168 744183151 /nfs/dbraw/zinc/18/31/51/744183151.db2.gz YWYSBRUOXKMJPB-HZPDHXFCSA-N 1 2 321.384 1.385 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CC(C)(C)C)C2)nn1 ZINC001185872706 744297550 /nfs/dbraw/zinc/29/75/50/744297550.db2.gz LEAOLJAJLIPVRT-HNNXBMFYSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)/C=C\C3CC3)C2)nn1 ZINC001185915302 744314114 /nfs/dbraw/zinc/31/41/14/744314114.db2.gz BZXHXKRPBUIYKU-PTZVLDCSSA-N 1 2 313.405 1.131 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](O)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001106075058 744361044 /nfs/dbraw/zinc/36/10/44/744361044.db2.gz XOTDXYSKDITXNN-AWEZNQCLSA-N 1 2 318.421 1.908 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](O)CNc2cc[nH+]c(C)n2)CCC1 ZINC001106082399 744448498 /nfs/dbraw/zinc/44/84/98/744448498.db2.gz VGRJFARZNYVZFU-ZDUSSCGKSA-N 1 2 304.394 1.420 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2ccccc2=O)[C@H]1C ZINC001089031210 744459771 /nfs/dbraw/zinc/45/97/71/744459771.db2.gz WTBQFUHXCLRHCH-OLZOCXBDSA-N 1 2 309.797 1.180 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2ccccc2=O)[C@H]1C ZINC001089031210 744459773 /nfs/dbraw/zinc/45/97/73/744459773.db2.gz WTBQFUHXCLRHCH-OLZOCXBDSA-N 1 2 309.797 1.180 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001187729752 744597812 /nfs/dbraw/zinc/59/78/12/744597812.db2.gz VQXTWKWKOAFEHN-UONOGXRCSA-N 1 2 302.378 1.214 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001187729752 744597815 /nfs/dbraw/zinc/59/78/15/744597815.db2.gz VQXTWKWKOAFEHN-UONOGXRCSA-N 1 2 302.378 1.214 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2occc2Br)[C@@H](O)C1 ZINC001083867520 751943876 /nfs/dbraw/zinc/94/38/76/751943876.db2.gz RYVFEUIWAOPXRQ-ZJUUUORDSA-N 1 2 315.167 1.003 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2occc2Br)[C@@H](O)C1 ZINC001083867520 751943880 /nfs/dbraw/zinc/94/38/80/751943880.db2.gz RYVFEUIWAOPXRQ-ZJUUUORDSA-N 1 2 315.167 1.003 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001188903277 744791836 /nfs/dbraw/zinc/79/18/36/744791836.db2.gz OUNAEKNGTJUFDP-JKSUJKDBSA-N 1 2 314.433 1.646 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001188903277 744791838 /nfs/dbraw/zinc/79/18/38/744791838.db2.gz OUNAEKNGTJUFDP-JKSUJKDBSA-N 1 2 314.433 1.646 20 30 DDEDLO Cc1nc(N[C@H](C)C[C@@H](C)NC(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001089263542 744824639 /nfs/dbraw/zinc/82/46/39/744824639.db2.gz BFONNIQMVQCQBY-GHMZBOCLSA-N 1 2 312.377 1.994 20 30 DDEDLO C=C1CC(C)(C(=O)NC2C[NH+](Cc3ccn(C)c(=O)c3)C2)C1 ZINC001030916446 744828849 /nfs/dbraw/zinc/82/88/49/744828849.db2.gz CAQVACRFVSVXQC-UHFFFAOYSA-N 1 2 301.390 1.042 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2nocc2C)C1 ZINC001189918895 745034098 /nfs/dbraw/zinc/03/40/98/745034098.db2.gz WCHPLKWMUFRURI-BBRMVZONSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001189918895 745034102 /nfs/dbraw/zinc/03/41/02/745034102.db2.gz WCHPLKWMUFRURI-BBRMVZONSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001032635759 751969108 /nfs/dbraw/zinc/96/91/08/751969108.db2.gz CJHDQRMIGQBVFK-IGQOVBAYSA-N 1 2 320.824 1.561 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nocc2C)C1 ZINC001190106272 745102241 /nfs/dbraw/zinc/10/22/41/745102241.db2.gz SSEGVWWMMWSLRI-UONOGXRCSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001190106272 745102247 /nfs/dbraw/zinc/10/22/47/745102247.db2.gz SSEGVWWMMWSLRI-UONOGXRCSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2csc(C)n2)C1 ZINC001190107046 745103234 /nfs/dbraw/zinc/10/32/34/745103234.db2.gz ZPIBMWQKPSJDNW-DOMZBBRYSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2csc(C)n2)C1 ZINC001190107046 745103237 /nfs/dbraw/zinc/10/32/37/745103237.db2.gz ZPIBMWQKPSJDNW-DOMZBBRYSA-N 1 2 321.446 1.523 20 30 DDEDLO N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@H]21 ZINC000992755865 745179676 /nfs/dbraw/zinc/17/96/76/745179676.db2.gz XJHYWKZRBLMTLV-XNRPHZJLSA-N 1 2 323.400 1.917 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001190458379 745227960 /nfs/dbraw/zinc/22/79/60/745227960.db2.gz DKIAWKDIKWFPDX-KCQAQPDRSA-N 1 2 321.446 1.767 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001190458379 745227966 /nfs/dbraw/zinc/22/79/66/745227966.db2.gz DKIAWKDIKWFPDX-KCQAQPDRSA-N 1 2 321.446 1.767 20 30 DDEDLO N#Cc1ccc(F)c(S(=O)(=O)NCCOc2cc[nH+]cc2)c1 ZINC001190681800 745294747 /nfs/dbraw/zinc/29/47/47/745294747.db2.gz NUZSVLQMPSENBD-UHFFFAOYSA-N 1 2 321.333 1.450 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CC[C@@H](N(C)CC#N)C1)n1cc[nH+]c1 ZINC001190816155 745338127 /nfs/dbraw/zinc/33/81/27/745338127.db2.gz CBVNCZROOWYASY-HUUCEWRRSA-N 1 2 303.410 1.527 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[C@H]([N@H+](C)Cc2ccon2)C1 ZINC001191576645 745542148 /nfs/dbraw/zinc/54/21/48/745542148.db2.gz LXEIMMYVEPCHCW-RDJZCZTQSA-N 1 2 321.421 1.936 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[C@H]([N@@H+](C)Cc2ccon2)C1 ZINC001191576645 745542153 /nfs/dbraw/zinc/54/21/53/745542153.db2.gz LXEIMMYVEPCHCW-RDJZCZTQSA-N 1 2 321.421 1.936 20 30 DDEDLO Cc1nc(N[C@@H]2CC[C@H]3CN(C(=O)C#CC4CC4)C[C@@H]32)cc[nH+]1 ZINC001060527692 745777161 /nfs/dbraw/zinc/77/71/61/745777161.db2.gz ANGCPQUYXWTDQL-HRCADAONSA-N 1 2 310.401 1.847 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)nc1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106620768 745841974 /nfs/dbraw/zinc/84/19/74/745841974.db2.gz MDXBMRVHKWBRNJ-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)nc1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106620768 745841978 /nfs/dbraw/zinc/84/19/78/745841978.db2.gz MDXBMRVHKWBRNJ-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C[NH+]1CCC(C#N)(NS(=O)(=O)c2cnccc2Cl)CC1 ZINC001192930388 745938269 /nfs/dbraw/zinc/93/82/69/745938269.db2.gz XYXPATSLXVIBGD-UHFFFAOYSA-N 1 2 314.798 1.001 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccc1 ZINC001193128634 745986854 /nfs/dbraw/zinc/98/68/54/745986854.db2.gz KPLIXISVHAIDLC-SNPRPXQTSA-N 1 2 305.403 1.187 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1 ZINC001193128634 745986855 /nfs/dbraw/zinc/98/68/55/745986855.db2.gz KPLIXISVHAIDLC-SNPRPXQTSA-N 1 2 305.403 1.187 20 30 DDEDLO Cc1nc(N[C@@H](C)CCNC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001099261460 746018160 /nfs/dbraw/zinc/01/81/60/746018160.db2.gz DHLRPERXCRLAJZ-LBPRGKRZSA-N 1 2 312.377 1.465 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CC2OCCCO2)C1 ZINC001007198270 752054896 /nfs/dbraw/zinc/05/48/96/752054896.db2.gz FJZATXRVUMRDIU-GFCCVEGCSA-N 1 2 302.802 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CC2OCCCO2)C1 ZINC001007198270 752054898 /nfs/dbraw/zinc/05/48/98/752054898.db2.gz FJZATXRVUMRDIU-GFCCVEGCSA-N 1 2 302.802 1.473 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C[C@H]1CNCC#N ZINC001106830652 746294231 /nfs/dbraw/zinc/29/42/31/746294231.db2.gz UQULHGNGERSYBP-ZIAGYGMSSA-N 1 2 324.388 1.089 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cncc(OC)n2)[C@@H]1C ZINC000993936612 746383029 /nfs/dbraw/zinc/38/30/29/746383029.db2.gz GHVGCOOMDYPLQD-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cncc(OC)n2)[C@@H]1C ZINC000993936612 746383033 /nfs/dbraw/zinc/38/30/33/746383033.db2.gz GHVGCOOMDYPLQD-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2ncn[nH]2)CCC[N@@H+]1Cc1ccc(C#N)cc1 ZINC000994025195 746425961 /nfs/dbraw/zinc/42/59/61/746425961.db2.gz VHHDIBJBLSAJGE-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2ncn[nH]2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000994025195 746425964 /nfs/dbraw/zinc/42/59/64/746425964.db2.gz VHHDIBJBLSAJGE-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2nc[nH]n2)CCC[N@@H+]1Cc1ccc(C#N)cc1 ZINC000994025195 746425968 /nfs/dbraw/zinc/42/59/68/746425968.db2.gz VHHDIBJBLSAJGE-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2nc[nH]n2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000994025195 746425972 /nfs/dbraw/zinc/42/59/72/746425972.db2.gz VHHDIBJBLSAJGE-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cn(CC)nn2)[C@H]1C ZINC000994106441 746459411 /nfs/dbraw/zinc/45/94/11/746459411.db2.gz MMDZZXYCICDPNN-VXGBXAGGSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cn(CC)nn2)[C@H]1C ZINC000994106441 746459412 /nfs/dbraw/zinc/45/94/12/746459412.db2.gz MMDZZXYCICDPNN-VXGBXAGGSA-N 1 2 311.817 1.633 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2cnc(C)nc2)CC1 ZINC001195283778 746568048 /nfs/dbraw/zinc/56/80/48/746568048.db2.gz JAOHZCPQTLIHHC-UHFFFAOYSA-N 1 2 304.394 1.022 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2cnc(C)nc2)CC1 ZINC001195283778 746568051 /nfs/dbraw/zinc/56/80/51/746568051.db2.gz JAOHZCPQTLIHHC-UHFFFAOYSA-N 1 2 304.394 1.022 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CCC2CCC2)CC1 ZINC001195434903 746613918 /nfs/dbraw/zinc/61/39/18/746613918.db2.gz UXDJAECOXYCUHG-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CCC2CCC2)CC1 ZINC001195434903 746613924 /nfs/dbraw/zinc/61/39/24/746613924.db2.gz UXDJAECOXYCUHG-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]2O)CC=CC1 ZINC001083752264 746628876 /nfs/dbraw/zinc/62/88/76/746628876.db2.gz MITNCXPASPCIQD-MSOLQXFVSA-N 1 2 324.424 1.556 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]2O)CC=CC1 ZINC001083752264 746628881 /nfs/dbraw/zinc/62/88/81/746628881.db2.gz MITNCXPASPCIQD-MSOLQXFVSA-N 1 2 324.424 1.556 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195525613 746637535 /nfs/dbraw/zinc/63/75/35/746637535.db2.gz PJGBCDNKXVPVGA-NILFDRSVSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195525613 746637537 /nfs/dbraw/zinc/63/75/37/746637537.db2.gz PJGBCDNKXVPVGA-NILFDRSVSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](Cc2snnc2C)CC1 ZINC001195675767 746668592 /nfs/dbraw/zinc/66/85/92/746668592.db2.gz ZLYXOYMSGAFARV-UHFFFAOYSA-N 1 2 306.435 1.684 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](Cc2snnc2C)CC1 ZINC001195675767 746668594 /nfs/dbraw/zinc/66/85/94/746668594.db2.gz ZLYXOYMSGAFARV-UHFFFAOYSA-N 1 2 306.435 1.684 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@H]1O ZINC001195732779 746679249 /nfs/dbraw/zinc/67/92/49/746679249.db2.gz IMGTYGZGIXMVKO-NWANDNLSSA-N 1 2 304.394 1.055 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@H]1O ZINC001195732779 746679250 /nfs/dbraw/zinc/67/92/50/746679250.db2.gz IMGTYGZGIXMVKO-NWANDNLSSA-N 1 2 304.394 1.055 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)OC2CCCCCC2)C1 ZINC001196060801 746764771 /nfs/dbraw/zinc/76/47/71/746764771.db2.gz ALLXTTXWQKRXIM-USXIJHARSA-N 1 2 322.449 1.299 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)OC2CCCCCC2)C1 ZINC001196060801 746764774 /nfs/dbraw/zinc/76/47/74/746764774.db2.gz ALLXTTXWQKRXIM-USXIJHARSA-N 1 2 322.449 1.299 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2C[C@H]2c2cc(F)ccc2F)C1 ZINC001031411081 746771221 /nfs/dbraw/zinc/77/12/21/746771221.db2.gz ZHPRFVCUHVUVQN-DZGCQCFKSA-N 1 2 304.340 1.892 20 30 DDEDLO C[C@H]1C[C@H]1C(=O)NCC1C[NH+](Cc2ccc(F)c(C#N)c2)C1 ZINC001031521306 746950994 /nfs/dbraw/zinc/95/09/94/746950994.db2.gz BKLSBJLHZSMPHJ-XHDPSFHLSA-N 1 2 301.365 1.901 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@]2(C)C=CCC2)CC1 ZINC001196783442 746960416 /nfs/dbraw/zinc/96/04/16/746960416.db2.gz ZAXLPQWTUVNMJH-KRWDZBQOSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@]2(C)C=CCC2)CC1 ZINC001196783442 746960420 /nfs/dbraw/zinc/96/04/20/746960420.db2.gz ZAXLPQWTUVNMJH-KRWDZBQOSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001196874687 746985898 /nfs/dbraw/zinc/98/58/98/746985898.db2.gz UXFIZGMURLNJDZ-CQSZACIVSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001196874687 746985900 /nfs/dbraw/zinc/98/59/00/746985900.db2.gz UXFIZGMURLNJDZ-CQSZACIVSA-N 1 2 321.465 1.648 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccn[nH]3)C2)cc1F ZINC001031557744 747029329 /nfs/dbraw/zinc/02/93/29/747029329.db2.gz GBBDHZIWYIJOOT-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO Cn1ccc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)c1 ZINC001031613039 747193861 /nfs/dbraw/zinc/19/38/61/747193861.db2.gz DKEMWZQNBXRIAK-UHFFFAOYSA-N 1 2 308.385 1.759 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)Cc3cnoc3)c2C1 ZINC001128457698 747195608 /nfs/dbraw/zinc/19/56/08/747195608.db2.gz CSJPVZJVSJUEMU-UHFFFAOYSA-N 1 2 315.377 1.122 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)Cc3cnoc3)c2C1 ZINC001128457698 747195611 /nfs/dbraw/zinc/19/56/11/747195611.db2.gz CSJPVZJVSJUEMU-UHFFFAOYSA-N 1 2 315.377 1.122 20 30 DDEDLO C[C@H](c1cnccn1)[NH+]1CC(CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001031622755 747228803 /nfs/dbraw/zinc/22/88/03/747228803.db2.gz GPLKJAAPSAJLFL-LLVKDONJSA-N 1 2 310.361 1.099 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001007496828 752174383 /nfs/dbraw/zinc/17/43/83/752174383.db2.gz RZQDYXZCDLHPRC-OLZOCXBDSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001007496828 752174385 /nfs/dbraw/zinc/17/43/85/752174385.db2.gz RZQDYXZCDLHPRC-OLZOCXBDSA-N 1 2 313.829 1.236 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1cc[nH]c1 ZINC001031690057 747384183 /nfs/dbraw/zinc/38/41/83/747384183.db2.gz GROXQRMUIPNPQB-UHFFFAOYSA-N 1 2 311.360 1.867 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@H](N(C)C(=O)C(F)F)C1 ZINC001032850282 747436727 /nfs/dbraw/zinc/43/67/27/747436727.db2.gz BJUZMDBWDIXSRJ-ZDUSSCGKSA-N 1 2 323.343 1.865 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@H](N(C)C(=O)C(F)F)C1 ZINC001032850282 747436730 /nfs/dbraw/zinc/43/67/30/747436730.db2.gz BJUZMDBWDIXSRJ-ZDUSSCGKSA-N 1 2 323.343 1.865 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001198392439 747492823 /nfs/dbraw/zinc/49/28/23/747492823.db2.gz JASORNYJYWDGOZ-GVDBMIGSSA-N 1 2 300.402 1.365 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001198392439 747492827 /nfs/dbraw/zinc/49/28/27/747492827.db2.gz JASORNYJYWDGOZ-GVDBMIGSSA-N 1 2 300.402 1.365 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCc2cccc(F)c2)C1 ZINC001198863820 747634094 /nfs/dbraw/zinc/63/40/94/747634094.db2.gz PPRFJBVEZJTQLZ-IAOVAPTHSA-N 1 2 318.392 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCc2cccc(F)c2)C1 ZINC001198863820 747634097 /nfs/dbraw/zinc/63/40/97/747634097.db2.gz PPRFJBVEZJTQLZ-IAOVAPTHSA-N 1 2 318.392 1.189 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001007548034 752201650 /nfs/dbraw/zinc/20/16/50/752201650.db2.gz SJEFLPUMCCINJJ-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001007548034 752201657 /nfs/dbraw/zinc/20/16/57/752201657.db2.gz SJEFLPUMCCINJJ-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(OC)nc2)C1 ZINC001108061793 747762920 /nfs/dbraw/zinc/76/29/20/747762920.db2.gz WXORCQFNZIWUIY-MRXNPFEDSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(OC)nc2)C1 ZINC001108061793 747762924 /nfs/dbraw/zinc/76/29/24/747762924.db2.gz WXORCQFNZIWUIY-MRXNPFEDSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+][C@@H](C)c1nc(CC)no1 ZINC001127613212 747802383 /nfs/dbraw/zinc/80/23/83/747802383.db2.gz VHEQJRUVIKSZSU-XQQFMLRXSA-N 1 2 322.409 1.380 20 30 DDEDLO C=CCNC(=S)N1Cc2c[nH+]cn2C[C@H](COCC2CC2)C1 ZINC001200286795 748189298 /nfs/dbraw/zinc/18/92/98/748189298.db2.gz QXDLHRNHOMFETM-CQSZACIVSA-N 1 2 320.462 1.802 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001033090587 748239980 /nfs/dbraw/zinc/23/99/80/748239980.db2.gz GYKQJBIAUKYWMS-KGYLQXTDSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001033090587 748239982 /nfs/dbraw/zinc/23/99/82/748239982.db2.gz GYKQJBIAUKYWMS-KGYLQXTDSA-N 1 2 313.829 1.186 20 30 DDEDLO CCc1cc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)[nH]n1 ZINC001004348052 748339169 /nfs/dbraw/zinc/33/91/69/748339169.db2.gz VBMYNGFMSLYWKV-INIZCTEOSA-N 1 2 315.421 1.812 20 30 DDEDLO CCc1cc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)[nH]n1 ZINC001004348052 748339178 /nfs/dbraw/zinc/33/91/78/748339178.db2.gz VBMYNGFMSLYWKV-INIZCTEOSA-N 1 2 315.421 1.812 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)[C@H]2CCCOC2)CC1 ZINC001004371079 748373440 /nfs/dbraw/zinc/37/34/40/748373440.db2.gz IYJBHSHITHSXHU-HOTGVXAUSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)[C@H]2CCCOC2)CC1 ZINC001004371079 748373444 /nfs/dbraw/zinc/37/34/44/748373444.db2.gz IYJBHSHITHSXHU-HOTGVXAUSA-N 1 2 305.422 1.640 20 30 DDEDLO CCN(CCNc1ccc(C#N)nc1)C(=O)CCc1c[nH]c[nH+]1 ZINC001106979082 748466164 /nfs/dbraw/zinc/46/61/64/748466164.db2.gz CINWWWQMVNVPOH-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO CCN(CCNc1ccc(C#N)nc1)C(=O)CCc1c[nH+]c[nH]1 ZINC001106979082 748466170 /nfs/dbraw/zinc/46/61/70/748466170.db2.gz CINWWWQMVNVPOH-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO N#C[C@@H]1CN(C(=O)NCc2ccc(-n3cc[nH+]c3)cc2)CCO1 ZINC000106335859 748664249 /nfs/dbraw/zinc/66/42/49/748664249.db2.gz NLQYPGAUHWYYRC-OAHLLOKOSA-N 1 2 311.345 1.306 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001033183500 748831525 /nfs/dbraw/zinc/83/15/25/748831525.db2.gz ZDCDZOKVAIDLMH-LBPRGKRZSA-N 1 2 324.812 1.286 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001033183500 748831530 /nfs/dbraw/zinc/83/15/30/748831530.db2.gz ZDCDZOKVAIDLMH-LBPRGKRZSA-N 1 2 324.812 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccn(C)c(=O)c2)C1 ZINC001033205831 748916965 /nfs/dbraw/zinc/91/69/65/748916965.db2.gz AZPVQDKWLBVJBL-CYBMUJFWSA-N 1 2 309.797 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccn(C)c(=O)c2)C1 ZINC001033205831 748916969 /nfs/dbraw/zinc/91/69/69/748916969.db2.gz AZPVQDKWLBVJBL-CYBMUJFWSA-N 1 2 309.797 1.284 20 30 DDEDLO Cc1nc[nH]c1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001032230024 749046056 /nfs/dbraw/zinc/04/60/56/749046056.db2.gz WTTDTKWWHBQMIV-UHFFFAOYSA-N 1 2 309.373 1.452 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114353407 749106190 /nfs/dbraw/zinc/10/61/90/749106190.db2.gz RPPLWIQKQVSVCN-MUYACECFSA-N 1 2 304.394 1.842 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114353407 749106192 /nfs/dbraw/zinc/10/61/92/749106192.db2.gz RPPLWIQKQVSVCN-MUYACECFSA-N 1 2 304.394 1.842 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114353785 749106741 /nfs/dbraw/zinc/10/67/41/749106741.db2.gz ZIZWDCBVZSKJIM-RMRHIDDWSA-N 1 2 303.410 1.279 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114353785 749106744 /nfs/dbraw/zinc/10/67/44/749106744.db2.gz ZIZWDCBVZSKJIM-RMRHIDDWSA-N 1 2 303.410 1.279 20 30 DDEDLO C=CCOCC[NH+]1CC(n2cc(CNC(=O)CCCC)nn2)C1 ZINC001107129997 749190707 /nfs/dbraw/zinc/19/07/07/749190707.db2.gz ZLYURVPJYTXHON-UHFFFAOYSA-N 1 2 321.425 1.144 20 30 DDEDLO Cc1nnc(C[NH2+][C@H]2CN(C(=O)C#CC3CC3)CC2(C)C)o1 ZINC000995798328 749232231 /nfs/dbraw/zinc/23/22/31/749232231.db2.gz NGOLURBLJOBETD-ZDUSSCGKSA-N 1 2 302.378 1.118 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2ncccc2OC)C1 ZINC001033362373 749247941 /nfs/dbraw/zinc/24/79/41/749247941.db2.gz VKWWUPDDHANDQR-CYBMUJFWSA-N 1 2 323.824 1.918 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2ncccc2OC)C1 ZINC001033362373 749247945 /nfs/dbraw/zinc/24/79/45/749247945.db2.gz VKWWUPDDHANDQR-CYBMUJFWSA-N 1 2 323.824 1.918 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)/C=C\C(C)(C)C)nn2)C1 ZINC001107146340 749400966 /nfs/dbraw/zinc/40/09/66/749400966.db2.gz FYMFKLCDDVESEK-FPLPWBNLSA-N 1 2 315.421 1.377 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccon2)c1 ZINC001032296677 749482912 /nfs/dbraw/zinc/48/29/12/749482912.db2.gz BMZWURCNCPXNRH-GJZGRUSLSA-N 1 2 308.341 1.645 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccon2)c1 ZINC001032296677 749482914 /nfs/dbraw/zinc/48/29/14/749482914.db2.gz BMZWURCNCPXNRH-GJZGRUSLSA-N 1 2 308.341 1.645 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cn(CCC)nn2)C1 ZINC001033543798 749520263 /nfs/dbraw/zinc/52/02/63/749520263.db2.gz IRIOZANXNGUAEH-LBPRGKRZSA-N 1 2 311.817 1.587 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cn(CCC)nn2)C1 ZINC001033543798 749520269 /nfs/dbraw/zinc/52/02/69/749520269.db2.gz IRIOZANXNGUAEH-LBPRGKRZSA-N 1 2 311.817 1.587 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2ccc3c(c2)occc3=O)C1 ZINC001033592302 749577858 /nfs/dbraw/zinc/57/78/58/749577858.db2.gz YMMRAEHZMFNSLX-CQSZACIVSA-N 1 2 310.353 1.573 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc3c(c2)occc3=O)C1 ZINC001033592302 749577860 /nfs/dbraw/zinc/57/78/60/749577860.db2.gz YMMRAEHZMFNSLX-CQSZACIVSA-N 1 2 310.353 1.573 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3(C(C)C)CC3)nn2)C1 ZINC001107207942 749593304 /nfs/dbraw/zinc/59/33/04/749593304.db2.gz JYKAVCTUGSSRGL-UHFFFAOYSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)[C@@H](C)CC)nn2)C1 ZINC001107224904 749650315 /nfs/dbraw/zinc/65/03/15/749650315.db2.gz GWBSZTOCVRQWPQ-QWHCGFSZSA-N 1 2 305.426 1.619 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CC(CC)CC)nn2)C1 ZINC001107224844 749650701 /nfs/dbraw/zinc/65/07/01/749650701.db2.gz FGHCUCYHUQWHOK-UHFFFAOYSA-N 1 2 305.426 1.763 20 30 DDEDLO CN(C(=O)c1ccn(C)n1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033654086 749657252 /nfs/dbraw/zinc/65/72/52/749657252.db2.gz AWGXOIIDBFTIOO-MRXNPFEDSA-N 1 2 323.400 1.638 20 30 DDEDLO CN(C(=O)c1ccn(C)n1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033654086 749657254 /nfs/dbraw/zinc/65/72/54/749657254.db2.gz AWGXOIIDBFTIOO-MRXNPFEDSA-N 1 2 323.400 1.638 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001033685751 749716374 /nfs/dbraw/zinc/71/63/74/749716374.db2.gz QYTVQNBINPIJRY-OAHLLOKOSA-N 1 2 318.357 1.714 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001033685751 749716379 /nfs/dbraw/zinc/71/63/79/749716379.db2.gz QYTVQNBINPIJRY-OAHLLOKOSA-N 1 2 318.357 1.714 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@](C)(CNc2cc[nH+]c(C)n2)C1 ZINC001110841476 749747934 /nfs/dbraw/zinc/74/79/34/749747934.db2.gz FCFYCDIBDGEQAY-SJORKVTESA-N 1 2 318.421 1.763 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC=CCNc1ccc(C#N)nc1 ZINC001107284898 749750154 /nfs/dbraw/zinc/75/01/54/749750154.db2.gz HMZIODXEHNNMHZ-NSCUHMNNSA-N 1 2 310.361 1.312 20 30 DDEDLO CN(c1ccc(C#N)nc1)[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001056906189 762028626 /nfs/dbraw/zinc/02/86/26/762028626.db2.gz BNEFZCWCBDRTRI-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO CCC(CC)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001111189728 750424211 /nfs/dbraw/zinc/42/42/11/750424211.db2.gz AVMJPJMLMNRVQE-KFWWJZLASA-N 1 2 320.437 1.174 20 30 DDEDLO CCC(CC)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001111189728 750424214 /nfs/dbraw/zinc/42/42/14/750424214.db2.gz AVMJPJMLMNRVQE-KFWWJZLASA-N 1 2 320.437 1.174 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001039458806 762048953 /nfs/dbraw/zinc/04/89/53/762048953.db2.gz PEZSPHMLCTXMKL-MCIONIFRSA-N 1 2 315.421 1.161 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001039458806 762048965 /nfs/dbraw/zinc/04/89/65/762048965.db2.gz PEZSPHMLCTXMKL-MCIONIFRSA-N 1 2 315.421 1.161 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001039458806 762048975 /nfs/dbraw/zinc/04/89/75/762048975.db2.gz PEZSPHMLCTXMKL-MCIONIFRSA-N 1 2 315.421 1.161 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001039458806 762048983 /nfs/dbraw/zinc/04/89/83/762048983.db2.gz PEZSPHMLCTXMKL-MCIONIFRSA-N 1 2 315.421 1.161 20 30 DDEDLO C[C@@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C1CCN(CC#N)CC1 ZINC000997188976 750683493 /nfs/dbraw/zinc/68/34/93/750683493.db2.gz UWMXBHBQPDSORC-UKRRQHHQSA-N 1 2 315.421 1.186 20 30 DDEDLO C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn[nH]c1-c1ccccn1 ZINC001032439853 750838812 /nfs/dbraw/zinc/83/88/12/750838812.db2.gz NCRBWEIDYYPXDY-KBPBESRZSA-N 1 2 323.400 1.947 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001108406290 762083364 /nfs/dbraw/zinc/08/33/64/762083364.db2.gz RXSALIUHDNYLLD-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001108406290 762083372 /nfs/dbraw/zinc/08/33/72/762083372.db2.gz RXSALIUHDNYLLD-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114685990 750986768 /nfs/dbraw/zinc/98/67/68/750986768.db2.gz DIPXYPGOVKFVBD-XEZLXBQYSA-N 1 2 304.394 1.698 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114685990 750986771 /nfs/dbraw/zinc/98/67/71/750986771.db2.gz DIPXYPGOVKFVBD-XEZLXBQYSA-N 1 2 304.394 1.698 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114791770 751083337 /nfs/dbraw/zinc/08/33/37/751083337.db2.gz JYXXENAYRAOOOC-WBTNSWJXSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114791770 751083344 /nfs/dbraw/zinc/08/33/44/751083344.db2.gz JYXXENAYRAOOOC-WBTNSWJXSA-N 1 2 317.437 1.447 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccco2)on1 ZINC001032551139 751277725 /nfs/dbraw/zinc/27/77/25/751277725.db2.gz MVOOFYFZOYVITI-STQMWFEESA-N 1 2 311.341 1.857 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccco2)on1 ZINC001032551139 751277729 /nfs/dbraw/zinc/27/77/29/751277729.db2.gz MVOOFYFZOYVITI-STQMWFEESA-N 1 2 311.341 1.857 20 30 DDEDLO N#CCN1CCC2(C1)CCN(C(=O)CCc1c[nH]c[nH+]1)CC2 ZINC001035191401 751291548 /nfs/dbraw/zinc/29/15/48/751291548.db2.gz YHQGTCRHGUTERZ-UHFFFAOYSA-N 1 2 301.394 1.180 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccncn2)cc1 ZINC001032689870 752707575 /nfs/dbraw/zinc/70/75/75/752707575.db2.gz IFPPLFUBDLBOJE-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccncn2)cc1 ZINC001032689870 752707579 /nfs/dbraw/zinc/70/75/79/752707579.db2.gz IFPPLFUBDLBOJE-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H](NC(=O)c2cc(CN3CCCC3)on2)C1 ZINC001008549421 752795168 /nfs/dbraw/zinc/79/51/68/752795168.db2.gz DJUCVOFCCNFERO-AWEZNQCLSA-N 1 2 318.421 1.651 20 30 DDEDLO C=CC[N@H+]1CCC[C@H](NC(=O)c2cc(CN3CCCC3)on2)C1 ZINC001008549421 752795174 /nfs/dbraw/zinc/79/51/74/752795174.db2.gz DJUCVOFCCNFERO-AWEZNQCLSA-N 1 2 318.421 1.651 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)n2nc(C)cc2C)C1 ZINC001108433587 762168926 /nfs/dbraw/zinc/16/89/26/762168926.db2.gz GUJYBVIKMYXIAK-RDJZCZTQSA-N 1 2 320.437 1.454 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)n2nc(C)cc2C)C1 ZINC001108433587 762168928 /nfs/dbraw/zinc/16/89/28/762168928.db2.gz GUJYBVIKMYXIAK-RDJZCZTQSA-N 1 2 320.437 1.454 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn(C)c2C2CC2)C1 ZINC001108440716 762193489 /nfs/dbraw/zinc/19/34/89/762193489.db2.gz CYCMVHXNCQMWCP-QGZVFWFLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn(C)c2C2CC2)C1 ZINC001108440716 762193494 /nfs/dbraw/zinc/19/34/94/762193494.db2.gz CYCMVHXNCQMWCP-QGZVFWFLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC001009471501 753207988 /nfs/dbraw/zinc/20/79/88/753207988.db2.gz QUTBKFCBMWMGGG-ZDUSSCGKSA-N 1 2 309.797 1.181 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC001009471501 753207992 /nfs/dbraw/zinc/20/79/92/753207992.db2.gz QUTBKFCBMWMGGG-ZDUSSCGKSA-N 1 2 309.797 1.181 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)CN1c1ccc(C#N)nc1 ZINC001039758765 762204788 /nfs/dbraw/zinc/20/47/88/762204788.db2.gz ZTSYSCAITBTWLB-HIFRSBDPSA-N 1 2 324.388 1.323 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001108171385 753367560 /nfs/dbraw/zinc/36/75/60/753367560.db2.gz GZEIAVXLNDMTPJ-IEBWSBKVSA-N 1 2 312.413 1.557 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001108171385 753367566 /nfs/dbraw/zinc/36/75/66/753367566.db2.gz GZEIAVXLNDMTPJ-IEBWSBKVSA-N 1 2 312.413 1.557 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1-c1ccon1 ZINC001032735397 753399202 /nfs/dbraw/zinc/39/92/02/753399202.db2.gz CCXQXMYOSBHTEY-RYUDHWBXSA-N 1 2 312.329 1.252 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1-c1ccon1 ZINC001032735397 753399203 /nfs/dbraw/zinc/39/92/03/753399203.db2.gz CCXQXMYOSBHTEY-RYUDHWBXSA-N 1 2 312.329 1.252 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ccc(C#N)cn1 ZINC001039819578 762230048 /nfs/dbraw/zinc/23/00/48/762230048.db2.gz VVZOLEGIMKWTMV-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO Cc1c(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)cnn1C ZINC001010193077 753670674 /nfs/dbraw/zinc/67/06/74/753670674.db2.gz GKDVYQHFZBSDSU-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1c(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)cnn1C ZINC001010193077 753670675 /nfs/dbraw/zinc/67/06/75/753670675.db2.gz GKDVYQHFZBSDSU-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)c3ccon3)C2)ccc1F ZINC001010286513 753733819 /nfs/dbraw/zinc/73/38/19/753733819.db2.gz NFGYAYFSCTYJBU-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@@H](NC(=O)c3ccon3)C2)ccc1F ZINC001010286513 753733823 /nfs/dbraw/zinc/73/38/23/753733823.db2.gz NFGYAYFSCTYJBU-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(OCC(C)C)c2)C1 ZINC001078151810 753795665 /nfs/dbraw/zinc/79/56/65/753795665.db2.gz NKOVWFMALGBLEP-IAGOWNOFSA-N 1 2 316.401 1.130 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(OCC(C)C)c2)C1 ZINC001078151810 753795668 /nfs/dbraw/zinc/79/56/68/753795668.db2.gz NKOVWFMALGBLEP-IAGOWNOFSA-N 1 2 316.401 1.130 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)Cc2ccon2)C1 ZINC001010388323 753851560 /nfs/dbraw/zinc/85/15/60/753851560.db2.gz OQPNTWHRBTVJJD-INIZCTEOSA-N 1 2 310.357 1.479 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)Cc2ccon2)C1 ZINC001010388323 753851568 /nfs/dbraw/zinc/85/15/68/753851568.db2.gz OQPNTWHRBTVJJD-INIZCTEOSA-N 1 2 310.357 1.479 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001078219295 753852508 /nfs/dbraw/zinc/85/25/08/753852508.db2.gz WAQGPXBBMXXGRT-YVEFUNNKSA-N 1 2 320.437 1.874 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CCN(c3cc[nH+]c(C)n3)C2)cn1 ZINC001062958273 753885120 /nfs/dbraw/zinc/88/51/20/753885120.db2.gz LKROXGZILDHXJW-MRXNPFEDSA-N 1 2 321.384 1.512 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@@H](N(C)C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001063046036 753938512 /nfs/dbraw/zinc/93/85/12/753938512.db2.gz QPVXCTYOTNZHEH-OAHLLOKOSA-N 1 2 324.388 1.265 20 30 DDEDLO C=C(C)CCC(=O)NCC1(NC(=O)CCn2cc[nH+]c2)CCC1 ZINC001063261675 754058808 /nfs/dbraw/zinc/05/88/08/754058808.db2.gz RUMLWXJBDNYSAI-UHFFFAOYSA-N 1 2 318.421 1.785 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(F)cn3)C2)c[nH]1 ZINC001010668458 754090591 /nfs/dbraw/zinc/09/05/91/754090591.db2.gz LCPJFQCIAARELC-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(F)cn3)C2)c[nH]1 ZINC001010668458 754090599 /nfs/dbraw/zinc/09/05/99/754090599.db2.gz LCPJFQCIAARELC-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(F)cc(F)c1 ZINC001032807411 754128020 /nfs/dbraw/zinc/12/80/20/754128020.db2.gz IDLSPPDTIVFDNM-GJZGRUSLSA-N 1 2 320.339 1.652 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(F)cc(F)c1 ZINC001032807411 754128025 /nfs/dbraw/zinc/12/80/25/754128025.db2.gz IDLSPPDTIVFDNM-GJZGRUSLSA-N 1 2 320.339 1.652 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]c2ccccc2c1OC ZINC001032808886 754145038 /nfs/dbraw/zinc/14/50/38/754145038.db2.gz VNKJLCVNTVEVFF-STQMWFEESA-N 1 2 309.369 1.708 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]c2ccccc2c1OC ZINC001032808886 754145041 /nfs/dbraw/zinc/14/50/41/754145041.db2.gz VNKJLCVNTVEVFF-STQMWFEESA-N 1 2 309.369 1.708 20 30 DDEDLO N#CCN[C@H]1C[C@@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C12CCC2 ZINC001078660609 754258391 /nfs/dbraw/zinc/25/83/91/754258391.db2.gz LAEAFYRSBRUMEG-LSDHHAIUSA-N 1 2 309.373 1.717 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)CC[C@H]1Nc1ncccc1C#N ZINC001063751999 754329649 /nfs/dbraw/zinc/32/96/49/754329649.db2.gz ITNQSJOPINGCJB-UKRRQHHQSA-N 1 2 324.388 1.499 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)CC[C@H]1Nc1ccc(C#N)nc1 ZINC001063761166 754358030 /nfs/dbraw/zinc/35/80/30/754358030.db2.gz VUWKGLKLEIOZNH-SWLSCSKDSA-N 1 2 324.388 1.568 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](OC)C1CCCCC1 ZINC001032824512 754562851 /nfs/dbraw/zinc/56/28/51/754562851.db2.gz YYLDJBVMTZGVHX-YESZJQIVSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](OC)C1CCCCC1 ZINC001032824512 754562854 /nfs/dbraw/zinc/56/28/54/754562854.db2.gz YYLDJBVMTZGVHX-YESZJQIVSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CCCCC(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001064278825 754598535 /nfs/dbraw/zinc/59/85/35/754598535.db2.gz UJZGRDBIFKNPQG-UHFFFAOYSA-N 1 2 304.394 1.395 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)CCc2[nH+]ccn2C)CC1 ZINC001011910311 754766126 /nfs/dbraw/zinc/76/61/26/754766126.db2.gz NAOWQSVCQPBSIP-UHFFFAOYSA-N 1 2 318.421 1.236 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ncccc2C#N)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064785400 754846781 /nfs/dbraw/zinc/84/67/81/754846781.db2.gz NCSKZGUBCCUFGR-UKRRQHHQSA-N 1 2 324.388 1.641 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064791716 754857863 /nfs/dbraw/zinc/85/78/63/754857863.db2.gz KLGXPFZEXIOIKC-GXTWGEPZSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001080177481 755751481 /nfs/dbraw/zinc/75/14/81/755751481.db2.gz NUTBAFJALLRXBN-ZWNOBZJWSA-N 1 2 311.817 1.030 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001080177481 755751483 /nfs/dbraw/zinc/75/14/83/755751483.db2.gz NUTBAFJALLRXBN-ZWNOBZJWSA-N 1 2 311.817 1.030 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc3c(c2)NC(=O)C3)C1 ZINC001014535131 755784849 /nfs/dbraw/zinc/78/48/49/755784849.db2.gz MKBVWVUIAIHWES-CYBMUJFWSA-N 1 2 319.792 1.738 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc3c(c2)NC(=O)C3)C1 ZINC001014535131 755784854 /nfs/dbraw/zinc/78/48/54/755784854.db2.gz MKBVWVUIAIHWES-CYBMUJFWSA-N 1 2 319.792 1.738 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2coc(C)n2)C[C@H]1C ZINC001080472272 755932332 /nfs/dbraw/zinc/93/23/32/755932332.db2.gz MGEODZQKKALVAD-MPESAESLSA-N 1 2 319.405 1.511 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2coc(C)n2)C[C@H]1C ZINC001080472272 755932337 /nfs/dbraw/zinc/93/23/37/755932337.db2.gz MGEODZQKKALVAD-MPESAESLSA-N 1 2 319.405 1.511 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2CCC(C(N)=O)CC2)C1 ZINC001015038516 756087099 /nfs/dbraw/zinc/08/70/99/756087099.db2.gz PGXUJDWFRBMDAS-BPCQOVAHSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2CCC(C(N)=O)CC2)C1 ZINC001015038516 756087102 /nfs/dbraw/zinc/08/71/02/756087102.db2.gz PGXUJDWFRBMDAS-BPCQOVAHSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001080855816 756123772 /nfs/dbraw/zinc/12/37/72/756123772.db2.gz CEWVPLNMGBMNCU-LERXQTSPSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001080855816 756123769 /nfs/dbraw/zinc/12/37/69/756123769.db2.gz CEWVPLNMGBMNCU-LERXQTSPSA-N 1 2 313.829 1.044 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(F)F)nc2)[C@H](OC)C1 ZINC001081781401 756457078 /nfs/dbraw/zinc/45/70/78/756457078.db2.gz VIOJQFUHUJHNTN-ZIAGYGMSSA-N 1 2 323.343 1.472 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(F)F)nc2)[C@H](OC)C1 ZINC001081781401 756457084 /nfs/dbraw/zinc/45/70/84/756457084.db2.gz VIOJQFUHUJHNTN-ZIAGYGMSSA-N 1 2 323.343 1.472 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cn3cc(C)nc3s2)[C@H](OC)C1 ZINC001081798612 756484159 /nfs/dbraw/zinc/48/41/59/756484159.db2.gz HQYPPVISCWXHFL-VXGBXAGGSA-N 1 2 320.418 1.319 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cn3cc(C)nc3s2)[C@H](OC)C1 ZINC001081798612 756484161 /nfs/dbraw/zinc/48/41/61/756484161.db2.gz HQYPPVISCWXHFL-VXGBXAGGSA-N 1 2 320.418 1.319 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001082149006 756654198 /nfs/dbraw/zinc/65/41/98/756654198.db2.gz CTBWUGRXIHNIHO-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO Cc1conc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015950826 756672439 /nfs/dbraw/zinc/67/24/39/756672439.db2.gz HJIVZBSKIUFNGW-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1conc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015950826 756672440 /nfs/dbraw/zinc/67/24/40/756672440.db2.gz HJIVZBSKIUFNGW-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(C)C)oc2C)[C@H](OC)C1 ZINC001082289245 756723987 /nfs/dbraw/zinc/72/39/87/756723987.db2.gz WQGUGABLXNQJRP-GDBMZVCRSA-N 1 2 304.390 1.774 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(C)C)oc2C)[C@H](OC)C1 ZINC001082289245 756723989 /nfs/dbraw/zinc/72/39/89/756723989.db2.gz WQGUGABLXNQJRP-GDBMZVCRSA-N 1 2 304.390 1.774 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)n(C(C)(C)C)n2)[C@H](OC)C1 ZINC001082373203 756757403 /nfs/dbraw/zinc/75/74/03/756757403.db2.gz WWOYJJRSJCCDLO-HUUCEWRRSA-N 1 2 318.421 1.009 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)n(C(C)(C)C)n2)[C@H](OC)C1 ZINC001082373203 756757406 /nfs/dbraw/zinc/75/74/06/756757406.db2.gz WWOYJJRSJCCDLO-HUUCEWRRSA-N 1 2 318.421 1.009 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(NC(N)=O)cc2)C1 ZINC001016170773 756806727 /nfs/dbraw/zinc/80/67/27/756806727.db2.gz DAADVSNMKVIPFN-CYBMUJFWSA-N 1 2 322.796 1.734 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(NC(N)=O)cc2)C1 ZINC001016170773 756806733 /nfs/dbraw/zinc/80/67/33/756806733.db2.gz DAADVSNMKVIPFN-CYBMUJFWSA-N 1 2 322.796 1.734 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001097244883 757015072 /nfs/dbraw/zinc/01/50/72/757015072.db2.gz SYAJGOPEXAHDRP-TXEJJXNPSA-N 1 2 314.393 1.779 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2OCCN(C(=O)c3scnc3C)[C@H]2C1 ZINC001083011945 757052346 /nfs/dbraw/zinc/05/23/46/757052346.db2.gz YAGYSABVCNIHIT-QWHCGFSZSA-N 1 2 307.419 1.553 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2OCCN(C(=O)c3scnc3C)[C@H]2C1 ZINC001083011945 757052364 /nfs/dbraw/zinc/05/23/64/757052364.db2.gz YAGYSABVCNIHIT-QWHCGFSZSA-N 1 2 307.419 1.553 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097302738 757066004 /nfs/dbraw/zinc/06/60/04/757066004.db2.gz NCUUEOHXVXJTQA-OAHLLOKOSA-N 1 2 300.406 1.921 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097310691 757081992 /nfs/dbraw/zinc/08/19/92/757081992.db2.gz ACQCOZBRCWIALF-OAHLLOKOSA-N 1 2 318.421 1.710 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCc3cccc(C)c3)[C@H]2C1 ZINC001083155800 757159506 /nfs/dbraw/zinc/15/95/06/757159506.db2.gz NNENNBVTHZFEJF-ZWKOTPCHSA-N 1 2 312.413 1.472 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCc3cccc(C)c3)[C@H]2C1 ZINC001083155800 757159507 /nfs/dbraw/zinc/15/95/07/757159507.db2.gz NNENNBVTHZFEJF-ZWKOTPCHSA-N 1 2 312.413 1.472 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccn(CC)c3C)[C@H]2C1 ZINC001083172648 757175090 /nfs/dbraw/zinc/17/50/90/757175090.db2.gz RUXBHTRQLGDRFZ-DLBZAZTESA-N 1 2 315.417 1.365 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccn(CC)c3C)[C@H]2C1 ZINC001083172648 757175093 /nfs/dbraw/zinc/17/50/93/757175093.db2.gz RUXBHTRQLGDRFZ-DLBZAZTESA-N 1 2 315.417 1.365 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(F)c3F)[C@H]2C1 ZINC001083178238 757181837 /nfs/dbraw/zinc/18/18/37/757181837.db2.gz IXWXFDZKRVTKID-UONOGXRCSA-N 1 2 306.312 1.123 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(F)c3F)[C@H]2C1 ZINC001083178238 757181840 /nfs/dbraw/zinc/18/18/40/757181840.db2.gz IXWXFDZKRVTKID-UONOGXRCSA-N 1 2 306.312 1.123 20 30 DDEDLO N#CCN1CCC2(C[C@@H]2NC(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001016686549 757266630 /nfs/dbraw/zinc/26/66/30/757266630.db2.gz AYRBKRONCRRTOM-HNNXBMFYSA-N 1 2 309.373 1.671 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CC[C@H](C)CC)[C@@H](n2ccnn2)C1 ZINC001129337813 762534635 /nfs/dbraw/zinc/53/46/35/762534635.db2.gz YRCAEXYJKPZJFU-KFWWJZLASA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CC[C@H](C)CC)[C@@H](n2ccnn2)C1 ZINC001129337813 762534637 /nfs/dbraw/zinc/53/46/37/762534637.db2.gz YRCAEXYJKPZJFU-KFWWJZLASA-N 1 2 303.410 1.079 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(cccc3C)o2)[C@@H](O)C1 ZINC001084104496 757285926 /nfs/dbraw/zinc/28/59/26/757285926.db2.gz VXUGTFOJSMQWIV-CABCVRRESA-N 1 2 312.369 1.539 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(cccc3C)o2)[C@@H](O)C1 ZINC001084104496 757285935 /nfs/dbraw/zinc/28/59/35/757285935.db2.gz VXUGTFOJSMQWIV-CABCVRRESA-N 1 2 312.369 1.539 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3ccc(C#N)s3)C[C@H]21 ZINC001084236808 757439802 /nfs/dbraw/zinc/43/98/02/757439802.db2.gz RDEMPVJWCPGGOK-YWPYICTPSA-N 1 2 314.414 1.812 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccc(C#N)s3)C[C@H]21 ZINC001084236808 757439807 /nfs/dbraw/zinc/43/98/07/757439807.db2.gz RDEMPVJWCPGGOK-YWPYICTPSA-N 1 2 314.414 1.812 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cccc4n[nH]nc43)[C@@H]2C1 ZINC001084367945 757519905 /nfs/dbraw/zinc/51/99/05/757519905.db2.gz NOTNKWYTBDRCOM-IUODEOHRSA-N 1 2 309.373 1.128 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cccc4n[nH]nc43)[C@@H]2C1 ZINC001084367945 757519912 /nfs/dbraw/zinc/51/99/12/757519912.db2.gz NOTNKWYTBDRCOM-IUODEOHRSA-N 1 2 309.373 1.128 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cccc4nn[nH]c43)[C@@H]2C1 ZINC001084367945 757519916 /nfs/dbraw/zinc/51/99/16/757519916.db2.gz NOTNKWYTBDRCOM-IUODEOHRSA-N 1 2 309.373 1.128 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cccc4nn[nH]c43)[C@@H]2C1 ZINC001084367945 757519922 /nfs/dbraw/zinc/51/99/22/757519922.db2.gz NOTNKWYTBDRCOM-IUODEOHRSA-N 1 2 309.373 1.128 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2CN(C(=O)c3cnc(CC(C)C)[nH]3)[C@@H]2C1 ZINC001084343355 757533574 /nfs/dbraw/zinc/53/35/74/757533574.db2.gz FSRFBSZENZDKKX-UKRRQHHQSA-N 1 2 302.422 1.941 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc(CC(C)C)[nH]3)[C@@H]2C1 ZINC001084343355 757533579 /nfs/dbraw/zinc/53/35/79/757533579.db2.gz FSRFBSZENZDKKX-UKRRQHHQSA-N 1 2 302.422 1.941 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2CC(NC(=O)Cn3cc[nH+]c3)C2)C1 ZINC001016992687 757537602 /nfs/dbraw/zinc/53/76/02/757537602.db2.gz BSPXYRLGRLIIDA-UHFFFAOYSA-N 1 2 316.405 1.345 20 30 DDEDLO C[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1Nc1ncccc1C#N ZINC001067174017 757660458 /nfs/dbraw/zinc/66/04/58/757660458.db2.gz DCPNDHQANSCPLL-DZGCQCFKSA-N 1 2 324.388 1.499 20 30 DDEDLO C=CCc1ccnc(NC[C@@H]2COCC[N@@H+]2C)c1C(OC)OC ZINC001169651740 762573663 /nfs/dbraw/zinc/57/36/63/762573663.db2.gz UQEKEKFDJWWOBF-CQSZACIVSA-N 1 2 321.421 1.844 20 30 DDEDLO C=CCc1ccnc(NC[C@@H]2COCC[N@H+]2C)c1C(OC)OC ZINC001169651740 762573666 /nfs/dbraw/zinc/57/36/66/762573666.db2.gz UQEKEKFDJWWOBF-CQSZACIVSA-N 1 2 321.421 1.844 20 30 DDEDLO C=C(Cl)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1[nH]cc[nH+]1 ZINC001017511553 757987035 /nfs/dbraw/zinc/98/70/35/757987035.db2.gz JWACQLFGQZSPBK-BETUJISGSA-N 1 2 308.813 1.770 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)n(C(F)F)n1 ZINC001017587341 758053541 /nfs/dbraw/zinc/05/35/41/758053541.db2.gz USCDMOJGHFHIAO-BETUJISGSA-N 1 2 322.359 1.899 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)n(C(F)F)n1 ZINC001017587341 758053553 /nfs/dbraw/zinc/05/35/53/758053553.db2.gz USCDMOJGHFHIAO-BETUJISGSA-N 1 2 322.359 1.899 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc2[nH]ccc21 ZINC001017704370 758167999 /nfs/dbraw/zinc/16/79/99/758167999.db2.gz VPCZMVPIJMLJHI-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc2[nH]ccc21 ZINC001017704370 758168004 /nfs/dbraw/zinc/16/80/04/758168004.db2.gz VPCZMVPIJMLJHI-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(Cl)cn1C ZINC001017719587 758180492 /nfs/dbraw/zinc/18/04/92/758180492.db2.gz FVXYCPDIGGUBIX-OKILXGFUSA-N 1 2 305.809 1.991 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(Cl)cn1C ZINC001017719587 758180496 /nfs/dbraw/zinc/18/04/96/758180496.db2.gz FVXYCPDIGGUBIX-OKILXGFUSA-N 1 2 305.809 1.991 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)/C=C\c1ccco1)CCO2 ZINC001053130741 758189295 /nfs/dbraw/zinc/18/92/95/758189295.db2.gz KUHGYVXUKSRDCM-SREVYHEPSA-N 1 2 302.374 1.782 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)n2ccccc12 ZINC001017733938 758193352 /nfs/dbraw/zinc/19/33/52/758193352.db2.gz UAGGBQCZLMNUAA-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)n2ccccc12 ZINC001017733938 758193358 /nfs/dbraw/zinc/19/33/58/758193358.db2.gz UAGGBQCZLMNUAA-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1OCCc2ccccc21 ZINC001017784438 758238170 /nfs/dbraw/zinc/23/81/70/758238170.db2.gz HLGWGCAIAGKNAR-SOLBZPMBSA-N 1 2 310.397 1.609 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1OCCc2ccccc21 ZINC001017784438 758238175 /nfs/dbraw/zinc/23/81/75/758238175.db2.gz HLGWGCAIAGKNAR-SOLBZPMBSA-N 1 2 310.397 1.609 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@@](C)(O)C1CC1)CCO2 ZINC001053226441 758282773 /nfs/dbraw/zinc/28/27/73/758282773.db2.gz VJOQKFOADTYVLA-MRXNPFEDSA-N 1 2 308.422 1.027 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001108530964 762620235 /nfs/dbraw/zinc/62/02/35/762620235.db2.gz WVMSZUIWEZHUHF-ZDUSSCGKSA-N 1 2 316.405 1.619 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001053299143 758354321 /nfs/dbraw/zinc/35/43/21/758354321.db2.gz DWGQPCNFHXDKBL-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2nc[nH]c21 ZINC001017933631 758404059 /nfs/dbraw/zinc/40/40/59/758404059.db2.gz WOQCFSNIPJDGOO-ZNMIVQPWSA-N 1 2 312.417 1.528 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2nc[nH]c21 ZINC001017933631 758404068 /nfs/dbraw/zinc/40/40/68/758404068.db2.gz WOQCFSNIPJDGOO-ZNMIVQPWSA-N 1 2 312.417 1.528 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nccn2ccnc12 ZINC001017964340 758424451 /nfs/dbraw/zinc/42/44/51/758424451.db2.gz ZTHRTYUGCNRKEW-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nccn2ccnc12 ZINC001017964340 758424454 /nfs/dbraw/zinc/42/44/54/758424454.db2.gz ZTHRTYUGCNRKEW-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2cnnc2)cc1 ZINC001018060220 758515509 /nfs/dbraw/zinc/51/55/09/758515509.db2.gz SWXCAPVUQIZOHB-CALCHBBNSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2cnnc2)cc1 ZINC001018060220 758515513 /nfs/dbraw/zinc/51/55/13/758515513.db2.gz SWXCAPVUQIZOHB-CALCHBBNSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001018140027 758574495 /nfs/dbraw/zinc/57/44/95/758574495.db2.gz AXHHYDSGCBVRQE-IYBDPMFKSA-N 1 2 321.384 1.394 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001018140027 758574499 /nfs/dbraw/zinc/57/44/99/758574499.db2.gz AXHHYDSGCBVRQE-IYBDPMFKSA-N 1 2 321.384 1.394 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(C)cc1=O ZINC001018140104 758574669 /nfs/dbraw/zinc/57/46/69/758574669.db2.gz GHJNXZQJNXUYCS-OKILXGFUSA-N 1 2 301.390 1.548 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(C)cc1=O ZINC001018140104 758574676 /nfs/dbraw/zinc/57/46/76/758574676.db2.gz GHJNXZQJNXUYCS-OKILXGFUSA-N 1 2 301.390 1.548 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(CCC)c1C ZINC001018149595 758582099 /nfs/dbraw/zinc/58/20/99/758582099.db2.gz KXYBYYNLKGZZTL-IYBDPMFKSA-N 1 2 314.433 1.832 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(CCC)c1C ZINC001018149595 758582105 /nfs/dbraw/zinc/58/21/05/758582105.db2.gz KXYBYYNLKGZZTL-IYBDPMFKSA-N 1 2 314.433 1.832 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001018169737 758599033 /nfs/dbraw/zinc/59/90/33/758599033.db2.gz MVRUWLLPTNYTAP-BMFZPTHFSA-N 1 2 312.417 1.213 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001018169737 758599038 /nfs/dbraw/zinc/59/90/38/758599038.db2.gz MVRUWLLPTNYTAP-BMFZPTHFSA-N 1 2 312.417 1.213 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn(C)c2ccccc21 ZINC001018191849 758616473 /nfs/dbraw/zinc/61/64/73/758616473.db2.gz FLUQAFXCCGUARY-GASCZTMLSA-N 1 2 322.412 1.885 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn(C)c2ccccc21 ZINC001018191849 758616481 /nfs/dbraw/zinc/61/64/81/758616481.db2.gz FLUQAFXCCGUARY-GASCZTMLSA-N 1 2 322.412 1.885 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+]([C@@H]2CCN(C(C)=O)C2)CC1 ZINC001065675267 758694614 /nfs/dbraw/zinc/69/46/14/758694614.db2.gz OXMLEFLPLKPATC-QGZVFWFLSA-N 1 2 319.449 1.520 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1[nH]cnc1C)O2 ZINC001053705071 758713633 /nfs/dbraw/zinc/71/36/33/758713633.db2.gz VPQKEARWMYHIJU-ZDUSSCGKSA-N 1 2 304.394 1.257 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065699777 758715848 /nfs/dbraw/zinc/71/58/48/758715848.db2.gz OMTWDKUMWSTWML-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccc(C)o1)CO2 ZINC001053780897 758804571 /nfs/dbraw/zinc/80/45/71/758804571.db2.gz WOKFWFWZHUABDY-CQSZACIVSA-N 1 2 304.390 1.666 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccc(C)o1)CO2 ZINC001053780910 758805401 /nfs/dbraw/zinc/80/54/01/758805401.db2.gz WULCUNWDVOSDFC-AWEZNQCLSA-N 1 2 302.374 1.113 20 30 DDEDLO Cc1nc(NC[C@H]2CN(C(=O)c3c[nH]c(C#N)c3)C[C@@H]2C)cc[nH+]1 ZINC001065831374 758824938 /nfs/dbraw/zinc/82/49/38/758824938.db2.gz JZUSVJMFAANECD-FZMZJTMJSA-N 1 2 324.388 1.805 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1OCC[C@H]1CC)CO2 ZINC001053832592 758863426 /nfs/dbraw/zinc/86/34/26/758863426.db2.gz LVCXDXGGVKZIGE-ILXRZTDVSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H]1CC(C)(C)CO1)CO2 ZINC001053869689 758902325 /nfs/dbraw/zinc/90/23/25/758902325.db2.gz QQFCSLYQFQPGBF-CABCVRRESA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1occ3c1CCC3)CO2 ZINC001053882857 758917243 /nfs/dbraw/zinc/91/72/43/758917243.db2.gz JVEPNSODMGFFHG-AWEZNQCLSA-N 1 2 316.401 1.918 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1(C3CC3)CCC1)CO2 ZINC001053882523 758917485 /nfs/dbraw/zinc/91/74/85/758917485.db2.gz BXYTVIGSGUXPGA-HNNXBMFYSA-N 1 2 302.418 1.550 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)c1ccsc1)CO2 ZINC001053923287 758959393 /nfs/dbraw/zinc/95/93/93/758959393.db2.gz RPTHURSYWMXUOL-UKRRQHHQSA-N 1 2 318.442 1.834 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc(C)c1C)CO2 ZINC001053998550 759044117 /nfs/dbraw/zinc/04/41/17/759044117.db2.gz NCJIYUPQRFSGPI-MRXNPFEDSA-N 1 2 312.413 1.900 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccc(F)cc1)CO2 ZINC001053998036 759045167 /nfs/dbraw/zinc/04/51/67/759045167.db2.gz DBGDFAVYDLLKMD-MRXNPFEDSA-N 1 2 318.392 1.904 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)onc1CC)CO2 ZINC001054006351 759053879 /nfs/dbraw/zinc/05/38/79/759053879.db2.gz YJNFAMHYFJJRGX-ZDUSSCGKSA-N 1 2 319.405 1.695 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1CC1(F)F ZINC001054040020 759098602 /nfs/dbraw/zinc/09/86/02/759098602.db2.gz QFKAKWNJPXXEOT-CABCVRRESA-N 1 2 304.340 1.818 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1CC1(F)F ZINC001054040020 759098610 /nfs/dbraw/zinc/09/86/10/759098610.db2.gz QFKAKWNJPXXEOT-CABCVRRESA-N 1 2 304.340 1.818 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@]2(CC[N@H+](Cc3nncs3)C2)C1 ZINC001054101670 759165570 /nfs/dbraw/zinc/16/55/70/759165570.db2.gz CDKPOANGSJTAMV-WFASDCNBSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@]2(CC[N@@H+](Cc3nncs3)C2)C1 ZINC001054101670 759165574 /nfs/dbraw/zinc/16/55/74/759165574.db2.gz CDKPOANGSJTAMV-WFASDCNBSA-N 1 2 319.434 1.512 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CCCC3CC3)CC2=O)C1 ZINC001108563133 762689258 /nfs/dbraw/zinc/68/92/58/762689258.db2.gz IVYNLMXKJOWASG-OAHLLOKOSA-N 1 2 319.449 1.544 20 30 DDEDLO N#CCN[C@@H]1CCCC[C@H]1NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001085327473 759267318 /nfs/dbraw/zinc/26/73/18/759267318.db2.gz PBCGOJLWOMCBNQ-YNEHKIRRSA-N 1 2 301.394 1.370 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@H]3C(=O)OC)cc2C1 ZINC001054257965 759355292 /nfs/dbraw/zinc/35/52/92/759355292.db2.gz DYRKDESTEMGMPW-HZPDHXFCSA-N 1 2 312.369 1.061 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@H]3C(=O)OC)cc2C1 ZINC001054257965 759355304 /nfs/dbraw/zinc/35/53/04/759355304.db2.gz DYRKDESTEMGMPW-HZPDHXFCSA-N 1 2 312.369 1.061 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3n[nH]c(C)c3C)cc2C1 ZINC001054260043 759360029 /nfs/dbraw/zinc/36/00/29/759360029.db2.gz ZPUOJFRSYUHINT-UHFFFAOYSA-N 1 2 308.385 1.905 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3n[nH]c(C)c3C)cc2C1 ZINC001054260043 759360040 /nfs/dbraw/zinc/36/00/40/759360040.db2.gz ZPUOJFRSYUHINT-UHFFFAOYSA-N 1 2 308.385 1.905 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnc(OC)nc3)cc2C1 ZINC001054288592 759397113 /nfs/dbraw/zinc/39/71/13/759397113.db2.gz DGKLEHMQHMCQNV-UHFFFAOYSA-N 1 2 322.368 1.364 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnc(OC)nc3)cc2C1 ZINC001054288592 759397125 /nfs/dbraw/zinc/39/71/25/759397125.db2.gz DGKLEHMQHMCQNV-UHFFFAOYSA-N 1 2 322.368 1.364 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cnn(C)c1 ZINC001085474238 759473614 /nfs/dbraw/zinc/47/36/14/759473614.db2.gz XXJWXRSIUZFLEX-SFHVURJKSA-N 1 2 322.412 1.618 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cnn(C)c1 ZINC001085474238 759473617 /nfs/dbraw/zinc/47/36/17/759473617.db2.gz XXJWXRSIUZFLEX-SFHVURJKSA-N 1 2 322.412 1.618 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1ccnn1C ZINC001085511880 759585527 /nfs/dbraw/zinc/58/55/27/759585527.db2.gz FRHIEUOQVFWKGF-KRWDZBQOSA-N 1 2 322.412 1.618 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1ccnn1C ZINC001085511880 759585530 /nfs/dbraw/zinc/58/55/30/759585530.db2.gz FRHIEUOQVFWKGF-KRWDZBQOSA-N 1 2 322.412 1.618 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)c2ccc(C#N)[nH]2)ncn1 ZINC001085553735 759682479 /nfs/dbraw/zinc/68/24/79/759682479.db2.gz WOSXFSXKTFJJHA-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)c2ccc(C#N)[nH]2)ncn1 ZINC001085553735 759682485 /nfs/dbraw/zinc/68/24/85/759682485.db2.gz WOSXFSXKTFJJHA-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH2+][C@@H](C)c2nc(COC)no2)C1 ZINC001019237992 759707303 /nfs/dbraw/zinc/70/73/03/759707303.db2.gz HWPMDCQKEGARCP-RYUDHWBXSA-N 1 2 322.409 1.680 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1csc([C@H]2CCCO2)n1 ZINC001085594351 759794591 /nfs/dbraw/zinc/79/45/91/759794591.db2.gz DNXYVWIFINSATO-TZMCWYRMSA-N 1 2 319.430 1.774 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1csc([C@H]2CCCO2)n1 ZINC001085594351 759794598 /nfs/dbraw/zinc/79/45/98/759794598.db2.gz DNXYVWIFINSATO-TZMCWYRMSA-N 1 2 319.430 1.774 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]cc1C(F)(F)F ZINC001085637471 759929068 /nfs/dbraw/zinc/92/90/68/759929068.db2.gz QFULYMVARRQYND-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]cc1C(F)(F)F ZINC001085637471 759929071 /nfs/dbraw/zinc/92/90/71/759929071.db2.gz QFULYMVARRQYND-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2ccnn2C)cc1 ZINC001085756423 760177140 /nfs/dbraw/zinc/17/71/40/760177140.db2.gz IWRXLGYQOCFTBK-SFHVURJKSA-N 1 2 322.412 1.748 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2ccnn2C)cc1 ZINC001085756423 760177142 /nfs/dbraw/zinc/17/71/42/760177142.db2.gz IWRXLGYQOCFTBK-SFHVURJKSA-N 1 2 322.412 1.748 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C/C=C/Cl)c1 ZINC001085810093 760278799 /nfs/dbraw/zinc/27/87/99/760278799.db2.gz UPUWKJLOCVPBSK-DRDHIDPGSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@H+]2C/C=C/Cl)c1 ZINC001085810093 760278806 /nfs/dbraw/zinc/27/88/06/760278806.db2.gz UPUWKJLOCVPBSK-DRDHIDPGSA-N 1 2 303.793 1.962 20 30 DDEDLO N#Cc1cccnc1N1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C2 ZINC001055081817 760316461 /nfs/dbraw/zinc/31/64/61/760316461.db2.gz XHFZVZNWHJWXSE-RDBSUJKOSA-N 1 2 322.372 1.145 20 30 DDEDLO Cc1nsc(N[C@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)c1C#N ZINC001097975002 760324467 /nfs/dbraw/zinc/32/44/67/760324467.db2.gz GHMIEECDRHUWJF-MRVPVSSYSA-N 1 2 318.406 1.514 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@H](C)Nc1cc[nH+]c(C)n1 ZINC001097979711 760359796 /nfs/dbraw/zinc/35/97/96/760359796.db2.gz FPMMWRANPHVCJU-CYBMUJFWSA-N 1 2 308.385 1.926 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1C(F)(F)F ZINC001085908516 760506054 /nfs/dbraw/zinc/50/60/54/760506054.db2.gz LAKCRVREGKBDGJ-NSHDSACASA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1C(F)(F)F ZINC001085908516 760506058 /nfs/dbraw/zinc/50/60/58/760506058.db2.gz LAKCRVREGKBDGJ-NSHDSACASA-N 1 2 311.307 1.880 20 30 DDEDLO CN(C[C@H]1CCN1CC#N)C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001085905388 760508855 /nfs/dbraw/zinc/50/88/55/760508855.db2.gz DWSPYLXLQZQZBF-MRXNPFEDSA-N 1 2 309.373 1.542 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001085924263 760547967 /nfs/dbraw/zinc/54/79/67/760547967.db2.gz RLPCUQLYVXDAPW-QWHCGFSZSA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001085924263 760547968 /nfs/dbraw/zinc/54/79/68/760547968.db2.gz RLPCUQLYVXDAPW-QWHCGFSZSA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001085924263 760547969 /nfs/dbraw/zinc/54/79/69/760547969.db2.gz RLPCUQLYVXDAPW-QWHCGFSZSA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001085924263 760547970 /nfs/dbraw/zinc/54/79/70/760547970.db2.gz RLPCUQLYVXDAPW-QWHCGFSZSA-N 1 2 303.410 1.018 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn2c1C[C@@H](C)CC2 ZINC001085951196 760595502 /nfs/dbraw/zinc/59/55/02/760595502.db2.gz YXVOXTDBXSNXSC-UONOGXRCSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn2c1C[C@@H](C)CC2 ZINC001085951196 760595506 /nfs/dbraw/zinc/59/55/06/760595506.db2.gz YXVOXTDBXSNXSC-UONOGXRCSA-N 1 2 300.406 1.245 20 30 DDEDLO Cc1cncc(C[N@@H+]2CC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)c1 ZINC001038169763 760881103 /nfs/dbraw/zinc/88/11/03/760881103.db2.gz PAWRLADDLGMAMB-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cncc(C[N@H+]2CC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)c1 ZINC001038169763 760881115 /nfs/dbraw/zinc/88/11/15/760881115.db2.gz PAWRLADDLGMAMB-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1ccncc1C[N@@H+]1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038174177 760887290 /nfs/dbraw/zinc/88/72/90/760887290.db2.gz FHIVFVFLQZYKOS-MRXNPFEDSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1ccncc1C[N@H+]1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038174177 760887301 /nfs/dbraw/zinc/88/73/01/760887301.db2.gz FHIVFVFLQZYKOS-MRXNPFEDSA-N 1 2 309.373 1.594 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CCCc2cn[nH]c21 ZINC001038264757 760958794 /nfs/dbraw/zinc/95/87/94/760958794.db2.gz YNZUXOXDSDIKII-LSDHHAIUSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CCCc2cn[nH]c21 ZINC001038264757 760958798 /nfs/dbraw/zinc/95/87/98/760958798.db2.gz YNZUXOXDSDIKII-LSDHHAIUSA-N 1 2 302.422 1.986 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(F)cc1NC(C)=O ZINC001038326127 761007544 /nfs/dbraw/zinc/00/75/44/761007544.db2.gz FSQHRVWTBHIOOK-CQSZACIVSA-N 1 2 317.364 1.612 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(F)cc1NC(C)=O ZINC001038326127 761007555 /nfs/dbraw/zinc/00/75/55/761007555.db2.gz FSQHRVWTBHIOOK-CQSZACIVSA-N 1 2 317.364 1.612 20 30 DDEDLO C[C@H]1[C@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ccncc1C#N ZINC001069376159 767999542 /nfs/dbraw/zinc/99/95/42/767999542.db2.gz STNOIPGNTSJBKO-DZGCQCFKSA-N 1 2 324.388 1.323 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC[C@H]2CCCCO2)C1 ZINC001108251474 761153311 /nfs/dbraw/zinc/15/33/11/761153311.db2.gz FUKVLPITLIMLPP-WBVHZDCISA-N 1 2 310.438 1.729 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CC[C@H]2CCCCO2)C1 ZINC001108251474 761153315 /nfs/dbraw/zinc/15/33/15/761153315.db2.gz FUKVLPITLIMLPP-WBVHZDCISA-N 1 2 310.438 1.729 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC[C@H]2CCCCO2)C1 ZINC001108252213 761156652 /nfs/dbraw/zinc/15/66/52/761156652.db2.gz SMQUVMNSKZAZBG-SJLPKXTDSA-N 1 2 322.449 1.566 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC[C@H]2CCCCO2)C1 ZINC001108252213 761156654 /nfs/dbraw/zinc/15/66/54/761156654.db2.gz SMQUVMNSKZAZBG-SJLPKXTDSA-N 1 2 322.449 1.566 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(-c2nc[nH]n2)c1 ZINC001038592108 761220471 /nfs/dbraw/zinc/22/04/71/761220471.db2.gz JEEVYOHFLQHADR-OAHLLOKOSA-N 1 2 309.373 1.299 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(-c2nc[nH]n2)c1 ZINC001038592108 761220478 /nfs/dbraw/zinc/22/04/78/761220478.db2.gz JEEVYOHFLQHADR-OAHLLOKOSA-N 1 2 309.373 1.299 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@H]21 ZINC001056625051 761300336 /nfs/dbraw/zinc/30/03/36/761300336.db2.gz RGJYLFAEWSSRDD-DZGCQCFKSA-N 1 2 316.405 1.368 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(-n2cccn2)ccn1 ZINC001038701609 761301988 /nfs/dbraw/zinc/30/19/88/761301988.db2.gz LHPNKVQFDJRNLL-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(-n2cccn2)ccn1 ZINC001038701609 761301996 /nfs/dbraw/zinc/30/19/96/761301996.db2.gz LHPNKVQFDJRNLL-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1ocnc1C ZINC001038714443 761318044 /nfs/dbraw/zinc/31/80/44/761318044.db2.gz PKFABJDABGFOFJ-MCIONIFRSA-N 1 2 305.378 1.265 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1ocnc1C ZINC001038714443 761318051 /nfs/dbraw/zinc/31/80/51/761318051.db2.gz PKFABJDABGFOFJ-MCIONIFRSA-N 1 2 305.378 1.265 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001056743019 761384210 /nfs/dbraw/zinc/38/42/10/761384210.db2.gz FXVJISIRSFJLMZ-CQSZACIVSA-N 1 2 310.361 1.253 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cncs1 ZINC001038798475 761417876 /nfs/dbraw/zinc/41/78/76/761417876.db2.gz PCMUHNKPZSIERY-QEJZJMRPSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cncs1 ZINC001038798475 761417885 /nfs/dbraw/zinc/41/78/85/761417885.db2.gz PCMUHNKPZSIERY-QEJZJMRPSA-N 1 2 321.446 1.815 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccsc2C)C1 ZINC001108264727 761429868 /nfs/dbraw/zinc/42/98/68/761429868.db2.gz YEYLRQNFDBOOEU-INIZCTEOSA-N 1 2 308.447 1.992 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccsc2C)C1 ZINC001108264727 761429874 /nfs/dbraw/zinc/42/98/74/761429874.db2.gz YEYLRQNFDBOOEU-INIZCTEOSA-N 1 2 308.447 1.992 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1nc2ccccn2c1F ZINC001038877435 761502888 /nfs/dbraw/zinc/50/28/88/761502888.db2.gz FLZUURVSTHUHPX-GFCCVEGCSA-N 1 2 300.337 1.301 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1nc2ccccn2c1F ZINC001038877435 761502893 /nfs/dbraw/zinc/50/28/93/761502893.db2.gz FLZUURVSTHUHPX-GFCCVEGCSA-N 1 2 300.337 1.301 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1coc(C2CCOCC2)n1 ZINC001038890510 761516490 /nfs/dbraw/zinc/51/64/90/761516490.db2.gz QRRKYNOGLGGVAP-CQSZACIVSA-N 1 2 317.389 1.396 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1coc(C2CCOCC2)n1 ZINC001038890510 761516495 /nfs/dbraw/zinc/51/64/95/761516495.db2.gz QRRKYNOGLGGVAP-CQSZACIVSA-N 1 2 317.389 1.396 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cnccc2C)nc1 ZINC001038943892 761576603 /nfs/dbraw/zinc/57/66/03/761576603.db2.gz YPGZDFGMGOOVQP-KRWDZBQOSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cnccc2C)nc1 ZINC001038943892 761576613 /nfs/dbraw/zinc/57/66/13/761576613.db2.gz YPGZDFGMGOOVQP-KRWDZBQOSA-N 1 2 320.396 1.771 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)c2c(C)noc2C)C1 ZINC001108279602 761680973 /nfs/dbraw/zinc/68/09/73/761680973.db2.gz GPBGWLFULBOYJN-YVEFUNNKSA-N 1 2 321.421 1.788 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)c2c(C)noc2C)C1 ZINC001108279602 761680975 /nfs/dbraw/zinc/68/09/75/761680975.db2.gz GPBGWLFULBOYJN-YVEFUNNKSA-N 1 2 321.421 1.788 20 30 DDEDLO CC1(C)CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001069584141 768070639 /nfs/dbraw/zinc/07/06/39/768070639.db2.gz YBODLKGUHUPNIZ-AWEZNQCLSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CC[N@H+]1CC=C(CNC(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC001001335318 762770553 /nfs/dbraw/zinc/77/05/53/762770553.db2.gz BJSNVSSOPLXFEL-UHFFFAOYSA-N 1 2 321.384 1.467 20 30 DDEDLO C#CC[N@@H+]1CC=C(CNC(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC001001335318 762770556 /nfs/dbraw/zinc/77/05/56/762770556.db2.gz BJSNVSSOPLXFEL-UHFFFAOYSA-N 1 2 321.384 1.467 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@]3(CCN(C(=O)C#CC4CC4)C3)C2)n1 ZINC001041272402 762923809 /nfs/dbraw/zinc/92/38/09/762923809.db2.gz HKTHNFHYXZKTAH-KRWDZBQOSA-N 1 2 314.389 1.216 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@]3(CCN(C(=O)C#CC4CC4)C3)C2)n1 ZINC001041272402 762923812 /nfs/dbraw/zinc/92/38/12/762923812.db2.gz HKTHNFHYXZKTAH-KRWDZBQOSA-N 1 2 314.389 1.216 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(CCN(C(=O)[C@@H]3CCCc4[nH]ncc43)C2)C1 ZINC001041287986 762934869 /nfs/dbraw/zinc/93/48/69/762934869.db2.gz SHGIBWKUWHWESP-RDTXWAMCSA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)[C@@H]3CCCc4[nH]ncc43)C2)C1 ZINC001041287986 762934873 /nfs/dbraw/zinc/93/48/73/762934873.db2.gz SHGIBWKUWHWESP-RDTXWAMCSA-N 1 2 312.417 1.387 20 30 DDEDLO Cc1cc(N(C)C[C@@H](C)NC(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001108881044 763036840 /nfs/dbraw/zinc/03/68/40/763036840.db2.gz WCRJCMWXSFQHPD-CMPLNLGQSA-N 1 2 301.394 1.763 20 30 DDEDLO Cc1cc(N(C)C[C@@H](C)NC(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001108881047 763037122 /nfs/dbraw/zinc/03/71/22/763037122.db2.gz WCRJCMWXSFQHPD-ZYHUDNBSSA-N 1 2 301.394 1.763 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CCCCC1)C2 ZINC001108872656 763051446 /nfs/dbraw/zinc/05/14/46/763051446.db2.gz CNYFRVRIZDNMRA-PMPSAXMXSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CCCCC1)C2 ZINC001108872656 763051451 /nfs/dbraw/zinc/05/14/51/763051451.db2.gz CNYFRVRIZDNMRA-PMPSAXMXSA-N 1 2 317.433 1.038 20 30 DDEDLO N#CCN1CC[C@]2(CCN(C(=O)[C@@H]3CCCc4[nH+]c[nH]c43)C2)C1 ZINC001041664560 763207563 /nfs/dbraw/zinc/20/75/63/763207563.db2.gz OCPDGEBGYHRSRB-DYVFJYSZSA-N 1 2 313.405 1.278 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(CC)CC ZINC001109042556 763257262 /nfs/dbraw/zinc/25/72/62/763257262.db2.gz AWSYUEIFJSMBNW-PMPSAXMXSA-N 1 2 321.465 1.979 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC(CC)CC ZINC001109042556 763257270 /nfs/dbraw/zinc/25/72/70/763257270.db2.gz AWSYUEIFJSMBNW-PMPSAXMXSA-N 1 2 321.465 1.979 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001050258362 763382843 /nfs/dbraw/zinc/38/28/43/763382843.db2.gz AQFRPMSCNRNWAC-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001050258362 763382852 /nfs/dbraw/zinc/38/28/52/763382852.db2.gz AQFRPMSCNRNWAC-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nsc(N(C)C[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001109254588 763518304 /nfs/dbraw/zinc/51/83/04/763518304.db2.gz BPJYWRCBBPJLIB-VIFPVBQESA-N 1 2 318.406 1.230 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001109266383 763528328 /nfs/dbraw/zinc/52/83/28/763528328.db2.gz ASNYJTIPEODLOT-UXOAXIEHSA-N 1 2 319.430 1.403 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001109266383 763528333 /nfs/dbraw/zinc/52/83/33/763528333.db2.gz ASNYJTIPEODLOT-UXOAXIEHSA-N 1 2 319.430 1.403 20 30 DDEDLO C[C@H](CN(C)c1ccncc1C#N)NC(=O)CCc1c[nH]c[nH+]1 ZINC001109293886 763554227 /nfs/dbraw/zinc/55/42/27/763554227.db2.gz LVPBMMJQMMYSFV-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@H](CN(C)c1ccncc1C#N)NC(=O)CCc1c[nH+]c[nH]1 ZINC001109293886 763554233 /nfs/dbraw/zinc/55/42/33/763554233.db2.gz LVPBMMJQMMYSFV-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO N#CCN1CC[C@@H]2CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@H]21 ZINC001042118666 763672435 /nfs/dbraw/zinc/67/24/35/763672435.db2.gz YEUKAHMLPKQIHB-MLGOLLRUSA-N 1 2 309.373 1.623 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C(C)(C)C)C2 ZINC001109450376 763720605 /nfs/dbraw/zinc/72/06/05/763720605.db2.gz PGIFMFLBTOOPCD-KBXIAJHMSA-N 1 2 319.449 1.140 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C(C)(C)C)C2 ZINC001109450376 763720612 /nfs/dbraw/zinc/72/06/12/763720612.db2.gz PGIFMFLBTOOPCD-KBXIAJHMSA-N 1 2 319.449 1.140 20 30 DDEDLO N#Cc1ccc(NCC2CCN(C(=O)Cc3[nH]cc[nH+]3)CC2)nc1 ZINC001057511822 763882758 /nfs/dbraw/zinc/88/27/58/763882758.db2.gz UPQXIPKMSZKJCC-UHFFFAOYSA-N 1 2 324.388 1.570 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CC(C)C)C2 ZINC001109894197 764173466 /nfs/dbraw/zinc/17/34/66/764173466.db2.gz CDYJQERWGCQKOZ-FZKCQIBNSA-N 1 2 319.449 1.140 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CC(C)C)C2 ZINC001109894197 764173470 /nfs/dbraw/zinc/17/34/70/764173470.db2.gz CDYJQERWGCQKOZ-FZKCQIBNSA-N 1 2 319.449 1.140 20 30 DDEDLO C[C@H](F)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001050880025 764226083 /nfs/dbraw/zinc/22/60/83/764226083.db2.gz KJOVZRDRKXTOHV-SMDDNHRTSA-N 1 2 308.357 1.065 20 30 DDEDLO C[C@H](F)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001050880025 764226089 /nfs/dbraw/zinc/22/60/89/764226089.db2.gz KJOVZRDRKXTOHV-SMDDNHRTSA-N 1 2 308.357 1.065 20 30 DDEDLO CN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](C[C@@H]2CC(C)(C)CO2)C1 ZINC001042589900 764241913 /nfs/dbraw/zinc/24/19/13/764241913.db2.gz OWNQRQCHGRRKHH-AWEZNQCLSA-N 1 2 316.405 1.458 20 30 DDEDLO N#Cc1ccc(NC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C2CC2)nc1 ZINC001110005072 764284403 /nfs/dbraw/zinc/28/44/03/764284403.db2.gz IFNXROZEWBUCFZ-HNNXBMFYSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C2CC2)nc1 ZINC001110005072 764284409 /nfs/dbraw/zinc/28/44/09/764284409.db2.gz IFNXROZEWBUCFZ-HNNXBMFYSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn2c(n1)CCC2 ZINC001050922448 764285008 /nfs/dbraw/zinc/28/50/08/764285008.db2.gz CSOGMGXGSCKSBN-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn2c(n1)CCC2 ZINC001050922448 764285014 /nfs/dbraw/zinc/28/50/14/764285014.db2.gz CSOGMGXGSCKSBN-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@@H](Nc2ncccc2C#N)C1 ZINC001057671635 764290014 /nfs/dbraw/zinc/29/00/14/764290014.db2.gz TYQNGRTWJAJDJY-CQSZACIVSA-N 1 2 324.388 1.332 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1CC ZINC001050995204 764397853 /nfs/dbraw/zinc/39/78/53/764397853.db2.gz HUIPWCUTOAUKSS-ZDUSSCGKSA-N 1 2 306.410 1.369 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1CC ZINC001050995204 764397859 /nfs/dbraw/zinc/39/78/59/764397859.db2.gz HUIPWCUTOAUKSS-ZDUSSCGKSA-N 1 2 306.410 1.369 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(OC)nc1 ZINC001051012554 764416408 /nfs/dbraw/zinc/41/64/08/764416408.db2.gz FVNFUNMAEZCVHP-HNNXBMFYSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(OC)nc1 ZINC001051012554 764416412 /nfs/dbraw/zinc/41/64/12/764416412.db2.gz FVNFUNMAEZCVHP-HNNXBMFYSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051059948 764463091 /nfs/dbraw/zinc/46/30/91/764463091.db2.gz MNKADMJAFGVCTJ-LSDHHAIUSA-N 1 2 316.405 1.040 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051059945 764463231 /nfs/dbraw/zinc/46/32/31/764463231.db2.gz MNKADMJAFGVCTJ-GJZGRUSLSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C(C)C)nn1C ZINC001051188227 764597182 /nfs/dbraw/zinc/59/71/82/764597182.db2.gz BHZUKQAGPCJBNQ-CQSZACIVSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C(C)C)nn1C ZINC001051188227 764597188 /nfs/dbraw/zinc/59/71/88/764597188.db2.gz BHZUKQAGPCJBNQ-CQSZACIVSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc2nccn2c1 ZINC001051201192 764611517 /nfs/dbraw/zinc/61/15/17/764611517.db2.gz IBJMYPSQPGIOBY-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc2nccn2c1 ZINC001051201192 764611524 /nfs/dbraw/zinc/61/15/24/764611524.db2.gz IBJMYPSQPGIOBY-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001051263620 764687036 /nfs/dbraw/zinc/68/70/36/764687036.db2.gz GEZAIBHCHNAWAW-NEXGVSGLSA-N 1 2 304.434 1.672 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001051263620 764687043 /nfs/dbraw/zinc/68/70/43/764687043.db2.gz GEZAIBHCHNAWAW-NEXGVSGLSA-N 1 2 304.434 1.672 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C)c1C1CC1 ZINC001051269749 764692980 /nfs/dbraw/zinc/69/29/80/764692980.db2.gz WVVXKGKJIQXVKN-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C)c1C1CC1 ZINC001051269749 764692984 /nfs/dbraw/zinc/69/29/84/764692984.db2.gz WVVXKGKJIQXVKN-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CC12CCOCC2 ZINC001051270139 764693009 /nfs/dbraw/zinc/69/30/09/764693009.db2.gz DKNSRPQJTHVYCY-GJZGRUSLSA-N 1 2 308.422 1.196 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CC12CCOCC2 ZINC001051270139 764693012 /nfs/dbraw/zinc/69/30/12/764693012.db2.gz DKNSRPQJTHVYCY-GJZGRUSLSA-N 1 2 308.422 1.196 20 30 DDEDLO CCCCOCC[NH+]1CCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC001112774118 764741235 /nfs/dbraw/zinc/74/12/35/764741235.db2.gz HPEVNIIFIOWVSG-UHFFFAOYSA-N 1 2 304.394 1.461 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccn(-c3ccccc3)n2)C1 ZINC001043208477 764768328 /nfs/dbraw/zinc/76/83/28/764768328.db2.gz LSQDNDDIWSGJFW-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)c2cn(C)nc2-c2ccoc2)C1 ZINC001043446797 764909529 /nfs/dbraw/zinc/90/95/29/764909529.db2.gz FIRHVZIAXIQPGQ-UHFFFAOYSA-N 1 2 300.362 1.622 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(CC)ccn2)CC1 ZINC001112872543 764911057 /nfs/dbraw/zinc/91/10/57/764911057.db2.gz UMONHHMOBOJKMI-UHFFFAOYSA-N 1 2 303.406 1.604 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)C2CCN(C(=O)C(C)C)CC2)C1 ZINC001043502107 764945499 /nfs/dbraw/zinc/94/54/99/764945499.db2.gz KPTMMNIRVJUXDY-UHFFFAOYSA-N 1 2 307.438 1.210 20 30 DDEDLO N#Cc1ccc(CNc2[nH+]cnc3[nH]cc(C(N)=O)c32)cc1F ZINC001170090560 765048438 /nfs/dbraw/zinc/04/84/38/765048438.db2.gz GDIAUJRVXSENOA-UHFFFAOYSA-N 1 2 310.292 1.631 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001112996151 765109670 /nfs/dbraw/zinc/10/96/70/765109670.db2.gz KACSCCZTWHITRH-MNOVXSKESA-N 1 2 306.414 1.517 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H](C[NH2+][C@H](C)c2nc(C)no2)C1 ZINC001051755882 765128308 /nfs/dbraw/zinc/12/83/08/765128308.db2.gz LEJOPNUACHNEBO-YPMHNXCESA-N 1 2 322.409 1.468 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCC2(C)C)[C@@H](n2ccnn2)C1 ZINC001070004761 768274426 /nfs/dbraw/zinc/27/44/26/768274426.db2.gz SKWFFJDMNSJVST-ZNMIVQPWSA-N 1 2 315.421 1.079 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCC2(C)C)[C@@H](n2ccnn2)C1 ZINC001070004761 768274429 /nfs/dbraw/zinc/27/44/29/768274429.db2.gz SKWFFJDMNSJVST-ZNMIVQPWSA-N 1 2 315.421 1.079 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001043916565 765164365 /nfs/dbraw/zinc/16/43/65/765164365.db2.gz WJELUDVDCRJKIX-CHWSQXEVSA-N 1 2 308.813 1.419 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccnc2C(F)(F)F)C1 ZINC001043969232 765193810 /nfs/dbraw/zinc/19/38/10/765193810.db2.gz SFTOFWHREXEXLU-UHFFFAOYSA-N 1 2 311.307 1.880 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccn(C(C)(C)C)c2=O)C1 ZINC001044021570 765238480 /nfs/dbraw/zinc/23/84/80/765238480.db2.gz WTHARFGBBDSMHD-UHFFFAOYSA-N 1 2 317.433 1.936 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(N3CCCC3)nn2)C1 ZINC001044166929 765359020 /nfs/dbraw/zinc/35/90/20/765359020.db2.gz DPGLRHVIRNURHB-UHFFFAOYSA-N 1 2 315.421 1.409 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H](CC)SC)C2)CC1 ZINC001052015165 765385862 /nfs/dbraw/zinc/38/58/62/765385862.db2.gz OJJSMLRUWHERIQ-CABCVRRESA-N 1 2 311.495 1.533 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)CC3(C)CC3)C2)CC1 ZINC001052052759 765425612 /nfs/dbraw/zinc/42/56/12/765425612.db2.gz WQHYQRFQGYROSO-INIZCTEOSA-N 1 2 305.466 1.971 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)CC1 ZINC001052056876 765428818 /nfs/dbraw/zinc/42/88/18/765428818.db2.gz ICNCPWYRAVONHV-ZMMAXQRCSA-N 1 2 315.461 1.274 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3CC34CC4)C2)CC1 ZINC001052091698 765463285 /nfs/dbraw/zinc/46/32/85/765463285.db2.gz KFXRUOSXMIAMPU-JKSUJKDBSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(CNC(C)=O)c2)C1 ZINC001044314065 765476458 /nfs/dbraw/zinc/47/64/58/765476458.db2.gz RRSQZSNFZGHWST-UHFFFAOYSA-N 1 2 313.401 1.102 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001057728770 765705570 /nfs/dbraw/zinc/70/55/70/765705570.db2.gz RSRBYIISBOANFO-HNNXBMFYSA-N 1 2 324.388 1.643 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@@H](C)Oc2ccccn2)CC1 ZINC001113546730 765839389 /nfs/dbraw/zinc/83/93/89/765839389.db2.gz IPNSTOQGENYGDP-OAHLLOKOSA-N 1 2 319.405 1.196 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCOc2ccc(OC)cc2)CC1 ZINC001113632080 765961690 /nfs/dbraw/zinc/96/16/90/765961690.db2.gz VMLHHQLLRYOBTR-UHFFFAOYSA-N 1 2 316.401 1.632 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](Cc2sc(C)nc2C)CC1 ZINC001113707860 766046040 /nfs/dbraw/zinc/04/60/40/766046040.db2.gz FGHGMHPGPMOVGB-UHFFFAOYSA-N 1 2 323.462 1.997 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cncc(C)c2)[C@@H](O)C1 ZINC001090460732 766083598 /nfs/dbraw/zinc/08/35/98/766083598.db2.gz UCKGRCCWIXONFA-GJZGRUSLSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cncc(C)c2)[C@@H](O)C1 ZINC001090460732 766083604 /nfs/dbraw/zinc/08/36/04/766083604.db2.gz UCKGRCCWIXONFA-GJZGRUSLSA-N 1 2 323.824 1.236 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)C1CCN(c2ncccc2C#N)CC1 ZINC001058058504 766202189 /nfs/dbraw/zinc/20/21/89/766202189.db2.gz NDRFWCDCHBRPKF-UHFFFAOYSA-N 1 2 324.388 1.277 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)[C@@H]3CCCC34CC4)CC2)C1 ZINC001046038020 766842967 /nfs/dbraw/zinc/84/29/67/766842967.db2.gz GAHOAEGPQFIMOQ-CVEARBPZSA-N 1 2 316.449 1.309 20 30 DDEDLO C[C@]1(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)C[C@H]2C[C@H]2C1 ZINC001046047696 766852485 /nfs/dbraw/zinc/85/24/85/766852485.db2.gz WRDWABLWKQJYFH-UIBIWLFHSA-N 1 2 316.449 1.165 20 30 DDEDLO C[C@@H]1CCN(c2ccc(C#N)cn2)C[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067994383 766861769 /nfs/dbraw/zinc/86/17/69/766861769.db2.gz URSBLZVQUIMUIU-DOMZBBRYSA-N 1 2 324.388 1.250 20 30 DDEDLO CC1(C)CN(c2ccncc2C#N)C[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001068139359 766945238 /nfs/dbraw/zinc/94/52/38/766945238.db2.gz AQCMAQWJBGIIMD-OAHLLOKOSA-N 1 2 324.388 1.250 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC001098058884 766979671 /nfs/dbraw/zinc/97/96/71/766979671.db2.gz FWQYSCPEGNJFOR-ZIAGYGMSSA-N 1 2 318.421 1.863 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001046353657 767457352 /nfs/dbraw/zinc/45/73/52/767457352.db2.gz WFNYCWBIJSPTKS-HNNXBMFYSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001046353657 767457353 /nfs/dbraw/zinc/45/73/53/767457353.db2.gz WFNYCWBIJSPTKS-HNNXBMFYSA-N 1 2 319.796 1.905 20 30 DDEDLO O=C(N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccccc1)c1cnon1 ZINC001098073548 767482986 /nfs/dbraw/zinc/48/29/86/767482986.db2.gz RZDSBPKNFGLOLG-INMHGKMJSA-N 1 2 322.368 1.456 20 30 DDEDLO O=C(N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccccc1)c1cnon1 ZINC001098073548 767482993 /nfs/dbraw/zinc/48/29/93/767482993.db2.gz RZDSBPKNFGLOLG-INMHGKMJSA-N 1 2 322.368 1.456 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc3nc[nH]c3n2)C1 ZINC001046415613 767534838 /nfs/dbraw/zinc/53/48/38/767534838.db2.gz OMYOAMPTYMNBAF-OAHLLOKOSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc3nc[nH]c3n2)C1 ZINC001046415613 767534839 /nfs/dbraw/zinc/53/48/39/767534839.db2.gz OMYOAMPTYMNBAF-OAHLLOKOSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cccc3ncnn32)C1 ZINC001046451255 767586955 /nfs/dbraw/zinc/58/69/55/767586955.db2.gz JKQQHWOXDNQAJR-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cccc3ncnn32)C1 ZINC001046451255 767586960 /nfs/dbraw/zinc/58/69/60/767586960.db2.gz JKQQHWOXDNQAJR-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccn3nnnc3c2)C1 ZINC001046539335 767668995 /nfs/dbraw/zinc/66/89/95/767668995.db2.gz WCAKKZFYWMTSKE-AWEZNQCLSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccn3nnnc3c2)C1 ZINC001046539335 767668996 /nfs/dbraw/zinc/66/89/96/767668996.db2.gz WCAKKZFYWMTSKE-AWEZNQCLSA-N 1 2 320.784 1.071 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H](Nc3cc[nH+]c(C)n3)[C@H]2C)cn1 ZINC001068876672 767673429 /nfs/dbraw/zinc/67/34/29/767673429.db2.gz SSGHXTWYIQVMTH-MLGOLLRUSA-N 1 2 321.384 1.876 20 30 DDEDLO C[C@H]1C[C@H](Nc2[nH+]cnc3c2cnn3C)CN1C(=O)C#CC1CC1 ZINC001069089813 767841134 /nfs/dbraw/zinc/84/11/34/767841134.db2.gz JGHCXKUWKQQHHA-AAEUAGOBSA-N 1 2 324.388 1.178 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2snnc2C2CC2)C1 ZINC001047416418 768462398 /nfs/dbraw/zinc/46/23/98/768462398.db2.gz BCJQJIFUOORLJS-RYUDHWBXSA-N 1 2 322.434 1.109 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2snnc2C2CC2)C1 ZINC001047416418 768462401 /nfs/dbraw/zinc/46/24/01/768462401.db2.gz BCJQJIFUOORLJS-RYUDHWBXSA-N 1 2 322.434 1.109 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc([C@H](C)CC)no2)C1 ZINC001047553887 768565722 /nfs/dbraw/zinc/56/57/22/768565722.db2.gz CDFLZBUQLWKHKV-SNPRPXQTSA-N 1 2 319.405 1.329 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc([C@H](C)CC)no2)C1 ZINC001047553887 768565724 /nfs/dbraw/zinc/56/57/24/768565724.db2.gz CDFLZBUQLWKHKV-SNPRPXQTSA-N 1 2 319.405 1.329 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2ccns2)CC[C@@H]1C ZINC001132069559 768604594 /nfs/dbraw/zinc/60/45/94/768604594.db2.gz VEMIXACHISNVMT-QWHCGFSZSA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2ccns2)CC[C@@H]1C ZINC001132069559 768604600 /nfs/dbraw/zinc/60/46/00/768604600.db2.gz VEMIXACHISNVMT-QWHCGFSZSA-N 1 2 307.419 1.376 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(N)=O)C1 ZINC001132078213 768608328 /nfs/dbraw/zinc/60/83/28/768608328.db2.gz KKLWRLUHJILJSK-GXSJLCMTSA-N 1 2 301.818 1.220 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(N)=O)C1 ZINC001132078213 768608333 /nfs/dbraw/zinc/60/83/33/768608333.db2.gz KKLWRLUHJILJSK-GXSJLCMTSA-N 1 2 301.818 1.220 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(Cl)s2)C1 ZINC001047619009 768616673 /nfs/dbraw/zinc/61/66/73/768616673.db2.gz ZCWWOOKHZCIQLT-UWVGGRQHSA-N 1 2 300.811 1.705 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(Cl)s2)C1 ZINC001047619009 768616677 /nfs/dbraw/zinc/61/66/77/768616677.db2.gz ZCWWOOKHZCIQLT-UWVGGRQHSA-N 1 2 300.811 1.705 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCc2[nH+]ccn2C1)Nc1ccc(C#N)nc1 ZINC001098125316 768646020 /nfs/dbraw/zinc/64/60/20/768646020.db2.gz CYXIKQHAJFHFGH-CHWSQXEVSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001070674942 768660780 /nfs/dbraw/zinc/66/07/80/768660780.db2.gz JIYHTMNIZFJRDP-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070935571 768795495 /nfs/dbraw/zinc/79/54/95/768795495.db2.gz SGKCUXCLKUFDGE-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC2(C)C)CC1 ZINC001070986862 768839776 /nfs/dbraw/zinc/83/97/76/768839776.db2.gz HATSKMCKPHDOHS-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC2(C)C)CC1 ZINC001070986862 768839787 /nfs/dbraw/zinc/83/97/87/768839787.db2.gz HATSKMCKPHDOHS-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C(F)F)CC1 ZINC001070998888 768861641 /nfs/dbraw/zinc/86/16/41/768861641.db2.gz YWKQVTABKHFTDP-UHFFFAOYSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C(F)F)CC1 ZINC001070998888 768861655 /nfs/dbraw/zinc/86/16/55/768861655.db2.gz YWKQVTABKHFTDP-UHFFFAOYSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)c2cc(C)[nH]c2C)CC1 ZINC001071005816 768870274 /nfs/dbraw/zinc/87/02/74/768870274.db2.gz JIVOYMJEVZFFMN-UHFFFAOYSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)c2cc(C)[nH]c2C)CC1 ZINC001071005816 768870281 /nfs/dbraw/zinc/87/02/81/768870281.db2.gz JIVOYMJEVZFFMN-UHFFFAOYSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[C@H](C)[N@@H+](CC(N)=O)C2)CCCC1 ZINC001132545927 768961884 /nfs/dbraw/zinc/96/18/84/768961884.db2.gz GVLPFWWLMYYGDR-KBPBESRZSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[C@H](C)[N@H+](CC(N)=O)C2)CCCC1 ZINC001132545927 768961889 /nfs/dbraw/zinc/96/18/89/768961889.db2.gz GVLPFWWLMYYGDR-KBPBESRZSA-N 1 2 307.438 1.577 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001132576441 768997388 /nfs/dbraw/zinc/99/73/88/768997388.db2.gz KLBCTVZQNGKRJO-NWDGAFQWSA-N 1 2 318.377 1.994 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2C[C@H](NC(C)=O)CC[C@@H]2C)c1 ZINC001071286620 769235240 /nfs/dbraw/zinc/23/52/40/769235240.db2.gz XGFBWAIYKVAFDU-SUMWQHHRSA-N 1 2 313.401 1.595 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2C[C@H](NC(C)=O)CC[C@@H]2C)c1 ZINC001071286620 769235244 /nfs/dbraw/zinc/23/52/44/769235244.db2.gz XGFBWAIYKVAFDU-SUMWQHHRSA-N 1 2 313.401 1.595 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cn2cc(C)cn2)CC[C@H]1C ZINC001071376101 769355944 /nfs/dbraw/zinc/35/59/44/769355944.db2.gz NPKFESGNYMOGRQ-KGLIPLIRSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cn2cc(C)cn2)CC[C@H]1C ZINC001071376101 769355955 /nfs/dbraw/zinc/35/59/55/769355955.db2.gz NPKFESGNYMOGRQ-KGLIPLIRSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2nnc(C)o2)CC[C@@H]1C ZINC001071489791 769507815 /nfs/dbraw/zinc/50/78/15/769507815.db2.gz BLZJDDHLAUDIMO-CMPLNLGQSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2nnc(C)o2)CC[C@@H]1C ZINC001071489791 769507822 /nfs/dbraw/zinc/50/78/22/769507822.db2.gz BLZJDDHLAUDIMO-CMPLNLGQSA-N 1 2 312.801 1.642 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](NC(=O)CCCn3cc[nH+]c3)C2)cn1 ZINC001096395105 769645123 /nfs/dbraw/zinc/64/51/23/769645123.db2.gz KQMQHXSEYDYZDM-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nc3c2CCCC3)CC[C@@H]1C ZINC001071635125 769761850 /nfs/dbraw/zinc/76/18/50/769761850.db2.gz NYRICJMGIYJGGT-UONOGXRCSA-N 1 2 314.433 1.895 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2[nH]nc3c2CCCC3)CC[C@@H]1C ZINC001071635125 769761860 /nfs/dbraw/zinc/76/18/60/769761860.db2.gz NYRICJMGIYJGGT-UONOGXRCSA-N 1 2 314.433 1.895 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[N@H+]([C@@H](C)c3csnn3)C[C@@H]2C1 ZINC001048724735 769773782 /nfs/dbraw/zinc/77/37/82/769773782.db2.gz FOKWMZDCQUPIDG-FIQHERPVSA-N 1 2 305.407 1.149 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[N@@H+]([C@@H](C)c3csnn3)C[C@@H]2C1 ZINC001048724735 769773786 /nfs/dbraw/zinc/77/37/86/769773786.db2.gz FOKWMZDCQUPIDG-FIQHERPVSA-N 1 2 305.407 1.149 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[N@@H+](Cc3nccn3C(F)F)C[C@@H]2C1 ZINC001048727886 769782340 /nfs/dbraw/zinc/78/23/40/769782340.db2.gz OKIPTTDZXRLMBO-UTUOFQBUSA-N 1 2 323.347 1.328 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[N@H+](Cc3nccn3C(F)F)C[C@@H]2C1 ZINC001048727886 769782347 /nfs/dbraw/zinc/78/23/47/769782347.db2.gz OKIPTTDZXRLMBO-UTUOFQBUSA-N 1 2 323.347 1.328 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cncc(OC)n2)CC[C@H]1C ZINC001071876611 770210229 /nfs/dbraw/zinc/21/02/29/770210229.db2.gz PHSKFHWLDRQLEE-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cncc(OC)n2)CC[C@H]1C ZINC001071876611 770210237 /nfs/dbraw/zinc/21/02/37/770210237.db2.gz PHSKFHWLDRQLEE-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@H]1CCN(c2ncccc2C#N)C1 ZINC001096505418 770606980 /nfs/dbraw/zinc/60/69/80/770606980.db2.gz JEZSYXPCIJVPCK-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1cscn1 ZINC001049368806 770744087 /nfs/dbraw/zinc/74/40/87/770744087.db2.gz BSFPOATWBKWCET-XBFCOCLRSA-N 1 2 304.419 1.868 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1cscn1 ZINC001049368806 770744092 /nfs/dbraw/zinc/74/40/92/770744092.db2.gz BSFPOATWBKWCET-XBFCOCLRSA-N 1 2 304.419 1.868 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049382503 770762229 /nfs/dbraw/zinc/76/22/29/770762229.db2.gz OTJRLUBDTMBBIO-KWCYVHTRSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049382503 770762235 /nfs/dbraw/zinc/76/22/35/770762235.db2.gz OTJRLUBDTMBBIO-KWCYVHTRSA-N 1 2 302.378 1.708 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)CCc2[nH+]ccn2C)C[C@@H]1C ZINC001072510034 771000008 /nfs/dbraw/zinc/00/00/08/771000008.db2.gz JCAQNSTWEWZILJ-KBPBESRZSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1nncn1C ZINC001049637345 771040548 /nfs/dbraw/zinc/04/05/48/771040548.db2.gz XOPBDQOQWXNWOI-KGLIPLIRSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1nncn1C ZINC001049637345 771040555 /nfs/dbraw/zinc/04/05/55/771040555.db2.gz XOPBDQOQWXNWOI-KGLIPLIRSA-N 1 2 317.437 1.593 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+][C@H](C)c1nnc(C)o1 ZINC001135067740 771305048 /nfs/dbraw/zinc/30/50/48/771305048.db2.gz KPZPPXFYIOZMNS-XBFCOCLRSA-N 1 2 322.409 1.516 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)nn1C)C2 ZINC001096853850 771485863 /nfs/dbraw/zinc/48/58/63/771485863.db2.gz GXFWQYDEEMDUOE-UPJWGTAASA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)nn1C)C2 ZINC001096853850 771485865 /nfs/dbraw/zinc/48/58/65/771485865.db2.gz GXFWQYDEEMDUOE-UPJWGTAASA-N 1 2 308.813 1.816 20 30 DDEDLO Cn1nccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(C#N)c1)C2 ZINC001096972609 771539999 /nfs/dbraw/zinc/53/99/99/771539999.db2.gz SRLJXLOAQRTRBK-BMFZPTHFSA-N 1 2 324.388 1.155 20 30 DDEDLO Cn1nccc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(C#N)c1)C2 ZINC001096972609 771540001 /nfs/dbraw/zinc/54/00/01/771540001.db2.gz SRLJXLOAQRTRBK-BMFZPTHFSA-N 1 2 324.388 1.155 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@H](Nc2ncccc2C#N)C1 ZINC001097106271 771625959 /nfs/dbraw/zinc/62/59/59/771625959.db2.gz MQBUPYKVJZHHBS-MRVWCRGKSA-N 1 2 324.388 1.614 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@H](Nc2ncccc2C#N)C1 ZINC001097106271 771625962 /nfs/dbraw/zinc/62/59/62/771625962.db2.gz MQBUPYKVJZHHBS-MRVWCRGKSA-N 1 2 324.388 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnoc2CC)[C@@H](O)C1 ZINC001090616385 772044011 /nfs/dbraw/zinc/04/40/11/772044011.db2.gz OYHJDKYSUGKUBC-RYUDHWBXSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnoc2CC)[C@@H](O)C1 ZINC001090616385 772044017 /nfs/dbraw/zinc/04/40/17/772044017.db2.gz OYHJDKYSUGKUBC-RYUDHWBXSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccccc2OC)[C@@H](O)C1 ZINC001090742876 772154355 /nfs/dbraw/zinc/15/43/55/772154355.db2.gz CKPWNXKUTVZHEC-KGLIPLIRSA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccccc2OC)[C@@H](O)C1 ZINC001090742876 772154358 /nfs/dbraw/zinc/15/43/58/772154358.db2.gz CKPWNXKUTVZHEC-KGLIPLIRSA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccn2CC)[C@H](O)C1 ZINC001090812846 772233277 /nfs/dbraw/zinc/23/32/77/772233277.db2.gz INOHVHFLJLIHQJ-TZMCWYRMSA-N 1 2 311.813 1.426 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccn2CC)[C@H](O)C1 ZINC001090812846 772233280 /nfs/dbraw/zinc/23/32/80/772233280.db2.gz INOHVHFLJLIHQJ-TZMCWYRMSA-N 1 2 311.813 1.426 20 30 DDEDLO C=C(Cl)C[NH2+]C1CC(CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001091115635 772535241 /nfs/dbraw/zinc/53/52/41/772535241.db2.gz AEBARVKWXCDIEF-UHFFFAOYSA-N 1 2 308.813 1.749 20 30 DDEDLO C=C(Cl)C[NH2+]C1CC(CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001091357928 772703597 /nfs/dbraw/zinc/70/35/97/772703597.db2.gz ALLHVMMJDZZGFI-UHFFFAOYSA-N 1 2 319.796 1.808 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001147639990 773188447 /nfs/dbraw/zinc/18/84/47/773188447.db2.gz KXHAOLOLSUMRLP-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001147639990 773188450 /nfs/dbraw/zinc/18/84/50/773188450.db2.gz KXHAOLOLSUMRLP-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C#CC[N@@H+]1CCCO[C@H](CNC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001073640195 773255132 /nfs/dbraw/zinc/25/51/32/773255132.db2.gz ZABIGVJXBLFAMV-LLVKDONJSA-N 1 2 324.812 1.079 20 30 DDEDLO C#CC[N@H+]1CCCO[C@H](CNC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001073640195 773255135 /nfs/dbraw/zinc/25/51/35/773255135.db2.gz ZABIGVJXBLFAMV-LLVKDONJSA-N 1 2 324.812 1.079 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001148089156 773361744 /nfs/dbraw/zinc/36/17/44/773361744.db2.gz UGOGBBXISRKAFK-KBPBESRZSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001148089156 773361751 /nfs/dbraw/zinc/36/17/51/773361751.db2.gz UGOGBBXISRKAFK-KBPBESRZSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1C ZINC001073806453 773429953 /nfs/dbraw/zinc/42/99/53/773429953.db2.gz VFRLPPNZZUBXDQ-DZGCQCFKSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001074035585 773598418 /nfs/dbraw/zinc/59/84/18/773598418.db2.gz DOYARZNWNOQIJQ-LSDHHAIUSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001074101370 773631832 /nfs/dbraw/zinc/63/18/32/773631832.db2.gz MEBNTRMVMHPTAJ-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3c(C)noc3C)C[C@@H]21 ZINC001074163130 773686064 /nfs/dbraw/zinc/68/60/64/773686064.db2.gz KWXUMBCMEJRRTK-GJZGRUSLSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3c(C)noc3C)C[C@@H]21 ZINC001074163130 773686070 /nfs/dbraw/zinc/68/60/70/773686070.db2.gz KWXUMBCMEJRRTK-GJZGRUSLSA-N 1 2 319.405 1.783 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccco3)C[C@@H]21 ZINC001074163317 773686497 /nfs/dbraw/zinc/68/64/97/773686497.db2.gz OTQFBILPBJAVIR-DLBZAZTESA-N 1 2 316.401 1.537 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccco3)C[C@@H]21 ZINC001074163317 773686500 /nfs/dbraw/zinc/68/65/00/773686500.db2.gz OTQFBILPBJAVIR-DLBZAZTESA-N 1 2 316.401 1.537 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cncs3)C[C@H]21 ZINC001074187569 773707878 /nfs/dbraw/zinc/70/78/78/773707878.db2.gz IWSXVJWMLHNFLC-OLZOCXBDSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cncs3)C[C@H]21 ZINC001074187569 773707882 /nfs/dbraw/zinc/70/78/82/773707882.db2.gz IWSXVJWMLHNFLC-OLZOCXBDSA-N 1 2 307.419 1.635 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC3CCOCC3)C[C@@H]21 ZINC001074189109 773711513 /nfs/dbraw/zinc/71/15/13/773711513.db2.gz DUJYWIFYFOBMPA-IRXDYDNUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC3CCOCC3)C[C@@H]21 ZINC001074189109 773711516 /nfs/dbraw/zinc/71/15/16/773711516.db2.gz DUJYWIFYFOBMPA-IRXDYDNUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cc(C)n[nH]3)C[C@@H]21 ZINC001074212390 773735051 /nfs/dbraw/zinc/73/50/51/773735051.db2.gz OQNBEAURNHJEHA-JKSUJKDBSA-N 1 2 318.421 1.138 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cc(C)n[nH]3)C[C@@H]21 ZINC001074212390 773735055 /nfs/dbraw/zinc/73/50/55/773735055.db2.gz OQNBEAURNHJEHA-JKSUJKDBSA-N 1 2 318.421 1.138 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)sn3)C[C@H]21 ZINC001074222271 773746006 /nfs/dbraw/zinc/74/60/06/773746006.db2.gz FCOQXERERQCBER-CABCVRRESA-N 1 2 319.430 1.390 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)sn3)C[C@H]21 ZINC001074222271 773746009 /nfs/dbraw/zinc/74/60/09/773746009.db2.gz FCOQXERERQCBER-CABCVRRESA-N 1 2 319.430 1.390 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3=CCOCC3)C[C@@H]21 ZINC001074223428 773749122 /nfs/dbraw/zinc/74/91/22/773749122.db2.gz ZFQQHNHEQZKGHE-HOTGVXAUSA-N 1 2 306.406 1.211 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3=CCOCC3)C[C@@H]21 ZINC001074223428 773749124 /nfs/dbraw/zinc/74/91/24/773749124.db2.gz ZFQQHNHEQZKGHE-HOTGVXAUSA-N 1 2 306.406 1.211 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccsc3C)C[C@@H]21 ZINC001074233652 773757653 /nfs/dbraw/zinc/75/76/53/773757653.db2.gz UHAJRBVGFVCOQK-HOTGVXAUSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccsc3C)C[C@@H]21 ZINC001074233652 773757657 /nfs/dbraw/zinc/75/76/57/773757657.db2.gz UHAJRBVGFVCOQK-HOTGVXAUSA-N 1 2 318.442 1.995 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@@H]21 ZINC001074241438 773764188 /nfs/dbraw/zinc/76/41/88/773764188.db2.gz IJVJUCMOLWNVRI-STQMWFEESA-N 1 2 314.376 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@@H]21 ZINC001074241438 773764193 /nfs/dbraw/zinc/76/41/93/773764193.db2.gz IJVJUCMOLWNVRI-STQMWFEESA-N 1 2 314.376 1.910 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(CF)CCC3)C[C@H]21 ZINC001074342745 773839357 /nfs/dbraw/zinc/83/93/57/773839357.db2.gz AQGRGBYJDLEUJA-CABCVRRESA-N 1 2 308.397 1.451 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CF)CCC3)C[C@H]21 ZINC001074342745 773839364 /nfs/dbraw/zinc/83/93/64/773839364.db2.gz AQGRGBYJDLEUJA-CABCVRRESA-N 1 2 308.397 1.451 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cncnc1C)c1nccn12 ZINC001092347983 774066291 /nfs/dbraw/zinc/06/62/91/774066291.db2.gz LQKJBHBOFGWTHP-AWEZNQCLSA-N 1 2 324.388 1.053 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CC1CCC1)c1nccn12 ZINC001092359024 774073793 /nfs/dbraw/zinc/07/37/93/774073793.db2.gz KZHXMJHDZLZRRK-CQSZACIVSA-N 1 2 300.406 1.831 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)(F)F)c1nccn12 ZINC001092342103 774091047 /nfs/dbraw/zinc/09/10/47/774091047.db2.gz HKWTUPAQWSGOKH-NSHDSACASA-N 1 2 308.332 1.134 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)C[C@H]1C ZINC001075081075 774337853 /nfs/dbraw/zinc/33/78/53/774337853.db2.gz DABBBNIZQJISNI-ZIAGYGMSSA-N 1 2 318.421 1.425 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(=O)c3ccccc3o1)C2 ZINC001098315874 774532681 /nfs/dbraw/zinc/53/26/81/774532681.db2.gz QQHCPOAQGSPMRV-YUELXQCFSA-N 1 2 322.364 1.761 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(=O)c3ccccc3o1)C2 ZINC001098315874 774532686 /nfs/dbraw/zinc/53/26/86/774532686.db2.gz QQHCPOAQGSPMRV-YUELXQCFSA-N 1 2 322.364 1.761 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H](n2cc(C[NH2+]C/C=C/Cl)nn2)C1 ZINC001098634598 774627564 /nfs/dbraw/zinc/62/75/64/774627564.db2.gz UCMVYCQDRLHVPR-HEAXGLFYSA-N 1 2 322.800 1.053 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)CC[C@@H]21 ZINC001036826119 774750843 /nfs/dbraw/zinc/75/08/43/774750843.db2.gz APFCOXKYFCVPPL-PBHICJAKSA-N 1 2 312.417 1.847 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)CC[C@@H]21 ZINC001036826119 774750848 /nfs/dbraw/zinc/75/08/48/774750848.db2.gz APFCOXKYFCVPPL-PBHICJAKSA-N 1 2 312.417 1.847 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)nc1 ZINC001099032457 774784619 /nfs/dbraw/zinc/78/46/19/774784619.db2.gz UDPITHHGBCQRIP-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001093569354 774839976 /nfs/dbraw/zinc/83/99/76/774839976.db2.gz CEGYNMTUVGTILT-KRWDZBQOSA-N 1 2 310.438 1.273 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3oncc3C)CC2)C1 ZINC001093589376 774871525 /nfs/dbraw/zinc/87/15/25/774871525.db2.gz UOCSFXCEJBBEJI-UHFFFAOYSA-N 1 2 305.378 1.474 20 30 DDEDLO C[C@@H](CCNC(=O)Cc1c[nH]c[nH+]1)Nc1ncc(C#N)cc1F ZINC001099713880 775173311 /nfs/dbraw/zinc/17/33/11/775173311.db2.gz UYVPGIFKNUTEDR-JTQLQIEISA-N 1 2 316.340 1.365 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCCNc3cncc(C#N)n3)ccn12 ZINC001093887984 775187508 /nfs/dbraw/zinc/18/75/08/775187508.db2.gz IBIJHMKLUOKLQH-UHFFFAOYSA-N 1 2 321.344 1.146 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099728117 775195374 /nfs/dbraw/zinc/19/53/74/775195374.db2.gz VUZDQRWFLVUPLX-CHWSQXEVSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099728117 775195379 /nfs/dbraw/zinc/19/53/79/775195379.db2.gz VUZDQRWFLVUPLX-CHWSQXEVSA-N 1 2 309.435 1.469 20 30 DDEDLO N#Cc1ccc(NCCNC(=O)c2cccc3[nH+]ccn32)cn1 ZINC001093986091 775299855 /nfs/dbraw/zinc/29/98/55/775299855.db2.gz AANCFCYNBBXMJY-UHFFFAOYSA-N 1 2 306.329 1.443 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3ccccn3)nn2)C1 ZINC001094265733 775604407 /nfs/dbraw/zinc/60/44/07/775604407.db2.gz AXXVZCQZVKUDSF-UHFFFAOYSA-N 1 2 312.377 1.036 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCCC[C@H]3C)nn2)C1 ZINC001094314091 775669684 /nfs/dbraw/zinc/66/96/84/775669684.db2.gz MYNLSCKIALECET-CZUORRHYSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CCC[C@H]3CC)nn2)C1 ZINC001094316657 775674331 /nfs/dbraw/zinc/67/43/31/775674331.db2.gz MSBCKCQRZAVTHO-CJNGLKHVSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCCC34CC4)nn2)C1 ZINC001094298719 775701429 /nfs/dbraw/zinc/70/14/29/775701429.db2.gz YOAQPTMQKIFELA-HNNXBMFYSA-N 1 2 315.421 1.517 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NCCNc1cccc(F)c1C#N ZINC001094301403 775704013 /nfs/dbraw/zinc/70/40/13/775704013.db2.gz ALEBEEHVWNHGFM-UHFFFAOYSA-N 1 2 315.352 1.592 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3CC[C@H](C)C3)nn2)C1 ZINC001094323872 775750514 /nfs/dbraw/zinc/75/05/14/775750514.db2.gz HVIZRBYXVVRQFG-KBPBESRZSA-N 1 2 317.437 1.763 20 30 DDEDLO C[C@@H](CC(=O)NCCN(C)c1ccc(C#N)cn1)n1cc[nH+]c1 ZINC001100350785 776037866 /nfs/dbraw/zinc/03/78/66/776037866.db2.gz XSVWAPCVBCHKOI-ZDUSSCGKSA-N 1 2 312.377 1.353 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@H]2CCn3c[nH+]cc3C2)cn1 ZINC001094639657 776161033 /nfs/dbraw/zinc/16/10/33/776161033.db2.gz ZGRQQFPOSMKHFD-ZDUSSCGKSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3cc(C)oc3C)CC2=O)C1 ZINC001094704318 776214812 /nfs/dbraw/zinc/21/48/12/776214812.db2.gz UCPLUFDKOYZBAJ-ZDUSSCGKSA-N 1 2 317.389 1.097 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3(C)CCCC3)CC2=O)C1 ZINC001094768080 776256059 /nfs/dbraw/zinc/25/60/59/776256059.db2.gz OEVIUMUMGXBCFI-CQSZACIVSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C(C)(C)C3CC3)CC2=O)C1 ZINC001094778180 776267701 /nfs/dbraw/zinc/26/77/01/776267701.db2.gz LGGGUSIUIHQNHF-AWEZNQCLSA-N 1 2 319.449 1.400 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H](C)CCCCNCC#N)c[nH+]1 ZINC001171476572 776313071 /nfs/dbraw/zinc/31/30/71/776313071.db2.gz WNYKWWHGSUHPPC-ZDUSSCGKSA-N 1 2 305.426 1.715 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCCCNc1ncccc1C#N ZINC001094827629 776383608 /nfs/dbraw/zinc/38/36/08/776383608.db2.gz LWEVCZPQTNSDED-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCCCNc1ncccc1C#N ZINC001094827629 776383616 /nfs/dbraw/zinc/38/36/16/776383616.db2.gz LWEVCZPQTNSDED-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)[NH+]1CCN(S(C)(=O)=O)CC1 ZINC001172306017 776744793 /nfs/dbraw/zinc/74/47/93/776744793.db2.gz HXSIZOCVTXRHDI-ZDUSSCGKSA-N 1 2 307.419 1.066 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](CNC(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001101069611 776876679 /nfs/dbraw/zinc/87/66/79/776876679.db2.gz UZUXFWXTKFSZOV-AWEZNQCLSA-N 1 2 324.388 1.252 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](CNC(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001101069611 776876689 /nfs/dbraw/zinc/87/66/89/776876689.db2.gz UZUXFWXTKFSZOV-AWEZNQCLSA-N 1 2 324.388 1.252 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095021377 776998213 /nfs/dbraw/zinc/99/82/13/776998213.db2.gz NYPPZNHVSMEHPW-GXTWGEPZSA-N 1 2 304.394 1.779 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101229851 777000991 /nfs/dbraw/zinc/00/09/91/777000991.db2.gz NFBCPWCVINDUOD-CJNGLKHVSA-N 1 2 302.378 1.009 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101229851 777000996 /nfs/dbraw/zinc/00/09/96/777000996.db2.gz NFBCPWCVINDUOD-CJNGLKHVSA-N 1 2 302.378 1.009 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H](Nc2cc(C)[nH+]c(C3CC3)n2)C1 ZINC001095026829 777001527 /nfs/dbraw/zinc/00/15/27/777001527.db2.gz MAFNPELDAUNILW-CQSZACIVSA-N 1 2 316.405 1.878 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2C[N@@H+](Cc3ncnn3C)C[C@@]2(C)C1 ZINC001101346672 777088245 /nfs/dbraw/zinc/08/82/45/777088245.db2.gz BGTDINUHRRCLHU-PBHICJAKSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2C[N@H+](Cc3ncnn3C)C[C@@]2(C)C1 ZINC001101346672 777088251 /nfs/dbraw/zinc/08/82/51/777088251.db2.gz BGTDINUHRRCLHU-PBHICJAKSA-N 1 2 317.437 1.452 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC([NH2+][C@H]3CCOC3=O)CC2)cc1 ZINC001173422405 777182400 /nfs/dbraw/zinc/18/24/00/777182400.db2.gz OSDFEMPMWKXNOF-HNNXBMFYSA-N 1 2 313.357 1.068 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C(/C)CC)c1nccn12 ZINC001101627674 777321931 /nfs/dbraw/zinc/32/19/31/777321931.db2.gz JWQBTTOVTUXXBJ-BAAYTWLHSA-N 1 2 312.417 1.835 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CC3(C[NH+](CC=C)C3)n3ccnc32)CCC1 ZINC001101630723 777325134 /nfs/dbraw/zinc/32/51/34/777325134.db2.gz LYNHBHMJOYBCPM-OAHLLOKOSA-N 1 2 324.428 1.835 20 30 DDEDLO CN(CCNc1snc(Cl)c1C#N)C(=O)Cn1cc[nH+]c1 ZINC001101672075 777374399 /nfs/dbraw/zinc/37/43/99/777374399.db2.gz UNOMICQLCUNLRN-UHFFFAOYSA-N 1 2 324.797 1.435 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)CCNc2cnc(C#N)cn2)c(C)[nH+]1 ZINC001102061005 777844080 /nfs/dbraw/zinc/84/40/80/777844080.db2.gz AXRNCRVRGJXYGB-UHFFFAOYSA-N 1 2 324.388 1.853 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102321223 778054930 /nfs/dbraw/zinc/05/49/30/778054930.db2.gz IFXGHUFCGPQRPJ-YOEHRIQHSA-N 1 2 318.421 1.445 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@@H]21 ZINC001176949595 778343479 /nfs/dbraw/zinc/34/34/79/778343479.db2.gz QABJKDFYGWWUCA-GJZGRUSLSA-N 1 2 322.449 1.870 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@@H]21 ZINC001176949595 778343487 /nfs/dbraw/zinc/34/34/87/778343487.db2.gz QABJKDFYGWWUCA-GJZGRUSLSA-N 1 2 322.449 1.870 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@H]2OCC[N@H+](CCCF)[C@H]2C1 ZINC001177005933 778385709 /nfs/dbraw/zinc/38/57/09/778385709.db2.gz KPDMJEVSSRXMOC-LSDHHAIUSA-N 1 2 310.413 1.697 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@H]2OCC[N@@H+](CCCF)[C@H]2C1 ZINC001177005933 778385717 /nfs/dbraw/zinc/38/57/17/778385717.db2.gz KPDMJEVSSRXMOC-LSDHHAIUSA-N 1 2 310.413 1.697 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CCOC)C[C@H]21 ZINC001177120112 778434013 /nfs/dbraw/zinc/43/40/13/778434013.db2.gz SZVXYGNGTDQNDP-CVEARBPZSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CCOC)C[C@H]21 ZINC001177120112 778434016 /nfs/dbraw/zinc/43/40/16/778434016.db2.gz SZVXYGNGTDQNDP-CVEARBPZSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC)[C@@H]2C1 ZINC001177100596 778446772 /nfs/dbraw/zinc/44/67/72/778446772.db2.gz GQFBAASZGPEENQ-CVEARBPZSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCOCC)[C@@H]2C1 ZINC001177100596 778446777 /nfs/dbraw/zinc/44/67/77/778446777.db2.gz GQFBAASZGPEENQ-CVEARBPZSA-N 1 2 324.465 1.927 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1c[nH+]cn1C)Nc1ccc(C#N)nc1 ZINC001103150734 778669285 /nfs/dbraw/zinc/66/92/85/778669285.db2.gz CUJJOPNRIRGZLE-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO N#CC1(NC(=O)[C@H]2CCC[N@@H+]2Cc2ccco2)CCOCC1 ZINC001177625917 778675393 /nfs/dbraw/zinc/67/53/93/778675393.db2.gz IAWSGOOGALRUTG-CQSZACIVSA-N 1 2 303.362 1.433 20 30 DDEDLO N#CC1(NC(=O)[C@H]2CCC[N@H+]2Cc2ccco2)CCOCC1 ZINC001177625917 778675394 /nfs/dbraw/zinc/67/53/94/778675394.db2.gz IAWSGOOGALRUTG-CQSZACIVSA-N 1 2 303.362 1.433 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+]Cc2nc(CCC)no2)[C@@H]1C ZINC001178339083 778988829 /nfs/dbraw/zinc/98/88/29/778988829.db2.gz SYDQGOLYSMZXPD-STQMWFEESA-N 1 2 322.409 1.304 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)CSCC#N)[C@@H](C)C2)cc[nH+]1 ZINC001112409554 779765344 /nfs/dbraw/zinc/76/53/44/779765344.db2.gz MLVQXCXQBFLQNR-WCQYABFASA-N 1 2 319.434 1.373 20 30 DDEDLO C=CCCC(=O)N1CCC(O)(CNc2cc[nH+]c(C)n2)CC1 ZINC001112447590 779781914 /nfs/dbraw/zinc/78/19/14/779781914.db2.gz FRHIGRDQQQANJZ-UHFFFAOYSA-N 1 2 304.394 1.517 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC001117348341 780731490 /nfs/dbraw/zinc/73/14/90/780731490.db2.gz FTZNGHWAOGQYBC-SOUVJXGZSA-N 1 2 318.421 1.764 20 30 DDEDLO C#CC[N@@H+](CN1C[C@H]2CCO[C@H]2C1=O)[C@@H]1CCc2ccccc21 ZINC001119324799 781404391 /nfs/dbraw/zinc/40/43/91/781404391.db2.gz KMTOKGMIUZYIHF-KBAYOESNSA-N 1 2 310.397 1.814 20 30 DDEDLO C#CC[N@H+](CN1C[C@H]2CCO[C@H]2C1=O)[C@@H]1CCc2ccccc21 ZINC001119324799 781404396 /nfs/dbraw/zinc/40/43/96/781404396.db2.gz KMTOKGMIUZYIHF-KBAYOESNSA-N 1 2 310.397 1.814 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001266246747 835981942 /nfs/dbraw/zinc/98/19/42/835981942.db2.gz WCYSEUJXPXTJTO-CABCVRRESA-N 1 2 322.453 1.279 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001266246747 835981951 /nfs/dbraw/zinc/98/19/51/835981951.db2.gz WCYSEUJXPXTJTO-CABCVRRESA-N 1 2 322.453 1.279 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[N@@H+](C)CC(=O)NCC(F)(F)F ZINC001266278438 836032169 /nfs/dbraw/zinc/03/21/69/836032169.db2.gz FZCJNMQJZSOZOW-UHFFFAOYSA-N 1 2 323.359 1.315 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[N@H+](C)CC(=O)NCC(F)(F)F ZINC001266278438 836032183 /nfs/dbraw/zinc/03/21/83/836032183.db2.gz FZCJNMQJZSOZOW-UHFFFAOYSA-N 1 2 323.359 1.315 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2onc3c2CCCC3)C1 ZINC001266330775 836128110 /nfs/dbraw/zinc/12/81/10/836128110.db2.gz IBAXIUFBRKEDRD-CYBMUJFWSA-N 1 2 317.389 1.007 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2onc3c2CCCC3)C1 ZINC001266330775 836128116 /nfs/dbraw/zinc/12/81/16/836128116.db2.gz IBAXIUFBRKEDRD-CYBMUJFWSA-N 1 2 317.389 1.007 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)C1CC(C(C)(C)C)C1 ZINC001266364853 836177545 /nfs/dbraw/zinc/17/75/45/836177545.db2.gz DVXDFZLVZZOWGC-UHFFFAOYSA-N 1 2 309.454 1.409 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)C1CC(C(C)(C)C)C1 ZINC001266364853 836177551 /nfs/dbraw/zinc/17/75/51/836177551.db2.gz DVXDFZLVZZOWGC-UHFFFAOYSA-N 1 2 309.454 1.409 20 30 DDEDLO CCc1nnc([C@@H](C)[NH2+]CCN(C)C(=O)C#CC(C)(C)C)o1 ZINC001266694600 836681723 /nfs/dbraw/zinc/68/17/23/836681723.db2.gz SMNYFPMTNDNSBA-GFCCVEGCSA-N 1 2 306.410 1.791 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@](CO)(NC(=O)C#CC(C)C)C2)on1 ZINC001279481362 836690120 /nfs/dbraw/zinc/69/01/20/836690120.db2.gz VFAHGSCCYWGYOU-QGZVFWFLSA-N 1 2 319.405 1.086 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@](CO)(NC(=O)C#CC(C)C)C2)on1 ZINC001279481362 836690125 /nfs/dbraw/zinc/69/01/25/836690125.db2.gz VFAHGSCCYWGYOU-QGZVFWFLSA-N 1 2 319.405 1.086 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@](CO)(NC(=O)c2cnc(C3CC3)o2)C1 ZINC001271964867 844246137 /nfs/dbraw/zinc/24/61/37/844246137.db2.gz GIRCOKGAZZDBAS-QGZVFWFLSA-N 1 2 317.389 1.132 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@](CO)(NC(=O)c2cnc(C3CC3)o2)C1 ZINC001271964867 844246145 /nfs/dbraw/zinc/24/61/45/844246145.db2.gz GIRCOKGAZZDBAS-QGZVFWFLSA-N 1 2 317.389 1.132 20 30 DDEDLO Cc1csc(C[N@@H+](C)CCN(C)C(=O)CSCC#N)n1 ZINC001271963850 844246168 /nfs/dbraw/zinc/24/61/68/844246168.db2.gz ZVWFICRKAVKPGT-UHFFFAOYSA-N 1 2 312.464 1.599 20 30 DDEDLO Cc1csc(C[N@H+](C)CCN(C)C(=O)CSCC#N)n1 ZINC001271963850 844246176 /nfs/dbraw/zinc/24/61/76/844246176.db2.gz ZVWFICRKAVKPGT-UHFFFAOYSA-N 1 2 312.464 1.599 20 30 DDEDLO CC#CC[N@H+](CCCNC(=O)c1cncc2nc[nH]c21)C(C)C ZINC001267500959 838192792 /nfs/dbraw/zinc/19/27/92/838192792.db2.gz IIYNWYZAVRPYAL-UHFFFAOYSA-N 1 2 313.405 1.812 20 30 DDEDLO CC#CC[N@@H+](CCCNC(=O)c1cncc2nc[nH]c21)C(C)C ZINC001267500959 838192805 /nfs/dbraw/zinc/19/28/05/838192805.db2.gz IIYNWYZAVRPYAL-UHFFFAOYSA-N 1 2 313.405 1.812 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C=C2CCCCC2)CC1 ZINC001267574032 838339755 /nfs/dbraw/zinc/33/97/55/838339755.db2.gz SYGYXKXWVONHNG-UHFFFAOYSA-N 1 2 303.450 1.586 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)C(C)(C)C2CCCC2)CC1 ZINC001267573645 838340018 /nfs/dbraw/zinc/34/00/18/838340018.db2.gz WIDGRHIVTBYRNY-UHFFFAOYSA-N 1 2 319.493 1.912 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)[C@@H](C)c2ccco2)CC1 ZINC001267574586 838341189 /nfs/dbraw/zinc/34/11/89/838341189.db2.gz MLLXKDAEABYAMV-INIZCTEOSA-N 1 2 317.433 1.482 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(OC)no2)C1 ZINC001267611773 838458606 /nfs/dbraw/zinc/45/86/06/838458606.db2.gz JHHCMYRSRKBRLF-MLGOLLRUSA-N 1 2 323.393 1.091 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CCC[N@H+](Cc2cc(OC)no2)C1 ZINC001267611773 838458610 /nfs/dbraw/zinc/45/86/10/838458610.db2.gz JHHCMYRSRKBRLF-MLGOLLRUSA-N 1 2 323.393 1.091 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1C[NH+](Cc2cccc(N(C)C)c2)C1 ZINC001267644817 838564644 /nfs/dbraw/zinc/56/46/44/838564644.db2.gz WYRBJDRIMUTELQ-GOSISDBHSA-N 1 2 317.433 1.380 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)CCOc2c(C)cccc2C)C1 ZINC001267648267 838573148 /nfs/dbraw/zinc/57/31/48/838573148.db2.gz CAQMAHPYDAQZGQ-UHFFFAOYSA-N 1 2 300.402 1.896 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1C[NH+](CC(=O)N(C)CC2CC2)C1 ZINC001267683428 838636319 /nfs/dbraw/zinc/63/63/19/838636319.db2.gz LELUBOLAKZBIQH-UHFFFAOYSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001267722125 838728293 /nfs/dbraw/zinc/72/82/93/838728293.db2.gz XPFUAWKPAIJHNY-XJKSGUPXSA-N 1 2 307.394 1.309 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001267722125 838728297 /nfs/dbraw/zinc/72/82/97/838728297.db2.gz XPFUAWKPAIJHNY-XJKSGUPXSA-N 1 2 307.394 1.309 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H](CCC)CC(C)C)C1 ZINC001267753814 838857340 /nfs/dbraw/zinc/85/73/40/838857340.db2.gz NPWQUKVUTZWWTD-JKSUJKDBSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](CCC)CC(C)C)C1 ZINC001267753814 838857351 /nfs/dbraw/zinc/85/73/51/838857351.db2.gz NPWQUKVUTZWWTD-JKSUJKDBSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001279785213 839046801 /nfs/dbraw/zinc/04/68/01/839046801.db2.gz HQZIEMQMKZGXAQ-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001279785213 839046813 /nfs/dbraw/zinc/04/68/13/839046813.db2.gz HQZIEMQMKZGXAQ-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)[C@@H]1C ZINC001283863809 839087934 /nfs/dbraw/zinc/08/79/34/839087934.db2.gz CYQOQUYLINOXCT-NWDGAFQWSA-N 1 2 307.398 1.181 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1C[N@H+](CCOC2CCC2)CCO1 ZINC001268024184 839411148 /nfs/dbraw/zinc/41/11/48/839411148.db2.gz ZPBQTIBHXQSOHR-INIZCTEOSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1C[N@@H+](CCOC2CCC2)CCO1 ZINC001268024184 839411156 /nfs/dbraw/zinc/41/11/56/839411156.db2.gz ZPBQTIBHXQSOHR-INIZCTEOSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2C[N@H+](CCOC)CCO2)CCCCC1 ZINC001268028260 839438047 /nfs/dbraw/zinc/43/80/47/839438047.db2.gz BJGCJSMDWPELIX-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2C[N@@H+](CCOC)CCO2)CCCCC1 ZINC001268028260 839438054 /nfs/dbraw/zinc/43/80/54/839438054.db2.gz BJGCJSMDWPELIX-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001268030503 839454690 /nfs/dbraw/zinc/45/46/90/839454690.db2.gz RWZVQNQBXPSWEY-RYUDHWBXSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001268030503 839454694 /nfs/dbraw/zinc/45/46/94/839454694.db2.gz RWZVQNQBXPSWEY-RYUDHWBXSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)CC1(C)CCCC1 ZINC001268236576 839872056 /nfs/dbraw/zinc/87/20/56/839872056.db2.gz ZABARRMKYZBIRH-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)CC1(C)CCCC1 ZINC001268236576 839872063 /nfs/dbraw/zinc/87/20/63/839872063.db2.gz ZABARRMKYZBIRH-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1cc(F)ccc1C ZINC001268242984 839884221 /nfs/dbraw/zinc/88/42/21/839884221.db2.gz FOFAHNITCSVJEE-UHFFFAOYSA-N 1 2 321.396 1.440 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1cc(F)ccc1C ZINC001268242984 839884229 /nfs/dbraw/zinc/88/42/29/839884229.db2.gz FOFAHNITCSVJEE-UHFFFAOYSA-N 1 2 321.396 1.440 20 30 DDEDLO CCN(CC[NH2+]Cc1nc(C(C)C)no1)C(=O)C#CC(C)C ZINC001268448732 840207247 /nfs/dbraw/zinc/20/72/47/840207247.db2.gz OMPVLROPQDBIQV-UHFFFAOYSA-N 1 2 306.410 1.791 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc(F)c(OC)c2)C1 ZINC001268454960 840224789 /nfs/dbraw/zinc/22/47/89/840224789.db2.gz SXFJCZBNSICFGE-UHFFFAOYSA-N 1 2 308.353 1.451 20 30 DDEDLO CC(C)CCc1noc(C[NH2+]C[C@H](C)NC(=O)C#CC2CC2)n1 ZINC001268686237 840671108 /nfs/dbraw/zinc/67/11/08/840671108.db2.gz VFFNDPVRPUDBJF-ZDUSSCGKSA-N 1 2 318.421 1.666 20 30 DDEDLO CCCCNC(=O)C[NH+]1CC(CNC(=O)C#CC(C)(C)C)C1 ZINC001268825193 840871545 /nfs/dbraw/zinc/87/15/45/840871545.db2.gz MZRCQYVBRVIQDP-UHFFFAOYSA-N 1 2 307.438 1.000 20 30 DDEDLO C[C@H](CC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC#N)C1)CC(C)(C)C ZINC001269172726 841295879 /nfs/dbraw/zinc/29/58/79/841295879.db2.gz UMCDFWSJRLWCRY-ZIAGYGMSSA-N 1 2 322.453 1.279 20 30 DDEDLO C[C@H](CC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1)CC(C)(C)C ZINC001269172726 841295892 /nfs/dbraw/zinc/29/58/92/841295892.db2.gz UMCDFWSJRLWCRY-ZIAGYGMSSA-N 1 2 322.453 1.279 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](N(C)C(=O)C#CC(C)C)C2)cn1 ZINC001269239817 841403759 /nfs/dbraw/zinc/40/37/59/841403759.db2.gz FDAOWMPEPMSIDI-MRXNPFEDSA-N 1 2 300.406 1.477 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](N(C)C(=O)C#CC(C)C)C2)cn1 ZINC001269239817 841403768 /nfs/dbraw/zinc/40/37/68/841403768.db2.gz FDAOWMPEPMSIDI-MRXNPFEDSA-N 1 2 300.406 1.477 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001269241358 841408671 /nfs/dbraw/zinc/40/86/71/841408671.db2.gz UHYFFXWFPCOZRR-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001269241358 841408672 /nfs/dbraw/zinc/40/86/72/841408672.db2.gz UHYFFXWFPCOZRR-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCCCC ZINC001269273501 841451719 /nfs/dbraw/zinc/45/17/19/841451719.db2.gz YPAMJMSFZSWCAM-UONOGXRCSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCCC ZINC001269273501 841451728 /nfs/dbraw/zinc/45/17/28/841451728.db2.gz YPAMJMSFZSWCAM-UONOGXRCSA-N 1 2 309.454 1.694 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)C1(c2cccc(OC)c2)CC1 ZINC001269309744 841499878 /nfs/dbraw/zinc/49/98/78/841499878.db2.gz IYGLYPNXSGJVOA-INIZCTEOSA-N 1 2 312.413 1.941 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)C1(c2cccc(OC)c2)CC1 ZINC001269309744 841499879 /nfs/dbraw/zinc/49/98/79/841499879.db2.gz IYGLYPNXSGJVOA-INIZCTEOSA-N 1 2 312.413 1.941 20 30 DDEDLO CC#CCCCC(=O)NCC1([NH2+]Cc2ncnn2C(C)C)CC1 ZINC001269468977 841668404 /nfs/dbraw/zinc/66/84/04/841668404.db2.gz OWLWTPAYXUGOIX-UHFFFAOYSA-N 1 2 317.437 1.791 20 30 DDEDLO N#CCN1CCC[C@]2(CCN(C(=O)CCCn3cc[nH+]c3)C2)C1 ZINC001269699951 841947758 /nfs/dbraw/zinc/94/77/58/841947758.db2.gz LCNKGPBXDOOTRX-KRWDZBQOSA-N 1 2 315.421 1.501 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CCC2CCOCC2)C1 ZINC001269855241 842109445 /nfs/dbraw/zinc/10/94/45/842109445.db2.gz FFYWNURGNYDKIQ-UHFFFAOYSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CCN1CC[C@]2(CCN(C(=O)c3c[nH]c4cc[nH+]cc34)C2)C1=O ZINC001270138955 842326361 /nfs/dbraw/zinc/32/63/61/842326361.db2.gz NLYTZXVJVIEXKX-GOSISDBHSA-N 1 2 324.384 1.814 20 30 DDEDLO C#CCOCCC(=O)N[C@]1(C)CC[N@@H+]([C@@H](C)c2nc(C)no2)C1 ZINC001270582962 842692044 /nfs/dbraw/zinc/69/20/44/842692044.db2.gz LHLSQMPFHMVNDF-BLLLJJGKSA-N 1 2 320.393 1.060 20 30 DDEDLO C#CCOCCC(=O)N[C@]1(C)CC[N@H+]([C@@H](C)c2nc(C)no2)C1 ZINC001270582962 842692053 /nfs/dbraw/zinc/69/20/53/842692053.db2.gz LHLSQMPFHMVNDF-BLLLJJGKSA-N 1 2 320.393 1.060 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](CC)OC2CCCC2)C1 ZINC001270682368 842810548 /nfs/dbraw/zinc/81/05/48/842810548.db2.gz LALJRLYQSXCVNC-JKSUJKDBSA-N 1 2 310.438 1.727 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H](CC)OC2CCCC2)C1 ZINC001270682368 842810555 /nfs/dbraw/zinc/81/05/55/842810555.db2.gz LALJRLYQSXCVNC-JKSUJKDBSA-N 1 2 310.438 1.727 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nc(C)c(C)o2)CCOCC1 ZINC001149305917 861533375 /nfs/dbraw/zinc/53/33/75/861533375.db2.gz MEFCDYRZZFGZNG-UHFFFAOYSA-N 1 2 321.421 1.870 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cc(OCC)n[nH]1 ZINC001409571758 845336876 /nfs/dbraw/zinc/33/68/76/845336876.db2.gz IWFSUOUOAPYIPZ-SNVBAGLBSA-N 1 2 300.790 1.611 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cc(OCC)n[nH]1 ZINC001409571758 845336884 /nfs/dbraw/zinc/33/68/84/845336884.db2.gz IWFSUOUOAPYIPZ-SNVBAGLBSA-N 1 2 300.790 1.611 20 30 DDEDLO Cc1nnc(C[N@H+]2CCCCC[C@@H]2CNC(=O)[C@@H](C)C#N)o1 ZINC001409847074 845897084 /nfs/dbraw/zinc/89/70/84/845897084.db2.gz GXZNCHGDWMGGHD-WCQYABFASA-N 1 2 305.382 1.399 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCCCC[C@@H]2CNC(=O)[C@@H](C)C#N)o1 ZINC001409847074 845897086 /nfs/dbraw/zinc/89/70/86/845897086.db2.gz GXZNCHGDWMGGHD-WCQYABFASA-N 1 2 305.382 1.399 20 30 DDEDLO Cc1nnc(C[N@H+]2CCCCC[C@H]2CNC(=O)[C@H](C)C#N)o1 ZINC001409847145 845897127 /nfs/dbraw/zinc/89/71/27/845897127.db2.gz GXZNCHGDWMGGHD-YPMHNXCESA-N 1 2 305.382 1.399 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCCCC[C@H]2CNC(=O)[C@H](C)C#N)o1 ZINC001409847145 845897131 /nfs/dbraw/zinc/89/71/31/845897131.db2.gz GXZNCHGDWMGGHD-YPMHNXCESA-N 1 2 305.382 1.399 20 30 DDEDLO CCOCC(=O)N[C@@H](C)C1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001409846829 845898546 /nfs/dbraw/zinc/89/85/46/845898546.db2.gz HKYSSXPZRITZDZ-LBPRGKRZSA-N 1 2 319.380 1.670 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccnc(Br)c2F)CC1 ZINC001232030880 845913491 /nfs/dbraw/zinc/91/34/91/845913491.db2.gz AJCUHFNEZAVKGD-UHFFFAOYSA-N 1 2 312.186 1.734 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](C)C2CC2)C1 ZINC001149475642 861641810 /nfs/dbraw/zinc/64/18/10/861641810.db2.gz ZGLHZGQARLZWIR-OCCSQVGLSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H](C)C2CC2)C1 ZINC001149475642 861641815 /nfs/dbraw/zinc/64/18/15/861641815.db2.gz ZGLHZGQARLZWIR-OCCSQVGLSA-N 1 2 300.830 1.992 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](Cc3ccccc3CC)C[C@@]2(F)C1=O ZINC001272422256 846123413 /nfs/dbraw/zinc/12/34/13/846123413.db2.gz NTMCIKNIFVKQBU-ZWKOTPCHSA-N 1 2 318.367 1.957 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](Cc3ccccc3CC)C[C@@]2(F)C1=O ZINC001272422256 846123419 /nfs/dbraw/zinc/12/34/19/846123419.db2.gz NTMCIKNIFVKQBU-ZWKOTPCHSA-N 1 2 318.367 1.957 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)C(C)C ZINC001234604543 846364359 /nfs/dbraw/zinc/36/43/59/846364359.db2.gz PWTVDJXPWLZWNQ-OAHLLOKOSA-N 1 2 309.454 1.742 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)C(C)C ZINC001234604543 846364353 /nfs/dbraw/zinc/36/43/53/846364353.db2.gz PWTVDJXPWLZWNQ-OAHLLOKOSA-N 1 2 309.454 1.742 20 30 DDEDLO O=C(C1=CCOCC1)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#Cc1ccccc1 ZINC001032369015 847164322 /nfs/dbraw/zinc/16/43/22/847164322.db2.gz NRZXOHPEPUKQEP-OALUTQOASA-N 1 2 322.408 1.670 20 30 DDEDLO O=C(C1=CCOCC1)N1C[C@@H]2C[C@H]1C[N@H+]2CC#Cc1ccccc1 ZINC001032369015 847164325 /nfs/dbraw/zinc/16/43/25/847164325.db2.gz NRZXOHPEPUKQEP-OALUTQOASA-N 1 2 322.408 1.670 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cncc(C)c2)C1 ZINC001107837672 847273722 /nfs/dbraw/zinc/27/37/22/847273722.db2.gz MJIVLWOSDXTWSG-QGZVFWFLSA-N 1 2 301.390 1.234 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cncc(C)c2)C1 ZINC001107837672 847273730 /nfs/dbraw/zinc/27/37/30/847273730.db2.gz MJIVLWOSDXTWSG-QGZVFWFLSA-N 1 2 301.390 1.234 20 30 DDEDLO C#CC[NH+]1CCC([C@@H](C)NC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000997083094 847301251 /nfs/dbraw/zinc/30/12/51/847301251.db2.gz CUUUIKWJSZSIQX-HIFRSBDPSA-N 1 2 314.433 1.680 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1ncco1 ZINC001272800903 847444848 /nfs/dbraw/zinc/44/48/48/847444848.db2.gz CTLOUNKCTMWWLY-MRXNPFEDSA-N 1 2 302.378 1.935 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1ncco1 ZINC001272800903 847444854 /nfs/dbraw/zinc/44/48/54/847444854.db2.gz CTLOUNKCTMWWLY-MRXNPFEDSA-N 1 2 302.378 1.935 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1COCC[N@H+]1CC1CC(F)(F)C1 ZINC001272851214 847548210 /nfs/dbraw/zinc/54/82/10/847548210.db2.gz OGYZBBVPBVTGRY-AWEZNQCLSA-N 1 2 314.376 1.652 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1COCC[N@@H+]1CC1CC(F)(F)C1 ZINC001272851214 847548218 /nfs/dbraw/zinc/54/82/18/847548218.db2.gz OGYZBBVPBVTGRY-AWEZNQCLSA-N 1 2 314.376 1.652 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1OCC[C@H]1CC ZINC001272868613 847568585 /nfs/dbraw/zinc/56/85/85/847568585.db2.gz GQZRRXSVMGMLFU-PMPSAXMXSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1OCC[C@H]1CC ZINC001272868613 847568592 /nfs/dbraw/zinc/56/85/92/847568592.db2.gz GQZRRXSVMGMLFU-PMPSAXMXSA-N 1 2 310.438 1.585 20 30 DDEDLO CCOC(=O)c1cc(C)nc(NC[C@@H]2C[N@H+](C)CCO2)c1C#N ZINC001155197359 861828176 /nfs/dbraw/zinc/82/81/76/861828176.db2.gz SZAMOMLVDCSBSK-GFCCVEGCSA-N 1 2 318.377 1.181 20 30 DDEDLO CCOC(=O)c1cc(C)nc(NC[C@@H]2C[N@@H+](C)CCO2)c1C#N ZINC001155197359 861828187 /nfs/dbraw/zinc/82/81/87/861828187.db2.gz SZAMOMLVDCSBSK-GFCCVEGCSA-N 1 2 318.377 1.181 20 30 DDEDLO C#CCN1CC2(C[NH+](CC3=CC[C@@H](C(=C)C)CC3)C2)OCC1=O ZINC001273125963 847958757 /nfs/dbraw/zinc/95/87/57/847958757.db2.gz SCTAFVUFPOHNCL-QGZVFWFLSA-N 1 2 314.429 1.835 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C2(C(F)F)CC2)C1 ZINC001149728187 861853517 /nfs/dbraw/zinc/85/35/17/861853517.db2.gz UZVXRKAVMMPHTH-NSHDSACASA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C2(C(F)F)CC2)C1 ZINC001149728187 861853534 /nfs/dbraw/zinc/85/35/34/861853534.db2.gz UZVXRKAVMMPHTH-NSHDSACASA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001034249340 848157441 /nfs/dbraw/zinc/15/74/41/848157441.db2.gz GZYYYJIQPJZMKF-LBPRGKRZSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001034249340 848157444 /nfs/dbraw/zinc/15/74/44/848157444.db2.gz GZYYYJIQPJZMKF-LBPRGKRZSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccoc2Cl)[C@H](O)C1 ZINC001090205737 848300948 /nfs/dbraw/zinc/30/09/48/848300948.db2.gz ITWXDEGVIDHDQJ-GHMZBOCLSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccoc2Cl)[C@H](O)C1 ZINC001090205737 848300957 /nfs/dbraw/zinc/30/09/57/848300957.db2.gz ITWXDEGVIDHDQJ-GHMZBOCLSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C(C)(C)COC)C1 ZINC001149974864 862001755 /nfs/dbraw/zinc/00/17/55/862001755.db2.gz QIOHXAUMTDRPFW-CYBMUJFWSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C(C)(C)COC)C1 ZINC001149974864 862001766 /nfs/dbraw/zinc/00/17/66/862001766.db2.gz QIOHXAUMTDRPFW-CYBMUJFWSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCOC2(C[NH+](CCCCOC)C2)C1 ZINC001327331328 862069438 /nfs/dbraw/zinc/06/94/38/862069438.db2.gz MWEOHUYRWCAYBI-INIZCTEOSA-N 1 2 324.465 1.929 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C2(CC)CCOCC2)C1 ZINC001438147750 850195987 /nfs/dbraw/zinc/19/59/87/850195987.db2.gz KRSJECAFPZTMDP-UHFFFAOYSA-N 1 2 300.830 1.994 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)CCC1CCCC1)CO2 ZINC001327372951 862115341 /nfs/dbraw/zinc/11/53/41/862115341.db2.gz RAZDRCWUZLJWTP-MRXNPFEDSA-N 1 2 304.434 1.940 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H](OC)C(C)C)C1 ZINC001150248268 862154729 /nfs/dbraw/zinc/15/47/29/862154729.db2.gz TUMRNMXNAIUXJP-ZIAGYGMSSA-N 1 2 318.845 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H](OC)C(C)C)C1 ZINC001150248268 862154735 /nfs/dbraw/zinc/15/47/35/862154735.db2.gz TUMRNMXNAIUXJP-ZIAGYGMSSA-N 1 2 318.845 1.617 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)CN(c3cc[nH+]c(OC)c3)C[C@@]2(F)C1=O ZINC001273585053 851115712 /nfs/dbraw/zinc/11/57/12/851115712.db2.gz KMYLEPVYEJHJGV-HZPDHXFCSA-N 1 2 323.343 1.745 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1(CC=C)CCC1)CO2 ZINC001273713810 851261137 /nfs/dbraw/zinc/26/11/37/851261137.db2.gz UWYJEGOPKJTQDP-HNNXBMFYSA-N 1 2 302.418 1.716 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1Cc2ccccc2C[N@H+]1CC#CC ZINC001273814998 851378934 /nfs/dbraw/zinc/37/89/34/851378934.db2.gz VQSGJPSTGPDCIF-GOSISDBHSA-N 1 2 312.413 1.755 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1Cc2ccccc2C[N@@H+]1CC#CC ZINC001273814998 851378944 /nfs/dbraw/zinc/37/89/44/851378944.db2.gz VQSGJPSTGPDCIF-GOSISDBHSA-N 1 2 312.413 1.755 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](C[C@H](O)CCCCC)C[C@@]2(F)C1=O ZINC001273876434 851453660 /nfs/dbraw/zinc/45/36/60/851453660.db2.gz ZENAZWUDVIQHDY-VNQPRFMTSA-N 1 2 316.392 1.688 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](C[C@H](O)CCCCC)C[C@@]2(F)C1=O ZINC001273876434 851453670 /nfs/dbraw/zinc/45/36/70/851453670.db2.gz ZENAZWUDVIQHDY-VNQPRFMTSA-N 1 2 316.392 1.688 20 30 DDEDLO Cc1nonc1C[NH+]1CCC2(C[C@@H]2C(=O)NCCCC#N)CC1 ZINC001273991109 851789043 /nfs/dbraw/zinc/78/90/43/851789043.db2.gz WFUMJQBEPNZLPJ-CYBMUJFWSA-N 1 2 317.393 1.400 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2ccc(F)c(F)c2O)C1=O ZINC001274030187 851868319 /nfs/dbraw/zinc/86/83/19/851868319.db2.gz FVBHBFQOXBEOBR-QGZVFWFLSA-N 1 2 320.339 1.871 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2ccc(F)c(F)c2O)C1=O ZINC001274030187 851868322 /nfs/dbraw/zinc/86/83/22/851868322.db2.gz FVBHBFQOXBEOBR-QGZVFWFLSA-N 1 2 320.339 1.871 20 30 DDEDLO C#Cc1cccc(C(=O)N2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)c1 ZINC001274879135 852662311 /nfs/dbraw/zinc/66/23/11/852662311.db2.gz GBOJELOBKKRZAR-UHFFFAOYSA-N 1 2 306.369 1.349 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)C[N@@H+](C)Cc1cnnn1CC ZINC001275568347 853367383 /nfs/dbraw/zinc/36/73/83/853367383.db2.gz ZNXMYTYKXAJKNO-CYBMUJFWSA-N 1 2 307.442 1.837 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)C[N@H+](C)Cc1cnnn1CC ZINC001275568347 853367392 /nfs/dbraw/zinc/36/73/92/853367392.db2.gz ZNXMYTYKXAJKNO-CYBMUJFWSA-N 1 2 307.442 1.837 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2COC[C@H](C1)N2Cc1cn[nH]c1 ZINC001275965613 854030371 /nfs/dbraw/zinc/03/03/71/854030371.db2.gz JQYFVLOSZUMLBV-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2COC[C@H](C1)N2Cc1cn[nH]c1 ZINC001275965613 854030372 /nfs/dbraw/zinc/03/03/72/854030372.db2.gz JQYFVLOSZUMLBV-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nccn2C)[C@@H](C)C1 ZINC001328241019 862809500 /nfs/dbraw/zinc/80/95/00/862809500.db2.gz QRRVDEROHTVWOJ-LSDHHAIUSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nccn2C)[C@@H](C)C1 ZINC001328241019 862809535 /nfs/dbraw/zinc/80/95/35/862809535.db2.gz QRRVDEROHTVWOJ-LSDHHAIUSA-N 1 2 302.422 1.693 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)S(C)(=O)=O ZINC001049548328 856959504 /nfs/dbraw/zinc/95/95/04/856959504.db2.gz JMFYNICJOZRQQP-HZSPNIEDSA-N 1 2 314.451 1.061 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)S(C)(=O)=O ZINC001049548328 856959508 /nfs/dbraw/zinc/95/95/08/856959508.db2.gz JMFYNICJOZRQQP-HZSPNIEDSA-N 1 2 314.451 1.061 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001049561806 856978756 /nfs/dbraw/zinc/97/87/56/856978756.db2.gz QPTIICAQSFVWPT-CWRNSKLLSA-N 1 2 313.405 1.034 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)CCOCC(F)F)C1 ZINC001276381515 857216368 /nfs/dbraw/zinc/21/63/68/857216368.db2.gz MZPQABSBXMWZPR-JTQLQIEISA-N 1 2 310.772 1.847 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001072551536 857435427 /nfs/dbraw/zinc/43/54/27/857435427.db2.gz GUXLXTCVKJRPNO-RYUDHWBXSA-N 1 2 317.393 1.387 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001072551536 857435437 /nfs/dbraw/zinc/43/54/37/857435437.db2.gz GUXLXTCVKJRPNO-RYUDHWBXSA-N 1 2 317.393 1.387 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC3(C2)CC[N@H+](Cc2ccon2)C3)cn1 ZINC001073135316 858132294 /nfs/dbraw/zinc/13/22/94/858132294.db2.gz DYBAFYJUZPONCA-UHFFFAOYSA-N 1 2 322.368 1.399 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC3(C2)CC[N@@H+](Cc2ccon2)C3)cn1 ZINC001073135316 858132297 /nfs/dbraw/zinc/13/22/97/858132297.db2.gz DYBAFYJUZPONCA-UHFFFAOYSA-N 1 2 322.368 1.399 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ncoc2C)C1 ZINC001073547376 858427958 /nfs/dbraw/zinc/42/79/58/858427958.db2.gz IECMROBLZMQOAU-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ncoc2C)C1 ZINC001073547376 858427961 /nfs/dbraw/zinc/42/79/61/858427961.db2.gz IECMROBLZMQOAU-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2C)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121708981 858579261 /nfs/dbraw/zinc/57/92/61/858579261.db2.gz PKHABSYMPPWDLG-XEZPLFJOSA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2C)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001121708981 858579266 /nfs/dbraw/zinc/57/92/66/858579266.db2.gz PKHABSYMPPWDLG-XEZPLFJOSA-N 1 2 305.426 1.364 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC(C)C ZINC001122739518 858956519 /nfs/dbraw/zinc/95/65/19/858956519.db2.gz PAHVUECFRCOBFA-ZQIUZPCESA-N 1 2 319.453 1.304 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC(C)C ZINC001122739518 858956524 /nfs/dbraw/zinc/95/65/24/858956524.db2.gz PAHVUECFRCOBFA-ZQIUZPCESA-N 1 2 319.453 1.304 20 30 DDEDLO C#CCN(C)c1nnc(C[NH+]2CCCCC2)n1C[C@@H]1CCCO1 ZINC001122928791 859010309 /nfs/dbraw/zinc/01/03/09/859010309.db2.gz YZPGUVCWQISVPN-HNNXBMFYSA-N 1 2 317.437 1.512 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@H]1C ZINC001123027772 859062962 /nfs/dbraw/zinc/06/29/62/859062962.db2.gz JZNWOLCVLZINSN-OCCSQVGLSA-N 1 2 304.394 1.779 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CO)c1cc(F)ccc1F ZINC001124121872 859590299 /nfs/dbraw/zinc/59/02/99/859590299.db2.gz GSNWJNLSNBNDJR-CABCVRRESA-N 1 2 308.328 1.212 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CO)c1cc(F)ccc1F ZINC001124121872 859590303 /nfs/dbraw/zinc/59/03/03/859590303.db2.gz GSNWJNLSNBNDJR-CABCVRRESA-N 1 2 308.328 1.212 20 30 DDEDLO N#C[C@@H]1CCCN1Cc1cccc(OCC[NH+]2CCOCC2)c1 ZINC001139759032 860473785 /nfs/dbraw/zinc/47/37/85/860473785.db2.gz AHORNEJSBLTACK-KRWDZBQOSA-N 1 2 315.417 1.886 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1ncnn1CC ZINC001325877762 860913255 /nfs/dbraw/zinc/91/32/55/860913255.db2.gz CVWXBVAKRFRSCV-HUUCEWRRSA-N 1 2 317.437 1.830 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1ncnn1CC ZINC001325877762 860913272 /nfs/dbraw/zinc/91/32/72/860913272.db2.gz CVWXBVAKRFRSCV-HUUCEWRRSA-N 1 2 317.437 1.830 20 30 DDEDLO O=C(CC#Cc1ccccc1)NCC[NH2+]Cc1nnc(C2CC2)o1 ZINC001151953284 863077775 /nfs/dbraw/zinc/07/77/75/863077775.db2.gz XXNGMFCUQPHGEU-UHFFFAOYSA-N 1 2 324.384 1.595 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]CCCNC(=O)c2ccc(C#N)[nH]2)no1 ZINC001156691209 863265447 /nfs/dbraw/zinc/26/54/47/863265447.db2.gz LHVOLISZVSXVKD-SECBINFHSA-N 1 2 302.338 1.049 20 30 DDEDLO Cc1ncc(CO)c(CNc2[nH+]ccc3[nH]cc(C#N)c32)c1O ZINC001157032980 863529225 /nfs/dbraw/zinc/52/92/25/863529225.db2.gz VVQWBPXQLOAIAJ-UHFFFAOYSA-N 1 2 309.329 1.948 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H](C)[N@H+](C)Cc2nocc2C)c1 ZINC001329540741 863721526 /nfs/dbraw/zinc/72/15/26/863721526.db2.gz MXEOAZTVWKXEOY-CYBMUJFWSA-N 1 2 312.373 1.610 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H](C)[N@@H+](C)Cc2nocc2C)c1 ZINC001329540741 863721533 /nfs/dbraw/zinc/72/15/33/863721533.db2.gz MXEOAZTVWKXEOY-CYBMUJFWSA-N 1 2 312.373 1.610 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@H]1CCCCN1C(=O)COC ZINC001157388570 863780665 /nfs/dbraw/zinc/78/06/65/863780665.db2.gz AVCWHRAXNRNAGG-GFCCVEGCSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@H]1CCCCN1C(=O)COC ZINC001157388570 863780672 /nfs/dbraw/zinc/78/06/72/863780672.db2.gz AVCWHRAXNRNAGG-GFCCVEGCSA-N 1 2 319.243 1.854 20 30 DDEDLO CC[N@H+](Cc1cn(C)nn1)[C@H](C)CNC(=O)C#CC(C)(C)C ZINC001153260170 863792740 /nfs/dbraw/zinc/79/27/40/863792740.db2.gz QLBDLDASDGECAT-CYBMUJFWSA-N 1 2 305.426 1.191 20 30 DDEDLO CC[N@@H+](Cc1cn(C)nn1)[C@H](C)CNC(=O)C#CC(C)(C)C ZINC001153260170 863792750 /nfs/dbraw/zinc/79/27/50/863792750.db2.gz QLBDLDASDGECAT-CYBMUJFWSA-N 1 2 305.426 1.191 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)CC(F)(F)C(F)F)C1 ZINC001329693263 863793442 /nfs/dbraw/zinc/79/34/42/863793442.db2.gz GRCJCQQRTATQBZ-UHFFFAOYSA-N 1 2 312.307 1.406 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCCC[N@H+]1Cc1cn(C)nn1 ZINC001329909222 863938344 /nfs/dbraw/zinc/93/83/44/863938344.db2.gz PGKXATHFXPEYPE-HNNXBMFYSA-N 1 2 305.426 1.642 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCCC[N@@H+]1Cc1cn(C)nn1 ZINC001329909222 863938349 /nfs/dbraw/zinc/93/83/49/863938349.db2.gz PGKXATHFXPEYPE-HNNXBMFYSA-N 1 2 305.426 1.642 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)C2C[NH+](CC(=O)NC)C2)CCCC1 ZINC001330086481 864072991 /nfs/dbraw/zinc/07/29/91/864072991.db2.gz RWRALQVADSEOQO-CYBMUJFWSA-N 1 2 307.438 1.305 20 30 DDEDLO N#Cc1ccc2cc[nH+]c(N3CCN(c4ncncn4)CC3)c2c1 ZINC001157856051 864197263 /nfs/dbraw/zinc/19/72/63/864197263.db2.gz XSXPIAPFADAOGD-UHFFFAOYSA-N 1 2 317.356 1.618 20 30 DDEDLO Cc1cnc(-n2[nH]c(=O)nc2C[NH3+])c(C#C[Si](C)(C)C)c1 ZINC001157941057 864290246 /nfs/dbraw/zinc/29/02/46/864290246.db2.gz UJTWAQBHZOPZLX-UHFFFAOYSA-N 1 2 301.426 1.364 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@H]([C@@H](C)[NH2+]Cc2csnn2)C1 ZINC001330399582 864307975 /nfs/dbraw/zinc/30/79/75/864307975.db2.gz ALXBFTASNKDIHQ-OCCSQVGLSA-N 1 2 324.450 1.600 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CCC[N@@H+](Cc1nnc(C)o1)C2 ZINC001330489676 864400607 /nfs/dbraw/zinc/40/06/07/864400607.db2.gz VQALIKMXYNJDCG-UHFFFAOYSA-N 1 2 304.394 1.769 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CCC[N@H+](Cc1nnc(C)o1)C2 ZINC001330489676 864400611 /nfs/dbraw/zinc/40/06/11/864400611.db2.gz VQALIKMXYNJDCG-UHFFFAOYSA-N 1 2 304.394 1.769 20 30 DDEDLO C#CCOCC[N@@H+](C)Cc1nnc(-c2ccccc2[N+](=O)[O-])o1 ZINC001331758864 865302261 /nfs/dbraw/zinc/30/22/61/865302261.db2.gz MUDAIUATVQEZAT-UHFFFAOYSA-N 1 2 316.317 1.726 20 30 DDEDLO C#CCOCC[N@H+](C)Cc1nnc(-c2ccccc2[N+](=O)[O-])o1 ZINC001331758864 865302275 /nfs/dbraw/zinc/30/22/75/865302275.db2.gz MUDAIUATVQEZAT-UHFFFAOYSA-N 1 2 316.317 1.726 20 30 DDEDLO Cc1nnc(C[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001159586865 865412774 /nfs/dbraw/zinc/41/27/74/865412774.db2.gz DFMLGJUBSIUSRW-NSHDSACASA-N 1 2 303.366 1.176 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001159586865 865412781 /nfs/dbraw/zinc/41/27/81/865412781.db2.gz DFMLGJUBSIUSRW-NSHDSACASA-N 1 2 303.366 1.176 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@@H+]([C@@H](C)c2ncccn2)CC1 ZINC001159587686 865415510 /nfs/dbraw/zinc/41/55/10/865415510.db2.gz WPUPMBILZLWXRG-KGLIPLIRSA-N 1 2 313.405 1.836 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@H+]([C@@H](C)c2ncccn2)CC1 ZINC001159587686 865415516 /nfs/dbraw/zinc/41/55/16/865415516.db2.gz WPUPMBILZLWXRG-KGLIPLIRSA-N 1 2 313.405 1.836 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@H+](Cc2nccs2)CC1 ZINC001159587459 865415770 /nfs/dbraw/zinc/41/57/70/865415770.db2.gz RVZUFKKTBHSJQT-GFCCVEGCSA-N 1 2 304.419 1.941 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@@H+](Cc2nccs2)CC1 ZINC001159587459 865415773 /nfs/dbraw/zinc/41/57/73/865415773.db2.gz RVZUFKKTBHSJQT-GFCCVEGCSA-N 1 2 304.419 1.941 20 30 DDEDLO C=CCCCC(=O)N[C@@]12CCC[C@H]1[N@H+](Cc1nncn1C)CC2 ZINC001332108512 865580774 /nfs/dbraw/zinc/58/07/74/865580774.db2.gz BOYCGMOVZUCMRZ-RHSMWYFYSA-N 1 2 317.437 1.785 20 30 DDEDLO C=CCCCC(=O)N[C@@]12CCC[C@H]1[N@@H+](Cc1nncn1C)CC2 ZINC001332108512 865580786 /nfs/dbraw/zinc/58/07/86/865580786.db2.gz BOYCGMOVZUCMRZ-RHSMWYFYSA-N 1 2 317.437 1.785 20 30 DDEDLO CCCN(CCC)c1cc(N[C@@H]2C(=O)N(O)C[C@@H]2C)[nH+]cn1 ZINC001160176057 865713421 /nfs/dbraw/zinc/71/34/21/865713421.db2.gz DODZZGUXSJHHBI-FZMZJTMJSA-N 1 2 307.398 1.751 20 30 DDEDLO CCCN(CCC)c1cc(N[C@@H]2C(=O)N(O)C[C@@H]2C)nc[nH+]1 ZINC001160176057 865713432 /nfs/dbraw/zinc/71/34/32/865713432.db2.gz DODZZGUXSJHHBI-FZMZJTMJSA-N 1 2 307.398 1.751 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(C)cc(C#N)c2)C1 ZINC001332520030 865905170 /nfs/dbraw/zinc/90/51/70/865905170.db2.gz GPBASHDBWGFSGK-HNNXBMFYSA-N 1 2 324.384 1.019 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(C)cc(C#N)c2)C1 ZINC001332520030 865905180 /nfs/dbraw/zinc/90/51/80/865905180.db2.gz GPBASHDBWGFSGK-HNNXBMFYSA-N 1 2 324.384 1.019 20 30 DDEDLO C#CCOCCOCCNc1cc(N2CCCCC2)nc[nH+]1 ZINC001160671490 866010755 /nfs/dbraw/zinc/01/07/55/866010755.db2.gz OVMISHMVJSOKQX-UHFFFAOYSA-N 1 2 304.394 1.545 20 30 DDEDLO C#CCOCCOCCNc1cc(N2CCCCC2)[nH+]cn1 ZINC001160671490 866010771 /nfs/dbraw/zinc/01/07/71/866010771.db2.gz OVMISHMVJSOKQX-UHFFFAOYSA-N 1 2 304.394 1.545 20 30 DDEDLO Cc1nc(Cl)nc(N[C@@H]2CCC[C@@H]2[NH+]2CCOCC2)c1C#N ZINC001160722841 866067759 /nfs/dbraw/zinc/06/77/59/866067759.db2.gz CYDUYILPKFNYGZ-OLZOCXBDSA-N 1 2 321.812 1.397 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C(=O)/C=C(/C)CC)C(C)C ZINC001332699956 866078569 /nfs/dbraw/zinc/07/85/69/866078569.db2.gz RTAIQAXTMQTABP-QINSGFPZSA-N 1 2 307.438 1.261 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C(=O)/C=C(/C)CC)C(C)C ZINC001332699956 866078580 /nfs/dbraw/zinc/07/85/80/866078580.db2.gz RTAIQAXTMQTABP-QINSGFPZSA-N 1 2 307.438 1.261 20 30 DDEDLO CN(CCO)C(=O)[C@H]1CCCC[N@@H+]1Cc1ccccc1C#N ZINC001161108786 866377315 /nfs/dbraw/zinc/37/73/15/866377315.db2.gz HPJQSPFVHYNXFZ-MRXNPFEDSA-N 1 2 301.390 1.363 20 30 DDEDLO CN(CCO)C(=O)[C@H]1CCCC[N@H+]1Cc1ccccc1C#N ZINC001161108786 866377327 /nfs/dbraw/zinc/37/73/27/866377327.db2.gz HPJQSPFVHYNXFZ-MRXNPFEDSA-N 1 2 301.390 1.363 20 30 DDEDLO C=CCCOCC(=O)NCC1=CC[N@H+](Cc2ncc(C)o2)CC1 ZINC001333458796 866767257 /nfs/dbraw/zinc/76/72/57/866767257.db2.gz UAMSKFLIXAGXOH-UHFFFAOYSA-N 1 2 319.405 1.824 20 30 DDEDLO C=CCCOCC(=O)NCC1=CC[N@@H+](Cc2ncc(C)o2)CC1 ZINC001333458796 866767266 /nfs/dbraw/zinc/76/72/66/866767266.db2.gz UAMSKFLIXAGXOH-UHFFFAOYSA-N 1 2 319.405 1.824 20 30 DDEDLO C#CCCCC(=O)N(C)C1C[NH+](CCc2cnn(CC)c2)C1 ZINC001323922134 866951632 /nfs/dbraw/zinc/95/16/32/866951632.db2.gz SQCROEVBWPANLI-UHFFFAOYSA-N 1 2 302.422 1.392 20 30 DDEDLO CCN(CC[NH2+]Cc1nc(CC2CC2)no1)C(=O)[C@@H](C)C#N ZINC001320694261 866954518 /nfs/dbraw/zinc/95/45/18/866954518.db2.gz GUURZRWTFDEISO-NSHDSACASA-N 1 2 305.382 1.120 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+]([C@@H]2CC(=O)N(c3ccccc3F)C2=O)C1 ZINC001320885235 867099853 /nfs/dbraw/zinc/09/98/53/867099853.db2.gz IOUMGCKFTAXWAS-IUODEOHRSA-N 1 2 318.348 1.735 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+]([C@@H]2CC(=O)N(c3ccccc3F)C2=O)C1 ZINC001320885235 867099870 /nfs/dbraw/zinc/09/98/70/867099870.db2.gz IOUMGCKFTAXWAS-IUODEOHRSA-N 1 2 318.348 1.735 20 30 DDEDLO Cc1nnc(C[NH2+]C/C=C/CNC(=O)c2cc(C#N)c[nH]2)s1 ZINC001321011379 867208248 /nfs/dbraw/zinc/20/82/48/867208248.db2.gz OSXRFDLIDFTSSK-NSCUHMNNSA-N 1 2 316.390 1.122 20 30 DDEDLO C[NH+]1CCN(C(=O)c2ccnc(NCC3(C#N)CCC3)c2)CC1 ZINC001162406956 867517662 /nfs/dbraw/zinc/51/76/62/867517662.db2.gz SMUDRSNVDRCTHW-UHFFFAOYSA-N 1 2 313.405 1.575 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC/C=C/C[NH2+]Cc1ncccn1 ZINC001321362791 867528892 /nfs/dbraw/zinc/52/88/92/867528892.db2.gz GGHJVHMRBFXGRW-AATRIKPKSA-N 1 2 320.396 1.463 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@]2(O)CC[N@H+](Cc3nccs3)C2)C1 ZINC001325125697 867797941 /nfs/dbraw/zinc/79/79/41/867797941.db2.gz HPHVEKNCZXOUAR-INIZCTEOSA-N 1 2 321.446 1.552 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@]2(O)CC[N@@H+](Cc3nccs3)C2)C1 ZINC001325125697 867797949 /nfs/dbraw/zinc/79/79/49/867797949.db2.gz HPHVEKNCZXOUAR-INIZCTEOSA-N 1 2 321.446 1.552 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C[N@H+](C)CC(=O)Nc1ccccc1 ZINC001322148821 868003684 /nfs/dbraw/zinc/00/36/84/868003684.db2.gz SBRWZXUGUSGXTK-HNNXBMFYSA-N 1 2 315.417 1.721 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C[N@@H+](C)CC(=O)Nc1ccccc1 ZINC001322148821 868003698 /nfs/dbraw/zinc/00/36/98/868003698.db2.gz SBRWZXUGUSGXTK-HNNXBMFYSA-N 1 2 315.417 1.721 20 30 DDEDLO CC#CCCCC(=O)N1CC([C@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001335148271 868093191 /nfs/dbraw/zinc/09/31/91/868093191.db2.gz LMASMBFOIGOOAQ-ZDUSSCGKSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CC1(CC(=O)N2CC[NH2+]C[C@@H]2C(=O)OCC)CCCCC1 ZINC001335265592 868187852 /nfs/dbraw/zinc/18/78/52/868187852.db2.gz QXDQZVNQWJNEQC-CQSZACIVSA-N 1 2 308.422 1.877 20 30 DDEDLO Cc1cc(C)c(CNC(=O)C(=O)N2CCC[C@H](C#N)C2)c[nH+]1 ZINC001336060466 868706526 /nfs/dbraw/zinc/70/65/26/868706526.db2.gz VWNNUJIKSDRFLE-CYBMUJFWSA-N 1 2 300.362 1.077 20 30 DDEDLO C#CCCN(CC(F)(F)F)C(=O)C[NH+]1CCC(CO)CC1 ZINC001336442954 868945095 /nfs/dbraw/zinc/94/50/95/868945095.db2.gz KMTVWORMJHWLHI-UHFFFAOYSA-N 1 2 306.328 1.105 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc(C[NH+]2CCC(CO)CC2)n1C ZINC001338367732 869948829 /nfs/dbraw/zinc/94/88/29/869948829.db2.gz SGQYGYUFXCBTOU-OAHLLOKOSA-N 1 2 317.437 1.012 20 30 DDEDLO C#CCCCCC(=O)NCC[NH+]1CCN(Cc2ccon2)CC1 ZINC001316964623 870002947 /nfs/dbraw/zinc/00/29/47/870002947.db2.gz VQQOYRQKXAJZLG-UHFFFAOYSA-N 1 2 318.421 1.102 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](CC)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001297458006 870057414 /nfs/dbraw/zinc/05/74/14/870057414.db2.gz OSJBIGQILMRLKU-ZDUSSCGKSA-N 1 2 320.437 1.874 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2ccoc2C(C)C)C1 ZINC001316994926 870094774 /nfs/dbraw/zinc/09/47/74/870094774.db2.gz RKAFLQZZUNBCHU-CYBMUJFWSA-N 1 2 319.405 1.509 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2ccoc2C(C)C)C1 ZINC001316994926 870094785 /nfs/dbraw/zinc/09/47/85/870094785.db2.gz RKAFLQZZUNBCHU-CYBMUJFWSA-N 1 2 319.405 1.509 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)CCCCC)n2C)CC1 ZINC001338713226 870127748 /nfs/dbraw/zinc/12/77/48/870127748.db2.gz MGROBQFXVLZSBV-AWEZNQCLSA-N 1 2 305.426 1.184 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001297690966 870140295 /nfs/dbraw/zinc/14/02/95/870140295.db2.gz KADRSKGHAIADFD-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCOCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)no1 ZINC001317043323 870156728 /nfs/dbraw/zinc/15/67/28/870156728.db2.gz BALPBVCTDXYRMM-BETUJISGSA-N 1 2 321.377 1.175 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)no1 ZINC001317043323 870156738 /nfs/dbraw/zinc/15/67/38/870156738.db2.gz BALPBVCTDXYRMM-BETUJISGSA-N 1 2 321.377 1.175 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)C[C@@H](C)CC ZINC001338774084 870160036 /nfs/dbraw/zinc/16/00/36/870160036.db2.gz RJNNDWSPVFSKPE-IHRRRGAJSA-N 1 2 307.442 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)C[C@@H](C)CC ZINC001338774084 870160044 /nfs/dbraw/zinc/16/00/44/870160044.db2.gz RJNNDWSPVFSKPE-IHRRRGAJSA-N 1 2 307.442 1.684 20 30 DDEDLO C=CCCC1(C(=O)NC[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001298720444 870688261 /nfs/dbraw/zinc/68/82/61/870688261.db2.gz CLXBMRYNKCSMAR-GFCCVEGCSA-N 1 2 304.394 1.320 20 30 DDEDLO CC#CCCCC(=O)NCC[N@@H+](C)Cc1cc(OC)ns1 ZINC001317456582 870868756 /nfs/dbraw/zinc/86/87/56/870868756.db2.gz CVQALKNGVBXIMN-UHFFFAOYSA-N 1 2 309.435 1.893 20 30 DDEDLO CC#CCCCC(=O)NCC[N@H+](C)Cc1cc(OC)ns1 ZINC001317456582 870868767 /nfs/dbraw/zinc/86/87/67/870868767.db2.gz CVQALKNGVBXIMN-UHFFFAOYSA-N 1 2 309.435 1.893 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)c1ccc(C(C)C)cc1 ZINC001317468851 870888371 /nfs/dbraw/zinc/88/83/71/870888371.db2.gz GQVOUGSFFBMRMV-UHFFFAOYSA-N 1 2 315.417 1.221 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)c1ccc(C(C)C)cc1 ZINC001317468851 870888374 /nfs/dbraw/zinc/88/83/74/870888374.db2.gz GQVOUGSFFBMRMV-UHFFFAOYSA-N 1 2 315.417 1.221 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@@H](C)CC[C@H]1C ZINC001340257767 870990048 /nfs/dbraw/zinc/99/00/48/870990048.db2.gz ALWDYOSPCHGQMK-XGUBFFRZSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@@H](C)CC[C@H]1C ZINC001340257767 870990063 /nfs/dbraw/zinc/99/00/63/870990063.db2.gz ALWDYOSPCHGQMK-XGUBFFRZSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(C(N)=O)CC2)nnc1N(CC)CC ZINC001340745190 871326141 /nfs/dbraw/zinc/32/61/41/871326141.db2.gz CHJMFWKATFMWIU-UHFFFAOYSA-N 1 2 320.441 1.008 20 30 DDEDLO C[NH+](C)Cc1csc(CNc2ncc([N+](=O)[O-])cc2C#N)n1 ZINC001307211428 871410467 /nfs/dbraw/zinc/41/04/67/871410467.db2.gz DFKDOHOKUFRYJU-UHFFFAOYSA-N 1 2 318.362 1.992 20 30 DDEDLO C#CC[N@@H+](CCCc1scnc1C)[C@@H]1CCS(=O)(=O)C1 ZINC001307562737 871442459 /nfs/dbraw/zinc/44/24/59/871442459.db2.gz FLJKSWYSXPXSFP-CYBMUJFWSA-N 1 2 312.460 1.506 20 30 DDEDLO C#CC[N@H+](CCCc1scnc1C)[C@@H]1CCS(=O)(=O)C1 ZINC001307562737 871442465 /nfs/dbraw/zinc/44/24/65/871442465.db2.gz FLJKSWYSXPXSFP-CYBMUJFWSA-N 1 2 312.460 1.506 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2ccoc2CC)C1 ZINC001317968920 871658943 /nfs/dbraw/zinc/65/89/43/871658943.db2.gz KMMGNVBBIMBZFR-ZDUSSCGKSA-N 1 2 319.405 1.338 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2ccoc2CC)C1 ZINC001317968920 871658947 /nfs/dbraw/zinc/65/89/47/871658947.db2.gz KMMGNVBBIMBZFR-ZDUSSCGKSA-N 1 2 319.405 1.338 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3[C@@H](CCCC)C(N)=O)C1 ZINC001318216418 871840566 /nfs/dbraw/zinc/84/05/66/871840566.db2.gz OLFUONFZXRPQEY-KKUMJFAQSA-N 1 2 319.449 1.672 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3[C@@H](CCCC)C(N)=O)C1 ZINC001318216418 871840582 /nfs/dbraw/zinc/84/05/82/871840582.db2.gz OLFUONFZXRPQEY-KKUMJFAQSA-N 1 2 319.449 1.672 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cc2F)C1 ZINC001318252418 871878080 /nfs/dbraw/zinc/87/80/80/871878080.db2.gz AOGNCPWTULTEAS-HNNXBMFYSA-N 1 2 322.355 1.695 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cc2F)C1 ZINC001318252418 871878095 /nfs/dbraw/zinc/87/80/95/871878095.db2.gz AOGNCPWTULTEAS-HNNXBMFYSA-N 1 2 322.355 1.695 20 30 DDEDLO C#CCOc1cccc(NC(=O)NCC[N@H+]2CCOC[C@H]2C)c1 ZINC001318392987 871987423 /nfs/dbraw/zinc/98/74/23/871987423.db2.gz AGRCODFRPOKEMH-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CCOc1cccc(NC(=O)NCC[N@@H+]2CCOC[C@H]2C)c1 ZINC001318392987 871987428 /nfs/dbraw/zinc/98/74/28/871987428.db2.gz AGRCODFRPOKEMH-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cncc(F)c2)C[C@H]1NC(=O)CSCC#N ZINC001206090030 872049587 /nfs/dbraw/zinc/04/95/87/872049587.db2.gz JJDVNAQOQKZYII-BXUZGUMPSA-N 1 2 322.409 1.414 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cncc(F)c2)C[C@H]1NC(=O)CSCC#N ZINC001206090030 872049593 /nfs/dbraw/zinc/04/95/93/872049593.db2.gz JJDVNAQOQKZYII-BXUZGUMPSA-N 1 2 322.409 1.414 20 30 DDEDLO CC[N@H+](CCCNC(=O)[C@H](C)C#N)Cc1nc(C(F)F)no1 ZINC001316886712 872217504 /nfs/dbraw/zinc/21/75/04/872217504.db2.gz IJHBMPMDPXYYNU-SECBINFHSA-N 1 2 315.324 1.495 20 30 DDEDLO CC[N@@H+](CCCNC(=O)[C@H](C)C#N)Cc1nc(C(F)F)no1 ZINC001316886712 872217520 /nfs/dbraw/zinc/21/75/20/872217520.db2.gz IJHBMPMDPXYYNU-SECBINFHSA-N 1 2 315.324 1.495 20 30 DDEDLO Cc1ccnc(C[N@@H+](CCCNC(=O)[C@@H](C)C#N)C2CC2)n1 ZINC001316915002 872349025 /nfs/dbraw/zinc/34/90/25/872349025.db2.gz SKQHZVSUDGQIOB-LBPRGKRZSA-N 1 2 301.394 1.415 20 30 DDEDLO Cc1ccnc(C[N@H+](CCCNC(=O)[C@@H](C)C#N)C2CC2)n1 ZINC001316915002 872349045 /nfs/dbraw/zinc/34/90/45/872349045.db2.gz SKQHZVSUDGQIOB-LBPRGKRZSA-N 1 2 301.394 1.415 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C1CC[NH+](Cc2coc(C)n2)CC1 ZINC001316931257 872404985 /nfs/dbraw/zinc/40/49/85/872404985.db2.gz AEGDYYHBKLMEJW-KRWDZBQOSA-N 1 2 321.421 1.733 20 30 DDEDLO CC(C)(C)CNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C#CC2CC2)C1 ZINC001316944871 872435651 /nfs/dbraw/zinc/43/56/51/872435651.db2.gz OHYTWDYAANHCLC-HNNXBMFYSA-N 1 2 319.449 1.143 20 30 DDEDLO CC(C)(C)CNC(=O)C[N@H+]1CCC[C@H](NC(=O)C#CC2CC2)C1 ZINC001316944871 872435653 /nfs/dbraw/zinc/43/56/53/872435653.db2.gz OHYTWDYAANHCLC-HNNXBMFYSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)Cc2occc2C)C1 ZINC001316947628 872443912 /nfs/dbraw/zinc/44/39/12/872443912.db2.gz MDJQAFUFRSQOEN-CQSZACIVSA-N 1 2 319.405 1.013 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)Cc2occc2C)C1 ZINC001316947628 872443924 /nfs/dbraw/zinc/44/39/24/872443924.db2.gz MDJQAFUFRSQOEN-CQSZACIVSA-N 1 2 319.405 1.013 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCCC[N@@H+]2C)n1CCCOCC ZINC001343119825 872509268 /nfs/dbraw/zinc/50/92/68/872509268.db2.gz KWIWGZWTIJVLAO-OAHLLOKOSA-N 1 2 319.453 1.931 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCCC[N@H+]2C)n1CCCOCC ZINC001343119825 872509278 /nfs/dbraw/zinc/50/92/78/872509278.db2.gz KWIWGZWTIJVLAO-OAHLLOKOSA-N 1 2 319.453 1.931 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001319307125 872554578 /nfs/dbraw/zinc/55/45/78/872554578.db2.gz DLCSAMQTDBQIPG-HUUCEWRRSA-N 1 2 312.454 1.688 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001319307125 872554587 /nfs/dbraw/zinc/55/45/87/872554587.db2.gz DLCSAMQTDBQIPG-HUUCEWRRSA-N 1 2 312.454 1.688 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)CCc2ccnc(C)n2)C1 ZINC001319317332 872564009 /nfs/dbraw/zinc/56/40/09/872564009.db2.gz HFFAZEMQWLUFEA-INIZCTEOSA-N 1 2 318.421 1.111 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)CCc2ccnc(C)n2)C1 ZINC001319317332 872564015 /nfs/dbraw/zinc/56/40/15/872564015.db2.gz HFFAZEMQWLUFEA-INIZCTEOSA-N 1 2 318.421 1.111 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@@H+](Cc2nccn2C)C[C@H]1C ZINC001206778597 872568360 /nfs/dbraw/zinc/56/83/60/872568360.db2.gz AWWORTCKWXRIHM-HUUCEWRRSA-N 1 2 320.437 1.339 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@H+](Cc2nccn2C)C[C@H]1C ZINC001206778597 872568368 /nfs/dbraw/zinc/56/83/68/872568368.db2.gz AWWORTCKWXRIHM-HUUCEWRRSA-N 1 2 320.437 1.339 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)n(C)n2)C[C@H]1C ZINC001206885010 872702867 /nfs/dbraw/zinc/70/28/67/872702867.db2.gz PJOIUDGGVZEEBQ-RHSMWYFYSA-N 1 2 316.449 1.859 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)n(C)n2)C[C@H]1C ZINC001206885010 872702875 /nfs/dbraw/zinc/70/28/75/872702875.db2.gz PJOIUDGGVZEEBQ-RHSMWYFYSA-N 1 2 316.449 1.859 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)c(C)o1 ZINC001206951703 872836790 /nfs/dbraw/zinc/83/67/90/872836790.db2.gz FQDNMDQSZVSDRK-IAQYHMDHSA-N 1 2 301.390 1.641 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)c(C)o1 ZINC001206951703 872836803 /nfs/dbraw/zinc/83/68/03/872836803.db2.gz FQDNMDQSZVSDRK-IAQYHMDHSA-N 1 2 301.390 1.641 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001344236338 872960721 /nfs/dbraw/zinc/96/07/21/872960721.db2.gz JVVKDCJQTAHCEZ-BETUJISGSA-N 1 2 318.421 1.566 20 30 DDEDLO Cn1cc(C[N@@H+]2CCN3C(=O)c4ccccc4[C@H]3C2)cc1C#N ZINC001207104711 873018976 /nfs/dbraw/zinc/01/89/76/873018976.db2.gz WKDGGGSWGZRESK-QGZVFWFLSA-N 1 2 306.369 1.909 20 30 DDEDLO Cn1cc(C[N@H+]2CCN3C(=O)c4ccccc4[C@H]3C2)cc1C#N ZINC001207104711 873018996 /nfs/dbraw/zinc/01/89/96/873018996.db2.gz WKDGGGSWGZRESK-QGZVFWFLSA-N 1 2 306.369 1.909 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](CC(=C)Cl)C[C@H]2C)CCOCC1 ZINC001207483125 873321796 /nfs/dbraw/zinc/32/17/96/873321796.db2.gz AAHHVMPOAICXBC-UKRRQHHQSA-N 1 2 324.852 1.996 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](CC(=C)Cl)C[C@H]2C)CCOCC1 ZINC001207483125 873321812 /nfs/dbraw/zinc/32/18/12/873321812.db2.gz AAHHVMPOAICXBC-UKRRQHHQSA-N 1 2 324.852 1.996 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001207623350 873423326 /nfs/dbraw/zinc/42/33/26/873423326.db2.gz ROJCKGHWGOLSSQ-QWHCGFSZSA-N 1 2 324.450 1.600 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)C#N)n2CC(=C)C)CC1 ZINC001345993400 873585960 /nfs/dbraw/zinc/58/59/60/873585960.db2.gz BOAWSYMEQJYLHL-UHFFFAOYSA-N 1 2 312.421 1.411 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001346102229 873613454 /nfs/dbraw/zinc/61/34/54/873613454.db2.gz LGKSLPQJWPBDIQ-YOEHRIQHSA-N 1 2 319.453 1.612 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC1CC1 ZINC001346102229 873613459 /nfs/dbraw/zinc/61/34/59/873613459.db2.gz LGKSLPQJWPBDIQ-YOEHRIQHSA-N 1 2 319.453 1.612 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@H+](Cc2cn(C)nc2C(F)F)C1 ZINC001378050431 873998032 /nfs/dbraw/zinc/99/80/32/873998032.db2.gz HKCWEDVPJWAJGB-ONGXEEELSA-N 1 2 311.336 1.208 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@@H+](Cc2cn(C)nc2C(F)F)C1 ZINC001378050431 873998040 /nfs/dbraw/zinc/99/80/40/873998040.db2.gz HKCWEDVPJWAJGB-ONGXEEELSA-N 1 2 311.336 1.208 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2nn(CC)nc2C)C[C@H]1C ZINC001208455115 874157502 /nfs/dbraw/zinc/15/75/02/874157502.db2.gz BXAXZQASHOAADA-UKRRQHHQSA-N 1 2 319.453 1.899 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2nn(CC)nc2C)C[C@H]1C ZINC001208455115 874157506 /nfs/dbraw/zinc/15/75/06/874157506.db2.gz BXAXZQASHOAADA-UKRRQHHQSA-N 1 2 319.453 1.899 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)Cn1cc(Cl)cn1 ZINC001378138169 874227506 /nfs/dbraw/zinc/22/75/06/874227506.db2.gz IFQJSYYMJBKFLN-JTQLQIEISA-N 1 2 305.209 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)Cn1cc(Cl)cn1 ZINC001378138169 874227493 /nfs/dbraw/zinc/22/74/93/874227493.db2.gz IFQJSYYMJBKFLN-JTQLQIEISA-N 1 2 305.209 1.726 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+](Cc2nccn2CC)CC1 ZINC001227076568 882813937 /nfs/dbraw/zinc/81/39/37/882813937.db2.gz OBTHPULUIDGNDC-UHFFFAOYSA-N 1 2 302.422 1.787 20 30 DDEDLO C=C[C@@H](C(=O)N(C)C[C@@H](O)C[NH+]1CCOCC1)c1ccccc1 ZINC001348091892 874356094 /nfs/dbraw/zinc/35/60/94/874356094.db2.gz ZLEGEBBCQAFNJT-IAGOWNOFSA-N 1 2 318.417 1.108 20 30 DDEDLO C#Cc1cccc(CNC(=O)NC[C@H](C)[NH+]2CCN(C)CC2)c1 ZINC001348440466 874559251 /nfs/dbraw/zinc/55/92/51/874559251.db2.gz QEGHLDSGOHTDSO-HNNXBMFYSA-N 1 2 314.433 1.103 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001349238594 875053622 /nfs/dbraw/zinc/05/36/22/875053622.db2.gz PFDUMKVUUOUNSU-GUYCJALGSA-N 1 2 318.421 1.280 20 30 DDEDLO Cc1ccnc(C[NH+]2CCC(NC(=O)C#CC(C)(C)C)CC2)n1 ZINC001227280629 882949989 /nfs/dbraw/zinc/94/99/89/882949989.db2.gz JVBMBPYVTGJVDK-UHFFFAOYSA-N 1 2 314.433 1.915 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccns2)C[C@H]1OC ZINC001213357146 875906584 /nfs/dbraw/zinc/90/65/84/875906584.db2.gz IQGINJBEVVDDPA-ZIAGYGMSSA-N 1 2 309.435 1.815 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccns2)C[C@H]1OC ZINC001213357146 875906597 /nfs/dbraw/zinc/90/65/97/875906597.db2.gz IQGINJBEVVDDPA-ZIAGYGMSSA-N 1 2 309.435 1.815 20 30 DDEDLO CN(CC[N@H+](C)Cc1cc(C#N)ccc1F)C(=O)[C@H]1CCOC1 ZINC001379024158 876158266 /nfs/dbraw/zinc/15/82/66/876158266.db2.gz GWWRBYUHAVLIHC-AWEZNQCLSA-N 1 2 319.380 1.624 20 30 DDEDLO CN(CC[N@@H+](C)Cc1cc(C#N)ccc1F)C(=O)[C@H]1CCOC1 ZINC001379024158 876158279 /nfs/dbraw/zinc/15/82/79/876158279.db2.gz GWWRBYUHAVLIHC-AWEZNQCLSA-N 1 2 319.380 1.624 20 30 DDEDLO C#CCN(C)c1nnc(C2CC[NH+](CCC#N)CC2)n1CC=C ZINC001351950419 876530641 /nfs/dbraw/zinc/53/06/41/876530641.db2.gz ZEIVUFDUPCYMRT-UHFFFAOYSA-N 1 2 312.421 1.627 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001353640472 877460566 /nfs/dbraw/zinc/46/05/66/877460566.db2.gz DNRFEHXDDCYUTL-JOCQHMNTSA-N 1 2 318.421 1.328 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccnn3C)C[C@@H]21 ZINC001218566493 877577415 /nfs/dbraw/zinc/57/74/15/877577415.db2.gz PVBJCFUTGMPTGZ-JKSUJKDBSA-N 1 2 318.421 1.188 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3ccnn3C)C[C@@H]21 ZINC001218566493 877577432 /nfs/dbraw/zinc/57/74/32/877577432.db2.gz PVBJCFUTGMPTGZ-JKSUJKDBSA-N 1 2 318.421 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCNC(=O)NC)[C@@H]1C ZINC001379632937 877589018 /nfs/dbraw/zinc/58/90/18/877589018.db2.gz AQLHHTXIIBESDJ-RYUDHWBXSA-N 1 2 316.833 1.027 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCNC(=O)NC)[C@@H]1C ZINC001379632937 877589041 /nfs/dbraw/zinc/58/90/41/877589041.db2.gz AQLHHTXIIBESDJ-RYUDHWBXSA-N 1 2 316.833 1.027 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCOc3ccccc3)[C@H]2C1 ZINC001219033191 877879649 /nfs/dbraw/zinc/87/96/49/877879649.db2.gz CFAMCGCKGJZVOO-DLBZAZTESA-N 1 2 314.385 1.000 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCOc3ccccc3)[C@H]2C1 ZINC001219033191 877879660 /nfs/dbraw/zinc/87/96/60/877879660.db2.gz CFAMCGCKGJZVOO-DLBZAZTESA-N 1 2 314.385 1.000 20 30 DDEDLO CC/C=C(/C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219298359 878102874 /nfs/dbraw/zinc/10/28/74/878102874.db2.gz RVZVYLCCDOXRHF-MBOJPDMZSA-N 1 2 319.430 1.637 20 30 DDEDLO CC/C=C(/C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219298359 878102879 /nfs/dbraw/zinc/10/28/79/878102879.db2.gz RVZVYLCCDOXRHF-MBOJPDMZSA-N 1 2 319.430 1.637 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@@H]1O ZINC001219332780 878127581 /nfs/dbraw/zinc/12/75/81/878127581.db2.gz HVQFORDRKYIWLQ-CABCVRRESA-N 1 2 308.809 1.968 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@@H]1O ZINC001219332780 878127589 /nfs/dbraw/zinc/12/75/89/878127589.db2.gz HVQFORDRKYIWLQ-CABCVRRESA-N 1 2 308.809 1.968 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@H]2CCC[C@@H]2OC)CC1 ZINC001300685862 878138277 /nfs/dbraw/zinc/13/82/77/878138277.db2.gz TVGWYRKFRPPJIO-CVEARBPZSA-N 1 2 317.437 1.157 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001219482018 878264186 /nfs/dbraw/zinc/26/41/86/878264186.db2.gz HSTXNOVURDSOOT-CABCVRRESA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001219482018 878264202 /nfs/dbraw/zinc/26/42/02/878264202.db2.gz HSTXNOVURDSOOT-CABCVRRESA-N 1 2 321.446 1.306 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220190534 878802798 /nfs/dbraw/zinc/80/27/98/878802798.db2.gz PJGBCDNKXVPVGA-GLQYFDAESA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220190534 878802810 /nfs/dbraw/zinc/80/28/10/878802810.db2.gz PJGBCDNKXVPVGA-GLQYFDAESA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220247720 878848776 /nfs/dbraw/zinc/84/87/76/878848776.db2.gz QOYSWZAZOFZDTO-KGLIPLIRSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220247720 878848784 /nfs/dbraw/zinc/84/87/84/878848784.db2.gz QOYSWZAZOFZDTO-KGLIPLIRSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCC1(C(=O)NCCN(CC)C(=O)Cc2c[nH+]c[nH]2)CCC1 ZINC001356198859 878918333 /nfs/dbraw/zinc/91/83/33/878918333.db2.gz ILTSZTPOEAUDAK-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@@H]1O ZINC001220434424 879008292 /nfs/dbraw/zinc/00/82/92/879008292.db2.gz JFQINWRYBQAKPV-YUELXQCFSA-N 1 2 318.421 1.301 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@@H]1O ZINC001220434424 879008314 /nfs/dbraw/zinc/00/83/14/879008314.db2.gz JFQINWRYBQAKPV-YUELXQCFSA-N 1 2 318.421 1.301 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC)Cc2ccccc2C)[C@@H](O)C1 ZINC001220759915 879236492 /nfs/dbraw/zinc/23/64/92/879236492.db2.gz RHZCQXWFSGWPQX-NXHRZFHOSA-N 1 2 314.429 1.358 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)Cc2ccccc2C)[C@@H](O)C1 ZINC001220759915 879236506 /nfs/dbraw/zinc/23/65/06/879236506.db2.gz RHZCQXWFSGWPQX-NXHRZFHOSA-N 1 2 314.429 1.358 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CCCC(C)(C)C2)[C@@H](O)C1 ZINC001221118997 879511949 /nfs/dbraw/zinc/51/19/49/879511949.db2.gz OFFZVRCEKDMXQO-XHSDSOJGSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCC(C)(C)C2)[C@@H](O)C1 ZINC001221118997 879511955 /nfs/dbraw/zinc/51/19/55/879511955.db2.gz OFFZVRCEKDMXQO-XHSDSOJGSA-N 1 2 322.449 1.014 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001222067192 880208104 /nfs/dbraw/zinc/20/81/04/880208104.db2.gz DSIUAJPYJWBCHG-VXGBXAGGSA-N 1 2 310.423 1.210 20 30 DDEDLO C=CC(=O)OC[C@@H](COC(=O)C(=C)C)OCCn1cc[nH+]c1 ZINC001222134629 880277343 /nfs/dbraw/zinc/27/73/43/880277343.db2.gz IBXALXCDMXEQMX-ZDUSSCGKSA-N 1 2 308.334 1.117 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1CC[NH+](Cc2nnnn2C)CC1 ZINC001223311629 880914002 /nfs/dbraw/zinc/91/40/02/880914002.db2.gz MFVZGQBSCYKCAN-UHFFFAOYSA-N 1 2 320.441 1.141 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)CC1CC(C)(C)C1 ZINC001276908457 881045014 /nfs/dbraw/zinc/04/50/14/881045014.db2.gz IPVHOKIMMVUBQY-UKRRQHHQSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)CC1CC(C)(C)C1 ZINC001276908457 881045028 /nfs/dbraw/zinc/04/50/28/881045028.db2.gz IPVHOKIMMVUBQY-UKRRQHHQSA-N 1 2 321.465 1.694 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@@H+]1Cc1cn(C)nc1C ZINC001276909548 881050119 /nfs/dbraw/zinc/05/01/19/881050119.db2.gz RNQHFPKXICQWDO-MRXNPFEDSA-N 1 2 302.422 1.613 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@H+]1Cc1cn(C)nc1C ZINC001276909548 881050132 /nfs/dbraw/zinc/05/01/32/881050132.db2.gz RNQHFPKXICQWDO-MRXNPFEDSA-N 1 2 302.422 1.613 20 30 DDEDLO COC(=O)c1ccc(C)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001228196782 883383827 /nfs/dbraw/zinc/38/38/27/883383827.db2.gz ORWGZZHRMRWLBS-UCHAVSLVSA-N 1 2 303.358 1.773 20 30 DDEDLO COC(=O)c1ccc(C)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001228196782 883383842 /nfs/dbraw/zinc/38/38/42/883383842.db2.gz ORWGZZHRMRWLBS-UCHAVSLVSA-N 1 2 303.358 1.773 20 30 DDEDLO CN(C)C(=O)c1ccccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001228342937 883457443 /nfs/dbraw/zinc/45/74/43/883457443.db2.gz NNVBHAJEFRLVRT-UCHAVSLVSA-N 1 2 302.374 1.380 20 30 DDEDLO CN(C)C(=O)c1ccccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001228342937 883457449 /nfs/dbraw/zinc/45/74/49/883457449.db2.gz NNVBHAJEFRLVRT-UCHAVSLVSA-N 1 2 302.374 1.380 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)C[C@H]2CCOC2)CC1 ZINC001277388130 883990536 /nfs/dbraw/zinc/99/05/36/883990536.db2.gz GLMGFFZYNYRPLY-OAHLLOKOSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)C[C@H]2CCOC2)CC1 ZINC001277388130 883990552 /nfs/dbraw/zinc/99/05/52/883990552.db2.gz GLMGFFZYNYRPLY-OAHLLOKOSA-N 1 2 305.422 1.640 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2nncn2C)CC1 ZINC001230415839 884483676 /nfs/dbraw/zinc/48/36/76/884483676.db2.gz ZGCXJDOVLKDEJZ-QWHCGFSZSA-N 1 2 321.425 1.048 20 30 DDEDLO N#CCSCC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230519298 884531994 /nfs/dbraw/zinc/53/19/94/884531994.db2.gz QBRQBDVQRPIPTJ-CQSZACIVSA-N 1 2 306.435 1.470 20 30 DDEDLO N#CCSCC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230519298 884532017 /nfs/dbraw/zinc/53/20/17/884532017.db2.gz QBRQBDVQRPIPTJ-CQSZACIVSA-N 1 2 306.435 1.470 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1CCCC1 ZINC001230556746 884578543 /nfs/dbraw/zinc/57/85/43/884578543.db2.gz PFJCVVUCWICAOC-HIFRSBDPSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1CCCC1 ZINC001230556746 884578551 /nfs/dbraw/zinc/57/85/51/884578551.db2.gz PFJCVVUCWICAOC-HIFRSBDPSA-N 1 2 307.438 1.400 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC1CC1 ZINC001230584326 884618149 /nfs/dbraw/zinc/61/81/49/884618149.db2.gz LLFJPAGWAUOGSE-HNNXBMFYSA-N 1 2 320.437 1.081 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC1CC1 ZINC001230584326 884618158 /nfs/dbraw/zinc/61/81/58/884618158.db2.gz LLFJPAGWAUOGSE-HNNXBMFYSA-N 1 2 320.437 1.081 20 30 DDEDLO COCCO[C@H](C)C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230604898 884644009 /nfs/dbraw/zinc/64/40/09/884644009.db2.gz KGJTXYHVQJPONO-GDBMZVCRSA-N 1 2 323.437 1.264 20 30 DDEDLO COCCO[C@H](C)C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230604898 884644013 /nfs/dbraw/zinc/64/40/13/884644013.db2.gz KGJTXYHVQJPONO-GDBMZVCRSA-N 1 2 323.437 1.264 20 30 DDEDLO COCCO[C@H](C)C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230604901 884644444 /nfs/dbraw/zinc/64/44/44/884644444.db2.gz KGJTXYHVQJPONO-ZBFHGGJFSA-N 1 2 323.437 1.264 20 30 DDEDLO COCCO[C@H](C)C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230604901 884644452 /nfs/dbraw/zinc/64/44/52/884644452.db2.gz KGJTXYHVQJPONO-ZBFHGGJFSA-N 1 2 323.437 1.264 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C=C(C)C ZINC001230695265 884752707 /nfs/dbraw/zinc/75/27/07/884752707.db2.gz OCQGJBJVVUGIGK-INIZCTEOSA-N 1 2 321.465 1.910 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C=C(C)C ZINC001230695265 884752716 /nfs/dbraw/zinc/75/27/16/884752716.db2.gz OCQGJBJVVUGIGK-INIZCTEOSA-N 1 2 321.465 1.910 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CC[C@@H]2C[C@@]21C(=O)NC1(C#N)CCC1 ZINC001277602310 886032999 /nfs/dbraw/zinc/03/29/99/886032999.db2.gz XKIGQRHHWWZEIY-WBMJQRKESA-N 1 2 300.362 1.510 20 30 DDEDLO Cc1ncoc1C[N@H+]1CC[C@@H]2C[C@@]21C(=O)NC1(C#N)CCC1 ZINC001277602310 886033013 /nfs/dbraw/zinc/03/30/13/886033013.db2.gz XKIGQRHHWWZEIY-WBMJQRKESA-N 1 2 300.362 1.510 20 30 DDEDLO N#Cc1ccc(CC[N@H+]2Cc3ccnn3CC[C@H]2C(N)=O)cc1 ZINC001277642620 886213808 /nfs/dbraw/zinc/21/38/08/886213808.db2.gz AFHOWGITPDIXCA-INIZCTEOSA-N 1 2 309.373 1.057 20 30 DDEDLO N#Cc1ccc(CC[N@@H+]2Cc3ccnn3CC[C@H]2C(N)=O)cc1 ZINC001277642620 886213825 /nfs/dbraw/zinc/21/38/25/886213825.db2.gz AFHOWGITPDIXCA-INIZCTEOSA-N 1 2 309.373 1.057 20 30 DDEDLO N#Cc1ccc(N2CC3(CC[N@H+]3Cc3cccc(=O)[nH]3)C2)nc1 ZINC001277686956 886489857 /nfs/dbraw/zinc/48/98/57/886489857.db2.gz BEWAPQFRUABYOL-UHFFFAOYSA-N 1 2 307.357 1.519 20 30 DDEDLO N#Cc1ccc(N2CC3(CC[N@@H+]3Cc3cccc(=O)[nH]3)C2)nc1 ZINC001277686956 886489861 /nfs/dbraw/zinc/48/98/61/886489861.db2.gz BEWAPQFRUABYOL-UHFFFAOYSA-N 1 2 307.357 1.519 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(C#N)cc2C)CCN1C(C)=O ZINC001233083488 886778436 /nfs/dbraw/zinc/77/84/36/886778436.db2.gz NDSJXDJGLKNQDH-INIZCTEOSA-N 1 2 315.373 1.072 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2C)CCN1C(C)=O ZINC001233083488 886778447 /nfs/dbraw/zinc/77/84/47/886778447.db2.gz NDSJXDJGLKNQDH-INIZCTEOSA-N 1 2 315.373 1.072 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@H](CC#N)C2)cc1S(N)(=O)=O ZINC001233303840 886912364 /nfs/dbraw/zinc/91/23/64/886912364.db2.gz OVVRJNKUXFPXTD-GFCCVEGCSA-N 1 2 323.418 1.468 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@H](CC#N)C2)cc1S(N)(=O)=O ZINC001233303840 886912378 /nfs/dbraw/zinc/91/23/78/886912378.db2.gz OVVRJNKUXFPXTD-GFCCVEGCSA-N 1 2 323.418 1.468 20 30 DDEDLO Cc1nc(N[C@@H]2COCC[C@@H]2NC(=O)CC2(C#N)CC2)cc[nH+]1 ZINC001363688834 886981839 /nfs/dbraw/zinc/98/18/39/886981839.db2.gz JOSCGISEQXYWJN-QWHCGFSZSA-N 1 2 315.377 1.165 20 30 DDEDLO CCOC(=O)C1(C#N)CC[NH+](Cc2cc(O)c(O)cc2O)CC1 ZINC001233486722 887022688 /nfs/dbraw/zinc/02/26/88/887022688.db2.gz ZFKRCEKAJJBWSM-UHFFFAOYSA-N 1 2 320.345 1.472 20 30 DDEDLO CCc1cc(N(C)CCCc2[nH]nc(N)c2C#N)nc(CC)[nH+]1 ZINC001363740687 887113246 /nfs/dbraw/zinc/11/32/46/887113246.db2.gz ZEKGVNKRGQOKAT-UHFFFAOYSA-N 1 2 313.409 1.847 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)ns1 ZINC001233976538 887514501 /nfs/dbraw/zinc/51/45/01/887514501.db2.gz GLYDWODYJBHYOC-CZUORRHYSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)ns1 ZINC001233976538 887514512 /nfs/dbraw/zinc/51/45/12/887514512.db2.gz GLYDWODYJBHYOC-CZUORRHYSA-N 1 2 323.462 1.811 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)c1cc[nH]c1CC ZINC001234030063 887568159 /nfs/dbraw/zinc/56/81/59/887568159.db2.gz NRNDGHHZJJGGPC-ZDUSSCGKSA-N 1 2 318.421 1.026 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc[nH]c1CC ZINC001234030063 887568165 /nfs/dbraw/zinc/56/81/65/887568165.db2.gz NRNDGHHZJJGGPC-ZDUSSCGKSA-N 1 2 318.421 1.026 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234196327 887737776 /nfs/dbraw/zinc/73/77/76/887737776.db2.gz RIADSVMCYMPJKT-OAHLLOKOSA-N 1 2 321.465 1.437 20 30 DDEDLO CCN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234196327 887737790 /nfs/dbraw/zinc/73/77/90/887737790.db2.gz RIADSVMCYMPJKT-OAHLLOKOSA-N 1 2 321.465 1.437 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2cccc(Cl)c2C#N)c[nH+]1 ZINC001364060059 887824201 /nfs/dbraw/zinc/82/42/01/887824201.db2.gz HUSMWTVTGMDBPU-UHFFFAOYSA-N 1 2 324.793 1.976 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001234603527 888134767 /nfs/dbraw/zinc/13/47/67/888134767.db2.gz DKNHBMRSRMAVME-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001234603527 888134772 /nfs/dbraw/zinc/13/47/72/888134772.db2.gz DKNHBMRSRMAVME-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1c(C)nnn1CC ZINC001234604104 888135475 /nfs/dbraw/zinc/13/54/75/888135475.db2.gz KRDVSBZQTUSSMM-OAHLLOKOSA-N 1 2 319.453 1.995 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1c(C)nnn1CC ZINC001234604104 888135485 /nfs/dbraw/zinc/13/54/85/888135485.db2.gz KRDVSBZQTUSSMM-OAHLLOKOSA-N 1 2 319.453 1.995 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1cn(CC)nn1 ZINC001235228421 888488826 /nfs/dbraw/zinc/48/88/26/888488826.db2.gz KGIZJXIANOTIIV-AWEZNQCLSA-N 1 2 323.441 1.217 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1cn(CC)nn1 ZINC001235228421 888488828 /nfs/dbraw/zinc/48/88/28/888488828.db2.gz KGIZJXIANOTIIV-AWEZNQCLSA-N 1 2 323.441 1.217 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1nc(CC)no1 ZINC001235230422 888492562 /nfs/dbraw/zinc/49/25/62/888492562.db2.gz TUSDWLVMUCZJKA-ZDUSSCGKSA-N 1 2 324.425 1.551 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1nc(CC)no1 ZINC001235230422 888492574 /nfs/dbraw/zinc/49/25/74/888492574.db2.gz TUSDWLVMUCZJKA-ZDUSSCGKSA-N 1 2 324.425 1.551 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001364436191 888632444 /nfs/dbraw/zinc/63/24/44/888632444.db2.gz PWFNAGKWCFHABO-NWDGAFQWSA-N 1 2 305.382 1.135 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001364436191 888632454 /nfs/dbraw/zinc/63/24/54/888632454.db2.gz PWFNAGKWCFHABO-NWDGAFQWSA-N 1 2 305.382 1.135 20 30 DDEDLO C=CC1(CC(=O)NC[C@H](CO)[NH2+]Cc2ccon2)CCCCC1 ZINC001278172603 889965923 /nfs/dbraw/zinc/96/59/23/889965923.db2.gz WYPUCYJOZSURRV-OAHLLOKOSA-N 1 2 321.421 1.768 20 30 DDEDLO C[NH+](C)Cc1nc(CNC(=O)Nc2ccnc(C#N)c2)co1 ZINC001365072280 890041315 /nfs/dbraw/zinc/04/13/15/890041315.db2.gz WAIOPNNDBWKKQQ-UHFFFAOYSA-N 1 2 300.322 1.325 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3cncnc3)CC2)ccc1C#N ZINC001238412712 890202708 /nfs/dbraw/zinc/20/27/08/890202708.db2.gz IIKVISZBSFJOJS-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CCCCCCCCCCS(=O)(=O)N[C@@H]1C[NH2+]CCC1=O ZINC001238623517 890291755 /nfs/dbraw/zinc/29/17/55/890291755.db2.gz BAHKMZBAFDOOMM-CQSZACIVSA-N 1 2 318.483 1.978 20 30 DDEDLO CCc1cc(C[NH+]2CCN(CC(=O)N(C)C)CC2)ccc1C#N ZINC001238774496 890339344 /nfs/dbraw/zinc/33/93/44/890339344.db2.gz JIJQAAIRXDCKDW-UHFFFAOYSA-N 1 2 314.433 1.326 20 30 DDEDLO N#Cc1ccc2[nH]cc(C[N@@H+]3CCc4n[nH]c(C(N)=O)c4C3)c2c1 ZINC001278285278 890374950 /nfs/dbraw/zinc/37/49/50/890374950.db2.gz IGTXAUHQNSPEEX-UHFFFAOYSA-N 1 2 320.356 1.420 20 30 DDEDLO N#Cc1ccc2[nH]cc(C[N@H+]3CCc4n[nH]c(C(N)=O)c4C3)c2c1 ZINC001278285278 890374966 /nfs/dbraw/zinc/37/49/66/890374966.db2.gz IGTXAUHQNSPEEX-UHFFFAOYSA-N 1 2 320.356 1.420 20 30 DDEDLO COc1cc(C[NH+]2CC3(CN(Cc4cn[nH]c4)C3)C2)ccc1C#N ZINC001278286256 890400452 /nfs/dbraw/zinc/40/04/52/890400452.db2.gz PWQKRCWXSXJQJU-UHFFFAOYSA-N 1 2 323.400 1.608 20 30 DDEDLO COc1cc(CN2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)ccc1C#N ZINC001278286256 890400463 /nfs/dbraw/zinc/40/04/63/890400463.db2.gz PWQKRCWXSXJQJU-UHFFFAOYSA-N 1 2 323.400 1.608 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnon1 ZINC001278310042 890863774 /nfs/dbraw/zinc/86/37/74/890863774.db2.gz ONKTUSRLHYKDQY-CABCVRRESA-N 1 2 302.378 1.294 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnon1 ZINC001278310042 890863785 /nfs/dbraw/zinc/86/37/85/890863785.db2.gz ONKTUSRLHYKDQY-CABCVRRESA-N 1 2 302.378 1.294 20 30 DDEDLO COCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365965950 892061136 /nfs/dbraw/zinc/06/11/36/892061136.db2.gz GOORYJNFEBCULC-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO COCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365965950 892061151 /nfs/dbraw/zinc/06/11/51/892061151.db2.gz GOORYJNFEBCULC-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)[C@H]1C ZINC001278510647 893755597 /nfs/dbraw/zinc/75/55/97/893755597.db2.gz NVWGSZWGYYVRPW-KBPBESRZSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)[C@H]1C ZINC001278510647 893755607 /nfs/dbraw/zinc/75/56/07/893755607.db2.gz NVWGSZWGYYVRPW-KBPBESRZSA-N 1 2 320.437 1.198 20 30 DDEDLO C[N@H+](CCNC(=O)CC1(O)CCC1)Cc1ccccc1C#N ZINC001366532049 893911378 /nfs/dbraw/zinc/91/13/78/893911378.db2.gz UTIQQLLONGFBGQ-UHFFFAOYSA-N 1 2 301.390 1.411 20 30 DDEDLO C[N@@H+](CCNC(=O)CC1(O)CCC1)Cc1ccccc1C#N ZINC001366532049 893911389 /nfs/dbraw/zinc/91/13/89/893911389.db2.gz UTIQQLLONGFBGQ-UHFFFAOYSA-N 1 2 301.390 1.411 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@H+](CC(N)=O)[C@@H]2C)CCCC1 ZINC001278533324 894116279 /nfs/dbraw/zinc/11/62/79/894116279.db2.gz QGSDKXJERDLLSO-ZIAGYGMSSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@@H+](CC(N)=O)[C@@H]2C)CCCC1 ZINC001278533324 894116285 /nfs/dbraw/zinc/11/62/85/894116285.db2.gz QGSDKXJERDLLSO-ZIAGYGMSSA-N 1 2 307.438 1.577 20 30 DDEDLO CC(C)c1ccc(NC[C@@H](O)C[NH+]2CCOCC2)c(C#N)c1 ZINC001251026803 894579065 /nfs/dbraw/zinc/57/90/65/894579065.db2.gz XKZFTLNXGPZQOB-MRXNPFEDSA-N 1 2 303.406 1.787 20 30 DDEDLO Cc1c(Cl)c(C#N)ccc1NC[C@H](O)C[NH+]1CCOCC1 ZINC001251028688 894582025 /nfs/dbraw/zinc/58/20/25/894582025.db2.gz MRUKKPYDIGAZLW-ZDUSSCGKSA-N 1 2 309.797 1.625 20 30 DDEDLO C#CCOC[C@H](O)CNc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC001251824319 894826300 /nfs/dbraw/zinc/82/63/00/894826300.db2.gz LYQTWJCGSBNKOL-IJEWVQPXSA-N 1 2 319.405 1.118 20 30 DDEDLO Cc1ccnc(C[NH2+]Cc2ccc(CNC(=O)[C@@H](C)C#N)cc2)n1 ZINC001367201347 896125693 /nfs/dbraw/zinc/12/56/93/896125693.db2.gz KBCUVLCQPVMDAR-ZDUSSCGKSA-N 1 2 323.400 1.851 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1[C@@H]1Cc2ccc(Br)cc2C1 ZINC001254278437 896334687 /nfs/dbraw/zinc/33/46/87/896334687.db2.gz KIDPEALKRALRPI-KGLIPLIRSA-N 1 2 306.207 1.714 20 30 DDEDLO C=C[C@@H]1C[C@@]1(NC1CC[NH+](CC(=O)OCC)CC1)C(=O)OCC ZINC001254399221 896419855 /nfs/dbraw/zinc/41/98/55/896419855.db2.gz HBABHGUVFZZOMH-DYVFJYSZSA-N 1 2 324.421 1.111 20 30 DDEDLO C=CCOC[C@@H]1C[N@@H+]([C@H](C)COC)Cc2nnn(CC3CC3)c21 ZINC001255574425 897019566 /nfs/dbraw/zinc/01/95/66/897019566.db2.gz ZGKAABNAYHGHBF-HIFRSBDPSA-N 1 2 320.437 1.825 20 30 DDEDLO C=CCOC[C@@H]1C[N@H+]([C@H](C)COC)Cc2nnn(CC3CC3)c21 ZINC001255574425 897019578 /nfs/dbraw/zinc/01/95/78/897019578.db2.gz ZGKAABNAYHGHBF-HIFRSBDPSA-N 1 2 320.437 1.825 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H](O)C[N@H+](C)Cc2nocc2C)C1 ZINC001278874047 897039672 /nfs/dbraw/zinc/03/96/72/897039672.db2.gz SVUCOVDUIBKBHZ-CQSZACIVSA-N 1 2 321.421 1.590 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc2nocc2C)C1 ZINC001278874047 897039689 /nfs/dbraw/zinc/03/96/89/897039689.db2.gz SVUCOVDUIBKBHZ-CQSZACIVSA-N 1 2 321.421 1.590 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC[N@H+](C)Cc1cc(C)no1)C(C)C ZINC001278904379 897209751 /nfs/dbraw/zinc/20/97/51/897209751.db2.gz KHWHXWJPNKLNRJ-QGZVFWFLSA-N 1 2 323.437 1.979 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC[N@@H+](C)Cc1cc(C)no1)C(C)C ZINC001278904379 897209763 /nfs/dbraw/zinc/20/97/63/897209763.db2.gz KHWHXWJPNKLNRJ-QGZVFWFLSA-N 1 2 323.437 1.979 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001281057155 898627211 /nfs/dbraw/zinc/62/72/11/898627211.db2.gz FYLXJZSLNGZZKX-ZDUSSCGKSA-N 1 2 304.394 1.109 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN2C(=O)C(C)(C)C)CC1 ZINC001261283185 899450103 /nfs/dbraw/zinc/45/01/03/899450103.db2.gz LFZIRYBLFFPLPK-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C1CCC(CNC(=O)C(=O)N2CC[NH+](C3CC3)CC2)CC1 ZINC001262311610 900098566 /nfs/dbraw/zinc/09/85/66/900098566.db2.gz FYYHNHNMVKHYPN-UHFFFAOYSA-N 1 2 305.422 1.156 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)C[C@H]1CCOC[C@@H]1OC ZINC001390917465 900509370 /nfs/dbraw/zinc/50/93/70/900509370.db2.gz YQIUYORRNPFQFS-KGLIPLIRSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)C[C@H]1CCOC[C@@H]1OC ZINC001390917465 900509382 /nfs/dbraw/zinc/50/93/82/900509382.db2.gz YQIUYORRNPFQFS-KGLIPLIRSA-N 1 2 318.845 1.619 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)C#CC2CC2)CC[N@@H+]1Cc1nocc1C ZINC001264051638 900896236 /nfs/dbraw/zinc/89/62/36/900896236.db2.gz KLUVPQZLBUTGJI-GOEBONIOSA-N 1 2 301.390 1.866 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)C#CC2CC2)CC[N@H+]1Cc1nocc1C ZINC001264051638 900896246 /nfs/dbraw/zinc/89/62/46/900896246.db2.gz KLUVPQZLBUTGJI-GOEBONIOSA-N 1 2 301.390 1.866 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2CC(=O)NC(C)C)CC1 ZINC001264370968 901051582 /nfs/dbraw/zinc/05/15/82/901051582.db2.gz NDEHGFLMUVHBOF-MRXNPFEDSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2CC(=O)NC(C)C)CC1 ZINC001264370968 901051570 /nfs/dbraw/zinc/05/15/70/901051570.db2.gz NDEHGFLMUVHBOF-MRXNPFEDSA-N 1 2 321.465 1.790 20 30 DDEDLO CC[C@@H](C)CC(=O)NC[C@@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001369319798 901056250 /nfs/dbraw/zinc/05/62/50/901056250.db2.gz AIIVXBGTJAMYLJ-WBMJQRKESA-N 1 2 321.396 1.700 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@H]1CCc2nncn2C1)C1CC1 ZINC001391198977 901199531 /nfs/dbraw/zinc/19/95/31/901199531.db2.gz OLLZQVCQSISKTD-LBPRGKRZSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@H]1CCc2nncn2C1)C1CC1 ZINC001391198977 901199544 /nfs/dbraw/zinc/19/95/44/901199544.db2.gz OLLZQVCQSISKTD-LBPRGKRZSA-N 1 2 323.828 1.174 20 30 DDEDLO CCc1nocc1C[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001265213004 901718109 /nfs/dbraw/zinc/71/81/09/901718109.db2.gz KHMXCRLLENSDFM-OAHLLOKOSA-N 1 2 303.406 1.977 20 30 DDEDLO CCc1nocc1C[N@H+]1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001265213004 901718121 /nfs/dbraw/zinc/71/81/21/901718121.db2.gz KHMXCRLLENSDFM-OAHLLOKOSA-N 1 2 303.406 1.977 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2CCCCCCC2)C1 ZINC001265292413 901840780 /nfs/dbraw/zinc/84/07/80/901840780.db2.gz MGBFXNWMGBXDQA-INIZCTEOSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2CCCCCCC2)C1 ZINC001265292413 901840794 /nfs/dbraw/zinc/84/07/94/901840794.db2.gz MGBFXNWMGBXDQA-INIZCTEOSA-N 1 2 319.449 1.287 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2ncoc2C(C)(C)C)C1 ZINC001265310760 901868991 /nfs/dbraw/zinc/86/89/91/901868991.db2.gz UYEIFHZSXGPJJR-ZDUSSCGKSA-N 1 2 319.405 1.426 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2ncoc2C(C)(C)C)C1 ZINC001265310760 901869000 /nfs/dbraw/zinc/86/90/00/901869000.db2.gz UYEIFHZSXGPJJR-ZDUSSCGKSA-N 1 2 319.405 1.426 20 30 DDEDLO CCC1(C(=O)N[C@H]2CC[N@H+](CC(=O)NCC#N)C2)CCCCC1 ZINC001265319819 901882034 /nfs/dbraw/zinc/88/20/34/901882034.db2.gz RZKXHYQMNKFBSN-AWEZNQCLSA-N 1 2 320.437 1.177 20 30 DDEDLO CCC1(C(=O)N[C@H]2CC[N@@H+](CC(=O)NCC#N)C2)CCCCC1 ZINC001265319819 901882042 /nfs/dbraw/zinc/88/20/42/901882042.db2.gz RZKXHYQMNKFBSN-AWEZNQCLSA-N 1 2 320.437 1.177 20 30 DDEDLO CC(C)CN(C(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)C#N)C1)C(C)C ZINC001369973574 902318863 /nfs/dbraw/zinc/31/88/63/902318863.db2.gz ZTSOVIBGQWULMM-GJZGRUSLSA-N 1 2 322.453 1.230 20 30 DDEDLO CC(C)CN(C(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)C#N)C1)C(C)C ZINC001369973574 902318875 /nfs/dbraw/zinc/31/88/75/902318875.db2.gz ZTSOVIBGQWULMM-GJZGRUSLSA-N 1 2 322.453 1.230 20 30 DDEDLO C[C@@H](C#N)C(=O)NCc1ccc(C[NH2+]Cc2nncs2)cc1 ZINC001391829908 902682439 /nfs/dbraw/zinc/68/24/39/902682439.db2.gz XEGJYTSAPSPEJA-NSHDSACASA-N 1 2 315.402 1.604 20 30 DDEDLO CCN(CC#N)CCCNC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1 ZINC001266046052 902832022 /nfs/dbraw/zinc/83/20/22/902832022.db2.gz VVTBZQPWCFMJLH-OAHLLOKOSA-N 1 2 318.425 1.142 20 30 DDEDLO CCN(CC#N)CCCNC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1 ZINC001266046052 902832032 /nfs/dbraw/zinc/83/20/32/902832032.db2.gz VVTBZQPWCFMJLH-OAHLLOKOSA-N 1 2 318.425 1.142 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CCC[N@H+](Cc2ccc(F)cn2)C1 ZINC001266218280 903132093 /nfs/dbraw/zinc/13/20/93/903132093.db2.gz NYHGXWIVYGNTAX-BBRMVZONSA-N 1 2 319.380 1.340 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001266218280 903132100 /nfs/dbraw/zinc/13/21/00/903132100.db2.gz NYHGXWIVYGNTAX-BBRMVZONSA-N 1 2 319.380 1.340 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)o1 ZINC001279521469 903301076 /nfs/dbraw/zinc/30/10/76/903301076.db2.gz WAVDGLIYAPULAB-DGCLKSJQSA-N 1 2 302.378 1.952 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)o1 ZINC001279521469 903301086 /nfs/dbraw/zinc/30/10/86/903301086.db2.gz WAVDGLIYAPULAB-DGCLKSJQSA-N 1 2 302.378 1.952 20 30 DDEDLO CC(C)C#CC(=O)NCC(C)(C)CN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001280405099 903641486 /nfs/dbraw/zinc/64/14/86/903641486.db2.gz DCLTUDCFRUPNRJ-UHFFFAOYSA-N 1 2 318.421 1.212 20 30 DDEDLO CCn1cc(C[N@@H+]2CCC[C@H](NC(=O)C#CC3CC3)CC2)nn1 ZINC001280732633 903966144 /nfs/dbraw/zinc/96/61/44/903966144.db2.gz CBRRZAHDMBDZBA-HNNXBMFYSA-N 1 2 315.421 1.182 20 30 DDEDLO CCn1cc(C[N@H+]2CCC[C@H](NC(=O)C#CC3CC3)CC2)nn1 ZINC001280732633 903966154 /nfs/dbraw/zinc/96/61/54/903966154.db2.gz CBRRZAHDMBDZBA-HNNXBMFYSA-N 1 2 315.421 1.182 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001280764437 904006954 /nfs/dbraw/zinc/00/69/54/904006954.db2.gz OIGFAWGPJLUOJN-XGUBFFRZSA-N 1 2 318.421 1.352 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CCCNC(=O)C#CC(C)C ZINC001280851217 904117261 /nfs/dbraw/zinc/11/72/61/904117261.db2.gz RPSUKXACXQNQPR-ZDUSSCGKSA-N 1 2 318.421 1.321 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)CCn2cc[nH+]c2)[C@H]1C ZINC001281135208 904455375 /nfs/dbraw/zinc/45/53/75/904455375.db2.gz MDEDHDOTBFEIDD-CABCVRRESA-N 1 2 316.405 1.182 20 30 DDEDLO CCc1noc([C@H](C)[NH2+]C[C@H](C)NC(=O)c2c[nH]c(C#N)c2)n1 ZINC001392558113 904461600 /nfs/dbraw/zinc/46/16/00/904461600.db2.gz ULASDGFKNUFXCG-UWVGGRQHSA-N 1 2 316.365 1.301 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001281391138 904778453 /nfs/dbraw/zinc/77/84/53/904778453.db2.gz QMKYDYQSCRVVDS-KGLIPLIRSA-N 1 2 318.421 1.762 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](OCC)c1ccccc1 ZINC001316611210 904901097 /nfs/dbraw/zinc/90/10/97/904901097.db2.gz OIVGVIWFUBWRRJ-IRXDYDNUSA-N 1 2 300.402 1.930 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](OCC)c1ccccc1 ZINC001316611210 904901104 /nfs/dbraw/zinc/90/11/04/904901104.db2.gz OIVGVIWFUBWRRJ-IRXDYDNUSA-N 1 2 300.402 1.930 20 30 DDEDLO C[C@@H](CCCCNC(=O)C#CC1CC1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001281575824 905052755 /nfs/dbraw/zinc/05/27/55/905052755.db2.gz VFUOTKGEKHBLEU-ZDUSSCGKSA-N 1 2 316.405 1.157 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2C[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001282090051 905532900 /nfs/dbraw/zinc/53/29/00/905532900.db2.gz NENQDMPRZPGETB-BETUJISGSA-N 1 2 316.405 1.320 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001282122679 905560997 /nfs/dbraw/zinc/56/09/97/905560997.db2.gz DYFHQULXXFWSNT-UONOGXRCSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)C[C@H](C)c2ccco2)C1 ZINC001282539166 905858609 /nfs/dbraw/zinc/85/86/09/905858609.db2.gz FLFYTRKBDAKKTK-AWEZNQCLSA-N 1 2 306.406 1.902 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@H]21 ZINC001282880007 906145178 /nfs/dbraw/zinc/14/51/78/906145178.db2.gz ZMLMDESMDBDKRU-ZSOGYDGISA-N 1 2 317.437 1.509 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@H]21 ZINC001282880007 906145188 /nfs/dbraw/zinc/14/51/88/906145188.db2.gz ZMLMDESMDBDKRU-ZSOGYDGISA-N 1 2 317.437 1.509 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@@H]1CC[C@@H]2CN(CC#N)C[C@H]2C1 ZINC001282957825 906331243 /nfs/dbraw/zinc/33/12/43/906331243.db2.gz IZRVJIBLFPIFPO-RBSFLKMASA-N 1 2 315.421 1.093 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001283088452 906606810 /nfs/dbraw/zinc/60/68/10/906606810.db2.gz NVDYFDYHLDQXKS-VXGBXAGGSA-N 1 2 304.394 1.348 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001283088452 906606822 /nfs/dbraw/zinc/60/68/22/906606822.db2.gz NVDYFDYHLDQXKS-VXGBXAGGSA-N 1 2 304.394 1.348 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC[N@@H+]1CC(=O)Nc1ccccc1 ZINC001372506747 907475502 /nfs/dbraw/zinc/47/55/02/907475502.db2.gz BVFKORWVEQRTDM-UKRRQHHQSA-N 1 2 314.389 1.365 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC[N@H+]1CC(=O)Nc1ccccc1 ZINC001372506747 907475506 /nfs/dbraw/zinc/47/55/06/907475506.db2.gz BVFKORWVEQRTDM-UKRRQHHQSA-N 1 2 314.389 1.365 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@@H](C)CN(C)C(=O)CSCC#N)o1 ZINC001283571700 907621520 /nfs/dbraw/zinc/62/15/20/907621520.db2.gz IUPGCWSVXDSCIA-UWVGGRQHSA-N 1 2 311.411 1.132 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001283884974 908131257 /nfs/dbraw/zinc/13/12/57/908131257.db2.gz BPEVMZGCSQGXIS-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C[C@@H]([NH2+]Cc1cnsn1)[C@H](C)NC(=O)c1ccc(C#N)[nH]1 ZINC001393937052 908318721 /nfs/dbraw/zinc/31/87/21/908318721.db2.gz UBWDWIZBSHJTNA-BDAKNGLRSA-N 1 2 304.379 1.034 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@@H]1[N@H+](Cc1cnon1)CC2 ZINC001284049796 908391447 /nfs/dbraw/zinc/39/14/47/908391447.db2.gz FLSCGRWZVZDMOO-HOCLYGCPSA-N 1 2 302.378 1.486 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@@H]1[N@@H+](Cc1cnon1)CC2 ZINC001284049796 908391462 /nfs/dbraw/zinc/39/14/62/908391462.db2.gz FLSCGRWZVZDMOO-HOCLYGCPSA-N 1 2 302.378 1.486 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001394068193 908696323 /nfs/dbraw/zinc/69/63/23/908696323.db2.gz RXZRRCHNNXRRLB-RYUDHWBXSA-N 1 2 301.818 1.044 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001394068193 908696331 /nfs/dbraw/zinc/69/63/31/908696331.db2.gz RXZRRCHNNXRRLB-RYUDHWBXSA-N 1 2 301.818 1.044 20 30 DDEDLO C[C@H](CNC(=O)c1cnco1)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001394083180 908743681 /nfs/dbraw/zinc/74/36/81/908743681.db2.gz HTVUGRRSWWBPRK-LLVKDONJSA-N 1 2 316.336 1.936 20 30 DDEDLO C[C@H](CNC(=O)c1cnco1)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001394083180 908743686 /nfs/dbraw/zinc/74/36/86/908743686.db2.gz HTVUGRRSWWBPRK-LLVKDONJSA-N 1 2 316.336 1.936 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001284296079 908789699 /nfs/dbraw/zinc/78/96/99/908789699.db2.gz JVFZFHMHEREXNT-GFCCVEGCSA-N 1 2 306.410 1.186 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCCN1C(=O)Cc1c[nH+]cn1C ZINC001284679903 909347648 /nfs/dbraw/zinc/34/76/48/909347648.db2.gz HFTFWFASFSFUTF-CYBMUJFWSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CCCCCC(=O)N[C@H](C)C1CC[NH+](Cc2cnon2)CC1 ZINC001284683095 909348193 /nfs/dbraw/zinc/34/81/93/909348193.db2.gz BISVENBDFAGNQS-CQSZACIVSA-N 1 2 318.421 1.980 20 30 DDEDLO CC(C)(C)C#CC(=O)NCCN(C(=O)Cc1c[nH+]c[nH]1)C1CC1 ZINC001284734290 909419935 /nfs/dbraw/zinc/41/99/35/909419935.db2.gz PJBGNWSRRYSTQW-UHFFFAOYSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](CCN(C)C(=O)CCC2CC2)CC1 ZINC001284819480 909526471 /nfs/dbraw/zinc/52/64/71/909526471.db2.gz DUENXKYUBOUEBK-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](CC)CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001285063005 910020595 /nfs/dbraw/zinc/02/05/95/910020595.db2.gz AEKQHZAOEZXWFO-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](CC)CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001285063005 910020609 /nfs/dbraw/zinc/02/06/09/910020609.db2.gz AEKQHZAOEZXWFO-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001285199114 910196430 /nfs/dbraw/zinc/19/64/30/910196430.db2.gz WNDYCGXANIVHNN-DYVFJYSZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](NC(=O)Cn1cc[nH+]c1)C(C)C ZINC001285345681 910386952 /nfs/dbraw/zinc/38/69/52/910386952.db2.gz UFUNJURHFSZIJR-PBHICJAKSA-N 1 2 320.437 1.742 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)CO[C@H]2CCOC2)C1 ZINC001373666394 910553207 /nfs/dbraw/zinc/55/32/07/910553207.db2.gz NLFIOEPIZRSTMV-OLZOCXBDSA-N 1 2 302.802 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)CO[C@H]2CCOC2)C1 ZINC001373666394 910553227 /nfs/dbraw/zinc/55/32/27/910553227.db2.gz NLFIOEPIZRSTMV-OLZOCXBDSA-N 1 2 302.802 1.077 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@H]1C[C@@H]1C)Cc1ccccc1C#N ZINC001394828440 910679705 /nfs/dbraw/zinc/67/97/05/910679705.db2.gz AETDLZRAXAXKCY-YOEHRIQHSA-N 1 2 315.417 1.779 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@H]1C[C@@H]1C)Cc1ccccc1C#N ZINC001394828440 910679714 /nfs/dbraw/zinc/67/97/14/910679714.db2.gz AETDLZRAXAXKCY-YOEHRIQHSA-N 1 2 315.417 1.779 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](C)CNC(=O)CCc1[nH]cc[nH+]1 ZINC001285690859 911045671 /nfs/dbraw/zinc/04/56/71/911045671.db2.gz WTFKZYBCVHVKSL-MLGOLLRUSA-N 1 2 306.410 1.566 20 30 DDEDLO C#CCC1(C(=O)N(C)[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001285814149 911226824 /nfs/dbraw/zinc/22/68/24/911226824.db2.gz UFSLWLFAWOQAAS-CYBMUJFWSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]1CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001285865522 911329895 /nfs/dbraw/zinc/32/98/95/911329895.db2.gz YAGGPZKVWCQWSH-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]1CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001285865522 911329910 /nfs/dbraw/zinc/32/99/10/911329910.db2.gz YAGGPZKVWCQWSH-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(CC)n1CC[N@@H+]1CCOC[C@H]1C ZINC001286294845 911913666 /nfs/dbraw/zinc/91/36/66/911913666.db2.gz DEGAXGYUYFHNNO-UONOGXRCSA-N 1 2 305.426 1.019 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(CC)n1CC[N@H+]1CCOC[C@H]1C ZINC001286294845 911913679 /nfs/dbraw/zinc/91/36/79/911913679.db2.gz DEGAXGYUYFHNNO-UONOGXRCSA-N 1 2 305.426 1.019 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CCCN(C(=O)Cc1c[nH+]c[nH]1)C2 ZINC001286352626 911937286 /nfs/dbraw/zinc/93/72/86/911937286.db2.gz UZGJKLNNGLYXOT-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)CO[C@@H]1CCOC1 ZINC001377393704 921747197 /nfs/dbraw/zinc/74/71/97/921747197.db2.gz PGRJGJUIVRYWKW-CHWSQXEVSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)CO[C@@H]1CCOC1 ZINC001377393704 921747211 /nfs/dbraw/zinc/74/72/11/921747211.db2.gz PGRJGJUIVRYWKW-CHWSQXEVSA-N 1 2 302.802 1.125 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001294750017 915341329 /nfs/dbraw/zinc/34/13/29/915341329.db2.gz KUXRGSKFDJZWAK-AWEZNQCLSA-N 1 2 316.405 1.438 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1C[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001295112488 915582405 /nfs/dbraw/zinc/58/24/05/915582405.db2.gz NEWGEBSNBRDOQI-HDJSIYSDSA-N 1 2 316.405 1.299 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001295218183 915655866 /nfs/dbraw/zinc/65/58/66/915655866.db2.gz CODBTHTWAWYFMG-IIYDPXPESA-N 1 2 318.421 1.328 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001295587431 915912763 /nfs/dbraw/zinc/91/27/63/915912763.db2.gz PYWKIXKFMXSDMO-ZBEGNZNMSA-N 1 2 306.410 1.484 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295790253 916061387 /nfs/dbraw/zinc/06/13/87/916061387.db2.gz ZFINFQFGHAVKPN-CQSZACIVSA-N 1 2 316.405 1.061 20 30 DDEDLO CC(C)C[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)NC(=O)[C@H](C)C#N ZINC001375843727 917109223 /nfs/dbraw/zinc/10/92/23/917109223.db2.gz BXTQMUGKRPLGRH-OLZOCXBDSA-N 1 2 319.409 1.149 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCCC[N@@H+]1Cc1ccc(F)cn1 ZINC001376583329 918812058 /nfs/dbraw/zinc/81/20/58/918812058.db2.gz XEHSJYDZNQWHCO-DOMZBBRYSA-N 1 2 304.369 1.851 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCCC[N@H+]1Cc1ccc(F)cn1 ZINC001376583329 918812068 /nfs/dbraw/zinc/81/20/68/918812068.db2.gz XEHSJYDZNQWHCO-DOMZBBRYSA-N 1 2 304.369 1.851 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)o1 ZINC001376911246 919758488 /nfs/dbraw/zinc/75/84/88/919758488.db2.gz PTRGSTSEVAWXGF-RYUDHWBXSA-N 1 2 307.398 1.633 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)o1 ZINC001376911246 919758500 /nfs/dbraw/zinc/75/85/00/919758500.db2.gz PTRGSTSEVAWXGF-RYUDHWBXSA-N 1 2 307.398 1.633 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cnc2n[nH]nc2c1)C1CC1 ZINC001377539826 922272915 /nfs/dbraw/zinc/27/29/15/922272915.db2.gz ZDFXERABPPCBAT-UHFFFAOYSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cnc2n[nH]nc2c1)C1CC1 ZINC001377539826 922272928 /nfs/dbraw/zinc/27/29/28/922272928.db2.gz ZDFXERABPPCBAT-UHFFFAOYSA-N 1 2 320.784 1.300 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)C[C@@H]2CCCO2)C1 ZINC001377962824 923532191 /nfs/dbraw/zinc/53/21/91/923532191.db2.gz XDQXJIIIKLFBTC-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO C[N@H+](CCOc1ccccc1C#N)Cc1ncnn1CC(F)F ZINC000278590649 214258923 /nfs/dbraw/zinc/25/89/23/214258923.db2.gz ZESCCMOXRLPZDR-UHFFFAOYSA-N 1 2 321.331 1.926 20 30 DDEDLO C[N@@H+](CCOc1ccccc1C#N)Cc1ncnn1CC(F)F ZINC000278590649 214258925 /nfs/dbraw/zinc/25/89/25/214258925.db2.gz ZESCCMOXRLPZDR-UHFFFAOYSA-N 1 2 321.331 1.926 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C[C@]2(CCOC2)O1 ZINC000331569493 529386325 /nfs/dbraw/zinc/38/63/25/529386325.db2.gz HEMAUTWMYYHVJS-OIISXLGYSA-N 1 2 323.437 1.311 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C[C@]2(CCOC2)O1 ZINC000331569493 529386328 /nfs/dbraw/zinc/38/63/28/529386328.db2.gz HEMAUTWMYYHVJS-OIISXLGYSA-N 1 2 323.437 1.311 20 30 DDEDLO COC(=O)C(C)(C)N1CC[NH+](Cc2ccnc(C#N)c2)CC1 ZINC000614580551 361927150 /nfs/dbraw/zinc/92/71/50/361927150.db2.gz SSDOIDVGTZOKSU-UHFFFAOYSA-N 1 2 302.378 1.022 20 30 DDEDLO N#Cc1cc(F)ccc1CS(=O)(=O)N1CCn2cc[nH+]c2C1 ZINC000451218515 231035745 /nfs/dbraw/zinc/03/57/45/231035745.db2.gz RSXQLHDCJUAHKC-UHFFFAOYSA-N 1 2 320.349 1.239 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@@H](C)C(=O)Nc2ncccn2)C[C@@]2(CCOC2)O1 ZINC000330087612 529401002 /nfs/dbraw/zinc/40/10/02/529401002.db2.gz TZRZCKNBODKESO-WOSRLPQWSA-N 1 2 320.393 1.723 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@@H](C)C(=O)Nc2ncccn2)C[C@@]2(CCOC2)O1 ZINC000330087612 529401003 /nfs/dbraw/zinc/40/10/03/529401003.db2.gz TZRZCKNBODKESO-WOSRLPQWSA-N 1 2 320.393 1.723 20 30 DDEDLO C[C@@H]1C[N@H+](CCOc2ccccc2C#N)C[C@H](C)N1CCO ZINC000451667532 231156990 /nfs/dbraw/zinc/15/69/90/231156990.db2.gz QYDAQMDWXCHUHW-GASCZTMLSA-N 1 2 303.406 1.324 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC000451984903 231258968 /nfs/dbraw/zinc/25/89/68/231258968.db2.gz DIPIJIMBJWSVIZ-CQSZACIVSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC000451984903 231258971 /nfs/dbraw/zinc/25/89/71/231258971.db2.gz DIPIJIMBJWSVIZ-CQSZACIVSA-N 1 2 304.369 1.933 20 30 DDEDLO C=C[C@](C)(O)CC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000278948276 214521844 /nfs/dbraw/zinc/52/18/44/214521844.db2.gz VIMPIJOIUQTCMO-INIZCTEOSA-N 1 2 305.378 1.492 20 30 DDEDLO C=CCOc1ccc(C(=O)NCc2c[nH+]cn2C)cc1OC ZINC000291532150 539288867 /nfs/dbraw/zinc/28/88/67/539288867.db2.gz WFSWFIASNGYVRD-UHFFFAOYSA-N 1 2 301.346 1.924 20 30 DDEDLO Cc1cnc([C@H](C)NC(=O)N2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)o1 ZINC000329297967 539302802 /nfs/dbraw/zinc/30/28/02/539302802.db2.gz UDZXGNZMLXMYEU-FPMFFAJLSA-N 1 2 322.409 1.753 20 30 DDEDLO COc1cc2c(c(C[NH+]3CCN(CC#N)CC3)c1)O[C@H](C)C2 ZINC000092936092 185349695 /nfs/dbraw/zinc/34/96/95/185349695.db2.gz BZFCJAHMZPGUET-CYBMUJFWSA-N 1 2 301.390 1.660 20 30 DDEDLO COc1ccc(C[NH+]2CCN(CC#N)CC2)cc1OC(F)F ZINC000092936303 185349906 /nfs/dbraw/zinc/34/99/06/185349906.db2.gz QCXPBJMZVHPVSU-UHFFFAOYSA-N 1 2 311.332 1.938 20 30 DDEDLO CCc1nc2n(n1)CCC[C@H]2NC(=O)N1CCn2c[nH+]cc2C1 ZINC000329653604 529750268 /nfs/dbraw/zinc/75/02/68/529750268.db2.gz LJNQXIUTXVDRFE-GFCCVEGCSA-N 1 2 315.381 1.302 20 30 DDEDLO COc1ccc(C[N@@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1C#N ZINC000181580404 199321782 /nfs/dbraw/zinc/32/17/82/199321782.db2.gz RAFCBYUJOZTQJA-LBPRGKRZSA-N 1 2 323.418 1.033 20 30 DDEDLO COc1ccc(C[N@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1C#N ZINC000181580404 199321784 /nfs/dbraw/zinc/32/17/84/199321784.db2.gz RAFCBYUJOZTQJA-LBPRGKRZSA-N 1 2 323.418 1.033 20 30 DDEDLO C[N@H+](CC(=O)NC1(C#N)CCC1)Cc1ccccc1[N+](=O)[O-] ZINC000346849627 529831737 /nfs/dbraw/zinc/83/17/37/529831737.db2.gz QJZHWIBMGDYTER-UHFFFAOYSA-N 1 2 302.334 1.589 20 30 DDEDLO C[N@@H+](CC(=O)NC1(C#N)CCC1)Cc1ccccc1[N+](=O)[O-] ZINC000346849627 529831738 /nfs/dbraw/zinc/83/17/38/529831738.db2.gz QJZHWIBMGDYTER-UHFFFAOYSA-N 1 2 302.334 1.589 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)NC(=O)CC2 ZINC000414120156 529868372 /nfs/dbraw/zinc/86/83/72/529868372.db2.gz AVXJAIMXUXWFBG-CYBMUJFWSA-N 1 2 303.362 1.156 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)NC(=O)CC2 ZINC000414120156 529868373 /nfs/dbraw/zinc/86/83/73/529868373.db2.gz AVXJAIMXUXWFBG-CYBMUJFWSA-N 1 2 303.362 1.156 20 30 DDEDLO CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)NCc1ccc[nH+]c1N(C)C ZINC000330553787 529904285 /nfs/dbraw/zinc/90/42/85/529904285.db2.gz FYNKYFXQANZGAW-OLZOCXBDSA-N 1 2 319.409 1.066 20 30 DDEDLO N#Cc1ccc(F)c(CS(=O)(=O)NCCn2cc[nH+]c2)c1 ZINC000160155038 290767579 /nfs/dbraw/zinc/76/75/79/290767579.db2.gz USULIQPDTYHHGZ-UHFFFAOYSA-N 1 2 308.338 1.013 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@H](C)C(=O)N2CCC(C)CC2)n1 ZINC000279710126 215080738 /nfs/dbraw/zinc/08/07/38/215080738.db2.gz KGGQXUVWUQEPSN-MRXNPFEDSA-N 1 2 316.449 1.985 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@H](C)C(=O)N2CCC(C)CC2)n1 ZINC000279710126 215080741 /nfs/dbraw/zinc/08/07/41/215080741.db2.gz KGGQXUVWUQEPSN-MRXNPFEDSA-N 1 2 316.449 1.985 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)NCC(C)(C)CC#N)CC1 ZINC000459250733 233028600 /nfs/dbraw/zinc/02/86/00/233028600.db2.gz AHEUEZAVJZAVIH-UHFFFAOYSA-N 1 2 318.425 1.187 20 30 DDEDLO C[C@@H](NC(=O)COc1ccc(C#N)cc1)[C@H](C)[NH+]1CCOCC1 ZINC000264248929 186326672 /nfs/dbraw/zinc/32/66/72/186326672.db2.gz KPXPXUQYRGKTFG-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000601246526 358463227 /nfs/dbraw/zinc/46/32/27/358463227.db2.gz SBLDSIZCWOMBGF-UHFFFAOYSA-N 1 2 324.384 1.560 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000007923650 352129152 /nfs/dbraw/zinc/12/91/52/352129152.db2.gz WQTWBBUYLWUYLC-LBPRGKRZSA-N 1 2 302.378 1.342 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000007923650 352129156 /nfs/dbraw/zinc/12/91/56/352129156.db2.gz WQTWBBUYLWUYLC-LBPRGKRZSA-N 1 2 302.378 1.342 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCC[N@@H+]1CC(=O)N(C)C1(C#N)CCCCC1 ZINC000027566787 352219744 /nfs/dbraw/zinc/21/97/44/352219744.db2.gz JFULRMJBQKOMCB-AWEZNQCLSA-N 1 2 320.437 1.224 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCC[N@H+]1CC(=O)N(C)C1(C#N)CCCCC1 ZINC000027566787 352219747 /nfs/dbraw/zinc/21/97/47/352219747.db2.gz JFULRMJBQKOMCB-AWEZNQCLSA-N 1 2 320.437 1.224 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000617486611 363129140 /nfs/dbraw/zinc/12/91/40/363129140.db2.gz ZEKNISSXZIXFBM-CABCVRRESA-N 1 2 301.390 1.889 20 30 DDEDLO CC[C@@H](C)NC(=O)CN1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC000044742625 352393421 /nfs/dbraw/zinc/39/34/21/352393421.db2.gz DTQVGECDSCPODT-OAHLLOKOSA-N 1 2 314.433 1.591 20 30 DDEDLO COC(=O)C[N@@H+]1CCCN(c2ccc(C#N)cc2[N+](=O)[O-])CC1 ZINC000046015440 352431803 /nfs/dbraw/zinc/43/18/03/352431803.db2.gz YNWYBNCKCQWFGL-UHFFFAOYSA-N 1 2 318.333 1.152 20 30 DDEDLO COC(=O)C[N@H+]1CCCN(c2ccc(C#N)cc2[N+](=O)[O-])CC1 ZINC000046015440 352431805 /nfs/dbraw/zinc/43/18/05/352431805.db2.gz YNWYBNCKCQWFGL-UHFFFAOYSA-N 1 2 318.333 1.152 20 30 DDEDLO N#CCSCC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000046017494 352432069 /nfs/dbraw/zinc/43/20/69/352432069.db2.gz MAJVPXPREYVFQX-UHFFFAOYSA-N 1 2 314.414 1.459 20 30 DDEDLO C=CCN(C(=O)[C@H](CC)[N@@H+]1CCO[C@@H](CC)C1)[C@H](C)COC ZINC000617582815 363192682 /nfs/dbraw/zinc/19/26/82/363192682.db2.gz VSJWOAHCESGRBG-PMPSAXMXSA-N 1 2 312.454 1.925 20 30 DDEDLO C=CCN(C(=O)[C@H](CC)[N@H+]1CCO[C@@H](CC)C1)[C@H](C)COC ZINC000617582815 363192686 /nfs/dbraw/zinc/19/26/86/363192686.db2.gz VSJWOAHCESGRBG-PMPSAXMXSA-N 1 2 312.454 1.925 20 30 DDEDLO CCC[N@@H+](CC(=O)OCC)C[C@H](O)COc1ccc(C#N)cc1 ZINC000067409830 353047464 /nfs/dbraw/zinc/04/74/64/353047464.db2.gz ZFUXZQBXAGMQFZ-HNNXBMFYSA-N 1 2 320.389 1.573 20 30 DDEDLO CCC[N@H+](CC(=O)OCC)C[C@H](O)COc1ccc(C#N)cc1 ZINC000067409830 353047466 /nfs/dbraw/zinc/04/74/66/353047466.db2.gz ZFUXZQBXAGMQFZ-HNNXBMFYSA-N 1 2 320.389 1.573 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C(=O)C[C@](C)(c2ccc(F)cc2)C1=O ZINC000491819616 234304808 /nfs/dbraw/zinc/30/48/08/234304808.db2.gz OVFKXBCPZOIGBJ-SJKOYZFVSA-N 1 2 302.349 1.753 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C(=O)C[C@](C)(c2ccc(F)cc2)C1=O ZINC000491819616 234304812 /nfs/dbraw/zinc/30/48/12/234304812.db2.gz OVFKXBCPZOIGBJ-SJKOYZFVSA-N 1 2 302.349 1.753 20 30 DDEDLO N#CCN1CCN(C(=O)/C=C/c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000073100238 191278191 /nfs/dbraw/zinc/27/81/91/191278191.db2.gz TYMDRKHVBIVRHQ-ZZXKWVIFSA-N 1 2 321.384 1.553 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000076824693 353440168 /nfs/dbraw/zinc/44/01/68/353440168.db2.gz QTQUKZQJOBYRHL-HPGPQFBPSA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000076824693 353440172 /nfs/dbraw/zinc/44/01/72/353440172.db2.gz QTQUKZQJOBYRHL-HPGPQFBPSA-N 1 2 322.430 1.139 20 30 DDEDLO COc1ccc(OC)c(C[N@H+](CC(=O)NCC#N)C2CC2)c1 ZINC000076668719 353431612 /nfs/dbraw/zinc/43/16/12/353431612.db2.gz OHQYEEFNQQXFQF-UHFFFAOYSA-N 1 2 303.362 1.308 20 30 DDEDLO COc1ccc(OC)c(C[N@@H+](CC(=O)NCC#N)C2CC2)c1 ZINC000076668719 353431615 /nfs/dbraw/zinc/43/16/15/353431615.db2.gz OHQYEEFNQQXFQF-UHFFFAOYSA-N 1 2 303.362 1.308 20 30 DDEDLO O=C(C#Cc1ccccc1)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000080651018 353620787 /nfs/dbraw/zinc/62/07/87/353620787.db2.gz RBRMPONCEAWGFI-GOSISDBHSA-N 1 2 312.413 1.751 20 30 DDEDLO COC(=O)[C@@](C)([NH2+]CCC(=O)Nc1ccc(C#N)cc1)C1CC1 ZINC000081210543 353656896 /nfs/dbraw/zinc/65/68/96/353656896.db2.gz WKABTKWYECJDLL-KRWDZBQOSA-N 1 2 315.373 1.818 20 30 DDEDLO CCN(CCC#N)[C@@H](C)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000081514059 353679846 /nfs/dbraw/zinc/67/98/46/353679846.db2.gz HIEGFWXJUGFTMM-HNNXBMFYSA-N 1 2 315.421 1.354 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@H]([C@H](C)O)C1 ZINC000089928961 353783760 /nfs/dbraw/zinc/78/37/60/353783760.db2.gz UEKGKDMOFNLHLA-RCBQFDQVSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCC[C@H]([C@H](C)O)C1 ZINC000089928961 353783764 /nfs/dbraw/zinc/78/37/64/353783764.db2.gz UEKGKDMOFNLHLA-RCBQFDQVSA-N 1 2 302.374 1.865 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@H](CO)OC[C@H]2C)cc1OC ZINC000092042036 353841986 /nfs/dbraw/zinc/84/19/86/353841986.db2.gz LCMZFVDQZHUJBE-UKRRQHHQSA-N 1 2 307.390 1.842 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@H](CO)OC[C@H]2C)cc1OC ZINC000092042036 353841987 /nfs/dbraw/zinc/84/19/87/353841987.db2.gz LCMZFVDQZHUJBE-UKRRQHHQSA-N 1 2 307.390 1.842 20 30 DDEDLO CCc1nnc(SCC[NH+]2CCOCC2)c(C#N)c1CC ZINC000090069536 353788678 /nfs/dbraw/zinc/78/86/78/353788678.db2.gz AIOFHJYYRWBFGP-UHFFFAOYSA-N 1 2 306.435 1.897 20 30 DDEDLO C=CCNC(=O)c1ccc(N2CCN(c3cccc[nH+]3)CC2)nc1 ZINC000096677185 353914914 /nfs/dbraw/zinc/91/49/14/353914914.db2.gz NKZNRVISMJXZKJ-UHFFFAOYSA-N 1 2 323.400 1.719 20 30 DDEDLO Cc1nnc(N2CC[NH+](CC(=O)NC3CCCCC3)CC2)n1C ZINC000328692675 222885060 /nfs/dbraw/zinc/88/50/60/222885060.db2.gz FIFPWEAWQALTEG-UHFFFAOYSA-N 1 2 320.441 1.535 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2C)C[C@H]1C ZINC000300239343 354457851 /nfs/dbraw/zinc/45/78/51/354457851.db2.gz ZUBKYXBHYWQSHI-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2C)C[C@H]1C ZINC000300239343 354457854 /nfs/dbraw/zinc/45/78/54/354457854.db2.gz ZUBKYXBHYWQSHI-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CS(=O)(=O)N1CCC[C@@H](C[N@@H+]2Cc3cccc(C#N)c3C2)C1 ZINC000405133156 354677729 /nfs/dbraw/zinc/67/77/29/354677729.db2.gz SCDZKUCWGDYLRT-ZDUSSCGKSA-N 1 2 319.430 1.545 20 30 DDEDLO CS(=O)(=O)N1CCC[C@@H](C[N@H+]2Cc3cccc(C#N)c3C2)C1 ZINC000405133156 354677730 /nfs/dbraw/zinc/67/77/30/354677730.db2.gz SCDZKUCWGDYLRT-ZDUSSCGKSA-N 1 2 319.430 1.545 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N[C@H]2CCc3c[nH+]cn3C2)cc1 ZINC000587051139 354879395 /nfs/dbraw/zinc/87/93/95/354879395.db2.gz HNYJRJXJKLJWDZ-CVEARBPZSA-N 1 2 319.368 1.568 20 30 DDEDLO N#Cc1ccc(SCC(=O)N[C@@H]2CCc3c[nH+]cn3C2)cc1 ZINC000587045375 354879099 /nfs/dbraw/zinc/87/90/99/354879099.db2.gz OYUQLGAMKUVRNP-CYBMUJFWSA-N 1 2 312.398 1.978 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494320295 235019899 /nfs/dbraw/zinc/01/98/99/235019899.db2.gz XLYVZFVWIHEVJE-CQSZACIVSA-N 1 2 301.346 1.464 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494320295 235019902 /nfs/dbraw/zinc/01/99/02/235019902.db2.gz XLYVZFVWIHEVJE-CQSZACIVSA-N 1 2 301.346 1.464 20 30 DDEDLO Cc1nc(C)c(C[NH+]2CCN(c3c(C#N)c(C)nn3C)CC2)o1 ZINC000589261719 354980449 /nfs/dbraw/zinc/98/04/49/354980449.db2.gz SXNHMYZHKBBZQB-UHFFFAOYSA-N 1 2 314.393 1.527 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CC[C@](O)(C(F)F)C2)c1C#N ZINC000590755531 355166802 /nfs/dbraw/zinc/16/68/02/355166802.db2.gz BBYTVKIGFNXNEB-OAHLLOKOSA-N 1 2 310.300 1.547 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CC[C@](O)(C(F)F)C2)c1C#N ZINC000590755531 355166805 /nfs/dbraw/zinc/16/68/05/355166805.db2.gz BBYTVKIGFNXNEB-OAHLLOKOSA-N 1 2 310.300 1.547 20 30 DDEDLO CCc1cccc(C)c1NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146122 355511741 /nfs/dbraw/zinc/51/17/41/355511741.db2.gz DOKLJLOAGMACJO-KRWDZBQOSA-N 1 2 301.390 1.846 20 30 DDEDLO CCc1cccc(C)c1NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146122 355511744 /nfs/dbraw/zinc/51/17/44/355511744.db2.gz DOKLJLOAGMACJO-KRWDZBQOSA-N 1 2 301.390 1.846 20 30 DDEDLO Cc1c(Cl)cccc1NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148580 355514757 /nfs/dbraw/zinc/51/47/57/355514757.db2.gz ZZANSMOYRBYNAK-HNNXBMFYSA-N 1 2 307.781 1.937 20 30 DDEDLO Cc1c(Cl)cccc1NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148580 355514759 /nfs/dbraw/zinc/51/47/59/355514759.db2.gz ZZANSMOYRBYNAK-HNNXBMFYSA-N 1 2 307.781 1.937 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)NC23CC4CC(CC(C4)C2)C3)C1 ZINC000592149179 355516177 /nfs/dbraw/zinc/51/61/77/355516177.db2.gz KEWMDLOADOHRDW-SOYGFASJSA-N 1 2 317.433 1.422 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)NC23CC4CC(CC(C4)C2)C3)C1 ZINC000592149179 355516179 /nfs/dbraw/zinc/51/61/79/355516179.db2.gz KEWMDLOADOHRDW-SOYGFASJSA-N 1 2 317.433 1.422 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000592150566 355517585 /nfs/dbraw/zinc/51/75/85/355517585.db2.gz GVABQZJCOMGVRW-CQSZACIVSA-N 1 2 302.290 1.586 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2nc3cc([N+](=O)[O-])ccc3o2)C1 ZINC000592150566 355517586 /nfs/dbraw/zinc/51/75/86/355517586.db2.gz GVABQZJCOMGVRW-CQSZACIVSA-N 1 2 302.290 1.586 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@@H]2CCCN(c3cccc(F)c3)C2=O)C1 ZINC000592151142 355517905 /nfs/dbraw/zinc/51/79/05/355517905.db2.gz MUQJQWAOSCQRBL-NVXWUHKLSA-N 1 2 317.364 1.672 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@@H]2CCCN(c3cccc(F)c3)C2=O)C1 ZINC000592151142 355517908 /nfs/dbraw/zinc/51/79/08/355517908.db2.gz MUQJQWAOSCQRBL-NVXWUHKLSA-N 1 2 317.364 1.672 20 30 DDEDLO CC[N@@H+](Cc1cnc2c(C#N)cnn2c1)C[C@H](O)C(F)(F)F ZINC000592508001 355606516 /nfs/dbraw/zinc/60/65/16/355606516.db2.gz NLAUDSNPALCNAX-NSHDSACASA-N 1 2 313.283 1.346 20 30 DDEDLO CC[N@H+](Cc1cnc2c(C#N)cnn2c1)C[C@H](O)C(F)(F)F ZINC000592508001 355606520 /nfs/dbraw/zinc/60/65/20/355606520.db2.gz NLAUDSNPALCNAX-NSHDSACASA-N 1 2 313.283 1.346 20 30 DDEDLO COc1cc(OC)c(C[N@@H+]2CC[C@@](O)(CC#N)C2)c(OC)c1 ZINC000592522396 355611287 /nfs/dbraw/zinc/61/12/87/355611287.db2.gz AGEMXQAQYMIKJG-INIZCTEOSA-N 1 2 306.362 1.563 20 30 DDEDLO COc1cc(OC)c(C[N@H+]2CC[C@@](O)(CC#N)C2)c(OC)c1 ZINC000592522396 355611288 /nfs/dbraw/zinc/61/12/88/355611288.db2.gz AGEMXQAQYMIKJG-INIZCTEOSA-N 1 2 306.362 1.563 20 30 DDEDLO COc1ccccc1-n1nccc1C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592523251 355611957 /nfs/dbraw/zinc/61/19/57/355611957.db2.gz XFZTUASDQOXBBC-QGZVFWFLSA-N 1 2 312.373 1.731 20 30 DDEDLO COc1ccccc1-n1nccc1C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592523251 355611960 /nfs/dbraw/zinc/61/19/60/355611960.db2.gz XFZTUASDQOXBBC-QGZVFWFLSA-N 1 2 312.373 1.731 20 30 DDEDLO CN(C)[C@H](C(=O)N1CCC(CCC#N)CC1)c1c[nH+]cn1C ZINC000592819616 355703418 /nfs/dbraw/zinc/70/34/18/355703418.db2.gz NKGUGJFIAVXFHS-HNNXBMFYSA-N 1 2 303.410 1.565 20 30 DDEDLO COCCOCCN1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC000593114609 355804093 /nfs/dbraw/zinc/80/40/93/355804093.db2.gz CFBXAJKKAKEHET-UHFFFAOYSA-N 1 2 303.406 1.339 20 30 DDEDLO N#Cc1cccc2c1C[N@H+](C[C@H](O)Cn1cc([N+](=O)[O-])cn1)C2 ZINC000593119631 355805373 /nfs/dbraw/zinc/80/53/73/355805373.db2.gz DIIALUZZZCILSM-AWEZNQCLSA-N 1 2 313.317 1.040 20 30 DDEDLO N#Cc1cccc2c1C[N@@H+](C[C@H](O)Cn1cc([N+](=O)[O-])cn1)C2 ZINC000593119631 355805375 /nfs/dbraw/zinc/80/53/75/355805375.db2.gz DIIALUZZZCILSM-AWEZNQCLSA-N 1 2 313.317 1.040 20 30 DDEDLO COc1ccc([N+](=O)[O-])c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000593155250 355813560 /nfs/dbraw/zinc/81/35/60/355813560.db2.gz FMNPECOTBOUUJT-AWEZNQCLSA-N 1 2 306.322 1.177 20 30 DDEDLO Cn1cc(CN(CCn2cc[nH+]c2)C(=O)CC2(C#N)CC2)cn1 ZINC000593689725 355968579 /nfs/dbraw/zinc/96/85/79/355968579.db2.gz QPYAGHVZHUXOMP-UHFFFAOYSA-N 1 2 312.377 1.339 20 30 DDEDLO CC[C@H](C#N)C(=O)Nc1ccc2[nH]c(N3CCOCC3)[nH+]c2c1 ZINC000593893304 356044820 /nfs/dbraw/zinc/04/48/20/356044820.db2.gz FUMLWNIEEPSMMN-LLVKDONJSA-N 1 2 313.361 1.888 20 30 DDEDLO NC(=O)[C@@H]1CC[C@H](C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)O1 ZINC000329535353 222991155 /nfs/dbraw/zinc/99/11/55/222991155.db2.gz LINOMOBLIBQWCL-SDDRHHMPSA-N 1 2 304.350 1.700 20 30 DDEDLO C[C@]1(C#N)CCN(C(=O)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000595204310 356406549 /nfs/dbraw/zinc/40/65/49/356406549.db2.gz ICDNZQIBZJEAON-QGZVFWFLSA-N 1 2 323.356 1.573 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](CCO[C@@H]2CC2(F)F)CC1 ZINC000595286709 356432773 /nfs/dbraw/zinc/43/27/73/356432773.db2.gz GKXPESAHONRSST-CYBMUJFWSA-N 1 2 308.332 1.499 20 30 DDEDLO CC(C)(CNC(=O)NCC1(C#N)CCCCC1)[NH+]1CCOCC1 ZINC000595422108 356486595 /nfs/dbraw/zinc/48/65/95/356486595.db2.gz FQYZEEFXLYRNFA-UHFFFAOYSA-N 1 2 322.453 1.870 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CC(=O)N2CC(=O)Nc3ccccc32)C1 ZINC000595613237 356576248 /nfs/dbraw/zinc/57/62/48/356576248.db2.gz BCVGPLWPTCFTDF-ZDUSSCGKSA-N 1 2 312.373 1.597 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CC(=O)N2CC(=O)Nc3ccccc32)C1 ZINC000595613237 356576253 /nfs/dbraw/zinc/57/62/53/356576253.db2.gz BCVGPLWPTCFTDF-ZDUSSCGKSA-N 1 2 312.373 1.597 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCC2(C#N)CCCCC2)[C@@H](C)CO1 ZINC000596860195 356998365 /nfs/dbraw/zinc/99/83/65/356998365.db2.gz VUTLGVVAHUIYFC-GJZGRUSLSA-N 1 2 322.453 1.869 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCC2(C#N)CCCCC2)[C@@H](C)CO1 ZINC000596860195 356998368 /nfs/dbraw/zinc/99/83/68/356998368.db2.gz VUTLGVVAHUIYFC-GJZGRUSLSA-N 1 2 322.453 1.869 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N2CCOC[C@@H]2C#N)cc1 ZINC000596670715 356948561 /nfs/dbraw/zinc/94/85/61/356948561.db2.gz FMPDOIFFVHEVQY-GOEBONIOSA-N 1 2 315.373 1.020 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N2CCOC[C@@H]2C#N)cc1 ZINC000596670715 356948563 /nfs/dbraw/zinc/94/85/63/356948563.db2.gz FMPDOIFFVHEVQY-GOEBONIOSA-N 1 2 315.373 1.020 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)[C@@H](C)CO1 ZINC000596911658 357013360 /nfs/dbraw/zinc/01/33/60/357013360.db2.gz WBKNBYIPWFPPHG-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)[C@@H](C)CO1 ZINC000596911658 357013363 /nfs/dbraw/zinc/01/33/63/357013363.db2.gz WBKNBYIPWFPPHG-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)c2ccc(C#N)cc2)CCN1C ZINC000276856620 213136346 /nfs/dbraw/zinc/13/63/46/213136346.db2.gz ZZUHFVJXUZYUIV-HNNXBMFYSA-N 1 2 309.373 1.421 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@H]([NH+]3CCC3)[C@H](F)C2)cc1O ZINC000597582327 357238121 /nfs/dbraw/zinc/23/81/21/357238121.db2.gz UVERQCGMCACBEI-KGLIPLIRSA-N 1 2 303.337 1.522 20 30 DDEDLO N#Cc1ccc(CCNC(=O)C2([NH+]3CCOCC3)CCC2)cc1 ZINC000597888543 357372358 /nfs/dbraw/zinc/37/23/58/357372358.db2.gz BCICVYHBKKJOBY-UHFFFAOYSA-N 1 2 313.401 1.472 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1cc(C#N)cn1C)C(C)(C)CO ZINC000597848966 357356710 /nfs/dbraw/zinc/35/67/10/357356710.db2.gz XJNSKRBCIBQVBW-ZDUSSCGKSA-N 1 2 315.377 1.120 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1c(F)cc(C#N)cc1F ZINC000597994165 357410832 /nfs/dbraw/zinc/41/08/32/357410832.db2.gz AWUFIWBMWJSNCP-MNOVXSKESA-N 1 2 323.343 1.675 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1c(F)cc(C#N)cc1F ZINC000597994165 357410836 /nfs/dbraw/zinc/41/08/36/357410836.db2.gz AWUFIWBMWJSNCP-MNOVXSKESA-N 1 2 323.343 1.675 20 30 DDEDLO Cc1nccnc1C[N@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000598672949 357680718 /nfs/dbraw/zinc/68/07/18/357680718.db2.gz MEFCQKUCFSWDEW-GFCCVEGCSA-N 1 2 309.377 1.157 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000598672949 357680722 /nfs/dbraw/zinc/68/07/22/357680722.db2.gz MEFCQKUCFSWDEW-GFCCVEGCSA-N 1 2 309.377 1.157 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CC(CC3CC3)C2)nc1 ZINC000598707020 357698212 /nfs/dbraw/zinc/69/82/12/357698212.db2.gz VXIKQNUBFXUNOM-UHFFFAOYSA-N 1 2 305.403 1.459 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1ncc(Br)cc1C#N ZINC000599161974 357834297 /nfs/dbraw/zinc/83/42/97/357834297.db2.gz ZMXCAMYDFPPJGY-NSHDSACASA-N 1 2 311.183 1.458 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1ncc(Br)cc1C#N ZINC000599161974 357834300 /nfs/dbraw/zinc/83/43/00/357834300.db2.gz ZMXCAMYDFPPJGY-NSHDSACASA-N 1 2 311.183 1.458 20 30 DDEDLO CCC(C#N)(CC)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000600232349 358164107 /nfs/dbraw/zinc/16/41/07/358164107.db2.gz KHGBMNMWNUOBJK-KRWDZBQOSA-N 1 2 323.437 1.048 20 30 DDEDLO CCC(C#N)(CC)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000600232349 358164111 /nfs/dbraw/zinc/16/41/11/358164111.db2.gz KHGBMNMWNUOBJK-KRWDZBQOSA-N 1 2 323.437 1.048 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)C[C@H](CC#N)c1ccccc1 ZINC000600417831 358215333 /nfs/dbraw/zinc/21/53/33/358215333.db2.gz BRGZKPQEUTZMSW-HOTGVXAUSA-N 1 2 301.390 1.521 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)C[C@H](CC#N)c1ccccc1 ZINC000600417831 358215338 /nfs/dbraw/zinc/21/53/38/358215338.db2.gz BRGZKPQEUTZMSW-HOTGVXAUSA-N 1 2 301.390 1.521 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccccc2CC#N)[C@@H](C)CO1 ZINC000601667073 358645612 /nfs/dbraw/zinc/64/56/12/358645612.db2.gz QAAIHHNWMDADLT-UONOGXRCSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccccc2CC#N)[C@@H](C)CO1 ZINC000601667073 358645613 /nfs/dbraw/zinc/64/56/13/358645613.db2.gz QAAIHHNWMDADLT-UONOGXRCSA-N 1 2 301.390 1.592 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@@H]1CCn2cc(C)[nH+]c2C1)C(=O)OC ZINC000601787937 358696017 /nfs/dbraw/zinc/69/60/17/358696017.db2.gz MKUAVLDOTIXRAE-CHWSQXEVSA-N 1 2 305.378 1.378 20 30 DDEDLO CCc1c[nH]c(CC(=O)N2CCN(c3ccc(C#N)cn3)CC2)[nH+]1 ZINC000602080170 358804073 /nfs/dbraw/zinc/80/40/73/358804073.db2.gz WTDQDNFPIORHSJ-UHFFFAOYSA-N 1 2 324.388 1.130 20 30 DDEDLO C=C(C)C[C@H]([NH2+]C1CCN(c2cnccn2)CC1)C(=O)OC ZINC000602733586 359155325 /nfs/dbraw/zinc/15/53/25/359155325.db2.gz JKCOAZWFVRZAIS-AWEZNQCLSA-N 1 2 304.394 1.543 20 30 DDEDLO C[C@@H]1C[NH+]([C@H](C(N)=O)c2ccc(C#N)cc2)C[C@@H](C)N1CC#N ZINC000602852359 359239197 /nfs/dbraw/zinc/23/91/97/359239197.db2.gz BIIZJEAKOOMFJY-IOASZLSFSA-N 1 2 311.389 1.003 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2nnc(-c3ccco3)o2)C[C@H](C)N1CC#N ZINC000602857008 359242545 /nfs/dbraw/zinc/24/25/45/359242545.db2.gz NVBCDZNTVICQSA-TXEJJXNPSA-N 1 2 301.350 1.748 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2nnc(-c3ccco3)o2)C[C@H](C)N1CC#N ZINC000602857008 359242549 /nfs/dbraw/zinc/24/25/49/359242549.db2.gz NVBCDZNTVICQSA-TXEJJXNPSA-N 1 2 301.350 1.748 20 30 DDEDLO O=C(C[C@@H]1CCOC1)NCc1ccc[nH+]c1N1CCOCC1 ZINC000329856334 223038103 /nfs/dbraw/zinc/03/81/03/223038103.db2.gz USKYMUYKGAFUBI-ZDUSSCGKSA-N 1 2 305.378 1.801 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)NCc2ccccc2)CC1 ZINC000609485376 360312752 /nfs/dbraw/zinc/31/27/52/360312752.db2.gz OSZPUBOWMJURMJ-QGZVFWFLSA-N 1 2 314.433 1.469 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@H](O)COc1ccccc1Cl ZINC000610511739 360457876 /nfs/dbraw/zinc/45/78/76/360457876.db2.gz RIMOENPXDVWXLW-ZDUSSCGKSA-N 1 2 312.797 1.942 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@H](O)COc1ccccc1Cl ZINC000610511739 360457878 /nfs/dbraw/zinc/45/78/78/360457878.db2.gz RIMOENPXDVWXLW-ZDUSSCGKSA-N 1 2 312.797 1.942 20 30 DDEDLO C[C@H](C(=O)NC1CCC(C)CC1)[NH+]1CCN(CCC#N)CC1 ZINC000611173980 360646422 /nfs/dbraw/zinc/64/64/22/360646422.db2.gz UBOUQRMZXMAMAE-HWOWSKLDSA-N 1 2 306.454 1.601 20 30 DDEDLO N#Cc1nc(-c2ccco2)oc1N1CC[NH+](Cc2cn[nH]c2)CC1 ZINC000611255912 360681495 /nfs/dbraw/zinc/68/14/95/360681495.db2.gz REAHMDMCLNMQMZ-UHFFFAOYSA-N 1 2 324.344 1.852 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCC[C@@H](OC(F)F)C2)cc1 ZINC000611578477 360766224 /nfs/dbraw/zinc/76/62/24/360766224.db2.gz PJXBOBDBLKZZBD-CQSZACIVSA-N 1 2 323.343 1.878 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCC[C@@H](OC(F)F)C2)cc1 ZINC000611578477 360766228 /nfs/dbraw/zinc/76/62/28/360766228.db2.gz PJXBOBDBLKZZBD-CQSZACIVSA-N 1 2 323.343 1.878 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCC[C@@H](OC(F)F)C2)c1 ZINC000611579871 360766366 /nfs/dbraw/zinc/76/63/66/360766366.db2.gz XWVGLBKIBBZQIX-CQSZACIVSA-N 1 2 323.343 1.878 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCC[C@@H](OC(F)F)C2)c1 ZINC000611579871 360766368 /nfs/dbraw/zinc/76/63/68/360766368.db2.gz XWVGLBKIBBZQIX-CQSZACIVSA-N 1 2 323.343 1.878 20 30 DDEDLO C[C@H](NC(=O)C1([NH+]2CCOCC2)CCC1)c1cccc(C#N)c1 ZINC000611845311 360840145 /nfs/dbraw/zinc/84/01/45/360840145.db2.gz AQRZOEUURCWXJR-AWEZNQCLSA-N 1 2 313.401 1.990 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](C[C@@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000612162770 360937109 /nfs/dbraw/zinc/93/71/09/360937109.db2.gz QUTGRLLBCPLYRF-CJNGLKHVSA-N 1 2 319.361 1.960 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](C[C@@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000612162770 360937112 /nfs/dbraw/zinc/93/71/12/360937112.db2.gz QUTGRLLBCPLYRF-CJNGLKHVSA-N 1 2 319.361 1.960 20 30 DDEDLO Cc1ccn(C)c(=O)c1NC(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000330263940 223096248 /nfs/dbraw/zinc/09/62/48/223096248.db2.gz XGJYJGGOPNDSQZ-NSHDSACASA-N 1 2 301.350 1.231 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(Cc2cscn2)CC1 ZINC000330418486 223112857 /nfs/dbraw/zinc/11/28/57/223112857.db2.gz DODLBEORIDHRHB-CYBMUJFWSA-N 1 2 321.450 1.021 20 30 DDEDLO Cc1ccc(NC(=O)NC(=O)C[N@@H+]2C[C@@H](F)C[C@H]2CO)c(C)c1 ZINC000330568702 223127673 /nfs/dbraw/zinc/12/76/73/223127673.db2.gz NJSIGIKRTXWUNJ-STQMWFEESA-N 1 2 323.368 1.370 20 30 DDEDLO Cc1ccc(NC(=O)NC(=O)C[N@H+]2C[C@@H](F)C[C@H]2CO)c(C)c1 ZINC000330568702 223127676 /nfs/dbraw/zinc/12/76/76/223127676.db2.gz NJSIGIKRTXWUNJ-STQMWFEESA-N 1 2 323.368 1.370 20 30 DDEDLO CN(C)S(=O)(=O)c1cccc(C[NH2+][C@H]2CCC[C@@H]2C#N)c1 ZINC000330857056 223149088 /nfs/dbraw/zinc/14/90/88/223149088.db2.gz SRNVQITVSZISEZ-HIFRSBDPSA-N 1 2 307.419 1.719 20 30 DDEDLO N#C[C@@H]1CCC[C@@H]1[NH2+][C@H]1CCN(c2cccc([N+](=O)[O-])c2)C1=O ZINC000332110987 223210985 /nfs/dbraw/zinc/21/09/85/223210985.db2.gz JEMAQXWVMJCWEL-CQDKDKBSSA-N 1 2 314.345 1.982 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@H](C(=O)Nc2ccc(C)cn2)C1 ZINC000619719670 364131015 /nfs/dbraw/zinc/13/10/15/364131015.db2.gz STTPBCXPJOXVKH-UONOGXRCSA-N 1 2 317.389 1.768 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@H](C(=O)Nc2ccc(C)cn2)C1 ZINC000619719670 364131017 /nfs/dbraw/zinc/13/10/17/364131017.db2.gz STTPBCXPJOXVKH-UONOGXRCSA-N 1 2 317.389 1.768 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CC[C@@H]1CCCO1 ZINC000343039733 223316168 /nfs/dbraw/zinc/31/61/68/223316168.db2.gz JFRROBLHZWWVKB-HNNXBMFYSA-N 1 2 309.410 1.020 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CC)[C@H]1CCN(c2ccccc2)C1=O ZINC000264623040 204034983 /nfs/dbraw/zinc/03/49/83/204034983.db2.gz OJSQZJGNRSDCLB-HNNXBMFYSA-N 1 2 301.390 1.416 20 30 DDEDLO C=CCNC(=O)C[N@H+](CC)[C@H]1CCN(c2ccccc2)C1=O ZINC000264623040 204034986 /nfs/dbraw/zinc/03/49/86/204034986.db2.gz OJSQZJGNRSDCLB-HNNXBMFYSA-N 1 2 301.390 1.416 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@@H](C)O1 ZINC000264714141 204096276 /nfs/dbraw/zinc/09/62/76/204096276.db2.gz LLXVDIJQSDTKQZ-CZUORRHYSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@@H](C)O1 ZINC000264714141 204096281 /nfs/dbraw/zinc/09/62/81/204096281.db2.gz LLXVDIJQSDTKQZ-CZUORRHYSA-N 1 2 301.390 1.674 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](CC(=O)NC3CC3)CC2)c(F)c1 ZINC000022847345 182336758 /nfs/dbraw/zinc/33/67/58/182336758.db2.gz BYINBODFTDAZMT-UHFFFAOYSA-N 1 2 316.380 1.094 20 30 DDEDLO Cc1nsc(NC(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1C#N ZINC000333694875 249073651 /nfs/dbraw/zinc/07/36/51/249073651.db2.gz CNQPHDRLOZXUOP-SECBINFHSA-N 1 2 302.363 1.656 20 30 DDEDLO CC(C)c1[nH+]c2c(n1C)CCN([C@H](C)C(=O)N(C)CCC#N)C2 ZINC000106371717 194202751 /nfs/dbraw/zinc/20/27/51/194202751.db2.gz NLLCJFPJXNLXCT-CYBMUJFWSA-N 1 2 317.437 1.662 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCCN(CC(F)(F)F)CC1 ZINC000058577934 184123384 /nfs/dbraw/zinc/12/33/84/184123384.db2.gz VNRGVBWDKMYKIM-UHFFFAOYSA-N 1 2 319.371 1.757 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCCN(CC(F)(F)F)CC1 ZINC000058577934 184123385 /nfs/dbraw/zinc/12/33/85/184123385.db2.gz VNRGVBWDKMYKIM-UHFFFAOYSA-N 1 2 319.371 1.757 20 30 DDEDLO N#CCCC[N@H+]1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000064353247 184295327 /nfs/dbraw/zinc/29/53/27/184295327.db2.gz KHWQIQVJKQTWTR-UHFFFAOYSA-N 1 2 307.419 1.687 20 30 DDEDLO N#CCCC[N@@H+]1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000064353247 184295329 /nfs/dbraw/zinc/29/53/29/184295329.db2.gz KHWQIQVJKQTWTR-UHFFFAOYSA-N 1 2 307.419 1.687 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)NC1(C#N)CCCCC1)CC(C)C ZINC000065224085 184315507 /nfs/dbraw/zinc/31/55/07/184315507.db2.gz WWTWWIVOQWLUJQ-UHFFFAOYSA-N 1 2 323.437 1.850 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)NC1(C#N)CCCCC1)CC(C)C ZINC000065224085 184315508 /nfs/dbraw/zinc/31/55/08/184315508.db2.gz WWTWWIVOQWLUJQ-UHFFFAOYSA-N 1 2 323.437 1.850 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@H+](C)CC(C)(C)C#N ZINC000433304516 296335108 /nfs/dbraw/zinc/33/51/08/296335108.db2.gz KZCOIBQJYIBJGJ-OLZOCXBDSA-N 1 2 308.426 1.872 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@@H+](C)CC(C)(C)C#N ZINC000433304516 296335109 /nfs/dbraw/zinc/33/51/09/296335109.db2.gz KZCOIBQJYIBJGJ-OLZOCXBDSA-N 1 2 308.426 1.872 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2cc(C#N)cc(N(C)C)c2)CC1 ZINC000294819448 532959168 /nfs/dbraw/zinc/95/91/68/532959168.db2.gz QINAQISJGFZTBD-UHFFFAOYSA-N 1 2 316.405 1.028 20 30 DDEDLO CCC[N@H+](CC(=O)NC)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000120177020 195111802 /nfs/dbraw/zinc/11/18/02/195111802.db2.gz RYCDTWKFXCNTHY-UHFFFAOYSA-N 1 2 322.796 1.608 20 30 DDEDLO CCC[N@@H+](CC(=O)NC)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000120177020 195111804 /nfs/dbraw/zinc/11/18/04/195111804.db2.gz RYCDTWKFXCNTHY-UHFFFAOYSA-N 1 2 322.796 1.608 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](C(=O)NCc3[nH]cc[nH+]3)C2)c(F)c1 ZINC000286506902 219026341 /nfs/dbraw/zinc/02/63/41/219026341.db2.gz WKQMPIHSCWHBIL-LBPRGKRZSA-N 1 2 313.336 1.563 20 30 DDEDLO COCC(C)(C)[NH+]1CCN(C(=O)c2ccc(C#N)s2)CC1 ZINC000424989060 533093888 /nfs/dbraw/zinc/09/38/88/533093888.db2.gz HILOFMWVVWYAFJ-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO C[C@H](NC(=O)c1c(F)cc(C#N)cc1F)[C@H](C)[NH+]1CCOCC1 ZINC000152139202 196777540 /nfs/dbraw/zinc/77/75/40/196777540.db2.gz PXMKEYNHUZTLFK-QWRGUYRKSA-N 1 2 323.343 1.675 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCCc1cnn(-c2ccccc2)c1 ZINC000072906789 221465054 /nfs/dbraw/zinc/46/50/54/221465054.db2.gz XJGIROSLYHNXJS-UHFFFAOYSA-N 1 2 320.396 1.099 20 30 DDEDLO C[C@@H](C(=O)NC1CCCC1)[NH+]1CCN(C(=O)CCCC#N)CC1 ZINC000330895065 533244807 /nfs/dbraw/zinc/24/48/07/533244807.db2.gz MIDMTTPGBSVZFX-AWEZNQCLSA-N 1 2 320.437 1.272 20 30 DDEDLO O=C(N[C@H]1CCS(=O)(=O)C1)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000329134015 296489560 /nfs/dbraw/zinc/48/95/60/296489560.db2.gz BNLIFNKQVAKYOE-QWRGUYRKSA-N 1 2 312.395 1.038 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CC[C@@H](n3cccn3)C2)c1C#N ZINC000579779317 422828165 /nfs/dbraw/zinc/82/81/65/422828165.db2.gz GHXQAIBOVQVKKR-CQSZACIVSA-N 1 2 310.357 1.988 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CC[C@@H](n3cccn3)C2)c1C#N ZINC000579779317 422828171 /nfs/dbraw/zinc/82/81/71/422828171.db2.gz GHXQAIBOVQVKKR-CQSZACIVSA-N 1 2 310.357 1.988 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000071140648 406831980 /nfs/dbraw/zinc/83/19/80/406831980.db2.gz DZMZJXDWTFMUPF-SNVBAGLBSA-N 1 2 308.338 1.261 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1CCC[C@H]1CO ZINC000072665550 406884148 /nfs/dbraw/zinc/88/41/48/406884148.db2.gz UCUAXKOSRGKIQC-LBPRGKRZSA-N 1 2 305.403 1.697 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1CCC[C@H]1CO ZINC000072665550 406884149 /nfs/dbraw/zinc/88/41/49/406884149.db2.gz UCUAXKOSRGKIQC-LBPRGKRZSA-N 1 2 305.403 1.697 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Nc1ccc(F)cc1 ZINC000074394415 406911773 /nfs/dbraw/zinc/91/17/73/406911773.db2.gz KOLPBZJTDSWOAD-UHFFFAOYSA-N 1 2 320.368 1.905 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCC(C(=O)c2ccc(O)cc2)CC1 ZINC000078658097 407039707 /nfs/dbraw/zinc/03/97/07/407039707.db2.gz OCOJBUSNBYZRFN-CYBMUJFWSA-N 1 2 314.385 1.425 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cccc([N+](=O)[O-])c1 ZINC000078681339 407040782 /nfs/dbraw/zinc/04/07/82/407040782.db2.gz AURNPQKXKMEUED-UHFFFAOYSA-N 1 2 318.377 1.643 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H](O)c1ccccc1 ZINC000081515438 407077798 /nfs/dbraw/zinc/07/77/98/407077798.db2.gz WMTBHMGLEBHAFG-QGZVFWFLSA-N 1 2 303.406 1.268 20 30 DDEDLO C=CC[N@H+](Cc1cccc2c[nH]nc21)[C@@H]1CCS(=O)(=O)C1 ZINC000092361219 407188769 /nfs/dbraw/zinc/18/87/69/407188769.db2.gz LAYFTXHTPBVHNS-CQSZACIVSA-N 1 2 305.403 1.738 20 30 DDEDLO C=CC[N@@H+](Cc1cccc2c[nH]nc21)[C@@H]1CCS(=O)(=O)C1 ZINC000092361219 407188771 /nfs/dbraw/zinc/18/87/71/407188771.db2.gz LAYFTXHTPBVHNS-CQSZACIVSA-N 1 2 305.403 1.738 20 30 DDEDLO COCc1ccccc1CNC(=O)C[NH+]1CCC(C#N)CC1 ZINC000060893068 407226903 /nfs/dbraw/zinc/22/69/03/407226903.db2.gz ZWDCJPLCPPMELQ-UHFFFAOYSA-N 1 2 301.390 1.685 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCc2noc(C3CC3)n2)cc1 ZINC000067098661 407267031 /nfs/dbraw/zinc/26/70/31/407267031.db2.gz KJBIMOHIFGQRPR-UHFFFAOYSA-N 1 2 302.334 1.987 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000098072137 407305363 /nfs/dbraw/zinc/30/53/63/407305363.db2.gz DSYFTFOGMNRPKA-AWEZNQCLSA-N 1 2 306.362 1.448 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000098072137 407305365 /nfs/dbraw/zinc/30/53/65/407305365.db2.gz DSYFTFOGMNRPKA-AWEZNQCLSA-N 1 2 306.362 1.448 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2C[C@@H](OC)C[C@H]2C(=O)OC)cc1 ZINC000125809851 407398519 /nfs/dbraw/zinc/39/85/19/407398519.db2.gz DSIOAXZWYMBSPI-HOTGVXAUSA-N 1 2 303.358 1.461 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2C[C@@H](OC)C[C@H]2C(=O)OC)cc1 ZINC000125809851 407398521 /nfs/dbraw/zinc/39/85/21/407398521.db2.gz DSIOAXZWYMBSPI-HOTGVXAUSA-N 1 2 303.358 1.461 20 30 DDEDLO C=CCOc1ccccc1C[NH+]1CCN(CC(=O)NCC)CC1 ZINC000126427311 407413631 /nfs/dbraw/zinc/41/36/31/407413631.db2.gz LELZVUOVCOPZTD-UHFFFAOYSA-N 1 2 317.433 1.505 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1sccc1C#N)[NH+]1CCN(C)CC1 ZINC000112914277 407445339 /nfs/dbraw/zinc/44/53/39/407445339.db2.gz KDWHPPJSFBXXOT-AWEZNQCLSA-N 1 2 320.462 1.622 20 30 DDEDLO C=CC[N@@H+](CCNC(=O)OC(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000178857425 407626415 /nfs/dbraw/zinc/62/64/15/407626415.db2.gz YRWKPUSGUMEKFT-GFCCVEGCSA-N 1 2 318.439 1.186 20 30 DDEDLO C=CC[N@H+](CCNC(=O)OC(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000178857425 407626421 /nfs/dbraw/zinc/62/64/21/407626421.db2.gz YRWKPUSGUMEKFT-GFCCVEGCSA-N 1 2 318.439 1.186 20 30 DDEDLO CCC[C@H]1C(=O)NCC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000152492565 407643619 /nfs/dbraw/zinc/64/36/19/407643619.db2.gz GFUWEGQNOCWPFK-LBPRGKRZSA-N 1 2 320.418 1.549 20 30 DDEDLO CCC[C@H]1C(=O)NCC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000152492565 407643623 /nfs/dbraw/zinc/64/36/23/407643623.db2.gz GFUWEGQNOCWPFK-LBPRGKRZSA-N 1 2 320.418 1.549 20 30 DDEDLO CC(C)N1CC[C@@H]([NH+]2CCN(c3cc(C#N)ccn3)CC2)C1=O ZINC000266982113 407702563 /nfs/dbraw/zinc/70/25/63/407702563.db2.gz APBOVKWLVUNQNC-OAHLLOKOSA-N 1 2 313.405 1.085 20 30 DDEDLO COCCO[C@@H]1CCC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000179335996 407771246 /nfs/dbraw/zinc/77/12/46/407771246.db2.gz HHNXBXDDSAEJEY-CYBMUJFWSA-N 1 2 323.418 1.686 20 30 DDEDLO COCCO[C@@H]1CCC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000179335996 407771250 /nfs/dbraw/zinc/77/12/50/407771250.db2.gz HHNXBXDDSAEJEY-CYBMUJFWSA-N 1 2 323.418 1.686 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2sccc2C#N)CC[N@@H+]1CCOC ZINC000117299323 407826786 /nfs/dbraw/zinc/82/67/86/407826786.db2.gz YIIUXWYJGHPENL-CYBMUJFWSA-N 1 2 307.419 1.803 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2sccc2C#N)CC[N@H+]1CCOC ZINC000117299323 407826794 /nfs/dbraw/zinc/82/67/94/407826794.db2.gz YIIUXWYJGHPENL-CYBMUJFWSA-N 1 2 307.419 1.803 20 30 DDEDLO C#CCCCCC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000179826406 407834709 /nfs/dbraw/zinc/83/47/09/407834709.db2.gz LWFZJLHDIAQCKO-INIZCTEOSA-N 1 2 310.463 1.504 20 30 DDEDLO CN(C(=O)C[N@H+](CC(N)=O)C(C)(C)C)C1(C#N)CCCCC1 ZINC000133951328 407877460 /nfs/dbraw/zinc/87/74/60/407877460.db2.gz OJFCIKGZSGVTRD-UHFFFAOYSA-N 1 2 308.426 1.257 20 30 DDEDLO CN(C(=O)C[N@@H+](CC(N)=O)C(C)(C)C)C1(C#N)CCCCC1 ZINC000133951328 407877470 /nfs/dbraw/zinc/87/74/70/407877470.db2.gz OJFCIKGZSGVTRD-UHFFFAOYSA-N 1 2 308.426 1.257 20 30 DDEDLO N#CCC[N@@H+](CC(=O)NCc1ccc2c(c1)OCO2)CC1CC1 ZINC000174695162 407979579 /nfs/dbraw/zinc/97/95/79/407979579.db2.gz KXCZRVUDHBJZTG-UHFFFAOYSA-N 1 2 315.373 1.657 20 30 DDEDLO N#CCC[N@H+](CC(=O)NCc1ccc2c(c1)OCO2)CC1CC1 ZINC000174695162 407979585 /nfs/dbraw/zinc/97/95/85/407979585.db2.gz KXCZRVUDHBJZTG-UHFFFAOYSA-N 1 2 315.373 1.657 20 30 DDEDLO CCc1nnc(N[C@H](C)[C@@H](C)[NH+]2CCOCC2)c(C#N)c1CC ZINC000268194789 407940521 /nfs/dbraw/zinc/94/05/21/407940521.db2.gz NLKKGUCRDLOBLK-CHWSQXEVSA-N 1 2 317.437 1.994 20 30 DDEDLO N#CCCCOc1cccc(C[NH+]2CCN(CCO)CC2)c1 ZINC000154558022 408050169 /nfs/dbraw/zinc/05/01/69/408050169.db2.gz OHZACKRSZVFOIB-UHFFFAOYSA-N 1 2 303.406 1.479 20 30 DDEDLO COCCO[C@@H]1CC[N@@H+]([C@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000189694638 408082755 /nfs/dbraw/zinc/08/27/55/408082755.db2.gz HLUVXFPHAAYGIV-CVEARBPZSA-N 1 2 318.373 1.510 20 30 DDEDLO COCCO[C@@H]1CC[N@H+]([C@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000189694638 408082764 /nfs/dbraw/zinc/08/27/64/408082764.db2.gz HLUVXFPHAAYGIV-CVEARBPZSA-N 1 2 318.373 1.510 20 30 DDEDLO Cn1cc(Cl)cc1C[N@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000120178187 408121128 /nfs/dbraw/zinc/12/11/28/408121128.db2.gz GYAHZEABQHVTQM-OAHLLOKOSA-N 1 2 308.813 1.919 20 30 DDEDLO Cn1cc(Cl)cc1C[N@@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000120178187 408121131 /nfs/dbraw/zinc/12/11/31/408121131.db2.gz GYAHZEABQHVTQM-OAHLLOKOSA-N 1 2 308.813 1.919 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](C)c1nc(-c2ccccc2OC)no1 ZINC000273425638 408193771 /nfs/dbraw/zinc/19/37/71/408193771.db2.gz WKEIDYNUKJSGJD-NSHDSACASA-N 1 2 316.361 1.698 20 30 DDEDLO CO[C@H](C)c1noc(C[N@H+](C)C[C@@H](O)c2ccc(C#N)cc2)n1 ZINC000268679092 408152899 /nfs/dbraw/zinc/15/28/99/408152899.db2.gz LYUYRXOZYKIQHK-BXUZGUMPSA-N 1 2 316.361 1.814 20 30 DDEDLO CO[C@H](C)c1noc(C[N@@H+](C)C[C@@H](O)c2ccc(C#N)cc2)n1 ZINC000268679092 408152902 /nfs/dbraw/zinc/15/29/02/408152902.db2.gz LYUYRXOZYKIQHK-BXUZGUMPSA-N 1 2 316.361 1.814 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@H+](C[C@@H](O)c1ccc(C#N)cc1)C1CC1 ZINC000268731929 408179256 /nfs/dbraw/zinc/17/92/56/408179256.db2.gz XMHDBVZTXXMQRA-BLLLJJGKSA-N 1 2 322.430 1.489 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@@H+](C[C@@H](O)c1ccc(C#N)cc1)C1CC1 ZINC000268731929 408179263 /nfs/dbraw/zinc/17/92/63/408179263.db2.gz XMHDBVZTXXMQRA-BLLLJJGKSA-N 1 2 322.430 1.489 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@H+](CC)C[C@H](C)C#N)CC1 ZINC000157630066 408310502 /nfs/dbraw/zinc/31/05/02/408310502.db2.gz RLWYZNJJUXRIAX-KGLIPLIRSA-N 1 2 323.437 1.658 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@@H+](CC)C[C@H](C)C#N)CC1 ZINC000157630066 408310507 /nfs/dbraw/zinc/31/05/07/408310507.db2.gz RLWYZNJJUXRIAX-KGLIPLIRSA-N 1 2 323.437 1.658 20 30 DDEDLO CCc1[nH+]c2ccccc2n1CC(=O)N[C@@](C)(C#N)COC ZINC000269508824 408335577 /nfs/dbraw/zinc/33/55/77/408335577.db2.gz CHJWEXINLKITMN-INIZCTEOSA-N 1 2 300.362 1.644 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)Cc1cccc(C#N)c1 ZINC000134552105 162081187 /nfs/dbraw/zinc/08/11/87/162081187.db2.gz IQGPUUVONKQTOA-UHFFFAOYSA-N 1 2 318.402 1.607 20 30 DDEDLO C=CC[C@H](CO)NC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000183268477 408368577 /nfs/dbraw/zinc/36/85/77/408368577.db2.gz CYHDZXQDAYRVKK-CYBMUJFWSA-N 1 2 320.393 1.285 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)CSc1ccc(C#N)cc1 ZINC000264213152 408407550 /nfs/dbraw/zinc/40/75/50/408407550.db2.gz UXDULOIUNYAXCK-UHFFFAOYSA-N 1 2 319.430 1.441 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)N(C)Cc2[nH+]ccn2C)c(C#N)c1C ZINC000270522151 408562248 /nfs/dbraw/zinc/56/22/48/408562248.db2.gz ACNCWCJJVGJURW-LLVKDONJSA-N 1 2 315.377 1.961 20 30 DDEDLO Cc1cncc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)c1 ZINC000248993374 408671559 /nfs/dbraw/zinc/67/15/59/408671559.db2.gz XMHCHAFXIJZKIT-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1cncc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)c1 ZINC000248993374 408671565 /nfs/dbraw/zinc/67/15/65/408671565.db2.gz XMHCHAFXIJZKIT-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO COC[C@H]([NH2+]CC(=O)NC1(C#N)CCCCC1)c1ccco1 ZINC000184538870 408637346 /nfs/dbraw/zinc/63/73/46/408637346.db2.gz BEGIQGILQFUNSX-ZDUSSCGKSA-N 1 2 305.378 1.899 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(c2cc(Cl)ccc2C#N)CC1 ZINC000265591990 408704672 /nfs/dbraw/zinc/70/46/72/408704672.db2.gz YGEUVFNHYROYHY-UHFFFAOYSA-N 1 2 320.824 1.858 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@H](C)c1nc(C2CCCCC2)no1 ZINC000185239940 408785206 /nfs/dbraw/zinc/78/52/06/408785206.db2.gz PVDYHIZZQNLVBM-NWDGAFQWSA-N 1 2 304.394 1.906 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH2+][C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000185439516 408808561 /nfs/dbraw/zinc/80/85/61/408808561.db2.gz UBVGLFNEXCZTHW-BLLLJJGKSA-N 1 2 316.380 1.929 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(Cc2ccccc2Cl)CC1 ZINC000165887251 408813791 /nfs/dbraw/zinc/81/37/91/408813791.db2.gz MTHVWNWNYUTVCJ-CQSZACIVSA-N 1 2 319.836 1.596 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CC[NH+](Cc2ccccc2Cl)CC1 ZINC000165887251 408813795 /nfs/dbraw/zinc/81/37/95/408813795.db2.gz MTHVWNWNYUTVCJ-CQSZACIVSA-N 1 2 319.836 1.596 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NCCC2=CCCCC2)C1=O ZINC000281180178 408874159 /nfs/dbraw/zinc/87/41/59/408874159.db2.gz AXDVOVSEMWTIOX-INIZCTEOSA-N 1 2 319.449 1.712 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NCCC2=CCCCC2)C1=O ZINC000281180178 408874162 /nfs/dbraw/zinc/87/41/62/408874162.db2.gz AXDVOVSEMWTIOX-INIZCTEOSA-N 1 2 319.449 1.712 20 30 DDEDLO CNC(=O)[C@@]1(C)CC[N@@H+]([C@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000281180562 408874986 /nfs/dbraw/zinc/87/49/86/408874986.db2.gz QVFNZYISIGMHCD-YOEHRIQHSA-N 1 2 315.373 1.230 20 30 DDEDLO CNC(=O)[C@@]1(C)CC[N@H+]([C@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000281180562 408874990 /nfs/dbraw/zinc/87/49/90/408874990.db2.gz QVFNZYISIGMHCD-YOEHRIQHSA-N 1 2 315.373 1.230 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N2CCc3ccccc32)C1=O ZINC000281282811 408878424 /nfs/dbraw/zinc/87/84/24/408878424.db2.gz IVELUMGJFVVVDJ-MRXNPFEDSA-N 1 2 313.401 1.294 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N2CCc3ccccc32)C1=O ZINC000281282811 408878427 /nfs/dbraw/zinc/87/84/27/408878427.db2.gz IVELUMGJFVVVDJ-MRXNPFEDSA-N 1 2 313.401 1.294 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@H](Oc3ncccc3F)C2)C1=O ZINC000281341601 408883228 /nfs/dbraw/zinc/88/32/28/408883228.db2.gz MLTPICQHDMPJBK-ZFWWWQNUSA-N 1 2 319.380 1.851 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@H](Oc3ncccc3F)C2)C1=O ZINC000281341601 408883230 /nfs/dbraw/zinc/88/32/30/408883230.db2.gz MLTPICQHDMPJBK-ZFWWWQNUSA-N 1 2 319.380 1.851 20 30 DDEDLO CC1(C)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@H]1C1CC1 ZINC000290820729 408838849 /nfs/dbraw/zinc/83/88/49/408838849.db2.gz SMAJKQCMKIRALE-HNNXBMFYSA-N 1 2 319.430 1.847 20 30 DDEDLO CC1(C)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@H]1C1CC1 ZINC000290820729 408838851 /nfs/dbraw/zinc/83/88/51/408838851.db2.gz SMAJKQCMKIRALE-HNNXBMFYSA-N 1 2 319.430 1.847 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2nnc(-c3ccc(C#N)cc3)o2)C[C@@H]1OC ZINC000276804782 408900902 /nfs/dbraw/zinc/90/09/02/408900902.db2.gz DAROGFVFYRTMTL-OKILXGFUSA-N 1 2 314.345 1.454 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2nnc(-c3ccc(C#N)cc3)o2)C[C@@H]1OC ZINC000276804782 408900905 /nfs/dbraw/zinc/90/09/05/408900905.db2.gz DAROGFVFYRTMTL-OKILXGFUSA-N 1 2 314.345 1.454 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)c2ccc(C(=O)OC)o2)C1=O ZINC000281514056 408889174 /nfs/dbraw/zinc/88/91/74/408889174.db2.gz YXHHBOQHJNTBOT-VXGBXAGGSA-N 1 2 306.362 1.846 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)c2ccc(C(=O)OC)o2)C1=O ZINC000281514056 408889176 /nfs/dbraw/zinc/88/91/76/408889176.db2.gz YXHHBOQHJNTBOT-VXGBXAGGSA-N 1 2 306.362 1.846 20 30 DDEDLO Cn1cc(C[NH+]2CCN(CC(F)(F)C(F)F)CC2)cc1C#N ZINC000191364837 163182153 /nfs/dbraw/zinc/18/21/53/163182153.db2.gz HPWJBFXHLSGMMW-UHFFFAOYSA-N 1 2 318.318 1.915 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(Cl)nc3)n2C)CC1 ZINC000282459525 409056044 /nfs/dbraw/zinc/05/60/44/409056044.db2.gz LMHNFOTWYZEYQW-UHFFFAOYSA-N 1 2 316.796 1.286 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N(CCC#N)CC(C)(C)C ZINC000283018355 409072583 /nfs/dbraw/zinc/07/25/83/409072583.db2.gz JPTWCHDWBWNCFD-AWEZNQCLSA-N 1 2 319.409 1.245 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N(CCC#N)CC(C)(C)C ZINC000283018355 409072585 /nfs/dbraw/zinc/07/25/85/409072585.db2.gz JPTWCHDWBWNCFD-AWEZNQCLSA-N 1 2 319.409 1.245 20 30 DDEDLO NC(Cc1ccccc1Cl)=[NH+]OC[C@H]1CCS(=O)(=O)C1 ZINC000278639615 409086476 /nfs/dbraw/zinc/08/64/76/409086476.db2.gz LHLBILIJJCDLFV-SNVBAGLBSA-N 1 2 316.810 1.606 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CCC[C@@H](O)CC2)c(C#N)c1C ZINC000293325076 409090406 /nfs/dbraw/zinc/09/04/06/409090406.db2.gz XQPWJYOWXIOXRE-WCQYABFASA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CCC[C@@H](O)CC2)c(C#N)c1C ZINC000293325076 409090408 /nfs/dbraw/zinc/09/04/08/409090408.db2.gz XQPWJYOWXIOXRE-WCQYABFASA-N 1 2 305.378 1.942 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000278940470 409117119 /nfs/dbraw/zinc/11/71/19/409117119.db2.gz NMPLCQDXQZRXFH-YUMQZZPRSA-N 1 2 305.325 1.950 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](Oc2ncccc2F)C1 ZINC000278959184 409120647 /nfs/dbraw/zinc/12/06/47/409120647.db2.gz ZWLJHPKJQGPCHN-STQMWFEESA-N 1 2 307.369 1.755 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](Oc2ncccc2F)C1 ZINC000278959184 409120649 /nfs/dbraw/zinc/12/06/49/409120649.db2.gz ZWLJHPKJQGPCHN-STQMWFEESA-N 1 2 307.369 1.755 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000293716781 409167402 /nfs/dbraw/zinc/16/74/02/409167402.db2.gz MHIAHLRQUUVCGH-ZDUSSCGKSA-N 1 2 311.345 1.207 20 30 DDEDLO COc1ccc(NC(=O)[C@H](C)O[NH+]=C(N)[C@H]2CCCO2)cc1 ZINC000283872717 409231966 /nfs/dbraw/zinc/23/19/66/409231966.db2.gz CPBZTCDIKICFPW-GXFFZTMASA-N 1 2 307.350 1.490 20 30 DDEDLO C#Cc1ccc(C[N@@H+](CCOC)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000290607546 409459836 /nfs/dbraw/zinc/45/98/36/409459836.db2.gz WHUXTNZYGNJDJT-INIZCTEOSA-N 1 2 307.415 1.303 20 30 DDEDLO C#Cc1ccc(C[N@H+](CCOC)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000290607546 409459841 /nfs/dbraw/zinc/45/98/41/409459841.db2.gz WHUXTNZYGNJDJT-INIZCTEOSA-N 1 2 307.415 1.303 20 30 DDEDLO CC(C)[C@H]([NH2+]CC(=O)N[C@@](C)(C#N)C(C)C)c1nncn1C ZINC000285311000 409407680 /nfs/dbraw/zinc/40/76/80/409407680.db2.gz ICCGKNYFHRVMFK-ZFWWWQNUSA-N 1 2 306.414 1.156 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)c(C)c2)CC1 ZINC000295280419 409412160 /nfs/dbraw/zinc/41/21/60/409412160.db2.gz ILIDGUFKMBIVKP-AWEZNQCLSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000408043964 164207500 /nfs/dbraw/zinc/20/75/00/164207500.db2.gz ZTXLSLCRCUJQIG-STQMWFEESA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000408043964 164207501 /nfs/dbraw/zinc/20/75/01/164207501.db2.gz ZTXLSLCRCUJQIG-STQMWFEESA-N 1 2 307.419 1.661 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)o1)Cc1ccccc1S(N)(=O)=O ZINC000353906941 409554274 /nfs/dbraw/zinc/55/42/74/409554274.db2.gz BWSPWMXFSUKDLO-UHFFFAOYSA-N 1 2 305.359 1.431 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)o1)Cc1ccccc1S(N)(=O)=O ZINC000353906941 409554279 /nfs/dbraw/zinc/55/42/79/409554279.db2.gz BWSPWMXFSUKDLO-UHFFFAOYSA-N 1 2 305.359 1.431 20 30 DDEDLO CCC[N@H+](Cc1cn2ccccc2c1C#N)[C@@H]1CC(=O)N(C)C1=O ZINC000338333805 409918774 /nfs/dbraw/zinc/91/87/74/409918774.db2.gz XYYCTHTYEZYYAB-MRXNPFEDSA-N 1 2 324.384 1.780 20 30 DDEDLO CCC[N@@H+](Cc1cn2ccccc2c1C#N)[C@@H]1CC(=O)N(C)C1=O ZINC000338333805 409918780 /nfs/dbraw/zinc/91/87/80/409918780.db2.gz XYYCTHTYEZYYAB-MRXNPFEDSA-N 1 2 324.384 1.780 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)N[C@@H]2CCO[C@H]2C2CC2)CC1 ZINC000328830004 409989678 /nfs/dbraw/zinc/98/96/78/409989678.db2.gz JQRZLYWLTBZTTJ-OLZOCXBDSA-N 1 2 324.425 1.399 20 30 DDEDLO C#CCO[C@H](C)C(=O)Nc1cc(C)nn1-c1cc(C)[nH+]c(C)n1 ZINC000297537217 409930949 /nfs/dbraw/zinc/93/09/49/409930949.db2.gz BHONXQVJDOZQCV-GFCCVEGCSA-N 1 2 313.361 1.564 20 30 DDEDLO COC[C@H](NC(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21)C1CC1 ZINC000328657847 409948191 /nfs/dbraw/zinc/94/81/91/409948191.db2.gz PWPDSOSEFXFUHY-KBPBESRZSA-N 1 2 306.410 1.998 20 30 DDEDLO CC1=CC[N@H+](CCNC(=O)[C@H]2CCCCS2(=O)=O)CC1 ZINC000329006576 410047628 /nfs/dbraw/zinc/04/76/28/410047628.db2.gz NBHSSVTXMKNQMM-CYBMUJFWSA-N 1 2 300.424 1.562 20 30 DDEDLO CC1=CC[N@@H+](CCNC(=O)[C@H]2CCCCS2(=O)=O)CC1 ZINC000329006576 410047634 /nfs/dbraw/zinc/04/76/34/410047634.db2.gz NBHSSVTXMKNQMM-CYBMUJFWSA-N 1 2 300.424 1.562 20 30 DDEDLO C=CCN(CCOC)C(=O)NCc1cn2cc(C)ccc2[nH+]1 ZINC000357456572 410051673 /nfs/dbraw/zinc/05/16/73/410051673.db2.gz SGCQTRQGZIDXBJ-UHFFFAOYSA-N 1 2 302.378 1.987 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2nc3cc(C)ccc3o2)CC1 ZINC000357660610 410172601 /nfs/dbraw/zinc/17/26/01/410172601.db2.gz BZSDZALXMCLWRW-UHFFFAOYSA-N 1 2 314.389 1.560 20 30 DDEDLO CC(C)[N@@H+]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)[C@H]1C ZINC000329230003 410178083 /nfs/dbraw/zinc/17/80/83/410178083.db2.gz DCWGHPCVSGXAJZ-RWMBFGLXSA-N 1 2 302.440 1.781 20 30 DDEDLO CC(C)[N@H+]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)[C@H]1C ZINC000329230003 410178092 /nfs/dbraw/zinc/17/80/92/410178092.db2.gz DCWGHPCVSGXAJZ-RWMBFGLXSA-N 1 2 302.440 1.781 20 30 DDEDLO Cn1[nH]c(C[N@@H+]2CCC[C@@H](C(=O)Nc3ccccc3)C2)nc1=O ZINC000329245263 410190204 /nfs/dbraw/zinc/19/02/04/410190204.db2.gz ACVSCYKCOPJGLB-GFCCVEGCSA-N 1 2 315.377 1.609 20 30 DDEDLO Cn1[nH]c(C[N@H+]2CCC[C@@H](C(=O)Nc3ccccc3)C2)nc1=O ZINC000329245263 410190207 /nfs/dbraw/zinc/19/02/07/410190207.db2.gz ACVSCYKCOPJGLB-GFCCVEGCSA-N 1 2 315.377 1.609 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000351732561 410193416 /nfs/dbraw/zinc/19/34/16/410193416.db2.gz ZKGZZGAGTTVNMD-OAHLLOKOSA-N 1 2 320.437 1.908 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000351732561 410193423 /nfs/dbraw/zinc/19/34/23/410193423.db2.gz ZKGZZGAGTTVNMD-OAHLLOKOSA-N 1 2 320.437 1.908 20 30 DDEDLO C[C@@H]1OCC[C@]1(O)CNC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000329260944 410196434 /nfs/dbraw/zinc/19/64/34/410196434.db2.gz ATBIBABBFKBOHH-NOLJZWGESA-N 1 2 312.410 1.126 20 30 DDEDLO C[C@@H]1OCC[C@]1(O)CNC(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000329260944 410196443 /nfs/dbraw/zinc/19/64/43/410196443.db2.gz ATBIBABBFKBOHH-NOLJZWGESA-N 1 2 312.410 1.126 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc4ccoc4c3)C[C@@H]21 ZINC000329436965 410296762 /nfs/dbraw/zinc/29/67/62/410296762.db2.gz ADGWMJPLIINKNE-DZGCQCFKSA-N 1 2 301.346 1.993 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc4ccoc4c3)C[C@@H]21 ZINC000329436965 410296770 /nfs/dbraw/zinc/29/67/70/410296770.db2.gz ADGWMJPLIINKNE-DZGCQCFKSA-N 1 2 301.346 1.993 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](CCOC)Cc1ccco1 ZINC000298733433 410373343 /nfs/dbraw/zinc/37/33/43/410373343.db2.gz HOKPKEXMPHXKPZ-CQSZACIVSA-N 1 2 307.394 1.736 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CCOC)Cc1ccco1 ZINC000298733433 410373351 /nfs/dbraw/zinc/37/33/51/410373351.db2.gz HOKPKEXMPHXKPZ-CQSZACIVSA-N 1 2 307.394 1.736 20 30 DDEDLO O=C(NCc1ccc(N2CCOCC2)[nH+]c1)[C@@H]1C[C@@]12CCOC2 ZINC000329603527 410382039 /nfs/dbraw/zinc/38/20/39/410382039.db2.gz LKBFTYDVLLPJIQ-WMLDXEAASA-N 1 2 317.389 1.801 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CC[C@@H](C(=O)OC)C2)c1C ZINC000298807125 410405950 /nfs/dbraw/zinc/40/59/50/410405950.db2.gz QUKRORVRLCXCFL-CQSZACIVSA-N 1 2 304.390 1.969 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CC[C@@H](C(=O)OC)C2)c1C ZINC000298807125 410405957 /nfs/dbraw/zinc/40/59/57/410405957.db2.gz QUKRORVRLCXCFL-CQSZACIVSA-N 1 2 304.390 1.969 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)c1ccn(-c2cccc(C#N)c2)n1 ZINC000355274440 410413301 /nfs/dbraw/zinc/41/33/01/410413301.db2.gz XETSQNCAXPVJIU-UHFFFAOYSA-N 1 2 306.329 1.407 20 30 DDEDLO C=C(CC)C[NH+]1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000358626194 410500602 /nfs/dbraw/zinc/50/06/02/410500602.db2.gz QBUYQWJNTKFCAS-UHFFFAOYSA-N 1 2 315.483 1.691 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@H]1CCCOC1 ZINC000352229241 410566359 /nfs/dbraw/zinc/56/63/59/410566359.db2.gz DJBLYQPKQULEPC-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@H]1CCCOC1 ZINC000352229241 410566364 /nfs/dbraw/zinc/56/63/64/410566364.db2.gz DJBLYQPKQULEPC-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000352249311 410578438 /nfs/dbraw/zinc/57/84/38/410578438.db2.gz IGACPIUNCQAFFD-QWHCGFSZSA-N 1 2 304.394 1.175 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)c2ccc(F)c(C#N)c2)CCO1 ZINC000355565242 410583358 /nfs/dbraw/zinc/58/33/58/410583358.db2.gz JOZUPYDBEBOFPJ-AWEZNQCLSA-N 1 2 305.353 1.538 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)c2ccc(F)c(C#N)c2)CCO1 ZINC000355565242 410583365 /nfs/dbraw/zinc/58/33/65/410583365.db2.gz JOZUPYDBEBOFPJ-AWEZNQCLSA-N 1 2 305.353 1.538 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)N2CCO[C@@H]3CCCC[C@H]32)CC1 ZINC000352492164 410663828 /nfs/dbraw/zinc/66/38/28/410663828.db2.gz WGRCSPGYZAOZEO-ZIAGYGMSSA-N 1 2 309.385 1.484 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCn2cc[nH+]c2C1)N1CCOCC2(CCC2)C1 ZINC000330276556 410609191 /nfs/dbraw/zinc/60/91/91/410609191.db2.gz NBNUPJQUGILYPV-CYBMUJFWSA-N 1 2 304.394 1.614 20 30 DDEDLO N#CC1(F)CCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)CC1 ZINC000359347967 410614477 /nfs/dbraw/zinc/61/44/77/410614477.db2.gz JHCHRYKQPDHOSM-AWEZNQCLSA-N 1 2 309.385 1.484 20 30 DDEDLO N#CC1(F)CCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)CC1 ZINC000359347967 410614485 /nfs/dbraw/zinc/61/44/85/410614485.db2.gz JHCHRYKQPDHOSM-AWEZNQCLSA-N 1 2 309.385 1.484 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)C[C@@H](CC#N)c1ccccc1 ZINC000355672249 410633339 /nfs/dbraw/zinc/63/33/39/410633339.db2.gz NSZHEXVEAVFYLW-DOTOQJQBSA-N 1 2 315.417 1.911 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)C[C@@H](CC#N)c1ccccc1 ZINC000355672249 410633345 /nfs/dbraw/zinc/63/33/45/410633345.db2.gz NSZHEXVEAVFYLW-DOTOQJQBSA-N 1 2 315.417 1.911 20 30 DDEDLO N#Cc1csc(C[NH2+][C@H]2CCN(CC(F)(F)F)C2=O)n1 ZINC000352843333 410679513 /nfs/dbraw/zinc/67/95/13/410679513.db2.gz YXJMZNJXVICXQY-QMMMGPOBSA-N 1 2 304.297 1.268 20 30 DDEDLO N#Cc1csc(CNc2ccc[nH+]c2N2CCOCC2)n1 ZINC000352811800 410681455 /nfs/dbraw/zinc/68/14/55/410681455.db2.gz ULRKPWXJICKCBA-UHFFFAOYSA-N 1 2 301.375 1.858 20 30 DDEDLO C=CCNC(=O)CNC(=O)C[N@@H+](CC)Cc1ccccc1Cl ZINC000355773048 410685734 /nfs/dbraw/zinc/68/57/34/410685734.db2.gz CYSRCPQTZKUDPY-UHFFFAOYSA-N 1 2 323.824 1.580 20 30 DDEDLO C=CCNC(=O)CNC(=O)C[N@H+](CC)Cc1ccccc1Cl ZINC000355773048 410685738 /nfs/dbraw/zinc/68/57/38/410685738.db2.gz CYSRCPQTZKUDPY-UHFFFAOYSA-N 1 2 323.824 1.580 20 30 DDEDLO C=C(C)C[N@H+](Cc1cnn(C(C)C)c1)[C@@H](C)C(=O)NC(=O)NC ZINC000352904474 410688409 /nfs/dbraw/zinc/68/84/09/410688409.db2.gz UUPKFEBIXQRJEK-ZDUSSCGKSA-N 1 2 321.425 1.686 20 30 DDEDLO C=C(C)C[N@@H+](Cc1cnn(C(C)C)c1)[C@@H](C)C(=O)NC(=O)NC ZINC000352904474 410688411 /nfs/dbraw/zinc/68/84/11/410688411.db2.gz UUPKFEBIXQRJEK-ZDUSSCGKSA-N 1 2 321.425 1.686 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1[C@H]1CCCC[C@H]1O ZINC000330545232 410765517 /nfs/dbraw/zinc/76/55/17/410765517.db2.gz BRQXDEPCSSPYGF-BRWVUGGUSA-N 1 2 324.465 1.663 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1[C@H]1CCCC[C@H]1O ZINC000330545232 410765522 /nfs/dbraw/zinc/76/55/22/410765522.db2.gz BRQXDEPCSSPYGF-BRWVUGGUSA-N 1 2 324.465 1.663 20 30 DDEDLO C[NH+](C)Cc1ccc(S(=O)(=O)Nc2ccc(C#N)cn2)cc1 ZINC000340636295 410863601 /nfs/dbraw/zinc/86/36/01/410863601.db2.gz UOEAMNILHKHJPP-UHFFFAOYSA-N 1 2 316.386 1.816 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)[C@@H](C)CN1C ZINC000330603091 410817895 /nfs/dbraw/zinc/81/78/95/410817895.db2.gz XGBAVDUJDZVWMF-RYUDHWBXSA-N 1 2 306.435 1.973 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)[C@@H](C)CN1C ZINC000330603091 410817901 /nfs/dbraw/zinc/81/79/01/410817901.db2.gz XGBAVDUJDZVWMF-RYUDHWBXSA-N 1 2 306.435 1.973 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1)OCC ZINC000353322629 410922126 /nfs/dbraw/zinc/92/21/26/410922126.db2.gz LQOYUMSOAQIWMT-HNNXBMFYSA-N 1 2 318.421 1.805 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H](C)c1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000360003691 410995824 /nfs/dbraw/zinc/99/58/24/410995824.db2.gz FBCRJHKOGSLBGI-KBPBESRZSA-N 1 2 301.390 1.499 20 30 DDEDLO C[C@@H](Nc1nccc(C#N)c1[N+](=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000134211669 196371236 /nfs/dbraw/zinc/37/12/36/196371236.db2.gz AJVVUJOGNGCKLA-GHMZBOCLSA-N 1 2 305.338 1.383 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1cc(C#N)c(Br)cn1 ZINC000600863025 416619288 /nfs/dbraw/zinc/61/92/88/416619288.db2.gz GOIXRTIBSUIRLC-JTQLQIEISA-N 1 2 311.183 1.458 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1cc(C#N)c(Br)cn1 ZINC000600863025 416619289 /nfs/dbraw/zinc/61/92/89/416619289.db2.gz GOIXRTIBSUIRLC-JTQLQIEISA-N 1 2 311.183 1.458 20 30 DDEDLO N#CC1(C(=O)NCc2ccccc2C[NH+]2CCOCC2)CCC1 ZINC000134507768 221604719 /nfs/dbraw/zinc/60/47/19/221604719.db2.gz KCGVXBAAVZXINM-UHFFFAOYSA-N 1 2 313.401 1.829 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000373797208 418461923 /nfs/dbraw/zinc/46/19/23/418461923.db2.gz NANXUKGNDKYVMZ-DYVFJYSZSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000373797208 418461925 /nfs/dbraw/zinc/46/19/25/418461925.db2.gz NANXUKGNDKYVMZ-DYVFJYSZSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C[N@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)ccc1C#N ZINC000282200737 418571303 /nfs/dbraw/zinc/57/13/03/418571303.db2.gz GTQIXFCJFAOLJI-HNNXBMFYSA-N 1 2 320.414 1.718 20 30 DDEDLO COc1cc(C[N@@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)ccc1C#N ZINC000282200737 418571307 /nfs/dbraw/zinc/57/13/07/418571307.db2.gz GTQIXFCJFAOLJI-HNNXBMFYSA-N 1 2 320.414 1.718 20 30 DDEDLO C=CCOC[C@@H](NCc1c(C)[nH+]c2ccccn21)C(=O)OC ZINC000361110636 418585543 /nfs/dbraw/zinc/58/55/43/418585543.db2.gz QDCNAJZIFSTPQM-CYBMUJFWSA-N 1 2 303.362 1.477 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@](C)(c2ccccc2)C1 ZINC000246538398 222223241 /nfs/dbraw/zinc/22/32/41/222223241.db2.gz PZNLJUAWGGLUSY-YJBOKZPZSA-N 1 2 315.417 1.995 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@](C)(c2ccccc2)C1 ZINC000246538398 222223243 /nfs/dbraw/zinc/22/32/43/222223243.db2.gz PZNLJUAWGGLUSY-YJBOKZPZSA-N 1 2 315.417 1.995 20 30 DDEDLO C=CCCS(=O)(=O)N1CCC[C@@H]1C[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000246965664 222226026 /nfs/dbraw/zinc/22/60/26/222226026.db2.gz ITKONFMWOXZPHP-QLFBSQMISA-N 1 2 316.467 1.466 20 30 DDEDLO C=CCCS(=O)(=O)N1CCC[C@@H]1C[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000246965664 222226029 /nfs/dbraw/zinc/22/60/29/222226029.db2.gz ITKONFMWOXZPHP-QLFBSQMISA-N 1 2 316.467 1.466 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000247047459 222227502 /nfs/dbraw/zinc/22/75/02/222227502.db2.gz VQICRDRLVIATET-QWHCGFSZSA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000247047459 222227505 /nfs/dbraw/zinc/22/75/05/222227505.db2.gz VQICRDRLVIATET-QWHCGFSZSA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(c2nc(CC)ns2)CC1 ZINC000247568890 222230316 /nfs/dbraw/zinc/23/03/16/222230316.db2.gz ROCRDRYVONCUIL-GFCCVEGCSA-N 1 2 323.466 1.303 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(c2nc(CC)ns2)CC1 ZINC000247568890 222230320 /nfs/dbraw/zinc/23/03/20/222230320.db2.gz ROCRDRYVONCUIL-GFCCVEGCSA-N 1 2 323.466 1.303 20 30 DDEDLO C=CCOc1cccc(CN2CCC[N@@H+](CC(=O)NC)CC2)c1 ZINC000367423402 418598887 /nfs/dbraw/zinc/59/88/87/418598887.db2.gz RYYXLTUNINCYBM-UHFFFAOYSA-N 1 2 317.433 1.505 20 30 DDEDLO C=CCOc1cccc(CN2CCC[N@H+](CC(=O)NC)CC2)c1 ZINC000367423402 418598890 /nfs/dbraw/zinc/59/88/90/418598890.db2.gz RYYXLTUNINCYBM-UHFFFAOYSA-N 1 2 317.433 1.505 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000249648868 222241440 /nfs/dbraw/zinc/24/14/40/222241440.db2.gz TWEGWMXENYWCQP-CQSZACIVSA-N 1 2 306.410 1.324 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000249648868 222241444 /nfs/dbraw/zinc/24/14/44/222241444.db2.gz TWEGWMXENYWCQP-CQSZACIVSA-N 1 2 306.410 1.324 20 30 DDEDLO CC(C)(CNC(=O)Nc1ccc(C2CC2)nn1)[NH+]1CCOCC1 ZINC000330108799 418610561 /nfs/dbraw/zinc/61/05/61/418610561.db2.gz ODLVOXXPSCQKKK-UHFFFAOYSA-N 1 2 319.409 1.791 20 30 DDEDLO C=CCNc1nnc(SCC[N@H+]2CCOC[C@@H]2C)s1 ZINC000340598311 418623563 /nfs/dbraw/zinc/62/35/63/418623563.db2.gz ZOMGGKPHCUWGIA-JTQLQIEISA-N 1 2 300.453 1.949 20 30 DDEDLO C=CCNc1nnc(SCC[N@@H+]2CCOC[C@@H]2C)s1 ZINC000340598311 418623566 /nfs/dbraw/zinc/62/35/66/418623566.db2.gz ZOMGGKPHCUWGIA-JTQLQIEISA-N 1 2 300.453 1.949 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC(C)(C)[C@H]1[C@H]1CCCO1 ZINC000377683763 418713176 /nfs/dbraw/zinc/71/31/76/418713176.db2.gz TUKWCNPNJXEJMB-UNEWFSDZSA-N 1 2 307.438 1.930 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC(C)(C)[C@H]1[C@H]1CCCO1 ZINC000377683763 418713179 /nfs/dbraw/zinc/71/31/79/418713179.db2.gz TUKWCNPNJXEJMB-UNEWFSDZSA-N 1 2 307.438 1.930 20 30 DDEDLO CCc1nc(C[N@@H+]2CCCN(C(=O)/C=N/OC)CC2)cs1 ZINC000377679641 418713348 /nfs/dbraw/zinc/71/33/48/418713348.db2.gz UNTYBUORBHVRTL-OQLLNIDSSA-N 1 2 310.423 1.372 20 30 DDEDLO CCc1nc(C[N@H+]2CCCN(C(=O)/C=N/OC)CC2)cs1 ZINC000377679641 418713350 /nfs/dbraw/zinc/71/33/50/418713350.db2.gz UNTYBUORBHVRTL-OQLLNIDSSA-N 1 2 310.423 1.372 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@@H+](Cc1ccc(C#N)cc1F)CC2 ZINC000368536920 418721245 /nfs/dbraw/zinc/72/12/45/418721245.db2.gz FYUDQTPEKOITTO-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@H+](Cc1ccc(C#N)cc1F)CC2 ZINC000368536920 418721247 /nfs/dbraw/zinc/72/12/47/418721247.db2.gz FYUDQTPEKOITTO-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CCn1cc(C[N@@H+]2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000376646742 418699566 /nfs/dbraw/zinc/69/95/66/418699566.db2.gz ZSJACRNHICLLSO-KSSFIOAISA-N 1 2 321.384 1.748 20 30 DDEDLO CCn1cc(C[N@H+]2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000376646742 418699568 /nfs/dbraw/zinc/69/95/68/418699568.db2.gz ZSJACRNHICLLSO-KSSFIOAISA-N 1 2 321.384 1.748 20 30 DDEDLO Cc1nc(N2CCC(n3cnc(C#N)n3)CC2)c2c([nH+]1)CCCC2 ZINC000369531463 418734830 /nfs/dbraw/zinc/73/48/30/418734830.db2.gz KSPPZODHYSJCHH-UHFFFAOYSA-N 1 2 323.404 1.969 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@H]3OCC[C@H]3C2)c(C#N)c1C ZINC000369684226 418736119 /nfs/dbraw/zinc/73/61/19/418736119.db2.gz SMTNKJSJFIYGBA-GXTWGEPZSA-N 1 2 303.362 1.817 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@H]3OCC[C@H]3C2)c(C#N)c1C ZINC000369684226 418736122 /nfs/dbraw/zinc/73/61/22/418736122.db2.gz SMTNKJSJFIYGBA-GXTWGEPZSA-N 1 2 303.362 1.817 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC2CC[NH+](Cc3cscn3)CC2)c1 ZINC000370047396 418740964 /nfs/dbraw/zinc/74/09/64/418740964.db2.gz QHSKQLZUCYFGLE-UHFFFAOYSA-N 1 2 315.402 1.737 20 30 DDEDLO C=C[C@H](C)NC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000362368824 418753571 /nfs/dbraw/zinc/75/35/71/418753571.db2.gz CITJURMSNONSIL-AWEZNQCLSA-N 1 2 303.406 1.893 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@@H](NC(=O)c1ccccc1OCC#N)C2 ZINC000364501108 418781505 /nfs/dbraw/zinc/78/15/05/418781505.db2.gz HITIOIJNJSYVRY-CYBMUJFWSA-N 1 2 310.357 1.839 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@@H](C)c1nc(-c2ccc(F)cc2)no1 ZINC000368812512 418725836 /nfs/dbraw/zinc/72/58/36/418725836.db2.gz RDZBZKJVRYLQHT-MNOVXSKESA-N 1 2 316.336 1.664 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)Nc1ccn(CCC#N)n1 ZINC000408473807 418805191 /nfs/dbraw/zinc/80/51/91/418805191.db2.gz JSGVZFVOQCYKKM-ZIAGYGMSSA-N 1 2 324.388 1.903 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CC[C@H](O)c2ccccc2C1)C1CC1 ZINC000364735520 418805893 /nfs/dbraw/zinc/80/58/93/418805893.db2.gz BTQQKVLOTLCMFX-FUHWJXTLSA-N 1 2 313.401 1.734 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CC[C@H](O)c2ccccc2C1)C1CC1 ZINC000364735520 418805895 /nfs/dbraw/zinc/80/58/95/418805895.db2.gz BTQQKVLOTLCMFX-FUHWJXTLSA-N 1 2 313.401 1.734 20 30 DDEDLO C=CC[NH+]1CCN([C@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000371633952 418806524 /nfs/dbraw/zinc/80/65/24/418806524.db2.gz NMSQVJAOMWIQCU-SFHVURJKSA-N 1 2 313.445 1.981 20 30 DDEDLO C=CCOCCNC(=O)N[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000371642627 418807659 /nfs/dbraw/zinc/80/76/59/418807659.db2.gz PLABNPUSTQASHY-AWEZNQCLSA-N 1 2 304.394 1.552 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@@H](C(=O)N1CCOC[C@@H]1C#N)C2 ZINC000372400092 418864715 /nfs/dbraw/zinc/86/47/15/418864715.db2.gz AVWDIEJADDXFHP-NEPJUHHUSA-N 1 2 302.378 1.389 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@H](C(=O)N1CCOC[C@@H]1C#N)CC2 ZINC000372400092 418864717 /nfs/dbraw/zinc/86/47/17/418864717.db2.gz AVWDIEJADDXFHP-NEPJUHHUSA-N 1 2 302.378 1.389 20 30 DDEDLO C#CCC1(C(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)CCCCC1 ZINC000372753727 418898482 /nfs/dbraw/zinc/89/84/82/418898482.db2.gz UKTFCJBSRTZESD-INIZCTEOSA-N 1 2 304.434 1.940 20 30 DDEDLO C#CCC1(C(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)CCCCC1 ZINC000372753727 418898484 /nfs/dbraw/zinc/89/84/84/418898484.db2.gz UKTFCJBSRTZESD-INIZCTEOSA-N 1 2 304.434 1.940 20 30 DDEDLO C#CCSCCNC(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000372241578 418847202 /nfs/dbraw/zinc/84/72/02/418847202.db2.gz ISZRWSJUDJDNDR-CYBMUJFWSA-N 1 2 306.435 1.735 20 30 DDEDLO C[C@H](NC(=O)NCc1ccc(C#N)s1)[C@H](C)[NH+]1CCOCC1 ZINC000420915103 419351812 /nfs/dbraw/zinc/35/18/12/419351812.db2.gz KYRQMQQBRXUHFY-RYUDHWBXSA-N 1 2 322.434 1.528 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@@H+]3CC[C@](O)(C(F)F)C3)C2=O)cc1 ZINC000411790290 419409797 /nfs/dbraw/zinc/40/97/97/419409797.db2.gz GVSCYVZEDQRMOK-CZUORRHYSA-N 1 2 321.327 1.365 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@H+]3CC[C@](O)(C(F)F)C3)C2=O)cc1 ZINC000411790290 419409805 /nfs/dbraw/zinc/40/98/05/419409805.db2.gz GVSCYVZEDQRMOK-CZUORRHYSA-N 1 2 321.327 1.365 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000428042964 419799627 /nfs/dbraw/zinc/79/96/27/419799627.db2.gz NSABTFLRDFEIPX-INIZCTEOSA-N 1 2 314.433 1.771 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)c2ccc(C#N)c(O)c2)CCO1 ZINC000428054775 419802665 /nfs/dbraw/zinc/80/26/65/419802665.db2.gz OJNZFRICOHIFMS-CQSZACIVSA-N 1 2 303.362 1.104 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)c2ccc(C#N)c(O)c2)CCO1 ZINC000428054775 419802671 /nfs/dbraw/zinc/80/26/71/419802671.db2.gz OJNZFRICOHIFMS-CQSZACIVSA-N 1 2 303.362 1.104 20 30 DDEDLO C[C@@H](O)CNc1[nH+]c2ccccc2n1Cc1nc(C#N)cs1 ZINC000418758556 419968659 /nfs/dbraw/zinc/96/86/59/419968659.db2.gz HGASGQIIWHNEKU-SNVBAGLBSA-N 1 2 313.386 2.205 20 30 DDEDLO COCCO[C@@H]1C[C@@H](CO)CN(c2cc(C)[nH+]cc2C#N)C1 ZINC000425261457 420333536 /nfs/dbraw/zinc/33/35/36/420333536.db2.gz QFQNTLJXVFCXOE-UKRRQHHQSA-N 1 2 305.378 1.112 20 30 DDEDLO CC[C@H]1CN(S(=O)(=O)[C@H](C)C#N)CC[N@@H+]1Cc1ccccc1 ZINC000416461465 420380283 /nfs/dbraw/zinc/38/02/83/420380283.db2.gz ZHDIXBHQIXVACR-ZBFHGGJFSA-N 1 2 321.446 1.825 20 30 DDEDLO CC[C@H]1CN(S(=O)(=O)[C@H](C)C#N)CC[N@H+]1Cc1ccccc1 ZINC000416461465 420380286 /nfs/dbraw/zinc/38/02/86/420380286.db2.gz ZHDIXBHQIXVACR-ZBFHGGJFSA-N 1 2 321.446 1.825 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N(CC1CC1)C[C@@H]1C[N@H+](C)CCO1 ZINC000450907086 420557007 /nfs/dbraw/zinc/55/70/07/420557007.db2.gz JSYCXVHSXKKHKC-HNNXBMFYSA-N 1 2 316.405 1.080 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N(CC1CC1)C[C@@H]1C[N@@H+](C)CCO1 ZINC000450907086 420557011 /nfs/dbraw/zinc/55/70/11/420557011.db2.gz JSYCXVHSXKKHKC-HNNXBMFYSA-N 1 2 316.405 1.080 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(C[C@@H](C#N)CCC#N)C1 ZINC000440487780 420580639 /nfs/dbraw/zinc/58/06/39/420580639.db2.gz AVRYAAVQVNVABC-PBHICJAKSA-N 1 2 320.437 1.826 20 30 DDEDLO CC(C)(C)OC(=O)CN[C@@]1(C)CC[N@H+](C[C@@H](C#N)CCC#N)C1 ZINC000440487780 420580643 /nfs/dbraw/zinc/58/06/43/420580643.db2.gz AVRYAAVQVNVABC-PBHICJAKSA-N 1 2 320.437 1.826 20 30 DDEDLO CC(C)(C)OC(=O)CN[C@@]1(C)CC[N@@H+](C[C@@H](C#N)CCC#N)C1 ZINC000440487780 420580645 /nfs/dbraw/zinc/58/06/45/420580645.db2.gz AVRYAAVQVNVABC-PBHICJAKSA-N 1 2 320.437 1.826 20 30 DDEDLO N#C[C@@]1(NC(=O)/C=C\c2ccc(-n3cc[nH+]c3)cc2)CCOC1 ZINC000492699308 420633378 /nfs/dbraw/zinc/63/33/78/420633378.db2.gz BJFZHZWKXXRXSM-SVEBXFOSSA-N 1 2 308.341 1.684 20 30 DDEDLO N#CCOc1ccc(C=CC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000493694151 420917466 /nfs/dbraw/zinc/91/74/66/420917466.db2.gz OYKCBNYCQSANCO-LEPFTWFBSA-N 1 2 322.368 1.999 20 30 DDEDLO N#CCOc1ccc(C=CC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000493694151 420917469 /nfs/dbraw/zinc/91/74/69/420917469.db2.gz OYKCBNYCQSANCO-LEPFTWFBSA-N 1 2 322.368 1.999 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000479018976 420973859 /nfs/dbraw/zinc/97/38/59/420973859.db2.gz JHXJGWQUBBUNNL-KRWDZBQOSA-N 1 2 322.449 1.705 20 30 DDEDLO COC(=O)N1CCC(C/[NH+]=C/c2c(CO)cnc(C)c2O)CC1 ZINC000495610898 421035037 /nfs/dbraw/zinc/03/50/37/421035037.db2.gz JYHZXCUVMRPACV-RQZCQDPDSA-N 1 2 321.377 1.485 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1CC(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000489459450 421158213 /nfs/dbraw/zinc/15/82/13/421158213.db2.gz GAWKSAWVDXXTMP-CYBMUJFWSA-N 1 2 323.418 1.313 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1CC(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000489459450 421158215 /nfs/dbraw/zinc/15/82/15/421158215.db2.gz GAWKSAWVDXXTMP-CYBMUJFWSA-N 1 2 323.418 1.313 20 30 DDEDLO C[C@@H](C[NH+]1CCN(Cc2ccc(C#N)cc2)CC1)S(C)(=O)=O ZINC000450242382 421169613 /nfs/dbraw/zinc/16/96/13/421169613.db2.gz ORAKDPVXVKPVPB-AWEZNQCLSA-N 1 2 321.446 1.109 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H](OCC(F)(F)F)C1 ZINC000523439059 421238559 /nfs/dbraw/zinc/23/85/59/421238559.db2.gz FBDYITHQEMVCFC-GFCCVEGCSA-N 1 2 321.343 1.792 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H](OCC(F)(F)F)C1 ZINC000523439059 421238562 /nfs/dbraw/zinc/23/85/62/421238562.db2.gz FBDYITHQEMVCFC-GFCCVEGCSA-N 1 2 321.343 1.792 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ncccn3)n2CC(=C)C)CC1 ZINC000491543304 421199105 /nfs/dbraw/zinc/19/91/05/421199105.db2.gz FVHVBKRPIWHEBN-UHFFFAOYSA-N 1 2 323.404 1.066 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000562536099 421378553 /nfs/dbraw/zinc/37/85/53/421378553.db2.gz GHIBBBWQJDQAOS-KFWWJZLASA-N 1 2 318.421 1.831 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000562536099 421378554 /nfs/dbraw/zinc/37/85/54/421378554.db2.gz GHIBBBWQJDQAOS-KFWWJZLASA-N 1 2 318.421 1.831 20 30 DDEDLO CCOC[C@@H]1C[N@H+](C[C@H](O)COc2ccccc2C#N)CCO1 ZINC000528731860 421513211 /nfs/dbraw/zinc/51/32/11/421513211.db2.gz LBSPKDXTYBHERE-HOTGVXAUSA-N 1 2 320.389 1.035 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](C[C@H](O)COc2ccccc2C#N)CCO1 ZINC000528731860 421513215 /nfs/dbraw/zinc/51/32/15/421513215.db2.gz LBSPKDXTYBHERE-HOTGVXAUSA-N 1 2 320.389 1.035 20 30 DDEDLO C[C@@H](c1nccc(N)n1)[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000565163676 421596646 /nfs/dbraw/zinc/59/66/46/421596646.db2.gz MIHAOBQUXICTHL-ZDUSSCGKSA-N 1 2 308.389 2.072 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000565050462 421595779 /nfs/dbraw/zinc/59/57/79/421595779.db2.gz COWDFWCRBDZTHA-AWEZNQCLSA-N 1 2 316.321 1.045 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc2c(c1)NC(=O)CS2 ZINC000568502549 421620214 /nfs/dbraw/zinc/62/02/14/421620214.db2.gz VQBYIXHTTNPKAA-LBPRGKRZSA-N 1 2 320.418 1.349 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc2c(c1)NC(=O)CS2 ZINC000568502549 421620216 /nfs/dbraw/zinc/62/02/16/421620216.db2.gz VQBYIXHTTNPKAA-LBPRGKRZSA-N 1 2 320.418 1.349 20 30 DDEDLO N#CC1(CS(=O)(=O)NCc2ccn3cc[nH+]c3c2)CCC1 ZINC000554901507 421665482 /nfs/dbraw/zinc/66/54/82/421665482.db2.gz QENRKCODGJBLKP-UHFFFAOYSA-N 1 2 304.375 1.448 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000556242036 421706654 /nfs/dbraw/zinc/70/66/54/421706654.db2.gz IXIYMRWWLICNPG-MCIONIFRSA-N 1 2 304.394 1.583 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000556242036 421706658 /nfs/dbraw/zinc/70/66/58/421706658.db2.gz IXIYMRWWLICNPG-MCIONIFRSA-N 1 2 304.394 1.583 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)N1CCC(C#N)(c2ccccn2)CC1 ZINC000555171016 421670866 /nfs/dbraw/zinc/67/08/66/421670866.db2.gz WXHRGGHCOACMHI-UHFFFAOYSA-N 1 2 324.388 1.582 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]CCS(=O)(=O)c2ccc(C#N)cc2)o1 ZINC000542611719 421825676 /nfs/dbraw/zinc/82/56/76/421825676.db2.gz KZRNARUFRNOZMD-JTQLQIEISA-N 1 2 320.374 1.374 20 30 DDEDLO C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1c(C)cc(C)[nH+]c1C ZINC000630420170 422090171 /nfs/dbraw/zinc/09/01/71/422090171.db2.gz OKENRDCEWHTAFA-UHFFFAOYSA-N 1 2 322.390 1.464 20 30 DDEDLO C=CCCCNC(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000574393949 422100454 /nfs/dbraw/zinc/10/04/54/422100454.db2.gz CMYGDJLIKYNFJF-HNNXBMFYSA-N 1 2 322.453 1.291 20 30 DDEDLO N#Cc1cc(F)ccc1CS(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC000574520450 422126032 /nfs/dbraw/zinc/12/60/32/422126032.db2.gz BYTFTZCHRSFBHV-UHFFFAOYSA-N 1 2 320.349 1.281 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000628579516 422237239 /nfs/dbraw/zinc/23/72/39/422237239.db2.gz YVOYRTRHJDUNHB-GOSISDBHSA-N 1 2 322.449 1.487 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000628579516 422237245 /nfs/dbraw/zinc/23/72/45/422237245.db2.gz YVOYRTRHJDUNHB-GOSISDBHSA-N 1 2 322.449 1.487 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CSCC(=O)OC(C)(C)C ZINC000575320141 422288959 /nfs/dbraw/zinc/28/89/59/422288959.db2.gz MEGIVQAKOBUTLD-CQSZACIVSA-N 1 2 315.439 1.021 20 30 DDEDLO CC[C@](C)(C#N)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000576526184 422374183 /nfs/dbraw/zinc/37/41/83/422374183.db2.gz QRGPQDHLISYULY-CZUORRHYSA-N 1 2 319.409 1.292 20 30 DDEDLO CC[C@](C)(C#N)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000576526184 422374187 /nfs/dbraw/zinc/37/41/87/422374187.db2.gz QRGPQDHLISYULY-CZUORRHYSA-N 1 2 319.409 1.292 20 30 DDEDLO Cc1c(Cl)cnc(NCC[N@H+]2C[C@H](C)OC[C@@H]2C)c1C#N ZINC000596433317 422376145 /nfs/dbraw/zinc/37/61/45/422376145.db2.gz UBUXGELQWFMUMW-QWRGUYRKSA-N 1 2 308.813 2.436 20 30 DDEDLO Cc1c(Cl)cnc(NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)c1C#N ZINC000596433317 422376148 /nfs/dbraw/zinc/37/61/48/422376148.db2.gz UBUXGELQWFMUMW-QWRGUYRKSA-N 1 2 308.813 2.436 20 30 DDEDLO Cc1nc(NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)ccc1C#N ZINC000599184556 422404916 /nfs/dbraw/zinc/40/49/16/422404916.db2.gz HJKLOMCXECCAEL-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1nc(NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)ccc1C#N ZINC000599184556 422404921 /nfs/dbraw/zinc/40/49/21/422404921.db2.gz HJKLOMCXECCAEL-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO C=C(C)C[C@@H]1NC(=O)N(Cc2[nH+]ccn2CC(F)(F)F)C1=O ZINC000630971987 422450672 /nfs/dbraw/zinc/45/06/72/422450672.db2.gz JVYDTOUKYLEMEV-VIFPVBQESA-N 1 2 316.283 1.832 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N2C[C@H](C)C[C@@H](C)C2)nn1 ZINC000640813765 423206802 /nfs/dbraw/zinc/20/68/02/423206802.db2.gz XJTPPYDHLUUEHG-RBSFLKMASA-N 1 2 317.437 1.457 20 30 DDEDLO C=CCNC(=O)[C@H](C)n1cc(Cn2c(C)[nH+]c3ccccc32)nn1 ZINC000640886221 423256204 /nfs/dbraw/zinc/25/62/04/423256204.db2.gz PCPVPAUEOGNSFA-LBPRGKRZSA-N 1 2 324.388 1.848 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(C2CC2)C2CCCC2)nn1 ZINC000640973267 423306134 /nfs/dbraw/zinc/30/61/34/423306134.db2.gz SYXMIIHGNVKLLH-UHFFFAOYSA-N 1 2 315.421 1.325 20 30 DDEDLO C=CC[C@@H](CO)CNS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000641408945 423616806 /nfs/dbraw/zinc/61/68/06/423616806.db2.gz OEDZRAQGBHOYLE-CYBMUJFWSA-N 1 2 324.446 1.137 20 30 DDEDLO C=CC[C@@H](CO)CNS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000641408945 423616812 /nfs/dbraw/zinc/61/68/12/423616812.db2.gz OEDZRAQGBHOYLE-CYBMUJFWSA-N 1 2 324.446 1.137 20 30 DDEDLO N#Cc1csc(C[NH+]2CCC(C(=O)N3CCCC3)CC2)n1 ZINC000356055037 269993021 /nfs/dbraw/zinc/99/30/21/269993021.db2.gz CEKVJKKQKNNYJW-UHFFFAOYSA-N 1 2 304.419 1.849 20 30 DDEDLO C=CC[C@@H](CO)CNc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000663769683 424156903 /nfs/dbraw/zinc/15/69/03/424156903.db2.gz HCGGYRTVAHYVAF-CYBMUJFWSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CC[C@@H](CO)CNc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000663769683 424156914 /nfs/dbraw/zinc/15/69/14/424156914.db2.gz HCGGYRTVAHYVAF-CYBMUJFWSA-N 1 2 306.410 1.424 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000660215537 424599969 /nfs/dbraw/zinc/59/99/69/424599969.db2.gz LBROLKMCMYFIFT-GASCZTMLSA-N 1 2 307.438 1.990 20 30 DDEDLO COc1cc[nH+]cc1CNC(=O)c1cc(C#N)cc(N(C)C)c1 ZINC000348332659 266905969 /nfs/dbraw/zinc/90/59/69/266905969.db2.gz MPUWVXNBFHUMLD-UHFFFAOYSA-N 1 2 310.357 1.958 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@]2(CCOC2)O1 ZINC000369537993 267048601 /nfs/dbraw/zinc/04/86/01/267048601.db2.gz WXPIWOIMIJCNHS-DYVFJYSZSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@]2(CCOC2)O1 ZINC000369537993 267048604 /nfs/dbraw/zinc/04/86/04/267048604.db2.gz WXPIWOIMIJCNHS-DYVFJYSZSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@H]1O ZINC000251687202 267049739 /nfs/dbraw/zinc/04/97/39/267049739.db2.gz OMQNGRLJRWXZPH-IUODEOHRSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@H]1O ZINC000251687202 267049742 /nfs/dbraw/zinc/04/97/42/267049742.db2.gz OMQNGRLJRWXZPH-IUODEOHRSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1C[C@@H](CO)CC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000344145287 267076963 /nfs/dbraw/zinc/07/69/63/267076963.db2.gz OWRHBZDAJVEVMZ-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1C[C@@H](CO)CC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000344145287 267076967 /nfs/dbraw/zinc/07/69/67/267076967.db2.gz OWRHBZDAJVEVMZ-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1Cc1cccc(C#N)c1F ZINC000352872792 267091747 /nfs/dbraw/zinc/09/17/47/267091747.db2.gz WTTOAUGAICCGNZ-CJNGLKHVSA-N 1 2 303.381 1.992 20 30 DDEDLO C[C@H](C(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1cccc(C#N)c1 ZINC000360162237 267132727 /nfs/dbraw/zinc/13/27/27/267132727.db2.gz MDAIJXKAHGMOHP-KBPBESRZSA-N 1 2 301.390 1.499 20 30 DDEDLO C[C@H](C(=O)NCC[N@H+]1CCOC[C@@H]1C)c1cccc(C#N)c1 ZINC000360162237 267132730 /nfs/dbraw/zinc/13/27/30/267132730.db2.gz MDAIJXKAHGMOHP-KBPBESRZSA-N 1 2 301.390 1.499 20 30 DDEDLO C[C@H]([NH2+]CCS(=O)(=O)c1ccc(C#N)cc1)c1ccon1 ZINC000349244169 267182755 /nfs/dbraw/zinc/18/27/55/267182755.db2.gz QIQYMECEKPNZNZ-NSHDSACASA-N 1 2 305.359 1.671 20 30 DDEDLO N#Cc1ccc(C(=O)NCC(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)cc1 ZINC000364904260 268031447 /nfs/dbraw/zinc/03/14/47/268031447.db2.gz IDNZRMPLYSKCSO-AWEZNQCLSA-N 1 2 323.356 1.375 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2nnnn2-c2ccccc2)c(F)c1 ZINC000343880830 268075544 /nfs/dbraw/zinc/07/55/44/268075544.db2.gz BNOWEKAVUSOMCX-UHFFFAOYSA-N 1 2 308.320 1.963 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)NCCNc2cccc[nH+]2)cc1 ZINC000341449863 268080400 /nfs/dbraw/zinc/08/04/00/268080400.db2.gz AOJYWYNYTSQIFY-UHFFFAOYSA-N 1 2 316.386 1.485 20 30 DDEDLO N#Cc1cccc(OCC[N@@H+]2CCOC[C@H]2[C@H]2CCCO2)c1 ZINC000375739647 268274752 /nfs/dbraw/zinc/27/47/52/268274752.db2.gz BEIBRLBSJDBNDI-DLBZAZTESA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1cccc(OCC[N@H+]2CCOC[C@H]2[C@H]2CCCO2)c1 ZINC000375739647 268274753 /nfs/dbraw/zinc/27/47/53/268274753.db2.gz BEIBRLBSJDBNDI-DLBZAZTESA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)NCCNc1cccc[nH+]1 ZINC000342780802 268285869 /nfs/dbraw/zinc/28/58/69/268285869.db2.gz PDOWNGLJVIBJII-UHFFFAOYSA-N 1 2 302.359 1.344 20 30 DDEDLO N#Cc1ccsc1C(=O)N[C@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000366297960 268302369 /nfs/dbraw/zinc/30/23/69/268302369.db2.gz NZROIULJGPDJJK-KBPBESRZSA-N 1 2 319.430 1.993 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C=Cc1cccc(C#N)c1 ZINC000325957088 271031500 /nfs/dbraw/zinc/03/15/00/271031500.db2.gz ODJSLGPQCBLBKA-NFAHFFEMSA-N 1 2 324.340 1.195 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C=Cc1cccc(C#N)c1 ZINC000325957088 271031504 /nfs/dbraw/zinc/03/15/04/271031504.db2.gz ODJSLGPQCBLBKA-NFAHFFEMSA-N 1 2 324.340 1.195 20 30 DDEDLO C[C@@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@@H]1CO ZINC000305698975 533689039 /nfs/dbraw/zinc/68/90/39/533689039.db2.gz BAMJHUSGWKYREP-CZUORRHYSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@@H]1CO ZINC000305698975 533689047 /nfs/dbraw/zinc/68/90/47/533689047.db2.gz BAMJHUSGWKYREP-CZUORRHYSA-N 1 2 322.430 1.425 20 30 DDEDLO CC#CCNC(=O)[C@H]1CCC(=O)N(C2CC2)[C@@H]1c1c[nH+]cn1C ZINC000343678325 276932557 /nfs/dbraw/zinc/93/25/57/276932557.db2.gz SKAOQUCZKCIDDV-BBRMVZONSA-N 1 2 314.389 1.002 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000267606885 277354577 /nfs/dbraw/zinc/35/45/77/277354577.db2.gz NDMVLYYDWQMFJA-NEPJUHHUSA-N 1 2 319.386 1.220 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000267606885 277354580 /nfs/dbraw/zinc/35/45/80/277354580.db2.gz NDMVLYYDWQMFJA-NEPJUHHUSA-N 1 2 319.386 1.220 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(F)c(C#N)c1 ZINC000287440723 278016193 /nfs/dbraw/zinc/01/61/93/278016193.db2.gz RCLOUGRCWQSIJO-DOMZBBRYSA-N 1 2 317.364 1.633 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1nc(Cl)c(C#N)s1 ZINC000286201012 278903949 /nfs/dbraw/zinc/90/39/49/278903949.db2.gz BRXKDARYNJXTOK-NXEZZACHSA-N 1 2 312.826 1.968 20 30 DDEDLO CC(C)C[C@@H]1COCC[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000113941640 279041748 /nfs/dbraw/zinc/04/17/48/279041748.db2.gz IGGOBMKTIKOLIX-OAHLLOKOSA-N 1 2 306.410 1.389 20 30 DDEDLO CC(C)C[C@@H]1COCC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000113941640 279041752 /nfs/dbraw/zinc/04/17/52/279041752.db2.gz IGGOBMKTIKOLIX-OAHLLOKOSA-N 1 2 306.410 1.389 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCOc4ccccc43)C[C@H]21 ZINC000329982387 290088025 /nfs/dbraw/zinc/08/80/25/290088025.db2.gz OUPBKIFSTQSYEG-IIAWOOMASA-N 1 2 317.389 1.439 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCOc4ccccc43)C[C@H]21 ZINC000329982387 290088029 /nfs/dbraw/zinc/08/80/29/290088029.db2.gz OUPBKIFSTQSYEG-IIAWOOMASA-N 1 2 317.389 1.439 20 30 DDEDLO N#Cc1cccc(N2CC[C@@H]([NH+]3CCOCC3)C2)c1[N+](=O)[O-] ZINC000450355539 294964806 /nfs/dbraw/zinc/96/48/06/294964806.db2.gz CWLDZRIWXGMMAP-CYBMUJFWSA-N 1 2 302.334 1.377 20 30 DDEDLO CCCCc1nc(C[N@H+](C)CCCc2[nH]nc(N)c2C#N)no1 ZINC000162708723 298201144 /nfs/dbraw/zinc/20/11/44/298201144.db2.gz HLTMUETVARSLQK-UHFFFAOYSA-N 1 2 317.397 1.654 20 30 DDEDLO CCCCc1nc(C[N@@H+](C)CCCc2[nH]nc(N)c2C#N)no1 ZINC000162708723 298201149 /nfs/dbraw/zinc/20/11/49/298201149.db2.gz HLTMUETVARSLQK-UHFFFAOYSA-N 1 2 317.397 1.654 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1COCC[N@@H+]1C1CCCC1)c1nncn1C ZINC000329267265 298245471 /nfs/dbraw/zinc/24/54/71/298245471.db2.gz DEMJMIIIVKHUDT-TZMCWYRMSA-N 1 2 321.425 1.519 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1COCC[N@H+]1C1CCCC1)c1nncn1C ZINC000329267265 298245474 /nfs/dbraw/zinc/24/54/74/298245474.db2.gz DEMJMIIIVKHUDT-TZMCWYRMSA-N 1 2 321.425 1.519 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)N[C@@H]2CCC[C@H]2C#N)CCN1C ZINC000331838652 303007074 /nfs/dbraw/zinc/00/70/74/303007074.db2.gz ZRCZBCUXHNAROX-BFHYXJOUSA-N 1 2 316.409 1.110 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCCCn2cc[nH+]c2)c(F)c1 ZINC000543729056 303463720 /nfs/dbraw/zinc/46/37/20/303463720.db2.gz MNBIOFPJIYKNBZ-UHFFFAOYSA-N 1 2 322.365 1.653 20 30 DDEDLO Cc1nc([C@H]2COCCN2Cc2c[nH+]c3ccc(C#N)cn23)no1 ZINC000373110122 307179218 /nfs/dbraw/zinc/17/92/18/307179218.db2.gz BKJQNIQQWSQGLD-CQSZACIVSA-N 1 2 324.344 1.471 20 30 DDEDLO CC(C)(C)N1CC[C@@H]([NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)C1=O ZINC000571882122 308205610 /nfs/dbraw/zinc/20/56/10/308205610.db2.gz OVNCAGFSFHKOKU-ZIAGYGMSSA-N 1 2 314.389 1.074 20 30 DDEDLO N#CCC[C@@H](C#N)C[NH2+][C@H]1CCN(c2ccc(F)cc2)C1=O ZINC000518500750 332068382 /nfs/dbraw/zinc/06/83/82/332068382.db2.gz ZHYDDXZSHOCFTJ-WFASDCNBSA-N 1 2 300.337 1.964 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)[C@@]2(C#N)CC23CCCC3)CCO1 ZINC000560260155 332324730 /nfs/dbraw/zinc/32/47/30/332324730.db2.gz VETTYFZARNFDOH-YOEHRIQHSA-N 1 2 305.422 1.687 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)[C@@]2(C#N)CC23CCCC3)CCO1 ZINC000560260155 332324732 /nfs/dbraw/zinc/32/47/32/332324732.db2.gz VETTYFZARNFDOH-YOEHRIQHSA-N 1 2 305.422 1.687 20 30 DDEDLO COc1ccc2c(c1)OC[C@@H]2CC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000566308469 332329549 /nfs/dbraw/zinc/32/95/49/332329549.db2.gz HHODLNYAQSTQOU-YVEFUNNKSA-N 1 2 317.389 1.521 20 30 DDEDLO C#CC[C@H]([NH2+]C1CCC(NC(=O)OC(C)(C)C)CC1)C(=O)OC ZINC000497312179 333235155 /nfs/dbraw/zinc/23/51/55/333235155.db2.gz HZXKEJCEKXJWKJ-RUXDESIVSA-N 1 2 324.421 1.977 20 30 DDEDLO CC1CC(C#N)(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)C1 ZINC000532066910 334718901 /nfs/dbraw/zinc/71/89/01/334718901.db2.gz VMWRINMHPBLXLV-UHFFFAOYSA-N 1 2 314.389 1.474 20 30 DDEDLO N#CCCCCNC(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000352043311 336191347 /nfs/dbraw/zinc/19/13/47/336191347.db2.gz CAVLELMVMYQHPR-UHFFFAOYSA-N 1 2 303.288 1.938 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)cc1F ZINC000582940595 337220057 /nfs/dbraw/zinc/22/00/57/337220057.db2.gz WHEINLBTKGNGDB-UHFFFAOYSA-N 1 2 306.322 1.139 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCCOCc1ccccc1 ZINC000571927105 337582111 /nfs/dbraw/zinc/58/21/11/337582111.db2.gz OELLCKAIZLITQH-KRWDZBQOSA-N 1 2 303.406 1.943 20 30 DDEDLO C=CC[C@H](CO)NC(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000516314121 338009617 /nfs/dbraw/zinc/00/96/17/338009617.db2.gz XGBTWYZWLVEXAJ-NXEZZACHSA-N 1 2 305.300 1.509 20 30 DDEDLO C[C@@H](NC(=O)N1CCC(CCC#N)CC1)[C@H](C)[NH+]1CCOCC1 ZINC000572478602 338135943 /nfs/dbraw/zinc/13/59/43/338135943.db2.gz SIRDVNTXRBUNSZ-CABCVRRESA-N 1 2 322.453 1.821 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(CC#N)cc1 ZINC000193173597 340573501 /nfs/dbraw/zinc/57/35/01/340573501.db2.gz AOPCECROMQBEJH-ZDUSSCGKSA-N 1 2 302.378 1.595 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]CC(=O)N(C)C2(C#N)CCCCC2)o1 ZINC000544515368 341084685 /nfs/dbraw/zinc/08/46/85/341084685.db2.gz WYAVECMLVXKOSN-NSHDSACASA-N 1 2 305.382 1.714 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2ccccc2F)CC1 ZINC000076427742 341207310 /nfs/dbraw/zinc/20/73/10/341207310.db2.gz QTUCTEWUCLVDLL-UHFFFAOYSA-N 1 2 318.396 1.710 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H]1CCCN(C(=O)C(C)(C)C)C1 ZINC000564872163 341511932 /nfs/dbraw/zinc/51/19/32/341511932.db2.gz LSYYHDDWMGYEQM-DYVFJYSZSA-N 1 2 322.453 1.231 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000576947092 341871315 /nfs/dbraw/zinc/87/13/15/341871315.db2.gz JBWPMTZOTMNOLB-WBMJQRKESA-N 1 2 300.362 1.286 20 30 DDEDLO C=CCOCCNS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000669632625 484594013 /nfs/dbraw/zinc/59/40/13/484594013.db2.gz KDHSQNYCAAXMMV-UHFFFAOYSA-N 1 2 321.402 1.412 20 30 DDEDLO C=C[C@@H](O)C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccc(C)cc1 ZINC000665528342 484909605 /nfs/dbraw/zinc/90/96/05/484909605.db2.gz YEKBKMZTUABGJJ-JKSUJKDBSA-N 1 2 304.390 1.031 20 30 DDEDLO CC#CCNC(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000682394030 485577794 /nfs/dbraw/zinc/57/77/94/485577794.db2.gz MKUCOYZOCDJWEQ-UHFFFAOYSA-N 1 2 314.389 1.219 20 30 DDEDLO CC#CCNC(=O)N1CC[NH+](Cc2cc(C)ccc2OC)CC1 ZINC000677811480 486546366 /nfs/dbraw/zinc/54/63/66/486546366.db2.gz STKCRSFAOIVVEP-UHFFFAOYSA-N 1 2 315.417 1.854 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)c(OC)c1 ZINC000329918873 534023766 /nfs/dbraw/zinc/02/37/66/534023766.db2.gz GDQUMMDTUWHBOC-DZGCQCFKSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)c(OC)c1 ZINC000329918873 534023774 /nfs/dbraw/zinc/02/37/74/534023774.db2.gz GDQUMMDTUWHBOC-DZGCQCFKSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1ccccc1CCNC(=O)CNc1cc(C)[nH+]cc1C#N ZINC000425253116 534037934 /nfs/dbraw/zinc/03/79/34/534037934.db2.gz CEQBLHJEPSKFMI-UHFFFAOYSA-N 1 2 324.384 1.463 20 30 DDEDLO C[C@@H]1CCO[C@@H]1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000294486251 534109986 /nfs/dbraw/zinc/10/99/86/534109986.db2.gz VZPZGCXLYGZVEO-PBHICJAKSA-N 1 2 313.401 1.627 20 30 DDEDLO COC(=O)c1cnc([C@H](C)[NH2+][C@H](C)C(=O)N(C)CCC#N)s1 ZINC000425493289 534309864 /nfs/dbraw/zinc/30/98/64/534309864.db2.gz VCYHJVJVXFASDX-VHSXEESVSA-N 1 2 324.406 1.341 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+](Cc2ccco2)C[C@@H]2CCCO2)CCC1 ZINC000346851922 534569741 /nfs/dbraw/zinc/56/97/41/534569741.db2.gz UWEWKMMUOHYSCN-HNNXBMFYSA-N 1 2 317.389 1.823 20 30 DDEDLO N#CC1(NC(=O)C[N@H+](Cc2ccco2)C[C@@H]2CCCO2)CCC1 ZINC000346851922 534569743 /nfs/dbraw/zinc/56/97/43/534569743.db2.gz UWEWKMMUOHYSCN-HNNXBMFYSA-N 1 2 317.389 1.823 20 30 DDEDLO N#CCNC(=O)C[N@H+](Cc1cccs1)[C@@H]1C=C[C@H](CO)C1 ZINC000347325186 534672700 /nfs/dbraw/zinc/67/27/00/534672700.db2.gz AGWVVQWJQIWCKJ-QWHCGFSZSA-N 1 2 305.403 1.127 20 30 DDEDLO N#CCNC(=O)C[N@@H+](Cc1cccs1)[C@@H]1C=C[C@H](CO)C1 ZINC000347325186 534672708 /nfs/dbraw/zinc/67/27/08/534672708.db2.gz AGWVVQWJQIWCKJ-QWHCGFSZSA-N 1 2 305.403 1.127 20 30 DDEDLO Cn1cc([C@H]2OCCC[C@@H]2NC(=O)CCn2cc[nH+]c2)cn1 ZINC000330336687 534758370 /nfs/dbraw/zinc/75/83/70/534758370.db2.gz SQIJGVAJDZGYFW-DZGCQCFKSA-N 1 2 303.366 1.884 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000343688872 526294145 /nfs/dbraw/zinc/29/41/45/526294145.db2.gz YHESXRDJDNEXGT-INIZCTEOSA-N 1 2 308.422 1.130 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](CCOC)Cc2c(C)nn(C)c2C)C1=O ZINC000459569578 526474202 /nfs/dbraw/zinc/47/42/02/526474202.db2.gz CVFCNWJHSYPEOV-MRXNPFEDSA-N 1 2 320.437 1.272 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](CCOC)Cc2c(C)nn(C)c2C)C1=O ZINC000459569578 526474211 /nfs/dbraw/zinc/47/42/11/526474211.db2.gz CVFCNWJHSYPEOV-MRXNPFEDSA-N 1 2 320.437 1.272 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](C)c1nc(C)c(C(=O)OCC)s1 ZINC000348491545 526573590 /nfs/dbraw/zinc/57/35/90/526573590.db2.gz JXABUDRQJIGLCS-SNVBAGLBSA-N 1 2 311.407 1.581 20 30 DDEDLO C#CC[NH+](CC#C)[C@H](C)C(=O)NCc1ccc(OC)c(OC)c1 ZINC000491682674 526847927 /nfs/dbraw/zinc/84/79/27/526847927.db2.gz UBMIONXBVCTOST-CQSZACIVSA-N 1 2 314.385 1.277 20 30 DDEDLO C#CCN(CC#CC)C(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000491815480 526854103 /nfs/dbraw/zinc/85/41/03/526854103.db2.gz URTRUYYDQABSEK-LBPRGKRZSA-N 1 2 311.307 1.941 20 30 DDEDLO C#CC[N@@H+](C[C@H](O)[C@@H](C)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000491781994 526903307 /nfs/dbraw/zinc/90/33/07/526903307.db2.gz MONKMXMUDHEDDS-XIRDDKMYSA-N 1 2 321.442 1.273 20 30 DDEDLO C#CC[N@H+](C[C@H](O)[C@@H](C)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000491781994 526903313 /nfs/dbraw/zinc/90/33/13/526903313.db2.gz MONKMXMUDHEDDS-XIRDDKMYSA-N 1 2 321.442 1.273 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(C)c2c(F)cccc2F)CC1 ZINC000490838265 526947911 /nfs/dbraw/zinc/94/79/11/526947911.db2.gz AIXHPFCCTZNGJM-UHFFFAOYSA-N 1 2 307.344 1.178 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cc3ccccc3nc2C)CC1 ZINC000491774668 526951920 /nfs/dbraw/zinc/95/19/20/526951920.db2.gz CMMJKNIMXYNWBE-UHFFFAOYSA-N 1 2 323.396 1.699 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CSCc2c(F)cccc2F)CC1 ZINC000491683191 526953535 /nfs/dbraw/zinc/95/35/35/526953535.db2.gz WXTUGHKSIBAXDI-UHFFFAOYSA-N 1 2 324.396 1.975 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccc(C)n3)n2C2CC2)CC1 ZINC000491635720 526955742 /nfs/dbraw/zinc/95/57/42/526955742.db2.gz RZVHRTYNLMPRQN-UHFFFAOYSA-N 1 2 322.416 1.739 20 30 DDEDLO C#CCNC(=O)CC[NH2+][C@@H](c1nccn1C)c1cccc(F)c1 ZINC000491637132 526986588 /nfs/dbraw/zinc/98/65/88/526986588.db2.gz UJNUPARZLUWNPL-MRXNPFEDSA-N 1 2 314.364 1.378 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCC(C)(C)C2)CC1 ZINC000490939176 526994189 /nfs/dbraw/zinc/99/41/89/526994189.db2.gz ZVNLBOIFVDKNOF-HNNXBMFYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCC(C)(C)C2)CC1 ZINC000490939176 526994195 /nfs/dbraw/zinc/99/41/95/526994195.db2.gz ZVNLBOIFVDKNOF-HNNXBMFYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN([C@H](C)c2cc(F)ccc2F)CC1 ZINC000491209979 527003309 /nfs/dbraw/zinc/00/33/09/527003309.db2.gz OJTBANFHMZVRTH-CYBMUJFWSA-N 1 2 321.371 1.393 20 30 DDEDLO C=CCC1(C(=O)NCC(C)(C)[NH+]2CCOCC2)CCOCC1 ZINC000424540917 527052110 /nfs/dbraw/zinc/05/21/10/527052110.db2.gz JKAPUGIIWQERLV-UHFFFAOYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000340510099 527301286 /nfs/dbraw/zinc/30/12/86/527301286.db2.gz MCIPLANIDMJUHK-UHFFFAOYSA-N 1 2 316.405 1.201 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@H](O)COc1ccccc1CC=C ZINC000491775863 527311098 /nfs/dbraw/zinc/31/10/98/527311098.db2.gz XMFYIPXACOMJNR-SJORKVTESA-N 1 2 301.386 1.489 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@H](O)COc1ccccc1CC=C ZINC000491775863 527311100 /nfs/dbraw/zinc/31/11/00/527311100.db2.gz XMFYIPXACOMJNR-SJORKVTESA-N 1 2 301.386 1.489 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000491776668 527322364 /nfs/dbraw/zinc/32/23/64/527322364.db2.gz YOEUIYIXNCVLMT-KBPBESRZSA-N 1 2 316.405 1.470 20 30 DDEDLO C#C[C@H](CC)NC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000491680701 527352977 /nfs/dbraw/zinc/35/29/77/527352977.db2.gz JQVISKZVVAHMJT-ZIAGYGMSSA-N 1 2 321.446 1.832 20 30 DDEDLO C=CCn1cc(CNC(=O)[C@@H]2CC[N@@H+]2Cc2ccccc2)nn1 ZINC000424905393 527369413 /nfs/dbraw/zinc/36/94/13/527369413.db2.gz AWJBCCBAOYPYRK-INIZCTEOSA-N 1 2 311.389 1.355 20 30 DDEDLO C=CCn1cc(CNC(=O)[C@@H]2CC[N@H+]2Cc2ccccc2)nn1 ZINC000424905393 527369416 /nfs/dbraw/zinc/36/94/16/527369416.db2.gz AWJBCCBAOYPYRK-INIZCTEOSA-N 1 2 311.389 1.355 20 30 DDEDLO C#C[C@H](CCC)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000491348702 527372879 /nfs/dbraw/zinc/37/28/79/527372879.db2.gz FBFQFJKXFAERAQ-HIFRSBDPSA-N 1 2 318.421 1.401 20 30 DDEDLO C#C[C@H](CCC)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000491348702 527372884 /nfs/dbraw/zinc/37/28/84/527372884.db2.gz FBFQFJKXFAERAQ-HIFRSBDPSA-N 1 2 318.421 1.401 20 30 DDEDLO CC(C)C(=O)NC1CCN(C(=O)NCCn2cc[nH+]c2)CC1 ZINC000330459662 527484874 /nfs/dbraw/zinc/48/48/74/527484874.db2.gz QJRXXKZIENTIOU-UHFFFAOYSA-N 1 2 307.398 1.034 20 30 DDEDLO CC(C)C(=O)NC1CCN(C([O-])=[NH+]CCn2cc[nH+]c2)CC1 ZINC000330459662 527484880 /nfs/dbraw/zinc/48/48/80/527484880.db2.gz QJRXXKZIENTIOU-UHFFFAOYSA-N 1 2 307.398 1.034 20 30 DDEDLO C=CCN(CC(F)(F)F)C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(C)=O ZINC000339256583 527586757 /nfs/dbraw/zinc/58/67/57/527586757.db2.gz UPJFWEMCJIEFIO-LLVKDONJSA-N 1 2 318.299 1.034 20 30 DDEDLO C=CCN(CC(F)(F)F)C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(C)=O ZINC000339256583 527586763 /nfs/dbraw/zinc/58/67/63/527586763.db2.gz UPJFWEMCJIEFIO-LLVKDONJSA-N 1 2 318.299 1.034 20 30 DDEDLO CCC(=O)N[C@@H]1CCCN(C([O-])=[NH+]CCCn2cc[nH+]c2C)C1 ZINC000330443600 528397685 /nfs/dbraw/zinc/39/76/85/528397685.db2.gz QDAKYVCMASHDBJ-CQSZACIVSA-N 1 2 321.425 1.486 20 30 DDEDLO CCC(=O)N[C@@H]1CCCN(C(=O)N[C@H](C)Cn2cc[nH+]c2)C1 ZINC000330452621 528398120 /nfs/dbraw/zinc/39/81/20/528398120.db2.gz KZGUDGDQZCHTSP-CHWSQXEVSA-N 1 2 307.398 1.176 20 30 DDEDLO CCC(=O)N[C@@H]1CCCN(C([O-])=[NH+][C@H](C)Cn2cc[nH+]c2)C1 ZINC000330452621 528398123 /nfs/dbraw/zinc/39/81/23/528398123.db2.gz KZGUDGDQZCHTSP-CHWSQXEVSA-N 1 2 307.398 1.176 20 30 DDEDLO CCCCOCCNC(=O)C[NH+]1CCC(C#N)(COC)CC1 ZINC000342004144 528596099 /nfs/dbraw/zinc/59/60/99/528596099.db2.gz YMYIKFWAESAOEV-UHFFFAOYSA-N 1 2 311.426 1.171 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)[C@]2(C#N)CC23CCCC3)CC1 ZINC000333570680 528747112 /nfs/dbraw/zinc/74/71/12/528747112.db2.gz PRHCEUCFABTYNB-QGZVFWFLSA-N 1 2 305.422 1.641 20 30 DDEDLO CC(C)[C@@H]1CN(S(=O)(=O)c2ccc(C#N)s2)CC[N@@H+]1C ZINC000435439583 528752293 /nfs/dbraw/zinc/75/22/93/528752293.db2.gz TVUNSDMHAGINAW-LBPRGKRZSA-N 1 2 313.448 1.580 20 30 DDEDLO CC(C)[C@@H]1CN(S(=O)(=O)c2ccc(C#N)s2)CC[N@H+]1C ZINC000435439583 528752300 /nfs/dbraw/zinc/75/23/00/528752300.db2.gz TVUNSDMHAGINAW-LBPRGKRZSA-N 1 2 313.448 1.580 20 30 DDEDLO CCNC(=O)NC(=O)[C@H](C)[N@@H+]1C[C@H](O)C[C@@H]1c1cccc(F)c1 ZINC000330554939 528826840 /nfs/dbraw/zinc/82/68/40/528826840.db2.gz YZGVRLXGDSMUSI-ZLKJLUDKSA-N 1 2 323.368 1.372 20 30 DDEDLO CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@H](O)C[C@@H]1c1cccc(F)c1 ZINC000330554939 528826842 /nfs/dbraw/zinc/82/68/42/528826842.db2.gz YZGVRLXGDSMUSI-ZLKJLUDKSA-N 1 2 323.368 1.372 20 30 DDEDLO CCN1CCN(CC(=O)NC2CCOCC2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000329917448 529136359 /nfs/dbraw/zinc/13/63/59/529136359.db2.gz QUHDRNLRNBSHNM-CQSZACIVSA-N 1 2 321.425 1.224 20 30 DDEDLO CC[NH+]1CCN(C[C@@H](C)CNC(=O)c2ncc(C)cc2O)CC1 ZINC000330891546 529146587 /nfs/dbraw/zinc/14/65/87/529146587.db2.gz XETXNQPYHWRUGB-AWEZNQCLSA-N 1 2 320.437 1.674 20 30 DDEDLO CN(C)c1ncc(C=[NH+]Nc2ccc(-c3nn[nH]n3)cc2)s1 ZINC000825883868 607885967 /nfs/dbraw/zinc/88/59/67/607885967.db2.gz OGRNPDKYBDKSRF-UHFFFAOYSA-N 1 2 314.378 1.835 20 30 DDEDLO NC(CCO)=[NH+]OCC(=O)N[C@@H](c1ccccc1)C1CCCC1 ZINC000121379322 696709084 /nfs/dbraw/zinc/70/90/84/696709084.db2.gz JTOHGHXMQSQNBM-KRWDZBQOSA-N 1 2 319.405 1.705 20 30 DDEDLO CC(C)(C)[C@@H](NC(=O)CO[NH+]=C(N)CCO)c1cccs1 ZINC000121424589 696710068 /nfs/dbraw/zinc/71/00/68/696710068.db2.gz HRMGQGZKCNYZAH-ZDUSSCGKSA-N 1 2 313.423 1.623 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1ccc(C#N)cc1 ZINC000745083665 699970988 /nfs/dbraw/zinc/97/09/88/699970988.db2.gz DQPNVYCRVWWQKA-OAHLLOKOSA-N 1 2 315.373 1.914 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1ccc(C#N)cc1 ZINC000745083665 699970990 /nfs/dbraw/zinc/97/09/90/699970990.db2.gz DQPNVYCRVWWQKA-OAHLLOKOSA-N 1 2 315.373 1.914 20 30 DDEDLO C#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC000971952386 695129459 /nfs/dbraw/zinc/12/94/59/695129459.db2.gz JIOXSKNZCZYGHT-SNVBAGLBSA-N 1 2 314.311 1.516 20 30 DDEDLO C#CC[N@H+](C)[C@@H]1CCN(C(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC000971952386 695129460 /nfs/dbraw/zinc/12/94/60/695129460.db2.gz JIOXSKNZCZYGHT-SNVBAGLBSA-N 1 2 314.311 1.516 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cccs3)C2)C1 ZINC000972227458 695182390 /nfs/dbraw/zinc/18/23/90/695182390.db2.gz IICVNHJWMKLUDJ-MRXNPFEDSA-N 1 2 304.415 1.227 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cccs3)C2)C1 ZINC000972227458 695182391 /nfs/dbraw/zinc/18/23/91/695182391.db2.gz IICVNHJWMKLUDJ-MRXNPFEDSA-N 1 2 304.415 1.227 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3C)C2)C1 ZINC000972332002 695218717 /nfs/dbraw/zinc/21/87/17/695218717.db2.gz JDSUOHXWBAJXML-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3C)C2)C1 ZINC000972332002 695218720 /nfs/dbraw/zinc/21/87/20/695218720.db2.gz JDSUOHXWBAJXML-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccnn3CCC)C2)C1 ZINC000972396745 695239579 /nfs/dbraw/zinc/23/95/79/695239579.db2.gz CMOFMJYGMFPYEV-KRWDZBQOSA-N 1 2 318.421 1.396 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccnn3CCC)C2)C1 ZINC000972396745 695239580 /nfs/dbraw/zinc/23/95/80/695239580.db2.gz CMOFMJYGMFPYEV-KRWDZBQOSA-N 1 2 318.421 1.396 20 30 DDEDLO C#CCN1CCO[C@]2(CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C2)C1 ZINC000972434508 695250335 /nfs/dbraw/zinc/25/03/35/695250335.db2.gz HPKGIEUDRDWLBW-GOSISDBHSA-N 1 2 324.384 1.113 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)C1 ZINC000972474546 695259104 /nfs/dbraw/zinc/25/91/04/695259104.db2.gz JTNJRZSUPGVYLV-CYGHRXIMSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)C1 ZINC000972474546 695259106 /nfs/dbraw/zinc/25/91/06/695259106.db2.gz JTNJRZSUPGVYLV-CYGHRXIMSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972475801 695259814 /nfs/dbraw/zinc/25/98/14/695259814.db2.gz RGVBFJRCLYKRFB-BQFCYCMXSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972475801 695259816 /nfs/dbraw/zinc/25/98/16/695259816.db2.gz RGVBFJRCLYKRFB-BQFCYCMXSA-N 1 2 304.434 1.912 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3CC=CCC3)C2)C1 ZINC000972503615 695266663 /nfs/dbraw/zinc/26/66/63/695266663.db2.gz HEUNGFIVBCBRKU-WMZOPIPTSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3CC=CCC3)C2)C1 ZINC000972503615 695266664 /nfs/dbraw/zinc/26/66/64/695266664.db2.gz HEUNGFIVBCBRKU-WMZOPIPTSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccn(C)c3CC)C2)C1 ZINC000972551953 695279690 /nfs/dbraw/zinc/27/96/90/695279690.db2.gz ILFCEMUMLHOKON-GOSISDBHSA-N 1 2 315.417 1.138 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccn(C)c3CC)C2)C1 ZINC000972551953 695279691 /nfs/dbraw/zinc/27/96/91/695279691.db2.gz ILFCEMUMLHOKON-GOSISDBHSA-N 1 2 315.417 1.138 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3c(C)noc3C)C2)C1 ZINC000972669815 695314247 /nfs/dbraw/zinc/31/42/47/695314247.db2.gz STMWLZVOFXBKAN-QGZVFWFLSA-N 1 2 319.405 1.323 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3c(C)noc3C)C2)C1 ZINC000972669815 695314249 /nfs/dbraw/zinc/31/42/49/695314249.db2.gz STMWLZVOFXBKAN-QGZVFWFLSA-N 1 2 319.405 1.323 20 30 DDEDLO N#Cc1ccc(CNC(=O)CO[NH+]=C(N)Cc2ccccc2)cc1 ZINC000746095536 700004568 /nfs/dbraw/zinc/00/45/68/700004568.db2.gz SVDWCEZWJMDGQD-UHFFFAOYSA-N 1 2 322.368 1.916 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2snnc2C2CC2)C(C)(C)C1 ZINC000974709051 695716368 /nfs/dbraw/zinc/71/63/68/695716368.db2.gz GRVIQAAYDGSSHV-NSHDSACASA-N 1 2 304.419 1.489 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2snnc2C2CC2)C(C)(C)C1 ZINC000974709051 695716369 /nfs/dbraw/zinc/71/63/69/695716369.db2.gz GRVIQAAYDGSSHV-NSHDSACASA-N 1 2 304.419 1.489 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@H]1CN(CC#N)CC1(C)C)C2 ZINC000974751968 695726484 /nfs/dbraw/zinc/72/64/84/695726484.db2.gz MYUBJOWWBGLROZ-WFASDCNBSA-N 1 2 315.421 1.173 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@H]1CN(CC#N)CC1(C)C)CC2 ZINC000974751968 695726486 /nfs/dbraw/zinc/72/64/86/695726486.db2.gz MYUBJOWWBGLROZ-WFASDCNBSA-N 1 2 315.421 1.173 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nc3c2CCC3)C(C)(C)C1 ZINC000974770415 695731157 /nfs/dbraw/zinc/73/11/57/695731157.db2.gz CQBBHWILCLZMDJ-AWEZNQCLSA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2[nH]nc3c2CCC3)C(C)(C)C1 ZINC000974770415 695731158 /nfs/dbraw/zinc/73/11/58/695731158.db2.gz CQBBHWILCLZMDJ-AWEZNQCLSA-N 1 2 300.406 1.362 20 30 DDEDLO CC1(C)CN(CC#N)C[C@@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000977414741 696151004 /nfs/dbraw/zinc/15/10/04/696151004.db2.gz ODKSEFCSEVJNOW-INIZCTEOSA-N 1 2 323.400 1.836 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2cnc(COC)s2)C(C)(C)C1 ZINC000977671971 696251104 /nfs/dbraw/zinc/25/11/04/696251104.db2.gz XRGRZULJVDOACM-LBPRGKRZSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2cnc(COC)s2)C(C)(C)C1 ZINC000977671971 696251106 /nfs/dbraw/zinc/25/11/06/696251106.db2.gz XRGRZULJVDOACM-LBPRGKRZSA-N 1 2 307.419 1.363 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCC(=O)N2CCO[C@@H](C)C2)ccc1F ZINC000063457701 696327574 /nfs/dbraw/zinc/32/75/74/696327574.db2.gz OWDHQXOXFDPMQH-NSHDSACASA-N 1 2 309.341 1.018 20 30 DDEDLO CNC(=O)CO[NH+]=C(N)c1ccc(OCc2cccnc2)cc1 ZINC000101907183 696609226 /nfs/dbraw/zinc/60/92/26/696609226.db2.gz UXZXHWQLJVYWFD-UHFFFAOYSA-N 1 2 314.345 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC000980826356 696904116 /nfs/dbraw/zinc/90/41/16/696904116.db2.gz WYAIHHHXJIVSIT-RYUDHWBXSA-N 1 2 300.786 1.082 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC000980826356 696904118 /nfs/dbraw/zinc/90/41/18/696904118.db2.gz WYAIHHHXJIVSIT-RYUDHWBXSA-N 1 2 300.786 1.082 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](Cc2cnn(C(C)(C)C)c2)CC1 ZINC000980850673 696918082 /nfs/dbraw/zinc/91/80/82/696918082.db2.gz WICACSJXIUKJOY-AWEZNQCLSA-N 1 2 317.437 1.832 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](Cc2cnn(C(C)(C)C)c2)CC1 ZINC000980850673 696918084 /nfs/dbraw/zinc/91/80/84/696918084.db2.gz WICACSJXIUKJOY-AWEZNQCLSA-N 1 2 317.437 1.832 20 30 DDEDLO N#CCN1CCCC2(CN(C(=O)c3cc4c[nH+]ccc4[nH]3)C2)C1 ZINC000981847279 696941531 /nfs/dbraw/zinc/94/15/31/696941531.db2.gz YAIIRFBGEAKOMS-UHFFFAOYSA-N 1 2 309.373 1.624 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2sc(N(C)C)nc2C)CC1 ZINC000982096230 697029027 /nfs/dbraw/zinc/02/90/27/697029027.db2.gz XMAQGFHUVHNTDF-UHFFFAOYSA-N 1 2 320.462 1.689 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2sc(N(C)C)nc2C)CC1 ZINC000982096230 697029030 /nfs/dbraw/zinc/02/90/30/697029030.db2.gz XMAQGFHUVHNTDF-UHFFFAOYSA-N 1 2 320.462 1.689 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cc2nc(CC)c[nH]2)CC1 ZINC000981366959 697076705 /nfs/dbraw/zinc/07/67/05/697076705.db2.gz HAJLOOQJRAFUMW-UHFFFAOYSA-N 1 2 310.829 1.801 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cc2nc(CC)c[nH]2)CC1 ZINC000981366959 697076706 /nfs/dbraw/zinc/07/67/06/697076706.db2.gz HAJLOOQJRAFUMW-UHFFFAOYSA-N 1 2 310.829 1.801 20 30 DDEDLO C#CCCCNC(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000174121234 697384476 /nfs/dbraw/zinc/38/44/76/697384476.db2.gz BTJGWPFQGGJBHE-HNNXBMFYSA-N 1 2 300.406 1.883 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H]1CC2(CCC2)C(=O)O1 ZINC000192054506 697618899 /nfs/dbraw/zinc/61/88/99/697618899.db2.gz CAGWMYRQCZHDEY-HNNXBMFYSA-N 1 2 321.421 1.020 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000778336046 698264425 /nfs/dbraw/zinc/26/44/25/698264425.db2.gz OMPWPNBXPVGRJR-INIZCTEOSA-N 1 2 308.422 1.130 20 30 DDEDLO C[C@H]1C[C@@H]([NH2+]Cc2cnsn2)CN1C(=O)c1cc(C#N)c[nH]1 ZINC000988500980 698391622 /nfs/dbraw/zinc/39/16/22/698391622.db2.gz LVQSIUHPWOJSSU-GXSJLCMTSA-N 1 2 316.390 1.131 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+]2CC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000800534550 700231506 /nfs/dbraw/zinc/23/15/06/700231506.db2.gz MORWIRSEEWMDJY-SWLSCSKDSA-N 1 2 308.403 1.596 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+]2CC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000800534550 700231508 /nfs/dbraw/zinc/23/15/08/700231508.db2.gz MORWIRSEEWMDJY-SWLSCSKDSA-N 1 2 308.403 1.596 20 30 DDEDLO C[C@H]1CCN(C(=O)NCc2ccc(C#N)cn2)C[C@H]1n1cc[nH+]c1 ZINC000780642810 698542290 /nfs/dbraw/zinc/54/22/90/698542290.db2.gz BQZCLUVTSLKZBH-XJKSGUPXSA-N 1 2 324.388 1.942 20 30 DDEDLO CC(C)Cc1nc(C[NH2+]C2CCN(C(=O)[C@@H](C)C#N)CC2)no1 ZINC000990255101 699011460 /nfs/dbraw/zinc/01/14/60/699011460.db2.gz YORJRBZVBTVTNP-LBPRGKRZSA-N 1 2 319.409 1.508 20 30 DDEDLO C=CCCCOC(=O)CC[NH+]1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000787000755 699185212 /nfs/dbraw/zinc/18/52/12/699185212.db2.gz QBKGWKIJSWWNBS-OAHLLOKOSA-N 1 2 324.421 1.209 20 30 DDEDLO C#CCN(C(=O)NCC[N@@H+]1CCO[C@H](C)C1)C1CCCCC1 ZINC000726256327 699357765 /nfs/dbraw/zinc/35/77/65/699357765.db2.gz LZVCITLJXDKNRH-OAHLLOKOSA-N 1 2 307.438 1.685 20 30 DDEDLO C#CCN(C(=O)NCC[N@H+]1CCO[C@H](C)C1)C1CCCCC1 ZINC000726256327 699357769 /nfs/dbraw/zinc/35/77/69/699357769.db2.gz LZVCITLJXDKNRH-OAHLLOKOSA-N 1 2 307.438 1.685 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)CC[C@@H]2CCS(=O)(=O)C2)n1 ZINC000789329637 699378161 /nfs/dbraw/zinc/37/81/61/699378161.db2.gz PKVDUOCZOBZNJO-CQSZACIVSA-N 1 2 309.435 1.163 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)CC[C@@H]2CCS(=O)(=O)C2)n1 ZINC000789329637 699378164 /nfs/dbraw/zinc/37/81/64/699378164.db2.gz PKVDUOCZOBZNJO-CQSZACIVSA-N 1 2 309.435 1.163 20 30 DDEDLO CC[N@H+](CC(N)=O)Cc1cc(=O)n2c3ccccc3[nH]c2c1C#N ZINC000789519270 699392824 /nfs/dbraw/zinc/39/28/24/699392824.db2.gz ZPYBOJIYIWQSQO-LBPRGKRZSA-N 1 2 323.356 1.031 20 30 DDEDLO CC[N@@H+](CC(N)=O)Cc1cc(=O)n2c3ccccc3[nH]c2c1C#N ZINC000789519270 699392826 /nfs/dbraw/zinc/39/28/26/699392826.db2.gz ZPYBOJIYIWQSQO-LBPRGKRZSA-N 1 2 323.356 1.031 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(-n2nnnc2C)c1 ZINC000730097524 699500815 /nfs/dbraw/zinc/50/08/15/699500815.db2.gz DUIYBVJRBOSPME-HNNXBMFYSA-N 1 2 310.361 1.007 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(-n2nnnc2C)c1 ZINC000730097524 699500816 /nfs/dbraw/zinc/50/08/16/699500816.db2.gz DUIYBVJRBOSPME-HNNXBMFYSA-N 1 2 310.361 1.007 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@](C)(O)c1ccc(F)cc1F ZINC000734024076 699633209 /nfs/dbraw/zinc/63/32/09/699633209.db2.gz WSUSVSITAXGJPG-DOTOQJQBSA-N 1 2 322.355 1.386 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@](C)(O)c1ccc(F)cc1F ZINC000734024076 699633211 /nfs/dbraw/zinc/63/32/11/699633211.db2.gz WSUSVSITAXGJPG-DOTOQJQBSA-N 1 2 322.355 1.386 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2ccccc2F)CC1 ZINC000735939565 699712027 /nfs/dbraw/zinc/71/20/27/699712027.db2.gz HIULYZRJMVTJFB-UHFFFAOYSA-N 1 2 303.333 1.897 20 30 DDEDLO C[N@H+](CC(=O)[C@H](C#N)c1nc2ccccc2c(=O)[nH]1)C1CCC1 ZINC000744410277 699948534 /nfs/dbraw/zinc/94/85/34/699948534.db2.gz YRZCPCMMTQZJGN-ZDUSSCGKSA-N 1 2 310.357 1.996 20 30 DDEDLO C[N@@H+](CC(=O)[C@H](C#N)c1nc2ccccc2c(=O)[nH]1)C1CCC1 ZINC000744410277 699948535 /nfs/dbraw/zinc/94/85/35/699948535.db2.gz YRZCPCMMTQZJGN-ZDUSSCGKSA-N 1 2 310.357 1.996 20 30 DDEDLO COC(=O)/C(C)=C/C[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000801553606 700320613 /nfs/dbraw/zinc/32/06/13/700320613.db2.gz AYUIUTJNZDWQTB-WLRTZDKTSA-N 1 2 300.362 1.195 20 30 DDEDLO CCC(=O)CC[NH+]1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000753737521 700453221 /nfs/dbraw/zinc/45/32/21/700453221.db2.gz ICPVBZKAMJVTFC-UHFFFAOYSA-N 1 2 302.378 1.915 20 30 DDEDLO C[C@@H]1CC(=NN2C(=S)N=NC2[C@H]2CCCO2)C[N@@H+]1C1CC1 ZINC000753954144 700471465 /nfs/dbraw/zinc/47/14/65/700471465.db2.gz BOWZLEXGXKVPSI-BXKDBHETSA-N 1 2 307.423 1.879 20 30 DDEDLO C[C@@H]1CC(=NN2C(=S)N=NC2[C@H]2CCCO2)C[N@H+]1C1CC1 ZINC000753954144 700471468 /nfs/dbraw/zinc/47/14/68/700471468.db2.gz BOWZLEXGXKVPSI-BXKDBHETSA-N 1 2 307.423 1.879 20 30 DDEDLO N#Cc1c(NCCCCn2cc[nH+]c2)cccc1S(N)(=O)=O ZINC000755019106 700545315 /nfs/dbraw/zinc/54/53/15/700545315.db2.gz ITYDALNAAMNBSQ-UHFFFAOYSA-N 1 2 319.390 1.294 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@](C)(C(=O)OC)C1 ZINC000759785663 700772564 /nfs/dbraw/zinc/77/25/64/700772564.db2.gz SMXAMQGEIFARBL-WMLDXEAASA-N 1 2 316.357 1.657 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@](C)(C(=O)OC)C1 ZINC000759785663 700772566 /nfs/dbraw/zinc/77/25/66/700772566.db2.gz SMXAMQGEIFARBL-WMLDXEAASA-N 1 2 316.357 1.657 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@](C)(C(=O)OC)C1 ZINC000759785664 700772625 /nfs/dbraw/zinc/77/26/25/700772625.db2.gz SMXAMQGEIFARBL-YOEHRIQHSA-N 1 2 316.357 1.657 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@](C)(C(=O)OC)C1 ZINC000759785664 700772627 /nfs/dbraw/zinc/77/26/27/700772627.db2.gz SMXAMQGEIFARBL-YOEHRIQHSA-N 1 2 316.357 1.657 20 30 DDEDLO CC[N@H+](CC(=O)NC)[C@H](C)C(=O)N(C)C1(C#N)CCC(C)CC1 ZINC000764201424 700963712 /nfs/dbraw/zinc/96/37/12/700963712.db2.gz KJIKTLIPXULPLS-XOYHFGRZSA-N 1 2 322.453 1.374 20 30 DDEDLO CC[N@@H+](CC(=O)NC)[C@H](C)C(=O)N(C)C1(C#N)CCC(C)CC1 ZINC000764201424 700963713 /nfs/dbraw/zinc/96/37/13/700963713.db2.gz KJIKTLIPXULPLS-XOYHFGRZSA-N 1 2 322.453 1.374 20 30 DDEDLO CO[C@H]1C[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC[C@@H]1C ZINC000766635149 701057975 /nfs/dbraw/zinc/05/79/75/701057975.db2.gz VICFJAXBNPYVMO-LRDDRELGSA-N 1 2 301.390 1.523 20 30 DDEDLO CO[C@H]1C[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC[C@@H]1C ZINC000766635149 701057977 /nfs/dbraw/zinc/05/79/77/701057977.db2.gz VICFJAXBNPYVMO-LRDDRELGSA-N 1 2 301.390 1.523 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NCc1[nH]c[nH+]c1C ZINC000767394492 701102875 /nfs/dbraw/zinc/10/28/75/701102875.db2.gz RKBBHTHAWAGRRB-UHFFFAOYSA-N 1 2 308.363 1.576 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000769975939 701262894 /nfs/dbraw/zinc/26/28/94/701262894.db2.gz PCOSJEYUWIQXMY-MRVPVSSYSA-N 1 2 302.334 1.437 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000769975939 701262896 /nfs/dbraw/zinc/26/28/96/701262896.db2.gz PCOSJEYUWIQXMY-MRVPVSSYSA-N 1 2 302.334 1.437 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2cccc(C#N)c2)CC1 ZINC000772114005 701343725 /nfs/dbraw/zinc/34/37/25/701343725.db2.gz SKWKHUSOWAEGCA-UHFFFAOYSA-N 1 2 310.353 1.629 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000807170828 701467619 /nfs/dbraw/zinc/46/76/19/701467619.db2.gz CRHSDERTGTWNLV-INIZCTEOSA-N 1 2 310.357 1.434 20 30 DDEDLO CC(=O)NCC[C@@H]1CCC[N@H+](Cn2ccc(C)c(C#N)c2=O)C1 ZINC000808217548 701508703 /nfs/dbraw/zinc/50/87/03/701508703.db2.gz JZWBYXWEPQBHCQ-HNNXBMFYSA-N 1 2 316.405 1.224 20 30 DDEDLO CC(=O)NCC[C@@H]1CCC[N@@H+](Cn2ccc(C)c(C#N)c2=O)C1 ZINC000808217548 701508704 /nfs/dbraw/zinc/50/87/04/701508704.db2.gz JZWBYXWEPQBHCQ-HNNXBMFYSA-N 1 2 316.405 1.224 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(Nc2cc(C#N)cnn2)CC1 ZINC000866169745 706639160 /nfs/dbraw/zinc/63/91/60/706639160.db2.gz PSKMRQVKJXWCDT-UHFFFAOYSA-N 1 2 317.393 1.566 20 30 DDEDLO C[C@@H]([NH2+]C1CCN(S(C)(=O)=O)CC1)c1cccc(C#N)c1O ZINC000866225929 706654210 /nfs/dbraw/zinc/65/42/10/706654210.db2.gz HLDWAKRJKWUACI-LLVKDONJSA-N 1 2 323.418 1.338 20 30 DDEDLO C[N@H+](CC(=O)Oc1cccc(OCC#N)c1)[C@H]1CCSC1 ZINC000841345837 702424712 /nfs/dbraw/zinc/42/47/12/702424712.db2.gz PUYWNZHXSHAIBB-LBPRGKRZSA-N 1 2 306.387 1.932 20 30 DDEDLO C[N@@H+](CC(=O)Oc1cccc(OCC#N)c1)[C@H]1CCSC1 ZINC000841345837 702424716 /nfs/dbraw/zinc/42/47/16/702424716.db2.gz PUYWNZHXSHAIBB-LBPRGKRZSA-N 1 2 306.387 1.932 20 30 DDEDLO C[C@@H](O)CN(C)[NH+]=Cc1c(Cl)cccc1N1CC[NH+](C)CC1 ZINC000841656515 702519521 /nfs/dbraw/zinc/51/95/21/702519521.db2.gz VSSOYXNMHPWNHQ-CYBMUJFWSA-N 1 2 324.856 1.738 20 30 DDEDLO C[C@@H]([NH2+]CC1(OCCO)CCOCC1)c1cccc(C#N)c1O ZINC000866353458 706679724 /nfs/dbraw/zinc/67/97/24/706679724.db2.gz HAMWNGZSFWRDEE-CYBMUJFWSA-N 1 2 320.389 1.473 20 30 DDEDLO C=CCc1ccccc1OS(=O)(=O)C[C@@H]1C[N@H+](C)CCO1 ZINC000866408026 706691704 /nfs/dbraw/zinc/69/17/04/706691704.db2.gz FLEBQXKFYAFSDE-AWEZNQCLSA-N 1 2 311.403 1.454 20 30 DDEDLO C=CCc1ccccc1OS(=O)(=O)C[C@@H]1C[N@@H+](C)CCO1 ZINC000866408026 706691707 /nfs/dbraw/zinc/69/17/07/706691707.db2.gz FLEBQXKFYAFSDE-AWEZNQCLSA-N 1 2 311.403 1.454 20 30 DDEDLO C=CC[N@@H+](CCC[NH+]1CCN(c2ccccc2)CC1)CC(=O)[O-] ZINC000846262841 703257691 /nfs/dbraw/zinc/25/76/91/703257691.db2.gz MPVCWLRTFDTTKC-UHFFFAOYSA-N 1 2 317.433 1.771 20 30 DDEDLO C=CC[N@H+](CCC[NH+]1CCN(c2ccccc2)CC1)CC(=O)[O-] ZINC000846262841 703257692 /nfs/dbraw/zinc/25/76/92/703257692.db2.gz MPVCWLRTFDTTKC-UHFFFAOYSA-N 1 2 317.433 1.771 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000847022307 703367147 /nfs/dbraw/zinc/36/71/47/703367147.db2.gz UCJIFTIYYPFMPG-CQSZACIVSA-N 1 2 302.399 1.677 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000847022307 703367148 /nfs/dbraw/zinc/36/71/48/703367148.db2.gz UCJIFTIYYPFMPG-CQSZACIVSA-N 1 2 302.399 1.677 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2c(F)cccc2F)CC1 ZINC000851747969 703842870 /nfs/dbraw/zinc/84/28/70/703842870.db2.gz IQYJUGBGXJRUBN-UHFFFAOYSA-N 1 2 308.328 1.372 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CC[NH+](C[C@H](O)c2ccccn2)CC1 ZINC000851846263 703869475 /nfs/dbraw/zinc/86/94/75/703869475.db2.gz RLUCETAUPXKRCL-MOPGFXCFSA-N 1 2 322.412 1.997 20 30 DDEDLO CC(C)NC(=O)CC[N@@H+]1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852325935 704013024 /nfs/dbraw/zinc/01/30/24/704013024.db2.gz KTKSOSAXEUXIIP-QGZVFWFLSA-N 1 2 300.406 1.853 20 30 DDEDLO CC(C)NC(=O)CC[N@H+]1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852325935 704013029 /nfs/dbraw/zinc/01/30/29/704013029.db2.gz KTKSOSAXEUXIIP-QGZVFWFLSA-N 1 2 300.406 1.853 20 30 DDEDLO C[C@H]1C[N@H+](CCN2CCC[C@](C#N)(c3ccccn3)C2)CCO1 ZINC000852332670 704014094 /nfs/dbraw/zinc/01/40/94/704014094.db2.gz UUFUEHTWGUUYQN-FUHWJXTLSA-N 1 2 314.433 1.659 20 30 DDEDLO C[C@H]1C[N@@H+](CCN2CCC[C@](C#N)(c3ccccn3)C2)CCO1 ZINC000852332670 704014099 /nfs/dbraw/zinc/01/40/99/704014099.db2.gz UUFUEHTWGUUYQN-FUHWJXTLSA-N 1 2 314.433 1.659 20 30 DDEDLO CCOc1ccc(OC)cc1NC[C@@H](O)C[N@H+](C)CCC#N ZINC000819438141 704125199 /nfs/dbraw/zinc/12/51/99/704125199.db2.gz QOBKQFSCWPBJRI-CYBMUJFWSA-N 1 2 307.394 1.712 20 30 DDEDLO CCOc1ccc(OC)cc1NC[C@@H](O)C[N@@H+](C)CCC#N ZINC000819438141 704125201 /nfs/dbraw/zinc/12/52/01/704125201.db2.gz QOBKQFSCWPBJRI-CYBMUJFWSA-N 1 2 307.394 1.712 20 30 DDEDLO C#CCOCCC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000870994431 704195706 /nfs/dbraw/zinc/19/57/06/704195706.db2.gz WTLWUXBLQCKBMX-UHFFFAOYSA-N 1 2 319.430 1.291 20 30 DDEDLO CC(C)C#CC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000870997063 704196192 /nfs/dbraw/zinc/19/61/92/704196192.db2.gz JUHNCXZMWUPNTN-UHFFFAOYSA-N 1 2 303.431 1.910 20 30 DDEDLO C#CC[C@H](CO)NC(=O)CSCc1cn2cc(C)ccc2[nH+]1 ZINC000820064492 704214308 /nfs/dbraw/zinc/21/43/08/704214308.db2.gz DOGZFXVSQKHQBD-CYBMUJFWSA-N 1 2 317.414 1.376 20 30 DDEDLO C#CC[NH+]1CCN(CN2Cc3cc(F)c(F)cc3C2=O)CC1 ZINC000853490019 704248596 /nfs/dbraw/zinc/24/85/96/704248596.db2.gz KVZPBVQBIXYWFW-UHFFFAOYSA-N 1 2 305.328 1.129 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C(C)(C)[C@H]1c1ccncc1 ZINC000853621292 704288008 /nfs/dbraw/zinc/28/80/08/704288008.db2.gz XITBWJQURFJLNL-MRXNPFEDSA-N 1 2 315.417 1.920 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C(C)(C)[C@H]1c1ccncc1 ZINC000853621292 704288010 /nfs/dbraw/zinc/28/80/10/704288010.db2.gz XITBWJQURFJLNL-MRXNPFEDSA-N 1 2 315.417 1.920 20 30 DDEDLO COC(=O)C1(C#N)CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC000821484050 704416039 /nfs/dbraw/zinc/41/60/39/704416039.db2.gz FSWAUZKIUXBZLU-UHFFFAOYSA-N 1 2 312.329 1.482 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N[C@@H]1CCn2c[nH+]cc21 ZINC000857989670 704657632 /nfs/dbraw/zinc/65/76/32/704657632.db2.gz SIXKANCCLFEBHE-GFCCVEGCSA-N 1 2 312.329 1.403 20 30 DDEDLO C#CC[C@@H](COC)Nc1nc(NCCO)c2ccccc2[nH+]1 ZINC000858859524 704765301 /nfs/dbraw/zinc/76/53/01/704765301.db2.gz OUOUEMURBASOGF-LBPRGKRZSA-N 1 2 300.362 1.484 20 30 DDEDLO COc1ccc(C(N)=[NH+]O[C@H]2CCN(C3CCC3)C2=O)cc1 ZINC000871725467 707177864 /nfs/dbraw/zinc/17/78/64/707177864.db2.gz ANVHACJQRUKYOE-AWEZNQCLSA-N 1 2 303.362 1.486 20 30 DDEDLO CC[NH+]1CCN(C(=O)[C@H](C)SCc2ccc(C#N)o2)CC1 ZINC000871708719 707174251 /nfs/dbraw/zinc/17/42/51/707174251.db2.gz QDYXITYYSXROPK-LBPRGKRZSA-N 1 2 307.419 1.937 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+]1CC[C@H](S(C)(=O)=O)C1 ZINC000877499614 706124334 /nfs/dbraw/zinc/12/43/34/706124334.db2.gz JXJGWOYIACDHEZ-AWEZNQCLSA-N 1 2 307.419 1.243 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+]1CC[C@H](S(C)(=O)=O)C1 ZINC000877499614 706124336 /nfs/dbraw/zinc/12/43/36/706124336.db2.gz JXJGWOYIACDHEZ-AWEZNQCLSA-N 1 2 307.419 1.243 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cncc(OC)c2C)CC1 ZINC000877567370 706144989 /nfs/dbraw/zinc/14/49/89/706144989.db2.gz WPVZBKBWGAFGLK-UHFFFAOYSA-N 1 2 303.362 1.677 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)NCC[N@H+]1CC=CCC1 ZINC000872481712 707437178 /nfs/dbraw/zinc/43/71/78/707437178.db2.gz KLBICWXYJLFXOF-UHFFFAOYSA-N 1 2 305.403 1.407 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)NCC[N@@H+]1CC=CCC1 ZINC000872481712 707437180 /nfs/dbraw/zinc/43/71/80/707437180.db2.gz KLBICWXYJLFXOF-UHFFFAOYSA-N 1 2 305.403 1.407 20 30 DDEDLO N#CC[C@]1(O)CCN(c2cc(NCc3ccccc3)[nH+]cn2)C1 ZINC000865900198 706557317 /nfs/dbraw/zinc/55/73/17/706557317.db2.gz VZFPKARLFHUDMZ-KRWDZBQOSA-N 1 2 309.373 1.944 20 30 DDEDLO N#CC[C@]1(O)CCN(c2cc(NCc3ccccc3)nc[nH+]2)C1 ZINC000865900198 706557319 /nfs/dbraw/zinc/55/73/19/706557319.db2.gz VZFPKARLFHUDMZ-KRWDZBQOSA-N 1 2 309.373 1.944 20 30 DDEDLO N#Cc1cc(F)cc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000867129255 706905116 /nfs/dbraw/zinc/90/51/16/706905116.db2.gz OTKCUDATGSIENE-GFCCVEGCSA-N 1 2 320.349 1.187 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC000834770980 707113121 /nfs/dbraw/zinc/11/31/21/707113121.db2.gz NJZWZGXGKSHBDR-CQSZACIVSA-N 1 2 324.384 1.212 20 30 DDEDLO C[C@@H](C#N)OCCn1cc(C[NH2+]Cc2ccc(F)cc2)nn1 ZINC000881324637 707197254 /nfs/dbraw/zinc/19/72/54/707197254.db2.gz XUXXLTOQUOBVJC-LBPRGKRZSA-N 1 2 303.341 1.636 20 30 DDEDLO CC(C)(C)OC1C[NH+](C[C@H](O)COc2ccc(C#N)cc2)C1 ZINC000871991848 707271856 /nfs/dbraw/zinc/27/18/56/707271856.db2.gz LYOGHRJJCSZQRF-AWEZNQCLSA-N 1 2 304.390 1.797 20 30 DDEDLO C[C@@H](CNS(=O)(=O)c1cc(F)cc(C#N)c1)Cn1cc[nH+]c1 ZINC000872004498 707277956 /nfs/dbraw/zinc/27/79/56/707277956.db2.gz IXRHOZSYZTYMIE-NSHDSACASA-N 1 2 322.365 1.508 20 30 DDEDLO COc1ccc(C=NNC2=[NH+]C[C@H](C)N2)cc1Cn1cccn1 ZINC000872363415 707391012 /nfs/dbraw/zinc/39/10/12/707391012.db2.gz DVJLABVULGNHQY-LBPRGKRZSA-N 1 2 312.377 1.211 20 30 DDEDLO C#C[C@@H]1CCCN(S(=O)(=O)c2cccc3c2CC[N@@H+](C)C3)C1 ZINC000882333952 707588603 /nfs/dbraw/zinc/58/86/03/707588603.db2.gz BAXARFBDYWSKDS-CQSZACIVSA-N 1 2 318.442 1.708 20 30 DDEDLO C#C[C@@H]1CCCN(S(=O)(=O)c2cccc3c2CC[N@H+](C)C3)C1 ZINC000882333952 707588609 /nfs/dbraw/zinc/58/86/09/707588609.db2.gz BAXARFBDYWSKDS-CQSZACIVSA-N 1 2 318.442 1.708 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1N[C@@H]1CCc2nnn(C)c2C1 ZINC000896678501 708116774 /nfs/dbraw/zinc/11/67/74/708116774.db2.gz MZTRLGAAQCXFRZ-CYBMUJFWSA-N 1 2 311.389 1.972 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)CCOc1ccccc1C ZINC000884061857 708122542 /nfs/dbraw/zinc/12/25/42/708122542.db2.gz WUPDHQMKFCRKGA-AWEZNQCLSA-N 1 2 320.389 1.279 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H]1c1cc(C)on1 ZINC000884086297 708132469 /nfs/dbraw/zinc/13/24/69/708132469.db2.gz UFJXFGQHGWDPJY-WCQYABFASA-N 1 2 307.350 1.093 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H]1c1ccc(O)cc1 ZINC000884103018 708140213 /nfs/dbraw/zinc/14/02/13/708140213.db2.gz VLLXAUSMYJGISA-GJZGRUSLSA-N 1 2 318.373 1.502 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)Cc1ccc(C)cc1C ZINC000884130427 708153192 /nfs/dbraw/zinc/15/31/92/708153192.db2.gz KAVKQBYVPOJWDB-ZBFHGGJFSA-N 1 2 318.417 1.797 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2c(F)cccc2C1 ZINC000884377738 708270146 /nfs/dbraw/zinc/27/01/46/708270146.db2.gz UNJHSVLIZVPGIO-AWEZNQCLSA-N 1 2 306.337 1.157 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(CCC#N)cc2)[C@@H](C)C[N@@H+]1C ZINC000885081341 708465762 /nfs/dbraw/zinc/46/57/62/708465762.db2.gz OYGHZJTWDHTFTC-KGLIPLIRSA-N 1 2 321.446 1.856 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(CCC#N)cc2)[C@@H](C)C[N@H+]1C ZINC000885081341 708465764 /nfs/dbraw/zinc/46/57/64/708465764.db2.gz OYGHZJTWDHTFTC-KGLIPLIRSA-N 1 2 321.446 1.856 20 30 DDEDLO COc1cc2c(cc1O)CC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C2 ZINC000887104158 708955643 /nfs/dbraw/zinc/95/56/43/708955643.db2.gz ZMIAMALYBWFUFZ-LBPRGKRZSA-N 1 2 317.389 1.519 20 30 DDEDLO COc1cc2c(cc1O)CC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C2 ZINC000887104158 708955647 /nfs/dbraw/zinc/95/56/47/708955647.db2.gz ZMIAMALYBWFUFZ-LBPRGKRZSA-N 1 2 317.389 1.519 20 30 DDEDLO C[NH+]1CCN(C(=O)C(=O)Nc2ccc(C3CC3)c(C#N)c2)CC1 ZINC000889823770 709648676 /nfs/dbraw/zinc/64/86/76/709648676.db2.gz UMZMOAPJFHJKHL-UHFFFAOYSA-N 1 2 312.373 1.148 20 30 DDEDLO C=CC[C@@H]([NH2+]C[C@@H]1[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21)C(=O)OC ZINC000901602610 710091074 /nfs/dbraw/zinc/09/10/74/710091074.db2.gz YKWCZEWJYLHKEA-YIYPIFLZSA-N 1 2 324.421 1.807 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@H+](Cc3csc(C#N)c3)CCN2C1=O ZINC000892218978 710397288 /nfs/dbraw/zinc/39/72/88/710397288.db2.gz YDIJNONJKDNNKH-LBPRGKRZSA-N 1 2 304.375 1.088 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@@H+](Cc3csc(C#N)c3)CCN2C1=O ZINC000892218978 710397289 /nfs/dbraw/zinc/39/72/89/710397289.db2.gz YDIJNONJKDNNKH-LBPRGKRZSA-N 1 2 304.375 1.088 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(C#N)cc1 ZINC000902940575 710967203 /nfs/dbraw/zinc/96/72/03/710967203.db2.gz UBUKOYUFNIBUNT-CQSZACIVSA-N 1 2 302.378 1.791 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1cn(C)nn1 ZINC000902971676 710979969 /nfs/dbraw/zinc/97/99/69/710979969.db2.gz ZDBONJUYWLULIE-IUODEOHRSA-N 1 2 324.388 1.138 20 30 DDEDLO COc1cc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)ccc1C#N ZINC000913457722 713224486 /nfs/dbraw/zinc/22/44/86/713224486.db2.gz FQVXUZRXKHHLFC-AWEZNQCLSA-N 1 2 311.345 1.077 20 30 DDEDLO C=CCNC(=O)Nc1ccc(NC(=O)C[C@H]([NH3+])C(F)F)cc1 ZINC000912207008 711221092 /nfs/dbraw/zinc/22/10/92/711221092.db2.gz HGYXRKOUQSZXSJ-NSHDSACASA-N 1 2 312.320 1.915 20 30 DDEDLO C#CCN(C(=O)C1CC[NH+](Cc2nccn2C)CC1)C(C)C ZINC000928626875 713241171 /nfs/dbraw/zinc/24/11/71/713241171.db2.gz FNYGXDRNJQUSKD-UHFFFAOYSA-N 1 2 302.422 1.502 20 30 DDEDLO COc1cc(C[NH2+][C@@H]2CCCN(O)C2=O)c(Cl)cc1O ZINC000895157010 711435366 /nfs/dbraw/zinc/43/53/66/711435366.db2.gz KREHESXQRYLNAQ-SNVBAGLBSA-N 1 2 300.742 1.524 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2cccnc2Br)CCCN1O ZINC000895158157 711435865 /nfs/dbraw/zinc/43/58/65/711435865.db2.gz XMZJJXHPYCRWIH-SECBINFHSA-N 1 2 300.156 1.314 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCC#Cc2ccccc2)[nH]n1 ZINC000895564408 711542391 /nfs/dbraw/zinc/54/23/91/711542391.db2.gz WZDKRNVMRGSPRJ-KRWDZBQOSA-N 1 2 308.385 1.958 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)NCC#Cc2ccccc2)[nH]n1 ZINC000895564408 711542394 /nfs/dbraw/zinc/54/23/94/711542394.db2.gz WZDKRNVMRGSPRJ-KRWDZBQOSA-N 1 2 308.385 1.958 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCc2ccc(C#N)cc2)[nH]n1 ZINC000895637289 711566010 /nfs/dbraw/zinc/56/60/10/711566010.db2.gz HMENWQFMQLLTTO-MRXNPFEDSA-N 1 2 309.373 1.978 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)NCc2ccc(C#N)cc2)[nH]n1 ZINC000895637289 711566013 /nfs/dbraw/zinc/56/60/13/711566013.db2.gz HMENWQFMQLLTTO-MRXNPFEDSA-N 1 2 309.373 1.978 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)Nc1nc2c(cc1C#N)CCC2 ZINC000895805000 711611142 /nfs/dbraw/zinc/61/11/42/711611142.db2.gz YJOYGQJBJMFJHP-OAHLLOKOSA-N 1 2 300.406 1.965 20 30 DDEDLO COc1ccc(NC(=O)/C=C(/C)C[NH+]2CCOCC2)cc1C#N ZINC000913776847 713299084 /nfs/dbraw/zinc/29/90/84/713299084.db2.gz AIPYGZZYHJHXKH-LCYFTJDESA-N 1 2 315.373 1.784 20 30 DDEDLO Cc1cc(C(=O)N[C@H](C)C[NH+]2CCN(C)CC2)cc(C)c1C#N ZINC000906601849 712367468 /nfs/dbraw/zinc/36/74/68/712367468.db2.gz LFVJFSWFWHMUST-OAHLLOKOSA-N 1 2 314.433 1.541 20 30 DDEDLO CN(C)c1ccc(C=[NH+]Nc2ccc(S(N)(=O)=O)cc2)s1 ZINC000916240372 713448435 /nfs/dbraw/zinc/44/84/35/713448435.db2.gz HOBIBCMAUOBMSR-UHFFFAOYSA-N 1 2 324.431 1.908 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCN(C(=O)CCn3cc[nH+]c3)C2)nc1 ZINC000928723785 713471876 /nfs/dbraw/zinc/47/18/76/713471876.db2.gz ZPTMEKGFFMSRBG-OAHLLOKOSA-N 1 2 324.388 1.643 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966042946 717902998 /nfs/dbraw/zinc/90/29/98/717902998.db2.gz BDZCTIKYUXDMHE-RISCZKNCSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966042946 717903003 /nfs/dbraw/zinc/90/30/03/717903003.db2.gz BDZCTIKYUXDMHE-RISCZKNCSA-N 1 2 310.829 1.542 20 30 DDEDLO CCOc1ccc(CN2CC[NH+](C[C@H](O)CC#N)CC2)cc1 ZINC000929146205 713556027 /nfs/dbraw/zinc/55/60/27/713556027.db2.gz BGHOUZBGIAZKAY-MRXNPFEDSA-N 1 2 303.406 1.477 20 30 DDEDLO C#Cc1cccc(NC(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)c1 ZINC000929485321 713622315 /nfs/dbraw/zinc/62/23/15/713622315.db2.gz GZHRFBAQCFEEPJ-AWEZNQCLSA-N 1 2 301.390 1.852 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2nc(C)c(C)s2)CC1 ZINC000921438613 713771502 /nfs/dbraw/zinc/77/15/02/713771502.db2.gz FRRDAABJLCZJDL-UHFFFAOYSA-N 1 2 313.448 1.136 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](Cc2cnn(CCF)c2)CC1 ZINC000930552368 713864499 /nfs/dbraw/zinc/86/44/99/713864499.db2.gz SUPLTIIKQGBHTG-UHFFFAOYSA-N 1 2 314.368 1.441 20 30 DDEDLO COCc1nc2n(n1)CCC[C@H]2[NH2+]Cc1csc(C#N)c1 ZINC000921844119 713883253 /nfs/dbraw/zinc/88/32/53/713883253.db2.gz PJJJASUMUUSJPT-GFCCVEGCSA-N 1 2 303.391 1.982 20 30 DDEDLO CC(C)C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)nc1 ZINC000922026536 713941079 /nfs/dbraw/zinc/94/10/79/713941079.db2.gz QGBAAUIYVJMBDF-AWEZNQCLSA-N 1 2 322.434 1.304 20 30 DDEDLO CC(C)C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)nc1 ZINC000922026536 713941081 /nfs/dbraw/zinc/94/10/81/713941081.db2.gz QGBAAUIYVJMBDF-AWEZNQCLSA-N 1 2 322.434 1.304 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CC[C@H](c2n[nH]cc2C(=O)OCC)C1 ZINC000931011681 713982100 /nfs/dbraw/zinc/98/21/00/713982100.db2.gz MKDRIFNRQLOMMF-WCQYABFASA-N 1 2 321.377 1.493 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CC[C@H](c2n[nH]cc2C(=O)OCC)C1 ZINC000931011681 713982101 /nfs/dbraw/zinc/98/21/01/713982101.db2.gz MKDRIFNRQLOMMF-WCQYABFASA-N 1 2 321.377 1.493 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2nc(-c3ccc(F)c(F)c3)no2)CC1 ZINC000931145702 714015631 /nfs/dbraw/zinc/01/56/31/714015631.db2.gz OTUJNPHYSGTJQN-UHFFFAOYSA-N 1 2 319.311 1.975 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccc(C#N)c(F)c1 ZINC000931478341 714107069 /nfs/dbraw/zinc/10/70/69/714107069.db2.gz LGAVEWXQNUWLLG-LBPRGKRZSA-N 1 2 320.368 1.882 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CN(CC#N)CC[C@@H]3C)ccn12 ZINC000966107460 717954083 /nfs/dbraw/zinc/95/40/83/717954083.db2.gz WLGNWFXAIRUVHY-WFASDCNBSA-N 1 2 311.389 1.607 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)no1 ZINC000931953632 714219744 /nfs/dbraw/zinc/21/97/44/714219744.db2.gz QQJNMBPLAFLKNR-DOMZBBRYSA-N 1 2 305.382 1.222 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)no1 ZINC000931953632 714219746 /nfs/dbraw/zinc/21/97/46/714219746.db2.gz QQJNMBPLAFLKNR-DOMZBBRYSA-N 1 2 305.382 1.222 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC000923567880 714408658 /nfs/dbraw/zinc/40/86/58/714408658.db2.gz WTMBLHLJUNSWTE-OLZOCXBDSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC000923567880 714408659 /nfs/dbraw/zinc/40/86/59/714408659.db2.gz WTMBLHLJUNSWTE-OLZOCXBDSA-N 1 2 319.430 1.822 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[NH+]2CCC(O)(C3CCC3)CC2)cc1 ZINC000932819264 714419039 /nfs/dbraw/zinc/41/90/39/714419039.db2.gz XLXOUCBOGOADNM-MRXNPFEDSA-N 1 2 313.401 1.712 20 30 DDEDLO CC(C)(C#N)CCCOC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000923778261 714468874 /nfs/dbraw/zinc/46/88/74/714468874.db2.gz XHJBTGVWMSMLJM-CABCVRRESA-N 1 2 324.421 1.739 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@H+](CCCN3CCOC3=O)CCO2)cc1 ZINC000933623907 714633463 /nfs/dbraw/zinc/63/34/63/714633463.db2.gz FTXDWUYOHMWZMQ-MRXNPFEDSA-N 1 2 315.373 1.774 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@@H+](CCCN3CCOC3=O)CCO2)cc1 ZINC000933623907 714633465 /nfs/dbraw/zinc/63/34/65/714633465.db2.gz FTXDWUYOHMWZMQ-MRXNPFEDSA-N 1 2 315.373 1.774 20 30 DDEDLO CC(C)OC[C@H](O)C[N@@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933625596 714633871 /nfs/dbraw/zinc/63/38/71/714633871.db2.gz QUKVLGRYQNNRIR-IAGOWNOFSA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@H](O)C[N@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933625596 714633872 /nfs/dbraw/zinc/63/38/72/714633872.db2.gz QUKVLGRYQNNRIR-IAGOWNOFSA-N 1 2 304.390 1.717 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](CCCN3CCOCC3)CCO2)cc1 ZINC000933627930 714634194 /nfs/dbraw/zinc/63/41/94/714634194.db2.gz MKJOOQCDPSKHDB-SFHVURJKSA-N 1 2 315.417 1.654 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](CCCN3CCOCC3)CCO2)cc1 ZINC000933627930 714634195 /nfs/dbraw/zinc/63/41/95/714634195.db2.gz MKJOOQCDPSKHDB-SFHVURJKSA-N 1 2 315.417 1.654 20 30 DDEDLO CC(C)(C)[C@@H]1CNC(=O)C[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000933826431 714677148 /nfs/dbraw/zinc/67/71/48/714677148.db2.gz ISCSSBKDCNCCQL-HNNXBMFYSA-N 1 2 301.390 1.935 20 30 DDEDLO CC(C)(C)[C@@H]1CNC(=O)C[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000933826431 714677151 /nfs/dbraw/zinc/67/71/51/714677151.db2.gz ISCSSBKDCNCCQL-HNNXBMFYSA-N 1 2 301.390 1.935 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1cnn(C)n1 ZINC000926117287 715008433 /nfs/dbraw/zinc/00/84/33/715008433.db2.gz WPIJBRUTVLYEHB-IUODEOHRSA-N 1 2 324.388 1.533 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C3CC3)CC2)C1 ZINC000956572191 715474775 /nfs/dbraw/zinc/47/47/75/715474775.db2.gz YITSVIJEWVWGAO-OAHLLOKOSA-N 1 2 319.449 1.354 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC000938179878 715747570 /nfs/dbraw/zinc/74/75/70/715747570.db2.gz HJNKJKZDGLOCAQ-YNEHKIRRSA-N 1 2 316.405 1.443 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC000938179878 715747573 /nfs/dbraw/zinc/74/75/73/715747573.db2.gz HJNKJKZDGLOCAQ-YNEHKIRRSA-N 1 2 316.405 1.443 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc3c(cn2)OCCC3)C1 ZINC000957331566 715841753 /nfs/dbraw/zinc/84/17/53/715841753.db2.gz SEVHVTGOAMPSSL-UHFFFAOYSA-N 1 2 313.401 1.576 20 30 DDEDLO C=C(C)CC[NH+]1CCN(C(=O)c2cnccc2N(C)C)CC1 ZINC000957351385 715854014 /nfs/dbraw/zinc/85/40/14/715854014.db2.gz QVWDKDYGEACPFP-UHFFFAOYSA-N 1 2 302.422 1.872 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)C1 ZINC000938694971 715962305 /nfs/dbraw/zinc/96/23/05/715962305.db2.gz IOWPQCXOPCAQNW-AWEZNQCLSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)C1 ZINC000938694971 715962308 /nfs/dbraw/zinc/96/23/08/715962308.db2.gz IOWPQCXOPCAQNW-AWEZNQCLSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@H](c2cccnc2)N(C)C)CC1 ZINC000957805010 716060443 /nfs/dbraw/zinc/06/04/43/716060443.db2.gz ABKOKRYCPXGKJI-INIZCTEOSA-N 1 2 302.422 1.405 20 30 DDEDLO CCn1ccnc1C[N@H+](C)C[C@H]1CCCN1C(=O)[C@H](C)C#N ZINC000960488448 716577477 /nfs/dbraw/zinc/57/74/77/716577477.db2.gz ATWOQYUHFNPTPS-ZIAGYGMSSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)C[C@H]1CCCN1C(=O)[C@H](C)C#N ZINC000960488448 716577483 /nfs/dbraw/zinc/57/74/83/716577483.db2.gz ATWOQYUHFNPTPS-ZIAGYGMSSA-N 1 2 303.410 1.485 20 30 DDEDLO C[N@@H+](Cc1ncccn1)C[C@@H]1CCCN1C(=O)c1c[nH]c(C#N)c1 ZINC000960572602 716616228 /nfs/dbraw/zinc/61/62/28/716616228.db2.gz XHDGRNLRVAOKGT-HNNXBMFYSA-N 1 2 324.388 1.413 20 30 DDEDLO C[N@H+](Cc1ncccn1)C[C@@H]1CCCN1C(=O)c1c[nH]c(C#N)c1 ZINC000960572602 716616230 /nfs/dbraw/zinc/61/62/30/716616230.db2.gz XHDGRNLRVAOKGT-HNNXBMFYSA-N 1 2 324.388 1.413 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC000960614345 716631219 /nfs/dbraw/zinc/63/12/19/716631219.db2.gz QTQQLWZENRFFHC-DOMZBBRYSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC000960614345 716631223 /nfs/dbraw/zinc/63/12/23/716631223.db2.gz QTQQLWZENRFFHC-DOMZBBRYSA-N 1 2 316.405 1.775 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cn(CC)nn1 ZINC000960786941 716689948 /nfs/dbraw/zinc/68/99/48/716689948.db2.gz GNKZOJDWEGBFKY-OAHLLOKOSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cn(CC)nn1 ZINC000960786941 716689949 /nfs/dbraw/zinc/68/99/49/716689949.db2.gz GNKZOJDWEGBFKY-OAHLLOKOSA-N 1 2 319.453 1.933 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000940846565 716988580 /nfs/dbraw/zinc/98/85/80/716988580.db2.gz BVFXTJOQDXFHNL-UKRRQHHQSA-N 1 2 315.421 1.186 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCCC34CC4)CC2)C1 ZINC000941454057 717179766 /nfs/dbraw/zinc/17/97/66/717179766.db2.gz BIOKLLGXSNSOFV-MRXNPFEDSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc(C)sc3C)CC2)C1 ZINC000941475750 717183874 /nfs/dbraw/zinc/18/38/74/717183874.db2.gz YZNQHVVJCSMSBS-UHFFFAOYSA-N 1 2 317.458 1.440 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@]3(C)C=CCC3)CC2)C1 ZINC000941526115 717194226 /nfs/dbraw/zinc/19/42/26/717194226.db2.gz ZNMZBCKTXLUWSN-SFHVURJKSA-N 1 2 301.434 1.194 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C(C)(C)C3CC3)CC2)C1 ZINC000941529012 717195774 /nfs/dbraw/zinc/19/57/74/717195774.db2.gz GGGPAPBYLKQHJF-UHFFFAOYSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3(C)CCCCC3)CC2)C1 ZINC000941544994 717202487 /nfs/dbraw/zinc/20/24/87/717202487.db2.gz GVGUHZPWPSGAFX-UHFFFAOYSA-N 1 2 317.477 1.809 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc4ccccn4c3)CC2)C1 ZINC000941593583 717222989 /nfs/dbraw/zinc/22/29/89/717222989.db2.gz RHGVXGJBDFEAIC-UHFFFAOYSA-N 1 2 322.412 1.015 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc4ccccc4[nH]3)CC2)C1 ZINC000941660697 717246930 /nfs/dbraw/zinc/24/69/30/717246930.db2.gz VHSSWWNGHOYJBW-UHFFFAOYSA-N 1 2 322.412 1.243 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@]3(C)CC=C(C)CC3)CC2)C1 ZINC000941670389 717249101 /nfs/dbraw/zinc/24/91/01/717249101.db2.gz QICLMJHOEDLEJC-IBGZPJMESA-N 1 2 315.461 1.585 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cc(C)on4)C[C@H]32)cn1 ZINC000962339302 717413332 /nfs/dbraw/zinc/41/33/32/717413332.db2.gz FLZXCNRURVJNIV-FVQHAEBGSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cc(C)on4)C[C@H]32)cn1 ZINC000962339302 717413337 /nfs/dbraw/zinc/41/33/37/717413337.db2.gz FLZXCNRURVJNIV-FVQHAEBGSA-N 1 2 322.368 1.220 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000942278771 717596778 /nfs/dbraw/zinc/59/67/78/717596778.db2.gz GFYCMAOLRKDBFO-LLVKDONJSA-N 1 2 324.812 1.448 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965527806 717676525 /nfs/dbraw/zinc/67/65/25/717676525.db2.gz PZKYRCNDZNTHEZ-BXUZGUMPSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965527806 717676530 /nfs/dbraw/zinc/67/65/30/717676530.db2.gz PZKYRCNDZNTHEZ-BXUZGUMPSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3nnc(C)[nH]3)C[C@H]2C)C1 ZINC000942608602 717776276 /nfs/dbraw/zinc/77/62/76/717776276.db2.gz XNFZLYNBFJALCO-TZMCWYRMSA-N 1 2 317.437 1.796 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3nnc(C)[nH]3)C[C@H]2C)C1 ZINC000942608602 717776281 /nfs/dbraw/zinc/77/62/81/717776281.db2.gz XNFZLYNBFJALCO-TZMCWYRMSA-N 1 2 317.437 1.796 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000943343775 718084779 /nfs/dbraw/zinc/08/47/79/718084779.db2.gz YDPHZZMQVWYLIT-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC(NC(=O)Cn2cc[nH+]c2)CC1 ZINC000948203121 719392751 /nfs/dbraw/zinc/39/27/51/719392751.db2.gz ICOBTJZQYBBTLX-QGZVFWFLSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2snnc2C(C)C)C1 ZINC000968454692 719596650 /nfs/dbraw/zinc/59/66/50/719596650.db2.gz NHZARNGUZFVEIZ-NWDGAFQWSA-N 1 2 306.435 1.735 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2snnc2C(C)C)C1 ZINC000968454692 719596653 /nfs/dbraw/zinc/59/66/53/719596653.db2.gz NHZARNGUZFVEIZ-NWDGAFQWSA-N 1 2 306.435 1.735 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2nonc2C)C1 ZINC000968493910 719622596 /nfs/dbraw/zinc/62/25/96/719622596.db2.gz ZKVBZMJVEMCALQ-NOZJJQNGSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2nonc2C)C1 ZINC000968493910 719622597 /nfs/dbraw/zinc/62/25/97/719622597.db2.gz ZKVBZMJVEMCALQ-NOZJJQNGSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968636203 719706168 /nfs/dbraw/zinc/70/61/68/719706168.db2.gz XMQRSTMZRFHIEL-TZMCWYRMSA-N 1 2 323.824 1.427 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968636203 719706172 /nfs/dbraw/zinc/70/61/72/719706172.db2.gz XMQRSTMZRFHIEL-TZMCWYRMSA-N 1 2 323.824 1.427 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968692090 719739278 /nfs/dbraw/zinc/73/92/78/719739278.db2.gz LGSIDXMTYZQTFD-RYUDHWBXSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968692090 719739282 /nfs/dbraw/zinc/73/92/82/719739282.db2.gz LGSIDXMTYZQTFD-RYUDHWBXSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nnn(C)c1C ZINC000948901553 719774979 /nfs/dbraw/zinc/77/49/79/719774979.db2.gz BJURGWREFYWKJV-MRXNPFEDSA-N 1 2 323.400 1.086 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nnn(C)c1C ZINC000948901553 719774984 /nfs/dbraw/zinc/77/49/84/719774984.db2.gz BJURGWREFYWKJV-MRXNPFEDSA-N 1 2 323.400 1.086 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CC[C@@H](C)O1 ZINC000948960629 719805051 /nfs/dbraw/zinc/80/50/51/719805051.db2.gz FSGPHHZGQSGPSH-ZTFGCOKTSA-N 1 2 312.413 1.903 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CC[C@@H](C)O1 ZINC000948960629 719805057 /nfs/dbraw/zinc/80/50/57/719805057.db2.gz FSGPHHZGQSGPSH-ZTFGCOKTSA-N 1 2 312.413 1.903 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2c(C)[nH]nc2C(F)(F)F)CC1 ZINC000949323770 720017658 /nfs/dbraw/zinc/01/76/58/720017658.db2.gz QKPYBUYXJVSRRE-UHFFFAOYSA-N 1 2 302.300 1.681 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnn3c2CCC3)C1 ZINC000969794701 720295461 /nfs/dbraw/zinc/29/54/61/720295461.db2.gz ZFFLWFSYGZGXSY-NSHDSACASA-N 1 2 308.813 1.632 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnc(C)nc2OC)C1 ZINC000969810445 720302474 /nfs/dbraw/zinc/30/24/74/720302474.db2.gz VQFJSMSVPZKWAN-JTQLQIEISA-N 1 2 324.812 1.596 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC000969946879 720570979 /nfs/dbraw/zinc/57/09/79/720570979.db2.gz FNRRXFUVXFLSMN-LLVKDONJSA-N 1 2 324.812 1.189 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccnn2C2CCC2)C1 ZINC000950340840 720585875 /nfs/dbraw/zinc/58/58/75/720585875.db2.gz OBTGZKQWLXNTPV-UHFFFAOYSA-N 1 2 300.406 1.778 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc3c(c2)NC(=O)C3)C1 ZINC000950406247 720619244 /nfs/dbraw/zinc/61/92/44/720619244.db2.gz LEZMJMGPJQHOFF-UHFFFAOYSA-N 1 2 313.401 1.904 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC000970178956 720654364 /nfs/dbraw/zinc/65/43/64/720654364.db2.gz NOFNCGZZGBTGFI-KAEIUNMGSA-N 1 2 310.825 1.599 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC000970217558 720665410 /nfs/dbraw/zinc/66/54/10/720665410.db2.gz QDUCUNJGGDBYRC-NWDGAFQWSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2csc(=O)[nH]2)C1 ZINC000970307775 720700199 /nfs/dbraw/zinc/70/01/99/720700199.db2.gz WGNHLUWMGAPXMG-MRVPVSSYSA-N 1 2 301.799 1.651 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cc(F)c(F)cc2F)CC1 ZINC000950721482 720750769 /nfs/dbraw/zinc/75/07/69/720750769.db2.gz NVZUQFYUUAYJBC-UHFFFAOYSA-N 1 2 310.319 1.814 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cnc3sccn3c2=O)C1 ZINC000950809128 720780915 /nfs/dbraw/zinc/78/09/15/720780915.db2.gz VXLMCRMUYLNZBH-UHFFFAOYSA-N 1 2 318.402 1.088 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccnc3c2nnn3C)C1 ZINC000951387888 721015258 /nfs/dbraw/zinc/01/52/58/721015258.db2.gz XIXYDUIMWIKAFS-UHFFFAOYSA-N 1 2 314.393 1.086 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C(C)(C)C)nn2C)C1 ZINC000951616663 721101258 /nfs/dbraw/zinc/10/12/58/721101258.db2.gz SWMIHXLBWSSBBS-UHFFFAOYSA-N 1 2 316.449 1.887 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2nc3c(o2)CCCC3)C1 ZINC000971211382 721181177 /nfs/dbraw/zinc/18/11/77/721181177.db2.gz QUBWICQKAKOAHE-OLZOCXBDSA-N 1 2 316.405 1.746 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2nc3c(o2)CCCC3)C1 ZINC000971211382 721181181 /nfs/dbraw/zinc/18/11/81/721181181.db2.gz QUBWICQKAKOAHE-OLZOCXBDSA-N 1 2 316.405 1.746 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)co1 ZINC000971377399 721265120 /nfs/dbraw/zinc/26/51/20/721265120.db2.gz YWXHJQZUCVYVHD-OAHLLOKOSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)co1 ZINC000971377399 721265124 /nfs/dbraw/zinc/26/51/24/721265124.db2.gz YWXHJQZUCVYVHD-OAHLLOKOSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971507510 721328113 /nfs/dbraw/zinc/32/81/13/721328113.db2.gz IYSXVVIBMQUSLL-HIFRSBDPSA-N 1 2 301.390 1.990 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971507510 721328115 /nfs/dbraw/zinc/32/81/15/721328115.db2.gz IYSXVVIBMQUSLL-HIFRSBDPSA-N 1 2 301.390 1.990 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(OCC#C)cc2)C1 ZINC000952415124 721441451 /nfs/dbraw/zinc/44/14/51/721441451.db2.gz LAZYSDPWCXHWPF-UHFFFAOYSA-N 1 2 310.397 1.868 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)CNc1ccc(C#N)nc1 ZINC001104258300 733068460 /nfs/dbraw/zinc/06/84/60/733068460.db2.gz BYQUCZYFTLYRLQ-KCPJHIHWSA-N 1 2 324.388 1.644 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)CNc1ccc(C#N)nc1 ZINC001104258300 733068463 /nfs/dbraw/zinc/06/84/63/733068463.db2.gz BYQUCZYFTLYRLQ-KCPJHIHWSA-N 1 2 324.388 1.644 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)cc3F)[C@H]2C1 ZINC001083204403 733190543 /nfs/dbraw/zinc/19/05/43/733190543.db2.gz OQJHVQJCHFMPAI-DLBZAZTESA-N 1 2 316.376 1.683 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)cc3F)[C@H]2C1 ZINC001083204403 733190547 /nfs/dbraw/zinc/19/05/47/733190547.db2.gz OQJHVQJCHFMPAI-DLBZAZTESA-N 1 2 316.376 1.683 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H](C)SC)C1 ZINC001149263467 734726122 /nfs/dbraw/zinc/72/61/22/734726122.db2.gz MKKUMPBZRJUVDI-NWDGAFQWSA-N 1 2 306.859 1.698 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H](C)SC)C1 ZINC001149263467 734726124 /nfs/dbraw/zinc/72/61/24/734726124.db2.gz MKKUMPBZRJUVDI-NWDGAFQWSA-N 1 2 306.859 1.698 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4c([nH]3)CCC4)[C@H]2C1 ZINC001083245436 734755688 /nfs/dbraw/zinc/75/56/88/734755688.db2.gz RLUQPEFNUUJXKO-DLBZAZTESA-N 1 2 313.401 1.052 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4c([nH]3)CCC4)[C@H]2C1 ZINC001083245436 734755690 /nfs/dbraw/zinc/75/56/90/734755690.db2.gz RLUQPEFNUUJXKO-DLBZAZTESA-N 1 2 313.401 1.052 20 30 DDEDLO CN1CCN(c2cc(NCc3ccccc3C#N)[nH+]cn2)CC1=O ZINC001167633796 734863771 /nfs/dbraw/zinc/86/37/71/734863771.db2.gz BLZBJNZJVJSDRV-UHFFFAOYSA-N 1 2 322.372 1.239 20 30 DDEDLO CN1CCN(c2cc(NCc3ccccc3C#N)nc[nH+]2)CC1=O ZINC001167633796 734863774 /nfs/dbraw/zinc/86/37/74/734863774.db2.gz BLZBJNZJVJSDRV-UHFFFAOYSA-N 1 2 322.372 1.239 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)cc3Cl)[C@H]2C1 ZINC001083264928 735571739 /nfs/dbraw/zinc/57/17/39/735571739.db2.gz YYXSIFRBSCBJEK-LSDHHAIUSA-N 1 2 322.767 1.638 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)cc3Cl)[C@H]2C1 ZINC001083264928 735571741 /nfs/dbraw/zinc/57/17/41/735571741.db2.gz YYXSIFRBSCBJEK-LSDHHAIUSA-N 1 2 322.767 1.638 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)C1=COCCO1 ZINC001024308624 735741394 /nfs/dbraw/zinc/74/13/94/735741394.db2.gz LPYJFYDQLWWEMU-LBPRGKRZSA-N 1 2 300.786 1.598 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)C1=COCCO1 ZINC001024308624 735741399 /nfs/dbraw/zinc/74/13/99/735741399.db2.gz LPYJFYDQLWWEMU-LBPRGKRZSA-N 1 2 300.786 1.598 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cnn(CC)n1 ZINC001024427974 735817562 /nfs/dbraw/zinc/81/75/62/735817562.db2.gz SXQYANQEBGIURW-LBPRGKRZSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cnn(CC)n1 ZINC001024427974 735817564 /nfs/dbraw/zinc/81/75/64/735817564.db2.gz SXQYANQEBGIURW-LBPRGKRZSA-N 1 2 311.817 1.635 20 30 DDEDLO N#Cc1cnccc1N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001058590626 736022676 /nfs/dbraw/zinc/02/26/76/736022676.db2.gz IUWCGBWCGSXNHL-MGPQQGTHSA-N 1 2 322.372 1.175 20 30 DDEDLO N#Cc1cnccc1N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001058590626 736022682 /nfs/dbraw/zinc/02/26/82/736022682.db2.gz IUWCGBWCGSXNHL-MGPQQGTHSA-N 1 2 322.372 1.175 20 30 DDEDLO Cc1cncc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)c1 ZINC001038153374 738920289 /nfs/dbraw/zinc/92/02/89/738920289.db2.gz PEECWPYBJDGCIT-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cncc(C[N@H+]2CC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)c1 ZINC001038153374 738920290 /nfs/dbraw/zinc/92/02/90/738920290.db2.gz PEECWPYBJDGCIT-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO N#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001025225546 736374598 /nfs/dbraw/zinc/37/45/98/736374598.db2.gz FTFBSHYGDBXXJD-GJZGRUSLSA-N 1 2 315.421 1.711 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)c3ccccc3)C2)nn1 ZINC001098600644 736529783 /nfs/dbraw/zinc/52/97/83/736529783.db2.gz DZLUKTBTWQQCHJ-KRWDZBQOSA-N 1 2 323.400 1.478 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)C[C@@H]3C2)nc1 ZINC001087962130 740888190 /nfs/dbraw/zinc/88/81/90/740888190.db2.gz YYRKRTQSTHZRTF-SJORKVTESA-N 1 2 324.428 1.479 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)C[C@@H]3C2)nc1 ZINC001087962130 740888193 /nfs/dbraw/zinc/88/81/93/740888193.db2.gz YYRKRTQSTHZRTF-SJORKVTESA-N 1 2 324.428 1.479 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@@H]3CC[C@@H](NCC#N)[C@@H]3C2)c[nH+]1 ZINC001026294012 737309273 /nfs/dbraw/zinc/30/92/73/737309273.db2.gz MNFRBVROFDEYFS-RRFJBIMHSA-N 1 2 315.421 1.277 20 30 DDEDLO C=CCCC(=O)N1CC(n2cc(C[NH2+]C[C@H](F)CC)nn2)C1 ZINC001105185089 737601989 /nfs/dbraw/zinc/60/19/89/737601989.db2.gz GJNQDZARUKCTMO-GFCCVEGCSA-N 1 2 309.389 1.465 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001125939191 737776561 /nfs/dbraw/zinc/77/65/61/737776561.db2.gz WYGOJNDVWHIFJL-UHFFFAOYSA-N 1 2 303.406 1.953 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105305638 737795185 /nfs/dbraw/zinc/79/51/85/737795185.db2.gz KPEIDISKMUKAHL-ZIAGYGMSSA-N 1 2 315.421 1.233 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(-c2cccs2)n[nH]1 ZINC001038727964 738233872 /nfs/dbraw/zinc/23/38/72/738233872.db2.gz WOBGZTQSIFRATQ-LBPRGKRZSA-N 1 2 314.414 1.966 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(-c2cccs2)n[nH]1 ZINC001038727964 738233876 /nfs/dbraw/zinc/23/38/76/738233876.db2.gz WOBGZTQSIFRATQ-LBPRGKRZSA-N 1 2 314.414 1.966 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@@H]1CCCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC001027371929 738242741 /nfs/dbraw/zinc/24/27/41/738242741.db2.gz MFEYKWMKPLHBNB-CQSZACIVSA-N 1 2 324.388 1.413 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@@H]1CCCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC001027371929 738242743 /nfs/dbraw/zinc/24/27/43/738242743.db2.gz MFEYKWMKPLHBNB-CQSZACIVSA-N 1 2 324.388 1.413 20 30 DDEDLO C[C@H](c1nncn1C)[N@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406211 738265984 /nfs/dbraw/zinc/26/59/84/738265984.db2.gz OGXWXUNDXQQVAX-UKRRQHHQSA-N 1 2 315.421 1.212 20 30 DDEDLO C[C@H](c1nncn1C)[N@@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406211 738265986 /nfs/dbraw/zinc/26/59/86/738265986.db2.gz OGXWXUNDXQQVAX-UKRRQHHQSA-N 1 2 315.421 1.212 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098198699 739157968 /nfs/dbraw/zinc/15/79/68/739157968.db2.gz VYJPSYFKSQNTDN-AAEUAGOBSA-N 1 2 320.441 1.765 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001035369035 751433417 /nfs/dbraw/zinc/43/34/17/751433417.db2.gz ANRIGLKZONAIAF-ZDUSSCGKSA-N 1 2 319.405 1.426 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001035369035 751433419 /nfs/dbraw/zinc/43/34/19/751433419.db2.gz ANRIGLKZONAIAF-ZDUSSCGKSA-N 1 2 319.405 1.426 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCO[C@H]2C(C)C)C1 ZINC001035370089 751434393 /nfs/dbraw/zinc/43/43/93/751434393.db2.gz HCZCKHUVSQSOLY-JYJNAYRXSA-N 1 2 310.438 1.441 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCO[C@H]2C(C)C)C1 ZINC001035370089 751434398 /nfs/dbraw/zinc/43/43/98/751434398.db2.gz HCZCKHUVSQSOLY-JYJNAYRXSA-N 1 2 310.438 1.441 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCO[C@H]2C(C)C)C1 ZINC001035370126 751434674 /nfs/dbraw/zinc/43/46/74/751434674.db2.gz IDBMMGWIZSPWCO-ULQDDVLXSA-N 1 2 324.465 1.831 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCO[C@H]2C(C)C)C1 ZINC001035370126 751434678 /nfs/dbraw/zinc/43/46/78/751434678.db2.gz IDBMMGWIZSPWCO-ULQDDVLXSA-N 1 2 324.465 1.831 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(Cl)cnn2C)C1 ZINC001035374129 751438218 /nfs/dbraw/zinc/43/82/18/751438218.db2.gz LSLJQNWZBXNYNW-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(Cl)cnn2C)C1 ZINC001035374129 751438220 /nfs/dbraw/zinc/43/82/20/751438220.db2.gz LSLJQNWZBXNYNW-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C#N)ccc2F)C[C@H]1OC ZINC001211770971 739520269 /nfs/dbraw/zinc/52/02/69/739520269.db2.gz KWKLFQSIGFXGJP-HZPDHXFCSA-N 1 2 319.380 1.813 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2F)C[C@H]1OC ZINC001211770971 739520271 /nfs/dbraw/zinc/52/02/71/739520271.db2.gz KWKLFQSIGFXGJP-HZPDHXFCSA-N 1 2 319.380 1.813 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2scnc2CC)C1 ZINC001035394195 751463991 /nfs/dbraw/zinc/46/39/91/751463991.db2.gz IWCMTXSTLMAUAB-GFCCVEGCSA-N 1 2 307.419 1.159 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2scnc2CC)C1 ZINC001035394195 751463994 /nfs/dbraw/zinc/46/39/94/751463994.db2.gz IWCMTXSTLMAUAB-GFCCVEGCSA-N 1 2 307.419 1.159 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccn3c2CCCC3)C1 ZINC001035397004 751466867 /nfs/dbraw/zinc/46/68/67/751466867.db2.gz CYRHRRGQJZJTHB-AWEZNQCLSA-N 1 2 303.406 1.441 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccn3c2CCCC3)C1 ZINC001035397004 751466869 /nfs/dbraw/zinc/46/68/69/751466869.db2.gz CYRHRRGQJZJTHB-AWEZNQCLSA-N 1 2 303.406 1.441 20 30 DDEDLO Cc1noc(C[N@H+]2C[C@@H]3CN(C(=O)C#CC(C)C)C[C@]3(C)C2)n1 ZINC001101229223 739754400 /nfs/dbraw/zinc/75/44/00/739754400.db2.gz PLIZQHAZMILZTG-PBHICJAKSA-N 1 2 316.405 1.318 20 30 DDEDLO Cc1noc(C[N@@H+]2C[C@@H]3CN(C(=O)C#CC(C)C)C[C@]3(C)C2)n1 ZINC001101229223 739754404 /nfs/dbraw/zinc/75/44/04/739754404.db2.gz PLIZQHAZMILZTG-PBHICJAKSA-N 1 2 316.405 1.318 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)n([C@@H](C)CC)n2)C1 ZINC001035424313 751482637 /nfs/dbraw/zinc/48/26/37/751482637.db2.gz PCNDCDQTVIKLLS-DZGCQCFKSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)n([C@@H](C)CC)n2)C1 ZINC001035424313 751482640 /nfs/dbraw/zinc/48/26/40/751482640.db2.gz PCNDCDQTVIKLLS-DZGCQCFKSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cncc(Cl)c2C)C1 ZINC001035404706 751487918 /nfs/dbraw/zinc/48/79/18/751487918.db2.gz PEAUHLXYLXTSNN-GFCCVEGCSA-N 1 2 309.797 1.660 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cncc(Cl)c2C)C1 ZINC001035404706 751487923 /nfs/dbraw/zinc/48/79/23/751487923.db2.gz PEAUHLXYLXTSNN-GFCCVEGCSA-N 1 2 309.797 1.660 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3(F)CCCC3)C2)nn1 ZINC001098687863 739924927 /nfs/dbraw/zinc/92/49/27/739924927.db2.gz GFJZMYYZEHPMSC-AWEZNQCLSA-N 1 2 319.384 1.057 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+](Cc3cnon3)C2)cc1 ZINC001028732640 739971558 /nfs/dbraw/zinc/97/15/58/739971558.db2.gz LLPDIHDGJMHRLY-AWEZNQCLSA-N 1 2 310.357 1.303 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+](Cc3cnon3)C2)cc1 ZINC001028732640 739971559 /nfs/dbraw/zinc/97/15/59/739971559.db2.gz LLPDIHDGJMHRLY-AWEZNQCLSA-N 1 2 310.357 1.303 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001035416924 751497969 /nfs/dbraw/zinc/49/79/69/751497969.db2.gz OBTIHMYCGGWDQW-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001035416924 751497974 /nfs/dbraw/zinc/49/79/74/751497974.db2.gz OBTIHMYCGGWDQW-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)CN1CCO[C@@H](CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001035416924 751497978 /nfs/dbraw/zinc/49/79/78/751497978.db2.gz OBTIHMYCGGWDQW-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001035418331 751499242 /nfs/dbraw/zinc/49/92/42/751499242.db2.gz WWTKQZLSDVIRQP-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001035418331 751499246 /nfs/dbraw/zinc/49/92/46/751499246.db2.gz WWTKQZLSDVIRQP-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(Cl)n2C)C1 ZINC001035445958 751523060 /nfs/dbraw/zinc/52/30/60/751523060.db2.gz VLQZPZFZLQEESD-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnc(Cl)n2C)C1 ZINC001035445958 751523065 /nfs/dbraw/zinc/52/30/65/751523065.db2.gz VLQZPZFZLQEESD-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3C/C=C/Cl)[nH]1 ZINC001029275317 740513558 /nfs/dbraw/zinc/51/35/58/740513558.db2.gz AICJTKLWWLMXRJ-NXWDJRRSSA-N 1 2 304.781 1.928 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3C/C=C/Cl)[nH]1 ZINC001029275317 740513563 /nfs/dbraw/zinc/51/35/63/740513563.db2.gz AICJTKLWWLMXRJ-NXWDJRRSSA-N 1 2 304.781 1.928 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CC(F)(F)F)C1 ZINC001149319138 740588237 /nfs/dbraw/zinc/58/82/37/740588237.db2.gz XYOBYMLQMBCPKI-SNVBAGLBSA-N 1 2 314.735 1.898 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CC(F)(F)F)C1 ZINC001149319138 740588239 /nfs/dbraw/zinc/58/82/39/740588239.db2.gz XYOBYMLQMBCPKI-SNVBAGLBSA-N 1 2 314.735 1.898 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2nnc[nH]2)CC[N@@H+]1Cc1ccccc1C#N ZINC001087767339 740739133 /nfs/dbraw/zinc/73/91/33/740739133.db2.gz WLEMGUJTUPQENA-LSDHHAIUSA-N 1 2 324.388 1.459 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2nnc[nH]2)CC[N@H+]1Cc1ccccc1C#N ZINC001087767339 740739134 /nfs/dbraw/zinc/73/91/34/740739134.db2.gz WLEMGUJTUPQENA-LSDHHAIUSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2coc(C3CC3)n2)C1 ZINC001035544287 751591716 /nfs/dbraw/zinc/59/17/16/751591716.db2.gz YXCFJHGKHIDYNM-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2coc(C3CC3)n2)C1 ZINC001035544287 751591719 /nfs/dbraw/zinc/59/17/19/751591719.db2.gz YXCFJHGKHIDYNM-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO CC[C@H]1CN(C(=O)CCc2c[nH+]cn2C)CC[C@@H]1NCC#N ZINC001037961955 751598053 /nfs/dbraw/zinc/59/80/53/751598053.db2.gz LKZFKEDOHDFSFL-ZFWWWQNUSA-N 1 2 303.410 1.093 20 30 DDEDLO CC(C)n1ncnc1C[N@H+](C)C[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001029676418 741216977 /nfs/dbraw/zinc/21/69/77/741216977.db2.gz AOOHDPFGRVYSLD-KGLIPLIRSA-N 1 2 318.425 1.299 20 30 DDEDLO CC(C)n1ncnc1C[N@@H+](C)C[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001029676418 741216980 /nfs/dbraw/zinc/21/69/80/741216980.db2.gz AOOHDPFGRVYSLD-KGLIPLIRSA-N 1 2 318.425 1.299 20 30 DDEDLO CCc1nnc(C[N@H+](C)C[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)s1 ZINC001029678833 741219740 /nfs/dbraw/zinc/21/97/40/741219740.db2.gz TXMJYWPIQPBGGA-NEPJUHHUSA-N 1 2 321.450 1.540 20 30 DDEDLO CCc1nnc(C[N@@H+](C)C[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)s1 ZINC001029678833 741219743 /nfs/dbraw/zinc/21/97/43/741219743.db2.gz TXMJYWPIQPBGGA-NEPJUHHUSA-N 1 2 321.450 1.540 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2ccccn2)cc1 ZINC001037985474 751625502 /nfs/dbraw/zinc/62/55/02/751625502.db2.gz NVRKLSCUKJJRFO-INIZCTEOSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2ccccn2)cc1 ZINC001037985474 751625507 /nfs/dbraw/zinc/62/55/07/751625507.db2.gz NVRKLSCUKJJRFO-INIZCTEOSA-N 1 2 306.369 1.958 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3nccn3c2)C1 ZINC001035572355 751626068 /nfs/dbraw/zinc/62/60/68/751626068.db2.gz YXTSNJJHJHEHNY-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc3nccn3c2)C1 ZINC001035572355 751626074 /nfs/dbraw/zinc/62/60/74/751626074.db2.gz YXTSNJJHJHEHNY-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO Cc1nsc(N[C@H]2C[C@@H](NC(=O)Cn3cc[nH+]c3)C2)c1C#N ZINC001059665902 741515707 /nfs/dbraw/zinc/51/57/07/741515707.db2.gz UNSWFZBHUGJXMF-PHIMTYICSA-N 1 2 316.390 1.279 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccccc2OCC)C1 ZINC001035592712 751687846 /nfs/dbraw/zinc/68/78/46/751687846.db2.gz NXKSBVOMQUZPMC-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccccc2OCC)C1 ZINC001035592712 751687849 /nfs/dbraw/zinc/68/78/49/751687849.db2.gz NXKSBVOMQUZPMC-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc3n(c2)CCCC3)C1 ZINC001035624423 751694863 /nfs/dbraw/zinc/69/48/63/751694863.db2.gz BXBDMLCEMCAXMT-KRWDZBQOSA-N 1 2 317.433 1.831 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc3n(c2)CCCC3)C1 ZINC001035624423 751694869 /nfs/dbraw/zinc/69/48/69/751694869.db2.gz BXBDMLCEMCAXMT-KRWDZBQOSA-N 1 2 317.433 1.831 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3ccnc(OC)n3)[C@@H]2C1 ZINC001076026157 742496970 /nfs/dbraw/zinc/49/69/70/742496970.db2.gz ROAPCVIJYZQJFA-WCQYABFASA-N 1 2 322.796 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccnc(OC)n3)[C@@H]2C1 ZINC001076026157 742496972 /nfs/dbraw/zinc/49/69/72/742496972.db2.gz ROAPCVIJYZQJFA-WCQYABFASA-N 1 2 322.796 1.384 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(C(N)=O)co3)[C@@H]2C1 ZINC001076231548 742607972 /nfs/dbraw/zinc/60/79/72/742607972.db2.gz IJPQPZXUTMYWQA-CMPLNLGQSA-N 1 2 323.780 1.277 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(C(N)=O)co3)[C@@H]2C1 ZINC001076231548 742607974 /nfs/dbraw/zinc/60/79/74/742607974.db2.gz IJPQPZXUTMYWQA-CMPLNLGQSA-N 1 2 323.780 1.277 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001076545650 742782663 /nfs/dbraw/zinc/78/26/63/742782663.db2.gz GNAGFZQXPOPZJF-LSDHHAIUSA-N 1 2 318.421 1.649 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001076666827 742880476 /nfs/dbraw/zinc/88/04/76/742880476.db2.gz YUZJHKQXUCADGI-ZDUSSCGKSA-N 1 2 318.421 1.732 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n(C)n1 ZINC001038079471 742891457 /nfs/dbraw/zinc/89/14/57/742891457.db2.gz JJIWSGODQHCQOU-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)n(C)n1 ZINC001038079471 742891465 /nfs/dbraw/zinc/89/14/65/742891465.db2.gz JJIWSGODQHCQOU-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001076710638 742922651 /nfs/dbraw/zinc/92/26/51/742922651.db2.gz LXDYGULCXRXXSI-OLZOCXBDSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001076710638 742922657 /nfs/dbraw/zinc/92/26/57/742922657.db2.gz LXDYGULCXRXXSI-OLZOCXBDSA-N 1 2 306.410 1.566 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)c(C)c(C)[nH+]1 ZINC001040539981 742946472 /nfs/dbraw/zinc/94/64/72/742946472.db2.gz AEPRFCAQGAJFKC-NZVBXONLSA-N 1 2 313.405 1.599 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1ccnn1C)CC2 ZINC001035693638 751780806 /nfs/dbraw/zinc/78/08/06/751780806.db2.gz CWBROFOEOSNZGX-UHFFFAOYSA-N 1 2 322.840 1.640 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC001181535564 743229910 /nfs/dbraw/zinc/22/99/10/743229910.db2.gz XLJAGKSYHYWDRI-CYBMUJFWSA-N 1 2 304.394 1.679 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCc1cnn2c1C[N@H+](CCC)CC2 ZINC001128244935 743362087 /nfs/dbraw/zinc/36/20/87/743362087.db2.gz BWYPRMONNVBVIO-AWEZNQCLSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCc1cnn2c1C[N@@H+](CCC)CC2 ZINC001128244935 743362095 /nfs/dbraw/zinc/36/20/95/743362095.db2.gz BWYPRMONNVBVIO-AWEZNQCLSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCC(=O)N2c2ccccc2)CC1 ZINC001181933471 743398544 /nfs/dbraw/zinc/39/85/44/743398544.db2.gz VKIVBYWJNOQPRI-MRXNPFEDSA-N 1 2 313.401 1.512 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@H+](C[C@@H](CC)OC)CC2 ZINC001128259400 743405057 /nfs/dbraw/zinc/40/50/57/743405057.db2.gz BQNIUXSGGUUHGI-OAHLLOKOSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@@H+](C[C@@H](CC)OC)CC2 ZINC001128259400 743405061 /nfs/dbraw/zinc/40/50/61/743405061.db2.gz BQNIUXSGGUUHGI-OAHLLOKOSA-N 1 2 320.437 1.706 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)Nc1c(C#N)cnn1C(=O)OC ZINC001182001421 743429780 /nfs/dbraw/zinc/42/97/80/743429780.db2.gz SDEYORYTJZKCPV-LLVKDONJSA-N 1 2 305.338 1.182 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)Nc1c(C#N)cnn1C(=O)OC ZINC001182001421 743429783 /nfs/dbraw/zinc/42/97/83/743429783.db2.gz SDEYORYTJZKCPV-LLVKDONJSA-N 1 2 305.338 1.182 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC001077420853 743464441 /nfs/dbraw/zinc/46/44/41/743464441.db2.gz XXGMPVPPDUCYSG-HUUCEWRRSA-N 1 2 314.385 1.443 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC001077420853 743464443 /nfs/dbraw/zinc/46/44/43/743464443.db2.gz XXGMPVPPDUCYSG-HUUCEWRRSA-N 1 2 314.385 1.443 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CCCOCC)CC2)C1 ZINC001105693546 743468605 /nfs/dbraw/zinc/46/86/05/743468605.db2.gz AXQNBVXXBMGUNR-UHFFFAOYSA-N 1 2 310.438 1.681 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC001182407385 743604619 /nfs/dbraw/zinc/60/46/19/743604619.db2.gz XILDZFDCGIXQJD-CYBMUJFWSA-N 1 2 318.421 1.717 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CC(C)(C)O)c2C1 ZINC001128332181 743612422 /nfs/dbraw/zinc/61/24/22/743612422.db2.gz NCOQHZHYRRCFNP-UHFFFAOYSA-N 1 2 306.410 1.052 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CC(C)(C)O)c2C1 ZINC001128332181 743612426 /nfs/dbraw/zinc/61/24/26/743612426.db2.gz NCOQHZHYRRCFNP-UHFFFAOYSA-N 1 2 306.410 1.052 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2ncc(C3CC3)o2)C1 ZINC001182505130 743650336 /nfs/dbraw/zinc/65/03/36/743650336.db2.gz QIWAVDDBKVRDKX-GXTWGEPZSA-N 1 2 317.389 1.281 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+]Cc1ncc(C)o1 ZINC001127125040 743808685 /nfs/dbraw/zinc/80/86/85/743808685.db2.gz QJSNCQBSGXHHPZ-UHFFFAOYSA-N 1 2 309.410 1.952 20 30 DDEDLO COc1ccc(CC[NH+]2CC(NC(=O)c3cc(C#N)c[nH]3)C2)cc1 ZINC001030202232 743933450 /nfs/dbraw/zinc/93/34/50/743933450.db2.gz UAMQZOANACNRKC-UHFFFAOYSA-N 1 2 324.384 1.552 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H]2CCCC[C@H]2n2cccn2)C1 ZINC001030463264 744177443 /nfs/dbraw/zinc/17/74/43/744177443.db2.gz HYNCCFRNKBMMJS-JKSUJKDBSA-N 1 2 300.406 1.438 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc([C@@H](C)OC)no2)C1 ZINC001185295880 744200246 /nfs/dbraw/zinc/20/02/46/744200246.db2.gz GBIJTCGHZRWEAM-CHWSQXEVSA-N 1 2 322.409 1.824 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(COC)no2)C1 ZINC001185305324 744201671 /nfs/dbraw/zinc/20/16/71/744201671.db2.gz UKVPXZSPPVFGIC-CHWSQXEVSA-N 1 2 322.409 1.824 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(C)c(OC)nc2OC)CC1 ZINC001185431963 744221754 /nfs/dbraw/zinc/22/17/54/744221754.db2.gz VKFSIGXQZKJHNU-UHFFFAOYSA-N 1 2 305.378 1.351 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1ncc[nH]1)CC2 ZINC001035824184 751902657 /nfs/dbraw/zinc/90/26/57/751902657.db2.gz VGYYFNFJTNNLJI-UHFFFAOYSA-N 1 2 308.813 1.629 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C=C3CCC3)C2)nn1 ZINC001185915591 744314215 /nfs/dbraw/zinc/31/42/15/744314215.db2.gz JNLHWAWCZSKGIY-INIZCTEOSA-N 1 2 313.405 1.275 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C[C@H](C)CC)C2)nn1 ZINC001185932333 744316590 /nfs/dbraw/zinc/31/65/90/744316590.db2.gz GCPPPNHONGZFDA-GDBMZVCRSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC1(Nc2cc[nH+]c(C)n2)CC1 ZINC001110379530 744381020 /nfs/dbraw/zinc/38/10/20/744381020.db2.gz RKWDDGVTUYVPBB-ZFWWWQNUSA-N 1 2 316.405 1.827 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)co1 ZINC001089139768 744583997 /nfs/dbraw/zinc/58/39/97/744583997.db2.gz MPJUSCKHBIITRL-WFASDCNBSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)co1 ZINC001089139768 744584000 /nfs/dbraw/zinc/58/40/00/744584000.db2.gz MPJUSCKHBIITRL-WFASDCNBSA-N 1 2 304.394 1.956 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@]12CCC[C@H]1N(CC#N)CC2 ZINC000992224454 744705487 /nfs/dbraw/zinc/70/54/87/744705487.db2.gz WLINBPWUSMEWMI-SMKXDYDZSA-N 1 2 313.405 1.160 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C#N)C2 ZINC001110401413 744758575 /nfs/dbraw/zinc/75/85/75/744758575.db2.gz CDFUBCILRIAAHM-NGFQHRJXSA-N 1 2 320.437 1.172 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C#N)C2 ZINC001110401413 744758578 /nfs/dbraw/zinc/75/85/78/744758578.db2.gz CDFUBCILRIAAHM-NGFQHRJXSA-N 1 2 320.437 1.172 20 30 DDEDLO COC(=O)[C@H](CS)NC(=O)c1ccccc1-n1cc[nH+]c1 ZINC001190107951 745103156 /nfs/dbraw/zinc/10/31/56/745103156.db2.gz WDMZRUGSIIJUJY-NSHDSACASA-N 1 2 305.359 1.074 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cccn(C(C)(C)C)c2=O)C1 ZINC001031071977 745302015 /nfs/dbraw/zinc/30/20/15/745302015.db2.gz FLVBZFUBAXMNCI-UHFFFAOYSA-N 1 2 301.390 1.041 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106303202 745575940 /nfs/dbraw/zinc/57/59/40/745575940.db2.gz LVVSAINCRUKLLV-LBPRGKRZSA-N 1 2 318.425 1.356 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001192073435 745682289 /nfs/dbraw/zinc/68/22/89/745682289.db2.gz UDDWMURSSZTBNW-CQSZACIVSA-N 1 2 305.426 1.687 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001192073435 745682291 /nfs/dbraw/zinc/68/22/91/745682291.db2.gz UDDWMURSSZTBNW-CQSZACIVSA-N 1 2 305.426 1.687 20 30 DDEDLO Cc1ccc(C#N)c(NCC[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001106426210 745685822 /nfs/dbraw/zinc/68/58/22/745685822.db2.gz DMALZLJFMYDQMB-LBPRGKRZSA-N 1 2 312.377 1.534 20 30 DDEDLO Cc1nsc(NCC[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001106426252 745685849 /nfs/dbraw/zinc/68/58/49/745685849.db2.gz GCOBCTJRLLRYBH-VIFPVBQESA-N 1 2 318.406 1.596 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)=C(C)C)C2 ZINC001110445579 745720776 /nfs/dbraw/zinc/72/07/76/745720776.db2.gz YCLAMNVXHCSUNA-SYQHCUMBSA-N 1 2 321.396 1.109 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)=C(C)C)C2 ZINC001110445579 745720782 /nfs/dbraw/zinc/72/07/82/745720782.db2.gz YCLAMNVXHCSUNA-SYQHCUMBSA-N 1 2 321.396 1.109 20 30 DDEDLO C[C@H](CCNc1ccncc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001106624272 745844561 /nfs/dbraw/zinc/84/45/61/745844561.db2.gz BWGKIJOPLKMOEQ-MRVWCRGKSA-N 1 2 324.388 1.209 20 30 DDEDLO C[C@H](CCNc1ccncc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001106624272 745844564 /nfs/dbraw/zinc/84/45/64/745844564.db2.gz BWGKIJOPLKMOEQ-MRVWCRGKSA-N 1 2 324.388 1.209 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cnn(C3CCCC3)c2C)C1 ZINC001031228283 745924158 /nfs/dbraw/zinc/92/41/58/745924158.db2.gz NSEMSHDDSKMSMJ-UHFFFAOYSA-N 1 2 300.406 1.744 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](CCc3ccccc3)C2)cn1 ZINC001031275623 746116009 /nfs/dbraw/zinc/11/60/09/746116009.db2.gz QIERCKWSGGETJJ-UHFFFAOYSA-N 1 2 305.381 1.720 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C2CCC(O)CC2)C1 ZINC001007227059 752063433 /nfs/dbraw/zinc/06/34/33/752063433.db2.gz MLFHNODAEKAGMO-MOKVOYLWSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C2CCC(O)CC2)C1 ZINC001007227059 752063435 /nfs/dbraw/zinc/06/34/35/752063435.db2.gz MLFHNODAEKAGMO-MOKVOYLWSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(=O)n(C)c2)[C@H]1C ZINC000993546612 746207798 /nfs/dbraw/zinc/20/77/98/746207798.db2.gz XNRCQNAFMOBELK-TZMCWYRMSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(=O)n(C)c2)[C@H]1C ZINC000993546612 746207800 /nfs/dbraw/zinc/20/78/00/746207800.db2.gz XNRCQNAFMOBELK-TZMCWYRMSA-N 1 2 323.824 1.721 20 30 DDEDLO C=CCOC(=O)N1CCc2nnc(C[NH+]3CCCCC3)n2CC1 ZINC001194303427 746329736 /nfs/dbraw/zinc/32/97/36/746329736.db2.gz HGRKOFUPEBKBJT-UHFFFAOYSA-N 1 2 319.409 1.445 20 30 DDEDLO N#Cc1ccc(NC2CCC(NC(=O)Cc3c[nH]c[nH+]3)CC2)nc1 ZINC001060624680 746372992 /nfs/dbraw/zinc/37/29/92/746372992.db2.gz FYASJGVISOTAGW-UHFFFAOYSA-N 1 2 324.388 1.758 20 30 DDEDLO Cn1c[nH+]cc1-c1cccc(C(=O)NC2(C#N)CCOCC2)c1 ZINC001194927099 746488432 /nfs/dbraw/zinc/48/84/32/746488432.db2.gz HJOXQUULJLUNFS-UHFFFAOYSA-N 1 2 310.357 1.890 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+]([C@H](C)c2cnc(C)cn2)CC1 ZINC001195284051 746568061 /nfs/dbraw/zinc/56/80/61/746568061.db2.gz NQOGREMERPCVMA-OAHLLOKOSA-N 1 2 318.421 1.583 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+]([C@H](C)c2cnc(C)cn2)CC1 ZINC001195284051 746568064 /nfs/dbraw/zinc/56/80/64/746568064.db2.gz NQOGREMERPCVMA-OAHLLOKOSA-N 1 2 318.421 1.583 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2CC)C[C@H]1O ZINC001195312525 746573697 /nfs/dbraw/zinc/57/36/97/746573697.db2.gz PISVXEQVCHUCDS-ZIAGYGMSSA-N 1 2 321.421 1.419 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2CC)C[C@H]1O ZINC001195312525 746573702 /nfs/dbraw/zinc/57/37/02/746573702.db2.gz PISVXEQVCHUCDS-ZIAGYGMSSA-N 1 2 321.421 1.419 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195466708 746617169 /nfs/dbraw/zinc/61/71/69/746617169.db2.gz FFIBENMCCQBTOF-HUUCEWRRSA-N 1 2 319.405 1.146 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195466708 746617170 /nfs/dbraw/zinc/61/71/70/746617170.db2.gz FFIBENMCCQBTOF-HUUCEWRRSA-N 1 2 319.405 1.146 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@H]2O)CC1 ZINC001195955727 746748946 /nfs/dbraw/zinc/74/89/46/746748946.db2.gz YEYQHQPOWUHFDV-IAGOWNOFSA-N 1 2 312.413 1.390 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@H]2O)CC1 ZINC001195955727 746748948 /nfs/dbraw/zinc/74/89/48/746748948.db2.gz YEYQHQPOWUHFDV-IAGOWNOFSA-N 1 2 312.413 1.390 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)C(C)(C)C)CC1 ZINC001196288889 746828110 /nfs/dbraw/zinc/82/81/10/746828110.db2.gz XKXAWHJZHSGACU-AWEZNQCLSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)C(C)(C)C)CC1 ZINC001196288889 746828117 /nfs/dbraw/zinc/82/81/17/746828117.db2.gz XKXAWHJZHSGACU-AWEZNQCLSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001196475425 746871615 /nfs/dbraw/zinc/87/16/15/746871615.db2.gz VAVSNXIKMQFZLC-ZIAGYGMSSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001196475425 746871622 /nfs/dbraw/zinc/87/16/22/746871622.db2.gz VAVSNXIKMQFZLC-ZIAGYGMSSA-N 1 2 321.421 1.555 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108054583 746909470 /nfs/dbraw/zinc/90/94/70/746909470.db2.gz WMQCTRSWFJDCOB-GFCCVEGCSA-N 1 2 318.425 1.356 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)C2CC2)CC1 ZINC001196732925 746952589 /nfs/dbraw/zinc/95/25/89/746952589.db2.gz XSORCYBRBJSVSS-AWEZNQCLSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)C2CC2)CC1 ZINC001196732925 746952593 /nfs/dbraw/zinc/95/25/93/746952593.db2.gz XSORCYBRBJSVSS-AWEZNQCLSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCC[C@@H]2CC)CC1 ZINC001197055189 747038592 /nfs/dbraw/zinc/03/85/92/747038592.db2.gz LJWOTZAMZPVISW-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCC[C@@H]2CC)CC1 ZINC001197055189 747038594 /nfs/dbraw/zinc/03/85/94/747038594.db2.gz LJWOTZAMZPVISW-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1ccon1 ZINC001031561465 747040896 /nfs/dbraw/zinc/04/08/96/747040896.db2.gz NACXQHGBGQNTCN-UHFFFAOYSA-N 1 2 313.332 1.527 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)[nH]n1 ZINC001031561869 747043429 /nfs/dbraw/zinc/04/34/29/747043429.db2.gz DALDFASQDGKEQH-UHFFFAOYSA-N 1 2 308.385 1.431 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(C(C)C)CC2)CC1 ZINC001197093827 747049074 /nfs/dbraw/zinc/04/90/74/747049074.db2.gz UXYCRXZKLUXIFD-OAHLLOKOSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(C(C)C)CC2)CC1 ZINC001197093827 747049081 /nfs/dbraw/zinc/04/90/81/747049081.db2.gz UXYCRXZKLUXIFD-OAHLLOKOSA-N 1 2 321.465 1.648 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1c[nH]c[nH+]1)Nc1cnc(C#N)cn1 ZINC001089491252 747088540 /nfs/dbraw/zinc/08/85/40/747088540.db2.gz YILPUJAECWWWEX-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1c[nH]c[nH+]1)Nc1nccnc1C#N ZINC001089490722 747091400 /nfs/dbraw/zinc/09/14/00/747091400.db2.gz UZBKNVHWWLMWQG-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001197220164 747109466 /nfs/dbraw/zinc/10/94/66/747109466.db2.gz APQVGUVRICZJDM-INMHGKMJSA-N 1 2 310.438 1.014 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001197220164 747109468 /nfs/dbraw/zinc/10/94/68/747109468.db2.gz APQVGUVRICZJDM-INMHGKMJSA-N 1 2 310.438 1.014 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001007459418 752151574 /nfs/dbraw/zinc/15/15/74/752151574.db2.gz IGILWRYCKHXCKU-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001007459418 752151578 /nfs/dbraw/zinc/15/15/78/752151578.db2.gz IGILWRYCKHXCKU-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001197292291 747131592 /nfs/dbraw/zinc/13/15/92/747131592.db2.gz VHTGYTFQXUBVQP-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001197292291 747131594 /nfs/dbraw/zinc/13/15/94/747131594.db2.gz VHTGYTFQXUBVQP-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCC2C[NH+](Cc3cncs3)C2)c1 ZINC001031604432 747152480 /nfs/dbraw/zinc/15/24/80/747152480.db2.gz MANZDBABWCNISW-UHFFFAOYSA-N 1 2 301.375 1.205 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC1CC1 ZINC001110493643 747201802 /nfs/dbraw/zinc/20/18/02/747201802.db2.gz YYVODDBUOUTYGC-OAGGEKHMSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC1CC1 ZINC001110493643 747201803 /nfs/dbraw/zinc/20/18/03/747201803.db2.gz YYVODDBUOUTYGC-OAGGEKHMSA-N 1 2 317.433 1.038 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ncc[nH]3)C2)s1 ZINC001031658640 747331944 /nfs/dbraw/zinc/33/19/44/747331944.db2.gz QJKFXISQCHDLCM-UHFFFAOYSA-N 1 2 301.375 1.205 20 30 DDEDLO CC[N@H+](Cc1csnc1OC)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152413314 747380019 /nfs/dbraw/zinc/38/00/19/747380019.db2.gz MFWGATDPNKXZSO-WDEREUQCSA-N 1 2 310.423 1.638 20 30 DDEDLO CC[N@@H+](Cc1csnc1OC)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152413314 747380028 /nfs/dbraw/zinc/38/00/28/747380028.db2.gz MFWGATDPNKXZSO-WDEREUQCSA-N 1 2 310.423 1.638 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001078875391 747400602 /nfs/dbraw/zinc/40/06/02/747400602.db2.gz AXSYINSBLNEKNV-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CC(C)(C)C1)C2 ZINC001110511717 747520200 /nfs/dbraw/zinc/52/02/00/747520200.db2.gz QSCQFWAOHDUZMV-KFWWJZLASA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CC(C)(C)C1)C2 ZINC001110511717 747520201 /nfs/dbraw/zinc/52/02/01/747520201.db2.gz QSCQFWAOHDUZMV-KFWWJZLASA-N 1 2 319.449 1.446 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC)OC2CCCCC2)[C@H](OC)C1 ZINC001212272695 747642369 /nfs/dbraw/zinc/64/23/69/747642369.db2.gz WHJATGQUDIFGKP-IXDOHACOSA-N 1 2 322.449 1.563 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)OC2CCCCC2)[C@H](OC)C1 ZINC001212272695 747642371 /nfs/dbraw/zinc/64/23/71/747642371.db2.gz WHJATGQUDIFGKP-IXDOHACOSA-N 1 2 322.449 1.563 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC000998752687 752212167 /nfs/dbraw/zinc/21/21/67/752212167.db2.gz JTRZNSMZVQWRNU-YPMHNXCESA-N 1 2 317.393 1.262 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(C(F)(F)F)CCCCC2)C1 ZINC001199475090 747869284 /nfs/dbraw/zinc/86/92/84/747869284.db2.gz VAQNGXPIUUZHBL-VXGBXAGGSA-N 1 2 318.339 1.294 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(C(F)(F)F)CCCCC2)C1 ZINC001199475090 747869290 /nfs/dbraw/zinc/86/92/90/747869290.db2.gz VAQNGXPIUUZHBL-VXGBXAGGSA-N 1 2 318.339 1.294 20 30 DDEDLO C=C(Cl)CN1CC(CNC(=O)c2cc(C[NH+](C)C)on2)C1 ZINC001031800148 747885405 /nfs/dbraw/zinc/88/54/05/747885405.db2.gz UHIQZQCHKHKANC-UHFFFAOYSA-N 1 2 312.801 1.150 20 30 DDEDLO Cc1c[nH+]c2n1CCC21CCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC001199677937 747948783 /nfs/dbraw/zinc/94/87/83/747948783.db2.gz FXKPIJGUERHKGN-UHFFFAOYSA-N 1 2 309.373 1.969 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@@H]([NH+]3CCCC3)C(F)(F)C2)[nH]1 ZINC001199679935 747949606 /nfs/dbraw/zinc/94/96/06/747949606.db2.gz BMWCVETVSGURRZ-CYBMUJFWSA-N 1 2 308.332 1.832 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2Cc3ccccc3O2)C1 ZINC001031825224 747955922 /nfs/dbraw/zinc/95/59/22/747955922.db2.gz HJAJTUPNKSGVHQ-HNNXBMFYSA-N 1 2 306.793 1.791 20 30 DDEDLO C=C(C)C[NH+]1CC(CNC(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC001031856690 748033353 /nfs/dbraw/zinc/03/33/53/748033353.db2.gz GFQSINCRYWDTJU-MLGOLLRUSA-N 1 2 302.422 1.700 20 30 DDEDLO CCCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)(C)C)C2 ZINC001110543441 748033715 /nfs/dbraw/zinc/03/37/15/748033715.db2.gz RJUMKMLHKZLJOI-KFWWJZLASA-N 1 2 319.449 1.284 20 30 DDEDLO CCCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)(C)C)C2 ZINC001110543441 748033726 /nfs/dbraw/zinc/03/37/26/748033726.db2.gz RJUMKMLHKZLJOI-KFWWJZLASA-N 1 2 319.449 1.284 20 30 DDEDLO CN(C(=O)c1cn(C)nn1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033055486 748105142 /nfs/dbraw/zinc/10/51/42/748105142.db2.gz WWJSRVFGXPBIRE-OAHLLOKOSA-N 1 2 324.388 1.033 20 30 DDEDLO CN(C(=O)c1cn(C)nn1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033055486 748105148 /nfs/dbraw/zinc/10/51/48/748105148.db2.gz WWJSRVFGXPBIRE-OAHLLOKOSA-N 1 2 324.388 1.033 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001110548125 748148471 /nfs/dbraw/zinc/14/84/71/748148471.db2.gz NEYKTHRVQNNVKG-AWEZNQCLSA-N 1 2 304.394 1.781 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(CCC)no1 ZINC001124488237 748279212 /nfs/dbraw/zinc/27/92/12/748279212.db2.gz LZPUBSFPEQFMSJ-GFCCVEGCSA-N 1 2 310.398 1.209 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)C2CCOCC2)CC1 ZINC001004325865 748323841 /nfs/dbraw/zinc/32/38/41/748323841.db2.gz MEQINHPAHBVKLT-INIZCTEOSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)C2CCOCC2)CC1 ZINC001004325865 748323849 /nfs/dbraw/zinc/32/38/49/748323849.db2.gz MEQINHPAHBVKLT-INIZCTEOSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)C2=COCCO2)CC1 ZINC001004333178 748330187 /nfs/dbraw/zinc/33/01/87/748330187.db2.gz UCMLPLSJVSWAIN-CQSZACIVSA-N 1 2 305.378 1.101 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)C2=COCCO2)CC1 ZINC001004333178 748330194 /nfs/dbraw/zinc/33/01/94/748330194.db2.gz UCMLPLSJVSWAIN-CQSZACIVSA-N 1 2 305.378 1.101 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)[C@H]2CCCCO2)CC1 ZINC001004409460 748408832 /nfs/dbraw/zinc/40/88/32/748408832.db2.gz WNLIPFXGYHRAMY-HZPDHXFCSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)[C@H]2CCCCO2)CC1 ZINC001004409460 748408835 /nfs/dbraw/zinc/40/88/35/748408835.db2.gz WNLIPFXGYHRAMY-HZPDHXFCSA-N 1 2 305.422 1.782 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)c1 ZINC001004545396 748538302 /nfs/dbraw/zinc/53/83/02/748538302.db2.gz ZQYQDMBZAJGPIM-SFHVURJKSA-N 1 2 322.412 1.903 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)c1 ZINC001004545396 748538309 /nfs/dbraw/zinc/53/83/09/748538309.db2.gz ZQYQDMBZAJGPIM-SFHVURJKSA-N 1 2 322.412 1.903 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[NH2+]Cc1nc(-c2ccsc2)no1 ZINC001124904194 748630800 /nfs/dbraw/zinc/63/08/00/748630800.db2.gz XRPIUSRMOJMWCH-SECBINFHSA-N 1 2 305.363 1.164 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)N2CCO[C@H](C#N)C2)c1C ZINC000106336692 748664131 /nfs/dbraw/zinc/66/41/31/748664131.db2.gz DBYHIROULMIMGL-GFCCVEGCSA-N 1 2 304.350 1.141 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+]Cc1nnc(C2CC2)o1)OCC ZINC001128528303 748740411 /nfs/dbraw/zinc/74/04/11/748740411.db2.gz LKHURYNTPGYHDA-ZDUSSCGKSA-N 1 2 322.409 1.524 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+][C@H](C)c1csnn1)OCC ZINC001128539826 748764988 /nfs/dbraw/zinc/76/49/88/748764988.db2.gz LEWFULLMLFCYNF-YPMHNXCESA-N 1 2 312.439 1.676 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NCC)CCC1 ZINC001110652393 748863924 /nfs/dbraw/zinc/86/39/24/748863924.db2.gz BTFBUXWPEHDDRO-KFWWJZLASA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NCC)CCC1 ZINC001110652393 748863928 /nfs/dbraw/zinc/86/39/28/748863928.db2.gz BTFBUXWPEHDDRO-KFWWJZLASA-N 1 2 317.433 1.038 20 30 DDEDLO Cc1nc(N2CC[C@@](C)(NC(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001110735661 748954124 /nfs/dbraw/zinc/95/41/24/748954124.db2.gz JIJKTXYVZXFYJN-QLJPJBMISA-N 1 2 301.394 1.647 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn3c2CCCC3)C1 ZINC001108325174 761916271 /nfs/dbraw/zinc/91/62/71/761916271.db2.gz MMDQGAKUTZXNLT-KRWDZBQOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn3c2CCCC3)C1 ZINC001108325174 761916273 /nfs/dbraw/zinc/91/62/73/761916273.db2.gz MMDQGAKUTZXNLT-KRWDZBQOSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2cnc(CC3CC3)s2)C1 ZINC001033291974 749132420 /nfs/dbraw/zinc/13/24/20/749132420.db2.gz RLHQAJFFJYXUBR-ZDUSSCGKSA-N 1 2 303.431 1.875 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2cnc(CC3CC3)s2)C1 ZINC001033291974 749132423 /nfs/dbraw/zinc/13/24/23/749132423.db2.gz RLHQAJFFJYXUBR-ZDUSSCGKSA-N 1 2 303.431 1.875 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCn2nc(C)cc2C)C1 ZINC001108106789 749211523 /nfs/dbraw/zinc/21/15/23/749211523.db2.gz GCUAWEJSGRYFCQ-KRWDZBQOSA-N 1 2 320.437 1.283 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCn2nc(C)cc2C)C1 ZINC001108106789 749211527 /nfs/dbraw/zinc/21/15/27/749211527.db2.gz GCUAWEJSGRYFCQ-KRWDZBQOSA-N 1 2 320.437 1.283 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001033427464 749345862 /nfs/dbraw/zinc/34/58/62/749345862.db2.gz CJMYWHDJFZZRPN-FRRDWIJNSA-N 1 2 313.829 1.173 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001033427464 749345866 /nfs/dbraw/zinc/34/58/66/749345866.db2.gz CJMYWHDJFZZRPN-FRRDWIJNSA-N 1 2 313.829 1.173 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001000570924 761949634 /nfs/dbraw/zinc/94/96/34/761949634.db2.gz ZFFUONGYRKIRNT-UHFFFAOYSA-N 1 2 310.785 1.058 20 30 DDEDLO C=CCNC(=O)N(C)C[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001202568853 749435121 /nfs/dbraw/zinc/43/51/21/749435121.db2.gz IRIJBNGERYRNMB-INIZCTEOSA-N 1 2 303.406 1.715 20 30 DDEDLO C=CCNC(=O)N(C)C[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001202568853 749435126 /nfs/dbraw/zinc/43/51/26/749435126.db2.gz IRIJBNGERYRNMB-INIZCTEOSA-N 1 2 303.406 1.715 20 30 DDEDLO C=C(C)CCC(=O)NCc1cn(C2C[NH+](CCOCC)C2)nn1 ZINC001107176684 749480515 /nfs/dbraw/zinc/48/05/15/749480515.db2.gz ICGCTKGRRMBROT-UHFFFAOYSA-N 1 2 321.425 1.144 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107197847 749560022 /nfs/dbraw/zinc/56/00/22/749560022.db2.gz KDNKDSMQLBBIAD-KGLIPLIRSA-N 1 2 317.437 1.785 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C(C)(C)CC)nn2)C1 ZINC001107202783 749579731 /nfs/dbraw/zinc/57/97/31/749579731.db2.gz YAQJDMJSMQPOAX-UHFFFAOYSA-N 1 2 303.410 1.211 20 30 DDEDLO CN(C(=O)c1cc(C#N)c[nH]1)C1CC[NH+](Cc2ncccn2)CC1 ZINC001005228657 749589410 /nfs/dbraw/zinc/58/94/10/749589410.db2.gz VXFQOMMBJIYIAO-UHFFFAOYSA-N 1 2 324.388 1.413 20 30 DDEDLO C#CCCCC(=O)NCC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095354910 749593514 /nfs/dbraw/zinc/59/35/14/749593514.db2.gz PNLNJZPNWUULAR-UHFFFAOYSA-N 1 2 300.406 1.921 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(Cl)cn2)C1 ZINC001108353902 761973306 /nfs/dbraw/zinc/97/33/06/761973306.db2.gz RIFYFFULFPXKCN-OAHLLOKOSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(Cl)cn2)C1 ZINC001108353902 761973309 /nfs/dbraw/zinc/97/33/09/761973309.db2.gz RIFYFFULFPXKCN-OAHLLOKOSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001033832217 749953634 /nfs/dbraw/zinc/95/36/34/749953634.db2.gz DQGNIVVAUCFMDU-ZIAGYGMSSA-N 1 2 302.422 1.938 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001033832217 749953641 /nfs/dbraw/zinc/95/36/41/749953641.db2.gz DQGNIVVAUCFMDU-ZIAGYGMSSA-N 1 2 302.422 1.938 20 30 DDEDLO CN(CCCNC(=O)CCn1cc[nH+]c1)c1ccc(C#N)nc1 ZINC001095690676 750146623 /nfs/dbraw/zinc/14/66/23/750146623.db2.gz MFPLBMNPSKYMDW-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO CCc1ccoc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#CCOC ZINC001110983747 750279720 /nfs/dbraw/zinc/27/97/20/750279720.db2.gz XEDMCZIKHATDJA-OAGGEKHMSA-N 1 2 316.401 1.827 20 30 DDEDLO CCc1ccoc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#CCOC ZINC001110983747 750279724 /nfs/dbraw/zinc/27/97/24/750279724.db2.gz XEDMCZIKHATDJA-OAGGEKHMSA-N 1 2 316.401 1.827 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NCC)CC1 ZINC001110987882 750284144 /nfs/dbraw/zinc/28/41/44/750284144.db2.gz JELUXYQWIBXFQA-KFWWJZLASA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NCC)CC1 ZINC001110987882 750284150 /nfs/dbraw/zinc/28/41/50/750284150.db2.gz JELUXYQWIBXFQA-KFWWJZLASA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C)CC(=C)C1)C2 ZINC001111002056 750295931 /nfs/dbraw/zinc/29/59/31/750295931.db2.gz SYKLFSQMBDQQPP-KFWWJZLASA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C)CC(=C)C1)C2 ZINC001111002056 750295936 /nfs/dbraw/zinc/29/59/36/750295936.db2.gz SYKLFSQMBDQQPP-KFWWJZLASA-N 1 2 317.433 1.366 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)NC(C)=O)C2 ZINC001111050851 750333893 /nfs/dbraw/zinc/33/38/93/750333893.db2.gz WDCMYBBVTGFEQQ-RQJABVFESA-N 1 2 313.829 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)NC(C)=O)C2 ZINC001111050851 750333904 /nfs/dbraw/zinc/33/39/04/750333904.db2.gz WDCMYBBVTGFEQQ-RQJABVFESA-N 1 2 313.829 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)COc1cccnc1)C2 ZINC001111148214 750392020 /nfs/dbraw/zinc/39/20/20/750392020.db2.gz AYLSPFOPYDUWCU-YUELXQCFSA-N 1 2 321.808 1.934 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)COc1cccnc1)C2 ZINC001111148214 750392029 /nfs/dbraw/zinc/39/20/29/750392029.db2.gz AYLSPFOPYDUWCU-YUELXQCFSA-N 1 2 321.808 1.934 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cncn1C ZINC001039460388 762051634 /nfs/dbraw/zinc/05/16/34/762051634.db2.gz NRGXTLBPMJEQRI-KGLIPLIRSA-N 1 2 322.840 1.780 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cncn1C ZINC001039460388 762051642 /nfs/dbraw/zinc/05/16/42/762051642.db2.gz NRGXTLBPMJEQRI-KGLIPLIRSA-N 1 2 322.840 1.780 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1sc2nccn2c1C ZINC001032450861 750866877 /nfs/dbraw/zinc/86/68/77/750866877.db2.gz YAIMLPYXIBCBAK-STQMWFEESA-N 1 2 314.414 1.626 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1sc2nccn2c1C ZINC001032450861 750866886 /nfs/dbraw/zinc/86/68/86/750866886.db2.gz YAIMLPYXIBCBAK-STQMWFEESA-N 1 2 314.414 1.626 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@@H](C)N(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001114668048 750959300 /nfs/dbraw/zinc/95/93/00/750959300.db2.gz YNRDRTCPWCSRHQ-DGCLKSJQSA-N 1 2 319.434 1.691 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](CNc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001114742463 751041819 /nfs/dbraw/zinc/04/18/19/751041819.db2.gz VYHPBGUUBNICTR-JOCQHMNTSA-N 1 2 318.425 1.519 20 30 DDEDLO Cc1nc(N[C@H](C)C2CCN(C(=O)[C@H](C)C#N)CC2)cc[nH+]1 ZINC001061826294 751106364 /nfs/dbraw/zinc/10/63/64/751106364.db2.gz IJSRIVBIFJPGOG-VXGBXAGGSA-N 1 2 301.394 1.984 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(OC)c(F)c1 ZINC001032504548 751107166 /nfs/dbraw/zinc/10/71/66/751107166.db2.gz DUCPHOSMEPSHCU-KBPBESRZSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(OC)c(F)c1 ZINC001032504548 751107167 /nfs/dbraw/zinc/10/71/67/751107167.db2.gz DUCPHOSMEPSHCU-KBPBESRZSA-N 1 2 302.349 1.295 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCCn2cccc2)C1 ZINC001108416801 762110408 /nfs/dbraw/zinc/11/04/08/762110408.db2.gz XBNXDCNDSRZITG-SFHVURJKSA-N 1 2 317.433 1.499 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCCn2cccc2)C1 ZINC001108416801 762110414 /nfs/dbraw/zinc/11/04/14/762110414.db2.gz XBNXDCNDSRZITG-SFHVURJKSA-N 1 2 317.433 1.499 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)ccc(F)c1OC ZINC001032556674 751296642 /nfs/dbraw/zinc/29/66/42/751296642.db2.gz QCUIYFOVLTVAHP-RYUDHWBXSA-N 1 2 320.339 1.895 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)ccc(F)c1OC ZINC001032556674 751296644 /nfs/dbraw/zinc/29/66/44/751296644.db2.gz QCUIYFOVLTVAHP-RYUDHWBXSA-N 1 2 320.339 1.895 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnnc2ccccc21 ZINC001032649923 752596283 /nfs/dbraw/zinc/59/62/83/752596283.db2.gz VSBPABYMTXXILA-KBPBESRZSA-N 1 2 306.369 1.552 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnnc2ccccc21 ZINC001032649923 752596288 /nfs/dbraw/zinc/59/62/88/752596288.db2.gz VSBPABYMTXXILA-KBPBESRZSA-N 1 2 306.369 1.552 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)Cc2cnoc2)C1 ZINC001008275336 752647978 /nfs/dbraw/zinc/64/79/78/752647978.db2.gz JWZVETVAZHHHOD-QGZVFWFLSA-N 1 2 324.384 1.870 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)Cc2cnoc2)C1 ZINC001008275336 752647982 /nfs/dbraw/zinc/64/79/82/752647982.db2.gz JWZVETVAZHHHOD-QGZVFWFLSA-N 1 2 324.384 1.870 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cl)c(OC)c1 ZINC001032666380 752674699 /nfs/dbraw/zinc/67/46/99/752674699.db2.gz MEJQSXIZPCXXII-STQMWFEESA-N 1 2 304.777 1.881 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cl)c(OC)c1 ZINC001032666380 752674701 /nfs/dbraw/zinc/67/47/01/752674701.db2.gz MEJQSXIZPCXXII-STQMWFEESA-N 1 2 304.777 1.881 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)nn(C)c1Cl ZINC001032677480 752697893 /nfs/dbraw/zinc/69/78/93/752697893.db2.gz LJPGCTMUUOWOFB-IUCAKERBSA-N 1 2 315.204 1.812 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)nn(C)c1Cl ZINC001032677480 752697899 /nfs/dbraw/zinc/69/78/99/752697899.db2.gz LJPGCTMUUOWOFB-IUCAKERBSA-N 1 2 315.204 1.812 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@H](NC(=O)c3nnc[nH]3)C2)c1 ZINC001008925851 752987034 /nfs/dbraw/zinc/98/70/34/752987034.db2.gz OEJDLSNNESBCJC-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@H](NC(=O)c3nnc[nH]3)C2)c1 ZINC001008925851 752987038 /nfs/dbraw/zinc/98/70/38/752987038.db2.gz OEJDLSNNESBCJC-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@H](NC(=O)c3ncn[nH]3)C2)c1 ZINC001008925851 752987043 /nfs/dbraw/zinc/98/70/43/752987043.db2.gz OEJDLSNNESBCJC-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@H](NC(=O)c3ncn[nH]3)C2)c1 ZINC001008925851 752987045 /nfs/dbraw/zinc/98/70/45/752987045.db2.gz OEJDLSNNESBCJC-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO Cc1nc(N2C[C@@H]3C[C@H]2CN3C(=O)Cc2c[nH+]c[nH]2)ccc1C#N ZINC001062588727 753141008 /nfs/dbraw/zinc/14/10/08/753141008.db2.gz PRTJHUOVWIWTTJ-GJZGRUSLSA-N 1 2 322.372 1.017 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001060887779 753152472 /nfs/dbraw/zinc/15/24/72/753152472.db2.gz QBRLRJRPAGTZCW-ZDUSSCGKSA-N 1 2 310.361 1.110 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001009338281 753159234 /nfs/dbraw/zinc/15/92/34/753159234.db2.gz CHVGSPMILYCSEU-LLVKDONJSA-N 1 2 307.785 1.609 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001009338281 753159237 /nfs/dbraw/zinc/15/92/37/753159237.db2.gz CHVGSPMILYCSEU-LLVKDONJSA-N 1 2 307.785 1.609 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2cncc(F)c2)C1 ZINC001108443133 762205737 /nfs/dbraw/zinc/20/57/37/762205737.db2.gz JABJTHAYLPFMQC-QGZVFWFLSA-N 1 2 321.396 1.546 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2cncc(F)c2)C1 ZINC001108443133 762205740 /nfs/dbraw/zinc/20/57/40/762205740.db2.gz JABJTHAYLPFMQC-QGZVFWFLSA-N 1 2 321.396 1.546 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2sc(C)nc21 ZINC001032728181 753373268 /nfs/dbraw/zinc/37/32/68/753373268.db2.gz PRXBJWMYVSDYPR-IHRRRGAJSA-N 1 2 315.442 1.790 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2sc(C)nc21 ZINC001032728181 753373271 /nfs/dbraw/zinc/37/32/71/753373271.db2.gz PRXBJWMYVSDYPR-IHRRRGAJSA-N 1 2 315.442 1.790 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)Cc2c[nH+]cn2C)CCC1 ZINC001062685688 753375740 /nfs/dbraw/zinc/37/57/40/753375740.db2.gz JZPSPYSUCSHYRS-UHFFFAOYSA-N 1 2 304.394 1.084 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)CCOc2ccccc21 ZINC001032733579 753385915 /nfs/dbraw/zinc/38/59/15/753385915.db2.gz BEJQQQQXZXVZNE-DOXZYTNZSA-N 1 2 310.397 1.645 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)CCOc2ccccc21 ZINC001032733579 753385920 /nfs/dbraw/zinc/38/59/20/753385920.db2.gz BEJQQQQXZXVZNE-DOXZYTNZSA-N 1 2 310.397 1.645 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001077930232 753441741 /nfs/dbraw/zinc/44/17/41/753441741.db2.gz SIJDEJFGNMENBS-UONOGXRCSA-N 1 2 306.410 1.496 20 30 DDEDLO CN(C(=O)[C@H]1CCCc2[nH+]c[nH]c21)C1CCN(CC#N)CC1 ZINC001005982223 753455270 /nfs/dbraw/zinc/45/52/70/753455270.db2.gz YTGNZNLQYINESB-ZDUSSCGKSA-N 1 2 301.394 1.276 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2cnccn2)C1 ZINC001009969877 753511938 /nfs/dbraw/zinc/51/19/38/753511938.db2.gz UDLJJLQLUVXIIQ-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2cnccn2)C1 ZINC001009969877 753511946 /nfs/dbraw/zinc/51/19/46/753511946.db2.gz UDLJJLQLUVXIIQ-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2cnccn2)C1 ZINC001009969867 753512104 /nfs/dbraw/zinc/51/21/04/753512104.db2.gz UDLJJLQLUVXIIQ-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2cnccn2)C1 ZINC001009969867 753512106 /nfs/dbraw/zinc/51/21/06/753512106.db2.gz UDLJJLQLUVXIIQ-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)CC(F)(F)F)C1 ZINC001108180261 753571584 /nfs/dbraw/zinc/57/15/84/753571584.db2.gz DDBWYWLFTCUFLO-WCQYABFASA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)CC(F)(F)F)C1 ZINC001108180261 753571586 /nfs/dbraw/zinc/57/15/86/753571586.db2.gz DDBWYWLFTCUFLO-WCQYABFASA-N 1 2 308.344 1.968 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(C)cc(C)c1 ZINC001032779233 753619562 /nfs/dbraw/zinc/61/95/62/753619562.db2.gz FFBRKTLWSSYYID-IRXDYDNUSA-N 1 2 312.413 1.991 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(C)cc(C)c1 ZINC001032779233 753619565 /nfs/dbraw/zinc/61/95/65/753619565.db2.gz FFBRKTLWSSYYID-IRXDYDNUSA-N 1 2 312.413 1.991 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H]1CCCNC1=O ZINC001039820565 762230733 /nfs/dbraw/zinc/23/07/33/762230733.db2.gz IZUHYAGGGRRYOQ-ZNMIVQPWSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H]1CCCNC1=O ZINC001039820565 762230738 /nfs/dbraw/zinc/23/07/38/762230738.db2.gz IZUHYAGGGRRYOQ-ZNMIVQPWSA-N 1 2 319.449 1.543 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001078036107 753668102 /nfs/dbraw/zinc/66/81/02/753668102.db2.gz GYRMUTLBAAHTRV-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cn[nH]c3)C2)c(F)c1 ZINC001010296102 753743871 /nfs/dbraw/zinc/74/38/71/753743871.db2.gz AEZOUVGQAAQGGF-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cn[nH]c3)C2)c(F)c1 ZINC001010296102 753743874 /nfs/dbraw/zinc/74/38/74/753743874.db2.gz AEZOUVGQAAQGGF-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001078127809 753763437 /nfs/dbraw/zinc/76/34/37/753763437.db2.gz FWJXRWLIDAMANS-ZIAGYGMSSA-N 1 2 318.442 1.425 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001078127809 753763441 /nfs/dbraw/zinc/76/34/41/753763441.db2.gz FWJXRWLIDAMANS-ZIAGYGMSSA-N 1 2 318.442 1.425 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3ccon3)C2)cc1F ZINC001010332933 753772139 /nfs/dbraw/zinc/77/21/39/753772139.db2.gz BZZFQOKIBJJDGF-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3ccon3)C2)cc1F ZINC001010332933 753772144 /nfs/dbraw/zinc/77/21/44/753772144.db2.gz BZZFQOKIBJJDGF-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cocn3)C2)s1 ZINC001010374052 753830205 /nfs/dbraw/zinc/83/02/05/753830205.db2.gz RWEQJOCLOKDBBD-SNVBAGLBSA-N 1 2 302.359 1.612 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cocn3)C2)s1 ZINC001010374052 753830210 /nfs/dbraw/zinc/83/02/10/753830210.db2.gz RWEQJOCLOKDBBD-SNVBAGLBSA-N 1 2 302.359 1.612 20 30 DDEDLO N#Cc1ccc(N[C@H]2CC[C@H](NC(=O)Cc3[nH]cc[nH+]3)CC2)cn1 ZINC001063382084 754119217 /nfs/dbraw/zinc/11/92/17/754119217.db2.gz VTEHGIVYGNZMAU-JOCQHMNTSA-N 1 2 324.388 1.758 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCCN1C(=O)CCn1cc[nH+]c1 ZINC001063536992 754220690 /nfs/dbraw/zinc/22/06/90/754220690.db2.gz DSKZLJWBSCHZBQ-OAHLLOKOSA-N 1 2 324.388 1.643 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)CC[C@H]1Nc1ccc(C#N)nc1 ZINC001063754944 754331979 /nfs/dbraw/zinc/33/19/79/754331979.db2.gz XKGCCHJWRPRJLC-CZUORRHYSA-N 1 2 324.388 1.499 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@@H]1Nc1ccc(C#N)cn1 ZINC001063801917 754353412 /nfs/dbraw/zinc/35/34/12/754353412.db2.gz DQWBUEVYHBKMQL-WFASDCNBSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063924113 754410235 /nfs/dbraw/zinc/41/02/35/754410235.db2.gz XCRGXXIPBBJGTE-UHFFFAOYSA-N 1 2 304.394 1.250 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064209049 754564046 /nfs/dbraw/zinc/56/40/46/754564046.db2.gz OFNJUHYFEMBZAF-CHWSQXEVSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2[nH]ccc2C2CC2)[C@@H](O)C1 ZINC001090314388 754860497 /nfs/dbraw/zinc/86/04/97/754860497.db2.gz CHWUYHOQAMFESF-KBPBESRZSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2[nH]ccc2C2CC2)[C@@H](O)C1 ZINC001090314388 754860502 /nfs/dbraw/zinc/86/05/02/754860502.db2.gz CHWUYHOQAMFESF-KBPBESRZSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(CC)n2)[C@H](O)C1 ZINC001090327042 754939839 /nfs/dbraw/zinc/93/98/39/754939839.db2.gz OFFLSOKMPARWRJ-DZGCQCFKSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(CC)n2)[C@H](O)C1 ZINC001090327042 754939842 /nfs/dbraw/zinc/93/98/42/754939842.db2.gz OFFLSOKMPARWRJ-DZGCQCFKSA-N 1 2 323.824 1.562 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@H]1CNc1ccc(C#N)nc1 ZINC001064932752 754966801 /nfs/dbraw/zinc/96/68/01/754966801.db2.gz QSMNXEMDLOBBGI-HNNXBMFYSA-N 1 2 324.388 1.332 20 30 DDEDLO C=C(C)CCC(=O)N(CC)C1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001079877433 755617611 /nfs/dbraw/zinc/61/76/11/755617611.db2.gz DEKBUEQNGWJVDB-UHFFFAOYSA-N 1 2 318.421 1.676 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001079963077 755656537 /nfs/dbraw/zinc/65/65/37/755656537.db2.gz NXKGNLXCVWAQTH-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001079963077 755656538 /nfs/dbraw/zinc/65/65/38/755656538.db2.gz NXKGNLXCVWAQTH-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@H]2C)n1 ZINC001040172919 762422295 /nfs/dbraw/zinc/42/22/95/762422295.db2.gz NWQRKTIRSVMRSO-JSGCOSHPSA-N 1 2 324.388 1.629 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@H+](CC(=C)Cl)C[C@H]2C)c1 ZINC001080408932 755899776 /nfs/dbraw/zinc/89/97/76/755899776.db2.gz VCVZIEWWLHSSPI-IAQYHMDHSA-N 1 2 303.793 1.866 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@@H+](CC(=C)Cl)C[C@H]2C)c1 ZINC001080408932 755899773 /nfs/dbraw/zinc/89/97/73/755899773.db2.gz VCVZIEWWLHSSPI-IAQYHMDHSA-N 1 2 303.793 1.866 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2coc3c2cccc3C)[C@H](OC)C1 ZINC001081803052 756485148 /nfs/dbraw/zinc/48/51/48/756485148.db2.gz QMPQIFRUNYQTDU-HZPDHXFCSA-N 1 2 312.369 1.803 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2coc3c2cccc3C)[C@H](OC)C1 ZINC001081803052 756485150 /nfs/dbraw/zinc/48/51/50/756485150.db2.gz QMPQIFRUNYQTDU-HZPDHXFCSA-N 1 2 312.369 1.803 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2c(C)noc2C)[C@H](OC)C1 ZINC001082049405 756605109 /nfs/dbraw/zinc/60/51/09/756605109.db2.gz IJDJSCRCORYLRN-ZIAGYGMSSA-N 1 2 319.405 1.018 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2c(C)noc2C)[C@H](OC)C1 ZINC001082049405 756605112 /nfs/dbraw/zinc/60/51/12/756605112.db2.gz IJDJSCRCORYLRN-ZIAGYGMSSA-N 1 2 319.405 1.018 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3ncccn3)C2)s1 ZINC001015884625 756618261 /nfs/dbraw/zinc/61/82/61/756618261.db2.gz AISIDUYLYLZHMR-NSHDSACASA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3ncccn3)C2)s1 ZINC001015884625 756618264 /nfs/dbraw/zinc/61/82/64/756618264.db2.gz AISIDUYLYLZHMR-NSHDSACASA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001015906059 756639882 /nfs/dbraw/zinc/63/98/82/756639882.db2.gz UIQWHZDPOHRFBM-OAHLLOKOSA-N 1 2 309.373 1.215 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001015906059 756639884 /nfs/dbraw/zinc/63/98/84/756639884.db2.gz UIQWHZDPOHRFBM-OAHLLOKOSA-N 1 2 309.373 1.215 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cnc(C)o3)C[C@H]2OC)C1 ZINC001082111477 756645392 /nfs/dbraw/zinc/64/53/92/756645392.db2.gz CBISMXHHVGPCAY-HUUCEWRRSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cnc(C)o3)C[C@H]2OC)C1 ZINC001082111477 756645395 /nfs/dbraw/zinc/64/53/95/756645395.db2.gz CBISMXHHVGPCAY-HUUCEWRRSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CC(C)(C)C)CC2=O)C1 ZINC001108506298 762508165 /nfs/dbraw/zinc/50/81/65/762508165.db2.gz OSCMULLQVPRXHB-ZDUSSCGKSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCCC(=O)NCC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098890373 756956717 /nfs/dbraw/zinc/95/67/17/756956717.db2.gz XAKXIEABIOKEKG-LLVKDONJSA-N 1 2 306.414 1.519 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)cc3)[C@H]2C1 ZINC001082980780 757013920 /nfs/dbraw/zinc/01/39/20/757013920.db2.gz RTSVNDZZCAJOPY-JKSUJKDBSA-N 1 2 302.349 1.374 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)cc3)[C@H]2C1 ZINC001082980780 757013927 /nfs/dbraw/zinc/01/39/27/757013927.db2.gz RTSVNDZZCAJOPY-JKSUJKDBSA-N 1 2 302.349 1.374 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2OCCN(C(=O)c3cc(CC)[nH]n3)[C@H]2C1 ZINC001083007037 757048487 /nfs/dbraw/zinc/04/84/87/757048487.db2.gz GKAFNEZCECSKEW-LSDHHAIUSA-N 1 2 304.394 1.073 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(CC)[nH]n3)[C@H]2C1 ZINC001083007037 757048494 /nfs/dbraw/zinc/04/84/94/757048494.db2.gz GKAFNEZCECSKEW-LSDHHAIUSA-N 1 2 304.394 1.073 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCO[C@@H]2C[N@H+](CCC(F)(F)F)C[C@@H]21 ZINC001083026702 757067379 /nfs/dbraw/zinc/06/73/79/757067379.db2.gz KCRLYNSCERVQAV-OUAUKWLOSA-N 1 2 305.300 1.010 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCO[C@@H]2C[N@@H+](CCC(F)(F)F)C[C@@H]21 ZINC001083026702 757067385 /nfs/dbraw/zinc/06/73/85/757067385.db2.gz KCRLYNSCERVQAV-OUAUKWLOSA-N 1 2 305.300 1.010 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4occc4c3)[C@H]2C1 ZINC001083091497 757132390 /nfs/dbraw/zinc/13/23/90/757132390.db2.gz RIAYCXZFRJGHLV-DOTOQJQBSA-N 1 2 310.353 1.591 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4occc4c3)[C@H]2C1 ZINC001083091497 757132393 /nfs/dbraw/zinc/13/23/93/757132393.db2.gz RIAYCXZFRJGHLV-DOTOQJQBSA-N 1 2 310.353 1.591 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)c3occc32)[C@@H](O)C1 ZINC001083944905 757196692 /nfs/dbraw/zinc/19/66/92/757196692.db2.gz AHMMJLNSFNTHSY-KGLIPLIRSA-N 1 2 318.760 1.494 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)c3occc32)[C@@H](O)C1 ZINC001083944905 757196694 /nfs/dbraw/zinc/19/66/94/757196694.db2.gz AHMMJLNSFNTHSY-KGLIPLIRSA-N 1 2 318.760 1.494 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc([C@H](C)CC)cc2)[C@@H](O)C1 ZINC001084094795 757280891 /nfs/dbraw/zinc/28/08/91/757280891.db2.gz GNJJZUPVAFLEHD-XYPHTWIQSA-N 1 2 300.402 1.608 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc([C@H](C)CC)cc2)[C@@H](O)C1 ZINC001084094795 757280899 /nfs/dbraw/zinc/28/08/99/757280899.db2.gz GNJJZUPVAFLEHD-XYPHTWIQSA-N 1 2 300.402 1.608 20 30 DDEDLO CCn1ncnc1C[N@H+](C)C[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001017077556 757619242 /nfs/dbraw/zinc/61/92/42/757619242.db2.gz OAFPTFDSTBZTKD-ZIAGYGMSSA-N 1 2 318.425 1.128 20 30 DDEDLO CCn1ncnc1C[N@@H+](C)C[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001017077556 757619245 /nfs/dbraw/zinc/61/92/45/757619245.db2.gz OAFPTFDSTBZTKD-ZIAGYGMSSA-N 1 2 318.425 1.128 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC3CC3)C[C@H]21 ZINC001084574305 757673165 /nfs/dbraw/zinc/67/31/65/757673165.db2.gz ZKYFKAWKFWCZPC-UKRRQHHQSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC3CC3)C[C@H]21 ZINC001084574305 757673168 /nfs/dbraw/zinc/67/31/68/757673168.db2.gz ZKYFKAWKFWCZPC-UKRRQHHQSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)COc3cccnc3)[C@@H]2C1 ZINC001084724094 757818319 /nfs/dbraw/zinc/81/83/19/757818319.db2.gz MRYJCSJKCLVWLI-UKRRQHHQSA-N 1 2 321.808 1.746 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)COc3cccnc3)[C@@H]2C1 ZINC001084724094 757818327 /nfs/dbraw/zinc/81/83/27/757818327.db2.gz MRYJCSJKCLVWLI-UKRRQHHQSA-N 1 2 321.808 1.746 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3snnc3C(C)C)[C@@H]2C1 ZINC001084735399 757843804 /nfs/dbraw/zinc/84/38/04/757843804.db2.gz KWHCIVHAYGVZNX-CHWSQXEVSA-N 1 2 318.446 1.831 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3snnc3C(C)C)[C@@H]2C1 ZINC001084735399 757843817 /nfs/dbraw/zinc/84/38/17/757843817.db2.gz KWHCIVHAYGVZNX-CHWSQXEVSA-N 1 2 318.446 1.831 20 30 DDEDLO N#CCN1CCC[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC001052836273 757852016 /nfs/dbraw/zinc/85/20/16/757852016.db2.gz CZJCJNPRTQFLAG-MRXNPFEDSA-N 1 2 323.400 1.980 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ccc4c(=O)ccoc4c3)[C@@H]2C1 ZINC001084777064 757904233 /nfs/dbraw/zinc/90/42/33/757904233.db2.gz IJVMHUZQCXQUHJ-GDBMZVCRSA-N 1 2 322.364 1.573 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc4c(=O)ccoc4c3)[C@@H]2C1 ZINC001084777064 757904236 /nfs/dbraw/zinc/90/42/36/757904236.db2.gz IJVMHUZQCXQUHJ-GDBMZVCRSA-N 1 2 322.364 1.573 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1cc(Br)cc(C#N)n1 ZINC001169660606 762584025 /nfs/dbraw/zinc/58/40/25/762584025.db2.gz ODLYWHXXAOMRIQ-NSHDSACASA-N 1 2 311.183 1.458 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1cc(Br)cc(C#N)n1 ZINC001169660606 762584031 /nfs/dbraw/zinc/58/40/31/762584031.db2.gz ODLYWHXXAOMRIQ-NSHDSACASA-N 1 2 311.183 1.458 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@H+](Cc2cncs2)C[C@H]1O ZINC001099830338 757919254 /nfs/dbraw/zinc/91/92/54/757919254.db2.gz QBZBUNWNQOTPKZ-UONOGXRCSA-N 1 2 321.446 1.244 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@@H+](Cc2cncs2)C[C@H]1O ZINC001099830338 757919258 /nfs/dbraw/zinc/91/92/58/757919258.db2.gz QBZBUNWNQOTPKZ-UONOGXRCSA-N 1 2 321.446 1.244 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCc3c[nH+]cn3C)[C@@H]2C1 ZINC001084805761 757930210 /nfs/dbraw/zinc/93/02/10/757930210.db2.gz DZCWYKWIONPHDY-UKRRQHHQSA-N 1 2 322.840 1.638 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3c[nH]c(C(N)=O)c3)[C@@H]2C1 ZINC001084834043 757960845 /nfs/dbraw/zinc/96/08/45/757960845.db2.gz ICRAKNQBZBCQCE-ZWNOBZJWSA-N 1 2 322.796 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3c[nH]c(C(N)=O)c3)[C@@H]2C1 ZINC001084834043 757960852 /nfs/dbraw/zinc/96/08/52/757960852.db2.gz ICRAKNQBZBCQCE-ZWNOBZJWSA-N 1 2 322.796 1.012 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)[nH]cc1NC(C)=O ZINC001017688639 758154160 /nfs/dbraw/zinc/15/41/60/758154160.db2.gz HWFPUYCTFBMKEJ-OKILXGFUSA-N 1 2 314.389 1.204 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)[nH]cc1NC(C)=O ZINC001017688639 758154165 /nfs/dbraw/zinc/15/41/65/758154165.db2.gz HWFPUYCTFBMKEJ-OKILXGFUSA-N 1 2 314.389 1.204 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]12CCC[C@H]1OCC2 ZINC001017729288 758189264 /nfs/dbraw/zinc/18/92/64/758189264.db2.gz YBGMNVDLOMYVNW-HPFXQQBRSA-N 1 2 302.418 1.644 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]12CCC[C@H]1OCC2 ZINC001017729288 758189266 /nfs/dbraw/zinc/18/92/66/758189266.db2.gz YBGMNVDLOMYVNW-HPFXQQBRSA-N 1 2 302.418 1.644 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CC[C@H](C2CC2)O1 ZINC001017756466 758209803 /nfs/dbraw/zinc/20/98/03/758209803.db2.gz MMNJYELRUUEIQF-YYIAUSFCSA-N 1 2 302.418 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CC[C@H](C2CC2)O1 ZINC001017756466 758209807 /nfs/dbraw/zinc/20/98/07/758209807.db2.gz MMNJYELRUUEIQF-YYIAUSFCSA-N 1 2 302.418 1.643 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001053269592 758325131 /nfs/dbraw/zinc/32/51/31/758325131.db2.gz ZLBAERMQUPESCL-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CC[C@@H](CC)O1)CCO2 ZINC001053279055 758333835 /nfs/dbraw/zinc/33/38/35/758333835.db2.gz FFXJBLZZNLNURL-HUUCEWRRSA-N 1 2 308.422 1.433 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Oc1cccnc1 ZINC001017933487 758403918 /nfs/dbraw/zinc/40/39/18/758403918.db2.gz VEPRTDGGQGOAAD-OAGGEKHMSA-N 1 2 313.401 1.547 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Oc1cccnc1 ZINC001017933487 758403928 /nfs/dbraw/zinc/40/39/28/758403928.db2.gz VEPRTDGGQGOAAD-OAGGEKHMSA-N 1 2 313.401 1.547 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C1(C(F)F)CCC1)CCO2 ZINC001053392076 758425393 /nfs/dbraw/zinc/42/53/93/758425393.db2.gz PDVJAZBWSYEPOZ-UHFFFAOYSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C)nn1CC)CCO2 ZINC001053444198 758464579 /nfs/dbraw/zinc/46/45/79/758464579.db2.gz NUSJYRKWRTXZSV-UHFFFAOYSA-N 1 2 318.421 1.314 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(=O)c2ccccc12 ZINC001018026668 758487662 /nfs/dbraw/zinc/48/76/62/758487662.db2.gz NKTREWVMDJARSC-OKILXGFUSA-N 1 2 321.380 1.862 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(=O)c2ccccc12 ZINC001018026668 758487666 /nfs/dbraw/zinc/48/76/66/758487666.db2.gz NKTREWVMDJARSC-OKILXGFUSA-N 1 2 321.380 1.862 20 30 DDEDLO C[C@@]1(CNc2ccc(C#N)cn2)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065437571 758534757 /nfs/dbraw/zinc/53/47/57/758534757.db2.gz ZRRGSTDJQCDHEQ-KRWDZBQOSA-N 1 2 324.388 1.500 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cscn1)O2 ZINC001053582594 758594790 /nfs/dbraw/zinc/59/47/90/758594790.db2.gz ILPHSYTVUWXUEH-LBPRGKRZSA-N 1 2 307.419 1.682 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1OCC[C@H]1CC)O2 ZINC001053609839 758629060 /nfs/dbraw/zinc/62/90/60/758629060.db2.gz FZGHTTUDELUNBE-KFWWJZLASA-N 1 2 308.422 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccsn1)O2 ZINC001053615085 758632653 /nfs/dbraw/zinc/63/26/53/758632653.db2.gz AKVVXOBQSMPJKY-GFCCVEGCSA-N 1 2 307.419 1.682 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC[C@@H]1CC(C)(C)CO1 ZINC001018213298 758638078 /nfs/dbraw/zinc/63/80/78/758638078.db2.gz DRQQYGCNECTJNJ-OWCLPIDISA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC[C@@H]1CC(C)(C)CO1 ZINC001018213298 758638085 /nfs/dbraw/zinc/63/80/85/758638085.db2.gz DRQQYGCNECTJNJ-OWCLPIDISA-N 1 2 304.434 1.890 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1CC)O2 ZINC001053619833 758638844 /nfs/dbraw/zinc/63/88/44/758638844.db2.gz XOAZONDAMCWKEW-HNNXBMFYSA-N 1 2 315.417 1.793 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nn1)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001108196455 758644391 /nfs/dbraw/zinc/64/43/91/758644391.db2.gz CGLMCGRZXSIKRG-SNVBAGLBSA-N 1 2 321.344 1.455 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nccnc2c1 ZINC001018246114 758663412 /nfs/dbraw/zinc/66/34/12/758663412.db2.gz JZRXTHLXEDNFJS-IYBDPMFKSA-N 1 2 320.396 1.942 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nccnc2c1 ZINC001018246114 758663416 /nfs/dbraw/zinc/66/34/16/758663416.db2.gz JZRXTHLXEDNFJS-IYBDPMFKSA-N 1 2 320.396 1.942 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc(-n2ccnc2)c1 ZINC001018277944 758691153 /nfs/dbraw/zinc/69/11/53/758691153.db2.gz UKKCCUGBEMVCRO-IYBDPMFKSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc(-n2ccnc2)c1 ZINC001018277944 758691158 /nfs/dbraw/zinc/69/11/58/758691158.db2.gz UKKCCUGBEMVCRO-IYBDPMFKSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1csc2nccn12 ZINC001018281096 758693967 /nfs/dbraw/zinc/69/39/67/758693967.db2.gz VXXCNTPBGUVKGR-BETUJISGSA-N 1 2 314.414 1.247 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1csc2nccn12 ZINC001018281096 758693972 /nfs/dbraw/zinc/69/39/72/758693972.db2.gz VXXCNTPBGUVKGR-BETUJISGSA-N 1 2 314.414 1.247 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1OC)O2 ZINC001053691767 758701386 /nfs/dbraw/zinc/70/13/86/758701386.db2.gz CZVKILGEKCVCJC-ZDUSSCGKSA-N 1 2 317.389 1.239 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(Cl)cn1)O2 ZINC001053691899 758701797 /nfs/dbraw/zinc/70/17/97/758701797.db2.gz GGNMXQGNXYURTD-CYBMUJFWSA-N 1 2 321.808 1.884 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)[C@@H](C)CC)CC2)C1 ZINC001065692679 758710552 /nfs/dbraw/zinc/71/05/52/758710552.db2.gz TXTLARTTYNVUPD-JKSUJKDBSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(C)nn(C)c2n1 ZINC001018312822 758721768 /nfs/dbraw/zinc/72/17/68/758721768.db2.gz HZRRJSAMWHRJNB-OKILXGFUSA-N 1 2 323.400 1.199 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(C)nn(C)c2n1 ZINC001018312822 758721776 /nfs/dbraw/zinc/72/17/76/758721776.db2.gz HZRRJSAMWHRJNB-OKILXGFUSA-N 1 2 323.400 1.199 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(N)=O)cs1 ZINC001018315978 758724108 /nfs/dbraw/zinc/72/41/08/758724108.db2.gz BMEIZBIZIKLUGM-BETUJISGSA-N 1 2 317.414 1.159 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(N)=O)cs1 ZINC001018315978 758724116 /nfs/dbraw/zinc/72/41/16/758724116.db2.gz BMEIZBIZIKLUGM-BETUJISGSA-N 1 2 317.414 1.159 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Oc2ccccc2[C@H]1C ZINC001018342532 758743547 /nfs/dbraw/zinc/74/35/47/758743547.db2.gz OGYSZUVKFJKBCK-ZXFNITATSA-N 1 2 310.397 1.860 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Oc2ccccc2[C@H]1C ZINC001018342532 758743556 /nfs/dbraw/zinc/74/35/56/758743556.db2.gz OGYSZUVKFJKBCK-ZXFNITATSA-N 1 2 310.397 1.860 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccsc1)CO2 ZINC001053727873 758744012 /nfs/dbraw/zinc/74/40/12/758744012.db2.gz ISOCJLNEBKLOTP-CQSZACIVSA-N 1 2 304.415 1.273 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1n[nH]c(C)c1C)CO2 ZINC001053762555 758780396 /nfs/dbraw/zinc/78/03/96/758780396.db2.gz BOSVKVBZELKYRJ-ZDUSSCGKSA-N 1 2 304.394 1.176 20 30 DDEDLO CC(C)=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C#N)c[nH]1)CO2 ZINC001053766327 758785847 /nfs/dbraw/zinc/78/58/47/758785847.db2.gz KZEPADMDQIOWQT-AWEZNQCLSA-N 1 2 314.389 1.426 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)c1ccnn1C)CO2 ZINC001053804453 758833039 /nfs/dbraw/zinc/83/30/39/758833039.db2.gz UWEISJWQDICBCD-UONOGXRCSA-N 1 2 318.421 1.059 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1nc(C)sc1C)CO2 ZINC001053843517 758873108 /nfs/dbraw/zinc/87/31/08/758873108.db2.gz OZAYNOCAOLCJGZ-ZDUSSCGKSA-N 1 2 321.446 1.909 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H]1C=CCCC1)CO2 ZINC001053846096 758876507 /nfs/dbraw/zinc/87/65/07/758876507.db2.gz XSGBJKZQCUUOFB-JKSUJKDBSA-N 1 2 302.418 1.716 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H]1CC(C)(C)CO1)CO2 ZINC001053869781 758902573 /nfs/dbraw/zinc/90/25/73/758902573.db2.gz SOCPVKMFQVVZIS-CABCVRRESA-N 1 2 320.433 1.174 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C(C)C)no1)CO2 ZINC001053945660 758981516 /nfs/dbraw/zinc/98/15/16/758981516.db2.gz CMBPLLKSXDZUOI-ZDUSSCGKSA-N 1 2 319.405 1.947 20 30 DDEDLO N#CCN1CCC2(CCN(C(=O)c3cccc4[nH+]ccn43)C2)CC1 ZINC001040773478 762676013 /nfs/dbraw/zinc/67/60/13/762676013.db2.gz UPCTXNOFPFUROU-UHFFFAOYSA-N 1 2 323.400 1.786 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@]1(C)CCCOC1)CO2 ZINC001053969502 759005204 /nfs/dbraw/zinc/00/52/04/759005204.db2.gz PAWKCQJSUIBIQN-HOCLYGCPSA-N 1 2 308.422 1.339 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnoc1C1CC1)CO2 ZINC001053971377 759008226 /nfs/dbraw/zinc/00/82/26/759008226.db2.gz KCWUYCRKQRCTIF-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnoc1C(C)C)CO2 ZINC001053972458 759009239 /nfs/dbraw/zinc/00/92/39/759009239.db2.gz FLIPLCZLJMSKDQ-CYBMUJFWSA-N 1 2 317.389 1.394 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cn(CC)cn1)CO2 ZINC001053984343 759025410 /nfs/dbraw/zinc/02/54/10/759025410.db2.gz VLMDZWUTPDEQQY-CYBMUJFWSA-N 1 2 304.394 1.052 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc(Cl)c1)CO2 ZINC001053990490 759036207 /nfs/dbraw/zinc/03/62/07/759036207.db2.gz CGRWESDJZULQBM-OAHLLOKOSA-N 1 2 318.804 1.936 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc(OC)c1)CO2 ZINC001053991501 759036574 /nfs/dbraw/zinc/03/65/74/759036574.db2.gz WBHACQGMIQMNRY-OAHLLOKOSA-N 1 2 314.385 1.292 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccn[nH]1 ZINC001054033299 759085822 /nfs/dbraw/zinc/08/58/22/759085822.db2.gz PZOXVEMBTZFURS-MRXNPFEDSA-N 1 2 308.385 1.590 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccn[nH]1 ZINC001054033299 759085814 /nfs/dbraw/zinc/08/58/14/759085814.db2.gz PZOXVEMBTZFURS-MRXNPFEDSA-N 1 2 308.385 1.590 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1[nH]cnc1C ZINC001054060519 759114430 /nfs/dbraw/zinc/11/44/30/759114430.db2.gz AEYSFNVYFMKPMH-MRXNPFEDSA-N 1 2 308.385 1.508 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1[nH]cnc1C ZINC001054060519 759114433 /nfs/dbraw/zinc/11/44/33/759114433.db2.gz AEYSFNVYFMKPMH-MRXNPFEDSA-N 1 2 308.385 1.508 20 30 DDEDLO CC(C)(C(=O)N1CCC[C@]2(CCN(CC#N)C2)C1)c1c[nH+]c[nH]1 ZINC001054228695 759319181 /nfs/dbraw/zinc/31/91/81/759319181.db2.gz XOCPFKRESSVQGO-QGZVFWFLSA-N 1 2 315.421 1.525 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)Cn1cc[nH+]c1 ZINC001069116991 767857483 /nfs/dbraw/zinc/85/74/83/767857483.db2.gz WNQUWMKYKIWUHZ-IUODEOHRSA-N 1 2 310.361 1.251 20 30 DDEDLO Cc1nocc1C[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553259 759680841 /nfs/dbraw/zinc/68/08/41/759680841.db2.gz AQXWMSZJLVHUFB-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nocc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553259 759680846 /nfs/dbraw/zinc/68/08/46/759680846.db2.gz AQXWMSZJLVHUFB-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001066285014 759855995 /nfs/dbraw/zinc/85/59/95/759855995.db2.gz JMOCNDPQHISOFL-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc2ccccc2n1 ZINC001085640259 759914956 /nfs/dbraw/zinc/91/49/56/759914956.db2.gz GYUZVBIUTHJFKC-AWEZNQCLSA-N 1 2 308.385 1.799 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc2ccccc2n1 ZINC001085640259 759914965 /nfs/dbraw/zinc/91/49/65/759914965.db2.gz GYUZVBIUTHJFKC-AWEZNQCLSA-N 1 2 308.385 1.799 20 30 DDEDLO C#CC[NH2+][C@@H]1CN(C(=O)c2c(Cl)[nH]nc2C2CC2)C[C@@H]1C ZINC001054726732 760019114 /nfs/dbraw/zinc/01/91/14/760019114.db2.gz RROPONCSTSVTKM-GXSJLCMTSA-N 1 2 306.797 1.624 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069221126 767925458 /nfs/dbraw/zinc/92/54/58/767925458.db2.gz JLWMBLIUEIAPMV-BLLLJJGKSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069221126 767925459 /nfs/dbraw/zinc/92/54/59/767925459.db2.gz JLWMBLIUEIAPMV-BLLLJJGKSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]([NH2+]Cc2nnc(C)o2)[C@@H](C)C1 ZINC001054970867 760245336 /nfs/dbraw/zinc/24/53/36/760245336.db2.gz JPLNORHHXFICIK-AAEUAGOBSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)C(F)F)[C@H](O)C1 ZINC001099998148 760333054 /nfs/dbraw/zinc/33/30/54/760333054.db2.gz BAMOIARKTUOQJQ-VHSXEESVSA-N 1 2 310.772 1.582 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)C(F)F)[C@H](O)C1 ZINC001099998148 760333066 /nfs/dbraw/zinc/33/30/66/760333066.db2.gz BAMOIARKTUOQJQ-VHSXEESVSA-N 1 2 310.772 1.582 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)c2ccco2)C1 ZINC001108210798 760374689 /nfs/dbraw/zinc/37/46/89/760374689.db2.gz GPRRARKQKHAOST-YOEHRIQHSA-N 1 2 304.390 1.614 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)c2ccco2)C1 ZINC001108210798 760374699 /nfs/dbraw/zinc/37/46/99/760374699.db2.gz GPRRARKQKHAOST-YOEHRIQHSA-N 1 2 304.390 1.614 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001085872749 760424887 /nfs/dbraw/zinc/42/48/87/760424887.db2.gz FVDBYXYJIHBELV-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001085872749 760424888 /nfs/dbraw/zinc/42/48/88/760424888.db2.gz FVDBYXYJIHBELV-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1noc2c1C[C@@H](C)CC2 ZINC001085901898 760495800 /nfs/dbraw/zinc/49/58/00/760495800.db2.gz PKHIMPRBXXHHOG-STQMWFEESA-N 1 2 301.390 1.579 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1noc2c1C[C@@H](C)CC2 ZINC001085901898 760495805 /nfs/dbraw/zinc/49/58/05/760495805.db2.gz PKHIMPRBXXHHOG-STQMWFEESA-N 1 2 301.390 1.579 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@@H]2C1 ZINC001055440914 760498766 /nfs/dbraw/zinc/49/87/66/760498766.db2.gz GPEVITXLUSQIAI-OKILXGFUSA-N 1 2 316.405 1.225 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001108233562 760754189 /nfs/dbraw/zinc/75/41/89/760754189.db2.gz XQQJPTYREZMRFT-IIDMSEBBSA-N 1 2 316.401 1.614 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001108233562 760754195 /nfs/dbraw/zinc/75/41/95/760754195.db2.gz XQQJPTYREZMRFT-IIDMSEBBSA-N 1 2 316.401 1.614 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2C[C@H](Nc3cc[nH+]c(C)n3)C2)cn1 ZINC001046861967 767991766 /nfs/dbraw/zinc/99/17/66/767991766.db2.gz LYOAVFSJDIWBGE-SAZUREKKSA-N 1 2 321.384 1.782 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CC[C@H](Cc2ccccc2)O1 ZINC001038520530 761173773 /nfs/dbraw/zinc/17/37/73/761173773.db2.gz CZAHMNKVZMJOBB-KSZLIROESA-N 1 2 312.413 1.600 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CC[C@H](Cc2ccccc2)O1 ZINC001038520530 761173777 /nfs/dbraw/zinc/17/37/77/761173777.db2.gz CZAHMNKVZMJOBB-KSZLIROESA-N 1 2 312.413 1.600 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1[nH]cc[nH+]1 ZINC001056527935 761213732 /nfs/dbraw/zinc/21/37/32/761213732.db2.gz XVWKMKQSKIWXOY-UONOGXRCSA-N 1 2 316.405 1.510 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn([C@@H](C)CC)c1C ZINC001038900951 761525782 /nfs/dbraw/zinc/52/57/82/761525782.db2.gz PPWXAEXASGDIDT-ZFWWWQNUSA-N 1 2 302.422 1.990 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn([C@@H](C)CC)c1C ZINC001038900951 761525791 /nfs/dbraw/zinc/52/57/91/761525791.db2.gz PPWXAEXASGDIDT-ZFWWWQNUSA-N 1 2 302.422 1.990 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc3c(c2)COC3)C1 ZINC001108274732 761593101 /nfs/dbraw/zinc/59/31/01/761593101.db2.gz MVPXORORLLLZSG-SFHVURJKSA-N 1 2 316.401 1.724 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc3c(c2)COC3)C1 ZINC001108274732 761593108 /nfs/dbraw/zinc/59/31/08/761593108.db2.gz MVPXORORLLLZSG-SFHVURJKSA-N 1 2 316.401 1.724 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]1CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001039055527 761696088 /nfs/dbraw/zinc/69/60/88/761696088.db2.gz ZGCRWEFWGWVETQ-AWEZNQCLSA-N 1 2 311.389 1.852 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]1CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001039055527 761696089 /nfs/dbraw/zinc/69/60/89/761696089.db2.gz ZGCRWEFWGWVETQ-AWEZNQCLSA-N 1 2 311.389 1.852 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(N(C)C(C)=O)cc1 ZINC001039065131 761704502 /nfs/dbraw/zinc/70/45/02/761704502.db2.gz ZFCJBMKGTUCTFN-KRWDZBQOSA-N 1 2 313.401 1.497 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(N(C)C(C)=O)cc1 ZINC001039065131 761704505 /nfs/dbraw/zinc/70/45/05/761704505.db2.gz ZFCJBMKGTUCTFN-KRWDZBQOSA-N 1 2 313.401 1.497 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC=C(CNC(=O)c3ncn[nH]3)CC2)c1 ZINC001001271308 762714696 /nfs/dbraw/zinc/71/46/96/762714696.db2.gz MPDWUGBDPLKQNT-UHFFFAOYSA-N 1 2 322.372 1.238 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC=C(CNC(=O)c3ncn[nH]3)CC2)c1 ZINC001001271308 762714701 /nfs/dbraw/zinc/71/47/01/762714701.db2.gz MPDWUGBDPLKQNT-UHFFFAOYSA-N 1 2 322.372 1.238 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC=C(CNC(=O)c3nc[nH]n3)CC2)c1 ZINC001001271308 762714708 /nfs/dbraw/zinc/71/47/08/762714708.db2.gz MPDWUGBDPLKQNT-UHFFFAOYSA-N 1 2 322.372 1.238 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC=C(CNC(=O)c3nc[nH]n3)CC2)c1 ZINC001001271308 762714716 /nfs/dbraw/zinc/71/47/16/762714716.db2.gz MPDWUGBDPLKQNT-UHFFFAOYSA-N 1 2 322.372 1.238 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CC[C@@H](C)CC)CC2=O)C1 ZINC001108581282 762743210 /nfs/dbraw/zinc/74/32/10/762743210.db2.gz MUNWTNXXNODKCN-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO CCC(=O)Nc1cc(Nc2c(C#N)cnn2C(=O)OC)cc[nH+]1 ZINC001169969016 762767503 /nfs/dbraw/zinc/76/75/03/762767503.db2.gz SZOFVGTUWWYPCV-UHFFFAOYSA-N 1 2 314.305 1.856 20 30 DDEDLO Cc1nc(N(C)C[C@@H](C)NC(=O)CSCC#N)c(C)c(C)[nH+]1 ZINC001108868857 763045634 /nfs/dbraw/zinc/04/56/34/763045634.db2.gz GPFXGOHTXMYCSV-SNVBAGLBSA-N 1 2 321.450 1.600 20 30 DDEDLO C[C@@H](CN(C)c1cccc(F)c1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001109056798 763298774 /nfs/dbraw/zinc/29/87/74/763298774.db2.gz HDFFJUREFPILNS-NSHDSACASA-N 1 2 315.352 1.604 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C1CC1)C2 ZINC001109117949 763355038 /nfs/dbraw/zinc/35/50/38/763355038.db2.gz UUPDQDJKIMELLK-ATGSNQNLSA-N 1 2 305.422 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C1CC1)C2 ZINC001109117949 763355046 /nfs/dbraw/zinc/35/50/46/763355046.db2.gz UUPDQDJKIMELLK-ATGSNQNLSA-N 1 2 305.422 1.056 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)CCn2cc[nH+]c2)C1 ZINC001050260104 763384710 /nfs/dbraw/zinc/38/47/10/763384710.db2.gz OXYUZTKQPBEVJN-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N)C(C)(C)C ZINC001109451548 763721443 /nfs/dbraw/zinc/72/14/43/763721443.db2.gz RMDQKGHHTQCGPE-CRWXNKLISA-N 1 2 320.437 1.030 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N)C(C)(C)C ZINC001109451548 763721446 /nfs/dbraw/zinc/72/14/46/763721446.db2.gz RMDQKGHHTQCGPE-CRWXNKLISA-N 1 2 320.437 1.030 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C=C)CC)C2 ZINC001109634285 763886296 /nfs/dbraw/zinc/88/62/96/763886296.db2.gz JEUNSUJOJKPRSF-WCVJEAGWSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C=C)CC)C2 ZINC001109634285 763886306 /nfs/dbraw/zinc/88/63/06/763886306.db2.gz JEUNSUJOJKPRSF-WCVJEAGWSA-N 1 2 317.433 1.060 20 30 DDEDLO C=CCCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NCC)CC1 ZINC001109681816 763941206 /nfs/dbraw/zinc/94/12/06/763941206.db2.gz JELUXYQWIBXFQA-ILXRZTDVSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NCC)CC1 ZINC001109681816 763941211 /nfs/dbraw/zinc/94/12/11/763941211.db2.gz JELUXYQWIBXFQA-ILXRZTDVSA-N 1 2 319.449 1.590 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnn1CC ZINC001050894174 764245546 /nfs/dbraw/zinc/24/55/46/764245546.db2.gz HLWNVBCKIZLAKL-CQSZACIVSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnn1CC ZINC001050894174 764245555 /nfs/dbraw/zinc/24/55/55/764245555.db2.gz HLWNVBCKIZLAKL-CQSZACIVSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc2occc2[nH]1 ZINC001050940229 764311938 /nfs/dbraw/zinc/31/19/38/764311938.db2.gz OXYIVMULNPJTER-GFCCVEGCSA-N 1 2 303.362 1.768 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc2occc2[nH]1 ZINC001050940229 764311941 /nfs/dbraw/zinc/31/19/41/764311941.db2.gz OXYIVMULNPJTER-GFCCVEGCSA-N 1 2 303.362 1.768 20 30 DDEDLO Cc1nc(N[C@@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001057684769 764329680 /nfs/dbraw/zinc/32/96/80/764329680.db2.gz XOBRSQBRUPFQPV-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1CCC ZINC001051000765 764404554 /nfs/dbraw/zinc/40/45/54/764404554.db2.gz UILMZIMLZYRFIF-AWEZNQCLSA-N 1 2 320.437 1.759 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1CCC ZINC001051000765 764404558 /nfs/dbraw/zinc/40/45/58/764404558.db2.gz UILMZIMLZYRFIF-AWEZNQCLSA-N 1 2 320.437 1.759 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(Cl)cn1C ZINC001051015146 764418833 /nfs/dbraw/zinc/41/88/33/764418833.db2.gz GGOZJLYWMUSSJJ-CYBMUJFWSA-N 1 2 311.813 1.685 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(Cl)cn1C ZINC001051015146 764418838 /nfs/dbraw/zinc/41/88/38/764418838.db2.gz GGOZJLYWMUSSJJ-CYBMUJFWSA-N 1 2 311.813 1.685 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(N(C)C)c1 ZINC001051146537 764551682 /nfs/dbraw/zinc/55/16/82/764551682.db2.gz ULBQLCLMPWSRFL-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(N(C)C)c1 ZINC001051146537 764551684 /nfs/dbraw/zinc/55/16/84/764551684.db2.gz ULBQLCLMPWSRFL-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(CC2CC2)c1 ZINC001051196940 764607131 /nfs/dbraw/zinc/60/71/31/764607131.db2.gz IRLHPPQIEYZOIN-MRXNPFEDSA-N 1 2 318.421 1.300 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(CC2CC2)c1 ZINC001051196940 764607139 /nfs/dbraw/zinc/60/71/39/764607139.db2.gz IRLHPPQIEYZOIN-MRXNPFEDSA-N 1 2 318.421 1.300 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1C(C)(C)C ZINC001051201535 764610951 /nfs/dbraw/zinc/61/09/51/764610951.db2.gz OABHHVGRXMOAOT-CYBMUJFWSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1C(C)(C)C ZINC001051201535 764610957 /nfs/dbraw/zinc/61/09/57/764610957.db2.gz OABHHVGRXMOAOT-CYBMUJFWSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccnc1N(C)C ZINC001051246837 764671132 /nfs/dbraw/zinc/67/11/32/764671132.db2.gz WBLYFNPZLICHSY-CQSZACIVSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccnc1N(C)C ZINC001051246837 764671138 /nfs/dbraw/zinc/67/11/38/764671138.db2.gz WBLYFNPZLICHSY-CQSZACIVSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(CC)n(C)n1 ZINC001051275029 764699114 /nfs/dbraw/zinc/69/91/14/764699114.db2.gz OXDPRLPCMOQUFY-HNNXBMFYSA-N 1 2 320.437 1.379 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(CC)n(C)n1 ZINC001051275029 764699117 /nfs/dbraw/zinc/69/91/17/764699117.db2.gz OXDPRLPCMOQUFY-HNNXBMFYSA-N 1 2 320.437 1.379 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(C)nc3ccnn3c2C)C1 ZINC001043170059 764734027 /nfs/dbraw/zinc/73/40/27/764734027.db2.gz MIMNTBQPEBPKLI-UHFFFAOYSA-N 1 2 311.389 1.126 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)C2CCC2)[C@@H](n2ccnn2)C1 ZINC001069989569 768264816 /nfs/dbraw/zinc/26/48/16/768264816.db2.gz ZHQJZUHMDFDMQI-CABCVRRESA-N 1 2 315.421 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)C2CCC2)[C@@H](n2ccnn2)C1 ZINC001069989569 768264824 /nfs/dbraw/zinc/26/48/24/768264824.db2.gz ZHQJZUHMDFDMQI-CABCVRRESA-N 1 2 315.421 1.079 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnc3cc[nH]c3c2)CC1 ZINC001113000189 765123877 /nfs/dbraw/zinc/12/38/77/765123877.db2.gz SSVSJWMDOBEQQF-UHFFFAOYSA-N 1 2 314.389 1.523 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCO[C@@H](C[NH2+]Cc3nc(C)no3)C2)C1 ZINC001051814353 765184752 /nfs/dbraw/zinc/18/47/52/765184752.db2.gz DBJDHRYPHFDUSS-ZDUSSCGKSA-N 1 2 320.393 1.051 20 30 DDEDLO C#CCOc1ccc(C(=O)N(C)C2C[NH+](CCOC)C2)cc1 ZINC001044019999 765237215 /nfs/dbraw/zinc/23/72/15/765237215.db2.gz JWUKRFIIZRXSRK-UHFFFAOYSA-N 1 2 302.374 1.101 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@@H]2Cc3ccccc3O2)CC1 ZINC001113080918 765242953 /nfs/dbraw/zinc/24/29/53/765242953.db2.gz ZQWKLKMJKPIOCZ-KRWDZBQOSA-N 1 2 316.401 1.337 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(C(F)(F)F)n2)C1 ZINC001044080905 765282283 /nfs/dbraw/zinc/28/22/83/765282283.db2.gz WDRDIWDZEZOIJC-UHFFFAOYSA-N 1 2 311.307 1.880 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc3ncccc3o2)CC1 ZINC001113113940 765286000 /nfs/dbraw/zinc/28/60/00/765286000.db2.gz SPVQEUADERTBTJ-UHFFFAOYSA-N 1 2 315.373 1.788 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C=C)cc2)[C@@H](n2ccnn2)C1 ZINC001070031802 768283459 /nfs/dbraw/zinc/28/34/59/768283459.db2.gz SLXQOFARXUKWEY-SJORKVTESA-N 1 2 321.384 1.210 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C=C)cc2)[C@@H](n2ccnn2)C1 ZINC001070031802 768283462 /nfs/dbraw/zinc/28/34/62/768283462.db2.gz SLXQOFARXUKWEY-SJORKVTESA-N 1 2 321.384 1.210 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)C3CCC3)C2)CC1 ZINC001051965101 765322250 /nfs/dbraw/zinc/32/22/50/765322250.db2.gz TUFNJQSGWPWYNU-OAHLLOKOSA-N 1 2 311.857 1.758 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)CC3CCCC3)C2)CC1 ZINC001051974566 765334121 /nfs/dbraw/zinc/33/41/21/765334121.db2.gz IFDULDNAQASTTA-SFHVURJKSA-N 1 2 317.477 1.809 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3CC=CCC3)C2)CC1 ZINC001051982121 765345474 /nfs/dbraw/zinc/34/54/74/765345474.db2.gz YIGFXFNMQUBLRL-IAGOWNOFSA-N 1 2 301.434 1.194 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@@H](OCC)C2CCOCC2)CC1 ZINC001113161064 765353173 /nfs/dbraw/zinc/35/31/73/765353173.db2.gz UQQXLGPDUYSKFU-INIZCTEOSA-N 1 2 310.438 1.538 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3CCCCCC3)C2)CC1 ZINC001051996272 765362742 /nfs/dbraw/zinc/36/27/42/765362742.db2.gz LVCAFEBMVULPQY-GOSISDBHSA-N 1 2 317.477 1.809 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCOCC3CC3)CC2)cc1 ZINC001113181850 765377142 /nfs/dbraw/zinc/37/71/42/765377142.db2.gz KPPAPWUJKXPKQM-UHFFFAOYSA-N 1 2 312.413 1.852 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)=C3CCCC3)C2)CC1 ZINC001052011795 765380001 /nfs/dbraw/zinc/38/00/01/765380001.db2.gz ZGCKRVIIQQEGAP-SFHVURJKSA-N 1 2 315.461 1.729 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3C=CC=CC=C3)C2)CC1 ZINC001052032767 765407035 /nfs/dbraw/zinc/40/70/35/765407035.db2.gz KAOAQUOQTVJEOO-GOSISDBHSA-N 1 2 311.429 1.137 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H](C)C3CCC3)C2)CC1 ZINC001052042429 765414669 /nfs/dbraw/zinc/41/46/69/765414669.db2.gz BVMODQRUQSISGD-FUHWJXTLSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3CCC3(C)C)C2)CC1 ZINC001052064774 765437089 /nfs/dbraw/zinc/43/70/89/765437089.db2.gz HALCEBPPCKQVMX-HZPDHXFCSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3CCCC3(C)C)C2)CC1 ZINC001052067156 765440182 /nfs/dbraw/zinc/44/01/82/765440182.db2.gz GAHHHIRPWJPMIW-DLBZAZTESA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)Cc3cnoc3)C2)CC1 ZINC001052068112 765441593 /nfs/dbraw/zinc/44/15/93/765441593.db2.gz WAOTWIXFEGMHNW-MRXNPFEDSA-N 1 2 318.421 1.012 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3CCC(=C)CC3)C2)CC1 ZINC001052074168 765445363 /nfs/dbraw/zinc/44/53/63/765445363.db2.gz VLBINHUVPAGESN-SFHVURJKSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3CC34CCC4)C2)CC1 ZINC001052086733 765458804 /nfs/dbraw/zinc/45/88/04/765458804.db2.gz HDQDGZCRNKJTAW-CVEARBPZSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3CC34CC4)C2)CC1 ZINC001052091740 765463392 /nfs/dbraw/zinc/46/33/92/765463392.db2.gz KYKBUNRXBNFEMK-HZPDHXFCSA-N 1 2 301.434 1.028 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[NH+](CCOCCCC)CC2)c1 ZINC001113331467 765572755 /nfs/dbraw/zinc/57/27/55/765572755.db2.gz YTCSJLFSYYVREI-UHFFFAOYSA-N 1 2 315.417 1.637 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn(CC(C)C)c2)CC1 ZINC001113457993 765707016 /nfs/dbraw/zinc/70/70/16/765707016.db2.gz NFCYSXCVKZVXLE-UHFFFAOYSA-N 1 2 320.437 1.500 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C2CCCCC2)C[N@@H+]1CC(=O)NCC#N ZINC001131747726 768320757 /nfs/dbraw/zinc/32/07/57/768320757.db2.gz NJMOJSCOVGRIMT-UKRRQHHQSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C2CCCCC2)C[N@H+]1CC(=O)NCC#N ZINC001131747726 768320762 /nfs/dbraw/zinc/32/07/62/768320762.db2.gz NJMOJSCOVGRIMT-UKRRQHHQSA-N 1 2 320.437 1.176 20 30 DDEDLO Cc1nsc(N(C)[C@@H](C)CNC(=O)Cn2cc[nH+]c2)c1C#N ZINC001113645247 765970171 /nfs/dbraw/zinc/97/01/71/765970171.db2.gz WLDBUVSADYSWGH-JTQLQIEISA-N 1 2 318.406 1.161 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]1C[NH2+]Cc1nc(CC2CC2)no1 ZINC001044961246 765970785 /nfs/dbraw/zinc/97/07/85/765970785.db2.gz LOKFNWIRNQXLBB-YPMHNXCESA-N 1 2 317.393 1.262 20 30 DDEDLO CC(C)(C)C#CC(=O)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001157727219 766280923 /nfs/dbraw/zinc/28/09/23/766280923.db2.gz IYTWTVSFTSKZGY-UHFFFAOYSA-N 1 2 318.421 1.983 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CCCN(c2ccc(C#N)cn2)C1 ZINC001058182149 766291587 /nfs/dbraw/zinc/29/15/87/766291587.db2.gz UFLNGINHYQXZHK-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CCCN(c2ncccc2C#N)C1 ZINC001058182259 766291712 /nfs/dbraw/zinc/29/17/12/766291712.db2.gz XJHUGTRYDBOFLD-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1nc(N2CC[C@H](C)[C@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001114011558 766552468 /nfs/dbraw/zinc/55/24/68/766552468.db2.gz RVPKBTLAFIUEMD-WCQYABFASA-N 1 2 319.434 1.373 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nc(COC)no3)C[C@H]21 ZINC001114025274 766573614 /nfs/dbraw/zinc/57/36/14/766573614.db2.gz JVMXVAAHOLSZPZ-FJJYHAOUSA-N 1 2 320.393 1.290 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nc(COC)no3)C[C@H]21 ZINC001114025274 766573622 /nfs/dbraw/zinc/57/36/22/766573622.db2.gz JVMXVAAHOLSZPZ-FJJYHAOUSA-N 1 2 320.393 1.290 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)s3)C[C@H]21 ZINC001114047500 766580550 /nfs/dbraw/zinc/58/05/50/766580550.db2.gz HADDYJOIHWAVME-WUWHUORYSA-N 1 2 322.434 1.157 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)s3)C[C@H]21 ZINC001114047500 766580553 /nfs/dbraw/zinc/58/05/53/766580553.db2.gz HADDYJOIHWAVME-WUWHUORYSA-N 1 2 322.434 1.157 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccncc1C#N ZINC001067541958 766604566 /nfs/dbraw/zinc/60/45/66/766604566.db2.gz GRMMTZCXUAVCTI-UKRRQHHQSA-N 1 2 324.388 1.323 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114201129 766760598 /nfs/dbraw/zinc/76/05/98/766760598.db2.gz JUCFJRNJWFOGHT-PBOSXPJTSA-N 1 2 302.378 1.289 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114201129 766760608 /nfs/dbraw/zinc/76/06/08/766760608.db2.gz JUCFJRNJWFOGHT-PBOSXPJTSA-N 1 2 302.378 1.289 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C[C@H]3C=CCCC3)CC2)C1 ZINC001046038814 766846009 /nfs/dbraw/zinc/84/60/09/766846009.db2.gz JRSMSNUMXXHRDB-IRXDYDNUSA-N 1 2 316.449 1.475 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067992036 766858830 /nfs/dbraw/zinc/85/88/30/766858830.db2.gz FOJXXNRIJIGKBA-AWEZNQCLSA-N 1 2 320.437 1.861 20 30 DDEDLO C[C@H](C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)c1ccco1 ZINC001046060558 766871750 /nfs/dbraw/zinc/87/17/50/766871750.db2.gz BVASNXHLBHPQFT-GJZGRUSLSA-N 1 2 316.405 1.125 20 30 DDEDLO CC1(C)CN(c2ccc(C#N)nc2)C[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001068115593 766926701 /nfs/dbraw/zinc/92/67/01/766926701.db2.gz NNNUZNONYXYBOI-OAHLLOKOSA-N 1 2 324.388 1.181 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H]2C[C@H](NC(=O)Cn3cc[nH+]c3)C2)n1 ZINC001068500561 767379348 /nfs/dbraw/zinc/37/93/48/767379348.db2.gz DIUQILFJIGKFEF-CTYIDZIISA-N 1 2 324.388 1.465 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCCS2(=O)=O)C1 ZINC001046370816 767480528 /nfs/dbraw/zinc/48/05/28/767480528.db2.gz CXWVNYXQDWNGKA-DZGCQCFKSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCCS2(=O)=O)C1 ZINC001046370816 767480531 /nfs/dbraw/zinc/48/05/31/767480531.db2.gz CXWVNYXQDWNGKA-DZGCQCFKSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2coc(C(N)=O)c2)C1 ZINC001046511084 767640418 /nfs/dbraw/zinc/64/04/18/767640418.db2.gz GVTXBMJICIRFMG-AWEZNQCLSA-N 1 2 311.769 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2coc(C(N)=O)c2)C1 ZINC001046511084 767640426 /nfs/dbraw/zinc/64/04/26/767640426.db2.gz GVTXBMJICIRFMG-AWEZNQCLSA-N 1 2 311.769 1.325 20 30 DDEDLO C#CC[N@H+]1CC[C@](C)(NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001046686034 767803819 /nfs/dbraw/zinc/80/38/19/767803819.db2.gz HJIGASJNKJUMEL-WBMJQRKESA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@](C)(NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001046686034 767803824 /nfs/dbraw/zinc/80/38/24/767803824.db2.gz HJIGASJNKJUMEL-WBMJQRKESA-N 1 2 319.430 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2CC3(CCC3)C2)[C@@H](O)C1 ZINC001090496886 767813543 /nfs/dbraw/zinc/81/35/43/767813543.db2.gz SEMBQTPUHDXYJL-KBPBESRZSA-N 1 2 312.841 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2CC3(CCC3)C2)[C@@H](O)C1 ZINC001090496886 767813549 /nfs/dbraw/zinc/81/35/49/767813549.db2.gz SEMBQTPUHDXYJL-KBPBESRZSA-N 1 2 312.841 1.871 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scc(C)c2Cl)C1 ZINC001047393999 768447815 /nfs/dbraw/zinc/44/78/15/768447815.db2.gz GHQKGIXHEQJASN-QWRGUYRKSA-N 1 2 312.822 1.460 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scc(C)c2Cl)C1 ZINC001047393999 768447820 /nfs/dbraw/zinc/44/78/20/768447820.db2.gz GHQKGIXHEQJASN-QWRGUYRKSA-N 1 2 312.822 1.460 20 30 DDEDLO CC#CC[NH+]1CC2(C1)CN(C(=O)CCC)C[C@H]2c1nnc(C)[nH]1 ZINC001070438965 768482054 /nfs/dbraw/zinc/48/20/54/768482054.db2.gz LIYFWTMLQTXVTA-AWEZNQCLSA-N 1 2 315.421 1.164 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2occc2-c2ccccc2)C1 ZINC001047449960 768486452 /nfs/dbraw/zinc/48/64/52/768486452.db2.gz HZFVNQVCACNBKV-IRXDYDNUSA-N 1 2 324.380 1.697 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2occc2-c2ccccc2)C1 ZINC001047449960 768486455 /nfs/dbraw/zinc/48/64/55/768486455.db2.gz HZFVNQVCACNBKV-IRXDYDNUSA-N 1 2 324.380 1.697 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2C[N@H+](C/C=C\Cl)C[C@@H]2O)cc1 ZINC001047480780 768507849 /nfs/dbraw/zinc/50/78/49/768507849.db2.gz RMPKWOBCMZDLMX-HTSGCBEDSA-N 1 2 318.804 1.538 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2C[N@@H+](C/C=C\Cl)C[C@@H]2O)cc1 ZINC001047480780 768507850 /nfs/dbraw/zinc/50/78/50/768507850.db2.gz RMPKWOBCMZDLMX-HTSGCBEDSA-N 1 2 318.804 1.538 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C3CCCC3)no2)C1 ZINC001047631205 768625021 /nfs/dbraw/zinc/62/50/21/768625021.db2.gz KIJLWSGPXJMQEY-GJZGRUSLSA-N 1 2 317.389 1.083 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C3CCCC3)no2)C1 ZINC001047631205 768625022 /nfs/dbraw/zinc/62/50/22/768625022.db2.gz KIJLWSGPXJMQEY-GJZGRUSLSA-N 1 2 317.389 1.083 20 30 DDEDLO CC(C)C1(C(=O)N[C@@H]2CC[C@@H](C)[N@H+](CC(=O)NCC#N)C2)CC1 ZINC001132282280 768726642 /nfs/dbraw/zinc/72/66/42/768726642.db2.gz ISWMBJVUKRTCNC-ZIAGYGMSSA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)C1(C(=O)N[C@@H]2CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C2)CC1 ZINC001132282280 768726646 /nfs/dbraw/zinc/72/66/46/768726646.db2.gz ISWMBJVUKRTCNC-ZIAGYGMSSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CCC(C)(C)C)C[N@@H+]1CC(=O)NCC#N ZINC001132370638 768790753 /nfs/dbraw/zinc/79/07/53/768790753.db2.gz AUPJUUQKJARICE-UONOGXRCSA-N 1 2 322.453 1.422 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CCC(C)(C)C)C[N@H+]1CC(=O)NCC#N ZINC001132370638 768790756 /nfs/dbraw/zinc/79/07/56/768790756.db2.gz AUPJUUQKJARICE-UONOGXRCSA-N 1 2 322.453 1.422 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070933828 768792841 /nfs/dbraw/zinc/79/28/41/768792841.db2.gz GIPYLSLDDVEGBU-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001132395453 768800822 /nfs/dbraw/zinc/80/08/22/768800822.db2.gz BISUUXRJMJANFQ-NSHDSACASA-N 1 2 310.398 1.585 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+]Cc1nn(C)cc1Cl ZINC001132403709 768808328 /nfs/dbraw/zinc/80/83/28/768808328.db2.gz BGCUIFGFPFTPDW-UHFFFAOYSA-N 1 2 310.829 1.863 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)c2coc(C)c2)CC1 ZINC001070957671 768812053 /nfs/dbraw/zinc/81/20/53/768812053.db2.gz PSQMAWCVTNYGAU-UHFFFAOYSA-N 1 2 319.405 1.428 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)c2coc(C)c2)CC1 ZINC001070957671 768812059 /nfs/dbraw/zinc/81/20/59/768812059.db2.gz PSQMAWCVTNYGAU-UHFFFAOYSA-N 1 2 319.405 1.428 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)COCCOCC)CC[C@H]1C ZINC001132518941 768933184 /nfs/dbraw/zinc/93/31/84/768933184.db2.gz QKDLYXJXCYNQGV-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)COCCOCC)CC[C@H]1C ZINC001132518941 768933189 /nfs/dbraw/zinc/93/31/89/768933189.db2.gz QKDLYXJXCYNQGV-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(c2ccnc(C)n2)CC1 ZINC001096299687 769103107 /nfs/dbraw/zinc/10/31/07/769103107.db2.gz KGGVHEDGPJCKOX-UHFFFAOYSA-N 1 2 317.437 1.380 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001071213107 769146188 /nfs/dbraw/zinc/14/61/88/769146188.db2.gz XFCNZHDMCROUFF-CQSZACIVSA-N 1 2 318.421 1.724 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)CC[C@@H]1C ZINC001071588750 769671901 /nfs/dbraw/zinc/67/19/01/769671901.db2.gz KCQIYWRGXCREHE-ONGXEEELSA-N 1 2 312.801 1.759 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)CC[C@@H]1C ZINC001071588750 769671905 /nfs/dbraw/zinc/67/19/05/769671905.db2.gz KCQIYWRGXCREHE-ONGXEEELSA-N 1 2 312.801 1.759 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CC[C@H](C)N(CC#N)C2)c[nH+]1 ZINC001071606341 769703847 /nfs/dbraw/zinc/70/38/47/769703847.db2.gz IBVJQQNYUWPEEF-UONOGXRCSA-N 1 2 303.410 1.419 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@H]1CCN(c2ccncc2C#N)C1 ZINC001096414170 769805817 /nfs/dbraw/zinc/80/58/17/769805817.db2.gz SUGRSELRPHSAMJ-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[NH2+]Cc2nc(C(C)C)no2)c1 ZINC001133593109 770042790 /nfs/dbraw/zinc/04/27/90/770042790.db2.gz OOIMVVNQJZWVJH-UHFFFAOYSA-N 1 2 313.361 1.089 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cn2ccc(C)n2)CC[C@H]1C ZINC001071831824 770141547 /nfs/dbraw/zinc/14/15/47/770141547.db2.gz NESQJPNIUJAOEK-KGLIPLIRSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cn2ccc(C)n2)CC[C@H]1C ZINC001071831824 770141552 /nfs/dbraw/zinc/14/15/52/770141552.db2.gz NESQJPNIUJAOEK-KGLIPLIRSA-N 1 2 310.829 1.913 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](NC(=O)c2ccc3[nH]nnc3c2)CC[C@H]1C ZINC001072066537 770508204 /nfs/dbraw/zinc/50/82/04/770508204.db2.gz MKAHJEQTMUNTFT-OCCSQVGLSA-N 1 2 311.389 1.564 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](NC(=O)c2ccc3[nH]nnc3c2)CC[C@H]1C ZINC001072066537 770508207 /nfs/dbraw/zinc/50/82/07/770508207.db2.gz MKAHJEQTMUNTFT-OCCSQVGLSA-N 1 2 311.389 1.564 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)CC[C@H]1C ZINC001072101749 770543749 /nfs/dbraw/zinc/54/37/49/770543749.db2.gz JVJWXUBBYRBVLR-UKRRQHHQSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)CC[C@H]1C ZINC001072101749 770543753 /nfs/dbraw/zinc/54/37/53/770543753.db2.gz JVJWXUBBYRBVLR-UKRRQHHQSA-N 1 2 323.400 1.688 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)COC ZINC001049260972 770618111 /nfs/dbraw/zinc/61/81/11/770618111.db2.gz QDKDKRMZYYAUDE-VXGBXAGGSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)COC ZINC001049260972 770618116 /nfs/dbraw/zinc/61/81/16/770618116.db2.gz QDKDKRMZYYAUDE-VXGBXAGGSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049457140 770867252 /nfs/dbraw/zinc/86/72/52/770867252.db2.gz RVDJRZNQZFXMNC-VXGBXAGGSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049457140 770867263 /nfs/dbraw/zinc/86/72/63/770867263.db2.gz RVDJRZNQZFXMNC-VXGBXAGGSA-N 1 2 309.801 1.247 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@H]1C ZINC001072425967 770875746 /nfs/dbraw/zinc/87/57/46/770875746.db2.gz BEXZQYGBDKCHGG-HIFRSBDPSA-N 1 2 318.421 1.282 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#N ZINC001049539919 771003997 /nfs/dbraw/zinc/00/39/97/771003997.db2.gz GEIKSDCLHSSWBK-CABCVRRESA-N 1 2 314.389 1.222 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891234 771237883 /nfs/dbraw/zinc/23/78/83/771237883.db2.gz DUWNHHMRYNOYQN-DLBZAZTESA-N 1 2 323.400 1.660 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891234 771237885 /nfs/dbraw/zinc/23/78/85/771237885.db2.gz DUWNHHMRYNOYQN-DLBZAZTESA-N 1 2 323.400 1.660 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+]Cc1nc(CCC)no1 ZINC001135147541 771358600 /nfs/dbraw/zinc/35/86/00/771358600.db2.gz LIZSEBHIUQCQTA-WFASDCNBSA-N 1 2 322.409 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(C)nc1C)C2 ZINC001096880007 771494505 /nfs/dbraw/zinc/49/45/05/771494505.db2.gz COJBBYUGTAUZDD-BNOWGMLFSA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(C)nc1C)C2 ZINC001096880007 771494507 /nfs/dbraw/zinc/49/45/07/771494507.db2.gz COJBBYUGTAUZDD-BNOWGMLFSA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)c1ccnn1C)C2 ZINC001097093777 771620585 /nfs/dbraw/zinc/62/05/85/771620585.db2.gz ULHULFWSDHPNBA-BHPKHCPMSA-N 1 2 322.840 1.998 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)c1ccnn1C)C2 ZINC001097093777 771620586 /nfs/dbraw/zinc/62/05/86/771620586.db2.gz ULHULFWSDHPNBA-BHPKHCPMSA-N 1 2 322.840 1.998 20 30 DDEDLO C#CCCCC(=O)N1CCCO[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001090572245 771999101 /nfs/dbraw/zinc/99/91/01/771999101.db2.gz FGPIZVYVGQOJTC-HNNXBMFYSA-N 1 2 316.405 1.618 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc(C)nc2C)[C@@H](O)C1 ZINC001090655016 772079875 /nfs/dbraw/zinc/07/98/75/772079875.db2.gz NPZVUFYDJVMFAN-KBPBESRZSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc(C)nc2C)[C@@H](O)C1 ZINC001090655016 772079881 /nfs/dbraw/zinc/07/98/81/772079881.db2.gz NPZVUFYDJVMFAN-KBPBESRZSA-N 1 2 324.812 1.011 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cn2)C[C@H]1NC(=O)CCn1cc[nH+]c1 ZINC001090799892 772224002 /nfs/dbraw/zinc/22/40/02/772224002.db2.gz GLVQZOCWBGLFMW-UKRRQHHQSA-N 1 2 324.388 1.181 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(C)nc2C)[C@H](O)C1 ZINC001090827242 772246611 /nfs/dbraw/zinc/24/66/11/772246611.db2.gz HPHSKQVPOKPDDZ-HUUCEWRRSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)nc2C)[C@H](O)C1 ZINC001090827242 772246613 /nfs/dbraw/zinc/24/66/13/772246613.db2.gz HPHSKQVPOKPDDZ-HUUCEWRRSA-N 1 2 323.824 1.616 20 30 DDEDLO CCOC[C@H]1Cn2nccc2C[N@H+](Cc2ccc(C#N)cn2)C1 ZINC001144158495 772429336 /nfs/dbraw/zinc/42/93/36/772429336.db2.gz HZFGJGGUAWGUIO-OAHLLOKOSA-N 1 2 311.389 1.818 20 30 DDEDLO CCOC[C@H]1Cn2nccc2C[N@@H+](Cc2ccc(C#N)cn2)C1 ZINC001144158495 772429340 /nfs/dbraw/zinc/42/93/40/772429340.db2.gz HZFGJGGUAWGUIO-OAHLLOKOSA-N 1 2 311.389 1.818 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001091413664 772736172 /nfs/dbraw/zinc/73/61/72/772736172.db2.gz IBHFCPILPMOZHG-JNSHFYNHSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001091413664 772736173 /nfs/dbraw/zinc/73/61/73/772736173.db2.gz IBHFCPILPMOZHG-JNSHFYNHSA-N 1 2 324.388 1.616 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1C[C@H](Nc2ncccc2C#N)C1 ZINC001091471475 772778133 /nfs/dbraw/zinc/77/81/33/772778133.db2.gz DTZHGJNKDRFJMM-MQMHXKEQSA-N 1 2 324.388 1.534 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001091477224 772781157 /nfs/dbraw/zinc/78/11/57/772781157.db2.gz QWHCVUKQBFNJBZ-MQMHXKEQSA-N 1 2 324.388 1.534 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001147374791 773123796 /nfs/dbraw/zinc/12/37/96/773123796.db2.gz ULOGTVVNNRWIKF-UHFFFAOYSA-N 1 2 315.421 1.043 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001147374791 773123799 /nfs/dbraw/zinc/12/37/99/773123799.db2.gz ULOGTVVNNRWIKF-UHFFFAOYSA-N 1 2 315.421 1.043 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC2(C1)CC[N@H+](Cc1cscn1)C2 ZINC001147422676 773140753 /nfs/dbraw/zinc/14/07/53/773140753.db2.gz VVVJWEOMFXFMKD-HNNXBMFYSA-N 1 2 321.446 1.505 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC2(C1)CC[N@@H+](Cc1cscn1)C2 ZINC001147422676 773140756 /nfs/dbraw/zinc/14/07/56/773140756.db2.gz VVVJWEOMFXFMKD-HNNXBMFYSA-N 1 2 321.446 1.505 20 30 DDEDLO COCC#CC[N@@H+]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001147591045 773176710 /nfs/dbraw/zinc/17/67/10/773176710.db2.gz VEMXKZCBOAGPOL-NSHDSACASA-N 1 2 322.302 1.071 20 30 DDEDLO COCC#CC[N@H+]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001147591045 773176713 /nfs/dbraw/zinc/17/67/13/773176713.db2.gz VEMXKZCBOAGPOL-NSHDSACASA-N 1 2 322.302 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C[C@H]2CCOC2)C1 ZINC001149446743 773365233 /nfs/dbraw/zinc/36/52/33/773365233.db2.gz IQXDRAFFRGNGPD-ZIAGYGMSSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C[C@H]2CCOC2)C1 ZINC001149446743 773365241 /nfs/dbraw/zinc/36/52/41/773365241.db2.gz IQXDRAFFRGNGPD-ZIAGYGMSSA-N 1 2 316.829 1.373 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691728 773390466 /nfs/dbraw/zinc/39/04/66/773390466.db2.gz XHDPSUJJTBPLMC-MDZLAQPJSA-N 1 2 306.303 1.690 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691728 773390470 /nfs/dbraw/zinc/39/04/70/773390470.db2.gz XHDPSUJJTBPLMC-MDZLAQPJSA-N 1 2 306.303 1.690 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691728 773390476 /nfs/dbraw/zinc/39/04/76/773390476.db2.gz XHDPSUJJTBPLMC-MDZLAQPJSA-N 1 2 306.303 1.690 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691728 773390483 /nfs/dbraw/zinc/39/04/83/773390483.db2.gz XHDPSUJJTBPLMC-MDZLAQPJSA-N 1 2 306.303 1.690 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2[nH]cnc2C)C1 ZINC001073914334 773519641 /nfs/dbraw/zinc/51/96/41/773519641.db2.gz OZRXBXIGZYALSW-GFCCVEGCSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2[nH]cnc2C)C1 ZINC001073914334 773519645 /nfs/dbraw/zinc/51/96/45/773519645.db2.gz OZRXBXIGZYALSW-GFCCVEGCSA-N 1 2 312.801 1.291 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001073917247 773523226 /nfs/dbraw/zinc/52/32/26/773523226.db2.gz XZGADJZLXOXUGO-DZGCQCFKSA-N 1 2 316.405 1.109 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)nc1 ZINC001073972678 773565995 /nfs/dbraw/zinc/56/59/95/773565995.db2.gz ABMYMJDOABVWJX-HUUCEWRRSA-N 1 2 315.421 1.758 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)nc1 ZINC001073972678 773566001 /nfs/dbraw/zinc/56/60/01/773566001.db2.gz ABMYMJDOABVWJX-HUUCEWRRSA-N 1 2 315.421 1.758 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)nn1C ZINC001073972933 773566605 /nfs/dbraw/zinc/56/66/05/773566605.db2.gz KJEROWZYAYJMJK-CZUORRHYSA-N 1 2 317.437 1.701 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)nn1C ZINC001073972933 773566608 /nfs/dbraw/zinc/56/66/08/773566608.db2.gz KJEROWZYAYJMJK-CZUORRHYSA-N 1 2 317.437 1.701 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(F)F)C[C@@H]21 ZINC001074132900 773658729 /nfs/dbraw/zinc/65/87/29/773658729.db2.gz CAQGRXKWSSLVSA-STQMWFEESA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(F)F)C[C@@H]21 ZINC001074132900 773658733 /nfs/dbraw/zinc/65/87/33/773658733.db2.gz CAQGRXKWSSLVSA-STQMWFEESA-N 1 2 302.365 1.910 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3scnc3C)C[C@@H]21 ZINC001074180759 773702686 /nfs/dbraw/zinc/70/26/86/773702686.db2.gz IBRHCIWYHHAZMD-UONOGXRCSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3scnc3C)C[C@@H]21 ZINC001074180759 773702689 /nfs/dbraw/zinc/70/26/89/773702689.db2.gz IBRHCIWYHHAZMD-UONOGXRCSA-N 1 2 321.446 1.943 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@H]21 ZINC001074242516 773766635 /nfs/dbraw/zinc/76/66/35/773766635.db2.gz YYGDXUFEDWZTTI-OLZOCXBDSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@H]21 ZINC001074242516 773766637 /nfs/dbraw/zinc/76/66/37/773766637.db2.gz YYGDXUFEDWZTTI-OLZOCXBDSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccccc3)C[C@H]21 ZINC001074279258 773789602 /nfs/dbraw/zinc/78/96/02/773789602.db2.gz IVVRDHVWGAIDQH-QZTJIDSGSA-N 1 2 312.413 1.554 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccccc3)C[C@H]21 ZINC001074279258 773789605 /nfs/dbraw/zinc/78/96/05/773789605.db2.gz IVVRDHVWGAIDQH-QZTJIDSGSA-N 1 2 312.413 1.554 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]c(C)cc3C)C[C@H]21 ZINC001074280051 773790999 /nfs/dbraw/zinc/79/09/99/773790999.db2.gz WPWGKYYVAYGFFL-HZPDHXFCSA-N 1 2 315.417 1.570 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]c(C)cc3C)C[C@H]21 ZINC001074280051 773791004 /nfs/dbraw/zinc/79/10/04/773791004.db2.gz WPWGKYYVAYGFFL-HZPDHXFCSA-N 1 2 315.417 1.570 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]c3C)C[C@H]21 ZINC001074346281 773842770 /nfs/dbraw/zinc/84/27/70/773842770.db2.gz LVLASSGVTRPBCF-SJORKVTESA-N 1 2 315.417 1.570 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]c3C)C[C@H]21 ZINC001074346281 773842773 /nfs/dbraw/zinc/84/27/73/773842773.db2.gz LVLASSGVTRPBCF-SJORKVTESA-N 1 2 315.417 1.570 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccnc(C)c3)C[C@@H]21 ZINC001074366637 773858900 /nfs/dbraw/zinc/85/89/00/773858900.db2.gz XLRRUMBMYPQSSD-DLBZAZTESA-N 1 2 315.417 1.881 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccnc(C)c3)C[C@@H]21 ZINC001074366637 773858906 /nfs/dbraw/zinc/85/89/06/773858906.db2.gz XLRRUMBMYPQSSD-DLBZAZTESA-N 1 2 315.417 1.881 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C3CC3)C3CC3)C[C@@H]21 ZINC001074380939 773873539 /nfs/dbraw/zinc/87/35/39/773873539.db2.gz WVYAWRJLKQWWQP-DLBZAZTESA-N 1 2 316.445 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C3CC3)C3CC3)C[C@@H]21 ZINC001074380939 773873545 /nfs/dbraw/zinc/87/35/45/773873545.db2.gz WVYAWRJLKQWWQP-DLBZAZTESA-N 1 2 316.445 1.748 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c[nH]cc3C)C[C@@H]21 ZINC001074409705 773904892 /nfs/dbraw/zinc/90/48/92/773904892.db2.gz GRUAZYSVTCPNNP-JKSUJKDBSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3c[nH]cc3C)C[C@@H]21 ZINC001074409705 773904894 /nfs/dbraw/zinc/90/48/94/773904894.db2.gz GRUAZYSVTCPNNP-JKSUJKDBSA-N 1 2 303.406 1.815 20 30 DDEDLO C[C@@]12CN(CC#N)C[C@@H]1CN(C(=O)[C@@H]1CCCc3[nH+]c[nH]c31)C2 ZINC001092004059 773906681 /nfs/dbraw/zinc/90/66/81/773906681.db2.gz SIHDKLMKDFEXRA-XNJGSVPQSA-N 1 2 313.405 1.133 20 30 DDEDLO N#Cc1ccc(NC2CC(CNC(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001092212824 774008579 /nfs/dbraw/zinc/00/85/79/774008579.db2.gz IBTPTYJLYYJJQB-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)C1CC1)c1nccn12 ZINC001092358978 774074034 /nfs/dbraw/zinc/07/40/34/774074034.db2.gz KARUVAUTQHNYJD-TZMCWYRMSA-N 1 2 300.406 1.687 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC13CC3)c1nccn12 ZINC001092400981 774102118 /nfs/dbraw/zinc/10/21/18/774102118.db2.gz TYXBXIYBEUYTAK-ZIAGYGMSSA-N 1 2 310.401 1.278 20 30 DDEDLO C=CCCOCC(=O)N1CCN(c2nc(C)[nH+]c(C)c2C)CC1 ZINC001093159598 774459031 /nfs/dbraw/zinc/45/90/31/774459031.db2.gz KKGJAFRPOUXNLP-UHFFFAOYSA-N 1 2 318.421 1.643 20 30 DDEDLO Cc1cc(N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)nc(C2CC2)[nH+]1 ZINC001093254699 774577389 /nfs/dbraw/zinc/57/73/89/774577389.db2.gz BLQKPZLCYONMBA-HWWDLCQESA-N 1 2 324.428 1.873 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCCOCC3)CC2)C1 ZINC001093530763 774783278 /nfs/dbraw/zinc/78/32/78/774783278.db2.gz GPBMBYKQNDYBMZ-OAHLLOKOSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CCc3cn[nH]c3)CC2)C1 ZINC001093581511 774857306 /nfs/dbraw/zinc/85/73/06/774857306.db2.gz NMDLYADICUTQFD-UHFFFAOYSA-N 1 2 318.421 1.220 20 30 DDEDLO C[C@@H](CCNC(=O)Cn1cc[nH+]c1)Nc1cccc(F)c1C#N ZINC001099261744 774922278 /nfs/dbraw/zinc/92/22/78/774922278.db2.gz IQMYQKWHWOPQBF-LBPRGKRZSA-N 1 2 315.352 1.901 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099726248 775189187 /nfs/dbraw/zinc/18/91/87/775189187.db2.gz FRCGLKQBEZPDGR-IAGOWNOFSA-N 1 2 313.401 1.576 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099726248 775189195 /nfs/dbraw/zinc/18/91/95/775189195.db2.gz FRCGLKQBEZPDGR-IAGOWNOFSA-N 1 2 313.401 1.576 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)cn2)C[C@H]1O ZINC001099960513 775485378 /nfs/dbraw/zinc/48/53/78/775485378.db2.gz UTNPDMSPTMFRPE-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)cn2)C[C@H]1O ZINC001099960513 775485383 /nfs/dbraw/zinc/48/53/83/775485383.db2.gz UTNPDMSPTMFRPE-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CC=CCC3)nn2)C1 ZINC001094273962 775622290 /nfs/dbraw/zinc/62/22/90/775622290.db2.gz AXZOSSKGYGIHIW-ZDUSSCGKSA-N 1 2 301.394 1.293 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3CC[C@@H](F)C3)nn2)C1 ZINC001094313914 775669629 /nfs/dbraw/zinc/66/96/29/775669629.db2.gz IPFKWRVJNZXYPI-QWHCGFSZSA-N 1 2 321.400 1.465 20 30 DDEDLO C#CCCCCC(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094395805 775804860 /nfs/dbraw/zinc/80/48/60/775804860.db2.gz SZILHUORMZOHNV-UHFFFAOYSA-N 1 2 314.393 1.475 20 30 DDEDLO N#Cc1nccnc1NCCCNC(=O)c1cccc2[nH+]ccn21 ZINC001094667384 776149723 /nfs/dbraw/zinc/14/97/23/776149723.db2.gz NYPAWECSOWYLKH-UHFFFAOYSA-N 1 2 321.344 1.228 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[NH2+][C@H](C)c2noc(C)n2)nc1 ZINC001171585434 776333461 /nfs/dbraw/zinc/33/34/61/776333461.db2.gz UENCGIKULHRKTE-LLVKDONJSA-N 1 2 313.361 1.225 20 30 DDEDLO C[C@H](CC(=O)NCCCNc1ncccc1C#N)n1cc[nH+]c1 ZINC001094823301 776338104 /nfs/dbraw/zinc/33/81/04/776338104.db2.gz OEHYBXCWMHGOAM-CYBMUJFWSA-N 1 2 312.377 1.719 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001101001890 776810760 /nfs/dbraw/zinc/81/07/60/776810760.db2.gz CHKRGFWZQQFGDJ-ZDUSSCGKSA-N 1 2 324.388 1.170 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCN(CCC)c1cc[nH+]c(C)n1 ZINC001101243238 777007998 /nfs/dbraw/zinc/00/79/98/777007998.db2.gz UKAXXAIYTHSBFX-CABCVRRESA-N 1 2 318.421 1.709 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095116642 777125533 /nfs/dbraw/zinc/12/55/33/777125533.db2.gz XRHYJDIZFGTGEU-CZUORRHYSA-N 1 2 304.394 1.149 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001095175873 777203863 /nfs/dbraw/zinc/20/38/63/777203863.db2.gz OFONPOWLFYRKIQ-INIZCTEOSA-N 1 2 304.394 1.151 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H](C)CC)c1nccn12 ZINC001101625236 777319802 /nfs/dbraw/zinc/31/98/02/777319802.db2.gz BGVFSQJFQGJKJT-CABCVRRESA-N 1 2 314.433 1.915 20 30 DDEDLO N#Cc1cc(Nc2ccc(OCC[NH+]3CCOCC3)cc2)ccn1 ZINC001174430410 777475698 /nfs/dbraw/zinc/47/56/98/777475698.db2.gz XTNAYQZNOZAPIA-UHFFFAOYSA-N 1 2 324.384 1.830 20 30 DDEDLO N#Cc1ccsc1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001174490076 777483359 /nfs/dbraw/zinc/48/33/59/777483359.db2.gz VBASSOLZZAKERS-UHFFFAOYSA-N 1 2 303.391 1.892 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)c1cccc2[nH+]ccn21 ZINC001101855092 777608124 /nfs/dbraw/zinc/60/81/24/777608124.db2.gz YFSNZMCRBSPALF-UHFFFAOYSA-N 1 2 320.356 1.785 20 30 DDEDLO CN(CCNc1ccncc1C#N)C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001101947192 777713879 /nfs/dbraw/zinc/71/38/79/777713879.db2.gz DIAFDRJEVNGRQT-ZDUSSCGKSA-N 1 2 324.388 1.089 20 30 DDEDLO CCn1ncnc1C[N@H+]1C[C@@H](CNC(=O)C#CC(C)C)[C@H](C)C1 ZINC001101946865 777714461 /nfs/dbraw/zinc/71/44/61/777714461.db2.gz NGWRHTNDZZUNFV-HUUCEWRRSA-N 1 2 317.437 1.142 20 30 DDEDLO CCn1ncnc1C[N@@H+]1C[C@@H](CNC(=O)C#CC(C)C)[C@H](C)C1 ZINC001101946865 777714471 /nfs/dbraw/zinc/71/44/71/777714471.db2.gz NGWRHTNDZZUNFV-HUUCEWRRSA-N 1 2 317.437 1.142 20 30 DDEDLO Cc1nc(NC2(CNC(=O)Cc3c[nH+]cn3C)CC2)ccc1C#N ZINC001110182560 777716557 /nfs/dbraw/zinc/71/65/57/777716557.db2.gz MXUSMZKPDMQLAU-UHFFFAOYSA-N 1 2 324.388 1.299 20 30 DDEDLO C[C@@H](c1csnn1)[N@H+]1C[C@@H](CNC(=O)C#CC2CC2)[C@H](C)C1 ZINC001101953193 777725830 /nfs/dbraw/zinc/72/58/30/777725830.db2.gz OVSAINKHHTYZOE-MBNYWOFBSA-N 1 2 318.446 1.697 20 30 DDEDLO C[C@@H](c1csnn1)[N@@H+]1C[C@@H](CNC(=O)C#CC2CC2)[C@H](C)C1 ZINC001101953193 777725835 /nfs/dbraw/zinc/72/58/35/777725835.db2.gz OVSAINKHHTYZOE-MBNYWOFBSA-N 1 2 318.446 1.697 20 30 DDEDLO Cc1nc(NCCN(C)C(=O)CCc2c[nH]c[nH+]2)ccc1C#N ZINC001102021030 777803879 /nfs/dbraw/zinc/80/38/79/777803879.db2.gz BTWICMCXTCBWIE-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO Cc1nc(NCCN(C)C(=O)CCc2c[nH+]c[nH]2)ccc1C#N ZINC001102021030 777803890 /nfs/dbraw/zinc/80/38/90/777803890.db2.gz BTWICMCXTCBWIE-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO C#Cc1cc(Nc2cccc(S(=O)(=O)NC3CC3)c2)cc[nH+]1 ZINC001176099069 778021489 /nfs/dbraw/zinc/02/14/89/778021489.db2.gz LGQLSKDLHXRBPA-UHFFFAOYSA-N 1 2 313.382 1.669 20 30 DDEDLO Cc1nc(N2CC[C@@H](CCNC(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001102301948 778034521 /nfs/dbraw/zinc/03/45/21/778034521.db2.gz MVYSDAIAPMYLBK-XHDPSFHLSA-N 1 2 315.421 1.894 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(F)F)C[C@@H]21 ZINC001176883343 778291837 /nfs/dbraw/zinc/29/18/37/778291837.db2.gz XVNKLZHWOBQING-STQMWFEESA-N 1 2 318.364 1.146 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(F)F)C[C@@H]21 ZINC001176883343 778291842 /nfs/dbraw/zinc/29/18/42/778291842.db2.gz XVNKLZHWOBQING-STQMWFEESA-N 1 2 318.364 1.146 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCOC(C)C)C[C@@H]21 ZINC001176922575 778309578 /nfs/dbraw/zinc/30/95/78/778309578.db2.gz BGGWBMXMIDGBLJ-JKSUJKDBSA-N 1 2 308.422 1.127 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCOC(C)C)C[C@@H]21 ZINC001176922575 778309586 /nfs/dbraw/zinc/30/95/86/778309586.db2.gz BGGWBMXMIDGBLJ-JKSUJKDBSA-N 1 2 308.422 1.127 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)COC(C)(C)C)C[C@@H]21 ZINC001176988638 778344193 /nfs/dbraw/zinc/34/41/93/778344193.db2.gz JRIVEGVPPBVSNX-GJZGRUSLSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)COC(C)(C)C)C[C@@H]21 ZINC001176988638 778344198 /nfs/dbraw/zinc/34/41/98/778344198.db2.gz JRIVEGVPPBVSNX-GJZGRUSLSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C(/C)CC)C[C@H]21 ZINC001176998745 778381399 /nfs/dbraw/zinc/38/13/99/778381399.db2.gz CKXCIFDVSPXUHZ-HELSQHDTSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C(/C)CC)C[C@H]21 ZINC001176998745 778381400 /nfs/dbraw/zinc/38/14/00/778381400.db2.gz CKXCIFDVSPXUHZ-HELSQHDTSA-N 1 2 322.449 1.847 20 30 DDEDLO CN(C(=O)CCc1c[nH]c[nH+]1)[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001102766711 778381758 /nfs/dbraw/zinc/38/17/58/778381758.db2.gz HUBASKRMZCWWFJ-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(C(=O)CCc1c[nH+]c[nH]1)[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001102766711 778381763 /nfs/dbraw/zinc/38/17/63/778381763.db2.gz HUBASKRMZCWWFJ-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOCC=C)[C@H]2C1 ZINC001177007191 778387254 /nfs/dbraw/zinc/38/72/54/778387254.db2.gz XVRMFOKDDQZEDJ-DLBZAZTESA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2OCC[N@H+](CCOCC=C)[C@H]2C1 ZINC001177007191 778387262 /nfs/dbraw/zinc/38/72/62/778387262.db2.gz XVRMFOKDDQZEDJ-DLBZAZTESA-N 1 2 320.433 1.294 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC(=C)C)C[C@@H]21 ZINC001177025183 778398142 /nfs/dbraw/zinc/39/81/42/778398142.db2.gz OWKFFNLYBUXPRU-DLBZAZTESA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC(=C)C)C[C@@H]21 ZINC001177025183 778398152 /nfs/dbraw/zinc/39/81/52/778398152.db2.gz OWKFFNLYBUXPRU-DLBZAZTESA-N 1 2 322.449 1.847 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CCOC)C[C@H]21 ZINC001177117612 778432593 /nfs/dbraw/zinc/43/25/93/778432593.db2.gz ALNYFSZMICAYSQ-CVEARBPZSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CCOC)C[C@H]21 ZINC001177117612 778432596 /nfs/dbraw/zinc/43/25/96/778432596.db2.gz ALNYFSZMICAYSQ-CVEARBPZSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCOC(C)C)C[C@@H]21 ZINC001177161637 778469050 /nfs/dbraw/zinc/46/90/50/778469050.db2.gz FDTPUBYRFKRNRI-IRXDYDNUSA-N 1 2 322.449 1.517 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCOC(C)C)C[C@@H]21 ZINC001177161637 778469053 /nfs/dbraw/zinc/46/90/53/778469053.db2.gz FDTPUBYRFKRNRI-IRXDYDNUSA-N 1 2 322.449 1.517 20 30 DDEDLO C=CCCOCC(=O)NCCC[NH2+]Cc1nnsc1Cl ZINC001177264751 778523060 /nfs/dbraw/zinc/52/30/60/778523060.db2.gz XSXRFZLOKCVOCI-UHFFFAOYSA-N 1 2 318.830 1.380 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001103144331 778664804 /nfs/dbraw/zinc/66/48/04/778664804.db2.gz LPWPEINLVDPYAE-CHWSQXEVSA-N 1 2 311.389 1.774 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(N)=O)CCCC1 ZINC001110212663 778680606 /nfs/dbraw/zinc/68/06/06/778680606.db2.gz IUJBMRPAUNZLQM-RDBSUJKOSA-N 1 2 305.422 1.330 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(N)=O)CCCC1 ZINC001110212663 778680610 /nfs/dbraw/zinc/68/06/10/778680610.db2.gz IUJBMRPAUNZLQM-RDBSUJKOSA-N 1 2 305.422 1.330 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3[C@@H](C)C(N)=O)CCCC1 ZINC001110212803 778693682 /nfs/dbraw/zinc/69/36/82/778693682.db2.gz PKBDPXSWJHCEFL-XGUBFFRZSA-N 1 2 319.449 1.718 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3[C@@H](C)C(N)=O)CCCC1 ZINC001110212803 778693683 /nfs/dbraw/zinc/69/36/83/778693683.db2.gz PKBDPXSWJHCEFL-XGUBFFRZSA-N 1 2 319.449 1.718 20 30 DDEDLO N#Cc1cccnc1NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCCC1 ZINC001103461273 778878029 /nfs/dbraw/zinc/87/80/29/778878029.db2.gz WDRSNGRSVPOAJI-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H]1CCNC(=O)Cn1cc[nH+]c1 ZINC001103749430 779027953 /nfs/dbraw/zinc/02/79/53/779027953.db2.gz WLOPAUZSYVIHSY-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)[C@@H]1C ZINC001178599490 779110783 /nfs/dbraw/zinc/11/07/83/779110783.db2.gz GGCGBOCLZAASAI-STQMWFEESA-N 1 2 320.393 1.099 20 30 DDEDLO C[C@H](CNC(=O)Cc1c[nH+]cn1C)CNc1ncccc1C#N ZINC001104039658 779264360 /nfs/dbraw/zinc/26/43/60/779264360.db2.gz WQZQTTHQJHWLJW-GFCCVEGCSA-N 1 2 312.377 1.094 20 30 DDEDLO Cc1nsc(NC[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001104052738 779272406 /nfs/dbraw/zinc/27/24/06/779272406.db2.gz ILJVYPDBKVMGNV-VIFPVBQESA-N 1 2 318.406 1.453 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nncn1C)C2 ZINC001111648799 779416699 /nfs/dbraw/zinc/41/66/99/779416699.db2.gz PBUBPMVVFIWURX-BBRMVZONSA-N 1 2 303.410 1.252 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nncn1C)C2 ZINC001111648799 779416701 /nfs/dbraw/zinc/41/67/01/779416701.db2.gz PBUBPMVVFIWURX-BBRMVZONSA-N 1 2 303.410 1.252 20 30 DDEDLO CC(C)C#CC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnon1)C2 ZINC001111738864 779457758 /nfs/dbraw/zinc/45/77/58/779457758.db2.gz NRSLFZNRGBLBJC-BBRMVZONSA-N 1 2 302.378 1.200 20 30 DDEDLO CC(C)C#CC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnon1)C2 ZINC001111738864 779457762 /nfs/dbraw/zinc/45/77/62/779457762.db2.gz NRSLFZNRGBLBJC-BBRMVZONSA-N 1 2 302.378 1.200 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001111993217 779591943 /nfs/dbraw/zinc/59/19/43/779591943.db2.gz AJECGTKRHOFEQI-HIFRSBDPSA-N 1 2 318.421 1.851 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@](CO)(Nc2cc[nH+]c(C)n2)C1 ZINC001112226873 779654380 /nfs/dbraw/zinc/65/43/80/779654380.db2.gz SJTQQNWALLDYIE-MRXNPFEDSA-N 1 2 304.394 1.517 20 30 DDEDLO C[C@@H](CCCNc1ccc(C#N)nn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114983119 779802078 /nfs/dbraw/zinc/80/20/78/779802078.db2.gz CFHMZOQWSYUFOW-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115248754 779978406 /nfs/dbraw/zinc/97/84/06/779978406.db2.gz HPRPCLQRJHNZOG-OAHLLOKOSA-N 1 2 320.437 1.956 20 30 DDEDLO Cc1nsc(N[C@@H](C)CN(C)C(=O)Cn2cc[nH+]c2)c1C#N ZINC001115766706 780413043 /nfs/dbraw/zinc/41/30/43/780413043.db2.gz OPUZWWRWAYEJST-JTQLQIEISA-N 1 2 318.406 1.479 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC001117348338 780731320 /nfs/dbraw/zinc/73/13/20/780731320.db2.gz FTZNGHWAOGQYBC-ILXRZTDVSA-N 1 2 318.421 1.764 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1c2ccccc2CC[C@@H]1F ZINC001119377414 781428672 /nfs/dbraw/zinc/42/86/72/781428672.db2.gz GGUDTWSXGXURSX-DZKIICNBSA-N 1 2 320.364 1.575 20 30 DDEDLO C[C@@H](CN(C)C(=O)Cc1[nH]cc[nH+]1)Nc1cccc(F)c1C#N ZINC001119978295 781704875 /nfs/dbraw/zinc/70/48/75/781704875.db2.gz FQSQDHFOQPQVAO-NSHDSACASA-N 1 2 315.352 1.922 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)C1(CC(C)C)CCC1 ZINC001267206330 837533437 /nfs/dbraw/zinc/53/34/37/837533437.db2.gz WOHJHCBCLJZWTK-UHFFFAOYSA-N 1 2 307.438 1.000 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)C1(CC(C)C)CCC1 ZINC001267206330 837533443 /nfs/dbraw/zinc/53/34/43/837533443.db2.gz WOHJHCBCLJZWTK-UHFFFAOYSA-N 1 2 307.438 1.000 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCNC(=O)/C(C)=C/C)c1 ZINC001266266200 836010514 /nfs/dbraw/zinc/01/05/14/836010514.db2.gz VNBRAWQQFLSTOB-LHHJGKSTSA-N 1 2 313.401 1.621 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCNC(=O)/C(C)=C/C)c1 ZINC001266266200 836010523 /nfs/dbraw/zinc/01/05/23/836010523.db2.gz VNBRAWQQFLSTOB-LHHJGKSTSA-N 1 2 313.401 1.621 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cc(C)no2)C1 ZINC001266307523 836091539 /nfs/dbraw/zinc/09/15/39/836091539.db2.gz FZMQRUWYIFUWNG-KBPBESRZSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cc(C)no2)C1 ZINC001266307523 836091548 /nfs/dbraw/zinc/09/15/48/836091548.db2.gz FZMQRUWYIFUWNG-KBPBESRZSA-N 1 2 307.394 1.655 20 30 DDEDLO CCC(CC)(CC)C(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266486233 836335892 /nfs/dbraw/zinc/33/58/92/836335892.db2.gz MZJMZEURLFDBQX-AWEZNQCLSA-N 1 2 322.453 1.423 20 30 DDEDLO CCC(CC)(CC)C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266486233 836335905 /nfs/dbraw/zinc/33/59/05/836335905.db2.gz MZJMZEURLFDBQX-AWEZNQCLSA-N 1 2 322.453 1.423 20 30 DDEDLO CCC[N@H+](CCNC(=O)C#CC1CC1)Cc1snnc1C ZINC001266560161 836468961 /nfs/dbraw/zinc/46/89/61/836468961.db2.gz BVGDUZNXBXDOLA-UHFFFAOYSA-N 1 2 306.435 1.588 20 30 DDEDLO CCC[N@@H+](CCNC(=O)C#CC1CC1)Cc1snnc1C ZINC001266560161 836468968 /nfs/dbraw/zinc/46/89/68/836468968.db2.gz BVGDUZNXBXDOLA-UHFFFAOYSA-N 1 2 306.435 1.588 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC[N@H+](C)Cc1cc2n(n1)CCC2 ZINC001272004154 844300713 /nfs/dbraw/zinc/30/07/13/844300713.db2.gz BCSWQNAWFHACEW-UHFFFAOYSA-N 1 2 302.422 1.379 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC001272004154 844300726 /nfs/dbraw/zinc/30/07/26/844300726.db2.gz BCSWQNAWFHACEW-UHFFFAOYSA-N 1 2 302.422 1.379 20 30 DDEDLO Cc1c(F)cccc1C(=O)N(C)CC[NH+]1CCN(CC#N)CC1 ZINC001267571971 838332126 /nfs/dbraw/zinc/33/21/26/838332126.db2.gz CLTVSZKYTKHUCR-UHFFFAOYSA-N 1 2 318.396 1.347 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@@H]2C=CCCC2)CC1 ZINC001267573147 838337571 /nfs/dbraw/zinc/33/75/71/838337571.db2.gz KXQVADVFTUSHJV-QGZVFWFLSA-N 1 2 303.450 1.442 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](C[N@@H+](C)Cc2nncs2)C1 ZINC001267581167 838358625 /nfs/dbraw/zinc/35/86/25/838358625.db2.gz KHJXOPTUSOSXEL-CYBMUJFWSA-N 1 2 306.435 1.622 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](C[N@H+](C)Cc2nncs2)C1 ZINC001267581167 838358629 /nfs/dbraw/zinc/35/86/29/838358629.db2.gz KHJXOPTUSOSXEL-CYBMUJFWSA-N 1 2 306.435 1.622 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CCC[C@H](NC(=O)C#CC3CC3)C2)cn1 ZINC001267626047 838521304 /nfs/dbraw/zinc/52/13/04/838521304.db2.gz LODPOKJLQMXJGM-ZBFHGGJFSA-N 1 2 312.417 1.840 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CCC[C@H](NC(=O)C#CC3CC3)C2)cn1 ZINC001267626047 838521310 /nfs/dbraw/zinc/52/13/10/838521310.db2.gz LODPOKJLQMXJGM-ZBFHGGJFSA-N 1 2 312.417 1.840 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2C=CC=CC=C2)C1 ZINC001267627844 838526582 /nfs/dbraw/zinc/52/65/82/838526582.db2.gz HTKZAGOHQWGKIW-INIZCTEOSA-N 1 2 315.417 1.168 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2C=CC=CC=C2)C1 ZINC001267627844 838526586 /nfs/dbraw/zinc/52/65/86/838526586.db2.gz HTKZAGOHQWGKIW-INIZCTEOSA-N 1 2 315.417 1.168 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CC[C@@H](C)CC)C1 ZINC001267632298 838535850 /nfs/dbraw/zinc/53/58/50/838535850.db2.gz NEHPCGTYQQPTEP-GJZGRUSLSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CC[C@@H](C)CC)C1 ZINC001267632298 838535854 /nfs/dbraw/zinc/53/58/54/838535854.db2.gz NEHPCGTYQQPTEP-GJZGRUSLSA-N 1 2 307.438 1.143 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@H](C)CC(C)C)C1 ZINC001267633669 838539710 /nfs/dbraw/zinc/53/97/10/838539710.db2.gz JJSDOQITNIXJQY-CVEARBPZSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@H](C)CC(C)C)C1 ZINC001267633669 838539712 /nfs/dbraw/zinc/53/97/12/838539712.db2.gz JJSDOQITNIXJQY-CVEARBPZSA-N 1 2 323.481 1.942 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc(F)s2)C1 ZINC001267634612 838542857 /nfs/dbraw/zinc/54/28/57/838542857.db2.gz HKUYFVNCXDIBDV-LBPRGKRZSA-N 1 2 310.394 1.731 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2ccc(F)s2)C1 ZINC001267634612 838542863 /nfs/dbraw/zinc/54/28/63/838542863.db2.gz HKUYFVNCXDIBDV-LBPRGKRZSA-N 1 2 310.394 1.731 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1C[NH+](Cc2ccc(CCC)cc2)C1 ZINC001267645020 838564897 /nfs/dbraw/zinc/56/48/97/838564897.db2.gz JAKMQWDGJUKHDT-HNNXBMFYSA-N 1 2 314.429 1.978 20 30 DDEDLO CCOc1cccc(C[NH+]2CC(CNC(=O)C#CC3CC3)C2)n1 ZINC001267680409 838632701 /nfs/dbraw/zinc/63/27/01/838632701.db2.gz LBYZQQGXAOYHAH-UHFFFAOYSA-N 1 2 313.401 1.442 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@@H]2CCC[C@H]2CCC)C1 ZINC001267688796 838641523 /nfs/dbraw/zinc/64/15/23/838641523.db2.gz VUWPQFCGXJAZOZ-HZPDHXFCSA-N 1 2 321.465 1.553 20 30 DDEDLO C=CCCCC(=O)NCC1C[NH+](CC(=O)NC2CCCC2)C1 ZINC001267691548 838650445 /nfs/dbraw/zinc/65/04/45/838650445.db2.gz QSGADIBLUUTSQL-UHFFFAOYSA-N 1 2 307.438 1.450 20 30 DDEDLO CCCCCCC[C@@H](O)CC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC001272026395 844353572 /nfs/dbraw/zinc/35/35/72/844353572.db2.gz DCPBWKQEWJDSFP-OAGGEKHMSA-N 1 2 312.454 1.639 20 30 DDEDLO CCCCCCC[C@@H](O)CC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC001272026395 844353576 /nfs/dbraw/zinc/35/35/76/844353576.db2.gz DCPBWKQEWJDSFP-OAGGEKHMSA-N 1 2 312.454 1.639 20 30 DDEDLO CCCC[C@@H](C(N)=O)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)(C)C ZINC001267706336 838685171 /nfs/dbraw/zinc/68/51/71/838685171.db2.gz KHHHFBACQIYLQJ-KKUMJFAQSA-N 1 2 319.449 1.365 20 30 DDEDLO CCCC[C@@H](C(N)=O)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)(C)C ZINC001267706336 838685173 /nfs/dbraw/zinc/68/51/73/838685173.db2.gz KHHHFBACQIYLQJ-KKUMJFAQSA-N 1 2 319.449 1.365 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001267728696 838763244 /nfs/dbraw/zinc/76/32/44/838763244.db2.gz GRYQLBHRBOPEKC-ZBFHGGJFSA-N 1 2 319.449 1.285 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001267728696 838763248 /nfs/dbraw/zinc/76/32/48/838763248.db2.gz GRYQLBHRBOPEKC-ZBFHGGJFSA-N 1 2 319.449 1.285 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CC[C@H](N(CC)C(=O)C#CC(C)C)C1 ZINC001267784396 838971540 /nfs/dbraw/zinc/97/15/40/838971540.db2.gz PHUSEXVKSRDUAC-INIZCTEOSA-N 1 2 321.465 1.485 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CC[C@H](N(CC)C(=O)C#CC(C)C)C1 ZINC001267784396 838971546 /nfs/dbraw/zinc/97/15/46/838971546.db2.gz PHUSEXVKSRDUAC-INIZCTEOSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C[C@H](C)CCC)C1 ZINC001267913962 839186432 /nfs/dbraw/zinc/18/64/32/839186432.db2.gz IAFXHIBCBDERBJ-HUUCEWRRSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C[C@H](C)CCC)C1 ZINC001267913962 839186437 /nfs/dbraw/zinc/18/64/37/839186437.db2.gz IAFXHIBCBDERBJ-HUUCEWRRSA-N 1 2 307.438 1.143 20 30 DDEDLO Cc1nccnc1C[N@H+]1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001267930836 839213070 /nfs/dbraw/zinc/21/30/70/839213070.db2.gz BOHOFETYBQBNSE-OAHLLOKOSA-N 1 2 300.406 1.525 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001267930836 839213083 /nfs/dbraw/zinc/21/30/83/839213083.db2.gz BOHOFETYBQBNSE-OAHLLOKOSA-N 1 2 300.406 1.525 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@H]1C[N@H+](CCOCC2CC2)CCO1 ZINC001268021255 839394658 /nfs/dbraw/zinc/39/46/58/839394658.db2.gz CRNUTIMGAGKFMV-MRXNPFEDSA-N 1 2 322.449 1.280 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@H]1C[N@@H+](CCOCC2CC2)CCO1 ZINC001268021255 839394670 /nfs/dbraw/zinc/39/46/70/839394670.db2.gz CRNUTIMGAGKFMV-MRXNPFEDSA-N 1 2 322.449 1.280 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1C[N@H+](CCOC2CCC2)CCO1 ZINC001268024185 839411515 /nfs/dbraw/zinc/41/15/15/839411515.db2.gz ZPBQTIBHXQSOHR-MRXNPFEDSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1C[N@@H+](CCOC2CCC2)CCO1 ZINC001268024185 839411524 /nfs/dbraw/zinc/41/15/24/839411524.db2.gz ZPBQTIBHXQSOHR-MRXNPFEDSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)CS(=O)(=O)C(C)C ZINC001272044350 844433735 /nfs/dbraw/zinc/43/37/35/844433735.db2.gz QTTLCWGHHDRXBP-ZIAGYGMSSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)CS(=O)(=O)C(C)C ZINC001272044350 844433741 /nfs/dbraw/zinc/43/37/41/844433741.db2.gz QTTLCWGHHDRXBP-ZIAGYGMSSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H](C)C[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001268054845 839615701 /nfs/dbraw/zinc/61/57/01/839615701.db2.gz QKFFINJKPBFFTK-GFCCVEGCSA-N 1 2 324.425 1.506 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001268216378 839850301 /nfs/dbraw/zinc/85/03/01/839850301.db2.gz GIAWXBWJROOGAW-INIZCTEOSA-N 1 2 321.465 1.533 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001268216378 839850308 /nfs/dbraw/zinc/85/03/08/839850308.db2.gz GIAWXBWJROOGAW-INIZCTEOSA-N 1 2 321.465 1.533 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)CC[N@H+](C)CC(=O)NC(C)(C)C ZINC001268246409 839889305 /nfs/dbraw/zinc/88/93/05/839889305.db2.gz YWGOKCQQLBFHGD-AWEZNQCLSA-N 1 2 311.470 1.894 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)CC[N@@H+](C)CC(=O)NC(C)(C)C ZINC001268246409 839889308 /nfs/dbraw/zinc/88/93/08/839889308.db2.gz YWGOKCQQLBFHGD-AWEZNQCLSA-N 1 2 311.470 1.894 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C1C[C@H](C)C[C@@H](C)C1 ZINC001268259441 839911051 /nfs/dbraw/zinc/91/10/51/839911051.db2.gz LOTDHELFBJMBHD-HUUCEWRRSA-N 1 2 321.465 1.198 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)C1C[C@H](C)C[C@@H](C)C1 ZINC001268259441 839911054 /nfs/dbraw/zinc/91/10/54/839911054.db2.gz LOTDHELFBJMBHD-HUUCEWRRSA-N 1 2 321.465 1.198 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[N@H+](C)Cc1cc2n(n1)CCC2 ZINC001272080248 844560960 /nfs/dbraw/zinc/56/09/60/844560960.db2.gz LHPMFGPPDIIZNS-UHFFFAOYSA-N 1 2 304.438 1.932 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC001272080248 844560965 /nfs/dbraw/zinc/56/09/65/844560965.db2.gz LHPMFGPPDIIZNS-UHFFFAOYSA-N 1 2 304.438 1.932 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C[N@H+](C)CC(=O)Nc1ccon1 ZINC001268743293 840743112 /nfs/dbraw/zinc/74/31/12/840743112.db2.gz LIJRTVDQALZUNX-CYBMUJFWSA-N 1 2 320.393 1.243 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C[N@@H+](C)CC(=O)Nc1ccon1 ZINC001268743293 840743116 /nfs/dbraw/zinc/74/31/16/840743116.db2.gz LIJRTVDQALZUNX-CYBMUJFWSA-N 1 2 320.393 1.243 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CC(CNC(=O)CC2(C)CCCC2)C1 ZINC001268825171 840871870 /nfs/dbraw/zinc/87/18/70/840871870.db2.gz LZPWCEMKDNGWGL-CQSZACIVSA-N 1 2 319.449 1.143 20 30 DDEDLO CCC(CC)NC(=O)C[NH+]1CC(CNC(=O)C#CC(C)(C)C)C1 ZINC001268828717 840876397 /nfs/dbraw/zinc/87/63/97/840876397.db2.gz JZBNZWILEPRHIG-UHFFFAOYSA-N 1 2 321.465 1.389 20 30 DDEDLO C=C(C(=O)N1CC2(C1)C[NH+](Cc1cn[nH]c1)C2)C(F)(F)F ZINC001269004689 841107456 /nfs/dbraw/zinc/10/74/56/841107456.db2.gz MLOFNIIHKNWTSM-UHFFFAOYSA-N 1 2 300.284 1.172 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001269056226 841153648 /nfs/dbraw/zinc/15/36/48/841153648.db2.gz AHVSYCACASSQBK-KGLIPLIRSA-N 1 2 318.421 1.778 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001269056226 841153656 /nfs/dbraw/zinc/15/36/56/841153656.db2.gz AHVSYCACASSQBK-KGLIPLIRSA-N 1 2 318.421 1.778 20 30 DDEDLO CCn1ccc(C(=O)NC[C@H]2CC[N@@H+]2CC#CCOC)c1C ZINC001269278748 841461157 /nfs/dbraw/zinc/46/11/57/841461157.db2.gz OSHZHVVHAMDKBC-OAHLLOKOSA-N 1 2 303.406 1.270 20 30 DDEDLO CCn1ccc(C(=O)NC[C@H]2CC[N@H+]2CC#CCOC)c1C ZINC001269278748 841461162 /nfs/dbraw/zinc/46/11/62/841461162.db2.gz OSHZHVVHAMDKBC-OAHLLOKOSA-N 1 2 303.406 1.270 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)C(C)(CC)CC)C1=O ZINC001269344508 841547613 /nfs/dbraw/zinc/54/76/13/841547613.db2.gz UXBTZDRIRVUOID-GJZGRUSLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)C(C)(CC)CC)C1=O ZINC001269344508 841547624 /nfs/dbraw/zinc/54/76/24/841547624.db2.gz UXBTZDRIRVUOID-GJZGRUSLSA-N 1 2 321.465 1.790 20 30 DDEDLO CC[C@H](CNC(=O)C#CC1CC1)[NH2+][C@@H](C)c1nc(COC)no1 ZINC001269402165 841609919 /nfs/dbraw/zinc/60/99/19/841609919.db2.gz JIRFCCVQPVVXST-WCQYABFASA-N 1 2 320.393 1.175 20 30 DDEDLO COCC#CC[NH2+]C[C@](C)(NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001269618584 841832579 /nfs/dbraw/zinc/83/25/79/841832579.db2.gz DWGQIFXLGUEAQW-AAEUAGOBSA-N 1 2 324.318 1.411 20 30 DDEDLO COCC#CC[NH2+]C[C@](C)(NC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001269618584 841832594 /nfs/dbraw/zinc/83/25/94/841832594.db2.gz DWGQIFXLGUEAQW-AAEUAGOBSA-N 1 2 324.318 1.411 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)COc2cccc(Cl)c2)C1 ZINC001269886905 842133251 /nfs/dbraw/zinc/13/32/51/842133251.db2.gz PNTBFJXSRZOPBL-UHFFFAOYSA-N 1 2 306.793 1.885 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@](C)(NC(=O)c2cc(C)cs2)C1 ZINC001270585017 842688364 /nfs/dbraw/zinc/68/83/64/842688364.db2.gz WSEXEVWMJIKNAO-INIZCTEOSA-N 1 2 321.446 1.553 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@](C)(NC(=O)c2cc(C)cs2)C1 ZINC001270585017 842688369 /nfs/dbraw/zinc/68/83/69/842688369.db2.gz WSEXEVWMJIKNAO-INIZCTEOSA-N 1 2 321.446 1.553 20 30 DDEDLO CC(C)C#CC(=O)N[C@]1(C)CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001270581977 842691100 /nfs/dbraw/zinc/69/11/00/842691100.db2.gz CQHCUWSJJPIKGU-WMLDXEAASA-N 1 2 300.406 1.778 20 30 DDEDLO CC(C)C#CC(=O)N[C@]1(C)CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001270581977 842691103 /nfs/dbraw/zinc/69/11/03/842691103.db2.gz CQHCUWSJJPIKGU-WMLDXEAASA-N 1 2 300.406 1.778 20 30 DDEDLO CC#CC(=O)N1CC2(C[C@@H]2C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001271088651 843196671 /nfs/dbraw/zinc/19/66/71/843196671.db2.gz LACMVVBFNRDCJA-GFCCVEGCSA-N 1 2 308.341 1.145 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@]1(O)CC[N@H+](Cc2ccn(C)n2)C1 ZINC001271184061 843391280 /nfs/dbraw/zinc/39/12/80/843391280.db2.gz HZANTYLMDZSTTR-IRXDYDNUSA-N 1 2 320.437 1.075 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@]1(O)CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001271184061 843391288 /nfs/dbraw/zinc/39/12/88/843391288.db2.gz HZANTYLMDZSTTR-IRXDYDNUSA-N 1 2 320.437 1.075 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O ZINC001154751015 861422664 /nfs/dbraw/zinc/42/26/64/861422664.db2.gz IYCFXAMKQYRVMP-KGLIPLIRSA-N 1 2 318.483 1.460 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O ZINC001154751015 861422669 /nfs/dbraw/zinc/42/26/69/861422669.db2.gz IYCFXAMKQYRVMP-KGLIPLIRSA-N 1 2 318.483 1.460 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(CC)nn1 ZINC001154928295 861574167 /nfs/dbraw/zinc/57/41/67/861574167.db2.gz VUNWPAMJEPOBOJ-CQSZACIVSA-N 1 2 307.442 1.981 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(CC)nn1 ZINC001154928295 861574171 /nfs/dbraw/zinc/57/41/71/861574171.db2.gz VUNWPAMJEPOBOJ-CQSZACIVSA-N 1 2 307.442 1.981 20 30 DDEDLO Cc1nc(N(C)C)nc(NC[C@@H](C)NC(=O)C#CC(C)(C)C)[nH+]1 ZINC001107719952 846838229 /nfs/dbraw/zinc/83/82/29/846838229.db2.gz JGJCKMNVELSNIH-LLVKDONJSA-N 1 2 318.425 1.212 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccccn2)[nH]1 ZINC001032362822 847043942 /nfs/dbraw/zinc/04/39/42/847043942.db2.gz VXBUEJJUTXNXFN-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccccn2)[nH]1 ZINC001032362822 847043954 /nfs/dbraw/zinc/04/39/54/847043954.db2.gz VXBUEJJUTXNXFN-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@]1(C)C[N@H+](Cc2ccc(F)cc2)CCO1 ZINC001107818886 847195155 /nfs/dbraw/zinc/19/51/55/847195155.db2.gz HWDPNDRRXOHFPJ-GUYCJALGSA-N 1 2 319.380 1.692 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@]1(C)C[N@@H+](Cc2ccc(F)cc2)CCO1 ZINC001107818886 847195160 /nfs/dbraw/zinc/19/51/60/847195160.db2.gz HWDPNDRRXOHFPJ-GUYCJALGSA-N 1 2 319.380 1.692 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]1(C)C[N@H+](Cc2ccsc2)CCO1 ZINC001107820778 847221163 /nfs/dbraw/zinc/22/11/63/847221163.db2.gz CLGGTSWXCOYXOA-IUODEOHRSA-N 1 2 307.419 1.615 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]1(C)C[N@@H+](Cc2ccsc2)CCO1 ZINC001107820778 847221176 /nfs/dbraw/zinc/22/11/76/847221176.db2.gz CLGGTSWXCOYXOA-IUODEOHRSA-N 1 2 307.419 1.615 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnccc2C)C1 ZINC001107837353 847272898 /nfs/dbraw/zinc/27/28/98/847272898.db2.gz JKYQJZTUHBDMIB-KRWDZBQOSA-N 1 2 301.390 1.234 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnccc2C)C1 ZINC001107837353 847272904 /nfs/dbraw/zinc/27/29/04/847272904.db2.gz JKYQJZTUHBDMIB-KRWDZBQOSA-N 1 2 301.390 1.234 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2ccc(Cl)nc2)C1=O ZINC001272875081 847576493 /nfs/dbraw/zinc/57/64/93/847576493.db2.gz IUBBSUXKISWPBT-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2ccc(Cl)nc2)C1=O ZINC001272875081 847576500 /nfs/dbraw/zinc/57/65/00/847576500.db2.gz IUBBSUXKISWPBT-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO Cc1c[nH]c(C[N@@H+]2CC[C@@H]3[C@H]2CCC(=O)N3CCCC#N)n1 ZINC001272908352 847620839 /nfs/dbraw/zinc/62/08/39/847620839.db2.gz SKYGTYUINVYUPN-ZIAGYGMSSA-N 1 2 301.394 1.587 20 30 DDEDLO Cc1c[nH]c(C[N@H+]2CC[C@@H]3[C@H]2CCC(=O)N3CCCC#N)n1 ZINC001272908352 847620844 /nfs/dbraw/zinc/62/08/44/847620844.db2.gz SKYGTYUINVYUPN-ZIAGYGMSSA-N 1 2 301.394 1.587 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1[nH]c(C)cc1C)C2 ZINC001273185756 848652271 /nfs/dbraw/zinc/65/22/71/848652271.db2.gz XTDGNRSKOYJYIS-UHFFFAOYSA-N 1 2 303.406 1.621 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1COC2(C[NH+](C[C@H](C)OC)C2)C1 ZINC001327388758 862130633 /nfs/dbraw/zinc/13/06/33/862130633.db2.gz XAJDAAGWBPMTCP-GJZGRUSLSA-N 1 2 308.422 1.174 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)CC(F)(F)C(F)F)CO2 ZINC001327411332 862153154 /nfs/dbraw/zinc/15/31/54/862153154.db2.gz GAUWOEPHDOYUGP-SNVBAGLBSA-N 1 2 322.302 1.260 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001110555086 851417282 /nfs/dbraw/zinc/41/72/82/851417282.db2.gz OCTOEWNYWVLMGX-AWEZNQCLSA-N 1 2 302.378 1.228 20 30 DDEDLO C[C@H](C#N)C(=O)NC/C=C\C[NH2+]Cc1nc2c(o1)CCCC2 ZINC001273909613 851494099 /nfs/dbraw/zinc/49/40/99/851494099.db2.gz JBJOLTRIWGACHL-QMAVJUDZSA-N 1 2 302.378 1.475 20 30 DDEDLO CC1(C)OC[C@H](CNC(=O)[C@H]2CC23CC[NH+](CCC#N)CC3)O1 ZINC001274031254 851869768 /nfs/dbraw/zinc/86/97/68/851869768.db2.gz LVAUVOSPFYJFRS-UONOGXRCSA-N 1 2 321.421 1.270 20 30 DDEDLO C=CCN1C[C@H](C(=O)OCC)C2(CC[NH+](CCC#N)CC2)C1=O ZINC001274031070 851869995 /nfs/dbraw/zinc/86/99/95/851869995.db2.gz GWSNUFOQNFOOMO-CQSZACIVSA-N 1 2 319.405 1.190 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)CO[C@@H](C)CC)C2)CC1 ZINC001280676828 852194989 /nfs/dbraw/zinc/19/49/89/852194989.db2.gz CPTMOWFPMHHDDF-IRXDYDNUSA-N 1 2 323.481 1.596 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001329025942 863428228 /nfs/dbraw/zinc/42/82/28/863428228.db2.gz DSIBUIKFJPDTPL-OLZOCXBDSA-N 1 2 320.441 1.070 20 30 DDEDLO Cn1cc(CN2CC3(C2)CCC[N@H+](Cc2cc[nH]n2)C3)cc1C#N ZINC001275202947 852889134 /nfs/dbraw/zinc/88/91/34/852889134.db2.gz UEIHLELGDWRLLS-UHFFFAOYSA-N 1 2 324.432 1.718 20 30 DDEDLO Cn1cc(CN2CC3(C2)CCC[N@@H+](Cc2cc[nH]n2)C3)cc1C#N ZINC001275202947 852889138 /nfs/dbraw/zinc/88/91/38/852889138.db2.gz UEIHLELGDWRLLS-UHFFFAOYSA-N 1 2 324.432 1.718 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1C[C@H]2C[C@@H](C1)N(Cc1ccc(C#N)cc1)C2 ZINC001275829338 853830995 /nfs/dbraw/zinc/83/09/95/853830995.db2.gz WXZCHEZVJKFMRV-SJORKVTESA-N 1 2 312.417 1.153 20 30 DDEDLO CN(C)C(=O)C[N@H+]1C[C@H]2C[C@@H](C1)N(Cc1ccc(C#N)cc1)C2 ZINC001275829338 853830998 /nfs/dbraw/zinc/83/09/98/853830998.db2.gz WXZCHEZVJKFMRV-SJORKVTESA-N 1 2 312.417 1.153 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001327846147 862509127 /nfs/dbraw/zinc/50/91/27/862509127.db2.gz XSLGVZPTTNYKBR-QWHCGFSZSA-N 1 2 304.394 1.372 20 30 DDEDLO N#Cc1ccccc1CN1C[C@@H]2C[N@@H+](Cc3ccc[nH]3)C[C@H](C1)O2 ZINC001275965760 854029936 /nfs/dbraw/zinc/02/99/36/854029936.db2.gz QHTYGTZJOOVRSN-KDURUIRLSA-N 1 2 322.412 1.972 20 30 DDEDLO N#Cc1ccccc1CN1C[C@@H]2C[N@H+](Cc3ccc[nH]3)C[C@H](C1)O2 ZINC001275965760 854029940 /nfs/dbraw/zinc/02/99/40/854029940.db2.gz QHTYGTZJOOVRSN-KDURUIRLSA-N 1 2 322.412 1.972 20 30 DDEDLO C#CCOCCC(=O)N1C[C@@H](C)[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111253854 855284547 /nfs/dbraw/zinc/28/45/47/855284547.db2.gz ZJXJXUMJWWOYRW-HIFRSBDPSA-N 1 2 316.405 1.331 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H](C)[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111253852 855284760 /nfs/dbraw/zinc/28/47/60/855284760.db2.gz ZJXJXUMJWWOYRW-DZGCQCFKSA-N 1 2 316.405 1.331 20 30 DDEDLO CN(CCCNC(=O)Cc1c[nH]c[nH+]1)c1cccc(F)c1C#N ZINC001095746047 855288250 /nfs/dbraw/zinc/28/82/50/855288250.db2.gz FWELMZHEIOEDDQ-UHFFFAOYSA-N 1 2 315.352 1.606 20 30 DDEDLO Cn1cc(CN2C[C@H]3C[C@@H](C2)[N@H+]3Cc2ccc(=O)[nH]c2)cc1C#N ZINC001276346652 855695532 /nfs/dbraw/zinc/69/55/32/855695532.db2.gz DSLQFKGCBVMEHG-CALCHBBNSA-N 1 2 323.400 1.456 20 30 DDEDLO Cn1cc(CN2C[C@H]3C[C@@H](C2)[N@@H+]3Cc2ccc(=O)[nH]c2)cc1C#N ZINC001276346652 855695535 /nfs/dbraw/zinc/69/55/35/855695535.db2.gz DSLQFKGCBVMEHG-CALCHBBNSA-N 1 2 323.400 1.456 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2nncn2C)[C@@H](C)C1 ZINC001328266492 862843796 /nfs/dbraw/zinc/84/37/96/862843796.db2.gz JNRRATDYEDQBCE-KBPBESRZSA-N 1 2 305.426 1.641 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nncn2C)[C@@H](C)C1 ZINC001328266492 862843809 /nfs/dbraw/zinc/84/38/09/862843809.db2.gz JNRRATDYEDQBCE-KBPBESRZSA-N 1 2 305.426 1.641 20 30 DDEDLO N#Cc1cncc(CNC(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)c1 ZINC001413613226 857236108 /nfs/dbraw/zinc/23/61/08/857236108.db2.gz IZVYDGWMRKDRKT-LBPRGKRZSA-N 1 2 323.278 1.960 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)C1C[NH+](Cc2nc(C)cs2)C1 ZINC001276383119 857280221 /nfs/dbraw/zinc/28/02/21/857280221.db2.gz YHEDCLCMOGVMEG-CYBMUJFWSA-N 1 2 321.446 1.428 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072563881 857454695 /nfs/dbraw/zinc/45/46/95/857454695.db2.gz PJIWMSYCWFIKCN-UKRRQHHQSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072639717 857544483 /nfs/dbraw/zinc/54/44/83/857544483.db2.gz ZZIOPRYVZKCGCV-TZMCWYRMSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC2(CN(C(=O)CCc3cn[nH]n3)C2)C1 ZINC001072995862 857980243 /nfs/dbraw/zinc/98/02/43/857980243.db2.gz CKWTXKBRINEFAH-UHFFFAOYSA-N 1 2 309.801 1.024 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC2(CN(C(=O)CCc3cn[nH]n3)C2)C1 ZINC001072995862 857980245 /nfs/dbraw/zinc/98/02/45/857980245.db2.gz CKWTXKBRINEFAH-UHFFFAOYSA-N 1 2 309.801 1.024 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC2(CN(C(=O)CCc3c[nH]nn3)C2)C1 ZINC001072995862 857980247 /nfs/dbraw/zinc/98/02/47/857980247.db2.gz CKWTXKBRINEFAH-UHFFFAOYSA-N 1 2 309.801 1.024 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC2(CN(C(=O)CCc3c[nH]nn3)C2)C1 ZINC001072995862 857980249 /nfs/dbraw/zinc/98/02/49/857980249.db2.gz CKWTXKBRINEFAH-UHFFFAOYSA-N 1 2 309.801 1.024 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@@H](CF)C1 ZINC001122490576 858849149 /nfs/dbraw/zinc/84/91/49/858849149.db2.gz OSJWNODCZNKOMO-ZDUSSCGKSA-N 1 2 318.400 1.974 20 30 DDEDLO Cc1nc(NC[C@@H]2CC[C@@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001123784007 859411667 /nfs/dbraw/zinc/41/16/67/859411667.db2.gz AXMGGZOCMCGKFM-CHWSQXEVSA-N 1 2 319.434 1.739 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[NH2+]Cc1nc(-c2cccs2)no1 ZINC001124902639 859842889 /nfs/dbraw/zinc/84/28/89/859842889.db2.gz LMLJPPRFIKQRCJ-SECBINFHSA-N 1 2 305.363 1.164 20 30 DDEDLO Cc1cc(C)c(CNC(=O)C(=O)N2CCC[C@@](C)(C#N)C2)c[nH+]1 ZINC001325950505 860984348 /nfs/dbraw/zinc/98/43/48/860984348.db2.gz PRFADFJMFXKYEO-KRWDZBQOSA-N 1 2 314.389 1.467 20 30 DDEDLO Cc1ccnc(C[NH2+]CCNC(=O)CC#Cc2ccccc2)n1 ZINC001151953242 863077469 /nfs/dbraw/zinc/07/74/69/863077469.db2.gz WGJJKCGZZBSRPT-UHFFFAOYSA-N 1 2 308.385 1.433 20 30 DDEDLO C[C@@H](CN(C)C(=O)C#CC(C)(C)C)[NH2+]Cc1nnc(C2CC2)o1 ZINC001331438495 865084293 /nfs/dbraw/zinc/08/42/93/865084293.db2.gz HLNDDASANQTIHZ-LBPRGKRZSA-N 1 2 318.421 1.933 20 30 DDEDLO CCn1cc(C[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)nn1 ZINC001159587534 865414855 /nfs/dbraw/zinc/41/48/55/865414855.db2.gz SVUZJKSUGZHDKT-CYBMUJFWSA-N 1 2 316.409 1.096 20 30 DDEDLO CCn1cc(C[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)nn1 ZINC001159587534 865414863 /nfs/dbraw/zinc/41/48/63/865414863.db2.gz SVUZJKSUGZHDKT-CYBMUJFWSA-N 1 2 316.409 1.096 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC1(SC)CCCCC1 ZINC001332105438 865579788 /nfs/dbraw/zinc/57/97/88/865579788.db2.gz KTFUFMJOUFXYNV-LBPRGKRZSA-N 1 2 314.451 1.615 20 30 DDEDLO CCn1nncc1C[N@@H+](C)CCN(C(=O)C#CC(C)C)C(C)C ZINC001332700865 866077559 /nfs/dbraw/zinc/07/75/59/866077559.db2.gz YZMVYWZCMPKYHY-UHFFFAOYSA-N 1 2 319.453 1.626 20 30 DDEDLO CCn1nncc1C[N@H+](C)CCN(C(=O)C#CC(C)C)C(C)C ZINC001332700865 866077544 /nfs/dbraw/zinc/07/75/44/866077544.db2.gz YZMVYWZCMPKYHY-UHFFFAOYSA-N 1 2 319.453 1.626 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)C[C@]3(CCSC3)C2=O)C1 ZINC001320029394 866435823 /nfs/dbraw/zinc/43/58/23/866435823.db2.gz CMZDWEPRWYCHND-DOMZBBRYSA-N 1 2 310.419 1.103 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)C[C@]3(CCSC3)C2=O)C1 ZINC001320029394 866435830 /nfs/dbraw/zinc/43/58/30/866435830.db2.gz CMZDWEPRWYCHND-DOMZBBRYSA-N 1 2 310.419 1.103 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCCC ZINC001323280498 866496474 /nfs/dbraw/zinc/49/64/74/866496474.db2.gz ZISMBDPMKMNBSN-KGLIPLIRSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCCC ZINC001323280498 866496478 /nfs/dbraw/zinc/49/64/78/866496478.db2.gz ZISMBDPMKMNBSN-KGLIPLIRSA-N 1 2 309.454 1.694 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2ccc(O)cc2O)CC1 ZINC001320375725 866687975 /nfs/dbraw/zinc/68/79/75/866687975.db2.gz YCKQNZSHOUYPBI-UHFFFAOYSA-N 1 2 317.341 1.169 20 30 DDEDLO C=C(C)CCC(=O)NC1CC(CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001333715127 866958316 /nfs/dbraw/zinc/95/83/16/866958316.db2.gz SRIJDDKBOLUOTH-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO N#Cc1cccc(-c2nc(NC(=O)CCc3[nH]cc[nH+]3)n[nH]2)c1 ZINC001161914348 867097822 /nfs/dbraw/zinc/09/78/22/867097822.db2.gz QJNXQDPQTYVUEM-UHFFFAOYSA-N 1 2 307.317 1.638 20 30 DDEDLO C=CCOCC(=O)NC1(C)CC[NH+](Cc2cnns2)CC1 ZINC001324301759 867190341 /nfs/dbraw/zinc/19/03/41/867190341.db2.gz PTTGAPNRSRWVOS-UHFFFAOYSA-N 1 2 310.423 1.211 20 30 DDEDLO C#CCN(C(=O)[C@@H](F)CC)C1CC[NH+](Cc2ncccn2)CC1 ZINC001324412783 867264764 /nfs/dbraw/zinc/26/47/64/867264764.db2.gz FZZWGYHEYRQQDW-HNNXBMFYSA-N 1 2 318.396 1.651 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC/C=C/C[NH2+]Cc1nc(C)c(C)o1 ZINC001321057834 867274239 /nfs/dbraw/zinc/27/42/39/867274239.db2.gz TVKAVQROKKTNBE-UZYOAWRESA-N 1 2 305.378 1.092 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001324559757 867355178 /nfs/dbraw/zinc/35/51/78/867355178.db2.gz JGGPTISFGYAAEN-SJORKVTESA-N 1 2 320.481 1.801 20 30 DDEDLO C#CCOCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2ccn(CC)n2)C1 ZINC001324614577 867407589 /nfs/dbraw/zinc/40/75/89/867407589.db2.gz JCCCEHUMPWVFLX-KRWDZBQOSA-N 1 2 318.421 1.024 20 30 DDEDLO C#CCOCCC(=O)N[C@@]1(C)CC[N@H+](Cc2ccn(CC)n2)C1 ZINC001324614577 867407597 /nfs/dbraw/zinc/40/75/97/867407597.db2.gz JCCCEHUMPWVFLX-KRWDZBQOSA-N 1 2 318.421 1.024 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@@H]1CCn2ccnc2C1 ZINC001381540558 882120313 /nfs/dbraw/zinc/12/03/13/882120313.db2.gz MANJQILBSAGMOX-CHWSQXEVSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@@H]1CCn2ccnc2C1 ZINC001381540558 882120326 /nfs/dbraw/zinc/12/03/26/882120326.db2.gz MANJQILBSAGMOX-CHWSQXEVSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)CSC)CC1 ZINC001225938396 882121013 /nfs/dbraw/zinc/12/10/13/882121013.db2.gz YRLFUYIGBRQYIS-UHFFFAOYSA-N 1 2 307.257 1.839 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]([NH3+])CNc1ncc(CC#N)cc1Cl ZINC001162856030 867877974 /nfs/dbraw/zinc/87/79/74/867877974.db2.gz UFXWADOBZZDPOQ-NSHDSACASA-N 1 2 310.785 1.882 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001335107631 868070986 /nfs/dbraw/zinc/07/09/86/868070986.db2.gz RVUHOXOCFAKTGI-IAGOWNOFSA-N 1 2 317.433 1.879 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001335107631 868071001 /nfs/dbraw/zinc/07/10/01/868071001.db2.gz RVUHOXOCFAKTGI-IAGOWNOFSA-N 1 2 317.433 1.879 20 30 DDEDLO C#CCN(C(=O)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1)C(C)C ZINC001335123723 868079664 /nfs/dbraw/zinc/07/96/64/868079664.db2.gz ZMLUEYMIASLPHS-GJZGRUSLSA-N 1 2 316.405 1.163 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CCc2ccc(C)cc2)CC1 ZINC001322646030 868301921 /nfs/dbraw/zinc/30/19/21/868301921.db2.gz WQJVDYRQECSTES-UHFFFAOYSA-N 1 2 320.458 1.508 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)c1ccc(Br)cc1C#N ZINC001361718207 882223609 /nfs/dbraw/zinc/22/36/09/882223609.db2.gz CCAWMKQBJNAHQA-UHFFFAOYSA-N 1 2 319.162 1.984 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)Nc1nccc(C#N)c1[N+](=O)[O-] ZINC001164177055 868994900 /nfs/dbraw/zinc/99/49/00/868994900.db2.gz MNGACEITZZYHBS-LBPRGKRZSA-N 1 2 305.338 1.384 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001337130749 869337783 /nfs/dbraw/zinc/33/77/83/869337783.db2.gz ZLXTZNKCWORWLS-AWEZNQCLSA-N 1 2 304.394 1.040 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)CN(C)C(=O)CCc1c[nH+]cn1C ZINC001337374374 869459069 /nfs/dbraw/zinc/45/90/69/869459069.db2.gz BQMMNOWKPOPPNO-CQSZACIVSA-N 1 2 320.437 1.672 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(Cc2cncn2C)CC1 ZINC001316964626 870002650 /nfs/dbraw/zinc/00/26/50/870002650.db2.gz CUZQMGGWONVFRZ-UHFFFAOYSA-N 1 2 319.453 1.010 20 30 DDEDLO Cc1c(C(=O)NCC[NH+]2CCN(CC#N)CC2)ccn1C(C)C ZINC001316966384 870004926 /nfs/dbraw/zinc/00/49/26/870004926.db2.gz ASUNOGLFPJJVEQ-UHFFFAOYSA-N 1 2 317.437 1.248 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2cc(Cl)cs2)C1 ZINC001316989074 870079157 /nfs/dbraw/zinc/07/91/57/870079157.db2.gz PTNJMOMORRDCQG-LBPRGKRZSA-N 1 2 312.822 1.855 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2cc(Cl)cs2)C1 ZINC001316989074 870079171 /nfs/dbraw/zinc/07/91/71/870079171.db2.gz PTNJMOMORRDCQG-LBPRGKRZSA-N 1 2 312.822 1.855 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NCC)C2)CCCCC1 ZINC001316993852 870093662 /nfs/dbraw/zinc/09/36/62/870093662.db2.gz FLKKVXGTSVFSIR-OAHLLOKOSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CC[N@H+](CC(=O)NCC)C2)CCCCC1 ZINC001316993852 870093667 /nfs/dbraw/zinc/09/36/67/870093667.db2.gz FLKKVXGTSVFSIR-OAHLLOKOSA-N 1 2 319.449 1.287 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC001317046618 870161825 /nfs/dbraw/zinc/16/18/25/870161825.db2.gz YGIDXIBINUIMTQ-GJZGRUSLSA-N 1 2 315.421 1.139 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@@H](C)C1 ZINC001317050135 870166144 /nfs/dbraw/zinc/16/61/44/870166144.db2.gz NZBMUPZETWHUHD-LUKYLMHMSA-N 1 2 320.433 1.127 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@@H](C)C1 ZINC001317050135 870166148 /nfs/dbraw/zinc/16/61/48/870166148.db2.gz NZBMUPZETWHUHD-LUKYLMHMSA-N 1 2 320.433 1.127 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C(C)C ZINC001317050895 870167715 /nfs/dbraw/zinc/16/77/15/870167715.db2.gz WYGROHRAXYYBNS-FPCVCCKLSA-N 1 2 321.465 1.644 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C(C)C ZINC001317050895 870167718 /nfs/dbraw/zinc/16/77/18/870167718.db2.gz WYGROHRAXYYBNS-FPCVCCKLSA-N 1 2 321.465 1.644 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)CC(C)C)n2CC=C)CC1 ZINC001338934925 870249907 /nfs/dbraw/zinc/24/99/07/870249907.db2.gz FDDAOMZFVOZSHC-OAHLLOKOSA-N 1 2 317.437 1.299 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)COC[C@@H]1CCCO1 ZINC001381633438 882391977 /nfs/dbraw/zinc/39/19/77/882391977.db2.gz AXPRVILJEBYAFW-STQMWFEESA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)COC[C@@H]1CCCO1 ZINC001381633438 882391995 /nfs/dbraw/zinc/39/19/95/882391995.db2.gz AXPRVILJEBYAFW-STQMWFEESA-N 1 2 304.818 1.371 20 30 DDEDLO CCc1noc(C[N@@H+]2CCC[C@H](CNC(=O)C#CC3CC3)C2)n1 ZINC001317131922 870322162 /nfs/dbraw/zinc/32/21/62/870322162.db2.gz URBXHMSSSLHSPI-CQSZACIVSA-N 1 2 316.405 1.374 20 30 DDEDLO CCc1noc(C[N@H+]2CCC[C@H](CNC(=O)C#CC3CC3)C2)n1 ZINC001317131922 870322177 /nfs/dbraw/zinc/32/21/77/870322177.db2.gz URBXHMSSSLHSPI-CQSZACIVSA-N 1 2 316.405 1.374 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001339267349 870430434 /nfs/dbraw/zinc/43/04/34/870430434.db2.gz MOANCRQJDKSBDX-IYXRBSQSSA-N 1 2 318.421 1.660 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001317204392 870465097 /nfs/dbraw/zinc/46/50/97/870465097.db2.gz PYPHHWGBOTVCGC-CABCVRRESA-N 1 2 317.437 1.191 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001317204392 870465111 /nfs/dbraw/zinc/46/51/11/870465111.db2.gz PYPHHWGBOTVCGC-CABCVRRESA-N 1 2 317.437 1.191 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1C[C@@H]2CCC[C@@H]2C1 ZINC001339531855 870540663 /nfs/dbraw/zinc/54/06/63/870540663.db2.gz VPUVSQBJZWLSEY-LXTVHRRPSA-N 1 2 317.437 1.438 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1C[C@@H]2CCC[C@@H]2C1 ZINC001339531855 870540685 /nfs/dbraw/zinc/54/06/85/870540685.db2.gz VPUVSQBJZWLSEY-LXTVHRRPSA-N 1 2 317.437 1.438 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@@]3(C)C[C@@H](O)C[N@@H+]3C)n2CC)C1 ZINC001340022112 870846408 /nfs/dbraw/zinc/84/64/08/870846408.db2.gz WVRRZLZCLFQBQX-CKEIUWERSA-N 1 2 317.437 1.059 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@@]3(C)C[C@@H](O)C[N@H+]3C)n2CC)C1 ZINC001340022112 870846415 /nfs/dbraw/zinc/84/64/15/870846415.db2.gz WVRRZLZCLFQBQX-CKEIUWERSA-N 1 2 317.437 1.059 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[N@@H+](CC)Cc1ccncc1Cl ZINC001317478356 870896173 /nfs/dbraw/zinc/89/61/73/870896173.db2.gz HQFRSXINPMQNFY-ZDUSSCGKSA-N 1 2 323.824 1.711 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[N@H+](CC)Cc1ccncc1Cl ZINC001317478356 870896186 /nfs/dbraw/zinc/89/61/86/870896186.db2.gz HQFRSXINPMQNFY-ZDUSSCGKSA-N 1 2 323.824 1.711 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CCC[N@@H+]1CC=C(Cl)Cl ZINC001317505789 870941996 /nfs/dbraw/zinc/94/19/96/870941996.db2.gz SZOVDSMIVDXKPC-NEPJUHHUSA-N 1 2 319.232 1.924 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CCC[N@H+]1CC=C(Cl)Cl ZINC001317505789 870942007 /nfs/dbraw/zinc/94/20/07/870942007.db2.gz SZOVDSMIVDXKPC-NEPJUHHUSA-N 1 2 319.232 1.924 20 30 DDEDLO N#Cc1ccccc1/C=C/C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC001302061500 871042340 /nfs/dbraw/zinc/04/23/40/871042340.db2.gz UMAGJKOGDVKLKA-SQRWCISFSA-N 1 2 307.357 1.468 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C[C@H](C)SC)n2CC=C)CC1 ZINC001340399022 871081164 /nfs/dbraw/zinc/08/11/64/871081164.db2.gz PXKGSQKKATVDNE-AWEZNQCLSA-N 1 2 319.478 1.513 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)NC2(CCC(C)CC2)C1=O ZINC001341076549 871491882 /nfs/dbraw/zinc/49/18/82/871491882.db2.gz ITMMFMIIBDMHSR-UHFFFAOYSA-N 1 2 303.406 1.790 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)NC2(CCC(C)CC2)C1=O ZINC001341076549 871491901 /nfs/dbraw/zinc/49/19/01/871491901.db2.gz ITMMFMIIBDMHSR-UHFFFAOYSA-N 1 2 303.406 1.790 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)c2ccc(OC)cc2)CC1 ZINC001317824688 871550453 /nfs/dbraw/zinc/55/04/53/871550453.db2.gz VPKCHKAGYYWAJK-UHFFFAOYSA-N 1 2 317.433 1.571 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)c2ccc(Cl)cc2)CC1 ZINC001317824082 871551497 /nfs/dbraw/zinc/55/14/97/871551497.db2.gz KJYIKWXIVFFNNO-UHFFFAOYSA-N 1 2 319.836 1.663 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)C[C@H](C)n2cccc2)CC1 ZINC001317824519 871552289 /nfs/dbraw/zinc/55/22/89/871552289.db2.gz RZPXQFBNSKOGAR-KRWDZBQOSA-N 1 2 318.465 1.701 20 30 DDEDLO C=C1CCN(c2nnc(C[NH+]3CCC(CO)CC3)n2CC)CC1 ZINC001341216788 871562331 /nfs/dbraw/zinc/56/23/31/871562331.db2.gz HQTUYMYMUGFYIC-UHFFFAOYSA-N 1 2 319.453 1.659 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+](Cc2ncc(C)cn2)CC1 ZINC001226588766 882524032 /nfs/dbraw/zinc/52/40/32/882524032.db2.gz AZZVDEFGSAPKBQ-UHFFFAOYSA-N 1 2 304.394 1.068 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCC(CN(C)CC#N)CC2)c[nH+]1 ZINC001317877235 871615189 /nfs/dbraw/zinc/61/51/89/871615189.db2.gz VDMQOUZGISKPEF-UHFFFAOYSA-N 1 2 317.437 1.621 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCCC23CC3)C1 ZINC001317935454 871638981 /nfs/dbraw/zinc/63/89/81/871638981.db2.gz DZBJVYHRFZTMLQ-HUUCEWRRSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCCC23CC3)C1 ZINC001317935454 871638986 /nfs/dbraw/zinc/63/89/86/871638986.db2.gz DZBJVYHRFZTMLQ-HUUCEWRRSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2(CCCC)CC2)C1 ZINC001317981927 871670720 /nfs/dbraw/zinc/67/07/20/871670720.db2.gz XOQQOEXRFDKOLR-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2(CCCC)CC2)C1 ZINC001317981927 871670733 /nfs/dbraw/zinc/67/07/33/871670733.db2.gz XOQQOEXRFDKOLR-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CC(CC)CC)C1 ZINC001317986848 871672207 /nfs/dbraw/zinc/67/22/07/871672207.db2.gz AVSUJJCBODILRK-HNNXBMFYSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CC(CC)CC)C1 ZINC001317986848 871672210 /nfs/dbraw/zinc/67/22/10/871672210.db2.gz AVSUJJCBODILRK-HNNXBMFYSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H](C)NC(=O)c2cccs2)C1 ZINC001318052263 871715423 /nfs/dbraw/zinc/71/54/23/871715423.db2.gz YBYZLSNBOMULTF-LLVKDONJSA-N 1 2 307.419 1.243 20 30 DDEDLO C=CCC1(C(=O)NC2C[NH+](CCc3ccnn3C)C2)CCC1 ZINC001318060729 871720073 /nfs/dbraw/zinc/72/00/73/871720073.db2.gz KOITWRUPEXZOLT-UHFFFAOYSA-N 1 2 302.422 1.509 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@@H]2C[C@]2(C)C(C)C)C1 ZINC001318163817 871787384 /nfs/dbraw/zinc/78/73/84/871787384.db2.gz FPELDFFCZNBBKV-WMLDXEAASA-N 1 2 307.438 1.019 20 30 DDEDLO C=CCCC(=O)NCCN1CC[NH+](Cc2conc2CC)CC1 ZINC001316961905 871867563 /nfs/dbraw/zinc/86/75/63/871867563.db2.gz GKRPCUHJMQXOKM-UHFFFAOYSA-N 1 2 320.437 1.437 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001318245613 871867683 /nfs/dbraw/zinc/86/76/83/871867683.db2.gz XAQUTCMMBUCKGQ-ZDUSSCGKSA-N 1 2 305.378 1.003 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001318245613 871867694 /nfs/dbraw/zinc/86/76/94/871867694.db2.gz XAQUTCMMBUCKGQ-ZDUSSCGKSA-N 1 2 305.378 1.003 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)CC(=C)C ZINC001342062471 871977532 /nfs/dbraw/zinc/97/75/32/871977532.db2.gz VECGVZVPWAUSKC-UONOGXRCSA-N 1 2 305.426 1.604 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)CC(=C)C ZINC001342062471 871977538 /nfs/dbraw/zinc/97/75/38/871977538.db2.gz VECGVZVPWAUSKC-UONOGXRCSA-N 1 2 305.426 1.604 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)C1(C3CC3)CC1)C2 ZINC001316810540 872088303 /nfs/dbraw/zinc/08/83/03/872088303.db2.gz WRIWOQJUQCPGOV-UHFFFAOYSA-N 1 2 315.442 1.941 20 30 DDEDLO C#CCN(C)c1nnc(C[NH+]2CCCCC2)n1CCCOCC ZINC001342458368 872219197 /nfs/dbraw/zinc/21/91/97/872219197.db2.gz ACOJYLYNQRGMKC-UHFFFAOYSA-N 1 2 319.453 1.760 20 30 DDEDLO CC[N@H+](CCCNC(=O)[C@@H](C)C#N)Cc1nnc(C2CC2)s1 ZINC001316886999 872225742 /nfs/dbraw/zinc/22/57/42/872225742.db2.gz AXJKNLXPRHMWOM-NSHDSACASA-N 1 2 321.450 1.903 20 30 DDEDLO CC[N@@H+](CCCNC(=O)[C@@H](C)C#N)Cc1nnc(C2CC2)s1 ZINC001316886999 872225753 /nfs/dbraw/zinc/22/57/53/872225753.db2.gz AXJKNLXPRHMWOM-NSHDSACASA-N 1 2 321.450 1.903 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2cnc(OC)nc2)C1 ZINC001206438022 872326634 /nfs/dbraw/zinc/32/66/34/872326634.db2.gz JUODZQCQPMIIJY-ZDUSSCGKSA-N 1 2 306.366 1.362 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2cnc(OC)nc2)C1 ZINC001206438022 872326659 /nfs/dbraw/zinc/32/66/59/872326659.db2.gz JUODZQCQPMIIJY-ZDUSSCGKSA-N 1 2 306.366 1.362 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCC[N@@H+](Cc2ccn(C)n2)C1 ZINC001316940085 872422409 /nfs/dbraw/zinc/42/24/09/872422409.db2.gz GQGCWXYCQJHDRP-HNNXBMFYSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCC[N@H+](Cc2ccn(C)n2)C1 ZINC001316940085 872422417 /nfs/dbraw/zinc/42/24/17/872422417.db2.gz GQGCWXYCQJHDRP-HNNXBMFYSA-N 1 2 302.422 1.694 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)Cc2c(F)cccc2F)C1 ZINC001319320062 872569741 /nfs/dbraw/zinc/56/97/41/872569741.db2.gz UNNPHUGHIBWHHT-LBPRGKRZSA-N 1 2 310.344 1.510 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)Cc2c(F)cccc2F)C1 ZINC001319320062 872569747 /nfs/dbraw/zinc/56/97/47/872569747.db2.gz UNNPHUGHIBWHHT-LBPRGKRZSA-N 1 2 310.344 1.510 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N1CCO[C@@H](C#N)C1 ZINC001343457641 872632069 /nfs/dbraw/zinc/63/20/69/872632069.db2.gz QNGXKOBDGYNQNI-UONOGXRCSA-N 1 2 316.409 1.350 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N1CCO[C@@H](C#N)C1 ZINC001343457641 872632078 /nfs/dbraw/zinc/63/20/78/872632078.db2.gz QNGXKOBDGYNQNI-UONOGXRCSA-N 1 2 316.409 1.350 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001344236635 872962830 /nfs/dbraw/zinc/96/28/30/872962830.db2.gz UULVFNRKUYMLOJ-MCIONIFRSA-N 1 2 318.421 1.566 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@H+](Cc2cc(C#N)n(C)c2)CC1 ZINC001207105851 873019050 /nfs/dbraw/zinc/01/90/50/873019050.db2.gz CGPZXSACMKVIOL-CQSZACIVSA-N 1 2 303.362 1.241 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@@H+](Cc2cc(C#N)n(C)c2)CC1 ZINC001207105851 873019066 /nfs/dbraw/zinc/01/90/66/873019066.db2.gz CGPZXSACMKVIOL-CQSZACIVSA-N 1 2 303.362 1.241 20 30 DDEDLO CCC(CC)[C@H](C)C(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001207766559 873546271 /nfs/dbraw/zinc/54/62/71/873546271.db2.gz CEADNLIATUWNGH-VNHYZAJKSA-N 1 2 322.453 1.135 20 30 DDEDLO CCC(CC)[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001207766559 873546280 /nfs/dbraw/zinc/54/62/80/873546280.db2.gz CEADNLIATUWNGH-VNHYZAJKSA-N 1 2 322.453 1.135 20 30 DDEDLO C#CC1CCN(c2nnc(Cc3[nH+]ccn3C)n2CC2CC2)CC1 ZINC001346665829 873843179 /nfs/dbraw/zinc/84/31/79/873843179.db2.gz JOURQPQPCSBYJC-UHFFFAOYSA-N 1 2 324.432 1.862 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nncn2C)C[C@H]1C ZINC001208455672 874158143 /nfs/dbraw/zinc/15/81/43/874158143.db2.gz ZPDCZUFYLDSJNR-HZSPNIEDSA-N 1 2 305.426 1.669 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nncn2C)C[C@H]1C ZINC001208455672 874158152 /nfs/dbraw/zinc/15/81/52/874158152.db2.gz ZPDCZUFYLDSJNR-HZSPNIEDSA-N 1 2 305.426 1.669 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@H](C)NC(C)=O)C1 ZINC001378180768 874349126 /nfs/dbraw/zinc/34/91/26/874349126.db2.gz NESAUUOHLHZKHL-AAEUAGOBSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@H](C)NC(C)=O)C1 ZINC001378180768 874349141 /nfs/dbraw/zinc/34/91/41/874349141.db2.gz NESAUUOHLHZKHL-AAEUAGOBSA-N 1 2 301.818 1.186 20 30 DDEDLO CC(C)C#CC(=O)N1CCN(C(=O)c2cccc3[nH+]ccn32)CC1 ZINC001348221817 874434246 /nfs/dbraw/zinc/43/42/46/874434246.db2.gz XWAHIKMFUZGPSD-UHFFFAOYSA-N 1 2 324.384 1.278 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4ccns4)C3)C2)cc1C#N ZINC001276591301 874471734 /nfs/dbraw/zinc/47/17/34/874471734.db2.gz HKLIFULNMHBJOS-UHFFFAOYSA-N 1 2 313.430 1.671 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COC2CCOCC2)C1 ZINC001209047082 874635919 /nfs/dbraw/zinc/63/59/19/874635919.db2.gz OFCKMWKQNFZXIA-BXUZGUMPSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)COC2CCOCC2)C1 ZINC001209047082 874635926 /nfs/dbraw/zinc/63/59/26/874635926.db2.gz OFCKMWKQNFZXIA-BXUZGUMPSA-N 1 2 316.829 1.371 20 30 DDEDLO CC(C)[C@@H]1CC[C@@H](C)C[C@H]1OC(=O)CN1CC[NH2+]C[C@@H]1C#N ZINC001210122982 875235672 /nfs/dbraw/zinc/23/56/72/875235672.db2.gz XGVDEHXGSROKBH-FXUDXRNXSA-N 1 2 307.438 1.788 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+](Cc2nocc2C)CC1 ZINC001227323415 882970364 /nfs/dbraw/zinc/97/03/64/882970364.db2.gz SBKIWXMVUBWZEX-UHFFFAOYSA-N 1 2 305.378 1.104 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)COc2ccc(Cl)cc2)[C@H](OC)C1 ZINC001213802180 876061655 /nfs/dbraw/zinc/06/16/55/876061655.db2.gz NBGWVXJKBPDNKF-HUUCEWRRSA-N 1 2 322.792 1.167 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)COc2ccc(Cl)cc2)[C@H](OC)C1 ZINC001213802180 876061671 /nfs/dbraw/zinc/06/16/71/876061671.db2.gz NBGWVXJKBPDNKF-HUUCEWRRSA-N 1 2 322.792 1.167 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2CN(C(=O)C#CC(C)C)C[C@H]2C)o1 ZINC001214582224 876403617 /nfs/dbraw/zinc/40/36/17/876403617.db2.gz KLNQXBFYSXCACN-CHWSQXEVSA-N 1 2 304.394 1.228 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCNC(=O)NC)[C@H]1C ZINC001379632943 877588740 /nfs/dbraw/zinc/58/87/40/877588740.db2.gz AQLHHTXIIBESDJ-VXGBXAGGSA-N 1 2 316.833 1.027 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCNC(=O)NC)[C@H]1C ZINC001379632943 877588755 /nfs/dbraw/zinc/58/87/55/877588755.db2.gz AQLHHTXIIBESDJ-VXGBXAGGSA-N 1 2 316.833 1.027 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001287515061 912280091 /nfs/dbraw/zinc/28/00/91/912280091.db2.gz SDMLJQRBMWPPPV-AWEZNQCLSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCN(C)c1nnc(C[NH+]2CCCC2)n1Cc1ccccc1 ZINC001354359876 877918701 /nfs/dbraw/zinc/91/87/01/877918701.db2.gz YTNMFISNPLMHMH-UHFFFAOYSA-N 1 2 309.417 1.992 20 30 DDEDLO CCC[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219389503 878168834 /nfs/dbraw/zinc/16/88/34/878168834.db2.gz DDIHCSNRXHTMIT-GLQYFDAESA-N 1 2 321.446 1.717 20 30 DDEDLO CCC[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219389503 878168841 /nfs/dbraw/zinc/16/88/41/878168841.db2.gz DDIHCSNRXHTMIT-GLQYFDAESA-N 1 2 321.446 1.717 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220123979 878717810 /nfs/dbraw/zinc/71/78/10/878717810.db2.gz SXHUNVKLHVEMON-CABCVRRESA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220123979 878717817 /nfs/dbraw/zinc/71/78/17/878717817.db2.gz SXHUNVKLHVEMON-CABCVRRESA-N 1 2 321.421 1.555 20 30 DDEDLO C=CCn1c(N2C[C@H](C)C[C@@H]2C)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001356135186 878881130 /nfs/dbraw/zinc/88/11/30/878881130.db2.gz UAQLEJXKEYNTGH-UMPJEAMMSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c(N2C[C@H](C)C[C@@H]2C)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001356135186 878881145 /nfs/dbraw/zinc/88/11/45/878881145.db2.gz UAQLEJXKEYNTGH-UMPJEAMMSA-N 1 2 319.453 1.610 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCCCC(C)C)[C@@H](O)C1 ZINC001220579738 879111832 /nfs/dbraw/zinc/11/18/32/879111832.db2.gz HFKASKCQOPUUGY-CVEARBPZSA-N 1 2 310.438 1.014 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCCCC(C)C)[C@@H](O)C1 ZINC001220579738 879111814 /nfs/dbraw/zinc/11/18/14/879111814.db2.gz HFKASKCQOPUUGY-CVEARBPZSA-N 1 2 310.438 1.014 20 30 DDEDLO C=CCOc1ccccc1[C@H](C)NC(=O)C[C@H]([NH3+])C(=O)OC ZINC001220664005 879168873 /nfs/dbraw/zinc/16/88/73/879168873.db2.gz UJMGAKBAVPESDG-AAEUAGOBSA-N 1 2 306.362 1.319 20 30 DDEDLO C=CCCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@H](C)CC)CC1 ZINC001356700616 879204293 /nfs/dbraw/zinc/20/42/93/879204293.db2.gz ZKANYWJTCFNSPV-MRXNPFEDSA-N 1 2 323.481 1.991 20 30 DDEDLO CC[C@H](CNC(=O)CCc1[nH+]ccn1C)NC(=O)C#CC(C)C ZINC001356911820 879574903 /nfs/dbraw/zinc/57/49/03/879574903.db2.gz APZRTGANAQTINQ-CQSZACIVSA-N 1 2 318.421 1.023 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@@H+](Cc3ncc(C)s3)C[C@H]21 ZINC001221441579 879801787 /nfs/dbraw/zinc/80/17/87/879801787.db2.gz LUSCJVNLXRUPLG-ZIAGYGMSSA-N 1 2 321.446 1.687 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@H+](Cc3ncc(C)s3)C[C@H]21 ZINC001221441579 879801797 /nfs/dbraw/zinc/80/17/97/879801797.db2.gz LUSCJVNLXRUPLG-ZIAGYGMSSA-N 1 2 321.446 1.687 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C3(C4CC4)CC3)[C@@H]2C1 ZINC001221635109 879982514 /nfs/dbraw/zinc/98/25/14/879982514.db2.gz YXUBGXOOZPVFLB-UKRRQHHQSA-N 1 2 317.433 1.012 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C3(C4CC4)CC3)[C@@H]2C1 ZINC001221635109 879982531 /nfs/dbraw/zinc/98/25/31/879982531.db2.gz YXUBGXOOZPVFLB-UKRRQHHQSA-N 1 2 317.433 1.012 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C)co3)[C@@H]2C1 ZINC001221752213 880055183 /nfs/dbraw/zinc/05/51/83/880055183.db2.gz KOFMGYVBXUHCAN-ZIAGYGMSSA-N 1 2 317.389 1.037 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C)co3)[C@@H]2C1 ZINC001221752213 880055192 /nfs/dbraw/zinc/05/51/92/880055192.db2.gz KOFMGYVBXUHCAN-ZIAGYGMSSA-N 1 2 317.389 1.037 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001222072906 880219301 /nfs/dbraw/zinc/21/93/01/880219301.db2.gz MWQOXNOOMGFHCN-OLZOCXBDSA-N 1 2 322.409 1.304 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nncn3C)[C@H]2C1 ZINC001222401692 880440616 /nfs/dbraw/zinc/44/06/16/880440616.db2.gz IEPRPYTZXVUFPG-KGLIPLIRSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@H+](Cc3nncn3C)[C@H]2C1 ZINC001222401692 880440624 /nfs/dbraw/zinc/44/06/24/880440624.db2.gz IEPRPYTZXVUFPG-KGLIPLIRSA-N 1 2 303.410 1.204 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cn(C)nn3)[C@H]2C1 ZINC001222617426 880604141 /nfs/dbraw/zinc/60/41/41/880604141.db2.gz CZPRTEHSHDAIOJ-ZBFHGGJFSA-N 1 2 315.421 1.041 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3cn(C)nn3)[C@H]2C1 ZINC001222617426 880604154 /nfs/dbraw/zinc/60/41/54/880604154.db2.gz CZPRTEHSHDAIOJ-ZBFHGGJFSA-N 1 2 315.421 1.041 20 30 DDEDLO CC(C)C#CC(=O)NC1(CNC(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001358674928 880739170 /nfs/dbraw/zinc/73/91/70/880739170.db2.gz XRHLCEBDUWVNLS-UHFFFAOYSA-N 1 2 324.384 1.601 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(C)c1F ZINC001276854284 880765769 /nfs/dbraw/zinc/76/57/69/880765769.db2.gz SROIXAVBINYFNJ-CQSZACIVSA-N 1 2 304.365 1.588 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(C)c1F ZINC001276854284 880765772 /nfs/dbraw/zinc/76/57/72/880765772.db2.gz SROIXAVBINYFNJ-CQSZACIVSA-N 1 2 304.365 1.588 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(C)c1F ZINC001276854283 880766426 /nfs/dbraw/zinc/76/64/26/880766426.db2.gz SROIXAVBINYFNJ-AWEZNQCLSA-N 1 2 304.365 1.588 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(C)c1F ZINC001276854283 880766438 /nfs/dbraw/zinc/76/64/38/880766438.db2.gz SROIXAVBINYFNJ-AWEZNQCLSA-N 1 2 304.365 1.588 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001287958396 912628700 /nfs/dbraw/zinc/62/87/00/912628700.db2.gz KGXFUDCQWHVPKX-TZMCWYRMSA-N 1 2 304.394 1.414 20 30 DDEDLO N#CCOc1ccc(C[NH+]2CCN(C(=O)c3cc[nH]c3)CC2)cc1 ZINC001381087979 880994527 /nfs/dbraw/zinc/99/45/27/880994527.db2.gz JQLOGCLJQMNIDF-UHFFFAOYSA-N 1 2 324.384 1.875 20 30 DDEDLO C=CCOCC(=O)N1CCC([N@H+](C)Cc2nnc(C)s2)CC1 ZINC001277384943 883967942 /nfs/dbraw/zinc/96/79/42/883967942.db2.gz DXIVPQZSDHHMDW-UHFFFAOYSA-N 1 2 324.450 1.472 20 30 DDEDLO C=CCOCC(=O)N1CCC([N@@H+](C)Cc2nnc(C)s2)CC1 ZINC001277384943 883967948 /nfs/dbraw/zinc/96/79/48/883967948.db2.gz DXIVPQZSDHHMDW-UHFFFAOYSA-N 1 2 324.450 1.472 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nccs2)CC1 ZINC001230406654 884472727 /nfs/dbraw/zinc/47/27/27/884472727.db2.gz AKKUQVFFQUJMPJ-LBPRGKRZSA-N 1 2 309.435 1.815 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001230407507 884476284 /nfs/dbraw/zinc/47/62/84/884476284.db2.gz SILGAECCAKZQSV-NSHDSACASA-N 1 2 308.382 1.050 20 30 DDEDLO COCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230537663 884553313 /nfs/dbraw/zinc/55/33/13/884553313.db2.gz GBAGBHNDPCPSGD-CQSZACIVSA-N 1 2 305.353 1.376 20 30 DDEDLO COCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230537663 884553331 /nfs/dbraw/zinc/55/33/31/884553331.db2.gz GBAGBHNDPCPSGD-CQSZACIVSA-N 1 2 305.353 1.376 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CC2CC2)C1=O ZINC001230603325 884641675 /nfs/dbraw/zinc/64/16/75/884641675.db2.gz MBUNDHLEQAUPOJ-CABCVRRESA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CC2CC2)C1=O ZINC001230603325 884641680 /nfs/dbraw/zinc/64/16/80/884641680.db2.gz MBUNDHLEQAUPOJ-CABCVRRESA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC1CCCC1 ZINC001230652150 884701401 /nfs/dbraw/zinc/70/14/01/884701401.db2.gz VVIIKIVBJYGBMN-HNNXBMFYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC1CCCC1 ZINC001230652150 884701411 /nfs/dbraw/zinc/70/14/11/884701411.db2.gz VVIIKIVBJYGBMN-HNNXBMFYSA-N 1 2 307.438 1.402 20 30 DDEDLO CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001230665438 884720189 /nfs/dbraw/zinc/72/01/89/884720189.db2.gz VYDZQVBIHAUIJV-QGZVFWFLSA-N 1 2 300.402 1.607 20 30 DDEDLO CCOCC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001230665438 884720199 /nfs/dbraw/zinc/72/01/99/884720199.db2.gz VYDZQVBIHAUIJV-QGZVFWFLSA-N 1 2 300.402 1.607 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC1CCCCC1 ZINC001230681843 884741942 /nfs/dbraw/zinc/74/19/42/884741942.db2.gz PBICCBPCXWSNFY-MRXNPFEDSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1CCCCC1 ZINC001230681843 884741949 /nfs/dbraw/zinc/74/19/49/884741949.db2.gz PBICCBPCXWSNFY-MRXNPFEDSA-N 1 2 321.465 1.792 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccsc1 ZINC001230699174 884762913 /nfs/dbraw/zinc/76/29/13/884762913.db2.gz VMQZHARBGZMGEQ-OCCSQVGLSA-N 1 2 319.430 1.032 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1ccsc1 ZINC001230699174 884762919 /nfs/dbraw/zinc/76/29/19/884762919.db2.gz VMQZHARBGZMGEQ-OCCSQVGLSA-N 1 2 319.430 1.032 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](CC(=O)NC)Cc1cccnc1 ZINC001230704120 884769668 /nfs/dbraw/zinc/76/96/68/884769668.db2.gz JTDUUFDQQQDVPF-UHFFFAOYSA-N 1 2 318.421 1.102 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](CC(=O)NC)Cc1cccnc1 ZINC001230704120 884769681 /nfs/dbraw/zinc/76/96/81/884769681.db2.gz JTDUUFDQQQDVPF-UHFFFAOYSA-N 1 2 318.421 1.102 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230935089 885054049 /nfs/dbraw/zinc/05/40/49/885054049.db2.gz BAJFGRSTVOYOPA-WFASDCNBSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230935089 885054066 /nfs/dbraw/zinc/05/40/66/885054066.db2.gz BAJFGRSTVOYOPA-WFASDCNBSA-N 1 2 319.380 1.765 20 30 DDEDLO C=CCCCCC[N@H+]1CC[C@@H]1CN(C)C(=O)CS(C)(=O)=O ZINC001231026995 885146764 /nfs/dbraw/zinc/14/67/64/885146764.db2.gz VBGFKITVGYOKMR-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCCCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)CS(C)(=O)=O ZINC001231026995 885146777 /nfs/dbraw/zinc/14/67/77/885146777.db2.gz VBGFKITVGYOKMR-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(F)c1 ZINC001231062523 885175831 /nfs/dbraw/zinc/17/58/31/885175831.db2.gz QNGYRSJPEOFJSD-AWEZNQCLSA-N 1 2 305.353 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(F)c1 ZINC001231062523 885175839 /nfs/dbraw/zinc/17/58/39/885175839.db2.gz QNGYRSJPEOFJSD-AWEZNQCLSA-N 1 2 305.353 1.017 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NC(C)(C)C ZINC001231121186 885254401 /nfs/dbraw/zinc/25/44/01/885254401.db2.gz APJOBFXEFWFKRQ-KBPBESRZSA-N 1 2 309.454 1.789 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NC(C)(C)C ZINC001231121186 885254426 /nfs/dbraw/zinc/25/44/26/885254426.db2.gz APJOBFXEFWFKRQ-KBPBESRZSA-N 1 2 309.454 1.789 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(CO)ccc1F ZINC001231293234 885474766 /nfs/dbraw/zinc/47/47/66/885474766.db2.gz KNSGHVFGZVBFRL-SWLSCSKDSA-N 1 2 319.380 1.510 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(CO)ccc1F ZINC001231293234 885474774 /nfs/dbraw/zinc/47/47/74/885474774.db2.gz KNSGHVFGZVBFRL-SWLSCSKDSA-N 1 2 319.380 1.510 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](CNC(=O)[C@@H](C)C#N)C(C)(C)C ZINC001383260225 885500255 /nfs/dbraw/zinc/50/02/55/885500255.db2.gz OYHLLUOJUYKHSY-GWCFXTLKSA-N 1 2 319.409 1.067 20 30 DDEDLO CCOC(=O)COc1ccc(C[NH+]2CC(CC#N)C2)cc1OC ZINC001231715122 885836253 /nfs/dbraw/zinc/83/62/53/885836253.db2.gz RUEHJMWYDHNEJK-UHFFFAOYSA-N 1 2 318.373 1.983 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[C@@H](CNC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001383504879 885911377 /nfs/dbraw/zinc/91/13/77/885911377.db2.gz ZKIIRCJNIGEEOO-XJFOESAGSA-N 1 2 317.393 1.005 20 30 DDEDLO C#CCCCCC(=O)N(C)C1C[NH+](CCc2cnn(C)c2)C1 ZINC001277580919 885920446 /nfs/dbraw/zinc/92/04/46/885920446.db2.gz SDTQHPKNUPROMV-UHFFFAOYSA-N 1 2 302.422 1.299 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)C1C[NH+](CCn2nc(C)nc2C)C1 ZINC001277645086 886228460 /nfs/dbraw/zinc/22/84/60/886228460.db2.gz QLRDSTKLOYAING-OAHLLOKOSA-N 1 2 319.453 1.640 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CC[C@@H]2CCCCO2)C1 ZINC001277666899 886394431 /nfs/dbraw/zinc/39/44/31/886394431.db2.gz YKKONLNJMJFKPH-INIZCTEOSA-N 1 2 310.438 1.681 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cncc3cnccc32)CC1 ZINC001232653417 886514488 /nfs/dbraw/zinc/51/44/88/886514488.db2.gz BMSFWGJSEAFWRC-UHFFFAOYSA-N 1 2 310.357 1.909 20 30 DDEDLO CCOC(=O)[C@H]1C[N@H+](Cc2cc(C#N)ccc2O)CCS1 ZINC001232677406 886529984 /nfs/dbraw/zinc/52/99/84/886529984.db2.gz CLHUWHUHLNGKGP-CQSZACIVSA-N 1 2 306.387 1.744 20 30 DDEDLO CCOC(=O)[C@H]1C[N@@H+](Cc2cc(C#N)ccc2O)CCS1 ZINC001232677406 886529986 /nfs/dbraw/zinc/52/99/86/886529986.db2.gz CLHUWHUHLNGKGP-CQSZACIVSA-N 1 2 306.387 1.744 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cc(O)c(O)cc2O)CC1 ZINC001233487451 887022355 /nfs/dbraw/zinc/02/23/55/887022355.db2.gz DIGNKGCZIVIAAK-UHFFFAOYSA-N 1 2 306.318 1.082 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(c2cnc(C#N)c(C#N)n2)CC1 ZINC001363744805 887124980 /nfs/dbraw/zinc/12/49/80/887124980.db2.gz WLGPACKGXKNOQQ-ZDUSSCGKSA-N 1 2 319.372 1.498 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H](OCC)[C@H]1CCOC1 ZINC001374523167 913145008 /nfs/dbraw/zinc/14/50/08/913145008.db2.gz YSFULUFVQUUCCY-KBPBESRZSA-N 1 2 318.845 1.571 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H](OCC)[C@H]1CCOC1 ZINC001374523167 913145016 /nfs/dbraw/zinc/14/50/16/913145016.db2.gz YSFULUFVQUUCCY-KBPBESRZSA-N 1 2 318.845 1.571 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)nc1 ZINC001233946849 887487563 /nfs/dbraw/zinc/48/75/63/887487563.db2.gz FFGVLIVRXVNLGE-INIZCTEOSA-N 1 2 300.406 1.621 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)nc1 ZINC001233946849 887487571 /nfs/dbraw/zinc/48/75/71/887487571.db2.gz FFGVLIVRXVNLGE-INIZCTEOSA-N 1 2 300.406 1.621 20 30 DDEDLO N#CCC1(CS(=O)(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)CC1 ZINC001363957268 887610888 /nfs/dbraw/zinc/61/08/88/887610888.db2.gz YRXJNLMCNPMQSI-GFCCVEGCSA-N 1 2 308.407 1.613 20 30 DDEDLO CC(C)n1cc(C[N@H+](C)CCCNC(=O)CSCC#N)nn1 ZINC001234147042 887686090 /nfs/dbraw/zinc/68/60/90/887686090.db2.gz YFJZCRGDPJHXQM-UHFFFAOYSA-N 1 2 324.454 1.054 20 30 DDEDLO CC(C)n1cc(C[N@@H+](C)CCCNC(=O)CSCC#N)nn1 ZINC001234147042 887686094 /nfs/dbraw/zinc/68/60/94/887686094.db2.gz YFJZCRGDPJHXQM-UHFFFAOYSA-N 1 2 324.454 1.054 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)c1cnccn1 ZINC001234162192 887700061 /nfs/dbraw/zinc/70/00/61/887700061.db2.gz QBHZPQCJFQTNTH-GJZGRUSLSA-N 1 2 300.406 1.730 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)c1cnccn1 ZINC001234162192 887700077 /nfs/dbraw/zinc/70/00/77/887700077.db2.gz QBHZPQCJFQTNTH-GJZGRUSLSA-N 1 2 300.406 1.730 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001234214778 887751673 /nfs/dbraw/zinc/75/16/73/887751673.db2.gz DWAYNRNQJFLJQX-PKOBYXMFSA-N 1 2 324.424 1.609 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001234214778 887751687 /nfs/dbraw/zinc/75/16/87/887751687.db2.gz DWAYNRNQJFLJQX-PKOBYXMFSA-N 1 2 324.424 1.609 20 30 DDEDLO CNc1cc(CNC(=O)Nc2cc(C)n(CCC#N)n2)cc[nH+]1 ZINC001364106281 887933283 /nfs/dbraw/zinc/93/32/83/887933283.db2.gz PVRGZIMBEWKHKX-UHFFFAOYSA-N 1 2 313.365 1.864 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](CC)C(N)=O ZINC001234517547 888046961 /nfs/dbraw/zinc/04/69/61/888046961.db2.gz MPHXIHDXVAHAIM-LSDHHAIUSA-N 1 2 307.438 1.551 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](CC)C(N)=O ZINC001234517547 888046974 /nfs/dbraw/zinc/04/69/74/888046974.db2.gz MPHXIHDXVAHAIM-LSDHHAIUSA-N 1 2 307.438 1.551 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC1CC1 ZINC001234538078 888071081 /nfs/dbraw/zinc/07/10/81/888071081.db2.gz VADABTFZYWXEOP-AWEZNQCLSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC1CC1 ZINC001234538078 888071097 /nfs/dbraw/zinc/07/10/97/888071097.db2.gz VADABTFZYWXEOP-AWEZNQCLSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234545986 888076426 /nfs/dbraw/zinc/07/64/26/888076426.db2.gz JHCBEKROYZDHBS-GJZGRUSLSA-N 1 2 323.481 1.892 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234545986 888076437 /nfs/dbraw/zinc/07/64/37/888076437.db2.gz JHCBEKROYZDHBS-GJZGRUSLSA-N 1 2 323.481 1.892 20 30 DDEDLO CC(=O)[C@H](C)N1CC[C@H]2[C@@H]1CC[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001277887413 888196545 /nfs/dbraw/zinc/19/65/45/888196545.db2.gz DFNVJOIPZGYGJT-ZLIFDBKOSA-N 1 2 300.406 1.523 20 30 DDEDLO CC(=O)[C@H](C)N1CC[C@H]2[C@@H]1CC[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001277887413 888196554 /nfs/dbraw/zinc/19/65/54/888196554.db2.gz DFNVJOIPZGYGJT-ZLIFDBKOSA-N 1 2 300.406 1.523 20 30 DDEDLO CC[N@H+](C[C@@H](O)c1cccc(C#N)c1)[C@H](C)CS(=O)(=O)CC ZINC001364353386 888441417 /nfs/dbraw/zinc/44/14/17/888441417.db2.gz SUAWJCXVDHAXHF-CZUORRHYSA-N 1 2 324.446 1.737 20 30 DDEDLO CC[N@@H+](C[C@@H](O)c1cccc(C#N)c1)[C@H](C)CS(=O)(=O)CC ZINC001364353386 888441420 /nfs/dbraw/zinc/44/14/20/888441420.db2.gz SUAWJCXVDHAXHF-CZUORRHYSA-N 1 2 324.446 1.737 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1nc(C)no1 ZINC001235247138 888503694 /nfs/dbraw/zinc/50/36/94/888503694.db2.gz UUPGQJUSORCRNN-GFCCVEGCSA-N 1 2 310.398 1.297 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1nc(C)no1 ZINC001235247138 888503702 /nfs/dbraw/zinc/50/37/02/888503702.db2.gz UUPGQJUSORCRNN-GFCCVEGCSA-N 1 2 310.398 1.297 20 30 DDEDLO CC(C)OC[C@H]1C[N@H+](C[C@H](O)c2cccc(C#N)c2)CCO1 ZINC001364382497 888505000 /nfs/dbraw/zinc/50/50/00/888505000.db2.gz VHGWJUDCHPDFON-SJORKVTESA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@H]1C[N@@H+](C[C@H](O)c2cccc(C#N)c2)CCO1 ZINC001364382497 888505006 /nfs/dbraw/zinc/50/50/06/888505006.db2.gz VHGWJUDCHPDFON-SJORKVTESA-N 1 2 304.390 1.717 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)Cc1nc(CCOC)no1 ZINC001235481263 888670902 /nfs/dbraw/zinc/67/09/02/888670902.db2.gz VWQOJFGZTVBJQB-UHFFFAOYSA-N 1 2 310.398 1.163 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)Cc1nc(CCOC)no1 ZINC001235481263 888670906 /nfs/dbraw/zinc/67/09/06/888670906.db2.gz VWQOJFGZTVBJQB-UHFFFAOYSA-N 1 2 310.398 1.163 20 30 DDEDLO C[C@H](CC(=O)NC1CCN(C(=O)[C@@H](C)C#N)CC1)n1cc[nH+]c1 ZINC001385453029 889136023 /nfs/dbraw/zinc/13/60/23/889136023.db2.gz VDOOKNKNWIQMAF-QWHCGFSZSA-N 1 2 317.393 1.101 20 30 DDEDLO C#CCN(C(=O)c1cncnc1)C1CC[NH+](CC(=C)Cl)CC1 ZINC001278144328 889842764 /nfs/dbraw/zinc/84/27/64/889842764.db2.gz IZENPODVYUMZQJ-UHFFFAOYSA-N 1 2 318.808 1.769 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC2(C[C@H]2C(=O)NC2(C#N)CCC2)C1 ZINC001278238903 890238001 /nfs/dbraw/zinc/23/80/01/890238001.db2.gz AGSRFXPJHCJCIA-INIZCTEOSA-N 1 2 320.396 1.943 20 30 DDEDLO N#Cc1nccc(-c2ccc(OCC[NH+]3CCOCC3)cc2)n1 ZINC001240446922 890930628 /nfs/dbraw/zinc/93/06/28/890930628.db2.gz HQQJPINLMXEMIZ-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+](Cc2ncc(CC)o2)C1 ZINC001278369394 891714731 /nfs/dbraw/zinc/71/47/31/891714731.db2.gz RXJDRBULNYQYJC-INIZCTEOSA-N 1 2 307.394 1.520 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+](Cc2ncc(CC)o2)C1 ZINC001278369394 891714740 /nfs/dbraw/zinc/71/47/40/891714740.db2.gz RXJDRBULNYQYJC-INIZCTEOSA-N 1 2 307.394 1.520 20 30 DDEDLO C#CCCCC(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C2 ZINC001291977857 913598704 /nfs/dbraw/zinc/59/87/04/913598704.db2.gz LUWWYQUIZXYVFQ-RDBSUJKOSA-N 1 2 314.389 1.004 20 30 DDEDLO C#CCOCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2ncc(CC)o2)C1 ZINC001278396054 891982155 /nfs/dbraw/zinc/98/21/55/891982155.db2.gz MAWPSYVXDWHKGR-KRWDZBQOSA-N 1 2 319.405 1.358 20 30 DDEDLO C#CCOCCC(=O)N[C@@]1(C)CC[N@H+](Cc2ncc(CC)o2)C1 ZINC001278396054 891982169 /nfs/dbraw/zinc/98/21/69/891982169.db2.gz MAWPSYVXDWHKGR-KRWDZBQOSA-N 1 2 319.405 1.358 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](N[C@](C)(C#N)c2ccccc2Cl)C[NH2+]1 ZINC001246263353 892504430 /nfs/dbraw/zinc/50/44/30/892504430.db2.gz YURGYLBDZCWPKI-RIEGTJTDSA-N 1 2 307.781 1.572 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2snnc2C)[C@H]1C ZINC001278496304 893501149 /nfs/dbraw/zinc/50/11/49/893501149.db2.gz YSNAWOAHKQYRPE-OLZOCXBDSA-N 1 2 322.434 1.079 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2snnc2C)[C@H]1C ZINC001278496304 893501159 /nfs/dbraw/zinc/50/11/59/893501159.db2.gz YSNAWOAHKQYRPE-OLZOCXBDSA-N 1 2 322.434 1.079 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H](C)OCC ZINC001366662703 894482104 /nfs/dbraw/zinc/48/21/04/894482104.db2.gz UYSBFXNMMJBWRU-NWDGAFQWSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H](C)OCC ZINC001366662703 894482098 /nfs/dbraw/zinc/48/20/98/894482098.db2.gz UYSBFXNMMJBWRU-NWDGAFQWSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCCC(=O)NC)C1 ZINC001366906213 895299587 /nfs/dbraw/zinc/29/95/87/895299587.db2.gz TUEBFDQPNOFSCI-LBPRGKRZSA-N 1 2 301.818 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCCC(=O)NC)C1 ZINC001366906213 895299602 /nfs/dbraw/zinc/29/96/02/895299602.db2.gz TUEBFDQPNOFSCI-LBPRGKRZSA-N 1 2 301.818 1.236 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1C[C@@H](O)CCC ZINC001252779953 895447492 /nfs/dbraw/zinc/44/74/92/895447492.db2.gz KWPCKGISLQDBGM-DZGCQCFKSA-N 1 2 308.426 1.561 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1C[C@@H](O)CCC ZINC001252779953 895447497 /nfs/dbraw/zinc/44/74/97/895447497.db2.gz KWPCKGISLQDBGM-DZGCQCFKSA-N 1 2 308.426 1.561 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](O)C[N@H+](C)CC(=C)Br ZINC001278850609 896793754 /nfs/dbraw/zinc/79/37/54/896793754.db2.gz PYGWMKLQYUXPRD-LBPRGKRZSA-N 1 2 319.243 1.612 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](O)C[N@@H+](C)CC(=C)Br ZINC001278850609 896793763 /nfs/dbraw/zinc/79/37/63/896793763.db2.gz PYGWMKLQYUXPRD-LBPRGKRZSA-N 1 2 319.243 1.612 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2nsc3ccccc32)C1 ZINC001278868326 896947627 /nfs/dbraw/zinc/94/76/27/896947627.db2.gz WOPWDMRHCNMAAS-UHFFFAOYSA-N 1 2 315.398 1.096 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)C[C@@H]2CC[C@@H](C3CC3)O2)C1 ZINC001278891814 897136496 /nfs/dbraw/zinc/13/64/96/897136496.db2.gz QWWAZGWHFMZZOQ-GJZGRUSLSA-N 1 2 308.422 1.073 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](C)Oc2ccccn2)C1 ZINC001389523541 897442570 /nfs/dbraw/zinc/44/25/70/897442570.db2.gz ZXJJCTOZWYRZOE-STQMWFEESA-N 1 2 309.797 1.792 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)Oc2ccccn2)C1 ZINC001389523541 897442580 /nfs/dbraw/zinc/44/25/80/897442580.db2.gz ZXJJCTOZWYRZOE-STQMWFEESA-N 1 2 309.797 1.792 20 30 DDEDLO CCOC(=O)c1ccc(C[C@H](C)N2CC[NH2+]C[C@@H]2C#N)cc1 ZINC001257158937 897753024 /nfs/dbraw/zinc/75/30/24/897753024.db2.gz CGYUQEKIQGFOCV-BBRMVZONSA-N 1 2 301.390 1.592 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001389686452 897792505 /nfs/dbraw/zinc/79/25/05/897792505.db2.gz OIJCVXRQNRASEP-LBPRGKRZSA-N 1 2 324.812 1.379 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1CNS(=O)(=O)c1cc(F)ccc1F ZINC001258950240 898377631 /nfs/dbraw/zinc/37/76/31/898377631.db2.gz SYDLZZUPEFQKTI-LBPRGKRZSA-N 1 2 316.373 1.894 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1CNS(=O)(=O)c1cc(F)ccc1F ZINC001258950240 898377646 /nfs/dbraw/zinc/37/76/46/898377646.db2.gz SYDLZZUPEFQKTI-LBPRGKRZSA-N 1 2 316.373 1.894 20 30 DDEDLO CCc1nnc([C@@H](C)[NH+]2CCC(C)(NC(=O)[C@@H](C)C#N)CC2)o1 ZINC001368111792 898725138 /nfs/dbraw/zinc/72/51/38/898725138.db2.gz IDHMERNWZSDDAU-NWDGAFQWSA-N 1 2 319.409 1.823 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)C1=COCCO1 ZINC001261847574 899786661 /nfs/dbraw/zinc/78/66/61/899786661.db2.gz JOYDPZJRGKPUPA-INIZCTEOSA-N 1 2 312.369 1.223 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)C1=COCCO1 ZINC001261847574 899786673 /nfs/dbraw/zinc/78/66/73/899786673.db2.gz JOYDPZJRGKPUPA-INIZCTEOSA-N 1 2 312.369 1.223 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C[C@H](C)SCC)n2C)CC1 ZINC001262889658 900407388 /nfs/dbraw/zinc/40/73/88/900407388.db2.gz UVHXWEDEYCEXIT-ZDUSSCGKSA-N 1 2 307.467 1.254 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1CC=C ZINC001262939403 900426912 /nfs/dbraw/zinc/42/69/12/900426912.db2.gz FJKMBGUCYLQKRA-HUUCEWRRSA-N 1 2 315.421 1.459 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2C[N@H+]3CCCC[C@@H]3CO2)n1CC=C ZINC001262939403 900426922 /nfs/dbraw/zinc/42/69/22/900426922.db2.gz FJKMBGUCYLQKRA-HUUCEWRRSA-N 1 2 315.421 1.459 20 30 DDEDLO CC[N@H+](CCNC(=O)c1ccc(C#N)[nH]1)[C@H](C)c1ncc(C)o1 ZINC001390912689 900498786 /nfs/dbraw/zinc/49/87/86/900498786.db2.gz XNOCHZVRHCLXKQ-GFCCVEGCSA-N 1 2 315.377 1.996 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1ccc(C#N)[nH]1)[C@H](C)c1ncc(C)o1 ZINC001390912689 900498791 /nfs/dbraw/zinc/49/87/91/900498791.db2.gz XNOCHZVRHCLXKQ-GFCCVEGCSA-N 1 2 315.377 1.996 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3C[C@@H]3C)n2CC(C)C)CC1 ZINC001263407282 900603510 /nfs/dbraw/zinc/60/35/10/900603510.db2.gz CZFRQPPQIADERR-GJZGRUSLSA-N 1 2 301.438 1.813 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001293544814 914536511 /nfs/dbraw/zinc/53/65/11/914536511.db2.gz QQSYGHVDQASZLK-CHWSQXEVSA-N 1 2 316.405 1.513 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC12CC[NH+](Cc1nncn1C)CC2 ZINC001265332703 901894960 /nfs/dbraw/zinc/89/49/60/901894960.db2.gz ZGZVNJSAQHYDOC-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001265429286 902007239 /nfs/dbraw/zinc/00/72/39/902007239.db2.gz SHWXUYHBIRGPDS-ZDUSSCGKSA-N 1 2 304.394 1.543 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@@H]1CC[N@H+](CC(=O)Nc2ccccc2)C1 ZINC001391607110 902129554 /nfs/dbraw/zinc/12/95/54/902129554.db2.gz CCRYXESKGKBCHK-DZGCQCFKSA-N 1 2 314.389 1.317 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)Nc2ccccc2)C1 ZINC001391607110 902129559 /nfs/dbraw/zinc/12/95/59/902129559.db2.gz CCRYXESKGKBCHK-DZGCQCFKSA-N 1 2 314.389 1.317 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCC[N@@H+](Cc2ncnn2CC)C1 ZINC001265589785 902169919 /nfs/dbraw/zinc/16/99/19/902169919.db2.gz ZDBMFQYAGRJXQP-AWEZNQCLSA-N 1 2 319.453 1.838 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCC[N@H+](Cc2ncnn2CC)C1 ZINC001265589785 902169926 /nfs/dbraw/zinc/16/99/26/902169926.db2.gz ZDBMFQYAGRJXQP-AWEZNQCLSA-N 1 2 319.453 1.838 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)CNC(=O)C(C)(C)C)C1 ZINC001391634067 902196372 /nfs/dbraw/zinc/19/63/72/902196372.db2.gz RSDGDMPRLNHERS-GFCCVEGCSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)CNC(=O)C(C)(C)C)C1 ZINC001391634067 902196384 /nfs/dbraw/zinc/19/63/84/902196384.db2.gz RSDGDMPRLNHERS-GFCCVEGCSA-N 1 2 315.845 1.434 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H](C)CNC(=O)CSCC#N)n1 ZINC001265755488 902370271 /nfs/dbraw/zinc/37/02/71/902370271.db2.gz YJZUJUXQRNYFNZ-JTQLQIEISA-N 1 2 311.411 1.044 20 30 DDEDLO CCCCc1noc([C@H](C)[NH2+][C@@H](C)CNC(=O)C#CC2CC2)n1 ZINC001265773028 902393479 /nfs/dbraw/zinc/39/34/79/902393479.db2.gz NVDGKPIRIOWBCD-STQMWFEESA-N 1 2 318.421 1.981 20 30 DDEDLO C#CC[N@H+]1CCC[C@](CO)(NC(=O)c2csc(C(C)C)n2)C1 ZINC001279518610 903297367 /nfs/dbraw/zinc/29/73/67/903297367.db2.gz LZLFWZSMTCEPSQ-INIZCTEOSA-N 1 2 321.446 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@](CO)(NC(=O)c2csc(C(C)C)n2)C1 ZINC001279518610 903297372 /nfs/dbraw/zinc/29/73/72/903297372.db2.gz LZLFWZSMTCEPSQ-INIZCTEOSA-N 1 2 321.446 1.456 20 30 DDEDLO Cc1cnc(C(=O)N(C)CC[N@H+](C)Cc2ccccc2C#N)cn1 ZINC001392250709 903645837 /nfs/dbraw/zinc/64/58/37/903645837.db2.gz DPVFIVJMYISWRT-UHFFFAOYSA-N 1 2 323.400 1.861 20 30 DDEDLO Cc1cnc(C(=O)N(C)CC[N@@H+](C)Cc2ccccc2C#N)cn1 ZINC001392250709 903645850 /nfs/dbraw/zinc/64/58/50/903645850.db2.gz DPVFIVJMYISWRT-UHFFFAOYSA-N 1 2 323.400 1.861 20 30 DDEDLO C=CCCC(=O)NCC1([NH2+]Cc2nc(N(C)C)no2)CCC1 ZINC001280471468 903713613 /nfs/dbraw/zinc/71/36/13/903713613.db2.gz TYVDLPCUFZQKHG-UHFFFAOYSA-N 1 2 307.398 1.230 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001281059693 904365035 /nfs/dbraw/zinc/36/50/35/904365035.db2.gz SHVMGDFRDRKYGS-STQMWFEESA-N 1 2 306.410 1.518 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H](C)SC ZINC001281063342 904367096 /nfs/dbraw/zinc/36/70/96/904367096.db2.gz OKMPASQNMRHTTL-BBRMVZONSA-N 1 2 302.443 1.914 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H](C)SC ZINC001281063342 904367104 /nfs/dbraw/zinc/36/71/04/904367104.db2.gz OKMPASQNMRHTTL-BBRMVZONSA-N 1 2 302.443 1.914 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@@H](C)N(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001281181627 904537962 /nfs/dbraw/zinc/53/79/62/904537962.db2.gz WNRRQWHPVNPIRK-OLZOCXBDSA-N 1 2 304.394 1.414 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC1(C)CC1 ZINC001316610156 904712498 /nfs/dbraw/zinc/71/24/98/904712498.db2.gz ZYXAJMSHOPIFBN-HUUCEWRRSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCC1(C)CC1 ZINC001316610156 904712512 /nfs/dbraw/zinc/71/25/12/904712512.db2.gz ZYXAJMSHOPIFBN-HUUCEWRRSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]([NH2+]Cc2nc(CC)no2)[C@@H](C)C1 ZINC001281391135 904778694 /nfs/dbraw/zinc/77/86/94/904778694.db2.gz QMKYDYQSCRVVDS-KBPBESRZSA-N 1 2 318.421 1.762 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)Cc2[nH]c[nH+]c2C)CC[C@H]1C ZINC001281419353 904819652 /nfs/dbraw/zinc/81/96/52/904819652.db2.gz XUNVKMJDHFDFLC-TZMCWYRMSA-N 1 2 318.421 1.723 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC[C@@H]1C ZINC001281426114 904827447 /nfs/dbraw/zinc/82/74/47/904827447.db2.gz UINNAFRLDHMRRQ-QWHCGFSZSA-N 1 2 304.394 1.414 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC[N@@H+]1Cc1ccncc1Cl ZINC001377379613 921179107 /nfs/dbraw/zinc/17/91/07/921179107.db2.gz IQOJZXKLXYOKET-DGCLKSJQSA-N 1 2 306.797 1.975 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC[N@H+]1Cc1ccncc1Cl ZINC001377379613 921179116 /nfs/dbraw/zinc/17/91/16/921179116.db2.gz IQOJZXKLXYOKET-DGCLKSJQSA-N 1 2 306.797 1.975 20 30 DDEDLO Cn1cc(C[N@@H+]2CCCCC[C@H]2CNC(=O)C#CC2CC2)nn1 ZINC001282668238 905935065 /nfs/dbraw/zinc/93/50/65/905935065.db2.gz WATXBZHUBOVHCB-INIZCTEOSA-N 1 2 315.421 1.089 20 30 DDEDLO Cn1cc(C[N@H+]2CCCCC[C@H]2CNC(=O)C#CC2CC2)nn1 ZINC001282668238 905935073 /nfs/dbraw/zinc/93/50/73/905935073.db2.gz WATXBZHUBOVHCB-INIZCTEOSA-N 1 2 315.421 1.089 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](C)C1C[NH+](Cc2ccn(C)n2)C1 ZINC001282744867 906012912 /nfs/dbraw/zinc/01/29/12/906012912.db2.gz RIWPELWBFCIUMC-DYVFJYSZSA-N 1 2 304.438 1.959 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001283332845 907150947 /nfs/dbraw/zinc/15/09/47/907150947.db2.gz GCKIVLFQHUQZGY-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001283332845 907150956 /nfs/dbraw/zinc/15/09/56/907150956.db2.gz GCKIVLFQHUQZGY-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001283395619 907283873 /nfs/dbraw/zinc/28/38/73/907283873.db2.gz XDFBZDWIKXGHKT-ZDUSSCGKSA-N 1 2 304.394 1.368 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[C@H]([NH2+]Cc2nnn(C(C)(C)C)n2)C1 ZINC001316628259 907600322 /nfs/dbraw/zinc/60/03/22/907600322.db2.gz HGVCFMLRZSDNCU-JOCQHMNTSA-N 1 2 320.441 1.379 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)CCc1cncn1C ZINC001372568418 907651859 /nfs/dbraw/zinc/65/18/59/907651859.db2.gz RULKLXNDPPSPRH-AWEZNQCLSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)CCc1cncn1C ZINC001372568418 907651869 /nfs/dbraw/zinc/65/18/69/907651869.db2.gz RULKLXNDPPSPRH-AWEZNQCLSA-N 1 2 310.829 1.686 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]([NH2+]Cc2nnc(CC)o2)C[C@@H]1C ZINC001284003976 908294765 /nfs/dbraw/zinc/29/47/65/908294765.db2.gz NGSIBWVITHLBBM-KBPBESRZSA-N 1 2 318.421 1.905 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001284029907 908344299 /nfs/dbraw/zinc/34/42/99/908344299.db2.gz BIKOVGDVEFJISJ-AWEZNQCLSA-N 1 2 316.405 1.171 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]([NH2+]Cc1ccn(C)n1)c1ccccc1 ZINC001373043828 908818963 /nfs/dbraw/zinc/81/89/63/908818963.db2.gz YTJKWQUQJJYTHB-CZUORRHYSA-N 1 2 311.389 1.527 20 30 DDEDLO C=CCCCC(=O)N(C)CCNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001284542708 909163601 /nfs/dbraw/zinc/16/36/01/909163601.db2.gz GVMUTMZVRSHUGJ-AWEZNQCLSA-N 1 2 318.421 1.376 20 30 DDEDLO C=C1CCC(C(=O)N(C)CCNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001284597220 909228934 /nfs/dbraw/zinc/22/89/34/909228934.db2.gz RLBKAWHLIHZHMS-UHFFFAOYSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CC(C)(C)C(=O)N(CC)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001284623330 909267533 /nfs/dbraw/zinc/26/75/33/909267533.db2.gz FUTZNNHNGINXEB-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO C=CC(C)(C)C(=O)N(CC)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001284623330 909267548 /nfs/dbraw/zinc/26/75/48/909267548.db2.gz FUTZNNHNGINXEB-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO Cc1nc(C[N@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)cs1 ZINC001284891875 909662677 /nfs/dbraw/zinc/66/26/77/909662677.db2.gz SMOZBXVCGXQNQA-LLVKDONJSA-N 1 2 304.419 1.860 20 30 DDEDLO Cc1nc(C[N@@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)cs1 ZINC001284891875 909662680 /nfs/dbraw/zinc/66/26/80/909662680.db2.gz SMOZBXVCGXQNQA-LLVKDONJSA-N 1 2 304.419 1.860 20 30 DDEDLO N#Cc1ccc(F)cc1C[NH2+][C@H](CO)CNC(=O)C1CCC1 ZINC001394565331 910002990 /nfs/dbraw/zinc/00/29/90/910002990.db2.gz PRVPIHJBNJPKIK-HNNXBMFYSA-N 1 2 305.353 1.064 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H](O)C[N@H+](C)Cc1ccncc1Cl ZINC001394764760 910487548 /nfs/dbraw/zinc/48/75/48/910487548.db2.gz DKGZZMWSUFZGED-WCQYABFASA-N 1 2 324.812 1.146 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1ccncc1Cl ZINC001394764760 910487555 /nfs/dbraw/zinc/48/75/55/910487555.db2.gz DKGZZMWSUFZGED-WCQYABFASA-N 1 2 324.812 1.146 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC/C=C/CNC(=O)Cn1cc[nH+]c1 ZINC001285583645 910826577 /nfs/dbraw/zinc/82/65/77/910826577.db2.gz BMAQITVNXLEBAE-MOEXGYKKSA-N 1 2 304.394 1.274 20 30 DDEDLO C=CCCCC(=O)N(C)[C@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001285752675 911148564 /nfs/dbraw/zinc/14/85/64/911148564.db2.gz LSHDSGHFYHUERA-CYBMUJFWSA-N 1 2 306.410 1.282 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1CC ZINC001285830051 911242683 /nfs/dbraw/zinc/24/26/83/911242683.db2.gz ZIBRGKOGKLVWRT-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC001286023968 911586234 /nfs/dbraw/zinc/58/62/34/911586234.db2.gz IBGURFWBENUWSC-STQMWFEESA-N 1 2 318.421 1.090 20 30 DDEDLO C=C(C)CCC(=O)N1CC(N(C)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001286027721 911593343 /nfs/dbraw/zinc/59/33/43/911593343.db2.gz LRIIEUQJTOQKJG-UHFFFAOYSA-N 1 2 304.394 1.286 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)CO[C@@H]1CCOC1 ZINC001377393706 921747884 /nfs/dbraw/zinc/74/78/84/921747884.db2.gz PGRJGJUIVRYWKW-QWHCGFSZSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)CO[C@@H]1CCOC1 ZINC001377393706 921747902 /nfs/dbraw/zinc/74/79/02/921747902.db2.gz PGRJGJUIVRYWKW-QWHCGFSZSA-N 1 2 302.802 1.125 20 30 DDEDLO C=CCC1(C(=O)NC2CC[NH+]([C@@H]3CCOC3=O)CC2)CCC1 ZINC001295431355 915779089 /nfs/dbraw/zinc/77/90/89/915779089.db2.gz ZLLGPSCYPLXYQY-CQSZACIVSA-N 1 2 306.406 1.629 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001295435776 915785475 /nfs/dbraw/zinc/78/54/75/915785475.db2.gz KSSNWZSVXDGWAD-STQMWFEESA-N 1 2 304.394 1.033 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@@H](C)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001295850672 916095466 /nfs/dbraw/zinc/09/54/66/916095466.db2.gz XSUNYAATTHJWEA-CABCVRRESA-N 1 2 318.421 1.505 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[NH+]1CCN(C(=O)C=C(C)C)CC1 ZINC001296826391 916583139 /nfs/dbraw/zinc/58/31/39/916583139.db2.gz JBHZHJWHUHARNH-UHFFFAOYSA-N 1 2 321.465 1.767 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CN(C(=O)CCn2cc[nH+]c2)C1 ZINC001297124889 916745985 /nfs/dbraw/zinc/74/59/85/916745985.db2.gz XCWPRDYVPWDSAU-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@](C)(CNC(=O)[C@H](C)C#N)C2)nc1 ZINC001375937675 917309682 /nfs/dbraw/zinc/30/96/82/917309682.db2.gz RPHXXESJPGQCLB-RHSMWYFYSA-N 1 2 315.421 1.663 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@](C)(CNC(=O)[C@H](C)C#N)C2)nc1 ZINC001375937675 917309699 /nfs/dbraw/zinc/30/96/99/917309699.db2.gz RPHXXESJPGQCLB-RHSMWYFYSA-N 1 2 315.421 1.663 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@H](C)CNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001376714118 919143992 /nfs/dbraw/zinc/14/39/92/919143992.db2.gz QDILJAWQHZIWOZ-NXEZZACHSA-N 1 2 316.365 1.301 20 30 DDEDLO C=C(Cl)C[NH2+][C@H](C)CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001376836249 919534788 /nfs/dbraw/zinc/53/47/88/919534788.db2.gz CLDAMVSIUJHYMX-LLVKDONJSA-N 1 2 319.796 1.932 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000614181781 361740293 /nfs/dbraw/zinc/74/02/93/361740293.db2.gz DJBRIDINBWVVBU-CVEARBPZSA-N 1 2 317.389 1.532 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000278549080 214229933 /nfs/dbraw/zinc/22/99/33/214229933.db2.gz GTUVBISDLMESBV-BBRMVZONSA-N 1 2 324.446 1.565 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000278584542 214255107 /nfs/dbraw/zinc/25/51/07/214255107.db2.gz JYBMVMPTRLSIAC-SFHVURJKSA-N 1 2 318.417 1.462 20 30 DDEDLO C=C[C@H](O)C(=O)N1CC[N@@H+](Cc2ccccc2OCC)C[C@@H]1C ZINC000614452405 361863662 /nfs/dbraw/zinc/86/36/62/361863662.db2.gz CKUOBRFMXVLSHP-HOCLYGCPSA-N 1 2 318.417 1.665 20 30 DDEDLO C=C[C@H](O)C(=O)N1CC[N@H+](Cc2ccccc2OCC)C[C@@H]1C ZINC000614452405 361863665 /nfs/dbraw/zinc/86/36/65/361863665.db2.gz CKUOBRFMXVLSHP-HOCLYGCPSA-N 1 2 318.417 1.665 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)Cc1ccc(F)cc1C#N ZINC000451180232 231026020 /nfs/dbraw/zinc/02/60/20/231026020.db2.gz CIJIHHFVJHDUOJ-LLVKDONJSA-N 1 2 311.382 1.163 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)Cc1ccc(F)cc1C#N ZINC000451180232 231026022 /nfs/dbraw/zinc/02/60/22/231026022.db2.gz CIJIHHFVJHDUOJ-LLVKDONJSA-N 1 2 311.382 1.163 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)Cc1ccc(C#N)cc1 ZINC000451932536 231238382 /nfs/dbraw/zinc/23/83/82/231238382.db2.gz NEKKHZKTXWPRIH-LBPRGKRZSA-N 1 2 318.402 1.955 20 30 DDEDLO CC[C@@]1(O)CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000495198648 529573656 /nfs/dbraw/zinc/57/36/56/529573656.db2.gz CMFVGXFUBCMQCO-OAHLLOKOSA-N 1 2 308.403 1.179 20 30 DDEDLO CC[C@@]1(O)CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000495198648 529573661 /nfs/dbraw/zinc/57/36/61/529573661.db2.gz CMFVGXFUBCMQCO-OAHLLOKOSA-N 1 2 308.403 1.179 20 30 DDEDLO CN1CCOC[C@H]1C(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC000328963149 539299932 /nfs/dbraw/zinc/29/99/32/539299932.db2.gz RDAVSRQDQRWIFD-INIZCTEOSA-N 1 2 319.405 1.437 20 30 DDEDLO COc1cnc(NC(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)s1 ZINC000329226358 539301878 /nfs/dbraw/zinc/30/18/78/539301878.db2.gz AJIOIFKFKLJIDH-SNVBAGLBSA-N 1 2 312.395 1.104 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NC[C@H]3COc4ccccc43)C[C@@H]21 ZINC000329725140 529784975 /nfs/dbraw/zinc/78/49/75/529784975.db2.gz ODALEFRRVMTLAY-DUVNUKRYSA-N 1 2 317.389 1.091 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NC[C@H]3COc4ccccc43)C[C@@H]21 ZINC000329725140 529784977 /nfs/dbraw/zinc/78/49/77/529784977.db2.gz ODALEFRRVMTLAY-DUVNUKRYSA-N 1 2 317.389 1.091 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(CC(=O)Nc3nc4c(s3)CCC4)C[C@H]21 ZINC000330350127 529786941 /nfs/dbraw/zinc/78/69/41/529786941.db2.gz KRRFSEJCRZWWLP-VXGBXAGGSA-N 1 2 322.434 1.235 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(CC(=O)Nc3nc4c(s3)CCC4)C[C@H]21 ZINC000330350127 529786943 /nfs/dbraw/zinc/78/69/43/529786943.db2.gz KRRFSEJCRZWWLP-VXGBXAGGSA-N 1 2 322.434 1.235 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)NCC3CCC(C#N)CC3)C[C@@H]21 ZINC000333102172 529790597 /nfs/dbraw/zinc/79/05/97/529790597.db2.gz VKZPYUXQBXGBCK-WUCCLRPBSA-N 1 2 306.410 1.041 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)NCC3CCC(C#N)CC3)C[C@@H]21 ZINC000333102172 529790598 /nfs/dbraw/zinc/79/05/98/529790598.db2.gz VKZPYUXQBXGBCK-WUCCLRPBSA-N 1 2 306.410 1.041 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)NC2CCN(c3cccc[nH+]3)CC2)C1 ZINC000615535324 362324428 /nfs/dbraw/zinc/32/44/28/362324428.db2.gz NWKDKDONLPMPNK-MRXNPFEDSA-N 1 2 317.368 1.698 20 30 DDEDLO CN1CCC[C@H](NC(=O)[C@@H]2CC[N@@H+]2Cc2ccccc2)C1=O ZINC000330151505 530060058 /nfs/dbraw/zinc/06/00/58/530060058.db2.gz NMKYMCUSPXBUHE-GJZGRUSLSA-N 1 2 301.390 1.838 20 30 DDEDLO CN1CCC[C@H](NC(=O)[C@@H]2CC[N@H+]2Cc2ccccc2)C1=O ZINC000330151505 530060059 /nfs/dbraw/zinc/06/00/59/530060059.db2.gz NMKYMCUSPXBUHE-GJZGRUSLSA-N 1 2 301.390 1.838 20 30 DDEDLO CCOC(=O)C1CC[NH+](Cc2cnc3ccc(C#N)cn23)CC1 ZINC000158083818 290737197 /nfs/dbraw/zinc/73/71/97/290737197.db2.gz WGDVBALJBTVHDK-UHFFFAOYSA-N 1 2 312.373 1.981 20 30 DDEDLO N#CCCOCC[N@H+]1CCOC[C@@H]1C[C@@H](O)c1cccs1 ZINC000292928876 222748852 /nfs/dbraw/zinc/74/88/52/222748852.db2.gz YTTAIALPDKLYML-UONOGXRCSA-N 1 2 310.419 1.803 20 30 DDEDLO N#CCCOCC[N@@H+]1CCOC[C@@H]1C[C@@H](O)c1cccs1 ZINC000292928876 222748854 /nfs/dbraw/zinc/74/88/54/222748854.db2.gz YTTAIALPDKLYML-UONOGXRCSA-N 1 2 310.419 1.803 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cc(C)ccn3)CC2)c1C#N ZINC000616782024 362818326 /nfs/dbraw/zinc/81/83/26/362818326.db2.gz VXUIYLAUQZCBDN-UHFFFAOYSA-N 1 2 310.405 1.626 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)C[C@@H]1C ZINC000191865533 186266663 /nfs/dbraw/zinc/26/66/63/186266663.db2.gz VYGUUKOZJCVQRD-WFASDCNBSA-N 1 2 315.373 1.628 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C[C@@H]1C ZINC000191865533 186266665 /nfs/dbraw/zinc/26/66/65/186266665.db2.gz VYGUUKOZJCVQRD-WFASDCNBSA-N 1 2 315.373 1.628 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cc1[N+](=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000264256984 186330094 /nfs/dbraw/zinc/33/00/94/186330094.db2.gz LPZOPRZBTHPQOK-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO C=CCNC(=O)C[N@@H+](Cc1cc(OC)ccc1OC)C1CC1 ZINC000027603377 352220709 /nfs/dbraw/zinc/22/07/09/352220709.db2.gz FMJYJQZFUPKGTO-UHFFFAOYSA-N 1 2 304.390 1.970 20 30 DDEDLO C=CCNC(=O)C[N@H+](Cc1cc(OC)ccc1OC)C1CC1 ZINC000027603377 352220712 /nfs/dbraw/zinc/22/07/12/352220712.db2.gz FMJYJQZFUPKGTO-UHFFFAOYSA-N 1 2 304.390 1.970 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)Cc1ccc(OC)cc1OC ZINC000051454766 352587288 /nfs/dbraw/zinc/58/72/88/352587288.db2.gz NTOJCTPJYUFGEW-UHFFFAOYSA-N 1 2 319.405 1.898 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)Cc1ccc(OC)cc1OC ZINC000051454766 352587292 /nfs/dbraw/zinc/58/72/92/352587292.db2.gz NTOJCTPJYUFGEW-UHFFFAOYSA-N 1 2 319.405 1.898 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@@H](C)c2ccccc2F)CC1 ZINC000051148246 352579535 /nfs/dbraw/zinc/57/95/35/352579535.db2.gz IBGBKGUQTKJYSQ-AWEZNQCLSA-N 1 2 305.397 1.807 20 30 DDEDLO COC(=O)C[C@H](C)[NH+]1CCN(C(=O)C2(C#N)CC3(CC3)C2)CC1 ZINC000601268999 358472248 /nfs/dbraw/zinc/47/22/48/358472248.db2.gz UOTMJFXAOPIBNY-ZDUSSCGKSA-N 1 2 319.405 1.166 20 30 DDEDLO Cc1noc([C@@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000052861735 352628682 /nfs/dbraw/zinc/62/86/82/352628682.db2.gz QNRIQDYUGQGHAK-CJNGLKHVSA-N 1 2 319.409 1.612 20 30 DDEDLO Cc1noc([C@@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000052861735 352628685 /nfs/dbraw/zinc/62/86/85/352628685.db2.gz QNRIQDYUGQGHAK-CJNGLKHVSA-N 1 2 319.409 1.612 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[NH+]2CCOCC2)cc1OC ZINC000054484311 352693959 /nfs/dbraw/zinc/69/39/59/352693959.db2.gz STJOIUDNBRXNLO-UHFFFAOYSA-N 1 2 320.389 1.322 20 30 DDEDLO COCC[N@H+](C)CC(=O)Nc1c(C#N)cnn1-c1ccccc1 ZINC000065951129 352970998 /nfs/dbraw/zinc/97/09/98/352970998.db2.gz GVEXSVARZATJBH-UHFFFAOYSA-N 1 2 313.361 1.261 20 30 DDEDLO COCC[N@@H+](C)CC(=O)Nc1c(C#N)cnn1-c1ccccc1 ZINC000065951129 352971000 /nfs/dbraw/zinc/97/10/00/352971000.db2.gz GVEXSVARZATJBH-UHFFFAOYSA-N 1 2 313.361 1.261 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@@H](O)COc1ccc(C)cc1C ZINC000072689904 353209548 /nfs/dbraw/zinc/20/95/48/353209548.db2.gz UIRJIDSWXUIPDG-MRXNPFEDSA-N 1 2 306.406 1.905 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@@H](O)COc1ccc(C)cc1C ZINC000072689904 353209549 /nfs/dbraw/zinc/20/95/49/353209549.db2.gz UIRJIDSWXUIPDG-MRXNPFEDSA-N 1 2 306.406 1.905 20 30 DDEDLO C[C@H]1CCC[N@@H+]1CC(=O)[C@H](C#N)c1nc2ccccc2c(=O)[nH]1 ZINC000072874083 353224095 /nfs/dbraw/zinc/22/40/95/353224095.db2.gz FQOJWLIKBZLPBZ-AAEUAGOBSA-N 1 2 310.357 1.996 20 30 DDEDLO C[C@H]1CCC[N@H+]1CC(=O)[C@H](C#N)c1nc2ccccc2c(=O)[nH]1 ZINC000072874083 353224097 /nfs/dbraw/zinc/22/40/97/353224097.db2.gz FQOJWLIKBZLPBZ-AAEUAGOBSA-N 1 2 310.357 1.996 20 30 DDEDLO CCn1cc(C[N@H+](C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cn1 ZINC000072983118 353229972 /nfs/dbraw/zinc/22/99/72/353229972.db2.gz BKEJIEIIDPLOFM-BBRMVZONSA-N 1 2 305.426 1.778 20 30 DDEDLO CCn1cc(C[N@@H+](C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cn1 ZINC000072983118 353229974 /nfs/dbraw/zinc/22/99/74/353229974.db2.gz BKEJIEIIDPLOFM-BBRMVZONSA-N 1 2 305.426 1.778 20 30 DDEDLO N#Cc1ccc(OC2CCN(C(=O)Cn3cc[nH+]c3)CC2)cc1 ZINC000073383113 353249814 /nfs/dbraw/zinc/24/98/14/353249814.db2.gz JKBAOSCWWSTAEM-UHFFFAOYSA-N 1 2 310.357 1.825 20 30 DDEDLO Cc1nc(C(=O)N2CC[NH+](CCOC(C)C)CC2)ccc1C#N ZINC000074390340 353310358 /nfs/dbraw/zinc/31/03/58/353310358.db2.gz HDDDDOPRCIWYRL-UHFFFAOYSA-N 1 2 316.405 1.445 20 30 DDEDLO C=CC[N@H+](CC(=O)NC1CCOCC1)Cc1cccc(C#N)c1 ZINC000076292994 353411885 /nfs/dbraw/zinc/41/18/85/353411885.db2.gz SOHPKSWTEPRCNI-UHFFFAOYSA-N 1 2 313.401 1.841 20 30 DDEDLO C=CC[N@@H+](CC(=O)NC1CCOCC1)Cc1cccc(C#N)c1 ZINC000076292994 353411890 /nfs/dbraw/zinc/41/18/90/353411890.db2.gz SOHPKSWTEPRCNI-UHFFFAOYSA-N 1 2 313.401 1.841 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(c2nccnc2C#N)CC1 ZINC000076290809 353412239 /nfs/dbraw/zinc/41/22/39/353412239.db2.gz YGXYJKWFJOVKRB-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CC[N@H+](CCC#N)C[C@H](O)COc1ccc(NC(C)=O)cc1 ZINC000081513691 353680108 /nfs/dbraw/zinc/68/01/08/353680108.db2.gz VJHVVPSJXJWNHM-HNNXBMFYSA-N 1 2 305.378 1.620 20 30 DDEDLO CC[N@@H+](CCC#N)C[C@H](O)COc1ccc(NC(C)=O)cc1 ZINC000081513691 353680109 /nfs/dbraw/zinc/68/01/09/353680109.db2.gz VJHVVPSJXJWNHM-HNNXBMFYSA-N 1 2 305.378 1.620 20 30 DDEDLO CC(C)OCCN1CC[NH+](CCOc2ccc(C#N)cc2)CC1 ZINC000081637052 353686165 /nfs/dbraw/zinc/68/61/65/353686165.db2.gz ABHMFEAFRWOFGH-UHFFFAOYSA-N 1 2 317.433 1.980 20 30 DDEDLO CC(C)NS(=O)(=O)[C@H]1CC[N@H+](Cc2cc(C#N)cs2)C1 ZINC000091898396 353838859 /nfs/dbraw/zinc/83/88/59/353838859.db2.gz KDBWQKKDOKAISV-ZDUSSCGKSA-N 1 2 313.448 1.522 20 30 DDEDLO CC(C)NS(=O)(=O)[C@H]1CC[N@@H+](Cc2cc(C#N)cs2)C1 ZINC000091898396 353838861 /nfs/dbraw/zinc/83/88/61/353838861.db2.gz KDBWQKKDOKAISV-ZDUSSCGKSA-N 1 2 313.448 1.522 20 30 DDEDLO O=C(NCC[NH+]1CCN(C(=O)C2CCC2)CC1)c1cccnc1 ZINC000328955418 222914788 /nfs/dbraw/zinc/91/47/88/222914788.db2.gz YVVLQIKOLJAYNW-UHFFFAOYSA-N 1 2 316.405 1.331 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH2+][C@H](C)c1nnc2ccccn21 ZINC000193322370 354291394 /nfs/dbraw/zinc/29/13/94/354291394.db2.gz IVCPOAWUJHXWOZ-CYBMUJFWSA-N 1 2 301.394 1.805 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCCNC(=O)[C@H]1CC=CCC1 ZINC000578776110 354712668 /nfs/dbraw/zinc/71/26/68/354712668.db2.gz VWLSUQDAKVNPAQ-WMLDXEAASA-N 1 2 320.437 1.199 20 30 DDEDLO CC(=O)N(C)c1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000579360646 354718990 /nfs/dbraw/zinc/71/89/90/354718990.db2.gz MEICVMXMBCJYCC-INIZCTEOSA-N 1 2 302.378 1.243 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(N2CCCC2=O)cc1 ZINC000579544296 354720598 /nfs/dbraw/zinc/72/05/98/354720598.db2.gz HRJTVNHPRCHTII-QGZVFWFLSA-N 1 2 314.389 1.387 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)N1CCC(CCC#N)CC1 ZINC000581069593 354729953 /nfs/dbraw/zinc/72/99/53/354729953.db2.gz RWXMZALVMQNWNF-UHFFFAOYSA-N 1 2 322.453 1.823 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)N1CCC(CCC#N)CC1 ZINC000581069593 354729965 /nfs/dbraw/zinc/72/99/65/354729965.db2.gz RWXMZALVMQNWNF-UHFFFAOYSA-N 1 2 322.453 1.823 20 30 DDEDLO O=C(NCC#Cc1cccc(F)c1)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000581917164 354738293 /nfs/dbraw/zinc/73/82/93/354738293.db2.gz LMSMRMHGFBGFDA-CQSZACIVSA-N 1 2 312.348 1.757 20 30 DDEDLO O=C(NCC#Cc1cccc(F)c1)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000581917164 354738295 /nfs/dbraw/zinc/73/82/95/354738295.db2.gz LMSMRMHGFBGFDA-CQSZACIVSA-N 1 2 312.348 1.757 20 30 DDEDLO N#CCN1CCC(Nc2cc(N3CCSCC3)nc[nH+]2)CC1 ZINC000617950189 363404725 /nfs/dbraw/zinc/40/47/25/363404725.db2.gz LVNQFTDQWURPFY-UHFFFAOYSA-N 1 2 318.450 1.430 20 30 DDEDLO N#CCN1CCC(Nc2cc(N3CCSCC3)[nH+]cn2)CC1 ZINC000617950189 363404731 /nfs/dbraw/zinc/40/47/31/363404731.db2.gz LVNQFTDQWURPFY-UHFFFAOYSA-N 1 2 318.450 1.430 20 30 DDEDLO CCN1CC[NH+]([C@H]2CCN(C(=O)CCCCCC#N)C2)CC1 ZINC000588157430 354906022 /nfs/dbraw/zinc/90/60/22/354906022.db2.gz RCGPHEXMBDAJHM-INIZCTEOSA-N 1 2 306.454 1.699 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1ccc(C#N)c(F)c1)CC2 ZINC000589907400 355031224 /nfs/dbraw/zinc/03/12/24/355031224.db2.gz WKEOZFKJNZMQFC-UHFFFAOYSA-N 1 2 320.349 1.407 20 30 DDEDLO Cc1ncc(S(=O)(=O)Nc2ccc3[nH+]ccn3c2)cc1C#N ZINC000589976373 355036284 /nfs/dbraw/zinc/03/62/84/355036284.db2.gz BCZLRLDAIWUGMZ-UHFFFAOYSA-N 1 2 313.342 1.710 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)c(F)c1 ZINC000589629843 355006971 /nfs/dbraw/zinc/00/69/71/355006971.db2.gz BDXNYYHLKUPHGH-GFCCVEGCSA-N 1 2 313.336 1.777 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)c(F)c1 ZINC000589629843 355006972 /nfs/dbraw/zinc/00/69/72/355006972.db2.gz BDXNYYHLKUPHGH-GFCCVEGCSA-N 1 2 313.336 1.777 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)N2CCC[C@@H]3CCCC[C@@H]32)CC1 ZINC000591020527 355234449 /nfs/dbraw/zinc/23/44/49/355234449.db2.gz KXXKUEWBKVZCRM-IRXDYDNUSA-N 1 2 318.465 1.699 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](O)C[N@H+](CC#Cc2ccccc2Cl)C1 ZINC000592197236 355533087 /nfs/dbraw/zinc/53/30/87/355533087.db2.gz OLPKRZWDOGJBJR-KGLIPLIRSA-N 1 2 307.777 1.547 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](O)C[N@@H+](CC#Cc2ccccc2Cl)C1 ZINC000592197236 355533092 /nfs/dbraw/zinc/53/30/92/355533092.db2.gz OLPKRZWDOGJBJR-KGLIPLIRSA-N 1 2 307.777 1.547 20 30 DDEDLO C[C@H](C(=O)N1c2ccccc2C[C@H]1C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592145950 355511350 /nfs/dbraw/zinc/51/13/50/355511350.db2.gz BYXSHTVCCCEDER-HBUWYVDXSA-N 1 2 313.401 1.703 20 30 DDEDLO C[C@H](C(=O)N1c2ccccc2C[C@H]1C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592145950 355511354 /nfs/dbraw/zinc/51/13/54/355511354.db2.gz BYXSHTVCCCEDER-HBUWYVDXSA-N 1 2 313.401 1.703 20 30 DDEDLO C[C@H](C(=O)N1c2ccccc2C[C@@H]1C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592145955 355511751 /nfs/dbraw/zinc/51/17/51/355511751.db2.gz BYXSHTVCCCEDER-PMUMKWKESA-N 1 2 313.401 1.703 20 30 DDEDLO C[C@H](C(=O)N1c2ccccc2C[C@@H]1C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592145955 355511754 /nfs/dbraw/zinc/51/17/54/355511754.db2.gz BYXSHTVCCCEDER-PMUMKWKESA-N 1 2 313.401 1.703 20 30 DDEDLO COc1cccc(CN(C)C(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592147829 355513899 /nfs/dbraw/zinc/51/38/99/355513899.db2.gz OWEPWUONHAWPIF-KRWDZBQOSA-N 1 2 317.389 1.004 20 30 DDEDLO COc1cccc(CN(C)C(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592147829 355513903 /nfs/dbraw/zinc/51/39/03/355513903.db2.gz OWEPWUONHAWPIF-KRWDZBQOSA-N 1 2 317.389 1.004 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149763 355515957 /nfs/dbraw/zinc/51/59/57/355515957.db2.gz WDTDWEOEHAFHBY-QMTHXVAHSA-N 1 2 308.769 1.412 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149763 355515960 /nfs/dbraw/zinc/51/59/60/355515960.db2.gz WDTDWEOEHAFHBY-QMTHXVAHSA-N 1 2 308.769 1.412 20 30 DDEDLO Cc1nc(NC(=O)[C@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)sc1C ZINC000592149397 355516313 /nfs/dbraw/zinc/51/63/13/355516313.db2.gz OLVXNZDQJWDTKF-IINYFYTJSA-N 1 2 308.407 1.437 20 30 DDEDLO Cc1nc(NC(=O)[C@H](C)[N@H+]2CC[C@](O)(CC#N)C2)sc1C ZINC000592149397 355516316 /nfs/dbraw/zinc/51/63/16/355516316.db2.gz OLVXNZDQJWDTKF-IINYFYTJSA-N 1 2 308.407 1.437 20 30 DDEDLO CC(C)(C#N)CCC[N@@H+]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC000592155442 355520824 /nfs/dbraw/zinc/52/08/24/355520824.db2.gz WPPBBADFLLFDAH-AWEZNQCLSA-N 1 2 300.424 1.206 20 30 DDEDLO CC(C)(C#N)CCC[N@H+]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC000592155442 355520825 /nfs/dbraw/zinc/52/08/25/355520825.db2.gz WPPBBADFLLFDAH-AWEZNQCLSA-N 1 2 300.424 1.206 20 30 DDEDLO CC(C)O[C@H]1CCC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000593054081 355781551 /nfs/dbraw/zinc/78/15/51/355781551.db2.gz AIUDMAAZUZDUJS-HOTGVXAUSA-N 1 2 301.390 1.974 20 30 DDEDLO CC(C)O[C@H]1CCC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000593054081 355781557 /nfs/dbraw/zinc/78/15/57/355781557.db2.gz AIUDMAAZUZDUJS-HOTGVXAUSA-N 1 2 301.390 1.974 20 30 DDEDLO CC1(C)C[N@H+](CCOc2ccc(C#N)cc2)[C@@H]2COC[C@H]2O1 ZINC000593114829 355803631 /nfs/dbraw/zinc/80/36/31/355803631.db2.gz APHPYPAUIYKUIA-HZPDHXFCSA-N 1 2 302.374 1.815 20 30 DDEDLO CC1(C)C[N@@H+](CCOc2ccc(C#N)cc2)[C@@H]2COC[C@H]2O1 ZINC000593114829 355803633 /nfs/dbraw/zinc/80/36/33/355803633.db2.gz APHPYPAUIYKUIA-HZPDHXFCSA-N 1 2 302.374 1.815 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1ccsc1C#N)CC2 ZINC000593574571 355931210 /nfs/dbraw/zinc/93/12/10/355931210.db2.gz AOIAZJRJBVUIHD-UHFFFAOYSA-N 1 2 308.388 1.329 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCCCC(=O)OC(C)(C)C ZINC000594024728 356092482 /nfs/dbraw/zinc/09/24/82/356092482.db2.gz WDRXLLWHCKLVNV-INIZCTEOSA-N 1 2 311.426 1.849 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+]([C@H]2CC(=O)N(CC(F)(F)F)C2=O)C1 ZINC000594661401 356279493 /nfs/dbraw/zinc/27/94/93/356279493.db2.gz YCFPAFDYONKISC-UWVGGRQHSA-N 1 2 303.284 1.302 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+]([C@H]2CC(=O)N(CC(F)(F)F)C2=O)C1 ZINC000594661401 356279497 /nfs/dbraw/zinc/27/94/97/356279497.db2.gz YCFPAFDYONKISC-UWVGGRQHSA-N 1 2 303.284 1.302 20 30 DDEDLO Cc1cc(C)c(NC(=O)C(=O)N2CC[C@](C)(C#N)C2)c(C)[nH+]1 ZINC000595212733 356409697 /nfs/dbraw/zinc/40/96/97/356409697.db2.gz NHZDRYSLCBSQQW-MRXNPFEDSA-N 1 2 300.362 1.708 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@@H+]2CCC[C@@](C)(C#N)C2)cc1 ZINC000595423735 356486263 /nfs/dbraw/zinc/48/62/63/356486263.db2.gz GOXHKOLIUWYXAV-HNNXBMFYSA-N 1 2 307.419 1.720 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@H+]2CCC[C@@](C)(C#N)C2)cc1 ZINC000595423735 356486266 /nfs/dbraw/zinc/48/62/66/356486266.db2.gz GOXHKOLIUWYXAV-HNNXBMFYSA-N 1 2 307.419 1.720 20 30 DDEDLO COc1cccc(SCC[NH+]2CCN(C(=O)CC#N)CC2)c1 ZINC000595511704 356526268 /nfs/dbraw/zinc/52/62/68/356526268.db2.gz KJCPLBFUUPESDV-UHFFFAOYSA-N 1 2 319.430 1.845 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)NCC1(C#N)CCCCC1 ZINC000595552488 356546182 /nfs/dbraw/zinc/54/61/82/356546182.db2.gz WYGJZJZVHCGHLP-CQSZACIVSA-N 1 2 308.426 1.480 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)NCC1(C#N)CCCCC1 ZINC000595552488 356546183 /nfs/dbraw/zinc/54/61/83/356546183.db2.gz WYGJZJZVHCGHLP-CQSZACIVSA-N 1 2 308.426 1.480 20 30 DDEDLO N#Cc1sccc1N1CC[NH+](CCn2ccccc2=O)CC1 ZINC000595631103 356584985 /nfs/dbraw/zinc/58/49/85/356584985.db2.gz REOVCJDHKCELDQ-UHFFFAOYSA-N 1 2 314.414 1.604 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H](C)[C@H]1O ZINC000595742861 356631148 /nfs/dbraw/zinc/63/11/48/356631148.db2.gz JUOIEMQSCPBGDJ-VIKVFOODSA-N 1 2 322.430 1.281 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H](C)[C@H]1O ZINC000595742861 356631149 /nfs/dbraw/zinc/63/11/49/356631149.db2.gz JUOIEMQSCPBGDJ-VIKVFOODSA-N 1 2 322.430 1.281 20 30 DDEDLO CC(C)(C)OC(=O)[C@]1([NH2+]CCCSCC#N)CCOC1 ZINC000595745897 356633790 /nfs/dbraw/zinc/63/37/90/356633790.db2.gz WZQKUEHWZMMSRE-AWEZNQCLSA-N 1 2 300.424 1.724 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)NCc2ccc[nH+]c2N2CCCC2)C1 ZINC000595715228 356619717 /nfs/dbraw/zinc/61/97/17/356619717.db2.gz SYHUYFQNJQOTBT-MRXNPFEDSA-N 1 2 317.368 1.829 20 30 DDEDLO COc1cccc(OC)c1OC[C@@H](O)C[N@@H+]1CC[C@@](C)(C#N)C1 ZINC000595842735 356674269 /nfs/dbraw/zinc/67/42/69/356674269.db2.gz ZGSKTBUGGRADPF-GUYCJALGSA-N 1 2 320.389 1.679 20 30 DDEDLO COc1cccc(OC)c1OC[C@@H](O)C[N@H+]1CC[C@@](C)(C#N)C1 ZINC000595842735 356674273 /nfs/dbraw/zinc/67/42/73/356674273.db2.gz ZGSKTBUGGRADPF-GUYCJALGSA-N 1 2 320.389 1.679 20 30 DDEDLO C[C@@]1(C#N)CC[N@H+](C[C@@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000595842852 356674522 /nfs/dbraw/zinc/67/45/22/356674522.db2.gz HTRLFESGLKEVKR-DOMZBBRYSA-N 1 2 305.334 1.570 20 30 DDEDLO C[C@@]1(C#N)CC[N@@H+](C[C@@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000595842852 356674524 /nfs/dbraw/zinc/67/45/24/356674524.db2.gz HTRLFESGLKEVKR-DOMZBBRYSA-N 1 2 305.334 1.570 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3c(C#N)cnn3C)c[nH+]2)CCO1 ZINC000596077399 356764076 /nfs/dbraw/zinc/76/40/76/356764076.db2.gz VQBTZROGVASCHD-GFCCVEGCSA-N 1 2 312.377 1.524 20 30 DDEDLO N#CC1(CC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)CCOCC1 ZINC000597306457 357129545 /nfs/dbraw/zinc/12/95/45/357129545.db2.gz JHAVVBYBNNXSRV-HNNXBMFYSA-N 1 2 321.421 1.020 20 30 DDEDLO N#C[C@]1(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)CC12CCCC2 ZINC000597708634 357295595 /nfs/dbraw/zinc/29/55/95/357295595.db2.gz ZAZMKYMFPNMTSI-QAPCUYQASA-N 1 2 317.433 1.784 20 30 DDEDLO CC1(C)C[NH+](CC(=O)Nc2ccccc2-n2ncc(C#N)c2N)C1 ZINC000597635969 357263707 /nfs/dbraw/zinc/26/37/07/357263707.db2.gz AMRFBQBNTKBFHA-UHFFFAOYSA-N 1 2 324.388 1.606 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000598343809 357546553 /nfs/dbraw/zinc/54/65/53/357546553.db2.gz YQXHFKZGLFPNAD-UHFFFAOYSA-N 1 2 316.405 1.081 20 30 DDEDLO Cc1nn(C)c(C)c1[C@H]1COCC[N@@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598599569 357648499 /nfs/dbraw/zinc/64/84/99/357648499.db2.gz KDUZLNXJYNYJEL-LSDHHAIUSA-N 1 2 320.437 1.711 20 30 DDEDLO Cc1nn(C)c(C)c1[C@H]1COCC[N@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598599569 357648500 /nfs/dbraw/zinc/64/85/00/357648500.db2.gz KDUZLNXJYNYJEL-LSDHHAIUSA-N 1 2 320.437 1.711 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](C)[C@@H](CO)C1 ZINC000598646040 357667902 /nfs/dbraw/zinc/66/79/02/357667902.db2.gz JKTNWAHBCOHGJK-WQVCFCJDSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](C)[C@@H](CO)C1 ZINC000598646040 357667905 /nfs/dbraw/zinc/66/79/05/357667905.db2.gz JKTNWAHBCOHGJK-WQVCFCJDSA-N 1 2 302.374 1.723 20 30 DDEDLO [O-]C(=[NH+][C@H]1CCN(c2ccccn2)C1)N1CCn2c[nH+]cc2C1 ZINC000329681856 223013806 /nfs/dbraw/zinc/01/38/06/223013806.db2.gz XBQXZLLUCHTHSO-ZDUSSCGKSA-N 1 2 312.377 1.287 20 30 DDEDLO O=C(CNC(=O)C1CCCCC1)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000329742438 223022170 /nfs/dbraw/zinc/02/21/70/223022170.db2.gz PLVOBVCKPCQGDR-HNNXBMFYSA-N 1 2 323.437 1.456 20 30 DDEDLO Cc1ccccc1[C@@H]1C(=O)NCC[N@H+]1C[C@@H](O)CC1(C#N)CC1 ZINC000599364266 357910064 /nfs/dbraw/zinc/91/00/64/357910064.db2.gz JWQUDRNUPLBSEU-GOEBONIOSA-N 1 2 313.401 1.523 20 30 DDEDLO Cc1ccccc1[C@@H]1C(=O)NCC[N@@H+]1C[C@@H](O)CC1(C#N)CC1 ZINC000599364266 357910066 /nfs/dbraw/zinc/91/00/66/357910066.db2.gz JWQUDRNUPLBSEU-GOEBONIOSA-N 1 2 313.401 1.523 20 30 DDEDLO CN(C(=O)CN1CCc2[nH+]c[nH]c2C1)C1(C#N)CCCCC1 ZINC000599428462 357930853 /nfs/dbraw/zinc/93/08/53/357930853.db2.gz ACCCBWLTRJGVOI-UHFFFAOYSA-N 1 2 301.394 1.453 20 30 DDEDLO COC(=O)[C@@]1([NH2+]CCC(=O)N(C)CCC#N)CCCC(C)(C)C1 ZINC000599560266 357973898 /nfs/dbraw/zinc/97/38/98/357973898.db2.gz ZXCCEPFEIIIIFZ-QGZVFWFLSA-N 1 2 323.437 1.850 20 30 DDEDLO COC(=O)[C@]1(OC)CC[N@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000599563499 357974351 /nfs/dbraw/zinc/97/43/51/357974351.db2.gz HVISWTSLOZJNHI-HNNXBMFYSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@]1(OC)CC[N@@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000599563499 357974352 /nfs/dbraw/zinc/97/43/52/357974352.db2.gz HVISWTSLOZJNHI-HNNXBMFYSA-N 1 2 308.765 1.976 20 30 DDEDLO COC[C@@]1(C)C[N@@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)CCO1 ZINC000599691283 358027148 /nfs/dbraw/zinc/02/71/48/358027148.db2.gz DFZGZGXSJBAWLN-CXAGYDPISA-N 1 2 317.389 1.623 20 30 DDEDLO COC[C@@]1(C)C[N@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)CCO1 ZINC000599691283 358027152 /nfs/dbraw/zinc/02/71/52/358027152.db2.gz DFZGZGXSJBAWLN-CXAGYDPISA-N 1 2 317.389 1.623 20 30 DDEDLO N#CCc1ccccc1C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000601610847 358622569 /nfs/dbraw/zinc/62/25/69/358622569.db2.gz FZUZDAXNEPLSQU-INIZCTEOSA-N 1 2 313.401 1.689 20 30 DDEDLO C=CCCC(=O)NCc1ccc(C[NH+]2CCS(=O)CC2)cc1 ZINC000601642288 358634678 /nfs/dbraw/zinc/63/46/78/358634678.db2.gz QQKWNWXCULOMEF-UHFFFAOYSA-N 1 2 320.458 1.833 20 30 DDEDLO C=C(C)C[C@H](NC(=O)c1cc(-n2cc[nH+]c2)ccn1)C(=O)OC ZINC000601788693 358696385 /nfs/dbraw/zinc/69/63/85/358696385.db2.gz WSXPULTUYCEUPF-AWEZNQCLSA-N 1 2 314.345 1.505 20 30 DDEDLO CN(C(=O)C(=O)Nc1ccn2cc[nH+]c2c1)[C@H]1CCC[C@@H]1C#N ZINC000602062352 358796837 /nfs/dbraw/zinc/79/68/37/358796837.db2.gz LIUZUVXGIFFDFQ-YPMHNXCESA-N 1 2 311.345 1.423 20 30 DDEDLO CCc1c[nH]c(CC(=O)N2CCN(c3cccc(C#N)n3)CC2)[nH+]1 ZINC000602135835 358837476 /nfs/dbraw/zinc/83/74/76/358837476.db2.gz LQCDJNFMAYEOKM-UHFFFAOYSA-N 1 2 324.388 1.130 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N2CC[C@@](F)(C#N)C2)cc1 ZINC000602145604 358845270 /nfs/dbraw/zinc/84/52/70/358845270.db2.gz ZJQMGOHXEKWNBN-DOTOQJQBSA-N 1 2 317.364 1.734 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2C(=O)N2CC[C@@](F)(C#N)C2)cc1 ZINC000602145604 358845275 /nfs/dbraw/zinc/84/52/75/358845275.db2.gz ZJQMGOHXEKWNBN-DOTOQJQBSA-N 1 2 317.364 1.734 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)nc1 ZINC000602849566 359236693 /nfs/dbraw/zinc/23/66/93/359236693.db2.gz MGUGDMNKORVEFT-CHWSQXEVSA-N 1 2 302.378 1.286 20 30 DDEDLO Cc1cccc(NC(=O)C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)c1 ZINC000602855553 359241140 /nfs/dbraw/zinc/24/11/40/359241140.db2.gz IAUKCYNLSAYYRM-HUUCEWRRSA-N 1 2 300.406 1.852 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H](C)C[C@H]2CO)c(C#N)c1C ZINC000602930510 359301148 /nfs/dbraw/zinc/30/11/48/359301148.db2.gz DWAFZNVQQYUGBF-MFKMUULPSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H](C)C[C@H]2CO)c(C#N)c1C ZINC000602930510 359301149 /nfs/dbraw/zinc/30/11/49/359301149.db2.gz DWAFZNVQQYUGBF-MFKMUULPSA-N 1 2 305.378 1.799 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@H+](C)[C@@H]2CCC[C@@H]2C#N)CC1 ZINC000602974026 359334247 /nfs/dbraw/zinc/33/42/47/359334247.db2.gz IWRHZTIOLZTNQG-ZIAGYGMSSA-N 1 2 307.394 1.022 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@@H+](C)[C@@H]2CCC[C@@H]2C#N)CC1 ZINC000602974026 359334253 /nfs/dbraw/zinc/33/42/53/359334253.db2.gz IWRHZTIOLZTNQG-ZIAGYGMSSA-N 1 2 307.394 1.022 20 30 DDEDLO CC[C@@]1(O)CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000602983077 359337952 /nfs/dbraw/zinc/33/79/52/359337952.db2.gz AKTDMSYGQVXVPA-MRXNPFEDSA-N 1 2 322.430 1.569 20 30 DDEDLO CC[C@@]1(O)CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000602983077 359337956 /nfs/dbraw/zinc/33/79/56/359337956.db2.gz AKTDMSYGQVXVPA-MRXNPFEDSA-N 1 2 322.430 1.569 20 30 DDEDLO COC(=O)c1cccc(C[NH+]2CCN([C@H](C#N)C(C)C)CC2)n1 ZINC000618540602 363664643 /nfs/dbraw/zinc/66/46/43/363664643.db2.gz OBXHAJAWYXAYFJ-MRXNPFEDSA-N 1 2 316.405 1.534 20 30 DDEDLO N#Cc1cccc(CNC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)c1 ZINC000188573338 200268274 /nfs/dbraw/zinc/26/82/74/200268274.db2.gz HPFYZVPUNSWTMI-KRWDZBQOSA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1cccc(CNC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)c1 ZINC000188573338 200268276 /nfs/dbraw/zinc/26/82/76/200268276.db2.gz HPFYZVPUNSWTMI-KRWDZBQOSA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@@H]2NC(=O)NCC[NH+]1CCOCC1 ZINC000618630635 363695809 /nfs/dbraw/zinc/69/58/09/363695809.db2.gz KNUXWWFRQKBWJR-INIZCTEOSA-N 1 2 314.389 1.177 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000329938932 223050668 /nfs/dbraw/zinc/05/06/68/223050668.db2.gz SVOUWVNWDDPLTN-UKRRQHHQSA-N 1 2 316.361 1.127 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000329938932 223050670 /nfs/dbraw/zinc/05/06/70/223050670.db2.gz SVOUWVNWDDPLTN-UKRRQHHQSA-N 1 2 316.361 1.127 20 30 DDEDLO N#Cc1ccc(NCC(=O)N2CC(Oc3cc[nH+]cc3)C2)cc1 ZINC000609354546 360301070 /nfs/dbraw/zinc/30/10/70/360301070.db2.gz CYZKRFFNELRVLM-UHFFFAOYSA-N 1 2 308.341 1.655 20 30 DDEDLO Cc1ncoc1C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000329955122 223053828 /nfs/dbraw/zinc/05/38/28/223053828.db2.gz KLFXRCKBHXAVQO-CQSZACIVSA-N 1 2 311.407 1.496 20 30 DDEDLO Cc1csc(=O)n1CC[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609485955 360314094 /nfs/dbraw/zinc/31/40/94/360314094.db2.gz APFIURIIMVIFSS-CQSZACIVSA-N 1 2 308.451 1.384 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)C(=O)[C@@H]1CCCN1c1ccc(C#N)cc1 ZINC000609521799 360317047 /nfs/dbraw/zinc/31/70/47/360317047.db2.gz LRORTAIJAYYAAE-HNNXBMFYSA-N 1 2 309.373 1.909 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)N2CCC[C@H](C)C2)CC1 ZINC000609538307 360321816 /nfs/dbraw/zinc/32/18/16/360321816.db2.gz PWRTZOJANNAQOG-JKSUJKDBSA-N 1 2 306.454 1.411 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@H]1CCC[N@H+]1CCN1C(=O)CCC1=O ZINC000610604315 360480156 /nfs/dbraw/zinc/48/01/56/360480156.db2.gz OLEUZGZLKVYNDO-HZPDHXFCSA-N 1 2 311.385 1.907 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@H]1CCC[N@@H+]1CCN1C(=O)CCC1=O ZINC000610604315 360480159 /nfs/dbraw/zinc/48/01/59/360480159.db2.gz OLEUZGZLKVYNDO-HZPDHXFCSA-N 1 2 311.385 1.907 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)NC2(C#N)CCCCC2)cn1 ZINC000610999200 360597664 /nfs/dbraw/zinc/59/76/64/360597664.db2.gz LLSYOFKWIAGNLS-UHFFFAOYSA-N 1 2 316.405 1.865 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)NC2(C#N)CCCCC2)cn1 ZINC000610999200 360597671 /nfs/dbraw/zinc/59/76/71/360597671.db2.gz LLSYOFKWIAGNLS-UHFFFAOYSA-N 1 2 316.405 1.865 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)Cn1cc2ccccc2n1 ZINC000330082914 223072295 /nfs/dbraw/zinc/07/22/95/223072295.db2.gz QIFONXSUJAWXST-CYBMUJFWSA-N 1 2 302.378 1.714 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)Cn1cc2ccccc2n1 ZINC000330082914 223072296 /nfs/dbraw/zinc/07/22/96/223072296.db2.gz QIFONXSUJAWXST-CYBMUJFWSA-N 1 2 302.378 1.714 20 30 DDEDLO C[C@@H](C(=O)NCc1ccccc1)[NH+]1CCN(CCC#N)CC1 ZINC000611173970 360646339 /nfs/dbraw/zinc/64/63/39/360646339.db2.gz TUZPYPYGZNVLOG-HNNXBMFYSA-N 1 2 300.406 1.223 20 30 DDEDLO Cc1cccc(NC(=O)[C@H](C)[NH+]2CCN(CCC#N)CC2)c1 ZINC000611175013 360648037 /nfs/dbraw/zinc/64/80/37/360648037.db2.gz VECPTRADJSLSDL-HNNXBMFYSA-N 1 2 300.406 1.853 20 30 DDEDLO N#Cc1nc(C2CC2)oc1N1CC[NH+](CCn2ccnc2)CC1 ZINC000611225212 360667364 /nfs/dbraw/zinc/66/73/64/360667364.db2.gz VOCXIKYUCCSHFF-UHFFFAOYSA-N 1 2 312.377 1.442 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000611552205 360756563 /nfs/dbraw/zinc/75/65/63/360756563.db2.gz RNQSQTZIAJSEDX-INIZCTEOSA-N 1 2 316.380 1.310 20 30 DDEDLO COc1ccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)nc1 ZINC000272011357 209382903 /nfs/dbraw/zinc/38/29/03/209382903.db2.gz TXMARJJEBNHERY-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO COc1ccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)nc1 ZINC000272011357 209382906 /nfs/dbraw/zinc/38/29/06/209382906.db2.gz TXMARJJEBNHERY-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)N[C@@H]1CCO[C@@]2(CCSC2)C1 ZINC000330231501 223091470 /nfs/dbraw/zinc/09/14/70/223091470.db2.gz WWHYASXVNFFNRJ-RISCZKNCSA-N 1 2 310.423 1.478 20 30 DDEDLO C[C@@H]1CCCCN1C(=O)C[NH+]1CCN(C(=O)CCCC#N)CC1 ZINC000330897241 223151862 /nfs/dbraw/zinc/15/18/62/223151862.db2.gz NZPPLKIFVYLJEZ-OAHLLOKOSA-N 1 2 320.437 1.225 20 30 DDEDLO CCOc1nccc(C)c1C(=O)NC[C@H](C)[NH+]1CCOCC1 ZINC000331216585 223194084 /nfs/dbraw/zinc/19/40/84/223194084.db2.gz PKJCCEZKPRPYFG-ZDUSSCGKSA-N 1 2 307.394 1.814 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNS(=O)(=O)CC(C)(C)CC#N)CCC2 ZINC000331314603 223201711 /nfs/dbraw/zinc/20/17/11/223201711.db2.gz ISRNKNRWIOGSLN-ZDUSSCGKSA-N 1 2 324.450 1.928 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)COc1ccsc1 ZINC000337433650 223243818 /nfs/dbraw/zinc/24/38/18/223243818.db2.gz HKQFBEYOLJTAIG-UHFFFAOYSA-N 1 2 323.418 1.201 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@@H]1CC[N@@H+](C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000612995003 361231916 /nfs/dbraw/zinc/23/19/16/361231916.db2.gz KLNUEASUNIBVOM-HZSPNIEDSA-N 1 2 312.772 1.887 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@@H]1CC[N@H+](C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000612995003 361231920 /nfs/dbraw/zinc/23/19/20/361231920.db2.gz KLNUEASUNIBVOM-HZSPNIEDSA-N 1 2 312.772 1.887 20 30 DDEDLO COc1cc(CN(CCC#N)CC[NH+]2CCOCC2)ccn1 ZINC000339869033 223273659 /nfs/dbraw/zinc/27/36/59/223273659.db2.gz YGBPHZWPCFYWQK-UHFFFAOYSA-N 1 2 304.394 1.138 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](Cc3cccc(O)c3)CC2)ccn1 ZINC000193454419 201052895 /nfs/dbraw/zinc/05/28/95/201052895.db2.gz ZBIANXAJFKMNGV-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cccc(C#N)c2)C[C@H](C)O1 ZINC000194765343 201286098 /nfs/dbraw/zinc/28/60/98/201286098.db2.gz CGYSGBCFUCLFPC-OKILXGFUSA-N 1 2 301.390 1.739 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2cccc(C#N)c2)C[C@H](C)O1 ZINC000194765343 201286101 /nfs/dbraw/zinc/28/61/01/201286101.db2.gz CGYSGBCFUCLFPC-OKILXGFUSA-N 1 2 301.390 1.739 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1cc(O)cc(C#N)c1 ZINC000619140836 363911893 /nfs/dbraw/zinc/91/18/93/363911893.db2.gz YOATXHBGRWZLLU-GFCCVEGCSA-N 1 2 303.362 1.057 20 30 DDEDLO N#CCc1cccc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)c1 ZINC000619483781 364031514 /nfs/dbraw/zinc/03/15/14/364031514.db2.gz NAZWMIXQAKLTPB-UHFFFAOYSA-N 1 2 319.430 1.611 20 30 DDEDLO CC(C)c1ncc(C[N@H+](C)CC(=O)NC2(C#N)CCC2)cn1 ZINC000619652530 364101994 /nfs/dbraw/zinc/10/19/94/364101994.db2.gz HGJRZEPXKQUXKC-UHFFFAOYSA-N 1 2 301.394 1.594 20 30 DDEDLO CC(C)c1ncc(C[N@@H+](C)CC(=O)NC2(C#N)CCC2)cn1 ZINC000619652530 364102000 /nfs/dbraw/zinc/10/20/00/364102000.db2.gz HGJRZEPXKQUXKC-UHFFFAOYSA-N 1 2 301.394 1.594 20 30 DDEDLO C#CCCNC(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000265045854 204363161 /nfs/dbraw/zinc/36/31/61/204363161.db2.gz JCBOKVSOMUSZOL-CQSZACIVSA-N 1 2 300.337 1.971 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCc1nc(-c2ccco2)no1 ZINC000563809354 335023689 /nfs/dbraw/zinc/02/36/89/335023689.db2.gz DMKOTGZJEKWTAE-HNNXBMFYSA-N 1 2 317.349 1.222 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000274006434 211263812 /nfs/dbraw/zinc/26/38/12/211263812.db2.gz AAGSQJCNARYQNY-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000274006434 211263809 /nfs/dbraw/zinc/26/38/09/211263809.db2.gz AAGSQJCNARYQNY-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO N#C[C@@H]1CN(C(=O)NCc2ccccc2-n2cc[nH+]c2)CCO1 ZINC000106335180 194198376 /nfs/dbraw/zinc/19/83/76/194198376.db2.gz KUFRGVRZKRLAQL-CQSZACIVSA-N 1 2 311.345 1.306 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000633205985 422807357 /nfs/dbraw/zinc/80/73/57/422807357.db2.gz AACPHEMYLXIDQH-HNNXBMFYSA-N 1 2 317.437 1.701 20 30 DDEDLO COc1ccc(C(C)=O)cc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000414128759 224302408 /nfs/dbraw/zinc/30/24/08/224302408.db2.gz SPSPSKZDNWYJFF-AWEZNQCLSA-N 1 2 306.362 1.483 20 30 DDEDLO COc1ccc(C(C)=O)cc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414128759 224302410 /nfs/dbraw/zinc/30/24/10/224302410.db2.gz SPSPSKZDNWYJFF-AWEZNQCLSA-N 1 2 306.362 1.483 20 30 DDEDLO CN(C(=O)c1ccnc(C#N)c1)C(C)(C)C[NH+]1CCOCC1 ZINC000414035577 224292504 /nfs/dbraw/zinc/29/25/04/224292504.db2.gz CGYZEAWGOKLHLX-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO CS(=O)(=O)C1CCC(NC(=O)[C@@H]2CCC[N@@H+]2C2CC2)CC1 ZINC000330248171 533317140 /nfs/dbraw/zinc/31/71/40/533317140.db2.gz ZFMGCHRURBABJO-UBHUBRDASA-N 1 2 314.451 1.926 20 30 DDEDLO CS(=O)(=O)C1CCC(NC(=O)[C@@H]2CCC[N@H+]2C2CC2)CC1 ZINC000330248171 533317143 /nfs/dbraw/zinc/31/71/43/533317143.db2.gz ZFMGCHRURBABJO-UBHUBRDASA-N 1 2 314.451 1.926 20 30 DDEDLO COC(=O)C1CC[NH+](Cc2c(C#N)cccc2[N+](=O)[O-])CC1 ZINC000459304755 533293851 /nfs/dbraw/zinc/29/38/51/533293851.db2.gz MAQYMSDQUYFDJF-UHFFFAOYSA-N 1 2 303.318 1.851 20 30 DDEDLO CCO[C@@H]1C[C@H](NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)C12CCC2 ZINC000329919178 286312864 /nfs/dbraw/zinc/31/28/64/286312864.db2.gz GGBOMSVGDNUSKS-ZQDZILKHSA-N 1 2 323.437 1.263 20 30 DDEDLO CCO[C@@H]1C[C@H](NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)C12CCC2 ZINC000329919178 286312866 /nfs/dbraw/zinc/31/28/66/286312866.db2.gz GGBOMSVGDNUSKS-ZQDZILKHSA-N 1 2 323.437 1.263 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCCC[C@H]1C(N)=O)C1(C#N)CCCCC1 ZINC000015109030 406879551 /nfs/dbraw/zinc/87/95/51/406879551.db2.gz QKAUYALYBRVOCJ-ZDUSSCGKSA-N 1 2 306.410 1.011 20 30 DDEDLO CN(C(=O)C[N@H+]1CCCC[C@H]1C(N)=O)C1(C#N)CCCCC1 ZINC000015109030 406879553 /nfs/dbraw/zinc/87/95/53/406879553.db2.gz QKAUYALYBRVOCJ-ZDUSSCGKSA-N 1 2 306.410 1.011 20 30 DDEDLO N#CCCN(CCOc1ccc(F)cc1)CC[NH+]1CCOCC1 ZINC000078686887 407040898 /nfs/dbraw/zinc/04/08/98/407040898.db2.gz MBLUFABJFPDMJJ-UHFFFAOYSA-N 1 2 321.396 1.752 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccccc2Cl)CC1 ZINC000049443121 407120939 /nfs/dbraw/zinc/12/09/39/407120939.db2.gz XUYAOLGIECZUIM-UHFFFAOYSA-N 1 2 312.822 1.670 20 30 DDEDLO C[NH+](C)[C@H](CNS(=O)(=O)c1ccc(C#N)cc1)c1ccco1 ZINC000047031438 407069633 /nfs/dbraw/zinc/06/96/33/407069633.db2.gz UIQFHLQEQUFSAT-CQSZACIVSA-N 1 2 319.386 1.732 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@H+](CCC#N)CC2CC2)CC1 ZINC000081514756 407078177 /nfs/dbraw/zinc/07/81/77/407078177.db2.gz LCZAAGJKQUBSEZ-UHFFFAOYSA-N 1 2 321.421 1.414 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@@H+](CCC#N)CC2CC2)CC1 ZINC000081514756 407078181 /nfs/dbraw/zinc/07/81/81/407078181.db2.gz LCZAAGJKQUBSEZ-UHFFFAOYSA-N 1 2 321.421 1.414 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+](Cc2cnc3ccc(C#N)cn23)C1 ZINC000092705384 407191185 /nfs/dbraw/zinc/19/11/85/407191185.db2.gz MEDVEALVBBKBCA-CQSZACIVSA-N 1 2 311.389 1.697 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+](Cc2cnc3ccc(C#N)cn23)C1 ZINC000092705384 407191187 /nfs/dbraw/zinc/19/11/87/407191187.db2.gz MEDVEALVBBKBCA-CQSZACIVSA-N 1 2 311.389 1.697 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(Cc2cccnc2)CC1 ZINC000091077597 407176174 /nfs/dbraw/zinc/17/61/74/407176174.db2.gz MXHNLQDZUAJESY-UHFFFAOYSA-N 1 2 314.433 1.400 20 30 DDEDLO C=CCN(CC=C)C(=O)CN1CC[NH+](Cc2cccnc2)CC1 ZINC000091077597 407176175 /nfs/dbraw/zinc/17/61/75/407176175.db2.gz MXHNLQDZUAJESY-UHFFFAOYSA-N 1 2 314.433 1.400 20 30 DDEDLO C#CC[NH+](CC#C)Cc1ccccc1OCC(=O)N1CCCC1 ZINC000093475569 407198692 /nfs/dbraw/zinc/19/86/92/407198692.db2.gz TZXCGSWYORTYOI-UHFFFAOYSA-N 1 2 310.397 1.756 20 30 DDEDLO C[C@H]1CN(c2ccc(CNC(=O)C(C)(C)C#N)c[nH+]2)CCO1 ZINC000055387430 407202299 /nfs/dbraw/zinc/20/22/99/407202299.db2.gz MXDHFQJTYXVECA-LBPRGKRZSA-N 1 2 302.378 1.473 20 30 DDEDLO C=CC[N@H+](CC(=O)N1CCOCC1)Cc1cccc([N+](=O)[O-])c1 ZINC000063288329 407232679 /nfs/dbraw/zinc/23/26/79/407232679.db2.gz FSKDGYJAWFWDIE-UHFFFAOYSA-N 1 2 319.361 1.442 20 30 DDEDLO C=CC[N@@H+](CC(=O)N1CCOCC1)Cc1cccc([N+](=O)[O-])c1 ZINC000063288329 407232681 /nfs/dbraw/zinc/23/26/81/407232681.db2.gz FSKDGYJAWFWDIE-UHFFFAOYSA-N 1 2 319.361 1.442 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCc2nc(C3CC3)no2)cc1 ZINC000123378534 407327361 /nfs/dbraw/zinc/32/73/61/407327361.db2.gz YCRJAGLEPVRQBT-UHFFFAOYSA-N 1 2 302.334 1.987 20 30 DDEDLO CC(C)(CNC(=O)Nc1ccc(F)cc1C#N)[NH+]1CCOCC1 ZINC000097036527 407276201 /nfs/dbraw/zinc/27/62/01/407276201.db2.gz NBUHWUXWPCTTSY-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCc1ccc(-n2cccn2)cc1 ZINC000112335507 407426745 /nfs/dbraw/zinc/42/67/45/407426745.db2.gz AROIOGFTWBUXKV-UHFFFAOYSA-N 1 2 315.377 1.576 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2cccc(O)c2)CC1 ZINC000112731184 407438301 /nfs/dbraw/zinc/43/83/01/407438301.db2.gz RLSLSKONTYDWFS-UHFFFAOYSA-N 1 2 316.405 1.276 20 30 DDEDLO Cc1cc(C)c(C(=O)N(CCC#N)CC[NH+]2CCOCC2)[nH]1 ZINC000151630939 407468544 /nfs/dbraw/zinc/46/85/44/407468544.db2.gz BZRXRGAZMAXMLZ-UHFFFAOYSA-N 1 2 304.394 1.320 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(F)c(C#N)c2)C(C)(C)C1 ZINC000271072620 407500165 /nfs/dbraw/zinc/50/01/65/407500165.db2.gz WECQVJVRSRUDQE-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(F)c(C#N)c2)C(C)(C)C1 ZINC000271072620 407500167 /nfs/dbraw/zinc/50/01/67/407500167.db2.gz WECQVJVRSRUDQE-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)cn1 ZINC000115016029 407648758 /nfs/dbraw/zinc/64/87/58/407648758.db2.gz FWIOTSPLGTUJAG-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Nc1ccncc1 ZINC000115171017 407656190 /nfs/dbraw/zinc/65/61/90/407656190.db2.gz YCESDQAFHFEMDT-UHFFFAOYSA-N 1 2 303.366 1.161 20 30 DDEDLO CCN1CC[N@H+](C[C@@H](O)c2ccc(C#N)cc2)C(C)(C)C1=O ZINC000186531304 407691103 /nfs/dbraw/zinc/69/11/03/407691103.db2.gz RGQZOJANIHAPSJ-OAHLLOKOSA-N 1 2 301.390 1.534 20 30 DDEDLO CCN1CC[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)C(C)(C)C1=O ZINC000186531304 407691109 /nfs/dbraw/zinc/69/11/09/407691109.db2.gz RGQZOJANIHAPSJ-OAHLLOKOSA-N 1 2 301.390 1.534 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@H](NS(C)(=O)=O)C2)cc1C#N ZINC000135025964 407963877 /nfs/dbraw/zinc/96/38/77/407963877.db2.gz VIYHSGNMHKEMFV-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@H](NS(C)(=O)=O)C2)cc1C#N ZINC000135025964 407963884 /nfs/dbraw/zinc/96/38/84/407963884.db2.gz VIYHSGNMHKEMFV-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO COc1ccc(C[N@H+]([C@H](C)CS(C)(=O)=O)C2CC2)cc1C#N ZINC000135079605 407970874 /nfs/dbraw/zinc/97/08/74/407970874.db2.gz HYOYHFZTRORXOM-GFCCVEGCSA-N 1 2 322.430 1.964 20 30 DDEDLO COc1ccc(C[N@@H+]([C@H](C)CS(C)(=O)=O)C2CC2)cc1C#N ZINC000135079605 407970879 /nfs/dbraw/zinc/97/08/79/407970879.db2.gz HYOYHFZTRORXOM-GFCCVEGCSA-N 1 2 322.430 1.964 20 30 DDEDLO C[C@@H](CNC(=O)c1c(F)cc(C#N)cc1F)[NH+]1CCOCC1 ZINC000119036995 407981430 /nfs/dbraw/zinc/98/14/30/407981430.db2.gz CXYGVPUOMPHUBL-JTQLQIEISA-N 1 2 309.316 1.287 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCOC3(CCOCC3)C2)c1 ZINC000135634081 408041662 /nfs/dbraw/zinc/04/16/62/408041662.db2.gz MVDGXJPPETUESC-UHFFFAOYSA-N 1 2 315.373 1.378 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCOC3(CCOCC3)C2)c1 ZINC000135634081 408041671 /nfs/dbraw/zinc/04/16/71/408041671.db2.gz MVDGXJPPETUESC-UHFFFAOYSA-N 1 2 315.373 1.378 20 30 DDEDLO CC#CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000154534449 408048509 /nfs/dbraw/zinc/04/85/09/408048509.db2.gz FSNXPRWIYGDUMV-CQSZACIVSA-N 1 2 303.381 1.476 20 30 DDEDLO CC(C)N1CC[N@H+](CCCOc2cccc(C#N)c2)CC1=O ZINC000272600635 408001100 /nfs/dbraw/zinc/00/11/00/408001100.db2.gz QUOWROBZIXNQGM-UHFFFAOYSA-N 1 2 301.390 1.880 20 30 DDEDLO CC(C)N1CC[N@@H+](CCCOc2cccc(C#N)c2)CC1=O ZINC000272600635 408001107 /nfs/dbraw/zinc/00/11/07/408001107.db2.gz QUOWROBZIXNQGM-UHFFFAOYSA-N 1 2 301.390 1.880 20 30 DDEDLO COC(=O)C[N@H+](CC(=O)N(CCC#N)CCC#N)C1CCCC1 ZINC000268612069 408120610 /nfs/dbraw/zinc/12/06/10/408120610.db2.gz YLFDNEGODSKBLM-UHFFFAOYSA-N 1 2 320.393 1.060 20 30 DDEDLO COC(=O)C[N@@H+](CC(=O)N(CCC#N)CCC#N)C1CCCC1 ZINC000268612069 408120611 /nfs/dbraw/zinc/12/06/11/408120611.db2.gz YLFDNEGODSKBLM-UHFFFAOYSA-N 1 2 320.393 1.060 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000273308488 408147595 /nfs/dbraw/zinc/14/75/95/408147595.db2.gz NALWBTXJSVOTCN-HNNXBMFYSA-N 1 2 318.421 1.808 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(c2nccc(C)c2C#N)CC1 ZINC000273338341 408157085 /nfs/dbraw/zinc/15/70/85/408157085.db2.gz NGHKSLDMTCTXHU-AWEZNQCLSA-N 1 2 315.421 1.298 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCc1cccc2nsnc21 ZINC000268737208 408183168 /nfs/dbraw/zinc/18/31/68/408183168.db2.gz WDMHAZVICBTKPR-UHFFFAOYSA-N 1 2 307.379 1.395 20 30 DDEDLO C[C@H]1CSCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000147437624 408189349 /nfs/dbraw/zinc/18/93/49/408189349.db2.gz ABBYSYDEFACKKP-LBPRGKRZSA-N 1 2 310.444 1.769 20 30 DDEDLO C[C@H]1CSCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000147437624 408189355 /nfs/dbraw/zinc/18/93/55/408189355.db2.gz ABBYSYDEFACKKP-LBPRGKRZSA-N 1 2 310.444 1.769 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](N(C)C(=O)OC(C)(C)C)C1 ZINC000263697791 408212239 /nfs/dbraw/zinc/21/22/39/408212239.db2.gz MPZSPRTYRUDDHZ-CYBMUJFWSA-N 1 2 311.426 1.620 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](N(C)C(=O)OC(C)(C)C)C1 ZINC000263697791 408212248 /nfs/dbraw/zinc/21/22/48/408212248.db2.gz MPZSPRTYRUDDHZ-CYBMUJFWSA-N 1 2 311.426 1.620 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@@H](n2ccc(C(F)(F)F)n2)C1 ZINC000182851005 408267567 /nfs/dbraw/zinc/26/75/67/408267567.db2.gz ZNMTYZVLBFQXTI-SNVBAGLBSA-N 1 2 315.299 1.179 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@@H](n2ccc(C(F)(F)F)n2)C1 ZINC000182851005 408267576 /nfs/dbraw/zinc/26/75/76/408267576.db2.gz ZNMTYZVLBFQXTI-SNVBAGLBSA-N 1 2 315.299 1.179 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3ncccc3C#N)CC2)cc1C#N ZINC000191201380 408386094 /nfs/dbraw/zinc/38/60/94/408386094.db2.gz JZYUWMVKBIVPCM-UHFFFAOYSA-N 1 2 306.373 1.486 20 30 DDEDLO COC(=O)c1ccoc1CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000269890579 408459922 /nfs/dbraw/zinc/45/99/22/408459922.db2.gz VVPUJWWPDANWRR-UHFFFAOYSA-N 1 2 316.313 1.838 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(CC#Cc2ccc(F)cc2)CC1 ZINC000160842780 408529944 /nfs/dbraw/zinc/52/99/44/408529944.db2.gz RHBMJHORWSQGOF-UHFFFAOYSA-N 1 2 315.392 1.097 20 30 DDEDLO C=C(C)CN(CC)C(=O)CO[NH+]=C(N)Cc1csc(C)n1 ZINC000177560577 408658049 /nfs/dbraw/zinc/65/80/49/408658049.db2.gz WZLDRMOJSYVDHB-UHFFFAOYSA-N 1 2 310.423 1.707 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)[C@@H]2CCN(C(C)C)C2=O)c1 ZINC000177658202 408681294 /nfs/dbraw/zinc/68/12/94/408681294.db2.gz LHCDNAJTZXGXOV-MRXNPFEDSA-N 1 2 313.401 1.548 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)[C@@H]2CCN(C(C)C)C2=O)c1 ZINC000177658202 408681298 /nfs/dbraw/zinc/68/12/98/408681298.db2.gz LHCDNAJTZXGXOV-MRXNPFEDSA-N 1 2 313.401 1.548 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000185406149 408804763 /nfs/dbraw/zinc/80/47/63/408804763.db2.gz RQCXEAVOEWYRIJ-ZDUSSCGKSA-N 1 2 304.394 1.415 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@H]1CCSC1 ZINC000163126817 408760810 /nfs/dbraw/zinc/76/08/10/408760810.db2.gz DJEFXDFPALDFBH-ZDUSSCGKSA-N 1 2 310.444 1.769 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@H]1CCSC1 ZINC000163126817 408760814 /nfs/dbraw/zinc/76/08/14/408760814.db2.gz DJEFXDFPALDFBH-ZDUSSCGKSA-N 1 2 310.444 1.769 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)Nc1nc2cc(Cl)ccn2c1C#N ZINC000286004526 408906566 /nfs/dbraw/zinc/90/65/66/408906566.db2.gz DQSUOMSLEROSGF-LLVKDONJSA-N 1 2 319.796 1.992 20 30 DDEDLO Cc1cccn2cc(CNS(=O)(=O)N(C)C[C@H](C)C#N)[nH+]c12 ZINC000281784157 408929115 /nfs/dbraw/zinc/92/91/15/408929115.db2.gz NHAKJCHXFDPYHX-LLVKDONJSA-N 1 2 321.406 1.069 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)C[C@@](C)(O)C(F)(F)F)c1 ZINC000281899012 408950413 /nfs/dbraw/zinc/95/04/13/408950413.db2.gz FMJFYBJVNBYGQQ-CQSZACIVSA-N 1 2 314.307 1.852 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)C[C@@](C)(O)C(F)(F)F)c1 ZINC000281899012 408950414 /nfs/dbraw/zinc/95/04/14/408950414.db2.gz FMJFYBJVNBYGQQ-CQSZACIVSA-N 1 2 314.307 1.852 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N(C)CCCn1cc[nH+]c1 ZINC000281903008 408951854 /nfs/dbraw/zinc/95/18/54/408951854.db2.gz XLMVFIUFTQZQLJ-CABCVRRESA-N 1 2 306.410 1.896 20 30 DDEDLO COCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)Cc1ccccn1 ZINC000287233887 409006607 /nfs/dbraw/zinc/00/66/07/409006607.db2.gz GCUJFOZIBCAADT-KRWDZBQOSA-N 1 2 318.421 1.584 20 30 DDEDLO COCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)Cc1ccccn1 ZINC000287233887 409006609 /nfs/dbraw/zinc/00/66/09/409006609.db2.gz GCUJFOZIBCAADT-KRWDZBQOSA-N 1 2 318.421 1.584 20 30 DDEDLO Cc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)ncn1 ZINC000292529883 409035543 /nfs/dbraw/zinc/03/55/43/409035543.db2.gz OXPSVPVJFCKGQZ-CYBMUJFWSA-N 1 2 309.377 1.157 20 30 DDEDLO Cc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)ncn1 ZINC000292529883 409035546 /nfs/dbraw/zinc/03/55/46/409035546.db2.gz OXPSVPVJFCKGQZ-CYBMUJFWSA-N 1 2 309.377 1.157 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](CC(=O)OCC)Cc1ccc(F)cc1 ZINC000293112998 409052230 /nfs/dbraw/zinc/05/22/30/409052230.db2.gz OSVOSGJBIALBNX-UHFFFAOYSA-N 1 2 320.364 1.330 20 30 DDEDLO C#CCNC(=O)CC[N@H+](CC(=O)OCC)Cc1ccc(F)cc1 ZINC000293112998 409052232 /nfs/dbraw/zinc/05/22/32/409052232.db2.gz OSVOSGJBIALBNX-UHFFFAOYSA-N 1 2 320.364 1.330 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCc2nnc3n2CCCC3)c1 ZINC000278171478 409075860 /nfs/dbraw/zinc/07/58/60/409075860.db2.gz BETXMYJICULZMJ-UHFFFAOYSA-N 1 2 301.350 1.460 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@@H](C(C)C)[N@H+]2C[C@@H](C)O[C@@H](C)C2)c1C#N ZINC000278768506 409088386 /nfs/dbraw/zinc/08/83/86/409088386.db2.gz XZBMTISMRUEEOC-UHIISALHSA-N 1 2 319.409 1.662 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@@H](C(C)C)[N@@H+]2C[C@@H](C)O[C@@H](C)C2)c1C#N ZINC000278768506 409088388 /nfs/dbraw/zinc/08/83/88/409088388.db2.gz XZBMTISMRUEEOC-UHIISALHSA-N 1 2 319.409 1.662 20 30 DDEDLO C[N@@H+](Cc1ncnn1CC(F)F)C[C@@H](O)c1ccc(C#N)cc1 ZINC000278825003 409097302 /nfs/dbraw/zinc/09/73/02/409097302.db2.gz CNFFDEDUYGFDGV-CYBMUJFWSA-N 1 2 321.331 1.580 20 30 DDEDLO C[N@H+](Cc1ncnn1CC(F)F)C[C@@H](O)c1ccc(C#N)cc1 ZINC000278825003 409097303 /nfs/dbraw/zinc/09/73/03/409097303.db2.gz CNFFDEDUYGFDGV-CYBMUJFWSA-N 1 2 321.331 1.580 20 30 DDEDLO CC#CCN(C)C(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000293416224 409108816 /nfs/dbraw/zinc/10/88/16/409108816.db2.gz KSKIOFYWUBGRRL-UHFFFAOYSA-N 1 2 324.384 1.871 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)[C@H](C#N)Cc1ccc(C#N)cc1 ZINC000279692548 409247102 /nfs/dbraw/zinc/24/71/02/409247102.db2.gz IDAGOJHKIPZLME-HNNXBMFYSA-N 1 2 307.357 1.633 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)NCCCn2cc[nH+]c2)cc1 ZINC000279974218 409253740 /nfs/dbraw/zinc/25/37/40/409253740.db2.gz NPCWRBMIPTUAOI-INIZCTEOSA-N 1 2 307.357 1.644 20 30 DDEDLO C[C@H](c1ccsc1)[NH+]1CCN(S(=O)(=O)CCC#N)CC1 ZINC000295119964 409328397 /nfs/dbraw/zinc/32/83/97/409328397.db2.gz JRDZZZBZAXGBSL-GFCCVEGCSA-N 1 2 313.448 1.670 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CC[C@H](n2cc(Cl)cn2)C1)C1CC1 ZINC000289714182 409284867 /nfs/dbraw/zinc/28/48/67/409284867.db2.gz CMWPFGXCQBRKDN-ZFWWWQNUSA-N 1 2 321.812 1.592 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CC[C@H](n2cc(Cl)cn2)C1)C1CC1 ZINC000289714182 409284868 /nfs/dbraw/zinc/28/48/68/409284868.db2.gz CMWPFGXCQBRKDN-ZFWWWQNUSA-N 1 2 321.812 1.592 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289977919 409291258 /nfs/dbraw/zinc/29/12/58/409291258.db2.gz RMDCOJFPXDTZGQ-YPMHNXCESA-N 1 2 309.801 1.544 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289977919 409291261 /nfs/dbraw/zinc/29/12/61/409291261.db2.gz RMDCOJFPXDTZGQ-YPMHNXCESA-N 1 2 309.801 1.544 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@@H+]1CCOC[C@@H](O)C1 ZINC000295789828 409371120 /nfs/dbraw/zinc/37/11/20/409371120.db2.gz ZLEIMHRHINRRJK-KGLIPLIRSA-N 1 2 324.446 1.344 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@H+]1CCOC[C@@H](O)C1 ZINC000295789828 409371124 /nfs/dbraw/zinc/37/11/24/409371124.db2.gz ZLEIMHRHINRRJK-KGLIPLIRSA-N 1 2 324.446 1.344 20 30 DDEDLO CC1(C)C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@H]1O ZINC000320056326 164040237 /nfs/dbraw/zinc/04/02/37/164040237.db2.gz VOBYPQMMPZOSME-OAHLLOKOSA-N 1 2 322.430 1.425 20 30 DDEDLO CC1(C)C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@H]1O ZINC000320056326 164040240 /nfs/dbraw/zinc/04/02/40/164040240.db2.gz VOBYPQMMPZOSME-OAHLLOKOSA-N 1 2 322.430 1.425 20 30 DDEDLO CN(C)c1ccc(CNS(=O)(=O)CC2(C#N)CCC2)c[nH+]1 ZINC000354090167 409645400 /nfs/dbraw/zinc/64/54/00/409645400.db2.gz ANMFLMPNLMQIOS-UHFFFAOYSA-N 1 2 308.407 1.261 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1C[C@@H](C)[C@@H]([NH+]2CCOCC2)C1 ZINC000338124678 409736730 /nfs/dbraw/zinc/73/67/30/409736730.db2.gz NEGCCDSWPFUGEC-DYVFJYSZSA-N 1 2 313.401 1.659 20 30 DDEDLO C=CCOCC(=O)N[C@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000354296243 409751546 /nfs/dbraw/zinc/75/15/46/409751546.db2.gz MMKUHTHQRJOUDU-HNNXBMFYSA-N 1 2 303.337 1.967 20 30 DDEDLO C=CCOCC(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(OC)cc1 ZINC000354304209 409752168 /nfs/dbraw/zinc/75/21/68/409752168.db2.gz LVCHJFGGAXIGNO-MRXNPFEDSA-N 1 2 315.373 1.837 20 30 DDEDLO COC(=O)C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C1CCCC1 ZINC000316427832 409853929 /nfs/dbraw/zinc/85/39/29/409853929.db2.gz ATABRBDCFQUYCJ-INIZCTEOSA-N 1 2 307.394 1.212 20 30 DDEDLO COC(=O)C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C1CCCC1 ZINC000316427832 409853937 /nfs/dbraw/zinc/85/39/37/409853937.db2.gz ATABRBDCFQUYCJ-INIZCTEOSA-N 1 2 307.394 1.212 20 30 DDEDLO CC#CCN(C)C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000297344406 409910117 /nfs/dbraw/zinc/91/01/17/409910117.db2.gz HKUUTVWYSQLWBA-CQSZACIVSA-N 1 2 316.405 1.471 20 30 DDEDLO C[C@H](NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)c1nncn1C ZINC000328835381 409988206 /nfs/dbraw/zinc/98/82/06/409988206.db2.gz XBFKGGGCJMUDNV-NWDGAFQWSA-N 1 2 315.381 1.054 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NCC1(O)CCCC1)CCC2 ZINC000328657225 409951008 /nfs/dbraw/zinc/95/10/08/409951008.db2.gz OPDXXMQSRDGGES-ZDUSSCGKSA-N 1 2 306.410 1.878 20 30 DDEDLO CN1CCOC[C@@H]1C(=O)N[C@@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000328927703 410014177 /nfs/dbraw/zinc/01/41/77/410014177.db2.gz GBAPYSYTRVRELU-CHWSQXEVSA-N 1 2 320.437 1.783 20 30 DDEDLO Cn1cc[nH+]c1-c1cccc(NC(=O)c2cnn(C)c2C#N)c1 ZINC000354709974 410034184 /nfs/dbraw/zinc/03/41/84/410034184.db2.gz OJEFPCVZSRLGIS-UHFFFAOYSA-N 1 2 306.329 1.945 20 30 DDEDLO COc1ncccc1NC(=O)N1CC[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000328862257 409996862 /nfs/dbraw/zinc/99/68/62/409996862.db2.gz ZQERFNWZQKLHNE-QWHCGFSZSA-N 1 2 306.366 1.041 20 30 DDEDLO COc1ncccc1NC(=O)N1CC[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000328862257 409996872 /nfs/dbraw/zinc/99/68/72/409996872.db2.gz ZQERFNWZQKLHNE-QWHCGFSZSA-N 1 2 306.366 1.041 20 30 DDEDLO COc1ccc(F)cc1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000329040613 410067352 /nfs/dbraw/zinc/06/73/52/410067352.db2.gz DJSLUEMKLZNVRT-TZMCWYRMSA-N 1 2 309.341 1.395 20 30 DDEDLO COc1ccc(F)cc1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000329040613 410067362 /nfs/dbraw/zinc/06/73/62/410067362.db2.gz DJSLUEMKLZNVRT-TZMCWYRMSA-N 1 2 309.341 1.395 20 30 DDEDLO C[C@H](C(=O)Nc1ncccn1)[NH+]1CCC(N2CCCC2=O)CC1 ZINC000329081236 410091238 /nfs/dbraw/zinc/09/12/38/410091238.db2.gz DAOCROCANNXFMQ-GFCCVEGCSA-N 1 2 317.393 1.540 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNC(=O)c2sccc2C#N)C1 ZINC000354906973 410161531 /nfs/dbraw/zinc/16/15/31/410161531.db2.gz WBDPXNQDHXCMSB-LLVKDONJSA-N 1 2 321.402 1.235 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNC(=O)c2sccc2C#N)C1 ZINC000354906973 410161538 /nfs/dbraw/zinc/16/15/38/410161538.db2.gz WBDPXNQDHXCMSB-LLVKDONJSA-N 1 2 321.402 1.235 20 30 DDEDLO C[C@H](NC(=O)[C@H]1COCC[N@@H+]1CC1CCC1)c1nncn1C ZINC000329241868 410186581 /nfs/dbraw/zinc/18/65/81/410186581.db2.gz NXSUVKZKAYXXMH-WCQYABFASA-N 1 2 307.398 1.334 20 30 DDEDLO C[C@H](NC(=O)[C@H]1COCC[N@H+]1CC1CCC1)c1nncn1C ZINC000329241868 410186586 /nfs/dbraw/zinc/18/65/86/410186586.db2.gz NXSUVKZKAYXXMH-WCQYABFASA-N 1 2 307.398 1.334 20 30 DDEDLO CCOc1ccc(C[NH+]2CCN(C(=O)[C@H](C)C#N)CC2)cc1 ZINC000357806080 410258143 /nfs/dbraw/zinc/25/81/43/410258143.db2.gz SMAVXXRUYOHMHA-CQSZACIVSA-N 1 2 301.390 1.889 20 30 DDEDLO N#Cc1ccc(C(=O)Nc2ccc3c(c2)C[N@H+](CC(N)=O)CC3)o1 ZINC000351839936 410279299 /nfs/dbraw/zinc/27/92/99/410279299.db2.gz WRTHOSGLWJNDRI-UHFFFAOYSA-N 1 2 324.340 1.247 20 30 DDEDLO N#Cc1ccc(C(=O)Nc2ccc3c(c2)C[N@@H+](CC(N)=O)CC3)o1 ZINC000351839936 410279307 /nfs/dbraw/zinc/27/93/07/410279307.db2.gz WRTHOSGLWJNDRI-UHFFFAOYSA-N 1 2 324.340 1.247 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000333047002 410326830 /nfs/dbraw/zinc/32/68/30/410326830.db2.gz AZXBWVFKUPISDB-QXSJWSMHSA-N 1 2 323.437 1.225 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)NCC(C)(C)CCC#N ZINC000357989074 410333100 /nfs/dbraw/zinc/33/31/00/410333100.db2.gz LTYGHPSBHIFFRV-UHFFFAOYSA-N 1 2 304.350 1.475 20 30 DDEDLO C[C@@H](NC(=O)COc1ccccc1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000355151219 410345401 /nfs/dbraw/zinc/34/54/01/410345401.db2.gz SLQBAFMGUGPEMI-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@H]1C ZINC000358126099 410374739 /nfs/dbraw/zinc/37/47/39/410374739.db2.gz FJIMXGADDSEXJG-BFYDXBDKSA-N 1 2 310.438 1.537 20 30 DDEDLO [O-]C(=[NH+][C@@H]1[C@@H]2CCO[C@H]2C12CCC2)N1CCn2c[nH+]cc2C1 ZINC000329595943 410374888 /nfs/dbraw/zinc/37/48/88/410374888.db2.gz IJTXZJFNPWTIBL-BFHYXJOUSA-N 1 2 302.378 1.570 20 30 DDEDLO O=C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)N1CCn2c[nH+]cc2C1 ZINC000329595943 410374891 /nfs/dbraw/zinc/37/48/91/410374891.db2.gz IJTXZJFNPWTIBL-BFHYXJOUSA-N 1 2 302.378 1.570 20 30 DDEDLO N#Cc1ccc(C(=O)Nc2ccc(Cn3cc[nH+]c3)cn2)cn1 ZINC000343347906 410381377 /nfs/dbraw/zinc/38/13/77/410381377.db2.gz WJCJOWCNKGAZPS-UHFFFAOYSA-N 1 2 304.313 1.845 20 30 DDEDLO C=CCN1CC[C@H]([NH2+]C(C)(C)c2cc(C(=O)OC)on2)C1=O ZINC000351984399 410388824 /nfs/dbraw/zinc/38/88/24/410388824.db2.gz XPOHAAMKGCYEJV-JTQLQIEISA-N 1 2 307.350 1.073 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2cn[nH]c2C1)N1CC[C@H]([NH+]2CC=CC2)C1 ZINC000329843021 410461069 /nfs/dbraw/zinc/46/10/69/410461069.db2.gz KRBKVOUZLWGMGJ-KGLIPLIRSA-N 1 2 301.394 1.127 20 30 DDEDLO C=C[C@H](CO)NC(=O)NCCc1[nH+]ccn1Cc1ccccc1 ZINC000358447033 410472989 /nfs/dbraw/zinc/47/29/89/410472989.db2.gz CTQXTGVNWORMTC-OAHLLOKOSA-N 1 2 314.389 1.320 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@@H]1CCCOC1 ZINC000352229242 410567158 /nfs/dbraw/zinc/56/71/58/410567158.db2.gz DJBLYQPKQULEPC-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@@H]1CCCOC1 ZINC000352229242 410567165 /nfs/dbraw/zinc/56/71/65/410567165.db2.gz DJBLYQPKQULEPC-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO Cc1nnccc1NC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000330166909 410572203 /nfs/dbraw/zinc/57/22/03/410572203.db2.gz YLLZFBSHZGMZAO-CYBMUJFWSA-N 1 2 305.382 1.127 20 30 DDEDLO N#CC1(F)CC[NH+]([C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000352465004 410660134 /nfs/dbraw/zinc/66/01/34/410660134.db2.gz ZYRZEWCBAYZLMP-JTQLQIEISA-N 1 2 307.291 1.867 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cc(F)ccc2C)CC1 ZINC000352692331 410673769 /nfs/dbraw/zinc/67/37/69/410673769.db2.gz FIWBHVZFGIKTTC-UHFFFAOYSA-N 1 2 305.397 1.554 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)c2ccc(C#N)c(C)n2)CCO1 ZINC000347646588 410649193 /nfs/dbraw/zinc/64/91/93/410649193.db2.gz GMAJROWMDPGASB-AWEZNQCLSA-N 1 2 302.378 1.102 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)c2ccc(C#N)c(C)n2)CCO1 ZINC000347646588 410649197 /nfs/dbraw/zinc/64/91/97/410649197.db2.gz GMAJROWMDPGASB-AWEZNQCLSA-N 1 2 302.378 1.102 20 30 DDEDLO CC(C)[C@@H]([NH2+]CC(=O)Nc1ccc(C#N)cc1)c1nncn1C ZINC000352441673 410656921 /nfs/dbraw/zinc/65/69/21/410656921.db2.gz ARZHIZQDOJNRFU-OAHLLOKOSA-N 1 2 312.377 1.612 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H](F)C[C@@H]2CNc2nccnc2C#N)cn1 ZINC000359661007 410798480 /nfs/dbraw/zinc/79/84/80/410798480.db2.gz DZXGDYCLYPWSSH-CHWSQXEVSA-N 1 2 315.356 1.106 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H](F)C[C@@H]2CNc2nccnc2C#N)cn1 ZINC000359661007 410798487 /nfs/dbraw/zinc/79/84/87/410798487.db2.gz DZXGDYCLYPWSSH-CHWSQXEVSA-N 1 2 315.356 1.106 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(c2c(C#N)cccc2C#N)CC1 ZINC000302413387 410842041 /nfs/dbraw/zinc/84/20/41/410842041.db2.gz HGEUJIOAZSAGSN-UHFFFAOYSA-N 1 2 312.373 1.505 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(c2c(C#N)cccc2C#N)CC1 ZINC000302413387 410842050 /nfs/dbraw/zinc/84/20/50/410842050.db2.gz HGEUJIOAZSAGSN-UHFFFAOYSA-N 1 2 312.373 1.505 20 30 DDEDLO C=CCCC[C@@H](C)Nc1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000302376430 410842589 /nfs/dbraw/zinc/84/25/89/410842589.db2.gz CXVMHYPGRFHZFG-CYBMUJFWSA-N 1 2 303.410 1.912 20 30 DDEDLO C=CCCC[C@@H](C)Nc1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000302376430 410842594 /nfs/dbraw/zinc/84/25/94/410842594.db2.gz CXVMHYPGRFHZFG-CYBMUJFWSA-N 1 2 303.410 1.912 20 30 DDEDLO N#Cc1csc(C[NH+]2CCC(c3ccnn3CCO)CC2)n1 ZINC000356210399 410896132 /nfs/dbraw/zinc/89/61/32/410896132.db2.gz DFEQGAUISZVNPR-UHFFFAOYSA-N 1 2 317.418 1.583 20 30 DDEDLO C[C@H]1CC[C@@H](C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)O1 ZINC000331054223 410921793 /nfs/dbraw/zinc/92/17/93/410921793.db2.gz NXSPYIBPPSEHIV-YOEHRIQHSA-N 1 2 313.401 1.770 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC000331149969 410980217 /nfs/dbraw/zinc/98/02/17/410980217.db2.gz HPOUHZMAQAWLFY-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC000331149969 410980220 /nfs/dbraw/zinc/98/02/20/410980220.db2.gz HPOUHZMAQAWLFY-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO C[C@@H]1C[NH+](C[C@@H](O)COc2ccc(C#N)cc2)C[C@@H](C)S1=O ZINC000331184249 411004751 /nfs/dbraw/zinc/00/47/51/411004751.db2.gz SZIIPHBANHAMJV-UMVBOHGHSA-N 1 2 322.430 1.139 20 30 DDEDLO N#CC[C@@H](CC(=O)NC[C@@H]1C[NH+]2CCN1CC2)c1ccccc1 ZINC000356522820 411072451 /nfs/dbraw/zinc/07/24/51/411072451.db2.gz SWQSPTPUSCMRHL-DLBZAZTESA-N 1 2 312.417 1.190 20 30 DDEDLO C[C@@H](C(=O)Nc1c[nH+]ccc1OC(C)(C)C)n1cnc(C#N)n1 ZINC000360237119 411108712 /nfs/dbraw/zinc/10/87/12/411108712.db2.gz FUDVVSOLKBMQRY-JTQLQIEISA-N 1 2 314.349 1.922 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)[C@H]1COCC[N@H+]1CC ZINC000636752992 422953911 /nfs/dbraw/zinc/95/39/11/422953911.db2.gz XFIOWOZRMHVXRP-QGZVFWFLSA-N 1 2 302.418 1.964 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)[C@H]1COCC[N@@H+]1CC ZINC000636752992 422953913 /nfs/dbraw/zinc/95/39/13/422953913.db2.gz XFIOWOZRMHVXRP-QGZVFWFLSA-N 1 2 302.418 1.964 20 30 DDEDLO Cc1cc(NC(=O)NCCCc2[nH]nc(N)c2C#N)c(C)c[nH+]1 ZINC000534325590 416499401 /nfs/dbraw/zinc/49/94/01/416499401.db2.gz ZYWZNYLFKIVFEH-UHFFFAOYSA-N 1 2 313.365 1.052 20 30 DDEDLO C=CCCOCCNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000126140408 221564267 /nfs/dbraw/zinc/56/42/67/221564267.db2.gz RBCCAWINLNAXJB-AWEZNQCLSA-N 1 2 304.394 1.552 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+](C2(C(N)=O)CCCCC2)CC1 ZINC000373340299 418422110 /nfs/dbraw/zinc/42/21/10/418422110.db2.gz PSCUDUWBCRMOFI-HNNXBMFYSA-N 1 2 321.465 1.921 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1C[C@H](C)O[C@@]2(CCCOC2)C1 ZINC000366568073 418490279 /nfs/dbraw/zinc/49/02/79/418490279.db2.gz JLONREXXKKRRSC-RDJZCZTQSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1C[C@H](C)O[C@@]2(CCCOC2)C1 ZINC000366568073 418490281 /nfs/dbraw/zinc/49/02/81/418490281.db2.gz JLONREXXKKRRSC-RDJZCZTQSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000366568072 418491326 /nfs/dbraw/zinc/49/13/26/418491326.db2.gz JLONREXXKKRRSC-NVXWUHKLSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000366568072 418491329 /nfs/dbraw/zinc/49/13/29/418491329.db2.gz JLONREXXKKRRSC-NVXWUHKLSA-N 1 2 310.438 1.681 20 30 DDEDLO CS(=O)(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000191982404 418523269 /nfs/dbraw/zinc/52/32/69/418523269.db2.gz RQZWOBCNTGPDMJ-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CS(=O)(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000191982404 418523270 /nfs/dbraw/zinc/52/32/70/418523270.db2.gz RQZWOBCNTGPDMJ-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CCC[C@H](CN2CC[NH+](C)CC2)C1 ZINC000367206251 418570848 /nfs/dbraw/zinc/57/08/48/418570848.db2.gz OXROJWIFENSAGR-WBVHZDCISA-N 1 2 306.454 1.412 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC[C@@](F)(c2ccccc2)C1 ZINC000374540187 418538922 /nfs/dbraw/zinc/53/89/22/418538922.db2.gz WGNZPGKMCDGQFO-DYVFJYSZSA-N 1 2 319.380 1.957 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@@](F)(c2ccccc2)C1 ZINC000374540187 418538925 /nfs/dbraw/zinc/53/89/25/418538925.db2.gz WGNZPGKMCDGQFO-DYVFJYSZSA-N 1 2 319.380 1.957 20 30 DDEDLO Cc1c([C@@H]2CCC[N@H+]2CC(=O)N[C@](C)(C#N)C(C)C)cnn1C ZINC000367263913 418578400 /nfs/dbraw/zinc/57/84/00/418578400.db2.gz WXCQTUKLKRBYKD-DOTOQJQBSA-N 1 2 317.437 1.920 20 30 DDEDLO Cc1c([C@@H]2CCC[N@@H+]2CC(=O)N[C@](C)(C#N)C(C)C)cnn1C ZINC000367263913 418578401 /nfs/dbraw/zinc/57/84/01/418578401.db2.gz WXCQTUKLKRBYKD-DOTOQJQBSA-N 1 2 317.437 1.920 20 30 DDEDLO Cc1ccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)n1C ZINC000195166829 222193399 /nfs/dbraw/zinc/19/33/99/222193399.db2.gz SYXYNERFNSWSBM-UHFFFAOYSA-N 1 2 304.394 1.022 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)N(C)CC[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000195312454 222197334 /nfs/dbraw/zinc/19/73/34/222197334.db2.gz WYKFRTOCULUGON-IXDOHACOSA-N 1 2 323.481 1.617 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)N(C)CC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000195312454 222197337 /nfs/dbraw/zinc/19/73/37/222197337.db2.gz WYKFRTOCULUGON-IXDOHACOSA-N 1 2 323.481 1.617 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+]1C[C@H](O)C[C@H]1CO ZINC000245661399 222217486 /nfs/dbraw/zinc/21/74/86/222217486.db2.gz GCLVBCMKRJTGNN-QWHCGFSZSA-N 1 2 322.430 1.331 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+]1C[C@H](O)C[C@H]1CO ZINC000245661399 222217488 /nfs/dbraw/zinc/21/74/88/222217488.db2.gz GCLVBCMKRJTGNN-QWHCGFSZSA-N 1 2 322.430 1.331 20 30 DDEDLO CNc1cc(N2CCC[C@H]2CNC(=O)C2(C#N)CCC2)nc[nH+]1 ZINC000266367790 222361550 /nfs/dbraw/zinc/36/15/50/222361550.db2.gz QLUQWFBWSHBVTN-LBPRGKRZSA-N 1 2 314.393 1.297 20 30 DDEDLO CNc1cc(N2CCC[C@H]2CNC(=O)C2(C#N)CCC2)[nH+]cn1 ZINC000266367790 222361556 /nfs/dbraw/zinc/36/15/56/222361556.db2.gz QLUQWFBWSHBVTN-LBPRGKRZSA-N 1 2 314.393 1.297 20 30 DDEDLO CCc1nnc(C[N@@H+]2CCCN(c3ncccc3C#N)CC2)[nH]1 ZINC000375370662 418631490 /nfs/dbraw/zinc/63/14/90/418631490.db2.gz QPHBRNMOMCYTSI-UHFFFAOYSA-N 1 2 311.393 1.346 20 30 DDEDLO CCc1nnc(C[N@H+]2CCCN(c3ncccc3C#N)CC2)[nH]1 ZINC000375370662 418631493 /nfs/dbraw/zinc/63/14/93/418631493.db2.gz QPHBRNMOMCYTSI-UHFFFAOYSA-N 1 2 311.393 1.346 20 30 DDEDLO C=C[C@](C)(CC)C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000344085194 418633354 /nfs/dbraw/zinc/63/33/54/418633354.db2.gz CWUJAVWYIZCUOL-MRXNPFEDSA-N 1 2 306.410 1.756 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CC[NH+](C2(C(N)=O)CCCCC2)CC1 ZINC000368511964 418720737 /nfs/dbraw/zinc/72/07/37/418720737.db2.gz OOHNYLFGRJCYFK-INIZCTEOSA-N 1 2 320.437 1.259 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1CCOC[C@@H]1C1CC1)Cc1ccccc1 ZINC000375855066 418689856 /nfs/dbraw/zinc/68/98/56/418689856.db2.gz YEDAKGNPUJGBOB-GOSISDBHSA-N 1 2 312.413 1.759 20 30 DDEDLO C#CC[N@H+](CC(=O)N1CCOC[C@@H]1C1CC1)Cc1ccccc1 ZINC000375855066 418689858 /nfs/dbraw/zinc/68/98/58/418689858.db2.gz YEDAKGNPUJGBOB-GOSISDBHSA-N 1 2 312.413 1.759 20 30 DDEDLO CCOC1CC(CNc2ccncc2C#N)([NH+]2CCOCC2)C1 ZINC000376432851 418697011 /nfs/dbraw/zinc/69/70/11/418697011.db2.gz GLFVTLOARXNPLD-UHFFFAOYSA-N 1 2 316.405 1.057 20 30 DDEDLO C[C@H](C[NH+]1CCC(C#N)(c2ccccn2)CC1)CS(C)(=O)=O ZINC000376737069 418700600 /nfs/dbraw/zinc/70/06/00/418700600.db2.gz OFROLPMFLNUOOD-CQSZACIVSA-N 1 2 321.446 1.619 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[S@@](=O)C[C@@H](C)C1 ZINC000369347655 418731770 /nfs/dbraw/zinc/73/17/70/418731770.db2.gz YDSFNAZORRLDSD-PJSUUKDQSA-N 1 2 300.468 1.500 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[S@@](=O)C[C@@H](C)C1 ZINC000369347655 418731772 /nfs/dbraw/zinc/73/17/72/418731772.db2.gz YDSFNAZORRLDSD-PJSUUKDQSA-N 1 2 300.468 1.500 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC[N@H+](C)[C@H](C)[C@@H]1C ZINC000361971749 418732591 /nfs/dbraw/zinc/73/25/91/418732591.db2.gz DQJOYWJPHHBKFF-NEPJUHHUSA-N 1 2 323.418 1.280 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC[N@@H+](C)[C@H](C)[C@@H]1C ZINC000361971749 418732592 /nfs/dbraw/zinc/73/25/92/418732592.db2.gz DQJOYWJPHHBKFF-NEPJUHHUSA-N 1 2 323.418 1.280 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000362658087 418757675 /nfs/dbraw/zinc/75/76/75/418757675.db2.gz KZCPTLXKUADZNZ-ZBFHGGJFSA-N 1 2 303.406 1.761 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000362658087 418757678 /nfs/dbraw/zinc/75/76/78/418757678.db2.gz KZCPTLXKUADZNZ-ZBFHGGJFSA-N 1 2 303.406 1.761 20 30 DDEDLO N#Cc1ccc(NC(=O)[C@H]2CCCN(c3cccc[nH+]3)C2)nc1 ZINC000363123726 418762099 /nfs/dbraw/zinc/76/20/99/418762099.db2.gz IASRHRCGHNAPOJ-AWEZNQCLSA-N 1 2 307.357 2.203 20 30 DDEDLO CCOC(=O)N1CCC[N@H+](CC(=O)N(CC)C[C@H](C)C#N)CC1 ZINC000363118279 418762208 /nfs/dbraw/zinc/76/22/08/418762208.db2.gz VRWPRPDYCRMSMR-CQSZACIVSA-N 1 2 324.425 1.159 20 30 DDEDLO CCOC(=O)N1CCC[N@@H+](CC(=O)N(CC)C[C@H](C)C#N)CC1 ZINC000363118279 418762210 /nfs/dbraw/zinc/76/22/10/418762210.db2.gz VRWPRPDYCRMSMR-CQSZACIVSA-N 1 2 324.425 1.159 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC000363931744 418771495 /nfs/dbraw/zinc/77/14/95/418771495.db2.gz DQMSBDPWVOAGJR-CQSZACIVSA-N 1 2 309.410 1.018 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC000363931744 418771497 /nfs/dbraw/zinc/77/14/97/418771497.db2.gz DQMSBDPWVOAGJR-CQSZACIVSA-N 1 2 309.410 1.018 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCN(C4CC4)[C@@H](C#N)C3)n2c1 ZINC000371332802 418779524 /nfs/dbraw/zinc/77/95/24/418779524.db2.gz AYWDBABVAJNXJF-HNNXBMFYSA-N 1 2 306.373 1.378 20 30 DDEDLO C=CCOCCNC(=O)N1CCCN(c2cccc[nH+]2)CC1 ZINC000368701284 418724811 /nfs/dbraw/zinc/72/48/11/418724811.db2.gz ZSSQVSJBSXVPAC-UHFFFAOYSA-N 1 2 304.394 1.506 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1F ZINC000368922631 418726670 /nfs/dbraw/zinc/72/66/70/418726670.db2.gz ZOSLRWXIWSTSKN-RISCZKNCSA-N 1 2 300.337 1.970 20 30 DDEDLO Cn1nccc1C[N@@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000371454555 418792814 /nfs/dbraw/zinc/79/28/14/418792814.db2.gz ACJNADKCRJDXDR-PXAZEXFGSA-N 1 2 307.357 1.266 20 30 DDEDLO Cn1nccc1C[N@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000371454555 418792817 /nfs/dbraw/zinc/79/28/17/418792817.db2.gz ACJNADKCRJDXDR-PXAZEXFGSA-N 1 2 307.357 1.266 20 30 DDEDLO COc1cncc(N2CC[NH+](Cc3ccc(C#N)s3)CC2)n1 ZINC000371403755 418789121 /nfs/dbraw/zinc/78/91/21/418789121.db2.gz WKIJUUVVKCNKCV-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)CCCCC1 ZINC000372753728 418898720 /nfs/dbraw/zinc/89/87/20/418898720.db2.gz UKTFCJBSRTZESD-MRXNPFEDSA-N 1 2 304.434 1.940 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)CCCCC1 ZINC000372753728 418898722 /nfs/dbraw/zinc/89/87/22/418898722.db2.gz UKTFCJBSRTZESD-MRXNPFEDSA-N 1 2 304.434 1.940 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCO[C@@H](COC(C)C)C1 ZINC000372119590 418837234 /nfs/dbraw/zinc/83/72/34/418837234.db2.gz DFLDQJINWAQCPD-HZPDHXFCSA-N 1 2 312.454 1.925 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCO[C@@H](COC(C)C)C1 ZINC000372119590 418837236 /nfs/dbraw/zinc/83/72/36/418837236.db2.gz DFLDQJINWAQCPD-HZPDHXFCSA-N 1 2 312.454 1.925 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CCCN(c2c(C#N)cccc2C#N)CC1 ZINC000373045768 418924750 /nfs/dbraw/zinc/92/47/50/418924750.db2.gz KKSGUONSQRYLAX-UHFFFAOYSA-N 1 2 320.400 1.876 20 30 DDEDLO Cn1ccnc1C[N@H+]1CCCN(c2c(C#N)cccc2C#N)CC1 ZINC000373045768 418924752 /nfs/dbraw/zinc/92/47/52/418924752.db2.gz KKSGUONSQRYLAX-UHFFFAOYSA-N 1 2 320.400 1.876 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@H]1CCC[C@H](F)C1 ZINC000424627902 228296622 /nfs/dbraw/zinc/29/66/22/228296622.db2.gz LUSOATMYCLWQFM-GJZGRUSLSA-N 1 2 311.401 1.589 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC000412036640 419578497 /nfs/dbraw/zinc/57/84/97/419578497.db2.gz VTMPLNIRXMEWHU-GXTWGEPZSA-N 1 2 303.410 1.492 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC000412036640 419578506 /nfs/dbraw/zinc/57/85/06/419578506.db2.gz VTMPLNIRXMEWHU-GXTWGEPZSA-N 1 2 303.410 1.492 20 30 DDEDLO CC1(C)C[N@H+](Cc2cnc3ccc(C#N)cn23)C[C@H](CO)O1 ZINC000421359651 419584714 /nfs/dbraw/zinc/58/47/14/419584714.db2.gz GOBHUJMQVNPYIC-CQSZACIVSA-N 1 2 300.362 1.178 20 30 DDEDLO CC1(C)C[N@@H+](Cc2cnc3ccc(C#N)cn23)C[C@H](CO)O1 ZINC000421359651 419584722 /nfs/dbraw/zinc/58/47/22/419584722.db2.gz GOBHUJMQVNPYIC-CQSZACIVSA-N 1 2 300.362 1.178 20 30 DDEDLO CC1(C)CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@H](CO)O1 ZINC000421359651 419584727 /nfs/dbraw/zinc/58/47/27/419584727.db2.gz GOBHUJMQVNPYIC-CQSZACIVSA-N 1 2 300.362 1.178 20 30 DDEDLO CCCNc1nnc(SC[C@@H](O)C[N@H+](C)CCC#N)s1 ZINC000414091810 419808576 /nfs/dbraw/zinc/80/85/76/419808576.db2.gz DVVISBDELJPNHQ-JTQLQIEISA-N 1 2 315.468 1.658 20 30 DDEDLO CCCNc1nnc(SC[C@@H](O)C[N@@H+](C)CCC#N)s1 ZINC000414091810 419808582 /nfs/dbraw/zinc/80/85/82/419808582.db2.gz DVVISBDELJPNHQ-JTQLQIEISA-N 1 2 315.468 1.658 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)[C@]3(C#N)CC34CCCC4)C[C@@H]21 ZINC000428189976 419827192 /nfs/dbraw/zinc/82/71/92/419827192.db2.gz CNVAKRNUSDOTRZ-JJRVBVJISA-N 1 2 303.406 1.392 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)[C@]3(C#N)CC34CCCC4)C[C@@H]21 ZINC000428189976 419827195 /nfs/dbraw/zinc/82/71/95/419827195.db2.gz CNVAKRNUSDOTRZ-JJRVBVJISA-N 1 2 303.406 1.392 20 30 DDEDLO Cn1cc(C[NH+]2CCN(Cc3cccc(F)c3C#N)CC2)cn1 ZINC000428233227 419834198 /nfs/dbraw/zinc/83/41/98/419834198.db2.gz XXYUIQWNEMEQIT-UHFFFAOYSA-N 1 2 313.380 1.749 20 30 DDEDLO CC(C)[C@H](CNc1nccc(C#N)c1Cl)[NH+]1CCOCC1 ZINC000429204060 419986117 /nfs/dbraw/zinc/98/61/17/419986117.db2.gz XIEDGXVFESNDPY-ZDUSSCGKSA-N 1 2 308.813 2.375 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)C(=O)OCC ZINC000456605601 420512790 /nfs/dbraw/zinc/51/27/90/420512790.db2.gz KRTOIKJGIVHNPR-DGCLKSJQSA-N 1 2 305.378 1.844 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@@H]1CCCc2[nH]c[nH+]c21)C(=O)OCC ZINC000456605601 420512792 /nfs/dbraw/zinc/51/27/92/420512792.db2.gz KRTOIKJGIVHNPR-DGCLKSJQSA-N 1 2 305.378 1.844 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)/C=C/c2[nH+]ccn2CC)C1=O ZINC000492571476 420595927 /nfs/dbraw/zinc/59/59/27/420595927.db2.gz ZYJYHGPDZRSAOV-YBJDMEARSA-N 1 2 302.378 1.162 20 30 DDEDLO C=C(C)CCNC(=O)NC[C@@H]1C[C@H](F)CN1Cc1[nH+]ccn1C ZINC000458989384 420778009 /nfs/dbraw/zinc/77/80/09/420778009.db2.gz BCAVUFCQVXWJBU-KBPBESRZSA-N 1 2 323.416 1.598 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2cnc(C)s2)CC1 ZINC000448950533 420920778 /nfs/dbraw/zinc/92/07/78/420920778.db2.gz NTIKSNUYCOEQFB-CABCVRRESA-N 1 2 321.446 1.687 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000448613613 420872329 /nfs/dbraw/zinc/87/23/29/420872329.db2.gz NZIQOKCHBUVFCJ-CVEARBPZSA-N 1 2 301.390 1.317 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C(=O)[C@]2(C#N)C[C@H]2C)CC1 ZINC000448708812 420885191 /nfs/dbraw/zinc/88/51/91/420885191.db2.gz OUVPYYWZJONTCK-BZNIZROVSA-N 1 2 302.378 1.485 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)NC(CF)CF)CC2)cc1 ZINC000455160216 420975620 /nfs/dbraw/zinc/97/56/20/420975620.db2.gz ISJBXQIVDNPDTD-UHFFFAOYSA-N 1 2 322.359 1.693 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000495869746 421078216 /nfs/dbraw/zinc/07/82/16/421078216.db2.gz QZJRIUUKSZQVKI-CJNGLKHVSA-N 1 2 324.425 1.203 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000495869746 421078219 /nfs/dbraw/zinc/07/82/19/421078219.db2.gz QZJRIUUKSZQVKI-CJNGLKHVSA-N 1 2 324.425 1.203 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1sc2c(c1C(=O)OC)CCC2 ZINC000491820858 421204010 /nfs/dbraw/zinc/20/40/10/421204010.db2.gz YUDSYNPASCQKBS-JTQLQIEISA-N 1 2 320.414 1.915 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1sc2c(c1C(=O)OC)CCC2 ZINC000491820858 421204013 /nfs/dbraw/zinc/20/40/13/421204013.db2.gz YUDSYNPASCQKBS-JTQLQIEISA-N 1 2 320.414 1.915 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCC[C@H](OCCO)C2)c1C#N ZINC000548076845 421397795 /nfs/dbraw/zinc/39/77/95/421397795.db2.gz UWJJTRZUDUGLJU-AWEZNQCLSA-N 1 2 318.373 1.318 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCC[C@H](OCCO)C2)c1C#N ZINC000548076845 421397798 /nfs/dbraw/zinc/39/77/98/421397798.db2.gz UWJJTRZUDUGLJU-AWEZNQCLSA-N 1 2 318.373 1.318 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1cnn(C)c1C#N)c1ccccc1 ZINC000527512676 421392662 /nfs/dbraw/zinc/39/26/62/421392662.db2.gz FTXWJLJDVBZQRB-OAHLLOKOSA-N 1 2 320.356 1.545 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCO[C@]3(CCSC3)C2)CCCCC1 ZINC000564919243 421594492 /nfs/dbraw/zinc/59/44/92/421594492.db2.gz BCZPXODMFRWYDT-MRXNPFEDSA-N 1 2 323.462 1.537 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCO[C@]3(CCSC3)C2)CCCCC1 ZINC000564919243 421594493 /nfs/dbraw/zinc/59/44/93/421594493.db2.gz BCZPXODMFRWYDT-MRXNPFEDSA-N 1 2 323.462 1.537 20 30 DDEDLO CC(=O)Nc1ccc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000566306284 421604251 /nfs/dbraw/zinc/60/42/51/421604251.db2.gz LBYNTEUZLLHSTA-INIZCTEOSA-N 1 2 302.378 1.148 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCOc2cccc(Cl)c2)CC1 ZINC000568659913 421621316 /nfs/dbraw/zinc/62/13/16/421621316.db2.gz MWYQVYNZTUONOY-UHFFFAOYSA-N 1 2 307.781 1.777 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N[C@H]2CCCC[C@H]2C)C1=O ZINC000532217197 421652225 /nfs/dbraw/zinc/65/22/25/421652225.db2.gz ZYIKBNAMOHAKQE-ILXRZTDVSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N[C@H]2CCCC[C@H]2C)C1=O ZINC000532217197 421652227 /nfs/dbraw/zinc/65/22/27/421652227.db2.gz ZYIKBNAMOHAKQE-ILXRZTDVSA-N 1 2 307.438 1.400 20 30 DDEDLO C[N@H+](CCCOc1cccc(C#N)c1)Cc1nnc2n1CCC2 ZINC000532422788 421660029 /nfs/dbraw/zinc/66/00/29/421660029.db2.gz OTOLADZZKBZESW-UHFFFAOYSA-N 1 2 311.389 1.997 20 30 DDEDLO C[N@@H+](CCCOc1cccc(C#N)c1)Cc1nnc2n1CCC2 ZINC000532422788 421660033 /nfs/dbraw/zinc/66/00/33/421660033.db2.gz OTOLADZZKBZESW-UHFFFAOYSA-N 1 2 311.389 1.997 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000566006184 421601675 /nfs/dbraw/zinc/60/16/75/421601675.db2.gz JNHSLCIPAGJOOF-JYJNAYRXSA-N 1 2 323.437 1.084 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCC(F)(F)[C@@H](CO)C2)c1C#N ZINC000555254777 421673575 /nfs/dbraw/zinc/67/35/75/421673575.db2.gz GPASAIMREKNIQJ-GFCCVEGCSA-N 1 2 324.327 1.794 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCC(F)(F)[C@@H](CO)C2)c1C#N ZINC000555254777 421673580 /nfs/dbraw/zinc/67/35/80/421673580.db2.gz GPASAIMREKNIQJ-GFCCVEGCSA-N 1 2 324.327 1.794 20 30 DDEDLO COc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc([N+](=O)[O-])c1C ZINC000518986574 421674229 /nfs/dbraw/zinc/67/42/29/421674229.db2.gz RYRYATSGJQFWJY-OAHLLOKOSA-N 1 2 320.349 1.486 20 30 DDEDLO N#CC1CC[NH+]([C@@H]2CC(=O)N(CCc3ccccc3)C2=O)CC1 ZINC000519933002 421737266 /nfs/dbraw/zinc/73/72/66/421737266.db2.gz DPKMNBQQHQJAEI-MRXNPFEDSA-N 1 2 311.385 1.592 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)nn1 ZINC000520399174 421749108 /nfs/dbraw/zinc/74/91/08/421749108.db2.gz VZWRHZKEUQUEPX-GFCCVEGCSA-N 1 2 300.366 1.597 20 30 DDEDLO COc1ccccc1N1CC[C@H]([NH2+]C[C@H](C#N)CCC#N)C1=O ZINC000558674336 421819734 /nfs/dbraw/zinc/81/97/34/421819734.db2.gz ZQOGHITUCRFAFH-KBPBESRZSA-N 1 2 312.373 1.834 20 30 DDEDLO CN(C)c1nc(N)nc(C[NH2+]C(C)(C)c2cccc(C#N)c2)n1 ZINC000595640646 422358219 /nfs/dbraw/zinc/35/82/19/422358219.db2.gz SXMASPLGDHRCIM-UHFFFAOYSA-N 1 2 311.393 1.416 20 30 DDEDLO C=C(C)CNC(=O)c1ccc(NCC[NH+]2CCOCC2)c(F)c1 ZINC000632572450 422445249 /nfs/dbraw/zinc/44/52/49/422445249.db2.gz XBELWCNTVXFCFL-UHFFFAOYSA-N 1 2 321.396 1.876 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCC=C(c2ccccn2)C1)C1CC1 ZINC000577461049 422386160 /nfs/dbraw/zinc/38/61/60/422386160.db2.gz QFOBMWUYMSEVPO-SFHVURJKSA-N 1 2 310.401 1.979 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCC=C(c2ccccn2)C1)C1CC1 ZINC000577461049 422386171 /nfs/dbraw/zinc/38/61/71/422386171.db2.gz QFOBMWUYMSEVPO-SFHVURJKSA-N 1 2 310.401 1.979 20 30 DDEDLO Cc1cc(NCCNC(=O)NCCCC#N)nc(C(C)C)[nH+]1 ZINC000599035102 422401549 /nfs/dbraw/zinc/40/15/49/422401549.db2.gz TYDHOIWSAFEXNG-UHFFFAOYSA-N 1 2 304.398 1.923 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCOC[C@H]2C2CCC2)cc1 ZINC000579234209 422730745 /nfs/dbraw/zinc/73/07/45/422730745.db2.gz ROAMZYARDLHMFZ-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCOC[C@H]2C2CCC2)cc1 ZINC000579234209 422730749 /nfs/dbraw/zinc/73/07/49/422730749.db2.gz ROAMZYARDLHMFZ-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(N3CCCNC3=O)CC2)nn1 ZINC000653587530 423539285 /nfs/dbraw/zinc/53/92/85/423539285.db2.gz GIXQBGRRLVZWTJ-UHFFFAOYSA-N 1 2 318.425 1.234 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000655161542 424263730 /nfs/dbraw/zinc/26/37/30/424263730.db2.gz ZDSOBFQKNQSQKV-WDEREUQCSA-N 1 2 317.311 1.347 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H](C)C(=O)Nc2cccnc2C)nn1 ZINC000657486735 424377550 /nfs/dbraw/zinc/37/75/50/424377550.db2.gz AREVUUCXMGIJDB-ZDUSSCGKSA-N 1 2 314.393 1.674 20 30 DDEDLO C=CCN(C(=O)NCC1([NH+]2CCOCC2)CC1)[C@H](C)COC ZINC000664758758 424697436 /nfs/dbraw/zinc/69/74/36/424697436.db2.gz HAVKDUNHJYVFFF-CQSZACIVSA-N 1 2 311.426 1.084 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000660707661 424751971 /nfs/dbraw/zinc/75/19/71/424751971.db2.gz QXPBGKBVFODMPY-QXSJWSMHSA-N 1 2 307.438 1.988 20 30 DDEDLO CCN1CCN(c2snc(C)c2C#N)C[C@@H]1c1[nH]cc[nH+]1 ZINC000376458330 266152012 /nfs/dbraw/zinc/15/20/12/266152012.db2.gz AFPUFOHPVVZOAJ-GFCCVEGCSA-N 1 2 302.407 1.930 20 30 DDEDLO C[C@@H]1OCC[C@@]12C[N@H+](CC(=O)Nc1ccc(C#N)cc1)CCO2 ZINC000369299699 267100298 /nfs/dbraw/zinc/10/02/98/267100298.db2.gz VNOKCANCGPCUPP-SUMWQHHRSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@@H]1OCC[C@@]12C[N@@H+](CC(=O)Nc1ccc(C#N)cc1)CCO2 ZINC000369299699 267100300 /nfs/dbraw/zinc/10/03/00/267100300.db2.gz VNOKCANCGPCUPP-SUMWQHHRSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1ccc(C#N)cc1 ZINC000356148102 267172619 /nfs/dbraw/zinc/17/26/19/267172619.db2.gz IHILXCYCRFQRPS-KBPBESRZSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCOC[C@@H]1C)c1ccc(C#N)cc1 ZINC000356148102 267172622 /nfs/dbraw/zinc/17/26/22/267172622.db2.gz IHILXCYCRFQRPS-KBPBESRZSA-N 1 2 316.405 1.639 20 30 DDEDLO N#Cc1ccc(NCC(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)cc1 ZINC000354407134 268153156 /nfs/dbraw/zinc/15/31/56/268153156.db2.gz RIUKDHGLBZLDCA-UHFFFAOYSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC000378077190 268174187 /nfs/dbraw/zinc/17/41/87/268174187.db2.gz ZXWAIWCEYXMPLO-UHFFFAOYSA-N 1 2 311.345 1.359 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CC3(C2)CCCOC3)cc1 ZINC000367887138 268186945 /nfs/dbraw/zinc/18/69/45/268186945.db2.gz MCIPGZGSKRENDB-UHFFFAOYSA-N 1 2 320.414 1.444 20 30 DDEDLO N#Cc1cccc(CNC(=O)CN2CCC[C@@H]2c2[nH]cc[nH+]2)c1 ZINC000376165869 268249411 /nfs/dbraw/zinc/24/94/11/268249411.db2.gz JEJVZKTXECQRKX-OAHLLOKOSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1cccc(OCC[NH+]2CCN([C@@H]3CCOC3)CC2)c1 ZINC000366514755 268274231 /nfs/dbraw/zinc/27/42/31/268274231.db2.gz NTBWQFLWUQWLJP-MRXNPFEDSA-N 1 2 301.390 1.344 20 30 DDEDLO C=C[C@H](C)NC(=O)[C@@H]1CCC(=O)N(C2CC2)[C@@H]1c1c[nH+]cn1C ZINC000345732262 277910349 /nfs/dbraw/zinc/91/03/49/277910349.db2.gz ICSNJGVFSQETFC-GHJWDPDVSA-N 1 2 316.405 1.553 20 30 DDEDLO CC#CCNC(=O)[C@@H]1CCC(=O)N(C2CC2)[C@@H]1c1c[nH+]cn1C ZINC000343678335 277927529 /nfs/dbraw/zinc/92/75/29/277927529.db2.gz SKAOQUCZKCIDDV-CJNGLKHVSA-N 1 2 314.389 1.002 20 30 DDEDLO Cc1nc(NC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@@H]3C2)sc1C ZINC000328839709 279095238 /nfs/dbraw/zinc/09/52/38/279095238.db2.gz OKPXMBVCUTZRQN-VXGBXAGGSA-N 1 2 310.423 1.710 20 30 DDEDLO Cc1nc(NC(=O)N2CC[C@H]3OCC[N@H+](C)[C@@H]3C2)sc1C ZINC000328839709 279095239 /nfs/dbraw/zinc/09/52/39/279095239.db2.gz OKPXMBVCUTZRQN-VXGBXAGGSA-N 1 2 310.423 1.710 20 30 DDEDLO Cc1noc([C@@H]2CCN(C([O-])=[NH+][C@@H]3CCc4[nH+]c[nH]c4C3)C2)n1 ZINC000329695052 279256044 /nfs/dbraw/zinc/25/60/44/279256044.db2.gz BESZMQVURRWSRX-GHMZBOCLSA-N 1 2 316.365 1.362 20 30 DDEDLO Cc1noc([C@@H]2CCN(C([O-])=[NH+][C@@H]3CCc4[nH]c[nH+]c4C3)C2)n1 ZINC000329695052 279256045 /nfs/dbraw/zinc/25/60/45/279256045.db2.gz BESZMQVURRWSRX-GHMZBOCLSA-N 1 2 316.365 1.362 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)N(CCC#N)CCC#N)[C@@H]1[C@@H]1CCCO1 ZINC000367701369 281230146 /nfs/dbraw/zinc/23/01/46/281230146.db2.gz GGFGPIDKDIZQTQ-GOEBONIOSA-N 1 2 318.421 1.532 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)N(CCC#N)CCC#N)[C@@H]1[C@@H]1CCCO1 ZINC000367701369 281230148 /nfs/dbraw/zinc/23/01/48/281230148.db2.gz GGFGPIDKDIZQTQ-GOEBONIOSA-N 1 2 318.421 1.532 20 30 DDEDLO CN1CCN(S(=O)(=O)c2ccccc2C#N)c2ccc[nH+]c21 ZINC000490296945 290052854 /nfs/dbraw/zinc/05/28/54/290052854.db2.gz BTNICFFMNYNMDH-UHFFFAOYSA-N 1 2 314.370 1.598 20 30 DDEDLO CN(C)c1cccc(C[NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)n1 ZINC000163128705 298206356 /nfs/dbraw/zinc/20/63/56/298206356.db2.gz UVMANHFXXGGWOC-MRXNPFEDSA-N 1 2 309.373 1.335 20 30 DDEDLO C[C@H](CNC(=O)C1([NH+]2CCOCC2)CCC1)N1CCCCC1=O ZINC000328740927 298243638 /nfs/dbraw/zinc/24/36/38/298243638.db2.gz IQMSEQNODYXWIQ-CQSZACIVSA-N 1 2 323.437 1.599 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000330164893 298244147 /nfs/dbraw/zinc/24/41/47/298244147.db2.gz WLTUFJBFQGQKJC-HFAKWTLXSA-N 1 2 311.382 1.288 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000330164893 298244149 /nfs/dbraw/zinc/24/41/49/298244149.db2.gz WLTUFJBFQGQKJC-HFAKWTLXSA-N 1 2 311.382 1.288 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[NH2+]C[C@@](C)(F)C1 ZINC000420450082 301075428 /nfs/dbraw/zinc/07/54/28/301075428.db2.gz YDMBCRPDPQBMJV-CQSZACIVSA-N 1 2 311.382 1.189 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]2C(=O)NCC2CCOCC2)o1 ZINC000370293885 301311252 /nfs/dbraw/zinc/31/12/52/301311252.db2.gz JPVGLVGLDBKVFU-MRXNPFEDSA-N 1 2 317.389 1.658 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]2C(=O)NCC2CCOCC2)o1 ZINC000370293885 301311255 /nfs/dbraw/zinc/31/12/55/301311255.db2.gz JPVGLVGLDBKVFU-MRXNPFEDSA-N 1 2 317.389 1.658 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1[C@H](NC(=O)C2CC2)CCC(=O)N1C1CC1 ZINC000329013697 303031492 /nfs/dbraw/zinc/03/14/92/303031492.db2.gz JDYYPHZWVXYYMO-IUODEOHRSA-N 1 2 302.378 1.981 20 30 DDEDLO CCN1CCN(C(=O)Cc2cccc(C#N)c2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000548347678 303582948 /nfs/dbraw/zinc/58/29/48/303582948.db2.gz KYVZDLKYQBAUIO-MRXNPFEDSA-N 1 2 323.400 1.729 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000584296050 332224139 /nfs/dbraw/zinc/22/41/39/332224139.db2.gz AZTCUXNQZAKVEU-GXTWGEPZSA-N 1 2 305.422 1.760 20 30 DDEDLO C[C@@]1(C2CC2)NC(=O)N(c2cccc(C[NH2+]CCC#N)c2)C1=O ZINC000570390826 332748704 /nfs/dbraw/zinc/74/87/04/332748704.db2.gz PHVMTMIGWHEIAV-KRWDZBQOSA-N 1 2 312.373 1.915 20 30 DDEDLO Cc1noc(C2CC[NH+]([C@@H](C)C(=O)NC3(C#N)CCC3)CC2)n1 ZINC000526058579 333294469 /nfs/dbraw/zinc/29/44/69/333294469.db2.gz FVVDWGJJOMAMEP-NSHDSACASA-N 1 2 317.393 1.508 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CC[C@@H]1CCCCO1 ZINC000110676345 333328011 /nfs/dbraw/zinc/32/80/11/333328011.db2.gz MLNASEALSFDADZ-INIZCTEOSA-N 1 2 323.437 1.410 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@H+](C)[C@H]1CCNC1=O ZINC000340223281 334151681 /nfs/dbraw/zinc/15/16/81/334151681.db2.gz QACXKQLSPXARFG-OCCSQVGLSA-N 1 2 321.446 1.472 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@@H+](C)[C@H]1CCNC1=O ZINC000340223281 334151682 /nfs/dbraw/zinc/15/16/82/334151682.db2.gz QACXKQLSPXARFG-OCCSQVGLSA-N 1 2 321.446 1.472 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)NCC(F)(F)F)Cc1ccc(CO)o1 ZINC000352818039 336253657 /nfs/dbraw/zinc/25/36/57/336253657.db2.gz SKEOQBNDFZWCTD-UHFFFAOYSA-N 1 2 320.311 1.829 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)NCC(F)(F)F)Cc1ccc(CO)o1 ZINC000352818039 336253658 /nfs/dbraw/zinc/25/36/58/336253658.db2.gz SKEOQBNDFZWCTD-UHFFFAOYSA-N 1 2 320.311 1.829 20 30 DDEDLO C=C(C)C[N@@H+](Cc1ccc(CO)o1)C[C@H]1CCS(=O)(=O)C1 ZINC000352820780 336256222 /nfs/dbraw/zinc/25/62/22/336256222.db2.gz ZKFWGQZPZGYHSR-CYBMUJFWSA-N 1 2 313.419 1.585 20 30 DDEDLO C=C(C)C[N@H+](Cc1ccc(CO)o1)C[C@H]1CCS(=O)(=O)C1 ZINC000352820780 336256223 /nfs/dbraw/zinc/25/62/23/336256223.db2.gz ZKFWGQZPZGYHSR-CYBMUJFWSA-N 1 2 313.419 1.585 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CC[C@@H]3OCC[C@@H]3C2)cc1 ZINC000583319729 337315185 /nfs/dbraw/zinc/31/51/85/337315185.db2.gz DNHPLPGKLNRFFI-ZBFHGGJFSA-N 1 2 320.414 1.443 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CC[C@@H]3OCC[C@@H]3C2)cc1 ZINC000583319729 337315186 /nfs/dbraw/zinc/31/51/86/337315186.db2.gz DNHPLPGKLNRFFI-ZBFHGGJFSA-N 1 2 320.414 1.443 20 30 DDEDLO Cc1cc(-n2cncn2)ccc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000584414447 337321958 /nfs/dbraw/zinc/32/19/58/337321958.db2.gz UUFXENQQGJEBFT-INIZCTEOSA-N 1 2 312.377 1.149 20 30 DDEDLO C#CCN(C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1)C1CCCC1 ZINC000120580065 337877851 /nfs/dbraw/zinc/87/78/51/337877851.db2.gz JMSWFUGLDCDRGJ-HUUCEWRRSA-N 1 2 307.438 1.683 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000516314123 338009591 /nfs/dbraw/zinc/00/95/91/338009591.db2.gz XGBTWYZWLVEXAJ-VHSXEESVSA-N 1 2 305.300 1.509 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000496352411 340003653 /nfs/dbraw/zinc/00/36/53/340003653.db2.gz SQSNOCYMNGUIFD-ZDUSSCGKSA-N 1 2 300.399 1.415 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000496352411 340003654 /nfs/dbraw/zinc/00/36/54/340003654.db2.gz SQSNOCYMNGUIFD-ZDUSSCGKSA-N 1 2 300.399 1.415 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)c1nc([C@H]2C[N@H+](C(C)C)CCO2)no1 ZINC000656752433 484314251 /nfs/dbraw/zinc/31/42/51/484314251.db2.gz AYSUQBROSMRNOL-UONOGXRCSA-N 1 2 322.409 1.995 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)c1nc([C@H]2C[N@@H+](C(C)C)CCO2)no1 ZINC000656752433 484314259 /nfs/dbraw/zinc/31/42/59/484314259.db2.gz AYSUQBROSMRNOL-UONOGXRCSA-N 1 2 322.409 1.995 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1C[C@H](CO)OC[C@@H]1C ZINC000361685859 484523385 /nfs/dbraw/zinc/52/33/85/484523385.db2.gz GUSDREOXFMRNPK-USXIJHARSA-N 1 2 321.417 1.236 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1C[C@H](CO)OC[C@@H]1C ZINC000361685859 484523388 /nfs/dbraw/zinc/52/33/88/484523388.db2.gz GUSDREOXFMRNPK-USXIJHARSA-N 1 2 321.417 1.236 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CCC[C@@](CO)(OC)C2)c1C ZINC000661394615 485089883 /nfs/dbraw/zinc/08/98/83/485089883.db2.gz CHWMOBJSDSJQCQ-GOSISDBHSA-N 1 2 320.433 1.947 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CCC[C@@](CO)(OC)C2)c1C ZINC000661394615 485089886 /nfs/dbraw/zinc/08/98/86/485089886.db2.gz CHWMOBJSDSJQCQ-GOSISDBHSA-N 1 2 320.433 1.947 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1[nH+]ccn1CC(C)C ZINC000669146166 485402639 /nfs/dbraw/zinc/40/26/39/485402639.db2.gz QJGHXFRZIXQOGL-CQSZACIVSA-N 1 2 306.410 1.626 20 30 DDEDLO C=CCCCCNC(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC000673283066 485403920 /nfs/dbraw/zinc/40/39/20/485403920.db2.gz HRWRLLUXGJQVDB-INIZCTEOSA-N 1 2 315.417 1.762 20 30 DDEDLO C=CCCCCNC(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC000673283066 485403922 /nfs/dbraw/zinc/40/39/22/485403922.db2.gz HRWRLLUXGJQVDB-INIZCTEOSA-N 1 2 315.417 1.762 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)c2cccc(OC)c2)CC1 ZINC000677132858 486397567 /nfs/dbraw/zinc/39/75/67/486397567.db2.gz VPVHGDCXZNCPSW-UHFFFAOYSA-N 1 2 302.374 1.103 20 30 DDEDLO C=CCNC(=O)C[NH+]1C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C1 ZINC000076180938 490590496 /nfs/dbraw/zinc/59/04/96/490590496.db2.gz GAMOGSHGEUNBRG-YUMQZZPRSA-N 1 2 304.234 1.961 20 30 DDEDLO COC(=O)[C@@H](CNc1cc(C)[nH+]cc1C#N)C1CCOCC1 ZINC000425220657 534256102 /nfs/dbraw/zinc/25/61/02/534256102.db2.gz CUIBWSAWKKIYDZ-AWEZNQCLSA-N 1 2 303.362 1.311 20 30 DDEDLO Cc1ccn2cc(CNC(=O)N[C@@H]3CCc4c[nH]nc4C3)[nH+]c2c1 ZINC000329849677 534358446 /nfs/dbraw/zinc/35/84/46/534358446.db2.gz RXUPNCFZWDHUEN-CYBMUJFWSA-N 1 2 324.388 1.927 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NCC(=O)NC(C)(C)C)CCC2 ZINC000330380715 534511414 /nfs/dbraw/zinc/51/14/14/534511414.db2.gz MIMHUHWZPLETJC-LBPRGKRZSA-N 1 2 321.425 1.487 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2C[C@H](C)C[C@H](O)C2)c(C#N)c1C ZINC000452073943 534548857 /nfs/dbraw/zinc/54/88/57/534548857.db2.gz ZTWHNZKTFABIFR-CDMKHQONSA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2C[C@H](C)C[C@H](O)C2)c(C#N)c1C ZINC000452073943 534548862 /nfs/dbraw/zinc/54/88/62/534548862.db2.gz ZTWHNZKTFABIFR-CDMKHQONSA-N 1 2 305.378 1.798 20 30 DDEDLO N#CCCSCC(=O)N1CC[NH+](Cc2ccccc2)CC1 ZINC000413015502 534652981 /nfs/dbraw/zinc/65/29/81/534652981.db2.gz SUOXODBLKFWZBJ-UHFFFAOYSA-N 1 2 303.431 1.978 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)NOCC3CC3)CC2)cc1 ZINC000297352718 534780019 /nfs/dbraw/zinc/78/00/19/534780019.db2.gz ODUVUOZDCYGTTB-UHFFFAOYSA-N 1 2 314.389 1.727 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2cc(Cl)ccc2C)C1 ZINC000330956598 526402836 /nfs/dbraw/zinc/40/28/36/526402836.db2.gz UUKQIMCRMIUCNL-LBPRGKRZSA-N 1 2 324.812 1.795 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2cc(Cl)ccc2C)C1 ZINC000330956598 526402838 /nfs/dbraw/zinc/40/28/38/526402838.db2.gz UUKQIMCRMIUCNL-LBPRGKRZSA-N 1 2 324.812 1.795 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3ncc(CC)s3)CC2)C1=O ZINC000337168667 526480560 /nfs/dbraw/zinc/48/05/60/526480560.db2.gz DECFSWTZYAKVJW-CQSZACIVSA-N 1 2 320.462 1.614 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cccc(OCC)c2)CC1 ZINC000338143688 526538310 /nfs/dbraw/zinc/53/83/10/526538310.db2.gz SXJZDDGLSLCZNZ-UHFFFAOYSA-N 1 2 317.433 1.505 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cccc(F)c2F)CC1 ZINC000349765335 526538697 /nfs/dbraw/zinc/53/86/97/526538697.db2.gz SKTOZTZPPHUJHG-UHFFFAOYSA-N 1 2 309.360 1.385 20 30 DDEDLO C=CCNC(=O)CNC(=O)[C@@H](c1cccc(Cl)c1)[NH+](C)C ZINC000347887264 526562009 /nfs/dbraw/zinc/56/20/09/526562009.db2.gz ARMKAKZVUGGUJT-CQSZACIVSA-N 1 2 309.797 1.361 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)[C@@H]1COCC[N@@H+]1CC1CCC1 ZINC000332916733 526799254 /nfs/dbraw/zinc/79/92/54/526799254.db2.gz YKRMRNWKXJHHTH-ULQDDVLXSA-N 1 2 322.449 1.585 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)[C@@H]1COCC[N@H+]1CC1CCC1 ZINC000332916733 526799268 /nfs/dbraw/zinc/79/92/68/526799268.db2.gz YKRMRNWKXJHHTH-ULQDDVLXSA-N 1 2 322.449 1.585 20 30 DDEDLO C#CC[N@@H+](CCCc1cccc(F)c1)[C@H]1CCS(=O)(=O)C1 ZINC000490916699 526900735 /nfs/dbraw/zinc/90/07/35/526900735.db2.gz USXAGQVGVRINIB-INIZCTEOSA-N 1 2 309.406 1.881 20 30 DDEDLO C#CC[N@H+](CCCc1cccc(F)c1)[C@H]1CCS(=O)(=O)C1 ZINC000490916699 526900740 /nfs/dbraw/zinc/90/07/40/526900740.db2.gz USXAGQVGVRINIB-INIZCTEOSA-N 1 2 309.406 1.881 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000491179477 526910206 /nfs/dbraw/zinc/91/02/06/526910206.db2.gz FWMYLTQBWPHTHR-UHFFFAOYSA-N 1 2 317.352 1.938 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN[C@H](C(C)(C)C)C(F)(F)F)CC1 ZINC000490949535 526950079 /nfs/dbraw/zinc/95/00/79/526950079.db2.gz LORZSEJARKCGLR-CYBMUJFWSA-N 1 2 319.371 1.330 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2c(F)cc(C#C)cc2F)CC1 ZINC000491067044 526951887 /nfs/dbraw/zinc/95/18/87/526951887.db2.gz GDMLWCMFOHQTPN-UHFFFAOYSA-N 1 2 317.339 1.135 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(C)ccc2OC)CC1 ZINC000490761921 526952278 /nfs/dbraw/zinc/95/22/78/526952278.db2.gz CLZBBALNVAEYIO-UHFFFAOYSA-N 1 2 301.390 1.193 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](CC(=O)c2cc(C)n(C)c2C)CC1 ZINC000491104454 526968094 /nfs/dbraw/zinc/96/80/94/526968094.db2.gz SRMZKFAOUOBOQW-UHFFFAOYSA-N 1 2 315.417 1.286 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)Cn1c(CC)[nH+]c2ccccc21 ZINC000342171746 527170563 /nfs/dbraw/zinc/17/05/63/527170563.db2.gz ALEOFVJEFBUGOU-ZDUSSCGKSA-N 1 2 301.390 1.900 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)[C@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000346033634 527181510 /nfs/dbraw/zinc/18/15/10/527181510.db2.gz HPMMBZQJHRRANZ-ILXRZTDVSA-N 1 2 303.406 1.455 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)N(C)CC(=O)Nc1cccc(OC)c1 ZINC000491702909 527211454 /nfs/dbraw/zinc/21/14/54/527211454.db2.gz WORULAZUQTWENJ-CYBMUJFWSA-N 1 2 317.389 1.046 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)N(C)CC(=O)Nc1cccc(OC)c1 ZINC000491702909 527211457 /nfs/dbraw/zinc/21/14/57/527211457.db2.gz WORULAZUQTWENJ-CYBMUJFWSA-N 1 2 317.389 1.046 20 30 DDEDLO CC(=O)c1ccc(C#N)cc1N1C[C@H]([NH+]2CCOCC2)[C@@H](C)C1 ZINC000302104526 527230785 /nfs/dbraw/zinc/23/07/85/527230785.db2.gz MVAXRAQPTYKEQQ-UGSOOPFHSA-N 1 2 313.401 1.918 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1)C(C)C ZINC000490961785 527271788 /nfs/dbraw/zinc/27/17/88/527271788.db2.gz JTUYSBKPXZFULD-KGLIPLIRSA-N 1 2 303.410 1.456 20 30 DDEDLO C#C[C@@H](NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1)C(C)C ZINC000491663650 527293495 /nfs/dbraw/zinc/29/34/95/527293495.db2.gz SJHJDZQNCHQFLA-OAHLLOKOSA-N 1 2 317.414 1.868 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000491779101 527301176 /nfs/dbraw/zinc/30/11/76/527301176.db2.gz ISECNRRAULBDLZ-CYBMUJFWSA-N 1 2 318.764 1.531 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@H](O)Cc1ccc(C(F)(F)F)cc1 ZINC000491659497 527428672 /nfs/dbraw/zinc/42/86/72/527428672.db2.gz BUDMTNZBZIRDDI-LSDHHAIUSA-N 1 2 313.319 1.943 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@H](O)Cc1ccc(C(F)(F)F)cc1 ZINC000491659497 527428679 /nfs/dbraw/zinc/42/86/79/527428679.db2.gz BUDMTNZBZIRDDI-LSDHHAIUSA-N 1 2 313.319 1.943 20 30 DDEDLO CC(C)CNC(=O)NC(=O)CN(C)Cc1cn2c([nH+]1)CCCC2 ZINC000331207832 527590257 /nfs/dbraw/zinc/59/02/57/527590257.db2.gz ZDMDOTREKBGNKG-UHFFFAOYSA-N 1 2 321.425 1.337 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000342269409 527600996 /nfs/dbraw/zinc/60/09/96/527600996.db2.gz PMBWRFKEKHJAAJ-AWEZNQCLSA-N 1 2 316.405 1.386 20 30 DDEDLO C=CC[NH+](CC=C)[C@H](C)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000342797144 527648817 /nfs/dbraw/zinc/64/88/17/527648817.db2.gz DQOFJZURDRSBHF-GFCCVEGCSA-N 1 2 323.418 1.335 20 30 DDEDLO CCN1CCOC[C@@H]1C(=O)N[C@@H]1CCO[C@@H](c2c[nH+]cn2C)C1 ZINC000330339634 527716717 /nfs/dbraw/zinc/71/67/17/527716717.db2.gz WWSIEWWBRPRAGB-BPLDGKMQSA-N 1 2 322.409 1.317 20 30 DDEDLO CCC[N@H+](CC(=O)OC(C)(C)C)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000346521852 527901027 /nfs/dbraw/zinc/90/10/27/527901027.db2.gz JOVQNWMIAFDTAV-CYBMUJFWSA-N 1 2 323.437 1.991 20 30 DDEDLO CCC[N@@H+](CC(=O)OC(C)(C)C)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000346521852 527901036 /nfs/dbraw/zinc/90/10/36/527901036.db2.gz JOVQNWMIAFDTAV-CYBMUJFWSA-N 1 2 323.437 1.991 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+]1CCCN(CCC(C)(C)C#N)CC1 ZINC000345720645 528019638 /nfs/dbraw/zinc/01/96/38/528019638.db2.gz YYEGSGOVZXFLGR-UHFFFAOYSA-N 1 2 308.470 1.802 20 30 DDEDLO CCN(CC)C(=O)C[N@H+]1CCCN(CCC(C)(C)C#N)CC1 ZINC000345720645 528019644 /nfs/dbraw/zinc/01/96/44/528019644.db2.gz YYEGSGOVZXFLGR-UHFFFAOYSA-N 1 2 308.470 1.802 20 30 DDEDLO CCN(CC)C(=O)[C@H]1CCCN1C(=O)NCc1[nH+]ccn1C ZINC000330933267 528060321 /nfs/dbraw/zinc/06/03/21/528060321.db2.gz CBZAYJLLIONLIL-GFCCVEGCSA-N 1 2 307.398 1.167 20 30 DDEDLO CC[NH+]1CCN(C(=O)[C@H](C)SCc2nc(C#N)cs2)CC1 ZINC000419642724 529133196 /nfs/dbraw/zinc/13/31/96/529133196.db2.gz KIXISFFAWUXMOP-NSHDSACASA-N 1 2 324.475 1.801 20 30 DDEDLO CCN1CCN(C(=O)c2ccc(C#N)nc2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000433104860 529134263 /nfs/dbraw/zinc/13/42/63/529134263.db2.gz NARCBIDKRSBRHH-CQSZACIVSA-N 1 2 310.361 1.195 20 30 DDEDLO C#CCNC(=O)[C@@H](C)OC(=O)[C@H](c1ccccc1)[NH+](CC)CC ZINC000744995997 699968301 /nfs/dbraw/zinc/96/83/01/699968301.db2.gz IRDJARYUKXJDNZ-ZBFHGGJFSA-N 1 2 316.401 1.751 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3ccco3)C2)C1 ZINC000972254010 695191788 /nfs/dbraw/zinc/19/17/88/695191788.db2.gz TYRWSXMFWDOUIH-QGZVFWFLSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3ccco3)C2)C1 ZINC000972254010 695191789 /nfs/dbraw/zinc/19/17/89/695191789.db2.gz TYRWSXMFWDOUIH-QGZVFWFLSA-N 1 2 302.374 1.149 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCCO3)C2)C1 ZINC000972275365 695201215 /nfs/dbraw/zinc/20/12/15/695201215.db2.gz NMUXYSGIPSAHGM-WBVHZDCISA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCCO3)C2)C1 ZINC000972275365 695201218 /nfs/dbraw/zinc/20/12/18/695201218.db2.gz NMUXYSGIPSAHGM-WBVHZDCISA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnccc3C)C2)C1 ZINC000972312620 695213600 /nfs/dbraw/zinc/21/36/00/695213600.db2.gz UQTYESZNUDGGRE-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnccc3C)C2)C1 ZINC000972312620 695213602 /nfs/dbraw/zinc/21/36/02/695213602.db2.gz UQTYESZNUDGGRE-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)cn3)C2)C1 ZINC000972351838 695223879 /nfs/dbraw/zinc/22/38/79/695223879.db2.gz JWBKBBIILMNZRT-INIZCTEOSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)cn3)C2)C1 ZINC000972351838 695223881 /nfs/dbraw/zinc/22/38/81/695223881.db2.gz JWBKBBIILMNZRT-INIZCTEOSA-N 1 2 305.353 1.324 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC000972374958 695233753 /nfs/dbraw/zinc/23/37/53/695233753.db2.gz XIVZQTWAYUBZFB-OAGGEKHMSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC000972374958 695233754 /nfs/dbraw/zinc/23/37/54/695233754.db2.gz XIVZQTWAYUBZFB-OAGGEKHMSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC000972375543 695233949 /nfs/dbraw/zinc/23/39/49/695233949.db2.gz HLGYLHFIYSWQPZ-XHSDSOJGSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC000972375543 695233950 /nfs/dbraw/zinc/23/39/50/695233950.db2.gz HLGYLHFIYSWQPZ-XHSDSOJGSA-N 1 2 319.405 1.607 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnc4[nH]ccc4c3)C2)C1 ZINC000972420576 695245294 /nfs/dbraw/zinc/24/52/94/695245294.db2.gz ZRCPNMOVYOPANU-SFHVURJKSA-N 1 2 324.384 1.065 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnc4[nH]ccc4c3)C2)C1 ZINC000972420576 695245296 /nfs/dbraw/zinc/24/52/96/695245296.db2.gz ZRCPNMOVYOPANU-SFHVURJKSA-N 1 2 324.384 1.065 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCC34CC4)C2)C1 ZINC000972436349 695250843 /nfs/dbraw/zinc/25/08/43/695250843.db2.gz WQDXWIDTMLHUKE-LPHOPBHVSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCC34CC4)C2)C1 ZINC000972436349 695250844 /nfs/dbraw/zinc/25/08/44/695250844.db2.gz WQDXWIDTMLHUKE-LPHOPBHVSA-N 1 2 316.445 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)COC3CCCCC3)C2)C1 ZINC000972443747 695252985 /nfs/dbraw/zinc/25/29/85/695252985.db2.gz MANFJFWUECILMJ-GOSISDBHSA-N 1 2 322.449 1.825 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)COC3CCCCC3)C2)C1 ZINC000972443747 695252987 /nfs/dbraw/zinc/25/29/87/695252987.db2.gz MANFJFWUECILMJ-GOSISDBHSA-N 1 2 322.449 1.825 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)nn(C)c3C)C2)C1 ZINC000972485505 695261602 /nfs/dbraw/zinc/26/16/02/695261602.db2.gz YKDNEUHICAUXAN-KRWDZBQOSA-N 1 2 318.421 1.140 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)nn(C)c3C)C2)C1 ZINC000972485505 695261603 /nfs/dbraw/zinc/26/16/03/695261603.db2.gz YKDNEUHICAUXAN-KRWDZBQOSA-N 1 2 318.421 1.140 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccnc3OC)C2)C1 ZINC000972533530 695274563 /nfs/dbraw/zinc/27/45/63/695274563.db2.gz CYKUELUVDBJBRG-QGZVFWFLSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccnc3OC)C2)C1 ZINC000972533530 695274564 /nfs/dbraw/zinc/27/45/64/695274564.db2.gz CYKUELUVDBJBRG-QGZVFWFLSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3cc(C)on3)C2)C1 ZINC000972542816 695277473 /nfs/dbraw/zinc/27/74/73/695277473.db2.gz DEAOSRKDNFPYRQ-QGZVFWFLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3cc(C)on3)C2)C1 ZINC000972542816 695277474 /nfs/dbraw/zinc/27/74/74/695277474.db2.gz DEAOSRKDNFPYRQ-QGZVFWFLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C)CCOCC3)C2)C1 ZINC000972598275 695294280 /nfs/dbraw/zinc/29/42/80/695294280.db2.gz CYFNXPOSUFOVFE-QGZVFWFLSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C)CCOCC3)C2)C1 ZINC000972598275 695294282 /nfs/dbraw/zinc/29/42/82/695294282.db2.gz CYFNXPOSUFOVFE-QGZVFWFLSA-N 1 2 308.422 1.292 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C)CC(F)(F)C3)C2)C1 ZINC000972635038 695305086 /nfs/dbraw/zinc/30/50/86/695305086.db2.gz OOSMPYDPDMMCEI-HNNXBMFYSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C)CC(F)(F)C3)C2)C1 ZINC000972635038 695305088 /nfs/dbraw/zinc/30/50/88/695305088.db2.gz OOSMPYDPDMMCEI-HNNXBMFYSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H](C)n3cccc3)C2)C1 ZINC000972642254 695307048 /nfs/dbraw/zinc/30/70/48/695307048.db2.gz GBNQVVFWFHERDV-FUHWJXTLSA-N 1 2 315.417 1.376 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H](C)n3cccc3)C2)C1 ZINC000972642254 695307050 /nfs/dbraw/zinc/30/70/50/695307050.db2.gz GBNQVVFWFHERDV-FUHWJXTLSA-N 1 2 315.417 1.376 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@]3(C2)C[N@H+](CC=C)CCO3)cn1 ZINC000972643111 695307610 /nfs/dbraw/zinc/30/76/10/695307610.db2.gz OASMYRFDNFVSRX-SFHVURJKSA-N 1 2 311.385 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@]3(C2)C[N@@H+](CC=C)CCO3)cn1 ZINC000972643111 695307611 /nfs/dbraw/zinc/30/76/11/695307611.db2.gz OASMYRFDNFVSRX-SFHVURJKSA-N 1 2 311.385 1.166 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3OC)C2)C1 ZINC000972654306 695309747 /nfs/dbraw/zinc/30/97/47/695309747.db2.gz OMLQTYDFBXHTRJ-QGZVFWFLSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3OC)C2)C1 ZINC000972654306 695309750 /nfs/dbraw/zinc/30/97/50/695309750.db2.gz OMLQTYDFBXHTRJ-QGZVFWFLSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3CCCOC3)C2)C1 ZINC000972683029 695316133 /nfs/dbraw/zinc/31/61/33/695316133.db2.gz KYZLOPQYKIYWGC-WBVHZDCISA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3CCCOC3)C2)C1 ZINC000972683029 695316136 /nfs/dbraw/zinc/31/61/36/695316136.db2.gz KYZLOPQYKIYWGC-WBVHZDCISA-N 1 2 308.422 1.292 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@@H]1CN(CC#N)CC1(C)C)C2 ZINC000974751965 695726753 /nfs/dbraw/zinc/72/67/53/695726753.db2.gz MYUBJOWWBGLROZ-SWLSCSKDSA-N 1 2 315.421 1.173 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@@H]1CN(CC#N)CC1(C)C)CC2 ZINC000974751965 695726754 /nfs/dbraw/zinc/72/67/54/695726754.db2.gz MYUBJOWWBGLROZ-SWLSCSKDSA-N 1 2 315.421 1.173 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@]2(F)CCOC2)C(C)(C)C1 ZINC000974971958 695770650 /nfs/dbraw/zinc/77/06/50/695770650.db2.gz NRQFFAHBLGWRHG-RISCZKNCSA-N 1 2 304.793 1.694 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@]2(F)CCOC2)C(C)(C)C1 ZINC000974971958 695770652 /nfs/dbraw/zinc/77/06/52/695770652.db2.gz NRQFFAHBLGWRHG-RISCZKNCSA-N 1 2 304.793 1.694 20 30 DDEDLO O=C(C#Cc1ccccc1)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000747386976 700067412 /nfs/dbraw/zinc/06/74/12/700067412.db2.gz SFOJLKFGVBOPNE-UHFFFAOYSA-N 1 2 324.384 1.206 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nnc(C(C)(C)C)o2)CC1 ZINC000747969258 700093688 /nfs/dbraw/zinc/09/36/88/700093688.db2.gz YRYLPNGMJCIWDV-UHFFFAOYSA-N 1 2 304.394 1.329 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CC[C@@H](NC(=O)c3[nH]ncc3F)C[C@H]2C1 ZINC000980143963 696691907 /nfs/dbraw/zinc/69/19/07/696691907.db2.gz GCEQSYVVHHANJZ-RWMBFGLXSA-N 1 2 304.369 1.402 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CC[C@@H](NC(=O)c3[nH]ncc3F)C[C@H]2C1 ZINC000980143963 696691908 /nfs/dbraw/zinc/69/19/08/696691908.db2.gz GCEQSYVVHHANJZ-RWMBFGLXSA-N 1 2 304.369 1.402 20 30 DDEDLO CN(CC#N)[C@@H]1CCN(C(=O)C[N@@H+]2CCc3sccc3C2)C1 ZINC000980476203 696782205 /nfs/dbraw/zinc/78/22/05/696782205.db2.gz PBWGTDFRVILFOZ-CQSZACIVSA-N 1 2 318.446 1.162 20 30 DDEDLO CN(CC#N)[C@@H]1CCN(C(=O)C[N@H+]2CCc3sccc3C2)C1 ZINC000980476203 696782206 /nfs/dbraw/zinc/78/22/06/696782206.db2.gz PBWGTDFRVILFOZ-CQSZACIVSA-N 1 2 318.446 1.162 20 30 DDEDLO Cn1cncc1C(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC000981562039 696819111 /nfs/dbraw/zinc/81/91/11/696819111.db2.gz RXXWOVJYXGQLAM-UHFFFAOYSA-N 1 2 323.400 1.640 20 30 DDEDLO Cn1cncc1C(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC000981562039 696819112 /nfs/dbraw/zinc/81/91/12/696819112.db2.gz RXXWOVJYXGQLAM-UHFFFAOYSA-N 1 2 323.400 1.640 20 30 DDEDLO C[C@@H](NC(=O)CO[NH+]=C(N)Cc1cccnc1)c1cccs1 ZINC000137645575 696859087 /nfs/dbraw/zinc/85/90/87/696859087.db2.gz OGGGBUQQXVEKAJ-LLVKDONJSA-N 1 2 318.402 1.852 20 30 DDEDLO O=C(c1nc[nH]n1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000982275935 697081077 /nfs/dbraw/zinc/08/10/77/697081077.db2.gz QMNXCQUBFRSMTD-UHFFFAOYSA-N 1 2 309.373 1.004 20 30 DDEDLO O=C(c1nc[nH]n1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000982275935 697081079 /nfs/dbraw/zinc/08/10/79/697081079.db2.gz QMNXCQUBFRSMTD-UHFFFAOYSA-N 1 2 309.373 1.004 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@H+](C)CCS(C)(=O)=O)c1O ZINC000164279827 697336034 /nfs/dbraw/zinc/33/60/34/697336034.db2.gz HIDBCJVKVXUDGW-UHFFFAOYSA-N 1 2 313.419 1.606 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@@H+](C)CCS(C)(=O)=O)c1O ZINC000164279827 697336037 /nfs/dbraw/zinc/33/60/37/697336037.db2.gz HIDBCJVKVXUDGW-UHFFFAOYSA-N 1 2 313.419 1.606 20 30 DDEDLO C#CCCCNC(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000174121245 697384532 /nfs/dbraw/zinc/38/45/32/697384532.db2.gz BTJGWPFQGGJBHE-OAHLLOKOSA-N 1 2 300.406 1.883 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)NCc1ccn2cc[nH+]c2c1 ZINC000178065147 697420504 /nfs/dbraw/zinc/42/05/04/697420504.db2.gz IJAZPTBAYOEOCR-UHFFFAOYSA-N 1 2 319.320 1.731 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000749240690 700153230 /nfs/dbraw/zinc/15/32/30/700153230.db2.gz IBJQPQCRMKAKHB-AWEZNQCLSA-N 1 2 309.369 1.774 20 30 DDEDLO C#C[C@@H](C)NC(=O)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000773588963 697784216 /nfs/dbraw/zinc/78/42/16/697784216.db2.gz ZMVZJOWNMBTBSU-CYBMUJFWSA-N 1 2 315.421 1.762 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000775426365 697995710 /nfs/dbraw/zinc/99/57/10/697995710.db2.gz HLUJGRFWBZJVHM-ZDUSSCGKSA-N 1 2 307.394 1.691 20 30 DDEDLO C[C@@H]1C[N@H+](CCOC(=O)COc2cccc(C#N)c2)CCO1 ZINC000800140831 700193484 /nfs/dbraw/zinc/19/34/84/700193484.db2.gz KCRWXIVUNVKSLK-CYBMUJFWSA-N 1 2 304.346 1.201 20 30 DDEDLO C[C@@H]1C[N@@H+](CCOC(=O)COc2cccc(C#N)c2)CCO1 ZINC000800140831 700193485 /nfs/dbraw/zinc/19/34/85/700193485.db2.gz KCRWXIVUNVKSLK-CYBMUJFWSA-N 1 2 304.346 1.201 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)Nc1nnc(-c2ccco2)o1)[C@H]1CCCO1 ZINC000775789072 698033449 /nfs/dbraw/zinc/03/34/49/698033449.db2.gz BMOHUKZASZHHIZ-GHMZBOCLSA-N 1 2 316.317 1.039 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1cc(COC(C)(C)C)on1 ZINC000777212907 698173980 /nfs/dbraw/zinc/17/39/80/698173980.db2.gz YXDCDYQMLPHHPZ-HNNXBMFYSA-N 1 2 319.405 1.704 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1cc(COC(C)(C)C)on1 ZINC000777212907 698173983 /nfs/dbraw/zinc/17/39/83/698173983.db2.gz YXDCDYQMLPHHPZ-HNNXBMFYSA-N 1 2 319.405 1.704 20 30 DDEDLO C[C@H]1C[C@H]([NH2+]Cc2csnn2)CN1C(=O)c1c[nH]c(C#N)c1 ZINC000988619527 698420839 /nfs/dbraw/zinc/42/08/39/698420839.db2.gz GBMSRGPAGPGGKD-CABZTGNLSA-N 1 2 316.390 1.131 20 30 DDEDLO C=C(C)C[N@@H+]1CCCN(C(=O)[C@@H]2CCc3[nH]nnc3C2)CC1 ZINC000989473185 698634907 /nfs/dbraw/zinc/63/49/07/698634907.db2.gz RPCRDKGJRRYANS-CYBMUJFWSA-N 1 2 303.410 1.020 20 30 DDEDLO C=C(C)C[N@H+]1CCCN(C(=O)[C@@H]2CCc3[nH]nnc3C2)CC1 ZINC000989473185 698634909 /nfs/dbraw/zinc/63/49/09/698634909.db2.gz RPCRDKGJRRYANS-CYBMUJFWSA-N 1 2 303.410 1.020 20 30 DDEDLO C=C(C)C[N@@H+]1CCCN(C(=O)[C@@H]2CCc3nn[nH]c3C2)CC1 ZINC000989473185 698634910 /nfs/dbraw/zinc/63/49/10/698634910.db2.gz RPCRDKGJRRYANS-CYBMUJFWSA-N 1 2 303.410 1.020 20 30 DDEDLO C=C(C)C[N@H+]1CCCN(C(=O)[C@@H]2CCc3nn[nH]c3C2)CC1 ZINC000989473185 698634912 /nfs/dbraw/zinc/63/49/12/698634912.db2.gz RPCRDKGJRRYANS-CYBMUJFWSA-N 1 2 303.410 1.020 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3ccnn3C)CC2)cn1 ZINC000989512417 698652445 /nfs/dbraw/zinc/65/24/45/698652445.db2.gz JJVFKMSWVRGIIX-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3ccnn3C)CC2)cn1 ZINC000989512417 698652447 /nfs/dbraw/zinc/65/24/47/698652447.db2.gz JJVFKMSWVRGIIX-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2ccc(Br)o2)CC1 ZINC000989551855 698664945 /nfs/dbraw/zinc/66/49/45/698664945.db2.gz GRPJUHOPDDOJFF-UHFFFAOYSA-N 1 2 311.179 1.823 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2ccc(Br)o2)CC1 ZINC000989551855 698664946 /nfs/dbraw/zinc/66/49/46/698664946.db2.gz GRPJUHOPDDOJFF-UHFFFAOYSA-N 1 2 311.179 1.823 20 30 DDEDLO C[C@H]1CN(C)C(=O)C[N@@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000782594340 698745394 /nfs/dbraw/zinc/74/53/94/698745394.db2.gz GJBFACHYOANASV-LBPRGKRZSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@H]1CN(C)C(=O)C[N@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000782594340 698745396 /nfs/dbraw/zinc/74/53/96/698745396.db2.gz GJBFACHYOANASV-LBPRGKRZSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnnn1CC1CC1)c1ccc(OCC#N)cc1 ZINC000784264990 698922157 /nfs/dbraw/zinc/92/21/57/698922157.db2.gz GPKYXQQHTZPSSW-GFCCVEGCSA-N 1 2 312.377 1.836 20 30 DDEDLO C#CCOCCNC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000784603981 699030582 /nfs/dbraw/zinc/03/05/82/699030582.db2.gz NFVGXSIDRSYBIY-UHFFFAOYSA-N 1 2 314.301 1.160 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@@H]3CCc4[nH+]ccn4C3)CCC[C@@H]12 ZINC000991444417 699326082 /nfs/dbraw/zinc/32/60/82/699326082.db2.gz DYZCZYNRVWWWQP-CKEIUWERSA-N 1 2 313.405 1.082 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Cc1ccccc1CC#N ZINC000790130574 699444424 /nfs/dbraw/zinc/44/44/24/699444424.db2.gz AOBBYVVKBPFQOP-OAHLLOKOSA-N 1 2 315.417 1.474 20 30 DDEDLO CNS(=O)(=O)c1ccc(C(C)=NNc2[nH+]ccn2C)cc1 ZINC000790303002 699453051 /nfs/dbraw/zinc/45/30/51/699453051.db2.gz PGRTVXIFFZZSGN-UHFFFAOYSA-N 1 2 307.379 1.164 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=S)Nc1ccccc1C#N ZINC000729162460 699472426 /nfs/dbraw/zinc/47/24/26/699472426.db2.gz TVRHLTNPLLJLRS-LBPRGKRZSA-N 1 2 304.419 1.565 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=S)Nc1ccccc1C#N ZINC000729162460 699472427 /nfs/dbraw/zinc/47/24/27/699472427.db2.gz TVRHLTNPLLJLRS-LBPRGKRZSA-N 1 2 304.419 1.565 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCOc2ccc(F)cc21 ZINC000731834450 699537590 /nfs/dbraw/zinc/53/75/90/699537590.db2.gz CFOYGPHAFVCUNM-GJZGRUSLSA-N 1 2 302.349 1.863 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CCOc2ccc(F)cc21 ZINC000731834450 699537591 /nfs/dbraw/zinc/53/75/91/699537591.db2.gz CFOYGPHAFVCUNM-GJZGRUSLSA-N 1 2 302.349 1.863 20 30 DDEDLO Cc1ncc2c(n1)CCC[C@H]2[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000734850960 699664514 /nfs/dbraw/zinc/66/45/14/699664514.db2.gz PBTCDBXHOFCDFO-IAGOWNOFSA-N 1 2 321.384 1.850 20 30 DDEDLO CC(=NNC(=S)N(C)C)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000735615953 699698349 /nfs/dbraw/zinc/69/83/49/699698349.db2.gz TYDOXKXDBJXHGV-OAHLLOKOSA-N 1 2 320.462 1.699 20 30 DDEDLO CC(=NNC(=S)N(C)C)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000735615953 699698350 /nfs/dbraw/zinc/69/83/50/699698350.db2.gz TYDOXKXDBJXHGV-OAHLLOKOSA-N 1 2 320.462 1.699 20 30 DDEDLO CCOCC[N@H+](CC)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793498207 699761382 /nfs/dbraw/zinc/76/13/82/699761382.db2.gz LCHHNNZUQPMXAE-UHFFFAOYSA-N 1 2 303.406 1.915 20 30 DDEDLO CCOCC[N@@H+](CC)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793498207 699761383 /nfs/dbraw/zinc/76/13/83/699761383.db2.gz LCHHNNZUQPMXAE-UHFFFAOYSA-N 1 2 303.406 1.915 20 30 DDEDLO CON(C)C(=O)C1CC[NH+](Cc2cccc(F)c2C#N)CC1 ZINC000794573030 699816880 /nfs/dbraw/zinc/81/68/80/699816880.db2.gz RMJUTSGRKKZWTI-UHFFFAOYSA-N 1 2 305.353 1.929 20 30 DDEDLO Cc1nn(-c2ccccc2)c(N)c1C=[NH+]NC(=S)N(C)C ZINC000794908348 699835184 /nfs/dbraw/zinc/83/51/84/699835184.db2.gz HKYZOOKKWDMKFG-UHFFFAOYSA-N 1 2 302.407 1.533 20 30 DDEDLO COC(=O)[C@]1(C(F)(F)F)CC[N@H+](Cc2ccnc(C#N)c2)C1 ZINC000796287039 699923725 /nfs/dbraw/zinc/92/37/25/699923725.db2.gz JBFMIKBREDPBSS-ZDUSSCGKSA-N 1 2 313.279 1.881 20 30 DDEDLO COC(=O)[C@]1(C(F)(F)F)CC[N@@H+](Cc2ccnc(C#N)c2)C1 ZINC000796287039 699923728 /nfs/dbraw/zinc/92/37/28/699923728.db2.gz JBFMIKBREDPBSS-ZDUSSCGKSA-N 1 2 313.279 1.881 20 30 DDEDLO CC(C)[C@@H](C(=O)Nc1cnn(CC#N)c1)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000751397127 700285364 /nfs/dbraw/zinc/28/53/64/700285364.db2.gz NYWROYGPZMQPDX-NFAWXSAZSA-N 1 2 319.409 1.479 20 30 DDEDLO COCCCOC1CC[NH+](Cn2cccc(C#N)c2=O)CC1 ZINC000758223966 700691197 /nfs/dbraw/zinc/69/11/97/700691197.db2.gz NXLZZZPWKYBRSU-UHFFFAOYSA-N 1 2 305.378 1.195 20 30 DDEDLO Cc1cc(NC(=O)NCCNc2ccc(C#N)cn2)c(C)c[nH+]1 ZINC000767948761 701146895 /nfs/dbraw/zinc/14/68/95/701146895.db2.gz UIEVKNCNOVDXRM-UHFFFAOYSA-N 1 2 310.361 1.621 20 30 DDEDLO C#CCOc1ccc(N2C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C2=O)cc1 ZINC000804205533 701171916 /nfs/dbraw/zinc/17/19/16/701171916.db2.gz IUPFUXYRNSKIAA-AWEZNQCLSA-N 1 2 310.313 1.089 20 30 DDEDLO C#CCNc1nc(N(C)CC2CC2)[nH+]c(N(C)CC2CC2)n1 ZINC000804359448 701182089 /nfs/dbraw/zinc/18/20/89/701182089.db2.gz QNWHCTDSQCDGPI-UHFFFAOYSA-N 1 2 300.410 1.031 20 30 DDEDLO C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1cccc(F)c1C#N ZINC000768561376 701187130 /nfs/dbraw/zinc/18/71/30/701187130.db2.gz IBHZJQRMSGPRQZ-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1cccc(F)c1C#N ZINC000768561376 701187131 /nfs/dbraw/zinc/18/71/31/701187131.db2.gz IBHZJQRMSGPRQZ-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO CNC(=O)N1CC[NH+](Cc2ccc(O[C@@H](C)C#N)cc2)CC1 ZINC000769498048 701247502 /nfs/dbraw/zinc/24/75/02/701247502.db2.gz KKBPRKXWVMVWHW-ZDUSSCGKSA-N 1 2 302.378 1.434 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnc(C(C)(C)C)nc2)CC1 ZINC000806595308 701442450 /nfs/dbraw/zinc/44/24/50/701442450.db2.gz UJLRCAVZPJAVCT-UHFFFAOYSA-N 1 2 300.406 1.555 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000879155325 706601168 /nfs/dbraw/zinc/60/11/68/706601168.db2.gz ZLDYYPRTXSWKAD-VYDXJSESSA-N 1 2 318.373 1.244 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000879155325 706601172 /nfs/dbraw/zinc/60/11/72/706601172.db2.gz ZLDYYPRTXSWKAD-VYDXJSESSA-N 1 2 318.373 1.244 20 30 DDEDLO CCNc1nc(N=NC(C)c2ccc(N)[nH+]c2)nc(NCC)n1 ZINC000814726017 701743823 /nfs/dbraw/zinc/74/38/23/701743823.db2.gz VEMZSZWXBOVXNH-UHFFFAOYSA-N 1 2 315.385 1.549 20 30 DDEDLO CCOC(=O)c1cnc(N=NC(C)c2ccc(N)[nH+]c2)nc1C ZINC000814895220 701766888 /nfs/dbraw/zinc/76/68/88/701766888.db2.gz WRFILEIIKAYKJB-UHFFFAOYSA-N 1 2 314.349 1.775 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)C1=O ZINC000840076158 701955294 /nfs/dbraw/zinc/95/52/94/701955294.db2.gz OQOKAEUYRXLWSC-GJZGRUSLSA-N 1 2 305.422 1.901 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)C1=O ZINC000840076158 701955295 /nfs/dbraw/zinc/95/52/95/701955295.db2.gz OQOKAEUYRXLWSC-GJZGRUSLSA-N 1 2 305.422 1.901 20 30 DDEDLO COCCO[C@@H]1COCC[C@@H]1[NH2+][C@@H](C)c1cccc(C#N)c1O ZINC000866343530 706677759 /nfs/dbraw/zinc/67/77/59/706677759.db2.gz NOGNLNQRGDDQDI-VBNZEHGJSA-N 1 2 320.389 1.735 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2cccc(F)n2)CC1 ZINC000831467923 706712825 /nfs/dbraw/zinc/71/28/25/706712825.db2.gz FJGIWFOHPDFQMT-UHFFFAOYSA-N 1 2 318.396 1.543 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[NH+]1CCN(c2ccc(F)cc2C#N)CC1 ZINC000879588139 706729242 /nfs/dbraw/zinc/72/92/42/706729242.db2.gz LVZOINAAYHNKTP-LBPRGKRZSA-N 1 2 304.369 1.296 20 30 DDEDLO CC(=O)Oc1ccc(C[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000846953333 703356970 /nfs/dbraw/zinc/35/69/70/703356970.db2.gz ANCHSEMTPCTOMJ-UHFFFAOYSA-N 1 2 301.346 1.170 20 30 DDEDLO N#CCC(=O)N1CC[NH+](C[C@@H](O)c2cc3ccccc3o2)CC1 ZINC000846963221 703358001 /nfs/dbraw/zinc/35/80/01/703358001.db2.gz VEFQINLLTCTAQG-CQSZACIVSA-N 1 2 313.357 1.524 20 30 DDEDLO C#CCNC(=S)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000849274711 703630465 /nfs/dbraw/zinc/63/04/65/703630465.db2.gz HENTYPFSSBXNOU-CVEARBPZSA-N 1 2 315.442 1.079 20 30 DDEDLO C#CCNC(=S)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000849274711 703630466 /nfs/dbraw/zinc/63/04/66/703630466.db2.gz HENTYPFSSBXNOU-CVEARBPZSA-N 1 2 315.442 1.079 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2cccc(OC)c2)CC1 ZINC000851712607 703834906 /nfs/dbraw/zinc/83/49/06/703834906.db2.gz QUMPKRVKCMQVTA-UHFFFAOYSA-N 1 2 302.374 1.103 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cnc3ccccc3n2)CC1 ZINC000852136080 703940037 /nfs/dbraw/zinc/94/00/37/703940037.db2.gz GGXMSPASPJFSQI-UHFFFAOYSA-N 1 2 310.357 1.909 20 30 DDEDLO C#C[C@H](NC(=O)NCc1ccn2cc[nH+]c2c1)C1CCOCC1 ZINC000852642935 704090849 /nfs/dbraw/zinc/09/08/49/704090849.db2.gz QWOYHGZNSHINCZ-HNNXBMFYSA-N 1 2 312.373 1.562 20 30 DDEDLO C[C@@H]1CC[N@H+](CCOCCC#N)C[C@@H]1NC(=O)C(F)(F)F ZINC000852756551 704115958 /nfs/dbraw/zinc/11/59/58/704115958.db2.gz NJHHOZXPZKJQFA-MNOVXSKESA-N 1 2 307.316 1.306 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCOCCC#N)C[C@@H]1NC(=O)C(F)(F)F ZINC000852756551 704115961 /nfs/dbraw/zinc/11/59/61/704115961.db2.gz NJHHOZXPZKJQFA-MNOVXSKESA-N 1 2 307.316 1.306 20 30 DDEDLO C[C@@]12C(=O)N(C[N@@H+]3CCC[C@H](CC#N)C3)C(=O)[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000853525271 704259540 /nfs/dbraw/zinc/25/95/40/704259540.db2.gz UNXFQUVUPGCQHT-AZSHCRGASA-N 1 2 315.417 1.991 20 30 DDEDLO C[C@@]12C(=O)N(C[N@H+]3CCC[C@H](CC#N)C3)C(=O)[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000853525271 704259541 /nfs/dbraw/zinc/25/95/41/704259541.db2.gz UNXFQUVUPGCQHT-AZSHCRGASA-N 1 2 315.417 1.991 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C(C)(C)[C@@H]1[C@H]1CCCO1)[C@H](C)COC ZINC000853534845 704261743 /nfs/dbraw/zinc/26/17/43/704261743.db2.gz NAFYPIABBNTSJL-KFWWJZLASA-N 1 2 310.438 1.883 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C(C)(C)[C@@H]1[C@H]1CCCO1)[C@H](C)COC ZINC000853534845 704261745 /nfs/dbraw/zinc/26/17/45/704261745.db2.gz NAFYPIABBNTSJL-KFWWJZLASA-N 1 2 310.438 1.883 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1Cc2ccccc2N(C)C(=O)C1 ZINC000857887258 704637944 /nfs/dbraw/zinc/63/79/44/704637944.db2.gz JZJHGPCQSWRXCY-INIZCTEOSA-N 1 2 311.385 1.089 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1Cc2ccccc2N(C)C(=O)C1 ZINC000857887258 704637945 /nfs/dbraw/zinc/63/79/45/704637945.db2.gz JZJHGPCQSWRXCY-INIZCTEOSA-N 1 2 311.385 1.089 20 30 DDEDLO N#CCOc1ccc(CC(=O)N[C@H]2CCn3c[nH+]cc3C2)cc1 ZINC000857944693 704646840 /nfs/dbraw/zinc/64/68/40/704646840.db2.gz LUAMLBIRZAAGRR-AWEZNQCLSA-N 1 2 310.357 1.459 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CC[NH2+][C@@H](c3ccc(F)cc3)C2)cn1 ZINC000874887618 705216752 /nfs/dbraw/zinc/21/67/52/705216752.db2.gz BJGVGORFTYNYQW-QGZVFWFLSA-N 1 2 324.359 1.808 20 30 DDEDLO NS(=O)(=O)c1ccc(N[NH2+]C=C2CC2(F)F)c([N+](=O)[O-])c1 ZINC000834915801 707147901 /nfs/dbraw/zinc/14/79/01/707147901.db2.gz MLOPIPXDKRPNHA-ZCFIWIBFSA-N 1 2 320.277 1.295 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@H+]2CCOC[C@H]2CC)cc1 ZINC000875630308 705455334 /nfs/dbraw/zinc/45/53/34/705455334.db2.gz NCESQNCTBUABDO-QGZVFWFLSA-N 1 2 315.417 1.578 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@@H+]2CCOC[C@H]2CC)cc1 ZINC000875630308 705455338 /nfs/dbraw/zinc/45/53/38/705455338.db2.gz NCESQNCTBUABDO-QGZVFWFLSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H]2c3ccccc3C[N@H+]2C)nn1 ZINC000826690796 705847638 /nfs/dbraw/zinc/84/76/38/705847638.db2.gz UHNLKWNDGHIWIA-MRXNPFEDSA-N 1 2 311.389 1.771 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H]2c3ccccc3C[N@@H+]2C)nn1 ZINC000826690796 705847640 /nfs/dbraw/zinc/84/76/40/705847640.db2.gz UHNLKWNDGHIWIA-MRXNPFEDSA-N 1 2 311.389 1.771 20 30 DDEDLO CCOC(=O)[C@@H](F)C1C[NH+](Cc2cc(C#N)ccc2N(C)C)C1 ZINC000877550345 706139880 /nfs/dbraw/zinc/13/98/80/706139880.db2.gz OFEKSVYTUGWZFZ-INIZCTEOSA-N 1 2 319.380 1.957 20 30 DDEDLO N#C[C@]1(C(=O)NCc2ccccc2Cn2cc[nH+]c2)CCCOC1 ZINC000864674302 706230961 /nfs/dbraw/zinc/23/09/61/706230961.db2.gz YCQVENLLVUNWMN-GOSISDBHSA-N 1 2 324.384 1.868 20 30 DDEDLO N#CCC(=O)N1CC[NH+](C[C@@H]2CCC3(CCOCC3)CO2)CC1 ZINC000878671886 706464434 /nfs/dbraw/zinc/46/44/34/706464434.db2.gz NIPUPHPVKRDCQC-HNNXBMFYSA-N 1 2 321.421 1.020 20 30 DDEDLO N#Cc1cc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)co1 ZINC000865690866 706498049 /nfs/dbraw/zinc/49/80/49/706498049.db2.gz OHZGUWOJPYWFRA-CQSZACIVSA-N 1 2 303.362 1.478 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccn3)n2CC2CC2)CC1 ZINC000830461407 706521528 /nfs/dbraw/zinc/52/15/28/706521528.db2.gz BIBHWXHXMGNNSU-UHFFFAOYSA-N 1 2 322.416 1.505 20 30 DDEDLO N#CCOc1ccc(C[N@@H+]2CCO[C@H](Cn3ccnn3)C2)cc1 ZINC000878985354 706553176 /nfs/dbraw/zinc/55/31/76/706553176.db2.gz IISMSHJYVBGSMD-INIZCTEOSA-N 1 2 313.361 1.081 20 30 DDEDLO N#CCOc1ccc(C[N@H+]2CCO[C@H](Cn3ccnn3)C2)cc1 ZINC000878985354 706553179 /nfs/dbraw/zinc/55/31/79/706553179.db2.gz IISMSHJYVBGSMD-INIZCTEOSA-N 1 2 313.361 1.081 20 30 DDEDLO COc1cc2c(cc1OC)C(C)(C)[N@H+](CC(=O)NCC#N)CC2 ZINC000880390523 706961132 /nfs/dbraw/zinc/96/11/32/706961132.db2.gz SEWASCBJENZENM-UHFFFAOYSA-N 1 2 317.389 1.437 20 30 DDEDLO COc1cc2c(cc1OC)C(C)(C)[N@@H+](CC(=O)NCC#N)CC2 ZINC000880390523 706961133 /nfs/dbraw/zinc/96/11/33/706961133.db2.gz SEWASCBJENZENM-UHFFFAOYSA-N 1 2 317.389 1.437 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC2CCC3(CC2)OCCO3)nn1 ZINC000881352478 707208876 /nfs/dbraw/zinc/20/88/76/707208876.db2.gz ZMYUUKFDLUJDBU-UHFFFAOYSA-N 1 2 304.394 1.324 20 30 DDEDLO C#CC1(F)CN(C(=O)[C@@H]2CC[N@H+]2Cc2ccc(OC)cc2)C1 ZINC000881937238 707433513 /nfs/dbraw/zinc/43/35/13/707433513.db2.gz UORYYQUAEHUGBA-HNNXBMFYSA-N 1 2 302.349 1.453 20 30 DDEDLO C#CC1(F)CN(C(=O)[C@@H]2CC[N@@H+]2Cc2ccc(OC)cc2)C1 ZINC000881937238 707433516 /nfs/dbraw/zinc/43/35/16/707433516.db2.gz UORYYQUAEHUGBA-HNNXBMFYSA-N 1 2 302.349 1.453 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1nc2cc3c(cc2[nH]1)OCO3 ZINC000837966310 707800163 /nfs/dbraw/zinc/80/01/63/707800163.db2.gz MWBKHCDWIVHQOE-LBPRGKRZSA-N 1 2 312.329 1.328 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1nc2cc3c(cc2[nH]1)OCO3 ZINC000837966310 707800164 /nfs/dbraw/zinc/80/01/64/707800164.db2.gz MWBKHCDWIVHQOE-LBPRGKRZSA-N 1 2 312.329 1.328 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)Cc1ccccc1F ZINC000884080816 708130189 /nfs/dbraw/zinc/13/01/89/708130189.db2.gz GLFZSPNYMOJUIG-FZMZJTMJSA-N 1 2 308.353 1.320 20 30 DDEDLO COC(=O)c1cc(C2C[NH+](Cc3ccc(C#N)cc3F)C2)[nH]n1 ZINC000898005128 708516966 /nfs/dbraw/zinc/51/69/66/708516966.db2.gz UKLLBLCOPUMFGC-UHFFFAOYSA-N 1 2 314.320 1.806 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cc(C)cc(F)c2)C1 ZINC000885511541 708563068 /nfs/dbraw/zinc/56/30/68/708563068.db2.gz JARIPIOJMARDLB-AWEZNQCLSA-N 1 2 310.394 1.510 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cc(C)cc(F)c2)C1 ZINC000885511541 708563069 /nfs/dbraw/zinc/56/30/69/708563069.db2.gz JARIPIOJMARDLB-AWEZNQCLSA-N 1 2 310.394 1.510 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CO)c1csc(C(C)C)n1 ZINC000898366198 708624473 /nfs/dbraw/zinc/62/44/73/708624473.db2.gz RVSSLYCEXXDKAG-OCCSQVGLSA-N 1 2 321.446 1.514 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CO)c1csc(C(C)C)n1 ZINC000898366198 708624474 /nfs/dbraw/zinc/62/44/74/708624474.db2.gz RVSSLYCEXXDKAG-OCCSQVGLSA-N 1 2 321.446 1.514 20 30 DDEDLO N#Cc1c(F)cccc1C[NH+]1CCC(O)(COCCO)CC1 ZINC000886751596 708836464 /nfs/dbraw/zinc/83/64/64/708836464.db2.gz VZBJFMKWYGTBQZ-UHFFFAOYSA-N 1 2 308.353 1.033 20 30 DDEDLO CCOC(=O)[C@H](C[C@@H]1CCCO1)[NH2+]C[C@@H](O)CC1(C#N)CCC1 ZINC000886971213 708909440 /nfs/dbraw/zinc/90/94/40/708909440.db2.gz RYKSIORYLSJZDG-KKUMJFAQSA-N 1 2 324.421 1.522 20 30 DDEDLO CCOc1ccc(C=[NH+]CCCn2ccc(=O)[nH]c2=O)c(O)c1 ZINC000899234448 709029350 /nfs/dbraw/zinc/02/93/50/709029350.db2.gz XIPKFLGLTPNDGK-BOPFTXTBSA-N 1 2 317.345 1.562 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H]2CCC[C@@H](C(F)(F)F)O2)nn1 ZINC000900632487 709687105 /nfs/dbraw/zinc/68/71/05/709687105.db2.gz DTFHTYFNGZDQAF-OLZOCXBDSA-N 1 2 316.327 1.891 20 30 DDEDLO C=CCNc1ncc(C(=O)N2C[C@H](C)[N@@H+](CCO)C[C@H]2C)s1 ZINC000910927579 710122813 /nfs/dbraw/zinc/12/28/13/710122813.db2.gz BPILRSHTPNRURH-NWDGAFQWSA-N 1 2 324.450 1.268 20 30 DDEDLO C=CCNc1ncc(C(=O)N2C[C@H](C)[N@H+](CCO)C[C@H]2C)s1 ZINC000910927579 710122816 /nfs/dbraw/zinc/12/28/16/710122816.db2.gz BPILRSHTPNRURH-NWDGAFQWSA-N 1 2 324.450 1.268 20 30 DDEDLO CN(C)c1cc(N2CCN(c3cccc(C#N)n3)CC2)nc[nH+]1 ZINC000893184912 710567812 /nfs/dbraw/zinc/56/78/12/710567812.db2.gz ZVUMXEOAWKVGSU-UHFFFAOYSA-N 1 2 309.377 1.136 20 30 DDEDLO CN(C)c1cc(N2CCN(c3cccc(C#N)n3)CC2)[nH+]cn1 ZINC000893184912 710567814 /nfs/dbraw/zinc/56/78/14/710567814.db2.gz ZVUMXEOAWKVGSU-UHFFFAOYSA-N 1 2 309.377 1.136 20 30 DDEDLO CO[C@H]1CC[C@@H]1[N@H+](C)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000902638257 710833047 /nfs/dbraw/zinc/83/30/47/710833047.db2.gz MADAANJREGFVJD-HOTGVXAUSA-N 1 2 301.390 1.665 20 30 DDEDLO CO[C@H]1CC[C@@H]1[N@@H+](C)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000902638257 710833050 /nfs/dbraw/zinc/83/30/50/710833050.db2.gz MADAANJREGFVJD-HOTGVXAUSA-N 1 2 301.390 1.665 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)s1 ZINC000913440377 713216880 /nfs/dbraw/zinc/21/68/80/713216880.db2.gz RGKTYVAEOYNVDW-NSHDSACASA-N 1 2 318.406 1.251 20 30 DDEDLO N#CCOc1ccccc1C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC000913445905 713219196 /nfs/dbraw/zinc/21/91/96/713219196.db2.gz VANWMKUDBTXYSQ-AWEZNQCLSA-N 1 2 311.345 1.099 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)C3(C#N)CCCCC3)CC[NH2+]2)cn1 ZINC000913468581 713229684 /nfs/dbraw/zinc/22/96/84/713229684.db2.gz OAGYGWMKNGQZMY-AWEZNQCLSA-N 1 2 301.394 1.367 20 30 DDEDLO CN(C[C@H]1C[N@H+](C)CCO1)C(=O)C(F)(F)c1ccccc1C#N ZINC000913506311 713240552 /nfs/dbraw/zinc/24/05/52/713240552.db2.gz DXRHUGRVROXONF-CYBMUJFWSA-N 1 2 323.343 1.439 20 30 DDEDLO CN(C[C@H]1C[N@@H+](C)CCO1)C(=O)C(F)(F)c1ccccc1C#N ZINC000913506311 713240554 /nfs/dbraw/zinc/24/05/54/713240554.db2.gz DXRHUGRVROXONF-CYBMUJFWSA-N 1 2 323.343 1.439 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000928636797 713244405 /nfs/dbraw/zinc/24/44/05/713244405.db2.gz VUNLKLYMCXLOSR-IOTAOYMUSA-N 1 2 319.449 1.933 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(F)c(C#N)c1 ZINC000928652447 713252219 /nfs/dbraw/zinc/25/22/19/713252219.db2.gz ZPQUTWFULLVNFF-CQSZACIVSA-N 1 2 305.353 1.538 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C)c(C#N)c1 ZINC000928652002 713252033 /nfs/dbraw/zinc/25/20/33/713252033.db2.gz UAFJADFTWRXHQP-INIZCTEOSA-N 1 2 301.390 1.707 20 30 DDEDLO Cc1cc(C[NH+]2CCN(C(=O)CC#N)CC2)c2c(n1)CCCC2 ZINC000895076176 711399017 /nfs/dbraw/zinc/39/90/17/711399017.db2.gz ZPXKCKDXLRJBGL-UHFFFAOYSA-N 1 2 312.417 1.827 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C)c(C#N)c1 ZINC000928652003 713252179 /nfs/dbraw/zinc/25/21/79/713252179.db2.gz UAFJADFTWRXHQP-MRXNPFEDSA-N 1 2 301.390 1.707 20 30 DDEDLO C[N@H+](CCO)Cc1cn(Cc2cc(Cl)cc(C#N)c2)nn1 ZINC000905837771 712161310 /nfs/dbraw/zinc/16/13/10/712161310.db2.gz LRYHOCWMXVESBG-UHFFFAOYSA-N 1 2 305.769 1.276 20 30 DDEDLO C[N@@H+](CCO)Cc1cn(Cc2cc(Cl)cc(C#N)c2)nn1 ZINC000905837771 712161311 /nfs/dbraw/zinc/16/13/11/712161311.db2.gz LRYHOCWMXVESBG-UHFFFAOYSA-N 1 2 305.769 1.276 20 30 DDEDLO N#Cc1cc(CS(=O)(=O)N[C@@H]2CCc3[nH+]ccn3C2)cs1 ZINC000914305325 713373925 /nfs/dbraw/zinc/37/39/25/713373925.db2.gz ZDFNKIUEWNQEJT-LLVKDONJSA-N 1 2 322.415 1.251 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)OCC ZINC000916709310 713464538 /nfs/dbraw/zinc/46/45/38/713464538.db2.gz IPOWXPMWRANLMK-CQSZACIVSA-N 1 2 319.405 1.776 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2cccc(C)c2C#N)C[C@@H]1C ZINC000919580815 713616820 /nfs/dbraw/zinc/61/68/20/713616820.db2.gz RLIQWFLJCAUOSS-OKILXGFUSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2cccc(C)c2C#N)C[C@@H]1C ZINC000919580815 713616821 /nfs/dbraw/zinc/61/68/21/713616821.db2.gz RLIQWFLJCAUOSS-OKILXGFUSA-N 1 2 321.446 1.970 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000921440399 713772327 /nfs/dbraw/zinc/77/23/27/713772327.db2.gz ZWWBAMONNQLRBY-UHFFFAOYSA-N 1 2 314.357 1.341 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cncc(C(=O)OC)c2)C1=O ZINC000930310866 713800053 /nfs/dbraw/zinc/80/00/53/713800053.db2.gz ROUKUHYHVBUESB-AWEZNQCLSA-N 1 2 303.362 1.087 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cncc(C(=O)OC)c2)C1=O ZINC000930310866 713800055 /nfs/dbraw/zinc/80/00/55/713800055.db2.gz ROUKUHYHVBUESB-AWEZNQCLSA-N 1 2 303.362 1.087 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2nn(C)cc2C)C1 ZINC000966077600 717932789 /nfs/dbraw/zinc/93/27/89/717932789.db2.gz PYVCHJLCFAIQEM-MFKMUULPSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2nn(C)cc2C)C1 ZINC000966077600 717932794 /nfs/dbraw/zinc/93/27/94/717932794.db2.gz PYVCHJLCFAIQEM-MFKMUULPSA-N 1 2 310.829 1.921 20 30 DDEDLO CC(C)C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)o1 ZINC000922023643 713940007 /nfs/dbraw/zinc/94/00/07/713940007.db2.gz ACJKZMFLLPQVMA-GFCCVEGCSA-N 1 2 311.407 1.502 20 30 DDEDLO CC(C)C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)o1 ZINC000922023643 713940009 /nfs/dbraw/zinc/94/00/09/713940009.db2.gz ACJKZMFLLPQVMA-GFCCVEGCSA-N 1 2 311.407 1.502 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@](C)(O)[C@@H]([N@@H+]2CCC[C@@H](C#N)C2)C1 ZINC000932154574 714272968 /nfs/dbraw/zinc/27/29/68/714272968.db2.gz LAXNYQWBKIHUJI-ZQIUZPCESA-N 1 2 323.437 1.982 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@](C)(O)[C@@H]([N@H+]2CCC[C@@H](C#N)C2)C1 ZINC000932154574 714272969 /nfs/dbraw/zinc/27/29/69/714272969.db2.gz LAXNYQWBKIHUJI-ZQIUZPCESA-N 1 2 323.437 1.982 20 30 DDEDLO N#CC[C@@H](NC(=O)N[C@@H]1CCn2cc[nH+]c2C1)C(F)(F)F ZINC000932908269 714434926 /nfs/dbraw/zinc/43/49/26/714434926.db2.gz QJEONBVXAWSKAX-RKDXNWHRSA-N 1 2 301.272 1.342 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932918601 714437449 /nfs/dbraw/zinc/43/74/49/714437449.db2.gz SWKWNIRSKLJVFE-JTQLQIEISA-N 1 2 322.331 1.241 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932918601 714437451 /nfs/dbraw/zinc/43/74/51/714437451.db2.gz SWKWNIRSKLJVFE-JTQLQIEISA-N 1 2 322.331 1.241 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)CN1CCc2c([nH+]cn2CC)C1 ZINC000933261816 714549036 /nfs/dbraw/zinc/54/90/36/714549036.db2.gz WGALSFVQECODMZ-ZDUSSCGKSA-N 1 2 303.410 1.269 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCOc3ccccc3[C@@H]2CO)C1=O ZINC000933382873 714577393 /nfs/dbraw/zinc/57/73/93/714577393.db2.gz LSPPWOXKDWRZHQ-GJZGRUSLSA-N 1 2 302.374 1.201 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCOc3ccccc3[C@@H]2CO)C1=O ZINC000933382873 714577395 /nfs/dbraw/zinc/57/73/95/714577395.db2.gz LSPPWOXKDWRZHQ-GJZGRUSLSA-N 1 2 302.374 1.201 20 30 DDEDLO COC(=O)CCN1CC[C@H]([N@H+](C)Cc2cc(C#N)cs2)C1=O ZINC000933770581 714668988 /nfs/dbraw/zinc/66/89/88/714668988.db2.gz LRIOMEWSLSGJEL-ZDUSSCGKSA-N 1 2 321.402 1.216 20 30 DDEDLO COC(=O)CCN1CC[C@H]([N@@H+](C)Cc2cc(C#N)cs2)C1=O ZINC000933770581 714668990 /nfs/dbraw/zinc/66/89/90/714668990.db2.gz LRIOMEWSLSGJEL-ZDUSSCGKSA-N 1 2 321.402 1.216 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+](Cc2ccoc2)C2CC2)CC1 ZINC000934005220 714720730 /nfs/dbraw/zinc/72/07/30/714720730.db2.gz WPLRHXWEVWEENN-UHFFFAOYSA-N 1 2 301.390 1.021 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+](Cc2ccoc2)C2CC2)CC1 ZINC000934005220 714720732 /nfs/dbraw/zinc/72/07/32/714720732.db2.gz WPLRHXWEVWEENN-UHFFFAOYSA-N 1 2 301.390 1.021 20 30 DDEDLO CO[C@@H]1CCOC[C@H]1[NH2+]Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000934039897 714728980 /nfs/dbraw/zinc/72/89/80/714728980.db2.gz DNZIDCMQJKNIAQ-ZIAGYGMSSA-N 1 2 314.345 1.502 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CCOc1ccc(S(C)(=O)=O)cc1 ZINC000934511898 714839625 /nfs/dbraw/zinc/83/96/25/714839625.db2.gz ABFKXLASCMHHRG-CQSZACIVSA-N 1 2 307.415 1.957 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CCOc1ccc(S(C)(=O)=O)cc1 ZINC000934511898 714839626 /nfs/dbraw/zinc/83/96/26/714839626.db2.gz ABFKXLASCMHHRG-CQSZACIVSA-N 1 2 307.415 1.957 20 30 DDEDLO C=CCN(C(=O)N[C@@H](CC)C[NH+]1CCOCC1)[C@@H](C)COC ZINC000925607283 714898261 /nfs/dbraw/zinc/89/82/61/714898261.db2.gz UDVJHMQZIPYGEX-GJZGRUSLSA-N 1 2 313.442 1.330 20 30 DDEDLO C#Cc1ccc(NC(=O)N2C[C@H]([NH+]3CCOCC3)C[C@H]2C)cc1 ZINC000934924827 714930653 /nfs/dbraw/zinc/93/06/53/714930653.db2.gz BDFLJZVUAIYVJD-RHSMWYFYSA-N 1 2 313.401 1.995 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@H]2CCO[C@H](C(C)C)C2)CC1 ZINC000957102395 715746723 /nfs/dbraw/zinc/74/67/23/715746723.db2.gz CLOJBLPVWUSGHW-IRXDYDNUSA-N 1 2 306.450 1.995 20 30 DDEDLO C=C1CCC(C(=O)N2CC(N(C)C(=O)Cc3c[nH+]c[nH]3)C2)CC1 ZINC000955441926 715775227 /nfs/dbraw/zinc/77/52/27/715775227.db2.gz HNZXWPLVXWKWEQ-UHFFFAOYSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccccc2C(=O)N(C)C)CC1 ZINC000957365646 715862412 /nfs/dbraw/zinc/86/24/12/715862412.db2.gz UJCUPMUAXUQLOV-UHFFFAOYSA-N 1 2 315.417 1.722 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](C[C@@H]3CC[C@H](C)O3)CC2)nc1 ZINC000957378800 715870391 /nfs/dbraw/zinc/87/03/91/715870391.db2.gz IOPGXFYABLGCBB-HOCLYGCPSA-N 1 2 313.401 1.388 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@H]2Oc3ccccc3O[C@H]2C)CC1 ZINC000957621425 715971492 /nfs/dbraw/zinc/97/14/92/715971492.db2.gz GHVQSHVPXCHUAF-YOEHRIQHSA-N 1 2 316.401 1.935 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](N(C)C(=O)Cn3cc[nH+]c3)C2)C1 ZINC000939560553 716324769 /nfs/dbraw/zinc/32/47/69/716324769.db2.gz QOJJRWVMMVQSMJ-AWEZNQCLSA-N 1 2 316.405 1.299 20 30 DDEDLO COCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1cc(F)ccc1C#N ZINC000960338168 716495736 /nfs/dbraw/zinc/49/57/36/716495736.db2.gz WRLUVEHUOCHZDH-INIZCTEOSA-N 1 2 319.380 1.767 20 30 DDEDLO COCC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cc(F)ccc1C#N ZINC000960338168 716495738 /nfs/dbraw/zinc/49/57/38/716495738.db2.gz WRLUVEHUOCHZDH-INIZCTEOSA-N 1 2 319.380 1.767 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000940840613 716984707 /nfs/dbraw/zinc/98/47/07/716984707.db2.gz XXRLNNWKIICDKH-OAHLLOKOSA-N 1 2 311.389 1.917 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3(C4CC4)CC3)CC2)C1 ZINC000941449832 717178905 /nfs/dbraw/zinc/17/89/05/717178905.db2.gz RGOOCSIBQURGHB-UHFFFAOYSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc(C)ccc3C)CC2)C1 ZINC000941651182 717244060 /nfs/dbraw/zinc/24/40/60/717244060.db2.gz VRUXEDPXLXHKEI-UHFFFAOYSA-N 1 2 311.429 1.379 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(CF)cc3)CC2)C1 ZINC000941682314 717255201 /nfs/dbraw/zinc/25/52/01/717255201.db2.gz NFFJMPWBYWPXES-UHFFFAOYSA-N 1 2 315.392 1.231 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC000941725704 717285650 /nfs/dbraw/zinc/28/56/50/717285650.db2.gz QJSYFFQGOKTDMA-UHFFFAOYSA-N 1 2 318.421 1.546 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)C2=COCCO2)C1 ZINC000964710374 717388534 /nfs/dbraw/zinc/38/85/34/717388534.db2.gz HPVGCEHSXKJYIX-JQWIXIFHSA-N 1 2 300.786 1.454 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)C2=COCCO2)C1 ZINC000964710374 717388536 /nfs/dbraw/zinc/38/85/36/717388536.db2.gz HPVGCEHSXKJYIX-JQWIXIFHSA-N 1 2 300.786 1.454 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CCN(CC#N)C[C@@H]2C)c[nH+]1 ZINC000942179878 717529631 /nfs/dbraw/zinc/52/96/31/717529631.db2.gz DAPYHBPNNSKHMU-JSGCOSHPSA-N 1 2 303.410 1.277 20 30 DDEDLO CN(C(=O)[C@@H]1CCn2c[nH+]cc2C1)C1CCC(NCC#N)CC1 ZINC000963582595 717836875 /nfs/dbraw/zinc/83/68/75/717836875.db2.gz PCPOOBJZWFNPQA-WLYUNCDWSA-N 1 2 315.421 1.328 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000966671357 718624414 /nfs/dbraw/zinc/62/44/14/718624414.db2.gz ONTBCRVKSRIBFI-UONOGXRCSA-N 1 2 318.421 1.449 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2snnc2CC)C1 ZINC000967278293 718812853 /nfs/dbraw/zinc/81/28/53/718812853.db2.gz CHQRVBIRCVTNRU-AAEUAGOBSA-N 1 2 306.435 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2snnc2CC)C1 ZINC000967278293 718812856 /nfs/dbraw/zinc/81/28/56/718812856.db2.gz CHQRVBIRCVTNRU-AAEUAGOBSA-N 1 2 306.435 1.564 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)C[C@@H]1C ZINC000948074058 719350906 /nfs/dbraw/zinc/35/09/06/719350906.db2.gz PZEWIAGOMZNHNC-ZFWWWQNUSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)C[C@@H]1C ZINC000948074058 719350909 /nfs/dbraw/zinc/35/09/09/719350909.db2.gz PZEWIAGOMZNHNC-ZFWWWQNUSA-N 1 2 323.400 1.688 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC000968410885 719568558 /nfs/dbraw/zinc/56/85/58/719568558.db2.gz SMMOZIMFKFQGAR-BXKDBHETSA-N 1 2 314.349 1.143 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn(CC)c1 ZINC000948898129 719772553 /nfs/dbraw/zinc/77/25/53/719772553.db2.gz DETZYAOQKBUCHX-SFHVURJKSA-N 1 2 322.412 1.865 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn(CC)c1 ZINC000948898129 719772555 /nfs/dbraw/zinc/77/25/55/719772555.db2.gz DETZYAOQKBUCHX-SFHVURJKSA-N 1 2 322.412 1.865 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ncc[nH]1 ZINC000948915517 719783385 /nfs/dbraw/zinc/78/33/85/719783385.db2.gz AMYPAXGGTAQACI-MRXNPFEDSA-N 1 2 308.385 1.762 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ncc[nH]1 ZINC000948915517 719783388 /nfs/dbraw/zinc/78/33/88/719783388.db2.gz AMYPAXGGTAQACI-MRXNPFEDSA-N 1 2 308.385 1.762 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1c[nH]nc1C ZINC000948946743 719796748 /nfs/dbraw/zinc/79/67/48/719796748.db2.gz OSDUDZBRFMSEDS-KRWDZBQOSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1c[nH]nc1C ZINC000948946743 719796751 /nfs/dbraw/zinc/79/67/51/719796751.db2.gz OSDUDZBRFMSEDS-KRWDZBQOSA-N 1 2 308.385 1.681 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC000968921807 719871928 /nfs/dbraw/zinc/87/19/28/719871928.db2.gz KCINKJBHKBNYNE-CYBMUJFWSA-N 1 2 315.377 1.370 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@H](CC)O3)CC2)C1 ZINC000949379008 720049869 /nfs/dbraw/zinc/04/98/69/720049869.db2.gz JQHYJLYVCXVYGP-HOTGVXAUSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@H](CC)O3)CC2)C1 ZINC000949379008 720049873 /nfs/dbraw/zinc/04/98/73/720049873.db2.gz JQHYJLYVCXVYGP-HOTGVXAUSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@H]3C(C)C)CC2)C1 ZINC000949504953 720129502 /nfs/dbraw/zinc/12/95/02/720129502.db2.gz YAOHOTBCPOECSZ-HOTGVXAUSA-N 1 2 304.434 1.605 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@H]3C(C)C)CC2)C1 ZINC000949504953 720129499 /nfs/dbraw/zinc/12/94/99/720129499.db2.gz YAOHOTBCPOECSZ-HOTGVXAUSA-N 1 2 304.434 1.605 20 30 DDEDLO Cc1cnc(C[NH+]2CC([C@H](C)NC(=O)c3cc(C#N)c[nH]3)C2)cn1 ZINC000969463958 720143358 /nfs/dbraw/zinc/14/33/58/720143358.db2.gz YQNXYKIJFIRYPK-LBPRGKRZSA-N 1 2 324.388 1.235 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccccc2N(C)C(C)=O)CC1 ZINC000949558755 720163758 /nfs/dbraw/zinc/16/37/58/720163758.db2.gz UVSBLJVWMRODNU-UHFFFAOYSA-N 1 2 301.390 1.613 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC000969820873 720309094 /nfs/dbraw/zinc/30/90/94/720309094.db2.gz MILSSORKCJFHAO-NSHDSACASA-N 1 2 323.824 1.890 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC000969830925 720315200 /nfs/dbraw/zinc/31/52/00/720315200.db2.gz WILBPAOBCYWNAH-MLGOLLRUSA-N 1 2 321.425 1.428 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ncc(OC)cn2)C1 ZINC000970116532 720631208 /nfs/dbraw/zinc/63/12/08/720631208.db2.gz IZVBBUUEYHZLGU-SNVBAGLBSA-N 1 2 310.785 1.288 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2[nH]nc3c2cccc3OC)C1 ZINC000950436054 720631455 /nfs/dbraw/zinc/63/14/55/720631455.db2.gz FBKMJBBIJXWBGR-UHFFFAOYSA-N 1 2 314.389 1.904 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccnc(OC)n2)C1 ZINC000970126324 720635372 /nfs/dbraw/zinc/63/53/72/720635372.db2.gz CPJYBWBNUOCRAU-JTQLQIEISA-N 1 2 310.785 1.288 20 30 DDEDLO C=C(C)CC[NH+]1CC(N(CC)C(=O)c2cc(=O)c(OC)co2)C1 ZINC000950886230 720813329 /nfs/dbraw/zinc/81/33/29/720813329.db2.gz ACHXXLQDQDVCSW-UHFFFAOYSA-N 1 2 320.389 1.761 20 30 DDEDLO C#CCN1CC(N(CC)C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000951001765 720872498 /nfs/dbraw/zinc/87/24/98/720872498.db2.gz OJGZGCZWNDYUPS-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC000970932753 720995274 /nfs/dbraw/zinc/99/52/74/720995274.db2.gz XIRRZDVZCNLSQY-VXGBXAGGSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccc(N(C)C)cn2)C1 ZINC000970947771 721002438 /nfs/dbraw/zinc/00/24/38/721002438.db2.gz MPGWPJZMWQGCTC-GFCCVEGCSA-N 1 2 322.840 1.950 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000951543510 721065315 /nfs/dbraw/zinc/06/53/15/721065315.db2.gz UVJVSMUBOHTDRX-CYBMUJFWSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H](CC)OC)C1 ZINC000971190787 721165664 /nfs/dbraw/zinc/16/56/64/721165664.db2.gz JABJMJGRUGQZMH-VXGBXAGGSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H](CC)OC)C1 ZINC000971190787 721165670 /nfs/dbraw/zinc/16/56/70/721165670.db2.gz JABJMJGRUGQZMH-VXGBXAGGSA-N 1 2 319.243 1.853 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnc(Cl)s2)C1 ZINC000971216986 721183900 /nfs/dbraw/zinc/18/39/00/721183900.db2.gz AJYDCVKPSSSSHJ-NXEZZACHSA-N 1 2 312.826 1.989 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnc(Cl)s2)C1 ZINC000971216986 721183904 /nfs/dbraw/zinc/18/39/04/721183904.db2.gz AJYDCVKPSSSSHJ-NXEZZACHSA-N 1 2 312.826 1.989 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000951916738 721235617 /nfs/dbraw/zinc/23/56/17/721235617.db2.gz MPXYIOAOQXUKAT-CXAGYDPISA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnnc3ccccc32)C1 ZINC000952361433 721421713 /nfs/dbraw/zinc/42/17/13/721421713.db2.gz QIEHYYYQHWCWIB-UHFFFAOYSA-N 1 2 308.385 1.799 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cc[nH]c2)cc1C#N ZINC001038284250 735318302 /nfs/dbraw/zinc/31/83/02/735318302.db2.gz ITSUVOJUTKUATE-MRXNPFEDSA-N 1 2 324.384 1.899 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cc[nH]c2)cc1C#N ZINC001038284250 735318304 /nfs/dbraw/zinc/31/83/04/735318304.db2.gz ITSUVOJUTKUATE-MRXNPFEDSA-N 1 2 324.384 1.899 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCCC[C@@H]2C[NH2+]Cc2nnn(C)n2)C1 ZINC001025074160 736278350 /nfs/dbraw/zinc/27/83/50/736278350.db2.gz HHFPOHGSUDXZLS-CYBMUJFWSA-N 1 2 318.425 1.037 20 30 DDEDLO CN(C)c1[nH+]ccc2c1CN(c1nccc(C#N)c1[N+](=O)[O-])CC2 ZINC001120905631 782224033 /nfs/dbraw/zinc/22/40/33/782224033.db2.gz UBELTNHRPFOTHJ-UHFFFAOYSA-N 1 2 324.344 1.885 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)no1 ZINC001038036208 732781710 /nfs/dbraw/zinc/78/17/10/732781710.db2.gz LARNUASZIGLDRY-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)no1 ZINC001038036208 732781716 /nfs/dbraw/zinc/78/17/16/732781716.db2.gz LARNUASZIGLDRY-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO CCn1ccnc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038202212 733385539 /nfs/dbraw/zinc/38/55/39/733385539.db2.gz RNZNGKAGXXNYJM-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1ccnc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038202212 733385542 /nfs/dbraw/zinc/38/55/42/733385542.db2.gz RNZNGKAGXXNYJM-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)[C@@H]1CC1(F)F ZINC001038230066 734537919 /nfs/dbraw/zinc/53/79/19/734537919.db2.gz RTDLZEHIJIDERV-GJZGRUSLSA-N 1 2 304.340 1.884 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)[C@@H]1CC1(F)F ZINC001038230066 734537924 /nfs/dbraw/zinc/53/79/24/734537924.db2.gz RTDLZEHIJIDERV-GJZGRUSLSA-N 1 2 304.340 1.884 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc(CC)c1Cl ZINC001027938738 738838900 /nfs/dbraw/zinc/83/89/00/738838900.db2.gz WLMLBJMSFSGFDX-LLVKDONJSA-N 1 2 308.813 1.843 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc(CC)c1Cl ZINC001027938738 738838905 /nfs/dbraw/zinc/83/89/05/738838905.db2.gz WLMLBJMSFSGFDX-LLVKDONJSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001024469084 735846350 /nfs/dbraw/zinc/84/63/50/735846350.db2.gz PMBDLQXCDUBJPV-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001024469084 735846352 /nfs/dbraw/zinc/84/63/52/735846352.db2.gz PMBDLQXCDUBJPV-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCC[C@@H]1CNC(=O)c1cn[nH]n1 ZINC001024525721 735900757 /nfs/dbraw/zinc/90/07/57/735900757.db2.gz QWSQISFSRQXASN-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cn[nH]n1 ZINC001024525721 735900761 /nfs/dbraw/zinc/90/07/61/735900761.db2.gz QWSQISFSRQXASN-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(F)cc(F)c3)[C@H]2C1 ZINC001083267797 735939247 /nfs/dbraw/zinc/93/92/47/735939247.db2.gz SSSHEKFIFDIGDY-JKSUJKDBSA-N 1 2 320.339 1.513 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(F)cc(F)c3)[C@H]2C1 ZINC001083267797 735939251 /nfs/dbraw/zinc/93/92/51/735939251.db2.gz SSSHEKFIFDIGDY-JKSUJKDBSA-N 1 2 320.339 1.513 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1C ZINC001121433463 782507643 /nfs/dbraw/zinc/50/76/43/782507643.db2.gz JXZIKBWBIUYWHK-BFHYXJOUSA-N 1 2 303.410 1.199 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2C[N@H+]3CCCC[C@@H]3CO2)n1C ZINC001121433463 782507651 /nfs/dbraw/zinc/50/76/51/782507651.db2.gz JXZIKBWBIUYWHK-BFHYXJOUSA-N 1 2 303.410 1.199 20 30 DDEDLO C#CCOc1ncccc1C(=O)NC[C@H]1CCCC[N@H+]1CCF ZINC001024767160 736077412 /nfs/dbraw/zinc/07/74/12/736077412.db2.gz ZXBPBRPNOQPFIG-CQSZACIVSA-N 1 2 319.380 1.647 20 30 DDEDLO C#CCOc1ncccc1C(=O)NC[C@H]1CCCC[N@@H+]1CCF ZINC001024767160 736077414 /nfs/dbraw/zinc/07/74/14/736077414.db2.gz ZXBPBRPNOQPFIG-CQSZACIVSA-N 1 2 319.380 1.647 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001025237205 736384567 /nfs/dbraw/zinc/38/45/67/736384567.db2.gz KFTSKROSFRFUMY-CVEARBPZSA-N 1 2 315.421 1.711 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001025237205 736384568 /nfs/dbraw/zinc/38/45/68/736384568.db2.gz KFTSKROSFRFUMY-CVEARBPZSA-N 1 2 315.421 1.711 20 30 DDEDLO C=CCCCC(=O)N(C)[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104783904 737205421 /nfs/dbraw/zinc/20/54/21/737205421.db2.gz BCEUASLGDURLEO-LBPRGKRZSA-N 1 2 320.441 1.861 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)c3ccccc3C)C2)nn1 ZINC001105141864 737574687 /nfs/dbraw/zinc/57/46/87/737574687.db2.gz CFTZTRQVLRFIQF-UHFFFAOYSA-N 1 2 323.400 1.397 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)co1 ZINC001027405155 738269213 /nfs/dbraw/zinc/26/92/13/738269213.db2.gz CRZBYBUFLLDWOA-INIZCTEOSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)co1 ZINC001027405155 738269216 /nfs/dbraw/zinc/26/92/16/738269216.db2.gz CRZBYBUFLLDWOA-INIZCTEOSA-N 1 2 301.390 1.819 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ncnc2[nH]ccc21 ZINC001028000142 738924195 /nfs/dbraw/zinc/92/41/95/738924195.db2.gz YEYSTJXHOZXURB-NSHDSACASA-N 1 2 319.796 1.856 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ncnc2[nH]ccc21 ZINC001028000142 738924197 /nfs/dbraw/zinc/92/41/97/738924197.db2.gz YEYSTJXHOZXURB-NSHDSACASA-N 1 2 319.796 1.856 20 30 DDEDLO N#CCN1CCC[C@@H]1CNC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001028051602 738967819 /nfs/dbraw/zinc/96/78/19/738967819.db2.gz QXYDSZVDVKODFA-MRXNPFEDSA-N 1 2 309.373 1.590 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)[C@H](C)CC)C2)nn1 ZINC001105354391 738990198 /nfs/dbraw/zinc/99/01/98/738990198.db2.gz JPGUSOCTYKKWNE-OLZOCXBDSA-N 1 2 303.410 1.066 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(OC(C)C)n1 ZINC001038859087 739005772 /nfs/dbraw/zinc/00/57/72/739005772.db2.gz WRAGZGXJFMYDNR-CQSZACIVSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(OC(C)C)n1 ZINC001038859087 739005775 /nfs/dbraw/zinc/00/57/75/739005775.db2.gz WRAGZGXJFMYDNR-CQSZACIVSA-N 1 2 301.390 1.696 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCc2n[nH]nc2C1 ZINC001028261450 739248931 /nfs/dbraw/zinc/24/89/31/739248931.db2.gz YWLOZVRSLJYLRS-NEPJUHHUSA-N 1 2 323.828 1.243 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(Cl)nc2ccccn21 ZINC001038993566 739260565 /nfs/dbraw/zinc/26/05/65/739260565.db2.gz JDIQVYYHAAUEBC-LBPRGKRZSA-N 1 2 316.792 1.815 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(Cl)nc2ccccn21 ZINC001038993566 739260568 /nfs/dbraw/zinc/26/05/68/739260568.db2.gz JDIQVYYHAAUEBC-LBPRGKRZSA-N 1 2 316.792 1.815 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001028329262 739367534 /nfs/dbraw/zinc/36/75/34/739367534.db2.gz JDWSXANNMQRBCY-TZMCWYRMSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001028329262 739367538 /nfs/dbraw/zinc/36/75/38/739367538.db2.gz JDWSXANNMQRBCY-TZMCWYRMSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OCC)nc2)C1 ZINC001035389653 751460309 /nfs/dbraw/zinc/46/03/09/751460309.db2.gz DCDOLDDXBUWRCN-OAHLLOKOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(OCC)nc2)C1 ZINC001035389653 751460310 /nfs/dbraw/zinc/46/03/10/751460310.db2.gz DCDOLDDXBUWRCN-OAHLLOKOSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3ccccc3n2)C1 ZINC001035402074 751471404 /nfs/dbraw/zinc/47/14/04/751471404.db2.gz QTJXVKSQQHMGRK-AWEZNQCLSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3ccccc3n2)C1 ZINC001035402074 751471408 /nfs/dbraw/zinc/47/14/08/751471408.db2.gz QTJXVKSQQHMGRK-AWEZNQCLSA-N 1 2 324.384 1.084 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)ncn3C)C1 ZINC001035421518 751479857 /nfs/dbraw/zinc/47/98/57/751479857.db2.gz BYYYTVBWLFHQFN-CQSZACIVSA-N 1 2 314.389 1.190 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)ncn3C)C1 ZINC001035421518 751479858 /nfs/dbraw/zinc/47/98/58/751479858.db2.gz BYYYTVBWLFHQFN-CQSZACIVSA-N 1 2 314.389 1.190 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114894964 751483682 /nfs/dbraw/zinc/48/36/82/751483682.db2.gz ORDSQUQETDNSNA-IGQOVBAYSA-N 1 2 317.437 1.525 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114894964 751483686 /nfs/dbraw/zinc/48/36/86/751483686.db2.gz ORDSQUQETDNSNA-IGQOVBAYSA-N 1 2 317.437 1.525 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+](Cc3nncn3C)C2)cc1 ZINC001028730381 739968634 /nfs/dbraw/zinc/96/86/34/739968634.db2.gz IFLMLBGKZUXXJK-HNNXBMFYSA-N 1 2 323.400 1.048 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+](Cc3nncn3C)C2)cc1 ZINC001028730381 739968636 /nfs/dbraw/zinc/96/86/36/739968636.db2.gz IFLMLBGKZUXXJK-HNNXBMFYSA-N 1 2 323.400 1.048 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2nc3c(s2)CCC3)C1 ZINC001035445371 751522280 /nfs/dbraw/zinc/52/22/80/751522280.db2.gz QEOCPFOQVKFEJC-GFCCVEGCSA-N 1 2 321.446 1.639 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2nc3c(s2)CCC3)C1 ZINC001035445371 751522285 /nfs/dbraw/zinc/52/22/85/751522285.db2.gz QEOCPFOQVKFEJC-GFCCVEGCSA-N 1 2 321.446 1.639 20 30 DDEDLO C#CC[N@H+]1CC[C@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001029008115 740253435 /nfs/dbraw/zinc/25/34/35/740253435.db2.gz SZAQPQKYERGQRU-CYBMUJFWSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001029008115 740253438 /nfs/dbraw/zinc/25/34/38/740253438.db2.gz SZAQPQKYERGQRU-CYBMUJFWSA-N 1 2 309.373 1.157 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3ccc(F)cc32)C1 ZINC001035486311 751538036 /nfs/dbraw/zinc/53/80/36/751538036.db2.gz AEDOBWHUTOWHGE-NVXWUHKLSA-N 1 2 318.392 1.859 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3ccc(F)cc32)C1 ZINC001035486311 751538038 /nfs/dbraw/zinc/53/80/38/751538038.db2.gz AEDOBWHUTOWHGE-NVXWUHKLSA-N 1 2 318.392 1.859 20 30 DDEDLO O=C(c1ncc[nH]1)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#Cc1ccccc1 ZINC001029299253 740541080 /nfs/dbraw/zinc/54/10/80/740541080.db2.gz LICGPMJIIXYQNQ-CALCHBBNSA-N 1 2 320.396 1.750 20 30 DDEDLO O=C(c1ncc[nH]1)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#Cc1ccccc1 ZINC001029299253 740541081 /nfs/dbraw/zinc/54/10/81/740541081.db2.gz LICGPMJIIXYQNQ-CALCHBBNSA-N 1 2 320.396 1.750 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ocnc2C2CC2)C1 ZINC001035509152 751564019 /nfs/dbraw/zinc/56/40/19/751564019.db2.gz BNYKSGMGKLENJP-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ocnc2C2CC2)C1 ZINC001035509152 751564024 /nfs/dbraw/zinc/56/40/24/751564024.db2.gz BNYKSGMGKLENJP-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001035519015 751595829 /nfs/dbraw/zinc/59/58/29/751595829.db2.gz SMCLDICEIWLOON-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001035519015 751595835 /nfs/dbraw/zinc/59/58/35/751595835.db2.gz SMCLDICEIWLOON-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)no2)C1 ZINC001035525847 751604265 /nfs/dbraw/zinc/60/42/65/751604265.db2.gz CBVGFWTWNJYLIC-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)no2)C1 ZINC001035525847 751604266 /nfs/dbraw/zinc/60/42/66/751604266.db2.gz CBVGFWTWNJYLIC-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)CCO3)C1 ZINC001035527283 751606065 /nfs/dbraw/zinc/60/60/65/751606065.db2.gz OLDGCXYBZNQUOS-OAHLLOKOSA-N 1 2 302.374 1.238 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)CCO3)C1 ZINC001035527283 751606070 /nfs/dbraw/zinc/60/60/70/751606070.db2.gz OLDGCXYBZNQUOS-OAHLLOKOSA-N 1 2 302.374 1.238 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001029826821 741362679 /nfs/dbraw/zinc/36/26/79/741362679.db2.gz WBHDNBREHZCKIV-WMLDXEAASA-N 1 2 319.453 1.698 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001029826821 741362681 /nfs/dbraw/zinc/36/26/81/741362681.db2.gz WBHDNBREHZCKIV-WMLDXEAASA-N 1 2 319.453 1.698 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@]2(C1)CCC[N@H+](Cc1cnns1)C2 ZINC001040127800 741393578 /nfs/dbraw/zinc/39/35/78/741393578.db2.gz VZOOVXSYSKCYLX-DOMZBBRYSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@]2(C1)CCC[N@@H+](Cc1cnns1)C2 ZINC001040127800 741393581 /nfs/dbraw/zinc/39/35/81/741393581.db2.gz VZOOVXSYSKCYLX-DOMZBBRYSA-N 1 2 319.434 1.512 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(F)cc2Cl)C1 ZINC001035600722 751657672 /nfs/dbraw/zinc/65/76/72/751657672.db2.gz OOMUWYBKMZYSQN-ZDUSSCGKSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(F)cc2Cl)C1 ZINC001035600722 751657676 /nfs/dbraw/zinc/65/76/76/751657676.db2.gz OOMUWYBKMZYSQN-ZDUSSCGKSA-N 1 2 324.783 1.933 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001075885691 741717679 /nfs/dbraw/zinc/71/76/79/741717679.db2.gz JSCFCMLORVHLJP-OLZOCXBDSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001075885691 741717680 /nfs/dbraw/zinc/71/76/80/741717680.db2.gz JSCFCMLORVHLJP-OLZOCXBDSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C2CC3(C2)CCOCC3)C1 ZINC001035578597 751667850 /nfs/dbraw/zinc/66/78/50/751667850.db2.gz XSVZXGOUEGELOE-OAHLLOKOSA-N 1 2 308.422 1.196 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C2CC3(C2)CCOCC3)C1 ZINC001035578597 751667856 /nfs/dbraw/zinc/66/78/56/751667856.db2.gz XSVZXGOUEGELOE-OAHLLOKOSA-N 1 2 308.422 1.196 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(Cl)cn2)C1 ZINC001035583937 751676665 /nfs/dbraw/zinc/67/66/65/751676665.db2.gz WORXKSAIPFIBOJ-CYBMUJFWSA-N 1 2 309.797 1.742 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(Cl)cn2)C1 ZINC001035583937 751676667 /nfs/dbraw/zinc/67/66/67/751676667.db2.gz WORXKSAIPFIBOJ-CYBMUJFWSA-N 1 2 309.797 1.742 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cnn(C)c2C)[C@H]1C ZINC001088612951 741967415 /nfs/dbraw/zinc/96/74/15/741967415.db2.gz NWRLOUVNTJCJGD-OCCSQVGLSA-N 1 2 310.829 1.603 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cnn(C)c2C)[C@H]1C ZINC001088612951 741967417 /nfs/dbraw/zinc/96/74/17/741967417.db2.gz NWRLOUVNTJCJGD-OCCSQVGLSA-N 1 2 310.829 1.603 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H](C)CCC)C2)nn1 ZINC001098645457 741988521 /nfs/dbraw/zinc/98/85/21/741988521.db2.gz MVSLTOOGYDJQGW-HIFRSBDPSA-N 1 2 303.410 1.211 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1OC ZINC001212097109 741988538 /nfs/dbraw/zinc/98/85/38/741988538.db2.gz NALLUVVXALLYPC-YEIGZOCQSA-N 1 2 313.401 1.840 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1OC ZINC001212097109 741988539 /nfs/dbraw/zinc/98/85/39/741988539.db2.gz NALLUVVXALLYPC-YEIGZOCQSA-N 1 2 313.401 1.840 20 30 DDEDLO Cc1cc(N(C)CCN(C)C(=O)Cc2c[nH+]c[nH]2)c(C#N)cn1 ZINC001105576105 742124006 /nfs/dbraw/zinc/12/40/06/742124006.db2.gz MKUYVHOMBLTXPW-UHFFFAOYSA-N 1 2 312.377 1.122 20 30 DDEDLO N#Cc1cccc(-n2ccc(C(=O)N[C@H]3CCn4c[nH+]cc43)n2)c1 ZINC001168300228 742162983 /nfs/dbraw/zinc/16/29/83/742162983.db2.gz KPFWOPQKGZXISR-AWEZNQCLSA-N 1 2 318.340 1.815 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H]3CC3(C)C)C2)nn1 ZINC001098658903 742414750 /nfs/dbraw/zinc/41/47/50/742414750.db2.gz KDPCNNBPBOLMIM-CABCVRRESA-N 1 2 315.421 1.211 20 30 DDEDLO CC(C)CCc1noc(C[NH2+]CCNC(=O)C#CC(C)(C)C)n1 ZINC001126906992 742466587 /nfs/dbraw/zinc/46/65/87/742466587.db2.gz ARDAMHYJPPLQTJ-UHFFFAOYSA-N 1 2 320.437 1.914 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001060094061 742496961 /nfs/dbraw/zinc/49/69/61/742496961.db2.gz VOOHTWAPXGYCNC-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO C#CCCCCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001076212817 742601493 /nfs/dbraw/zinc/60/14/93/742601493.db2.gz SYLRSSSPGJHCCY-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO C#CCCCCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001076212817 742601494 /nfs/dbraw/zinc/60/14/94/742601494.db2.gz SYLRSSSPGJHCCY-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076320292 742663758 /nfs/dbraw/zinc/66/37/58/742663758.db2.gz FFYFXGXPFUQHFE-UONOGXRCSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)CC3CC3)CC2)C1 ZINC001105681366 742778391 /nfs/dbraw/zinc/77/83/91/742778391.db2.gz ZFIXENWNVFYMMN-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1nnn(C)c1C)CC2 ZINC001035673102 751779807 /nfs/dbraw/zinc/77/98/07/751779807.db2.gz CDIYUQOQNGGZNT-UHFFFAOYSA-N 1 2 323.828 1.414 20 30 DDEDLO N#Cc1cc2cccnc2nc1NC(=O)Cc1cc[nH+]c(N)c1 ZINC001181229147 743123615 /nfs/dbraw/zinc/12/36/15/743123615.db2.gz IBXIRCHFJCAFCH-UHFFFAOYSA-N 1 2 304.313 1.660 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001077149583 743275410 /nfs/dbraw/zinc/27/54/10/743275410.db2.gz NXNHMFYSWLLNKC-HUUCEWRRSA-N 1 2 320.458 1.745 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001077149583 743275413 /nfs/dbraw/zinc/27/54/13/743275413.db2.gz NXNHMFYSWLLNKC-HUUCEWRRSA-N 1 2 320.458 1.745 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001128242355 743368082 /nfs/dbraw/zinc/36/80/82/743368082.db2.gz NSBBZQMWMAPBPS-NSHDSACASA-N 1 2 310.398 1.585 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H](C)COC)c2C1 ZINC001128269629 743421235 /nfs/dbraw/zinc/42/12/35/743421235.db2.gz QKFOOPIWKZRQED-CYBMUJFWSA-N 1 2 306.410 1.174 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H](C)COC)c2C1 ZINC001128269629 743421239 /nfs/dbraw/zinc/42/12/39/743421239.db2.gz QKFOOPIWKZRQED-CYBMUJFWSA-N 1 2 306.410 1.174 20 30 DDEDLO C=CCOc1ccccc1C(=O)N[C@@H]1C[N@H+](CC#CC)C[C@@H]1O ZINC001083578307 743467132 /nfs/dbraw/zinc/46/71/32/743467132.db2.gz FORADOACOJLOJK-CVEARBPZSA-N 1 2 314.385 1.050 20 30 DDEDLO C=CCOc1ccccc1C(=O)N[C@@H]1C[N@@H+](CC#CC)C[C@@H]1O ZINC001083578307 743467136 /nfs/dbraw/zinc/46/71/36/743467136.db2.gz FORADOACOJLOJK-CVEARBPZSA-N 1 2 314.385 1.050 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccoc1C)C2 ZINC001110265054 743539758 /nfs/dbraw/zinc/53/97/58/743539758.db2.gz DPTUNDBLLLKVCB-YUELXQCFSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccoc1C)C2 ZINC001110265054 743539762 /nfs/dbraw/zinc/53/97/62/743539762.db2.gz DPTUNDBLLLKVCB-YUELXQCFSA-N 1 2 317.389 1.225 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001182414268 743618034 /nfs/dbraw/zinc/61/80/34/743618034.db2.gz IQJMTCBYDWZUAB-QWHCGFSZSA-N 1 2 304.394 1.543 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](OCC)C(C)C)CC2)C1 ZINC001105708767 743621326 /nfs/dbraw/zinc/62/13/26/743621326.db2.gz YQXMCFRTQSYFHH-KRWDZBQOSA-N 1 2 324.465 1.925 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@@H](C)COC)CC2)C1 ZINC001105730760 743766081 /nfs/dbraw/zinc/76/60/81/743766081.db2.gz WKMZXHYVONWUKK-CQSZACIVSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C[C@H](C)COC)CC2)C1 ZINC001105730118 743766656 /nfs/dbraw/zinc/76/66/56/743766656.db2.gz JEEFLYDHBNDJGI-HNNXBMFYSA-N 1 2 324.465 1.927 20 30 DDEDLO Cn1nccc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038100122 743774036 /nfs/dbraw/zinc/77/40/36/743774036.db2.gz ODVNCUJJCKFEHC-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1nccc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038100122 743774038 /nfs/dbraw/zinc/77/40/38/743774038.db2.gz ODVNCUJJCKFEHC-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001184637540 744076906 /nfs/dbraw/zinc/07/69/06/744076906.db2.gz IBYZHERBVSBPRA-CZUORRHYSA-N 1 2 322.409 1.297 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ccc(OC)c(OC)c2C)C1 ZINC001030496166 744204445 /nfs/dbraw/zinc/20/44/45/744204445.db2.gz ROIUHUJWONHRJN-UHFFFAOYSA-N 1 2 302.374 1.450 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001185933217 744316521 /nfs/dbraw/zinc/31/65/21/744316521.db2.gz LVCVSFYQTUDYRG-OAHLLOKOSA-N 1 2 321.400 1.304 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2nc3ncccn3n2)[C@H]1C ZINC001088931340 744377365 /nfs/dbraw/zinc/37/73/65/744377365.db2.gz WXTPODLHEWMBLR-MNOVXSKESA-N 1 2 320.784 1.069 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2nc3ncccn3n2)[C@H]1C ZINC001088931340 744377367 /nfs/dbraw/zinc/37/73/67/744377367.db2.gz WXTPODLHEWMBLR-MNOVXSKESA-N 1 2 320.784 1.069 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc3ncccn3n2)[C@H]1C ZINC001088932858 744381274 /nfs/dbraw/zinc/38/12/74/744381274.db2.gz ZRAKQSVAPUQSDN-NEPJUHHUSA-N 1 2 319.796 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc3ncccn3n2)[C@H]1C ZINC001088932858 744381277 /nfs/dbraw/zinc/38/12/77/744381277.db2.gz ZRAKQSVAPUQSDN-NEPJUHHUSA-N 1 2 319.796 1.674 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn(C)c2OC)[C@H]1C ZINC001089007950 744445468 /nfs/dbraw/zinc/44/54/68/744445468.db2.gz GRQIOZISKKWXQV-PWSUYJOCSA-N 1 2 312.801 1.374 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn(C)c2OC)[C@H]1C ZINC001089007950 744445472 /nfs/dbraw/zinc/44/54/72/744445472.db2.gz GRQIOZISKKWXQV-PWSUYJOCSA-N 1 2 312.801 1.374 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)nc1 ZINC001089139432 744584226 /nfs/dbraw/zinc/58/42/26/744584226.db2.gz ABMYMJDOABVWJX-GJZGRUSLSA-N 1 2 315.421 1.758 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)nc1 ZINC001089139432 744584229 /nfs/dbraw/zinc/58/42/29/744584229.db2.gz ABMYMJDOABVWJX-GJZGRUSLSA-N 1 2 315.421 1.758 20 30 DDEDLO CC1(CC(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)CCCC1 ZINC001046029474 744637675 /nfs/dbraw/zinc/63/76/75/744637675.db2.gz UMVOMLVINHARBK-MRXNPFEDSA-N 1 2 318.465 1.699 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001188080877 744657435 /nfs/dbraw/zinc/65/74/35/744657435.db2.gz OBIGVCPWURXRNN-DZGCQCFKSA-N 1 2 304.394 1.767 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001188080877 744657437 /nfs/dbraw/zinc/65/74/37/744657437.db2.gz OBIGVCPWURXRNN-DZGCQCFKSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(C(F)(F)F)n2C)CC1 ZINC001188358818 744700536 /nfs/dbraw/zinc/70/05/36/744700536.db2.gz JSKAUYBLDQYRJP-UHFFFAOYSA-N 1 2 301.312 1.988 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001188886270 744788831 /nfs/dbraw/zinc/78/88/31/744788831.db2.gz VSRZFZYERCIASM-IJEWVQPXSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001188886270 744788833 /nfs/dbraw/zinc/78/88/33/744788833.db2.gz VSRZFZYERCIASM-IJEWVQPXSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001188999739 744807147 /nfs/dbraw/zinc/80/71/47/744807147.db2.gz ZIQBXOPMJVRCEX-LSDHHAIUSA-N 1 2 302.422 1.809 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001188999739 744807150 /nfs/dbraw/zinc/80/71/50/744807150.db2.gz ZIQBXOPMJVRCEX-LSDHHAIUSA-N 1 2 302.422 1.809 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001189371339 744878299 /nfs/dbraw/zinc/87/82/99/744878299.db2.gz PPAIVSRGLXMVDQ-CQSZACIVSA-N 1 2 307.394 1.527 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001189371339 744878300 /nfs/dbraw/zinc/87/83/00/744878300.db2.gz PPAIVSRGLXMVDQ-CQSZACIVSA-N 1 2 307.394 1.527 20 30 DDEDLO CCc1ocnc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#CCOC ZINC001110407790 744892413 /nfs/dbraw/zinc/89/24/13/744892413.db2.gz BFNNQTBRBSHLIC-MCIONIFRSA-N 1 2 317.389 1.222 20 30 DDEDLO CCc1ocnc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#CCOC ZINC001110407790 744892416 /nfs/dbraw/zinc/89/24/16/744892416.db2.gz BFNNQTBRBSHLIC-MCIONIFRSA-N 1 2 317.389 1.222 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001189910507 745026596 /nfs/dbraw/zinc/02/65/96/745026596.db2.gz PQYOQBIABOOTMS-CQSZACIVSA-N 1 2 303.410 1.134 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001189910507 745026600 /nfs/dbraw/zinc/02/66/00/745026600.db2.gz PQYOQBIABOOTMS-CQSZACIVSA-N 1 2 303.410 1.134 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001190425125 745216721 /nfs/dbraw/zinc/21/67/21/745216721.db2.gz MKUWWXKLXJTHFP-UONOGXRCSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001190425125 745216725 /nfs/dbraw/zinc/21/67/25/745216725.db2.gz MKUWWXKLXJTHFP-UONOGXRCSA-N 1 2 321.446 1.769 20 30 DDEDLO N#Cc1ccc(F)c(S(=O)(=O)N2CCc3[nH+]ccn3CC2)c1 ZINC001190639477 745282209 /nfs/dbraw/zinc/28/22/09/745282209.db2.gz DJCQHHQPPHJJKJ-UHFFFAOYSA-N 1 2 320.349 1.141 20 30 DDEDLO Cc1nocc1C[N@@H+]1CCC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007028419 751990114 /nfs/dbraw/zinc/99/01/14/751990114.db2.gz IRLOPCRSGWAXPY-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nocc1C[N@H+]1CCC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007028419 751990120 /nfs/dbraw/zinc/99/01/20/751990120.db2.gz IRLOPCRSGWAXPY-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO C#Cc1ccccc1C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)OCC ZINC001191128690 745431794 /nfs/dbraw/zinc/43/17/94/745431794.db2.gz NYPNUZJDGIZGGL-HNNXBMFYSA-N 1 2 311.341 1.295 20 30 DDEDLO C#Cc1ccccc1C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)OCC ZINC001191128690 745431798 /nfs/dbraw/zinc/43/17/98/745431798.db2.gz NYPNUZJDGIZGGL-HNNXBMFYSA-N 1 2 311.341 1.295 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC001031195799 745717156 /nfs/dbraw/zinc/71/71/56/745717156.db2.gz UZBSJEIFAAKHTE-UHFFFAOYSA-N 1 2 317.780 1.434 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@H]([N@@H+](C)Cc3cnnn3C)C2)CC1 ZINC001192181916 745722781 /nfs/dbraw/zinc/72/27/81/745722781.db2.gz YPVFFXAVURMOIS-AWEZNQCLSA-N 1 2 317.437 1.594 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@H]([N@H+](C)Cc3cnnn3C)C2)CC1 ZINC001192181916 745722785 /nfs/dbraw/zinc/72/27/85/745722785.db2.gz YPVFFXAVURMOIS-AWEZNQCLSA-N 1 2 317.437 1.594 20 30 DDEDLO C[C@@H](CCNc1cccc(F)c1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001106597934 745823219 /nfs/dbraw/zinc/82/32/19/745823219.db2.gz CALJAGRGINTKDW-NSHDSACASA-N 1 2 315.352 1.970 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CC2OCCCO2)[C@@H]1C ZINC000993298528 745944862 /nfs/dbraw/zinc/94/48/62/745944862.db2.gz GZCXGFJBPQFWPL-QWHCGFSZSA-N 1 2 316.829 1.861 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CC2OCCCO2)[C@@H]1C ZINC000993298528 745944865 /nfs/dbraw/zinc/94/48/65/745944865.db2.gz GZCXGFJBPQFWPL-QWHCGFSZSA-N 1 2 316.829 1.861 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)CCC)[C@@H](n2ccnn2)C1 ZINC001129214603 752051226 /nfs/dbraw/zinc/05/12/26/752051226.db2.gz JZYSPQMFBLLTAR-CABCVRRESA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)CCC)[C@@H](n2ccnn2)C1 ZINC001129214603 752051229 /nfs/dbraw/zinc/05/12/29/752051229.db2.gz JZYSPQMFBLLTAR-CABCVRRESA-N 1 2 317.437 1.469 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cn(C)nc2C)[C@H]1C ZINC000993422114 746113204 /nfs/dbraw/zinc/11/32/04/746113204.db2.gz LTXWZOBTGCISEB-HIFRSBDPSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cn(C)nc2C)[C@H]1C ZINC000993422114 746113207 /nfs/dbraw/zinc/11/32/07/746113207.db2.gz LTXWZOBTGCISEB-HIFRSBDPSA-N 1 2 324.856 1.993 20 30 DDEDLO C=CCN1CC[N@@H+](C)C2(CCN(C(=O)OCCC)CC2)C1=O ZINC001194106133 746289902 /nfs/dbraw/zinc/28/99/02/746289902.db2.gz AECJBUWGIYZCSW-UHFFFAOYSA-N 1 2 309.410 1.328 20 30 DDEDLO C=CCN1CC[N@H+](C)C2(CCN(C(=O)OCCC)CC2)C1=O ZINC001194106133 746289905 /nfs/dbraw/zinc/28/99/05/746289905.db2.gz AECJBUWGIYZCSW-UHFFFAOYSA-N 1 2 309.410 1.328 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001194381880 746361979 /nfs/dbraw/zinc/36/19/79/746361979.db2.gz PDIUSCKBCSTZCP-OAHLLOKOSA-N 1 2 306.410 1.046 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001194381880 746361984 /nfs/dbraw/zinc/36/19/84/746361984.db2.gz PDIUSCKBCSTZCP-OAHLLOKOSA-N 1 2 306.410 1.046 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001194411181 746370832 /nfs/dbraw/zinc/37/08/32/746370832.db2.gz YCYXBGQYXLBDPH-OLZOCXBDSA-N 1 2 323.393 1.307 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001194411181 746370838 /nfs/dbraw/zinc/37/08/38/746370838.db2.gz YCYXBGQYXLBDPH-OLZOCXBDSA-N 1 2 323.393 1.307 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2C[N@H+](CCF)CCC2(F)F)c[nH]1 ZINC001046931078 746522852 /nfs/dbraw/zinc/52/28/52/746522852.db2.gz QKVXPWGDNWVVGO-LLVKDONJSA-N 1 2 314.311 1.543 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2C[N@@H+](CCF)CCC2(F)F)c[nH]1 ZINC001046931078 746522854 /nfs/dbraw/zinc/52/28/54/746522854.db2.gz QKVXPWGDNWVVGO-LLVKDONJSA-N 1 2 314.311 1.543 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](Cc2ccnc(OC)n2)CC1 ZINC001195191350 746540919 /nfs/dbraw/zinc/54/09/19/746540919.db2.gz IRIMLRHBYKYDCN-UHFFFAOYSA-N 1 2 304.394 1.486 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](Cc2ccnc(OC)n2)CC1 ZINC001195191350 746540924 /nfs/dbraw/zinc/54/09/24/746540924.db2.gz IRIMLRHBYKYDCN-UHFFFAOYSA-N 1 2 304.394 1.486 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1O ZINC001195307078 746572272 /nfs/dbraw/zinc/57/22/72/746572272.db2.gz MWHMJQXDZNBCDT-HZPDHXFCSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1O ZINC001195307078 746572275 /nfs/dbraw/zinc/57/22/75/746572275.db2.gz MWHMJQXDZNBCDT-HZPDHXFCSA-N 1 2 319.405 1.228 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195312301 746573893 /nfs/dbraw/zinc/57/38/93/746573893.db2.gz LMAGQSHQWRBMDR-CHWSQXEVSA-N 1 2 309.435 1.325 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195312301 746573895 /nfs/dbraw/zinc/57/38/95/746573895.db2.gz LMAGQSHQWRBMDR-CHWSQXEVSA-N 1 2 309.435 1.325 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(F)ccc(C)c2F)[C@@H](O)C1 ZINC001083752357 746626088 /nfs/dbraw/zinc/62/60/88/746626088.db2.gz QTSHUPLVWWTHCR-OLZOCXBDSA-N 1 2 308.328 1.071 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)ccc(C)c2F)[C@@H](O)C1 ZINC001083752357 746626091 /nfs/dbraw/zinc/62/60/91/746626091.db2.gz QTSHUPLVWWTHCR-OLZOCXBDSA-N 1 2 308.328 1.071 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1O ZINC001195554867 746630578 /nfs/dbraw/zinc/63/05/78/746630578.db2.gz RJVRFVKWBRCDLH-NUEKZKHPSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1O ZINC001195554867 746630582 /nfs/dbraw/zinc/63/05/82/746630582.db2.gz RJVRFVKWBRCDLH-NUEKZKHPSA-N 1 2 303.406 1.345 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](CC(=O)NCC=C)CC1 ZINC001195541228 746640066 /nfs/dbraw/zinc/64/00/66/746640066.db2.gz PFNHNFAFUJPLDV-UHFFFAOYSA-N 1 2 305.422 1.017 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](CC(=O)NCC=C)CC1 ZINC001195541228 746640069 /nfs/dbraw/zinc/64/00/69/746640069.db2.gz PFNHNFAFUJPLDV-UHFFFAOYSA-N 1 2 305.422 1.017 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2conc2CC)CC1 ZINC001195791546 746705469 /nfs/dbraw/zinc/70/54/69/746705469.db2.gz DNMHDCINFFCCDW-AWEZNQCLSA-N 1 2 319.405 1.310 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2conc2CC)CC1 ZINC001195791546 746705471 /nfs/dbraw/zinc/70/54/71/746705471.db2.gz DNMHDCINFFCCDW-AWEZNQCLSA-N 1 2 319.405 1.310 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@@H+]([C@@H]2CCNC2=O)CC1 ZINC001195958072 746749595 /nfs/dbraw/zinc/74/95/95/746749595.db2.gz NQRWZTWQSAPRSE-OAHLLOKOSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@H+]([C@@H]2CCNC2=O)CC1 ZINC001195958072 746749596 /nfs/dbraw/zinc/74/95/96/746749596.db2.gz NQRWZTWQSAPRSE-OAHLLOKOSA-N 1 2 305.422 1.178 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@@H+](Cc3ccnn3C)CC2)CCC1 ZINC001196138413 746781065 /nfs/dbraw/zinc/78/10/65/746781065.db2.gz LVASOSRRCOVKGU-UHFFFAOYSA-N 1 2 314.433 1.648 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@H+](Cc3ccnn3C)CC2)CCC1 ZINC001196138413 746781068 /nfs/dbraw/zinc/78/10/68/746781068.db2.gz LVASOSRRCOVKGU-UHFFFAOYSA-N 1 2 314.433 1.648 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@H]1O ZINC001196474445 746870731 /nfs/dbraw/zinc/87/07/31/746870731.db2.gz JFQINWRYBQAKPV-BPLDGKMQSA-N 1 2 318.421 1.301 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@H]1O ZINC001196474445 746870739 /nfs/dbraw/zinc/87/07/39/746870739.db2.gz JFQINWRYBQAKPV-BPLDGKMQSA-N 1 2 318.421 1.301 20 30 DDEDLO CN(c1ccc(C#N)nc1)[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061228203 746918815 /nfs/dbraw/zinc/91/88/15/746918815.db2.gz UTWZRMHLOHCMIF-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC(C)C)c2ccccc2)C1 ZINC001196758159 746959848 /nfs/dbraw/zinc/95/98/48/746959848.db2.gz RTWXHWYTFMQORP-RCCFBDPRSA-N 1 2 314.429 1.611 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC(C)C)c2ccccc2)C1 ZINC001196758159 746959851 /nfs/dbraw/zinc/95/98/51/746959851.db2.gz RTWXHWYTFMQORP-RCCFBDPRSA-N 1 2 314.429 1.611 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H]2CC[C@H](C)C2)CC1 ZINC001196790452 746962913 /nfs/dbraw/zinc/96/29/13/746962913.db2.gz LYNOYMKAVKEGHJ-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H]2CC[C@H](C)C2)CC1 ZINC001196790452 746962921 /nfs/dbraw/zinc/96/29/21/746962921.db2.gz LYNOYMKAVKEGHJ-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@]3(F)CCOC3)c2C1 ZINC001128458536 747190084 /nfs/dbraw/zinc/19/00/84/747190084.db2.gz QUPJFAYEQZWUQL-INIZCTEOSA-N 1 2 322.384 1.020 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@]3(F)CCOC3)c2C1 ZINC001128458536 747190089 /nfs/dbraw/zinc/19/00/89/747190089.db2.gz QUPJFAYEQZWUQL-INIZCTEOSA-N 1 2 322.384 1.020 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)CC(C)(C)C1 ZINC001089549362 747197791 /nfs/dbraw/zinc/19/77/91/747197791.db2.gz XFJDXRNBRSKUTE-HNNXBMFYSA-N 1 2 316.449 1.891 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)CC(C)(C)C1 ZINC001089549362 747197793 /nfs/dbraw/zinc/19/77/93/747197793.db2.gz XFJDXRNBRSKUTE-HNNXBMFYSA-N 1 2 316.449 1.891 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2C[C@H]2C(C)C)CC1 ZINC001197629497 747209188 /nfs/dbraw/zinc/20/91/88/747209188.db2.gz IOZMXNAIYFFQBR-GJZGRUSLSA-N 1 2 307.438 1.115 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2C[C@H]2C(C)C)CC1 ZINC001197629497 747209194 /nfs/dbraw/zinc/20/91/94/747209194.db2.gz IOZMXNAIYFFQBR-GJZGRUSLSA-N 1 2 307.438 1.115 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC1(CNc2cc[nH+]c(C)n2)CC1 ZINC001089937364 752162239 /nfs/dbraw/zinc/16/22/39/752162239.db2.gz RKMRQKWUHFZBFL-MRXNPFEDSA-N 1 2 318.421 1.811 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1cncnc1 ZINC001031627517 747248435 /nfs/dbraw/zinc/24/84/35/747248435.db2.gz LQEDMQJRDCNFFH-UHFFFAOYSA-N 1 2 306.369 1.190 20 30 DDEDLO Cc1c[nH]nc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031659546 747329955 /nfs/dbraw/zinc/32/99/55/747329955.db2.gz HKBGXMOVIKMSSV-UHFFFAOYSA-N 1 2 308.385 1.431 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(F)c2)[C@@H](O)C1 ZINC001090022521 747338563 /nfs/dbraw/zinc/33/85/63/747338563.db2.gz IQIAXRODENXAQQ-KGLIPLIRSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc(F)c2)[C@@H](O)C1 ZINC001090022521 747338567 /nfs/dbraw/zinc/33/85/67/747338567.db2.gz IQIAXRODENXAQQ-KGLIPLIRSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2nccs2)CC1 ZINC001003648989 747354038 /nfs/dbraw/zinc/35/40/38/747354038.db2.gz COVYWBKWZNMMGP-KGLIPLIRSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001031672037 747359569 /nfs/dbraw/zinc/35/95/69/747359569.db2.gz UHHIYAITFZMWBA-GFCCVEGCSA-N 1 2 308.813 1.630 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001007508739 752180039 /nfs/dbraw/zinc/18/00/39/752180039.db2.gz ULYQEHGGOOFDIK-AWEZNQCLSA-N 1 2 310.829 1.604 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001007508739 752180042 /nfs/dbraw/zinc/18/00/42/752180042.db2.gz ULYQEHGGOOFDIK-AWEZNQCLSA-N 1 2 310.829 1.604 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cnc(C)nc2)CC1 ZINC001198344949 747460319 /nfs/dbraw/zinc/46/03/19/747460319.db2.gz XVYVSNCDFXDOBC-CQSZACIVSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cnc(C)nc2)CC1 ZINC001198344949 747460323 /nfs/dbraw/zinc/46/03/23/747460323.db2.gz XVYVSNCDFXDOBC-CQSZACIVSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cn(C3CCOCC3)cn2)CC1 ZINC001198399296 747478091 /nfs/dbraw/zinc/47/80/91/747478091.db2.gz SJNXGLDMXDMFAO-UHFFFAOYSA-N 1 2 304.394 1.178 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC[C@@H]1NCC#N ZINC001036126409 752197892 /nfs/dbraw/zinc/19/78/92/752197892.db2.gz QBJRFOAUVAPHOW-PBHICJAKSA-N 1 2 323.400 1.836 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)C[C@H]1C ZINC001110520577 747632393 /nfs/dbraw/zinc/63/23/93/747632393.db2.gz QKTRPHKVLAQGLM-HIFRSBDPSA-N 1 2 316.405 1.616 20 30 DDEDLO Cc1ncc(C(=O)N(C)[C@@H]2CC[N@H+](Cc3ccc(C#N)cc3)C2)[nH]1 ZINC001032959870 747768331 /nfs/dbraw/zinc/76/83/31/747768331.db2.gz JAYULLIEEGBGKN-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1ncc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3ccc(C#N)cc3)C2)[nH]1 ZINC001032959870 747768333 /nfs/dbraw/zinc/76/83/33/747768333.db2.gz JAYULLIEEGBGKN-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2nc(C)c(C)nc2C)C1 ZINC001108063886 747973980 /nfs/dbraw/zinc/97/39/80/747973980.db2.gz XRQBWUSZYUUHKP-KRWDZBQOSA-N 1 2 318.421 1.409 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2nc(C)c(C)nc2C)C1 ZINC001108063886 747973984 /nfs/dbraw/zinc/97/39/84/747973984.db2.gz XRQBWUSZYUUHKP-KRWDZBQOSA-N 1 2 318.421 1.409 20 30 DDEDLO Cc1n[nH]cc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031889119 748127321 /nfs/dbraw/zinc/12/73/21/748127321.db2.gz TYAPSZVGQUPPKV-UHFFFAOYSA-N 1 2 309.373 1.452 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cnc(C)cn3)C2)cc1 ZINC001031892024 748133219 /nfs/dbraw/zinc/13/32/19/748133219.db2.gz JIFNMAHLWHIJLE-UHFFFAOYSA-N 1 2 320.396 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2c(Cl)cnn2C)C1 ZINC001033083824 748220037 /nfs/dbraw/zinc/22/00/37/748220037.db2.gz AKLMKWUNOJCUFG-JTQLQIEISA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2c(Cl)cnn2C)C1 ZINC001033083824 748220041 /nfs/dbraw/zinc/22/00/41/748220041.db2.gz AKLMKWUNOJCUFG-JTQLQIEISA-N 1 2 317.220 1.972 20 30 DDEDLO CCn1cc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)cn1 ZINC001004392250 748389495 /nfs/dbraw/zinc/38/94/95/748389495.db2.gz YMWWSMUGEXWAOZ-MRXNPFEDSA-N 1 2 315.421 1.743 20 30 DDEDLO CCn1cc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)cn1 ZINC001004392250 748389500 /nfs/dbraw/zinc/38/95/00/748389500.db2.gz YMWWSMUGEXWAOZ-MRXNPFEDSA-N 1 2 315.421 1.743 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2nc(C)cs2)C1 ZINC001108074277 748465320 /nfs/dbraw/zinc/46/53/20/748465320.db2.gz FSIHQEGPBXIRGS-MRXNPFEDSA-N 1 2 323.462 1.777 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2nc(C)cs2)C1 ZINC001108074277 748465325 /nfs/dbraw/zinc/46/53/25/748465325.db2.gz FSIHQEGPBXIRGS-MRXNPFEDSA-N 1 2 323.462 1.777 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)O1 ZINC001004548293 748539825 /nfs/dbraw/zinc/53/98/25/748539825.db2.gz UWFUVNXWBWIIIU-VNQPRFMTSA-N 1 2 305.422 1.780 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)O1 ZINC001004548293 748539828 /nfs/dbraw/zinc/53/98/28/748539828.db2.gz UWFUVNXWBWIIIU-VNQPRFMTSA-N 1 2 305.422 1.780 20 30 DDEDLO CCc1nc[nH]c1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001032159807 748750626 /nfs/dbraw/zinc/75/06/26/748750626.db2.gz XYBWAXHLEQBKDR-UHFFFAOYSA-N 1 2 323.400 1.706 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2COc3ccccc3O2)C1 ZINC001032166472 748761312 /nfs/dbraw/zinc/76/13/12/748761312.db2.gz ZGYQNCWHNPBOLB-OAHLLOKOSA-N 1 2 322.792 1.627 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cn(C)nc2C)CC1 ZINC001000531222 761894143 /nfs/dbraw/zinc/89/41/43/761894143.db2.gz BSPAGDUIBPQHAV-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cn(C)nc2C)CC1 ZINC001000531222 761894153 /nfs/dbraw/zinc/89/41/53/761894153.db2.gz BSPAGDUIBPQHAV-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc(C)s2)[C@H](O)C1 ZINC001090129570 749092947 /nfs/dbraw/zinc/09/29/47/749092947.db2.gz NOCLQZOXOMOHMC-WDEREUQCSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc(C)s2)[C@H](O)C1 ZINC001090129570 749092952 /nfs/dbraw/zinc/09/29/52/749092952.db2.gz NOCLQZOXOMOHMC-WDEREUQCSA-N 1 2 315.826 1.369 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](OCC)C2CCCC2)C1 ZINC001108099010 749095377 /nfs/dbraw/zinc/09/53/77/749095377.db2.gz DXJGKJXJZPFVBK-AEFFLSMTSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](OCC)C2CCCC2)C1 ZINC001108099010 749095382 /nfs/dbraw/zinc/09/53/82/749095382.db2.gz DXJGKJXJZPFVBK-AEFFLSMTSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC/C=C/CNc1cc[nH+]c(C)n1 ZINC001107112215 749109807 /nfs/dbraw/zinc/10/98/07/749109807.db2.gz VVHJTQLEMDJDIG-YBJDMEARSA-N 1 2 304.394 1.851 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CCCOCC)nn2)C1 ZINC001107134556 749212919 /nfs/dbraw/zinc/21/29/19/749212919.db2.gz AVBRSEBPEHUKMK-UHFFFAOYSA-N 1 2 321.425 1.144 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](C)NC(=O)CCc2[nH]cc[nH+]2)n1 ZINC001108337405 761937916 /nfs/dbraw/zinc/93/79/16/761937916.db2.gz AOZUHXFBDFXPMW-LBPRGKRZSA-N 1 2 312.377 1.534 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CC(C)(C)C=C)nn2)C1 ZINC001107175721 749478493 /nfs/dbraw/zinc/47/84/93/749478493.db2.gz SFWCWPKQHGKEHJ-UHFFFAOYSA-N 1 2 303.410 1.539 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@](C)(C=C)CC)nn2)C1 ZINC001107207106 749603888 /nfs/dbraw/zinc/60/38/88/749603888.db2.gz UOMWGJVFLXHIIB-INIZCTEOSA-N 1 2 303.410 1.539 20 30 DDEDLO N#Cc1cccnc1N1CCC(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001095382871 749683349 /nfs/dbraw/zinc/68/33/49/749683349.db2.gz BYUDAZQHJSIYOL-UHFFFAOYSA-N 1 2 324.388 1.252 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cncc(C(N)=O)c2)C1 ZINC001033674037 749690406 /nfs/dbraw/zinc/69/04/06/749690406.db2.gz JKJFOUHOPCEFSO-ZDUSSCGKSA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cncc(C(N)=O)c2)C1 ZINC001033674037 749690411 /nfs/dbraw/zinc/69/04/11/749690411.db2.gz JKJFOUHOPCEFSO-ZDUSSCGKSA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(C)CCC(=O)NC/C=C\CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001107376315 749869684 /nfs/dbraw/zinc/86/96/84/749869684.db2.gz JLMBIWKYANRANW-SREVYHEPSA-N 1 2 318.425 1.687 20 30 DDEDLO C=CCCCC(=O)NC/C=C\CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001107477880 750017746 /nfs/dbraw/zinc/01/77/46/750017746.db2.gz WMDFZZSFZVDQHH-HJWRWDBZSA-N 1 2 318.425 1.687 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)n(C)c1)C2 ZINC001095677769 750133974 /nfs/dbraw/zinc/13/39/74/750133974.db2.gz NRUDQKSQZPGABQ-RDBSUJKOSA-N 1 2 321.808 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)n(C)c1)C2 ZINC001095677769 750133981 /nfs/dbraw/zinc/13/39/81/750133981.db2.gz NRUDQKSQZPGABQ-RDBSUJKOSA-N 1 2 321.808 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001033931797 750139828 /nfs/dbraw/zinc/13/98/28/750139828.db2.gz UDCRSBIEAGGZLO-LBPRGKRZSA-N 1 2 324.812 1.780 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001033931797 750139835 /nfs/dbraw/zinc/13/98/35/750139835.db2.gz UDCRSBIEAGGZLO-LBPRGKRZSA-N 1 2 324.812 1.780 20 30 DDEDLO C=CCOCC(=O)NC[C@]1(C)CCCN(c2cc[nH+]c(C)n2)C1 ZINC001110925920 750230288 /nfs/dbraw/zinc/23/02/88/750230288.db2.gz MYKZQFLTJMICQH-KRWDZBQOSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(OC)ccn2)C1 ZINC001108112491 750327422 /nfs/dbraw/zinc/32/74/22/750327422.db2.gz SKZNGUIEQCIJSM-INIZCTEOSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(OC)ccn2)C1 ZINC001108112491 750327426 /nfs/dbraw/zinc/32/74/26/750327426.db2.gz SKZNGUIEQCIJSM-INIZCTEOSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2cnsn2)C1 ZINC001034118900 750425687 /nfs/dbraw/zinc/42/56/87/750425687.db2.gz ZDSBFJQSELQUST-JTQLQIEISA-N 1 2 300.815 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2cnsn2)C1 ZINC001034118900 750425693 /nfs/dbraw/zinc/42/56/93/750425693.db2.gz ZDSBFJQSELQUST-JTQLQIEISA-N 1 2 300.815 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccncc2C)[C@@H](O)C1 ZINC001090238180 750689513 /nfs/dbraw/zinc/68/95/13/750689513.db2.gz VKPDWAHGAUCEHN-KBPBESRZSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccncc2C)[C@@H](O)C1 ZINC001090238180 750689517 /nfs/dbraw/zinc/68/95/17/750689517.db2.gz VKPDWAHGAUCEHN-KBPBESRZSA-N 1 2 309.797 1.308 20 30 DDEDLO C#CCOCCC(=O)N1C[C@@H](CNc2cc[nH+]c(C)n2)C[C@@H]1C ZINC001114691929 750995434 /nfs/dbraw/zinc/99/54/34/750995434.db2.gz YEENQCIUMYQYIQ-DZGCQCFKSA-N 1 2 316.405 1.474 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001114711601 751012150 /nfs/dbraw/zinc/01/21/50/751012150.db2.gz XQFPSUYVIRMYNE-QLPKVWCKSA-N 1 2 301.390 1.723 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001114711601 751012155 /nfs/dbraw/zinc/01/21/55/751012155.db2.gz XQFPSUYVIRMYNE-QLPKVWCKSA-N 1 2 301.390 1.723 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CCC)no3)C[C@H]21 ZINC001114754902 751059599 /nfs/dbraw/zinc/05/95/99/751059599.db2.gz KCFKCDDMRVVNIM-LAQFHYBYSA-N 1 2 318.421 1.925 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CCC)no3)C[C@H]21 ZINC001114754902 751059606 /nfs/dbraw/zinc/05/96/06/751059606.db2.gz KCFKCDDMRVVNIM-LAQFHYBYSA-N 1 2 318.421 1.925 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)C)nn1CC ZINC001032496573 751075261 /nfs/dbraw/zinc/07/52/61/751075261.db2.gz IKJGAJYCIRZIRS-KBPBESRZSA-N 1 2 300.406 1.558 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)C)nn1CC ZINC001032496573 751075268 /nfs/dbraw/zinc/07/52/68/751075268.db2.gz IKJGAJYCIRZIRS-KBPBESRZSA-N 1 2 300.406 1.558 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)nc(OC)c1 ZINC001032498706 751088244 /nfs/dbraw/zinc/08/82/44/751088244.db2.gz CPTCPALHAOOODD-KBPBESRZSA-N 1 2 315.373 1.021 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)nc(OC)c1 ZINC001032498706 751088251 /nfs/dbraw/zinc/08/82/51/751088251.db2.gz CPTCPALHAOOODD-KBPBESRZSA-N 1 2 315.373 1.021 20 30 DDEDLO Cc1cc(C)c(C(=O)NC2CN(C(=O)C#CC3CC3)C2)c(C)[nH+]1 ZINC000997557043 751108350 /nfs/dbraw/zinc/10/83/50/751108350.db2.gz PFMZQOGOGQBMRA-UHFFFAOYSA-N 1 2 311.385 1.361 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)nc3)C2)cn1 ZINC001016030338 751212363 /nfs/dbraw/zinc/21/23/63/751212363.db2.gz IGUANFFMIUHUSP-KRWDZBQOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)nc3)C2)cn1 ZINC001016030338 751212366 /nfs/dbraw/zinc/21/23/66/751212366.db2.gz IGUANFFMIUHUSP-KRWDZBQOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nnc(C(C)C)o2)CCC1 ZINC001129120956 751296641 /nfs/dbraw/zinc/29/66/41/751296641.db2.gz KUCBIFUIKXJALL-UHFFFAOYSA-N 1 2 304.394 1.592 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+][C@H](C)c2nc(COC)no2)CCC1 ZINC001129124633 751298267 /nfs/dbraw/zinc/29/82/67/751298267.db2.gz BANVNKFNUQFLSL-GFCCVEGCSA-N 1 2 320.393 1.176 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)cccc1NC(C)=O ZINC001032663468 752663831 /nfs/dbraw/zinc/66/38/31/752663831.db2.gz OABCWGLXJDMRHG-STQMWFEESA-N 1 2 315.348 1.316 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)cccc1NC(C)=O ZINC001032663468 752663833 /nfs/dbraw/zinc/66/38/33/752663833.db2.gz OABCWGLXJDMRHG-STQMWFEESA-N 1 2 315.348 1.316 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cccc2)nc1 ZINC001032688753 752705182 /nfs/dbraw/zinc/70/51/82/752705182.db2.gz NAVQEDBBBAXAEN-HOTGVXAUSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cccc2)nc1 ZINC001032688753 752705188 /nfs/dbraw/zinc/70/51/88/752705188.db2.gz NAVQEDBBBAXAEN-HOTGVXAUSA-N 1 2 306.369 1.404 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC000999355639 752839495 /nfs/dbraw/zinc/83/94/95/752839495.db2.gz ONIMJVMTUKVFLE-GFCCVEGCSA-N 1 2 316.405 1.369 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2scnc2COC)C1 ZINC001008799614 752924233 /nfs/dbraw/zinc/92/42/33/752924233.db2.gz NNTAMABOUXPOOY-GFCCVEGCSA-N 1 2 307.419 1.507 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2scnc2COC)C1 ZINC001008799614 752924239 /nfs/dbraw/zinc/92/42/39/752924239.db2.gz NNTAMABOUXPOOY-GFCCVEGCSA-N 1 2 307.419 1.507 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2c(C)nnn2CC)CC1 ZINC001000774694 762185176 /nfs/dbraw/zinc/18/51/76/762185176.db2.gz ZNGYOCMRTBJCGR-UHFFFAOYSA-N 1 2 323.828 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2c(C)nnn2CC)CC1 ZINC001000774694 762185178 /nfs/dbraw/zinc/18/51/78/762185178.db2.gz ZNGYOCMRTBJCGR-UHFFFAOYSA-N 1 2 323.828 1.721 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3cnc(C)o3)C2)nc1 ZINC001009269858 753135429 /nfs/dbraw/zinc/13/54/29/753135429.db2.gz QNQUEQKDOGVPJS-HNNXBMFYSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3cnc(C)o3)C2)nc1 ZINC001009269858 753135433 /nfs/dbraw/zinc/13/54/33/753135433.db2.gz QNQUEQKDOGVPJS-HNNXBMFYSA-N 1 2 324.384 1.754 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccnc2C)C1 ZINC001108169856 753320144 /nfs/dbraw/zinc/32/01/44/753320144.db2.gz YPTROCRJSHSELL-KRWDZBQOSA-N 1 2 301.390 1.234 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccnc2C)C1 ZINC001108169856 753320149 /nfs/dbraw/zinc/32/01/49/753320149.db2.gz YPTROCRJSHSELL-KRWDZBQOSA-N 1 2 301.390 1.234 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](OCC)C(C)C)C1 ZINC001107993725 753357480 /nfs/dbraw/zinc/35/74/80/753357480.db2.gz XFGJQNBWURXTLR-WBVHZDCISA-N 1 2 312.454 1.831 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](OCC)C(C)C)C1 ZINC001107993725 753357483 /nfs/dbraw/zinc/35/74/83/753357483.db2.gz XFGJQNBWURXTLR-WBVHZDCISA-N 1 2 312.454 1.831 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)C[C@@H](C)n2cc[nH+]c2)CCC1 ZINC001062686484 753375614 /nfs/dbraw/zinc/37/56/14/753375614.db2.gz OMSVWHUSXFHECF-CQSZACIVSA-N 1 2 318.421 1.956 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2[nH]ncc2F)CC2(CCOCC2)C1 ZINC001089953007 753470152 /nfs/dbraw/zinc/47/01/52/753470152.db2.gz NZPVXJHDIWYJQG-LBPRGKRZSA-N 1 2 322.384 1.336 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2[nH]ncc2F)CC2(CCOCC2)C1 ZINC001089953007 753470156 /nfs/dbraw/zinc/47/01/56/753470156.db2.gz NZPVXJHDIWYJQG-LBPRGKRZSA-N 1 2 322.384 1.336 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)c1cccc2[nH+]ccn21 ZINC001108008078 753495413 /nfs/dbraw/zinc/49/54/13/753495413.db2.gz IOFDNHVTFYRLAH-GFCCVEGCSA-N 1 2 320.356 1.831 20 30 DDEDLO C[C@H](CNc1cnc(C#N)cn1)NC(=O)c1cccc2[nH+]ccn21 ZINC001108008493 753497626 /nfs/dbraw/zinc/49/76/26/753497626.db2.gz UKXOTRDVSXBEAM-LLVKDONJSA-N 1 2 321.344 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(CC)ccn2)[C@@H](O)C1 ZINC001090276135 753520016 /nfs/dbraw/zinc/52/00/16/753520016.db2.gz OCHJKGSDVXSFNK-ZFWWWQNUSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(CC)ccn2)[C@@H](O)C1 ZINC001090276135 753520018 /nfs/dbraw/zinc/52/00/18/753520018.db2.gz OCHJKGSDVXSFNK-ZFWWWQNUSA-N 1 2 323.824 1.562 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(NC(C)=O)c1 ZINC001032778267 753611368 /nfs/dbraw/zinc/61/13/68/753611368.db2.gz MSTUQCPIBAFARV-IRXDYDNUSA-N 1 2 311.385 1.567 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(NC(C)=O)c1 ZINC001032778267 753611372 /nfs/dbraw/zinc/61/13/72/753611372.db2.gz MSTUQCPIBAFARV-IRXDYDNUSA-N 1 2 311.385 1.567 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)on1 ZINC001010156720 753646104 /nfs/dbraw/zinc/64/61/04/753646104.db2.gz YIVGDGYSQORNHG-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)on1 ZINC001010156720 753646109 /nfs/dbraw/zinc/64/61/09/753646109.db2.gz YIVGDGYSQORNHG-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC001032784128 753656853 /nfs/dbraw/zinc/65/68/53/753656853.db2.gz IKNUEWKXQYNRIP-STQMWFEESA-N 1 2 310.357 1.510 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC001032784128 753656856 /nfs/dbraw/zinc/65/68/56/753656856.db2.gz IKNUEWKXQYNRIP-STQMWFEESA-N 1 2 310.357 1.510 20 30 DDEDLO C#CCN1CCO[C@](C)(CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001108029668 753743254 /nfs/dbraw/zinc/74/32/54/753743254.db2.gz YGUCZEAOJCDMSC-QGZVFWFLSA-N 1 2 312.373 1.017 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sccc2C2CC2)C1 ZINC001078130228 753765627 /nfs/dbraw/zinc/76/56/27/753765627.db2.gz TYLLCVXRMZBLEU-ZIAGYGMSSA-N 1 2 304.415 1.424 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sccc2C2CC2)C1 ZINC001078130228 753765631 /nfs/dbraw/zinc/76/56/31/753765631.db2.gz TYLLCVXRMZBLEU-ZIAGYGMSSA-N 1 2 304.415 1.424 20 30 DDEDLO Cc1nc(N2CC[C@H](N(C)C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001062900467 753820375 /nfs/dbraw/zinc/82/03/75/753820375.db2.gz BXTITRIUSHOSPR-AWEZNQCLSA-N 1 2 310.361 1.336 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001078282441 753919233 /nfs/dbraw/zinc/91/92/33/753919233.db2.gz BBEKEXRHRUQFQF-ZDUSSCGKSA-N 1 2 304.394 1.250 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cl)cc2c1OCC2 ZINC001032807191 754126997 /nfs/dbraw/zinc/12/69/97/754126997.db2.gz BDCGCYBPLMVXFY-KBPBESRZSA-N 1 2 316.788 1.807 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cl)cc2c1OCC2 ZINC001032807191 754127001 /nfs/dbraw/zinc/12/70/01/754127001.db2.gz BDCGCYBPLMVXFY-KBPBESRZSA-N 1 2 316.788 1.807 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001066986143 754209345 /nfs/dbraw/zinc/20/93/45/754209345.db2.gz SPDBMBVZIZZYIJ-UHFFFAOYSA-N 1 2 306.410 1.534 20 30 DDEDLO N#CCN[C@@H]1C[C@@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C12CCC2 ZINC001078660608 754258795 /nfs/dbraw/zinc/25/87/95/754258795.db2.gz LAEAFYRSBRUMEG-HUUCEWRRSA-N 1 2 309.373 1.717 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)nc(C)c2)[C@H](O)C1 ZINC001090294857 754776586 /nfs/dbraw/zinc/77/65/86/754776586.db2.gz IGBODKBVMUFLFN-LSDHHAIUSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)nc(C)c2)[C@H](O)C1 ZINC001090294857 754776589 /nfs/dbraw/zinc/77/65/89/754776589.db2.gz IGBODKBVMUFLFN-LSDHHAIUSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064704808 754783593 /nfs/dbraw/zinc/78/35/93/754783593.db2.gz QDPYUFDPCKTZNT-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccncc2C#N)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064786272 754848378 /nfs/dbraw/zinc/84/83/78/754848378.db2.gz ZACDIIDVBXCDCY-DZGCQCFKSA-N 1 2 324.388 1.063 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(CC)C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001079696249 755533357 /nfs/dbraw/zinc/53/33/57/755533357.db2.gz JQAXPRNIUZEDKA-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H](C)CNc1cc[nH+]c(C)n1 ZINC001108468531 762409078 /nfs/dbraw/zinc/40/90/78/762409078.db2.gz JEMXUIWWKIUUPM-ZDUSSCGKSA-N 1 2 308.385 1.926 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn(CCF)c2)C1 ZINC001080014882 755682280 /nfs/dbraw/zinc/68/22/80/755682280.db2.gz PZZQKHXKPHSQAX-ZWNOBZJWSA-N 1 2 314.792 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn(CCF)c2)C1 ZINC001080014882 755682283 /nfs/dbraw/zinc/68/22/83/755682283.db2.gz PZZQKHXKPHSQAX-ZWNOBZJWSA-N 1 2 314.792 1.655 20 30 DDEDLO CO[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC001081507259 756361396 /nfs/dbraw/zinc/36/13/96/756361396.db2.gz LXMBZWXDMIWMBW-XCUNLHGCSA-N 1 2 308.769 1.068 20 30 DDEDLO CO[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC001081507259 756361402 /nfs/dbraw/zinc/36/14/02/756361402.db2.gz LXMBZWXDMIWMBW-XCUNLHGCSA-N 1 2 308.769 1.068 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001015610926 756410586 /nfs/dbraw/zinc/41/05/86/756410586.db2.gz GAYJZLOXPORJFH-NVXWUHKLSA-N 1 2 317.364 1.377 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001015610926 756410587 /nfs/dbraw/zinc/41/05/87/756410587.db2.gz GAYJZLOXPORJFH-NVXWUHKLSA-N 1 2 317.364 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccn3ccnc23)C1 ZINC001015837390 756582594 /nfs/dbraw/zinc/58/25/94/756582594.db2.gz ZYIPIVYIXNJTKR-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccn3ccnc23)C1 ZINC001015837390 756582597 /nfs/dbraw/zinc/58/25/97/756582597.db2.gz ZYIPIVYIXNJTKR-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(Cl)c2F)[C@H](OC)C1 ZINC001082090621 756636218 /nfs/dbraw/zinc/63/62/18/756636218.db2.gz ZTRFTXGYEKGVCE-ZIAGYGMSSA-N 1 2 324.783 1.931 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(Cl)c2F)[C@H](OC)C1 ZINC001082090621 756636219 /nfs/dbraw/zinc/63/62/19/756636219.db2.gz ZTRFTXGYEKGVCE-ZIAGYGMSSA-N 1 2 324.783 1.931 20 30 DDEDLO C[C@@]1(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)CCOC1 ZINC001015905082 756638211 /nfs/dbraw/zinc/63/82/11/756638211.db2.gz OADCVWBFBVCDGV-FUHWJXTLSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@@]1(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)CCOC1 ZINC001015905082 756638213 /nfs/dbraw/zinc/63/82/13/756638213.db2.gz OADCVWBFBVCDGV-FUHWJXTLSA-N 1 2 313.401 1.675 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cnc(CC(C)C)s2)[C@H](OC)C1 ZINC001082394476 756768018 /nfs/dbraw/zinc/76/80/18/756768018.db2.gz OHASCELQSUJRKH-CHWSQXEVSA-N 1 2 323.462 1.957 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cnc(CC(C)C)s2)[C@H](OC)C1 ZINC001082394476 756768021 /nfs/dbraw/zinc/76/80/21/756768021.db2.gz OHASCELQSUJRKH-CHWSQXEVSA-N 1 2 323.462 1.957 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cn(C)nc1C)C2 ZINC001097231757 757001296 /nfs/dbraw/zinc/00/12/96/757001296.db2.gz WSRBIKVOTNWICY-KFWWJZLASA-N 1 2 322.840 1.745 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cn(C)nc1C)C2 ZINC001097231757 757001302 /nfs/dbraw/zinc/00/13/02/757001302.db2.gz WSRBIKVOTNWICY-KFWWJZLASA-N 1 2 322.840 1.745 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3c(C)oc4ccccc43)[C@H]2C1 ZINC001083091995 757131889 /nfs/dbraw/zinc/13/18/89/757131889.db2.gz MULFYMQXLGCPKE-DOTOQJQBSA-N 1 2 324.380 1.900 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3c(C)oc4ccccc43)[C@H]2C1 ZINC001083091995 757131892 /nfs/dbraw/zinc/13/18/92/757131892.db2.gz MULFYMQXLGCPKE-DOTOQJQBSA-N 1 2 324.380 1.900 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)CC[C@H](C)CC)[C@@H](n2ccnn2)C1 ZINC001129337682 762530993 /nfs/dbraw/zinc/53/09/93/762530993.db2.gz TXSYIYMOBTVQTM-OAGGEKHMSA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)CC[C@H](C)CC)[C@@H](n2ccnn2)C1 ZINC001129337682 762530998 /nfs/dbraw/zinc/53/09/98/762530998.db2.gz TXSYIYMOBTVQTM-OAGGEKHMSA-N 1 2 317.437 1.469 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3CC)C[C@H]21 ZINC001084578064 757679330 /nfs/dbraw/zinc/67/93/30/757679330.db2.gz IGGCRMWNGLMJBC-UKRRQHHQSA-N 1 2 317.437 1.543 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3CC)C[C@H]21 ZINC001084578064 757679334 /nfs/dbraw/zinc/67/93/34/757679334.db2.gz IGGCRMWNGLMJBC-UKRRQHHQSA-N 1 2 317.437 1.543 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCC[C@@H](OC)C1 ZINC001017607479 758075842 /nfs/dbraw/zinc/07/58/42/758075842.db2.gz YRDHMBDZYPSBHD-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCC[C@@H](OC)C1 ZINC001017607479 758075852 /nfs/dbraw/zinc/07/58/52/758075852.db2.gz YRDHMBDZYPSBHD-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)c1c(C)n[nH]c1C ZINC001017675236 758145303 /nfs/dbraw/zinc/14/53/03/758145303.db2.gz GDFQEWLHUSKVOO-VBNZEHGJSA-N 1 2 314.433 1.829 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)c1c(C)n[nH]c1C ZINC001017675236 758145307 /nfs/dbraw/zinc/14/53/07/758145307.db2.gz GDFQEWLHUSKVOO-VBNZEHGJSA-N 1 2 314.433 1.829 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1CC ZINC001017714221 758176690 /nfs/dbraw/zinc/17/66/90/758176690.db2.gz VBLWRDNXYSDUBH-TXEJJXNPSA-N 1 2 304.419 1.413 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1CC ZINC001017714221 758176692 /nfs/dbraw/zinc/17/66/92/758176692.db2.gz VBLWRDNXYSDUBH-TXEJJXNPSA-N 1 2 304.419 1.413 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067206952 758234421 /nfs/dbraw/zinc/23/44/21/758234421.db2.gz GLQDMXNSKVRVDG-NHYWBVRUSA-N 1 2 310.361 1.178 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCOC2(C[NH+](CCc3ccccc3)C2)C1 ZINC001053187647 758247214 /nfs/dbraw/zinc/24/72/14/758247214.db2.gz PRFXOSCFWPAYPU-HNNXBMFYSA-N 1 2 313.401 1.302 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CCOC2(C[NH+](CCC)C2)C1 ZINC001053259550 758316655 /nfs/dbraw/zinc/31/66/55/758316655.db2.gz BRVDEAYCUXJPSD-CABCVRRESA-N 1 2 308.422 1.291 20 30 DDEDLO Cc1nc(NCC[C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)cc[nH+]1 ZINC001053315145 758367293 /nfs/dbraw/zinc/36/72/93/758367293.db2.gz UAJNFJJPTZAIMP-OUCADQQQSA-N 1 2 301.394 1.841 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCOC2(C[NH+](C[C@H](CC)OC)C2)C1 ZINC001053370362 758409435 /nfs/dbraw/zinc/40/94/35/758409435.db2.gz FOHMZYDGBSXIFK-HNNXBMFYSA-N 1 2 324.465 1.927 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(CF)CCOCC1 ZINC001017946741 758415296 /nfs/dbraw/zinc/41/52/96/758415296.db2.gz WCYIAUYDKSUCJR-GASCZTMLSA-N 1 2 308.397 1.451 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(CF)CCOCC1 ZINC001017946741 758415301 /nfs/dbraw/zinc/41/53/01/758415301.db2.gz WCYIAUYDKSUCJR-GASCZTMLSA-N 1 2 308.397 1.451 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCOC[C@H]1C)CCO2 ZINC001053415778 758446112 /nfs/dbraw/zinc/44/61/12/758446112.db2.gz DCTXNTAOEZTIQW-HUUCEWRRSA-N 1 2 308.422 1.148 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1conc1CC)CCO2 ZINC001053417204 758447400 /nfs/dbraw/zinc/44/74/00/758447400.db2.gz JCGMCIZYIIPFAA-UHFFFAOYSA-N 1 2 319.405 1.730 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C1(C)CCOCC1)CCO2 ZINC001053472122 758486855 /nfs/dbraw/zinc/48/68/55/758486855.db2.gz LNTNMCZGUAICPE-UHFFFAOYSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccnc(C)c1)CCO2 ZINC001053479121 758488876 /nfs/dbraw/zinc/48/88/76/758488876.db2.gz RMHDFPVZFZYAMU-UHFFFAOYSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CC13CCOCC3)CCO2 ZINC001053553067 758557623 /nfs/dbraw/zinc/55/76/23/758557623.db2.gz JWGPNDNQGVIMTD-OAHLLOKOSA-N 1 2 320.433 1.292 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(Cl)c[nH]1)O2 ZINC001053574172 758582877 /nfs/dbraw/zinc/58/28/77/758582877.db2.gz WOEVLYICOACBNT-LBPRGKRZSA-N 1 2 309.797 1.817 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCO[C@H](CC)C1 ZINC001018156658 758587035 /nfs/dbraw/zinc/58/70/35/758587035.db2.gz NKARHBDHQLRAKH-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCO[C@H](CC)C1 ZINC001018156658 758587047 /nfs/dbraw/zinc/58/70/47/758587047.db2.gz NKARHBDHQLRAKH-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cccc(=O)[nH]1)O2 ZINC001053586560 758601744 /nfs/dbraw/zinc/60/17/44/758601744.db2.gz UJWLBSFWAUEDQP-ZDUSSCGKSA-N 1 2 317.389 1.327 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccnn1CCC)O2 ZINC001053607004 758626057 /nfs/dbraw/zinc/62/60/57/758626057.db2.gz XIVFRDMOYBAVPZ-AWEZNQCLSA-N 1 2 318.421 1.442 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCO[C@H]1CC)O2 ZINC001053632332 758648994 /nfs/dbraw/zinc/64/89/94/758648994.db2.gz XQLNRBYCQAMZQZ-OAGGEKHMSA-N 1 2 322.449 1.727 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cn(C(C)C)cn1)O2 ZINC001053638788 758653415 /nfs/dbraw/zinc/65/34/15/758653415.db2.gz WUUXTYFEUILPIM-AWEZNQCLSA-N 1 2 318.421 1.613 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)nc(C)n1)O2 ZINC001053678622 758689638 /nfs/dbraw/zinc/68/96/38/758689638.db2.gz HWIMYBJOCMVSQD-CQSZACIVSA-N 1 2 316.405 1.243 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc3c([nH]1)CCC3)O2 ZINC001053688980 758698884 /nfs/dbraw/zinc/69/88/84/758698884.db2.gz ZFYZGDQLDDHGKR-CQSZACIVSA-N 1 2 315.417 1.653 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1sccc1OC)O2 ZINC001053691199 758700328 /nfs/dbraw/zinc/70/03/28/758700328.db2.gz UTBKGELLFREONP-LBPRGKRZSA-N 1 2 322.430 1.906 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065712380 758730327 /nfs/dbraw/zinc/73/03/27/758730327.db2.gz XANQGFHHGVTRMB-GOEBONIOSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)s1)CO2 ZINC001053724954 758741896 /nfs/dbraw/zinc/74/18/96/758741896.db2.gz VBZADWLJMLNZQM-CYBMUJFWSA-N 1 2 304.415 1.653 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-n2ccnn2)c1 ZINC001018342278 758743281 /nfs/dbraw/zinc/74/32/81/758743281.db2.gz NJJBAMBYOIXPMY-CALCHBBNSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-n2ccnn2)c1 ZINC001018342278 758743284 /nfs/dbraw/zinc/74/32/84/758743284.db2.gz NJJBAMBYOIXPMY-CALCHBBNSA-N 1 2 321.384 1.189 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(F)nc1)CO2 ZINC001053759312 758776196 /nfs/dbraw/zinc/77/61/96/758776196.db2.gz HUNBNTBPEZETDM-ZDUSSCGKSA-N 1 2 305.353 1.370 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1[nH]ccc1C1CC1)CO2 ZINC001053826789 758856249 /nfs/dbraw/zinc/85/62/49/758856249.db2.gz KKMPXOYHZPHPKD-CQSZACIVSA-N 1 2 313.401 1.489 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(F)ccc1F)CO2 ZINC001053830197 758860884 /nfs/dbraw/zinc/86/08/84/758860884.db2.gz UEESEVBAZKANPT-ZDUSSCGKSA-N 1 2 320.339 1.561 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCC1(F)F)CO2 ZINC001053839840 758869921 /nfs/dbraw/zinc/86/99/21/758869921.db2.gz PZFCGESDFUMIQT-NEPJUHHUSA-N 1 2 300.349 1.567 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C)CCCCC1)CO2 ZINC001053915168 758950372 /nfs/dbraw/zinc/95/03/72/758950372.db2.gz FPERJDMBUXUMGY-OAHLLOKOSA-N 1 2 304.434 1.940 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc3[nH]ccc31)CO2 ZINC001053935533 758971941 /nfs/dbraw/zinc/97/19/41/758971941.db2.gz QPRFCIOBFJVREC-AWEZNQCLSA-N 1 2 323.396 1.764 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccccc1)CO2 ZINC001053987007 759029166 /nfs/dbraw/zinc/02/91/66/759029166.db2.gz ZVXKVNOZIGVBEM-FGTMMUONSA-N 1 2 324.424 1.773 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC=C)C2)C1 ZINC001108565063 762678857 /nfs/dbraw/zinc/67/88/57/762678857.db2.gz USDVZNRGHUPBNV-OAHLLOKOSA-N 1 2 317.433 1.157 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@]23C[C@H]2CCCC3)C1 ZINC001108201710 759031166 /nfs/dbraw/zinc/03/11/66/759031166.db2.gz QSSZNJVOQRVDCJ-KBAYOESNSA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@]23C[C@H]2CCCC3)C1 ZINC001108201710 759031173 /nfs/dbraw/zinc/03/11/73/759031173.db2.gz QSSZNJVOQRVDCJ-KBAYOESNSA-N 1 2 304.434 1.797 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccnn1CC ZINC001054039946 759097473 /nfs/dbraw/zinc/09/74/73/759097473.db2.gz NNUKRQPJAYLVFH-KRWDZBQOSA-N 1 2 322.412 1.693 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccnn1CC ZINC001054039946 759097484 /nfs/dbraw/zinc/09/74/84/759097484.db2.gz NNUKRQPJAYLVFH-KRWDZBQOSA-N 1 2 322.412 1.693 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001054043362 759099864 /nfs/dbraw/zinc/09/98/64/759099864.db2.gz VWMSUQUEIGRJKI-BJLQDIEVSA-N 1 2 324.424 1.589 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001054043362 759099867 /nfs/dbraw/zinc/09/98/67/759099867.db2.gz VWMSUQUEIGRJKI-BJLQDIEVSA-N 1 2 324.424 1.589 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001054043363 759100140 /nfs/dbraw/zinc/10/01/40/759100140.db2.gz VWMSUQUEIGRJKI-NSHGMRRFSA-N 1 2 324.424 1.589 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001054043363 759100146 /nfs/dbraw/zinc/10/01/46/759100146.db2.gz VWMSUQUEIGRJKI-NSHGMRRFSA-N 1 2 324.424 1.589 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@]2(CC[N@H+](Cc3cnon3)C2)C1 ZINC001054102700 759168983 /nfs/dbraw/zinc/16/89/83/759168983.db2.gz RLOAQOJXGQTZOU-WFASDCNBSA-N 1 2 303.366 1.044 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@]2(CC[N@@H+](Cc3cnon3)C2)C1 ZINC001054102700 759168987 /nfs/dbraw/zinc/16/89/87/759168987.db2.gz RLOAQOJXGQTZOU-WFASDCNBSA-N 1 2 303.366 1.044 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@@H]1CCCC[C@H]1NCC#N)C2 ZINC001085250300 759200101 /nfs/dbraw/zinc/20/01/01/759200101.db2.gz NXVXFAQESGBJSK-MGPQQGTHSA-N 1 2 315.421 1.364 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@@H]1CCCC[C@H]1NCC#N)CC2 ZINC001085250300 759200108 /nfs/dbraw/zinc/20/01/08/759200108.db2.gz NXVXFAQESGBJSK-MGPQQGTHSA-N 1 2 315.421 1.364 20 30 DDEDLO N#CCN1CC[C@@]2(C1)CCCN(C(=O)CCn1cc[nH+]c1)C2 ZINC001054173908 759255066 /nfs/dbraw/zinc/25/50/66/759255066.db2.gz VXXSNKACVGDXPV-MRXNPFEDSA-N 1 2 301.394 1.111 20 30 DDEDLO C[C@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)Cn1cc[nH+]c1 ZINC001069114931 767857039 /nfs/dbraw/zinc/85/70/39/767857039.db2.gz YEEWTDKKRAXJNS-JSGCOSHPSA-N 1 2 310.361 1.251 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2C[C@@H](C)N(C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001069114836 767857116 /nfs/dbraw/zinc/85/71/16/767857116.db2.gz XBPNBVLIORHKSV-UKRRQHHQSA-N 1 2 324.388 1.560 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001069136790 767871488 /nfs/dbraw/zinc/87/14/88/767871488.db2.gz ABVRQCZTWJJQAH-OCCSQVGLSA-N 1 2 324.388 1.629 20 30 DDEDLO Cc1ncoc1C[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085535068 759647325 /nfs/dbraw/zinc/64/73/25/759647325.db2.gz ZWOROPQXAOHZJR-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085535068 759647329 /nfs/dbraw/zinc/64/73/29/759647329.db2.gz ZWOROPQXAOHZJR-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)C2=CCCC2)C1=O ZINC001085547897 759674705 /nfs/dbraw/zinc/67/47/05/759674705.db2.gz HJMUMSNDTCPHSF-JKSUJKDBSA-N 1 2 317.433 1.416 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C2=CCCC2)C1=O ZINC001085547897 759674710 /nfs/dbraw/zinc/67/47/10/759674710.db2.gz HJMUMSNDTCPHSF-JKSUJKDBSA-N 1 2 317.433 1.416 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H]2CN(C)C(=O)c2ccc(C#N)[nH]2)o1 ZINC001085553264 759681449 /nfs/dbraw/zinc/68/14/49/759681449.db2.gz BFPFOEOSNHPQQC-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)c2ccc(C#N)[nH]2)o1 ZINC001085553264 759681458 /nfs/dbraw/zinc/68/14/58/759681458.db2.gz BFPFOEOSNHPQQC-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn([C@H](C)COC)nc1C ZINC001085596996 759808629 /nfs/dbraw/zinc/80/86/29/759808629.db2.gz NXDWAGZVDQWPAU-UKRRQHHQSA-N 1 2 318.421 1.178 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn([C@H](C)COC)nc1C ZINC001085596996 759808642 /nfs/dbraw/zinc/80/86/42/759808642.db2.gz NXDWAGZVDQWPAU-UKRRQHHQSA-N 1 2 318.421 1.178 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(NC(=O)CC)c1 ZINC001085629902 759882987 /nfs/dbraw/zinc/88/29/87/759882987.db2.gz FPTAJYYFYKJFGA-MRXNPFEDSA-N 1 2 313.401 1.815 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(NC(=O)CC)c1 ZINC001085629902 759882999 /nfs/dbraw/zinc/88/29/99/759882999.db2.gz FPTAJYYFYKJFGA-MRXNPFEDSA-N 1 2 313.401 1.815 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncc(OC)cc1F ZINC001085633059 759908603 /nfs/dbraw/zinc/90/86/03/759908603.db2.gz LFVPRUWWBHBRKV-GFCCVEGCSA-N 1 2 305.353 1.399 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncc(OC)cc1F ZINC001085633059 759908607 /nfs/dbraw/zinc/90/86/07/759908607.db2.gz LFVPRUWWBHBRKV-GFCCVEGCSA-N 1 2 305.353 1.399 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001085637911 759930288 /nfs/dbraw/zinc/93/02/88/759930288.db2.gz CSVFZXRJWYBMRG-LLVKDONJSA-N 1 2 306.797 1.720 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001085637911 759930294 /nfs/dbraw/zinc/93/02/94/759930294.db2.gz CSVFZXRJWYBMRG-LLVKDONJSA-N 1 2 306.797 1.720 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001085637912 759930328 /nfs/dbraw/zinc/93/03/28/759930328.db2.gz CSVFZXRJWYBMRG-NSHDSACASA-N 1 2 306.797 1.720 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001085637912 759930333 /nfs/dbraw/zinc/93/03/33/759930333.db2.gz CSVFZXRJWYBMRG-NSHDSACASA-N 1 2 306.797 1.720 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn2c(n1)CC[C@@H](C)C2 ZINC001085670933 759980198 /nfs/dbraw/zinc/98/01/98/759980198.db2.gz ISYADPSYCHFYRZ-ZIAGYGMSSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn2c(n1)CC[C@@H](C)C2 ZINC001085670933 759980201 /nfs/dbraw/zinc/98/02/01/759980201.db2.gz ISYADPSYCHFYRZ-ZIAGYGMSSA-N 1 2 300.406 1.245 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001046771554 767910583 /nfs/dbraw/zinc/91/05/83/767910583.db2.gz NKXATCWGZHAXEN-ABAIWWIYSA-N 1 2 323.828 1.243 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001046771554 767910587 /nfs/dbraw/zinc/91/05/87/767910587.db2.gz NKXATCWGZHAXEN-ABAIWWIYSA-N 1 2 323.828 1.243 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1coc(C(F)(F)F)n1 ZINC001085697109 760036000 /nfs/dbraw/zinc/03/60/00/760036000.db2.gz CBQSYBONZOCFKR-SECBINFHSA-N 1 2 301.268 1.473 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1coc(C(F)(F)F)n1 ZINC001085697109 760036012 /nfs/dbraw/zinc/03/60/12/760036012.db2.gz CBQSYBONZOCFKR-SECBINFHSA-N 1 2 301.268 1.473 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1coc(C(F)(F)F)n1 ZINC001085697110 760036281 /nfs/dbraw/zinc/03/62/81/760036281.db2.gz CBQSYBONZOCFKR-VIFPVBQESA-N 1 2 301.268 1.473 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1coc(C(F)(F)F)n1 ZINC001085697110 760036289 /nfs/dbraw/zinc/03/62/89/760036289.db2.gz CBQSYBONZOCFKR-VIFPVBQESA-N 1 2 301.268 1.473 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001054976353 760250487 /nfs/dbraw/zinc/25/04/87/760250487.db2.gz LAPKWLJITAYWJH-NEPJUHHUSA-N 1 2 322.409 1.365 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001054976356 760250566 /nfs/dbraw/zinc/25/05/66/760250566.db2.gz LAPKWLJITAYWJH-VXGBXAGGSA-N 1 2 322.409 1.365 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccns1 ZINC001085827463 760307350 /nfs/dbraw/zinc/30/73/50/760307350.db2.gz SREIUBWTRZSAQE-YUELXQCFSA-N 1 2 321.446 1.767 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccns1 ZINC001085827463 760307360 /nfs/dbraw/zinc/30/73/60/760307360.db2.gz SREIUBWTRZSAQE-YUELXQCFSA-N 1 2 321.446 1.767 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)C(F)F)[C@@H](O)C1 ZINC001099998149 760333899 /nfs/dbraw/zinc/33/38/99/760333899.db2.gz BAMOIARKTUOQJQ-ZJUUUORDSA-N 1 2 310.772 1.582 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)C(F)F)[C@@H](O)C1 ZINC001099998149 760333904 /nfs/dbraw/zinc/33/39/04/760333904.db2.gz BAMOIARKTUOQJQ-ZJUUUORDSA-N 1 2 310.772 1.582 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nnc2ccccc2c1O ZINC001085849890 760370499 /nfs/dbraw/zinc/37/04/99/760370499.db2.gz JEYBPSTYCZLTCQ-GFCCVEGCSA-N 1 2 312.373 1.668 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nnc2ccccc2c1O ZINC001085849890 760370509 /nfs/dbraw/zinc/37/05/09/760370509.db2.gz JEYBPSTYCZLTCQ-GFCCVEGCSA-N 1 2 312.373 1.668 20 30 DDEDLO C#CCOc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2CCF)cc1 ZINC001085857962 760378770 /nfs/dbraw/zinc/37/87/70/760378770.db2.gz LMXQTROWNAWVTF-HNNXBMFYSA-N 1 2 304.365 1.814 20 30 DDEDLO C#CCOc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2CCF)cc1 ZINC001085857962 760378778 /nfs/dbraw/zinc/37/87/78/760378778.db2.gz LMXQTROWNAWVTF-HNNXBMFYSA-N 1 2 304.365 1.814 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C/C=C/Cl)nn1 ZINC001085861104 760400407 /nfs/dbraw/zinc/40/04/07/760400407.db2.gz PLUOFZVMJOWFCW-FUEXJSSKSA-N 1 2 309.801 1.363 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2C/C=C/Cl)nn1 ZINC001085861104 760400410 /nfs/dbraw/zinc/40/04/10/760400410.db2.gz PLUOFZVMJOWFCW-FUEXJSSKSA-N 1 2 309.801 1.363 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(C2CCCC2)n1 ZINC001085900026 760490902 /nfs/dbraw/zinc/49/09/02/760490902.db2.gz TURHJZYWHJFDET-OAHLLOKOSA-N 1 2 312.417 1.914 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(C2CCCC2)n1 ZINC001085900026 760490907 /nfs/dbraw/zinc/49/09/07/760490907.db2.gz TURHJZYWHJFDET-OAHLLOKOSA-N 1 2 312.417 1.914 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)CCn2cc[nH+]c2)CC1 ZINC001066348561 760603434 /nfs/dbraw/zinc/60/34/34/760603434.db2.gz YIULUBXOABWSRE-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(-c2ccccc2)n1 ZINC001085963869 760621372 /nfs/dbraw/zinc/62/13/72/760621372.db2.gz RYBSZXMXUINKRL-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(-c2ccccc2)n1 ZINC001085963869 760621377 /nfs/dbraw/zinc/62/13/77/760621377.db2.gz RYBSZXMXUINKRL-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1ocnc1C ZINC001038265930 760959696 /nfs/dbraw/zinc/95/96/96/760959696.db2.gz IBHDEPXOZYCCOF-KFWWJZLASA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1ocnc1C ZINC001038265930 760959701 /nfs/dbraw/zinc/95/97/01/760959701.db2.gz IBHDEPXOZYCCOF-KFWWJZLASA-N 1 2 319.405 1.655 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H](C)CCC)CC1 ZINC001131334649 767996461 /nfs/dbraw/zinc/99/64/61/767996461.db2.gz YCYZOBHLSPXOGH-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H](C)CCC)CC1 ZINC001131334649 767996463 /nfs/dbraw/zinc/99/64/63/767996463.db2.gz YCYZOBHLSPXOGH-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c2c(nn1C)CCCC2 ZINC001038296734 760984609 /nfs/dbraw/zinc/98/46/09/760984609.db2.gz QCKHMEUFEZSZLX-CYBMUJFWSA-N 1 2 300.406 1.126 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c2c(nn1C)CCCC2 ZINC001038296734 760984612 /nfs/dbraw/zinc/98/46/12/760984612.db2.gz QCKHMEUFEZSZLX-CYBMUJFWSA-N 1 2 300.406 1.126 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cccc(F)c2F)C1 ZINC001108244040 761050853 /nfs/dbraw/zinc/05/08/53/761050853.db2.gz DUHKMWBTVKMMMY-KRWDZBQOSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cccc(F)c2F)C1 ZINC001108244040 761050861 /nfs/dbraw/zinc/05/08/61/761050861.db2.gz DUHKMWBTVKMMMY-KRWDZBQOSA-N 1 2 324.371 1.900 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ncccc1C#N ZINC001069383324 768003868 /nfs/dbraw/zinc/00/38/68/768003868.db2.gz HQNUNVQVCIRNLQ-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn2c(n1)CC[C@H](C)C2 ZINC001038409303 761092705 /nfs/dbraw/zinc/09/27/05/761092705.db2.gz IICXGNNDGZPLTL-UONOGXRCSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn2c(n1)CC[C@H](C)C2 ZINC001038409303 761092715 /nfs/dbraw/zinc/09/27/15/761092715.db2.gz IICXGNNDGZPLTL-UONOGXRCSA-N 1 2 300.406 1.293 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ccc(C#N)nc1 ZINC001069415468 768015965 /nfs/dbraw/zinc/01/59/65/768015965.db2.gz QHOPNEWODQLGGZ-WBMJQRKESA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cncc(OC(C)C)c1 ZINC001038597321 761223950 /nfs/dbraw/zinc/22/39/50/761223950.db2.gz OBEYBXIWHHFTOM-HNNXBMFYSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cncc(OC(C)C)c1 ZINC001038597321 761223954 /nfs/dbraw/zinc/22/39/54/761223954.db2.gz OBEYBXIWHHFTOM-HNNXBMFYSA-N 1 2 301.390 1.696 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)c2c([nH+]1)CCCC2 ZINC001056586077 761257166 /nfs/dbraw/zinc/25/71/66/761257166.db2.gz UOENVAHDWLDVMU-DGCLKSJQSA-N 1 2 313.405 1.836 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn2c1C[C@@H](C)CC2 ZINC001038975637 761609989 /nfs/dbraw/zinc/60/99/89/761609989.db2.gz KACBOULXAUMKAG-KBPBESRZSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn2c1C[C@@H](C)CC2 ZINC001038975637 761609992 /nfs/dbraw/zinc/60/99/92/761609992.db2.gz KACBOULXAUMKAG-KBPBESRZSA-N 1 2 300.406 1.293 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2ccccc2)[C@H](O)C1 ZINC001100087589 761634009 /nfs/dbraw/zinc/63/40/09/761634009.db2.gz OJZXANZHQGKVBY-JKSUJKDBSA-N 1 2 322.836 1.923 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2ccccc2)[C@H](O)C1 ZINC001100087589 761634011 /nfs/dbraw/zinc/63/40/11/761634011.db2.gz OJZXANZHQGKVBY-JKSUJKDBSA-N 1 2 322.836 1.923 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)[nH]c(=O)n2C ZINC001039060600 761700317 /nfs/dbraw/zinc/70/03/17/761700317.db2.gz UHFPMRGCWQNJAE-CYBMUJFWSA-N 1 2 312.373 1.106 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)[nH]c(=O)n2C ZINC001039060600 761700322 /nfs/dbraw/zinc/70/03/22/761700322.db2.gz UHFPMRGCWQNJAE-CYBMUJFWSA-N 1 2 312.373 1.106 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccnc1OC(C)C ZINC001039088782 761729211 /nfs/dbraw/zinc/72/92/11/761729211.db2.gz GVSKNFUWISVIEH-AWEZNQCLSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccnc1OC(C)C ZINC001039088782 761729215 /nfs/dbraw/zinc/72/92/15/761729215.db2.gz GVSKNFUWISVIEH-AWEZNQCLSA-N 1 2 301.390 1.696 20 30 DDEDLO CC1(C)CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001069619964 768078098 /nfs/dbraw/zinc/07/80/98/768078098.db2.gz TYEJCWVWZRHTQN-HNNXBMFYSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@H](CC)CCC)CC2=O)C1 ZINC001108582824 762749608 /nfs/dbraw/zinc/74/96/08/762749608.db2.gz DGWFJNSVBUGNFF-HUUCEWRRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108658661 762824295 /nfs/dbraw/zinc/82/42/95/762824295.db2.gz FHXSNQFNXQMGRR-NWDGAFQWSA-N 1 2 316.409 1.882 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@]3(CCN(C(=O)C#CC4CC4)C3)C2)o1 ZINC001041271725 762923786 /nfs/dbraw/zinc/92/37/86/762923786.db2.gz BIKPUINIJUFKFS-KRWDZBQOSA-N 1 2 314.389 1.216 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@]3(CCN(C(=O)C#CC4CC4)C3)C2)o1 ZINC001041271725 762923789 /nfs/dbraw/zinc/92/37/89/762923789.db2.gz BIKPUINIJUFKFS-KRWDZBQOSA-N 1 2 314.389 1.216 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccco1)C2 ZINC001108864442 763029358 /nfs/dbraw/zinc/02/93/58/763029358.db2.gz OAACIHCXRLNUPJ-MQYQWHSLSA-N 1 2 317.389 1.305 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccco1)C2 ZINC001108864442 763029362 /nfs/dbraw/zinc/02/93/62/763029362.db2.gz OAACIHCXRLNUPJ-MQYQWHSLSA-N 1 2 317.389 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccsc1)C2 ZINC001108910627 763087561 /nfs/dbraw/zinc/08/75/61/763087561.db2.gz SDAHVEZWBUJSEM-RDBSUJKOSA-N 1 2 319.430 1.385 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccsc1)C2 ZINC001108910627 763087564 /nfs/dbraw/zinc/08/75/64/763087564.db2.gz SDAHVEZWBUJSEM-RDBSUJKOSA-N 1 2 319.430 1.385 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001108922823 763109558 /nfs/dbraw/zinc/10/95/58/763109558.db2.gz DNJKYTZOVMDEDQ-GTNSWQLSSA-N 1 2 320.437 1.670 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](CC)CC(C)C)CC1 ZINC001131393366 768111535 /nfs/dbraw/zinc/11/15/35/768111535.db2.gz VSQQNCKBEXIICM-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](CC)CC(C)C)CC1 ZINC001131393366 768111538 /nfs/dbraw/zinc/11/15/38/768111538.db2.gz VSQQNCKBEXIICM-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@H]2CCOC2)C1 ZINC001046925192 768119993 /nfs/dbraw/zinc/11/99/93/768119993.db2.gz LPJCUKBKUFCLCI-RYUDHWBXSA-N 1 2 322.783 1.849 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@H]2CCOC2)C1 ZINC001046925192 768119996 /nfs/dbraw/zinc/11/99/96/768119996.db2.gz LPJCUKBKUFCLCI-RYUDHWBXSA-N 1 2 322.783 1.849 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001109213384 763464566 /nfs/dbraw/zinc/46/45/66/763464566.db2.gz QKYZYJWASSHOFQ-AOLWHUPESA-N 1 2 321.446 1.692 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001109213384 763464569 /nfs/dbraw/zinc/46/45/69/763464569.db2.gz QKYZYJWASSHOFQ-AOLWHUPESA-N 1 2 321.446 1.692 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccnn1C)C2 ZINC001109358602 763601473 /nfs/dbraw/zinc/60/14/73/763601473.db2.gz QWZUBCIAPKEUSL-ILXRZTDVSA-N 1 2 322.840 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccnn1C)C2 ZINC001109358602 763601479 /nfs/dbraw/zinc/60/14/79/763601479.db2.gz QWZUBCIAPKEUSL-ILXRZTDVSA-N 1 2 322.840 1.827 20 30 DDEDLO C=CCCC(=O)N(C)CCCNc1[nH+]cnc2c1cnn2C ZINC001109414265 763679488 /nfs/dbraw/zinc/67/94/88/763679488.db2.gz ILUDTUJLLUJPNG-UHFFFAOYSA-N 1 2 302.382 1.590 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC4(C3)CCN(CC#N)CC4)ccn12 ZINC001050519048 763696767 /nfs/dbraw/zinc/69/67/67/763696767.db2.gz NOFFZKVIKYWGBP-UHFFFAOYSA-N 1 2 323.400 1.704 20 30 DDEDLO N#Cc1ccc(NCC2CCN(C(=O)Cn3cc[nH+]c3)CC2)nc1 ZINC001057503627 763859062 /nfs/dbraw/zinc/85/90/62/763859062.db2.gz WWSGFFUXEDGKMQ-UHFFFAOYSA-N 1 2 324.388 1.500 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109819177 764085791 /nfs/dbraw/zinc/08/57/91/764085791.db2.gz YZERHNSNERTWOA-CZUORRHYSA-N 1 2 304.394 1.419 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3CC3(C)C)c2C1 ZINC001069857707 768189629 /nfs/dbraw/zinc/18/96/29/768189629.db2.gz HJOOIQNYZJCLFS-CQSZACIVSA-N 1 2 302.422 1.937 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3CC3(C)C)c2C1 ZINC001069857707 768189632 /nfs/dbraw/zinc/18/96/32/768189632.db2.gz HJOOIQNYZJCLFS-CQSZACIVSA-N 1 2 302.422 1.937 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCCCOC)C2 ZINC001109946885 764229859 /nfs/dbraw/zinc/22/98/59/764229859.db2.gz CTZOAYNDBJLRFU-RDBSUJKOSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCCCOC)C2 ZINC001109946885 764229867 /nfs/dbraw/zinc/22/98/67/764229867.db2.gz CTZOAYNDBJLRFU-RDBSUJKOSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccncc1C ZINC001050918970 764279379 /nfs/dbraw/zinc/27/93/79/764279379.db2.gz YNIGSWCMUHYAEP-HNNXBMFYSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccncc1C ZINC001050918970 764279383 /nfs/dbraw/zinc/27/93/83/764279383.db2.gz YNIGSWCMUHYAEP-HNNXBMFYSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1OCC[C@H]1C(C)C ZINC001050922501 764285930 /nfs/dbraw/zinc/28/59/30/764285930.db2.gz UOKDHNXIYVRCGG-ULQDDVLXSA-N 1 2 324.465 1.831 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1OCC[C@H]1C(C)C ZINC001050922501 764285938 /nfs/dbraw/zinc/28/59/38/764285938.db2.gz UOKDHNXIYVRCGG-ULQDDVLXSA-N 1 2 324.465 1.831 20 30 DDEDLO C[C@H]1CC[C@H](C[NH+]2CC(N(C)C(=O)c3c[nH]c(C#N)c3)C2)O1 ZINC001042638468 764300292 /nfs/dbraw/zinc/30/02/92/764300292.db2.gz JMVQFUNEMKITAY-XHDPSFHLSA-N 1 2 302.378 1.210 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCCOC(C)C)[C@H](O)C1 ZINC001100138062 764327395 /nfs/dbraw/zinc/32/73/95/764327395.db2.gz FFIOTLRJZKNAHR-ZIAGYGMSSA-N 1 2 318.845 1.496 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCCOC(C)C)[C@H](O)C1 ZINC001100138062 764327403 /nfs/dbraw/zinc/32/74/03/764327403.db2.gz FFIOTLRJZKNAHR-ZIAGYGMSSA-N 1 2 318.845 1.496 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C)c(C)n2)[C@@H](O)C1 ZINC001090434643 764332136 /nfs/dbraw/zinc/33/21/36/764332136.db2.gz AKVNLYAMGKEUOA-ZFWWWQNUSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C)c(C)n2)[C@@H](O)C1 ZINC001090434643 764332144 /nfs/dbraw/zinc/33/21/44/764332144.db2.gz AKVNLYAMGKEUOA-ZFWWWQNUSA-N 1 2 323.824 1.616 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1[nH]ccc1C1CC1 ZINC001050949971 764332986 /nfs/dbraw/zinc/33/29/86/764332986.db2.gz SMSHZAJPIOQBAQ-CQSZACIVSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1[nH]ccc1C1CC1 ZINC001050949971 764332991 /nfs/dbraw/zinc/33/29/91/764332991.db2.gz SMSHZAJPIOQBAQ-CQSZACIVSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)[nH]nc1Cl ZINC001050953612 764342244 /nfs/dbraw/zinc/34/22/44/764342244.db2.gz IPIRTTDSSWDTAS-LLVKDONJSA-N 1 2 312.801 1.378 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)[nH]nc1Cl ZINC001050953612 764342251 /nfs/dbraw/zinc/34/22/51/764342251.db2.gz IPIRTTDSSWDTAS-LLVKDONJSA-N 1 2 312.801 1.378 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1onc(C)c1C ZINC001050974126 764369308 /nfs/dbraw/zinc/36/93/08/764369308.db2.gz NVLXPJGUGJANLK-CQSZACIVSA-N 1 2 307.394 1.688 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1onc(C)c1C ZINC001050974126 764369314 /nfs/dbraw/zinc/36/93/14/764369314.db2.gz NVLXPJGUGJANLK-CQSZACIVSA-N 1 2 307.394 1.688 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCN(C(=O)C3CC3)C2)C1 ZINC001042769861 764430578 /nfs/dbraw/zinc/43/05/78/764430578.db2.gz ATBYEFUIJVBCHK-HNNXBMFYSA-N 1 2 319.449 1.354 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(F)ccc1OC ZINC001051052555 764455184 /nfs/dbraw/zinc/45/51/84/764455184.db2.gz JYOPZLUUIGYFJE-CQSZACIVSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(F)ccc1OC ZINC001051052555 764455187 /nfs/dbraw/zinc/45/51/87/764455187.db2.gz JYOPZLUUIGYFJE-CQSZACIVSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1conc1C1CC1 ZINC001051108627 764512409 /nfs/dbraw/zinc/51/24/09/764512409.db2.gz XWIWUAUNGKAPHS-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1conc1C1CC1 ZINC001051108627 764512416 /nfs/dbraw/zinc/51/24/16/764512416.db2.gz XWIWUAUNGKAPHS-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2oc(C)cc2C)[C@@H](n2ccnn2)C1 ZINC001069905396 768220446 /nfs/dbraw/zinc/22/04/46/768220446.db2.gz VAQNCPVCOJBFDO-KGLIPLIRSA-N 1 2 315.377 1.329 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2oc(C)cc2C)[C@@H](n2ccnn2)C1 ZINC001069905396 768220449 /nfs/dbraw/zinc/22/04/49/768220449.db2.gz VAQNCPVCOJBFDO-KGLIPLIRSA-N 1 2 315.377 1.329 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(CC)nc1C ZINC001051146645 764551302 /nfs/dbraw/zinc/55/13/02/764551302.db2.gz ULDNAMFNGIGECG-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(CC)nc1C ZINC001051146645 764551308 /nfs/dbraw/zinc/55/13/08/764551308.db2.gz ULDNAMFNGIGECG-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1coc(CCC)n1 ZINC001051208077 764621201 /nfs/dbraw/zinc/62/12/01/764621201.db2.gz XKHBAJDKVZWZEX-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1coc(CCC)n1 ZINC001051208077 764621206 /nfs/dbraw/zinc/62/12/06/764621206.db2.gz XKHBAJDKVZWZEX-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2ccc3nonc3c2)CC1 ZINC001112708648 764629632 /nfs/dbraw/zinc/62/96/32/764629632.db2.gz RGMLKMLVFUMUMV-UHFFFAOYSA-N 1 2 316.361 1.070 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1[nH]cnc1CC ZINC001051224893 764642771 /nfs/dbraw/zinc/64/27/71/764642771.db2.gz DDIGHLQUUPTDSX-CYBMUJFWSA-N 1 2 306.410 1.369 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1[nH]cnc1CC ZINC001051224893 764642775 /nfs/dbraw/zinc/64/27/75/764642775.db2.gz DDIGHLQUUPTDSX-CYBMUJFWSA-N 1 2 306.410 1.369 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC001112774340 764741801 /nfs/dbraw/zinc/74/18/01/764741801.db2.gz SHOIYGAEAVKSHS-UHFFFAOYSA-N 1 2 317.437 1.233 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(F)cncc2F)CC1 ZINC001112894502 764936984 /nfs/dbraw/zinc/93/69/84/764936984.db2.gz GCYXQMDGTRCLBJ-UHFFFAOYSA-N 1 2 311.332 1.320 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc3c(c2)c(C)nn3C)C1 ZINC001043500830 764944042 /nfs/dbraw/zinc/94/40/42/764944042.db2.gz WJLBFIGFXHIAQQ-UHFFFAOYSA-N 1 2 311.389 1.056 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc(-n3cccn3)n2)C1 ZINC001043836959 765124016 /nfs/dbraw/zinc/12/40/16/765124016.db2.gz FUQUGBYSBFSBPN-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)[C@@H](C)Nc2cc[nH+]c(C)n2)nc1 ZINC001113104229 765262464 /nfs/dbraw/zinc/26/24/64/765262464.db2.gz WYGXWANRNQPQHO-VXGBXAGGSA-N 1 2 309.373 1.780 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC001051969492 765327321 /nfs/dbraw/zinc/32/73/21/765327321.db2.gz LQBXMOYVUGIYPC-KRWDZBQOSA-N 1 2 303.450 1.418 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C[C@@H]3C=CCC3)C2)CC1 ZINC001051978135 765339977 /nfs/dbraw/zinc/33/99/77/765339977.db2.gz MPUFJVHAFPJGDR-MSOLQXFVSA-N 1 2 315.461 1.585 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(-n3ccnn3)cc2)C1 ZINC001044176225 765366533 /nfs/dbraw/zinc/36/65/33/765366533.db2.gz KUFWTCFXYDHELR-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131711262 768288900 /nfs/dbraw/zinc/28/89/00/768288900.db2.gz BUQKHBGFVQTWRC-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131711262 768288905 /nfs/dbraw/zinc/28/89/05/768288905.db2.gz BUQKHBGFVQTWRC-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO CC#CCN1CC[NH+]([C@H]2CCN(C(=O)C3(F)CCCC3)C2)CC1 ZINC001052044078 765417505 /nfs/dbraw/zinc/41/75/05/765417505.db2.gz CGSMAQOTBKTYPI-INIZCTEOSA-N 1 2 321.440 1.511 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H](C)C(C)C)C2)CC1 ZINC001052094656 765465122 /nfs/dbraw/zinc/46/51/22/765465122.db2.gz XEHLCJXSUVBKOD-SJORKVTESA-N 1 2 305.466 1.520 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C2CCC(NC(C)=O)CC2)C1 ZINC001044372588 765517299 /nfs/dbraw/zinc/51/72/99/765517299.db2.gz YJKLFFACOCHAPC-UHFFFAOYSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](CCN3CCCC3=O)CC2)CCC1 ZINC001113310954 765550609 /nfs/dbraw/zinc/55/06/09/765550609.db2.gz VOHJQJGLLDZXJC-UHFFFAOYSA-N 1 2 319.449 1.499 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1cncc(C#N)n1 ZINC001113352327 765617525 /nfs/dbraw/zinc/61/75/25/765617525.db2.gz QAGKMZZAKKRTBQ-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1cncc(C#N)n1 ZINC001113352327 765617533 /nfs/dbraw/zinc/61/75/33/765617533.db2.gz QAGKMZZAKKRTBQ-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO N#CCN1CCC[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC001052406845 765797470 /nfs/dbraw/zinc/79/74/70/765797470.db2.gz NKNXWMRGIXVYBJ-AWEZNQCLSA-N 1 2 315.421 1.256 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001057765121 765842245 /nfs/dbraw/zinc/84/22/45/765842245.db2.gz NPAQUIFBAFUTKP-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCOCC(C)C)CC2)nc1 ZINC001113559090 765864411 /nfs/dbraw/zinc/86/44/11/765864411.db2.gz CAIHDEYOJDJBEE-UHFFFAOYSA-N 1 2 315.417 1.493 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccccc2N(C)C)CC1 ZINC001113722411 766061915 /nfs/dbraw/zinc/06/19/15/766061915.db2.gz ULPZQDICKXYNOJ-UHFFFAOYSA-N 1 2 317.433 1.713 20 30 DDEDLO Cc1nc(N2CCC(NC(=O)Cn3cc[nH+]c3)CC2)ccc1C#N ZINC001057880473 766116364 /nfs/dbraw/zinc/11/63/64/766116364.db2.gz DBEAODMOGFVNHV-UHFFFAOYSA-N 1 2 324.388 1.243 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113789210 766140345 /nfs/dbraw/zinc/14/03/45/766140345.db2.gz ZJNLYVOIXHVYFQ-SUMWQHHRSA-N 1 2 320.437 1.955 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001096174963 768356548 /nfs/dbraw/zinc/35/65/48/768356548.db2.gz HLZJZPIHRKQQOE-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CCCN(c2ccc(C#N)nc2)C1 ZINC001058181651 766291405 /nfs/dbraw/zinc/29/14/05/766291405.db2.gz DDOZYMQRYZWCBZ-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(CC)o3)C[C@H]21 ZINC001114024798 766536002 /nfs/dbraw/zinc/53/60/02/766536002.db2.gz FRCBQIGVYMCIJX-UUIJZJDISA-N 1 2 304.394 1.706 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(CC)o3)C[C@H]21 ZINC001114024798 766536006 /nfs/dbraw/zinc/53/60/06/766536006.db2.gz FRCBQIGVYMCIJX-UUIJZJDISA-N 1 2 304.394 1.706 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001114198781 766770780 /nfs/dbraw/zinc/77/07/80/766770780.db2.gz IOXXBEKRGUJDRA-UOIKSKOESA-N 1 2 313.401 1.902 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001114198781 766770787 /nfs/dbraw/zinc/77/07/87/766770787.db2.gz IOXXBEKRGUJDRA-UOIKSKOESA-N 1 2 313.401 1.902 20 30 DDEDLO CCC[C@@H]1C[C@H]1C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046061758 766875050 /nfs/dbraw/zinc/87/50/50/766875050.db2.gz OKQTUXWSQBVHGB-BZUAXINKSA-N 1 2 304.438 1.165 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CC[C@](C)(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001046252859 767302642 /nfs/dbraw/zinc/30/26/42/767302642.db2.gz LGCLEXIHASFJKW-PXAZEXFGSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CC[C@](C)(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001046252859 767302644 /nfs/dbraw/zinc/30/26/44/767302644.db2.gz LGCLEXIHASFJKW-PXAZEXFGSA-N 1 2 324.388 1.632 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001046317494 767415320 /nfs/dbraw/zinc/41/53/20/767415320.db2.gz HFTWVRIOVASVON-PBHICJAKSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001046317494 767415323 /nfs/dbraw/zinc/41/53/23/767415323.db2.gz HFTWVRIOVASVON-PBHICJAKSA-N 1 2 302.422 1.986 20 30 DDEDLO C#CC[N@H+]1CC[C@](C)(NC(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001046318748 767416390 /nfs/dbraw/zinc/41/63/90/767416390.db2.gz OAZOSAMHENATIY-ABAIWWIYSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@@H+]1CC[C@](C)(NC(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001046318748 767416394 /nfs/dbraw/zinc/41/63/94/767416394.db2.gz OAZOSAMHENATIY-ABAIWWIYSA-N 1 2 307.419 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc3nnnn3c2)C1 ZINC001046479706 767613670 /nfs/dbraw/zinc/61/36/70/767613670.db2.gz RXUDNOSGINPSJF-CQSZACIVSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc3nnnn3c2)C1 ZINC001046479706 767613672 /nfs/dbraw/zinc/61/36/72/767613672.db2.gz RXUDNOSGINPSJF-CQSZACIVSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2coc(C)n2)C1 ZINC001046629882 767753479 /nfs/dbraw/zinc/75/34/79/767753479.db2.gz KLQWEZINSZAVRU-VYDXJSESSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2coc(C)n2)C1 ZINC001046629882 767753484 /nfs/dbraw/zinc/75/34/84/767753484.db2.gz KLQWEZINSZAVRU-VYDXJSESSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc3nncn3c2)C1 ZINC001046700125 767816778 /nfs/dbraw/zinc/81/67/78/767816778.db2.gz VZORAPIXPOEBQT-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc3nncn3c2)C1 ZINC001046700125 767816787 /nfs/dbraw/zinc/81/67/87/767816787.db2.gz VZORAPIXPOEBQT-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO CCC[C@H](C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130951266 767819485 /nfs/dbraw/zinc/81/94/85/767819485.db2.gz FORAHBCKYRNNRQ-BFHYXJOUSA-N 1 2 303.410 1.264 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001046708316 767827791 /nfs/dbraw/zinc/82/77/91/767827791.db2.gz BXDVMMLZENSCNI-HNNXBMFYSA-N 1 2 324.812 1.210 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001046708316 767827798 /nfs/dbraw/zinc/82/77/98/767827798.db2.gz BXDVMMLZENSCNI-HNNXBMFYSA-N 1 2 324.812 1.210 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCn2cccn2)CC[C@H]1C ZINC001131820945 768389067 /nfs/dbraw/zinc/38/90/67/768389067.db2.gz LGUVLAZSLINCHC-KGLIPLIRSA-N 1 2 310.829 1.995 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCn2cccn2)CC[C@H]1C ZINC001131820945 768389074 /nfs/dbraw/zinc/38/90/74/768389074.db2.gz LGUVLAZSLINCHC-KGLIPLIRSA-N 1 2 310.829 1.995 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CN(C)C(C)=O)CC[C@@H]1C ZINC001131830803 768411142 /nfs/dbraw/zinc/41/11/42/768411142.db2.gz VEVGXXPKDXPULC-WCQYABFASA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CN(C)C(C)=O)CC[C@@H]1C ZINC001131830803 768411143 /nfs/dbraw/zinc/41/11/43/768411143.db2.gz VEVGXXPKDXPULC-WCQYABFASA-N 1 2 301.818 1.186 20 30 DDEDLO CN(C(=O)C1(C)CC1)[C@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047389985 768443504 /nfs/dbraw/zinc/44/35/04/768443504.db2.gz VYLSWELDLNKORP-HOTGVXAUSA-N 1 2 313.401 1.362 20 30 DDEDLO CN(C(=O)C1(C)CC1)[C@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047389985 768443509 /nfs/dbraw/zinc/44/35/09/768443509.db2.gz VYLSWELDLNKORP-HOTGVXAUSA-N 1 2 313.401 1.362 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)Cc2ccccc2)C[C@H]1NCC#N ZINC001070660676 768650281 /nfs/dbraw/zinc/65/02/81/768650281.db2.gz MUWYUEMMZRTETD-HZPDHXFCSA-N 1 2 323.400 1.070 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nnc(C3CC3)o2)cc1 ZINC001132215713 768688092 /nfs/dbraw/zinc/68/80/92/768688092.db2.gz GNVWVECCVFUTJD-UHFFFAOYSA-N 1 2 310.357 1.448 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C2(C)CC(=C)C2)CC[C@@H]1C ZINC001132273881 768730764 /nfs/dbraw/zinc/73/07/64/768730764.db2.gz LHHIVDLIPAWDPQ-LSDHHAIUSA-N 1 2 317.433 1.061 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C2(C)CC(=C)C2)CC[C@@H]1C ZINC001132273881 768730766 /nfs/dbraw/zinc/73/07/66/768730766.db2.gz LHHIVDLIPAWDPQ-LSDHHAIUSA-N 1 2 317.433 1.061 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC=CCC2)CC1 ZINC001070938527 768797585 /nfs/dbraw/zinc/79/75/85/768797585.db2.gz XUKRSPUCXJUCPF-HNNXBMFYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC=CCC2)CC1 ZINC001070938527 768797593 /nfs/dbraw/zinc/79/75/93/768797593.db2.gz XUKRSPUCXJUCPF-HNNXBMFYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)c2[nH]c(C)cc2C)CC1 ZINC001070975320 768826937 /nfs/dbraw/zinc/82/69/37/768826937.db2.gz KSQHODNPIISHQG-UHFFFAOYSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)c2[nH]c(C)cc2C)CC1 ZINC001070975320 768826944 /nfs/dbraw/zinc/82/69/44/768826944.db2.gz KSQHODNPIISHQG-UHFFFAOYSA-N 1 2 318.421 1.082 20 30 DDEDLO CCCCC(=O)NCC[NH+]1CCN(c2ccncc2C#N)CC1 ZINC001096266736 768851186 /nfs/dbraw/zinc/85/11/86/768851186.db2.gz WIBWVHLIKUUYPN-UHFFFAOYSA-N 1 2 315.421 1.382 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001071032400 768900472 /nfs/dbraw/zinc/90/04/72/768900472.db2.gz POCMMRVWEPSULU-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(c2cncc(Cl)n2)CC1 ZINC001096272419 768902653 /nfs/dbraw/zinc/90/26/53/768902653.db2.gz QWPRKSHRSDDWGX-UHFFFAOYSA-N 1 2 323.828 1.334 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)COC)C[C@H](C)O2 ZINC001071110644 768957102 /nfs/dbraw/zinc/95/71/02/768957102.db2.gz RQBVUDHXNXIUBW-JSGCOSHPSA-N 1 2 302.802 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)COC)C[C@H](C)O2 ZINC001071110644 768957105 /nfs/dbraw/zinc/95/71/05/768957105.db2.gz RQBVUDHXNXIUBW-JSGCOSHPSA-N 1 2 302.802 1.077 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(c2cc(F)ncn2)CC1 ZINC001096300361 769086781 /nfs/dbraw/zinc/08/67/81/769086781.db2.gz UCWRTTHZZGPGEP-UHFFFAOYSA-N 1 2 321.400 1.210 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071177273 769103442 /nfs/dbraw/zinc/10/34/42/769103442.db2.gz UIMNTBGYOVLLRE-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001071267140 769212907 /nfs/dbraw/zinc/21/29/07/769212907.db2.gz DUPIDFIBWGMZRE-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001071267140 769212914 /nfs/dbraw/zinc/21/29/14/769212914.db2.gz DUPIDFIBWGMZRE-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001071272124 769218813 /nfs/dbraw/zinc/21/88/13/769218813.db2.gz GWCULYKILVMSQO-QAPCUYQASA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001071272124 769218824 /nfs/dbraw/zinc/21/88/24/769218824.db2.gz GWCULYKILVMSQO-QAPCUYQASA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnnn2CC)CC[C@@H]1C ZINC001071485397 769501014 /nfs/dbraw/zinc/50/10/14/769501014.db2.gz OEBAINQIUUJGAW-RYUDHWBXSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnnn2CC)CC[C@@H]1C ZINC001071485397 769501023 /nfs/dbraw/zinc/50/10/23/769501023.db2.gz OEBAINQIUUJGAW-RYUDHWBXSA-N 1 2 311.817 1.633 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1[nH]ncc1F)C2 ZINC001048559627 769531753 /nfs/dbraw/zinc/53/17/53/769531753.db2.gz ZKNJTDOASHZKMD-CLLJXQQHSA-N 1 2 304.369 1.545 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1[nH]ncc1F)C2 ZINC001048559627 769531762 /nfs/dbraw/zinc/53/17/62/769531762.db2.gz ZKNJTDOASHZKMD-CLLJXQQHSA-N 1 2 304.369 1.545 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@H]1CCN(c2ncccc2C#N)C1 ZINC001096408550 769750058 /nfs/dbraw/zinc/75/00/58/769750058.db2.gz CTDVOYNEBGVUIA-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nc(CCC)no2)CCC1 ZINC001133392358 769772570 /nfs/dbraw/zinc/77/25/70/769772570.db2.gz SXWAUVSXIURJKV-UHFFFAOYSA-N 1 2 306.410 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@H]1C ZINC001071776320 770048512 /nfs/dbraw/zinc/04/85/12/770048512.db2.gz QHJLJMKHPPVEBC-MNOVXSKESA-N 1 2 310.785 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@H]1C ZINC001071776320 770048520 /nfs/dbraw/zinc/04/85/20/770048520.db2.gz QHJLJMKHPPVEBC-MNOVXSKESA-N 1 2 310.785 1.105 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCc2c[nH+]cn2C)C1 ZINC001071786919 770070814 /nfs/dbraw/zinc/07/08/14/770070814.db2.gz KOTYRJRQGJFEQC-DZGCQCFKSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001071994552 770404377 /nfs/dbraw/zinc/40/43/77/770404377.db2.gz CLCWBVDEWHIMDS-CABCVRRESA-N 1 2 316.405 1.040 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001096492696 770475552 /nfs/dbraw/zinc/47/55/52/770475552.db2.gz ZJKKGUMSOSRSFC-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001096492696 770475559 /nfs/dbraw/zinc/47/55/59/770475559.db2.gz ZJKKGUMSOSRSFC-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cnsn1 ZINC001049341395 770709959 /nfs/dbraw/zinc/70/99/59/770709959.db2.gz NCOQWIBTKGBLFR-NEPJUHHUSA-N 1 2 312.826 1.970 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cnsn1 ZINC001049341395 770709961 /nfs/dbraw/zinc/70/99/61/770709961.db2.gz NCOQWIBTKGBLFR-NEPJUHHUSA-N 1 2 312.826 1.970 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH+]cn2C)C[C@H]1C ZINC001072513324 771003489 /nfs/dbraw/zinc/00/34/89/771003489.db2.gz NRCTVGSUWSXWMF-UKRRQHHQSA-N 1 2 318.421 1.282 20 30 DDEDLO COC(=O)[C@H](Cc1ccc(C#N)cc1)[NH2+][C@@H]1CCCOCC1 ZINC001170802967 771393445 /nfs/dbraw/zinc/39/34/45/771393445.db2.gz GHJKYYAEGLDSIR-CVEARBPZSA-N 1 2 302.374 1.801 20 30 DDEDLO Cc1nc(N[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C2CC2)ccc1C#N ZINC001096711917 771409415 /nfs/dbraw/zinc/40/94/15/771409415.db2.gz HSSYVKKJMWCBOU-CQSZACIVSA-N 1 2 324.388 1.534 20 30 DDEDLO N#Cc1ccc(N[C@H](CNC(=O)CCc2c[nH]c[nH+]2)C2CC2)nc1 ZINC001096840185 771480114 /nfs/dbraw/zinc/48/01/14/771480114.db2.gz SBMCYPWERMCRTM-OAHLLOKOSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(N[C@H](CNC(=O)CCc2c[nH+]c[nH]2)C2CC2)nc1 ZINC001096840185 771480116 /nfs/dbraw/zinc/48/01/16/771480116.db2.gz SBMCYPWERMCRTM-OAHLLOKOSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccnc2C)[C@H](O)C1 ZINC001090532470 771935698 /nfs/dbraw/zinc/93/56/98/771935698.db2.gz RSMPSOMARPRULY-ZIAGYGMSSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccnc2C)[C@H](O)C1 ZINC001090532470 771935702 /nfs/dbraw/zinc/93/57/02/771935702.db2.gz RSMPSOMARPRULY-ZIAGYGMSSA-N 1 2 309.797 1.308 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C12CCC2 ZINC001090598740 772027356 /nfs/dbraw/zinc/02/73/56/772027356.db2.gz HQBCIXHJLKRNFX-KGLIPLIRSA-N 1 2 316.405 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2nc(C)oc2C)[C@@H](O)C1 ZINC001090673179 772100189 /nfs/dbraw/zinc/10/01/89/772100189.db2.gz IWIUPWWGWHOJRF-NEPJUHHUSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2nc(C)oc2C)[C@@H](O)C1 ZINC001090673179 772100191 /nfs/dbraw/zinc/10/01/91/772100191.db2.gz IWIUPWWGWHOJRF-NEPJUHHUSA-N 1 2 313.785 1.209 20 30 DDEDLO O=C(CCS)N1Cc2c[nH+]cn2C[C@H](COCC2CC2)C1 ZINC001143979574 772367588 /nfs/dbraw/zinc/36/75/88/772367588.db2.gz YPFYLNZFQSSRNF-CYBMUJFWSA-N 1 2 309.435 1.588 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC001091410945 772733448 /nfs/dbraw/zinc/73/34/48/772733448.db2.gz KNVQLSGHYUEACY-XBXGTLAGSA-N 1 2 324.388 1.534 20 30 DDEDLO NC(=O)c1ccc(C(=[NH2+])Nc2cccc3cc(N)cnc32)cc1 ZINC001171259096 772753027 /nfs/dbraw/zinc/75/30/27/772753027.db2.gz VOEMPEWHAHAHEC-UHFFFAOYSA-N 1 2 305.341 1.953 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+](Cc1cscn1)C2 ZINC001147255903 773081671 /nfs/dbraw/zinc/08/16/71/773081671.db2.gz CTKDZKXMVDAKSW-UHFFFAOYSA-N 1 2 307.419 1.380 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1cscn1)C2 ZINC001147255903 773081672 /nfs/dbraw/zinc/08/16/72/773081672.db2.gz CTKDZKXMVDAKSW-UHFFFAOYSA-N 1 2 307.419 1.380 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@H+](Cc1nccs1)C2 ZINC001147498689 773153454 /nfs/dbraw/zinc/15/34/54/773153454.db2.gz FMYGRLRJRGHHCQ-UHFFFAOYSA-N 1 2 303.431 1.837 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@@H+](Cc1nccs1)C2 ZINC001147498689 773153457 /nfs/dbraw/zinc/15/34/57/773153457.db2.gz FMYGRLRJRGHHCQ-UHFFFAOYSA-N 1 2 303.431 1.837 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001148130707 773373958 /nfs/dbraw/zinc/37/39/58/773373958.db2.gz OUGLCZKPCNSUGT-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001148130707 773373965 /nfs/dbraw/zinc/37/39/65/773373965.db2.gz OUGLCZKPCNSUGT-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001074036779 773599122 /nfs/dbraw/zinc/59/91/22/773599122.db2.gz QVUIHDOZRKNDKS-KGLIPLIRSA-N 1 2 304.394 1.203 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CC4(CC4)C3)C[C@@H]21 ZINC001074336548 773834153 /nfs/dbraw/zinc/83/41/53/773834153.db2.gz RKPIQBVKRMOFJD-JKSUJKDBSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CC4(CC4)C3)C[C@@H]21 ZINC001074336548 773834156 /nfs/dbraw/zinc/83/41/56/773834156.db2.gz RKPIQBVKRMOFJD-JKSUJKDBSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cncc(C#C)c3)C[C@H]21 ZINC001074337139 773835565 /nfs/dbraw/zinc/83/55/65/773835565.db2.gz YXRYVBLLZADUCQ-MSOLQXFVSA-N 1 2 323.396 1.002 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cncc(C#C)c3)C[C@H]21 ZINC001074337139 773835568 /nfs/dbraw/zinc/83/55/68/773835568.db2.gz YXRYVBLLZADUCQ-MSOLQXFVSA-N 1 2 323.396 1.002 20 30 DDEDLO C=C(Cl)CN1CCO[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C[C@H]21 ZINC001074371942 773864593 /nfs/dbraw/zinc/86/45/93/773864593.db2.gz OQLALFQHDMQRSA-CHWSQXEVSA-N 1 2 324.812 1.006 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nnc(C(C)(C)C)o2)[C@@H]1C ZINC001074568546 774001159 /nfs/dbraw/zinc/00/11/59/774001159.db2.gz RWWSTIZWYCVRCQ-SRVKXCTJSA-N 1 2 319.409 1.606 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CCCOC1)c1nccn12 ZINC001092351324 774069425 /nfs/dbraw/zinc/06/94/25/774069425.db2.gz BEQXIRRBZYJZIB-UONOGXRCSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CCOCC1)c1nccn12 ZINC001092341946 774091278 /nfs/dbraw/zinc/09/12/78/774091278.db2.gz GKNGWPDEQBFBOQ-CQSZACIVSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@@H](CNc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001092652677 774200017 /nfs/dbraw/zinc/20/00/17/774200017.db2.gz VRULNMRJDGJLIL-UMVBOHGHSA-N 1 2 318.421 1.883 20 30 DDEDLO CCc1nc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H](C)C#N)C3)cc(C)[nH+]1 ZINC001092966501 774340635 /nfs/dbraw/zinc/34/06/35/774340635.db2.gz BVLXATLDXAYECW-ZRJCITRHSA-N 1 2 313.405 1.733 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001075141816 774378614 /nfs/dbraw/zinc/37/86/14/774378614.db2.gz JKIUBTKXONGUMV-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CC(C)C)C2)nn1 ZINC001098602054 774619438 /nfs/dbraw/zinc/61/94/38/774619438.db2.gz ZBWHDXYDFSFMEA-HNNXBMFYSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)c3ccccc3C)C2)nn1 ZINC001098600824 774619449 /nfs/dbraw/zinc/61/94/49/774619449.db2.gz HATOJKHPLNLXLY-INIZCTEOSA-N 1 2 323.400 1.397 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C[C@H](C)CC)C2)nn1 ZINC001098665475 774635125 /nfs/dbraw/zinc/63/51/25/774635125.db2.gz ZBOPBMGWQGDPRB-HIFRSBDPSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CCN1CC(OC2CCN(C(=O)Cc3[nH]c[nH+]c3C)CC2)C1 ZINC001093591633 774874464 /nfs/dbraw/zinc/87/44/64/774874464.db2.gz KGVALHDBVARWMQ-UHFFFAOYSA-N 1 2 318.421 1.138 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)CCNC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001099713015 775171946 /nfs/dbraw/zinc/17/19/46/775171946.db2.gz JONCKNAHPFNIEU-LBPRGKRZSA-N 1 2 312.377 1.534 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)ccc1C#N ZINC001094130461 775467081 /nfs/dbraw/zinc/46/70/81/775467081.db2.gz XRPGONWMADBJHJ-ZIAGYGMSSA-N 1 2 324.388 1.327 20 30 DDEDLO N#Cc1nc(NCCNC(=O)Cc2c[nH]c[nH+]2)sc1Cl ZINC001094150550 775493201 /nfs/dbraw/zinc/49/32/01/775493201.db2.gz OMRNFIHYCJLECE-UHFFFAOYSA-N 1 2 310.770 1.162 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2snnc2C)C[C@H]1O ZINC001099977273 775510164 /nfs/dbraw/zinc/51/01/64/775510164.db2.gz WVPNOGRBCOEYOI-QWHCGFSZSA-N 1 2 324.450 1.254 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2snnc2C)C[C@H]1O ZINC001099977273 775510174 /nfs/dbraw/zinc/51/01/74/775510174.db2.gz WVPNOGRBCOEYOI-QWHCGFSZSA-N 1 2 324.450 1.254 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)C[C@H](C)n2cc[nH+]c2)n1 ZINC001094168018 775511737 /nfs/dbraw/zinc/51/17/37/775511737.db2.gz SOKNNKZYQNEGDI-ZDUSSCGKSA-N 1 2 312.377 1.638 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCC2(C)CC2)[C@@H](O)C1 ZINC001100060660 775632796 /nfs/dbraw/zinc/63/27/96/775632796.db2.gz WOCAHRLBXCWINK-STQMWFEESA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCC2(C)CC2)[C@@H](O)C1 ZINC001100060660 775632802 /nfs/dbraw/zinc/63/28/02/775632802.db2.gz WOCAHRLBXCWINK-STQMWFEESA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)nn2)C1 ZINC001094312758 775667321 /nfs/dbraw/zinc/66/73/21/775667321.db2.gz PVFISZVGBGYLLT-WDNDVIMCSA-N 1 2 315.421 1.373 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CC[C@H](F)C3)nn2)C1 ZINC001094314622 775670679 /nfs/dbraw/zinc/67/06/79/775670679.db2.gz YULYNUDFPSYFJP-RYUDHWBXSA-N 1 2 307.373 1.075 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3CCC(=C)CC3)nn2)C1 ZINC001094315732 775672422 /nfs/dbraw/zinc/67/24/22/775672422.db2.gz ZJTFHKXXAOMPDI-UHFFFAOYSA-N 1 2 315.421 1.683 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100348546 776033642 /nfs/dbraw/zinc/03/36/42/776033642.db2.gz SMDYMSYWFDCFOJ-UHFFFAOYSA-N 1 2 316.409 1.518 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3oc(C)cc3C)CC2=O)C1 ZINC001094714845 776181289 /nfs/dbraw/zinc/18/12/89/776181289.db2.gz CKFSRYFAVLRLON-ZDUSSCGKSA-N 1 2 317.389 1.097 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CC3CCCC3)CC2=O)C1 ZINC001094697707 776208799 /nfs/dbraw/zinc/20/87/99/776208799.db2.gz QLYOGLZVLDRGRC-HNNXBMFYSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3(C4CC4)CCC3)CC2=O)C1 ZINC001094765943 776224924 /nfs/dbraw/zinc/22/49/24/776224924.db2.gz DERDXZWRGQYIAC-CQSZACIVSA-N 1 2 317.433 1.154 20 30 DDEDLO C=CCCCC(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094740689 776240853 /nfs/dbraw/zinc/24/08/53/776240853.db2.gz IPKWVVPCLZSPCD-UHFFFAOYSA-N 1 2 306.414 1.521 20 30 DDEDLO Cc1cc(N(C)CCNC(=O)CSCC#N)nc(C2CC2)[nH+]1 ZINC001099937352 776442905 /nfs/dbraw/zinc/44/29/05/776442905.db2.gz LNOCPTOUUCBSTD-UHFFFAOYSA-N 1 2 319.434 1.472 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H]1CCCN1c1ccncc1C#N ZINC001100849151 776628887 /nfs/dbraw/zinc/62/88/87/776628887.db2.gz JKUJDLXNFNUQDV-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001100946685 776745112 /nfs/dbraw/zinc/74/51/12/776745112.db2.gz XSNIXYZSLQQCAP-HNNXBMFYSA-N 1 2 318.421 1.547 20 30 DDEDLO Cc1nc(N2CC[C@H](CNC(=O)C#CC(C)(C)C)C2)cc[nH+]1 ZINC001100972489 776780029 /nfs/dbraw/zinc/78/00/29/776780029.db2.gz RDOGNYVIJRHFLS-CQSZACIVSA-N 1 2 300.406 1.777 20 30 DDEDLO COC(=O)[C@@H](Cc1ccc(C#N)cc1)[NH2+][C@H]1CCO[C@@H](C)C1 ZINC001173171114 777044214 /nfs/dbraw/zinc/04/42/14/777044214.db2.gz HGRMIVVNUQZMFS-VBNZEHGJSA-N 1 2 302.374 1.799 20 30 DDEDLO Cc1nc(N(CCNC(=O)C#CC2CC2)C2CC2)c(C)c(C)[nH+]1 ZINC001101334120 777080133 /nfs/dbraw/zinc/08/01/33/777080133.db2.gz VGLDRMKUKMDUNL-UHFFFAOYSA-N 1 2 312.417 1.900 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095124645 777147914 /nfs/dbraw/zinc/14/79/14/777147914.db2.gz VNBIIOYLOAHNGR-AWEZNQCLSA-N 1 2 304.394 1.415 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](CC)SC)c1nccn12 ZINC001101620685 777313651 /nfs/dbraw/zinc/31/36/51/777313651.db2.gz QEURSKRCDWAWAP-OLZOCXBDSA-N 1 2 320.462 1.783 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C(F)F)CC1)c1nccn12 ZINC001101627782 777323131 /nfs/dbraw/zinc/32/31/31/777323131.db2.gz MXUWOTQUTPNJLI-LLVKDONJSA-N 1 2 322.359 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C[C@H](C)COC)C1 ZINC001150528328 777933716 /nfs/dbraw/zinc/93/37/16/777933716.db2.gz UYVZRUPWTWOUSJ-JSGCOSHPSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C[C@H](C)COC)C1 ZINC001150528328 777933721 /nfs/dbraw/zinc/93/37/21/777933721.db2.gz UYVZRUPWTWOUSJ-JSGCOSHPSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102440330 778142553 /nfs/dbraw/zinc/14/25/53/778142553.db2.gz YYGZZYPHRDXMLQ-WMLDXEAASA-N 1 2 318.421 1.397 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC3CC3)C[C@@H]21 ZINC001176955833 778348702 /nfs/dbraw/zinc/34/87/02/778348702.db2.gz ZXWQWPXQGUVFOF-IRXDYDNUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC3CC3)C[C@@H]21 ZINC001176955833 778348709 /nfs/dbraw/zinc/34/87/09/778348709.db2.gz ZXWQWPXQGUVFOF-IRXDYDNUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]2OCC[N@H+](CCCO)[C@H]2C1 ZINC001177020031 778395560 /nfs/dbraw/zinc/39/55/60/778395560.db2.gz VHADUWRPZBLTEP-LSDHHAIUSA-N 1 2 310.438 1.273 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]2OCC[N@@H+](CCCO)[C@H]2C1 ZINC001177020031 778395566 /nfs/dbraw/zinc/39/55/66/778395566.db2.gz VHADUWRPZBLTEP-LSDHHAIUSA-N 1 2 310.438 1.273 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOCC=C)[C@H]2C1 ZINC001177089605 778441709 /nfs/dbraw/zinc/44/17/09/778441709.db2.gz TVDYFQFNUHXEFC-IRXDYDNUSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC=C)[C@H]2C1 ZINC001177089605 778441712 /nfs/dbraw/zinc/44/17/12/778441712.db2.gz TVDYFQFNUHXEFC-IRXDYDNUSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CCOC)[C@@H]3C2)CCC1 ZINC001177100190 778446811 /nfs/dbraw/zinc/44/68/11/778446811.db2.gz APGXDSCBHSCJGL-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]3OCC[N@H+](CCOC)[C@@H]3C2)CCC1 ZINC001177100190 778446813 /nfs/dbraw/zinc/44/68/13/778446813.db2.gz APGXDSCBHSCJGL-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO CC[C@@H](CNC(=O)Cn1cc[nH+]c1)Nc1cccc(F)c1C#N ZINC001103118095 778649716 /nfs/dbraw/zinc/64/97/16/778649716.db2.gz QGPBOPLPFIVKAZ-LBPRGKRZSA-N 1 2 315.352 1.901 20 30 DDEDLO C[N@@H+]1CCN(C(=O)C#Cc2cccnc2)[C@H](c2ccccc2)C1 ZINC001177773410 778712644 /nfs/dbraw/zinc/71/26/44/778712644.db2.gz TYBQPBMBAVIXDC-SFHVURJKSA-N 1 2 305.381 1.948 20 30 DDEDLO C[N@H+]1CCN(C(=O)C#Cc2cccnc2)[C@H](c2ccccc2)C1 ZINC001177773410 778712651 /nfs/dbraw/zinc/71/26/51/778712651.db2.gz TYBQPBMBAVIXDC-SFHVURJKSA-N 1 2 305.381 1.948 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCCN(C(=O)[C@@H](C)n2cc[nH+]c2)C1 ZINC001177925793 778787540 /nfs/dbraw/zinc/78/75/40/778787540.db2.gz QXZKIKFNYYBTEN-OLZOCXBDSA-N 1 2 306.366 1.347 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1snc(C)c1C#N ZINC001103334733 778797020 /nfs/dbraw/zinc/79/70/20/778797020.db2.gz LIMCPMPRTSUYIO-JTQLQIEISA-N 1 2 318.406 1.596 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc([C@@H](C)OC)no2)[C@@H]1C ZINC001178320437 779002598 /nfs/dbraw/zinc/00/25/98/779002598.db2.gz UQMJKHSWNFTNPC-XQQFMLRXSA-N 1 2 322.409 1.822 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104190972 779361040 /nfs/dbraw/zinc/36/10/40/779361040.db2.gz ZKWYXTJYWWLAQG-GFCCVEGCSA-N 1 2 320.441 1.767 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)[C@@H]1C ZINC001179454644 779382143 /nfs/dbraw/zinc/38/21/43/779382143.db2.gz FFANOQIWANBLRS-STQMWFEESA-N 1 2 322.409 1.651 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001112000087 779593183 /nfs/dbraw/zinc/59/31/83/779593183.db2.gz HTHWAJLSDORJIG-HNNXBMFYSA-N 1 2 316.405 1.300 20 30 DDEDLO C#CCCCC(=O)NC1(CNc2cc[nH+]c(C)n2)CCOCC1 ZINC001112251317 779675658 /nfs/dbraw/zinc/67/56/58/779675658.db2.gz ZAHMQSJJECBONW-UHFFFAOYSA-N 1 2 316.405 1.666 20 30 DDEDLO C[C@H](CN(C)C(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)nc1 ZINC001115688441 780344852 /nfs/dbraw/zinc/34/48/52/780344852.db2.gz BOPIMNMPIMLKAM-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)N(C)c1nc2ccccc2s1 ZINC001116402004 780506489 /nfs/dbraw/zinc/50/64/89/780506489.db2.gz VIKONWXQVPGBSV-UHFFFAOYSA-N 1 2 317.414 1.841 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)N(C)c1nc2ccccc2s1 ZINC001116402004 780506493 /nfs/dbraw/zinc/50/64/93/780506493.db2.gz VIKONWXQVPGBSV-UHFFFAOYSA-N 1 2 317.414 1.841 20 30 DDEDLO C=C(CO)C(=O)N[C@H]1CCc2[nH+]c(C3CCCCC3)[nH]c2C1 ZINC001119570015 781518232 /nfs/dbraw/zinc/51/82/32/781518232.db2.gz XCDSXDASGJMJNE-ZDUSSCGKSA-N 1 2 303.406 1.979 20 30 DDEDLO C=C(CO)C(=O)N[C@H]1CCc2[nH]c(C3CCCCC3)[nH+]c2C1 ZINC001119570015 781518240 /nfs/dbraw/zinc/51/82/40/781518240.db2.gz XCDSXDASGJMJNE-ZDUSSCGKSA-N 1 2 303.406 1.979 20 30 DDEDLO C[C@H](CC(=O)N1CCC([C@@H](C)NCC#N)CC1)n1cc[nH+]c1 ZINC001267208569 837536192 /nfs/dbraw/zinc/53/61/92/837536192.db2.gz SHZGKNVVKHSBFQ-ZIAGYGMSSA-N 1 2 303.410 1.574 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCC[N@H+]1Cc1nccn1C ZINC001267264584 837697671 /nfs/dbraw/zinc/69/76/71/837697671.db2.gz VBPZCFKMLPPRNL-OAHLLOKOSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1nccn1C ZINC001267264584 837697675 /nfs/dbraw/zinc/69/76/75/837697675.db2.gz VBPZCFKMLPPRNL-OAHLLOKOSA-N 1 2 302.422 1.694 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CCC[N@@H+]1Cc1cnnn1C ZINC001267274692 837722138 /nfs/dbraw/zinc/72/21/38/837722138.db2.gz RDGIJRQXOHLPIZ-CZUORRHYSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CCC[N@H+]1Cc1cnnn1C ZINC001267274692 837722145 /nfs/dbraw/zinc/72/21/45/837722145.db2.gz RDGIJRQXOHLPIZ-CZUORRHYSA-N 1 2 305.426 1.498 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)sc2C)C1 ZINC001266320108 836114056 /nfs/dbraw/zinc/11/40/56/836114056.db2.gz YZBRYVBUTSQSJS-AWEZNQCLSA-N 1 2 321.446 1.490 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)sc2C)C1 ZINC001266320108 836114064 /nfs/dbraw/zinc/11/40/64/836114064.db2.gz YZBRYVBUTSQSJS-AWEZNQCLSA-N 1 2 321.446 1.490 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCC[N@@H+](C)Cc1cnoc1C ZINC001266332260 836130308 /nfs/dbraw/zinc/13/03/08/836130308.db2.gz IRFOKUFLBXXLAK-OAHLLOKOSA-N 1 2 322.409 1.002 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCC[N@H+](C)Cc1cnoc1C ZINC001266332260 836130313 /nfs/dbraw/zinc/13/03/13/836130313.db2.gz IRFOKUFLBXXLAK-OAHLLOKOSA-N 1 2 322.409 1.002 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H](C)CNc1cc[nH+]c(C)n1 ZINC001104238085 836221412 /nfs/dbraw/zinc/22/14/12/836221412.db2.gz CJQVYPPPRWOSHW-NOLJZWGESA-N 1 2 318.421 1.930 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H]1CCC[N@@H+]1Cc1ccn(C)n1 ZINC001266449995 836268855 /nfs/dbraw/zinc/26/88/55/836268855.db2.gz GUVKBYBRIJOLBX-GDBMZVCRSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H]1CCC[N@H+]1Cc1ccn(C)n1 ZINC001266449995 836268865 /nfs/dbraw/zinc/26/88/65/836268865.db2.gz GUVKBYBRIJOLBX-GDBMZVCRSA-N 1 2 320.437 1.482 20 30 DDEDLO CC(C)n1cc(C[N@@H+]2CC[C@H](CNC(=O)C#CC3CC3)C2)nn1 ZINC001266510850 836383699 /nfs/dbraw/zinc/38/36/99/836383699.db2.gz GTEXNPFNWNMDKA-OAHLLOKOSA-N 1 2 315.421 1.211 20 30 DDEDLO CC(C)n1cc(C[N@H+]2CC[C@H](CNC(=O)C#CC3CC3)C2)nn1 ZINC001266510850 836383715 /nfs/dbraw/zinc/38/37/15/836383715.db2.gz GTEXNPFNWNMDKA-OAHLLOKOSA-N 1 2 315.421 1.211 20 30 DDEDLO CCO[C@H](C)c1noc(C[NH2+][C@@H](C)CNC(=O)C#CC(C)C)n1 ZINC001266937220 837083184 /nfs/dbraw/zinc/08/31/84/837083184.db2.gz DTYZETXDTHNUQO-QWHCGFSZSA-N 1 2 322.409 1.421 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nncs2)C1 ZINC001267005455 837175644 /nfs/dbraw/zinc/17/56/44/837175644.db2.gz HSLPUNCKOBNAKI-RYUDHWBXSA-N 1 2 310.423 1.210 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)c1cc(F)ccc1F ZINC001267573078 838336983 /nfs/dbraw/zinc/33/69/83/838336983.db2.gz IEXSZTOIUOOSIM-UHFFFAOYSA-N 1 2 322.359 1.178 20 30 DDEDLO COc1nccc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC(C)C)C2)n1 ZINC001267614291 838470798 /nfs/dbraw/zinc/47/07/98/838470798.db2.gz GOUAJNNRPVPVDS-CQSZACIVSA-N 1 2 316.405 1.225 20 30 DDEDLO COc1nccc(C[N@H+]2CCC[C@@H](NC(=O)C#CC(C)C)C2)n1 ZINC001267614291 838470802 /nfs/dbraw/zinc/47/08/02/838470802.db2.gz GOUAJNNRPVPVDS-CQSZACIVSA-N 1 2 316.405 1.225 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2coc(C)c2)C1 ZINC001267624528 838519244 /nfs/dbraw/zinc/51/92/44/838519244.db2.gz HYZMOPRXWFDKLA-AWEZNQCLSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2coc(C)c2)C1 ZINC001267624528 838519249 /nfs/dbraw/zinc/51/92/49/838519249.db2.gz HYZMOPRXWFDKLA-AWEZNQCLSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NC2CC2)C1 ZINC001267625707 838521738 /nfs/dbraw/zinc/52/17/38/838521738.db2.gz CAHRDQQXINBTRA-INIZCTEOSA-N 1 2 319.449 1.614 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NC2CC2)C1 ZINC001267625707 838521743 /nfs/dbraw/zinc/52/17/43/838521743.db2.gz CAHRDQQXINBTRA-INIZCTEOSA-N 1 2 319.449 1.614 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC2CC2)C1 ZINC001267629995 838532216 /nfs/dbraw/zinc/53/22/16/838532216.db2.gz UJGRLHOBRGWNOC-MAUKXSAKSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001267629995 838532221 /nfs/dbraw/zinc/53/22/21/838532221.db2.gz UJGRLHOBRGWNOC-MAUKXSAKSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@H]2CCO[C@H](CC)C2)C1 ZINC001267664567 838606501 /nfs/dbraw/zinc/60/65/01/838606501.db2.gz MPNQIWOYKJOZCC-GOEBONIOSA-N 1 2 310.438 1.585 20 30 DDEDLO CC(C)C#CC(=O)NCC1C[NH+](CC(=O)NCCC(C)(C)C)C1 ZINC001267680507 838632752 /nfs/dbraw/zinc/63/27/52/838632752.db2.gz NKJAYXCFCRIFFQ-UHFFFAOYSA-N 1 2 321.465 1.246 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](C)C(=O)NCCCC ZINC001267704771 838681701 /nfs/dbraw/zinc/68/17/01/838681701.db2.gz FIWUSJDARRFIBN-JYJNAYRXSA-N 1 2 319.449 1.380 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](C)C(=O)NCCCC ZINC001267704771 838681704 /nfs/dbraw/zinc/68/17/04/838681704.db2.gz FIWUSJDARRFIBN-JYJNAYRXSA-N 1 2 319.449 1.380 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)c2ccccc2F)C1 ZINC001267717662 838720049 /nfs/dbraw/zinc/72/00/49/838720049.db2.gz FEZGHUQLKZHRLL-OLZOCXBDSA-N 1 2 319.380 1.321 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)c2ccccc2F)C1 ZINC001267717662 838720055 /nfs/dbraw/zinc/72/00/55/838720055.db2.gz FEZGHUQLKZHRLL-OLZOCXBDSA-N 1 2 319.380 1.321 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001267746944 838831731 /nfs/dbraw/zinc/83/17/31/838831731.db2.gz CTNBEUGRTCUFOW-CQSZACIVSA-N 1 2 309.454 1.551 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001267746944 838831743 /nfs/dbraw/zinc/83/17/43/838831743.db2.gz CTNBEUGRTCUFOW-CQSZACIVSA-N 1 2 309.454 1.551 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)CCCCC(N)=O)C1 ZINC001267790487 838985558 /nfs/dbraw/zinc/98/55/58/838985558.db2.gz POBJQDZZIZIXNY-ZDUSSCGKSA-N 1 2 315.845 1.707 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)CCCCC(N)=O)C1 ZINC001267790487 838985564 /nfs/dbraw/zinc/98/55/64/838985564.db2.gz POBJQDZZIZIXNY-ZDUSSCGKSA-N 1 2 315.845 1.707 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2C[N@H+](CCOCC(C)C)CCO2)C1 ZINC001268025147 839419027 /nfs/dbraw/zinc/41/90/27/839419027.db2.gz GDQKWKQCOFFCIQ-INIZCTEOSA-N 1 2 324.465 1.832 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2C[N@@H+](CCOCC(C)C)CCO2)C1 ZINC001268025147 839419036 /nfs/dbraw/zinc/41/90/36/839419036.db2.gz GDQKWKQCOFFCIQ-INIZCTEOSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CC1(CC(=O)NC2C[NH+](CCOCCO)C2)CCCCC1 ZINC001268456387 840228570 /nfs/dbraw/zinc/22/85/70/840228570.db2.gz YMRJGZRJNGBEJD-UHFFFAOYSA-N 1 2 310.438 1.322 20 30 DDEDLO C=CC[C@H](C(=O)NC1C[NH+](C[C@@H](O)COC)C1)c1ccccc1 ZINC001268483642 840280309 /nfs/dbraw/zinc/28/03/09/840280309.db2.gz PYWKQKJMQXWNBI-SJORKVTESA-N 1 2 318.417 1.154 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC/C=C\C[NH2+]Cc1nccc(C)n1 ZINC001268591712 840502499 /nfs/dbraw/zinc/50/24/99/840502499.db2.gz BIGMQNMQAUMZSL-NWRQEBOHSA-N 1 2 316.405 1.138 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)C[NH2+]Cc1nc(C(F)F)no1 ZINC001268647095 840625633 /nfs/dbraw/zinc/62/56/33/840625633.db2.gz TWQGAJWGIQTFNE-SECBINFHSA-N 1 2 302.325 1.958 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)CCCCN2C(=O)[C@@H](C)n1cc[nH+]c1 ZINC001269158307 841278705 /nfs/dbraw/zinc/27/87/05/841278705.db2.gz XEZVFJNLZJOMEN-RHSMWYFYSA-N 1 2 316.405 1.614 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001269301467 841491203 /nfs/dbraw/zinc/49/12/03/841491203.db2.gz KXOYQDBJHJZRIP-AWEZNQCLSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001269301467 841491205 /nfs/dbraw/zinc/49/12/05/841491205.db2.gz KXOYQDBJHJZRIP-AWEZNQCLSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](N(CC)C(=O)c2occc2C)C1 ZINC001269307079 841498700 /nfs/dbraw/zinc/49/87/00/841498700.db2.gz NFWWVDYUISVSKU-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2occc2C)C1 ZINC001269307079 841498702 /nfs/dbraw/zinc/49/87/02/841498702.db2.gz NFWWVDYUISVSKU-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)Cc2ccon2)CC1 ZINC001269616892 841827856 /nfs/dbraw/zinc/82/78/56/841827856.db2.gz USELEXFKQHHNHZ-UHFFFAOYSA-N 1 2 302.378 1.444 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)Cc2ccon2)CC1 ZINC001269616892 841827865 /nfs/dbraw/zinc/82/78/65/841827865.db2.gz USELEXFKQHHNHZ-UHFFFAOYSA-N 1 2 302.378 1.444 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2c(C)nn(CC)c2C)C1 ZINC001269861329 842112684 /nfs/dbraw/zinc/11/26/84/842112684.db2.gz FXRGESUWIQAXNY-UHFFFAOYSA-N 1 2 320.437 1.479 20 30 DDEDLO O=C(/C=C\c1ccc(F)cc1)C(=O)N[C@H]1CCn2c[nH+]cc2C1 ZINC001142905572 861300103 /nfs/dbraw/zinc/30/01/03/861300103.db2.gz JCRPOCFLVIWZDU-XSHSDMCLSA-N 1 2 313.332 1.736 20 30 DDEDLO C=CCCC(=O)N[C@]1(C)CC[N@@H+](Cc2nn(CC)nc2C)C1 ZINC001270559341 842666724 /nfs/dbraw/zinc/66/67/24/842666724.db2.gz WXGXTNULCIIWRW-MRXNPFEDSA-N 1 2 305.426 1.653 20 30 DDEDLO C=CCCC(=O)N[C@]1(C)CC[N@H+](Cc2nn(CC)nc2C)C1 ZINC001270559341 842666731 /nfs/dbraw/zinc/66/67/31/842666731.db2.gz WXGXTNULCIIWRW-MRXNPFEDSA-N 1 2 305.426 1.653 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](C)c2c(C)nn(C)c2C)C1 ZINC001270701449 842832790 /nfs/dbraw/zinc/83/27/90/842832790.db2.gz TUASVUJTWJEUJX-WFASDCNBSA-N 1 2 320.437 1.143 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H](C)c2c(C)nn(C)c2C)C1 ZINC001270701449 842832798 /nfs/dbraw/zinc/83/27/98/842832798.db2.gz TUASVUJTWJEUJX-WFASDCNBSA-N 1 2 320.437 1.143 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)C[NH2+]Cc1nc(N(C)C)no1 ZINC001271094108 843208548 /nfs/dbraw/zinc/20/85/48/843208548.db2.gz USQFJYUILNHQCZ-BLLLJJGKSA-N 1 2 323.441 1.674 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C(CC(C)C)CC(C)C)C1 ZINC001271392699 843552513 /nfs/dbraw/zinc/55/25/13/843552513.db2.gz DFOZOGLVCBQHRT-UHFFFAOYSA-N 1 2 308.466 1.881 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)[C@H]4CC=CCC4)C3)C2)cc1C#N ZINC001271530794 843673351 /nfs/dbraw/zinc/67/33/51/843673351.db2.gz TTZCKHIZWDMNOG-INIZCTEOSA-N 1 2 324.428 1.897 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C1(C)C(C)(C)C1(C)C ZINC001272185732 844683499 /nfs/dbraw/zinc/68/34/99/844683499.db2.gz RIQFQQKFZCHFMC-UHFFFAOYSA-N 1 2 323.481 1.751 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)C1(C)C(C)(C)C1(C)C ZINC001272185732 844683502 /nfs/dbraw/zinc/68/35/02/844683502.db2.gz RIQFQQKFZCHFMC-UHFFFAOYSA-N 1 2 323.481 1.751 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cc2n(n1)CCCO2 ZINC001409577356 845347320 /nfs/dbraw/zinc/34/73/20/845347320.db2.gz CWWASIRSMXKGBT-NSHDSACASA-N 1 2 312.801 1.468 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cc2n(n1)CCCO2 ZINC001409577356 845347331 /nfs/dbraw/zinc/34/73/31/845347331.db2.gz CWWASIRSMXKGBT-NSHDSACASA-N 1 2 312.801 1.468 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccccc1OC)C2 ZINC001272601275 846388351 /nfs/dbraw/zinc/38/83/51/846388351.db2.gz POELFMITENRXPT-UHFFFAOYSA-N 1 2 302.374 1.294 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](Cc3ccccc3O)C[C@@]2(F)C1=O ZINC001272618505 846415625 /nfs/dbraw/zinc/41/56/25/846415625.db2.gz JVIGMCGHFJUXRR-JKSUJKDBSA-N 1 2 308.328 1.653 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](Cc3ccccc3O)C[C@@]2(F)C1=O ZINC001272618505 846415630 /nfs/dbraw/zinc/41/56/30/846415630.db2.gz JVIGMCGHFJUXRR-JKSUJKDBSA-N 1 2 308.328 1.653 20 30 DDEDLO C=CCCCCCCC[NH+]1CC2(C1)CN(C1COC1)C(=O)CO2 ZINC001272712952 846698092 /nfs/dbraw/zinc/69/80/92/846698092.db2.gz SYFWDMATTADJPD-UHFFFAOYSA-N 1 2 322.449 1.825 20 30 DDEDLO Cc1cnccc1C[NH+]1CC2(C1)COCC(=O)N2CCCC#N ZINC001272716304 846704764 /nfs/dbraw/zinc/70/47/64/846704764.db2.gz DFYQDXWDTPSMPE-UHFFFAOYSA-N 1 2 314.389 1.107 20 30 DDEDLO Cn1cnc(C[N@@H+]2CCC[C@]23CCN(CCCC#N)C3=O)c1 ZINC001272731866 846737753 /nfs/dbraw/zinc/73/77/53/846737753.db2.gz VXXZAOUGQJSVSA-MRXNPFEDSA-N 1 2 301.394 1.291 20 30 DDEDLO Cn1cnc(C[N@H+]2CCC[C@]23CCN(CCCC#N)C3=O)c1 ZINC001272731866 846737759 /nfs/dbraw/zinc/73/77/59/846737759.db2.gz VXXZAOUGQJSVSA-MRXNPFEDSA-N 1 2 301.394 1.291 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@]1(C)C[N@H+](CC#CC)CCO1 ZINC001107792078 847089783 /nfs/dbraw/zinc/08/97/83/847089783.db2.gz GFJJGIDTPTWIFI-DOTOQJQBSA-N 1 2 308.422 1.198 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001107792078 847089791 /nfs/dbraw/zinc/08/97/91/847089791.db2.gz GFJJGIDTPTWIFI-DOTOQJQBSA-N 1 2 308.422 1.198 20 30 DDEDLO C=CCCC(=O)NC[C@H]1COCC[N@H+]1CC1CC(F)(F)C1 ZINC001272843336 847540070 /nfs/dbraw/zinc/54/00/70/847540070.db2.gz QYZVWXMGFWMWDE-ZDUSSCGKSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CCCC(=O)NC[C@H]1COCC[N@@H+]1CC1CC(F)(F)C1 ZINC001272843336 847540077 /nfs/dbraw/zinc/54/00/77/847540077.db2.gz QYZVWXMGFWMWDE-ZDUSSCGKSA-N 1 2 302.365 1.815 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1CCOCC(F)(F)F ZINC001231293198 849282492 /nfs/dbraw/zinc/28/24/92/849282492.db2.gz KCIMATXAIXRCFF-WDEREUQCSA-N 1 2 307.316 1.258 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1CCOCC(F)(F)F ZINC001231293198 849282502 /nfs/dbraw/zinc/28/25/02/849282502.db2.gz KCIMATXAIXRCFF-WDEREUQCSA-N 1 2 307.316 1.258 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@@H](C)C[NH2+]Cc1nc(C(F)F)no1 ZINC001438631671 850287107 /nfs/dbraw/zinc/28/71/07/850287107.db2.gz FBYUEDYPRITANS-YUMQZZPRSA-N 1 2 301.297 1.103 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](OC)C(C)C)C1 ZINC001150248265 862154172 /nfs/dbraw/zinc/15/41/72/862154172.db2.gz TUMRNMXNAIUXJP-KBPBESRZSA-N 1 2 318.845 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](OC)C(C)C)C1 ZINC001150248265 862154181 /nfs/dbraw/zinc/15/41/81/862154181.db2.gz TUMRNMXNAIUXJP-KBPBESRZSA-N 1 2 318.845 1.617 20 30 DDEDLO CCc1noc(C[N@H+](C)CCCN(C)C(=O)C#CC(C)(C)C)n1 ZINC001273507951 851040077 /nfs/dbraw/zinc/04/00/77/851040077.db2.gz PTCKTFZSUPVJRE-UHFFFAOYSA-N 1 2 320.437 1.962 20 30 DDEDLO CCc1noc(C[N@@H+](C)CCCN(C)C(=O)C#CC(C)(C)C)n1 ZINC001273507951 851040086 /nfs/dbraw/zinc/04/00/86/851040086.db2.gz PTCKTFZSUPVJRE-UHFFFAOYSA-N 1 2 320.437 1.962 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)CCC[N@H+](C)Cc1ccon1 ZINC001273572240 851105337 /nfs/dbraw/zinc/10/53/37/851105337.db2.gz WZBOUHWCIKQCLK-HOTGVXAUSA-N 1 2 321.421 1.936 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)CCC[N@@H+](C)Cc1ccon1 ZINC001273572240 851105338 /nfs/dbraw/zinc/10/53/38/851105338.db2.gz WZBOUHWCIKQCLK-HOTGVXAUSA-N 1 2 321.421 1.936 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)(CC)CC)CO2 ZINC001273729578 851281053 /nfs/dbraw/zinc/28/10/53/851281053.db2.gz VJNQEZLDRZGGPQ-OAHLLOKOSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2Cc2cnc(F)c(OC)c2)C1=O ZINC001273793556 851355907 /nfs/dbraw/zinc/35/59/07/851355907.db2.gz PVFMYGBJKSQMMK-KRWDZBQOSA-N 1 2 319.380 1.982 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2Cc2cnc(F)c(OC)c2)C1=O ZINC001273793556 851355912 /nfs/dbraw/zinc/35/59/12/851355912.db2.gz PVFMYGBJKSQMMK-KRWDZBQOSA-N 1 2 319.380 1.982 20 30 DDEDLO Cn1cnnc1C[N@@H+]1CCCC[C@]12CC(=O)N(CCCC#N)C2 ZINC001273825651 851390676 /nfs/dbraw/zinc/39/06/76/851390676.db2.gz IOUCJCZDQGFTED-MRXNPFEDSA-N 1 2 316.409 1.076 20 30 DDEDLO Cn1cnnc1C[N@H+]1CCCC[C@]12CC(=O)N(CCCC#N)C2 ZINC001273825651 851390687 /nfs/dbraw/zinc/39/06/87/851390687.db2.gz IOUCJCZDQGFTED-MRXNPFEDSA-N 1 2 316.409 1.076 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2ncccc2Cl)C1=O ZINC001274246159 852089017 /nfs/dbraw/zinc/08/90/17/852089017.db2.gz HEMKVTQHZBKAMI-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2ncccc2Cl)C1=O ZINC001274246159 852089025 /nfs/dbraw/zinc/08/90/25/852089025.db2.gz HEMKVTQHZBKAMI-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](Cc2coc(C)n2)[C@@H](C)C1 ZINC001274611592 852441567 /nfs/dbraw/zinc/44/15/67/852441567.db2.gz LZCMKUMNORWHPM-GXTWGEPZSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2coc(C)n2)[C@@H](C)C1 ZINC001274611592 852441576 /nfs/dbraw/zinc/44/15/76/852441576.db2.gz LZCMKUMNORWHPM-GXTWGEPZSA-N 1 2 307.394 1.655 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1C[C@]2(F)CN(CC=C(C)C)C(=O)[C@]2(F)C1 ZINC001274614137 852443417 /nfs/dbraw/zinc/44/34/17/852443417.db2.gz BLNWJRZALVYEQA-HRCADAONSA-N 1 2 314.376 1.464 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1C[C@]2(F)CN(CC=C(C)C)C(=O)[C@]2(F)C1 ZINC001274614137 852443425 /nfs/dbraw/zinc/44/34/25/852443425.db2.gz BLNWJRZALVYEQA-HRCADAONSA-N 1 2 314.376 1.464 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1C[C@]2(F)CN(CCCC)C(=O)[C@]2(F)C1 ZINC001274615247 852444754 /nfs/dbraw/zinc/44/47/54/852444754.db2.gz RCRRWCIJXHESHN-SOUVJXGZSA-N 1 2 302.365 1.298 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1C[C@]2(F)CN(CCCC)C(=O)[C@]2(F)C1 ZINC001274615247 852444760 /nfs/dbraw/zinc/44/47/60/852444760.db2.gz RCRRWCIJXHESHN-SOUVJXGZSA-N 1 2 302.365 1.298 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1C[C@]2(F)CN(CC(=C)C)C(=O)[C@]2(F)C1 ZINC001274616534 852447835 /nfs/dbraw/zinc/44/78/35/852447835.db2.gz JQFKHFXGFRXBCZ-SOUVJXGZSA-N 1 2 300.349 1.074 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1C[C@]2(F)CN(CC(=C)C)C(=O)[C@]2(F)C1 ZINC001274616534 852447838 /nfs/dbraw/zinc/44/78/38/852447838.db2.gz JQFKHFXGFRXBCZ-SOUVJXGZSA-N 1 2 300.349 1.074 20 30 DDEDLO C=C[C@](C)(O)C[NH+]1CC2(C1)CN(CC1CCCC1)C(=O)CO2 ZINC001274621625 852453600 /nfs/dbraw/zinc/45/36/00/852453600.db2.gz WATQZQJZGQKBHQ-INIZCTEOSA-N 1 2 308.422 1.027 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1C[C@]2(F)CN(CCCC)C(=O)[C@]2(F)C1 ZINC001274620080 852454353 /nfs/dbraw/zinc/45/43/53/852454353.db2.gz GUTOXICUNPIFDN-CWRNSKLLSA-N 1 2 316.392 1.688 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1C[C@]2(F)CN(CCCC)C(=O)[C@]2(F)C1 ZINC001274620080 852454359 /nfs/dbraw/zinc/45/43/59/852454359.db2.gz GUTOXICUNPIFDN-CWRNSKLLSA-N 1 2 316.392 1.688 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C)C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001296185913 852501163 /nfs/dbraw/zinc/50/11/63/852501163.db2.gz PVAHFNPLXLFQMC-GFCCVEGCSA-N 1 2 318.421 1.616 20 30 DDEDLO Cc1nccn1CC(=O)NC[C@@H](C)[N@H+](C)CC#Cc1ccccc1 ZINC001276003842 854130686 /nfs/dbraw/zinc/13/06/86/854130686.db2.gz URFSAELLTYOQMB-MRXNPFEDSA-N 1 2 324.428 1.680 20 30 DDEDLO Cc1nccn1CC(=O)NC[C@@H](C)[N@@H+](C)CC#Cc1ccccc1 ZINC001276003842 854130691 /nfs/dbraw/zinc/13/06/91/854130691.db2.gz URFSAELLTYOQMB-MRXNPFEDSA-N 1 2 324.428 1.680 20 30 DDEDLO Cc1n[nH]cc1CN1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001276067149 854696188 /nfs/dbraw/zinc/69/61/88/854696188.db2.gz AVKDZUSPTZCZHP-CALCHBBNSA-N 1 2 324.432 1.777 20 30 DDEDLO Cc1n[nH]cc1CN1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001276067149 854696194 /nfs/dbraw/zinc/69/61/94/854696194.db2.gz AVKDZUSPTZCZHP-CALCHBBNSA-N 1 2 324.432 1.777 20 30 DDEDLO CN1CC[NH+](C(C)(C)CNC(=O)C#Cc2cccs2)CC1 ZINC001327973648 862604351 /nfs/dbraw/zinc/60/43/51/862604351.db2.gz XYIFVISWFXCFCA-UHFFFAOYSA-N 1 2 305.447 1.242 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCCCC[N@@H+]1Cc1cnn(C)n1 ZINC001276299844 855145546 /nfs/dbraw/zinc/14/55/46/855145546.db2.gz NGUUOOJSUXNURC-MRXNPFEDSA-N 1 2 317.437 1.335 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCCCC[N@H+]1Cc1cnn(C)n1 ZINC001276299844 855145548 /nfs/dbraw/zinc/14/55/48/855145548.db2.gz NGUUOOJSUXNURC-MRXNPFEDSA-N 1 2 317.437 1.335 20 30 DDEDLO C=CCOCCCC(=O)NCCC[NH2+]Cc1noc(CC)n1 ZINC001156160822 862763619 /nfs/dbraw/zinc/76/36/19/862763619.db2.gz ZWQDLKQTGSSQQH-UHFFFAOYSA-N 1 2 310.398 1.211 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)c1cccc(C#N)c1)c1nc(N)nc(N(C)C)n1 ZINC001413057778 856261496 /nfs/dbraw/zinc/26/14/96/856261496.db2.gz CPCHDEIVKNIHPV-WDEREUQCSA-N 1 2 311.393 1.803 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C1C[NH+](Cc2snnc2C)C1 ZINC001276376981 856303173 /nfs/dbraw/zinc/30/31/73/856303173.db2.gz QUBDRVSIRZSWRB-LLVKDONJSA-N 1 2 306.435 1.587 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)C#CC(C)(C)C)CC[N@@H+]1Cc1nccn1C ZINC001328239720 862808201 /nfs/dbraw/zinc/80/82/01/862808201.db2.gz MKBBZJBOCSUDKG-LSDHHAIUSA-N 1 2 316.449 1.939 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)C#CC(C)(C)C)CC[N@H+]1Cc1nccn1C ZINC001328239720 862808218 /nfs/dbraw/zinc/80/82/18/862808218.db2.gz MKBBZJBOCSUDKG-LSDHHAIUSA-N 1 2 316.449 1.939 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H](NC(=O)CSCC#N)C[C@H]2C)o1 ZINC001328243306 862814587 /nfs/dbraw/zinc/81/45/87/862814587.db2.gz OZPUTWLZYVCNDG-PWSUYJOCSA-N 1 2 323.422 1.104 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H](NC(=O)CSCC#N)C[C@H]2C)o1 ZINC001328243306 862814603 /nfs/dbraw/zinc/81/46/03/862814603.db2.gz OZPUTWLZYVCNDG-PWSUYJOCSA-N 1 2 323.422 1.104 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@H](NC(=O)CSCC#N)C[C@H]1C ZINC001328243349 862814645 /nfs/dbraw/zinc/81/46/45/862814645.db2.gz PEIQZHGQQZQYND-PWSUYJOCSA-N 1 2 323.422 1.104 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@H](NC(=O)CSCC#N)C[C@H]1C ZINC001328243349 862814653 /nfs/dbraw/zinc/81/46/53/862814653.db2.gz PEIQZHGQQZQYND-PWSUYJOCSA-N 1 2 323.422 1.104 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cn(CC)nn2)[C@H](C)C1 ZINC001328267019 862843999 /nfs/dbraw/zinc/84/39/99/862843999.db2.gz MPOZGBXPIPDOFA-KGLIPLIRSA-N 1 2 319.453 1.979 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cn(CC)nn2)[C@H](C)C1 ZINC001328267019 862844011 /nfs/dbraw/zinc/84/40/11/862844011.db2.gz MPOZGBXPIPDOFA-KGLIPLIRSA-N 1 2 319.453 1.979 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001049552505 856965304 /nfs/dbraw/zinc/96/53/04/856965304.db2.gz NWRDUXJAWNIHBH-JKSUJKDBSA-N 1 2 309.373 1.765 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001072944359 857920220 /nfs/dbraw/zinc/92/02/20/857920220.db2.gz AGMMQSYDDFKCAJ-INIZCTEOSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001072944359 857920229 /nfs/dbraw/zinc/92/02/29/857920229.db2.gz AGMMQSYDDFKCAJ-INIZCTEOSA-N 1 2 317.437 1.452 20 30 DDEDLO Cn1c(=O)[nH]c(=O)c(N=O)c1Nc1[nH+]ccc2[nH]cc(C#N)c21 ZINC001156422613 863032449 /nfs/dbraw/zinc/03/24/49/863032449.db2.gz ILMSKWCVDBKRMB-UHFFFAOYSA-N 1 2 311.261 1.375 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C[NH+]2CCCC2)n1C[C@H]1CCCO1 ZINC001122773401 858965813 /nfs/dbraw/zinc/96/58/13/858965813.db2.gz LSZSRBSHXQQVFJ-LSDHHAIUSA-N 1 2 317.437 1.511 20 30 DDEDLO C=CCC[C@H]1CCCN1C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC001123570544 859304582 /nfs/dbraw/zinc/30/45/82/859304582.db2.gz ZILWNYUAVNHLDR-HOTGVXAUSA-N 1 2 308.470 1.763 20 30 DDEDLO C=CCC[C@@H]1CCCN1C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC001123570545 859304814 /nfs/dbraw/zinc/30/48/14/859304814.db2.gz ZILWNYUAVNHLDR-HZPDHXFCSA-N 1 2 308.470 1.763 20 30 DDEDLO Cc1nc(NC[C@H]2CC[C@@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001123784009 859411952 /nfs/dbraw/zinc/41/19/52/859411952.db2.gz AXMGGZOCMCGKFM-QWHCGFSZSA-N 1 2 319.434 1.739 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001123831555 859433297 /nfs/dbraw/zinc/43/32/97/859433297.db2.gz XZOIWNGHYCBDST-GJZGRUSLSA-N 1 2 316.405 1.522 20 30 DDEDLO Cc1cc(NC[C@@H](O)CN(C)C(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001124192507 859622730 /nfs/dbraw/zinc/62/27/30/859622730.db2.gz WHIMOTDNMDRUDY-ZWNOBZJWSA-N 1 2 317.393 1.053 20 30 DDEDLO C=CCOC(=O)N1CCC2(C[NH+](Cc3cc(C)n(C)n3)C2)CC1 ZINC001138826782 860201409 /nfs/dbraw/zinc/20/14/09/860201409.db2.gz WWJFGVDDPOHQLY-UHFFFAOYSA-N 1 2 318.421 1.949 20 30 DDEDLO O=C1C=C2C[N@@H+](Cc3ccc(-c4nn[nH]n4)cc3)CC[C@@H]2S1 ZINC001139090483 860281543 /nfs/dbraw/zinc/28/15/43/860281543.db2.gz HXFFEELKYABRFH-ZDUSSCGKSA-N 1 2 313.386 1.641 20 30 DDEDLO CC(C)NC(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)nc2)C1 ZINC001140546928 860654615 /nfs/dbraw/zinc/65/46/15/860654615.db2.gz ABUUXQMWAYAODQ-HNNXBMFYSA-N 1 2 301.394 1.625 20 30 DDEDLO CC(C)NC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)nc2)C1 ZINC001140546928 860654617 /nfs/dbraw/zinc/65/46/17/860654617.db2.gz ABUUXQMWAYAODQ-HNNXBMFYSA-N 1 2 301.394 1.625 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001325931612 860964934 /nfs/dbraw/zinc/96/49/34/860964934.db2.gz GJBQFOXJWOZCAA-UONOGXRCSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001325931612 860964940 /nfs/dbraw/zinc/96/49/40/860964940.db2.gz GJBQFOXJWOZCAA-UONOGXRCSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2[nH]nc3cc(OC)ccc32)CC1 ZINC001154467583 861124932 /nfs/dbraw/zinc/12/49/32/861124932.db2.gz PWVIXLSSEVRUGM-UHFFFAOYSA-N 1 2 300.362 1.515 20 30 DDEDLO Cc1nc(C[NH2+]CCNC(=O)CC#Cc2ccccc2)oc1C ZINC001151952807 863077661 /nfs/dbraw/zinc/07/76/61/863077661.db2.gz HXWLLJFBDUAVFN-UHFFFAOYSA-N 1 2 311.385 1.939 20 30 DDEDLO CC[N@H+](Cc1nonc1C)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152855244 863572480 /nfs/dbraw/zinc/57/24/80/863572480.db2.gz ZQAGRJGIMNNSLX-SNVBAGLBSA-N 1 2 316.365 1.218 20 30 DDEDLO CC[N@@H+](Cc1nonc1C)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152855244 863572488 /nfs/dbraw/zinc/57/24/88/863572488.db2.gz ZQAGRJGIMNNSLX-SNVBAGLBSA-N 1 2 316.365 1.218 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)Cc2cccc(Cl)c2)C1 ZINC001329694503 863795232 /nfs/dbraw/zinc/79/52/32/863795232.db2.gz ZCUZGUFPSHWHRY-UHFFFAOYSA-N 1 2 320.820 1.459 20 30 DDEDLO CC(C)c1nc(C[NH2+]CCCNC(=O)C#CC(C)(C)C)no1 ZINC001157718958 864073647 /nfs/dbraw/zinc/07/36/47/864073647.db2.gz KSBDMADPDUWCOY-UHFFFAOYSA-N 1 2 306.410 1.838 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](C)CCN1c1nc2c(cc1C#N)CCCC2 ZINC001157772837 864124171 /nfs/dbraw/zinc/12/41/71/864124171.db2.gz QBHGFMPEAPGFEF-OAHLLOKOSA-N 1 2 314.389 1.125 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](C)CCN1c1nc2c(cc1C#N)CCCC2 ZINC001157772837 864124184 /nfs/dbraw/zinc/12/41/84/864124184.db2.gz QBHGFMPEAPGFEF-OAHLLOKOSA-N 1 2 314.389 1.125 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3noc(C)n3)C[C@H]21 ZINC001330291553 864217691 /nfs/dbraw/zinc/21/76/91/864217691.db2.gz DKNSGQWKYACIIS-CYUUQNCZSA-N 1 2 316.405 1.537 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3noc(C)n3)C[C@H]21 ZINC001330291553 864217702 /nfs/dbraw/zinc/21/77/02/864217702.db2.gz DKNSGQWKYACIIS-CYUUQNCZSA-N 1 2 316.405 1.537 20 30 DDEDLO C#CCCCCC(=O)N1CCC([N@@H+](C)Cc2ncnn2C)CC1 ZINC001331011753 864775684 /nfs/dbraw/zinc/77/56/84/864775684.db2.gz WFICMLKGYLRZHH-UHFFFAOYSA-N 1 2 317.437 1.432 20 30 DDEDLO C#CCCCCC(=O)N1CCC([N@H+](C)Cc2ncnn2C)CC1 ZINC001331011753 864775691 /nfs/dbraw/zinc/77/56/91/864775691.db2.gz WFICMLKGYLRZHH-UHFFFAOYSA-N 1 2 317.437 1.432 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+](C)CCOCCNC(=O)C#CC1CC1 ZINC001331117446 864871183 /nfs/dbraw/zinc/87/11/83/864871183.db2.gz GZFLJFYXAMDMBC-AWEZNQCLSA-N 1 2 316.405 1.016 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+](C)CCOCCNC(=O)C#CC1CC1 ZINC001331117446 864871198 /nfs/dbraw/zinc/87/11/98/864871198.db2.gz GZFLJFYXAMDMBC-AWEZNQCLSA-N 1 2 316.405 1.016 20 30 DDEDLO COCc1noc([C@@H](C)[NH2+][C@@H](C)CN(C)C(=O)C#CC(C)C)n1 ZINC001331439120 865085124 /nfs/dbraw/zinc/08/51/24/865085124.db2.gz DNGNSRUWKIZDIZ-QWHCGFSZSA-N 1 2 322.409 1.373 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001159593754 865419861 /nfs/dbraw/zinc/41/98/61/865419861.db2.gz JQFUNOPBSPBPON-NWDGAFQWSA-N 1 2 317.393 1.737 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001159593754 865419866 /nfs/dbraw/zinc/41/98/66/865419866.db2.gz JQFUNOPBSPBPON-NWDGAFQWSA-N 1 2 317.393 1.737 20 30 DDEDLO COC(=O)c1ccc(OC)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001225599414 881930760 /nfs/dbraw/zinc/93/07/60/881930760.db2.gz TVHLSECLOKBAAU-UCHAVSLVSA-N 1 2 319.357 1.473 20 30 DDEDLO COC(=O)c1ccc(OC)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001225599414 881930774 /nfs/dbraw/zinc/93/07/74/881930774.db2.gz TVHLSECLOKBAAU-UCHAVSLVSA-N 1 2 319.357 1.473 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nncc(Cl)c3Cl)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225688130 881977655 /nfs/dbraw/zinc/97/76/55/881977655.db2.gz XCFYYIAAGMAGQR-GNARGMICSA-N 1 2 302.161 1.775 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nncc(Cl)c3Cl)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225688130 881977666 /nfs/dbraw/zinc/97/76/66/881977666.db2.gz XCFYYIAAGMAGQR-GNARGMICSA-N 1 2 302.161 1.775 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H](CC)c1c(C)noc1C ZINC001323318025 866526877 /nfs/dbraw/zinc/52/68/77/866526877.db2.gz JEYIOEYYCRTSOE-GJZGRUSLSA-N 1 2 303.406 1.999 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H](CC)c1c(C)noc1C ZINC001323318025 866526883 /nfs/dbraw/zinc/52/68/83/866526883.db2.gz JEYIOEYYCRTSOE-GJZGRUSLSA-N 1 2 303.406 1.999 20 30 DDEDLO C=CCNC(=O)c1cccnc1NC1CN(c2cccc[nH+]2)C1 ZINC001161322521 866527927 /nfs/dbraw/zinc/52/79/27/866527927.db2.gz ILIFABJOBBXOQN-UHFFFAOYSA-N 1 2 309.373 1.693 20 30 DDEDLO C=C[C@H](COC)NC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC001320425711 866726895 /nfs/dbraw/zinc/72/68/95/866726895.db2.gz ASAYQBLHIBFCAH-CYBMUJFWSA-N 1 2 309.435 1.776 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1CC(NC(=O)Cn2cc[nH+]c2)C1 ZINC001333728044 866967424 /nfs/dbraw/zinc/96/74/24/866967424.db2.gz JWYDAASWLCVBKX-MQBCKMQZSA-N 1 2 318.421 1.496 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001324587007 867377812 /nfs/dbraw/zinc/37/78/12/867377812.db2.gz COOATUSFMHDRLD-ABAIWWIYSA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001324587007 867377824 /nfs/dbraw/zinc/37/78/24/867377824.db2.gz COOATUSFMHDRLD-ABAIWWIYSA-N 1 2 308.382 1.222 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNc2ccc(Br)c(C#N)n2)C1 ZINC001162690611 867742681 /nfs/dbraw/zinc/74/26/81/867742681.db2.gz YUHXJXRFCWTVNT-VIFPVBQESA-N 1 2 311.183 1.458 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNc2ccc(Br)c(C#N)n2)C1 ZINC001162690611 867742691 /nfs/dbraw/zinc/74/26/91/867742691.db2.gz YUHXJXRFCWTVNT-VIFPVBQESA-N 1 2 311.183 1.458 20 30 DDEDLO COCCCNC(=S)N[NH+]=C1CCN(C)c2ccccc21 ZINC001321859685 867837475 /nfs/dbraw/zinc/83/74/75/867837475.db2.gz CARGQTQOKUFIRR-UHFFFAOYSA-N 1 2 306.435 1.731 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]([NH3+])CNc1ccc2c(C#N)c[nH]c2n1 ZINC001162854657 867878010 /nfs/dbraw/zinc/87/80/10/867878010.db2.gz VQVNCIIVJHFAEI-NSHDSACASA-N 1 2 301.350 1.515 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccc(C(F)F)cc2)C1 ZINC001325293673 867921071 /nfs/dbraw/zinc/92/10/71/867921071.db2.gz JIKCZECAKNHCFE-UHFFFAOYSA-N 1 2 308.328 1.424 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C[N@H+](C)CC(=O)Nc1cc(C)on1 ZINC001322124980 867989121 /nfs/dbraw/zinc/98/91/21/867989121.db2.gz VWCANXXQPMNHLW-NSHDSACASA-N 1 2 308.382 1.324 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C[N@@H+](C)CC(=O)Nc1cc(C)on1 ZINC001322124980 867989131 /nfs/dbraw/zinc/98/91/31/867989131.db2.gz VWCANXXQPMNHLW-NSHDSACASA-N 1 2 308.382 1.324 20 30 DDEDLO CC#CC[N@H+](C)C[C@H](C)NC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001322274127 868094533 /nfs/dbraw/zinc/09/45/33/868094533.db2.gz MAJDBGDILBGWLD-STQMWFEESA-N 1 2 302.422 1.608 20 30 DDEDLO CC#CC[N@@H+](C)C[C@H](C)NC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001322274127 868094551 /nfs/dbraw/zinc/09/45/51/868094551.db2.gz MAJDBGDILBGWLD-STQMWFEESA-N 1 2 302.422 1.608 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001335512040 868354144 /nfs/dbraw/zinc/35/41/44/868354144.db2.gz NHUSEOZZQYUJRE-LSDHHAIUSA-N 1 2 316.405 1.230 20 30 DDEDLO O=C1C=C(Nc2ccc(OCC[NH+]3CCOCC3)nc2)CCC1 ZINC001163468056 868474049 /nfs/dbraw/zinc/47/40/49/868474049.db2.gz IQYSHQTZLSYTJU-UHFFFAOYSA-N 1 2 317.389 1.841 20 30 DDEDLO C[C@H](c1ncccn1)[NH+]1CCC(NC(=O)CSCC#N)CC1 ZINC001226152472 882235334 /nfs/dbraw/zinc/23/53/34/882235334.db2.gz HDMSNDGHWVGPRX-GFCCVEGCSA-N 1 2 319.434 1.375 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)c1cnc(Cl)nc1Cl ZINC001165180299 869415815 /nfs/dbraw/zinc/41/58/15/869415815.db2.gz XKVPSHPIMQGOQP-ZCFIWIBFSA-N 1 2 308.119 1.630 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](NC(=O)C#CC(C)C)[C@H]1C ZINC001337983723 869728805 /nfs/dbraw/zinc/72/88/05/869728805.db2.gz JRCDIWSCKIUKDO-KGLIPLIRSA-N 1 2 316.405 1.026 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001338307636 869914492 /nfs/dbraw/zinc/91/44/92/869914492.db2.gz ULDXDUZXOSZPHT-OCCSQVGLSA-N 1 2 318.421 1.660 20 30 DDEDLO COCC#CCN1CC[NH+](CCNC(=O)[C@H](C)C(C)(C)C)CC1 ZINC001316965259 870005880 /nfs/dbraw/zinc/00/58/80/870005880.db2.gz WIIZOGQKMQWGLU-INIZCTEOSA-N 1 2 323.481 1.052 20 30 DDEDLO COCC#CC[NH+]1CCN(CCNC(=O)[C@H](C)C(C)(C)C)CC1 ZINC001316965259 870005892 /nfs/dbraw/zinc/00/58/92/870005892.db2.gz WIIZOGQKMQWGLU-INIZCTEOSA-N 1 2 323.481 1.052 20 30 DDEDLO CN(CC#N)C[C@H]1CCCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC001317043080 870154959 /nfs/dbraw/zinc/15/49/59/870154959.db2.gz HGIPFUJQKCYEBU-CABCVRRESA-N 1 2 315.421 1.139 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCCC[C@H](C)C1 ZINC001338773145 870161036 /nfs/dbraw/zinc/16/10/36/870161036.db2.gz NZPIDWGUCMHCKQ-KKUMJFAQSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCCC[C@H](C)C1 ZINC001338773145 870161048 /nfs/dbraw/zinc/16/10/48/870161048.db2.gz NZPIDWGUCMHCKQ-KKUMJFAQSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C)[C@H]1CC ZINC001338896457 870229279 /nfs/dbraw/zinc/22/92/79/870229279.db2.gz WLGRPROFCSRMLZ-BARDWOONSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C)[C@H]1CC ZINC001338896457 870229289 /nfs/dbraw/zinc/22/92/89/870229289.db2.gz WLGRPROFCSRMLZ-BARDWOONSA-N 1 2 319.453 1.826 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CCCC[N@H+]1Cc1ncc(C)o1 ZINC001317171313 870409017 /nfs/dbraw/zinc/40/90/17/870409017.db2.gz RSTAJLCFMJCVQO-RHSMWYFYSA-N 1 2 321.421 1.781 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CCCC[N@@H+]1Cc1ncc(C)o1 ZINC001317171313 870409028 /nfs/dbraw/zinc/40/90/28/870409028.db2.gz RSTAJLCFMJCVQO-RHSMWYFYSA-N 1 2 321.421 1.781 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(C=C)CC1 ZINC001339530010 870541260 /nfs/dbraw/zinc/54/12/60/870541260.db2.gz LEJMQWDHVACRSY-LSDHHAIUSA-N 1 2 317.437 1.604 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(C=C)CC1 ZINC001339530010 870541270 /nfs/dbraw/zinc/54/12/70/870541270.db2.gz LEJMQWDHVACRSY-LSDHHAIUSA-N 1 2 317.437 1.604 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001298693462 870678176 /nfs/dbraw/zinc/67/81/76/870678176.db2.gz UCIRVVYDXRBABT-KGLIPLIRSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1ccc(Cl)cc1C ZINC001317460065 870877148 /nfs/dbraw/zinc/87/71/48/870877148.db2.gz VVNVYAFSONQCAE-UHFFFAOYSA-N 1 2 323.824 1.612 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1ccc(Cl)cc1C ZINC001317460065 870877153 /nfs/dbraw/zinc/87/71/53/870877153.db2.gz VVNVYAFSONQCAE-UHFFFAOYSA-N 1 2 323.824 1.612 20 30 DDEDLO C#CCC1(C(=O)NCC[N@@H+](C)CC(=O)N(C)CC)CCCCC1 ZINC001317473128 870894260 /nfs/dbraw/zinc/89/42/60/870894260.db2.gz PSCCPXYLDUHWFF-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCC1(C(=O)NCC[N@H+](C)CC(=O)N(C)CC)CCCCC1 ZINC001317473128 870894275 /nfs/dbraw/zinc/89/42/75/870894275.db2.gz PSCCPXYLDUHWFF-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@@H+](CC)Cc2nocc2C)cn1 ZINC001317490886 870907755 /nfs/dbraw/zinc/90/77/55/870907755.db2.gz LATKKLBFJLOGCD-UHFFFAOYSA-N 1 2 312.373 1.611 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@H+](CC)Cc2nocc2C)cn1 ZINC001317490886 870907760 /nfs/dbraw/zinc/90/77/60/870907760.db2.gz LATKKLBFJLOGCD-UHFFFAOYSA-N 1 2 312.373 1.611 20 30 DDEDLO C=CC1CCN(c2nnc(C[N@@H+]3CCC[C@H](O)C3)n2CC)CC1 ZINC001340318554 871029940 /nfs/dbraw/zinc/02/99/40/871029940.db2.gz MESGUNPEYJCNAG-HNNXBMFYSA-N 1 2 319.453 1.657 20 30 DDEDLO C=CC1CCN(c2nnc(C[N@H+]3CCC[C@H](O)C3)n2CC)CC1 ZINC001340318554 871029961 /nfs/dbraw/zinc/02/99/61/871029961.db2.gz MESGUNPEYJCNAG-HNNXBMFYSA-N 1 2 319.453 1.657 20 30 DDEDLO COc1ccccc1C(=O)CO[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001204738245 871216305 /nfs/dbraw/zinc/21/63/05/871216305.db2.gz PAWDCXYHTANUHI-GNMIDJSNSA-N 1 2 303.358 1.507 20 30 DDEDLO COc1ccccc1C(=O)CO[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001204738245 871216322 /nfs/dbraw/zinc/21/63/22/871216322.db2.gz PAWDCXYHTANUHI-GNMIDJSNSA-N 1 2 303.358 1.507 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCC[C@H](C#N)C1 ZINC001340592992 871217016 /nfs/dbraw/zinc/21/70/16/871217016.db2.gz FZXYBNFZDYTQGF-CYBMUJFWSA-N 1 2 311.393 1.528 20 30 DDEDLO CC(C)(CNc1ccc([N+](=O)[O-])c(N)c1C#N)[NH+]1CCOCC1 ZINC001306911331 871377092 /nfs/dbraw/zinc/37/70/92/871377092.db2.gz SEWMXQFZIKJCRF-UHFFFAOYSA-N 1 2 319.365 1.571 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCC(c2c[nH+]cn2C)CC1 ZINC001341078635 871494223 /nfs/dbraw/zinc/49/42/23/871494223.db2.gz FVZWNDRQXXBMBQ-OAHLLOKOSA-N 1 2 318.421 1.597 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001317932306 871634464 /nfs/dbraw/zinc/63/44/64/871634464.db2.gz YBVHZGHDMRFFKD-GOEBONIOSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[N@H+]1CCC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001317932306 871634483 /nfs/dbraw/zinc/63/44/83/871634483.db2.gz YBVHZGHDMRFFKD-GOEBONIOSA-N 1 2 319.449 1.141 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NC1C[NH+](CCn2cccn2)C1)OCC ZINC001318029559 871702764 /nfs/dbraw/zinc/70/27/64/871702764.db2.gz ZCYKEZLVAKKGHT-OAHLLOKOSA-N 1 2 306.410 1.055 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+]([C@@H](C)c2ncc(C)o2)C1 ZINC001318308901 871917428 /nfs/dbraw/zinc/91/74/28/871917428.db2.gz BKGNBKXSWRKNNW-KBPBESRZSA-N 1 2 307.394 1.827 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+]([C@@H](C)c2ncc(C)o2)C1 ZINC001318308901 871917440 /nfs/dbraw/zinc/91/74/40/871917440.db2.gz BKGNBKXSWRKNNW-KBPBESRZSA-N 1 2 307.394 1.827 20 30 DDEDLO C=CCn1c(CO)nnc1N1CCC(Nc2cccc[nH+]2)CC1 ZINC001342358934 872166017 /nfs/dbraw/zinc/16/60/17/872166017.db2.gz NDZMESVWEBEKOL-UHFFFAOYSA-N 1 2 314.393 1.432 20 30 DDEDLO CCc1nnc(C[N@H+](CC)CCCNC(=O)[C@H](C)C#N)s1 ZINC001316887373 872223835 /nfs/dbraw/zinc/22/38/35/872223835.db2.gz HIPQXBIJOCHWDG-LLVKDONJSA-N 1 2 309.439 1.588 20 30 DDEDLO CCc1nnc(C[N@@H+](CC)CCCNC(=O)[C@H](C)C#N)s1 ZINC001316887373 872223853 /nfs/dbraw/zinc/22/38/53/872223853.db2.gz HIPQXBIJOCHWDG-LLVKDONJSA-N 1 2 309.439 1.588 20 30 DDEDLO Cc1noc([C@@H](C)[NH+]2CCC(N(C)C(=O)C#CC3CC3)CC2)n1 ZINC001316930876 872403186 /nfs/dbraw/zinc/40/31/86/872403186.db2.gz LVXIWEAVJUMJRA-GFCCVEGCSA-N 1 2 316.405 1.775 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(F)F)C1 ZINC001316937258 872413721 /nfs/dbraw/zinc/41/37/21/872413721.db2.gz JUULHJNTAWSFDD-GFCCVEGCSA-N 1 2 317.380 1.257 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@H](NC(=O)C(F)F)C1 ZINC001316937258 872413736 /nfs/dbraw/zinc/41/37/36/872413736.db2.gz JUULHJNTAWSFDD-GFCCVEGCSA-N 1 2 317.380 1.257 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)on2)C[C@H]1C ZINC001206778994 872573763 /nfs/dbraw/zinc/57/37/63/872573763.db2.gz UFWMJVXEQGLIPO-CZUORRHYSA-N 1 2 321.421 1.902 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)on2)C[C@H]1C ZINC001206778994 872573783 /nfs/dbraw/zinc/57/37/83/872573783.db2.gz UFWMJVXEQGLIPO-CZUORRHYSA-N 1 2 321.421 1.902 20 30 DDEDLO Cc1ncsc1C[NH+]1CCN(c2nccnc2C#N)CC1 ZINC001206987528 872899024 /nfs/dbraw/zinc/89/90/24/872899024.db2.gz RZDPJQMQBSHWHU-UHFFFAOYSA-N 1 2 300.391 1.435 20 30 DDEDLO CCOCCCNC(=O)[C@@H](C#N)C(=O)[C@H]1CCC[N@@H+]1C(C)C ZINC001346199473 873643490 /nfs/dbraw/zinc/64/34/90/873643490.db2.gz XCYIHXMIYPUEHB-UONOGXRCSA-N 1 2 309.410 1.111 20 30 DDEDLO CCOCCCNC(=O)[C@@H](C#N)C(=O)[C@H]1CCC[N@H+]1C(C)C ZINC001346199473 873643494 /nfs/dbraw/zinc/64/34/94/873643494.db2.gz XCYIHXMIYPUEHB-UONOGXRCSA-N 1 2 309.410 1.111 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001207995199 873725245 /nfs/dbraw/zinc/72/52/45/873725245.db2.gz BZJCHBGHVJLFLS-CQSZACIVSA-N 1 2 316.405 1.687 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)C(C)C)n2CC2CC2)CC1 ZINC001346471554 873767155 /nfs/dbraw/zinc/76/71/55/873767155.db2.gz BLHHEYGCAZFHBL-HNNXBMFYSA-N 1 2 317.437 1.133 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cnc2nccnc2c1 ZINC001378061331 874039645 /nfs/dbraw/zinc/03/96/45/874039645.db2.gz CIVUOMASVXGNRO-NSHDSACASA-N 1 2 319.796 1.827 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cnc2nccnc2c1 ZINC001378061331 874039660 /nfs/dbraw/zinc/03/96/60/874039660.db2.gz CIVUOMASVXGNRO-NSHDSACASA-N 1 2 319.796 1.827 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2occc2CC)C1 ZINC001208498170 874193799 /nfs/dbraw/zinc/19/37/99/874193799.db2.gz NPCJWNFBGGRTEC-TZMCWYRMSA-N 1 2 319.405 1.194 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2occc2CC)C1 ZINC001208498170 874193814 /nfs/dbraw/zinc/19/38/14/874193814.db2.gz NPCJWNFBGGRTEC-TZMCWYRMSA-N 1 2 319.405 1.194 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+](Cc2csc(C)n2)CC1 ZINC001227076376 882814086 /nfs/dbraw/zinc/81/40/86/882814086.db2.gz KMFVGJAJJAZXOT-MRXNPFEDSA-N 1 2 323.462 1.859 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2ccns2)C(C)(C)C1 ZINC001276586472 874452938 /nfs/dbraw/zinc/45/29/38/874452938.db2.gz JRESCMRZDBHKPL-ZDUSSCGKSA-N 1 2 307.419 1.233 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2ccns2)C(C)(C)C1 ZINC001276586472 874452942 /nfs/dbraw/zinc/45/29/42/874452942.db2.gz JRESCMRZDBHKPL-ZDUSSCGKSA-N 1 2 307.419 1.233 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@H]2C[C@@H](C1)N2Cc1cc(C#N)n(C)c1 ZINC001276608425 874606270 /nfs/dbraw/zinc/60/62/70/874606270.db2.gz PIDUSBHQOSZUDN-CALCHBBNSA-N 1 2 324.432 1.572 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@H]2C[C@@H](C1)N2Cc1cc(C#N)n(C)c1 ZINC001276608425 874606278 /nfs/dbraw/zinc/60/62/78/874606278.db2.gz PIDUSBHQOSZUDN-CALCHBBNSA-N 1 2 324.432 1.572 20 30 DDEDLO CCOC(=O)c1ccc(F)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001227147625 882876007 /nfs/dbraw/zinc/87/60/07/882876007.db2.gz NUCWURVVJFXKIY-UCHAVSLVSA-N 1 2 321.348 1.994 20 30 DDEDLO CCOC(=O)c1ccc(F)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001227147625 882876031 /nfs/dbraw/zinc/87/60/31/882876031.db2.gz NUCWURVVJFXKIY-UCHAVSLVSA-N 1 2 321.348 1.994 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nocc2C)C[C@H]1C ZINC001211427504 875805720 /nfs/dbraw/zinc/80/57/20/875805720.db2.gz HTXHTIKAGWLJMH-MRVWCRGKSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nocc2C)C[C@H]1C ZINC001211427504 875805729 /nfs/dbraw/zinc/80/57/29/875805729.db2.gz HTXHTIKAGWLJMH-MRVWCRGKSA-N 1 2 307.394 1.511 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COC[C@@H]2CCCO2)C1 ZINC001211475979 875826683 /nfs/dbraw/zinc/82/66/83/875826683.db2.gz PZCJUHUMQAGPGZ-KWCYVHTRSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)COC[C@@H]2CCCO2)C1 ZINC001211475979 875826691 /nfs/dbraw/zinc/82/66/91/875826691.db2.gz PZCJUHUMQAGPGZ-KWCYVHTRSA-N 1 2 316.829 1.371 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@H]1OC ZINC001213271392 875869212 /nfs/dbraw/zinc/86/92/12/875869212.db2.gz WXVCNKASOSFHNS-HUUCEWRRSA-N 1 2 318.421 1.313 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@H]1OC ZINC001213271392 875869223 /nfs/dbraw/zinc/86/92/23/875869223.db2.gz WXVCNKASOSFHNS-HUUCEWRRSA-N 1 2 318.421 1.313 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1OC ZINC001213496534 875950990 /nfs/dbraw/zinc/95/09/90/875950990.db2.gz RBSQFUCGYJYQBH-HZPDHXFCSA-N 1 2 317.433 1.999 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1OC ZINC001213496534 875951002 /nfs/dbraw/zinc/95/10/02/875951002.db2.gz RBSQFUCGYJYQBH-HZPDHXFCSA-N 1 2 317.433 1.999 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213495946 875951141 /nfs/dbraw/zinc/95/11/41/875951141.db2.gz DRUJWHYIDAAPHI-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213495946 875951160 /nfs/dbraw/zinc/95/11/60/875951160.db2.gz DRUJWHYIDAAPHI-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO C=CCO[C@H]1CCN(c2nnc([C@H]3CCCC[N@@H+]3C)n2C)C1 ZINC001351118040 876067910 /nfs/dbraw/zinc/06/79/10/876067910.db2.gz LVWGJPHQHIFGTE-UONOGXRCSA-N 1 2 305.426 1.753 20 30 DDEDLO C=CCO[C@H]1CCN(c2nnc([C@H]3CCCC[N@H+]3C)n2C)C1 ZINC001351118040 876067926 /nfs/dbraw/zinc/06/79/26/876067926.db2.gz LVWGJPHQHIFGTE-UONOGXRCSA-N 1 2 305.426 1.753 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC001214301705 876271407 /nfs/dbraw/zinc/27/14/07/876271407.db2.gz JOGKTTWZRAQQGG-NXEZZACHSA-N 1 2 314.336 1.910 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)Cc1ccoc1 ZINC001351603004 876341232 /nfs/dbraw/zinc/34/12/32/876341232.db2.gz BWSFQTBMEQKFQS-KGLIPLIRSA-N 1 2 317.393 1.431 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)Cc1ccoc1 ZINC001351603004 876341243 /nfs/dbraw/zinc/34/12/43/876341243.db2.gz BWSFQTBMEQKFQS-KGLIPLIRSA-N 1 2 317.393 1.431 20 30 DDEDLO C=CC(C)(C)C(=O)NCCCN(C)C(=O)CCn1cc[nH+]c1 ZINC001351804936 876440777 /nfs/dbraw/zinc/44/07/77/876440777.db2.gz SAPHGYUZTFHSIH-UHFFFAOYSA-N 1 2 306.410 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1ccc(NC(N)=O)cc1 ZINC001379128802 876474938 /nfs/dbraw/zinc/47/49/38/876474938.db2.gz JSKCAUMTXQYBNV-UHFFFAOYSA-N 1 2 324.812 1.934 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1ccc(NC(N)=O)cc1 ZINC001379128802 876474946 /nfs/dbraw/zinc/47/49/46/876474946.db2.gz JSKCAUMTXQYBNV-UHFFFAOYSA-N 1 2 324.812 1.934 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@H]1CCC[C@@H]1NC(=O)c1[nH]ncc1F ZINC001379181740 876578886 /nfs/dbraw/zinc/57/88/86/876578886.db2.gz VJFOZRACUCNFSW-KOLCDFICSA-N 1 2 300.765 1.789 20 30 DDEDLO C#CC[C@H]1CCCN(c2nnc(Cc3[nH+]ccn3C)n2CC)C1 ZINC001352354693 876705991 /nfs/dbraw/zinc/70/59/91/876705991.db2.gz BQMPEZCATHFODK-AWEZNQCLSA-N 1 2 312.421 1.862 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1[nH]cc[nH+]1 ZINC001353331150 877259571 /nfs/dbraw/zinc/25/95/71/877259571.db2.gz SILFUILZFXTAKM-BETUJISGSA-N 1 2 302.378 1.120 20 30 DDEDLO C=CC[C@H]([NH2+]CC1CN(C(=O)OC(C)(C)C)C1)C(=O)OCC ZINC001353592156 877436947 /nfs/dbraw/zinc/43/69/47/877436947.db2.gz CSXUUFRXBYKNKT-ZDUSSCGKSA-N 1 2 312.410 1.951 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C)C(F)(F)F)[C@H]2C1 ZINC001218591118 877596227 /nfs/dbraw/zinc/59/62/27/877596227.db2.gz WWUQILHJAQTDLF-GRYCIOLGSA-N 1 2 304.312 1.120 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C)C(F)(F)F)[C@H]2C1 ZINC001218591118 877596238 /nfs/dbraw/zinc/59/62/38/877596238.db2.gz WWUQILHJAQTDLF-GRYCIOLGSA-N 1 2 304.312 1.120 20 30 DDEDLO N#Cc1cc(NC(=O)C2(F)C[NH2+]C2)ccc1N1CCCCC1 ZINC001218945037 877826147 /nfs/dbraw/zinc/82/61/47/877826147.db2.gz SMACXPPSQOBQJM-UHFFFAOYSA-N 1 2 302.353 1.799 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001354504587 878023520 /nfs/dbraw/zinc/02/35/20/878023520.db2.gz BZCZIFFANSWPQM-QWHCGFSZSA-N 1 2 304.394 1.033 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219379229 878162824 /nfs/dbraw/zinc/16/28/24/878162824.db2.gz PAWMEIDXGCKOOE-HONMWMINSA-N 1 2 307.781 1.161 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219379229 878162827 /nfs/dbraw/zinc/16/28/27/878162827.db2.gz PAWMEIDXGCKOOE-HONMWMINSA-N 1 2 307.781 1.161 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCNC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001355607146 878643604 /nfs/dbraw/zinc/64/36/04/878643604.db2.gz QSOYCGPJQZQKIY-AWEZNQCLSA-N 1 2 318.421 1.765 20 30 DDEDLO C=CCn1c(N(C)CCC(C)C)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001356134485 878882052 /nfs/dbraw/zinc/88/20/52/878882052.db2.gz NTSOOLXKOBLWHR-PBHICJAKSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(C)CCC(C)C)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001356134485 878882059 /nfs/dbraw/zinc/88/20/59/878882059.db2.gz NTSOOLXKOBLWHR-PBHICJAKSA-N 1 2 321.469 1.858 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@@H]1O ZINC001220291752 878887844 /nfs/dbraw/zinc/88/78/44/878887844.db2.gz GFZYFEXVOBBGQT-VYDXJSESSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@@H]1O ZINC001220291752 878887858 /nfs/dbraw/zinc/88/78/58/878887858.db2.gz GFZYFEXVOBBGQT-VYDXJSESSA-N 1 2 303.406 1.345 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3ocnc3C)C[C@@H]2O)CCC1 ZINC001220295021 878893597 /nfs/dbraw/zinc/89/35/97/878893597.db2.gz DTMYJKQKXSLDJR-KGLIPLIRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3ocnc3C)C[C@@H]2O)CCC1 ZINC001220295021 878893605 /nfs/dbraw/zinc/89/36/05/878893605.db2.gz DTMYJKQKXSLDJR-KGLIPLIRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220434732 879009837 /nfs/dbraw/zinc/00/98/37/879009837.db2.gz ZIARBVMXSBQBPM-KGLIPLIRSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220434732 879009852 /nfs/dbraw/zinc/00/98/52/879009852.db2.gz ZIARBVMXSBQBPM-KGLIPLIRSA-N 1 2 323.462 1.715 20 30 DDEDLO C#CCCCC(=O)N(C)CC[NH+]1CCN(C(=O)C=C(C)C)CC1 ZINC001356699826 879203598 /nfs/dbraw/zinc/20/35/98/879203598.db2.gz UQUQHXGUDDUSDD-UHFFFAOYSA-N 1 2 319.449 1.359 20 30 DDEDLO C=CCCC(=O)N1CC(CNC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001356812597 879415642 /nfs/dbraw/zinc/41/56/42/879415642.db2.gz DXINXDRJSPVDDE-ZDUSSCGKSA-N 1 2 304.394 1.375 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC=C)Cc2ccccc2)[C@@H](O)C1 ZINC001221032783 879459386 /nfs/dbraw/zinc/45/93/86/879459386.db2.gz GOCRTIMBRKXDBW-KURKYZTESA-N 1 2 312.413 1.216 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC=C)Cc2ccccc2)[C@@H](O)C1 ZINC001221032783 879459394 /nfs/dbraw/zinc/45/93/94/879459394.db2.gz GOCRTIMBRKXDBW-KURKYZTESA-N 1 2 312.413 1.216 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@](C)(NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001356965545 879633062 /nfs/dbraw/zinc/63/30/62/879633062.db2.gz LLBRJBIOKVUAGZ-KRWDZBQOSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001357033817 879726782 /nfs/dbraw/zinc/72/67/82/879726782.db2.gz ABUINOBHSLFRCM-MLGOLLRUSA-N 1 2 306.410 1.423 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C)c(C)o3)[C@@H]2C1 ZINC001221479221 879864194 /nfs/dbraw/zinc/86/41/94/879864194.db2.gz TXDGBTWTBISNFW-HZPDHXFCSA-N 1 2 316.401 1.693 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C)c(C)o3)[C@@H]2C1 ZINC001221479221 879864212 /nfs/dbraw/zinc/86/42/12/879864212.db2.gz TXDGBTWTBISNFW-HZPDHXFCSA-N 1 2 316.401 1.693 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[C@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001357318070 879907480 /nfs/dbraw/zinc/90/74/80/879907480.db2.gz KDUNLVCBPIEPRT-LSDHHAIUSA-N 1 2 318.421 1.641 20 30 DDEDLO C=CCCC(=O)NC/C=C/CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001357655081 880119497 /nfs/dbraw/zinc/11/94/97/880119497.db2.gz RQKJLMWSHBQQCZ-IHVVCDCBSA-N 1 2 316.405 1.584 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001358531096 880498967 /nfs/dbraw/zinc/49/89/67/880498967.db2.gz NXJPHJVPELFPKR-AAEUAGOBSA-N 1 2 306.410 1.484 20 30 DDEDLO CCCc1noc(C[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)n1 ZINC001222641791 880617370 /nfs/dbraw/zinc/61/73/70/880617370.db2.gz SSYQFSTYKFEGEQ-GFCCVEGCSA-N 1 2 319.409 1.510 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[N@H+](Cc3ccon3)[C@H]2C1 ZINC001222651673 880621719 /nfs/dbraw/zinc/62/17/19/880621719.db2.gz JZXVZAPXMUTYIQ-ZBFHGGJFSA-N 1 2 301.390 1.757 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[N@@H+](Cc3ccon3)[C@H]2C1 ZINC001222651673 880621724 /nfs/dbraw/zinc/62/17/24/880621724.db2.gz JZXVZAPXMUTYIQ-ZBFHGGJFSA-N 1 2 301.390 1.757 20 30 DDEDLO CCc1noc([C@H](C)[N@H+](C)CCCN(C)C(=O)[C@H](C)C#N)n1 ZINC001380950958 880731570 /nfs/dbraw/zinc/73/15/70/880731570.db2.gz XIEUWRUWBMMDCB-NEPJUHHUSA-N 1 2 307.398 1.633 20 30 DDEDLO CCc1noc([C@H](C)[N@@H+](C)CCCN(C)C(=O)[C@H](C)C#N)n1 ZINC001380950958 880731574 /nfs/dbraw/zinc/73/15/74/880731574.db2.gz XIEUWRUWBMMDCB-NEPJUHHUSA-N 1 2 307.398 1.633 20 30 DDEDLO CCc1nnc(C[NH+]2CCC(CNC(=O)C#CC3CC3)CC2)o1 ZINC001223130724 880843052 /nfs/dbraw/zinc/84/30/52/880843052.db2.gz QYYJQMCXEVRARZ-UHFFFAOYSA-N 1 2 316.405 1.374 20 30 DDEDLO C=C(C)CCC(=O)NCC1CC[NH+]([C@H](C)c2nnnn2C)CC1 ZINC001223352546 880926494 /nfs/dbraw/zinc/92/64/94/880926494.db2.gz GATBBNVIGDPUAZ-CYBMUJFWSA-N 1 2 320.441 1.456 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H](C)N(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001287958394 912628597 /nfs/dbraw/zinc/62/85/97/912628597.db2.gz KGXFUDCQWHVPKX-JSGCOSHPSA-N 1 2 304.394 1.414 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@H+](Cc2ncccn2)CC1(C)C ZINC001381127987 881082960 /nfs/dbraw/zinc/08/29/60/881082960.db2.gz HFXATASSXQWIKO-OLZOCXBDSA-N 1 2 301.394 1.353 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@@H+](Cc2ncccn2)CC1(C)C ZINC001381127987 881082981 /nfs/dbraw/zinc/08/29/81/881082981.db2.gz HFXATASSXQWIKO-OLZOCXBDSA-N 1 2 301.394 1.353 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccn3)n2[C@@H]2C[C@@H]2C)CC1 ZINC001359033527 881163306 /nfs/dbraw/zinc/16/33/06/881163306.db2.gz FUSMREVXHXFAOG-GOEBONIOSA-N 1 2 322.416 1.676 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224165512 881249585 /nfs/dbraw/zinc/24/95/85/881249585.db2.gz NXEFLJHCSVWGGU-KGLIPLIRSA-N 1 2 319.453 1.602 20 30 DDEDLO Cc1noc(C[NH+]2CCC([C@@H](C)NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001382558880 884249652 /nfs/dbraw/zinc/24/96/52/884249652.db2.gz TXIDMRWGSJPETA-WDEREUQCSA-N 1 2 305.382 1.254 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2ncc(C)o2)CC1 ZINC001230411811 884478873 /nfs/dbraw/zinc/47/88/73/884478873.db2.gz UKRGWCYTPNCSII-UHFFFAOYSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2coc(C)n2)CC1 ZINC001230415366 884482660 /nfs/dbraw/zinc/48/26/60/884482660.db2.gz OJGCPQROXWSOMM-GFCCVEGCSA-N 1 2 307.394 1.655 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CCC1CCCC1 ZINC001230671164 884728615 /nfs/dbraw/zinc/72/86/15/884728615.db2.gz LXAKGWLHSCSNDS-INIZCTEOSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CCC1CCCC1 ZINC001230671164 884728623 /nfs/dbraw/zinc/72/86/23/884728623.db2.gz LXAKGWLHSCSNDS-INIZCTEOSA-N 1 2 319.449 1.239 20 30 DDEDLO CCc1nocc1C[N@@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230814698 884915316 /nfs/dbraw/zinc/91/53/16/884915316.db2.gz FSRVINFGWCQJDF-ZDUSSCGKSA-N 1 2 322.434 1.526 20 30 DDEDLO CCc1nocc1C[N@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230814698 884915334 /nfs/dbraw/zinc/91/53/34/884915334.db2.gz FSRVINFGWCQJDF-ZDUSSCGKSA-N 1 2 322.434 1.526 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@H](C)CCC ZINC001231131674 885269804 /nfs/dbraw/zinc/26/98/04/885269804.db2.gz PDJUZXUHCGFDJO-CABCVRRESA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@H](C)CCC ZINC001231131674 885269818 /nfs/dbraw/zinc/26/98/18/885269818.db2.gz PDJUZXUHCGFDJO-CABCVRRESA-N 1 2 309.454 1.648 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1CCOCC(F)(F)F ZINC001231293194 885474420 /nfs/dbraw/zinc/47/44/20/885474420.db2.gz KCIMATXAIXRCFF-GHMZBOCLSA-N 1 2 307.316 1.258 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1CCOCC(F)(F)F ZINC001231293194 885474427 /nfs/dbraw/zinc/47/44/27/885474427.db2.gz KCIMATXAIXRCFF-GHMZBOCLSA-N 1 2 307.316 1.258 20 30 DDEDLO N#Cc1ncc(N2CCC(Nc3cccc[nH+]3)CC2)nc1C#N ZINC001363161997 885613723 /nfs/dbraw/zinc/61/37/23/885613723.db2.gz JXZLSFYKJWYQHR-UHFFFAOYSA-N 1 2 305.345 1.696 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(CC)C(=O)Cn1cc[nH+]c1 ZINC001288654893 913021787 /nfs/dbraw/zinc/02/17/87/913021787.db2.gz XWPXQAQUCUORDI-PBHICJAKSA-N 1 2 320.437 1.839 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001288673782 913024283 /nfs/dbraw/zinc/02/42/83/913024283.db2.gz BLMAJSCNUFTEKZ-CQSZACIVSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C/C ZINC001233572194 887120599 /nfs/dbraw/zinc/12/05/99/887120599.db2.gz ZUARUZYKLXELFQ-AHQMPEJBSA-N 1 2 319.449 1.686 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C/C ZINC001233572194 887120609 /nfs/dbraw/zinc/12/06/09/887120609.db2.gz ZUARUZYKLXELFQ-AHQMPEJBSA-N 1 2 319.449 1.686 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001233976031 887514661 /nfs/dbraw/zinc/51/46/61/887514661.db2.gz BKOBWVAUZXYBOB-BBRMVZONSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001233976031 887514665 /nfs/dbraw/zinc/51/46/65/887514665.db2.gz BKOBWVAUZXYBOB-BBRMVZONSA-N 1 2 307.394 1.343 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)CCCNC(=O)CSCC#N)n1 ZINC001234162322 887700824 /nfs/dbraw/zinc/70/08/24/887700824.db2.gz NJYUICVRPNPZOI-SNVBAGLBSA-N 1 2 311.411 1.134 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)CCCNC(=O)CSCC#N)n1 ZINC001234162322 887700832 /nfs/dbraw/zinc/70/08/32/887700832.db2.gz NJYUICVRPNPZOI-SNVBAGLBSA-N 1 2 311.411 1.134 20 30 DDEDLO CCCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214570 887754032 /nfs/dbraw/zinc/75/40/32/887754032.db2.gz CRGFASIKWKAUEV-HUUCEWRRSA-N 1 2 321.465 1.483 20 30 DDEDLO CCCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214570 887754043 /nfs/dbraw/zinc/75/40/43/887754043.db2.gz CRGFASIKWKAUEV-HUUCEWRRSA-N 1 2 321.465 1.483 20 30 DDEDLO CCOC(=O)c1cc(C[N@@H+]2CC[C@H]3SC(=O)C=C3C2)c[nH]1 ZINC001234936316 888259235 /nfs/dbraw/zinc/25/92/35/888259235.db2.gz HABLEPVCKNWWGY-CYBMUJFWSA-N 1 2 306.387 1.966 20 30 DDEDLO CCOC(=O)c1cc(C[N@H+]2CC[C@H]3SC(=O)C=C3C2)c[nH]1 ZINC001234936316 888259250 /nfs/dbraw/zinc/25/92/50/888259250.db2.gz HABLEPVCKNWWGY-CYBMUJFWSA-N 1 2 306.387 1.966 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@](C)(OC)c1ccccc1F ZINC001235105047 888409877 /nfs/dbraw/zinc/40/98/77/888409877.db2.gz HMYAXEJAOJREAY-KSSFIOAISA-N 1 2 318.392 1.853 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@](C)(OC)c1ccccc1F ZINC001235105047 888409882 /nfs/dbraw/zinc/40/98/82/888409882.db2.gz HMYAXEJAOJREAY-KSSFIOAISA-N 1 2 318.392 1.853 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@H](C)C(=O)N(C)C)CCC1 ZINC001235227483 888489591 /nfs/dbraw/zinc/48/95/91/888489591.db2.gz URUJNRMLUBXIFE-CABCVRRESA-N 1 2 319.449 1.189 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@H](C)C(=O)N(C)C)CCC1 ZINC001235227483 888489601 /nfs/dbraw/zinc/48/96/01/888489601.db2.gz URUJNRMLUBXIFE-CABCVRRESA-N 1 2 319.449 1.189 20 30 DDEDLO C[NH+](C)Cc1nc(CNC(=O)N[C@@H](CC#N)C(F)(F)F)co1 ZINC001364445097 888653015 /nfs/dbraw/zinc/65/30/15/888653015.db2.gz XEXXCOLTOIZIQC-VIFPVBQESA-N 1 2 319.287 1.380 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C1CCC1 ZINC001235723422 888914713 /nfs/dbraw/zinc/91/47/13/888914713.db2.gz POUPDJVVOBMMIG-DZGCQCFKSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C1CCC1 ZINC001235723422 888914720 /nfs/dbraw/zinc/91/47/20/888914720.db2.gz POUPDJVVOBMMIG-DZGCQCFKSA-N 1 2 307.438 1.258 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001364758114 889350822 /nfs/dbraw/zinc/35/08/22/889350822.db2.gz RREGHWREFJRAMB-DOTOQJQBSA-N 1 2 318.373 1.246 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001364758114 889350833 /nfs/dbraw/zinc/35/08/33/889350833.db2.gz RREGHWREFJRAMB-DOTOQJQBSA-N 1 2 318.373 1.246 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1(C)CC[NH+](Cc2ccon2)CC1 ZINC001278046563 889484304 /nfs/dbraw/zinc/48/43/04/889484304.db2.gz SDLFBSATXGGYDN-MRXNPFEDSA-N 1 2 307.394 1.472 20 30 DDEDLO CCn1nnc2c1[C@@H](COC)C[N@H+](Cc1ccnc(C#N)c1)C2 ZINC001237461651 889646919 /nfs/dbraw/zinc/64/69/19/889646919.db2.gz DEYXYWWEFFPWGL-CYBMUJFWSA-N 1 2 312.377 1.310 20 30 DDEDLO CCn1nnc2c1[C@@H](COC)C[N@@H+](Cc1ccnc(C#N)c1)C2 ZINC001237461651 889646925 /nfs/dbraw/zinc/64/69/25/889646925.db2.gz DEYXYWWEFFPWGL-CYBMUJFWSA-N 1 2 312.377 1.310 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CCC(F)(F)[C@@H](CO)C3)cn2c1 ZINC001237609145 889751953 /nfs/dbraw/zinc/75/19/53/889751953.db2.gz NZSUEGZRSKQJQU-GFCCVEGCSA-N 1 2 306.316 1.655 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CCC(F)(F)[C@@H](CO)C3)cn2c1 ZINC001237609145 889751960 /nfs/dbraw/zinc/75/19/60/889751960.db2.gz NZSUEGZRSKQJQU-GFCCVEGCSA-N 1 2 306.316 1.655 20 30 DDEDLO N#CC1(CC(=O)NCc2[nH]c3c([nH+]2)CCCC3)CCOCC1 ZINC001364973654 889792228 /nfs/dbraw/zinc/79/22/28/889792228.db2.gz MYKNVMZKRAMPJQ-UHFFFAOYSA-N 1 2 302.378 1.615 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001278368859 891705789 /nfs/dbraw/zinc/70/57/89/891705789.db2.gz IIRLFHOJAWTPBP-XJKSGUPXSA-N 1 2 304.394 1.321 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001278368859 891705804 /nfs/dbraw/zinc/70/58/04/891705804.db2.gz IIRLFHOJAWTPBP-XJKSGUPXSA-N 1 2 304.394 1.321 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001365930875 891949767 /nfs/dbraw/zinc/94/97/67/891949767.db2.gz UHYMYIYDUXIYME-WFASDCNBSA-N 1 2 319.380 1.813 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001365930875 891949781 /nfs/dbraw/zinc/94/97/81/891949781.db2.gz UHYMYIYDUXIYME-WFASDCNBSA-N 1 2 319.380 1.813 20 30 DDEDLO COC(=O)[C@H](C(C)C)[N@H+](C)Cc1cnn2ccc(C#N)cc12 ZINC001249118695 893825684 /nfs/dbraw/zinc/82/56/84/893825684.db2.gz JMTNOKCFSCZFNB-HNNXBMFYSA-N 1 2 300.362 1.835 20 30 DDEDLO COC(=O)[C@H](C(C)C)[N@@H+](C)Cc1cnn2ccc(C#N)cc12 ZINC001249118695 893825703 /nfs/dbraw/zinc/82/57/03/893825703.db2.gz JMTNOKCFSCZFNB-HNNXBMFYSA-N 1 2 300.362 1.835 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cc(C(F)(F)F)ncn1 ZINC001366545579 893967064 /nfs/dbraw/zinc/96/70/64/893967064.db2.gz OPHUCVROOMOJIU-UHFFFAOYSA-N 1 2 322.718 1.910 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cc(C(F)(F)F)ncn1 ZINC001366545579 893967074 /nfs/dbraw/zinc/96/70/74/893967074.db2.gz OPHUCVROOMOJIU-UHFFFAOYSA-N 1 2 322.718 1.910 20 30 DDEDLO C=CCOC[C@@H](O)CNc1ccc(N2CCC(O)CC2)[nH+]c1 ZINC001252453173 895159807 /nfs/dbraw/zinc/15/98/07/895159807.db2.gz CDXLSJAAVYPBSN-HNNXBMFYSA-N 1 2 307.394 1.018 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC[C@@H](N2CC[NH2+]C[C@@H]2C#N)CC1 ZINC001254301691 896339947 /nfs/dbraw/zinc/33/99/47/896339947.db2.gz CEENWFDFYHLRBS-KGLIPLIRSA-N 1 2 308.426 1.573 20 30 DDEDLO CC[C@H](CC#N)[N@@H+]1CCC[C@]2(CCCCN2S(C)(=O)=O)C1 ZINC001255169175 896766853 /nfs/dbraw/zinc/76/68/53/896766853.db2.gz AUCPJFHKSIAPRN-HUUCEWRRSA-N 1 2 313.467 1.959 20 30 DDEDLO CC[C@H](CC#N)[N@H+]1CCC[C@]2(CCCCN2S(C)(=O)=O)C1 ZINC001255169175 896766863 /nfs/dbraw/zinc/76/68/63/896766863.db2.gz AUCPJFHKSIAPRN-HUUCEWRRSA-N 1 2 313.467 1.959 20 30 DDEDLO CO[C@H](C)C(=O)N(C)CC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001367501931 896940865 /nfs/dbraw/zinc/94/08/65/896940865.db2.gz NMZNEUPUWMXZNW-GFCCVEGCSA-N 1 2 307.369 1.622 20 30 DDEDLO CO[C@H](C)C(=O)N(C)CC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001367501931 896940872 /nfs/dbraw/zinc/94/08/72/896940872.db2.gz NMZNEUPUWMXZNW-GFCCVEGCSA-N 1 2 307.369 1.622 20 30 DDEDLO C#CCCCCCC(=O)NCC1(O)C[NH+](C[C@H]2CCCCO2)C1 ZINC001278895748 897158411 /nfs/dbraw/zinc/15/84/11/897158411.db2.gz AZJMGIIVPXVSKS-MRXNPFEDSA-N 1 2 322.449 1.302 20 30 DDEDLO CCOC(=O)C1(C(=O)OCC)CCC([NH2+][C@@H](C#N)CC)CC1 ZINC001256997471 897665530 /nfs/dbraw/zinc/66/55/30/897665530.db2.gz HXEMSRHJURZNTK-GFCCVEGCSA-N 1 2 310.394 1.933 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@]1(C)CC[N@H+](CC(=O)Nc2ccccc2)C1 ZINC001368159273 898849951 /nfs/dbraw/zinc/84/99/51/898849951.db2.gz YTERDEIRWGMPKE-DYVFJYSZSA-N 1 2 314.389 1.365 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@]1(C)CC[N@@H+](CC(=O)Nc2ccccc2)C1 ZINC001368159273 898849958 /nfs/dbraw/zinc/84/99/58/898849958.db2.gz YTERDEIRWGMPKE-DYVFJYSZSA-N 1 2 314.389 1.365 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N1CC[N@H+](C)C[C@H]1c1ccccc1 ZINC001261411893 899553850 /nfs/dbraw/zinc/55/38/50/899553850.db2.gz NREJJHYXJZRMBG-INIZCTEOSA-N 1 2 315.417 1.584 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N1CC[N@@H+](C)C[C@H]1c1ccccc1 ZINC001261411893 899553855 /nfs/dbraw/zinc/55/38/55/899553855.db2.gz NREJJHYXJZRMBG-INIZCTEOSA-N 1 2 315.417 1.584 20 30 DDEDLO CC[C@H](CNC(=O)c1cccc2[nH+]ccn21)NC(=O)[C@@H](C)C#N ZINC001390556480 899665562 /nfs/dbraw/zinc/66/55/62/899665562.db2.gz SCNIISPVZOMFGH-NWDGAFQWSA-N 1 2 313.361 1.119 20 30 DDEDLO COc1ccnc(C(=O)NCC[N@H+](C)Cc2ccccc2C#N)c1 ZINC001390805222 900230522 /nfs/dbraw/zinc/23/05/22/900230522.db2.gz LDMKUDNBQMZLQI-UHFFFAOYSA-N 1 2 324.384 1.824 20 30 DDEDLO COc1ccnc(C(=O)NCC[N@@H+](C)Cc2ccccc2C#N)c1 ZINC001390805222 900230537 /nfs/dbraw/zinc/23/05/37/900230537.db2.gz LDMKUDNBQMZLQI-UHFFFAOYSA-N 1 2 324.384 1.824 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC ZINC001263009927 900466767 /nfs/dbraw/zinc/46/67/67/900466767.db2.gz LKGLHNBKZQTGEK-KEYYUXOJSA-N 1 2 317.437 1.202 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC ZINC001263009927 900466775 /nfs/dbraw/zinc/46/67/75/900466775.db2.gz LKGLHNBKZQTGEK-KEYYUXOJSA-N 1 2 317.437 1.202 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)cc1C ZINC001263817447 900732308 /nfs/dbraw/zinc/73/23/08/900732308.db2.gz VUYRXSATFPTMGX-INIZCTEOSA-N 1 2 318.392 1.930 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)cc1C ZINC001263817447 900732324 /nfs/dbraw/zinc/73/23/24/900732324.db2.gz VUYRXSATFPTMGX-INIZCTEOSA-N 1 2 318.392 1.930 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@H+](Cc1cc2n(n1)CCCC2)C1CC1 ZINC001391153206 901074457 /nfs/dbraw/zinc/07/44/57/901074457.db2.gz GEPDHVHUIISWDT-ZDUSSCGKSA-N 1 2 315.421 1.460 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@@H+](Cc1cc2n(n1)CCCC2)C1CC1 ZINC001391153206 901074465 /nfs/dbraw/zinc/07/44/65/901074465.db2.gz GEPDHVHUIISWDT-ZDUSSCGKSA-N 1 2 315.421 1.460 20 30 DDEDLO CC1(C)C[C@@H](NC(=O)CCn2cc[nH+]c2)CCN(CC#N)C1 ZINC001264753235 901333853 /nfs/dbraw/zinc/33/38/53/901333853.db2.gz DJYDKKZXQFLUPI-AWEZNQCLSA-N 1 2 303.410 1.404 20 30 DDEDLO CC[N@H+](CCCNC(=O)C#CC(C)C)Cc1nnc(C)s1 ZINC001265113626 901582125 /nfs/dbraw/zinc/58/21/25/901582125.db2.gz UGUQHBZKQFMUPT-UHFFFAOYSA-N 1 2 308.451 1.834 20 30 DDEDLO CC[N@@H+](CCCNC(=O)C#CC(C)C)Cc1nnc(C)s1 ZINC001265113626 901582138 /nfs/dbraw/zinc/58/21/38/901582138.db2.gz UGUQHBZKQFMUPT-UHFFFAOYSA-N 1 2 308.451 1.834 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001265224623 901738900 /nfs/dbraw/zinc/73/89/00/901738900.db2.gz GYNXHCRQXYPCFV-QLFBSQMISA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001265224623 901738914 /nfs/dbraw/zinc/73/89/14/901738914.db2.gz GYNXHCRQXYPCFV-QLFBSQMISA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001265275999 901804562 /nfs/dbraw/zinc/80/45/62/901804562.db2.gz XJYZIONTXSZBMJ-OAHLLOKOSA-N 1 2 317.364 1.590 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001265275999 901804572 /nfs/dbraw/zinc/80/45/72/901804572.db2.gz XJYZIONTXSZBMJ-OAHLLOKOSA-N 1 2 317.364 1.590 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001265275998 901804831 /nfs/dbraw/zinc/80/48/31/901804831.db2.gz XJYZIONTXSZBMJ-HNNXBMFYSA-N 1 2 317.364 1.590 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001265275998 901804835 /nfs/dbraw/zinc/80/48/35/901804835.db2.gz XJYZIONTXSZBMJ-HNNXBMFYSA-N 1 2 317.364 1.590 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001265318742 901881700 /nfs/dbraw/zinc/88/17/00/901881700.db2.gz CKUJLBSADBSXQX-GJZGRUSLSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001265318742 901881711 /nfs/dbraw/zinc/88/17/11/901881711.db2.gz CKUJLBSADBSXQX-GJZGRUSLSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC12CC[NH+]([C@H](C)c1nnnn1C)CC2 ZINC001265324332 901888595 /nfs/dbraw/zinc/88/85/95/901888595.db2.gz XWSCHKLPFNOJFN-OLZOCXBDSA-N 1 2 318.425 1.208 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2c(C)noc2C)C1 ZINC001266201491 903100732 /nfs/dbraw/zinc/10/07/32/903100732.db2.gz WCXHEKGNUKGTOX-CQSZACIVSA-N 1 2 305.378 1.135 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2c(C)noc2C)C1 ZINC001266201491 903100741 /nfs/dbraw/zinc/10/07/41/903100741.db2.gz WCXHEKGNUKGTOX-CQSZACIVSA-N 1 2 305.378 1.135 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001266204616 903105784 /nfs/dbraw/zinc/10/57/84/903105784.db2.gz FDVKRCJDYYGTBJ-LSDHHAIUSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001266204616 903105789 /nfs/dbraw/zinc/10/57/89/903105789.db2.gz FDVKRCJDYYGTBJ-LSDHHAIUSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001266218558 903135995 /nfs/dbraw/zinc/13/59/95/903135995.db2.gz RIHQHBBBHMIRNE-CQSZACIVSA-N 1 2 307.438 1.143 20 30 DDEDLO CCCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001266218558 903136000 /nfs/dbraw/zinc/13/60/00/903136000.db2.gz RIHQHBBBHMIRNE-CQSZACIVSA-N 1 2 307.438 1.143 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280316124 903591349 /nfs/dbraw/zinc/59/13/49/903591349.db2.gz APSGHYVEHJAOON-ZIAGYGMSSA-N 1 2 318.421 1.545 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280316124 903591366 /nfs/dbraw/zinc/59/13/66/903591366.db2.gz APSGHYVEHJAOON-ZIAGYGMSSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1COCC[N@@H+]1CC1=CCCCC1 ZINC001280402192 903639243 /nfs/dbraw/zinc/63/92/43/903639243.db2.gz GPMQBXZJVVMGAP-FUHWJXTLSA-N 1 2 322.449 1.631 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1COCC[N@H+]1CC1=CCCCC1 ZINC001280402192 903639250 /nfs/dbraw/zinc/63/92/50/903639250.db2.gz GPMQBXZJVVMGAP-FUHWJXTLSA-N 1 2 322.449 1.631 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001280639633 903873916 /nfs/dbraw/zinc/87/39/16/903873916.db2.gz XSHNKBJPVDCMDV-KBPBESRZSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CCCC(=O)N1CCOC2(C[NH+](CCCOC(C)C)C2)C1 ZINC001280891547 904155919 /nfs/dbraw/zinc/15/59/19/904155919.db2.gz PJYZESVSEXXNEW-UHFFFAOYSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C#CC(C)C)O2 ZINC001280917515 904187646 /nfs/dbraw/zinc/18/76/46/904187646.db2.gz CPKIMAGAUUQJCW-INIZCTEOSA-N 1 2 320.433 1.198 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H](C)c1cnn(C)c1)O2 ZINC001280937439 904216108 /nfs/dbraw/zinc/21/61/08/904216108.db2.gz VQBSQFKEYNKYJT-HIFRSBDPSA-N 1 2 318.421 1.059 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)CN(C)C(=O)Cn2cc[nH+]c2)CCC1 ZINC001281023915 904327628 /nfs/dbraw/zinc/32/76/28/904327628.db2.gz IICDGBYFFVMGMP-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)[C@@H]1C ZINC001281135230 904454256 /nfs/dbraw/zinc/45/42/56/904454256.db2.gz MDEDHDOTBFEIDD-LSDHHAIUSA-N 1 2 316.405 1.182 20 30 DDEDLO C=CCCCC(=O)NC[C@H](NC(=O)Cc1c[nH]c[nH+]1)C(C)(C)C ZINC001281324012 904679725 /nfs/dbraw/zinc/67/97/25/904679725.db2.gz ZQXMJJCVXZOEDM-AWEZNQCLSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CC[C@@H]1C ZINC001281426115 904826921 /nfs/dbraw/zinc/82/69/21/904826921.db2.gz UINNAFRLDHMRRQ-STQMWFEESA-N 1 2 304.394 1.414 20 30 DDEDLO C[C@@H](CC(=O)N[C@@H]1CCN(CC#N)CC1(C)C)n1cc[nH+]c1 ZINC001281572413 905045846 /nfs/dbraw/zinc/04/58/46/905045846.db2.gz RJCRTQCGKNBUHP-UONOGXRCSA-N 1 2 303.410 1.574 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1ncccn1 ZINC001281931552 905323646 /nfs/dbraw/zinc/32/36/46/905323646.db2.gz CGQGBHZCOQTOPS-RHSMWYFYSA-N 1 2 318.421 1.227 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1ncccn1 ZINC001281931552 905323661 /nfs/dbraw/zinc/32/36/61/905323661.db2.gz CGQGBHZCOQTOPS-RHSMWYFYSA-N 1 2 318.421 1.227 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)[C@H](C)[NH2+]Cc2cnsn2)cn1 ZINC001282218549 905621186 /nfs/dbraw/zinc/62/11/86/905621186.db2.gz JDTSAOWHNYKYJM-QWRGUYRKSA-N 1 2 315.402 1.211 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc2nnc(C)o2)nc1 ZINC001282219576 905623941 /nfs/dbraw/zinc/62/39/41/905623941.db2.gz LIGNYUBNSCFGPW-MNOVXSKESA-N 1 2 313.361 1.051 20 30 DDEDLO Cc1nonc1C[N@H+]1CCCCC[C@H]1CNC(=O)C#CC(C)C ZINC001282665137 905932806 /nfs/dbraw/zinc/93/28/06/905932806.db2.gz CXWVJGCASBTPSJ-HNNXBMFYSA-N 1 2 318.421 1.898 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCCCC[C@H]1CNC(=O)C#CC(C)C ZINC001282665137 905932823 /nfs/dbraw/zinc/93/28/23/905932823.db2.gz CXWVJGCASBTPSJ-HNNXBMFYSA-N 1 2 318.421 1.898 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2[C@H]3C[N@@H+](Cc4cnon4)C[C@H]32)CCC1 ZINC001282876049 906139869 /nfs/dbraw/zinc/13/98/69/906139869.db2.gz UOWANYQGRBGTFE-QKDCVEJESA-N 1 2 316.405 1.610 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2[C@H]3C[N@H+](Cc4cnon4)C[C@H]32)CCC1 ZINC001282876049 906139875 /nfs/dbraw/zinc/13/98/75/906139875.db2.gz UOWANYQGRBGTFE-QKDCVEJESA-N 1 2 316.405 1.610 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001283465953 907397450 /nfs/dbraw/zinc/39/74/50/907397450.db2.gz LITDCDBEZCWNQN-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001283465953 907397466 /nfs/dbraw/zinc/39/74/66/907397466.db2.gz LITDCDBEZCWNQN-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)CCc1cncn1C ZINC001372568443 907652719 /nfs/dbraw/zinc/65/27/19/907652719.db2.gz RULKLXNDPPSPRH-CQSZACIVSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)CCc1cncn1C ZINC001372568443 907652724 /nfs/dbraw/zinc/65/27/24/907652724.db2.gz RULKLXNDPPSPRH-CQSZACIVSA-N 1 2 310.829 1.686 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)CCCNC(=O)[C@H](C)C#N)c(C)[nH+]1 ZINC001283640984 907729751 /nfs/dbraw/zinc/72/97/51/907729751.db2.gz JCTFXGCBYWFTPI-GFCCVEGCSA-N 1 2 316.405 1.745 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@@H]1CCCN1C(=O)COCC ZINC001393862038 908103089 /nfs/dbraw/zinc/10/30/89/908103089.db2.gz AZBSZWONSSCDAA-LBPRGKRZSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@@H]1CCCN1C(=O)COCC ZINC001393862038 908103097 /nfs/dbraw/zinc/10/30/97/908103097.db2.gz AZBSZWONSSCDAA-LBPRGKRZSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001283939284 908215973 /nfs/dbraw/zinc/21/59/73/908215973.db2.gz BMXURUMRFHZMOM-CYBMUJFWSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1conc1COC)C1CC1 ZINC001372897267 908527718 /nfs/dbraw/zinc/52/77/18/908527718.db2.gz JKINMLMTYGSNGJ-UHFFFAOYSA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1conc1COC)C1CC1 ZINC001372897267 908527725 /nfs/dbraw/zinc/52/77/25/908527725.db2.gz JKINMLMTYGSNGJ-UHFFFAOYSA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001284448327 909018819 /nfs/dbraw/zinc/01/88/19/909018819.db2.gz UFYWSSKNXCGUPJ-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCN(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001284582949 909212879 /nfs/dbraw/zinc/21/28/79/909212879.db2.gz QGTZXNNOQXYEKH-MRXNPFEDSA-N 1 2 306.410 1.519 20 30 DDEDLO CCCN(CCNC(=O)Cc1[nH]c[nH+]c1C)C(=O)C#CC(C)C ZINC001284712642 909385575 /nfs/dbraw/zinc/38/55/75/909385575.db2.gz MCGYXYWYWKGYLX-UHFFFAOYSA-N 1 2 318.421 1.275 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+](CCN(C)C(=O)CC)CC1 ZINC001284835202 909549933 /nfs/dbraw/zinc/54/99/33/909549933.db2.gz DQXHWIUFQYSGCN-UHFFFAOYSA-N 1 2 309.454 1.601 20 30 DDEDLO C=CCCC(=O)NCC1CN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001284954411 909810154 /nfs/dbraw/zinc/81/01/54/909810154.db2.gz VOLBEBNPECABHK-CHWSQXEVSA-N 1 2 302.378 1.054 20 30 DDEDLO C=CCCC(=O)NCC1CN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001284954411 909810170 /nfs/dbraw/zinc/81/01/70/909810170.db2.gz VOLBEBNPECABHK-CHWSQXEVSA-N 1 2 302.378 1.054 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](CC)CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001285072136 910032009 /nfs/dbraw/zinc/03/20/09/910032009.db2.gz WLMFCJJFZITJHZ-MGPQQGTHSA-N 1 2 318.421 1.881 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](CC)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001285072136 910032024 /nfs/dbraw/zinc/03/20/24/910032024.db2.gz WLMFCJJFZITJHZ-MGPQQGTHSA-N 1 2 318.421 1.881 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001285122303 910093100 /nfs/dbraw/zinc/09/31/00/910093100.db2.gz IGLVODGQZASDSP-AWEZNQCLSA-N 1 2 304.394 1.299 20 30 DDEDLO CC[C@@H](OC)C(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373584610 910249114 /nfs/dbraw/zinc/24/91/14/910249114.db2.gz HFVJAMKDPCHKKK-GOEBONIOSA-N 1 2 319.380 1.813 20 30 DDEDLO CC[C@@H](OC)C(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373584610 910249138 /nfs/dbraw/zinc/24/91/38/910249138.db2.gz HFVJAMKDPCHKKK-GOEBONIOSA-N 1 2 319.380 1.813 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)N(C)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001285624221 910905342 /nfs/dbraw/zinc/90/53/42/910905342.db2.gz AAUUKBCYZJKQCJ-UONOGXRCSA-N 1 2 318.421 1.375 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001285643304 910954059 /nfs/dbraw/zinc/95/40/59/910954059.db2.gz DFQPYTVGVLJZSE-ZIAGYGMSSA-N 1 2 304.394 1.115 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001285903025 911393263 /nfs/dbraw/zinc/39/32/63/911393263.db2.gz KJPRBUYLPNMYQW-CJNGLKHVSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCN(C)c1nnc(C2CCC2)n1CC[N@H+]1CCOC[C@@H]1C ZINC001286372032 911945726 /nfs/dbraw/zinc/94/57/26/911945726.db2.gz LEQZADZARRGXNB-AWEZNQCLSA-N 1 2 317.437 1.336 20 30 DDEDLO C#CCN(C)c1nnc(C2CCC2)n1CC[N@@H+]1CCOC[C@@H]1C ZINC001286372032 911945737 /nfs/dbraw/zinc/94/57/37/911945737.db2.gz LEQZADZARRGXNB-AWEZNQCLSA-N 1 2 317.437 1.336 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001286478033 911993411 /nfs/dbraw/zinc/99/34/11/911993411.db2.gz UULVFNRKUYMLOJ-MGPQQGTHSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1nccn2ccnc12 ZINC001375105939 914976726 /nfs/dbraw/zinc/97/67/26/914976726.db2.gz UWCIYSNBKLHPAF-NSHDSACASA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1nccn2ccnc12 ZINC001375105939 914976743 /nfs/dbraw/zinc/97/67/43/914976743.db2.gz UWCIYSNBKLHPAF-NSHDSACASA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001375106819 914981359 /nfs/dbraw/zinc/98/13/59/914981359.db2.gz MRJJCRICUZBOSF-FPMFFAJLSA-N 1 2 310.829 1.713 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001375106819 914981370 /nfs/dbraw/zinc/98/13/70/914981370.db2.gz MRJJCRICUZBOSF-FPMFFAJLSA-N 1 2 310.829 1.713 20 30 DDEDLO CC#CCCCC(=O)N(C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001296114994 916242767 /nfs/dbraw/zinc/24/27/67/916242767.db2.gz LUKGSTRKMHHIHQ-UHFFFAOYSA-N 1 2 304.394 1.111 20 30 DDEDLO CC#CCCCC(=O)N(C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001296114994 916242785 /nfs/dbraw/zinc/24/27/85/916242785.db2.gz LUKGSTRKMHHIHQ-UHFFFAOYSA-N 1 2 304.394 1.111 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC(CNC(=O)CCn2cc[nH+]c2)C1 ZINC001297241942 916830241 /nfs/dbraw/zinc/83/02/41/916830241.db2.gz WFDNTWFHXWWSSG-KBPBESRZSA-N 1 2 318.421 1.306 20 30 DDEDLO C[C@H](CNC(=O)c1ccc(C#N)[nH]1)[NH2+]Cc1nc(C2CC2)no1 ZINC001376705608 919110885 /nfs/dbraw/zinc/11/08/85/919110885.db2.gz BCGGPIOGKTWKFA-SECBINFHSA-N 1 2 314.349 1.055 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@H](c3cccc(F)c3)CC2=O)CC1 ZINC000076918920 185055410 /nfs/dbraw/zinc/05/54/10/185055410.db2.gz BMUKZXQMWPUSGA-MRXNPFEDSA-N 1 2 315.392 1.350 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@H+](Cc2cccc(F)c2C#N)C1 ZINC000452003764 231266779 /nfs/dbraw/zinc/26/67/79/231266779.db2.gz AAVZWAYBTZFIOS-ZDUSSCGKSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@@H+](Cc2cccc(F)c2C#N)C1 ZINC000452003764 231266782 /nfs/dbraw/zinc/26/67/82/231266782.db2.gz AAVZWAYBTZFIOS-ZDUSSCGKSA-N 1 2 304.369 1.933 20 30 DDEDLO CCc1ccc(CNC(=O)C[N@@H+]2CC[C@H](NC(=O)NC)C2)s1 ZINC000330963451 529647809 /nfs/dbraw/zinc/64/78/09/529647809.db2.gz ZIUCRUBBLAIIJW-NSHDSACASA-N 1 2 324.450 1.134 20 30 DDEDLO CCc1ccc(CNC(=O)C[N@H+]2CC[C@H](NC(=O)NC)C2)s1 ZINC000330963451 529647814 /nfs/dbraw/zinc/64/78/14/529647814.db2.gz ZIUCRUBBLAIIJW-NSHDSACASA-N 1 2 324.450 1.134 20 30 DDEDLO Cc1noc(C)c1[C@H](C)CC(=O)NC[C@H]1CN(C)CC[N@@H+]1C ZINC000328672922 539298324 /nfs/dbraw/zinc/29/83/24/539298324.db2.gz IOEZYZJADAMIRV-RISCZKNCSA-N 1 2 308.426 1.987 20 30 DDEDLO Cc1noc(C)c1[C@H](C)CC(=O)NC[C@H]1CN(C)CC[N@H+]1C ZINC000328672922 539298325 /nfs/dbraw/zinc/29/83/25/539298325.db2.gz IOEZYZJADAMIRV-RISCZKNCSA-N 1 2 308.426 1.987 20 30 DDEDLO CC[NH+]1CCN(C(=O)C(=O)Nc2cc(C#N)ccc2Cl)CC1 ZINC000154677999 290676806 /nfs/dbraw/zinc/67/68/06/290676806.db2.gz BUAXZGMLAOESKA-UHFFFAOYSA-N 1 2 320.780 1.314 20 30 DDEDLO CC(C)(CNC(=O)CCc1c[nH+]c[nH]1)N1CCS(=O)CC1 ZINC000329280420 539302415 /nfs/dbraw/zinc/30/24/15/539302415.db2.gz MAZJEPWBHCGDPS-UHFFFAOYSA-N 1 2 312.439 1.142 20 30 DDEDLO CC(C)(CNC(=O)CCc1c[nH]c[nH+]1)N1CCS(=O)CC1 ZINC000329280420 539302416 /nfs/dbraw/zinc/30/24/16/539302416.db2.gz MAZJEPWBHCGDPS-UHFFFAOYSA-N 1 2 312.439 1.142 20 30 DDEDLO CCc1ncc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cn1 ZINC000414416182 529754078 /nfs/dbraw/zinc/75/40/78/529754078.db2.gz WAJNWSCFEKEPTO-ZDUSSCGKSA-N 1 2 323.404 1.411 20 30 DDEDLO CCc1ncc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cn1 ZINC000414416182 529754079 /nfs/dbraw/zinc/75/40/79/529754079.db2.gz WAJNWSCFEKEPTO-ZDUSSCGKSA-N 1 2 323.404 1.411 20 30 DDEDLO C[C@@H]1NC(=O)N(CC[N@H+]2C[C@@H](c3ccccc3)[C@H](C#N)C2)C1=O ZINC000459491607 233249621 /nfs/dbraw/zinc/24/96/21/233249621.db2.gz MMPQLBXAMMWEFK-CFVMTHIKSA-N 1 2 312.373 1.166 20 30 DDEDLO C[C@@H]1NC(=O)N(CC[N@@H+]2C[C@@H](c3ccccc3)[C@H](C#N)C2)C1=O ZINC000459491607 233249634 /nfs/dbraw/zinc/24/96/34/233249634.db2.gz MMPQLBXAMMWEFK-CFVMTHIKSA-N 1 2 312.373 1.166 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCC[C@](F)(CO)C1 ZINC000287093451 219308652 /nfs/dbraw/zinc/30/86/52/219308652.db2.gz WAEKVPMLMXRVHC-MLGOLLRUSA-N 1 2 305.353 1.682 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCC[C@](F)(CO)C1 ZINC000287093451 219308653 /nfs/dbraw/zinc/30/86/53/219308653.db2.gz WAEKVPMLMXRVHC-MLGOLLRUSA-N 1 2 305.353 1.682 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000179714247 186209334 /nfs/dbraw/zinc/20/93/34/186209334.db2.gz KNXNDXBNXRSWCI-QGZVFWFLSA-N 1 2 302.374 1.036 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000179714247 186209336 /nfs/dbraw/zinc/20/93/36/186209336.db2.gz KNXNDXBNXRSWCI-QGZVFWFLSA-N 1 2 302.374 1.036 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000186046793 186235034 /nfs/dbraw/zinc/23/50/34/186235034.db2.gz OZMNNLIWCRLHRN-DOTOQJQBSA-N 1 2 315.417 1.689 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000023258171 352183101 /nfs/dbraw/zinc/18/31/01/352183101.db2.gz XYDDQIOJKKBHQD-AWEZNQCLSA-N 1 2 300.406 1.153 20 30 DDEDLO C[C@@H](C(=O)N(C)C)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000023258171 352183103 /nfs/dbraw/zinc/18/31/03/352183103.db2.gz XYDDQIOJKKBHQD-AWEZNQCLSA-N 1 2 300.406 1.153 20 30 DDEDLO C#CCNC(=O)N[C@H](C[NH+]1CCOCC1)c1ccc(C)cc1 ZINC000028799296 352231466 /nfs/dbraw/zinc/23/14/66/352231466.db2.gz QOYSZWZONDVHIX-MRXNPFEDSA-N 1 2 301.390 1.301 20 30 DDEDLO COCC[N@H+](CC(=O)Nc1ccc(C#N)cc1)[C@H]1CCOC1 ZINC000490081761 233993567 /nfs/dbraw/zinc/99/35/67/233993567.db2.gz UMWNAZILFQEZPH-HNNXBMFYSA-N 1 2 303.362 1.234 20 30 DDEDLO COCC[N@@H+](CC(=O)Nc1ccc(C#N)cc1)[C@H]1CCOC1 ZINC000490081761 233993570 /nfs/dbraw/zinc/99/35/70/233993570.db2.gz UMWNAZILFQEZPH-HNNXBMFYSA-N 1 2 303.362 1.234 20 30 DDEDLO COC(=O)c1cc(C#N)c(=O)n(Cc2[nH]c3ccccc3[nH+]2)c1 ZINC000042155903 352331770 /nfs/dbraw/zinc/33/17/70/352331770.db2.gz LSMOSWHQVFCIAC-UHFFFAOYSA-N 1 2 308.297 1.431 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@H](C)c2ccccc2F)CC1 ZINC000051148248 352579365 /nfs/dbraw/zinc/57/93/65/352579365.db2.gz IBGBKGUQTKJYSQ-CQSZACIVSA-N 1 2 305.397 1.807 20 30 DDEDLO CC(C)N(CCC#N)C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000051225945 352582304 /nfs/dbraw/zinc/58/23/04/352582304.db2.gz VWILRDVHNUJGMR-UHFFFAOYSA-N 1 2 315.421 1.354 20 30 DDEDLO C=CCn1nnnc1SCc1[nH+]ccn1CC(F)(F)F ZINC000057748287 352827746 /nfs/dbraw/zinc/82/77/46/352827746.db2.gz XPVSWJZGKCBZMS-UHFFFAOYSA-N 1 2 304.301 1.910 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCCCOC)Cc1cccc(C#N)c1 ZINC000063388224 352910927 /nfs/dbraw/zinc/91/09/27/352910927.db2.gz YPPNDMDQYYFPIN-UHFFFAOYSA-N 1 2 301.390 1.699 20 30 DDEDLO C=CC[N@H+](CC(=O)NCCCOC)Cc1cccc(C#N)c1 ZINC000063388224 352910929 /nfs/dbraw/zinc/91/09/29/352910929.db2.gz YPPNDMDQYYFPIN-UHFFFAOYSA-N 1 2 301.390 1.699 20 30 DDEDLO COC(=O)[C@](C)([NH2+]CCOc1ccc(C#N)cc1OC)C1CC1 ZINC000081153031 353654382 /nfs/dbraw/zinc/65/43/82/353654382.db2.gz VDAZCOZJUKXBFR-QGZVFWFLSA-N 1 2 318.373 1.877 20 30 DDEDLO C[N@H+](Cc1cn[nH]c1)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000085826295 353738123 /nfs/dbraw/zinc/73/81/23/353738123.db2.gz GNTAISAEDXAQOC-GFCCVEGCSA-N 1 2 301.375 1.580 20 30 DDEDLO C[N@@H+](Cc1cn[nH]c1)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000085826295 353738125 /nfs/dbraw/zinc/73/81/25/353738125.db2.gz GNTAISAEDXAQOC-GFCCVEGCSA-N 1 2 301.375 1.580 20 30 DDEDLO N#CC1(NC(=O)c2cccc(NC(=O)CCn3cc[nH+]c3)c2)CC1 ZINC000115152138 353984234 /nfs/dbraw/zinc/98/42/34/353984234.db2.gz VVACMBCTVLPFGL-UHFFFAOYSA-N 1 2 323.356 1.698 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H]2Cn2cc[nH+]c2)o1 ZINC000288098975 354435844 /nfs/dbraw/zinc/43/58/44/354435844.db2.gz KWFCZUQWAFKJMB-NSHDSACASA-N 1 2 306.347 1.201 20 30 DDEDLO COC(=O)[C@H]([NH2+]C[C@H](O)COc1ccccc1C#N)C(C)C ZINC000314621500 354487036 /nfs/dbraw/zinc/48/70/36/354487036.db2.gz WRRBQUQBNHBICC-DZGCQCFKSA-N 1 2 306.362 1.085 20 30 DDEDLO C=CCNC(=O)Cc1noc(Cn2c(C)[nH+]c3ccccc32)n1 ZINC000350697023 354607288 /nfs/dbraw/zinc/60/72/88/354607288.db2.gz XJKOUCSXQZLONT-UHFFFAOYSA-N 1 2 311.345 1.621 20 30 DDEDLO C=CCCn1cc(-c2nc([C@H]3C[N@@H+](C4CC4)CCO3)no2)nn1 ZINC000351347066 354617997 /nfs/dbraw/zinc/61/79/97/354617997.db2.gz MAONYRVBZDZSJT-CYBMUJFWSA-N 1 2 316.365 1.440 20 30 DDEDLO C=CCCn1cc(-c2nc([C@H]3C[N@H+](C4CC4)CCO3)no2)nn1 ZINC000351347066 354617998 /nfs/dbraw/zinc/61/79/98/354617998.db2.gz MAONYRVBZDZSJT-CYBMUJFWSA-N 1 2 316.365 1.440 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000584875603 354787214 /nfs/dbraw/zinc/78/72/14/354787214.db2.gz ZGZLHUOHURQLSD-RBSFLKMASA-N 1 2 318.421 1.596 20 30 DDEDLO N#C[C@@H]1C[N@@H+](CC2=CCCOC2)C[C@]12C(=O)Nc1ccccc12 ZINC000371465761 283060838 /nfs/dbraw/zinc/06/08/38/283060838.db2.gz HRNYFNLQLHLITH-KDOFPFPSSA-N 1 2 309.369 1.679 20 30 DDEDLO N#C[C@@H]1C[N@H+](CC2=CCCOC2)C[C@]12C(=O)Nc1ccccc12 ZINC000371465761 283060842 /nfs/dbraw/zinc/06/08/42/283060842.db2.gz HRNYFNLQLHLITH-KDOFPFPSSA-N 1 2 309.369 1.679 20 30 DDEDLO N#Cc1c(C[N@@H+]2CCN3C(=O)OCC[C@H]3C2)cn2ccccc12 ZINC000494320615 235020539 /nfs/dbraw/zinc/02/05/39/235020539.db2.gz YKAVZWICLWUOMP-AWEZNQCLSA-N 1 2 310.357 1.837 20 30 DDEDLO N#Cc1c(C[N@H+]2CCN3C(=O)OCC[C@H]3C2)cn2ccccc12 ZINC000494320615 235020541 /nfs/dbraw/zinc/02/05/41/235020541.db2.gz YKAVZWICLWUOMP-AWEZNQCLSA-N 1 2 310.357 1.837 20 30 DDEDLO Cc1ccsc1[C@@H](CO)[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000494644452 235066634 /nfs/dbraw/zinc/06/66/34/235066634.db2.gz BNLXKOKGUNUYDJ-ZIAGYGMSSA-N 1 2 315.398 1.778 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000590206985 355058487 /nfs/dbraw/zinc/05/84/87/355058487.db2.gz RXXUIQZRNNOUPB-OAHLLOKOSA-N 1 2 319.361 1.290 20 30 DDEDLO Cn1cc([C@@H](CO)[NH2+]Cc2ccc(C#N)cc2OC(F)F)cn1 ZINC000494810805 235092244 /nfs/dbraw/zinc/09/22/44/235092244.db2.gz PBTGCKVYOVYXHK-CYBMUJFWSA-N 1 2 322.315 1.716 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[C@H]3C[N@H+](C)C[C@H]32)cc1C#N ZINC000590444232 355098307 /nfs/dbraw/zinc/09/83/07/355098307.db2.gz MTUWIPJQPKFJRY-JOYOIKCWSA-N 1 2 318.402 1.157 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[C@H]3C[N@@H+](C)C[C@H]32)cc1C#N ZINC000590444232 355098310 /nfs/dbraw/zinc/09/83/10/355098310.db2.gz MTUWIPJQPKFJRY-JOYOIKCWSA-N 1 2 318.402 1.157 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](N3CCn4c[nH+]cc4C3)C2=O)cc1 ZINC000590599730 355125804 /nfs/dbraw/zinc/12/58/04/355125804.db2.gz LRUBBSMECMYVSR-KRWDZBQOSA-N 1 2 321.384 1.766 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)[C@@H]1C[C@H]1c1cccc(C#N)c1 ZINC000591021750 355233930 /nfs/dbraw/zinc/23/39/30/355233930.db2.gz WFYSGSOFMUDCCW-XOKHGSTOSA-N 1 2 313.401 1.499 20 30 DDEDLO N#Cc1cc(N2CC[C@@H]([NH+]3CCOCC3)C2)ccc1[N+](=O)[O-] ZINC000156422250 283105806 /nfs/dbraw/zinc/10/58/06/283105806.db2.gz ULBSMLMGEPHYJJ-CQSZACIVSA-N 1 2 302.334 1.377 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000592152800 355518889 /nfs/dbraw/zinc/51/88/89/355518889.db2.gz DRNRLQVKTYVLNY-AWEZNQCLSA-N 1 2 301.306 1.322 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000592152800 355518893 /nfs/dbraw/zinc/51/88/93/355518893.db2.gz DRNRLQVKTYVLNY-AWEZNQCLSA-N 1 2 301.306 1.322 20 30 DDEDLO CC(C)O[C@@H]1CCC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000593054076 355781510 /nfs/dbraw/zinc/78/15/10/355781510.db2.gz AIUDMAAZUZDUJS-CVEARBPZSA-N 1 2 301.390 1.974 20 30 DDEDLO CC(C)O[C@@H]1CCC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000593054076 355781514 /nfs/dbraw/zinc/78/15/14/355781514.db2.gz AIUDMAAZUZDUJS-CVEARBPZSA-N 1 2 301.390 1.974 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnc(C(F)(F)F)nc1 ZINC000593154822 355814000 /nfs/dbraw/zinc/81/40/00/355814000.db2.gz IIZDHOZGCUTLJN-LLVKDONJSA-N 1 2 301.272 1.069 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccsc2C#N)C[C@@H]1C ZINC000593567912 355928558 /nfs/dbraw/zinc/92/85/58/355928558.db2.gz DUEGPLHHNYTSKU-QWRGUYRKSA-N 1 2 313.448 1.723 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccsc2C#N)C[C@@H]1C ZINC000593567912 355928559 /nfs/dbraw/zinc/92/85/59/355928559.db2.gz DUEGPLHHNYTSKU-QWRGUYRKSA-N 1 2 313.448 1.723 20 30 DDEDLO N#Cc1ccc(F)cc1C[NH+]1CCC(N2CCNC2=O)CC1 ZINC000158549999 283151448 /nfs/dbraw/zinc/15/14/48/283151448.db2.gz GWVXRZMIYCPLKD-UHFFFAOYSA-N 1 2 302.353 1.687 20 30 DDEDLO CN(C[C@@H](O)C[NH+]1CCOCC1)[C@H](C#N)c1ccc(Cl)cc1 ZINC000595344136 356457287 /nfs/dbraw/zinc/45/72/87/356457287.db2.gz MHLZYEMNDDHIKU-HZPDHXFCSA-N 1 2 323.824 1.530 20 30 DDEDLO Cn1cc([C@H]([NH2+]Cc2nc(C#N)cs2)C2(CO)CCC2)cn1 ZINC000595496010 356517680 /nfs/dbraw/zinc/51/76/80/356517680.db2.gz XNIODOGJYKJGQL-AWEZNQCLSA-N 1 2 317.418 1.742 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)N(C)Cc2c[nH+]c[nH]2)c(C#N)c1C ZINC000595594205 356567304 /nfs/dbraw/zinc/56/73/04/356567304.db2.gz IQYQBBXKCRLZCV-JTQLQIEISA-N 1 2 301.350 1.950 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)N(C)Cc2c[nH]c[nH+]2)c(C#N)c1C ZINC000595594205 356567307 /nfs/dbraw/zinc/56/73/07/356567307.db2.gz IQYQBBXKCRLZCV-JTQLQIEISA-N 1 2 301.350 1.950 20 30 DDEDLO C[N@H+](C[C@H]1COCCO1)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000595738055 356629034 /nfs/dbraw/zinc/62/90/34/356629034.db2.gz VNIJHSXOMLBAFR-QWHCGFSZSA-N 1 2 321.402 1.072 20 30 DDEDLO C[N@@H+](C[C@H]1COCCO1)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000595738055 356629037 /nfs/dbraw/zinc/62/90/37/356629037.db2.gz VNIJHSXOMLBAFR-QWHCGFSZSA-N 1 2 321.402 1.072 20 30 DDEDLO COC(=O)[C@@](C)(CCF)[NH2+]C[C@H](O)COc1ccc(C#N)cc1 ZINC000595776548 356647937 /nfs/dbraw/zinc/64/79/37/356647937.db2.gz YBCSMGSXMREEEP-XJKSGUPXSA-N 1 2 324.352 1.179 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[N@@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000595753892 356637651 /nfs/dbraw/zinc/63/76/51/356637651.db2.gz ZRYNCAXXZLBQAY-OLZOCXBDSA-N 1 2 307.419 1.585 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[N@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000595753892 356637654 /nfs/dbraw/zinc/63/76/54/356637654.db2.gz ZRYNCAXXZLBQAY-OLZOCXBDSA-N 1 2 307.419 1.585 20 30 DDEDLO CCN(CC(=O)N1CC[NH+](Cc2ccsc2)CC1)[C@@H](C)C#N ZINC000595817699 356662916 /nfs/dbraw/zinc/66/29/16/356662916.db2.gz NAWMQRFXIKXMRL-AWEZNQCLSA-N 1 2 320.462 1.626 20 30 DDEDLO CN(CCOc1ccccc1F)C(=O)C[N@@H+]1CC[C@@](C)(C#N)C1 ZINC000595838615 356672283 /nfs/dbraw/zinc/67/22/83/356672283.db2.gz WVVNUGFNNVGUBI-KRWDZBQOSA-N 1 2 319.380 1.898 20 30 DDEDLO CN(CCOc1ccccc1F)C(=O)C[N@H+]1CC[C@@](C)(C#N)C1 ZINC000595838615 356672286 /nfs/dbraw/zinc/67/22/86/356672286.db2.gz WVVNUGFNNVGUBI-KRWDZBQOSA-N 1 2 319.380 1.898 20 30 DDEDLO Cc1ccccc1C(F)(F)CNC[C@@H](O)C[N@H+](C)CCC#N ZINC000596064726 356758527 /nfs/dbraw/zinc/75/85/27/356758527.db2.gz ILRSAYWAJPPCLD-CQSZACIVSA-N 1 2 311.376 1.883 20 30 DDEDLO Cc1ccccc1C(F)(F)CNC[C@@H](O)C[N@@H+](C)CCC#N ZINC000596064726 356758529 /nfs/dbraw/zinc/75/85/29/356758529.db2.gz ILRSAYWAJPPCLD-CQSZACIVSA-N 1 2 311.376 1.883 20 30 DDEDLO Cn1cc(-c2cccc(NC[C@H](O)C[N@H+](C)CCC#N)c2)nn1 ZINC000596065151 356758538 /nfs/dbraw/zinc/75/85/38/356758538.db2.gz DPVRMDYSLRVEMM-HNNXBMFYSA-N 1 2 314.393 1.100 20 30 DDEDLO Cn1cc(-c2cccc(NC[C@H](O)C[N@@H+](C)CCC#N)c2)nn1 ZINC000596065151 356758541 /nfs/dbraw/zinc/75/85/41/356758541.db2.gz DPVRMDYSLRVEMM-HNNXBMFYSA-N 1 2 314.393 1.100 20 30 DDEDLO N#Cc1cccc(N2CCC[C@H]([NH+]3CCOCC3)C2)c1[N+](=O)[O-] ZINC000596247558 356830937 /nfs/dbraw/zinc/83/09/37/356830937.db2.gz QTZOSPKSTSERNN-AWEZNQCLSA-N 1 2 316.361 1.767 20 30 DDEDLO N#CC1(CC(=O)NCCc2cn3ccccc3[nH+]2)CCOCC1 ZINC000596700801 356955647 /nfs/dbraw/zinc/95/56/47/356955647.db2.gz OBDOCTZZWUYAKA-UHFFFAOYSA-N 1 2 312.373 1.703 20 30 DDEDLO CS(=O)(=O)N1CC[NH+](Cc2ccc(C3(C#N)CC3)cc2)CC1 ZINC000596980924 357033064 /nfs/dbraw/zinc/03/30/64/357033064.db2.gz XLSKQSVILDQHMO-UHFFFAOYSA-N 1 2 319.430 1.319 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2ccc(C(=O)OC)cc2)nn1 ZINC000597131246 357068614 /nfs/dbraw/zinc/06/86/14/357068614.db2.gz UXSXXAXUHWAGPW-UHFFFAOYSA-N 1 2 300.362 1.931 20 30 DDEDLO N#CCCCCC(=O)NCc1nc(C[NH+]2CCCCC2)no1 ZINC000597621526 357258494 /nfs/dbraw/zinc/25/84/94/357258494.db2.gz LCFLCENVXMPBIC-UHFFFAOYSA-N 1 2 305.382 1.756 20 30 DDEDLO C[C@@H](CC#N)C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000597631666 357262599 /nfs/dbraw/zinc/26/25/99/357262599.db2.gz WZEWPGRFRBHDIE-ZDUSSCGKSA-N 1 2 300.366 1.195 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2cccc(F)c2C#N)[C@H](C)CO1 ZINC000597996470 357412154 /nfs/dbraw/zinc/41/21/54/357412154.db2.gz OTFGLYOTJXCVLA-VXGBXAGGSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2cccc(F)c2C#N)[C@H](C)CO1 ZINC000597996470 357412161 /nfs/dbraw/zinc/41/21/61/357412161.db2.gz OTFGLYOTJXCVLA-VXGBXAGGSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2cccc(F)c2C#N)[C@@H](C)CO1 ZINC000597996469 357412421 /nfs/dbraw/zinc/41/24/21/357412421.db2.gz OTFGLYOTJXCVLA-RYUDHWBXSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2cccc(F)c2C#N)[C@@H](C)CO1 ZINC000597996469 357412426 /nfs/dbraw/zinc/41/24/26/357412426.db2.gz OTFGLYOTJXCVLA-RYUDHWBXSA-N 1 2 305.353 1.536 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[C@H](C)[NH+](C)C)cc1C#N ZINC000598000996 357416732 /nfs/dbraw/zinc/41/67/32/357416732.db2.gz WSXUSSIXCZTGLD-VIFPVBQESA-N 1 2 308.407 1.451 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2C(=O)N[C@@H]2Cc3c[nH+]cn3C2)cc1 ZINC000598943309 357768004 /nfs/dbraw/zinc/76/80/04/357768004.db2.gz GTDNMSKNQPNIDX-RHSMWYFYSA-N 1 2 321.384 1.465 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)NCc1ccc(C#N)c(Cl)c1 ZINC000599054148 357803913 /nfs/dbraw/zinc/80/39/13/357803913.db2.gz JUMRWCRQHWLWSI-ZDUSSCGKSA-N 1 2 322.796 1.341 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)NCc1ccc(C#N)c(Cl)c1 ZINC000599054148 357803921 /nfs/dbraw/zinc/80/39/21/357803921.db2.gz JUMRWCRQHWLWSI-ZDUSSCGKSA-N 1 2 322.796 1.341 20 30 DDEDLO N#Cc1cccc(-c2nnc(CN3CCc4[nH+]c[nH]c4C3)o2)c1 ZINC000599430600 357932575 /nfs/dbraw/zinc/93/25/75/357932575.db2.gz XDWKZJSPULJCGZ-UHFFFAOYSA-N 1 2 306.329 1.890 20 30 DDEDLO C=CCOc1ccc(CN2CC[NH+](CC(N)=O)CC2)cc1Cl ZINC000179831722 199085643 /nfs/dbraw/zinc/08/56/43/199085643.db2.gz IEGAKMQYGLNPEV-UHFFFAOYSA-N 1 2 323.824 1.508 20 30 DDEDLO CCC(C#N)(CC)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000600232650 358164011 /nfs/dbraw/zinc/16/40/11/358164011.db2.gz KHGBMNMWNUOBJK-QGZVFWFLSA-N 1 2 323.437 1.048 20 30 DDEDLO CCC(C#N)(CC)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000600232650 358164017 /nfs/dbraw/zinc/16/40/17/358164017.db2.gz KHGBMNMWNUOBJK-QGZVFWFLSA-N 1 2 323.437 1.048 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)C(C)(C)c1cc(F)cc(C#N)c1 ZINC000600417777 358215038 /nfs/dbraw/zinc/21/50/38/358215038.db2.gz AZGATZJQBBXKIA-OAHLLOKOSA-N 1 2 319.380 1.422 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)C(C)(C)c1cc(F)cc(C#N)c1 ZINC000600417777 358215040 /nfs/dbraw/zinc/21/50/40/358215040.db2.gz AZGATZJQBBXKIA-OAHLLOKOSA-N 1 2 319.380 1.422 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)N2CCN(CCC#N)CC2)c2[nH+]ccn21 ZINC000601604998 358620386 /nfs/dbraw/zinc/62/03/86/358620386.db2.gz IXTUUFFZVDPFTB-OLZOCXBDSA-N 1 2 302.382 1.130 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)[C@H]3CCc4[nH+]ccn4C3)C2)c1 ZINC000601677761 358650553 /nfs/dbraw/zinc/65/05/53/358650553.db2.gz MOPVUEVCMKPOQC-AWEZNQCLSA-N 1 2 321.384 1.640 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[NH+](CCc3ccncc3)CC2)c1 ZINC000602200571 358880276 /nfs/dbraw/zinc/88/02/76/358880276.db2.gz UISXDDDLHMCVHK-UHFFFAOYSA-N 1 2 309.373 1.282 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@@H]2NC(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000602303824 358921742 /nfs/dbraw/zinc/92/17/42/358921742.db2.gz YHPRBJYFBLQULO-CJNGLKHVSA-N 1 2 307.357 1.666 20 30 DDEDLO Cc1nc([C@H]2CCOC2)sc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000602332384 358934840 /nfs/dbraw/zinc/93/48/40/358934840.db2.gz JPSMWGYSMJNCEU-XHDPSFHLSA-N 1 2 322.434 1.529 20 30 DDEDLO CCN(C1CC[NH+](Cc2cccc(C#N)n2)CC1)S(C)(=O)=O ZINC000602466309 358996776 /nfs/dbraw/zinc/99/67/76/358996776.db2.gz CIGIQBLMGMYBRL-UHFFFAOYSA-N 1 2 322.434 1.199 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3cnccc3C#N)CC2)c1C ZINC000602673870 359117746 /nfs/dbraw/zinc/11/77/46/359117746.db2.gz USMGLUCPEADWPQ-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO CCOC(=O)c1ccc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)nc1 ZINC000602849234 359236836 /nfs/dbraw/zinc/23/68/36/359236836.db2.gz KIBQTYZVVZSYHL-ZIAGYGMSSA-N 1 2 316.405 1.676 20 30 DDEDLO Cc1cccc(NC(=O)C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000602855552 359241102 /nfs/dbraw/zinc/24/11/02/359241102.db2.gz IAUKCYNLSAYYRM-GJZGRUSLSA-N 1 2 300.406 1.852 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)Nc1cc(S(C)(=O)=O)ccc1F ZINC000602870008 359254340 /nfs/dbraw/zinc/25/43/40/359254340.db2.gz RRIABYNFNZHHNQ-SECBINFHSA-N 1 2 313.354 1.059 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)N1c2ccccc2NC(=O)C1(C)C ZINC000602870896 359254762 /nfs/dbraw/zinc/25/47/62/359254762.db2.gz YJMYFILFQUJTNA-NSHDSACASA-N 1 2 300.362 1.642 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1c(C)nn(CC)c1C ZINC000602924615 359297202 /nfs/dbraw/zinc/29/72/02/359297202.db2.gz GSELHIKQXRCWBB-CYBMUJFWSA-N 1 2 321.425 1.352 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1c(C)nn(CC)c1C ZINC000602924615 359297209 /nfs/dbraw/zinc/29/72/09/359297209.db2.gz GSELHIKQXRCWBB-CYBMUJFWSA-N 1 2 321.425 1.352 20 30 DDEDLO Cc1[nH]ncc1C[N@H+](C)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000602937646 359306724 /nfs/dbraw/zinc/30/67/24/359306724.db2.gz KKYMAJMLHIBIHX-MRXNPFEDSA-N 1 2 314.389 1.656 20 30 DDEDLO Cc1[nH]ncc1C[N@@H+](C)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000602937646 359306729 /nfs/dbraw/zinc/30/67/29/359306729.db2.gz KKYMAJMLHIBIHX-MRXNPFEDSA-N 1 2 314.389 1.656 20 30 DDEDLO COC(=O)[C@@H](Cc1ccccc1)[NH2+]C[C@H](O)CC(C)(C)C#N ZINC000602878187 359261573 /nfs/dbraw/zinc/26/15/73/359261573.db2.gz RUQXZAYBAZQTTG-HUUCEWRRSA-N 1 2 304.390 1.661 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NCc1ccccc1)[C@@H]1CCC[C@H]1C#N ZINC000602978708 359336602 /nfs/dbraw/zinc/33/66/02/359336602.db2.gz VNNLXBBWFYGGTH-LSDHHAIUSA-N 1 2 314.389 1.636 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NCc1ccccc1)[C@@H]1CCC[C@H]1C#N ZINC000602978708 359336604 /nfs/dbraw/zinc/33/66/04/359336604.db2.gz VNNLXBBWFYGGTH-LSDHHAIUSA-N 1 2 314.389 1.636 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(F)cc(C#N)c1 ZINC000603080020 359392331 /nfs/dbraw/zinc/39/23/31/359392331.db2.gz HFKXAIYMFVSXJA-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO COCC[N@H+](C)Cc1cn(CCOc2ccc(C#N)cc2)nn1 ZINC000603174548 359457802 /nfs/dbraw/zinc/45/78/02/359457802.db2.gz AGMLEYPAKGGHBZ-UHFFFAOYSA-N 1 2 315.377 1.307 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(CCOc2ccc(C#N)cc2)nn1 ZINC000603174548 359457807 /nfs/dbraw/zinc/45/78/07/359457807.db2.gz AGMLEYPAKGGHBZ-UHFFFAOYSA-N 1 2 315.377 1.307 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)n1cc(CC[NH+]2CCOCC2)nn1 ZINC000603228111 359498605 /nfs/dbraw/zinc/49/86/05/359498605.db2.gz QLYWZHWHXQSCAA-AWEZNQCLSA-N 1 2 311.389 1.634 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)N(C)S(=O)(=O)CCn1cc[nH+]c1 ZINC000603363645 359594348 /nfs/dbraw/zinc/59/43/48/359594348.db2.gz NDJHDMBVAXEUER-ZDUSSCGKSA-N 1 2 318.402 1.778 20 30 DDEDLO CC(C)c1nc(N2C[C@@H](C)N(CC#N)[C@@H](C)C2)nc(N(C)C)[nH+]1 ZINC000603400597 359617647 /nfs/dbraw/zinc/61/76/47/359617647.db2.gz MBFMOTQLNYWKIF-BETUJISGSA-N 1 2 317.441 1.484 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(C#N)cc1F ZINC000609252411 360276129 /nfs/dbraw/zinc/27/61/29/360276129.db2.gz CRMPIBQLGFDUOU-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(C#N)cc1F ZINC000609252411 360276134 /nfs/dbraw/zinc/27/61/34/360276134.db2.gz CRMPIBQLGFDUOU-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@H](NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C)c1cccc(C#N)c1 ZINC000610351871 360419233 /nfs/dbraw/zinc/41/92/33/360419233.db2.gz MRWAJYABSVDXIB-BBRMVZONSA-N 1 2 315.421 1.164 20 30 DDEDLO C[C@H](NC(=O)NC[C@H]1CN(C)CC[N@H+]1C)c1cccc(C#N)c1 ZINC000610351871 360419236 /nfs/dbraw/zinc/41/92/36/360419236.db2.gz MRWAJYABSVDXIB-BBRMVZONSA-N 1 2 315.421 1.164 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+]([C@H]3CCC[C@H]3O)CC2)s1 ZINC000610521415 360459507 /nfs/dbraw/zinc/45/95/07/360459507.db2.gz LLHLTAZFXBWCAP-QWHCGFSZSA-N 1 2 305.403 1.291 20 30 DDEDLO COc1cc(C)nc(N2CC[NH+](Cc3ccnc(C#N)c3)CC2)n1 ZINC000610992574 360593588 /nfs/dbraw/zinc/59/35/88/360593588.db2.gz RNKKXEXVANRYBG-UHFFFAOYSA-N 1 2 324.388 1.383 20 30 DDEDLO Cc1ccccc1CN(C)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611175954 360648651 /nfs/dbraw/zinc/64/86/51/360648651.db2.gz QJBCDGUAWUXVKU-UHFFFAOYSA-N 1 2 314.433 1.485 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCC(S(C)(=O)=O)CC1 ZINC000611235879 360671341 /nfs/dbraw/zinc/67/13/41/360671341.db2.gz IYPFAFCPHMOGIG-UHFFFAOYSA-N 1 2 308.403 1.576 20 30 DDEDLO CCn1cc[nH+]c1[C@H]1CCCCN1C(=O)N[C@H]1[C@@H]2COC[C@@H]21 ZINC000330279248 223099510 /nfs/dbraw/zinc/09/95/10/223099510.db2.gz QSTNCHNMCFTMAR-RQJABVFESA-N 1 2 304.394 1.989 20 30 DDEDLO COC[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)CC(C)(C)O1 ZINC000330526037 223124811 /nfs/dbraw/zinc/12/48/11/223124811.db2.gz KUCQJYXHLJJMEZ-AWEZNQCLSA-N 1 2 323.437 1.455 20 30 DDEDLO COC[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)CC(C)(C)O1 ZINC000330526037 223124813 /nfs/dbraw/zinc/12/48/13/223124813.db2.gz KUCQJYXHLJJMEZ-AWEZNQCLSA-N 1 2 323.437 1.455 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)N1CCC[C@@H](CO)C1 ZINC000330528617 223125161 /nfs/dbraw/zinc/12/51/61/223125161.db2.gz LMCRGRRJYKYUPI-SNVBAGLBSA-N 1 2 320.315 1.564 20 30 DDEDLO COCCC[N@H+](CCO)CCOc1ccc(C#N)cc1OC ZINC000275386333 212302179 /nfs/dbraw/zinc/30/21/79/212302179.db2.gz BVFUTWUFPNLXEG-UHFFFAOYSA-N 1 2 308.378 1.276 20 30 DDEDLO COCCC[N@@H+](CCO)CCOc1ccc(C#N)cc1OC ZINC000275386333 212302183 /nfs/dbraw/zinc/30/21/83/212302183.db2.gz BVFUTWUFPNLXEG-UHFFFAOYSA-N 1 2 308.378 1.276 20 30 DDEDLO Cc1ncccc1C[N@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000091936860 193128697 /nfs/dbraw/zinc/12/86/97/193128697.db2.gz ZEBBPDJWTAQFEH-ZDUSSCGKSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1ncccc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000091936860 193128698 /nfs/dbraw/zinc/12/86/98/193128698.db2.gz ZEBBPDJWTAQFEH-ZDUSSCGKSA-N 1 2 308.389 1.762 20 30 DDEDLO C#CCCNC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000264956864 204303429 /nfs/dbraw/zinc/30/34/29/204303429.db2.gz MPZZKJVQRMKQAG-UHFFFAOYSA-N 1 2 301.390 1.341 20 30 DDEDLO N#CC1CCC(CNC(=O)C2([NH+]3CCOCC3)CCC2)CC1 ZINC000367029103 223590018 /nfs/dbraw/zinc/59/00/18/223590018.db2.gz IJUYKOVQXBQJEG-UHFFFAOYSA-N 1 2 305.422 1.687 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(c2ccccn2)CC1 ZINC000042288230 183249624 /nfs/dbraw/zinc/24/96/24/183249624.db2.gz YOKLWWKCUAMZIG-MRXNPFEDSA-N 1 2 314.433 1.793 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(c2ncccn2)CC1 ZINC000042287062 183249798 /nfs/dbraw/zinc/24/97/98/183249798.db2.gz OWVJYUFRCFLTGA-HNNXBMFYSA-N 1 2 315.421 1.188 20 30 DDEDLO N#Cc1ccc2ncc(C[NH+]3CC(CO)(c4ccccc4)C3)n2c1 ZINC000567355449 304214639 /nfs/dbraw/zinc/21/46/39/304214639.db2.gz UXTWTHFJFKWCCP-UHFFFAOYSA-N 1 2 318.380 1.952 20 30 DDEDLO CCN(CC)C(=O)C[N@H+](CCOC)Cc1ccc(C#N)cc1 ZINC000063754401 184288099 /nfs/dbraw/zinc/28/80/99/184288099.db2.gz MJZFMZKTZHADLM-UHFFFAOYSA-N 1 2 303.406 1.875 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+](CCOC)Cc1ccc(C#N)cc1 ZINC000063754401 184288100 /nfs/dbraw/zinc/28/81/00/184288100.db2.gz MJZFMZKTZHADLM-UHFFFAOYSA-N 1 2 303.406 1.875 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000341978671 533109485 /nfs/dbraw/zinc/10/94/85/533109485.db2.gz NYORZLKHWKSDOX-HNNXBMFYSA-N 1 2 306.410 1.293 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000156651775 197130809 /nfs/dbraw/zinc/13/08/09/197130809.db2.gz YRGDYVRWQFVKHZ-MRXNPFEDSA-N 1 2 318.373 1.591 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000156651775 197130811 /nfs/dbraw/zinc/13/08/11/197130811.db2.gz YRGDYVRWQFVKHZ-MRXNPFEDSA-N 1 2 318.373 1.591 20 30 DDEDLO NC(=[NH+]OCC(=O)NCC(F)(F)F)c1cccc(Cl)c1 ZINC000048376238 221456282 /nfs/dbraw/zinc/45/62/82/221456282.db2.gz LWUXHXOJXXFIJL-UHFFFAOYSA-N 1 2 309.675 1.655 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[NH+]1CCN(c2ccccc2)CC1 ZINC000345778917 533239946 /nfs/dbraw/zinc/23/99/46/533239946.db2.gz HDWKIYKANQROMT-HNNXBMFYSA-N 1 2 312.417 1.760 20 30 DDEDLO COC[C@@H]1CN(C([O-])=[NH+][C@H]2CCc3[nH]c[nH+]c3C2)CC(C)(C)O1 ZINC000329637207 533370964 /nfs/dbraw/zinc/37/09/64/533370964.db2.gz YOEWDUQHSNIRBV-RYUDHWBXSA-N 1 2 322.409 1.307 20 30 DDEDLO COC[C@@H]1CN(C([O-])=[NH+][C@H]2CCc3[nH+]c[nH]c3C2)CC(C)(C)O1 ZINC000329637207 533370971 /nfs/dbraw/zinc/37/09/71/533370971.db2.gz YOEWDUQHSNIRBV-RYUDHWBXSA-N 1 2 322.409 1.307 20 30 DDEDLO C[C@@H](Nc1ncc(C#N)cc1[N+](=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000297154185 533565198 /nfs/dbraw/zinc/56/51/98/533565198.db2.gz OKICLRUYSMAKSE-GHMZBOCLSA-N 1 2 305.338 1.383 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000284634971 292045324 /nfs/dbraw/zinc/04/53/24/292045324.db2.gz OEAPKLOBUBIGDA-CVEARBPZSA-N 1 2 314.389 1.804 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000284634971 292045325 /nfs/dbraw/zinc/04/53/25/292045325.db2.gz OEAPKLOBUBIGDA-CVEARBPZSA-N 1 2 314.389 1.804 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)Nc1ccc([N+](=O)[O-])cc1C#N ZINC000078543620 407032868 /nfs/dbraw/zinc/03/28/68/407032868.db2.gz MQMUPWINXXXAFH-GFCCVEGCSA-N 1 2 303.366 1.514 20 30 DDEDLO C=CC[N@@H+](CCOc1cccc(F)c1)[C@H]1CCS(=O)(=O)C1 ZINC000066559804 407254143 /nfs/dbraw/zinc/25/41/43/407254143.db2.gz RICZFRRJGZAIAJ-AWEZNQCLSA-N 1 2 313.394 1.880 20 30 DDEDLO C=CC[N@H+](CCOc1cccc(F)c1)[C@H]1CCS(=O)(=O)C1 ZINC000066559804 407254146 /nfs/dbraw/zinc/25/41/46/407254146.db2.gz RICZFRRJGZAIAJ-AWEZNQCLSA-N 1 2 313.394 1.880 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)N2CCCc3ccccc32)CC1 ZINC000066884576 407262746 /nfs/dbraw/zinc/26/27/46/407262746.db2.gz FJIURLCLXJVTQX-UHFFFAOYSA-N 1 2 312.417 1.497 20 30 DDEDLO CC[N@H+](CCC(=O)NCC(=O)c1ccccc1)C[C@H](C)C#N ZINC000122898940 407314042 /nfs/dbraw/zinc/31/40/42/407314042.db2.gz JOIPFHQLBCVOGY-CQSZACIVSA-N 1 2 301.390 1.857 20 30 DDEDLO CC[N@@H+](CCC(=O)NCC(=O)c1ccccc1)C[C@H](C)C#N ZINC000122898940 407314043 /nfs/dbraw/zinc/31/40/43/407314043.db2.gz JOIPFHQLBCVOGY-CQSZACIVSA-N 1 2 301.390 1.857 20 30 DDEDLO CCOc1ccc(O)c(/C=[NH+]/CCCN2C(=O)CCC2=O)c1 ZINC000105935566 407358154 /nfs/dbraw/zinc/35/81/54/407358154.db2.gz IICSSGXWXXENGL-GZTJUZNOSA-N 1 2 304.346 1.749 20 30 DDEDLO C[C@]1(O)CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000124640931 407364719 /nfs/dbraw/zinc/36/47/19/407364719.db2.gz BVJMOSIIOUYGGP-HNNXBMFYSA-N 1 2 308.403 1.179 20 30 DDEDLO C[C@]1(O)CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000124640931 407364720 /nfs/dbraw/zinc/36/47/20/407364720.db2.gz BVJMOSIIOUYGGP-HNNXBMFYSA-N 1 2 308.403 1.179 20 30 DDEDLO C=CCNC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000111788313 407416222 /nfs/dbraw/zinc/41/62/22/407416222.db2.gz BKQHYCJWXNJFOB-INIZCTEOSA-N 1 2 303.406 1.415 20 30 DDEDLO C#C[C@@H](C)NC(=O)N1CC[NH+](Cc2ccc(OC)c(F)c2)CC1 ZINC000186087447 407572783 /nfs/dbraw/zinc/57/27/83/407572783.db2.gz DQLIOTPHGFKPPJ-CYBMUJFWSA-N 1 2 319.380 1.683 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](Oc2ccccc2Cl)C1 ZINC000128239196 407514601 /nfs/dbraw/zinc/51/46/01/407514601.db2.gz SCRQYWNPDJCLEH-OLZOCXBDSA-N 1 2 306.793 1.931 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](Oc2ccccc2Cl)C1 ZINC000128239196 407514606 /nfs/dbraw/zinc/51/46/06/407514606.db2.gz SCRQYWNPDJCLEH-OLZOCXBDSA-N 1 2 306.793 1.931 20 30 DDEDLO C=CCCS(=O)(=O)NCC(C)(C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000130027767 407645825 /nfs/dbraw/zinc/64/58/25/407645825.db2.gz BDPFIFYVTBGWGZ-BETUJISGSA-N 1 2 304.456 1.370 20 30 DDEDLO C=CCCS(=O)(=O)NCC(C)(C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000130027767 407645831 /nfs/dbraw/zinc/64/58/31/407645831.db2.gz BDPFIFYVTBGWGZ-BETUJISGSA-N 1 2 304.456 1.370 20 30 DDEDLO C[C@@H](NC(=O)NCc1ccc(C#N)cc1)[C@H](C)[NH+]1CCOCC1 ZINC000171617091 407718885 /nfs/dbraw/zinc/71/88/85/407718885.db2.gz QDYUNEDWQAOTHD-KGLIPLIRSA-N 1 2 316.405 1.467 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCN(Cc2cccc(F)c2)C(=O)C1 ZINC000131175250 407725802 /nfs/dbraw/zinc/72/58/02/407725802.db2.gz DLJKNUFZYPAVCK-MRXNPFEDSA-N 1 2 306.381 1.797 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCN(Cc2cccc(F)c2)C(=O)C1 ZINC000131175250 407725809 /nfs/dbraw/zinc/72/58/09/407725809.db2.gz DLJKNUFZYPAVCK-MRXNPFEDSA-N 1 2 306.381 1.797 20 30 DDEDLO COC(=O)C[C@H]1CCC[N@@H+]1CC(=O)Nc1sccc1C#N ZINC000179120284 407729037 /nfs/dbraw/zinc/72/90/37/407729037.db2.gz ATEDKZCOAYKJJG-LLVKDONJSA-N 1 2 307.375 1.586 20 30 DDEDLO COC(=O)C[C@H]1CCC[N@H+]1CC(=O)Nc1sccc1C#N ZINC000179120284 407729040 /nfs/dbraw/zinc/72/90/40/407729040.db2.gz ATEDKZCOAYKJJG-LLVKDONJSA-N 1 2 307.375 1.586 20 30 DDEDLO C=CC[N@@H+](CCOCC(F)(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000171749084 407757043 /nfs/dbraw/zinc/75/70/43/407757043.db2.gz MWXBZYOWJLSYLQ-SNVBAGLBSA-N 1 2 301.330 1.240 20 30 DDEDLO C=CC[N@H+](CCOCC(F)(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000171749084 407757049 /nfs/dbraw/zinc/75/70/49/407757049.db2.gz MWXBZYOWJLSYLQ-SNVBAGLBSA-N 1 2 301.330 1.240 20 30 DDEDLO CN(Cc1cnn(C)c1)C(=O)C[N@H+](CCC#N)CC(C)(C)C ZINC000171939399 407795054 /nfs/dbraw/zinc/79/50/54/407795054.db2.gz MNGGDYPHZRBQSG-UHFFFAOYSA-N 1 2 305.426 1.640 20 30 DDEDLO CN(Cc1cnn(C)c1)C(=O)C[N@@H+](CCC#N)CC(C)(C)C ZINC000171939399 407795063 /nfs/dbraw/zinc/79/50/63/407795063.db2.gz MNGGDYPHZRBQSG-UHFFFAOYSA-N 1 2 305.426 1.640 20 30 DDEDLO COc1c(O)cccc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000272240395 407831288 /nfs/dbraw/zinc/83/12/88/407831288.db2.gz GIKMVSSXYRATCI-UHFFFAOYSA-N 1 2 319.405 1.449 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)C(C)(C)C#N)nc[nH+]1 ZINC000173426577 407882358 /nfs/dbraw/zinc/88/23/58/407882358.db2.gz RKCKGHISJRZIIH-LLVKDONJSA-N 1 2 302.382 1.153 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)C(C)(C)C#N)[nH+]cn1 ZINC000173426577 407882360 /nfs/dbraw/zinc/88/23/60/407882360.db2.gz RKCKGHISJRZIIH-LLVKDONJSA-N 1 2 302.382 1.153 20 30 DDEDLO N#CC1(CS(=O)(=O)NCc2ccc(N3CCCC3)[nH+]c2)CC1 ZINC000180400689 407885095 /nfs/dbraw/zinc/88/50/95/407885095.db2.gz KCLKQAFYRAVCSJ-UHFFFAOYSA-N 1 2 320.418 1.405 20 30 DDEDLO C[C@H](CNC(=O)C1(c2ccc(C#N)cc2)CC1)[NH+]1CCOCC1 ZINC000187864718 407901546 /nfs/dbraw/zinc/90/15/46/407901546.db2.gz CJIKICHFPLACBR-CQSZACIVSA-N 1 2 313.401 1.427 20 30 DDEDLO N#CC1(C(=O)N2CCN(Cc3cn4ccccc4[nH+]3)CC2)CCC1 ZINC000134529800 407905172 /nfs/dbraw/zinc/90/51/72/407905172.db2.gz NZKZVQJJHSPBEV-UHFFFAOYSA-N 1 2 323.400 1.672 20 30 DDEDLO Cc1nnc(CO[NH+]=C(N)c2cccc(C(F)(F)F)c2)n1C ZINC000181875768 408046618 /nfs/dbraw/zinc/04/66/18/408046618.db2.gz ZMMVNULRQWSNIB-UHFFFAOYSA-N 1 2 313.283 1.979 20 30 DDEDLO Cc1ccc(O)c(C[N@H+](CCC#N)CCN2CCOCC2)c1 ZINC000154760734 408081378 /nfs/dbraw/zinc/08/13/78/408081378.db2.gz HVDFQPACXYFQNM-UHFFFAOYSA-N 1 2 303.406 1.749 20 30 DDEDLO Cc1ccc(O)c(C[N@@H+](CCC#N)CCN2CCOCC2)c1 ZINC000154760734 408081384 /nfs/dbraw/zinc/08/13/84/408081384.db2.gz HVDFQPACXYFQNM-UHFFFAOYSA-N 1 2 303.406 1.749 20 30 DDEDLO Cc1ccc(O)c(CN(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000154760734 408081388 /nfs/dbraw/zinc/08/13/88/408081388.db2.gz HVDFQPACXYFQNM-UHFFFAOYSA-N 1 2 303.406 1.749 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000175269529 408093796 /nfs/dbraw/zinc/09/37/96/408093796.db2.gz XBQJLIFQMAKJKO-UHFFFAOYSA-N 1 2 313.361 1.449 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000268608179 408118402 /nfs/dbraw/zinc/11/84/02/408118402.db2.gz ZAMQECWXYGXENQ-MRXNPFEDSA-N 1 2 324.446 1.383 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000268608179 408118406 /nfs/dbraw/zinc/11/84/06/408118406.db2.gz ZAMQECWXYGXENQ-MRXNPFEDSA-N 1 2 324.446 1.383 20 30 DDEDLO O=S(=O)(NC1CC1)[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000120292795 408123816 /nfs/dbraw/zinc/12/38/16/408123816.db2.gz LFHDPOKJQIZOPE-INIZCTEOSA-N 1 2 322.405 1.333 20 30 DDEDLO O=S(=O)(NC1CC1)[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000120292795 408123821 /nfs/dbraw/zinc/12/38/21/408123821.db2.gz LFHDPOKJQIZOPE-INIZCTEOSA-N 1 2 322.405 1.333 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[NH2+][C@H](CO)c1c(F)cccc1F)C1CC1 ZINC000273095814 408055746 /nfs/dbraw/zinc/05/57/46/408055746.db2.gz KVPBSVYOWSQLIE-CJNGLKHVSA-N 1 2 323.343 1.396 20 30 DDEDLO COCC[N@H+](CCCOc1cccc(C#N)c1)CC(=O)OC ZINC000268640136 408133601 /nfs/dbraw/zinc/13/36/01/408133601.db2.gz DLMJEZXTKAKVKS-UHFFFAOYSA-N 1 2 306.362 1.449 20 30 DDEDLO COCC[N@@H+](CCCOc1cccc(C#N)c1)CC(=O)OC ZINC000268640136 408133606 /nfs/dbraw/zinc/13/36/06/408133606.db2.gz DLMJEZXTKAKVKS-UHFFFAOYSA-N 1 2 306.362 1.449 20 30 DDEDLO C=CCCS(=O)(=O)N[C@H](C)C1([NH+]2CCOCC2)CCCC1 ZINC000121385273 408199709 /nfs/dbraw/zinc/19/97/09/408199709.db2.gz AHTPFXFQIKHIJB-CQSZACIVSA-N 1 2 316.467 1.515 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000268830679 408210217 /nfs/dbraw/zinc/21/02/17/408210217.db2.gz DYBPDPQZUVYHBI-UHFFFAOYSA-N 1 2 319.430 1.582 20 30 DDEDLO COC[C@@H]([NH2+]CC(=O)N(CCC#N)CCC#N)c1ccc(C)o1 ZINC000273518025 408231724 /nfs/dbraw/zinc/23/17/24/408231724.db2.gz QAKXBCASDMLOLW-CQSZACIVSA-N 1 2 318.377 1.521 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cc(C#N)cs2)CC1 ZINC000122249838 408274506 /nfs/dbraw/zinc/27/45/06/408274506.db2.gz AKRQVOSHEIPFIB-UHFFFAOYSA-N 1 2 304.419 1.040 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCCC[C@@H]2CCO)c(C#N)c1C ZINC000269407116 408298917 /nfs/dbraw/zinc/29/89/17/408298917.db2.gz DRAWXJYNTPFMHH-CYBMUJFWSA-N 1 2 305.378 1.944 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCCC[C@@H]2CCO)c(C#N)c1C ZINC000269407116 408298919 /nfs/dbraw/zinc/29/89/19/408298919.db2.gz DRAWXJYNTPFMHH-CYBMUJFWSA-N 1 2 305.378 1.944 20 30 DDEDLO COc1cccc(OCCO[NH+]=C(N)C(C)(C)NC(C)=O)c1 ZINC000269826657 408441291 /nfs/dbraw/zinc/44/12/91/408441291.db2.gz KHRDGXZAWPZALQ-UHFFFAOYSA-N 1 2 309.366 1.278 20 30 DDEDLO C[C@H](C#N)C[NH2+]Cc1ccccc1OCC(=O)NCC1CC1 ZINC000183815363 408495060 /nfs/dbraw/zinc/49/50/60/408495060.db2.gz PHMUQLHRJDHQIZ-CYBMUJFWSA-N 1 2 301.390 1.841 20 30 DDEDLO CS(=O)(=O)N[C@H]1CCC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000160831439 408528544 /nfs/dbraw/zinc/52/85/44/408528544.db2.gz GSWWOOUJQNYSGH-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CS(=O)(=O)N[C@H]1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000160831439 408528549 /nfs/dbraw/zinc/52/85/49/408528549.db2.gz GSWWOOUJQNYSGH-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C1(C#N)CCCCC1)Cc1cnn(C)c1 ZINC000161090401 408559183 /nfs/dbraw/zinc/55/91/83/408559183.db2.gz KPYODZKNYBEHJG-UHFFFAOYSA-N 1 2 317.437 1.927 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C1(C#N)CCCCC1)Cc1cnn(C)c1 ZINC000161090401 408559187 /nfs/dbraw/zinc/55/91/87/408559187.db2.gz KPYODZKNYBEHJG-UHFFFAOYSA-N 1 2 317.437 1.927 20 30 DDEDLO C#CC[N@@H+](C)Cc1nc(-c2cc(OC)c(OC)c(OC)c2)no1 ZINC000192230738 408561662 /nfs/dbraw/zinc/56/16/62/408561662.db2.gz NWCUUEFKBSPXQJ-UHFFFAOYSA-N 1 2 317.345 1.827 20 30 DDEDLO C#CC[N@H+](C)Cc1nc(-c2cc(OC)c(OC)c(OC)c2)no1 ZINC000192230738 408561665 /nfs/dbraw/zinc/56/16/65/408561665.db2.gz NWCUUEFKBSPXQJ-UHFFFAOYSA-N 1 2 317.345 1.827 20 30 DDEDLO C=CCN(C)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000161144698 408563730 /nfs/dbraw/zinc/56/37/30/408563730.db2.gz JZJGAMKYIKAHJR-INIZCTEOSA-N 1 2 303.406 1.715 20 30 DDEDLO C=CCN(C)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000161144698 408563737 /nfs/dbraw/zinc/56/37/37/408563737.db2.gz JZJGAMKYIKAHJR-INIZCTEOSA-N 1 2 303.406 1.715 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1)OCC ZINC000275409763 408587033 /nfs/dbraw/zinc/58/70/33/408587033.db2.gz BPWHGLDKVXEGEJ-HUUCEWRRSA-N 1 2 320.437 1.607 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@H](CC(C)C)[NH+]1CCOCC1 ZINC000184343944 408595831 /nfs/dbraw/zinc/59/58/31/408595831.db2.gz DQVAKPYKYMQWNY-AWEZNQCLSA-N 1 2 304.456 1.229 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@@H+]1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000192655212 408626828 /nfs/dbraw/zinc/62/68/28/408626828.db2.gz QTJVJYDVIRYYBH-HNNXBMFYSA-N 1 2 318.764 1.386 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@H+]1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000192655212 408626835 /nfs/dbraw/zinc/62/68/35/408626835.db2.gz QTJVJYDVIRYYBH-HNNXBMFYSA-N 1 2 318.764 1.386 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1cc(Cl)c[nH]1 ZINC000162499173 408706829 /nfs/dbraw/zinc/70/68/29/408706829.db2.gz VILYQMOGQBWONL-UHFFFAOYSA-N 1 2 310.785 1.356 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@H](COC)c1ccc(C)o1 ZINC000184730565 408677432 /nfs/dbraw/zinc/67/74/32/408677432.db2.gz LAUBTUMASLBKGL-VXGBXAGGSA-N 1 2 309.366 1.265 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@H](N3CCCC3=O)C2)c1 ZINC000270824900 408697135 /nfs/dbraw/zinc/69/71/35/408697135.db2.gz BSBCAZFENLBNPM-HNNXBMFYSA-N 1 2 312.373 1.193 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CC[C@H](N3CCCC3=O)C2)c1 ZINC000270824900 408697137 /nfs/dbraw/zinc/69/71/37/408697137.db2.gz BSBCAZFENLBNPM-HNNXBMFYSA-N 1 2 312.373 1.193 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H](C#N)Cc1ccc(C#N)cc1 ZINC000280901488 408855571 /nfs/dbraw/zinc/85/55/71/408855571.db2.gz TUNIJLAJIZUEMT-BBRMVZONSA-N 1 2 307.357 1.642 20 30 DDEDLO CN(Cc1cccc(C#N)c1)c1cc(N2CCOCC2)nc[nH+]1 ZINC000277342066 408923046 /nfs/dbraw/zinc/92/30/46/408923046.db2.gz FVOCXVLGZIVIFV-UHFFFAOYSA-N 1 2 309.373 1.821 20 30 DDEDLO CN(Cc1cccc(C#N)c1)c1cc(N2CCOCC2)[nH+]cn1 ZINC000277342066 408923048 /nfs/dbraw/zinc/92/30/48/408923048.db2.gz FVOCXVLGZIVIFV-UHFFFAOYSA-N 1 2 309.373 1.821 20 30 DDEDLO N#Cc1ccc(CN2CC[C@@H]([NH2+]Cc3cscn3)C2=O)cc1 ZINC000192243184 163220037 /nfs/dbraw/zinc/22/00/37/163220037.db2.gz QYSGENMMYKGESG-OAHLLOKOSA-N 1 2 312.398 1.905 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[S@](=O)C[C@@H](C)C1 ZINC000277458303 408945516 /nfs/dbraw/zinc/94/55/16/408945516.db2.gz MICSMNHITQFKII-XMHCIUCPSA-N 1 2 322.430 1.646 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[S@](=O)C[C@@H](C)C1 ZINC000277458303 408945519 /nfs/dbraw/zinc/94/55/19/408945519.db2.gz MICSMNHITQFKII-XMHCIUCPSA-N 1 2 322.430 1.646 20 30 DDEDLO N#Cc1ccnc(NC(=O)[C@@H]2C[N@H+](Cc3ccccc3)CCO2)c1 ZINC000277850141 409023736 /nfs/dbraw/zinc/02/37/36/409023736.db2.gz LIPFOFHTUAVCSU-INIZCTEOSA-N 1 2 322.368 1.793 20 30 DDEDLO N#Cc1ccnc(NC(=O)[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)c1 ZINC000277850141 409023737 /nfs/dbraw/zinc/02/37/37/409023737.db2.gz LIPFOFHTUAVCSU-INIZCTEOSA-N 1 2 322.368 1.793 20 30 DDEDLO C#CCN(C)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000292666994 409038926 /nfs/dbraw/zinc/03/89/26/409038926.db2.gz ZEDYYJLXLXLJDB-MRXNPFEDSA-N 1 2 301.390 1.335 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)Nc2nc(-c3ccncc3)n[nH]2)CC1 ZINC000292804136 409042284 /nfs/dbraw/zinc/04/22/84/409042284.db2.gz RPPQGLBPLSEIMU-UHFFFAOYSA-N 1 2 310.361 1.150 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1ccccc1SCC(N)=O ZINC000292983145 409047907 /nfs/dbraw/zinc/04/79/07/409047907.db2.gz BOEIZZZHBWWDHF-UHFFFAOYSA-N 1 2 305.403 1.158 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1ccccc1SCC(N)=O ZINC000292983145 409047908 /nfs/dbraw/zinc/04/79/08/409047908.db2.gz BOEIZZZHBWWDHF-UHFFFAOYSA-N 1 2 305.403 1.158 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1ccnn1Cc1cccs1 ZINC000293110256 409051356 /nfs/dbraw/zinc/05/13/56/409051356.db2.gz LQKCJWDCVUWXKF-UHFFFAOYSA-N 1 2 302.403 1.887 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1ccnn1Cc1cccs1 ZINC000293110256 409051359 /nfs/dbraw/zinc/05/13/59/409051359.db2.gz LQKCJWDCVUWXKF-UHFFFAOYSA-N 1 2 302.403 1.887 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](Oc2ncccc2F)C1 ZINC000278832119 409098369 /nfs/dbraw/zinc/09/83/69/409098369.db2.gz JTOGEEJEENSQFF-STQMWFEESA-N 1 2 305.353 1.202 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](Oc2ncccc2F)C1 ZINC000278832119 409098370 /nfs/dbraw/zinc/09/83/70/409098370.db2.gz JTOGEEJEENSQFF-STQMWFEESA-N 1 2 305.353 1.202 20 30 DDEDLO C=CCN(CCC#N)C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000293671065 409158427 /nfs/dbraw/zinc/15/84/27/409158427.db2.gz GLBNCUUCOHTRLI-UHFFFAOYSA-N 1 2 313.405 1.132 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC000293781912 409179155 /nfs/dbraw/zinc/17/91/55/409179155.db2.gz ROWRPUUYXFDSPS-CQSZACIVSA-N 1 2 311.345 1.289 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC000293781912 409179157 /nfs/dbraw/zinc/17/91/57/409179157.db2.gz ROWRPUUYXFDSPS-CQSZACIVSA-N 1 2 311.345 1.289 20 30 DDEDLO CCOC(=O)[C@H](F)C1C[NH+](Cc2ccc(OC)c(C#N)c2)C1 ZINC000293779325 409179233 /nfs/dbraw/zinc/17/92/33/409179233.db2.gz BRUWZHFZAUPYDJ-OAHLLOKOSA-N 1 2 306.337 1.900 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)N(C)Cc1cccc(F)c1 ZINC000284022747 409259099 /nfs/dbraw/zinc/25/90/99/409259099.db2.gz IEAVGHYXMQKXMB-RISCZKNCSA-N 1 2 323.368 1.640 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC3(C2)Oc2ccccc2O3)C1=O ZINC000289025900 409208855 /nfs/dbraw/zinc/20/88/55/409208855.db2.gz OIGXNDGLAUGZDH-ZDUSSCGKSA-N 1 2 300.358 1.647 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC3(C2)Oc2ccccc2O3)C1=O ZINC000289025900 409208857 /nfs/dbraw/zinc/20/88/57/409208857.db2.gz OIGXNDGLAUGZDH-ZDUSSCGKSA-N 1 2 300.358 1.647 20 30 DDEDLO COC(=O)[C@H]([NH2+]C1CCN(c2ccc(C#N)cc2)CC1)[C@H](C)O ZINC000289753622 409285581 /nfs/dbraw/zinc/28/55/81/409285581.db2.gz QAKCHRCEOPLCEZ-BLLLJJGKSA-N 1 2 317.389 1.039 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000294592835 409294737 /nfs/dbraw/zinc/29/47/37/409294737.db2.gz QOVJGAMGMRXQJW-GFCCVEGCSA-N 1 2 301.321 1.666 20 30 DDEDLO C=CC[N@H+](Cc1c[nH]c2nccnc12)[C@H]1CCS(=O)(=O)C1 ZINC000284318809 409318767 /nfs/dbraw/zinc/31/87/67/409318767.db2.gz WFONXJQSYDEVEA-LBPRGKRZSA-N 1 2 306.391 1.133 20 30 DDEDLO C=CC[N@@H+](Cc1c[nH]c2nccnc12)[C@H]1CCS(=O)(=O)C1 ZINC000284318809 409318771 /nfs/dbraw/zinc/31/87/71/409318771.db2.gz WFONXJQSYDEVEA-LBPRGKRZSA-N 1 2 306.391 1.133 20 30 DDEDLO CC(=O)c1ccc(NC(=O)[C@H](C)O[NH+]=C(N)[C@H]2CCCO2)cc1 ZINC000284406344 409340789 /nfs/dbraw/zinc/34/07/89/409340789.db2.gz NIZYFXOBYUCBFK-SMDDNHRTSA-N 1 2 319.361 1.684 20 30 DDEDLO Cn1c[nH+]cc1CNS(=O)(=O)c1ccc(Cl)cc1C#N ZINC000349799407 409909857 /nfs/dbraw/zinc/90/98/57/409909857.db2.gz KNOZLDZQDSWCEI-UHFFFAOYSA-N 1 2 310.766 1.424 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)N[C@H]2CCC[C@@H]2C#N)CC1 ZINC000332101386 409885846 /nfs/dbraw/zinc/88/58/46/409885846.db2.gz CNQRMPWEZLOXRT-CABCVRRESA-N 1 2 313.405 1.914 20 30 DDEDLO COc1cc(C[NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)ccc1C#N ZINC000357296590 409963942 /nfs/dbraw/zinc/96/39/42/409963942.db2.gz LIUOGXMGYNCJPO-KRWDZBQOSA-N 1 2 320.352 1.755 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)C(=O)N1CC[NH+](CC)CC1 ZINC000297718483 409971767 /nfs/dbraw/zinc/97/17/67/409971767.db2.gz JMGOVWAADFOJSS-UHFFFAOYSA-N 1 2 319.405 1.001 20 30 DDEDLO CN1CCOC[C@@H]1C(=O)N[C@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000328927704 410014162 /nfs/dbraw/zinc/01/41/62/410014162.db2.gz GBAPYSYTRVRELU-QWHCGFSZSA-N 1 2 320.437 1.783 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)[N@@H+]1CC[C@H](C2CC2)C1 ZINC000328962623 410023309 /nfs/dbraw/zinc/02/33/09/410023309.db2.gz QXZWRTBQPRBHOL-KGYLQXTDSA-N 1 2 300.424 1.250 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)[N@H+]1CC[C@H](C2CC2)C1 ZINC000328962623 410023316 /nfs/dbraw/zinc/02/33/16/410023316.db2.gz QXZWRTBQPRBHOL-KGYLQXTDSA-N 1 2 300.424 1.250 20 30 DDEDLO C=CCNC(=O)c1ccc(NCc2ccn3cc[nH+]c3c2)nc1 ZINC000357439732 410042959 /nfs/dbraw/zinc/04/29/59/410042959.db2.gz OCUZSAAXUIWCMP-UHFFFAOYSA-N 1 2 307.357 2.257 20 30 DDEDLO COCC1(CNC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)CCC1 ZINC000328893673 410003390 /nfs/dbraw/zinc/00/33/90/410003390.db2.gz UNORXHIBUAJVOE-KBPBESRZSA-N 1 2 311.426 1.122 20 30 DDEDLO COCC1(CNC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)CCC1 ZINC000328893673 410003396 /nfs/dbraw/zinc/00/33/96/410003396.db2.gz UNORXHIBUAJVOE-KBPBESRZSA-N 1 2 311.426 1.122 20 30 DDEDLO CCc1ccnc(CNC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)c1 ZINC000329013298 410050733 /nfs/dbraw/zinc/05/07/33/410050733.db2.gz PHGYXVFKDBLHTM-HOTGVXAUSA-N 1 2 318.421 1.463 20 30 DDEDLO CCc1ccnc(CNC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)c1 ZINC000329013298 410050736 /nfs/dbraw/zinc/05/07/36/410050736.db2.gz PHGYXVFKDBLHTM-HOTGVXAUSA-N 1 2 318.421 1.463 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C=O)CC2)c(Br)c1 ZINC000298033235 410085799 /nfs/dbraw/zinc/08/57/99/410085799.db2.gz GHFFLGAIZLRCOZ-UHFFFAOYSA-N 1 2 322.206 1.985 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C=O)CC2)c(Br)c1 ZINC000298033235 410085807 /nfs/dbraw/zinc/08/58/07/410085807.db2.gz GHFFLGAIZLRCOZ-UHFFFAOYSA-N 1 2 322.206 1.985 20 30 DDEDLO CC(C)(C#N)CNC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000351596109 410093014 /nfs/dbraw/zinc/09/30/14/410093014.db2.gz SIGIZILUDSTOEV-UHFFFAOYSA-N 1 2 301.394 1.899 20 30 DDEDLO CC(=O)N1CCCC[C@@H]1C(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000329092543 410098067 /nfs/dbraw/zinc/09/80/67/410098067.db2.gz JYCWHXLALVZDSM-CQSZACIVSA-N 1 2 309.410 1.209 20 30 DDEDLO Cc1nnc([C@H](C)NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)[nH]1 ZINC000329175130 410144828 /nfs/dbraw/zinc/14/48/28/410144828.db2.gz BJZHEMOVAQHIEF-GXFFZTMASA-N 1 2 307.398 1.774 20 30 DDEDLO Cc1nnc([C@H](C)NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)[nH]1 ZINC000329175130 410144831 /nfs/dbraw/zinc/14/48/31/410144831.db2.gz BJZHEMOVAQHIEF-GXFFZTMASA-N 1 2 307.398 1.774 20 30 DDEDLO Cc1noc(C)c1NC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000329199840 410160903 /nfs/dbraw/zinc/16/09/03/410160903.db2.gz XCTJSHQCRZQXCL-UHFFFAOYSA-N 1 2 318.381 1.388 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CCC[C@H](n2cc(CO)nn2)C1 ZINC000339406972 410166812 /nfs/dbraw/zinc/16/68/12/410166812.db2.gz IRNZIOFXWLPUGL-INIZCTEOSA-N 1 2 315.352 1.618 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CCC[C@H](n2cc(CO)nn2)C1 ZINC000339406972 410166816 /nfs/dbraw/zinc/16/68/16/410166816.db2.gz IRNZIOFXWLPUGL-INIZCTEOSA-N 1 2 315.352 1.618 20 30 DDEDLO C[C@H](NC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C)[C@H]1CCCO1 ZINC000329259561 410198226 /nfs/dbraw/zinc/19/82/26/410198226.db2.gz FLHNIPLOYRXVDM-BYNSBNAKSA-N 1 2 311.426 1.263 20 30 DDEDLO CC(=O)NC1CCC(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)CC1 ZINC000329321300 410232427 /nfs/dbraw/zinc/23/24/27/410232427.db2.gz UJMBRPJJPADVAL-CVSAEHQPSA-N 1 2 324.425 1.203 20 30 DDEDLO CC(=O)NC1CCC(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)CC1 ZINC000329321300 410232437 /nfs/dbraw/zinc/23/24/37/410232437.db2.gz UJMBRPJJPADVAL-CVSAEHQPSA-N 1 2 324.425 1.203 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cc(C4CC4)ccn3)C[C@@H]21 ZINC000329502772 410332258 /nfs/dbraw/zinc/33/22/58/410332258.db2.gz HYWQNUGMEPYDGM-KBPBESRZSA-N 1 2 302.378 1.519 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cc(C4CC4)ccn3)C[C@@H]21 ZINC000329502772 410332263 /nfs/dbraw/zinc/33/22/63/410332263.db2.gz HYWQNUGMEPYDGM-KBPBESRZSA-N 1 2 302.378 1.519 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+]([C@H](C)C(=O)NC[C@@H]3CCCO3)C2)[nH]1 ZINC000329434001 410296420 /nfs/dbraw/zinc/29/64/20/410296420.db2.gz JCRALJZJKGERTE-BNOWGMLFSA-N 1 2 321.425 1.817 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+]([C@H](C)C(=O)NC[C@@H]3CCCO3)C2)[nH]1 ZINC000329434001 410296424 /nfs/dbraw/zinc/29/64/24/410296424.db2.gz JCRALJZJKGERTE-BNOWGMLFSA-N 1 2 321.425 1.817 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+]([C@H](C)C(=O)NC[C@@H]3CCCO3)C2)n1 ZINC000329434001 410296431 /nfs/dbraw/zinc/29/64/31/410296431.db2.gz JCRALJZJKGERTE-BNOWGMLFSA-N 1 2 321.425 1.817 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+]([C@H](C)C(=O)NC[C@@H]3CCCO3)C2)n1 ZINC000329434001 410296437 /nfs/dbraw/zinc/29/64/37/410296437.db2.gz JCRALJZJKGERTE-BNOWGMLFSA-N 1 2 321.425 1.817 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@@H+]([C@H](C)C(=O)NC[C@@H]3CCCO3)C2)n[nH]1 ZINC000329434001 410296445 /nfs/dbraw/zinc/29/64/45/410296445.db2.gz JCRALJZJKGERTE-BNOWGMLFSA-N 1 2 321.425 1.817 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@H+]([C@H](C)C(=O)NC[C@@H]3CCCO3)C2)n[nH]1 ZINC000329434001 410296452 /nfs/dbraw/zinc/29/64/52/410296452.db2.gz JCRALJZJKGERTE-BNOWGMLFSA-N 1 2 321.425 1.817 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cccc4c3CNC4=O)C[C@H]21 ZINC000329445957 410301798 /nfs/dbraw/zinc/30/17/98/410301798.db2.gz NVDIKDFHLWZCFH-ZIAGYGMSSA-N 1 2 316.361 1.065 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cccc4c3CNC4=O)C[C@H]21 ZINC000329445957 410301802 /nfs/dbraw/zinc/30/18/02/410301802.db2.gz NVDIKDFHLWZCFH-ZIAGYGMSSA-N 1 2 316.361 1.065 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)Nc2sccc2C#N)[C@@H]2COC[C@@H]21 ZINC000333104884 410359661 /nfs/dbraw/zinc/35/96/61/410359661.db2.gz QTPUHXYFGDYXOA-NWDGAFQWSA-N 1 2 305.403 1.915 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)Nc2sccc2C#N)[C@@H]2COC[C@@H]21 ZINC000333104884 410359669 /nfs/dbraw/zinc/35/96/69/410359669.db2.gz QTPUHXYFGDYXOA-NWDGAFQWSA-N 1 2 305.403 1.915 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CCOC[C@H]1CC(F)F ZINC000329602066 410378530 /nfs/dbraw/zinc/37/85/30/410378530.db2.gz FMJDUWUMOMSZPQ-NXEZZACHSA-N 1 2 314.336 1.537 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CCOC[C@H]1CC(F)F ZINC000329602066 410378533 /nfs/dbraw/zinc/37/85/33/410378533.db2.gz FMJDUWUMOMSZPQ-NXEZZACHSA-N 1 2 314.336 1.537 20 30 DDEDLO CCN(CCC/[NH+]=C/c1cccc(O)c1O)S(C)(=O)=O ZINC000298760539 410386737 /nfs/dbraw/zinc/38/67/37/410386737.db2.gz CNJPALLYLIZROZ-GXDHUFHOSA-N 1 2 300.380 1.188 20 30 DDEDLO CC(C)[C@@H](CNC(=O)[C@]1(C#N)CC12CCCC2)[NH+]1CCOCC1 ZINC000333181311 410408889 /nfs/dbraw/zinc/40/88/89/410408889.db2.gz MTLJPTDSNOKHRV-CRAIPNDOSA-N 1 2 319.449 1.933 20 30 DDEDLO CC(C)(C#N)CNC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000358620365 410496658 /nfs/dbraw/zinc/49/66/58/410496658.db2.gz YMRAHMCTTDXFEM-UHFFFAOYSA-N 1 2 301.394 1.459 20 30 DDEDLO Cc1cc(N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)c(C#N)c[nH+]1 ZINC000333387520 410518063 /nfs/dbraw/zinc/51/80/63/410518063.db2.gz CJAKNIFHNYMDRU-CYBMUJFWSA-N 1 2 320.418 1.052 20 30 DDEDLO Cc1cc(C#N)cc(NCc2ccc(N3CCO[C@H](C)C3)[nH+]c2)n1 ZINC000339972293 410585379 /nfs/dbraw/zinc/58/53/79/410585379.db2.gz HQVWSWUENACEFT-CQSZACIVSA-N 1 2 323.400 2.494 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000352314569 410618030 /nfs/dbraw/zinc/61/80/30/410618030.db2.gz KHMXUBRREDYNLC-UONOGXRCSA-N 1 2 318.421 1.597 20 30 DDEDLO Cc1cc2ncc(C(=O)N[C@@H]3CCn4cc[nH+]c4C3)c(C)n2n1 ZINC000330316199 410626587 /nfs/dbraw/zinc/62/65/87/410626587.db2.gz PWWRPMMZLPYCQZ-GFCCVEGCSA-N 1 2 310.361 1.862 20 30 DDEDLO Cn1nc2c(c1C[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1)CCCC2 ZINC000359410785 410647289 /nfs/dbraw/zinc/64/72/89/410647289.db2.gz DRFPNGFILHJPKN-QGZVFWFLSA-N 1 2 323.400 1.487 20 30 DDEDLO Cc1[nH]c2ccc(NS(=O)(=O)c3ccc(C#N)nc3)cc2[nH+]1 ZINC000340143607 410704367 /nfs/dbraw/zinc/70/43/67/410704367.db2.gz KROVQZSEKKXLAW-UHFFFAOYSA-N 1 2 313.342 1.939 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@H+](C)[C@H]1COC[C@H]1O ZINC000352792423 410678239 /nfs/dbraw/zinc/67/82/39/410678239.db2.gz MDWGMXALWAOEBY-VNQPRFMTSA-N 1 2 317.389 1.013 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+](C)[C@H]1COC[C@H]1O ZINC000352792423 410678248 /nfs/dbraw/zinc/67/82/48/410678248.db2.gz MDWGMXALWAOEBY-VNQPRFMTSA-N 1 2 317.389 1.013 20 30 DDEDLO C=CCC1(C(=O)N2CCN(Cc3[nH+]ccn3C)CC2)CCC1 ZINC000359622123 410777546 /nfs/dbraw/zinc/77/75/46/410777546.db2.gz WZVFFXXMNDMFRI-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H](F)C[C@H]2CNc2cnc(C#N)cn2)cn1 ZINC000359658397 410799598 /nfs/dbraw/zinc/79/95/98/410799598.db2.gz CVQVNMMZEJEGLK-OCCSQVGLSA-N 1 2 315.356 1.106 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H](F)C[C@H]2CNc2cnc(C#N)cn2)cn1 ZINC000359658397 410799602 /nfs/dbraw/zinc/79/96/02/410799602.db2.gz CVQVNMMZEJEGLK-OCCSQVGLSA-N 1 2 315.356 1.106 20 30 DDEDLO CN(CC(C)(C)C#N)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000353167812 410826301 /nfs/dbraw/zinc/82/63/01/410826301.db2.gz ACWUMUBURFWGEY-UHFFFAOYSA-N 1 2 317.437 1.640 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C[C@@H](O)COc2ccc(C#N)cc2)n1 ZINC000348094534 410831789 /nfs/dbraw/zinc/83/17/89/410831789.db2.gz YFDSCJARCNDVCV-GXFFZTMASA-N 1 2 302.334 1.340 20 30 DDEDLO C[N@@H+](CC(=O)NCc1cccc(C#N)c1)C[C@H](O)C(F)(F)F ZINC000341149301 410897053 /nfs/dbraw/zinc/89/70/53/410897053.db2.gz VNRWCJBNZSCTML-LBPRGKRZSA-N 1 2 315.295 1.029 20 30 DDEDLO C[N@H+](CC(=O)NCc1cccc(C#N)c1)C[C@H](O)C(F)(F)F ZINC000341149301 410897060 /nfs/dbraw/zinc/89/70/60/410897060.db2.gz VNRWCJBNZSCTML-LBPRGKRZSA-N 1 2 315.295 1.029 20 30 DDEDLO C[C@@H](CC#N)N(C)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000360246537 411112369 /nfs/dbraw/zinc/11/23/69/411112369.db2.gz NXFIEPUZTSOTAP-ZDUSSCGKSA-N 1 2 303.410 1.393 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+](Cc2cnc3c(C#N)cnn3c2)C1 ZINC000580075773 422883818 /nfs/dbraw/zinc/88/38/18/422883818.db2.gz XKIRLHZAJFIZLY-CQSZACIVSA-N 1 2 312.377 1.092 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+](Cc2cnc3c(C#N)cnn3c2)C1 ZINC000580075773 422883822 /nfs/dbraw/zinc/88/38/22/422883822.db2.gz XKIRLHZAJFIZLY-CQSZACIVSA-N 1 2 312.377 1.092 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(c2sccc2C#N)C1=O)c1ccn(C)n1 ZINC000580166217 422895959 /nfs/dbraw/zinc/89/59/59/422895959.db2.gz LWKFTGYMAMRAFQ-GWCFXTLKSA-N 1 2 315.402 1.809 20 30 DDEDLO C=CCCC(C)(C)CNC(=O)C(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000636575864 422914961 /nfs/dbraw/zinc/91/49/61/422914961.db2.gz NXQKMNYWQIBAKN-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO C[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)Nc1ccccc1SCC#N ZINC000349848280 533681418 /nfs/dbraw/zinc/68/14/18/533681418.db2.gz ADNUTCHFIIFHNV-VXGBXAGGSA-N 1 2 305.403 1.696 20 30 DDEDLO C[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)Nc1ccccc1SCC#N ZINC000349848280 533681430 /nfs/dbraw/zinc/68/14/30/533681430.db2.gz ADNUTCHFIIFHNV-VXGBXAGGSA-N 1 2 305.403 1.696 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N[C@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000373921022 418473243 /nfs/dbraw/zinc/47/32/43/418473243.db2.gz LJTYBUDJUZLYQN-JKSUJKDBSA-N 1 2 317.389 1.833 20 30 DDEDLO CCOC(=O)CC[N@@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000374353035 418520912 /nfs/dbraw/zinc/52/09/12/418520912.db2.gz QUHJRVASXBLKIP-SJCJKPOMSA-N 1 2 313.357 1.285 20 30 DDEDLO CCOC(=O)CC[N@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000374353035 418520914 /nfs/dbraw/zinc/52/09/14/418520914.db2.gz QUHJRVASXBLKIP-SJCJKPOMSA-N 1 2 313.357 1.285 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC[C@](F)(c2ccccc2)C1 ZINC000374540185 418539642 /nfs/dbraw/zinc/53/96/42/418539642.db2.gz WGNZPGKMCDGQFO-CXAGYDPISA-N 1 2 319.380 1.957 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@](F)(c2ccccc2)C1 ZINC000374540185 418539645 /nfs/dbraw/zinc/53/96/45/418539645.db2.gz WGNZPGKMCDGQFO-CXAGYDPISA-N 1 2 319.380 1.957 20 30 DDEDLO C[C@H]1CN(C(=O)c2ccc(C#N)c(O)c2)C[C@H]1[NH+]1CCOCC1 ZINC000188581455 222017872 /nfs/dbraw/zinc/01/78/72/222017872.db2.gz TVMAMPMCEOGEQM-SWLSCSKDSA-N 1 2 315.373 1.057 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(C#N)c(O)c2)C[C@@H]1[NH+]1CCOCC1 ZINC000188581388 222017917 /nfs/dbraw/zinc/01/79/17/222017917.db2.gz TVMAMPMCEOGEQM-DOMZBBRYSA-N 1 2 315.373 1.057 20 30 DDEDLO COC(=O)[C@H]1C[C@H](O)C[N@@H+]1Cc1cccc(OCCCC#N)c1 ZINC000188611823 222020014 /nfs/dbraw/zinc/02/00/14/222020014.db2.gz QSNKSXXQYFCPIP-GOEBONIOSA-N 1 2 318.373 1.477 20 30 DDEDLO COC(=O)[C@H]1C[C@H](O)C[N@H+]1Cc1cccc(OCCCC#N)c1 ZINC000188611823 222020018 /nfs/dbraw/zinc/02/00/18/222020018.db2.gz QSNKSXXQYFCPIP-GOEBONIOSA-N 1 2 318.373 1.477 20 30 DDEDLO CC#CCCCC(=O)NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000375124436 418607815 /nfs/dbraw/zinc/60/78/15/418607815.db2.gz KSWXAECVFTUYQY-QGZVFWFLSA-N 1 2 308.422 1.178 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC(F)(F)[C@H](CO)C1 ZINC000291372167 222347875 /nfs/dbraw/zinc/34/78/75/222347875.db2.gz AKELTYSKBSPGJI-YPMHNXCESA-N 1 2 323.343 1.835 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC(F)(F)[C@H](CO)C1 ZINC000291372167 222347878 /nfs/dbraw/zinc/34/78/78/222347878.db2.gz AKELTYSKBSPGJI-YPMHNXCESA-N 1 2 323.343 1.835 20 30 DDEDLO Cc1cnc(N2CC[NH+]([C@@H](C)C(=O)N(C)CCC#N)CC2)s1 ZINC000267417929 222384154 /nfs/dbraw/zinc/38/41/54/222384154.db2.gz JSWFTMYTHPXWBF-ZDUSSCGKSA-N 1 2 321.450 1.334 20 30 DDEDLO N#CCc1cccc(C(=O)Nc2c[nH+]ccc2-n2ccnn2)c1 ZINC000377453747 418710327 /nfs/dbraw/zinc/71/03/27/418710327.db2.gz XSZPJRQGGGNNFK-UHFFFAOYSA-N 1 2 304.313 1.981 20 30 DDEDLO N#CCCC[N@@H+]1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000361865410 418719914 /nfs/dbraw/zinc/71/99/14/418719914.db2.gz MXSHJLULBVXRDK-ZDUSSCGKSA-N 1 2 313.357 1.287 20 30 DDEDLO N#CCCC[N@H+]1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000361865410 418719917 /nfs/dbraw/zinc/71/99/17/418719917.db2.gz MXSHJLULBVXRDK-ZDUSSCGKSA-N 1 2 313.357 1.287 20 30 DDEDLO C=CCOc1cccc(C[N@@H+]2CCN3C(=O)N(C)C(=O)[C@@H]3C2)c1 ZINC000369416692 418732894 /nfs/dbraw/zinc/73/28/94/418732894.db2.gz ILAQZXGNUCVQIU-HNNXBMFYSA-N 1 2 315.373 1.330 20 30 DDEDLO C=CCOc1cccc(C[N@H+]2CCN3C(=O)N(C)C(=O)[C@@H]3C2)c1 ZINC000369416692 418732896 /nfs/dbraw/zinc/73/28/96/418732896.db2.gz ILAQZXGNUCVQIU-HNNXBMFYSA-N 1 2 315.373 1.330 20 30 DDEDLO CN1CC[N@H+](CCNc2cnc(C#N)cn2)[C@H](c2ccccc2)C1 ZINC000363703574 418768510 /nfs/dbraw/zinc/76/85/10/418768510.db2.gz RPWXDVMYZJXCLW-KRWDZBQOSA-N 1 2 322.416 1.749 20 30 DDEDLO CN1CC[N@@H+](CCNc2cnc(C#N)cn2)[C@H](c2ccccc2)C1 ZINC000363703574 418768512 /nfs/dbraw/zinc/76/85/12/418768512.db2.gz RPWXDVMYZJXCLW-KRWDZBQOSA-N 1 2 322.416 1.749 20 30 DDEDLO C=CCCCCNC(=O)N1CC[NH+](C2(C(N)=O)CCCC2)CC1 ZINC000364297381 418775626 /nfs/dbraw/zinc/77/56/26/418775626.db2.gz WRCIDQRXQCJQFG-UHFFFAOYSA-N 1 2 322.453 1.468 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000371307392 418776149 /nfs/dbraw/zinc/77/61/49/418776149.db2.gz DLGNOCHEEQPEAC-YPMHNXCESA-N 1 2 310.357 1.722 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000371307392 418776150 /nfs/dbraw/zinc/77/61/50/418776150.db2.gz DLGNOCHEEQPEAC-YPMHNXCESA-N 1 2 310.357 1.722 20 30 DDEDLO CC#CCCn1nnc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000364614417 418793434 /nfs/dbraw/zinc/79/34/34/418793434.db2.gz PRVIQSYEMPDZGR-INIZCTEOSA-N 1 2 311.389 1.660 20 30 DDEDLO CC#CCCn1nnc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000364614417 418793437 /nfs/dbraw/zinc/79/34/37/418793437.db2.gz PRVIQSYEMPDZGR-INIZCTEOSA-N 1 2 311.389 1.660 20 30 DDEDLO N#Cc1ccnc(N[C@H]2CCCN(c3cccc[nH+]3)C2)c1[N+](=O)[O-] ZINC000371382912 418786440 /nfs/dbraw/zinc/78/64/40/418786440.db2.gz AJQLNAZKVGYAFA-ZDUSSCGKSA-N 1 2 324.344 2.337 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)[C@H]2CCc3[nH+]ccn3C2)n1 ZINC000364622048 418794832 /nfs/dbraw/zinc/79/48/32/418794832.db2.gz JBPNCWXXNUUHQP-AWEZNQCLSA-N 1 2 311.389 1.324 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2cccnc2C#N)C[C@@H]1C ZINC000408376940 418800038 /nfs/dbraw/zinc/80/00/38/418800038.db2.gz VDJXXFYQGORJAB-NWDGAFQWSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2cccnc2C#N)C[C@@H]1C ZINC000408376940 418800040 /nfs/dbraw/zinc/80/00/40/418800040.db2.gz VDJXXFYQGORJAB-NWDGAFQWSA-N 1 2 308.407 1.056 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H](OC)C[C@H]1CC(=O)OCC ZINC000372288675 418852090 /nfs/dbraw/zinc/85/20/90/418852090.db2.gz LXIHPHWLKCMPGB-WDEREUQCSA-N 1 2 306.200 1.938 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H](OC)C[C@H]1CC(=O)OCC ZINC000372288675 418852091 /nfs/dbraw/zinc/85/20/91/418852091.db2.gz LXIHPHWLKCMPGB-WDEREUQCSA-N 1 2 306.200 1.938 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@@H+]3CC[C@](O)(C(F)F)C3)C2=O)cc1 ZINC000411790291 419413252 /nfs/dbraw/zinc/41/32/52/419413252.db2.gz GVSCYVZEDQRMOK-XJKSGUPXSA-N 1 2 321.327 1.365 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@H+]3CC[C@](O)(C(F)F)C3)C2=O)cc1 ZINC000411790291 419413290 /nfs/dbraw/zinc/41/32/90/419413290.db2.gz GVSCYVZEDQRMOK-XJKSGUPXSA-N 1 2 321.327 1.365 20 30 DDEDLO CC(C)CN1C[C@@H]2C[N@H+](Cc3ccc(C#N)o3)CCN2C1=O ZINC000425992964 419374732 /nfs/dbraw/zinc/37/47/32/419374732.db2.gz ITBFPVJCFCIPFT-ZDUSSCGKSA-N 1 2 302.378 1.729 20 30 DDEDLO CC(C)CN1C[C@@H]2C[N@@H+](Cc3ccc(C#N)o3)CCN2C1=O ZINC000425992964 419374735 /nfs/dbraw/zinc/37/47/35/419374735.db2.gz ITBFPVJCFCIPFT-ZDUSSCGKSA-N 1 2 302.378 1.729 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1ccc(C#N)cc1F ZINC000433514586 229216901 /nfs/dbraw/zinc/21/69/01/229216901.db2.gz FFBAYLCBXTZPBQ-SNVBAGLBSA-N 1 2 315.308 1.037 20 30 DDEDLO C=C(C)CS(=O)(=O)NCCNc1ccc2ccccc2[nH+]1 ZINC000435425474 420264290 /nfs/dbraw/zinc/26/42/90/420264290.db2.gz DDBWLYADKGFRHS-UHFFFAOYSA-N 1 2 305.403 2.142 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNc2c(C#N)cccc2[N+](=O)[O-])CCO1 ZINC000425264225 420333126 /nfs/dbraw/zinc/33/31/26/420333126.db2.gz WMQNZJGNTUROBI-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNc2c(C#N)cccc2[N+](=O)[O-])CCO1 ZINC000425264225 420333130 /nfs/dbraw/zinc/33/31/30/420333130.db2.gz WMQNZJGNTUROBI-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@H](C)c2ncc(C(=O)OC)s2)C1=O ZINC000425495709 420399758 /nfs/dbraw/zinc/39/97/58/420399758.db2.gz ZXKDWALAQKLDRU-NXEZZACHSA-N 1 2 309.391 1.367 20 30 DDEDLO CC(C)c1ncc(C[N@H+](C)CC(=O)N[C@](C)(C#N)C2CC2)cn1 ZINC000440473300 420577889 /nfs/dbraw/zinc/57/78/89/420577889.db2.gz XFLQUVAEJMDGQU-QGZVFWFLSA-N 1 2 315.421 1.840 20 30 DDEDLO CC(C)c1ncc(C[N@@H+](C)CC(=O)N[C@](C)(C#N)C2CC2)cn1 ZINC000440473300 420577894 /nfs/dbraw/zinc/57/78/94/420577894.db2.gz XFLQUVAEJMDGQU-QGZVFWFLSA-N 1 2 315.421 1.840 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000441040195 420628056 /nfs/dbraw/zinc/62/80/56/420628056.db2.gz XXCVNODQWZOGPM-ZDUSSCGKSA-N 1 2 300.358 1.560 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000441040195 420628058 /nfs/dbraw/zinc/62/80/58/420628058.db2.gz XXCVNODQWZOGPM-ZDUSSCGKSA-N 1 2 300.358 1.560 20 30 DDEDLO C[C@H](CC#N)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000442946472 420731099 /nfs/dbraw/zinc/73/10/99/420731099.db2.gz QFEOXXVDHWKDTP-GFCCVEGCSA-N 1 2 304.375 1.512 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000455435175 421013553 /nfs/dbraw/zinc/01/35/53/421013553.db2.gz MZEIJIOHUNWONZ-CQSZACIVSA-N 1 2 315.377 1.775 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cccc(CC#N)c1 ZINC000455909712 421075920 /nfs/dbraw/zinc/07/59/20/421075920.db2.gz BRKKXFKFYDTEQN-ZDUSSCGKSA-N 1 2 302.378 1.595 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)Nc1cccc(CC#N)c1 ZINC000455909712 421075924 /nfs/dbraw/zinc/07/59/24/421075924.db2.gz BRKKXFKFYDTEQN-ZDUSSCGKSA-N 1 2 302.378 1.595 20 30 DDEDLO C=CCC1(NC(=O)C[N@@H+](C)CC(=O)NC(C)C)CCCCC1 ZINC000456369449 421151100 /nfs/dbraw/zinc/15/11/00/421151100.db2.gz QIONQNUMHCMLLB-UHFFFAOYSA-N 1 2 309.454 1.838 20 30 DDEDLO C=CCC1(NC(=O)C[N@H+](C)CC(=O)NC(C)C)CCCCC1 ZINC000456369449 421151103 /nfs/dbraw/zinc/15/11/03/421151103.db2.gz QIONQNUMHCMLLB-UHFFFAOYSA-N 1 2 309.454 1.838 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000489499201 421160449 /nfs/dbraw/zinc/16/04/49/421160449.db2.gz SAZKQMJSWMBPHH-WBVHZDCISA-N 1 2 315.417 1.630 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000489499201 421160453 /nfs/dbraw/zinc/16/04/53/421160453.db2.gz SAZKQMJSWMBPHH-WBVHZDCISA-N 1 2 315.417 1.630 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+](C)CC2(C#N)CCC2)C1 ZINC000450343648 421185893 /nfs/dbraw/zinc/18/58/93/421185893.db2.gz YSXYBISARAULSL-CQSZACIVSA-N 1 2 321.421 1.414 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+](C)CC2(C#N)CCC2)C1 ZINC000450343648 421185897 /nfs/dbraw/zinc/18/58/97/421185897.db2.gz YSXYBISARAULSL-CQSZACIVSA-N 1 2 321.421 1.414 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCC[C@H]2CC)CC1 ZINC000491465682 421197779 /nfs/dbraw/zinc/19/77/79/421197779.db2.gz XETRXBWFBMSPSA-CVEARBPZSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCC[C@H]2CC)CC1 ZINC000491465682 421197780 /nfs/dbraw/zinc/19/77/80/421197780.db2.gz XETRXBWFBMSPSA-CVEARBPZSA-N 1 2 319.449 1.096 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNc2nccc(C#N)c2Cl)C1 ZINC000450540272 421208662 /nfs/dbraw/zinc/20/86/62/421208662.db2.gz OOWZDXVYNCYHPU-LLVKDONJSA-N 1 2 322.796 1.904 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNc2nccc(C#N)c2Cl)C1 ZINC000450540272 421208664 /nfs/dbraw/zinc/20/86/64/421208664.db2.gz OOWZDXVYNCYHPU-LLVKDONJSA-N 1 2 322.796 1.904 20 30 DDEDLO CCC[C@@H](C#N)NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000524938216 421276851 /nfs/dbraw/zinc/27/68/51/421276851.db2.gz SWVBNDBCZFUGEN-HOTGVXAUSA-N 1 2 301.390 1.696 20 30 DDEDLO CCC[C@@H](C#N)NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000524938216 421276854 /nfs/dbraw/zinc/27/68/54/421276854.db2.gz SWVBNDBCZFUGEN-HOTGVXAUSA-N 1 2 301.390 1.696 20 30 DDEDLO CC1(C)CN(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C(=O)O1 ZINC000497287427 421401466 /nfs/dbraw/zinc/40/14/66/421401466.db2.gz BCLJIIVSDWWDRI-CYBMUJFWSA-N 1 2 316.361 1.595 20 30 DDEDLO CC1(C)CN(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C(=O)O1 ZINC000497287427 421401468 /nfs/dbraw/zinc/40/14/68/421401468.db2.gz BCLJIIVSDWWDRI-CYBMUJFWSA-N 1 2 316.361 1.595 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000548311841 421421298 /nfs/dbraw/zinc/42/12/98/421421298.db2.gz JOOGFSZGIJCQOA-TZMCWYRMSA-N 1 2 310.357 1.653 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3ccncc3C#N)c[nH+]2)C[C@H](C)O1 ZINC000548481649 421443696 /nfs/dbraw/zinc/44/36/96/421443696.db2.gz JKCAMKNLVKQDCS-KBPBESRZSA-N 1 2 323.400 1.996 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000550721669 421522220 /nfs/dbraw/zinc/52/22/20/421522220.db2.gz OHHQKXSSAKZIAV-QWHCGFSZSA-N 1 2 302.378 1.101 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CC#CCN1C(=O)c2ccccc2C1=O ZINC000528343042 421480112 /nfs/dbraw/zinc/48/01/12/421480112.db2.gz XZGZNUCHFVLFLA-KGLIPLIRSA-N 1 2 312.369 1.395 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CC#CCN1C(=O)c2ccccc2C1=O ZINC000528343042 421480116 /nfs/dbraw/zinc/48/01/16/421480116.db2.gz XZGZNUCHFVLFLA-KGLIPLIRSA-N 1 2 312.369 1.395 20 30 DDEDLO N#CCC1(O)C[NH+](C[C@@H](O)CO[C@H]2CCCc3ccccc32)C1 ZINC000515165298 421481968 /nfs/dbraw/zinc/48/19/68/421481968.db2.gz YCEPMJGYBFQBHT-WBVHZDCISA-N 1 2 316.401 1.402 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2CNS(=O)(=O)[C@H](C)C#N)cc1 ZINC000528501380 421495120 /nfs/dbraw/zinc/49/51/20/421495120.db2.gz LQAGMYDVZIOOKS-OCCSQVGLSA-N 1 2 323.418 1.101 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2CNS(=O)(=O)[C@H](C)C#N)cc1 ZINC000528501380 421495124 /nfs/dbraw/zinc/49/51/24/421495124.db2.gz LQAGMYDVZIOOKS-OCCSQVGLSA-N 1 2 323.418 1.101 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@H](c2ccc(Cl)cc2)[NH+](C)C)c1C#N ZINC000565213719 421597140 /nfs/dbraw/zinc/59/71/40/421597140.db2.gz NFQOLFVILBIXIN-ZDUSSCGKSA-N 1 2 317.780 2.485 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cnoc3C)CC2)c1C#N ZINC000530361489 421597404 /nfs/dbraw/zinc/59/74/04/421597404.db2.gz YCSUNUVAYNQFCV-UHFFFAOYSA-N 1 2 300.366 1.219 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000551582696 421534185 /nfs/dbraw/zinc/53/41/85/421534185.db2.gz AZBZZOJNTJWGDX-IDFMNXDXSA-N 1 2 302.403 1.547 20 30 DDEDLO CCN1CCN(c2nc3ccccn3c2C#N)C[C@H]1c1[nH]cc[nH+]1 ZINC000530534247 421604928 /nfs/dbraw/zinc/60/49/28/421604928.db2.gz UUTQJQSRPWDNPT-AWEZNQCLSA-N 1 2 321.388 1.812 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCN3C(=O)CC[C@@H]3C2)cc(OC)c1O ZINC000535820924 421711286 /nfs/dbraw/zinc/71/12/86/421711286.db2.gz BCKZRSPPGPPTSM-OAHLLOKOSA-N 1 2 316.401 1.936 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCN3C(=O)CC[C@@H]3C2)cc(OC)c1O ZINC000535820924 421711289 /nfs/dbraw/zinc/71/12/89/421711289.db2.gz BCKZRSPPGPPTSM-OAHLLOKOSA-N 1 2 316.401 1.936 20 30 DDEDLO Cc1noc2nc(C)cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c12 ZINC000555480863 421679564 /nfs/dbraw/zinc/67/95/64/421679564.db2.gz VWIWIJICHQXQLR-HNNXBMFYSA-N 1 2 301.350 1.413 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@H]1CCCSC1 ZINC000572084009 421763382 /nfs/dbraw/zinc/76/33/82/421763382.db2.gz LAYKOYIFTYIRQS-AWEZNQCLSA-N 1 2 311.451 1.204 20 30 DDEDLO CCc1nnc(C2CC[NH+](CC(=O)NC3(C#N)CCC3)CC2)[nH]1 ZINC000558116330 421799620 /nfs/dbraw/zinc/79/96/20/421799620.db2.gz FJVOLNWBHFMFOE-UHFFFAOYSA-N 1 2 316.409 1.109 20 30 DDEDLO CCc1n[nH]c(C2CC[NH+](CC(=O)NC3(C#N)CCC3)CC2)n1 ZINC000558116330 421799621 /nfs/dbraw/zinc/79/96/21/421799621.db2.gz FJVOLNWBHFMFOE-UHFFFAOYSA-N 1 2 316.409 1.109 20 30 DDEDLO CCc1nc(C2CC[NH+](CC(=O)NC3(C#N)CCC3)CC2)n[nH]1 ZINC000558116330 421799623 /nfs/dbraw/zinc/79/96/23/421799623.db2.gz FJVOLNWBHFMFOE-UHFFFAOYSA-N 1 2 316.409 1.109 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(OC)cc2F)CC1 ZINC000572607968 421805947 /nfs/dbraw/zinc/80/59/47/421805947.db2.gz ONNPWOSSWNNTTP-UHFFFAOYSA-N 1 2 321.396 1.254 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(O[C@@H]2CCOC2)cc1 ZINC000573729269 422006783 /nfs/dbraw/zinc/00/67/83/422006783.db2.gz DBKVSIFLBFGIAL-NVXWUHKLSA-N 1 2 317.389 1.428 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)CC2(CCCC2)O1 ZINC000592186149 422331400 /nfs/dbraw/zinc/33/14/00/422331400.db2.gz ADQLRGSHVSFCIL-XJKSGUPXSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)CC2(CCCC2)O1 ZINC000592186149 422331406 /nfs/dbraw/zinc/33/14/06/422331406.db2.gz ADQLRGSHVSFCIL-XJKSGUPXSA-N 1 2 305.422 1.828 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CC(=O)Nc1nn(C)c(C)c1C#N ZINC000592648560 422335978 /nfs/dbraw/zinc/33/59/78/422335978.db2.gz ZQCZEOJMMFAAFS-UHFFFAOYSA-N 1 2 308.345 1.897 20 30 DDEDLO C=CC[C@H](CO)NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635797346 422352126 /nfs/dbraw/zinc/35/21/26/422352126.db2.gz RZBYEFSOMRNYBP-GDBMZVCRSA-N 1 2 304.390 1.323 20 30 DDEDLO C=CC[C@H](CO)NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635797346 422352132 /nfs/dbraw/zinc/35/21/32/422352132.db2.gz RZBYEFSOMRNYBP-GDBMZVCRSA-N 1 2 304.390 1.323 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000635767782 422325070 /nfs/dbraw/zinc/32/50/70/422325070.db2.gz YPRMFBYQMVXSDW-LBPRGKRZSA-N 1 2 301.346 1.492 20 30 DDEDLO C=CCOc1ccc(CC(=O)NC[C@@H]2COCC[N@H+]2C)cc1 ZINC000628914428 422385862 /nfs/dbraw/zinc/38/58/62/422385862.db2.gz LWKNXJZOJQGZAS-OAHLLOKOSA-N 1 2 304.390 1.241 20 30 DDEDLO C=CCOc1ccc(CC(=O)NC[C@@H]2COCC[N@@H+]2C)cc1 ZINC000628914428 422385869 /nfs/dbraw/zinc/38/58/69/422385869.db2.gz LWKNXJZOJQGZAS-OAHLLOKOSA-N 1 2 304.390 1.241 20 30 DDEDLO COCC[N@H+](CC(=O)Nc1ccon1)Cc1ccc(C#N)cc1 ZINC000604493170 422456923 /nfs/dbraw/zinc/45/69/23/422456923.db2.gz LBTZHOTZYZEXLI-UHFFFAOYSA-N 1 2 314.345 1.633 20 30 DDEDLO COCC[N@@H+](CC(=O)Nc1ccon1)Cc1ccc(C#N)cc1 ZINC000604493170 422456930 /nfs/dbraw/zinc/45/69/30/422456930.db2.gz LBTZHOTZYZEXLI-UHFFFAOYSA-N 1 2 314.345 1.633 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccc(Cl)cc2)nn1 ZINC000640773419 423175571 /nfs/dbraw/zinc/17/55/71/423175571.db2.gz ZGBYJZHQDZMFPY-UHFFFAOYSA-N 1 2 317.780 1.683 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)Cc2cccc(Cl)c2)nn1 ZINC000641227066 423493776 /nfs/dbraw/zinc/49/37/76/423493776.db2.gz PAKMGNYWYARMSO-MRXNPFEDSA-N 1 2 318.808 1.648 20 30 DDEDLO CC(=O)c1cccc(OC[C@H](O)C[N@@H+]2CC[C@](C)(C#N)C2)c1 ZINC000662081213 424376853 /nfs/dbraw/zinc/37/68/53/424376853.db2.gz WIABWKXFNIQLFG-NVXWUHKLSA-N 1 2 302.374 1.864 20 30 DDEDLO CC(=O)c1cccc(OC[C@H](O)C[N@H+]2CC[C@](C)(C#N)C2)c1 ZINC000662081213 424376862 /nfs/dbraw/zinc/37/68/62/424376862.db2.gz WIABWKXFNIQLFG-NVXWUHKLSA-N 1 2 302.374 1.864 20 30 DDEDLO C=CCc1cc(C[NH2+]Cc2nc(COC)n[nH]2)cc(OC)c1O ZINC000657607829 424441417 /nfs/dbraw/zinc/44/14/17/424441417.db2.gz NHGCAOBECPCNAV-UHFFFAOYSA-N 1 2 318.377 1.684 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@H](C)c2nnc3n2CCCCC3)C1=O ZINC000660265211 424616742 /nfs/dbraw/zinc/61/67/42/424616742.db2.gz IRAHLYNFBBPAFW-OLZOCXBDSA-N 1 2 303.410 1.442 20 30 DDEDLO O=C(NCC#Cc1ccccc1)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000660295671 424627706 /nfs/dbraw/zinc/62/77/06/424627706.db2.gz SDKNMEIIPHIAOR-KRWDZBQOSA-N 1 2 313.401 1.154 20 30 DDEDLO CCOC(=O)C1(O)CC[NH+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000361829285 266255675 /nfs/dbraw/zinc/25/56/75/266255675.db2.gz HANPVOPISDDCMI-UHFFFAOYSA-N 1 2 306.337 1.587 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1C(=O)c1ccc(C#N)c(O)c1 ZINC000356709174 267109220 /nfs/dbraw/zinc/10/92/20/267109220.db2.gz NQBGXPSFWHRLDW-IUODEOHRSA-N 1 2 315.373 1.199 20 30 DDEDLO C[N@H+](Cc1ncc(C#N)cn1)[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000496147380 267323895 /nfs/dbraw/zinc/32/38/95/267323895.db2.gz PIBMHGMYADYBRG-CYBMUJFWSA-N 1 2 317.393 1.789 20 30 DDEDLO C[N@@H+](Cc1ncc(C#N)cn1)[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000496147380 267323898 /nfs/dbraw/zinc/32/38/98/267323898.db2.gz PIBMHGMYADYBRG-CYBMUJFWSA-N 1 2 317.393 1.789 20 30 DDEDLO COC(=O)C1CC[NH+](Cc2ccc(C#N)cc2[N+](=O)[O-])CC1 ZINC000515386996 267357576 /nfs/dbraw/zinc/35/75/76/267357576.db2.gz VOMQTUPMOKJZEH-UHFFFAOYSA-N 1 2 303.318 1.851 20 30 DDEDLO COC(=O)C[N@H+](Cc1ccc(C#N)c(F)c1)C1CCOCC1 ZINC000517463973 267363076 /nfs/dbraw/zinc/36/30/76/267363076.db2.gz LJXUUYCDXTZOPC-UHFFFAOYSA-N 1 2 306.337 1.851 20 30 DDEDLO COC(=O)C[N@@H+](Cc1ccc(C#N)c(F)c1)C1CCOCC1 ZINC000517463973 267363078 /nfs/dbraw/zinc/36/30/78/267363078.db2.gz LJXUUYCDXTZOPC-UHFFFAOYSA-N 1 2 306.337 1.851 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1NC(=O)CC[C@H]1Nc1ccc(C#N)cc1F ZINC000375383677 267834816 /nfs/dbraw/zinc/83/48/16/267834816.db2.gz DQULZXGPWCGDPI-CZUORRHYSA-N 1 2 313.336 1.863 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)NCCCNc2cccc[nH+]2)cc1 ZINC000193719610 268134114 /nfs/dbraw/zinc/13/41/14/268134114.db2.gz RVOKUFLQTVGQRN-UHFFFAOYSA-N 1 2 323.356 1.510 20 30 DDEDLO N#Cc1cccc(NC[C@@]2([NH+]3CCOCC3)CCCOC2)n1 ZINC000370573290 268270269 /nfs/dbraw/zinc/27/02/69/268270269.db2.gz BZAROLAYDOCDOE-INIZCTEOSA-N 1 2 302.378 1.247 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000338903548 275429922 /nfs/dbraw/zinc/42/99/22/275429922.db2.gz LOAWRGLIWVKANF-UHFFFAOYSA-N 1 2 318.377 1.327 20 30 DDEDLO CCN1CC[N@H+](CCOc2ccc(C#N)cc2)C(C)(C)C1=O ZINC000186515926 276195318 /nfs/dbraw/zinc/19/53/18/276195318.db2.gz XJXRSUFNYQWMAA-UHFFFAOYSA-N 1 2 301.390 1.880 20 30 DDEDLO CCN1CC[N@@H+](CCOc2ccc(C#N)cc2)C(C)(C)C1=O ZINC000186515926 276195321 /nfs/dbraw/zinc/19/53/21/276195321.db2.gz XJXRSUFNYQWMAA-UHFFFAOYSA-N 1 2 301.390 1.880 20 30 DDEDLO CC(C)C[C@]1(C)CC(=O)N(C[C@@H](O)C[N@H+](C)CCC#N)C1=O ZINC000414153090 279237431 /nfs/dbraw/zinc/23/74/31/279237431.db2.gz QYRXCEWJZSHQAT-XJKSGUPXSA-N 1 2 309.410 1.004 20 30 DDEDLO CC(C)C[C@]1(C)CC(=O)N(C[C@@H](O)C[N@@H+](C)CCC#N)C1=O ZINC000414153090 279237434 /nfs/dbraw/zinc/23/74/34/279237434.db2.gz QYRXCEWJZSHQAT-XJKSGUPXSA-N 1 2 309.410 1.004 20 30 DDEDLO Cc1nsc(NC(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)c1C#N ZINC000297417344 279266261 /nfs/dbraw/zinc/26/62/61/279266261.db2.gz LZOHYYAPRODVAL-GXSJLCMTSA-N 1 2 323.422 1.554 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000374364083 279337468 /nfs/dbraw/zinc/33/74/68/279337468.db2.gz FNGLZJFINVSYNB-GUYCJALGSA-N 1 2 307.357 1.266 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000374364083 279337469 /nfs/dbraw/zinc/33/74/69/279337469.db2.gz FNGLZJFINVSYNB-GUYCJALGSA-N 1 2 307.357 1.266 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC1(CC#N)CC1 ZINC000376409894 288712855 /nfs/dbraw/zinc/71/28/55/288712855.db2.gz AUQFZAOGQOTIMM-KGLIPLIRSA-N 1 2 306.410 1.185 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCOC[C@@H]3[C@@H]3CCCC3=O)n2c1 ZINC000368490509 301039394 /nfs/dbraw/zinc/03/93/94/301039394.db2.gz OKUBHNRHQCXJRR-JKSUJKDBSA-N 1 2 324.384 1.776 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[N@@H+](C)CC2(C)C)c1C#N ZINC000271058422 302010493 /nfs/dbraw/zinc/01/04/93/302010493.db2.gz RTSXUCGUCSZWLY-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[N@H+](C)CC2(C)C)c1C#N ZINC000271058422 302010494 /nfs/dbraw/zinc/01/04/94/302010494.db2.gz RTSXUCGUCSZWLY-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO CCc1nn(CC[N@H+]2C[C@@H](C)O[C@@H](C)C2)c(=O)c(C#N)c1CC ZINC000569867316 308152185 /nfs/dbraw/zinc/15/21/85/308152185.db2.gz FUNYACNWPYDVCV-BETUJISGSA-N 1 2 318.421 1.349 20 30 DDEDLO CCc1nn(CC[N@@H+]2C[C@@H](C)O[C@@H](C)C2)c(=O)c(C#N)c1CC ZINC000569867316 308152186 /nfs/dbraw/zinc/15/21/86/308152186.db2.gz FUNYACNWPYDVCV-BETUJISGSA-N 1 2 318.421 1.349 20 30 DDEDLO CC(C)(C)N1CC[C@H]([NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)C1=O ZINC000571882119 308205493 /nfs/dbraw/zinc/20/54/93/308205493.db2.gz OVNCAGFSFHKOKU-KBPBESRZSA-N 1 2 314.389 1.074 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000573706374 308249774 /nfs/dbraw/zinc/24/97/74/308249774.db2.gz KAHPELRSFBQRSR-CQSZACIVSA-N 1 2 316.386 1.189 20 30 DDEDLO COC(=O)CC[N@@H+](Cc1nc(C#N)cs1)C[C@H]1CCCO1 ZINC000576156484 308292804 /nfs/dbraw/zinc/29/28/04/308292804.db2.gz RNWHEGWJJACGSK-GFCCVEGCSA-N 1 2 309.391 1.559 20 30 DDEDLO COC(=O)CC[N@H+](Cc1nc(C#N)cs1)C[C@H]1CCCO1 ZINC000576156484 308292805 /nfs/dbraw/zinc/29/28/05/308292805.db2.gz RNWHEGWJJACGSK-GFCCVEGCSA-N 1 2 309.391 1.559 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000580512618 308609502 /nfs/dbraw/zinc/60/95/02/308609502.db2.gz MFYWBQZFFSGPQI-ZIAGYGMSSA-N 1 2 315.421 1.378 20 30 DDEDLO CC1(C)C[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)[C@H]1[C@H]1CCCO1 ZINC000582329814 326012781 /nfs/dbraw/zinc/01/27/81/326012781.db2.gz KLDQZMBPJUZYJR-PMPSAXMXSA-N 1 2 313.401 1.974 20 30 DDEDLO CC1(C)C[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)[C@H]1[C@H]1CCCO1 ZINC000582329814 326012784 /nfs/dbraw/zinc/01/27/84/326012784.db2.gz KLDQZMBPJUZYJR-PMPSAXMXSA-N 1 2 313.401 1.974 20 30 DDEDLO CO[C@H](C)c1nc(CNC[C@@H](O)C[N@H+](C)CCC#N)cs1 ZINC000562989968 333019838 /nfs/dbraw/zinc/01/98/38/333019838.db2.gz URNZVHQXTLGSTF-DGCLKSJQSA-N 1 2 312.439 1.146 20 30 DDEDLO CO[C@H](C)c1nc(CNC[C@@H](O)C[N@@H+](C)CCC#N)cs1 ZINC000562989968 333019839 /nfs/dbraw/zinc/01/98/39/333019839.db2.gz URNZVHQXTLGSTF-DGCLKSJQSA-N 1 2 312.439 1.146 20 30 DDEDLO C[C@@H](CCC#N)N1CC[NH+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000351616725 336050635 /nfs/dbraw/zinc/05/06/35/336050635.db2.gz UULORDVWMBMQGP-JKSUJKDBSA-N 1 2 306.454 1.697 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)Cn3cc[nH+]c3)C2=O)cc1 ZINC000582923998 337218108 /nfs/dbraw/zinc/21/81/08/337218108.db2.gz RMBCQMIIBXVVAX-HNNXBMFYSA-N 1 2 323.356 1.067 20 30 DDEDLO Cc1cc(N[C@H]2CCN(C(=O)c3ccccn3)C2)c(C#N)c[nH+]1 ZINC000583200423 337267407 /nfs/dbraw/zinc/26/74/07/337267407.db2.gz QOVKHUFPLFRANK-AWEZNQCLSA-N 1 2 307.357 1.405 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1c(F)c(F)cc(F)c1F ZINC000514941837 337974597 /nfs/dbraw/zinc/97/45/97/337974597.db2.gz IEQLYZSNZCHQFQ-ZDUSSCGKSA-N 1 2 303.259 1.817 20 30 DDEDLO N#C[C@H](NC(=O)c1ccc(-n2cc[nH+]c2)nn1)c1ccc(F)cc1 ZINC000522599937 340605417 /nfs/dbraw/zinc/60/54/17/340605417.db2.gz WSPKSSUTICANIJ-AWEZNQCLSA-N 1 2 322.303 1.796 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cccc(C)c2[N+](=O)[O-])CC1 ZINC000134057619 341205555 /nfs/dbraw/zinc/20/55/55/341205555.db2.gz UOMVZACYGBPRRN-UHFFFAOYSA-N 1 2 317.345 1.059 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000573058234 341715331 /nfs/dbraw/zinc/71/53/31/341715331.db2.gz FQVHLHLTDQGYBF-CABCVRRESA-N 1 2 301.390 1.758 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc(Br)co1 ZINC000573210495 341721049 /nfs/dbraw/zinc/72/10/49/341721049.db2.gz LHQNZPBKTKVZQX-LLVKDONJSA-N 1 2 300.156 1.616 20 30 DDEDLO C=C(C)[C@H](CO)[N@@H+]1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000671244890 484978999 /nfs/dbraw/zinc/97/89/99/484978999.db2.gz PWDAXADAJGQOMU-HNNXBMFYSA-N 1 2 324.446 1.232 20 30 DDEDLO C=C(C)[C@H](CO)[N@H+]1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000671244890 484979004 /nfs/dbraw/zinc/97/90/04/484979004.db2.gz PWDAXADAJGQOMU-HNNXBMFYSA-N 1 2 324.446 1.232 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)N1CCn2cc(C)[nH+]c2C1 ZINC000672783690 485347591 /nfs/dbraw/zinc/34/75/91/485347591.db2.gz YNJZBLVYDOCYOE-GFCCVEGCSA-N 1 2 304.394 1.395 20 30 DDEDLO C=CCOCCNC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000679502676 485903898 /nfs/dbraw/zinc/90/38/98/485903898.db2.gz KZPGNJPDTRSSCY-UHFFFAOYSA-N 1 2 304.394 1.111 20 30 DDEDLO C[C@@H]1C[C@H](CCNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)CCO1 ZINC000329673535 533832118 /nfs/dbraw/zinc/83/21/18/533832118.db2.gz UYZRGQCKGYNWNE-TUVASFSCSA-N 1 2 311.426 1.120 20 30 DDEDLO C[C@@H]1C[C@H](CCNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)CCO1 ZINC000329673535 533832124 /nfs/dbraw/zinc/83/21/24/533832124.db2.gz UYZRGQCKGYNWNE-TUVASFSCSA-N 1 2 311.426 1.120 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)C1 ZINC000333435883 534752274 /nfs/dbraw/zinc/75/22/74/534752274.db2.gz VJTMANAWOLKMOW-RRFJBIMHSA-N 1 2 306.410 1.185 20 30 DDEDLO O=C(Nc1cccc2c1OCCC2=O)N1CCn2c[nH+]cc2C1 ZINC000329720657 534774817 /nfs/dbraw/zinc/77/48/17/534774817.db2.gz ALQQOMOFXZPYNS-UHFFFAOYSA-N 1 2 312.329 1.910 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000343688875 526294716 /nfs/dbraw/zinc/29/47/16/526294716.db2.gz YHESXRDJDNEXGT-MRXNPFEDSA-N 1 2 308.422 1.130 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@H](C)c2cccs2)C1 ZINC000330937190 526400770 /nfs/dbraw/zinc/40/07/70/526400770.db2.gz AGFMEVFLSLRKSR-GHMZBOCLSA-N 1 2 310.423 1.133 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@H](C)c2cccs2)C1 ZINC000330937190 526400773 /nfs/dbraw/zinc/40/07/73/526400773.db2.gz AGFMEVFLSLRKSR-GHMZBOCLSA-N 1 2 310.423 1.133 20 30 DDEDLO CNC(=O)c1cccc(C[N@@H+]2CCC[C@@H](S(C)(=O)=O)C2)c1 ZINC000330910040 526409783 /nfs/dbraw/zinc/40/97/83/526409783.db2.gz VAGZEOZUVJNLQO-CQSZACIVSA-N 1 2 310.419 1.630 20 30 DDEDLO CNC(=O)c1cccc(C[N@H+]2CCC[C@@H](S(C)(=O)=O)C2)c1 ZINC000330910040 526409788 /nfs/dbraw/zinc/40/97/88/526409788.db2.gz VAGZEOZUVJNLQO-CQSZACIVSA-N 1 2 310.419 1.630 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(C2CC2)C2CCCC2)C1=O ZINC000337236784 526466870 /nfs/dbraw/zinc/46/68/70/526466870.db2.gz YGUMYOUMURRDCT-MRXNPFEDSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(C2CC2)C2CCCC2)C1=O ZINC000337236784 526466877 /nfs/dbraw/zinc/46/68/77/526466877.db2.gz YGUMYOUMURRDCT-MRXNPFEDSA-N 1 2 319.449 1.639 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCC[N@@H+]1CCc2sccc2C1 ZINC000343102349 526475189 /nfs/dbraw/zinc/47/51/89/526475189.db2.gz SLUAWSRZGQINOM-UHFFFAOYSA-N 1 2 321.446 1.257 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCC[N@H+]1CCc2sccc2C1 ZINC000343102349 526475195 /nfs/dbraw/zinc/47/51/95/526475195.db2.gz SLUAWSRZGQINOM-UHFFFAOYSA-N 1 2 321.446 1.257 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000342680541 526478988 /nfs/dbraw/zinc/47/89/88/526478988.db2.gz ISLDANLDCOWHQV-UHFFFAOYSA-N 1 2 318.299 1.096 20 30 DDEDLO C=CCNC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000339228645 526629930 /nfs/dbraw/zinc/62/99/30/526629930.db2.gz GARAQYDZGSPNRS-CQSZACIVSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCNC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000339228645 526629932 /nfs/dbraw/zinc/62/99/32/526629932.db2.gz GARAQYDZGSPNRS-CQSZACIVSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCOC[C@@H]([NH2+][C@@H]1CCc2ccc(OC)cc2C1)C(=O)OC ZINC000361105400 526795425 /nfs/dbraw/zinc/79/54/25/526795425.db2.gz BICZZWPVJSFLRY-NVXWUHKLSA-N 1 2 319.401 1.886 20 30 DDEDLO C#CCN(CC#CC)C(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000491815477 526853666 /nfs/dbraw/zinc/85/36/66/526853666.db2.gz URTRUYYDQABSEK-GFCCVEGCSA-N 1 2 311.307 1.941 20 30 DDEDLO C#CC[N@H+](CC#CC)CC(=O)N(C)Cc1ccc(OC)c(F)c1 ZINC000490715257 526863440 /nfs/dbraw/zinc/86/34/40/526863440.db2.gz VYYKOEJNYTYRRY-UHFFFAOYSA-N 1 2 316.376 1.751 20 30 DDEDLO C#CC[N@@H+](CC#CC)CC(=O)N(C)Cc1ccc(OC)c(F)c1 ZINC000490715257 526863445 /nfs/dbraw/zinc/86/34/45/526863445.db2.gz VYYKOEJNYTYRRY-UHFFFAOYSA-N 1 2 316.376 1.751 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN[C@](C)(CC)C(F)(F)F)CC1 ZINC000490840869 526951949 /nfs/dbraw/zinc/95/19/49/526951949.db2.gz PXRGJOJEULCBFL-CYBMUJFWSA-N 1 2 305.344 1.084 20 30 DDEDLO C#CCN1CCN(C(=O)CSc2[nH+]cc3ccccn32)CC1 ZINC000490761855 526952969 /nfs/dbraw/zinc/95/29/69/526952969.db2.gz BYRCXZGHPHABTC-UHFFFAOYSA-N 1 2 314.414 1.204 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cccc3nc(C)ccc32)CC1 ZINC000490986047 526953211 /nfs/dbraw/zinc/95/32/11/526953211.db2.gz PZSBSHBHMMKSGF-UHFFFAOYSA-N 1 2 323.396 1.699 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC3CCCCC3)n2C)CC1 ZINC000491191106 526955988 /nfs/dbraw/zinc/95/59/88/526955988.db2.gz ADNQVINBLADOQD-UHFFFAOYSA-N 1 2 301.438 1.693 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000341549720 526961853 /nfs/dbraw/zinc/96/18/53/526961853.db2.gz RUYLQRZUBUKHDU-UHFFFAOYSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000341549720 526961857 /nfs/dbraw/zinc/96/18/57/526961857.db2.gz RUYLQRZUBUKHDU-UHFFFAOYSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nnc(C(C)(C)C)[nH]2)CC1 ZINC000491693144 526970406 /nfs/dbraw/zinc/97/04/06/526970406.db2.gz IMGMGOQMOUTYCK-UHFFFAOYSA-N 1 2 303.410 1.064 20 30 DDEDLO CC(=O)Nc1ccc(C[NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)cn1 ZINC000432504827 526986273 /nfs/dbraw/zinc/98/62/73/526986273.db2.gz XMCDOFIPCFQOLR-MRXNPFEDSA-N 1 2 323.356 1.228 20 30 DDEDLO C#CCNC(=O)CC[NH2+][C@H](c1nccn1C)c1cccc(F)c1 ZINC000491637128 526987189 /nfs/dbraw/zinc/98/71/89/526987189.db2.gz UJNUPARZLUWNPL-INIZCTEOSA-N 1 2 314.364 1.378 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000341847070 527067585 /nfs/dbraw/zinc/06/75/85/527067585.db2.gz VVUNLCVOIBDPDO-KGLIPLIRSA-N 1 2 304.394 1.144 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000342168389 527075638 /nfs/dbraw/zinc/07/56/38/527075638.db2.gz VRQPIKDLEPNSJJ-MRXNPFEDSA-N 1 2 318.417 1.433 20 30 DDEDLO CC(C)(CNC(=O)NC[C@H]1CCCCS1)[NH+]1CCOCC1 ZINC000329668836 527078071 /nfs/dbraw/zinc/07/80/71/527078071.db2.gz SFBNEVDUVIYQEK-CYBMUJFWSA-N 1 2 315.483 1.887 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000341705392 527171699 /nfs/dbraw/zinc/17/16/99/527171699.db2.gz NXWNMIQSINTMDR-AWEZNQCLSA-N 1 2 316.405 1.829 20 30 DDEDLO C=CC[C@H](CO)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000337078334 527208412 /nfs/dbraw/zinc/20/84/12/527208412.db2.gz SQHOADPORVEUBC-MRXNPFEDSA-N 1 2 304.390 1.186 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000343835380 527286574 /nfs/dbraw/zinc/28/65/74/527286574.db2.gz IPLIWSCKSQMIMQ-AWEZNQCLSA-N 1 2 305.426 1.982 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@H](O)COc1cccc2ccccc21 ZINC000491638963 527311723 /nfs/dbraw/zinc/31/17/23/527311723.db2.gz WKYBAQUSFUTOPN-SJORKVTESA-N 1 2 311.381 1.914 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@H](O)COc1cccc2ccccc21 ZINC000491638963 527311726 /nfs/dbraw/zinc/31/17/26/527311726.db2.gz WKYBAQUSFUTOPN-SJORKVTESA-N 1 2 311.381 1.914 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H](c2[nH+]ccn2C)C2CC2)nn1 ZINC000424828149 527527736 /nfs/dbraw/zinc/52/77/36/527527736.db2.gz FVTFMXZAGFWNJG-ZDUSSCGKSA-N 1 2 300.366 1.469 20 30 DDEDLO CC(=O)N[C@H](C(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C)C1CCCC1 ZINC000329983896 527544610 /nfs/dbraw/zinc/54/46/10/527544610.db2.gz PZCFAVDOLITSAR-ILXRZTDVSA-N 1 2 309.410 1.063 20 30 DDEDLO CC(=O)N[C@H](C(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C)C1CCCC1 ZINC000329983896 527544617 /nfs/dbraw/zinc/54/46/17/527544617.db2.gz PZCFAVDOLITSAR-ILXRZTDVSA-N 1 2 309.410 1.063 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+]([C@@H](C)C(=O)NC2CC2)CC1 ZINC000343621050 527608592 /nfs/dbraw/zinc/60/85/92/527608592.db2.gz BGIANJSMEGMNKV-SUMWQHHRSA-N 1 2 307.438 1.400 20 30 DDEDLO CC(C)[C@H]1C[N@H+](CC(=O)NC(=O)Nc2ccccc2)CCO1 ZINC000330718462 527855060 /nfs/dbraw/zinc/85/50/60/527855060.db2.gz AUCZJZMHODINBV-CQSZACIVSA-N 1 2 305.378 1.705 20 30 DDEDLO CC(C)[C@H]1C[N@@H+](CC(=O)NC(=O)Nc2ccccc2)CCO1 ZINC000330718462 527855064 /nfs/dbraw/zinc/85/50/64/527855064.db2.gz AUCZJZMHODINBV-CQSZACIVSA-N 1 2 305.378 1.705 20 30 DDEDLO CC(C)[C@H]1CN(S(=O)(=O)c2ccc(C#N)s2)CC[N@@H+]1C ZINC000435439581 527858638 /nfs/dbraw/zinc/85/86/38/527858638.db2.gz TVUNSDMHAGINAW-GFCCVEGCSA-N 1 2 313.448 1.580 20 30 DDEDLO CC(C)[C@H]1CN(S(=O)(=O)c2ccc(C#N)s2)CC[N@H+]1C ZINC000435439581 527858644 /nfs/dbraw/zinc/85/86/44/527858644.db2.gz TVUNSDMHAGINAW-GFCCVEGCSA-N 1 2 313.448 1.580 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(c2cc(C)ncc2C#N)CC1 ZINC000425220132 528808907 /nfs/dbraw/zinc/80/89/07/528808907.db2.gz IABIYQUDDFWKGH-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(c2cc(C)ncc2C#N)CC1 ZINC000425220132 528808915 /nfs/dbraw/zinc/80/89/15/528808915.db2.gz IABIYQUDDFWKGH-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO C=C1CC[NH+]([C@H](C)C(=O)Nc2ccccc2-c2nn[nH]n2)CC1 ZINC000735403108 598504748 /nfs/dbraw/zinc/50/47/48/598504748.db2.gz PFCXDTJVKSMFRL-GFCCVEGCSA-N 1 2 312.377 1.846 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3n[nH]c(C)c3C)C2)C1 ZINC000972309481 695212493 /nfs/dbraw/zinc/21/24/93/695212493.db2.gz ZMPQUKOUWPRDLM-INIZCTEOSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3n[nH]c(C)c3C)C2)C1 ZINC000972309481 695212494 /nfs/dbraw/zinc/21/24/94/695212494.db2.gz ZMPQUKOUWPRDLM-INIZCTEOSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnn(CC)c3)C2)C1 ZINC000972309136 695212833 /nfs/dbraw/zinc/21/28/33/695212833.db2.gz VVSMTZJPPSMAGF-MRXNPFEDSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnn(CC)c3)C2)C1 ZINC000972309136 695212834 /nfs/dbraw/zinc/21/28/34/695212834.db2.gz VVSMTZJPPSMAGF-MRXNPFEDSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCCOCC3)C2)C1 ZINC000972362234 695229178 /nfs/dbraw/zinc/22/91/78/695229178.db2.gz NXPYKBJABHKDHV-DOTOQJQBSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCCOCC3)C2)C1 ZINC000972362234 695229179 /nfs/dbraw/zinc/22/91/79/695229179.db2.gz NXPYKBJABHKDHV-DOTOQJQBSA-N 1 2 308.422 1.292 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@]3(C)CC=CCC3)C2)C1 ZINC000972396791 695239290 /nfs/dbraw/zinc/23/92/90/695239290.db2.gz LUJLLKXTVIBHPB-QZTJIDSGSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@]3(C)CC=CCC3)C2)C1 ZINC000972396791 695239291 /nfs/dbraw/zinc/23/92/91/695239291.db2.gz LUJLLKXTVIBHPB-QZTJIDSGSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccc(F)c3C)C2)C1 ZINC000972395254 695239596 /nfs/dbraw/zinc/23/95/96/695239596.db2.gz CPIHBKLRRUYGHD-GOSISDBHSA-N 1 2 316.376 1.684 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccc(F)c3C)C2)C1 ZINC000972395254 695239597 /nfs/dbraw/zinc/23/95/97/695239597.db2.gz CPIHBKLRRUYGHD-GOSISDBHSA-N 1 2 316.376 1.684 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3C=CCCC3)C2)C1 ZINC000972430165 695249205 /nfs/dbraw/zinc/24/92/05/695249205.db2.gz BBOWCPCRGXWWKQ-AEFFLSMTSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3C=CCCC3)C2)C1 ZINC000972430165 695249207 /nfs/dbraw/zinc/24/92/07/695249207.db2.gz BBOWCPCRGXWWKQ-AEFFLSMTSA-N 1 2 302.418 1.669 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@]3(C2)C[N@H+](CC#CC)CCO3)C1 ZINC000972541978 695277290 /nfs/dbraw/zinc/27/72/90/695277290.db2.gz DDSSNSAQGAWNCM-GOSISDBHSA-N 1 2 302.418 1.669 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@]3(C2)C[N@@H+](CC#CC)CCO3)C1 ZINC000972541978 695277291 /nfs/dbraw/zinc/27/72/91/695277291.db2.gz DDSSNSAQGAWNCM-GOSISDBHSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(F)F)C2)C1 ZINC000972545058 695278516 /nfs/dbraw/zinc/27/85/16/695278516.db2.gz YOSWMIVGNWZCQF-HNNXBMFYSA-N 1 2 300.349 1.214 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(F)F)C2)C1 ZINC000972545058 695278518 /nfs/dbraw/zinc/27/85/18/695278518.db2.gz YOSWMIVGNWZCQF-HNNXBMFYSA-N 1 2 300.349 1.214 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(F)(F)F)C2)C1 ZINC000972648186 695308672 /nfs/dbraw/zinc/30/86/72/695308672.db2.gz XTMJFKAAFGGBKE-AWEZNQCLSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(F)(F)F)C2)C1 ZINC000972648186 695308674 /nfs/dbraw/zinc/30/86/74/695308674.db2.gz XTMJFKAAFGGBKE-AWEZNQCLSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)cc(C)c3)C2)C1 ZINC000972653437 695309935 /nfs/dbraw/zinc/30/99/35/695309935.db2.gz HCKWNWYCNBGQMU-LJQANCHMSA-N 1 2 312.413 1.854 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)cc(C)c3)C2)C1 ZINC000972653437 695309937 /nfs/dbraw/zinc/30/99/37/695309937.db2.gz HCKWNWYCNBGQMU-LJQANCHMSA-N 1 2 312.413 1.854 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(F)cc3)C2)C1 ZINC000972662124 695312455 /nfs/dbraw/zinc/31/24/55/695312455.db2.gz MTIZSEBXLCFNLT-SFHVURJKSA-N 1 2 316.376 1.305 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(F)cc3)C2)C1 ZINC000972662124 695312456 /nfs/dbraw/zinc/31/24/56/695312456.db2.gz MTIZSEBXLCFNLT-SFHVURJKSA-N 1 2 316.376 1.305 20 30 DDEDLO N#CCn1cc(NC(=O)[C@H]2CCC[N@@H+]2Cc2ccccn2)cn1 ZINC000746182535 700009577 /nfs/dbraw/zinc/00/95/77/700009577.db2.gz AUVVVKQJBMLHEN-OAHLLOKOSA-N 1 2 310.361 1.405 20 30 DDEDLO N#CCn1cc(NC(=O)[C@H]2CCC[N@H+]2Cc2ccccn2)cn1 ZINC000746182535 700009578 /nfs/dbraw/zinc/00/95/78/700009578.db2.gz AUVVVKQJBMLHEN-OAHLLOKOSA-N 1 2 310.361 1.405 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2C[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)CC1 ZINC000974401196 695662203 /nfs/dbraw/zinc/66/22/03/695662203.db2.gz QEHHKYBHRXWMSU-OKILXGFUSA-N 1 2 316.405 1.462 20 30 DDEDLO C=CC[N@@H+]1C[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000974584745 695690804 /nfs/dbraw/zinc/69/08/04/695690804.db2.gz RIYIXKLPHBVSAH-DOMZBBRYSA-N 1 2 302.422 1.842 20 30 DDEDLO C=CC[N@H+]1C[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000974584745 695690805 /nfs/dbraw/zinc/69/08/05/695690805.db2.gz RIYIXKLPHBVSAH-DOMZBBRYSA-N 1 2 302.422 1.842 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cn2ccc(C)n2)C(C)(C)C1 ZINC000975039885 695782708 /nfs/dbraw/zinc/78/27/08/695782708.db2.gz JHPGRPNCWIGJLP-ZDUSSCGKSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cn2ccc(C)n2)C(C)(C)C1 ZINC000975039885 695782710 /nfs/dbraw/zinc/78/27/10/695782710.db2.gz JHPGRPNCWIGJLP-ZDUSSCGKSA-N 1 2 310.829 1.771 20 30 DDEDLO C#CCN1C[C@H](NC(=O)c2c[nH+]ccc2N(C)C)C(C)(C)C1 ZINC000977504800 696192411 /nfs/dbraw/zinc/19/24/11/696192411.db2.gz YSXCRMDATGWCMV-HNNXBMFYSA-N 1 2 300.406 1.221 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(F)cc(C)c2[N+](=O)[O-])CC1 ZINC000129095956 696785185 /nfs/dbraw/zinc/78/51/85/696785185.db2.gz PLKZPXDTPXLSSG-UHFFFAOYSA-N 1 2 319.336 1.823 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC000980786382 696888761 /nfs/dbraw/zinc/88/87/61/696888761.db2.gz PNSGJAUHZRCFDR-CQSZACIVSA-N 1 2 301.390 1.627 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC000980786382 696888763 /nfs/dbraw/zinc/88/87/63/696888763.db2.gz PNSGJAUHZRCFDR-CQSZACIVSA-N 1 2 301.390 1.627 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCCOc2ccc(C#N)cc2)C1 ZINC000748459710 700119399 /nfs/dbraw/zinc/11/93/99/700119399.db2.gz RKQVJUPTBUADRD-HNNXBMFYSA-N 1 2 304.346 1.201 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCCOc2ccc(C#N)cc2)C1 ZINC000748459710 700119402 /nfs/dbraw/zinc/11/94/02/700119402.db2.gz RKQVJUPTBUADRD-HNNXBMFYSA-N 1 2 304.346 1.201 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)Cc2ncc[nH]2)CC1 ZINC000982794750 697179886 /nfs/dbraw/zinc/17/98/86/697179886.db2.gz FQLJNPPJPSCPOA-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)Cc2ncc[nH]2)CC1 ZINC000982794750 697179887 /nfs/dbraw/zinc/17/98/87/697179887.db2.gz FQLJNPPJPSCPOA-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H]1CC1(Cl)Cl ZINC000749114429 700148308 /nfs/dbraw/zinc/14/83/08/700148308.db2.gz AJQAGLFAQRJFOW-LBPRGKRZSA-N 1 2 306.237 1.728 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)/C=C/c2ccc(O)c(OCC)c2)CC1 ZINC000179495930 697438367 /nfs/dbraw/zinc/43/83/67/697438367.db2.gz RQCCRTCFXREKSC-SOFGYWHQSA-N 1 2 314.385 1.582 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCCOCC2CC2)cc1OC ZINC000181609143 697463152 /nfs/dbraw/zinc/46/31/52/697463152.db2.gz PCIVSBQNSVDHSL-UHFFFAOYSA-N 1 2 308.378 1.962 20 30 DDEDLO C#C[C@H]([NH2+][C@@H](C(=O)NC1CC1)c1ccc(F)cc1)[C@@H]1CCCO1 ZINC000775791625 698034679 /nfs/dbraw/zinc/03/46/79/698034679.db2.gz NBQIPUWKEMJNPP-YESZJQIVSA-N 1 2 316.376 1.916 20 30 DDEDLO CC(C)(C#N)CS(=O)(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000777818934 698224741 /nfs/dbraw/zinc/22/47/41/698224741.db2.gz LGSMRPKBOXGFEO-UHFFFAOYSA-N 1 2 318.402 1.841 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)CC[C@@H]1O ZINC000778251627 698253862 /nfs/dbraw/zinc/25/38/62/698253862.db2.gz ADAACMXHSLKIQW-DOMZBBRYSA-N 1 2 312.373 1.123 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)CC[C@@H]1O ZINC000778251627 698253865 /nfs/dbraw/zinc/25/38/65/698253865.db2.gz ADAACMXHSLKIQW-DOMZBBRYSA-N 1 2 312.373 1.123 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(CNC(=O)C(F)(F)F)CC1 ZINC000800383901 700219986 /nfs/dbraw/zinc/21/99/86/700219986.db2.gz HTQZXVDLTACVDQ-SNVBAGLBSA-N 1 2 308.300 1.105 20 30 DDEDLO C#CCCS(=O)(=O)NC[C@H](C)[N@@H+]1CCc2sccc2C1 ZINC000288999599 698565175 /nfs/dbraw/zinc/56/51/75/698565175.db2.gz ZDJCZMZRVAVVGP-LBPRGKRZSA-N 1 2 312.460 1.437 20 30 DDEDLO C#CCCS(=O)(=O)NC[C@H](C)[N@H+]1CCc2sccc2C1 ZINC000288999599 698565177 /nfs/dbraw/zinc/56/51/77/698565177.db2.gz ZDJCZMZRVAVVGP-LBPRGKRZSA-N 1 2 312.460 1.437 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2ccc3c(=O)ccoc3c2)CC1 ZINC000989500691 698647207 /nfs/dbraw/zinc/64/72/07/698647207.db2.gz SAEFACDQHVGFEH-UHFFFAOYSA-N 1 2 324.380 1.964 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2ccc3c(=O)ccoc3c2)CC1 ZINC000989500691 698647212 /nfs/dbraw/zinc/64/72/12/698647212.db2.gz SAEFACDQHVGFEH-UHFFFAOYSA-N 1 2 324.380 1.964 20 30 DDEDLO CO[C@H](C)CON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000782321327 698708846 /nfs/dbraw/zinc/70/88/46/698708846.db2.gz NXECABBDVBALSX-CYBMUJFWSA-N 1 2 307.394 1.191 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2ccc(P(C)(C)=O)cc2)CC1 ZINC000989718247 698739107 /nfs/dbraw/zinc/73/91/07/698739107.db2.gz QVAAEMFBZAXXFL-UHFFFAOYSA-N 1 2 318.357 1.716 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2ccc(P(C)(C)=O)cc2)CC1 ZINC000989718247 698739109 /nfs/dbraw/zinc/73/91/09/698739109.db2.gz QVAAEMFBZAXXFL-UHFFFAOYSA-N 1 2 318.357 1.716 20 30 DDEDLO Cn1ncnc1CO[NH+]=C(N)c1ccc(Br)cc1 ZINC000782950328 698788079 /nfs/dbraw/zinc/78/80/79/698788079.db2.gz WBQKUAQPTUIKJN-UHFFFAOYSA-N 1 2 310.155 1.415 20 30 DDEDLO O=c1nc(C=NO)ccn1Cc1c[nH+]cn1Cc1ccccc1 ZINC000783557142 698852321 /nfs/dbraw/zinc/85/23/21/698852321.db2.gz QNRKAUXPYDEJJR-UHFFFAOYSA-N 1 2 309.329 1.345 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)OC(=O)COc1ccc(C#N)cc1 ZINC000784912874 699046460 /nfs/dbraw/zinc/04/64/60/699046460.db2.gz CFEDCVIOVGQEFC-CYBMUJFWSA-N 1 2 304.346 1.201 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(C)c1cc(OC)cc(OC)c1 ZINC000786237179 699135588 /nfs/dbraw/zinc/13/55/88/699135588.db2.gz RNKLKIRGZFAMQV-INIZCTEOSA-N 1 2 302.374 1.764 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)c1cc(OC)cc(OC)c1 ZINC000786237179 699135590 /nfs/dbraw/zinc/13/55/90/699135590.db2.gz RNKLKIRGZFAMQV-INIZCTEOSA-N 1 2 302.374 1.764 20 30 DDEDLO CS(=O)(=O)[C@H]1CCCC(=NNCC[NH+]2CCCCC2)C1 ZINC000788424690 699312693 /nfs/dbraw/zinc/31/26/93/699312693.db2.gz AOTATFSCXFBFEE-AWEZNQCLSA-N 1 2 301.456 1.405 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@H]3CCc4[nH+]ccn4C3)CCC[C@H]12 ZINC000991444419 699325990 /nfs/dbraw/zinc/32/59/90/699325990.db2.gz DYZCZYNRVWWWQP-GRDNDAEWSA-N 1 2 313.405 1.082 20 30 DDEDLO C#CCC(C)(C)C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000790152692 699445747 /nfs/dbraw/zinc/44/57/47/699445747.db2.gz HESILIXHFFZBIE-UHFFFAOYSA-N 1 2 313.401 1.518 20 30 DDEDLO C#CCC(C)(C)C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000790152692 699445748 /nfs/dbraw/zinc/44/57/48/699445748.db2.gz HESILIXHFFZBIE-UHFFFAOYSA-N 1 2 313.401 1.518 20 30 DDEDLO CN(C)C(=O)N1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC000729267339 699475468 /nfs/dbraw/zinc/47/54/68/699475468.db2.gz RKGZFOXUCSWPRG-UHFFFAOYSA-N 1 2 302.378 1.388 20 30 DDEDLO CN1CCCc2cc(C=[NH+]Nc3nc4[nH][nH]cc-4c(=O)n3)ccc21 ZINC000731155036 699523373 /nfs/dbraw/zinc/52/33/73/699523373.db2.gz OTWWTYAIMHVTKC-UHFFFAOYSA-N 1 2 323.360 1.260 20 30 DDEDLO C#CCCCS(=O)(=O)NCC(C)(C)[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC000791417418 699632515 /nfs/dbraw/zinc/63/25/15/699632515.db2.gz NMYRBQAUYMFIDS-KBPBESRZSA-N 1 2 316.467 1.207 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cc(C(=O)OCC)n(C)c1 ZINC000743758096 699925459 /nfs/dbraw/zinc/92/54/59/699925459.db2.gz HHYLNKWLSXHLAW-ZDUSSCGKSA-N 1 2 303.362 1.238 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cc(C(=O)OCC)n(C)c1 ZINC000743758096 699925461 /nfs/dbraw/zinc/92/54/61/699925461.db2.gz HHYLNKWLSXHLAW-ZDUSSCGKSA-N 1 2 303.362 1.238 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC(=O)NCC(C)C)Cc1ccccc1 ZINC000796641806 699944263 /nfs/dbraw/zinc/94/42/63/699944263.db2.gz SYQVMFAYRGOTDL-UHFFFAOYSA-N 1 2 301.390 1.604 20 30 DDEDLO C#CC[N@H+](CC(=O)NC(=O)NCC(C)C)Cc1ccccc1 ZINC000796641806 699944264 /nfs/dbraw/zinc/94/42/64/699944264.db2.gz SYQVMFAYRGOTDL-UHFFFAOYSA-N 1 2 301.390 1.604 20 30 DDEDLO CCCCCCCC(=O)N(C)C[C@H](O)C[NH+]1CCOCC1 ZINC000751429771 700288136 /nfs/dbraw/zinc/28/81/36/700288136.db2.gz FYLIBYRQWQZPLG-HNNXBMFYSA-N 1 2 300.443 1.498 20 30 DDEDLO N#Cc1ccc([C@@H](OC(=O)CCc2ccc(N)[nH+]c2)C(N)=O)cc1 ZINC000751455782 700291214 /nfs/dbraw/zinc/29/12/14/700291214.db2.gz KXQMYFJEJZJEIG-MRXNPFEDSA-N 1 2 324.340 1.238 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)OC(=O)CCc1ccc(N)[nH+]c1 ZINC000751458204 700291370 /nfs/dbraw/zinc/29/13/70/700291370.db2.gz LJHWLICXNKKHAN-CYBMUJFWSA-N 1 2 319.405 1.953 20 30 DDEDLO NC(=O)c1ccc(N=NCc2ccc(-n3cc[nH+]c3)cc2)nn1 ZINC000756832072 700643117 /nfs/dbraw/zinc/64/31/17/700643117.db2.gz PECBWBLDFGXNQE-UHFFFAOYSA-N 1 2 307.317 1.207 20 30 DDEDLO C#CCNC(=S)N1CC[NH+](Cc2ccc(OCC)cc2)CC1 ZINC000758194916 700688580 /nfs/dbraw/zinc/68/85/80/700688580.db2.gz ZSIGCADTUVCKQB-UHFFFAOYSA-N 1 2 317.458 1.711 20 30 DDEDLO Cc1nn(C)cc1[C@H]1CCC[N@@H+]1Cn1ccc(C)c(C#N)c1=O ZINC000758234093 700692614 /nfs/dbraw/zinc/69/26/14/700692614.db2.gz BTBVUHYYQBELRM-MRXNPFEDSA-N 1 2 311.389 1.865 20 30 DDEDLO Cc1nn(C)cc1[C@H]1CCC[N@H+]1Cn1ccc(C)c(C#N)c1=O ZINC000758234093 700692615 /nfs/dbraw/zinc/69/26/15/700692615.db2.gz BTBVUHYYQBELRM-MRXNPFEDSA-N 1 2 311.389 1.865 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2sc(NCC)nc2C)CC1 ZINC000759226907 700742662 /nfs/dbraw/zinc/74/26/62/700742662.db2.gz LDUSNNMRBKDSIT-UHFFFAOYSA-N 1 2 306.435 1.664 20 30 DDEDLO N#CCCN1CC[NH+](Cc2cc(=O)oc3cc(O)ccc23)CC1 ZINC000760942972 700830422 /nfs/dbraw/zinc/83/04/22/700830422.db2.gz GGZMALDTYOZLJP-UHFFFAOYSA-N 1 2 313.357 1.530 20 30 DDEDLO C#CCNc1nc(N2CC=C(C)CC2)nc(N2CC=C(C)CC2)[nH+]1 ZINC000804580616 701202008 /nfs/dbraw/zinc/20/20/08/701202008.db2.gz ZCCQMVFXIFGGCQ-UHFFFAOYSA-N 1 2 324.432 1.651 20 30 DDEDLO C#CCNc1nc(N2CC=C(C)CC2)[nH+]c(N2CC=C(C)CC2)n1 ZINC000804580616 701202010 /nfs/dbraw/zinc/20/20/10/701202010.db2.gz ZCCQMVFXIFGGCQ-UHFFFAOYSA-N 1 2 324.432 1.651 20 30 DDEDLO C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cccc(F)c1C#N ZINC000770722617 701291936 /nfs/dbraw/zinc/29/19/36/701291936.db2.gz HKEPBUITEVAZHO-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cccc(F)c1C#N ZINC000770722617 701291937 /nfs/dbraw/zinc/29/19/37/701291937.db2.gz HKEPBUITEVAZHO-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C=CC[N@H+](CN1CC(=O)N(Cc2ccccc2C#N)C1=O)C1CC1 ZINC000771639762 701326746 /nfs/dbraw/zinc/32/67/46/701326746.db2.gz KWKSKHAPSUUKIO-UHFFFAOYSA-N 1 2 324.384 1.930 20 30 DDEDLO C=CC[N@@H+](CN1CC(=O)N(Cc2ccccc2C#N)C1=O)C1CC1 ZINC000771639762 701326747 /nfs/dbraw/zinc/32/67/47/701326747.db2.gz KWKSKHAPSUUKIO-UHFFFAOYSA-N 1 2 324.384 1.930 20 30 DDEDLO C#CCOCCOC(=O)C1CC[NH+](Cc2csc(C)n2)CC1 ZINC000805897580 701415409 /nfs/dbraw/zinc/41/54/09/701415409.db2.gz ORZIRKCVFVJJMG-UHFFFAOYSA-N 1 2 322.430 1.857 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@H+]1CCC[C@H](O)CC1 ZINC000815299553 701844103 /nfs/dbraw/zinc/84/41/03/701844103.db2.gz GTRJAVREXQFXRB-ZDUSSCGKSA-N 1 2 312.373 1.267 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@@H+]1CCC[C@H](O)CC1 ZINC000815299553 701844111 /nfs/dbraw/zinc/84/41/11/701844111.db2.gz GTRJAVREXQFXRB-ZDUSSCGKSA-N 1 2 312.373 1.267 20 30 DDEDLO C=CCN1CCN(C[NH+]2CCC(C(=O)OC(C)(C)C)CC2)C1=O ZINC000839988724 701893094 /nfs/dbraw/zinc/89/30/94/701893094.db2.gz QDQOCBIYRBADML-UHFFFAOYSA-N 1 2 323.437 1.921 20 30 DDEDLO COc1cccc(C[NH+]2CCN(c3nccnc3C#N)CC2)c1 ZINC000866126165 706626654 /nfs/dbraw/zinc/62/66/54/706626654.db2.gz VAONAZZPOCESRQ-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@@H](C)OC3(CCOCC3)C2)C1=O ZINC000840060138 701945425 /nfs/dbraw/zinc/94/54/25/701945425.db2.gz PCNPHDCNECLQCD-CQSZACIVSA-N 1 2 309.410 1.137 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@@H](C)OC3(CCOCC3)C2)C1=O ZINC000840060138 701945429 /nfs/dbraw/zinc/94/54/29/701945429.db2.gz PCNPHDCNECLQCD-CQSZACIVSA-N 1 2 309.410 1.137 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@H](CNc3nccnc3C#N)C2)c1 ZINC000866191291 706644319 /nfs/dbraw/zinc/64/43/19/706644319.db2.gz ZMLXUBIYWZTWFY-MRXNPFEDSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@H](CNc3nccnc3C#N)C2)c1 ZINC000866191291 706644320 /nfs/dbraw/zinc/64/43/20/706644320.db2.gz ZMLXUBIYWZTWFY-MRXNPFEDSA-N 1 2 323.400 1.970 20 30 DDEDLO C[C@@H]1CC(=O)Oc2cc(OC[C@@H](O)C[N@H+](C)CCC#N)ccc21 ZINC000840762196 702230933 /nfs/dbraw/zinc/23/09/33/702230933.db2.gz NQYQANWHEBXSDP-OLZOCXBDSA-N 1 2 318.373 1.684 20 30 DDEDLO C[C@@H]1CC(=O)Oc2cc(OC[C@@H](O)C[N@@H+](C)CCC#N)ccc21 ZINC000840762196 702230937 /nfs/dbraw/zinc/23/09/37/702230937.db2.gz NQYQANWHEBXSDP-OLZOCXBDSA-N 1 2 318.373 1.684 20 30 DDEDLO CNC(=O)CN1CCC([NH2+][C@H](C)c2cccc(C#N)c2O)CC1 ZINC000866230118 706654925 /nfs/dbraw/zinc/65/49/25/706654925.db2.gz YEAJZHHPVXNBCW-GFCCVEGCSA-N 1 2 316.405 1.125 20 30 DDEDLO CCCCCCCS(=O)(=O)N1C[C@H](C)[N@H+](CCO)C[C@@H]1C ZINC000841574519 702502853 /nfs/dbraw/zinc/50/28/53/702502853.db2.gz XQOHQUWSBSWNAE-GJZGRUSLSA-N 1 2 320.499 1.674 20 30 DDEDLO CCCCCCCS(=O)(=O)N1C[C@H](C)[N@@H+](CCO)C[C@@H]1C ZINC000841574519 702502855 /nfs/dbraw/zinc/50/28/55/702502855.db2.gz XQOHQUWSBSWNAE-GJZGRUSLSA-N 1 2 320.499 1.674 20 30 DDEDLO C[C@H]([NH2+]C[C@@H]1CCCCS1(=O)=O)c1cccc(C#N)c1O ZINC000866335397 706675995 /nfs/dbraw/zinc/67/59/95/706675995.db2.gz JENAWGFBEHZQLO-AAEUAGOBSA-N 1 2 308.403 1.882 20 30 DDEDLO CCS(=O)(=O)C1(C[NH2+][C@H](C)c2cccc(C#N)c2O)CC1 ZINC000866392242 706687908 /nfs/dbraw/zinc/68/79/08/706687908.db2.gz AMIBQEMNRXJCSE-LLVKDONJSA-N 1 2 308.403 1.882 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@H+](Cc3cccc(C#N)c3F)C2)C1 ZINC000879484176 706692571 /nfs/dbraw/zinc/69/25/71/706692571.db2.gz QVLGZCJRIJXIPU-INIZCTEOSA-N 1 2 317.320 1.591 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@@H+](Cc3cccc(C#N)c3F)C2)C1 ZINC000879484176 706692574 /nfs/dbraw/zinc/69/25/74/706692574.db2.gz QVLGZCJRIJXIPU-INIZCTEOSA-N 1 2 317.320 1.591 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)N2Cc3cccc(C#N)c3C2)CCO1 ZINC000844942504 703087939 /nfs/dbraw/zinc/08/79/39/703087939.db2.gz GUDLMUVAWYVSQH-CYBMUJFWSA-N 1 2 314.389 1.304 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)N2Cc3cccc(C#N)c3C2)CCO1 ZINC000844942504 703087942 /nfs/dbraw/zinc/08/79/42/703087942.db2.gz GUDLMUVAWYVSQH-CYBMUJFWSA-N 1 2 314.389 1.304 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3ccc(C#N)cc3)CC2)C1=O ZINC000879586801 706728678 /nfs/dbraw/zinc/72/86/78/706728678.db2.gz VHKXGJWWPALRDV-QGZVFWFLSA-N 1 2 310.401 1.467 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3ccc(C#N)cc3)CC2)c(C)n1 ZINC000879586591 706728706 /nfs/dbraw/zinc/72/87/06/706728706.db2.gz OBZSCTYUCUHTNR-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000846121637 703240181 /nfs/dbraw/zinc/24/01/81/703240181.db2.gz LBBULAQUKOTGIS-OAHLLOKOSA-N 1 2 317.433 1.741 20 30 DDEDLO CC(=NNCCCn1cc[nH+]c1)c1cccc(-n2cnnc2)c1 ZINC000848416416 703547897 /nfs/dbraw/zinc/54/78/97/703547897.db2.gz KTAKUUDYMDTJNT-UHFFFAOYSA-N 1 2 309.377 1.868 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](C[C@@H](O)c2ccccn2)CC1 ZINC000851714504 703835137 /nfs/dbraw/zinc/83/51/37/703835137.db2.gz RVERDVSMSGVZCL-MRXNPFEDSA-N 1 2 309.373 1.204 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)CCN2C[C@@H](C)O[C@H](C)C2)n1 ZINC000851995948 703899745 /nfs/dbraw/zinc/89/97/45/703899745.db2.gz CLIUMRBXYZDREG-HZPDHXFCSA-N 1 2 304.438 1.447 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)CCN2C[C@@H](C)O[C@H](C)C2)n1 ZINC000851995948 703899747 /nfs/dbraw/zinc/89/97/47/703899747.db2.gz CLIUMRBXYZDREG-HZPDHXFCSA-N 1 2 304.438 1.447 20 30 DDEDLO N#CCCCCCC(=O)N1CCO[C@@H](C[NH+]2CCOCC2)C1 ZINC000870061029 703906301 /nfs/dbraw/zinc/90/63/01/703906301.db2.gz UGTAAOZIKATWEJ-HNNXBMFYSA-N 1 2 309.410 1.020 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H]2[C@H]1CCC[N@@H+]2CC(=O)NCC#N ZINC000879754447 706780402 /nfs/dbraw/zinc/78/04/02/706780402.db2.gz LXQKBCIUDKCZLI-CHWSQXEVSA-N 1 2 322.409 1.100 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H]2[C@H]1CCC[N@H+]2CC(=O)NCC#N ZINC000879754447 706780404 /nfs/dbraw/zinc/78/04/04/706780404.db2.gz LXQKBCIUDKCZLI-CHWSQXEVSA-N 1 2 322.409 1.100 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCC3=C(CCC(=O)N3)C2)c1 ZINC000852299412 704002177 /nfs/dbraw/zinc/00/21/77/704002177.db2.gz RQDCQMYKARMLJR-UHFFFAOYSA-N 1 2 310.357 1.367 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCC3=C(CCC(=O)N3)C2)c1 ZINC000852299412 704002180 /nfs/dbraw/zinc/00/21/80/704002180.db2.gz RQDCQMYKARMLJR-UHFFFAOYSA-N 1 2 310.357 1.367 20 30 DDEDLO CC(C)COC[C@@H](O)C[N@@H+]1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852326362 704013124 /nfs/dbraw/zinc/01/31/24/704013124.db2.gz NDNHVMNRAZPZCI-WMZOPIPTSA-N 1 2 317.433 1.972 20 30 DDEDLO CC(C)COC[C@@H](O)C[N@H+]1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852326362 704013133 /nfs/dbraw/zinc/01/31/33/704013133.db2.gz NDNHVMNRAZPZCI-WMZOPIPTSA-N 1 2 317.433 1.972 20 30 DDEDLO CC(C)COC[C@H](O)C[N@@H+]1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852326359 704013235 /nfs/dbraw/zinc/01/32/35/704013235.db2.gz NDNHVMNRAZPZCI-SJLPKXTDSA-N 1 2 317.433 1.972 20 30 DDEDLO CC(C)COC[C@H](O)C[N@H+]1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852326359 704013240 /nfs/dbraw/zinc/01/32/40/704013240.db2.gz NDNHVMNRAZPZCI-SJLPKXTDSA-N 1 2 317.433 1.972 20 30 DDEDLO C[C@@H]1CCCCN1[NH+]=Cc1cnc(N2CCN(C)CC2)nc1 ZINC000853378651 704237432 /nfs/dbraw/zinc/23/74/32/704237432.db2.gz ALTPWSZLROMJMB-CQSZACIVSA-N 1 2 302.426 1.437 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C(C)(C)[C@H]1c1cnn(C)c1)[C@H](C)COC ZINC000853535637 704262077 /nfs/dbraw/zinc/26/20/77/704262077.db2.gz YHAUBPRNSNTWQV-UKRRQHHQSA-N 1 2 320.437 1.810 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C(C)(C)[C@H]1c1cnn(C)c1)[C@H](C)COC ZINC000853535637 704262079 /nfs/dbraw/zinc/26/20/79/704262079.db2.gz YHAUBPRNSNTWQV-UKRRQHHQSA-N 1 2 320.437 1.810 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)N[C@@](CC)(C(C)C)C1=O ZINC000853620936 704288103 /nfs/dbraw/zinc/28/81/03/704288103.db2.gz KJUKOGANHGVJGG-INIZCTEOSA-N 1 2 309.410 1.272 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)N[C@@](CC)(C(C)C)C1=O ZINC000853620936 704288105 /nfs/dbraw/zinc/28/81/05/704288105.db2.gz KJUKOGANHGVJGG-INIZCTEOSA-N 1 2 309.410 1.272 20 30 DDEDLO C#C[C@@H](NC(=O)NC(C)(C)C[NH+]1CCOCC1)c1ccccc1 ZINC000820583029 704304508 /nfs/dbraw/zinc/30/45/08/704304508.db2.gz DPRDFRQHZWXGPV-MRXNPFEDSA-N 1 2 315.417 1.771 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)COc2cccc(C#N)c2)[C@H](C)CO1 ZINC000855413772 704484208 /nfs/dbraw/zinc/48/42/08/704484208.db2.gz QPIANPZPOQZHSJ-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)COc2cccc(C#N)c2)[C@H](C)CO1 ZINC000855413772 704484209 /nfs/dbraw/zinc/48/42/09/704484209.db2.gz QPIANPZPOQZHSJ-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO CCOC(=O)C[N@H+](C[C@H](O)COc1ccccc1C#N)C(C)C ZINC000859111751 704799133 /nfs/dbraw/zinc/79/91/33/704799133.db2.gz FJMKTZNDHPDSOT-HNNXBMFYSA-N 1 2 320.389 1.571 20 30 DDEDLO CCOC(=O)C[N@@H+](C[C@H](O)COc1ccccc1C#N)C(C)C ZINC000859111751 704799136 /nfs/dbraw/zinc/79/91/36/704799136.db2.gz FJMKTZNDHPDSOT-HNNXBMFYSA-N 1 2 320.389 1.571 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H]2CCC[C@]2(CO)C1 ZINC000859923993 705042446 /nfs/dbraw/zinc/04/24/46/705042446.db2.gz ADWVJSZPNXXIGK-XYJFISCASA-N 1 2 314.385 1.867 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@@H]2CCC[C@]2(CO)C1 ZINC000859923993 705042450 /nfs/dbraw/zinc/04/24/50/705042450.db2.gz ADWVJSZPNXXIGK-XYJFISCASA-N 1 2 314.385 1.867 20 30 DDEDLO CCOC(=O)C[N@H+](CCO[C@@H](C)C#N)Cc1ccccc1O ZINC000823576166 705269897 /nfs/dbraw/zinc/26/98/97/705269897.db2.gz IOJPZZKPCPKZFW-ZDUSSCGKSA-N 1 2 306.362 1.686 20 30 DDEDLO CCOC(=O)C[N@@H+](CCO[C@@H](C)C#N)Cc1ccccc1O ZINC000823576166 705269900 /nfs/dbraw/zinc/26/99/00/705269900.db2.gz IOJPZZKPCPKZFW-ZDUSSCGKSA-N 1 2 306.362 1.686 20 30 DDEDLO C=C(C)CONC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000875698162 705481188 /nfs/dbraw/zinc/48/11/88/705481188.db2.gz XVUBHJIKTWVKDN-UHFFFAOYSA-N 1 2 322.434 1.942 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC000875923125 705560948 /nfs/dbraw/zinc/56/09/48/705560948.db2.gz XOEFJBGZKYTVLE-CQSZACIVSA-N 1 2 319.405 1.887 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)C1(C#N)CCSCC1 ZINC000824949830 705564328 /nfs/dbraw/zinc/56/43/28/705564328.db2.gz LLDPUTXTWXISLA-OLZOCXBDSA-N 1 2 311.451 1.249 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)C1(C#N)CCSCC1 ZINC000824949830 705564331 /nfs/dbraw/zinc/56/43/31/705564331.db2.gz LLDPUTXTWXISLA-OLZOCXBDSA-N 1 2 311.451 1.249 20 30 DDEDLO CN(C)c1ccncc1C=[NH+]Nc1cccc(-c2nn[nH]n2)c1 ZINC000825883471 705741288 /nfs/dbraw/zinc/74/12/88/705741288.db2.gz HUVLTATZTUTJCX-UHFFFAOYSA-N 1 2 308.349 1.774 20 30 DDEDLO O=S1(=O)CCC[C@@H](NN=Cc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000863128461 705864158 /nfs/dbraw/zinc/86/41/58/705864158.db2.gz AAAHNKGRWDUXAW-CQSZACIVSA-N 1 2 318.402 1.373 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cccc(NC(C)=O)c2)CC1 ZINC000877567034 706144740 /nfs/dbraw/zinc/14/47/40/706144740.db2.gz SZBFMGMOGNKKNF-UHFFFAOYSA-N 1 2 315.373 1.924 20 30 DDEDLO CC[N@H+](CC[C@@](C#N)(C(C)=O)c1ccccc1)[C@H]1CCNC1=O ZINC000878556720 706426951 /nfs/dbraw/zinc/42/69/51/706426951.db2.gz UPTVYDWARXOHLL-FUHWJXTLSA-N 1 2 313.401 1.637 20 30 DDEDLO CC[N@@H+](CC[C@@](C#N)(C(C)=O)c1ccccc1)[C@H]1CCNC1=O ZINC000878556720 706426955 /nfs/dbraw/zinc/42/69/55/706426955.db2.gz UPTVYDWARXOHLL-FUHWJXTLSA-N 1 2 313.401 1.637 20 30 DDEDLO N#Cc1ccc(F)c(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC000830035025 706442621 /nfs/dbraw/zinc/44/26/21/706442621.db2.gz GRECVQZLHRGNIL-CYBMUJFWSA-N 1 2 303.337 1.244 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@@H+]1C[C@@H]2C[C@H]1C[S@]2=O)c1ccccc1 ZINC000878811735 706506456 /nfs/dbraw/zinc/50/64/56/706506456.db2.gz NOFAUNAMKVMSLZ-ACTFIFLWSA-N 1 2 316.426 1.632 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@H+]1C[C@@H]2C[C@H]1C[S@]2=O)c1ccccc1 ZINC000878811735 706506458 /nfs/dbraw/zinc/50/64/58/706506458.db2.gz NOFAUNAMKVMSLZ-ACTFIFLWSA-N 1 2 316.426 1.632 20 30 DDEDLO C=CC[C@H](C(=O)OC)[NH+]1CCC(Oc2ncc(C)cn2)CC1 ZINC000878844501 706515082 /nfs/dbraw/zinc/51/50/82/706515082.db2.gz BTYGEMKEGLRVAZ-CQSZACIVSA-N 1 2 305.378 1.746 20 30 DDEDLO COc1cccc2c1CC[C@]2(CO)[NH2+]Cc1nc(C#N)cs1 ZINC000880381502 706958161 /nfs/dbraw/zinc/95/81/61/706958161.db2.gz CBFXBZZEQFZWID-MRXNPFEDSA-N 1 2 315.398 1.947 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc(=O)oc3cc(C)ccc23)nn1 ZINC000881007503 707106104 /nfs/dbraw/zinc/10/61/04/707106104.db2.gz NBYIAAKTVKDHTR-UHFFFAOYSA-N 1 2 322.368 1.854 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=S)Nc1ccccc1C#N ZINC000871688426 707169669 /nfs/dbraw/zinc/16/96/69/707169669.db2.gz KMXJQZACRCWZFA-AWEZNQCLSA-N 1 2 318.446 1.955 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=S)Nc1ccccc1C#N ZINC000871688426 707169673 /nfs/dbraw/zinc/16/96/73/707169673.db2.gz KMXJQZACRCWZFA-AWEZNQCLSA-N 1 2 318.446 1.955 20 30 DDEDLO COCCCON=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872375374 707393814 /nfs/dbraw/zinc/39/38/14/707393814.db2.gz ANCQGAPQAOTTSC-UHFFFAOYSA-N 1 2 322.405 1.785 20 30 DDEDLO C=CCONC(=O)N1CC[NH+](Cc2cc(C)ccc2OC)CC1 ZINC000838701499 707965631 /nfs/dbraw/zinc/96/56/31/707965631.db2.gz ATMJIZNDAAUYBC-UHFFFAOYSA-N 1 2 319.405 1.948 20 30 DDEDLO N#Cc1ccc(CCn2cc(C[NH+]3CCC(O)CC3)nn2)cc1 ZINC000883992551 708095085 /nfs/dbraw/zinc/09/50/85/708095085.db2.gz UDMVWCFUMGBENH-UHFFFAOYSA-N 1 2 311.389 1.349 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCO[C@H](c2ccccc2)C1 ZINC000884067001 708124458 /nfs/dbraw/zinc/12/44/58/708124458.db2.gz QQWXJFBCDZBHJD-GJZGRUSLSA-N 1 2 318.373 1.033 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc(OCCC)c1 ZINC000884074336 708127695 /nfs/dbraw/zinc/12/76/95/708127695.db2.gz MDMALAJXJUQTNG-AWEZNQCLSA-N 1 2 306.362 1.861 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)cc1 ZINC000896742784 708138057 /nfs/dbraw/zinc/13/80/57/708138057.db2.gz OVTAHRGWRIHCQE-GOSISDBHSA-N 1 2 312.413 1.995 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC1(c2ccccc2C)CC1 ZINC000884121018 708148703 /nfs/dbraw/zinc/14/87/03/708148703.db2.gz CLAYDQXDZAGJDR-HNNXBMFYSA-N 1 2 316.401 1.589 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1C[C@@H]1Cc1ccccc1 ZINC000884155279 708163745 /nfs/dbraw/zinc/16/37/45/708163745.db2.gz YWPXLALNKGJKLQ-KKUMJFAQSA-N 1 2 302.374 1.180 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCS[C@H]2CCCC[C@@H]21 ZINC000884157462 708164370 /nfs/dbraw/zinc/16/43/70/708164370.db2.gz AUNUMCWPVUAJNJ-AVGNSLFASA-N 1 2 312.435 1.320 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCC[C@H](SCC)C1 ZINC000884170349 708170649 /nfs/dbraw/zinc/17/06/49/708170649.db2.gz VRSLDXFSOGLJDK-AVGNSLFASA-N 1 2 314.451 1.614 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H](OCC[NH+]3CCOCC3)C2)CC1 ZINC000896978807 708197543 /nfs/dbraw/zinc/19/75/43/708197543.db2.gz JWKMVVPREXHQMW-KRWDZBQOSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)Cc1ccc(F)cc1C ZINC000884398808 708280402 /nfs/dbraw/zinc/28/04/02/708280402.db2.gz OHSNGRFHWZVLMF-WFASDCNBSA-N 1 2 322.380 1.628 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCO[C@H]1c1ccccc1 ZINC000884448870 708301189 /nfs/dbraw/zinc/30/11/89/708301189.db2.gz OHRNNAHVDGLDER-LZWOXQAQSA-N 1 2 318.373 1.079 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)C[C@@]2(C)CC2(F)F)C1 ZINC000885508567 708561740 /nfs/dbraw/zinc/56/17/40/708561740.db2.gz IKRQLIFNVMRZQL-VXGBXAGGSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)C[C@@]2(C)CC2(F)F)C1 ZINC000885508567 708561742 /nfs/dbraw/zinc/56/17/42/708561742.db2.gz IKRQLIFNVMRZQL-VXGBXAGGSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(OC)cc2C)C1 ZINC000885513730 708563642 /nfs/dbraw/zinc/56/36/42/708563642.db2.gz DKBLJFLJZFHKFT-CQSZACIVSA-N 1 2 322.430 1.380 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(OC)cc2C)C1 ZINC000885513730 708563644 /nfs/dbraw/zinc/56/36/44/708563644.db2.gz DKBLJFLJZFHKFT-CQSZACIVSA-N 1 2 322.430 1.380 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@H]1CCC[N@H+](CCF)C1 ZINC000898489303 708654823 /nfs/dbraw/zinc/65/48/23/708654823.db2.gz LOHBAYIJRXGNHQ-ZFWWWQNUSA-N 1 2 319.380 1.876 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@H]1CCC[N@@H+](CCF)C1 ZINC000898489303 708654824 /nfs/dbraw/zinc/65/48/24/708654824.db2.gz LOHBAYIJRXGNHQ-ZFWWWQNUSA-N 1 2 319.380 1.876 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@@H](c2ncccn2)C1)C1CC1 ZINC000886675135 708811360 /nfs/dbraw/zinc/81/13/60/708811360.db2.gz XPNALYFOCWPFLY-CXAGYDPISA-N 1 2 313.405 1.464 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@@H](c2ncccn2)C1)C1CC1 ZINC000886675135 708811362 /nfs/dbraw/zinc/81/13/62/708811362.db2.gz XPNALYFOCWPFLY-CXAGYDPISA-N 1 2 313.405 1.464 20 30 DDEDLO C=CCOc1ccc(C(=O)N[C@@H](CO)c2c[nH+]cn2C)cc1 ZINC000898886330 708907022 /nfs/dbraw/zinc/90/70/22/708907022.db2.gz ZDTAULKAWMRTKW-AWEZNQCLSA-N 1 2 301.346 1.448 20 30 DDEDLO CCCC[C@@H](ON=C(C)C)C(=O)N[C@@H](CO)c1c[nH+]cn1C ZINC000898889933 708909634 /nfs/dbraw/zinc/90/96/34/708909634.db2.gz RKVCGLBCAFIWNH-GXTWGEPZSA-N 1 2 310.398 1.541 20 30 DDEDLO C#CC1(O)CCN(C(=O)C[C@H](c2[nH]cc[nH+]2)c2ccccc2)CC1 ZINC000899119845 708991768 /nfs/dbraw/zinc/99/17/68/708991768.db2.gz SYGFOGVMYZORFA-INIZCTEOSA-N 1 2 323.396 1.918 20 30 DDEDLO N#Cc1csc(CNC(=O)Cc2cn3c([nH+]2)CCCC3)n1 ZINC000887977828 709185233 /nfs/dbraw/zinc/18/52/33/709185233.db2.gz XDHFNCYIEBEBQN-UHFFFAOYSA-N 1 2 301.375 1.406 20 30 DDEDLO O=C(c1cccc(C#CCO)c1)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000900446421 709599519 /nfs/dbraw/zinc/59/95/19/709599519.db2.gz MXSVKPRSPFCJMK-UHFFFAOYSA-N 1 2 309.369 1.773 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)NC[C@@H]2C[N@@H+](C)CCN2C)cc1 ZINC000900659489 709699513 /nfs/dbraw/zinc/69/95/13/709699513.db2.gz NQDRPPKTPBFXEZ-ROJDOSBLSA-N 1 2 315.417 1.096 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)NC[C@@H]2C[N@H+](C)CCN2C)cc1 ZINC000900659489 709699516 /nfs/dbraw/zinc/69/95/16/709699516.db2.gz NQDRPPKTPBFXEZ-ROJDOSBLSA-N 1 2 315.417 1.096 20 30 DDEDLO C#CCCNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000900711700 709723174 /nfs/dbraw/zinc/72/31/74/709723174.db2.gz VAOIRQJPZXMWTD-HNNXBMFYSA-N 1 2 316.405 1.157 20 30 DDEDLO C#CCCNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000900711700 709723179 /nfs/dbraw/zinc/72/31/79/709723179.db2.gz VAOIRQJPZXMWTD-HNNXBMFYSA-N 1 2 316.405 1.157 20 30 DDEDLO Cc1cc(C#N)ccc1N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000891556340 710234831 /nfs/dbraw/zinc/23/48/31/710234831.db2.gz VRMYTKVJIWOGIW-UHFFFAOYSA-N 1 2 312.417 1.611 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2c(C)cccc2C)C1 ZINC000891606507 710246539 /nfs/dbraw/zinc/24/65/39/710246539.db2.gz OEERCMYNQRQKSY-HNNXBMFYSA-N 1 2 313.401 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2c(C)cccc2C)C1 ZINC000891606507 710246541 /nfs/dbraw/zinc/24/65/41/710246541.db2.gz OEERCMYNQRQKSY-HNNXBMFYSA-N 1 2 313.401 1.456 20 30 DDEDLO Cc1cc(F)c(C#N)c(N(C)C[C@@H](O)C[NH+]2CCOCC2)c1 ZINC000892855883 710513346 /nfs/dbraw/zinc/51/33/46/710513346.db2.gz BZTCGHDMISKKCS-CYBMUJFWSA-N 1 2 307.369 1.135 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H](C#N)[C@H](Nc2cc[nH+]cc2CO)C1 ZINC000893168859 710565656 /nfs/dbraw/zinc/56/56/56/710565656.db2.gz BIMRCLNRYJYYHP-BXUZGUMPSA-N 1 2 318.377 1.167 20 30 DDEDLO CNc1cc(N2CCN(c3snc(C)c3C#N)CC2)nc[nH+]1 ZINC000893911324 710883629 /nfs/dbraw/zinc/88/36/29/710883629.db2.gz AJTFFJMQAPVABG-UHFFFAOYSA-N 1 2 315.406 1.482 20 30 DDEDLO CNc1cc(N2CCN(c3snc(C)c3C#N)CC2)[nH+]cn1 ZINC000893911324 710883632 /nfs/dbraw/zinc/88/36/32/710883632.db2.gz AJTFFJMQAPVABG-UHFFFAOYSA-N 1 2 315.406 1.482 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC000913458536 713225106 /nfs/dbraw/zinc/22/51/06/713225106.db2.gz PRTSRKLSOJCOCY-DYVFJYSZSA-N 1 2 323.400 1.633 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)c3cc(C#N)ccc3F)CC[NH2+]2)cn1 ZINC000913460238 713225959 /nfs/dbraw/zinc/22/59/59/713225959.db2.gz NEAZMTRREOCKEK-HNNXBMFYSA-N 1 2 313.336 1.218 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)c3sccc3C#N)CC[NH2+]2)cn1 ZINC000913467959 713229095 /nfs/dbraw/zinc/22/90/95/713229095.db2.gz INSQOIWSTWHTIS-GFCCVEGCSA-N 1 2 301.375 1.140 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)COc1cccc(C#N)c1 ZINC000928638659 713245292 /nfs/dbraw/zinc/24/52/92/713245292.db2.gz JZLUSCIYOYYEFS-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1cccc(CC#N)c1 ZINC000928640872 713246453 /nfs/dbraw/zinc/24/64/53/713246453.db2.gz TWVODQYQFBPMJD-INIZCTEOSA-N 1 2 301.390 1.593 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Cc1ccccc1CC#N ZINC000928642488 713246949 /nfs/dbraw/zinc/24/69/49/713246949.db2.gz HSPWIFNFHMFZSV-KRWDZBQOSA-N 1 2 315.417 1.522 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(-c2ccccc2CC)no1 ZINC000904085396 711377133 /nfs/dbraw/zinc/37/71/33/711377133.db2.gz DMDWLFFLYNSNIU-ZDUSSCGKSA-N 1 2 301.346 1.898 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+][C@@H]1CCCN(O)C1=O ZINC000895160727 711437652 /nfs/dbraw/zinc/43/76/52/711437652.db2.gz OZPLYIYTOZVPBD-CYBMUJFWSA-N 1 2 308.765 1.822 20 30 DDEDLO C=CCC1(O)CC[NH+](Cc2cn(C[C@@H]3CCCO3)nn2)CC1 ZINC000895828339 711618861 /nfs/dbraw/zinc/61/88/61/711618861.db2.gz VLNHJUCUPWRYFW-HNNXBMFYSA-N 1 2 306.410 1.360 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CCc3c([nH+]c(C)n3CCO)C2)CC1 ZINC000908119708 712692531 /nfs/dbraw/zinc/69/25/31/712692531.db2.gz RIRPKCHNDGZTQK-OAHLLOKOSA-N 1 2 317.433 1.904 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccsc1C#N ZINC000908145328 712697803 /nfs/dbraw/zinc/69/78/03/712697803.db2.gz MMOAMQHQHQNFDH-RYUDHWBXSA-N 1 2 305.403 1.555 20 30 DDEDLO C#CCCCc1nnc(N2CCN(c3cccc[nH+]3)CC2)n1C ZINC000908538407 712801275 /nfs/dbraw/zinc/80/12/75/712801275.db2.gz UDYAJNVJTJQFIC-UHFFFAOYSA-N 1 2 310.405 1.493 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000908649554 712828798 /nfs/dbraw/zinc/82/87/98/712828798.db2.gz AFNOCGMBJQWFIK-HIFRSBDPSA-N 1 2 301.390 1.972 20 30 DDEDLO C=CC[N@@H+](Cc1cc(Br)ccc1F)C[C@@H](O)CO ZINC000929427624 713608672 /nfs/dbraw/zinc/60/86/72/713608672.db2.gz KLVAAMQRXIGEKV-GFCCVEGCSA-N 1 2 318.186 1.929 20 30 DDEDLO C=CC[N@H+](Cc1cc(Br)ccc1F)C[C@@H](O)CO ZINC000929427624 713608670 /nfs/dbraw/zinc/60/86/70/713608670.db2.gz KLVAAMQRXIGEKV-GFCCVEGCSA-N 1 2 318.186 1.929 20 30 DDEDLO N#Cc1cncc(C[N@H+]2CCCC[C@H]2C(=O)N2CCOCC2)c1 ZINC000929488596 713623278 /nfs/dbraw/zinc/62/32/78/713623278.db2.gz MZSQBKGAEXRKMT-INIZCTEOSA-N 1 2 314.389 1.167 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2CCCC[C@H]2C(=O)N2CCOCC2)c1 ZINC000929488596 713623280 /nfs/dbraw/zinc/62/32/80/713623280.db2.gz MZSQBKGAEXRKMT-INIZCTEOSA-N 1 2 314.389 1.167 20 30 DDEDLO COC(=O)c1cncc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000930828580 713930649 /nfs/dbraw/zinc/93/06/49/713930649.db2.gz KYGUBQCRKSGGEU-STQMWFEESA-N 1 2 302.378 1.286 20 30 DDEDLO C[C@@H]1NC(=O)[C@H](C)[N@H+](CCC(=O)Nc2sccc2C#N)[C@H]1C ZINC000930830646 713930934 /nfs/dbraw/zinc/93/09/34/713930934.db2.gz AZWKUSUHGRYQMJ-DCAQKATOSA-N 1 2 320.418 1.546 20 30 DDEDLO C[C@@H]1NC(=O)[C@H](C)[N@@H+](CCC(=O)Nc2sccc2C#N)[C@H]1C ZINC000930830646 713930936 /nfs/dbraw/zinc/93/09/36/713930936.db2.gz AZWKUSUHGRYQMJ-DCAQKATOSA-N 1 2 320.418 1.546 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C)C(=O)Nc2ccc(F)c(F)c2)CC1 ZINC000931144925 714014994 /nfs/dbraw/zinc/01/49/94/714014994.db2.gz JXRFVOJZFVZCHW-NSHDSACASA-N 1 2 308.328 1.752 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc2c1N(C)C(=O)CO2 ZINC000923006532 714212139 /nfs/dbraw/zinc/21/21/39/714212139.db2.gz BDUXLVATUGYZSQ-ZDUSSCGKSA-N 1 2 313.357 1.078 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc2c1N(C)C(=O)CO2 ZINC000923006532 714212140 /nfs/dbraw/zinc/21/21/40/714212140.db2.gz BDUXLVATUGYZSQ-ZDUSSCGKSA-N 1 2 313.357 1.078 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]2C1 ZINC000932724131 714398838 /nfs/dbraw/zinc/39/88/38/714398838.db2.gz YYXRKRMSHHGDJO-BFHYXJOUSA-N 1 2 324.421 1.903 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]2C1 ZINC000932724131 714398839 /nfs/dbraw/zinc/39/88/39/714398839.db2.gz YYXRKRMSHHGDJO-BFHYXJOUSA-N 1 2 324.421 1.903 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(C(C)=O)c(C)nc2C)C1 ZINC000923566403 714407782 /nfs/dbraw/zinc/40/77/82/714407782.db2.gz PNDIFIMMUIMTSR-HNNXBMFYSA-N 1 2 313.401 1.728 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C(C)=O)c(C)nc2C)C1 ZINC000923566403 714407786 /nfs/dbraw/zinc/40/77/86/714407786.db2.gz PNDIFIMMUIMTSR-HNNXBMFYSA-N 1 2 313.401 1.728 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)N[C@H](CC#N)C(F)(F)F ZINC000932891091 714431612 /nfs/dbraw/zinc/43/16/12/714431612.db2.gz RMOHGNDJVKGVLF-GHMZBOCLSA-N 1 2 322.331 1.241 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)N[C@H](CC#N)C(F)(F)F ZINC000932891091 714431614 /nfs/dbraw/zinc/43/16/14/714431614.db2.gz RMOHGNDJVKGVLF-GHMZBOCLSA-N 1 2 322.331 1.241 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)cc2)[C@H](C)C1 ZINC000933172169 714527284 /nfs/dbraw/zinc/52/72/84/714527284.db2.gz KDJHLFUXNFIEEV-TZMCWYRMSA-N 1 2 315.373 1.770 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)[C@H](C)C1 ZINC000933172169 714527285 /nfs/dbraw/zinc/52/72/85/714527285.db2.gz KDJHLFUXNFIEEV-TZMCWYRMSA-N 1 2 315.373 1.770 20 30 DDEDLO Cn1cc(C[NH+]2CCC3(CC2)CC(=O)C=CO3)c([N+](=O)[O-])n1 ZINC000933644371 714637555 /nfs/dbraw/zinc/63/75/55/714637555.db2.gz OCXCRNTWWQWJDV-UHFFFAOYSA-N 1 2 306.322 1.166 20 30 DDEDLO C=CC[C@@H]1CC[N@H+]1CC(=O)N(CC(=O)N(C)C)CC(F)(F)F ZINC000934459733 714826605 /nfs/dbraw/zinc/82/66/05/714826605.db2.gz CVUSDIYKGXGPTQ-LLVKDONJSA-N 1 2 321.343 1.116 20 30 DDEDLO C=CC[C@@H]1CC[N@@H+]1CC(=O)N(CC(=O)N(C)C)CC(F)(F)F ZINC000934459733 714826607 /nfs/dbraw/zinc/82/66/07/714826607.db2.gz CVUSDIYKGXGPTQ-LLVKDONJSA-N 1 2 321.343 1.116 20 30 DDEDLO C#Cc1cccc(NC(=O)N[C@@H](CC)C[NH+]2CCOCC2)c1 ZINC000925578685 714891492 /nfs/dbraw/zinc/89/14/92/714891492.db2.gz HOXTVXPYANAWSY-HNNXBMFYSA-N 1 2 301.390 1.900 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC000957127295 715760128 /nfs/dbraw/zinc/76/01/28/715760128.db2.gz JGHFNQSLMZRYJM-ZDUSSCGKSA-N 1 2 302.422 1.623 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2c(C)nn(C(C)C)c2C)CC1 ZINC000957280715 715814176 /nfs/dbraw/zinc/81/41/76/715814176.db2.gz AJMPGOFGQGOPCB-UHFFFAOYSA-N 1 2 304.438 1.954 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncccc2OCC)C1 ZINC000957476028 715918152 /nfs/dbraw/zinc/91/81/52/715918152.db2.gz JJYXWWHDNCSFLS-UHFFFAOYSA-N 1 2 301.390 1.650 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cnnn1C ZINC000960788562 716690555 /nfs/dbraw/zinc/69/05/55/716690555.db2.gz RGSIJGXJMOSNML-CQSZACIVSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cnnn1C ZINC000960788562 716690558 /nfs/dbraw/zinc/69/05/58/716690558.db2.gz RGSIJGXJMOSNML-CQSZACIVSA-N 1 2 319.453 1.840 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ncc(C)o4)C[C@H]32)c1 ZINC000961723405 717074261 /nfs/dbraw/zinc/07/42/61/717074261.db2.gz QUZJGCVBPZLFGM-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ncc(C)o4)C[C@H]32)c1 ZINC000961723405 717074263 /nfs/dbraw/zinc/07/42/63/717074263.db2.gz QUZJGCVBPZLFGM-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3CCCCC3)CC2)C1 ZINC000941288745 717147403 /nfs/dbraw/zinc/14/74/03/717147403.db2.gz DUSMYPRYEXMRIZ-UHFFFAOYSA-N 1 2 303.450 1.418 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)CC3=CCCCC3)CC2)C1 ZINC000941344697 717158109 /nfs/dbraw/zinc/15/81/09/717158109.db2.gz JIXFKNSMMYNECQ-UHFFFAOYSA-N 1 2 315.461 1.729 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C2C[NH+](C[C@H](F)CC)C2)CC1 ZINC000941515858 717190261 /nfs/dbraw/zinc/19/02/61/717190261.db2.gz FYYJFJRQKJGJAF-CQSZACIVSA-N 1 2 311.445 1.775 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3CC=CCC3)CC2)C1 ZINC000941516842 717191038 /nfs/dbraw/zinc/19/10/38/717191038.db2.gz IUKOMGKKTSXILQ-MRXNPFEDSA-N 1 2 301.434 1.194 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3(C)CC=CC3)CC2)C1 ZINC000941547216 717203132 /nfs/dbraw/zinc/20/31/32/717203132.db2.gz KBEWYCKOYKJIBL-UHFFFAOYSA-N 1 2 301.434 1.194 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3CCCC[C@H]3C)CC2)C1 ZINC000941681972 717254897 /nfs/dbraw/zinc/25/48/97/717254897.db2.gz AYIIZGRWJBHPSM-SJORKVTESA-N 1 2 317.477 1.664 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CCN(CC#N)C[C@H]2C)c[nH+]1 ZINC000942179879 717529823 /nfs/dbraw/zinc/52/98/23/717529823.db2.gz DAPYHBPNNSKHMU-OCCSQVGLSA-N 1 2 303.410 1.277 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@H]2CCN(CC#N)C[C@H]2C)c(C)[nH+]1 ZINC000942525896 717721671 /nfs/dbraw/zinc/72/16/71/717721671.db2.gz XDJFDOHJTFJVLQ-DOMZBBRYSA-N 1 2 300.406 1.971 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@H]2CCN(CC#N)C[C@@H]2C)c(C)[nH+]1 ZINC000942525899 717721982 /nfs/dbraw/zinc/72/19/82/717721982.db2.gz XDJFDOHJTFJVLQ-WFASDCNBSA-N 1 2 300.406 1.971 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c[nH]c(C)cc2=O)[C@@H](C)C1 ZINC000943967811 718234284 /nfs/dbraw/zinc/23/42/84/718234284.db2.gz UMJZYLVELXJNHP-HZMBPMFUSA-N 1 2 323.824 1.876 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c[nH]c(C)cc2=O)[C@@H](C)C1 ZINC000943967811 718234286 /nfs/dbraw/zinc/23/42/86/718234286.db2.gz UMJZYLVELXJNHP-HZMBPMFUSA-N 1 2 323.824 1.876 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC000967184659 718786573 /nfs/dbraw/zinc/78/65/73/718786573.db2.gz OKXFJKLLJJPXFX-SWLSCSKDSA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC000967184659 718786574 /nfs/dbraw/zinc/78/65/74/718786574.db2.gz OKXFJKLLJJPXFX-SWLSCSKDSA-N 1 2 300.406 1.362 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1ncccn1 ZINC000947215844 719076136 /nfs/dbraw/zinc/07/61/36/719076136.db2.gz BFMYRPHUIOHWTH-CHWSQXEVSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1ncccn1 ZINC000947215844 719076139 /nfs/dbraw/zinc/07/61/39/719076139.db2.gz BFMYRPHUIOHWTH-CHWSQXEVSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1cnon1 ZINC000947218004 719077121 /nfs/dbraw/zinc/07/71/21/719077121.db2.gz VAROBGPTOQJHQU-GHMZBOCLSA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1cnon1 ZINC000947218004 719077124 /nfs/dbraw/zinc/07/71/24/719077124.db2.gz VAROBGPTOQJHQU-GHMZBOCLSA-N 1 2 314.349 1.052 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3ncnn3C)[C@@H](C)C2)C1 ZINC000947702621 719248417 /nfs/dbraw/zinc/24/84/17/719248417.db2.gz QJCBREHWSACIQZ-KBPBESRZSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3ncnn3C)[C@@H](C)C2)C1 ZINC000947702621 719248421 /nfs/dbraw/zinc/24/84/21/719248421.db2.gz QJCBREHWSACIQZ-KBPBESRZSA-N 1 2 317.437 1.641 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1nnc[nH]1 ZINC000968426366 719578239 /nfs/dbraw/zinc/57/82/39/719578239.db2.gz FTNNHYMIYFHDLR-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1nnc[nH]1 ZINC000968426366 719578241 /nfs/dbraw/zinc/57/82/41/719578241.db2.gz FTNNHYMIYFHDLR-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1ncn[nH]1 ZINC000968426366 719578244 /nfs/dbraw/zinc/57/82/44/719578244.db2.gz FTNNHYMIYFHDLR-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1ncn[nH]1 ZINC000968426366 719578247 /nfs/dbraw/zinc/57/82/47/719578247.db2.gz FTNNHYMIYFHDLR-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1ncn[nH]1 ZINC000968439563 719588101 /nfs/dbraw/zinc/58/81/01/719588101.db2.gz HVUCFYGDQRXGOQ-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1ncn[nH]1 ZINC000968439563 719588104 /nfs/dbraw/zinc/58/81/04/719588104.db2.gz HVUCFYGDQRXGOQ-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](N(C)C(=O)c2cc(C(F)F)[nH]n2)CC1 ZINC000948766133 719695814 /nfs/dbraw/zinc/69/58/14/719695814.db2.gz GTYCHTWAPWCVPJ-NSHDSACASA-N 1 2 310.348 1.907 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](N(C)C(=O)c2cc(C(F)F)[nH]n2)CC1 ZINC000948766133 719695817 /nfs/dbraw/zinc/69/58/17/719695817.db2.gz GTYCHTWAPWCVPJ-NSHDSACASA-N 1 2 310.348 1.907 20 30 DDEDLO CN(C(=O)[C@@H]1CCCc2[nH+]c[nH]c21)[C@@H]1CCCN(CC#N)CC1 ZINC000948767695 719696216 /nfs/dbraw/zinc/69/62/16/719696216.db2.gz ZIDOQVFMJQMURH-ZIAGYGMSSA-N 1 2 315.421 1.666 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn(C)cn1 ZINC000948906865 719778610 /nfs/dbraw/zinc/77/86/10/719778610.db2.gz STJUCWULHSQDKD-KRWDZBQOSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn(C)cn1 ZINC000948906865 719778612 /nfs/dbraw/zinc/77/86/12/719778612.db2.gz STJUCWULHSQDKD-KRWDZBQOSA-N 1 2 308.385 1.383 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)CC1 ZINC000948926913 719789064 /nfs/dbraw/zinc/78/90/64/719789064.db2.gz MVMGCDIZYDCSMX-CYBMUJFWSA-N 1 2 308.813 1.342 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(CC1)C[N@H+](C/C=C\Cl)CCO2 ZINC000949320933 720015254 /nfs/dbraw/zinc/01/52/54/720015254.db2.gz XAEZSSLEZNOBHZ-LWJUFGILSA-N 1 2 311.813 1.592 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(CC1)C[N@@H+](C/C=C\Cl)CCO2 ZINC000949320933 720015258 /nfs/dbraw/zinc/01/52/58/720015258.db2.gz XAEZSSLEZNOBHZ-LWJUFGILSA-N 1 2 311.813 1.592 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)CC2)C1 ZINC000949332920 720022398 /nfs/dbraw/zinc/02/23/98/720022398.db2.gz XXELTNBLMUJOBF-ZSHCYNCHSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)CC2)C1 ZINC000949332920 720022402 /nfs/dbraw/zinc/02/24/02/720022402.db2.gz XXELTNBLMUJOBF-ZSHCYNCHSA-N 1 2 302.418 1.359 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCn3cccn3)CC2)cc1 ZINC000949597307 720199493 /nfs/dbraw/zinc/19/94/93/720199493.db2.gz UEHAKYIONDMOKD-UHFFFAOYSA-N 1 2 308.385 1.322 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2nccc3cccnc32)C1 ZINC000950531928 720672067 /nfs/dbraw/zinc/67/20/67/720672067.db2.gz PJSCXZGWSYPKRE-UHFFFAOYSA-N 1 2 308.385 1.799 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC000970242401 720675350 /nfs/dbraw/zinc/67/53/50/720675350.db2.gz XMQKBQXXXHIBGO-XBFCOCLRSA-N 1 2 322.434 1.210 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc(C(N)=O)co2)C1 ZINC000970406952 720749772 /nfs/dbraw/zinc/74/97/72/720749772.db2.gz BOEMZABPZXFTOS-VIFPVBQESA-N 1 2 311.769 1.181 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC000970602110 720837589 /nfs/dbraw/zinc/83/75/89/720837589.db2.gz KVYYIGPLOSLRFS-NSHDSACASA-N 1 2 324.812 1.066 20 30 DDEDLO C[C@H](NC(=O)c1cnon1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970619227 720850490 /nfs/dbraw/zinc/85/04/90/720850490.db2.gz NCOCJEURKHFRFQ-NSHDSACASA-N 1 2 311.345 1.192 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)Nc3ccccc32)C1 ZINC000951017473 720881389 /nfs/dbraw/zinc/88/13/89/720881389.db2.gz RRZIKEMNILXHML-OAHLLOKOSA-N 1 2 313.401 1.831 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC000951252229 720971158 /nfs/dbraw/zinc/97/11/58/720971158.db2.gz CKSSIFKORUKNIL-CQSZACIVSA-N 1 2 316.405 1.347 20 30 DDEDLO C=CCCn1cc(C(=O)N2CC[NH+](CC=C(C)C)CC2)nn1 ZINC000951607887 721094935 /nfs/dbraw/zinc/09/49/35/721094935.db2.gz YWLQNOJDIPHODA-UHFFFAOYSA-N 1 2 303.410 1.578 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)[C@H](C)C#N)C2)c(C)s1 ZINC000971220107 721186853 /nfs/dbraw/zinc/18/68/53/721186853.db2.gz COAIFVHSRQWICG-MFKMUULPSA-N 1 2 306.435 1.952 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)[C@H](C)C#N)C2)c(C)s1 ZINC000971220107 721186855 /nfs/dbraw/zinc/18/68/55/721186855.db2.gz COAIFVHSRQWICG-MFKMUULPSA-N 1 2 306.435 1.952 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)c2cncc3nc[nH]c32)C1 ZINC000971576103 721361727 /nfs/dbraw/zinc/36/17/27/721361727.db2.gz NCFOCWYJVUDSSM-NSHDSACASA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)c2cncc3nc[nH]c32)C1 ZINC000971576103 721361732 /nfs/dbraw/zinc/36/17/32/721361732.db2.gz NCFOCWYJVUDSSM-NSHDSACASA-N 1 2 319.796 1.857 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@]3(C)CCCOC3)C2)C1 ZINC000972616591 735355205 /nfs/dbraw/zinc/35/52/05/735355205.db2.gz AXHONYSLWGOQGC-IAGOWNOFSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@]3(C)CCCOC3)C2)C1 ZINC000972616591 735355208 /nfs/dbraw/zinc/35/52/08/735355208.db2.gz AXHONYSLWGOQGC-IAGOWNOFSA-N 1 2 308.422 1.292 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(OCC)cc3)[C@H]2C1 ZINC001083262639 735365846 /nfs/dbraw/zinc/36/58/46/735365846.db2.gz GCQRXXHXJMFRNU-DLBZAZTESA-N 1 2 314.385 1.244 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(OCC)cc3)[C@H]2C1 ZINC001083262639 735365848 /nfs/dbraw/zinc/36/58/48/735365848.db2.gz GCQRXXHXJMFRNU-DLBZAZTESA-N 1 2 314.385 1.244 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)COc3ccsc3)C2)C1 ZINC000972637496 735396521 /nfs/dbraw/zinc/39/65/21/735396521.db2.gz BJWCLKDHLGHSPS-MRXNPFEDSA-N 1 2 322.430 1.616 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)COc3ccsc3)C2)C1 ZINC000972637496 735396526 /nfs/dbraw/zinc/39/65/26/735396526.db2.gz BJWCLKDHLGHSPS-MRXNPFEDSA-N 1 2 322.430 1.616 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1C[C@@H]1C ZINC001026009892 737075089 /nfs/dbraw/zinc/07/50/89/737075089.db2.gz ZWKPBWWYOURZCG-FOGPFDJLSA-N 1 2 313.239 1.988 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1C[C@@H]1C ZINC001026009892 737075094 /nfs/dbraw/zinc/07/50/94/737075094.db2.gz ZWKPBWWYOURZCG-FOGPFDJLSA-N 1 2 313.239 1.988 20 30 DDEDLO N#Cc1cccnc1N1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc[nH+]c1 ZINC001058763789 738164546 /nfs/dbraw/zinc/16/45/46/738164546.db2.gz ZGGFIZVSIWNDRF-GASCZTMLSA-N 1 2 322.372 1.030 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](C)[C@H](NC(=O)CS(=O)(=O)C(C)(C)C)C1 ZINC001210290023 733121279 /nfs/dbraw/zinc/12/12/79/733121279.db2.gz AZZOMBJXQFVKGQ-CHWSQXEVSA-N 1 2 316.467 1.212 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)CS(=O)(=O)C(C)(C)C)C1 ZINC001210290023 733121280 /nfs/dbraw/zinc/12/12/80/733121280.db2.gz AZZOMBJXQFVKGQ-CHWSQXEVSA-N 1 2 316.467 1.212 20 30 DDEDLO C=Cc1ccc(C(=O)N2CCO[C@@H]3C[N@@H+](CC#CC)C[C@@H]32)cc1 ZINC001083202498 733138594 /nfs/dbraw/zinc/13/85/94/733138594.db2.gz VQVARVNAMMWSIW-ZWKOTPCHSA-N 1 2 310.397 1.878 20 30 DDEDLO C=Cc1ccc(C(=O)N2CCO[C@@H]3C[N@H+](CC#CC)C[C@@H]32)cc1 ZINC001083202498 733138595 /nfs/dbraw/zinc/13/85/95/733138595.db2.gz VQVARVNAMMWSIW-ZWKOTPCHSA-N 1 2 310.397 1.878 20 30 DDEDLO Cc1nc(N(C)CCN(C(=O)CSCC#N)C(C)C)cc[nH+]1 ZINC001125445407 733359590 /nfs/dbraw/zinc/35/95/90/733359590.db2.gz RVOKTDRGGMBOJT-UHFFFAOYSA-N 1 2 321.450 1.715 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]([C@@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001039255453 733380458 /nfs/dbraw/zinc/38/04/58/733380458.db2.gz AKNGCTCYDYYDPI-GJZGRUSLSA-N 1 2 315.421 1.074 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]([C@@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001039255453 733380464 /nfs/dbraw/zinc/38/04/64/733380464.db2.gz AKNGCTCYDYYDPI-GJZGRUSLSA-N 1 2 315.421 1.074 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)no1 ZINC001027833764 738710258 /nfs/dbraw/zinc/71/02/58/738710258.db2.gz QVGVASMQSLHWCW-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)no1 ZINC001027833764 738710259 /nfs/dbraw/zinc/71/02/59/738710259.db2.gz QVGVASMQSLHWCW-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO Cn1ccc(C[N@@H+]2CCC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001027834258 738710669 /nfs/dbraw/zinc/71/06/69/738710669.db2.gz ZLFGBQZMQJWSAL-HNNXBMFYSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1ccc(C[N@H+]2CCC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001027834258 738710670 /nfs/dbraw/zinc/71/06/70/738710670.db2.gz ZLFGBQZMQJWSAL-HNNXBMFYSA-N 1 2 312.377 1.014 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@H]2CN(CC#N)CC[C@@H]21 ZINC001021720971 733483452 /nfs/dbraw/zinc/48/34/52/733483452.db2.gz ANSFMTQVORSOPM-ZFWWWQNUSA-N 1 2 301.394 1.097 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1nnc(C)[nH]1 ZINC001039373673 733636635 /nfs/dbraw/zinc/63/66/35/733636635.db2.gz HVRUUHMESIXJBV-OLZOCXBDSA-N 1 2 323.828 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1nnc(C)[nH]1 ZINC001039373673 733636636 /nfs/dbraw/zinc/63/66/36/733636636.db2.gz HVRUUHMESIXJBV-OLZOCXBDSA-N 1 2 323.828 1.473 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCN(C(=O)CCc3[nH]cc[nH+]3)CC2)C1 ZINC001011062940 734446185 /nfs/dbraw/zinc/44/61/85/734446185.db2.gz XZUXRBKHLCJKKG-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3csc(C)c3)[C@H]2C1 ZINC001083224681 734476351 /nfs/dbraw/zinc/47/63/51/734476351.db2.gz MAJSTFBZHMYHJJ-LSDHHAIUSA-N 1 2 304.415 1.605 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3csc(C)c3)[C@H]2C1 ZINC001083224681 734476353 /nfs/dbraw/zinc/47/63/53/734476353.db2.gz MAJSTFBZHMYHJJ-LSDHHAIUSA-N 1 2 304.415 1.605 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3coc4ccccc34)[C@H]2C1 ZINC001083233889 734605934 /nfs/dbraw/zinc/60/59/34/734605934.db2.gz ZAPNZUGNSXBWAS-FUHWJXTLSA-N 1 2 324.380 1.520 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3coc4ccccc34)[C@H]2C1 ZINC001083233889 734605937 /nfs/dbraw/zinc/60/59/37/734605937.db2.gz ZAPNZUGNSXBWAS-FUHWJXTLSA-N 1 2 324.380 1.520 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@H+](C)Cc3coc(C)n3)C2)nc1 ZINC000972756297 735598255 /nfs/dbraw/zinc/59/82/55/735598255.db2.gz LKJSZSIHXRFDRL-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3coc(C)n3)C2)nc1 ZINC000972756297 735598258 /nfs/dbraw/zinc/59/82/58/735598258.db2.gz LKJSZSIHXRFDRL-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001024416035 735810621 /nfs/dbraw/zinc/81/06/21/735810621.db2.gz FNSYWFXNYQFQBE-NSHDSACASA-N 1 2 313.785 1.315 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001024416035 735810623 /nfs/dbraw/zinc/81/06/23/735810623.db2.gz FNSYWFXNYQFQBE-NSHDSACASA-N 1 2 313.785 1.315 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cnc[nH]c1=O ZINC001024499016 735877799 /nfs/dbraw/zinc/87/77/99/735877799.db2.gz DCVZSWPFSFCNGE-LLVKDONJSA-N 1 2 310.785 1.519 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@@H]1C[NH2+]Cc1nc(C2CC2)no1 ZINC001024902188 736159900 /nfs/dbraw/zinc/15/99/00/736159900.db2.gz KJKYTOPPQGZSEL-DGCLKSJQSA-N 1 2 317.393 1.577 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+][C@H](C)c2nc(C3CC3)no2)CCC1 ZINC001129143137 751366998 /nfs/dbraw/zinc/36/69/98/751366998.db2.gz PZMYXENRXLXVAE-GFCCVEGCSA-N 1 2 316.405 1.907 20 30 DDEDLO Cc1nsc(N[C@@H](C)CNC(=O)CCn2cc[nH+]c2)c1C#N ZINC001098167683 736230127 /nfs/dbraw/zinc/23/01/27/736230127.db2.gz ICOGCRCOHHIYPK-JTQLQIEISA-N 1 2 318.406 1.527 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(F)cc1NC(C)=O ZINC001038324494 736337173 /nfs/dbraw/zinc/33/71/73/736337173.db2.gz BEVAYVLJMOQZBV-CYBMUJFWSA-N 1 2 303.337 1.221 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(F)cc1NC(C)=O ZINC001038324494 736337180 /nfs/dbraw/zinc/33/71/80/736337180.db2.gz BEVAYVLJMOQZBV-CYBMUJFWSA-N 1 2 303.337 1.221 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104706733 736533464 /nfs/dbraw/zinc/53/34/64/736533464.db2.gz PCGDUSNNARPMDU-GFCCVEGCSA-N 1 2 320.441 1.861 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@@H]3CC=CCC3)C2)nn1 ZINC001105299467 737769954 /nfs/dbraw/zinc/76/99/54/737769954.db2.gz ZQTPMQKZUZBOEF-CQSZACIVSA-N 1 2 313.405 1.131 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C(C)(C)CC)C2)nn1 ZINC001105317127 737828735 /nfs/dbraw/zinc/82/87/35/737828735.db2.gz JLHLQDSSJPFYPW-UHFFFAOYSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CCCCC(=O)N1CC(n2cc(C[NH2+]C[C@@H](F)CC)nn2)C1 ZINC001105317195 737829294 /nfs/dbraw/zinc/82/92/94/737829294.db2.gz MWCBIMDYWWWEMB-ZDUSSCGKSA-N 1 2 323.416 1.855 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](CNc1cc[nH+]c(C)n1)C(C)(C)C ZINC001125990723 738103904 /nfs/dbraw/zinc/10/39/04/738103904.db2.gz CHHGNVMRMWPJEZ-OCCSQVGLSA-N 1 2 318.421 1.766 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2nc3cnccc3s2)[C@H]1C ZINC001088732921 742264108 /nfs/dbraw/zinc/26/41/08/742264108.db2.gz XLLMLOBZWBDZHC-MNOVXSKESA-N 1 2 300.387 1.517 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2nc3cnccc3s2)[C@H]1C ZINC001088732921 742264109 /nfs/dbraw/zinc/26/41/09/742264109.db2.gz XLLMLOBZWBDZHC-MNOVXSKESA-N 1 2 300.387 1.517 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]([N@H+](C)Cc2ncc(Cl)n2C)C1 ZINC001027335124 738204800 /nfs/dbraw/zinc/20/48/00/738204800.db2.gz CEMLYSICVSXBCU-NWDGAFQWSA-N 1 2 323.828 1.656 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]([N@@H+](C)Cc2ncc(Cl)n2C)C1 ZINC001027335124 738204803 /nfs/dbraw/zinc/20/48/03/738204803.db2.gz CEMLYSICVSXBCU-NWDGAFQWSA-N 1 2 323.828 1.656 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)[nH]1 ZINC001027407075 738267217 /nfs/dbraw/zinc/26/72/17/738267217.db2.gz ZQFLITVNDVSIKX-SWLSCSKDSA-N 1 2 315.421 1.510 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)[nH]1 ZINC001027407075 738267219 /nfs/dbraw/zinc/26/72/19/738267219.db2.gz ZQFLITVNDVSIKX-SWLSCSKDSA-N 1 2 315.421 1.510 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC001027408433 738272601 /nfs/dbraw/zinc/27/26/01/738272601.db2.gz PMRDGAVVQUJPCN-IUODEOHRSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC001027408433 738272603 /nfs/dbraw/zinc/27/26/03/738272603.db2.gz PMRDGAVVQUJPCN-IUODEOHRSA-N 1 2 316.405 1.775 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cnns3)C2)cc1 ZINC001010315982 739012313 /nfs/dbraw/zinc/01/23/13/739012313.db2.gz CTRHAGMQYSYAFP-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cnns3)C2)cc1 ZINC001010315982 739012317 /nfs/dbraw/zinc/01/23/17/739012317.db2.gz CTRHAGMQYSYAFP-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1ncc(C)o1 ZINC001028142952 739087261 /nfs/dbraw/zinc/08/72/61/739087261.db2.gz UVHBCCSHWSFSFF-KFWWJZLASA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1ncc(C)o1 ZINC001028142952 739087262 /nfs/dbraw/zinc/08/72/62/739087262.db2.gz UVHBCCSHWSFSFF-KFWWJZLASA-N 1 2 319.405 1.655 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@@H+]1Cc1c[nH]c2c1cccc2C#N ZINC001139706104 739093376 /nfs/dbraw/zinc/09/33/76/739093376.db2.gz LMIPATMEHWJLQE-AWEZNQCLSA-N 1 2 313.357 1.803 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@H+]1Cc1c[nH]c2c1cccc2C#N ZINC001139706104 739093379 /nfs/dbraw/zinc/09/33/79/739093379.db2.gz LMIPATMEHWJLQE-AWEZNQCLSA-N 1 2 313.357 1.803 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)s1 ZINC001075620712 739229217 /nfs/dbraw/zinc/22/92/17/739229217.db2.gz AEHPBGPNKVQHEL-KGYLQXTDSA-N 1 2 304.419 1.644 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)s1 ZINC001075620712 739229218 /nfs/dbraw/zinc/22/92/18/739229218.db2.gz AEHPBGPNKVQHEL-KGYLQXTDSA-N 1 2 304.419 1.644 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(OC)c(C)c(OC)c1 ZINC001038993568 739260412 /nfs/dbraw/zinc/26/04/12/739260412.db2.gz JDLGVCWGGORCJL-CQSZACIVSA-N 1 2 302.374 1.450 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(OC)c(C)c(OC)c1 ZINC001038993568 739260417 /nfs/dbraw/zinc/26/04/17/739260417.db2.gz JDLGVCWGGORCJL-CQSZACIVSA-N 1 2 302.374 1.450 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001028293314 739312805 /nfs/dbraw/zinc/31/28/05/739312805.db2.gz HJNCPDCXQFXUCJ-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001028293314 739312811 /nfs/dbraw/zinc/31/28/11/739312811.db2.gz HJNCPDCXQFXUCJ-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccnn2CCC)C1 ZINC001035387759 751457880 /nfs/dbraw/zinc/45/78/80/751457880.db2.gz QIEIXHGJHAENMZ-AWEZNQCLSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccnn2CCC)C1 ZINC001035387759 751457881 /nfs/dbraw/zinc/45/78/81/751457881.db2.gz QIEIXHGJHAENMZ-AWEZNQCLSA-N 1 2 306.410 1.300 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001059016603 739632082 /nfs/dbraw/zinc/63/20/82/739632082.db2.gz KVJAZSRLXNHYNO-HNNXBMFYSA-N 1 2 310.361 1.253 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC001035397580 751467909 /nfs/dbraw/zinc/46/79/09/751467909.db2.gz GGDCUCPAZSBLPD-CQSZACIVSA-N 1 2 303.406 1.899 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC001035397580 751467912 /nfs/dbraw/zinc/46/79/12/751467912.db2.gz GGDCUCPAZSBLPD-CQSZACIVSA-N 1 2 303.406 1.899 20 30 DDEDLO C=C(C)[C@H](CC(=O)NC[C@@]1(C)C[N@H+](CC#CC)CCO1)OCC ZINC001107979874 751472018 /nfs/dbraw/zinc/47/20/18/751472018.db2.gz MGGBUGSXLIGFNT-WMZOPIPTSA-N 1 2 322.449 1.588 20 30 DDEDLO C=C(C)[C@H](CC(=O)NC[C@@]1(C)C[N@@H+](CC#CC)CCO1)OCC ZINC001107979874 751472022 /nfs/dbraw/zinc/47/20/22/751472022.db2.gz MGGBUGSXLIGFNT-WMZOPIPTSA-N 1 2 322.449 1.588 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn(C)nc2C2CCC2)C1 ZINC001035421522 751479873 /nfs/dbraw/zinc/47/98/73/751479873.db2.gz CEVIOUUIDNHIDX-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn(C)nc2C2CCC2)C1 ZINC001035421522 751479874 /nfs/dbraw/zinc/47/98/74/751479874.db2.gz CEVIOUUIDNHIDX-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001059103128 739961432 /nfs/dbraw/zinc/96/14/32/739961432.db2.gz BOVXGRAHAOSIPR-HNNXBMFYSA-N 1 2 310.361 1.322 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001059103128 739961436 /nfs/dbraw/zinc/96/14/36/739961436.db2.gz BOVXGRAHAOSIPR-HNNXBMFYSA-N 1 2 310.361 1.322 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001035466197 751551085 /nfs/dbraw/zinc/55/10/85/751551085.db2.gz NIMDVIMYEPXESX-CQSZACIVSA-N 1 2 319.405 1.411 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001035466197 751551087 /nfs/dbraw/zinc/55/10/87/751551087.db2.gz NIMDVIMYEPXESX-CQSZACIVSA-N 1 2 319.405 1.411 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@H]3CC[C@@H](C2)N3CC#N)c[nH+]1 ZINC001029356648 740595632 /nfs/dbraw/zinc/59/56/32/740595632.db2.gz RCNVXAGPVYNCLS-OKILXGFUSA-N 1 2 301.394 1.125 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@@H]2CCc3[nH+]c(C)[nH]c3C2)CCN1CC#N ZINC001087630897 740683537 /nfs/dbraw/zinc/68/35/37/740683537.db2.gz BLGATKRAAKBHEJ-IVMMDQJWSA-N 1 2 315.421 1.316 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@@H]2CCc3[nH]c(C)[nH+]c3C2)CCN1CC#N ZINC001087630897 740683540 /nfs/dbraw/zinc/68/35/40/740683540.db2.gz BLGATKRAAKBHEJ-IVMMDQJWSA-N 1 2 315.421 1.316 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccnc(C3CC3)n2)C1 ZINC001035526674 751605384 /nfs/dbraw/zinc/60/53/84/751605384.db2.gz LZSIUHSOGZXYBD-CQSZACIVSA-N 1 2 316.405 1.361 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccnc(C3CC3)n2)C1 ZINC001035526674 751605387 /nfs/dbraw/zinc/60/53/87/751605387.db2.gz LZSIUHSOGZXYBD-CQSZACIVSA-N 1 2 316.405 1.361 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@@H]2CCN(C(=O)C#CC3CC3)C2)s1 ZINC001029733580 741259868 /nfs/dbraw/zinc/25/98/68/741259868.db2.gz MHIWVZRAQUACET-AWEZNQCLSA-N 1 2 318.446 1.540 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@@H]2CCN(C(=O)C#CC3CC3)C2)s1 ZINC001029733580 741259871 /nfs/dbraw/zinc/25/98/71/741259871.db2.gz MHIWVZRAQUACET-AWEZNQCLSA-N 1 2 318.446 1.540 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)[C@@H]2CCCOC2)s1 ZINC001038104500 741267096 /nfs/dbraw/zinc/26/70/96/741267096.db2.gz NEPOHDONDPHJKZ-OLZOCXBDSA-N 1 2 319.430 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@@H]2CCCOC2)s1 ZINC001038104500 741267097 /nfs/dbraw/zinc/26/70/97/741267097.db2.gz NEPOHDONDPHJKZ-OLZOCXBDSA-N 1 2 319.430 1.737 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001035571248 751625253 /nfs/dbraw/zinc/62/52/53/751625253.db2.gz WINCIZOEXNVYRM-AWEZNQCLSA-N 1 2 303.406 1.510 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001035571248 751625256 /nfs/dbraw/zinc/62/52/56/751625256.db2.gz WINCIZOEXNVYRM-AWEZNQCLSA-N 1 2 303.406 1.510 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](C[N@@H+](C)Cc3ncnn3C)C2)C1 ZINC001029835882 741375213 /nfs/dbraw/zinc/37/52/13/741375213.db2.gz KKIACAHOXXACJL-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](C[N@H+](C)Cc3ncnn3C)C2)C1 ZINC001029835882 741375216 /nfs/dbraw/zinc/37/52/16/741375216.db2.gz KKIACAHOXXACJL-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCC[NH2+][C@H](C)c1noc(C)n1 ZINC001168101887 741447133 /nfs/dbraw/zinc/44/71/33/741447133.db2.gz ZIPRNGVRUKAMCM-XBFCOCLRSA-N 1 2 322.409 1.516 20 30 DDEDLO COC(=O)[C@H](Cc1ccc(C#N)cc1)[NH2+][C@H]1CCO[C@H](C)C1 ZINC001168102910 741447180 /nfs/dbraw/zinc/44/71/80/741447180.db2.gz HGRMIVVNUQZMFS-KCXAZCMYSA-N 1 2 302.374 1.799 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2n[nH]c3c2C[C@@H](C)CC3)C1 ZINC001035565346 751647072 /nfs/dbraw/zinc/64/70/72/751647072.db2.gz JMVWGSVBMFVHOP-QWHCGFSZSA-N 1 2 318.421 1.151 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2n[nH]c3c2C[C@@H](C)CC3)C1 ZINC001035565346 751647074 /nfs/dbraw/zinc/64/70/74/751647074.db2.gz JMVWGSVBMFVHOP-QWHCGFSZSA-N 1 2 318.421 1.151 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(C)nc2C2CC2)C1 ZINC001035594900 751650875 /nfs/dbraw/zinc/65/08/75/751650875.db2.gz GRJXDSQOAHWPTJ-AWEZNQCLSA-N 1 2 316.405 1.279 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc(C)nc2C2CC2)C1 ZINC001035594900 751650879 /nfs/dbraw/zinc/65/08/79/751650879.db2.gz GRJXDSQOAHWPTJ-AWEZNQCLSA-N 1 2 316.405 1.279 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001059824936 741773842 /nfs/dbraw/zinc/77/38/42/741773842.db2.gz PSFRDEQDDCIKHJ-RQJABVFESA-N 1 2 302.378 1.435 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3c(s2)CCOC3)C1 ZINC001035582490 751674940 /nfs/dbraw/zinc/67/49/40/751674940.db2.gz HTJUXWHUZBDCGH-ZDUSSCGKSA-N 1 2 322.430 1.437 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc3c(s2)CCOC3)C1 ZINC001035582490 751674942 /nfs/dbraw/zinc/67/49/42/751674942.db2.gz HTJUXWHUZBDCGH-ZDUSSCGKSA-N 1 2 322.430 1.437 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)nsc2C)C1 ZINC001035617006 751723169 /nfs/dbraw/zinc/72/31/69/751723169.db2.gz QDZLTKLUFFDPNR-ZDUSSCGKSA-N 1 2 309.435 1.767 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)nsc2C)C1 ZINC001035617006 751723175 /nfs/dbraw/zinc/72/31/75/751723175.db2.gz QDZLTKLUFFDPNR-ZDUSSCGKSA-N 1 2 309.435 1.767 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C)CCNC(=O)C#CC1CC1 ZINC001076431748 742729329 /nfs/dbraw/zinc/72/93/29/742729329.db2.gz NHHAJTPRZWDOIH-OLZOCXBDSA-N 1 2 316.405 1.013 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C)CCNC(=O)C#CC1CC1 ZINC001076431748 742729332 /nfs/dbraw/zinc/72/93/32/742729332.db2.gz NHHAJTPRZWDOIH-OLZOCXBDSA-N 1 2 316.405 1.013 20 30 DDEDLO COc1cc(C[NH+]2CC3(C2)CCN(C(=O)[C@H](C)C#N)CC3)on1 ZINC001035670421 751776445 /nfs/dbraw/zinc/77/64/45/751776445.db2.gz FUYHPJCLVCHZKT-GFCCVEGCSA-N 1 2 318.377 1.267 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(Cl)cc2)C[C@H]1O ZINC001076755580 742971021 /nfs/dbraw/zinc/97/10/21/742971021.db2.gz GWRORRDZAYCIBV-HZPDHXFCSA-N 1 2 320.820 1.509 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(Cl)cc2)C[C@H]1O ZINC001076755580 742971029 /nfs/dbraw/zinc/97/10/29/742971029.db2.gz GWRORRDZAYCIBV-HZPDHXFCSA-N 1 2 320.820 1.509 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)C=C3CCC3)C2)s1 ZINC001076867876 743066581 /nfs/dbraw/zinc/06/65/81/743066581.db2.gz NJNHZOUBGZVHMD-HUUCEWRRSA-N 1 2 317.414 1.391 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)C=C3CCC3)C2)s1 ZINC001076867876 743066588 /nfs/dbraw/zinc/06/65/88/743066588.db2.gz NJNHZOUBGZVHMD-HUUCEWRRSA-N 1 2 317.414 1.391 20 30 DDEDLO CC1(C)C[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001076895311 743081072 /nfs/dbraw/zinc/08/10/72/743081072.db2.gz HMRXTNRPOIJTFN-BFHYXJOUSA-N 1 2 319.430 1.327 20 30 DDEDLO CC1(C)C[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001076895311 743081083 /nfs/dbraw/zinc/08/10/83/743081083.db2.gz HMRXTNRPOIJTFN-BFHYXJOUSA-N 1 2 319.430 1.327 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CCOc2c(F)cccc21 ZINC001038507916 743108198 /nfs/dbraw/zinc/10/81/98/743108198.db2.gz RZNVPLAZNYLZNE-OCCSQVGLSA-N 1 2 302.349 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CCOc2c(F)cccc21 ZINC001038507916 743108203 /nfs/dbraw/zinc/10/82/03/743108203.db2.gz RZNVPLAZNYLZNE-OCCSQVGLSA-N 1 2 302.349 1.516 20 30 DDEDLO Cc1cnc(C[NH+]2CCC(NC(=O)c3c[nH]c(C#N)c3)CC2)nc1 ZINC001002649038 743297449 /nfs/dbraw/zinc/29/74/49/743297449.db2.gz PTOKPFYQHQGBMR-UHFFFAOYSA-N 1 2 324.388 1.379 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@H]2CCc3c[nH+]cn3C2)C[C@@]1(C)CNCC#N ZINC001181951714 743407974 /nfs/dbraw/zinc/40/79/74/743407974.db2.gz FTPNFLAOEUTQMH-JKIFEVAISA-N 1 2 315.421 1.043 20 30 DDEDLO CC[N@@H+]1CCCC[C@H]1C(=O)Nc1c(C#N)cnn1C(=O)OC ZINC001182001424 743430024 /nfs/dbraw/zinc/43/00/24/743430024.db2.gz SDEYORYTJZKCPV-NSHDSACASA-N 1 2 305.338 1.182 20 30 DDEDLO CC[N@H+]1CCCC[C@H]1C(=O)Nc1c(C#N)cnn1C(=O)OC ZINC001182001424 743430030 /nfs/dbraw/zinc/43/00/30/743430030.db2.gz SDEYORYTJZKCPV-NSHDSACASA-N 1 2 305.338 1.182 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CCc2cc(C)ccc2O1 ZINC001038511447 743457828 /nfs/dbraw/zinc/45/78/28/743457828.db2.gz NQLSFSPKWRWGDS-SJLPKXTDSA-N 1 2 312.413 1.902 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CCc2cc(C)ccc2O1 ZINC001038511447 743457831 /nfs/dbraw/zinc/45/78/31/743457831.db2.gz NQLSFSPKWRWGDS-SJLPKXTDSA-N 1 2 312.413 1.902 20 30 DDEDLO COc1nc(C)c(N[C@@H]2CCC[N@@H+](C3COC3)C2)c(C)c1C#N ZINC001168369470 743474518 /nfs/dbraw/zinc/47/45/18/743474518.db2.gz CCTSTUIGTWHKLE-CYBMUJFWSA-N 1 2 316.405 1.854 20 30 DDEDLO COc1nc(C)c(N[C@@H]2CCC[N@H+](C3COC3)C2)c(C)c1C#N ZINC001168369470 743474522 /nfs/dbraw/zinc/47/45/22/743474522.db2.gz CCTSTUIGTWHKLE-CYBMUJFWSA-N 1 2 316.405 1.854 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)[C@@H](O)C1 ZINC001083584821 743481177 /nfs/dbraw/zinc/48/11/77/743481177.db2.gz PBIIKFGTHPWCFG-OLZOCXBDSA-N 1 2 310.756 1.196 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)[C@@H](O)C1 ZINC001083584821 743481181 /nfs/dbraw/zinc/48/11/81/743481181.db2.gz PBIIKFGTHPWCFG-OLZOCXBDSA-N 1 2 310.756 1.196 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[NH2+][C@H](C)c1ncc(C(C)(C)C)o1 ZINC001127051613 743676104 /nfs/dbraw/zinc/67/61/04/743676104.db2.gz KAHVUZRZCSKBNH-CHWSQXEVSA-N 1 2 321.421 1.777 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001182819106 743731581 /nfs/dbraw/zinc/73/15/81/743731581.db2.gz WBVNLWSNUXPPPQ-CQSZACIVSA-N 1 2 304.394 1.837 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCC[C@@H]1C(=O)N[C@H]1C[C@H](O)C1 ZINC001183838924 743916749 /nfs/dbraw/zinc/91/67/49/743916749.db2.gz NVGLKEOSKCZUCB-BRWVUGGUSA-N 1 2 313.401 1.552 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCC[C@@H]1C(=O)N[C@H]1C[C@H](O)C1 ZINC001183838924 743916751 /nfs/dbraw/zinc/91/67/51/743916751.db2.gz NVGLKEOSKCZUCB-BRWVUGGUSA-N 1 2 313.401 1.552 20 30 DDEDLO COc1cccc(C[NH+]2CC(NC(=O)c3cc(C#N)c[nH]3)C2)c1C ZINC001030195215 743927665 /nfs/dbraw/zinc/92/76/65/743927665.db2.gz YGPCMNATYGVYKO-UHFFFAOYSA-N 1 2 324.384 1.818 20 30 DDEDLO C=C(CC(=O)OCCCC)C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC001184314012 744013203 /nfs/dbraw/zinc/01/32/03/744013203.db2.gz XKNQZYUPUJKSQV-AWEZNQCLSA-N 1 2 312.410 1.113 20 30 DDEDLO C=C(CC(=O)OCCCC)C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC001184314012 744013204 /nfs/dbraw/zinc/01/32/04/744013204.db2.gz XKNQZYUPUJKSQV-AWEZNQCLSA-N 1 2 312.410 1.113 20 30 DDEDLO C=CCC1(O)C[NH+](Cc2c(C)nn(CC(=O)OC(C)C)c2C)C1 ZINC001184337849 744025367 /nfs/dbraw/zinc/02/53/67/744025367.db2.gz ITTOEJZOYVNPCW-UHFFFAOYSA-N 1 2 321.421 1.574 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cn2c(=O)[nH]c3cc(F)ccc32)CC1 ZINC001184508914 744059274 /nfs/dbraw/zinc/05/92/74/744059274.db2.gz HSUCZGLFYFPYRH-UHFFFAOYSA-N 1 2 318.352 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C(C)(C)C=C)C2)nn1 ZINC001185985026 744317346 /nfs/dbraw/zinc/31/73/46/744317346.db2.gz VKHTYPHXJRPZKO-OAHLLOKOSA-N 1 2 315.421 1.377 20 30 DDEDLO C=CCCCC(=O)NC[C@H](O)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001106075059 744361061 /nfs/dbraw/zinc/36/10/61/744361061.db2.gz XOTDXYSKDITXNN-CQSZACIVSA-N 1 2 318.421 1.908 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2ccc3c(c2)occc3=O)[C@H]1C ZINC001088985362 744429170 /nfs/dbraw/zinc/42/91/70/744429170.db2.gz UVUGQVWJZYQHIS-DOMZBBRYSA-N 1 2 310.353 1.619 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3c(c2)occc3=O)[C@H]1C ZINC001088985362 744429172 /nfs/dbraw/zinc/42/91/72/744429172.db2.gz UVUGQVWJZYQHIS-DOMZBBRYSA-N 1 2 310.353 1.619 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3nocc3C)[C@@H]2C)nc1 ZINC001088990558 744433883 /nfs/dbraw/zinc/43/38/83/744433883.db2.gz CYVMCTSKGLDXTI-HIFRSBDPSA-N 1 2 324.384 1.752 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3nocc3C)[C@@H]2C)nc1 ZINC001088990558 744433885 /nfs/dbraw/zinc/43/38/85/744433885.db2.gz CYVMCTSKGLDXTI-HIFRSBDPSA-N 1 2 324.384 1.752 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCN2C(C)=O)[C@H]1C ZINC001089065035 744480668 /nfs/dbraw/zinc/48/06/68/744480668.db2.gz JGNMJVYRENYYBN-KWCYVHTRSA-N 1 2 313.829 1.329 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCN2C(C)=O)[C@H]1C ZINC001089065035 744480670 /nfs/dbraw/zinc/48/06/70/744480670.db2.gz JGNMJVYRENYYBN-KWCYVHTRSA-N 1 2 313.829 1.329 20 30 DDEDLO COCC#CC[NH2+][C@@H]1CCN(C(=O)c2[nH]nc3ccccc32)C1 ZINC001187543314 744578184 /nfs/dbraw/zinc/57/81/84/744578184.db2.gz YCXXVOLBLJEQBX-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C[C@H](F)CC)C[C@H]2O)cc1 ZINC001077493874 744620044 /nfs/dbraw/zinc/62/00/44/744620044.db2.gz OQAKSPWTLGMAHN-BZUAXINKSA-N 1 2 304.365 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C[C@H](F)CC)C[C@H]2O)cc1 ZINC001077493874 744620047 /nfs/dbraw/zinc/62/00/47/744620047.db2.gz OQAKSPWTLGMAHN-BZUAXINKSA-N 1 2 304.365 1.191 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC001046031981 744641181 /nfs/dbraw/zinc/64/11/81/744641181.db2.gz YDXFZLVSNVEXDM-VXGBXAGGSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC001046031981 744641183 /nfs/dbraw/zinc/64/11/83/744641183.db2.gz YDXFZLVSNVEXDM-VXGBXAGGSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)C1 ZINC001046031981 744641186 /nfs/dbraw/zinc/64/11/86/744641186.db2.gz YDXFZLVSNVEXDM-VXGBXAGGSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)C1 ZINC001046031981 744641187 /nfs/dbraw/zinc/64/11/87/744641187.db2.gz YDXFZLVSNVEXDM-VXGBXAGGSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1CNC(=O)c1ncc(C(F)(F)F)[nH]1 ZINC001188295513 744680396 /nfs/dbraw/zinc/68/03/96/744680396.db2.gz GUVCAEMWIVTLJU-VIFPVBQESA-N 1 2 302.300 1.809 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1ncc(C(F)(F)F)[nH]1 ZINC001188295513 744680399 /nfs/dbraw/zinc/68/03/99/744680399.db2.gz GUVCAEMWIVTLJU-VIFPVBQESA-N 1 2 302.300 1.809 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+]Cc2ncc(CC)o2)C1 ZINC001188287710 744687504 /nfs/dbraw/zinc/68/75/04/744687504.db2.gz PEUNMFKSBGOXEC-CYBMUJFWSA-N 1 2 307.394 1.520 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2ncc(C3CC3)o2)C1 ZINC001188309833 744687723 /nfs/dbraw/zinc/68/77/23/744687723.db2.gz IZBBIZURHYMSPV-TZMCWYRMSA-N 1 2 319.405 1.834 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+](C)[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001188966018 744801485 /nfs/dbraw/zinc/80/14/85/744801485.db2.gz SAIAIBQIDFWXBF-QWHCGFSZSA-N 1 2 319.434 1.327 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+](C)[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001188966018 744801488 /nfs/dbraw/zinc/80/14/88/744801488.db2.gz SAIAIBQIDFWXBF-QWHCGFSZSA-N 1 2 319.434 1.327 20 30 DDEDLO Cn1cncc1C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001015136654 744802722 /nfs/dbraw/zinc/80/27/22/744802722.db2.gz ORCILVMFAVEQJY-NSHDSACASA-N 1 2 315.402 1.358 20 30 DDEDLO Cn1cncc1C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001015136654 744802723 /nfs/dbraw/zinc/80/27/23/744802723.db2.gz ORCILVMFAVEQJY-NSHDSACASA-N 1 2 315.402 1.358 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc(CCC(N)=O)cc2)C1 ZINC001030931796 744869534 /nfs/dbraw/zinc/86/95/34/744869534.db2.gz ZETZSBPXSIZXQJ-UHFFFAOYSA-N 1 2 301.390 1.095 20 30 DDEDLO Cc1nc(Cl)c(C#N)c(NCC2([NH+]3CCCC3)COC2)n1 ZINC001168442805 744897428 /nfs/dbraw/zinc/89/74/28/744897428.db2.gz RGBNEJXWJHVZJP-UHFFFAOYSA-N 1 2 307.785 1.587 20 30 DDEDLO Cc1nnc(C(=O)NC2(C#N)CC[NH+](Cc3ccccc3)CC2)[nH]1 ZINC001189870129 745009346 /nfs/dbraw/zinc/00/93/46/745009346.db2.gz DQEGJQHHFZMFDZ-UHFFFAOYSA-N 1 2 324.388 1.401 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)s2)C1 ZINC001190104507 745101372 /nfs/dbraw/zinc/10/13/72/745101372.db2.gz GDQPRHPKJYQQCO-UONOGXRCSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)s2)C1 ZINC001190104507 745101377 /nfs/dbraw/zinc/10/13/77/745101377.db2.gz GDQPRHPKJYQQCO-UONOGXRCSA-N 1 2 321.446 1.523 20 30 DDEDLO CCCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001191033463 745410104 /nfs/dbraw/zinc/41/01/04/745410104.db2.gz JLMJVCHRLJOFKF-IAGOWNOFSA-N 1 2 315.417 1.800 20 30 DDEDLO CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001191033463 745410106 /nfs/dbraw/zinc/41/01/06/745410106.db2.gz JLMJVCHRLJOFKF-IAGOWNOFSA-N 1 2 315.417 1.800 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001191106377 745424962 /nfs/dbraw/zinc/42/49/62/745424962.db2.gz ZAAHOLGILNTSHB-IUIKQTSFSA-N 1 2 319.380 1.405 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001191106377 745424966 /nfs/dbraw/zinc/42/49/66/745424966.db2.gz ZAAHOLGILNTSHB-IUIKQTSFSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNc1[nH+]cnc2c1cnn2C ZINC001106298813 745568946 /nfs/dbraw/zinc/56/89/46/745568946.db2.gz BARQAEFQSKWBLG-LBPRGKRZSA-N 1 2 314.393 1.329 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106548098 745789268 /nfs/dbraw/zinc/78/92/68/745789268.db2.gz QTZIUGSFGHLBSI-LBPRGKRZSA-N 1 2 320.441 1.909 20 30 DDEDLO CC[C@@H](C)CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001192510704 745802336 /nfs/dbraw/zinc/80/23/36/745802336.db2.gz PUTRKPSSOVRXMM-KCPJHIHWSA-N 1 2 321.446 1.717 20 30 DDEDLO CC[C@@H](C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001192510704 745802342 /nfs/dbraw/zinc/80/23/42/745802342.db2.gz PUTRKPSSOVRXMM-KCPJHIHWSA-N 1 2 321.446 1.717 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3cncs3)C2)[nH]1 ZINC001007152864 752039303 /nfs/dbraw/zinc/03/93/03/752039303.db2.gz SDAYDKIDIQNOEP-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3cncs3)C2)[nH]1 ZINC001007152864 752039310 /nfs/dbraw/zinc/03/93/10/752039310.db2.gz SDAYDKIDIQNOEP-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C2)o1 ZINC001192871198 745909417 /nfs/dbraw/zinc/90/94/17/745909417.db2.gz LRYBAHNLKDGMHR-ZIAGYGMSSA-N 1 2 319.405 1.002 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C2)o1 ZINC001192871198 745909418 /nfs/dbraw/zinc/90/94/18/745909418.db2.gz LRYBAHNLKDGMHR-ZIAGYGMSSA-N 1 2 319.405 1.002 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)OC2CCCCC2)C1 ZINC001192916292 745927728 /nfs/dbraw/zinc/92/77/28/745927728.db2.gz KLJLPFKNBNENPH-BRWVUGGUSA-N 1 2 322.449 1.299 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)OC2CCCCC2)C1 ZINC001192916292 745927729 /nfs/dbraw/zinc/92/77/29/745927729.db2.gz KLJLPFKNBNENPH-BRWVUGGUSA-N 1 2 322.449 1.299 20 30 DDEDLO N#CCS(=O)(=O)NCc1c[nH+]cn1Cc1cccc(Cl)c1 ZINC001193001464 745947506 /nfs/dbraw/zinc/94/75/06/745947506.db2.gz QAULHDUJEMJGDM-UHFFFAOYSA-N 1 2 324.793 1.528 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cnn(C(CC)CC)c2C)C1 ZINC001031231285 745948030 /nfs/dbraw/zinc/94/80/30/745948030.db2.gz WJYMPPSCQIVFED-UHFFFAOYSA-N 1 2 302.422 1.990 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC1C[NH+](CC[C@@H](C)F)C1 ZINC001031231790 745951079 /nfs/dbraw/zinc/95/10/79/745951079.db2.gz LMJLZKCZHGTIMU-GFCCVEGCSA-N 1 2 307.369 1.809 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001193390548 746087543 /nfs/dbraw/zinc/08/75/43/746087543.db2.gz BYMBTBSXRCTMPM-ZIAGYGMSSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001193390548 746087546 /nfs/dbraw/zinc/08/75/46/746087546.db2.gz BYMBTBSXRCTMPM-ZIAGYGMSSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](Cc2ccc(C(=O)OC)cc2)CC1 ZINC001194304621 746330340 /nfs/dbraw/zinc/33/03/40/746330340.db2.gz OSWNSRRRUCKHLI-UHFFFAOYSA-N 1 2 318.373 1.913 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001194396521 746366133 /nfs/dbraw/zinc/36/61/33/746366133.db2.gz DZUYCEKZIAKISO-UKRRQHHQSA-N 1 2 306.410 1.044 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001194396521 746366139 /nfs/dbraw/zinc/36/61/39/746366139.db2.gz DZUYCEKZIAKISO-UKRRQHHQSA-N 1 2 306.410 1.044 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001007305274 752086893 /nfs/dbraw/zinc/08/68/93/752086893.db2.gz WGDLADVSCSWCHV-INIZCTEOSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001007305274 752086902 /nfs/dbraw/zinc/08/69/02/752086902.db2.gz WGDLADVSCSWCHV-INIZCTEOSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCCN(C(=O)CSCC#N)CC2)o1 ZINC001194918989 746486241 /nfs/dbraw/zinc/48/62/41/746486241.db2.gz FYFPOTUXUWQACM-UHFFFAOYSA-N 1 2 308.407 1.274 20 30 DDEDLO Cc1ncc(C[N@H+]2CCCN(C(=O)CSCC#N)CC2)o1 ZINC001194918989 746486245 /nfs/dbraw/zinc/48/62/45/746486245.db2.gz FYFPOTUXUWQACM-UHFFFAOYSA-N 1 2 308.407 1.274 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2nc(C)sc2C)CC1 ZINC001195314877 746575428 /nfs/dbraw/zinc/57/54/28/746575428.db2.gz VFYZZGFGOROUSS-UHFFFAOYSA-N 1 2 323.462 1.997 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2nc(C)sc2C)CC1 ZINC001195314877 746575431 /nfs/dbraw/zinc/57/54/31/746575431.db2.gz VFYZZGFGOROUSS-UHFFFAOYSA-N 1 2 323.462 1.997 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1O ZINC001195566729 746654969 /nfs/dbraw/zinc/65/49/69/746654969.db2.gz CDPMUYFZYHYVTL-MGPQQGTHSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1O ZINC001195566729 746654971 /nfs/dbraw/zinc/65/49/71/746654971.db2.gz CDPMUYFZYHYVTL-MGPQQGTHSA-N 1 2 307.394 1.247 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@H+](Cc3ccc(C#N)s3)C[C@H]2O)CC1 ZINC001195955024 746749057 /nfs/dbraw/zinc/74/90/57/746749057.db2.gz PSZNXNCVPCCQJK-ZIAGYGMSSA-N 1 2 319.430 1.471 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccc(C#N)s3)C[C@H]2O)CC1 ZINC001195955024 746749059 /nfs/dbraw/zinc/74/90/59/746749059.db2.gz PSZNXNCVPCCQJK-ZIAGYGMSSA-N 1 2 319.430 1.471 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCCC2(C)C)CC1 ZINC001196790967 746964181 /nfs/dbraw/zinc/96/41/81/746964181.db2.gz RZRTVVNTKRCVGJ-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCCC2(C)C)CC1 ZINC001196790967 746964184 /nfs/dbraw/zinc/96/41/84/746964184.db2.gz RZRTVVNTKRCVGJ-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)N2CCC2)CC1 ZINC001196853086 746991040 /nfs/dbraw/zinc/99/10/40/746991040.db2.gz GTCGNNNLEYDVMR-HUUCEWRRSA-N 1 2 307.438 1.211 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)N2CCC2)CC1 ZINC001196853086 746991044 /nfs/dbraw/zinc/99/10/44/746991044.db2.gz GTCGNNNLEYDVMR-HUUCEWRRSA-N 1 2 307.438 1.211 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001196855041 746991947 /nfs/dbraw/zinc/99/19/47/746991947.db2.gz XLPOOSWVZQCILK-CABCVRRESA-N 1 2 309.454 1.505 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001196855041 746991954 /nfs/dbraw/zinc/99/19/54/746991954.db2.gz XLPOOSWVZQCILK-CABCVRRESA-N 1 2 309.454 1.505 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001197092473 747047821 /nfs/dbraw/zinc/04/78/21/747047821.db2.gz MDBRLBFBNAETEV-ARFHVFGLSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001197092473 747047827 /nfs/dbraw/zinc/04/78/27/747047827.db2.gz MDBRLBFBNAETEV-ARFHVFGLSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@@H+]([C@H]3CCNC3=O)CC2)CC1 ZINC001197061517 747050456 /nfs/dbraw/zinc/05/04/56/747050456.db2.gz DCUGCYFTZORTKS-AWEZNQCLSA-N 1 2 305.422 1.156 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@H+]([C@H]3CCNC3=O)CC2)CC1 ZINC001197061517 747050461 /nfs/dbraw/zinc/05/04/61/747050461.db2.gz DCUGCYFTZORTKS-AWEZNQCLSA-N 1 2 305.422 1.156 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2ccc(F)nc2)C1 ZINC001031581682 747098219 /nfs/dbraw/zinc/09/82/19/747098219.db2.gz HMVAOFOHQWAOIA-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001007473936 752159311 /nfs/dbraw/zinc/15/93/11/752159311.db2.gz RVMOVWBEHWKSJL-AWEZNQCLSA-N 1 2 310.829 1.604 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001007473936 752159313 /nfs/dbraw/zinc/15/93/13/752159313.db2.gz RVMOVWBEHWKSJL-AWEZNQCLSA-N 1 2 310.829 1.604 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2ncc(C)o2)CC1 ZINC001003649901 747355408 /nfs/dbraw/zinc/35/54/08/747355408.db2.gz NQTJMUSQEGAYHV-CABCVRRESA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ccnn2CC(C)C)C1 ZINC001031703341 747443747 /nfs/dbraw/zinc/44/37/47/747443747.db2.gz CYUJQVKEVZCMPT-UHFFFAOYSA-N 1 2 310.829 1.953 20 30 DDEDLO C#CCC[NH+]1CC(CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001031719831 747521949 /nfs/dbraw/zinc/52/19/49/747521949.db2.gz LHHWDIVNNNIGSM-UHFFFAOYSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C(CC)(CC)c2ccccc2)C1 ZINC001198933778 747653606 /nfs/dbraw/zinc/65/36/06/747653606.db2.gz JEMNZHJQPUCULY-IAGOWNOFSA-N 1 2 314.429 1.539 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(CC)(CC)c2ccccc2)C1 ZINC001198933778 747653609 /nfs/dbraw/zinc/65/36/09/747653609.db2.gz JEMNZHJQPUCULY-IAGOWNOFSA-N 1 2 314.429 1.539 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](C[C@@H](F)CC)C[C@H]2O)CCCCC1 ZINC001199277885 747787376 /nfs/dbraw/zinc/78/73/76/747787376.db2.gz FXUJNPPPTZOFQR-ARFHVFGLSA-N 1 2 324.440 1.870 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](C[C@@H](F)CC)C[C@H]2O)CCCCC1 ZINC001199277885 747787382 /nfs/dbraw/zinc/78/73/82/747787382.db2.gz FXUJNPPPTZOFQR-ARFHVFGLSA-N 1 2 324.440 1.870 20 30 DDEDLO CC(C)(C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110531659 747810248 /nfs/dbraw/zinc/81/02/48/747810248.db2.gz JDVCWHTWAZITHX-KFWWJZLASA-N 1 2 317.433 1.036 20 30 DDEDLO CC(C)(C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110531659 747810255 /nfs/dbraw/zinc/81/02/55/747810255.db2.gz JDVCWHTWAZITHX-KFWWJZLASA-N 1 2 317.433 1.036 20 30 DDEDLO Cc1nccnc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)C)C2 ZINC001110537881 747919560 /nfs/dbraw/zinc/91/95/60/747919560.db2.gz CQAJGLPGGIMDDS-INMHGKMJSA-N 1 2 312.417 1.666 20 30 DDEDLO Cc1nccnc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)C)C2 ZINC001110537881 747919563 /nfs/dbraw/zinc/91/95/63/747919563.db2.gz CQAJGLPGGIMDDS-INMHGKMJSA-N 1 2 312.417 1.666 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001124495998 748288376 /nfs/dbraw/zinc/28/83/76/748288376.db2.gz URYYJJRUAIOSIS-RYUDHWBXSA-N 1 2 322.409 1.695 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1C[NH+]([C@H](C)c2cnccn2)C1 ZINC001031986668 748360423 /nfs/dbraw/zinc/36/04/23/748360423.db2.gz BLZLKNGQYOEPBT-XPKDYRNWSA-N 1 2 316.405 1.177 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnc(Cl)n2C)C1 ZINC001108071102 748405878 /nfs/dbraw/zinc/40/58/78/748405878.db2.gz NAIVYJDFSWSHHF-AWEZNQCLSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnc(Cl)n2C)C1 ZINC001108071102 748405881 /nfs/dbraw/zinc/40/58/81/748405881.db2.gz NAIVYJDFSWSHHF-AWEZNQCLSA-N 1 2 312.801 1.080 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC001004422230 748415928 /nfs/dbraw/zinc/41/59/28/748415928.db2.gz WSTAXDCHFCYJHZ-MRXNPFEDSA-N 1 2 311.389 1.727 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC001004422230 748415932 /nfs/dbraw/zinc/41/59/32/748415932.db2.gz WSTAXDCHFCYJHZ-MRXNPFEDSA-N 1 2 311.389 1.727 20 30 DDEDLO Cn1ccc(CC(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004436167 748422438 /nfs/dbraw/zinc/42/24/38/748422438.db2.gz DROYPGXSXJORBE-MRXNPFEDSA-N 1 2 315.421 1.189 20 30 DDEDLO Cn1ccc(CC(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004436167 748422442 /nfs/dbraw/zinc/42/24/42/748422442.db2.gz DROYPGXSXJORBE-MRXNPFEDSA-N 1 2 315.421 1.189 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cnc(C3CC3)[nH]c2=O)C1 ZINC001032032546 748470467 /nfs/dbraw/zinc/47/04/67/748470467.db2.gz AKWYOMYJMAHHLC-UHFFFAOYSA-N 1 2 322.796 1.474 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[NH+](C(C)(C)C)CC2)cc1C#N ZINC001201310380 748473703 /nfs/dbraw/zinc/47/37/03/748473703.db2.gz OZABEYMSBUXZCL-UHFFFAOYSA-N 1 2 321.446 1.972 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC001004610942 748586600 /nfs/dbraw/zinc/58/66/00/748586600.db2.gz TVIWFVFQGSCZAW-HNNXBMFYSA-N 1 2 314.389 1.627 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC001004610942 748586606 /nfs/dbraw/zinc/58/66/06/748586606.db2.gz TVIWFVFQGSCZAW-HNNXBMFYSA-N 1 2 314.389 1.627 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+]Cc1nnc(C(C)C)o1)OCC ZINC001128528015 748739513 /nfs/dbraw/zinc/73/95/13/748739513.db2.gz HTNFKSWOXXWMBK-CYBMUJFWSA-N 1 2 324.425 1.770 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+][C@@H](C)c1nnc(CC)o1)OCC ZINC001128540016 748765382 /nfs/dbraw/zinc/76/53/82/748765382.db2.gz NYRBVNHOXHMNQK-STQMWFEESA-N 1 2 324.425 1.770 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncs2)[C@H](O)C1 ZINC001090113976 748835724 /nfs/dbraw/zinc/83/57/24/748835724.db2.gz NFHWSUZTDNLUAK-VHSXEESVSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncs2)[C@H](O)C1 ZINC001090113976 748835727 /nfs/dbraw/zinc/83/57/27/748835727.db2.gz NFHWSUZTDNLUAK-VHSXEESVSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc(C(N)=O)cn2C)C1 ZINC001033234128 749008145 /nfs/dbraw/zinc/00/81/45/749008145.db2.gz AMUYCHHSWVTULG-LBPRGKRZSA-N 1 2 324.812 1.023 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(C(N)=O)cn2C)C1 ZINC001033234128 749008151 /nfs/dbraw/zinc/00/81/51/749008151.db2.gz AMUYCHHSWVTULG-LBPRGKRZSA-N 1 2 324.812 1.023 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2csc(Cl)n2)C1 ZINC001108325051 761916142 /nfs/dbraw/zinc/91/61/42/761916142.db2.gz KPFQBZSBBYIHRB-CYBMUJFWSA-N 1 2 315.826 1.803 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2csc(Cl)n2)C1 ZINC001108325051 761916146 /nfs/dbraw/zinc/91/61/46/761916146.db2.gz KPFQBZSBBYIHRB-CYBMUJFWSA-N 1 2 315.826 1.803 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114340951 749088946 /nfs/dbraw/zinc/08/89/46/749088946.db2.gz PTKSVHBLEVCGSZ-PBOSXPJTSA-N 1 2 317.437 1.823 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114340951 749088952 /nfs/dbraw/zinc/08/89/52/749088952.db2.gz PTKSVHBLEVCGSZ-PBOSXPJTSA-N 1 2 317.437 1.823 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@](C)(C=C)CCOC)C1 ZINC001108098869 749100029 /nfs/dbraw/zinc/10/00/29/749100029.db2.gz BHCUBTFOPHMJRJ-IAGOWNOFSA-N 1 2 310.438 1.608 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@](C)(C=C)CCOC)C1 ZINC001108098869 749100032 /nfs/dbraw/zinc/10/00/32/749100032.db2.gz BHCUBTFOPHMJRJ-IAGOWNOFSA-N 1 2 310.438 1.608 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cccc(=O)[nH]2)CC1 ZINC001000548523 761920477 /nfs/dbraw/zinc/92/04/77/761920477.db2.gz BFIVKGBVLYIIKU-UHFFFAOYSA-N 1 2 307.781 1.902 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cccc(=O)[nH]2)CC1 ZINC001000548523 761920484 /nfs/dbraw/zinc/92/04/84/761920484.db2.gz BFIVKGBVLYIIKU-UHFFFAOYSA-N 1 2 307.781 1.902 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CC(C)(C)C)nn2)C1 ZINC001107129093 749189481 /nfs/dbraw/zinc/18/94/81/749189481.db2.gz GKMWHUGKKWOOIJ-UHFFFAOYSA-N 1 2 305.426 1.763 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@H]2CN(C(=O)C#CC3CC3)CC2(C)C)o1 ZINC000995816778 749259185 /nfs/dbraw/zinc/25/91/85/749259185.db2.gz UKEFQXGMMZYAEA-FZMZJTMJSA-N 1 2 316.405 1.679 20 30 DDEDLO C[C@H]1C[NH+](Cc2cnnn2-c2ccccc2)C[C@H](C)N1CC#N ZINC000878772943 749356662 /nfs/dbraw/zinc/35/66/62/749356662.db2.gz URSAKYCMMKWEAT-GJZGRUSLSA-N 1 2 310.405 1.685 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cc[nH]c(=O)c2)CC1 ZINC001000572178 761950144 /nfs/dbraw/zinc/95/01/44/761950144.db2.gz JFYIWLJDFMCGEA-UHFFFAOYSA-N 1 2 307.781 1.902 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cc[nH]c(=O)c2)CC1 ZINC001000572178 761950152 /nfs/dbraw/zinc/95/01/52/761950152.db2.gz JFYIWLJDFMCGEA-UHFFFAOYSA-N 1 2 307.781 1.902 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C(C)=C3CCC3)nn2)C1 ZINC001107167715 749474320 /nfs/dbraw/zinc/47/43/20/749474320.db2.gz IBMDVYNRZONCFI-UHFFFAOYSA-N 1 2 315.421 1.828 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@H+](CC(=C)Cl)C2)nc1 ZINC001033594065 749580619 /nfs/dbraw/zinc/58/06/19/749580619.db2.gz RLXAKRDCMVTKAU-CQSZACIVSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@@H+](CC(=C)Cl)C2)nc1 ZINC001033594065 749580620 /nfs/dbraw/zinc/58/06/20/749580620.db2.gz RLXAKRDCMVTKAU-CQSZACIVSA-N 1 2 303.793 1.962 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C3(C)CC=CC3)nn2)C1 ZINC001107204302 749587334 /nfs/dbraw/zinc/58/73/34/749587334.db2.gz AABWFFSPDQHURR-UHFFFAOYSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3(CF)CCC3)nn2)C1 ZINC001107212177 749610666 /nfs/dbraw/zinc/61/06/66/749610666.db2.gz RABPQNMKUWSBPU-UHFFFAOYSA-N 1 2 321.400 1.467 20 30 DDEDLO N#Cc1cnccc1NC/C=C\CNC(=O)CCCn1cc[nH+]c1 ZINC001107237293 749679134 /nfs/dbraw/zinc/67/91/34/749679134.db2.gz JTCXHEHKHNSPJF-UPHRSURJSA-N 1 2 324.388 1.136 20 30 DDEDLO Cc1nsc(NC/C=C\CNC(=O)Cn2cc[nH+]c2)c1C#N ZINC001107240783 749682703 /nfs/dbraw/zinc/68/27/03/749682703.db2.gz RTIQZHDUZYTFOU-IHWYPQMZSA-N 1 2 316.390 1.304 20 30 DDEDLO N#Cc1ccc(N2CCC(CNC(=O)Cc3c[nH]c[nH+]3)CC2)nc1 ZINC001095383240 749684914 /nfs/dbraw/zinc/68/49/14/749684914.db2.gz UIWIKFYRBDOTAF-UHFFFAOYSA-N 1 2 324.388 1.252 20 30 DDEDLO Cc1nc(NC/C=C/CNC(=O)Cc2c[nH+]cn2C)ccc1C#N ZINC001107262618 749701021 /nfs/dbraw/zinc/70/10/21/749701021.db2.gz CSMNMDAHCSSDBY-ONEGZZNKSA-N 1 2 324.388 1.322 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)c3ccc(C#N)[nH]3)CC2)cc[nH+]1 ZINC001066738206 749854674 /nfs/dbraw/zinc/85/46/74/749854674.db2.gz MKQCGXFCJWXCFG-ZDUSSCGKSA-N 1 2 324.388 1.774 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cnn(C)c2N)CC1 ZINC001000608790 761995004 /nfs/dbraw/zinc/99/50/04/761995004.db2.gz KVXUHHHGEPZUHE-UHFFFAOYSA-N 1 2 309.801 1.117 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cnn(C)c2N)CC1 ZINC001000608790 761995008 /nfs/dbraw/zinc/99/50/08/761995008.db2.gz KVXUHHHGEPZUHE-UHFFFAOYSA-N 1 2 309.801 1.117 20 30 DDEDLO N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001039396421 761995525 /nfs/dbraw/zinc/99/55/25/761995525.db2.gz PWRRVBIGVWDDEU-FMKPAKJESA-N 1 2 313.405 1.034 20 30 DDEDLO N#Cc1ccc(NC/C=C\CNC(=O)CCn2cc[nH+]c2)nc1 ZINC001107445114 749967298 /nfs/dbraw/zinc/96/72/98/749967298.db2.gz FBMULVFTKGQTJV-UPHRSURJSA-N 1 2 310.361 1.324 20 30 DDEDLO C=CC(C)(C)C(=O)NC/C=C\CNc1[nH+]cnc2c1cnn2C ZINC001107447016 749969011 /nfs/dbraw/zinc/96/90/11/749969011.db2.gz DHURVVQXBZPGGN-SREVYHEPSA-N 1 2 314.393 1.660 20 30 DDEDLO C=CCCCC(=O)NC/C=C/CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001107477879 750017813 /nfs/dbraw/zinc/01/78/13/750017813.db2.gz WMDFZZSFZVDQHH-CMDGGOBGSA-N 1 2 318.425 1.687 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cncc(C)c2)[C@H](O)C1 ZINC001090164497 750146766 /nfs/dbraw/zinc/14/67/66/750146766.db2.gz VKWUVKCLMGEGMA-ZIAGYGMSSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cncc(C)c2)[C@H](O)C1 ZINC001090164497 750146773 /nfs/dbraw/zinc/14/67/73/750146773.db2.gz VKWUVKCLMGEGMA-ZIAGYGMSSA-N 1 2 309.797 1.308 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C/CNC(=O)CCc2c[nH]c[nH+]2)n1 ZINC001107566203 750152418 /nfs/dbraw/zinc/15/24/18/750152418.db2.gz MHTIAFGWKOXLHM-NSCUHMNNSA-N 1 2 324.388 1.702 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C/CNC(=O)CCc2c[nH+]c[nH]2)n1 ZINC001107566203 750152424 /nfs/dbraw/zinc/15/24/24/750152424.db2.gz MHTIAFGWKOXLHM-NSCUHMNNSA-N 1 2 324.388 1.702 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2c(C)noc2C)C1 ZINC001108391422 762014472 /nfs/dbraw/zinc/01/44/72/762014472.db2.gz YZQCJDOTQLDNFS-KRWDZBQOSA-N 1 2 319.405 1.064 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2c(C)noc2C)C1 ZINC001108391422 762014484 /nfs/dbraw/zinc/01/44/84/762014484.db2.gz YZQCJDOTQLDNFS-KRWDZBQOSA-N 1 2 319.405 1.064 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)C ZINC001110967001 750260117 /nfs/dbraw/zinc/26/01/17/750260117.db2.gz NWABWYVHGRKREQ-OAGGEKHMSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)C ZINC001110967001 750260119 /nfs/dbraw/zinc/26/01/19/750260119.db2.gz NWABWYVHGRKREQ-OAGGEKHMSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2ccc(OC)nn2)C1 ZINC001034006857 750269033 /nfs/dbraw/zinc/26/90/33/750269033.db2.gz FESZXNQZNSMLJG-GFCCVEGCSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc(OC)nn2)C1 ZINC001034006857 750269036 /nfs/dbraw/zinc/26/90/36/750269036.db2.gz FESZXNQZNSMLJG-GFCCVEGCSA-N 1 2 324.812 1.774 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)CCCC)[C@@H](n2ccnn2)C1 ZINC001128953527 750473928 /nfs/dbraw/zinc/47/39/28/750473928.db2.gz SNMDWPPCEFEIJM-OAGGEKHMSA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)CCCC)[C@@H](n2ccnn2)C1 ZINC001128953527 750473936 /nfs/dbraw/zinc/47/39/36/750473936.db2.gz SNMDWPPCEFEIJM-OAGGEKHMSA-N 1 2 317.437 1.469 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2sccc2F)[C@H](O)C1 ZINC001090227463 750650287 /nfs/dbraw/zinc/65/02/87/750650287.db2.gz LSDLXCWMEGGVQS-WDEREUQCSA-N 1 2 318.801 1.805 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2sccc2F)[C@H](O)C1 ZINC001090227463 750650291 /nfs/dbraw/zinc/65/02/91/750650291.db2.gz LSDLXCWMEGGVQS-WDEREUQCSA-N 1 2 318.801 1.805 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCOCC(C)C)[C@@H](O)C1 ZINC001099678972 750688248 /nfs/dbraw/zinc/68/82/48/750688248.db2.gz SBOYZBQDQUMFIY-KGLIPLIRSA-N 1 2 318.845 1.353 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCOCC(C)C)[C@@H](O)C1 ZINC001099678972 750688250 /nfs/dbraw/zinc/68/82/50/750688250.db2.gz SBOYZBQDQUMFIY-KGLIPLIRSA-N 1 2 318.845 1.353 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)C)n(C)n2)C1 ZINC001107938142 750689466 /nfs/dbraw/zinc/68/94/66/750689466.db2.gz JAGVBNFCBQBHTJ-KRWDZBQOSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)C)n(C)n2)C1 ZINC001107938142 750689471 /nfs/dbraw/zinc/68/94/71/750689471.db2.gz JAGVBNFCBQBHTJ-KRWDZBQOSA-N 1 2 320.437 1.550 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-n2ccnc2)cc1 ZINC001038558443 750747699 /nfs/dbraw/zinc/74/76/99/750747699.db2.gz XBRCALPVKPFGPO-KRWDZBQOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-n2ccnc2)cc1 ZINC001038558443 750747703 /nfs/dbraw/zinc/74/77/03/750747703.db2.gz XBRCALPVKPFGPO-KRWDZBQOSA-N 1 2 308.385 1.700 20 30 DDEDLO N#CCN[C@H]1CC[C@H](NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001034731650 750786863 /nfs/dbraw/zinc/78/68/63/750786863.db2.gz SPVBHNIDMITQTC-AVGNSLFASA-N 1 2 301.394 1.370 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc2cccnc2s1 ZINC001032447966 750874268 /nfs/dbraw/zinc/87/42/68/750874268.db2.gz GIRGGZJELHBQBL-RYUDHWBXSA-N 1 2 312.398 1.613 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc2cccnc2s1 ZINC001032447966 750874277 /nfs/dbraw/zinc/87/42/77/750874277.db2.gz GIRGGZJELHBQBL-RYUDHWBXSA-N 1 2 312.398 1.613 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nn(CC)nc3C)C[C@H]21 ZINC001114686555 750988118 /nfs/dbraw/zinc/98/81/18/750988118.db2.gz NQSZNMYRNFJLHX-NHAGDIPZSA-N 1 2 317.437 1.365 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nn(CC)nc3C)C[C@H]21 ZINC001114686555 750988123 /nfs/dbraw/zinc/98/81/23/750988123.db2.gz NQSZNMYRNFJLHX-NHAGDIPZSA-N 1 2 317.437 1.365 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114723020 751026640 /nfs/dbraw/zinc/02/66/40/751026640.db2.gz RKEFVQFNAZNCIZ-MUYACECFSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114723020 751026649 /nfs/dbraw/zinc/02/66/49/751026649.db2.gz RKEFVQFNAZNCIZ-MUYACECFSA-N 1 2 304.394 1.527 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)n([C@H](C)CC)n1 ZINC001032489965 751038775 /nfs/dbraw/zinc/03/87/75/751038775.db2.gz XVWJQYYVRLBCQE-SNPRPXQTSA-N 1 2 300.406 1.694 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)n([C@H](C)CC)n1 ZINC001032489965 751038782 /nfs/dbraw/zinc/03/87/82/751038782.db2.gz XVWJQYYVRLBCQE-SNPRPXQTSA-N 1 2 300.406 1.694 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC001034935009 751047130 /nfs/dbraw/zinc/04/71/30/751047130.db2.gz FOTKIEKGTYASQW-CQSZACIVSA-N 1 2 316.405 1.687 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(-c2ccccc2)n1 ZINC001032496812 751079916 /nfs/dbraw/zinc/07/99/16/751079916.db2.gz SFWKSWOSTWULCK-IRXDYDNUSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(-c2ccccc2)n1 ZINC001032496812 751079923 /nfs/dbraw/zinc/07/99/23/751079923.db2.gz SFWKSWOSTWULCK-IRXDYDNUSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)[nH]nc1C(F)(F)F ZINC001038523031 751187775 /nfs/dbraw/zinc/18/77/75/751187775.db2.gz LYFKEWQCPYWPKE-SNVBAGLBSA-N 1 2 314.311 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)[nH]nc1C(F)(F)F ZINC001038523031 751187777 /nfs/dbraw/zinc/18/77/77/751187777.db2.gz LYFKEWQCPYWPKE-SNVBAGLBSA-N 1 2 314.311 1.564 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccn(C(F)F)n2)C1 ZINC001107970614 751226206 /nfs/dbraw/zinc/22/62/06/751226206.db2.gz DOVVTWSXPZPLOS-AWEZNQCLSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccn(C(F)F)n2)C1 ZINC001107970614 751226209 /nfs/dbraw/zinc/22/62/09/751226209.db2.gz DOVVTWSXPZPLOS-AWEZNQCLSA-N 1 2 314.336 1.285 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(CC[N@H+](Cc3csnn3)C2)CC1 ZINC001035167555 751253171 /nfs/dbraw/zinc/25/31/71/751253171.db2.gz KILJWJQDQBIDBM-GFCCVEGCSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(CC[N@@H+](Cc3csnn3)C2)CC1 ZINC001035167555 751253174 /nfs/dbraw/zinc/25/31/74/751253174.db2.gz KILJWJQDQBIDBM-GFCCVEGCSA-N 1 2 319.434 1.512 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(C)s2)C1 ZINC001108420095 762118719 /nfs/dbraw/zinc/11/87/19/762118719.db2.gz WRURPIKXZFBTOJ-INIZCTEOSA-N 1 2 308.447 1.992 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(C)s2)C1 ZINC001108420095 762118727 /nfs/dbraw/zinc/11/87/27/762118727.db2.gz WRURPIKXZFBTOJ-INIZCTEOSA-N 1 2 308.447 1.992 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999128266 752527491 /nfs/dbraw/zinc/52/74/91/752527491.db2.gz MLENTYSVFLDMAN-CQSZACIVSA-N 1 2 316.405 1.687 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ncoc2[C@H]2CCCO2)C1 ZINC001008191601 752599505 /nfs/dbraw/zinc/59/95/05/752599505.db2.gz VIKQZQDLMDCHKK-CHWSQXEVSA-N 1 2 303.362 1.354 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ncoc2[C@H]2CCCO2)C1 ZINC001008191601 752599513 /nfs/dbraw/zinc/59/95/13/752599513.db2.gz VIKQZQDLMDCHKK-CHWSQXEVSA-N 1 2 303.362 1.354 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)C(C)(C)C)CC2 ZINC001128059529 752723939 /nfs/dbraw/zinc/72/39/39/752723939.db2.gz QGPWXARHHUYXPP-ZDUSSCGKSA-N 1 2 317.437 1.258 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1cnn(C)c1 ZINC001032708455 752779329 /nfs/dbraw/zinc/77/93/29/752779329.db2.gz OJDKTNVJGNBAGF-GJZGRUSLSA-N 1 2 300.406 1.006 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1cnn(C)c1 ZINC001032708455 752779338 /nfs/dbraw/zinc/77/93/38/752779338.db2.gz OJDKTNVJGNBAGF-GJZGRUSLSA-N 1 2 300.406 1.006 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCC[N@@H+](Cc2ccnn2C)C1 ZINC001008558041 752798570 /nfs/dbraw/zinc/79/85/70/752798570.db2.gz GRANQIZECLFJKU-BMFZPTHFSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCC[N@H+](Cc2ccnn2C)C1 ZINC001008558041 752798573 /nfs/dbraw/zinc/79/85/73/752798573.db2.gz GRANQIZECLFJKU-BMFZPTHFSA-N 1 2 318.421 1.092 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001032713455 752848114 /nfs/dbraw/zinc/84/81/14/752848114.db2.gz YVOCFFALPSCPKQ-GJZGRUSLSA-N 1 2 307.357 1.004 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001032713455 752848119 /nfs/dbraw/zinc/84/81/19/752848119.db2.gz YVOCFFALPSCPKQ-GJZGRUSLSA-N 1 2 307.357 1.004 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001062324707 752883249 /nfs/dbraw/zinc/88/32/49/752883249.db2.gz LFBACPJPCMQLCT-CQSZACIVSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001009597785 753268232 /nfs/dbraw/zinc/26/82/32/753268232.db2.gz XAAUITYJFPZSKE-OCCSQVGLSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001009597785 753268238 /nfs/dbraw/zinc/26/82/38/753268238.db2.gz XAAUITYJFPZSKE-OCCSQVGLSA-N 1 2 322.840 1.848 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1ccccn1 ZINC001009890745 753436245 /nfs/dbraw/zinc/43/62/45/753436245.db2.gz LWINAIAQCHYAIG-QGZVFWFLSA-N 1 2 305.381 1.937 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1ccccn1 ZINC001009890745 753436249 /nfs/dbraw/zinc/43/62/49/753436249.db2.gz LWINAIAQCHYAIG-QGZVFWFLSA-N 1 2 305.381 1.937 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001108002358 753474539 /nfs/dbraw/zinc/47/45/39/753474539.db2.gz DNPXBNDGDOBVAY-OCCSQVGLSA-N 1 2 324.388 1.329 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)[C@@H]3CCCO3)C2)ccc1F ZINC001010063173 753584379 /nfs/dbraw/zinc/58/43/79/753584379.db2.gz VJSNPZMJFLEQIY-ZBFHGGJFSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@@H](NC(=O)[C@@H]3CCCO3)C2)ccc1F ZINC001010063173 753584385 /nfs/dbraw/zinc/58/43/85/753584385.db2.gz VJSNPZMJFLEQIY-ZBFHGGJFSA-N 1 2 317.364 1.567 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H]1CCN(C)C1=O ZINC001039820649 762230606 /nfs/dbraw/zinc/23/06/06/762230606.db2.gz KLRNLJHESXACGA-QLFBSQMISA-N 1 2 319.449 1.495 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H]1CCN(C)C1=O ZINC001039820649 762230608 /nfs/dbraw/zinc/23/06/08/762230608.db2.gz KLRNLJHESXACGA-QLFBSQMISA-N 1 2 319.449 1.495 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CC23CCOCC3)C1 ZINC001108446801 762231189 /nfs/dbraw/zinc/23/11/89/762231189.db2.gz JRDBJNYOXUGBFV-NVXWUHKLSA-N 1 2 320.433 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CC23CCOCC3)C1 ZINC001108446801 762231194 /nfs/dbraw/zinc/23/11/94/762231194.db2.gz JRDBJNYOXUGBFV-NVXWUHKLSA-N 1 2 320.433 1.034 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H](CC)C(N)=O ZINC001039823219 762231666 /nfs/dbraw/zinc/23/16/66/762231666.db2.gz OTLBSYGGBBSCPV-ZNMIVQPWSA-N 1 2 321.465 1.918 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H](CC)C(N)=O ZINC001039823219 762231669 /nfs/dbraw/zinc/23/16/69/762231669.db2.gz OTLBSYGGBBSCPV-ZNMIVQPWSA-N 1 2 321.465 1.918 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001010342094 753783579 /nfs/dbraw/zinc/78/35/79/753783579.db2.gz IVXBAGCLWLXWHS-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001010342094 753783582 /nfs/dbraw/zinc/78/35/82/753783582.db2.gz IVXBAGCLWLXWHS-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cn1nccc1C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001010360444 753809002 /nfs/dbraw/zinc/80/90/02/753809002.db2.gz HUEXSTHYAYCCNI-NSHDSACASA-N 1 2 315.402 1.358 20 30 DDEDLO Cn1nccc1C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001010360444 753809006 /nfs/dbraw/zinc/80/90/06/753809006.db2.gz HUEXSTHYAYCCNI-NSHDSACASA-N 1 2 315.402 1.358 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001016230221 754031722 /nfs/dbraw/zinc/03/17/22/754031722.db2.gz SCKCDROYVSKLAD-NSHDSACASA-N 1 2 305.769 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001016230221 754031729 /nfs/dbraw/zinc/03/17/29/754031729.db2.gz SCKCDROYVSKLAD-NSHDSACASA-N 1 2 305.769 1.515 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063519823 754206282 /nfs/dbraw/zinc/20/62/82/754206282.db2.gz FIUDEMJFQRWVKW-KBPBESRZSA-N 1 2 318.421 1.496 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN2C(=O)CCn2cc[nH+]c2)cn1 ZINC001063537241 754220837 /nfs/dbraw/zinc/22/08/37/754220837.db2.gz QUARHPPVLZOZNG-MRXNPFEDSA-N 1 2 324.388 1.643 20 30 DDEDLO CC#CC[N@H+]1CC=C(CNC(=O)c2cccc3nc[nH]c32)CC1 ZINC001001287251 754225542 /nfs/dbraw/zinc/22/55/42/754225542.db2.gz ADBKEKFNEKTQRH-UHFFFAOYSA-N 1 2 308.385 1.948 20 30 DDEDLO CC#CC[N@@H+]1CC=C(CNC(=O)c2cccc3nc[nH]c32)CC1 ZINC001001287251 754225547 /nfs/dbraw/zinc/22/55/47/754225547.db2.gz ADBKEKFNEKTQRH-UHFFFAOYSA-N 1 2 308.385 1.948 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)cn1 ZINC001060997683 754251609 /nfs/dbraw/zinc/25/16/09/754251609.db2.gz IUNVFDNDPJHACA-LBPRGKRZSA-N 1 2 310.361 1.179 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001011184948 754385668 /nfs/dbraw/zinc/38/56/68/754385668.db2.gz BESJFKRPEXECKY-UHFFFAOYSA-N 1 2 324.812 1.333 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(NC(C)=O)ccc1C ZINC001032820032 754510788 /nfs/dbraw/zinc/51/07/88/754510788.db2.gz XBKXTDPNZOOSSE-HOTGVXAUSA-N 1 2 311.385 1.485 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(NC(C)=O)ccc1C ZINC001032820032 754510793 /nfs/dbraw/zinc/51/07/93/754510793.db2.gz XBKXTDPNZOOSSE-HOTGVXAUSA-N 1 2 311.385 1.485 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccncc2C#N)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064791195 754858134 /nfs/dbraw/zinc/85/81/34/754858134.db2.gz FRWAZFNATDONJO-TZMCWYRMSA-N 1 2 324.388 1.132 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2NC[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC001064905421 754943685 /nfs/dbraw/zinc/94/36/85/754943685.db2.gz QISKBSYMMIKANV-ZDUSSCGKSA-N 1 2 324.388 1.180 20 30 DDEDLO C=CCC(C)(C)C(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001079788456 755574757 /nfs/dbraw/zinc/57/47/57/755574757.db2.gz FXVCTXFBQPGXLT-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO CC#CCCCC(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080298433 755810223 /nfs/dbraw/zinc/81/02/23/755810223.db2.gz OACFNENVXJVEDO-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc3cccnn32)C1 ZINC001080576333 755988571 /nfs/dbraw/zinc/98/85/71/755988571.db2.gz HUEMDMSVOSADOG-ZYHUDNBSSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc3cccnn32)C1 ZINC001080576333 755988577 /nfs/dbraw/zinc/98/85/77/755988577.db2.gz HUEMDMSVOSADOG-ZYHUDNBSSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001080855815 756123864 /nfs/dbraw/zinc/12/38/64/756123864.db2.gz CEWVPLNMGBMNCU-DDTOSNHZSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001080855815 756123866 /nfs/dbraw/zinc/12/38/66/756123866.db2.gz CEWVPLNMGBMNCU-DDTOSNHZSA-N 1 2 313.829 1.044 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@@H]2C)n1 ZINC001067113053 756329230 /nfs/dbraw/zinc/32/92/30/756329230.db2.gz KKMHNPGDBWFLHY-WFASDCNBSA-N 1 2 324.388 1.417 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2nc(C(C)C)oc2C)[C@H](OC)C1 ZINC001081659415 756415071 /nfs/dbraw/zinc/41/50/71/756415071.db2.gz ATSHZNLEAMJELX-CHWSQXEVSA-N 1 2 305.378 1.169 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2nc(C(C)C)oc2C)[C@H](OC)C1 ZINC001081659415 756415075 /nfs/dbraw/zinc/41/50/75/756415075.db2.gz ATSHZNLEAMJELX-CHWSQXEVSA-N 1 2 305.378 1.169 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(OCCC)c2)[C@H](OC)C1 ZINC001081756471 756467392 /nfs/dbraw/zinc/46/73/92/756467392.db2.gz JGCYYPBKSRSHLM-IAGOWNOFSA-N 1 2 316.401 1.538 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(OCCC)c2)[C@H](OC)C1 ZINC001081756471 756467394 /nfs/dbraw/zinc/46/73/94/756467394.db2.gz JGCYYPBKSRSHLM-IAGOWNOFSA-N 1 2 316.401 1.538 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2ccncn2)C1 ZINC001015877974 756611877 /nfs/dbraw/zinc/61/18/77/756611877.db2.gz QDQHWPYNXNLVOD-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2ccncn2)C1 ZINC001015877974 756611883 /nfs/dbraw/zinc/61/18/83/756611883.db2.gz QDQHWPYNXNLVOD-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2ncccn2)C1 ZINC001015886328 756620451 /nfs/dbraw/zinc/62/04/51/756620451.db2.gz ZRIPLFOMADFOIX-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2ncccn2)C1 ZINC001015886328 756620455 /nfs/dbraw/zinc/62/04/55/756620455.db2.gz ZRIPLFOMADFOIX-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2C2(C)CC2)[C@H](OC)C1 ZINC001082125137 756641520 /nfs/dbraw/zinc/64/15/20/756641520.db2.gz KOILXXAMIIZDMF-IAGOWNOFSA-N 1 2 312.413 1.800 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2C2(C)CC2)[C@H](OC)C1 ZINC001082125137 756641522 /nfs/dbraw/zinc/64/15/22/756641522.db2.gz KOILXXAMIIZDMF-IAGOWNOFSA-N 1 2 312.413 1.800 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](F)c2ccccc2)[C@H](OC)C1 ZINC001082182770 756676722 /nfs/dbraw/zinc/67/67/22/756676722.db2.gz WFKJEDJQJXQGOH-BZUAXINKSA-N 1 2 304.365 1.536 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@H](F)c2ccccc2)[C@H](OC)C1 ZINC001082182770 756676728 /nfs/dbraw/zinc/67/67/28/756676728.db2.gz WFKJEDJQJXQGOH-BZUAXINKSA-N 1 2 304.365 1.536 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(OC)cc[nH]c2=O)C1 ZINC001016003741 756713076 /nfs/dbraw/zinc/71/30/76/756713076.db2.gz OEOAYXHYSHDQIU-SNVBAGLBSA-N 1 2 311.769 1.352 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(OC)cc[nH]c2=O)C1 ZINC001016003741 756713078 /nfs/dbraw/zinc/71/30/78/756713078.db2.gz OEOAYXHYSHDQIU-SNVBAGLBSA-N 1 2 311.769 1.352 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc3c([nH]c2=O)CCC3)C1 ZINC001016250578 756867076 /nfs/dbraw/zinc/86/70/76/756867076.db2.gz PBDSULOAUSXUQZ-GFCCVEGCSA-N 1 2 321.808 1.833 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc3c([nH]c2=O)CCC3)C1 ZINC001016250578 756867084 /nfs/dbraw/zinc/86/70/84/756867084.db2.gz PBDSULOAUSXUQZ-GFCCVEGCSA-N 1 2 321.808 1.833 20 30 DDEDLO C=C[C@@H]1C[C@]1([NH2+]C1CCC(S(C)(=O)=O)CC1)C(=O)OCC ZINC001169863174 762725627 /nfs/dbraw/zinc/72/56/27/762725627.db2.gz GXUXCCGEMVTOMD-XZNOPERXSA-N 1 2 315.435 1.440 20 30 DDEDLO O=C(C1CCC1)N1CCO[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001082972971 756998727 /nfs/dbraw/zinc/99/87/27/756998727.db2.gz AWLDXTFEPMNVQC-RBUKOAKNSA-N 1 2 324.424 1.750 20 30 DDEDLO O=C(C1CCC1)N1CCO[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001082972971 756998733 /nfs/dbraw/zinc/99/87/33/756998733.db2.gz AWLDXTFEPMNVQC-RBUKOAKNSA-N 1 2 324.424 1.750 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001097235976 757008273 /nfs/dbraw/zinc/00/82/73/757008273.db2.gz KPYCDPOFHPMPFU-GASCZTMLSA-N 1 2 310.361 1.299 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(OC)cc3C)[C@H]2C1 ZINC001083180404 757180179 /nfs/dbraw/zinc/18/01/79/757180179.db2.gz HEDCYYQZBDENKX-DLBZAZTESA-N 1 2 314.385 1.162 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(OC)cc3C)[C@H]2C1 ZINC001083180404 757180182 /nfs/dbraw/zinc/18/01/82/757180182.db2.gz HEDCYYQZBDENKX-DLBZAZTESA-N 1 2 314.385 1.162 20 30 DDEDLO C#CCOCCC(=O)N1CCCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001097495187 757212274 /nfs/dbraw/zinc/21/22/74/757212274.db2.gz FYTGNLORCNCXSI-HNNXBMFYSA-N 1 2 316.405 1.618 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCC3)[C@@H](O)C1 ZINC001084026961 757233108 /nfs/dbraw/zinc/23/31/08/757233108.db2.gz QCWPAYUNSHFUOA-OLZOCXBDSA-N 1 2 304.415 1.035 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCC3)[C@@H](O)C1 ZINC001084026961 757233112 /nfs/dbraw/zinc/23/31/12/757233112.db2.gz QCWPAYUNSHFUOA-OLZOCXBDSA-N 1 2 304.415 1.035 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2sccc2C(C)C)[C@@H](O)C1 ZINC001084085896 757276898 /nfs/dbraw/zinc/27/68/98/757276898.db2.gz IOUYPQFBAYYHHH-KGLIPLIRSA-N 1 2 306.431 1.670 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2sccc2C(C)C)[C@@H](O)C1 ZINC001084085896 757276900 /nfs/dbraw/zinc/27/69/00/757276900.db2.gz IOUYPQFBAYYHHH-KGLIPLIRSA-N 1 2 306.431 1.670 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(CC)c(CC)c2)[C@@H](O)C1 ZINC001084131465 757296378 /nfs/dbraw/zinc/29/63/78/757296378.db2.gz HBDZVFJZWKMUCN-SJORKVTESA-N 1 2 300.402 1.220 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(CC)c(CC)c2)[C@@H](O)C1 ZINC001084131465 757296381 /nfs/dbraw/zinc/29/63/81/757296381.db2.gz HBDZVFJZWKMUCN-SJORKVTESA-N 1 2 300.402 1.220 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)C[C@H]3COC(=O)C3)[C@@H]2C1 ZINC001084278785 757464875 /nfs/dbraw/zinc/46/48/75/757464875.db2.gz IRUXNEDSQZWYFA-JHJVBQTASA-N 1 2 312.797 1.225 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)C[C@H]3COC(=O)C3)[C@@H]2C1 ZINC001084278785 757464879 /nfs/dbraw/zinc/46/48/79/757464879.db2.gz IRUXNEDSQZWYFA-JHJVBQTASA-N 1 2 312.797 1.225 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001097781844 757503129 /nfs/dbraw/zinc/50/31/29/757503129.db2.gz DVXDWEZLINUJJV-ZDUSSCGKSA-N 1 2 315.421 1.185 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001097781844 757503135 /nfs/dbraw/zinc/50/31/35/757503135.db2.gz DVXDWEZLINUJJV-ZDUSSCGKSA-N 1 2 315.421 1.185 20 30 DDEDLO Cc1nc(N[C@H](C)CNC(=O)C#CC2CC2)c2c([nH+]1)CCCC2 ZINC001097804699 757549461 /nfs/dbraw/zinc/54/94/61/757549461.db2.gz ZDYSZTMJZNXNSO-GFCCVEGCSA-N 1 2 312.417 1.994 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100275960 757573789 /nfs/dbraw/zinc/57/37/89/757573789.db2.gz NPYDCIDIDRDZRC-LBPRGKRZSA-N 1 2 316.409 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(N)=O)[nH]1)C2 ZINC001097854822 757613003 /nfs/dbraw/zinc/61/30/03/757613003.db2.gz WFFVBVZQUSQZBY-WQAKAFBOSA-N 1 2 322.796 1.201 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(N)=O)[nH]1)C2 ZINC001097854822 757613010 /nfs/dbraw/zinc/61/30/10/757613010.db2.gz WFFVBVZQUSQZBY-WQAKAFBOSA-N 1 2 322.796 1.201 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3csc(=O)[nH]3)[C@@H]2C1 ZINC001084594026 757698092 /nfs/dbraw/zinc/69/80/92/757698092.db2.gz SZRZEYFAYMAASI-MWLCHTKSSA-N 1 2 313.810 1.748 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3csc(=O)[nH]3)[C@@H]2C1 ZINC001084594026 757698100 /nfs/dbraw/zinc/69/81/00/757698100.db2.gz SZRZEYFAYMAASI-MWLCHTKSSA-N 1 2 313.810 1.748 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001052812799 757806442 /nfs/dbraw/zinc/80/64/42/757806442.db2.gz CKYDZAXYHQVTFD-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001052812799 757806457 /nfs/dbraw/zinc/80/64/57/757806457.db2.gz CKYDZAXYHQVTFD-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO CCOC(=O)c1cc(NC[C@@H]2COCC[N@@H+]2C)ccc1C#N ZINC001169659357 762582842 /nfs/dbraw/zinc/58/28/42/762582842.db2.gz DBPBUFYKFYHPLG-CQSZACIVSA-N 1 2 303.362 1.477 20 30 DDEDLO CCOC(=O)c1cc(NC[C@@H]2COCC[N@H+]2C)ccc1C#N ZINC001169659357 762582848 /nfs/dbraw/zinc/58/28/48/762582848.db2.gz DBPBUFYKFYHPLG-CQSZACIVSA-N 1 2 303.362 1.477 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001017423475 757920966 /nfs/dbraw/zinc/92/09/66/757920966.db2.gz UWKVDHNIWRUNDE-BNOWGMLFSA-N 1 2 316.405 1.880 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001017423475 757920970 /nfs/dbraw/zinc/92/09/70/757920970.db2.gz UWKVDHNIWRUNDE-BNOWGMLFSA-N 1 2 316.405 1.880 20 30 DDEDLO C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001017551610 758018736 /nfs/dbraw/zinc/01/87/36/758018736.db2.gz GFUZARDBAURHTG-IYBDPMFKSA-N 1 2 308.385 1.565 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)co1 ZINC001084906805 758046418 /nfs/dbraw/zinc/04/64/18/758046418.db2.gz IIYUGRAWIRJULH-KYOSRNDESA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)co1 ZINC001084906805 758046426 /nfs/dbraw/zinc/04/64/26/758046426.db2.gz IIYUGRAWIRJULH-KYOSRNDESA-N 1 2 302.378 1.566 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C(C)(C)C)n1 ZINC001017593641 758061916 /nfs/dbraw/zinc/06/19/16/758061916.db2.gz BAMQLFRJKKDVED-GASCZTMLSA-N 1 2 314.433 1.950 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C(C)(C)C)n1 ZINC001017593641 758061922 /nfs/dbraw/zinc/06/19/22/758061922.db2.gz BAMQLFRJKKDVED-GASCZTMLSA-N 1 2 314.433 1.950 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nnc1CC ZINC001017675118 758143234 /nfs/dbraw/zinc/14/32/34/758143234.db2.gz DKOZQYULPVJIDX-GASCZTMLSA-N 1 2 312.417 1.660 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nnc1CC ZINC001017675118 758143243 /nfs/dbraw/zinc/14/32/43/758143243.db2.gz DKOZQYULPVJIDX-GASCZTMLSA-N 1 2 312.417 1.660 20 30 DDEDLO N#CCN1CCC[C@H]([C@H]2CCCCN2C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001053105069 758156672 /nfs/dbraw/zinc/15/66/72/758156672.db2.gz RKVDGKYULPTAIE-LSDHHAIUSA-N 1 2 315.421 1.569 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn2ccnc2c1 ZINC001017731815 758190687 /nfs/dbraw/zinc/19/06/87/758190687.db2.gz IVHGQPNIKNHHAD-IYBDPMFKSA-N 1 2 308.385 1.646 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn2ccnc2c1 ZINC001017731815 758190689 /nfs/dbraw/zinc/19/06/89/758190689.db2.gz IVHGQPNIKNHHAD-IYBDPMFKSA-N 1 2 308.385 1.646 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-c2nc[nH]n2)c1 ZINC001017789308 758241426 /nfs/dbraw/zinc/24/14/26/758241426.db2.gz LITMBVNHOFCHQO-IYBDPMFKSA-N 1 2 321.384 1.394 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-c2nc[nH]n2)c1 ZINC001017789308 758241431 /nfs/dbraw/zinc/24/14/31/758241431.db2.gz LITMBVNHOFCHQO-IYBDPMFKSA-N 1 2 321.384 1.394 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H]2C[C@@H]2C)C1=O ZINC001017815330 758268807 /nfs/dbraw/zinc/26/88/07/758268807.db2.gz WWXNMJPHWWFBOZ-QMHWVQJVSA-N 1 2 317.433 1.105 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H]2C[C@@H]2C)C1=O ZINC001017815330 758268812 /nfs/dbraw/zinc/26/88/12/758268812.db2.gz WWXNMJPHWWFBOZ-QMHWVQJVSA-N 1 2 317.433 1.105 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCCO[C@H]1C=C)CCO2 ZINC001053260150 758317378 /nfs/dbraw/zinc/31/73/78/758317378.db2.gz YDVBGEODQZRXDI-CVEARBPZSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC(C)(C)C[N@H+]1Cc1ccon1 ZINC001098971755 758347270 /nfs/dbraw/zinc/34/72/70/758347270.db2.gz RJWRYLUVMISDDM-OAHLLOKOSA-N 1 2 321.421 1.984 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC(C)(C)C[N@@H+]1Cc1ccon1 ZINC001098971755 758347284 /nfs/dbraw/zinc/34/72/84/758347284.db2.gz RJWRYLUVMISDDM-OAHLLOKOSA-N 1 2 321.421 1.984 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CCC(C)C)nn1 ZINC001017937304 758407646 /nfs/dbraw/zinc/40/76/46/758407646.db2.gz OFXDFUZGUKDZJD-GASCZTMLSA-N 1 2 317.437 1.799 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CCC(C)C)nn1 ZINC001017937304 758407649 /nfs/dbraw/zinc/40/76/49/758407649.db2.gz OFXDFUZGUKDZJD-GASCZTMLSA-N 1 2 317.437 1.799 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CCN(c3cc[nH+]c(C)n3)C2)cn1 ZINC001065269319 758426617 /nfs/dbraw/zinc/42/66/17/758426617.db2.gz NALXACVOHSQVGX-GOSISDBHSA-N 1 2 321.384 1.560 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cc1c(C)noc1C ZINC001018033242 758491429 /nfs/dbraw/zinc/49/14/29/758491429.db2.gz YJBHAXGNERWVAB-WQVCFCJDSA-N 1 2 315.417 1.778 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cc1c(C)noc1C ZINC001018033242 758491436 /nfs/dbraw/zinc/49/14/36/758491436.db2.gz YJBHAXGNERWVAB-WQVCFCJDSA-N 1 2 315.417 1.778 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)/C=C(\C)CC)CC2=O)C1 ZINC001108542880 762643615 /nfs/dbraw/zinc/64/36/15/762643615.db2.gz PSQWKEOYQGJCSG-BLXFFLACSA-N 1 2 305.422 1.320 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cccnc1C)O2 ZINC001053656203 758669458 /nfs/dbraw/zinc/66/94/58/758669458.db2.gz UPNMZTFZZAAJPW-OAHLLOKOSA-N 1 2 315.417 1.929 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1conc1CC)O2 ZINC001053659804 758673337 /nfs/dbraw/zinc/67/33/37/758673337.db2.gz ZSSBVZCUQMTIBK-GFCCVEGCSA-N 1 2 305.378 1.386 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccnnc1)O2 ZINC001053667643 758679452 /nfs/dbraw/zinc/67/94/52/758679452.db2.gz CBLNNWDTRCTEJA-AWEZNQCLSA-N 1 2 302.378 1.016 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+]([C@@H]2CCN(C(C)=O)C2)CC1 ZINC001065675415 758694511 /nfs/dbraw/zinc/69/45/11/758694511.db2.gz BDJAAPGKNVELGI-GOEBONIOSA-N 1 2 307.438 1.354 20 30 DDEDLO C[C@H](Nc1ccc(C#N)cn1)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065722201 758724757 /nfs/dbraw/zinc/72/47/57/758724757.db2.gz IPOJFJMDJGWEHP-DZGCQCFKSA-N 1 2 324.388 1.499 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)noc1C)CO2 ZINC001053735410 758749219 /nfs/dbraw/zinc/74/92/19/758749219.db2.gz FLGAOEYUFHXBRV-ZDUSSCGKSA-N 1 2 305.378 1.441 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccoc1Cl)CO2 ZINC001053786680 758811532 /nfs/dbraw/zinc/81/15/32/758811532.db2.gz LYKRMESSFDGAIL-NSHDSACASA-N 1 2 308.765 1.529 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1OCC[C@H]1C(C)C)CO2 ZINC001053804503 758833355 /nfs/dbraw/zinc/83/33/55/758833355.db2.gz WEFSDCOIUUMCPJ-JYJNAYRXSA-N 1 2 322.449 1.583 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1scnc1CC)CO2 ZINC001053823641 758853288 /nfs/dbraw/zinc/85/32/88/758853288.db2.gz PJXMUGKNGQPKPV-LBPRGKRZSA-N 1 2 319.430 1.302 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CCC(F)(F)C1)CO2 ZINC001053825423 758855467 /nfs/dbraw/zinc/85/54/67/758855467.db2.gz QGEAEUUVRLCTGL-OLZOCXBDSA-N 1 2 312.360 1.405 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnc(C3CC3)o1)CO2 ZINC001053831851 758862193 /nfs/dbraw/zinc/86/21/93/758862193.db2.gz RPZSLJWFSSIXCF-CYBMUJFWSA-N 1 2 315.373 1.148 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(OC)nc1)CO2 ZINC001053863433 758896154 /nfs/dbraw/zinc/89/61/54/758896154.db2.gz MOLLTJUABYVVQM-AWEZNQCLSA-N 1 2 317.389 1.239 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnn(CC)c1C)CO2 ZINC001053964179 758999586 /nfs/dbraw/zinc/99/95/86/758999586.db2.gz BMQMNCMTNBJKBC-CQSZACIVSA-N 1 2 318.421 1.361 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2COC3(C[NH+](CC(=C)C)C3)C2)nc1 ZINC001053984984 759027006 /nfs/dbraw/zinc/02/70/06/759027006.db2.gz IHOUYFJMRSMSDS-HNNXBMFYSA-N 1 2 311.385 1.212 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccc(C)nn1 ZINC001054040050 759097961 /nfs/dbraw/zinc/09/79/61/759097961.db2.gz AISLELPYCCZKQV-KRWDZBQOSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccc(C)nn1 ZINC001054040050 759097964 /nfs/dbraw/zinc/09/79/64/759097964.db2.gz AISLELPYCCZKQV-KRWDZBQOSA-N 1 2 320.396 1.575 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001066228081 759137559 /nfs/dbraw/zinc/13/75/59/759137559.db2.gz JPABORUZKHEXEK-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CCCC3CC3)CC2=O)C1 ZINC001108563246 762689184 /nfs/dbraw/zinc/68/91/84/762689184.db2.gz KLYKXJVAOQFRCA-AWEZNQCLSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3(CC)CCC3)CC2=O)C1 ZINC001108563002 762689286 /nfs/dbraw/zinc/68/92/86/762689286.db2.gz GTMCJYTVOKQSRV-AWEZNQCLSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CC[C@@H](Nc1c[nH+]c(N2CCOCC2)cc1C)C(=O)OC ZINC001169837451 762693685 /nfs/dbraw/zinc/69/36/85/762693685.db2.gz CJYOVOJIZJRDCE-CYBMUJFWSA-N 1 2 305.378 1.756 20 30 DDEDLO N#CCN1CC[C@@]2(C1)CCCN(C(=O)c1cccc3[nH+]ccn31)C2 ZINC001054164550 759247280 /nfs/dbraw/zinc/24/72/80/759247280.db2.gz MBRUHYYOGWARII-GOSISDBHSA-N 1 2 323.400 1.786 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099963052 759262768 /nfs/dbraw/zinc/26/27/68/759262768.db2.gz YKHTXCNKIPNFML-HUUCEWRRSA-N 1 2 321.446 1.388 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099963052 759262776 /nfs/dbraw/zinc/26/27/76/759262776.db2.gz YKHTXCNKIPNFML-HUUCEWRRSA-N 1 2 321.446 1.388 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnc(C)cn3)cc2C1 ZINC001054254527 759348555 /nfs/dbraw/zinc/34/85/55/759348555.db2.gz ZTZOKDBVZWQBGZ-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnc(C)cn3)cc2C1 ZINC001054254527 759348569 /nfs/dbraw/zinc/34/85/69/759348569.db2.gz ZTZOKDBVZWQBGZ-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccnc(OC)c3)cc2C1 ZINC001054272432 759378222 /nfs/dbraw/zinc/37/82/22/759378222.db2.gz SAXBUGDBRYTBSV-UHFFFAOYSA-N 1 2 321.380 1.969 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccnc(OC)c3)cc2C1 ZINC001054272432 759378226 /nfs/dbraw/zinc/37/82/26/759378226.db2.gz SAXBUGDBRYTBSV-UHFFFAOYSA-N 1 2 321.380 1.969 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534482 759646098 /nfs/dbraw/zinc/64/60/98/759646098.db2.gz LWDHLIKMJJSIAU-GXTWGEPZSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534482 759646102 /nfs/dbraw/zinc/64/61/02/759646102.db2.gz LWDHLIKMJJSIAU-GXTWGEPZSA-N 1 2 324.388 1.584 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2n1[C@@H](C)CCC2 ZINC001085609408 759828785 /nfs/dbraw/zinc/82/87/85/759828785.db2.gz QWUBFBKLQMXQGQ-UONOGXRCSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2n1[C@@H](C)CCC2 ZINC001085609408 759828794 /nfs/dbraw/zinc/82/87/94/759828794.db2.gz QWUBFBKLQMXQGQ-UONOGXRCSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccnc1N1CCCC1 ZINC001085704480 760065406 /nfs/dbraw/zinc/06/54/06/760065406.db2.gz RAVHXCCBSDBGQQ-HNNXBMFYSA-N 1 2 312.417 1.461 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccnc1N1CCCC1 ZINC001085704480 760065416 /nfs/dbraw/zinc/06/54/16/760065416.db2.gz RAVHXCCBSDBGQQ-HNNXBMFYSA-N 1 2 312.417 1.461 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H](C)[C@H]([NH2+]Cc3nnc(C)o3)C2)cc1 ZINC001054962465 760236779 /nfs/dbraw/zinc/23/67/79/760236779.db2.gz SDPOZEKXYBZXIG-BLLLJJGKSA-N 1 2 324.384 1.610 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(C)nc1C(F)(F)F ZINC001085840236 760338377 /nfs/dbraw/zinc/33/83/77/760338377.db2.gz CEDXIWNDBIZKOE-JTQLQIEISA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(C)nc1C(F)(F)F ZINC001085840236 760338387 /nfs/dbraw/zinc/33/83/87/760338387.db2.gz CEDXIWNDBIZKOE-JTQLQIEISA-N 1 2 314.311 1.218 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]1CN(C)C(=O)c1cnccc1N(C)C ZINC001085930396 760557113 /nfs/dbraw/zinc/55/71/13/760557113.db2.gz WUCRXYNAQSRLJZ-AWEZNQCLSA-N 1 2 302.422 1.870 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnccc1N(C)C ZINC001085930396 760557118 /nfs/dbraw/zinc/55/71/18/760557118.db2.gz WUCRXYNAQSRLJZ-AWEZNQCLSA-N 1 2 302.422 1.870 20 30 DDEDLO C=C(C)CN1CC[C@H]1CN(C)C(=O)c1c[nH+]ccc1N(C)C ZINC001085930396 760557119 /nfs/dbraw/zinc/55/71/19/760557119.db2.gz WUCRXYNAQSRLJZ-AWEZNQCLSA-N 1 2 302.422 1.870 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(C(=O)N(C)C)c1 ZINC001085930997 760559306 /nfs/dbraw/zinc/55/93/06/760559306.db2.gz MJWFYRLCPYUFIM-MRXNPFEDSA-N 1 2 313.401 1.168 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(C(=O)N(C)C)c1 ZINC001085930997 760559309 /nfs/dbraw/zinc/55/93/09/760559309.db2.gz MJWFYRLCPYUFIM-MRXNPFEDSA-N 1 2 313.401 1.168 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc2onc(CC)c2c1 ZINC001085986326 760656785 /nfs/dbraw/zinc/65/67/85/760656785.db2.gz AVAACZNNRJIRKG-ZDUSSCGKSA-N 1 2 312.373 1.565 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc2onc(CC)c2c1 ZINC001085986326 760656790 /nfs/dbraw/zinc/65/67/90/760656790.db2.gz AVAACZNNRJIRKG-ZDUSSCGKSA-N 1 2 312.373 1.565 20 30 DDEDLO C=CCC[N@H+]1CC[C@H]1CNC(=O)c1coc(S(C)(=O)=O)c1 ZINC001038273355 760964406 /nfs/dbraw/zinc/96/44/06/760964406.db2.gz PKXNYTVYXYAVSH-LBPRGKRZSA-N 1 2 312.391 1.063 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H]1CNC(=O)c1coc(S(C)(=O)=O)c1 ZINC001038273355 760964415 /nfs/dbraw/zinc/96/44/15/760964415.db2.gz PKXNYTVYXYAVSH-LBPRGKRZSA-N 1 2 312.391 1.063 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ccncc1C#N ZINC001069384171 768004499 /nfs/dbraw/zinc/00/44/99/768004499.db2.gz TZGVTRPHZQSUMK-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnn1CC(F)(F)F ZINC001038382126 761066802 /nfs/dbraw/zinc/06/68/02/761066802.db2.gz BRSXQCVSBRTCJR-LLVKDONJSA-N 1 2 314.311 1.273 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnn1CC(F)(F)F ZINC001038382126 761066808 /nfs/dbraw/zinc/06/68/08/761066808.db2.gz BRSXQCVSBRTCJR-LLVKDONJSA-N 1 2 314.311 1.273 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cn(CC)nc2C)C1 ZINC001108249445 761111783 /nfs/dbraw/zinc/11/17/83/761111783.db2.gz DDHWLTDOXOIDFF-MRXNPFEDSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cn(CC)nc2C)C1 ZINC001108249445 761111785 /nfs/dbraw/zinc/11/17/85/761111785.db2.gz DDHWLTDOXOIDFF-MRXNPFEDSA-N 1 2 306.410 1.218 20 30 DDEDLO Cn1cncc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038470964 761144592 /nfs/dbraw/zinc/14/45/92/761144592.db2.gz QVTROLMJYCYOJE-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cncc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038470964 761144594 /nfs/dbraw/zinc/14/45/94/761144594.db2.gz QVTROLMJYCYOJE-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1[nH]cc[nH+]1 ZINC001056527936 761213567 /nfs/dbraw/zinc/21/35/67/761213567.db2.gz XVWKMKQSKIWXOY-ZIAGYGMSSA-N 1 2 316.405 1.510 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2CC(=O)N2CCC2)CC1 ZINC001038661129 761260657 /nfs/dbraw/zinc/26/06/57/761260657.db2.gz KIJRWKNBIGBWNI-HNNXBMFYSA-N 1 2 305.422 1.156 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2CC(=O)N2CCC2)CC1 ZINC001038661129 761260661 /nfs/dbraw/zinc/26/06/61/761260661.db2.gz KIJRWKNBIGBWNI-HNNXBMFYSA-N 1 2 305.422 1.156 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccnc2)c1 ZINC001038684780 761285063 /nfs/dbraw/zinc/28/50/63/761285063.db2.gz MLGDIEKXQLRVFA-KRWDZBQOSA-N 1 2 306.369 1.462 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccnc2)c1 ZINC001038684780 761285066 /nfs/dbraw/zinc/28/50/66/761285066.db2.gz MLGDIEKXQLRVFA-KRWDZBQOSA-N 1 2 306.369 1.462 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@H](C)Nc1ncccc1C#N ZINC001098320121 761298084 /nfs/dbraw/zinc/29/80/84/761298084.db2.gz OTHGPFYNNHSEKC-NEPJUHHUSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@H](C)Nc1ncccc1C#N ZINC001098320121 761298087 /nfs/dbraw/zinc/29/80/87/761298087.db2.gz OTHGPFYNNHSEKC-NEPJUHHUSA-N 1 2 312.377 1.472 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(F)cc(OC)cc1F ZINC001038731445 761337546 /nfs/dbraw/zinc/33/75/46/761337546.db2.gz NPJFRFMKNAPMNP-NSHDSACASA-N 1 2 308.328 1.801 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(F)cc(OC)cc1F ZINC001038731445 761337556 /nfs/dbraw/zinc/33/75/56/761337556.db2.gz NPJFRFMKNAPMNP-NSHDSACASA-N 1 2 308.328 1.801 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccnc1C(F)(F)F ZINC001038751538 761362853 /nfs/dbraw/zinc/36/28/53/761362853.db2.gz XZVZKDFIBAPNGD-LLVKDONJSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccnc1C(F)(F)F ZINC001038751538 761362863 /nfs/dbraw/zinc/36/28/63/761362863.db2.gz XZVZKDFIBAPNGD-LLVKDONJSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(-n2ccnc2)c1 ZINC001038873628 761497133 /nfs/dbraw/zinc/49/71/33/761497133.db2.gz JJYIIIKTCQNITO-KRWDZBQOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(-n2ccnc2)c1 ZINC001038873628 761497136 /nfs/dbraw/zinc/49/71/36/761497136.db2.gz JJYIIIKTCQNITO-KRWDZBQOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-n2ccnn2)cc1 ZINC001038912087 761537945 /nfs/dbraw/zinc/53/79/45/761537945.db2.gz JBJWXUUFOOJYGA-INIZCTEOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-n2ccnn2)cc1 ZINC001038912087 761537956 /nfs/dbraw/zinc/53/79/56/761537956.db2.gz JBJWXUUFOOJYGA-INIZCTEOSA-N 1 2 309.373 1.095 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@H](C)Nc2cnc(C#N)cn2)c(C)[nH+]1 ZINC001098431445 761538373 /nfs/dbraw/zinc/53/83/73/761538373.db2.gz OFOUFWMXJDEDHH-LBPRGKRZSA-N 1 2 324.388 1.899 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(OC)c(OC)cc1C ZINC001039043984 761684513 /nfs/dbraw/zinc/68/45/13/761684513.db2.gz VMOWECDJBICFJK-ZDUSSCGKSA-N 1 2 302.374 1.450 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(OC)c(OC)cc1C ZINC001039043984 761684516 /nfs/dbraw/zinc/68/45/16/761684516.db2.gz VMOWECDJBICFJK-ZDUSSCGKSA-N 1 2 302.374 1.450 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001108588773 762773316 /nfs/dbraw/zinc/77/33/16/762773316.db2.gz PVDACMWUSPWGFJ-STQMWFEESA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@H](CC(=O)N[C@@H](C)CNc1ccncc1C#N)n1cc[nH+]c1 ZINC001108721366 762892617 /nfs/dbraw/zinc/89/26/17/762892617.db2.gz DYZOBDXYZVKGNF-QWHCGFSZSA-N 1 2 312.377 1.140 20 30 DDEDLO N#Cc1ccc(N2CCCN(C(=O)CCn3cc[nH+]c3)CC2)cn1 ZINC001057208507 762942550 /nfs/dbraw/zinc/94/25/50/762942550.db2.gz JSPQXMGZOYLBDF-UHFFFAOYSA-N 1 2 324.388 1.279 20 30 DDEDLO Cc1noc(C[N@@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)n1 ZINC001050043587 763055594 /nfs/dbraw/zinc/05/55/94/763055594.db2.gz DHUWFZRRNKWEOV-LSDHHAIUSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1noc(C[N@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)n1 ZINC001050043587 763055597 /nfs/dbraw/zinc/05/55/97/763055597.db2.gz DHUWFZRRNKWEOV-LSDHHAIUSA-N 1 2 314.389 1.214 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)[C@H](C)C=C)CC1 ZINC001131379371 768104426 /nfs/dbraw/zinc/10/44/26/768104426.db2.gz WTIOSROXAVLJDJ-CABCVRRESA-N 1 2 307.438 1.281 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)[C@H](C)C=C)CC1 ZINC001131379371 768104428 /nfs/dbraw/zinc/10/44/28/768104428.db2.gz WTIOSROXAVLJDJ-CABCVRRESA-N 1 2 307.438 1.281 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CN(C)c1ccc(C#N)nc1 ZINC001109044366 763260726 /nfs/dbraw/zinc/26/07/26/763260726.db2.gz UITNESKJKPJSIQ-NSHDSACASA-N 1 2 312.377 1.168 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2[C@@H](C)c1ncc(C)o1 ZINC001109070782 763304552 /nfs/dbraw/zinc/30/45/52/763304552.db2.gz JFRZDBWFXIIDMW-XGUBFFRZSA-N 1 2 319.405 1.968 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2[C@@H](C)c1ncc(C)o1 ZINC001109070782 763304561 /nfs/dbraw/zinc/30/45/61/763304561.db2.gz JFRZDBWFXIIDMW-XGUBFFRZSA-N 1 2 319.405 1.968 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCCN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001050199228 763309730 /nfs/dbraw/zinc/30/97/30/763309730.db2.gz HRAASUDMZFXJLZ-WMLDXEAASA-N 1 2 323.400 1.518 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCCN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001050199228 763309740 /nfs/dbraw/zinc/30/97/40/763309740.db2.gz HRAASUDMZFXJLZ-WMLDXEAASA-N 1 2 323.400 1.518 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)C1CC(Nc2ncccc2C#N)C1 ZINC001069751792 768126952 /nfs/dbraw/zinc/12/69/52/768126952.db2.gz OADAZOWKONKQNI-UHFFFAOYSA-N 1 2 310.361 1.251 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)C1CC(Nc2ccc(C#N)nc2)C1 ZINC001069759342 768129659 /nfs/dbraw/zinc/12/96/59/768129659.db2.gz RWGFZSGFGKGDHH-UHFFFAOYSA-N 1 2 324.388 1.629 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2NC1CCN(C(=O)C#CC2CC2)CC1 ZINC001057325548 763432983 /nfs/dbraw/zinc/43/29/83/763432983.db2.gz UXADMOFQLAVYAA-UHFFFAOYSA-N 1 2 324.388 1.180 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CCN(c2ccc(C#N)cn2)[C@@H]1C ZINC001050502748 763678569 /nfs/dbraw/zinc/67/85/69/763678569.db2.gz OCWVPXSQXGNROP-OCCSQVGLSA-N 1 2 324.388 1.311 20 30 DDEDLO Cc1ccc(C#N)c(NC2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)n1 ZINC001057433702 763686556 /nfs/dbraw/zinc/68/65/56/763686556.db2.gz RHPQNXBCMBFOHN-UHFFFAOYSA-N 1 2 324.388 1.630 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1onc(C)c1C)C2 ZINC001109434558 763702420 /nfs/dbraw/zinc/70/24/20/763702420.db2.gz XTURJMWUWVSPOT-ILXRZTDVSA-N 1 2 317.389 1.276 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1onc(C)c1C)C2 ZINC001109434558 763702427 /nfs/dbraw/zinc/70/24/27/763702427.db2.gz XTURJMWUWVSPOT-ILXRZTDVSA-N 1 2 317.389 1.276 20 30 DDEDLO C=C(C)C[N@@H+]1CCC(F)(F)[C@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001046968441 768158548 /nfs/dbraw/zinc/15/85/48/768158548.db2.gz GWRJVTFWELOUJY-SNVBAGLBSA-N 1 2 316.327 1.812 20 30 DDEDLO C=C(C)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001046968441 768158551 /nfs/dbraw/zinc/15/85/51/768158551.db2.gz GWRJVTFWELOUJY-SNVBAGLBSA-N 1 2 316.327 1.812 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)CCc3cn[nH]n3)C[C@H]21 ZINC001042241422 763805546 /nfs/dbraw/zinc/80/55/46/763805546.db2.gz XVRLNLNBVLFIBJ-TZMCWYRMSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)CCc3cn[nH]n3)C[C@H]21 ZINC001042241422 763805552 /nfs/dbraw/zinc/80/55/52/763805552.db2.gz XVRLNLNBVLFIBJ-TZMCWYRMSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)CCc3c[nH]nn3)C[C@H]21 ZINC001042241422 763805563 /nfs/dbraw/zinc/80/55/63/763805563.db2.gz XVRLNLNBVLFIBJ-TZMCWYRMSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)CCc3c[nH]nn3)C[C@H]21 ZINC001042241422 763805570 /nfs/dbraw/zinc/80/55/70/763805570.db2.gz XVRLNLNBVLFIBJ-TZMCWYRMSA-N 1 2 323.828 1.413 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1CC ZINC001109601941 763850848 /nfs/dbraw/zinc/85/08/48/763850848.db2.gz VAGKMBNLZVZYQC-SNPRPXQTSA-N 1 2 317.437 1.732 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1CC ZINC001109601941 763850855 /nfs/dbraw/zinc/85/08/55/763850855.db2.gz VAGKMBNLZVZYQC-SNPRPXQTSA-N 1 2 317.437 1.732 20 30 DDEDLO CN(CCCNc1ccc(C#N)cn1)C(=O)CCc1c[nH]c[nH+]1 ZINC001109653246 763914827 /nfs/dbraw/zinc/91/48/27/763914827.db2.gz PRYGDLHBFLPVCG-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO CN(CCCNc1ccc(C#N)cn1)C(=O)CCc1c[nH+]c[nH]1 ZINC001109653246 763914833 /nfs/dbraw/zinc/91/48/33/763914833.db2.gz PRYGDLHBFLPVCG-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC1CC1 ZINC001109656272 763917786 /nfs/dbraw/zinc/91/77/86/763917786.db2.gz LVRDXFUKDPQHOA-PMPSAXMXSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC1CC1 ZINC001109656272 763917789 /nfs/dbraw/zinc/91/77/89/763917789.db2.gz LVRDXFUKDPQHOA-PMPSAXMXSA-N 1 2 319.449 1.590 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1occc1Cl)C2 ZINC001109676992 763929953 /nfs/dbraw/zinc/92/99/53/763929953.db2.gz DNHVXQSKWFOZFG-XBFCOCLRSA-N 1 2 322.792 1.918 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1occc1Cl)C2 ZINC001109676992 763929963 /nfs/dbraw/zinc/92/99/63/763929963.db2.gz DNHVXQSKWFOZFG-XBFCOCLRSA-N 1 2 322.792 1.918 20 30 DDEDLO CC(C)(C(=O)N1CC2(C1)CCN(CC#N)CC2)c1c[nH+]c[nH]1 ZINC001050731467 763972651 /nfs/dbraw/zinc/97/26/51/763972651.db2.gz HCRJAHKRBKQLLF-UHFFFAOYSA-N 1 2 301.394 1.135 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(CC)n[nH]1 ZINC001050825296 764138186 /nfs/dbraw/zinc/13/81/86/764138186.db2.gz PIHCYEUWRVJOFU-CQSZACIVSA-N 1 2 306.410 1.369 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(CC)n[nH]1 ZINC001050825296 764138192 /nfs/dbraw/zinc/13/81/92/764138192.db2.gz PIHCYEUWRVJOFU-CQSZACIVSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1C(C)C ZINC001050938205 764305586 /nfs/dbraw/zinc/30/55/86/764305586.db2.gz LWFBBOVRSWTMNT-AWEZNQCLSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1C(C)C ZINC001050938205 764305594 /nfs/dbraw/zinc/30/55/94/764305594.db2.gz LWFBBOVRSWTMNT-AWEZNQCLSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnc2[nH]ccc2c1 ZINC001050972823 764369055 /nfs/dbraw/zinc/36/90/55/764369055.db2.gz QZPJXFSDUFTIKG-HNNXBMFYSA-N 1 2 314.389 1.521 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnc2[nH]ccc2c1 ZINC001050972823 764369065 /nfs/dbraw/zinc/36/90/65/764369065.db2.gz QZPJXFSDUFTIKG-HNNXBMFYSA-N 1 2 314.389 1.521 20 30 DDEDLO C[C@H]1CN(c2ccc(C#N)nc2)CC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067408206 764430929 /nfs/dbraw/zinc/43/09/29/764430929.db2.gz QHBJQBZERZKIFP-SWLSCSKDSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(C)c(C)n1 ZINC001051026956 764432184 /nfs/dbraw/zinc/43/21/84/764432184.db2.gz YWHRUOMOSQAIKK-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(C)c(C)n1 ZINC001051026956 764432188 /nfs/dbraw/zinc/43/21/88/764432188.db2.gz YWHRUOMOSQAIKK-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)C[C@H]2COc3ccccc3O2)C1 ZINC001042800222 764454196 /nfs/dbraw/zinc/45/41/96/764454196.db2.gz BNEYLHPLQIDIIU-AWEZNQCLSA-N 1 2 302.374 1.545 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2[nH]ncc2C(F)(F)F)C1 ZINC001042890642 764510685 /nfs/dbraw/zinc/51/06/85/764510685.db2.gz XKEYHEJSKFBBMG-UHFFFAOYSA-N 1 2 302.300 1.761 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2c(CC)nn(C)c2CC)C1 ZINC001042950609 764546083 /nfs/dbraw/zinc/54/60/83/764546083.db2.gz CNMCXTZFFFJIOF-UHFFFAOYSA-N 1 2 304.438 1.416 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CCC)c1 ZINC001051144444 764547778 /nfs/dbraw/zinc/54/77/78/764547778.db2.gz RNCDYCKULLCVNP-HNNXBMFYSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CCC)c1 ZINC001051144444 764547788 /nfs/dbraw/zinc/54/77/88/764547788.db2.gz RNCDYCKULLCVNP-HNNXBMFYSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H]2COCC[N@H+]2CCCC)nn1 ZINC001051156058 764563854 /nfs/dbraw/zinc/56/38/54/764563854.db2.gz NXMWWQRVXLTRDZ-AWEZNQCLSA-N 1 2 321.425 1.085 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H]2COCC[N@@H+]2CCCC)nn1 ZINC001051156058 764563858 /nfs/dbraw/zinc/56/38/58/764563858.db2.gz NXMWWQRVXLTRDZ-AWEZNQCLSA-N 1 2 321.425 1.085 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](CCOCCCC)CC1 ZINC001112671934 764569230 /nfs/dbraw/zinc/56/92/30/764569230.db2.gz XBZICEXVPNPFPU-MRXNPFEDSA-N 1 2 312.454 1.929 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(CC)nn1C ZINC001051180627 764585938 /nfs/dbraw/zinc/58/59/38/764585938.db2.gz IYSOVBCRVGZRQA-HNNXBMFYSA-N 1 2 320.437 1.379 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(CC)nn1C ZINC001051180627 764585947 /nfs/dbraw/zinc/58/59/47/764585947.db2.gz IYSOVBCRVGZRQA-HNNXBMFYSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1COc2ccccc21 ZINC001051271599 764694342 /nfs/dbraw/zinc/69/43/42/764694342.db2.gz MUUZCVIGVADNMG-GOEBONIOSA-N 1 2 316.401 1.556 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1COc2ccccc21 ZINC001051271599 764694345 /nfs/dbraw/zinc/69/43/45/764694345.db2.gz MUUZCVIGVADNMG-GOEBONIOSA-N 1 2 316.401 1.556 20 30 DDEDLO C=CCOCCCC(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001112756144 764706495 /nfs/dbraw/zinc/70/64/95/764706495.db2.gz YHVSQTBNQSJNJM-UHFFFAOYSA-N 1 2 324.465 1.930 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+](CCn2cccn2)CC1 ZINC001112880799 764916949 /nfs/dbraw/zinc/91/69/49/764916949.db2.gz PTWAQZLILGSPJL-UHFFFAOYSA-N 1 2 302.422 1.796 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC001112888326 764927114 /nfs/dbraw/zinc/92/71/14/764927114.db2.gz XKMGOZWEWNFBIS-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2ccc3c(c2)CCO3)C1 ZINC001043499737 764941966 /nfs/dbraw/zinc/94/19/66/764941966.db2.gz FIMHCWXYVQFNHA-UHFFFAOYSA-N 1 2 300.402 1.883 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)C(C)(C)c2c(C)noc2C)C1 ZINC001043592257 764993773 /nfs/dbraw/zinc/99/37/73/764993773.db2.gz XJQZRTDDNQHNOT-UHFFFAOYSA-N 1 2 303.406 1.735 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051672366 765057830 /nfs/dbraw/zinc/05/78/30/765057830.db2.gz RZEXMJKNIUESSV-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@@H](C)Nc1ncccc1C#N ZINC001112993242 765102166 /nfs/dbraw/zinc/10/21/66/765102166.db2.gz FOAPDUCMSOICNY-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H](C[NH2+]Cc2nnc(CC)o2)C1 ZINC001051757177 765130979 /nfs/dbraw/zinc/13/09/79/765130979.db2.gz YCQFFRVGEHJLBI-LBPRGKRZSA-N 1 2 322.409 1.161 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCO[C@@H](C[NH2+]Cc2nc(C)no2)C1 ZINC001051802515 765174882 /nfs/dbraw/zinc/17/48/82/765174882.db2.gz CPMBIQGAZCGJPJ-BBRMVZONSA-N 1 2 322.409 1.297 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)[C@H](C)NC(=O)Cn2cc[nH+]c2)n1 ZINC001113081573 765232981 /nfs/dbraw/zinc/23/29/81/765232981.db2.gz FRGLZJGIPLPPCS-QWHCGFSZSA-N 1 2 312.377 1.464 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)/C=C(\C)C3CC3)C2)CC1 ZINC001051992625 765358785 /nfs/dbraw/zinc/35/87/85/765358785.db2.gz DPSBXOAVSMTNGN-ZWFBASDOSA-N 1 2 315.461 1.585 20 30 DDEDLO C=C(C)C[NH+]1CCN([C@@H]2CCN(C(=O)c3cnc(C)[nH]3)C2)CC1 ZINC001051998176 765364775 /nfs/dbraw/zinc/36/47/75/765364775.db2.gz OLRFWFIGTCNICQ-OAHLLOKOSA-N 1 2 317.437 1.126 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@@H](OCC)C2CCCC2)CC1 ZINC001113167231 765366013 /nfs/dbraw/zinc/36/60/13/765366013.db2.gz DSKSXPJHGVCXAK-KRWDZBQOSA-N 1 2 324.465 1.929 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@@H](C)C3CC3)C2)CC1 ZINC001052005547 765371931 /nfs/dbraw/zinc/37/19/31/765371931.db2.gz DHABZWZDTPROKS-RDJZCZTQSA-N 1 2 305.466 1.827 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)CC3CCC3)C2)CC1 ZINC001052005209 765371974 /nfs/dbraw/zinc/37/19/74/765371974.db2.gz YUTZCVDBXYDPAH-QGZVFWFLSA-N 1 2 303.450 1.418 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc(NC(=O)NC)c2)C1 ZINC001044185017 765374247 /nfs/dbraw/zinc/37/42/47/765374247.db2.gz YPRUEUSNQXBUMQ-UHFFFAOYSA-N 1 2 316.405 1.770 20 30 DDEDLO C=CCC[NH+]1CC(N(C)C(=O)c2sc(COC)nc2C)C1 ZINC001044237203 765416673 /nfs/dbraw/zinc/41/66/73/765416673.db2.gz HIRMNJDRTKFCIE-UHFFFAOYSA-N 1 2 309.435 1.930 20 30 DDEDLO CCc1ccc(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)o1 ZINC001052045709 765418179 /nfs/dbraw/zinc/41/81/79/765418179.db2.gz WQLWEFCRGIWKAN-AWEZNQCLSA-N 1 2 316.405 1.198 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cc(F)c[nH]3)C2)CC1 ZINC001052052140 765424042 /nfs/dbraw/zinc/42/40/42/765424042.db2.gz IZHPEBLRTDWNGR-CQSZACIVSA-N 1 2 306.385 1.172 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3CC34CC4)C2)CC1 ZINC001052091697 765463093 /nfs/dbraw/zinc/46/30/93/765463093.db2.gz KFXRUOSXMIAMPU-HZPDHXFCSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H](C)C(C)C)C2)CC1 ZINC001052094641 765465219 /nfs/dbraw/zinc/46/52/19/765465219.db2.gz WXJKQBVACWUIIC-DLBZAZTESA-N 1 2 305.466 1.520 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCCN2CC(F)(F)F)C1 ZINC001044336161 765491432 /nfs/dbraw/zinc/49/14/32/765491432.db2.gz ZHCLXOXYAPGIQL-LBPRGKRZSA-N 1 2 305.344 1.342 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(NC(C)=O)ccc2F)C1 ZINC001044356969 765505169 /nfs/dbraw/zinc/50/51/69/765505169.db2.gz DMEVVCPNUBBDSR-UHFFFAOYSA-N 1 2 317.364 1.564 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CCCN(CC#N)CC3)ccn12 ZINC001052380005 765774546 /nfs/dbraw/zinc/77/45/46/765774546.db2.gz AXFLOTKFVXRLID-HNNXBMFYSA-N 1 2 311.389 1.751 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn(C(C)C)c2C)CC1 ZINC001113520137 765795267 /nfs/dbraw/zinc/79/52/67/765795267.db2.gz NUYHOCWMPHHLJD-UHFFFAOYSA-N 1 2 320.437 1.733 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)n1 ZINC001044963240 765974245 /nfs/dbraw/zinc/97/42/45/765974245.db2.gz YWQTZZGRMMUAQB-RYUDHWBXSA-N 1 2 305.382 1.433 20 30 DDEDLO C=C[C@H](C(=O)N1CC[NH+](CCOCCO)CC1)c1ccccc1 ZINC001113665091 765989848 /nfs/dbraw/zinc/98/98/48/765989848.db2.gz PEYRPUSBWYIXKE-KRWDZBQOSA-N 1 2 318.417 1.109 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC001045030488 766049320 /nfs/dbraw/zinc/04/93/20/766049320.db2.gz HJZMSEQXKWXEIJ-AWEZNQCLSA-N 1 2 316.405 1.516 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001058247103 766324644 /nfs/dbraw/zinc/32/46/44/766324644.db2.gz KCFWDMDBRWURMJ-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCCC ZINC001113990892 766506749 /nfs/dbraw/zinc/50/67/49/766506749.db2.gz XRRPFGQWWXIBEE-PJXYFTJBSA-N 1 2 317.227 1.368 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCCC ZINC001113990892 766506757 /nfs/dbraw/zinc/50/67/57/766506757.db2.gz XRRPFGQWWXIBEE-PJXYFTJBSA-N 1 2 317.227 1.368 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ncccc1C#N ZINC001067577482 766618989 /nfs/dbraw/zinc/61/89/89/766618989.db2.gz KMAJIGLHMZUQBY-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001114113757 766657432 /nfs/dbraw/zinc/65/74/32/766657432.db2.gz FNTNTHVGPCFLCN-FOLVSLTJSA-N 1 2 321.446 1.672 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001114113757 766657436 /nfs/dbraw/zinc/65/74/36/766657436.db2.gz FNTNTHVGPCFLCN-FOLVSLTJSA-N 1 2 321.446 1.672 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114159492 766711483 /nfs/dbraw/zinc/71/14/83/766711483.db2.gz GFELFZWDLNAQKV-LAQFHYBYSA-N 1 2 316.405 1.372 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114159492 766711491 /nfs/dbraw/zinc/71/14/91/766711491.db2.gz GFELFZWDLNAQKV-LAQFHYBYSA-N 1 2 316.405 1.372 20 30 DDEDLO N#CCN1CC[C@@]2(C1)CCCCN(C(=O)Cc1[nH]cc[nH+]1)C2 ZINC001045975365 766753458 /nfs/dbraw/zinc/75/34/58/766753458.db2.gz AUCAIELIIATHJD-MRXNPFEDSA-N 1 2 301.394 1.180 20 30 DDEDLO N#Cc1ccc(NCC2CC(NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001067860546 766761856 /nfs/dbraw/zinc/76/18/56/766761856.db2.gz FSKXHWUPJHCTII-UHFFFAOYSA-N 1 2 310.361 1.226 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)[C@@H]3CC=CCC3)CC2)C1 ZINC001046003133 766790482 /nfs/dbraw/zinc/79/04/82/766790482.db2.gz WJRROBGEDOGUAM-HZPDHXFCSA-N 1 2 302.422 1.085 20 30 DDEDLO C[C@]1(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)C[C@H]2C[C@H]2C1 ZINC001046047694 766852859 /nfs/dbraw/zinc/85/28/59/766852859.db2.gz WRDWABLWKQJYFH-HPFXQQBRSA-N 1 2 316.449 1.165 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046060822 766873467 /nfs/dbraw/zinc/87/34/67/766873467.db2.gz GKZRMEFSIGYAQD-WBVHZDCISA-N 1 2 304.438 1.331 20 30 DDEDLO C[C@@H](CC(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C1CC1 ZINC001046086191 766900091 /nfs/dbraw/zinc/90/00/91/766900091.db2.gz UEMHIAJWBPXAJL-HOCLYGCPSA-N 1 2 304.438 1.165 20 30 DDEDLO C[C@@H]1C[C@@H](CNc2ccc(C#N)cn2)CN1C(=O)Cn1cc[nH+]c1 ZINC001068334958 767115650 /nfs/dbraw/zinc/11/56/50/767115650.db2.gz DYTMQJWFEDJNTO-HIFRSBDPSA-N 1 2 324.388 1.499 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cn3c(n2)CCC3)C1 ZINC001046326194 767425733 /nfs/dbraw/zinc/42/57/33/767425733.db2.gz HIIHKOGYXYUPPZ-OAHLLOKOSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cn3c(n2)CCC3)C1 ZINC001046326194 767425741 /nfs/dbraw/zinc/42/57/41/767425741.db2.gz HIIHKOGYXYUPPZ-OAHLLOKOSA-N 1 2 308.813 1.776 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[NH2+]Cc1csnn1 ZINC001130374074 767473149 /nfs/dbraw/zinc/47/31/49/767473149.db2.gz FDNLCXWKPNQGJO-UHFFFAOYSA-N 1 2 318.402 1.623 20 30 DDEDLO CN(c1ncccc1C#N)C1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001068688756 767523074 /nfs/dbraw/zinc/52/30/74/767523074.db2.gz FAKHGRHDRDDHGZ-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](Nc2ccc(C#N)cn2)[C@H]1C ZINC001068871867 767672503 /nfs/dbraw/zinc/67/25/03/767672503.db2.gz BUQJBHWYQXWTPU-TZMCWYRMSA-N 1 2 324.388 1.629 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H](Nc3cc[nH+]c(C)n3)[C@@H]2C)c1 ZINC001068953740 767721746 /nfs/dbraw/zinc/72/17/46/767721746.db2.gz PDKUFEGULJYEHA-BLLLJJGKSA-N 1 2 321.384 1.876 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)Cc1c[nH+]c[nH]1)C2 ZINC001047395286 768449038 /nfs/dbraw/zinc/44/90/38/768449038.db2.gz NTDXHMBJXMUOBT-YDHLFZDLSA-N 1 2 316.405 1.414 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cn3cc(C)nc3s2)C1 ZINC001047407607 768457478 /nfs/dbraw/zinc/45/74/78/768457478.db2.gz LXPSOLARCWIXQJ-RYUDHWBXSA-N 1 2 320.418 1.007 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cn3cc(C)nc3s2)C1 ZINC001047407607 768457481 /nfs/dbraw/zinc/45/74/81/768457481.db2.gz LXPSOLARCWIXQJ-RYUDHWBXSA-N 1 2 320.418 1.007 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001070525346 768550179 /nfs/dbraw/zinc/55/01/79/768550179.db2.gz HBFSUDAFLJBYEV-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ncsc2C(C)C)C1 ZINC001047594373 768597942 /nfs/dbraw/zinc/59/79/42/768597942.db2.gz YBOWJJSIGPBNDF-RYUDHWBXSA-N 1 2 307.419 1.017 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ncsc2C(C)C)C1 ZINC001047594373 768597947 /nfs/dbraw/zinc/59/79/47/768597947.db2.gz YBOWJJSIGPBNDF-RYUDHWBXSA-N 1 2 307.419 1.017 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(N)=O)C1 ZINC001132078216 768608866 /nfs/dbraw/zinc/60/88/66/768608866.db2.gz KKLWRLUHJILJSK-MWLCHTKSSA-N 1 2 301.818 1.220 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(N)=O)C1 ZINC001132078216 768608870 /nfs/dbraw/zinc/60/88/70/768608870.db2.gz KKLWRLUHJILJSK-MWLCHTKSSA-N 1 2 301.818 1.220 20 30 DDEDLO C=C/C(C)=C\CC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132227993 768698911 /nfs/dbraw/zinc/69/89/11/768698911.db2.gz KFDPQBAMJMNACO-RZAHBXAWSA-N 1 2 318.421 1.118 20 30 DDEDLO C=C/C(C)=C\CC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132227993 768698914 /nfs/dbraw/zinc/69/89/14/768698914.db2.gz KFDPQBAMJMNACO-RZAHBXAWSA-N 1 2 318.421 1.118 20 30 DDEDLO CCC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132252603 768706743 /nfs/dbraw/zinc/70/67/43/768706743.db2.gz HPNPCRAOLVZSIF-STQMWFEESA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132252603 768706749 /nfs/dbraw/zinc/70/67/49/768706749.db2.gz HPNPCRAOLVZSIF-STQMWFEESA-N 1 2 308.426 1.031 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C2(CCC)CC2)CC[C@@H]1C ZINC001132274619 768731995 /nfs/dbraw/zinc/73/19/95/768731995.db2.gz XKKWYEHYPBTYKB-GJZGRUSLSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C2(CCC)CC2)CC[C@@H]1C ZINC001132274619 768731997 /nfs/dbraw/zinc/73/19/97/768731997.db2.gz XKKWYEHYPBTYKB-GJZGRUSLSA-N 1 2 319.449 1.285 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC(C)(C)C2)CC1 ZINC001070996060 768856841 /nfs/dbraw/zinc/85/68/41/768856841.db2.gz GOWURSSBQSOQPU-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC(C)(C)C2)CC1 ZINC001070996060 768856852 /nfs/dbraw/zinc/85/68/52/768856852.db2.gz GOWURSSBQSOQPU-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[C@@H](C)[N@@H+](CC(N)=O)C2)CCCC1 ZINC001132545930 768961337 /nfs/dbraw/zinc/96/13/37/768961337.db2.gz GVLPFWWLMYYGDR-ZIAGYGMSSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[C@@H](C)[N@H+](CC(N)=O)C2)CCCC1 ZINC001132545930 768961338 /nfs/dbraw/zinc/96/13/38/768961338.db2.gz GVLPFWWLMYYGDR-ZIAGYGMSSA-N 1 2 307.438 1.577 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCCC(N)=O)CC[C@@H]1C ZINC001132536957 768971291 /nfs/dbraw/zinc/97/12/91/768971291.db2.gz KGAAAYXCMAQNBA-NWDGAFQWSA-N 1 2 301.818 1.364 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCCC(N)=O)CC[C@@H]1C ZINC001132536957 768971294 /nfs/dbraw/zinc/97/12/94/768971294.db2.gz KGAAAYXCMAQNBA-NWDGAFQWSA-N 1 2 301.818 1.364 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nonc1C)C2 ZINC001098131433 769060439 /nfs/dbraw/zinc/06/04/39/769060439.db2.gz BRTFCFPLCQNBCT-RTXFEEFZSA-N 1 2 310.785 1.395 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nonc1C)C2 ZINC001098131433 769060447 /nfs/dbraw/zinc/06/04/47/769060447.db2.gz BRTFCFPLCQNBCT-RTXFEEFZSA-N 1 2 310.785 1.395 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CN2CCOCC2)CC[C@@H]1C ZINC001071439725 769436023 /nfs/dbraw/zinc/43/60/23/769436023.db2.gz HUWBSZXBKRHUKX-UONOGXRCSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CN2CCOCC2)CC[C@@H]1C ZINC001071439725 769436027 /nfs/dbraw/zinc/43/60/27/769436027.db2.gz HUWBSZXBKRHUKX-UONOGXRCSA-N 1 2 315.845 1.040 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001071929486 770303454 /nfs/dbraw/zinc/30/34/54/770303454.db2.gz QHAUTWFQFCRJOV-LSDHHAIUSA-N 1 2 316.405 1.040 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1CC[C@@H](C)[N@@H+](CCF)C1 ZINC001072006352 770423952 /nfs/dbraw/zinc/42/39/52/770423952.db2.gz SBVSGKZCDUYDQW-KGLIPLIRSA-N 1 2 319.380 1.646 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1CC[C@@H](C)[N@H+](CCF)C1 ZINC001072006352 770423959 /nfs/dbraw/zinc/42/39/59/770423959.db2.gz SBVSGKZCDUYDQW-KGLIPLIRSA-N 1 2 319.380 1.646 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)co1 ZINC001049368816 770745265 /nfs/dbraw/zinc/74/52/65/770745265.db2.gz BVBMOWDDUFESOX-KCPJHIHWSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)co1 ZINC001049368816 770745268 /nfs/dbraw/zinc/74/52/68/770745268.db2.gz BVBMOWDDUFESOX-KCPJHIHWSA-N 1 2 302.378 1.708 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001072454167 770921811 /nfs/dbraw/zinc/92/18/11/770921811.db2.gz ULMNKWCOPBQIED-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](Nc2cc[nH+]c(C)n2)C2CC2)nc1 ZINC001096706437 771405182 /nfs/dbraw/zinc/40/51/82/771405182.db2.gz NJGQCWIDRHVONJ-MRXNPFEDSA-N 1 2 321.384 1.782 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)c1cnn(C)c1)C2 ZINC001096696957 771423297 /nfs/dbraw/zinc/42/32/97/771423297.db2.gz RQAHILUIORKIKR-RZFFKMDDSA-N 1 2 322.840 1.998 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)c1cnn(C)c1)C2 ZINC001096696957 771423300 /nfs/dbraw/zinc/42/33/00/771423300.db2.gz RQAHILUIORKIKR-RZFFKMDDSA-N 1 2 322.840 1.998 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(CC)c1)C2 ZINC001096895584 771501532 /nfs/dbraw/zinc/50/15/32/771501532.db2.gz RNACMNAODLUKQC-MCIONIFRSA-N 1 2 308.813 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(CC)c1)C2 ZINC001096895584 771501535 /nfs/dbraw/zinc/50/15/35/771501535.db2.gz RNACMNAODLUKQC-MCIONIFRSA-N 1 2 308.813 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C#N)c[nH]1)C2 ZINC001096931296 771522389 /nfs/dbraw/zinc/52/23/89/771522389.db2.gz PLGDJYHFDFSGOS-BZPMIXESSA-N 1 2 304.781 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C#N)c[nH]1)C2 ZINC001096931296 771522391 /nfs/dbraw/zinc/52/23/91/771522391.db2.gz PLGDJYHFDFSGOS-BZPMIXESSA-N 1 2 304.781 1.974 20 30 DDEDLO C[C@@H](CC(=O)N[C@H]1C[C@H](Nc2ccncc2C#N)C1)n1cc[nH+]c1 ZINC001097105403 771625753 /nfs/dbraw/zinc/62/57/53/771625753.db2.gz UKGRPTBDHLICOZ-QEJZJMRPSA-N 1 2 324.388 1.282 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)c1ccc(-n2cc[nH+]c2)cn1)NCC#N ZINC001135916606 771787011 /nfs/dbraw/zinc/78/70/11/771787011.db2.gz POFYQVSPQUQRSC-OLZOCXBDSA-N 1 2 312.377 1.277 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C)cc2)[C@@H](O)C1 ZINC001090755958 772171440 /nfs/dbraw/zinc/17/14/40/772171440.db2.gz ZJZJZVXFVAKIMY-GJZGRUSLSA-N 1 2 308.809 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C)cc2)[C@@H](O)C1 ZINC001090755958 772171441 /nfs/dbraw/zinc/17/14/41/772171441.db2.gz ZJZJZVXFVAKIMY-GJZGRUSLSA-N 1 2 308.809 1.913 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cscn1)C2 ZINC001147462038 773141646 /nfs/dbraw/zinc/14/16/46/773141646.db2.gz MJEGQQCYWFMEPH-UHFFFAOYSA-N 1 2 303.431 1.981 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cscn1)C2 ZINC001147462038 773141648 /nfs/dbraw/zinc/14/16/48/773141648.db2.gz MJEGQQCYWFMEPH-UHFFFAOYSA-N 1 2 303.431 1.981 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001148874225 773610725 /nfs/dbraw/zinc/61/07/25/773610725.db2.gz NLVDIAMGYKJSEO-CQSZACIVSA-N 1 2 316.405 1.102 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001148874225 773610728 /nfs/dbraw/zinc/61/07/28/773610728.db2.gz NLVDIAMGYKJSEO-CQSZACIVSA-N 1 2 316.405 1.102 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(C)n3)C[C@H]21 ZINC001074166798 773690049 /nfs/dbraw/zinc/69/00/49/773690049.db2.gz MSYRXBLFBGSGPL-IAGOWNOFSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(C)n3)C[C@H]21 ZINC001074166798 773690053 /nfs/dbraw/zinc/69/00/53/773690053.db2.gz MSYRXBLFBGSGPL-IAGOWNOFSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C(/C)C3CC3)C[C@@H]21 ZINC001074184297 773706098 /nfs/dbraw/zinc/70/60/98/773706098.db2.gz KCBUISSFXQBSBI-LMAMMIIJSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C(/C)C3CC3)C[C@@H]21 ZINC001074184297 773706102 /nfs/dbraw/zinc/70/61/02/773706102.db2.gz KCBUISSFXQBSBI-LMAMMIIJSA-N 1 2 302.418 1.668 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCOC)[C@@H]2C1 ZINC001074314266 773815911 /nfs/dbraw/zinc/81/59/11/773815911.db2.gz BVRTYBHUXYQAMX-HUUCEWRRSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCOC)[C@@H]2C1 ZINC001074314266 773815917 /nfs/dbraw/zinc/81/59/17/773815917.db2.gz BVRTYBHUXYQAMX-HUUCEWRRSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2C[N@@H+](Cc3cnn(C)n3)C[C@@]2(C)C1 ZINC001091916381 773859298 /nfs/dbraw/zinc/85/92/98/773859298.db2.gz HZWUMTTTWQRBHI-DYVFJYSZSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2C[N@H+](Cc3cnn(C)n3)C[C@@]2(C)C1 ZINC001091916381 773859300 /nfs/dbraw/zinc/85/93/00/773859300.db2.gz HZWUMTTTWQRBHI-DYVFJYSZSA-N 1 2 317.437 1.308 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)cc3)C[C@H]21 ZINC001074392104 773886073 /nfs/dbraw/zinc/88/60/73/773886073.db2.gz DOZXAFVQJBJYCG-QZTJIDSGSA-N 1 2 312.413 1.934 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)cc3)C[C@H]21 ZINC001074392104 773886077 /nfs/dbraw/zinc/88/60/77/773886077.db2.gz DOZXAFVQJBJYCG-QZTJIDSGSA-N 1 2 312.413 1.934 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(=O)[nH]c3)C[C@@H]21 ZINC001074397607 773891499 /nfs/dbraw/zinc/89/14/99/773891499.db2.gz KEYVYIWBZWCNEJ-LSDHHAIUSA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(=O)[nH]c3)C[C@@H]21 ZINC001074397607 773891501 /nfs/dbraw/zinc/89/15/01/773891501.db2.gz KEYVYIWBZWCNEJ-LSDHHAIUSA-N 1 2 317.389 1.279 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@H]2CCN(C(=O)[C@@H](C)C#N)[C@H]2C)n1 ZINC001074569999 774002022 /nfs/dbraw/zinc/00/20/22/774002022.db2.gz DCZHUZIZPKNUNN-AVGNSLFASA-N 1 2 319.409 1.507 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC1CC(Nc2ccc(C#N)nc2)C1 ZINC001092231769 774020172 /nfs/dbraw/zinc/02/01/72/774020172.db2.gz ZTWZOMRTDLPWLD-UHFFFAOYSA-N 1 2 324.388 1.534 20 30 DDEDLO N#Cc1cnccc1NC1CC(CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001092269400 774037079 /nfs/dbraw/zinc/03/70/79/774037079.db2.gz NQVCDMBRXCRMJO-UHFFFAOYSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1cnccc1NC1CC(CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001092269400 774037083 /nfs/dbraw/zinc/03/70/83/774037083.db2.gz NQVCDMBRXCRMJO-UHFFFAOYSA-N 1 2 324.388 1.038 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CC(F)(F)C1)c1nccn12 ZINC001092357546 774072043 /nfs/dbraw/zinc/07/20/43/774072043.db2.gz XJOJVQRUYOPCNI-GFCCVEGCSA-N 1 2 322.359 1.686 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1nccs1)c1nccn12 ZINC001092406399 774104174 /nfs/dbraw/zinc/10/41/74/774104174.db2.gz UIHWNAHGMGTOQC-LLVKDONJSA-N 1 2 315.402 1.411 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)=C1CCC1)c1nccn12 ZINC001092366329 774105792 /nfs/dbraw/zinc/10/57/92/774105792.db2.gz RGGAJWKDHUJCEV-MRXNPFEDSA-N 1 2 324.428 1.979 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccc[nH]1)c1nccn12 ZINC001092413203 774124826 /nfs/dbraw/zinc/12/48/26/774124826.db2.gz OOMBUHHSERHHLO-HNNXBMFYSA-N 1 2 323.400 1.049 20 30 DDEDLO Cc1nc(N2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H](C)C#N)C3)c(C)c(C)[nH+]1 ZINC001092811474 774276637 /nfs/dbraw/zinc/27/66/37/774276637.db2.gz IMYQBMFTHMZOJI-FHOOGIDHSA-N 1 2 313.405 1.787 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(C(N)=O)c1)C2 ZINC001098410423 774569746 /nfs/dbraw/zinc/56/97/46/774569746.db2.gz CMJHERNXKKWPPR-WZRBSPASSA-N 1 2 322.796 1.201 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(C(N)=O)c1)C2 ZINC001098410423 774569747 /nfs/dbraw/zinc/56/97/47/774569747.db2.gz CMJHERNXKKWPPR-WZRBSPASSA-N 1 2 322.796 1.201 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001093355479 774652476 /nfs/dbraw/zinc/65/24/76/774652476.db2.gz XENVFPWKAPNYPT-NHAGDIPZSA-N 1 2 300.406 1.938 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cccc(C)n3)CC2)C1 ZINC001093506187 774750110 /nfs/dbraw/zinc/75/01/10/774750110.db2.gz YXYYFARKMJFYDH-UHFFFAOYSA-N 1 2 315.417 1.881 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3C[C@@H]3OCC)CC2)C1 ZINC001093510797 774758392 /nfs/dbraw/zinc/75/83/92/774758392.db2.gz IGZGOHSMDJTWAX-IRXDYDNUSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3C[C@H]3OCC)CC2)C1 ZINC001093511012 774758432 /nfs/dbraw/zinc/75/84/32/774758432.db2.gz MNUMZMUAXBJHGH-HZPDHXFCSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3coc(C)n3)CC2)C1 ZINC001093529663 774781773 /nfs/dbraw/zinc/78/17/73/774781773.db2.gz CELJFISSRIIUAK-UHFFFAOYSA-N 1 2 319.405 1.865 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)Cc2nnc[nH]2)C1 ZINC001099111620 774843184 /nfs/dbraw/zinc/84/31/84/774843184.db2.gz JEFATGZGILXXSZ-FZMZJTMJSA-N 1 2 309.801 1.070 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)Cc2nnc[nH]2)C1 ZINC001099111620 774843195 /nfs/dbraw/zinc/84/31/95/774843195.db2.gz JEFATGZGILXXSZ-FZMZJTMJSA-N 1 2 309.801 1.070 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3ccncn3)CC2)C1 ZINC001093584475 774862258 /nfs/dbraw/zinc/86/22/58/774862258.db2.gz QWXJVQJNVUTXAR-UHFFFAOYSA-N 1 2 316.405 1.358 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3CCO[C@H]3C)CC2)C1 ZINC001093588540 774869987 /nfs/dbraw/zinc/86/99/87/774869987.db2.gz PXVOMQKONZYPJT-YOEHRIQHSA-N 1 2 322.449 1.679 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCO[C@@H]3C)CC2)C1 ZINC001093588538 774870323 /nfs/dbraw/zinc/87/03/23/774870323.db2.gz PXVOMQKONZYPJT-RHSMWYFYSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@]3(C)CCO[C@H]3C)CC2)C1 ZINC001093557143 774881672 /nfs/dbraw/zinc/88/16/72/774881672.db2.gz MZSMDKUJQYFZKQ-KSSFIOAISA-N 1 2 322.449 1.679 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H]1CCC(C)(C)CN1CC#N ZINC001099310104 774942103 /nfs/dbraw/zinc/94/21/03/774942103.db2.gz HQZXLOMXOQVIKZ-CYBMUJFWSA-N 1 2 303.410 1.093 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)c(F)c2)C[C@@H]1O ZINC001099724166 775185420 /nfs/dbraw/zinc/18/54/20/775185420.db2.gz MPXXHENEBMASOK-HOTGVXAUSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)c(F)c2)C[C@@H]1O ZINC001099724166 775185423 /nfs/dbraw/zinc/18/54/23/775185423.db2.gz MPXXHENEBMASOK-HOTGVXAUSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)c(F)c2)C[C@H]1O ZINC001099724168 775185706 /nfs/dbraw/zinc/18/57/06/775185706.db2.gz MPXXHENEBMASOK-JKSUJKDBSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)c(F)c2)C[C@H]1O ZINC001099724168 775185714 /nfs/dbraw/zinc/18/57/14/775185714.db2.gz MPXXHENEBMASOK-JKSUJKDBSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(F)cc(F)c2)C[C@@H]1O ZINC001099726769 775191766 /nfs/dbraw/zinc/19/17/66/775191766.db2.gz JRUSKOSVZIISTM-HOTGVXAUSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cc(F)cc(F)c2)C[C@@H]1O ZINC001099726769 775191775 /nfs/dbraw/zinc/19/17/75/775191775.db2.gz JRUSKOSVZIISTM-HOTGVXAUSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099975685 775506697 /nfs/dbraw/zinc/50/66/97/775506697.db2.gz GBEDXXYORBJXMR-KBPBESRZSA-N 1 2 323.462 1.859 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099975685 775506705 /nfs/dbraw/zinc/50/67/05/775506705.db2.gz GBEDXXYORBJXMR-KBPBESRZSA-N 1 2 323.462 1.859 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099975788 775506732 /nfs/dbraw/zinc/50/67/32/775506732.db2.gz HDBNNLBFHXTHAQ-ZBFHGGJFSA-N 1 2 321.421 1.699 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099975788 775506739 /nfs/dbraw/zinc/50/67/39/775506739.db2.gz HDBNNLBFHXTHAQ-ZBFHGGJFSA-N 1 2 321.421 1.699 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)CC#Cc2ccccc2)cc[nH+]1 ZINC001100143883 775727511 /nfs/dbraw/zinc/72/75/11/775727511.db2.gz CWXYCWGKPKSDOJ-UHFFFAOYSA-N 1 2 308.385 1.779 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCCN(C)c1cc[nH+]c(C)n1 ZINC001100238867 775874992 /nfs/dbraw/zinc/87/49/92/775874992.db2.gz VJXTWTMFAXYBMT-INIZCTEOSA-N 1 2 306.410 1.566 20 30 DDEDLO CN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)c1ccc(C#N)nc1 ZINC001100334111 776013067 /nfs/dbraw/zinc/01/30/67/776013067.db2.gz SAPGEURTEYIHJM-HUUCEWRRSA-N 1 2 324.388 1.043 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3sccc3C)CC2=O)C1 ZINC001094693282 776203409 /nfs/dbraw/zinc/20/34/09/776203409.db2.gz NIJONLNJUSWLRU-LBPRGKRZSA-N 1 2 319.430 1.257 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3(C4CC4)CC3)CC2=O)C1 ZINC001094748901 776245572 /nfs/dbraw/zinc/24/55/72/776245572.db2.gz FOYJMERVNOPAJZ-AWEZNQCLSA-N 1 2 317.433 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3(C)CCC3)CC2=O)C1 ZINC001094769011 776257314 /nfs/dbraw/zinc/25/73/14/776257314.db2.gz ZWPFKUHYNWFIGT-CYBMUJFWSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3CCC3(C)C)CC2=O)C1 ZINC001094770997 776260184 /nfs/dbraw/zinc/26/01/84/776260184.db2.gz GGXWEXIVKZVJTL-HIFRSBDPSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCCOCC(=O)NCCN(CC)c1nc(C)[nH+]c(C)c1C ZINC001100552578 776299658 /nfs/dbraw/zinc/29/96/58/776299658.db2.gz XOMHGCGHVLJEKG-UHFFFAOYSA-N 1 2 320.437 1.937 20 30 DDEDLO N#Cc1cccnc1N1CC[C@@H](CNC(=O)CCn2cc[nH+]c2)C1 ZINC001101033600 776842829 /nfs/dbraw/zinc/84/28/29/776842829.db2.gz BJVNINCMLTYJIR-AWEZNQCLSA-N 1 2 324.388 1.183 20 30 DDEDLO C#Cc1ccc(C(=O)NCCN(CCC)c2cc[nH+]c(C)n2)cn1 ZINC001101163267 776956960 /nfs/dbraw/zinc/95/69/60/776956960.db2.gz GAFAOOOXSZNHMY-UHFFFAOYSA-N 1 2 323.400 1.808 20 30 DDEDLO CCCN(CCNC(=O)Cc1[nH]cc[nH+]1)c1ccncc1C#N ZINC001101172607 776964565 /nfs/dbraw/zinc/96/45/65/776964565.db2.gz RBHLFKHRAOHJLK-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CC(C)(F)F)c1nccn12 ZINC001101643270 777339674 /nfs/dbraw/zinc/33/96/74/777339674.db2.gz ZZKYYJGTHOPUNR-LLVKDONJSA-N 1 2 310.348 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc[nH]c(=O)c1)C2 ZINC001095291990 777440501 /nfs/dbraw/zinc/44/05/01/777440501.db2.gz VQEKGTNNYSSSID-AGIUHOORSA-N 1 2 307.781 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc[nH]c(=O)c1)C2 ZINC001095291990 777440505 /nfs/dbraw/zinc/44/05/05/777440505.db2.gz VQEKGTNNYSSSID-AGIUHOORSA-N 1 2 307.781 1.875 20 30 DDEDLO C#CCC1(C(=O)N(C)CCNc2cc[nH+]c(C)n2)CCOCC1 ZINC001101817890 777559361 /nfs/dbraw/zinc/55/93/61/777559361.db2.gz RJGKZLSHVJMPMZ-UHFFFAOYSA-N 1 2 316.405 1.475 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ncc(Cl)n2C)C[C@H]1C ZINC001101824177 777569542 /nfs/dbraw/zinc/56/95/42/777569542.db2.gz YDIIPAYNWVGIOJ-QJPTWQEYSA-N 1 2 323.828 1.417 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ncc(Cl)n2C)C[C@H]1C ZINC001101824177 777569548 /nfs/dbraw/zinc/56/95/48/777569548.db2.gz YDIIPAYNWVGIOJ-QJPTWQEYSA-N 1 2 323.828 1.417 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001110184765 777749562 /nfs/dbraw/zinc/74/95/62/777749562.db2.gz VZNDBVMXZQUQFG-NEBZKDRISA-N 1 2 321.446 1.956 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001110184765 777749566 /nfs/dbraw/zinc/74/95/66/777749566.db2.gz VZNDBVMXZQUQFG-NEBZKDRISA-N 1 2 321.446 1.956 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102356040 778092436 /nfs/dbraw/zinc/09/24/36/778092436.db2.gz UZURNTUZSKDCOG-ZFWWWQNUSA-N 1 2 316.405 1.156 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102452107 778148634 /nfs/dbraw/zinc/14/86/34/778148634.db2.gz OLVDICYEBQVIMW-HNNXBMFYSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CSC(C)C)C[C@H]21 ZINC001176930051 778318458 /nfs/dbraw/zinc/31/84/58/778318458.db2.gz PGTIMUMXMBFFMJ-CABCVRRESA-N 1 2 310.463 1.453 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CSC(C)C)C[C@H]21 ZINC001176930051 778318462 /nfs/dbraw/zinc/31/84/62/778318462.db2.gz PGTIMUMXMBFFMJ-CABCVRRESA-N 1 2 310.463 1.453 20 30 DDEDLO CCOCCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)C)C[C@H]21 ZINC001177019425 778395981 /nfs/dbraw/zinc/39/59/81/778395981.db2.gz LYJQRDYEULAETC-IAGOWNOFSA-N 1 2 322.449 1.374 20 30 DDEDLO CCOCCC[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)C)C[C@H]21 ZINC001177019425 778395987 /nfs/dbraw/zinc/39/59/87/778395987.db2.gz LYJQRDYEULAETC-IAGOWNOFSA-N 1 2 322.449 1.374 20 30 DDEDLO CCN(C(=O)CSCC#N)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102787090 778401067 /nfs/dbraw/zinc/40/10/67/778401067.db2.gz PDPCILDPQLCHEV-CYBMUJFWSA-N 1 2 319.434 1.469 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)COCC(F)F)C[C@H]21 ZINC001177055380 778415793 /nfs/dbraw/zinc/41/57/93/778415793.db2.gz LTHSSSKXVHNQOZ-CHWSQXEVSA-N 1 2 318.364 1.146 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)COCC(F)F)C[C@H]21 ZINC001177055380 778415796 /nfs/dbraw/zinc/41/57/96/778415796.db2.gz LTHSSSKXVHNQOZ-CHWSQXEVSA-N 1 2 318.364 1.146 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102878058 778467426 /nfs/dbraw/zinc/46/74/26/778467426.db2.gz OMFQTYLPROKWJQ-HIFRSBDPSA-N 1 2 316.405 1.298 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]2OCC[N@@H+](CC=C(C)C)[C@@H]2C1 ZINC001177163491 778470143 /nfs/dbraw/zinc/47/01/43/778470143.db2.gz UELVSLQXNUOEPP-SJORKVTESA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]2OCC[N@H+](CC=C(C)C)[C@@H]2C1 ZINC001177163491 778470147 /nfs/dbraw/zinc/47/01/47/778470147.db2.gz UELVSLQXNUOEPP-SJORKVTESA-N 1 2 322.449 1.847 20 30 DDEDLO Cc1nc(NC[C@](C)(NC(=O)c2c[nH]c(C#N)c2)C2CC2)cc[nH+]1 ZINC001103517794 778918345 /nfs/dbraw/zinc/91/83/45/778918345.db2.gz CCOPGARNNYSYAJ-KRWDZBQOSA-N 1 2 324.388 1.995 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1C[N@H+](CC(=C)Cl)CCCO1 ZINC001150803811 779060449 /nfs/dbraw/zinc/06/04/49/779060449.db2.gz RJNOKFKLKGMMLN-CQSZACIVSA-N 1 2 316.829 1.539 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1C[N@@H+](CC(=C)Cl)CCCO1 ZINC001150803811 779060456 /nfs/dbraw/zinc/06/04/56/779060456.db2.gz RJNOKFKLKGMMLN-CQSZACIVSA-N 1 2 316.829 1.539 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CCCC1)C2 ZINC001110228413 779273956 /nfs/dbraw/zinc/27/39/56/779273956.db2.gz LYZRYLAUCBSEAX-KFWWJZLASA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CCCC1)C2 ZINC001110228413 779273961 /nfs/dbraw/zinc/27/39/61/779273961.db2.gz LYZRYLAUCBSEAX-KFWWJZLASA-N 1 2 305.422 1.200 20 30 DDEDLO C#CCOCCC(=O)NCC1(Nc2cc[nH+]c(C)n2)CCC1 ZINC001111848082 779515677 /nfs/dbraw/zinc/51/56/77/779515677.db2.gz ULQGUZQOAUFVRX-UHFFFAOYSA-N 1 2 302.378 1.276 20 30 DDEDLO C[C@H](CCCNc1ccncc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114983620 779803064 /nfs/dbraw/zinc/80/30/64/779803064.db2.gz NPQRKVOPPJTVKF-GFCCVEGCSA-N 1 2 312.377 1.038 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC(N(C)c2cc[nH+]c(C)n2)CC1 ZINC001115147958 779904471 /nfs/dbraw/zinc/90/44/71/779904471.db2.gz RNCJHAQOMFZKMC-ZDUSSCGKSA-N 1 2 316.405 1.251 20 30 DDEDLO N#CCNC1(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)CCCCC1 ZINC001115602851 780262236 /nfs/dbraw/zinc/26/22/36/780262236.db2.gz GPGSSNSZXSOWRK-AWEZNQCLSA-N 1 2 315.421 1.378 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001115647865 780303106 /nfs/dbraw/zinc/30/31/06/780303106.db2.gz WHTLBNFHGLMGRZ-NSHDSACASA-N 1 2 302.382 1.588 20 30 DDEDLO C[C@@H](O[NH+]=C(N)c1ccc(OCc2cccnc2)cc1)C(N)=O ZINC001116596303 780529160 /nfs/dbraw/zinc/52/91/60/780529160.db2.gz QDKIRZCPKYTWCW-LLVKDONJSA-N 1 2 314.345 1.171 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)Cc1c[nH+]cn1C ZINC001117451609 780791566 /nfs/dbraw/zinc/79/15/66/780791566.db2.gz YEXBSXNITIZMGT-UHFFFAOYSA-N 1 2 321.344 1.362 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC001117864397 780920780 /nfs/dbraw/zinc/92/07/80/780920780.db2.gz ODAYKFMKHSMALW-ZNMIVQPWSA-N 1 2 318.421 1.728 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC001118098152 781026146 /nfs/dbraw/zinc/02/61/46/781026146.db2.gz ZJHWPUZYVFSNQK-ZIAGYGMSSA-N 1 2 304.394 1.375 20 30 DDEDLO C#CCCCC(=O)NCC[N@@H+](C)CC(=O)N1[C@@H](C)CCC[C@@H]1C ZINC001267192873 837510934 /nfs/dbraw/zinc/51/09/34/837510934.db2.gz HPABRPBZLYPGOW-HOTGVXAUSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)NCC[N@H+](C)CC(=O)N1[C@@H](C)CCC[C@@H]1C ZINC001267192873 837510942 /nfs/dbraw/zinc/51/09/42/837510942.db2.gz HPABRPBZLYPGOW-HOTGVXAUSA-N 1 2 321.465 1.627 20 30 DDEDLO C=CC1(CC(=O)NCC[N@@H+](C)CC(=O)N(C)C)CCCCC1 ZINC001267221584 837556963 /nfs/dbraw/zinc/55/69/63/837556963.db2.gz NQCKNXPIPSKPHG-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC1(CC(=O)NCC[N@H+](C)CC(=O)N(C)C)CCCCC1 ZINC001267221584 837556967 /nfs/dbraw/zinc/55/69/67/837556967.db2.gz NQCKNXPIPSKPHG-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO COCCCC(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001266259638 836000102 /nfs/dbraw/zinc/00/01/02/836000102.db2.gz BWSNNADODDKACG-UHFFFAOYSA-N 1 2 307.369 1.672 20 30 DDEDLO COCCCC(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001266259638 836000106 /nfs/dbraw/zinc/00/01/06/836000106.db2.gz BWSNNADODDKACG-UHFFFAOYSA-N 1 2 307.369 1.672 20 30 DDEDLO CCCOCC(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001266307435 836091440 /nfs/dbraw/zinc/09/14/40/836091440.db2.gz DQXRYFMJLHLWJZ-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001266307435 836091446 /nfs/dbraw/zinc/09/14/46/836091446.db2.gz DQXRYFMJLHLWJZ-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cc2F)C1 ZINC001266317899 836110306 /nfs/dbraw/zinc/11/03/06/836110306.db2.gz WCYRPDZZXXOGRQ-PBHICJAKSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cc2F)C1 ZINC001266317899 836110312 /nfs/dbraw/zinc/11/03/12/836110312.db2.gz WCYRPDZZXXOGRQ-PBHICJAKSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC12CC[NH+](Cc1nncn1C)CC2 ZINC001266376697 836187011 /nfs/dbraw/zinc/18/70/11/836187011.db2.gz HQWJVWHVNRCKLN-CYBMUJFWSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](CCC)Cc1ncc(C)o1 ZINC001266571040 836483254 /nfs/dbraw/zinc/48/32/54/836483254.db2.gz FPDGJCKMNNTHFH-CABCVRRESA-N 1 2 321.421 1.902 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](CCC)Cc1ncc(C)o1 ZINC001266571040 836483260 /nfs/dbraw/zinc/48/32/60/836483260.db2.gz FPDGJCKMNNTHFH-CABCVRRESA-N 1 2 321.421 1.902 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@H]([C@H](C)NC(=O)C#CC3CC3)C2)n1 ZINC001266892164 837006924 /nfs/dbraw/zinc/00/69/24/837006924.db2.gz TWLYZDIGLAAJHW-WFASDCNBSA-N 1 2 316.405 1.508 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@H]([C@H](C)NC(=O)C#CC3CC3)C2)n1 ZINC001266892164 837006928 /nfs/dbraw/zinc/00/69/28/837006928.db2.gz TWLYZDIGLAAJHW-WFASDCNBSA-N 1 2 316.405 1.508 20 30 DDEDLO N#CCN[C@H](CNC(=O)[C@@H]1CCn2c[nH+]cc2C1)c1ccccc1 ZINC001267362043 837913406 /nfs/dbraw/zinc/91/34/06/837913406.db2.gz NODZWRHMHIAGBN-NVXWUHKLSA-N 1 2 323.400 1.416 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnn(C)c1 ZINC001267405473 838000057 /nfs/dbraw/zinc/00/00/57/838000057.db2.gz MFNIPCVWOXFEOK-IYBDPMFKSA-N 1 2 300.406 1.255 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnn(C)c1 ZINC001267405473 838000066 /nfs/dbraw/zinc/00/00/66/838000066.db2.gz MFNIPCVWOXFEOK-IYBDPMFKSA-N 1 2 300.406 1.255 20 30 DDEDLO C#CCCCC(=O)N(C)CC[N@H+](C)CC(=O)NCC(C)(C)C ZINC001272005235 844303166 /nfs/dbraw/zinc/30/31/66/844303166.db2.gz OXCSCZHSAOAROA-UHFFFAOYSA-N 1 2 309.454 1.342 20 30 DDEDLO C#CCCCC(=O)N(C)CC[N@@H+](C)CC(=O)NCC(C)(C)C ZINC001272005235 844303173 /nfs/dbraw/zinc/30/31/73/844303173.db2.gz OXCSCZHSAOAROA-UHFFFAOYSA-N 1 2 309.454 1.342 20 30 DDEDLO C=CCOCC(=O)N(C)CC[NH+]1CCN(CC(=C)Cl)CC1 ZINC001267606073 838429446 /nfs/dbraw/zinc/42/94/46/838429446.db2.gz MWTTYDAOCJYDQL-UHFFFAOYSA-N 1 2 315.845 1.018 20 30 DDEDLO C#CCOCCC(=O)NC1C[NH+]([C@H]2CCc3c2cccc3F)C1 ZINC001267645056 838564611 /nfs/dbraw/zinc/56/46/11/838564611.db2.gz KBPSMJNDPXZEMB-KRWDZBQOSA-N 1 2 316.376 1.653 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C=C)cc2)C1 ZINC001267729165 838766499 /nfs/dbraw/zinc/76/64/99/838766499.db2.gz XGZGFPFWJBEHIM-INIZCTEOSA-N 1 2 313.401 1.436 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccc(C=C)cc2)C1 ZINC001267729165 838766504 /nfs/dbraw/zinc/76/65/04/838766504.db2.gz XGZGFPFWJBEHIM-INIZCTEOSA-N 1 2 313.401 1.436 20 30 DDEDLO CC(C)C#CC(=O)NCCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001267943171 839233482 /nfs/dbraw/zinc/23/34/82/839233482.db2.gz CXIISYGYXIBITE-UHFFFAOYSA-N 1 2 317.437 1.193 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(OC)no2)C1 ZINC001267956558 839264793 /nfs/dbraw/zinc/26/47/93/839264793.db2.gz JASUESLMIFPFQJ-GFCCVEGCSA-N 1 2 307.394 1.976 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(OC)no2)C1 ZINC001267956558 839264804 /nfs/dbraw/zinc/26/48/04/839264804.db2.gz JASUESLMIFPFQJ-GFCCVEGCSA-N 1 2 307.394 1.976 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1C(F)(F)F ZINC001038705028 839405341 /nfs/dbraw/zinc/40/53/41/839405341.db2.gz JBBMTIDLXUPRBM-SECBINFHSA-N 1 2 300.284 1.174 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1C(F)(F)F ZINC001038705028 839405352 /nfs/dbraw/zinc/40/53/52/839405352.db2.gz JBBMTIDLXUPRBM-SECBINFHSA-N 1 2 300.284 1.174 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CCC[N@H+](CC(=O)N2CCC2)C1 ZINC001268215457 839849453 /nfs/dbraw/zinc/84/94/53/839849453.db2.gz JNXMNSLFURBPCS-WMLDXEAASA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CCC[N@@H+](CC(=O)N2CCC2)C1 ZINC001268215457 839849455 /nfs/dbraw/zinc/84/94/55/839849455.db2.gz JNXMNSLFURBPCS-WMLDXEAASA-N 1 2 307.438 1.402 20 30 DDEDLO C#CCOCCC(=O)NC1C[NH+](CC[C@H]2CC2(Cl)Cl)C1 ZINC001268300848 839968890 /nfs/dbraw/zinc/96/88/90/839968890.db2.gz RAVLOYSVEFITOJ-NSHDSACASA-N 1 2 319.232 1.411 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H](OC)c2cccc(OC)c2)C1 ZINC001268348481 840035756 /nfs/dbraw/zinc/03/57/56/840035756.db2.gz CUHRWBXDEGHQIX-INIZCTEOSA-N 1 2 304.390 1.759 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)c2cccc3[nH+]ccn32)CC1 ZINC001299200641 844558643 /nfs/dbraw/zinc/55/86/43/844558643.db2.gz XDRJTHHEXUJTNX-UHFFFAOYSA-N 1 2 312.373 1.679 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@@]2(C)CCC[C@H]2C)C1 ZINC001268874220 840928499 /nfs/dbraw/zinc/92/84/99/840928499.db2.gz HIRCOORIMFPFRF-DYVFJYSZSA-N 1 2 307.438 1.163 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCOCC(F)F ZINC001268939754 841019512 /nfs/dbraw/zinc/01/95/12/841019512.db2.gz PYEVHYHUUQWSJK-STQMWFEESA-N 1 2 300.349 1.213 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCOCC(F)F ZINC001268939754 841019513 /nfs/dbraw/zinc/01/95/13/841019513.db2.gz PYEVHYHUUQWSJK-STQMWFEESA-N 1 2 300.349 1.213 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)CC[N@H+](C)Cc1ccnc(OC)n1 ZINC001272106033 844618209 /nfs/dbraw/zinc/61/82/09/844618209.db2.gz RSDNTSCGGDTPAQ-KRWDZBQOSA-N 1 2 320.437 1.978 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)CC[N@@H+](C)Cc1ccnc(OC)n1 ZINC001272106033 844618214 /nfs/dbraw/zinc/61/82/14/844618214.db2.gz RSDNTSCGGDTPAQ-KRWDZBQOSA-N 1 2 320.437 1.978 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)CCc1ccco1 ZINC001269195338 841326807 /nfs/dbraw/zinc/32/68/07/841326807.db2.gz NFWFDIVBCWQRMG-UONOGXRCSA-N 1 2 319.405 1.093 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)CCc1ccco1 ZINC001269195338 841326821 /nfs/dbraw/zinc/32/68/21/841326821.db2.gz NFWFDIVBCWQRMG-UONOGXRCSA-N 1 2 319.405 1.093 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@H]2CNC(=O)CSCC#N)o1 ZINC001269201050 841334439 /nfs/dbraw/zinc/33/44/39/841334439.db2.gz QWDZTESNGKLHIJ-LBPRGKRZSA-N 1 2 308.407 1.239 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@H]2CNC(=O)CSCC#N)o1 ZINC001269201050 841334448 /nfs/dbraw/zinc/33/44/48/841334448.db2.gz QWDZTESNGKLHIJ-LBPRGKRZSA-N 1 2 308.407 1.239 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2ncc(C)o2)C1 ZINC001269221351 841364432 /nfs/dbraw/zinc/36/44/32/841364432.db2.gz JCBUDPSPXGXJOP-LSDHHAIUSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001269221351 841364444 /nfs/dbraw/zinc/36/44/44/841364444.db2.gz JCBUDPSPXGXJOP-LSDHHAIUSA-N 1 2 321.421 1.997 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@H](C)C(=O)N(CC)CC ZINC001269224652 841369998 /nfs/dbraw/zinc/36/99/98/841369998.db2.gz XRIMHEPXDCVQJA-CABCVRRESA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)C(=O)N(CC)CC ZINC001269224652 841370010 /nfs/dbraw/zinc/37/00/10/841370010.db2.gz XRIMHEPXDCVQJA-CABCVRRESA-N 1 2 307.438 1.237 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CC1(C)C ZINC001269224657 841370088 /nfs/dbraw/zinc/37/00/88/841370088.db2.gz XRNQTDIUXMSVTF-GJZGRUSLSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CC1(C)C ZINC001269224657 841370097 /nfs/dbraw/zinc/37/00/97/841370097.db2.gz XRNQTDIUXMSVTF-GJZGRUSLSA-N 1 2 321.465 1.648 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+]1Cc1ccnc(N(C)C)c1 ZINC001269230308 841385422 /nfs/dbraw/zinc/38/54/22/841385422.db2.gz ZJTSQTXNINFJOC-MRXNPFEDSA-N 1 2 314.433 1.642 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+]1Cc1ccnc(N(C)C)c1 ZINC001269230308 841385436 /nfs/dbraw/zinc/38/54/36/841385436.db2.gz ZJTSQTXNINFJOC-MRXNPFEDSA-N 1 2 314.433 1.642 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@H+]2[C@H](C)C(=O)NC)CCCCC1 ZINC001269353071 841562288 /nfs/dbraw/zinc/56/22/88/841562288.db2.gz ZDGDICQEOBSBLQ-HUUCEWRRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@@H+]2[C@H](C)C(=O)NC)CCCCC1 ZINC001269353071 841562291 /nfs/dbraw/zinc/56/22/91/841562291.db2.gz ZDGDICQEOBSBLQ-HUUCEWRRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCN1CC[C@]2(CCCN2C(=O)c2cc3c[nH+]ccc3[nH]2)C1=O ZINC001269386880 841590272 /nfs/dbraw/zinc/59/02/72/841590272.db2.gz RKSHCOPDJBTUCG-GOSISDBHSA-N 1 2 324.384 1.956 20 30 DDEDLO N#Cc1ccccc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cn[nH]c1 ZINC001269400536 841601886 /nfs/dbraw/zinc/60/18/86/841601886.db2.gz LPYLHNYSCJLTRL-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1ccccc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cn[nH]c1 ZINC001269400536 841601887 /nfs/dbraw/zinc/60/18/87/841601887.db2.gz LPYLHNYSCJLTRL-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O ZINC001154584454 861243857 /nfs/dbraw/zinc/24/38/57/861243857.db2.gz HYGYGKHZIYPDAP-CYBMUJFWSA-N 1 2 318.483 1.460 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O ZINC001154584454 861243866 /nfs/dbraw/zinc/24/38/66/861243866.db2.gz HYGYGKHZIYPDAP-CYBMUJFWSA-N 1 2 318.483 1.460 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC001269632397 841877413 /nfs/dbraw/zinc/87/74/13/841877413.db2.gz AVEBQIYJPQJONR-UHFFFAOYSA-N 1 2 314.389 1.627 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC001269632397 841877428 /nfs/dbraw/zinc/87/74/28/841877428.db2.gz AVEBQIYJPQJONR-UHFFFAOYSA-N 1 2 314.389 1.627 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCN(C(=O)CCc3c[nH]c[nH+]3)C2)C1=O ZINC001269661763 841911087 /nfs/dbraw/zinc/91/10/87/841911087.db2.gz YCBQFNBOZRDUJW-QGZVFWFLSA-N 1 2 316.405 1.369 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCN(C(=O)CCc3c[nH+]c[nH]3)C2)C1=O ZINC001269661763 841911092 /nfs/dbraw/zinc/91/10/92/841911092.db2.gz YCBQFNBOZRDUJW-QGZVFWFLSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1c[nH]c2cc[nH+]cc12 ZINC001270139381 842328642 /nfs/dbraw/zinc/32/86/42/842328642.db2.gz VQUAFQCHVPQFLR-HZPDHXFCSA-N 1 2 324.384 1.954 20 30 DDEDLO C=C(C)C[NH2+]C[C@@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001270558436 842665807 /nfs/dbraw/zinc/66/58/07/842665807.db2.gz DKYKDKUKICOXSA-GFCCVEGCSA-N 1 2 316.409 1.342 20 30 DDEDLO C#CCOCCC(=O)N[C@@]1(C)CC[N@H+](Cc2cscn2)C1 ZINC001270585194 842688857 /nfs/dbraw/zinc/68/88/57/842688857.db2.gz YOPHYQVFDLJUIG-HNNXBMFYSA-N 1 2 307.419 1.264 20 30 DDEDLO C#CCOCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2cscn2)C1 ZINC001270585194 842688863 /nfs/dbraw/zinc/68/88/63/842688863.db2.gz YOPHYQVFDLJUIG-HNNXBMFYSA-N 1 2 307.419 1.264 20 30 DDEDLO CC(C)C#CC(=O)N[C@@]1(C)CC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001270583021 842692355 /nfs/dbraw/zinc/69/23/55/842692355.db2.gz LNUGBYSKBDIUSL-SFHVURJKSA-N 1 2 319.449 1.143 20 30 DDEDLO CC(C)C#CC(=O)N[C@@]1(C)CC[N@@H+](CC(=O)NCC2CCC2)C1 ZINC001270583021 842692364 /nfs/dbraw/zinc/69/23/64/842692364.db2.gz LNUGBYSKBDIUSL-SFHVURJKSA-N 1 2 319.449 1.143 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280295948 842920095 /nfs/dbraw/zinc/92/00/95/842920095.db2.gz YXLQQGHPBQYHPM-STQMWFEESA-N 1 2 304.394 1.011 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280295948 842920099 /nfs/dbraw/zinc/92/00/99/842920099.db2.gz YXLQQGHPBQYHPM-STQMWFEESA-N 1 2 304.394 1.011 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)Cc2c(C)cccc2Cl)C1 ZINC001271311885 843486070 /nfs/dbraw/zinc/48/60/70/843486070.db2.gz LFUVBHGGEPYKNU-UHFFFAOYSA-N 1 2 320.820 1.377 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H](C)CNC(=O)c2cc(C#N)c[nH]2)c(C)o1 ZINC001409525137 845246937 /nfs/dbraw/zinc/24/69/37/845246937.db2.gz ODZFREFLRKTLFU-JTQLQIEISA-N 1 2 315.377 1.742 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H](C)CNC(=O)c2cc(C#N)c[nH]2)c(C)o1 ZINC001409525137 845246950 /nfs/dbraw/zinc/24/69/50/845246950.db2.gz ODZFREFLRKTLFU-JTQLQIEISA-N 1 2 315.377 1.742 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)CCC(=O)NCC)C1 ZINC001409848244 845901775 /nfs/dbraw/zinc/90/17/75/845901775.db2.gz PRNKLBUBZBHBCP-NSHDSACASA-N 1 2 301.818 1.092 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](O)C[NH2+][C@@H](C)c2csnn2)CCC1 ZINC001272514420 846255103 /nfs/dbraw/zinc/25/51/03/846255103.db2.gz VNOFSBJABPSKNF-NWDGAFQWSA-N 1 2 324.450 1.412 20 30 DDEDLO C[C@H](CNc1cccc(F)c1C#N)NC(=O)Cn1cc[nH+]c1 ZINC001107811330 847172083 /nfs/dbraw/zinc/17/20/83/847172083.db2.gz USYFFKOGRWAVTG-LLVKDONJSA-N 1 2 301.325 1.511 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@]1(C)C[N@H+](CCC(F)(F)F)CCO1 ZINC001107821109 847225329 /nfs/dbraw/zinc/22/53/29/847225329.db2.gz JHKQFWYJSAYWNK-PWSUYJOCSA-N 1 2 307.316 1.306 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@]1(C)C[N@@H+](CCC(F)(F)F)CCO1 ZINC001107821109 847225339 /nfs/dbraw/zinc/22/53/39/847225339.db2.gz JHKQFWYJSAYWNK-PWSUYJOCSA-N 1 2 307.316 1.306 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@](C)(CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001107827901 847230158 /nfs/dbraw/zinc/23/01/58/847230158.db2.gz BOTCDPNZMHWFRX-QGZVFWFLSA-N 1 2 320.437 1.813 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@](C)(CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001107827901 847230168 /nfs/dbraw/zinc/23/01/68/847230168.db2.gz BOTCDPNZMHWFRX-QGZVFWFLSA-N 1 2 320.437 1.813 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc3nccnc3c1)C2 ZINC001272870180 847572273 /nfs/dbraw/zinc/57/22/73/847572273.db2.gz JULDXXULVGYPNN-UHFFFAOYSA-N 1 2 324.384 1.229 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]1C[N@H+](Cc2ccns2)CCCO1 ZINC001149695243 861817407 /nfs/dbraw/zinc/81/74/07/861817407.db2.gz ZHVKEZWPUVARSW-CQSZACIVSA-N 1 2 319.430 1.264 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]1C[N@@H+](Cc2ccns2)CCCO1 ZINC001149695243 861817419 /nfs/dbraw/zinc/81/74/19/861817419.db2.gz ZHVKEZWPUVARSW-CQSZACIVSA-N 1 2 319.430 1.264 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1ccc(C)cc1)C2 ZINC001272971135 847724340 /nfs/dbraw/zinc/72/43/40/847724340.db2.gz FVMZSKDDTHFLIP-UHFFFAOYSA-N 1 2 300.402 1.984 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)CN(Cc3c[nH+]cn3C)C[C@@]2(F)C1=O ZINC001272996472 847759631 /nfs/dbraw/zinc/75/96/31/847759631.db2.gz IIMXQBAHFPJWRM-LSDHHAIUSA-N 1 2 310.348 1.071 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@]1(C)CN(Cc2c[nH+]cn2C)CCO1 ZINC001108119759 848092392 /nfs/dbraw/zinc/09/23/92/848092392.db2.gz SEKOMFOAVCBEHK-QGZVFWFLSA-N 1 2 320.437 1.339 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)CSCCC)C2)CC1 ZINC001327083252 861865623 /nfs/dbraw/zinc/86/56/23/861865623.db2.gz RWNFGASPYQCJBN-OAHLLOKOSA-N 1 2 311.495 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001034304867 848293896 /nfs/dbraw/zinc/29/38/96/848293896.db2.gz ZKGPAEHOOUHOKI-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001034304867 848293908 /nfs/dbraw/zinc/29/39/08/848293908.db2.gz ZKGPAEHOOUHOKI-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H]1CCCCN(CC#N)C1 ZINC001034408169 848453172 /nfs/dbraw/zinc/45/31/72/848453172.db2.gz HLSFXTQBDTXCKB-BFHYXJOUSA-N 1 2 301.394 1.018 20 30 DDEDLO N#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001034399223 848463706 /nfs/dbraw/zinc/46/37/06/848463706.db2.gz LWNDJNUVCXBIHD-CHWSQXEVSA-N 1 2 301.394 1.324 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1c(O)cccc1F)C2 ZINC001273265827 849267891 /nfs/dbraw/zinc/26/78/91/849267891.db2.gz MAMNGLCFBFFWSA-UHFFFAOYSA-N 1 2 306.337 1.131 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](N2CC[NH+](CC#CC)CC2)C1 ZINC001273374013 849731659 /nfs/dbraw/zinc/73/16/59/849731659.db2.gz GTFADKNYWJYWKV-KRWDZBQOSA-N 1 2 303.450 1.585 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)C[C@@H]1CCN(C)C1=O ZINC001437597744 850024704 /nfs/dbraw/zinc/02/47/04/850024704.db2.gz IXEZPEUBWIKDCM-LBPRGKRZSA-N 1 2 301.818 1.045 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)C[C@@H]1CCN(C)C1=O ZINC001437597744 850024712 /nfs/dbraw/zinc/02/47/12/850024712.db2.gz IXEZPEUBWIKDCM-LBPRGKRZSA-N 1 2 301.818 1.045 20 30 DDEDLO CCn1ncc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC(C)C)CC2)n1 ZINC001273417818 850172597 /nfs/dbraw/zinc/17/25/97/850172597.db2.gz KDBQUGYHIHUDBL-OAHLLOKOSA-N 1 2 317.437 1.428 20 30 DDEDLO CCn1ncc(C[N@H+]2CCC[C@@H](NC(=O)C#CC(C)C)CC2)n1 ZINC001273417818 850172600 /nfs/dbraw/zinc/17/26/00/850172600.db2.gz KDBQUGYHIHUDBL-OAHLLOKOSA-N 1 2 317.437 1.428 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)Cc2cnoc2)C1 ZINC001150171809 862123112 /nfs/dbraw/zinc/12/31/12/862123112.db2.gz OZVDIITXAIRIJS-ZDUSSCGKSA-N 1 2 313.785 1.177 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)Cc2cnoc2)C1 ZINC001150171809 862123119 /nfs/dbraw/zinc/12/31/19/862123119.db2.gz OZVDIITXAIRIJS-ZDUSSCGKSA-N 1 2 313.785 1.177 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1COC2(C[NH+](CCOC)C2)C1 ZINC001327405231 862143808 /nfs/dbraw/zinc/14/38/08/862143808.db2.gz HKTDGPYOAUREJI-HNNXBMFYSA-N 1 2 308.422 1.176 20 30 DDEDLO C#CCCC[NH+]1CC2(C1)CN(Cc1c(C)noc1C)C(=O)CO2 ZINC001273541661 851076793 /nfs/dbraw/zinc/07/67/93/851076793.db2.gz HILTUVQFDROESR-UHFFFAOYSA-N 1 2 317.389 1.118 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCOC2(C[NH+](C[C@@H](C)OC)C2)C1 ZINC001273630296 851165520 /nfs/dbraw/zinc/16/55/20/851165520.db2.gz NAKMIKMBHRMGNF-CQSZACIVSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)Cc1ccon1)O2 ZINC001273655786 851192074 /nfs/dbraw/zinc/19/20/74/851192074.db2.gz OQBAGJGTSJAMJL-CQSZACIVSA-N 1 2 305.378 1.143 20 30 DDEDLO CC(C)(C)C(=O)CN1C(=O)C[C@]2(CCC[N@H+](CCC#N)C2)C1=O ZINC001274030306 851868606 /nfs/dbraw/zinc/86/86/06/851868606.db2.gz IPHNXMYZNUOHKS-KRWDZBQOSA-N 1 2 319.405 1.356 20 30 DDEDLO CC(C)(C)C(=O)CN1C(=O)C[C@]2(CCC[N@@H+](CCC#N)C2)C1=O ZINC001274030306 851868618 /nfs/dbraw/zinc/86/86/18/851868618.db2.gz IPHNXMYZNUOHKS-KRWDZBQOSA-N 1 2 319.405 1.356 20 30 DDEDLO Cc1ccc(C(=O)N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)[nH]1 ZINC001274718111 852548383 /nfs/dbraw/zinc/54/83/83/852548383.db2.gz VETDOSPEMMQCQU-UHFFFAOYSA-N 1 2 323.400 1.491 20 30 DDEDLO C[C@@H]([NH2+]C[C@H](C)NC(=O)C#CC1CC1)c1nc(C2CC2)no1 ZINC001275149793 852840032 /nfs/dbraw/zinc/84/00/32/852840032.db2.gz MHHQYPGCXBBEME-WDEREUQCSA-N 1 2 302.378 1.516 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2cc(F)ccc2F)C1 ZINC001276056579 854682439 /nfs/dbraw/zinc/68/24/39/854682439.db2.gz GOPYQTKWWVKEAT-UHFFFAOYSA-N 1 2 308.328 1.155 20 30 DDEDLO C/C(=C/C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C1CC1 ZINC001046009353 856470979 /nfs/dbraw/zinc/47/09/79/856470979.db2.gz RDRVNGAOPLCAOV-HECSCLNYSA-N 1 2 302.422 1.085 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cccnc1N ZINC001413183729 856524862 /nfs/dbraw/zinc/52/48/62/856524862.db2.gz SSGLVWBXTVYVEL-GFCCVEGCSA-N 1 2 309.377 1.036 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cccnc1N ZINC001413183729 856524868 /nfs/dbraw/zinc/52/48/68/856524868.db2.gz SSGLVWBXTVYVEL-GFCCVEGCSA-N 1 2 309.377 1.036 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nccn2C)[C@H](C)C1 ZINC001328241016 862810138 /nfs/dbraw/zinc/81/01/38/862810138.db2.gz QRRVDEROHTVWOJ-CABCVRRESA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nccn2C)[C@H](C)C1 ZINC001328241016 862810143 /nfs/dbraw/zinc/81/01/43/862810143.db2.gz QRRVDEROHTVWOJ-CABCVRRESA-N 1 2 302.422 1.693 20 30 DDEDLO CC(C)c1cnc(C[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001072552180 857436987 /nfs/dbraw/zinc/43/69/87/857436987.db2.gz MVWCVUQNPKCXRB-CYBMUJFWSA-N 1 2 316.405 1.992 20 30 DDEDLO CC(C)c1cnc(C[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001072552180 857436994 /nfs/dbraw/zinc/43/69/94/857436994.db2.gz MVWCVUQNPKCXRB-CYBMUJFWSA-N 1 2 316.405 1.992 20 30 DDEDLO C=CCOCCNC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001328416001 862959224 /nfs/dbraw/zinc/95/92/24/862959224.db2.gz LGBNYJYURZNOBJ-INIZCTEOSA-N 1 2 303.406 1.755 20 30 DDEDLO C=CCOCCNC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001328416001 862959234 /nfs/dbraw/zinc/95/92/34/862959234.db2.gz LGBNYJYURZNOBJ-INIZCTEOSA-N 1 2 303.406 1.755 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001072945291 857922407 /nfs/dbraw/zinc/92/24/07/857922407.db2.gz YGGFPJJAGMWWLU-INIZCTEOSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001072945291 857922420 /nfs/dbraw/zinc/92/24/20/857922420.db2.gz YGGFPJJAGMWWLU-INIZCTEOSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cccnc2)C1 ZINC001073516911 858403537 /nfs/dbraw/zinc/40/35/37/858403537.db2.gz BAPIEGINHDTWPF-CQSZACIVSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cccnc2)C1 ZINC001073516911 858403540 /nfs/dbraw/zinc/40/35/40/858403540.db2.gz BAPIEGINHDTWPF-CQSZACIVSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC001073565815 858444732 /nfs/dbraw/zinc/44/47/32/858444732.db2.gz KQEUHQPKYDAGPT-ZDUSSCGKSA-N 1 2 322.796 1.460 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC001073565815 858444733 /nfs/dbraw/zinc/44/47/33/858444733.db2.gz KQEUHQPKYDAGPT-ZDUSSCGKSA-N 1 2 322.796 1.460 20 30 DDEDLO C=CCCC(=O)N1C[C@H](Nc2[nH+]cnc3c2cnn3C)C[C@@H]1C ZINC001123194347 859125069 /nfs/dbraw/zinc/12/50/69/859125069.db2.gz UUDCWMBMZVORSI-NWDGAFQWSA-N 1 2 314.393 1.731 20 30 DDEDLO C#CCCC[N@H+]1Cc2ccnn2CC[C@@H]1C(=O)N1CCCCO1 ZINC001276981157 881450209 /nfs/dbraw/zinc/45/02/09/881450209.db2.gz QKFXLRAPMSBYEG-MRXNPFEDSA-N 1 2 316.405 1.425 20 30 DDEDLO C#CCCC[N@@H+]1Cc2ccnn2CC[C@@H]1C(=O)N1CCCCO1 ZINC001276981157 881450212 /nfs/dbraw/zinc/45/02/12/881450212.db2.gz QKFXLRAPMSBYEG-MRXNPFEDSA-N 1 2 316.405 1.425 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(CC(C)C)no1 ZINC001124488757 859720834 /nfs/dbraw/zinc/72/08/34/859720834.db2.gz UCHUCQICPANREZ-CYBMUJFWSA-N 1 2 324.425 1.455 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(C)C[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001124642879 859759441 /nfs/dbraw/zinc/75/94/41/859759441.db2.gz FHRJGDAEAAHSLW-TZMCWYRMSA-N 1 2 306.410 1.619 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)C[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001124648967 859765364 /nfs/dbraw/zinc/76/53/64/859765364.db2.gz KUKQWPQRVSFIRQ-KBPBESRZSA-N 1 2 306.410 1.619 20 30 DDEDLO C=C(C)COc1ccccc1C[N@@H+]1CCN2C(=O)COC[C@@H]2C1 ZINC001138665058 860156557 /nfs/dbraw/zinc/15/65/57/860156557.db2.gz FNVFZHXRJFNKDR-INIZCTEOSA-N 1 2 316.401 1.685 20 30 DDEDLO C=C(C)COc1ccccc1C[N@H+]1CCN2C(=O)COC[C@@H]2C1 ZINC001138665058 860156566 /nfs/dbraw/zinc/15/65/66/860156566.db2.gz FNVFZHXRJFNKDR-INIZCTEOSA-N 1 2 316.401 1.685 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@H+](Cc1cc(C)n(C)n1)CC2 ZINC001138827284 860202182 /nfs/dbraw/zinc/20/21/82/860202182.db2.gz RHHGMOKTRKMXOS-UHFFFAOYSA-N 1 2 315.421 1.723 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@@H+](Cc1cc(C)n(C)n1)CC2 ZINC001138827284 860202183 /nfs/dbraw/zinc/20/21/83/860202183.db2.gz RHHGMOKTRKMXOS-UHFFFAOYSA-N 1 2 315.421 1.723 20 30 DDEDLO COC(=O)[C@H]1COCCC12C[NH+](Cc1cc(F)cc(C#N)c1)C2 ZINC001139875775 860505509 /nfs/dbraw/zinc/50/55/09/860505509.db2.gz FBFDNOKDUQTKPV-OAHLLOKOSA-N 1 2 318.348 1.709 20 30 DDEDLO C[N@@H+]1CCc2nc(C(=O)N(CCC#N)CCC#N)sc2C1 ZINC001154219304 860886406 /nfs/dbraw/zinc/88/64/06/860886406.db2.gz UWBSGSNNGUJSGK-UHFFFAOYSA-N 1 2 303.391 1.401 20 30 DDEDLO C[N@H+]1CCc2nc(C(=O)N(CCC#N)CCC#N)sc2C1 ZINC001154219304 860886408 /nfs/dbraw/zinc/88/64/08/860886408.db2.gz UWBSGSNNGUJSGK-UHFFFAOYSA-N 1 2 303.391 1.401 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]2C[N@@H+](Cc3cnn(C)n3)C[C@H]21 ZINC001325942766 860974816 /nfs/dbraw/zinc/97/48/16/860974816.db2.gz ODWJTRUEJSRSSH-GOEBONIOSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]2C[N@H+](Cc3cnn(C)n3)C[C@H]21 ZINC001325942766 860974827 /nfs/dbraw/zinc/97/48/27/860974827.db2.gz ODWJTRUEJSRSSH-GOEBONIOSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C[C@@H](CC(=O)NCC[NH2+]Cc1nc(C)no1)c1ccccc1 ZINC001151942334 863070111 /nfs/dbraw/zinc/07/01/11/863070111.db2.gz FJPYHQXOQQGGKB-AWEZNQCLSA-N 1 2 314.389 1.944 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1coc(C)n1 ZINC001328738831 863185634 /nfs/dbraw/zinc/18/56/34/863185634.db2.gz ZURYCRYGEREXQY-MRXNPFEDSA-N 1 2 319.405 1.446 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1coc(C)n1 ZINC001328738831 863185646 /nfs/dbraw/zinc/18/56/46/863185646.db2.gz ZURYCRYGEREXQY-MRXNPFEDSA-N 1 2 319.405 1.446 20 30 DDEDLO C#CCCS(=O)(=O)NC[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC001328853906 863281560 /nfs/dbraw/zinc/28/15/60/863281560.db2.gz ZPQPTFVKOUMKEP-OAHLLOKOSA-N 1 2 322.430 1.212 20 30 DDEDLO C#CCCS(=O)(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC001328853906 863281577 /nfs/dbraw/zinc/28/15/77/863281577.db2.gz ZPQPTFVKOUMKEP-OAHLLOKOSA-N 1 2 322.430 1.212 20 30 DDEDLO C=CCOC[C@@H]1CCC[C@@]12C[N@H+](Cc1cncn1C)CCO2 ZINC001204384021 863566854 /nfs/dbraw/zinc/56/68/54/863566854.db2.gz OEWWDHZHANYOJG-DOTOQJQBSA-N 1 2 305.422 1.994 20 30 DDEDLO C=CCOC[C@@H]1CCC[C@@]12C[N@@H+](Cc1cncn1C)CCO2 ZINC001204384021 863566864 /nfs/dbraw/zinc/56/68/64/863566864.db2.gz OEWWDHZHANYOJG-DOTOQJQBSA-N 1 2 305.422 1.994 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(CC)nn1 ZINC001157218002 863660367 /nfs/dbraw/zinc/66/03/67/863660367.db2.gz ZVVDTICLORPZQC-KGLIPLIRSA-N 1 2 323.441 1.216 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(CC)nn1 ZINC001157218002 863660370 /nfs/dbraw/zinc/66/03/70/863660370.db2.gz ZVVDTICLORPZQC-KGLIPLIRSA-N 1 2 323.441 1.216 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@H]2CCO[C@@H](C(C)C)C2)C1 ZINC001329707772 863804139 /nfs/dbraw/zinc/80/41/39/863804139.db2.gz PEHBLPDNEUPCML-JKSUJKDBSA-N 1 2 324.465 1.567 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)cs1 ZINC001153302284 863811979 /nfs/dbraw/zinc/81/19/79/863811979.db2.gz JQWVNHWVHDDDJR-CQSZACIVSA-N 1 2 323.462 1.818 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)cs1 ZINC001153302284 863811983 /nfs/dbraw/zinc/81/19/83/863811983.db2.gz JQWVNHWVHDDDJR-CQSZACIVSA-N 1 2 323.462 1.818 20 30 DDEDLO C[C@H](CC(=O)NC[C@H]1CCCCCN1CC#N)n1cc[nH+]c1 ZINC001329935661 863954391 /nfs/dbraw/zinc/95/43/91/863954391.db2.gz GCWCKCAWDFHLKG-HUUCEWRRSA-N 1 2 303.410 1.719 20 30 DDEDLO CC(C)C[C@@H](C(=O)N(C)[C@@H](CNCC#N)C1CC1)n1cc[nH+]c1 ZINC001331294325 864992852 /nfs/dbraw/zinc/99/28/52/864992852.db2.gz IWKLNSHWMOGSHQ-HOTGVXAUSA-N 1 2 317.437 1.820 20 30 DDEDLO CCn1ccc(C[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001159587229 865413704 /nfs/dbraw/zinc/41/37/04/865413704.db2.gz LGGUURYJXDADGB-AWEZNQCLSA-N 1 2 315.421 1.701 20 30 DDEDLO CCn1ccc(C[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001159587229 865413713 /nfs/dbraw/zinc/41/37/13/865413713.db2.gz LGGUURYJXDADGB-AWEZNQCLSA-N 1 2 315.421 1.701 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@H](NC(=O)C#CC(C)C)[C@@H]2C)on1 ZINC001332181124 865643977 /nfs/dbraw/zinc/64/39/77/865643977.db2.gz YTWOKOGZEAWNOK-ZFWWWQNUSA-N 1 2 319.405 1.812 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@H](NC(=O)C#CC(C)C)[C@@H]2C)on1 ZINC001332181124 865643985 /nfs/dbraw/zinc/64/39/85/865643985.db2.gz YTWOKOGZEAWNOK-ZFWWWQNUSA-N 1 2 319.405 1.812 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC(C)C)[C@H]2C)on1 ZINC001332181121 865644277 /nfs/dbraw/zinc/64/42/77/865644277.db2.gz YTWOKOGZEAWNOK-UKRRQHHQSA-N 1 2 319.405 1.812 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H](NC(=O)C#CC(C)C)[C@H]2C)on1 ZINC001332181121 865644281 /nfs/dbraw/zinc/64/42/81/865644281.db2.gz YTWOKOGZEAWNOK-UKRRQHHQSA-N 1 2 319.405 1.812 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)C(=O)NCc2cc(C)[nH+]c(C)c2)CC1 ZINC001332763191 866124576 /nfs/dbraw/zinc/12/45/76/866124576.db2.gz GGMHHGHAJAFLDE-KOMQPUFPSA-N 1 2 313.401 1.623 20 30 DDEDLO C#CCOCC[N@@H+](C)Cc1nc2sc(C)c(C)c2c(N)n1 ZINC001319755742 866273639 /nfs/dbraw/zinc/27/36/39/866273639.db2.gz LAPPVFQUIVMXKW-UHFFFAOYSA-N 1 2 304.419 1.972 20 30 DDEDLO C#CCOCC[N@H+](C)Cc1nc2sc(C)c(C)c2c(N)n1 ZINC001319755742 866273644 /nfs/dbraw/zinc/27/36/44/866273644.db2.gz LAPPVFQUIVMXKW-UHFFFAOYSA-N 1 2 304.419 1.972 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C1CC([NH2+]Cc2noc(CC)n2)C1 ZINC001333102939 866424360 /nfs/dbraw/zinc/42/43/60/866424360.db2.gz OOAPDAMXIMBBLM-UHFFFAOYSA-N 1 2 306.410 1.923 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)[C@H](C)n1cc[nH+]c1 ZINC001320237663 866581624 /nfs/dbraw/zinc/58/16/24/866581624.db2.gz QCMRWEHJAHWKPR-LBPRGKRZSA-N 1 2 321.344 1.844 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@]2(CC[N@@H+](Cc3nc(C)no3)C2)C1 ZINC001323844234 866886861 /nfs/dbraw/zinc/88/68/61/866886861.db2.gz JPPVINOAGVYIFU-MRXNPFEDSA-N 1 2 320.393 1.005 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@]2(CC[N@H+](Cc3nc(C)no3)C2)C1 ZINC001323844234 866886866 /nfs/dbraw/zinc/88/68/66/866886866.db2.gz JPPVINOAGVYIFU-MRXNPFEDSA-N 1 2 320.393 1.005 20 30 DDEDLO CN(C)c1cccc(C[NH+]2CC(N(C)C(=O)C#CC3CC3)C2)c1 ZINC001323922199 866950074 /nfs/dbraw/zinc/95/00/74/866950074.db2.gz UCOAPRXRBPYVPQ-UHFFFAOYSA-N 1 2 311.429 1.809 20 30 DDEDLO N#Cc1cc(Cl)nc(NS(=O)(=O)c2ccc(C[NH3+])cc2)n1 ZINC001161746969 866958539 /nfs/dbraw/zinc/95/85/39/866958539.db2.gz SQFXSXVKBHQCAU-UHFFFAOYSA-N 1 2 323.765 1.261 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNC(F)(F)c1cnc(Cl)c(C#N)c1 ZINC001161951004 867133110 /nfs/dbraw/zinc/13/31/10/867133110.db2.gz YUZNBTDFFGEVCA-SECBINFHSA-N 1 2 318.711 1.136 20 30 DDEDLO C[C@H](C#N)C(=O)NC/C=C/C[NH2+]Cc1cnn(-c2ccccc2)n1 ZINC001320998701 867191037 /nfs/dbraw/zinc/19/10/37/867191037.db2.gz PSDUHGCQSTZYKS-VBROQKIQSA-N 1 2 324.388 1.189 20 30 DDEDLO C=CCOCC(=O)NC1(C)CC[NH+](Cc2ncc(C)o2)CC1 ZINC001324301116 867191431 /nfs/dbraw/zinc/19/14/31/867191431.db2.gz IKVNRKYTBUIUFG-UHFFFAOYSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CC[N@H+]1CCC[C@@](C)(CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001324937762 867643630 /nfs/dbraw/zinc/64/36/30/867643630.db2.gz ZQJTVTHOEJMJBX-KRWDZBQOSA-N 1 2 313.405 1.976 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@](C)(CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001324937762 867643641 /nfs/dbraw/zinc/64/36/41/867643641.db2.gz ZQJTVTHOEJMJBX-KRWDZBQOSA-N 1 2 313.405 1.976 20 30 DDEDLO C=C1CCC(C(=O)NCC2(O)C[NH+](CCOC(C)C)C2)CC1 ZINC001325258937 867894363 /nfs/dbraw/zinc/89/43/63/867894363.db2.gz JWHUPQAEECTIPD-UHFFFAOYSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C(C)CCC(=O)N1CC([C@@H](C)NC(=O)CCn2cc[nH+]c2)C1 ZINC001335085104 868054438 /nfs/dbraw/zinc/05/44/38/868054438.db2.gz TXCZTVLBNKYGJB-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(-c2nc(C)c(C)cc2C#N)cn1 ZINC001163410819 868428231 /nfs/dbraw/zinc/42/82/31/868428231.db2.gz AUTSWIQAWCRIRE-AWEZNQCLSA-N 1 2 313.361 1.189 20 30 DDEDLO C#C[C@@H]([NH2+]CCS(C)(=O)=O)c1ccc(Br)cc1 ZINC001336004757 868673477 /nfs/dbraw/zinc/67/34/77/868673477.db2.gz XMKILBWGQHFWCH-GFCCVEGCSA-N 1 2 316.220 1.758 20 30 DDEDLO CC(C)c1nc(C[NH2+]CC2(CCNC(=O)[C@H](C)C#N)CC2)no1 ZINC001163854305 868756863 /nfs/dbraw/zinc/75/68/63/868756863.db2.gz MVOLLEWMRQGXCV-GFCCVEGCSA-N 1 2 319.409 1.729 20 30 DDEDLO C#Cc1ccc(NC(=O)C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)cc1 ZINC001336595685 869040403 /nfs/dbraw/zinc/04/04/03/869040403.db2.gz LNLYOSCYCQGVRG-UHFFFAOYSA-N 1 2 322.368 1.736 20 30 DDEDLO C#Cc1ccc(NC(=O)C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)cc1 ZINC001336595685 869040410 /nfs/dbraw/zinc/04/04/10/869040410.db2.gz LNLYOSCYCQGVRG-UHFFFAOYSA-N 1 2 322.368 1.736 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001337069620 869305029 /nfs/dbraw/zinc/30/50/29/869305029.db2.gz CVGBIZZRFPBJRD-MGPQQGTHSA-N 1 2 318.421 1.453 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)c1c2c(nnc1Cl)CCC2 ZINC001164660743 869345097 /nfs/dbraw/zinc/34/50/97/869345097.db2.gz HNHYFABVYBZJRS-MRVPVSSYSA-N 1 2 313.739 1.465 20 30 DDEDLO C=CCCCC(=O)NCCC[NH2+]Cc1nsc(N(C)C)n1 ZINC001165535844 869634281 /nfs/dbraw/zinc/63/42/81/869634281.db2.gz ZXEIXLOAZGNEBU-UHFFFAOYSA-N 1 2 311.455 1.556 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C23CCC(CC2)C3)C1 ZINC001316981075 870054528 /nfs/dbraw/zinc/05/45/28/870054528.db2.gz JFFDHVOSVDLDRE-XOYHFGRZSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C23CCC(CC2)C3)C1 ZINC001316981075 870054537 /nfs/dbraw/zinc/05/45/37/870054537.db2.gz JFFDHVOSVDLDRE-XOYHFGRZSA-N 1 2 305.422 1.059 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001316992166 870084919 /nfs/dbraw/zinc/08/49/19/870084919.db2.gz OHYVOANNBXCZSF-LSDHHAIUSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001316992166 870084928 /nfs/dbraw/zinc/08/49/28/870084928.db2.gz OHYVOANNBXCZSF-LSDHHAIUSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@H](C)[C@@H]1CC ZINC001338896454 870229633 /nfs/dbraw/zinc/22/96/33/870229633.db2.gz WLGRPROFCSRMLZ-AJNGGQMLSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@H](C)[C@@H]1CC ZINC001338896454 870229643 /nfs/dbraw/zinc/22/96/43/870229643.db2.gz WLGRPROFCSRMLZ-AJNGGQMLSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001298134528 870303459 /nfs/dbraw/zinc/30/34/59/870303459.db2.gz DYOKYRMRTOBKGM-CHWSQXEVSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CCn1c(N2CC[C@H](CC)C2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001339068262 870329105 /nfs/dbraw/zinc/32/91/05/870329105.db2.gz HWNLDKNMHUWNQJ-JJRVBVJISA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N2CC[C@H](CC)C2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001339068262 870329122 /nfs/dbraw/zinc/32/91/22/870329122.db2.gz HWNLDKNMHUWNQJ-JJRVBVJISA-N 1 2 319.453 1.612 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@H]1CCC[N@H+](Cc2cnon2)C1 ZINC001317202327 870460515 /nfs/dbraw/zinc/46/05/15/870460515.db2.gz FOZHLMUZKANQTR-KGLIPLIRSA-N 1 2 304.394 1.446 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@H]1CCC[N@@H+](Cc2cnon2)C1 ZINC001317202327 870460523 /nfs/dbraw/zinc/46/05/23/870460523.db2.gz FOZHLMUZKANQTR-KGLIPLIRSA-N 1 2 304.394 1.446 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)CC(C)C)n2CC)CC1 ZINC001339567944 870559809 /nfs/dbraw/zinc/55/98/09/870559809.db2.gz JESXLWHBJUJICZ-CQSZACIVSA-N 1 2 305.426 1.133 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H]1CNC(=O)c1ccns1 ZINC001317503039 870934767 /nfs/dbraw/zinc/93/47/67/870934767.db2.gz VXTXHCZQFQWORU-NWDGAFQWSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H]1CNC(=O)c1ccns1 ZINC001317503039 870934773 /nfs/dbraw/zinc/93/47/73/870934773.db2.gz VXTXHCZQFQWORU-NWDGAFQWSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@@H](C)CC[C@@H]1C ZINC001340257764 870989259 /nfs/dbraw/zinc/98/92/59/870989259.db2.gz ALWDYOSPCHGQMK-AJNGGQMLSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@@H](C)CC[C@@H]1C ZINC001340257764 870989264 /nfs/dbraw/zinc/98/92/64/870989264.db2.gz ALWDYOSPCHGQMK-AJNGGQMLSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c(N2CCC=C(C)C2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001340700470 871293706 /nfs/dbraw/zinc/29/37/06/871293706.db2.gz DHNOHHSHILMUEU-RHSMWYFYSA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1c(N2CCC=C(C)C2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001340700470 871293721 /nfs/dbraw/zinc/29/37/21/871293721.db2.gz DHNOHHSHILMUEU-RHSMWYFYSA-N 1 2 317.437 1.532 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)[C@@H](C)n2cc[nH+]c2)c1 ZINC001317999035 871679657 /nfs/dbraw/zinc/67/96/57/871679657.db2.gz QFNWWRAWNWUCJG-CYBMUJFWSA-N 1 2 310.357 1.523 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ncnc3ccsc32)C1 ZINC001318033570 871705145 /nfs/dbraw/zinc/70/51/45/871705145.db2.gz XKJWPIPSHJWIML-UHFFFAOYSA-N 1 2 318.402 1.308 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CC[C@@H](C)CC)C1 ZINC001316955026 871864019 /nfs/dbraw/zinc/86/40/19/871864019.db2.gz HXAIUDSWNQSVAU-LSDHHAIUSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CC[C@@H](C)CC)C1 ZINC001316955026 871864030 /nfs/dbraw/zinc/86/40/30/871864030.db2.gz HXAIUDSWNQSVAU-LSDHHAIUSA-N 1 2 309.454 1.696 20 30 DDEDLO CCc1nnc([C@H](C)[NH+]2CCC(NC(=O)[C@@H](C)C#N)CC2)o1 ZINC001226639432 882560333 /nfs/dbraw/zinc/56/03/33/882560333.db2.gz CCWIQWDRAWTAAN-QWRGUYRKSA-N 1 2 305.382 1.433 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ccc(Cl)nc2)C1 ZINC001318329689 871934642 /nfs/dbraw/zinc/93/46/42/871934642.db2.gz SDZPAROPTXAJMM-CZUORRHYSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(Cl)nc2)C1 ZINC001318329689 871934658 /nfs/dbraw/zinc/93/46/58/871934658.db2.gz SDZPAROPTXAJMM-CZUORRHYSA-N 1 2 323.824 1.753 20 30 DDEDLO CC#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)COCC)C2 ZINC001316807021 872075585 /nfs/dbraw/zinc/07/55/85/872075585.db2.gz WRYKDQBXGKOGCS-UHFFFAOYSA-N 1 2 307.419 1.177 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)SC)n2CC=C)CC1 ZINC001342218846 872079781 /nfs/dbraw/zinc/07/97/81/872079781.db2.gz AZAVABPVSDUSDV-CYBMUJFWSA-N 1 2 305.451 1.643 20 30 DDEDLO C=CC[C@@H](NC(=O)NCC[N@H+]1CCOC[C@@H]1C)c1ccncc1 ZINC001318521549 872128466 /nfs/dbraw/zinc/12/84/66/872128466.db2.gz BZIJHVKVCPNWLH-GOEBONIOSA-N 1 2 318.421 1.719 20 30 DDEDLO C=CC[C@@H](NC(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1ccncc1 ZINC001318521549 872128475 /nfs/dbraw/zinc/12/84/75/872128475.db2.gz BZIJHVKVCPNWLH-GOEBONIOSA-N 1 2 318.421 1.719 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)CC#N)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001342384317 872184314 /nfs/dbraw/zinc/18/43/14/872184314.db2.gz JNYNZLCEKVEPFK-XJKCOSOUSA-N 1 2 318.425 1.114 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)CC#N)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001342384317 872184318 /nfs/dbraw/zinc/18/43/18/872184318.db2.gz JNYNZLCEKVEPFK-XJKCOSOUSA-N 1 2 318.425 1.114 20 30 DDEDLO N#CCN1CCC(CCNC(=O)[C@@H]2CCc3c[nH+]cn3C2)CC1 ZINC001319028428 872404768 /nfs/dbraw/zinc/40/47/68/872404768.db2.gz ZUKBIQJBAUUZOA-OAHLLOKOSA-N 1 2 315.421 1.187 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001344254879 872965541 /nfs/dbraw/zinc/96/55/41/872965541.db2.gz BGNJTKIOZLHVFU-UONOGXRCSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001344254879 872965552 /nfs/dbraw/zinc/96/55/52/872965552.db2.gz BGNJTKIOZLHVFU-UONOGXRCSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCN(CC[N@@H+]1C[C@H](F)C[C@H]1CO)C(=O)OC(C)(C)C ZINC001207199954 873116317 /nfs/dbraw/zinc/11/63/17/873116317.db2.gz WGXTXBJMDCZPDV-OLZOCXBDSA-N 1 2 302.390 1.814 20 30 DDEDLO C=CCN(CC[N@H+]1C[C@H](F)C[C@H]1CO)C(=O)OC(C)(C)C ZINC001207199954 873116320 /nfs/dbraw/zinc/11/63/20/873116320.db2.gz WGXTXBJMDCZPDV-OLZOCXBDSA-N 1 2 302.390 1.814 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@]2(C)CCCOC2)C1 ZINC001381806209 882708638 /nfs/dbraw/zinc/70/86/38/882708638.db2.gz DHYRCCKIZCAELH-WFASDCNBSA-N 1 2 300.830 1.992 20 30 DDEDLO CCCC1(C(=O)N[C@@H]2C[N@H+](CC(=O)NCC#N)C[C@H]2C)CCC1 ZINC001208369563 874075156 /nfs/dbraw/zinc/07/51/56/874075156.db2.gz ZVIGPKKCGXIVOC-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO CCCC1(C(=O)N[C@@H]2C[N@@H+](CC(=O)NCC#N)C[C@H]2C)CCC1 ZINC001208369563 874075168 /nfs/dbraw/zinc/07/51/68/874075168.db2.gz ZVIGPKKCGXIVOC-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCc2ccn(C)n2)C(C)(C)C1 ZINC001381852128 882798603 /nfs/dbraw/zinc/79/86/03/882798603.db2.gz WWQFNNNVYUTFAO-CQSZACIVSA-N 1 2 324.856 1.932 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCc2ccn(C)n2)C(C)(C)C1 ZINC001381852128 882798613 /nfs/dbraw/zinc/79/86/13/882798613.db2.gz WWQFNNNVYUTFAO-CQSZACIVSA-N 1 2 324.856 1.932 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCC2(C)CC2)[C@H](OC)C1 ZINC001213666876 876012987 /nfs/dbraw/zinc/01/29/87/876012987.db2.gz KJNBADHPMPEYSM-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCC2(C)CC2)[C@H](OC)C1 ZINC001213666876 876013004 /nfs/dbraw/zinc/01/30/04/876013004.db2.gz KJNBADHPMPEYSM-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO C#CCC(C)(C)c1nnc(N2CCN(c3cccc[nH+]3)CC2)n1C ZINC001351253686 876147083 /nfs/dbraw/zinc/14/70/83/876147083.db2.gz JRHBGEWPDZJXBJ-UHFFFAOYSA-N 1 2 324.432 1.838 20 30 DDEDLO C=C[C@H](C(=O)N[C@H](C)[C@H]1CN(C)CC[N@@H+]1C)c1ccccc1 ZINC001351325792 876188754 /nfs/dbraw/zinc/18/87/54/876188754.db2.gz QHULJRHZURMPNR-HYVNUMGLSA-N 1 2 301.434 1.707 20 30 DDEDLO C=C[C@H](C(=O)N[C@H](C)[C@H]1CN(C)CC[N@H+]1C)c1ccccc1 ZINC001351325792 876188765 /nfs/dbraw/zinc/18/87/65/876188765.db2.gz QHULJRHZURMPNR-HYVNUMGLSA-N 1 2 301.434 1.707 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H]([C@@H](C)[NH2+]Cc2csnn2)C1 ZINC001276789843 877584689 /nfs/dbraw/zinc/58/46/89/877584689.db2.gz PBQHHROHCXOEMK-TZMCWYRMSA-N 1 2 324.450 1.600 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](F)CCCCCC)[C@H]2C1 ZINC001218976132 877846758 /nfs/dbraw/zinc/84/67/58/877846758.db2.gz LKQGZMSYDKOORW-OWCLPIDISA-N 1 2 310.413 1.840 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](F)CCCCCC)[C@H]2C1 ZINC001218976132 877846768 /nfs/dbraw/zinc/84/67/68/877846768.db2.gz LKQGZMSYDKOORW-OWCLPIDISA-N 1 2 310.413 1.840 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001354576406 878081920 /nfs/dbraw/zinc/08/19/20/878081920.db2.gz JECVCXKASYUYON-LBPRGKRZSA-N 1 2 306.410 1.186 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2CCOC(C)(C)C)CC1 ZINC001300701114 878176384 /nfs/dbraw/zinc/17/63/84/878176384.db2.gz HCGCZWNIUYJIHX-UHFFFAOYSA-N 1 2 319.453 1.411 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001354877832 878292820 /nfs/dbraw/zinc/29/28/20/878292820.db2.gz HKPNBDWIVUTAHE-CQSZACIVSA-N 1 2 316.405 1.111 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001354877832 878292836 /nfs/dbraw/zinc/29/28/36/878292836.db2.gz HKPNBDWIVUTAHE-CQSZACIVSA-N 1 2 316.405 1.111 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001354957032 878339291 /nfs/dbraw/zinc/33/92/91/878339291.db2.gz SRVVBBIOKXMIHX-CYBMUJFWSA-N 1 2 304.394 1.273 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001287630158 912361926 /nfs/dbraw/zinc/36/19/26/912361926.db2.gz RQPBXUPDIVNIJQ-ZDUSSCGKSA-N 1 2 306.410 1.662 20 30 DDEDLO C=CCC1(C(=O)N(C)CCNC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001355684547 878675395 /nfs/dbraw/zinc/67/53/95/878675395.db2.gz HBZXVKJSIKNKIT-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCC1(C(=O)N(C)CCNC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001355684547 878675410 /nfs/dbraw/zinc/67/54/10/878675410.db2.gz HBZXVKJSIKNKIT-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO CCN(CCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1)C(=O)C#CC(C)C ZINC001356073101 878857444 /nfs/dbraw/zinc/85/74/44/878857444.db2.gz YKLMTUSSIQDZDW-CQSZACIVSA-N 1 2 318.421 1.212 20 30 DDEDLO CCN(CCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1)C(=O)C#CC(C)C ZINC001356073101 878857451 /nfs/dbraw/zinc/85/74/51/878857451.db2.gz YKLMTUSSIQDZDW-CQSZACIVSA-N 1 2 318.421 1.212 20 30 DDEDLO C=CCn1c(N2CCC(F)CC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001356135512 878884882 /nfs/dbraw/zinc/88/48/82/878884882.db2.gz XTZMKCXOWADHMR-CJNGLKHVSA-N 1 2 323.416 1.314 20 30 DDEDLO C=CCn1c(N2CCC(F)CC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001356135512 878884885 /nfs/dbraw/zinc/88/48/85/878884885.db2.gz XTZMKCXOWADHMR-CJNGLKHVSA-N 1 2 323.416 1.314 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)C[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001287697390 912401706 /nfs/dbraw/zinc/40/17/06/912401706.db2.gz VFYIJQHMCGEYOA-KBPBESRZSA-N 1 2 320.437 1.528 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001356785980 879353401 /nfs/dbraw/zinc/35/34/01/879353401.db2.gz FYUSWCZTRAWFGU-ZIAGYGMSSA-N 1 2 318.421 1.764 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]1(C)CC[N@H+](Cc2noc3c2CCCC3)C1 ZINC001380348150 879412228 /nfs/dbraw/zinc/41/22/28/879412228.db2.gz OHQJKLUCVQNXLW-SJKOYZFVSA-N 1 2 316.405 1.794 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]1(C)CC[N@@H+](Cc2noc3c2CCCC3)C1 ZINC001380348150 879412234 /nfs/dbraw/zinc/41/22/34/879412234.db2.gz OHQJKLUCVQNXLW-SJKOYZFVSA-N 1 2 316.405 1.794 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC(CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001356817913 879424360 /nfs/dbraw/zinc/42/43/60/879424360.db2.gz BBETYFCSFUJCAY-UHFFFAOYSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC(CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001356817913 879424365 /nfs/dbraw/zinc/42/43/65/879424365.db2.gz BBETYFCSFUJCAY-UHFFFAOYSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC3CC3)C[C@H]21 ZINC001221582033 879958174 /nfs/dbraw/zinc/95/81/74/879958174.db2.gz FIGDYIXIQYNANE-HZPDHXFCSA-N 1 2 319.449 1.402 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC3CC3)C[C@H]21 ZINC001221582033 879958180 /nfs/dbraw/zinc/95/81/80/879958180.db2.gz FIGDYIXIQYNANE-HZPDHXFCSA-N 1 2 319.449 1.402 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](CC)CCC)[C@@H]2C1 ZINC001221899061 880141624 /nfs/dbraw/zinc/14/16/24/880141624.db2.gz KFSTURQMLCGCGN-ARFHVFGLSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](CC)CCC)[C@@H]2C1 ZINC001221899061 880141639 /nfs/dbraw/zinc/14/16/39/880141639.db2.gz KFSTURQMLCGCGN-ARFHVFGLSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]cn2C)[C@H]1C ZINC001287885454 912547140 /nfs/dbraw/zinc/54/71/40/912547140.db2.gz FPOQKHTYKWCTIO-GXTWGEPZSA-N 1 2 304.394 1.034 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]1CNC(=O)CCCn1cc[nH+]c1 ZINC001358617975 880630003 /nfs/dbraw/zinc/63/00/03/880630003.db2.gz BNBRUHOUAXHAOD-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCN(C)C(=O)[C@H](C)OC ZINC001380944799 880711312 /nfs/dbraw/zinc/71/13/12/880711312.db2.gz JKNJGGDTQKGWKC-NSHDSACASA-N 1 2 307.232 1.710 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCN(C)C(=O)[C@H](C)OC ZINC001380944799 880711319 /nfs/dbraw/zinc/71/13/19/880711319.db2.gz JKNJGGDTQKGWKC-NSHDSACASA-N 1 2 307.232 1.710 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC(N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001358737193 880832681 /nfs/dbraw/zinc/83/26/81/880832681.db2.gz ABTDBTJLGGVWEB-UHFFFAOYSA-N 1 2 316.405 1.321 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1(C)CC=CC1 ZINC001276915932 881079682 /nfs/dbraw/zinc/07/96/82/881079682.db2.gz GEHBEKLLHZIJIS-KGLIPLIRSA-N 1 2 305.422 1.224 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C1(C)CC=CC1 ZINC001276915932 881079696 /nfs/dbraw/zinc/07/96/96/881079696.db2.gz GEHBEKLLHZIJIS-KGLIPLIRSA-N 1 2 305.422 1.224 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)CCc1ccccc1C ZINC001276930092 881139999 /nfs/dbraw/zinc/13/99/99/881139999.db2.gz CZIFORMLYZKUNB-SFHVURJKSA-N 1 2 314.429 1.768 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)CCc1ccccc1C ZINC001276930092 881140009 /nfs/dbraw/zinc/14/00/09/881140009.db2.gz CZIFORMLYZKUNB-SFHVURJKSA-N 1 2 314.429 1.768 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CC[NH+](Cc2ncnn2CC)CC1 ZINC001224051429 881193875 /nfs/dbraw/zinc/19/38/75/881193875.db2.gz WYRLSPWRVPBSJM-UHFFFAOYSA-N 1 2 319.453 1.838 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1CC[NH+](Cc2nnnn2C)CC1 ZINC001224197809 881269307 /nfs/dbraw/zinc/26/93/07/881269307.db2.gz IFDMBJPUYYRFBH-ZDUSSCGKSA-N 1 2 320.441 1.141 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224197918 881269551 /nfs/dbraw/zinc/26/95/51/881269551.db2.gz IJFXAIBWOYEOJS-AWEZNQCLSA-N 1 2 319.453 1.746 20 30 DDEDLO CCc1noc(C[NH2+][C@H](C)CN(C)C(=O)c2cc(C#N)c[nH]2)n1 ZINC001382060461 883302456 /nfs/dbraw/zinc/30/24/56/883302456.db2.gz OWYKWPJNWGTXDL-SNVBAGLBSA-N 1 2 316.365 1.082 20 30 DDEDLO CCC[C@@H](C)C(=O)NC[C@@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001382181084 883588574 /nfs/dbraw/zinc/58/85/74/883588574.db2.gz HLEYIMSSGOJRDZ-WBMJQRKESA-N 1 2 321.396 1.700 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3c(C#N)cccc3[N+](=O)[O-])[C@H]1CC(=O)C2 ZINC001228768222 883657855 /nfs/dbraw/zinc/65/78/55/883657855.db2.gz HXLXPQRPOKKAKC-ZLKJLUDKSA-N 1 2 301.302 1.649 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3c(C#N)cccc3[N+](=O)[O-])[C@H]1CC(=O)C2 ZINC001228768222 883657871 /nfs/dbraw/zinc/65/78/71/883657871.db2.gz HXLXPQRPOKKAKC-ZLKJLUDKSA-N 1 2 301.302 1.649 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001228800786 883677902 /nfs/dbraw/zinc/67/79/02/883677902.db2.gz FLAIGXUKKPUTNW-AWEZNQCLSA-N 1 2 319.453 1.981 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](Oc2nnccc2C#N)C1 ZINC001229605429 884068844 /nfs/dbraw/zinc/06/88/44/884068844.db2.gz AIHFAOXYBDSVFP-MRXNPFEDSA-N 1 2 305.341 1.873 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](Oc2nnccc2C#N)C1 ZINC001229605429 884068857 /nfs/dbraw/zinc/06/88/57/884068857.db2.gz AIHFAOXYBDSVFP-MRXNPFEDSA-N 1 2 305.341 1.873 20 30 DDEDLO CC(C)c1nc(C[NH2+][C@@H](CNC(=O)[C@H](C)C#N)C(C)C)no1 ZINC001374415809 912785706 /nfs/dbraw/zinc/78/57/06/912785706.db2.gz QFMIAKCVFWNQOP-NEPJUHHUSA-N 1 2 307.398 1.583 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nocc2C)CC1 ZINC001230414735 884480369 /nfs/dbraw/zinc/48/03/69/884480369.db2.gz FOFMTLVPHNMKJK-ZDUSSCGKSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)o2)CC1 ZINC001230414743 884480899 /nfs/dbraw/zinc/48/08/99/884480899.db2.gz GCTTWDHVYOBBSN-NWDGAFQWSA-N 1 2 322.409 1.611 20 30 DDEDLO CC[C@@H](OC)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231103821 885228480 /nfs/dbraw/zinc/22/84/80/885228480.db2.gz SPELVCTYGXQVCE-ZWKOTPCHSA-N 1 2 314.429 1.996 20 30 DDEDLO CC[C@@H](OC)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231103821 885228496 /nfs/dbraw/zinc/22/84/96/885228496.db2.gz SPELVCTYGXQVCE-ZWKOTPCHSA-N 1 2 314.429 1.996 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCC[C@H](C)C1 ZINC001231123408 885262662 /nfs/dbraw/zinc/26/26/62/885262662.db2.gz TUHNIXXHQLKXGO-JKSUJKDBSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCC[C@H](C)C1 ZINC001231123408 885262649 /nfs/dbraw/zinc/26/26/49/885262649.db2.gz TUHNIXXHQLKXGO-JKSUJKDBSA-N 1 2 321.465 1.744 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231246121 885423139 /nfs/dbraw/zinc/42/31/39/885423139.db2.gz CWIHESGJXBNBLK-DGCLKSJQSA-N 1 2 304.394 1.738 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231246121 885423150 /nfs/dbraw/zinc/42/31/50/885423150.db2.gz CWIHESGJXBNBLK-DGCLKSJQSA-N 1 2 304.394 1.738 20 30 DDEDLO N#Cc1ncc(NCc2cc[nH+]c(N3CCCC3)c2)nc1C#N ZINC001363135142 885552247 /nfs/dbraw/zinc/55/22/47/885552247.db2.gz UKAPNCXCHRJODL-UHFFFAOYSA-N 1 2 305.345 1.827 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N1CCc2cc[nH+]c(N)c2C1 ZINC001363201163 885701948 /nfs/dbraw/zinc/70/19/48/885701948.db2.gz FWGCAFKTYNJCBE-UHFFFAOYSA-N 1 2 308.341 1.499 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001277706712 886555668 /nfs/dbraw/zinc/55/56/68/886555668.db2.gz FOTFXWZKPGNEBJ-WNDYTACBSA-N 1 2 304.434 1.624 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(c4cccnc4F)C3)C2)cc1C#N ZINC001277716029 886592503 /nfs/dbraw/zinc/59/25/03/886592503.db2.gz ZSINXIBMGCLWML-UHFFFAOYSA-N 1 2 311.364 1.753 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(O)c(OCC3CC3)c2)CC1 ZINC001233230349 886861834 /nfs/dbraw/zinc/86/18/34/886861834.db2.gz ZIJIXDWLHWDJLJ-UHFFFAOYSA-N 1 2 300.402 1.932 20 30 DDEDLO C#CCN1CC[NH+](Cc2ccc(O)c(OCC3CC3)c2)CC1 ZINC001233230349 886861851 /nfs/dbraw/zinc/86/18/51/886861851.db2.gz ZIJIXDWLHWDJLJ-UHFFFAOYSA-N 1 2 300.402 1.932 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NCC=C ZINC001233761305 887293682 /nfs/dbraw/zinc/29/36/82/887293682.db2.gz ILNUHOIJPAXFMG-OAHLLOKOSA-N 1 2 305.422 1.015 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NCC=C ZINC001233761305 887293696 /nfs/dbraw/zinc/29/36/96/887293696.db2.gz ILNUHOIJPAXFMG-OAHLLOKOSA-N 1 2 305.422 1.015 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC(CC)CC ZINC001233948135 887490642 /nfs/dbraw/zinc/49/06/42/887490642.db2.gz QQCXHTJZILVLAQ-INIZCTEOSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC(CC)CC ZINC001233948135 887490652 /nfs/dbraw/zinc/49/06/52/887490652.db2.gz QQCXHTJZILVLAQ-INIZCTEOSA-N 1 2 321.465 1.627 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NC(C)(C)C ZINC001234132962 887675477 /nfs/dbraw/zinc/67/54/77/887675477.db2.gz YHJHVPUXJUMNQL-LSDHHAIUSA-N 1 2 321.465 1.482 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NC(C)(C)C ZINC001234132962 887675481 /nfs/dbraw/zinc/67/54/81/887675481.db2.gz YHJHVPUXJUMNQL-LSDHHAIUSA-N 1 2 321.465 1.482 20 30 DDEDLO CCCC[C@H](C(N)=O)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214749 887751849 /nfs/dbraw/zinc/75/18/49/887751849.db2.gz DSVPWXCUXRDSSG-LSDHHAIUSA-N 1 2 321.465 1.613 20 30 DDEDLO CCCC[C@H](C(N)=O)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214749 887751857 /nfs/dbraw/zinc/75/18/57/887751857.db2.gz DSVPWXCUXRDSSG-LSDHHAIUSA-N 1 2 321.465 1.613 20 30 DDEDLO CCN(C1CC[NH+](Cc2cc(C#N)ccn2)CC1)S(C)(=O)=O ZINC001364356770 888447956 /nfs/dbraw/zinc/44/79/56/888447956.db2.gz HJZBVVYVEMQNBO-UHFFFAOYSA-N 1 2 322.434 1.199 20 30 DDEDLO CN(C)C(=O)C[N@H+](C)Cc1ccc(C#N)c(Br)c1 ZINC001236864777 889387891 /nfs/dbraw/zinc/38/78/91/889387891.db2.gz UXWYDLKSWDWGND-UHFFFAOYSA-N 1 2 310.195 1.841 20 30 DDEDLO CN(C)C(=O)C[N@@H+](C)Cc1ccc(C#N)c(Br)c1 ZINC001236864777 889387903 /nfs/dbraw/zinc/38/79/03/889387903.db2.gz UXWYDLKSWDWGND-UHFFFAOYSA-N 1 2 310.195 1.841 20 30 DDEDLO N#Cc1nc(Cl)ccc1NS(=O)(=O)CC1CC[NH2+]CC1 ZINC001237478584 889659751 /nfs/dbraw/zinc/65/97/51/889659751.db2.gz GOPFSKSJRZQYJK-UHFFFAOYSA-N 1 2 314.798 1.348 20 30 DDEDLO CC(C)C[N@@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278120943 889752704 /nfs/dbraw/zinc/75/27/04/889752704.db2.gz QXCXXBIOUSAHGX-UHFFFAOYSA-N 1 2 301.394 1.409 20 30 DDEDLO CC(C)C[N@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278120943 889752717 /nfs/dbraw/zinc/75/27/17/889752717.db2.gz QXCXXBIOUSAHGX-UHFFFAOYSA-N 1 2 301.394 1.409 20 30 DDEDLO CCOC(=O)C1(C#N)CC[NH+](Cc2cccc(C(N)=O)c2)CC1 ZINC001237696701 889811122 /nfs/dbraw/zinc/81/11/22/889811122.db2.gz FKRNVJSHMYYBMA-UHFFFAOYSA-N 1 2 315.373 1.454 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CCCC2(C[NH+](Cc3ccc[nH]3)C2)C1 ZINC001278256302 890291301 /nfs/dbraw/zinc/29/13/01/890291301.db2.gz FRSXTGIZXFATFI-ZDUSSCGKSA-N 1 2 322.434 1.154 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCN1CCC[C@@](C#N)(c2ccccn2)C1 ZINC001365318144 890575971 /nfs/dbraw/zinc/57/59/71/890575971.db2.gz VDHYBNIAZKBWQR-AEFFLSMTSA-N 1 2 314.433 1.659 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCN1CCC[C@@](C#N)(c2ccccn2)C1 ZINC001365318144 890575980 /nfs/dbraw/zinc/57/59/80/890575980.db2.gz VDHYBNIAZKBWQR-AEFFLSMTSA-N 1 2 314.433 1.659 20 30 DDEDLO CCCn1c[nH+]cc1CN1CCN(c2cnccc2C#N)CC1 ZINC001365601635 891137026 /nfs/dbraw/zinc/13/70/26/891137026.db2.gz ALQXZBOIDPJHAG-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO CC(C)OCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001365969343 892072534 /nfs/dbraw/zinc/07/25/34/892072534.db2.gz FXTMMUHGZCPCLP-OAHLLOKOSA-N 1 2 319.380 1.813 20 30 DDEDLO CC(C)OCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001365969343 892072549 /nfs/dbraw/zinc/07/25/49/892072549.db2.gz FXTMMUHGZCPCLP-OAHLLOKOSA-N 1 2 319.380 1.813 20 30 DDEDLO N#Cc1ccc(NC(=S)N2CC[C@@H]([NH+]3CCOCC3)C2)cc1 ZINC001245899395 892262161 /nfs/dbraw/zinc/26/21/61/892262161.db2.gz VUGBORFLQVYOBP-OAHLLOKOSA-N 1 2 316.430 1.662 20 30 DDEDLO CCCCCCCCOC(=O)CN[C@H]1C[NH2+][C@@H](C(=O)OC)C1 ZINC001246309258 892532005 /nfs/dbraw/zinc/53/20/05/892532005.db2.gz NWEZLOHNRMOZLY-ZIAGYGMSSA-N 1 2 314.426 1.383 20 30 DDEDLO Cc1nc(C[N@H+]2CCCC[C@@H]2CNC(=O)[C@@H](C)C#N)oc1C ZINC001366202027 892725524 /nfs/dbraw/zinc/72/55/24/892725524.db2.gz SLIOYKIAEAUWQH-SMDDNHRTSA-N 1 2 304.394 1.922 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCC[C@@H]2CNC(=O)[C@@H](C)C#N)oc1C ZINC001366202027 892725531 /nfs/dbraw/zinc/72/55/31/892725531.db2.gz SLIOYKIAEAUWQH-SMDDNHRTSA-N 1 2 304.394 1.922 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2nccs2)[C@H]1C ZINC001278527779 894089946 /nfs/dbraw/zinc/08/99/46/894089946.db2.gz WDHHTXGIGFLDAG-OLZOCXBDSA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2nccs2)[C@H]1C ZINC001278527779 894089964 /nfs/dbraw/zinc/08/99/64/894089964.db2.gz WDHHTXGIGFLDAG-OLZOCXBDSA-N 1 2 307.419 1.376 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(F)c(C(=O)OC)c2F)CC1 ZINC001250429880 894289635 /nfs/dbraw/zinc/28/96/35/894289635.db2.gz BLTHDPVRUILMND-UHFFFAOYSA-N 1 2 308.328 1.502 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1ccc2nnn(C)c2c1 ZINC001366641104 894405781 /nfs/dbraw/zinc/40/57/81/894405781.db2.gz NBNPNRKRDJGJBT-UHFFFAOYSA-N 1 2 321.812 1.773 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1ccc2nnn(C)c2c1 ZINC001366641104 894405785 /nfs/dbraw/zinc/40/57/85/894405785.db2.gz NBNPNRKRDJGJBT-UHFFFAOYSA-N 1 2 321.812 1.773 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)CCc1ccn(C)n1)C1CC1 ZINC001366739540 894772625 /nfs/dbraw/zinc/77/26/25/894772625.db2.gz OEAHESOYIJUTHI-UHFFFAOYSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)CCc1ccn(C)n1)C1CC1 ZINC001366739540 894772633 /nfs/dbraw/zinc/77/26/33/894772633.db2.gz OEAHESOYIJUTHI-UHFFFAOYSA-N 1 2 310.829 1.686 20 30 DDEDLO C#CCOC[C@H](O)C[N@@H+](CC(=O)OCC)[C@H](C)c1ccccc1 ZINC001251857919 894849835 /nfs/dbraw/zinc/84/98/35/894849835.db2.gz NEJSCNQICDJDEW-NVXWUHKLSA-N 1 2 319.401 1.623 20 30 DDEDLO C#CCOC[C@H](O)C[N@H+](CC(=O)OCC)[C@H](C)c1ccccc1 ZINC001251857919 894849848 /nfs/dbraw/zinc/84/98/48/894849848.db2.gz NEJSCNQICDJDEW-NVXWUHKLSA-N 1 2 319.401 1.623 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NCC(=O)OCc1ccccc1 ZINC001255156838 896757399 /nfs/dbraw/zinc/75/73/99/896757399.db2.gz SDZRQPXKGGJLNE-CQSZACIVSA-N 1 2 303.362 1.128 20 30 DDEDLO O=C(C#CC1CC1)NCC1(O)C[NH+](CC/C=C\c2ccccc2)C1 ZINC001278862992 896881029 /nfs/dbraw/zinc/88/10/29/896881029.db2.gz GPAPZQLTZIZTIK-YWEYNIOJSA-N 1 2 324.424 1.666 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2c(F)cc(C)cc2F)C1 ZINC001278888073 897113144 /nfs/dbraw/zinc/11/31/44/897113144.db2.gz NVVVAKQIBLRMLF-UHFFFAOYSA-N 1 2 308.328 1.073 20 30 DDEDLO COC(=O)C[C@H]([NH2+]C1CCC(C#N)CC1)C(=O)OC(C)(C)C ZINC001256957991 897644915 /nfs/dbraw/zinc/64/49/15/897644915.db2.gz QBDVQVNMKVFVBZ-BPCQOVAHSA-N 1 2 310.394 1.932 20 30 DDEDLO CCOC(=O)c1ccc(C[C@H](C)N2CC[NH2+]C[C@H]2C#N)cc1 ZINC001257158943 897752082 /nfs/dbraw/zinc/75/20/82/897752082.db2.gz CGYUQEKIQGFOCV-XJKSGUPXSA-N 1 2 301.390 1.592 20 30 DDEDLO COCc1ccc(C[NH+]2CCN(C(=O)C#CC3CC3)CC2)cc1 ZINC001258292006 898130002 /nfs/dbraw/zinc/13/00/02/898130002.db2.gz NMXFSPNPUIWUQD-UHFFFAOYSA-N 1 2 312.413 1.891 20 30 DDEDLO CC(C)n1c[nH+]cc1CNS(=O)(=O)c1ccccc1C#N ZINC001258323349 898139192 /nfs/dbraw/zinc/13/91/92/898139192.db2.gz MDRQUYKSLAZFQP-UHFFFAOYSA-N 1 2 304.375 1.814 20 30 DDEDLO C[C@@H](C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)n1cncn1 ZINC001367940014 898250609 /nfs/dbraw/zinc/25/06/09/898250609.db2.gz LBSLLNQAECCDFT-XJKSGUPXSA-N 1 2 324.388 1.101 20 30 DDEDLO C[C@@H](C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)n1cncn1 ZINC001367940014 898250622 /nfs/dbraw/zinc/25/06/22/898250622.db2.gz LBSLLNQAECCDFT-XJKSGUPXSA-N 1 2 324.388 1.101 20 30 DDEDLO C=CCCC(=O)N[C@@]1(C)CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001299516948 898259824 /nfs/dbraw/zinc/25/98/24/898259824.db2.gz ZOURWUZRGSIFHQ-PBHICJAKSA-N 1 2 318.421 1.908 20 30 DDEDLO C=CC1CCN(c2nnc([C@@]3(C)C[C@H](O)C[N@@H+]3C)n2C)CC1 ZINC001262856082 900395567 /nfs/dbraw/zinc/39/55/67/900395567.db2.gz BUFDLEIGMDKCOG-XJKSGUPXSA-N 1 2 305.426 1.129 20 30 DDEDLO C=CC1CCN(c2nnc([C@@]3(C)C[C@H](O)C[N@H+]3C)n2C)CC1 ZINC001262856082 900395575 /nfs/dbraw/zinc/39/55/75/900395575.db2.gz BUFDLEIGMDKCOG-XJKSGUPXSA-N 1 2 305.426 1.129 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@H]2C[C@H](O)C[N@H+]2C)n1CC=C ZINC001262947094 900431594 /nfs/dbraw/zinc/43/15/94/900431594.db2.gz FAYANNZAERFHEL-KBPBESRZSA-N 1 2 303.410 1.380 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@H]2C[C@H](O)C[N@@H+]2C)n1CC=C ZINC001262947094 900431601 /nfs/dbraw/zinc/43/16/01/900431601.db2.gz FAYANNZAERFHEL-KBPBESRZSA-N 1 2 303.410 1.380 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCCC(C)C ZINC001263812440 900725969 /nfs/dbraw/zinc/72/59/69/900725969.db2.gz NJJRHOVPSZLHTM-HOTGVXAUSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCCC(C)C ZINC001263812440 900725980 /nfs/dbraw/zinc/72/59/80/900725980.db2.gz NJJRHOVPSZLHTM-HOTGVXAUSA-N 1 2 321.465 1.483 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]([N@H+](CCO)Cc2ccon2)C1 ZINC001264076668 900911708 /nfs/dbraw/zinc/91/17/08/900911708.db2.gz JHHQPJWXCLAMDJ-MRXNPFEDSA-N 1 2 321.421 1.816 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]([N@@H+](CCO)Cc2ccon2)C1 ZINC001264076668 900911719 /nfs/dbraw/zinc/91/17/19/900911719.db2.gz JHHQPJWXCLAMDJ-MRXNPFEDSA-N 1 2 321.421 1.816 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C(C)(C)C ZINC001264363598 901040040 /nfs/dbraw/zinc/04/00/40/901040040.db2.gz VDYOWPJRSRHSRN-ZIAGYGMSSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C(C)(C)C ZINC001264363598 901040048 /nfs/dbraw/zinc/04/00/48/901040048.db2.gz VDYOWPJRSRHSRN-ZIAGYGMSSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)CC=C ZINC001264372667 901052353 /nfs/dbraw/zinc/05/23/53/901052353.db2.gz JPGAAFFINQGBSY-HUUCEWRRSA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)CC=C ZINC001264372667 901052361 /nfs/dbraw/zinc/05/23/61/901052361.db2.gz JPGAAFFINQGBSY-HUUCEWRRSA-N 1 2 321.465 1.812 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC(CC)CC ZINC001264374428 901057592 /nfs/dbraw/zinc/05/75/92/901057592.db2.gz GWCFQQAXLMZYNK-HNNXBMFYSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC(CC)CC ZINC001264374428 901057598 /nfs/dbraw/zinc/05/75/98/901057598.db2.gz GWCFQQAXLMZYNK-HNNXBMFYSA-N 1 2 307.438 1.095 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1ccc2nnnn2c1)C1CC1 ZINC001391177170 901145464 /nfs/dbraw/zinc/14/54/64/901145464.db2.gz UEKMEUWFSBFDRF-UHFFFAOYSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1ccc2nnnn2c1)C1CC1 ZINC001391177170 901145470 /nfs/dbraw/zinc/14/54/70/901145470.db2.gz UEKMEUWFSBFDRF-UHFFFAOYSA-N 1 2 320.784 1.071 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2snnc2C)[C@@H]1C ZINC001264698923 901297985 /nfs/dbraw/zinc/29/79/85/901297985.db2.gz WZTYYWCEWPNWKF-OLZOCXBDSA-N 1 2 306.435 1.729 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2snnc2C)[C@@H]1C ZINC001264698923 901297992 /nfs/dbraw/zinc/29/79/92/901297992.db2.gz WZTYYWCEWPNWKF-OLZOCXBDSA-N 1 2 306.435 1.729 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@H+](Cc2cnon2)C2CC2)C1 ZINC001265175276 901666695 /nfs/dbraw/zinc/66/66/95/901666695.db2.gz ZXWZFERENOILHJ-UHFFFAOYSA-N 1 2 304.394 1.897 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@@H+](Cc2cnon2)C2CC2)C1 ZINC001265175276 901666698 /nfs/dbraw/zinc/66/66/98/901666698.db2.gz ZXWZFERENOILHJ-UHFFFAOYSA-N 1 2 304.394 1.897 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@H+](CC(=O)N3CCC3)C2)CCC1 ZINC001265225034 901736371 /nfs/dbraw/zinc/73/63/71/901736371.db2.gz PLSLTBRFHGHXSR-OAHLLOKOSA-N 1 2 319.449 1.546 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@@H+](CC(=O)N3CCC3)C2)CCC1 ZINC001265225034 901736379 /nfs/dbraw/zinc/73/63/79/901736379.db2.gz PLSLTBRFHGHXSR-OAHLLOKOSA-N 1 2 319.449 1.546 20 30 DDEDLO CCC(=O)NCCCN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC001265237199 901758559 /nfs/dbraw/zinc/75/85/59/901758559.db2.gz RANUTFPOQGGXBM-UHFFFAOYSA-N 1 2 314.433 1.592 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001265297611 901847335 /nfs/dbraw/zinc/84/73/35/901847335.db2.gz UOQSGZYKOZLRRG-GFCCVEGCSA-N 1 2 307.394 1.976 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001265297611 901847341 /nfs/dbraw/zinc/84/73/41/901847341.db2.gz UOQSGZYKOZLRRG-GFCCVEGCSA-N 1 2 307.394 1.976 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1nc(C)c(C)o1 ZINC001265641117 902237690 /nfs/dbraw/zinc/23/76/90/902237690.db2.gz PYHOFDIUSPAOEH-HNNXBMFYSA-N 1 2 321.421 1.965 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1nc(C)c(C)o1 ZINC001265641117 902237700 /nfs/dbraw/zinc/23/77/00/902237700.db2.gz PYHOFDIUSPAOEH-HNNXBMFYSA-N 1 2 321.421 1.965 20 30 DDEDLO COCC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001370004278 902368845 /nfs/dbraw/zinc/36/88/45/902368845.db2.gz RTRDNFYXYZMJBP-MRXNPFEDSA-N 1 2 315.417 1.921 20 30 DDEDLO COCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001370004278 902368857 /nfs/dbraw/zinc/36/88/57/902368857.db2.gz RTRDNFYXYZMJBP-MRXNPFEDSA-N 1 2 315.417 1.921 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@@H](C)CNC(=O)C#CC(C)(C)C)n1 ZINC001265773129 902393628 /nfs/dbraw/zinc/39/36/28/902393628.db2.gz PLBVZVZGUXDOKE-RYUDHWBXSA-N 1 2 306.410 1.837 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC001265903617 902567118 /nfs/dbraw/zinc/56/71/18/902567118.db2.gz XLKDWXXNCKIEEV-AWEZNQCLSA-N 1 2 316.405 1.372 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@@H+](C)C[C@H](C)NC(=O)[C@H](C)C#N ZINC001375017713 914655206 /nfs/dbraw/zinc/65/52/06/914655206.db2.gz JKTCCUZZKNTCFY-KGLIPLIRSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@H+](C)C[C@H](C)NC(=O)[C@H](C)C#N ZINC001375017713 914655219 /nfs/dbraw/zinc/65/52/19/914655219.db2.gz JKTCCUZZKNTCFY-KGLIPLIRSA-N 1 2 316.405 1.530 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](NC(=O)c2cccs2)C1 ZINC001266201346 903097077 /nfs/dbraw/zinc/09/70/77/903097077.db2.gz VPJKUQLAMCQBKF-OLZOCXBDSA-N 1 2 321.446 1.633 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](NC(=O)c2cccs2)C1 ZINC001266201346 903097086 /nfs/dbraw/zinc/09/70/86/903097086.db2.gz VPJKUQLAMCQBKF-OLZOCXBDSA-N 1 2 321.446 1.633 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001280625971 903855639 /nfs/dbraw/zinc/85/56/39/903855639.db2.gz DODVANMTSSLEQV-CYBMUJFWSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001280625971 903855648 /nfs/dbraw/zinc/85/56/48/903855648.db2.gz DODVANMTSSLEQV-CYBMUJFWSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)Cc1cn2c(n1)CCCC2 ZINC001375054654 914798500 /nfs/dbraw/zinc/79/85/00/914798500.db2.gz LXRKXTWJPHQVIW-ZDUSSCGKSA-N 1 2 324.856 1.951 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)Cc1cn2c(n1)CCCC2 ZINC001375054654 914798518 /nfs/dbraw/zinc/79/85/18/914798518.db2.gz LXRKXTWJPHQVIW-ZDUSSCGKSA-N 1 2 324.856 1.951 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](C)N(C(=O)CCc2[nH+]ccn2C)C1 ZINC001281177879 904532645 /nfs/dbraw/zinc/53/26/45/904532645.db2.gz UKPLAFJDPQOWTL-KBPBESRZSA-N 1 2 318.421 1.425 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001281234078 904598373 /nfs/dbraw/zinc/59/83/73/904598373.db2.gz NRMMAOMQDRWEJW-GJZGRUSLSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C(C)CCC(=O)NC1CC(N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001281348642 904711176 /nfs/dbraw/zinc/71/11/76/904711176.db2.gz KWVCXUVZZVOGEL-UHFFFAOYSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(C)CCC(=O)NC1CC(N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001281348642 904711183 /nfs/dbraw/zinc/71/11/83/904711183.db2.gz KWVCXUVZZVOGEL-UHFFFAOYSA-N 1 2 318.421 1.804 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCC(C)(NCC#N)CC2)c[nH+]1 ZINC001281499583 904943390 /nfs/dbraw/zinc/94/33/90/904943390.db2.gz CXBHGAYFOWSEOF-UHFFFAOYSA-N 1 2 303.410 1.421 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001282379932 905730604 /nfs/dbraw/zinc/73/06/04/905730604.db2.gz NPOJQCBAWWUYPB-KFWWJZLASA-N 1 2 318.421 1.591 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+](C)Cc2ccc(C#N)cc2F)on1 ZINC001371913031 905978969 /nfs/dbraw/zinc/97/89/69/905978969.db2.gz UAAOLEKDZSIVIZ-UHFFFAOYSA-N 1 2 316.336 1.856 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+](C)Cc2ccc(C#N)cc2F)on1 ZINC001371913031 905978990 /nfs/dbraw/zinc/97/89/90/905978990.db2.gz UAAOLEKDZSIVIZ-UHFFFAOYSA-N 1 2 316.336 1.856 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001283873460 908110972 /nfs/dbraw/zinc/11/09/72/908110972.db2.gz YZEXDDSNVLZFDS-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001283873460 908110978 /nfs/dbraw/zinc/11/09/78/908110978.db2.gz YZEXDDSNVLZFDS-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCOCC(=O)N[C@@]12CCC[C@@H]1[N@H+](Cc1ccon1)CC2 ZINC001284067865 908420743 /nfs/dbraw/zinc/42/07/43/908420743.db2.gz CNNJMKBMGZNARI-DOTOQJQBSA-N 1 2 319.405 1.881 20 30 DDEDLO C=CCCOCC(=O)N[C@@]12CCC[C@@H]1[N@@H+](Cc1ccon1)CC2 ZINC001284067865 908420752 /nfs/dbraw/zinc/42/07/52/908420752.db2.gz CNNJMKBMGZNARI-DOTOQJQBSA-N 1 2 319.405 1.881 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](NC(=O)Cc2c[nH+]ccc2OC)C1 ZINC001284081807 908444460 /nfs/dbraw/zinc/44/44/60/908444460.db2.gz OYKCVRCFNSQWSS-OKILXGFUSA-N 1 2 317.389 1.362 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CC[C@H](CNCC#N)C3)ccn12 ZINC001284173953 908592086 /nfs/dbraw/zinc/59/20/86/908592086.db2.gz MGRDCESOARWWLQ-DZGCQCFKSA-N 1 2 311.389 1.654 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001284890728 909659580 /nfs/dbraw/zinc/65/95/80/909659580.db2.gz AHPSDYCQLDKFMH-WDEREUQCSA-N 1 2 303.366 1.347 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001284890728 909659590 /nfs/dbraw/zinc/65/95/90/909659590.db2.gz AHPSDYCQLDKFMH-WDEREUQCSA-N 1 2 303.366 1.347 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001284907784 909704092 /nfs/dbraw/zinc/70/40/92/909704092.db2.gz QBAMEOBKSWTAPW-UHFFFAOYSA-N 1 2 304.394 1.190 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H](C)[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001394465912 909707188 /nfs/dbraw/zinc/70/71/88/909707188.db2.gz MNHPTEBZUODXPG-GHMZBOCLSA-N 1 2 317.349 1.426 20 30 DDEDLO C=CCCCC(=O)NC1CN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284938228 909772453 /nfs/dbraw/zinc/77/24/53/909772453.db2.gz HWVXXWOUYQIETL-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCCC(=O)NC1CN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284938228 909772460 /nfs/dbraw/zinc/77/24/60/909772460.db2.gz HWVXXWOUYQIETL-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001285180308 910156936 /nfs/dbraw/zinc/15/69/36/910156936.db2.gz YCTLLFIZZLFTLU-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001285274081 910289313 /nfs/dbraw/zinc/28/93/13/910289313.db2.gz SSMNZVMQMBRLCP-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)NC(=O)C#CC(C)(C)C ZINC001285728420 911113318 /nfs/dbraw/zinc/11/33/18/911113318.db2.gz NPYIAVUNJGQZPM-STQMWFEESA-N 1 2 318.421 1.259 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)NC(=O)C#CC(C)(C)C ZINC001285728420 911113329 /nfs/dbraw/zinc/11/33/29/911113329.db2.gz NPYIAVUNJGQZPM-STQMWFEESA-N 1 2 318.421 1.259 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001285849276 911291771 /nfs/dbraw/zinc/29/17/71/911291771.db2.gz VGCYYWQMRYVZHW-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001285865525 911332031 /nfs/dbraw/zinc/33/20/31/911332031.db2.gz YAGGPZKVWCQWSH-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001285865525 911332051 /nfs/dbraw/zinc/33/20/51/911332051.db2.gz YAGGPZKVWCQWSH-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[NH+](CCc3ccns3)CC2)c1 ZINC001374066208 911783898 /nfs/dbraw/zinc/78/38/98/911783898.db2.gz SODUEYSBJKUYTE-UHFFFAOYSA-N 1 2 315.402 1.343 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2CC(C)(C)OCC)CC1 ZINC001286478597 911994575 /nfs/dbraw/zinc/99/45/75/911994575.db2.gz GUKIPICLCRZPQZ-UHFFFAOYSA-N 1 2 319.453 1.411 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001294361188 915080415 /nfs/dbraw/zinc/08/04/15/915080415.db2.gz BGNVAVSPEXZWCA-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001297263480 916847366 /nfs/dbraw/zinc/84/73/66/916847366.db2.gz NWGXLPCGNBFEDI-MRXNPFEDSA-N 1 2 304.394 1.129 20 30 DDEDLO Cc1conc1C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001377245026 920762802 /nfs/dbraw/zinc/76/28/02/920762802.db2.gz HZFPEZNBXYSNDC-UHFFFAOYSA-N 1 2 316.336 1.856 20 30 DDEDLO Cc1conc1C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001377245026 920762816 /nfs/dbraw/zinc/76/28/16/920762816.db2.gz HZFPEZNBXYSNDC-UHFFFAOYSA-N 1 2 316.336 1.856 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@H+](Cc2nn(C)cc2Cl)C1 ZINC001377443022 921931353 /nfs/dbraw/zinc/93/13/53/921931353.db2.gz VTKKARJRIZTCLB-GHMZBOCLSA-N 1 2 309.801 1.171 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@@H+](Cc2nn(C)cc2Cl)C1 ZINC001377443022 921931368 /nfs/dbraw/zinc/93/13/68/921931368.db2.gz VTKKARJRIZTCLB-GHMZBOCLSA-N 1 2 309.801 1.171 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)c2cc(C)on2)CC1 ZINC001377544960 922289638 /nfs/dbraw/zinc/28/96/38/922289638.db2.gz ZZCXOJANXLQJHA-UHFFFAOYSA-N 1 2 313.785 1.292 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H](C)[N@H+](C)Cc1cc2n(n1)CCCC2 ZINC001378017997 923710527 /nfs/dbraw/zinc/71/05/27/923710527.db2.gz GDXAIRSLYJUUEJ-STQMWFEESA-N 1 2 303.410 1.316 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H](C)[N@@H+](C)Cc1cc2n(n1)CCCC2 ZINC001378017997 923710537 /nfs/dbraw/zinc/71/05/37/923710537.db2.gz GDXAIRSLYJUUEJ-STQMWFEESA-N 1 2 303.410 1.316 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@@H+]3CC[C@@H](n4cncn4)C3)o2)c1 ZINC000614418940 361845451 /nfs/dbraw/zinc/84/54/51/361845451.db2.gz IUOHTGJTIHPDAV-CQSZACIVSA-N 1 2 321.344 1.647 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@H+]3CC[C@@H](n4cncn4)C3)o2)c1 ZINC000614418940 361845456 /nfs/dbraw/zinc/84/54/56/361845456.db2.gz IUOHTGJTIHPDAV-CQSZACIVSA-N 1 2 321.344 1.647 20 30 DDEDLO COC(=O)[C@@H](C)C[N@H+](C)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000083173221 185215855 /nfs/dbraw/zinc/21/58/55/185215855.db2.gz RADHUBFFOYWSBZ-FZMZJTMJSA-N 1 2 304.346 1.513 20 30 DDEDLO COC(=O)[C@@H](C)C[N@@H+](C)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000083173221 185215856 /nfs/dbraw/zinc/21/58/56/185215856.db2.gz RADHUBFFOYWSBZ-FZMZJTMJSA-N 1 2 304.346 1.513 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CCC[C@H](OCC3CC3)C2)C1 ZINC000330243651 529788013 /nfs/dbraw/zinc/78/80/13/529788013.db2.gz CTZDLLXMHJSZEN-LSDHHAIUSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CCC[C@H](OCC3CC3)C2)C1 ZINC000330243651 529788014 /nfs/dbraw/zinc/78/80/14/529788014.db2.gz CTZDLLXMHJSZEN-LSDHHAIUSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+](CC(=O)NC[C@H]1Cc2ccccc2O1)CC(C)(C)C#N ZINC000433318449 529835298 /nfs/dbraw/zinc/83/52/98/529835298.db2.gz GNOIDWIXFDEHJL-CQSZACIVSA-N 1 2 301.390 1.588 20 30 DDEDLO C[N@@H+](CC(=O)NC[C@H]1Cc2ccccc2O1)CC(C)(C)C#N ZINC000433318449 529835299 /nfs/dbraw/zinc/83/52/99/529835299.db2.gz GNOIDWIXFDEHJL-CQSZACIVSA-N 1 2 301.390 1.588 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)CC1(C#N)CCCCC1 ZINC000331064562 530027365 /nfs/dbraw/zinc/02/73/65/530027365.db2.gz PTZLAXGYRAGPPV-UHFFFAOYSA-N 1 2 310.423 1.656 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)CC(=O)Nc1cccc(C#N)c1 ZINC000279685768 215062032 /nfs/dbraw/zinc/06/20/32/215062032.db2.gz GZWVWNDZJIYXKR-AWEZNQCLSA-N 1 2 316.405 1.149 20 30 DDEDLO CCn1ccnc1C[NH2+][C@H]1CCN(Cc2ccc(C#N)cc2)C1=O ZINC000616997585 362906222 /nfs/dbraw/zinc/90/62/22/362906222.db2.gz ILMWYWUWHGFPFH-INIZCTEOSA-N 1 2 323.400 1.665 20 30 DDEDLO CN(C1CC[NH+](CC#Cc2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000269590052 186392891 /nfs/dbraw/zinc/39/28/91/186392891.db2.gz IBOJETZYYIMUAZ-UHFFFAOYSA-N 1 2 324.421 1.533 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@H+]2C[C@H](O)C[C@H](O)C2)c1 ZINC000617206857 362994853 /nfs/dbraw/zinc/99/48/53/362994853.db2.gz DLEUSTAZUCUNBT-TXEJJXNPSA-N 1 2 311.179 1.248 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@@H+]2C[C@H](O)C[C@H](O)C2)c1 ZINC000617206857 362994860 /nfs/dbraw/zinc/99/48/60/362994860.db2.gz DLEUSTAZUCUNBT-TXEJJXNPSA-N 1 2 311.179 1.248 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000047795450 352512835 /nfs/dbraw/zinc/51/28/35/352512835.db2.gz DWYYHEJLHQZRBJ-CJNGLKHVSA-N 1 2 324.425 1.251 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000047795450 352512837 /nfs/dbraw/zinc/51/28/37/352512837.db2.gz DWYYHEJLHQZRBJ-CJNGLKHVSA-N 1 2 324.425 1.251 20 30 DDEDLO CC[N@@H+](CC(=O)NC(=O)N[C@@H]1CCCC[C@@H]1C)C[C@H](C)C#N ZINC000049982338 352559783 /nfs/dbraw/zinc/55/97/83/352559783.db2.gz YMSJPXBTZYRWMO-HZSPNIEDSA-N 1 2 308.426 1.872 20 30 DDEDLO CC[N@H+](CC(=O)NC(=O)N[C@@H]1CCCC[C@@H]1C)C[C@H](C)C#N ZINC000049982338 352559784 /nfs/dbraw/zinc/55/97/84/352559784.db2.gz YMSJPXBTZYRWMO-HZSPNIEDSA-N 1 2 308.426 1.872 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1Cc2c(OC)ccc(OC)c2[C@H](O)C1 ZINC000053146928 352642375 /nfs/dbraw/zinc/64/23/75/352642375.db2.gz GDXMYLVBIJJVGC-DGCLKSJQSA-N 1 2 320.389 1.244 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1Cc2c(OC)ccc(OC)c2[C@H](O)C1 ZINC000053146928 352642379 /nfs/dbraw/zinc/64/23/79/352642379.db2.gz GDXMYLVBIJJVGC-DGCLKSJQSA-N 1 2 320.389 1.244 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C\c1ccccc1 ZINC000491352598 234142157 /nfs/dbraw/zinc/14/21/57/234142157.db2.gz OMBZLOVJWYZOGR-FPLPWBNLSA-N 1 2 313.401 1.774 20 30 DDEDLO N#CCCC[NH+]1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000052928105 352632670 /nfs/dbraw/zinc/63/26/70/352632670.db2.gz HQLCUQYLBRDSPY-UHFFFAOYSA-N 1 2 312.417 1.497 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(Cc2ccc(F)cc2)CC1 ZINC000055106430 352716122 /nfs/dbraw/zinc/71/61/22/352716122.db2.gz BVJVNCPVRWUFBT-UHFFFAOYSA-N 1 2 305.397 1.636 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(Cc2ccc(F)cc2)CC1 ZINC000055106430 352716124 /nfs/dbraw/zinc/71/61/24/352716124.db2.gz BVJVNCPVRWUFBT-UHFFFAOYSA-N 1 2 305.397 1.636 20 30 DDEDLO COCCCNC(=O)C[N@H+](CCOC)Cc1ccc(C#N)cc1 ZINC000058397848 352843305 /nfs/dbraw/zinc/84/33/05/352843305.db2.gz ZKDPCIOSISQMMC-UHFFFAOYSA-N 1 2 319.405 1.159 20 30 DDEDLO COCCCNC(=O)C[N@@H+](CCOC)Cc1ccc(C#N)cc1 ZINC000058397848 352843307 /nfs/dbraw/zinc/84/33/07/352843307.db2.gz ZKDPCIOSISQMMC-UHFFFAOYSA-N 1 2 319.405 1.159 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](CC=C)Cc1cccc(C#N)c1 ZINC000063300725 352910124 /nfs/dbraw/zinc/91/01/24/352910124.db2.gz RAKPSMKPYFZDOX-UHFFFAOYSA-N 1 2 312.373 1.558 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](CC=C)Cc1cccc(C#N)c1 ZINC000063300725 352910126 /nfs/dbraw/zinc/91/01/26/352910126.db2.gz RAKPSMKPYFZDOX-UHFFFAOYSA-N 1 2 312.373 1.558 20 30 DDEDLO CC(C)(CNC(=O)Nc1ccc(CC#N)cc1)[NH+]1CCOCC1 ZINC000076085225 353397723 /nfs/dbraw/zinc/39/77/23/353397723.db2.gz LVVIDIWVLOBEEZ-UHFFFAOYSA-N 1 2 316.405 1.985 20 30 DDEDLO N#Cc1cnc2ccccc2c1N1CC[NH+](CC2OCCO2)CC1 ZINC000182175203 199398692 /nfs/dbraw/zinc/39/86/92/199398692.db2.gz JZIZVCBQKDJVFW-UHFFFAOYSA-N 1 2 324.384 1.601 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@H+](CC)CCC#N)CC1 ZINC000081513766 353679836 /nfs/dbraw/zinc/67/98/36/353679836.db2.gz BXQPOCNTKUHJOK-ZDUSSCGKSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@@H+](CC)CCC#N)CC1 ZINC000081513766 353679840 /nfs/dbraw/zinc/67/98/40/353679840.db2.gz BXQPOCNTKUHJOK-ZDUSSCGKSA-N 1 2 309.410 1.412 20 30 DDEDLO C[C@H](C(=O)N[C@@]1(C#N)CCSC1)[N@@H+]1CCc2ccccc2C1 ZINC000111566207 353966981 /nfs/dbraw/zinc/96/69/81/353966981.db2.gz XCOCPKGVVBXXFC-CXAGYDPISA-N 1 2 315.442 1.949 20 30 DDEDLO C[C@H](C(=O)N[C@@]1(C#N)CCSC1)[N@H+]1CCc2ccccc2C1 ZINC000111566207 353966984 /nfs/dbraw/zinc/96/69/84/353966984.db2.gz XCOCPKGVVBXXFC-CXAGYDPISA-N 1 2 315.442 1.949 20 30 DDEDLO C=CCCCNC(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000623964561 366133424 /nfs/dbraw/zinc/13/34/24/366133424.db2.gz KJEFBQQDGPVOEK-INIZCTEOSA-N 1 2 318.421 1.548 20 30 DDEDLO C=CCCCNC(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000623964561 366133431 /nfs/dbraw/zinc/13/34/31/366133431.db2.gz KJEFBQQDGPVOEK-INIZCTEOSA-N 1 2 318.421 1.548 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cccc4c3OCCO4)C[C@H]21 ZINC000328892135 283013219 /nfs/dbraw/zinc/01/32/19/283013219.db2.gz TXYAAEJCCGCWOI-TZMCWYRMSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cccc4c3OCCO4)C[C@H]21 ZINC000328892135 283013224 /nfs/dbraw/zinc/01/32/24/283013224.db2.gz TXYAAEJCCGCWOI-TZMCWYRMSA-N 1 2 319.361 1.018 20 30 DDEDLO CC[N@@H+]1CCN(CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1C ZINC000316751261 354505332 /nfs/dbraw/zinc/50/53/32/354505332.db2.gz UHYZRYPCKMEHAA-CQSZACIVSA-N 1 2 321.446 1.358 20 30 DDEDLO CC[N@H+]1CCN(CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1C ZINC000316751261 354505336 /nfs/dbraw/zinc/50/53/36/354505336.db2.gz UHYZRYPCKMEHAA-CQSZACIVSA-N 1 2 321.446 1.358 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ccc([N+](=O)[O-])nc3)CC2)nc1 ZINC000584847746 354782827 /nfs/dbraw/zinc/78/28/27/354782827.db2.gz WYQLCSXGBAPLJW-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO N#C[C@@H]1CCC[C@H]1[NH2+]Cc1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000589164330 354966557 /nfs/dbraw/zinc/96/65/57/354966557.db2.gz XXAFKGGKLIHOEN-GXTWGEPZSA-N 1 2 323.418 1.846 20 30 DDEDLO N#Cc1cccc(-c2nc([C@H]3C[N@@H+]4CCCC[C@H]4CO3)no2)n1 ZINC000589369180 354986437 /nfs/dbraw/zinc/98/64/37/354986437.db2.gz ZBDPUJJTNHWDNN-GXTWGEPZSA-N 1 2 311.345 1.929 20 30 DDEDLO N#Cc1cccc(-c2nc([C@H]3C[N@H+]4CCCC[C@H]4CO3)no2)n1 ZINC000589369180 354986438 /nfs/dbraw/zinc/98/64/38/354986438.db2.gz ZBDPUJJTNHWDNN-GXTWGEPZSA-N 1 2 311.345 1.929 20 30 DDEDLO N#Cc1ccc(NC(=O)C[NH+]2CCC3(COC(=O)N3)CC2)cc1 ZINC000495291508 235158250 /nfs/dbraw/zinc/15/82/50/235158250.db2.gz JFECOJXPFWPCSU-UHFFFAOYSA-N 1 2 314.345 1.071 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](N3CCn4c[nH+]cc4C3)C2=O)cc1 ZINC000590599731 355125963 /nfs/dbraw/zinc/12/59/63/355125963.db2.gz LRUBBSMECMYVSR-QGZVFWFLSA-N 1 2 321.384 1.766 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+](C)CCCN1C(=O)c2ccccc2C1=O ZINC000591855495 355395328 /nfs/dbraw/zinc/39/53/28/355395328.db2.gz SZUSAQYPCSWBKK-CQSZACIVSA-N 1 2 316.357 1.332 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+](C)CCCN1C(=O)c2ccccc2C1=O ZINC000591855495 355395331 /nfs/dbraw/zinc/39/53/31/355395331.db2.gz SZUSAQYPCSWBKK-CQSZACIVSA-N 1 2 316.357 1.332 20 30 DDEDLO C[N@@H+](Cc1ccncc1C#N)C[C@H](O)COCc1ccccc1 ZINC000592070857 355483329 /nfs/dbraw/zinc/48/33/29/355483329.db2.gz RLNVZBAZZRQBIQ-SFHVURJKSA-N 1 2 311.385 1.963 20 30 DDEDLO C[N@H+](Cc1ccncc1C#N)C[C@H](O)COCc1ccccc1 ZINC000592070857 355483332 /nfs/dbraw/zinc/48/33/32/355483332.db2.gz RLNVZBAZZRQBIQ-SFHVURJKSA-N 1 2 311.385 1.963 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148992 355514932 /nfs/dbraw/zinc/51/49/32/355514932.db2.gz GLZWBZXDODIVNH-NHYWBVRUSA-N 1 2 318.333 1.272 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148992 355514936 /nfs/dbraw/zinc/51/49/36/355514936.db2.gz GLZWBZXDODIVNH-NHYWBVRUSA-N 1 2 318.333 1.272 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000592150193 355516042 /nfs/dbraw/zinc/51/60/42/355516042.db2.gz ASKXRGVGRCLDFR-DOTOQJQBSA-N 1 2 317.364 1.672 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000592150193 355516044 /nfs/dbraw/zinc/51/60/44/355516044.db2.gz ASKXRGVGRCLDFR-DOTOQJQBSA-N 1 2 317.364 1.672 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000592152801 355518631 /nfs/dbraw/zinc/51/86/31/355518631.db2.gz DRNRLQVKTYVLNY-CQSZACIVSA-N 1 2 301.306 1.322 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000592152801 355518632 /nfs/dbraw/zinc/51/86/32/355518632.db2.gz DRNRLQVKTYVLNY-CQSZACIVSA-N 1 2 301.306 1.322 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2ccn(CCc3ccccc3)n2)C1 ZINC000592152737 355518694 /nfs/dbraw/zinc/51/86/94/355518694.db2.gz CHEMLZHBGQJRLA-SFHVURJKSA-N 1 2 310.401 1.976 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2ccn(CCc3ccccc3)n2)C1 ZINC000592152737 355518696 /nfs/dbraw/zinc/51/86/96/355518696.db2.gz CHEMLZHBGQJRLA-SFHVURJKSA-N 1 2 310.401 1.976 20 30 DDEDLO COC(=O)c1ccc(C[N@@H+]2CC[C@](O)(CC#N)C2)cc1Cl ZINC000592152822 355518988 /nfs/dbraw/zinc/51/89/88/355518988.db2.gz FFYDSSBYPPBMOG-OAHLLOKOSA-N 1 2 308.765 1.977 20 30 DDEDLO COC(=O)c1ccc(C[N@H+]2CC[C@](O)(CC#N)C2)cc1Cl ZINC000592152822 355518993 /nfs/dbraw/zinc/51/89/93/355518993.db2.gz FFYDSSBYPPBMOG-OAHLLOKOSA-N 1 2 308.765 1.977 20 30 DDEDLO C[C@@H](C(=O)NCc1ccccc1F)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592152606 355519002 /nfs/dbraw/zinc/51/90/02/355519002.db2.gz YUEZAAMOWYLEPZ-LRDDRELGSA-N 1 2 305.353 1.181 20 30 DDEDLO C[C@@H](C(=O)NCc1ccccc1F)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592152606 355519006 /nfs/dbraw/zinc/51/90/06/355519006.db2.gz YUEZAAMOWYLEPZ-LRDDRELGSA-N 1 2 305.353 1.181 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc(=O)[nH]c2ccc(F)cc21 ZINC000593154597 355812734 /nfs/dbraw/zinc/81/27/34/355812734.db2.gz MKAJHDDAPHAJMN-MRXNPFEDSA-N 1 2 316.336 1.653 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc(F)cc2ccncc21 ZINC000593153586 355813227 /nfs/dbraw/zinc/81/32/27/355813227.db2.gz VOWPPFTYNJEPOC-INIZCTEOSA-N 1 2 300.337 1.948 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)NCC1(C#N)CCCC1 ZINC000593343185 355858840 /nfs/dbraw/zinc/85/88/40/355858840.db2.gz DOAUHWLWONBHMU-UHFFFAOYSA-N 1 2 308.426 1.480 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)NCC1(C#N)CCCC1 ZINC000593343185 355858842 /nfs/dbraw/zinc/85/88/42/355858842.db2.gz DOAUHWLWONBHMU-UHFFFAOYSA-N 1 2 308.426 1.480 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccsc1C#N ZINC000593610682 355942195 /nfs/dbraw/zinc/94/21/95/355942195.db2.gz YZPMHKANYNTGKG-NSHDSACASA-N 1 2 313.448 1.725 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccsc1C#N ZINC000593610682 355942197 /nfs/dbraw/zinc/94/21/97/355942197.db2.gz YZPMHKANYNTGKG-NSHDSACASA-N 1 2 313.448 1.725 20 30 DDEDLO C[NH+]1CCN(S(=O)(=O)c2ccc(C(C)(C)C#N)cc2)CC1 ZINC000593521608 355913290 /nfs/dbraw/zinc/91/32/90/355913290.db2.gz BVNMXWVBERCZGX-UHFFFAOYSA-N 1 2 307.419 1.424 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)NC[C@H](C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594099270 356112115 /nfs/dbraw/zinc/11/21/15/356112115.db2.gz PUPDAEWVZPVECF-SDDRHHMPSA-N 1 2 305.378 1.687 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)NC[C@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594099270 356112121 /nfs/dbraw/zinc/11/21/21/356112121.db2.gz PUPDAEWVZPVECF-SDDRHHMPSA-N 1 2 305.378 1.687 20 30 DDEDLO N#Cc1c(F)cccc1C[NH+]1CCC(N2CN=NC2=O)CC1 ZINC000593981328 356078708 /nfs/dbraw/zinc/07/87/08/356078708.db2.gz ZJPIIGBXZYUFQB-UHFFFAOYSA-N 1 2 301.325 1.832 20 30 DDEDLO Cn1cnnc1N1CC[NH+](Cc2cc(C#N)ccc2F)CC1 ZINC000594027703 356093953 /nfs/dbraw/zinc/09/39/53/356093953.db2.gz YMGRSDWCFKRALD-UHFFFAOYSA-N 1 2 300.341 1.148 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000594803535 356322799 /nfs/dbraw/zinc/32/27/99/356322799.db2.gz QJOZOXIOJLVYDC-UHFFFAOYSA-N 1 2 308.407 1.405 20 30 DDEDLO C[C@]1(C#N)CC[N@H+](C[C@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000595836462 356671717 /nfs/dbraw/zinc/67/17/17/356671717.db2.gz YFFMJEHOPUSVRY-DZGCQCFKSA-N 1 2 305.334 1.570 20 30 DDEDLO C[C@]1(C#N)CC[N@@H+](C[C@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000595836462 356671720 /nfs/dbraw/zinc/67/17/20/356671720.db2.gz YFFMJEHOPUSVRY-DZGCQCFKSA-N 1 2 305.334 1.570 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@@H+]2CCc3nccnc3C2)cc1 ZINC000595851255 356677084 /nfs/dbraw/zinc/67/70/84/356677084.db2.gz QBYJFFJHLXXXRC-HNNXBMFYSA-N 1 2 324.384 1.341 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@H+]2CCc3nccnc3C2)cc1 ZINC000595851255 356677088 /nfs/dbraw/zinc/67/70/88/356677088.db2.gz QBYJFFJHLXXXRC-HNNXBMFYSA-N 1 2 324.384 1.341 20 30 DDEDLO CN(C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2cccc(F)c2C#N)C1 ZINC000595824044 356664801 /nfs/dbraw/zinc/66/48/01/356664801.db2.gz XVXNQSCHEKQPDZ-CYBMUJFWSA-N 1 2 304.369 1.885 20 30 DDEDLO CN(C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cccc(F)c2C#N)C1 ZINC000595824044 356664806 /nfs/dbraw/zinc/66/48/06/356664806.db2.gz XVXNQSCHEKQPDZ-CYBMUJFWSA-N 1 2 304.369 1.885 20 30 DDEDLO N#CCCCCNC(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000596264306 356837062 /nfs/dbraw/zinc/83/70/62/356837062.db2.gz BCLNELKMMONIGG-UHFFFAOYSA-N 1 2 308.426 1.434 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)NCC1(CC#N)CC1)C(C)(C)CO ZINC000596587363 356925250 /nfs/dbraw/zinc/92/52/50/356925250.db2.gz JNPODIQGULMKEE-LBPRGKRZSA-N 1 2 319.409 1.473 20 30 DDEDLO C=CCCSCCNC(=O)N(C)[C@H](C)C[NH+]1CCOCC1 ZINC000596715934 356959021 /nfs/dbraw/zinc/95/90/21/356959021.db2.gz OEDCERYZSQLLJG-CQSZACIVSA-N 1 2 315.483 1.658 20 30 DDEDLO CCN(C(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1)[C@H](C)C#N ZINC000598360807 357551383 /nfs/dbraw/zinc/55/13/83/357551383.db2.gz VKADMKRESJGSNN-ZBFHGGJFSA-N 1 2 301.390 1.648 20 30 DDEDLO CCN(C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1)[C@H](C)C#N ZINC000598360807 357551385 /nfs/dbraw/zinc/55/13/85/357551385.db2.gz VKADMKRESJGSNN-ZBFHGGJFSA-N 1 2 301.390 1.648 20 30 DDEDLO C[C@H](CNC(=O)NC[C@H]1CCCCS1)[NH+]1CCOCC1 ZINC000329658145 223010249 /nfs/dbraw/zinc/01/02/49/223010249.db2.gz NMZSYIPAUJHFGU-CHWSQXEVSA-N 1 2 301.456 1.496 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3ncc(F)cn3)CC2)cc1C#N ZINC000276802349 213105950 /nfs/dbraw/zinc/10/59/50/213105950.db2.gz VUEDCISDZCQRPK-UHFFFAOYSA-N 1 2 300.341 1.148 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@@H](C)[N@@H+]1CCN2CCOC[C@@H]2C1 ZINC000329684758 223013421 /nfs/dbraw/zinc/01/34/21/223013421.db2.gz UJKJEFADPIYWLP-KGLIPLIRSA-N 1 2 321.425 1.030 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@@H](C)[N@H+]1CCN2CCOC[C@@H]2C1 ZINC000329684758 223013423 /nfs/dbraw/zinc/01/34/23/223013423.db2.gz UJKJEFADPIYWLP-KGLIPLIRSA-N 1 2 321.425 1.030 20 30 DDEDLO C[C@H](O)C[C@@H]1CCCCN1C([O-])=[NH+][C@H]1CCc2[nH]c[nH+]c2C1 ZINC000329754712 223024489 /nfs/dbraw/zinc/02/44/89/223024489.db2.gz XNLAXKBCNYSPPE-AVGNSLFASA-N 1 2 306.410 1.806 20 30 DDEDLO C[C@H](O)C[C@@H]1CCCCN1C([O-])=[NH+][C@H]1CCc2[nH+]c[nH]c2C1 ZINC000329754712 223024492 /nfs/dbraw/zinc/02/44/92/223024492.db2.gz XNLAXKBCNYSPPE-AVGNSLFASA-N 1 2 306.410 1.806 20 30 DDEDLO CCC(CC)([NH2+]C[C@@H](O)COc1ccccc1C#N)C(=O)OC ZINC000599362277 357910190 /nfs/dbraw/zinc/91/01/90/357910190.db2.gz JBZYFRIWJZHBFJ-CQSZACIVSA-N 1 2 320.389 1.619 20 30 DDEDLO Cn1nccc1[C@H]1c2[nH]c[nH+]c2CCN1Cc1ccncc1C#N ZINC000599446869 357940429 /nfs/dbraw/zinc/94/04/29/357940429.db2.gz ZSGILVKGOHDIFJ-KRWDZBQOSA-N 1 2 319.372 1.558 20 30 DDEDLO COC(=O)[C@@]1(OC)CC[N@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000599563500 357974678 /nfs/dbraw/zinc/97/46/78/357974678.db2.gz HVISWTSLOZJNHI-OAHLLOKOSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@@]1(OC)CC[N@@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000599563500 357974679 /nfs/dbraw/zinc/97/46/79/357974679.db2.gz HVISWTSLOZJNHI-OAHLLOKOSA-N 1 2 308.765 1.976 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000599642387 358002995 /nfs/dbraw/zinc/00/29/95/358002995.db2.gz RJFZQJJYTLMTNY-CYBMUJFWSA-N 1 2 303.366 1.081 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000599642387 358003000 /nfs/dbraw/zinc/00/30/00/358003000.db2.gz RJFZQJJYTLMTNY-CYBMUJFWSA-N 1 2 303.366 1.081 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCc3c(O)cccc3C2)cc1 ZINC000599645088 358004361 /nfs/dbraw/zinc/00/43/61/358004361.db2.gz CBQMEXHFMHKOSO-UHFFFAOYSA-N 1 2 321.380 1.938 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCc3c(O)cccc3C2)cc1 ZINC000599645088 358004364 /nfs/dbraw/zinc/00/43/64/358004364.db2.gz CBQMEXHFMHKOSO-UHFFFAOYSA-N 1 2 321.380 1.938 20 30 DDEDLO COC[C@@]1(C)C[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000599692215 358028099 /nfs/dbraw/zinc/02/80/99/358028099.db2.gz CYFCKHWSDKFWNX-OAHLLOKOSA-N 1 2 305.334 1.704 20 30 DDEDLO COC[C@@]1(C)C[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000599692215 358028101 /nfs/dbraw/zinc/02/81/01/358028101.db2.gz CYFCKHWSDKFWNX-OAHLLOKOSA-N 1 2 305.334 1.704 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000600681454 358281633 /nfs/dbraw/zinc/28/16/33/358281633.db2.gz HNPNANGDPKLNNY-WBVHZDCISA-N 1 2 316.405 1.338 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000600681454 358281635 /nfs/dbraw/zinc/28/16/35/358281635.db2.gz HNPNANGDPKLNNY-WBVHZDCISA-N 1 2 316.405 1.338 20 30 DDEDLO N#CCc1ccccc1S(=O)(=O)NCCCCn1cc[nH+]c1 ZINC000601384664 358528607 /nfs/dbraw/zinc/52/86/07/358528607.db2.gz FLSKMMWQLBBAJX-UHFFFAOYSA-N 1 2 318.402 1.708 20 30 DDEDLO CC(C)(CNS(=O)(=O)Cc1cccc(C#N)c1)n1cc[nH+]c1 ZINC000601525898 358588081 /nfs/dbraw/zinc/58/80/81/358588081.db2.gz HBIOEVOPFVIRSG-UHFFFAOYSA-N 1 2 318.402 1.609 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[NH+]2CC3(C2)CCCO3)cc1 ZINC000601963678 358755889 /nfs/dbraw/zinc/75/58/89/358755889.db2.gz LXPFPGOXJMWOCI-HNNXBMFYSA-N 1 2 302.374 1.357 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N[C@H]2CCn3c[nH+]cc3C2)cc1F ZINC000601966697 358756885 /nfs/dbraw/zinc/75/68/85/358756885.db2.gz CAOXPERIZCJMGU-NSHDSACASA-N 1 2 320.349 1.187 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCO[C@H](C(F)F)CC1 ZINC000601977845 358762955 /nfs/dbraw/zinc/76/29/55/358762955.db2.gz UPTUSADKFJOPPY-RYUDHWBXSA-N 1 2 303.353 1.351 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCO[C@H](C(F)F)CC1 ZINC000601977845 358762957 /nfs/dbraw/zinc/76/29/57/358762957.db2.gz UPTUSADKFJOPPY-RYUDHWBXSA-N 1 2 303.353 1.351 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@H](C(F)F)CC1 ZINC000601978114 358763811 /nfs/dbraw/zinc/76/38/11/358763811.db2.gz XLKNOVYQSAZRLC-XUJVJEKNSA-N 1 2 317.380 1.785 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@H](C(F)F)CC1 ZINC000601978114 358763814 /nfs/dbraw/zinc/76/38/14/358763814.db2.gz XLKNOVYQSAZRLC-XUJVJEKNSA-N 1 2 317.380 1.785 20 30 DDEDLO N#Cc1csc(C[N@H+]2CCC[C@@H](C(=O)N3CCOCC3)C2)n1 ZINC000565632243 304080742 /nfs/dbraw/zinc/08/07/42/304080742.db2.gz XRXOFRXOPXRLPI-GFCCVEGCSA-N 1 2 320.418 1.086 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CCC[C@@H](C(=O)N3CCOCC3)C2)n1 ZINC000565632243 304080744 /nfs/dbraw/zinc/08/07/44/304080744.db2.gz XRXOFRXOPXRLPI-GFCCVEGCSA-N 1 2 320.418 1.086 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2nnn(-c3ccccc3)c2C2CC2)C1 ZINC000602699631 359133458 /nfs/dbraw/zinc/13/34/58/359133458.db2.gz YVQPVEPLCBVVHO-GOSISDBHSA-N 1 2 323.400 1.995 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2nnn(-c3ccccc3)c2C2CC2)C1 ZINC000602699631 359133463 /nfs/dbraw/zinc/13/34/63/359133463.db2.gz YVQPVEPLCBVVHO-GOSISDBHSA-N 1 2 323.400 1.995 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cn(-c3ccccc3)nn2)C[C@H](C)N1CC#N ZINC000602857229 359243928 /nfs/dbraw/zinc/24/39/28/359243928.db2.gz PYLQONOPKBBKNB-GASCZTMLSA-N 1 2 310.405 1.685 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cn(-c3ccccc3)nn2)C[C@H](C)N1CC#N ZINC000602857229 359243936 /nfs/dbraw/zinc/24/39/36/359243936.db2.gz PYLQONOPKBBKNB-GASCZTMLSA-N 1 2 310.405 1.685 20 30 DDEDLO C[N@H+](CCNS(=O)(=O)c1ccccc1)[C@@H]1CCC[C@@H]1C#N ZINC000602976202 359335455 /nfs/dbraw/zinc/33/54/55/359335455.db2.gz MNRJFTVXKCIBSM-UKRRQHHQSA-N 1 2 307.419 1.589 20 30 DDEDLO C[N@@H+](CCNS(=O)(=O)c1ccccc1)[C@@H]1CCC[C@@H]1C#N ZINC000602976202 359335460 /nfs/dbraw/zinc/33/54/60/359335460.db2.gz MNRJFTVXKCIBSM-UKRRQHHQSA-N 1 2 307.419 1.589 20 30 DDEDLO N#Cc1cccc(OCc2cn(CC[NH+]3CCOCC3)nn2)c1 ZINC000603217683 359488827 /nfs/dbraw/zinc/48/88/27/359488827.db2.gz XSKZGRXEKDSLMS-UHFFFAOYSA-N 1 2 313.361 1.061 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)NCC(C)(C)C#N)C1 ZINC000603313332 359563866 /nfs/dbraw/zinc/56/38/66/359563866.db2.gz XFALJMLTJZXLRQ-UHFFFAOYSA-N 1 2 307.419 1.503 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)NCC(C)(C)C#N)C1 ZINC000603313332 359563872 /nfs/dbraw/zinc/56/38/72/359563872.db2.gz XFALJMLTJZXLRQ-UHFFFAOYSA-N 1 2 307.419 1.503 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(C#N)c(O)c1)[C@H](C)[NH+]1CCOCC1 ZINC000188450453 200248283 /nfs/dbraw/zinc/24/82/83/200248283.db2.gz RRFNKKMOORAHFN-NEPJUHHUSA-N 1 2 303.362 1.103 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609538242 360321343 /nfs/dbraw/zinc/32/13/43/360321343.db2.gz PCXROJPEQABEBN-CVEARBPZSA-N 1 2 319.453 1.160 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[NH+](Cc2ccc3c(c2)OCCO3)CC1 ZINC000609920577 360365853 /nfs/dbraw/zinc/36/58/53/360365853.db2.gz SBXXGRLMBXPHQR-ZDUSSCGKSA-N 1 2 315.373 1.262 20 30 DDEDLO C[N@@H+](Cc1ccon1)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000610091423 360381278 /nfs/dbraw/zinc/38/12/78/360381278.db2.gz VSFZLTGNIOXEDM-OAHLLOKOSA-N 1 2 301.346 1.612 20 30 DDEDLO C[N@H+](Cc1ccon1)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000610091423 360381283 /nfs/dbraw/zinc/38/12/83/360381283.db2.gz VSFZLTGNIOXEDM-OAHLLOKOSA-N 1 2 301.346 1.612 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)C3(C#N)CCOCC3)[nH+]c12 ZINC000610435142 360435606 /nfs/dbraw/zinc/43/56/06/360435606.db2.gz YPKYREDJZYIGMK-UHFFFAOYSA-N 1 2 312.373 1.622 20 30 DDEDLO N#Cc1cc(C[NH+]2CCN(c3ccc(C(N)=O)cc3)CC2)ccn1 ZINC000610993303 360594921 /nfs/dbraw/zinc/59/49/21/360594921.db2.gz LUKGGWQJEXWGPY-UHFFFAOYSA-N 1 2 321.384 1.374 20 30 DDEDLO C[C@H](C(=O)N1[C@H](C)CCC[C@H]1C)[NH+]1CCN(CCC#N)CC1 ZINC000611175082 360647940 /nfs/dbraw/zinc/64/79/40/360647940.db2.gz WOHXQSJCXRTEPE-BZUAXINKSA-N 1 2 306.454 1.696 20 30 DDEDLO Cc1[nH+]c2cc(NC(=O)C(=O)NCC(C)(C)C#N)ccc2n1C ZINC000611654082 360784554 /nfs/dbraw/zinc/78/45/54/360784554.db2.gz OTAYDXNNAWLRQL-UHFFFAOYSA-N 1 2 313.361 1.486 20 30 DDEDLO C[C@H](NC(=O)N1CCO[C@H](C2CC2)C1)[C@H](C)[NH+]1CCOCC1 ZINC000330144350 223079632 /nfs/dbraw/zinc/07/96/32/223079632.db2.gz RQYIDXSDYZIPPR-YDHLFZDLSA-N 1 2 311.426 1.120 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000612064234 360907583 /nfs/dbraw/zinc/90/75/83/360907583.db2.gz SVXIJLMUPNBVBG-CQSZACIVSA-N 1 2 304.394 1.587 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000612064234 360907589 /nfs/dbraw/zinc/90/75/89/360907589.db2.gz SVXIJLMUPNBVBG-CQSZACIVSA-N 1 2 304.394 1.587 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](C[C@H](O)COc2ccc3c(c2)OCO3)C1 ZINC000612163615 360937561 /nfs/dbraw/zinc/93/75/61/360937561.db2.gz XZQYYYITRNDXEB-GUYCJALGSA-N 1 2 318.373 1.781 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](C[C@H](O)COc2ccc3c(c2)OCO3)C1 ZINC000612163615 360937568 /nfs/dbraw/zinc/93/75/68/360937568.db2.gz XZQYYYITRNDXEB-GUYCJALGSA-N 1 2 318.373 1.781 20 30 DDEDLO C[C@H]1OCC[C@H]1NC(=O)N(CC1CC1)C[C@H]1C[N@H+](C)CCO1 ZINC000330254895 223095137 /nfs/dbraw/zinc/09/51/37/223095137.db2.gz JPKXHWBQHFXNEM-BPLDGKMQSA-N 1 2 311.426 1.120 20 30 DDEDLO C[C@H]1OCC[C@H]1NC(=O)N(CC1CC1)C[C@H]1C[N@@H+](C)CCO1 ZINC000330254895 223095141 /nfs/dbraw/zinc/09/51/41/223095141.db2.gz JPKXHWBQHFXNEM-BPLDGKMQSA-N 1 2 311.426 1.120 20 30 DDEDLO C[C@H](C1CC1)n1cc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)cn1 ZINC000330257228 223095291 /nfs/dbraw/zinc/09/52/91/223095291.db2.gz QOPBCSDGMPWDPL-KCPJHIHWSA-N 1 2 319.409 1.414 20 30 DDEDLO C[C@H](C1CC1)n1cc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)cn1 ZINC000330257228 223095295 /nfs/dbraw/zinc/09/52/95/223095295.db2.gz QOPBCSDGMPWDPL-KCPJHIHWSA-N 1 2 319.409 1.414 20 30 DDEDLO CCn1cc([C@H]2OCC[C@@H]2C(=O)NCc2[nH+]ccn2C)cn1 ZINC000330327150 223103270 /nfs/dbraw/zinc/10/32/70/223103270.db2.gz KRTGBGJIBHHKMK-GXTWGEPZSA-N 1 2 303.366 1.871 20 30 DDEDLO CCc1nnc(C)cc1C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000330828420 223146836 /nfs/dbraw/zinc/14/68/36/223146836.db2.gz SSCVXQLUCOACEP-UHFFFAOYSA-N 1 2 306.410 1.763 20 30 DDEDLO CCc1nnc(C)cc1C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000330828420 223146839 /nfs/dbraw/zinc/14/68/39/223146839.db2.gz SSCVXQLUCOACEP-UHFFFAOYSA-N 1 2 306.410 1.763 20 30 DDEDLO C[C@H](C[S@](C)=O)NC(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000330831958 223147893 /nfs/dbraw/zinc/14/78/93/223147893.db2.gz JJFTUXYLDAUCAP-ZZPLEAIBSA-N 1 2 311.407 1.216 20 30 DDEDLO Cn1nc(C(C)(C)C)cc1NC(=O)C[NH2+][C@H]1CCC[C@@H]1C#N ZINC000331356859 223204133 /nfs/dbraw/zinc/20/41/33/223204133.db2.gz SMBAYZOZYTXBBY-NEPJUHHUSA-N 1 2 303.410 1.938 20 30 DDEDLO Cc1cc(C)c(NC(=O)NCC(=O)N2CCOCC2)c(C)[nH+]1 ZINC000331352304 223204721 /nfs/dbraw/zinc/20/47/21/223204721.db2.gz ZICJEHQZBFYPHS-UHFFFAOYSA-N 1 2 306.366 1.192 20 30 DDEDLO N#C[C@H]1CCC[C@@H]1[NH2+]CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000331686857 223208652 /nfs/dbraw/zinc/20/86/52/223208652.db2.gz SWQCIBXZHVEILF-YPMHNXCESA-N 1 2 301.346 1.678 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1cc(O)cc(C#N)c1 ZINC000619140850 363912506 /nfs/dbraw/zinc/91/25/06/363912506.db2.gz YOATXHBGRWZLLU-LBPRGKRZSA-N 1 2 303.362 1.057 20 30 DDEDLO Cn1nccc1[C@H]1CCCC[N@@H+]1CC(=O)NC1(C#N)CCC1 ZINC000619622782 364092601 /nfs/dbraw/zinc/09/26/01/364092601.db2.gz QENOZRYQVRBWMM-CQSZACIVSA-N 1 2 301.394 1.510 20 30 DDEDLO Cn1nccc1[C@H]1CCCC[N@H+]1CC(=O)NC1(C#N)CCC1 ZINC000619622782 364092606 /nfs/dbraw/zinc/09/26/06/364092606.db2.gz QENOZRYQVRBWMM-CQSZACIVSA-N 1 2 301.394 1.510 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(C(=O)NCc2ccccc2)CC1 ZINC000619716025 364126456 /nfs/dbraw/zinc/12/64/56/364126456.db2.gz JYZGUQMWDNZNRO-MRXNPFEDSA-N 1 2 316.401 1.742 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCc2cc(OC)c(OC)cc2CC1 ZINC000619716891 364127666 /nfs/dbraw/zinc/12/76/66/364127666.db2.gz ZFAKGEYQKOFCLU-CQSZACIVSA-N 1 2 305.374 1.832 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(C(=O)Nc2ccc(O)cc2)CC1 ZINC000619719404 364130839 /nfs/dbraw/zinc/13/08/39/364130839.db2.gz ZFCIORAQVLVXAV-HNNXBMFYSA-N 1 2 318.373 1.770 20 30 DDEDLO CC(C)(C(=O)N[C@H]1CCc2cc(C#N)ccc21)[NH+]1CCOCC1 ZINC000619777376 364147502 /nfs/dbraw/zinc/14/75/02/364147502.db2.gz QCCOLHVQIQAGAY-INIZCTEOSA-N 1 2 313.401 1.773 20 30 DDEDLO C[C@H]([NH2+]CC#CCN1C(=O)c2ccccc2C1=O)c1ccn(C)n1 ZINC000625467639 366944994 /nfs/dbraw/zinc/94/49/94/366944994.db2.gz IEHALPQVHFSGDK-ZDUSSCGKSA-N 1 2 322.368 1.370 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[NH+]1CCC(O)(C(F)F)CC1 ZINC000346525060 223357678 /nfs/dbraw/zinc/35/76/78/223357678.db2.gz GXLHQSJIQBUIPX-SNVBAGLBSA-N 1 2 301.337 1.029 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2C[C@@H](O)C[C@H]2C(=O)OC)cc1 ZINC000093441430 193252542 /nfs/dbraw/zinc/25/25/42/193252542.db2.gz UYPSKEVUVGQRMK-HOCLYGCPSA-N 1 2 303.358 1.197 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2C[C@@H](O)C[C@H]2C(=O)OC)cc1 ZINC000093441430 193252544 /nfs/dbraw/zinc/25/25/44/193252544.db2.gz UYPSKEVUVGQRMK-HOCLYGCPSA-N 1 2 303.358 1.197 20 30 DDEDLO CCC[N@H+](Cc1cc(F)ccc1C#N)[C@@H]1CC(=O)N(C)C1=O ZINC000282468427 217018625 /nfs/dbraw/zinc/01/86/25/217018625.db2.gz KORHDKBLRCVTIJ-CQSZACIVSA-N 1 2 303.337 1.667 20 30 DDEDLO CCC[N@@H+](Cc1cc(F)ccc1C#N)[C@@H]1CC(=O)N(C)C1=O ZINC000282468427 217018628 /nfs/dbraw/zinc/01/86/28/217018628.db2.gz KORHDKBLRCVTIJ-CQSZACIVSA-N 1 2 303.337 1.667 20 30 DDEDLO CCS(=O)(=O)CC[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000369207509 223617704 /nfs/dbraw/zinc/61/77/04/223617704.db2.gz POARSNCIUGZSQI-UHFFFAOYSA-N 1 2 307.419 1.373 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(Cl)n(C)nc2C(C)C)CC1 ZINC000265938791 204985050 /nfs/dbraw/zinc/98/50/50/204985050.db2.gz IJQRHFXILLMXGX-UHFFFAOYSA-N 1 2 322.840 1.978 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2ncc(C)s2)CC1 ZINC000267454400 206077941 /nfs/dbraw/zinc/07/79/41/206077941.db2.gz SIMARDNLBXIPTB-UHFFFAOYSA-N 1 2 322.478 1.998 20 30 DDEDLO CC[C@H]1C[N@H+](C)CCN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000567043908 304194475 /nfs/dbraw/zinc/19/44/75/304194475.db2.gz CDFPCICXFVBQND-HNNXBMFYSA-N 1 2 321.446 1.358 20 30 DDEDLO CC[C@H]1C[N@@H+](C)CCN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000567043908 304194476 /nfs/dbraw/zinc/19/44/76/304194476.db2.gz CDFPCICXFVBQND-HNNXBMFYSA-N 1 2 321.446 1.358 20 30 DDEDLO CN(C)C(=O)c1cccc(NC(=O)C[NH+]2CCC(C#N)CC2)c1 ZINC000057877949 184060263 /nfs/dbraw/zinc/06/02/63/184060263.db2.gz AZPNOIOWPDEUHO-UHFFFAOYSA-N 1 2 314.389 1.562 20 30 DDEDLO Cn1cnc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)c1 ZINC000270256720 208320505 /nfs/dbraw/zinc/32/05/05/208320505.db2.gz WFCHDPTXLGYJBM-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC2([NH+](C)C)CCC2)cc1C#N ZINC000332466573 533077438 /nfs/dbraw/zinc/07/74/38/533077438.db2.gz LWZHCBRNSGBAPQ-UHFFFAOYSA-N 1 2 320.418 1.595 20 30 DDEDLO CCOCCO[C@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000157842840 197219785 /nfs/dbraw/zinc/21/97/85/197219785.db2.gz PSQHLHGFXRBSDZ-INIZCTEOSA-N 1 2 317.389 1.624 20 30 DDEDLO CCOCCO[C@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000157842840 197219787 /nfs/dbraw/zinc/21/97/87/197219787.db2.gz PSQHLHGFXRBSDZ-INIZCTEOSA-N 1 2 317.389 1.624 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)NC[C@H]1CCCCS1(=O)=O ZINC000329563682 285193034 /nfs/dbraw/zinc/19/30/34/285193034.db2.gz NPOYVUMIJBWMIG-CHWSQXEVSA-N 1 2 302.440 1.785 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)NC[C@H]1CCCCS1(=O)=O ZINC000329563682 285193036 /nfs/dbraw/zinc/19/30/36/285193036.db2.gz NPOYVUMIJBWMIG-CHWSQXEVSA-N 1 2 302.440 1.785 20 30 DDEDLO COCCO[NH+]=C(N)c1ccc(CNC(=O)OC(C)(C)C)cc1 ZINC000495424599 533145735 /nfs/dbraw/zinc/14/57/35/533145735.db2.gz OQCCLPTUQGDAJD-UHFFFAOYSA-N 1 2 323.393 1.995 20 30 DDEDLO CCN(CC)C(=O)CN1CC[NH+](Cc2cc(C#N)cs2)CC1 ZINC000121931861 195376300 /nfs/dbraw/zinc/37/63/00/195376300.db2.gz YGDZLSFGNMAUIB-UHFFFAOYSA-N 1 2 320.462 1.606 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(Cc2cc(C#N)cs2)CC1 ZINC000121931861 195376302 /nfs/dbraw/zinc/37/63/02/195376302.db2.gz YGDZLSFGNMAUIB-UHFFFAOYSA-N 1 2 320.462 1.606 20 30 DDEDLO COc1cc(C)c(NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)cc1F ZINC000331101688 533575422 /nfs/dbraw/zinc/57/54/22/533575422.db2.gz CFKAXVDMBBYGSW-LBPRGKRZSA-N 1 2 324.400 1.714 20 30 DDEDLO COc1cc(C)c(NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)cc1F ZINC000331101688 533575425 /nfs/dbraw/zinc/57/54/25/533575425.db2.gz CFKAXVDMBBYGSW-LBPRGKRZSA-N 1 2 324.400 1.714 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)CC[N@@H+]1Cc1ccc(CC#N)cc1 ZINC000343674193 533541571 /nfs/dbraw/zinc/54/15/71/533541571.db2.gz AJFPYTQXTAEQCC-JKSUJKDBSA-N 1 2 302.374 1.905 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)CC[N@H+]1Cc1ccc(CC#N)cc1 ZINC000343674193 533541575 /nfs/dbraw/zinc/54/15/75/533541575.db2.gz AJFPYTQXTAEQCC-JKSUJKDBSA-N 1 2 302.374 1.905 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ccc(C#N)cn3)CC2)c1C#N ZINC000569234791 304343165 /nfs/dbraw/zinc/34/31/65/304343165.db2.gz LAPRSEZWMTXIPI-UHFFFAOYSA-N 1 2 321.388 1.189 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)N[C@@H]2COc3ccccc3C2=O)[N@H+](C)C1 ZINC000329075628 286079896 /nfs/dbraw/zinc/07/98/96/286079896.db2.gz PPGJNKIPQYZWOI-UHTWSYAYSA-N 1 2 304.346 1.306 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)N[C@@H]2COc3ccccc3C2=O)[N@@H+](C)C1 ZINC000329075628 286079897 /nfs/dbraw/zinc/07/98/97/286079897.db2.gz PPGJNKIPQYZWOI-UHTWSYAYSA-N 1 2 304.346 1.306 20 30 DDEDLO CC(C)[NH+]1CCN(S(=O)(=O)Cc2ccc(C#N)cc2)CC1 ZINC000035216304 406969791 /nfs/dbraw/zinc/96/97/91/406969791.db2.gz SZCSLLQTGWXSAQ-UHFFFAOYSA-N 1 2 307.419 1.414 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@H+](C)CC(=O)N(C)C ZINC000078721057 407042022 /nfs/dbraw/zinc/04/20/22/407042022.db2.gz MBCHPMKZLVQUBG-AWEZNQCLSA-N 1 2 316.405 1.342 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+](C)CC(=O)N(C)C ZINC000078721057 407042024 /nfs/dbraw/zinc/04/20/24/407042024.db2.gz MBCHPMKZLVQUBG-AWEZNQCLSA-N 1 2 316.405 1.342 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)CC(=O)Nc1cccc(C#N)c1 ZINC000053366327 407167426 /nfs/dbraw/zinc/16/74/26/407167426.db2.gz IISHBIQHCLYBJU-UHFFFAOYSA-N 1 2 316.405 1.735 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)CC(=O)Nc1cccc(C#N)c1 ZINC000053366327 407167429 /nfs/dbraw/zinc/16/74/29/407167429.db2.gz IISHBIQHCLYBJU-UHFFFAOYSA-N 1 2 316.405 1.735 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(CC(F)F)CC1 ZINC000057912526 407218286 /nfs/dbraw/zinc/21/82/86/407218286.db2.gz IDJFRGOHQDYWEZ-ZDUSSCGKSA-N 1 2 301.381 1.458 20 30 DDEDLO CS(=O)(=O)CCCO[NH+]=C(N)Cc1ccccc1Cl ZINC000103136120 407331770 /nfs/dbraw/zinc/33/17/70/407331770.db2.gz NWUJOXUXYPRFSG-UHFFFAOYSA-N 1 2 304.799 1.606 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](CCC)CC(=O)Nc1ccccc1C ZINC000097061963 407277717 /nfs/dbraw/zinc/27/77/17/407277717.db2.gz FNAJHQZKCMZUPT-HNNXBMFYSA-N 1 2 315.417 1.783 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](CCC)CC(=O)Nc1ccccc1C ZINC000097061963 407277719 /nfs/dbraw/zinc/27/77/19/407277719.db2.gz FNAJHQZKCMZUPT-HNNXBMFYSA-N 1 2 315.417 1.783 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(F)c(C#N)c2)CC1 ZINC000103157621 407332867 /nfs/dbraw/zinc/33/28/67/407332867.db2.gz UVJYIAZYUWXTOA-UHFFFAOYSA-N 1 2 321.377 1.027 20 30 DDEDLO C[C@@H]1CC[C@@H](C(N)=O)C[N@@H+]1CC(=O)Nc1sccc1C#N ZINC000104075120 407346470 /nfs/dbraw/zinc/34/64/70/407346470.db2.gz CUXSEGRXGLBLHH-MWLCHTKSSA-N 1 2 306.391 1.144 20 30 DDEDLO C[C@@H]1CC[C@@H](C(N)=O)C[N@H+]1CC(=O)Nc1sccc1C#N ZINC000104075120 407346472 /nfs/dbraw/zinc/34/64/72/407346472.db2.gz CUXSEGRXGLBLHH-MWLCHTKSSA-N 1 2 306.391 1.144 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[NH+]1CCC(CC(N)=O)CC1 ZINC000124856314 407371956 /nfs/dbraw/zinc/37/19/56/407371956.db2.gz QGENZIWGDXHARO-UHFFFAOYSA-N 1 2 306.391 1.146 20 30 DDEDLO C#CC[N@@H+](CCOc1ccc(OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000108867118 407391518 /nfs/dbraw/zinc/39/15/18/407391518.db2.gz JXAWJDNXOKWGMV-CQSZACIVSA-N 1 2 323.414 1.196 20 30 DDEDLO C#CC[N@H+](CCOc1ccc(OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000108867118 407391520 /nfs/dbraw/zinc/39/15/20/407391520.db2.gz JXAWJDNXOKWGMV-CQSZACIVSA-N 1 2 323.414 1.196 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2C[C@H](OC)C[C@H]2C(=O)OC)cc1 ZINC000125809661 407398603 /nfs/dbraw/zinc/39/86/03/407398603.db2.gz DSIOAXZWYMBSPI-CVEARBPZSA-N 1 2 303.358 1.461 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2C[C@H](OC)C[C@H]2C(=O)OC)cc1 ZINC000125809661 407398605 /nfs/dbraw/zinc/39/86/05/407398605.db2.gz DSIOAXZWYMBSPI-CVEARBPZSA-N 1 2 303.358 1.461 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1 ZINC000266901480 407668816 /nfs/dbraw/zinc/66/88/16/407668816.db2.gz GTZLZBIKUKNXCC-CYBMUJFWSA-N 1 2 319.380 1.074 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1 ZINC000266901480 407668821 /nfs/dbraw/zinc/66/88/21/407668821.db2.gz GTZLZBIKUKNXCC-CYBMUJFWSA-N 1 2 319.380 1.074 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@]2(C1)OCc1ccccc12 ZINC000186668816 407738667 /nfs/dbraw/zinc/73/86/67/407738667.db2.gz ATSQGLWBBZDJMD-QGZVFWFLSA-N 1 2 315.373 1.130 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@]2(C1)OCc1ccccc12 ZINC000186668816 407738670 /nfs/dbraw/zinc/73/86/70/407738670.db2.gz ATSQGLWBBZDJMD-QGZVFWFLSA-N 1 2 315.373 1.130 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)nc1 ZINC000272042046 407751010 /nfs/dbraw/zinc/75/10/10/407751010.db2.gz YDWRXQQCTIPBGV-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC(C(F)(F)F)(C(F)(F)F)C1 ZINC000117353550 407830234 /nfs/dbraw/zinc/83/02/34/407830234.db2.gz PPQJCOOKLLQFFU-UHFFFAOYSA-N 1 2 303.206 1.443 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC(C(F)(F)F)(C(F)(F)F)C1 ZINC000117353550 407830237 /nfs/dbraw/zinc/83/02/37/407830237.db2.gz PPQJCOOKLLQFFU-UHFFFAOYSA-N 1 2 303.206 1.443 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)c1 ZINC000267315092 407830592 /nfs/dbraw/zinc/83/05/92/407830592.db2.gz DSZUXLLKNRPSIV-AWEZNQCLSA-N 1 2 322.368 1.736 20 30 DDEDLO N#Cc1cccc(NC(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)c1 ZINC000174356046 407936969 /nfs/dbraw/zinc/93/69/69/407936969.db2.gz MQWKYHDMIBPFDS-OAHLLOKOSA-N 1 2 300.362 1.543 20 30 DDEDLO N#Cc1cccc(NC(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)c1 ZINC000174356046 407936976 /nfs/dbraw/zinc/93/69/76/407936976.db2.gz MQWKYHDMIBPFDS-OAHLLOKOSA-N 1 2 300.362 1.543 20 30 DDEDLO CCc1nc(CN(CCC#N)CC[NH+]2CCOCC2)cs1 ZINC000174831911 407994396 /nfs/dbraw/zinc/99/43/96/407994396.db2.gz OQIKZNGCZBQIKN-UHFFFAOYSA-N 1 2 308.451 1.753 20 30 DDEDLO Cc1c(Cl)cnc(NC[C@@H](O)C[NH+]2CCOCC2)c1C#N ZINC000273018890 408021937 /nfs/dbraw/zinc/02/19/37/408021937.db2.gz KPCALPAFYBJQRH-LLVKDONJSA-N 1 2 310.785 1.020 20 30 DDEDLO N#CCCCNC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000273097373 408057006 /nfs/dbraw/zinc/05/70/06/408057006.db2.gz DPJWNXYOMDTFFR-INIZCTEOSA-N 1 2 316.405 1.663 20 30 DDEDLO CC#CCNC(=O)N1CC[NH+](Cc2ccc(OC)c(F)c2)CC1 ZINC000136027720 408068833 /nfs/dbraw/zinc/06/88/33/408068833.db2.gz MQSCKGWBZDWUBE-UHFFFAOYSA-N 1 2 319.380 1.685 20 30 DDEDLO C#CCN(C(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1)C1CCCC1 ZINC000120579943 408134834 /nfs/dbraw/zinc/13/48/34/408134834.db2.gz JMSWFUGLDCDRGJ-LSDHHAIUSA-N 1 2 307.438 1.683 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(F)ccc2OC)CC1 ZINC000155391637 408161668 /nfs/dbraw/zinc/16/16/68/408161668.db2.gz CUGWPWJLTWVDPR-UHFFFAOYSA-N 1 2 305.353 1.024 20 30 DDEDLO NC(CCO)=[NH+]OCC(=O)Nc1ccc(N2CCCCC2)cc1 ZINC000121369697 408192073 /nfs/dbraw/zinc/19/20/73/408192073.db2.gz CFSRZAGIQBUSFH-UHFFFAOYSA-N 1 2 320.393 1.287 20 30 DDEDLO COC[C@@H]([NH2+]CC(=O)N(CCC#N)CCC#N)c1ccco1 ZINC000273523068 408231138 /nfs/dbraw/zinc/23/11/38/408231138.db2.gz QWFRNNNNIAFSHZ-CYBMUJFWSA-N 1 2 304.350 1.213 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+]([C@@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000246353078 408231859 /nfs/dbraw/zinc/23/18/59/408231859.db2.gz GALMOMDKMCHEIF-LSDHHAIUSA-N 1 2 315.373 1.230 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+]([C@@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000246353078 408231862 /nfs/dbraw/zinc/23/18/62/408231862.db2.gz GALMOMDKMCHEIF-LSDHHAIUSA-N 1 2 315.373 1.230 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCCn1cccc1 ZINC000269489841 408329352 /nfs/dbraw/zinc/32/93/52/408329352.db2.gz SECRGRARNVHDFY-UHFFFAOYSA-N 1 2 318.421 1.343 20 30 DDEDLO CCC[C@H](C)NC(=O)[C@@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158159987 408330704 /nfs/dbraw/zinc/33/07/04/408330704.db2.gz ILJKFKKLDKIQKZ-NWDGAFQWSA-N 1 2 319.405 1.952 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)[C@H]3CCCCO3)CC2)cc1 ZINC000269340574 408276670 /nfs/dbraw/zinc/27/66/70/408276670.db2.gz ILZVLXXVAJOYQZ-QGZVFWFLSA-N 1 2 313.401 1.772 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@H+](CC)C[C@@H](C)C#N)C1 ZINC000157633997 408309654 /nfs/dbraw/zinc/30/96/54/408309654.db2.gz KVIQPMKEHLTOMH-KBPBESRZSA-N 1 2 309.410 1.270 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@@H+](CC)C[C@@H](C)C#N)C1 ZINC000157633997 408309659 /nfs/dbraw/zinc/30/96/59/408309659.db2.gz KVIQPMKEHLTOMH-KBPBESRZSA-N 1 2 309.410 1.270 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000247373111 408459210 /nfs/dbraw/zinc/45/92/10/408459210.db2.gz PGZQUCPJNKJEJE-IAGOWNOFSA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000247373111 408459212 /nfs/dbraw/zinc/45/92/12/408459212.db2.gz PGZQUCPJNKJEJE-IAGOWNOFSA-N 1 2 302.374 1.817 20 30 DDEDLO C[C@@H](Nc1ncc([N+](=O)[O-])cc1C#N)[C@@H](C)[NH+]1CCOCC1 ZINC000264278785 408421695 /nfs/dbraw/zinc/42/16/95/408421695.db2.gz OUFACXQOKWWBDX-GHMZBOCLSA-N 1 2 305.338 1.383 20 30 DDEDLO CC[C@H]1C[C@@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)CCO1 ZINC000183974174 408521968 /nfs/dbraw/zinc/52/19/68/408521968.db2.gz PHRFYJIBPIFKGW-HOTGVXAUSA-N 1 2 323.437 1.266 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CC[N@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000177192664 408549012 /nfs/dbraw/zinc/54/90/12/408549012.db2.gz WBXUGJDXLOCNNS-CQSZACIVSA-N 1 2 312.822 1.315 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000177192664 408549017 /nfs/dbraw/zinc/54/90/17/408549017.db2.gz WBXUGJDXLOCNNS-CQSZACIVSA-N 1 2 312.822 1.315 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1ccc(/C=C/C(=O)OCC)cc1 ZINC000192231131 408559948 /nfs/dbraw/zinc/55/99/48/408559948.db2.gz CZVPXHVYMVTRIK-DHZHZOJOSA-N 1 2 300.358 1.766 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1ccc(/C=C/C(=O)OCC)cc1 ZINC000192231131 408559950 /nfs/dbraw/zinc/55/99/50/408559950.db2.gz CZVPXHVYMVTRIK-DHZHZOJOSA-N 1 2 300.358 1.766 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)N(C)Cc2[nH+]ccn2C)c(C#N)c1C ZINC000270522154 408562569 /nfs/dbraw/zinc/56/25/69/408562569.db2.gz ACNCWCJJVGJURW-NSHDSACASA-N 1 2 315.377 1.961 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCO[C@@H](CCc2ccccc2)C1 ZINC000248606992 408594490 /nfs/dbraw/zinc/59/44/90/408594490.db2.gz PIISSFHBKJTBSA-WBVHZDCISA-N 1 2 300.402 1.458 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCO[C@@H](CCc2ccccc2)C1 ZINC000248606992 408594494 /nfs/dbraw/zinc/59/44/94/408594494.db2.gz PIISSFHBKJTBSA-WBVHZDCISA-N 1 2 300.402 1.458 20 30 DDEDLO CNS(=O)(=O)C1CC[NH+](Cc2ccc(C#N)cc2F)CC1 ZINC000264680770 408542572 /nfs/dbraw/zinc/54/25/72/408542572.db2.gz AMCJINYXKBROPY-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO COc1cc(N2CC[NH+](Cc3cc(C#N)cs3)CC2)ncn1 ZINC000184442153 408617515 /nfs/dbraw/zinc/61/75/15/408617515.db2.gz MMMOEVBEBKTNPG-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N1CCN(c2ccccc2C#N)CC1 ZINC000192925310 408672736 /nfs/dbraw/zinc/67/27/36/408672736.db2.gz LMJFJAUFWOGYPP-UHFFFAOYSA-N 1 2 319.372 1.562 20 30 DDEDLO CNC(=O)C[N@H+](C)[C@H](C)C(=O)N(CCC#N)c1ccc(C)cc1 ZINC000270672293 408629328 /nfs/dbraw/zinc/62/93/28/408629328.db2.gz APOOPZAFUIMZGM-CQSZACIVSA-N 1 2 316.405 1.308 20 30 DDEDLO CNC(=O)C[N@@H+](C)[C@H](C)C(=O)N(CCC#N)c1ccc(C)cc1 ZINC000270672293 408629332 /nfs/dbraw/zinc/62/93/32/408629332.db2.gz APOOPZAFUIMZGM-CQSZACIVSA-N 1 2 316.405 1.308 20 30 DDEDLO CC(=O)N1CC[NH+](Cc2cccc(NC(=O)CC#N)c2)CC1 ZINC000275652505 408635709 /nfs/dbraw/zinc/63/57/09/408635709.db2.gz UNZNMECOVHYOBK-UHFFFAOYSA-N 1 2 300.362 1.203 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2sccc2C#N)C[C@H]1C ZINC000249114743 408693281 /nfs/dbraw/zinc/69/32/81/408693281.db2.gz RTXBWPGXKKUMDJ-ZYHUDNBSSA-N 1 2 321.402 1.689 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2sccc2C#N)C[C@H]1C ZINC000249114743 408693285 /nfs/dbraw/zinc/69/32/85/408693285.db2.gz RTXBWPGXKKUMDJ-ZYHUDNBSSA-N 1 2 321.402 1.689 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](C)c1nc(Cc2ccccc2)no1 ZINC000185370821 408800425 /nfs/dbraw/zinc/80/04/25/408800425.db2.gz PHMSSYGTBQHPFS-GFCCVEGCSA-N 1 2 300.362 1.613 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1C[C@@H](CC)[S@@](=O)[C@@H](C)C1 ZINC000285832980 408873478 /nfs/dbraw/zinc/87/34/78/408873478.db2.gz HHDDORKKLDBIFO-HFZMZTSTSA-N 1 2 312.479 1.807 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1C[C@@H](CC)[S@@](=O)[C@@H](C)C1 ZINC000285832980 408873480 /nfs/dbraw/zinc/87/34/80/408873480.db2.gz HHDDORKKLDBIFO-HFZMZTSTSA-N 1 2 312.479 1.807 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2c(CC)nn(C)c2CC)C1=O ZINC000281150354 408873605 /nfs/dbraw/zinc/87/36/05/408873605.db2.gz FLIVAWPXZQVUBY-MRXNPFEDSA-N 1 2 304.438 1.764 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2c(CC)nn(C)c2CC)C1=O ZINC000281150354 408873607 /nfs/dbraw/zinc/87/36/07/408873607.db2.gz FLIVAWPXZQVUBY-MRXNPFEDSA-N 1 2 304.438 1.764 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NCc2ccccc2C)C1=O ZINC000281347972 408883087 /nfs/dbraw/zinc/88/30/87/408883087.db2.gz MXDKELPNVIEQIC-MRXNPFEDSA-N 1 2 315.417 1.330 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NCc2ccccc2C)C1=O ZINC000281347972 408883091 /nfs/dbraw/zinc/88/30/91/408883091.db2.gz MXDKELPNVIEQIC-MRXNPFEDSA-N 1 2 315.417 1.330 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Cc1ccc(C#N)cc1 ZINC000285664114 408838283 /nfs/dbraw/zinc/83/82/83/408838283.db2.gz FNGMFWIGMWVEBS-RHSMWYFYSA-N 1 2 313.401 1.422 20 30 DDEDLO C=C[C@H](CO)NC(=O)NC[C@H](c1c(F)cccc1F)[NH+](C)C ZINC000290835400 408841462 /nfs/dbraw/zinc/84/14/62/408841462.db2.gz BJAKOYXRBZCCDK-ZWNOBZJWSA-N 1 2 313.348 1.414 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](n2cccn2)C1 ZINC000291783493 408922293 /nfs/dbraw/zinc/92/22/93/408922293.db2.gz MIODZMMNFIHVCM-HOTGVXAUSA-N 1 2 310.357 1.916 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](n2cccn2)C1 ZINC000291783493 408922295 /nfs/dbraw/zinc/92/22/95/408922295.db2.gz MIODZMMNFIHVCM-HOTGVXAUSA-N 1 2 310.357 1.916 20 30 DDEDLO C[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@@H]1CO ZINC000189696202 163110815 /nfs/dbraw/zinc/11/08/15/163110815.db2.gz NYJLNOIDXQASGF-SWLSCSKDSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@@H]1CO ZINC000189696202 163110818 /nfs/dbraw/zinc/11/08/18/163110818.db2.gz NYJLNOIDXQASGF-SWLSCSKDSA-N 1 2 308.403 1.035 20 30 DDEDLO CCCCOC[C@@H](O)C[NH+]1CC(Oc2ccc(C#N)cc2)C1 ZINC000193393809 163254439 /nfs/dbraw/zinc/25/44/39/163254439.db2.gz XKDOYIPTVLLURD-HNNXBMFYSA-N 1 2 304.390 1.799 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CC[NH+](Cc3cccnc3)CC2)cc1 ZINC000194849371 163297645 /nfs/dbraw/zinc/29/76/45/163297645.db2.gz QVRWZIALHGGHHL-UHFFFAOYSA-N 1 2 320.396 1.840 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@H](CS(C)(=O)=O)c2ccccc2)C1=O ZINC000281916836 408953834 /nfs/dbraw/zinc/95/38/34/408953834.db2.gz GWOQSODMSLUEMR-HUUCEWRRSA-N 1 2 322.430 1.149 20 30 DDEDLO CC#CC(=O)N(Cc1ccccc1)[C@@H](C)C[NH+]1CCOCC1 ZINC000277606476 408976882 /nfs/dbraw/zinc/97/68/82/408976882.db2.gz HLOUVHQEXWIONG-INIZCTEOSA-N 1 2 300.402 1.759 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3ccc(F)cc3)CC2)C1=O ZINC000282043777 408978692 /nfs/dbraw/zinc/97/86/92/408978692.db2.gz RDYYTBSDDOEVEB-MRXNPFEDSA-N 1 2 303.381 1.735 20 30 DDEDLO C=CCSCCNC(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000286621454 408993174 /nfs/dbraw/zinc/99/31/74/408993174.db2.gz YXTNKBDHRHSCBK-UHFFFAOYSA-N 1 2 322.434 1.151 20 30 DDEDLO N#CCCCC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000292493141 409031100 /nfs/dbraw/zinc/03/11/00/409031100.db2.gz FEEILVFIHPIDOA-INIZCTEOSA-N 1 2 301.390 1.870 20 30 DDEDLO COc1cc(C[N@H+](C)[C@@H](C)[C@H](C)S(C)(=O)=O)ccc1C#N ZINC000282318123 409032695 /nfs/dbraw/zinc/03/26/95/409032695.db2.gz NLCLAABAOVWAHU-RYUDHWBXSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@@H](C)[C@H](C)S(C)(=O)=O)ccc1C#N ZINC000282318123 409032697 /nfs/dbraw/zinc/03/26/97/409032697.db2.gz NLCLAABAOVWAHU-RYUDHWBXSA-N 1 2 310.419 1.820 20 30 DDEDLO Cc1nsc(N2CC[NH+]([C@H]3CCCCNC3=O)CC2)c1C#N ZINC000287708547 409079326 /nfs/dbraw/zinc/07/93/26/409079326.db2.gz DTHZZSSMXFKJBC-ZDUSSCGKSA-N 1 2 319.434 1.114 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)c1cnc(C(F)(F)F)c(C#N)c1 ZINC000293472856 409120512 /nfs/dbraw/zinc/12/05/12/409120512.db2.gz LWDBWAPVHGMBOA-VIFPVBQESA-N 1 2 323.278 1.987 20 30 DDEDLO C=CCCCNC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000279152150 409159374 /nfs/dbraw/zinc/15/93/74/409159374.db2.gz BTOMNIUAKOEQKM-UHFFFAOYSA-N 1 2 307.398 1.303 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@H](C2CC2)O1 ZINC000279207765 409170460 /nfs/dbraw/zinc/17/04/60/409170460.db2.gz BLKWDZGGALKMMC-SUMWQHHRSA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@H](C2CC2)O1 ZINC000279207765 409170463 /nfs/dbraw/zinc/17/04/63/409170463.db2.gz BLKWDZGGALKMMC-SUMWQHHRSA-N 1 2 313.401 1.674 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCN(c2cccc[nH+]2)CC1)C(C)(C)C ZINC000289168503 409227802 /nfs/dbraw/zinc/22/78/02/409227802.db2.gz MILBNHORFLTLMM-CQSZACIVSA-N 1 2 300.406 1.961 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCN(Cc2ccccc2)[C@@H](C#N)C1 ZINC000279569343 409239836 /nfs/dbraw/zinc/23/98/36/409239836.db2.gz ZFTMRKHIWVGAAL-INIZCTEOSA-N 1 2 323.400 1.497 20 30 DDEDLO COCCC1(C)C[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000290167859 409312845 /nfs/dbraw/zinc/31/28/45/409312845.db2.gz PVBDSRRRHDDVJT-UHFFFAOYSA-N 1 2 322.430 1.690 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCO3)n2CC=C)CC1 ZINC000295801138 409371908 /nfs/dbraw/zinc/37/19/08/409371908.db2.gz AHGDIJAFEWBOMC-AWEZNQCLSA-N 1 2 301.394 1.071 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2cncc(C#N)c2)CC1 ZINC000295219044 409382425 /nfs/dbraw/zinc/38/24/25/409382425.db2.gz AXZTYWRKCUOFQS-GFCCVEGCSA-N 1 2 308.407 1.058 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCCC[C@H]1c1cc[nH]n1 ZINC000285371127 409415364 /nfs/dbraw/zinc/41/53/64/409415364.db2.gz MOBPNDIMRGFVSR-HNNXBMFYSA-N 1 2 314.393 1.593 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCCC[C@H]1c1cc[nH]n1 ZINC000285371127 409415368 /nfs/dbraw/zinc/41/53/68/409415368.db2.gz MOBPNDIMRGFVSR-HNNXBMFYSA-N 1 2 314.393 1.593 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1Cc1ccc(F)c(C#N)c1 ZINC000285415325 409437342 /nfs/dbraw/zinc/43/73/42/409437342.db2.gz BOZOYDLXCINRDD-CZUORRHYSA-N 1 2 303.381 1.992 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@@H](c2[nH+]cc3n2CCCC3)C1 ZINC000296161453 409450499 /nfs/dbraw/zinc/45/04/99/409450499.db2.gz KOMUYOHOVIWJKN-HUUCEWRRSA-N 1 2 315.417 1.964 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCOc2cc(O)ccc2C1 ZINC000285501413 409468469 /nfs/dbraw/zinc/46/84/69/409468469.db2.gz MSZQBJNQZUDCIW-UHFFFAOYSA-N 1 2 303.362 1.349 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCOc2cc(O)ccc2C1 ZINC000285501413 409468473 /nfs/dbraw/zinc/46/84/73/409468473.db2.gz MSZQBJNQZUDCIW-UHFFFAOYSA-N 1 2 303.362 1.349 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH2+][C@H](C)c1c(F)cncc1F ZINC000285582781 409497960 /nfs/dbraw/zinc/49/79/60/409497960.db2.gz IHRXCCRRLUCUMP-BDAKNGLRSA-N 1 2 312.320 1.411 20 30 DDEDLO Cn1c2c([nH+]c1[C@@H]1CCCN1S(=O)(=O)CCC#N)CCCC2 ZINC000296435658 409551328 /nfs/dbraw/zinc/55/13/28/409551328.db2.gz WIMKFSXAZMOEKT-AWEZNQCLSA-N 1 2 322.434 1.679 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1C[C@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000338124680 409738046 /nfs/dbraw/zinc/73/80/46/409738046.db2.gz NEGCCDSWPFUGEC-SUMWQHHRSA-N 1 2 313.401 1.659 20 30 DDEDLO CCN(CC)C(=O)C[N@H+](C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000356999329 409779946 /nfs/dbraw/zinc/77/99/46/409779946.db2.gz KCOWLJLRKOYMDW-XJKSGUPXSA-N 1 2 310.442 1.230 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+](C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000356999329 409779954 /nfs/dbraw/zinc/77/99/54/409779954.db2.gz KCOWLJLRKOYMDW-XJKSGUPXSA-N 1 2 310.442 1.230 20 30 DDEDLO CCC[N@H+](Cc1cccc(C#N)c1F)[C@@H]1CC(=O)N(C)C1=O ZINC000338329746 409916483 /nfs/dbraw/zinc/91/64/83/409916483.db2.gz DDESHIDZEBFZMX-CYBMUJFWSA-N 1 2 303.337 1.667 20 30 DDEDLO CCC[N@@H+](Cc1cccc(C#N)c1F)[C@@H]1CC(=O)N(C)C1=O ZINC000338329746 409916489 /nfs/dbraw/zinc/91/64/89/409916489.db2.gz DDESHIDZEBFZMX-CYBMUJFWSA-N 1 2 303.337 1.667 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000328736846 409966835 /nfs/dbraw/zinc/96/68/35/409966835.db2.gz LIHHLVXRSBRPOT-NWDGAFQWSA-N 1 2 309.391 1.052 20 30 DDEDLO CCC(=O)N1CC[C@H](NC(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)C1 ZINC000328775608 409976510 /nfs/dbraw/zinc/97/65/10/409976510.db2.gz CJQWUBQOIKNIGY-OLZOCXBDSA-N 1 2 319.409 1.134 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)C(=O)Nc1cc(C#N)ccc1Cl ZINC000354543781 409927933 /nfs/dbraw/zinc/92/79/33/409927933.db2.gz YTBRZRSHQFPOSG-UHFFFAOYSA-N 1 2 317.736 1.200 20 30 DDEDLO CC#CCN(C)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000297637085 409938269 /nfs/dbraw/zinc/93/82/69/409938269.db2.gz SSQAGNINPFCCPK-UHFFFAOYSA-N 1 2 302.378 1.083 20 30 DDEDLO C[C@@]1(C(=O)NCC[NH+]2CCN(C(=O)C3CCC3)CC2)CCCO1 ZINC000328915173 410009527 /nfs/dbraw/zinc/00/95/27/410009527.db2.gz JLUWSJSIGPDEJR-KRWDZBQOSA-N 1 2 323.437 1.456 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3scnc3C3CC3)C[C@H]21 ZINC000328921736 410010560 /nfs/dbraw/zinc/01/05/60/410010560.db2.gz MGAAXEBMPIQVHJ-MNOVXSKESA-N 1 2 308.407 1.581 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3scnc3C3CC3)C[C@H]21 ZINC000328921736 410010571 /nfs/dbraw/zinc/01/05/71/410010571.db2.gz MGAAXEBMPIQVHJ-MNOVXSKESA-N 1 2 308.407 1.581 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C)c1nc[nH]n1 ZINC000328940862 410014983 /nfs/dbraw/zinc/01/49/83/410014983.db2.gz FDFZSKKDHPIGKI-GRYCIOLGSA-N 1 2 300.366 1.990 20 30 DDEDLO Cc1[nH+]ccn1C[C@H](C)C[NH+]=C([O-])N1CCOCC2(CC2)C1 ZINC000329343144 410245569 /nfs/dbraw/zinc/24/55/69/410245569.db2.gz SXPPPVWCUGUENW-CYBMUJFWSA-N 1 2 306.410 1.854 20 30 DDEDLO Cc1cc(C)n([C@H](C)CC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)n1 ZINC000329389491 410271848 /nfs/dbraw/zinc/27/18/48/410271848.db2.gz ULRJOKQASMFDBQ-HUUCEWRRSA-N 1 2 307.442 1.653 20 30 DDEDLO Cc1cc(C)n([C@H](C)CC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)n1 ZINC000329389491 410271851 /nfs/dbraw/zinc/27/18/51/410271851.db2.gz ULRJOKQASMFDBQ-HUUCEWRRSA-N 1 2 307.442 1.653 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)c1C ZINC000329510987 410337232 /nfs/dbraw/zinc/33/72/32/410337232.db2.gz KGDFFONIFGXHRS-TUKIKUTGSA-N 1 2 321.425 1.723 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)c1C ZINC000329510987 410337240 /nfs/dbraw/zinc/33/72/40/410337240.db2.gz KGDFFONIFGXHRS-TUKIKUTGSA-N 1 2 321.425 1.723 20 30 DDEDLO CC[C@@H](CC#N)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000358077021 410350963 /nfs/dbraw/zinc/35/09/63/410350963.db2.gz KNCHLALUFVDLPV-INIZCTEOSA-N 1 2 301.390 1.941 20 30 DDEDLO Cc1csc([C@H](C)NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)n1 ZINC000329438012 410299498 /nfs/dbraw/zinc/29/94/98/410299498.db2.gz JPFNZVBNOLLDTB-RYUDHWBXSA-N 1 2 311.455 1.262 20 30 DDEDLO Cc1csc([C@H](C)NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)n1 ZINC000329438012 410299508 /nfs/dbraw/zinc/29/95/08/410299508.db2.gz JPFNZVBNOLLDTB-RYUDHWBXSA-N 1 2 311.455 1.262 20 30 DDEDLO O=C(NCCc1cn2ccccc2[nH+]1)N1CCOCC2(CC2)C1 ZINC000329464022 410311837 /nfs/dbraw/zinc/31/18/37/410311837.db2.gz QXDIPKNTINUCAD-UHFFFAOYSA-N 1 2 314.389 1.903 20 30 DDEDLO O=C(NC[C@H]1CCCn2cc[nH+]c21)N[C@@H]1CCCCC[C@H]1O ZINC000329469425 410313521 /nfs/dbraw/zinc/31/35/21/410313521.db2.gz YALXUIVUUMMVNQ-MGPQQGTHSA-N 1 2 306.410 1.958 20 30 DDEDLO C[C@]12CCC(=O)N1[C@H](C(=O)N[C@@H]1CCc3[nH+]c[nH]c3C1)CS2 ZINC000329627971 410391149 /nfs/dbraw/zinc/39/11/49/410391149.db2.gz UJUKXGWGHMFJIT-LYSGOOTNSA-N 1 2 320.418 1.678 20 30 DDEDLO C[C@]12CCC(=O)N1[C@H](C(=O)N[C@@H]1CCc3[nH]c[nH+]c3C1)CS2 ZINC000329627971 410391152 /nfs/dbraw/zinc/39/11/52/410391152.db2.gz UJUKXGWGHMFJIT-LYSGOOTNSA-N 1 2 320.418 1.678 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCOC[C@@H]1CC1CCC1 ZINC000339769396 410449394 /nfs/dbraw/zinc/44/93/94/410449394.db2.gz MHCMXDPZEFHRNC-HOCLYGCPSA-N 1 2 307.438 1.886 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCOC[C@@H]1CC1CCC1 ZINC000339769396 410449400 /nfs/dbraw/zinc/44/94/00/410449400.db2.gz MHCMXDPZEFHRNC-HOCLYGCPSA-N 1 2 307.438 1.886 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[NH+]1CCC(OCCO)CC1 ZINC000339827501 410485403 /nfs/dbraw/zinc/48/54/03/410485403.db2.gz RXWCQENARBRPPI-MRXNPFEDSA-N 1 2 318.373 1.246 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NCC[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000359145934 410565260 /nfs/dbraw/zinc/56/52/60/410565260.db2.gz LVAKRQUILAKLMF-ZDUSSCGKSA-N 1 2 323.824 1.544 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NCC[N@H+]1CCc2c(Cl)cccc2C1 ZINC000359145934 410565267 /nfs/dbraw/zinc/56/52/67/410565267.db2.gz LVAKRQUILAKLMF-ZDUSSCGKSA-N 1 2 323.824 1.544 20 30 DDEDLO C[C@@H](NC(=O)Cc1c(F)cccc1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000352260901 410583908 /nfs/dbraw/zinc/58/39/08/410583908.db2.gz FZFWEWTYIKPLRQ-OLZOCXBDSA-N 1 2 319.380 1.465 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000299498905 410594815 /nfs/dbraw/zinc/59/48/15/410594815.db2.gz FTYFVRZYUQVNOQ-OAHLLOKOSA-N 1 2 309.410 1.042 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)c2cscc2C#N)CC1 ZINC000339999861 410608360 /nfs/dbraw/zinc/60/83/60/410608360.db2.gz WWLXNZKUEGTYJY-UHFFFAOYSA-N 1 2 315.402 1.311 20 30 DDEDLO N#CCCn1ccc(=NC(=O)NCc2cn3ccccc3[nH+]2)[nH]1 ZINC000359355456 410619448 /nfs/dbraw/zinc/61/94/48/410619448.db2.gz XDIORPSLAMAXKT-UHFFFAOYSA-N 1 2 309.333 1.766 20 30 DDEDLO COc1ccccc1[C@H]1CN(C(=O)c2ccc(C#N)o2)CC[NH2+]1 ZINC000352985933 410719716 /nfs/dbraw/zinc/71/97/16/410719716.db2.gz LLFRUXMRHKPHIU-CQSZACIVSA-N 1 2 311.341 1.947 20 30 DDEDLO CC(C)c1[nH+]c2c(n1C)CCN(C(=O)c1cnn(C)c1C#N)C2 ZINC000355984121 410759959 /nfs/dbraw/zinc/75/99/59/410759959.db2.gz DETKMEWBAUWZFO-UHFFFAOYSA-N 1 2 312.377 1.347 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3CCS(=O)(=O)[C@H]3C2)c(Cl)c1 ZINC000353120546 410798394 /nfs/dbraw/zinc/79/83/94/410798394.db2.gz AYUQIPPWGLYRPP-OCCSQVGLSA-N 1 2 310.806 1.831 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3CCS(=O)(=O)[C@H]3C2)c(Cl)c1 ZINC000353120546 410798400 /nfs/dbraw/zinc/79/84/00/410798400.db2.gz AYUQIPPWGLYRPP-OCCSQVGLSA-N 1 2 310.806 1.831 20 30 DDEDLO C=C(C)COCCNC(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000337587635 410882207 /nfs/dbraw/zinc/88/22/07/410882207.db2.gz GEVVEAIAOQWQPU-CQSZACIVSA-N 1 2 306.410 1.961 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ccc(C#N)nn3)c[nH+]2)C[C@H](C)O1 ZINC000301873336 410820077 /nfs/dbraw/zinc/82/00/77/410820077.db2.gz DOYNSUQTXZKLSC-BETUJISGSA-N 1 2 324.388 1.969 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N(CC)CCC#N)C[C@]2(CCOC2)O1 ZINC000369531856 287186753 /nfs/dbraw/zinc/18/67/53/287186753.db2.gz LXZJNIVHULIUTL-ZBFHGGJFSA-N 1 2 309.410 1.018 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N(CC)CCC#N)C[C@]2(CCOC2)O1 ZINC000369531856 287186754 /nfs/dbraw/zinc/18/67/54/287186754.db2.gz LXZJNIVHULIUTL-ZBFHGGJFSA-N 1 2 309.410 1.018 20 30 DDEDLO N#Cc1ccc(C(=O)CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000356231925 410907982 /nfs/dbraw/zinc/90/79/82/410907982.db2.gz TYTOYTDXYZDMNJ-CQSZACIVSA-N 1 2 308.341 1.316 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@@H+]1Cc1ccc(F)cc1C#N ZINC000331199115 411012645 /nfs/dbraw/zinc/01/26/45/411012645.db2.gz ZMHSMHIUTNTPCB-CQSZACIVSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@H+]1Cc1ccc(F)cc1C#N ZINC000331199115 411012650 /nfs/dbraw/zinc/01/26/50/411012650.db2.gz ZMHSMHIUTNTPCB-CQSZACIVSA-N 1 2 311.382 1.211 20 30 DDEDLO CN(C)S(=O)(=O)[C@H]1CCC[N@H+](Cc2cc(C#N)cs2)C1 ZINC000348672658 411052138 /nfs/dbraw/zinc/05/21/38/411052138.db2.gz MNQAONCGCJTNGN-ZDUSSCGKSA-N 1 2 313.448 1.476 20 30 DDEDLO CN(C)S(=O)(=O)[C@H]1CCC[N@@H+](Cc2cc(C#N)cs2)C1 ZINC000348672658 411052142 /nfs/dbraw/zinc/05/21/42/411052142.db2.gz MNQAONCGCJTNGN-ZDUSSCGKSA-N 1 2 313.448 1.476 20 30 DDEDLO C[C@@H](C(=O)NC[C@H](C)[NH+]1CCN(C)CC1)c1cccc(C#N)c1 ZINC000360261108 411116234 /nfs/dbraw/zinc/11/62/34/411116234.db2.gz KNUMXWHMAWSORK-LSDHHAIUSA-N 1 2 314.433 1.414 20 30 DDEDLO N#Cc1c[nH]nc1NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000601640654 416623932 /nfs/dbraw/zinc/62/39/32/416623932.db2.gz KBMMTPKWVRESAF-AWEZNQCLSA-N 1 2 311.345 1.121 20 30 DDEDLO N#Cc1c[nH]nc1NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000601640654 416623936 /nfs/dbraw/zinc/62/39/36/416623936.db2.gz KBMMTPKWVRESAF-AWEZNQCLSA-N 1 2 311.345 1.121 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)c1 ZINC000365998436 418413754 /nfs/dbraw/zinc/41/37/54/418413754.db2.gz DXXSQPMRQJNFJD-AWEZNQCLSA-N 1 2 322.368 1.566 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)[C@H]2CCCc3[nH]c[nH+]c32)c1 ZINC000365998436 418413755 /nfs/dbraw/zinc/41/37/55/418413755.db2.gz DXXSQPMRQJNFJD-AWEZNQCLSA-N 1 2 322.368 1.566 20 30 DDEDLO CC#CCC[NH+]1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000373420107 418426200 /nfs/dbraw/zinc/42/62/00/418426200.db2.gz BMHJOPMBEMKRHR-UHFFFAOYSA-N 1 2 313.467 1.138 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)CC[N@@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000374205017 418504455 /nfs/dbraw/zinc/50/44/55/418504455.db2.gz MSYPIBFXYISXCZ-LSDHHAIUSA-N 1 2 318.373 1.719 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)CC[N@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000374205017 418504457 /nfs/dbraw/zinc/50/44/57/418504457.db2.gz MSYPIBFXYISXCZ-LSDHHAIUSA-N 1 2 318.373 1.719 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH2+][C@@H](c3cccc(F)c3)C2)cn1 ZINC000374371732 418524478 /nfs/dbraw/zinc/52/44/78/418524478.db2.gz APTMTXWOMYYYQY-MRXNPFEDSA-N 1 2 310.332 1.879 20 30 DDEDLO C#CCN(C)C(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000191170652 222099076 /nfs/dbraw/zinc/09/90/76/222099076.db2.gz YPNVPSMMVNBHMQ-AWEZNQCLSA-N 1 2 303.381 1.428 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCCN(CCC)C(=O)C1 ZINC000189430425 222045668 /nfs/dbraw/zinc/04/56/68/222045668.db2.gz LNZJQKMYNXCINS-OAHLLOKOSA-N 1 2 309.454 1.744 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCCN(CCC)C(=O)C1 ZINC000189430425 222045670 /nfs/dbraw/zinc/04/56/70/222045670.db2.gz LNZJQKMYNXCINS-OAHLLOKOSA-N 1 2 309.454 1.744 20 30 DDEDLO NC(=[NH+]OCC1OCCO1)c1ccc(N2CCCCC2)nc1 ZINC000191991375 222116980 /nfs/dbraw/zinc/11/69/80/222116980.db2.gz KBSBWFWDIVGVLV-UHFFFAOYSA-N 1 2 306.366 1.082 20 30 DDEDLO N#Cc1ccc(CNc2cccc(C[N@@H+]3CCNC(=O)C3)c2)o1 ZINC000291097813 222134769 /nfs/dbraw/zinc/13/47/69/222134769.db2.gz QSAXZQGTVXDJIG-UHFFFAOYSA-N 1 2 310.357 1.695 20 30 DDEDLO N#Cc1ccc(CNc2cccc(C[N@H+]3CCNC(=O)C3)c2)o1 ZINC000291097813 222134771 /nfs/dbraw/zinc/13/47/71/222134771.db2.gz QSAXZQGTVXDJIG-UHFFFAOYSA-N 1 2 310.357 1.695 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCC(F)(F)[C@@H](CO)C1 ZINC000361422198 418649540 /nfs/dbraw/zinc/64/95/40/418649540.db2.gz YYFVXSSGKNFSRT-BZPMIXESSA-N 1 2 317.380 1.379 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCC(F)(F)[C@@H](CO)C1 ZINC000361422198 418649543 /nfs/dbraw/zinc/64/95/43/418649543.db2.gz YYFVXSSGKNFSRT-BZPMIXESSA-N 1 2 317.380 1.379 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H](n3cncn3)C2)c(C#N)c1C ZINC000375316214 418628283 /nfs/dbraw/zinc/62/82/83/418628283.db2.gz CNLTYQCWGLDKDN-GFCCVEGCSA-N 1 2 314.349 1.245 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H](n3cncn3)C2)c(C#N)c1C ZINC000375316214 418628285 /nfs/dbraw/zinc/62/82/85/418628285.db2.gz CNLTYQCWGLDKDN-GFCCVEGCSA-N 1 2 314.349 1.245 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)c1 ZINC000361804639 418712927 /nfs/dbraw/zinc/71/29/27/418712927.db2.gz SNJMUMCRKDTBRT-UHFFFAOYSA-N 1 2 322.368 1.736 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)c1 ZINC000361804639 418712930 /nfs/dbraw/zinc/71/29/30/418712930.db2.gz SNJMUMCRKDTBRT-UHFFFAOYSA-N 1 2 322.368 1.736 20 30 DDEDLO Cn1cc[nH+]c1-c1cccc(CNS(=O)(=O)CCCC#N)c1 ZINC000378392790 418722100 /nfs/dbraw/zinc/72/21/00/418722100.db2.gz ZXBLANYTLDZVNA-UHFFFAOYSA-N 1 2 318.402 1.810 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000378197297 418720036 /nfs/dbraw/zinc/72/00/36/418720036.db2.gz XIUPHFGIYLTGHS-YPMHNXCESA-N 1 2 310.357 1.722 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000378197297 418720037 /nfs/dbraw/zinc/72/00/37/418720037.db2.gz XIUPHFGIYLTGHS-YPMHNXCESA-N 1 2 310.357 1.722 20 30 DDEDLO C#Cc1ccc(C[NH+]2CCN(C3(C(N)=O)CCCC3)CC2)cc1 ZINC000368018251 418674742 /nfs/dbraw/zinc/67/47/42/418674742.db2.gz JFNRFEWFPANDBQ-UHFFFAOYSA-N 1 2 311.429 1.584 20 30 DDEDLO C[C@](C#N)(NC(=O)C[NH2+][C@H](CO)c1c(F)cccc1F)C1CC1 ZINC000273095817 222467391 /nfs/dbraw/zinc/46/73/91/222467391.db2.gz KVPBSVYOWSQLIE-CZUORRHYSA-N 1 2 323.343 1.396 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)s1 ZINC000378313470 418721420 /nfs/dbraw/zinc/72/14/20/418721420.db2.gz SYQFFURKDXTOAI-VIFPVBQESA-N 1 2 303.391 1.751 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)s1 ZINC000378313470 418721422 /nfs/dbraw/zinc/72/14/22/418721422.db2.gz SYQFFURKDXTOAI-VIFPVBQESA-N 1 2 303.391 1.751 20 30 DDEDLO CCn1cc(C[N@@H+]2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000376646743 418699643 /nfs/dbraw/zinc/69/96/43/418699643.db2.gz ZSJACRNHICLLSO-RDTXWAMCSA-N 1 2 321.384 1.748 20 30 DDEDLO CCn1cc(C[N@H+]2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000376646743 418699644 /nfs/dbraw/zinc/69/96/44/418699644.db2.gz ZSJACRNHICLLSO-RDTXWAMCSA-N 1 2 321.384 1.748 20 30 DDEDLO C#CCCNC(=O)N1CCC[N@@H+](Cc2csc(CC)n2)CC1 ZINC000369413132 418733090 /nfs/dbraw/zinc/73/30/90/418733090.db2.gz VBHPSVMZAVNOBQ-UHFFFAOYSA-N 1 2 320.462 1.946 20 30 DDEDLO C#CCCNC(=O)N1CCC[N@H+](Cc2csc(CC)n2)CC1 ZINC000369413132 418733091 /nfs/dbraw/zinc/73/30/91/418733091.db2.gz VBHPSVMZAVNOBQ-UHFFFAOYSA-N 1 2 320.462 1.946 20 30 DDEDLO C=CCOCCNC(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000369452041 418734131 /nfs/dbraw/zinc/73/41/31/418734131.db2.gz MVHBRLMAYXRCGI-UHFFFAOYSA-N 1 2 324.450 1.563 20 30 DDEDLO C=CCOCCNC(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000369452041 418734133 /nfs/dbraw/zinc/73/41/33/418734133.db2.gz MVHBRLMAYXRCGI-UHFFFAOYSA-N 1 2 324.450 1.563 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[NH+]1CCC(c2nc[nH]n2)CC1 ZINC000370235871 418742257 /nfs/dbraw/zinc/74/22/57/418742257.db2.gz JOJUKQFKDLAAFP-HNNXBMFYSA-N 1 2 304.398 1.039 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H](C#N)C(C)C ZINC000362759609 418758821 /nfs/dbraw/zinc/75/88/21/418758821.db2.gz MRIPUMLYSUKSJX-UONOGXRCSA-N 1 2 319.409 1.147 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H](C#N)C(C)C ZINC000362759609 418758823 /nfs/dbraw/zinc/75/88/23/418758823.db2.gz MRIPUMLYSUKSJX-UONOGXRCSA-N 1 2 319.409 1.147 20 30 DDEDLO C=CCOCCCC(=O)N1CCCN(Cc2[nH+]ccn2C)CC1 ZINC000371122731 418762624 /nfs/dbraw/zinc/76/26/24/418762624.db2.gz ZEEBCKYOUFDTQM-UHFFFAOYSA-N 1 2 320.437 1.437 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[N@@H+](Cc2nccn2C)CC1 ZINC000371122731 418762627 /nfs/dbraw/zinc/76/26/27/418762627.db2.gz ZEEBCKYOUFDTQM-UHFFFAOYSA-N 1 2 320.437 1.437 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[N@H+](Cc2nccn2C)CC1 ZINC000371122731 418762629 /nfs/dbraw/zinc/76/26/29/418762629.db2.gz ZEEBCKYOUFDTQM-UHFFFAOYSA-N 1 2 320.437 1.437 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1C[C@H](O)C2(CC2)C1 ZINC000364313636 418775637 /nfs/dbraw/zinc/77/56/37/418775637.db2.gz DLAAIHMYAUDWFB-AWEZNQCLSA-N 1 2 317.414 1.697 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1C[C@H](O)C2(CC2)C1 ZINC000364313636 418775640 /nfs/dbraw/zinc/77/56/40/418775640.db2.gz DLAAIHMYAUDWFB-AWEZNQCLSA-N 1 2 317.414 1.697 20 30 DDEDLO N#C[C@@]1(C(=O)N2CC[NH+](C3CC3)CC2)CCc2ccccc2C1 ZINC000378449414 418723248 /nfs/dbraw/zinc/72/32/48/418723248.db2.gz FWTRJNBKAAQITE-LJQANCHMSA-N 1 2 309.413 1.992 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ccc(F)cn2)CC1 ZINC000371554868 418800266 /nfs/dbraw/zinc/80/02/66/418800266.db2.gz RLEHRDCPUKZBRH-CYBMUJFWSA-N 1 2 319.384 1.103 20 30 DDEDLO Cc1cn(C)nc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000411123299 418871453 /nfs/dbraw/zinc/87/14/53/418871453.db2.gz DBSPCKBMUQQOOR-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO COC[C@@H](C)OC[C@@H](O)C[NH+]1CC(Oc2ccc(C#N)cc2)C1 ZINC000365734679 418901431 /nfs/dbraw/zinc/90/14/31/418901431.db2.gz LZOVQGJVBJDVDQ-HIFRSBDPSA-N 1 2 320.389 1.034 20 30 DDEDLO C=CCN1CC(=O)N(C2CCN(c3cccc[nH+]3)CC2)C1=O ZINC000372935903 418914547 /nfs/dbraw/zinc/91/45/47/418914547.db2.gz LMNZXRHQHWETHE-UHFFFAOYSA-N 1 2 300.362 1.501 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)CN(C)Cc1cn2c([nH+]1)CCCC2 ZINC000372995077 418919848 /nfs/dbraw/zinc/91/98/48/418919848.db2.gz HLRWLDLSBGCKJE-AWEZNQCLSA-N 1 2 317.437 1.659 20 30 DDEDLO CCCc1[nH]ccc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000421760583 419715007 /nfs/dbraw/zinc/71/50/07/419715007.db2.gz XEOXVFAWSDEVEF-UHFFFAOYSA-N 1 2 318.421 1.655 20 30 DDEDLO COC(=O)c1cc(C)ccc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000414145028 419810251 /nfs/dbraw/zinc/81/02/51/419810251.db2.gz OUBVHABWBBFNIQ-ZDUSSCGKSA-N 1 2 306.362 1.367 20 30 DDEDLO COC(=O)c1cc(C)ccc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414145028 419810256 /nfs/dbraw/zinc/81/02/56/419810256.db2.gz OUBVHABWBBFNIQ-ZDUSSCGKSA-N 1 2 306.362 1.367 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1[nH+]cnc2c1cnn2-c1ncccn1 ZINC000414198734 419810767 /nfs/dbraw/zinc/81/07/67/419810767.db2.gz CGQKPLAVZREKCW-OLZOCXBDSA-N 1 2 323.360 1.751 20 30 DDEDLO N#C[C@H](CCc1ccccc1)C(=O)NCC[NH+]1CCOCC1 ZINC000433110714 229154419 /nfs/dbraw/zinc/15/44/19/229154419.db2.gz BWWGJMIVCOAJTA-INIZCTEOSA-N 1 2 301.390 1.207 20 30 DDEDLO N#CCC1(CNC(=O)NCc2[nH+]ccn2CC(F)(F)F)CC1 ZINC000430531276 420129495 /nfs/dbraw/zinc/12/94/95/420129495.db2.gz NOLHJVQEWUYLFZ-UHFFFAOYSA-N 1 2 315.299 1.938 20 30 DDEDLO Cc1cc(N2CC[C@](C(N)=O)(c3ccccc3)C2)c(C#N)c[nH+]1 ZINC000425257089 420330625 /nfs/dbraw/zinc/33/06/25/420330625.db2.gz CKWHYAUIECCZOF-GOSISDBHSA-N 1 2 306.369 1.895 20 30 DDEDLO CC[C@H]1CN(S(=O)(=O)[C@@H](C)C#N)CC[N@@H+]1Cc1ccccc1 ZINC000416461464 420380159 /nfs/dbraw/zinc/38/01/59/420380159.db2.gz ZHDIXBHQIXVACR-HOCLYGCPSA-N 1 2 321.446 1.825 20 30 DDEDLO CC[C@H]1CN(S(=O)(=O)[C@@H](C)C#N)CC[N@H+]1Cc1ccccc1 ZINC000416461464 420380162 /nfs/dbraw/zinc/38/01/62/420380162.db2.gz ZHDIXBHQIXVACR-HOCLYGCPSA-N 1 2 321.446 1.825 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C#N)s1 ZINC000420800661 420397408 /nfs/dbraw/zinc/39/74/08/420397408.db2.gz OBHZNSJQUJGIQJ-LLVKDONJSA-N 1 2 308.407 1.140 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000450892250 420552337 /nfs/dbraw/zinc/55/23/37/420552337.db2.gz CTMOLRCZJODYHD-ZIAGYGMSSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000450892250 420552340 /nfs/dbraw/zinc/55/23/40/420552340.db2.gz CTMOLRCZJODYHD-ZIAGYGMSSA-N 1 2 306.410 1.424 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccccc2Cl)nn1 ZINC000640758200 423167358 /nfs/dbraw/zinc/16/73/58/423167358.db2.gz LXKWTOMNQUHDBE-UHFFFAOYSA-N 1 2 317.780 1.683 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)Cc1cnc(C(C)C)nc1 ZINC000440469562 420579231 /nfs/dbraw/zinc/57/92/31/420579231.db2.gz KUBQGTFWBKABTE-UHFFFAOYSA-N 1 2 303.410 1.794 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)Cc1cnc(C(C)C)nc1 ZINC000440469562 420579234 /nfs/dbraw/zinc/57/92/34/420579234.db2.gz KUBQGTFWBKABTE-UHFFFAOYSA-N 1 2 303.410 1.794 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(C[C@H](C#N)CCC#N)C1 ZINC000440487783 420581076 /nfs/dbraw/zinc/58/10/76/420581076.db2.gz AVRYAAVQVNVABC-WMLDXEAASA-N 1 2 320.437 1.826 20 30 DDEDLO CC(C)(C)OC(=O)CN[C@]1(C)CC[N@H+](C[C@H](C#N)CCC#N)C1 ZINC000440487783 420581081 /nfs/dbraw/zinc/58/10/81/420581081.db2.gz AVRYAAVQVNVABC-WMLDXEAASA-N 1 2 320.437 1.826 20 30 DDEDLO CC(C)(C)OC(=O)CN[C@]1(C)CC[N@@H+](C[C@H](C#N)CCC#N)C1 ZINC000440487783 420581086 /nfs/dbraw/zinc/58/10/86/420581086.db2.gz AVRYAAVQVNVABC-WMLDXEAASA-N 1 2 320.437 1.826 20 30 DDEDLO NS(=O)(=O)C[C@H]1CCC[N@@H+]1CC#Cc1cccc(Cl)c1 ZINC000440653485 420593673 /nfs/dbraw/zinc/59/36/73/420593673.db2.gz IUGWGGNZBKNABW-CQSZACIVSA-N 1 2 312.822 1.444 20 30 DDEDLO NS(=O)(=O)C[C@H]1CCC[N@H+]1CC#Cc1cccc(Cl)c1 ZINC000440653485 420593677 /nfs/dbraw/zinc/59/36/77/420593677.db2.gz IUGWGGNZBKNABW-CQSZACIVSA-N 1 2 312.822 1.444 20 30 DDEDLO COCC[N@H+](Cc1ccnn1C)Cc1ccc(C#N)c(OC)c1 ZINC000443073268 420741452 /nfs/dbraw/zinc/74/14/52/420741452.db2.gz WLWVCGPHXXBNHO-UHFFFAOYSA-N 1 2 314.389 1.949 20 30 DDEDLO COCC[N@@H+](Cc1ccnn1C)Cc1ccc(C#N)c(OC)c1 ZINC000443073268 420741454 /nfs/dbraw/zinc/74/14/54/420741454.db2.gz WLWVCGPHXXBNHO-UHFFFAOYSA-N 1 2 314.389 1.949 20 30 DDEDLO C=CCC[C@@H](NC(=O)/C=C\c1[nH+]ccn1CC)C(=O)OCC ZINC000493130735 420764454 /nfs/dbraw/zinc/76/44/54/420764454.db2.gz OKFPLJGBVHFFIN-ASCRHOAZSA-N 1 2 305.378 1.930 20 30 DDEDLO Cc1nn(C)c(Cl)c1/C=C\C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000492943848 420717458 /nfs/dbraw/zinc/71/74/58/420717458.db2.gz CBUQEWQWQXFIHO-AFNCTOJWSA-N 1 2 309.801 1.355 20 30 DDEDLO C[C@@H](CC#N)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000442946474 420731734 /nfs/dbraw/zinc/73/17/34/420731734.db2.gz QFEOXXVDHWKDTP-LBPRGKRZSA-N 1 2 304.375 1.512 20 30 DDEDLO Cc1cc(C(=O)N2CC[N@H+](Cc3cccc(C#N)c3)[C@H](C)C2)[nH]n1 ZINC000453619428 420737440 /nfs/dbraw/zinc/73/74/40/420737440.db2.gz TYZPFAYMUDLOCM-CQSZACIVSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N2CC[N@@H+](Cc3cccc(C#N)c3)[C@H](C)C2)[nH]n1 ZINC000453619428 420737444 /nfs/dbraw/zinc/73/74/44/420737444.db2.gz TYZPFAYMUDLOCM-CQSZACIVSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N2CC[N@H+](Cc3cccc(C#N)c3)[C@H](C)C2)n[nH]1 ZINC000453619428 420737447 /nfs/dbraw/zinc/73/74/47/420737447.db2.gz TYZPFAYMUDLOCM-CQSZACIVSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N2CC[N@@H+](Cc3cccc(C#N)c3)[C@H](C)C2)n[nH]1 ZINC000453619428 420737451 /nfs/dbraw/zinc/73/74/51/420737451.db2.gz TYZPFAYMUDLOCM-CQSZACIVSA-N 1 2 323.400 1.936 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccc(C#N)c(O)c1 ZINC000447657728 420791309 /nfs/dbraw/zinc/79/13/09/420791309.db2.gz VPFMVHGIGKGFSH-GFCCVEGCSA-N 1 2 303.362 1.057 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000448589360 420866336 /nfs/dbraw/zinc/86/63/36/420866336.db2.gz IDHAIGSFMPRRIT-CABCVRRESA-N 1 2 306.431 1.983 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ccc(C#N)o3)CC2)c1C#N ZINC000471812255 420951138 /nfs/dbraw/zinc/95/11/38/420951138.db2.gz QSNNYKDBFSBPOD-UHFFFAOYSA-N 1 2 310.361 1.387 20 30 DDEDLO N#Cc1cccc(/C=C\C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000493730368 420926815 /nfs/dbraw/zinc/92/68/15/420926815.db2.gz VWDQQIGTTZSZEN-CUPFKUIUSA-N 1 2 311.385 1.551 20 30 DDEDLO N#Cc1cccc(/C=C\C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000493730368 420926818 /nfs/dbraw/zinc/92/68/18/420926818.db2.gz VWDQQIGTTZSZEN-CUPFKUIUSA-N 1 2 311.385 1.551 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCOC[C@@H]2CC(N)=O)cc(OC)c1O ZINC000449776270 421079492 /nfs/dbraw/zinc/07/94/92/421079492.db2.gz SCCHQAWWXFYKOR-AWEZNQCLSA-N 1 2 320.389 1.206 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCOC[C@@H]2CC(N)=O)cc(OC)c1O ZINC000449776270 421079496 /nfs/dbraw/zinc/07/94/96/421079496.db2.gz SCCHQAWWXFYKOR-AWEZNQCLSA-N 1 2 320.389 1.206 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000489499198 421159733 /nfs/dbraw/zinc/15/97/33/421159733.db2.gz SAZKQMJSWMBPHH-NVXWUHKLSA-N 1 2 315.417 1.630 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000489499198 421159735 /nfs/dbraw/zinc/15/97/35/421159735.db2.gz SAZKQMJSWMBPHH-NVXWUHKLSA-N 1 2 315.417 1.630 20 30 DDEDLO N#Cc1ccnc(NC[C@H](c2cccs2)[NH+]2CCOCC2)n1 ZINC000450221253 421164950 /nfs/dbraw/zinc/16/49/50/421164950.db2.gz QEHBNOBFLSSDRG-CYBMUJFWSA-N 1 2 315.402 1.895 20 30 DDEDLO Cc1cc(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)n(CCC#N)n1 ZINC000523376856 421235921 /nfs/dbraw/zinc/23/59/21/421235921.db2.gz NDAJRMNJRNATSI-UHFFFAOYSA-N 1 2 322.332 1.333 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCC[C@@H](OCCO)C2)c1C#N ZINC000548076846 421396969 /nfs/dbraw/zinc/39/69/69/421396969.db2.gz UWJJTRZUDUGLJU-CQSZACIVSA-N 1 2 318.373 1.318 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCC[C@@H](OCCO)C2)c1C#N ZINC000548076846 421396972 /nfs/dbraw/zinc/39/69/72/421396972.db2.gz UWJJTRZUDUGLJU-CQSZACIVSA-N 1 2 318.373 1.318 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](Cc2cccc(C(N)=O)c2)CC1 ZINC000514704798 421447784 /nfs/dbraw/zinc/44/77/84/421447784.db2.gz XGNNCWJTCDDGEX-UHFFFAOYSA-N 1 2 321.384 1.374 20 30 DDEDLO C[C@@H]1[C@H](C)[S@](=O)CC[N@@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000528386509 421484767 /nfs/dbraw/zinc/48/47/67/421484767.db2.gz IUFAXLBEHCYCEE-BKZHYRFYSA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@@H]1[C@H](C)[S@](=O)CC[N@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000528386509 421484771 /nfs/dbraw/zinc/48/47/71/421484771.db2.gz IUFAXLBEHCYCEE-BKZHYRFYSA-N 1 2 322.430 1.139 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@@H+]1CCOC[C@H]1C1CC1 ZINC000528425337 421488559 /nfs/dbraw/zinc/48/85/59/421488559.db2.gz AXNRKRDOAVGTEJ-KRWDZBQOSA-N 1 2 324.380 1.397 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@H+]1CCOC[C@H]1C1CC1 ZINC000528425337 421488563 /nfs/dbraw/zinc/48/85/63/421488563.db2.gz AXNRKRDOAVGTEJ-KRWDZBQOSA-N 1 2 324.380 1.397 20 30 DDEDLO CC1(C)CC(Cn2cc(C[NH+]3CCOCC3)cc(C#N)c2=O)C1 ZINC000530108791 421580007 /nfs/dbraw/zinc/58/00/07/421580007.db2.gz BWILLZZQXBHAIY-UHFFFAOYSA-N 1 2 315.417 1.988 20 30 DDEDLO N#Cc1nccc(NCc2[nH+]ccn2CCc2ccccc2)n1 ZINC000564469650 421589074 /nfs/dbraw/zinc/58/90/74/421589074.db2.gz WEYDALHVWZOJON-UHFFFAOYSA-N 1 2 304.357 1.821 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)COCc1cccc(Cl)c1 ZINC000566309789 421604441 /nfs/dbraw/zinc/60/44/41/421604441.db2.gz SNGVOSDVFOJZNZ-OAHLLOKOSA-N 1 2 309.797 1.817 20 30 DDEDLO N#CC1(CNC(=O)c2ccc([N+](=O)[O-])c(-n3cc[nH+]c3)c2)CC1 ZINC000567338134 421611831 /nfs/dbraw/zinc/61/18/31/421611831.db2.gz BUHDHSRETWBVBW-UHFFFAOYSA-N 1 2 311.301 1.814 20 30 DDEDLO COCCCOc1cccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000567995733 421616979 /nfs/dbraw/zinc/61/69/79/421616979.db2.gz UJLOWIITKLAQFE-KRWDZBQOSA-N 1 2 319.405 1.676 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000570012115 421628984 /nfs/dbraw/zinc/62/89/84/421628984.db2.gz UBUZLSWMBLAIAW-DGCLKSJQSA-N 1 2 321.812 1.734 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000570012115 421628985 /nfs/dbraw/zinc/62/89/85/421628985.db2.gz UBUZLSWMBLAIAW-DGCLKSJQSA-N 1 2 321.812 1.734 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)Nc1ccn(CCC#N)n1)C1CC1 ZINC000554477587 421641311 /nfs/dbraw/zinc/64/13/11/421641311.db2.gz SNWLRSRNTKCZNH-CYBMUJFWSA-N 1 2 313.365 1.803 20 30 DDEDLO CC(C)Cn1ncnc1C[N@H+](C)CCCc1[nH]nc(N)c1C#N ZINC000554475617 421642044 /nfs/dbraw/zinc/64/20/44/421642044.db2.gz ODFFBDNEQXZQGU-UHFFFAOYSA-N 1 2 316.413 1.176 20 30 DDEDLO CC(C)Cn1ncnc1C[N@@H+](C)CCCc1[nH]nc(N)c1C#N ZINC000554475617 421642048 /nfs/dbraw/zinc/64/20/48/421642048.db2.gz ODFFBDNEQXZQGU-UHFFFAOYSA-N 1 2 316.413 1.176 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NC2CCCCCC2)C1=O ZINC000531695116 421644147 /nfs/dbraw/zinc/64/41/47/421644147.db2.gz DVYDXJBOEPTZDB-HNNXBMFYSA-N 1 2 307.438 1.544 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NC2CCCCCC2)C1=O ZINC000531695116 421644150 /nfs/dbraw/zinc/64/41/50/421644150.db2.gz DVYDXJBOEPTZDB-HNNXBMFYSA-N 1 2 307.438 1.544 20 30 DDEDLO COC(=O)C[C@@H](C)[NH+]1CCN(C(=O)C2(C#N)CC(C)C2)CC1 ZINC000532070680 421648091 /nfs/dbraw/zinc/64/80/91/421648091.db2.gz PAJGYMJXASZKGB-MFOWVQHXSA-N 1 2 307.394 1.022 20 30 DDEDLO CC(=O)Nc1ccc(Cl)c(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000571568656 421728205 /nfs/dbraw/zinc/72/82/05/421728205.db2.gz SPHKFEPFLXAOCS-OAHLLOKOSA-N 1 2 322.796 1.872 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCc2ccc(O)cc2C1 ZINC000533394996 421673249 /nfs/dbraw/zinc/67/32/49/421673249.db2.gz OIDXCWZOXHSFIR-UHFFFAOYSA-N 1 2 312.373 1.406 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCc2ccc(O)cc2C1 ZINC000533394996 421673251 /nfs/dbraw/zinc/67/32/51/421673251.db2.gz OIDXCWZOXHSFIR-UHFFFAOYSA-N 1 2 312.373 1.406 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(Cl)c(C#N)c1 ZINC000542727822 421828032 /nfs/dbraw/zinc/82/80/32/421828032.db2.gz IUDMDUPYXPUFQK-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(Cl)c(C#N)c1 ZINC000542727822 421828034 /nfs/dbraw/zinc/82/80/34/421828034.db2.gz IUDMDUPYXPUFQK-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000572370586 421781138 /nfs/dbraw/zinc/78/11/38/421781138.db2.gz XDVVGBIYBPJZHC-INIZCTEOSA-N 1 2 314.393 1.585 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000572933282 421886691 /nfs/dbraw/zinc/88/66/91/421886691.db2.gz VAWIXWBTLQRLPI-CPUCHLNUSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000572933282 421886700 /nfs/dbraw/zinc/88/67/00/421886700.db2.gz VAWIXWBTLQRLPI-CPUCHLNUSA-N 1 2 321.421 1.207 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000582364435 422143455 /nfs/dbraw/zinc/14/34/55/422143455.db2.gz HCMWPAZPWOQTEC-LRDDRELGSA-N 1 2 304.394 1.097 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CC[C@H]3[C@H](CCC(=O)N3C3CC3)C2)n1 ZINC000583984663 422230970 /nfs/dbraw/zinc/23/09/70/422230970.db2.gz UMEMHIYRPQAORU-RISCZKNCSA-N 1 2 316.430 1.990 20 30 DDEDLO N#Cc1csc(C[N@H+]2CC[C@H]3[C@H](CCC(=O)N3C3CC3)C2)n1 ZINC000583984663 422230976 /nfs/dbraw/zinc/23/09/76/422230976.db2.gz UMEMHIYRPQAORU-RISCZKNCSA-N 1 2 316.430 1.990 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000628576737 422236823 /nfs/dbraw/zinc/23/68/23/422236823.db2.gz NLFOIZLTJICGHC-QGZVFWFLSA-N 1 2 310.438 1.321 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000628576737 422236829 /nfs/dbraw/zinc/23/68/29/422236829.db2.gz NLFOIZLTJICGHC-QGZVFWFLSA-N 1 2 310.438 1.321 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)cc1F ZINC000575008094 422236844 /nfs/dbraw/zinc/23/68/44/422236844.db2.gz JLYREHVWQJLVEC-GFCCVEGCSA-N 1 2 313.336 1.777 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)cc1F ZINC000575008094 422236848 /nfs/dbraw/zinc/23/68/48/422236848.db2.gz JLYREHVWQJLVEC-GFCCVEGCSA-N 1 2 313.336 1.777 20 30 DDEDLO Cc1oncc1C[N@@H+]1C[C@@H](F)C[C@H]1CNc1ccnc(C#N)n1 ZINC000575195559 422273296 /nfs/dbraw/zinc/27/32/96/422273296.db2.gz NJLOTRHFNZUNNQ-STQMWFEESA-N 1 2 316.340 1.091 20 30 DDEDLO Cc1oncc1C[N@H+]1C[C@@H](F)C[C@H]1CNc1ccnc(C#N)n1 ZINC000575195559 422273306 /nfs/dbraw/zinc/27/33/06/422273306.db2.gz NJLOTRHFNZUNNQ-STQMWFEESA-N 1 2 316.340 1.091 20 30 DDEDLO COc1ccc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1OC ZINC000577038846 422381202 /nfs/dbraw/zinc/38/12/02/422381202.db2.gz QKKPEFUKQJBELG-INIZCTEOSA-N 1 2 305.378 1.206 20 30 DDEDLO C[C@@H](Oc1ccc2c(c1)CCC2)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000576879575 422379610 /nfs/dbraw/zinc/37/96/10/422379610.db2.gz OJWIINAISAZLIA-ACJLOTCBSA-N 1 2 315.417 1.903 20 30 DDEDLO C=CCn1c(CC)nnc1N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000577126156 422382911 /nfs/dbraw/zinc/38/29/11/422382911.db2.gz MASVNNMTZJMEIJ-UHFFFAOYSA-N 1 2 313.409 1.452 20 30 DDEDLO Cc1nc(NCc2cccc(C(=O)N3CC(CC#N)C3)c2)cc[nH+]1 ZINC000598030825 422393533 /nfs/dbraw/zinc/39/35/33/422393533.db2.gz YEKKVHPOPWTPFH-UHFFFAOYSA-N 1 2 321.384 2.383 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000577615133 422394028 /nfs/dbraw/zinc/39/40/28/422394028.db2.gz BZDMGWWPGNJVJR-CQSZACIVSA-N 1 2 305.334 1.704 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])[C@@H]1CCOC1 ZINC000577615133 422394034 /nfs/dbraw/zinc/39/40/34/422394034.db2.gz BZDMGWWPGNJVJR-CQSZACIVSA-N 1 2 305.334 1.704 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)COCc2cccs2)nn1 ZINC000641197456 423468493 /nfs/dbraw/zinc/46/84/93/423468493.db2.gz HDQGRAVMIQBCLE-AWEZNQCLSA-N 1 2 320.418 1.030 20 30 DDEDLO COCC#CC[N@@H+]1CCN(Cc2ccccc2)C(=O)C1(C)C ZINC000649721629 424155070 /nfs/dbraw/zinc/15/50/70/424155070.db2.gz VBCMOKWXMXBJPB-UHFFFAOYSA-N 1 2 300.402 1.759 20 30 DDEDLO COCC#CC[N@H+]1CCN(Cc2ccccc2)C(=O)C1(C)C ZINC000649721629 424155084 /nfs/dbraw/zinc/15/50/84/424155084.db2.gz VBCMOKWXMXBJPB-UHFFFAOYSA-N 1 2 300.402 1.759 20 30 DDEDLO C=CCN(C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1)[C@H](C)COC ZINC000664008359 424334271 /nfs/dbraw/zinc/33/42/71/424334271.db2.gz GYFVKXZMUNNUHQ-KFWWJZLASA-N 1 2 313.442 1.328 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@@H](Cc1[nH+]ccn1C)c1ccccc1 ZINC000664255291 424495359 /nfs/dbraw/zinc/49/53/59/424495359.db2.gz KBZWPHHUSYWUOF-CABCVRRESA-N 1 2 314.389 1.550 20 30 DDEDLO C=CCCNC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000660286875 424623130 /nfs/dbraw/zinc/62/31/30/424623130.db2.gz KATSJCNYNVQBHE-INIZCTEOSA-N 1 2 303.406 1.935 20 30 DDEDLO CC[N@@H+]1CCO[C@H](CN2CCN(c3ccc(C#N)cc3)CC2)C1 ZINC000363968343 266151827 /nfs/dbraw/zinc/15/18/27/266151827.db2.gz ZFIMWNVMGUDKQN-SFHVURJKSA-N 1 2 314.433 1.401 20 30 DDEDLO CC[N@H+]1CCO[C@H](CN2CCN(c3ccc(C#N)cc3)CC2)C1 ZINC000363968343 266151833 /nfs/dbraw/zinc/15/18/33/266151833.db2.gz ZFIMWNVMGUDKQN-SFHVURJKSA-N 1 2 314.433 1.401 20 30 DDEDLO CC[C@@H](C(=O)OC)N1CC[NH+](Cc2ccc(C#N)cc2F)CC1 ZINC000352669275 266352427 /nfs/dbraw/zinc/35/24/27/266352427.db2.gz YKPPUFHFAJHGFY-INIZCTEOSA-N 1 2 319.380 1.767 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)N(C)Cc1cccc(C#N)c1 ZINC000356379312 267069336 /nfs/dbraw/zinc/06/93/36/267069336.db2.gz UBZAIBJVZVPXCP-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)N(C)Cc1cccc(C#N)c1 ZINC000356379312 267069342 /nfs/dbraw/zinc/06/93/42/267069342.db2.gz UBZAIBJVZVPXCP-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO C[C@H]1CCN(S(=O)(=O)c2ccc(C#N)o2)C[C@H]1n1cc[nH+]c1 ZINC000367784795 267216212 /nfs/dbraw/zinc/21/62/12/267216212.db2.gz PTFCCJJKBGWFDU-WCQYABFASA-N 1 2 320.374 1.620 20 30 DDEDLO C[C@H]1C[NH+](CCN(C)C(=O)c2cscc2C#N)C[C@H](C)O1 ZINC000351818639 267231199 /nfs/dbraw/zinc/23/11/99/267231199.db2.gz HWBLUUYLAKCXFV-RYUDHWBXSA-N 1 2 307.419 1.801 20 30 DDEDLO C[N@@H+]1C2(CCC2)COC[C@]1(CO)CNc1cccc(F)c1C#N ZINC000528127304 267332595 /nfs/dbraw/zinc/33/25/95/267332595.db2.gz BJGUYMQFWQFLOW-QGZVFWFLSA-N 1 2 319.380 1.725 20 30 DDEDLO C[N@H+]1C2(CCC2)COC[C@]1(CO)CNc1cccc(F)c1C#N ZINC000528127304 267332601 /nfs/dbraw/zinc/33/26/01/267332601.db2.gz BJGUYMQFWQFLOW-QGZVFWFLSA-N 1 2 319.380 1.725 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+][C@H](CO)c2ccsc2)C(N)=O)cc1 ZINC000352033924 268214014 /nfs/dbraw/zinc/21/40/14/268214014.db2.gz WZCJZWAKGFLXMY-KGLIPLIRSA-N 1 2 301.371 1.469 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+][C@@H]2COc3ccc(F)cc32)C(N)=O)cc1 ZINC000342455300 268214168 /nfs/dbraw/zinc/21/41/68/268214168.db2.gz HVQAXOPUPQKYTM-ZBFHGGJFSA-N 1 2 311.316 1.947 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+]Cc2ccc3cc[nH]c3n2)C(N)=O)cc1 ZINC000357304243 268214189 /nfs/dbraw/zinc/21/41/89/268214189.db2.gz UMHTWXYRAKXEGI-HNNXBMFYSA-N 1 2 305.341 1.751 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@@H+]2CCOC[C@H]2[C@@H]2CCCC2=O)cc1 ZINC000368937026 268214699 /nfs/dbraw/zinc/21/46/99/268214699.db2.gz DTZMICARXZVQIZ-XYJFISCASA-N 1 2 314.385 1.662 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@H+]2CCOC[C@H]2[C@@H]2CCCC2=O)cc1 ZINC000368937026 268214702 /nfs/dbraw/zinc/21/47/02/268214702.db2.gz DTZMICARXZVQIZ-XYJFISCASA-N 1 2 314.385 1.662 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2C[C@@H]3CCC[C@@H]3[C@H]2C(N)=O)c1 ZINC000368966949 268266048 /nfs/dbraw/zinc/26/60/48/268266048.db2.gz SSZFKUPXMPIHCB-NOLJZWGESA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2C[C@@H]3CCC[C@@H]3[C@H]2C(N)=O)c1 ZINC000368966949 268266050 /nfs/dbraw/zinc/26/60/50/268266050.db2.gz SSZFKUPXMPIHCB-NOLJZWGESA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1ccnc(N2CCN(Cc3[nH]cc[nH+]3)CC2)c1Cl ZINC000342136442 268294160 /nfs/dbraw/zinc/29/41/60/268294160.db2.gz VLTYTCNTQKXAJT-UHFFFAOYSA-N 1 2 302.769 1.652 20 30 DDEDLO CCCCOC(=O)[C@H](C)[NH+]1CCC(n2cnc(C#N)n2)CC1 ZINC000369731468 269646222 /nfs/dbraw/zinc/64/62/22/269646222.db2.gz VEDOWXAFZOHJBA-LBPRGKRZSA-N 1 2 305.382 1.518 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(C(=O)N[C@@H](C2CC2)C(F)(F)F)CC1 ZINC000332174870 282024812 /nfs/dbraw/zinc/02/48/12/282024812.db2.gz NLLWJGAUZFNEDT-NSHDSACASA-N 1 2 318.343 1.957 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1N(CCC#N)Cc1ccco1 ZINC000377016490 297265657 /nfs/dbraw/zinc/26/56/57/297265657.db2.gz AWWXBJXDNJTCDR-HZPDHXFCSA-N 1 2 318.421 1.705 20 30 DDEDLO N#Cc1ncn(C2CCN(c3cc[nH+]c4ccncc43)CC2)n1 ZINC000366875593 300763819 /nfs/dbraw/zinc/76/38/19/300763819.db2.gz WVFSTHHFDOOFCY-UHFFFAOYSA-N 1 2 305.345 1.934 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](S(=O)(=O)NC3CC3)C2)cc1F ZINC000365276406 307063349 /nfs/dbraw/zinc/06/33/49/307063349.db2.gz RGWCPERAWZNWLJ-CQSZACIVSA-N 1 2 323.393 1.353 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](S(=O)(=O)NC3CC3)C2)cc1F ZINC000365276406 307063350 /nfs/dbraw/zinc/06/33/50/307063350.db2.gz RGWCPERAWZNWLJ-CQSZACIVSA-N 1 2 323.393 1.353 20 30 DDEDLO Cc1cccc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1C#N ZINC000548295227 307762869 /nfs/dbraw/zinc/76/28/69/307762869.db2.gz ZNWQIORTPJRLNX-GFCCVEGCSA-N 1 2 316.386 1.357 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H]2CC(=O)N(C)[C@H]2c2[nH+]ccn2C)n1 ZINC000567068368 308070644 /nfs/dbraw/zinc/07/06/44/308070644.db2.gz STNVIJDUPJNCHI-DZGCQCFKSA-N 1 2 324.388 1.627 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc2c(c1)OCCCO2 ZINC000584088809 331682523 /nfs/dbraw/zinc/68/25/23/331682523.db2.gz GGYSICROIJAWQO-MRXNPFEDSA-N 1 2 303.362 1.422 20 30 DDEDLO C#CCN(Cc1c[nH+]c2ccc(C)cn12)[C@@H]1CCS(=O)(=O)C1 ZINC000092194922 332115853 /nfs/dbraw/zinc/11/58/53/332115853.db2.gz ULJXDFCSVMOOQA-CQSZACIVSA-N 1 2 317.414 1.265 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H]1CCCN(CC(F)(F)F)C1 ZINC000574379952 332354553 /nfs/dbraw/zinc/35/45/53/332354553.db2.gz BSAWPLSARIQJPY-YPMHNXCESA-N 1 2 320.359 1.221 20 30 DDEDLO Cc1nn(C)c2cc(NC[C@@H](O)C[N@H+](C)CCC#N)ccc12 ZINC000563010668 333048732 /nfs/dbraw/zinc/04/87/32/333048732.db2.gz TYDCHSHDQIFJJY-CQSZACIVSA-N 1 2 301.394 1.500 20 30 DDEDLO Cc1nn(C)c2cc(NC[C@@H](O)C[N@@H+](C)CCC#N)ccc12 ZINC000563010668 333048733 /nfs/dbraw/zinc/04/87/33/333048733.db2.gz TYDCHSHDQIFJJY-CQSZACIVSA-N 1 2 301.394 1.500 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCO[C@]2(CCSC2)C1 ZINC000563885523 335123956 /nfs/dbraw/zinc/12/39/56/335123956.db2.gz QHOXNPATHCVRNF-SWLSCSKDSA-N 1 2 309.435 1.145 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCO[C@]2(CCSC2)C1 ZINC000563885523 335123957 /nfs/dbraw/zinc/12/39/57/335123957.db2.gz QHOXNPATHCVRNF-SWLSCSKDSA-N 1 2 309.435 1.145 20 30 DDEDLO COc1cccc(CCC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1F ZINC000572291462 337606344 /nfs/dbraw/zinc/60/63/44/337606344.db2.gz OHDZNAIVRXCLSU-INIZCTEOSA-N 1 2 307.369 1.727 20 30 DDEDLO C=CCC[NH+]1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000132488259 341124360 /nfs/dbraw/zinc/12/43/60/341124360.db2.gz VEWFOLVBKQRIIW-UHFFFAOYSA-N 1 2 315.483 1.689 20 30 DDEDLO Cn1cc[nH+]c1[C@H](CC(=O)N1CCOC[C@H]1C#N)C(F)(F)F ZINC000553158056 341418088 /nfs/dbraw/zinc/41/80/88/341418088.db2.gz JZNHQKOEQDPKOJ-ZJUUUORDSA-N 1 2 316.283 1.207 20 30 DDEDLO C=CC[N@H+](CC(=O)NCC(=O)c1cccs1)[C@H](C)COC ZINC000661241763 484995002 /nfs/dbraw/zinc/99/50/02/484995002.db2.gz GAXHBEHWPJSGES-GFCCVEGCSA-N 1 2 310.419 1.570 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCC(=O)c1cccs1)[C@H](C)COC ZINC000661241763 484995006 /nfs/dbraw/zinc/99/50/06/484995006.db2.gz GAXHBEHWPJSGES-GFCCVEGCSA-N 1 2 310.419 1.570 20 30 DDEDLO C=CC[N@H+](CC(=O)NCC(=O)c1ccccc1)[C@H](C)COC ZINC000661241598 484995550 /nfs/dbraw/zinc/99/55/50/484995550.db2.gz CFZFJIKIVZYOBW-CQSZACIVSA-N 1 2 304.390 1.508 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCC(=O)c1ccccc1)[C@H](C)COC ZINC000661241598 484995555 /nfs/dbraw/zinc/99/55/55/484995555.db2.gz CFZFJIKIVZYOBW-CQSZACIVSA-N 1 2 304.390 1.508 20 30 DDEDLO C=CC[N@H+](Cc1nc2ccccc2c(=O)n1C)[C@@H](C)COC ZINC000661244577 484997154 /nfs/dbraw/zinc/99/71/54/484997154.db2.gz WESSIWKVIXXXNW-ZDUSSCGKSA-N 1 2 301.390 1.956 20 30 DDEDLO C=CC[N@@H+](Cc1nc2ccccc2c(=O)n1C)[C@@H](C)COC ZINC000661244577 484997162 /nfs/dbraw/zinc/99/71/62/484997162.db2.gz WESSIWKVIXXXNW-ZDUSSCGKSA-N 1 2 301.390 1.956 20 30 DDEDLO C=C[C@@H](O)C(=O)N[C@H]1CCC[N@H+](Cc2c(F)cccc2F)C1 ZINC000668571162 485220123 /nfs/dbraw/zinc/22/01/23/485220123.db2.gz CHEQHZIGSKXKOM-XHDPSFHLSA-N 1 2 310.344 1.592 20 30 DDEDLO C=C[C@@H](O)C(=O)N[C@H]1CCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC000668571162 485220129 /nfs/dbraw/zinc/22/01/29/485220129.db2.gz CHEQHZIGSKXKOM-XHDPSFHLSA-N 1 2 310.344 1.592 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000667001900 485393133 /nfs/dbraw/zinc/39/31/33/485393133.db2.gz YNPBSMTYSHMGHE-OAHLLOKOSA-N 1 2 314.389 1.730 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)c2ccc(C)c(OC)c2)CC1 ZINC000677048297 486385748 /nfs/dbraw/zinc/38/57/48/486385748.db2.gz URWCFUYBGDDLBA-MRXNPFEDSA-N 1 2 318.417 1.698 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](C(F)(F)F)[C@H](C(F)(F)F)C1 ZINC000076180934 490590648 /nfs/dbraw/zinc/59/06/48/490590648.db2.gz GAMOGSHGEUNBRG-OCAPTIKFSA-N 1 2 304.234 1.961 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](C(F)(F)F)[C@H](C(F)(F)F)C1 ZINC000076180934 490590653 /nfs/dbraw/zinc/59/06/53/490590653.db2.gz GAMOGSHGEUNBRG-OCAPTIKFSA-N 1 2 304.234 1.961 20 30 DDEDLO COC(=O)c1cc(C[N@H+](C)CC(=O)NC2(C#N)CCC2)oc1C ZINC000346825619 533776551 /nfs/dbraw/zinc/77/65/51/533776551.db2.gz NBYYDFUNLBILCJ-UHFFFAOYSA-N 1 2 319.361 1.369 20 30 DDEDLO COC(=O)c1cc(C[N@@H+](C)CC(=O)NC2(C#N)CCC2)oc1C ZINC000346825619 533776562 /nfs/dbraw/zinc/77/65/62/533776562.db2.gz NBYYDFUNLBILCJ-UHFFFAOYSA-N 1 2 319.361 1.369 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)CC(=O)Nc1ccc(C#N)cc1 ZINC000434711786 533856584 /nfs/dbraw/zinc/85/65/84/533856584.db2.gz RQOFLFFZQGKRMF-AWEZNQCLSA-N 1 2 316.405 1.149 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCC1(C#N)CCC1 ZINC000333617402 534098204 /nfs/dbraw/zinc/09/82/04/534098204.db2.gz YZBRGCYVGSTCOW-UONOGXRCSA-N 1 2 306.410 1.185 20 30 DDEDLO Cn1nncc1CNC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000329705417 534359720 /nfs/dbraw/zinc/35/97/20/534359720.db2.gz KFIZSCPVOHSLCQ-UHFFFAOYSA-N 1 2 320.422 1.108 20 30 DDEDLO Cc1noc([C@H]2CC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000332390325 534482435 /nfs/dbraw/zinc/48/24/35/534482435.db2.gz RCWYMMADBXUYQW-WFASDCNBSA-N 1 2 305.382 1.222 20 30 DDEDLO Cc1noc([C@H]2CC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000332390325 534482441 /nfs/dbraw/zinc/48/24/41/534482441.db2.gz RCWYMMADBXUYQW-WFASDCNBSA-N 1 2 305.382 1.222 20 30 DDEDLO NC(=O)c1n[nH]c2ccc(NC(=O)[C@@H]3CCC[N@@H+]3C3CC3)cc21 ZINC000330219734 534525841 /nfs/dbraw/zinc/52/58/41/534525841.db2.gz KJSCRQMAHMJGAM-ZDUSSCGKSA-N 1 2 313.361 1.877 20 30 DDEDLO NC(=O)c1n[nH]c2ccc(NC(=O)[C@@H]3CCC[N@H+]3C3CC3)cc21 ZINC000330219734 534525845 /nfs/dbraw/zinc/52/58/45/534525845.db2.gz KJSCRQMAHMJGAM-ZDUSSCGKSA-N 1 2 313.361 1.877 20 30 DDEDLO CC[C@H]1C(=O)NCC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000156396925 522989565 /nfs/dbraw/zinc/98/95/65/522989565.db2.gz QANDIWVFTBWHRG-NSHDSACASA-N 1 2 306.391 1.159 20 30 DDEDLO CC[C@H]1C(=O)NCC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000156396925 522989569 /nfs/dbraw/zinc/98/95/69/522989569.db2.gz QANDIWVFTBWHRG-NSHDSACASA-N 1 2 306.391 1.159 20 30 DDEDLO C=CC[N@@H+](CCN1CCCS1(=O)=O)Cc1ccccc1F ZINC000446758393 526275112 /nfs/dbraw/zinc/27/51/12/526275112.db2.gz ILTNZWKRUYXDOI-UHFFFAOYSA-N 1 2 312.410 1.849 20 30 DDEDLO C=CC[N@H+](CCN1CCCS1(=O)=O)Cc1ccccc1F ZINC000446758393 526275119 /nfs/dbraw/zinc/27/51/19/526275119.db2.gz ILTNZWKRUYXDOI-UHFFFAOYSA-N 1 2 312.410 1.849 20 30 DDEDLO CC#CC[N@@H+](C)Cc1nc2oc(C)c(C(=O)OCC)c2c(N)n1 ZINC000339751854 526360583 /nfs/dbraw/zinc/36/05/83/526360583.db2.gz BHRPLCBJPCZWSW-UHFFFAOYSA-N 1 2 316.361 1.745 20 30 DDEDLO CC#CC[N@H+](C)Cc1nc2oc(C)c(C(=O)OCC)c2c(N)n1 ZINC000339751854 526360588 /nfs/dbraw/zinc/36/05/88/526360588.db2.gz BHRPLCBJPCZWSW-UHFFFAOYSA-N 1 2 316.361 1.745 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH2+][C@@H](c1nc(C)no1)C1CCOCC1 ZINC000431639978 526627421 /nfs/dbraw/zinc/62/74/21/526627421.db2.gz NWVNESIRAYCNIO-ZWNOBZJWSA-N 1 2 308.382 1.126 20 30 DDEDLO C=CCNC(=O)c1ccc(NC(=O)NCc2[nH+]ccn2C)cc1 ZINC000344093462 526648759 /nfs/dbraw/zinc/64/87/59/526648759.db2.gz QVCFJENSLACZRV-UHFFFAOYSA-N 1 2 313.361 1.658 20 30 DDEDLO C#CCN(C(=O)NCC1([NH+]2CCOCC2)CC1)C1CCCC1 ZINC000491622719 526767700 /nfs/dbraw/zinc/76/77/00/526767700.db2.gz GUWSOBXAIKPVPG-UHFFFAOYSA-N 1 2 305.422 1.439 20 30 DDEDLO C#CCN(C(=O)NCc1[nH+]ccn1CC(F)(F)F)C1CC1 ZINC000491668353 526770704 /nfs/dbraw/zinc/77/07/04/526770704.db2.gz WAGKZPUOLYGWSP-UHFFFAOYSA-N 1 2 300.284 1.753 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CCN(Cc2[nH+]ccn2C)CC1)OCC ZINC000339354987 526808313 /nfs/dbraw/zinc/80/83/13/526808313.db2.gz KWJJDFYLGTXOEI-HNNXBMFYSA-N 1 2 320.437 1.436 20 30 DDEDLO C#CC[N@@H+](CC)[C@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000491657187 526898701 /nfs/dbraw/zinc/89/87/01/526898701.db2.gz UPWJBGZPFIOAGK-LLVKDONJSA-N 1 2 305.334 1.886 20 30 DDEDLO C#CC[N@H+](CC)[C@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000491657187 526898702 /nfs/dbraw/zinc/89/87/02/526898702.db2.gz UPWJBGZPFIOAGK-LLVKDONJSA-N 1 2 305.334 1.886 20 30 DDEDLO C#CC[N@H+](Cc1ccc2c(c1)CCC2)[C@@H]1CCS(=O)(=O)C1 ZINC000490865776 526907826 /nfs/dbraw/zinc/90/78/26/526907826.db2.gz HIDIOXMDHSLIGB-QGZVFWFLSA-N 1 2 303.427 1.798 20 30 DDEDLO C#CC[N@@H+](Cc1ccc2c(c1)CCC2)[C@@H]1CCS(=O)(=O)C1 ZINC000490865776 526907829 /nfs/dbraw/zinc/90/78/29/526907829.db2.gz HIDIOXMDHSLIGB-QGZVFWFLSA-N 1 2 303.427 1.798 20 30 DDEDLO CC(C)(C)n1cc(C(=O)NC(C)(C)C[NH+]2CCOCC2)nn1 ZINC000331331113 526909965 /nfs/dbraw/zinc/90/99/65/526909965.db2.gz HMIUFDYWZXNZFH-UHFFFAOYSA-N 1 2 309.414 1.449 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000491071438 526939540 /nfs/dbraw/zinc/93/95/40/526939540.db2.gz KRAWDHHBRXJINF-OAHLLOKOSA-N 1 2 310.357 1.726 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000491071438 526939544 /nfs/dbraw/zinc/93/95/44/526939544.db2.gz KRAWDHHBRXJINF-OAHLLOKOSA-N 1 2 310.357 1.726 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ncccc3C)n2CC=C)CC1 ZINC000491705200 526955586 /nfs/dbraw/zinc/95/55/86/526955586.db2.gz KHTHQTIQSLJSOH-UHFFFAOYSA-N 1 2 322.416 1.590 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCOC3)n2CC(=C)C)CC1 ZINC000491069158 526957614 /nfs/dbraw/zinc/95/76/14/526957614.db2.gz UAEZAZIIQFHUTP-HNNXBMFYSA-N 1 2 315.421 1.113 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1CCO[C@H](CC)C1 ZINC000347648061 526961585 /nfs/dbraw/zinc/96/15/85/526961585.db2.gz GCBSSRVPAAZSGO-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1CCO[C@H](CC)C1 ZINC000347648061 526961591 /nfs/dbraw/zinc/96/15/91/526961591.db2.gz GCBSSRVPAAZSGO-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](CC(=O)OCC)[C@@H](C)c1ccccc1 ZINC000491118414 526974624 /nfs/dbraw/zinc/97/46/24/526974624.db2.gz DKFBZSOKDHQXQT-HNNXBMFYSA-N 1 2 316.401 1.752 20 30 DDEDLO C#CCNC(=O)CC[N@H+](CC(=O)OCC)[C@@H](C)c1ccccc1 ZINC000491118414 526974628 /nfs/dbraw/zinc/97/46/28/526974628.db2.gz DKFBZSOKDHQXQT-HNNXBMFYSA-N 1 2 316.401 1.752 20 30 DDEDLO C=CCCN(C)C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000342380417 527311479 /nfs/dbraw/zinc/31/14/79/527311479.db2.gz DOYXBBLGTUHBNH-OAHLLOKOSA-N 1 2 313.467 1.412 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)N(CC)CC(=O)NCc1cccs1 ZINC000491251548 527331037 /nfs/dbraw/zinc/33/10/37/527331037.db2.gz AJDLXDGJGNNAHE-ZDUSSCGKSA-N 1 2 321.446 1.166 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)N(CC)CC(=O)NCc1cccs1 ZINC000491251548 527331042 /nfs/dbraw/zinc/33/10/42/527331042.db2.gz AJDLXDGJGNNAHE-ZDUSSCGKSA-N 1 2 321.446 1.166 20 30 DDEDLO C#C[C@H](CC)NC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000491617160 527346896 /nfs/dbraw/zinc/34/68/96/527346896.db2.gz BTTLQIIQNZWTNA-CYBMUJFWSA-N 1 2 310.357 1.554 20 30 DDEDLO C#C[C@H](CCC)NC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000491806768 527370425 /nfs/dbraw/zinc/37/04/25/527370425.db2.gz RQOSCMVIYPFGQW-OAHLLOKOSA-N 1 2 316.405 1.519 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1Cc1ccc(OCCOCCOC)cc1 ZINC000491338819 527429802 /nfs/dbraw/zinc/42/98/02/527429802.db2.gz LQRWXBJENMTXKD-KRWDZBQOSA-N 1 2 319.401 1.562 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1Cc1ccc(OCCOCCOC)cc1 ZINC000491338819 527429808 /nfs/dbraw/zinc/42/98/08/527429808.db2.gz LQRWXBJENMTXKD-KRWDZBQOSA-N 1 2 319.401 1.562 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000333907499 527468963 /nfs/dbraw/zinc/46/89/63/527468963.db2.gz VSJBIGRUHHGGFK-XHSDSOJGSA-N 1 2 323.437 1.226 20 30 DDEDLO CC(C)[C@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000330732428 527855116 /nfs/dbraw/zinc/85/51/16/527855116.db2.gz JMBIQIKMOMZQSE-MRXNPFEDSA-N 1 2 301.390 1.531 20 30 DDEDLO CC(C)[C@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000330732428 527855118 /nfs/dbraw/zinc/85/51/18/527855118.db2.gz JMBIQIKMOMZQSE-MRXNPFEDSA-N 1 2 301.390 1.531 20 30 DDEDLO CC(C)[C@@H]1CN(C([O-])=[NH+]C[C@H]2CCc3[nH+]ccn3C2)CCO1 ZINC000329915967 528710462 /nfs/dbraw/zinc/71/04/62/528710462.db2.gz YPVDCQZBWNIBBW-KGLIPLIRSA-N 1 2 306.410 1.716 20 30 DDEDLO CCCc1nc(C)c(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)o1 ZINC000330273039 528717296 /nfs/dbraw/zinc/71/72/96/528717296.db2.gz YOPCQAGYUNZJQY-OCCSQVGLSA-N 1 2 322.409 1.364 20 30 DDEDLO CCCc1nc(C)c(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)o1 ZINC000330273039 528717299 /nfs/dbraw/zinc/71/72/99/528717299.db2.gz YOPCQAGYUNZJQY-OCCSQVGLSA-N 1 2 322.409 1.364 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[NH2+][C@@H](C)c2ccon2)CC1 ZINC000349240965 528899653 /nfs/dbraw/zinc/89/96/53/528899653.db2.gz MYGNRMGVUWDFAG-ZFWWWQNUSA-N 1 2 319.409 1.018 20 30 DDEDLO CCN1CCN(C(=O)NCc2nccs2)C[C@H]1c1[nH]cc[nH+]1 ZINC000329984769 529107716 /nfs/dbraw/zinc/10/77/16/529107716.db2.gz CPADBCBDRZHIAZ-NSHDSACASA-N 1 2 320.422 1.659 20 30 DDEDLO CCN1CC[NH+](C[C@@H](C)CNC(=O)C2CCC(=O)CC2)CC1 ZINC000329795231 529146384 /nfs/dbraw/zinc/14/63/84/529146384.db2.gz CPYLBNWPKOVOTK-AWEZNQCLSA-N 1 2 309.454 1.976 20 30 DDEDLO C#CC[N@@H+](C)[C@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC000971663419 695055338 /nfs/dbraw/zinc/05/53/38/695055338.db2.gz PIFMOVUWVGAQDO-VIFPVBQESA-N 1 2 300.284 1.208 20 30 DDEDLO C#CC[N@H+](C)[C@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC000971663419 695055341 /nfs/dbraw/zinc/05/53/41/695055341.db2.gz PIFMOVUWVGAQDO-VIFPVBQESA-N 1 2 300.284 1.208 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3CCCC3)C2)C1 ZINC000972239780 695185938 /nfs/dbraw/zinc/18/59/38/695185938.db2.gz PDEBOAKCLYXCLF-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3CCCC3)C2)C1 ZINC000972239780 695185940 /nfs/dbraw/zinc/18/59/40/695185940.db2.gz PDEBOAKCLYXCLF-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)noc3C)C2)C1 ZINC000972249107 695189368 /nfs/dbraw/zinc/18/93/68/695189368.db2.gz NACQXNUCTUHXDP-INIZCTEOSA-N 1 2 305.378 1.394 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)noc3C)C2)C1 ZINC000972249107 695189370 /nfs/dbraw/zinc/18/93/70/695189370.db2.gz NACQXNUCTUHXDP-INIZCTEOSA-N 1 2 305.378 1.394 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccoc3C)C2)C1 ZINC000972249449 695189672 /nfs/dbraw/zinc/18/96/72/695189672.db2.gz OTUIMJVRMNUGBD-KRWDZBQOSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccoc3C)C2)C1 ZINC000972249449 695189675 /nfs/dbraw/zinc/18/96/75/695189675.db2.gz OTUIMJVRMNUGBD-KRWDZBQOSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccoc3)C2)C1 ZINC000972351618 695223887 /nfs/dbraw/zinc/22/38/87/695223887.db2.gz HCBXOQOKQILQLJ-KRWDZBQOSA-N 1 2 302.374 1.149 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccoc3)C2)C1 ZINC000972351618 695223889 /nfs/dbraw/zinc/22/38/89/695223889.db2.gz HCBXOQOKQILQLJ-KRWDZBQOSA-N 1 2 302.374 1.149 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cnccc3C)C2)C1 ZINC000972417152 695243954 /nfs/dbraw/zinc/24/39/54/695243954.db2.gz QQMTUCBNMXXFNW-GOSISDBHSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cnccc3C)C2)C1 ZINC000972417152 695243956 /nfs/dbraw/zinc/24/39/56/695243956.db2.gz QQMTUCBNMXXFNW-GOSISDBHSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3CC(C)(C)CO3)C2)C1 ZINC000972463927 695256993 /nfs/dbraw/zinc/25/69/93/695256993.db2.gz ZFZQIQJOPZYOCT-MAUKXSAKSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3CC(C)(C)CO3)C2)C1 ZINC000972463927 695256995 /nfs/dbraw/zinc/25/69/95/695256995.db2.gz ZFZQIQJOPZYOCT-MAUKXSAKSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C3CC3)C3CC3)C2)C1 ZINC000972635202 695305426 /nfs/dbraw/zinc/30/54/26/695305426.db2.gz PPWMAADRQMYGBN-SFHVURJKSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C3CC3)C3CC3)C2)C1 ZINC000972635202 695305430 /nfs/dbraw/zinc/30/54/30/695305430.db2.gz PPWMAADRQMYGBN-SFHVURJKSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3c3ccccc3)C2)C1 ZINC000972643951 695308115 /nfs/dbraw/zinc/30/81/15/695308115.db2.gz UNPKTYWKZIIMEE-NSHGMRRFSA-N 1 2 324.424 1.727 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3c3ccccc3)C2)C1 ZINC000972643951 695308116 /nfs/dbraw/zinc/30/81/16/695308116.db2.gz UNPKTYWKZIIMEE-NSHGMRRFSA-N 1 2 324.424 1.727 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3nccs3)C2)C1 ZINC000972675061 695315277 /nfs/dbraw/zinc/31/52/77/695315277.db2.gz UDQZSTPNBPAIFM-INIZCTEOSA-N 1 2 321.446 1.565 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3nccs3)C2)C1 ZINC000972675061 695315278 /nfs/dbraw/zinc/31/52/78/695315278.db2.gz UDQZSTPNBPAIFM-INIZCTEOSA-N 1 2 321.446 1.565 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C[C@H]2CCNC2=O)C(C)(C)C1 ZINC000974662871 695706682 /nfs/dbraw/zinc/70/66/82/695706682.db2.gz BBZWWZZMFOGWIY-VXGBXAGGSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C[C@H]2CCNC2=O)C(C)(C)C1 ZINC000974662871 695706683 /nfs/dbraw/zinc/70/66/83/695706683.db2.gz BBZWWZZMFOGWIY-VXGBXAGGSA-N 1 2 313.829 1.092 20 30 DDEDLO CC#CCN1C[C@@H](NC(=O)c2ccn3c[nH+]cc3c2)C(C)(C)C1 ZINC000974779878 695732763 /nfs/dbraw/zinc/73/27/63/695732763.db2.gz RCQXCAJHFONDMM-MRXNPFEDSA-N 1 2 310.401 1.798 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2c[nH+]ccc2N(C)C)C(C)(C)C1 ZINC000977502262 696191491 /nfs/dbraw/zinc/19/14/91/696191491.db2.gz UFJMVVIIUJUWSZ-INIZCTEOSA-N 1 2 314.433 1.611 20 30 DDEDLO NC(Cc1ccccc1)=[NH+]OCC(=O)NCc1ccc(F)cc1 ZINC000047255619 696195851 /nfs/dbraw/zinc/19/58/51/696195851.db2.gz KNGOWNHVHXSCSE-UHFFFAOYSA-N 1 2 315.348 1.973 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(Cl)c(O)c(OC)c2)CC1 ZINC000135032572 696843934 /nfs/dbraw/zinc/84/39/34/696843934.db2.gz SNJUIJBMNWCENQ-UHFFFAOYSA-N 1 2 322.792 1.835 20 30 DDEDLO CCc1nnc(C[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC000981672721 696869308 /nfs/dbraw/zinc/86/93/08/696869308.db2.gz YKLFICHZEPRVIK-LBPRGKRZSA-N 1 2 317.393 1.216 20 30 DDEDLO CCc1nnc(C[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC000981672721 696869312 /nfs/dbraw/zinc/86/93/12/696869312.db2.gz YKLFICHZEPRVIK-LBPRGKRZSA-N 1 2 317.393 1.216 20 30 DDEDLO CCCN(CCC)C(=O)CO[NH+]=C(N)c1ccc(OC)cc1 ZINC000151167899 696881253 /nfs/dbraw/zinc/88/12/53/696881253.db2.gz VIFKFHNPGVZHQC-UHFFFAOYSA-N 1 2 307.394 1.981 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000980848166 696916392 /nfs/dbraw/zinc/91/63/92/696916392.db2.gz QUXAEFOOEDAYGB-HUUCEWRRSA-N 1 2 320.437 1.081 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000980848166 696916395 /nfs/dbraw/zinc/91/63/95/696916395.db2.gz QUXAEFOOEDAYGB-HUUCEWRRSA-N 1 2 320.437 1.081 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@]2(C)C[N@H+](Cc3cscn3)C[C@]2(C)C1 ZINC000982233022 697068287 /nfs/dbraw/zinc/06/82/87/697068287.db2.gz HULFPHUMBYPMNE-VBNZEHGJSA-N 1 2 318.446 1.973 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@]2(C)C[N@@H+](Cc3cscn3)C[C@]2(C)C1 ZINC000982233022 697068288 /nfs/dbraw/zinc/06/82/88/697068288.db2.gz HULFPHUMBYPMNE-VBNZEHGJSA-N 1 2 318.446 1.973 20 30 DDEDLO COCCCCON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181843846 697465922 /nfs/dbraw/zinc/46/59/22/697465922.db2.gz OSJNQTFTDMEBJQ-INIZCTEOSA-N 1 2 321.421 1.603 20 30 DDEDLO COCCCCON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181843846 697465924 /nfs/dbraw/zinc/46/59/24/697465924.db2.gz OSJNQTFTDMEBJQ-INIZCTEOSA-N 1 2 321.421 1.603 20 30 DDEDLO C[C@@H]1[C@@H]([NH2+]Cc2csnn2)CCN1C(=O)c1ccc(C#N)[nH]1 ZINC000986180494 697722411 /nfs/dbraw/zinc/72/24/11/697722411.db2.gz CLMKYFMPLMFQTM-SKDRFNHKSA-N 1 2 316.390 1.131 20 30 DDEDLO C#CC[NH2+][C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)[C@H]1C ZINC000986652252 697866869 /nfs/dbraw/zinc/86/68/69/697866869.db2.gz TVVSQQJHVGCWDT-WFASDCNBSA-N 1 2 309.373 1.298 20 30 DDEDLO C#CC[NH2+][C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)[C@@H]1C ZINC000986652245 697866937 /nfs/dbraw/zinc/86/69/37/697866937.db2.gz TVVSQQJHVGCWDT-DOMZBBRYSA-N 1 2 309.373 1.298 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1csc(-c2cnn(C)c2)n1)[C@H]1CCCO1 ZINC000775786681 698033302 /nfs/dbraw/zinc/03/33/02/698033302.db2.gz ZVBATPKMFNAUCU-ZIAGYGMSSA-N 1 2 302.403 1.814 20 30 DDEDLO Cn1ccc(C[NH2+][C@H]2CN(C(=O)OC(C)(C)C)C[C@@H]2C#N)c1 ZINC000779401721 698420416 /nfs/dbraw/zinc/42/04/16/698420416.db2.gz CIFKMSIQZQVBKC-KBPBESRZSA-N 1 2 304.394 1.874 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2C[C@H](C)N(C(=O)C#CC3CC3)C2)n1 ZINC000988809893 698473164 /nfs/dbraw/zinc/47/31/64/698473164.db2.gz FSLOIVGGBUJOSU-WCQYABFASA-N 1 2 302.378 1.124 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000779888071 698477565 /nfs/dbraw/zinc/47/75/65/698477565.db2.gz QXJLDNOVZDEOTH-KRWDZBQOSA-N 1 2 324.490 1.894 20 30 DDEDLO CC(C)(C)OC(=O)C[C@](C)(C#N)C(=O)OCCn1cc[nH+]c1 ZINC000780181167 698494702 /nfs/dbraw/zinc/49/47/02/698494702.db2.gz XCGTWOCAGXSHOZ-OAHLLOKOSA-N 1 2 307.350 1.688 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)CN1C[C@@H](C(=O)OC)CC1=O ZINC000782277022 698703003 /nfs/dbraw/zinc/70/30/03/698703003.db2.gz OUNMNXLPCNKPQJ-ZDUSSCGKSA-N 1 2 306.362 1.289 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)CN1C[C@@H](C(=O)OC)CC1=O ZINC000782277022 698703006 /nfs/dbraw/zinc/70/30/06/698703006.db2.gz OUNMNXLPCNKPQJ-ZDUSSCGKSA-N 1 2 306.362 1.289 20 30 DDEDLO C[C@@H](C#N)CN(CN1C[C@H](c2c[nH+]cn2C)CC1=O)C1CC1 ZINC000783993414 698900648 /nfs/dbraw/zinc/90/06/48/698900648.db2.gz WTDLZPGDYQDKKP-QWHCGFSZSA-N 1 2 301.394 1.318 20 30 DDEDLO C#CCOCCNC(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000784176916 698914103 /nfs/dbraw/zinc/91/41/03/698914103.db2.gz JLEDJDLXHYNMFF-UHFFFAOYSA-N 1 2 312.373 1.380 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)CN1C[C@@H](OC)CC1=O ZINC000787212062 699197388 /nfs/dbraw/zinc/19/73/88/699197388.db2.gz UIVYEJUSKJPPMA-INIZCTEOSA-N 1 2 317.389 1.211 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)CN1C[C@@H](OC)CC1=O ZINC000787212062 699197389 /nfs/dbraw/zinc/19/73/89/699197389.db2.gz UIVYEJUSKJPPMA-INIZCTEOSA-N 1 2 317.389 1.211 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@@H]3CCc4c[nH+]cn4C3)CCC[C@@H]12 ZINC000991475699 699333118 /nfs/dbraw/zinc/33/31/18/699333118.db2.gz TUBDKNGJGBUCAG-FRFSOERESA-N 1 2 313.405 1.082 20 30 DDEDLO C=CCNC(=S)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000727980084 699434477 /nfs/dbraw/zinc/43/44/77/699434477.db2.gz FBAOZHXKDGOMBH-UHFFFAOYSA-N 1 2 305.447 1.873 20 30 DDEDLO Cn1cc(N2CC[C@@H](O[NH+]=C(N)c3ccc(F)cc3)C2=O)cn1 ZINC000733304294 699587968 /nfs/dbraw/zinc/58/79/68/699587968.db2.gz JRLMMFPBENHMOD-CYBMUJFWSA-N 1 2 317.324 1.002 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(CNC(=O)OC)cc1 ZINC000735278256 699688354 /nfs/dbraw/zinc/68/83/54/699688354.db2.gz TVCWJDNCCLTSRP-HNNXBMFYSA-N 1 2 315.373 1.579 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(CNC(=O)OC)cc1 ZINC000735278256 699688355 /nfs/dbraw/zinc/68/83/55/699688355.db2.gz TVCWJDNCCLTSRP-HNNXBMFYSA-N 1 2 315.373 1.579 20 30 DDEDLO N#CCOc1ccc(Cl)cc1C[N@H+]1CCCN(C(N)=O)CC1 ZINC000735363548 699692743 /nfs/dbraw/zinc/69/27/43/699692743.db2.gz CGRDGTCKTMCVQW-UHFFFAOYSA-N 1 2 322.796 1.829 20 30 DDEDLO N#CCOc1ccc(Cl)cc1C[N@@H+]1CCCN(C(N)=O)CC1 ZINC000735363548 699692746 /nfs/dbraw/zinc/69/27/46/699692746.db2.gz CGRDGTCKTMCVQW-UHFFFAOYSA-N 1 2 322.796 1.829 20 30 DDEDLO Cn1c[nH+]cc1CCOC(=O)c1ccn(-c2cccc(C#N)c2)n1 ZINC000793243983 699742523 /nfs/dbraw/zinc/74/25/23/699742523.db2.gz YPLCEIJOTIKQKJ-UHFFFAOYSA-N 1 2 321.340 1.877 20 30 DDEDLO COc1ccc(C(=O)N2CC[NH+](CCO[C@H](C)C#N)CC2)cc1 ZINC000801909672 700351452 /nfs/dbraw/zinc/35/14/52/700351452.db2.gz FBYMJZZOAQXTCR-CQSZACIVSA-N 1 2 317.389 1.382 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCCO[C@H](C)C#N)cc1OC ZINC000802730406 700420078 /nfs/dbraw/zinc/42/00/78/700420078.db2.gz WOFJXIFUSBOBML-LLVKDONJSA-N 1 2 307.350 1.673 20 30 DDEDLO C[N@H+](CC(=O)[C@H](C#N)C(=O)NC1CCCCC1)[C@@H]1CCSC1 ZINC000753949778 700470583 /nfs/dbraw/zinc/47/05/83/700470583.db2.gz MSLMYHJXOLGAMU-KGLIPLIRSA-N 1 2 323.462 1.581 20 30 DDEDLO C[N@@H+](CC(=O)[C@H](C#N)C(=O)NC1CCCCC1)[C@@H]1CCSC1 ZINC000753949778 700470584 /nfs/dbraw/zinc/47/05/84/700470584.db2.gz MSLMYHJXOLGAMU-KGLIPLIRSA-N 1 2 323.462 1.581 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CC[C@@H](C3CCOCC3)C2)c1=S ZINC000754781105 700526754 /nfs/dbraw/zinc/52/67/54/700526754.db2.gz BKMRVDLRWXIZLJ-CYBMUJFWSA-N 1 2 309.439 1.701 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CC[C@@H](C3CCOCC3)C2)c1=S ZINC000754781105 700526755 /nfs/dbraw/zinc/52/67/55/700526755.db2.gz BKMRVDLRWXIZLJ-CYBMUJFWSA-N 1 2 309.439 1.701 20 30 DDEDLO CN(C)c1nc(C=[NH+]NCC(N)=O)c(Cl)n1-c1ccccc1 ZINC000758898194 700728174 /nfs/dbraw/zinc/72/81/74/700728174.db2.gz KBTKHFQCKBLRSF-UHFFFAOYSA-N 1 2 320.784 1.001 20 30 DDEDLO COC(=O)c1cc(C#N)c(=O)n(Cc2cc[nH+]c(N(C)C)c2)c1 ZINC000762468527 700893230 /nfs/dbraw/zinc/89/32/30/700893230.db2.gz RQQZCQGHRKGPIT-UHFFFAOYSA-N 1 2 312.329 1.016 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCCCOc1cccc(C)c1)C1CC1 ZINC000763950558 700954676 /nfs/dbraw/zinc/95/46/76/700954676.db2.gz XFISUVRZUHANRF-UHFFFAOYSA-N 1 2 300.402 1.978 20 30 DDEDLO C#CC[N@H+](CC(=O)NCCCOc1cccc(C)c1)C1CC1 ZINC000763950558 700954677 /nfs/dbraw/zinc/95/46/77/700954677.db2.gz XFISUVRZUHANRF-UHFFFAOYSA-N 1 2 300.402 1.978 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CSc2ccccc2OC)CC1 ZINC000763992079 700956704 /nfs/dbraw/zinc/95/67/04/700956704.db2.gz NLOAPGWJYFARLX-UHFFFAOYSA-N 1 2 318.442 1.955 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2coc(C3CCOCC3)n2)CC1 ZINC000767793652 701136634 /nfs/dbraw/zinc/13/66/34/701136634.db2.gz ASLOELQYGYCCMA-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO C=CCOC[C@@H]1NC(=O)N(Cc2[nH+]cc(C)c(OC)c2C)C1=O ZINC000804149039 701167688 /nfs/dbraw/zinc/16/76/88/701167688.db2.gz HJPCARDFQJRMCC-ZDUSSCGKSA-N 1 2 319.361 1.330 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2cccc(OC)c2)CC1 ZINC000772112880 701343689 /nfs/dbraw/zinc/34/36/89/701343689.db2.gz BQSODIHMYLNBAE-UHFFFAOYSA-N 1 2 315.369 1.766 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCCOc2cccc(C#N)c2)C1 ZINC000805477754 701384603 /nfs/dbraw/zinc/38/46/03/701384603.db2.gz NFLYZBIRQXSYNY-OAHLLOKOSA-N 1 2 304.346 1.201 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCCOc2cccc(C#N)c2)C1 ZINC000805477754 701384604 /nfs/dbraw/zinc/38/46/04/701384604.db2.gz NFLYZBIRQXSYNY-OAHLLOKOSA-N 1 2 304.346 1.201 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000806665202 701445164 /nfs/dbraw/zinc/44/51/64/701445164.db2.gz KBZDMSDYXPICME-INIZCTEOSA-N 1 2 315.417 1.552 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000806665202 701445165 /nfs/dbraw/zinc/44/51/65/701445165.db2.gz KBZDMSDYXPICME-INIZCTEOSA-N 1 2 315.417 1.552 20 30 DDEDLO CC(=[NH+]Nc1cnnn1C)c1ccc(N2CCOCC2)c(F)c1 ZINC000814983750 701780637 /nfs/dbraw/zinc/78/06/37/701780637.db2.gz ODNQVVOGJRFZAA-UHFFFAOYSA-N 1 2 318.356 1.627 20 30 DDEDLO C=CCN1CCN(C[N@H+](C)CCc2cccc([N+](=O)[O-])c2)C1=O ZINC000840065181 701948105 /nfs/dbraw/zinc/94/81/05/701948105.db2.gz OGLPEIXFACCMRG-UHFFFAOYSA-N 1 2 318.377 1.950 20 30 DDEDLO C=CCN1CCN(C[N@@H+](C)CCc2cccc([N+](=O)[O-])c2)C1=O ZINC000840065181 701948109 /nfs/dbraw/zinc/94/81/09/701948109.db2.gz OGLPEIXFACCMRG-UHFFFAOYSA-N 1 2 318.377 1.950 20 30 DDEDLO O=C(N[C@@H]1CCCN(O)C1=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000816753747 702179617 /nfs/dbraw/zinc/17/96/17/702179617.db2.gz OKEFMMOEYDZSST-CQSZACIVSA-N 1 2 314.345 1.041 20 30 DDEDLO C#CCO[C@H](C)C(=O)OCc1cccc(C[NH+]2CCOCC2)c1 ZINC000817189163 702304004 /nfs/dbraw/zinc/30/40/04/702304004.db2.gz QTZUASLBQOKHRX-OAHLLOKOSA-N 1 2 317.385 1.600 20 30 DDEDLO Cc1nn(-c2cccc(F)c2)cc1C=NNC1=[NH+]C[C@H](C)N1 ZINC000841634613 702514531 /nfs/dbraw/zinc/51/45/31/702514531.db2.gz VMSJSRVJPRBQCL-JTQLQIEISA-N 1 2 300.341 1.591 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)OCC1CN(c2cccc[nH+]2)C1 ZINC000842882568 702786581 /nfs/dbraw/zinc/78/65/81/702786581.db2.gz CDBGIHJDWOHFMJ-UHFFFAOYSA-N 1 2 308.341 1.950 20 30 DDEDLO COC[C@H](C[N@@H+]1CCO[C@H](C)C1)OC(=O)c1ccc(C#N)cc1 ZINC000842964611 702795321 /nfs/dbraw/zinc/79/53/21/702795321.db2.gz ULVMGCNJFJTQOH-CJNGLKHVSA-N 1 2 318.373 1.451 20 30 DDEDLO COC[C@H](C[N@H+]1CCO[C@H](C)C1)OC(=O)c1ccc(C#N)cc1 ZINC000842964611 702795323 /nfs/dbraw/zinc/79/53/23/702795323.db2.gz ULVMGCNJFJTQOH-CJNGLKHVSA-N 1 2 318.373 1.451 20 30 DDEDLO N#CCOc1ccc(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)cc1 ZINC000844133349 702976109 /nfs/dbraw/zinc/97/61/09/702976109.db2.gz WIZIOLOMOGYFNA-CQSZACIVSA-N 1 2 310.357 1.664 20 30 DDEDLO C[N@H+](C[C@H](O)c1cccc(C#N)c1)CC1(CS(C)(=O)=O)CC1 ZINC000844366856 703020087 /nfs/dbraw/zinc/02/00/87/703020087.db2.gz PALGMOANCXTMSU-HNNXBMFYSA-N 1 2 322.430 1.348 20 30 DDEDLO C[N@@H+](C[C@H](O)c1cccc(C#N)c1)CC1(CS(C)(=O)=O)CC1 ZINC000844366856 703020091 /nfs/dbraw/zinc/02/00/91/703020091.db2.gz PALGMOANCXTMSU-HNNXBMFYSA-N 1 2 322.430 1.348 20 30 DDEDLO C[C@@H](CC(=O)O[C@@H](C(N)=O)c1ccc(C#N)cc1)n1cc[nH+]c1 ZINC000845280703 703138158 /nfs/dbraw/zinc/13/81/58/703138158.db2.gz CSWANCOCTCXSFE-XHDPSFHLSA-N 1 2 312.329 1.476 20 30 DDEDLO C=C[C@H](C)ONC(=O)C[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000846546453 703294735 /nfs/dbraw/zinc/29/47/35/703294735.db2.gz VAGKEFUEJBVCQV-HOCLYGCPSA-N 1 2 304.390 1.900 20 30 DDEDLO C=C[C@H](C)ONC(=O)C[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000846546453 703294738 /nfs/dbraw/zinc/29/47/38/703294738.db2.gz VAGKEFUEJBVCQV-HOCLYGCPSA-N 1 2 304.390 1.900 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CC(=O)N[C@@H](Cc2ccccc2)C(C)=O)C1 ZINC000847032652 703369127 /nfs/dbraw/zinc/36/91/27/703369127.db2.gz YFWXKIKPDGCBPO-AEFFLSMTSA-N 1 2 312.413 1.648 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CC(=O)N[C@@H](Cc2ccccc2)C(C)=O)C1 ZINC000847032652 703369129 /nfs/dbraw/zinc/36/91/29/703369129.db2.gz YFWXKIKPDGCBPO-AEFFLSMTSA-N 1 2 312.413 1.648 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)OCc1cccc2[nH+]ccn21 ZINC000850900368 703763004 /nfs/dbraw/zinc/76/30/04/703763004.db2.gz XVGIACMSLQJHRE-CQSZACIVSA-N 1 2 301.346 1.848 20 30 DDEDLO N#Cc1cccc(NC(=O)C2CC[NH+](Cc3cnon3)CC2)c1 ZINC000851834066 703867036 /nfs/dbraw/zinc/86/70/36/703867036.db2.gz NPVFXYBWSDAYRI-UHFFFAOYSA-N 1 2 311.345 1.792 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000870157817 703937264 /nfs/dbraw/zinc/93/72/64/703937264.db2.gz PBWHQGZFZONUTF-SFHVURJKSA-N 1 2 318.417 1.667 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@@H](CC)c1nnc2n1CCCCC2 ZINC000852627840 704086789 /nfs/dbraw/zinc/08/67/89/704086789.db2.gz IQGRGNSRZQQJIY-STQMWFEESA-N 1 2 303.410 1.183 20 30 DDEDLO Cc1sc2ncn(N=Cc3c[nH+]c(C)n3C)c(=O)c2c1C ZINC000853268239 704227280 /nfs/dbraw/zinc/22/72/80/704227280.db2.gz VPGFFWWMUTUPPX-UHFFFAOYSA-N 1 2 301.375 1.999 20 30 DDEDLO COc1ccc(CNC(=S)NN=Cc2c[nH+]c(C)n2C)cc1 ZINC000853269263 704227305 /nfs/dbraw/zinc/22/73/05/704227305.db2.gz MPYXCADBSVBFMF-UHFFFAOYSA-N 1 2 317.418 1.735 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1CC(=O)N(C[N@@H+]2CCC[C@@H](CC#N)C2)C1 ZINC000853525479 704259755 /nfs/dbraw/zinc/25/97/55/704259755.db2.gz WRULZUZKCVNPSE-KBPBESRZSA-N 1 2 321.421 1.760 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1CC(=O)N(C[N@H+]2CCC[C@@H](CC#N)C2)C1 ZINC000853525479 704259758 /nfs/dbraw/zinc/25/97/58/704259758.db2.gz WRULZUZKCVNPSE-KBPBESRZSA-N 1 2 321.421 1.760 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC000855302666 704478243 /nfs/dbraw/zinc/47/82/43/704478243.db2.gz KMTSRHDGBATZQN-QGZVFWFLSA-N 1 2 309.373 1.821 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC000855302666 704478244 /nfs/dbraw/zinc/47/82/44/704478244.db2.gz KMTSRHDGBATZQN-QGZVFWFLSA-N 1 2 309.373 1.821 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)cc1C#N ZINC000855407158 704482834 /nfs/dbraw/zinc/48/28/34/704482834.db2.gz JGNGLVGUYQRWKC-UONOGXRCSA-N 1 2 301.390 1.706 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)cc1C#N ZINC000855407158 704482835 /nfs/dbraw/zinc/48/28/35/704482835.db2.gz JGNGLVGUYQRWKC-UONOGXRCSA-N 1 2 301.390 1.706 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)cc1 ZINC000855408673 704483247 /nfs/dbraw/zinc/48/32/47/704483247.db2.gz XKWPMGBSUWMGBJ-HZPDHXFCSA-N 1 2 314.429 1.826 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)cc1 ZINC000855408673 704483248 /nfs/dbraw/zinc/48/32/48/704483248.db2.gz XKWPMGBSUWMGBJ-HZPDHXFCSA-N 1 2 314.429 1.826 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nnc(C(C)C)s2)CC1 ZINC000859103943 704797749 /nfs/dbraw/zinc/79/77/49/704797749.db2.gz ITSJGKLBTBHBSN-UHFFFAOYSA-N 1 2 306.435 1.623 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3[nH+]c(C)cn3c2)C1 ZINC000832759463 706977472 /nfs/dbraw/zinc/97/74/72/706977472.db2.gz ARXXZSGKZJQAJK-CQSZACIVSA-N 1 2 324.384 1.843 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ccccc1OCC#N ZINC000874703234 705136333 /nfs/dbraw/zinc/13/63/33/705136333.db2.gz OZHJFRSBGYPEGX-AWEZNQCLSA-N 1 2 317.389 1.430 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1ccccc1OCC#N ZINC000874703234 705136336 /nfs/dbraw/zinc/13/63/36/705136336.db2.gz OZHJFRSBGYPEGX-AWEZNQCLSA-N 1 2 317.389 1.430 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000823541846 705263730 /nfs/dbraw/zinc/26/37/30/705263730.db2.gz SLDOUOOSMQOWQH-NVXWUHKLSA-N 1 2 314.385 1.130 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000823541846 705263732 /nfs/dbraw/zinc/26/37/32/705263732.db2.gz SLDOUOOSMQOWQH-NVXWUHKLSA-N 1 2 314.385 1.130 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000823541844 705263792 /nfs/dbraw/zinc/26/37/92/705263792.db2.gz SLDOUOOSMQOWQH-DOTOQJQBSA-N 1 2 314.385 1.130 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000823541844 705263800 /nfs/dbraw/zinc/26/38/00/705263800.db2.gz SLDOUOOSMQOWQH-DOTOQJQBSA-N 1 2 314.385 1.130 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000875917192 705558509 /nfs/dbraw/zinc/55/85/09/705558509.db2.gz AXMLHLVZAYJKAE-BZUAXINKSA-N 1 2 318.421 1.904 20 30 DDEDLO C[C@]1(c2cccc(C#N)c2)NC(=O)N(C[NH+](C2CC2)C2CC2)C1=O ZINC000863302746 705895677 /nfs/dbraw/zinc/89/56/77/705895677.db2.gz LJEITBFNRMMNMM-GOSISDBHSA-N 1 2 324.384 1.910 20 30 DDEDLO C#CC[C@H](COC)NC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000863804660 706017917 /nfs/dbraw/zinc/01/79/17/706017917.db2.gz RQGRPPYPRYOBHC-MRXNPFEDSA-N 1 2 311.385 1.628 20 30 DDEDLO C#CC[N@@H+](CCCN(C)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000828062712 706111920 /nfs/dbraw/zinc/11/19/20/706111920.db2.gz QBAIZFVPOXSAGE-QGZVFWFLSA-N 1 2 320.458 1.635 20 30 DDEDLO C#CC[N@H+](CCCN(C)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000828062712 706111921 /nfs/dbraw/zinc/11/19/21/706111921.db2.gz QBAIZFVPOXSAGE-QGZVFWFLSA-N 1 2 320.458 1.635 20 30 DDEDLO C[N@@H+](Cc1ccncc1C#N)C[C@@H](O)CNC(=O)OC(C)(C)C ZINC000828587944 706203941 /nfs/dbraw/zinc/20/39/41/706203941.db2.gz QDVWQXTVPGHDRE-AWEZNQCLSA-N 1 2 320.393 1.271 20 30 DDEDLO C[N@H+](Cc1ccncc1C#N)C[C@@H](O)CNC(=O)OC(C)(C)C ZINC000828587944 706203945 /nfs/dbraw/zinc/20/39/45/706203945.db2.gz QDVWQXTVPGHDRE-AWEZNQCLSA-N 1 2 320.393 1.271 20 30 DDEDLO N#C[C@]1(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)CCCOC1 ZINC000864675830 706231470 /nfs/dbraw/zinc/23/14/70/706231470.db2.gz VHQBIGHJXSGXHO-QGZVFWFLSA-N 1 2 314.389 1.618 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@@H+]1CC[C@](F)(CO)C1)c1ccccc1 ZINC000878569027 706429550 /nfs/dbraw/zinc/42/95/50/706429550.db2.gz QOBANSNSLMQVFD-SJORKVTESA-N 1 2 304.365 1.833 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@H+]1CC[C@](F)(CO)C1)c1ccccc1 ZINC000878569027 706429552 /nfs/dbraw/zinc/42/95/52/706429552.db2.gz QOBANSNSLMQVFD-SJORKVTESA-N 1 2 304.365 1.833 20 30 DDEDLO C#CC(C)(C)NC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000878971880 706548418 /nfs/dbraw/zinc/54/84/18/706548418.db2.gz ASXBBJUSJVQZEQ-UHFFFAOYSA-N 1 2 302.378 1.760 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+](C)C[C@@H](C)NC(=O)OC(C)(C)C ZINC000879063393 706576990 /nfs/dbraw/zinc/57/69/90/706576990.db2.gz MTTAYPDMHKZEEU-NEPJUHHUSA-N 1 2 300.399 1.949 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+](C)C[C@@H](C)NC(=O)OC(C)(C)C ZINC000879063393 706576992 /nfs/dbraw/zinc/57/69/92/706576992.db2.gz MTTAYPDMHKZEEU-NEPJUHHUSA-N 1 2 300.399 1.949 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C(=O)OCC ZINC000881752656 707351241 /nfs/dbraw/zinc/35/12/41/707351241.db2.gz WMKBGSCVJZAWJG-CHWSQXEVSA-N 1 2 305.378 1.460 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)C[C@H]1C ZINC000872468505 707430133 /nfs/dbraw/zinc/43/01/33/707430133.db2.gz WHROSWOBQJFQJM-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1C[C@@H](C)[N@H+](C)C[C@H]1C ZINC000872468505 707430138 /nfs/dbraw/zinc/43/01/38/707430138.db2.gz WHROSWOBQJFQJM-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO C#CC1(F)CN(C(=O)Cn2c(C)[nH+]c3cc(F)c(F)cc32)C1 ZINC000881940351 707435469 /nfs/dbraw/zinc/43/54/69/707435469.db2.gz WXIGZZMAUKCWKM-UHFFFAOYSA-N 1 2 307.275 1.807 20 30 DDEDLO C#CC1CCN(S(=O)(=O)c2cccc3c2CC[N@@H+](C)C3)CC1 ZINC000882309610 707578576 /nfs/dbraw/zinc/57/85/76/707578576.db2.gz HCTJIOLMZDSASI-UHFFFAOYSA-N 1 2 318.442 1.708 20 30 DDEDLO C#CC1CCN(S(=O)(=O)c2cccc3c2CC[N@H+](C)C3)CC1 ZINC000882309610 707578577 /nfs/dbraw/zinc/57/85/77/707578577.db2.gz HCTJIOLMZDSASI-UHFFFAOYSA-N 1 2 318.442 1.708 20 30 DDEDLO Cc1csc([C@H]2CC[N@H+](Cn3cccc(C#N)c3=O)C2)n1 ZINC000872807717 707610776 /nfs/dbraw/zinc/61/07/76/707610776.db2.gz YZSAPYGFWKYARC-ZDUSSCGKSA-N 1 2 300.387 1.932 20 30 DDEDLO Cc1csc([C@H]2CC[N@@H+](Cn3cccc(C#N)c3=O)C2)n1 ZINC000872807717 707610779 /nfs/dbraw/zinc/61/07/79/707610779.db2.gz YZSAPYGFWKYARC-ZDUSSCGKSA-N 1 2 300.387 1.932 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000838055948 707822452 /nfs/dbraw/zinc/82/24/52/707822452.db2.gz PVRGFPSWSOIELC-CYBMUJFWSA-N 1 2 307.394 1.833 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000882895195 707842284 /nfs/dbraw/zinc/84/22/84/707842284.db2.gz HWYBXHWELXBKJU-INIZCTEOSA-N 1 2 318.417 1.576 20 30 DDEDLO C#CC1(F)CN(C(=O)NCc2ccc(-n3cc[nH+]c3)c(F)c2)C1 ZINC000883200116 707953068 /nfs/dbraw/zinc/95/30/68/707953068.db2.gz AZDLGCAZBCOYOA-UHFFFAOYSA-N 1 2 316.311 1.878 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCOc1ccc(C)cc1C ZINC000884059341 708121680 /nfs/dbraw/zinc/12/16/80/708121680.db2.gz OHYIKHRDTJVSSY-AWEZNQCLSA-N 1 2 320.389 1.245 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC(C)(C)CCc1ccccc1 ZINC000884123591 708149395 /nfs/dbraw/zinc/14/93/95/708149395.db2.gz XSWHWGIOJSKWQT-HNNXBMFYSA-N 1 2 318.417 1.961 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)[C@H](C)c1ccccc1 ZINC000884142149 708158601 /nfs/dbraw/zinc/15/86/01/708158601.db2.gz SBXPNRHHGLKTGH-YDHLFZDLSA-N 1 2 304.390 1.741 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H]1C[C@@H](OCC)C1(C)C ZINC000884150654 708161575 /nfs/dbraw/zinc/16/15/75/708161575.db2.gz RSNTWSYHQVOUSG-YNEHKIRRSA-N 1 2 312.410 1.095 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@]1(C)c1ccccc1 ZINC000884154592 708163344 /nfs/dbraw/zinc/16/33/44/708163344.db2.gz JXQXUIYQBDBJFQ-JJRVBVJISA-N 1 2 302.374 1.279 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCCC[C@H]1SCC ZINC000884160038 708165882 /nfs/dbraw/zinc/16/58/82/708165882.db2.gz SDUUMYRKAQTBRR-RWMBFGLXSA-N 1 2 314.451 1.614 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc2c(c1)N(C)CC2 ZINC000884307203 708236183 /nfs/dbraw/zinc/23/61/83/708236183.db2.gz BOLVNZUQHZHHFX-ZDUSSCGKSA-N 1 2 303.362 1.064 20 30 DDEDLO CC1=CCC[C@H](C)[C@H]1C[N@@H+]1C[C@@H]2CS(=O)(=O)C[C@@]2(C#N)C1 ZINC000897294665 708282101 /nfs/dbraw/zinc/28/21/01/708282101.db2.gz UEXDEKLRCNMAOY-XUWVNRHRSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=CCC[C@H](C)[C@H]1C[N@H+]1C[C@@H]2CS(=O)(=O)C[C@@]2(C#N)C1 ZINC000897294665 708282103 /nfs/dbraw/zinc/28/21/03/708282103.db2.gz UEXDEKLRCNMAOY-XUWVNRHRSA-N 1 2 308.447 1.849 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H](OC2CCC2)CC1 ZINC000884467504 708308372 /nfs/dbraw/zinc/30/83/72/708308372.db2.gz XIDQKVGGNBNCJR-CABCVRRESA-N 1 2 324.421 1.383 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)N[C@@H](CCCC)C2=O)CC1 ZINC000884479014 708311559 /nfs/dbraw/zinc/31/15/59/708311559.db2.gz SKVSTWPIUKXIAM-ZDUSSCGKSA-N 1 2 309.410 1.458 20 30 DDEDLO Cn1nc2c(c1C[NH2+]Cc1nc(C#N)cs1)CSCC2 ZINC000897626432 708399938 /nfs/dbraw/zinc/39/99/38/708399938.db2.gz PRIDSAWSLJDYQE-UHFFFAOYSA-N 1 2 305.432 1.827 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)CCc2cccs2)C1 ZINC000885512187 708562744 /nfs/dbraw/zinc/56/27/44/708562744.db2.gz TVGJSWDISDYILE-CYBMUJFWSA-N 1 2 312.460 1.308 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)CCc2cccs2)C1 ZINC000885512187 708562748 /nfs/dbraw/zinc/56/27/48/708562748.db2.gz TVGJSWDISDYILE-CYBMUJFWSA-N 1 2 312.460 1.308 20 30 DDEDLO CO[C@@H](C)c1noc(C[N@H+](C)C[C@@H](O)CC2(C#N)CCC2)n1 ZINC000886030106 708685159 /nfs/dbraw/zinc/68/51/59/708685159.db2.gz GJJGPAYYFOMJAB-RYUDHWBXSA-N 1 2 308.382 1.654 20 30 DDEDLO CO[C@@H](C)c1noc(C[N@@H+](C)C[C@@H](O)CC2(C#N)CCC2)n1 ZINC000886030106 708685162 /nfs/dbraw/zinc/68/51/62/708685162.db2.gz GJJGPAYYFOMJAB-RYUDHWBXSA-N 1 2 308.382 1.654 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H](c2ncccn2)C1 ZINC000886674531 708811419 /nfs/dbraw/zinc/81/14/19/708811419.db2.gz MZUNSAFTGXPPIQ-PBHICJAKSA-N 1 2 315.421 1.710 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H](c2ncccn2)C1 ZINC000886674531 708811423 /nfs/dbraw/zinc/81/14/23/708811423.db2.gz MZUNSAFTGXPPIQ-PBHICJAKSA-N 1 2 315.421 1.710 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)N[C@H](CO)c1c[nH+]cn1C ZINC000898892328 708910950 /nfs/dbraw/zinc/91/09/50/708910950.db2.gz PAYBFMGKLBSLRP-SWLSCSKDSA-N 1 2 312.373 1.320 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(C)=O)c3ccccc32)nn1 ZINC000899743270 709186676 /nfs/dbraw/zinc/18/66/76/709186676.db2.gz BLFZWTSVQKWZTF-SFHVURJKSA-N 1 2 323.400 1.737 20 30 DDEDLO C[C@@H](NC(=O)Nc1cc(C#N)ccc1F)[C@H](C)[NH+]1CCOCC1 ZINC000888356694 709287365 /nfs/dbraw/zinc/28/73/65/709287365.db2.gz SUVSTBLODUYMQX-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000891405938 710188809 /nfs/dbraw/zinc/18/88/09/710188809.db2.gz GSMJTUAPLYJZDZ-CYBMUJFWSA-N 1 2 316.361 1.025 20 30 DDEDLO C=CCCC[C@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C(=O)OC ZINC000928319149 713174434 /nfs/dbraw/zinc/17/44/34/713174434.db2.gz HVWLCZGCSYGFLU-OCCSQVGLSA-N 1 2 305.378 1.460 20 30 DDEDLO C[N@H+](CCc1cccc(C#N)c1)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000902346099 710724332 /nfs/dbraw/zinc/72/43/32/710724332.db2.gz GCAKBNMHYRQXLI-CALCHBBNSA-N 1 2 323.396 1.941 20 30 DDEDLO C[N@@H+](CCc1cccc(C#N)c1)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000902346099 710724335 /nfs/dbraw/zinc/72/43/35/710724335.db2.gz GCAKBNMHYRQXLI-CALCHBBNSA-N 1 2 323.396 1.941 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2nc3cccnc3s2)C1 ZINC000902731177 710882008 /nfs/dbraw/zinc/88/20/08/710882008.db2.gz VZIOSTGNBHCWRP-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2nc3cccnc3s2)C1 ZINC000902731177 710882010 /nfs/dbraw/zinc/88/20/10/710882010.db2.gz VZIOSTGNBHCWRP-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO N#CCc1ccccc1CC(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC000913449087 713220215 /nfs/dbraw/zinc/22/02/15/713220215.db2.gz GFBBKLXUOIDIHN-INIZCTEOSA-N 1 2 309.373 1.191 20 30 DDEDLO C[C@@H]([NH2+]CCS(=O)(=O)N1CCCC1)c1cccc(C#N)c1O ZINC000903291595 711091613 /nfs/dbraw/zinc/09/16/13/711091613.db2.gz DGQHLTKBYGRWQG-GFCCVEGCSA-N 1 2 323.418 1.340 20 30 DDEDLO CN(c1cccc(C#N)c1)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000912255411 711248632 /nfs/dbraw/zinc/24/86/32/711248632.db2.gz LHQMQKVRYGEIII-MRXNPFEDSA-N 1 2 309.373 1.561 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(-c2ccc(F)c(C)c2)no1 ZINC000904081905 711376561 /nfs/dbraw/zinc/37/65/61/711376561.db2.gz XOYADMDMXBRFET-LBPRGKRZSA-N 1 2 305.309 1.783 20 30 DDEDLO Cc1cc(N[C@H](C)[C@H]2CN(C)CC[N@@H+]2C)c(C#N)cc1[N+](=O)[O-] ZINC000895246109 711464024 /nfs/dbraw/zinc/46/40/24/711464024.db2.gz LIQUDKPJCJZODP-MLGOLLRUSA-N 1 2 317.393 1.821 20 30 DDEDLO Cc1cc(N[C@H](C)[C@H]2CN(C)CC[N@H+]2C)c(C#N)cc1[N+](=O)[O-] ZINC000895246109 711464025 /nfs/dbraw/zinc/46/40/25/711464025.db2.gz LIQUDKPJCJZODP-MLGOLLRUSA-N 1 2 317.393 1.821 20 30 DDEDLO Cc1ccn(C[NH+]2CCC(c3ccn(C)n3)CC2)c(=O)c1C#N ZINC000905722096 712131772 /nfs/dbraw/zinc/13/17/72/712131772.db2.gz JSCDSUFHWZMGRV-UHFFFAOYSA-N 1 2 311.389 1.599 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2ccsc2C#N)C[C@@H]1C ZINC000907214558 712515122 /nfs/dbraw/zinc/51/51/22/712515122.db2.gz PPTDRRHHSUOWBU-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2ccsc2C#N)C[C@@H]1C ZINC000907214558 712515124 /nfs/dbraw/zinc/51/51/24/712515124.db2.gz PPTDRRHHSUOWBU-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000907231841 712518829 /nfs/dbraw/zinc/51/88/29/712518829.db2.gz INPANAOTSHJAHL-ZDUSSCGKSA-N 1 2 308.407 1.058 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000907231841 712518831 /nfs/dbraw/zinc/51/88/31/712518831.db2.gz INPANAOTSHJAHL-ZDUSSCGKSA-N 1 2 308.407 1.058 20 30 DDEDLO CC(C)(C#N)CS(=O)(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000913978647 713327641 /nfs/dbraw/zinc/32/76/41/713327641.db2.gz VXOIQIBJSFPBQG-UHFFFAOYSA-N 1 2 318.402 1.841 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)/C=C(/C)C[NH+]1CCOCC1 ZINC000907948816 712653696 /nfs/dbraw/zinc/65/36/96/712653696.db2.gz RMWSTXFLSDUDQK-LAJUXKIGSA-N 1 2 308.422 1.362 20 30 DDEDLO COC[C@H](C)NC(=S)NN=Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000917025903 713478903 /nfs/dbraw/zinc/47/89/03/713478903.db2.gz HPGIWHUBMBDAMF-LBPRGKRZSA-N 1 2 317.418 1.705 20 30 DDEDLO C=CCCS(=O)(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccc1 ZINC000919336358 713599178 /nfs/dbraw/zinc/59/91/78/713599178.db2.gz QGXBWLUYDSAPOA-HOTGVXAUSA-N 1 2 306.431 1.851 20 30 DDEDLO C=CCCS(=O)(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1 ZINC000919336358 713599180 /nfs/dbraw/zinc/59/91/80/713599180.db2.gz QGXBWLUYDSAPOA-HOTGVXAUSA-N 1 2 306.431 1.851 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2cnc(C)c(C#N)c2)C[C@@H]1C ZINC000919590546 713618004 /nfs/dbraw/zinc/61/80/04/713618004.db2.gz HEBBMNSWNLKPHK-TXEJJXNPSA-N 1 2 322.434 1.365 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2cnc(C)c(C#N)c2)C[C@@H]1C ZINC000919590546 713618006 /nfs/dbraw/zinc/61/80/06/713618006.db2.gz HEBBMNSWNLKPHK-TXEJJXNPSA-N 1 2 322.434 1.365 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](C[C@@H](O)C3(O)CCC3)CCO2)c1 ZINC000930135125 713764257 /nfs/dbraw/zinc/76/42/57/713764257.db2.gz LBRRBHZUFWFTLH-JKSUJKDBSA-N 1 2 302.374 1.207 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](C[C@@H](O)C3(O)CCC3)CCO2)c1 ZINC000930135125 713764258 /nfs/dbraw/zinc/76/42/58/713764258.db2.gz LBRRBHZUFWFTLH-JKSUJKDBSA-N 1 2 302.374 1.207 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](C[C@@H](O)C3(O)CCCC3)CCO2)c1 ZINC000930135396 713764454 /nfs/dbraw/zinc/76/44/54/713764454.db2.gz NATBXNPENSSCSP-IAGOWNOFSA-N 1 2 316.401 1.598 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](C[C@@H](O)C3(O)CCCC3)CCO2)c1 ZINC000930135396 713764456 /nfs/dbraw/zinc/76/44/56/713764456.db2.gz NATBXNPENSSCSP-IAGOWNOFSA-N 1 2 316.401 1.598 20 30 DDEDLO CC1(C)C[C@](C)([NH2+]C[C@@H](O)CC2(C#N)CCOCC2)C(=O)O1 ZINC000930870877 713946805 /nfs/dbraw/zinc/94/68/05/713946805.db2.gz LCSCQYNFQWLUFW-WFASDCNBSA-N 1 2 310.394 1.132 20 30 DDEDLO CC(C)(C)OC(=O)N[C@@H]1C[N@H+](C[C@H](O)CC#N)CC[C@H]1F ZINC000931075280 713997242 /nfs/dbraw/zinc/99/72/42/713997242.db2.gz ZYHRJNAWXGVLII-IJLUTSLNSA-N 1 2 301.362 1.198 20 30 DDEDLO CC(C)(C)OC(=O)N[C@@H]1C[N@@H+](C[C@H](O)CC#N)CC[C@H]1F ZINC000931075280 713997244 /nfs/dbraw/zinc/99/72/44/713997244.db2.gz ZYHRJNAWXGVLII-IJLUTSLNSA-N 1 2 301.362 1.198 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)N2CCSc3ccccc32)CC1 ZINC000931141597 714013641 /nfs/dbraw/zinc/01/36/41/714013641.db2.gz BMGSQOGAZFWPGH-UHFFFAOYSA-N 1 2 316.426 1.585 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2nc3cc(C(=O)OC)ccc3[nH]2)CC1 ZINC000931151911 714016574 /nfs/dbraw/zinc/01/65/74/714016574.db2.gz ZPZDSTPKCJTGNC-UHFFFAOYSA-N 1 2 313.357 1.310 20 30 DDEDLO C=CCCn1cc(CN[C@H]2CCC[N@@H+]3CCSC[C@@H]23)nn1 ZINC000922522761 714077513 /nfs/dbraw/zinc/07/75/13/714077513.db2.gz FLMINYDGPWACQS-GJZGRUSLSA-N 1 2 307.467 1.524 20 30 DDEDLO C=CCCn1cc(CN[C@H]2CCC[N@H+]3CCSC[C@@H]23)nn1 ZINC000922522761 714077516 /nfs/dbraw/zinc/07/75/16/714077516.db2.gz FLMINYDGPWACQS-GJZGRUSLSA-N 1 2 307.467 1.524 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000922688905 714120125 /nfs/dbraw/zinc/12/01/25/714120125.db2.gz YZHHKWLBZAOGDU-KKUMJFAQSA-N 1 2 308.426 1.479 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H]1C(=O)NC(C)(C)C ZINC000932437756 714330870 /nfs/dbraw/zinc/33/08/70/714330870.db2.gz BQMQRANSGCPXTJ-CYBMUJFWSA-N 1 2 308.426 1.128 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H]1C(=O)NC(C)(C)C ZINC000932437756 714330872 /nfs/dbraw/zinc/33/08/72/714330872.db2.gz BQMQRANSGCPXTJ-CYBMUJFWSA-N 1 2 308.426 1.128 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC([C@H]3COC(=O)N3)CC2)nn1 ZINC000932773418 714411021 /nfs/dbraw/zinc/41/10/21/714411021.db2.gz URTUTDJYKNOPKW-CQSZACIVSA-N 1 2 305.382 1.175 20 30 DDEDLO O=C(C[NH+]1CCC2(CC1)CC(=O)C=CO2)NCc1ccccn1 ZINC000933651476 714638913 /nfs/dbraw/zinc/63/89/13/714638913.db2.gz GVYUJCIECBYZLK-UHFFFAOYSA-N 1 2 315.373 1.036 20 30 DDEDLO CC(C)(C)[C@@H]1CNC(=O)C[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000933828063 714677344 /nfs/dbraw/zinc/67/73/44/714677344.db2.gz QHCXSGWBCWNMOY-HNNXBMFYSA-N 1 2 301.390 1.784 20 30 DDEDLO CC(C)(C)[C@@H]1CNC(=O)C[N@H+]1CCOc1ccc(C#N)cc1 ZINC000933828063 714677346 /nfs/dbraw/zinc/67/73/46/714677346.db2.gz QHCXSGWBCWNMOY-HNNXBMFYSA-N 1 2 301.390 1.784 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[NH2+][C@H](C(=O)OC)C(C)C)cc1 ZINC000934499589 714834236 /nfs/dbraw/zinc/83/42/36/714834236.db2.gz VTOSXKQDRGYICG-INIZCTEOSA-N 1 2 302.374 1.784 20 30 DDEDLO CC[C@H]([NH2+]C[C@@H](CO)[C@@H]1CCOC1)c1cccc(C#N)c1O ZINC000926340738 715050379 /nfs/dbraw/zinc/05/03/79/715050379.db2.gz IAQPFMFDEWICCH-YCPHGPKFSA-N 1 2 304.390 1.950 20 30 DDEDLO CC[C@@H]([NH2+][C@H]1CCN(S(C)(=O)=O)C1)c1cccc(C#N)c1O ZINC000926646623 715105339 /nfs/dbraw/zinc/10/53/39/715105339.db2.gz BMEWYVMNOPPCSV-GXTWGEPZSA-N 1 2 323.418 1.338 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC)C2)CC1 ZINC000956602129 715484809 /nfs/dbraw/zinc/48/48/09/715484809.db2.gz WBVSUXIHQIVEOD-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cc(Cn3cccn3)on2)CC1 ZINC000957119953 715757523 /nfs/dbraw/zinc/75/75/23/715757523.db2.gz HEOHZDNSOQEKQH-UHFFFAOYSA-N 1 2 315.377 1.253 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2c(C)nn(C(C)C)c2C)CC1 ZINC000957280894 715814197 /nfs/dbraw/zinc/81/41/97/715814197.db2.gz GSBZGUHUBARUJI-UHFFFAOYSA-N 1 2 316.449 1.791 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@@H]2CCC3(CCC3)CO2)CC1 ZINC000957421106 715894510 /nfs/dbraw/zinc/89/45/10/715894510.db2.gz UQSSHSGVEACQBX-INIZCTEOSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CC(=O)N([C@@H](C)CCC)C2)CC1 ZINC000957767978 716034832 /nfs/dbraw/zinc/03/48/32/716034832.db2.gz QINNZUGGDJRBAA-GJZGRUSLSA-N 1 2 307.438 1.354 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@H]1C[NH2+]Cc1nnc(C2CC2)o1 ZINC000959918582 716355218 /nfs/dbraw/zinc/35/52/18/716355218.db2.gz HFEWTABMYDUIQW-AAEUAGOBSA-N 1 2 317.393 1.577 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2csc(C)n2)[C@H](O)C1 ZINC000958341263 716483481 /nfs/dbraw/zinc/48/34/81/716483481.db2.gz VMOTVXIOONHNGQ-ZYHUDNBSSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2csc(C)n2)[C@H](O)C1 ZINC000958341263 716483484 /nfs/dbraw/zinc/48/34/84/716483484.db2.gz VMOTVXIOONHNGQ-ZYHUDNBSSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2conc2CC)C1 ZINC000958383623 716516466 /nfs/dbraw/zinc/51/64/66/716516466.db2.gz BFZDEMONZSZQPX-GWCFXTLKSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2conc2CC)C1 ZINC000958383623 716516471 /nfs/dbraw/zinc/51/64/71/716516471.db2.gz BFZDEMONZSZQPX-GWCFXTLKSA-N 1 2 313.785 1.012 20 30 DDEDLO CCn1ccc(C[N@H+](C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)n1 ZINC000960505510 716588180 /nfs/dbraw/zinc/58/81/80/716588180.db2.gz VXEVQLMRXWEFIR-UKRRQHHQSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccc(C[N@@H+](C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)n1 ZINC000960505510 716588182 /nfs/dbraw/zinc/58/81/82/716588182.db2.gz VXEVQLMRXWEFIR-UKRRQHHQSA-N 1 2 303.410 1.485 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2nc(C)oc2C)C1 ZINC000958516446 716606124 /nfs/dbraw/zinc/60/61/24/716606124.db2.gz SBKSJIDOAUCPLQ-RYUDHWBXSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2nc(C)oc2C)C1 ZINC000958516446 716606126 /nfs/dbraw/zinc/60/61/26/716606126.db2.gz SBKSJIDOAUCPLQ-RYUDHWBXSA-N 1 2 313.785 1.066 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CC=CCC3)CC2)C1 ZINC000941305634 717151360 /nfs/dbraw/zinc/15/13/60/717151360.db2.gz GQRNHXNPWXYCMA-INIZCTEOSA-N 1 2 301.434 1.194 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccnn1 ZINC000962128982 717315704 /nfs/dbraw/zinc/31/57/04/717315704.db2.gz HSZUPUZYBGKCJQ-IAZYJMLFSA-N 1 2 323.194 1.045 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccnn1 ZINC000962128982 717315708 /nfs/dbraw/zinc/31/57/08/717315708.db2.gz HSZUPUZYBGKCJQ-IAZYJMLFSA-N 1 2 323.194 1.045 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cn(C)nn3)C[C@H]2C)C1 ZINC000942607484 717774818 /nfs/dbraw/zinc/77/48/18/717774818.db2.gz GIQIGORRZZZISS-HIFRSBDPSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cn(C)nn3)C[C@H]2C)C1 ZINC000942607484 717774822 /nfs/dbraw/zinc/77/48/22/717774822.db2.gz GIQIGORRZZZISS-HIFRSBDPSA-N 1 2 317.437 1.498 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC000966723687 718641938 /nfs/dbraw/zinc/64/19/38/718641938.db2.gz ONGDHWHKCFFARN-YPMHNXCESA-N 1 2 316.430 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC000966723687 718641940 /nfs/dbraw/zinc/64/19/40/718641940.db2.gz ONGDHWHKCFFARN-YPMHNXCESA-N 1 2 316.430 1.778 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2nnc[nH]2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947828805 719281974 /nfs/dbraw/zinc/28/19/74/719281974.db2.gz IPGXHIKXWUNCJT-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2nnc[nH]2)CC[N@H+]1Cc1ccccc1C#N ZINC000947828805 719281975 /nfs/dbraw/zinc/28/19/75/719281975.db2.gz IPGXHIKXWUNCJT-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2ncn[nH]2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947828805 719281976 /nfs/dbraw/zinc/28/19/76/719281976.db2.gz IPGXHIKXWUNCJT-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2ncn[nH]2)CC[N@H+]1Cc1ccccc1C#N ZINC000947828805 719281978 /nfs/dbraw/zinc/28/19/78/719281978.db2.gz IPGXHIKXWUNCJT-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CCN1CC#N ZINC000947879101 719296908 /nfs/dbraw/zinc/29/69/08/719296908.db2.gz WFKURNOGFZRRGH-GDBMZVCRSA-N 1 2 323.400 1.979 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2C[N@@H+](CC(=C)Cl)CC[C@@H]2C)nn1 ZINC000968405537 719564472 /nfs/dbraw/zinc/56/44/72/719564472.db2.gz NMCLCYHRFRCLEY-AAEUAGOBSA-N 1 2 323.828 1.657 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2C[N@H+](CC(=C)Cl)CC[C@@H]2C)nn1 ZINC000968405537 719564473 /nfs/dbraw/zinc/56/44/73/719564473.db2.gz NMCLCYHRFRCLEY-AAEUAGOBSA-N 1 2 323.828 1.657 20 30 DDEDLO C[C@H]1CCN(CC#N)C[C@H]1NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000968500682 719626214 /nfs/dbraw/zinc/62/62/14/719626214.db2.gz IJNZNNPYNXSMGS-XJKSGUPXSA-N 1 2 324.388 1.231 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC000968609773 719690577 /nfs/dbraw/zinc/69/05/77/719690577.db2.gz LAJNSEXLXOJOFS-ZWNOBZJWSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC000968609773 719690579 /nfs/dbraw/zinc/69/05/79/719690579.db2.gz LAJNSEXLXOJOFS-ZWNOBZJWSA-N 1 2 309.797 1.980 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cc(C)nn1C ZINC000948898479 719773867 /nfs/dbraw/zinc/77/38/67/719773867.db2.gz JMQBSMQQTDRHJE-SFHVURJKSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cc(C)nn1C ZINC000948898479 719773870 /nfs/dbraw/zinc/77/38/70/719773870.db2.gz JMQBSMQQTDRHJE-SFHVURJKSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nccc(C)n1 ZINC000948910159 719781197 /nfs/dbraw/zinc/78/11/97/719781197.db2.gz KRXJPOKIHUULDW-KRWDZBQOSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nccc(C)n1 ZINC000948910159 719781201 /nfs/dbraw/zinc/78/12/01/719781201.db2.gz KRXJPOKIHUULDW-KRWDZBQOSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000948963247 719808759 /nfs/dbraw/zinc/80/87/59/719808759.db2.gz VRSOZWYUEKHPPP-YSHGAJCASA-N 1 2 324.424 1.903 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000948963247 719808764 /nfs/dbraw/zinc/80/87/64/719808764.db2.gz VRSOZWYUEKHPPP-YSHGAJCASA-N 1 2 324.424 1.903 20 30 DDEDLO CC#CC[N@@H+]1CCOC2(CCN(C(=O)c3cc(C)n[nH]3)CC2)C1 ZINC000949314603 720010554 /nfs/dbraw/zinc/01/05/54/720010554.db2.gz XITSKASQUMKABS-UHFFFAOYSA-N 1 2 316.405 1.048 20 30 DDEDLO CC#CC[N@H+]1CCOC2(CCN(C(=O)c3cc(C)n[nH]3)CC2)C1 ZINC000949314603 720010559 /nfs/dbraw/zinc/01/05/59/720010559.db2.gz XITSKASQUMKABS-UHFFFAOYSA-N 1 2 316.405 1.048 20 30 DDEDLO CC#CC[N@@H+]1CCOC2(CCN(C(=O)c3cc(C)[nH]n3)CC2)C1 ZINC000949314603 720010562 /nfs/dbraw/zinc/01/05/62/720010562.db2.gz XITSKASQUMKABS-UHFFFAOYSA-N 1 2 316.405 1.048 20 30 DDEDLO CC#CC[N@H+]1CCOC2(CCN(C(=O)c3cc(C)[nH]n3)CC2)C1 ZINC000949314603 720010567 /nfs/dbraw/zinc/01/05/67/720010567.db2.gz XITSKASQUMKABS-UHFFFAOYSA-N 1 2 316.405 1.048 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3CC(OCC)C3)CC2)C1 ZINC000949358171 720038607 /nfs/dbraw/zinc/03/86/07/720038607.db2.gz GAIPWUVCNSGQJA-UHFFFAOYSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3CC(OCC)C3)CC2)C1 ZINC000949358171 720038609 /nfs/dbraw/zinc/03/86/09/720038609.db2.gz GAIPWUVCNSGQJA-UHFFFAOYSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C[C@@H]2CC[C@H](C(F)(F)F)O2)CC1 ZINC000949406048 720067698 /nfs/dbraw/zinc/06/76/98/720067698.db2.gz HIKXNLHDAIWZST-NWDGAFQWSA-N 1 2 306.328 1.817 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@]3(C)CCO[C@H]3C)CC2)C1 ZINC000949426943 720080249 /nfs/dbraw/zinc/08/02/49/720080249.db2.gz BZWCGCATHDWMTB-RDJZCZTQSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@]3(C)CCO[C@H]3C)CC2)C1 ZINC000949426943 720080252 /nfs/dbraw/zinc/08/02/52/720080252.db2.gz BZWCGCATHDWMTB-RDJZCZTQSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC000969587119 720202118 /nfs/dbraw/zinc/20/21/18/720202118.db2.gz RKJMWEJWGCQERJ-GFCCVEGCSA-N 1 2 304.394 1.850 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cn(C3CCC3)nn2)C1 ZINC000969683890 720249762 /nfs/dbraw/zinc/24/97/62/720249762.db2.gz GKMBZILBPUJWFH-NSHDSACASA-N 1 2 323.828 1.806 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC000969788016 720293223 /nfs/dbraw/zinc/29/32/23/720293223.db2.gz HUASBKCGDBFOMO-NEPJUHHUSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc3n(n2)CCC3)C1 ZINC000969807127 720301003 /nfs/dbraw/zinc/30/10/03/720301003.db2.gz XZHUDKFSVRNSIV-NSHDSACASA-N 1 2 308.813 1.632 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccc(OC)nc2)C1 ZINC000970102481 720626709 /nfs/dbraw/zinc/62/67/09/720626709.db2.gz VDGSWCBHDCPACM-NSHDSACASA-N 1 2 309.797 1.893 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cccnc2OC)C1 ZINC000970360609 720728189 /nfs/dbraw/zinc/72/81/89/720728189.db2.gz AMFJCBRZYRPDQD-NSHDSACASA-N 1 2 309.797 1.893 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2c(C)nn(C)c2F)C1 ZINC000970806290 720942556 /nfs/dbraw/zinc/94/25/56/720942556.db2.gz KPTQPDAESGUJKP-VIFPVBQESA-N 1 2 314.792 1.670 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC000970815605 720945660 /nfs/dbraw/zinc/94/56/60/720945660.db2.gz GOPSWJSKCQLFOR-DGCLKSJQSA-N 1 2 313.829 1.186 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000951545925 721065982 /nfs/dbraw/zinc/06/59/82/721065982.db2.gz UFCDKAYIUQRJIR-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)CC(C)(C)O)C1 ZINC000971436522 721296695 /nfs/dbraw/zinc/29/66/95/721296695.db2.gz UGPRJMZDJXPOFG-LLVKDONJSA-N 1 2 319.243 1.589 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)CC(C)(C)O)C1 ZINC000971436522 721296697 /nfs/dbraw/zinc/29/66/97/721296697.db2.gz UGPRJMZDJXPOFG-LLVKDONJSA-N 1 2 319.243 1.589 20 30 DDEDLO CC(C)n1ccc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971511450 721331703 /nfs/dbraw/zinc/33/17/03/721331703.db2.gz LTSBJZQCSBWBDE-KRWDZBQOSA-N 1 2 314.433 1.910 20 30 DDEDLO CC(C)n1ccc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971511450 721331707 /nfs/dbraw/zinc/33/17/07/721331707.db2.gz LTSBJZQCSBWBDE-KRWDZBQOSA-N 1 2 314.433 1.910 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3nccs3)C2)C1 ZINC000972672993 735457831 /nfs/dbraw/zinc/45/78/31/735457831.db2.gz CVYVHQIJZIBBPW-INIZCTEOSA-N 1 2 319.430 1.012 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3nccs3)C2)C1 ZINC000972672993 735457835 /nfs/dbraw/zinc/45/78/35/735457835.db2.gz CVYVHQIJZIBBPW-INIZCTEOSA-N 1 2 319.430 1.012 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H](NC(=O)C(F)F)C2)cc1C#N ZINC001009811491 738458144 /nfs/dbraw/zinc/45/81/44/738458144.db2.gz YLILMOCLSVOVOM-LBPRGKRZSA-N 1 2 309.316 1.522 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H](NC(=O)C(F)F)C2)cc1C#N ZINC001009811491 738458146 /nfs/dbraw/zinc/45/81/46/738458146.db2.gz YLILMOCLSVOVOM-LBPRGKRZSA-N 1 2 309.316 1.522 20 30 DDEDLO Cn1cnc(C(=O)N[C@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)c1 ZINC001010554298 732577471 /nfs/dbraw/zinc/57/74/71/732577471.db2.gz VZAOUMJXQJZORH-NSHDSACASA-N 1 2 315.402 1.358 20 30 DDEDLO Cn1cnc(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)c1 ZINC001010554298 732577475 /nfs/dbraw/zinc/57/74/75/732577475.db2.gz VZAOUMJXQJZORH-NSHDSACASA-N 1 2 315.402 1.358 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2CCO[C@H]2CC2(F)F)[nH]1 ZINC001038172708 732620773 /nfs/dbraw/zinc/62/07/73/732620773.db2.gz JTEXRRACIBCMFJ-YPMHNXCESA-N 1 2 324.331 1.115 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2CCO[C@H]2CC2(F)F)[nH]1 ZINC001038172708 732620774 /nfs/dbraw/zinc/62/07/74/732620774.db2.gz JTEXRRACIBCMFJ-YPMHNXCESA-N 1 2 324.331 1.115 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@H](CCCN2C(=O)c2[nH]nnc2C)C1 ZINC001021648833 733401179 /nfs/dbraw/zinc/40/11/79/733401179.db2.gz NGCLFOCLGHGXNO-CHWSQXEVSA-N 1 2 323.828 1.792 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@H]2C[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)no1 ZINC001021989493 733702922 /nfs/dbraw/zinc/70/29/22/733702922.db2.gz ANXLVDBTVGXDKP-KPXOXKRLSA-N 1 2 314.349 1.189 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C[C@H]1CCNCC#N ZINC001104363806 734358426 /nfs/dbraw/zinc/35/84/26/734358426.db2.gz IWSNAOBVOFLDPW-RBSFLKMASA-N 1 2 315.421 1.043 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)cc3)[C@H]2C1 ZINC001083255624 734980821 /nfs/dbraw/zinc/98/08/21/734980821.db2.gz FKLCPSRPTPXTFU-JKSUJKDBSA-N 1 2 318.804 1.889 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)cc3)[C@H]2C1 ZINC001083255624 734980824 /nfs/dbraw/zinc/98/08/24/734980824.db2.gz FKLCPSRPTPXTFU-JKSUJKDBSA-N 1 2 318.804 1.889 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC000972719592 735569137 /nfs/dbraw/zinc/56/91/37/735569137.db2.gz XDWBXLTXXNHOTD-NWDGAFQWSA-N 1 2 323.828 1.195 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC000972719592 735569138 /nfs/dbraw/zinc/56/91/38/735569138.db2.gz XDWBXLTXXNHOTD-NWDGAFQWSA-N 1 2 323.828 1.195 20 30 DDEDLO C#CCC[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCCc2cn[nH]c21 ZINC001024440130 735829231 /nfs/dbraw/zinc/82/92/31/735829231.db2.gz KOVRNUJNEOTMFM-HOTGVXAUSA-N 1 2 314.433 1.824 20 30 DDEDLO C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCCc2cn[nH]c21 ZINC001024440130 735829233 /nfs/dbraw/zinc/82/92/33/735829233.db2.gz KOVRNUJNEOTMFM-HOTGVXAUSA-N 1 2 314.433 1.824 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4c(s3)CCC4)[C@H]2C1 ZINC001083266614 735884659 /nfs/dbraw/zinc/88/46/59/735884659.db2.gz HMJKOGYPGIVAKZ-UONOGXRCSA-N 1 2 318.442 1.948 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4c(s3)CCC4)[C@H]2C1 ZINC001083266614 735884660 /nfs/dbraw/zinc/88/46/60/735884660.db2.gz HMJKOGYPGIVAKZ-UONOGXRCSA-N 1 2 318.442 1.948 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1C[NH+](C(C)C)CCO1 ZINC001024618008 735985439 /nfs/dbraw/zinc/98/54/39/735985439.db2.gz OTYKHSVHRFEBNX-IAGOWNOFSA-N 1 2 321.465 1.090 20 30 DDEDLO CC#CC[NH+]1CCCC[C@@H]1CNC(=O)[C@H]1C[N@@H+](C(C)C)CCO1 ZINC001024618008 735985440 /nfs/dbraw/zinc/98/54/40/735985440.db2.gz OTYKHSVHRFEBNX-IAGOWNOFSA-N 1 2 321.465 1.090 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@H]1[C@H]2CCCN(CC#N)[C@@H]2C1(C)C ZINC001087313654 736054164 /nfs/dbraw/zinc/05/41/64/736054164.db2.gz YMLBDSHZYGJCQC-BMFZPTHFSA-N 1 2 315.421 1.091 20 30 DDEDLO C=CCOC(=O)N1CCC[C@@]2(CC[N@@H+](CC(=O)OCC)C2)C1 ZINC001207409462 736244862 /nfs/dbraw/zinc/24/48/62/736244862.db2.gz YWZNLBXAGJQQHW-INIZCTEOSA-N 1 2 310.394 1.660 20 30 DDEDLO C=CCOC(=O)N1CCC[C@@]2(CC[N@H+](CC(=O)OCC)C2)C1 ZINC001207409462 736244864 /nfs/dbraw/zinc/24/48/64/736244864.db2.gz YWZNLBXAGJQQHW-INIZCTEOSA-N 1 2 310.394 1.660 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001098602301 736750010 /nfs/dbraw/zinc/75/00/10/736750010.db2.gz ODTMGIZNJGNZQZ-ZIAGYGMSSA-N 1 2 304.394 1.827 20 30 DDEDLO C#CCN1CCC[C@H]1CNC(=O)c1ccn(-c2cc[nH+]cc2)n1 ZINC001028018439 738939499 /nfs/dbraw/zinc/93/94/99/738939499.db2.gz UFTILHNJLBLTNR-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(-c2cccnc2)c1 ZINC001038339533 737022801 /nfs/dbraw/zinc/02/28/01/737022801.db2.gz HNAKIQMMODASMJ-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(-c2cccnc2)c1 ZINC001038339533 737022805 /nfs/dbraw/zinc/02/28/05/737022805.db2.gz HNAKIQMMODASMJ-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO C[C@H](CNc1cccc(F)c1C#N)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001104826471 737252296 /nfs/dbraw/zinc/25/22/96/737252296.db2.gz XJUDACDWERHFCD-LLVKDONJSA-N 1 2 315.352 1.922 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@](C)(CC)CCC)C2)nn1 ZINC001105351779 738985641 /nfs/dbraw/zinc/98/56/41/738985641.db2.gz IUTVRKLVFSGSRX-KRWDZBQOSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3CCCC[C@@H]3C)C2)nn1 ZINC001105315378 737822732 /nfs/dbraw/zinc/82/27/32/737822732.db2.gz KDNCUMPPVYUYCT-XJKSGUPXSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C3(C)CCCCC3)C2)nn1 ZINC001105318485 737839998 /nfs/dbraw/zinc/83/99/98/737839998.db2.gz BETPFZHJHKSYCY-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)[nH]1 ZINC001027407076 738267197 /nfs/dbraw/zinc/26/71/97/738267197.db2.gz ZQFLITVNDVSIKX-WFASDCNBSA-N 1 2 315.421 1.510 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)[nH]1 ZINC001027407076 738267200 /nfs/dbraw/zinc/26/72/00/738267200.db2.gz ZQFLITVNDVSIKX-WFASDCNBSA-N 1 2 315.421 1.510 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCC[C@H](N(C)CC#N)C2)c[nH+]1 ZINC001027461701 738315890 /nfs/dbraw/zinc/31/58/90/738315890.db2.gz NPAHZEFROYMUFN-AWEZNQCLSA-N 1 2 303.410 1.373 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1noc2c1C[C@@H](C)CC2 ZINC001038866682 739020758 /nfs/dbraw/zinc/02/07/58/739020758.db2.gz YNTQNXXTDVNYCU-QWHCGFSZSA-N 1 2 301.390 1.627 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1noc2c1C[C@@H](C)CC2 ZINC001038866682 739020760 /nfs/dbraw/zinc/02/07/60/739020760.db2.gz YNTQNXXTDVNYCU-QWHCGFSZSA-N 1 2 301.390 1.627 20 30 DDEDLO N#Cc1c(Cl)cccc1C[NH+]1CCC2(CC1)CNC(=O)CO2 ZINC001139693842 739067068 /nfs/dbraw/zinc/06/70/68/739067068.db2.gz RWPUKEBPQVEKLP-UHFFFAOYSA-N 1 2 319.792 1.693 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)Cc3c[nH+]cn3C)C2)ccc1C#N ZINC001058929952 739213680 /nfs/dbraw/zinc/21/36/80/739213680.db2.gz WKTAHBIFTKXVFA-AWEZNQCLSA-N 1 2 324.388 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001028256019 739240207 /nfs/dbraw/zinc/24/02/07/739240207.db2.gz VGOWYQNOQROTNR-OCCSQVGLSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001028256019 739240211 /nfs/dbraw/zinc/24/02/11/739240211.db2.gz VGOWYQNOQROTNR-OCCSQVGLSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCc2n[nH]nc2C1 ZINC001028261453 739248774 /nfs/dbraw/zinc/24/87/74/739248774.db2.gz YWLOZVRSLJYLRS-VXGBXAGGSA-N 1 2 323.828 1.243 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1CNC(=O)c1ccc2c(=O)ccoc2c1 ZINC001028264436 739252501 /nfs/dbraw/zinc/25/25/01/739252501.db2.gz HIVXCRKRYWBXEX-AWEZNQCLSA-N 1 2 310.353 1.620 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc2c(=O)ccoc2c1 ZINC001028264436 739252504 /nfs/dbraw/zinc/25/25/04/739252504.db2.gz HIVXCRKRYWBXEX-AWEZNQCLSA-N 1 2 310.353 1.620 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@H+]2Cc2ccn(C)n2)nc1 ZINC001028269814 739258106 /nfs/dbraw/zinc/25/81/06/739258106.db2.gz WHPBGLJPUCSKNF-MRXNPFEDSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2ccn(C)n2)nc1 ZINC001028269814 739258108 /nfs/dbraw/zinc/25/81/08/739258108.db2.gz WHPBGLJPUCSKNF-MRXNPFEDSA-N 1 2 323.400 1.191 20 30 DDEDLO C[C@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1nccnc1C#N ZINC001098153214 739293393 /nfs/dbraw/zinc/29/33/93/739293393.db2.gz MQEBBFABLKWDSY-LLVKDONJSA-N 1 2 321.344 1.226 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cn(C3CCC3)nn2)C1 ZINC001035368234 751432032 /nfs/dbraw/zinc/43/20/32/751432032.db2.gz NYHHNOSAHOONQL-CQSZACIVSA-N 1 2 319.409 1.010 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cn(C3CCC3)nn2)C1 ZINC001035368234 751432035 /nfs/dbraw/zinc/43/20/35/751432035.db2.gz NYHHNOSAHOONQL-CQSZACIVSA-N 1 2 319.409 1.010 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1OC ZINC001211771012 739520703 /nfs/dbraw/zinc/52/07/03/739520703.db2.gz MRKSOJPHKFFBQF-HZPDHXFCSA-N 1 2 301.390 1.674 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1OC ZINC001211771012 739520704 /nfs/dbraw/zinc/52/07/04/739520704.db2.gz MRKSOJPHKFFBQF-HZPDHXFCSA-N 1 2 301.390 1.674 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc3occc3[nH]2)C1 ZINC001035384622 751454291 /nfs/dbraw/zinc/45/42/91/751454291.db2.gz LSULICIKZOTCOX-LBPRGKRZSA-N 1 2 303.362 1.768 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc3occc3[nH]2)C1 ZINC001035384622 751454293 /nfs/dbraw/zinc/45/42/93/751454293.db2.gz LSULICIKZOTCOX-LBPRGKRZSA-N 1 2 303.362 1.768 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cn(C)nc2C2CC2)C1 ZINC001035388410 751458983 /nfs/dbraw/zinc/45/89/83/751458983.db2.gz UWJMALNVIIATOX-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cn(C)nc2C2CC2)C1 ZINC001035388410 751458989 /nfs/dbraw/zinc/45/89/89/751458989.db2.gz UWJMALNVIIATOX-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H](C)C3CCC3)C2)nn1 ZINC001098685859 739762023 /nfs/dbraw/zinc/76/20/23/739762023.db2.gz RZKDIZHPRNAWCG-XJKSGUPXSA-N 1 2 315.421 1.211 20 30 DDEDLO CCN(C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)[C@H]1CCCN(CC#N)CC1 ZINC000998041189 751481506 /nfs/dbraw/zinc/48/15/06/751481506.db2.gz MOJKKLAOTABHFX-RRFJBIMHSA-N 1 2 315.421 1.740 20 30 DDEDLO CCN(C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)[C@H]1CCCN(CC#N)CC1 ZINC000998041189 751481510 /nfs/dbraw/zinc/48/15/10/751481510.db2.gz MOJKKLAOTABHFX-RRFJBIMHSA-N 1 2 315.421 1.740 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cncc(Cl)c2C)C1 ZINC001035405616 751488822 /nfs/dbraw/zinc/48/88/22/751488822.db2.gz ZLFSQEDEEIPXIW-CYBMUJFWSA-N 1 2 321.808 1.497 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cncc(Cl)c2C)C1 ZINC001035405616 751488827 /nfs/dbraw/zinc/48/88/27/751488827.db2.gz ZLFSQEDEEIPXIW-CYBMUJFWSA-N 1 2 321.808 1.497 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@H](Nc2ccc(C#N)nc2)C1)n1cc[nH+]c1 ZINC001059080795 739891373 /nfs/dbraw/zinc/89/13/73/739891373.db2.gz IQOBYRZSUPGBNN-CJNGLKHVSA-N 1 2 324.388 1.814 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3ccnn3CC)[C@@H]2C1 ZINC001075724617 740125005 /nfs/dbraw/zinc/12/50/05/740125005.db2.gz RRHDWXZJWUSKEI-GXTWGEPZSA-N 1 2 308.813 1.802 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccnn3CC)[C@@H]2C1 ZINC001075724617 740125006 /nfs/dbraw/zinc/12/50/06/740125006.db2.gz RRHDWXZJWUSKEI-GXTWGEPZSA-N 1 2 308.813 1.802 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)ccc2F)C1 ZINC001035436072 751514024 /nfs/dbraw/zinc/51/40/24/751514024.db2.gz YGFBLQPLWDOJMI-ZDUSSCGKSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)ccc2F)C1 ZINC001035436072 751514027 /nfs/dbraw/zinc/51/40/27/751514027.db2.gz YGFBLQPLWDOJMI-ZDUSSCGKSA-N 1 2 324.783 1.933 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001035445076 751522089 /nfs/dbraw/zinc/52/20/89/751522089.db2.gz DZJDLEIPKIMIEY-OWCLPIDISA-N 1 2 308.422 1.052 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001035445076 751522093 /nfs/dbraw/zinc/52/20/93/751522093.db2.gz DZJDLEIPKIMIEY-OWCLPIDISA-N 1 2 308.422 1.052 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(F)c2F)C1 ZINC001035487811 751541162 /nfs/dbraw/zinc/54/11/62/751541162.db2.gz NUPCVPONNDQTON-LBPRGKRZSA-N 1 2 308.328 1.419 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(F)c2F)C1 ZINC001035487811 751541164 /nfs/dbraw/zinc/54/11/64/751541164.db2.gz NUPCVPONNDQTON-LBPRGKRZSA-N 1 2 308.328 1.419 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2snnc2C(C)C)[C@H]1CC ZINC001087771150 740742070 /nfs/dbraw/zinc/74/20/70/740742070.db2.gz PMBBJSILBUEKJH-NWDGAFQWSA-N 1 2 306.435 1.877 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2snnc2C(C)C)[C@H]1CC ZINC001087771150 740742071 /nfs/dbraw/zinc/74/20/71/740742071.db2.gz PMBBJSILBUEKJH-NWDGAFQWSA-N 1 2 306.435 1.877 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C[C@H](C=C)CC)C2)nn1 ZINC001098706003 740760063 /nfs/dbraw/zinc/76/00/63/740760063.db2.gz OQMLMHBXUJTYKV-ZBFHGGJFSA-N 1 2 315.421 1.377 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)C[C@@H](C)O3)C1 ZINC001035545357 751592685 /nfs/dbraw/zinc/59/26/85/751592685.db2.gz JFRGCYIULRJZLB-CZUORRHYSA-N 1 2 316.401 1.627 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)C[C@@H](C)O3)C1 ZINC001035545357 751592686 /nfs/dbraw/zinc/59/26/86/751592686.db2.gz JFRGCYIULRJZLB-CZUORRHYSA-N 1 2 316.401 1.627 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cncc(Cl)c2)C1 ZINC001035546768 751594346 /nfs/dbraw/zinc/59/43/46/751594346.db2.gz XGVMYHLRLOHLEZ-AWEZNQCLSA-N 1 2 309.797 1.742 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cncc(Cl)c2)C1 ZINC001035546768 751594352 /nfs/dbraw/zinc/59/43/52/751594352.db2.gz XGVMYHLRLOHLEZ-AWEZNQCLSA-N 1 2 309.797 1.742 20 30 DDEDLO C[C@@H](c1csnn1)[N@H+](C)C[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001029734382 741260589 /nfs/dbraw/zinc/26/05/89/741260589.db2.gz WOWJEDZSDQZSNU-GXTWGEPZSA-N 1 2 318.446 1.793 20 30 DDEDLO C[C@@H](c1csnn1)[N@@H+](C)C[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001029734382 741260592 /nfs/dbraw/zinc/26/05/92/741260592.db2.gz WOWJEDZSDQZSNU-GXTWGEPZSA-N 1 2 318.446 1.793 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001035572073 751626199 /nfs/dbraw/zinc/62/61/99/751626199.db2.gz QCNHAVMUVAKPHA-CYBMUJFWSA-N 1 2 318.421 1.161 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001035572073 751626203 /nfs/dbraw/zinc/62/62/03/751626203.db2.gz QCNHAVMUVAKPHA-CYBMUJFWSA-N 1 2 318.421 1.161 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2coc(CCC)n2)C1 ZINC001035573522 751627515 /nfs/dbraw/zinc/62/75/15/751627515.db2.gz DDMUFYOGCSQFMI-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2coc(CCC)n2)C1 ZINC001035573522 751627519 /nfs/dbraw/zinc/62/75/19/751627519.db2.gz DDMUFYOGCSQFMI-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C(C)(C)CC=C)C2)nn1 ZINC001098739603 741530794 /nfs/dbraw/zinc/53/07/94/741530794.db2.gz HETVYHFFBUKVRH-OAHLLOKOSA-N 1 2 315.421 1.377 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001035596377 751652085 /nfs/dbraw/zinc/65/20/85/751652085.db2.gz AAFZKHCMYVBASA-OAHLLOKOSA-N 1 2 308.328 1.419 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001035596377 751652093 /nfs/dbraw/zinc/65/20/93/751652093.db2.gz AAFZKHCMYVBASA-OAHLLOKOSA-N 1 2 308.328 1.419 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](n2cc(C[NH2+]C[C@@H](F)CC)nn2)C1 ZINC001098638584 741696482 /nfs/dbraw/zinc/69/64/82/741696482.db2.gz YXKCWFYZIMQMCJ-DZGCQCFKSA-N 1 2 323.416 1.855 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccoc2CCC)C1 ZINC001035578796 751667780 /nfs/dbraw/zinc/66/77/80/751667780.db2.gz ZOTXSEHZLZPUHJ-CQSZACIVSA-N 1 2 304.390 1.686 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccoc2CCC)C1 ZINC001035578796 751667785 /nfs/dbraw/zinc/66/77/85/751667785.db2.gz ZOTXSEHZLZPUHJ-CQSZACIVSA-N 1 2 304.390 1.686 20 30 DDEDLO C=CCCN1CCO[C@@H](CNC(=O)c2c[nH+]ccc2N(C)C)C1 ZINC001035581509 751671649 /nfs/dbraw/zinc/67/16/49/751671649.db2.gz CPECUNKQKSUDBZ-AWEZNQCLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cccnc2OC)C[C@H]1OC ZINC001211999458 741935113 /nfs/dbraw/zinc/93/51/13/741935113.db2.gz MCXURWNQVFVQTE-HUUCEWRRSA-N 1 2 319.405 1.372 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cccnc2OC)C[C@H]1OC ZINC001211999458 741935116 /nfs/dbraw/zinc/93/51/16/741935116.db2.gz MCXURWNQVFVQTE-HUUCEWRRSA-N 1 2 319.405 1.372 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)nnn2CC)[C@H]1C ZINC001088617550 741980821 /nfs/dbraw/zinc/98/08/21/741980821.db2.gz PEOKSGBTOQQWRN-NEPJUHHUSA-N 1 2 311.817 1.552 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)nnn2CC)[C@H]1C ZINC001088617550 741980823 /nfs/dbraw/zinc/98/08/23/741980823.db2.gz PEOKSGBTOQQWRN-NEPJUHHUSA-N 1 2 311.817 1.552 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C=C\C(C)(C)C)C2)nn1 ZINC001098645958 741996113 /nfs/dbraw/zinc/99/61/13/741996113.db2.gz YUULSCCULWVOAU-LYASGUKUSA-N 1 2 315.421 1.377 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cn3cc(C)nc3s2)[C@H]1C ZINC001088621830 742021033 /nfs/dbraw/zinc/02/10/33/742021033.db2.gz VRJREXCGDGPOIK-NEPJUHHUSA-N 1 2 302.403 1.530 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cn3cc(C)nc3s2)[C@H]1C ZINC001088621830 742021039 /nfs/dbraw/zinc/02/10/39/742021039.db2.gz VRJREXCGDGPOIK-NEPJUHHUSA-N 1 2 302.403 1.530 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)C1 ZINC001035620691 751691718 /nfs/dbraw/zinc/69/17/18/751691718.db2.gz VOQFNRSWJVYJHA-IXDOHACOSA-N 1 2 318.392 1.932 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)C1 ZINC001035620691 751691722 /nfs/dbraw/zinc/69/17/22/751691722.db2.gz VOQFNRSWJVYJHA-IXDOHACOSA-N 1 2 318.392 1.932 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)[C@H]1C ZINC001088670427 742065826 /nfs/dbraw/zinc/06/58/26/742065826.db2.gz GVIWEVLYBXVNMV-AGIUHOORSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)[C@H]1C ZINC001088670427 742065833 /nfs/dbraw/zinc/06/58/33/742065833.db2.gz GVIWEVLYBXVNMV-AGIUHOORSA-N 1 2 313.829 1.186 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001088696127 742186551 /nfs/dbraw/zinc/18/65/51/742186551.db2.gz OFHZAWQQNARGAZ-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001088696127 742186554 /nfs/dbraw/zinc/18/65/54/742186554.db2.gz OFHZAWQQNARGAZ-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001088696127 742186557 /nfs/dbraw/zinc/18/65/57/742186557.db2.gz OFHZAWQQNARGAZ-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001088696127 742186561 /nfs/dbraw/zinc/18/65/61/742186561.db2.gz OFHZAWQQNARGAZ-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H](C)CCCNc1cc[nH+]c(C)n1 ZINC001114917692 751714810 /nfs/dbraw/zinc/71/48/10/751714810.db2.gz KJYQCPNZQPKGAF-WBMJQRKESA-N 1 2 306.410 1.809 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2nsc3ccccc32)[C@@H](O)C1 ZINC001083484206 742353550 /nfs/dbraw/zinc/35/35/50/742353550.db2.gz ZCRSHEOMVHUTCQ-OLZOCXBDSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2nsc3ccccc32)[C@@H](O)C1 ZINC001083484206 742353556 /nfs/dbraw/zinc/35/35/56/742353556.db2.gz ZCRSHEOMVHUTCQ-OLZOCXBDSA-N 1 2 315.398 1.095 20 30 DDEDLO C=CCCC(=O)N(C)C1CC(Nc2[nH+]cnc3c2cnn3C)C1 ZINC001126859414 742386722 /nfs/dbraw/zinc/38/67/22/742386722.db2.gz NDYJGFRVALTTBH-UHFFFAOYSA-N 1 2 314.393 1.731 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)[C@H]1C ZINC001088808572 742410810 /nfs/dbraw/zinc/41/08/10/742410810.db2.gz LWRBTGUPPZBCQB-XUJVJEKNSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)[C@H]1C ZINC001088808572 742410812 /nfs/dbraw/zinc/41/08/12/742410812.db2.gz LWRBTGUPPZBCQB-XUJVJEKNSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)c2cncnc2)[C@H]1C ZINC001088812642 742427561 /nfs/dbraw/zinc/42/75/61/742427561.db2.gz MPNGOHITSWGUPZ-SCRDCRAPSA-N 1 2 308.813 1.912 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)c2cncnc2)[C@H]1C ZINC001088812642 742427562 /nfs/dbraw/zinc/42/75/62/742427562.db2.gz MPNGOHITSWGUPZ-SCRDCRAPSA-N 1 2 308.813 1.912 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnc(C)nc3C)[C@@H]2C1 ZINC001076369121 742692488 /nfs/dbraw/zinc/69/24/88/742692488.db2.gz IFDRVHUTBKTWRT-DZGCQCFKSA-N 1 2 320.824 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnc(C)nc3C)[C@@H]2C1 ZINC001076369121 742692489 /nfs/dbraw/zinc/69/24/89/742692489.db2.gz IFDRVHUTBKTWRT-DZGCQCFKSA-N 1 2 320.824 1.992 20 30 DDEDLO C#CC[NH+]1CC2(C1)CCN(C(=O)[C@@H]1CCCc3c[nH]nc31)CC2 ZINC001035705316 751791962 /nfs/dbraw/zinc/79/19/62/751791962.db2.gz CIJLPPRTHNEPEN-OAHLLOKOSA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C(F)F)ccc2F)C1 ZINC001077008331 743166191 /nfs/dbraw/zinc/16/61/91/743166191.db2.gz AXKMGLPSKRQZAL-CHWSQXEVSA-N 1 2 312.291 1.171 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C(F)F)ccc2F)C1 ZINC001077008331 743166195 /nfs/dbraw/zinc/16/61/95/743166195.db2.gz AXKMGLPSKRQZAL-CHWSQXEVSA-N 1 2 312.291 1.171 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(F)c(C)cc2F)C1 ZINC001077178284 743293870 /nfs/dbraw/zinc/29/38/70/743293870.db2.gz AZDSXOGRJMTCRT-HUUCEWRRSA-N 1 2 308.328 1.071 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(F)c(C)cc2F)C1 ZINC001077178284 743293876 /nfs/dbraw/zinc/29/38/76/743293876.db2.gz AZDSXOGRJMTCRT-HUUCEWRRSA-N 1 2 308.328 1.071 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001061136019 743385644 /nfs/dbraw/zinc/38/56/44/743385644.db2.gz JXZYNSJXPWTAHN-KBPBESRZSA-N 1 2 324.388 1.758 20 30 DDEDLO N#Cc1ncc(N[C@@H]2CCC[N@@H+](C3COC3)C2)cc1[N+](=O)[O-] ZINC001168369652 743477523 /nfs/dbraw/zinc/47/75/23/743477523.db2.gz GGIQMAUOPIQXRD-SNVBAGLBSA-N 1 2 303.322 1.137 20 30 DDEDLO N#Cc1ncc(N[C@@H]2CCC[N@H+](C3COC3)C2)cc1[N+](=O)[O-] ZINC001168369652 743477526 /nfs/dbraw/zinc/47/75/26/743477526.db2.gz GGIQMAUOPIQXRD-SNVBAGLBSA-N 1 2 303.322 1.137 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001108052098 743510426 /nfs/dbraw/zinc/51/04/26/743510426.db2.gz XKYWLGQWAOHXQO-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001108052098 743510430 /nfs/dbraw/zinc/51/04/30/743510430.db2.gz XKYWLGQWAOHXQO-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO CO[C@H](C)c1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001182372219 743595128 /nfs/dbraw/zinc/59/51/28/743595128.db2.gz LOJFYWZDHNQJBU-CHWSQXEVSA-N 1 2 320.393 1.127 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC001182390108 743608761 /nfs/dbraw/zinc/60/87/61/743608761.db2.gz ZAODULBOHATYAX-SNVBAGLBSA-N 1 2 312.320 1.357 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[NH2+][C@H](C)c1nnc(CC(C)C)o1 ZINC001127051823 743676893 /nfs/dbraw/zinc/67/68/93/743676893.db2.gz NFXUGOARHAFKJO-CHWSQXEVSA-N 1 2 322.409 1.073 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)[C@@H](C)COC)CC2)C1 ZINC001105713632 743745754 /nfs/dbraw/zinc/74/57/54/743745754.db2.gz NIKSAHSSECATDW-GJZGRUSLSA-N 1 2 324.465 1.783 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](O)Cc2c[nH]c3ccccc23)CC1 ZINC001184298769 744008333 /nfs/dbraw/zinc/00/83/33/744008333.db2.gz QOUMAQZQZJXJJN-KRWDZBQOSA-N 1 2 313.401 1.402 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2csc([C@H]3CCCO3)n2)C1 ZINC001030316244 744044871 /nfs/dbraw/zinc/04/48/71/744044871.db2.gz BWULQQPECIZFKF-CYBMUJFWSA-N 1 2 307.419 1.985 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CC3CC3)C2)nn1 ZINC001185842894 744298786 /nfs/dbraw/zinc/29/87/86/744298786.db2.gz OACBJUXEOWSDJQ-INIZCTEOSA-N 1 2 315.421 1.355 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@@H]3C[C@H]3CC)C2)nn1 ZINC001186007770 744325060 /nfs/dbraw/zinc/32/50/60/744325060.db2.gz JSDQEARNYSIBOD-FVQBIDKESA-N 1 2 315.421 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@H](C)C(C)C)C2)nn1 ZINC001186010551 744326192 /nfs/dbraw/zinc/32/61/92/744326192.db2.gz AJAQYALXCVNUQY-GDBMZVCRSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)C(C)C)C2 ZINC001110379980 744385609 /nfs/dbraw/zinc/38/56/09/744385609.db2.gz IGJDLPYWXQKHSU-FPCVCCKLSA-N 1 2 319.449 1.140 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)C(C)C)C2 ZINC001110379980 744385612 /nfs/dbraw/zinc/38/56/12/744385612.db2.gz IGJDLPYWXQKHSU-FPCVCCKLSA-N 1 2 319.449 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001014986684 744530758 /nfs/dbraw/zinc/53/07/58/744530758.db2.gz HHEOMDLBEYIASY-QWHCGFSZSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001014986684 744530759 /nfs/dbraw/zinc/53/07/59/744530759.db2.gz HHEOMDLBEYIASY-QWHCGFSZSA-N 1 2 308.813 1.704 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001187841328 744616905 /nfs/dbraw/zinc/61/69/05/744616905.db2.gz WJDFOVTVBXRWDX-OWCLPIDISA-N 1 2 312.417 1.730 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001187841328 744616909 /nfs/dbraw/zinc/61/69/09/744616909.db2.gz WJDFOVTVBXRWDX-OWCLPIDISA-N 1 2 312.417 1.730 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC001046031964 744641365 /nfs/dbraw/zinc/64/13/65/744641365.db2.gz YDXFZLVSNVEXDM-NWDGAFQWSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC001046031964 744641368 /nfs/dbraw/zinc/64/13/68/744641368.db2.gz YDXFZLVSNVEXDM-NWDGAFQWSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)C1 ZINC001046031964 744641370 /nfs/dbraw/zinc/64/13/70/744641370.db2.gz YDXFZLVSNVEXDM-NWDGAFQWSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)C1 ZINC001046031964 744641372 /nfs/dbraw/zinc/64/13/72/744641372.db2.gz YDXFZLVSNVEXDM-NWDGAFQWSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001188309826 744687466 /nfs/dbraw/zinc/68/74/66/744687466.db2.gz ILKJMWMOEYNIQY-QWHCGFSZSA-N 1 2 322.409 1.304 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001188966016 744801228 /nfs/dbraw/zinc/80/12/28/744801228.db2.gz SAIAIBQIDFWXBF-CHWSQXEVSA-N 1 2 319.434 1.327 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001188966016 744801230 /nfs/dbraw/zinc/80/12/30/744801230.db2.gz SAIAIBQIDFWXBF-CHWSQXEVSA-N 1 2 319.434 1.327 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1[C@@H]3CCC[C@@H]31)C2 ZINC001110422828 745126268 /nfs/dbraw/zinc/12/62/68/745126268.db2.gz GGCFJLRKMXOMEC-HLUFSKSISA-N 1 2 317.433 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1[C@@H]3CCC[C@@H]31)C2 ZINC001110422828 745126270 /nfs/dbraw/zinc/12/62/70/745126270.db2.gz GGCFJLRKMXOMEC-HLUFSKSISA-N 1 2 317.433 1.056 20 30 DDEDLO C[C@H](CCNc1cncc(C#N)n1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106309460 745591806 /nfs/dbraw/zinc/59/18/06/745591806.db2.gz HWOFATGSVLFMDC-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CCNc1ccc(C#N)cn1 ZINC001106395750 745656846 /nfs/dbraw/zinc/65/68/46/745656846.db2.gz QYJUMPMKIPWPKT-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCc3onc(Cn4cc[nH+]c4)c3C2)o1 ZINC001192674668 745858271 /nfs/dbraw/zinc/85/82/71/745858271.db2.gz ASCHJGZJXTYZGX-UHFFFAOYSA-N 1 2 323.312 1.583 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCc3nn(C)cc32)C1 ZINC001015620767 745921237 /nfs/dbraw/zinc/92/12/37/745921237.db2.gz ZKECIIWPUPMCQU-OLZOCXBDSA-N 1 2 322.840 1.783 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCc3nn(C)cc32)C1 ZINC001015620767 745921243 /nfs/dbraw/zinc/92/12/43/745921243.db2.gz ZKECIIWPUPMCQU-OLZOCXBDSA-N 1 2 322.840 1.783 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC001193139914 745994346 /nfs/dbraw/zinc/99/43/46/745994346.db2.gz ONFOVUUMJHTMBN-LBPRGKRZSA-N 1 2 309.391 1.173 20 30 DDEDLO C#CCCCC(=O)N(CC)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106744209 746013959 /nfs/dbraw/zinc/01/39/59/746013959.db2.gz IDNLDSKMMXFIGI-UHFFFAOYSA-N 1 2 318.425 1.310 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1O ZINC001193337308 746068385 /nfs/dbraw/zinc/06/83/85/746068385.db2.gz NZBPHOLVLUMWLW-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1O ZINC001193337308 746068391 /nfs/dbraw/zinc/06/83/91/746068391.db2.gz NZBPHOLVLUMWLW-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cnn(C)c2C)[C@H]1C ZINC000993397732 746071163 /nfs/dbraw/zinc/07/11/63/746071163.db2.gz KLLPPKOEYVWSPE-HIFRSBDPSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cnn(C)c2C)[C@H]1C ZINC000993397732 746071169 /nfs/dbraw/zinc/07/11/69/746071169.db2.gz KLLPPKOEYVWSPE-HIFRSBDPSA-N 1 2 324.856 1.993 20 30 DDEDLO CC(C)(O)CC[NH+]1CCN(C(=O)c2ccc(O)c(C#N)c2)CC1 ZINC001193505521 746128495 /nfs/dbraw/zinc/12/84/95/746128495.db2.gz ZOQGLDIVAIRQJQ-UHFFFAOYSA-N 1 2 317.389 1.183 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2cn[nH]n2)CCC[N@@H+]1Cc1ccc(C#N)cc1 ZINC000993545567 746206574 /nfs/dbraw/zinc/20/65/74/746206574.db2.gz VYUBJWQVCCRUEO-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2cn[nH]n2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000993545567 746206583 /nfs/dbraw/zinc/20/65/83/746206583.db2.gz VYUBJWQVCCRUEO-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2cnn[nH]2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000993545567 746206592 /nfs/dbraw/zinc/20/65/92/746206592.db2.gz VYUBJWQVCCRUEO-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ncc(OC)cn2)[C@@H]1C ZINC000993568966 746222179 /nfs/dbraw/zinc/22/21/79/746222179.db2.gz YWLDHMGANXLMRE-WCQYABFASA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ncc(OC)cn2)[C@@H]1C ZINC000993568966 746222181 /nfs/dbraw/zinc/22/21/81/746222181.db2.gz YWLDHMGANXLMRE-WCQYABFASA-N 1 2 324.812 1.820 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)cn2)C1 ZINC001194381731 746361698 /nfs/dbraw/zinc/36/16/98/746361698.db2.gz MKDRCUVTFDBJKN-HNNXBMFYSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)cn2)C1 ZINC001194381731 746361702 /nfs/dbraw/zinc/36/17/02/746361702.db2.gz MKDRCUVTFDBJKN-HNNXBMFYSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001194397398 746367705 /nfs/dbraw/zinc/36/77/05/746367705.db2.gz UXZOTQLSLBLJSO-LSDHHAIUSA-N 1 2 321.421 1.915 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001194397398 746367709 /nfs/dbraw/zinc/36/77/09/746367709.db2.gz UXZOTQLSLBLJSO-LSDHHAIUSA-N 1 2 321.421 1.915 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CCC[N@H+](CC(=C)Cl)[C@H]2C)nn1 ZINC000993950210 746403533 /nfs/dbraw/zinc/40/35/33/746403533.db2.gz LOZXLTPOSHZGGB-STQMWFEESA-N 1 2 323.828 1.799 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CCC[N@@H+](CC(=C)Cl)[C@H]2C)nn1 ZINC000993950210 746403535 /nfs/dbraw/zinc/40/35/35/746403535.db2.gz LOZXLTPOSHZGGB-STQMWFEESA-N 1 2 323.828 1.799 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CCCN(C(=O)CSCC#N)CC1 ZINC001194918797 746486585 /nfs/dbraw/zinc/48/65/85/746486585.db2.gz AUWQPGXXDAACLE-UHFFFAOYSA-N 1 2 308.407 1.274 20 30 DDEDLO Cc1ncoc1C[N@H+]1CCCN(C(=O)CSCC#N)CC1 ZINC001194918797 746486587 /nfs/dbraw/zinc/48/65/87/746486587.db2.gz AUWQPGXXDAACLE-UHFFFAOYSA-N 1 2 308.407 1.274 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H](C)C(C)C)CC1 ZINC001195192176 746541758 /nfs/dbraw/zinc/54/17/58/746541758.db2.gz TYFKLHYDRYMGBV-OAHLLOKOSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H](C)C(C)C)CC1 ZINC001195192176 746541759 /nfs/dbraw/zinc/54/17/59/746541759.db2.gz TYFKLHYDRYMGBV-OAHLLOKOSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[N@H+](Cc2ccnn2C)CC1 ZINC001195174610 746545344 /nfs/dbraw/zinc/54/53/44/746545344.db2.gz QJQKFUOVVIVKBE-OAHLLOKOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccnn2C)CC1 ZINC001195174610 746545346 /nfs/dbraw/zinc/54/53/46/746545346.db2.gz QJQKFUOVVIVKBE-OAHLLOKOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2cccnc2OC)CC1 ZINC001195315108 746575505 /nfs/dbraw/zinc/57/55/05/746575505.db2.gz YNPNCYQZHSTTCZ-UHFFFAOYSA-N 1 2 319.405 1.327 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2cccnc2OC)CC1 ZINC001195315108 746575509 /nfs/dbraw/zinc/57/55/09/746575509.db2.gz YNPNCYQZHSTTCZ-UHFFFAOYSA-N 1 2 319.405 1.327 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1O ZINC001195566955 746655437 /nfs/dbraw/zinc/65/54/37/746655437.db2.gz FWVBAKNFQQCNDJ-IACUBPJLSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1O ZINC001195566955 746655440 /nfs/dbraw/zinc/65/54/40/746655440.db2.gz FWVBAKNFQQCNDJ-IACUBPJLSA-N 1 2 309.435 1.407 20 30 DDEDLO Cc1nc(N2CC[C@]3(CCN(C(=O)C#CC(C)C)C3)C2)cc[nH+]1 ZINC001110473071 746660035 /nfs/dbraw/zinc/66/00/35/746660035.db2.gz XBIGNJKOXUVSBN-SFHVURJKSA-N 1 2 312.417 1.873 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)CC)CC1 ZINC001195645231 746664257 /nfs/dbraw/zinc/66/42/57/746664257.db2.gz LJYQQOJIAPSTHX-HNNXBMFYSA-N 1 2 307.438 1.239 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)CC)CC1 ZINC001195645231 746664260 /nfs/dbraw/zinc/66/42/60/746664260.db2.gz LJYQQOJIAPSTHX-HNNXBMFYSA-N 1 2 307.438 1.239 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)C(C)C)CC1 ZINC001195645565 746664399 /nfs/dbraw/zinc/66/43/99/746664399.db2.gz VZGMGHNOHOEOJE-MRXNPFEDSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)C(C)C)CC1 ZINC001195645565 746664401 /nfs/dbraw/zinc/66/44/01/746664401.db2.gz VZGMGHNOHOEOJE-MRXNPFEDSA-N 1 2 321.465 1.485 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cnc(C)cn2)CC1 ZINC001195681599 746670174 /nfs/dbraw/zinc/67/01/74/746670174.db2.gz GPVFLWNWVXRKJM-KRWDZBQOSA-N 1 2 318.421 1.146 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+](Cc2cnc(C)cn2)CC1 ZINC001195681599 746670175 /nfs/dbraw/zinc/67/01/75/746670175.db2.gz GPVFLWNWVXRKJM-KRWDZBQOSA-N 1 2 318.421 1.146 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195753988 746686519 /nfs/dbraw/zinc/68/65/19/746686519.db2.gz GQNPKXFXNRYFAL-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195753988 746686516 /nfs/dbraw/zinc/68/65/16/746686516.db2.gz GQNPKXFXNRYFAL-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2ccc(F)cn2)CC1 ZINC001195791364 746705627 /nfs/dbraw/zinc/70/56/27/746705627.db2.gz AUKVQLHQZTUNGL-CQSZACIVSA-N 1 2 319.380 1.293 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccc(F)cn2)CC1 ZINC001195791364 746705629 /nfs/dbraw/zinc/70/56/29/746705629.db2.gz AUKVQLHQZTUNGL-CQSZACIVSA-N 1 2 319.380 1.293 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3cnc(C)o3)C[C@H]2O)CCC1 ZINC001195939812 746731637 /nfs/dbraw/zinc/73/16/37/746731637.db2.gz HKRWIPBGEQAODK-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cnc(C)o3)C[C@H]2O)CCC1 ZINC001195939812 746731644 /nfs/dbraw/zinc/73/16/44/746731644.db2.gz HKRWIPBGEQAODK-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2C[C@H]2c2cccc(F)c2F)C1 ZINC001031411926 746772765 /nfs/dbraw/zinc/77/27/65/746772765.db2.gz PXEOHQIZBRSNPD-UONOGXRCSA-N 1 2 304.340 1.892 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001152259349 746824893 /nfs/dbraw/zinc/82/48/93/746824893.db2.gz AEUQBPRQAQEOFE-KGLIPLIRSA-N 1 2 323.441 1.123 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001152259349 746824900 /nfs/dbraw/zinc/82/49/00/746824900.db2.gz AEUQBPRQAQEOFE-KGLIPLIRSA-N 1 2 323.441 1.123 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CC[C@H]3C[C@H]3C2)CC1 ZINC001196358376 746843955 /nfs/dbraw/zinc/84/39/55/746843955.db2.gz MLIVRMTYCVSNPE-XHSDSOJGSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CC[C@H]3C[C@H]3C2)CC1 ZINC001196358376 746843959 /nfs/dbraw/zinc/84/39/59/746843959.db2.gz MLIVRMTYCVSNPE-XHSDSOJGSA-N 1 2 319.449 1.259 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnn[nH]2)CC(C)(C)C1 ZINC001089427030 746867775 /nfs/dbraw/zinc/86/77/75/746867775.db2.gz KVIXPWAQTFNFSU-LLVKDONJSA-N 1 2 311.817 1.778 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnn[nH]2)CC(C)(C)C1 ZINC001089427030 746867782 /nfs/dbraw/zinc/86/77/82/746867782.db2.gz KVIXPWAQTFNFSU-LLVKDONJSA-N 1 2 311.817 1.778 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1O ZINC001196468929 746869244 /nfs/dbraw/zinc/86/92/44/746869244.db2.gz LRFQCISDIXQBMA-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1O ZINC001196468929 746869253 /nfs/dbraw/zinc/86/92/53/746869253.db2.gz LRFQCISDIXQBMA-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1cc[n+]([O-])cc1 ZINC001031536645 746976216 /nfs/dbraw/zinc/97/62/16/746976216.db2.gz CBPSTAQYYGAVNZ-UHFFFAOYSA-N 1 2 321.380 1.033 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC001196852744 746991089 /nfs/dbraw/zinc/99/10/89/746991089.db2.gz CSVHOGNLRUQXBE-HUUCEWRRSA-N 1 2 323.481 1.894 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC001196852744 746991093 /nfs/dbraw/zinc/99/10/93/746991093.db2.gz CSVHOGNLRUQXBE-HUUCEWRRSA-N 1 2 323.481 1.894 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@H+](CC(=O)N3CCC3)CC2)CC1 ZINC001197055163 747038320 /nfs/dbraw/zinc/03/83/20/747038320.db2.gz KMVUGDHVUABGDM-UHFFFAOYSA-N 1 2 319.449 1.499 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@@H+](CC(=O)N3CCC3)CC2)CC1 ZINC001197055163 747038326 /nfs/dbraw/zinc/03/83/26/747038326.db2.gz KMVUGDHVUABGDM-UHFFFAOYSA-N 1 2 319.449 1.499 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](CCC)OC)c2C1 ZINC001128431618 747097782 /nfs/dbraw/zinc/09/77/82/747097782.db2.gz KEYLYIIFRMBWJY-MRXNPFEDSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H](CCC)OC)c2C1 ZINC001128431618 747097788 /nfs/dbraw/zinc/09/77/88/747097788.db2.gz KEYLYIIFRMBWJY-MRXNPFEDSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CC(C)(C)C(=O)NCc1cnn2c1C[N@H+](CCCF)CC2 ZINC001128448729 747161158 /nfs/dbraw/zinc/16/11/58/747161158.db2.gz WGUCFVZXBJZLPF-UHFFFAOYSA-N 1 2 308.401 1.887 20 30 DDEDLO C=CC(C)(C)C(=O)NCc1cnn2c1C[N@@H+](CCCF)CC2 ZINC001128448729 747161160 /nfs/dbraw/zinc/16/11/60/747161160.db2.gz WGUCFVZXBJZLPF-UHFFFAOYSA-N 1 2 308.401 1.887 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1cncc(F)c1 ZINC001031607633 747177985 /nfs/dbraw/zinc/17/79/85/747177985.db2.gz XMKVWJHQAHHSLF-UHFFFAOYSA-N 1 2 323.371 1.934 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)CCCO1 ZINC001089556670 747213707 /nfs/dbraw/zinc/21/37/07/747213707.db2.gz JLJUALLDKUTTBM-OAHLLOKOSA-N 1 2 318.421 1.853 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](CC)CCC)CC1 ZINC001197597182 747217421 /nfs/dbraw/zinc/21/74/21/747217421.db2.gz NMEVRYYXORGFSS-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](CC)CCC)CC1 ZINC001197597182 747217423 /nfs/dbraw/zinc/21/74/23/747217423.db2.gz NMEVRYYXORGFSS-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(CC)n1 ZINC001110494764 747233200 /nfs/dbraw/zinc/23/32/00/747233200.db2.gz WZWAAZUJXFNSSH-ZACQAIPSSA-N 1 2 314.433 1.928 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(CC)n1 ZINC001110494764 747233203 /nfs/dbraw/zinc/23/32/03/747233203.db2.gz WZWAAZUJXFNSSH-ZACQAIPSSA-N 1 2 314.433 1.928 20 30 DDEDLO CN(c1ncccc1C#N)[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001061270958 747250123 /nfs/dbraw/zinc/25/01/23/747250123.db2.gz DKMKYOZQVOFCOZ-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(F)cc2)[C@H](O)C1 ZINC001090016298 747292747 /nfs/dbraw/zinc/29/27/47/747292747.db2.gz HYMVVPDARMESNL-UONOGXRCSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(F)cc2)[C@H](O)C1 ZINC001090016298 747292752 /nfs/dbraw/zinc/29/27/52/747292752.db2.gz HYMVVPDARMESNL-UONOGXRCSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccccc2F)[C@@H](O)C1 ZINC001090018336 747311963 /nfs/dbraw/zinc/31/19/63/747311963.db2.gz ZUZIGKPDILYUID-KBPBESRZSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccccc2F)[C@@H](O)C1 ZINC001090018336 747311966 /nfs/dbraw/zinc/31/19/66/747311966.db2.gz ZUZIGKPDILYUID-KBPBESRZSA-N 1 2 312.772 1.743 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1ccns1 ZINC001031707219 747468544 /nfs/dbraw/zinc/46/85/44/747468544.db2.gz UALQZLHWBDJFGX-UHFFFAOYSA-N 1 2 311.410 1.856 20 30 DDEDLO C=CCCC(=O)NC[C@H]([NH2+][C@H](C)C(N)=O)c1ccccc1OC ZINC001198597196 747543709 /nfs/dbraw/zinc/54/37/09/747543709.db2.gz XZXIGDPBXJUHAB-OCCSQVGLSA-N 1 2 319.405 1.282 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+][C@H](C)c1nnc(CC)o1 ZINC001127608934 747764350 /nfs/dbraw/zinc/76/43/50/747764350.db2.gz JIYIQNWIAOICHF-UPJWGTAASA-N 1 2 322.409 1.380 20 30 DDEDLO Cc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC(C)C)C3)on1 ZINC001110532738 747847353 /nfs/dbraw/zinc/84/73/53/747847353.db2.gz AUSXEVWTFTXECW-BMFZPTHFSA-N 1 2 301.390 1.864 20 30 DDEDLO Cc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC(C)C)C3)on1 ZINC001110532738 747847362 /nfs/dbraw/zinc/84/73/62/747847362.db2.gz AUSXEVWTFTXECW-BMFZPTHFSA-N 1 2 301.390 1.864 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cnco3)C2)c(F)c1 ZINC001031883959 748117633 /nfs/dbraw/zinc/11/76/33/748117633.db2.gz HVXCLNTYAZLBBD-UHFFFAOYSA-N 1 2 314.320 1.547 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2C[NH+](Cc3nccnc3C)C2)c1 ZINC001031963856 748313015 /nfs/dbraw/zinc/31/30/15/748313015.db2.gz WLJJPCFPGLPPOJ-UHFFFAOYSA-N 1 2 321.384 1.023 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1C[NH+](CC=C(Cl)Cl)C1 ZINC001031988304 748365229 /nfs/dbraw/zinc/36/52/29/748365229.db2.gz UBBDYMHDTOFSRG-NEPJUHHUSA-N 1 2 319.232 1.945 20 30 DDEDLO Cc1ccc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)nn1 ZINC001004426901 748424252 /nfs/dbraw/zinc/42/42/52/748424252.db2.gz CRKAAJIAXQYDEK-INIZCTEOSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1ccc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)nn1 ZINC001004426901 748424253 /nfs/dbraw/zinc/42/42/53/748424253.db2.gz CRKAAJIAXQYDEK-INIZCTEOSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCCCn2cc[nH+]c2)cc1C#N ZINC001201287305 748454497 /nfs/dbraw/zinc/45/44/97/748454497.db2.gz FBWMRBCQJFYNIP-UHFFFAOYSA-N 1 2 304.375 1.432 20 30 DDEDLO C=C(Cl)CN1CCC[C@H](NC(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC001007688578 752274141 /nfs/dbraw/zinc/27/41/41/752274141.db2.gz SYFAFWFYLUQHST-KBPBESRZSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnc(C)nc2OC)C1 ZINC001033138536 748514774 /nfs/dbraw/zinc/51/47/74/748514774.db2.gz ZSJUAILKQMFVLS-LBPRGKRZSA-N 1 2 324.812 1.692 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnc(C)nc2OC)C1 ZINC001033138536 748514780 /nfs/dbraw/zinc/51/47/80/748514780.db2.gz ZSJUAILKQMFVLS-LBPRGKRZSA-N 1 2 324.812 1.692 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2cn3cc(C)nc3s2)C1 ZINC001033144562 748552203 /nfs/dbraw/zinc/55/22/03/748552203.db2.gz YLPLICXHWZNALT-LBPRGKRZSA-N 1 2 302.403 1.484 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2cn3cc(C)nc3s2)C1 ZINC001033144562 748552209 /nfs/dbraw/zinc/55/22/09/748552209.db2.gz YLPLICXHWZNALT-LBPRGKRZSA-N 1 2 302.403 1.484 20 30 DDEDLO Cc1nonc1CC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004586441 748568790 /nfs/dbraw/zinc/56/87/90/748568790.db2.gz SLMAKFNSHRIZHP-OAHLLOKOSA-N 1 2 317.393 1.147 20 30 DDEDLO Cc1nonc1CC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004586441 748568794 /nfs/dbraw/zinc/56/87/94/748568794.db2.gz SLMAKFNSHRIZHP-OAHLLOKOSA-N 1 2 317.393 1.147 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3ccc(F)cn3)C2)cn1 ZINC001032136881 748729329 /nfs/dbraw/zinc/72/93/29/748729329.db2.gz KLKXKYWQRXFPIP-UHFFFAOYSA-N 1 2 324.359 1.459 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+][C@H](C)c1nc(CC)no1)OCC ZINC001128540375 748762795 /nfs/dbraw/zinc/76/27/95/748762795.db2.gz VCDDAKIHGBMWDW-CHWSQXEVSA-N 1 2 324.425 1.770 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1snnc1C ZINC001153024982 748804667 /nfs/dbraw/zinc/80/46/67/748804667.db2.gz JHXMFUUQASPMHN-GFCCVEGCSA-N 1 2 308.451 1.977 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1snnc1C ZINC001153024982 748804670 /nfs/dbraw/zinc/80/46/70/748804670.db2.gz JHXMFUUQASPMHN-GFCCVEGCSA-N 1 2 308.451 1.977 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC ZINC001110594119 748807167 /nfs/dbraw/zinc/80/71/67/748807167.db2.gz FGXBEBXJQFCLRH-OAGGEKHMSA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC ZINC001110594119 748807171 /nfs/dbraw/zinc/80/71/71/748807171.db2.gz FGXBEBXJQFCLRH-OAGGEKHMSA-N 1 2 319.449 1.612 20 30 DDEDLO N#CCN1CC[C@H]([C@H]2CCCCN2C(=O)Cn2cc[nH+]c2)C1 ZINC001039253797 761891938 /nfs/dbraw/zinc/89/19/38/761891938.db2.gz OJPKGNDRDGXTDG-LSDHHAIUSA-N 1 2 301.394 1.110 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C3CC3)CC1)C2 ZINC001110698065 748905163 /nfs/dbraw/zinc/90/51/63/748905163.db2.gz YSSRRGPTNKXUQY-KFWWJZLASA-N 1 2 317.433 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C3CC3)CC1)C2 ZINC001110698065 748905168 /nfs/dbraw/zinc/90/51/68/748905168.db2.gz YSSRRGPTNKXUQY-KFWWJZLASA-N 1 2 317.433 1.200 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1ncc(C(C)C)o1 ZINC001125255669 749083133 /nfs/dbraw/zinc/08/31/33/749083133.db2.gz KZTKAKZFVGGCOQ-UHFFFAOYSA-N 1 2 309.410 1.987 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001108100869 749111038 /nfs/dbraw/zinc/11/10/38/749111038.db2.gz XQRJLXLNWMYVFI-BXUZGUMPSA-N 1 2 324.388 1.713 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C[C@@H]3C=CCC3)nn2)C1 ZINC001107124912 749171734 /nfs/dbraw/zinc/17/17/34/749171734.db2.gz ALURVHISEXTBEJ-CQSZACIVSA-N 1 2 313.405 1.131 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C3CC3)on2)C1 ZINC001108104008 749180955 /nfs/dbraw/zinc/18/09/55/749180955.db2.gz WBPDUKRTNMZOFO-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C3CC3)on2)C1 ZINC001108104008 749180957 /nfs/dbraw/zinc/18/09/57/749180957.db2.gz WBPDUKRTNMZOFO-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)/C=C(/C)CC)nn2)C1 ZINC001107168670 749475267 /nfs/dbraw/zinc/47/52/67/749475267.db2.gz ZCXXMKQWQDBIFX-JYRVWZFOSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)C3CCC3)nn2)C1 ZINC001107182735 749499743 /nfs/dbraw/zinc/49/97/43/749499743.db2.gz ZCMICLCBIBPPMC-GFCCVEGCSA-N 1 2 303.410 1.373 20 30 DDEDLO C#CCCCCCC(=O)NCc1cn(C2C[NH+](CC=C)C2)nn1 ZINC001107192433 749535175 /nfs/dbraw/zinc/53/51/75/749535175.db2.gz LITQUNFEYHTDJH-UHFFFAOYSA-N 1 2 315.421 1.521 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H]3CC=CCC3)nn2)C1 ZINC001107193893 749538988 /nfs/dbraw/zinc/53/89/88/749538988.db2.gz LFPIEIUBWLVJNH-CQSZACIVSA-N 1 2 315.421 1.683 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001033575850 749551528 /nfs/dbraw/zinc/55/15/28/749551528.db2.gz CHRMNTBNGXHDNT-RYUDHWBXSA-N 1 2 323.828 1.195 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001033575850 749551532 /nfs/dbraw/zinc/55/15/32/749551532.db2.gz CHRMNTBNGXHDNT-RYUDHWBXSA-N 1 2 323.828 1.195 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C[C@H]3C[C@@H]3C)nn2)C1 ZINC001107205242 749589258 /nfs/dbraw/zinc/58/92/58/749589258.db2.gz PZYOEZKLFFYCDV-QWHCGFSZSA-N 1 2 303.410 1.373 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CC[C@@H](C)CC)nn2)C1 ZINC001107221296 749636494 /nfs/dbraw/zinc/63/64/94/749636494.db2.gz RUILPWLCXJLWLP-ZDUSSCGKSA-N 1 2 305.426 1.763 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnc(OCC)cn2)C1 ZINC001033710935 749758754 /nfs/dbraw/zinc/75/87/54/749758754.db2.gz VGQLUTJDRLYYKP-GFCCVEGCSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc(OCC)cn2)C1 ZINC001033710935 749758759 /nfs/dbraw/zinc/75/87/59/749758759.db2.gz VGQLUTJDRLYYKP-GFCCVEGCSA-N 1 2 324.812 1.774 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)COCCCCCC)C1 ZINC001108365337 761984388 /nfs/dbraw/zinc/98/43/88/761984388.db2.gz GQLDAXLFQDDFHE-GOSISDBHSA-N 1 2 324.465 1.814 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)COCCCCCC)C1 ZINC001108365337 761984390 /nfs/dbraw/zinc/98/43/90/761984390.db2.gz GQLDAXLFQDDFHE-GOSISDBHSA-N 1 2 324.465 1.814 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)C(F)C(F)(F)F)cc1F ZINC001127777632 749975895 /nfs/dbraw/zinc/97/58/95/749975895.db2.gz AEVVJURSCUBQGS-NSHDSACASA-N 1 2 321.249 1.804 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)[C@H](F)C(F)(F)F)cc1F ZINC001127777632 749975900 /nfs/dbraw/zinc/97/59/00/749975900.db2.gz AEVVJURSCUBQGS-NSHDSACASA-N 1 2 321.249 1.804 20 30 DDEDLO C#Cc1ccc(C(=O)NCCCN(C)c2cc[nH+]c(C)n2)cn1 ZINC001095601783 750069947 /nfs/dbraw/zinc/06/99/47/750069947.db2.gz JWEKDMFTYIRYHT-UHFFFAOYSA-N 1 2 309.373 1.418 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnccc2C)[C@@H](O)C1 ZINC001090158944 750133953 /nfs/dbraw/zinc/13/39/53/750133953.db2.gz OPCIICIESHPGIG-KBPBESRZSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnccc2C)[C@@H](O)C1 ZINC001090158944 750133959 /nfs/dbraw/zinc/13/39/59/750133959.db2.gz OPCIICIESHPGIG-KBPBESRZSA-N 1 2 309.797 1.308 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)n2ccc(C)n2)C1 ZINC001108117550 750414453 /nfs/dbraw/zinc/41/44/53/750414453.db2.gz LMHIIYJZSFKKDD-RDJZCZTQSA-N 1 2 320.437 1.536 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)n2ccc(C)n2)C1 ZINC001108117550 750414454 /nfs/dbraw/zinc/41/44/54/750414454.db2.gz LMHIIYJZSFKKDD-RDJZCZTQSA-N 1 2 320.437 1.536 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001034478124 750467353 /nfs/dbraw/zinc/46/73/53/750467353.db2.gz REGGPRDOOJIVKA-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001034478124 750467355 /nfs/dbraw/zinc/46/73/55/750467355.db2.gz REGGPRDOOJIVKA-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1n1ccnn1 ZINC001128972422 750585611 /nfs/dbraw/zinc/58/56/11/750585611.db2.gz XMVYFSAQURLACI-IVDJAJHCSA-N 1 2 321.812 1.032 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1n1ccnn1 ZINC001128972422 750585612 /nfs/dbraw/zinc/58/56/12/750585612.db2.gz XMVYFSAQURLACI-IVDJAJHCSA-N 1 2 321.812 1.032 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cncnc2CC)C1 ZINC001108402568 762061207 /nfs/dbraw/zinc/06/12/07/762061207.db2.gz HLJWGBBOBIQFSQ-MRXNPFEDSA-N 1 2 304.394 1.046 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cncnc2CC)C1 ZINC001108402568 762061216 /nfs/dbraw/zinc/06/12/16/762061216.db2.gz HLJWGBBOBIQFSQ-MRXNPFEDSA-N 1 2 304.394 1.046 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccn(CC)c2C)C1 ZINC001108154675 750849769 /nfs/dbraw/zinc/84/97/69/750849769.db2.gz DDJYXFOKEKBWDH-QGZVFWFLSA-N 1 2 305.422 1.823 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccn(CC)c2C)C1 ZINC001108154675 750849774 /nfs/dbraw/zinc/84/97/74/750849774.db2.gz DDJYXFOKEKBWDH-QGZVFWFLSA-N 1 2 305.422 1.823 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cncn1-c1ccccc1 ZINC001032446918 750850168 /nfs/dbraw/zinc/85/01/68/750850168.db2.gz SMUBUYVWRFPUOS-HOTGVXAUSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cncn1-c1ccccc1 ZINC001032446918 750850176 /nfs/dbraw/zinc/85/01/76/750850176.db2.gz SMUBUYVWRFPUOS-HOTGVXAUSA-N 1 2 306.369 1.404 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc(F)c2C)C1 ZINC001107957682 750912862 /nfs/dbraw/zinc/91/28/62/750912862.db2.gz JTEDDZZXVGLZME-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc(F)c2C)C1 ZINC001107957682 750912868 /nfs/dbraw/zinc/91/28/68/750912868.db2.gz JTEDDZZXVGLZME-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(C2CC2)no1 ZINC001034887116 750984778 /nfs/dbraw/zinc/98/47/78/750984778.db2.gz SMLVNIFCVDATFP-ZYHUDNBSSA-N 1 2 303.366 1.187 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001114711755 751012987 /nfs/dbraw/zinc/01/29/87/751012987.db2.gz AFTFPDCXTFRYDL-TTZDDIAXSA-N 1 2 302.422 1.569 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001114711755 751012992 /nfs/dbraw/zinc/01/29/92/751012992.db2.gz AFTFPDCXTFRYDL-TTZDDIAXSA-N 1 2 302.422 1.569 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001114793028 751084680 /nfs/dbraw/zinc/08/46/80/751084680.db2.gz YPZMFEOJPRDWFD-RPCCPQHDSA-N 1 2 302.422 1.569 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001114793028 751084683 /nfs/dbraw/zinc/08/46/83/751084683.db2.gz YPZMFEOJPRDWFD-RPCCPQHDSA-N 1 2 302.422 1.569 20 30 DDEDLO Cc1nc(N[C@H](C)C2CCN(C(=O)[C@@H](C)C#N)CC2)cc[nH+]1 ZINC001061826292 751106090 /nfs/dbraw/zinc/10/60/90/751106090.db2.gz IJSRIVBIFJPGOG-NWDGAFQWSA-N 1 2 301.394 1.984 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(=O)Nc1ccc(OC)cc1 ZINC001032503978 751107142 /nfs/dbraw/zinc/10/71/42/751107142.db2.gz BKHFOUAHVPNUHL-KBPBESRZSA-N 1 2 315.373 1.105 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(=O)Nc1ccc(OC)cc1 ZINC001032503978 751107144 /nfs/dbraw/zinc/10/71/44/751107144.db2.gz BKHFOUAHVPNUHL-KBPBESRZSA-N 1 2 315.373 1.105 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001032506468 751111746 /nfs/dbraw/zinc/11/17/46/751111746.db2.gz FPHRVCSCJYYTNY-STQMWFEESA-N 1 2 309.369 1.613 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001032506468 751111749 /nfs/dbraw/zinc/11/17/49/751111749.db2.gz FPHRVCSCJYYTNY-STQMWFEESA-N 1 2 309.369 1.613 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(cn1)CCCC2 ZINC001032510512 751128990 /nfs/dbraw/zinc/12/89/90/751128990.db2.gz WQMPUPDQBOBCHI-IRXDYDNUSA-N 1 2 309.413 1.882 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(cn1)CCCC2 ZINC001032510512 751128994 /nfs/dbraw/zinc/12/89/94/751128994.db2.gz WQMPUPDQBOBCHI-IRXDYDNUSA-N 1 2 309.413 1.882 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)nc[nH]c1=O)C2 ZINC001095897415 751194337 /nfs/dbraw/zinc/19/43/37/751194337.db2.gz MMAFVTVUZLKXHJ-WOPDTQHZSA-N 1 2 322.796 1.578 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)nc[nH]c1=O)C2 ZINC001095897415 751194343 /nfs/dbraw/zinc/19/43/43/751194343.db2.gz MMAFVTVUZLKXHJ-WOPDTQHZSA-N 1 2 322.796 1.578 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cn[nH]c2)c1 ZINC001017376897 751204496 /nfs/dbraw/zinc/20/44/96/751204496.db2.gz DEBSAJOHZKKTPU-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cn[nH]c2)c1 ZINC001017376897 751204497 /nfs/dbraw/zinc/20/44/97/751204497.db2.gz DEBSAJOHZKKTPU-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](C)C(=O)NC1CC1 ZINC001032560505 751334444 /nfs/dbraw/zinc/33/44/44/751334444.db2.gz NBGHZGPTOWLFLA-XBFCOCLRSA-N 1 2 305.422 1.151 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](C)C(=O)NC1CC1 ZINC001032560505 751334450 /nfs/dbraw/zinc/33/44/50/751334450.db2.gz NBGHZGPTOWLFLA-XBFCOCLRSA-N 1 2 305.422 1.151 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CN2CCCCC2=O)C1 ZINC001007771687 752335092 /nfs/dbraw/zinc/33/50/92/752335092.db2.gz SVMZPUDNOADWNV-CYBMUJFWSA-N 1 2 313.829 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CN2CCCCC2=O)C1 ZINC001007771687 752335094 /nfs/dbraw/zinc/33/50/94/752335094.db2.gz SVMZPUDNOADWNV-CYBMUJFWSA-N 1 2 313.829 1.332 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC001008062290 752526589 /nfs/dbraw/zinc/52/65/89/752526589.db2.gz HHSIJERGUWLETF-SNVBAGLBSA-N 1 2 315.295 1.911 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC001008062290 752526594 /nfs/dbraw/zinc/52/65/94/752526594.db2.gz HHSIJERGUWLETF-SNVBAGLBSA-N 1 2 315.295 1.911 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999118176 752543014 /nfs/dbraw/zinc/54/30/14/752543014.db2.gz RKBWYLXEPVNGPJ-JSGCOSHPSA-N 1 2 316.405 1.687 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(F)c(Cl)c1 ZINC001032646399 752578552 /nfs/dbraw/zinc/57/85/52/752578552.db2.gz MMVQQUIAHLWDOQ-STQMWFEESA-N 1 2 306.768 1.940 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(F)c(Cl)c1 ZINC001032646399 752578557 /nfs/dbraw/zinc/57/85/57/752578557.db2.gz MMVQQUIAHLWDOQ-STQMWFEESA-N 1 2 306.768 1.940 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccnn3C)C2)cc1 ZINC001008149623 752579504 /nfs/dbraw/zinc/57/95/04/752579504.db2.gz ROMYVMVGKTWNKQ-QGZVFWFLSA-N 1 2 322.412 1.796 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3ccnn3C)C2)cc1 ZINC001008149623 752579507 /nfs/dbraw/zinc/57/95/07/752579507.db2.gz ROMYVMVGKTWNKQ-QGZVFWFLSA-N 1 2 322.412 1.796 20 30 DDEDLO C=CCn1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3C[C@H](F)CC)nn1 ZINC001032671110 752668439 /nfs/dbraw/zinc/66/84/39/752668439.db2.gz CTJONYPRYFOSRF-AGIUHOORSA-N 1 2 307.373 1.111 20 30 DDEDLO C=CCn1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3C[C@H](F)CC)nn1 ZINC001032671110 752668443 /nfs/dbraw/zinc/66/84/43/752668443.db2.gz CTJONYPRYFOSRF-AGIUHOORSA-N 1 2 307.373 1.111 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001062096400 752759193 /nfs/dbraw/zinc/75/91/93/752759193.db2.gz LENHPDNGWAZAIJ-XHDPSFHLSA-N 1 2 315.421 1.846 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)OCCC(C)C)C1 ZINC001108432392 762160670 /nfs/dbraw/zinc/16/06/70/762160670.db2.gz IMYJAAYEKVFWFH-SJLPKXTDSA-N 1 2 324.465 1.668 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)OCCC(C)C)C1 ZINC001108432392 762160674 /nfs/dbraw/zinc/16/06/74/762160674.db2.gz IMYJAAYEKVFWFH-SJLPKXTDSA-N 1 2 324.465 1.668 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(F)c(F)c2)C1 ZINC001108165320 752921818 /nfs/dbraw/zinc/92/18/18/752921818.db2.gz DOOJAHRKIBOAMM-KRWDZBQOSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(F)c(F)c2)C1 ZINC001108165320 752921824 /nfs/dbraw/zinc/92/18/24/752921824.db2.gz DOOJAHRKIBOAMM-KRWDZBQOSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CCC[N@H+]1CCC[C@@H](NC(=O)[C@@]2(C)CCCS2(=O)=O)C1 ZINC001008843949 752942890 /nfs/dbraw/zinc/94/28/90/752942890.db2.gz GGMGXVTWQSYJDK-UKRRQHHQSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCC[N@@H+]1CCC[C@@H](NC(=O)[C@@]2(C)CCCS2(=O)=O)C1 ZINC001008843949 752942898 /nfs/dbraw/zinc/94/28/98/752942898.db2.gz GGMGXVTWQSYJDK-UKRRQHHQSA-N 1 2 314.451 1.110 20 30 DDEDLO Cc1cccn2cc(CC(=O)N[C@H]3CCCN(CC#N)C3)[nH+]c12 ZINC001008870612 752954344 /nfs/dbraw/zinc/95/43/44/752954344.db2.gz PRNWUGWVYPMZTI-AWEZNQCLSA-N 1 2 311.389 1.289 20 30 DDEDLO Cc1conc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032719718 753114767 /nfs/dbraw/zinc/11/47/67/753114767.db2.gz SBRPNWGJSCRVKR-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1conc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032719718 753114770 /nfs/dbraw/zinc/11/47/70/753114770.db2.gz SBRPNWGJSCRVKR-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001077926344 753433051 /nfs/dbraw/zinc/43/30/51/753433051.db2.gz TYXVWEQYGIUNSB-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1cnccn1 ZINC001009966879 753509505 /nfs/dbraw/zinc/50/95/05/753509505.db2.gz GZXDNYSKAHHIIJ-MRXNPFEDSA-N 1 2 324.359 1.472 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1cnccn1 ZINC001009966879 753509510 /nfs/dbraw/zinc/50/95/10/753509510.db2.gz GZXDNYSKAHHIIJ-MRXNPFEDSA-N 1 2 324.359 1.472 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OCC)c(OC)c1 ZINC001032777034 753597906 /nfs/dbraw/zinc/59/79/06/753597906.db2.gz KQLKVYFRGUVCNH-GJZGRUSLSA-N 1 2 314.385 1.626 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OCC)c(OC)c1 ZINC001032777034 753597914 /nfs/dbraw/zinc/59/79/14/753597914.db2.gz KQLKVYFRGUVCNH-GJZGRUSLSA-N 1 2 314.385 1.626 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CC[C@@H](C)C3)C1 ZINC001078160210 753805294 /nfs/dbraw/zinc/80/52/94/753805294.db2.gz PDRKUCMOWRBOOJ-MRVWCRGKSA-N 1 2 318.442 1.281 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CC[C@@H](C)C3)C1 ZINC001078160210 753805298 /nfs/dbraw/zinc/80/52/98/753805298.db2.gz PDRKUCMOWRBOOJ-MRVWCRGKSA-N 1 2 318.442 1.281 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)[C@H]3CCOC3)C2)c(F)c1 ZINC001010360630 753808892 /nfs/dbraw/zinc/80/88/92/753808892.db2.gz QHXSBNFXKAULCS-LSDHHAIUSA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)[C@H]3CCOC3)C2)c(F)c1 ZINC001010360630 753808896 /nfs/dbraw/zinc/80/88/96/753808896.db2.gz QHXSBNFXKAULCS-LSDHHAIUSA-N 1 2 317.364 1.424 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(OC3CCC3)cc2)C1 ZINC001078209843 753842588 /nfs/dbraw/zinc/84/25/88/753842588.db2.gz MIAQWCLDYHUSMM-IAGOWNOFSA-N 1 2 314.385 1.026 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(OC3CCC3)cc2)C1 ZINC001078209843 753842599 /nfs/dbraw/zinc/84/25/99/753842599.db2.gz MIAQWCLDYHUSMM-IAGOWNOFSA-N 1 2 314.385 1.026 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063519824 754206541 /nfs/dbraw/zinc/20/65/41/754206541.db2.gz FIUDEMJFQRWVKW-KGLIPLIRSA-N 1 2 318.421 1.496 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3C[C@H](NCC#N)C34CCC4)ccn12 ZINC001078630270 754237832 /nfs/dbraw/zinc/23/78/32/754237832.db2.gz VWUCGXVCFMCDEY-LSDHHAIUSA-N 1 2 323.400 1.797 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N(CC)CC)nc1 ZINC001032813602 754261309 /nfs/dbraw/zinc/26/13/09/754261309.db2.gz BHJQUJYWPXLGRJ-HOTGVXAUSA-N 1 2 312.417 1.460 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N(CC)CC)nc1 ZINC001032813602 754261312 /nfs/dbraw/zinc/26/13/12/754261312.db2.gz BHJQUJYWPXLGRJ-HOTGVXAUSA-N 1 2 312.417 1.460 20 30 DDEDLO N#CCN[C@H]1C[C@H](NC(=O)c2cccc3[nH+]ccn32)C12CCC2 ZINC001078681311 754298137 /nfs/dbraw/zinc/29/81/37/754298137.db2.gz YZKRKMQTTGRXHE-KBPBESRZSA-N 1 2 309.373 1.488 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)CC[C@H]1Nc1ccc(C#N)nc1 ZINC001063754945 754331802 /nfs/dbraw/zinc/33/18/02/754331802.db2.gz XKGCCHJWRPRJLC-XJKSGUPXSA-N 1 2 324.388 1.499 20 30 DDEDLO Cc1nc(NC[C@@H]2CCC[C@H]2NC(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001064075398 754485778 /nfs/dbraw/zinc/48/57/78/754485778.db2.gz DUALMSMCGRDPCZ-UONOGXRCSA-N 1 2 324.388 1.995 20 30 DDEDLO CN(c1ncccc1C#N)[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001056997509 762310182 /nfs/dbraw/zinc/31/01/82/762310182.db2.gz CQVNACRAVFVJMZ-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO C=CCCCC(=O)NCC1(NC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001064278160 754598004 /nfs/dbraw/zinc/59/80/04/754598004.db2.gz CFDFFILQHBHHHC-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO C=CCCCC(=O)NCC1(NC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001064278160 754598007 /nfs/dbraw/zinc/59/80/07/754598007.db2.gz CFDFFILQHBHHHC-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO C[C@]1(NC(=O)c2ncccn2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046721648 767850268 /nfs/dbraw/zinc/85/02/68/767850268.db2.gz MQTQNZLGQZAVCI-SFHVURJKSA-N 1 2 321.384 1.743 20 30 DDEDLO C[C@]1(NC(=O)c2ncccn2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046721648 767850276 /nfs/dbraw/zinc/85/02/76/767850276.db2.gz MQTQNZLGQZAVCI-SFHVURJKSA-N 1 2 321.384 1.743 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)c3cc(C#N)c[nH]3)C[C@@H]2C)cc[nH+]1 ZINC001067074693 755665249 /nfs/dbraw/zinc/66/52/49/755665249.db2.gz ZUNVRLCBMROSPZ-IINYFYTJSA-N 1 2 310.361 1.557 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc3nccn3c2)C1 ZINC001080130141 755738329 /nfs/dbraw/zinc/73/83/29/755738329.db2.gz CINANILCSFWDTH-ZWNOBZJWSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc3nccn3c2)C1 ZINC001080130141 755738331 /nfs/dbraw/zinc/73/83/31/755738331.db2.gz CINANILCSFWDTH-ZWNOBZJWSA-N 1 2 319.796 1.532 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cnco1 ZINC001080223440 755784751 /nfs/dbraw/zinc/78/47/51/755784751.db2.gz JFXOIPUMWNIBAO-IUODEOHRSA-N 1 2 310.357 1.797 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cnco1 ZINC001080223440 755784755 /nfs/dbraw/zinc/78/47/55/755784755.db2.gz JFXOIPUMWNIBAO-IUODEOHRSA-N 1 2 310.357 1.797 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080351399 755864493 /nfs/dbraw/zinc/86/44/93/755864493.db2.gz YCENHEZZQNUZSH-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc3c(c2)nnn3C)C1 ZINC001014949468 756031050 /nfs/dbraw/zinc/03/10/50/756031050.db2.gz XXTFROGBAVDPNY-LBPRGKRZSA-N 1 2 319.796 1.525 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc3c(c2)nnn3C)C1 ZINC001014949468 756031056 /nfs/dbraw/zinc/03/10/56/756031056.db2.gz XXTFROGBAVDPNY-LBPRGKRZSA-N 1 2 319.796 1.525 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001015019769 756076518 /nfs/dbraw/zinc/07/65/18/756076518.db2.gz RNXFTYJZRAPSHQ-NSHDSACASA-N 1 2 301.371 1.027 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001015019769 756076524 /nfs/dbraw/zinc/07/65/24/756076524.db2.gz RNXFTYJZRAPSHQ-NSHDSACASA-N 1 2 301.371 1.027 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001081075743 756201612 /nfs/dbraw/zinc/20/16/12/756201612.db2.gz FATXJBIVBRLONF-SCDSUCTJSA-N 1 2 310.829 1.713 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001081075743 756201614 /nfs/dbraw/zinc/20/16/14/756201614.db2.gz FATXJBIVBRLONF-SCDSUCTJSA-N 1 2 310.829 1.713 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)C(C)(F)F ZINC001081272036 756266549 /nfs/dbraw/zinc/26/65/49/756266549.db2.gz JNXQSGVNZZQSEL-ZIAGYGMSSA-N 1 2 323.343 1.529 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)C(C)(F)F ZINC001081272036 756266555 /nfs/dbraw/zinc/26/65/55/756266555.db2.gz JNXQSGVNZZQSEL-ZIAGYGMSSA-N 1 2 323.343 1.529 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cn(C)nc2Cl)C1 ZINC001015700485 756474875 /nfs/dbraw/zinc/47/48/75/756474875.db2.gz IJZWGPLKEOYWJV-LLVKDONJSA-N 1 2 317.220 1.559 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cn(C)nc2Cl)C1 ZINC001015700485 756474878 /nfs/dbraw/zinc/47/48/78/756474878.db2.gz IJZWGPLKEOYWJV-LLVKDONJSA-N 1 2 317.220 1.559 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2ccnnc2)C1 ZINC001015748712 756516483 /nfs/dbraw/zinc/51/64/83/756516483.db2.gz UTLXCZLIOVDVSG-INIZCTEOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2ccnnc2)C1 ZINC001015748712 756516487 /nfs/dbraw/zinc/51/64/87/756516487.db2.gz UTLXCZLIOVDVSG-INIZCTEOSA-N 1 2 307.357 1.353 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC001015767729 756533151 /nfs/dbraw/zinc/53/31/51/756533151.db2.gz QJDODVOTRSUXCR-NSHDSACASA-N 1 2 320.780 1.825 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC001015767729 756533154 /nfs/dbraw/zinc/53/31/54/756533154.db2.gz QJDODVOTRSUXCR-NSHDSACASA-N 1 2 320.780 1.825 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1cccnn1 ZINC001015888804 756623420 /nfs/dbraw/zinc/62/34/20/756623420.db2.gz JPBCLPOVZYPHFG-MRXNPFEDSA-N 1 2 306.369 1.332 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cccnn1 ZINC001015888804 756623424 /nfs/dbraw/zinc/62/34/24/756623424.db2.gz JPBCLPOVZYPHFG-MRXNPFEDSA-N 1 2 306.369 1.332 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C(C)C)c2)[C@H](OC)C1 ZINC001082298999 756726234 /nfs/dbraw/zinc/72/62/34/756726234.db2.gz BARWGOYVEBMPEP-IAGOWNOFSA-N 1 2 300.402 1.872 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C(C)C)c2)[C@H](OC)C1 ZINC001082298999 756726237 /nfs/dbraw/zinc/72/62/37/756726237.db2.gz BARWGOYVEBMPEP-IAGOWNOFSA-N 1 2 300.402 1.872 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)o3)C2)cn1 ZINC001016032504 756733212 /nfs/dbraw/zinc/73/32/12/756733212.db2.gz BAULEUSOVWCYNU-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)o3)C2)cn1 ZINC001016032504 756733215 /nfs/dbraw/zinc/73/32/15/756733215.db2.gz BAULEUSOVWCYNU-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2OC)[C@H](OC)C1 ZINC001082356757 756749450 /nfs/dbraw/zinc/74/94/50/756749450.db2.gz OOUFTCFPLFUOPB-UKRRQHHQSA-N 1 2 322.792 1.411 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2OC)[C@H](OC)C1 ZINC001082356757 756749455 /nfs/dbraw/zinc/74/94/55/756749455.db2.gz OOUFTCFPLFUOPB-UKRRQHHQSA-N 1 2 322.792 1.411 20 30 DDEDLO CCc1nc[nH]c1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001016132463 756775748 /nfs/dbraw/zinc/77/57/48/756775748.db2.gz FMFRZFFYXWJLJB-OAHLLOKOSA-N 1 2 323.400 1.848 20 30 DDEDLO CCc1nc[nH]c1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001016132463 756775751 /nfs/dbraw/zinc/77/57/51/756775751.db2.gz FMFRZFFYXWJLJB-OAHLLOKOSA-N 1 2 323.400 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn3c1CCC3)C2 ZINC001097178935 756951796 /nfs/dbraw/zinc/95/17/96/756951796.db2.gz IIXYOGXSHNTYFC-KYOSRNDESA-N 1 2 320.824 1.917 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn3c1CCC3)C2 ZINC001097178935 756951799 /nfs/dbraw/zinc/95/17/99/756951799.db2.gz IIXYOGXSHNTYFC-KYOSRNDESA-N 1 2 320.824 1.917 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001097205333 756975002 /nfs/dbraw/zinc/97/50/02/756975002.db2.gz ONDCBHBKJYJVOH-GASCZTMLSA-N 1 2 324.388 1.379 20 30 DDEDLO CC(C)C(=O)N1CCO[C@@H]2C[N@H+](Cc3ccc(C#N)s3)C[C@@H]21 ZINC001083004423 757043187 /nfs/dbraw/zinc/04/31/87/757043187.db2.gz ZSGXPTCEQBRYPC-LSDHHAIUSA-N 1 2 319.430 1.687 20 30 DDEDLO CC(C)C(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccc(C#N)s3)C[C@@H]21 ZINC001083004423 757043196 /nfs/dbraw/zinc/04/31/96/757043196.db2.gz ZSGXPTCEQBRYPC-LSDHHAIUSA-N 1 2 319.430 1.687 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc[nH]c1=O)C2 ZINC001097299517 757062875 /nfs/dbraw/zinc/06/28/75/757062875.db2.gz SEHVLLXUCQKJQA-JLLWLGSASA-N 1 2 308.769 1.270 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc[nH]c1=O)C2 ZINC001097299517 757062877 /nfs/dbraw/zinc/06/28/77/757062877.db2.gz SEHVLLXUCQKJQA-JLLWLGSASA-N 1 2 308.769 1.270 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C)c3ccncc3)[C@H]2C1 ZINC001083120101 757121122 /nfs/dbraw/zinc/12/11/22/757121122.db2.gz ZJUVLZMZUCHVFG-HYVNUMGLSA-N 1 2 313.401 1.120 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C)c3ccncc3)[C@H]2C1 ZINC001083120101 757121127 /nfs/dbraw/zinc/12/11/27/757121127.db2.gz ZJUVLZMZUCHVFG-HYVNUMGLSA-N 1 2 313.401 1.120 20 30 DDEDLO CCc1cc(N2CCCC[C@@H]2CNC(=O)[C@@H](C)C#N)nc(C)[nH+]1 ZINC001097416318 757162144 /nfs/dbraw/zinc/16/21/44/757162144.db2.gz BXSMSGCYESLGSO-SWLSCSKDSA-N 1 2 315.421 1.982 20 30 DDEDLO O=C(c1ccon1)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084211075 757348132 /nfs/dbraw/zinc/34/81/32/757348132.db2.gz KRVZMGQPOPXUBH-SJLPKXTDSA-N 1 2 321.380 1.873 20 30 DDEDLO O=C(c1ccon1)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084211075 757348144 /nfs/dbraw/zinc/34/81/44/757348144.db2.gz KRVZMGQPOPXUBH-SJLPKXTDSA-N 1 2 321.380 1.873 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084236244 757437465 /nfs/dbraw/zinc/43/74/65/757437465.db2.gz BVXHPSJANOIBMX-CGTJXYLNSA-N 1 2 307.397 1.730 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084236244 757437476 /nfs/dbraw/zinc/43/74/76/757437476.db2.gz BVXHPSJANOIBMX-CGTJXYLNSA-N 1 2 307.397 1.730 20 30 DDEDLO Cc1nsc(N[C@@H](C)CNC(=O)CCc2[nH]cc[nH+]2)c1C#N ZINC001097792160 757526242 /nfs/dbraw/zinc/52/62/42/757526242.db2.gz NUZPNUFPZYDMDK-VIFPVBQESA-N 1 2 318.406 1.596 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C2CCC2)C1=O ZINC001017288248 757789563 /nfs/dbraw/zinc/78/95/63/757789563.db2.gz XIBBEWKKPCPKPW-OWCLPIDISA-N 1 2 317.433 1.249 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C2CCC2)C1=O ZINC001017288248 757789567 /nfs/dbraw/zinc/78/95/67/757789567.db2.gz XIBBEWKKPCPKPW-OWCLPIDISA-N 1 2 317.433 1.249 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3sc(COC)nc3C)[C@@H]2C1 ZINC001084800680 757928308 /nfs/dbraw/zinc/92/83/08/757928308.db2.gz SFBLMZLNNCQCNX-CHWSQXEVSA-N 1 2 319.430 1.377 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3sc(COC)nc3C)[C@@H]2C1 ZINC001084800680 757928312 /nfs/dbraw/zinc/92/83/12/757928312.db2.gz SFBLMZLNNCQCNX-CHWSQXEVSA-N 1 2 319.430 1.377 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]cc1-c1cnn(C)c1 ZINC001017549186 758015319 /nfs/dbraw/zinc/01/53/19/758015319.db2.gz DXCGFDFINKBLHR-GASCZTMLSA-N 1 2 323.400 1.337 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]cc1-c1cnn(C)c1 ZINC001017549186 758015327 /nfs/dbraw/zinc/01/53/27/758015327.db2.gz DXCGFDFINKBLHR-GASCZTMLSA-N 1 2 323.400 1.337 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)N1CCCCC1=O ZINC001017554033 758022342 /nfs/dbraw/zinc/02/23/42/758022342.db2.gz ULMGTXDDZQAKLJ-HRCADAONSA-N 1 2 317.433 1.086 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)N1CCCCC1=O ZINC001017554033 758022352 /nfs/dbraw/zinc/02/23/52/758022352.db2.gz ULMGTXDDZQAKLJ-HRCADAONSA-N 1 2 317.433 1.086 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-c2ccn(C)c2)n[nH]1 ZINC001017605272 758071984 /nfs/dbraw/zinc/07/19/84/758071984.db2.gz BFGGZRRNWBZSML-GASCZTMLSA-N 1 2 323.400 1.337 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-c2ccn(C)c2)n[nH]1 ZINC001017605272 758071996 /nfs/dbraw/zinc/07/19/96/758071996.db2.gz BFGGZRRNWBZSML-GASCZTMLSA-N 1 2 323.400 1.337 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C(F)F ZINC001017622617 758092165 /nfs/dbraw/zinc/09/21/65/758092165.db2.gz GIARJVKWOSHMCF-PHIMTYICSA-N 1 2 310.348 1.833 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C(F)F ZINC001017622617 758092176 /nfs/dbraw/zinc/09/21/76/758092176.db2.gz GIARJVKWOSHMCF-PHIMTYICSA-N 1 2 310.348 1.833 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2ncccc12 ZINC001017623228 758093638 /nfs/dbraw/zinc/09/36/38/758093638.db2.gz RMVLTENMGSYGIW-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2ncccc12 ZINC001017623228 758093651 /nfs/dbraw/zinc/09/36/51/758093651.db2.gz RMVLTENMGSYGIW-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099859286 758123454 /nfs/dbraw/zinc/12/34/54/758123454.db2.gz ZKPSQLAGRDAPGL-KBPBESRZSA-N 1 2 323.462 1.859 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099859286 758123461 /nfs/dbraw/zinc/12/34/61/758123461.db2.gz ZKPSQLAGRDAPGL-KBPBESRZSA-N 1 2 323.462 1.859 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2ccccn12 ZINC001017687246 758152343 /nfs/dbraw/zinc/15/23/43/758152343.db2.gz QISFLCLEIREYTG-GASCZTMLSA-N 1 2 308.385 1.646 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2ccccn12 ZINC001017687246 758152349 /nfs/dbraw/zinc/15/23/49/758152349.db2.gz QISFLCLEIREYTG-GASCZTMLSA-N 1 2 308.385 1.646 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c2c1CCCC2 ZINC001017698484 758162335 /nfs/dbraw/zinc/16/23/35/758162335.db2.gz PMJCDLOTSOZJDD-OKILXGFUSA-N 1 2 312.417 1.601 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c2c1CCCC2 ZINC001017698484 758162339 /nfs/dbraw/zinc/16/23/39/758162339.db2.gz PMJCDLOTSOZJDD-OKILXGFUSA-N 1 2 312.417 1.601 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2c1OCCC2 ZINC001017705284 758169259 /nfs/dbraw/zinc/16/92/59/758169259.db2.gz XNFYNSABFIMTGZ-BETUJISGSA-N 1 2 302.378 1.140 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2c1OCCC2 ZINC001017705284 758169263 /nfs/dbraw/zinc/16/92/63/758169263.db2.gz XNFYNSABFIMTGZ-BETUJISGSA-N 1 2 302.378 1.140 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2ccc(C)cc2n1 ZINC001017711478 758173624 /nfs/dbraw/zinc/17/36/24/758173624.db2.gz RJSPDVOBGDFNEU-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2ccc(C)cc2n1 ZINC001017711478 758173631 /nfs/dbraw/zinc/17/36/31/758173631.db2.gz RJSPDVOBGDFNEU-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#C[C@](C)(CC)Nc1cc(N2CCC(C(N)=O)CC2)nc[nH+]1 ZINC001169693553 762603156 /nfs/dbraw/zinc/60/31/56/762603156.db2.gz WHIVIIKEHUINQI-MRXNPFEDSA-N 1 2 301.394 1.392 20 30 DDEDLO C#C[C@](C)(CC)Nc1cc(N2CCC(C(N)=O)CC2)[nH+]cn1 ZINC001169693553 762603159 /nfs/dbraw/zinc/60/31/59/762603159.db2.gz WHIVIIKEHUINQI-MRXNPFEDSA-N 1 2 301.394 1.392 20 30 DDEDLO CC[C@H]([NH2+]C[C@H](O)c1cnn(C)c1)c1cccc(C#N)c1O ZINC000822658656 758225688 /nfs/dbraw/zinc/22/56/88/758225688.db2.gz KKNRGYJZKXWRQZ-GJZGRUSLSA-N 1 2 300.362 1.772 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCc1ccoc1)CCO2 ZINC001053215518 758272757 /nfs/dbraw/zinc/27/27/57/758272757.db2.gz XNCQXFIMNVRCGY-UHFFFAOYSA-N 1 2 304.390 1.702 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001017845192 758292944 /nfs/dbraw/zinc/29/29/44/758292944.db2.gz XNJRAECBBQUCQX-XHSDSOJGSA-N 1 2 317.433 1.084 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001017845192 758292954 /nfs/dbraw/zinc/29/29/54/758292954.db2.gz XNJRAECBBQUCQX-XHSDSOJGSA-N 1 2 317.433 1.084 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C)sn1)CCO2 ZINC001053237086 758293140 /nfs/dbraw/zinc/29/31/40/758293140.db2.gz QYTIOHNUXWEECN-UHFFFAOYSA-N 1 2 307.419 1.554 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001108530887 762620749 /nfs/dbraw/zinc/62/07/49/762620749.db2.gz VGYYBKAFCCGZAQ-STQMWFEESA-N 1 2 316.405 1.617 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCOC2(C[NH+](C[C@H](CC)OC)C2)C1 ZINC001053408670 758439049 /nfs/dbraw/zinc/43/90/49/758439049.db2.gz MRHDXYOZWQQDRE-DOTOQJQBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCOC2(C[NH+](C[C@H](C)OC)C2)C1 ZINC001053408942 758439167 /nfs/dbraw/zinc/43/91/67/758439167.db2.gz QEUYWDUANWBNNH-HOCLYGCPSA-N 1 2 310.438 1.537 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108536970 762629175 /nfs/dbraw/zinc/62/91/75/762629175.db2.gz KVIWFUFJHRKWSF-HNNXBMFYSA-N 1 2 317.433 1.157 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCOC3(C[NH+](C[C@@H](C)OC)C3)C2)C1 ZINC001053418602 758447667 /nfs/dbraw/zinc/44/76/67/758447667.db2.gz XHSLDLCBYSGFKW-CQSZACIVSA-N 1 2 308.422 1.291 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CCC)c1 ZINC001018009750 758470697 /nfs/dbraw/zinc/47/06/97/758470697.db2.gz LBGPUCKYLHGHOP-IYBDPMFKSA-N 1 2 300.406 1.605 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CCC)c1 ZINC001018009750 758470702 /nfs/dbraw/zinc/47/07/02/758470702.db2.gz LBGPUCKYLHGHOP-IYBDPMFKSA-N 1 2 300.406 1.605 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)/C=C(\C)CC)CC2=O)C1 ZINC001108542883 762643446 /nfs/dbraw/zinc/64/34/46/762643446.db2.gz PSQWKEOYQGJCSG-YGLIYXGISA-N 1 2 305.422 1.320 20 30 DDEDLO C=C(Cl)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1c[nH+]cn1C ZINC001018226655 758648574 /nfs/dbraw/zinc/64/85/74/758648574.db2.gz PJOJKIGQTPPOLP-GASCZTMLSA-N 1 2 322.840 1.780 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCO[C@H]1C=C)O2 ZINC001053663091 758675612 /nfs/dbraw/zinc/67/56/12/758675612.db2.gz GCZPOORXDZLZDY-ZNMIVQPWSA-N 1 2 306.406 1.113 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1nccs1)O2 ZINC001053679753 758688954 /nfs/dbraw/zinc/68/89/54/758688954.db2.gz NADNCJYELBDSIN-GFCCVEGCSA-N 1 2 307.419 1.682 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC)C2)CC1 ZINC001065677711 758697477 /nfs/dbraw/zinc/69/74/77/758697477.db2.gz WGGKIDIAXFSTLP-HOTGVXAUSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CCC)C2)CC1 ZINC001065682570 758701028 /nfs/dbraw/zinc/70/10/28/758701028.db2.gz JTRLGZCEUCBZJT-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)n1nc(C)cc1C ZINC001018334857 758737620 /nfs/dbraw/zinc/73/76/20/758737620.db2.gz PHCKTQFHDJZLBX-HRCADAONSA-N 1 2 300.406 1.369 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)n1nc(C)cc1C ZINC001018334857 758737625 /nfs/dbraw/zinc/73/76/25/758737625.db2.gz PHCKTQFHDJZLBX-HRCADAONSA-N 1 2 300.406 1.369 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccsc1)CO2 ZINC001053728350 758743916 /nfs/dbraw/zinc/74/39/16/758743916.db2.gz RSZRRYKKKTZKMK-CQSZACIVSA-N 1 2 306.431 1.826 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1-n1ccnn1 ZINC001018345984 758746204 /nfs/dbraw/zinc/74/62/04/758746204.db2.gz DFNPYGBYRWSGSJ-GASCZTMLSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1-n1ccnn1 ZINC001018345984 758746212 /nfs/dbraw/zinc/74/62/12/758746212.db2.gz DFNPYGBYRWSGSJ-GASCZTMLSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC12CCOCC2 ZINC001018352733 758751972 /nfs/dbraw/zinc/75/19/72/758751972.db2.gz GABBNLZXSVLSQF-XHSDSOJGSA-N 1 2 302.418 1.502 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC12CCOCC2 ZINC001018352733 758751979 /nfs/dbraw/zinc/75/19/79/758751979.db2.gz GABBNLZXSVLSQF-XHSDSOJGSA-N 1 2 302.418 1.502 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1csnc1C)CO2 ZINC001053781043 758805319 /nfs/dbraw/zinc/80/53/19/758805319.db2.gz ZTNOHLGRKRPUCU-GFCCVEGCSA-N 1 2 307.419 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)C(C)(C)C)CC2=O)C1 ZINC001108553111 762665026 /nfs/dbraw/zinc/66/50/26/762665026.db2.gz GADSLNZVBUHJBQ-UONOGXRCSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C(C)C)on1)CO2 ZINC001053884811 758919851 /nfs/dbraw/zinc/91/98/51/758919851.db2.gz VLBZURYVGUXPNF-CYBMUJFWSA-N 1 2 319.405 1.947 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)c1ccco1)CO2 ZINC001053922803 758958290 /nfs/dbraw/zinc/95/82/90/758958290.db2.gz JJDWGIFZOYTLJC-KGLIPLIRSA-N 1 2 302.374 1.366 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001065969259 758963702 /nfs/dbraw/zinc/96/37/02/758963702.db2.gz RAWINCJSMDWWAJ-AWEZNQCLSA-N 1 2 318.421 1.724 20 30 DDEDLO Cc1nc(N2C[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@@H]3C2)c(C)c(C)[nH+]1 ZINC001065975331 758970321 /nfs/dbraw/zinc/97/03/21/758970321.db2.gz ZGYJYPZVUHTSDP-NZVBXONLSA-N 1 2 313.405 1.456 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncccc1F)CO2 ZINC001053967171 759003455 /nfs/dbraw/zinc/00/34/55/759003455.db2.gz SSZKDPWJGNACIM-GFCCVEGCSA-N 1 2 305.353 1.370 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccn1CC)CO2 ZINC001054007583 759054848 /nfs/dbraw/zinc/05/48/48/759054848.db2.gz HQULYFWWZHEBHD-AWEZNQCLSA-N 1 2 301.390 1.104 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H]1CCCOC1)CO2 ZINC001054011952 759060755 /nfs/dbraw/zinc/06/07/55/759060755.db2.gz SBJZQMGWHJBPOC-HUUCEWRRSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnccn1 ZINC001054030678 759083356 /nfs/dbraw/zinc/08/33/56/759083356.db2.gz OWKNWPHPVMSXGI-INIZCTEOSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnccn1 ZINC001054030678 759083363 /nfs/dbraw/zinc/08/33/63/759083363.db2.gz OWKNWPHPVMSXGI-INIZCTEOSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccc(=O)[nH]c1 ZINC001054059487 759111967 /nfs/dbraw/zinc/11/19/67/759111967.db2.gz QAYMEPDXFFTNQM-KRWDZBQOSA-N 1 2 321.380 1.577 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccc(=O)[nH]c1 ZINC001054059487 759111974 /nfs/dbraw/zinc/11/19/74/759111974.db2.gz QAYMEPDXFFTNQM-KRWDZBQOSA-N 1 2 321.380 1.577 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3(CC)CCC3)CC2=O)C1 ZINC001108563003 762688927 /nfs/dbraw/zinc/68/89/27/762688927.db2.gz GTMCJYTVOKQSRV-CQSZACIVSA-N 1 2 319.449 1.544 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC001018722734 759185724 /nfs/dbraw/zinc/18/57/24/759185724.db2.gz CVWZKAIZUPUFQB-SKDRFNHKSA-N 1 2 314.349 1.143 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C(C)(C)CCC)CC2=O)C1 ZINC001108568582 762696330 /nfs/dbraw/zinc/69/63/30/762696330.db2.gz XGALJAXTXLLMMN-AWEZNQCLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3(CC=C)CCC3)CC2=O)C1 ZINC001108570312 762698267 /nfs/dbraw/zinc/69/82/67/762698267.db2.gz ZIPHDILLBWVGGM-AWEZNQCLSA-N 1 2 317.433 1.320 20 30 DDEDLO C[C@H](CC(=O)N1CCC[C@@]2(CCN(CC#N)C2)C1)n1cc[nH+]c1 ZINC001054205504 759291208 /nfs/dbraw/zinc/29/12/08/759291208.db2.gz SHSURRXDUORIHI-WBVHZDCISA-N 1 2 315.421 1.672 20 30 DDEDLO C/C(=C/C(=O)N1CCCC(C)(C)[C@@H]1C#N)C[NH+]1CCOCC1 ZINC000826702857 759304728 /nfs/dbraw/zinc/30/47/28/759304728.db2.gz BOVJMJVCTAZVHF-SZGZABIGSA-N 1 2 305.422 1.806 20 30 DDEDLO Cc1cc(N[C@H]2C[C@@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001069138974 767875675 /nfs/dbraw/zinc/87/56/75/767875675.db2.gz ZMPNAJSZRHAAAB-OCCSQVGLSA-N 1 2 324.388 1.051 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534485 759645863 /nfs/dbraw/zinc/64/58/63/759645863.db2.gz LWDHLIKMJJSIAU-TZMCWYRMSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534485 759645869 /nfs/dbraw/zinc/64/58/69/759645869.db2.gz LWDHLIKMJJSIAU-TZMCWYRMSA-N 1 2 324.388 1.584 20 30 DDEDLO CC[C@@H](C)CCC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131097093 767879281 /nfs/dbraw/zinc/87/92/81/767879281.db2.gz ZWWVSDCOKWZBIP-RBSFLKMASA-N 1 2 317.437 1.654 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001019232277 759702994 /nfs/dbraw/zinc/70/29/94/759702994.db2.gz SNTTZAYQEIRRJO-LBPRGKRZSA-N 1 2 321.425 1.428 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn2c1cccc2OC ZINC001085626554 759895102 /nfs/dbraw/zinc/89/51/02/759895102.db2.gz OYRRGGUCWJWJGO-ZDUSSCGKSA-N 1 2 312.373 1.122 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn2c1cccc2OC ZINC001085626554 759895112 /nfs/dbraw/zinc/89/51/12/759895112.db2.gz OYRRGGUCWJWJGO-ZDUSSCGKSA-N 1 2 312.373 1.122 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c(CC)c1Cl ZINC001085646894 759917301 /nfs/dbraw/zinc/91/73/01/759917301.db2.gz VJKWDTJPWRKNSK-NSHDSACASA-N 1 2 308.813 1.795 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c(CC)c1Cl ZINC001085646894 759917304 /nfs/dbraw/zinc/91/73/04/759917304.db2.gz VJKWDTJPWRKNSK-NSHDSACASA-N 1 2 308.813 1.795 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001085679062 759990486 /nfs/dbraw/zinc/99/04/86/759990486.db2.gz ZHHKBRRPDGDMFM-LBPRGKRZSA-N 1 2 310.357 1.115 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001085679062 759990488 /nfs/dbraw/zinc/99/04/88/759990488.db2.gz ZHHKBRRPDGDMFM-LBPRGKRZSA-N 1 2 310.357 1.115 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001085682583 760003401 /nfs/dbraw/zinc/00/34/01/760003401.db2.gz XDFFNLLYDHIMSO-CYBMUJFWSA-N 1 2 324.384 1.505 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001085682583 760003415 /nfs/dbraw/zinc/00/34/15/760003415.db2.gz XDFFNLLYDHIMSO-CYBMUJFWSA-N 1 2 324.384 1.505 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001046783336 767921910 /nfs/dbraw/zinc/92/19/10/767921910.db2.gz ANHACXRDUBDIJR-ZFWWWQNUSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001046783336 767921913 /nfs/dbraw/zinc/92/19/13/767921913.db2.gz ANHACXRDUBDIJR-ZFWWWQNUSA-N 1 2 313.829 1.330 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069221361 767925023 /nfs/dbraw/zinc/92/50/23/767925023.db2.gz NDCSUAUNISKTEI-WFASDCNBSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069221361 767925031 /nfs/dbraw/zinc/92/50/31/767925031.db2.gz NDCSUAUNISKTEI-WFASDCNBSA-N 1 2 324.388 1.710 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]([NH2+]Cc2nc(COC)no2)[C@@H](C)C1 ZINC001054976355 760250673 /nfs/dbraw/zinc/25/06/73/760250673.db2.gz LAPKWLJITAYWJH-RYUDHWBXSA-N 1 2 322.409 1.365 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@@H]2CCN(C)C2=O)CC1 ZINC001085802696 760260336 /nfs/dbraw/zinc/26/03/36/760260336.db2.gz ZTSUCSPFYSEKQL-HZPDHXFCSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2[C@@H]2CCN(C)C2=O)CC1 ZINC001085802696 760260338 /nfs/dbraw/zinc/26/03/38/760260338.db2.gz ZTSUCSPFYSEKQL-HZPDHXFCSA-N 1 2 319.449 1.496 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)Nc1ncc(C#N)cc1F ZINC001097975541 760327280 /nfs/dbraw/zinc/32/72/80/760327280.db2.gz VGQUCMHSEYVNGN-VIFPVBQESA-N 1 2 316.340 1.283 20 30 DDEDLO Cc1c[nH]c(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#Cc2ccccc2)n1 ZINC001085864973 760415056 /nfs/dbraw/zinc/41/50/56/760415056.db2.gz PKWFXNHDNFTBSK-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1c[nH]c(C(=O)N(C)C[C@H]2CC[N@H+]2CC#Cc2ccccc2)n1 ZINC001085864973 760415059 /nfs/dbraw/zinc/41/50/59/760415059.db2.gz PKWFXNHDNFTBSK-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(Cl)n(C)nc1CC ZINC001085916399 760523900 /nfs/dbraw/zinc/52/39/00/760523900.db2.gz YXFXZQAJLBTXAI-GFCCVEGCSA-N 1 2 322.840 1.806 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(Cl)n(C)nc1CC ZINC001085916399 760523910 /nfs/dbraw/zinc/52/39/10/760523910.db2.gz YXFXZQAJLBTXAI-GFCCVEGCSA-N 1 2 322.840 1.806 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2conc2C)cn1 ZINC001085932765 760561720 /nfs/dbraw/zinc/56/17/20/760561720.db2.gz IGDFXPLXCDQYIF-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2conc2C)cn1 ZINC001085932765 760561724 /nfs/dbraw/zinc/56/17/24/760561724.db2.gz IGDFXPLXCDQYIF-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc(OC)c(Cl)c1 ZINC001085984572 760664007 /nfs/dbraw/zinc/66/40/07/760664007.db2.gz HKGOTUMKKNFDKT-ZDUSSCGKSA-N 1 2 321.808 1.913 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc(OC)c(Cl)c1 ZINC001085984572 760664015 /nfs/dbraw/zinc/66/40/15/760664015.db2.gz HKGOTUMKKNFDKT-ZDUSSCGKSA-N 1 2 321.808 1.913 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)c1cc[nH]c(=O)c1 ZINC001038148777 760854591 /nfs/dbraw/zinc/85/45/91/760854591.db2.gz UZCYOPCBTWVLMN-QGZVFWFLSA-N 1 2 321.380 1.643 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)c1cc[nH]c(=O)c1 ZINC001038148777 760854607 /nfs/dbraw/zinc/85/46/07/760854607.db2.gz UZCYOPCBTWVLMN-QGZVFWFLSA-N 1 2 321.380 1.643 20 30 DDEDLO CCc1nocc1C[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038153291 760859475 /nfs/dbraw/zinc/85/94/75/760859475.db2.gz OKAFUVMFQCOONG-CYBMUJFWSA-N 1 2 313.361 1.441 20 30 DDEDLO CCc1nocc1C[N@H+]1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038153291 760859485 /nfs/dbraw/zinc/85/94/85/760859485.db2.gz OKAFUVMFQCOONG-CYBMUJFWSA-N 1 2 313.361 1.441 20 30 DDEDLO Cc1nonc1C(=O)N[C@@]1(C)CC[N@H+](CC#Cc2ccccc2)C1 ZINC001046870118 767998558 /nfs/dbraw/zinc/99/85/58/767998558.db2.gz HZQUUIJQHAVOND-SFHVURJKSA-N 1 2 324.384 1.624 20 30 DDEDLO Cc1nonc1C(=O)N[C@@]1(C)CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001046870118 767998559 /nfs/dbraw/zinc/99/85/59/767998559.db2.gz HZQUUIJQHAVOND-SFHVURJKSA-N 1 2 324.384 1.624 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ccncc1C#N ZINC001069414966 768015850 /nfs/dbraw/zinc/01/58/50/768015850.db2.gz IZFLIGPSKZLVEB-IUODEOHRSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)c2cccnc2)C1 ZINC001108256404 761215967 /nfs/dbraw/zinc/21/59/67/761215967.db2.gz WPEDBOFPEJJUIU-CRAIPNDOSA-N 1 2 317.433 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)c2cccnc2)C1 ZINC001108256404 761215971 /nfs/dbraw/zinc/21/59/71/761215971.db2.gz WPEDBOFPEJJUIU-CRAIPNDOSA-N 1 2 317.433 1.968 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2cn(C)nc2C)C1 ZINC001108258524 761259817 /nfs/dbraw/zinc/25/98/17/761259817.db2.gz SPWSMLAGGUDMND-KRWDZBQOSA-N 1 2 320.437 1.054 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2cn(C)nc2C)C1 ZINC001108258524 761259818 /nfs/dbraw/zinc/25/98/18/761259818.db2.gz SPWSMLAGGUDMND-KRWDZBQOSA-N 1 2 320.437 1.054 20 30 DDEDLO C[C@H](CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001098320124 761298254 /nfs/dbraw/zinc/29/82/54/761298254.db2.gz OTHGPFYNNHSEKC-VXGBXAGGSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001098320124 761298258 /nfs/dbraw/zinc/29/82/58/761298258.db2.gz OTHGPFYNNHSEKC-VXGBXAGGSA-N 1 2 312.377 1.472 20 30 DDEDLO Cc1nsc(N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)c1C#N ZINC001056790380 761432650 /nfs/dbraw/zinc/43/26/50/761432650.db2.gz AIAGSUXRGUHWPX-SNVBAGLBSA-N 1 2 316.390 1.302 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001056797338 761436847 /nfs/dbraw/zinc/43/68/47/761436847.db2.gz CRODAGRPLNYKQH-GXTWGEPZSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001056797338 761436849 /nfs/dbraw/zinc/43/68/49/761436849.db2.gz CRODAGRPLNYKQH-GXTWGEPZSA-N 1 2 324.388 1.568 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001069510109 768039303 /nfs/dbraw/zinc/03/93/03/768039303.db2.gz FNCVJSXKOFLOFX-OCCSQVGLSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001056807675 761447724 /nfs/dbraw/zinc/44/77/24/761447724.db2.gz STOUTTQVZPEWMQ-CQSZACIVSA-N 1 2 310.361 1.322 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001056807675 761447726 /nfs/dbraw/zinc/44/77/26/761447726.db2.gz STOUTTQVZPEWMQ-CQSZACIVSA-N 1 2 310.361 1.322 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn([C@H](C)CC)c1C ZINC001038900949 761525827 /nfs/dbraw/zinc/52/58/27/761525827.db2.gz PPWXAEXASGDIDT-UKRRQHHQSA-N 1 2 302.422 1.990 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn([C@H](C)CC)c1C ZINC001038900949 761525833 /nfs/dbraw/zinc/52/58/33/761525833.db2.gz PPWXAEXASGDIDT-UKRRQHHQSA-N 1 2 302.422 1.990 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(Br)o1 ZINC001038950133 761583686 /nfs/dbraw/zinc/58/36/86/761583686.db2.gz SAWLQJGQLRJRAI-JTQLQIEISA-N 1 2 311.179 1.870 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(Br)o1 ZINC001038950133 761583691 /nfs/dbraw/zinc/58/36/91/761583691.db2.gz SAWLQJGQLRJRAI-JTQLQIEISA-N 1 2 311.179 1.870 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COc2ccccc2)[C@H](O)C1 ZINC001100087445 761632783 /nfs/dbraw/zinc/63/27/83/761632783.db2.gz LQPZMIJYUPYEHO-LSDHHAIUSA-N 1 2 324.808 1.369 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COc2ccccc2)[C@H](O)C1 ZINC001100087445 761632787 /nfs/dbraw/zinc/63/27/87/761632787.db2.gz LQPZMIJYUPYEHO-LSDHHAIUSA-N 1 2 324.808 1.369 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(OC)c(OC)cc1C ZINC001039041880 761682227 /nfs/dbraw/zinc/68/22/27/761682227.db2.gz FEWCKGXNMIKMSQ-AWEZNQCLSA-N 1 2 316.401 1.840 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(OC)c(OC)cc1C ZINC001039041880 761682229 /nfs/dbraw/zinc/68/22/29/761682229.db2.gz FEWCKGXNMIKMSQ-AWEZNQCLSA-N 1 2 316.401 1.840 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)CC(=C)C)CC2=O)C1 ZINC001108566893 762709874 /nfs/dbraw/zinc/70/98/74/762709874.db2.gz QAMHFXPWOPJZAI-KBPBESRZSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108574868 762721819 /nfs/dbraw/zinc/72/18/19/762721819.db2.gz CSNDQGMNOIDDFU-AWEZNQCLSA-N 1 2 319.449 1.566 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CCC3(C)CC3)CC2=O)C1 ZINC001108582906 762749791 /nfs/dbraw/zinc/74/97/91/762749791.db2.gz GJKDEERSIYIQNA-CYBMUJFWSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108651926 762832154 /nfs/dbraw/zinc/83/21/54/762832154.db2.gz KBZQACRACXABPH-NSHDSACASA-N 1 2 320.441 1.765 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)CC(CC)CC)[C@@H](n2ccnn2)C1 ZINC001129366478 762950720 /nfs/dbraw/zinc/95/07/20/762950720.db2.gz RVGDBZDQDPQCET-CVEARBPZSA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)CC(CC)CC)[C@@H](n2ccnn2)C1 ZINC001129366478 762950723 /nfs/dbraw/zinc/95/07/23/762950723.db2.gz RVGDBZDQDPQCET-CVEARBPZSA-N 1 2 317.437 1.469 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(OC)no1 ZINC001109070896 763304928 /nfs/dbraw/zinc/30/49/28/763304928.db2.gz NJBITMNJBJCZFO-XBFCOCLRSA-N 1 2 321.377 1.107 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(OC)no1 ZINC001109070896 763304938 /nfs/dbraw/zinc/30/49/38/763304938.db2.gz NJBITMNJBJCZFO-XBFCOCLRSA-N 1 2 321.377 1.107 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccccc1C#N ZINC001109079897 763316608 /nfs/dbraw/zinc/31/66/08/763316608.db2.gz FIYWBWWITYHMID-IEAZIUSSSA-N 1 2 308.385 1.939 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccccc1C#N ZINC001109079897 763316618 /nfs/dbraw/zinc/31/66/18/763316618.db2.gz FIYWBWWITYHMID-IEAZIUSSSA-N 1 2 308.385 1.939 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001050258733 763382908 /nfs/dbraw/zinc/38/29/08/763382908.db2.gz DKPVCZRSDGXJLO-KBPBESRZSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)=C(C)C)C2 ZINC001109149281 763384437 /nfs/dbraw/zinc/38/44/37/763384437.db2.gz FCCSTKCSDHRFLF-MQYQWHSLSA-N 1 2 323.412 1.662 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)=C(C)C)C2 ZINC001109149281 763384445 /nfs/dbraw/zinc/38/44/45/763384445.db2.gz FCCSTKCSDHRFLF-MQYQWHSLSA-N 1 2 323.412 1.662 20 30 DDEDLO C[C@H](CN(C)c1ncccc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001109294317 763554192 /nfs/dbraw/zinc/55/41/92/763554192.db2.gz RRSBHBZFTXQMBZ-MRVWCRGKSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@H](CN(C)c1ncccc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001109294317 763554194 /nfs/dbraw/zinc/55/41/94/763554194.db2.gz RRSBHBZFTXQMBZ-MRVWCRGKSA-N 1 2 324.388 1.421 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)nc1 ZINC001042058594 763601272 /nfs/dbraw/zinc/60/12/72/763601272.db2.gz SKMBLGCBYZRSQF-SJORKVTESA-N 1 2 324.428 1.621 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)nc1 ZINC001042058594 763601278 /nfs/dbraw/zinc/60/12/78/763601278.db2.gz SKMBLGCBYZRSQF-SJORKVTESA-N 1 2 324.428 1.621 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)n1 ZINC001042057858 763601634 /nfs/dbraw/zinc/60/16/34/763601634.db2.gz NJBJYMVELROQLE-LSDHHAIUSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)n1 ZINC001042057858 763601641 /nfs/dbraw/zinc/60/16/41/763601641.db2.gz NJBJYMVELROQLE-LSDHHAIUSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1nnc(C[NH+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)CC2)s1 ZINC001050463744 763625770 /nfs/dbraw/zinc/62/57/70/763625770.db2.gz ZHDJBBCRCILQNK-LLVKDONJSA-N 1 2 319.434 1.431 20 30 DDEDLO CCc1nnc(C[NH+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)CC2)o1 ZINC001050463695 763625994 /nfs/dbraw/zinc/62/59/94/763625994.db2.gz XRHLMONKNLZIBB-GFCCVEGCSA-N 1 2 317.393 1.216 20 30 DDEDLO N#Cc1cccnc1NCC1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001057503550 763859310 /nfs/dbraw/zinc/85/93/10/763859310.db2.gz VDVUSEOCUIGMNQ-UHFFFAOYSA-N 1 2 324.388 1.500 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3CCCOC3)c2C1 ZINC001069854942 768185429 /nfs/dbraw/zinc/18/54/29/768185429.db2.gz FLXSAIZDCVQZHH-AWEZNQCLSA-N 1 2 318.421 1.318 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3CCCOC3)c2C1 ZINC001069854942 768185431 /nfs/dbraw/zinc/18/54/31/768185431.db2.gz FLXSAIZDCVQZHH-AWEZNQCLSA-N 1 2 318.421 1.318 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncccc1C ZINC001050863408 764201926 /nfs/dbraw/zinc/20/19/26/764201926.db2.gz KJNUEIWWOPWTOB-HNNXBMFYSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncccc1C ZINC001050863408 764201931 /nfs/dbraw/zinc/20/19/31/764201931.db2.gz KJNUEIWWOPWTOB-HNNXBMFYSA-N 1 2 303.406 1.787 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cn2)CC[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067404025 764299127 /nfs/dbraw/zinc/29/91/27/764299127.db2.gz VBRVXJSGUJSVIG-HIFRSBDPSA-N 1 2 324.388 1.181 20 30 DDEDLO CCCCCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(N)=O ZINC001110022828 764309153 /nfs/dbraw/zinc/30/91/53/764309153.db2.gz ACMOZHWMYZACPW-ILXRZTDVSA-N 1 2 309.454 1.944 20 30 DDEDLO CCCCCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(N)=O ZINC001110022828 764309162 /nfs/dbraw/zinc/30/91/62/764309162.db2.gz ACMOZHWMYZACPW-ILXRZTDVSA-N 1 2 309.454 1.944 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1OCC[C@H]1CC ZINC001050961518 764354701 /nfs/dbraw/zinc/35/47/01/764354701.db2.gz CGYKCQFPZPPKGX-OAGGEKHMSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1OCC[C@H]1CC ZINC001050961518 764354705 /nfs/dbraw/zinc/35/47/05/764354705.db2.gz CGYKCQFPZPPKGX-OAGGEKHMSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(C2CC2)cn1 ZINC001051229912 764650001 /nfs/dbraw/zinc/65/00/01/764650001.db2.gz GELAIYGQHBNRKD-MRXNPFEDSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(C2CC2)cn1 ZINC001051229912 764650005 /nfs/dbraw/zinc/65/00/05/764650005.db2.gz GELAIYGQHBNRKD-MRXNPFEDSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncnc1CC ZINC001051247686 764670518 /nfs/dbraw/zinc/67/05/18/764670518.db2.gz KVXOTRVEEFORDC-ZDUSSCGKSA-N 1 2 304.394 1.046 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncnc1CC ZINC001051247686 764670521 /nfs/dbraw/zinc/67/05/21/764670521.db2.gz KVXOTRVEEFORDC-ZDUSSCGKSA-N 1 2 304.394 1.046 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(N(C)C)ccn1 ZINC001051267296 764689956 /nfs/dbraw/zinc/68/99/56/764689956.db2.gz ZKGPBOVNPXJBIA-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(N(C)C)ccn1 ZINC001051267296 764689961 /nfs/dbraw/zinc/68/99/61/764689961.db2.gz ZKGPBOVNPXJBIA-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2c(C)coc2C)[C@@H](n2ccnn2)C1 ZINC001069921451 768230750 /nfs/dbraw/zinc/23/07/50/768230750.db2.gz HNQOQNFXNAAWEI-KGLIPLIRSA-N 1 2 315.377 1.329 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2c(C)coc2C)[C@@H](n2ccnn2)C1 ZINC001069921451 768230756 /nfs/dbraw/zinc/23/07/56/768230756.db2.gz HNQOQNFXNAAWEI-KGLIPLIRSA-N 1 2 315.377 1.329 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001051329647 764752423 /nfs/dbraw/zinc/75/24/23/764752423.db2.gz SQIYIPBYNMKRNU-UONOGXRCSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051418121 764858421 /nfs/dbraw/zinc/85/84/21/764858421.db2.gz PMUFQDZXMAYXIJ-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@H]2C)n1 ZINC001043542151 764966777 /nfs/dbraw/zinc/96/67/77/764966777.db2.gz RZAOWRKRAXDWSG-IAQYHMDHSA-N 1 2 324.388 1.486 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H](C[NH2+][C@H](C)c2nc(C)no2)C1 ZINC001051755876 765128324 /nfs/dbraw/zinc/12/83/24/765128324.db2.gz LEJOPNUACHNEBO-DGCLKSJQSA-N 1 2 322.409 1.468 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H](C[NH2+][C@H](C)c2nnc(C)o2)C1 ZINC001051756285 765128672 /nfs/dbraw/zinc/12/86/72/765128672.db2.gz PAYQAHMAEOHOMV-YPMHNXCESA-N 1 2 322.409 1.468 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(N3CCCC3)ncn2)C1 ZINC001043869361 765137537 /nfs/dbraw/zinc/13/75/37/765137537.db2.gz XOUMGPXBNLAZIH-UHFFFAOYSA-N 1 2 315.421 1.409 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(CC)ncnc2CC)C1 ZINC001043905236 765158979 /nfs/dbraw/zinc/15/89/79/765158979.db2.gz KZKREKBDUTVAPS-UHFFFAOYSA-N 1 2 300.406 1.381 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(OC)cc2F)CC1 ZINC001113074016 765224793 /nfs/dbraw/zinc/22/47/93/765224793.db2.gz VXHNVYBUUHGOEH-UHFFFAOYSA-N 1 2 322.380 1.795 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CCN(Cc2c[nH+]cn2C)CC1 ZINC001113162083 765357210 /nfs/dbraw/zinc/35/72/10/765357210.db2.gz UJXFNTOBNIZPRY-KRWDZBQOSA-N 1 2 320.437 1.293 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3cc[nH]c3C)C2)CC1 ZINC001052009807 765379008 /nfs/dbraw/zinc/37/90/08/765379008.db2.gz OWLMYIDZYVMTMS-MRXNPFEDSA-N 1 2 314.433 1.179 20 30 DDEDLO CC#CCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H](CC)SC)C2)CC1 ZINC001052015619 765385579 /nfs/dbraw/zinc/38/55/79/765385579.db2.gz SHFGRPSLANMHPH-JKSUJKDBSA-N 1 2 323.506 1.370 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)=C3CCC3)C2)CC1 ZINC001052025315 765401255 /nfs/dbraw/zinc/40/12/55/765401255.db2.gz BHQRURBBKVSHCC-QGZVFWFLSA-N 1 2 301.434 1.339 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001052026804 765402849 /nfs/dbraw/zinc/40/28/49/765402849.db2.gz UGBWNEWRSAVGKH-INIZCTEOSA-N 1 2 321.852 1.371 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(COC)c(F)c2)C1 ZINC001044232392 765412311 /nfs/dbraw/zinc/41/23/11/765412311.db2.gz TWFMWSPWILWBPK-UHFFFAOYSA-N 1 2 304.365 1.752 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccc(CC)o3)C2)CC1 ZINC001052045887 765418346 /nfs/dbraw/zinc/41/83/46/765418346.db2.gz XOBBVIZEDUNPMF-OAHLLOKOSA-N 1 2 315.417 1.307 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc(CC)o3)C2)CC1 ZINC001052045887 765418351 /nfs/dbraw/zinc/41/83/51/765418351.db2.gz XOBBVIZEDUNPMF-OAHLLOKOSA-N 1 2 315.417 1.307 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3[nH]c(C)cc3C)C2)CC1 ZINC001052045954 765418728 /nfs/dbraw/zinc/41/87/28/765418728.db2.gz YRLHIFPAXVZDTC-INIZCTEOSA-N 1 2 314.433 1.097 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2COc3ccccc3O2)C1 ZINC001044260572 765433702 /nfs/dbraw/zinc/43/37/02/765433702.db2.gz WSQGGTCREHZXTB-INIZCTEOSA-N 1 2 302.374 1.545 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3cc(C)co3)C2)CC1 ZINC001052067123 765441096 /nfs/dbraw/zinc/44/10/96/765441096.db2.gz FCINSCHPQQATEN-OAHLLOKOSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cc(C)co3)C2)CC1 ZINC001052067123 765441098 /nfs/dbraw/zinc/44/10/98/765441098.db2.gz FCINSCHPQQATEN-OAHLLOKOSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccc(Cl)[nH]3)C2)CC1 ZINC001052076274 765447989 /nfs/dbraw/zinc/44/79/89/765447989.db2.gz HNAMKGQFWQPYEN-CYBMUJFWSA-N 1 2 320.824 1.133 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(=O)n(CCCC)n2)C1 ZINC001044285133 765450243 /nfs/dbraw/zinc/45/02/43/765450243.db2.gz SAIPMOBMALINDO-UHFFFAOYSA-N 1 2 318.421 1.376 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C3(CF)CC3)C2)CC1 ZINC001052082141 765456248 /nfs/dbraw/zinc/45/62/48/765456248.db2.gz QZBMKMGIPVSYCT-OAHLLOKOSA-N 1 2 309.429 1.531 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H](C)C(C)C)C2)CC1 ZINC001052094655 765465254 /nfs/dbraw/zinc/46/52/54/765465254.db2.gz XEHLCJXSUVBKOD-IRXDYDNUSA-N 1 2 305.466 1.520 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001052382791 765777299 /nfs/dbraw/zinc/77/72/99/765777299.db2.gz SJGWACAWQPUWEH-KGLIPLIRSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001052382791 765777301 /nfs/dbraw/zinc/77/73/01/765777301.db2.gz SJGWACAWQPUWEH-KGLIPLIRSA-N 1 2 300.406 1.434 20 30 DDEDLO C[C@H](CNC(=O)Cc1[nH]cc[nH+]1)N(C)c1cccc(F)c1C#N ZINC001113696216 766029016 /nfs/dbraw/zinc/02/90/16/766029016.db2.gz UQDCWIRPTKOMIH-LLVKDONJSA-N 1 2 315.352 1.604 20 30 DDEDLO C#CCC1(C(=O)N2CC[NH+](CCOCCO)CC2)CCCCC1 ZINC001113704977 766055507 /nfs/dbraw/zinc/05/55/07/766055507.db2.gz GHGRULQBTNNGJZ-UHFFFAOYSA-N 1 2 322.449 1.113 20 30 DDEDLO N#CCN1CCC([C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)CC1 ZINC001047259819 768344873 /nfs/dbraw/zinc/34/48/73/768344873.db2.gz UHIYSDDVGKEQOP-OAHLLOKOSA-N 1 2 315.421 1.426 20 30 DDEDLO N#CCN1CCC([C@@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)CC1 ZINC001047266114 768348661 /nfs/dbraw/zinc/34/86/61/768348661.db2.gz QHBCPZNEZVBRSS-MRXNPFEDSA-N 1 2 315.421 1.357 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC001157705648 766185926 /nfs/dbraw/zinc/18/59/26/766185926.db2.gz HHXWEELBEDPRIE-OAHLLOKOSA-N 1 2 305.426 1.594 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC001157705648 766185931 /nfs/dbraw/zinc/18/59/31/766185931.db2.gz HHXWEELBEDPRIE-OAHLLOKOSA-N 1 2 305.426 1.594 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCn2c[nH+]cc2C1)Nc1ccc(C#N)nc1 ZINC001098116156 768359088 /nfs/dbraw/zinc/35/90/88/768359088.db2.gz URCJDNRIRSIVNS-CHWSQXEVSA-N 1 2 324.388 1.329 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001058187970 766295029 /nfs/dbraw/zinc/29/50/29/766295029.db2.gz FJAQZTIUBOLKHC-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CCCN(c3cc[nH+]c(C)n3)C2)c1 ZINC001058239147 766318298 /nfs/dbraw/zinc/31/82/98/766318298.db2.gz DVWKFMOPVOKUBW-INIZCTEOSA-N 1 2 321.384 1.560 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001113999488 766513407 /nfs/dbraw/zinc/51/34/07/766513407.db2.gz PKIBHDSPVNAXTM-ZZVYKPCYSA-N 1 2 322.434 1.066 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001113999488 766513413 /nfs/dbraw/zinc/51/34/13/766513413.db2.gz PKIBHDSPVNAXTM-ZZVYKPCYSA-N 1 2 322.434 1.066 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#N ZINC001045802080 766597684 /nfs/dbraw/zinc/59/76/84/766597684.db2.gz XNGBVSVMQRLYQD-CABCVRRESA-N 1 2 314.389 1.222 20 30 DDEDLO Cc1cccn2cc(CC(=O)N[C@@H]3C[C@H]4CC[C@@H]3N4CC#N)[nH+]c12 ZINC001098038775 766643642 /nfs/dbraw/zinc/64/36/42/766643642.db2.gz HEJXIKSBVQEEDJ-OAGGEKHMSA-N 1 2 323.400 1.430 20 30 DDEDLO CN(C[C@@H]1CCCN1C(=O)Cn1cc[nH+]c1)c1ccncc1C#N ZINC001067765292 766690127 /nfs/dbraw/zinc/69/01/27/766690127.db2.gz ITNKCRVJHOESCC-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCCN(C)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001067831572 766730371 /nfs/dbraw/zinc/73/03/71/766730371.db2.gz IFOMIGVKOMGZIJ-GFCCVEGCSA-N 1 2 319.409 1.154 20 30 DDEDLO CC(C)c1cnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)o1 ZINC001114258471 766829940 /nfs/dbraw/zinc/82/99/40/766829940.db2.gz SWYJAOCXVZPUDK-UOIKSKOESA-N 1 2 313.401 1.758 20 30 DDEDLO CC(C)c1cnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)o1 ZINC001114258471 766829942 /nfs/dbraw/zinc/82/99/42/766829942.db2.gz SWYJAOCXVZPUDK-UOIKSKOESA-N 1 2 313.401 1.758 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](CNc2ccc(C#N)cn2)C1 ZINC001068510313 767384409 /nfs/dbraw/zinc/38/44/09/767384409.db2.gz ALBIMWCQHWMTKQ-HDJSIYSDSA-N 1 2 324.388 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001046429877 767551762 /nfs/dbraw/zinc/55/17/62/767551762.db2.gz VVQXKIXAGZTLMG-SWLSCSKDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001046429877 767551766 /nfs/dbraw/zinc/55/17/66/767551766.db2.gz VVQXKIXAGZTLMG-SWLSCSKDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnc3nccn3c2)C1 ZINC001046484419 767618558 /nfs/dbraw/zinc/61/85/58/767618558.db2.gz JFXQUCIZPCATOS-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnc3nccn3c2)C1 ZINC001046484419 767618562 /nfs/dbraw/zinc/61/85/62/767618562.db2.gz JFXQUCIZPCATOS-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C[C@@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001068829390 767645202 /nfs/dbraw/zinc/64/52/02/767645202.db2.gz JPVNJCJFOQHXEL-TZMCWYRMSA-N 1 2 324.388 1.710 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@H]2C)ccc1C#N ZINC001068887532 767682392 /nfs/dbraw/zinc/68/23/92/767682392.db2.gz NMUWUOKEBADBSW-GXTWGEPZSA-N 1 2 324.388 1.629 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+][C@@H](C)c1csnn1 ZINC001131869528 768430533 /nfs/dbraw/zinc/43/05/33/768430533.db2.gz FOIJCFFVKGELKD-FZMZJTMJSA-N 1 2 312.439 1.534 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@H]2C)cn1 ZINC001132021903 768558632 /nfs/dbraw/zinc/55/86/32/768558632.db2.gz RLNICZUDFRNCKU-HZPDHXFCSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@H]2C)cn1 ZINC001132021903 768558637 /nfs/dbraw/zinc/55/86/37/768558637.db2.gz RLNICZUDFRNCKU-HZPDHXFCSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1ccoc1C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070621008 768625199 /nfs/dbraw/zinc/62/51/99/768625199.db2.gz DWAUWMJAQYOYHY-CHWSQXEVSA-N 1 2 313.361 1.043 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001070638486 768636868 /nfs/dbraw/zinc/63/68/68/768636868.db2.gz NKYOAOOYWACZAQ-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)C2(C)CCC2)C[C@H]1NCC#N ZINC001070678003 768664535 /nfs/dbraw/zinc/66/45/35/768664535.db2.gz GEWAKUUKXMNKHH-CHWSQXEVSA-N 1 2 301.394 1.018 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001070713210 768690015 /nfs/dbraw/zinc/69/00/15/768690015.db2.gz JBPOHXPIZJTBFZ-CQSZACIVSA-N 1 2 316.405 1.040 20 30 DDEDLO C=C/C(C)=C/CC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132227991 768698942 /nfs/dbraw/zinc/69/89/42/768698942.db2.gz KFDPQBAMJMNACO-HMBIXPHWSA-N 1 2 318.421 1.118 20 30 DDEDLO C=C/C(C)=C/CC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132227991 768698944 /nfs/dbraw/zinc/69/89/44/768698944.db2.gz KFDPQBAMJMNACO-HMBIXPHWSA-N 1 2 318.421 1.118 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(C)(C)CC)CC[C@H]1C ZINC001132256271 768712892 /nfs/dbraw/zinc/71/28/92/768712892.db2.gz LSSKASZNMZIOMN-ZIAGYGMSSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(C)(C)CC)CC[C@H]1C ZINC001132256271 768712894 /nfs/dbraw/zinc/71/28/94/768712894.db2.gz LSSKASZNMZIOMN-ZIAGYGMSSA-N 1 2 307.438 1.141 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)Cc2ccccc2)CC1 ZINC001070975142 768827301 /nfs/dbraw/zinc/82/73/01/768827301.db2.gz FWBOTXJWEAIJCA-UHFFFAOYSA-N 1 2 315.417 1.066 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)Cc2ccccc2)CC1 ZINC001070975142 768827314 /nfs/dbraw/zinc/82/73/14/768827314.db2.gz FWBOTXJWEAIJCA-UHFFFAOYSA-N 1 2 315.417 1.066 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001132528432 768948563 /nfs/dbraw/zinc/94/85/63/768948563.db2.gz COULBKBPWZXEAM-HUUCEWRRSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001132528432 768948567 /nfs/dbraw/zinc/94/85/67/768948567.db2.gz COULBKBPWZXEAM-HUUCEWRRSA-N 1 2 320.437 1.482 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(COC)no1 ZINC001132653196 769053417 /nfs/dbraw/zinc/05/34/17/769053417.db2.gz HCQBUKXVINTERR-RYUDHWBXSA-N 1 2 310.398 1.585 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)C#CC1CC1)C[C@@H](C)O2 ZINC001071163913 769082862 /nfs/dbraw/zinc/08/28/62/769082862.db2.gz DEJZQRPLRNZISO-PBHICJAKSA-N 1 2 322.836 1.844 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)C#CC1CC1)C[C@@H](C)O2 ZINC001071163913 769082873 /nfs/dbraw/zinc/08/28/73/769082873.db2.gz DEJZQRPLRNZISO-PBHICJAKSA-N 1 2 322.836 1.844 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2C[C@@H](NC(C)=O)CC[C@@H]2C)c1 ZINC001071286619 769235252 /nfs/dbraw/zinc/23/52/52/769235252.db2.gz XGFBWAIYKVAFDU-GUYCJALGSA-N 1 2 313.401 1.595 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2C[C@@H](NC(C)=O)CC[C@@H]2C)c1 ZINC001071286619 769235256 /nfs/dbraw/zinc/23/52/56/769235256.db2.gz XGFBWAIYKVAFDU-GUYCJALGSA-N 1 2 313.401 1.595 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2nccnc2N)CC[C@@H]1C ZINC001071395536 769382409 /nfs/dbraw/zinc/38/24/09/769382409.db2.gz BQSULMZAZLZXKI-QWRGUYRKSA-N 1 2 309.801 1.394 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2nccnc2N)CC[C@@H]1C ZINC001071395536 769382419 /nfs/dbraw/zinc/38/24/19/769382419.db2.gz BQSULMZAZLZXKI-QWRGUYRKSA-N 1 2 309.801 1.394 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cn(C)nc2C)CC[C@H]1C ZINC001071571439 769644275 /nfs/dbraw/zinc/64/42/75/769644275.db2.gz GLPDPWUIIFTASL-DOMZBBRYSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cn(C)nc2C)CC[C@H]1C ZINC001071571439 769644281 /nfs/dbraw/zinc/64/42/81/769644281.db2.gz GLPDPWUIIFTASL-DOMZBBRYSA-N 1 2 324.856 1.993 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nc(CC)c2Cl)CC[C@H]1C ZINC001071576815 769653391 /nfs/dbraw/zinc/65/33/91/769653391.db2.gz PTODOFRSICNPFF-GHMZBOCLSA-N 1 2 308.813 1.841 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2[nH]nc(CC)c2Cl)CC[C@H]1C ZINC001071576815 769653399 /nfs/dbraw/zinc/65/33/99/769653399.db2.gz PTODOFRSICNPFF-GHMZBOCLSA-N 1 2 308.813 1.841 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cnc(COC)s2)CC[C@@H]1C ZINC001072109557 770554047 /nfs/dbraw/zinc/55/40/47/770554047.db2.gz IZCPCYCFQPIXDN-RYUDHWBXSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cnc(COC)s2)CC[C@@H]1C ZINC001072109557 770554051 /nfs/dbraw/zinc/55/40/51/770554051.db2.gz IZCPCYCFQPIXDN-RYUDHWBXSA-N 1 2 307.419 1.505 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001072316888 770753600 /nfs/dbraw/zinc/75/36/00/770753600.db2.gz HPSRNKIHOBADOB-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001072316888 770753606 /nfs/dbraw/zinc/75/36/06/770753606.db2.gz HPSRNKIHOBADOB-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO CCc1cnc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049382466 770762840 /nfs/dbraw/zinc/76/28/40/770762840.db2.gz OJNLNHKTNNFALZ-BPLDGKMQSA-N 1 2 316.405 1.962 20 30 DDEDLO CCc1cnc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049382466 770762842 /nfs/dbraw/zinc/76/28/42/770762842.db2.gz OJNLNHKTNNFALZ-BPLDGKMQSA-N 1 2 316.405 1.962 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001072504480 770995436 /nfs/dbraw/zinc/99/54/36/770995436.db2.gz JXNISNATLQYFNW-UONOGXRCSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049782958 771155864 /nfs/dbraw/zinc/15/58/64/771155864.db2.gz NLIGLXYSLPFYIG-STQMWFEESA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049782958 771155869 /nfs/dbraw/zinc/15/58/69/771155869.db2.gz NLIGLXYSLPFYIG-STQMWFEESA-N 1 2 309.801 1.247 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096637622 771362765 /nfs/dbraw/zinc/36/27/65/771362765.db2.gz UNMZJJHESBKCGG-BBRMVZONSA-N 1 2 304.394 1.419 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C2CC2)n1 ZINC001096820624 771467631 /nfs/dbraw/zinc/46/76/31/771467631.db2.gz WGAAWZPNLIFPAA-HNNXBMFYSA-N 1 2 324.388 1.534 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@H](Nc2ccc(C#N)nc2)C1 ZINC001097106182 771625763 /nfs/dbraw/zinc/62/57/63/771625763.db2.gz KWEYCTSEFHHROG-CQDKDKBSSA-N 1 2 324.388 1.614 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@H](Nc2ccc(C#N)nc2)C1 ZINC001097106182 771625766 /nfs/dbraw/zinc/62/57/66/771625766.db2.gz KWEYCTSEFHHROG-CQDKDKBSSA-N 1 2 324.388 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccn(C)c2C)[C@H](O)C1 ZINC001090555584 771987644 /nfs/dbraw/zinc/98/76/44/771987644.db2.gz MIXXNXMMQYSDKM-UONOGXRCSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccn(C)c2C)[C@H](O)C1 ZINC001090555584 771987648 /nfs/dbraw/zinc/98/76/48/771987648.db2.gz MIXXNXMMQYSDKM-UONOGXRCSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C3CC3)n[nH]2)[C@@H](O)C1 ZINC001090584347 772009693 /nfs/dbraw/zinc/00/96/93/772009693.db2.gz HAKUUOBZYLYCBF-FZMZJTMJSA-N 1 2 324.812 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C3CC3)n[nH]2)[C@@H](O)C1 ZINC001090584347 772009698 /nfs/dbraw/zinc/00/96/98/772009698.db2.gz HAKUUOBZYLYCBF-FZMZJTMJSA-N 1 2 324.812 1.205 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cccc(C[S@@](C)=O)c2)CC1 ZINC001136861447 772177433 /nfs/dbraw/zinc/17/74/33/772177433.db2.gz ADVZDFDLAUTNGF-JOCHJYFZSA-N 1 2 318.442 1.346 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cccc(C[S@@](C)=O)c2)CC1 ZINC001136861447 772177437 /nfs/dbraw/zinc/17/74/37/772177437.db2.gz ADVZDFDLAUTNGF-JOCHJYFZSA-N 1 2 318.442 1.346 20 30 DDEDLO CC(=O)/C=C(/C)NC(=O)[C@H]1[C@@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143675807 772292114 /nfs/dbraw/zinc/29/21/14/772292114.db2.gz KHDQSWKCGUNCPG-WQHRRFGKSA-N 1 2 302.374 1.231 20 30 DDEDLO CC(=O)/C=C(/C)NC(=O)[C@H]1[C@@H](O)CC[N@H+]1Cc1ccccc1 ZINC001143675807 772292117 /nfs/dbraw/zinc/29/21/17/772292117.db2.gz KHDQSWKCGUNCPG-WQHRRFGKSA-N 1 2 302.374 1.231 20 30 DDEDLO CCOC[C@H]1C[N@H+](Cc2cncc(C#N)c2)Cc2c1cnn2C ZINC001144074929 772392622 /nfs/dbraw/zinc/39/26/22/772392622.db2.gz HZRMUDOKSXCDAL-OAHLLOKOSA-N 1 2 311.389 1.823 20 30 DDEDLO CCOC[C@H]1C[N@@H+](Cc2cncc(C#N)c2)Cc2c1cnn2C ZINC001144074929 772392626 /nfs/dbraw/zinc/39/26/26/772392626.db2.gz HZRMUDOKSXCDAL-OAHLLOKOSA-N 1 2 311.389 1.823 20 30 DDEDLO COc1cccc([C@H](C#N)NC(=O)CCc2[nH]cc[nH+]2)c1OC ZINC001144586328 772571705 /nfs/dbraw/zinc/57/17/05/772571705.db2.gz YJIITRILNPOWQX-LBPRGKRZSA-N 1 2 314.345 1.741 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091337581 772692774 /nfs/dbraw/zinc/69/27/74/772692774.db2.gz KATFIYBXSWGPGJ-ZENOOKHLSA-N 1 2 304.394 1.419 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CN(C(=O)c3cnc(C)[nH]3)C[C@]2(C)C1 ZINC001091541453 772813867 /nfs/dbraw/zinc/81/38/67/772813867.db2.gz PFCPQVSWGVKPCW-DOMZBBRYSA-N 1 2 308.813 1.865 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CN(C(=O)c3cnc(C)[nH]3)C[C@]2(C)C1 ZINC001091541453 772813873 /nfs/dbraw/zinc/81/38/73/772813873.db2.gz PFCPQVSWGVKPCW-DOMZBBRYSA-N 1 2 308.813 1.865 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C[C@H](C)OC)C1 ZINC001149417100 772818304 /nfs/dbraw/zinc/81/83/04/772818304.db2.gz XVSDVFMVHIFUGT-QWHCGFSZSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C[C@H](C)OC)C1 ZINC001149417100 772818306 /nfs/dbraw/zinc/81/83/06/772818306.db2.gz XVSDVFMVHIFUGT-QWHCGFSZSA-N 1 2 304.818 1.371 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+](Cc1nccs1)C2 ZINC001147261196 773095114 /nfs/dbraw/zinc/09/51/14/773095114.db2.gz SFQYOJSSLSYBEE-UHFFFAOYSA-N 1 2 307.419 1.380 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccs1)C2 ZINC001147261196 773095117 /nfs/dbraw/zinc/09/51/17/773095117.db2.gz SFQYOJSSLSYBEE-UHFFFAOYSA-N 1 2 307.419 1.380 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)CSc2nncn2C(C)C)CC1 ZINC001147283813 773100832 /nfs/dbraw/zinc/10/08/32/773100832.db2.gz TZVRRMJUBRBNGT-UHFFFAOYSA-N 1 2 321.450 1.119 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)CSc2nncn2C(C)C)CC1 ZINC001147283813 773100835 /nfs/dbraw/zinc/10/08/35/773100835.db2.gz TZVRRMJUBRBNGT-UHFFFAOYSA-N 1 2 321.450 1.119 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@H+](Cc1nccn1C)C2 ZINC001147498703 773153182 /nfs/dbraw/zinc/15/31/82/773153182.db2.gz FYKDQIJFULEXTA-UHFFFAOYSA-N 1 2 300.406 1.114 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1C)C2 ZINC001147498703 773153187 /nfs/dbraw/zinc/15/31/87/773153187.db2.gz FYKDQIJFULEXTA-UHFFFAOYSA-N 1 2 300.406 1.114 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001148125237 773371416 /nfs/dbraw/zinc/37/14/16/773371416.db2.gz KSRLNWPICBXMJY-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001148125237 773371425 /nfs/dbraw/zinc/37/14/25/773371425.db2.gz KSRLNWPICBXMJY-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nnc(C(C)C)o2)nc1 ZINC001148370320 773459183 /nfs/dbraw/zinc/45/91/83/773459183.db2.gz SBKAQGMRRKWGRN-UHFFFAOYSA-N 1 2 313.361 1.089 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2ncc(C3CC3)o2)cn1 ZINC001148382949 773462528 /nfs/dbraw/zinc/46/25/28/773462528.db2.gz LMFSAKFAGPPSCI-UHFFFAOYSA-N 1 2 310.357 1.448 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001073915866 773521550 /nfs/dbraw/zinc/52/15/50/773521550.db2.gz GUBNMDLGVGZJNO-LSDHHAIUSA-N 1 2 316.405 1.040 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3C)C[C@H]21 ZINC001074208311 773731370 /nfs/dbraw/zinc/73/13/70/773731370.db2.gz GUGKXJGFMGMLJS-CVEARBPZSA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3C)C[C@H]21 ZINC001074208311 773731374 /nfs/dbraw/zinc/73/13/74/773731374.db2.gz GUGKXJGFMGMLJS-CVEARBPZSA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccoc3)C[C@H]21 ZINC001074223295 773748095 /nfs/dbraw/zinc/74/80/95/773748095.db2.gz WYWDDEZYXJARRG-CVEARBPZSA-N 1 2 302.374 1.147 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccoc3)C[C@H]21 ZINC001074223295 773748096 /nfs/dbraw/zinc/74/80/96/773748096.db2.gz WYWDDEZYXJARRG-CVEARBPZSA-N 1 2 302.374 1.147 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cncs3)C[C@H]21 ZINC001074270308 773782623 /nfs/dbraw/zinc/78/26/23/773782623.db2.gz FSFYKXCBICBNGC-CABCVRRESA-N 1 2 321.446 1.563 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cncs3)C[C@H]21 ZINC001074270308 773782627 /nfs/dbraw/zinc/78/26/27/773782627.db2.gz FSFYKXCBICBNGC-CABCVRRESA-N 1 2 321.446 1.563 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccco3)C[C@H]21 ZINC001074365649 773856850 /nfs/dbraw/zinc/85/68/50/773856850.db2.gz IAQMGJDLNDNXBE-CVEARBPZSA-N 1 2 304.390 1.700 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccco3)C[C@H]21 ZINC001074365649 773856855 /nfs/dbraw/zinc/85/68/55/773856855.db2.gz IAQMGJDLNDNXBE-CVEARBPZSA-N 1 2 304.390 1.700 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092173836 773981875 /nfs/dbraw/zinc/98/18/75/773981875.db2.gz PHGWVBMOONQMOB-KBPBESRZSA-N 1 2 304.394 1.197 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092177611 773984433 /nfs/dbraw/zinc/98/44/33/773984433.db2.gz NQNVGWPBSZVQSL-OLZOCXBDSA-N 1 2 304.394 1.053 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001075045982 774318618 /nfs/dbraw/zinc/31/86/18/774318618.db2.gz QLUXXSRKCRYHJI-LSDHHAIUSA-N 1 2 318.421 1.735 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3CC)C2)nn1 ZINC001098733817 774655830 /nfs/dbraw/zinc/65/58/30/774655830.db2.gz NTKVJFFTJUWMSM-FVQBIDKESA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)CC[C@H]21 ZINC001036826120 774750590 /nfs/dbraw/zinc/75/05/90/774750590.db2.gz APFCOXKYFCVPPL-RHSMWYFYSA-N 1 2 312.417 1.847 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)CC[C@H]21 ZINC001036826120 774750596 /nfs/dbraw/zinc/75/05/96/774750596.db2.gz APFCOXKYFCVPPL-RHSMWYFYSA-N 1 2 312.417 1.847 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cnnc(C)c3)CC2)C1 ZINC001093531389 774783429 /nfs/dbraw/zinc/78/34/29/774783429.db2.gz WCGUCOWWRCLGNE-UHFFFAOYSA-N 1 2 316.405 1.276 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001093572275 774845113 /nfs/dbraw/zinc/84/51/13/774845113.db2.gz NZWIOYNTZPYBCP-MRXNPFEDSA-N 1 2 304.394 1.518 20 30 DDEDLO C#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)C3(C)CC3)c2)nn1 ZINC001099603619 775060905 /nfs/dbraw/zinc/06/09/05/775060905.db2.gz PVPHGWADYLRVJB-UHFFFAOYSA-N 1 2 323.400 1.406 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)CC(F)F)[C@@H](O)C1 ZINC001099876289 775378124 /nfs/dbraw/zinc/37/81/24/775378124.db2.gz ARPIOWPYIRWAMK-MNOVXSKESA-N 1 2 324.799 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)CC(F)F)[C@@H](O)C1 ZINC001099876289 775378137 /nfs/dbraw/zinc/37/81/37/775378137.db2.gz ARPIOWPYIRWAMK-MNOVXSKESA-N 1 2 324.799 1.972 20 30 DDEDLO CC#CCCCC(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094064912 775392637 /nfs/dbraw/zinc/39/26/37/775392637.db2.gz GALZBWHFMBAUDR-UHFFFAOYSA-N 1 2 315.421 1.186 20 30 DDEDLO CC#CCCCC(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094064912 775392649 /nfs/dbraw/zinc/39/26/49/775392649.db2.gz GALZBWHFMBAUDR-UHFFFAOYSA-N 1 2 315.421 1.186 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001099960366 775483487 /nfs/dbraw/zinc/48/34/87/775483487.db2.gz SYIXQESRDNDHDF-TZMCWYRMSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001099960366 775483494 /nfs/dbraw/zinc/48/34/94/775483494.db2.gz SYIXQESRDNDHDF-TZMCWYRMSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099976177 775508492 /nfs/dbraw/zinc/50/84/92/775508492.db2.gz LCQJEONSDHNICN-KGLIPLIRSA-N 1 2 309.435 1.551 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099976177 775508501 /nfs/dbraw/zinc/50/85/01/775508501.db2.gz LCQJEONSDHNICN-KGLIPLIRSA-N 1 2 309.435 1.551 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3cc(C)co3)nn2)C1 ZINC001094312908 775667342 /nfs/dbraw/zinc/66/73/42/775667342.db2.gz WOVJNMPMRWJIQN-UHFFFAOYSA-N 1 2 315.377 1.542 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H]3CC[C@@H](C)C3)nn2)C1 ZINC001094325388 775753310 /nfs/dbraw/zinc/75/33/10/775753310.db2.gz MDXQYPYUSJPNPD-KGLIPLIRSA-N 1 2 315.421 1.211 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1CC([NH2+]Cc2nnsc2Cl)C1 ZINC001100191837 775795678 /nfs/dbraw/zinc/79/56/78/775795678.db2.gz ZNCDELRJVDNVAG-AFPNSQJFSA-N 1 2 313.814 1.336 20 30 DDEDLO N#Cc1cnc(NCCCNC(=O)Cn2cc[nH+]c2)c(Cl)c1 ZINC001094472286 775927483 /nfs/dbraw/zinc/92/74/83/775927483.db2.gz YHTWUUOOBXGMKG-UHFFFAOYSA-N 1 2 318.768 1.422 20 30 DDEDLO CN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)c1ccncc1C#N ZINC001100334383 776013248 /nfs/dbraw/zinc/01/32/48/776013248.db2.gz XDEBTLYDFVFFGV-ZIAGYGMSSA-N 1 2 324.388 1.043 20 30 DDEDLO N#Cc1sc(NCCCNC(=O)Cc2[nH]cc[nH+]2)nc1Cl ZINC001094549846 776059841 /nfs/dbraw/zinc/05/98/41/776059841.db2.gz UHXLXCDDOPYHPP-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@H]2CCc3[nH+]ccn3C2)nc1 ZINC001094656122 776175256 /nfs/dbraw/zinc/17/52/56/776175256.db2.gz GNAORUUCHQJOOD-AWEZNQCLSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H]3C=CCCC3)CC2=O)C1 ZINC001094749532 776244969 /nfs/dbraw/zinc/24/49/69/776244969.db2.gz PMPZIHZSHMUVSU-CABCVRRESA-N 1 2 317.433 1.320 20 30 DDEDLO Cc1nc(N2CCC[C@H]2CNC(=O)C#CC2CC2)c(C)c(C)[nH+]1 ZINC001100828551 776606707 /nfs/dbraw/zinc/60/67/07/776606707.db2.gz OKOIKWBRSVEJEO-INIZCTEOSA-N 1 2 312.417 1.900 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100989590 776799271 /nfs/dbraw/zinc/79/92/71/776799271.db2.gz CQHAWDCWIKDEDS-OCCSQVGLSA-N 1 2 304.394 1.319 20 30 DDEDLO C#CC[C@H]([NH2+][C@H]1CCc2ccc([N+](=O)[O-])cc2CC1)C(=O)OC ZINC001172898394 776913706 /nfs/dbraw/zinc/91/37/06/776913706.db2.gz ADWJHUOECMEFKK-HOCLYGCPSA-N 1 2 316.357 1.997 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101337544 777082234 /nfs/dbraw/zinc/08/22/34/777082234.db2.gz CRNDXRFCFLUEPI-CPUCHLNUSA-N 1 2 318.421 1.952 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101337544 777082241 /nfs/dbraw/zinc/08/22/41/777082241.db2.gz CRNDXRFCFLUEPI-CPUCHLNUSA-N 1 2 318.421 1.952 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](OC)C1CC1)c1nccn12 ZINC001101625343 777319477 /nfs/dbraw/zinc/31/94/77/777319477.db2.gz DMBAEYPREOWIPD-KGLIPLIRSA-N 1 2 316.405 1.066 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095295622 777460832 /nfs/dbraw/zinc/46/08/32/777460832.db2.gz FLCPJCPWIOEKSU-CJNGLKHVSA-N 1 2 304.394 1.515 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099945657 777469045 /nfs/dbraw/zinc/46/90/45/777469045.db2.gz ZZMSFIKDNWXLDK-KGLIPLIRSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099945657 777469048 /nfs/dbraw/zinc/46/90/48/777469048.db2.gz ZZMSFIKDNWXLDK-KGLIPLIRSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001101916321 777676178 /nfs/dbraw/zinc/67/61/78/777676178.db2.gz AEZDOQQXKDYZEK-JMSVASOKSA-N 1 2 324.450 1.049 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001101916321 777676181 /nfs/dbraw/zinc/67/61/81/777676181.db2.gz AEZDOQQXKDYZEK-JMSVASOKSA-N 1 2 324.450 1.049 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CSC(C)C)C[C@@H]21 ZINC001176930054 778318877 /nfs/dbraw/zinc/31/88/77/778318877.db2.gz PGTIMUMXMBFFMJ-GJZGRUSLSA-N 1 2 310.463 1.453 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CSC(C)C)C[C@@H]21 ZINC001176930054 778318884 /nfs/dbraw/zinc/31/88/84/778318884.db2.gz PGTIMUMXMBFFMJ-GJZGRUSLSA-N 1 2 310.463 1.453 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@H+](CCn3cccn3)[C@@H]2C1 ZINC001176955215 778347150 /nfs/dbraw/zinc/34/71/50/778347150.db2.gz PIALRIQDJOSBQL-CVEARBPZSA-N 1 2 318.421 1.151 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCn3cccn3)[C@@H]2C1 ZINC001176955215 778347159 /nfs/dbraw/zinc/34/71/59/778347159.db2.gz PIALRIQDJOSBQL-CVEARBPZSA-N 1 2 318.421 1.151 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCCCOC)C[C@H]21 ZINC001176944076 778372981 /nfs/dbraw/zinc/37/29/81/778372981.db2.gz SGMCICWSUQCRNW-CVEARBPZSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCCCOC)C[C@H]21 ZINC001176944076 778372988 /nfs/dbraw/zinc/37/29/88/778372988.db2.gz SGMCICWSUQCRNW-CVEARBPZSA-N 1 2 310.438 1.681 20 30 DDEDLO CCOCCC[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)C)C[C@H]21 ZINC001177019427 778395733 /nfs/dbraw/zinc/39/57/33/778395733.db2.gz LYJQRDYEULAETC-SJORKVTESA-N 1 2 322.449 1.374 20 30 DDEDLO CCOCCC[N@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)C)C[C@H]21 ZINC001177019427 778395741 /nfs/dbraw/zinc/39/57/41/778395741.db2.gz LYJQRDYEULAETC-SJORKVTESA-N 1 2 322.449 1.374 20 30 DDEDLO CC[C@H](CNC(=O)C#CC1CC1)Nc1[nH+]cnc2c1cnn2C ZINC001103055170 778601776 /nfs/dbraw/zinc/60/17/76/778601776.db2.gz UQUJWIRWDSJRGD-GFCCVEGCSA-N 1 2 312.377 1.083 20 30 DDEDLO O=C(C#Cc1ccccn1)N1CC[N@@H+]2CCc3ccccc3[C@H]2C1 ZINC001177802042 778742280 /nfs/dbraw/zinc/74/22/80/778742280.db2.gz SVZGPQPTXROISH-LJQANCHMSA-N 1 2 317.392 1.875 20 30 DDEDLO O=C(C#Cc1ccccn1)N1CC[N@H+]2CCc3ccccc3[C@H]2C1 ZINC001177802042 778742285 /nfs/dbraw/zinc/74/22/85/778742285.db2.gz SVZGPQPTXROISH-LJQANCHMSA-N 1 2 317.392 1.875 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)[C@H](C#N)Cc1cccs1 ZINC001177915313 778782335 /nfs/dbraw/zinc/78/23/35/778782335.db2.gz LSODNJIEIHGOTG-LBPRGKRZSA-N 1 2 318.402 1.590 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+][C@H](C)c2noc(C)n2)[C@@H]1C ZINC001178338591 778989070 /nfs/dbraw/zinc/98/90/70/778989070.db2.gz FCXJNKYCOPBGCX-MDZLAQPJSA-N 1 2 308.382 1.221 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CCNC(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001103756446 779032501 /nfs/dbraw/zinc/03/25/01/779032501.db2.gz IXDQCLVWKACEFO-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CC[C@H](NC(=O)OC(C)(C)C)C(=O)NCCc1[nH]cc(C)[nH+]1 ZINC001179587975 779420452 /nfs/dbraw/zinc/42/04/52/779420452.db2.gz WRLZKUSIFPKBSI-LBPRGKRZSA-N 1 2 320.393 1.293 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001111993218 779591955 /nfs/dbraw/zinc/59/19/55/779591955.db2.gz AJECGTKRHOFEQI-UKRRQHHQSA-N 1 2 318.421 1.851 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)[C@@H]1C ZINC001180269083 779687239 /nfs/dbraw/zinc/68/72/39/779687239.db2.gz LUADUNMKBZYMIA-GVXVVHGQSA-N 1 2 308.382 1.048 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2COc3ccc(OC)cc3C2)CC1 ZINC001180358543 779725673 /nfs/dbraw/zinc/72/56/73/779725673.db2.gz JSDMSZYKJNNADY-OAHLLOKOSA-N 1 2 316.401 1.577 20 30 DDEDLO C#CCOCCC(=O)N1CCC(C)(Nc2cc[nH+]c(C)n2)CC1 ZINC001112357343 779735299 /nfs/dbraw/zinc/73/52/99/779735299.db2.gz NTMZMMYZNBHAQP-UHFFFAOYSA-N 1 2 316.405 1.618 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)CN(C)C(=O)Cn2cc[nH+]c2)n1 ZINC001115767145 780413016 /nfs/dbraw/zinc/41/30/16/780413016.db2.gz ZEGNFSFTTZOKKN-ZDUSSCGKSA-N 1 2 312.377 1.417 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@H](C)C[NH+]2CCN(C)CC2)C1 ZINC001118580751 781165131 /nfs/dbraw/zinc/16/51/31/781165131.db2.gz OWVPDOOEBMGHRD-HZPDHXFCSA-N 1 2 306.454 1.067 20 30 DDEDLO C=CC[C@H]1NC(=O)N(Cc2ccccc2Cn2cc[nH+]c2)C1=O ZINC001119626740 781540749 /nfs/dbraw/zinc/54/07/49/781540749.db2.gz DLUBUQLSKWPFLZ-OAHLLOKOSA-N 1 2 310.357 1.928 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)c1cocc1C ZINC001267273334 837719689 /nfs/dbraw/zinc/71/96/89/837719689.db2.gz WWAKEYFLTCGHQO-CYBMUJFWSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cocc1C ZINC001267273334 837719695 /nfs/dbraw/zinc/71/96/95/837719695.db2.gz WWAKEYFLTCGHQO-CYBMUJFWSA-N 1 2 305.378 1.084 20 30 DDEDLO C#CCCCC(=O)NCCN1CC[NH+](Cc2conc2C)CC1 ZINC001266283172 836041991 /nfs/dbraw/zinc/04/19/91/836041991.db2.gz HKSAXZDOMUHPMB-UHFFFAOYSA-N 1 2 318.421 1.020 20 30 DDEDLO C#CCCCC(=O)NCC[NH+]1CCN(Cc2conc2C)CC1 ZINC001266283172 836041998 /nfs/dbraw/zinc/04/19/98/836041998.db2.gz HKSAXZDOMUHPMB-UHFFFAOYSA-N 1 2 318.421 1.020 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cc2F)C1 ZINC001266317903 836111116 /nfs/dbraw/zinc/11/11/16/836111116.db2.gz WCYRPDZZXXOGRQ-WMLDXEAASA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cc2F)C1 ZINC001266317903 836111119 /nfs/dbraw/zinc/11/11/19/836111119.db2.gz WCYRPDZZXXOGRQ-WMLDXEAASA-N 1 2 324.371 1.982 20 30 DDEDLO COCC#CC[NH2+]C[C@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC001266868697 836970880 /nfs/dbraw/zinc/97/08/80/836970880.db2.gz ZAENWDLNKBAFGT-VXGBXAGGSA-N 1 2 324.318 1.507 20 30 DDEDLO COCC#CC[NH2+]C[C@H]1CCCCN1C(=O)[C@@H](F)C(F)(F)F ZINC001266868697 836970885 /nfs/dbraw/zinc/97/08/85/836970885.db2.gz ZAENWDLNKBAFGT-VXGBXAGGSA-N 1 2 324.318 1.507 20 30 DDEDLO C#CC[NH2+][C@H](CNC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001267520637 838239193 /nfs/dbraw/zinc/23/91/93/838239193.db2.gz OOEFCONUFIWPQT-VXGBXAGGSA-N 1 2 302.271 1.967 20 30 DDEDLO C#CC[NH2+][C@H](CNC(=O)[C@@H](F)C(F)(F)F)c1ccccc1 ZINC001267520637 838239203 /nfs/dbraw/zinc/23/92/03/838239203.db2.gz OOEFCONUFIWPQT-VXGBXAGGSA-N 1 2 302.271 1.967 20 30 DDEDLO C#CCOCCC(=O)N(CCC)[C@H]1CC[N@H+](Cc2ccon2)C1 ZINC001267542442 838275888 /nfs/dbraw/zinc/27/58/88/838275888.db2.gz WXUPXWNXKJTPKS-INIZCTEOSA-N 1 2 319.405 1.527 20 30 DDEDLO C#CCOCCC(=O)N(CCC)[C@H]1CC[N@@H+](Cc2ccon2)C1 ZINC001267542442 838275893 /nfs/dbraw/zinc/27/58/93/838275893.db2.gz WXUPXWNXKJTPKS-INIZCTEOSA-N 1 2 319.405 1.527 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2cncn2C)C1 ZINC001267609930 838453305 /nfs/dbraw/zinc/45/33/05/838453305.db2.gz FHDQAIYXEVFSFJ-LSDHHAIUSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cncn2C)C1 ZINC001267609930 838453307 /nfs/dbraw/zinc/45/33/07/838453307.db2.gz FHDQAIYXEVFSFJ-LSDHHAIUSA-N 1 2 320.437 1.482 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1C[NH+](CCOc2cccc(C)c2)C1 ZINC001267642841 838561392 /nfs/dbraw/zinc/56/13/92/838561392.db2.gz DXXQIZFPFHITLD-SFHVURJKSA-N 1 2 318.417 1.501 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC2(CC2)C1 ZINC001267712389 838698922 /nfs/dbraw/zinc/69/89/22/838698922.db2.gz PKTWVYDNLRJLDX-SNPRPXQTSA-N 1 2 317.433 1.152 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC2(CC2)C1 ZINC001267712389 838698927 /nfs/dbraw/zinc/69/89/27/838698927.db2.gz PKTWVYDNLRJLDX-SNPRPXQTSA-N 1 2 317.433 1.152 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)NCCC(C)C)C1 ZINC001267741242 838811153 /nfs/dbraw/zinc/81/11/53/838811153.db2.gz OQURGHZSELBLPY-JKSUJKDBSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)NCCC(C)C)C1 ZINC001267741242 838811160 /nfs/dbraw/zinc/81/11/60/838811160.db2.gz OQURGHZSELBLPY-JKSUJKDBSA-N 1 2 321.465 1.387 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)[C@@H]1CC[N@H+](Cc2ncc(C)o2)C1 ZINC001267786168 838974902 /nfs/dbraw/zinc/97/49/02/838974902.db2.gz ZICRMNGXOQWIFL-PBHICJAKSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001267786168 838974916 /nfs/dbraw/zinc/97/49/16/838974916.db2.gz ZICRMNGXOQWIFL-PBHICJAKSA-N 1 2 321.421 1.733 20 30 DDEDLO CCN(C(=O)C#CC(C)(C)C)[C@@H]1CC[N@H+](Cc2cnnn2C)C1 ZINC001267787251 838977050 /nfs/dbraw/zinc/97/70/50/838977050.db2.gz YTLBJOZQRFCHFF-CQSZACIVSA-N 1 2 317.437 1.287 20 30 DDEDLO CCN(C(=O)C#CC(C)(C)C)[C@@H]1CC[N@@H+](Cc2cnnn2C)C1 ZINC001267787251 838977053 /nfs/dbraw/zinc/97/70/53/838977053.db2.gz YTLBJOZQRFCHFF-CQSZACIVSA-N 1 2 317.437 1.287 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(OC)no2)C1 ZINC001267925492 839205026 /nfs/dbraw/zinc/20/50/26/839205026.db2.gz QXGHQWHIIUHIKD-CQSZACIVSA-N 1 2 319.405 1.957 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(OC)no2)C1 ZINC001267925492 839205036 /nfs/dbraw/zinc/20/50/36/839205036.db2.gz QXGHQWHIIUHIKD-CQSZACIVSA-N 1 2 319.405 1.957 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1C[N@H+](CCOC2CCC2)CCO1 ZINC001268027053 839431384 /nfs/dbraw/zinc/43/13/84/839431384.db2.gz NPTOLXXWUBXTSI-QGZVFWFLSA-N 1 2 322.449 1.566 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1C[N@@H+](CCOC2CCC2)CCO1 ZINC001268027053 839431387 /nfs/dbraw/zinc/43/13/87/839431387.db2.gz NPTOLXXWUBXTSI-QGZVFWFLSA-N 1 2 322.449 1.566 20 30 DDEDLO CC#CC[NH2+]C[C@@H](C)N(C)C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001268078159 839654136 /nfs/dbraw/zinc/65/41/36/839654136.db2.gz WAEPILJTSFEJNS-CYBMUJFWSA-N 1 2 311.389 1.545 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C(C)C)C1 ZINC001268194023 839820775 /nfs/dbraw/zinc/82/07/75/839820775.db2.gz SCEIBRPSANOAQH-CABCVRRESA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](NC(=O)C(C)(C)C(C)C)C1 ZINC001268194023 839820782 /nfs/dbraw/zinc/82/07/82/839820782.db2.gz SCEIBRPSANOAQH-CABCVRRESA-N 1 2 321.465 1.387 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](O)C[NH2+]Cc1nc(C2CC2)no1 ZINC001268316408 839989039 /nfs/dbraw/zinc/98/90/39/839989039.db2.gz LNSHUOGPWBWBNB-GFCCVEGCSA-N 1 2 322.409 1.116 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](N(C)C(=O)COCCC)C1 ZINC001269222191 841373112 /nfs/dbraw/zinc/37/31/12/841373112.db2.gz UVXPWQDSZBAWIB-LBPRGKRZSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](N(C)C(=O)COCCC)C1 ZINC001269222191 841373125 /nfs/dbraw/zinc/37/31/25/841373125.db2.gz UVXPWQDSZBAWIB-LBPRGKRZSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](O)C[N@H+](C)Cc1ccon1 ZINC001284505947 841614787 /nfs/dbraw/zinc/61/47/87/841614787.db2.gz QKHBRQWAHJBAIS-CQSZACIVSA-N 1 2 309.410 1.528 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1ccon1 ZINC001284505947 841614790 /nfs/dbraw/zinc/61/47/90/841614790.db2.gz QKHBRQWAHJBAIS-CQSZACIVSA-N 1 2 309.410 1.528 20 30 DDEDLO O=C(C#Cc1cccnc1)N1CC2(C1)C[NH+](Cc1ccc[nH]1)C2 ZINC001270343180 842501355 /nfs/dbraw/zinc/50/13/55/842501355.db2.gz LMFGYSJCZNPKTQ-UHFFFAOYSA-N 1 2 306.369 1.106 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H](C)OCCOCC)C1 ZINC001270659696 842787030 /nfs/dbraw/zinc/78/70/30/842787030.db2.gz WCRURLFWLNIEEZ-ZFWWWQNUSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H](C)OCCOCC)C1 ZINC001270659696 842787033 /nfs/dbraw/zinc/78/70/33/842787033.db2.gz WCRURLFWLNIEEZ-ZFWWWQNUSA-N 1 2 318.845 1.761 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC[C@@]1(C)CCCN(CC#N)C1 ZINC001271007045 843129445 /nfs/dbraw/zinc/12/94/45/843129445.db2.gz HONQZJNCMKKQHQ-MRXNPFEDSA-N 1 2 303.410 1.095 20 30 DDEDLO CC(C)(C#N)c1ccc(S(=O)(=O)NCC[NH+]2CC=CC2)cc1 ZINC001326455004 861383267 /nfs/dbraw/zinc/38/32/67/861383267.db2.gz JBYSUJHLFUGZCK-UHFFFAOYSA-N 1 2 319.430 1.638 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1C[N@H+](CC(N)=O)CCC1(F)F ZINC001271101457 843216423 /nfs/dbraw/zinc/21/64/23/843216423.db2.gz SCRPZMRGAJOTPA-LLVKDONJSA-N 1 2 317.380 1.147 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1C[N@@H+](CC(N)=O)CCC1(F)F ZINC001271101457 843216430 /nfs/dbraw/zinc/21/64/30/843216430.db2.gz SCRPZMRGAJOTPA-LLVKDONJSA-N 1 2 317.380 1.147 20 30 DDEDLO CCO[C@H](C[N@@H+]1CCOC[C@@H]1CNC(=O)C#CC1CC1)C1CC1 ZINC001326633515 861495930 /nfs/dbraw/zinc/49/59/30/861495930.db2.gz ODXFHHSPRAQCSG-DLBZAZTESA-N 1 2 320.433 1.032 20 30 DDEDLO CCO[C@H](C[N@H+]1CCOC[C@@H]1CNC(=O)C#CC1CC1)C1CC1 ZINC001326633515 861495933 /nfs/dbraw/zinc/49/59/33/861495933.db2.gz ODXFHHSPRAQCSG-DLBZAZTESA-N 1 2 320.433 1.032 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)CCCc1cccs1 ZINC001326666467 861524005 /nfs/dbraw/zinc/52/40/05/861524005.db2.gz DWLYCGURUQWFRX-HNNXBMFYSA-N 1 2 320.458 1.911 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)CCCc1cccs1 ZINC001326666467 861524012 /nfs/dbraw/zinc/52/40/12/861524012.db2.gz DWLYCGURUQWFRX-HNNXBMFYSA-N 1 2 320.458 1.911 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2Cc2cn3c(n2)COCC3)C1=O ZINC001272515968 846255803 /nfs/dbraw/zinc/25/58/03/846255803.db2.gz BIHWIZNVEMBDJH-QGZVFWFLSA-N 1 2 316.405 1.166 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2Cc2cn3c(n2)COCC3)C1=O ZINC001272515968 846255814 /nfs/dbraw/zinc/25/58/14/846255814.db2.gz BIHWIZNVEMBDJH-QGZVFWFLSA-N 1 2 316.405 1.166 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@H+](Cc3coc(C)n3)C2)OCC1=O ZINC001272725359 846722950 /nfs/dbraw/zinc/72/29/50/846722950.db2.gz AZZPKEVLEAWIKN-INIZCTEOSA-N 1 2 305.378 1.362 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@@H+](Cc3coc(C)n3)C2)OCC1=O ZINC001272725359 846722956 /nfs/dbraw/zinc/72/29/56/846722956.db2.gz AZZPKEVLEAWIKN-INIZCTEOSA-N 1 2 305.378 1.362 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@H+]2Cc2cnc(OC)nc2)C1=O ZINC001272739145 846751248 /nfs/dbraw/zinc/75/12/48/846751248.db2.gz BVVAHAPCJXDZIZ-KRWDZBQOSA-N 1 2 316.405 1.628 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@@H+]2Cc2cnc(OC)nc2)C1=O ZINC001272739145 846751255 /nfs/dbraw/zinc/75/12/55/846751255.db2.gz BVVAHAPCJXDZIZ-KRWDZBQOSA-N 1 2 316.405 1.628 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077682184 846966863 /nfs/dbraw/zinc/96/68/63/846966863.db2.gz INSUEHJPKWKSLE-OLZOCXBDSA-N 1 2 320.437 1.874 20 30 DDEDLO C=CCCC[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001326978007 861767254 /nfs/dbraw/zinc/76/72/54/861767254.db2.gz KHGBQBGSURQEMV-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCCC[NH2+]C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC001326978007 861767267 /nfs/dbraw/zinc/76/72/67/861767267.db2.gz KHGBQBGSURQEMV-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C#CCN1C[C@]2(CC[N@@H+](Cc3ccc(Cl)nc3)C2)OCC1=O ZINC001272875764 847576677 /nfs/dbraw/zinc/57/66/77/847576677.db2.gz VSQPILHFISBVRG-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@]2(CC[N@H+](Cc3ccc(Cl)nc3)C2)OCC1=O ZINC001272875764 847576681 /nfs/dbraw/zinc/57/66/81/847576681.db2.gz VSQPILHFISBVRG-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)COCCCC)C2)CC1 ZINC001327084156 861866777 /nfs/dbraw/zinc/86/67/77/861866777.db2.gz YXDDFAWEZRNDSL-KRWDZBQOSA-N 1 2 323.481 1.598 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@]12CCC[C@H]1[N@H+](Cc1nccs1)CC2 ZINC001410883663 849907735 /nfs/dbraw/zinc/90/77/35/849907735.db2.gz MJJCDRMORRVJQC-LALPHHSUSA-N 1 2 304.419 1.916 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@]12CCC[C@H]1[N@@H+](Cc1nccs1)CC2 ZINC001410883663 849907738 /nfs/dbraw/zinc/90/77/38/849907738.db2.gz MJJCDRMORRVJQC-LALPHHSUSA-N 1 2 304.419 1.916 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](CCCc3cccnc3)C2)OCC1=O ZINC001273493955 851026967 /nfs/dbraw/zinc/02/69/67/851026967.db2.gz UGFVEZHGWFMROE-UHFFFAOYSA-N 1 2 315.417 1.504 20 30 DDEDLO C#CCN1c2ccccc2C2(CC[NH+](CC(=O)OC)CC2)C1=O ZINC001273930899 851525311 /nfs/dbraw/zinc/52/53/11/851525311.db2.gz DPJQTTZTRZZKQZ-UHFFFAOYSA-N 1 2 312.369 1.173 20 30 DDEDLO N#CCC[N@H+]1CCOC[C@]2(CC(=O)N(Cc3ccncc3)C2)C1 ZINC001274032734 851871907 /nfs/dbraw/zinc/87/19/07/851871907.db2.gz VONZDDNNGUXEPW-QGZVFWFLSA-N 1 2 314.389 1.046 20 30 DDEDLO N#CCC[N@@H+]1CCOC[C@]2(CC(=O)N(Cc3ccncc3)C2)C1 ZINC001274032734 851871913 /nfs/dbraw/zinc/87/19/13/851871913.db2.gz VONZDDNNGUXEPW-QGZVFWFLSA-N 1 2 314.389 1.046 20 30 DDEDLO N#CCC[NH+]1CCC2(CC1)c1ccccc1N(C1COC1)C2=O ZINC001274032095 851873822 /nfs/dbraw/zinc/87/38/22/851873822.db2.gz HLVFSUBZNVGNFV-UHFFFAOYSA-N 1 2 311.385 1.679 20 30 DDEDLO CC(C)OC(=O)C[N@@H+]1CC[C@@H]2[C@H]1CCC(=O)N2CCCC#N ZINC001274062038 851896463 /nfs/dbraw/zinc/89/64/63/851896463.db2.gz QXMUNRHOBBAARO-ZIAGYGMSSA-N 1 2 307.394 1.307 20 30 DDEDLO CC(C)OC(=O)C[N@H+]1CC[C@@H]2[C@H]1CCC(=O)N2CCCC#N ZINC001274062038 851896478 /nfs/dbraw/zinc/89/64/78/851896478.db2.gz QXMUNRHOBBAARO-ZIAGYGMSSA-N 1 2 307.394 1.307 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@H+]2Cc2cnc(OC)cn2)C1=O ZINC001274233914 852082073 /nfs/dbraw/zinc/08/20/73/852082073.db2.gz SUJSKPXDBLKZGA-KRWDZBQOSA-N 1 2 316.405 1.628 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@@H+]2Cc2cnc(OC)cn2)C1=O ZINC001274233914 852082084 /nfs/dbraw/zinc/08/20/84/852082084.db2.gz SUJSKPXDBLKZGA-KRWDZBQOSA-N 1 2 316.405 1.628 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3nc(CC)cs3)C2)OCC1=O ZINC001274579365 852412482 /nfs/dbraw/zinc/41/24/82/852412482.db2.gz KYMLXGSXWPIUAK-INIZCTEOSA-N 1 2 321.446 1.695 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3nc(CC)cs3)C2)OCC1=O ZINC001274579365 852412485 /nfs/dbraw/zinc/41/24/85/852412485.db2.gz KYMLXGSXWPIUAK-INIZCTEOSA-N 1 2 321.446 1.695 20 30 DDEDLO C=CCCCCCC[C@H](O)C[NH+]1CC2(C1)CN(C)C(=O)CO2 ZINC001274660428 852492338 /nfs/dbraw/zinc/49/23/38/852492338.db2.gz LITPUPSICDGJOH-HNNXBMFYSA-N 1 2 310.438 1.417 20 30 DDEDLO C[C@H](CNC(=O)c1nnc[nH]1)[N@H+](C)CC#Cc1ccc(F)cc1 ZINC001275963622 854025491 /nfs/dbraw/zinc/02/54/91/854025491.db2.gz QXYVUIBWSHWKIJ-GFCCVEGCSA-N 1 2 315.352 1.046 20 30 DDEDLO C[C@H](CNC(=O)c1nnc[nH]1)[N@@H+](C)CC#Cc1ccc(F)cc1 ZINC001275963622 854025496 /nfs/dbraw/zinc/02/54/96/854025496.db2.gz QXYVUIBWSHWKIJ-GFCCVEGCSA-N 1 2 315.352 1.046 20 30 DDEDLO C[C@H](CNC(=O)c1ncn[nH]1)[N@H+](C)CC#Cc1ccc(F)cc1 ZINC001275963622 854025502 /nfs/dbraw/zinc/02/55/02/854025502.db2.gz QXYVUIBWSHWKIJ-GFCCVEGCSA-N 1 2 315.352 1.046 20 30 DDEDLO C[C@H](CNC(=O)c1ncn[nH]1)[N@@H+](C)CC#Cc1ccc(F)cc1 ZINC001275963622 854025506 /nfs/dbraw/zinc/02/55/06/854025506.db2.gz QXYVUIBWSHWKIJ-GFCCVEGCSA-N 1 2 315.352 1.046 20 30 DDEDLO C[C@H](CNC(=O)c1nc[nH]n1)[N@H+](C)CC#Cc1ccc(F)cc1 ZINC001275963622 854025510 /nfs/dbraw/zinc/02/55/10/854025510.db2.gz QXYVUIBWSHWKIJ-GFCCVEGCSA-N 1 2 315.352 1.046 20 30 DDEDLO C[C@H](CNC(=O)c1nc[nH]n1)[N@@H+](C)CC#Cc1ccc(F)cc1 ZINC001275963622 854025516 /nfs/dbraw/zinc/02/55/16/854025516.db2.gz QXYVUIBWSHWKIJ-GFCCVEGCSA-N 1 2 315.352 1.046 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@H](C)c2cccnc2)C1 ZINC001276100735 854764727 /nfs/dbraw/zinc/76/47/27/854764727.db2.gz HBLAZOIBJQFLAT-CQSZACIVSA-N 1 2 303.406 1.314 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C1C[NH+](Cc2ccnc(OC)n2)C1 ZINC001276375402 856301594 /nfs/dbraw/zinc/30/15/94/856301594.db2.gz DVXNYMLVPAFCEH-ZDUSSCGKSA-N 1 2 316.405 1.225 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2nnc(C)[nH]2)[C@H](C)C1 ZINC001328237840 862799173 /nfs/dbraw/zinc/79/91/73/862799173.db2.gz CDYDVZHEKYCIAT-UKRRQHHQSA-N 1 2 317.437 1.776 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nnc(C)[nH]2)[C@H](C)C1 ZINC001328237840 862799185 /nfs/dbraw/zinc/79/91/85/862799185.db2.gz CDYDVZHEKYCIAT-UKRRQHHQSA-N 1 2 317.437 1.776 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2ccc(Cl)cc2C#N)c[nH+]1 ZINC001413461136 856840477 /nfs/dbraw/zinc/84/04/77/856840477.db2.gz PQSPAMMTHXCDNO-UHFFFAOYSA-N 1 2 324.793 1.976 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)s1 ZINC001072553143 857438361 /nfs/dbraw/zinc/43/83/61/857438361.db2.gz WAQDWUHEWJVXQW-SNVBAGLBSA-N 1 2 305.407 1.041 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)s1 ZINC001072553143 857438365 /nfs/dbraw/zinc/43/83/65/857438365.db2.gz WAQDWUHEWJVXQW-SNVBAGLBSA-N 1 2 305.407 1.041 20 30 DDEDLO COC(=O)C[C@H](c1ccnc(N2CC[NH2+]C[C@@H]2C#N)c1)C1CC1 ZINC001156327557 862938403 /nfs/dbraw/zinc/93/84/03/862938403.db2.gz FVOHHEGKCMSFEZ-GJZGRUSLSA-N 1 2 314.389 1.440 20 30 DDEDLO CC#CC[N@@H+]1CCC2(CN(C(=O)[C@H]3CCCc4[nH]ncc43)C2)C1 ZINC001072735794 857654360 /nfs/dbraw/zinc/65/43/60/857654360.db2.gz QTJICTHCTBDGOD-AWEZNQCLSA-N 1 2 312.417 1.387 20 30 DDEDLO CC#CC[N@H+]1CCC2(CN(C(=O)[C@H]3CCCc4[nH]ncc43)C2)C1 ZINC001072735794 857654361 /nfs/dbraw/zinc/65/43/61/857654361.db2.gz QTJICTHCTBDGOD-AWEZNQCLSA-N 1 2 312.417 1.387 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@@](F)(C#N)C1 ZINC001121714738 858580091 /nfs/dbraw/zinc/58/00/91/858580091.db2.gz CSTMWFYWLWMOHC-OAHLLOKOSA-N 1 2 315.356 1.230 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@H](CO)Nc1cc[nH+]c(C)n1 ZINC001122091746 858682887 /nfs/dbraw/zinc/68/28/87/858682887.db2.gz NJBJPTADVOEDIX-CQSZACIVSA-N 1 2 304.394 1.442 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)F)n2CC(=C)C)CC1 ZINC001122484034 858844724 /nfs/dbraw/zinc/84/47/24/858844724.db2.gz XHWKGJMQPAQWLG-UHFFFAOYSA-N 1 2 305.401 1.814 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H](Nc2cc[nH+]c(C)n2)C(C)(C)C1 ZINC001123972103 859503852 /nfs/dbraw/zinc/50/38/52/859503852.db2.gz LLFYIBFDNCVOEU-JSGCOSHPSA-N 1 2 316.405 1.472 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@@H+](Cc1nc(C)c[nH]1)CC2 ZINC001138936034 860237616 /nfs/dbraw/zinc/23/76/16/860237616.db2.gz HKMFWIDGWPEFRQ-UHFFFAOYSA-N 1 2 301.394 1.713 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@H+](Cc1nc(C)c[nH]1)CC2 ZINC001138936034 860237627 /nfs/dbraw/zinc/23/76/27/860237627.db2.gz HKMFWIDGWPEFRQ-UHFFFAOYSA-N 1 2 301.394 1.713 20 30 DDEDLO CCn1nnc2c1[C@H](COC)C[N@H+](Cc1ccc(C#N)nc1)C2 ZINC001140542051 860653150 /nfs/dbraw/zinc/65/31/50/860653150.db2.gz ZHSQDOKGGOYEPN-ZDUSSCGKSA-N 1 2 312.377 1.310 20 30 DDEDLO CCn1nnc2c1[C@H](COC)C[N@@H+](Cc1ccc(C#N)nc1)C2 ZINC001140542051 860653151 /nfs/dbraw/zinc/65/31/51/860653151.db2.gz ZHSQDOKGGOYEPN-ZDUSSCGKSA-N 1 2 312.377 1.310 20 30 DDEDLO C[C@@]1(CO)C[N@H+](Cc2sc(N)c(C#N)c2Cl)CC[C@@H]1O ZINC001141107551 860787830 /nfs/dbraw/zinc/78/78/30/860787830.db2.gz YYUGKZOIIBYFKZ-GWCFXTLKSA-N 1 2 315.826 1.421 20 30 DDEDLO C[C@@]1(CO)C[N@@H+](Cc2sc(N)c(C#N)c2Cl)CC[C@@H]1O ZINC001141107551 860787832 /nfs/dbraw/zinc/78/78/32/860787832.db2.gz YYUGKZOIIBYFKZ-GWCFXTLKSA-N 1 2 315.826 1.421 20 30 DDEDLO CCOC(=O)[C@H]1[C@@H]2C[N@@H+](Cc3ccc(C#N)c(SC)n3)C[C@@H]21 ZINC001141944078 860975059 /nfs/dbraw/zinc/97/50/59/860975059.db2.gz WRBTYXQTUVZAGB-WDNDVIMCSA-N 1 2 317.414 1.916 20 30 DDEDLO CCOC(=O)[C@H]1[C@@H]2C[N@H+](Cc3ccc(C#N)c(SC)n3)C[C@@H]21 ZINC001141944078 860975067 /nfs/dbraw/zinc/97/50/67/860975067.db2.gz WRBTYXQTUVZAGB-WDNDVIMCSA-N 1 2 317.414 1.916 20 30 DDEDLO C[C@@H]([NH2+]Cc1cnsn1)[C@H](C)NC(=O)c1cc(C#N)c[nH]1 ZINC001381369083 881603354 /nfs/dbraw/zinc/60/33/54/881603354.db2.gz PACWYMSMCAEAMZ-BDAKNGLRSA-N 1 2 304.379 1.034 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H](C)[N@H+](C)Cc2nocc2C)c1 ZINC001329540742 863720855 /nfs/dbraw/zinc/72/08/55/863720855.db2.gz MXEOAZTVWKXEOY-ZDUSSCGKSA-N 1 2 312.373 1.610 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H](C)[N@@H+](C)Cc2nocc2C)c1 ZINC001329540742 863720861 /nfs/dbraw/zinc/72/08/61/863720861.db2.gz MXEOAZTVWKXEOY-ZDUSSCGKSA-N 1 2 312.373 1.610 20 30 DDEDLO C=CCC[C@@H](C)[NH+]1CC(CCO)(NC(=O)c2cnns2)C1 ZINC001329638810 863765262 /nfs/dbraw/zinc/76/52/62/863765262.db2.gz RZKOVCYUZNXCDR-LLVKDONJSA-N 1 2 310.423 1.059 20 30 DDEDLO CCOCCC[NH+]1CC(CCO)(NC(=O)C#CC(C)(C)C)C1 ZINC001329654749 863772076 /nfs/dbraw/zinc/77/20/76/863772076.db2.gz DPPNNFKWZDZCMP-UHFFFAOYSA-N 1 2 310.438 1.016 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2cccnc2C2CC2)C1 ZINC001329680528 863787459 /nfs/dbraw/zinc/78/74/59/863787459.db2.gz RYGFLAOJVGRGCX-UHFFFAOYSA-N 1 2 313.401 1.149 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1snnc1C ZINC001153306873 863816831 /nfs/dbraw/zinc/81/68/31/863816831.db2.gz XBQSAFDFDWNPOF-GFCCVEGCSA-N 1 2 324.450 1.213 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1snnc1C ZINC001153306873 863816837 /nfs/dbraw/zinc/81/68/37/863816837.db2.gz XBQSAFDFDWNPOF-GFCCVEGCSA-N 1 2 324.450 1.213 20 30 DDEDLO CC#CC[N@H+](CC)[C@H](C)CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001153399627 863871439 /nfs/dbraw/zinc/87/14/39/863871439.db2.gz DGNLUYSYSFFFFQ-KGLIPLIRSA-N 1 2 302.422 1.680 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](C)CCN1c1nc(C)c(Cl)c(C)c1C#N ZINC001157770747 864121457 /nfs/dbraw/zinc/12/14/57/864121457.db2.gz QGQWPOUAQPEDRR-LBPRGKRZSA-N 1 2 322.796 1.517 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](C)CCN1c1nc(C)c(Cl)c(C)c1C#N ZINC001157770747 864121452 /nfs/dbraw/zinc/12/14/52/864121452.db2.gz QGQWPOUAQPEDRR-LBPRGKRZSA-N 1 2 322.796 1.517 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)s3)C[C@H]21 ZINC001330318673 864234458 /nfs/dbraw/zinc/23/44/58/864234458.db2.gz MAAQPRQPJISWOV-XYYAHUGASA-N 1 2 320.462 1.853 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)s3)C[C@H]21 ZINC001330318673 864234470 /nfs/dbraw/zinc/23/44/70/864234470.db2.gz MAAQPRQPJISWOV-XYYAHUGASA-N 1 2 320.462 1.853 20 30 DDEDLO N#Cc1c[nH]c2nc(N3CCC([N@@H+]4CC[C@@H]([NH3+])C4)CC3)ccc12 ZINC001158261321 864524878 /nfs/dbraw/zinc/52/48/78/864524878.db2.gz LYWLOBUAQAULDC-CYBMUJFWSA-N 1 2 310.405 1.436 20 30 DDEDLO N#Cc1c[nH]c2nc(N3CCC([N@H+]4CC[C@@H]([NH3+])C4)CC3)ccc12 ZINC001158261321 864524883 /nfs/dbraw/zinc/52/48/83/864524883.db2.gz LYWLOBUAQAULDC-CYBMUJFWSA-N 1 2 310.405 1.436 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1c(C#N)cnc2cnc(Cl)cc21 ZINC001158700443 864825510 /nfs/dbraw/zinc/82/55/10/864825510.db2.gz GSKPYBYWIKLWBX-LLVKDONJSA-N 1 2 317.780 1.319 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1c(C#N)cnc2cnc(Cl)cc21 ZINC001158700443 864825528 /nfs/dbraw/zinc/82/55/28/864825528.db2.gz GSKPYBYWIKLWBX-LLVKDONJSA-N 1 2 317.780 1.319 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H](C)[NH2+]Cc2nnc(C)o2)nc1 ZINC001331612696 865183001 /nfs/dbraw/zinc/18/30/01/865183001.db2.gz NXQDUBKRJNJDOA-LLVKDONJSA-N 1 2 313.361 1.005 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](CO)[NH2+]Cc2coc(C)n2)CC1 ZINC001331788296 865330018 /nfs/dbraw/zinc/33/00/18/865330018.db2.gz RTCJAAIVCPIPAC-CQSZACIVSA-N 1 2 307.394 1.296 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@H+](Cc2cnn(C)n2)CC1 ZINC001159958133 865617018 /nfs/dbraw/zinc/61/70/18/865617018.db2.gz ZKCHWXVPJZYRIG-UHFFFAOYSA-N 1 2 315.421 1.257 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@@H+](Cc2cnn(C)n2)CC1 ZINC001159958133 865617028 /nfs/dbraw/zinc/61/70/28/865617028.db2.gz ZKCHWXVPJZYRIG-UHFFFAOYSA-N 1 2 315.421 1.257 20 30 DDEDLO N#CCN[C@@H]1CCC[C@H]2CN(C(=O)CCCn3cc[nH+]c3)C[C@@H]21 ZINC001332160257 865623261 /nfs/dbraw/zinc/62/32/61/865623261.db2.gz RAGZLEGMROXSIT-HRCADAONSA-N 1 2 315.421 1.404 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)C1(CCOC)CCCC1 ZINC001323372067 866568768 /nfs/dbraw/zinc/56/87/68/866568768.db2.gz PWFAZVJFRNHTMJ-INIZCTEOSA-N 1 2 322.449 1.424 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)C1(CCOC)CCCC1 ZINC001323372067 866568780 /nfs/dbraw/zinc/56/87/80/866568780.db2.gz PWFAZVJFRNHTMJ-INIZCTEOSA-N 1 2 322.449 1.424 20 30 DDEDLO N#Cc1cc(S(=O)(=O)NCC[NH+]2CC=CC2)ccc1Cl ZINC001323427010 866603388 /nfs/dbraw/zinc/60/33/88/866603388.db2.gz MUZQABWALGNIDF-UHFFFAOYSA-N 1 2 311.794 1.362 20 30 DDEDLO C=CCn1cnn(C[N@@H+]2CCOC3(CCOCC3)CC2)c1=S ZINC001320580618 866848290 /nfs/dbraw/zinc/84/82/90/866848290.db2.gz ADFSOZXBSIHLRV-UHFFFAOYSA-N 1 2 324.450 1.829 20 30 DDEDLO C=CCn1cnn(C[N@H+]2CCOC3(CCOCC3)CC2)c1=S ZINC001320580618 866848305 /nfs/dbraw/zinc/84/83/05/866848305.db2.gz ADFSOZXBSIHLRV-UHFFFAOYSA-N 1 2 324.450 1.829 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001333933292 867168146 /nfs/dbraw/zinc/16/81/46/867168146.db2.gz JCDROQKISVOXIX-KGLIPLIRSA-N 1 2 304.394 1.086 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(F)cc2)C1 ZINC001324900545 867613654 /nfs/dbraw/zinc/61/36/54/867613654.db2.gz OBDOGOYWYOJQBX-AWEZNQCLSA-N 1 2 313.394 1.876 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(F)cc2)C1 ZINC001324900545 867613655 /nfs/dbraw/zinc/61/36/55/867613655.db2.gz OBDOGOYWYOJQBX-AWEZNQCLSA-N 1 2 313.394 1.876 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001334544022 867656579 /nfs/dbraw/zinc/65/65/79/867656579.db2.gz ICCDRHAJWSDJKE-MGPQQGTHSA-N 1 2 318.421 1.453 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)CCC)C1 ZINC001325044428 867715768 /nfs/dbraw/zinc/71/57/68/867715768.db2.gz YWZOECRNDUUVAI-LBPRGKRZSA-N 1 2 317.380 1.162 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)CCC)C1 ZINC001325044428 867715775 /nfs/dbraw/zinc/71/57/75/867715775.db2.gz YWZOECRNDUUVAI-LBPRGKRZSA-N 1 2 317.380 1.162 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C[NH2+]Cc1nc(CC2CC2)no1 ZINC001321842688 867827608 /nfs/dbraw/zinc/82/76/08/867827608.db2.gz BJOBXUSIVGNSAN-LBPRGKRZSA-N 1 2 304.394 1.276 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC001325707353 868275790 /nfs/dbraw/zinc/27/57/90/868275790.db2.gz XTZUCBLOYXYHAX-OAHLLOKOSA-N 1 2 301.390 1.882 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001322878475 868440788 /nfs/dbraw/zinc/44/07/88/868440788.db2.gz DLJTYDRZHPHSRM-CYBMUJFWSA-N 1 2 304.394 1.587 20 30 DDEDLO C[C@@H](c1ncccn1)[NH+]1CCC(NC(=O)CSCC#N)CC1 ZINC001226152474 882236029 /nfs/dbraw/zinc/23/60/29/882236029.db2.gz HDMSNDGHWVGPRX-LBPRGKRZSA-N 1 2 319.434 1.375 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)C[C@H](CC)C(C)C)CC1 ZINC001316971221 870021640 /nfs/dbraw/zinc/02/16/40/870021640.db2.gz BCYFZFACWCEMJX-KRWDZBQOSA-N 1 2 307.482 1.816 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2cc(F)ccc2F)C1 ZINC001316973295 870027068 /nfs/dbraw/zinc/02/70/68/870027068.db2.gz CWWUTKXVWAMYPU-AWEZNQCLSA-N 1 2 310.344 1.858 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2cc(F)ccc2F)C1 ZINC001316973295 870027083 /nfs/dbraw/zinc/02/70/83/870027083.db2.gz CWWUTKXVWAMYPU-AWEZNQCLSA-N 1 2 310.344 1.858 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1CC ZINC001317049871 870166233 /nfs/dbraw/zinc/16/62/33/870166233.db2.gz UFAJTDSCRYWUEN-DGXTUMSLSA-N 1 2 319.449 1.398 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1CC ZINC001317049871 870166240 /nfs/dbraw/zinc/16/62/40/870166240.db2.gz UFAJTDSCRYWUEN-DGXTUMSLSA-N 1 2 319.449 1.398 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N1CC[C@H](C)C1 ZINC001338833736 870194290 /nfs/dbraw/zinc/19/42/90/870194290.db2.gz DIUBZDGPGGFHKY-AWEZNQCLSA-N 1 2 319.453 1.515 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001298020283 870242831 /nfs/dbraw/zinc/24/28/31/870242831.db2.gz GAMVCOGVPLERGG-AWEZNQCLSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCCC[N@H+]1Cc1ncc(C)o1 ZINC001317171314 870407820 /nfs/dbraw/zinc/40/78/20/870407820.db2.gz RSTAJLCFMJCVQO-WMLDXEAASA-N 1 2 321.421 1.781 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1ncc(C)o1 ZINC001317171314 870407823 /nfs/dbraw/zinc/40/78/23/870407823.db2.gz RSTAJLCFMJCVQO-WMLDXEAASA-N 1 2 321.421 1.781 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC ZINC001339240642 870416957 /nfs/dbraw/zinc/41/69/57/870416957.db2.gz PLJXWPGOJYNHRS-CZUORRHYSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC ZINC001339240642 870416964 /nfs/dbraw/zinc/41/69/64/870416964.db2.gz PLJXWPGOJYNHRS-CZUORRHYSA-N 1 2 305.426 1.388 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001317204394 870463348 /nfs/dbraw/zinc/46/33/48/870463348.db2.gz PYPHHWGBOTVCGC-GJZGRUSLSA-N 1 2 317.437 1.191 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001317204394 870463362 /nfs/dbraw/zinc/46/33/62/870463362.db2.gz PYPHHWGBOTVCGC-GJZGRUSLSA-N 1 2 317.437 1.191 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@H]2C)ncc1C#N ZINC001361814391 882424142 /nfs/dbraw/zinc/42/41/42/882424142.db2.gz IWKIAIBZTDHGJJ-HIFRSBDPSA-N 1 2 314.389 1.197 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H]1CCCC[C@@H]1CC ZINC001317468133 870887462 /nfs/dbraw/zinc/88/74/62/870887462.db2.gz OOBMREZPLIICQA-GJZGRUSLSA-N 1 2 307.438 1.000 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)[C@H]1CCCC[C@@H]1CC ZINC001317468133 870887475 /nfs/dbraw/zinc/88/74/75/870887475.db2.gz OOBMREZPLIICQA-GJZGRUSLSA-N 1 2 307.438 1.000 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001299096654 870895622 /nfs/dbraw/zinc/89/56/22/870895622.db2.gz VZSQTHLGOITJKF-WMLDXEAASA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001299096654 870895626 /nfs/dbraw/zinc/89/56/26/870895626.db2.gz VZSQTHLGOITJKF-WMLDXEAASA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CCC[N@@H+]1CC=C(Cl)Cl ZINC001317505791 870943045 /nfs/dbraw/zinc/94/30/45/870943045.db2.gz SZOVDSMIVDXKPC-RYUDHWBXSA-N 1 2 319.232 1.924 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CCC[N@H+]1CC=C(Cl)Cl ZINC001317505791 870943053 /nfs/dbraw/zinc/94/30/53/870943053.db2.gz SZOVDSMIVDXKPC-RYUDHWBXSA-N 1 2 319.232 1.924 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[N@H+](Cc1cnnn1C)C1CC1 ZINC001317556344 871069127 /nfs/dbraw/zinc/06/91/27/871069127.db2.gz GAYJRUOJDGIMLM-MRXNPFEDSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[N@@H+](Cc1cnnn1C)C1CC1 ZINC001317556344 871069137 /nfs/dbraw/zinc/06/91/37/871069137.db2.gz GAYJRUOJDGIMLM-MRXNPFEDSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+](Cc2ccc(CC)cc2)CC1 ZINC001303084101 871099431 /nfs/dbraw/zinc/09/94/31/871099431.db2.gz RZPXRQXIWWMWEM-GOSISDBHSA-N 1 2 302.418 1.830 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cn(CC)nn2)[C@@H]1CC ZINC001316731293 871162028 /nfs/dbraw/zinc/16/20/28/871162028.db2.gz GEPPRMAFXIOBBJ-LSDHHAIUSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cn(CC)nn2)[C@@H]1CC ZINC001316731293 871162032 /nfs/dbraw/zinc/16/20/32/871162032.db2.gz GEPPRMAFXIOBBJ-LSDHHAIUSA-N 1 2 305.426 1.733 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NCC1CC1 ZINC001317734092 871452818 /nfs/dbraw/zinc/45/28/18/871452818.db2.gz AYZYAETXGLXHHT-IYBDPMFKSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NCC1CC1 ZINC001317734092 871452828 /nfs/dbraw/zinc/45/28/28/871452828.db2.gz AYZYAETXGLXHHT-IYBDPMFKSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(Cc2conc2C)CC1 ZINC001317816858 871542434 /nfs/dbraw/zinc/54/24/34/871542434.db2.gz QBZFICPPMSRFQH-UHFFFAOYSA-N 1 2 320.437 1.525 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)C[C@@H](C)C(F)(F)F)CC1 ZINC001317819759 871548439 /nfs/dbraw/zinc/54/84/39/871548439.db2.gz GCITYQTXMVAVDO-CYBMUJFWSA-N 1 2 321.387 1.837 20 30 DDEDLO CC1(C)CN(Cc2ccc(F)c(C#N)c2)CC[N@@H+]1CC(N)=O ZINC001205493826 871586035 /nfs/dbraw/zinc/58/60/35/871586035.db2.gz UJVLMKMSYXBDEY-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC1(C)CN(Cc2ccc(F)c(C#N)c2)CC[N@H+]1CC(N)=O ZINC001205493826 871586056 /nfs/dbraw/zinc/58/60/56/871586056.db2.gz UJVLMKMSYXBDEY-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccc(F)c(C#N)c2)CCN1CC(N)=O ZINC001205493826 871586074 /nfs/dbraw/zinc/58/60/74/871586074.db2.gz UJVLMKMSYXBDEY-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccc(F)c(C#N)c2)CCN1CC(N)=O ZINC001205493826 871586095 /nfs/dbraw/zinc/58/60/95/871586095.db2.gz UJVLMKMSYXBDEY-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C)no2)C1 ZINC001317955510 871648121 /nfs/dbraw/zinc/64/81/21/871648121.db2.gz QKPBUSVGDJYEKS-CQSZACIVSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(C)no2)C1 ZINC001317955510 871648125 /nfs/dbraw/zinc/64/81/25/871648125.db2.gz QKPBUSVGDJYEKS-CQSZACIVSA-N 1 2 307.394 1.656 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cnnn2C)C1 ZINC001317979637 871669063 /nfs/dbraw/zinc/66/90/63/871669063.db2.gz RKEWTKKIPOTGDV-HNNXBMFYSA-N 1 2 317.437 1.479 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CCC[N@H+](Cc2cnnn2C)C1 ZINC001317979637 871669068 /nfs/dbraw/zinc/66/90/68/871669068.db2.gz RKEWTKKIPOTGDV-HNNXBMFYSA-N 1 2 317.437 1.479 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CC[N@@H+]([C@@H](C)c2csnn2)C1 ZINC001317522205 871734991 /nfs/dbraw/zinc/73/49/91/871734991.db2.gz HQNJJLWGJDMOIY-STQMWFEESA-N 1 2 322.434 1.077 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CC[N@H+]([C@@H](C)c2csnn2)C1 ZINC001317522205 871735013 /nfs/dbraw/zinc/73/50/13/871735013.db2.gz HQNJJLWGJDMOIY-STQMWFEESA-N 1 2 322.434 1.077 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)CC(C)C ZINC001318223908 871843718 /nfs/dbraw/zinc/84/37/18/871843718.db2.gz LMRZLSLRMVBORT-ULQDDVLXSA-N 1 2 306.450 1.994 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)CC(C)C ZINC001318223908 871843730 /nfs/dbraw/zinc/84/37/30/871843730.db2.gz LMRZLSLRMVBORT-ULQDDVLXSA-N 1 2 306.450 1.994 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2cc(C)cc(F)c2)C1 ZINC001318280825 871905077 /nfs/dbraw/zinc/90/50/77/871905077.db2.gz ASBBGEOKYUKKOS-HNNXBMFYSA-N 1 2 319.380 1.240 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)cc(F)c2)C1 ZINC001318280825 871905080 /nfs/dbraw/zinc/90/50/80/871905080.db2.gz ASBBGEOKYUKKOS-HNNXBMFYSA-N 1 2 319.380 1.240 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C1 ZINC001318331881 871937681 /nfs/dbraw/zinc/93/76/81/871937681.db2.gz YHBCPNFSTITCQP-OAHLLOKOSA-N 1 2 303.381 1.961 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001318331881 871937688 /nfs/dbraw/zinc/93/76/88/871937688.db2.gz YHBCPNFSTITCQP-OAHLLOKOSA-N 1 2 303.381 1.961 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@@H]1CCCCO1 ZINC001316820845 871950935 /nfs/dbraw/zinc/95/09/35/871950935.db2.gz ZYTZKMOJZNYURR-LBPRGKRZSA-N 1 2 319.243 1.902 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@@H]1CCCCO1 ZINC001316820845 871950947 /nfs/dbraw/zinc/95/09/47/871950947.db2.gz ZYTZKMOJZNYURR-LBPRGKRZSA-N 1 2 319.243 1.902 20 30 DDEDLO C[N@@H+]1CCO[C@@H](COc2ccnc(Br)c2C#N)C1 ZINC001234427032 887960711 /nfs/dbraw/zinc/96/07/11/887960711.db2.gz OMJUXHWLCMZANX-SECBINFHSA-N 1 2 312.167 1.425 20 30 DDEDLO C[N@H+]1CCO[C@@H](COc2ccnc(Br)c2C#N)C1 ZINC001234427032 887960721 /nfs/dbraw/zinc/96/07/21/887960721.db2.gz OMJUXHWLCMZANX-SECBINFHSA-N 1 2 312.167 1.425 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@H+](CC=C(Cl)Cl)C1 ZINC001318402664 872001973 /nfs/dbraw/zinc/00/19/73/872001973.db2.gz MMVXXSRUBXYMBN-GFCCVEGCSA-N 1 2 319.232 1.878 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C1 ZINC001318402664 872001994 /nfs/dbraw/zinc/00/19/94/872001994.db2.gz MMVXXSRUBXYMBN-GFCCVEGCSA-N 1 2 319.232 1.878 20 30 DDEDLO CCc1ncsc1C(=O)N(C)[C@@H]1CC[N@H+](CC#CCOC)C1 ZINC001318406737 872005294 /nfs/dbraw/zinc/00/52/94/872005294.db2.gz JNDTWQCVXGLQDJ-CYBMUJFWSA-N 1 2 321.446 1.502 20 30 DDEDLO CCc1ncsc1C(=O)N(C)[C@@H]1CC[N@@H+](CC#CCOC)C1 ZINC001318406737 872005318 /nfs/dbraw/zinc/00/53/18/872005318.db2.gz JNDTWQCVXGLQDJ-CYBMUJFWSA-N 1 2 321.446 1.502 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)[C@@H]1C ZINC001316801709 872068418 /nfs/dbraw/zinc/06/84/18/872068418.db2.gz VVCFZHDUZICMHN-ZBFHGGJFSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)[C@@H]1C ZINC001316801709 872068435 /nfs/dbraw/zinc/06/84/35/872068435.db2.gz VVCFZHDUZICMHN-ZBFHGGJFSA-N 1 2 320.437 1.400 20 30 DDEDLO CC[C@@H](C)CCC(=O)N(CC)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318483892 872107875 /nfs/dbraw/zinc/10/78/75/872107875.db2.gz HNFREMJQBVGRKV-HUUCEWRRSA-N 1 2 322.453 1.375 20 30 DDEDLO CC[C@@H](C)CCC(=O)N(CC)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318483892 872107887 /nfs/dbraw/zinc/10/78/87/872107887.db2.gz HNFREMJQBVGRKV-HUUCEWRRSA-N 1 2 322.453 1.375 20 30 DDEDLO C[C@H](CC(=O)NCCC1CCN(CC#N)CC1)n1cc[nH+]c1 ZINC001319038348 872409275 /nfs/dbraw/zinc/40/92/75/872409275.db2.gz MIZRSRYQZGKWLS-CQSZACIVSA-N 1 2 303.410 1.576 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001316941311 872424181 /nfs/dbraw/zinc/42/41/81/872424181.db2.gz NGPMYMBLRYYBFG-HNNXBMFYSA-N 1 2 307.369 1.504 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@H+](Cc2ccc(F)cn2)C1 ZINC001316941311 872424184 /nfs/dbraw/zinc/42/41/84/872424184.db2.gz NGPMYMBLRYYBFG-HNNXBMFYSA-N 1 2 307.369 1.504 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@@H+](CC=C(Cl)Cl)C1 ZINC001316946143 872440224 /nfs/dbraw/zinc/44/02/24/872440224.db2.gz WJTKFMPFVAUJAX-GFCCVEGCSA-N 1 2 319.232 1.926 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@H+](CC=C(Cl)Cl)C1 ZINC001316946143 872440229 /nfs/dbraw/zinc/44/02/29/872440229.db2.gz WJTKFMPFVAUJAX-GFCCVEGCSA-N 1 2 319.232 1.926 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nnc(C)o1 ZINC001319102851 872442420 /nfs/dbraw/zinc/44/24/20/872442420.db2.gz YCHFAQXGBIPJMJ-CQSZACIVSA-N 1 2 304.394 1.652 20 30 DDEDLO N#C[C@H]1C[C@H]1C(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC001361905596 882615424 /nfs/dbraw/zinc/61/54/24/882615424.db2.gz XHVUKNDCRXWTHS-BRWVUGGUSA-N 1 2 313.401 1.206 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nnc(CC)o2)C[C@H]1C ZINC001206619755 872479836 /nfs/dbraw/zinc/47/98/36/872479836.db2.gz GICZBVVJWUZEGT-JHJVBQTASA-N 1 2 322.409 1.332 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nnc(CC)o2)C[C@H]1C ZINC001206619755 872479844 /nfs/dbraw/zinc/47/98/44/872479844.db2.gz GICZBVVJWUZEGT-JHJVBQTASA-N 1 2 322.409 1.332 20 30 DDEDLO COc1nscc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C1 ZINC001206947088 872825867 /nfs/dbraw/zinc/82/58/67/872825867.db2.gz FEFOBYRKMJKRDY-TZMCWYRMSA-N 1 2 321.446 1.748 20 30 DDEDLO COc1nscc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C1 ZINC001206947088 872825873 /nfs/dbraw/zinc/82/58/73/872825873.db2.gz FEFOBYRKMJKRDY-TZMCWYRMSA-N 1 2 321.446 1.748 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1C[N@H+](Cc2ccon2)C[C@H]1C)OCC ZINC001207254800 873151010 /nfs/dbraw/zinc/15/10/10/873151010.db2.gz AFFGFSFCELPLDM-FVQBIDKESA-N 1 2 321.421 1.982 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1C[N@@H+](Cc2ccon2)C[C@H]1C)OCC ZINC001207254800 873151027 /nfs/dbraw/zinc/15/10/27/873151027.db2.gz AFFGFSFCELPLDM-FVQBIDKESA-N 1 2 321.421 1.982 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC001345109869 873260219 /nfs/dbraw/zinc/26/02/19/873260219.db2.gz GFQFPTNIZRVDNC-CQSZACIVSA-N 1 2 316.405 1.567 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2coc(C)n2)C[C@H]1C ZINC001211420631 875799533 /nfs/dbraw/zinc/79/95/33/875799533.db2.gz OCNFGVUYFULSHP-IUODEOHRSA-N 1 2 307.394 1.512 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2coc(C)n2)C[C@H]1C ZINC001211420631 875799538 /nfs/dbraw/zinc/79/95/38/875799538.db2.gz OCNFGVUYFULSHP-IUODEOHRSA-N 1 2 307.394 1.512 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C[C@H](C)CC(C)C)[C@H](OC)C1 ZINC001213710664 876027138 /nfs/dbraw/zinc/02/71/38/876027138.db2.gz AVQMOFAPHLYEMV-BRWVUGGUSA-N 1 2 324.465 1.524 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C[C@H](C)CC(C)C)[C@H](OC)C1 ZINC001213710664 876027152 /nfs/dbraw/zinc/02/71/52/876027152.db2.gz AVQMOFAPHLYEMV-BRWVUGGUSA-N 1 2 324.465 1.524 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)Oc1ccc2cc(C#N)c(=O)oc2c1 ZINC001227380248 882988621 /nfs/dbraw/zinc/98/86/21/882988621.db2.gz WXCLDTXZGBBZSY-LBPRGKRZSA-N 1 2 314.341 1.764 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)cc2)[C@H](OC)C1 ZINC001213771044 876050182 /nfs/dbraw/zinc/05/01/82/876050182.db2.gz QOJWBFGQQNIAOA-IAGOWNOFSA-N 1 2 316.401 1.074 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)cc2)[C@H](OC)C1 ZINC001213771044 876050197 /nfs/dbraw/zinc/05/01/97/876050197.db2.gz QOJWBFGQQNIAOA-IAGOWNOFSA-N 1 2 316.401 1.074 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)Cc1ccccn1 ZINC001352093190 876594915 /nfs/dbraw/zinc/59/49/15/876594915.db2.gz HQEZJTWVAAERLZ-UHFFFAOYSA-N 1 2 323.404 1.820 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001352974522 877052487 /nfs/dbraw/zinc/05/24/87/877052487.db2.gz YNEGCSOODCIFAS-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(CC)n1Cc1ccc(N(C)C)[nH+]c1 ZINC001300309096 877358601 /nfs/dbraw/zinc/35/86/01/877358601.db2.gz FZXWAZWOWIHLCM-ZDUSSCGKSA-N 1 2 312.421 1.808 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001353497501 877378368 /nfs/dbraw/zinc/37/83/68/877378368.db2.gz RHWRXQQNMGLYNN-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1C[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001353595436 877438206 /nfs/dbraw/zinc/43/82/06/877438206.db2.gz HYHZQVAYHXROFK-SHTZXODSSA-N 1 2 316.405 1.230 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)CNC(=O)CC(C)(C)C ZINC001379789647 878033455 /nfs/dbraw/zinc/03/34/55/878033455.db2.gz JJPAZCZKTCDHKS-GFCCVEGCSA-N 1 2 317.861 1.728 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)CNC(=O)CC(C)(C)C ZINC001379789647 878033475 /nfs/dbraw/zinc/03/34/75/878033475.db2.gz JJPAZCZKTCDHKS-GFCCVEGCSA-N 1 2 317.861 1.728 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219332701 878123623 /nfs/dbraw/zinc/12/36/23/878123623.db2.gz FJJCNJGZWBCIHV-SJORKVTESA-N 1 2 316.376 1.305 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219332701 878123633 /nfs/dbraw/zinc/12/36/33/878123633.db2.gz FJJCNJGZWBCIHV-SJORKVTESA-N 1 2 316.376 1.305 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219332919 878126769 /nfs/dbraw/zinc/12/67/69/878126769.db2.gz LTNCPDHEDMMSKJ-CABCVRRESA-N 1 2 308.809 1.968 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219332919 878126775 /nfs/dbraw/zinc/12/67/75/878126775.db2.gz LTNCPDHEDMMSKJ-CABCVRRESA-N 1 2 308.809 1.968 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)/C=C/C3CC3)[C@@H](O)C2)s1 ZINC001219428081 878199849 /nfs/dbraw/zinc/19/98/49/878199849.db2.gz FHRIUYLCRNWLKK-PUZFDUQFSA-N 1 2 317.414 1.247 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)/C=C/C3CC3)[C@@H](O)C2)s1 ZINC001219428081 878199852 /nfs/dbraw/zinc/19/98/52/878199852.db2.gz FHRIUYLCRNWLKK-PUZFDUQFSA-N 1 2 317.414 1.247 20 30 DDEDLO C[C@@H](c1ccccc1F)[N@H+]1C[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001219596036 878376252 /nfs/dbraw/zinc/37/62/52/878376252.db2.gz BGKZICHYBONTKG-VUCTXSBTSA-N 1 2 316.376 1.461 20 30 DDEDLO C[C@@H](c1ccccc1F)[N@@H+]1C[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001219596036 878376265 /nfs/dbraw/zinc/37/62/65/878376265.db2.gz BGKZICHYBONTKG-VUCTXSBTSA-N 1 2 316.376 1.461 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cccnc2OC)C[C@@H]1O ZINC001219715983 878475264 /nfs/dbraw/zinc/47/52/64/878475264.db2.gz UMXONWHXXRIDRC-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cccnc2OC)C[C@@H]1O ZINC001219715983 878475274 /nfs/dbraw/zinc/47/52/74/878475274.db2.gz UMXONWHXXRIDRC-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO N#Cc1ccccc1CN1CC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001380056625 878676650 /nfs/dbraw/zinc/67/66/50/878676650.db2.gz VFTVMIZFZUUAOD-INIZCTEOSA-N 1 2 309.373 1.145 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@@H]1O ZINC001220133651 878723026 /nfs/dbraw/zinc/72/30/26/878723026.db2.gz JFZZUPFSSVZCGM-CFVMTHIKSA-N 1 2 318.421 1.301 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@@H]1O ZINC001220133651 878723032 /nfs/dbraw/zinc/72/30/32/878723032.db2.gz JFZZUPFSSVZCGM-CFVMTHIKSA-N 1 2 318.421 1.301 20 30 DDEDLO CC#CCCCC(=O)NCCN(C)C(=O)CCn1cc[nH+]c1 ZINC001355831098 878737995 /nfs/dbraw/zinc/73/79/95/878737995.db2.gz IANHWWBEWHNLNH-UHFFFAOYSA-N 1 2 304.394 1.041 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220206056 878821424 /nfs/dbraw/zinc/82/14/24/878821424.db2.gz WLNGKCSAHZXLKH-YUELXQCFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220206056 878821437 /nfs/dbraw/zinc/82/14/37/878821437.db2.gz WLNGKCSAHZXLKH-YUELXQCFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001220242323 878844202 /nfs/dbraw/zinc/84/42/02/878844202.db2.gz VNVLINUOHSGZEP-CABCVRRESA-N 1 2 319.430 1.637 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001220242323 878844210 /nfs/dbraw/zinc/84/42/10/878844210.db2.gz VNVLINUOHSGZEP-CABCVRRESA-N 1 2 319.430 1.637 20 30 DDEDLO C=C[C@H](CC(=O)N[C@@H]1C[N@@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221042165 879464461 /nfs/dbraw/zinc/46/44/61/879464461.db2.gz CGBXUOLADSXICY-BMFZPTHFSA-N 1 2 306.381 1.477 20 30 DDEDLO C=C[C@H](CC(=O)N[C@@H]1C[N@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221042165 879464463 /nfs/dbraw/zinc/46/44/63/879464463.db2.gz CGBXUOLADSXICY-BMFZPTHFSA-N 1 2 306.381 1.477 20 30 DDEDLO O=C(CC#Cc1ccccc1)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1O ZINC001221046327 879467623 /nfs/dbraw/zinc/46/76/23/879467623.db2.gz IKRIQIKGMTVSRR-VDRJNSHASA-N 1 2 318.804 1.342 20 30 DDEDLO O=C(CC#Cc1ccccc1)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1O ZINC001221046327 879467629 /nfs/dbraw/zinc/46/76/29/879467629.db2.gz IKRIQIKGMTVSRR-VDRJNSHASA-N 1 2 318.804 1.342 20 30 DDEDLO C#CC[C@@H](CC(=O)N[C@@H]1C[N@@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221182139 879553918 /nfs/dbraw/zinc/55/39/18/879553918.db2.gz IQMRWQFXVXVGFE-BBWFWOEESA-N 1 2 318.392 1.314 20 30 DDEDLO C#CC[C@@H](CC(=O)N[C@@H]1C[N@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221182139 879553931 /nfs/dbraw/zinc/55/39/31/879553931.db2.gz IQMRWQFXVXVGFE-BBWFWOEESA-N 1 2 318.392 1.314 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001357011424 879697253 /nfs/dbraw/zinc/69/72/53/879697253.db2.gz KUFUZYOCQUOSQO-ZIAGYGMSSA-N 1 2 318.421 1.280 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3ccns3)C[C@H]21 ZINC001221543869 879934677 /nfs/dbraw/zinc/93/46/77/879934677.db2.gz IAYFNQUSXSJGDF-GZBFAFLISA-N 1 2 319.430 1.214 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccns3)C[C@H]21 ZINC001221543869 879934691 /nfs/dbraw/zinc/93/46/91/879934691.db2.gz IAYFNQUSXSJGDF-GZBFAFLISA-N 1 2 319.430 1.214 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCCn3ccnn3)[C@@H]2C1 ZINC001221609440 879969262 /nfs/dbraw/zinc/96/92/62/879969262.db2.gz OPENDMDCMXJYLX-ZIAGYGMSSA-N 1 2 323.828 1.344 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCCn3ccnn3)[C@@H]2C1 ZINC001221609440 879969269 /nfs/dbraw/zinc/96/92/69/879969269.db2.gz OPENDMDCMXJYLX-ZIAGYGMSSA-N 1 2 323.828 1.344 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@H+](Cc3ccon3)[C@H]2C1 ZINC001222448596 880472983 /nfs/dbraw/zinc/47/29/83/880472983.db2.gz WSMAFDAIDVJHGP-HIFRSBDPSA-N 1 2 305.378 1.300 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@@H+](Cc3ccon3)[C@H]2C1 ZINC001222448596 880472990 /nfs/dbraw/zinc/47/29/90/880472990.db2.gz WSMAFDAIDVJHGP-HIFRSBDPSA-N 1 2 305.378 1.300 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCN1C(=O)Cc1c[nH+]cn1C ZINC001358634960 880656361 /nfs/dbraw/zinc/65/63/61/880656361.db2.gz VTXBYWXFJNIFPW-ZDUSSCGKSA-N 1 2 304.394 1.036 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[N@H+](Cc3cnon3)[C@H]2C1 ZINC001222789168 880699808 /nfs/dbraw/zinc/69/98/08/880699808.db2.gz FYKZQHFIMPTECL-HIFRSBDPSA-N 1 2 304.394 1.849 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnon3)[C@H]2C1 ZINC001222789168 880699813 /nfs/dbraw/zinc/69/98/13/880699813.db2.gz FYKZQHFIMPTECL-HIFRSBDPSA-N 1 2 304.394 1.849 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2Cc1[nH]ccc1C#N ZINC001276840567 880708334 /nfs/dbraw/zinc/70/83/34/880708334.db2.gz SBORRXQPBIDFLX-IYBDPMFKSA-N 1 2 324.432 1.952 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2Cc1[nH]ccc1C#N ZINC001276840567 880708340 /nfs/dbraw/zinc/70/83/40/880708340.db2.gz SBORRXQPBIDFLX-IYBDPMFKSA-N 1 2 324.432 1.952 20 30 DDEDLO C#CCN(C)c1nnc(C2CC2)n1Cc1ccc(N(C)C)[nH+]c1 ZINC001358946621 881108299 /nfs/dbraw/zinc/10/82/99/881108299.db2.gz CCCXUUSHRDWVEJ-UHFFFAOYSA-N 1 2 310.405 1.734 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224186574 881262442 /nfs/dbraw/zinc/26/24/42/881262442.db2.gz DFSCHFRHXUWPTA-AWEZNQCLSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288052341 912696137 /nfs/dbraw/zinc/69/61/37/912696137.db2.gz RNUZKRSASCHZCW-OLZOCXBDSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288054211 912699198 /nfs/dbraw/zinc/69/91/98/912699198.db2.gz ZOJJTMWYZRPFCA-KBPBESRZSA-N 1 2 318.421 1.710 20 30 DDEDLO COC(=O)c1nc(C)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001228929399 883733821 /nfs/dbraw/zinc/73/38/21/883733821.db2.gz IKLCBVJVGPGZOK-RBRDRRMGSA-N 1 2 304.346 1.168 20 30 DDEDLO COC(=O)c1nc(C)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001228929399 883733835 /nfs/dbraw/zinc/73/38/35/883733835.db2.gz IKLCBVJVGPGZOK-RBRDRRMGSA-N 1 2 304.346 1.168 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)c1ccc(C#N)c(Cl)c1 ZINC001362719542 884480108 /nfs/dbraw/zinc/48/01/08/884480108.db2.gz MTGACTOCZWRYLN-LBPRGKRZSA-N 1 2 304.737 1.409 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)C(C)(C)F)C1=O ZINC001230609210 884651473 /nfs/dbraw/zinc/65/14/73/884651473.db2.gz YHVIHSWDXIKPSX-STQMWFEESA-N 1 2 311.401 1.054 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C(C)(C)F)C1=O ZINC001230609210 884651484 /nfs/dbraw/zinc/65/14/84/884651484.db2.gz YHVIHSWDXIKPSX-STQMWFEESA-N 1 2 311.401 1.054 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770900 884860022 /nfs/dbraw/zinc/86/00/22/884860022.db2.gz WJFUWQFDMGNEPD-KGLIPLIRSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770900 884860036 /nfs/dbraw/zinc/86/00/36/884860036.db2.gz WJFUWQFDMGNEPD-KGLIPLIRSA-N 1 2 309.454 1.646 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc4c(cc3O)C=NCC4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001230919940 885049001 /nfs/dbraw/zinc/04/90/01/885049001.db2.gz GXEVGIFXKYCGDQ-DZZGSBJMSA-N 1 2 300.358 1.358 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc4c(cc3O)C=NCC4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001230919940 885049016 /nfs/dbraw/zinc/04/90/16/885049016.db2.gz GXEVGIFXKYCGDQ-DZZGSBJMSA-N 1 2 300.358 1.358 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CCN1Cc1c[nH+]cn1C ZINC001231094842 885214727 /nfs/dbraw/zinc/21/47/27/885214727.db2.gz KBLXQVJJELKKNF-CABCVRRESA-N 1 2 320.437 1.434 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N(C)[C@H](C)CNC(=O)[C@@H](C)C#N)c[nH+]1 ZINC001383098444 885245323 /nfs/dbraw/zinc/24/53/23/885245323.db2.gz ZHDCMLDZZVDSNR-WCQYABFASA-N 1 2 319.409 1.049 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C\C(C)(C)C ZINC001231254182 885437667 /nfs/dbraw/zinc/43/76/67/885437667.db2.gz DRGCCINTCVMFTH-VOUMOHMSSA-N 1 2 319.449 1.259 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C=C\C(C)(C)C ZINC001231254182 885437668 /nfs/dbraw/zinc/43/76/68/885437668.db2.gz DRGCCINTCVMFTH-VOUMOHMSSA-N 1 2 319.449 1.259 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1oc(C)nc1C ZINC001231398728 885606369 /nfs/dbraw/zinc/60/63/69/885606369.db2.gz OROXMYWAMDXHMZ-AWEZNQCLSA-N 1 2 305.378 1.088 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1oc(C)nc1C ZINC001231398728 885606381 /nfs/dbraw/zinc/60/63/81/885606381.db2.gz OROXMYWAMDXHMZ-AWEZNQCLSA-N 1 2 305.378 1.088 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CCN1Cc1c[nH+]c(C)[nH]1 ZINC001232460113 886402604 /nfs/dbraw/zinc/40/26/04/886402604.db2.gz PRGBJKFUXMTTBA-HNNXBMFYSA-N 1 2 316.409 1.632 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CCN1Cc1c[nH]c(C)[nH+]1 ZINC001232460113 886402614 /nfs/dbraw/zinc/40/26/14/886402614.db2.gz PRGBJKFUXMTTBA-HNNXBMFYSA-N 1 2 316.409 1.632 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2nc(CC)oc2C)C1 ZINC001277670572 886418469 /nfs/dbraw/zinc/41/84/69/886418469.db2.gz FJVNXKJJHPQUJR-UHFFFAOYSA-N 1 2 307.394 1.504 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cccn3ccnc23)C1 ZINC001277671400 886424787 /nfs/dbraw/zinc/42/47/87/886424787.db2.gz UELGBKJGTCERAJ-UHFFFAOYSA-N 1 2 314.389 1.293 20 30 DDEDLO CCOC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cn1 ZINC001233496048 887039971 /nfs/dbraw/zinc/03/99/71/887039971.db2.gz WANPSUFIOGSWNZ-GAAPNJIFSA-N 1 2 304.346 1.250 20 30 DDEDLO CCOC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cn1 ZINC001233496048 887039987 /nfs/dbraw/zinc/03/99/87/887039987.db2.gz WANPSUFIOGSWNZ-GAAPNJIFSA-N 1 2 304.346 1.250 20 30 DDEDLO C[C@@H]1C[C@H]([NH+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[N@@H+]1C ZINC001363777807 887194302 /nfs/dbraw/zinc/19/43/02/887194302.db2.gz XBYDEIWGCAOPEY-BXUZGUMPSA-N 1 2 314.393 1.221 20 30 DDEDLO C[C@@H]1C[C@H]([NH+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[N@H+]1C ZINC001363777807 887194312 /nfs/dbraw/zinc/19/43/12/887194312.db2.gz XBYDEIWGCAOPEY-BXUZGUMPSA-N 1 2 314.393 1.221 20 30 DDEDLO C[C@@H]1C[C@H]([N@H+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[NH+]1C ZINC001363777807 887194317 /nfs/dbraw/zinc/19/43/17/887194317.db2.gz XBYDEIWGCAOPEY-BXUZGUMPSA-N 1 2 314.393 1.221 20 30 DDEDLO C[C@@H]1C[C@H]([N@@H+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[NH+]1C ZINC001363777807 887194324 /nfs/dbraw/zinc/19/43/24/887194324.db2.gz XBYDEIWGCAOPEY-BXUZGUMPSA-N 1 2 314.393 1.221 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cccnc1OC ZINC001233965976 887505598 /nfs/dbraw/zinc/50/55/98/887505598.db2.gz HLTSCTMJEAFXCI-MRXNPFEDSA-N 1 2 315.417 1.926 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cccnc1OC ZINC001233965976 887505603 /nfs/dbraw/zinc/50/56/03/887505603.db2.gz HLTSCTMJEAFXCI-MRXNPFEDSA-N 1 2 315.417 1.926 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@@H](C)C(C)C ZINC001233966908 887508949 /nfs/dbraw/zinc/50/89/49/887508949.db2.gz RTEUHKBTWZNSCD-JKSUJKDBSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@@H](C)C(C)C ZINC001233966908 887508968 /nfs/dbraw/zinc/50/89/68/887508968.db2.gz RTEUHKBTWZNSCD-JKSUJKDBSA-N 1 2 321.465 1.483 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@H]3CS(=O)(=O)C[C@@]3(C)C2)ccc1C#N ZINC001363934024 887568120 /nfs/dbraw/zinc/56/81/20/887568120.db2.gz HRAGQMURHULHHX-GOEBONIOSA-N 1 2 320.414 1.433 20 30 DDEDLO COc1cc(C[N@H+]2C[C@H]3CS(=O)(=O)C[C@@]3(C)C2)ccc1C#N ZINC001363934024 887568125 /nfs/dbraw/zinc/56/81/25/887568125.db2.gz HRAGQMURHULHHX-GOEBONIOSA-N 1 2 320.414 1.433 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)CCCC ZINC001234039509 887582733 /nfs/dbraw/zinc/58/27/33/887582733.db2.gz MYJSQMYLVYOQNW-GJZGRUSLSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)CCCC ZINC001234039509 887582743 /nfs/dbraw/zinc/58/27/43/887582743.db2.gz MYJSQMYLVYOQNW-GJZGRUSLSA-N 1 2 309.454 1.648 20 30 DDEDLO N#CCC1(CS(=O)(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)CC1 ZINC001363957270 887611426 /nfs/dbraw/zinc/61/14/26/887611426.db2.gz YRXJNLMCNPMQSI-LBPRGKRZSA-N 1 2 308.407 1.613 20 30 DDEDLO C[C@H](CC(=O)N[C@@H]1C[C@H](CNC(=O)[C@@H](C)C#N)C1)n1cc[nH+]c1 ZINC001384548089 887663219 /nfs/dbraw/zinc/66/32/19/887663219.db2.gz RWXUGSUXBILAIH-RFQIPJPRSA-N 1 2 317.393 1.005 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)C#CC1CC1 ZINC001234179063 887717935 /nfs/dbraw/zinc/71/79/35/887717935.db2.gz RVXIWBHENLVIAQ-LJQANCHMSA-N 1 2 306.409 1.984 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)C#CC1CC1 ZINC001234179063 887717945 /nfs/dbraw/zinc/71/79/45/887717945.db2.gz RVXIWBHENLVIAQ-LJQANCHMSA-N 1 2 306.409 1.984 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214790 887753405 /nfs/dbraw/zinc/75/34/05/887753405.db2.gz FBNHIXQEBCLEKC-ZFWWWQNUSA-N 1 2 319.449 1.236 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214790 887753419 /nfs/dbraw/zinc/75/34/19/887753419.db2.gz FBNHIXQEBCLEKC-ZFWWWQNUSA-N 1 2 319.449 1.236 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccccc1F ZINC001234214966 887753953 /nfs/dbraw/zinc/75/39/53/887753953.db2.gz GMAJRODGKHBMCY-GDBMZVCRSA-N 1 2 318.392 1.897 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccccc1F ZINC001234214966 887753966 /nfs/dbraw/zinc/75/39/66/887753966.db2.gz GMAJRODGKHBMCY-GDBMZVCRSA-N 1 2 318.392 1.897 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1C ZINC001234246466 887788101 /nfs/dbraw/zinc/78/81/01/887788101.db2.gz OOHMJUIYSVWCIF-OAHLLOKOSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1C ZINC001234246466 887788114 /nfs/dbraw/zinc/78/81/14/887788114.db2.gz OOHMJUIYSVWCIF-OAHLLOKOSA-N 1 2 305.378 1.056 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nc(Cl)nc4[nH]ccc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234477763 888011376 /nfs/dbraw/zinc/01/13/76/888011376.db2.gz HXLIJNJLMMUHRY-FRQULTQSSA-N 1 2 306.753 1.603 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nc(Cl)nc4[nH]ccc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234477763 888011389 /nfs/dbraw/zinc/01/13/89/888011389.db2.gz HXLIJNJLMMUHRY-FRQULTQSSA-N 1 2 306.753 1.603 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)[C@@H](C)c1nnc(C)[nH]1 ZINC001235228891 888493685 /nfs/dbraw/zinc/49/36/85/888493685.db2.gz LFTNNSBXDDRSPN-STQMWFEESA-N 1 2 323.441 1.593 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)[C@@H](C)c1nnc(C)[nH]1 ZINC001235228891 888493689 /nfs/dbraw/zinc/49/36/89/888493689.db2.gz LFTNNSBXDDRSPN-STQMWFEESA-N 1 2 323.441 1.593 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001364436186 888632380 /nfs/dbraw/zinc/63/23/80/888632380.db2.gz PWFNAGKWCFHABO-NEPJUHHUSA-N 1 2 305.382 1.135 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001364436186 888632387 /nfs/dbraw/zinc/63/23/87/888632387.db2.gz PWFNAGKWCFHABO-NEPJUHHUSA-N 1 2 305.382 1.135 20 30 DDEDLO Cc1nc([C@H]2CC[N@@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C2)no1 ZINC001364510515 888804642 /nfs/dbraw/zinc/80/46/42/888804642.db2.gz OVJPHEZVBDKOPR-UONOGXRCSA-N 1 2 311.345 1.266 20 30 DDEDLO Cc1nc([C@H]2CC[N@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C2)no1 ZINC001364510515 888804658 /nfs/dbraw/zinc/80/46/58/888804658.db2.gz OVJPHEZVBDKOPR-UONOGXRCSA-N 1 2 311.345 1.266 20 30 DDEDLO CC[C@H](C)[C@H]([NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1)C(N)=O ZINC001364510310 888805619 /nfs/dbraw/zinc/80/56/19/888805619.db2.gz OCUKZWJEXQYKFN-HZMBPMFUSA-N 1 2 313.361 1.598 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)[N@@H+]2Cc2cc(C#N)ccn2)O1 ZINC001364692781 889207001 /nfs/dbraw/zinc/20/70/01/889207001.db2.gz SFRAEPPYPNNWQZ-FWYOQMDTSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)[N@H+]2Cc2cc(C#N)ccn2)O1 ZINC001364692781 889207005 /nfs/dbraw/zinc/20/70/05/889207005.db2.gz SFRAEPPYPNNWQZ-FWYOQMDTSA-N 1 2 315.373 1.637 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)NCCn1cc[nH+]c1 ZINC001364748555 889332071 /nfs/dbraw/zinc/33/20/71/889332071.db2.gz ACKGOIZFBLRCGD-UHFFFAOYSA-N 1 2 308.338 1.181 20 30 DDEDLO COc1cc(N2CCN(Cc3ccnc(C#N)c3)CC2)cc[nH+]1 ZINC001237461747 889646257 /nfs/dbraw/zinc/64/62/57/889646257.db2.gz DDOFCFZZQDEJER-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](CO)[NH2+]Cc1cn(C(C)C)nn1 ZINC001278127589 889788040 /nfs/dbraw/zinc/78/80/40/889788040.db2.gz BCBLLPWTOUTTLG-CQSZACIVSA-N 1 2 323.441 1.028 20 30 DDEDLO N#Cc1sc(NC(=O)NCc2ccc(N)[nH+]c2)nc1Cl ZINC001365060784 890013642 /nfs/dbraw/zinc/01/36/42/890013642.db2.gz GHIHDBQIMWXTOS-UHFFFAOYSA-N 1 2 308.754 1.967 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H](CC[NH+]2CC(O)(CC#N)C2)C1 ZINC001365295547 890526773 /nfs/dbraw/zinc/52/67/73/890526773.db2.gz RFRAYIXAWKZCRW-ZDUSSCGKSA-N 1 2 309.410 1.594 20 30 DDEDLO C=CCN(CC=C)C(=O)N1CC2(C1)C[NH+](Cc1ccc[nH]1)C2 ZINC001278297962 890665781 /nfs/dbraw/zinc/66/57/81/890665781.db2.gz ZGQYGCFHNUJQFZ-UHFFFAOYSA-N 1 2 300.406 1.926 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2CC23C[NH+](Cc2cccc(F)n2)C3)CCC1 ZINC001278299067 890674072 /nfs/dbraw/zinc/67/40/72/890674072.db2.gz MFFFRYGHFVYUHE-ZDUSSCGKSA-N 1 2 314.364 1.605 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nc(C4CC4)no3)C[C@H]21 ZINC001366229311 892797835 /nfs/dbraw/zinc/79/78/35/892797835.db2.gz KEDOGJHRSMXNKH-RPLXWKFWSA-N 1 2 315.377 1.214 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nc(C4CC4)no3)C[C@H]21 ZINC001366229311 892797840 /nfs/dbraw/zinc/79/78/40/892797840.db2.gz KEDOGJHRSMXNKH-RPLXWKFWSA-N 1 2 315.377 1.214 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1ccc2c(c1)NC(=O)CO2 ZINC001366542351 893953365 /nfs/dbraw/zinc/95/33/65/893953365.db2.gz XJDGSAIMCJFIEW-UHFFFAOYSA-N 1 2 323.780 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1ccc2c(c1)NC(=O)CO2 ZINC001366542351 893953372 /nfs/dbraw/zinc/95/33/72/893953372.db2.gz XJDGSAIMCJFIEW-UHFFFAOYSA-N 1 2 323.780 1.432 20 30 DDEDLO Cc1cc(C#N)cc(Cl)c1NC[C@H](O)C[NH+]1CCOCC1 ZINC001251026092 894574329 /nfs/dbraw/zinc/57/43/29/894574329.db2.gz AWJZQFLTRLNAHF-ZDUSSCGKSA-N 1 2 309.797 1.625 20 30 DDEDLO C[C@](C#N)(NC[C@@H](O)C[NH+]1CCOCC1)c1ccccc1Cl ZINC001251040904 894599496 /nfs/dbraw/zinc/59/94/96/894599496.db2.gz ZHLAOOWBSAAAMU-CZUORRHYSA-N 1 2 323.824 1.361 20 30 DDEDLO CCCN(C(=O)[C@@H](C)C#N)[C@@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001366804696 894963987 /nfs/dbraw/zinc/96/39/87/894963987.db2.gz FCAMLDULMWDKEL-WCQYABFASA-N 1 2 321.450 1.819 20 30 DDEDLO CCCN(C(=O)[C@@H](C)C#N)[C@@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001366804696 894963993 /nfs/dbraw/zinc/96/39/93/894963993.db2.gz FCAMLDULMWDKEL-WCQYABFASA-N 1 2 321.450 1.819 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCn2cnc(COCC3CC3)c2C1 ZINC001252596541 895302643 /nfs/dbraw/zinc/30/26/43/895302643.db2.gz QKXWLOONXLIJOK-HNNXBMFYSA-N 1 2 305.422 1.952 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCn2cnc(COCC3CC3)c2C1 ZINC001252596541 895302655 /nfs/dbraw/zinc/30/26/55/895302655.db2.gz QKXWLOONXLIJOK-HNNXBMFYSA-N 1 2 305.422 1.952 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](O)C[NH2+][C@H](C)c1nnc(C)o1 ZINC001278790338 896459600 /nfs/dbraw/zinc/45/96/00/896459600.db2.gz DZAQVTGAEQUSHM-DGCLKSJQSA-N 1 2 324.425 1.450 20 30 DDEDLO CC(C)CCc1noc(C[NH2+]C[C@@H](C)N(C)C(=O)[C@@H](C)C#N)n1 ZINC001367350977 896525065 /nfs/dbraw/zinc/52/50/65/896525065.db2.gz CMSAJNVEYAVYAS-QWHCGFSZSA-N 1 2 321.425 1.754 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(CO)ccc2F)C1 ZINC001389369587 897049519 /nfs/dbraw/zinc/04/95/19/897049519.db2.gz VCFKJLRZDCUXMF-IUODEOHRSA-N 1 2 319.380 1.558 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(CO)ccc2F)C1 ZINC001389369587 897049533 /nfs/dbraw/zinc/04/95/33/897049533.db2.gz VCFKJLRZDCUXMF-IUODEOHRSA-N 1 2 319.380 1.558 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccccc2CCC)C1 ZINC001278887560 897111310 /nfs/dbraw/zinc/11/13/10/897111310.db2.gz GWMDLCMKXKSSQT-UHFFFAOYSA-N 1 2 300.402 1.439 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C[NH2+]Cc1nnn(C)n1)C(C)(C)C ZINC001278988065 897692979 /nfs/dbraw/zinc/69/29/79/897692979.db2.gz APSQURIOQUKBLS-OLZOCXBDSA-N 1 2 322.457 1.433 20 30 DDEDLO C[C@H](CCNC(=O)[C@@H](C)C#N)[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001390648282 899858441 /nfs/dbraw/zinc/85/84/41/899858441.db2.gz RBLGSSYLWVPXQO-WDEREUQCSA-N 1 2 307.398 1.511 20 30 DDEDLO C=CCn1c([C@@H]2CCC[N@@H+]2C)nnc1N(CCC#N)CCOC ZINC001262977614 900453360 /nfs/dbraw/zinc/45/33/60/900453360.db2.gz KQRADEAFXTVNPE-AWEZNQCLSA-N 1 2 318.425 1.597 20 30 DDEDLO C=CCn1c([C@@H]2CCC[N@H+]2C)nnc1N(CCC#N)CCOC ZINC001262977614 900453366 /nfs/dbraw/zinc/45/33/66/900453366.db2.gz KQRADEAFXTVNPE-AWEZNQCLSA-N 1 2 318.425 1.597 20 30 DDEDLO N#Cc1ccccc1C[N@@H+](CCNC(=O)c1cn[nH]c1)C1CC1 ZINC001391148778 901057894 /nfs/dbraw/zinc/05/78/94/901057894.db2.gz SAVPVVIBEMPWKH-UHFFFAOYSA-N 1 2 309.373 1.676 20 30 DDEDLO N#Cc1ccccc1C[N@H+](CCNC(=O)c1cn[nH]c1)C1CC1 ZINC001391148778 901057907 /nfs/dbraw/zinc/05/79/07/901057907.db2.gz SAVPVVIBEMPWKH-UHFFFAOYSA-N 1 2 309.373 1.676 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@@H]1CCc2nncn2C1)C1CC1 ZINC001391198972 901199405 /nfs/dbraw/zinc/19/94/05/901199405.db2.gz OLLZQVCQSISKTD-GFCCVEGCSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@@H]1CCc2nncn2C1)C1CC1 ZINC001391198972 901199414 /nfs/dbraw/zinc/19/94/14/901199414.db2.gz OLLZQVCQSISKTD-GFCCVEGCSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CCC)C(=O)c2cnn(C)n2)C1 ZINC001391284265 901386642 /nfs/dbraw/zinc/38/66/42/901386642.db2.gz RRAROKWYPBUGQC-GFCCVEGCSA-N 1 2 311.817 1.494 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CCC)C(=O)c2cnn(C)n2)C1 ZINC001391284265 901386652 /nfs/dbraw/zinc/38/66/52/901386652.db2.gz RRAROKWYPBUGQC-GFCCVEGCSA-N 1 2 311.817 1.494 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CCC2CCCC2)C1 ZINC001265201565 901694010 /nfs/dbraw/zinc/69/40/10/901694010.db2.gz RNWYQTVHBPMSNS-MRXNPFEDSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CCC2CCCC2)C1 ZINC001265201565 901694018 /nfs/dbraw/zinc/69/40/18/901694018.db2.gz RNWYQTVHBPMSNS-MRXNPFEDSA-N 1 2 321.465 1.840 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2occ3c2CCC3)C1 ZINC001265220663 901729553 /nfs/dbraw/zinc/72/95/53/901729553.db2.gz ONGFMCDZVJRVQY-OAHLLOKOSA-N 1 2 316.401 1.612 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2occ3c2CCC3)C1 ZINC001265220663 901729564 /nfs/dbraw/zinc/72/95/64/901729564.db2.gz ONGFMCDZVJRVQY-OAHLLOKOSA-N 1 2 316.401 1.612 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(C)cc2)C1 ZINC001265230722 901747663 /nfs/dbraw/zinc/74/76/63/901747663.db2.gz KNNQSKPXZPFOKC-MRXNPFEDSA-N 1 2 315.417 1.491 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C)cc2)C1 ZINC001265230722 901747673 /nfs/dbraw/zinc/74/76/73/901747673.db2.gz KNNQSKPXZPFOKC-MRXNPFEDSA-N 1 2 315.417 1.491 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN1CC[NH+](Cc2cnc(C)o2)CC1 ZINC001265262419 901784947 /nfs/dbraw/zinc/78/49/47/901784947.db2.gz AYYAXINQXJBGNN-UHFFFAOYSA-N 1 2 320.437 1.429 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)CSCCC)C1 ZINC001265273752 901801808 /nfs/dbraw/zinc/80/18/08/901801808.db2.gz VKVAADVRUFGIMF-CHWSQXEVSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(=O)CSCCC)C1 ZINC001265273752 901801817 /nfs/dbraw/zinc/80/18/17/901801817.db2.gz VKVAADVRUFGIMF-CHWSQXEVSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC001265283892 901820660 /nfs/dbraw/zinc/82/06/60/901820660.db2.gz JNUFRMBIODTROD-GJZGRUSLSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC001265283892 901820666 /nfs/dbraw/zinc/82/06/66/901820666.db2.gz JNUFRMBIODTROD-GJZGRUSLSA-N 1 2 319.449 1.450 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001265299962 901849975 /nfs/dbraw/zinc/84/99/75/901849975.db2.gz XHABCLNHIWSYAZ-HNNXBMFYSA-N 1 2 316.405 1.369 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001265299962 901849986 /nfs/dbraw/zinc/84/99/86/901849986.db2.gz XHABCLNHIWSYAZ-HNNXBMFYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([NH2+][C@H](C)c2nc(COC)no2)C1 ZINC001265444489 902024019 /nfs/dbraw/zinc/02/40/19/902024019.db2.gz UKVPXZSPPVFGIC-OLZOCXBDSA-N 1 2 322.409 1.824 20 30 DDEDLO CCC(CC)CC(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001265655886 902262351 /nfs/dbraw/zinc/26/23/51/902262351.db2.gz RIUJAYPQSFHJEC-HNNXBMFYSA-N 1 2 322.453 1.423 20 30 DDEDLO CCC(CC)CC(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001265655886 902262371 /nfs/dbraw/zinc/26/23/71/902262371.db2.gz RIUJAYPQSFHJEC-HNNXBMFYSA-N 1 2 322.453 1.423 20 30 DDEDLO CC[C@@H](CNC(=O)[C@@H](C)C#N)[NH2+]Cc1nc(CC2CC2)no1 ZINC001391667593 902297051 /nfs/dbraw/zinc/29/70/51/902297051.db2.gz JAHYHUGECOMLGR-JQWIXIFHSA-N 1 2 305.382 1.166 20 30 DDEDLO CC[C@H](CNC(=O)[C@H](C)C#N)[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001391668886 902301398 /nfs/dbraw/zinc/30/13/98/902301398.db2.gz MAARSEPIDVXCPW-ZYHUDNBSSA-N 1 2 317.349 1.474 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@@H](C)n2cncn2)CC1 ZINC001279536087 903309455 /nfs/dbraw/zinc/30/94/55/903309455.db2.gz JKJDQTWNSPZXCT-GFCCVEGCSA-N 1 2 309.801 1.340 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@@H](C)n2cncn2)CC1 ZINC001279536087 903309467 /nfs/dbraw/zinc/30/94/67/903309467.db2.gz JKJDQTWNSPZXCT-GFCCVEGCSA-N 1 2 309.801 1.340 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001293856528 914735904 /nfs/dbraw/zinc/73/59/04/914735904.db2.gz HBFSUDAFLJBYEV-ZDUSSCGKSA-N 1 2 304.394 1.416 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)CC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001392265828 903699689 /nfs/dbraw/zinc/69/96/89/903699689.db2.gz OLUNDNIWESHEDI-CYBMUJFWSA-N 1 2 321.396 1.870 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)CC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001392265828 903699696 /nfs/dbraw/zinc/69/96/96/903699696.db2.gz OLUNDNIWESHEDI-CYBMUJFWSA-N 1 2 321.396 1.870 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)C[C@H]1CCOC[C@H]1OC ZINC001392281240 903752741 /nfs/dbraw/zinc/75/27/41/903752741.db2.gz JTMRAFOAVPWQQP-ZIAGYGMSSA-N 1 2 318.845 1.571 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)C[C@H]1CCOC[C@H]1OC ZINC001392281240 903752759 /nfs/dbraw/zinc/75/27/59/903752759.db2.gz JTMRAFOAVPWQQP-ZIAGYGMSSA-N 1 2 318.845 1.571 20 30 DDEDLO CC(C)CCc1noc(C[NH2+]C[C@H](C)NC(=O)[C@H](C)C#N)n1 ZINC001392550086 904436842 /nfs/dbraw/zinc/43/68/42/904436842.db2.gz OABYVODPQFAXFV-NEPJUHHUSA-N 1 2 307.398 1.412 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cncc2[nH]cnc21 ZINC001375059660 914818997 /nfs/dbraw/zinc/81/89/97/914818997.db2.gz XNPKKGXJQRPFNK-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cncc2[nH]cnc21 ZINC001375059660 914819020 /nfs/dbraw/zinc/81/90/20/914819020.db2.gz XNPKKGXJQRPFNK-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001281181626 904538075 /nfs/dbraw/zinc/53/80/75/904538075.db2.gz WNRRQWHPVNPIRK-CHWSQXEVSA-N 1 2 304.394 1.414 20 30 DDEDLO C[C@@H](C[N@H+](C)Cc1ccc(C#N)cc1F)NC(=O)c1cn[nH]n1 ZINC001392682482 904880649 /nfs/dbraw/zinc/88/06/49/904880649.db2.gz BHUHTPDANMQAER-JTQLQIEISA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@@H](C[N@@H+](C)Cc1ccc(C#N)cc1F)NC(=O)c1cn[nH]n1 ZINC001392682482 904880671 /nfs/dbraw/zinc/88/06/71/904880671.db2.gz BHUHTPDANMQAER-JTQLQIEISA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@@H](C[N@H+](C)Cc1ccc(C#N)cc1F)NC(=O)c1cnn[nH]1 ZINC001392682482 904880687 /nfs/dbraw/zinc/88/06/87/904880687.db2.gz BHUHTPDANMQAER-JTQLQIEISA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@@H](C[N@@H+](C)Cc1ccc(C#N)cc1F)NC(=O)c1cnn[nH]1 ZINC001392682482 904880707 /nfs/dbraw/zinc/88/07/07/904880707.db2.gz BHUHTPDANMQAER-JTQLQIEISA-N 1 2 316.340 1.066 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ncnn2CC)[C@@H](C)C1 ZINC001281653137 905124133 /nfs/dbraw/zinc/12/41/33/905124133.db2.gz RFJKIABJVQJVGC-UONOGXRCSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ncnn2CC)[C@@H](C)C1 ZINC001281653137 905124140 /nfs/dbraw/zinc/12/41/40/905124140.db2.gz RFJKIABJVQJVGC-UONOGXRCSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@H](C)C(C)(F)F)C1 ZINC001282745501 906015460 /nfs/dbraw/zinc/01/54/60/906015460.db2.gz YUDOCOTYYLZWEF-WDEREUQCSA-N 1 2 317.380 1.016 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1cc2n(n1)CCC2 ZINC001377389833 921203634 /nfs/dbraw/zinc/20/36/34/921203634.db2.gz UUOQDQFUJSOKNU-DOMZBBRYSA-N 1 2 301.394 1.070 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC[N@H+]1Cc1cc2n(n1)CCC2 ZINC001377389833 921203644 /nfs/dbraw/zinc/20/36/44/921203644.db2.gz UUOQDQFUJSOKNU-DOMZBBRYSA-N 1 2 301.394 1.070 20 30 DDEDLO C[N@H+](CCNC(=O)c1ccncn1)Cc1ccc(C#N)cc1F ZINC001372155736 906597626 /nfs/dbraw/zinc/59/76/26/906597626.db2.gz KJPYGOFQORJBST-UHFFFAOYSA-N 1 2 313.336 1.349 20 30 DDEDLO C[N@@H+](CCNC(=O)c1ccncn1)Cc1ccc(C#N)cc1F ZINC001372155736 906597633 /nfs/dbraw/zinc/59/76/33/906597633.db2.gz KJPYGOFQORJBST-UHFFFAOYSA-N 1 2 313.336 1.349 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001283349000 907189843 /nfs/dbraw/zinc/18/98/43/907189843.db2.gz BFWTZJJRXIBSND-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@H](C2CC2)N(C)C(=O)C#CC(C)C)no1 ZINC001283500964 907476579 /nfs/dbraw/zinc/47/65/79/907476579.db2.gz ATQJBGDOQYLICZ-SWLSCSKDSA-N 1 2 318.421 1.925 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H](CNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001283951014 908228881 /nfs/dbraw/zinc/22/88/81/908228881.db2.gz SKZRJCMCUUTBIM-UKRRQHHQSA-N 1 2 318.421 1.496 20 30 DDEDLO C#CCCCC(=O)N[C@@]12CCC[C@H]1[N@H+](Cc1cnon1)CC2 ZINC001284049793 908391202 /nfs/dbraw/zinc/39/12/02/908391202.db2.gz FLSCGRWZVZDMOO-GDBMZVCRSA-N 1 2 302.378 1.486 20 30 DDEDLO C#CCCCC(=O)N[C@@]12CCC[C@H]1[N@@H+](Cc1cnon1)CC2 ZINC001284049793 908391217 /nfs/dbraw/zinc/39/12/17/908391217.db2.gz FLSCGRWZVZDMOO-GDBMZVCRSA-N 1 2 302.378 1.486 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001284394904 908935249 /nfs/dbraw/zinc/93/52/49/908935249.db2.gz AVJHFDNACNEJFX-CQSZACIVSA-N 1 2 316.405 1.205 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H](O)C[N@H+](C)Cc2ncc(C)o2)C1 ZINC001284509757 909112302 /nfs/dbraw/zinc/11/23/02/909112302.db2.gz PSUACNVIMFYHDV-CQSZACIVSA-N 1 2 321.421 1.590 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc2ncc(C)o2)C1 ZINC001284509757 909112314 /nfs/dbraw/zinc/11/23/14/909112314.db2.gz PSUACNVIMFYHDV-CQSZACIVSA-N 1 2 321.421 1.590 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCN(C(=O)Cc1c[nH+]c[nH]1)C1CC1 ZINC001284752323 909444998 /nfs/dbraw/zinc/44/49/98/909444998.db2.gz GCOHIMVMWKWANS-KRWDZBQOSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)CCNC(=O)Cn1cc[nH+]c1 ZINC001373533826 910115012 /nfs/dbraw/zinc/11/50/12/910115012.db2.gz KZBDFVNFJWKYJP-UHFFFAOYSA-N 1 2 312.801 1.236 20 30 DDEDLO C=CCCC(=O)NC[C@](C)(NC(=O)Cc1[nH]c[nH+]c1C)C1CC1 ZINC001285143474 910117037 /nfs/dbraw/zinc/11/70/37/910117037.db2.gz OFHNABPRYDMPMP-KRWDZBQOSA-N 1 2 318.421 1.628 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@H]1CC[N@H+](Cc2ccncc2Cl)C1 ZINC001373672680 910576686 /nfs/dbraw/zinc/57/66/86/910576686.db2.gz ZBFIKBFTGCATNN-YPMHNXCESA-N 1 2 306.797 1.927 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@H]1CC[N@@H+](Cc2ccncc2Cl)C1 ZINC001373672680 910576696 /nfs/dbraw/zinc/57/66/96/910576696.db2.gz ZBFIKBFTGCATNN-YPMHNXCESA-N 1 2 306.797 1.927 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@H](CC)NC(C)=O)C(C)C ZINC001394811023 910628752 /nfs/dbraw/zinc/62/87/52/910628752.db2.gz YFULHHRJRVJIFB-AWEZNQCLSA-N 1 2 317.861 1.822 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@H](CC)NC(C)=O)C(C)C ZINC001394811023 910628761 /nfs/dbraw/zinc/62/87/61/910628761.db2.gz YFULHHRJRVJIFB-AWEZNQCLSA-N 1 2 317.861 1.822 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001285675959 911020206 /nfs/dbraw/zinc/02/02/06/911020206.db2.gz IFNGCOFNIHQQNE-LBPRGKRZSA-N 1 2 306.410 1.518 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001285757808 911159199 /nfs/dbraw/zinc/15/91/99/911159199.db2.gz RHULDGDIPNIFAC-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO C#CCC1(C(=O)NC[C@H](C)NC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001285760451 911162901 /nfs/dbraw/zinc/16/29/01/911162901.db2.gz XFEKQJYOUCETSG-LBPRGKRZSA-N 1 2 316.405 1.075 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001294921866 915463641 /nfs/dbraw/zinc/46/36/41/915463641.db2.gz CBUPNVTYEMKPAT-CQSZACIVSA-N 1 2 316.405 1.157 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)C#N)[C@H]1C ZINC001397236945 915520090 /nfs/dbraw/zinc/52/00/90/915520090.db2.gz OMSVKALZFWNXGN-BZPMIXESSA-N 1 2 308.426 1.030 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H](C)C#N)[C@H]1C ZINC001397236945 915520108 /nfs/dbraw/zinc/52/01/08/915520108.db2.gz OMSVKALZFWNXGN-BZPMIXESSA-N 1 2 308.426 1.030 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001295510422 915863869 /nfs/dbraw/zinc/86/38/69/915863869.db2.gz PAGQVVRHAKNYQI-NSHDSACASA-N 1 2 306.410 1.484 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CN(C)C(=O)CCc1[nH+]ccn1C ZINC001375461327 916003247 /nfs/dbraw/zinc/00/32/47/916003247.db2.gz WKVLYWABJPVDGM-CYBMUJFWSA-N 1 2 310.829 1.638 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001296942260 916640394 /nfs/dbraw/zinc/64/03/94/916640394.db2.gz VQJPVOQIIDYTOG-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCCCC(=O)NC1CN(C(=O)c2c(C)cc(C)[nH+]c2C)C1 ZINC001297040893 916683664 /nfs/dbraw/zinc/68/36/64/916683664.db2.gz UOMQOSMTWIFLFT-UHFFFAOYSA-N 1 2 313.401 1.751 20 30 DDEDLO CCc1noc(C[N@@H+]2CCC[C@@H](NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001376886964 919679231 /nfs/dbraw/zinc/67/92/31/919679231.db2.gz VERPSUZSLKHZKP-NWDGAFQWSA-N 1 2 305.382 1.262 20 30 DDEDLO CCc1noc(C[N@H+]2CCC[C@@H](NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001376886964 919679241 /nfs/dbraw/zinc/67/92/41/919679241.db2.gz VERPSUZSLKHZKP-NWDGAFQWSA-N 1 2 305.382 1.262 20 30 DDEDLO C[C@H](CCNC(=O)c1[nH]ncc1F)[NH2+]Cc1ccc(C#N)s1 ZINC001377072910 920246503 /nfs/dbraw/zinc/24/65/03/920246503.db2.gz PFXODHMCVXSIJT-SECBINFHSA-N 1 2 321.381 1.780 20 30 DDEDLO C[N@H+](CCNC(=O)c1ccncc1F)Cc1ccccc1C#N ZINC001377126254 920397703 /nfs/dbraw/zinc/39/77/03/920397703.db2.gz GKXZFNKVBMYMAQ-UHFFFAOYSA-N 1 2 312.348 1.954 20 30 DDEDLO C[N@@H+](CCNC(=O)c1ccncc1F)Cc1ccccc1C#N ZINC001377126254 920397716 /nfs/dbraw/zinc/39/77/16/920397716.db2.gz GKXZFNKVBMYMAQ-UHFFFAOYSA-N 1 2 312.348 1.954 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000278584538 214255605 /nfs/dbraw/zinc/25/56/05/214255605.db2.gz JYBMVMPTRLSIAC-GOSISDBHSA-N 1 2 318.417 1.462 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@H]2CCn3cc[nH+]c3C2)c(F)c1 ZINC000614492231 361885868 /nfs/dbraw/zinc/88/58/68/361885868.db2.gz LKRSKWCPZWMXRR-ZDUSSCGKSA-N 1 2 313.336 1.708 20 30 DDEDLO COCC[N@H+](CC(=O)NCC#N)Cc1ccc(F)c(Cl)c1 ZINC000451983464 231258090 /nfs/dbraw/zinc/25/80/90/231258090.db2.gz UVWNKRDXNNMXAF-UHFFFAOYSA-N 1 2 313.760 1.567 20 30 DDEDLO COCC[N@@H+](CC(=O)NCC#N)Cc1ccc(F)c(Cl)c1 ZINC000451983464 231258091 /nfs/dbraw/zinc/25/80/91/231258091.db2.gz UVWNKRDXNNMXAF-UHFFFAOYSA-N 1 2 313.760 1.567 20 30 DDEDLO CC[C@@](C)([NH2+]CCC(=O)Nc1ccccc1C#N)C(=O)OC ZINC000299623428 529505478 /nfs/dbraw/zinc/50/54/78/529505478.db2.gz JOMYZPLSAOINPC-MRXNPFEDSA-N 1 2 303.362 1.818 20 30 DDEDLO CC(C)(CNC(=O)NCC1CCSCC1)[NH+]1CCOCC1 ZINC000329398551 539303962 /nfs/dbraw/zinc/30/39/62/539303962.db2.gz XBGUOWSDFXZNKQ-UHFFFAOYSA-N 1 2 315.483 1.744 20 30 DDEDLO CC[N@@H+](CC(=O)N1CCN([C@@H](C#N)C(C)C)CC1)C[C@@H](C)C#N ZINC000092618356 185329397 /nfs/dbraw/zinc/32/93/97/185329397.db2.gz HKSGOHDWTBFWKL-HOTGVXAUSA-N 1 2 319.453 1.160 20 30 DDEDLO CC[N@H+](CC(=O)N1CCN([C@@H](C#N)C(C)C)CC1)C[C@@H](C)C#N ZINC000092618356 185329398 /nfs/dbraw/zinc/32/93/98/185329398.db2.gz HKSGOHDWTBFWKL-HOTGVXAUSA-N 1 2 319.453 1.160 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccc(OC)c(C#N)c2)C1 ZINC000092843271 185345396 /nfs/dbraw/zinc/34/53/96/185345396.db2.gz CBVJPKATBIAISZ-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccc(OC)c(C#N)c2)C1 ZINC000092843271 185345397 /nfs/dbraw/zinc/34/53/97/185345397.db2.gz CBVJPKATBIAISZ-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)Cc2c[nH]c3ncccc23)C1 ZINC000329816405 530070266 /nfs/dbraw/zinc/07/02/66/530070266.db2.gz ODSASNPULUOOPY-CYBMUJFWSA-N 1 2 301.394 1.308 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)Cc2c[nH]c3ncccc23)C1 ZINC000329816405 530070267 /nfs/dbraw/zinc/07/02/67/530070267.db2.gz ODSASNPULUOOPY-CYBMUJFWSA-N 1 2 301.394 1.308 20 30 DDEDLO C=CCNC(=O)Cc1noc(Cc2cn3cccc(C)c3[nH+]2)n1 ZINC000350715205 539414690 /nfs/dbraw/zinc/41/46/90/539414690.db2.gz ODAQUPFEFCGBJP-UHFFFAOYSA-N 1 2 311.345 1.461 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H]2CCO[C@H]2c2nc(C)no2)nn1 ZINC000623816513 366071593 /nfs/dbraw/zinc/07/15/93/366071593.db2.gz BGOYXBQVWSKPPY-QWHCGFSZSA-N 1 2 304.354 1.165 20 30 DDEDLO CC(C)NC(=O)CN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000023286598 352183126 /nfs/dbraw/zinc/18/31/26/352183126.db2.gz SJLRVWIUGOGDOI-UHFFFAOYSA-N 1 2 300.406 1.200 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000023286598 352183129 /nfs/dbraw/zinc/18/31/29/352183129.db2.gz SJLRVWIUGOGDOI-UHFFFAOYSA-N 1 2 300.406 1.200 20 30 DDEDLO COC(=O)c1cc(C#N)c(=O)n(Cc2[nH+]ccn2C(F)F)c1 ZINC000031807632 352272411 /nfs/dbraw/zinc/27/24/11/352272411.db2.gz BLXCRQRSROZESQ-UHFFFAOYSA-N 1 2 308.244 1.146 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)N1CCc2ccccc21 ZINC000072690408 353209390 /nfs/dbraw/zinc/20/93/90/353209390.db2.gz QWIDVYKMJMUJSV-UHFFFAOYSA-N 1 2 301.390 1.828 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)N1CCc2ccccc21 ZINC000072690408 353209394 /nfs/dbraw/zinc/20/93/94/353209394.db2.gz QWIDVYKMJMUJSV-UHFFFAOYSA-N 1 2 301.390 1.828 20 30 DDEDLO C#CCOc1cccc(NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)c1 ZINC000077466015 353470800 /nfs/dbraw/zinc/47/08/00/353470800.db2.gz OHQUEFBEHPABNB-OAHLLOKOSA-N 1 2 316.405 1.066 20 30 DDEDLO C#CCOc1cccc(NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)c1 ZINC000077466015 353470802 /nfs/dbraw/zinc/47/08/02/353470802.db2.gz OHQUEFBEHPABNB-OAHLLOKOSA-N 1 2 316.405 1.066 20 30 DDEDLO C[C@@H](O)[C@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000089931394 353783502 /nfs/dbraw/zinc/78/35/02/353783502.db2.gz ZOTZMSXSVOMSGM-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H](O)[C@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000089931394 353783503 /nfs/dbraw/zinc/78/35/03/353783503.db2.gz ZOTZMSXSVOMSGM-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO COC(=O)N1CC[NH+](Cc2ccc(O[C@@H](C)C#N)cc2)CC1 ZINC000092217096 353847266 /nfs/dbraw/zinc/84/72/66/353847266.db2.gz DQGHOQFMFGSTKW-ZDUSSCGKSA-N 1 2 303.362 1.861 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc4c(c3)OCCO4)C[C@@H]21 ZINC000329918392 283013378 /nfs/dbraw/zinc/01/33/78/283013378.db2.gz REOOBGWYZINNBD-SWLSCSKDSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc4c(c3)OCCO4)C[C@@H]21 ZINC000329918392 283013379 /nfs/dbraw/zinc/01/33/79/283013379.db2.gz REOOBGWYZINNBD-SWLSCSKDSA-N 1 2 319.361 1.018 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@H](CO)CC1 ZINC000293267387 354454594 /nfs/dbraw/zinc/45/45/94/354454594.db2.gz UTCPSSSFZUWHAF-BBRMVZONSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCC[C@H](CO)CC1 ZINC000293267387 354454600 /nfs/dbraw/zinc/45/46/00/354454600.db2.gz UTCPSSSFZUWHAF-BBRMVZONSA-N 1 2 302.374 1.867 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2cccc(OC(F)F)c2)CC1 ZINC000579854592 354724166 /nfs/dbraw/zinc/72/41/66/354724166.db2.gz FUDWLMSUAHXUSY-UHFFFAOYSA-N 1 2 309.316 1.846 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[NH+]2CCN(CCCF)CC2)cc1 ZINC000402253958 354669272 /nfs/dbraw/zinc/66/92/72/354669272.db2.gz QGIIXENZQFDQEO-MRXNPFEDSA-N 1 2 321.396 1.275 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2C(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC000589654868 355010488 /nfs/dbraw/zinc/01/04/88/355010488.db2.gz ASYAQNPRLWBDDT-KRWDZBQOSA-N 1 2 321.384 1.766 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000590331820 355072327 /nfs/dbraw/zinc/07/23/27/355072327.db2.gz LGLVCQXTRGEBFQ-CQSZACIVSA-N 1 2 309.373 1.566 20 30 DDEDLO N#CC1(CC(=O)NC[C@H](c2cccs2)[NH+]2CCOCC2)CC1 ZINC000590133128 355053052 /nfs/dbraw/zinc/05/30/52/355053052.db2.gz VWCWUQABVCJUGT-CYBMUJFWSA-N 1 2 319.430 1.931 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](N3CCc4[nH+]c[nH]c4C3)C2=O)cc1 ZINC000590599409 355126337 /nfs/dbraw/zinc/12/63/37/355126337.db2.gz GLMARMIJZOTLCG-KRWDZBQOSA-N 1 2 321.384 1.835 20 30 DDEDLO N#C[C@]1(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CC12CCCC2 ZINC000590677625 355145292 /nfs/dbraw/zinc/14/52/92/355145292.db2.gz IFPYOXFAACEIOY-YOEHRIQHSA-N 1 2 303.406 1.394 20 30 DDEDLO N#C[C@@]1(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CC12CCCC2 ZINC000590677623 355145542 /nfs/dbraw/zinc/14/55/42/355145542.db2.gz IFPYOXFAACEIOY-RHSMWYFYSA-N 1 2 303.406 1.394 20 30 DDEDLO C[C@H]1CCCCN1C(=O)C[NH+]1CCN(CC2(C#N)CCC2)CC1 ZINC000590684130 355146761 /nfs/dbraw/zinc/14/67/61/355146761.db2.gz ZPBJFERGDIZIHC-INIZCTEOSA-N 1 2 318.465 1.699 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNc2snc(C)c2C#N)C1 ZINC000590703171 355152356 /nfs/dbraw/zinc/15/23/56/355152356.db2.gz FDDNZQKQHVDHRQ-NSHDSACASA-N 1 2 308.407 1.620 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNc2snc(C)c2C#N)C1 ZINC000590703171 355152358 /nfs/dbraw/zinc/15/23/58/355152358.db2.gz FDDNZQKQHVDHRQ-NSHDSACASA-N 1 2 308.407 1.620 20 30 DDEDLO C[C@H](C(=O)N(C)c1ccccc1)[NH+]1CCN(CCC#N)CC1 ZINC000591020664 355233874 /nfs/dbraw/zinc/23/38/74/355233874.db2.gz XEERDTFZQZCJSX-OAHLLOKOSA-N 1 2 300.406 1.569 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000591529068 355323684 /nfs/dbraw/zinc/32/36/84/355323684.db2.gz OSSOWSJNFXTPOZ-XHSDSOJGSA-N 1 2 305.422 1.497 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@H]2CCN(C(C)(C)C)C2=O)CC1 ZINC000591845760 355394591 /nfs/dbraw/zinc/39/45/91/355394591.db2.gz WCMPRQTUULBAKK-GJZGRUSLSA-N 1 2 306.454 1.552 20 30 DDEDLO COC(=O)C[C@](C)([NH2+]Cc1ccncc1C#N)c1ccccn1 ZINC000592107603 355501821 /nfs/dbraw/zinc/50/18/21/355501821.db2.gz LPBSNUUKGAHTEO-KRWDZBQOSA-N 1 2 310.357 1.916 20 30 DDEDLO C[C@H](C(=O)N1c2ccccc2C[C@@H]1C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592145952 355511907 /nfs/dbraw/zinc/51/19/07/355511907.db2.gz BYXSHTVCCCEDER-IYOUNJFTSA-N 1 2 313.401 1.703 20 30 DDEDLO C[C@H](C(=O)N1c2ccccc2C[C@@H]1C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592145952 355511910 /nfs/dbraw/zinc/51/19/10/355511910.db2.gz BYXSHTVCCCEDER-IYOUNJFTSA-N 1 2 313.401 1.703 20 30 DDEDLO Cc1sc2nc(C[N@@H+]3CC[C@@](O)(CC#N)C3)nc(N)c2c1C ZINC000592151585 355517266 /nfs/dbraw/zinc/51/72/66/355517266.db2.gz OBAUSXLEWMJQIZ-HNNXBMFYSA-N 1 2 317.418 1.741 20 30 DDEDLO Cc1sc2nc(C[N@H+]3CC[C@@](O)(CC#N)C3)nc(N)c2c1C ZINC000592151585 355517270 /nfs/dbraw/zinc/51/72/70/355517270.db2.gz OBAUSXLEWMJQIZ-HNNXBMFYSA-N 1 2 317.418 1.741 20 30 DDEDLO COc1ncncc1C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000592496674 355601393 /nfs/dbraw/zinc/60/13/93/355601393.db2.gz CSPXYVAMZDHGGB-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO N#CC[C@@]1(O)CCN(C(=O)Cc2c[nH+]cn2Cc2ccccc2)C1 ZINC000592848798 355714616 /nfs/dbraw/zinc/71/46/16/355714616.db2.gz BOQISYQDPJRYCM-GOSISDBHSA-N 1 2 324.384 1.351 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](Cc3cc4n(n3)CCC4)C2)nc1 ZINC000593030674 355775133 /nfs/dbraw/zinc/77/51/33/355775133.db2.gz OAUDHUCJRAYFKS-INIZCTEOSA-N 1 2 309.373 1.749 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](Cc3cc4n(n3)CCC4)C2)nc1 ZINC000593030674 355775137 /nfs/dbraw/zinc/77/51/37/355775137.db2.gz OAUDHUCJRAYFKS-INIZCTEOSA-N 1 2 309.373 1.749 20 30 DDEDLO CC[C@@H]1CO[C@@H](CC)C[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000593058476 355782763 /nfs/dbraw/zinc/78/27/63/355782763.db2.gz MOWZOEBJKLNFNU-CABCVRRESA-N 1 2 306.410 1.532 20 30 DDEDLO CC[C@@H]1CO[C@@H](CC)C[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000593058476 355782766 /nfs/dbraw/zinc/78/27/66/355782766.db2.gz MOWZOEBJKLNFNU-CABCVRRESA-N 1 2 306.410 1.532 20 30 DDEDLO Cn1cnc(-c2ccc(OC[C@H](O)C[N@H+](C)CCC#N)cc2)n1 ZINC000593339298 355857001 /nfs/dbraw/zinc/85/70/01/355857001.db2.gz HBKFWMDXBUXABP-CQSZACIVSA-N 1 2 315.377 1.067 20 30 DDEDLO Cn1cnc(-c2ccc(OC[C@H](O)C[N@@H+](C)CCC#N)cc2)n1 ZINC000593339298 355857004 /nfs/dbraw/zinc/85/70/04/355857004.db2.gz HBKFWMDXBUXABP-CQSZACIVSA-N 1 2 315.377 1.067 20 30 DDEDLO CC[C@@H](C#N)C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000593690407 355968696 /nfs/dbraw/zinc/96/86/96/355968696.db2.gz YFMOBNUECSIOJL-AWEZNQCLSA-N 1 2 300.366 1.195 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+]([C@@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C1 ZINC000594659892 356279020 /nfs/dbraw/zinc/27/90/20/356279020.db2.gz JNRXXPRXHPXADF-GOEBONIOSA-N 1 2 322.368 1.816 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+]([C@@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C1 ZINC000594659892 356279024 /nfs/dbraw/zinc/27/90/24/356279024.db2.gz JNRXXPRXHPXADF-GOEBONIOSA-N 1 2 322.368 1.816 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNCC(F)(F)c1cccc(F)c1 ZINC000594717987 356295763 /nfs/dbraw/zinc/29/57/63/356295763.db2.gz JQVVRVLCIAOMHF-AWEZNQCLSA-N 1 2 315.339 1.713 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNCC(F)(F)c1cccc(F)c1 ZINC000594717987 356295765 /nfs/dbraw/zinc/29/57/65/356295765.db2.gz JQVVRVLCIAOMHF-AWEZNQCLSA-N 1 2 315.339 1.713 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N1CCC[C@@H](CC#N)C1 ZINC000595081015 356379673 /nfs/dbraw/zinc/37/96/73/356379673.db2.gz DJNBSXKRBUAKQK-NSHDSACASA-N 1 2 302.334 1.181 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cc(C2CC2)no1 ZINC000595334457 356452624 /nfs/dbraw/zinc/45/26/24/356452624.db2.gz QVCFKVQBGMMEQA-UHFFFAOYSA-N 1 2 304.394 1.600 20 30 DDEDLO CCN1CC[C@H]([NH+]2CCN(c3cccc(F)c3C#N)CC2)C1=O ZINC000595292298 356435927 /nfs/dbraw/zinc/43/59/27/356435927.db2.gz YPQPKYUTOOVLNP-INIZCTEOSA-N 1 2 316.380 1.440 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)NCC2(C#N)CCCCC2)C1 ZINC000595499985 356519615 /nfs/dbraw/zinc/51/96/15/356519615.db2.gz PGPNDICRVULSPN-AWEZNQCLSA-N 1 2 307.442 1.005 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)NCC2(C#N)CCCCC2)C1 ZINC000595499985 356519620 /nfs/dbraw/zinc/51/96/20/356519620.db2.gz PGPNDICRVULSPN-AWEZNQCLSA-N 1 2 307.442 1.005 20 30 DDEDLO CN(C)C(=O)Cc1nc(C[N@@H+]2CCC[C@@H](CC#N)C2)cs1 ZINC000595614332 356578440 /nfs/dbraw/zinc/57/84/40/356578440.db2.gz KMYRFVMOWDMWSY-LBPRGKRZSA-N 1 2 306.435 1.899 20 30 DDEDLO CN(C)C(=O)Cc1nc(C[N@H+]2CCC[C@@H](CC#N)C2)cs1 ZINC000595614332 356578443 /nfs/dbraw/zinc/57/84/43/356578443.db2.gz KMYRFVMOWDMWSY-LBPRGKRZSA-N 1 2 306.435 1.899 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000596247464 356831355 /nfs/dbraw/zinc/83/13/55/356831355.db2.gz PARVKZGPNCKCGU-CYBMUJFWSA-N 1 2 317.349 1.162 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1Cc2c[nH]nc2CC(C)(C)C1)C1CC1 ZINC000596599742 356930388 /nfs/dbraw/zinc/93/03/88/356930388.db2.gz XUAOVMSNFWHMPS-QGZVFWFLSA-N 1 2 315.421 1.602 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1Cc2c[nH]nc2CC(C)(C)C1)C1CC1 ZINC000596599742 356930396 /nfs/dbraw/zinc/93/03/96/356930396.db2.gz XUAOVMSNFWHMPS-QGZVFWFLSA-N 1 2 315.421 1.602 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3ccnn3CC3CC3)CC2)c1 ZINC000596982792 357034027 /nfs/dbraw/zinc/03/40/27/357034027.db2.gz JQCXYIDWCXNUPX-UHFFFAOYSA-N 1 2 322.416 1.882 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)c1C#N ZINC000596901795 357010931 /nfs/dbraw/zinc/01/09/31/357010931.db2.gz PMQWRMQYWKWVHZ-NXEZZACHSA-N 1 2 323.422 1.554 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)c1C#N ZINC000596901795 357010933 /nfs/dbraw/zinc/01/09/33/357010933.db2.gz PMQWRMQYWKWVHZ-NXEZZACHSA-N 1 2 323.422 1.554 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2cccc(CC#N)c2)[C@H](C)CO1 ZINC000597999217 357415241 /nfs/dbraw/zinc/41/52/41/357415241.db2.gz RHQAVGPSEFUYCQ-ZIAGYGMSSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2cccc(CC#N)c2)[C@H](C)CO1 ZINC000597999217 357415245 /nfs/dbraw/zinc/41/52/45/357415245.db2.gz RHQAVGPSEFUYCQ-ZIAGYGMSSA-N 1 2 301.390 1.592 20 30 DDEDLO C[S@](=O)C1(CNC([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)CCC1 ZINC000329619270 223002220 /nfs/dbraw/zinc/00/22/20/223002220.db2.gz QVBKPXFEGSHMLB-UZJPJQLHSA-N 1 2 310.423 1.072 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)[N@@H+]1CCC[C@@H](C2CC2)C1 ZINC000329615878 223002376 /nfs/dbraw/zinc/00/23/76/223002376.db2.gz DHODALFXAZMQAV-MRVWCRGKSA-N 1 2 314.451 1.641 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)[N@H+]1CCC[C@@H](C2CC2)C1 ZINC000329615878 223002379 /nfs/dbraw/zinc/00/23/79/223002379.db2.gz DHODALFXAZMQAV-MRVWCRGKSA-N 1 2 314.451 1.641 20 30 DDEDLO O=C(C[N@H+]1CCSC[C@H]1CO)Nc1ccc2c(c1)OCCO2 ZINC000329644621 223008325 /nfs/dbraw/zinc/00/83/25/223008325.db2.gz JCWXBWVFOPGPIB-GFCCVEGCSA-N 1 2 324.402 1.456 20 30 DDEDLO O=C(C[N@@H+]1CCSC[C@H]1CO)Nc1ccc2c(c1)OCCO2 ZINC000329644621 223008328 /nfs/dbraw/zinc/00/83/28/223008328.db2.gz JCWXBWVFOPGPIB-GFCCVEGCSA-N 1 2 324.402 1.456 20 30 DDEDLO C[NH+](C)Cc1nc(C(C)(C)NC(=O)[C@@H]2C[C@@]23CCOC3)no1 ZINC000329725793 223018842 /nfs/dbraw/zinc/01/88/42/223018842.db2.gz GJWRZXNEAMPBHY-ZUZCIYMTSA-N 1 2 308.382 1.749 20 30 DDEDLO N#Cc1ccc(C2(C(=O)N[C@H]3CCn4c[nH+]cc4C3)CC2)cc1 ZINC000598947640 357771316 /nfs/dbraw/zinc/77/13/16/357771316.db2.gz MHKRFHXFTXDSKW-HNNXBMFYSA-N 1 2 306.369 1.918 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)cn1 ZINC000599183091 357841596 /nfs/dbraw/zinc/84/15/96/357841596.db2.gz GIIVKUYFZQPRBW-QGZVFWFLSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)cn1 ZINC000599183091 357841598 /nfs/dbraw/zinc/84/15/98/357841598.db2.gz GIIVKUYFZQPRBW-QGZVFWFLSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3nccnn3)CC2)c(Cl)c1 ZINC000599511254 357960193 /nfs/dbraw/zinc/96/01/93/357960193.db2.gz HUFZIZYKCHLORT-UHFFFAOYSA-N 1 2 314.780 1.719 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCc3c(O)cccc3C2)c1 ZINC000599649227 358007986 /nfs/dbraw/zinc/00/79/86/358007986.db2.gz YXCJQQRJTCAZHW-UHFFFAOYSA-N 1 2 321.380 1.938 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCc3c(O)cccc3C2)c1 ZINC000599649227 358007987 /nfs/dbraw/zinc/00/79/87/358007987.db2.gz YXCJQQRJTCAZHW-UHFFFAOYSA-N 1 2 321.380 1.938 20 30 DDEDLO CCCS(=O)(=O)NC1CC[NH+](Cc2ccc(C#N)o2)CC1 ZINC000180019503 199107547 /nfs/dbraw/zinc/10/75/47/199107547.db2.gz MMJSCYQDKNIANQ-UHFFFAOYSA-N 1 2 311.407 1.445 20 30 DDEDLO COc1ccc(C[NH+]2CCN(CC#N)CC2)cc1OC1CC1 ZINC000600118368 358128219 /nfs/dbraw/zinc/12/82/19/358128219.db2.gz ZLVRZIBKHYBUDZ-UHFFFAOYSA-N 1 2 301.390 1.878 20 30 DDEDLO CN1CCCC[C@H]([N@H+](C)[C@H](C(N)=O)c2ccc(C#N)cc2)C1=O ZINC000601967746 358757633 /nfs/dbraw/zinc/75/76/33/358757633.db2.gz APIWFCBPSQTSQD-GJZGRUSLSA-N 1 2 314.389 1.027 20 30 DDEDLO CN1CCCC[C@H]([N@@H+](C)[C@H](C(N)=O)c2ccc(C#N)cc2)C1=O ZINC000601967746 358757634 /nfs/dbraw/zinc/75/76/34/358757634.db2.gz APIWFCBPSQTSQD-GJZGRUSLSA-N 1 2 314.389 1.027 20 30 DDEDLO CN1CCCC[C@@H]([N@H+](C)CC(=O)Nc2cccc(C#N)c2)C1=O ZINC000601971014 358759578 /nfs/dbraw/zinc/75/95/78/358759578.db2.gz SWZNWXGXLIUVEB-OAHLLOKOSA-N 1 2 314.389 1.439 20 30 DDEDLO CN1CCCC[C@@H]([N@@H+](C)CC(=O)Nc2cccc(C#N)c2)C1=O ZINC000601971014 358759582 /nfs/dbraw/zinc/75/95/82/358759582.db2.gz SWZNWXGXLIUVEB-OAHLLOKOSA-N 1 2 314.389 1.439 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)cn1 ZINC000602201300 358880368 /nfs/dbraw/zinc/88/03/68/358880368.db2.gz XGEXULKLGLNLQJ-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@H]2C(=O)NCc2ccco2)n1 ZINC000602442832 358979031 /nfs/dbraw/zinc/97/90/31/358979031.db2.gz YEUICEHENVXCOZ-INIZCTEOSA-N 1 2 310.357 1.827 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@H]2C(=O)NCc2ccco2)n1 ZINC000602442832 358979036 /nfs/dbraw/zinc/97/90/36/358979036.db2.gz YEUICEHENVXCOZ-INIZCTEOSA-N 1 2 310.357 1.827 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(-c2csnn2)cc1 ZINC000602334387 358935598 /nfs/dbraw/zinc/93/55/98/358935598.db2.gz RAAZJJFNJOMSNB-HNNXBMFYSA-N 1 2 315.402 1.779 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)n1)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000602476292 359003908 /nfs/dbraw/zinc/00/39/08/359003908.db2.gz MFNIEXXWEWYVED-GJZGRUSLSA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)n1)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000602476292 359003911 /nfs/dbraw/zinc/00/39/11/359003911.db2.gz MFNIEXXWEWYVED-GJZGRUSLSA-N 1 2 307.419 1.741 20 30 DDEDLO C=CCOC[C@@H]([NH2+]C[C@@H]1CCN(c2ccccc2)C1)C(=O)OC ZINC000602644377 359095289 /nfs/dbraw/zinc/09/52/89/359095289.db2.gz MZXSXYFHENTDGN-DOTOQJQBSA-N 1 2 318.417 1.847 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(C(=O)OCC)[nH]2)C1=O ZINC000602647767 359097560 /nfs/dbraw/zinc/09/75/60/359097560.db2.gz MZPLYZLGNYWZBY-AWEZNQCLSA-N 1 2 305.378 1.410 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(C(=O)OCC)[nH]2)C1=O ZINC000602647767 359097569 /nfs/dbraw/zinc/09/75/69/359097569.db2.gz MZPLYZLGNYWZBY-AWEZNQCLSA-N 1 2 305.378 1.410 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2nc(-c3ccccn3)no2)C[C@H](C)N1CC#N ZINC000602858738 359244616 /nfs/dbraw/zinc/24/46/16/359244616.db2.gz UUGUMVUKYTVLRU-BETUJISGSA-N 1 2 312.377 1.550 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2nc(-c3ccccn3)no2)C[C@H](C)N1CC#N ZINC000602858738 359244619 /nfs/dbraw/zinc/24/46/19/359244619.db2.gz UUGUMVUKYTVLRU-BETUJISGSA-N 1 2 312.377 1.550 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@@H+]1CCOc1ccccc1C#N ZINC000602951445 359317476 /nfs/dbraw/zinc/31/74/76/359317476.db2.gz NOKSEPQWQPFWEB-YOEHRIQHSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@H+]1CCOc1ccccc1C#N ZINC000602951445 359317480 /nfs/dbraw/zinc/31/74/80/359317480.db2.gz NOKSEPQWQPFWEB-YOEHRIQHSA-N 1 2 318.373 1.589 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)Cc1c(C)nn(CC)c1C ZINC000602927110 359298947 /nfs/dbraw/zinc/29/89/47/359298947.db2.gz WGWGHVUYVKAKRN-UHFFFAOYSA-N 1 2 305.426 1.714 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)Cc1c(C)nn(CC)c1C ZINC000602927110 359298949 /nfs/dbraw/zinc/29/89/49/359298949.db2.gz WGWGHVUYVKAKRN-UHFFFAOYSA-N 1 2 305.426 1.714 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCC=C(c2cnn(C)c2)C1 ZINC000602882571 359266433 /nfs/dbraw/zinc/26/64/33/359266433.db2.gz KSPFVZOELOPJIR-ZDUSSCGKSA-N 1 2 313.405 1.460 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC=C(c2cnn(C)c2)C1 ZINC000602882571 359266437 /nfs/dbraw/zinc/26/64/37/359266437.db2.gz KSPFVZOELOPJIR-ZDUSSCGKSA-N 1 2 313.405 1.460 20 30 DDEDLO COc1cccc(CNC(=O)C[N@H+](C)[C@@H]2CCC[C@@H]2C#N)c1 ZINC000602974732 359334109 /nfs/dbraw/zinc/33/41/09/359334109.db2.gz SLEPMHCDTXUVGP-GDBMZVCRSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1cccc(CNC(=O)C[N@@H+](C)[C@@H]2CCC[C@@H]2C#N)c1 ZINC000602974732 359334115 /nfs/dbraw/zinc/33/41/15/359334115.db2.gz SLEPMHCDTXUVGP-GDBMZVCRSA-N 1 2 301.390 1.935 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@@H+](C)[C@@H]3CCC[C@@H]3C#N)sc2n1 ZINC000602976068 359335216 /nfs/dbraw/zinc/33/52/16/359335216.db2.gz KPFQQNARWYXIIV-GHMZBOCLSA-N 1 2 303.391 1.584 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@H+](C)[C@@H]3CCC[C@@H]3C#N)sc2n1 ZINC000602976068 359335221 /nfs/dbraw/zinc/33/52/21/359335221.db2.gz KPFQQNARWYXIIV-GHMZBOCLSA-N 1 2 303.391 1.584 20 30 DDEDLO C[N@H+](CC(=O)NCc1ccc2c(c1)OCO2)[C@H]1CCC[C@@H]1C#N ZINC000602978307 359336639 /nfs/dbraw/zinc/33/66/39/359336639.db2.gz OPYQAEUBGWJORC-KGLIPLIRSA-N 1 2 315.373 1.656 20 30 DDEDLO C[N@@H+](CC(=O)NCc1ccc2c(c1)OCO2)[C@H]1CCC[C@@H]1C#N ZINC000602978307 359336641 /nfs/dbraw/zinc/33/66/41/359336641.db2.gz OPYQAEUBGWJORC-KGLIPLIRSA-N 1 2 315.373 1.656 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NC1CCCCC1)[C@@H]1CCC[C@H]1C#N ZINC000602978928 359336702 /nfs/dbraw/zinc/33/67/02/359336702.db2.gz YRSPJBSJPLKJKP-GXTWGEPZSA-N 1 2 306.410 1.769 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NC1CCCCC1)[C@@H]1CCC[C@H]1C#N ZINC000602978928 359336703 /nfs/dbraw/zinc/33/67/03/359336703.db2.gz YRSPJBSJPLKJKP-GXTWGEPZSA-N 1 2 306.410 1.769 20 30 DDEDLO COC1CC[NH+](Cc2cn(Cc3ccnc(C#N)c3)nn2)CC1 ZINC000603222812 359493748 /nfs/dbraw/zinc/49/37/48/359493748.db2.gz NRRYEUKACOSOFB-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C[C@@H](C#N)CN(C)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603274323 359533929 /nfs/dbraw/zinc/53/39/29/359533929.db2.gz UVPPAJBQGNBOFJ-LBPRGKRZSA-N 1 2 307.419 1.455 20 30 DDEDLO C[C@@H](C#N)CN(C)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603274323 359533932 /nfs/dbraw/zinc/53/39/32/359533932.db2.gz UVPPAJBQGNBOFJ-LBPRGKRZSA-N 1 2 307.419 1.455 20 30 DDEDLO COCCOCc1cc(C#N)ccc1NCC[NH+]1CCOCC1 ZINC000603268124 359527873 /nfs/dbraw/zinc/52/78/73/359527873.db2.gz ROPJGOHNTHJSEH-UHFFFAOYSA-N 1 2 319.405 1.465 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC[N@H+](C)C(C)(C)C1 ZINC000603375429 359601359 /nfs/dbraw/zinc/60/13/59/359601359.db2.gz RDUPSJWIIBSWMV-UHFFFAOYSA-N 1 2 323.418 1.282 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC[N@@H+](C)C(C)(C)C1 ZINC000603375429 359601364 /nfs/dbraw/zinc/60/13/64/359601364.db2.gz RDUPSJWIIBSWMV-UHFFFAOYSA-N 1 2 323.418 1.282 20 30 DDEDLO Cc1oc(NC(=O)C[NH+]2CCC(C)(CO)CC2)c(C#N)c1C ZINC000186954016 200037612 /nfs/dbraw/zinc/03/76/12/200037612.db2.gz WLFRWNJXPYNZMI-UHFFFAOYSA-N 1 2 305.378 1.801 20 30 DDEDLO C#CCOc1ccccc1NC(=O)NCC[NH+]1CCOCC1 ZINC000188090650 200192450 /nfs/dbraw/zinc/19/24/50/200192450.db2.gz GVWLOZLVPWTPQB-UHFFFAOYSA-N 1 2 303.362 1.152 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000618548860 363666192 /nfs/dbraw/zinc/66/61/92/363666192.db2.gz LCINJMJFYUVPNR-LLVKDONJSA-N 1 2 321.425 1.539 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000618548860 363666197 /nfs/dbraw/zinc/66/61/97/363666197.db2.gz LCINJMJFYUVPNR-LLVKDONJSA-N 1 2 321.425 1.539 20 30 DDEDLO [O-]C(NC[C@@H]1CCc2[nH+]ccn2C1)=[NH+][C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC000329905559 223043740 /nfs/dbraw/zinc/04/37/40/223043740.db2.gz RPLGWVLCUVQEBE-YJNKXOJESA-N 1 2 318.421 1.907 20 30 DDEDLO [O-]C(N[C@@H]1CCC[C@@H]2OCC[C@@H]21)=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000329905559 223043744 /nfs/dbraw/zinc/04/37/44/223043744.db2.gz RPLGWVLCUVQEBE-YJNKXOJESA-N 1 2 318.421 1.907 20 30 DDEDLO CO[C@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@H]1C ZINC000189333082 200383331 /nfs/dbraw/zinc/38/33/31/200383331.db2.gz QUUGSFSGWSYXNR-CJNGLKHVSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@H]1C ZINC000189333082 200383333 /nfs/dbraw/zinc/38/33/33/200383333.db2.gz QUUGSFSGWSYXNR-CJNGLKHVSA-N 1 2 322.430 1.689 20 30 DDEDLO [O-]C(=[NH+]C[C@@H]1CCc2[nH+]ccn2C1)N1CC[C@H]([C@H]2CCOC2)C1 ZINC000329953363 223051829 /nfs/dbraw/zinc/05/18/29/223051829.db2.gz NENKMRHEOZEBGU-KKUMJFAQSA-N 1 2 318.421 1.718 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@@H](C)c1cccc(C#N)c1 ZINC000610347568 360417845 /nfs/dbraw/zinc/41/78/45/360417845.db2.gz OKQCQGRHRYRNKE-KGLIPLIRSA-N 1 2 316.405 1.639 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@@H](CCO)C1 ZINC000610581767 360476090 /nfs/dbraw/zinc/47/60/90/360476090.db2.gz JOTLOPUEGNRAMJ-XJKSGUPXSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CCC[C@@H](CCO)C1 ZINC000610581767 360476092 /nfs/dbraw/zinc/47/60/92/360476092.db2.gz JOTLOPUEGNRAMJ-XJKSGUPXSA-N 1 2 302.374 1.867 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCO[C@@H](C(F)(F)F)C3)n2c1 ZINC000611272587 360687203 /nfs/dbraw/zinc/68/72/03/360687203.db2.gz IWBQBGQKDDSJAV-GFCCVEGCSA-N 1 2 310.279 1.969 20 30 DDEDLO COc1ccc(C[N@H+](C)[C@H](C(N)=O)c2ccc(C#N)cc2)cn1 ZINC000611209159 360660283 /nfs/dbraw/zinc/66/02/83/360660283.db2.gz KBBXNUSJWVJJEU-INIZCTEOSA-N 1 2 310.357 1.620 20 30 DDEDLO COc1ccc(C[N@@H+](C)[C@H](C(N)=O)c2ccc(C#N)cc2)cn1 ZINC000611209159 360660284 /nfs/dbraw/zinc/66/02/84/360660284.db2.gz KBBXNUSJWVJJEU-INIZCTEOSA-N 1 2 310.357 1.620 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]C[C@H](O)COc2ccc(CC#N)cc2)o1 ZINC000612531039 361054573 /nfs/dbraw/zinc/05/45/73/361054573.db2.gz SJBSPXWCQUTDTA-RISCZKNCSA-N 1 2 316.361 1.535 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@@](O)(C(F)(F)F)C1)C1CC1 ZINC000331787163 223208815 /nfs/dbraw/zinc/20/88/15/223208815.db2.gz BURFLELDIPAECO-OLZOCXBDSA-N 1 2 319.327 1.184 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@@](O)(C(F)(F)F)C1)C1CC1 ZINC000331787163 223208818 /nfs/dbraw/zinc/20/88/18/223208818.db2.gz BURFLELDIPAECO-OLZOCXBDSA-N 1 2 319.327 1.184 20 30 DDEDLO Cn1cc(-c2cc(C[NH+]3CCN(CCC#N)CC3)on2)cn1 ZINC000613583245 361477987 /nfs/dbraw/zinc/47/79/87/361477987.db2.gz FBNBMYLTSONLBP-UHFFFAOYSA-N 1 2 300.366 1.106 20 30 DDEDLO CNC(=O)[C@@H]1CCCC[N@@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000194187169 201193904 /nfs/dbraw/zinc/19/39/04/201193904.db2.gz BWXXOSYZWIHJRB-AWEZNQCLSA-N 1 2 300.362 1.097 20 30 DDEDLO CNC(=O)[C@@H]1CCCC[N@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000194187169 201193906 /nfs/dbraw/zinc/19/39/06/201193906.db2.gz BWXXOSYZWIHJRB-AWEZNQCLSA-N 1 2 300.362 1.097 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+](CC)Cc1ccc(C(=O)N(C)C)cc1 ZINC000619717274 364128344 /nfs/dbraw/zinc/12/83/44/364128344.db2.gz SAWJQUIQPAMRSH-OAHLLOKOSA-N 1 2 304.390 1.938 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+](CC)Cc1ccc(C(=O)N(C)C)cc1 ZINC000619717274 364128351 /nfs/dbraw/zinc/12/83/51/364128351.db2.gz SAWJQUIQPAMRSH-OAHLLOKOSA-N 1 2 304.390 1.938 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC2(C[C@@H]2C(=O)N2CCCCC2)CC1 ZINC000619720308 364130933 /nfs/dbraw/zinc/13/09/33/364130933.db2.gz ZCQKQCKZRWLREJ-HUUCEWRRSA-N 1 2 320.433 1.829 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000619882505 364172852 /nfs/dbraw/zinc/17/28/52/364172852.db2.gz DHQWYNGTAPEWLG-CVEARBPZSA-N 1 2 308.422 1.148 20 30 DDEDLO C=C(C)[C@H](CC(=O)N(CC[NH+]1CCOCC1)CC1CC1)OCC ZINC000619886876 364176477 /nfs/dbraw/zinc/17/64/77/364176477.db2.gz CXCQXPIGQRWOMM-KRWDZBQOSA-N 1 2 324.465 1.929 20 30 DDEDLO CC1(C)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@@H]1O ZINC000275486147 212364902 /nfs/dbraw/zinc/36/49/02/212364902.db2.gz OXDQIRDNJWEECH-HNNXBMFYSA-N 1 2 322.430 1.425 20 30 DDEDLO CC1(C)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@@H]1O ZINC000275486147 212364904 /nfs/dbraw/zinc/36/49/04/212364904.db2.gz OXDQIRDNJWEECH-HNNXBMFYSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H](C#N)CNC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000091281047 193030087 /nfs/dbraw/zinc/03/00/87/193030087.db2.gz WJNDTDFHRISHJW-LLVKDONJSA-N 1 2 311.345 1.301 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCC[C@](F)(CO)C1 ZINC000347330189 223370669 /nfs/dbraw/zinc/37/06/69/223370669.db2.gz FHBAFRIRXYQLJI-UKRRQHHQSA-N 1 2 311.401 1.514 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCC[C@](F)(CO)C1 ZINC000347330189 223370672 /nfs/dbraw/zinc/37/06/72/223370672.db2.gz FHBAFRIRXYQLJI-UKRRQHHQSA-N 1 2 311.401 1.514 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000274873966 211999389 /nfs/dbraw/zinc/99/93/89/211999389.db2.gz ZVVNPJOFYCPVRB-SMDDNHRTSA-N 1 2 300.337 1.970 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH+]1CCN(c2ccccc2)CC1 ZINC000024235494 182377347 /nfs/dbraw/zinc/37/73/47/182377347.db2.gz UMLCKBBPODAXIE-AWEZNQCLSA-N 1 2 316.405 1.209 20 30 DDEDLO C[C@H](C(=O)NCc1cccc(-n2cc[nH+]c2)c1)n1cnc(C#N)n1 ZINC000337013990 249314243 /nfs/dbraw/zinc/31/42/43/249314243.db2.gz JMHUVKIEBUXDRW-GFCCVEGCSA-N 1 2 321.344 1.213 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@@H+]3CC[C@@](F)(CO)C3)C2=O)cc1 ZINC000338589641 250044538 /nfs/dbraw/zinc/04/45/38/250044538.db2.gz UKBLQDNBRWJRLD-RDJZCZTQSA-N 1 2 317.364 1.460 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@H+]3CC[C@@](F)(CO)C3)C2=O)cc1 ZINC000338589641 250044541 /nfs/dbraw/zinc/04/45/41/250044541.db2.gz UKBLQDNBRWJRLD-RDJZCZTQSA-N 1 2 317.364 1.460 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2C[N@@H+](C3CC3)CCO2)c([N+](=O)[O-])c1 ZINC000106369742 194201748 /nfs/dbraw/zinc/20/17/48/194201748.db2.gz SSGRAUVSPRTORP-CYBMUJFWSA-N 1 2 302.334 1.742 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2C[N@H+](C3CC3)CCO2)c([N+](=O)[O-])c1 ZINC000106369742 194201750 /nfs/dbraw/zinc/20/17/50/194201750.db2.gz SSGRAUVSPRTORP-CYBMUJFWSA-N 1 2 302.334 1.742 20 30 DDEDLO N#C[C@@H]1CN(C(=O)NCc2ccc(N3CCCC3)[nH+]c2)CCO1 ZINC000106330896 194198756 /nfs/dbraw/zinc/19/87/56/194198756.db2.gz RQUGTMHFOCINNB-CQSZACIVSA-N 1 2 315.377 1.116 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)N[C@H]2CCCc3cn[nH]c32)CC1 ZINC000268859985 207028466 /nfs/dbraw/zinc/02/84/66/207028466.db2.gz HNEGGWGHEDVOSV-AWEZNQCLSA-N 1 2 303.410 1.737 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)NCC[NH+]1CCOCC1 ZINC000062465325 184238766 /nfs/dbraw/zinc/23/87/66/184238766.db2.gz DPGJFVYVOSZGLJ-UHFFFAOYSA-N 1 2 317.433 1.759 20 30 DDEDLO N#CCCC[NH+]1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000112705410 194508791 /nfs/dbraw/zinc/50/87/91/194508791.db2.gz LCTJQSMNKVORFB-QGZVFWFLSA-N 1 2 312.417 1.713 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@@H]21 ZINC000247155949 285039217 /nfs/dbraw/zinc/03/92/17/285039217.db2.gz XMKQOUZOQXHCNB-LVQVYYBASA-N 1 2 323.437 1.263 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@@H]21 ZINC000247155949 285039220 /nfs/dbraw/zinc/03/92/20/285039220.db2.gz XMKQOUZOQXHCNB-LVQVYYBASA-N 1 2 323.437 1.263 20 30 DDEDLO COc1cc[nH]c(=O)c1C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000330617888 533071067 /nfs/dbraw/zinc/07/10/67/533071067.db2.gz XMGBELXKERVWRP-MNOVXSKESA-N 1 2 309.366 1.210 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)Nc2ccc(C#N)cc2)CC1 ZINC000342006126 533108867 /nfs/dbraw/zinc/10/88/67/533108867.db2.gz CBCVKAIDSOFUII-UHFFFAOYSA-N 1 2 312.373 1.749 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+](C)[C@@H]1CCS(=O)(=O)C1 ZINC000157338045 197179971 /nfs/dbraw/zinc/17/99/71/197179971.db2.gz YZWQQJOZAXSOHK-CYBMUJFWSA-N 1 2 324.402 1.065 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+](C)[C@@H]1CCS(=O)(=O)C1 ZINC000157338045 197179972 /nfs/dbraw/zinc/17/99/72/197179972.db2.gz YZWQQJOZAXSOHK-CYBMUJFWSA-N 1 2 324.402 1.065 20 30 DDEDLO COc1cc([N+](=O)[O-])ccc1NC(=O)C[NH2+][C@@H]1CCC[C@@H]1C#N ZINC000459457288 533138181 /nfs/dbraw/zinc/13/81/81/533138181.db2.gz DCUMOJSPDLMHEK-ZYHUDNBSSA-N 1 2 318.333 1.824 20 30 DDEDLO C=CC[C@@H](C)NC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000116939499 221521044 /nfs/dbraw/zinc/52/10/44/221521044.db2.gz KVMPQBSEJPCUKA-CYBMUJFWSA-N 1 2 304.394 1.682 20 30 DDEDLO C[C@H](O)[C@H]1C[N@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000414561876 224352869 /nfs/dbraw/zinc/35/28/69/224352869.db2.gz ANVDGDMHIUATGF-WMLDXEAASA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@H](O)[C@H]1C[N@@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000414561876 224352871 /nfs/dbraw/zinc/35/28/71/224352871.db2.gz ANVDGDMHIUATGF-WMLDXEAASA-N 1 2 304.390 1.951 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)C#Cc1ccc2c(c1)OCO2 ZINC000122620307 195476890 /nfs/dbraw/zinc/47/68/90/195476890.db2.gz QZZHATROYCUHLG-UHFFFAOYSA-N 1 2 311.341 1.512 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCO[C@@H](C2CC2)C1 ZINC000332960583 533240116 /nfs/dbraw/zinc/24/01/16/533240116.db2.gz DORUWZOCKYRVBY-DZGCQCFKSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCO[C@@H](C2CC2)C1 ZINC000332960583 533240119 /nfs/dbraw/zinc/24/01/19/533240119.db2.gz DORUWZOCKYRVBY-DZGCQCFKSA-N 1 2 305.422 1.828 20 30 DDEDLO N#Cc1ncn(CC[NH2+]Cc2cc(Cl)cc(Cl)c2O)n1 ZINC000285370512 131161859 /nfs/dbraw/zinc/16/18/59/131161859.db2.gz CDUOXRUHWIDICE-UHFFFAOYSA-N 1 2 312.160 1.952 20 30 DDEDLO Cc1cc(C)c(C#N)c(N2CC[NH+](Cc3cnn(C)c3)CC2)n1 ZINC000361018797 299791579 /nfs/dbraw/zinc/79/15/79/299791579.db2.gz VAPOAUQUUWNAPI-UHFFFAOYSA-N 1 2 310.405 1.626 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CCCN(C(N)=O)CC2)c1C ZINC000085944322 407107520 /nfs/dbraw/zinc/10/75/20/407107520.db2.gz IHSVIUNQBOQVQX-UHFFFAOYSA-N 1 2 318.421 1.560 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CCCN(C(N)=O)CC2)c1C ZINC000085944322 407107522 /nfs/dbraw/zinc/10/75/22/407107522.db2.gz IHSVIUNQBOQVQX-UHFFFAOYSA-N 1 2 318.421 1.560 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000049443099 407121269 /nfs/dbraw/zinc/12/12/69/407121269.db2.gz LPVRODXRDVNCNJ-UHFFFAOYSA-N 1 2 312.822 1.670 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCCN(CC(F)F)CC1 ZINC000091083855 407176971 /nfs/dbraw/zinc/17/69/71/407176971.db2.gz PFPWOENRPMFRIO-HNNXBMFYSA-N 1 2 316.396 1.314 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCCN(CC(F)F)CC1 ZINC000091083855 407176976 /nfs/dbraw/zinc/17/69/76/407176976.db2.gz PFPWOENRPMFRIO-HNNXBMFYSA-N 1 2 316.396 1.314 20 30 DDEDLO CC[N@@H+](CC(=O)NCCOc1ccc(F)cc1)C[C@H](C)C#N ZINC000066424907 407250892 /nfs/dbraw/zinc/25/08/92/407250892.db2.gz GZEQWNLVNBPCNF-CYBMUJFWSA-N 1 2 307.369 1.802 20 30 DDEDLO CC[N@H+](CC(=O)NCCOc1ccc(F)cc1)C[C@H](C)C#N ZINC000066424907 407250895 /nfs/dbraw/zinc/25/08/95/407250895.db2.gz GZEQWNLVNBPCNF-CYBMUJFWSA-N 1 2 307.369 1.802 20 30 DDEDLO CC(C)[N@H+](CC(=O)NCC#N)CC(=O)Nc1ccc(F)cc1 ZINC000066532684 407253500 /nfs/dbraw/zinc/25/35/00/407253500.db2.gz XKIYLRWAOVPBQV-UHFFFAOYSA-N 1 2 306.341 1.114 20 30 DDEDLO CC(C)[N@@H+](CC(=O)NCC#N)CC(=O)Nc1ccc(F)cc1 ZINC000066532684 407253502 /nfs/dbraw/zinc/25/35/02/407253502.db2.gz XKIYLRWAOVPBQV-UHFFFAOYSA-N 1 2 306.341 1.114 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2C[C@H](OC)C[C@H]2C(=O)OC)cc1 ZINC000125808849 407398558 /nfs/dbraw/zinc/39/85/58/407398558.db2.gz MYBODAUMHKNTPR-SJORKVTESA-N 1 2 317.385 1.851 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2C[C@H](OC)C[C@H]2C(=O)OC)cc1 ZINC000125808849 407398559 /nfs/dbraw/zinc/39/85/59/407398559.db2.gz MYBODAUMHKNTPR-SJORKVTESA-N 1 2 317.385 1.851 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)CCn2cccn2)c(C#N)c1C ZINC000111282570 407406026 /nfs/dbraw/zinc/40/60/26/407406026.db2.gz PIFKOMYZCFLKMK-UHFFFAOYSA-N 1 2 301.350 1.535 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)CCn2cccn2)c(C#N)c1C ZINC000111282570 407406028 /nfs/dbraw/zinc/40/60/28/407406028.db2.gz PIFKOMYZCFLKMK-UHFFFAOYSA-N 1 2 301.350 1.535 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000127538834 407439337 /nfs/dbraw/zinc/43/93/37/407439337.db2.gz VQICRDRLVIATET-STQMWFEESA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000127538834 407439339 /nfs/dbraw/zinc/43/93/39/407439339.db2.gz VQICRDRLVIATET-STQMWFEESA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCCC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000127524359 407439538 /nfs/dbraw/zinc/43/95/38/407439538.db2.gz QVEJCJHBVPLVBW-CYBMUJFWSA-N 1 2 311.426 1.620 20 30 DDEDLO C=CCCC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000127524359 407439539 /nfs/dbraw/zinc/43/95/39/407439539.db2.gz QVEJCJHBVPLVBW-CYBMUJFWSA-N 1 2 311.426 1.620 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CCN(C(C)=O)CC2)cc1OC ZINC000112135097 407422218 /nfs/dbraw/zinc/42/22/18/407422218.db2.gz XLHYXWHUUKCQEX-UHFFFAOYSA-N 1 2 304.390 1.924 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH2+][C@H](C)c1nnnn1-c1ccccc1 ZINC000115605060 407699003 /nfs/dbraw/zinc/69/90/03/407699003.db2.gz WWVXEDFOTWZPLC-NEPJUHHUSA-N 1 2 300.366 1.004 20 30 DDEDLO CCc1ccc([C@@H]2COCC[N@@H+]2CCC(=O)N(C)CCC#N)o1 ZINC000131645681 407742913 /nfs/dbraw/zinc/74/29/13/407742913.db2.gz WXFDPXOUORLYQY-HNNXBMFYSA-N 1 2 319.405 1.977 20 30 DDEDLO CCc1ccc([C@@H]2COCC[N@H+]2CCC(=O)N(C)CCC#N)o1 ZINC000131645681 407742917 /nfs/dbraw/zinc/74/29/17/407742917.db2.gz WXFDPXOUORLYQY-HNNXBMFYSA-N 1 2 319.405 1.977 20 30 DDEDLO NC(COc1cc(F)cc(F)c1)=[NH+]OCCC1OCCO1 ZINC000186834250 407783558 /nfs/dbraw/zinc/78/35/58/407783558.db2.gz LXKGAIZQUCMQSV-UHFFFAOYSA-N 1 2 302.277 1.395 20 30 DDEDLO C[C@H](CNC(=O)[C@H](C)Oc1ccccc1C#N)Cn1cc[nH+]c1 ZINC000153486758 407834734 /nfs/dbraw/zinc/83/47/34/407834734.db2.gz WYWFVJODMHTTBL-KGLIPLIRSA-N 1 2 312.373 1.975 20 30 DDEDLO COc1c(O)cccc1C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000272298772 407855599 /nfs/dbraw/zinc/85/55/99/407855599.db2.gz PGORPDPKEMVIQM-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO C[C@H](C(=O)N(C)CC#N)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000117775398 407860134 /nfs/dbraw/zinc/86/01/34/407860134.db2.gz KNGPBLCSGILNNC-CYBMUJFWSA-N 1 2 304.369 1.318 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000181161724 407957585 /nfs/dbraw/zinc/95/75/85/407957585.db2.gz DKBXRKUZUYKDJM-MRXNPFEDSA-N 1 2 302.353 1.540 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+](Cc1ccccn1)C1CC1 ZINC000181331040 407982330 /nfs/dbraw/zinc/98/23/30/407982330.db2.gz VGISWBZOHVQGST-UHFFFAOYSA-N 1 2 311.389 1.702 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+](Cc1ccccn1)C1CC1 ZINC000181331040 407982342 /nfs/dbraw/zinc/98/23/42/407982342.db2.gz VGISWBZOHVQGST-UHFFFAOYSA-N 1 2 311.389 1.702 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CC[NH+](C[C@H](O)C(F)(F)F)CC1 ZINC000181762412 408037789 /nfs/dbraw/zinc/03/77/89/408037789.db2.gz YESKORIPGCLEAE-KBPBESRZSA-N 1 2 313.323 1.792 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000181932315 408056415 /nfs/dbraw/zinc/05/64/15/408056415.db2.gz GQOMXQJUAHULFS-AWEZNQCLSA-N 1 2 322.430 1.164 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000181932315 408056422 /nfs/dbraw/zinc/05/64/22/408056422.db2.gz GQOMXQJUAHULFS-AWEZNQCLSA-N 1 2 322.430 1.164 20 30 DDEDLO COC(=O)[C@@H]1[C@@H](O)CCC[N@@H+]1CCCOc1cccc(C#N)c1 ZINC000189609918 408064233 /nfs/dbraw/zinc/06/42/33/408064233.db2.gz UNGQIVDDIYSCON-HOTGVXAUSA-N 1 2 318.373 1.325 20 30 DDEDLO COC(=O)[C@@H]1[C@@H](O)CCC[N@H+]1CCCOc1cccc(C#N)c1 ZINC000189609918 408064241 /nfs/dbraw/zinc/06/42/41/408064241.db2.gz UNGQIVDDIYSCON-HOTGVXAUSA-N 1 2 318.373 1.325 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+][C@@H](CO)c1c(F)cccc1F ZINC000273141627 408077571 /nfs/dbraw/zinc/07/75/71/408077571.db2.gz UNPVFZKWQXEDSR-AWEZNQCLSA-N 1 2 310.344 1.788 20 30 DDEDLO C[NH+](C)CCCN(CCC#N)C(=O)c1c(O)cc(F)cc1F ZINC000137046070 408137932 /nfs/dbraw/zinc/13/79/32/408137932.db2.gz DACMKDLLAMFJKN-UHFFFAOYSA-N 1 2 311.332 1.978 20 30 DDEDLO CN(C(=O)CO[NH+]=C(N)c1cccc(C(F)(F)F)c1)C1CC1 ZINC000121019937 408149624 /nfs/dbraw/zinc/14/96/24/408149624.db2.gz CDVBZGAFTVUBOF-UHFFFAOYSA-N 1 2 315.295 1.963 20 30 DDEDLO NC(CCO)=[NH+]O[C@H]1CCCN(c2ccccc2Cl)C1=O ZINC000121398067 408202894 /nfs/dbraw/zinc/20/28/94/408202894.db2.gz ZUSOTNWPYMGLJE-LBPRGKRZSA-N 1 2 311.769 1.507 20 30 DDEDLO CCc1noc([C@@H](C)O[NH+]=C(N)c2ccc3c(c2)CCO3)n1 ZINC000158210560 408331591 /nfs/dbraw/zinc/33/15/91/408331591.db2.gz IHUXRODLBLFLFT-SECBINFHSA-N 1 2 302.334 1.965 20 30 DDEDLO CN(Cc1[nH+]ccn1CC(F)(F)F)C(=O)c1ccc(C#N)[nH]1 ZINC000269717837 408405263 /nfs/dbraw/zinc/40/52/63/408405263.db2.gz BFGWERKMHFSHLK-UHFFFAOYSA-N 1 2 311.267 1.917 20 30 DDEDLO CO[C@@H](C)c1noc(CO[NH+]=C(N)c2ccc(F)c(F)c2)n1 ZINC000177570441 408658612 /nfs/dbraw/zinc/65/86/12/408658612.db2.gz CEQAMIIADYGSHP-ZETCQYMHSA-N 1 2 312.276 1.892 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2cc(F)ccc2F)CC1 ZINC000177632611 408674784 /nfs/dbraw/zinc/67/47/84/408674784.db2.gz AXWLVZZMSRTYJU-LBPRGKRZSA-N 1 2 307.344 1.225 20 30 DDEDLO CCCCCNC(=O)[C@H](C)O[NH+]=C(N)Cc1csc(C)n1 ZINC000178144897 408779479 /nfs/dbraw/zinc/77/94/79/408779479.db2.gz QRYJDZVMQQGVFB-JTQLQIEISA-N 1 2 312.439 1.978 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(F)cc(C(C)=O)c2O)C1=O ZINC000281325796 408881960 /nfs/dbraw/zinc/88/19/60/408881960.db2.gz LONYMXBBQDRZTO-HNNXBMFYSA-N 1 2 320.364 1.953 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(F)cc(C(C)=O)c2O)C1=O ZINC000281325796 408881963 /nfs/dbraw/zinc/88/19/63/408881963.db2.gz LONYMXBBQDRZTO-HNNXBMFYSA-N 1 2 320.364 1.953 20 30 DDEDLO COC(=O)[C@H](CC(C)C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000285889750 408883263 /nfs/dbraw/zinc/88/32/63/408883263.db2.gz GCUMPPQWVNVACA-HNNXBMFYSA-N 1 2 316.405 1.663 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000276223577 408826238 /nfs/dbraw/zinc/82/62/38/408826238.db2.gz YARLJDYQOWIACL-INIZCTEOSA-N 1 2 306.410 1.756 20 30 DDEDLO CO[C@@H]1C[N@@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C[C@@H]1OC ZINC000276851074 408902324 /nfs/dbraw/zinc/90/23/24/408902324.db2.gz IXXIAPIVKKVMGQ-HONMWMINSA-N 1 2 321.377 1.441 20 30 DDEDLO CO[C@@H]1C[N@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C[C@@H]1OC ZINC000276851074 408902328 /nfs/dbraw/zinc/90/23/28/408902328.db2.gz IXXIAPIVKKVMGQ-HONMWMINSA-N 1 2 321.377 1.441 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cn(C)nc2C(F)(F)F)C1=O ZINC000281882680 408947078 /nfs/dbraw/zinc/94/70/78/408947078.db2.gz DFDUNYLCSNFMEA-NSHDSACASA-N 1 2 316.327 1.658 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cn(C)nc2C(F)(F)F)C1=O ZINC000281882680 408947079 /nfs/dbraw/zinc/94/70/79/408947079.db2.gz DFDUNYLCSNFMEA-NSHDSACASA-N 1 2 316.327 1.658 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1ccc([N+](=O)[O-])cc1C#N ZINC000286406496 408979237 /nfs/dbraw/zinc/97/92/37/408979237.db2.gz PZBIETQXLCTTGW-SWLSCSKDSA-N 1 2 316.361 1.766 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@H+](C)C[C@@H](C)C#N)cc1 ZINC000293160047 409059790 /nfs/dbraw/zinc/05/97/90/409059790.db2.gz RLACPHKZOXDBBG-HOCLYGCPSA-N 1 2 306.406 1.707 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@@H+](C)C[C@@H](C)C#N)cc1 ZINC000293160047 409059793 /nfs/dbraw/zinc/05/97/93/409059793.db2.gz RLACPHKZOXDBBG-HOCLYGCPSA-N 1 2 306.406 1.707 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@@H](CS(C)(=O)=O)C2)cc1C#N ZINC000293231524 409072568 /nfs/dbraw/zinc/07/25/68/409072568.db2.gz HHQKZKXIYKZNOM-CQSZACIVSA-N 1 2 322.430 1.823 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@@H](CS(C)(=O)=O)C2)cc1C#N ZINC000293231524 409072570 /nfs/dbraw/zinc/07/25/70/409072570.db2.gz HHQKZKXIYKZNOM-CQSZACIVSA-N 1 2 322.430 1.823 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(C(=O)C=Cc3c[nH]c[nH+]3)C2)nc1 ZINC000293640369 409151725 /nfs/dbraw/zinc/15/17/25/409151725.db2.gz GATMGDDVZZMRBH-PMUGQKEBSA-N 1 2 309.329 1.369 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(F)c(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000279549317 409235869 /nfs/dbraw/zinc/23/58/69/409235869.db2.gz XMOUQFWDRVORFT-NEPJUHHUSA-N 1 2 305.353 1.536 20 30 DDEDLO Cc1cnn(C)c1C[N@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000289314947 409247360 /nfs/dbraw/zinc/24/73/60/409247360.db2.gz SLKMGTOOUPNTKS-ZDUSSCGKSA-N 1 2 311.393 1.101 20 30 DDEDLO Cc1cnn(C)c1C[N@@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000289314947 409247363 /nfs/dbraw/zinc/24/73/63/409247363.db2.gz SLKMGTOOUPNTKS-ZDUSSCGKSA-N 1 2 311.393 1.101 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCCC[C@H]1c1nc(=O)[nH][nH]1 ZINC000289321480 409247968 /nfs/dbraw/zinc/24/79/68/409247968.db2.gz KBRBEBSMKJUPKS-ZDUSSCGKSA-N 1 2 313.361 1.705 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCCC[C@H]1c1nc(=O)[nH][nH]1 ZINC000289321480 409247970 /nfs/dbraw/zinc/24/79/70/409247970.db2.gz KBRBEBSMKJUPKS-ZDUSSCGKSA-N 1 2 313.361 1.705 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCC=C(c2cccnc2)C1)C1CC1 ZINC000289410026 409265379 /nfs/dbraw/zinc/26/53/79/409265379.db2.gz SXGMJYIUPOFHJB-SFHVURJKSA-N 1 2 310.401 1.979 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCC=C(c2cccnc2)C1)C1CC1 ZINC000289410026 409265383 /nfs/dbraw/zinc/26/53/83/409265383.db2.gz SXGMJYIUPOFHJB-SFHVURJKSA-N 1 2 310.401 1.979 20 30 DDEDLO C[C@H](C[N@@H+]1CCC[C@H](C)C1)NS(=O)(=O)c1cncc(C#N)c1 ZINC000289460991 409276118 /nfs/dbraw/zinc/27/61/18/409276118.db2.gz LZCCQIYJJLANEI-QWHCGFSZSA-N 1 2 322.434 1.352 20 30 DDEDLO C[C@H](C[N@H+]1CCC[C@H](C)C1)NS(=O)(=O)c1cncc(C#N)c1 ZINC000289460991 409276120 /nfs/dbraw/zinc/27/61/20/409276120.db2.gz LZCCQIYJJLANEI-QWHCGFSZSA-N 1 2 322.434 1.352 20 30 DDEDLO COc1ccc(C[N@@H+]2CCO[C@@]3(CCO[C@H]3C)C2)cc1C#N ZINC000280306838 409289146 /nfs/dbraw/zinc/28/91/46/409289146.db2.gz FVVGJCNYZQFNFQ-GUYCJALGSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C[N@H+]2CCO[C@@]3(CCO[C@H]3C)C2)cc1C#N ZINC000280306838 409289148 /nfs/dbraw/zinc/28/91/48/409289148.db2.gz FVVGJCNYZQFNFQ-GUYCJALGSA-N 1 2 302.374 1.947 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCOC[C@H]1CC(=O)c1cccs1 ZINC000294716952 409298158 /nfs/dbraw/zinc/29/81/58/409298158.db2.gz VMGQUSVEXRSFSX-CYBMUJFWSA-N 1 2 320.414 1.161 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCOC[C@H]1CC(=O)c1cccs1 ZINC000294716952 409298160 /nfs/dbraw/zinc/29/81/60/409298160.db2.gz VMGQUSVEXRSFSX-CYBMUJFWSA-N 1 2 320.414 1.161 20 30 DDEDLO CCOc1ccccc1NC(=O)[C@H](C)O[NH+]=C(N)[C@@H]1CCCO1 ZINC000284089162 409272820 /nfs/dbraw/zinc/27/28/20/409272820.db2.gz KUBRTBIQHJXKDS-FZMZJTMJSA-N 1 2 321.377 1.880 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@@H+]1CCOC[C@H](O)C1 ZINC000295789841 409371070 /nfs/dbraw/zinc/37/10/70/409371070.db2.gz ZLEIMHRHINRRJK-UONOGXRCSA-N 1 2 324.446 1.344 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@H+]1CCOC[C@H](O)C1 ZINC000295789841 409371078 /nfs/dbraw/zinc/37/10/78/409371078.db2.gz ZLEIMHRHINRRJK-UONOGXRCSA-N 1 2 324.446 1.344 20 30 DDEDLO CCCN(CC[NH+](C)C)C(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000344847033 164090804 /nfs/dbraw/zinc/09/08/04/164090804.db2.gz LPEYOMFEGBQOON-UHFFFAOYSA-N 1 2 322.434 1.795 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000374828313 164174088 /nfs/dbraw/zinc/17/40/88/164174088.db2.gz XADDJZSGUKJZLT-UHFFFAOYSA-N 1 2 319.430 1.558 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000374828313 164174089 /nfs/dbraw/zinc/17/40/89/164174089.db2.gz XADDJZSGUKJZLT-UHFFFAOYSA-N 1 2 319.430 1.558 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[N@@H+](C)[C@H](C)[C@@H]2C)c1C#N ZINC000408084687 164222359 /nfs/dbraw/zinc/22/23/59/164222359.db2.gz SIWCTZCYDITBEX-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[N@H+](C)[C@H](C)[C@@H]2C)c1C#N ZINC000408084687 164222363 /nfs/dbraw/zinc/22/23/63/164222363.db2.gz SIWCTZCYDITBEX-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)C(=O)C(C)(C)[NH+]1CCOCC1 ZINC000346146871 409820960 /nfs/dbraw/zinc/82/09/60/409820960.db2.gz FQYMEIQOIIQVJH-UHFFFAOYSA-N 1 2 301.390 1.627 20 30 DDEDLO N#CC1(F)CCN(C(=O)NCc2ccn3cc[nH+]c3c2)CC1 ZINC000354349672 409784950 /nfs/dbraw/zinc/78/49/50/409784950.db2.gz ZCDKFUUHKLHZNA-UHFFFAOYSA-N 1 2 301.325 1.872 20 30 DDEDLO CCC(CC)([NH2+]C[C@@H](O)COc1ccc(C#N)cc1)C(=O)OC ZINC000338343323 409927039 /nfs/dbraw/zinc/92/70/39/409927039.db2.gz BDPYJFWZWCJYLR-CQSZACIVSA-N 1 2 320.389 1.619 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@H]1CCC(=O)N(C)C1)CCC2 ZINC000328661546 409949980 /nfs/dbraw/zinc/94/99/80/409949980.db2.gz WULJYBYQWQZULQ-STQMWFEESA-N 1 2 319.409 1.193 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)Nc1nncs1)C1CCOCC1 ZINC000328941208 410016773 /nfs/dbraw/zinc/01/67/73/410016773.db2.gz HZBFHQBFEMTVPX-SNVBAGLBSA-N 1 2 322.394 1.765 20 30 DDEDLO CC[N@@H+]1CCOC[C@@H]1C(=O)N[C@H](C)Cc1c(C)nn(C)c1C ZINC000328972450 410031083 /nfs/dbraw/zinc/03/10/83/410031083.db2.gz BVCFSHQMNMYWCJ-IAQYHMDHSA-N 1 2 308.426 1.645 20 30 DDEDLO CC[N@H+]1CCOC[C@@H]1C(=O)N[C@H](C)Cc1c(C)nn(C)c1C ZINC000328972450 410031088 /nfs/dbraw/zinc/03/10/88/410031088.db2.gz BVCFSHQMNMYWCJ-IAQYHMDHSA-N 1 2 308.426 1.645 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+]1CC[C@](F)(CO)C1 ZINC000338626329 410033645 /nfs/dbraw/zinc/03/36/45/410033645.db2.gz NGTVXBNGUOYGTA-WMLDXEAASA-N 1 2 319.380 1.728 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@H+]1CC[C@](F)(CO)C1 ZINC000338626329 410033650 /nfs/dbraw/zinc/03/36/50/410033650.db2.gz NGTVXBNGUOYGTA-WMLDXEAASA-N 1 2 319.380 1.728 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+]1CC[C@@](F)(CO)C1 ZINC000338626320 410034099 /nfs/dbraw/zinc/03/40/99/410034099.db2.gz NGTVXBNGUOYGTA-PBHICJAKSA-N 1 2 319.380 1.728 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@H+]1CC[C@@](F)(CO)C1 ZINC000338626320 410034104 /nfs/dbraw/zinc/03/41/04/410034104.db2.gz NGTVXBNGUOYGTA-PBHICJAKSA-N 1 2 319.380 1.728 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)N1CCSC[C@H]1C#N ZINC000328980331 410035150 /nfs/dbraw/zinc/03/51/50/410035150.db2.gz RKEUYAAZHCZFPN-MGPQQGTHSA-N 1 2 316.430 1.621 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCC[C@@H]3CCCCO3)C[C@@H]21 ZINC000328894902 410001237 /nfs/dbraw/zinc/00/12/37/410001237.db2.gz UZHSKSMDNPDFEP-SOUVJXGZSA-N 1 2 311.426 1.265 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCC[C@@H]3CCCCO3)C[C@@H]21 ZINC000328894902 410001248 /nfs/dbraw/zinc/00/12/48/410001248.db2.gz UZHSKSMDNPDFEP-SOUVJXGZSA-N 1 2 311.426 1.265 20 30 DDEDLO CC#CCN(C)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000339127785 410062290 /nfs/dbraw/zinc/06/22/90/410062290.db2.gz XJWKOSFFXUMWJT-UHFFFAOYSA-N 1 2 303.387 1.575 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1C[C@@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000351565767 410091009 /nfs/dbraw/zinc/09/10/09/410091009.db2.gz XZUHCQUOMORZKB-CABCVRRESA-N 1 2 308.401 1.805 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1C[C@@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000351565767 410091016 /nfs/dbraw/zinc/09/10/16/410091016.db2.gz XZUHCQUOMORZKB-CABCVRRESA-N 1 2 308.401 1.805 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)N[C@H]1CCSC1)C1CCOCC1 ZINC000329092012 410098153 /nfs/dbraw/zinc/09/81/53/410098153.db2.gz WZWKWIRDLXGXJV-QWHCGFSZSA-N 1 2 324.450 1.897 20 30 DDEDLO C[C@H]1C[N@H+](CCSc2nc(N)c(C#N)cc2C#N)CCO1 ZINC000298465305 410241697 /nfs/dbraw/zinc/24/16/97/410241697.db2.gz TWDABJQPSWLGDY-JTQLQIEISA-N 1 2 303.391 1.220 20 30 DDEDLO C[C@H]1C[N@@H+](CCSc2nc(N)c(C#N)cc2C#N)CCO1 ZINC000298465305 410241706 /nfs/dbraw/zinc/24/17/06/410241706.db2.gz TWDABJQPSWLGDY-JTQLQIEISA-N 1 2 303.391 1.220 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)N[C@@H]1CCO[C@@]2(CCSC2)C1 ZINC000329370918 410263092 /nfs/dbraw/zinc/26/30/92/410263092.db2.gz JXGIRCOEFNKUGY-DOMZBBRYSA-N 1 2 324.450 1.821 20 30 DDEDLO CC[C@@H](CC#N)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000358062595 410351885 /nfs/dbraw/zinc/35/18/85/410351885.db2.gz IHRBRZZZIQJDMI-HNNXBMFYSA-N 1 2 301.390 1.941 20 30 DDEDLO N#CCc1ccc(NC(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)cc1 ZINC000355246419 410396891 /nfs/dbraw/zinc/39/68/91/410396891.db2.gz HEUDCEUWNPMQBS-UHFFFAOYSA-N 1 2 324.388 1.825 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)C[C@@H]1NC(=O)C1(S(C)(=O)=O)CCCC1 ZINC000329852796 410463293 /nfs/dbraw/zinc/46/32/93/410463293.db2.gz UZTKLSKNGZFZPQ-YPMHNXCESA-N 1 2 314.451 1.783 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)C1(S(C)(=O)=O)CCCC1 ZINC000329852796 410463297 /nfs/dbraw/zinc/46/32/97/410463297.db2.gz UZTKLSKNGZFZPQ-YPMHNXCESA-N 1 2 314.451 1.783 20 30 DDEDLO COCCC(=O)N1CC[NH+](CCOc2cccc(C#N)c2)CC1 ZINC000355667400 410634367 /nfs/dbraw/zinc/63/43/67/410634367.db2.gz YPRQJJDPSWKHBM-UHFFFAOYSA-N 1 2 317.389 1.118 20 30 DDEDLO N#Cc1csc(C[NH2+][C@@]2(CO)CCOc3ccccc32)n1 ZINC000352863436 410685718 /nfs/dbraw/zinc/68/57/18/410685718.db2.gz UWDZPXKCVCSUDO-OAHLLOKOSA-N 1 2 301.371 1.775 20 30 DDEDLO CC[C@]1(C)COCC[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000330543340 410762116 /nfs/dbraw/zinc/76/21/16/410762116.db2.gz YWZCKXCNEKHABF-QGZVFWFLSA-N 1 2 301.390 1.675 20 30 DDEDLO CC[C@]1(C)COCC[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000330543340 410762122 /nfs/dbraw/zinc/76/21/22/410762122.db2.gz YWZCKXCNEKHABF-QGZVFWFLSA-N 1 2 301.390 1.675 20 30 DDEDLO CC[N@@H+](CC(=O)NC[C@H]1Cc2ccccc2O1)C[C@@H](C)C#N ZINC000353286330 410903282 /nfs/dbraw/zinc/90/32/82/410903282.db2.gz LERYTENVSXZGLS-DZGCQCFKSA-N 1 2 301.390 1.588 20 30 DDEDLO CC[N@H+](CC(=O)NC[C@H]1Cc2ccccc2O1)C[C@@H](C)C#N ZINC000353286330 410903286 /nfs/dbraw/zinc/90/32/86/410903286.db2.gz LERYTENVSXZGLS-DZGCQCFKSA-N 1 2 301.390 1.588 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cnc(C)c(C#N)c1 ZINC000451927055 287191033 /nfs/dbraw/zinc/19/10/33/287191033.db2.gz AENZNFAENGGZNZ-CQSZACIVSA-N 1 2 322.434 1.367 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cnc(C)c(C#N)c1 ZINC000451927055 287191036 /nfs/dbraw/zinc/19/10/36/287191036.db2.gz AENZNFAENGGZNZ-CQSZACIVSA-N 1 2 322.434 1.367 20 30 DDEDLO C[C@H](c1nnnn1C)[N@H+](C)CCOCc1ccc(C#N)cc1 ZINC000344074354 410937987 /nfs/dbraw/zinc/93/79/87/410937987.db2.gz QHMWHLUXZZCPBD-GFCCVEGCSA-N 1 2 300.366 1.291 20 30 DDEDLO C[C@H](c1nnnn1C)[N@@H+](C)CCOCc1ccc(C#N)cc1 ZINC000344074354 410937990 /nfs/dbraw/zinc/93/79/90/410937990.db2.gz QHMWHLUXZZCPBD-GFCCVEGCSA-N 1 2 300.366 1.291 20 30 DDEDLO CO[C@@H]1C[NH+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C[C@H]1OC ZINC000331106740 410952290 /nfs/dbraw/zinc/95/22/90/410952290.db2.gz IXXIAPIVKKVMGQ-LERXQTSPSA-N 1 2 321.377 1.441 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000331257911 411046464 /nfs/dbraw/zinc/04/64/64/411046464.db2.gz DSDKEKKQVHHXNF-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)CNc1cccc(C#N)c1)C1CC1 ZINC000341713974 411049591 /nfs/dbraw/zinc/04/95/91/411049591.db2.gz PZHNUBSLGZAQLY-MRXNPFEDSA-N 1 2 309.373 1.971 20 30 DDEDLO Cc1cc(C#N)nc(NCCNc2ccc3ccccc3[nH+]2)n1 ZINC000341828889 411068251 /nfs/dbraw/zinc/06/82/51/411068251.db2.gz UFJUXIJMVYFARS-UHFFFAOYSA-N 1 2 304.357 1.573 20 30 DDEDLO C[C@@H](C(=O)N1CC[C@@H]([NH+]2CCOCC2)C1)c1cccc(C#N)c1 ZINC000360327317 411127049 /nfs/dbraw/zinc/12/70/49/411127049.db2.gz KUAXMTCQXQOYTK-RHSMWYFYSA-N 1 2 313.401 1.595 20 30 DDEDLO Cc1cc(C)c(OCC(=O)N[C@](C)(C#N)C[NH+](C)C)c(C)c1 ZINC000580060559 422879754 /nfs/dbraw/zinc/87/97/54/422879754.db2.gz QTVASKFBYQQTAS-QGZVFWFLSA-N 1 2 303.406 1.951 20 30 DDEDLO COC(=O)c1ccccc1CCC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000580453058 422934910 /nfs/dbraw/zinc/93/49/10/422934910.db2.gz MJSKXNQHJJGTCN-QGZVFWFLSA-N 1 2 317.389 1.366 20 30 DDEDLO Cc1nc(N2CCN(c3ccc(C#N)cc3F)CC2)nc(N)[nH+]1 ZINC000601110896 416621552 /nfs/dbraw/zinc/62/15/52/416621552.db2.gz KHOCFPBWUAVZBO-UHFFFAOYSA-N 1 2 313.340 1.100 20 30 DDEDLO Cc1nc(N)nc(N2CCN(c3ccc(C#N)cc3F)CC2)[nH+]1 ZINC000601110896 416621558 /nfs/dbraw/zinc/62/15/58/416621558.db2.gz KHOCFPBWUAVZBO-UHFFFAOYSA-N 1 2 313.340 1.100 20 30 DDEDLO CC[C@@](C)(C(=O)OC)[N@@H+](CC(=O)NC1(C#N)CCC1)CC1CC1 ZINC000425511270 287383032 /nfs/dbraw/zinc/38/30/32/287383032.db2.gz LHKSPIDVMKJUJQ-INIZCTEOSA-N 1 2 321.421 1.603 20 30 DDEDLO CC[C@@](C)(C(=O)OC)[N@H+](CC(=O)NC1(C#N)CCC1)CC1CC1 ZINC000425511270 287383033 /nfs/dbraw/zinc/38/30/33/287383033.db2.gz LHKSPIDVMKJUJQ-INIZCTEOSA-N 1 2 321.421 1.603 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000366149900 418433637 /nfs/dbraw/zinc/43/36/37/418433637.db2.gz FSQDPBRUWULXNZ-BPUTZDHNSA-N 1 2 323.437 1.130 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000366149900 418433638 /nfs/dbraw/zinc/43/36/38/418433638.db2.gz FSQDPBRUWULXNZ-BPUTZDHNSA-N 1 2 323.437 1.130 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2C[C@H](O)C3(CC3)C2)c(C#N)c1C ZINC000373511840 418434060 /nfs/dbraw/zinc/43/40/60/418434060.db2.gz CTDVVVIMRSNYSG-LBPRGKRZSA-N 1 2 305.403 1.632 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2C[C@H](O)C3(CC3)C2)c(C#N)c1C ZINC000373511840 418434061 /nfs/dbraw/zinc/43/40/61/418434061.db2.gz CTDVVVIMRSNYSG-LBPRGKRZSA-N 1 2 305.403 1.632 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCCC[C@@H]2CCS(C)(=O)=O)C1=O ZINC000366426257 418472175 /nfs/dbraw/zinc/47/21/75/418472175.db2.gz YTTAQORCULJQQP-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCCC[C@@H]2CCS(C)(=O)=O)C1=O ZINC000366426257 418472177 /nfs/dbraw/zinc/47/21/77/418472177.db2.gz YTTAQORCULJQQP-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CCn2cc(C)[nH+]c2C1 ZINC000366713288 418507355 /nfs/dbraw/zinc/50/73/55/418507355.db2.gz ZERIUBYWJCZWIW-HIFRSBDPSA-N 1 2 304.394 1.698 20 30 DDEDLO CCN1CC[NH+]([C@H]2CCN(C(=O)NCC3(CC#N)CC3)C2)CC1 ZINC000366773574 418516954 /nfs/dbraw/zinc/51/69/54/418516954.db2.gz VJFODIVCUJUKDB-HNNXBMFYSA-N 1 2 319.453 1.102 20 30 DDEDLO CN(C)c1noc([C@@H]2CCC[N@H+](C[C@H](C#N)CCC#N)C2)n1 ZINC000374635197 418548741 /nfs/dbraw/zinc/54/87/41/418548741.db2.gz GQWIGJZYEFTAKY-QWHCGFSZSA-N 1 2 302.382 1.759 20 30 DDEDLO CN(C)c1noc([C@@H]2CCC[N@@H+](C[C@H](C#N)CCC#N)C2)n1 ZINC000374635197 418548743 /nfs/dbraw/zinc/54/87/43/418548743.db2.gz GQWIGJZYEFTAKY-QWHCGFSZSA-N 1 2 302.382 1.759 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N[C@H](C)c1ccc(OCC)c(OC)c1 ZINC000192230652 222124035 /nfs/dbraw/zinc/12/40/35/222124035.db2.gz SXYBTYXRFGDXLU-CYBMUJFWSA-N 1 2 304.390 1.836 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N[C@H](C)c1ccc(OCC)c(OC)c1 ZINC000192230652 222124038 /nfs/dbraw/zinc/12/40/38/222124038.db2.gz SXYBTYXRFGDXLU-CYBMUJFWSA-N 1 2 304.390 1.836 20 30 DDEDLO C=CCOC[C@H](NCc1ccc(-n2cc[nH+]c2)cc1)C(=O)OC ZINC000361111967 418585195 /nfs/dbraw/zinc/58/51/95/418585195.db2.gz VSFFAIYZXKWWFB-INIZCTEOSA-N 1 2 315.373 1.706 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(OC)c(C#N)c2)C1 ZINC000361191336 418601659 /nfs/dbraw/zinc/60/16/59/418601659.db2.gz ZZARAJHMIAEKBD-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(OC)c(C#N)c2)C1 ZINC000361191336 418601661 /nfs/dbraw/zinc/60/16/61/418601661.db2.gz ZZARAJHMIAEKBD-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2c[nH+]c3n2CCCC3)s1 ZINC000375114883 418607282 /nfs/dbraw/zinc/60/72/82/418607282.db2.gz SGZDFGRJBBWXIZ-UHFFFAOYSA-N 1 2 322.415 1.631 20 30 DDEDLO CC[C@H](C)n1nc(NC(=O)NCC[NH+]2CCOCC2)cc1C ZINC000330683378 418611667 /nfs/dbraw/zinc/61/16/67/418611667.db2.gz IQCUHSDVLMKGGB-LBPRGKRZSA-N 1 2 309.414 1.821 20 30 DDEDLO Cn1cccc1C(=O)NC1CC[NH+](C[C@@H](C#N)CCC#N)CC1 ZINC000377484726 418710343 /nfs/dbraw/zinc/71/03/43/418710343.db2.gz HNMMNNQUDJDZIO-CQSZACIVSA-N 1 2 313.405 1.663 20 30 DDEDLO C[C@H]1OCC[C@]12C[N@H+](CC(=O)NC1(C#N)CCCCC1)CCO2 ZINC000369406774 418733370 /nfs/dbraw/zinc/73/33/70/418733370.db2.gz CTOBTMYOUBVXIE-PBHICJAKSA-N 1 2 321.421 1.209 20 30 DDEDLO C[C@H]1OCC[C@]12C[N@@H+](CC(=O)NC1(C#N)CCCCC1)CCO2 ZINC000369406774 418733372 /nfs/dbraw/zinc/73/33/72/418733372.db2.gz CTOBTMYOUBVXIE-PBHICJAKSA-N 1 2 321.421 1.209 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CC[C@@H]([N@H+](C)Cc2ncccn2)C1 ZINC000369639234 418736137 /nfs/dbraw/zinc/73/61/37/418736137.db2.gz OAELVCJRGBZDHE-ZIAGYGMSSA-N 1 2 301.394 1.104 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000369639234 418736139 /nfs/dbraw/zinc/73/61/39/418736139.db2.gz OAELVCJRGBZDHE-ZIAGYGMSSA-N 1 2 301.394 1.104 20 30 DDEDLO C=CCCS(=O)(=O)N[C@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000370679179 418746858 /nfs/dbraw/zinc/74/68/58/418746858.db2.gz LYUFADHHZWAAAU-UONOGXRCSA-N 1 2 302.440 1.125 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCO[C@H](c2ccc(C)cc2C)C1 ZINC000363169301 418762929 /nfs/dbraw/zinc/76/29/29/418762929.db2.gz OBMWYXQNKYLGLL-RDJZCZTQSA-N 1 2 300.402 1.815 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCO[C@H](c2ccc(C)cc2C)C1 ZINC000363169301 418762931 /nfs/dbraw/zinc/76/29/31/418762931.db2.gz OBMWYXQNKYLGLL-RDJZCZTQSA-N 1 2 300.402 1.815 20 30 DDEDLO Cc1cn2c([nH+]1)CN([C@@H](C)C(=O)NC1(C#N)CCCCC1)CC2 ZINC000363712595 418769517 /nfs/dbraw/zinc/76/95/17/418769517.db2.gz LVDBQXKSQKZCTK-AWEZNQCLSA-N 1 2 315.421 1.738 20 30 DDEDLO Cc1nsc(NC(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)c1C#N ZINC000297417348 227139337 /nfs/dbraw/zinc/13/93/37/227139337.db2.gz LZOHYYAPRODVAL-MWLCHTKSSA-N 1 2 323.422 1.554 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000371443394 418791785 /nfs/dbraw/zinc/79/17/85/418791785.db2.gz PXBLQCVXGBXWOR-GFCCVEGCSA-N 1 2 309.325 1.164 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000371443394 418791787 /nfs/dbraw/zinc/79/17/87/418791787.db2.gz PXBLQCVXGBXWOR-GFCCVEGCSA-N 1 2 309.325 1.164 20 30 DDEDLO C[NH+]1CCN(C[C@H]2CCCN(C(=O)C3(C#N)CCC3)C2)CC1 ZINC000365417986 418859325 /nfs/dbraw/zinc/85/93/25/418859325.db2.gz JWBFLZRTACDJOI-OAHLLOKOSA-N 1 2 304.438 1.166 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000411181752 418876942 /nfs/dbraw/zinc/87/69/42/418876942.db2.gz PIMUBVGRVPIPCL-PBHICJAKSA-N 1 2 324.469 1.668 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000411181752 418876944 /nfs/dbraw/zinc/87/69/44/418876944.db2.gz PIMUBVGRVPIPCL-PBHICJAKSA-N 1 2 324.469 1.668 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)Cc1cccc(C#N)c1 ZINC000431591900 229043466 /nfs/dbraw/zinc/04/34/66/229043466.db2.gz JABYRSGFDSAJNS-UHFFFAOYSA-N 1 2 317.370 1.581 20 30 DDEDLO Cn1c[nH+]c2cc(NS(=O)(=O)c3ccc(C#N)nc3)ccc21 ZINC000435191456 229362212 /nfs/dbraw/zinc/36/22/12/229362212.db2.gz MHDCBTHFMVQUCQ-UHFFFAOYSA-N 1 2 313.342 1.641 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[C@H](C)[S@@](=O)CC1 ZINC000429592569 420028776 /nfs/dbraw/zinc/02/87/76/420028776.db2.gz QMCARIRIPHMTAV-XMHCIUCPSA-N 1 2 322.430 1.789 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[C@H](C)[S@@](=O)CC1 ZINC000429592569 420028780 /nfs/dbraw/zinc/02/87/80/420028780.db2.gz QMCARIRIPHMTAV-XMHCIUCPSA-N 1 2 322.430 1.789 20 30 DDEDLO CCC[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)[C@@H](C)C(=O)OCC ZINC000429723980 420041162 /nfs/dbraw/zinc/04/11/62/420041162.db2.gz ORBMYGBYVTXJKY-BLLLJJGKSA-N 1 2 309.410 1.458 20 30 DDEDLO CCC[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)[C@@H](C)C(=O)OCC ZINC000429723980 420041165 /nfs/dbraw/zinc/04/11/65/420041165.db2.gz ORBMYGBYVTXJKY-BLLLJJGKSA-N 1 2 309.410 1.458 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@H](C)[N@@H+]2CCc3ccccc3C2)c1C#N ZINC000431681454 420239221 /nfs/dbraw/zinc/23/92/21/420239221.db2.gz MFSZUVVWYNKUMG-LBPRGKRZSA-N 1 2 309.373 1.975 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@H](C)[N@H+]2CCc3ccccc3C2)c1C#N ZINC000431681454 420239226 /nfs/dbraw/zinc/23/92/26/420239226.db2.gz MFSZUVVWYNKUMG-LBPRGKRZSA-N 1 2 309.373 1.975 20 30 DDEDLO Cc1cc(N2CC[NH+]([C@H](C)C(=O)NC3CC3)CC2)c(C#N)cn1 ZINC000425208297 420327850 /nfs/dbraw/zinc/32/78/50/420327850.db2.gz MTDYYZALQKIGMQ-CYBMUJFWSA-N 1 2 313.405 1.051 20 30 DDEDLO Cc1cc(N2CCC(CNS(C)(=O)=O)CC2)c(C#N)c[nH+]1 ZINC000425256895 420330975 /nfs/dbraw/zinc/33/09/75/420330975.db2.gz SEYSPRJSXSFZBX-UHFFFAOYSA-N 1 2 308.407 1.027 20 30 DDEDLO CC(C)[C@@H](C(=O)NCC1(C#N)CCC1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000416352543 420342333 /nfs/dbraw/zinc/34/23/33/420342333.db2.gz CXHOCTYVHZAXDD-ZNMIVQPWSA-N 1 2 307.438 1.930 20 30 DDEDLO CC(C)[C@@H](C(=O)NCC1(C#N)CCC1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000416352543 420342335 /nfs/dbraw/zinc/34/23/35/420342335.db2.gz CXHOCTYVHZAXDD-ZNMIVQPWSA-N 1 2 307.438 1.930 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC[C@@H](n3ccnn3)C2)cc1 ZINC000425521413 420408288 /nfs/dbraw/zinc/40/82/88/420408288.db2.gz ZUGIWUUFFPUUTD-CABCVRRESA-N 1 2 310.361 1.013 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC[C@@H](n3ccnn3)C2)cc1 ZINC000425521413 420408292 /nfs/dbraw/zinc/40/82/92/420408292.db2.gz ZUGIWUUFFPUUTD-CABCVRRESA-N 1 2 310.361 1.013 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCC[C@@H](O)[C@H]2C(=O)OC)cc1 ZINC000438552450 420459355 /nfs/dbraw/zinc/45/93/55/420459355.db2.gz IBVMFYMDFSXRLC-CVEARBPZSA-N 1 2 305.374 1.750 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCC[C@@H](O)[C@H]2C(=O)OC)cc1 ZINC000438552450 420459358 /nfs/dbraw/zinc/45/93/58/420459358.db2.gz IBVMFYMDFSXRLC-CVEARBPZSA-N 1 2 305.374 1.750 20 30 DDEDLO C=CCC[C@H](CO)Nc1nc2cc(OC)c(OC)cc2c(N)[nH+]1 ZINC000450893717 420553065 /nfs/dbraw/zinc/55/30/65/420553065.db2.gz HVNKZICIDXJQGU-SNVBAGLBSA-N 1 2 318.377 1.390 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)C=Cc3c[nH]c[nH+]3)CC2)nc1 ZINC000492816244 420674099 /nfs/dbraw/zinc/67/40/99/420674099.db2.gz ZRJOMUMFAAWVFA-RQOWECAXSA-N 1 2 308.345 1.038 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)C=Cc2c[nH]c[nH+]2)c1 ZINC000492813055 420672887 /nfs/dbraw/zinc/67/28/87/420672887.db2.gz OMNSELVSYDOEAT-BQYQJAHWSA-N 1 2 308.341 1.501 20 30 DDEDLO C=C(CC)CNC(=O)N1CC[C@@H]([N@H+](C)Cc2ncccn2)C1 ZINC000459110134 420807920 /nfs/dbraw/zinc/80/79/20/420807920.db2.gz ZYAAFTYHJVKUHC-CQSZACIVSA-N 1 2 303.410 1.659 20 30 DDEDLO C=C(CC)CNC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000459110134 420807923 /nfs/dbraw/zinc/80/79/23/420807923.db2.gz ZYAAFTYHJVKUHC-CQSZACIVSA-N 1 2 303.410 1.659 20 30 DDEDLO C[C@@H]([NH2+][C@H](C)c1ccn(C)n1)C(=O)NC1(C#N)CCCCC1 ZINC000459779454 420870287 /nfs/dbraw/zinc/87/02/87/420870287.db2.gz FXEMRRZITBUATQ-CHWSQXEVSA-N 1 2 303.410 1.802 20 30 DDEDLO CCn1cc[nH+]c1CNC(=O)NCCOc1ccc(C#N)cc1 ZINC000454569108 420884454 /nfs/dbraw/zinc/88/44/54/420884454.db2.gz RUUCQBKTBZNLFV-UHFFFAOYSA-N 1 2 313.361 1.653 20 30 DDEDLO C=CCC[C@H]([NH2+]C[C@@H]1CCO[C@H]1c1ccnn1C)C(=O)OCC ZINC000449660009 421051023 /nfs/dbraw/zinc/05/10/23/421051023.db2.gz WYLJUXNBKBDKFX-OFQRWUPVSA-N 1 2 321.421 1.985 20 30 DDEDLO COC(=O)c1n[nH]c2c1C[N@H+](Cc1ccc(C#N)c(C)c1)CC2 ZINC000449566312 421027642 /nfs/dbraw/zinc/02/76/42/421027642.db2.gz NTZQJDQXBUHKCP-UHFFFAOYSA-N 1 2 310.357 1.935 20 30 DDEDLO COC(=O)c1n[nH]c2c1C[N@@H+](Cc1ccc(C#N)c(C)c1)CC2 ZINC000449566312 421027646 /nfs/dbraw/zinc/02/76/46/421027646.db2.gz NTZQJDQXBUHKCP-UHFFFAOYSA-N 1 2 310.357 1.935 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCC[C@H](OC(=O)N(C)C)C1 ZINC000495910595 421090264 /nfs/dbraw/zinc/09/02/64/421090264.db2.gz BJWCVMMTLXKOLG-OLZOCXBDSA-N 1 2 322.409 1.100 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@H](OC(=O)N(C)C)C1 ZINC000495910595 421090267 /nfs/dbraw/zinc/09/02/67/421090267.db2.gz BJWCVMMTLXKOLG-OLZOCXBDSA-N 1 2 322.409 1.100 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000488881815 421123395 /nfs/dbraw/zinc/12/33/95/421123395.db2.gz UEZCUWUMQZQJEQ-UHFFFAOYSA-N 1 2 313.405 1.366 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)c1 ZINC000455981128 421082971 /nfs/dbraw/zinc/08/29/71/421082971.db2.gz GUEAOSPGCJIRBI-UHFFFAOYSA-N 1 2 324.388 1.940 20 30 DDEDLO CS(=O)(=O)C[C@H]([NH2+]Cc1ccc(C#N)cn1)c1ccccc1 ZINC000559793328 421226787 /nfs/dbraw/zinc/22/67/87/421226787.db2.gz MUMQVTGZCVKEEC-INIZCTEOSA-N 1 2 315.398 1.829 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000491671922 421201238 /nfs/dbraw/zinc/20/12/38/421201238.db2.gz QZXVLDZQUWIYFN-GJZGRUSLSA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000491671922 421201239 /nfs/dbraw/zinc/20/12/39/421201239.db2.gz QZXVLDZQUWIYFN-GJZGRUSLSA-N 1 2 308.422 1.968 20 30 DDEDLO N#Cc1cc(NC[C@@H](c2cccs2)[NH+]2CCOCC2)ncn1 ZINC000526137833 421334812 /nfs/dbraw/zinc/33/48/12/421334812.db2.gz AYJGFEZNPYULAH-ZDUSSCGKSA-N 1 2 315.402 1.895 20 30 DDEDLO C=CCSCCNC(=O)N(C)[C@H](C)C[NH+]1CCOCC1 ZINC000562422328 421364532 /nfs/dbraw/zinc/36/45/32/421364532.db2.gz DOPVTGZBYDIRPB-CYBMUJFWSA-N 1 2 301.456 1.268 20 30 DDEDLO Cc1cc(N2CCN(C(=O)[C@H]3CCCO3)CC2)c(C#N)c[nH+]1 ZINC000517130054 421595614 /nfs/dbraw/zinc/59/56/14/421595614.db2.gz LRSPGFPDFFJDRM-OAHLLOKOSA-N 1 2 300.362 1.089 20 30 DDEDLO N#C[C@@H](CO)NC(=O)[C@@H]1CCC[N@@H+]1Cc1ccc(Cl)cc1 ZINC000529470213 421537797 /nfs/dbraw/zinc/53/77/97/421537797.db2.gz VIPGYDGTTTUERX-KBPBESRZSA-N 1 2 307.781 1.305 20 30 DDEDLO N#C[C@@H](CO)NC(=O)[C@@H]1CCC[N@H+]1Cc1ccc(Cl)cc1 ZINC000529470213 421537800 /nfs/dbraw/zinc/53/78/00/421537800.db2.gz VIPGYDGTTTUERX-KBPBESRZSA-N 1 2 307.781 1.305 20 30 DDEDLO N#CC1(CNC(=O)c2ccc(C[NH+]3CCOCC3)cn2)CCC1 ZINC000566550769 421606511 /nfs/dbraw/zinc/60/65/11/421606511.db2.gz PCJIOUJBQTYISM-UHFFFAOYSA-N 1 2 314.389 1.337 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NCc1[nH]c(C)c(C)[nH+]1 ZINC000570699173 421663246 /nfs/dbraw/zinc/66/32/46/421663246.db2.gz REBCKVSNJPRWQB-UHFFFAOYSA-N 1 2 307.361 2.399 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2cc(N)c([N+](=O)[O-])c(C#N)c2)CCO1 ZINC000557569645 421777524 /nfs/dbraw/zinc/77/75/24/421777524.db2.gz ILCCZWDJKIUCLN-JTQLQIEISA-N 1 2 305.338 1.181 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2cc(N)c([N+](=O)[O-])c(C#N)c2)CCO1 ZINC000557569645 421777529 /nfs/dbraw/zinc/77/75/29/421777529.db2.gz ILCCZWDJKIUCLN-JTQLQIEISA-N 1 2 305.338 1.181 20 30 DDEDLO N#Cc1cnn2cc(C[NH+]3CCN(c4ccccc4)CC3)cnc12 ZINC000573803071 422018675 /nfs/dbraw/zinc/01/86/75/422018675.db2.gz FMXICZUHSXPVMP-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO C[C@@H]1C[C@]2(CCO1)C[N@@H+](CC(=O)NC1(C#N)CCC1)C[C@H](C)O2 ZINC000574264732 422083673 /nfs/dbraw/zinc/08/36/73/422083673.db2.gz JQTXDVNCJKEFAI-JKIFEVAISA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@@H]1C[C@]2(CCO1)C[N@H+](CC(=O)NC1(C#N)CCC1)C[C@H](C)O2 ZINC000574264732 422083679 /nfs/dbraw/zinc/08/36/79/422083679.db2.gz JQTXDVNCJKEFAI-JKIFEVAISA-N 1 2 321.421 1.207 20 30 DDEDLO O=C(NCC#Cc1cccc(F)c1)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000584184550 422279372 /nfs/dbraw/zinc/27/93/72/422279372.db2.gz KVKVUEVVTTUFAA-OAHLLOKOSA-N 1 2 312.348 1.688 20 30 DDEDLO C=CCc1ccc(OC[C@H](O)C[NH2+]Cc2ccn[nH]2)c(OC)c1 ZINC000575248149 422281655 /nfs/dbraw/zinc/28/16/55/422281655.db2.gz BQOZJDKEAMARGP-OAHLLOKOSA-N 1 2 317.389 1.676 20 30 DDEDLO Cc1c(Cl)cnc(NCC[N@H+]2C[C@@H](C)OC[C@H]2C)c1C#N ZINC000596433315 422375166 /nfs/dbraw/zinc/37/51/66/422375166.db2.gz UBUXGELQWFMUMW-GHMZBOCLSA-N 1 2 308.813 2.436 20 30 DDEDLO Cc1c(Cl)cnc(NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)c1C#N ZINC000596433315 422375170 /nfs/dbraw/zinc/37/51/70/422375170.db2.gz UBUXGELQWFMUMW-GHMZBOCLSA-N 1 2 308.813 2.436 20 30 DDEDLO Cc1cc(N2CCN(c3cc(C#N)ncn3)CC2)nc(C(C)C)[nH+]1 ZINC000527379218 269914803 /nfs/dbraw/zinc/91/48/03/269914803.db2.gz KUMKEJJTCZCJOX-UHFFFAOYSA-N 1 2 323.404 1.897 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000636111018 422650469 /nfs/dbraw/zinc/65/04/69/422650469.db2.gz IUCDSSLLHFFWLJ-WBVHZDCISA-N 1 2 316.401 1.160 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000636111018 422650473 /nfs/dbraw/zinc/65/04/73/422650473.db2.gz IUCDSSLLHFFWLJ-WBVHZDCISA-N 1 2 316.401 1.160 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(CC)C2CCCCC2)nn1 ZINC000640771478 423176539 /nfs/dbraw/zinc/17/65/39/423176539.db2.gz HTLYZHPSRYXVIW-UHFFFAOYSA-N 1 2 317.437 1.572 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccc(Cl)cn2)nn1 ZINC000640786046 423181609 /nfs/dbraw/zinc/18/16/09/423181609.db2.gz CIHSLPXKOZYHIN-UHFFFAOYSA-N 1 2 318.768 1.078 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1cccc(F)c1C#N)[NH+]1CCOCC1 ZINC000186399262 263399068 /nfs/dbraw/zinc/39/90/68/263399068.db2.gz XYSMLPZQELHJLI-INIZCTEOSA-N 1 2 319.380 1.784 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)NCc2cccc(C)c2)nn1 ZINC000641040818 423344325 /nfs/dbraw/zinc/34/43/25/423344325.db2.gz VLZOCZUOVRULGP-UHFFFAOYSA-N 1 2 311.389 1.016 20 30 DDEDLO C=CCCn1cc(CN2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)nn1 ZINC000653672178 423572847 /nfs/dbraw/zinc/57/28/47/423572847.db2.gz FZEUBINTFCFXQP-GOEBONIOSA-N 1 2 305.426 1.149 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@H](N3CCOCC3)C[C@@H]2C)nn1 ZINC000653672178 423572852 /nfs/dbraw/zinc/57/28/52/423572852.db2.gz FZEUBINTFCFXQP-GOEBONIOSA-N 1 2 305.426 1.149 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@H](N3CCOCC3)C[C@@H]2C)nn1 ZINC000653672178 423572858 /nfs/dbraw/zinc/57/28/58/423572858.db2.gz FZEUBINTFCFXQP-GOEBONIOSA-N 1 2 305.426 1.149 20 30 DDEDLO C=CCN(CCOC)c1nc2c(cnn2C(C)(C)C)c(N)[nH+]1 ZINC000663839865 424216937 /nfs/dbraw/zinc/21/69/37/424216937.db2.gz SHBKETPACAFSCZ-UHFFFAOYSA-N 1 2 304.398 1.802 20 30 DDEDLO C=CCN(C(=O)NCC[N@@H+]1CCOCC1(C)C)[C@@H](C)COC ZINC000663970706 424310045 /nfs/dbraw/zinc/31/00/45/424310045.db2.gz QWRDRWINXKDWSO-AWEZNQCLSA-N 1 2 313.442 1.330 20 30 DDEDLO C=CCN(C(=O)NCC[N@H+]1CCOCC1(C)C)[C@@H](C)COC ZINC000663970706 424310051 /nfs/dbraw/zinc/31/00/51/424310051.db2.gz QWRDRWINXKDWSO-AWEZNQCLSA-N 1 2 313.442 1.330 20 30 DDEDLO CC(=O)c1nc(CC[NH+]2CCN([C@@H](C#N)C(C)C)CC2)[nH]c1C ZINC000660137722 424555723 /nfs/dbraw/zinc/55/57/23/424555723.db2.gz MXADPLKDKYFNHV-HNNXBMFYSA-N 1 2 317.437 1.629 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2cncc(C#N)c2)C[C@@H]1C ZINC000352082400 266156662 /nfs/dbraw/zinc/15/66/62/266156662.db2.gz JDZSJCPZJMQNQB-NWDGAFQWSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2cncc(C#N)c2)C[C@@H]1C ZINC000352082400 266156666 /nfs/dbraw/zinc/15/66/66/266156666.db2.gz JDZSJCPZJMQNQB-NWDGAFQWSA-N 1 2 308.407 1.056 20 30 DDEDLO C[C@@H]1C[C@H](O)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000401511738 267092727 /nfs/dbraw/zinc/09/27/27/267092727.db2.gz WHMWOXOLSNOMHS-OCCSQVGLSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1C[C@H](O)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000401511738 267092728 /nfs/dbraw/zinc/09/27/28/267092728.db2.gz WHMWOXOLSNOMHS-OCCSQVGLSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCc2n[nH]c(=O)cc2C1 ZINC000360442649 267142946 /nfs/dbraw/zinc/14/29/46/267142946.db2.gz KLNMPJMTGIDHNC-LLVKDONJSA-N 1 2 323.356 1.439 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCc2n[nH]c(=O)cc2C1 ZINC000360442649 267142947 /nfs/dbraw/zinc/14/29/47/267142947.db2.gz KLNMPJMTGIDHNC-LLVKDONJSA-N 1 2 323.356 1.439 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCOC[C@H]1C)c1ccc(C#N)cc1 ZINC000356148103 267172251 /nfs/dbraw/zinc/17/22/51/267172251.db2.gz IHILXCYCRFQRPS-KGLIPLIRSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCOC[C@H]1C)c1ccc(C#N)cc1 ZINC000356148103 267172253 /nfs/dbraw/zinc/17/22/53/267172253.db2.gz IHILXCYCRFQRPS-KGLIPLIRSA-N 1 2 316.405 1.639 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000377971542 267284322 /nfs/dbraw/zinc/28/43/22/267284322.db2.gz SDBHFVFMDWFBKI-GFCCVEGCSA-N 1 2 323.356 1.202 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000377971542 267284324 /nfs/dbraw/zinc/28/43/24/267284324.db2.gz SDBHFVFMDWFBKI-GFCCVEGCSA-N 1 2 323.356 1.202 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN1CC(=O)NCc1ccc(C#N)cc1 ZINC000378459199 267829661 /nfs/dbraw/zinc/82/96/61/267829661.db2.gz HFPDLRYCSQEMCU-MRXNPFEDSA-N 1 2 323.400 1.745 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](C3(C(N)=O)CCCC3)CC2)c(F)c1 ZINC000370231813 268116594 /nfs/dbraw/zinc/11/65/94/268116594.db2.gz FXDRNPQHXDZTME-UHFFFAOYSA-N 1 2 316.380 1.617 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCSC[C@@H]2CCO)cc1 ZINC000347370135 268141308 /nfs/dbraw/zinc/14/13/08/268141308.db2.gz MOJMJCKDXQGBID-AWEZNQCLSA-N 1 2 305.403 1.297 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCSC[C@@H]2CCO)cc1 ZINC000347370135 268141310 /nfs/dbraw/zinc/14/13/10/268141310.db2.gz MOJMJCKDXQGBID-AWEZNQCLSA-N 1 2 305.403 1.297 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2C[C@@H]3CCC[C@H]3[C@@H]2C(N)=O)cc1 ZINC000368973636 268141358 /nfs/dbraw/zinc/14/13/58/268141358.db2.gz KPRKPQHGVKYBSU-JGGQBBKZSA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2C[C@@H]3CCC[C@H]3[C@@H]2C(N)=O)cc1 ZINC000368973636 268141359 /nfs/dbraw/zinc/14/13/59/268141359.db2.gz KPRKPQHGVKYBSU-JGGQBBKZSA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1ccc2ncc(C[NH2+][C@H](C(N)=O)c3ccccc3)n2c1 ZINC000342710321 268224844 /nfs/dbraw/zinc/22/48/44/268224844.db2.gz PVZSUHQFRVEXCS-INIZCTEOSA-N 1 2 305.341 1.522 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@@H+]2C[C@H](O)C3(CC3)C2)C1=O ZINC000364437451 268303589 /nfs/dbraw/zinc/30/35/89/268303589.db2.gz ANGVYJONXNJBCI-NEPJUHHUSA-N 1 2 303.387 1.182 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@H+]2C[C@H](O)C3(CC3)C2)C1=O ZINC000364437451 268303592 /nfs/dbraw/zinc/30/35/92/268303592.db2.gz ANGVYJONXNJBCI-NEPJUHHUSA-N 1 2 303.387 1.182 20 30 DDEDLO CC#CCNC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000291037395 276932271 /nfs/dbraw/zinc/93/22/71/276932271.db2.gz PEBHGAJJOPESES-QGZVFWFLSA-N 1 2 315.417 1.252 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1nc(Cl)c(C#N)s1 ZINC000286201018 278477308 /nfs/dbraw/zinc/47/73/08/278477308.db2.gz BRXKDARYNJXTOK-VHSXEESVSA-N 1 2 312.826 1.968 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3cccc(O)c3)CC2)nc1 ZINC000130771258 281394083 /nfs/dbraw/zinc/39/40/83/281394083.db2.gz DXSPERGFUNYPAY-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N[C@H]2CCCC23CCOCC3)C1 ZINC000328834825 290106199 /nfs/dbraw/zinc/10/61/99/290106199.db2.gz SFEBZIJMRGESLK-KGLIPLIRSA-N 1 2 311.426 1.170 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N[C@H]2CCCC23CCOCC3)C1 ZINC000328834825 290106202 /nfs/dbraw/zinc/10/62/02/290106202.db2.gz SFEBZIJMRGESLK-KGLIPLIRSA-N 1 2 311.426 1.170 20 30 DDEDLO Cc1cc(CC(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)on1 ZINC000557410251 307871310 /nfs/dbraw/zinc/87/13/10/307871310.db2.gz RMODNJFPUWESNG-UHFFFAOYSA-N 1 2 324.384 1.742 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ncncc3C#N)c[nH+]2)C[C@@H](C)O1 ZINC000567751407 308094523 /nfs/dbraw/zinc/09/45/23/308094523.db2.gz BKPSTKPOSJIPJC-CHWSQXEVSA-N 1 2 324.388 1.391 20 30 DDEDLO N#Cc1ccnc(C(=O)N2CC[NH+](Cc3ccsc3)CC2)c1 ZINC000576777464 308339145 /nfs/dbraw/zinc/33/91/45/308339145.db2.gz RXMLLAPCIHSFHL-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000566464081 332429848 /nfs/dbraw/zinc/42/98/48/332429848.db2.gz CECMJWKXDFLEPP-GHMZBOCLSA-N 1 2 319.327 1.899 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)c1cccc(CC#N)c1 ZINC000170862935 333041200 /nfs/dbraw/zinc/04/12/00/333041200.db2.gz BDWHKEDHRZQVKF-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)c1cccc(CC#N)c1 ZINC000170862935 333041201 /nfs/dbraw/zinc/04/12/01/333041201.db2.gz BDWHKEDHRZQVKF-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO N#CCCCCNC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000170886408 333041827 /nfs/dbraw/zinc/04/18/27/333041827.db2.gz ZPIHRVWRJZUNOF-UHFFFAOYSA-N 1 2 301.394 1.603 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@H+](C)[C@H]1CCNC1=O ZINC000340220252 334151041 /nfs/dbraw/zinc/15/10/41/334151041.db2.gz LJEQZQZEAWOSDS-ZFWWWQNUSA-N 1 2 314.389 1.142 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+](C)[C@H]1CCNC1=O ZINC000340220252 334151042 /nfs/dbraw/zinc/15/10/42/334151042.db2.gz LJEQZQZEAWOSDS-ZFWWWQNUSA-N 1 2 314.389 1.142 20 30 DDEDLO C[C@@H](NC(=O)NCC(C)(C)CCC#N)[C@H](C)[NH+]1CCOCC1 ZINC000352820776 336256226 /nfs/dbraw/zinc/25/62/26/336256226.db2.gz RDFUBUMEWHGJQC-KGLIPLIRSA-N 1 2 310.442 1.725 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(Cc2nc(C)c(C)o2)CC1 ZINC000171686480 337088385 /nfs/dbraw/zinc/08/83/85/337088385.db2.gz QCYCLSRTKIVPLT-CYBMUJFWSA-N 1 2 306.410 1.100 20 30 DDEDLO CC(=O)Nc1cc(NC[C@H](O)C[N@H+](C)CCC#N)ccc1C ZINC000583199201 337266421 /nfs/dbraw/zinc/26/64/21/337266421.db2.gz CXXYOFAEBKUSGZ-HNNXBMFYSA-N 1 2 304.394 1.572 20 30 DDEDLO CC(=O)Nc1cc(NC[C@H](O)C[N@@H+](C)CCC#N)ccc1C ZINC000583199201 337266422 /nfs/dbraw/zinc/26/64/22/337266422.db2.gz CXXYOFAEBKUSGZ-HNNXBMFYSA-N 1 2 304.394 1.572 20 30 DDEDLO CCc1[nH]c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c(C)c1C(=O)OC ZINC000564089894 337453480 /nfs/dbraw/zinc/45/34/80/337453480.db2.gz JUXORHBPOSRJQV-INIZCTEOSA-N 1 2 320.393 1.246 20 30 DDEDLO N#C[C@H]1COCCN1C(=O)/C=C/c1ccc(-n2cc[nH+]c2)cc1 ZINC000176644421 340429843 /nfs/dbraw/zinc/42/98/43/340429843.db2.gz GHKDVGVOYLWYMA-GIZXNFQBSA-N 1 2 308.341 1.636 20 30 DDEDLO C=CCNC(=O)C[NH+]1C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C1 ZINC000076180936 490590524 /nfs/dbraw/zinc/59/05/24/490590524.db2.gz GAMOGSHGEUNBRG-HTQZYQBOSA-N 1 2 304.234 1.961 20 30 DDEDLO COC(=O)Cc1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)c(F)c1 ZINC000414140322 533765586 /nfs/dbraw/zinc/76/55/86/533765586.db2.gz GREDSXDWOHZLOQ-ZDUSSCGKSA-N 1 2 324.352 1.126 20 30 DDEDLO COC(=O)Cc1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c(F)c1 ZINC000414140322 533765591 /nfs/dbraw/zinc/76/55/91/533765591.db2.gz GREDSXDWOHZLOQ-ZDUSSCGKSA-N 1 2 324.352 1.126 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)Nc2ccccc2C#N)CC[S@@]1=O ZINC000330875543 533925895 /nfs/dbraw/zinc/92/58/95/533925895.db2.gz ZYLLAIDCULPBJI-LAJNKCICSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)Nc2ccccc2C#N)CC[S@@]1=O ZINC000330875543 533925908 /nfs/dbraw/zinc/92/59/08/533925908.db2.gz ZYLLAIDCULPBJI-LAJNKCICSA-N 1 2 305.403 1.340 20 30 DDEDLO O=C(NC1CN(C([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)C1)C1CCC1 ZINC000330267557 534749616 /nfs/dbraw/zinc/74/96/16/534749616.db2.gz IFMOMNMFJKFGDG-GFCCVEGCSA-N 1 2 317.393 1.553 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)c1cc2n(n1)CCCO2 ZINC000329901842 534761419 /nfs/dbraw/zinc/76/14/19/534761419.db2.gz OXKYUAQGXPUMBO-GFCCVEGCSA-N 1 2 313.361 1.644 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2cccc(Cl)c2)C1 ZINC000330951023 526400420 /nfs/dbraw/zinc/40/04/20/526400420.db2.gz PBXBYSDOWXFVMV-GFCCVEGCSA-N 1 2 310.785 1.486 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(Cl)c2)C1 ZINC000330951023 526400425 /nfs/dbraw/zinc/40/04/25/526400425.db2.gz PBXBYSDOWXFVMV-GFCCVEGCSA-N 1 2 310.785 1.486 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2cc(C)cc(C)c2)C1 ZINC000330939707 526403273 /nfs/dbraw/zinc/40/32/73/526403273.db2.gz CYZIVAHRFSIHRU-ZIAGYGMSSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2cc(C)cc(C)c2)C1 ZINC000330939707 526403277 /nfs/dbraw/zinc/40/32/77/526403277.db2.gz CYZIVAHRFSIHRU-ZIAGYGMSSA-N 1 2 318.421 1.838 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N2CCCC[C@@H]2CC)C1=O ZINC000337202376 526466982 /nfs/dbraw/zinc/46/69/82/526466982.db2.gz DXIDXGARLWOGBI-LSDHHAIUSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N2CCCC[C@@H]2CC)C1=O ZINC000337202376 526466988 /nfs/dbraw/zinc/46/69/88/526466988.db2.gz DXIDXGARLWOGBI-LSDHHAIUSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2nc3cccnc3s2)C1=O ZINC000337224169 526470606 /nfs/dbraw/zinc/47/06/06/526470606.db2.gz NHCUJRBRVMNWTB-GFCCVEGCSA-N 1 2 302.403 1.910 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2nc3cccnc3s2)C1=O ZINC000337224169 526470609 /nfs/dbraw/zinc/47/06/09/526470609.db2.gz NHCUJRBRVMNWTB-GFCCVEGCSA-N 1 2 302.403 1.910 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@H](CO)c2cccc(Cl)c2F)C1=O ZINC000337202831 526486177 /nfs/dbraw/zinc/48/61/77/526486177.db2.gz FOTWZDBBZXFPLM-CHWSQXEVSA-N 1 2 312.772 1.889 20 30 DDEDLO C=CCN1CC[C@H](N(C)Cc2[nH+]ccc(OC)c2OC)C1=O ZINC000337201920 526502647 /nfs/dbraw/zinc/50/26/47/526502647.db2.gz DMQNREKLVQOQKI-ZDUSSCGKSA-N 1 2 305.378 1.318 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1ccc(F)c(Cl)c1 ZINC000433241861 526585303 /nfs/dbraw/zinc/58/53/03/526585303.db2.gz CQZLREGZMBBVDA-UHFFFAOYSA-N 1 2 313.760 1.923 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1ccc(F)c(Cl)c1 ZINC000433241861 526585308 /nfs/dbraw/zinc/58/53/08/526585308.db2.gz CQZLREGZMBBVDA-UHFFFAOYSA-N 1 2 313.760 1.923 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000341331823 526701073 /nfs/dbraw/zinc/70/10/73/526701073.db2.gz QXLPBGSVNSQETQ-ZIAGYGMSSA-N 1 2 318.421 1.630 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)[C@H]1COCC[N@@H+]1CC1CCC1 ZINC000332916731 526800376 /nfs/dbraw/zinc/80/03/76/526800376.db2.gz YKRMRNWKXJHHTH-BBWFWOEESA-N 1 2 322.449 1.585 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)[C@H]1COCC[N@H+]1CC1CCC1 ZINC000332916731 526800380 /nfs/dbraw/zinc/80/03/80/526800380.db2.gz YKRMRNWKXJHHTH-BBWFWOEESA-N 1 2 322.449 1.585 20 30 DDEDLO C#CC[N@@H+](CC(=O)N[C@H](C)c1cc(OC)ccc1OC)C1CC1 ZINC000491538566 526882396 /nfs/dbraw/zinc/88/23/96/526882396.db2.gz ZVQHZKOAADEKLU-CYBMUJFWSA-N 1 2 316.401 1.979 20 30 DDEDLO C#CC[N@H+](CC(=O)N[C@H](C)c1cc(OC)ccc1OC)C1CC1 ZINC000491538566 526882400 /nfs/dbraw/zinc/88/24/00/526882400.db2.gz ZVQHZKOAADEKLU-CYBMUJFWSA-N 1 2 316.401 1.979 20 30 DDEDLO C#CC[N@@H+](CCCc1ccccc1OC)[C@@H]1CCS(=O)(=O)C1 ZINC000490937847 526900826 /nfs/dbraw/zinc/90/08/26/526900826.db2.gz VYCISCOZBZEYJM-MRXNPFEDSA-N 1 2 321.442 1.750 20 30 DDEDLO C#CC[N@H+](CCCc1ccccc1OC)[C@@H]1CCS(=O)(=O)C1 ZINC000490937847 526900833 /nfs/dbraw/zinc/90/08/33/526900833.db2.gz VYCISCOZBZEYJM-MRXNPFEDSA-N 1 2 321.442 1.750 20 30 DDEDLO C#CC[N@@H+](C[C@H](O)[C@@H](C)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000491781992 526903012 /nfs/dbraw/zinc/90/30/12/526903012.db2.gz MONKMXMUDHEDDS-UAGQMJEPSA-N 1 2 321.442 1.273 20 30 DDEDLO C#CC[N@H+](C[C@H](O)[C@@H](C)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000491781992 526903016 /nfs/dbraw/zinc/90/30/16/526903016.db2.gz MONKMXMUDHEDDS-UAGQMJEPSA-N 1 2 321.442 1.273 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000342768118 526988118 /nfs/dbraw/zinc/98/81/18/526988118.db2.gz IOYYIQSUUXCJHD-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN([C@@H](C)c2c(F)cccc2F)CC1 ZINC000491723297 527003220 /nfs/dbraw/zinc/00/32/20/527003220.db2.gz BKCHCPHSFUZQFI-ZDUSSCGKSA-N 1 2 321.371 1.393 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2cccc(C(=O)NC)c2)n1 ZINC000491753403 527184034 /nfs/dbraw/zinc/18/40/34/527184034.db2.gz YYGRACXOKBCKOJ-UHFFFAOYSA-N 1 2 310.401 1.898 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2cccc(C(=O)NC)c2)n1 ZINC000491753403 527184039 /nfs/dbraw/zinc/18/40/39/527184039.db2.gz YYGRACXOKBCKOJ-UHFFFAOYSA-N 1 2 310.401 1.898 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1cc(C)ccc1-c1n[nH]c(C)n1 ZINC000491727306 527213178 /nfs/dbraw/zinc/21/31/78/527213178.db2.gz HCVPRSXFQJEDKO-GFCCVEGCSA-N 1 2 311.389 1.981 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1cc(C)ccc1-c1n[nH]c(C)n1 ZINC000491727306 527213181 /nfs/dbraw/zinc/21/31/81/527213181.db2.gz HCVPRSXFQJEDKO-GFCCVEGCSA-N 1 2 311.389 1.981 20 30 DDEDLO C#C[C@@H](CC)NC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000491762169 527244305 /nfs/dbraw/zinc/24/43/05/527244305.db2.gz ZCRCXZXZBJWOSZ-ZDUSSCGKSA-N 1 2 322.430 1.164 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000491729265 527427578 /nfs/dbraw/zinc/42/75/78/527427578.db2.gz NIHQXCMCQSXTLV-LBPRGKRZSA-N 1 2 303.318 1.257 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000491729265 527427584 /nfs/dbraw/zinc/42/75/84/527427584.db2.gz NIHQXCMCQSXTLV-LBPRGKRZSA-N 1 2 303.318 1.257 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000343775476 527457101 /nfs/dbraw/zinc/45/71/01/527457101.db2.gz WQYUAGAXQWPWRE-CQSZACIVSA-N 1 2 305.403 1.767 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000343775476 527457107 /nfs/dbraw/zinc/45/71/07/527457107.db2.gz WQYUAGAXQWPWRE-CQSZACIVSA-N 1 2 305.403 1.767 20 30 DDEDLO CCCC(=O)N1CCC[C@@H]1C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330334230 527894110 /nfs/dbraw/zinc/89/41/10/527894110.db2.gz YOSFICADYSOWDV-CHWSQXEVSA-N 1 2 304.394 1.946 20 30 DDEDLO CC(C)c1nnc(NC(=O)C[N@@H+]2C[C@@H]3CC[C@H](O)[C@H]3C2)s1 ZINC000329610148 528453644 /nfs/dbraw/zinc/45/36/44/528453644.db2.gz BYTXVVCASIFDJA-DCAQKATOSA-N 1 2 310.423 1.952 20 30 DDEDLO CC(C)c1nnc(NC(=O)C[N@H+]2C[C@@H]3CC[C@H](O)[C@H]3C2)s1 ZINC000329610148 528453648 /nfs/dbraw/zinc/45/36/48/528453648.db2.gz BYTXVVCASIFDJA-DCAQKATOSA-N 1 2 310.423 1.952 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000331477692 528854706 /nfs/dbraw/zinc/85/47/06/528854706.db2.gz MVELVMSVLODGHE-LNCBFAARSA-N 1 2 307.438 1.929 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000331477692 528854710 /nfs/dbraw/zinc/85/47/10/528854710.db2.gz MVELVMSVLODGHE-LNCBFAARSA-N 1 2 307.438 1.929 20 30 DDEDLO CCN1CCN(C(=O)NCc2cccnc2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000329926659 529107408 /nfs/dbraw/zinc/10/74/08/529107408.db2.gz KXDKAEORGXRFJG-CQSZACIVSA-N 1 2 314.393 1.598 20 30 DDEDLO CCN1CCN(C(=O)NCC2CCOCC2)C[C@H]1c1[nH]cc[nH+]1 ZINC000329963876 529107697 /nfs/dbraw/zinc/10/76/97/529107697.db2.gz QFDFRMGRDJCTON-AWEZNQCLSA-N 1 2 321.425 1.429 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)N(C)CC[NH+]2CCOCC2)c1C ZINC000331095878 529291625 /nfs/dbraw/zinc/29/16/25/529291625.db2.gz ADKGLTBIMRUVII-ZDUSSCGKSA-N 1 2 323.441 1.972 20 30 DDEDLO CCc1nc(C[NH2+]C2CC3(CC(NC(=O)[C@@H](C)C#N)C3)C2)no1 ZINC001120834955 782172446 /nfs/dbraw/zinc/17/24/46/782172446.db2.gz RFUKMHQSEVBAFN-MJMQAPBISA-N 1 2 317.393 1.309 20 30 DDEDLO C#CCOc1ccccc1C[NH2+]Cc1ccc(-c2nn[nH]n2)o1 ZINC000819710176 599437962 /nfs/dbraw/zinc/43/79/62/599437962.db2.gz YJWREGYVNITBQJ-UHFFFAOYSA-N 1 2 309.329 1.762 20 30 DDEDLO CC(C)(C)N(Cc1ccccc1)C(=O)CO[NH+]=C(N)CCO ZINC000121319370 696708409 /nfs/dbraw/zinc/70/84/09/696708409.db2.gz PJUOWIBKJBVHIZ-UHFFFAOYSA-N 1 2 307.394 1.485 20 30 DDEDLO COC(=O)[C@@H](OC(=O)[C@H]1CCCC[N@@H+]1C)c1ccc(C#N)cc1 ZINC000745085425 699971202 /nfs/dbraw/zinc/97/12/02/699971202.db2.gz OLZVXTYJMYGGGY-CABCVRRESA-N 1 2 316.357 1.800 20 30 DDEDLO COC(=O)[C@@H](OC(=O)[C@H]1CCCC[N@H+]1C)c1ccc(C#N)cc1 ZINC000745085425 699971203 /nfs/dbraw/zinc/97/12/03/699971203.db2.gz OLZVXTYJMYGGGY-CABCVRRESA-N 1 2 316.357 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCn3ccnc3C2)C1 ZINC000971822547 695103669 /nfs/dbraw/zinc/10/36/69/695103669.db2.gz WHAJWIIPBGWTAB-UONOGXRCSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCn3ccnc3C2)C1 ZINC000971822547 695103670 /nfs/dbraw/zinc/10/36/70/695103670.db2.gz WHAJWIIPBGWTAB-UONOGXRCSA-N 1 2 322.840 1.731 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)c(C)o3)C2)C1 ZINC000972347496 695223310 /nfs/dbraw/zinc/22/33/10/695223310.db2.gz VDMJFNICWCQEHA-GOSISDBHSA-N 1 2 316.401 1.837 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)c(C)o3)C2)C1 ZINC000972347496 695223312 /nfs/dbraw/zinc/22/33/12/695223312.db2.gz VDMJFNICWCQEHA-GOSISDBHSA-N 1 2 316.401 1.837 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3[nH]c(C)cc3C)C2)C1 ZINC000972439082 695251855 /nfs/dbraw/zinc/25/18/55/695251855.db2.gz UNTCISFBNVZNTE-SFHVURJKSA-N 1 2 315.417 1.572 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3[nH]c(C)cc3C)C2)C1 ZINC000972439082 695251856 /nfs/dbraw/zinc/25/18/56/695251856.db2.gz UNTCISFBNVZNTE-SFHVURJKSA-N 1 2 315.417 1.572 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)COC3CCCCC3)C2)C1 ZINC000972444016 695252893 /nfs/dbraw/zinc/25/28/93/695252893.db2.gz OCMFWCKTYIOPLM-SFHVURJKSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)COC3CCCCC3)C2)C1 ZINC000972444016 695252894 /nfs/dbraw/zinc/25/28/94/695252894.db2.gz OCMFWCKTYIOPLM-SFHVURJKSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CCC(OC)CC3)C2)C1 ZINC000972524115 695272484 /nfs/dbraw/zinc/27/24/84/695272484.db2.gz NWNYEDKCDPUUNS-HTWSVDAQSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CCC(OC)CC3)C2)C1 ZINC000972524115 695272485 /nfs/dbraw/zinc/27/24/85/695272485.db2.gz NWNYEDKCDPUUNS-HTWSVDAQSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCC(C)(C)C3)C2)C1 ZINC000972538326 695276338 /nfs/dbraw/zinc/27/63/38/695276338.db2.gz RKVTXOKQAPAMNP-MAUKXSAKSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCC(C)(C)C3)C2)C1 ZINC000972538326 695276340 /nfs/dbraw/zinc/27/63/40/695276340.db2.gz RKVTXOKQAPAMNP-MAUKXSAKSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3c3ccco3)C2)C1 ZINC000972566002 695285112 /nfs/dbraw/zinc/28/51/12/695285112.db2.gz ZAVMNZCWRDABOU-RKVPGOIHSA-N 1 2 314.385 1.320 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3c3ccco3)C2)C1 ZINC000972566002 695285113 /nfs/dbraw/zinc/28/51/13/695285113.db2.gz ZAVMNZCWRDABOU-RKVPGOIHSA-N 1 2 314.385 1.320 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3cccnc3)C2)C1 ZINC000972639584 695306339 /nfs/dbraw/zinc/30/63/39/695306339.db2.gz UVXWIQIARLIDCM-YJBOKZPZSA-N 1 2 313.401 1.122 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3cccnc3)C2)C1 ZINC000972639584 695306341 /nfs/dbraw/zinc/30/63/41/695306341.db2.gz UVXWIQIARLIDCM-YJBOKZPZSA-N 1 2 313.401 1.122 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3ccccc3)C2)C1 ZINC000972644599 695308220 /nfs/dbraw/zinc/30/82/20/695308220.db2.gz ZYXGGUXVOUNOFP-QFBILLFUSA-N 1 2 312.413 1.727 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3ccccc3)C2)C1 ZINC000972644599 695308222 /nfs/dbraw/zinc/30/82/22/695308222.db2.gz ZYXGGUXVOUNOFP-QFBILLFUSA-N 1 2 312.413 1.727 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)cc3)C2)C1 ZINC000972653690 695309947 /nfs/dbraw/zinc/30/99/47/695309947.db2.gz KBPSZBIEZOMAPK-IBGZPJMESA-N 1 2 312.413 1.935 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)cc3)C2)C1 ZINC000972653690 695309950 /nfs/dbraw/zinc/30/99/50/695309950.db2.gz KBPSZBIEZOMAPK-IBGZPJMESA-N 1 2 312.413 1.935 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ccn(C)n3)C2)nc1 ZINC000972750559 695328595 /nfs/dbraw/zinc/32/85/95/695328595.db2.gz AYZJWBCLGLJDOE-MRXNPFEDSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@H+](C)Cc3ccn(C)n3)C2)nc1 ZINC000972750559 695328599 /nfs/dbraw/zinc/32/85/99/695328599.db2.gz AYZJWBCLGLJDOE-MRXNPFEDSA-N 1 2 323.400 1.143 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CN2CCCC2=O)C(C)(C)C1 ZINC000972794679 695335818 /nfs/dbraw/zinc/33/58/18/695335818.db2.gz SQXUBWSONMJYBK-GFCCVEGCSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CN2CCCC2=O)C(C)(C)C1 ZINC000972794679 695335821 /nfs/dbraw/zinc/33/58/21/695335821.db2.gz SQXUBWSONMJYBK-GFCCVEGCSA-N 1 2 313.829 1.188 20 30 DDEDLO COc1cccc([C@@H](C#N)NC(=O)Cn2cc[nH+]c2)c1OC ZINC000746224254 700011788 /nfs/dbraw/zinc/01/17/88/700011788.db2.gz GXRWEYFNXMSNQP-GFCCVEGCSA-N 1 2 300.318 1.281 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)C(C)(C)C1 ZINC000974688865 695712123 /nfs/dbraw/zinc/71/21/23/695712123.db2.gz WFFGCRBQJSIWGO-LLVKDONJSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)C(C)(C)C1 ZINC000974688865 695712124 /nfs/dbraw/zinc/71/21/24/695712124.db2.gz WFFGCRBQJSIWGO-LLVKDONJSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cc(C)nn2C)C(C)(C)C1 ZINC000974823816 695743021 /nfs/dbraw/zinc/74/30/21/695743021.db2.gz DWPHPSXDVSBKPR-AWEZNQCLSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cc(C)nn2C)C(C)(C)C1 ZINC000974823816 695743023 /nfs/dbraw/zinc/74/30/23/695743023.db2.gz DWPHPSXDVSBKPR-AWEZNQCLSA-N 1 2 324.856 1.850 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@H]2CN(CC#N)CC2(C)C)c(C)[nH+]1 ZINC000974848335 695747437 /nfs/dbraw/zinc/74/74/37/695747437.db2.gz SXXFFKAARVNTNT-AWEZNQCLSA-N 1 2 300.406 1.971 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974929727 695761469 /nfs/dbraw/zinc/76/14/69/695761469.db2.gz PCYYHNQGHWDPON-RYUDHWBXSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974929727 695761470 /nfs/dbraw/zinc/76/14/70/695761470.db2.gz PCYYHNQGHWDPON-RYUDHWBXSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnc(C)n2C)C(C)(C)C1 ZINC000974955007 695766180 /nfs/dbraw/zinc/76/61/80/695766180.db2.gz LLOHUNGTMSDWPW-ZDUSSCGKSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnc(C)n2C)C(C)(C)C1 ZINC000974955007 695766184 /nfs/dbraw/zinc/76/61/84/695766184.db2.gz LLOHUNGTMSDWPW-ZDUSSCGKSA-N 1 2 310.829 1.921 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cnc(COC)s2)C(C)(C)C1 ZINC000977671844 696251133 /nfs/dbraw/zinc/25/11/33/696251133.db2.gz WZUTVZMAXXDOBH-CYBMUJFWSA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cnc(COC)s2)C(C)(C)C1 ZINC000977671844 696251136 /nfs/dbraw/zinc/25/11/36/696251136.db2.gz WZUTVZMAXXDOBH-CYBMUJFWSA-N 1 2 321.446 1.753 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000056315669 696289933 /nfs/dbraw/zinc/28/99/33/696289933.db2.gz WHKUZMVMZMZFPF-STQMWFEESA-N 1 2 309.410 1.113 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000056315669 696289936 /nfs/dbraw/zinc/28/99/36/696289936.db2.gz WHKUZMVMZMZFPF-STQMWFEESA-N 1 2 309.410 1.113 20 30 DDEDLO C[C@H](O)C(=O)N1CC[NH+](Cc2ccc(O[C@@H](C)C#N)cc2)CC1 ZINC000093706534 696601474 /nfs/dbraw/zinc/60/14/74/696601474.db2.gz VQRSXSDEFPSNLU-KBPBESRZSA-N 1 2 317.389 1.002 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2conc2C(F)(F)F)CC1 ZINC000981625798 696846547 /nfs/dbraw/zinc/84/65/47/696846547.db2.gz GGSNPXNPDSEMRF-UHFFFAOYSA-N 1 2 301.268 1.475 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2conc2C(F)(F)F)CC1 ZINC000981625798 696846550 /nfs/dbraw/zinc/84/65/50/696846550.db2.gz GGSNPXNPDSEMRF-UHFFFAOYSA-N 1 2 301.268 1.475 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)c3cocn3)CC2)s1 ZINC000980831279 696906834 /nfs/dbraw/zinc/90/68/34/696906834.db2.gz OEDDFBFTSQCETC-UHFFFAOYSA-N 1 2 316.386 1.956 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)c3cocn3)CC2)s1 ZINC000980831279 696906837 /nfs/dbraw/zinc/90/68/37/696906837.db2.gz OEDDFBFTSQCETC-UHFFFAOYSA-N 1 2 316.386 1.956 20 30 DDEDLO CCc1nnc([C@@H](C)O[NH+]=C(N)c2ccc3c(c2)CCO3)o1 ZINC000158149837 696987089 /nfs/dbraw/zinc/98/70/89/696987089.db2.gz UMJFEJBKIUTROR-SECBINFHSA-N 1 2 302.334 1.965 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCc2cnn(C)c2C)CC1 ZINC000981259011 697049160 /nfs/dbraw/zinc/04/91/60/697049160.db2.gz KROHTGZHTAJVJY-UHFFFAOYSA-N 1 2 324.856 1.948 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCc2cnn(C)c2C)CC1 ZINC000981259011 697049162 /nfs/dbraw/zinc/04/91/62/697049162.db2.gz KROHTGZHTAJVJY-UHFFFAOYSA-N 1 2 324.856 1.948 20 30 DDEDLO C#CCCCNC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000174091329 697383908 /nfs/dbraw/zinc/38/39/08/697383908.db2.gz XCOCHMJJECBZEW-UHFFFAOYSA-N 1 2 315.417 1.731 20 30 DDEDLO CC(C)c1nnc(C[N@H+](C)C2CCN(C(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC000985338495 697493442 /nfs/dbraw/zinc/49/34/42/697493442.db2.gz JDOOZEPNPAWMBY-LBPRGKRZSA-N 1 2 318.425 1.511 20 30 DDEDLO CC(C)c1nnc(C[N@@H+](C)C2CCN(C(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC000985338495 697493443 /nfs/dbraw/zinc/49/34/43/697493443.db2.gz JDOOZEPNPAWMBY-LBPRGKRZSA-N 1 2 318.425 1.511 20 30 DDEDLO C[C@H]1[C@@H]([NH2+]Cc2csnn2)CCN1C(=O)c1ccc(C#N)[nH]1 ZINC000986180487 697722325 /nfs/dbraw/zinc/72/23/25/697722325.db2.gz CLMKYFMPLMFQTM-CABZTGNLSA-N 1 2 316.390 1.131 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC(c2[nH]cc[nH+]2)CC1)[C@@H]1CCCO1 ZINC000773563686 697781843 /nfs/dbraw/zinc/78/18/43/697781843.db2.gz YANVNSAQYDEKDH-KGLIPLIRSA-N 1 2 302.378 1.480 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](C(C)C)[NH+]1C[C@H](C)O[C@@H](C)C1)[C@H]1CCCO1 ZINC000775327069 697982458 /nfs/dbraw/zinc/98/24/58/697982458.db2.gz XGKADRIWXHVBGZ-VIQHNZTISA-N 1 2 322.449 1.417 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[Si](C)(C)c1ccccc1 ZINC000776223998 698083018 /nfs/dbraw/zinc/08/30/18/698083018.db2.gz JNALEIGIXHJBQO-INIZCTEOSA-N 1 2 300.478 1.355 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[Si](C)(C)c1ccccc1 ZINC000776223998 698083024 /nfs/dbraw/zinc/08/30/24/698083024.db2.gz JNALEIGIXHJBQO-INIZCTEOSA-N 1 2 300.478 1.355 20 30 DDEDLO C#CCCCCCC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000779928490 698479253 /nfs/dbraw/zinc/47/92/53/698479253.db2.gz FWEUTXGAOQLZBS-UHFFFAOYSA-N 1 2 318.421 1.738 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)cc1 ZINC000780920000 698571864 /nfs/dbraw/zinc/57/18/64/698571864.db2.gz GHLKIVXMBBHVFX-OAHLLOKOSA-N 1 2 310.357 1.721 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3cnoc3C)CC2)cn1 ZINC000989510670 698651353 /nfs/dbraw/zinc/65/13/53/698651353.db2.gz AVNATKRLWJOCOX-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3cnoc3C)CC2)cn1 ZINC000989510670 698651355 /nfs/dbraw/zinc/65/13/55/698651355.db2.gz AVNATKRLWJOCOX-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO Cc1nc(C#N)cc(NC[C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000308412268 698662287 /nfs/dbraw/zinc/66/22/87/698662287.db2.gz LXSOHJCQDQOGHX-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1nc(C#N)cc(NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000308412268 698662291 /nfs/dbraw/zinc/66/22/91/698662291.db2.gz LXSOHJCQDQOGHX-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2CCc3c[nH]nc3C2)CC1 ZINC000989707373 698734849 /nfs/dbraw/zinc/73/48/49/698734849.db2.gz ADPVEZBYCLGNHM-CYBMUJFWSA-N 1 2 322.840 1.801 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2CCc3c[nH]nc3C2)CC1 ZINC000989707373 698734850 /nfs/dbraw/zinc/73/48/50/698734850.db2.gz ADPVEZBYCLGNHM-CYBMUJFWSA-N 1 2 322.840 1.801 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)C(=O)Nc2c(C)cccc2C)CC1 ZINC000989804940 698773165 /nfs/dbraw/zinc/77/31/65/698773165.db2.gz XEWTYRAYBXOVHK-UHFFFAOYSA-N 1 2 313.401 1.409 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)C(=O)Nc2c(C)cccc2C)CC1 ZINC000989804940 698773166 /nfs/dbraw/zinc/77/31/66/698773166.db2.gz XEWTYRAYBXOVHK-UHFFFAOYSA-N 1 2 313.401 1.409 20 30 DDEDLO CC(C)CCN(CCC#N)CN1C[C@@H](c2c[nH+]cn2C)CC1=O ZINC000783981106 698898430 /nfs/dbraw/zinc/89/84/30/698898430.db2.gz ZQULKBDGIFQSIF-HNNXBMFYSA-N 1 2 317.437 1.955 20 30 DDEDLO C[C@H](C#N)CN(CN1C[C@@H](c2c[nH+]cn2C)CC1=O)C1CC1 ZINC000783993413 698900849 /nfs/dbraw/zinc/90/08/49/698900849.db2.gz WTDLZPGDYQDKKP-OLZOCXBDSA-N 1 2 301.394 1.318 20 30 DDEDLO COC[C@@H](C#N)OC(=O)C[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000785335950 699068818 /nfs/dbraw/zinc/06/88/18/699068818.db2.gz CWERZJKKGAMRLH-JKSUJKDBSA-N 1 2 318.373 1.359 20 30 DDEDLO COC[C@@H](C#N)OC(=O)C[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000785335950 699068821 /nfs/dbraw/zinc/06/88/21/699068821.db2.gz CWERZJKKGAMRLH-JKSUJKDBSA-N 1 2 318.373 1.359 20 30 DDEDLO C#Cc1cccc(NC(=S)NCC[N@H+]2CCOC[C@H]2C)c1 ZINC000729160842 699472219 /nfs/dbraw/zinc/47/22/19/699472219.db2.gz GNZAIRGCDPFCFP-CYBMUJFWSA-N 1 2 303.431 1.675 20 30 DDEDLO C#Cc1cccc(NC(=S)NCC[N@@H+]2CCOC[C@H]2C)c1 ZINC000729160842 699472220 /nfs/dbraw/zinc/47/22/20/699472220.db2.gz GNZAIRGCDPFCFP-CYBMUJFWSA-N 1 2 303.431 1.675 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1noc(-c2cccs2)n1 ZINC000730283931 699506824 /nfs/dbraw/zinc/50/68/24/699506824.db2.gz XTQFQENOKJSBGV-NSHDSACASA-N 1 2 316.386 1.512 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1noc(-c2cccs2)n1 ZINC000730283931 699506826 /nfs/dbraw/zinc/50/68/26/699506826.db2.gz XTQFQENOKJSBGV-NSHDSACASA-N 1 2 316.386 1.512 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2)CC1 ZINC000731455585 699529036 /nfs/dbraw/zinc/52/90/36/699529036.db2.gz LFDUDXFAOPQBJW-UHFFFAOYSA-N 1 2 305.309 1.515 20 30 DDEDLO CO[C@@H](C[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1)C(F)(F)F ZINC000734506094 699653019 /nfs/dbraw/zinc/65/30/19/699653019.db2.gz BXXVLDGHZKNDMO-QWRGUYRKSA-N 1 2 301.268 1.252 20 30 DDEDLO C#CCOCCOC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000762714348 700903941 /nfs/dbraw/zinc/90/39/41/700903941.db2.gz XMUJNRYRYWNMSD-UHFFFAOYSA-N 1 2 315.285 1.587 20 30 DDEDLO CNC(=O)N1CC[NH+](Cc2ccc(O[C@H](C)C#N)cc2)CC1 ZINC000769498046 701247631 /nfs/dbraw/zinc/24/76/31/701247631.db2.gz KKBPRKXWVMVWHW-CYBMUJFWSA-N 1 2 302.378 1.434 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(C(=O)[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000769771176 701255504 /nfs/dbraw/zinc/25/55/04/701255504.db2.gz GSAGMPAUEGKATI-HOTGVXAUSA-N 1 2 324.384 1.731 20 30 DDEDLO N#Cc1ccccc1N1CCC(NC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC000806694390 701446324 /nfs/dbraw/zinc/44/63/24/701446324.db2.gz ALWJLPXRPYHGDU-UHFFFAOYSA-N 1 2 323.400 1.999 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)CN1CC[C@H](C)C1=O ZINC000839981044 701889327 /nfs/dbraw/zinc/88/93/27/701889327.db2.gz SRMAODWWLHZKBY-AWEZNQCLSA-N 1 2 301.390 1.833 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)CN1CC[C@H](C)C1=O ZINC000839981044 701889333 /nfs/dbraw/zinc/88/93/33/701889333.db2.gz SRMAODWWLHZKBY-AWEZNQCLSA-N 1 2 301.390 1.833 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)[C@H](O)c1c[nH+]c[nH]1 ZINC000866234543 706656117 /nfs/dbraw/zinc/65/61/17/706656117.db2.gz NHHOAEFLMJWESS-VNQPRFMTSA-N 1 2 310.357 1.741 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845282756 703138444 /nfs/dbraw/zinc/13/84/44/703138444.db2.gz YCCRMTZUOGEPQL-KGLIPLIRSA-N 1 2 305.378 1.967 20 30 DDEDLO CCOCCCNC(=O)[C@H](C#N)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845440198 703156979 /nfs/dbraw/zinc/15/69/79/703156979.db2.gz OGZGSFVXFCJQHU-CHWSQXEVSA-N 1 2 306.366 1.086 20 30 DDEDLO CN1CCC[C@@H]([NH+]2CCN(c3ccc(F)cc3C#N)CC2)C1=O ZINC000879589195 706729674 /nfs/dbraw/zinc/72/96/74/706729674.db2.gz BWSSKEPKCWWCJN-MRXNPFEDSA-N 1 2 316.380 1.440 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)Nc1ccc2c(cc[nH+]c2N(C)C)c1 ZINC000879717561 706768650 /nfs/dbraw/zinc/76/86/50/706768650.db2.gz ALOLFNNOMRPBNN-AWEZNQCLSA-N 1 2 312.373 1.807 20 30 DDEDLO C#CCC[NH+]1CCN(Cc2nnc(C(F)(F)F)s2)CC1 ZINC000851873216 703874993 /nfs/dbraw/zinc/87/49/93/703874993.db2.gz SXZGOAQDUBHSEA-UHFFFAOYSA-N 1 2 304.341 1.698 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](Cc3ccc(O)cc3)CC2)cn1 ZINC000870132183 703922311 /nfs/dbraw/zinc/92/23/11/703922311.db2.gz BBQRDRJLLTYSKY-UHFFFAOYSA-N 1 2 321.380 1.727 20 30 DDEDLO COc1cccc([C@@H]2CN(C(=O)c3ccc(C#N)o3)CC[NH2+]2)c1 ZINC000870154010 703935137 /nfs/dbraw/zinc/93/51/37/703935137.db2.gz WLUQWKSCBZYPPC-HNNXBMFYSA-N 1 2 311.341 1.947 20 30 DDEDLO CS(=O)(=O)c1ccc([C@@H](O)C[N@@H+]2CCC[C@@H](CC#N)C2)cc1 ZINC000852318959 704011462 /nfs/dbraw/zinc/01/14/62/704011462.db2.gz CSGBUBUTHRUFDM-BBRMVZONSA-N 1 2 322.430 1.749 20 30 DDEDLO CS(=O)(=O)c1ccc([C@@H](O)C[N@H+]2CCC[C@@H](CC#N)C2)cc1 ZINC000852318959 704011466 /nfs/dbraw/zinc/01/14/66/704011466.db2.gz CSGBUBUTHRUFDM-BBRMVZONSA-N 1 2 322.430 1.749 20 30 DDEDLO N#CCC[C@@H](C#N)C[NH2+]CCS(=O)(=O)Cc1ccccc1 ZINC000818976638 704040298 /nfs/dbraw/zinc/04/02/98/704040298.db2.gz LIGPRWXXBDQJCB-HNNXBMFYSA-N 1 2 305.403 1.635 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@H](CC)c1nnc2n1CCCCC2 ZINC000852627837 704087074 /nfs/dbraw/zinc/08/70/74/704087074.db2.gz IQGRGNSRZQQJIY-CHWSQXEVSA-N 1 2 303.410 1.183 20 30 DDEDLO C#C[C@H](NC(=O)N(C)CCCn1cc[nH+]c1)C1CCOCC1 ZINC000852642975 704090792 /nfs/dbraw/zinc/09/07/92/704090792.db2.gz RPELTDIJMIMIQW-HNNXBMFYSA-N 1 2 304.394 1.343 20 30 DDEDLO COc1cc(NC[C@H](O)C[N@H+](C)CCC#N)cc(OC)c1OC ZINC000819392849 704120050 /nfs/dbraw/zinc/12/00/50/704120050.db2.gz YEZLSCXSMYZDSU-ZDUSSCGKSA-N 1 2 323.393 1.331 20 30 DDEDLO COc1cc(NC[C@H](O)C[N@@H+](C)CCC#N)cc(OC)c1OC ZINC000819392849 704120051 /nfs/dbraw/zinc/12/00/51/704120051.db2.gz YEZLSCXSMYZDSU-ZDUSSCGKSA-N 1 2 323.393 1.331 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc(O[C@@H]2CCOC2)cc1 ZINC000819448302 704126598 /nfs/dbraw/zinc/12/65/98/704126598.db2.gz VXYRNXUYKNCCJX-NVXWUHKLSA-N 1 2 319.405 1.473 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc(O[C@@H]2CCOC2)cc1 ZINC000819448302 704126601 /nfs/dbraw/zinc/12/66/01/704126601.db2.gz VXYRNXUYKNCCJX-NVXWUHKLSA-N 1 2 319.405 1.473 20 30 DDEDLO Cn1cncc1[C@@H]1CC(=O)N(C[N@@H+]2CCC[C@@H](CC#N)C2)C1 ZINC000853524818 704259803 /nfs/dbraw/zinc/25/98/03/704259803.db2.gz QPNATFKXMZPMNP-UONOGXRCSA-N 1 2 301.394 1.319 20 30 DDEDLO Cn1cncc1[C@@H]1CC(=O)N(C[N@H+]2CCC[C@@H](CC#N)C2)C1 ZINC000853524818 704259804 /nfs/dbraw/zinc/25/98/04/704259804.db2.gz QPNATFKXMZPMNP-UONOGXRCSA-N 1 2 301.394 1.319 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)OC[C@H]1Cc1ccccc1 ZINC000853620810 704287377 /nfs/dbraw/zinc/28/73/77/704287377.db2.gz FJCDKXFEQREZHA-QGZVFWFLSA-N 1 2 316.401 1.979 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)OC[C@H]1Cc1ccccc1 ZINC000853620810 704287380 /nfs/dbraw/zinc/28/73/80/704287380.db2.gz FJCDKXFEQREZHA-QGZVFWFLSA-N 1 2 316.401 1.979 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)cc1 ZINC000854101540 704356598 /nfs/dbraw/zinc/35/65/98/704356598.db2.gz ZNAVGRKKUUHSCB-GJZGRUSLSA-N 1 2 315.417 1.576 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)cc1 ZINC000854101540 704356599 /nfs/dbraw/zinc/35/65/99/704356599.db2.gz ZNAVGRKKUUHSCB-GJZGRUSLSA-N 1 2 315.417 1.576 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2cn(C)nc2Br)CC1 ZINC000880482457 706988773 /nfs/dbraw/zinc/98/87/73/706988773.db2.gz JCSVBWOUEOWESJ-UHFFFAOYSA-N 1 2 312.211 1.533 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H]2CCC[C@]2(CO)C1 ZINC000859923989 705042690 /nfs/dbraw/zinc/04/26/90/705042690.db2.gz ADWVJSZPNXXIGK-LZLYRXPVSA-N 1 2 314.385 1.867 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1C[C@@H]2CCC[C@]2(CO)C1 ZINC000859923989 705042694 /nfs/dbraw/zinc/04/26/94/705042694.db2.gz ADWVJSZPNXXIGK-LZLYRXPVSA-N 1 2 314.385 1.867 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2C[C@@H]3COC(=O)[C@@H]3C2)c(C#N)c1C ZINC000860161728 705119581 /nfs/dbraw/zinc/11/95/81/705119581.db2.gz LLZVWVACKJNRNM-IRUJWGPZSA-N 1 2 317.345 1.200 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2C[C@@H]3COC(=O)[C@@H]3C2)c(C#N)c1C ZINC000860161728 705119584 /nfs/dbraw/zinc/11/95/84/705119584.db2.gz LLZVWVACKJNRNM-IRUJWGPZSA-N 1 2 317.345 1.200 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)NCC1(C#N)CCCC1 ZINC000875692921 705478845 /nfs/dbraw/zinc/47/88/45/705478845.db2.gz STCJUXDCYDZBOV-AWEZNQCLSA-N 1 2 308.426 1.480 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)NCC1(C#N)CCCC1 ZINC000875692921 705478847 /nfs/dbraw/zinc/47/88/47/705478847.db2.gz STCJUXDCYDZBOV-AWEZNQCLSA-N 1 2 308.426 1.480 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)NCC1(C#N)CCCCC1 ZINC000875744701 705497270 /nfs/dbraw/zinc/49/72/70/705497270.db2.gz KNTKBNZZWPANOI-HNNXBMFYSA-N 1 2 322.453 1.870 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)NCC1(C#N)CCCCC1 ZINC000875744701 705497274 /nfs/dbraw/zinc/49/72/74/705497274.db2.gz KNTKBNZZWPANOI-HNNXBMFYSA-N 1 2 322.453 1.870 20 30 DDEDLO C#C[C@@H](CO)NC(=O)[C@H]1CCC[N@@H+]1Cc1ccc(Cl)cc1 ZINC000861884272 705594469 /nfs/dbraw/zinc/59/44/69/705594469.db2.gz KTMIHUHOGGTLGW-LSDHHAIUSA-N 1 2 306.793 1.415 20 30 DDEDLO C#C[C@@H](CO)NC(=O)[C@H]1CCC[N@H+]1Cc1ccc(Cl)cc1 ZINC000861884272 705594472 /nfs/dbraw/zinc/59/44/72/705594472.db2.gz KTMIHUHOGGTLGW-LSDHHAIUSA-N 1 2 306.793 1.415 20 30 DDEDLO CCOC(=O)[C@H](F)C1C[NH+](Cc2cc(C#N)ccc2N(C)C)C1 ZINC000877550346 706139788 /nfs/dbraw/zinc/13/97/88/706139788.db2.gz OFEKSVYTUGWZFZ-MRXNPFEDSA-N 1 2 319.380 1.957 20 30 DDEDLO Brc1cc(N=NCc2c[nH+]c3n2CCC3)ncn1 ZINC000872436882 707410074 /nfs/dbraw/zinc/41/00/74/707410074.db2.gz TUJXQCFOOBYGGO-UHFFFAOYSA-N 1 2 307.155 1.828 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H]3CS(=O)(=O)C[C@@H]3C2)ccc1C#N ZINC000877789079 706213687 /nfs/dbraw/zinc/21/36/87/706213687.db2.gz HXVLDBPAFAYXFI-GJZGRUSLSA-N 1 2 320.414 1.433 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H]3CS(=O)(=O)C[C@@H]3C2)ccc1C#N ZINC000877789079 706213688 /nfs/dbraw/zinc/21/36/88/706213688.db2.gz HXVLDBPAFAYXFI-GJZGRUSLSA-N 1 2 320.414 1.433 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]3CS(=O)(=O)C[C@@H]3C2)cc1C#N ZINC000877790805 706215376 /nfs/dbraw/zinc/21/53/76/706215376.db2.gz HPESDUASXADPQE-ZFWWWQNUSA-N 1 2 320.414 1.433 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]3CS(=O)(=O)C[C@@H]3C2)cc1C#N ZINC000877790805 706215378 /nfs/dbraw/zinc/21/53/78/706215378.db2.gz HPESDUASXADPQE-ZFWWWQNUSA-N 1 2 320.414 1.433 20 30 DDEDLO N#C[C@@H](NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C1CCCC1 ZINC000829369631 706323047 /nfs/dbraw/zinc/32/30/47/706323047.db2.gz MYBJHCWJYGDCCI-OAGGEKHMSA-N 1 2 321.421 1.065 20 30 DDEDLO C=CC[N@@H+](CCc1ccc(C(N)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000878242304 706335996 /nfs/dbraw/zinc/33/59/96/706335996.db2.gz OHYNTSVQSDJUMQ-HNNXBMFYSA-N 1 2 322.430 1.003 20 30 DDEDLO C=CC[N@H+](CCc1ccc(C(N)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000878242304 706335998 /nfs/dbraw/zinc/33/59/98/706335998.db2.gz OHYNTSVQSDJUMQ-HNNXBMFYSA-N 1 2 322.430 1.003 20 30 DDEDLO C=CC[N@@H+](C[C@H]1CCC(C)(C)CO1)[C@H]1CCS(=O)(=O)C1 ZINC000878242347 706336311 /nfs/dbraw/zinc/33/63/11/706336311.db2.gz PKGYLJPQFVUMAN-UONOGXRCSA-N 1 2 301.452 1.867 20 30 DDEDLO C=CC[N@H+](C[C@H]1CCC(C)(C)CO1)[C@H]1CCS(=O)(=O)C1 ZINC000878242347 706336312 /nfs/dbraw/zinc/33/63/12/706336312.db2.gz PKGYLJPQFVUMAN-UONOGXRCSA-N 1 2 301.452 1.867 20 30 DDEDLO C#CCNC(=S)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000865266377 706392480 /nfs/dbraw/zinc/39/24/80/706392480.db2.gz MIGGUFWIIZLGOS-MRXNPFEDSA-N 1 2 317.458 1.293 20 30 DDEDLO C#CCNC(=S)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000865266377 706392482 /nfs/dbraw/zinc/39/24/82/706392482.db2.gz MIGGUFWIIZLGOS-MRXNPFEDSA-N 1 2 317.458 1.293 20 30 DDEDLO CO[C@H]1COCC[C@@H]1[N@H+](C)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000880434772 706975013 /nfs/dbraw/zinc/97/50/13/706975013.db2.gz GLKNCZDBHPUDHK-GJZGRUSLSA-N 1 2 305.334 1.702 20 30 DDEDLO CO[C@H]1COCC[C@@H]1[N@@H+](C)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000880434772 706975016 /nfs/dbraw/zinc/97/50/16/706975016.db2.gz GLKNCZDBHPUDHK-GJZGRUSLSA-N 1 2 305.334 1.702 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](C)c1nc(Br)cs1 ZINC000834737433 707108457 /nfs/dbraw/zinc/10/84/57/707108457.db2.gz RMNCDVAJHFCDLI-ZETCQYMHSA-N 1 2 304.213 1.858 20 30 DDEDLO CC(C)(CNC(=O)Nc1cccc(CC#N)n1)[NH+]1CCOCC1 ZINC000881155779 707139545 /nfs/dbraw/zinc/13/95/45/707139545.db2.gz GNCYJKCERKMDSC-UHFFFAOYSA-N 1 2 317.393 1.380 20 30 DDEDLO Nc1ccc2c(c1)CCCC2=[NH+]NC(=S)NC[C@H]1CCCO1 ZINC000834925009 707149212 /nfs/dbraw/zinc/14/92/12/707149212.db2.gz HLFMXDIVOFKPBA-CYBMUJFWSA-N 1 2 318.446 1.952 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000872475212 707433902 /nfs/dbraw/zinc/43/39/02/707433902.db2.gz MAOZKJBUNGTZDV-CYBMUJFWSA-N 1 2 316.386 1.357 20 30 DDEDLO C#CCOc1ccc(CNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000836408923 707456361 /nfs/dbraw/zinc/45/63/61/707456361.db2.gz DDXSELAPRIHGLL-OAHLLOKOSA-N 1 2 324.384 1.709 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000837359646 707629028 /nfs/dbraw/zinc/62/90/28/707629028.db2.gz GJUVCPSWBKVQAP-INIZCTEOSA-N 1 2 310.463 1.360 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000838503534 707934582 /nfs/dbraw/zinc/93/45/82/707934582.db2.gz WNYHCHHYMBLBNM-OAHLLOKOSA-N 1 2 312.373 1.229 20 30 DDEDLO C=CCN(C(=O)C(=O)N1CCN(c2cccc[nH+]2)CC1)C(C)C ZINC000839247834 708038891 /nfs/dbraw/zinc/03/88/91/708038891.db2.gz KKZXKQIYJJYEEE-UHFFFAOYSA-N 1 2 316.405 1.153 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc2c(c1)OCCCO2 ZINC000884055772 708120380 /nfs/dbraw/zinc/12/03/80/708120380.db2.gz YJNRLUVJNJPSNL-LBPRGKRZSA-N 1 2 320.345 1.233 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCc2cc(Cl)ccc21 ZINC000884099711 708138102 /nfs/dbraw/zinc/13/81/02/708138102.db2.gz XGKXRQIUXOLUSR-UONOGXRCSA-N 1 2 322.792 1.890 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC(C)(C)c1ccccc1C ZINC000884109752 708144072 /nfs/dbraw/zinc/14/40/72/708144072.db2.gz PRKOUFJZJZDTDM-HNNXBMFYSA-N 1 2 318.417 1.835 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H]1CC[C@H](SCC)C1 ZINC000884169136 708169841 /nfs/dbraw/zinc/16/98/41/708169841.db2.gz WVOLDSKKSZOVSP-AVGNSLFASA-N 1 2 314.451 1.566 20 30 DDEDLO C#CCN1CCC[C@@H](NC(=O)NCCCCn2cc[nH+]c2C)C1 ZINC000884171183 708170470 /nfs/dbraw/zinc/17/04/70/708170470.db2.gz PBZXBOUVRHHMMA-MRXNPFEDSA-N 1 2 317.437 1.369 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2c(F)cc(F)cc2C1 ZINC000884323402 708244673 /nfs/dbraw/zinc/24/46/73/708244673.db2.gz NNEYSYGGWOPPGK-AWEZNQCLSA-N 1 2 324.327 1.296 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1CCC(C2CCC2)CC1 ZINC000884416873 708288830 /nfs/dbraw/zinc/28/88/30/708288830.db2.gz PUBYFCNSWSYDLI-NRXISQOPSA-N 1 2 308.422 1.908 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000897360885 708304950 /nfs/dbraw/zinc/30/49/50/708304950.db2.gz SNMKRBBBYGICFY-CABCVRRESA-N 1 2 300.406 1.820 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000897360953 708305094 /nfs/dbraw/zinc/30/50/94/708305094.db2.gz VGZVKLMLIYNSOK-RRFJBIMHSA-N 1 2 300.406 1.676 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000897360953 708305098 /nfs/dbraw/zinc/30/50/98/708305098.db2.gz VGZVKLMLIYNSOK-RRFJBIMHSA-N 1 2 300.406 1.676 20 30 DDEDLO CN(C)c1[nH+]cc(CN2CCC3(CC2)CC(=O)C=CO3)n1C ZINC000897599741 708388635 /nfs/dbraw/zinc/38/86/35/708388635.db2.gz UEVNAEFCPCHKCT-UHFFFAOYSA-N 1 2 304.394 1.324 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000927763307 713050040 /nfs/dbraw/zinc/05/00/40/713050040.db2.gz DAMWHMOPQUORHG-CYBMUJFWSA-N 1 2 324.450 1.292 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000886571795 708778649 /nfs/dbraw/zinc/77/86/49/708778649.db2.gz JAHARKFZRLSXCF-FZKCQIBNSA-N 1 2 300.358 1.475 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000886571795 708778650 /nfs/dbraw/zinc/77/86/50/708778650.db2.gz JAHARKFZRLSXCF-FZKCQIBNSA-N 1 2 300.358 1.475 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2nc(C[NH+](C)C)cs2)nc1 ZINC000927769112 713051651 /nfs/dbraw/zinc/05/16/51/713051651.db2.gz GXFBFOLKCBDGHP-UHFFFAOYSA-N 1 2 300.387 1.511 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)c1ccc(C2(C#N)CC2)cc1 ZINC000898889937 708909867 /nfs/dbraw/zinc/90/98/67/708909867.db2.gz RNKPXVTUGVGFBX-AWEZNQCLSA-N 1 2 310.357 1.439 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@](C)(O)c2ccc(F)cc2)nn1 ZINC000887451015 709046500 /nfs/dbraw/zinc/04/65/00/709046500.db2.gz FTZDUVMFHVLBFE-MRXNPFEDSA-N 1 2 302.353 1.438 20 30 DDEDLO C#CC1(F)CN(C(=O)N[C@H](Cn2cc[nH+]c2)c2ccccc2)C1 ZINC000890241819 709798398 /nfs/dbraw/zinc/79/83/98/709798398.db2.gz CNRSSNFCLYODAZ-OAHLLOKOSA-N 1 2 312.348 1.991 20 30 DDEDLO CC(C)(CO)[NH+]1CCN(c2cc(C#N)sc2[N+](=O)[O-])CC1 ZINC000892543373 710455324 /nfs/dbraw/zinc/45/53/24/710455324.db2.gz YLPOZPVALPHMRZ-UHFFFAOYSA-N 1 2 310.379 1.421 20 30 DDEDLO CC(C)(C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1)c1ccc(C#N)cc1 ZINC000913439586 713216570 /nfs/dbraw/zinc/21/65/70/713216570.db2.gz GQWAZIZFJKILGU-INIZCTEOSA-N 1 2 323.400 1.732 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@H]2CCC3(C[C@H]2F)OCCO3)n1 ZINC000894109594 710971180 /nfs/dbraw/zinc/97/11/80/710971180.db2.gz RSXXWZHTFYZOMA-CVEARBPZSA-N 1 2 321.396 1.972 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@H]2CCC3(C[C@H]2F)OCCO3)n1 ZINC000894109594 710971184 /nfs/dbraw/zinc/97/11/84/710971184.db2.gz RSXXWZHTFYZOMA-CVEARBPZSA-N 1 2 321.396 1.972 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2ccc(Cl)cc2)no1 ZINC000904079301 711375771 /nfs/dbraw/zinc/37/57/71/711375771.db2.gz AJYLGBUCQKFISA-LBPRGKRZSA-N 1 2 321.764 1.913 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc([C@@H]2CCc3ccccc32)no1 ZINC000904093223 711378883 /nfs/dbraw/zinc/37/88/83/711378883.db2.gz OOTBANJNXQGVET-KGLIPLIRSA-N 1 2 313.357 1.747 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)Nc1cc(C#N)ccc1[N+](=O)[O-] ZINC000895801847 711609920 /nfs/dbraw/zinc/60/99/20/711609920.db2.gz UGXZLBHDLFCGCC-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO Cc1c(C(=O)NCCCNc2cccc[nH+]2)cnn1CCC#N ZINC000896340870 711708853 /nfs/dbraw/zinc/70/88/53/711708853.db2.gz MIJCFTDHLFVOJO-UHFFFAOYSA-N 1 2 312.377 1.732 20 30 DDEDLO C=CC[C@H]([NH2+]C1CCN(c2cc(CC)ncn2)CC1)C(=O)OC ZINC000905759739 712140091 /nfs/dbraw/zinc/14/00/91/712140091.db2.gz BWUGGXYEXWYAOL-HNNXBMFYSA-N 1 2 318.421 1.715 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000908647035 712828328 /nfs/dbraw/zinc/82/83/28/712828328.db2.gz WREDDVMZLDNRFB-UONOGXRCSA-N 1 2 301.390 1.972 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)nc2)C[C@@H]1C(C)(C)C ZINC000919590387 713618362 /nfs/dbraw/zinc/61/83/62/713618362.db2.gz FRJLHUBUEJHHFJ-CQSZACIVSA-N 1 2 322.434 1.304 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)nc2)C[C@@H]1C(C)(C)C ZINC000919590387 713618364 /nfs/dbraw/zinc/61/83/64/713618364.db2.gz FRJLHUBUEJHHFJ-CQSZACIVSA-N 1 2 322.434 1.304 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CCCCN1S(=O)(=O)CC(C)(C)C#N ZINC000919974116 713643747 /nfs/dbraw/zinc/64/37/47/713643747.db2.gz HWKWZQWXDZLIHH-LBPRGKRZSA-N 1 2 310.423 1.827 20 30 DDEDLO C=CC[N@H+](CCOC1CCSCC1)[C@H]1CCS(=O)(=O)C1 ZINC000929976031 713726409 /nfs/dbraw/zinc/72/64/09/713726409.db2.gz LRSPRIUIHLHLJJ-ZDUSSCGKSA-N 1 2 319.492 1.574 20 30 DDEDLO C=CC[N@@H+](CCOC1CCSCC1)[C@H]1CCS(=O)(=O)C1 ZINC000929976031 713726411 /nfs/dbraw/zinc/72/64/11/713726411.db2.gz LRSPRIUIHLHLJJ-ZDUSSCGKSA-N 1 2 319.492 1.574 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](C[C@H]3CCC4(COC4)O3)CCO2)c1 ZINC000930136177 713764716 /nfs/dbraw/zinc/76/47/16/713764716.db2.gz RWJZHAPPWTUQDE-IAGOWNOFSA-N 1 2 314.385 1.880 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](C[C@H]3CCC4(COC4)O3)CCO2)c1 ZINC000930136177 713764718 /nfs/dbraw/zinc/76/47/18/713764718.db2.gz RWJZHAPPWTUQDE-IAGOWNOFSA-N 1 2 314.385 1.880 20 30 DDEDLO COC(=O)C1(O)CC[NH+](Cc2cc(Cl)cc(C#N)c2)CC1 ZINC000930166373 713771038 /nfs/dbraw/zinc/77/10/38/713771038.db2.gz FAOKUHAHCARWLN-UHFFFAOYSA-N 1 2 308.765 1.712 20 30 DDEDLO CC(C)C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)nc1 ZINC000922026538 713940992 /nfs/dbraw/zinc/94/09/92/713940992.db2.gz QGBAAUIYVJMBDF-CQSZACIVSA-N 1 2 322.434 1.304 20 30 DDEDLO CC(C)C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)nc1 ZINC000922026538 713940994 /nfs/dbraw/zinc/94/09/94/713940994.db2.gz QGBAAUIYVJMBDF-CQSZACIVSA-N 1 2 322.434 1.304 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C)C(=O)Nc2ccc(Cl)cn2)CC1 ZINC000931146853 714016022 /nfs/dbraw/zinc/01/60/22/714016022.db2.gz RQUOHQOJRHRZLV-LLVKDONJSA-N 1 2 307.781 1.522 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000931848174 714197003 /nfs/dbraw/zinc/19/70/03/714197003.db2.gz UWKUPDDRBFIOBL-UHFFFAOYSA-N 1 2 317.389 1.407 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000931848174 714197007 /nfs/dbraw/zinc/19/70/07/714197007.db2.gz UWKUPDDRBFIOBL-UHFFFAOYSA-N 1 2 317.389 1.407 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)O[C@@H](C)C[NH+]1CCOCC1 ZINC000923591640 714421037 /nfs/dbraw/zinc/42/10/37/714421037.db2.gz AFEVCEIULMMCMB-HNNXBMFYSA-N 1 2 316.401 1.982 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)CCC(=O)c2cccs2)C1 ZINC000923598889 714425021 /nfs/dbraw/zinc/42/50/21/714425021.db2.gz YLBQOWKZXJFRKG-ZDUSSCGKSA-N 1 2 304.415 1.925 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)CCC(=O)c2cccs2)C1 ZINC000923598889 714425022 /nfs/dbraw/zinc/42/50/22/714425022.db2.gz YLBQOWKZXJFRKG-ZDUSSCGKSA-N 1 2 304.415 1.925 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)N[C@H]1CC[C@H](Nc2cccc[nH+]2)CC1 ZINC000923810969 714474041 /nfs/dbraw/zinc/47/40/41/714474041.db2.gz RUJHTPBLZLMSJT-KKUMJFAQSA-N 1 2 316.405 1.488 20 30 DDEDLO CCn1c[nH+]c2c1CCN(CC(=O)Nc1cccc(C#N)c1)C2 ZINC000933260061 714548709 /nfs/dbraw/zinc/54/87/09/714548709.db2.gz IFMJIEUTSSWMBK-UHFFFAOYSA-N 1 2 309.373 1.771 20 30 DDEDLO CCn1c[nH+]c2c1CCN([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2 ZINC000933263947 714549585 /nfs/dbraw/zinc/54/95/85/714549585.db2.gz STVIDLKZBBDDAQ-GUYCJALGSA-N 1 2 317.437 1.704 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(CN3CCNC3=O)CC2)nn1 ZINC000933583227 714624723 /nfs/dbraw/zinc/62/47/23/714624723.db2.gz ZGXUKZZXNJVWCR-UHFFFAOYSA-N 1 2 318.425 1.091 20 30 DDEDLO C=CC[C@H]1CC[N@H+]1CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000934464022 714827169 /nfs/dbraw/zinc/82/71/69/714827169.db2.gz AVTKLACIBMRPTP-JTQLQIEISA-N 1 2 319.430 1.925 20 30 DDEDLO C=CC[C@H]1CC[N@@H+]1CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000934464022 714827171 /nfs/dbraw/zinc/82/71/71/714827171.db2.gz AVTKLACIBMRPTP-JTQLQIEISA-N 1 2 319.430 1.925 20 30 DDEDLO C#Cc1ccc(NC(=O)N[C@H](CC)C[NH+]2CCOCC2)cc1 ZINC000934917600 714929416 /nfs/dbraw/zinc/92/94/16/714929416.db2.gz DZTZVKZRXDVHMI-OAHLLOKOSA-N 1 2 301.390 1.900 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000935934982 715138890 /nfs/dbraw/zinc/13/88/90/715138890.db2.gz SWLDABCVOROGLY-GOEBONIOSA-N 1 2 313.401 1.802 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C(C)C)nn2C)C1 ZINC000957079928 715736356 /nfs/dbraw/zinc/73/63/56/715736356.db2.gz BBUUZMRESJCZMW-UHFFFAOYSA-N 1 2 302.422 1.713 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncoc2-c2ccon2)C1 ZINC000957283051 715815580 /nfs/dbraw/zinc/81/55/80/715815580.db2.gz HBGROSWLLOYTJN-UHFFFAOYSA-N 1 2 314.345 1.499 20 30 DDEDLO C#CCOc1ncccc1C(=O)N(CC)C1C[NH+](CC(=C)C)C1 ZINC000957286225 715817417 /nfs/dbraw/zinc/81/74/17/715817417.db2.gz TVMANVAMWKTWRQ-UHFFFAOYSA-N 1 2 313.401 1.816 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnn(CCOC)c2)C1 ZINC000957333862 715843246 /nfs/dbraw/zinc/84/32/46/715843246.db2.gz ICDRIGABNCAZLB-UHFFFAOYSA-N 1 2 306.410 1.252 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@@H]2OCCc3ccsc32)CC1 ZINC000957384296 715873764 /nfs/dbraw/zinc/87/37/64/715873764.db2.gz KIEAALQZMJTZGO-HNNXBMFYSA-N 1 2 318.442 1.920 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cc(N(C)C)ccn2)C1 ZINC000957448403 715906315 /nfs/dbraw/zinc/90/63/15/715906315.db2.gz FYUHKBZPEPYNER-UHFFFAOYSA-N 1 2 302.422 1.870 20 30 DDEDLO N#Cc1cccc(CN2CCN(C(=O)Cn3cc[nH+]c3)CC2)c1 ZINC000957895719 716220487 /nfs/dbraw/zinc/22/04/87/716220487.db2.gz DUPDDLIHLBOSAR-UHFFFAOYSA-N 1 2 309.373 1.099 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](N(C)C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC000939547294 716316460 /nfs/dbraw/zinc/31/64/60/716316460.db2.gz AAUUCLFOHLQJFG-AWEZNQCLSA-N 1 2 316.405 1.368 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)n1 ZINC000959926001 716358239 /nfs/dbraw/zinc/35/82/39/716358239.db2.gz QADVMALYLXBUFG-STQMWFEESA-N 1 2 319.409 1.823 20 30 DDEDLO CCc1cnc(C[N@H+](C)C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)o1 ZINC000960488847 716577246 /nfs/dbraw/zinc/57/72/46/716577246.db2.gz DTTMPDKBHKUPRN-STQMWFEESA-N 1 2 304.394 1.819 20 30 DDEDLO CCc1cnc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)o1 ZINC000960488847 716577247 /nfs/dbraw/zinc/57/72/47/716577247.db2.gz DTTMPDKBHKUPRN-STQMWFEESA-N 1 2 304.394 1.819 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H]2C[N@@H+](C)Cc2ccon2)nc1 ZINC000960970863 716751296 /nfs/dbraw/zinc/75/12/96/716751296.db2.gz CMRQNPUHPHYALU-INIZCTEOSA-N 1 2 324.384 1.788 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H]2C[N@H+](C)Cc2ccon2)nc1 ZINC000960970863 716751303 /nfs/dbraw/zinc/75/13/03/716751303.db2.gz CMRQNPUHPHYALU-INIZCTEOSA-N 1 2 324.384 1.788 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000940846564 716988592 /nfs/dbraw/zinc/98/85/92/716988592.db2.gz BVFXTJOQDXFHNL-HIFRSBDPSA-N 1 2 315.421 1.186 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC000940891253 717012072 /nfs/dbraw/zinc/01/20/72/717012072.db2.gz WPPFAPNGAINRRX-UHFFFAOYSA-N 1 2 318.421 1.615 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3CC[C@H](C)C3)CC2)C1 ZINC000941527926 717195104 /nfs/dbraw/zinc/19/51/04/717195104.db2.gz DRBLPKRPPQOKQZ-IRXDYDNUSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC[C@@H]3CC)CC2)C1 ZINC000941553117 717207515 /nfs/dbraw/zinc/20/75/15/717207515.db2.gz LYCZLJDIPLVSJT-RDJZCZTQSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3csc(C)c3)CC2)C1 ZINC000941605392 717226585 /nfs/dbraw/zinc/22/65/85/717226585.db2.gz FUKQQNLPKQGJKJ-UHFFFAOYSA-N 1 2 303.431 1.132 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3(F)CCCCC3)CC2)C1 ZINC000941630613 717236912 /nfs/dbraw/zinc/23/69/12/717236912.db2.gz XPRLXHQHLPNJPU-UHFFFAOYSA-N 1 2 307.413 1.120 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3C[C@@H](C)C[C@H](C)C3)CC2)C1 ZINC000941678678 717253140 /nfs/dbraw/zinc/25/31/40/717253140.db2.gz DFVPKEGPOHVSMR-HOTGVXAUSA-N 1 2 317.477 1.520 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3coc4ccccc34)CC2)C1 ZINC000941686388 717256728 /nfs/dbraw/zinc/25/67/28/717256728.db2.gz IPVYSOWEJZFRKT-UHFFFAOYSA-N 1 2 323.396 1.508 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ncccn2)CC[C@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC000941968892 717410863 /nfs/dbraw/zinc/41/08/63/717410863.db2.gz ZBJFSAJYGPFHNS-GXTWGEPZSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ncccn2)CC[C@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC000941968892 717410866 /nfs/dbraw/zinc/41/08/66/717410866.db2.gz ZBJFSAJYGPFHNS-GXTWGEPZSA-N 1 2 324.388 1.317 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2cncc3nc[nH]c32)[C@@H](C)C1 ZINC000942063665 717459801 /nfs/dbraw/zinc/45/98/01/717459801.db2.gz MLOQBGAMNURQGC-JSGCOSHPSA-N 1 2 311.389 1.421 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2cncc3nc[nH]c32)[C@@H](C)C1 ZINC000942063665 717459802 /nfs/dbraw/zinc/45/98/02/717459802.db2.gz MLOQBGAMNURQGC-JSGCOSHPSA-N 1 2 311.389 1.421 20 30 DDEDLO C[C@H]1CN(CC#N)CC[C@@H]1NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000942064215 717459812 /nfs/dbraw/zinc/45/98/12/717459812.db2.gz PLEQMHVMSCFBIU-ZFWWWQNUSA-N 1 2 315.421 1.112 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnn(C)c2C)C1 ZINC000965151531 717555522 /nfs/dbraw/zinc/55/55/22/717555522.db2.gz MWAYMEBGFLYAAX-YGRLFVJLSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnn(C)c2C)C1 ZINC000965151531 717555525 /nfs/dbraw/zinc/55/55/25/717555525.db2.gz MWAYMEBGFLYAAX-YGRLFVJLSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cn(C)nc2C)C1 ZINC000965596828 717704669 /nfs/dbraw/zinc/70/46/69/717704669.db2.gz MIAMHONCQKWWHU-YGRLFVJLSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cn(C)nc2C)C1 ZINC000965596828 717704674 /nfs/dbraw/zinc/70/46/74/717704674.db2.gz MIAMHONCQKWWHU-YGRLFVJLSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cnn(C)n3)C[C@H]2C)C1 ZINC000942601997 717772568 /nfs/dbraw/zinc/77/25/68/717772568.db2.gz BRJUVAUPLADWGG-UKRRQHHQSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cnn(C)n3)C[C@H]2C)C1 ZINC000942601997 717772570 /nfs/dbraw/zinc/77/25/70/717772570.db2.gz BRJUVAUPLADWGG-UKRRQHHQSA-N 1 2 317.437 1.498 20 30 DDEDLO CN(C(=O)[C@@H]1CCn2cc[nH+]c2C1)C1CCC(NCC#N)CC1 ZINC000963582696 717836747 /nfs/dbraw/zinc/83/67/47/717836747.db2.gz SEIQOQSODNPIKQ-WLYUNCDWSA-N 1 2 315.421 1.328 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H](C)[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000966799079 718664759 /nfs/dbraw/zinc/66/47/59/718664759.db2.gz LFQYOMOBPWEGAX-OLZOCXBDSA-N 1 2 316.405 1.272 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966885056 718694439 /nfs/dbraw/zinc/69/44/39/718694439.db2.gz WJQDGYBSPLOJMT-SKDRFNHKSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966885056 718694441 /nfs/dbraw/zinc/69/44/41/718694441.db2.gz WJQDGYBSPLOJMT-SKDRFNHKSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967723546 718988443 /nfs/dbraw/zinc/98/84/43/718988443.db2.gz OTZFDESOQMPMHP-GXSJLCMTSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967723546 718988444 /nfs/dbraw/zinc/98/84/44/718988444.db2.gz OTZFDESOQMPMHP-GXSJLCMTSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cc(-c3ccn(C)c3)n[nH]2)CC1 ZINC000948686673 719646109 /nfs/dbraw/zinc/64/61/09/719646109.db2.gz LEMBEYNDKXTMTP-UHFFFAOYSA-N 1 2 313.405 1.749 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cccn(C)c2=O)C1 ZINC000968559164 719664613 /nfs/dbraw/zinc/66/46/13/719664613.db2.gz WVGNQJNJNUCSGZ-FZMZJTMJSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cccn(C)c2=O)C1 ZINC000968559164 719664614 /nfs/dbraw/zinc/66/46/14/719664614.db2.gz WVGNQJNJNUCSGZ-FZMZJTMJSA-N 1 2 323.824 1.578 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2cn3cc(C)sc3n2)CC1 ZINC000949093639 719903804 /nfs/dbraw/zinc/90/38/04/719903804.db2.gz FPXPUSMQROFTTC-UHFFFAOYSA-N 1 2 304.419 1.577 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3coc(C)c3)CC2)C1 ZINC000949349234 720033166 /nfs/dbraw/zinc/03/31/66/720033166.db2.gz XWLJBZKPVNVXIB-UHFFFAOYSA-N 1 2 302.374 1.528 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3coc(C)c3)CC2)C1 ZINC000949349234 720033169 /nfs/dbraw/zinc/03/31/69/720033169.db2.gz XWLJBZKPVNVXIB-UHFFFAOYSA-N 1 2 302.374 1.528 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H](C)C(C)(C)C)CC2)C1 ZINC000949398380 720061666 /nfs/dbraw/zinc/06/16/66/720061666.db2.gz KRZMFQLQEDEGRP-OAHLLOKOSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H](C)C(C)(C)C)CC2)C1 ZINC000949398380 720061669 /nfs/dbraw/zinc/06/16/69/720061669.db2.gz KRZMFQLQEDEGRP-OAHLLOKOSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3[nH]c(C)cc3C)CC2)C1 ZINC000949398640 720061721 /nfs/dbraw/zinc/06/17/21/720061721.db2.gz NACQXVLHPITXTH-UHFFFAOYSA-N 1 2 315.417 1.572 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3[nH]c(C)cc3C)CC2)C1 ZINC000949398640 720061727 /nfs/dbraw/zinc/06/17/27/720061727.db2.gz NACQXVLHPITXTH-UHFFFAOYSA-N 1 2 315.417 1.572 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)CC2)C1 ZINC000949418478 720074089 /nfs/dbraw/zinc/07/40/89/720074089.db2.gz CFPVAZJWPAMNRN-IRXDYDNUSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)CC2)C1 ZINC000949418478 720074094 /nfs/dbraw/zinc/07/40/94/720074094.db2.gz CFPVAZJWPAMNRN-IRXDYDNUSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3CCC(F)CC3)CC2)C1 ZINC000949466160 720107672 /nfs/dbraw/zinc/10/76/72/720107672.db2.gz AUZJWXPGXQFWIP-UHFFFAOYSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3CCC(F)CC3)CC2)C1 ZINC000949466160 720107673 /nfs/dbraw/zinc/10/76/73/720107673.db2.gz AUZJWXPGXQFWIP-UHFFFAOYSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H](C)c3ccco3)CC2)C1 ZINC000949470646 720110208 /nfs/dbraw/zinc/11/02/08/720110208.db2.gz GLFZCZNVMFZEHD-HNNXBMFYSA-N 1 2 316.401 1.710 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H](C)c3ccco3)CC2)C1 ZINC000949470646 720110209 /nfs/dbraw/zinc/11/02/09/720110209.db2.gz GLFZCZNVMFZEHD-HNNXBMFYSA-N 1 2 316.401 1.710 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)C2CC(OCC)C2)C1 ZINC000969584887 720201358 /nfs/dbraw/zinc/20/13/58/720201358.db2.gz PVQAGJXIUDZVPK-LKSINWNRSA-N 1 2 300.830 1.991 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cn(C)c3ccccc23)CC1 ZINC000949953188 720428650 /nfs/dbraw/zinc/42/86/50/720428650.db2.gz DTRHLXSHHBQFIP-UHFFFAOYSA-N 1 2 309.413 1.888 20 30 DDEDLO C=C1CCC(C(=O)N2CC[NH+](CCN3CCCC3=O)CC2)CC1 ZINC000950046837 720467077 /nfs/dbraw/zinc/46/70/77/720467077.db2.gz LTIKPPQJOOKTMM-UHFFFAOYSA-N 1 2 319.449 1.499 20 30 DDEDLO C#CCCN1CC(N(CC)C(=O)c2ccn3c(C)c[nH+]c3c2)C1 ZINC000950097060 720489099 /nfs/dbraw/zinc/48/90/99/720489099.db2.gz JQZMENBSQWIHNE-UHFFFAOYSA-N 1 2 310.401 1.812 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2[nH]c(C)c(C(N)=O)c2CC)C1 ZINC000950500811 720659421 /nfs/dbraw/zinc/65/94/21/720659421.db2.gz IVCFGGJIPYALBN-UHFFFAOYSA-N 1 2 318.421 1.317 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2coc(C(N)=O)c2)C1 ZINC000970211413 720663758 /nfs/dbraw/zinc/66/37/58/720663758.db2.gz XBJANMDDOBLNQX-VIFPVBQESA-N 1 2 311.769 1.181 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2nc3ncc(C)cn3n2)C1 ZINC000950592622 720697323 /nfs/dbraw/zinc/69/73/23/720697323.db2.gz XPBJPRPVLPZFDF-UHFFFAOYSA-N 1 2 314.393 1.155 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCc3cncn3C2)C1 ZINC000950662718 720725360 /nfs/dbraw/zinc/72/53/60/720725360.db2.gz MSGQKNLCMKYABZ-AWEZNQCLSA-N 1 2 302.422 1.554 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc3nnc(C)n3c2)C1 ZINC000950690992 720736803 /nfs/dbraw/zinc/73/68/03/720736803.db2.gz SYDHGZIQEHFOMY-UHFFFAOYSA-N 1 2 313.405 1.760 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3ccc(C)cn32)C1 ZINC000950873476 720805236 /nfs/dbraw/zinc/80/52/36/720805236.db2.gz FJGUCOMQUIKTKP-UHFFFAOYSA-N 1 2 310.401 1.812 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)C2C[NH+](CCn3cccn3)C2)cc1 ZINC000951114093 720922616 /nfs/dbraw/zinc/92/26/16/720922616.db2.gz QQYOBPFJFCTZCB-UHFFFAOYSA-N 1 2 322.412 1.711 20 30 DDEDLO CC#CC[NH+]1CC([C@H](C)NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000970823596 720948658 /nfs/dbraw/zinc/94/86/58/720948658.db2.gz BKBZXOLMBKGOAG-ZDUSSCGKSA-N 1 2 324.380 1.866 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC000970918596 720987054 /nfs/dbraw/zinc/98/70/54/720987054.db2.gz VUGDMGCKNIPFLQ-VXGBXAGGSA-N 1 2 323.828 1.030 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2noc3c2CCCC3)C1 ZINC000971218365 721185015 /nfs/dbraw/zinc/18/50/15/721185015.db2.gz MNDSOHDCIWZSGE-QWHCGFSZSA-N 1 2 316.405 1.746 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2noc3c2CCCC3)C1 ZINC000971218365 721185016 /nfs/dbraw/zinc/18/50/16/721185016.db2.gz MNDSOHDCIWZSGE-QWHCGFSZSA-N 1 2 316.405 1.746 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000971218206 721185273 /nfs/dbraw/zinc/18/52/73/721185273.db2.gz KGIHTAJGTWXRAY-KOLCDFICSA-N 1 2 300.200 1.587 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000971218206 721185277 /nfs/dbraw/zinc/18/52/77/721185277.db2.gz KGIHTAJGTWXRAY-KOLCDFICSA-N 1 2 300.200 1.587 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)[C@@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971287131 721219832 /nfs/dbraw/zinc/21/98/32/721219832.db2.gz AYOJPVMINCBRGM-TZMCWYRMSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)[C@@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971287131 721219834 /nfs/dbraw/zinc/21/98/34/721219834.db2.gz AYOJPVMINCBRGM-TZMCWYRMSA-N 1 2 324.388 1.584 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000951916390 721235672 /nfs/dbraw/zinc/23/56/72/721235672.db2.gz HEEBUPLZORBYCC-CXAGYDPISA-N 1 2 318.421 1.662 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC000951954682 721253060 /nfs/dbraw/zinc/25/30/60/721253060.db2.gz JCTMEHSQQJTLLP-ZDUSSCGKSA-N 1 2 316.405 1.416 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCCOC3)C2)C1 ZINC000972616797 735355530 /nfs/dbraw/zinc/35/55/30/735355530.db2.gz HETIAJZGGZVOHR-WMZOPIPTSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCCOC3)C2)C1 ZINC000972616797 735355532 /nfs/dbraw/zinc/35/55/32/735355532.db2.gz HETIAJZGGZVOHR-WMZOPIPTSA-N 1 2 322.449 1.683 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@]3(C)CCCOC3)C2)C1 ZINC000972618187 735360201 /nfs/dbraw/zinc/36/02/01/735360201.db2.gz XACRXTNKKOHNPK-MSOLQXFVSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@]3(C)CCCOC3)C2)C1 ZINC000972618187 735360202 /nfs/dbraw/zinc/36/02/02/735360202.db2.gz XACRXTNKKOHNPK-MSOLQXFVSA-N 1 2 320.433 1.130 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]([N@@H+](C)Cc3cn(C)nn3)C2)C1 ZINC001027567191 738413809 /nfs/dbraw/zinc/41/38/09/738413809.db2.gz SJBIBCCMERWBPD-OAHLLOKOSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]([N@H+](C)Cc3cn(C)nn3)C2)C1 ZINC001027567191 738413810 /nfs/dbraw/zinc/41/38/10/738413810.db2.gz SJBIBCCMERWBPD-OAHLLOKOSA-N 1 2 317.437 1.594 20 30 DDEDLO C#CC[N@@H+](C)[C@@H]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001027638423 738498958 /nfs/dbraw/zinc/49/89/58/738498958.db2.gz SBSLWLDTZWAQPY-MRXNPFEDSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CC[N@H+](C)[C@@H]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001027638423 738498961 /nfs/dbraw/zinc/49/89/61/738498961.db2.gz SBSLWLDTZWAQPY-MRXNPFEDSA-N 1 2 323.400 1.641 20 30 DDEDLO C=CCCC[N@H+]1CC(=O)NC[C@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001209157349 732614655 /nfs/dbraw/zinc/61/46/55/732614655.db2.gz URUJYMNHNUBHFK-KRWDZBQOSA-N 1 2 323.437 1.764 20 30 DDEDLO C=CCCC[N@@H+]1CC(=O)NC[C@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001209157349 732614658 /nfs/dbraw/zinc/61/46/58/732614658.db2.gz URUJYMNHNUBHFK-KRWDZBQOSA-N 1 2 323.437 1.764 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)OC(=O)/C=C\c1ccccc1C#N ZINC000588428905 734406364 /nfs/dbraw/zinc/40/63/64/734406364.db2.gz NSWIVFCABOTPKA-LMVHVUTASA-N 1 2 300.358 1.835 20 30 DDEDLO CC(C)[N@@H+](C)Cc1n[nH]c2c1CCN(C(=O)C(C)(C)C#N)C2 ZINC001143398389 734409871 /nfs/dbraw/zinc/40/98/71/734409871.db2.gz LNTBSLVQGVTRSN-UHFFFAOYSA-N 1 2 303.410 1.684 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4cccnc4c3)[C@H]2C1 ZINC001083228574 734518177 /nfs/dbraw/zinc/51/81/77/734518177.db2.gz IWFIQVUVJBDLMT-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4cccnc4c3)[C@H]2C1 ZINC001083228574 734518181 /nfs/dbraw/zinc/51/81/81/734518181.db2.gz IWFIQVUVJBDLMT-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO Cc1c[nH]nc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038239901 734771938 /nfs/dbraw/zinc/77/19/38/734771938.db2.gz NAONIGAFRMTFQH-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1c[nH]nc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038239901 734771940 /nfs/dbraw/zinc/77/19/40/734771940.db2.gz NAONIGAFRMTFQH-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](n2cc(C[NH2+]C/C=C\Cl)nn2)C1 ZINC001098633286 738851848 /nfs/dbraw/zinc/85/18/48/738851848.db2.gz KWPIEQFMFONRDZ-HJHHMULASA-N 1 2 323.828 1.860 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)CCN(C)c1cc[nH+]c(C)n1 ZINC001105362100 739314180 /nfs/dbraw/zinc/31/41/80/739314180.db2.gz ASRZSCQRCNXBMD-CYBMUJFWSA-N 1 2 306.410 1.661 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@H]2CNC(=O)c2cn[nH]n2)c1 ZINC001027990096 738915565 /nfs/dbraw/zinc/91/55/65/738915565.db2.gz GCXQCYPYXVHIRQ-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2cn[nH]n2)c1 ZINC001027990096 738915567 /nfs/dbraw/zinc/91/55/67/738915567.db2.gz GCXQCYPYXVHIRQ-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@H]2CNC(=O)c2cnn[nH]2)c1 ZINC001027990096 738915570 /nfs/dbraw/zinc/91/55/70/738915570.db2.gz GCXQCYPYXVHIRQ-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2cnn[nH]2)c1 ZINC001027990096 738915572 /nfs/dbraw/zinc/91/55/72/738915572.db2.gz GCXQCYPYXVHIRQ-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@@H]2CNC(=O)c2ncn[nH]2)c1 ZINC001024715490 736035998 /nfs/dbraw/zinc/03/59/98/736035998.db2.gz GKKTZTVIHQGPOX-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@@H]2CNC(=O)c2ncn[nH]2)c1 ZINC001024715490 736036006 /nfs/dbraw/zinc/03/60/06/736036006.db2.gz GKKTZTVIHQGPOX-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO CC1(C)[C@H](NC(=O)Cn2cc[nH+]c2)[C@H]2CCCN(CC#N)[C@H]21 ZINC001087319182 736084912 /nfs/dbraw/zinc/08/49/12/736084912.db2.gz JZHLUKISCJAIKL-BPLDGKMQSA-N 1 2 301.394 1.012 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@@H](C)CNc1ncccc1C#N ZINC001104643815 736481438 /nfs/dbraw/zinc/48/14/38/736481438.db2.gz FHSRMCXPWALBGF-NSHDSACASA-N 1 2 312.377 1.486 20 30 DDEDLO C[C@H](CNc1cccc(F)c1C#N)N(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001104647652 736489847 /nfs/dbraw/zinc/48/98/47/736489847.db2.gz LAGFOQOLCPHUGD-LLVKDONJSA-N 1 2 315.352 1.922 20 30 DDEDLO C[C@H](NC(=O)c1cccc2[nH+]ccn21)[C@H]1CCCN(CC#N)C1 ZINC001025481742 736715633 /nfs/dbraw/zinc/71/56/33/736715633.db2.gz KBRLEFIWNJXQJH-KBPBESRZSA-N 1 2 311.389 1.688 20 30 DDEDLO C#CCCCC(=O)N1CC(n2cc(C[NH2+]C/C=C\Cl)nn2)C1 ZINC001105227650 737633622 /nfs/dbraw/zinc/63/36/22/737633622.db2.gz RWVLIZQOTGIJRI-ALCCZGGFSA-N 1 2 321.812 1.307 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)CCCC)C2)nn1 ZINC001105230285 737636753 /nfs/dbraw/zinc/63/67/53/737636753.db2.gz FDXGXPWRAASFIT-AWEZNQCLSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105305480 737794796 /nfs/dbraw/zinc/79/47/96/737794796.db2.gz DPIGGLFVDXBWIO-NWDGAFQWSA-N 1 2 309.389 1.179 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001125966798 737978746 /nfs/dbraw/zinc/97/87/46/737978746.db2.gz CGRODZWJSKRQID-GFCCVEGCSA-N 1 2 308.382 1.176 20 30 DDEDLO C=CCOCC(=O)NCC[C@H](C)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001075919988 741980208 /nfs/dbraw/zinc/98/02/08/741980208.db2.gz KSHBEYRDMWLMPY-UONOGXRCSA-N 1 2 322.409 1.048 20 30 DDEDLO C#CCC1(C(=O)N[C@H](C)CNc2cc[nH+]c(C)n2)CCOCC1 ZINC001107975387 751391585 /nfs/dbraw/zinc/39/15/85/751391585.db2.gz DMIZRBXRKHPNGO-CYBMUJFWSA-N 1 2 316.405 1.522 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@H]1CCCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC001027354849 738229169 /nfs/dbraw/zinc/22/91/69/738229169.db2.gz LWIGBCGXIHFGTI-AWEZNQCLSA-N 1 2 324.388 1.413 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@H]1CCCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC001027354849 738229170 /nfs/dbraw/zinc/22/91/70/738229170.db2.gz LWIGBCGXIHFGTI-AWEZNQCLSA-N 1 2 324.388 1.413 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2cc(OC)no2)C1 ZINC001107976759 751395508 /nfs/dbraw/zinc/39/55/08/751395508.db2.gz VONZWBGLICHNGY-MRXNPFEDSA-N 1 2 323.393 1.009 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2cc(OC)no2)C1 ZINC001107976759 751395516 /nfs/dbraw/zinc/39/55/16/751395516.db2.gz VONZWBGLICHNGY-MRXNPFEDSA-N 1 2 323.393 1.009 20 30 DDEDLO C[N@H+](Cc1nccs1)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405239 738268844 /nfs/dbraw/zinc/26/88/44/738268844.db2.gz FRAKXYLATFSCGB-AWEZNQCLSA-N 1 2 303.431 1.979 20 30 DDEDLO C[N@@H+](Cc1nccs1)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405239 738268846 /nfs/dbraw/zinc/26/88/46/738268846.db2.gz FRAKXYLATFSCGB-AWEZNQCLSA-N 1 2 303.431 1.979 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)[C@@H]1C ZINC001088788424 742368203 /nfs/dbraw/zinc/36/82/03/742368203.db2.gz JRMWHGDUWOFAHE-DOMZBBRYSA-N 1 2 324.388 1.378 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)[C@@H]1C ZINC001088788424 742368208 /nfs/dbraw/zinc/36/82/08/742368208.db2.gz JRMWHGDUWOFAHE-DOMZBBRYSA-N 1 2 324.388 1.378 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001028102923 739037172 /nfs/dbraw/zinc/03/71/72/739037172.db2.gz IUHKWCWCNBQSIJ-NEPJUHHUSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001028102923 739037178 /nfs/dbraw/zinc/03/71/78/739037178.db2.gz IUHKWCWCNBQSIJ-NEPJUHHUSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001028134735 739077974 /nfs/dbraw/zinc/07/79/74/739077974.db2.gz FAFGOMTYLLOUBH-RWMBFGLXSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001028134735 739077978 /nfs/dbraw/zinc/07/79/78/739077978.db2.gz FAFGOMTYLLOUBH-RWMBFGLXSA-N 1 2 313.829 1.044 20 30 DDEDLO CC(C)=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001035333014 751417432 /nfs/dbraw/zinc/41/74/32/751417432.db2.gz YVAVSXJAVXYBIV-CQSZACIVSA-N 1 2 302.378 1.283 20 30 DDEDLO CC(C)=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001035333014 751417438 /nfs/dbraw/zinc/41/74/38/751417438.db2.gz YVAVSXJAVXYBIV-CQSZACIVSA-N 1 2 302.378 1.283 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc(=O)n(CC)n1 ZINC001028208981 739161659 /nfs/dbraw/zinc/16/16/59/739161659.db2.gz ANZOOPSVYMNHGY-LBPRGKRZSA-N 1 2 324.812 1.210 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(=O)n(CC)n1 ZINC001028208981 739161660 /nfs/dbraw/zinc/16/16/60/739161660.db2.gz ANZOOPSVYMNHGY-LBPRGKRZSA-N 1 2 324.812 1.210 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)s1 ZINC001075620713 739229411 /nfs/dbraw/zinc/22/94/11/739229411.db2.gz AEHPBGPNKVQHEL-WCFLWFBJSA-N 1 2 304.419 1.644 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)s1 ZINC001075620713 739229416 /nfs/dbraw/zinc/22/94/16/739229416.db2.gz AEHPBGPNKVQHEL-WCFLWFBJSA-N 1 2 304.419 1.644 20 30 DDEDLO C[C@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1cncc(C#N)n1 ZINC001098152712 739272682 /nfs/dbraw/zinc/27/26/82/739272682.db2.gz CQSCHQOCUUOTTK-LLVKDONJSA-N 1 2 321.344 1.226 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccnn2[C@H](C)CC)C1 ZINC001035386374 751455867 /nfs/dbraw/zinc/45/58/67/751455867.db2.gz NCXRLYBHKYVDPY-ZIAGYGMSSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccnn2[C@H](C)CC)C1 ZINC001035386374 751455869 /nfs/dbraw/zinc/45/58/69/751455869.db2.gz NCXRLYBHKYVDPY-ZIAGYGMSSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+][C@@H](C)c1nnc(CC(C)C)o1 ZINC001126378194 739626219 /nfs/dbraw/zinc/62/62/19/739626219.db2.gz JCNXUHIDTPUPAU-BLLLJJGKSA-N 1 2 324.425 1.362 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@H+](CC#Cc2cccc(Cl)c2)C[C@@H]1O ZINC001083344891 739719550 /nfs/dbraw/zinc/71/95/50/739719550.db2.gz LKTNGUUNMJNDBM-CVEARBPZSA-N 1 2 320.820 1.509 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2cccc(Cl)c2)C[C@@H]1O ZINC001083344891 739719554 /nfs/dbraw/zinc/71/95/54/739719554.db2.gz LKTNGUUNMJNDBM-CVEARBPZSA-N 1 2 320.820 1.509 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc3ncccc3o2)C1 ZINC001035456892 751505106 /nfs/dbraw/zinc/50/51/06/751505106.db2.gz VJMCKTOAAPALRW-CYBMUJFWSA-N 1 2 313.357 1.282 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc3ncccc3o2)C1 ZINC001035456892 751505113 /nfs/dbraw/zinc/50/51/13/751505113.db2.gz VJMCKTOAAPALRW-CYBMUJFWSA-N 1 2 313.357 1.282 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(COC)cs2)C1 ZINC001035431929 751508203 /nfs/dbraw/zinc/50/82/03/751508203.db2.gz LNERBAQPSALCFE-AWEZNQCLSA-N 1 2 324.446 1.901 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(COC)cs2)C1 ZINC001035431929 751508210 /nfs/dbraw/zinc/50/82/10/751508210.db2.gz LNERBAQPSALCFE-AWEZNQCLSA-N 1 2 324.446 1.901 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncc3ccccn32)C1 ZINC001035444994 751521726 /nfs/dbraw/zinc/52/17/26/751521726.db2.gz LGAWZQCYGNSXRK-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncc3ccccn32)C1 ZINC001035444994 751521729 /nfs/dbraw/zinc/52/17/29/751521729.db2.gz LGAWZQCYGNSXRK-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)Cc3nnc(C)o3)[C@@H]2C1 ZINC001075762260 740313671 /nfs/dbraw/zinc/31/36/71/740313671.db2.gz XURFSFSRLOBWNP-NWDGAFQWSA-N 1 2 310.785 1.206 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)Cc3nnc(C)o3)[C@@H]2C1 ZINC001075762260 740313674 /nfs/dbraw/zinc/31/36/74/740313674.db2.gz XURFSFSRLOBWNP-NWDGAFQWSA-N 1 2 310.785 1.206 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)[C@H](C)CO3)C1 ZINC001035480672 751534031 /nfs/dbraw/zinc/53/40/31/751534031.db2.gz HMDSXMVANWNQHR-HIFRSBDPSA-N 1 2 316.401 1.799 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)[C@H](C)CO3)C1 ZINC001035480672 751534034 /nfs/dbraw/zinc/53/40/34/751534034.db2.gz HMDSXMVANWNQHR-HIFRSBDPSA-N 1 2 316.401 1.799 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CC(F)(F)F)C1 ZINC001149319135 740587979 /nfs/dbraw/zinc/58/79/79/740587979.db2.gz XYOBYMLQMBCPKI-JTQLQIEISA-N 1 2 314.735 1.898 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CC(F)(F)F)C1 ZINC001149319135 740587981 /nfs/dbraw/zinc/58/79/81/740587981.db2.gz XYOBYMLQMBCPKI-JTQLQIEISA-N 1 2 314.735 1.898 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2C[N@H+](CC=C(C)C)CCO2)c1 ZINC001035505996 751562384 /nfs/dbraw/zinc/56/23/84/751562384.db2.gz PIGKOBYMUNRFHY-KRWDZBQOSA-N 1 2 313.401 1.460 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2C[N@@H+](CC=C(C)C)CCO2)c1 ZINC001035505996 751562386 /nfs/dbraw/zinc/56/23/86/751562386.db2.gz PIGKOBYMUNRFHY-KRWDZBQOSA-N 1 2 313.401 1.460 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2oc(C(C)C)nc2C)C1 ZINC001035537770 751582987 /nfs/dbraw/zinc/58/29/87/751582987.db2.gz KBVMENKMURTAMO-CQSZACIVSA-N 1 2 319.405 1.560 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2oc(C(C)C)nc2C)C1 ZINC001035537770 751582992 /nfs/dbraw/zinc/58/29/92/751582992.db2.gz KBVMENKMURTAMO-CQSZACIVSA-N 1 2 319.405 1.560 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2sc(CC)nc2C)C1 ZINC001035545889 751593063 /nfs/dbraw/zinc/59/30/63/751593063.db2.gz WMEHBPKZUASVOV-GFCCVEGCSA-N 1 2 309.435 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2sc(CC)nc2C)C1 ZINC001035545889 751593069 /nfs/dbraw/zinc/59/30/69/751593069.db2.gz WMEHBPKZUASVOV-GFCCVEGCSA-N 1 2 309.435 1.631 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[N@@H+](Cc4cnon4)CC[C@@H]3C2)C1 ZINC001088098290 741028016 /nfs/dbraw/zinc/02/80/16/741028016.db2.gz CEEZHBXSWBVDJR-KGLIPLIRSA-N 1 2 316.405 1.706 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[N@H+](Cc4cnon4)CC[C@@H]3C2)C1 ZINC001088098290 741028019 /nfs/dbraw/zinc/02/80/19/741028019.db2.gz CEEZHBXSWBVDJR-KGLIPLIRSA-N 1 2 316.405 1.706 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H](C[N@H+](C)Cc2nn(C)cc2Cl)C1 ZINC001029676400 741216729 /nfs/dbraw/zinc/21/67/29/741216729.db2.gz AMCJTQUAOQVBDF-NWDGAFQWSA-N 1 2 323.828 1.513 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nn(C)cc2Cl)C1 ZINC001029676400 741216733 /nfs/dbraw/zinc/21/67/33/741216733.db2.gz AMCJTQUAOQVBDF-NWDGAFQWSA-N 1 2 323.828 1.513 20 30 DDEDLO CC(C)c1nnc(C[N@H+](C)C[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)[nH]1 ZINC001029678806 741219549 /nfs/dbraw/zinc/21/95/49/741219549.db2.gz SYHROUFJRGPUDX-OLZOCXBDSA-N 1 2 318.425 1.368 20 30 DDEDLO CC(C)c1nnc(C[N@@H+](C)C[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)[nH]1 ZINC001029678806 741219550 /nfs/dbraw/zinc/21/95/50/741219550.db2.gz SYHROUFJRGPUDX-OLZOCXBDSA-N 1 2 318.425 1.368 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2coc(CC3CC3)n2)C1 ZINC001035566754 751619352 /nfs/dbraw/zinc/61/93/52/751619352.db2.gz YNDZLUOYLGLTBA-AWEZNQCLSA-N 1 2 319.405 1.634 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2coc(CC3CC3)n2)C1 ZINC001035566754 751619354 /nfs/dbraw/zinc/61/93/54/751619354.db2.gz YNDZLUOYLGLTBA-AWEZNQCLSA-N 1 2 319.405 1.634 20 30 DDEDLO C[C@@H](c1csnn1)[N@H+](C)C[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001029734383 741260791 /nfs/dbraw/zinc/26/07/91/741260791.db2.gz WOWJEDZSDQZSNU-JSGCOSHPSA-N 1 2 318.446 1.793 20 30 DDEDLO C[C@@H](c1csnn1)[N@@H+](C)C[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001029734383 741260794 /nfs/dbraw/zinc/26/07/94/741260794.db2.gz WOWJEDZSDQZSNU-JSGCOSHPSA-N 1 2 318.446 1.793 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001035575231 751628865 /nfs/dbraw/zinc/62/88/65/751628865.db2.gz YMHNGNPRBZJNLO-OAHLLOKOSA-N 1 2 320.437 1.779 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001035575231 751628870 /nfs/dbraw/zinc/62/88/70/751628870.db2.gz YMHNGNPRBZJNLO-OAHLLOKOSA-N 1 2 320.437 1.779 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2snnc2C(C)C)C1 ZINC001035553917 751635845 /nfs/dbraw/zinc/63/58/45/751635845.db2.gz KHSCUHIRBYDCJK-GFCCVEGCSA-N 1 2 322.434 1.115 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2snnc2C(C)C)C1 ZINC001035553917 751635849 /nfs/dbraw/zinc/63/58/49/751635849.db2.gz KHSCUHIRBYDCJK-GFCCVEGCSA-N 1 2 322.434 1.115 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnn(CCC)c2C)C1 ZINC001035555769 751636770 /nfs/dbraw/zinc/63/67/70/751636770.db2.gz KMMOENCCFMFQIJ-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnn(CCC)c2C)C1 ZINC001035555769 751636775 /nfs/dbraw/zinc/63/67/75/751636775.db2.gz KMMOENCCFMFQIJ-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3nccnc32)C1 ZINC001035561566 751643884 /nfs/dbraw/zinc/64/38/84/751643884.db2.gz BDNNGIRBJIEIEX-CQSZACIVSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccc3nccnc32)C1 ZINC001035561566 751643887 /nfs/dbraw/zinc/64/38/87/751643887.db2.gz BDNNGIRBJIEIEX-CQSZACIVSA-N 1 2 324.384 1.084 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CC[C@H](NC(=O)CC)[C@H]2C)c1 ZINC001088437216 741495999 /nfs/dbraw/zinc/49/59/99/741495999.db2.gz SPJOGIKOJCKHEL-CJNGLKHVSA-N 1 2 313.401 1.595 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CC[C@H](NC(=O)CC)[C@H]2C)c1 ZINC001088437216 741496001 /nfs/dbraw/zinc/49/60/01/741496001.db2.gz SPJOGIKOJCKHEL-CJNGLKHVSA-N 1 2 313.401 1.595 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3ccn(C)c3c2)C1 ZINC001035564007 751646228 /nfs/dbraw/zinc/64/62/28/751646228.db2.gz LMXYTHXDZGXEHX-INIZCTEOSA-N 1 2 313.401 1.795 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3ccn(C)c3c2)C1 ZINC001035564007 751646231 /nfs/dbraw/zinc/64/62/31/751646231.db2.gz LMXYTHXDZGXEHX-INIZCTEOSA-N 1 2 313.401 1.795 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1C[C@H](Nc2nc(C)ccc2C#N)C1 ZINC001059688331 741546737 /nfs/dbraw/zinc/54/67/37/741546737.db2.gz VVILZJFSBQZVHH-OKILXGFUSA-N 1 2 324.388 1.595 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001075885987 741718300 /nfs/dbraw/zinc/71/83/00/741718300.db2.gz WVAOQFMGLUUCQY-UONOGXRCSA-N 1 2 306.410 1.811 20 30 DDEDLO CN(CCNc1ncc(C#N)cc1Cl)C(=O)Cc1[nH]cc[nH+]1 ZINC001101728943 741905684 /nfs/dbraw/zinc/90/56/84/741905684.db2.gz RGCGVLWJKCYVGS-UHFFFAOYSA-N 1 2 318.768 1.443 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)CCN(C)c1nc(C)[nH+]c(C)c1C ZINC001105468921 741913493 /nfs/dbraw/zinc/91/34/93/741913493.db2.gz HWTUMDHHNQOXSM-AWEZNQCLSA-N 1 2 318.421 1.335 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2COCCN2CC)[C@H]1C ZINC001088684933 742075703 /nfs/dbraw/zinc/07/57/03/742075703.db2.gz WHQFGGHPSIEEIA-HZSPNIEDSA-N 1 2 315.845 1.039 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2COCCN2CC)[C@H]1C ZINC001088684933 742075708 /nfs/dbraw/zinc/07/57/08/742075708.db2.gz WHQFGGHPSIEEIA-HZSPNIEDSA-N 1 2 315.845 1.039 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014612624 742302879 /nfs/dbraw/zinc/30/28/79/742302879.db2.gz UHFRGQFARCOOKY-QWHCGFSZSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014612624 742302885 /nfs/dbraw/zinc/30/28/85/742302885.db2.gz UHFRGQFARCOOKY-QWHCGFSZSA-N 1 2 313.829 1.186 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)nsc2C)C1 ZINC001035615776 751722183 /nfs/dbraw/zinc/72/21/83/751722183.db2.gz BACPZDZASYSCPS-CYBMUJFWSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(C)nsc2C)C1 ZINC001035615776 751722189 /nfs/dbraw/zinc/72/21/89/751722189.db2.gz BACPZDZASYSCPS-CYBMUJFWSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)c3ccccc3c2)[C@@H](O)C1 ZINC001083508634 742415756 /nfs/dbraw/zinc/41/57/56/742415756.db2.gz RYNFPDMYOWEHSA-SJORKVTESA-N 1 2 312.344 1.387 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)c3ccccc3c2)[C@@H](O)C1 ZINC001083508634 742415757 /nfs/dbraw/zinc/41/57/57/742415757.db2.gz RYNFPDMYOWEHSA-SJORKVTESA-N 1 2 312.344 1.387 20 30 DDEDLO COCc1noc([C@H](C)[NH2+]CCNC(=O)C#CC(C)(C)C)n1 ZINC001126912564 742483765 /nfs/dbraw/zinc/48/37/65/742483765.db2.gz PTGJDWRBAMJOBM-NSHDSACASA-N 1 2 308.382 1.032 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(OC)ccn3)[C@@H]2C1 ZINC001076121228 742549062 /nfs/dbraw/zinc/54/90/62/742549062.db2.gz XVORKZGABAQHHN-SWLSCSKDSA-N 1 2 321.808 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(OC)ccn3)[C@@H]2C1 ZINC001076121228 742549067 /nfs/dbraw/zinc/54/90/67/742549067.db2.gz XVORKZGABAQHHN-SWLSCSKDSA-N 1 2 321.808 1.989 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)NC(=O)CCCn1cc[nH+]c1 ZINC001076413512 742718294 /nfs/dbraw/zinc/71/82/94/742718294.db2.gz BPKWAJTVDOAOCR-HNNXBMFYSA-N 1 2 318.421 1.334 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC(C)(C)C)NC(=O)CCn1cc[nH+]c1 ZINC001076436787 742734176 /nfs/dbraw/zinc/73/41/76/742734176.db2.gz AIIBFTSIKDCSBJ-AWEZNQCLSA-N 1 2 318.421 1.334 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@H+](CC#Cc2cccc(Cl)c2)C[C@H]1O ZINC001076653263 742859247 /nfs/dbraw/zinc/85/92/47/742859247.db2.gz GXTBCLXATOLFTJ-HUUCEWRRSA-N 1 2 306.793 1.263 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@@H+](CC#Cc2cccc(Cl)c2)C[C@H]1O ZINC001076653263 742859262 /nfs/dbraw/zinc/85/92/62/742859262.db2.gz GXTBCLXATOLFTJ-HUUCEWRRSA-N 1 2 306.793 1.263 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001077116654 743254326 /nfs/dbraw/zinc/25/43/26/743254326.db2.gz VNAWTEXZNXXHEG-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+]Cc2ncc(C(C)(C)C)o2)C1 ZINC001181599803 743259866 /nfs/dbraw/zinc/25/98/66/743259866.db2.gz SCLJZUKALKPMCE-CYBMUJFWSA-N 1 2 321.421 1.865 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CCOC(C)C)CC2)C1 ZINC001105690606 743283298 /nfs/dbraw/zinc/28/32/98/743283298.db2.gz SBBTYRQLNYJZQY-UHFFFAOYSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H](CC)OCC)c2C1 ZINC001128240717 743352724 /nfs/dbraw/zinc/35/27/24/743352724.db2.gz MWGCYMYYNMKULP-INIZCTEOSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H](CC)OCC)c2C1 ZINC001128240717 743352732 /nfs/dbraw/zinc/35/27/32/743352732.db2.gz MWGCYMYYNMKULP-INIZCTEOSA-N 1 2 320.437 1.706 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC(C)=O)C2 ZINC001110287219 743600956 /nfs/dbraw/zinc/60/09/56/743600956.db2.gz UMRCVPBXLQCEED-OAGGEKHMSA-N 1 2 306.406 1.117 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC(C)=O)C2 ZINC001110287219 743600961 /nfs/dbraw/zinc/60/09/61/743600961.db2.gz UMRCVPBXLQCEED-OAGGEKHMSA-N 1 2 306.406 1.117 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCn3cc[nH+]c3)CC2)cn1 ZINC001110290034 743619553 /nfs/dbraw/zinc/61/95/53/743619553.db2.gz KYMOLMLALZIYSY-UHFFFAOYSA-N 1 2 310.361 1.301 20 30 DDEDLO COc1c(N[C@@H]2CCC[N@@H+](C3COC3)C2)ccc(F)c1C#N ZINC001168373911 743638590 /nfs/dbraw/zinc/63/85/90/743638590.db2.gz GEKVNBOUEZINBB-LLVKDONJSA-N 1 2 305.353 1.981 20 30 DDEDLO COc1c(N[C@@H]2CCC[N@H+](C3COC3)C2)ccc(F)c1C#N ZINC001168373911 743638594 /nfs/dbraw/zinc/63/85/94/743638594.db2.gz GEKVNBOUEZINBB-LLVKDONJSA-N 1 2 305.353 1.981 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2ccc[nH]2)cc1C#N ZINC001038051862 743643535 /nfs/dbraw/zinc/64/35/35/743643535.db2.gz LYIDVPCUNLDDAV-OAHLLOKOSA-N 1 2 324.384 1.899 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2ccc[nH]2)cc1C#N ZINC001038051862 743643536 /nfs/dbraw/zinc/64/35/36/743643536.db2.gz LYIDVPCUNLDDAV-OAHLLOKOSA-N 1 2 324.384 1.899 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(CCCC)no1 ZINC001127051663 743676210 /nfs/dbraw/zinc/67/62/10/743676210.db2.gz KXMGVMWGPYFSSO-QWHCGFSZSA-N 1 2 322.409 1.217 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](COC(C)=O)c2ccccc2)CC1 ZINC001183108139 743781855 /nfs/dbraw/zinc/78/18/55/743781855.db2.gz OFLQJJIAZCNPKF-KRWDZBQOSA-N 1 2 316.401 1.664 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC1C[NH+](C[C@H]2CC(C)(C)CO2)C1 ZINC001030332948 744056396 /nfs/dbraw/zinc/05/63/96/744056396.db2.gz VNOHMUMESHUPOJ-OAGGEKHMSA-N 1 2 322.449 1.583 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2nccn3ccnc23)[C@H]1C ZINC001088866548 744280066 /nfs/dbraw/zinc/28/00/66/744280066.db2.gz XIBMUJUOYJDWJV-NEPJUHHUSA-N 1 2 319.796 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2nccn3ccnc23)[C@H]1C ZINC001088866548 744280069 /nfs/dbraw/zinc/28/00/69/744280069.db2.gz XIBMUJUOYJDWJV-NEPJUHHUSA-N 1 2 319.796 1.674 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@@H](F)CC)C2)nn1 ZINC001185985215 744317697 /nfs/dbraw/zinc/31/76/97/744317697.db2.gz XSPHSCSHDLJIMT-GJZGRUSLSA-N 1 2 321.400 1.303 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2cc(Cl)cn2)[C@H]1C ZINC001088889447 744345610 /nfs/dbraw/zinc/34/56/10/744345610.db2.gz SFDLKDKEFWWHBV-PWSUYJOCSA-N 1 2 317.220 1.868 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2cc(Cl)cn2)[C@H]1C ZINC001088889447 744345614 /nfs/dbraw/zinc/34/56/14/744345614.db2.gz SFDLKDKEFWWHBV-PWSUYJOCSA-N 1 2 317.220 1.868 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)co1 ZINC001089139767 744583948 /nfs/dbraw/zinc/58/39/48/744583948.db2.gz MPJUSCKHBIITRL-DOMZBBRYSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)co1 ZINC001089139767 744583949 /nfs/dbraw/zinc/58/39/49/744583949.db2.gz MPJUSCKHBIITRL-DOMZBBRYSA-N 1 2 304.394 1.956 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001187736969 744601781 /nfs/dbraw/zinc/60/17/81/744601781.db2.gz NFFNHANUHRZYPC-VHDGCEQUSA-N 1 2 315.421 1.510 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001187736969 744601784 /nfs/dbraw/zinc/60/17/84/744601784.db2.gz NFFNHANUHRZYPC-VHDGCEQUSA-N 1 2 315.421 1.510 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189743279 744966050 /nfs/dbraw/zinc/96/60/50/744966050.db2.gz AEHHQATVKAYKMR-QGZVFWFLSA-N 1 2 316.449 1.955 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189743279 744966053 /nfs/dbraw/zinc/96/60/53/744966053.db2.gz AEHHQATVKAYKMR-QGZVFWFLSA-N 1 2 316.449 1.955 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001190425135 745216582 /nfs/dbraw/zinc/21/65/82/745216582.db2.gz NLAWVCOOHVSLFD-LSDHHAIUSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001190425135 745216586 /nfs/dbraw/zinc/21/65/86/745216586.db2.gz NLAWVCOOHVSLFD-LSDHHAIUSA-N 1 2 319.405 1.608 20 30 DDEDLO CCCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191033218 745408916 /nfs/dbraw/zinc/40/89/16/745408916.db2.gz BLROEBRJEJEZPO-QZTJIDSGSA-N 1 2 314.429 1.780 20 30 DDEDLO CCCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191033218 745408918 /nfs/dbraw/zinc/40/89/18/745408918.db2.gz BLROEBRJEJEZPO-QZTJIDSGSA-N 1 2 314.429 1.780 20 30 DDEDLO CCn1ccnc1C[N@H+](CC)[C@H](C)CNC(=O)CSCC#N ZINC001151966127 745590743 /nfs/dbraw/zinc/59/07/43/745590743.db2.gz STUCIMGBSPKYQA-CYBMUJFWSA-N 1 2 323.466 1.486 20 30 DDEDLO CCn1ccnc1C[N@@H+](CC)[C@H](C)CNC(=O)CSCC#N ZINC001151966127 745590746 /nfs/dbraw/zinc/59/07/46/745590746.db2.gz STUCIMGBSPKYQA-CYBMUJFWSA-N 1 2 323.466 1.486 20 30 DDEDLO Cc1ccc(C#N)c(NCC[C@@H](C)NC(=O)Cn2cc[nH+]c2)n1 ZINC001106361597 745630184 /nfs/dbraw/zinc/63/01/84/745630184.db2.gz CAYQIXOVSFMRPA-CYBMUJFWSA-N 1 2 312.377 1.465 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CCC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007138428 752033716 /nfs/dbraw/zinc/03/37/16/752033716.db2.gz CTPAKMAHPJSPNM-OCCSQVGLSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CCC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007138428 752033723 /nfs/dbraw/zinc/03/37/23/752033723.db2.gz CTPAKMAHPJSPNM-OCCSQVGLSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N[C@H]1CC[N@H+](Cc2cccc(F)c2)C1 ZINC001193186536 746023938 /nfs/dbraw/zinc/02/39/38/746023938.db2.gz YQOXIWDREAFTOF-FZMZJTMJSA-N 1 2 311.382 1.231 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N[C@H]1CC[N@@H+](Cc2cccc(F)c2)C1 ZINC001193186536 746023943 /nfs/dbraw/zinc/02/39/43/746023943.db2.gz YQOXIWDREAFTOF-FZMZJTMJSA-N 1 2 311.382 1.231 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001193400269 746092744 /nfs/dbraw/zinc/09/27/44/746092744.db2.gz LIXCVJHUUPWVRM-ZIAGYGMSSA-N 1 2 307.394 1.309 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001193400269 746092746 /nfs/dbraw/zinc/09/27/46/746092746.db2.gz LIXCVJHUUPWVRM-ZIAGYGMSSA-N 1 2 307.394 1.309 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1CCC[N@H+](CCF)[C@@H]1C ZINC000994242208 746509251 /nfs/dbraw/zinc/50/92/51/746509251.db2.gz PUQORXLAINBZLF-HIFRSBDPSA-N 1 2 319.380 1.646 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1CCC[N@@H+](CCF)[C@@H]1C ZINC000994242208 746509255 /nfs/dbraw/zinc/50/92/55/746509255.db2.gz PUQORXLAINBZLF-HIFRSBDPSA-N 1 2 319.380 1.646 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)NCC(C)(C)C)CC1 ZINC001195225226 746553099 /nfs/dbraw/zinc/55/30/99/746553099.db2.gz CKTHHJFKJCBGIU-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)NCC(C)(C)C)CC1 ZINC001195225226 746553100 /nfs/dbraw/zinc/55/31/00/746553100.db2.gz CKTHHJFKJCBGIU-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO N#Cc1cc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)CCCF)C2)ccc1F ZINC001195373355 746587657 /nfs/dbraw/zinc/58/76/57/746587657.db2.gz GEBKNYYXBZWFGN-HUUCEWRRSA-N 1 2 323.343 1.108 20 30 DDEDLO N#Cc1cc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)CCCF)C2)ccc1F ZINC001195373355 746587661 /nfs/dbraw/zinc/58/76/61/746587661.db2.gz GEBKNYYXBZWFGN-HUUCEWRRSA-N 1 2 323.343 1.108 20 30 DDEDLO CCc1ncsc1C(=O)N1CCC[N@H+](CC#CCOC)CC1 ZINC001196072653 746771504 /nfs/dbraw/zinc/77/15/04/746771504.db2.gz JHRCKJIAGKHVDP-UHFFFAOYSA-N 1 2 321.446 1.503 20 30 DDEDLO CCc1ncsc1C(=O)N1CCC[N@@H+](CC#CCOC)CC1 ZINC001196072653 746771506 /nfs/dbraw/zinc/77/15/06/746771506.db2.gz JHRCKJIAGKHVDP-UHFFFAOYSA-N 1 2 321.446 1.503 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001196287615 746828283 /nfs/dbraw/zinc/82/82/83/746828283.db2.gz HKDUXSWZEQFABU-HUUCEWRRSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001196287615 746828287 /nfs/dbraw/zinc/82/82/87/746828287.db2.gz HKDUXSWZEQFABU-HUUCEWRRSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](c2ccccc2)C(C)C)C1 ZINC001196454365 746875724 /nfs/dbraw/zinc/87/57/24/746875724.db2.gz YFNWHWKVTKFKKJ-ZACQAIPSSA-N 1 2 300.402 1.221 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](c2ccccc2)C(C)C)C1 ZINC001196454365 746875733 /nfs/dbraw/zinc/87/57/33/746875733.db2.gz YFNWHWKVTKFKKJ-ZACQAIPSSA-N 1 2 300.402 1.221 20 30 DDEDLO CN(c1ccc(C#N)nc1)[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001061218010 746895659 /nfs/dbraw/zinc/89/56/59/746895659.db2.gz OFJSKQMTGJBSJS-MRXNPFEDSA-N 1 2 324.388 1.277 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2cccc3nsnc32)C1 ZINC001031500672 746915729 /nfs/dbraw/zinc/91/57/29/746915729.db2.gz ADPHOWJFKKDSKO-UHFFFAOYSA-N 1 2 300.387 1.058 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001196731425 746951450 /nfs/dbraw/zinc/95/14/50/746951450.db2.gz BQVJIMQBMUGFIS-UHFFFAOYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001196731425 746951455 /nfs/dbraw/zinc/95/14/55/746951455.db2.gz BQVJIMQBMUGFIS-UHFFFAOYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)N(C)CC)CC1 ZINC001197062621 747051686 /nfs/dbraw/zinc/05/16/86/747051686.db2.gz VGQVIIHAZRFMMB-KRWDZBQOSA-N 1 2 309.454 1.601 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)N(C)CC)CC1 ZINC001197062621 747051694 /nfs/dbraw/zinc/05/16/94/747051694.db2.gz VGQVIIHAZRFMMB-KRWDZBQOSA-N 1 2 309.454 1.601 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1NC(=O)C#CC(C)C ZINC001212240731 747112554 /nfs/dbraw/zinc/11/25/54/747112554.db2.gz BZVYKJHNDAAHFL-GDBMZVCRSA-N 1 2 319.405 1.266 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1NC(=O)C#CC(C)C ZINC001212240731 747112556 /nfs/dbraw/zinc/11/25/56/747112556.db2.gz BZVYKJHNDAAHFL-GDBMZVCRSA-N 1 2 319.405 1.266 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2C[C@]2(C)C(C)C)CC1 ZINC001197630703 747230593 /nfs/dbraw/zinc/23/05/93/747230593.db2.gz ONBWBKRAYRQHJT-CRAIPNDOSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2C[C@]2(C)C(C)C)CC1 ZINC001197630703 747230599 /nfs/dbraw/zinc/23/05/99/747230599.db2.gz ONBWBKRAYRQHJT-CRAIPNDOSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2snnc2C)CC1 ZINC001198330967 747453283 /nfs/dbraw/zinc/45/32/83/747453283.db2.gz RXVMTKQXDGLRRB-UHFFFAOYSA-N 1 2 324.450 1.474 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2snnc2C)CC1 ZINC001198330967 747453286 /nfs/dbraw/zinc/45/32/86/747453286.db2.gz RXVMTKQXDGLRRB-UHFFFAOYSA-N 1 2 324.450 1.474 20 30 DDEDLO CN(C(=O)C(F)F)[C@@H]1CC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001032855805 747460282 /nfs/dbraw/zinc/46/02/82/747460282.db2.gz LQAPICFMZIXDLO-GFCCVEGCSA-N 1 2 311.307 1.995 20 30 DDEDLO CN(C(=O)C(F)F)[C@@H]1CC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001032855805 747460288 /nfs/dbraw/zinc/46/02/88/747460288.db2.gz LQAPICFMZIXDLO-GFCCVEGCSA-N 1 2 311.307 1.995 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cnc(C)o3)C[C@@H]2O)C1 ZINC001083763609 747501020 /nfs/dbraw/zinc/50/10/20/747501020.db2.gz SMAKUJOOIJMHHN-KGLIPLIRSA-N 1 2 305.378 1.001 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cnc(C)o3)C[C@@H]2O)C1 ZINC001083763609 747501022 /nfs/dbraw/zinc/50/10/22/747501022.db2.gz SMAKUJOOIJMHHN-KGLIPLIRSA-N 1 2 305.378 1.001 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]([NH2+]CC(N)=O)c1ccccc1OC ZINC001198752211 747598824 /nfs/dbraw/zinc/59/88/24/747598824.db2.gz NQFUFGYXVSHETR-CQSZACIVSA-N 1 2 319.405 1.284 20 30 DDEDLO CN(C(=O)c1ccon1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001032934726 747711555 /nfs/dbraw/zinc/71/15/55/747711555.db2.gz NJICZEHCKCEYGA-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C(=O)c1ccon1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001032934726 747711557 /nfs/dbraw/zinc/71/15/57/747711557.db2.gz NJICZEHCKCEYGA-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO N#Cc1cnccc1N1CC=C(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001127664313 748066309 /nfs/dbraw/zinc/06/63/09/748066309.db2.gz LXUMXICDINUDJZ-UHFFFAOYSA-N 1 2 322.372 1.103 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3ccnn3C)C2)cc1 ZINC001031892925 748139192 /nfs/dbraw/zinc/13/91/92/748139192.db2.gz YQXRRXXWIYJRII-UHFFFAOYSA-N 1 2 308.385 1.263 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001108068158 748227255 /nfs/dbraw/zinc/22/72/55/748227255.db2.gz MDNOMXDGHJWBOH-LZLYRXPVSA-N 1 2 322.449 1.442 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001108068158 748227262 /nfs/dbraw/zinc/22/72/62/748227262.db2.gz MDNOMXDGHJWBOH-LZLYRXPVSA-N 1 2 322.449 1.442 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1nn(C)cc1Cl ZINC001124488499 748277696 /nfs/dbraw/zinc/27/76/96/748277696.db2.gz PHVYRQLWEUBYMK-LLVKDONJSA-N 1 2 314.817 1.261 20 30 DDEDLO C=C(C)C[NH2+]C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200555571 748277870 /nfs/dbraw/zinc/27/78/70/748277870.db2.gz RZPSZLNFUYGUNK-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[NH2+]C[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCCO1 ZINC001200555571 748277876 /nfs/dbraw/zinc/27/78/76/748277876.db2.gz RZPSZLNFUYGUNK-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)Cc2cncnc2)CC1 ZINC001004426475 748423180 /nfs/dbraw/zinc/42/31/80/748423180.db2.gz RGIMSNKYKAIXHX-INIZCTEOSA-N 1 2 313.405 1.246 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)Cc2cncnc2)CC1 ZINC001004426475 748423183 /nfs/dbraw/zinc/42/31/83/748423183.db2.gz RGIMSNKYKAIXHX-INIZCTEOSA-N 1 2 313.405 1.246 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001152867478 748458387 /nfs/dbraw/zinc/45/83/87/748458387.db2.gz WHJTYVWCOHUEMI-CQSZACIVSA-N 1 2 305.426 1.335 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001152867478 748458391 /nfs/dbraw/zinc/45/83/91/748458391.db2.gz WHJTYVWCOHUEMI-CQSZACIVSA-N 1 2 305.426 1.335 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cnc3cccnn32)C1 ZINC001032033694 748471510 /nfs/dbraw/zinc/47/15/10/748471510.db2.gz JTVYLGJIKYFBPP-UHFFFAOYSA-N 1 2 305.769 1.143 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@@H+](C)C(C)(C)C2)cc1C#N ZINC001201312995 748474607 /nfs/dbraw/zinc/47/46/07/748474607.db2.gz PBXAHFRHEWDRMY-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@H+](C)C(C)(C)C2)cc1C#N ZINC001201312995 748474614 /nfs/dbraw/zinc/47/46/14/748474614.db2.gz PBXAHFRHEWDRMY-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1nccs1 ZINC001032067073 748552950 /nfs/dbraw/zinc/55/29/50/748552950.db2.gz AYOIYCMHVDTXSG-UHFFFAOYSA-N 1 2 311.410 1.856 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnn3ncccc23)C1 ZINC001033149276 748597944 /nfs/dbraw/zinc/59/79/44/748597944.db2.gz RTCQKUACZQUIPQ-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnn3ncccc23)C1 ZINC001033149276 748597947 /nfs/dbraw/zinc/59/79/47/748597947.db2.gz RTCQKUACZQUIPQ-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO Cc1cccc(-c2noc(C[NH2+]CCNC(=O)[C@@H](C)C#N)n2)c1 ZINC001124908898 748639238 /nfs/dbraw/zinc/63/92/38/748639238.db2.gz UZEQSOBNPCKAKB-LBPRGKRZSA-N 1 2 313.361 1.411 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2sc3nccn3c2C)C1 ZINC001033156306 748653483 /nfs/dbraw/zinc/65/34/83/748653483.db2.gz RKJHKMVQEHBWPG-LBPRGKRZSA-N 1 2 302.403 1.484 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2sc3nccn3c2C)C1 ZINC001033156306 748653487 /nfs/dbraw/zinc/65/34/87/748653487.db2.gz RKJHKMVQEHBWPG-LBPRGKRZSA-N 1 2 302.403 1.484 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+]Cc1nc(CC)no1)OCC ZINC001128540333 748762181 /nfs/dbraw/zinc/76/21/81/748762181.db2.gz UNXPTMSINNNCQT-LBPRGKRZSA-N 1 2 310.398 1.209 20 30 DDEDLO C[C@]1(NC(=O)CCc2c[nH]c[nH+]2)CCN(c2ccncc2C#N)C1 ZINC001110819821 749043270 /nfs/dbraw/zinc/04/32/70/749043270.db2.gz WWXQMYRKFYDYEO-KRWDZBQOSA-N 1 2 324.388 1.394 20 30 DDEDLO C[C@]1(NC(=O)CCc2c[nH+]c[nH]2)CCN(c2ccncc2C#N)C1 ZINC001110819821 749043274 /nfs/dbraw/zinc/04/32/74/749043274.db2.gz WWXQMYRKFYDYEO-KRWDZBQOSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+][C@@H](C)c1nc(CC)no1 ZINC001125259905 749088147 /nfs/dbraw/zinc/08/81/47/749088147.db2.gz HNRHTNQIUFNMQV-LBPRGKRZSA-N 1 2 310.398 1.382 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncoc2C(C)(C)C)C1 ZINC001108333903 761928834 /nfs/dbraw/zinc/92/88/34/761928834.db2.gz WPXCYHOKTYAALZ-KRWDZBQOSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncoc2C(C)(C)C)C1 ZINC001108333903 761928838 /nfs/dbraw/zinc/92/88/38/761928838.db2.gz WPXCYHOKTYAALZ-KRWDZBQOSA-N 1 2 321.421 1.979 20 30 DDEDLO Cc1nc(N2C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)c(C)c(C)[nH+]1 ZINC001114439917 749214795 /nfs/dbraw/zinc/21/47/95/749214795.db2.gz OTLRGBQIZIGGIW-IINYFYTJSA-N 1 2 315.421 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001108334338 761929900 /nfs/dbraw/zinc/92/99/00/761929900.db2.gz INKYRSHSNRDOJR-QGZVFWFLSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001108334338 761929907 /nfs/dbraw/zinc/92/99/07/761929907.db2.gz INKYRSHSNRDOJR-QGZVFWFLSA-N 1 2 320.437 1.714 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@H](NCC#N)C(C)(C)C3)ccn12 ZINC000995825525 749249568 /nfs/dbraw/zinc/24/95/68/749249568.db2.gz VOVPGSBJXUHZIF-AWEZNQCLSA-N 1 2 311.389 1.607 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C=C(CC)CC)nn2)C1 ZINC001107155634 749424132 /nfs/dbraw/zinc/42/41/32/749424132.db2.gz HQSUCGCGILTJKR-UHFFFAOYSA-N 1 2 315.421 1.521 20 30 DDEDLO CN(C(=O)c1cc(C#N)c[nH]1)C1CC[NH+](Cc2ccon2)CC1 ZINC001005217822 749569574 /nfs/dbraw/zinc/56/95/74/749569574.db2.gz AEYXKVBQXJQISM-UHFFFAOYSA-N 1 2 313.361 1.611 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](CC)CCC)nn2)C1 ZINC001107225284 749640340 /nfs/dbraw/zinc/64/03/40/749640340.db2.gz SYEGQZYJBYFSCP-CYBMUJFWSA-N 1 2 305.426 1.763 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H](N(C)C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC001033665927 749674809 /nfs/dbraw/zinc/67/48/09/749674809.db2.gz SAPGPQUFGXIOGX-CQSZACIVSA-N 1 2 312.373 1.839 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC001033665927 749674811 /nfs/dbraw/zinc/67/48/11/749674811.db2.gz SAPGPQUFGXIOGX-CQSZACIVSA-N 1 2 312.373 1.839 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001033676911 749702715 /nfs/dbraw/zinc/70/27/15/749702715.db2.gz XQFASTKFXKGJSF-GXTWGEPZSA-N 1 2 322.840 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001033676911 749702721 /nfs/dbraw/zinc/70/27/21/749702721.db2.gz XQFASTKFXKGJSF-GXTWGEPZSA-N 1 2 322.840 1.800 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001033685744 749715629 /nfs/dbraw/zinc/71/56/29/749715629.db2.gz QYTVQNBINPIJRY-HNNXBMFYSA-N 1 2 318.357 1.714 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001033685744 749715634 /nfs/dbraw/zinc/71/56/34/749715634.db2.gz QYTVQNBINPIJRY-HNNXBMFYSA-N 1 2 318.357 1.714 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC/C=C\CNc1ccc(C#N)c(C)n1 ZINC001107285986 749737039 /nfs/dbraw/zinc/73/70/39/749737039.db2.gz SYBLLNDTNDKAOD-ARJAWSKDSA-N 1 2 324.388 1.620 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)nc2)C1 ZINC001033707065 749745677 /nfs/dbraw/zinc/74/56/77/749745677.db2.gz QAVBFPOXNRGPQI-GFCCVEGCSA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)nc2)C1 ZINC001033707065 749745680 /nfs/dbraw/zinc/74/56/80/749745680.db2.gz QAVBFPOXNRGPQI-GFCCVEGCSA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1nc(C)no1)C2 ZINC001110878223 749802587 /nfs/dbraw/zinc/80/25/87/749802587.db2.gz GEPZBENPRZQEEK-UPJWGTAASA-N 1 2 324.812 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1nc(C)no1)C2 ZINC001110878223 749802594 /nfs/dbraw/zinc/80/25/94/749802594.db2.gz GEPZBENPRZQEEK-UPJWGTAASA-N 1 2 324.812 1.785 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001033832216 749953293 /nfs/dbraw/zinc/95/32/93/749953293.db2.gz DQGNIVVAUCFMDU-UONOGXRCSA-N 1 2 302.422 1.938 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001033832216 749953294 /nfs/dbraw/zinc/95/32/94/749953294.db2.gz DQGNIVVAUCFMDU-UONOGXRCSA-N 1 2 302.422 1.938 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)C(F)C(F)(F)F)cc1 ZINC001127779572 749985808 /nfs/dbraw/zinc/98/58/08/749985808.db2.gz OGBAVKBKPPDIIL-NSHDSACASA-N 1 2 303.259 1.664 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)[C@H](F)C(F)(F)F)cc1 ZINC001127779572 749985812 /nfs/dbraw/zinc/98/58/12/749985812.db2.gz OGBAVKBKPPDIIL-NSHDSACASA-N 1 2 303.259 1.664 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CC)C(=O)c2nc3cccnc3s2)C1 ZINC001033859332 750000397 /nfs/dbraw/zinc/00/03/97/750000397.db2.gz VARYMXGJLAXIEV-LBPRGKRZSA-N 1 2 314.414 1.861 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2nc3cccnc3s2)C1 ZINC001033859332 750000403 /nfs/dbraw/zinc/00/04/03/750000403.db2.gz VARYMXGJLAXIEV-LBPRGKRZSA-N 1 2 314.414 1.861 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2oc(C)nc2C)[C@@H](O)C1 ZINC001090161909 750139220 /nfs/dbraw/zinc/13/92/20/750139220.db2.gz HUAWALFRYVAOSE-NEPJUHHUSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2oc(C)nc2C)[C@@H](O)C1 ZINC001090161909 750139222 /nfs/dbraw/zinc/13/92/22/750139222.db2.gz HUAWALFRYVAOSE-NEPJUHHUSA-N 1 2 313.785 1.209 20 30 DDEDLO N#Cc1cccnc1NC/C=C\CNC(=O)CCc1c[nH]c[nH+]1 ZINC001107565618 750151656 /nfs/dbraw/zinc/15/16/56/750151656.db2.gz CGZXGEFKKZWEQC-UPHRSURJSA-N 1 2 310.361 1.393 20 30 DDEDLO N#Cc1cccnc1NC/C=C\CNC(=O)CCc1c[nH+]c[nH]1 ZINC001107565618 750151660 /nfs/dbraw/zinc/15/16/60/750151660.db2.gz CGZXGEFKKZWEQC-UPHRSURJSA-N 1 2 310.361 1.393 20 30 DDEDLO C[C@]1(CNC(=O)C(F)F)C[N@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107670534 750329608 /nfs/dbraw/zinc/32/96/08/750329608.db2.gz DSHNXESGIHOLDU-INIZCTEOSA-N 1 2 323.343 1.530 20 30 DDEDLO C[C@]1(CNC(=O)C(F)F)C[N@@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107670534 750329612 /nfs/dbraw/zinc/32/96/12/750329612.db2.gz DSHNXESGIHOLDU-INIZCTEOSA-N 1 2 323.343 1.530 20 30 DDEDLO CC(C)C[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001111192131 750426617 /nfs/dbraw/zinc/42/66/17/750426617.db2.gz AMIWDNRMQUONCO-TUVASFSCSA-N 1 2 320.437 1.030 20 30 DDEDLO CC(C)C[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001111192131 750426623 /nfs/dbraw/zinc/42/66/23/750426623.db2.gz AMIWDNRMQUONCO-TUVASFSCSA-N 1 2 320.437 1.030 20 30 DDEDLO CCN(CCCNC(=O)c1ccc(C#N)[nH]1)c1cc[nH+]c(C)n1 ZINC001095870083 750609849 /nfs/dbraw/zinc/60/98/49/750609849.db2.gz CWCGMDJYYOWMOO-UHFFFAOYSA-N 1 2 312.377 1.631 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)sn2)[C@@H](O)C1 ZINC001090226944 750648874 /nfs/dbraw/zinc/64/88/74/750648874.db2.gz DXXVCCYNYVIZAX-JQWIXIFHSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)sn2)[C@@H](O)C1 ZINC001090226944 750648878 /nfs/dbraw/zinc/64/88/78/750648878.db2.gz DXXVCCYNYVIZAX-JQWIXIFHSA-N 1 2 315.826 1.369 20 30 DDEDLO CC(C)(C)c1nc2c(o1)c(C#N)cnc2-n1[nH]c(=O)nc1C[NH3+] ZINC001168756883 750748570 /nfs/dbraw/zinc/74/85/70/750748570.db2.gz XHLIOMWTRQVALO-UHFFFAOYSA-N 1 2 313.321 1.137 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)cs2)[C@@H](O)C1 ZINC001090249822 750764790 /nfs/dbraw/zinc/76/47/90/750764790.db2.gz SDWTVEXMOCRHGT-RYUDHWBXSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)cs2)[C@@H](O)C1 ZINC001090249822 750764787 /nfs/dbraw/zinc/76/47/87/750764787.db2.gz SDWTVEXMOCRHGT-RYUDHWBXSA-N 1 2 314.838 1.974 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc2c1cccc2OC ZINC001032428881 750808749 /nfs/dbraw/zinc/80/87/49/750808749.db2.gz QWMPIWPSLNZFLB-STQMWFEESA-N 1 2 324.384 1.494 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc2c1cccc2OC ZINC001032428881 750808757 /nfs/dbraw/zinc/80/87/57/750808757.db2.gz QWMPIWPSLNZFLB-STQMWFEESA-N 1 2 324.384 1.494 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001107949690 750813613 /nfs/dbraw/zinc/81/36/13/750813613.db2.gz PTRCLAQSGRDKJJ-YQQAZPJKSA-N 1 2 310.438 1.441 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001107949690 750813620 /nfs/dbraw/zinc/81/36/20/750813620.db2.gz PTRCLAQSGRDKJJ-YQQAZPJKSA-N 1 2 310.438 1.441 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(-n2cccc2)c1 ZINC001032461305 750886465 /nfs/dbraw/zinc/88/64/65/750886465.db2.gz CGXCNDULQVKIKO-HOTGVXAUSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(-n2cccc2)c1 ZINC001032461305 750886474 /nfs/dbraw/zinc/88/64/74/750886474.db2.gz CGXCNDULQVKIKO-HOTGVXAUSA-N 1 2 306.369 1.404 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)F)ncn1 ZINC001032475712 750964219 /nfs/dbraw/zinc/96/42/19/750964219.db2.gz FZQCOEDXIBFLFK-QWRGUYRKSA-N 1 2 306.316 1.336 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)F)ncn1 ZINC001032475712 750964221 /nfs/dbraw/zinc/96/42/21/750964221.db2.gz FZQCOEDXIBFLFK-QWRGUYRKSA-N 1 2 306.316 1.336 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csnc3OC)C[C@H]21 ZINC001114685864 750986088 /nfs/dbraw/zinc/98/60/88/750986088.db2.gz BIBCFZRXVAFLDV-ITGUQSILSA-N 1 2 321.446 1.910 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csnc3OC)C[C@H]21 ZINC001114685864 750986091 /nfs/dbraw/zinc/98/60/91/750986091.db2.gz BIBCFZRXVAFLDV-ITGUQSILSA-N 1 2 321.446 1.910 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001032485949 751007182 /nfs/dbraw/zinc/00/71/82/751007182.db2.gz FQTTWZZTLBCQJN-GJZGRUSLSA-N 1 2 307.357 1.004 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001032485949 751007186 /nfs/dbraw/zinc/00/71/86/751007186.db2.gz FQTTWZZTLBCQJN-GJZGRUSLSA-N 1 2 307.357 1.004 20 30 DDEDLO CCN(CCCNC(=O)Cn1cc[nH+]c1)c1ccncc1C#N ZINC001095892218 751096701 /nfs/dbraw/zinc/09/67/01/751096701.db2.gz UHBQYBLIRIBWDG-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001114802671 751097151 /nfs/dbraw/zinc/09/71/51/751097151.db2.gz IIMUWYUGGHWCIT-SHTZXODSSA-N 1 2 324.388 1.547 20 30 DDEDLO C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(-c2cc[nH+]cc2)n1 ZINC001032514590 751154610 /nfs/dbraw/zinc/15/46/10/751154610.db2.gz YFTWFNNLGFXKQC-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2oc(=O)[nH]c21 ZINC001032523364 751189074 /nfs/dbraw/zinc/18/90/74/751189074.db2.gz VNJNMEIJMYXFOJ-RYUDHWBXSA-N 1 2 311.341 1.455 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2oc(=O)[nH]c21 ZINC001032523364 751189076 /nfs/dbraw/zinc/18/90/76/751189076.db2.gz VNJNMEIJMYXFOJ-RYUDHWBXSA-N 1 2 311.341 1.455 20 30 DDEDLO CC[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001083790787 751251226 /nfs/dbraw/zinc/25/12/26/751251226.db2.gz BOKSRZKKNIAVIX-QZKOUSHUSA-N 1 2 319.430 1.327 20 30 DDEDLO CC[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001083790787 751251228 /nfs/dbraw/zinc/25/12/28/751251228.db2.gz BOKSRZKKNIAVIX-QZKOUSHUSA-N 1 2 319.430 1.327 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCc2nnc(C[NH2+]CCF)n2CC1 ZINC001128041477 752542162 /nfs/dbraw/zinc/54/21/62/752542162.db2.gz ZFBXJXRFYUZIQE-CYBMUJFWSA-N 1 2 323.416 1.515 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(Cl)c(F)c1 ZINC001032648635 752592592 /nfs/dbraw/zinc/59/25/92/752592592.db2.gz GTHWYXKGTMPRNC-STQMWFEESA-N 1 2 306.768 1.940 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(Cl)c(F)c1 ZINC001032648635 752592597 /nfs/dbraw/zinc/59/25/97/752592597.db2.gz GTHWYXKGTMPRNC-STQMWFEESA-N 1 2 306.768 1.940 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001032656550 752614493 /nfs/dbraw/zinc/61/44/93/752614493.db2.gz FVQRDAKDYKZJHL-RDBSUJKOSA-N 1 2 308.813 1.872 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1c(C)noc1C ZINC001032669132 752681870 /nfs/dbraw/zinc/68/18/70/752681870.db2.gz YDINLPPYQBEPGK-RCBQFDQVSA-N 1 2 315.417 1.778 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1c(C)noc1C ZINC001032669132 752681874 /nfs/dbraw/zinc/68/18/74/752681874.db2.gz YDINLPPYQBEPGK-RCBQFDQVSA-N 1 2 315.417 1.778 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(C)noc1Cl ZINC001032681660 752709561 /nfs/dbraw/zinc/70/95/61/752709561.db2.gz GGVMNNLOEGHMSS-RYUDHWBXSA-N 1 2 307.781 1.487 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(C)noc1Cl ZINC001032681660 752709567 /nfs/dbraw/zinc/70/95/67/752709567.db2.gz GGVMNNLOEGHMSS-RYUDHWBXSA-N 1 2 307.781 1.487 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c([nH]c1=O)CCCC2 ZINC001032694952 752730264 /nfs/dbraw/zinc/73/02/64/752730264.db2.gz RWUAPIWMWWEADO-KBPBESRZSA-N 1 2 311.385 1.198 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c([nH]c1=O)CCCC2 ZINC001032694952 752730269 /nfs/dbraw/zinc/73/02/69/752730269.db2.gz RWUAPIWMWWEADO-KBPBESRZSA-N 1 2 311.385 1.198 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001062096397 752758200 /nfs/dbraw/zinc/75/82/00/752758200.db2.gz LENHPDNGWAZAIJ-ABAIWWIYSA-N 1 2 315.421 1.846 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(F)c1OCC ZINC001032703889 752762591 /nfs/dbraw/zinc/76/25/91/752762591.db2.gz LLECITFFFCYILJ-STQMWFEESA-N 1 2 302.349 1.756 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(F)c1OCC ZINC001032703889 752762599 /nfs/dbraw/zinc/76/25/99/752762599.db2.gz LLECITFFFCYILJ-STQMWFEESA-N 1 2 302.349 1.756 20 30 DDEDLO CN(C[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1)c1ccc(C#N)cn1 ZINC001062128959 752791440 /nfs/dbraw/zinc/79/14/40/752791440.db2.gz HVYOCBNHLHVEKI-OAHLLOKOSA-N 1 2 324.388 1.135 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@H]2CCC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001008813614 752930134 /nfs/dbraw/zinc/93/01/34/752930134.db2.gz HSTNHPOZTAWYBD-KRWDZBQOSA-N 1 2 322.412 1.964 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001008813614 752930139 /nfs/dbraw/zinc/93/01/39/752930139.db2.gz HSTNHPOZTAWYBD-KRWDZBQOSA-N 1 2 322.412 1.964 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001056955711 762193075 /nfs/dbraw/zinc/19/30/75/762193075.db2.gz MWVVZXVHAWGHCY-CQSZACIVSA-N 1 2 324.388 1.265 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C(C)C)c1C1CC1 ZINC001032722705 753354849 /nfs/dbraw/zinc/35/48/49/753354849.db2.gz ZHLHCHBVAXTWNB-GJZGRUSLSA-N 1 2 312.417 1.873 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C(C)C)c1C1CC1 ZINC001032722705 753354854 /nfs/dbraw/zinc/35/48/54/753354854.db2.gz ZHLHCHBVAXTWNB-GJZGRUSLSA-N 1 2 312.417 1.873 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001077926412 753433145 /nfs/dbraw/zinc/43/31/45/753433145.db2.gz UWNHLTOKUPLPPG-OLZOCXBDSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001077930362 753441291 /nfs/dbraw/zinc/44/12/91/753441291.db2.gz WFSQLZAWDXEPLK-GJZGRUSLSA-N 1 2 320.437 1.887 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(OC)cccc1OC ZINC001032752043 753465996 /nfs/dbraw/zinc/46/59/96/753465996.db2.gz ZACONTNIUXTXPS-KBPBESRZSA-N 1 2 314.385 1.626 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(OC)cccc1OC ZINC001032752043 753466001 /nfs/dbraw/zinc/46/60/01/753466001.db2.gz ZACONTNIUXTXPS-KBPBESRZSA-N 1 2 314.385 1.626 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001077962391 753509286 /nfs/dbraw/zinc/50/92/86/753509286.db2.gz DMOMCVQOZKFHIS-IAGOWNOFSA-N 1 2 310.353 1.355 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001077962391 753509292 /nfs/dbraw/zinc/50/92/92/753509292.db2.gz DMOMCVQOZKFHIS-IAGOWNOFSA-N 1 2 310.353 1.355 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)c(OC)c1 ZINC001032762340 753546035 /nfs/dbraw/zinc/54/60/35/753546035.db2.gz HXYMDATXKYDGIT-GJZGRUSLSA-N 1 2 314.385 1.626 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)c(OC)c1 ZINC001032762340 753546040 /nfs/dbraw/zinc/54/60/40/753546040.db2.gz HXYMDATXKYDGIT-GJZGRUSLSA-N 1 2 314.385 1.626 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001078036071 753668460 /nfs/dbraw/zinc/66/84/60/753668460.db2.gz FMSRPSSYFQOYRC-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)C2CCOCC2)C1 ZINC001108447851 762234190 /nfs/dbraw/zinc/23/41/90/762234190.db2.gz XWAVHHKYEKGCBW-WMLDXEAASA-N 1 2 310.438 1.442 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)C2CCOCC2)C1 ZINC001108447851 762234206 /nfs/dbraw/zinc/23/42/06/762234206.db2.gz XWAVHHKYEKGCBW-WMLDXEAASA-N 1 2 310.438 1.442 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cn[nH]c3)C2)c(F)c1 ZINC001010296105 753743881 /nfs/dbraw/zinc/74/38/81/753743881.db2.gz AEZOUVGQAAQGGF-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cn[nH]c3)C2)c(F)c1 ZINC001010296105 753743884 /nfs/dbraw/zinc/74/38/84/753743884.db2.gz AEZOUVGQAAQGGF-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H](C)CCNC(=O)[C@@H](C)C#N)c[nH+]1 ZINC001078135986 753776524 /nfs/dbraw/zinc/77/65/24/753776524.db2.gz AWWCSWDLDQSMME-RYUDHWBXSA-N 1 2 319.409 1.097 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001078221543 753854966 /nfs/dbraw/zinc/85/49/66/753854966.db2.gz BKMQIHMBWVIWSH-LRDDRELGSA-N 1 2 306.410 1.566 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cc(C)c(C)cc2O1 ZINC001032795143 753903535 /nfs/dbraw/zinc/90/35/35/753903535.db2.gz IQKVELQLPGWHTJ-LNLFQRSKSA-N 1 2 324.424 1.915 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cc(C)c(C)cc2O1 ZINC001032795143 753903541 /nfs/dbraw/zinc/90/35/41/753903541.db2.gz IQKVELQLPGWHTJ-LNLFQRSKSA-N 1 2 324.424 1.915 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)c1 ZINC001010459262 753939459 /nfs/dbraw/zinc/93/94/59/753939459.db2.gz YFXVESNHEYOVAW-MRXNPFEDSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001010459262 753939465 /nfs/dbraw/zinc/93/94/65/753939465.db2.gz YFXVESNHEYOVAW-MRXNPFEDSA-N 1 2 319.368 1.762 20 30 DDEDLO Cc1nc(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)co1 ZINC001010692861 754103534 /nfs/dbraw/zinc/10/35/34/754103534.db2.gz SFEKRIDEONJKHE-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1nc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)co1 ZINC001010692861 754103535 /nfs/dbraw/zinc/10/35/35/754103535.db2.gz SFEKRIDEONJKHE-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO CCn1ccnc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001010808192 754177840 /nfs/dbraw/zinc/17/78/40/754177840.db2.gz XEAYBZNLJKFVJX-KRWDZBQOSA-N 1 2 322.412 1.759 20 30 DDEDLO CCn1ccnc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001010808192 754177844 /nfs/dbraw/zinc/17/78/44/754177844.db2.gz XEAYBZNLJKFVJX-KRWDZBQOSA-N 1 2 322.412 1.759 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001064141519 754538356 /nfs/dbraw/zinc/53/83/56/754538356.db2.gz VIIVNKFVNBKFSL-UKRRQHHQSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001064902595 754942001 /nfs/dbraw/zinc/94/20/01/754942001.db2.gz ZBCPXPLWYKYBRS-AWEZNQCLSA-N 1 2 324.388 1.712 20 30 DDEDLO C[C@@H](CNc1cccc(F)c1C#N)NC(=O)Cc1c[nH+]cn1C ZINC001108456085 762348331 /nfs/dbraw/zinc/34/83/31/762348331.db2.gz NZPVCFLLQSGEIC-NSHDSACASA-N 1 2 315.352 1.590 20 30 DDEDLO Cc1cc(NC[C@@H]2CCCN2C(=O)Cc2c[nH+]c[nH]2)c(C#N)cn1 ZINC001065018515 755022911 /nfs/dbraw/zinc/02/29/11/755022911.db2.gz NGSFZLZFUIEADY-HNNXBMFYSA-N 1 2 324.388 1.052 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001014474421 755750542 /nfs/dbraw/zinc/75/05/42/755750542.db2.gz SXJVAAGXFGOIOE-IHRRRGAJSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001014474421 755750547 /nfs/dbraw/zinc/75/05/47/755750547.db2.gz SXJVAAGXFGOIOE-IHRRRGAJSA-N 1 2 300.830 1.991 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3ccon3)C[C@H]2C)cc1 ZINC001080244761 755782247 /nfs/dbraw/zinc/78/22/47/755782247.db2.gz KVBWUPQCEKVBQD-CXAGYDPISA-N 1 2 309.369 1.906 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3ccon3)C[C@H]2C)cc1 ZINC001080244761 755782251 /nfs/dbraw/zinc/78/22/51/755782251.db2.gz KVBWUPQCEKVBQD-CXAGYDPISA-N 1 2 309.369 1.906 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc3c(c2)NC(=O)C3)C1 ZINC001014535135 755785096 /nfs/dbraw/zinc/78/50/96/755785096.db2.gz MKBVWVUIAIHWES-ZDUSSCGKSA-N 1 2 319.792 1.738 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc3c(c2)NC(=O)C3)C1 ZINC001014535135 755785099 /nfs/dbraw/zinc/78/50/99/755785099.db2.gz MKBVWVUIAIHWES-ZDUSSCGKSA-N 1 2 319.792 1.738 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080289477 755828607 /nfs/dbraw/zinc/82/86/07/755828607.db2.gz NZXBGZCUDCENAX-CHWSQXEVSA-N 1 2 318.421 1.470 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc3[nH]c(=O)[nH]c32)C1 ZINC001014770363 755931304 /nfs/dbraw/zinc/93/13/04/755931304.db2.gz UTOYZZBTGSSXEO-SNVBAGLBSA-N 1 2 320.780 1.825 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc3[nH]c(=O)[nH]c32)C1 ZINC001014770363 755931309 /nfs/dbraw/zinc/93/13/09/755931309.db2.gz UTOYZZBTGSSXEO-SNVBAGLBSA-N 1 2 320.780 1.825 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnn2CCOC)C1 ZINC001014860145 755983465 /nfs/dbraw/zinc/98/34/65/755983465.db2.gz QPCYILLERQFNBV-LBPRGKRZSA-N 1 2 312.801 1.086 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnn2CCOC)C1 ZINC001014860145 755983468 /nfs/dbraw/zinc/98/34/68/755983468.db2.gz QPCYILLERQFNBV-LBPRGKRZSA-N 1 2 312.801 1.086 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001014984309 756054093 /nfs/dbraw/zinc/05/40/93/756054093.db2.gz QORHPLQYXGFTCR-STQMWFEESA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001014984309 756054098 /nfs/dbraw/zinc/05/40/98/756054098.db2.gz QORHPLQYXGFTCR-STQMWFEESA-N 1 2 308.813 1.389 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1OC ZINC001081242038 756277894 /nfs/dbraw/zinc/27/78/94/756277894.db2.gz WEGLDYIFNZXMLW-HZPDHXFCSA-N 1 2 304.365 1.403 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1OC ZINC001081242038 756277897 /nfs/dbraw/zinc/27/78/97/756277897.db2.gz WEGLDYIFNZXMLW-HZPDHXFCSA-N 1 2 304.365 1.403 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C1CC=CC1 ZINC001081472707 756349460 /nfs/dbraw/zinc/34/94/60/756349460.db2.gz QPLMQXQFVQXOSW-RTBURBONSA-N 1 2 324.424 1.820 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C1CC=CC1 ZINC001081472707 756349467 /nfs/dbraw/zinc/34/94/67/756349467.db2.gz QPLMQXQFVQXOSW-RTBURBONSA-N 1 2 324.424 1.820 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(o2)CCCC3)[C@H](OC)C1 ZINC001081788693 756458377 /nfs/dbraw/zinc/45/83/77/756458377.db2.gz WTTYJZGJHXZTCF-RHSMWYFYSA-N 1 2 316.401 1.611 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(o2)CCCC3)[C@H](OC)C1 ZINC001081788693 756458383 /nfs/dbraw/zinc/45/83/83/756458383.db2.gz WTTYJZGJHXZTCF-RHSMWYFYSA-N 1 2 316.401 1.611 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2nc3cccnc3s2)[C@H](OC)C1 ZINC001081802797 756484931 /nfs/dbraw/zinc/48/49/31/756484931.db2.gz DWNYPVGRWNAVCN-VXGBXAGGSA-N 1 2 318.402 1.306 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2nc3cccnc3s2)[C@H](OC)C1 ZINC001081802797 756484935 /nfs/dbraw/zinc/48/49/35/756484935.db2.gz DWNYPVGRWNAVCN-VXGBXAGGSA-N 1 2 318.402 1.306 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)noc2C(C)C)[C@H](OC)C1 ZINC001081813407 756490873 /nfs/dbraw/zinc/49/08/73/756490873.db2.gz NDFBCZDBUWIIDR-CHWSQXEVSA-N 1 2 305.378 1.169 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(C)noc2C(C)C)[C@H](OC)C1 ZINC001081813407 756490875 /nfs/dbraw/zinc/49/08/75/756490875.db2.gz NDFBCZDBUWIIDR-CHWSQXEVSA-N 1 2 305.378 1.169 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2oc(C(F)F)cc2C)[C@H](OC)C1 ZINC001081816142 756492627 /nfs/dbraw/zinc/49/26/27/756492627.db2.gz SEYKRKSDTUCEIZ-ZYHUDNBSSA-N 1 2 312.316 1.588 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2oc(C(F)F)cc2C)[C@H](OC)C1 ZINC001081816142 756492631 /nfs/dbraw/zinc/49/26/31/756492631.db2.gz SEYKRKSDTUCEIZ-ZYHUDNBSSA-N 1 2 312.316 1.588 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1ccnnc1 ZINC001015747370 756513976 /nfs/dbraw/zinc/51/39/76/756513976.db2.gz AOOOHPWJGRXATN-QGZVFWFLSA-N 1 2 306.369 1.332 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1ccnnc1 ZINC001015747370 756513984 /nfs/dbraw/zinc/51/39/84/756513984.db2.gz AOOOHPWJGRXATN-QGZVFWFLSA-N 1 2 306.369 1.332 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3ccncc3n2)[C@H](OC)C1 ZINC001081953133 756560558 /nfs/dbraw/zinc/56/05/58/756560558.db2.gz KSUBNXCAORVASX-IAGOWNOFSA-N 1 2 324.384 1.082 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc3ccncc3n2)[C@H](OC)C1 ZINC001081953133 756560562 /nfs/dbraw/zinc/56/05/62/756560562.db2.gz KSUBNXCAORVASX-IAGOWNOFSA-N 1 2 324.384 1.082 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)cn2)[C@H](OC)C1 ZINC001082336174 756742835 /nfs/dbraw/zinc/74/28/35/756742835.db2.gz ZVKWTBNBRNOJDP-ZIAGYGMSSA-N 1 2 307.781 1.187 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)cn2)[C@H](OC)C1 ZINC001082336174 756742840 /nfs/dbraw/zinc/74/28/40/756742840.db2.gz ZVKWTBNBRNOJDP-ZIAGYGMSSA-N 1 2 307.781 1.187 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2csc(C3CC3)n2)[C@H](OC)C1 ZINC001082394268 756764764 /nfs/dbraw/zinc/76/47/64/756764764.db2.gz CKEYWYKLNCPVTJ-TZMCWYRMSA-N 1 2 319.430 1.473 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2csc(C3CC3)n2)[C@H](OC)C1 ZINC001082394268 756764765 /nfs/dbraw/zinc/76/47/65/756764765.db2.gz CKEYWYKLNCPVTJ-TZMCWYRMSA-N 1 2 319.430 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3nnc(C)n3C2)C1 ZINC001016328150 756931303 /nfs/dbraw/zinc/93/13/03/756931303.db2.gz SLUNRQZUKZJCKU-CHWSQXEVSA-N 1 2 323.828 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3nnc(C)n3C2)C1 ZINC001016328150 756931306 /nfs/dbraw/zinc/93/13/06/756931306.db2.gz SLUNRQZUKZJCKU-CHWSQXEVSA-N 1 2 323.828 1.092 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001097261931 757030192 /nfs/dbraw/zinc/03/01/92/757030192.db2.gz AKIDUVMGHROVSV-GASCZTMLSA-N 1 2 310.361 1.368 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001097261931 757030200 /nfs/dbraw/zinc/03/02/00/757030200.db2.gz AKIDUVMGHROVSV-GASCZTMLSA-N 1 2 310.361 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]c(=O)[nH]c1C)C2 ZINC001097273051 757042518 /nfs/dbraw/zinc/04/25/18/757042518.db2.gz DLGAOAVJTNIVOK-MXWKQRLJSA-N 1 2 310.785 1.511 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]c(=O)[nH]c1C)C2 ZINC001097273051 757042525 /nfs/dbraw/zinc/04/25/25/757042525.db2.gz DLGAOAVJTNIVOK-MXWKQRLJSA-N 1 2 310.785 1.511 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2OCCN(C(=O)c3sccc3F)[C@H]2C1 ZINC001083072809 757077444 /nfs/dbraw/zinc/07/74/44/757077444.db2.gz ZCPNIGSYDDENPH-QWHCGFSZSA-N 1 2 310.394 1.989 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2OCCN(C(=O)c3sccc3F)[C@H]2C1 ZINC001083072809 757077450 /nfs/dbraw/zinc/07/74/50/757077450.db2.gz ZCPNIGSYDDENPH-QWHCGFSZSA-N 1 2 310.394 1.989 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)C(F)=C3CCCC3)[C@H]2C1 ZINC001083049214 757102597 /nfs/dbraw/zinc/10/25/97/757102597.db2.gz GWOUFLAQPMKFHN-LSDHHAIUSA-N 1 2 306.381 1.719 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)C(F)=C3CCCC3)[C@H]2C1 ZINC001083049214 757102601 /nfs/dbraw/zinc/10/26/01/757102601.db2.gz GWOUFLAQPMKFHN-LSDHHAIUSA-N 1 2 306.381 1.719 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001097349235 757103106 /nfs/dbraw/zinc/10/31/06/757103106.db2.gz QMOFJYXVHHVFKM-CQSZACIVSA-N 1 2 324.388 1.252 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001097376119 757119250 /nfs/dbraw/zinc/11/92/50/757119250.db2.gz GLSRYKIUIUWGJW-OAHLLOKOSA-N 1 2 316.405 1.475 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COC(C)(C)C)[C@H](O)C1 ZINC001099794505 757225560 /nfs/dbraw/zinc/22/55/60/757225560.db2.gz NVNKKZXZBBCCRX-NWDGAFQWSA-N 1 2 304.818 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COC(C)(C)C)[C@H](O)C1 ZINC001099794505 757225568 /nfs/dbraw/zinc/22/55/68/757225568.db2.gz NVNKKZXZBBCCRX-NWDGAFQWSA-N 1 2 304.818 1.105 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2oc3c(cccc3C)c2C)[C@@H](O)C1 ZINC001084092316 757278139 /nfs/dbraw/zinc/27/81/39/757278139.db2.gz YVTRTVIEEQJDIS-CABCVRRESA-N 1 2 312.369 1.458 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2oc3c(cccc3C)c2C)[C@@H](O)C1 ZINC001084092316 757278145 /nfs/dbraw/zinc/27/81/45/757278145.db2.gz YVTRTVIEEQJDIS-CABCVRRESA-N 1 2 312.369 1.458 20 30 DDEDLO Cc1nc(NC2CCC(NC(=O)CSCC#N)CC2)cc[nH+]1 ZINC001097614303 757281904 /nfs/dbraw/zinc/28/19/04/757281904.db2.gz FLLZJEKXEACAGJ-UHFFFAOYSA-N 1 2 319.434 1.881 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)/C(C)=C/CC)CC2=O)C1 ZINC001108516280 762556306 /nfs/dbraw/zinc/55/63/06/762556306.db2.gz VUAHMZXHFMJAPD-BLXFFLACSA-N 1 2 305.422 1.320 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3cn(C)nc3C)[C@@H]2C1 ZINC001084413220 757535489 /nfs/dbraw/zinc/53/54/89/757535489.db2.gz MWTXRPUBWGCSSR-UKRRQHHQSA-N 1 2 322.840 1.556 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cn(C)nc3C)[C@@H]2C1 ZINC001084413220 757535500 /nfs/dbraw/zinc/53/55/00/757535500.db2.gz MWTXRPUBWGCSSR-UKRRQHHQSA-N 1 2 322.840 1.556 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3Cc2cncn2C)C1 ZINC001097805334 757550626 /nfs/dbraw/zinc/55/06/26/757550626.db2.gz KQMJCWCPSKVDHC-BMFZPTHFSA-N 1 2 314.433 1.998 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3Cc2cncn2C)C1 ZINC001097805334 757550635 /nfs/dbraw/zinc/55/06/35/757550635.db2.gz KQMJCWCPSKVDHC-BMFZPTHFSA-N 1 2 314.433 1.998 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(=O)n1C)C2 ZINC001097817249 757582106 /nfs/dbraw/zinc/58/21/06/757582106.db2.gz RLHNAAQTUZONBH-UPJWGTAASA-N 1 2 321.808 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(=O)n1C)C2 ZINC001097817249 757582113 /nfs/dbraw/zinc/58/21/13/757582113.db2.gz RLHNAAQTUZONBH-UPJWGTAASA-N 1 2 321.808 1.473 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001017179551 757693934 /nfs/dbraw/zinc/69/39/34/757693934.db2.gz KJQKXGFEZASYDM-AWEZNQCLSA-N 1 2 319.453 1.996 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001017179551 757693937 /nfs/dbraw/zinc/69/39/37/757693937.db2.gz KJQKXGFEZASYDM-AWEZNQCLSA-N 1 2 319.453 1.996 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001052732800 757698862 /nfs/dbraw/zinc/69/88/62/757698862.db2.gz BTHDYRSUQHDTOD-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1cc(Cl)nc2[nH]cc(C#N)c21 ZINC001169652201 762573599 /nfs/dbraw/zinc/57/35/99/762573599.db2.gz FMQQEKPDVBFKBD-JTQLQIEISA-N 1 2 305.769 1.830 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1cc(Cl)nc2[nH]cc(C#N)c21 ZINC001169652201 762573603 /nfs/dbraw/zinc/57/36/03/762573603.db2.gz FMQQEKPDVBFKBD-JTQLQIEISA-N 1 2 305.769 1.830 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)[nH]c(C2CC2)c1 ZINC001017608434 758076573 /nfs/dbraw/zinc/07/65/73/758076573.db2.gz KAPUIRFHJVJLOI-GASCZTMLSA-N 1 2 311.385 1.587 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)[nH]c(C2CC2)c1 ZINC001017608434 758076584 /nfs/dbraw/zinc/07/65/84/758076584.db2.gz KAPUIRFHJVJLOI-GASCZTMLSA-N 1 2 311.385 1.587 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(-c2cccnc2)c1 ZINC001017614124 758083834 /nfs/dbraw/zinc/08/38/34/758083834.db2.gz KYAZEFOWZBHSOG-CALCHBBNSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(-c2cccnc2)c1 ZINC001017614124 758083840 /nfs/dbraw/zinc/08/38/40/758083840.db2.gz KYAZEFOWZBHSOG-CALCHBBNSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C[C@@H]21 ZINC001084945822 758087462 /nfs/dbraw/zinc/08/74/62/758087462.db2.gz VAIXPSPSLOVCHM-GRYCIOLGSA-N 1 2 306.303 1.833 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C[C@@H]21 ZINC001084945822 758087470 /nfs/dbraw/zinc/08/74/70/758087470.db2.gz VAIXPSPSLOVCHM-GRYCIOLGSA-N 1 2 306.303 1.833 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099859288 758123230 /nfs/dbraw/zinc/12/32/30/758123230.db2.gz ZKPSQLAGRDAPGL-UONOGXRCSA-N 1 2 323.462 1.859 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099859288 758123236 /nfs/dbraw/zinc/12/32/36/758123236.db2.gz ZKPSQLAGRDAPGL-UONOGXRCSA-N 1 2 323.462 1.859 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCn2ccnc2C1 ZINC001017681799 758151149 /nfs/dbraw/zinc/15/11/49/758151149.db2.gz SCTYNKLEWJDUAR-OAGGEKHMSA-N 1 2 312.417 1.144 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCn2ccnc2C1 ZINC001017681799 758151157 /nfs/dbraw/zinc/15/11/57/758151157.db2.gz SCTYNKLEWJDUAR-OAGGEKHMSA-N 1 2 312.417 1.144 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(C)c(OC)c1 ZINC001017718710 758179094 /nfs/dbraw/zinc/17/90/94/758179094.db2.gz FCYLWMSAMWTRKU-CALCHBBNSA-N 1 2 312.413 1.855 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(C)c(OC)c1 ZINC001017718710 758179098 /nfs/dbraw/zinc/17/90/98/758179098.db2.gz FCYLWMSAMWTRKU-CALCHBBNSA-N 1 2 312.413 1.855 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCOC[C@@H]1OCC ZINC001017744902 758202169 /nfs/dbraw/zinc/20/21/69/758202169.db2.gz LEQZOBBBMMMPEA-NXOAAHMSSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCOC[C@@H]1OCC ZINC001017744902 758202176 /nfs/dbraw/zinc/20/21/76/758202176.db2.gz LEQZOBBBMMMPEA-NXOAAHMSSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nc2onc(C)c21 ZINC001017781728 758233927 /nfs/dbraw/zinc/23/39/27/758233927.db2.gz KDHBLFOFSBHJEJ-OKILXGFUSA-N 1 2 324.384 1.762 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nc2onc(C)c21 ZINC001017781728 758233938 /nfs/dbraw/zinc/23/39/38/758233938.db2.gz KDHBLFOFSBHJEJ-OKILXGFUSA-N 1 2 324.384 1.762 20 30 DDEDLO C[C@H](c1ccccc1)[NH+]1CC2(C1)CN(C(=O)[C@@H](C)C#N)CCO2 ZINC001053187224 758247034 /nfs/dbraw/zinc/24/70/34/758247034.db2.gz HCQFDOKENYASCW-LSDHHAIUSA-N 1 2 313.401 1.820 20 30 DDEDLO C[C@H](F)CC[NH+]1CC2(C1)CN(C(=O)c1cc(C#N)c[nH]1)CCO2 ZINC001053210156 758267882 /nfs/dbraw/zinc/26/78/82/758267882.db2.gz WUMPBQICNVOECR-LBPRGKRZSA-N 1 2 320.368 1.161 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1coc(CC)n1)CCO2 ZINC001053229242 758285312 /nfs/dbraw/zinc/28/53/12/758285312.db2.gz DOHDIUAFEQBWAZ-UHFFFAOYSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001053299144 758354039 /nfs/dbraw/zinc/35/40/39/758354039.db2.gz DWGQPCNFHXDKBL-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnn(CCC)c1)CCO2 ZINC001053452335 758471182 /nfs/dbraw/zinc/47/11/82/758471182.db2.gz QQNMWKUAYTXOKB-UHFFFAOYSA-N 1 2 318.421 1.396 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@@H](C)[C@@H]1CCCO1)CCO2 ZINC001053517962 758525806 /nfs/dbraw/zinc/52/58/06/758525806.db2.gz JYSMQMGEAHZXHS-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(F)cc1)O2 ZINC001053564527 758570591 /nfs/dbraw/zinc/57/05/91/758570591.db2.gz CBAHXVLAOSSSIB-OAHLLOKOSA-N 1 2 304.365 1.975 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C#N)c[nH]1)O2 ZINC001053587579 758603752 /nfs/dbraw/zinc/60/37/52/758603752.db2.gz FULUDZCUNAZWRM-AWEZNQCLSA-N 1 2 314.389 1.426 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cccnc1OC)O2 ZINC001053654074 758666248 /nfs/dbraw/zinc/66/62/48/758666248.db2.gz DYXHGZWSIMJTDX-CYBMUJFWSA-N 1 2 317.389 1.239 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1occc1CC)O2 ZINC001053656290 758669107 /nfs/dbraw/zinc/66/91/07/758669107.db2.gz VPDZJSMSEZGOEW-AWEZNQCLSA-N 1 2 304.390 1.991 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnn(CCC)c1)O2 ZINC001053669514 758680817 /nfs/dbraw/zinc/68/08/17/758680817.db2.gz ATLICAVAPBFILC-OAHLLOKOSA-N 1 2 318.421 1.442 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-c2ccoc2)n[nH]1 ZINC001018269754 758684031 /nfs/dbraw/zinc/68/40/31/758684031.db2.gz LZXXHSLIIONYSD-GASCZTMLSA-N 1 2 324.384 1.982 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-c2ccoc2)n[nH]1 ZINC001018269754 758684037 /nfs/dbraw/zinc/68/40/37/758684037.db2.gz LZXXHSLIIONYSD-GASCZTMLSA-N 1 2 324.384 1.982 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnoc1C1CC1)O2 ZINC001053682176 758692199 /nfs/dbraw/zinc/69/21/99/758692199.db2.gz CHFFZKJKHOPTJS-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001065692653 758710699 /nfs/dbraw/zinc/71/06/99/758710699.db2.gz XWTHOXREXRNWJP-FZMZJTMJSA-N 1 2 324.388 1.948 20 30 DDEDLO C[C@@H](Nc1ncccc1C#N)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065721231 758721719 /nfs/dbraw/zinc/72/17/19/758721719.db2.gz AWJNVZCUUPIQHL-HIFRSBDPSA-N 1 2 324.388 1.499 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065711022 758729038 /nfs/dbraw/zinc/72/90/38/758729038.db2.gz HRLTWMSNSLFSAS-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065712379 758729753 /nfs/dbraw/zinc/72/97/53/758729753.db2.gz XANQGFHHGVTRMB-GDBMZVCRSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc(F)c1)CO2 ZINC001053727648 758744085 /nfs/dbraw/zinc/74/40/85/758744085.db2.gz COEBXJGGMHXMSD-OAHLLOKOSA-N 1 2 302.349 1.422 20 30 DDEDLO C[C@@H](Nc1ncccc1C#N)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065780082 758793941 /nfs/dbraw/zinc/79/39/41/758793941.db2.gz PIYFIBWOCSIVLQ-TZMCWYRMSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1occc1CC)CO2 ZINC001053915751 758949692 /nfs/dbraw/zinc/94/96/92/758949692.db2.gz RKAOJQPWZYLEAR-CQSZACIVSA-N 1 2 302.374 1.438 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@]13C[C@@H]1CCCC3)CO2 ZINC001053918454 758953446 /nfs/dbraw/zinc/95/34/46/758953446.db2.gz MYWGOVYJCOHTFN-MPGHIAIKSA-N 1 2 302.418 1.550 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1occc1C)CO2 ZINC001053921138 758957047 /nfs/dbraw/zinc/95/70/47/758957047.db2.gz YXYOSSRCZUPBCV-AWEZNQCLSA-N 1 2 302.374 1.113 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)c1ccsc1)CO2 ZINC001053923288 758959191 /nfs/dbraw/zinc/95/91/91/758959191.db2.gz RPTHURSYWMXUOL-ZFWWWQNUSA-N 1 2 318.442 1.834 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)[nH]c1C)CO2 ZINC001053940179 758976869 /nfs/dbraw/zinc/97/68/69/758976869.db2.gz YTCNPGGQCINMBU-CQSZACIVSA-N 1 2 301.390 1.228 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC13CCCC3)CO2 ZINC001053974709 759011717 /nfs/dbraw/zinc/01/17/17/759011717.db2.gz SKYJUDKWIAJJRD-HUUCEWRRSA-N 1 2 302.418 1.550 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)c1ccccc1)CO2 ZINC001053985064 759026259 /nfs/dbraw/zinc/02/62/59/759026259.db2.gz KPLKKQGUUMENDE-DOTOQJQBSA-N 1 2 312.413 1.773 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)c1cccnc1)CO2 ZINC001053985797 759028368 /nfs/dbraw/zinc/02/83/68/759028368.db2.gz ZNSBGTBUKJPXKW-GOEBONIOSA-N 1 2 315.417 1.721 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccc(=O)[nH]c1 ZINC001054059488 759112693 /nfs/dbraw/zinc/11/26/93/759112693.db2.gz QAYMEPDXFFTNQM-QGZVFWFLSA-N 1 2 321.380 1.577 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccc(=O)[nH]c1 ZINC001054059488 759112695 /nfs/dbraw/zinc/11/26/95/759112695.db2.gz QAYMEPDXFFTNQM-QGZVFWFLSA-N 1 2 321.380 1.577 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nonc1C ZINC001054060548 759114372 /nfs/dbraw/zinc/11/43/72/759114372.db2.gz BEIRMJPCZPJKER-INIZCTEOSA-N 1 2 324.384 1.558 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nonc1C ZINC001054060548 759114378 /nfs/dbraw/zinc/11/43/78/759114378.db2.gz BEIRMJPCZPJKER-INIZCTEOSA-N 1 2 324.384 1.558 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001099974226 759330670 /nfs/dbraw/zinc/33/06/70/759330670.db2.gz OPAHVKGWRFEENV-HIFRSBDPSA-N 1 2 307.394 1.391 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001099974226 759330682 /nfs/dbraw/zinc/33/06/82/759330682.db2.gz OPAHVKGWRFEENV-HIFRSBDPSA-N 1 2 307.394 1.391 20 30 DDEDLO CCCCCCC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131119420 767887722 /nfs/dbraw/zinc/88/77/22/767887722.db2.gz ARNPOASZOWDGPO-HUUCEWRRSA-N 1 2 317.437 1.798 20 30 DDEDLO Cc1c[nH]nc1C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001085590135 759785050 /nfs/dbraw/zinc/78/50/50/759785050.db2.gz DZPQZAZOFPTGDZ-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1c[nH]nc1C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001085590135 759785057 /nfs/dbraw/zinc/78/50/57/759785057.db2.gz DZPQZAZOFPTGDZ-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnn1CC1CCC1 ZINC001085597664 759809099 /nfs/dbraw/zinc/80/90/99/759809099.db2.gz CRCJSLAVESXDGY-HNNXBMFYSA-N 1 2 300.406 1.463 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnn1CC1CCC1 ZINC001085597664 759809107 /nfs/dbraw/zinc/80/91/07/759809107.db2.gz CRCJSLAVESXDGY-HNNXBMFYSA-N 1 2 300.406 1.463 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)CCn1cc[nH+]c1 ZINC001069180660 767896245 /nfs/dbraw/zinc/89/62/45/767896245.db2.gz KMNKBKKZQNBMKX-BBRMVZONSA-N 1 2 324.388 1.641 20 30 DDEDLO C#CCCN1CC[C@H]1CN(C)C(=O)c1sccc1C[NH+](C)C ZINC001085622852 759865415 /nfs/dbraw/zinc/86/54/15/759865415.db2.gz FYNPQTVDMQRASI-HNNXBMFYSA-N 1 2 319.474 1.979 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC001019514468 760014626 /nfs/dbraw/zinc/01/46/26/760014626.db2.gz RJPSOUZHBUJPBX-QMMMGPOBSA-N 1 2 322.718 1.985 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001046772804 767912582 /nfs/dbraw/zinc/91/25/82/767912582.db2.gz ACLCTOMMKBMWQK-HNNXBMFYSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001046772804 767912586 /nfs/dbraw/zinc/91/25/86/767912586.db2.gz ACLCTOMMKBMWQK-HNNXBMFYSA-N 1 2 323.828 1.489 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)ncn1 ZINC001085720390 760104493 /nfs/dbraw/zinc/10/44/93/760104493.db2.gz TYZNAFIZBZDGKM-SNVBAGLBSA-N 1 2 312.295 1.275 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)ncn1 ZINC001085720390 760104496 /nfs/dbraw/zinc/10/44/96/760104496.db2.gz TYZNAFIZBZDGKM-SNVBAGLBSA-N 1 2 312.295 1.275 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3ncc(C)o3)C2)nc1 ZINC001046780840 767919388 /nfs/dbraw/zinc/91/93/88/767919388.db2.gz BRJGSLHJMIXOQS-SFHVURJKSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@H+](Cc3ncc(C)o3)C2)nc1 ZINC001046780840 767919392 /nfs/dbraw/zinc/91/93/92/767919392.db2.gz BRJGSLHJMIXOQS-SFHVURJKSA-N 1 2 324.384 1.754 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc2cc(C)nn2c1C ZINC001085751464 760168461 /nfs/dbraw/zinc/16/84/61/760168461.db2.gz HDQBNUHFIPPEOP-AWEZNQCLSA-N 1 2 311.389 1.126 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc2cc(C)nn2c1C ZINC001085751464 760168469 /nfs/dbraw/zinc/16/84/69/760168469.db2.gz HDQBNUHFIPPEOP-AWEZNQCLSA-N 1 2 311.389 1.126 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2c(c1)c(C)nn2C ZINC001085751659 760169900 /nfs/dbraw/zinc/16/99/00/760169900.db2.gz MCEAWBVPWDJKCW-CQSZACIVSA-N 1 2 311.389 1.056 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2c(c1)c(C)nn2C ZINC001085751659 760169903 /nfs/dbraw/zinc/16/99/03/760169903.db2.gz MCEAWBVPWDJKCW-CQSZACIVSA-N 1 2 311.389 1.056 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nn(C)c2c1CCCC2 ZINC001085832258 760317974 /nfs/dbraw/zinc/31/79/74/760317974.db2.gz AMGKWOWGPOZFHT-CYBMUJFWSA-N 1 2 300.406 1.078 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nn(C)c2c1CCCC2 ZINC001085832258 760317984 /nfs/dbraw/zinc/31/79/84/760317984.db2.gz AMGKWOWGPOZFHT-CYBMUJFWSA-N 1 2 300.406 1.078 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2C[C@H](F)CC)nn1 ZINC001085863525 760406098 /nfs/dbraw/zinc/40/60/98/760406098.db2.gz CZWZZPKGIABWOI-KGLIPLIRSA-N 1 2 323.416 1.749 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C[C@H](F)CC)nn1 ZINC001085863525 760406103 /nfs/dbraw/zinc/40/61/03/760406103.db2.gz CZWZZPKGIABWOI-KGLIPLIRSA-N 1 2 323.416 1.749 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1nc[nH]n1 ZINC001085880392 760442060 /nfs/dbraw/zinc/44/20/60/760442060.db2.gz CESXOXOEJRDMLD-AWEZNQCLSA-N 1 2 310.361 1.023 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1nc[nH]n1 ZINC001085880392 760442063 /nfs/dbraw/zinc/44/20/63/760442063.db2.gz CESXOXOEJRDMLD-AWEZNQCLSA-N 1 2 310.361 1.023 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@@H]2C1 ZINC001055387240 760484097 /nfs/dbraw/zinc/48/40/97/760484097.db2.gz JSILSBDKQKBLIP-GASCZTMLSA-N 1 2 316.405 1.156 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001085924264 760547926 /nfs/dbraw/zinc/54/79/26/760547926.db2.gz RLPCUQLYVXDAPW-STQMWFEESA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001085924264 760547927 /nfs/dbraw/zinc/54/79/27/760547927.db2.gz RLPCUQLYVXDAPW-STQMWFEESA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001085924264 760547928 /nfs/dbraw/zinc/54/79/28/760547928.db2.gz RLPCUQLYVXDAPW-STQMWFEESA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001085924264 760547929 /nfs/dbraw/zinc/54/79/29/760547929.db2.gz RLPCUQLYVXDAPW-STQMWFEESA-N 1 2 303.410 1.018 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2C/C=C/Cl)nc1 ZINC001085933979 760564084 /nfs/dbraw/zinc/56/40/84/760564084.db2.gz OROPDKBHSFHQPR-YOIVXCQUSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2C/C=C/Cl)nc1 ZINC001085933979 760564090 /nfs/dbraw/zinc/56/40/90/760564090.db2.gz OROPDKBHSFHQPR-YOIVXCQUSA-N 1 2 303.793 1.962 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C(C)(F)F)CC2)[C@@H](O)C1 ZINC001100011214 760574456 /nfs/dbraw/zinc/57/44/56/760574456.db2.gz NCELVRBSHOCLAX-MNOVXSKESA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C(C)(F)F)CC2)[C@@H](O)C1 ZINC001100011214 760574460 /nfs/dbraw/zinc/57/44/60/760574460.db2.gz NCELVRBSHOCLAX-MNOVXSKESA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001046870827 767999195 /nfs/dbraw/zinc/99/91/95/767999195.db2.gz SFJXGZHJDFSOLA-OAHLLOKOSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001046870827 767999199 /nfs/dbraw/zinc/99/91/99/767999199.db2.gz SFJXGZHJDFSOLA-OAHLLOKOSA-N 1 2 322.796 1.127 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ccc(C#N)cn1 ZINC001069415750 768016630 /nfs/dbraw/zinc/01/66/30/768016630.db2.gz UPJDUAXLYBLWJM-IUODEOHRSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-n2cccn2)nc1 ZINC001038570848 761205060 /nfs/dbraw/zinc/20/50/60/761205060.db2.gz JVKRLUUWZXGRNB-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-n2cccn2)nc1 ZINC001038570848 761205065 /nfs/dbraw/zinc/20/50/65/761205065.db2.gz JVKRLUUWZXGRNB-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001038683723 761285433 /nfs/dbraw/zinc/28/54/33/761285433.db2.gz JXKZOLBOJUKRID-NEPJUHHUSA-N 1 2 308.813 1.773 20 30 DDEDLO CCn1cnc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)c1 ZINC001038920109 761545457 /nfs/dbraw/zinc/54/54/57/761545457.db2.gz BIQZLIQHFYCQJL-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1cnc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)c1 ZINC001038920109 761545462 /nfs/dbraw/zinc/54/54/62/761545462.db2.gz BIQZLIQHFYCQJL-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ocnc2C)cn1 ZINC001038934402 761565339 /nfs/dbraw/zinc/56/53/39/761565339.db2.gz YEQHZUCLROEKJB-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ocnc2C)cn1 ZINC001038934402 761565340 /nfs/dbraw/zinc/56/53/40/761565340.db2.gz YEQHZUCLROEKJB-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001000233792 761590987 /nfs/dbraw/zinc/59/09/87/761590987.db2.gz WYXGMHIYAKMZOT-YUTCNCBUSA-N 1 2 322.434 1.210 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)CC(C)C)CC2=O)C1 ZINC001108583262 762749696 /nfs/dbraw/zinc/74/96/96/762749696.db2.gz KYZCPYQFIKCTKQ-UONOGXRCSA-N 1 2 307.438 1.256 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108644862 762820358 /nfs/dbraw/zinc/82/03/58/762820358.db2.gz WMQCTRSWFJDCOB-LBPRGKRZSA-N 1 2 318.425 1.356 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108659245 762825101 /nfs/dbraw/zinc/82/51/01/762825101.db2.gz SBHOMNJJQAJLJD-AAEUAGOBSA-N 1 2 320.441 1.765 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CNc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001108679163 762854313 /nfs/dbraw/zinc/85/43/13/762854313.db2.gz CJRUKJYPTUWFND-NSHDSACASA-N 1 2 318.425 1.519 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108721715 762882408 /nfs/dbraw/zinc/88/24/08/762882408.db2.gz VOUPCSWJOXADKG-STQMWFEESA-N 1 2 312.377 1.718 20 30 DDEDLO C[C@@H](CNc1nccnc1C#N)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108721279 762891782 /nfs/dbraw/zinc/89/17/82/762891782.db2.gz ALTZBJPNVJEANJ-RYUDHWBXSA-N 1 2 313.365 1.113 20 30 DDEDLO CC#CC[N@@H+]1CC[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041304079 762948053 /nfs/dbraw/zinc/94/80/53/762948053.db2.gz KVGIUKIQJVWVLQ-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@H+]1CC[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041304079 762948056 /nfs/dbraw/zinc/94/80/56/762948056.db2.gz KVGIUKIQJVWVLQ-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@@H+]1CC[C@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001041304079 762948062 /nfs/dbraw/zinc/94/80/62/762948062.db2.gz KVGIUKIQJVWVLQ-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@H+]1CC[C@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001041304079 762948067 /nfs/dbraw/zinc/94/80/67/762948067.db2.gz KVGIUKIQJVWVLQ-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)CC(C)C)CC1 ZINC001131390858 768110442 /nfs/dbraw/zinc/11/04/42/768110442.db2.gz OPFKULJIEATTEE-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)CC(C)C)CC1 ZINC001131390858 768110446 /nfs/dbraw/zinc/11/04/46/768110446.db2.gz OPFKULJIEATTEE-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCCN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001067363874 763201858 /nfs/dbraw/zinc/20/18/58/763201858.db2.gz YZASELKQEGNAPK-UHFFFAOYSA-N 1 2 318.421 1.309 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCCN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001067363874 763201867 /nfs/dbraw/zinc/20/18/67/763201867.db2.gz YZASELKQEGNAPK-UHFFFAOYSA-N 1 2 318.421 1.309 20 30 DDEDLO C#CCC[N@H+]1CC[C@@]2(CCN(C(=O)c3cc(C4CC4)[nH]n3)C2)C1 ZINC001041707831 763232151 /nfs/dbraw/zinc/23/21/51/763232151.db2.gz RXNVMLHGIHRONU-GOSISDBHSA-N 1 2 312.417 1.848 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@]2(CCN(C(=O)c3cc(C4CC4)[nH]n3)C2)C1 ZINC001041707831 763232158 /nfs/dbraw/zinc/23/21/58/763232158.db2.gz RXNVMLHGIHRONU-GOSISDBHSA-N 1 2 312.417 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)[C@H]2CCOC2)C1 ZINC001046925189 768119955 /nfs/dbraw/zinc/11/99/55/768119955.db2.gz LPJCUKBKUFCLCI-NWDGAFQWSA-N 1 2 322.783 1.849 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@H](CNC(=O)[C@H]2CCOC2)C1 ZINC001046925189 768119959 /nfs/dbraw/zinc/11/99/59/768119959.db2.gz LPJCUKBKUFCLCI-NWDGAFQWSA-N 1 2 322.783 1.849 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC ZINC001109333167 763584275 /nfs/dbraw/zinc/58/42/75/763584275.db2.gz PQFODPUJVFSIHV-WOPDTQHZSA-N 1 2 313.829 1.233 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC ZINC001109333167 763584285 /nfs/dbraw/zinc/58/42/85/763584285.db2.gz PQFODPUJVFSIHV-WOPDTQHZSA-N 1 2 313.829 1.233 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC(Nc3cc[nH+]c(C)n3)CC2)c1 ZINC001057423085 763661731 /nfs/dbraw/zinc/66/17/31/763661731.db2.gz NAHNMXTWHRPSKY-UHFFFAOYSA-N 1 2 321.384 1.878 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@@H+](Cc3cnn(C)n3)[C@@H]2C1 ZINC001042181679 763746537 /nfs/dbraw/zinc/74/65/37/763746537.db2.gz ILXBWDHCHNXHAP-DZGCQCFKSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@H+](Cc3cnn(C)n3)[C@@H]2C1 ZINC001042181679 763746547 /nfs/dbraw/zinc/74/65/47/763746547.db2.gz ILXBWDHCHNXHAP-DZGCQCFKSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cncnc1)C2 ZINC001109527424 763790610 /nfs/dbraw/zinc/79/06/10/763790610.db2.gz SQLPGHGAYRRVKY-ILXRZTDVSA-N 1 2 320.824 1.883 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cncnc1)C2 ZINC001109527424 763790614 /nfs/dbraw/zinc/79/06/14/763790614.db2.gz SQLPGHGAYRRVKY-ILXRZTDVSA-N 1 2 320.824 1.883 20 30 DDEDLO CN(CCCNc1ccc(C#N)nc1)C(=O)CCn1cc[nH+]c1 ZINC001109581617 763836525 /nfs/dbraw/zinc/83/65/25/763836525.db2.gz ILIZPVLIPDONDD-UHFFFAOYSA-N 1 2 312.377 1.500 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCC1(C)C)C2 ZINC001109628544 763891264 /nfs/dbraw/zinc/89/12/64/763891264.db2.gz SIAGDNNEJDCYGC-QPSCCSFWSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCC1(C)C)C2 ZINC001109628544 763891270 /nfs/dbraw/zinc/89/12/70/763891270.db2.gz SIAGDNNEJDCYGC-QPSCCSFWSA-N 1 2 319.449 1.446 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(CN(C(=O)Cn3ccnc3C)C2)CC1 ZINC001050755308 764004866 /nfs/dbraw/zinc/00/48/66/764004866.db2.gz KEVHFMWVGPNTLG-UHFFFAOYSA-N 1 2 322.840 1.868 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)noc1C ZINC001050812106 764116708 /nfs/dbraw/zinc/11/67/08/764116708.db2.gz ILZHTGCYUYYLQA-CQSZACIVSA-N 1 2 307.394 1.688 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)noc1C ZINC001050812106 764116709 /nfs/dbraw/zinc/11/67/09/764116709.db2.gz ILZHTGCYUYYLQA-CQSZACIVSA-N 1 2 307.394 1.688 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCC(N)=O)C2 ZINC001109954894 764235789 /nfs/dbraw/zinc/23/57/89/764235789.db2.gz ASUHYGBIBBOHHM-AGIUHOORSA-N 1 2 313.829 1.506 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCC(N)=O)C2 ZINC001109954894 764235792 /nfs/dbraw/zinc/23/57/92/764235792.db2.gz ASUHYGBIBBOHHM-AGIUHOORSA-N 1 2 313.829 1.506 20 30 DDEDLO C[C@@H]1CN(c2ccncc2C#N)CC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067401552 764237178 /nfs/dbraw/zinc/23/71/78/764237178.db2.gz AVLPUCZKDTYGAU-UKRRQHHQSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001050916050 764274372 /nfs/dbraw/zinc/27/43/72/764274372.db2.gz AIGRNSXMBSZDPL-HUUCEWRRSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001050916050 764274374 /nfs/dbraw/zinc/27/43/74/764274374.db2.gz AIGRNSXMBSZDPL-HUUCEWRRSA-N 1 2 318.421 1.223 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@H](Nc2ccncc2C#N)C1 ZINC001057674107 764297256 /nfs/dbraw/zinc/29/72/56/764297256.db2.gz BXDDACKFDBFCPZ-AWEZNQCLSA-N 1 2 324.388 1.052 20 30 DDEDLO CN(C(=O)c1c[nH]c(C#N)c1)C1C[NH+](C[C@H]2CC(C)(C)CO2)C1 ZINC001042638879 764300644 /nfs/dbraw/zinc/30/06/44/764300644.db2.gz VWAMDQSSHRRWEF-OAHLLOKOSA-N 1 2 316.405 1.458 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1COCC[N@H+]1CC[C@H](C)F ZINC001050957377 764348200 /nfs/dbraw/zinc/34/82/00/764348200.db2.gz GLKZBNBIZPMHJN-LSDHHAIUSA-N 1 2 323.412 1.853 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1COCC[N@@H+]1CC[C@H](C)F ZINC001050957377 764348207 /nfs/dbraw/zinc/34/82/07/764348207.db2.gz GLKZBNBIZPMHJN-LSDHHAIUSA-N 1 2 323.412 1.853 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(C)nc1CC ZINC001050968447 764362149 /nfs/dbraw/zinc/36/21/49/764362149.db2.gz FADIOUPBIDWRRQ-CQSZACIVSA-N 1 2 320.437 1.379 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(C)nc1CC ZINC001050968447 764362158 /nfs/dbraw/zinc/36/21/58/764362158.db2.gz FADIOUPBIDWRRQ-CQSZACIVSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001050993695 764394002 /nfs/dbraw/zinc/39/40/02/764394002.db2.gz OAUAQDRKZMCIAW-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001050993695 764394006 /nfs/dbraw/zinc/39/40/06/764394006.db2.gz OAUAQDRKZMCIAW-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1C[C@@H]2CCC[C@]2(CNCC#N)C1 ZINC001112572160 764415623 /nfs/dbraw/zinc/41/56/23/764415623.db2.gz HNZXFNLMIYXVLA-YOEHRIQHSA-N 1 2 315.421 1.095 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnc(C)c1 ZINC001051179431 764584634 /nfs/dbraw/zinc/58/46/34/764584634.db2.gz VXBPVNKXPUWPBF-MRXNPFEDSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnc(C)c1 ZINC001051179431 764584638 /nfs/dbraw/zinc/58/46/38/764584638.db2.gz VXBPVNKXPUWPBF-MRXNPFEDSA-N 1 2 303.406 1.787 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ncn(-c3ccccc3)n2)C1 ZINC001043024134 764594409 /nfs/dbraw/zinc/59/44/09/764594409.db2.gz GOMKNORJIYGGNQ-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc(OC)n1 ZINC001051189641 764598480 /nfs/dbraw/zinc/59/84/80/764598480.db2.gz MYYGMGCZOBFZKF-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc(OC)n1 ZINC001051189641 764598485 /nfs/dbraw/zinc/59/84/85/764598485.db2.gz MYYGMGCZOBFZKF-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncoc1C1CC1 ZINC001051198985 764608531 /nfs/dbraw/zinc/60/85/31/764608531.db2.gz BFYBNGCSKUNKRQ-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncoc1C1CC1 ZINC001051198985 764608534 /nfs/dbraw/zinc/60/85/34/764608534.db2.gz BFYBNGCSKUNKRQ-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1csc(Cl)n1 ZINC001051201082 764611908 /nfs/dbraw/zinc/61/19/08/764611908.db2.gz ZRZWPVXEKPJGHQ-SNVBAGLBSA-N 1 2 315.826 1.803 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1csc(Cl)n1 ZINC001051201082 764611913 /nfs/dbraw/zinc/61/19/13/764611913.db2.gz ZRZWPVXEKPJGHQ-SNVBAGLBSA-N 1 2 315.826 1.803 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CC[C@H](C)F)cn1 ZINC001051216739 764630774 /nfs/dbraw/zinc/63/07/74/764630774.db2.gz LOLAYRYGOKHQAI-XJKSGUPXSA-N 1 2 319.380 1.242 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CC[C@H](C)F)cn1 ZINC001051216739 764630778 /nfs/dbraw/zinc/63/07/78/764630778.db2.gz LOLAYRYGOKHQAI-XJKSGUPXSA-N 1 2 319.380 1.242 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nsc1C ZINC001051257681 764680926 /nfs/dbraw/zinc/68/09/26/764680926.db2.gz BDPKVEVASVDSHE-ZDUSSCGKSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nsc1C ZINC001051257681 764680935 /nfs/dbraw/zinc/68/09/35/764680935.db2.gz BDPKVEVASVDSHE-ZDUSSCGKSA-N 1 2 309.435 1.767 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2sc(C)nc2C)CC1 ZINC001112801103 764790384 /nfs/dbraw/zinc/79/03/84/764790384.db2.gz FTHNKYKVUSZROI-INIZCTEOSA-N 1 2 323.462 1.731 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2CCOc3c(F)cccc32)C1 ZINC001043297278 764817921 /nfs/dbraw/zinc/81/79/21/764817921.db2.gz ASGKFTYVZDAGCZ-HNNXBMFYSA-N 1 2 316.376 1.858 20 30 DDEDLO C[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001043425628 764898023 /nfs/dbraw/zinc/89/80/23/764898023.db2.gz HOBOZPLHALYUBR-CZUORRHYSA-N 1 2 324.388 1.499 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051685111 765072351 /nfs/dbraw/zinc/07/23/51/765072351.db2.gz HNISXYVLSHKTLC-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113010286 765135137 /nfs/dbraw/zinc/13/51/37/765135137.db2.gz WYBKEEMCFRKIEO-NWDGAFQWSA-N 1 2 314.393 1.472 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C(C)(C)n2cnc(C)c2)CC1 ZINC001113005533 765140613 /nfs/dbraw/zinc/14/06/13/765140613.db2.gz SAZQZVPUBKGTIF-UHFFFAOYSA-N 1 2 320.437 1.273 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001113155929 765345450 /nfs/dbraw/zinc/34/54/50/765345450.db2.gz SZLWUFHKGRAFPL-QWRGUYRKSA-N 1 2 312.377 1.533 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3CC3(C)C)C2)CC1 ZINC001052013477 765382760 /nfs/dbraw/zinc/38/27/60/765382760.db2.gz ZSJAULCZFKJPPH-HZPDHXFCSA-N 1 2 305.466 1.827 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccoc3Cl)C2)CC1 ZINC001052016825 765388558 /nfs/dbraw/zinc/38/85/58/765388558.db2.gz AXLZEYDWGNAKEQ-ZDUSSCGKSA-N 1 2 323.824 1.951 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccnc2OCC(C)C)C1 ZINC001044200981 765389345 /nfs/dbraw/zinc/38/93/45/765389345.db2.gz TYRONCJNJWKOHJ-UHFFFAOYSA-N 1 2 315.417 1.896 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)CC1 ZINC001052069315 765442250 /nfs/dbraw/zinc/44/22/50/765442250.db2.gz XLRYOCVZYFCTQF-VSZNYVQBSA-N 1 2 315.461 1.274 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2Oc3ccccc3O[C@@H]2C)C1 ZINC001044279729 765444082 /nfs/dbraw/zinc/44/40/82/765444082.db2.gz GDQAFXSMCAISMG-CXAGYDPISA-N 1 2 316.401 1.934 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(C)CC=CC3)C2)CC1 ZINC001052074786 765446875 /nfs/dbraw/zinc/44/68/75/765446875.db2.gz LEPWMVSLDGNMCL-INIZCTEOSA-N 1 2 301.434 1.194 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3C3CC3)C2)CC1 ZINC001052097391 765468277 /nfs/dbraw/zinc/46/82/77/765468277.db2.gz XVLVEAJBFMMUFD-FGTMMUONSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C3CC3)C3CC3)C2)CC1 ZINC001052106735 765476697 /nfs/dbraw/zinc/47/66/97/765476697.db2.gz SGFSAFWNEFLLRN-QGZVFWFLSA-N 1 2 315.461 1.274 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cn1)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001113352033 765615450 /nfs/dbraw/zinc/61/54/50/765615450.db2.gz LYQSXZLDTWKCDQ-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cn1)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001113352033 765615452 /nfs/dbraw/zinc/61/54/52/765615452.db2.gz LYQSXZLDTWKCDQ-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NC2CC2)C1 ZINC001095983383 765658368 /nfs/dbraw/zinc/65/83/68/765658368.db2.gz XXJNSLZYEDVLJL-ILXRZTDVSA-N 1 2 317.433 1.343 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NC2CC2)C1 ZINC001095983383 765658373 /nfs/dbraw/zinc/65/83/73/765658373.db2.gz XXJNSLZYEDVLJL-ILXRZTDVSA-N 1 2 317.433 1.343 20 30 DDEDLO Cc1nc(N(C)[C@H](C)CNC(=O)CSCC#N)c(C)c(C)[nH+]1 ZINC001113496476 765756157 /nfs/dbraw/zinc/75/61/57/765756157.db2.gz NUMSZQLGLKADNY-SNVBAGLBSA-N 1 2 321.450 1.600 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CC[C@H](C)CC2)[C@@H](n2ccnn2)C1 ZINC001070079893 768331134 /nfs/dbraw/zinc/33/11/34/768331134.db2.gz ZRJRQUALKQDAJM-FPCVCCKLSA-N 1 2 315.421 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CC[C@H](C)CC2)[C@@H](n2ccnn2)C1 ZINC001070079893 768331140 /nfs/dbraw/zinc/33/11/40/768331140.db2.gz ZRJRQUALKQDAJM-FPCVCCKLSA-N 1 2 315.421 1.079 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(CC=C)CCOCC2)CC1 ZINC001113593593 765923900 /nfs/dbraw/zinc/92/39/00/765923900.db2.gz KAFQTKCVTNOGFF-UHFFFAOYSA-N 1 2 322.449 1.706 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2cccs2)CC[C@H]1C ZINC001131770904 768338223 /nfs/dbraw/zinc/33/82/23/768338223.db2.gz BAXGOYVBKHPPAQ-OLZOCXBDSA-N 1 2 321.446 1.633 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2cccs2)CC[C@H]1C ZINC001131770904 768338231 /nfs/dbraw/zinc/33/82/31/768338231.db2.gz BAXGOYVBKHPPAQ-OLZOCXBDSA-N 1 2 321.446 1.633 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@H](C)NC(=O)C2CCCC2)CC1 ZINC001113733248 766071469 /nfs/dbraw/zinc/07/14/69/766071469.db2.gz VTKGVPBFYZUQCM-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO N#Cc1cccnc1N1CCC(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001057959724 766158555 /nfs/dbraw/zinc/15/85/55/766158555.db2.gz UQVVHQJXTFUMMB-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO C[C@H](CNC(=O)Cc1c[nH]c[nH+]1)N(C)c1cccc(F)c1C#N ZINC001113898334 766364335 /nfs/dbraw/zinc/36/43/35/766364335.db2.gz REZLHDGDGGQCDY-LLVKDONJSA-N 1 2 315.352 1.604 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH]c[nH+]1)N(C)c1ncccc1C#N ZINC001113936576 766411405 /nfs/dbraw/zinc/41/14/05/766411405.db2.gz LLSLFIIOWIJHEC-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH+]c[nH]1)N(C)c1ncccc1C#N ZINC001113936576 766411407 /nfs/dbraw/zinc/41/14/07/766411407.db2.gz LLSLFIIOWIJHEC-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO N#Cc1ccc(N(CCCNC(=O)Cn2cc[nH+]c2)C2CC2)cn1 ZINC001096041997 766542767 /nfs/dbraw/zinc/54/27/67/766542767.db2.gz PMOKRNCFSICPRA-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001114159424 766711894 /nfs/dbraw/zinc/71/18/94/766711894.db2.gz DZSCLSFJBGFYAK-VIKVFOODSA-N 1 2 303.431 1.883 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001114159424 766711898 /nfs/dbraw/zinc/71/18/98/766711898.db2.gz DZSCLSFJBGFYAK-VIKVFOODSA-N 1 2 303.431 1.883 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001114189180 766737584 /nfs/dbraw/zinc/73/75/84/766737584.db2.gz KELURBQIGORCNF-PAPYEOQZSA-N 1 2 307.419 1.017 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001114189180 766737591 /nfs/dbraw/zinc/73/75/91/766737591.db2.gz KELURBQIGORCNF-PAPYEOQZSA-N 1 2 307.419 1.017 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C[C@H](C)C2CC2)[C@@H](n2ccnn2)C1 ZINC001129465878 766899523 /nfs/dbraw/zinc/89/95/23/766899523.db2.gz SIHJZDPRPCCOGE-IMJJTQAJSA-N 1 2 315.421 1.079 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C[C@H](C)C2CC2)[C@@H](n2ccnn2)C1 ZINC001129465878 766899536 /nfs/dbraw/zinc/89/95/36/766899536.db2.gz SIHJZDPRPCCOGE-IMJJTQAJSA-N 1 2 315.421 1.079 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NC[C@@H](C)Nc1cc[nH+]c(C)n1 ZINC001098058356 766968709 /nfs/dbraw/zinc/96/87/09/766968709.db2.gz ZJXTYCUFZNKDKG-RISCZKNCSA-N 1 2 319.409 1.173 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1CCO[C@H](C#N)C1 ZINC001121623364 782598505 /nfs/dbraw/zinc/59/85/05/782598505.db2.gz MNKYHPLLSYQKDH-CQSZACIVSA-N 1 2 316.409 1.179 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cn(C3CCC3)nn2)C1 ZINC001046326908 767426912 /nfs/dbraw/zinc/42/69/12/767426912.db2.gz YBORCVXEBDYCPU-OAHLLOKOSA-N 1 2 323.828 1.950 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cn(C3CCC3)nn2)C1 ZINC001046326908 767426919 /nfs/dbraw/zinc/42/69/19/767426919.db2.gz YBORCVXEBDYCPU-OAHLLOKOSA-N 1 2 323.828 1.950 20 30 DDEDLO C#CC[N@H+]1CC[C@](C)(NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001046445009 767579429 /nfs/dbraw/zinc/57/94/29/767579429.db2.gz XWPXPFOPIMYFIQ-HNNXBMFYSA-N 1 2 306.797 1.768 20 30 DDEDLO C#CC[N@@H+]1CC[C@](C)(NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001046445009 767579432 /nfs/dbraw/zinc/57/94/32/767579432.db2.gz XWPXPFOPIMYFIQ-HNNXBMFYSA-N 1 2 306.797 1.768 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068887293 767682222 /nfs/dbraw/zinc/68/22/22/767682222.db2.gz LAUXQIIBJAJNKD-BXUZGUMPSA-N 1 2 310.361 1.320 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068887853 767683212 /nfs/dbraw/zinc/68/32/12/767683212.db2.gz QIWMHQLHISSYJC-YPMHNXCESA-N 1 2 310.361 1.320 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CSCC#N)C[N@@H+]1Cc1ccns1 ZINC001131830846 768411251 /nfs/dbraw/zinc/41/12/51/768411251.db2.gz VWRWZTFESGUBGX-NWDGAFQWSA-N 1 2 324.475 1.869 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CSCC#N)C[N@H+]1Cc1ccns1 ZINC001131830846 768411254 /nfs/dbraw/zinc/41/12/54/768411254.db2.gz VWRWZTFESGUBGX-NWDGAFQWSA-N 1 2 324.475 1.869 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+]Cc1nccc(C)n1 ZINC001131867071 768442892 /nfs/dbraw/zinc/44/28/92/768442892.db2.gz IDNNVNYSBDZGBU-MRXNPFEDSA-N 1 2 306.410 1.220 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)c3ccccc3n2)C1 ZINC001047432060 768472120 /nfs/dbraw/zinc/47/21/20/768472120.db2.gz YGMPGSOWGZMKMT-ROUUACIJSA-N 1 2 323.396 1.294 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)c3ccccc3n2)C1 ZINC001047432060 768472124 /nfs/dbraw/zinc/47/21/24/768472124.db2.gz YGMPGSOWGZMKMT-ROUUACIJSA-N 1 2 323.396 1.294 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)/C=C/C(C)(C)C)C[N@@H+]1CC(=O)NCC#N ZINC001131911812 768475708 /nfs/dbraw/zinc/47/57/08/768475708.db2.gz OBALZIALENFBQK-NCRJZKAISA-N 1 2 320.437 1.198 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)/C=C/C(C)(C)C)C[N@H+]1CC(=O)NCC#N ZINC001131911812 768475710 /nfs/dbraw/zinc/47/57/10/768475710.db2.gz OBALZIALENFBQK-NCRJZKAISA-N 1 2 320.437 1.198 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3occc3s2)C1 ZINC001047522420 768541569 /nfs/dbraw/zinc/54/15/69/768541569.db2.gz MULXMDSFAGIAFO-QWRGUYRKSA-N 1 2 306.387 1.797 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3occc3s2)C1 ZINC001047522420 768541572 /nfs/dbraw/zinc/54/15/72/768541572.db2.gz MULXMDSFAGIAFO-QWRGUYRKSA-N 1 2 306.387 1.797 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3cc(F)ccc3o2)C1 ZINC001047549188 768561516 /nfs/dbraw/zinc/56/15/16/768561516.db2.gz SWHPQUDXMZVWKF-KBPBESRZSA-N 1 2 316.332 1.322 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3cc(F)ccc3o2)C1 ZINC001047549188 768561524 /nfs/dbraw/zinc/56/15/24/768561524.db2.gz SWHPQUDXMZVWKF-KBPBESRZSA-N 1 2 316.332 1.322 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC(C)C)C1 ZINC001132020237 768576781 /nfs/dbraw/zinc/57/67/81/768576781.db2.gz DSVZVIFXKJTHCG-CVEARBPZSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC(C)C)C1 ZINC001132020237 768576786 /nfs/dbraw/zinc/57/67/86/768576786.db2.gz DSVZVIFXKJTHCG-CVEARBPZSA-N 1 2 321.465 1.387 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@@H]2C)nn1C ZINC001132045098 768598330 /nfs/dbraw/zinc/59/83/30/768598330.db2.gz ACDMSIUUALXEFT-XJKSGUPXSA-N 1 2 314.433 1.611 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@@H]2C)nn1C ZINC001132045098 768598333 /nfs/dbraw/zinc/59/83/33/768598333.db2.gz ACDMSIUUALXEFT-XJKSGUPXSA-N 1 2 314.433 1.611 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(C3CC3)s2)C1 ZINC001047603097 768603001 /nfs/dbraw/zinc/60/30/01/768603001.db2.gz SGBKAQJCRUBXHN-RYUDHWBXSA-N 1 2 307.419 1.324 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(C3CC3)s2)C1 ZINC001047603097 768603005 /nfs/dbraw/zinc/60/30/05/768603005.db2.gz SGBKAQJCRUBXHN-RYUDHWBXSA-N 1 2 307.419 1.324 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(F)cccc2Cl)C1 ZINC001047607347 768607123 /nfs/dbraw/zinc/60/71/23/768607123.db2.gz BCNKRIBXIIXSPB-STQMWFEESA-N 1 2 310.756 1.229 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(F)cccc2Cl)C1 ZINC001047607347 768607127 /nfs/dbraw/zinc/60/71/27/768607127.db2.gz BCNKRIBXIIXSPB-STQMWFEESA-N 1 2 310.756 1.229 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[C@H](C)[N@@H+](CCS(C)(=O)=O)C1 ZINC001132063838 768613227 /nfs/dbraw/zinc/61/32/27/768613227.db2.gz ACVMFBHFGRXSGE-KBPBESRZSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[C@H](C)[N@H+](CCS(C)(=O)=O)C1 ZINC001132063838 768613232 /nfs/dbraw/zinc/61/32/32/768613232.db2.gz ACVMFBHFGRXSGE-KBPBESRZSA-N 1 2 316.467 1.356 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)C2CC3(CC3)C2)C[C@H]1NCC#N ZINC001070688619 768671967 /nfs/dbraw/zinc/67/19/67/768671967.db2.gz OKIYSYNSIPSXIC-ZIAGYGMSSA-N 1 2 313.405 1.018 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132214923 768680801 /nfs/dbraw/zinc/68/08/01/768680801.db2.gz PICJERNJMAYTEZ-KBPBESRZSA-N 1 2 320.437 1.198 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132214923 768680802 /nfs/dbraw/zinc/68/08/02/768680802.db2.gz PICJERNJMAYTEZ-KBPBESRZSA-N 1 2 320.437 1.198 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001096237845 768709095 /nfs/dbraw/zinc/70/90/95/768709095.db2.gz MGATXPFLTXDXBB-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001096237845 768709101 /nfs/dbraw/zinc/70/91/01/768709101.db2.gz MGATXPFLTXDXBB-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)C2CC2)[C@H](O)C1 ZINC001090504334 768728721 /nfs/dbraw/zinc/72/87/21/768728721.db2.gz OPEUAJHRYJBUDO-QWHCGFSZSA-N 1 2 300.830 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)C2CC2)[C@H](O)C1 ZINC001090504334 768728728 /nfs/dbraw/zinc/72/87/28/768728728.db2.gz OPEUAJHRYJBUDO-QWHCGFSZSA-N 1 2 300.830 1.727 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2(C3CC3)CC2)CC1 ZINC001070972978 768822892 /nfs/dbraw/zinc/82/28/92/768822892.db2.gz WTFRBSWHUHGBGC-UHFFFAOYSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2(C3CC3)CC2)CC1 ZINC001070972978 768822906 /nfs/dbraw/zinc/82/29/06/768822906.db2.gz WTFRBSWHUHGBGC-UHFFFAOYSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C(F)(F)F)CC1 ZINC001070985677 768837041 /nfs/dbraw/zinc/83/70/41/768837041.db2.gz RNNCXEKWHGUPJG-LLVKDONJSA-N 1 2 321.343 1.021 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C(F)(F)F)CC1 ZINC001070985677 768837054 /nfs/dbraw/zinc/83/70/54/768837054.db2.gz RNNCXEKWHGUPJG-LLVKDONJSA-N 1 2 321.343 1.021 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCCC[C@H]2C)CC1 ZINC001070991074 768846156 /nfs/dbraw/zinc/84/61/56/768846156.db2.gz DQKFYFJFARQWPN-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCCC[C@H]2C)CC1 ZINC001070991074 768846167 /nfs/dbraw/zinc/84/61/67/768846167.db2.gz DQKFYFJFARQWPN-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC[C@@H](C)C2)CC1 ZINC001070991370 768846386 /nfs/dbraw/zinc/84/63/86/768846386.db2.gz PEYSEHWJZIUEJE-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC[C@@H](C)C2)CC1 ZINC001070991370 768846402 /nfs/dbraw/zinc/84/64/02/768846402.db2.gz PEYSEHWJZIUEJE-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001070997472 768860062 /nfs/dbraw/zinc/86/00/62/768860062.db2.gz LTZRGMGICHBKQU-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001070997472 768860072 /nfs/dbraw/zinc/86/00/72/768860072.db2.gz LTZRGMGICHBKQU-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C/C=C(\C)C(=O)NCC[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC001096281057 768927244 /nfs/dbraw/zinc/92/72/44/768927244.db2.gz RHROLYYVOKMVPY-LZWSPWQCSA-N 1 2 313.405 1.158 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001132572474 768993991 /nfs/dbraw/zinc/99/39/91/768993991.db2.gz AKFQVGZRPGAYNA-NWDGAFQWSA-N 1 2 306.410 1.686 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2nc3cccnc3s2)CC[C@@H]1C ZINC001071572372 769647657 /nfs/dbraw/zinc/64/76/57/769647657.db2.gz UYUUXAUQLYLSIS-NWDGAFQWSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2nc3cccnc3s2)CC[C@@H]1C ZINC001071572372 769647664 /nfs/dbraw/zinc/64/76/64/769647664.db2.gz UYUUXAUQLYLSIS-NWDGAFQWSA-N 1 2 314.414 1.907 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](NC(=O)CCCn3cc[nH+]c3)C2)nc1 ZINC001096395614 769663675 /nfs/dbraw/zinc/66/36/75/769663675.db2.gz NVBZXHFCEPCMPY-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2cn[nH]n2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071635007 769761730 /nfs/dbraw/zinc/76/17/30/769761730.db2.gz MBYQHISIQRMOFH-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2cn[nH]n2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071635007 769761739 /nfs/dbraw/zinc/76/17/39/769761739.db2.gz MBYQHISIQRMOFH-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2cnn[nH]2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071635007 769761750 /nfs/dbraw/zinc/76/17/50/769761750.db2.gz MBYQHISIQRMOFH-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2cnn[nH]2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071635007 769761764 /nfs/dbraw/zinc/76/17/64/769761764.db2.gz MBYQHISIQRMOFH-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001071775114 770045571 /nfs/dbraw/zinc/04/55/71/770045571.db2.gz XMRHYDJPZVSDJX-ZIAGYGMSSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001071788634 770078884 /nfs/dbraw/zinc/07/88/84/770078884.db2.gz ZVRAPDCGJDLANH-SMDDNHRTSA-N 1 2 304.394 1.190 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cncc(OC)n2)CC[C@H]1C ZINC001071876614 770212287 /nfs/dbraw/zinc/21/22/87/770212287.db2.gz PHSKFHWLDRQLEE-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cncc(OC)n2)CC[C@H]1C ZINC001071876614 770212292 /nfs/dbraw/zinc/21/22/92/770212292.db2.gz PHSKFHWLDRQLEE-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2ccc(C(N)=O)s2)CC[C@H]1C ZINC001071893012 770239102 /nfs/dbraw/zinc/23/91/02/770239102.db2.gz KDSKHBGUKYAZJK-GHMZBOCLSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2ccc(C(N)=O)s2)CC[C@H]1C ZINC001071893012 770239112 /nfs/dbraw/zinc/23/91/12/770239112.db2.gz KDSKHBGUKYAZJK-GHMZBOCLSA-N 1 2 305.403 1.063 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@@H]1C ZINC001072077031 770517058 /nfs/dbraw/zinc/51/70/58/770517058.db2.gz ZLUNNIIZHCBMFI-CMPLNLGQSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@@H]1C ZINC001072077031 770517066 /nfs/dbraw/zinc/51/70/66/770517066.db2.gz ZLUNNIIZHCBMFI-CMPLNLGQSA-N 1 2 324.812 1.449 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072111070 770555438 /nfs/dbraw/zinc/55/54/38/770555438.db2.gz HFYWHFIKYXYHRM-ZIAGYGMSSA-N 1 2 318.421 1.449 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466863 770888484 /nfs/dbraw/zinc/88/84/84/770888484.db2.gz KYWOAEXCAKZWNA-IAGOWNOFSA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466863 770888496 /nfs/dbraw/zinc/88/84/96/770888496.db2.gz KYWOAEXCAKZWNA-IAGOWNOFSA-N 1 2 313.401 1.962 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049484009 770914525 /nfs/dbraw/zinc/91/45/25/770914525.db2.gz FEJAIWFIOGPQLQ-UTUOFQBUSA-N 1 2 306.303 1.975 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049484009 770914530 /nfs/dbraw/zinc/91/45/30/770914530.db2.gz FEJAIWFIOGPQLQ-UTUOFQBUSA-N 1 2 306.303 1.975 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001072453722 770920363 /nfs/dbraw/zinc/92/03/63/770920363.db2.gz NYNJLEPJIGWROT-CABCVRRESA-N 1 2 316.405 1.040 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049630945 771033632 /nfs/dbraw/zinc/03/36/32/771033632.db2.gz PKGOWBUNJRHDCG-NEPJUHHUSA-N 1 2 309.801 1.545 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049630945 771033637 /nfs/dbraw/zinc/03/36/37/771033637.db2.gz PKGOWBUNJRHDCG-NEPJUHHUSA-N 1 2 309.801 1.545 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCC[NH2+][C@@H](C)c1ncc(C)o1 ZINC001134817243 771194826 /nfs/dbraw/zinc/19/48/26/771194826.db2.gz RPRITAUQUVRBDE-JSGCOSHPSA-N 1 2 322.409 1.221 20 30 DDEDLO C[C@H](C[C@@H](C)NC(=O)c1ccc(-n2cc[nH+]c2)cc1)NCC#N ZINC001135097102 771322035 /nfs/dbraw/zinc/32/20/35/771322035.db2.gz HKVRABAXKJSHSK-ZIAGYGMSSA-N 1 2 311.389 1.882 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096679597 771389638 /nfs/dbraw/zinc/38/96/38/771389638.db2.gz MCEANBLOIQICFK-CQSZACIVSA-N 1 2 304.394 1.684 20 30 DDEDLO COC(=O)[C@H](Cc1ccc(C#N)cc1)[NH2+][C@H]1CCCOCC1 ZINC001170802968 771393501 /nfs/dbraw/zinc/39/35/01/771393501.db2.gz GHJKYYAEGLDSIR-HOTGVXAUSA-N 1 2 302.374 1.801 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)C1([NH+]2CCOCC2)CCCC1)NCC#N ZINC001135588902 771602588 /nfs/dbraw/zinc/60/25/88/771602588.db2.gz GVNLLXDERZEVQH-CABCVRRESA-N 1 2 322.453 1.028 20 30 DDEDLO N#CCN[C@@H]1CC[C@H](CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001086768743 771713706 /nfs/dbraw/zinc/71/37/06/771713706.db2.gz YAAZHPRJJOTSBQ-GOEBONIOSA-N 1 2 323.400 1.884 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(Cl)no2)[C@H](O)C1 ZINC001090745091 772155216 /nfs/dbraw/zinc/15/52/16/772155216.db2.gz ZDYXYNOMEWTHHT-RKDXNWHRSA-N 1 2 320.176 1.246 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(Cl)no2)[C@H](O)C1 ZINC001090745091 772155219 /nfs/dbraw/zinc/15/52/19/772155219.db2.gz ZDYXYNOMEWTHHT-RKDXNWHRSA-N 1 2 320.176 1.246 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)Cn2ccc(=O)c3ccccc32)CC1 ZINC001136863100 772178299 /nfs/dbraw/zinc/17/82/99/772178299.db2.gz OUPTWSPYMQEEAC-UHFFFAOYSA-N 1 2 323.396 1.169 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)Cn2ccc(=O)c3ccccc32)CC1 ZINC001136863100 772178302 /nfs/dbraw/zinc/17/83/02/772178302.db2.gz OUPTWSPYMQEEAC-UHFFFAOYSA-N 1 2 323.396 1.169 20 30 DDEDLO CCn1nnc2c1[C@@H](COC)C[N@H+](Cc1cncc(C#N)c1)C2 ZINC001144075139 772392881 /nfs/dbraw/zinc/39/28/81/772392881.db2.gz KCAJFOPFUDYNAG-CQSZACIVSA-N 1 2 312.377 1.310 20 30 DDEDLO CCn1nnc2c1[C@@H](COC)C[N@@H+](Cc1cncc(C#N)c1)C2 ZINC001144075139 772392883 /nfs/dbraw/zinc/39/28/83/772392883.db2.gz KCAJFOPFUDYNAG-CQSZACIVSA-N 1 2 312.377 1.310 20 30 DDEDLO C#CC[NH2+]C1CC(CNC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001091178070 772585814 /nfs/dbraw/zinc/58/58/14/772585814.db2.gz PPLLXCNEXFENIC-UHFFFAOYSA-N 1 2 309.373 1.203 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1CC)C2 ZINC001147158781 773055089 /nfs/dbraw/zinc/05/50/89/773055089.db2.gz HGFUEADVSPADFQ-UHFFFAOYSA-N 1 2 302.422 1.904 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1nccn1CC)C2 ZINC001147158781 773055093 /nfs/dbraw/zinc/05/50/93/773055093.db2.gz HGFUEADVSPADFQ-UHFFFAOYSA-N 1 2 302.422 1.904 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@H+](Cc1ccon1)C2 ZINC001147374194 773123679 /nfs/dbraw/zinc/12/36/79/773123679.db2.gz IKHASAXDQPMBLU-UHFFFAOYSA-N 1 2 301.390 1.903 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1ccon1)C2 ZINC001147374194 773123682 /nfs/dbraw/zinc/12/36/82/773123682.db2.gz IKHASAXDQPMBLU-UHFFFAOYSA-N 1 2 301.390 1.903 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1coc(C)n1)C2 ZINC001147428529 773131791 /nfs/dbraw/zinc/13/17/91/773131791.db2.gz VKNOXBSPFZQUAL-UHFFFAOYSA-N 1 2 301.390 1.821 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1coc(C)n1)C2 ZINC001147428529 773131794 /nfs/dbraw/zinc/13/17/94/773131794.db2.gz VKNOXBSPFZQUAL-UHFFFAOYSA-N 1 2 301.390 1.821 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccn3C)C[C@@H]21 ZINC001074169824 773691577 /nfs/dbraw/zinc/69/15/77/773691577.db2.gz CZHASQIFGMBQAM-JKSUJKDBSA-N 1 2 303.406 1.517 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccn3C)C[C@@H]21 ZINC001074169824 773691582 /nfs/dbraw/zinc/69/15/82/773691582.db2.gz CZHASQIFGMBQAM-JKSUJKDBSA-N 1 2 303.406 1.517 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001074172110 773694245 /nfs/dbraw/zinc/69/42/45/773694245.db2.gz NHNPIRSOZMCZII-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3CCOCC3)C[C@H]21 ZINC001074190754 773713449 /nfs/dbraw/zinc/71/34/49/773713449.db2.gz REQBRENDAXKWBR-SJORKVTESA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3CCOCC3)C[C@H]21 ZINC001074190754 773713453 /nfs/dbraw/zinc/71/34/53/773713453.db2.gz REQBRENDAXKWBR-SJORKVTESA-N 1 2 320.433 1.128 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccon3)C[C@H]21 ZINC001074199659 773722988 /nfs/dbraw/zinc/72/29/88/773722988.db2.gz GRMRRUYDUOUFKT-CABCVRRESA-N 1 2 305.378 1.095 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccon3)C[C@H]21 ZINC001074199659 773722992 /nfs/dbraw/zinc/72/29/92/773722992.db2.gz GRMRRUYDUOUFKT-CABCVRRESA-N 1 2 305.378 1.095 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[C@H]3OCC[N@@H+](CCCF)[C@@H]3C2)c1 ZINC001074205593 773729064 /nfs/dbraw/zinc/72/90/64/773729064.db2.gz UGPZDYGUWGAABP-HUUCEWRRSA-N 1 2 320.368 1.161 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[C@H]3OCC[N@H+](CCCF)[C@@H]3C2)c1 ZINC001074205593 773729065 /nfs/dbraw/zinc/72/90/65/773729065.db2.gz UGPZDYGUWGAABP-HUUCEWRRSA-N 1 2 320.368 1.161 20 30 DDEDLO C[C@@]12CN(CC#N)C[C@@H]1CN(C(=O)c1cccc3[nH+]ccn31)C2 ZINC001091849000 773825791 /nfs/dbraw/zinc/82/57/91/773825791.db2.gz LYTPNMSCVNKFIC-DYVFJYSZSA-N 1 2 309.373 1.252 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3nccs3)C[C@H]21 ZINC001074369587 773861426 /nfs/dbraw/zinc/86/14/26/773861426.db2.gz YYRIVQMQPAUMHC-OLZOCXBDSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3nccs3)C[C@H]21 ZINC001074369587 773861434 /nfs/dbraw/zinc/86/14/34/773861434.db2.gz YYRIVQMQPAUMHC-OLZOCXBDSA-N 1 2 307.419 1.635 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3[nH]cnc3C)C[C@@H]21 ZINC001074419649 773911754 /nfs/dbraw/zinc/91/17/54/773911754.db2.gz PAJRQNUBVKAGKS-KBPBESRZSA-N 1 2 304.394 1.210 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3[nH]cnc3C)C[C@@H]21 ZINC001074419649 773911757 /nfs/dbraw/zinc/91/17/57/773911757.db2.gz PAJRQNUBVKAGKS-KBPBESRZSA-N 1 2 304.394 1.210 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001092212876 774008358 /nfs/dbraw/zinc/00/83/58/774008358.db2.gz KATFIYBXSWGPGJ-SEEARECTSA-N 1 2 304.394 1.419 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)nc1)c1nccn12 ZINC001092345144 774065769 /nfs/dbraw/zinc/06/57/69/774065769.db2.gz LNIFHTITBIHJLK-HNNXBMFYSA-N 1 2 323.400 1.658 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H]1C=CCC1)c1nccn12 ZINC001092347713 774066324 /nfs/dbraw/zinc/06/63/24/774066324.db2.gz DLZRYPMLKVJXCU-CVEARBPZSA-N 1 2 324.428 1.835 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc[nH]1)c1nccn12 ZINC001092350643 774069285 /nfs/dbraw/zinc/06/92/85/774069285.db2.gz UTVSZTJWZDCVPN-AWEZNQCLSA-N 1 2 309.373 1.120 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)C1CC1)c1nccn12 ZINC001092358977 774074046 /nfs/dbraw/zinc/07/40/46/774074046.db2.gz KARUVAUTQHNYJD-OCCSQVGLSA-N 1 2 300.406 1.687 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@]1(C)C=CCC1)c1nccn12 ZINC001092386152 774095652 /nfs/dbraw/zinc/09/56/52/774095652.db2.gz DVHFFHNNICTGBQ-CRAIPNDOSA-N 1 2 324.428 1.835 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)[C@H](C)C1 ZINC001074884507 774215445 /nfs/dbraw/zinc/21/54/45/774215445.db2.gz SJGXAARPDPHVAI-TZMCWYRMSA-N 1 2 318.421 1.723 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2[nH]nc3c2CCC3)[C@H](C)C1 ZINC001092766603 774256203 /nfs/dbraw/zinc/25/62/03/774256203.db2.gz INWLUPDIPJZRAM-ZYHUDNBSSA-N 1 2 322.840 1.949 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2[nH]nc3c2CCC3)[C@H](C)C1 ZINC001092766603 774256209 /nfs/dbraw/zinc/25/62/09/774256209.db2.gz INWLUPDIPJZRAM-ZYHUDNBSSA-N 1 2 322.840 1.949 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@@H](C)Nc2nccnc2C#N)c(C)[nH+]1 ZINC001098431478 774578180 /nfs/dbraw/zinc/57/81/80/774578180.db2.gz PFDFDYXNUNDAMT-GFCCVEGCSA-N 1 2 324.388 1.899 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098695076 774645767 /nfs/dbraw/zinc/64/57/67/774645767.db2.gz AXMOSIOXAGKMKY-OAHLLOKOSA-N 1 2 318.421 1.805 20 30 DDEDLO C[C@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1ccncc1C#N ZINC001099032140 774783564 /nfs/dbraw/zinc/78/35/64/774783564.db2.gz PAXWNHGMSBZAOH-GFCCVEGCSA-N 1 2 312.377 1.038 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cc(F)c[nH]3)CC2)C1 ZINC001093552177 774832431 /nfs/dbraw/zinc/83/24/31/774832431.db2.gz GMQBIWYDAXXHHE-UHFFFAOYSA-N 1 2 307.369 1.645 20 30 DDEDLO CC1(C)CC[C@@H](CNC(=O)CCn2cc[nH+]c2)N(CC#N)C1 ZINC001099160156 774871554 /nfs/dbraw/zinc/87/15/54/774871554.db2.gz ZTNJKUDZBPYSPN-AWEZNQCLSA-N 1 2 303.410 1.404 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3[nH]cnc3C)CC2)C1 ZINC001093604323 774908046 /nfs/dbraw/zinc/90/80/46/774908046.db2.gz ROZYFOLVXPVIRC-UHFFFAOYSA-N 1 2 318.421 1.600 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001099549399 775025074 /nfs/dbraw/zinc/02/50/74/775025074.db2.gz CZECQVFPDKIJSF-LBPRGKRZSA-N 1 2 320.441 1.909 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099854977 775356831 /nfs/dbraw/zinc/35/68/31/775356831.db2.gz AKSJIKFFRNFAHR-HOTGVXAUSA-N 1 2 321.396 1.628 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099854977 775356842 /nfs/dbraw/zinc/35/68/42/775356842.db2.gz AKSJIKFFRNFAHR-HOTGVXAUSA-N 1 2 321.396 1.628 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001094047023 775369608 /nfs/dbraw/zinc/36/96/08/775369608.db2.gz HPEMQGFMERGQEI-MNOVXSKESA-N 1 2 302.382 1.350 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CC[N@@H+](CC(=C)Cl)C[C@H]2O)CCC1 ZINC001099874739 775376382 /nfs/dbraw/zinc/37/63/82/775376382.db2.gz PVPNZOHNGRBBGX-ZIAGYGMSSA-N 1 2 310.825 1.484 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CC[N@H+](CC(=C)Cl)C[C@H]2O)CCC1 ZINC001099874739 775376393 /nfs/dbraw/zinc/37/63/93/775376393.db2.gz PVPNZOHNGRBBGX-ZIAGYGMSSA-N 1 2 310.825 1.484 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(CF)CCC2)[C@@H](O)C1 ZINC001100013079 775567649 /nfs/dbraw/zinc/56/76/49/775567649.db2.gz HNHGOZLWBGHVSB-RYUDHWBXSA-N 1 2 304.793 1.430 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(CF)CCC2)[C@@H](O)C1 ZINC001100013079 775567657 /nfs/dbraw/zinc/56/76/57/775567657.db2.gz HNHGOZLWBGHVSB-RYUDHWBXSA-N 1 2 304.793 1.430 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3[nH]c(C)cc3C)nn2)C1 ZINC001094301052 775704602 /nfs/dbraw/zinc/70/46/02/775704602.db2.gz YDIZDRXXQMUTBV-UHFFFAOYSA-N 1 2 314.393 1.196 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1CC([NH2+]Cc2nnsc2Cl)C1 ZINC001100191838 775795055 /nfs/dbraw/zinc/79/50/55/775795055.db2.gz ZNCDELRJVDNVAG-UEJVZZJDSA-N 1 2 313.814 1.336 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@H]3[C@@H]4CCC[C@@H]43)CC2=O)C1 ZINC001094719523 776186991 /nfs/dbraw/zinc/18/69/91/776186991.db2.gz DVMCMDWVKJMQDV-MKVSYHDVSA-N 1 2 317.433 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3C[C@H]3C(C)(C)C)CC2=O)C1 ZINC001094759722 776219079 /nfs/dbraw/zinc/21/90/79/776219079.db2.gz MCFUTBNMGMHDRD-BPLDGKMQSA-N 1 2 319.449 1.256 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3ccsc3C)CC2=O)C1 ZINC001094729706 776232868 /nfs/dbraw/zinc/23/28/68/776232868.db2.gz IRXUMRFQSFUXDR-LBPRGKRZSA-N 1 2 319.430 1.257 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3C[C@H]3C3CC3)CC2=O)C1 ZINC001094797721 776253754 /nfs/dbraw/zinc/25/37/54/776253754.db2.gz OJFCGEYQHWMLRM-CWRNSKLLSA-N 1 2 317.433 1.010 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@@H](C)CCCCNCC#N)C2 ZINC001171604720 776367495 /nfs/dbraw/zinc/36/74/95/776367495.db2.gz JLXNGZBBKBCSAA-GXTWGEPZSA-N 1 2 317.437 1.611 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH2+][C@H]1CCN(C2CC2)C(=O)C1)[C@@H](C)CC ZINC001172005485 776553696 /nfs/dbraw/zinc/55/36/96/776553696.db2.gz OEZDVXFWKGMPMB-XEZPLFJOSA-N 1 2 308.422 1.873 20 30 DDEDLO N#Cc1cnccc1N1CC[C@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001101069750 776876640 /nfs/dbraw/zinc/87/66/40/776876640.db2.gz XVIZGLGHNBSQPK-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO N#Cc1cnccc1N1CC[C@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001101069750 776876648 /nfs/dbraw/zinc/87/66/48/776876648.db2.gz XVIZGLGHNBSQPK-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCCCCCNc1cc[nH+]c(C)n1 ZINC001094971848 776914125 /nfs/dbraw/zinc/91/41/25/776914125.db2.gz XPUMHPHCCHXYKM-AWEZNQCLSA-N 1 2 318.421 1.912 20 30 DDEDLO C[C@@H]1C[N@@H+]([C@@H]2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)CCO1 ZINC001172980170 776950673 /nfs/dbraw/zinc/95/06/73/776950673.db2.gz LDQDTIIFHBNAAR-MCIONIFRSA-N 1 2 309.410 1.999 20 30 DDEDLO C[C@@H]1C[N@H+]([C@@H]2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)CCO1 ZINC001172980170 776950677 /nfs/dbraw/zinc/95/06/77/776950677.db2.gz LDQDTIIFHBNAAR-MCIONIFRSA-N 1 2 309.410 1.999 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+]([C@@H](C)c3nnnn3C)C[C@@]2(C)C1 ZINC001101167789 776961107 /nfs/dbraw/zinc/96/11/07/776961107.db2.gz UKIGVRZJWVEVKB-ZENOOKHLSA-N 1 2 318.425 1.018 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+]([C@@H](C)c3nnnn3C)C[C@@]2(C)C1 ZINC001101167789 776961113 /nfs/dbraw/zinc/96/11/13/776961113.db2.gz UKIGVRZJWVEVKB-ZENOOKHLSA-N 1 2 318.425 1.018 20 30 DDEDLO Cc1ccc(C#N)c(N(CCNC(=O)Cn2cc[nH+]c2)C2CC2)n1 ZINC001101359393 777094599 /nfs/dbraw/zinc/09/45/99/777094599.db2.gz VXDITMGMPIKJNV-UHFFFAOYSA-N 1 2 324.388 1.243 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCN(C(=O)C#CC(C)(C)C)C2)cc[nH+]1 ZINC001095113378 777121442 /nfs/dbraw/zinc/12/14/42/777121442.db2.gz PTZLAYHUWZABHP-AWEZNQCLSA-N 1 2 300.406 1.872 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](N(C)c2[nH+]cnc3c2cnn3C)C1 ZINC001095106882 777130231 /nfs/dbraw/zinc/13/02/31/777130231.db2.gz NXVLSKGWAFRSKF-LBPRGKRZSA-N 1 2 314.393 1.367 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H](C)OC)c1nccn12 ZINC001101616432 777307677 /nfs/dbraw/zinc/30/76/77/777307677.db2.gz AOJIOHSIJZSESY-OLZOCXBDSA-N 1 2 304.394 1.066 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(CC)CC1)c1nccn12 ZINC001101642840 777339738 /nfs/dbraw/zinc/33/97/38/777339738.db2.gz NDQFLSTUFLMYOA-AWEZNQCLSA-N 1 2 312.417 1.669 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](CCNC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001102398241 778116561 /nfs/dbraw/zinc/11/65/61/778116561.db2.gz MSLVINDZHFJLPI-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102443394 778144577 /nfs/dbraw/zinc/14/45/77/778144577.db2.gz IGFZSDOCVCUPQR-OAHLLOKOSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC1(C(=O)NCCC[NH2+]Cc2nnn(C)n2)CCCCC1 ZINC001176786209 778239422 /nfs/dbraw/zinc/23/94/22/778239422.db2.gz UXXIQHNSXXSSNK-UHFFFAOYSA-N 1 2 320.441 1.333 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc[nH]3)C[C@H]21 ZINC001176923206 778310476 /nfs/dbraw/zinc/31/04/76/778310476.db2.gz FVKDUZLFKSRUOO-HZPDHXFCSA-N 1 2 319.405 1.133 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc[nH]3)C[C@H]21 ZINC001176923206 778310480 /nfs/dbraw/zinc/31/04/80/778310480.db2.gz FVKDUZLFKSRUOO-HZPDHXFCSA-N 1 2 319.405 1.133 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/C3CC3)C[C@@H]21 ZINC001176976840 778362926 /nfs/dbraw/zinc/36/29/26/778362926.db2.gz LYFXHFUXVMZAIJ-LZCSOBMZSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/C3CC3)C[C@@H]21 ZINC001176976840 778362929 /nfs/dbraw/zinc/36/29/29/778362929.db2.gz LYFXHFUXVMZAIJ-LZCSOBMZSA-N 1 2 320.433 1.457 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)COCCCC)C[C@H]21 ZINC001176978139 778363470 /nfs/dbraw/zinc/36/34/70/778363470.db2.gz RCQUPVHMSVFPOA-HZPDHXFCSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)COCCCC)C[C@H]21 ZINC001176978139 778363474 /nfs/dbraw/zinc/36/34/74/778363474.db2.gz RCQUPVHMSVFPOA-HZPDHXFCSA-N 1 2 310.438 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC(C)(F)F)C[C@H]21 ZINC001177041448 778404475 /nfs/dbraw/zinc/40/44/75/778404475.db2.gz HUJZHNHOWQDGOP-KGLIPLIRSA-N 1 2 314.376 1.747 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC(C)(F)F)C[C@H]21 ZINC001177041448 778404476 /nfs/dbraw/zinc/40/44/76/778404476.db2.gz HUJZHNHOWQDGOP-KGLIPLIRSA-N 1 2 314.376 1.747 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCF)C[C@H]21 ZINC001177067052 778424651 /nfs/dbraw/zinc/42/46/51/778424651.db2.gz JVCZBGPBWUGPNV-CABCVRRESA-N 1 2 314.401 1.240 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCF)C[C@H]21 ZINC001177067052 778424652 /nfs/dbraw/zinc/42/46/52/778424652.db2.gz JVCZBGPBWUGPNV-CABCVRRESA-N 1 2 314.401 1.240 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C/C=C(/C)C=C)C[C@H]21 ZINC001177067516 778426069 /nfs/dbraw/zinc/42/60/69/778426069.db2.gz QWLKZOSYFTZGPN-CTOSAQQISA-N 1 2 302.418 1.834 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C/C=C(/C)C=C)C[C@H]21 ZINC001177067516 778426073 /nfs/dbraw/zinc/42/60/73/778426073.db2.gz QWLKZOSYFTZGPN-CTOSAQQISA-N 1 2 302.418 1.834 20 30 DDEDLO C[C@@](CNc1ccc(C#N)cn1)(NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001103536614 778931056 /nfs/dbraw/zinc/93/10/56/778931056.db2.gz XFQYGSKRWUZAHM-KRWDZBQOSA-N 1 2 324.388 1.547 20 30 DDEDLO C[C@@](CNc1ccc(C#N)nc1)(NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001103575095 778951523 /nfs/dbraw/zinc/95/15/23/778951523.db2.gz MKGKRMGMWMYJLC-KRWDZBQOSA-N 1 2 324.388 1.616 20 30 DDEDLO N#C[C@H]1CCCCN1C(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001178992747 779253830 /nfs/dbraw/zinc/25/38/30/779253830.db2.gz VDAUKPWLUIUMPW-IAGOWNOFSA-N 1 2 313.401 1.792 20 30 DDEDLO N#C[C@H]1CCCCN1C(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001178992747 779253832 /nfs/dbraw/zinc/25/38/32/779253832.db2.gz VDAUKPWLUIUMPW-IAGOWNOFSA-N 1 2 313.401 1.792 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cscn1)C2 ZINC001111670146 779429135 /nfs/dbraw/zinc/42/91/35/779429135.db2.gz DCOSEYGSQPZCNZ-HUBLWGQQSA-N 1 2 304.419 1.773 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cscn1)C2 ZINC001111670146 779429137 /nfs/dbraw/zinc/42/91/37/779429137.db2.gz DCOSEYGSQPZCNZ-HUBLWGQQSA-N 1 2 304.419 1.773 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCC1(Nc2ccc(C#N)nc2)CCC1 ZINC001111825567 779499802 /nfs/dbraw/zinc/49/98/02/779499802.db2.gz MMZUZRGOKBFPMG-UHFFFAOYSA-N 1 2 324.388 1.380 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001115490472 780183625 /nfs/dbraw/zinc/18/36/25/780183625.db2.gz WCHSXRYIFMVXDC-CQSZACIVSA-N 1 2 304.394 1.637 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001115544244 780226973 /nfs/dbraw/zinc/22/69/73/780226973.db2.gz MTZOGAMIXSRTLF-DOMZBBRYSA-N 1 2 316.405 1.472 20 30 DDEDLO CC1(C)CC(=O)N1C[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC001117719777 780886720 /nfs/dbraw/zinc/88/67/20/780886720.db2.gz VKRNPFBSYZKMNE-CYBMUJFWSA-N 1 2 300.362 1.375 20 30 DDEDLO CC1(C)CC(=O)N1C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC001117719777 780886726 /nfs/dbraw/zinc/88/67/26/780886726.db2.gz VKRNPFBSYZKMNE-CYBMUJFWSA-N 1 2 300.362 1.375 20 30 DDEDLO N#Cc1nccc(N2CC[NH+](Cc3cccc([N+](=O)[O-])c3)CC2)n1 ZINC001118335822 781098109 /nfs/dbraw/zinc/09/81/09/781098109.db2.gz TZKHANUZZVFLPH-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1cn(-c2ccc(F)cc2)nn1)[C@@H]1CCCO1 ZINC001118805243 781243169 /nfs/dbraw/zinc/24/31/69/781243169.db2.gz XMCURJRRLJIKJA-CVEARBPZSA-N 1 2 300.337 1.677 20 30 DDEDLO C=CCCC(=O)NCC[N@@H+](C)CC(=O)N[C@@H]1CCCC[C@@H]1C ZINC001267188174 837499844 /nfs/dbraw/zinc/49/98/44/837499844.db2.gz LQTIVZXVBJSDEL-LSDHHAIUSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCCC(=O)NCC[N@H+](C)CC(=O)N[C@@H]1CCCC[C@@H]1C ZINC001267188174 837499851 /nfs/dbraw/zinc/49/98/51/837499851.db2.gz LQTIVZXVBJSDEL-LSDHHAIUSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@@H+](C)CC(=O)NCCCC)CC1 ZINC001267216469 837549554 /nfs/dbraw/zinc/54/95/54/837549554.db2.gz YGXGZNSICDTMAP-UHFFFAOYSA-N 1 2 309.454 1.697 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@H+](C)CC(=O)NCCCC)CC1 ZINC001267216469 837549556 /nfs/dbraw/zinc/54/95/56/837549556.db2.gz YGXGZNSICDTMAP-UHFFFAOYSA-N 1 2 309.454 1.697 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H]1CNC(=O)c1cccs1 ZINC001267260544 837685971 /nfs/dbraw/zinc/68/59/71/837685971.db2.gz ZLKSVHPWOWGGIJ-OLZOCXBDSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H]1CNC(=O)c1cccs1 ZINC001267260544 837685975 /nfs/dbraw/zinc/68/59/75/837685975.db2.gz ZLKSVHPWOWGGIJ-OLZOCXBDSA-N 1 2 319.430 1.080 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1CCC[N@H+]1Cc1nocc1C ZINC001267283423 837738882 /nfs/dbraw/zinc/73/88/82/837738882.db2.gz MFODBTHVGNGULS-CQSZACIVSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1CCC[N@@H+]1Cc1nocc1C ZINC001267283423 837738891 /nfs/dbraw/zinc/73/88/91/837738891.db2.gz MFODBTHVGNGULS-CQSZACIVSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](CCCS(=O)(=O)CC)C1 ZINC001266335736 836134898 /nfs/dbraw/zinc/13/48/98/836134898.db2.gz DIMUWKKCZQEKDB-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](CCCS(=O)(=O)CC)C1 ZINC001266335736 836134903 /nfs/dbraw/zinc/13/49/03/836134903.db2.gz DIMUWKKCZQEKDB-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C(C)(C)C(=C)C)C1 ZINC001282735091 836218298 /nfs/dbraw/zinc/21/82/98/836218298.db2.gz VAAWETFMULZFJF-ZDUSSCGKSA-N 1 2 307.438 1.327 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)[C@H]4CC45CCC5)C3)C2)cc1C#N ZINC001271893688 844188286 /nfs/dbraw/zinc/18/82/86/844188286.db2.gz QKAHDFJHZICFME-MRXNPFEDSA-N 1 2 324.428 1.731 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)c2ccccc2Cl)CC1 ZINC001267619007 838504590 /nfs/dbraw/zinc/50/45/90/838504590.db2.gz WHWWVKSFYDOYAR-UHFFFAOYSA-N 1 2 319.836 1.663 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC2CC2)C1 ZINC001267629996 838531648 /nfs/dbraw/zinc/53/16/48/838531648.db2.gz UJGRLHOBRGWNOC-YJBOKZPZSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001267629996 838531655 /nfs/dbraw/zinc/53/16/55/838531655.db2.gz UJGRLHOBRGWNOC-YJBOKZPZSA-N 1 2 321.465 1.696 20 30 DDEDLO CCc1cccc(C[NH+]2CC(NC(=O)CSCC#N)C2)c1 ZINC001267636943 838548952 /nfs/dbraw/zinc/54/89/52/838548952.db2.gz OOELGSZCLXPWHX-UHFFFAOYSA-N 1 2 303.431 1.806 20 30 DDEDLO C=CCCC(=O)NC1C[NH+](C[C@@H](O)Cc2ccc(F)cc2)C1 ZINC001267642619 838560292 /nfs/dbraw/zinc/56/02/92/838560292.db2.gz ISTBBKIIDYTGMS-INIZCTEOSA-N 1 2 306.381 1.496 20 30 DDEDLO C=CCC1(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3[C@H](C)C(=O)N(C)C)CCC1 ZINC001267712239 838695819 /nfs/dbraw/zinc/69/58/19/838695819.db2.gz MRLSEEJMICZWST-ILXRZTDVSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCC1(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3[C@H](C)C(=O)N(C)C)CCC1 ZINC001267712239 838695826 /nfs/dbraw/zinc/69/58/26/838695826.db2.gz MRLSEEJMICZWST-ILXRZTDVSA-N 1 2 319.449 1.495 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)CCC3CCC3)C2)nn1 ZINC001105204718 839176379 /nfs/dbraw/zinc/17/63/79/839176379.db2.gz GBEKOIQJOXECOA-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001267968832 839290432 /nfs/dbraw/zinc/29/04/32/839290432.db2.gz CIRAQVZYHYZFRO-OAHLLOKOSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001267968832 839290446 /nfs/dbraw/zinc/29/04/46/839290446.db2.gz CIRAQVZYHYZFRO-OAHLLOKOSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H](C)Oc2cccnc2)C1 ZINC001268024603 839413350 /nfs/dbraw/zinc/41/33/50/839413350.db2.gz ADXDEEIJLYBWRG-GOEBONIOSA-N 1 2 319.405 1.242 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H](C)Oc2cccnc2)C1 ZINC001268024603 839413357 /nfs/dbraw/zinc/41/33/57/839413357.db2.gz ADXDEEIJLYBWRG-GOEBONIOSA-N 1 2 319.405 1.242 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]([NH2+][C@@H](C)c1noc(C)n1)C(C)C ZINC001268210451 839842041 /nfs/dbraw/zinc/84/20/41/839842041.db2.gz VUKYFUOIHJMUOF-GXTWGEPZSA-N 1 2 306.410 1.973 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC)CC[NH2+][C@@H](C)c1csnn1 ZINC001268477642 840272388 /nfs/dbraw/zinc/27/23/88/840272388.db2.gz QOTBYABBBAJRRS-SCRDCRAPSA-N 1 2 324.450 1.628 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]CCCN(C)C(=O)CSCC#N)no1 ZINC001268781356 840788303 /nfs/dbraw/zinc/78/83/03/840788303.db2.gz CNCCMLOXIIIOLK-SNVBAGLBSA-N 1 2 311.411 1.134 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCNC(=O)NC(C)(C)C ZINC001268929874 841010603 /nfs/dbraw/zinc/01/06/03/841010603.db2.gz OMJTVWBODOHBCK-KBPBESRZSA-N 1 2 322.453 1.335 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCNC(=O)NC(C)(C)C ZINC001268929874 841010614 /nfs/dbraw/zinc/01/06/14/841010614.db2.gz OMJTVWBODOHBCK-KBPBESRZSA-N 1 2 322.453 1.335 20 30 DDEDLO N#CCCC(=O)N1CC[C@@H]2C[C@@]21C(=O)Nc1cccc2[nH+]ccn21 ZINC001269024038 841120398 /nfs/dbraw/zinc/12/03/98/841120398.db2.gz PKZUISOAPMZACB-PXAZEXFGSA-N 1 2 323.356 1.568 20 30 DDEDLO CCCC#CC(=O)N1CC[C@H]2[C@@H]1CC[N@@H+]2Cc1nccn1CC ZINC001272111900 844622913 /nfs/dbraw/zinc/62/29/13/844622913.db2.gz KTMFOHHTTOMITF-HOTGVXAUSA-N 1 2 314.433 1.882 20 30 DDEDLO CCCC#CC(=O)N1CC[C@H]2[C@@H]1CC[N@H+]2Cc1nccn1CC ZINC001272111900 844622920 /nfs/dbraw/zinc/62/29/20/844622920.db2.gz KTMFOHHTTOMITF-HOTGVXAUSA-N 1 2 314.433 1.882 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)CCC2CC2)C1=O ZINC001269209591 841349724 /nfs/dbraw/zinc/34/97/24/841349724.db2.gz BZJWXXNUISIRTE-GJZGRUSLSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)CCC2CC2)C1=O ZINC001269209591 841349735 /nfs/dbraw/zinc/34/97/35/841349735.db2.gz BZJWXXNUISIRTE-GJZGRUSLSA-N 1 2 305.422 1.154 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](N(C)C(=O)C#CC3CC3)C2)s1 ZINC001269240571 841405974 /nfs/dbraw/zinc/40/59/74/841405974.db2.gz MDXGVCYFQYBDOU-CQSZACIVSA-N 1 2 303.431 1.898 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](N(C)C(=O)C#CC3CC3)C2)s1 ZINC001269240571 841405984 /nfs/dbraw/zinc/40/59/84/841405984.db2.gz MDXGVCYFQYBDOU-CQSZACIVSA-N 1 2 303.431 1.898 20 30 DDEDLO CCCC(CCC)C(=O)N(C)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269268909 841447470 /nfs/dbraw/zinc/44/74/70/841447470.db2.gz RANXWFAWVXSWHR-HNNXBMFYSA-N 1 2 322.453 1.375 20 30 DDEDLO CCCC(CCC)C(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269268909 841447474 /nfs/dbraw/zinc/44/74/74/841447474.db2.gz RANXWFAWVXSWHR-HNNXBMFYSA-N 1 2 322.453 1.375 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001269288108 841468332 /nfs/dbraw/zinc/46/83/32/841468332.db2.gz ZUTLYXZQIWSUGZ-RHSMWYFYSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001269288108 841468336 /nfs/dbraw/zinc/46/83/36/841468336.db2.gz ZUTLYXZQIWSUGZ-RHSMWYFYSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC)[C@H]1CC[N@H+](Cc2nccs2)C1 ZINC001269325315 841524694 /nfs/dbraw/zinc/52/46/94/841524694.db2.gz YYRDFNLMNOWDHK-KGLIPLIRSA-N 1 2 321.446 1.604 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC)[C@H]1CC[N@@H+](Cc2nccs2)C1 ZINC001269325315 841524702 /nfs/dbraw/zinc/52/47/02/841524702.db2.gz YYRDFNLMNOWDHK-KGLIPLIRSA-N 1 2 321.446 1.604 20 30 DDEDLO C#CC[NH2+]C[C@H]1CCCN1C(=O)c1c(Cl)[nH]nc1C1CC1 ZINC001270215471 842394508 /nfs/dbraw/zinc/39/45/08/842394508.db2.gz IZNRJKVKEQRUAG-LLVKDONJSA-N 1 2 306.797 1.768 20 30 DDEDLO CCC(CC)CC(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001270529941 842645608 /nfs/dbraw/zinc/64/56/08/842645608.db2.gz OTILHMGUXFAPAR-INIZCTEOSA-N 1 2 306.454 1.555 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)oc2C)C1 ZINC001270621171 842728962 /nfs/dbraw/zinc/72/89/62/842728962.db2.gz WZTHPBXXNZRNNK-HNNXBMFYSA-N 1 2 322.405 1.530 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)oc2C)C1 ZINC001270621171 842728968 /nfs/dbraw/zinc/72/89/68/842728968.db2.gz WZTHPBXXNZRNNK-HNNXBMFYSA-N 1 2 322.405 1.530 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CCC3(CNC(=O)O3)CC2)c1F ZINC001143173881 861396361 /nfs/dbraw/zinc/39/63/61/861396361.db2.gz IGFVJHUTZBMZNC-UHFFFAOYSA-N 1 2 307.300 1.911 20 30 DDEDLO C[C@@H]1CCCC[C@H]1CC(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001272185259 844683361 /nfs/dbraw/zinc/68/33/61/844683361.db2.gz JQFDCWQEGCHVAA-CABCVRRESA-N 1 2 322.453 1.233 20 30 DDEDLO C[C@@H]1CCCC[C@H]1CC(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001272185259 844683365 /nfs/dbraw/zinc/68/33/65/844683365.db2.gz JQFDCWQEGCHVAA-CABCVRRESA-N 1 2 322.453 1.233 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1COCC[N@@H+]1CCCC1CCC1 ZINC001326631156 861493369 /nfs/dbraw/zinc/49/33/69/861493369.db2.gz AKMYERRBVNXKOE-NVXWUHKLSA-N 1 2 322.449 1.422 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1COCC[N@H+]1CCCC1CCC1 ZINC001326631156 861493373 /nfs/dbraw/zinc/49/33/73/861493373.db2.gz AKMYERRBVNXKOE-NVXWUHKLSA-N 1 2 322.449 1.422 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CCCOCC)C1 ZINC001149247064 861498961 /nfs/dbraw/zinc/49/89/61/861498961.db2.gz HBMRGKGFWYFGMI-AWEZNQCLSA-N 1 2 318.845 1.763 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CCCOCC)C1 ZINC001149247064 861498970 /nfs/dbraw/zinc/49/89/70/861498970.db2.gz HBMRGKGFWYFGMI-AWEZNQCLSA-N 1 2 318.845 1.763 20 30 DDEDLO CCc1noc(C[NH2+][C@@H](C)[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001409330076 844909706 /nfs/dbraw/zinc/90/97/06/844909706.db2.gz FUKINDSEJNCUDX-VHSXEESVSA-N 1 2 316.365 1.128 20 30 DDEDLO CCCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C)n1 ZINC001326658515 861520298 /nfs/dbraw/zinc/52/02/98/861520298.db2.gz LIBJNJIOVIFJHT-AWEZNQCLSA-N 1 2 323.441 1.216 20 30 DDEDLO CCCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C)n1 ZINC001326658515 861520311 /nfs/dbraw/zinc/52/03/11/861520311.db2.gz LIBJNJIOVIFJHT-AWEZNQCLSA-N 1 2 323.441 1.216 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCCC[C@@H]1C[NH2+]Cc1cnsn1 ZINC001326700286 861554868 /nfs/dbraw/zinc/55/48/68/861554868.db2.gz QNOKTPNZBGZXLP-UKRRQHHQSA-N 1 2 324.450 1.336 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)COC(C)C)C1 ZINC001149349603 861560272 /nfs/dbraw/zinc/56/02/72/861560272.db2.gz RRZPTYFAMCANQB-ZDUSSCGKSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)COC(C)C)C1 ZINC001149349603 861560278 /nfs/dbraw/zinc/56/02/78/861560278.db2.gz RRZPTYFAMCANQB-ZDUSSCGKSA-N 1 2 304.818 1.371 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)c(C)o1 ZINC001149409062 861598888 /nfs/dbraw/zinc/59/88/88/861598888.db2.gz STGLPKOTURBKBB-FZMZJTMJSA-N 1 2 320.393 1.158 20 30 DDEDLO Cc1nc(C[N@H+]2CCCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)c(C)o1 ZINC001149409062 861598901 /nfs/dbraw/zinc/59/89/01/861598901.db2.gz STGLPKOTURBKBB-FZMZJTMJSA-N 1 2 320.393 1.158 20 30 DDEDLO N#CCCCNC(=O)[C@H]1CC12CC[NH+](Cc1cocn1)CC2 ZINC001272377862 846084725 /nfs/dbraw/zinc/08/47/25/846084725.db2.gz GYZBBUQIFALZBO-CQSZACIVSA-N 1 2 302.378 1.697 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)CN(Cc2c[nH+]cn2C)CCO1 ZINC001107802694 847155973 /nfs/dbraw/zinc/15/59/73/847155973.db2.gz WYCJBCYEBLJEJQ-INIZCTEOSA-N 1 2 306.410 1.093 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2C(C)(C)C2(C)C)C1 ZINC001107843276 847295802 /nfs/dbraw/zinc/29/58/02/847295802.db2.gz HBSJNUUKCCZXFO-SFHVURJKSA-N 1 2 306.450 1.899 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2C(C)(C)C2(C)C)C1 ZINC001107843276 847295810 /nfs/dbraw/zinc/29/58/10/847295810.db2.gz HBSJNUUKCCZXFO-SFHVURJKSA-N 1 2 306.450 1.899 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+](Cc3csnn3)CC2)C1=O ZINC001272780402 847419678 /nfs/dbraw/zinc/41/96/78/847419678.db2.gz HTIRYXMIRALPDF-HNNXBMFYSA-N 1 2 304.419 1.376 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+](Cc3csnn3)CC2)C1=O ZINC001272780402 847419685 /nfs/dbraw/zinc/41/96/85/847419685.db2.gz HTIRYXMIRALPDF-HNNXBMFYSA-N 1 2 304.419 1.376 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H](C[NH2+][C@H](C)c2csnn2)C1 ZINC001273237595 848970912 /nfs/dbraw/zinc/97/09/12/848970912.db2.gz RXBFMLRERDQIJG-NEPJUHHUSA-N 1 2 310.423 1.382 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)CCCN(C)C(=O)C#CC2CC2)n1 ZINC001327213452 861968900 /nfs/dbraw/zinc/96/89/00/861968900.db2.gz SXCRHJHOZQMSBQ-GFCCVEGCSA-N 1 2 304.394 1.633 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)CCCN(C)C(=O)C#CC2CC2)n1 ZINC001327213452 861968912 /nfs/dbraw/zinc/96/89/12/861968912.db2.gz SXCRHJHOZQMSBQ-GFCCVEGCSA-N 1 2 304.394 1.633 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1cccc(F)n1)C2 ZINC001273299410 849365321 /nfs/dbraw/zinc/36/53/21/849365321.db2.gz HASKWVBGTCZJNN-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1cccc(F)n1)C2 ZINC001273299410 849365325 /nfs/dbraw/zinc/36/53/25/849365325.db2.gz HASKWVBGTCZJNN-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](N2CC[NH+](CC#CC)CC2)C1 ZINC001273374015 849731942 /nfs/dbraw/zinc/73/19/42/849731942.db2.gz GTFADKNYWJYWKV-QGZVFWFLSA-N 1 2 303.450 1.585 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](O)CN(C)C(=O)c1ccccc1O ZINC001411159816 850306158 /nfs/dbraw/zinc/30/61/58/850306158.db2.gz VXIBWAQUWRDZMS-LBPRGKRZSA-N 1 2 312.797 1.509 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](O)CN(C)C(=O)c1ccccc1O ZINC001411159816 850306164 /nfs/dbraw/zinc/30/61/64/850306164.db2.gz VXIBWAQUWRDZMS-LBPRGKRZSA-N 1 2 312.797 1.509 20 30 DDEDLO C=CCCC[NH+]1CC2(C1)C[C@H](NC(=O)C(=O)C(C)(C)C)CO2 ZINC001327378401 862118180 /nfs/dbraw/zinc/11/81/80/862118180.db2.gz GNUZVDPUGRTUKV-ZDUSSCGKSA-N 1 2 308.422 1.527 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](CCC)OCC)CO2 ZINC001327407496 862148183 /nfs/dbraw/zinc/14/81/83/862148183.db2.gz PHHRNHBIGVLDBO-HUUCEWRRSA-N 1 2 310.438 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](CCC)OCC)CO2 ZINC001327407494 862148362 /nfs/dbraw/zinc/14/83/62/862148362.db2.gz PHHRNHBIGVLDBO-GJZGRUSLSA-N 1 2 310.438 1.727 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@H+](Cc3cc(C)sn3)C2)OCC1=O ZINC001273632792 851169970 /nfs/dbraw/zinc/16/99/70/851169970.db2.gz TVMATZNCVLJBNT-INIZCTEOSA-N 1 2 321.446 1.831 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@@H+](Cc3cc(C)sn3)C2)OCC1=O ZINC001273632792 851169977 /nfs/dbraw/zinc/16/99/77/851169977.db2.gz TVMATZNCVLJBNT-INIZCTEOSA-N 1 2 321.446 1.831 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@H+]2CCS(=O)(=O)CC)C1=O ZINC001273838047 851407437 /nfs/dbraw/zinc/40/74/37/851407437.db2.gz JMZSXRQCPPACHZ-OAHLLOKOSA-N 1 2 314.451 1.064 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@@H+]2CCS(=O)(=O)CC)C1=O ZINC001273838047 851407444 /nfs/dbraw/zinc/40/74/44/851407444.db2.gz JMZSXRQCPPACHZ-OAHLLOKOSA-N 1 2 314.451 1.064 20 30 DDEDLO CCOC(=O)[C@@H](C)[N@@H+]1CCC[C@@]12CCN(CCCC#N)C2=O ZINC001273913366 851496059 /nfs/dbraw/zinc/49/60/59/851496059.db2.gz NMUALVWEUYVGOV-CJNGLKHVSA-N 1 2 307.394 1.309 20 30 DDEDLO CCOC(=O)[C@@H](C)[N@H+]1CCC[C@@]12CCN(CCCC#N)C2=O ZINC001273913366 851496069 /nfs/dbraw/zinc/49/60/69/851496069.db2.gz NMUALVWEUYVGOV-CJNGLKHVSA-N 1 2 307.394 1.309 20 30 DDEDLO CCCCCCCC[C@H](O)C[N@@H+]1CCO[C@@H](C(=O)OC)C1 ZINC001252124531 851546575 /nfs/dbraw/zinc/54/65/75/851546575.db2.gz RSIDDNZJDHPKQI-LSDHHAIUSA-N 1 2 301.427 1.972 20 30 DDEDLO CCCCCCCC[C@H](O)C[N@H+]1CCO[C@@H](C(=O)OC)C1 ZINC001252124531 851546580 /nfs/dbraw/zinc/54/65/80/851546580.db2.gz RSIDDNZJDHPKQI-LSDHHAIUSA-N 1 2 301.427 1.972 20 30 DDEDLO Cc1cnc(C[NH2+]C/C=C\CNC(=O)c2c[nH]c(C#N)c2)nc1 ZINC001273967163 851625382 /nfs/dbraw/zinc/62/53/82/851625382.db2.gz WKLFHXFJJOXMDN-IHWYPQMZSA-N 1 2 310.361 1.061 20 30 DDEDLO C=CCOC(=O)N1CC[C@@H]2[C@H]1CCC(=O)N2CCn1cc[nH+]c1 ZINC001274345378 852183626 /nfs/dbraw/zinc/18/36/26/852183626.db2.gz BZYQLOOKPZUROD-ZIAGYGMSSA-N 1 2 318.377 1.271 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nncn2C)[C@H](C)C1 ZINC001274623273 852454632 /nfs/dbraw/zinc/45/46/32/852454632.db2.gz KRWZKRNTDGLUQG-KGLIPLIRSA-N 1 2 303.410 1.088 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nncn2C)[C@H](C)C1 ZINC001274623273 852454636 /nfs/dbraw/zinc/45/46/36/852454636.db2.gz KRWZKRNTDGLUQG-KGLIPLIRSA-N 1 2 303.410 1.088 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001274700850 852534988 /nfs/dbraw/zinc/53/49/88/852534988.db2.gz GFGMPMRYDUKVEJ-LLVKDONJSA-N 1 2 304.350 1.890 20 30 DDEDLO CN1C[C@]2(CC[N@H+](Cc3cc(F)ccc3C#N)C2)OCC1=O ZINC001274778023 852594687 /nfs/dbraw/zinc/59/46/87/852594687.db2.gz POAQFDCYQVJILJ-INIZCTEOSA-N 1 2 303.337 1.130 20 30 DDEDLO CN1C[C@]2(CC[N@@H+](Cc3cc(F)ccc3C#N)C2)OCC1=O ZINC001274778023 852594693 /nfs/dbraw/zinc/59/46/93/852594693.db2.gz POAQFDCYQVJILJ-INIZCTEOSA-N 1 2 303.337 1.130 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)COCCCC)CC1 ZINC001274895966 852670626 /nfs/dbraw/zinc/67/06/26/852670626.db2.gz CJMVHMQYTXTERQ-UHFFFAOYSA-N 1 2 318.845 1.499 20 30 DDEDLO N#Cc1ccc(CN2C[C@@H]3C[N@@H+](Cc4ccc[nH]4)C[C@H](C2)O3)nc1 ZINC001275032200 852760173 /nfs/dbraw/zinc/76/01/73/852760173.db2.gz KBJJPBKNOFYLDH-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccc(CN2C[C@@H]3C[N@H+](Cc4ccc[nH]4)C[C@H](C2)O3)nc1 ZINC001275032200 852760178 /nfs/dbraw/zinc/76/01/78/852760178.db2.gz KBJJPBKNOFYLDH-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1ccn(C)n1 ZINC001275093000 852798888 /nfs/dbraw/zinc/79/88/88/852798888.db2.gz AEDXDZNKOOSWPW-OAHLLOKOSA-N 1 2 306.410 1.046 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1ccn(C)n1 ZINC001275093000 852798894 /nfs/dbraw/zinc/79/88/94/852798894.db2.gz AEDXDZNKOOSWPW-OAHLLOKOSA-N 1 2 306.410 1.046 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](C)CCOC)C1 ZINC001150738353 862444791 /nfs/dbraw/zinc/44/47/91/862444791.db2.gz PIGGMBVLWDWPFR-JSGCOSHPSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](C)CCOC)C1 ZINC001150738353 862444796 /nfs/dbraw/zinc/44/47/96/862444796.db2.gz PIGGMBVLWDWPFR-JSGCOSHPSA-N 1 2 318.845 1.619 20 30 DDEDLO Cn1cc(CN2CCC23C[NH+](Cc2cccnc2)C3)cc1C#N ZINC001275885019 853907320 /nfs/dbraw/zinc/90/73/20/853907320.db2.gz SVDPXTOFYKDEGW-UHFFFAOYSA-N 1 2 307.401 1.752 20 30 DDEDLO C=C(C)CN1CC(CCO)(NC(=O)C(C)(C)n2c[nH+]c(C)c2)C1 ZINC001276063060 854693066 /nfs/dbraw/zinc/69/30/66/854693066.db2.gz YKQMGCDDEJDLPR-UHFFFAOYSA-N 1 2 320.437 1.056 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@@H]2CCCCC2(F)F)C1 ZINC001276069908 854702928 /nfs/dbraw/zinc/70/29/28/854702928.db2.gz UEZQNYQOTVHIOS-ZDUSSCGKSA-N 1 2 314.376 1.388 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cnn(CC)n2)CC1(C)C ZINC001328067198 862669643 /nfs/dbraw/zinc/66/96/43/862669643.db2.gz KBIZVDUPWMZFMS-HNNXBMFYSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cnn(CC)n2)CC1(C)C ZINC001328067198 862669651 /nfs/dbraw/zinc/66/96/51/862669651.db2.gz KBIZVDUPWMZFMS-HNNXBMFYSA-N 1 2 319.453 1.981 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C1C[NH+](Cc2ccnc(OC)n2)C1 ZINC001276375401 856301162 /nfs/dbraw/zinc/30/11/62/856301162.db2.gz DVXNYMLVPAFCEH-CYBMUJFWSA-N 1 2 316.405 1.225 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072607600 857506600 /nfs/dbraw/zinc/50/66/00/857506600.db2.gz ZUPLGQSCKUIELF-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001072631483 857534383 /nfs/dbraw/zinc/53/43/83/857534383.db2.gz AFFSWTNHFQJVRV-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001072631483 857534389 /nfs/dbraw/zinc/53/43/89/857534389.db2.gz AFFSWTNHFQJVRV-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072801160 857719373 /nfs/dbraw/zinc/71/93/73/857719373.db2.gz MUOZKLLSCKOPRW-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)CC ZINC001328464121 862990568 /nfs/dbraw/zinc/99/05/68/862990568.db2.gz LTIYZYTZAPKMDA-MRXNPFEDSA-N 1 2 315.417 1.414 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCc2ccccc2[C@H]1CNC(=O)CC ZINC001328464121 862990576 /nfs/dbraw/zinc/99/05/76/862990576.db2.gz LTIYZYTZAPKMDA-MRXNPFEDSA-N 1 2 315.417 1.414 20 30 DDEDLO CC#CC[N@@H+]1CCC2(CN(C(=O)c3cc(-c4ccoc4)[nH]n3)C2)C1 ZINC001073432056 858330389 /nfs/dbraw/zinc/33/03/89/858330389.db2.gz TZJXGZBZZWYJDR-UHFFFAOYSA-N 1 2 324.384 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccccn2)C1 ZINC001073501636 858387480 /nfs/dbraw/zinc/38/74/80/858387480.db2.gz OUGNKNZOINWTMK-CYBMUJFWSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccccn2)C1 ZINC001073501636 858387485 /nfs/dbraw/zinc/38/74/85/858387485.db2.gz OUGNKNZOINWTMK-CYBMUJFWSA-N 1 2 309.797 1.655 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)CSCC#N)CC2(C)C)cc[nH+]1 ZINC001123901053 859461865 /nfs/dbraw/zinc/46/18/65/859461865.db2.gz IEILLLFUFHIJEF-LBPRGKRZSA-N 1 2 319.434 1.691 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(C(C)C)no1 ZINC001124496227 859721710 /nfs/dbraw/zinc/72/17/10/859721710.db2.gz YMNHSWSOLXWZNM-STQMWFEESA-N 1 2 324.425 1.941 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001125248493 859933811 /nfs/dbraw/zinc/93/38/11/859933811.db2.gz YPNDNLJBIQZNQE-UHFFFAOYSA-N 1 2 318.324 1.196 20 30 DDEDLO C=CC[NH+]1CCN(Cc2cnc(N3CCOCC3)s2)CC1 ZINC001139044982 860266690 /nfs/dbraw/zinc/26/66/90/860266690.db2.gz BLOYWZONUPVQOA-UHFFFAOYSA-N 1 2 308.451 1.283 20 30 DDEDLO C=CCN1CC[NH+](Cc2cnc(N3CCOCC3)s2)CC1 ZINC001139044982 860266700 /nfs/dbraw/zinc/26/67/00/860266700.db2.gz BLOYWZONUPVQOA-UHFFFAOYSA-N 1 2 308.451 1.283 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1CCOC[C@@H]1C)c1ccccc1 ZINC001325964288 860994826 /nfs/dbraw/zinc/99/48/26/860994826.db2.gz KBSIJDARQYILTO-HOCLYGCPSA-N 1 2 301.390 1.381 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1ccccc1 ZINC001325964288 860994832 /nfs/dbraw/zinc/99/48/32/860994832.db2.gz KBSIJDARQYILTO-HOCLYGCPSA-N 1 2 301.390 1.381 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001328578015 863080365 /nfs/dbraw/zinc/08/03/65/863080365.db2.gz PRFUKICLIFGRIG-IAGOWNOFSA-N 1 2 315.417 1.327 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001328578015 863080368 /nfs/dbraw/zinc/08/03/68/863080368.db2.gz PRFUKICLIFGRIG-IAGOWNOFSA-N 1 2 315.417 1.327 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001157189144 863641888 /nfs/dbraw/zinc/64/18/88/863641888.db2.gz GGTDDJOJCDBCHY-INIZCTEOSA-N 1 2 324.425 1.290 20 30 DDEDLO CC[N@H+](Cc1nccn1C)[C@H](C)CNC(=O)C#CC(C)(C)C ZINC001153281470 863803215 /nfs/dbraw/zinc/80/32/15/863803215.db2.gz OPTNKNYJKCMJRI-CQSZACIVSA-N 1 2 304.438 1.796 20 30 DDEDLO CC[N@@H+](Cc1nccn1C)[C@H](C)CNC(=O)C#CC(C)(C)C ZINC001153281470 863803218 /nfs/dbraw/zinc/80/32/18/863803218.db2.gz OPTNKNYJKCMJRI-CQSZACIVSA-N 1 2 304.438 1.796 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(CC)o1 ZINC001153302941 863812434 /nfs/dbraw/zinc/81/24/34/863812434.db2.gz YIMNLWGXILSWIV-CQSZACIVSA-N 1 2 321.421 1.604 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(CC)o1 ZINC001153302941 863812439 /nfs/dbraw/zinc/81/24/39/863812439.db2.gz YIMNLWGXILSWIV-CQSZACIVSA-N 1 2 321.421 1.604 20 30 DDEDLO C=CCOCC[N@@H+](CC)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001153472017 863902839 /nfs/dbraw/zinc/90/28/39/863902839.db2.gz UWNJXFIBJOZOPS-GHMZBOCLSA-N 1 2 314.323 1.916 20 30 DDEDLO C=CCOCC[N@H+](CC)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001153472017 863902851 /nfs/dbraw/zinc/90/28/51/863902851.db2.gz UWNJXFIBJOZOPS-GHMZBOCLSA-N 1 2 314.323 1.916 20 30 DDEDLO C=CCOCC[N@@H+](CC)[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001153472017 863902865 /nfs/dbraw/zinc/90/28/65/863902865.db2.gz UWNJXFIBJOZOPS-GHMZBOCLSA-N 1 2 314.323 1.916 20 30 DDEDLO C=CCOCC[N@H+](CC)[C@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001153472017 863902881 /nfs/dbraw/zinc/90/28/81/863902881.db2.gz UWNJXFIBJOZOPS-GHMZBOCLSA-N 1 2 314.323 1.916 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)N2CC[C@H](c3[nH+]ccn3C)C2)C1 ZINC001329874875 863912384 /nfs/dbraw/zinc/91/23/84/863912384.db2.gz ZTDYKMROEGGMJZ-KGLIPLIRSA-N 1 2 316.405 1.161 20 30 DDEDLO CC(C)c1nc(C[NH2+][C@@H]2CCCC[C@H]2NC(=O)[C@@H](C)C#N)no1 ZINC001225395048 881810439 /nfs/dbraw/zinc/81/04/39/881810439.db2.gz ILRLLBPOHDZGOO-YNEHKIRRSA-N 1 2 319.409 1.870 20 30 DDEDLO COC(=O)c1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)ccc1C ZINC001225440347 881835852 /nfs/dbraw/zinc/83/58/52/881835852.db2.gz WTJLQWNGNVYZNL-KNMAVKMESA-N 1 2 303.358 1.773 20 30 DDEDLO COC(=O)c1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)ccc1C ZINC001225440347 881835864 /nfs/dbraw/zinc/83/58/64/881835864.db2.gz WTJLQWNGNVYZNL-KNMAVKMESA-N 1 2 303.358 1.773 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccc(C(=O)N(C)C)[nH]2)C[C@H](C)N1CC#N ZINC001332021146 865509694 /nfs/dbraw/zinc/50/96/94/865509694.db2.gz HKBYLYTTYCNFCO-BETUJISGSA-N 1 2 303.410 1.135 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccc(C(=O)N(C)C)[nH]2)C[C@H](C)N1CC#N ZINC001332021146 865509701 /nfs/dbraw/zinc/50/97/01/865509701.db2.gz HKBYLYTTYCNFCO-BETUJISGSA-N 1 2 303.410 1.135 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)/C=C/c1ccco1 ZINC001323179691 866406563 /nfs/dbraw/zinc/40/65/63/866406563.db2.gz VEZOGFCEAFBYNH-AGKLADILSA-N 1 2 317.389 1.174 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)/C=C/c1ccco1 ZINC001323179691 866406567 /nfs/dbraw/zinc/40/65/67/866406567.db2.gz VEZOGFCEAFBYNH-AGKLADILSA-N 1 2 317.389 1.174 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCC[C@H](C)C1 ZINC001323199785 866424209 /nfs/dbraw/zinc/42/42/09/866424209.db2.gz RRUXFCBTNCIJJX-LSDHHAIUSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCC[C@H](C)C1 ZINC001323199785 866424221 /nfs/dbraw/zinc/42/42/21/866424221.db2.gz RRUXFCBTNCIJJX-LSDHHAIUSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@H]1C[C@H]1C1CCCC1 ZINC001320066292 866452419 /nfs/dbraw/zinc/45/24/19/866452419.db2.gz FRGAVZVFFCZCOU-HOTGVXAUSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@H]1C[C@H]1C1CCCC1 ZINC001320066292 866452436 /nfs/dbraw/zinc/45/24/36/866452436.db2.gz FRGAVZVFFCZCOU-HOTGVXAUSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@H+]1CC(=O)N(CC)C1CC1 ZINC001323243752 866465676 /nfs/dbraw/zinc/46/56/76/866465676.db2.gz SMSASIZTPREKLP-HNNXBMFYSA-N 1 2 307.438 1.544 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N(CC)C1CC1 ZINC001323243752 866465683 /nfs/dbraw/zinc/46/56/83/866465683.db2.gz SMSASIZTPREKLP-HNNXBMFYSA-N 1 2 307.438 1.544 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@H]1CNC(=O)c1snnc1C1CC1 ZINC001323245505 866469115 /nfs/dbraw/zinc/46/91/15/866469115.db2.gz GEJXLXQOKAUYEK-LBPRGKRZSA-N 1 2 322.434 1.422 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@H]1CNC(=O)c1snnc1C1CC1 ZINC001323245505 866469123 /nfs/dbraw/zinc/46/91/23/866469123.db2.gz GEJXLXQOKAUYEK-LBPRGKRZSA-N 1 2 322.434 1.422 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(Cl)[nH]1 ZINC001323303685 866513368 /nfs/dbraw/zinc/51/33/68/866513368.db2.gz UHCJJDXHACSIOZ-GHMZBOCLSA-N 1 2 324.812 1.163 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1ccc(Cl)[nH]1 ZINC001323303685 866513375 /nfs/dbraw/zinc/51/33/75/866513375.db2.gz UHCJJDXHACSIOZ-GHMZBOCLSA-N 1 2 324.812 1.163 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(F)c(OC)c1 ZINC001323309234 866518914 /nfs/dbraw/zinc/51/89/14/866518914.db2.gz MWPHICLAGKXKLH-AWEZNQCLSA-N 1 2 320.364 1.288 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(F)c(OC)c1 ZINC001323309234 866518922 /nfs/dbraw/zinc/51/89/22/866518922.db2.gz MWPHICLAGKXKLH-AWEZNQCLSA-N 1 2 320.364 1.288 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CC[C@H]2CC[C@@H](C)O2)C1 ZINC001324031321 867021595 /nfs/dbraw/zinc/02/15/95/867021595.db2.gz ZTGNVVDXEJJGHF-GDBMZVCRSA-N 1 2 310.438 1.679 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1cc(C(=O)OC)c(C)o1 ZINC001333984864 867211360 /nfs/dbraw/zinc/21/13/60/867211360.db2.gz JKMOCQWKXAKVBP-AWEZNQCLSA-N 1 2 304.346 1.088 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1cc(C(=O)OC)c(C)o1 ZINC001333984864 867211378 /nfs/dbraw/zinc/21/13/78/867211378.db2.gz JKMOCQWKXAKVBP-AWEZNQCLSA-N 1 2 304.346 1.088 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+]([C@H](C)c2nc(C)no2)C1 ZINC001324586749 867375245 /nfs/dbraw/zinc/37/52/45/867375245.db2.gz ALFZQXNORCSSGR-ABAIWWIYSA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+]([C@H](C)c2nc(C)no2)C1 ZINC001324586749 867375262 /nfs/dbraw/zinc/37/52/62/867375262.db2.gz ALFZQXNORCSSGR-ABAIWWIYSA-N 1 2 308.382 1.222 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](O)(CNC(=O)/C(C)=C/C)C1 ZINC001325087420 867760538 /nfs/dbraw/zinc/76/05/38/867760538.db2.gz VBCFFXOHEMSPIH-CFDVYZKQSA-N 1 2 317.227 1.414 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](O)(CNC(=O)/C(C)=C/C)C1 ZINC001325087420 867760549 /nfs/dbraw/zinc/76/05/49/867760549.db2.gz VBCFFXOHEMSPIH-CFDVYZKQSA-N 1 2 317.227 1.414 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC001325284334 867911275 /nfs/dbraw/zinc/91/12/75/867911275.db2.gz BFJFKVSFPUHHLM-KRWDZBQOSA-N 1 2 316.445 1.994 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)CCCc2sccc2C)C1 ZINC001325311602 867935460 /nfs/dbraw/zinc/93/54/60/867935460.db2.gz KSDARDSKTGOETL-UHFFFAOYSA-N 1 2 320.458 1.565 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C[N@H+](C)CC(=O)Nc1nccs1 ZINC001322121958 867987209 /nfs/dbraw/zinc/98/72/09/867987209.db2.gz MNKYNBIJNFMQCC-LLVKDONJSA-N 1 2 310.423 1.484 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C[N@@H+](C)CC(=O)Nc1nccs1 ZINC001322121958 867987217 /nfs/dbraw/zinc/98/72/17/867987217.db2.gz MNKYNBIJNFMQCC-LLVKDONJSA-N 1 2 310.423 1.484 20 30 DDEDLO C=CCCCN(CC)C(=O)C(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC001335191678 868124759 /nfs/dbraw/zinc/12/47/59/868124759.db2.gz SLYWEXNBYIDSGT-AWEZNQCLSA-N 1 2 318.421 1.551 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)Cc1c(C)nn(C)c1C ZINC001381562510 882172042 /nfs/dbraw/zinc/17/20/42/882172042.db2.gz LVAOLLSWZFILFG-LLVKDONJSA-N 1 2 312.845 1.768 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)Cc1c(C)nn(C)c1C ZINC001381562510 882172048 /nfs/dbraw/zinc/17/20/48/882172048.db2.gz LVAOLLSWZFILFG-LLVKDONJSA-N 1 2 312.845 1.768 20 30 DDEDLO C[NH+]1CCN(C(=O)c2ccnc(NC3=CC(=O)CCC3)c2)CC1 ZINC001163449254 868459437 /nfs/dbraw/zinc/45/94/37/868459437.db2.gz SOCIXNUHNIXXAF-UHFFFAOYSA-N 1 2 314.389 1.518 20 30 DDEDLO Cc1cc(C[NH+]2CCC(NC(=O)CSCC#N)CC2)no1 ZINC001226144906 882228481 /nfs/dbraw/zinc/22/84/81/882228481.db2.gz LJTNMTGTJZYQBL-UHFFFAOYSA-N 1 2 308.407 1.320 20 30 DDEDLO C#C[C@@H]1CCCCN1C(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001337245807 869398068 /nfs/dbraw/zinc/39/80/68/869398068.db2.gz XNTMXGAFUINVAN-OAHLLOKOSA-N 1 2 322.368 1.825 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CN(C)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001337260580 869406550 /nfs/dbraw/zinc/40/65/50/869406550.db2.gz SIDLXRYJICIUAG-KGLIPLIRSA-N 1 2 318.421 1.375 20 30 DDEDLO N#CCc1cc[nH+]c(N2C[C@@H]3[C@H](C2)OCCN3c2cnccn2)c1 ZINC001165731755 869693940 /nfs/dbraw/zinc/69/39/40/869693940.db2.gz ADMNQZWIAWIOCM-CABCVRRESA-N 1 2 322.372 1.032 20 30 DDEDLO C[C@H]1[C@H](NC(=O)Cn2cc[nH+]c2)CCN1C(=O)C#CC(C)(C)C ZINC001337938757 869701583 /nfs/dbraw/zinc/70/15/83/869701583.db2.gz NIJJFGSACUUTPM-UONOGXRCSA-N 1 2 316.405 1.038 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@H]1C ZINC001337982794 869726960 /nfs/dbraw/zinc/72/69/60/869726960.db2.gz GJWGFXVONQMRAM-LSDHHAIUSA-N 1 2 316.405 1.038 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H]1C ZINC001338017750 869747003 /nfs/dbraw/zinc/74/70/03/869747003.db2.gz MXLKIRSNVFTVTP-GXTWGEPZSA-N 1 2 304.394 1.414 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001338151169 869836910 /nfs/dbraw/zinc/83/69/10/869836910.db2.gz DVXWJBNJILHWAP-UKRRQHHQSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001338281998 869901949 /nfs/dbraw/zinc/90/19/49/869901949.db2.gz HGTFFMSVXLKXRR-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]12C[C@H]1CCC2 ZINC001317047917 870162129 /nfs/dbraw/zinc/16/21/29/870162129.db2.gz KRHDRNTXQSHJQV-XLMAVXFVSA-N 1 2 302.418 1.502 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]12C[C@H]1CCC2 ZINC001317047917 870162138 /nfs/dbraw/zinc/16/21/38/870162138.db2.gz KRHDRNTXQSHJQV-XLMAVXFVSA-N 1 2 302.418 1.502 20 30 DDEDLO CS(=O)(=O)[C@H]1CCN(c2[nH+]ccc3ccc(C#N)cc32)C1 ZINC001166711749 870184908 /nfs/dbraw/zinc/18/49/08/870184908.db2.gz UTDUBYDHHQLKQE-ZDUSSCGKSA-N 1 2 301.371 1.730 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCN(C)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC001298074952 870272689 /nfs/dbraw/zinc/27/26/89/870272689.db2.gz UAVLYWZNFNEFFZ-AWEZNQCLSA-N 1 2 320.437 1.963 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCCC[N@H+]1Cc1nccn1C ZINC001317171857 870411450 /nfs/dbraw/zinc/41/14/50/870411450.db2.gz XGZXLYSBBYWYSB-OAHLLOKOSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1nccn1C ZINC001317171857 870411454 /nfs/dbraw/zinc/41/14/54/870411454.db2.gz XGZXLYSBBYWYSB-OAHLLOKOSA-N 1 2 302.422 1.694 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@H]1CCC[N@H+](Cc2cnon2)C1 ZINC001317202325 870460769 /nfs/dbraw/zinc/46/07/69/870460769.db2.gz FOZHLMUZKANQTR-KBPBESRZSA-N 1 2 304.394 1.446 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@H]1CCC[N@@H+](Cc2cnon2)C1 ZINC001317202325 870460774 /nfs/dbraw/zinc/46/07/74/870460774.db2.gz FOZHLMUZKANQTR-KBPBESRZSA-N 1 2 304.394 1.446 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001298698291 870680475 /nfs/dbraw/zinc/68/04/75/870680475.db2.gz CQQQJSQWOPLNDT-WBMJQRKESA-N 1 2 306.410 1.186 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NCCC[C@H]1CCCN1CC#N ZINC001317425847 870807967 /nfs/dbraw/zinc/80/79/67/870807967.db2.gz CERFJUBKJRCRPP-AWEZNQCLSA-N 1 2 303.410 1.237 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)C1(C(=O)NC)CCC1 ZINC001317491089 870907707 /nfs/dbraw/zinc/90/77/07/870907707.db2.gz ONEPUBJTFBDIDM-UHFFFAOYSA-N 1 2 301.818 1.093 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)C1(C(=O)NC)CCC1 ZINC001317491089 870907709 /nfs/dbraw/zinc/90/77/09/870907709.db2.gz ONEPUBJTFBDIDM-UHFFFAOYSA-N 1 2 301.818 1.093 20 30 DDEDLO CN(CCCNC(=O)c1ccc(C#N)c(O)c1)c1cccc[nH+]1 ZINC001302975714 871094472 /nfs/dbraw/zinc/09/44/72/871094472.db2.gz ITGKGUDICYJBAE-UHFFFAOYSA-N 1 2 310.357 1.915 20 30 DDEDLO C=CC1CCN(c2nnc([C@]3(C)C[C@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001340762418 871335725 /nfs/dbraw/zinc/33/57/25/871335725.db2.gz KHEVSXKVLQJSFX-YOEHRIQHSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CC1CCN(c2nnc([C@]3(C)C[C@H](O)C[N@H+]3C)n2CC)CC1 ZINC001340762418 871335746 /nfs/dbraw/zinc/33/57/46/871335746.db2.gz KHEVSXKVLQJSFX-YOEHRIQHSA-N 1 2 319.453 1.612 20 30 DDEDLO C#CC[N@@H+](CCCc1scnc1C)[C@H]1CCS(=O)(=O)C1 ZINC001307562743 871442660 /nfs/dbraw/zinc/44/26/60/871442660.db2.gz FLJKSWYSXPXSFP-ZDUSSCGKSA-N 1 2 312.460 1.506 20 30 DDEDLO C#CC[N@H+](CCCc1scnc1C)[C@H]1CCS(=O)(=O)C1 ZINC001307562743 871442666 /nfs/dbraw/zinc/44/26/66/871442666.db2.gz FLJKSWYSXPXSFP-ZDUSSCGKSA-N 1 2 312.460 1.506 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CCC)C(=O)CCCn2ccnc2C)C1 ZINC001317767338 871479612 /nfs/dbraw/zinc/47/96/12/871479612.db2.gz OPKKKMCEVFDKIN-KRWDZBQOSA-N 1 2 316.449 1.918 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CCC)C(=O)CCCn2ccnc2C)C1 ZINC001317767338 871479615 /nfs/dbraw/zinc/47/96/15/871479615.db2.gz OPKKKMCEVFDKIN-KRWDZBQOSA-N 1 2 316.449 1.918 20 30 DDEDLO CNC(=O)[C@H](Cc1ccccc1)[NH2+]Cc1nc(C#N)cs1 ZINC001414090480 871497991 /nfs/dbraw/zinc/49/79/91/871497991.db2.gz OQNKUYAPALRYJR-ZDUSSCGKSA-N 1 2 300.387 1.462 20 30 DDEDLO C#CCN(CC#C)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1CC ZINC001341334395 871611274 /nfs/dbraw/zinc/61/12/74/871611274.db2.gz VDEDAHSVJPHSPA-OAHLLOKOSA-N 1 2 315.421 1.153 20 30 DDEDLO C#CCN(CC#C)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1CC ZINC001341334395 871611278 /nfs/dbraw/zinc/61/12/78/871611278.db2.gz VDEDAHSVJPHSPA-OAHLLOKOSA-N 1 2 315.421 1.153 20 30 DDEDLO N#CCSCC(=O)NC1C[NH+](CCc2ccc(Cl)cc2)C1 ZINC001318001650 871679487 /nfs/dbraw/zinc/67/94/87/871679487.db2.gz DOFRBJNAVIADKN-UHFFFAOYSA-N 1 2 323.849 1.940 20 30 DDEDLO CCC(C)(C)NC(=O)[C@H](C)[NH+]1CC(CNC(=O)C#CC2CC2)C1 ZINC001318119568 871762973 /nfs/dbraw/zinc/76/29/73/871762973.db2.gz VZRYEIQYDOSBDL-ZDUSSCGKSA-N 1 2 319.449 1.141 20 30 DDEDLO C[C@@H]1C[C@H]1C[N@@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001277142214 882545123 /nfs/dbraw/zinc/54/51/23/882545123.db2.gz IOVXTUILXDIFNE-NEPJUHHUSA-N 1 2 313.405 1.600 20 30 DDEDLO C[C@@H]1C[C@H]1C[N@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001277142214 882545132 /nfs/dbraw/zinc/54/51/32/882545132.db2.gz IOVXTUILXDIFNE-NEPJUHHUSA-N 1 2 313.405 1.600 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2C[NH+](Cc3cc(C)ncn3)C2)C1 ZINC001318150224 871782581 /nfs/dbraw/zinc/78/25/81/871782581.db2.gz NBKHVNGXMSCHCM-UHFFFAOYSA-N 1 2 300.406 1.689 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1CC[NH+](Cc2cc3n(n2)CCCC3)CC1 ZINC001226639764 882559928 /nfs/dbraw/zinc/55/99/28/882559928.db2.gz GHCKFJSVFYMSEE-ZDUSSCGKSA-N 1 2 315.421 1.460 20 30 DDEDLO CC(C)c1noc([C@H](C)[NH+]2CCC(NC(=O)[C@H](C)C#N)CC2)n1 ZINC001226649201 882564608 /nfs/dbraw/zinc/56/46/08/882564608.db2.gz RVARMWBQIIBAJS-NEPJUHHUSA-N 1 2 319.409 1.994 20 30 DDEDLO N#CCN1CCC(NC(=O)N2CCC(n3cc[nH+]c3)CC2)CC1 ZINC001318382301 871973234 /nfs/dbraw/zinc/97/32/34/871973234.db2.gz RUJCZTVVIFCHOR-UHFFFAOYSA-N 1 2 316.409 1.218 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccncc3)n2CC)CC1 ZINC001342581713 872275051 /nfs/dbraw/zinc/27/50/51/872275051.db2.gz QYRGJBVGOGQVPB-UHFFFAOYSA-N 1 2 310.405 1.039 20 30 DDEDLO N#Cc1cc(C(=O)NCCC[N@H+](Cc2ncccn2)C2CC2)c[nH]1 ZINC001316915826 872354703 /nfs/dbraw/zinc/35/47/03/872354703.db2.gz HYKYBEKWMLMRKA-UHFFFAOYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cc(C(=O)NCCC[N@@H+](Cc2ncccn2)C2CC2)c[nH]1 ZINC001316915826 872354719 /nfs/dbraw/zinc/35/47/19/872354719.db2.gz HYKYBEKWMLMRKA-UHFFFAOYSA-N 1 2 324.388 1.461 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-c1cnc(C#N)cn1 ZINC001206475723 872359025 /nfs/dbraw/zinc/35/90/25/872359025.db2.gz DYYAJFYDQFFOHH-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnoc2C(C)C)C1 ZINC001316953739 872459997 /nfs/dbraw/zinc/45/99/97/872459997.db2.gz AUSZFNCTQVUZOQ-CQSZACIVSA-N 1 2 319.405 1.642 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2cnoc2C(C)C)C1 ZINC001316953739 872460021 /nfs/dbraw/zinc/46/00/21/872460021.db2.gz AUSZFNCTQVUZOQ-CQSZACIVSA-N 1 2 319.405 1.642 20 30 DDEDLO CCCCCCC[N@@H+]1CCO[C@H](CNC(=O)c2cn[nH]n2)C1 ZINC001319310080 872560255 /nfs/dbraw/zinc/56/02/55/872560255.db2.gz SYKUVPKNDBTBBF-CYBMUJFWSA-N 1 2 309.414 1.206 20 30 DDEDLO CCCCCCC[N@H+]1CCO[C@H](CNC(=O)c2cn[nH]n2)C1 ZINC001319310080 872560263 /nfs/dbraw/zinc/56/02/63/872560263.db2.gz SYKUVPKNDBTBBF-CYBMUJFWSA-N 1 2 309.414 1.206 20 30 DDEDLO CCCCCCC[N@@H+]1CCO[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001319310080 872560281 /nfs/dbraw/zinc/56/02/81/872560281.db2.gz SYKUVPKNDBTBBF-CYBMUJFWSA-N 1 2 309.414 1.206 20 30 DDEDLO CCCCCCC[N@H+]1CCO[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001319310080 872560297 /nfs/dbraw/zinc/56/02/97/872560297.db2.gz SYKUVPKNDBTBBF-CYBMUJFWSA-N 1 2 309.414 1.206 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)C(C)(C)C(=C)C)C1 ZINC001319317318 872564025 /nfs/dbraw/zinc/56/40/25/872564025.db2.gz HALSOQLISNRQRA-HNNXBMFYSA-N 1 2 310.438 1.608 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)C(C)(C)C(=C)C)C1 ZINC001319317318 872564030 /nfs/dbraw/zinc/56/40/30/872564030.db2.gz HALSOQLISNRQRA-HNNXBMFYSA-N 1 2 310.438 1.608 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001319319499 872568851 /nfs/dbraw/zinc/56/88/51/872568851.db2.gz URPDNXOQTKOIDN-BZUAXINKSA-N 1 2 324.465 1.688 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001319319499 872568860 /nfs/dbraw/zinc/56/88/60/872568860.db2.gz URPDNXOQTKOIDN-BZUAXINKSA-N 1 2 324.465 1.688 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)CC)n2CC(C)C)CC1 ZINC001344100950 872856785 /nfs/dbraw/zinc/85/67/85/872856785.db2.gz JMPUVBFNOXWUGM-CQSZACIVSA-N 1 2 305.426 1.133 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1([NH2+]Cc2nnnn2C2CC2)CC1 ZINC001277164687 882711438 /nfs/dbraw/zinc/71/14/38/882711438.db2.gz MIGOFUCGXZNCIH-OAHLLOKOSA-N 1 2 318.425 1.349 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(OCC)s2)C1 ZINC001207628513 873427770 /nfs/dbraw/zinc/42/77/70/873427770.db2.gz JMZANPXOYRXLQT-GFCCVEGCSA-N 1 2 324.450 1.984 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001207636985 873431419 /nfs/dbraw/zinc/43/14/19/873431419.db2.gz ZYMBSBPAUVFNQK-LBPRGKRZSA-N 1 2 308.382 1.263 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]([NH2+]Cc2nc([C@H](C)OC)no2)C1 ZINC001207636349 873431572 /nfs/dbraw/zinc/43/15/72/873431572.db2.gz SZHLIBHBCBYORR-STQMWFEESA-N 1 2 322.409 1.824 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001345962531 873572818 /nfs/dbraw/zinc/57/28/18/873572818.db2.gz WRHVXSYOIPYHDB-CZUORRHYSA-N 1 2 305.426 1.364 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001345962531 873572825 /nfs/dbraw/zinc/57/28/25/873572825.db2.gz WRHVXSYOIPYHDB-CZUORRHYSA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCN(CC[NH+]1CCN(c2ccncn2)CC1)C(=O)OCC ZINC001209020644 874606445 /nfs/dbraw/zinc/60/64/45/874606445.db2.gz MKSMESJWWBAJBC-UHFFFAOYSA-N 1 2 319.409 1.243 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001349238593 875054202 /nfs/dbraw/zinc/05/42/02/875054202.db2.gz PFDUMKVUUOUNSU-DYVFJYSZSA-N 1 2 318.421 1.280 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)cn1 ZINC001378635088 875282999 /nfs/dbraw/zinc/28/29/99/875282999.db2.gz HMEGUCFALIGOCW-SMDDNHRTSA-N 1 2 301.394 1.271 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)cn1 ZINC001378635088 875283006 /nfs/dbraw/zinc/28/30/06/875283006.db2.gz HMEGUCFALIGOCW-SMDDNHRTSA-N 1 2 301.394 1.271 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)C2CCC2)[C@H](OC)C1 ZINC001213223912 875853754 /nfs/dbraw/zinc/85/37/54/875853754.db2.gz FJIPSARZXAKPJV-HZPDHXFCSA-N 1 2 322.449 1.278 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)C2CCC2)[C@H](OC)C1 ZINC001213223912 875853766 /nfs/dbraw/zinc/85/37/66/875853766.db2.gz FJIPSARZXAKPJV-HZPDHXFCSA-N 1 2 322.449 1.278 20 30 DDEDLO CCCC(CCC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213346276 875904502 /nfs/dbraw/zinc/90/45/02/875904502.db2.gz FPPZOAYOMOXQFV-IAGOWNOFSA-N 1 2 324.465 1.668 20 30 DDEDLO CCCC(CCC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213346276 875904513 /nfs/dbraw/zinc/90/45/13/875904513.db2.gz FPPZOAYOMOXQFV-IAGOWNOFSA-N 1 2 324.465 1.668 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@H]1OC ZINC001213356879 875906643 /nfs/dbraw/zinc/90/66/43/875906643.db2.gz CPZWZJPOAZZLAC-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@H]1OC ZINC001213356879 875906651 /nfs/dbraw/zinc/90/66/51/875906651.db2.gz CPZWZJPOAZZLAC-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213356811 875907245 /nfs/dbraw/zinc/90/72/45/875907245.db2.gz BFAVJTMTSKLHJX-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213356811 875907252 /nfs/dbraw/zinc/90/72/52/875907252.db2.gz BFAVJTMTSKLHJX-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@]23C[C@H]2CCCC3)[C@H](OC)C1 ZINC001213403415 875925998 /nfs/dbraw/zinc/92/59/98/875925998.db2.gz AHICVGLTBUIERA-YFHUEUNASA-N 1 2 320.433 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@]23C[C@H]2CCCC3)[C@H](OC)C1 ZINC001213403415 875926001 /nfs/dbraw/zinc/92/60/01/875926001.db2.gz AHICVGLTBUIERA-YFHUEUNASA-N 1 2 320.433 1.032 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)CCc2[nH+]ccn2C)c1 ZINC001350917319 875956472 /nfs/dbraw/zinc/95/64/72/875956472.db2.gz MKQGYEOYBIJEGK-UHFFFAOYSA-N 1 2 324.384 1.431 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001350945237 875976107 /nfs/dbraw/zinc/97/61/07/875976107.db2.gz HYYLXGWDWZMDRS-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2CCC=CCC2)[C@H](OC)C1 ZINC001213863720 876086242 /nfs/dbraw/zinc/08/62/42/876086242.db2.gz QSBCDPLEGOXSTH-IAGOWNOFSA-N 1 2 320.433 1.198 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2CCC=CCC2)[C@H](OC)C1 ZINC001213863720 876086256 /nfs/dbraw/zinc/08/62/56/876086256.db2.gz QSBCDPLEGOXSTH-IAGOWNOFSA-N 1 2 320.433 1.198 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@@H]1CCC ZINC001351605195 876343851 /nfs/dbraw/zinc/34/38/51/876343851.db2.gz RXLWLLUWTRCVBY-ZNMIVQPWSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@@H]1CCC ZINC001351605195 876343864 /nfs/dbraw/zinc/34/38/64/876343864.db2.gz RXLWLLUWTRCVBY-ZNMIVQPWSA-N 1 2 319.453 1.971 20 30 DDEDLO N#CCC1CN(C(=O)[C@]23C[C@H]2CC[N@@H+]3Cc2cncs2)C1 ZINC001277219755 883078199 /nfs/dbraw/zinc/07/81/99/883078199.db2.gz KNPXZOUMNSGJKA-DOMZBBRYSA-N 1 2 302.403 1.480 20 30 DDEDLO N#CCC1CN(C(=O)[C@]23C[C@H]2CC[N@H+]3Cc2cncs2)C1 ZINC001277219755 883078218 /nfs/dbraw/zinc/07/82/18/883078218.db2.gz KNPXZOUMNSGJKA-DOMZBBRYSA-N 1 2 302.403 1.480 20 30 DDEDLO C=CCCC(=O)N1CCC2(C[C@H]2NC(=O)Cn2cc[nH+]c2)CC1 ZINC001352998925 877065689 /nfs/dbraw/zinc/06/56/89/877065689.db2.gz BCXHWVWMYQCMEX-CQSZACIVSA-N 1 2 316.405 1.347 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001353452605 877343287 /nfs/dbraw/zinc/34/32/87/877343287.db2.gz HHEFXFNKKFBGDQ-ZDUSSCGKSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001353452605 877343301 /nfs/dbraw/zinc/34/33/01/877343301.db2.gz HHEFXFNKKFBGDQ-ZDUSSCGKSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(NC(=O)CCc3cn[nH]n3)CCC[C@H]12 ZINC001379629550 877578997 /nfs/dbraw/zinc/57/89/97/877578997.db2.gz NSPMIQXGHQZGEC-ZFWWWQNUSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(NC(=O)CCc3cn[nH]n3)CCC[C@H]12 ZINC001379629550 877579010 /nfs/dbraw/zinc/57/90/10/877579010.db2.gz NSPMIQXGHQZGEC-ZFWWWQNUSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(NC(=O)CCc3c[nH]nn3)CCC[C@H]12 ZINC001379629550 877579023 /nfs/dbraw/zinc/57/90/23/877579023.db2.gz NSPMIQXGHQZGEC-ZFWWWQNUSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(NC(=O)CCc3c[nH]nn3)CCC[C@H]12 ZINC001379629550 877579033 /nfs/dbraw/zinc/57/90/33/877579033.db2.gz NSPMIQXGHQZGEC-ZFWWWQNUSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1ccc2nc[nH]c2n1 ZINC001379684174 877735960 /nfs/dbraw/zinc/73/59/60/877735960.db2.gz OLNHAWNADMKYER-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1ccc2nc[nH]c2n1 ZINC001379684174 877735969 /nfs/dbraw/zinc/73/59/69/877735969.db2.gz OLNHAWNADMKYER-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H](CNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001287515062 912279289 /nfs/dbraw/zinc/27/92/89/912279289.db2.gz SDMLJQRBMWPPPV-CQSZACIVSA-N 1 2 316.405 1.109 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219141103 877954804 /nfs/dbraw/zinc/95/48/04/877954804.db2.gz GPGOQDPJGYHNPK-KGLIPLIRSA-N 1 2 305.403 1.247 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219141103 877954817 /nfs/dbraw/zinc/95/48/17/877954817.db2.gz GPGOQDPJGYHNPK-KGLIPLIRSA-N 1 2 305.403 1.247 20 30 DDEDLO CC(C)CCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219170427 877981415 /nfs/dbraw/zinc/98/14/15/877981415.db2.gz YDVVNBKCGQGYQQ-MSOLQXFVSA-N 1 2 314.429 1.636 20 30 DDEDLO CC(C)CCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219170427 877981428 /nfs/dbraw/zinc/98/14/28/877981428.db2.gz YDVVNBKCGQGYQQ-MSOLQXFVSA-N 1 2 314.429 1.636 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001355632687 878654476 /nfs/dbraw/zinc/65/44/76/878654476.db2.gz QGNQMFUOSSQGSL-ZDUSSCGKSA-N 1 2 306.410 1.519 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001355632687 878654484 /nfs/dbraw/zinc/65/44/84/878654484.db2.gz QGNQMFUOSSQGSL-ZDUSSCGKSA-N 1 2 306.410 1.519 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@@H]1O ZINC001220203429 878815984 /nfs/dbraw/zinc/81/59/84/878815984.db2.gz GHMPCVZQOVXENB-WQVCFCJDSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@@H]1O ZINC001220203429 878815994 /nfs/dbraw/zinc/81/59/94/878815994.db2.gz GHMPCVZQOVXENB-WQVCFCJDSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220201819 878816287 /nfs/dbraw/zinc/81/62/87/878816287.db2.gz ZWICYQMFGHYFMB-MAZHCROVSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220201819 878816293 /nfs/dbraw/zinc/81/62/93/878816293.db2.gz ZWICYQMFGHYFMB-MAZHCROVSA-N 1 2 318.421 1.049 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001287698536 912401695 /nfs/dbraw/zinc/40/16/95/912401695.db2.gz DKXJZWVOCFUFOU-CYBMUJFWSA-N 1 2 304.394 1.109 20 30 DDEDLO COc1cccc(C[NH+]2CC(N(C)C(=O)[C@@H](C)C#N)C2)c1C ZINC001380220026 879081142 /nfs/dbraw/zinc/08/11/42/879081142.db2.gz HMXSKDZTNLRDDN-LBPRGKRZSA-N 1 2 301.390 1.806 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)Cc1[nH]c[nH+]c1C ZINC001356839109 879460369 /nfs/dbraw/zinc/46/03/69/879460369.db2.gz LPQCVQNDLMLYJB-STQMWFEESA-N 1 2 302.378 1.039 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](CC)NC(=O)CCc1c[nH+]cn1C ZINC001356911474 879574743 /nfs/dbraw/zinc/57/47/43/879574743.db2.gz SAJSQMHBTUZVCZ-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](CC)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001356917638 879584660 /nfs/dbraw/zinc/58/46/60/879584660.db2.gz LTDMFDSKZRSPRD-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001357011421 879697573 /nfs/dbraw/zinc/69/75/73/879697573.db2.gz KUFUZYOCQUOSQO-KBPBESRZSA-N 1 2 318.421 1.280 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001357344448 879925777 /nfs/dbraw/zinc/92/57/77/879925777.db2.gz VBLIWPNQHOVKHP-HUUCEWRRSA-N 1 2 316.405 1.088 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3C)C[C@H]21 ZINC001221575034 879953980 /nfs/dbraw/zinc/95/39/80/879953980.db2.gz VWUCQLJYTGVLFG-UKRRQHHQSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3C)C[C@H]21 ZINC001221575034 879953989 /nfs/dbraw/zinc/95/39/89/879953989.db2.gz VWUCQLJYTGVLFG-UKRRQHHQSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC)C[C@H]21 ZINC001221730314 880031074 /nfs/dbraw/zinc/03/10/74/880031074.db2.gz CKUCTOZHQNLCOJ-ZIAGYGMSSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC)C[C@H]21 ZINC001221730314 880031082 /nfs/dbraw/zinc/03/10/82/880031082.db2.gz CKUCTOZHQNLCOJ-ZIAGYGMSSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC(C)C)C[C@H]21 ZINC001221758844 880060121 /nfs/dbraw/zinc/06/01/21/880060121.db2.gz IQIOYGZWYOFHJZ-ARFHVFGLSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC(C)C)C[C@H]21 ZINC001221758844 880060134 /nfs/dbraw/zinc/06/01/34/880060134.db2.gz IQIOYGZWYOFHJZ-ARFHVFGLSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C3(CCC)CC3)[C@@H]2C1 ZINC001221803280 880094971 /nfs/dbraw/zinc/09/49/71/880094971.db2.gz AQMOHEFVZMNUCW-HUUCEWRRSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C3(CCC)CC3)[C@@H]2C1 ZINC001221803280 880094984 /nfs/dbraw/zinc/09/49/84/880094984.db2.gz AQMOHEFVZMNUCW-HUUCEWRRSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001358537844 880509561 /nfs/dbraw/zinc/50/95/61/880509561.db2.gz HWYGKBBCYMEGPY-YNEHKIRRSA-N 1 2 304.394 1.490 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001358537844 880509574 /nfs/dbraw/zinc/50/95/74/880509574.db2.gz HWYGKBBCYMEGPY-YNEHKIRRSA-N 1 2 304.394 1.490 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CNC(=O)[C@H]1CC1(C)C ZINC001276835338 880681951 /nfs/dbraw/zinc/68/19/51/880681951.db2.gz QTXVGHQAGJOUOO-LSDHHAIUSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CC1(C)C ZINC001276835338 880681957 /nfs/dbraw/zinc/68/19/57/880681957.db2.gz QTXVGHQAGJOUOO-LSDHHAIUSA-N 1 2 319.449 1.424 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CNC(=O)CCc2nc[nH]n2)CC1 ZINC001222763057 880688363 /nfs/dbraw/zinc/68/83/63/880688363.db2.gz AQJKBNVZOFJYLU-UHFFFAOYSA-N 1 2 311.817 1.318 20 30 DDEDLO CCc1nc(C)c(C[N@@H+]2CC[C@@H]2CNC(=O)C#CC2CC2)o1 ZINC001276842142 880717445 /nfs/dbraw/zinc/71/74/45/880717445.db2.gz KHQLICFCSIJHGC-CQSZACIVSA-N 1 2 301.390 1.649 20 30 DDEDLO CCc1nc(C)c(C[N@H+]2CC[C@@H]2CNC(=O)C#CC2CC2)o1 ZINC001276842142 880717450 /nfs/dbraw/zinc/71/74/50/880717450.db2.gz KHQLICFCSIJHGC-CQSZACIVSA-N 1 2 301.390 1.649 20 30 DDEDLO Cc1nnc([C@@H](C)[NH+]2CCC(CNC(=O)C#CC(C)C)CC2)[nH]1 ZINC001223114156 880834023 /nfs/dbraw/zinc/83/40/23/880834023.db2.gz JJRVYNKDCAIDGW-CYBMUJFWSA-N 1 2 317.437 1.662 20 30 DDEDLO C=CCCCC(=O)N(C)C1CN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001358747859 880849074 /nfs/dbraw/zinc/84/90/74/880849074.db2.gz OPTWJAPZWLHFOV-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCCC(=O)N(C)C1CN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001358747859 880849085 /nfs/dbraw/zinc/84/90/85/880849085.db2.gz OPTWJAPZWLHFOV-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)CCC(=O)NCC1CC[NH+](Cc2cnn(CC)n2)CC1 ZINC001223352709 880928861 /nfs/dbraw/zinc/92/88/61/880928861.db2.gz GFDQPKGDLVIDNF-UHFFFAOYSA-N 1 2 319.453 1.983 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CCc2nccs2)CC1 ZINC001359030351 881161201 /nfs/dbraw/zinc/16/12/01/881161201.db2.gz ULHNVVGEQXLCKV-UHFFFAOYSA-N 1 2 316.434 1.041 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001287997787 912651830 /nfs/dbraw/zinc/65/18/30/912651830.db2.gz FFWDFXNJGXZQDL-STQMWFEESA-N 1 2 304.394 1.414 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001288027458 912673956 /nfs/dbraw/zinc/67/39/56/912673956.db2.gz DVMCUJZPRMMYBA-QWHCGFSZSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001288045825 912693731 /nfs/dbraw/zinc/69/37/31/912693731.db2.gz SIGGMBCLKGMLIG-KBPBESRZSA-N 1 2 318.421 1.330 20 30 DDEDLO C[C@@H]1CCN(C(=O)CNc2ccc(C#N)cn2)C[C@@H]1n1cc[nH+]c1 ZINC001362275075 883460912 /nfs/dbraw/zinc/46/09/12/883460912.db2.gz YQSALRAZHKRRBD-HIFRSBDPSA-N 1 2 324.388 1.671 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2cccc3ccc(C#N)nc32)C[N@@H+]1C ZINC001228409249 883484817 /nfs/dbraw/zinc/48/48/17/883484817.db2.gz RKZIDYRPHXIFGP-KGLIPLIRSA-N 1 2 311.341 1.731 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2cccc3ccc(C#N)nc32)C[N@H+]1C ZINC001228409249 883484826 /nfs/dbraw/zinc/48/48/26/883484826.db2.gz RKZIDYRPHXIFGP-KGLIPLIRSA-N 1 2 311.341 1.731 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](Oc2cnnc(=S)[nH]2)C1 ZINC001228643312 883589425 /nfs/dbraw/zinc/58/94/25/883589425.db2.gz ZVTCDFIXYKYBPB-ZDUSSCGKSA-N 1 2 313.386 1.685 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](Oc2cnnc(=S)[nH]2)C1 ZINC001228643312 883589435 /nfs/dbraw/zinc/58/94/35/883589435.db2.gz ZVTCDFIXYKYBPB-ZDUSSCGKSA-N 1 2 313.386 1.685 20 30 DDEDLO COC(=O)c1cc(OC)cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001229256667 883897228 /nfs/dbraw/zinc/89/72/28/883897228.db2.gz AJMKKKQYBQAAGP-JGPUMOJJSA-N 1 2 319.357 1.473 20 30 DDEDLO COC(=O)c1cc(OC)cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001229256667 883897234 /nfs/dbraw/zinc/89/72/34/883897234.db2.gz AJMKKKQYBQAAGP-JGPUMOJJSA-N 1 2 319.357 1.473 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])nc3Cl)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229920444 884232091 /nfs/dbraw/zinc/23/20/91/884232091.db2.gz STNPXVKMJLVQAR-NTBMCGCHSA-N 1 2 311.725 1.634 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])nc3Cl)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229920444 884232105 /nfs/dbraw/zinc/23/21/05/884232105.db2.gz STNPXVKMJLVQAR-NTBMCGCHSA-N 1 2 311.725 1.634 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1CCCC1 ZINC001230556748 884577514 /nfs/dbraw/zinc/57/75/14/884577514.db2.gz PFJCVVUCWICAOC-ZFWWWQNUSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1CCCC1 ZINC001230556748 884577527 /nfs/dbraw/zinc/57/75/27/884577527.db2.gz PFJCVVUCWICAOC-ZFWWWQNUSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1CC1 ZINC001230556867 884579454 /nfs/dbraw/zinc/57/94/54/884579454.db2.gz PLZUPRSPJLOMAX-HNNXBMFYSA-N 1 2 305.422 1.130 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1CC1 ZINC001230556867 884579466 /nfs/dbraw/zinc/57/94/66/884579466.db2.gz PLZUPRSPJLOMAX-HNNXBMFYSA-N 1 2 305.422 1.130 20 30 DDEDLO CCC(=O)N[C@H](C)C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230569895 884598734 /nfs/dbraw/zinc/59/87/34/884598734.db2.gz YGUQKAMKCRCUBQ-HIFRSBDPSA-N 1 2 320.437 1.128 20 30 DDEDLO CCC(=O)N[C@H](C)C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230569895 884598743 /nfs/dbraw/zinc/59/87/43/884598743.db2.gz YGUQKAMKCRCUBQ-HIFRSBDPSA-N 1 2 320.437 1.128 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)Cc1cccs1 ZINC001230620961 884663066 /nfs/dbraw/zinc/66/30/66/884663066.db2.gz GCAOBKWHOJMRFY-CQSZACIVSA-N 1 2 306.431 1.473 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)Cc1cccs1 ZINC001230620961 884663073 /nfs/dbraw/zinc/66/30/73/884663073.db2.gz GCAOBKWHOJMRFY-CQSZACIVSA-N 1 2 306.431 1.473 20 30 DDEDLO CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001230665436 884719987 /nfs/dbraw/zinc/71/99/87/884719987.db2.gz VYDZQVBIHAUIJV-KRWDZBQOSA-N 1 2 300.402 1.607 20 30 DDEDLO CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001230665436 884719998 /nfs/dbraw/zinc/71/99/98/884719998.db2.gz VYDZQVBIHAUIJV-KRWDZBQOSA-N 1 2 300.402 1.607 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccoc1C ZINC001230702142 884767969 /nfs/dbraw/zinc/76/79/69/884767969.db2.gz JCVXOGWNLAVQTP-OCCSQVGLSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1ccoc1C ZINC001230702142 884767977 /nfs/dbraw/zinc/76/79/77/884767977.db2.gz JCVXOGWNLAVQTP-OCCSQVGLSA-N 1 2 319.405 1.425 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230935088 885055343 /nfs/dbraw/zinc/05/53/43/885055343.db2.gz BAJFGRSTVOYOPA-SWLSCSKDSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230935088 885055361 /nfs/dbraw/zinc/05/53/61/885055361.db2.gz BAJFGRSTVOYOPA-SWLSCSKDSA-N 1 2 319.380 1.765 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NC1CCCC1 ZINC001231121302 885251318 /nfs/dbraw/zinc/25/13/18/885251318.db2.gz BJWVDAYPTCGDAN-ZBFHGGJFSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CCCC1 ZINC001231121302 885251334 /nfs/dbraw/zinc/25/13/34/885251334.db2.gz BJWVDAYPTCGDAN-ZBFHGGJFSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001231123952 885261105 /nfs/dbraw/zinc/26/11/05/885261105.db2.gz YDOHAQCDZCHLSW-GJZGRUSLSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001231123952 885261120 /nfs/dbraw/zinc/26/11/20/885261120.db2.gz YDOHAQCDZCHLSW-GJZGRUSLSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001231231900 885408218 /nfs/dbraw/zinc/40/82/18/885408218.db2.gz AGPGMNHHHPOAQW-CYBMUJFWSA-N 1 2 319.430 1.855 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001231231900 885408230 /nfs/dbraw/zinc/40/82/30/885408230.db2.gz AGPGMNHHHPOAQW-CYBMUJFWSA-N 1 2 319.430 1.855 20 30 DDEDLO Cn1nc(OC[C@H]2C[N@H+](Cc3ccccc3)CCO2)cc1C#N ZINC001231351955 885539378 /nfs/dbraw/zinc/53/93/78/885539378.db2.gz HYQINABKRSSMQY-MRXNPFEDSA-N 1 2 312.373 1.572 20 30 DDEDLO Cn1nc(OC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)cc1C#N ZINC001231351955 885539389 /nfs/dbraw/zinc/53/93/89/885539389.db2.gz HYQINABKRSSMQY-MRXNPFEDSA-N 1 2 312.373 1.572 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CC[C@H]2C[C@]21C(=O)N1CC(CC#N)C1 ZINC001277603008 886040418 /nfs/dbraw/zinc/04/04/18/886040418.db2.gz XWRLLVJIRPCZKB-XJKSGUPXSA-N 1 2 300.362 1.320 20 30 DDEDLO Cc1ncoc1C[N@H+]1CC[C@H]2C[C@]21C(=O)N1CC(CC#N)C1 ZINC001277603008 886040430 /nfs/dbraw/zinc/04/04/30/886040430.db2.gz XWRLLVJIRPCZKB-XJKSGUPXSA-N 1 2 300.362 1.320 20 30 DDEDLO C[N@@H+](Cc1nc(CO)cs1)C[C@@H](O)c1cccc(C#N)c1 ZINC001363658232 886904679 /nfs/dbraw/zinc/90/46/79/886904679.db2.gz XWNVFURZMKJCMC-CQSZACIVSA-N 1 2 303.387 1.672 20 30 DDEDLO C[N@H+](Cc1nc(CO)cs1)C[C@@H](O)c1cccc(C#N)c1 ZINC001363658232 886904682 /nfs/dbraw/zinc/90/46/82/886904682.db2.gz XWNVFURZMKJCMC-CQSZACIVSA-N 1 2 303.387 1.672 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1C ZINC001233488798 887028414 /nfs/dbraw/zinc/02/84/14/887028414.db2.gz HKXQNSQDHGKIEE-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1C ZINC001233488798 887028431 /nfs/dbraw/zinc/02/84/31/887028431.db2.gz HKXQNSQDHGKIEE-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001233491805 887033254 /nfs/dbraw/zinc/03/32/54/887033254.db2.gz ZIIXEFVCVXIUGS-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001233491805 887033266 /nfs/dbraw/zinc/03/32/66/887033266.db2.gz ZIIXEFVCVXIUGS-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C=C(CC)CC ZINC001233610569 887148936 /nfs/dbraw/zinc/14/89/36/887148936.db2.gz JVBSUIIWCILBOS-HOCLYGCPSA-N 1 2 321.465 1.956 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C=C(CC)CC ZINC001233610569 887148943 /nfs/dbraw/zinc/14/89/43/887148943.db2.gz JVBSUIIWCILBOS-HOCLYGCPSA-N 1 2 321.465 1.956 20 30 DDEDLO CCN(CCC#N)C(=O)CN1CCC[C@@H]1c1[nH]c(C)c(C)[nH+]1 ZINC001363829993 887336477 /nfs/dbraw/zinc/33/64/77/887336477.db2.gz CCYLAGNPIFLEHK-CQSZACIVSA-N 1 2 303.410 1.926 20 30 DDEDLO CC(F)(F)CN1CC[NH+](C[C@@H](O)c2ccc(C#N)cc2)CC1 ZINC001363838730 887360132 /nfs/dbraw/zinc/36/01/32/887360132.db2.gz XLBZFDUNBVTZNX-OAHLLOKOSA-N 1 2 309.360 1.864 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1ccc[nH]1 ZINC001233872563 887412286 /nfs/dbraw/zinc/41/22/86/887412286.db2.gz AUUCEPDBWRABQS-UHFFFAOYSA-N 1 2 300.200 1.975 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1ccc[nH]1 ZINC001233872563 887412298 /nfs/dbraw/zinc/41/22/98/887412298.db2.gz AUUCEPDBWRABQS-UHFFFAOYSA-N 1 2 300.200 1.975 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@@H](C)CN(C)C(=O)C#CC(C)(C)C)o1 ZINC001277820005 887485136 /nfs/dbraw/zinc/48/51/36/887485136.db2.gz UYZNVJMOEUZWKV-RYUDHWBXSA-N 1 2 306.410 1.925 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1C[C@@H]1C(F)F ZINC001234040196 887581225 /nfs/dbraw/zinc/58/12/25/887581225.db2.gz USIURLBNGSTGAV-AVGNSLFASA-N 1 2 300.349 1.070 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1C[C@@H]1C(F)F ZINC001234040196 887581237 /nfs/dbraw/zinc/58/12/37/887581237.db2.gz USIURLBNGSTGAV-AVGNSLFASA-N 1 2 300.349 1.070 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C=C(/C)CC ZINC001234130612 887675229 /nfs/dbraw/zinc/67/52/29/887675229.db2.gz NPJUPDLIBYJROW-QNCSTDJMSA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C(/C)CC ZINC001234130612 887675233 /nfs/dbraw/zinc/67/52/33/887675233.db2.gz NPJUPDLIBYJROW-QNCSTDJMSA-N 1 2 307.438 1.566 20 30 DDEDLO CC(C)c1cnc(C[N@H+](C)CCCNC(=O)CSCC#N)o1 ZINC001234161262 887699516 /nfs/dbraw/zinc/69/95/16/887699516.db2.gz CXBUFNZYNNSNNJ-UHFFFAOYSA-N 1 2 324.450 1.993 20 30 DDEDLO CC(C)c1cnc(C[N@@H+](C)CCCNC(=O)CSCC#N)o1 ZINC001234161262 887699530 /nfs/dbraw/zinc/69/95/30/887699530.db2.gz CXBUFNZYNNSNNJ-UHFFFAOYSA-N 1 2 324.450 1.993 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)ns1 ZINC001234247282 887791813 /nfs/dbraw/zinc/79/18/13/887791813.db2.gz ZCFBJNBREQCMSG-AWEZNQCLSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)ns1 ZINC001234247282 887791828 /nfs/dbraw/zinc/79/18/28/887791828.db2.gz ZCFBJNBREQCMSG-AWEZNQCLSA-N 1 2 321.446 1.524 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)C2(C)CC2)C1=O ZINC001234453858 887983226 /nfs/dbraw/zinc/98/32/26/887983226.db2.gz DLAIZVQRPFSTNL-UONOGXRCSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C2(C)CC2)C1=O ZINC001234453858 887983231 /nfs/dbraw/zinc/98/32/31/887983231.db2.gz DLAIZVQRPFSTNL-UONOGXRCSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)N(C)C ZINC001234516438 888043808 /nfs/dbraw/zinc/04/38/08/888043808.db2.gz CSGVBIQPILLIOD-GOEBONIOSA-N 1 2 321.465 1.764 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)N(C)C ZINC001234516438 888043798 /nfs/dbraw/zinc/04/37/98/888043798.db2.gz CSGVBIQPILLIOD-GOEBONIOSA-N 1 2 321.465 1.764 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001234562645 888100352 /nfs/dbraw/zinc/10/03/52/888100352.db2.gz IQYMILMCVHSJGF-DZGCQCFKSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001234562645 888100359 /nfs/dbraw/zinc/10/03/59/888100359.db2.gz IQYMILMCVHSJGF-DZGCQCFKSA-N 1 2 321.465 1.789 20 30 DDEDLO C[C@H](CC(=O)NC[C@@H]1C[C@H](NC(=O)[C@@H](C)C#N)C1)n1cc[nH+]c1 ZINC001384952628 888258842 /nfs/dbraw/zinc/25/88/42/888258842.db2.gz ZKIIRCJNIGEEOO-RFQIPJPRSA-N 1 2 317.393 1.005 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1cnn(CC)n1 ZINC001235247377 888501149 /nfs/dbraw/zinc/50/11/49/888501149.db2.gz WSVRCDKDZPIZRA-CQSZACIVSA-N 1 2 323.441 1.217 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1cnn(CC)n1 ZINC001235247377 888501163 /nfs/dbraw/zinc/50/11/63/888501163.db2.gz WSVRCDKDZPIZRA-CQSZACIVSA-N 1 2 323.441 1.217 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1nonc1C ZINC001235246781 888501897 /nfs/dbraw/zinc/50/18/97/888501897.db2.gz TWNRGXFSRZRZRF-ZDUSSCGKSA-N 1 2 310.398 1.297 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1nonc1C ZINC001235246781 888501912 /nfs/dbraw/zinc/50/19/12/888501912.db2.gz TWNRGXFSRZRZRF-ZDUSSCGKSA-N 1 2 310.398 1.297 20 30 DDEDLO CC(C)C#CC(=O)NC1(C)CC[NH+](Cc2ncccn2)CC1 ZINC001278052465 889501981 /nfs/dbraw/zinc/50/19/81/889501981.db2.gz WRHJFNQHHPAODE-UHFFFAOYSA-N 1 2 300.406 1.607 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cnc(C)c(Br)c2)CC1 ZINC001237561166 889698556 /nfs/dbraw/zinc/69/85/56/889698556.db2.gz JVNWEHWELXVALC-UHFFFAOYSA-N 1 2 308.223 1.903 20 30 DDEDLO N#Cc1ccc2nc(CN3CC[C@@H]([NH+]4CCOCC4)C3)cn2c1 ZINC001237613360 889756264 /nfs/dbraw/zinc/75/62/64/889756264.db2.gz GHWYPNKVRXBVGD-MRXNPFEDSA-N 1 2 311.389 1.112 20 30 DDEDLO C[N@H+](Cc1ccc(S(N)(=O)=O)s1)Cc1cccc(C#N)c1 ZINC001365552863 891044143 /nfs/dbraw/zinc/04/41/43/891044143.db2.gz CWHFXGIBVWICMM-UHFFFAOYSA-N 1 2 321.427 1.899 20 30 DDEDLO C[N@@H+](Cc1ccc(S(N)(=O)=O)s1)Cc1cccc(C#N)c1 ZINC001365552863 891044161 /nfs/dbraw/zinc/04/41/61/891044161.db2.gz CWHFXGIBVWICMM-UHFFFAOYSA-N 1 2 321.427 1.899 20 30 DDEDLO CC1(C)CCC[C@@H]1C(=O)N[C@@]1(C)CC[N@H+](CC(=O)NCC#N)C1 ZINC001278413828 892206802 /nfs/dbraw/zinc/20/68/02/892206802.db2.gz LDIOHLWAKLWKPR-DYVFJYSZSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CCC[C@@H]1C(=O)N[C@@]1(C)CC[N@@H+](CC(=O)NCC#N)C1 ZINC001278413828 892206816 /nfs/dbraw/zinc/20/68/16/892206816.db2.gz LDIOHLWAKLWKPR-DYVFJYSZSA-N 1 2 320.437 1.033 20 30 DDEDLO Cn1ccc(CC(=O)NCC[N@H+](C)Cc2ccccc2C#N)n1 ZINC001366526721 893882987 /nfs/dbraw/zinc/88/29/87/893882987.db2.gz BXLSFYMUWRBJIY-UHFFFAOYSA-N 1 2 311.389 1.082 20 30 DDEDLO Cn1ccc(CC(=O)NCC[N@@H+](C)Cc2ccccc2C#N)n1 ZINC001366526721 893883012 /nfs/dbraw/zinc/88/30/12/893883012.db2.gz BXLSFYMUWRBJIY-UHFFFAOYSA-N 1 2 311.389 1.082 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001366599833 894196674 /nfs/dbraw/zinc/19/66/74/894196674.db2.gz MVLNHWFGLMQUJV-GFCCVEGCSA-N 1 2 303.834 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001366599833 894196679 /nfs/dbraw/zinc/19/66/79/894196679.db2.gz MVLNHWFGLMQUJV-GFCCVEGCSA-N 1 2 303.834 1.338 20 30 DDEDLO CC[N@H+](CCNC(=O)[C@H](C)C#N)CC(=O)Nc1ccccc1F ZINC001366602638 894211793 /nfs/dbraw/zinc/21/17/93/894211793.db2.gz HIFHPUCAMUWYKA-GFCCVEGCSA-N 1 2 320.368 1.362 20 30 DDEDLO CC[N@@H+](CCNC(=O)[C@H](C)C#N)CC(=O)Nc1ccccc1F ZINC001366602638 894211806 /nfs/dbraw/zinc/21/18/06/894211806.db2.gz HIFHPUCAMUWYKA-GFCCVEGCSA-N 1 2 320.368 1.362 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H](CC)OC ZINC001366649097 894435362 /nfs/dbraw/zinc/43/53/62/894435362.db2.gz KRQVVKARBSCYLC-NEPJUHHUSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H](CC)OC ZINC001366649097 894435370 /nfs/dbraw/zinc/43/53/70/894435370.db2.gz KRQVVKARBSCYLC-NEPJUHHUSA-N 1 2 319.243 1.901 20 30 DDEDLO N#Cc1oc2ccccc2c1NC[C@@H](O)C[NH+]1CCOCC1 ZINC001251006505 894564373 /nfs/dbraw/zinc/56/43/73/894564373.db2.gz HBGNLFWYWAMSOC-GFCCVEGCSA-N 1 2 301.346 1.409 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(C)CCC[N@@H+](Cc2nncn2C)C1 ZINC001278676860 895387805 /nfs/dbraw/zinc/38/78/05/895387805.db2.gz BMQRQOYYATWINE-KRWDZBQOSA-N 1 2 319.453 1.890 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(C)CCC[N@H+](Cc2nncn2C)C1 ZINC001278676860 895387821 /nfs/dbraw/zinc/38/78/21/895387821.db2.gz BMQRQOYYATWINE-KRWDZBQOSA-N 1 2 319.453 1.890 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2cc(OC)no2)C1 ZINC001367025810 895609708 /nfs/dbraw/zinc/60/97/08/895609708.db2.gz XLIHFVOSSHHIFC-LLVKDONJSA-N 1 2 313.785 1.559 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2cc(OC)no2)C1 ZINC001367025810 895609715 /nfs/dbraw/zinc/60/97/15/895609715.db2.gz XLIHFVOSSHHIFC-LLVKDONJSA-N 1 2 313.785 1.559 20 30 DDEDLO C=C[C@H](O)C[N@@H+]1Cc2nnn(CC3CC3)c2[C@H](COCC)C1 ZINC001253576305 895903274 /nfs/dbraw/zinc/90/32/74/895903274.db2.gz LWJCBWICHJBALM-KBPBESRZSA-N 1 2 306.410 1.171 20 30 DDEDLO C=C[C@H](O)C[N@H+]1Cc2nnn(CC3CC3)c2[C@H](COCC)C1 ZINC001253576305 895903287 /nfs/dbraw/zinc/90/32/87/895903287.db2.gz LWJCBWICHJBALM-KBPBESRZSA-N 1 2 306.410 1.171 20 30 DDEDLO C=C[C@H](O)C[N@@H+]1CCc2onc(C(=O)Nc3cccnc3)c2C1 ZINC001253582761 895915783 /nfs/dbraw/zinc/91/57/83/895915783.db2.gz UHJZSLWKNGMHSR-LBPRGKRZSA-N 1 2 314.345 1.227 20 30 DDEDLO C=C[C@H](O)C[N@H+]1CCc2onc(C(=O)Nc3cccnc3)c2C1 ZINC001253582761 895915796 /nfs/dbraw/zinc/91/57/96/895915796.db2.gz UHJZSLWKNGMHSR-LBPRGKRZSA-N 1 2 314.345 1.227 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@]1(O)CC[N@H+](Cc2ccon2)C1 ZINC001278796373 896518018 /nfs/dbraw/zinc/51/80/18/896518018.db2.gz ZAZXJTSKZKDKRM-KRWDZBQOSA-N 1 2 319.405 1.311 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2ccon2)C1 ZINC001278796373 896518024 /nfs/dbraw/zinc/51/80/24/896518024.db2.gz ZAZXJTSKZKDKRM-KRWDZBQOSA-N 1 2 319.405 1.311 20 30 DDEDLO CC(C)NC(=O)c1noc2c1C[N@@H+]([C@H]1CC[C@@H](C#N)C1)CC2 ZINC001254663454 896560061 /nfs/dbraw/zinc/56/00/61/896560061.db2.gz BWLPNQPDOMBIQI-NEPJUHHUSA-N 1 2 302.378 1.863 20 30 DDEDLO CC(C)NC(=O)c1noc2c1C[N@H+]([C@H]1CC[C@@H](C#N)C1)CC2 ZINC001254663454 896560072 /nfs/dbraw/zinc/56/00/72/896560072.db2.gz BWLPNQPDOMBIQI-NEPJUHHUSA-N 1 2 302.378 1.863 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@H]2CCCc3ccccc32)C1 ZINC001278883406 897105756 /nfs/dbraw/zinc/10/57/56/897105756.db2.gz KZEAWAPGSYJHHB-KRWDZBQOSA-N 1 2 312.413 1.293 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2csc3ccccc23)C1 ZINC001278891700 897137164 /nfs/dbraw/zinc/13/71/64/897137164.db2.gz MNSCXJJYAVRJDU-UHFFFAOYSA-N 1 2 314.410 1.701 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C(=O)[C@@H]1CC12CCC2)C(C)C ZINC001278922225 897337008 /nfs/dbraw/zinc/33/70/08/897337008.db2.gz MLLQLYTUWDXXIG-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C(=O)[C@@H]1CC12CCC2)C(C)C ZINC001278922225 897337024 /nfs/dbraw/zinc/33/70/24/897337024.db2.gz MLLQLYTUWDXXIG-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CC[NH+](CC#C)[C@@H]1C[C@H](C(=O)OC)N(C(=O)OC(C)(C)C)C1 ZINC001256279597 897346325 /nfs/dbraw/zinc/34/63/25/897346325.db2.gz CKVBJZOJRIHQPM-ZIAGYGMSSA-N 1 2 320.389 1.106 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CNC(=O)c2ccccc2)C1 ZINC001389518732 897428051 /nfs/dbraw/zinc/42/80/51/897428051.db2.gz VTBUSAVCCZLTBD-CQSZACIVSA-N 1 2 321.808 1.359 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CNC(=O)c2ccccc2)C1 ZINC001389518732 897428055 /nfs/dbraw/zinc/42/80/55/897428055.db2.gz VTBUSAVCCZLTBD-CQSZACIVSA-N 1 2 321.808 1.359 20 30 DDEDLO CCc1noc(C[NH+]2CCC3(C[C@@H]3NC(=O)[C@H](C)C#N)CC2)n1 ZINC001389535150 897467854 /nfs/dbraw/zinc/46/78/54/897467854.db2.gz YKGSYCJJKDOLGW-NEPJUHHUSA-N 1 2 317.393 1.262 20 30 DDEDLO C=C(C)CCC(=O)N[C@]1(C)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001299544239 898384800 /nfs/dbraw/zinc/38/48/00/898384800.db2.gz VQZDCJFTULNEOF-MRXNPFEDSA-N 1 2 304.394 1.347 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)Cc1nonc1C ZINC001390141880 898774642 /nfs/dbraw/zinc/77/46/42/898774642.db2.gz ONHQUDQYKIZNJE-LBPRGKRZSA-N 1 2 312.801 1.644 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)Cc1nonc1C ZINC001390141880 898774650 /nfs/dbraw/zinc/77/46/50/898774650.db2.gz ONHQUDQYKIZNJE-LBPRGKRZSA-N 1 2 312.801 1.644 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)C1=CCOCC1)O2 ZINC001261815042 899776550 /nfs/dbraw/zinc/77/65/50/899776550.db2.gz YDLRCDLCQWOUEE-OAHLLOKOSA-N 1 2 306.406 1.259 20 30 DDEDLO CC[N@H+](CCNC(=O)[C@@H](C)C#N)Cc1nc(-c2ccco2)no1 ZINC001390886651 900443281 /nfs/dbraw/zinc/44/32/81/900443281.db2.gz ISNOUYRHLAWDIB-NSHDSACASA-N 1 2 317.349 1.427 20 30 DDEDLO CC[N@@H+](CCNC(=O)[C@@H](C)C#N)Cc1nc(-c2ccco2)no1 ZINC001390886651 900443286 /nfs/dbraw/zinc/44/32/86/900443286.db2.gz ISNOUYRHLAWDIB-NSHDSACASA-N 1 2 317.349 1.427 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@@H]1CCCCN1C(N)=O ZINC001390988493 900640884 /nfs/dbraw/zinc/64/08/84/900640884.db2.gz NNBBVCNBBKGWHG-LBPRGKRZSA-N 1 2 316.833 1.110 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@@H]1CCCCN1C(N)=O ZINC001390988493 900640893 /nfs/dbraw/zinc/64/08/93/900640893.db2.gz NNBBVCNBBKGWHG-LBPRGKRZSA-N 1 2 316.833 1.110 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1cocc1C ZINC001263808143 900723036 /nfs/dbraw/zinc/72/30/36/900723036.db2.gz WYKDWNABOQTICX-KBPBESRZSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1cocc1C ZINC001263808143 900723043 /nfs/dbraw/zinc/72/30/43/900723043.db2.gz WYKDWNABOQTICX-KBPBESRZSA-N 1 2 319.405 1.425 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)o2)[C@@H]1C ZINC001264138351 900971394 /nfs/dbraw/zinc/97/13/94/900971394.db2.gz UUWUAEYSMKHUFB-WWGRRREGSA-N 1 2 307.394 1.389 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)o2)[C@@H]1C ZINC001264138351 900971410 /nfs/dbraw/zinc/97/14/10/900971410.db2.gz UUWUAEYSMKHUFB-WWGRRREGSA-N 1 2 307.394 1.389 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](F)c1ccccc1 ZINC001264373656 901055947 /nfs/dbraw/zinc/05/59/47/901055947.db2.gz YEGONLJSNIBMFK-IAGOWNOFSA-N 1 2 318.392 1.880 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](F)c1ccccc1 ZINC001264373656 901055958 /nfs/dbraw/zinc/05/59/58/901055958.db2.gz YEGONLJSNIBMFK-IAGOWNOFSA-N 1 2 318.392 1.880 20 30 DDEDLO C[C@@H]1CCCN(C(=O)CCCn2cc[nH+]c2)[C@@H]1CCNCC#N ZINC001264520813 901167534 /nfs/dbraw/zinc/16/75/34/901167534.db2.gz PVZLCGBZJBUFRV-HZPDHXFCSA-N 1 2 317.437 1.794 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)CCOCCN(C)C(=O)[C@H](C)C#N)o1 ZINC001264663041 901257181 /nfs/dbraw/zinc/25/71/81/901257181.db2.gz WSOQWFSUOVHHQF-OCCSQVGLSA-N 1 2 322.409 1.611 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)CCOCCN(C)C(=O)[C@H](C)C#N)o1 ZINC001264663041 901257198 /nfs/dbraw/zinc/25/71/98/901257198.db2.gz WSOQWFSUOVHHQF-OCCSQVGLSA-N 1 2 322.409 1.611 20 30 DDEDLO CC#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)c1cc[nH]c1)C2 ZINC001264748266 901330424 /nfs/dbraw/zinc/33/04/24/901330424.db2.gz JGJWSEWBIUUBBH-UHFFFAOYSA-N 1 2 314.414 1.783 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@@H+](C)Cc2nonc2C)nc1 ZINC001265059901 901504929 /nfs/dbraw/zinc/50/49/29/901504929.db2.gz OIBXONVWJBMIRE-UHFFFAOYSA-N 1 2 313.361 1.006 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@H+](C)Cc2nonc2C)nc1 ZINC001265059901 901504937 /nfs/dbraw/zinc/50/49/37/901504937.db2.gz OIBXONVWJBMIRE-UHFFFAOYSA-N 1 2 313.361 1.006 20 30 DDEDLO C#CCC1(C(=O)NCCC[N@@H+](C)Cc2cnon2)CCCCC1 ZINC001265074615 901526794 /nfs/dbraw/zinc/52/67/94/901526794.db2.gz AZEVEVFLPHGHNL-UHFFFAOYSA-N 1 2 318.421 1.982 20 30 DDEDLO C#CCC1(C(=O)NCCC[N@H+](C)Cc2cnon2)CCCCC1 ZINC001265074615 901526806 /nfs/dbraw/zinc/52/68/06/901526806.db2.gz AZEVEVFLPHGHNL-UHFFFAOYSA-N 1 2 318.421 1.982 20 30 DDEDLO CC(C)c1ccc(C(=O)NCC[NH+]2CCN(CC#N)CC2)nc1 ZINC001265264474 901785962 /nfs/dbraw/zinc/78/59/62/901785962.db2.gz RBVAVTSBSZLLGK-UHFFFAOYSA-N 1 2 315.421 1.076 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)N(C)CC2CC2)C1 ZINC001265306789 901859556 /nfs/dbraw/zinc/85/95/56/901859556.db2.gz XGPORCWZEAYAMS-HNNXBMFYSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)N(C)CC2CC2)C1 ZINC001265306789 901859568 /nfs/dbraw/zinc/85/95/68/901859568.db2.gz XGPORCWZEAYAMS-HNNXBMFYSA-N 1 2 321.465 1.648 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2cc3c(s2)CCC3)C1 ZINC001265314461 901874491 /nfs/dbraw/zinc/87/44/91/901874491.db2.gz BRTUXAYMXLXQKZ-AWEZNQCLSA-N 1 2 318.442 1.691 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2cc3c(s2)CCC3)C1 ZINC001265314461 901874497 /nfs/dbraw/zinc/87/44/97/901874497.db2.gz BRTUXAYMXLXQKZ-AWEZNQCLSA-N 1 2 318.442 1.691 20 30 DDEDLO C=CCC[C@H](C(=O)N[C@@H]1CC[N@@H+](CC(N)=O)C1)c1ccccc1 ZINC001265321162 901884744 /nfs/dbraw/zinc/88/47/44/901884744.db2.gz GFJDXEJWXVDXJN-CVEARBPZSA-N 1 2 315.417 1.412 20 30 DDEDLO C=CCC[C@H](C(=O)N[C@@H]1CC[N@H+](CC(N)=O)C1)c1ccccc1 ZINC001265321162 901884753 /nfs/dbraw/zinc/88/47/53/901884753.db2.gz GFJDXEJWXVDXJN-CVEARBPZSA-N 1 2 315.417 1.412 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nn(C)cc2Cl)C1 ZINC001265443236 902023490 /nfs/dbraw/zinc/02/34/90/902023490.db2.gz UTCXHDWXOTZCAC-ZDUSSCGKSA-N 1 2 322.840 1.958 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@@H+]([C@@H](C)c2nnc(C)[nH]2)C1 ZINC001265577527 902149432 /nfs/dbraw/zinc/14/94/32/902149432.db2.gz JERSEMNVVGMBPL-GXTWGEPZSA-N 1 2 321.425 1.205 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@H+]([C@@H](C)c2nnc(C)[nH]2)C1 ZINC001265577527 902149442 /nfs/dbraw/zinc/14/94/42/902149442.db2.gz JERSEMNVVGMBPL-GXTWGEPZSA-N 1 2 321.425 1.205 20 30 DDEDLO C=CCOCC(=O)NCC1=CC[N@H+](Cc2ncc(CC)o2)CC1 ZINC001279519006 903295996 /nfs/dbraw/zinc/29/59/96/903295996.db2.gz AQABUZFSAWGWBO-UHFFFAOYSA-N 1 2 319.405 1.688 20 30 DDEDLO C=CCOCC(=O)NCC1=CC[N@@H+](Cc2ncc(CC)o2)CC1 ZINC001279519006 903296009 /nfs/dbraw/zinc/29/60/09/903296009.db2.gz AQABUZFSAWGWBO-UHFFFAOYSA-N 1 2 319.405 1.688 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cncnc1C ZINC001280396761 903630302 /nfs/dbraw/zinc/63/03/02/903630302.db2.gz KURVSAZGVMWKHN-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncnc1C ZINC001280396761 903630309 /nfs/dbraw/zinc/63/03/09/903630309.db2.gz KURVSAZGVMWKHN-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H](C)CC(F)(F)F ZINC001280411660 903647000 /nfs/dbraw/zinc/64/70/00/903647000.db2.gz CEAXMIHXIMCBOY-NEPJUHHUSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H](C)CC(F)(F)F ZINC001280411660 903647008 /nfs/dbraw/zinc/64/70/08/903647008.db2.gz CEAXMIHXIMCBOY-NEPJUHHUSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)CSC(C)C)C2)CC1 ZINC001280681087 903916521 /nfs/dbraw/zinc/91/65/21/903916521.db2.gz ZAESPTMAYZQSOU-HNNXBMFYSA-N 1 2 311.495 1.533 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001280730942 903964800 /nfs/dbraw/zinc/96/48/00/903964800.db2.gz PGTXJBGZLZOULO-OLZOCXBDSA-N 1 2 304.394 1.462 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCC[N@H+](Cc2nnnn2C)CC1 ZINC001280756850 903994940 /nfs/dbraw/zinc/99/49/40/903994940.db2.gz PBPDUUCPCYUBDR-KBPBESRZSA-N 1 2 320.441 1.283 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCC[N@@H+](Cc2nnnn2C)CC1 ZINC001280756850 903994949 /nfs/dbraw/zinc/99/49/49/903994949.db2.gz PBPDUUCPCYUBDR-KBPBESRZSA-N 1 2 320.441 1.283 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCC[N@@H+](C)[C@H](C)c1nnnn1C ZINC001280865433 904132739 /nfs/dbraw/zinc/13/27/39/904132739.db2.gz JMVODWNWOXHMIA-CYBMUJFWSA-N 1 2 322.457 1.654 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCC[N@H+](C)[C@H](C)c1nnnn1C ZINC001280865433 904132742 /nfs/dbraw/zinc/13/27/42/904132742.db2.gz JMVODWNWOXHMIA-CYBMUJFWSA-N 1 2 322.457 1.654 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1CCC=CCC1 ZINC001316607346 904180324 /nfs/dbraw/zinc/18/03/24/904180324.db2.gz AYTMXUGIHCKNAH-INIZCTEOSA-N 1 2 319.449 1.568 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1CCC=CCC1 ZINC001316607346 904180330 /nfs/dbraw/zinc/18/03/30/904180330.db2.gz AYTMXUGIHCKNAH-INIZCTEOSA-N 1 2 319.449 1.568 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1COC2(C[NH+](C[C@H](C)O)C2)C1 ZINC001280946361 904226669 /nfs/dbraw/zinc/22/66/69/904226669.db2.gz ZYSNMJZLAMVWGE-ZFWWWQNUSA-N 1 2 308.422 1.095 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1COC2(C[NH+]([C@@H](C)COC)C2)C1 ZINC001280969971 904255840 /nfs/dbraw/zinc/25/58/40/904255840.db2.gz VUWHMWOKJWSTLO-LSDHHAIUSA-N 1 2 308.422 1.174 20 30 DDEDLO C[C@H](CN(C)C(=O)C[C@@H](C)n1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001281005177 904310053 /nfs/dbraw/zinc/31/00/53/904310053.db2.gz UVXAUMDBYURIKW-ZIAGYGMSSA-N 1 2 316.405 1.211 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)CCc2c[nH+]cn2C)C[C@H]1C ZINC001281160065 904502407 /nfs/dbraw/zinc/50/24/07/904502407.db2.gz VIVYEDIPOPKVSR-ZIAGYGMSSA-N 1 2 318.421 1.425 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)C[C@@H]1CCCC(=O)N1 ZINC001392671600 904832985 /nfs/dbraw/zinc/83/29/85/904832985.db2.gz FIXNYAAITBFWAE-NEPJUHHUSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)C[C@@H]1CCCC(=O)N1 ZINC001392671600 904833003 /nfs/dbraw/zinc/83/30/03/904833003.db2.gz FIXNYAAITBFWAE-NEPJUHHUSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1C[C@@H]([NH2+][C@@H](C)c2noc(C)n2)C1 ZINC001316615872 905362516 /nfs/dbraw/zinc/36/25/16/905362516.db2.gz MTJQGNHTJMGZML-VFFTVRQLSA-N 1 2 322.409 1.251 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001282081976 905523621 /nfs/dbraw/zinc/52/36/21/905523621.db2.gz XTDTTWCHZRGRJW-BETUJISGSA-N 1 2 318.421 1.484 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@H](CC)CC(F)F)C1 ZINC001282518057 905845718 /nfs/dbraw/zinc/84/57/18/905845718.db2.gz IDARFGPVGVKRFT-GFCCVEGCSA-N 1 2 302.365 1.244 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCC2(C)C)C1 ZINC001282734370 905994453 /nfs/dbraw/zinc/99/44/53/905994453.db2.gz NPFGLJORDWBNBW-OCCSQVGLSA-N 1 2 307.438 1.161 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[N@@H+](Cc2cnnn2CC)CC1(C)C ZINC001282812622 906067296 /nfs/dbraw/zinc/06/72/96/906067296.db2.gz XVWWGUHPBMRCTJ-HNNXBMFYSA-N 1 2 319.453 1.981 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[N@H+](Cc2cnnn2CC)CC1(C)C ZINC001282812622 906067309 /nfs/dbraw/zinc/06/73/09/906067309.db2.gz XVWWGUHPBMRCTJ-HNNXBMFYSA-N 1 2 319.453 1.981 20 30 DDEDLO COc1nscc1C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H](C)C#N ZINC001377390135 921204536 /nfs/dbraw/zinc/20/45/36/921204536.db2.gz VOFDGVZGANQHMT-CMPLNLGQSA-N 1 2 308.407 1.392 20 30 DDEDLO COc1nscc1C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H](C)C#N ZINC001377390135 921204545 /nfs/dbraw/zinc/20/45/45/921204545.db2.gz VOFDGVZGANQHMT-CMPLNLGQSA-N 1 2 308.407 1.392 20 30 DDEDLO C[N@H+](CCNC(=O)[C@]12C[C@H]1COC2)Cc1ccc(C#N)cc1F ZINC001371973859 906138794 /nfs/dbraw/zinc/13/87/94/906138794.db2.gz KOJIYBKLOAOIQI-YOEHRIQHSA-N 1 2 317.364 1.282 20 30 DDEDLO C[N@@H+](CCNC(=O)[C@]12C[C@H]1COC2)Cc1ccc(C#N)cc1F ZINC001371973859 906138799 /nfs/dbraw/zinc/13/87/99/906138799.db2.gz KOJIYBKLOAOIQI-YOEHRIQHSA-N 1 2 317.364 1.282 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1ccc(Cn2ccnn2)o1 ZINC001372052513 906341267 /nfs/dbraw/zinc/34/12/67/906341267.db2.gz TWKHCEGQYURKEE-UHFFFAOYSA-N 1 2 323.784 1.334 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1ccc(Cn2ccnn2)o1 ZINC001372052513 906341278 /nfs/dbraw/zinc/34/12/78/906341278.db2.gz TWKHCEGQYURKEE-UHFFFAOYSA-N 1 2 323.784 1.334 20 30 DDEDLO CC(C)(C)C#CC(=O)NCCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001283003102 906446643 /nfs/dbraw/zinc/44/66/43/906446643.db2.gz RDVHNGPLMSHAEA-UHFFFAOYSA-N 1 2 312.373 1.458 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1COCC[N@@H+]1CCCC1CCOCC1 ZINC001393496820 907144189 /nfs/dbraw/zinc/14/41/89/907144189.db2.gz FZKORYDFKLJXBC-GDBMZVCRSA-N 1 2 323.437 1.170 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1COCC[N@H+]1CCCC1CCOCC1 ZINC001393496820 907144200 /nfs/dbraw/zinc/14/42/00/907144200.db2.gz FZKORYDFKLJXBC-GDBMZVCRSA-N 1 2 323.437 1.170 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001283400136 907288372 /nfs/dbraw/zinc/28/83/72/907288372.db2.gz JJBFTHZSQDKEPA-UKRRQHHQSA-N 1 2 318.421 1.614 20 30 DDEDLO CN(CCC[N@H+](C)Cc1nccn1C)C(=O)c1c[nH]c(C#N)c1 ZINC001393614150 907488064 /nfs/dbraw/zinc/48/80/64/907488064.db2.gz LBUAYNMGQXWXDP-UHFFFAOYSA-N 1 2 314.393 1.214 20 30 DDEDLO CN(CCC[N@@H+](C)Cc1nccn1C)C(=O)c1c[nH]c(C#N)c1 ZINC001393614150 907488080 /nfs/dbraw/zinc/48/80/80/907488080.db2.gz LBUAYNMGQXWXDP-UHFFFAOYSA-N 1 2 314.393 1.214 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@H+](Cc2nnc(C(C)(C)C)[nH]2)C1 ZINC001372595336 907720511 /nfs/dbraw/zinc/72/05/11/907720511.db2.gz NPBLSIFTQZUKCN-RYUDHWBXSA-N 1 2 318.425 1.200 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(C(C)(C)C)[nH]2)C1 ZINC001372595336 907720525 /nfs/dbraw/zinc/72/05/25/907720525.db2.gz NPBLSIFTQZUKCN-RYUDHWBXSA-N 1 2 318.425 1.200 20 30 DDEDLO C=C1CCC(C(=O)NCCCN(C)C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001283645349 907736387 /nfs/dbraw/zinc/73/63/87/907736387.db2.gz SANJRVHSUBOHGD-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO CCCc1nc(C[NH2+]CC2CC(NC(=O)[C@@H](C)C#N)C2)no1 ZINC001393891155 908173890 /nfs/dbraw/zinc/17/38/90/908173890.db2.gz HNCMLFPNHYJDCP-UNXYVOJBSA-N 1 2 305.382 1.166 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]([NH2+]Cc2nnc(CC)o2)C[C@H]1C ZINC001284003977 908296576 /nfs/dbraw/zinc/29/65/76/908296576.db2.gz NGSIBWVITHLBBM-KGLIPLIRSA-N 1 2 318.421 1.905 20 30 DDEDLO C#CCCCC(=O)N[C@@]12CCC[C@@H]1[N@H+](Cc1nonc1C)CC2 ZINC001284049904 908391291 /nfs/dbraw/zinc/39/12/91/908391291.db2.gz FUYJRBUOUMCSAJ-DOTOQJQBSA-N 1 2 316.405 1.795 20 30 DDEDLO C#CCCCC(=O)N[C@@]12CCC[C@@H]1[N@@H+](Cc1nonc1C)CC2 ZINC001284049904 908391306 /nfs/dbraw/zinc/39/13/06/908391306.db2.gz FUYJRBUOUMCSAJ-DOTOQJQBSA-N 1 2 316.405 1.795 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cnc(OC)nc1)C1CC1 ZINC001372883262 908494626 /nfs/dbraw/zinc/49/46/26/908494626.db2.gz DMRFIXBABZRLJD-UHFFFAOYSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cnc(OC)nc1)C1CC1 ZINC001372883262 908494633 /nfs/dbraw/zinc/49/46/33/908494633.db2.gz DMRFIXBABZRLJD-UHFFFAOYSA-N 1 2 310.785 1.432 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)CSCC#N)CCC[N@@H+]1Cc1ccnn1C ZINC001284117609 908500884 /nfs/dbraw/zinc/50/08/84/908500884.db2.gz GSGLXUKZCDMFCF-JSGCOSHPSA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)CSCC#N)CCC[N@H+]1Cc1ccnn1C ZINC001284117609 908500899 /nfs/dbraw/zinc/50/08/99/908500899.db2.gz GSGLXUKZCDMFCF-JSGCOSHPSA-N 1 2 321.450 1.146 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@H+](Cc2cnnn2C)[C@H]1C ZINC001284122798 908513212 /nfs/dbraw/zinc/51/32/12/908513212.db2.gz OTGKTXJNCPNNMU-GOEBONIOSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cnnn2C)[C@H]1C ZINC001284122798 908513219 /nfs/dbraw/zinc/51/32/19/908513219.db2.gz OTGKTXJNCPNNMU-GOEBONIOSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)(C)C(C)C)[C@@H]1C ZINC001284127868 908518493 /nfs/dbraw/zinc/51/84/93/908518493.db2.gz GGVUIMDEYCQMMO-LSDHHAIUSA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)C(C)C)[C@@H]1C ZINC001284127868 908518507 /nfs/dbraw/zinc/51/85/07/908518507.db2.gz GGVUIMDEYCQMMO-LSDHHAIUSA-N 1 2 321.465 1.387 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001284566024 909190934 /nfs/dbraw/zinc/19/09/34/909190934.db2.gz ULWLIQQRSXWYLK-CYBMUJFWSA-N 1 2 318.421 1.232 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)c(C)o1 ZINC001394280267 909246237 /nfs/dbraw/zinc/24/62/37/909246237.db2.gz CZNDYDJFRDYGFM-YGRLFVJLSA-N 1 2 304.394 1.778 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)c(C)o1 ZINC001394280267 909246251 /nfs/dbraw/zinc/24/62/51/909246251.db2.gz CZNDYDJFRDYGFM-YGRLFVJLSA-N 1 2 304.394 1.778 20 30 DDEDLO C=CCCC(=O)N(CC)CCNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001284623570 909269154 /nfs/dbraw/zinc/26/91/54/909269154.db2.gz FJNOHHAMMCGJEJ-AWEZNQCLSA-N 1 2 318.421 1.376 20 30 DDEDLO C=C1CCC(C(=O)NCCN(CC)C(=O)Cn2cc[nH+]c2)CC1 ZINC001284646642 909293372 /nfs/dbraw/zinc/29/33/72/909293372.db2.gz MKYSGUUVCHVSPA-UHFFFAOYSA-N 1 2 318.421 1.594 20 30 DDEDLO C#CCCCC(=O)N(C)C1CC([NH2+]Cc2noc(CC)n2)C1 ZINC001284759867 909453900 /nfs/dbraw/zinc/45/39/00/909453900.db2.gz FNTWYFMYIPIEFW-UHFFFAOYSA-N 1 2 304.394 1.515 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CN(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284825592 909534322 /nfs/dbraw/zinc/53/43/22/909534322.db2.gz FRVKPUIWZFSUEG-CQSZACIVSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CN(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284825592 909534338 /nfs/dbraw/zinc/53/43/38/909534338.db2.gz FRVKPUIWZFSUEG-CQSZACIVSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CCC(C)(C)C(=O)NC1CN(C(=O)CCc2c[nH+]cn2C)C1 ZINC001284905185 909699028 /nfs/dbraw/zinc/69/90/28/909699028.db2.gz FCLOQRHQJDSBTP-UHFFFAOYSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001284935070 909766530 /nfs/dbraw/zinc/76/65/30/909766530.db2.gz MZERYOCIHMGEOX-LBPRGKRZSA-N 1 2 318.421 1.617 20 30 DDEDLO C=CCCC(=O)NCC1CN(C(=O)Cc2c[nH+]ccc2OC)C1 ZINC001284953491 909806694 /nfs/dbraw/zinc/80/66/94/909806694.db2.gz AHTRUGZMRDJNPD-UHFFFAOYSA-N 1 2 317.389 1.174 20 30 DDEDLO CC[C@@H](CNC(=O)C#CC(C)C)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001285051921 910002798 /nfs/dbraw/zinc/00/27/98/910002798.db2.gz SUBUHWYVFUOEMS-CABCVRRESA-N 1 2 318.421 1.505 20 30 DDEDLO C=CCCC(=O)N[C@H](CC)CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001285069533 910028351 /nfs/dbraw/zinc/02/83/51/910028351.db2.gz FVVMNEJUQOUJSI-JHJVBQTASA-N 1 2 304.394 1.490 20 30 DDEDLO C=CCCC(=O)N[C@H](CC)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001285069533 910028363 /nfs/dbraw/zinc/02/83/63/910028363.db2.gz FVVMNEJUQOUJSI-JHJVBQTASA-N 1 2 304.394 1.490 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CCN(C)C(=O)Cc2c[nH+]c[nH]2)cc1 ZINC001285382413 910444789 /nfs/dbraw/zinc/44/47/89/910444789.db2.gz JIFYNKYSLPLIAL-UHFFFAOYSA-N 1 2 324.384 1.164 20 30 DDEDLO C=C1CC(C)(C(=O)NC/C=C\CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001285603842 910860388 /nfs/dbraw/zinc/86/03/88/910860388.db2.gz OARGNBJKHWCJMZ-PLNGDYQASA-N 1 2 316.405 1.406 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001285865605 911331141 /nfs/dbraw/zinc/33/11/41/911331141.db2.gz OOAGZQJZTPGJBI-UONOGXRCSA-N 1 2 318.421 1.764 20 30 DDEDLO C=CCCC(=O)N(C)C1CN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001285999259 911537827 /nfs/dbraw/zinc/53/78/27/911537827.db2.gz NNUOBBHDSQOFJC-GFCCVEGCSA-N 1 2 304.394 1.224 20 30 DDEDLO C=CCCC(=O)N(C)C1CN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001285999259 911537842 /nfs/dbraw/zinc/53/78/42/911537842.db2.gz NNUOBBHDSQOFJC-GFCCVEGCSA-N 1 2 304.394 1.224 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CCCNC(=O)Cn2cc[nH+]c2)cc1 ZINC001294168241 914956576 /nfs/dbraw/zinc/95/65/76/914956576.db2.gz LAFMXROKVOXAQA-UHFFFAOYSA-N 1 2 324.384 1.143 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001295054275 915533043 /nfs/dbraw/zinc/53/30/43/915533043.db2.gz OQYRBVTXFSDHGV-ZDUSSCGKSA-N 1 2 304.394 1.036 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001295751761 916037986 /nfs/dbraw/zinc/03/79/86/916037986.db2.gz KHJISYZQPMRUPZ-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001295751761 916038010 /nfs/dbraw/zinc/03/80/10/916038010.db2.gz KHJISYZQPMRUPZ-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO C[C@H](CNC(=O)c1cc(C#N)c[nH]1)[NH2+]Cc1nc(C(F)F)no1 ZINC001376702683 919101579 /nfs/dbraw/zinc/10/15/79/919101579.db2.gz KONYUCVPXXBVNM-SSDOTTSWSA-N 1 2 324.291 1.115 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)o1 ZINC001377325439 921051566 /nfs/dbraw/zinc/05/15/66/921051566.db2.gz VJYYHGFWAIDXCA-PWSUYJOCSA-N 1 2 305.382 1.254 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)o1 ZINC001377325439 921051585 /nfs/dbraw/zinc/05/15/85/921051585.db2.gz VJYYHGFWAIDXCA-PWSUYJOCSA-N 1 2 305.382 1.254 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCOCC3(CCCC3)C2)cc1 ZINC000614222857 361759767 /nfs/dbraw/zinc/75/97/67/361759767.db2.gz KLFYGOCNFYPDAK-MRXNPFEDSA-N 1 2 313.401 1.977 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCOCC3(CCCC3)C2)cc1 ZINC000614222857 361759769 /nfs/dbraw/zinc/75/97/69/361759769.db2.gz KLFYGOCNFYPDAK-MRXNPFEDSA-N 1 2 313.401 1.977 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000278701309 214337810 /nfs/dbraw/zinc/33/78/10/214337810.db2.gz IJPMTYQHSYIBBB-SQWLQELKSA-N 1 2 301.390 1.758 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CC[C@@](O)(C(F)F)C1 ZINC000451440390 231095709 /nfs/dbraw/zinc/09/57/09/231095709.db2.gz PNTNTPIEIHVSII-BMIGLBTASA-N 1 2 309.316 1.587 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CC[C@@](O)(C(F)F)C1 ZINC000451440390 231095712 /nfs/dbraw/zinc/09/57/12/231095712.db2.gz PNTNTPIEIHVSII-BMIGLBTASA-N 1 2 309.316 1.587 20 30 DDEDLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)N[C@H]1[C@@H]2COC[C@@H]21 ZINC000330279247 529590865 /nfs/dbraw/zinc/59/08/65/529590865.db2.gz QSTNCHNMCFTMAR-RFQIPJPRSA-N 1 2 304.394 1.989 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@](OC)(C(F)(F)F)C1 ZINC000614922237 362077988 /nfs/dbraw/zinc/07/79/88/362077988.db2.gz OCYZAPGJIKDCNR-CYBMUJFWSA-N 1 2 321.343 1.792 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@](OC)(C(F)(F)F)C1 ZINC000614922237 362077991 /nfs/dbraw/zinc/07/79/91/362077991.db2.gz OCYZAPGJIKDCNR-CYBMUJFWSA-N 1 2 321.343 1.792 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+]1CC(=O)N1CCC(c2ccccc2)=N1 ZINC000328984788 539300228 /nfs/dbraw/zinc/30/02/28/539300228.db2.gz GHNJLTTWZHVDNA-HNNXBMFYSA-N 1 2 314.389 1.674 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+]1CC(=O)N1CCC(c2ccccc2)=N1 ZINC000328984788 539300230 /nfs/dbraw/zinc/30/02/30/539300230.db2.gz GHNJLTTWZHVDNA-HNNXBMFYSA-N 1 2 314.389 1.674 20 30 DDEDLO O=C(N[C@H]1CCN(c2cccc[nH+]2)C1)N1CCn2ccnc2C1 ZINC000328745836 539298799 /nfs/dbraw/zinc/29/87/99/539298799.db2.gz PLUNSGIBBHCFEX-ZDUSSCGKSA-N 1 2 312.377 1.287 20 30 DDEDLO C[C@@H](CNC(=O)Nc1cccc2c1OCO2)[NH+]1CCOCC1 ZINC000329293050 539302766 /nfs/dbraw/zinc/30/27/66/539302766.db2.gz BBYQOJODDWSURC-NSHDSACASA-N 1 2 307.350 1.462 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)CNc2ccc(C#N)cc2)C1 ZINC000329432791 539304527 /nfs/dbraw/zinc/30/45/27/539304527.db2.gz IFBXECLFRHMCBM-OAHLLOKOSA-N 1 2 301.394 1.172 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)CNc2ccc(C#N)cc2)C1 ZINC000329432791 539304528 /nfs/dbraw/zinc/30/45/28/539304528.db2.gz IFBXECLFRHMCBM-OAHLLOKOSA-N 1 2 301.394 1.172 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)C1 ZINC000329734938 529787976 /nfs/dbraw/zinc/78/79/76/529787976.db2.gz RSUTWMIINAYWQU-ILXRZTDVSA-N 1 2 323.437 1.265 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)C1 ZINC000329734938 529787977 /nfs/dbraw/zinc/78/79/77/529787977.db2.gz RSUTWMIINAYWQU-ILXRZTDVSA-N 1 2 323.437 1.265 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)N[C@@H]1CCOC2(CCC2)C1 ZINC000330221195 529910367 /nfs/dbraw/zinc/91/03/67/529910367.db2.gz LKUWIQKMJURCFO-CQSZACIVSA-N 1 2 311.426 1.266 20 30 DDEDLO CN(CC[NH+]1CCOCC1)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459335259 529911759 /nfs/dbraw/zinc/91/17/59/529911759.db2.gz HUKDDBHUWGUDSL-UHFFFAOYSA-N 1 2 304.350 1.230 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CNc3ccc(-n4cncn4)cn3)n2c1 ZINC000616487214 362721247 /nfs/dbraw/zinc/72/12/47/362721247.db2.gz DANGKVGNQRYTHP-UHFFFAOYSA-N 1 2 316.328 1.794 20 30 DDEDLO CS(=O)(=O)NC1CC[NH+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000616746293 362804146 /nfs/dbraw/zinc/80/41/46/362804146.db2.gz BCPMHTNXCVGCHL-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO C=CCOc1ccccc1C(=O)N1CC[NH+](CCOC)CC1 ZINC000045056419 352400832 /nfs/dbraw/zinc/40/08/32/352400832.db2.gz CZMBOFBKAQJTCI-UHFFFAOYSA-N 1 2 304.390 1.656 20 30 DDEDLO Cc1nn(CCC#N)c(C)c1CCC(=O)NCCn1cc[nH+]c1 ZINC000047442289 352496132 /nfs/dbraw/zinc/49/61/32/352496132.db2.gz XULWSFJORMQTBO-UHFFFAOYSA-N 1 2 314.393 1.359 20 30 DDEDLO CN(C)c1ccc(CNC(=O)CNc2ccc(C#N)cc2)c[nH+]1 ZINC000050029311 352560877 /nfs/dbraw/zinc/56/08/77/352560877.db2.gz QSZYOFJGAHQBTJ-UHFFFAOYSA-N 1 2 309.373 1.748 20 30 DDEDLO CCOC(=O)N1CC[NH+](CCOc2ccccc2C#N)CC1 ZINC000059930965 352863716 /nfs/dbraw/zinc/86/37/16/352863716.db2.gz UCLSIQRWMMTOBN-UHFFFAOYSA-N 1 2 303.362 1.711 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)NCc2ccccc2)cs1 ZINC000063456904 352911942 /nfs/dbraw/zinc/91/19/42/352911942.db2.gz XTWKLOZUEDHZBW-UHFFFAOYSA-N 1 2 318.402 1.599 20 30 DDEDLO COC(=O)c1c(C)c(C(C)=O)[nH]c1C=C(O)NC1=[NH+]CCS1 ZINC000061470115 352887448 /nfs/dbraw/zinc/88/74/48/352887448.db2.gz ZJTPXNLOHUHLTD-UHFFFAOYSA-N 1 2 323.374 1.074 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CCC(=O)c2cccs2)CC1 ZINC000064443411 352937252 /nfs/dbraw/zinc/93/72/52/352937252.db2.gz LHDHWFDOVKULEV-UHFFFAOYSA-N 1 2 304.415 1.879 20 30 DDEDLO C#CC[NH+](CC#C)Cc1cc(=O)n2c3ccccc3[nH]c2c1C#N ZINC000072906674 353225784 /nfs/dbraw/zinc/22/57/84/353225784.db2.gz ZDSGPHQHOUDMNG-HNNXBMFYSA-N 1 2 314.348 1.792 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)cc1 ZINC000075506557 353365836 /nfs/dbraw/zinc/36/58/36/353365836.db2.gz RBSVTMHSDIEQRL-INIZCTEOSA-N 1 2 314.389 1.887 20 30 DDEDLO CC(C)C[N@H+](CC(N)=O)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000075624226 353372338 /nfs/dbraw/zinc/37/23/38/353372338.db2.gz OWFMFLHENNYBJN-UHFFFAOYSA-N 1 2 313.361 1.552 20 30 DDEDLO CC(C)C[N@@H+](CC(N)=O)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000075624226 353372341 /nfs/dbraw/zinc/37/23/41/353372341.db2.gz OWFMFLHENNYBJN-UHFFFAOYSA-N 1 2 313.361 1.552 20 30 DDEDLO C=C(Cl)CNC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000077790050 353489824 /nfs/dbraw/zinc/48/98/24/353489824.db2.gz ISJMVMOBUFYNNE-UHFFFAOYSA-N 1 2 310.785 1.470 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCOc2ccccc2C1 ZINC000077234073 353459734 /nfs/dbraw/zinc/45/97/34/353459734.db2.gz ZSUFKZACCJBWMK-CQSZACIVSA-N 1 2 301.390 1.889 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCOc2ccccc2C1 ZINC000077234073 353459736 /nfs/dbraw/zinc/45/97/36/353459736.db2.gz ZSUFKZACCJBWMK-CQSZACIVSA-N 1 2 301.390 1.889 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@H](O)C1CC1 ZINC000079768262 353576553 /nfs/dbraw/zinc/57/65/53/353576553.db2.gz CRXCTEYKQIHURU-HNNXBMFYSA-N 1 2 308.403 1.035 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@H](O)C1CC1 ZINC000079768262 353576556 /nfs/dbraw/zinc/57/65/56/353576556.db2.gz CRXCTEYKQIHURU-HNNXBMFYSA-N 1 2 308.403 1.035 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)Nc2n[nH]c(-c3c(F)cccc3F)n2)C1 ZINC000085541705 353735616 /nfs/dbraw/zinc/73/56/16/353735616.db2.gz RLGBHFRFFZYPDM-SNVBAGLBSA-N 1 2 323.303 1.669 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)Nc2n[nH]c(-c3c(F)cccc3F)n2)C1 ZINC000085541705 353735618 /nfs/dbraw/zinc/73/56/18/353735618.db2.gz RLGBHFRFFZYPDM-SNVBAGLBSA-N 1 2 323.303 1.669 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@@H]([C@H](C)O)C1 ZINC000089928959 353783975 /nfs/dbraw/zinc/78/39/75/353783975.db2.gz UEKGKDMOFNLHLA-MAZHCROVSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCC[C@@H]([C@H](C)O)C1 ZINC000089928959 353783977 /nfs/dbraw/zinc/78/39/77/353783977.db2.gz UEKGKDMOFNLHLA-MAZHCROVSA-N 1 2 302.374 1.865 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[NH+]([C@H](C)C(=O)NC3CC3)CC2)n1 ZINC000127628706 354066561 /nfs/dbraw/zinc/06/65/61/354066561.db2.gz BKUWAIPTJHMLHJ-CYBMUJFWSA-N 1 2 313.405 1.051 20 30 DDEDLO N#Cc1cccc(C2([NH2+]Cc3nnnn3C3CCOCC3)CC2)c1 ZINC000617889518 363359202 /nfs/dbraw/zinc/35/92/02/363359202.db2.gz WUXTZTMKUCXUPN-UHFFFAOYSA-N 1 2 324.388 1.675 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000182331519 354271792 /nfs/dbraw/zinc/27/17/92/354271792.db2.gz PEXREEOEERYWHO-QWHCGFSZSA-N 1 2 322.434 1.580 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC(F)(F)[C@H](CO)C2)CCCCC1 ZINC000361408502 354622405 /nfs/dbraw/zinc/62/24/05/354622405.db2.gz OADWQPKWRNAKHO-LBPRGKRZSA-N 1 2 315.364 1.279 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC(F)(F)[C@H](CO)C2)CCCCC1 ZINC000361408502 354622408 /nfs/dbraw/zinc/62/24/08/354622408.db2.gz OADWQPKWRNAKHO-LBPRGKRZSA-N 1 2 315.364 1.279 20 30 DDEDLO Cc1cccc([C@H](CNc2ccnc(C#N)n2)[NH+]2CCOCC2)c1 ZINC000584750979 354757775 /nfs/dbraw/zinc/75/77/75/354757775.db2.gz QKTGZLASSMSKON-INIZCTEOSA-N 1 2 323.400 1.564 20 30 DDEDLO Cc1cc(C#N)cc(N2CC[NH+]([C@@H](C)C(=O)NC3CC3)CC2)n1 ZINC000587084185 354880261 /nfs/dbraw/zinc/88/02/61/354880261.db2.gz SCYVEDUIZZXDLF-ZDUSSCGKSA-N 1 2 313.405 1.051 20 30 DDEDLO CN(Cc1[nH+]ccn1CC(F)(F)F)C(=O)c1cccc(C#N)n1 ZINC000589381167 354987441 /nfs/dbraw/zinc/98/74/41/354987441.db2.gz GVNGXQJZHJIGNC-UHFFFAOYSA-N 1 2 323.278 1.984 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnc2ccc(F)cc2c1 ZINC000589827861 355022453 /nfs/dbraw/zinc/02/24/53/355022453.db2.gz TVAXCXOUTYLCFR-MRXNPFEDSA-N 1 2 300.337 1.948 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2C[C@@H]3C[C@H]2CS3)c1 ZINC000590972689 355226954 /nfs/dbraw/zinc/22/69/54/355226954.db2.gz FLHXDHLSFDHUTO-STQMWFEESA-N 1 2 308.428 1.522 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2C[C@@H]3C[C@H]2CS3)c1 ZINC000590972689 355226959 /nfs/dbraw/zinc/22/69/59/355226959.db2.gz FLHXDHLSFDHUTO-STQMWFEESA-N 1 2 308.428 1.522 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000592131445 355508039 /nfs/dbraw/zinc/50/80/39/355508039.db2.gz YEBGRGDZPRTCIF-OLZOCXBDSA-N 1 2 314.380 1.020 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000592131445 355508042 /nfs/dbraw/zinc/50/80/42/355508042.db2.gz YEBGRGDZPRTCIF-OLZOCXBDSA-N 1 2 314.380 1.020 20 30 DDEDLO Cc1cc(C)cc(NC(=O)[C@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592145901 355510913 /nfs/dbraw/zinc/51/09/13/355510913.db2.gz AXQIYRZEPMWKPA-YOEHRIQHSA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1cc(C)cc(NC(=O)[C@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592145901 355510916 /nfs/dbraw/zinc/51/09/16/355510916.db2.gz AXQIYRZEPMWKPA-YOEHRIQHSA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1cccc(C)c1NC(=O)[C@@H](C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592146799 355512822 /nfs/dbraw/zinc/51/28/22/355512822.db2.gz KNXSOCPRXSDLRW-RHSMWYFYSA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1cccc(C)c1NC(=O)[C@@H](C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592146799 355512824 /nfs/dbraw/zinc/51/28/24/355512824.db2.gz KNXSOCPRXSDLRW-RHSMWYFYSA-N 1 2 301.390 1.981 20 30 DDEDLO CCOc1ccc(NC(=O)[C@@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592149274 355516060 /nfs/dbraw/zinc/51/60/60/355516060.db2.gz LYKFSYAWMAOIAP-CXAGYDPISA-N 1 2 317.389 1.763 20 30 DDEDLO CCOc1ccc(NC(=O)[C@@H](C)[N@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592149274 355516063 /nfs/dbraw/zinc/51/60/63/355516063.db2.gz LYKFSYAWMAOIAP-CXAGYDPISA-N 1 2 317.389 1.763 20 30 DDEDLO N#Cc1cccc2c1CN(C(=O)N[C@@H]1CCc3[nH+]c[nH]c3C1)C2 ZINC000593408843 355876601 /nfs/dbraw/zinc/87/66/01/355876601.db2.gz XDURACASNPBULQ-CYBMUJFWSA-N 1 2 307.357 1.864 20 30 DDEDLO N#Cc1cccc2c1CN(C(=O)N[C@@H]1CCc3[nH]c[nH+]c3C1)C2 ZINC000593408843 355876604 /nfs/dbraw/zinc/87/66/04/355876604.db2.gz XDURACASNPBULQ-CYBMUJFWSA-N 1 2 307.357 1.864 20 30 DDEDLO CC[C@H](C#N)C(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000593394351 355872124 /nfs/dbraw/zinc/87/21/24/355872124.db2.gz NQZSTBQHJMXOPB-CQSZACIVSA-N 1 2 311.389 1.528 20 30 DDEDLO CC[NH+]1CCN(S(=O)(=O)c2ccc(C(C)(C)C#N)cc2)CC1 ZINC000593523039 355913318 /nfs/dbraw/zinc/91/33/18/355913318.db2.gz JPFCIZLDZSNCLE-UHFFFAOYSA-N 1 2 321.446 1.814 20 30 DDEDLO C[C@@H](CNC(=O)c1cscc1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594099862 356113275 /nfs/dbraw/zinc/11/32/75/356113275.db2.gz WDANTXVUKQFYJS-SDDRHHMPSA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@@H](CNC(=O)c1cscc1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594099862 356113280 /nfs/dbraw/zinc/11/32/80/356113280.db2.gz WDANTXVUKQFYJS-SDDRHHMPSA-N 1 2 307.419 1.847 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2ccc(OCC(F)(F)F)nn2)C1 ZINC000594016402 356088068 /nfs/dbraw/zinc/08/80/68/356088068.db2.gz VWSZQTRLBBEHDR-GFCCVEGCSA-N 1 2 316.283 1.268 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2ccc(OCC(F)(F)F)nn2)C1 ZINC000594016402 356088071 /nfs/dbraw/zinc/08/80/71/356088071.db2.gz VWSZQTRLBBEHDR-GFCCVEGCSA-N 1 2 316.283 1.268 20 30 DDEDLO COCC[N@@H+](Cc1ccnc(C#N)c1)C[C@@H](O)C(F)(F)F ZINC000594018109 356089112 /nfs/dbraw/zinc/08/91/12/356089112.db2.gz RTWFQDOIDGNQLP-GFCCVEGCSA-N 1 2 303.284 1.325 20 30 DDEDLO COCC[N@H+](Cc1ccnc(C#N)c1)C[C@@H](O)C(F)(F)F ZINC000594018109 356089115 /nfs/dbraw/zinc/08/91/15/356089115.db2.gz RTWFQDOIDGNQLP-GFCCVEGCSA-N 1 2 303.284 1.325 20 30 DDEDLO C[C@H](CNc1ncc(C#N)cc1[N+](=O)[O-])[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594592592 356258499 /nfs/dbraw/zinc/25/84/99/356258499.db2.gz SKOBHARTLXUXJG-UTUOFQBUSA-N 1 2 319.365 1.771 20 30 DDEDLO C[C@H](CNc1ncc(C#N)cc1[N+](=O)[O-])[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594592592 356258500 /nfs/dbraw/zinc/25/85/00/356258500.db2.gz SKOBHARTLXUXJG-UTUOFQBUSA-N 1 2 319.365 1.771 20 30 DDEDLO N#CCc1cccc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)c1 ZINC000080943228 192204184 /nfs/dbraw/zinc/20/41/84/192204184.db2.gz YQIAMQYTEKRWLW-KRWDZBQOSA-N 1 2 313.401 1.689 20 30 DDEDLO C[C@H](NC(=O)N1CCC[C@H](CC#N)C1)[C@H](C)[NH+]1CCOCC1 ZINC000595514749 356526816 /nfs/dbraw/zinc/52/68/16/356526816.db2.gz FEONNGLEIDTOIX-SOUVJXGZSA-N 1 2 308.426 1.431 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CC[C@H]([NH+]3CCOCC3)C2)cc1 ZINC000173773810 283175787 /nfs/dbraw/zinc/17/57/87/283175787.db2.gz FBYINTOSWDFOMN-HNNXBMFYSA-N 1 2 300.362 1.497 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@@H](C)[N@@H+]1CCC[C@H](CC#N)C1 ZINC000595611590 356577125 /nfs/dbraw/zinc/57/71/25/356577125.db2.gz LQCKUZWIIUIHAY-ZIAGYGMSSA-N 1 2 303.410 1.990 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@@H](C)[N@H+]1CCC[C@H](CC#N)C1 ZINC000595611590 356577128 /nfs/dbraw/zinc/57/71/28/356577128.db2.gz LQCKUZWIIUIHAY-ZIAGYGMSSA-N 1 2 303.410 1.990 20 30 DDEDLO COc1nccc(C[NH+]2CCN(c3ccsc3C#N)CC2)n1 ZINC000595630344 356584611 /nfs/dbraw/zinc/58/46/11/356584611.db2.gz UWONIFMCLUEIEK-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO C[C@@H]1C[N@H+](CCC2(C#N)CCCCC2)CCN1S(C)(=O)=O ZINC000595751512 356636267 /nfs/dbraw/zinc/63/62/67/356636267.db2.gz DIUWROSHGYXXPG-CQSZACIVSA-N 1 2 313.467 1.816 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC2(C#N)CCCCC2)CCN1S(C)(=O)=O ZINC000595751512 356636272 /nfs/dbraw/zinc/63/62/72/356636272.db2.gz DIUWROSHGYXXPG-CQSZACIVSA-N 1 2 313.467 1.816 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CC(=O)N[C@@H]4CCCC[C@H]43)n2c1 ZINC000245567261 283201265 /nfs/dbraw/zinc/20/12/65/283201265.db2.gz UHTFNESLVAADJM-HUUCEWRRSA-N 1 2 309.373 1.449 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+][C@@H](CO)c1ccc(F)cc1F ZINC000595868080 356685141 /nfs/dbraw/zinc/68/51/41/356685141.db2.gz RQYAHWDURTVNGT-AWEZNQCLSA-N 1 2 311.332 1.350 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)c1nc2ncccc2cc1C#N ZINC000596215516 356817705 /nfs/dbraw/zinc/81/77/05/356817705.db2.gz VJXFRWXBGBNFFY-ZDUSSCGKSA-N 1 2 311.389 1.658 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@H+]1C[C@@H](C)OC[C@H]1C ZINC000596716910 356959629 /nfs/dbraw/zinc/95/96/29/356959629.db2.gz COUPXZDMRQUEJC-ZIAGYGMSSA-N 1 2 315.483 1.704 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@H]1C ZINC000596716910 356959631 /nfs/dbraw/zinc/95/96/31/356959631.db2.gz COUPXZDMRQUEJC-ZIAGYGMSSA-N 1 2 315.483 1.704 20 30 DDEDLO N#CCCN(Cc1ccccn1)C(=O)[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000597148173 357072765 /nfs/dbraw/zinc/07/27/65/357072765.db2.gz SEZBETSCHMJXTE-CYBMUJFWSA-N 1 2 309.373 1.852 20 30 DDEDLO N#CCCN(Cc1ccccn1)C(=O)[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000597148173 357072770 /nfs/dbraw/zinc/07/27/70/357072770.db2.gz SEZBETSCHMJXTE-CYBMUJFWSA-N 1 2 309.373 1.852 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)CC1(C#N)CCOCC1 ZINC000597647198 357268160 /nfs/dbraw/zinc/26/81/60/357268160.db2.gz KRIAOCGBJUGHSB-HUUCEWRRSA-N 1 2 321.421 1.018 20 30 DDEDLO C[N@@H+]1CCc2nc(NC(=O)CC3(C#N)CCOCC3)sc2C1 ZINC000598613413 357653759 /nfs/dbraw/zinc/65/37/59/357653759.db2.gz XGCWJVZWXMMUKZ-UHFFFAOYSA-N 1 2 320.418 1.780 20 30 DDEDLO C[N@H+]1CCc2nc(NC(=O)CC3(C#N)CCOCC3)sc2C1 ZINC000598613413 357653761 /nfs/dbraw/zinc/65/37/61/357653761.db2.gz XGCWJVZWXMMUKZ-UHFFFAOYSA-N 1 2 320.418 1.780 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC1 ZINC000598693791 357690678 /nfs/dbraw/zinc/69/06/78/357690678.db2.gz FEJGUCJRXUAXDW-CYBMUJFWSA-N 1 2 303.410 1.380 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)NCc1ccc(C#N)c(Cl)c1 ZINC000599054147 357804237 /nfs/dbraw/zinc/80/42/37/357804237.db2.gz JUMRWCRQHWLWSI-CYBMUJFWSA-N 1 2 322.796 1.341 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)NCc1ccc(C#N)c(Cl)c1 ZINC000599054147 357804239 /nfs/dbraw/zinc/80/42/39/357804239.db2.gz JUMRWCRQHWLWSI-CYBMUJFWSA-N 1 2 322.796 1.341 20 30 DDEDLO N#Cc1cnc(NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)cn1 ZINC000599184090 357842664 /nfs/dbraw/zinc/84/26/64/357842664.db2.gz YEBKMZQKWJOUSL-OAHLLOKOSA-N 1 2 310.361 1.056 20 30 DDEDLO N#Cc1cnc(NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)cn1 ZINC000599184090 357842668 /nfs/dbraw/zinc/84/26/68/357842668.db2.gz YEBKMZQKWJOUSL-OAHLLOKOSA-N 1 2 310.361 1.056 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@@H+]1CCO[C@@H](CCF)C1 ZINC000599634384 357999860 /nfs/dbraw/zinc/99/98/60/357999860.db2.gz JOZAUUNZVYGWPT-CABCVRRESA-N 1 2 308.353 1.358 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@H+]1CCO[C@@H](CCF)C1 ZINC000599634384 357999862 /nfs/dbraw/zinc/99/98/62/357999862.db2.gz JOZAUUNZVYGWPT-CABCVRRESA-N 1 2 308.353 1.358 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2cn(-c3ccc(F)cc3)nn2)C1 ZINC000600166915 358144144 /nfs/dbraw/zinc/14/41/44/358144144.db2.gz JTHFHSFYQUJQOK-OAHLLOKOSA-N 1 2 301.325 1.257 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2cn(-c3ccc(F)cc3)nn2)C1 ZINC000600166915 358144147 /nfs/dbraw/zinc/14/41/47/358144147.db2.gz JTHFHSFYQUJQOK-OAHLLOKOSA-N 1 2 301.325 1.257 20 30 DDEDLO CC[N@@H+]1CCOC[C@@H]1C(=O)N1CCc2c(CC#N)cccc2C1 ZINC000600783167 358313733 /nfs/dbraw/zinc/31/37/33/358313733.db2.gz DHPRMIIDMPGUIY-QGZVFWFLSA-N 1 2 313.401 1.358 20 30 DDEDLO CC[N@H+]1CCOC[C@@H]1C(=O)N1CCc2c(CC#N)cccc2C1 ZINC000600783167 358313734 /nfs/dbraw/zinc/31/37/34/358313734.db2.gz DHPRMIIDMPGUIY-QGZVFWFLSA-N 1 2 313.401 1.358 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)CC2(CC2)C1 ZINC000600946600 358352099 /nfs/dbraw/zinc/35/20/99/358352099.db2.gz VDFNCBJXWYBALJ-CQSZACIVSA-N 1 2 303.406 1.394 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)c2ccccc2CC#N)CCO1 ZINC000601028774 358386902 /nfs/dbraw/zinc/38/69/02/358386902.db2.gz AFUUQQWLQLMHHK-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)c2ccccc2CC#N)CCO1 ZINC000601028774 358386903 /nfs/dbraw/zinc/38/69/03/358386903.db2.gz AFUUQQWLQLMHHK-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO Cc1cc(C#N)cc(N(CC[NH+]2CCOCC2)CC2CC2)n1 ZINC000601195731 358442906 /nfs/dbraw/zinc/44/29/06/358442906.db2.gz BADZNQRIGPUXSD-UHFFFAOYSA-N 1 2 300.406 1.810 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1cc(C#N)nc(C2CC2)n1 ZINC000601165236 358429272 /nfs/dbraw/zinc/42/92/72/358429272.db2.gz LKWVGVANMONYSS-DOMZBBRYSA-N 1 2 313.405 1.525 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1cc(C#N)nc(C2CC2)n1 ZINC000601165237 358429351 /nfs/dbraw/zinc/42/93/51/358429351.db2.gz LKWVGVANMONYSS-IUODEOHRSA-N 1 2 313.405 1.525 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601978109 358763607 /nfs/dbraw/zinc/76/36/07/358763607.db2.gz XLKNOVYQSAZRLC-JMSVASOKSA-N 1 2 317.380 1.785 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601978109 358763608 /nfs/dbraw/zinc/76/36/08/358763608.db2.gz XLKNOVYQSAZRLC-JMSVASOKSA-N 1 2 317.380 1.785 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)C1 ZINC000602142394 358841999 /nfs/dbraw/zinc/84/19/99/358841999.db2.gz PSUBROVOBIMGRC-KOLCDFICSA-N 1 2 304.247 1.841 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)C1 ZINC000602142398 358842112 /nfs/dbraw/zinc/84/21/12/358842112.db2.gz PSUBROVOBIMGRC-MWLCHTKSSA-N 1 2 304.247 1.841 20 30 DDEDLO CCc1c[nH]c(CC(=O)NCc2cc(F)c(C#N)c(F)c2)[nH+]1 ZINC000602147900 358846147 /nfs/dbraw/zinc/84/61/47/358846147.db2.gz DIFVKOCEVYLAHC-UHFFFAOYSA-N 1 2 304.300 1.981 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)c1ccn2cc(C)[nH+]c2c1)C(=O)OC ZINC000602197989 358878549 /nfs/dbraw/zinc/87/85/49/358878549.db2.gz XDGLHOQUAJVBCD-CYBMUJFWSA-N 1 2 301.346 1.880 20 30 DDEDLO CC[N@@H+](Cc1ccc(S(N)(=O)=O)c(Cl)c1)C[C@@H](C)C#N ZINC000602447669 358983935 /nfs/dbraw/zinc/98/39/35/358983935.db2.gz OERSGRNBNQFGEQ-JTQLQIEISA-N 1 2 315.826 1.969 20 30 DDEDLO CC[N@H+](Cc1ccc(S(N)(=O)=O)c(Cl)c1)C[C@@H](C)C#N ZINC000602447669 358983936 /nfs/dbraw/zinc/98/39/36/358983936.db2.gz OERSGRNBNQFGEQ-JTQLQIEISA-N 1 2 315.826 1.969 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](Cc2cccc(F)c2N)CC1 ZINC000602670681 359115143 /nfs/dbraw/zinc/11/51/43/359115143.db2.gz BXJACJVXVTWPIO-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](Cc2ccc(S(N)(=O)=O)cc2F)C1 ZINC000602709456 359139606 /nfs/dbraw/zinc/13/96/06/359139606.db2.gz UDAAIJUOZJCZAU-NSHDSACASA-N 1 2 311.382 1.599 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](Cc2ccc(S(N)(=O)=O)cc2F)C1 ZINC000602709456 359139610 /nfs/dbraw/zinc/13/96/10/359139610.db2.gz UDAAIJUOZJCZAU-NSHDSACASA-N 1 2 311.382 1.599 20 30 DDEDLO C=C(C)C[C@@H]([NH2+]Cc1ccc(-n2cncn2)cc1)C(=O)OC ZINC000602735307 359157439 /nfs/dbraw/zinc/15/74/39/359157439.db2.gz URQVVKZVTHCIEE-OAHLLOKOSA-N 1 2 300.362 1.865 20 30 DDEDLO COc1cc(OC)c(C[NH+]2CCN(CCC#N)CC2)c(OC)c1 ZINC000602752196 359170371 /nfs/dbraw/zinc/17/03/71/359170371.db2.gz VIEWEMUFOIPJGX-UHFFFAOYSA-N 1 2 319.405 1.744 20 30 DDEDLO COC(=O)[C@H]([NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1)C1(OC)CCC1 ZINC000602847685 359235111 /nfs/dbraw/zinc/23/51/11/359235111.db2.gz CBGHBWCCLSWYAT-IHRRRGAJSA-N 1 2 309.410 1.015 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N(C)CC2CCCCC2)C[C@H](C)N1CC#N ZINC000602859242 359244584 /nfs/dbraw/zinc/24/45/84/359244584.db2.gz XQKVAQHYDUZCIU-IYBDPMFKSA-N 1 2 320.481 1.943 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N(C)CC2CCCCC2)C[C@H](C)N1CC#N ZINC000602859242 359244586 /nfs/dbraw/zinc/24/45/86/359244586.db2.gz XQKVAQHYDUZCIU-IYBDPMFKSA-N 1 2 320.481 1.943 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(Cc2nc[nH]n2)CC1 ZINC000602863885 359248990 /nfs/dbraw/zinc/24/89/90/359248990.db2.gz IPPNSAQMVGEYCW-UHFFFAOYSA-N 1 2 312.377 1.003 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@@H](C)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000602869305 359253435 /nfs/dbraw/zinc/25/34/35/359253435.db2.gz NHRAAPSBSIBCPV-AGIUHOORSA-N 1 2 303.362 1.223 20 30 DDEDLO COc1cccc(CNC(=O)C[N@H+](C)[C@H]2CCC[C@@H]2C#N)c1 ZINC000602974735 359334182 /nfs/dbraw/zinc/33/41/82/359334182.db2.gz SLEPMHCDTXUVGP-ZBFHGGJFSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1cccc(CNC(=O)C[N@@H+](C)[C@H]2CCC[C@@H]2C#N)c1 ZINC000602974735 359334185 /nfs/dbraw/zinc/33/41/85/359334185.db2.gz SLEPMHCDTXUVGP-ZBFHGGJFSA-N 1 2 301.390 1.935 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1cc(F)cc(C#N)c1 ZINC000603063808 359384569 /nfs/dbraw/zinc/38/45/69/359384569.db2.gz CYCOGXRKCDLPQK-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1cc(F)cc(C#N)c1 ZINC000603063808 359384576 /nfs/dbraw/zinc/38/45/76/359384576.db2.gz CYCOGXRKCDLPQK-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO COCC[N@H+](C)Cc1cn(Cc2ccc(C#N)cc2OC)nn1 ZINC000603240833 359507262 /nfs/dbraw/zinc/50/72/62/359507262.db2.gz NRYSKKIEKQOOKE-UHFFFAOYSA-N 1 2 315.377 1.285 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(Cc2ccc(C#N)cc2OC)nn1 ZINC000603240833 359507267 /nfs/dbraw/zinc/50/72/67/359507267.db2.gz NRYSKKIEKQOOKE-UHFFFAOYSA-N 1 2 315.377 1.285 20 30 DDEDLO C[C@@H]1C[N@@H+](CCCNC(=O)c2ccc(C#N)c(O)c2)C[C@H](C)O1 ZINC000188243675 200215798 /nfs/dbraw/zinc/21/57/98/200215798.db2.gz VHRWKVILBHHPPN-BETUJISGSA-N 1 2 317.389 1.493 20 30 DDEDLO C[C@@H]1C[N@H+](CCCNC(=O)c2ccc(C#N)c(O)c2)C[C@H](C)O1 ZINC000188243675 200215799 /nfs/dbraw/zinc/21/57/99/200215799.db2.gz VHRWKVILBHHPPN-BETUJISGSA-N 1 2 317.389 1.493 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)c1ccc(C#N)c(O)c1)[NH+]1CCOCC1 ZINC000188450384 200248349 /nfs/dbraw/zinc/24/83/49/200248349.db2.gz RRFNKKMOORAHFN-NWDGAFQWSA-N 1 2 303.362 1.103 20 30 DDEDLO C[C@H](CO[C@@H]1CCOC1)NC(=O)NC[C@H]1CCc2[nH+]ccn2C1 ZINC000329903864 223044317 /nfs/dbraw/zinc/04/43/17/223044317.db2.gz IQKYLFBRVCCOJD-MGPQQGTHSA-N 1 2 322.409 1.143 20 30 DDEDLO C[C@H](CO[C@@H]1CCOC1)[NH+]=C([O-])NC[C@H]1CCc2[nH+]ccn2C1 ZINC000329903864 223044319 /nfs/dbraw/zinc/04/43/19/223044319.db2.gz IQKYLFBRVCCOJD-MGPQQGTHSA-N 1 2 322.409 1.143 20 30 DDEDLO C[C@H](CO[C@@H]1CCOC1)NC([O-])=[NH+]C[C@H]1CCc2[nH+]ccn2C1 ZINC000329903864 223044322 /nfs/dbraw/zinc/04/43/22/223044322.db2.gz IQKYLFBRVCCOJD-MGPQQGTHSA-N 1 2 322.409 1.143 20 30 DDEDLO C[C@H](Cn1cccn1)[NH+]=C([O-])N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000329938093 223049887 /nfs/dbraw/zinc/04/98/87/223049887.db2.gz DXQKOHWQQKNILQ-RRFJBIMHSA-N 1 2 316.409 1.965 20 30 DDEDLO C[C@H](Cn1cccn1)NC(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000329938093 223049890 /nfs/dbraw/zinc/04/98/90/223049890.db2.gz DXQKOHWQQKNILQ-RRFJBIMHSA-N 1 2 316.409 1.965 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2C(=O)NCCn2cc[nH+]c2)cc1 ZINC000609095528 360259143 /nfs/dbraw/zinc/25/91/43/360259143.db2.gz YEOMNTQZLIHOIF-MRXNPFEDSA-N 1 2 309.373 1.540 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@@H](O)COc1ccccc1Cl ZINC000610511738 360457710 /nfs/dbraw/zinc/45/77/10/360457710.db2.gz RIMOENPXDVWXLW-CYBMUJFWSA-N 1 2 312.797 1.942 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@@H](O)COc1ccccc1Cl ZINC000610511738 360457717 /nfs/dbraw/zinc/45/77/17/360457717.db2.gz RIMOENPXDVWXLW-CYBMUJFWSA-N 1 2 312.797 1.942 20 30 DDEDLO O=C(N[C@H]1[C@@H]2COC[C@@H]21)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000330027475 223064933 /nfs/dbraw/zinc/06/49/33/223064933.db2.gz NEIUXFJHLGDQBH-WDNDVIMCSA-N 1 2 322.434 1.210 20 30 DDEDLO O=C(N[C@H]1[C@@H]2COC[C@@H]21)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000330027475 223064938 /nfs/dbraw/zinc/06/49/38/223064938.db2.gz NEIUXFJHLGDQBH-WDNDVIMCSA-N 1 2 322.434 1.210 20 30 DDEDLO CC(C)c1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC000610726856 360518668 /nfs/dbraw/zinc/51/86/68/360518668.db2.gz RUQPSCUREWYOSX-STQMWFEESA-N 1 2 304.394 1.990 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3ccnc(C#N)c3)CC2)n1 ZINC000610994526 360595184 /nfs/dbraw/zinc/59/51/84/360595184.db2.gz GORJKPMHCMVMNK-UHFFFAOYSA-N 1 2 300.391 1.435 20 30 DDEDLO Cc1ccc(CNC(=O)[C@H](C)[NH+]2CCN(CCC#N)CC2)cc1 ZINC000611173666 360646776 /nfs/dbraw/zinc/64/67/76/360646776.db2.gz GAFNTBXECHYYEX-INIZCTEOSA-N 1 2 314.433 1.531 20 30 DDEDLO Cc1ccc(CNC(=O)C[NH+]2CCN(CCC#N)CC2)cc1 ZINC000611175328 360647628 /nfs/dbraw/zinc/64/76/28/360647628.db2.gz WTDKWTZDLFHCRZ-UHFFFAOYSA-N 1 2 300.406 1.143 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[NH+]1CCN(CCC#N)CC1 ZINC000611174879 360647947 /nfs/dbraw/zinc/64/79/47/360647947.db2.gz OLUHWQYCJANMTQ-OAHLLOKOSA-N 1 2 317.437 1.249 20 30 DDEDLO C#CCCN1CC[NH+](C[C@@H](O)COc2ccccc2F)CC1 ZINC000272004616 209376989 /nfs/dbraw/zinc/37/69/89/209376989.db2.gz IOGNLODKEMSFGS-OAHLLOKOSA-N 1 2 306.381 1.206 20 30 DDEDLO Cc1cc(C)c(NC(=O)NCCN2C(=O)CCC2=O)c(C)[nH+]1 ZINC000331244493 223196327 /nfs/dbraw/zinc/19/63/27/223196327.db2.gz SLHXDMQZRXKPFX-UHFFFAOYSA-N 1 2 304.350 1.482 20 30 DDEDLO COc1cc(NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)ccc1F ZINC000331301087 223201060 /nfs/dbraw/zinc/20/10/60/223201060.db2.gz RIWREMJZSYZHBE-GFCCVEGCSA-N 1 2 310.373 1.406 20 30 DDEDLO COc1cc(NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)ccc1F ZINC000331301087 223201062 /nfs/dbraw/zinc/20/10/62/223201062.db2.gz RIWREMJZSYZHBE-GFCCVEGCSA-N 1 2 310.373 1.406 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@](O)(C(F)(F)F)C1)C1CC1 ZINC000331787162 223209177 /nfs/dbraw/zinc/20/91/77/223209177.db2.gz BURFLELDIPAECO-CHWSQXEVSA-N 1 2 319.327 1.184 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@](O)(C(F)(F)F)C1)C1CC1 ZINC000331787162 223209178 /nfs/dbraw/zinc/20/91/78/223209178.db2.gz BURFLELDIPAECO-CHWSQXEVSA-N 1 2 319.327 1.184 20 30 DDEDLO CCOC(=O)CC[N@H+](C)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000194651630 201269182 /nfs/dbraw/zinc/26/91/82/201269182.db2.gz OXWWMKRFHVBKDP-UHFFFAOYSA-N 1 2 307.350 1.592 20 30 DDEDLO CCOC(=O)CC[N@@H+](C)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000194651630 201269185 /nfs/dbraw/zinc/26/91/85/201269185.db2.gz OXWWMKRFHVBKDP-UHFFFAOYSA-N 1 2 307.350 1.592 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(NC(=O)c2cc(C)oc2C)CC1 ZINC000619717203 364127901 /nfs/dbraw/zinc/12/79/01/364127901.db2.gz JQVAAKFTJBMBMC-HNNXBMFYSA-N 1 2 320.389 1.818 20 30 DDEDLO COCC1(C#N)CCN(c2ncnc3c2C[N@H+](C)CC3)CC1 ZINC000343141635 223317181 /nfs/dbraw/zinc/31/71/81/223317181.db2.gz QSWCOWQXUPKFQO-UHFFFAOYSA-N 1 2 301.394 1.221 20 30 DDEDLO COCC1(C#N)CCN(c2ncnc3c2C[N@@H+](C)CC3)CC1 ZINC000343141635 223317183 /nfs/dbraw/zinc/31/71/83/223317183.db2.gz QSWCOWQXUPKFQO-UHFFFAOYSA-N 1 2 301.394 1.221 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCN3C(=O)NC[C@@H]3C2)cc(OC)c1O ZINC000091864491 193120592 /nfs/dbraw/zinc/12/05/92/193120592.db2.gz SBCNTUPCCQPAKK-CQSZACIVSA-N 1 2 317.389 1.339 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCN3C(=O)NC[C@@H]3C2)cc(OC)c1O ZINC000091864491 193120594 /nfs/dbraw/zinc/12/05/94/193120594.db2.gz SBCNTUPCCQPAKK-CQSZACIVSA-N 1 2 317.389 1.339 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000091936513 193128674 /nfs/dbraw/zinc/12/86/74/193128674.db2.gz BUFVSOVDQNSGHY-LBPRGKRZSA-N 1 2 311.393 1.101 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000091936513 193128676 /nfs/dbraw/zinc/12/86/76/193128676.db2.gz BUFVSOVDQNSGHY-LBPRGKRZSA-N 1 2 311.393 1.101 20 30 DDEDLO N#CC1(NC(=O)C[NH2+][C@@H](CO)c2c(F)cccc2F)CCC1 ZINC000346950212 223362669 /nfs/dbraw/zinc/36/26/69/223362669.db2.gz BYNCDNHGEPCKGH-LBPRGKRZSA-N 1 2 309.316 1.150 20 30 DDEDLO C#CC[NH+](CC#C)Cc1c(C)nn(CC(=O)OC(C)C)c1C ZINC000093461483 193255169 /nfs/dbraw/zinc/25/51/69/193255169.db2.gz ITIRBVQDOAVXLW-UHFFFAOYSA-N 1 2 301.390 1.520 20 30 DDEDLO CO[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H](C)C1 ZINC000282508259 217050071 /nfs/dbraw/zinc/05/00/71/217050071.db2.gz XFMDQGVJEBYNGY-ZFWWWQNUSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H](C)C1 ZINC000282508259 217050072 /nfs/dbraw/zinc/05/00/72/217050072.db2.gz XFMDQGVJEBYNGY-ZFWWWQNUSA-N 1 2 322.430 1.831 20 30 DDEDLO C[C@H]([NH2+][C@@H](C)c1ccc(C#N)cc1)C(=O)NC[C@@H]1CCCO1 ZINC000271681051 209121426 /nfs/dbraw/zinc/12/14/26/209121426.db2.gz POGKNRTYYSZRAB-XEZPLFJOSA-N 1 2 301.390 1.893 20 30 DDEDLO COC(=O)c1ccc(NCC[N@@H+]2CCOCC2(C)C)c(C#N)n1 ZINC000579494539 422780311 /nfs/dbraw/zinc/78/03/11/422780311.db2.gz RQSQMIFTWKWLRC-UHFFFAOYSA-N 1 2 318.377 1.263 20 30 DDEDLO COC(=O)c1ccc(NCC[N@H+]2CCOCC2(C)C)c(C#N)n1 ZINC000579494539 422780313 /nfs/dbraw/zinc/78/03/13/422780313.db2.gz RQSQMIFTWKWLRC-UHFFFAOYSA-N 1 2 318.377 1.263 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(C(=O)c3cccnc3)CC2)c1 ZINC000120979141 248266057 /nfs/dbraw/zinc/26/60/57/248266057.db2.gz FWZXIKTWIPWWNR-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO Cc1cc(N2CCN(c3ccnc(C#N)n3)CC2)nc(C(C)C)[nH+]1 ZINC000567215592 304203963 /nfs/dbraw/zinc/20/39/63/304203963.db2.gz RYSAYGYDOXBEAR-UHFFFAOYSA-N 1 2 323.404 1.897 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1C[C@H](CO)OC(C)(C)C1 ZINC000336873414 249279316 /nfs/dbraw/zinc/27/93/16/249279316.db2.gz SYYBRTKTLYHNOX-SWLSCSKDSA-N 1 2 317.389 1.357 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1C[C@H](CO)OC(C)(C)C1 ZINC000336873414 249279319 /nfs/dbraw/zinc/27/93/19/249279319.db2.gz SYYBRTKTLYHNOX-SWLSCSKDSA-N 1 2 317.389 1.357 20 30 DDEDLO C[N@@H+](CC(=O)NCc1ccc(C#N)cc1)C[C@H](O)C(F)(F)F ZINC000341131131 251398992 /nfs/dbraw/zinc/39/89/92/251398992.db2.gz CMNKQCQTWYUUFZ-LBPRGKRZSA-N 1 2 315.295 1.029 20 30 DDEDLO C[N@H+](CC(=O)NCc1ccc(C#N)cc1)C[C@H](O)C(F)(F)F ZINC000341131131 251398996 /nfs/dbraw/zinc/39/89/96/251398996.db2.gz CMNKQCQTWYUUFZ-LBPRGKRZSA-N 1 2 315.295 1.029 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@@H](C)C[NH+](C)C)cc1C#N ZINC000341278243 251476128 /nfs/dbraw/zinc/47/61/28/251476128.db2.gz HCCUSQPBCNSLSS-SECBINFHSA-N 1 2 308.407 1.308 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000366581860 285040087 /nfs/dbraw/zinc/04/00/87/285040087.db2.gz UUVZBXTYAVFNSW-BFYDXBDKSA-N 1 2 323.437 1.264 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000366581860 285040088 /nfs/dbraw/zinc/04/00/88/285040088.db2.gz UUVZBXTYAVFNSW-BFYDXBDKSA-N 1 2 323.437 1.264 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)NCC#Cc1ccccc1 ZINC000490223859 533086303 /nfs/dbraw/zinc/08/63/03/533086303.db2.gz PIAGSCCZWMTNEW-UHFFFAOYSA-N 1 2 309.325 1.197 20 30 DDEDLO CC(C)C(=O)NCC[N@H+](C)CC(=O)Nc1sccc1C#N ZINC000157647268 197204994 /nfs/dbraw/zinc/20/49/94/197204994.db2.gz QDDKXVVLDPIULS-UHFFFAOYSA-N 1 2 308.407 1.262 20 30 DDEDLO CC(C)C(=O)NCC[N@@H+](C)CC(=O)Nc1sccc1C#N ZINC000157647268 197204996 /nfs/dbraw/zinc/20/49/96/197204996.db2.gz QDDKXVVLDPIULS-UHFFFAOYSA-N 1 2 308.407 1.262 20 30 DDEDLO COCCc1noc(C[N@H+](C)Cc2ccc(CC#N)cc2)n1 ZINC000343596254 533330519 /nfs/dbraw/zinc/33/05/19/533330519.db2.gz CNHADLIFVPLWIW-UHFFFAOYSA-N 1 2 300.362 1.957 20 30 DDEDLO COCCc1noc(C[N@@H+](C)Cc2ccc(CC#N)cc2)n1 ZINC000343596254 533330522 /nfs/dbraw/zinc/33/05/22/533330522.db2.gz CNHADLIFVPLWIW-UHFFFAOYSA-N 1 2 300.362 1.957 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)c2snnc2C)C1 ZINC000330597860 533504384 /nfs/dbraw/zinc/50/43/84/533504384.db2.gz FBFWOQRCERLIDT-JTQLQIEISA-N 1 2 312.395 1.036 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNC(=O)c2snnc2C)C1 ZINC000330597860 533504386 /nfs/dbraw/zinc/50/43/86/533504386.db2.gz FBFWOQRCERLIDT-JTQLQIEISA-N 1 2 312.395 1.036 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC000289490403 292046171 /nfs/dbraw/zinc/04/61/71/292046171.db2.gz JADWGXIYNUSTPK-UHFFFAOYSA-N 1 2 302.359 1.268 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3cc(C4CC4)[nH]n3)CC2)nc1 ZINC000569864382 304383509 /nfs/dbraw/zinc/38/35/09/304383509.db2.gz WPDWSHPEEWATTH-UHFFFAOYSA-N 1 2 308.389 1.876 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCCc1ccc(OC(F)F)cc1 ZINC000076185602 406958605 /nfs/dbraw/zinc/95/86/05/406958605.db2.gz RLVAZRLMNVBXAO-UHFFFAOYSA-N 1 2 320.339 1.515 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000076185529 406958718 /nfs/dbraw/zinc/95/87/18/406958718.db2.gz KZAJFCDRMAMLNK-QGZVFWFLSA-N 1 2 314.360 1.294 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@H+](CCC#N)CC2CC2)C1 ZINC000081514888 407077536 /nfs/dbraw/zinc/07/75/36/407077536.db2.gz QSOICRUDDCKHSE-HNNXBMFYSA-N 1 2 321.421 1.414 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@@H+](CCC#N)CC2CC2)C1 ZINC000081514888 407077538 /nfs/dbraw/zinc/07/75/38/407077538.db2.gz QSOICRUDDCKHSE-HNNXBMFYSA-N 1 2 321.421 1.414 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000051225986 407137537 /nfs/dbraw/zinc/13/75/37/407137537.db2.gz JHXQNZGBOCJSBB-HNNXBMFYSA-N 1 2 315.421 1.212 20 30 DDEDLO C[C@H]1C[C@H](C)CN(C(=O)CN2CC[NH+](CCCC#N)CC2)C1 ZINC000052710023 407154981 /nfs/dbraw/zinc/15/49/81/407154981.db2.gz SNVQPTUYVJEFMA-HOTGVXAUSA-N 1 2 306.454 1.412 20 30 DDEDLO C[C@H]1C[C@H](C)CN(C(=O)C[NH+]2CCN(CCCC#N)CC2)C1 ZINC000052710023 407154984 /nfs/dbraw/zinc/15/49/84/407154984.db2.gz SNVQPTUYVJEFMA-HOTGVXAUSA-N 1 2 306.454 1.412 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@H+](CC(=O)N(CC)C[C@H](C)C#N)C1 ZINC000052871620 407159312 /nfs/dbraw/zinc/15/93/12/407159312.db2.gz VBNCBZRYZBZDGP-KGLIPLIRSA-N 1 2 324.425 1.205 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@@H+](CC(=O)N(CC)C[C@H](C)C#N)C1 ZINC000052871620 407159313 /nfs/dbraw/zinc/15/93/13/407159313.db2.gz VBNCBZRYZBZDGP-KGLIPLIRSA-N 1 2 324.425 1.205 20 30 DDEDLO C=CC[N@H+](CC(=O)NC[C@@H]1CCCO1)Cc1ccc(OC)cc1 ZINC000056291342 407210020 /nfs/dbraw/zinc/21/00/20/407210020.db2.gz MUELEMFWBHXYPW-KRWDZBQOSA-N 1 2 318.417 1.978 20 30 DDEDLO C=CC[N@@H+](CC(=O)NC[C@@H]1CCCO1)Cc1ccc(OC)cc1 ZINC000056291342 407210023 /nfs/dbraw/zinc/21/00/23/407210023.db2.gz MUELEMFWBHXYPW-KRWDZBQOSA-N 1 2 318.417 1.978 20 30 DDEDLO N#CCNC(=O)C[N@H+](Cc1cccc(F)c1)C[C@@H]1CCCO1 ZINC000102823129 407327810 /nfs/dbraw/zinc/32/78/10/407327810.db2.gz BKZBGSZOKROVPZ-HNNXBMFYSA-N 1 2 305.353 1.446 20 30 DDEDLO N#CCNC(=O)C[N@@H+](Cc1cccc(F)c1)C[C@@H]1CCCO1 ZINC000102823129 407327811 /nfs/dbraw/zinc/32/78/11/407327811.db2.gz BKZBGSZOKROVPZ-HNNXBMFYSA-N 1 2 305.353 1.446 20 30 DDEDLO C[C@@]1(O)CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000124575999 407362913 /nfs/dbraw/zinc/36/29/13/407362913.db2.gz LIKFGXYQVINUBN-OAHLLOKOSA-N 1 2 308.403 1.179 20 30 DDEDLO C[C@@]1(O)CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000124575999 407362914 /nfs/dbraw/zinc/36/29/14/407362914.db2.gz LIKFGXYQVINUBN-OAHLLOKOSA-N 1 2 308.403 1.179 20 30 DDEDLO C=CCN(Cc1cn2c(cccc2C)[nH+]1)[C@H]1CCS(=O)(=O)C1 ZINC000108870737 407391930 /nfs/dbraw/zinc/39/19/30/407391930.db2.gz HABVUEPERMZJBF-HNNXBMFYSA-N 1 2 319.430 1.818 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cc2C)CC1 ZINC000265845971 407480806 /nfs/dbraw/zinc/48/08/06/407480806.db2.gz HKPBGRVMYYFGRM-UHFFFAOYSA-N 1 2 317.414 1.196 20 30 DDEDLO C[C@@]1(C(N)=O)CCCC[N@@H+]1CC(=O)NC1(C#N)CCCCC1 ZINC000185906411 407536003 /nfs/dbraw/zinc/53/60/03/407536003.db2.gz JATLOHUQTYOSAJ-HNNXBMFYSA-N 1 2 306.410 1.059 20 30 DDEDLO C[C@@]1(C(N)=O)CCCC[N@H+]1CC(=O)NC1(C#N)CCCCC1 ZINC000185906411 407536006 /nfs/dbraw/zinc/53/60/06/407536006.db2.gz JATLOHUQTYOSAJ-HNNXBMFYSA-N 1 2 306.410 1.059 20 30 DDEDLO Cc1ccc2[nH+]c(CSCC(=O)N(CC#N)CC#N)cn2c1 ZINC000114684201 407599424 /nfs/dbraw/zinc/59/94/24/407599424.db2.gz FZCZUTWPQMYWQP-UHFFFAOYSA-N 1 2 313.386 1.752 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ncccc3C#N)CC2)nc1 ZINC000272095321 407772393 /nfs/dbraw/zinc/77/23/93/407772393.db2.gz KDRCSXVYGSHQBS-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[C@@H](CNC(=O)[C@H](C)Oc1ccccc1C#N)Cn1cc[nH+]c1 ZINC000153486675 407835998 /nfs/dbraw/zinc/83/59/98/407835998.db2.gz WYWFVJODMHTTBL-KBPBESRZSA-N 1 2 312.373 1.975 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)cn1 ZINC000268048938 407869765 /nfs/dbraw/zinc/86/97/65/407869765.db2.gz KNKSYTNXTJVOSJ-LLVKDONJSA-N 1 2 317.374 1.245 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2CCC[C@H]2C)CC1 ZINC000187627813 407873543 /nfs/dbraw/zinc/87/35/43/407873543.db2.gz GKCGIXBYOLUTEH-CVEARBPZSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2CCC[C@H]2C)CC1 ZINC000187627813 407873549 /nfs/dbraw/zinc/87/35/49/407873549.db2.gz GKCGIXBYOLUTEH-CVEARBPZSA-N 1 2 319.449 1.096 20 30 DDEDLO N#CC1(CS(=O)(=O)NCCc2cn3ccccc3[nH+]2)CC1 ZINC000180397560 407883131 /nfs/dbraw/zinc/88/31/31/407883131.db2.gz RFHSYVMGUFPYII-UHFFFAOYSA-N 1 2 304.375 1.100 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[NH+]2CCCCC2)cc1C#N ZINC000060665427 161555967 /nfs/dbraw/zinc/55/59/67/161555967.db2.gz SQANPVLRWSZRIG-UHFFFAOYSA-N 1 2 320.418 1.596 20 30 DDEDLO C=C(C)C[N@@H+](C)[C@@H](C)C(=O)N(CCC)[C@H]1CCS(=O)(=O)C1 ZINC000181270534 407976710 /nfs/dbraw/zinc/97/67/10/407976710.db2.gz UMSSTHAXGPFXTP-KBPBESRZSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)C[N@H+](C)[C@@H](C)C(=O)N(CCC)[C@H]1CCS(=O)(=O)C1 ZINC000181270534 407976717 /nfs/dbraw/zinc/97/67/17/407976717.db2.gz UMSSTHAXGPFXTP-KBPBESRZSA-N 1 2 316.467 1.309 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)N2CC(=O)Nc3ccccc32)CC1 ZINC000272560253 407980994 /nfs/dbraw/zinc/98/09/94/407980994.db2.gz LROGQTMEEYLIDN-UHFFFAOYSA-N 1 2 312.373 1.597 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000134847961 407940471 /nfs/dbraw/zinc/94/04/71/407940471.db2.gz XTARCGQIEPJJPH-HUUCEWRRSA-N 1 2 300.362 1.413 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000134847961 407940478 /nfs/dbraw/zinc/94/04/78/407940478.db2.gz XTARCGQIEPJJPH-HUUCEWRRSA-N 1 2 300.362 1.413 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)cc1 ZINC000119125364 407998554 /nfs/dbraw/zinc/99/85/54/407998554.db2.gz GXKMLDAUCVKIKU-GJZGRUSLSA-N 1 2 316.401 1.538 20 30 DDEDLO C#CCSCCNC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000154819277 408092854 /nfs/dbraw/zinc/09/28/54/408092854.db2.gz YJHGCHOHLQLWFF-UHFFFAOYSA-N 1 2 304.419 1.280 20 30 DDEDLO C#CC[N@@H+](CCOc1c(C)cccc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000120435511 408129407 /nfs/dbraw/zinc/12/94/07/408129407.db2.gz DRGKDVCRKPPSJR-MRXNPFEDSA-N 1 2 321.442 1.805 20 30 DDEDLO C#CC[N@H+](CCOc1c(C)cccc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000120435511 408129412 /nfs/dbraw/zinc/12/94/12/408129412.db2.gz DRGKDVCRKPPSJR-MRXNPFEDSA-N 1 2 321.442 1.805 20 30 DDEDLO CC[N@H+](C[C@@H](C)C#N)[C@@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000155223958 408139117 /nfs/dbraw/zinc/13/91/17/408139117.db2.gz KNHWXJDFMLRTTL-IUCAKERBSA-N 1 2 308.304 1.245 20 30 DDEDLO CC[N@@H+](C[C@@H](C)C#N)[C@@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000155223958 408139125 /nfs/dbraw/zinc/13/91/25/408139125.db2.gz KNHWXJDFMLRTTL-IUCAKERBSA-N 1 2 308.304 1.245 20 30 DDEDLO Cn1cc(C[N@H+](C)[C@@H]2CCCN(c3ccc(C#N)cc3)C2=O)cn1 ZINC000182597012 408203038 /nfs/dbraw/zinc/20/30/38/408203038.db2.gz KTGZDAVBVQLQNU-QGZVFWFLSA-N 1 2 323.400 1.919 20 30 DDEDLO Cn1cc(C[N@@H+](C)[C@@H]2CCCN(c3ccc(C#N)cc3)C2=O)cn1 ZINC000182597012 408203042 /nfs/dbraw/zinc/20/30/42/408203042.db2.gz KTGZDAVBVQLQNU-QGZVFWFLSA-N 1 2 323.400 1.919 20 30 DDEDLO CS(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(OCC#N)cc2)C1 ZINC000274143443 408305602 /nfs/dbraw/zinc/30/56/02/408305602.db2.gz JZHKFABPUSHNSJ-HNNXBMFYSA-N 1 2 308.403 1.598 20 30 DDEDLO CS(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(OCC#N)cc2)C1 ZINC000274143443 408305608 /nfs/dbraw/zinc/30/56/08/408305608.db2.gz JZHKFABPUSHNSJ-HNNXBMFYSA-N 1 2 308.403 1.598 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000274164519 408313301 /nfs/dbraw/zinc/31/33/01/408313301.db2.gz VNOXEASDASKKKR-OAHLLOKOSA-N 1 2 307.415 1.708 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000274164519 408313307 /nfs/dbraw/zinc/31/33/07/408313307.db2.gz VNOXEASDASKKKR-OAHLLOKOSA-N 1 2 307.415 1.708 20 30 DDEDLO CC#CC[N@@H+]1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000158717886 408357291 /nfs/dbraw/zinc/35/72/91/408357291.db2.gz BKXIWGYTOZVJMS-UHFFFAOYSA-N 1 2 311.407 1.011 20 30 DDEDLO CC#CC[N@H+]1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000158717886 408357296 /nfs/dbraw/zinc/35/72/96/408357296.db2.gz BKXIWGYTOZVJMS-UHFFFAOYSA-N 1 2 311.407 1.011 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CCC(C)(C)C)CC1 ZINC000159067306 408382016 /nfs/dbraw/zinc/38/20/16/408382016.db2.gz IEAMWOHWNLLKPQ-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CCC(C)(C)C)CC1 ZINC000159067306 408382021 /nfs/dbraw/zinc/38/20/21/408382021.db2.gz IEAMWOHWNLLKPQ-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C=CCN(Cc1cn2cc(C)ccc2[nH+]1)[C@H]1CCS(=O)(=O)C1 ZINC000177279608 408571155 /nfs/dbraw/zinc/57/11/55/408571155.db2.gz IEYACHBEPBRUGQ-HNNXBMFYSA-N 1 2 319.430 1.818 20 30 DDEDLO NC(CCO)=[NH+]OCC(=O)Nc1ccc(SC(F)F)cc1 ZINC000275407483 408587747 /nfs/dbraw/zinc/58/77/47/408587747.db2.gz BYDJQNWLOCQUMS-UHFFFAOYSA-N 1 2 319.333 1.611 20 30 DDEDLO COC[C@H]([NH2+]CC(=O)N[C@@](C)(C#N)C1CC1)c1ccc(C)o1 ZINC000184689044 408666861 /nfs/dbraw/zinc/66/68/61/408666861.db2.gz WFARPLWPMWZXGB-BBRMVZONSA-N 1 2 305.378 1.674 20 30 DDEDLO C[C@H](C[S@@](C)=O)[N@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000185115388 408760780 /nfs/dbraw/zinc/76/07/80/408760780.db2.gz OOUPDQDDXKCLKY-LRTDBIEQSA-N 1 2 313.467 1.370 20 30 DDEDLO C[C@H](C[S@@](C)=O)[N@@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000185115388 408760783 /nfs/dbraw/zinc/76/07/83/408760783.db2.gz OOUPDQDDXKCLKY-LRTDBIEQSA-N 1 2 313.467 1.370 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)NCc2ccn3cc[nH+]c3c2)cc1 ZINC000178030662 408761565 /nfs/dbraw/zinc/76/15/65/408761565.db2.gz OXOOGXYXUFQEBF-UHFFFAOYSA-N 1 2 319.324 1.461 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc([S@](C)=O)cc2)C1=O ZINC000281223205 408876011 /nfs/dbraw/zinc/87/60/11/408876011.db2.gz KLMGDNYLKSXYJB-BTYIYWSLSA-N 1 2 306.431 1.643 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc([S@](C)=O)cc2)C1=O ZINC000281223205 408876013 /nfs/dbraw/zinc/87/60/13/408876013.db2.gz KLMGDNYLKSXYJB-BTYIYWSLSA-N 1 2 306.431 1.643 20 30 DDEDLO C[C@H](O)[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000167746306 408817809 /nfs/dbraw/zinc/81/78/09/408817809.db2.gz UZJKRIRWPGONCQ-GXTWGEPZSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H](O)[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000167746306 408817810 /nfs/dbraw/zinc/81/78/10/408817810.db2.gz UZJKRIRWPGONCQ-GXTWGEPZSA-N 1 2 308.403 1.035 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)NCc2cccs2)C1=O ZINC000281407847 408885331 /nfs/dbraw/zinc/88/53/31/408885331.db2.gz QXHDYYCMZOFKMK-JSGCOSHPSA-N 1 2 321.446 1.472 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)NCc2cccs2)C1=O ZINC000281407847 408885332 /nfs/dbraw/zinc/88/53/32/408885332.db2.gz QXHDYYCMZOFKMK-JSGCOSHPSA-N 1 2 321.446 1.472 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)Nc2nccs2)C1=O ZINC000281432651 408886456 /nfs/dbraw/zinc/88/64/56/408886456.db2.gz SOZOVGPEJNWVNB-MNOVXSKESA-N 1 2 308.407 1.189 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)Nc2nccs2)C1=O ZINC000281432651 408886459 /nfs/dbraw/zinc/88/64/59/408886459.db2.gz SOZOVGPEJNWVNB-MNOVXSKESA-N 1 2 308.407 1.189 20 30 DDEDLO N#Cc1ccc(OC2C[NH+](C[C@H](O)CC(F)(F)F)C2)cc1 ZINC000189427657 163100352 /nfs/dbraw/zinc/10/03/52/163100352.db2.gz FEYAIXLBKDLANG-LLVKDONJSA-N 1 2 300.280 1.935 20 30 DDEDLO CN(CCCn1cc[nH+]c1)c1cc(N)c([N+](=O)[O-])c(C#N)c1 ZINC000286272705 408953447 /nfs/dbraw/zinc/95/34/47/408953447.db2.gz QPNPPLNOVIKFNK-UHFFFAOYSA-N 1 2 300.322 1.772 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2cccc(Cl)c2)C1=O ZINC000281959809 408962246 /nfs/dbraw/zinc/96/22/46/408962246.db2.gz KHFLHVJOZCZQFB-AWEZNQCLSA-N 1 2 321.808 1.997 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2cccc(Cl)c2)C1=O ZINC000281959809 408962250 /nfs/dbraw/zinc/96/22/50/408962250.db2.gz KHFLHVJOZCZQFB-AWEZNQCLSA-N 1 2 321.808 1.997 20 30 DDEDLO CCCCOC(=O)C[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292227220 409004627 /nfs/dbraw/zinc/00/46/27/409004627.db2.gz PHZLJRPDDWUTBL-AWEZNQCLSA-N 1 2 303.362 1.750 20 30 DDEDLO CCCCOC(=O)C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292227220 409004628 /nfs/dbraw/zinc/00/46/28/409004628.db2.gz PHZLJRPDDWUTBL-AWEZNQCLSA-N 1 2 303.362 1.750 20 30 DDEDLO C#CC[C@H](Cc1ccccc1)NC(=O)[C@@H](c1c[nH+]cn1C)N(C)C ZINC000287364717 409026320 /nfs/dbraw/zinc/02/63/20/409026320.db2.gz NVADVWLREYIIMG-SJLPKXTDSA-N 1 2 324.428 1.774 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000293039368 409048974 /nfs/dbraw/zinc/04/89/74/409048974.db2.gz JPSRACVNAPVVBD-OAHLLOKOSA-N 1 2 324.783 1.992 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000293039368 409048975 /nfs/dbraw/zinc/04/89/75/409048975.db2.gz JPSRACVNAPVVBD-OAHLLOKOSA-N 1 2 324.783 1.992 20 30 DDEDLO C=CCN(CC1CCCCC1)C(=O)C(=O)NCCn1cc[nH+]c1 ZINC000283272494 409126569 /nfs/dbraw/zinc/12/65/69/409126569.db2.gz FYTIYZYFLHWYKB-UHFFFAOYSA-N 1 2 318.421 1.594 20 30 DDEDLO CC1(C)C[NH+]=C(N2CCN(c3cc(C#N)ccn3)CC2)S1 ZINC000288044089 409136486 /nfs/dbraw/zinc/13/64/86/409136486.db2.gz XKFOSASBVSQISQ-UHFFFAOYSA-N 1 2 301.419 1.957 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC(c3[nH]cc[nH+]3)CC2)o1 ZINC000288098961 409145845 /nfs/dbraw/zinc/14/58/45/409145845.db2.gz KWCXGNZJMVPNQN-UHFFFAOYSA-N 1 2 306.347 1.443 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)COc1ccc(C#N)cc1 ZINC000279436690 409214370 /nfs/dbraw/zinc/21/43/70/409214370.db2.gz ZKMJNYFDLLVGBG-AWEZNQCLSA-N 1 2 317.389 1.116 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(C#N)cc3)n2CC)CC1 ZINC000283827646 409224084 /nfs/dbraw/zinc/22/40/84/409224084.db2.gz FZXQRYGPXFCYSW-UHFFFAOYSA-N 1 2 320.400 1.592 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)N1CCc2ccccc21 ZINC000283943887 409244942 /nfs/dbraw/zinc/24/49/42/409244942.db2.gz FNIVTCDDQFPDQP-FZMZJTMJSA-N 1 2 303.362 1.432 20 30 DDEDLO NC(=[NH+]OCC(=O)Nc1ccc(F)cc1Cl)[C@@H]1CCCO1 ZINC000284000132 409255555 /nfs/dbraw/zinc/25/55/55/409255555.db2.gz HGJAMSNIDYQTEK-NSHDSACASA-N 1 2 315.732 1.885 20 30 DDEDLO C=CCCOCCNC(=O)C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000289369861 409255843 /nfs/dbraw/zinc/25/58/43/409255843.db2.gz JKRACNUDEMVNAO-CYBMUJFWSA-N 1 2 320.393 1.172 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)Nc1cccc(C#N)c1 ZINC000284007216 409256679 /nfs/dbraw/zinc/25/66/79/409256679.db2.gz HPCRNVPYANHGRQ-MFKMUULPSA-N 1 2 302.334 1.353 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289977915 409290766 /nfs/dbraw/zinc/29/07/66/409290766.db2.gz RMDCOJFPXDTZGQ-WCQYABFASA-N 1 2 309.801 1.544 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289977915 409290768 /nfs/dbraw/zinc/29/07/68/409290768.db2.gz RMDCOJFPXDTZGQ-WCQYABFASA-N 1 2 309.801 1.544 20 30 DDEDLO Cc1nsc(N2CCN(Cc3c[nH+]cn3C)CC2)c1C#N ZINC000289423655 409268316 /nfs/dbraw/zinc/26/83/16/409268316.db2.gz IEOVPEWFXAWYTB-UHFFFAOYSA-N 1 2 302.407 1.379 20 30 DDEDLO COc1ccc(-c2noc(CO[NH+]=C(N)[C@H]3CCCO3)n2)cc1 ZINC000284069817 409268643 /nfs/dbraw/zinc/26/86/43/409268643.db2.gz JZJFKOXZDDPELW-GFCCVEGCSA-N 1 2 318.333 1.713 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccccn3)n2C(C)C)CC1 ZINC000295949445 409378730 /nfs/dbraw/zinc/37/87/30/409378730.db2.gz IYFSBDCYZYOLNX-UHFFFAOYSA-N 1 2 324.432 1.600 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000285073087 409396826 /nfs/dbraw/zinc/39/68/26/409396826.db2.gz RPQIZPXMSOZYNR-FUHWJXTLSA-N 1 2 315.417 1.943 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000285073087 409396830 /nfs/dbraw/zinc/39/68/30/409396830.db2.gz RPQIZPXMSOZYNR-FUHWJXTLSA-N 1 2 315.417 1.943 20 30 DDEDLO C#Cc1ccc(CNC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)cc1 ZINC000295573486 409355814 /nfs/dbraw/zinc/35/58/14/409355814.db2.gz VGCZFWDGTSDBLE-SFHVURJKSA-N 1 2 312.413 1.928 20 30 DDEDLO C#Cc1ccc(CNC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)cc1 ZINC000295573486 409355819 /nfs/dbraw/zinc/35/58/19/409355819.db2.gz VGCZFWDGTSDBLE-SFHVURJKSA-N 1 2 312.413 1.928 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2cccc(C)c2C#N)C[C@@H]1C ZINC000408041331 164206668 /nfs/dbraw/zinc/20/66/68/164206668.db2.gz LSENEHAASLTPPZ-KBPBESRZSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2cccc(C)c2C#N)C[C@@H]1C ZINC000408041331 164206669 /nfs/dbraw/zinc/20/66/69/164206669.db2.gz LSENEHAASLTPPZ-KBPBESRZSA-N 1 2 321.446 1.970 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2ccc(OCC)cc2)CC1 ZINC000354289702 409748531 /nfs/dbraw/zinc/74/85/31/409748531.db2.gz MBPZIDCLCMDIKR-UHFFFAOYSA-N 1 2 318.417 1.932 20 30 DDEDLO CC(C)(C(=O)NCCc1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000338268583 409855436 /nfs/dbraw/zinc/85/54/36/409855436.db2.gz XLLPPWJSZCXSAJ-UHFFFAOYSA-N 1 2 301.390 1.328 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(S(=O)(=O)c2cccc(C#N)c2)C1 ZINC000332083418 409862106 /nfs/dbraw/zinc/86/21/06/409862106.db2.gz OXMWPGDXHVACEM-CYBMUJFWSA-N 1 2 316.386 1.470 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)[C@H](C)C2CCOCC2)CC1 ZINC000328794819 409979235 /nfs/dbraw/zinc/97/92/35/409979235.db2.gz SRJKCCFPEFLXAE-CYBMUJFWSA-N 1 2 311.426 1.170 20 30 DDEDLO C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@H+](C)[C@@H]1CCNC1=O ZINC000328804214 409981792 /nfs/dbraw/zinc/98/17/92/409981792.db2.gz BRAQNSSEBKKFHP-YXXKGXSTSA-N 1 2 320.437 1.966 20 30 DDEDLO C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@@H+](C)[C@@H]1CCNC1=O ZINC000328804214 409981797 /nfs/dbraw/zinc/98/17/97/409981797.db2.gz BRAQNSSEBKKFHP-YXXKGXSTSA-N 1 2 320.437 1.966 20 30 DDEDLO N#C[C@H]1CC[C@@H]([NH+]2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC000328837104 409988152 /nfs/dbraw/zinc/98/81/52/409988152.db2.gz MXNNXAZYYWGUGB-UONOGXRCSA-N 1 2 320.418 1.080 20 30 DDEDLO C#CCO[C@@H](C)C(=O)Nc1cc(C)nn1-c1cc(C)[nH+]c(C)n1 ZINC000297537219 409928073 /nfs/dbraw/zinc/92/80/73/409928073.db2.gz BHONXQVJDOZQCV-LBPRGKRZSA-N 1 2 313.361 1.564 20 30 DDEDLO CCN1CCN(C(=O)NCc2ccco2)C[C@H]1c1[nH]cc[nH+]1 ZINC000328625028 409941569 /nfs/dbraw/zinc/94/15/69/409941569.db2.gz KHCMZARVCYGOJU-ZDUSSCGKSA-N 1 2 303.366 1.796 20 30 DDEDLO C=CCn1cc(-c2nc([C@H]3C[N@H+](C(C)C)CCO3)no2)nn1 ZINC000351358918 410066475 /nfs/dbraw/zinc/06/64/75/410066475.db2.gz GFSXSPRGYPVQEU-GFCCVEGCSA-N 1 2 304.354 1.296 20 30 DDEDLO C=CCn1cc(-c2nc([C@H]3C[N@@H+](C(C)C)CCO3)no2)nn1 ZINC000351358918 410066479 /nfs/dbraw/zinc/06/64/79/410066479.db2.gz GFSXSPRGYPVQEU-GFCCVEGCSA-N 1 2 304.354 1.296 20 30 DDEDLO CN(C)c1nccc(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)n1 ZINC000329215452 410171768 /nfs/dbraw/zinc/17/17/68/410171768.db2.gz MRUXDXMMVNNCET-LLVKDONJSA-N 1 2 300.366 1.458 20 30 DDEDLO C[C@H](Cn1cncn1)NC(=O)[C@@H]1COCC[N@@H+]1CC1CCC1 ZINC000329233830 410181346 /nfs/dbraw/zinc/18/13/46/410181346.db2.gz HFAUMCNGKQSAGU-OCCSQVGLSA-N 1 2 307.398 1.124 20 30 DDEDLO C[C@H](Cn1cncn1)NC(=O)[C@@H]1COCC[N@H+]1CC1CCC1 ZINC000329233830 410181355 /nfs/dbraw/zinc/18/13/55/410181355.db2.gz HFAUMCNGKQSAGU-OCCSQVGLSA-N 1 2 307.398 1.124 20 30 DDEDLO Cc1nccc(NC(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@H]2C)n1 ZINC000329249394 410190614 /nfs/dbraw/zinc/19/06/14/410190614.db2.gz TTXKNUUIXYARNI-YPMHNXCESA-N 1 2 305.382 1.126 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)N1CCC[C@H](O)C1)C1CCOCC1 ZINC000329363215 410258857 /nfs/dbraw/zinc/25/88/57/410258857.db2.gz ZKHJSGAOBARXJC-KBPBESRZSA-N 1 2 322.409 1.259 20 30 DDEDLO Cc1cnc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cn1 ZINC000354992636 410227241 /nfs/dbraw/zinc/22/72/41/410227241.db2.gz MBMBSAIWWDQBOG-ZDUSSCGKSA-N 1 2 309.377 1.157 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cn1 ZINC000354992636 410227245 /nfs/dbraw/zinc/22/72/45/410227245.db2.gz MBMBSAIWWDQBOG-ZDUSSCGKSA-N 1 2 309.377 1.157 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1)NC(C1CC1)C1CC1 ZINC000329426290 410292311 /nfs/dbraw/zinc/29/23/11/410292311.db2.gz ISSUSILDVDGSAL-NSHDSACASA-N 1 2 306.366 1.208 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@H+](CC(=O)NC3CCOCC3)C2)[nH]1 ZINC000329475817 410316883 /nfs/dbraw/zinc/31/68/83/410316883.db2.gz PEVFMYZALRBJFF-LBPRGKRZSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@@H+](CC(=O)NC3CCOCC3)C2)[nH]1 ZINC000329475817 410316890 /nfs/dbraw/zinc/31/68/90/410316890.db2.gz PEVFMYZALRBJFF-LBPRGKRZSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@H+](CC(=O)NC3CCOCC3)C2)n1 ZINC000329475817 410316895 /nfs/dbraw/zinc/31/68/95/410316895.db2.gz PEVFMYZALRBJFF-LBPRGKRZSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@@H+](CC(=O)NC3CCOCC3)C2)n1 ZINC000329475817 410316901 /nfs/dbraw/zinc/31/69/01/410316901.db2.gz PEVFMYZALRBJFF-LBPRGKRZSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1nc([C@H]2CCC[N@H+](CC(=O)NC3CCOCC3)C2)n[nH]1 ZINC000329475817 410316909 /nfs/dbraw/zinc/31/69/09/410316909.db2.gz PEVFMYZALRBJFF-LBPRGKRZSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1nc([C@H]2CCC[N@@H+](CC(=O)NC3CCOCC3)C2)n[nH]1 ZINC000329475817 410316914 /nfs/dbraw/zinc/31/69/14/410316914.db2.gz PEVFMYZALRBJFF-LBPRGKRZSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1c[nH+]ccc1NC(=O)N1CCN(c2cccc(C#N)n2)CC1 ZINC000355189745 410370708 /nfs/dbraw/zinc/37/07/08/410370708.db2.gz ORNYBDLAJYKNON-UHFFFAOYSA-N 1 2 322.372 1.433 20 30 DDEDLO COC(=O)[C@H](CC(C)C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000351997449 410400904 /nfs/dbraw/zinc/40/09/04/410400904.db2.gz YAUPGLZSULDVCE-HNNXBMFYSA-N 1 2 316.405 1.663 20 30 DDEDLO C=C[C@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000358528183 410478295 /nfs/dbraw/zinc/47/82/95/410478295.db2.gz AABHEKSWECBWJJ-GFCCVEGCSA-N 1 2 304.325 1.358 20 30 DDEDLO Cc1noc([C@@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000299052040 410498964 /nfs/dbraw/zinc/49/89/64/410498964.db2.gz QNRIQDYUGQGHAK-CZUORRHYSA-N 1 2 319.409 1.612 20 30 DDEDLO Cc1noc([C@@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000299052040 410498970 /nfs/dbraw/zinc/49/89/70/410498970.db2.gz QNRIQDYUGQGHAK-CZUORRHYSA-N 1 2 319.409 1.612 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000358737158 410531699 /nfs/dbraw/zinc/53/16/99/410531699.db2.gz QOHGVMOYSAMPOG-UHFFFAOYSA-N 1 2 318.425 1.567 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2nnn(-c3ccccc3)c2C)CC1 ZINC000299302978 410584658 /nfs/dbraw/zinc/58/46/58/410584658.db2.gz GYLZUDOPUSYAFS-UHFFFAOYSA-N 1 2 323.400 1.357 20 30 DDEDLO Cn1nc2c(c1C[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1)CCCC2 ZINC000359410768 410645800 /nfs/dbraw/zinc/64/58/00/410645800.db2.gz DRFPNGFILHJPKN-KRWDZBQOSA-N 1 2 323.400 1.487 20 30 DDEDLO CC1(C)CC[NH+](CCS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000343740240 410659500 /nfs/dbraw/zinc/65/95/00/410659500.db2.gz SFXWIODIVSVOFJ-UHFFFAOYSA-N 1 2 307.419 1.849 20 30 DDEDLO C[N@H+](CC[C@@H]1CCCO1)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000343842433 410740727 /nfs/dbraw/zinc/74/07/27/410740727.db2.gz SNNQGPLXVVGBNJ-AWEZNQCLSA-N 1 2 323.418 1.228 20 30 DDEDLO C[N@@H+](CC[C@@H]1CCCO1)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000343842433 410740733 /nfs/dbraw/zinc/74/07/33/410740733.db2.gz SNNQGPLXVVGBNJ-AWEZNQCLSA-N 1 2 323.418 1.228 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@H+](C)[C@@H]1COC[C@@H]1O ZINC000352792421 410676541 /nfs/dbraw/zinc/67/65/41/410676541.db2.gz MDWGMXALWAOEBY-BMFZPTHFSA-N 1 2 317.389 1.013 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+](C)[C@@H]1COC[C@@H]1O ZINC000352792421 410676546 /nfs/dbraw/zinc/67/65/46/410676546.db2.gz MDWGMXALWAOEBY-BMFZPTHFSA-N 1 2 317.389 1.013 20 30 DDEDLO N#Cc1csc(C[NH2+]Cc2ccc(N3CCOCC3)nc2)n1 ZINC000352821075 410680800 /nfs/dbraw/zinc/68/08/00/410680800.db2.gz FRPRQSGMOCPESE-UHFFFAOYSA-N 1 2 315.402 1.536 20 30 DDEDLO COCCC(=O)N1CC[NH+](CCOc2ccccc2C#N)CC1 ZINC000355769815 410685574 /nfs/dbraw/zinc/68/55/74/410685574.db2.gz JSQCPTHYZLKWBN-UHFFFAOYSA-N 1 2 317.389 1.118 20 30 DDEDLO C[N@H+](CCOCCO)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000337491610 410823757 /nfs/dbraw/zinc/82/37/57/410823757.db2.gz OIJYTMHMLIWKLW-UHFFFAOYSA-N 1 2 302.334 1.049 20 30 DDEDLO C[N@@H+](CCOCCO)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000337491610 410823763 /nfs/dbraw/zinc/82/37/63/410823763.db2.gz OIJYTMHMLIWKLW-UHFFFAOYSA-N 1 2 302.334 1.049 20 30 DDEDLO C=C(C)CN(C)c1ccc(S(=O)(=O)N2CC[NH+](C)CC2)cn1 ZINC000302050281 410840596 /nfs/dbraw/zinc/84/05/96/410840596.db2.gz OODYNPIBLQFDNI-UHFFFAOYSA-N 1 2 324.450 1.030 20 30 DDEDLO C#CCOCCNc1nc2cc(OC)c(OC)cc2c(N)[nH+]1 ZINC000302192788 410840754 /nfs/dbraw/zinc/84/07/54/410840754.db2.gz WGQJIBRCDCVZCZ-UHFFFAOYSA-N 1 2 302.334 1.291 20 30 DDEDLO C#CC[NH+]1CCN(c2ncnc3cc(F)c(OC)cc32)CC1 ZINC000302281276 410845013 /nfs/dbraw/zinc/84/50/13/410845013.db2.gz JCJHQXNHHVHWSX-UHFFFAOYSA-N 1 2 300.337 1.533 20 30 DDEDLO C[N@H+](Cc1nnc(-c2ccc(C#N)cc2)o1)[C@@]1(CO)CCOC1 ZINC000359788388 410883938 /nfs/dbraw/zinc/88/39/38/410883938.db2.gz DLMIIAYHMAEVBX-MRXNPFEDSA-N 1 2 314.345 1.191 20 30 DDEDLO C[N@@H+](Cc1nnc(-c2ccc(C#N)cc2)o1)[C@@]1(CO)CCOC1 ZINC000359788388 410883943 /nfs/dbraw/zinc/88/39/43/410883943.db2.gz DLMIIAYHMAEVBX-MRXNPFEDSA-N 1 2 314.345 1.191 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2cccc(C#N)c2)CC[S@@]1=O ZINC000330835889 410895654 /nfs/dbraw/zinc/89/56/54/410895654.db2.gz IIMHKMHZRWKRJB-GTJPDFRWSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2cccc(C#N)c2)CC[S@@]1=O ZINC000330835889 410895659 /nfs/dbraw/zinc/89/56/59/410895659.db2.gz IIMHKMHZRWKRJB-GTJPDFRWSA-N 1 2 305.403 1.340 20 30 DDEDLO COc1cc[nH+]cc1CNC(=O)c1ncc(C#N)cc1Cl ZINC000348412826 410954253 /nfs/dbraw/zinc/95/42/53/410954253.db2.gz QNBWLAYZDBWXTC-UHFFFAOYSA-N 1 2 302.721 1.940 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[NH+]1CCC(O)(C(F)F)CC1 ZINC000331136507 410972286 /nfs/dbraw/zinc/97/22/86/410972286.db2.gz ISRIDMIARHEOET-LLVKDONJSA-N 1 2 323.343 1.977 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C ZINC000356355877 410981789 /nfs/dbraw/zinc/98/17/89/410981789.db2.gz XXFNWVOHLKMORG-MLGOLLRUSA-N 1 2 320.393 1.649 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000356366984 410988375 /nfs/dbraw/zinc/98/83/75/410988375.db2.gz LTCHPRXJMSMTBZ-HIFRSBDPSA-N 1 2 320.437 1.954 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000356366984 410988379 /nfs/dbraw/zinc/98/83/79/410988379.db2.gz LTCHPRXJMSMTBZ-HIFRSBDPSA-N 1 2 320.437 1.954 20 30 DDEDLO N#CC1(C(=O)NCc2cccc(-n3cc[nH+]c3)c2)CCOCC1 ZINC000360009805 410997467 /nfs/dbraw/zinc/99/74/67/410997467.db2.gz JGQXWHJFVPGQOU-UHFFFAOYSA-N 1 2 310.357 1.809 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000353464889 411007089 /nfs/dbraw/zinc/00/70/89/411007089.db2.gz XCUAGFXXPAOKQN-OAHLLOKOSA-N 1 2 321.421 1.740 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000353464889 411007096 /nfs/dbraw/zinc/00/70/96/411007096.db2.gz XCUAGFXXPAOKQN-OAHLLOKOSA-N 1 2 321.421 1.740 20 30 DDEDLO C[C@H]1C[C@@H](C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)CO1 ZINC000331261142 411045024 /nfs/dbraw/zinc/04/50/24/411045024.db2.gz LAZNKDTZZHQBEX-WMLDXEAASA-N 1 2 313.401 1.627 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H](F)C[C@H]2CNc2ccc(C#N)cn2)cn1 ZINC000341738396 411053150 /nfs/dbraw/zinc/05/31/50/411053150.db2.gz NFLMHUWPDUTVPJ-CABCVRRESA-N 1 2 314.368 1.711 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H](F)C[C@H]2CNc2ccc(C#N)cn2)cn1 ZINC000341738396 411053152 /nfs/dbraw/zinc/05/31/52/411053152.db2.gz NFLMHUWPDUTVPJ-CABCVRRESA-N 1 2 314.368 1.711 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@H](C)C(=O)N[C@](C)(C#N)C(C)C)s1 ZINC000353648602 411098496 /nfs/dbraw/zinc/09/84/96/411098496.db2.gz BLUITCHPARJOAL-ISTVAULSSA-N 1 2 309.439 1.940 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1c(C)nc2sccn21 ZINC000353679457 411108295 /nfs/dbraw/zinc/10/82/95/411108295.db2.gz XIORVBYAOSMNRJ-UHFFFAOYSA-N 1 2 321.406 1.148 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1c(C)nc2sccn21 ZINC000353679457 411108298 /nfs/dbraw/zinc/10/82/98/411108298.db2.gz XIORVBYAOSMNRJ-UHFFFAOYSA-N 1 2 321.406 1.148 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)Nc2ccn(CCC#N)n2)C1 ZINC000611125579 416661388 /nfs/dbraw/zinc/66/13/88/416661388.db2.gz KSCDVHIRGCMWFF-LBPRGKRZSA-N 1 2 313.365 1.552 20 30 DDEDLO Cc1n[nH]c(C2CC[NH+]([C@@H](C)C(=O)N(C)CCC#N)CC2)n1 ZINC000366002171 418414733 /nfs/dbraw/zinc/41/47/33/418414733.db2.gz AZXYJUBUSSHHLH-NSHDSACASA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nc(C2CC[NH+]([C@@H](C)C(=O)N(C)CCC#N)CC2)n[nH]1 ZINC000366002171 418414735 /nfs/dbraw/zinc/41/47/35/418414735.db2.gz AZXYJUBUSSHHLH-NSHDSACASA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nnc(C2CC[NH+]([C@@H](C)C(=O)N(C)CCC#N)CC2)[nH]1 ZINC000366002171 418414737 /nfs/dbraw/zinc/41/47/37/418414737.db2.gz AZXYJUBUSSHHLH-NSHDSACASA-N 1 2 304.398 1.053 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@H](C(=O)N1CC(O)(CC#N)C1)C2 ZINC000373512565 418433396 /nfs/dbraw/zinc/43/33/96/418433396.db2.gz BBAMVWAJOHNHGN-NSHDSACASA-N 1 2 302.378 1.125 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@@H](C(=O)N1CC(O)(CC#N)C1)CC2 ZINC000373512565 418433399 /nfs/dbraw/zinc/43/33/99/418433399.db2.gz BBAMVWAJOHNHGN-NSHDSACASA-N 1 2 302.378 1.125 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1Cc2ccccc2[C@@H](C(=O)OCC)C1 ZINC000374388047 418525801 /nfs/dbraw/zinc/52/58/01/418525801.db2.gz CPICXAVSNGOHLJ-BBRMVZONSA-N 1 2 316.401 1.840 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1Cc2ccccc2[C@@H](C(=O)OCC)C1 ZINC000374388047 418525802 /nfs/dbraw/zinc/52/58/02/418525802.db2.gz CPICXAVSNGOHLJ-BBRMVZONSA-N 1 2 316.401 1.840 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N(C)CC(=O)Nc1ccccc1Cl ZINC000192237867 222124306 /nfs/dbraw/zinc/12/43/06/222124306.db2.gz BQVJKZNHEICVBG-UHFFFAOYSA-N 1 2 307.781 1.302 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N(C)CC(=O)Nc1ccccc1Cl ZINC000192237867 222124309 /nfs/dbraw/zinc/12/43/09/222124309.db2.gz BQVJKZNHEICVBG-UHFFFAOYSA-N 1 2 307.781 1.302 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000294235967 418583509 /nfs/dbraw/zinc/58/35/09/418583509.db2.gz BMMMTBLYSRNVDQ-RDJZCZTQSA-N 1 2 316.401 1.214 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)Nc2ccc(CC#N)cc2)C1 ZINC000264641884 222334043 /nfs/dbraw/zinc/33/40/43/222334043.db2.gz MWYNTWMKJVORTN-HNNXBMFYSA-N 1 2 301.394 1.120 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)Nc2ccc(CC#N)cc2)C1 ZINC000264641884 222334048 /nfs/dbraw/zinc/33/40/48/222334048.db2.gz MWYNTWMKJVORTN-HNNXBMFYSA-N 1 2 301.394 1.120 20 30 DDEDLO Cc1nc(NCc2cccc(C(=O)N(C)CCC#N)c2)cc[nH+]1 ZINC000348603566 418640847 /nfs/dbraw/zinc/64/08/47/418640847.db2.gz WCTKGOXNCOLCLT-UHFFFAOYSA-N 1 2 309.373 2.383 20 30 DDEDLO N#CCN1CCC[C@@H](CNC(=O)c2ccc(-n3cc[nH+]c3)nc2)C1 ZINC000266112425 222358693 /nfs/dbraw/zinc/35/86/93/222358693.db2.gz SZGPIVRCQRUZRD-AWEZNQCLSA-N 1 2 324.388 1.233 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCC(F)(F)[C@H](CO)C1 ZINC000361422200 418649828 /nfs/dbraw/zinc/64/98/28/418649828.db2.gz YYFVXSSGKNFSRT-DYEKYZERSA-N 1 2 317.380 1.379 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCC(F)(F)[C@H](CO)C1 ZINC000361422200 418649830 /nfs/dbraw/zinc/64/98/30/418649830.db2.gz YYFVXSSGKNFSRT-DYEKYZERSA-N 1 2 317.380 1.379 20 30 DDEDLO COCC[C@@](C)(C#N)NC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000377379105 418709788 /nfs/dbraw/zinc/70/97/88/418709788.db2.gz JGELGJNNQLDNON-CPUCHLNUSA-N 1 2 316.405 1.589 20 30 DDEDLO COCC[C@@H]1COCCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000368269681 418712653 /nfs/dbraw/zinc/71/26/53/418712653.db2.gz MRHDRYHRFXKGTA-CQSZACIVSA-N 1 2 300.362 1.443 20 30 DDEDLO COCC[C@@H]1COCC[N@@H+]1Cc1cnc2ccc(C#N)cn12 ZINC000368269681 418712655 /nfs/dbraw/zinc/71/26/55/418712655.db2.gz MRHDRYHRFXKGTA-CQSZACIVSA-N 1 2 300.362 1.443 20 30 DDEDLO COCC[C@@H]1COCC[N@H+]1Cc1cnc2ccc(C#N)cn12 ZINC000368269681 418712657 /nfs/dbraw/zinc/71/26/57/418712657.db2.gz MRHDRYHRFXKGTA-CQSZACIVSA-N 1 2 300.362 1.443 20 30 DDEDLO CN(C)S(=O)(=O)CC[N@@H+]1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000375574466 418657997 /nfs/dbraw/zinc/65/79/97/418657997.db2.gz OMOXZOITFBMHDS-HZPDHXFCSA-N 1 2 321.446 1.650 20 30 DDEDLO CN(C)S(=O)(=O)CC[N@H+]1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000375574466 418657998 /nfs/dbraw/zinc/65/79/98/418657998.db2.gz OMOXZOITFBMHDS-HZPDHXFCSA-N 1 2 321.446 1.650 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](CCO)Cc1ccc(C)o1 ZINC000361532835 418672063 /nfs/dbraw/zinc/67/20/63/418672063.db2.gz ZPCXTVVXQQQJAF-CYBMUJFWSA-N 1 2 307.394 1.391 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CCO)Cc1ccc(C)o1 ZINC000361532835 418672065 /nfs/dbraw/zinc/67/20/65/418672065.db2.gz ZPCXTVVXQQQJAF-CYBMUJFWSA-N 1 2 307.394 1.391 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[S@](=O)CC[C@H]1C ZINC000375947682 418690423 /nfs/dbraw/zinc/69/04/23/418690423.db2.gz LYLZHVSJFLERHL-MCMMXHMISA-N 1 2 322.430 1.789 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[S@](=O)CC[C@H]1C ZINC000375947682 418690426 /nfs/dbraw/zinc/69/04/26/418690426.db2.gz LYLZHVSJFLERHL-MCMMXHMISA-N 1 2 322.430 1.789 20 30 DDEDLO CC[C@H]1CC[C@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)O1 ZINC000362499808 418754992 /nfs/dbraw/zinc/75/49/92/418754992.db2.gz WSBSPVLYGKOCAE-LSDHHAIUSA-N 1 2 309.410 1.018 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCO[C@@H](c2ccc(C)cc2C)C1 ZINC000363169300 418762957 /nfs/dbraw/zinc/76/29/57/418762957.db2.gz OBMWYXQNKYLGLL-NVXWUHKLSA-N 1 2 300.402 1.815 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCO[C@@H](c2ccc(C)cc2C)C1 ZINC000363169300 418762959 /nfs/dbraw/zinc/76/29/59/418762959.db2.gz OBMWYXQNKYLGLL-NVXWUHKLSA-N 1 2 300.402 1.815 20 30 DDEDLO CCc1nc(C[N@H+]2C[C@H]3CN(c4ccncc4C#N)C[C@H]3C2)no1 ZINC000364312514 418775245 /nfs/dbraw/zinc/77/52/45/418775245.db2.gz FSTKJGKHSQBIRF-OKILXGFUSA-N 1 2 324.388 1.467 20 30 DDEDLO CCc1nc(C[N@@H+]2C[C@H]3CN(c4ccncc4C#N)C[C@H]3C2)no1 ZINC000364312514 418775248 /nfs/dbraw/zinc/77/52/48/418775248.db2.gz FSTKJGKHSQBIRF-OKILXGFUSA-N 1 2 324.388 1.467 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+]([C@H]3CCCCNC3=O)CC2)s1 ZINC000371312746 418777737 /nfs/dbraw/zinc/77/77/37/418777737.db2.gz DURATKVIOFDDMO-HNNXBMFYSA-N 1 2 318.446 1.406 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@@H](C)[NH+]1CCC(C)(C#N)CC1 ZINC000408159514 418790379 /nfs/dbraw/zinc/79/03/79/418790379.db2.gz QBPDETSDQUDQKM-CYBMUJFWSA-N 1 2 303.410 1.990 20 30 DDEDLO C=CCN(CC1CCCCC1)C(=O)C(=O)N1CC[NH+](C)CC1 ZINC000410558589 418822986 /nfs/dbraw/zinc/82/29/86/418822986.db2.gz AECLPKNKDZJPLZ-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=CCN(CCC#N)C(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000411557035 419343010 /nfs/dbraw/zinc/34/30/10/419343010.db2.gz YOVKENNFJHNXEO-UHFFFAOYSA-N 1 2 300.362 1.874 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)Nc1ccccc1-n1cnc(C#N)n1 ZINC000426805382 419550699 /nfs/dbraw/zinc/55/06/99/419550699.db2.gz AYJWITZHEIBUQZ-UHFFFAOYSA-N 1 2 307.317 1.352 20 30 DDEDLO C[C@@H](O)CNc1cc(NCc2ccc(C#N)c(F)c2)nc[nH+]1 ZINC000413316146 419778500 /nfs/dbraw/zinc/77/85/00/419778500.db2.gz LATFTZCXOYLLPA-SNVBAGLBSA-N 1 2 301.325 1.892 20 30 DDEDLO C[C@@H](O)CNc1cc(NCc2ccc(C#N)c(F)c2)[nH+]cn1 ZINC000413316146 419778506 /nfs/dbraw/zinc/77/85/06/419778506.db2.gz LATFTZCXOYLLPA-SNVBAGLBSA-N 1 2 301.325 1.892 20 30 DDEDLO N#Cc1c(F)cccc1C[NH+]1CCC(CS(N)(=O)=O)CC1 ZINC000428312023 419846747 /nfs/dbraw/zinc/84/67/47/419846747.db2.gz UTRDVZAYETWQLQ-UHFFFAOYSA-N 1 2 311.382 1.198 20 30 DDEDLO COC(=O)c1conc1C(C)(C)[NH2+]Cc1cc(C#N)n(C)c1 ZINC000425375315 420365053 /nfs/dbraw/zinc/36/50/53/420365053.db2.gz SPTRORZWKHCWPY-UHFFFAOYSA-N 1 2 302.334 1.696 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)[C@@H](C)[C@@H](C)O1 ZINC000446068822 230226425 /nfs/dbraw/zinc/22/64/25/230226425.db2.gz DMYJGSGPNMCCGE-HZSPNIEDSA-N 1 2 301.390 1.672 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)[C@@H](C)[C@@H](C)O1 ZINC000446068822 230226427 /nfs/dbraw/zinc/22/64/27/230226427.db2.gz DMYJGSGPNMCCGE-HZSPNIEDSA-N 1 2 301.390 1.672 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)CCCC#N)CC[N@@H+]1Cc1ccccc1 ZINC000416583527 420424669 /nfs/dbraw/zinc/42/46/69/420424669.db2.gz CHJHEGDUPNPETD-OAHLLOKOSA-N 1 2 321.446 1.826 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)CCCC#N)CC[N@H+]1Cc1ccccc1 ZINC000416583527 420424674 /nfs/dbraw/zinc/42/46/74/420424674.db2.gz CHJHEGDUPNPETD-OAHLLOKOSA-N 1 2 321.446 1.826 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCSC[C@H]2CCO)c1 ZINC000438642832 420462626 /nfs/dbraw/zinc/46/26/26/420462626.db2.gz BZWUYHKORXENRQ-CQSZACIVSA-N 1 2 305.403 1.297 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCSC[C@H]2CCO)c1 ZINC000438642832 420462628 /nfs/dbraw/zinc/46/26/28/420462628.db2.gz BZWUYHKORXENRQ-CQSZACIVSA-N 1 2 305.403 1.297 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C(=O)OCC ZINC000456608617 420514431 /nfs/dbraw/zinc/51/44/31/420514431.db2.gz NREUTGSAQNUVJN-GXTWGEPZSA-N 1 2 305.378 1.460 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCCC[N@@H+]1CCOc1cccc(C#N)c1 ZINC000451658271 420607426 /nfs/dbraw/zinc/60/74/26/420607426.db2.gz MSDXVRMUUOXFCP-OAHLLOKOSA-N 1 2 322.430 1.836 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCCC[N@H+]1CCOc1cccc(C#N)c1 ZINC000451658271 420607431 /nfs/dbraw/zinc/60/74/31/420607431.db2.gz MSDXVRMUUOXFCP-OAHLLOKOSA-N 1 2 322.430 1.836 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[NH2+][C@H](CO)[C@H]3CCCO3)o2)cc1 ZINC000447905621 420813566 /nfs/dbraw/zinc/81/35/66/420813566.db2.gz MZSLERZQZCRQPJ-ZIAGYGMSSA-N 1 2 314.345 1.238 20 30 DDEDLO C=C(CC)CNC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000454372657 420847968 /nfs/dbraw/zinc/84/79/68/420847968.db2.gz ZSQNKDDXSJVCEK-HNNXBMFYSA-N 1 2 313.467 1.460 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000448598852 420868553 /nfs/dbraw/zinc/86/85/53/420868553.db2.gz RNCHCWMAFWBSIK-CVEARBPZSA-N 1 2 301.390 1.317 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C/c1ccc2c(c1)OCCO2 ZINC000493543577 420877208 /nfs/dbraw/zinc/87/72/08/420877208.db2.gz BZXAMKZXBGJIBI-UABRLCRWSA-N 1 2 315.373 1.431 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000450598606 421215658 /nfs/dbraw/zinc/21/56/58/421215658.db2.gz JQMLMMDPJLUQEP-UHFFFAOYSA-N 1 2 310.361 1.256 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ccnc(C)c3)CC2)c1C#N ZINC000527539174 421397040 /nfs/dbraw/zinc/39/70/40/421397040.db2.gz PMZYNMGZDLVTHQ-UHFFFAOYSA-N 1 2 310.405 1.626 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000563263148 421478643 /nfs/dbraw/zinc/47/86/43/421478643.db2.gz UUWCPTLNCUJGMX-SUMWQHHRSA-N 1 2 305.422 1.760 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@H+](C)Cc1cnc2c(C#N)cnn2c1 ZINC000563958037 421560739 /nfs/dbraw/zinc/56/07/39/421560739.db2.gz KOYSKQIHOVHRHZ-NSHDSACASA-N 1 2 314.393 1.336 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@@H+](C)Cc1cnc2c(C#N)cnn2c1 ZINC000563958037 421560741 /nfs/dbraw/zinc/56/07/41/421560741.db2.gz KOYSKQIHOVHRHZ-NSHDSACASA-N 1 2 314.393 1.336 20 30 DDEDLO C=CCCCCCNC(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000565313978 421597586 /nfs/dbraw/zinc/59/75/86/421597586.db2.gz UEFHERRFNICGFS-UHFFFAOYSA-N 1 2 305.426 1.983 20 30 DDEDLO COc1cccc(COCC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000565050451 421595487 /nfs/dbraw/zinc/59/54/87/421595487.db2.gz CMVFLHVLORJWEK-INIZCTEOSA-N 1 2 305.378 1.172 20 30 DDEDLO CSc1cccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1[N+](=O)[O-] ZINC000566309368 421604535 /nfs/dbraw/zinc/60/45/35/421604535.db2.gz OMBGNWVOPFVAPX-CQSZACIVSA-N 1 2 322.390 1.890 20 30 DDEDLO COC(=O)[C@@H](C)c1cccc(NC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000567494625 421612268 /nfs/dbraw/zinc/61/22/68/421612268.db2.gz UICRZSPXJIYZGA-BBRMVZONSA-N 1 2 319.405 1.581 20 30 DDEDLO COC(=O)[C@@H](C)c1cccc(NC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000567494625 421612270 /nfs/dbraw/zinc/61/22/70/421612270.db2.gz UICRZSPXJIYZGA-BBRMVZONSA-N 1 2 319.405 1.581 20 30 DDEDLO C[C@@H](c1nccc(N)n1)[N@H+](C)CCOCc1ccc(C#N)cc1 ZINC000568527790 421620410 /nfs/dbraw/zinc/62/04/10/421620410.db2.gz AHFANYFIITZCSP-ZDUSSCGKSA-N 1 2 311.389 2.140 20 30 DDEDLO C[C@@H](c1nccc(N)n1)[N@@H+](C)CCOCc1ccc(C#N)cc1 ZINC000568527790 421620413 /nfs/dbraw/zinc/62/04/13/421620413.db2.gz AHFANYFIITZCSP-ZDUSSCGKSA-N 1 2 311.389 2.140 20 30 DDEDLO Cn1cc(-c2ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)o2)cn1 ZINC000568633278 421621282 /nfs/dbraw/zinc/62/12/82/421621282.db2.gz GXTKVEWCZDSQJT-OAHLLOKOSA-N 1 2 301.350 1.254 20 30 DDEDLO C=CCCC1(C(=O)N[C@H]2CCc3c([nH+]c(C)n3CCO)C2)CC1 ZINC000571291132 421703546 /nfs/dbraw/zinc/70/35/46/421703546.db2.gz VBVDCSDPRSBFNO-AWEZNQCLSA-N 1 2 317.433 1.904 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NCc1cn2c([nH+]1)CCCC2 ZINC000519621410 421709275 /nfs/dbraw/zinc/70/92/75/421709275.db2.gz JKVQSPWOXTWQAB-BBRMVZONSA-N 1 2 318.421 2.000 20 30 DDEDLO N#Cc1cccc(-c2c[nH]c(CNC(=O)Cn3cc[nH+]c3)n2)c1 ZINC000558646340 421818130 /nfs/dbraw/zinc/81/81/30/421818130.db2.gz JMBLFGSVRIPZBM-UHFFFAOYSA-N 1 2 306.329 1.461 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)[C@H]2CCC(=O)N(C)C2)c(C#N)c1C ZINC000581079714 421895509 /nfs/dbraw/zinc/89/55/09/421895509.db2.gz GSRQYFIMPQKTLD-LBPRGKRZSA-N 1 2 318.377 1.259 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)[C@H]2CCC(=O)N(C)C2)c(C#N)c1C ZINC000581079714 421895516 /nfs/dbraw/zinc/89/55/16/421895516.db2.gz GSRQYFIMPQKTLD-LBPRGKRZSA-N 1 2 318.377 1.259 20 30 DDEDLO C=CCOc1ccc(CNC(=O)N[C@H]2Cc3c[nH+]cn3C2)cc1 ZINC000637138433 422023995 /nfs/dbraw/zinc/02/39/95/422023995.db2.gz NNQZJGBBAYHVKU-AWEZNQCLSA-N 1 2 312.373 1.872 20 30 DDEDLO CC(=O)c1c(F)cc(F)cc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000573782439 422013613 /nfs/dbraw/zinc/01/36/13/422013613.db2.gz TUORUMCIFWJITQ-LBPRGKRZSA-N 1 2 312.316 1.753 20 30 DDEDLO CC(=O)c1c(F)cc(F)cc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000573782439 422013617 /nfs/dbraw/zinc/01/36/17/422013617.db2.gz TUORUMCIFWJITQ-LBPRGKRZSA-N 1 2 312.316 1.753 20 30 DDEDLO C[C@@H]1CC[S@](=O)CC[N@@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000528479866 269856402 /nfs/dbraw/zinc/85/64/02/269856402.db2.gz YCOXTCXBHCLFRF-QCPUYCGNSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[S@](=O)CC[N@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000528479866 269856404 /nfs/dbraw/zinc/85/64/04/269856404.db2.gz YCOXTCXBHCLFRF-QCPUYCGNSA-N 1 2 322.430 1.141 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)Nc2cc(C)[nH+]cc2C)C1=O ZINC000577336446 422383684 /nfs/dbraw/zinc/38/36/84/422383684.db2.gz VDVLBDFDPLXMTN-AWEZNQCLSA-N 1 2 302.378 1.371 20 30 DDEDLO C=CCCCS(=O)(=O)N[C@@H]1C[C@@H]([NH+]2CCOCC2)C1(C)C ZINC000632502628 422394481 /nfs/dbraw/zinc/39/44/81/422394481.db2.gz PESPRSHBYWERAA-ZIAGYGMSSA-N 1 2 316.467 1.371 20 30 DDEDLO Cn1ncc2c1nc(N)[nH+]c2N1CCc2c(CC#N)cccc2C1 ZINC000599195131 422404456 /nfs/dbraw/zinc/40/44/56/422404456.db2.gz OPDGJWDCFUUXNK-UHFFFAOYSA-N 1 2 319.372 1.574 20 30 DDEDLO N#Cc1c[nH]nc1NC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000610620279 422471326 /nfs/dbraw/zinc/47/13/26/422471326.db2.gz MYYHLXLJGAGLDN-UHFFFAOYSA-N 1 2 323.272 1.628 20 30 DDEDLO Cc1cc(N2CCN(C(=O)c3ccccn3)CC2)c(C#N)c[nH+]1 ZINC000425208289 269914490 /nfs/dbraw/zinc/91/44/90/269914490.db2.gz MRYHVARMTMPYQV-UHFFFAOYSA-N 1 2 307.357 1.619 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc(-c3ccc(C)cc3)no2)nn1 ZINC000640848975 423229776 /nfs/dbraw/zinc/22/97/76/423229776.db2.gz XNFXCVVSQMKVLU-UHFFFAOYSA-N 1 2 322.372 1.798 20 30 DDEDLO C=CCCn1cc(C(=O)NCCc2cn3c(cccc3C)[nH+]2)nn1 ZINC000648708352 423422268 /nfs/dbraw/zinc/42/22/68/423422268.db2.gz GTLGZBJHDXFBBY-UHFFFAOYSA-N 1 2 324.388 1.783 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc(-n3ccnc3)cc2C)nn1 ZINC000641164686 423438925 /nfs/dbraw/zinc/43/89/25/423438925.db2.gz QRJCXKVCNFCGGA-UHFFFAOYSA-N 1 2 320.400 1.933 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H]2CN2CCOCC2)nn1 ZINC000653559841 423528332 /nfs/dbraw/zinc/52/83/32/423528332.db2.gz XKKASNXVSZNJIC-MRXNPFEDSA-N 1 2 305.426 1.151 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H]2CN2CCOCC2)nn1 ZINC000653559841 423528334 /nfs/dbraw/zinc/52/83/34/423528334.db2.gz XKKASNXVSZNJIC-MRXNPFEDSA-N 1 2 305.426 1.151 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](Cc2cnn3ccccc23)CC1 ZINC000519060902 269977949 /nfs/dbraw/zinc/97/79/49/269977949.db2.gz NREDLCFRFMRDTE-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(C(=O)CC(C)(C)C)CC1 ZINC000642097363 424108790 /nfs/dbraw/zinc/10/87/90/424108790.db2.gz HQDLJDHWXFLBCM-UHFFFAOYSA-N 1 2 321.465 1.767 20 30 DDEDLO C=CC[C@@H]1CCCN(S(=O)(=O)CCC[NH+]2CCOCC2)C1 ZINC000657094105 424101070 /nfs/dbraw/zinc/10/10/70/424101070.db2.gz MTFBJMGJDUTYQR-OAHLLOKOSA-N 1 2 316.467 1.327 20 30 DDEDLO C=CCCNc1ccc(S(=O)(=O)N2CC[NH+](C)CC2)cn1 ZINC000664227806 424472774 /nfs/dbraw/zinc/47/27/74/424472774.db2.gz KGJHRGJPRTZXHJ-UHFFFAOYSA-N 1 2 310.423 1.006 20 30 DDEDLO C=C(C)CS(=O)(=O)N[C@@H]1C[C@@H]([NH+]2CCOCC2)C12CCC2 ZINC000660036223 424478398 /nfs/dbraw/zinc/47/83/98/424478398.db2.gz KUZRATIJEDHLSP-ZIAGYGMSSA-N 1 2 314.451 1.125 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@@](C)(C(F)F)C1 ZINC000662373973 424591819 /nfs/dbraw/zinc/59/18/19/424591819.db2.gz LNIQSTPQKKLOEW-SWLSCSKDSA-N 1 2 302.365 1.932 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@@](C)(C(F)F)C1 ZINC000662373973 424591825 /nfs/dbraw/zinc/59/18/25/424591825.db2.gz LNIQSTPQKKLOEW-SWLSCSKDSA-N 1 2 302.365 1.932 20 30 DDEDLO CC(C)(C)c1noc(C[NH+]2CCC(n3cnc(C#N)n3)CC2)n1 ZINC000373399877 265747450 /nfs/dbraw/zinc/74/74/50/265747450.db2.gz NFPGFBPDEQJUNC-UHFFFAOYSA-N 1 2 315.381 1.667 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC000660853744 424792068 /nfs/dbraw/zinc/79/20/68/424792068.db2.gz GWWHXBACMGBCGV-HRCADAONSA-N 1 2 306.454 1.515 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)N[C@H](C)C[NH+]2CCN(C)CC2)C1 ZINC000660855752 424794124 /nfs/dbraw/zinc/79/41/24/424794124.db2.gz TYCAMSNAJWEHMY-HZPDHXFCSA-N 1 2 308.470 1.620 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@H+](Cc3ccc(C#N)cc3F)CCN2C1=O ZINC000369397515 266141525 /nfs/dbraw/zinc/14/15/25/266141525.db2.gz HJKUUNJISFIXKM-CQSZACIVSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@@H+](Cc3ccc(C#N)cc3F)CCN2C1=O ZINC000369397515 266141527 /nfs/dbraw/zinc/14/15/27/266141527.db2.gz HJKUUNJISFIXKM-CQSZACIVSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1CC[N@H+](CCC(=O)Nc2cccc(C#N)c2)CC1=O ZINC000348814203 266149101 /nfs/dbraw/zinc/14/91/01/266149101.db2.gz ZXJXFLQKHHKMIZ-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO CCN1CC[N@@H+](CCC(=O)Nc2cccc(C#N)c2)CC1=O ZINC000348814203 266149104 /nfs/dbraw/zinc/14/91/04/266149104.db2.gz ZXJXFLQKHHKMIZ-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO CC[C@@H](C(=O)OC)N1CC[NH+](Cc2cc(C#N)ccc2F)CC1 ZINC000352726436 266352588 /nfs/dbraw/zinc/35/25/88/266352588.db2.gz QSHRRJGYRPXPRI-INIZCTEOSA-N 1 2 319.380 1.767 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2ccc(C#N)s2)C[C@H](C)O1 ZINC000345394719 267051008 /nfs/dbraw/zinc/05/10/08/267051008.db2.gz UXYQHNSSUCZZDY-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2ccc(C#N)s2)C[C@H](C)O1 ZINC000345394719 267051011 /nfs/dbraw/zinc/05/10/11/267051011.db2.gz UXYQHNSSUCZZDY-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO Cc1cc(N2CC[NH+](CC(=O)N3CCCC3)CC2)cc(C#N)n1 ZINC000341386931 267338695 /nfs/dbraw/zinc/33/86/95/267338695.db2.gz SJXWYWKYCVAYLZ-UHFFFAOYSA-N 1 2 313.405 1.006 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)o1 ZINC000344205151 268194734 /nfs/dbraw/zinc/19/47/34/268194734.db2.gz FHUWBWUNMZHZII-CYBMUJFWSA-N 1 2 319.342 1.388 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CC[C@H]3CC[C@@H](C2)[S@]3=O)cc1 ZINC000528780924 268242380 /nfs/dbraw/zinc/24/23/80/268242380.db2.gz BKDGUJUVDFKIIA-ZCCHDVMBSA-N 1 2 317.414 1.482 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CC[C@H]3CC[C@@H](C2)[S@]3=O)cc1 ZINC000528780924 268242382 /nfs/dbraw/zinc/24/23/82/268242382.db2.gz BKDGUJUVDFKIIA-ZCCHDVMBSA-N 1 2 317.414 1.482 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[NH+]2CCC(c3nc[nH]n3)CC2)c1 ZINC000365917483 268249674 /nfs/dbraw/zinc/24/96/74/268249674.db2.gz BWGRTQOZFSETBN-UHFFFAOYSA-N 1 2 324.388 1.172 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000375786954 268281950 /nfs/dbraw/zinc/28/19/50/268281950.db2.gz NSAKLARYOBFWEO-DZGCQCFKSA-N 1 2 306.387 1.056 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000375786954 268281952 /nfs/dbraw/zinc/28/19/52/268281952.db2.gz NSAKLARYOBFWEO-DZGCQCFKSA-N 1 2 306.387 1.056 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]([NH+]3CCOCC3)C[C@H]2C)CCOCC1 ZINC000424830220 277825085 /nfs/dbraw/zinc/82/50/85/277825085.db2.gz HNAVVRZARAGWSZ-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO Cc1nc([C@@H]2CCCN2C([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)no1 ZINC000329742177 293368975 /nfs/dbraw/zinc/36/89/75/293368975.db2.gz PGWMUUORTSIACG-MFKMUULPSA-N 1 2 316.365 1.710 20 30 DDEDLO Cc1nc([C@@H]2CCCN2C([O-])=[NH+][C@@H]2CCc3[nH+]c[nH]c3C2)no1 ZINC000329742177 293368976 /nfs/dbraw/zinc/36/89/76/293368976.db2.gz PGWMUUORTSIACG-MFKMUULPSA-N 1 2 316.365 1.710 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCOC[C@H]3[C@H]3CCCC3=O)n2c1 ZINC000368490506 301039160 /nfs/dbraw/zinc/03/91/60/301039160.db2.gz OKUBHNRHQCXJRR-CVEARBPZSA-N 1 2 324.384 1.776 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[N@@H+](C)[C@@H](C)[C@@H]2C)c1C#N ZINC000408084689 302010504 /nfs/dbraw/zinc/01/05/04/302010504.db2.gz SIWCTZCYDITBEX-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[N@H+](C)[C@@H](C)[C@@H]2C)c1C#N ZINC000408084689 302010506 /nfs/dbraw/zinc/01/05/06/302010506.db2.gz SIWCTZCYDITBEX-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000550721668 303627327 /nfs/dbraw/zinc/62/73/27/303627327.db2.gz OHHQKXSSAKZIAV-OLZOCXBDSA-N 1 2 302.378 1.101 20 30 DDEDLO N#Cc1cccc(-c2cc(C(=O)NCCc3[nH]cc[nH+]3)on2)c1 ZINC000559980521 303797258 /nfs/dbraw/zinc/79/72/58/303797258.db2.gz GJPZUMUONJJABB-UHFFFAOYSA-N 1 2 307.313 1.909 20 30 DDEDLO C=CCc1cc(C[NH+]2CCN(CC#N)CC2)cc(OC)c1O ZINC000092936225 332132525 /nfs/dbraw/zinc/13/25/25/332132525.db2.gz WGZWYQNQPAVROO-UHFFFAOYSA-N 1 2 301.390 1.770 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCc1ccc[nH+]c1N(C)C ZINC000562827196 332629634 /nfs/dbraw/zinc/62/96/34/332629634.db2.gz BMXLXJSHZNIKDI-KGLIPLIRSA-N 1 2 304.394 1.680 20 30 DDEDLO COc1ccc(C[C@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000518778926 333166065 /nfs/dbraw/zinc/16/60/65/333166065.db2.gz WDQZEWYOHFLWBW-GUYCJALGSA-N 1 2 303.406 1.834 20 30 DDEDLO C=CCCCCCNC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000565314741 333342247 /nfs/dbraw/zinc/34/22/47/333342247.db2.gz YYSNPKQYWCEQGA-UHFFFAOYSA-N 1 2 319.453 1.994 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCOc3cc[nH+]cc32)CCCC1 ZINC000339154804 333510274 /nfs/dbraw/zinc/51/02/74/333510274.db2.gz MYLFCPOHCXYAON-UHFFFAOYSA-N 1 2 307.375 1.694 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2ccccc2O)CC1 ZINC000051184482 334739852 /nfs/dbraw/zinc/73/98/52/334739852.db2.gz BTDJMNWIGPDKHH-UHFFFAOYSA-N 1 2 316.405 1.276 20 30 DDEDLO CSc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1[N+](=O)[O-] ZINC000514604260 336385695 /nfs/dbraw/zinc/38/56/95/336385695.db2.gz BDYYJQYMEPODHK-AWEZNQCLSA-N 1 2 322.390 1.890 20 30 DDEDLO C=C(C)COCCNC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000135008657 337450394 /nfs/dbraw/zinc/45/03/94/337450394.db2.gz IEVYHYJCDWHIEV-UHFFFAOYSA-N 1 2 318.421 1.942 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1CCCSC1 ZINC000572084011 337589804 /nfs/dbraw/zinc/58/98/04/337589804.db2.gz LAYKOYIFTYIRQS-CQSZACIVSA-N 1 2 311.451 1.204 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C ZINC000547535066 341221289 /nfs/dbraw/zinc/22/12/89/341221289.db2.gz VIKFCQXVEARSMM-INIZCTEOSA-N 1 2 320.393 1.340 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@H](O)C[N@H+](C)[C@H](C)CC#N ZINC000247566093 341367637 /nfs/dbraw/zinc/36/76/37/341367637.db2.gz LDBFYSJRCLKQGD-IUODEOHRSA-N 1 2 320.389 1.871 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@H](O)C[N@@H+](C)[C@H](C)CC#N ZINC000247566093 341367638 /nfs/dbraw/zinc/36/76/38/341367638.db2.gz LDBFYSJRCLKQGD-IUODEOHRSA-N 1 2 320.389 1.871 20 30 DDEDLO C=CC[C@H]1CCCC[C@H]1NC(=O)C(=O)Nc1ccc(N)[nH+]c1 ZINC000659091285 484617292 /nfs/dbraw/zinc/61/72/92/484617292.db2.gz FVQHBRILVDHTDM-WCQYABFASA-N 1 2 302.378 1.853 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)Nc1cc[nH+]cc1N(C)C ZINC000670082755 484750275 /nfs/dbraw/zinc/75/02/75/484750275.db2.gz XAOBDRLUNVAVGN-WFASDCNBSA-N 1 2 304.394 1.672 20 30 DDEDLO C=CCOCCCNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000660953900 484827822 /nfs/dbraw/zinc/82/78/22/484827822.db2.gz ZOSJZSROTUBLLS-CQSZACIVSA-N 1 2 304.394 1.552 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+]([C@@H](C)c2cccc(OC)c2)CC1 ZINC000668239036 485047764 /nfs/dbraw/zinc/04/77/64/485047764.db2.gz FWMGZLLELOALEW-KSSFIOAISA-N 1 2 318.417 1.837 20 30 DDEDLO C[C@H](Cc1ccc(O)cc1)NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329925889 534143574 /nfs/dbraw/zinc/14/35/74/534143574.db2.gz KFULSGHXONJBJR-KCXAZCMYSA-N 1 2 319.405 1.252 20 30 DDEDLO C[C@H](Cc1ccc(O)cc1)NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329925889 534143582 /nfs/dbraw/zinc/14/35/82/534143582.db2.gz KFULSGHXONJBJR-KCXAZCMYSA-N 1 2 319.405 1.252 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)N1CC[C@H](CCO)C1 ZINC000330938971 534373975 /nfs/dbraw/zinc/37/39/75/534373975.db2.gz VVTXOUIBBLQHRD-SNVBAGLBSA-N 1 2 320.315 1.564 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2ccccc2C#N)CC[S@]1=O ZINC000330875544 534460071 /nfs/dbraw/zinc/46/00/71/534460071.db2.gz ZYLLAIDCULPBJI-GTJPDFRWSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2ccccc2C#N)CC[S@]1=O ZINC000330875544 534460076 /nfs/dbraw/zinc/46/00/76/534460076.db2.gz ZYLLAIDCULPBJI-GTJPDFRWSA-N 1 2 305.403 1.340 20 30 DDEDLO N#CC1(CS(=O)(=O)NCCNc2cccc[nH+]2)CCCCC1 ZINC000332607604 534559101 /nfs/dbraw/zinc/55/91/01/534559101.db2.gz JXSXDNQAKAVVBJ-UHFFFAOYSA-N 1 2 322.434 1.887 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCCc1ccc(OC)c(OC)c1 ZINC000339765080 526357642 /nfs/dbraw/zinc/35/76/42/526357642.db2.gz ZUUOYVUBIBATHK-UHFFFAOYSA-N 1 2 304.390 1.318 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCCc1ccc(OC)c(OC)c1 ZINC000339765080 526357647 /nfs/dbraw/zinc/35/76/47/526357647.db2.gz ZUUOYVUBIBATHK-UHFFFAOYSA-N 1 2 304.390 1.318 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2c(C)cc(C)cc2C)C1 ZINC000330964416 526402256 /nfs/dbraw/zinc/40/22/56/526402256.db2.gz ZNFBEKBRMXTRTH-AWEZNQCLSA-N 1 2 318.421 1.758 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2c(C)cc(C)cc2C)C1 ZINC000330964416 526402259 /nfs/dbraw/zinc/40/22/59/526402259.db2.gz ZNFBEKBRMXTRTH-AWEZNQCLSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N([C@H](C)C2CC2)C2CC2)C1=O ZINC000337220928 526466967 /nfs/dbraw/zinc/46/69/67/526466967.db2.gz KYDISSBNZOCFMU-CZUORRHYSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N([C@H](C)C2CC2)C2CC2)C1=O ZINC000337220928 526466972 /nfs/dbraw/zinc/46/69/72/526466972.db2.gz KYDISSBNZOCFMU-CZUORRHYSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCO[C@@H](COc3ccccc3)C2)C1=O ZINC000337205679 526482401 /nfs/dbraw/zinc/48/24/01/526482401.db2.gz LBCVEFIPSIVOMI-IAGOWNOFSA-N 1 2 316.401 1.553 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCO[C@@H](COc3ccccc3)C2)C1=O ZINC000337205679 526482407 /nfs/dbraw/zinc/48/24/07/526482407.db2.gz LBCVEFIPSIVOMI-IAGOWNOFSA-N 1 2 316.401 1.553 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](C)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000337477976 526621064 /nfs/dbraw/zinc/62/10/64/526621064.db2.gz ZJMJBGPWZHWOAL-LSDHHAIUSA-N 1 2 317.433 1.829 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](C)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000337477976 526621068 /nfs/dbraw/zinc/62/10/68/526621068.db2.gz ZJMJBGPWZHWOAL-LSDHHAIUSA-N 1 2 317.433 1.829 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCc2c(ccc(OC)c2OC)C1 ZINC000340974783 526634097 /nfs/dbraw/zinc/63/40/97/526634097.db2.gz OSGMSGPEXWFXBU-LBPRGKRZSA-N 1 2 304.390 1.753 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCc2c(ccc(OC)c2OC)C1 ZINC000340974783 526634101 /nfs/dbraw/zinc/63/41/01/526634101.db2.gz OSGMSGPEXWFXBU-LBPRGKRZSA-N 1 2 304.390 1.753 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[NH2+][C@@H](c2ccncc2)C1)OCC ZINC000337667002 526746195 /nfs/dbraw/zinc/74/61/95/526746195.db2.gz TWGJNBGEVMOYRB-HZPDHXFCSA-N 1 2 303.406 1.926 20 30 DDEDLO C#CC[N@@H+](CCCCC1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000490701778 526901862 /nfs/dbraw/zinc/90/18/62/526901862.db2.gz XKKHKAMVWACBHD-INIZCTEOSA-N 1 2 313.463 1.706 20 30 DDEDLO C#CC[N@H+](CCCCC1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000490701778 526901866 /nfs/dbraw/zinc/90/18/66/526901866.db2.gz XKKHKAMVWACBHD-INIZCTEOSA-N 1 2 313.463 1.706 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cccc(Cl)c2F)CC1 ZINC000490800563 526952111 /nfs/dbraw/zinc/95/21/11/526952111.db2.gz VAGUYZLBPDCRFI-UHFFFAOYSA-N 1 2 309.772 1.668 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)C)n2CC(C)C)CC1 ZINC000491757780 526956480 /nfs/dbraw/zinc/95/64/80/526956480.db2.gz FMCJFOMFSDCUGX-UHFFFAOYSA-N 1 2 303.454 1.987 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@]3(C)CCCO3)n2CC)CC1 ZINC000491295820 526957150 /nfs/dbraw/zinc/95/71/50/526957150.db2.gz IXRCQLLDGUDOIL-MRXNPFEDSA-N 1 2 303.410 1.079 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1Cc2ccccc2[C@@H](n2cccn2)C1 ZINC000363232169 527021220 /nfs/dbraw/zinc/02/12/20/527021220.db2.gz QXSQANGRZVIBIS-PBHICJAKSA-N 1 2 308.385 1.426 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1Cc2ccccc2[C@@H](n2cccn2)C1 ZINC000363232169 527021225 /nfs/dbraw/zinc/02/12/25/527021225.db2.gz QXSQANGRZVIBIS-PBHICJAKSA-N 1 2 308.385 1.426 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000342151385 527071549 /nfs/dbraw/zinc/07/15/49/527071549.db2.gz AGCCKQQQFREKMU-GDBMZVCRSA-N 1 2 312.454 1.424 20 30 DDEDLO C=CCCC(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000343300610 527079519 /nfs/dbraw/zinc/07/95/19/527079519.db2.gz IIDMNZQLUGCHNP-UHFFFAOYSA-N 1 2 302.378 1.374 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)CCn2cc(Cl)cn2)n1 ZINC000491810281 527190075 /nfs/dbraw/zinc/19/00/75/527190075.db2.gz YXYBIXLYSPEKPE-UHFFFAOYSA-N 1 2 321.812 1.515 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)CCn2cc(Cl)cn2)n1 ZINC000491810281 527190084 /nfs/dbraw/zinc/19/00/84/527190084.db2.gz YXYBIXLYSPEKPE-UHFFFAOYSA-N 1 2 321.812 1.515 20 30 DDEDLO C#CCn1ccc(CN(CCOC)Cc2cc(OC)cc[nH+]2)n1 ZINC000491818288 527191622 /nfs/dbraw/zinc/19/16/22/527191622.db2.gz KVBVIYQPPXVCAL-UHFFFAOYSA-N 1 2 314.389 1.569 20 30 DDEDLO C=CCn1c(-c2ccc[nH]2)nnc1N(C)CC[NH+]1CCOCC1 ZINC000337771677 527269033 /nfs/dbraw/zinc/26/90/33/527269033.db2.gz DUFBDIQMMTYNID-UHFFFAOYSA-N 1 2 316.409 1.228 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[C@@H]([NH+]2CCN(CC)CC2)C1)C(C)C ZINC000491713961 527272949 /nfs/dbraw/zinc/27/29/49/527272949.db2.gz LIWKEJKHZQDPGZ-HZPDHXFCSA-N 1 2 306.454 1.066 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CC[C@@H](NC(=O)NC)C2)c1C ZINC000342372268 527296441 /nfs/dbraw/zinc/29/64/41/527296441.db2.gz MKVHHYXAZTXKJY-CQSZACIVSA-N 1 2 318.421 1.477 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CC[C@@H](NC(=O)NC)C2)c1C ZINC000342372268 527296446 /nfs/dbraw/zinc/29/64/46/527296446.db2.gz MKVHHYXAZTXKJY-CQSZACIVSA-N 1 2 318.421 1.477 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@H](O)COc1ccc(OCC)cc1 ZINC000491681339 527311271 /nfs/dbraw/zinc/31/12/71/527311271.db2.gz MNOKZHVOHIJGNN-CABCVRRESA-N 1 2 305.374 1.159 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@H](O)COc1ccc(OCC)cc1 ZINC000491681339 527311274 /nfs/dbraw/zinc/31/12/74/527311274.db2.gz MNOKZHVOHIJGNN-CABCVRRESA-N 1 2 305.374 1.159 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000491699237 527321361 /nfs/dbraw/zinc/32/13/61/527321361.db2.gz ULKCYGUHWUAJQR-RDJZCZTQSA-N 1 2 315.417 1.723 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)N(CC(=O)OCC)c1ccccc1 ZINC000491407666 527331109 /nfs/dbraw/zinc/33/11/09/527331109.db2.gz RZTTUNDWHQCUHB-AWEZNQCLSA-N 1 2 302.374 1.536 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)N(CC(=O)OCC)c1ccccc1 ZINC000491407666 527331113 /nfs/dbraw/zinc/33/11/13/527331113.db2.gz RZTTUNDWHQCUHB-AWEZNQCLSA-N 1 2 302.374 1.536 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[C@H]([NH+]2CCN(CC)CC2)C1)C(C)C ZINC000491713960 527388688 /nfs/dbraw/zinc/38/86/88/527388688.db2.gz LIWKEJKHZQDPGZ-HOTGVXAUSA-N 1 2 306.454 1.066 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000330747838 528408899 /nfs/dbraw/zinc/40/88/99/528408899.db2.gz GRFWORAJEVLHMW-CPUCHLNUSA-N 1 2 322.453 1.420 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000330747838 528408905 /nfs/dbraw/zinc/40/89/05/528408905.db2.gz GRFWORAJEVLHMW-CPUCHLNUSA-N 1 2 322.453 1.420 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNS(=O)(=O)CC(C)(C)CC#N ZINC000451371502 528733105 /nfs/dbraw/zinc/73/31/05/528733105.db2.gz FJCBKCMNJKDWML-UHFFFAOYSA-N 1 2 312.439 1.898 20 30 DDEDLO CC[N@H+](Cc1cnn(C)c1)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000343741563 528879211 /nfs/dbraw/zinc/87/92/11/528879211.db2.gz XFMGDVRDULWRSL-CZUORRHYSA-N 1 2 305.426 1.685 20 30 DDEDLO CC[N@@H+](Cc1cnn(C)c1)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000343741563 528879214 /nfs/dbraw/zinc/87/92/14/528879214.db2.gz XFMGDVRDULWRSL-CZUORRHYSA-N 1 2 305.426 1.685 20 30 DDEDLO CC[C@@H](C(=O)N(CCC#N)CCC#N)[N@@H+]1CCO[C@@H](CC)C1 ZINC000339250709 529273679 /nfs/dbraw/zinc/27/36/79/529273679.db2.gz IHDXEHGSOLZBHW-GJZGRUSLSA-N 1 2 306.410 1.532 20 30 DDEDLO CC[C@@H](C(=O)N(CCC#N)CCC#N)[N@H+]1CCO[C@@H](CC)C1 ZINC000339250709 529273681 /nfs/dbraw/zinc/27/36/81/529273681.db2.gz IHDXEHGSOLZBHW-GJZGRUSLSA-N 1 2 306.410 1.532 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)NCC[NH+]2CCOCC2)c1C ZINC000331170317 529290951 /nfs/dbraw/zinc/29/09/51/529290951.db2.gz ZFIBBPXFANXCIT-LBPRGKRZSA-N 1 2 309.414 1.821 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)c1C ZINC000330104537 529290969 /nfs/dbraw/zinc/29/09/69/529290969.db2.gz HPUZFIARNWEOPE-JSGCOSHPSA-N 1 2 322.457 1.734 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)c1C ZINC000330104537 529290971 /nfs/dbraw/zinc/29/09/71/529290971.db2.gz HPUZFIARNWEOPE-JSGCOSHPSA-N 1 2 322.457 1.734 20 30 DDEDLO C[C@@H](O[NH+]=C(N)CCO)C(=O)N1c2ccccc2CC[C@H]1C ZINC000121332900 696708385 /nfs/dbraw/zinc/70/83/85/696708385.db2.gz DWJNNDPJMDXNKU-VXGBXAGGSA-N 1 2 305.378 1.414 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCc3cncn3C2)C1 ZINC000971818218 695101910 /nfs/dbraw/zinc/10/19/10/695101910.db2.gz NMGMIGGILOGYOF-HIFRSBDPSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCc3cncn3C2)C1 ZINC000971818218 695101912 /nfs/dbraw/zinc/10/19/12/695101912.db2.gz NMGMIGGILOGYOF-HIFRSBDPSA-N 1 2 322.840 1.731 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cccs3)C2)C1 ZINC000972227035 695182299 /nfs/dbraw/zinc/18/22/99/695182299.db2.gz GSGHBXUMAYPKGY-QGZVFWFLSA-N 1 2 318.442 1.617 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cccs3)C2)C1 ZINC000972227035 695182301 /nfs/dbraw/zinc/18/23/01/695182301.db2.gz GSGHBXUMAYPKGY-QGZVFWFLSA-N 1 2 318.442 1.617 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC000972247461 695188986 /nfs/dbraw/zinc/18/89/86/695188986.db2.gz UGZXWTRQGKINDQ-WMLDXEAASA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC000972247461 695188987 /nfs/dbraw/zinc/18/89/87/695188987.db2.gz UGZXWTRQGKINDQ-WMLDXEAASA-N 1 2 319.453 1.933 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)nc3)C2)C1 ZINC000972297269 695209830 /nfs/dbraw/zinc/20/98/30/695209830.db2.gz HONWFFAQDYDTCM-MRXNPFEDSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)nc3)C2)C1 ZINC000972297269 695209833 /nfs/dbraw/zinc/20/98/33/695209833.db2.gz HONWFFAQDYDTCM-MRXNPFEDSA-N 1 2 305.353 1.324 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC000972374959 695233902 /nfs/dbraw/zinc/23/39/02/695233902.db2.gz XIVZQTWAYUBZFB-XHSDSOJGSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC000972374959 695233904 /nfs/dbraw/zinc/23/39/04/695233904.db2.gz XIVZQTWAYUBZFB-XHSDSOJGSA-N 1 2 319.405 1.607 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C)=C3CCC3)C2)C1 ZINC000972377056 695234240 /nfs/dbraw/zinc/23/42/40/695234240.db2.gz CCCZLEMJMYFEBD-GOSISDBHSA-N 1 2 302.418 1.813 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C)=C3CCC3)C2)C1 ZINC000972377056 695234242 /nfs/dbraw/zinc/23/42/42/695234242.db2.gz CCCZLEMJMYFEBD-GOSISDBHSA-N 1 2 302.418 1.813 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCO[C@H]3C=C)C2)C1 ZINC000972387040 695237478 /nfs/dbraw/zinc/23/74/78/695237478.db2.gz KIWHMMPIKMKMGD-RYRKJORJSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCO[C@H]3C=C)C2)C1 ZINC000972387040 695237480 /nfs/dbraw/zinc/23/74/80/695237480.db2.gz KIWHMMPIKMKMGD-RYRKJORJSA-N 1 2 320.433 1.457 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCC(F)(F)C3)C2)C1 ZINC000972410363 695242615 /nfs/dbraw/zinc/24/26/15/695242615.db2.gz VVIVKNPUSSRPNE-DZGCQCFKSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCC(F)(F)C3)C2)C1 ZINC000972410363 695242616 /nfs/dbraw/zinc/24/26/16/695242616.db2.gz VVIVKNPUSSRPNE-DZGCQCFKSA-N 1 2 312.360 1.358 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnc(Cl)n3C)C2)C1 ZINC000972463620 695256893 /nfs/dbraw/zinc/25/68/93/695256893.db2.gz VQJXUQGBWPPBRG-OAHLLOKOSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnc(Cl)n3C)C2)C1 ZINC000972463620 695256894 /nfs/dbraw/zinc/25/68/94/695256894.db2.gz VQJXUQGBWPPBRG-OAHLLOKOSA-N 1 2 324.812 1.176 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CCC(=C)CC3)C2)C1 ZINC000972533533 695274760 /nfs/dbraw/zinc/27/47/60/695274760.db2.gz DEASCFIFNSYYSN-GOSISDBHSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CCC(=C)CC3)C2)C1 ZINC000972533533 695274762 /nfs/dbraw/zinc/27/47/62/695274762.db2.gz DEASCFIFNSYYSN-GOSISDBHSA-N 1 2 302.418 1.669 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(CC)CCOCC3)C2)C1 ZINC000972615780 695299921 /nfs/dbraw/zinc/29/99/21/695299921.db2.gz SFGQFTWEMZEXRW-SFHVURJKSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(CC)CCOCC3)C2)C1 ZINC000972615780 695299922 /nfs/dbraw/zinc/29/99/22/695299922.db2.gz SFGQFTWEMZEXRW-SFHVURJKSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3cccnc3)C2)C1 ZINC000972638590 695305824 /nfs/dbraw/zinc/30/58/24/695305824.db2.gz MEROFRCJSZIJAI-MAUKXSAKSA-N 1 2 315.417 1.674 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3cccnc3)C2)C1 ZINC000972638590 695305827 /nfs/dbraw/zinc/30/58/27/695305827.db2.gz MEROFRCJSZIJAI-MAUKXSAKSA-N 1 2 315.417 1.674 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974947516 695764668 /nfs/dbraw/zinc/76/46/68/695764668.db2.gz LPCWFLCNSFSSGZ-RYUDHWBXSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974947516 695764670 /nfs/dbraw/zinc/76/46/70/695764670.db2.gz LPCWFLCNSFSSGZ-RYUDHWBXSA-N 1 2 313.829 1.044 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2scnc2COC)C(C)(C)C1 ZINC000977283174 696104878 /nfs/dbraw/zinc/10/48/78/696104878.db2.gz FKQSGENGLIUBBS-GFCCVEGCSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2scnc2COC)C(C)(C)C1 ZINC000977283174 696104880 /nfs/dbraw/zinc/10/48/80/696104880.db2.gz FKQSGENGLIUBBS-GFCCVEGCSA-N 1 2 307.419 1.363 20 30 DDEDLO C=CCN(CC=C)C(=O)CO[NH+]=C(N)c1ccc(OC)cc1 ZINC000054229943 696274282 /nfs/dbraw/zinc/27/42/82/696274282.db2.gz JTJMWMOUSOBGPO-UHFFFAOYSA-N 1 2 303.362 1.533 20 30 DDEDLO COC(=O)c1ccc(CO[NH+]=C(N)c2ccc(OC)cc2)o1 ZINC000071965278 696392920 /nfs/dbraw/zinc/39/29/20/696392920.db2.gz WGHSYGXVDZCLCY-UHFFFAOYSA-N 1 2 304.302 1.912 20 30 DDEDLO C[C@H]1CCCC[C@@H]1N(C)C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000092915911 696598126 /nfs/dbraw/zinc/59/81/26/696598126.db2.gz SUPBECMVAAXGBO-ZFWWWQNUSA-N 1 2 318.421 1.950 20 30 DDEDLO CN1c2ccccc2C(C)(C)/C1=C\C(=O)C[N@@H+]1CC[C@H](O)C1 ZINC000152149497 696895080 /nfs/dbraw/zinc/89/50/80/696895080.db2.gz ZNOBCJFYOUOLAV-VZWMTCDZSA-N 1 2 300.402 1.934 20 30 DDEDLO CN1c2ccccc2C(C)(C)/C1=C\C(=O)C[N@H+]1CC[C@H](O)C1 ZINC000152149497 696895082 /nfs/dbraw/zinc/89/50/82/696895082.db2.gz ZNOBCJFYOUOLAV-VZWMTCDZSA-N 1 2 300.402 1.934 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)N(C)C2CC2)CC1 ZINC000981873631 696949948 /nfs/dbraw/zinc/94/99/48/696949948.db2.gz PRKQJRWCQJKVQF-GOSISDBHSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)N(C)C2CC2)CC1 ZINC000981873631 696949949 /nfs/dbraw/zinc/94/99/49/696949949.db2.gz PRKQJRWCQJKVQF-GOSISDBHSA-N 1 2 321.465 1.744 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CCCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000981002112 696976558 /nfs/dbraw/zinc/97/65/58/696976558.db2.gz XZGBCEREMFOMHB-CYBMUJFWSA-N 1 2 324.388 1.585 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CCCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000981002112 696976559 /nfs/dbraw/zinc/97/65/59/696976559.db2.gz XZGBCEREMFOMHB-CYBMUJFWSA-N 1 2 324.388 1.585 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2noc3c2CCCCC3)CC1 ZINC000982053940 697016259 /nfs/dbraw/zinc/01/62/59/697016259.db2.gz FCHYCWCWKIXHQX-UHFFFAOYSA-N 1 2 301.390 1.725 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2noc3c2CCCCC3)CC1 ZINC000982053940 697016262 /nfs/dbraw/zinc/01/62/62/697016262.db2.gz FCHYCWCWKIXHQX-UHFFFAOYSA-N 1 2 301.390 1.725 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CCC[C@@H](NCC#N)C2)c[nH+]1 ZINC000981166470 697024464 /nfs/dbraw/zinc/02/44/64/697024464.db2.gz MGFSRBUEWBHBPR-KGLIPLIRSA-N 1 2 303.410 1.467 20 30 DDEDLO N#CCN[C@@H]1CCC[C@@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000981436181 697096829 /nfs/dbraw/zinc/09/68/29/697096829.db2.gz GUURYTGZMBRDPY-ZIAGYGMSSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC([N@H+](C)Cc2nnc(C3CC3)[nH]2)CC1 ZINC000985339029 697493767 /nfs/dbraw/zinc/49/37/67/697493767.db2.gz PKDZYBQNMQFQGF-LLVKDONJSA-N 1 2 316.409 1.265 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC([N@@H+](C)Cc2nnc(C3CC3)[nH]2)CC1 ZINC000985339029 697493768 /nfs/dbraw/zinc/49/37/68/697493768.db2.gz PKDZYBQNMQFQGF-LLVKDONJSA-N 1 2 316.409 1.265 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)C2CCCC2)CC1 ZINC000749379733 700160243 /nfs/dbraw/zinc/16/02/43/700160243.db2.gz DTPWAZCJSXMMES-OAHLLOKOSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)C2CCCC2)CC1 ZINC000749379733 700160245 /nfs/dbraw/zinc/16/02/45/700160245.db2.gz DTPWAZCJSXMMES-OAHLLOKOSA-N 1 2 319.449 1.096 20 30 DDEDLO C[C@H]1[C@@H]([NH2+]Cc2cnsn2)CCN1C(=O)c1c[nH]c(C#N)c1 ZINC000986185152 697724356 /nfs/dbraw/zinc/72/43/56/697724356.db2.gz NQKAJMGPBNGYDN-ZANVPECISA-N 1 2 316.390 1.131 20 30 DDEDLO C#C[C@H](NC(=O)NCCc1cn2c([nH+]1)CCCC2)[C@H]1CCCO1 ZINC000773179684 697725124 /nfs/dbraw/zinc/72/51/24/697725124.db2.gz JMHSJUCCWGGADU-LSDHHAIUSA-N 1 2 316.405 1.242 20 30 DDEDLO C#C[C@H](C)NC(=O)N1CC[NH+](Cc2ccc(OCC)cc2)CC1 ZINC000773595891 697784590 /nfs/dbraw/zinc/78/45/90/697784590.db2.gz GLRGERNRZDXHII-HNNXBMFYSA-N 1 2 315.417 1.934 20 30 DDEDLO C#C[C@H](NC(=O)c1ccc(Cn2cc[nH+]c2)cc1)[C@@H]1CCCO1 ZINC000775329491 697982701 /nfs/dbraw/zinc/98/27/01/697982701.db2.gz QBKZQBMFOPRZLR-IRXDYDNUSA-N 1 2 309.369 1.842 20 30 DDEDLO C[C@H]1[C@@H](NCC#N)CCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000987300163 698075642 /nfs/dbraw/zinc/07/56/42/698075642.db2.gz NBYYNEIRBRHZSH-BBRMVZONSA-N 1 2 309.373 1.588 20 30 DDEDLO COC[C@@H](C)N[NH+]=Cc1ccc(C=NN[C@@H](C)COC)cc1 ZINC000777901948 698230962 /nfs/dbraw/zinc/23/09/62/698230962.db2.gz GBVJHNKPZSVNTN-OKILXGFUSA-N 1 2 306.410 1.603 20 30 DDEDLO COC[C@@H](C)NN=Cc1ccc(C=[NH+]N[C@@H](C)COC)cc1 ZINC000777901948 698230965 /nfs/dbraw/zinc/23/09/65/698230965.db2.gz GBVJHNKPZSVNTN-OKILXGFUSA-N 1 2 306.410 1.603 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2C[C@H](C)N(C(=O)C#CC3CC3)C2)o1 ZINC000988826555 698477533 /nfs/dbraw/zinc/47/75/33/698477533.db2.gz OMIKEAQWRQQUKD-WCQYABFASA-N 1 2 302.378 1.124 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2C[C@@H](C)N(C(=O)C#CC3CC3)C2)o1 ZINC000988828870 698478163 /nfs/dbraw/zinc/47/81/63/698478163.db2.gz YFKDVBGETZMHKO-OCCSQVGLSA-N 1 2 316.405 1.685 20 30 DDEDLO C#CC[N@H+](C[C@@H]1CCc2ccccc21)[C@H]1CCS(=O)(=O)C1 ZINC000780303915 698505263 /nfs/dbraw/zinc/50/52/63/698505263.db2.gz OPWSAZLRJKLNAK-HOTGVXAUSA-N 1 2 303.427 1.839 20 30 DDEDLO C#CC[N@@H+](C[C@@H]1CCc2ccccc21)[C@H]1CCS(=O)(=O)C1 ZINC000780303915 698505264 /nfs/dbraw/zinc/50/52/64/698505264.db2.gz OPWSAZLRJKLNAK-HOTGVXAUSA-N 1 2 303.427 1.839 20 30 DDEDLO N#Cc1ccc(CNC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)nc1 ZINC000780661899 698545959 /nfs/dbraw/zinc/54/59/59/698545959.db2.gz ZYMVAWCCLYDIEE-OAHLLOKOSA-N 1 2 310.361 1.696 20 30 DDEDLO N#Cc1ccc(CNC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)nc1 ZINC000780661898 698545965 /nfs/dbraw/zinc/54/59/65/698545965.db2.gz ZYMVAWCCLYDIEE-HNNXBMFYSA-N 1 2 310.361 1.696 20 30 DDEDLO Cc1nn[nH]c1C(=O)N1C[C@@H]([NH2+]Cc2ccccc2C#N)C[C@@H]1C ZINC000989275721 698574894 /nfs/dbraw/zinc/57/48/94/698574894.db2.gz QBVSWPQZBFZWFL-NHYWBVRUSA-N 1 2 324.388 1.378 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000786984969 699184533 /nfs/dbraw/zinc/18/45/33/699184533.db2.gz JVRZENYWDDWOBH-HNNXBMFYSA-N 1 2 309.369 1.774 20 30 DDEDLO COC[C@@H](C)NC(=S)N[NH+]=Cc1ccc(N(C)C)c(F)c1 ZINC000727648929 699420657 /nfs/dbraw/zinc/42/06/57/699420657.db2.gz XCXJWFMUDVSVLX-SNVBAGLBSA-N 1 2 312.414 1.725 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)nc1 ZINC000728805762 699456516 /nfs/dbraw/zinc/45/65/16/699456516.db2.gz HPFUICBVMBFIGV-UHFFFAOYSA-N 1 2 306.369 1.988 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(CC(=O)OCC)c1 ZINC000737989190 699749282 /nfs/dbraw/zinc/74/92/82/699749282.db2.gz LTJQAMBPMOGJBK-INIZCTEOSA-N 1 2 314.385 1.828 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(CC(=O)OCC)c1 ZINC000737989190 699749283 /nfs/dbraw/zinc/74/92/83/699749283.db2.gz LTJQAMBPMOGJBK-INIZCTEOSA-N 1 2 314.385 1.828 20 30 DDEDLO C[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)NC1(C#N)CCCCC1 ZINC000741146205 699817308 /nfs/dbraw/zinc/81/73/08/699817308.db2.gz DLMYCUHEFGEGTL-ZDUSSCGKSA-N 1 2 307.394 1.357 20 30 DDEDLO C[N@H+]1CCCC[C@H]1C(=O)OCC(=O)NC1(C#N)CCCCC1 ZINC000741146205 699817310 /nfs/dbraw/zinc/81/73/10/699817310.db2.gz DLMYCUHEFGEGTL-ZDUSSCGKSA-N 1 2 307.394 1.357 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@H+]([C@H](C)C(=O)Nc3cccc(C#N)c3)C[C@@H]21 ZINC000794991875 699841142 /nfs/dbraw/zinc/84/11/42/699841142.db2.gz OHUIDPNCLFTZLV-RABLLNBGSA-N 1 2 313.357 1.236 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@@H+]([C@H](C)C(=O)Nc3cccc(C#N)c3)C[C@@H]21 ZINC000794991875 699841144 /nfs/dbraw/zinc/84/11/44/699841144.db2.gz OHUIDPNCLFTZLV-RABLLNBGSA-N 1 2 313.357 1.236 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1CCOC[C@H]1C[C@@H](O)c1cccs1 ZINC000801926912 700355630 /nfs/dbraw/zinc/35/56/30/700355630.db2.gz YLJNNDAXXZIDHS-MGPQQGTHSA-N 1 2 310.419 1.801 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1CCOC[C@H]1C[C@@H](O)c1cccs1 ZINC000801926912 700355632 /nfs/dbraw/zinc/35/56/32/700355632.db2.gz YLJNNDAXXZIDHS-MGPQQGTHSA-N 1 2 310.419 1.801 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@@H+]2CCN(C3CC3)C(=O)C2)c1O ZINC000754736784 700523431 /nfs/dbraw/zinc/52/34/31/700523431.db2.gz LXXKVOIEVBSSKY-UHFFFAOYSA-N 1 2 316.401 1.936 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@H+]2CCN(C3CC3)C(=O)C2)c1O ZINC000754736784 700523434 /nfs/dbraw/zinc/52/34/34/700523434.db2.gz LXXKVOIEVBSSKY-UHFFFAOYSA-N 1 2 316.401 1.936 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000803347846 701104113 /nfs/dbraw/zinc/10/41/13/701104113.db2.gz MJZHQISHTCCIRE-UHFFFAOYSA-N 1 2 324.384 1.689 20 30 DDEDLO N#Cc1cccn(C[N@@H+]2CC[C@@]3(C2)OCc2ccccc23)c1=O ZINC000769777136 701255530 /nfs/dbraw/zinc/25/55/30/701255530.db2.gz KVSSHIBRDHDCFZ-SFHVURJKSA-N 1 2 307.353 1.809 20 30 DDEDLO N#Cc1cccn(C[N@H+]2CC[C@@]3(C2)OCc2ccccc23)c1=O ZINC000769777136 701255532 /nfs/dbraw/zinc/25/55/32/701255532.db2.gz KVSSHIBRDHDCFZ-SFHVURJKSA-N 1 2 307.353 1.809 20 30 DDEDLO O=C1NC2(CCCCC2)C(=O)N1N=Cc1cccc2[nH+]ccn21 ZINC000771087723 701309305 /nfs/dbraw/zinc/30/93/05/701309305.db2.gz HCOCUPXRZKJZHE-UHFFFAOYSA-N 1 2 311.345 1.923 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(c2ccc(C#N)c(Cl)c2)CC1 ZINC000771143704 701311052 /nfs/dbraw/zinc/31/10/52/701311052.db2.gz DVANHJFWTLCAIZ-HXUWFJFHSA-N 1 2 311.838 1.712 20 30 DDEDLO C#CCNC(=O)C[N@H+](Cc1cccs1)[C@@H]1C=C[C@H](CO)C1 ZINC000805767196 701408618 /nfs/dbraw/zinc/40/86/18/701408618.db2.gz SUSWLCSDQVPTMJ-UONOGXRCSA-N 1 2 304.415 1.237 20 30 DDEDLO C#CCNC(=O)C[N@@H+](Cc1cccs1)[C@@H]1C=C[C@H](CO)C1 ZINC000805767196 701408619 /nfs/dbraw/zinc/40/86/19/701408619.db2.gz SUSWLCSDQVPTMJ-UONOGXRCSA-N 1 2 304.415 1.237 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000879160024 706602658 /nfs/dbraw/zinc/60/26/58/706602658.db2.gz NYEKPVYUOOVZOH-HNNXBMFYSA-N 1 2 310.438 1.538 20 30 DDEDLO COc1ccc(C(C)=NNC2=[NH+]CCN2)cc1Cn1cccn1 ZINC000841659728 702521389 /nfs/dbraw/zinc/52/13/89/702521389.db2.gz BZAOVDSRRHUIOI-UHFFFAOYSA-N 1 2 312.377 1.213 20 30 DDEDLO C#CCNC(=O)COc1cc(C)[nH+]c(CCC)c1C(=O)OC ZINC000842050480 702664210 /nfs/dbraw/zinc/66/42/10/702664210.db2.gz YCWJZPBMONSBRQ-UHFFFAOYSA-N 1 2 304.346 1.257 20 30 DDEDLO C[C@H](CC(=O)OCC(=O)Nc1ccc(C#N)cc1)n1cc[nH+]c1 ZINC000845280187 703138359 /nfs/dbraw/zinc/13/83/59/703138359.db2.gz TWWYUSQNMKCPSV-GFCCVEGCSA-N 1 2 312.329 1.888 20 30 DDEDLO C[C@@H](OC(=O)C[C@H](C)n1cc[nH+]c1)C(=O)NC1(C#N)CCC1 ZINC000845284496 703138949 /nfs/dbraw/zinc/13/89/49/703138949.db2.gz MOKOLWVIAXUMCC-NWDGAFQWSA-N 1 2 304.350 1.328 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000846658461 703309734 /nfs/dbraw/zinc/30/97/34/703309734.db2.gz DIDVRQPOMICPKU-NVXWUHKLSA-N 1 2 324.384 1.509 20 30 DDEDLO C[C@H]1CCC(=NNCCCn2cc[nH+]c2)[C@@H](n2cncn2)C1 ZINC000848417147 703548275 /nfs/dbraw/zinc/54/82/75/703548275.db2.gz MNDPWBVMTNZSJR-ZFWWWQNUSA-N 1 2 301.398 1.872 20 30 DDEDLO COc1cc(C=NNCCCn2cc[nH+]c2)ccc1[N+](=O)[O-] ZINC000848419241 703548381 /nfs/dbraw/zinc/54/83/81/703548381.db2.gz WGVDTXBQCOMOEK-UHFFFAOYSA-N 1 2 303.322 1.814 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000849227807 703626377 /nfs/dbraw/zinc/62/63/77/703626377.db2.gz SBCNUPVDPHUISS-CYBMUJFWSA-N 1 2 320.393 1.258 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CCc2ccccc2F)CC1 ZINC000849372761 703640699 /nfs/dbraw/zinc/64/06/99/703640699.db2.gz TUCGMXWEVVGLSS-UHFFFAOYSA-N 1 2 324.421 1.339 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2[nH]c(C(N)=O)cc2c1 ZINC000869752112 703842324 /nfs/dbraw/zinc/84/23/24/703842324.db2.gz UHVVSDSSXHTRDJ-HNNXBMFYSA-N 1 2 310.357 1.303 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2[nH]c(C(N)=O)cc2c1 ZINC000869752112 703842326 /nfs/dbraw/zinc/84/23/26/703842326.db2.gz UHVVSDSSXHTRDJ-HNNXBMFYSA-N 1 2 310.357 1.303 20 30 DDEDLO C#CCCNC(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000852107726 703925305 /nfs/dbraw/zinc/92/53/05/703925305.db2.gz PJYDGOJMTUTOEN-KRWDZBQOSA-N 1 2 314.433 1.125 20 30 DDEDLO C#CCCNC(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000852107726 703925308 /nfs/dbraw/zinc/92/53/08/703925308.db2.gz PJYDGOJMTUTOEN-KRWDZBQOSA-N 1 2 314.433 1.125 20 30 DDEDLO COc1cccc([C@H]2CN(C(=O)CSCC#N)CC[NH2+]2)c1 ZINC000870147655 703930887 /nfs/dbraw/zinc/93/08/87/703930887.db2.gz GYPOISLYUQBVOG-CQSZACIVSA-N 1 2 305.403 1.425 20 30 DDEDLO CCOC(=O)C1=C(C[N@@H+]2CCC[C@H](CC#N)C2)NC(=O)N[C@H]1C ZINC000852318824 704010746 /nfs/dbraw/zinc/01/07/46/704010746.db2.gz CCPKQGXUCAEUPT-NWDGAFQWSA-N 1 2 320.393 1.131 20 30 DDEDLO CCOC(=O)C1=C(C[N@H+]2CCC[C@H](CC#N)C2)NC(=O)N[C@H]1C ZINC000852318824 704010750 /nfs/dbraw/zinc/01/07/50/704010750.db2.gz CCPKQGXUCAEUPT-NWDGAFQWSA-N 1 2 320.393 1.131 20 30 DDEDLO CCN(CC[NH+]1CCN(C(=O)OC(C)(C)C)CC1)[C@H](C)C#N ZINC000852645534 704091998 /nfs/dbraw/zinc/09/19/98/704091998.db2.gz GGXGCHNGUKESGB-CQSZACIVSA-N 1 2 310.442 1.773 20 30 DDEDLO COc1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc1NC(C)=O ZINC000819401363 704120841 /nfs/dbraw/zinc/12/08/41/704120841.db2.gz ZYTXPECATUYYRU-CQSZACIVSA-N 1 2 320.393 1.272 20 30 DDEDLO COc1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1NC(C)=O ZINC000819401363 704120842 /nfs/dbraw/zinc/12/08/42/704120842.db2.gz ZYTXPECATUYYRU-CQSZACIVSA-N 1 2 320.393 1.272 20 30 DDEDLO CC[C@H](CO)[NH+]1CCN(C(=O)c2sccc2CC#N)CC1 ZINC000866809979 706809591 /nfs/dbraw/zinc/80/95/91/706809591.db2.gz NIMJISIKBLVCNB-CYBMUJFWSA-N 1 2 307.419 1.343 20 30 DDEDLO COc1cc(C(=O)N2CCN(c3cccc[nH+]3)CC2)ccc1C#N ZINC000854178172 704365402 /nfs/dbraw/zinc/36/54/02/704365402.db2.gz IBFIPCHTYROMLT-UHFFFAOYSA-N 1 2 322.368 1.924 20 30 DDEDLO COc1cc(C(=O)NC(C)(C)C[NH+]2CCOCC2)ccc1C#N ZINC000854940601 704462914 /nfs/dbraw/zinc/46/29/14/704462914.db2.gz FQTUNIZUMUTAPY-UHFFFAOYSA-N 1 2 317.389 1.407 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC000855498238 704488364 /nfs/dbraw/zinc/48/83/64/704488364.db2.gz RWEHFAYWNAKLSA-LBPRGKRZSA-N 1 2 305.378 1.392 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC000855498238 704488365 /nfs/dbraw/zinc/48/83/65/704488365.db2.gz RWEHFAYWNAKLSA-LBPRGKRZSA-N 1 2 305.378 1.392 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000858126613 704668662 /nfs/dbraw/zinc/66/86/62/704668662.db2.gz CWWZPCASQGQSKT-HNNXBMFYSA-N 1 2 316.405 1.519 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](C/C=C\c2ccccc2)CC1 ZINC000822182947 704891142 /nfs/dbraw/zinc/89/11/42/704891142.db2.gz OHCATPWVKVGAGP-CGQZWFTASA-N 1 2 312.413 1.882 20 30 DDEDLO C=CCN(C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C)C(C)C ZINC000867364168 706975752 /nfs/dbraw/zinc/97/57/52/706975752.db2.gz MQPRQUDMZFQTPF-MRXNPFEDSA-N 1 2 315.417 1.712 20 30 DDEDLO C=CCN(C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C)C(C)C ZINC000867364168 706975756 /nfs/dbraw/zinc/97/57/56/706975756.db2.gz MQPRQUDMZFQTPF-MRXNPFEDSA-N 1 2 315.417 1.712 20 30 DDEDLO O=C(C#Cc1ccccc1)N1CC[NH+](CCc2ccncc2)CC1 ZINC000825099696 705600340 /nfs/dbraw/zinc/60/03/40/705600340.db2.gz DGWKPGHGVALRIM-UHFFFAOYSA-N 1 2 319.408 1.820 20 30 DDEDLO COC[C@@H]1C[N@H+](Cc2cc(C#N)ccc2N(C)C)C[C@H](C)O1 ZINC000877463680 706114173 /nfs/dbraw/zinc/11/41/73/706114173.db2.gz IXURJOHLXHTHEZ-BBRMVZONSA-N 1 2 303.406 1.860 20 30 DDEDLO COC[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2N(C)C)C[C@H](C)O1 ZINC000877463680 706114175 /nfs/dbraw/zinc/11/41/75/706114175.db2.gz IXURJOHLXHTHEZ-BBRMVZONSA-N 1 2 303.406 1.860 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](Cc2ccc(Cl)c(S(N)(=O)=O)c2)C1 ZINC000877617698 706160868 /nfs/dbraw/zinc/16/08/68/706160868.db2.gz SEKNIBVGLXDMMZ-LLVKDONJSA-N 1 2 312.822 1.833 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](Cc2ccc(Cl)c(S(N)(=O)=O)c2)C1 ZINC000877617698 706160871 /nfs/dbraw/zinc/16/08/71/706160871.db2.gz SEKNIBVGLXDMMZ-LLVKDONJSA-N 1 2 312.822 1.833 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[NH+]1CCN(c2nccnn2)CC1 ZINC000877650819 706170024 /nfs/dbraw/zinc/17/00/24/706170024.db2.gz UOMFHCKXVIGAQY-UHFFFAOYSA-N 1 2 323.404 1.131 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000877787580 706212622 /nfs/dbraw/zinc/21/26/22/706212622.db2.gz OREUNAJTLDTKRY-HOTGVXAUSA-N 1 2 319.426 1.565 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000877787580 706212624 /nfs/dbraw/zinc/21/26/24/706212624.db2.gz OREUNAJTLDTKRY-HOTGVXAUSA-N 1 2 319.426 1.565 20 30 DDEDLO C[C@@H](O)CN(C)N=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872381400 707395432 /nfs/dbraw/zinc/39/54/32/707395432.db2.gz NXSMGNAHFZOLON-OAHLLOKOSA-N 1 2 321.421 1.044 20 30 DDEDLO N#C[C@]1(C(=O)NCCc2cn3c([nH+]2)CCCC3)CCCOC1 ZINC000864802541 706268925 /nfs/dbraw/zinc/26/89/25/706268925.db2.gz SUMNXEBNYJTEBS-MRXNPFEDSA-N 1 2 302.378 1.198 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)[C@H]1CCn3c[nH+]cc3C1)C2 ZINC000829496842 706345312 /nfs/dbraw/zinc/34/53/12/706345312.db2.gz BEVSNUUTPDWTHX-GOEBONIOSA-N 1 2 306.369 1.601 20 30 DDEDLO C=C(Cl)C[C@@H]1NC(=O)N([C@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000865305161 706399732 /nfs/dbraw/zinc/39/97/32/706399732.db2.gz KRNSMVSMBYECPU-RYUDHWBXSA-N 1 2 320.780 1.723 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)[N@@H+]2Cc2ccc(C#N)o2)O1 ZINC000878566343 706429194 /nfs/dbraw/zinc/42/91/94/706429194.db2.gz YUTAMDCKAROZEO-PUPMMZHASA-N 1 2 304.346 1.835 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)[N@H+]2Cc2ccc(C#N)o2)O1 ZINC000878566343 706429196 /nfs/dbraw/zinc/42/91/96/706429196.db2.gz YUTAMDCKAROZEO-PUPMMZHASA-N 1 2 304.346 1.835 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@@H](C(F)(F)F)O[C@H](C)C1 ZINC000880052326 706864702 /nfs/dbraw/zinc/86/47/02/706864702.db2.gz UMMZXAJRYDXEFY-ZJUUUORDSA-N 1 2 323.315 1.040 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@@H](C(F)(F)F)O[C@H](C)C1 ZINC000880052326 706864704 /nfs/dbraw/zinc/86/47/04/706864704.db2.gz UMMZXAJRYDXEFY-ZJUUUORDSA-N 1 2 323.315 1.040 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1C[C@@H]2C[C@H]1CN2c1ccccc1)C1CC1 ZINC000880191880 706907038 /nfs/dbraw/zinc/90/70/38/706907038.db2.gz SHFNWDYRGDVBRI-LNLFQRSKSA-N 1 2 324.428 1.758 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1C[C@@H]2C[C@H]1CN2c1ccccc1)C1CC1 ZINC000880191880 706907039 /nfs/dbraw/zinc/90/70/39/706907039.db2.gz SHFNWDYRGDVBRI-LNLFQRSKSA-N 1 2 324.428 1.758 20 30 DDEDLO COC(=O)[C@]1(O)CC[N@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC000880562537 707018963 /nfs/dbraw/zinc/01/89/63/707018963.db2.gz NDWRFSWIDSYSNT-HNNXBMFYSA-N 1 2 324.764 1.352 20 30 DDEDLO COC(=O)[C@]1(O)CC[N@@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC000880562537 707018964 /nfs/dbraw/zinc/01/89/64/707018964.db2.gz NDWRFSWIDSYSNT-HNNXBMFYSA-N 1 2 324.764 1.352 20 30 DDEDLO C=C[C@H](NC1CC[NH+](CC(=O)OC(C)(C)C)CC1)C(=O)OC ZINC000871920983 707245296 /nfs/dbraw/zinc/24/52/96/707245296.db2.gz KIBLIJHHPOKNOX-ZDUSSCGKSA-N 1 2 312.410 1.110 20 30 DDEDLO C#CCC[NH+]1CCN(c2ncc(C(=O)OC)cc2Cl)CC1 ZINC000881858988 707395550 /nfs/dbraw/zinc/39/55/50/707395550.db2.gz PVFMEODMYANKQQ-UHFFFAOYSA-N 1 2 307.781 1.667 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@@H](C)[N@H+](C)[C@@H](C)C2)c(C#N)c1 ZINC000872490549 707442831 /nfs/dbraw/zinc/44/28/31/707442831.db2.gz JHCQYXZZJDNQEY-BETUJISGSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@@H](C)[N@@H+](C)[C@@H](C)C2)c(C#N)c1 ZINC000872490549 707442833 /nfs/dbraw/zinc/44/28/33/707442833.db2.gz JHCQYXZZJDNQEY-BETUJISGSA-N 1 2 307.419 1.580 20 30 DDEDLO N#Cc1ccc(C2=CC[N@H+](CN3CC4(COC4)CC3=O)CC2)cc1 ZINC000872595974 707502505 /nfs/dbraw/zinc/50/25/05/707502505.db2.gz TWORPXOWZHCVTJ-UHFFFAOYSA-N 1 2 323.396 1.854 20 30 DDEDLO N#Cc1ccc(C2=CC[N@@H+](CN3CC4(COC4)CC3=O)CC2)cc1 ZINC000872595974 707502508 /nfs/dbraw/zinc/50/25/08/707502508.db2.gz TWORPXOWZHCVTJ-UHFFFAOYSA-N 1 2 323.396 1.854 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000883487886 708014157 /nfs/dbraw/zinc/01/41/57/708014157.db2.gz AAFCPNJEOCAQOK-HNNXBMFYSA-N 1 2 316.405 1.812 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)COc1ccc(C)cc1 ZINC000884098518 708137686 /nfs/dbraw/zinc/13/76/86/708137686.db2.gz MWZXZXIUUBMZFI-HIFRSBDPSA-N 1 2 320.389 1.325 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2ccc(F)cc2)CC1 ZINC000884125496 708150535 /nfs/dbraw/zinc/15/05/35/708150535.db2.gz ZTLCNAGUIWWKEE-ZDUSSCGKSA-N 1 2 306.337 1.378 20 30 DDEDLO C/C(=C/C(=O)NCc1ccc(C#N)c(F)c1)C[NH+]1CCOCC1 ZINC000909392063 713006807 /nfs/dbraw/zinc/00/68/07/713006807.db2.gz YYDICFRCLDBMTH-JYRVWZFOSA-N 1 2 317.364 1.592 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCC[C@@H](SC)C1 ZINC000884145579 708159721 /nfs/dbraw/zinc/15/97/21/708159721.db2.gz NMAREKHMFGCZFF-UTUOFQBUSA-N 1 2 300.424 1.223 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@H](OCC)C12CCCC2 ZINC000884145400 708159990 /nfs/dbraw/zinc/15/99/90/708159990.db2.gz JEMGIJKEKVSAAK-MJBXVCDLSA-N 1 2 324.421 1.287 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc(OCCF)c1 ZINC000884159951 708165277 /nfs/dbraw/zinc/16/52/77/708165277.db2.gz QNPOXDMCRGPJPU-ZDUSSCGKSA-N 1 2 310.325 1.420 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](CC)c1nc(C)cs1 ZINC000884213923 708193488 /nfs/dbraw/zinc/19/34/88/708193488.db2.gz PCNTZSKGZKRVMK-WDEREUQCSA-N 1 2 311.407 1.465 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1ccc(F)c(F)c1 ZINC000884287762 708227101 /nfs/dbraw/zinc/22/71/01/708227101.db2.gz LFWUYQWUNSNNFZ-ZDUSSCGKSA-N 1 2 312.316 1.070 20 30 DDEDLO C#CCCOc1ccc(C(=O)N[C@@H](CO)c2c[nH+]cn2C)cc1 ZINC000898890737 708910328 /nfs/dbraw/zinc/91/03/28/708910328.db2.gz BYYPRNQGRIGCJA-HNNXBMFYSA-N 1 2 313.357 1.286 20 30 DDEDLO N#CC1(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)CCCCC1 ZINC000899057583 708967588 /nfs/dbraw/zinc/96/75/88/708967588.db2.gz RYJVJSDEPVCAKB-UHFFFAOYSA-N 1 2 307.394 1.025 20 30 DDEDLO N#CC1(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)CCCCC1 ZINC000899057583 708967590 /nfs/dbraw/zinc/96/75/90/708967590.db2.gz RYJVJSDEPVCAKB-UHFFFAOYSA-N 1 2 307.394 1.025 20 30 DDEDLO C#CC1(O)CCN(C(=O)c2cc(-n3cc[nH+]c3)ccc2O)CC1 ZINC000899121671 708992579 /nfs/dbraw/zinc/99/25/79/708992579.db2.gz FYRNWTKKJGLGEB-UHFFFAOYSA-N 1 2 311.341 1.178 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1)C(=O)OC ZINC000899165863 709006411 /nfs/dbraw/zinc/00/64/11/709006411.db2.gz YJILYOUPWWQKMT-KGLIPLIRSA-N 1 2 320.393 1.414 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1)C(=O)OC ZINC000899165863 709006413 /nfs/dbraw/zinc/00/64/13/709006413.db2.gz YJILYOUPWWQKMT-KGLIPLIRSA-N 1 2 320.393 1.414 20 30 DDEDLO C=CCC1(O)CC[NH+]([C@@H]2CC(=O)N(CC(F)(F)F)C2=O)CC1 ZINC000887306702 709013127 /nfs/dbraw/zinc/01/31/27/709013127.db2.gz ZJWRZZFWLBIEDB-SNVBAGLBSA-N 1 2 320.311 1.079 20 30 DDEDLO N#Cc1ccccc1CSCCNC(=O)Cc1c[nH]c[nH+]1 ZINC000899982532 709257970 /nfs/dbraw/zinc/25/79/70/709257970.db2.gz NIIWLVOBTXGDTM-UHFFFAOYSA-N 1 2 300.387 1.873 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(CC[N@@H+]3Cc3ccccc3)C2)[nH]1 ZINC000910780657 710073569 /nfs/dbraw/zinc/07/35/69/710073569.db2.gz JDEALCZZUBKGID-UHFFFAOYSA-N 1 2 306.369 1.987 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(CC[N@H+]3Cc3ccccc3)C2)[nH]1 ZINC000910780657 710073573 /nfs/dbraw/zinc/07/35/73/710073573.db2.gz JDEALCZZUBKGID-UHFFFAOYSA-N 1 2 306.369 1.987 20 30 DDEDLO C=CCCC[C@@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C(=O)OC ZINC000928319151 713174488 /nfs/dbraw/zinc/17/44/88/713174488.db2.gz HVWLCZGCSYGFLU-TZMCWYRMSA-N 1 2 305.378 1.460 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)c2cccn2CC[NH+]2CCOCC2)C1 ZINC000911287956 710728374 /nfs/dbraw/zinc/72/83/74/710728374.db2.gz IINAPWWIJPLNIF-LSDHHAIUSA-N 1 2 316.405 1.242 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2nnc(-c3cscn3)o2)C1 ZINC000902729779 710881396 /nfs/dbraw/zinc/88/13/96/710881396.db2.gz NGDYNLFCOFKCTG-SNVBAGLBSA-N 1 2 317.374 1.021 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2nnc(-c3cscn3)o2)C1 ZINC000902729779 710881397 /nfs/dbraw/zinc/88/13/97/710881397.db2.gz NGDYNLFCOFKCTG-SNVBAGLBSA-N 1 2 317.374 1.021 20 30 DDEDLO C/C(=C/C(=O)Nc1cc(F)cc(C#N)c1)C[NH+]1CCOCC1 ZINC000913431456 713214317 /nfs/dbraw/zinc/21/43/17/713214317.db2.gz XKXOECACMDEJEO-SDQBBNPISA-N 1 2 303.337 1.914 20 30 DDEDLO CCc1cc(N2CCC(C#N)(C(=O)OC)CC2)nc(CC)[nH+]1 ZINC000894066806 710949607 /nfs/dbraw/zinc/94/96/07/710949607.db2.gz DZEMFTHYSOPWCW-UHFFFAOYSA-N 1 2 302.378 1.885 20 30 DDEDLO CC(C)(C)OC(=O)NC(C)(C)C[NH+]1CCN(C(=O)CC#N)CC1 ZINC000895066452 711394615 /nfs/dbraw/zinc/39/46/15/711394615.db2.gz SIWVSSWCFUIRKE-UHFFFAOYSA-N 1 2 324.425 1.348 20 30 DDEDLO C[C@H](Nc1ccc(C#N)cc1[N+](=O)[O-])[C@@H]1CN(C)CC[N@@H+]1C ZINC000895247269 711464511 /nfs/dbraw/zinc/46/45/11/711464511.db2.gz HABMEDRPVVVLSU-NHYWBVRUSA-N 1 2 303.366 1.513 20 30 DDEDLO C[C@H](Nc1ccc(C#N)cc1[N+](=O)[O-])[C@@H]1CN(C)CC[N@H+]1C ZINC000895247269 711464513 /nfs/dbraw/zinc/46/45/13/711464513.db2.gz HABMEDRPVVVLSU-NHYWBVRUSA-N 1 2 303.366 1.513 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cc(C)c1C#N ZINC000906977138 712454546 /nfs/dbraw/zinc/45/45/46/712454546.db2.gz RGTGHDIQDNRPJW-MRXNPFEDSA-N 1 2 313.401 1.722 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2ccc(C#N)cn2)C[C@@H]1C ZINC000907354064 712551109 /nfs/dbraw/zinc/55/11/09/712551109.db2.gz ILZDMPZNLPGPRE-TXEJJXNPSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2ccc(C#N)cn2)C[C@@H]1C ZINC000907354064 712551110 /nfs/dbraw/zinc/55/11/10/712551110.db2.gz ILZDMPZNLPGPRE-TXEJJXNPSA-N 1 2 308.407 1.056 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2coc3ccccc32)C1 ZINC000907397626 712560388 /nfs/dbraw/zinc/56/03/88/712560388.db2.gz UCIISBLLHCYLLL-ZDUSSCGKSA-N 1 2 318.398 1.809 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2coc3ccccc32)C1 ZINC000907397626 712560390 /nfs/dbraw/zinc/56/03/90/712560390.db2.gz UCIISBLLHCYLLL-ZDUSSCGKSA-N 1 2 318.398 1.809 20 30 DDEDLO C#C[C@H](NC(=O)/C=C(/C)C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000908324949 712742078 /nfs/dbraw/zinc/74/20/78/712742078.db2.gz VZYYEKGVIMLYKB-AINHQSCGSA-N 1 2 316.376 1.895 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)[C@H](C)[NH+]1CCSCC1 ZINC000908651188 712829354 /nfs/dbraw/zinc/82/93/54/712829354.db2.gz WTBUWRGIPWABFV-UONOGXRCSA-N 1 2 310.463 1.950 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)CN1CC[NH+](CC)CC1 ZINC000908653829 712830005 /nfs/dbraw/zinc/83/00/05/712830005.db2.gz WOFPIYZXOLTOJZ-OAHLLOKOSA-N 1 2 307.438 1.150 20 30 DDEDLO CC(C)(C)c1nc(CN2CC[NH+](C[C@H](O)CC#N)CC2)cs1 ZINC000929288500 713583686 /nfs/dbraw/zinc/58/36/86/713583686.db2.gz SXMGBRLSGWGXMC-CQSZACIVSA-N 1 2 322.478 1.833 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)nc2)C[C@H]1C(C)(C)C ZINC000919590386 713618328 /nfs/dbraw/zinc/61/83/28/713618328.db2.gz FRJLHUBUEJHHFJ-AWEZNQCLSA-N 1 2 322.434 1.304 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)nc2)C[C@H]1C(C)(C)C ZINC000919590386 713618331 /nfs/dbraw/zinc/61/83/31/713618331.db2.gz FRJLHUBUEJHHFJ-AWEZNQCLSA-N 1 2 322.434 1.304 20 30 DDEDLO COC(=O)[C@@]1(C(F)(F)F)CC[N@H+](Cc2cncc(C#N)c2)C1 ZINC000930452489 713838313 /nfs/dbraw/zinc/83/83/13/713838313.db2.gz LQQRNYZRXIFLJR-CYBMUJFWSA-N 1 2 313.279 1.881 20 30 DDEDLO COC(=O)[C@@]1(C(F)(F)F)CC[N@@H+](Cc2cncc(C#N)c2)C1 ZINC000930452489 713838316 /nfs/dbraw/zinc/83/83/16/713838316.db2.gz LQQRNYZRXIFLJR-CYBMUJFWSA-N 1 2 313.279 1.881 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(F)cc(C#N)c1 ZINC000932105193 714260243 /nfs/dbraw/zinc/26/02/43/714260243.db2.gz QWWKQPPAWYACCB-AWEZNQCLSA-N 1 2 320.368 1.930 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2oc(C(=O)OC)cc2C)C1 ZINC000923599033 714425349 /nfs/dbraw/zinc/42/53/49/714425349.db2.gz ZGPUJRDWFCKMLE-LBPRGKRZSA-N 1 2 304.346 1.202 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2oc(C(=O)OC)cc2C)C1 ZINC000923599033 714425350 /nfs/dbraw/zinc/42/53/50/714425350.db2.gz ZGPUJRDWFCKMLE-LBPRGKRZSA-N 1 2 304.346 1.202 20 30 DDEDLO N#CC[C@H](NC(=O)N[C@@H]1CCn2cc[nH+]c2C1)C(F)(F)F ZINC000932908266 714434944 /nfs/dbraw/zinc/43/49/44/714434944.db2.gz QJEONBVXAWSKAX-BDAKNGLRSA-N 1 2 301.272 1.342 20 30 DDEDLO COC[C@@H]1CC[C@@H]([C@H]2COCC[N@@H+]2Cc2ccc(C#N)cc2)O1 ZINC000933199687 714534638 /nfs/dbraw/zinc/53/46/38/714534638.db2.gz UIZWYWJKOLPITO-KSZLIROESA-N 1 2 316.401 1.953 20 30 DDEDLO COC[C@@H]1CC[C@@H]([C@H]2COCC[N@H+]2Cc2ccc(C#N)cc2)O1 ZINC000933199687 714534641 /nfs/dbraw/zinc/53/46/41/714534641.db2.gz UIZWYWJKOLPITO-KSZLIROESA-N 1 2 316.401 1.953 20 30 DDEDLO CCn1c[nH+]c2c1CCN([C@@H](C)C(=O)NC1(C#N)CCC1)C2 ZINC000933253401 714547625 /nfs/dbraw/zinc/54/76/25/714547625.db2.gz FOMAABYIXIORHE-LBPRGKRZSA-N 1 2 301.394 1.212 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)Cc1cccc(=O)[nH]1 ZINC000933394224 714581156 /nfs/dbraw/zinc/58/11/56/714581156.db2.gz LXTAGSIHIPCSRT-CYBMUJFWSA-N 1 2 316.405 1.950 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)Cc1cccc(=O)[nH]1 ZINC000933394224 714581158 /nfs/dbraw/zinc/58/11/58/714581158.db2.gz LXTAGSIHIPCSRT-CYBMUJFWSA-N 1 2 316.405 1.950 20 30 DDEDLO Cn1nccc1[C@@H]1C[N@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC000933532118 714610758 /nfs/dbraw/zinc/61/07/58/714610758.db2.gz YJCAGJYYXWEBER-KRWDZBQOSA-N 1 2 312.373 1.896 20 30 DDEDLO Cn1nccc1[C@@H]1C[N@@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC000933532118 714610759 /nfs/dbraw/zinc/61/07/59/714610759.db2.gz YJCAGJYYXWEBER-KRWDZBQOSA-N 1 2 312.373 1.896 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOC[C@@H]1C[C@@H]1CCCO1 ZINC000933678717 714646668 /nfs/dbraw/zinc/64/66/68/714646668.db2.gz HTEXBUQPRLQWLF-HOTGVXAUSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOC[C@@H]1C[C@@H]1CCCO1 ZINC000933678717 714646672 /nfs/dbraw/zinc/64/66/72/714646672.db2.gz HTEXBUQPRLQWLF-HOTGVXAUSA-N 1 2 308.422 1.457 20 30 DDEDLO CCOc1ccc(N(CCC#N)C(=O)C[N@@H+]2CC[C@H]2CO)cc1 ZINC000934024710 714724338 /nfs/dbraw/zinc/72/43/38/714724338.db2.gz AMKUVDAOVSNYKP-HNNXBMFYSA-N 1 2 317.389 1.399 20 30 DDEDLO CCOc1ccc(N(CCC#N)C(=O)C[N@H+]2CC[C@H]2CO)cc1 ZINC000934024710 714724341 /nfs/dbraw/zinc/72/43/41/714724341.db2.gz AMKUVDAOVSNYKP-HNNXBMFYSA-N 1 2 317.389 1.399 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@H]1c1ccc(OC)cn1 ZINC000934346907 714800870 /nfs/dbraw/zinc/80/08/70/714800870.db2.gz MPEPIDYHYXXVBB-AWEZNQCLSA-N 1 2 318.377 1.239 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@H]1c1ccc(OC)cn1 ZINC000934346907 714800871 /nfs/dbraw/zinc/80/08/71/714800871.db2.gz MPEPIDYHYXXVBB-AWEZNQCLSA-N 1 2 318.377 1.239 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@H]1CC1CCOCC1 ZINC000934642668 714868982 /nfs/dbraw/zinc/86/89/82/714868982.db2.gz ODYXECIVUIDJOW-QGZVFWFLSA-N 1 2 324.465 1.929 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@H]1CC1CCOCC1 ZINC000934642668 714868985 /nfs/dbraw/zinc/86/89/85/714868985.db2.gz ODYXECIVUIDJOW-QGZVFWFLSA-N 1 2 324.465 1.929 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(OC)ns2)C1 ZINC000966194495 718013218 /nfs/dbraw/zinc/01/32/18/718013218.db2.gz DVGSFOPUSJSQNB-VXGBXAGGSA-N 1 2 307.419 1.615 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(OC)ns2)C1 ZINC000966194495 718013222 /nfs/dbraw/zinc/01/32/22/718013222.db2.gz DVGSFOPUSJSQNB-VXGBXAGGSA-N 1 2 307.419 1.615 20 30 DDEDLO CC[C@H]([NH2+]CCS(=O)(=O)N(C)C)c1cccc(C#N)c1O ZINC000926457691 715070057 /nfs/dbraw/zinc/07/00/57/715070057.db2.gz IAZJOZKOLDTCIH-ZDUSSCGKSA-N 1 2 311.407 1.196 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000938386748 715821862 /nfs/dbraw/zinc/82/18/62/715821862.db2.gz ZOHNEZOWKHXJSI-SUMWQHHRSA-N 1 2 318.421 1.580 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@H]2CCN(C(=O)[C@H](C)C#N)C2)c(C)[nH+]1 ZINC000938424701 715839066 /nfs/dbraw/zinc/83/90/66/715839066.db2.gz QYOXREINNOKSQK-RISCZKNCSA-N 1 2 314.389 1.497 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC000957413020 715889508 /nfs/dbraw/zinc/88/95/08/715889508.db2.gz CMGGFCCGASNBDD-ZDUSSCGKSA-N 1 2 302.422 1.623 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@@H]2CCCCN2C(=O)C#CC2CC2)o1 ZINC000960002801 716384174 /nfs/dbraw/zinc/38/41/74/716384174.db2.gz IXRDFCKYNCUIJA-AWEZNQCLSA-N 1 2 302.378 1.262 20 30 DDEDLO C[N@@H+](Cc1ncccn1)C[C@H]1CCCN1C(=O)c1cc(C#N)c[nH]1 ZINC000960541702 716603973 /nfs/dbraw/zinc/60/39/73/716603973.db2.gz STGSCNWDSFCLGH-CQSZACIVSA-N 1 2 324.388 1.413 20 30 DDEDLO C[N@H+](Cc1ncccn1)C[C@H]1CCCN1C(=O)c1cc(C#N)c[nH]1 ZINC000960541702 716603976 /nfs/dbraw/zinc/60/39/76/716603976.db2.gz STGSCNWDSFCLGH-CQSZACIVSA-N 1 2 324.388 1.413 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(C)c1 ZINC000958566455 716626737 /nfs/dbraw/zinc/62/67/37/716626737.db2.gz UNCXLPKPNYGIFL-ITGUQSILSA-N 1 2 324.222 1.594 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(C)c1 ZINC000958566455 716626742 /nfs/dbraw/zinc/62/67/42/716626742.db2.gz UNCXLPKPNYGIFL-ITGUQSILSA-N 1 2 324.222 1.594 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2c[nH]c(C#N)c2)co1 ZINC000958617457 716667242 /nfs/dbraw/zinc/66/72/42/716667242.db2.gz GCYVTRDJHZPAMW-FICVDOATSA-N 1 2 311.345 1.043 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2c[nH]c(C#N)c2)co1 ZINC000958617457 716667244 /nfs/dbraw/zinc/66/72/44/716667244.db2.gz GCYVTRDJHZPAMW-FICVDOATSA-N 1 2 311.345 1.043 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3CC(C)(C)C3)CC2)C1 ZINC000941400537 717170571 /nfs/dbraw/zinc/17/05/71/717170571.db2.gz VEGQODQGLVZGAG-UHFFFAOYSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3cc(C)co3)CC2)C1 ZINC000941528055 717194653 /nfs/dbraw/zinc/19/46/53/717194653.db2.gz JBOWSVZKYXBOLT-UHFFFAOYSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc(Cl)cs3)CC2)C1 ZINC000941593142 717222979 /nfs/dbraw/zinc/22/29/79/717222979.db2.gz OKHUZJHBDMMCPE-UHFFFAOYSA-N 1 2 323.849 1.477 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccccc3Cl)CC2)C1 ZINC000941646886 717242724 /nfs/dbraw/zinc/24/27/24/717242724.db2.gz QLQRFKXWWCUCAQ-UHFFFAOYSA-N 1 2 317.820 1.415 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ncccn2)CC[C@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC000941930766 717393404 /nfs/dbraw/zinc/39/34/04/717393404.db2.gz VDTQPKMDKUHOSB-TZMCWYRMSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ncccn2)CC[C@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC000941930766 717393406 /nfs/dbraw/zinc/39/34/06/717393406.db2.gz VDTQPKMDKUHOSB-TZMCWYRMSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@@H]2C)C1 ZINC000966557215 718588585 /nfs/dbraw/zinc/58/85/85/718588585.db2.gz PAXVZBZKESKTCJ-KBPBESRZSA-N 1 2 316.405 1.203 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3ncnn3C)[C@H](C)C2)C1 ZINC000947702624 719248821 /nfs/dbraw/zinc/24/88/21/719248821.db2.gz QJCBREHWSACIQZ-ZIAGYGMSSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3ncnn3C)[C@H](C)C2)C1 ZINC000947702624 719248823 /nfs/dbraw/zinc/24/88/23/719248823.db2.gz QJCBREHWSACIQZ-ZIAGYGMSSA-N 1 2 317.437 1.641 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C[C@@H]1C ZINC000947892230 719300168 /nfs/dbraw/zinc/30/01/68/719300168.db2.gz ZCKOEOSGJGCLLR-XJKSGUPXSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C[C@@H]1C ZINC000947892230 719300170 /nfs/dbraw/zinc/30/01/70/719300170.db2.gz ZCKOEOSGJGCLLR-XJKSGUPXSA-N 1 2 323.400 1.688 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cccn(C)c2=O)C1 ZINC000968559166 719664423 /nfs/dbraw/zinc/66/44/23/719664423.db2.gz WVGNQJNJNUCSGZ-RISCZKNCSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cccn(C)c2=O)C1 ZINC000968559166 719664425 /nfs/dbraw/zinc/66/44/25/719664425.db2.gz WVGNQJNJNUCSGZ-RISCZKNCSA-N 1 2 323.824 1.578 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cc(C)n(C)n1 ZINC000948893681 719771088 /nfs/dbraw/zinc/77/10/88/719771088.db2.gz BVRJOZOLVMNSMD-SFHVURJKSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cc(C)n(C)n1 ZINC000948893681 719771089 /nfs/dbraw/zinc/77/10/89/719771089.db2.gz BVRJOZOLVMNSMD-SFHVURJKSA-N 1 2 322.412 1.691 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccnn1C ZINC000948899375 719773846 /nfs/dbraw/zinc/77/38/46/719773846.db2.gz VSDGDJZXPKSPKD-SFHVURJKSA-N 1 2 322.412 1.773 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccnn1C ZINC000948899375 719773847 /nfs/dbraw/zinc/77/38/47/719773847.db2.gz VSDGDJZXPKSPKD-SFHVURJKSA-N 1 2 322.412 1.773 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CC1(F)F ZINC000948916229 719784034 /nfs/dbraw/zinc/78/40/34/719784034.db2.gz QIRLFHVCYRCHQC-HUUCEWRRSA-N 1 2 304.340 1.990 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CC1(F)F ZINC000948916229 719784036 /nfs/dbraw/zinc/78/40/36/719784036.db2.gz QIRLFHVCYRCHQC-HUUCEWRRSA-N 1 2 304.340 1.990 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CC1(F)F ZINC000948916228 719784160 /nfs/dbraw/zinc/78/41/60/719784160.db2.gz QIRLFHVCYRCHQC-GJZGRUSLSA-N 1 2 304.340 1.990 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CC1(F)F ZINC000948916228 719784164 /nfs/dbraw/zinc/78/41/64/719784164.db2.gz QIRLFHVCYRCHQC-GJZGRUSLSA-N 1 2 304.340 1.990 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@H](F)C3)CC2)C1 ZINC000949455158 720098198 /nfs/dbraw/zinc/09/81/98/720098198.db2.gz UPEXSGUUAMBRJE-CABCVRRESA-N 1 2 308.397 1.451 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@H](F)C3)CC2)C1 ZINC000949455158 720098202 /nfs/dbraw/zinc/09/82/02/720098202.db2.gz UPEXSGUUAMBRJE-CABCVRRESA-N 1 2 308.397 1.451 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2cn3c(C)csc3n2)CC1 ZINC000949613723 720211160 /nfs/dbraw/zinc/21/11/60/720211160.db2.gz HXVNDISAIKBEEF-UHFFFAOYSA-N 1 2 304.419 1.577 20 30 DDEDLO CCN(C(=O)c1ccc(C#N)[nH]1)C1C[NH+](C[C@@H]2CC[C@H](C)O2)C1 ZINC000949913088 720414215 /nfs/dbraw/zinc/41/42/15/720414215.db2.gz PGMOIVNNYSKAIG-WFASDCNBSA-N 1 2 316.405 1.600 20 30 DDEDLO CCN(C(=O)c1c[nH]c(C#N)c1)C1C[NH+](C[C@@H]2CC[C@H](C)O2)C1 ZINC000949918672 720415798 /nfs/dbraw/zinc/41/57/98/720415798.db2.gz BBORCHJDURRDNH-LRDDRELGSA-N 1 2 316.405 1.600 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc(OC)n(C)n2)C1 ZINC000970118460 720632219 /nfs/dbraw/zinc/63/22/19/720632219.db2.gz XIKRSEPINRWWAG-SNVBAGLBSA-N 1 2 312.801 1.231 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(OC)nc(OC)c2)C1 ZINC000950762752 720768229 /nfs/dbraw/zinc/76/82/29/720768229.db2.gz CVUBHHYZXRDFNY-UHFFFAOYSA-N 1 2 317.389 1.268 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2nc(C)n3ccccc23)C1 ZINC000950879504 720808786 /nfs/dbraw/zinc/80/87/86/720808786.db2.gz FWRMSHTZMZEDDB-UHFFFAOYSA-N 1 2 310.401 1.812 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)N[C@H](CC)C2)C1 ZINC000950960493 720852466 /nfs/dbraw/zinc/85/24/66/720852466.db2.gz BCVLOGWTQZTTIY-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H](C)C1C[NH+](CCF)C1 ZINC000970761254 720925865 /nfs/dbraw/zinc/92/58/65/720925865.db2.gz ULBQXOCLRPXCAP-LBPRGKRZSA-N 1 2 305.353 1.113 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C2C[NH+](Cc3ccn(C)n3)C2)nc1 ZINC000970764353 720927237 /nfs/dbraw/zinc/92/72/37/720927237.db2.gz RPHBQMPZQYLBEL-CYBMUJFWSA-N 1 2 323.400 1.047 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc(-c3ncon3)c2)C1 ZINC000951730314 721156461 /nfs/dbraw/zinc/15/64/61/721156461.db2.gz PJAGJKHZMHMTSI-UHFFFAOYSA-N 1 2 324.384 1.906 20 30 DDEDLO CCc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)cs1 ZINC000971211639 721180824 /nfs/dbraw/zinc/18/08/24/721180824.db2.gz UCIHUCDLBMHTIP-WCQYABFASA-N 1 2 306.435 1.898 20 30 DDEDLO CCc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)cs1 ZINC000971211639 721180828 /nfs/dbraw/zinc/18/08/28/721180828.db2.gz UCIHUCDLBMHTIP-WCQYABFASA-N 1 2 306.435 1.898 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971507512 721328373 /nfs/dbraw/zinc/32/83/73/721328373.db2.gz IYSXVVIBMQUSLL-ZFWWWQNUSA-N 1 2 301.390 1.990 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971507512 721328377 /nfs/dbraw/zinc/32/83/77/721328377.db2.gz IYSXVVIBMQUSLL-ZFWWWQNUSA-N 1 2 301.390 1.990 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971510095 721329395 /nfs/dbraw/zinc/32/93/95/721329395.db2.gz BBQNKSXLNWCABW-JSGCOSHPSA-N 1 2 316.405 1.639 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971510095 721329399 /nfs/dbraw/zinc/32/93/99/721329399.db2.gz BBQNKSXLNWCABW-JSGCOSHPSA-N 1 2 316.405 1.639 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2ccccc2NC(C)=O)CC1 ZINC000952307021 721400921 /nfs/dbraw/zinc/40/09/21/721400921.db2.gz WVFSAFNWLVDNSF-UHFFFAOYSA-N 1 2 301.390 1.518 20 30 DDEDLO C=CC[NH+]1CCN(c2cc(Cl)nnc2C(=O)OCC)CC1 ZINC001165031367 721865896 /nfs/dbraw/zinc/86/58/96/721865896.db2.gz FZIXBSVLLCVQIG-UHFFFAOYSA-N 1 2 310.785 1.615 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001023657017 735377157 /nfs/dbraw/zinc/37/71/57/735377157.db2.gz KBXFSFXWHGPKOO-CYBMUJFWSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001023657017 735377160 /nfs/dbraw/zinc/37/71/60/735377160.db2.gz KBXFSFXWHGPKOO-CYBMUJFWSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001023657017 735377161 /nfs/dbraw/zinc/37/71/61/735377161.db2.gz KBXFSFXWHGPKOO-CYBMUJFWSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001023657017 735377163 /nfs/dbraw/zinc/37/71/63/735377163.db2.gz KBXFSFXWHGPKOO-CYBMUJFWSA-N 1 2 324.388 1.318 20 30 DDEDLO CN(CC#N)[C@H]1CCCN(C(=O)[C@H](c2cccnc2)[NH+](C)C)C1 ZINC001027697947 738563420 /nfs/dbraw/zinc/56/34/20/738563420.db2.gz ZTDZNEBAKHZQAJ-HOTGVXAUSA-N 1 2 315.421 1.131 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)C[C@@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125272103 732312079 /nfs/dbraw/zinc/31/20/79/732312079.db2.gz NJWBADGFKQEHQB-CABCVRRESA-N 1 2 320.437 1.643 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(F)cn3)C2)c1 ZINC001010457849 732362884 /nfs/dbraw/zinc/36/28/84/732362884.db2.gz OLXWZHGWKYLBTO-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(F)cn3)C2)c1 ZINC001010457849 732362890 /nfs/dbraw/zinc/36/28/90/732362890.db2.gz OLXWZHGWKYLBTO-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C[C@H]2CCCO2)C1 ZINC001149249117 733498650 /nfs/dbraw/zinc/49/86/50/733498650.db2.gz UMIAHRMTYGKYKF-ZIAGYGMSSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C[C@H]2CCCO2)C1 ZINC001149249117 733498655 /nfs/dbraw/zinc/49/86/55/733498655.db2.gz UMIAHRMTYGKYKF-ZIAGYGMSSA-N 1 2 316.829 1.515 20 30 DDEDLO C#CCN(C)c1nnc(Cc2[nH+]ccn2Cc2ccccc2)n1C ZINC001121267343 782432178 /nfs/dbraw/zinc/43/21/78/782432178.db2.gz APFNLRVMPRNPMD-UHFFFAOYSA-N 1 2 320.400 1.720 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4c(C)cccn4c3)[C@H]2C1 ZINC001083246995 734800853 /nfs/dbraw/zinc/80/08/53/734800853.db2.gz VUVSPYSNXUMFTB-ZWKOTPCHSA-N 1 2 323.396 1.406 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4c(C)cccn4c3)[C@H]2C1 ZINC001083246995 734800857 /nfs/dbraw/zinc/80/08/57/734800857.db2.gz VUVSPYSNXUMFTB-ZWKOTPCHSA-N 1 2 323.396 1.406 20 30 DDEDLO COC(=O)c1cc2[nH+]c[nH]c2c(N[C@@H](CS)C(=O)OC)c1 ZINC001167622159 734842244 /nfs/dbraw/zinc/84/22/44/734842244.db2.gz SXHPIJHPPCQRHT-JTQLQIEISA-N 1 2 309.347 1.233 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3c[nH]c4ccccc34)[C@H]2C1 ZINC001083256242 734995332 /nfs/dbraw/zinc/99/53/32/734995332.db2.gz NIZJPOYMWKCQGH-ZWKOTPCHSA-N 1 2 323.396 1.255 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3c[nH]c4ccccc34)[C@H]2C1 ZINC001083256242 734995335 /nfs/dbraw/zinc/99/53/35/734995335.db2.gz NIZJPOYMWKCQGH-ZWKOTPCHSA-N 1 2 323.396 1.255 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1nccnc1N ZINC001024370308 735793991 /nfs/dbraw/zinc/79/39/91/735793991.db2.gz QCEVORAPMXIRKA-LLVKDONJSA-N 1 2 309.801 1.396 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1nccnc1N ZINC001024370308 735793993 /nfs/dbraw/zinc/79/39/93/735793993.db2.gz QCEVORAPMXIRKA-LLVKDONJSA-N 1 2 309.801 1.396 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]2[C@@H]1C(C)(C)[C@H]2NC(=O)c1cn[nH]n1 ZINC001087293740 735963207 /nfs/dbraw/zinc/96/32/07/735963207.db2.gz JFPPRRKTNKVEOR-KGYLQXTDSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]2[C@@H]1C(C)(C)[C@H]2NC(=O)c1cn[nH]n1 ZINC001087293740 735963210 /nfs/dbraw/zinc/96/32/10/735963210.db2.gz JFPPRRKTNKVEOR-KGYLQXTDSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]2[C@@H]1C(C)(C)[C@H]2NC(=O)c1cnn[nH]1 ZINC001087293740 735963213 /nfs/dbraw/zinc/96/32/13/735963213.db2.gz JFPPRRKTNKVEOR-KGYLQXTDSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]2[C@@H]1C(C)(C)[C@H]2NC(=O)c1cnn[nH]1 ZINC001087293740 735963216 /nfs/dbraw/zinc/96/32/16/735963216.db2.gz JFPPRRKTNKVEOR-KGYLQXTDSA-N 1 2 323.828 1.776 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4ccccc4[nH]3)[C@H]2C1 ZINC001083269359 735969239 /nfs/dbraw/zinc/96/92/39/735969239.db2.gz ZKTMSZAJDILIIW-DLBZAZTESA-N 1 2 309.369 1.326 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4ccccc4[nH]3)[C@H]2C1 ZINC001083269359 735969241 /nfs/dbraw/zinc/96/92/41/735969241.db2.gz ZKTMSZAJDILIIW-DLBZAZTESA-N 1 2 309.369 1.326 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1ccc(C(N)=O)s1 ZINC001024712111 736034887 /nfs/dbraw/zinc/03/48/87/736034887.db2.gz PXBLVMKAYLSHIS-LLVKDONJSA-N 1 2 305.403 1.065 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccc(C(N)=O)s1 ZINC001024712111 736034891 /nfs/dbraw/zinc/03/48/91/736034891.db2.gz PXBLVMKAYLSHIS-LLVKDONJSA-N 1 2 305.403 1.065 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001027995268 738918899 /nfs/dbraw/zinc/91/88/99/738918899.db2.gz JZHIEPILEPSPAB-ZDUSSCGKSA-N 1 2 311.385 1.908 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001027995268 738918901 /nfs/dbraw/zinc/91/89/01/738918901.db2.gz JZHIEPILEPSPAB-ZDUSSCGKSA-N 1 2 311.385 1.908 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@H]1CNC(=O)c1cnc(COC)s1 ZINC001024829017 736117525 /nfs/dbraw/zinc/11/75/25/736117525.db2.gz SHDLUKWTMMTWER-ZDUSSCGKSA-N 1 2 321.446 1.897 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1cnc(COC)s1 ZINC001024829017 736117528 /nfs/dbraw/zinc/11/75/28/736117528.db2.gz SHDLUKWTMMTWER-ZDUSSCGKSA-N 1 2 321.446 1.897 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCCC[C@H]1C[NH2+]Cc1nnn(C)n1 ZINC001025069776 736276910 /nfs/dbraw/zinc/27/69/10/736276910.db2.gz OORVIBOXYZHDFF-BBRMVZONSA-N 1 2 320.441 1.283 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cnccc2OC)C1 ZINC001007618271 736505439 /nfs/dbraw/zinc/50/54/39/736505439.db2.gz LHYICILGLIDXGH-CQSZACIVSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cnccc2OC)C1 ZINC001007618271 736505442 /nfs/dbraw/zinc/50/54/42/736505442.db2.gz LHYICILGLIDXGH-CQSZACIVSA-N 1 2 323.824 1.966 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)[C@@H](C)C1 ZINC001105038245 737475684 /nfs/dbraw/zinc/47/56/84/737475684.db2.gz KMOSSWAPQYHVEP-GXTWGEPZSA-N 1 2 304.394 1.637 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(n2cc(C[NH2+]C/C=C/Cl)nn2)C1 ZINC001105300976 737774485 /nfs/dbraw/zinc/77/44/85/737774485.db2.gz HDHRCLJHGUCWGG-AATRIKPKSA-N 1 2 323.828 1.716 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C=C(CC)CC)C2)nn1 ZINC001098655755 742354472 /nfs/dbraw/zinc/35/44/72/742354472.db2.gz JSUXSJXTYKOYPP-INIZCTEOSA-N 1 2 315.421 1.521 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)CC(CC)CC)C2)nn1 ZINC001105354268 738989677 /nfs/dbraw/zinc/98/96/77/738989677.db2.gz GTJQMVIZXLGALV-UHFFFAOYSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)CC(C)C)C2)nn1 ZINC001105354713 738993047 /nfs/dbraw/zinc/99/30/47/738993047.db2.gz UHPJGKNXQLAWLW-CYBMUJFWSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098196743 739020779 /nfs/dbraw/zinc/02/07/79/739020779.db2.gz VEMFAPYRVBNDCQ-RYUDHWBXSA-N 1 2 320.441 1.765 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cc(C(N)=O)co1 ZINC001028128169 739070917 /nfs/dbraw/zinc/07/09/17/739070917.db2.gz QHNMNABQQKAJAK-NSHDSACASA-N 1 2 311.769 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc(C(N)=O)co1 ZINC001028128169 739070920 /nfs/dbraw/zinc/07/09/20/739070920.db2.gz QHNMNABQQKAJAK-NSHDSACASA-N 1 2 311.769 1.325 20 30 DDEDLO CC(C)=CC[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001035338953 751423120 /nfs/dbraw/zinc/42/31/20/751423120.db2.gz WHHYALKDHOWSIR-OAHLLOKOSA-N 1 2 302.378 1.283 20 30 DDEDLO CC(C)=CC[N@H+]1CCO[C@H](CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001035338953 751423123 /nfs/dbraw/zinc/42/31/23/751423123.db2.gz WHHYALKDHOWSIR-OAHLLOKOSA-N 1 2 302.378 1.283 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1nnc(C(F)(F)F)s1 ZINC001126338719 739208890 /nfs/dbraw/zinc/20/88/90/739208890.db2.gz GYLGKURPGVZKNY-UHFFFAOYSA-N 1 2 320.340 1.566 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001028237089 739209712 /nfs/dbraw/zinc/20/97/12/739209712.db2.gz PUSUJXRWJGBJLW-UONOGXRCSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001028237089 739209716 /nfs/dbraw/zinc/20/97/16/739209716.db2.gz PUSUJXRWJGBJLW-UONOGXRCSA-N 1 2 314.433 1.752 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCc2nncn2C1 ZINC001028333615 739368650 /nfs/dbraw/zinc/36/86/50/739368650.db2.gz PAQMJXZXHSYZEE-QWHCGFSZSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCc2nncn2C1 ZINC001028333615 739368653 /nfs/dbraw/zinc/36/86/53/739368653.db2.gz PAQMJXZXHSYZEE-QWHCGFSZSA-N 1 2 323.828 1.174 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)n(C(F)F)n2)C1 ZINC001035385263 751454640 /nfs/dbraw/zinc/45/46/40/751454640.db2.gz SOKCEXYNVJTMBD-NSHDSACASA-N 1 2 314.336 1.203 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)n(C(F)F)n2)C1 ZINC001035385263 751454642 /nfs/dbraw/zinc/45/46/42/751454642.db2.gz SOKCEXYNVJTMBD-NSHDSACASA-N 1 2 314.336 1.203 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3ccc(CC)cc3)C2)nn1 ZINC001105369623 739611825 /nfs/dbraw/zinc/61/18/25/739611825.db2.gz GMALDTMRMUFWRV-UHFFFAOYSA-N 1 2 323.400 1.260 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3c2COCC3)C1 ZINC001035394523 751465053 /nfs/dbraw/zinc/46/50/53/751465053.db2.gz PQLQZVPMKUYYIW-OAHLLOKOSA-N 1 2 316.401 1.376 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3c2COCC3)C1 ZINC001035394523 751465056 /nfs/dbraw/zinc/46/50/56/751465056.db2.gz PQLQZVPMKUYYIW-OAHLLOKOSA-N 1 2 316.401 1.376 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001028588574 739704959 /nfs/dbraw/zinc/70/49/59/739704959.db2.gz FPHXQGJLZRBSBG-AWEZNQCLSA-N 1 2 323.400 1.547 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001028588574 739704960 /nfs/dbraw/zinc/70/49/60/739704960.db2.gz FPHXQGJLZRBSBG-AWEZNQCLSA-N 1 2 323.400 1.547 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(F)c(C)cc2F)C1 ZINC001035410902 751493036 /nfs/dbraw/zinc/49/30/36/751493036.db2.gz YRWGYLKXBGSSHF-ZDUSSCGKSA-N 1 2 322.355 1.727 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(F)c(C)cc2F)C1 ZINC001035410902 751493040 /nfs/dbraw/zinc/49/30/40/751493040.db2.gz YRWGYLKXBGSSHF-ZDUSSCGKSA-N 1 2 322.355 1.727 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3cnccc3c2)C1 ZINC001035447398 751524948 /nfs/dbraw/zinc/52/49/48/751524948.db2.gz HZTLCFAQGDWAPT-HNNXBMFYSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3cnccc3c2)C1 ZINC001035447398 751524952 /nfs/dbraw/zinc/52/49/52/751524952.db2.gz HZTLCFAQGDWAPT-HNNXBMFYSA-N 1 2 312.373 1.246 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H](NC(=O)C2=CCCC2)[C@@H](O)C1 ZINC001083404572 740304699 /nfs/dbraw/zinc/30/46/99/740304699.db2.gz VMZDPTVLRQGLQO-SJORKVTESA-N 1 2 311.385 1.330 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H](NC(=O)C2=CCCC2)[C@@H](O)C1 ZINC001083404572 740304701 /nfs/dbraw/zinc/30/47/01/740304701.db2.gz VMZDPTVLRQGLQO-SJORKVTESA-N 1 2 311.385 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCCCC2(F)F)C1 ZINC001035459394 751544816 /nfs/dbraw/zinc/54/48/16/751544816.db2.gz PEYFVFKBXANZSD-OLZOCXBDSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCCCC2(F)F)C1 ZINC001035459394 751544817 /nfs/dbraw/zinc/54/48/17/751544817.db2.gz PEYFVFKBXANZSD-OLZOCXBDSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1OCCC ZINC001032603278 751550325 /nfs/dbraw/zinc/55/03/25/751550325.db2.gz VHUJWVKKOBOHSA-KBPBESRZSA-N 1 2 301.390 1.955 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1OCCC ZINC001032603278 751550326 /nfs/dbraw/zinc/55/03/26/751550326.db2.gz VHUJWVKKOBOHSA-KBPBESRZSA-N 1 2 301.390 1.955 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1C[N@H+](CC=C(C)C)CCO1 ZINC001035510910 751568422 /nfs/dbraw/zinc/56/84/22/751568422.db2.gz QABAQEZKFMWWCZ-OAGGEKHMSA-N 1 2 308.422 1.361 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1C[N@@H+](CC=C(C)C)CCO1 ZINC001035510910 751568426 /nfs/dbraw/zinc/56/84/26/751568426.db2.gz QABAQEZKFMWWCZ-OAGGEKHMSA-N 1 2 308.422 1.361 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3nc[nH]n3)C[C@@H]2C1 ZINC001087925935 740860517 /nfs/dbraw/zinc/86/05/17/740860517.db2.gz KKMREWMHWSZEKP-OLZOCXBDSA-N 1 2 323.828 1.270 20 30 DDEDLO Cc1nsc(N[C@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)c1C#N ZINC001059426858 740916564 /nfs/dbraw/zinc/91/65/64/740916564.db2.gz YIVHWHRNWHXQRQ-MGCOHNPYSA-N 1 2 316.390 1.348 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(F)c2Cl)C1 ZINC001035524939 751603024 /nfs/dbraw/zinc/60/30/24/751603024.db2.gz VFCMEGFRUACIRJ-GFCCVEGCSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccc(F)c2Cl)C1 ZINC001035524939 751603027 /nfs/dbraw/zinc/60/30/27/751603027.db2.gz VFCMEGFRUACIRJ-GFCCVEGCSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)no2)C1 ZINC001035532201 751613685 /nfs/dbraw/zinc/61/36/85/751613685.db2.gz DNDZBESVNGMVFM-CYBMUJFWSA-N 1 2 305.378 1.252 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)no2)C1 ZINC001035532201 751613687 /nfs/dbraw/zinc/61/36/87/751613687.db2.gz DNDZBESVNGMVFM-CYBMUJFWSA-N 1 2 305.378 1.252 20 30 DDEDLO O=C(C#CC1CC1)N1CCCCC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001088310983 741368476 /nfs/dbraw/zinc/36/84/76/741368476.db2.gz KOHZJGULZZPHHY-AWEZNQCLSA-N 1 2 318.446 1.812 20 30 DDEDLO N#CCN[C@@H]1CCCCCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)C1 ZINC001088337883 741410846 /nfs/dbraw/zinc/41/08/46/741410846.db2.gz PWLWGQYQCMKAFK-HUUCEWRRSA-N 1 2 315.421 1.330 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC001035560297 751642126 /nfs/dbraw/zinc/64/21/26/751642126.db2.gz SDYDRELAHLRWLQ-AWEZNQCLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC001035560297 751642164 /nfs/dbraw/zinc/64/21/64/751642164.db2.gz SDYDRELAHLRWLQ-AWEZNQCLSA-N 1 2 303.406 1.899 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cn(C)nn2)CC[N@@H+]1Cc1ccccc1C#N ZINC001088552327 741750133 /nfs/dbraw/zinc/75/01/33/741750133.db2.gz YCXNXQRBZCNBIA-DOMZBBRYSA-N 1 2 324.388 1.080 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cn(C)nn2)CC[N@H+]1Cc1ccccc1C#N ZINC001088552327 741750134 /nfs/dbraw/zinc/75/01/34/741750134.db2.gz YCXNXQRBZCNBIA-DOMZBBRYSA-N 1 2 324.388 1.080 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)nc1 ZINC001059871145 741814408 /nfs/dbraw/zinc/81/44/08/741814408.db2.gz XBOABLMBHRASED-XJFOESAGSA-N 1 2 322.372 1.539 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)nc1 ZINC001059871145 741814411 /nfs/dbraw/zinc/81/44/11/741814411.db2.gz XBOABLMBHRASED-XJFOESAGSA-N 1 2 322.372 1.539 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2coc3ccccc23)C1 ZINC001035624414 751695140 /nfs/dbraw/zinc/69/51/40/751695140.db2.gz NOANGIXOQYIJCS-AWEZNQCLSA-N 1 2 312.369 1.887 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2coc3ccccc23)C1 ZINC001035624414 751695144 /nfs/dbraw/zinc/69/51/44/751695144.db2.gz NOANGIXOQYIJCS-AWEZNQCLSA-N 1 2 312.369 1.887 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3ccc(F)cc32)C1 ZINC001035628426 751699997 /nfs/dbraw/zinc/69/99/97/751699997.db2.gz UIBBOJPCVGGWHU-ZDUSSCGKSA-N 1 2 317.364 1.924 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3ccc(F)cc32)C1 ZINC001035628426 751700002 /nfs/dbraw/zinc/70/00/02/751700002.db2.gz UIBBOJPCVGGWHU-ZDUSSCGKSA-N 1 2 317.364 1.924 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccnc2OC(C)C)C1 ZINC001035630137 751702154 /nfs/dbraw/zinc/70/21/54/751702154.db2.gz LWNPSWFHISTEQM-AWEZNQCLSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccnc2OC(C)C)C1 ZINC001035630137 751702157 /nfs/dbraw/zinc/70/21/57/751702157.db2.gz LWNPSWFHISTEQM-AWEZNQCLSA-N 1 2 319.405 1.485 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncc3[nH]cnc32)C1 ZINC001014505961 742204409 /nfs/dbraw/zinc/20/44/09/742204409.db2.gz RMTKNJAECGQYPA-JTQLQIEISA-N 1 2 305.769 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncc3[nH]cnc32)C1 ZINC001014505961 742204413 /nfs/dbraw/zinc/20/44/13/742204413.db2.gz RMTKNJAECGQYPA-JTQLQIEISA-N 1 2 305.769 1.515 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2nc(C)c(C)s2)C1 ZINC001035606857 751711361 /nfs/dbraw/zinc/71/13/61/751711361.db2.gz JKYZPFHAUIKTIJ-CYBMUJFWSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2nc(C)c(C)s2)C1 ZINC001035606857 751711363 /nfs/dbraw/zinc/71/13/63/751711363.db2.gz JKYZPFHAUIKTIJ-CYBMUJFWSA-N 1 2 307.419 1.214 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)nc[nH]c2=O)[C@H]1C ZINC001088808459 742411026 /nfs/dbraw/zinc/41/10/26/742411026.db2.gz IIHFARIPNPUGAO-MNOVXSKESA-N 1 2 310.785 1.436 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)nc[nH]c2=O)[C@H]1C ZINC001088808459 742411030 /nfs/dbraw/zinc/41/10/30/742411030.db2.gz IIHFARIPNPUGAO-MNOVXSKESA-N 1 2 310.785 1.436 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098661974 742564873 /nfs/dbraw/zinc/56/48/73/742564873.db2.gz WHFLJJGFSFAIII-OAHLLOKOSA-N 1 2 321.400 1.304 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnc(C)n3C)[C@@H]2C1 ZINC001076176992 742586555 /nfs/dbraw/zinc/58/65/55/742586555.db2.gz FDGOUWNFTWONNL-GXTWGEPZSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnc(C)n3C)[C@@H]2C1 ZINC001076176992 742586558 /nfs/dbraw/zinc/58/65/58/742586558.db2.gz FDGOUWNFTWONNL-GXTWGEPZSA-N 1 2 308.813 1.627 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc3c2CCCCC3)[C@@H](O)C1 ZINC001083548446 742750518 /nfs/dbraw/zinc/75/05/18/742750518.db2.gz LMIWBEXKXRFTLC-MSOLQXFVSA-N 1 2 312.413 1.364 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc3c2CCCCC3)[C@@H](O)C1 ZINC001083548446 742750523 /nfs/dbraw/zinc/75/05/23/742750523.db2.gz LMIWBEXKXRFTLC-MSOLQXFVSA-N 1 2 312.413 1.364 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001076798435 742995690 /nfs/dbraw/zinc/99/56/90/742995690.db2.gz BHWZBNQRPMIUTL-AWEZNQCLSA-N 1 2 306.410 1.641 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O)C1CC1 ZINC001076850173 743034158 /nfs/dbraw/zinc/03/41/58/743034158.db2.gz PUADFDQGLWYYOC-VCTAVGKDSA-N 1 2 319.430 1.327 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O)C1CC1 ZINC001076850173 743034170 /nfs/dbraw/zinc/03/41/70/743034170.db2.gz PUADFDQGLWYYOC-VCTAVGKDSA-N 1 2 319.430 1.327 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001061094869 743179656 /nfs/dbraw/zinc/17/96/56/743179656.db2.gz IIXUZCJRYJZMIG-HUUCEWRRSA-N 1 2 324.388 1.689 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001061095684 743187455 /nfs/dbraw/zinc/18/74/55/743187455.db2.gz NMABVAZCNPPIQK-GJZGRUSLSA-N 1 2 324.388 1.689 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CCCCC1)C2 ZINC001110245101 743223555 /nfs/dbraw/zinc/22/35/55/743223555.db2.gz CNYFRVRIZDNMRA-OAGGEKHMSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CCCCC1)C2 ZINC001110245101 743223561 /nfs/dbraw/zinc/22/35/61/743223561.db2.gz CNYFRVRIZDNMRA-OAGGEKHMSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)C1 ZINC001077166994 743289121 /nfs/dbraw/zinc/28/91/21/743289121.db2.gz UMTRGFICBIQIJS-FDYHWXHSSA-N 1 2 318.339 1.150 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)C1 ZINC001077166994 743289123 /nfs/dbraw/zinc/28/91/23/743289123.db2.gz UMTRGFICBIQIJS-FDYHWXHSSA-N 1 2 318.339 1.150 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cnc[nH]c1=O)CC2 ZINC001035728373 751819508 /nfs/dbraw/zinc/81/95/08/751819508.db2.gz DWIJENVOWGCAPG-UHFFFAOYSA-N 1 2 322.796 1.473 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)COCC=C)c2C1 ZINC001128259881 743407801 /nfs/dbraw/zinc/40/78/01/743407801.db2.gz XGZHTDHWPCOJMN-UHFFFAOYSA-N 1 2 304.394 1.094 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)COCC=C)c2C1 ZINC001128259881 743407807 /nfs/dbraw/zinc/40/78/07/743407807.db2.gz XGZHTDHWPCOJMN-UHFFFAOYSA-N 1 2 304.394 1.094 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2csnn2)C1 ZINC001182112685 743480745 /nfs/dbraw/zinc/48/07/45/743480745.db2.gz AMJONVZCLYRRJI-CHWSQXEVSA-N 1 2 306.435 1.983 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc3cnccc3o2)C1 ZINC001108052087 743509434 /nfs/dbraw/zinc/50/94/34/743509434.db2.gz WSYODHBZGJXGAZ-KRWDZBQOSA-N 1 2 315.373 1.835 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc3cnccc3o2)C1 ZINC001108052087 743509438 /nfs/dbraw/zinc/50/94/38/743509438.db2.gz WSYODHBZGJXGAZ-KRWDZBQOSA-N 1 2 315.373 1.835 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)C)C2 ZINC001110288619 743607182 /nfs/dbraw/zinc/60/71/82/743607182.db2.gz ULYZRPAHJKQQNB-KFWWJZLASA-N 1 2 320.437 1.126 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)C)C2 ZINC001110288619 743607186 /nfs/dbraw/zinc/60/71/86/743607186.db2.gz ULYZRPAHJKQQNB-KFWWJZLASA-N 1 2 320.437 1.126 20 30 DDEDLO N#CCc1ccc(N[C@@H]2CCC[N@@H+](C3COC3)C2)cc1[N+](=O)[O-] ZINC001168372498 743610733 /nfs/dbraw/zinc/61/07/33/743610733.db2.gz KITOHCDDGWNKON-CQSZACIVSA-N 1 2 316.361 1.936 20 30 DDEDLO N#CCc1ccc(N[C@@H]2CCC[N@H+](C3COC3)C2)cc1[N+](=O)[O-] ZINC001168372498 743610737 /nfs/dbraw/zinc/61/07/37/743610737.db2.gz KITOHCDDGWNKON-CQSZACIVSA-N 1 2 316.361 1.936 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2ncc(Cl)s2)[C@@H](O)C1 ZINC001083638250 743649002 /nfs/dbraw/zinc/64/90/02/743649002.db2.gz HQZIHFMNCWAKBD-BDAKNGLRSA-N 1 2 301.799 1.148 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2ncc(Cl)s2)[C@@H](O)C1 ZINC001083638250 743649005 /nfs/dbraw/zinc/64/90/05/743649005.db2.gz HQZIHFMNCWAKBD-BDAKNGLRSA-N 1 2 301.799 1.148 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](CC(C)C)OC)CC2)C1 ZINC001105724195 743760977 /nfs/dbraw/zinc/76/09/77/743760977.db2.gz RFTCFRBHZIQYAD-QGZVFWFLSA-N 1 2 324.465 1.925 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([NH2+]Cc3nc(C)no3)C2)CCC1 ZINC001183185782 743792643 /nfs/dbraw/zinc/79/26/43/743792643.db2.gz ULYQOEIQPXPFSF-CYBMUJFWSA-N 1 2 302.378 1.262 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CCCN(C)c2cc[nH+]c(C)n2)cn1 ZINC001112104094 743951989 /nfs/dbraw/zinc/95/19/89/743951989.db2.gz PFVXZBTVKNCQKN-UHFFFAOYSA-N 1 2 323.400 1.760 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1OC ZINC001212187499 744277649 /nfs/dbraw/zinc/27/76/49/744277649.db2.gz WAARVVFVCXTIDE-HZPDHXFCSA-N 1 2 319.405 1.356 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1OC ZINC001212187499 744277653 /nfs/dbraw/zinc/27/76/53/744277653.db2.gz WAARVVFVCXTIDE-HZPDHXFCSA-N 1 2 319.405 1.356 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccc(OC)nn1 ZINC001110368518 744287424 /nfs/dbraw/zinc/28/74/24/744287424.db2.gz DNOOOXWWLABFDY-KFWWJZLASA-N 1 2 316.405 1.673 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(OC)nn1 ZINC001110368518 744287425 /nfs/dbraw/zinc/28/74/25/744287425.db2.gz DNOOOXWWLABFDY-KFWWJZLASA-N 1 2 316.405 1.673 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CC3(C)CC3)C2)nn1 ZINC001185962653 744320663 /nfs/dbraw/zinc/32/06/63/744320663.db2.gz MBADVAIIVVRNLI-OAHLLOKOSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc(OC)nc2)[C@H]1C ZINC001088956296 744404040 /nfs/dbraw/zinc/40/40/40/744404040.db2.gz JUXIWWQVZAEEAP-PWSUYJOCSA-N 1 2 310.785 1.430 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc(OC)nc2)[C@H]1C ZINC001088956296 744404041 /nfs/dbraw/zinc/40/40/41/744404041.db2.gz JUXIWWQVZAEEAP-PWSUYJOCSA-N 1 2 310.785 1.430 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCN(C(=O)CSCC#N)[C@@H]3C2)o1 ZINC001186916927 744473193 /nfs/dbraw/zinc/47/31/93/744473193.db2.gz ARRYPPYBGLWCBF-QWHCGFSZSA-N 1 2 320.418 1.273 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCN(C(=O)CSCC#N)[C@@H]3C2)o1 ZINC001186916927 744473195 /nfs/dbraw/zinc/47/31/95/744473195.db2.gz ARRYPPYBGLWCBF-QWHCGFSZSA-N 1 2 320.418 1.273 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C(C)(C)C)C2)nn1 ZINC001098773779 744478483 /nfs/dbraw/zinc/47/84/83/744478483.db2.gz IPQOJOVGULBLOL-CQSZACIVSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001189242778 744851521 /nfs/dbraw/zinc/85/15/21/744851521.db2.gz LQHPEHSPTKMKSQ-HOCLYGCPSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001189242778 744851523 /nfs/dbraw/zinc/85/15/23/744851523.db2.gz LQHPEHSPTKMKSQ-HOCLYGCPSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2ccncc2Cl)C1 ZINC001189370018 744877778 /nfs/dbraw/zinc/87/77/78/744877778.db2.gz HJBZCAPAAXQYBS-CQSZACIVSA-N 1 2 323.824 1.970 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccncc2Cl)C1 ZINC001189370018 744877781 /nfs/dbraw/zinc/87/77/81/744877781.db2.gz HJBZCAPAAXQYBS-CQSZACIVSA-N 1 2 323.824 1.970 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)NCCCCCC(=O)OCC)CC1 ZINC001189644411 744932804 /nfs/dbraw/zinc/93/28/04/744932804.db2.gz OBDBZPBFZPLPQH-UHFFFAOYSA-N 1 2 311.426 1.623 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1C[NH+](CCc2ccccc2F)C1 ZINC001030977549 745009112 /nfs/dbraw/zinc/00/91/12/745009112.db2.gz OLMFCIKIVFFWSG-WBVHZDCISA-N 1 2 318.392 1.760 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190003931 745076759 /nfs/dbraw/zinc/07/67/59/745076759.db2.gz JZQJSTBXXKOVQQ-OCCSQVGLSA-N 1 2 304.394 1.631 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190003931 745076766 /nfs/dbraw/zinc/07/67/66/745076766.db2.gz JZQJSTBXXKOVQQ-OCCSQVGLSA-N 1 2 304.394 1.631 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190054330 745092462 /nfs/dbraw/zinc/09/24/62/745092462.db2.gz PUIMKZCSMASDKE-ZIAGYGMSSA-N 1 2 318.421 1.885 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190054330 745092468 /nfs/dbraw/zinc/09/24/68/745092468.db2.gz PUIMKZCSMASDKE-ZIAGYGMSSA-N 1 2 318.421 1.885 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190053872 745092537 /nfs/dbraw/zinc/09/25/37/745092537.db2.gz LKBZDZGKIWPKBO-MRXNPFEDSA-N 1 2 302.422 1.595 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190053872 745092544 /nfs/dbraw/zinc/09/25/44/745092544.db2.gz LKBZDZGKIWPKBO-MRXNPFEDSA-N 1 2 302.422 1.595 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(Cl)oc3ccccc32)[C@@H](O)C1 ZINC001083718142 745335988 /nfs/dbraw/zinc/33/59/88/745335988.db2.gz DLSBCJZEDZTFBW-NEPJUHHUSA-N 1 2 318.760 1.494 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(Cl)oc3ccccc32)[C@@H](O)C1 ZINC001083718142 745335991 /nfs/dbraw/zinc/33/59/91/745335991.db2.gz DLSBCJZEDZTFBW-NEPJUHHUSA-N 1 2 318.760 1.494 20 30 DDEDLO CCOC[C@H](C(=O)OC)[N@H+](C)CCCOc1cccc(C#N)c1 ZINC001192327002 745755161 /nfs/dbraw/zinc/75/51/61/745755161.db2.gz ZLMVNQAMYLSLFN-MRXNPFEDSA-N 1 2 320.389 1.837 20 30 DDEDLO CCOC[C@H](C(=O)OC)[N@@H+](C)CCCOc1cccc(C#N)c1 ZINC001192327002 745755162 /nfs/dbraw/zinc/75/51/62/745755162.db2.gz ZLMVNQAMYLSLFN-MRXNPFEDSA-N 1 2 320.389 1.837 20 30 DDEDLO Cn1cnc(C(=O)N[C@H]2CCC[N@H+](Cc3ccccc3C#N)C2)c1 ZINC001007130277 752029981 /nfs/dbraw/zinc/02/99/81/752029981.db2.gz SEFICMCUTIBXCI-INIZCTEOSA-N 1 2 323.400 1.686 20 30 DDEDLO Cn1cnc(C(=O)N[C@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001007130277 752029989 /nfs/dbraw/zinc/02/99/89/752029989.db2.gz SEFICMCUTIBXCI-INIZCTEOSA-N 1 2 323.400 1.686 20 30 DDEDLO Cc1cncc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C2)c1 ZINC001192871624 745909998 /nfs/dbraw/zinc/90/99/98/745909998.db2.gz VAVJLFNTDKWYMV-HZPDHXFCSA-N 1 2 315.417 1.101 20 30 DDEDLO Cc1cncc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C2)c1 ZINC001192871624 745910000 /nfs/dbraw/zinc/91/00/00/745910000.db2.gz VAVJLFNTDKWYMV-HZPDHXFCSA-N 1 2 315.417 1.101 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NCc1c[nH+]cn1Cc1ccccc1 ZINC001193185290 746023236 /nfs/dbraw/zinc/02/32/36/746023236.db2.gz GYVHTZGGMMUYGC-LBPRGKRZSA-N 1 2 304.375 1.263 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nccs2)C1 ZINC001194396891 746367172 /nfs/dbraw/zinc/36/71/72/746367172.db2.gz LEWFMUJJFAXJSI-QWHCGFSZSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nccs2)C1 ZINC001194396891 746367177 /nfs/dbraw/zinc/36/71/77/746367177.db2.gz LEWFMUJJFAXJSI-QWHCGFSZSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001194409066 746370383 /nfs/dbraw/zinc/37/03/83/746370383.db2.gz PLIWLNKXSPAHTB-SWLSCSKDSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001194409066 746370389 /nfs/dbraw/zinc/37/03/89/746370389.db2.gz PLIWLNKXSPAHTB-SWLSCSKDSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001194411183 746370427 /nfs/dbraw/zinc/37/04/27/746370427.db2.gz YCYXBGQYXLBDPH-STQMWFEESA-N 1 2 323.393 1.307 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001194411183 746370431 /nfs/dbraw/zinc/37/04/31/746370431.db2.gz YCYXBGQYXLBDPH-STQMWFEESA-N 1 2 323.393 1.307 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2c[nH+]ccc2N(C)C)[C@@H]1C ZINC000994270245 746556003 /nfs/dbraw/zinc/55/60/03/746556003.db2.gz QMLJCZWUWAXYJI-ZFWWWQNUSA-N 1 2 300.406 1.364 20 30 DDEDLO C#CCN1CCC[C@H](NC(=O)c2c[nH+]ccc2N(C)C)[C@@H]1C ZINC000994270245 746556009 /nfs/dbraw/zinc/55/60/09/746556009.db2.gz QMLJCZWUWAXYJI-ZFWWWQNUSA-N 1 2 300.406 1.364 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](Cc2cncn2C)CC1 ZINC001195540472 746640187 /nfs/dbraw/zinc/64/01/87/746640187.db2.gz BCYGCDIUJDTYIV-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](Cc2cncn2C)CC1 ZINC001195540472 746640189 /nfs/dbraw/zinc/64/01/89/746640189.db2.gz BCYGCDIUJDTYIV-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+](Cc2ccc(F)cn2)CC1 ZINC001195652848 746673997 /nfs/dbraw/zinc/67/39/97/746673997.db2.gz ZIOPUJLCIAWJDY-QGZVFWFLSA-N 1 2 321.396 1.582 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+](Cc2ccc(F)cn2)CC1 ZINC001195652848 746674000 /nfs/dbraw/zinc/67/40/00/746674000.db2.gz ZIOPUJLCIAWJDY-QGZVFWFLSA-N 1 2 321.396 1.582 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195754771 746686904 /nfs/dbraw/zinc/68/69/04/746686904.db2.gz UHVJBOLIEAPAOF-INIZCTEOSA-N 1 2 321.465 1.485 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195754771 746686906 /nfs/dbraw/zinc/68/69/06/746686906.db2.gz UHVJBOLIEAPAOF-INIZCTEOSA-N 1 2 321.465 1.485 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)C(C)C)CC1 ZINC001195774863 746701261 /nfs/dbraw/zinc/70/12/61/746701261.db2.gz FOTKAUDCUVIFEH-INIZCTEOSA-N 1 2 321.465 1.341 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)C(C)C)CC1 ZINC001195774863 746701265 /nfs/dbraw/zinc/70/12/65/746701265.db2.gz FOTKAUDCUVIFEH-INIZCTEOSA-N 1 2 321.465 1.341 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195775195 746702120 /nfs/dbraw/zinc/70/21/20/746702120.db2.gz QDKHLCHKUNKOIO-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195775195 746702123 /nfs/dbraw/zinc/70/21/23/746702123.db2.gz QDKHLCHKUNKOIO-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@H]2C(C)(C)C)CC1 ZINC001196480359 746872589 /nfs/dbraw/zinc/87/25/89/746872589.db2.gz IKRDRLWGDAORDX-HUUCEWRRSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2C(C)(C)C)CC1 ZINC001196480359 746872593 /nfs/dbraw/zinc/87/25/93/746872593.db2.gz IKRDRLWGDAORDX-HUUCEWRRSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cnnn2CC)CC1 ZINC001196881560 746988985 /nfs/dbraw/zinc/98/89/85/746988985.db2.gz TUUCENQKEIBGLF-OAHLLOKOSA-N 1 2 319.453 1.935 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[N@H+](Cc2cnnn2CC)CC1 ZINC001196881560 746988992 /nfs/dbraw/zinc/98/89/92/746988992.db2.gz TUUCENQKEIBGLF-OAHLLOKOSA-N 1 2 319.453 1.935 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[N@H+](Cc2cnnn2C)CC1 ZINC001196901304 747005653 /nfs/dbraw/zinc/00/56/53/747005653.db2.gz LDBHGOITDSUGGM-AWEZNQCLSA-N 1 2 305.426 1.452 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[N@@H+](Cc2cnnn2C)CC1 ZINC001196901304 747005658 /nfs/dbraw/zinc/00/56/58/747005658.db2.gz LDBHGOITDSUGGM-AWEZNQCLSA-N 1 2 305.426 1.452 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccon3)C2)c(F)c1 ZINC001031561301 747039388 /nfs/dbraw/zinc/03/93/88/747039388.db2.gz HGBFYNAPNDLCJP-UHFFFAOYSA-N 1 2 314.320 1.547 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC001197061689 747049731 /nfs/dbraw/zinc/04/97/31/747049731.db2.gz FMTYRTIODQWLJF-QGZVFWFLSA-N 1 2 309.454 1.648 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC001197061689 747049735 /nfs/dbraw/zinc/04/97/35/747049735.db2.gz FMTYRTIODQWLJF-QGZVFWFLSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001197102236 747067120 /nfs/dbraw/zinc/06/71/20/747067120.db2.gz QNMZBPGYRJZGGI-HNNXBMFYSA-N 1 2 319.449 1.568 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001197102236 747067124 /nfs/dbraw/zinc/06/71/24/747067124.db2.gz QNMZBPGYRJZGGI-HNNXBMFYSA-N 1 2 319.449 1.568 20 30 DDEDLO C[C@H](C[C@H](C)Nc1ccncc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089489452 747079166 /nfs/dbraw/zinc/07/91/66/747079166.db2.gz HYJOUIOFZUTKAA-NWDGAFQWSA-N 1 2 312.377 1.036 20 30 DDEDLO Cn1nccc1C(=O)NCC1C[NH+](Cc2ccc(C#N)s2)C1 ZINC001031575741 747086859 /nfs/dbraw/zinc/08/68/59/747086859.db2.gz GNJRFPMPPYCSJI-UHFFFAOYSA-N 1 2 315.402 1.215 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)C2CCC2)[C@H](O)C1 ZINC001090000412 747158125 /nfs/dbraw/zinc/15/81/25/747158125.db2.gz GHRJQXUSEBJLNK-IAGOWNOFSA-N 1 2 313.401 1.410 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)C2CCC2)[C@H](O)C1 ZINC001090000412 747158127 /nfs/dbraw/zinc/15/81/27/747158127.db2.gz GHRJQXUSEBJLNK-IAGOWNOFSA-N 1 2 313.401 1.410 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2scnc2C)C[C@H]1NC(=O)C#CC1CC1 ZINC001212244267 747230503 /nfs/dbraw/zinc/23/05/03/747230503.db2.gz MIYPHWHBNIDSTM-ZIAGYGMSSA-N 1 2 319.430 1.180 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2scnc2C)C[C@H]1NC(=O)C#CC1CC1 ZINC001212244267 747230507 /nfs/dbraw/zinc/23/05/07/747230507.db2.gz MIYPHWHBNIDSTM-ZIAGYGMSSA-N 1 2 319.430 1.180 20 30 DDEDLO C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2n[nH]c3ccccc32)C1 ZINC001198291521 747439075 /nfs/dbraw/zinc/43/90/75/747439075.db2.gz BEOJCBMUTTVWSG-HUUCEWRRSA-N 1 2 314.389 1.304 20 30 DDEDLO C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2n[nH]c3ccccc32)C1 ZINC001198291521 747439080 /nfs/dbraw/zinc/43/90/80/747439080.db2.gz BEOJCBMUTTVWSG-HUUCEWRRSA-N 1 2 314.389 1.304 20 30 DDEDLO CC[N@H+](CC(=O)Nc1nccs1)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152449859 747491836 /nfs/dbraw/zinc/49/18/36/747491836.db2.gz KMJXJEFLTKVLMT-GHMZBOCLSA-N 1 2 323.422 1.068 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1nccs1)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152449859 747491841 /nfs/dbraw/zinc/49/18/41/747491841.db2.gz KMJXJEFLTKVLMT-GHMZBOCLSA-N 1 2 323.422 1.068 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+][C@H](C)c1ncc(C)o1 ZINC001127604731 747728494 /nfs/dbraw/zinc/72/84/94/747728494.db2.gz LBDALLVULOFFGM-MCIONIFRSA-N 1 2 307.394 1.731 20 30 DDEDLO C=C(C)CC[N@@H+]1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@H](OC)C1 ZINC001212307019 747820824 /nfs/dbraw/zinc/82/08/24/747820824.db2.gz RVBNZMVIJLIKCB-GMTAPVOTSA-N 1 2 312.307 1.668 20 30 DDEDLO C=C(C)CC[N@H+]1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@H](OC)C1 ZINC001212307019 747820831 /nfs/dbraw/zinc/82/08/31/747820831.db2.gz RVBNZMVIJLIKCB-GMTAPVOTSA-N 1 2 312.307 1.668 20 30 DDEDLO C=C(C)CC[N@@H+]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@H](OC)C1 ZINC001212307019 747820836 /nfs/dbraw/zinc/82/08/36/747820836.db2.gz RVBNZMVIJLIKCB-GMTAPVOTSA-N 1 2 312.307 1.668 20 30 DDEDLO C=C(C)CC[N@H+]1C[C@@H](NC(=O)[C@@H](F)C(F)(F)F)[C@H](OC)C1 ZINC001212307019 747820842 /nfs/dbraw/zinc/82/08/42/747820842.db2.gz RVBNZMVIJLIKCB-GMTAPVOTSA-N 1 2 312.307 1.668 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccncc2OCCOC)CC1 ZINC001199624141 747937740 /nfs/dbraw/zinc/93/77/40/747937740.db2.gz LVIYXVXFWRYPQN-UHFFFAOYSA-N 1 2 305.378 1.051 20 30 DDEDLO CC(C)C(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C[C@H]1O ZINC001090083840 748140929 /nfs/dbraw/zinc/14/09/29/748140929.db2.gz PQGVNNQSGKYTEA-JKSUJKDBSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)C(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C[C@H]1O ZINC001090083840 748140932 /nfs/dbraw/zinc/14/09/32/748140932.db2.gz PQGVNNQSGKYTEA-JKSUJKDBSA-N 1 2 319.380 1.405 20 30 DDEDLO C=CCNC(=S)Nc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC001200293716 748192752 /nfs/dbraw/zinc/19/27/52/748192752.db2.gz CDCKTWNMSSAQQQ-UHFFFAOYSA-N 1 2 321.446 1.870 20 30 DDEDLO C=CCC[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200555540 748277410 /nfs/dbraw/zinc/27/74/10/748277410.db2.gz RVQXZDHIKLIEPR-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[NH2+]C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCCO1 ZINC001200555540 748277413 /nfs/dbraw/zinc/27/74/13/748277413.db2.gz RVQXZDHIKLIEPR-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)CC(F)F)C1 ZINC001108083014 748732516 /nfs/dbraw/zinc/73/25/16/748732516.db2.gz WAJJFVJIWBKJIR-DOMZBBRYSA-N 1 2 302.365 1.508 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)CC(F)F)C1 ZINC001108083014 748732520 /nfs/dbraw/zinc/73/25/20/748732520.db2.gz WAJJFVJIWBKJIR-DOMZBBRYSA-N 1 2 302.365 1.508 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2sc(C)nc2C)C1 ZINC001108084723 748743667 /nfs/dbraw/zinc/74/36/67/748743667.db2.gz MAVVMIOMVVEXLZ-INIZCTEOSA-N 1 2 323.462 1.696 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2sc(C)nc2C)C1 ZINC001108084723 748743671 /nfs/dbraw/zinc/74/36/71/748743671.db2.gz MAVVMIOMVVEXLZ-INIZCTEOSA-N 1 2 323.462 1.696 20 30 DDEDLO CC1(NC(=O)Cn2cc[nH+]c2)CCN(c2ncccc2C#N)CC1 ZINC001110593474 748807750 /nfs/dbraw/zinc/80/77/50/748807750.db2.gz AVYKEZIQTQOVJC-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cncs2)[C@@H](O)C1 ZINC001090113978 748835532 /nfs/dbraw/zinc/83/55/32/748835532.db2.gz NFHWSUZTDNLUAK-ZJUUUORDSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cncs2)[C@@H](O)C1 ZINC001090113978 748835539 /nfs/dbraw/zinc/83/55/39/748835539.db2.gz NFHWSUZTDNLUAK-ZJUUUORDSA-N 1 2 301.799 1.061 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)C)nn2C)C1 ZINC001108319281 761893719 /nfs/dbraw/zinc/89/37/19/761893719.db2.gz QYURCTNMDQPWPK-QGZVFWFLSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)C)nn2C)C1 ZINC001108319281 761893730 /nfs/dbraw/zinc/89/37/30/761893730.db2.gz QYURCTNMDQPWPK-QGZVFWFLSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CCOCC(=O)NC/C=C/CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001107073581 748918376 /nfs/dbraw/zinc/91/83/76/748918376.db2.gz AQFZBKRYWRFSRK-SNAWJCMRSA-N 1 2 316.405 1.949 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2CN(C(=O)[C@H](C)C#N)CC2(C)C)o1 ZINC000995566906 748942243 /nfs/dbraw/zinc/94/22/43/748942243.db2.gz VETBBOIQFSDHQK-VXGBXAGGSA-N 1 2 319.409 1.679 20 30 DDEDLO CN(C(=O)c1cn[nH]n1)[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001033217080 748958572 /nfs/dbraw/zinc/95/85/72/748958572.db2.gz MBUNAYQPIDOYEV-HNNXBMFYSA-N 1 2 309.373 1.003 20 30 DDEDLO CN(C(=O)c1cn[nH]n1)[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001033217080 748958580 /nfs/dbraw/zinc/95/85/80/748958580.db2.gz MBUNAYQPIDOYEV-HNNXBMFYSA-N 1 2 309.373 1.003 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2CN(C(=O)[C@H](C)C#N)CC2(C)C)n1 ZINC000995581497 748959316 /nfs/dbraw/zinc/95/93/16/748959316.db2.gz MZUJQFZRLDGOPP-VXGBXAGGSA-N 1 2 319.409 1.679 20 30 DDEDLO COc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)C2CC2)cc1C#N ZINC001032240873 749072691 /nfs/dbraw/zinc/07/26/91/749072691.db2.gz LDQFRAQGLYXHJU-HOTGVXAUSA-N 1 2 311.385 1.762 20 30 DDEDLO COc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)C2CC2)cc1C#N ZINC001032240873 749072695 /nfs/dbraw/zinc/07/26/95/749072695.db2.gz LDQFRAQGLYXHJU-HOTGVXAUSA-N 1 2 311.385 1.762 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114352989 749104342 /nfs/dbraw/zinc/10/43/42/749104342.db2.gz KRJKJDXDIUIWBC-PBOSXPJTSA-N 1 2 303.410 1.577 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114352989 749104348 /nfs/dbraw/zinc/10/43/48/749104348.db2.gz KRJKJDXDIUIWBC-PBOSXPJTSA-N 1 2 303.410 1.577 20 30 DDEDLO CSCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032267780 749144367 /nfs/dbraw/zinc/14/43/67/749144367.db2.gz ZOSFLTJYZHNEKZ-GJZGRUSLSA-N 1 2 301.415 1.706 20 30 DDEDLO CSCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032267780 749144369 /nfs/dbraw/zinc/14/43/69/749144369.db2.gz ZOSFLTJYZHNEKZ-GJZGRUSLSA-N 1 2 301.415 1.706 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC001033462711 749386446 /nfs/dbraw/zinc/38/64/46/749386446.db2.gz ACRSLKLZDVQVSF-OAHLLOKOSA-N 1 2 308.385 1.856 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC001033462711 749386450 /nfs/dbraw/zinc/38/64/50/749386450.db2.gz ACRSLKLZDVQVSF-OAHLLOKOSA-N 1 2 308.385 1.856 20 30 DDEDLO C=C[C@@H](C(=O)N1CC(NC(=O)Cc2c[nH]c[nH+]2)C1)c1ccccc1 ZINC001000574164 761952706 /nfs/dbraw/zinc/95/27/06/761952706.db2.gz YGSZHLQLJHJTFI-MRXNPFEDSA-N 1 2 324.384 1.249 20 30 DDEDLO C=CCNC(=O)N1Cc2c[nH+]cn2C[C@H](COCC2CC2)C1 ZINC001202564973 749429779 /nfs/dbraw/zinc/42/97/79/749429779.db2.gz RUELKUUOFPFEDC-CQSZACIVSA-N 1 2 304.394 1.637 20 30 DDEDLO C#CCCCC(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107164195 749461993 /nfs/dbraw/zinc/46/19/93/749461993.db2.gz USUDQFRNPIPGAM-UHFFFAOYSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)c2cccnc2)C1 ZINC001108347615 761952416 /nfs/dbraw/zinc/95/24/16/761952416.db2.gz PCGCCCWGPRQUBA-RHSMWYFYSA-N 1 2 303.406 1.578 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)c2cccnc2)C1 ZINC001108347615 761952423 /nfs/dbraw/zinc/95/24/23/761952423.db2.gz PCGCCCWGPRQUBA-RHSMWYFYSA-N 1 2 303.406 1.578 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H]3CC[C@H](C)C3)nn2)C1 ZINC001107192289 749533172 /nfs/dbraw/zinc/53/31/72/749533172.db2.gz FIZMRQSXGITLBB-UONOGXRCSA-N 1 2 317.437 1.763 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)cc2)C1 ZINC001033649687 749664642 /nfs/dbraw/zinc/66/46/42/749664642.db2.gz FXQRWXKGQJPJNS-CQSZACIVSA-N 1 2 321.808 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)cc2)C1 ZINC001033649687 749664647 /nfs/dbraw/zinc/66/46/47/749664647.db2.gz FXQRWXKGQJPJNS-CQSZACIVSA-N 1 2 321.808 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1nnc(C)o1 ZINC001039369543 761971235 /nfs/dbraw/zinc/97/12/35/761971235.db2.gz UVOGTOJZOFWWPG-OLZOCXBDSA-N 1 2 324.812 1.738 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1nnc(C)o1 ZINC001039369543 761971238 /nfs/dbraw/zinc/97/12/38/761971238.db2.gz UVOGTOJZOFWWPG-OLZOCXBDSA-N 1 2 324.812 1.738 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC/C=C\CNc1ccc(C#N)cn1 ZINC001107286051 749737283 /nfs/dbraw/zinc/73/72/83/749737283.db2.gz URWJHPJMILJACF-IHWYPQMZSA-N 1 2 310.361 1.312 20 30 DDEDLO CC(=O)N1CC[C@H]([NH+]2CCN(c3snc(C)c3C#N)CC2)C1 ZINC001066694275 749760257 /nfs/dbraw/zinc/76/02/57/749760257.db2.gz MENLOXLKDROOFM-ZDUSSCGKSA-N 1 2 319.434 1.066 20 30 DDEDLO CCC(=O)N1CC[C@H]([NH+]2CCN(c3ncccc3C#N)CC2)C1 ZINC001066693372 749760275 /nfs/dbraw/zinc/76/02/75/749760275.db2.gz JEYLQRQORINIOX-HNNXBMFYSA-N 1 2 313.405 1.086 20 30 DDEDLO N#Cc1c(F)cccc1NC/C=C/CNC(=O)Cc1[nH]cc[nH+]1 ZINC001107312772 749767180 /nfs/dbraw/zinc/76/71/80/749767180.db2.gz VUVZTZFPWUDHFZ-OWOJBTEDSA-N 1 2 313.336 1.747 20 30 DDEDLO Cn1nccc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032309806 749831935 /nfs/dbraw/zinc/83/19/35/749831935.db2.gz YTEZEGWHGFFBJG-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO Cn1nccc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032309806 749831940 /nfs/dbraw/zinc/83/19/40/749831940.db2.gz YTEZEGWHGFFBJG-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO N#Cc1ccccc1C[NH2+]CCNC(=O)C(F)C(F)(F)F ZINC001127778472 749976822 /nfs/dbraw/zinc/97/68/22/749976822.db2.gz FZNBHOMUQYAWKB-LLVKDONJSA-N 1 2 303.259 1.664 20 30 DDEDLO C#CCN1CC[C@H](N(CC)C(=O)c2ccn(-c3cc[nH+]cc3)n2)C1 ZINC001033897799 750084369 /nfs/dbraw/zinc/08/43/69/750084369.db2.gz QTDUDCHALDVHGT-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO CCN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)[C@H]1CCN(CC#N)C1 ZINC001033908762 750104571 /nfs/dbraw/zinc/10/45/71/750104571.db2.gz ZWKTXEYPVFQJMG-KRWDZBQOSA-N 1 2 323.400 1.932 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001033920557 750124706 /nfs/dbraw/zinc/12/47/06/750124706.db2.gz UNDGDBZENUHXGP-LLVKDONJSA-N 1 2 312.801 1.415 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001033920557 750124709 /nfs/dbraw/zinc/12/47/09/750124709.db2.gz UNDGDBZENUHXGP-LLVKDONJSA-N 1 2 312.801 1.415 20 30 DDEDLO CCN(C(=O)c1nc[nH]n1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033994304 750231293 /nfs/dbraw/zinc/23/12/93/750231293.db2.gz HTIJOPKWFYYPJZ-HNNXBMFYSA-N 1 2 324.388 1.413 20 30 DDEDLO CCN(C(=O)c1nc[nH]n1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033994304 750231298 /nfs/dbraw/zinc/23/12/98/750231298.db2.gz HTIJOPKWFYYPJZ-HNNXBMFYSA-N 1 2 324.388 1.413 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001110989071 750284763 /nfs/dbraw/zinc/28/47/63/750284763.db2.gz FUQDOXXUCPPERR-ZXFNITATSA-N 1 2 319.449 1.589 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001110989071 750284766 /nfs/dbraw/zinc/28/47/66/750284766.db2.gz FUQDOXXUCPPERR-ZXFNITATSA-N 1 2 319.449 1.589 20 30 DDEDLO CCC(=O)NC[C@]1(C)C[N@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107660562 750312634 /nfs/dbraw/zinc/31/26/34/750312634.db2.gz GLKJMXZVXKRVFA-QGZVFWFLSA-N 1 2 301.390 1.675 20 30 DDEDLO CCC(=O)NC[C@]1(C)C[N@@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107660562 750312640 /nfs/dbraw/zinc/31/26/40/750312640.db2.gz GLKJMXZVXKRVFA-QGZVFWFLSA-N 1 2 301.390 1.675 20 30 DDEDLO CC(=O)NC[C@]1(C)C[N@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC001107664828 750335806 /nfs/dbraw/zinc/33/58/06/750335806.db2.gz YDEJVDRUEWFRME-QGZVFWFLSA-N 1 2 317.389 1.316 20 30 DDEDLO CC(=O)NC[C@]1(C)C[N@@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC001107664828 750335812 /nfs/dbraw/zinc/33/58/12/750335812.db2.gz YDEJVDRUEWFRME-QGZVFWFLSA-N 1 2 317.389 1.316 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)/C=C\c2ccco2)C1 ZINC001107697921 750387229 /nfs/dbraw/zinc/38/72/29/750387229.db2.gz FHMYYTZPUILPAT-QWPQOLDESA-N 1 2 302.374 1.523 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)/C=C\c2ccco2)C1 ZINC001107697921 750387236 /nfs/dbraw/zinc/38/72/36/750387236.db2.gz FHMYYTZPUILPAT-QWPQOLDESA-N 1 2 302.374 1.523 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCn1ccnc1C)C2 ZINC001111144123 750388693 /nfs/dbraw/zinc/38/86/93/750388693.db2.gz PSDQUTSXYKUSHF-OAGGEKHMSA-N 1 2 300.406 1.326 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCn1ccnc1C)C2 ZINC001111144123 750388702 /nfs/dbraw/zinc/38/87/02/750388702.db2.gz PSDQUTSXYKUSHF-OAGGEKHMSA-N 1 2 300.406 1.326 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)onc2CC)C1 ZINC001108393173 762034378 /nfs/dbraw/zinc/03/43/78/762034378.db2.gz KZRVVRYYPVSRKM-INIZCTEOSA-N 1 2 307.394 1.552 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)onc2CC)C1 ZINC001108393173 762034385 /nfs/dbraw/zinc/03/43/85/762034385.db2.gz KZRVVRYYPVSRKM-INIZCTEOSA-N 1 2 307.394 1.552 20 30 DDEDLO C[C@H](CNc1cccc(F)c1C#N)NC(=O)CCc1[nH]cc[nH+]1 ZINC001107706911 750410783 /nfs/dbraw/zinc/41/07/83/750410783.db2.gz BUIJXMAAPVGLNU-LLVKDONJSA-N 1 2 315.352 1.970 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]2C1 ZINC001111304900 750439118 /nfs/dbraw/zinc/43/91/18/750439118.db2.gz AGZJOZQGWGSWRW-IYBDPMFKSA-N 1 2 312.417 1.873 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001077742696 750481006 /nfs/dbraw/zinc/48/10/06/750481006.db2.gz CQHUCSWALVWVFQ-OLZOCXBDSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001077742696 750481008 /nfs/dbraw/zinc/48/10/08/750481008.db2.gz CQHUCSWALVWVFQ-OLZOCXBDSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ncccn1 ZINC001039456905 762048413 /nfs/dbraw/zinc/04/84/13/762048413.db2.gz SVBZCIJURBQUAT-OLZOCXBDSA-N 1 2 306.797 1.908 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ncccn1 ZINC001039456905 762048424 /nfs/dbraw/zinc/04/84/24/762048424.db2.gz SVBZCIJURBQUAT-OLZOCXBDSA-N 1 2 306.797 1.908 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@@H]1C ZINC001090223458 750602891 /nfs/dbraw/zinc/60/28/91/750602891.db2.gz KRSUDKXVNRSDPA-OBJOEFQTSA-N 1 2 304.394 1.777 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCCOCC2)C1 ZINC001107908979 750615389 /nfs/dbraw/zinc/61/53/89/750615389.db2.gz SRLFBUMKWRMBFQ-RDJZCZTQSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCCOCC2)C1 ZINC001107908979 750615391 /nfs/dbraw/zinc/61/53/91/750615391.db2.gz SRLFBUMKWRMBFQ-RDJZCZTQSA-N 1 2 308.422 1.034 20 30 DDEDLO Cc1nc(N2C[C@@H]3[C@@H](CNC(=O)C#CC(C)(C)C)[C@@H]3C2)cc[nH+]1 ZINC001114544126 750718563 /nfs/dbraw/zinc/71/85/63/750718563.db2.gz IGDSZDFNGPFVNL-QKDCVEJESA-N 1 2 312.417 1.633 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001107941147 750725192 /nfs/dbraw/zinc/72/51/92/750725192.db2.gz WVMSZUIWEZHUHF-CYBMUJFWSA-N 1 2 316.405 1.619 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccncc2C)C1 ZINC001107947825 750798144 /nfs/dbraw/zinc/79/81/44/750798144.db2.gz QAJYUFUJVAHSPH-QGZVFWFLSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccncc2C)C1 ZINC001107947825 750798145 /nfs/dbraw/zinc/79/81/45/750798145.db2.gz QAJYUFUJVAHSPH-QGZVFWFLSA-N 1 2 303.406 1.326 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1ccc(C(F)F)n1 ZINC001032438009 750831503 /nfs/dbraw/zinc/83/15/03/750831503.db2.gz ZPVCFZJDEOQYGV-RYUDHWBXSA-N 1 2 308.332 1.129 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1ccc(C(F)F)n1 ZINC001032438009 750831510 /nfs/dbraw/zinc/83/15/10/750831510.db2.gz ZPVCFZJDEOQYGV-RYUDHWBXSA-N 1 2 308.332 1.129 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1C(F)F ZINC001032449861 750864551 /nfs/dbraw/zinc/86/45/51/750864551.db2.gz QSHPJBHGVILQHO-QWRGUYRKSA-N 1 2 308.332 1.280 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1C(F)F ZINC001032449861 750864560 /nfs/dbraw/zinc/86/45/60/750864560.db2.gz QSHPJBHGVILQHO-QWRGUYRKSA-N 1 2 308.332 1.280 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001114718938 751020785 /nfs/dbraw/zinc/02/07/85/751020785.db2.gz YDVGQTXJBGPORS-AIANPOQGSA-N 1 2 312.417 1.778 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001114718938 751020791 /nfs/dbraw/zinc/02/07/91/751020791.db2.gz YDVGQTXJBGPORS-AIANPOQGSA-N 1 2 312.417 1.778 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ccon4)C[C@H]32)CC1 ZINC001114794280 751088730 /nfs/dbraw/zinc/08/87/30/751088730.db2.gz FXABBVBTILBVDV-FICVDOATSA-N 1 2 301.390 1.967 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ccon4)C[C@H]32)CC1 ZINC001114794280 751088737 /nfs/dbraw/zinc/08/87/37/751088737.db2.gz FXABBVBTILBVDV-FICVDOATSA-N 1 2 301.390 1.967 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc2ccncc21 ZINC001032541075 751231145 /nfs/dbraw/zinc/23/11/45/751231145.db2.gz BSUWNCTUPIQYLK-KBPBESRZSA-N 1 2 306.369 1.552 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc2ccncc21 ZINC001032541075 751231149 /nfs/dbraw/zinc/23/11/49/751231149.db2.gz BSUWNCTUPIQYLK-KBPBESRZSA-N 1 2 306.369 1.552 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999118178 752542968 /nfs/dbraw/zinc/54/29/68/752542968.db2.gz RKBWYLXEPVNGPJ-TZMCWYRMSA-N 1 2 316.405 1.687 20 30 DDEDLO Cc1nsc(NC[C@H](C)NC(=O)Cn2cc[nH+]c2)c1C#N ZINC001108430532 762149142 /nfs/dbraw/zinc/14/91/42/762149142.db2.gz DTNSSKBRPZYYAC-VIFPVBQESA-N 1 2 304.379 1.137 20 30 DDEDLO C[C@@H](CNc1ncc(C#N)cc1Cl)NC(=O)Cn1cc[nH+]c1 ZINC001108431181 762152325 /nfs/dbraw/zinc/15/23/25/762152325.db2.gz XMRDLJXBYCEBAX-JTQLQIEISA-N 1 2 318.768 1.420 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1c(C)n[nH]c1C ZINC001032686604 752722294 /nfs/dbraw/zinc/72/22/94/752722294.db2.gz AMPSABXBNRFECI-UGFHNGPFSA-N 1 2 300.406 1.438 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1c(C)n[nH]c1C ZINC001032686604 752722301 /nfs/dbraw/zinc/72/23/01/752722301.db2.gz AMPSABXBNRFECI-UGFHNGPFSA-N 1 2 300.406 1.438 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1snnc1C(C)C ZINC001032695168 752731777 /nfs/dbraw/zinc/73/17/77/752731777.db2.gz DVRCZBWCIGMCIH-RYUDHWBXSA-N 1 2 304.419 1.584 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1snnc1C(C)C ZINC001032695168 752731783 /nfs/dbraw/zinc/73/17/83/752731783.db2.gz DVRCZBWCIGMCIH-RYUDHWBXSA-N 1 2 304.419 1.584 20 30 DDEDLO C=CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001009045657 753047961 /nfs/dbraw/zinc/04/79/61/753047961.db2.gz NWEPNOYPNOMSDP-CHWSQXEVSA-N 1 2 302.422 1.915 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001009045657 753047964 /nfs/dbraw/zinc/04/79/64/753047964.db2.gz NWEPNOYPNOMSDP-CHWSQXEVSA-N 1 2 302.422 1.915 20 30 DDEDLO CCc1nc(N2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)cc(C)[nH+]1 ZINC001062487930 753056873 /nfs/dbraw/zinc/05/68/73/753056873.db2.gz ATSVJKSEUFDBLV-GJZGRUSLSA-N 1 2 310.401 1.550 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H]2C[C@H]1CN2c1ccc(C#N)nc1 ZINC001062516585 753083078 /nfs/dbraw/zinc/08/30/78/753083078.db2.gz KHWVADRBFLEQQH-GJZGRUSLSA-N 1 2 322.372 1.017 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnc(C)o3)C2)cn1 ZINC001009277369 753138538 /nfs/dbraw/zinc/13/85/38/753138538.db2.gz PWOMVEXJBXNFSN-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3cnc(C)o3)C2)cn1 ZINC001009277369 753138540 /nfs/dbraw/zinc/13/85/40/753138540.db2.gz PWOMVEXJBXNFSN-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)c(F)c2)C1 ZINC001107998650 753414352 /nfs/dbraw/zinc/41/43/52/753414352.db2.gz OPFLRKIFKUWVQD-MRXNPFEDSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)c(F)c2)C1 ZINC001107998650 753414353 /nfs/dbraw/zinc/41/43/53/753414353.db2.gz OPFLRKIFKUWVQD-MRXNPFEDSA-N 1 2 310.344 1.972 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(C(F)F)c2)C1 ZINC001078044910 753678624 /nfs/dbraw/zinc/67/86/24/753678624.db2.gz SIZNWHVVLBDYBK-ZIAGYGMSSA-N 1 2 308.328 1.422 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(C(F)F)c2)C1 ZINC001078044910 753678631 /nfs/dbraw/zinc/67/86/31/753678631.db2.gz SIZNWHVVLBDYBK-ZIAGYGMSSA-N 1 2 308.328 1.422 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2ccc(C(F)(F)F)c(C#N)n2)C[NH2+]1 ZINC001169129127 762245991 /nfs/dbraw/zinc/24/59/91/762245991.db2.gz KYXCIICYFAYXMQ-APPZFPTMSA-N 1 2 314.267 1.287 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2nc3ccccc3cc2CC#N)C[NH2+]1 ZINC001169130678 762246902 /nfs/dbraw/zinc/24/69/02/762246902.db2.gz SBAPGNZAFNZSTA-HIFRSBDPSA-N 1 2 310.357 1.616 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001063174912 754021899 /nfs/dbraw/zinc/02/18/99/754021899.db2.gz KJSNYBCHXUVWEO-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)CC[C@@H]1Nc1ccc(C#N)nc1 ZINC001063754943 754331668 /nfs/dbraw/zinc/33/16/68/754331668.db2.gz XKGCCHJWRPRJLC-CJNGLKHVSA-N 1 2 324.388 1.499 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001078756684 754355123 /nfs/dbraw/zinc/35/51/23/754355123.db2.gz RRXGTZBKUWQXJE-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1c(C)nn(C)c1C ZINC001032818705 754472926 /nfs/dbraw/zinc/47/29/26/754472926.db2.gz NTEMZRNRBUTFRU-RCBQFDQVSA-N 1 2 314.433 1.449 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1c(C)nn(C)c1C ZINC001032818705 754472928 /nfs/dbraw/zinc/47/29/28/754472928.db2.gz NTEMZRNRBUTFRU-RCBQFDQVSA-N 1 2 314.433 1.449 20 30 DDEDLO CN(c1ccc(C#N)cn1)[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001056997940 762310490 /nfs/dbraw/zinc/31/04/90/762310490.db2.gz MDLSFIQJNMEUBO-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCN(C(=O)Cc2c[nH+]cn2C)CC1 ZINC001011645430 754653829 /nfs/dbraw/zinc/65/38/29/754653829.db2.gz CCZZYZILHGOXHS-QGZVFWFLSA-N 1 2 318.421 1.236 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001090320868 754885826 /nfs/dbraw/zinc/88/58/26/754885826.db2.gz OWUZUYZDYUXBRK-AEGPPILISA-N 1 2 316.405 1.413 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)CCc1c[nH+]cn1C ZINC001108475148 762417124 /nfs/dbraw/zinc/41/71/24/762417124.db2.gz SITAKYCRSUGYCX-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001080716818 756052800 /nfs/dbraw/zinc/05/28/00/756052800.db2.gz GDYGHDAVHDGWHY-CZUORRHYSA-N 1 2 323.400 1.545 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001080716818 756052806 /nfs/dbraw/zinc/05/28/06/756052806.db2.gz GDYGHDAVHDGWHY-CZUORRHYSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001080768945 756090223 /nfs/dbraw/zinc/09/02/23/756090223.db2.gz XHMHCDAPGJXUAR-QMTHXVAHSA-N 1 2 322.840 1.711 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001080768945 756090225 /nfs/dbraw/zinc/09/02/25/756090225.db2.gz XHMHCDAPGJXUAR-QMTHXVAHSA-N 1 2 322.840 1.711 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)C1CC1 ZINC001081257086 756254021 /nfs/dbraw/zinc/25/40/21/756254021.db2.gz CKXXEJOKGFNAKA-ZIAGYGMSSA-N 1 2 305.403 1.345 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)C1CC1 ZINC001081257086 756254025 /nfs/dbraw/zinc/25/40/25/756254025.db2.gz CKXXEJOKGFNAKA-ZIAGYGMSSA-N 1 2 305.403 1.345 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(OC)c2C)[C@H](OC)C1 ZINC001082179166 756660452 /nfs/dbraw/zinc/66/04/52/756660452.db2.gz NWDLORIYBAOVJH-GDBMZVCRSA-N 1 2 302.374 1.066 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(OC)c2C)[C@H](OC)C1 ZINC001082179166 756660455 /nfs/dbraw/zinc/66/04/55/756660455.db2.gz NWDLORIYBAOVJH-GDBMZVCRSA-N 1 2 302.374 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn3c2CCCC3)C1 ZINC001015951818 756673420 /nfs/dbraw/zinc/67/34/20/756673420.db2.gz UEMKTZAOGBJJJJ-LBPRGKRZSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn3c2CCCC3)C1 ZINC001015951818 756673422 /nfs/dbraw/zinc/67/34/22/756673422.db2.gz UEMKTZAOGBJJJJ-LBPRGKRZSA-N 1 2 308.813 1.776 20 30 DDEDLO N#CCN1CCC[C@@]2(CCN(C(=O)CCn3cc[nH+]c3)C2)C1 ZINC001040320616 762488146 /nfs/dbraw/zinc/48/81/46/762488146.db2.gz UENNDNJLJNMZEO-MRXNPFEDSA-N 1 2 301.394 1.111 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+]([C@@H](C)c3cnccn3)C2)cn1 ZINC001016032394 756733040 /nfs/dbraw/zinc/73/30/40/756733040.db2.gz YOLTZJKDAJGHAZ-XJKSGUPXSA-N 1 2 321.384 1.418 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+]([C@@H](C)c3cnccn3)C2)cn1 ZINC001016032394 756733042 /nfs/dbraw/zinc/73/30/42/756733042.db2.gz YOLTZJKDAJGHAZ-XJKSGUPXSA-N 1 2 321.384 1.418 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2COc3ccccc3O2)C1 ZINC001016172136 756807372 /nfs/dbraw/zinc/80/73/72/756807372.db2.gz UQLAPKWJZYGSCX-DOMZBBRYSA-N 1 2 322.792 1.769 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2COc3ccccc3O2)C1 ZINC001016172136 756807376 /nfs/dbraw/zinc/80/73/76/756807376.db2.gz UQLAPKWJZYGSCX-DOMZBBRYSA-N 1 2 322.792 1.769 20 30 DDEDLO Cc1nccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001016287949 756907009 /nfs/dbraw/zinc/90/70/09/756907009.db2.gz QKAPPLMLOJCZNH-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001016287949 756907012 /nfs/dbraw/zinc/90/70/12/756907012.db2.gz QKAPPLMLOJCZNH-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@H]1C[C@@H](Nc2ncccc2C#N)C1 ZINC001097203392 756972168 /nfs/dbraw/zinc/97/21/68/756972168.db2.gz GIXFSBSYLRXWHH-OKILXGFUSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1nc(N2CCC[C@@H](CNC(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001097299794 757064014 /nfs/dbraw/zinc/06/40/14/757064014.db2.gz BWPVUCNGUFVXPW-HNNXBMFYSA-N 1 2 300.406 1.777 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097299858 757064085 /nfs/dbraw/zinc/06/40/85/757064085.db2.gz AWUAQQOOWILUIQ-PBHICJAKSA-N 1 2 318.421 1.445 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccnc(C4CC4)c3)[C@H]2C1 ZINC001083132514 757146808 /nfs/dbraw/zinc/14/68/08/757146808.db2.gz ZWBPGJIQDWFHPX-DLBZAZTESA-N 1 2 311.385 1.117 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccnc(C4CC4)c3)[C@H]2C1 ZINC001083132514 757146811 /nfs/dbraw/zinc/14/68/11/757146811.db2.gz ZWBPGJIQDWFHPX-DLBZAZTESA-N 1 2 311.385 1.117 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cn[nH]n2)C3)c1 ZINC001097411867 757157241 /nfs/dbraw/zinc/15/72/41/757157241.db2.gz JDDAQEDCZPRUBM-FMKPAKJESA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1cccc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cn[nH]n2)C3)c1 ZINC001097411867 757157246 /nfs/dbraw/zinc/15/72/46/757157246.db2.gz JDDAQEDCZPRUBM-FMKPAKJESA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cnn[nH]2)C3)c1 ZINC001097411867 757157249 /nfs/dbraw/zinc/15/72/49/757157249.db2.gz JDDAQEDCZPRUBM-FMKPAKJESA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1cccc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cnn[nH]2)C3)c1 ZINC001097411867 757157254 /nfs/dbraw/zinc/15/72/54/757157254.db2.gz JDDAQEDCZPRUBM-FMKPAKJESA-N 1 2 322.372 1.212 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]c3C3CC3)[C@H]2C1 ZINC001083177025 757182218 /nfs/dbraw/zinc/18/22/18/757182218.db2.gz CQQKGHLNJHUMDM-JKSUJKDBSA-N 1 2 313.401 1.441 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]c3C3CC3)[C@H]2C1 ZINC001083177025 757182221 /nfs/dbraw/zinc/18/22/21/757182221.db2.gz CQQKGHLNJHUMDM-JKSUJKDBSA-N 1 2 313.401 1.441 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3cc(C)n[nH]3)[C@@H]2C1 ZINC001084273192 757462107 /nfs/dbraw/zinc/46/21/07/757462107.db2.gz WZWQHSIBXXMSJK-TZMCWYRMSA-N 1 2 308.813 1.546 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cc(C)n[nH]3)[C@@H]2C1 ZINC001084273192 757462112 /nfs/dbraw/zinc/46/21/12/757462112.db2.gz WZWQHSIBXXMSJK-TZMCWYRMSA-N 1 2 308.813 1.546 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H]2CN(C(=O)c3cnco3)[C@@H]2C1 ZINC001084557830 757662907 /nfs/dbraw/zinc/66/29/07/757662907.db2.gz RCXQMQQUTMDNBR-HZPDHXFCSA-N 1 2 322.368 1.893 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H]2CN(C(=O)c3cnco3)[C@@H]2C1 ZINC001084557830 757662912 /nfs/dbraw/zinc/66/29/12/757662912.db2.gz RCXQMQQUTMDNBR-HZPDHXFCSA-N 1 2 322.368 1.893 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)c3cncnc3)[C@@H]2C1 ZINC001084604334 757696716 /nfs/dbraw/zinc/69/67/16/757696716.db2.gz BRMWADWAZCSSGH-UMVBOHGHSA-N 1 2 320.824 1.865 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)c3cncnc3)[C@@H]2C1 ZINC001084604334 757696723 /nfs/dbraw/zinc/69/67/23/757696723.db2.gz BRMWADWAZCSSGH-UMVBOHGHSA-N 1 2 320.824 1.865 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)F)nn1C ZINC001017731848 758190481 /nfs/dbraw/zinc/19/04/81/758190481.db2.gz KJBRIQPIYWBGEH-PHIMTYICSA-N 1 2 308.332 1.280 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)F)nn1C ZINC001017731848 758190483 /nfs/dbraw/zinc/19/04/83/758190483.db2.gz KJBRIQPIYWBGEH-PHIMTYICSA-N 1 2 308.332 1.280 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ncccc1CC)CCO2 ZINC001053321641 758373769 /nfs/dbraw/zinc/37/37/69/758373769.db2.gz MFXIGPPAARVPIA-UHFFFAOYSA-N 1 2 315.417 1.747 20 30 DDEDLO Cc1nc(N2CC[C@@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001065238180 758403038 /nfs/dbraw/zinc/40/30/38/758403038.db2.gz GENHOMNVRFIBFI-MRXNPFEDSA-N 1 2 310.361 1.384 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cncnc1C)O2 ZINC001053578310 758589729 /nfs/dbraw/zinc/58/97/29/758589729.db2.gz CKEQNBXKOKRMKZ-AWEZNQCLSA-N 1 2 316.405 1.324 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CCC3(C[NH+](CCO)C3)O2)CC1 ZINC001053658025 758671539 /nfs/dbraw/zinc/67/15/39/758671539.db2.gz PAIXFVGFSAQKFY-HNNXBMFYSA-N 1 2 308.422 1.075 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncccn1)O2 ZINC001053677997 758688366 /nfs/dbraw/zinc/68/83/66/758688366.db2.gz MFZCNOMHWURJJY-CYBMUJFWSA-N 1 2 302.378 1.016 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)C3CC3)C2)CC1 ZINC001065677422 758697093 /nfs/dbraw/zinc/69/70/93/758697093.db2.gz QYCOPYDERLBAJD-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC=C)C2)C1 ZINC001108548769 762650996 /nfs/dbraw/zinc/65/09/96/762650996.db2.gz WBNBTQIBMFBJAI-OAHLLOKOSA-N 1 2 317.433 1.342 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cccc(OC)c1)O2 ZINC001053693235 758703026 /nfs/dbraw/zinc/70/30/26/758703026.db2.gz ZKGGRSWHEIYQMW-MRXNPFEDSA-N 1 2 316.401 1.844 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncc2n1CCCC2 ZINC001018294632 758706263 /nfs/dbraw/zinc/70/62/63/758706263.db2.gz VJUDVLRUCHAHAJ-IYBDPMFKSA-N 1 2 312.417 1.532 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncc2n1CCCC2 ZINC001018294632 758706267 /nfs/dbraw/zinc/70/62/67/758706267.db2.gz VJUDVLRUCHAHAJ-IYBDPMFKSA-N 1 2 312.417 1.532 20 30 DDEDLO C[C@H](Nc1ccc(C#N)nc1)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065722989 758726127 /nfs/dbraw/zinc/72/61/27/758726127.db2.gz KWRYWOXDOJCMNB-KBPBESRZSA-N 1 2 324.388 1.499 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(N)=O)cs1 ZINC001018321593 758729142 /nfs/dbraw/zinc/72/91/42/758729142.db2.gz YGEVPKAFWQGSNA-TXEJJXNPSA-N 1 2 305.403 1.322 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(N)=O)cs1 ZINC001018321593 758729147 /nfs/dbraw/zinc/72/91/47/758729147.db2.gz YGEVPKAFWQGSNA-TXEJJXNPSA-N 1 2 305.403 1.322 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2cccc(C)c2O1 ZINC001018354084 758752815 /nfs/dbraw/zinc/75/28/15/758752815.db2.gz OXPZAQVJIPGULG-KSZLIROESA-N 1 2 324.424 1.997 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2cccc(C)c2O1 ZINC001018354084 758752817 /nfs/dbraw/zinc/75/28/17/758752817.db2.gz OXPZAQVJIPGULG-KSZLIROESA-N 1 2 324.424 1.997 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1scnc1C)CO2 ZINC001053747599 758763106 /nfs/dbraw/zinc/76/31/06/758763106.db2.gz BBIRQSKPGKXVBV-LBPRGKRZSA-N 1 2 305.403 1.048 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CCC(F)(F)C1)CO2 ZINC001053825320 758855950 /nfs/dbraw/zinc/85/59/50/758855950.db2.gz OUBGYRBKYNKEBU-OLZOCXBDSA-N 1 2 314.376 1.957 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)C(C)(C)C)CC2=O)C1 ZINC001108553109 762665095 /nfs/dbraw/zinc/66/50/95/762665095.db2.gz GADSLNZVBUHJBQ-KBPBESRZSA-N 1 2 321.465 1.646 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]c3ccccc13)CO2 ZINC001053863750 758897018 /nfs/dbraw/zinc/89/70/18/758897018.db2.gz QMOFHGTZBJATQY-CQSZACIVSA-N 1 2 323.396 1.764 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC[C@@H]3C[C@@H]3CC1)CO2 ZINC001053879315 758913578 /nfs/dbraw/zinc/91/35/78/758913578.db2.gz NLPSVQNHRUIDPM-NXOAAHMSSA-N 1 2 316.445 1.796 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C1CCC(OC)CC1)CO2 ZINC001053909373 758943621 /nfs/dbraw/zinc/94/36/21/758943621.db2.gz LHJHMKYZNJVMIL-PCKAHOCUSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)n[nH]c1C)CO2 ZINC001053958505 758994119 /nfs/dbraw/zinc/99/41/19/758994119.db2.gz ZVAKGLAAYACNFB-ZDUSSCGKSA-N 1 2 304.394 1.176 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncoc1C(C)C)CO2 ZINC001053976633 759014295 /nfs/dbraw/zinc/01/42/95/759014295.db2.gz XAUVWTRLZPYHCO-CYBMUJFWSA-N 1 2 317.389 1.394 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccccc1F)CO2 ZINC001053999281 759045553 /nfs/dbraw/zinc/04/55/53/759045553.db2.gz DOTSRZBNNTXFBT-HNNXBMFYSA-N 1 2 316.376 1.351 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccoc1C(C)C)CO2 ZINC001054020438 759070453 /nfs/dbraw/zinc/07/04/53/759070453.db2.gz MOJQJTLZANZAHQ-CQSZACIVSA-N 1 2 316.401 1.999 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccn(C)c1 ZINC001054037855 759095454 /nfs/dbraw/zinc/09/54/54/759095454.db2.gz PZZNDKKNXFCTAX-SFHVURJKSA-N 1 2 307.397 1.815 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccn(C)c1 ZINC001054037855 759095462 /nfs/dbraw/zinc/09/54/62/759095462.db2.gz PZZNDKKNXFCTAX-SFHVURJKSA-N 1 2 307.397 1.815 20 30 DDEDLO C=C/C(C)=C/CC(=O)N[C@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108563827 762690370 /nfs/dbraw/zinc/69/03/70/762690370.db2.gz VCOCXAOGALJGDD-HVJNQHDOSA-N 1 2 317.433 1.486 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2ccc(OC(F)F)cc2)CC1 ZINC000825700417 759260800 /nfs/dbraw/zinc/26/08/00/759260800.db2.gz WGWOUMMFOCBGMJ-UHFFFAOYSA-N 1 2 324.327 1.687 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H]1CCCC[C@H]1NCC#N ZINC001085337882 759275498 /nfs/dbraw/zinc/27/54/98/759275498.db2.gz ZWXVXRPDBGVZGJ-AAVRWANBSA-N 1 2 301.394 1.064 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(C1)CCCN(C(=O)c1cc(C(F)F)[nH]n1)C2 ZINC001054194958 759280280 /nfs/dbraw/zinc/28/02/80/759280280.db2.gz AYSZVXQJDBSRHT-MRXNPFEDSA-N 1 2 322.359 1.909 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(C1)CCCN(C(=O)c1cc(C(F)F)[nH]n1)C2 ZINC001054194958 759280287 /nfs/dbraw/zinc/28/02/87/759280287.db2.gz AYSZVXQJDBSRHT-MRXNPFEDSA-N 1 2 322.359 1.909 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ncc(OC)cn3)cc2C1 ZINC001054273352 759378764 /nfs/dbraw/zinc/37/87/64/759378764.db2.gz HFOXBKUZRITRLK-UHFFFAOYSA-N 1 2 322.368 1.364 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ncc(OC)cn3)cc2C1 ZINC001054273352 759378774 /nfs/dbraw/zinc/37/87/74/759378774.db2.gz HFOXBKUZRITRLK-UHFFFAOYSA-N 1 2 322.368 1.364 20 30 DDEDLO N#Cc1ccccc1C[NH2+][C@H]1CCN(C(=O)CCc2cnc[nH]2)C1 ZINC001018950054 759442722 /nfs/dbraw/zinc/44/27/22/759442722.db2.gz VPOKRGKJHRUFKE-KRWDZBQOSA-N 1 2 323.400 1.605 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@](C)(NC(=O)c2cnn(-c3ccncc3)c2)C1 ZINC001046730494 767862370 /nfs/dbraw/zinc/86/23/70/767862370.db2.gz DUVWUGBCZNFFHQ-GOSISDBHSA-N 1 2 323.400 1.485 20 30 DDEDLO CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2cnn(-c3ccncc3)c2)C1 ZINC001046730494 767862377 /nfs/dbraw/zinc/86/23/77/767862377.db2.gz DUVWUGBCZNFFHQ-GOSISDBHSA-N 1 2 323.400 1.485 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1CNc1ccc(C#N)nc1 ZINC001054417191 759628996 /nfs/dbraw/zinc/62/89/96/759628996.db2.gz FOJBOLKFYWODPT-ZIAGYGMSSA-N 1 2 324.388 1.356 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)C1=CCOCC1 ZINC001085561782 759699448 /nfs/dbraw/zinc/69/94/48/759699448.db2.gz UYDBMANCRGQMTQ-IBGZPJMESA-N 1 2 324.424 1.918 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)C1=CCOCC1 ZINC001085561782 759699452 /nfs/dbraw/zinc/69/94/52/759699452.db2.gz UYDBMANCRGQMTQ-IBGZPJMESA-N 1 2 324.424 1.918 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]ncc1C(F)(F)F ZINC001085637230 759905574 /nfs/dbraw/zinc/90/55/74/759905574.db2.gz KTJNJFBLRGRZFB-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]ncc1C(F)(F)F ZINC001085637230 759905579 /nfs/dbraw/zinc/90/55/79/759905579.db2.gz KTJNJFBLRGRZFB-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnn3cc[nH]c23)C1 ZINC001046796664 767933696 /nfs/dbraw/zinc/93/36/96/767933696.db2.gz NGMBDSRXOIQVLL-AWEZNQCLSA-N 1 2 307.785 1.609 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnn3cc[nH]c23)C1 ZINC001046796664 767933699 /nfs/dbraw/zinc/93/36/99/767933699.db2.gz NGMBDSRXOIQVLL-AWEZNQCLSA-N 1 2 307.785 1.609 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1OCCC ZINC001085799641 760269174 /nfs/dbraw/zinc/26/91/74/760269174.db2.gz WUVSTQCQIRDLBU-CQSZACIVSA-N 1 2 301.390 1.650 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1OCCC ZINC001085799641 760269181 /nfs/dbraw/zinc/26/91/81/760269181.db2.gz WUVSTQCQIRDLBU-CQSZACIVSA-N 1 2 301.390 1.650 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]nc(C(F)(F)F)c1C ZINC001085855494 760389785 /nfs/dbraw/zinc/38/97/85/760389785.db2.gz ZLLJSTIVKTVLPX-JTQLQIEISA-N 1 2 314.311 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]nc(C(F)(F)F)c1C ZINC001085855494 760389796 /nfs/dbraw/zinc/38/97/96/760389796.db2.gz ZLLJSTIVKTVLPX-JTQLQIEISA-N 1 2 314.311 1.516 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(F)ccc(OC)c1F ZINC001085935036 760566436 /nfs/dbraw/zinc/56/64/36/760566436.db2.gz TZTRGETWZCBYBI-LLVKDONJSA-N 1 2 308.328 1.753 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(F)ccc(OC)c1F ZINC001085935036 760566440 /nfs/dbraw/zinc/56/64/40/760566440.db2.gz TZTRGETWZCBYBI-LLVKDONJSA-N 1 2 308.328 1.753 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(OC)cc(OC)c1 ZINC001085944181 760583890 /nfs/dbraw/zinc/58/38/90/760583890.db2.gz BHIQKMPUEQHMDP-CQSZACIVSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(OC)cc(OC)c1 ZINC001085944181 760583892 /nfs/dbraw/zinc/58/38/92/760583892.db2.gz BHIQKMPUEQHMDP-CQSZACIVSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OC)c(OC)c1 ZINC001085954098 760604347 /nfs/dbraw/zinc/60/43/47/760604347.db2.gz QVULUJKVGYMGPU-AWEZNQCLSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OC)c(OC)c1 ZINC001085954098 760604348 /nfs/dbraw/zinc/60/43/48/760604348.db2.gz QVULUJKVGYMGPU-AWEZNQCLSA-N 1 2 302.374 1.483 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1ccn(C)n1 ZINC001085971422 760633153 /nfs/dbraw/zinc/63/31/53/760633153.db2.gz AZUCMRFWXIYIGD-KRWDZBQOSA-N 1 2 322.412 1.618 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1ccn(C)n1 ZINC001085971422 760633156 /nfs/dbraw/zinc/63/31/56/760633156.db2.gz AZUCMRFWXIYIGD-KRWDZBQOSA-N 1 2 322.412 1.618 20 30 DDEDLO C[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1nc(C#N)c(Cl)s1 ZINC001098006628 760752817 /nfs/dbraw/zinc/75/28/17/760752817.db2.gz KBWPNMOOWVDVBS-ZETCQYMHSA-N 1 2 324.797 1.551 20 30 DDEDLO CC(C)(C(=O)N1CCN(c2ccc(C#N)nc2)CC1)c1c[nH+]c[nH]1 ZINC001055861340 760778768 /nfs/dbraw/zinc/77/87/68/760778768.db2.gz YBSYAESVKOAPIE-UHFFFAOYSA-N 1 2 324.388 1.303 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CNC(=O)c1cnc([C@H](C)OC)s1 ZINC001038246219 760943152 /nfs/dbraw/zinc/94/31/52/760943152.db2.gz BPNZYOZAXFBARY-RYUDHWBXSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1cnc([C@H](C)OC)s1 ZINC001038246219 760943157 /nfs/dbraw/zinc/94/31/57/760943157.db2.gz BPNZYOZAXFBARY-RYUDHWBXSA-N 1 2 307.419 1.678 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(C(C)(C)C)c1C ZINC001038317620 760999335 /nfs/dbraw/zinc/99/93/35/760999335.db2.gz LUWWARKEYFJJOV-AWEZNQCLSA-N 1 2 302.422 1.774 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(C(C)(C)C)c1C ZINC001038317620 760999338 /nfs/dbraw/zinc/99/93/38/760999338.db2.gz LUWWARKEYFJJOV-AWEZNQCLSA-N 1 2 302.422 1.774 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1F ZINC001038543978 761187723 /nfs/dbraw/zinc/18/77/23/761187723.db2.gz RPUMEYXJJYWLTO-AEGPPILISA-N 1 2 304.340 1.892 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1F ZINC001038543978 761187726 /nfs/dbraw/zinc/18/77/26/761187726.db2.gz RPUMEYXJJYWLTO-AEGPPILISA-N 1 2 304.340 1.892 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1c[nH]nc1C1CCCCC1 ZINC001038899486 761524368 /nfs/dbraw/zinc/52/43/68/761524368.db2.gz ISVXGIKOUXBISU-CQSZACIVSA-N 1 2 300.406 1.895 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c[nH]nc1C1CCCCC1 ZINC001038899486 761524373 /nfs/dbraw/zinc/52/43/73/761524373.db2.gz ISVXGIKOUXBISU-CQSZACIVSA-N 1 2 300.406 1.895 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1n[nH]c(=O)c2ccccc21 ZINC001038947291 761580563 /nfs/dbraw/zinc/58/05/63/761580563.db2.gz FEQWGGJDTFPWIL-GFCCVEGCSA-N 1 2 310.357 1.163 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1n[nH]c(=O)c2ccccc21 ZINC001038947291 761580570 /nfs/dbraw/zinc/58/05/70/761580570.db2.gz FEQWGGJDTFPWIL-GFCCVEGCSA-N 1 2 310.357 1.163 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nnc1-c1ccccc1 ZINC001039054562 761694541 /nfs/dbraw/zinc/69/45/41/761694541.db2.gz JQXNAPIFKOOYBS-CQSZACIVSA-N 1 2 309.373 1.299 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nnc1-c1ccccc1 ZINC001039054562 761694542 /nfs/dbraw/zinc/69/45/42/761694542.db2.gz JQXNAPIFKOOYBS-CQSZACIVSA-N 1 2 309.373 1.299 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)C(C)C)CC2=O)C1 ZINC001108580885 762743455 /nfs/dbraw/zinc/74/34/55/762743455.db2.gz VEIQJJDCYHZVCU-ZIAGYGMSSA-N 1 2 307.438 1.256 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CC(CC)CC)CC2=O)C1 ZINC001108583400 762749451 /nfs/dbraw/zinc/74/94/51/762749451.db2.gz MYDFZVZFUUVYGY-AWEZNQCLSA-N 1 2 307.438 1.400 20 30 DDEDLO C[C@@H](CNc1ncc(C#N)cc1Cl)NC(=O)Cc1c[nH]c[nH+]1 ZINC001108718708 762875919 /nfs/dbraw/zinc/87/59/19/762875919.db2.gz PXMBBVBDEWCXJL-VIFPVBQESA-N 1 2 318.768 1.489 20 30 DDEDLO C[C@@H](CNc1cncc(C#N)n1)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108721572 762892648 /nfs/dbraw/zinc/89/26/48/762892648.db2.gz NDUTYRZBRIEGDS-RYUDHWBXSA-N 1 2 313.365 1.113 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CN(C)c1ncnc2c1C[N@H+](C)CC2 ZINC001108859247 763020741 /nfs/dbraw/zinc/02/07/41/763020741.db2.gz ISRLIGAZYMDJQE-ZDUSSCGKSA-N 1 2 317.437 1.372 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CN(C)c1ncnc2c1C[N@@H+](C)CC2 ZINC001108859247 763020747 /nfs/dbraw/zinc/02/07/47/763020747.db2.gz ISRLIGAZYMDJQE-ZDUSSCGKSA-N 1 2 317.437 1.372 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1oc(C)nc1C)C2 ZINC001109110341 763346702 /nfs/dbraw/zinc/34/67/02/763346702.db2.gz LVKPDGDQFXZSTI-ILXRZTDVSA-N 1 2 317.389 1.276 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1oc(C)nc1C)C2 ZINC001109110341 763346713 /nfs/dbraw/zinc/34/67/13/763346713.db2.gz LVKPDGDQFXZSTI-ILXRZTDVSA-N 1 2 317.389 1.276 20 30 DDEDLO Cc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC(C)C)C3)ncn1 ZINC001109248533 763488392 /nfs/dbraw/zinc/48/83/92/763488392.db2.gz PMMCOSUVDFIHCM-IKGGRYGDSA-N 1 2 312.417 1.666 20 30 DDEDLO Cc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC(C)C)C3)ncn1 ZINC001109248533 763488398 /nfs/dbraw/zinc/48/83/98/763488398.db2.gz PMMCOSUVDFIHCM-IKGGRYGDSA-N 1 2 312.417 1.666 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CO[C@H]1CCOC1)C2 ZINC001109274789 763537081 /nfs/dbraw/zinc/53/70/81/763537081.db2.gz PZGOFRBQWVXMFL-RFGFWPKPSA-N 1 2 314.813 1.266 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CO[C@H]1CCOC1)C2 ZINC001109274789 763537088 /nfs/dbraw/zinc/53/70/88/763537088.db2.gz PZGOFRBQWVXMFL-RFGFWPKPSA-N 1 2 314.813 1.266 20 30 DDEDLO CN(CCCNc1ccc(C#N)cn1)C(=O)Cc1c[nH+]cn1C ZINC001109489708 763752317 /nfs/dbraw/zinc/75/23/17/763752317.db2.gz MMBIAEWJJJFTGV-UHFFFAOYSA-N 1 2 312.377 1.190 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCCNc1[nH+]cnc2c1cnn2C ZINC001109535722 763797880 /nfs/dbraw/zinc/79/78/80/763797880.db2.gz VTGOYOAJZAKDNG-UHFFFAOYSA-N 1 2 316.409 1.980 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001109601725 763851065 /nfs/dbraw/zinc/85/10/65/763851065.db2.gz NALLYODTTQNLKQ-RDBSUJKOSA-N 1 2 305.422 1.199 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001109601725 763851070 /nfs/dbraw/zinc/85/10/70/763851070.db2.gz NALLYODTTQNLKQ-RDBSUJKOSA-N 1 2 305.422 1.199 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)CC#N)n2CC=C)CC1 ZINC001121561243 782568297 /nfs/dbraw/zinc/56/82/97/782568297.db2.gz XIFHTNQZCHVCPX-UHFFFAOYSA-N 1 2 312.421 1.411 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cc(C)no3)c2C1 ZINC001069852706 768182239 /nfs/dbraw/zinc/18/22/39/768182239.db2.gz DDYJCPCEIFUMCV-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cc(C)no3)c2C1 ZINC001069852706 768182242 /nfs/dbraw/zinc/18/22/42/768182242.db2.gz DDYJCPCEIFUMCV-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C(C)C)C2 ZINC001109782739 764035507 /nfs/dbraw/zinc/03/55/07/764035507.db2.gz UDVQJROWOYNETL-XGUBFFRZSA-N 1 2 307.438 1.302 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C(C)C)C2 ZINC001109782739 764035516 /nfs/dbraw/zinc/03/55/16/764035516.db2.gz UDVQJROWOYNETL-XGUBFFRZSA-N 1 2 307.438 1.302 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3CCCOC3)c2C1 ZINC001069854943 768185277 /nfs/dbraw/zinc/18/52/77/768185277.db2.gz FLXSAIZDCVQZHH-CQSZACIVSA-N 1 2 318.421 1.318 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3CCCOC3)c2C1 ZINC001069854943 768185282 /nfs/dbraw/zinc/18/52/82/768185282.db2.gz FLXSAIZDCVQZHH-CQSZACIVSA-N 1 2 318.421 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)cncc2C)[C@@H](O)C1 ZINC001090428743 764083668 /nfs/dbraw/zinc/08/36/68/764083668.db2.gz XBWVVQDWQYZTAU-KBPBESRZSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)cncc2C)[C@@H](O)C1 ZINC001090428743 764083677 /nfs/dbraw/zinc/08/36/77/764083677.db2.gz XBWVVQDWQYZTAU-KBPBESRZSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(C)nc1 ZINC001050818569 764126077 /nfs/dbraw/zinc/12/60/77/764126077.db2.gz FRMWGFNJTBETRD-MRXNPFEDSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(C)nc1 ZINC001050818569 764126083 /nfs/dbraw/zinc/12/60/83/764126083.db2.gz FRMWGFNJTBETRD-MRXNPFEDSA-N 1 2 303.406 1.787 20 30 DDEDLO N#Cc1ccc(NC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C2CC2)nc1 ZINC001110005073 764283467 /nfs/dbraw/zinc/28/34/67/764283467.db2.gz IFNXROZEWBUCFZ-OAHLLOKOSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C2CC2)nc1 ZINC001110005073 764283473 /nfs/dbraw/zinc/28/34/73/764283473.db2.gz IFNXROZEWBUCFZ-OAHLLOKOSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C2CC2)n(C)n1 ZINC001050948178 764328288 /nfs/dbraw/zinc/32/82/88/764328288.db2.gz JRKWDVWZDHIXNE-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C2CC2)n(C)n1 ZINC001050948178 764328291 /nfs/dbraw/zinc/32/82/91/764328291.db2.gz JRKWDVWZDHIXNE-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccn1CC=C ZINC001050955543 764346120 /nfs/dbraw/zinc/34/61/20/764346120.db2.gz LXIOJJKNYYIVDP-HNNXBMFYSA-N 1 2 303.406 1.681 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccn1CC=C ZINC001050955543 764346123 /nfs/dbraw/zinc/34/61/23/764346123.db2.gz LXIOJJKNYYIVDP-HNNXBMFYSA-N 1 2 303.406 1.681 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(OC)c1 ZINC001050999824 764403511 /nfs/dbraw/zinc/40/35/11/764403511.db2.gz PZUYKCAZHAVETA-AWEZNQCLSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(OC)c1 ZINC001050999824 764403517 /nfs/dbraw/zinc/40/35/17/764403517.db2.gz PZUYKCAZHAVETA-AWEZNQCLSA-N 1 2 305.378 1.097 20 30 DDEDLO N#CCNC[C@]12CCC[C@H]1CN(C(=O)CCCn1cc[nH+]c1)C2 ZINC001112590048 764433525 /nfs/dbraw/zinc/43/35/25/764433525.db2.gz ACEFEIWENKDDKD-RDJZCZTQSA-N 1 2 315.421 1.405 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc3ccccc3c(=O)o2)C1 ZINC001042800476 764455582 /nfs/dbraw/zinc/45/55/82/764455582.db2.gz GZTCWPQZDKSBGF-UHFFFAOYSA-N 1 2 310.353 1.573 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc3c(cn2)OCCO3)C1 ZINC001042951773 764547972 /nfs/dbraw/zinc/54/79/72/764547972.db2.gz RUXXALSJRPRFGN-UHFFFAOYSA-N 1 2 303.362 1.185 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccn(C(C)C)n1 ZINC001051146250 764551676 /nfs/dbraw/zinc/55/16/76/764551676.db2.gz QNDKYHPFIQMVEH-CQSZACIVSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccn(C(C)C)n1 ZINC001051146250 764551679 /nfs/dbraw/zinc/55/16/79/764551679.db2.gz QNDKYHPFIQMVEH-CQSZACIVSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1nc(C)oc1C ZINC001051181134 764585883 /nfs/dbraw/zinc/58/58/83/764585883.db2.gz PSNVVQAYCTWORV-CQSZACIVSA-N 1 2 307.394 1.688 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1nc(C)oc1C ZINC001051181134 764585887 /nfs/dbraw/zinc/58/58/87/764585887.db2.gz PSNVVQAYCTWORV-CQSZACIVSA-N 1 2 307.394 1.688 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001051260532 764683900 /nfs/dbraw/zinc/68/39/00/764683900.db2.gz PMIGJTZIDRILKQ-KGLIPLIRSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C)n([C@H](C)C3CC3)n2)C1 ZINC001043182158 764743142 /nfs/dbraw/zinc/74/31/42/764743142.db2.gz XFQRSWRGDILUPC-CQSZACIVSA-N 1 2 314.433 1.942 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)O[C@]2(CC[N@H+](Cc3ccon3)C2)C1 ZINC001131646058 768239402 /nfs/dbraw/zinc/23/94/02/768239402.db2.gz PAQGSATYHDBWNM-YOEHRIQHSA-N 1 2 319.405 1.833 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)O[C@]2(CC[N@@H+](Cc3ccon3)C2)C1 ZINC001131646058 768239408 /nfs/dbraw/zinc/23/94/08/768239408.db2.gz PAQGSATYHDBWNM-YOEHRIQHSA-N 1 2 319.405 1.833 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001112814223 764802461 /nfs/dbraw/zinc/80/24/61/764802461.db2.gz KILPLQRINLPVGQ-QGZVFWFLSA-N 1 2 310.438 1.274 20 30 DDEDLO CC(C)C#CC(=O)N1CC[NH+](C[C@@H](O)c2ccc(F)cc2)CC1 ZINC001112834076 764829288 /nfs/dbraw/zinc/82/92/88/764829288.db2.gz AJYRIOPUJACFFS-QGZVFWFLSA-N 1 2 318.392 1.663 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCCc2csc(C)n2)CC1 ZINC001112859154 764884355 /nfs/dbraw/zinc/88/43/55/764884355.db2.gz WFDRUGINZNRPCI-UHFFFAOYSA-N 1 2 305.447 1.942 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccnn2CC(C)C)CC1 ZINC001112898907 764941240 /nfs/dbraw/zinc/94/12/40/764941240.db2.gz DCYMGWQUGNRPKV-UHFFFAOYSA-N 1 2 320.437 1.500 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CCN(Cc2c[nH+]cn2C)CC1)OCC ZINC001112906930 764949024 /nfs/dbraw/zinc/94/90/24/764949024.db2.gz TZJFJJAOCVCADU-MRXNPFEDSA-N 1 2 320.437 1.436 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCO[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001051802540 765174571 /nfs/dbraw/zinc/17/45/71/765174571.db2.gz DGMDCHBRGMZYON-HIFRSBDPSA-N 1 2 324.450 1.457 20 30 DDEDLO CCOC(=O)c1cc(C)nc(N(CC)C2C[NH+](C)C2)c1C#N ZINC001157455271 765220483 /nfs/dbraw/zinc/22/04/83/765220483.db2.gz GRDQCLZWFAMJHG-UHFFFAOYSA-N 1 2 302.378 1.579 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccsc3)C2)CC1 ZINC001051974989 765335904 /nfs/dbraw/zinc/33/59/04/765335904.db2.gz MKYXXFPVOURSKJ-OAHLLOKOSA-N 1 2 305.447 1.766 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[NH+](CCOCC2CC2)CC1 ZINC001113164600 765360838 /nfs/dbraw/zinc/36/08/38/765360838.db2.gz SXZMJGBBEGRZRY-SFHVURJKSA-N 1 2 324.465 1.786 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@]2(c3ccccc3)CCCO2)C1 ZINC001044230506 765411904 /nfs/dbraw/zinc/41/19/04/765411904.db2.gz OVSWFTMLOYCTLP-LJQANCHMSA-N 1 2 312.413 1.858 20 30 DDEDLO CC1(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)CCCC1 ZINC001052059532 765431491 /nfs/dbraw/zinc/43/14/91/765431491.db2.gz KCJGSOOEZSXBKC-OAHLLOKOSA-N 1 2 304.438 1.309 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C3CC4(CC4)C3)C2)CC1 ZINC001052082879 765456356 /nfs/dbraw/zinc/45/63/56/765456356.db2.gz XVDGLSATZWMZSY-QGZVFWFLSA-N 1 2 315.461 1.418 20 30 DDEDLO C[C@H](NC(=O)CCn1cc[nH+]c1)[C@H](C)Nc1ncccc1C#N ZINC001113239081 765460191 /nfs/dbraw/zinc/46/01/91/765460191.db2.gz VUZBUDSWICWJSZ-STQMWFEESA-N 1 2 312.377 1.545 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc3c(c2)nc[nH]c3=O)C1 ZINC001044302711 765466799 /nfs/dbraw/zinc/46/67/99/765466799.db2.gz OHAAWEDYBUMCSG-UHFFFAOYSA-N 1 2 310.357 1.115 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC001113282232 765508977 /nfs/dbraw/zinc/50/89/77/765508977.db2.gz RSAPYNHXARQKFS-UHFFFAOYSA-N 1 2 305.426 1.395 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](NC(=O)CCOC)CC[C@H]1C ZINC001131735160 768304170 /nfs/dbraw/zinc/30/41/70/768304170.db2.gz PKDXQIUJCVYNPX-NEPJUHHUSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](NC(=O)CCOC)CC[C@H]1C ZINC001131735160 768304175 /nfs/dbraw/zinc/30/41/75/768304175.db2.gz PKDXQIUJCVYNPX-NEPJUHHUSA-N 1 2 319.243 1.901 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1ncccc1C#N ZINC001113351503 765614592 /nfs/dbraw/zinc/61/45/92/765614592.db2.gz BSPBGMMESZDALM-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1ncccc1C#N ZINC001113351503 765614596 /nfs/dbraw/zinc/61/45/96/765614596.db2.gz BSPBGMMESZDALM-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO C#CC[NH2+][C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)[C@H](C)C1 ZINC001044704124 765764585 /nfs/dbraw/zinc/76/45/85/765764585.db2.gz YEFGIRHRBSCYFK-CJNGLKHVSA-N 1 2 323.400 1.688 20 30 DDEDLO N#CCN1CCC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC001052598435 765984554 /nfs/dbraw/zinc/98/45/54/765984554.db2.gz MEAKGLXLQLVKGD-INIZCTEOSA-N 1 2 323.400 1.980 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccoc2C)CC[C@@H]1C ZINC001131771268 768339935 /nfs/dbraw/zinc/33/99/35/768339935.db2.gz GYZCWJVRZWHSLG-JSGCOSHPSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccoc2C)CC[C@@H]1C ZINC001131771268 768339943 /nfs/dbraw/zinc/33/99/43/768339943.db2.gz GYZCWJVRZWHSLG-JSGCOSHPSA-N 1 2 319.405 1.473 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]1C[NH2+]Cc1nnc(C2CC2)o1 ZINC001045030845 766050346 /nfs/dbraw/zinc/05/03/46/766050346.db2.gz XYGPCGOUZAUSJD-AWEZNQCLSA-N 1 2 314.389 1.441 20 30 DDEDLO N#Cc1cccnc1N1CCC(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001057890391 766120323 /nfs/dbraw/zinc/12/03/23/766120323.db2.gz LWVFUHGPEYIBBD-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1cnccc1N1CCC(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001057893581 766124058 /nfs/dbraw/zinc/12/40/58/766124058.db2.gz FHMNENFCIIKCLY-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)C1CCN(c2ccc(C#N)cn2)CC1 ZINC001058058476 766202363 /nfs/dbraw/zinc/20/23/63/766202363.db2.gz LRIKDDZCAQAMHD-UHFFFAOYSA-N 1 2 324.388 1.277 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)C1CCN(c2ccc(C#N)cn2)CC1 ZINC001058061730 766203463 /nfs/dbraw/zinc/20/34/63/766203463.db2.gz MTICVNGGPFYLIZ-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO CC1(NC(=O)c2ccc(C#N)[nH]2)CC[NH+](Cc2ccon2)CC1 ZINC001045407202 766288735 /nfs/dbraw/zinc/28/87/35/766288735.db2.gz SEGOJLXDDYUPGU-UHFFFAOYSA-N 1 2 313.361 1.659 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C)CCCC2)[C@@H](O)C1 ZINC001090470387 766295482 /nfs/dbraw/zinc/29/54/82/766295482.db2.gz WMGFSGNDPAEYGB-STQMWFEESA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C)CCCC2)[C@@H](O)C1 ZINC001090470387 766295486 /nfs/dbraw/zinc/29/54/86/766295486.db2.gz WMGFSGNDPAEYGB-STQMWFEESA-N 1 2 300.830 1.871 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001058247238 766324159 /nfs/dbraw/zinc/32/41/59/766324159.db2.gz MZTWTYLQFFZZBW-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cscn1 ZINC001045736396 766544985 /nfs/dbraw/zinc/54/49/85/766544985.db2.gz ZVSLFNMERKTNDJ-YUTCNCBUSA-N 1 2 304.419 1.868 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cscn1 ZINC001045736396 766544987 /nfs/dbraw/zinc/54/49/87/766544987.db2.gz ZVSLFNMERKTNDJ-YUTCNCBUSA-N 1 2 304.419 1.868 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC[C@@H]1C ZINC001114035649 766568884 /nfs/dbraw/zinc/56/88/84/766568884.db2.gz ATTMQOYKRNKJGU-DZGCQCFKSA-N 1 2 316.405 1.156 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCC[C@H]2NC(=O)Cc2c[nH]c[nH+]2)cn1 ZINC001045781922 766581329 /nfs/dbraw/zinc/58/13/29/766581329.db2.gz NSKGHKRJXJQRIL-HZPDHXFCSA-N 1 2 324.388 1.758 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ncccc1C#N ZINC001067543313 766606451 /nfs/dbraw/zinc/60/64/51/766606451.db2.gz WRZAXVDVBZCCFP-HIFRSBDPSA-N 1 2 324.388 1.323 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccncc1C#N ZINC001067549941 766609938 /nfs/dbraw/zinc/60/99/38/766609938.db2.gz MRCWDIJFBKAQGS-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccc(C#N)nc1 ZINC001067578397 766620558 /nfs/dbraw/zinc/62/05/58/766620558.db2.gz WQLBMMIOLMTVFU-CHWSQXEVSA-N 1 2 324.388 1.393 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)cs1 ZINC001114258377 766829465 /nfs/dbraw/zinc/82/94/65/766829465.db2.gz QWISZMXHHGGADH-FOLVSLTJSA-N 1 2 301.415 1.411 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)cs1 ZINC001114258377 766829467 /nfs/dbraw/zinc/82/94/67/766829467.db2.gz QWISZMXHHGGADH-FOLVSLTJSA-N 1 2 301.415 1.411 20 30 DDEDLO Cn1ccnc1C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)(C)C ZINC001114258624 766829527 /nfs/dbraw/zinc/82/95/27/766829527.db2.gz WWSXKCWRSFQDEB-VIKVFOODSA-N 1 2 300.406 1.016 20 30 DDEDLO Cn1ccnc1C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)(C)C ZINC001114258624 766829539 /nfs/dbraw/zinc/82/95/39/766829539.db2.gz WWSXKCWRSFQDEB-VIKVFOODSA-N 1 2 300.406 1.016 20 30 DDEDLO C[C@H]1CCN(c2ncccc2C#N)C[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067965840 766842120 /nfs/dbraw/zinc/84/21/20/766842120.db2.gz RQZIVAKGCFCFBX-ZFWWWQNUSA-N 1 2 324.388 1.181 20 30 DDEDLO C[C@H](CC(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C1CC1 ZINC001046086195 766899992 /nfs/dbraw/zinc/89/99/92/766899992.db2.gz UEMHIAJWBPXAJL-ZBFHGGJFSA-N 1 2 304.438 1.165 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+]Cc1nc(C)c(C)o1 ZINC001129514583 766922683 /nfs/dbraw/zinc/92/26/83/766922683.db2.gz WBMPMINXSMMYCN-UHFFFAOYSA-N 1 2 302.378 1.799 20 30 DDEDLO C[C@]1(NC(=O)c2cc(C#N)c[nH]2)CC[N@H+](Cc2nccs2)C1 ZINC001046222857 767205165 /nfs/dbraw/zinc/20/51/65/767205165.db2.gz DUCVIKIXDDZRHB-HNNXBMFYSA-N 1 2 315.402 1.737 20 30 DDEDLO C[C@]1(NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+](Cc2nccs2)C1 ZINC001046222857 767205168 /nfs/dbraw/zinc/20/51/68/767205168.db2.gz DUCVIKIXDDZRHB-HNNXBMFYSA-N 1 2 315.402 1.737 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccnc3ccnn32)C1 ZINC001046372839 767481915 /nfs/dbraw/zinc/48/19/15/767481915.db2.gz PJXCZZSNVJGABD-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccnc3ccnn32)C1 ZINC001046372839 767481919 /nfs/dbraw/zinc/48/19/19/767481919.db2.gz PJXCZZSNVJGABD-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCn3cncc3C2)C1 ZINC001046422610 767542980 /nfs/dbraw/zinc/54/29/80/767542980.db2.gz CSCBGFZRWSADLQ-XJKSGUPXSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCn3cncc3C2)C1 ZINC001046422610 767542984 /nfs/dbraw/zinc/54/29/84/767542984.db2.gz CSCBGFZRWSADLQ-XJKSGUPXSA-N 1 2 322.840 1.779 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](Nc2ccc(C#N)nc2)[C@H]1C ZINC001068871785 767671562 /nfs/dbraw/zinc/67/15/62/767671562.db2.gz AIJCPKZPUQLXJN-IUODEOHRSA-N 1 2 324.388 1.629 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@@H]2C)n1 ZINC001068963256 767730413 /nfs/dbraw/zinc/73/04/13/767730413.db2.gz QPTHJGCHIXNJTH-IUODEOHRSA-N 1 2 324.388 1.629 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069079763 767830333 /nfs/dbraw/zinc/83/03/33/767830333.db2.gz QJVQPHCATZFOIQ-OCCSQVGLSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098084766 767845485 /nfs/dbraw/zinc/84/54/85/767845485.db2.gz UFKLQSKTZMEULJ-NSHDSACASA-N 1 2 302.382 1.636 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2nc(C)oc2C)C1 ZINC001131945760 768502981 /nfs/dbraw/zinc/50/29/81/768502981.db2.gz ADRIRIYSLDTYOB-WFASDCNBSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2nc(C)oc2C)C1 ZINC001131945760 768502982 /nfs/dbraw/zinc/50/29/82/768502982.db2.gz ADRIRIYSLDTYOB-WFASDCNBSA-N 1 2 321.421 1.963 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C#CC(C)(C)C)C[N@@H+]1Cc1cnnn1C ZINC001132033783 768579610 /nfs/dbraw/zinc/57/96/10/768579610.db2.gz KZMOJSWZVNIYDW-KGLIPLIRSA-N 1 2 317.437 1.334 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C#CC(C)(C)C)C[N@H+]1Cc1cnnn1C ZINC001132033783 768579614 /nfs/dbraw/zinc/57/96/14/768579614.db2.gz KZMOJSWZVNIYDW-KGLIPLIRSA-N 1 2 317.437 1.334 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cc(C)on2)C1 ZINC001132041888 768583710 /nfs/dbraw/zinc/58/37/10/768583710.db2.gz MGGYMWSWLYSIFG-HIFRSBDPSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cc(C)on2)C1 ZINC001132041888 768583714 /nfs/dbraw/zinc/58/37/14/768583714.db2.gz MGGYMWSWLYSIFG-HIFRSBDPSA-N 1 2 319.405 1.492 20 30 DDEDLO CN(C(=O)C(C)(C)C)[C@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047606830 768605445 /nfs/dbraw/zinc/60/54/45/768605445.db2.gz YUTLFQQGWQGUQO-HOTGVXAUSA-N 1 2 315.417 1.608 20 30 DDEDLO CN(C(=O)C(C)(C)C)[C@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047606830 768605449 /nfs/dbraw/zinc/60/54/49/768605449.db2.gz YUTLFQQGWQGUQO-HOTGVXAUSA-N 1 2 315.417 1.608 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CCCN(C(=O)C#CC(C)C)C1 ZINC001070703397 768683142 /nfs/dbraw/zinc/68/31/42/768683142.db2.gz RPZMEQFSBFSXGS-CQSZACIVSA-N 1 2 316.405 1.027 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132326511 768766127 /nfs/dbraw/zinc/76/61/27/768766127.db2.gz DZIKBKSCOAYABW-KBPBESRZSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132326511 768766132 /nfs/dbraw/zinc/76/61/32/768766132.db2.gz DZIKBKSCOAYABW-KBPBESRZSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)/C(C)=C\C)C1 ZINC001070909888 768781895 /nfs/dbraw/zinc/78/18/95/768781895.db2.gz BWMWFXLAPMSCHC-XUBTZJHKSA-N 1 2 319.405 1.245 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)/C(C)=C\C)C1 ZINC001070909888 768781898 /nfs/dbraw/zinc/78/18/98/768781898.db2.gz BWMWFXLAPMSCHC-XUBTZJHKSA-N 1 2 319.405 1.245 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C2CC2)CC1 ZINC001070950059 768805848 /nfs/dbraw/zinc/80/58/48/768805848.db2.gz JRWRLBJGGFCUSU-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C2CC2)CC1 ZINC001070950059 768805855 /nfs/dbraw/zinc/80/58/55/768805855.db2.gz JRWRLBJGGFCUSU-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2CC3(CCC3)C2)CC1 ZINC001070987190 768840204 /nfs/dbraw/zinc/84/02/04/768840204.db2.gz UYKNLWISNRLQLO-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2CC3(CCC3)C2)CC1 ZINC001070987190 768840216 /nfs/dbraw/zinc/84/02/16/768840216.db2.gz UYKNLWISNRLQLO-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCCC2(C)C)CC1 ZINC001070988627 768842220 /nfs/dbraw/zinc/84/22/20/768842220.db2.gz VIAZTMOSMMEDFE-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCCC2(C)C)CC1 ZINC001070988627 768842233 /nfs/dbraw/zinc/84/22/33/768842233.db2.gz VIAZTMOSMMEDFE-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@]2(C1)CN(C(C)=O)C[C@@H](C)O2 ZINC001071110569 768956774 /nfs/dbraw/zinc/95/67/74/768956774.db2.gz QJPZXVXEWNPCKJ-YPMHNXCESA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@]2(C1)CN(C(C)=O)C[C@@H](C)O2 ZINC001071110569 768956777 /nfs/dbraw/zinc/95/67/77/768956777.db2.gz QJPZXVXEWNPCKJ-YPMHNXCESA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cn2cc(C)cn2)CC[C@@H]1C ZINC001071376102 769356275 /nfs/dbraw/zinc/35/62/75/769356275.db2.gz NPKFESGNYMOGRQ-UONOGXRCSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cn2cc(C)cn2)CC[C@@H]1C ZINC001071376102 769356286 /nfs/dbraw/zinc/35/62/86/769356286.db2.gz NPKFESGNYMOGRQ-UONOGXRCSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C1CCC(C(=O)NCC[NH2+]Cc2nc(CC3CC3)no2)CC1 ZINC001133365443 769750417 /nfs/dbraw/zinc/75/04/17/769750417.db2.gz QVCLQKBAIFOOAL-UHFFFAOYSA-N 1 2 318.421 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2c(C)nc[nH]c2=O)CC[C@H]1C ZINC001071763438 770022844 /nfs/dbraw/zinc/02/28/44/770022844.db2.gz VVYWZHOLQYRLPD-ZYHUDNBSSA-N 1 2 324.812 1.826 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2c(C)nc[nH]c2=O)CC[C@H]1C ZINC001071763438 770022857 /nfs/dbraw/zinc/02/28/57/770022857.db2.gz VVYWZHOLQYRLPD-ZYHUDNBSSA-N 1 2 324.812 1.826 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)CC[C@H]1C ZINC001071825499 770130628 /nfs/dbraw/zinc/13/06/28/770130628.db2.gz XJNOTQAZTQQUCD-GHMZBOCLSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)CC[C@H]1C ZINC001071825499 770130635 /nfs/dbraw/zinc/13/06/35/770130635.db2.gz XJNOTQAZTQQUCD-GHMZBOCLSA-N 1 2 324.812 1.449 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001071981741 770379812 /nfs/dbraw/zinc/37/98/12/770379812.db2.gz QSJGITROFWBTGA-UKRRQHHQSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001071981741 770379822 /nfs/dbraw/zinc/37/98/22/770379822.db2.gz QSJGITROFWBTGA-UKRRQHHQSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001071989000 770396801 /nfs/dbraw/zinc/39/68/01/770396801.db2.gz SNWVMBCGCCIPNR-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001096474475 770410979 /nfs/dbraw/zinc/41/09/79/770410979.db2.gz VXWUYQCQKRCBDJ-GFCCVEGCSA-N 1 2 314.393 1.415 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001049475711 770904290 /nfs/dbraw/zinc/90/42/90/770904290.db2.gz AQJMSXUDKQUFLI-RRQGHBQHSA-N 1 2 312.417 1.528 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001049475711 770904294 /nfs/dbraw/zinc/90/42/94/770904294.db2.gz AQJMSXUDKQUFLI-RRQGHBQHSA-N 1 2 312.417 1.528 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049494942 770926720 /nfs/dbraw/zinc/92/67/20/770926720.db2.gz MBDRDGFOJFPIFC-JKSUJKDBSA-N 1 2 323.400 1.660 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049494942 770926723 /nfs/dbraw/zinc/92/67/23/770926723.db2.gz MBDRDGFOJFPIFC-JKSUJKDBSA-N 1 2 323.400 1.660 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049782956 771155974 /nfs/dbraw/zinc/15/59/74/771155974.db2.gz NLIGLXYSLPFYIG-OLZOCXBDSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049782956 771155978 /nfs/dbraw/zinc/15/59/78/771155978.db2.gz NLIGLXYSLPFYIG-OLZOCXBDSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+][C@H](C)c1csnn1 ZINC001135142118 771347739 /nfs/dbraw/zinc/34/77/39/771347739.db2.gz HAFSVVCAVVWHRU-MDZLAQPJSA-N 1 2 310.423 1.286 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](NC(=O)CCn3cc[nH+]c3)C2)ccc1C#N ZINC001097084362 771616905 /nfs/dbraw/zinc/61/69/05/771616905.db2.gz LLDANQCINFTJOI-SHTZXODSSA-N 1 2 324.388 1.608 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001090697162 772122753 /nfs/dbraw/zinc/12/27/53/772122753.db2.gz CYCBNSIGKDAGMF-AXAPSJFSSA-N 1 2 304.394 1.053 20 30 DDEDLO COCC(=O)NC1CC[NH+](Cc2c(Cl)cccc2C#N)CC1 ZINC001143726055 772298673 /nfs/dbraw/zinc/29/86/73/772298673.db2.gz DEQSISGDLSGHAV-UHFFFAOYSA-N 1 2 321.808 1.939 20 30 DDEDLO C[C@@H](Cc1cccc(C#N)c1)[NH2+]Cc1noc(C(=O)N(C)C)n1 ZINC001171182529 772527575 /nfs/dbraw/zinc/52/75/75/772527575.db2.gz XALGWTKQMVOHNZ-NSHDSACASA-N 1 2 313.361 1.364 20 30 DDEDLO C[C@H](C[C@H](C)NCC#N)NC(=O)C[N@@H+]1CCc2sccc2C1 ZINC001146563923 772895946 /nfs/dbraw/zinc/89/59/46/772895946.db2.gz ZZFFRBRNNNVKGT-QWHCGFSZSA-N 1 2 320.462 1.503 20 30 DDEDLO C[C@H](C[C@H](C)NCC#N)NC(=O)C[N@H+]1CCc2sccc2C1 ZINC001146563923 772895949 /nfs/dbraw/zinc/89/59/49/772895949.db2.gz ZZFFRBRNNNVKGT-QWHCGFSZSA-N 1 2 320.462 1.503 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CC[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001091586490 772919328 /nfs/dbraw/zinc/91/93/28/772919328.db2.gz ZJEWDWWIWKCSFH-DZGCQCFKSA-N 1 2 324.388 1.547 20 30 DDEDLO Cn1cc(C[N@H+](C)C[C@H]2CCCCN2C(=O)C#CC2CC2)nn1 ZINC001074012751 773585423 /nfs/dbraw/zinc/58/54/23/773585423.db2.gz LNHLDVGLVQJPMV-MRXNPFEDSA-N 1 2 315.421 1.041 20 30 DDEDLO Cn1cc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)C#CC2CC2)nn1 ZINC001074012751 773585429 /nfs/dbraw/zinc/58/54/29/773585429.db2.gz LNHLDVGLVQJPMV-MRXNPFEDSA-N 1 2 315.421 1.041 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nccs1)C2 ZINC001148874078 773610523 /nfs/dbraw/zinc/61/05/23/773610523.db2.gz IGLOEHMMTFAWSQ-ZDUSSCGKSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nccs1)C2 ZINC001148874078 773610525 /nfs/dbraw/zinc/61/05/25/773610525.db2.gz IGLOEHMMTFAWSQ-ZDUSSCGKSA-N 1 2 321.446 1.769 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC3CCC3)C[C@@H]21 ZINC001074204965 773726534 /nfs/dbraw/zinc/72/65/34/773726534.db2.gz KYQODXHMVCABRD-IRXDYDNUSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC3CCC3)C[C@@H]21 ZINC001074204965 773726537 /nfs/dbraw/zinc/72/65/37/773726537.db2.gz KYQODXHMVCABRD-IRXDYDNUSA-N 1 2 304.434 1.892 20 30 DDEDLO C[C@@H]1CC2(CN1C(=O)Cc1c[nH+]cn1C)CCN(CC#N)CC2 ZINC001086952858 773742488 /nfs/dbraw/zinc/74/24/88/773742488.db2.gz RXTQRWADBXQGQT-CQSZACIVSA-N 1 2 315.421 1.189 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3nccc(C)n3)C[C@H]21 ZINC001074229541 773753639 /nfs/dbraw/zinc/75/36/39/773753639.db2.gz MHDLTJPCVKHWDR-CABCVRRESA-N 1 2 316.405 1.276 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3nccc(C)n3)C[C@H]21 ZINC001074229541 773753643 /nfs/dbraw/zinc/75/36/43/773753643.db2.gz MHDLTJPCVKHWDR-CABCVRRESA-N 1 2 316.405 1.276 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001074264590 773777571 /nfs/dbraw/zinc/77/75/71/773777571.db2.gz XSJXZHPPXSZGJQ-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@@H]2OCC[N@@H+](CC)[C@H]2C1 ZINC001074269926 773782391 /nfs/dbraw/zinc/78/23/91/773782391.db2.gz ZVRKIUBLUPSQMX-HOTGVXAUSA-N 1 2 303.406 1.609 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@@H]2OCC[N@H+](CC)[C@H]2C1 ZINC001074269926 773782398 /nfs/dbraw/zinc/78/23/98/773782398.db2.gz ZVRKIUBLUPSQMX-HOTGVXAUSA-N 1 2 303.406 1.609 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3csc(C)n3)C[C@@H]21 ZINC001074323737 773824014 /nfs/dbraw/zinc/82/40/14/773824014.db2.gz FPDXBPUUYSFGGP-LSDHHAIUSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3csc(C)n3)C[C@@H]21 ZINC001074323737 773824018 /nfs/dbraw/zinc/82/40/18/773824018.db2.gz FPDXBPUUYSFGGP-LSDHHAIUSA-N 1 2 321.446 1.943 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3c[nH]cc3C)C[C@H]21 ZINC001074410236 773905754 /nfs/dbraw/zinc/90/57/54/773905754.db2.gz OGFABAHPMXQVQP-HZPDHXFCSA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c[nH]cc3C)C[C@H]21 ZINC001074410236 773905757 /nfs/dbraw/zinc/90/57/57/773905757.db2.gz OGFABAHPMXQVQP-HZPDHXFCSA-N 1 2 301.390 1.262 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc(C)n1)c1nccn12 ZINC001092344882 774064797 /nfs/dbraw/zinc/06/47/97/774064797.db2.gz UXESXVOVPXUNNR-OAHLLOKOSA-N 1 2 323.400 1.658 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1conc1C)c1nccn12 ZINC001092391871 774098479 /nfs/dbraw/zinc/09/84/79/774098479.db2.gz CEVQKVLZQHZCAJ-CYBMUJFWSA-N 1 2 313.361 1.251 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074959965 774266465 /nfs/dbraw/zinc/26/64/65/774266465.db2.gz DRMRJDYBKMDAPX-ZIAGYGMSSA-N 1 2 316.405 1.251 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001075046290 774318790 /nfs/dbraw/zinc/31/87/90/774318790.db2.gz TVSCNQWEXOEXLO-LSDHHAIUSA-N 1 2 316.405 1.038 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001075044764 774319117 /nfs/dbraw/zinc/31/91/17/774319117.db2.gz AOZVBRKRJBJMKD-GJZGRUSLSA-N 1 2 316.405 1.182 20 30 DDEDLO CCc1cc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC2CC2)C3)nc(C)[nH+]1 ZINC001092965856 774341207 /nfs/dbraw/zinc/34/12/07/774341207.db2.gz NMMBQWCIWALEMP-ZACQAIPSSA-N 1 2 324.428 1.987 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@@H]1C ZINC001075211316 774425127 /nfs/dbraw/zinc/42/51/27/774425127.db2.gz RWVYSVYXFRLHEE-KBPBESRZSA-N 1 2 316.405 1.251 20 30 DDEDLO N#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001099019312 774775151 /nfs/dbraw/zinc/77/51/51/774775151.db2.gz FIBAVCZKVWDJCB-AHIWAGSCSA-N 1 2 313.405 1.324 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cc[nH]c(=O)c3)CC2)C1 ZINC001093525028 774776020 /nfs/dbraw/zinc/77/60/20/774776020.db2.gz XMXGEQMBMMJTEQ-UHFFFAOYSA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC001093504413 774795072 /nfs/dbraw/zinc/79/50/72/774795072.db2.gz OQIUCVZJKIDKKW-MRXNPFEDSA-N 1 2 308.422 1.433 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CSC(C)C)[C@@H](O)C1 ZINC001099678238 775130460 /nfs/dbraw/zinc/13/04/60/775130460.db2.gz IDOBFGIHVXJLIM-RYUDHWBXSA-N 1 2 306.859 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CSC(C)C)[C@@H](O)C1 ZINC001099678238 775130465 /nfs/dbraw/zinc/13/04/65/775130465.db2.gz IDOBFGIHVXJLIM-RYUDHWBXSA-N 1 2 306.859 1.432 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)n1 ZINC001094112504 775440490 /nfs/dbraw/zinc/44/04/90/775440490.db2.gz ZSAGMQKPLKCXPT-CYBMUJFWSA-N 1 2 324.388 1.633 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001099946862 775458233 /nfs/dbraw/zinc/45/82/33/775458233.db2.gz MYFFCJPLGOLDJR-ZFWWWQNUSA-N 1 2 321.421 1.637 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001099946862 775458240 /nfs/dbraw/zinc/45/82/40/775458240.db2.gz MYFFCJPLGOLDJR-ZFWWWQNUSA-N 1 2 321.421 1.637 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099959573 775482266 /nfs/dbraw/zinc/48/22/66/775482266.db2.gz HVHPEJIMMLOCLK-HIFRSBDPSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099959573 775482274 /nfs/dbraw/zinc/48/22/74/775482274.db2.gz HVHPEJIMMLOCLK-HIFRSBDPSA-N 1 2 321.421 1.555 20 30 DDEDLO N#Cc1nccnc1NCCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001094236729 775573419 /nfs/dbraw/zinc/57/34/19/775573419.db2.gz XXVWZJIEMZVQTD-UHFFFAOYSA-N 1 2 307.317 1.066 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccoc3C)nn2)C1 ZINC001094273982 775622220 /nfs/dbraw/zinc/62/22/20/775622220.db2.gz BROINAXRBQWHLI-UHFFFAOYSA-N 1 2 301.350 1.152 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCC[C@H](C)C3)nn2)C1 ZINC001094314402 775670241 /nfs/dbraw/zinc/67/02/41/775670241.db2.gz UKYDOSIVANOWTF-UONOGXRCSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3CC(C)(C)C3)nn2)C1 ZINC001094289662 775690291 /nfs/dbraw/zinc/69/02/91/775690291.db2.gz SXXKFMLLBNFUDK-UHFFFAOYSA-N 1 2 303.410 1.373 20 30 DDEDLO Cc1nc(NCCNC(=O)CCc2[nH+]ccn2C)ccc1C#N ZINC001094301493 775704492 /nfs/dbraw/zinc/70/44/92/775704492.db2.gz FMSYYWVVBIMJMD-UHFFFAOYSA-N 1 2 312.377 1.156 20 30 DDEDLO C=CCCCC(=O)NCCN(C)c1ncnc2c1C[N@H+](C)CC2 ZINC001100291194 775945988 /nfs/dbraw/zinc/94/59/88/775945988.db2.gz VIJKCBPVOSOGPM-UHFFFAOYSA-N 1 2 317.437 1.373 20 30 DDEDLO C=CCCCC(=O)NCCN(C)c1ncnc2c1C[N@@H+](C)CC2 ZINC001100291194 775945997 /nfs/dbraw/zinc/94/59/97/775945997.db2.gz VIJKCBPVOSOGPM-UHFFFAOYSA-N 1 2 317.437 1.373 20 30 DDEDLO N#Cc1cccnc1NCCCNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001094639505 776159656 /nfs/dbraw/zinc/15/96/56/776159656.db2.gz VLJAAVDISDIXEM-CYBMUJFWSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094711171 776180184 /nfs/dbraw/zinc/18/01/84/776180184.db2.gz YDGOPQMRZQDLJO-ZDUSSCGKSA-N 1 2 320.441 1.767 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)c3ccsc3)CC2=O)C1 ZINC001094699617 776210347 /nfs/dbraw/zinc/21/03/47/776210347.db2.gz QSNFZRXXONGFAT-CYBMUJFWSA-N 1 2 319.430 1.339 20 30 DDEDLO C=CCCCC(=O)NCCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094740723 776240312 /nfs/dbraw/zinc/24/03/12/776240312.db2.gz JLVSAEGFZONEOJ-UHFFFAOYSA-N 1 2 317.437 1.739 20 30 DDEDLO C=CCCCC(=O)NCCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094740723 776240318 /nfs/dbraw/zinc/24/03/18/776240318.db2.gz JLVSAEGFZONEOJ-UHFFFAOYSA-N 1 2 317.437 1.739 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)C3CCC3)CC2=O)C1 ZINC001094751044 776246244 /nfs/dbraw/zinc/24/62/44/776246244.db2.gz NVBDJFNNKKIGFG-ZFWWWQNUSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H]3CC34CCCC4)CC2=O)C1 ZINC001094800765 776255121 /nfs/dbraw/zinc/25/51/21/776255121.db2.gz CKIXTWMAPCETQR-DZGCQCFKSA-N 1 2 317.433 1.154 20 30 DDEDLO N#Cc1cnccc1NCCCNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001094781246 776270906 /nfs/dbraw/zinc/27/09/06/776270906.db2.gz GOVRYMZJNDCHQP-CYBMUJFWSA-N 1 2 324.388 1.137 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3CC34CCC4)CC2=O)C1 ZINC001094794196 776286446 /nfs/dbraw/zinc/28/64/46/776286446.db2.gz VKKJDJKXTXJRPL-HIFRSBDPSA-N 1 2 317.433 1.154 20 30 DDEDLO Cc1cc(N2CCC[C@@H]2CNC(=O)Cn2cc[nH+]c2)c(C#N)cn1 ZINC001100843885 776624795 /nfs/dbraw/zinc/62/47/95/776624795.db2.gz RWMHFRNGNQVBHB-OAHLLOKOSA-N 1 2 324.388 1.243 20 30 DDEDLO CCCN(CCNC(=O)[C@@H](C)C#N)c1nc(C)[nH+]c(C)c1C ZINC001101109098 776913236 /nfs/dbraw/zinc/91/32/36/776913236.db2.gz GEAKDPQRFBETEA-NSHDSACASA-N 1 2 303.410 1.894 20 30 DDEDLO C#CC[C@H]([NH2+][C@@H]1CCc2ccc([N+](=O)[O-])cc2CC1)C(=O)OC ZINC001172898395 776914043 /nfs/dbraw/zinc/91/40/43/776914043.db2.gz ADWJHUOECMEFKK-ZBFHGGJFSA-N 1 2 316.357 1.997 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+]([C@H](C)c3nnnn3C)C[C@@]2(C)C1 ZINC001101167788 776960734 /nfs/dbraw/zinc/96/07/34/776960734.db2.gz UKIGVRZJWVEVKB-IOASZLSFSA-N 1 2 318.425 1.018 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+]([C@H](C)c3nnnn3C)C[C@@]2(C)C1 ZINC001101167788 776960738 /nfs/dbraw/zinc/96/07/38/776960738.db2.gz UKIGVRZJWVEVKB-IOASZLSFSA-N 1 2 318.425 1.018 20 30 DDEDLO CCN(C1C[NH+](C)C1)[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001172991143 776962596 /nfs/dbraw/zinc/96/25/96/776962596.db2.gz UTCONJZZRZBATN-KGLIPLIRSA-N 1 2 322.453 1.914 20 30 DDEDLO C[C@@H]1CC[C@H](N2CC[NH2+]C[C@H]2C#N)CN1C(=O)OC(C)(C)C ZINC001173057461 776967669 /nfs/dbraw/zinc/96/76/69/776967669.db2.gz RMICFIHONNCXMU-HZSPNIEDSA-N 1 2 308.426 1.572 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)nn1C)C2 ZINC001095215409 777249842 /nfs/dbraw/zinc/24/98/42/777249842.db2.gz GXFWQYDEEMDUOE-AGIUHOORSA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)nn1C)C2 ZINC001095215409 777249847 /nfs/dbraw/zinc/24/98/47/777249847.db2.gz GXFWQYDEEMDUOE-AGIUHOORSA-N 1 2 308.813 1.816 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001095231127 777284615 /nfs/dbraw/zinc/28/46/15/777284615.db2.gz RTUBOXWOHJRPSV-LBPRGKRZSA-N 1 2 304.394 1.779 20 30 DDEDLO C#Cc1cc(Nc2cccc(C(=O)N3CCOCC3)c2)cc[nH+]1 ZINC001174176767 777422396 /nfs/dbraw/zinc/42/23/96/777422396.db2.gz CIUFYCANQAROAV-UHFFFAOYSA-N 1 2 307.353 1.701 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(N)=O)CCCCC1 ZINC001110177831 777661269 /nfs/dbraw/zinc/66/12/69/777661269.db2.gz KAGZYWKRQUFYAG-ILXRZTDVSA-N 1 2 317.433 1.167 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(N)=O)CCCCC1 ZINC001110177831 777661274 /nfs/dbraw/zinc/66/12/74/777661274.db2.gz KAGZYWKRQUFYAG-ILXRZTDVSA-N 1 2 317.433 1.167 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@H+]([C@H](C)c2noc(C)n2)C[C@H]1C ZINC001101919687 777679301 /nfs/dbraw/zinc/67/93/01/777679301.db2.gz XHYRRPZXBKBIII-UMVBOHGHSA-N 1 2 318.421 1.927 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@@H+]([C@H](C)c2noc(C)n2)C[C@H]1C ZINC001101919687 777679306 /nfs/dbraw/zinc/67/93/06/777679306.db2.gz XHYRRPZXBKBIII-UMVBOHGHSA-N 1 2 318.421 1.927 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N(C)CCNc1ncccc1C#N ZINC001101991804 777773409 /nfs/dbraw/zinc/77/34/09/777773409.db2.gz LHFMAZSEWIBRPZ-LBPRGKRZSA-N 1 2 312.377 1.425 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N(C)CCNc1ncccc1C#N ZINC001101991804 777773417 /nfs/dbraw/zinc/77/34/17/777773417.db2.gz LHFMAZSEWIBRPZ-LBPRGKRZSA-N 1 2 312.377 1.425 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCOC(C)C)C[C@H]21 ZINC001176922574 778309247 /nfs/dbraw/zinc/30/92/47/778309247.db2.gz BGGWBMXMIDGBLJ-HZPDHXFCSA-N 1 2 308.422 1.127 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCOC(C)C)C[C@H]21 ZINC001176922574 778309255 /nfs/dbraw/zinc/30/92/55/778309255.db2.gz BGGWBMXMIDGBLJ-HZPDHXFCSA-N 1 2 308.422 1.127 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCOCC=C)C[C@@H]21 ZINC001176976153 778361587 /nfs/dbraw/zinc/36/15/87/778361587.db2.gz GPOHRFZBGGBHIV-DLBZAZTESA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCOCC=C)C[C@@H]21 ZINC001176976153 778361594 /nfs/dbraw/zinc/36/15/94/778361594.db2.gz GPOHRFZBGGBHIV-DLBZAZTESA-N 1 2 320.433 1.294 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@@H]2OCC[N@H+](CCCF)[C@@H]2C1 ZINC001177005930 778386248 /nfs/dbraw/zinc/38/62/48/778386248.db2.gz KPDMJEVSSRXMOC-CABCVRRESA-N 1 2 310.413 1.697 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@@H]2OCC[N@@H+](CCCF)[C@@H]2C1 ZINC001177005930 778386251 /nfs/dbraw/zinc/38/62/51/778386251.db2.gz KPDMJEVSSRXMOC-CABCVRRESA-N 1 2 310.413 1.697 20 30 DDEDLO C=C(C)CNC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)OC(C)(C)C ZINC001177087354 778440455 /nfs/dbraw/zinc/44/04/55/778440455.db2.gz FQSWGVIZQAJUQD-GFCCVEGCSA-N 1 2 308.382 1.538 20 30 DDEDLO C=C(C)CNC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)OC(C)(C)C ZINC001177087354 778440459 /nfs/dbraw/zinc/44/04/59/778440459.db2.gz FQSWGVIZQAJUQD-GFCCVEGCSA-N 1 2 308.382 1.538 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NCC1(Nc2ncccc2C#N)CC1 ZINC001110206115 778582898 /nfs/dbraw/zinc/58/28/98/778582898.db2.gz YQTSMCLKTUKTAQ-UHFFFAOYSA-N 1 2 324.388 1.380 20 30 DDEDLO CN(CC(=O)NCCCOc1ccccc1C#N)c1cccc[nH+]1 ZINC001177418028 778590153 /nfs/dbraw/zinc/59/01/53/778590153.db2.gz ZCBSTFKHQWAZGJ-UHFFFAOYSA-N 1 2 324.384 1.975 20 30 DDEDLO CC[C@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1ccncc1C#N ZINC001103056058 778602377 /nfs/dbraw/zinc/60/23/77/778602377.db2.gz AWKFSCAQDZJIPD-CYBMUJFWSA-N 1 2 312.377 1.038 20 30 DDEDLO C=CCOCC[NH2+][C@H]1CCN(C(=O)C(F)C(F)(F)F)[C@H]1C ZINC001178747257 779160611 /nfs/dbraw/zinc/16/06/11/779160611.db2.gz SBNJEPWUNBETCP-GARJFASQSA-N 1 2 312.307 1.668 20 30 DDEDLO C=CCOCC[NH2+][C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1C ZINC001178747257 779160614 /nfs/dbraw/zinc/16/06/14/779160614.db2.gz SBNJEPWUNBETCP-GARJFASQSA-N 1 2 312.307 1.668 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@]12CCC[C@H]1CN(CC#N)C2 ZINC001111899917 779547532 /nfs/dbraw/zinc/54/75/32/779547532.db2.gz YRNUWVWZGVBQFX-XEZPLFJOSA-N 1 2 301.394 1.083 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@]12CCC[C@H]1CN(CC#N)C2 ZINC001111899917 779547534 /nfs/dbraw/zinc/54/75/34/779547534.db2.gz YRNUWVWZGVBQFX-XEZPLFJOSA-N 1 2 301.394 1.083 20 30 DDEDLO CC#CC[NH2+][C@@H]1CN(C(=O)c2cnc(C)[nH]2)C[C@H]1C(F)(F)F ZINC001112068581 779612097 /nfs/dbraw/zinc/61/20/97/779612097.db2.gz GOCMEDJDZLTVDP-ZYHUDNBSSA-N 1 2 314.311 1.334 20 30 DDEDLO C[C@H]([NH2+]Cc1cnc2c(C#N)cnn2c1)c1nnc2ccccn21 ZINC001118205606 781061009 /nfs/dbraw/zinc/06/10/09/781061009.db2.gz NHUSZAYTRIQZTC-NSHDSACASA-N 1 2 318.344 1.494 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1[nH]cnc1C ZINC001280423757 844116453 /nfs/dbraw/zinc/11/64/53/844116453.db2.gz BBWUOURYBHEADV-HNNXBMFYSA-N 1 2 320.437 1.895 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1[nH]cnc1C ZINC001280423757 844116459 /nfs/dbraw/zinc/11/64/59/844116459.db2.gz BBWUOURYBHEADV-HNNXBMFYSA-N 1 2 320.437 1.895 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[N@@H+](C)CC(=O)N1CCC[C@H](C)C1 ZINC001266278461 836031195 /nfs/dbraw/zinc/03/11/95/836031195.db2.gz GSLVDRBRZITHQB-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[N@H+](C)CC(=O)N1CCC[C@H](C)C1 ZINC001266278461 836031207 /nfs/dbraw/zinc/03/12/07/836031207.db2.gz GSLVDRBRZITHQB-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)CC(F)(F)C(F)F)CC1 ZINC001266292528 836065435 /nfs/dbraw/zinc/06/54/35/836065435.db2.gz LSZITZNRAZOWFI-UHFFFAOYSA-N 1 2 323.334 1.034 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC12CC[NH+](Cc1nncs1)CC2 ZINC001266370327 836181663 /nfs/dbraw/zinc/18/16/63/836181663.db2.gz CIQYWERMUAZBSA-ZDUSSCGKSA-N 1 2 318.446 1.812 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1ccn(CC)n1 ZINC001266460711 836291526 /nfs/dbraw/zinc/29/15/26/836291526.db2.gz BSTHHTOLLNBBJL-INIZCTEOSA-N 1 2 318.421 1.024 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccn(CC)n1 ZINC001266460711 836291537 /nfs/dbraw/zinc/29/15/37/836291537.db2.gz BSTHHTOLLNBBJL-INIZCTEOSA-N 1 2 318.421 1.024 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)CC[NH2+][C@H](C)c1nc(C(C)C)no1 ZINC001266687081 836669274 /nfs/dbraw/zinc/66/92/74/836669274.db2.gz ZQSYLSCQQFCGDR-WBMJQRKESA-N 1 2 324.425 1.629 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[NH2+]Cc2nc(CCC)no2)C1 ZINC001266831671 836898700 /nfs/dbraw/zinc/89/87/00/836898700.db2.gz JDBCMHOKFALWDJ-UHFFFAOYSA-N 1 2 306.410 1.926 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H](C)SC ZINC001266833050 836901880 /nfs/dbraw/zinc/90/18/80/836901880.db2.gz PAOYISKJNOSRDP-STQMWFEESA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H](C)SC ZINC001266833050 836901890 /nfs/dbraw/zinc/90/18/90/836901890.db2.gz PAOYISKJNOSRDP-STQMWFEESA-N 1 2 313.467 1.011 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)[NH2+]Cc1nc(C2CC2)no1 ZINC001266963794 837121512 /nfs/dbraw/zinc/12/15/12/837121512.db2.gz HKGLOPOQCFAUHI-LBPRGKRZSA-N 1 2 304.394 1.735 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](C)[NH2+]Cc2nc(COC)no2)CC1 ZINC001266968495 837129067 /nfs/dbraw/zinc/12/90/67/837129067.db2.gz RBHCNXKXGUDEOU-GFCCVEGCSA-N 1 2 322.409 1.557 20 30 DDEDLO C=CCOCC(=O)N(C)CC[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001267354209 837896266 /nfs/dbraw/zinc/89/62/66/837896266.db2.gz FHUWRIOIFONIAN-LBPRGKRZSA-N 1 2 324.425 1.679 20 30 DDEDLO CN(CC[NH2+]Cc1nc(CC2CC2)no1)C(=O)C#CC(C)(C)C ZINC001267371911 837934149 /nfs/dbraw/zinc/93/41/49/837934149.db2.gz ZMDPORRCAVJNOL-UHFFFAOYSA-N 1 2 318.421 1.620 20 30 DDEDLO CCCCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#CCOC ZINC001267397548 837988369 /nfs/dbraw/zinc/98/83/69/837988369.db2.gz XEKYBIZCEWCURW-ZACQAIPSSA-N 1 2 322.449 1.517 20 30 DDEDLO CCCCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#CCOC ZINC001267397548 837988372 /nfs/dbraw/zinc/98/83/72/837988372.db2.gz XEKYBIZCEWCURW-ZACQAIPSSA-N 1 2 322.449 1.517 20 30 DDEDLO Cc1nnc(C[NH2+]CCN(C)C(=O)CC#Cc2ccccc2)o1 ZINC001267472636 838129959 /nfs/dbraw/zinc/12/99/59/838129959.db2.gz UMYDVMQTTOTHQG-UHFFFAOYSA-N 1 2 312.373 1.368 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@@H]1CC12CCCC2 ZINC001267575307 838343773 /nfs/dbraw/zinc/34/37/73/838343773.db2.gz AEULYZNDEKPZTM-HNNXBMFYSA-N 1 2 304.438 1.166 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)[C@H](OC)[C@@H](C)CC)CC1 ZINC001267609339 838451581 /nfs/dbraw/zinc/45/15/81/838451581.db2.gz WTAWXGIKYXWMFO-DLBZAZTESA-N 1 2 323.481 1.147 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2c(C)nnn2CC)C1 ZINC001267616553 838486405 /nfs/dbraw/zinc/48/64/05/838486405.db2.gz RKFCFOJLRWHTBW-CQSZACIVSA-N 1 2 319.453 1.899 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](Cc2c(C)nnn2CC)C1 ZINC001267616553 838486409 /nfs/dbraw/zinc/48/64/09/838486409.db2.gz RKFCFOJLRWHTBW-CQSZACIVSA-N 1 2 319.453 1.899 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCCC)C1 ZINC001267629950 838531449 /nfs/dbraw/zinc/53/14/49/838531449.db2.gz RUWNVLYZNVWDFW-YOEHRIQHSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCCC)C1 ZINC001267629950 838531455 /nfs/dbraw/zinc/53/14/55/838531455.db2.gz RUWNVLYZNVWDFW-YOEHRIQHSA-N 1 2 309.454 1.696 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2nc(C)sc2C)C1 ZINC001267741885 838813048 /nfs/dbraw/zinc/81/30/48/838813048.db2.gz QIJNODZUWLNSAD-BXUZGUMPSA-N 1 2 321.446 1.489 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)sc2C)C1 ZINC001267741885 838813061 /nfs/dbraw/zinc/81/30/61/838813061.db2.gz QIJNODZUWLNSAD-BXUZGUMPSA-N 1 2 321.446 1.489 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc(N(C)C)no2)[C@H]1C ZINC001283863792 839087895 /nfs/dbraw/zinc/08/78/95/839087895.db2.gz CYQOQUYLINOXCT-NEPJUHHUSA-N 1 2 307.398 1.181 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](CC)CCCC)C2)nn1 ZINC001105170009 839145671 /nfs/dbraw/zinc/14/56/71/839145671.db2.gz RNAVQDUWJDLUKP-CQSZACIVSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CCC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001267924918 839202459 /nfs/dbraw/zinc/20/24/59/839202459.db2.gz LWBZCQLSACETCN-WBVHZDCISA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CCC[N@H+](Cc2cnc(C)nc2)C1 ZINC001267924918 839202470 /nfs/dbraw/zinc/20/24/70/839202470.db2.gz LWBZCQLSACETCN-WBVHZDCISA-N 1 2 318.421 1.193 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001267937453 839223039 /nfs/dbraw/zinc/22/30/39/839223039.db2.gz MKBXFDIDPXUHLA-QAPCUYQASA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001267937453 839223051 /nfs/dbraw/zinc/22/30/51/839223051.db2.gz MKBXFDIDPXUHLA-QAPCUYQASA-N 1 2 319.449 1.616 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)CS(=O)(=O)CCC ZINC001272042714 844420613 /nfs/dbraw/zinc/42/06/13/844420613.db2.gz IJURVEKKJBVRLZ-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)CS(=O)(=O)CCC ZINC001272042714 844420620 /nfs/dbraw/zinc/42/06/20/844420620.db2.gz IJURVEKKJBVRLZ-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[N@H+](CCOCC2CCC2)CCO1 ZINC001268023168 839402563 /nfs/dbraw/zinc/40/25/63/839402563.db2.gz FXYFLUNSLXVGMN-INIZCTEOSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[N@@H+](CCOCC2CCC2)CCO1 ZINC001268023168 839402572 /nfs/dbraw/zinc/40/25/72/839402572.db2.gz FXYFLUNSLXVGMN-INIZCTEOSA-N 1 2 324.465 1.832 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@@H+](CC=C(Cl)Cl)C1 ZINC001268195600 839825342 /nfs/dbraw/zinc/82/53/42/839825342.db2.gz WJTKFMPFVAUJAX-LBPRGKRZSA-N 1 2 319.232 1.926 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@H+](CC=C(Cl)Cl)C1 ZINC001268195600 839825348 /nfs/dbraw/zinc/82/53/48/839825348.db2.gz WJTKFMPFVAUJAX-LBPRGKRZSA-N 1 2 319.232 1.926 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)Cc2c(C)nn(CC)c2C)C1 ZINC001268474673 840261716 /nfs/dbraw/zinc/26/17/16/840261716.db2.gz PGBDNRAMZSIIKQ-UHFFFAOYSA-N 1 2 320.437 1.065 20 30 DDEDLO CCOCC(=O)NCC1C[NH+](CC#Cc2ccc(Cl)cc2)C1 ZINC001268495158 840306012 /nfs/dbraw/zinc/30/60/12/840306012.db2.gz IQQWPBPMXRVNST-UHFFFAOYSA-N 1 2 320.820 1.776 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CC(CNC(=O)CC(C)(C)C)C1 ZINC001268509965 840337072 /nfs/dbraw/zinc/33/70/72/840337072.db2.gz KENGDLGRRGKDHS-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C[C@H](C[NH2+]Cc1nnc(C(F)F)s1)NC(=O)C#CC1CC1 ZINC001268644509 840624515 /nfs/dbraw/zinc/62/45/15/840624515.db2.gz FALZELLCJXSAFM-MRVPVSSYSA-N 1 2 314.361 1.483 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1C[NH+](Cc2cc(OC)ns2)C1 ZINC001268858716 840910230 /nfs/dbraw/zinc/91/02/30/840910230.db2.gz PFPSKVQUMCGJTN-UHFFFAOYSA-N 1 2 309.435 1.912 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](CCCC)C(N)=O ZINC001268947551 841047519 /nfs/dbraw/zinc/04/75/19/841047519.db2.gz TVCXDABSFHTCJP-SOUVJXGZSA-N 1 2 307.438 1.672 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](CCCC)C(N)=O ZINC001268947551 841047527 /nfs/dbraw/zinc/04/75/27/841047527.db2.gz TVCXDABSFHTCJP-SOUVJXGZSA-N 1 2 307.438 1.672 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001269069143 841168807 /nfs/dbraw/zinc/16/88/07/841168807.db2.gz JVCNJVXLNNEVEL-ZDUSSCGKSA-N 1 2 318.421 1.778 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001269069143 841168814 /nfs/dbraw/zinc/16/88/14/841168814.db2.gz JVCNJVXLNNEVEL-ZDUSSCGKSA-N 1 2 318.421 1.778 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001269159758 841281230 /nfs/dbraw/zinc/28/12/30/841281230.db2.gz VABBZYBLSNRJAW-CHWSQXEVSA-N 1 2 307.394 1.976 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001269159758 841281234 /nfs/dbraw/zinc/28/12/34/841281234.db2.gz VABBZYBLSNRJAW-CHWSQXEVSA-N 1 2 307.394 1.976 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@@H]1CC[N@H+](CC(=C)Cl)C1 ZINC001269177207 841298892 /nfs/dbraw/zinc/29/88/92/841298892.db2.gz ABQBSPLIFIAXFS-ZIAGYGMSSA-N 1 2 313.829 1.400 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@@H]1CC[N@@H+](CC(=C)Cl)C1 ZINC001269177207 841298902 /nfs/dbraw/zinc/29/89/02/841298902.db2.gz ABQBSPLIFIAXFS-ZIAGYGMSSA-N 1 2 313.829 1.400 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+]1[C@@H](C)C(=O)NC1CCCCC1 ZINC001269206410 841344337 /nfs/dbraw/zinc/34/43/37/841344337.db2.gz WQTKCFKYDJXWJL-GOEBONIOSA-N 1 2 321.465 1.981 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CCCCC1 ZINC001269206410 841344347 /nfs/dbraw/zinc/34/43/47/841344347.db2.gz WQTKCFKYDJXWJL-GOEBONIOSA-N 1 2 321.465 1.981 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@H+]1[C@@H]1CCN(C(C)(C)C)C1=O ZINC001269287821 841469301 /nfs/dbraw/zinc/46/93/01/841469301.db2.gz XSOCQRBJZIYMNM-HUUCEWRRSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@@H]1CCN(C(C)(C)C)C1=O ZINC001269287821 841469310 /nfs/dbraw/zinc/46/93/10/841469310.db2.gz XSOCQRBJZIYMNM-HUUCEWRRSA-N 1 2 321.465 1.933 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001154584428 861242874 /nfs/dbraw/zinc/24/28/74/861242874.db2.gz GKMLBHJKGRIWSR-CYBMUJFWSA-N 1 2 307.442 1.744 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001154584428 861242881 /nfs/dbraw/zinc/24/28/81/861242881.db2.gz GKMLBHJKGRIWSR-CYBMUJFWSA-N 1 2 307.442 1.744 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H](CC[NH2+]Cc2nnn(C)n2)C1 ZINC001269759344 842019418 /nfs/dbraw/zinc/01/94/18/842019418.db2.gz BMMLUMBSLBXAQR-CQSZACIVSA-N 1 2 320.441 1.285 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)Cc2ncccc2F)C1 ZINC001269838704 842095317 /nfs/dbraw/zinc/09/53/17/842095317.db2.gz IIPLIUKDNXRPBV-UHFFFAOYSA-N 1 2 307.369 1.108 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)Cc2ccccc2F)C1 ZINC001269881867 842128115 /nfs/dbraw/zinc/12/81/15/842128115.db2.gz PIGSDZJKCJJJNA-UHFFFAOYSA-N 1 2 306.381 1.713 20 30 DDEDLO C#CCN1CC[C@]2(CCN(C(=O)c3c[nH]c4cc[nH+]cc34)C2)C1=O ZINC001270139660 842328005 /nfs/dbraw/zinc/32/80/05/842328005.db2.gz YIVNONAQIJLEBN-GOSISDBHSA-N 1 2 322.368 1.261 20 30 DDEDLO CCC(C)(C)CC(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001270520258 842637857 /nfs/dbraw/zinc/63/78/57/842637857.db2.gz LECHORZZYIGFEB-HNNXBMFYSA-N 1 2 306.454 1.555 20 30 DDEDLO C=CCCC(=O)N[C@@]1(C)CC[N@H+](CC(=O)NCc2ccco2)C1 ZINC001270557688 842669751 /nfs/dbraw/zinc/66/97/51/842669751.db2.gz MZXPGDHQZVOYTH-KRWDZBQOSA-N 1 2 319.405 1.443 20 30 DDEDLO C=CCCC(=O)N[C@@]1(C)CC[N@@H+](CC(=O)NCc2ccco2)C1 ZINC001270557688 842669753 /nfs/dbraw/zinc/66/97/53/842669753.db2.gz MZXPGDHQZVOYTH-KRWDZBQOSA-N 1 2 319.405 1.443 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@H]1C[C@H](C)C[C@H](C)C1 ZINC001272178775 844680382 /nfs/dbraw/zinc/68/03/82/844680382.db2.gz QDZPSEXKUKPRSW-ZSHCYNCHSA-N 1 2 323.481 1.751 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@H]1C[C@H](C)C[C@H](C)C1 ZINC001272178775 844680384 /nfs/dbraw/zinc/68/03/84/844680384.db2.gz QDZPSEXKUKPRSW-ZSHCYNCHSA-N 1 2 323.481 1.751 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1COCC[N@@H+]1CCCC1CCC1 ZINC001326631158 861494351 /nfs/dbraw/zinc/49/43/51/861494351.db2.gz AKMYERRBVNXKOE-WBVHZDCISA-N 1 2 322.449 1.422 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1COCC[N@H+]1CCCC1CCC1 ZINC001326631158 861494363 /nfs/dbraw/zinc/49/43/63/861494363.db2.gz AKMYERRBVNXKOE-WBVHZDCISA-N 1 2 322.449 1.422 20 30 DDEDLO C#CC(C)(C)C(=O)N1CC[C@H]2[C@@H]1CC[N@H+]2Cc1nccn1CC ZINC001272348820 846057762 /nfs/dbraw/zinc/05/77/62/846057762.db2.gz XYUCGVKBTNXYKG-GJZGRUSLSA-N 1 2 314.433 1.738 20 30 DDEDLO C#CC(C)(C)C(=O)N1CC[C@H]2[C@@H]1CC[N@@H+]2Cc1nccn1CC ZINC001272348820 846057765 /nfs/dbraw/zinc/05/77/65/846057765.db2.gz XYUCGVKBTNXYKG-GJZGRUSLSA-N 1 2 314.433 1.738 20 30 DDEDLO CCCCCCC[NH+]1CC2(C1)COCC(=O)N2[C@H](C)C(C)=O ZINC001272476594 846182061 /nfs/dbraw/zinc/18/20/61/846182061.db2.gz PEXOUWPYBKQRGH-CQSZACIVSA-N 1 2 310.438 1.848 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc3c(c1)CCC3)C2 ZINC001272535800 846286429 /nfs/dbraw/zinc/28/64/29/846286429.db2.gz JOGYXOHURQQOPK-UHFFFAOYSA-N 1 2 310.397 1.222 20 30 DDEDLO CC#CCCCC(=O)NCC1([NH2+]Cc2nc(CC)no2)CCC1 ZINC001326875593 861679443 /nfs/dbraw/zinc/67/94/43/861679443.db2.gz OMHLRRRCBRBZQW-UHFFFAOYSA-N 1 2 318.421 1.954 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)C)nc2C)C1 ZINC001077689779 847065870 /nfs/dbraw/zinc/06/58/70/847065870.db2.gz FPHWIZNFIHVVML-IAGOWNOFSA-N 1 2 315.417 1.312 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)C)nc2C)C1 ZINC001077689779 847065887 /nfs/dbraw/zinc/06/58/87/847065887.db2.gz FPHWIZNFIHVVML-IAGOWNOFSA-N 1 2 315.417 1.312 20 30 DDEDLO Cn1cc(C[N@@H+]2CCC[C@@]23CCN(CC(F)F)C3=O)cc1C#N ZINC001272820675 847500942 /nfs/dbraw/zinc/50/09/42/847500942.db2.gz DGIOREQFEFYZON-INIZCTEOSA-N 1 2 322.359 1.729 20 30 DDEDLO Cn1cc(C[N@H+]2CCC[C@@]23CCN(CC(F)F)C3=O)cc1C#N ZINC001272820675 847500950 /nfs/dbraw/zinc/50/09/50/847500950.db2.gz DGIOREQFEFYZON-INIZCTEOSA-N 1 2 322.359 1.729 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3ccc(Cl)nc3)C2)OCC1=O ZINC001272874969 847576983 /nfs/dbraw/zinc/57/69/83/847576983.db2.gz GJTIJHYSWKQSFL-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3ccc(Cl)nc3)C2)OCC1=O ZINC001272874969 847576989 /nfs/dbraw/zinc/57/69/89/847576989.db2.gz GJTIJHYSWKQSFL-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO N#CCCCN1C(=O)COCC12C[NH+](Cc1ccc(C#N)cc1)C2 ZINC001272908013 847620754 /nfs/dbraw/zinc/62/07/54/847620754.db2.gz OOTMTAJXDXSETE-UHFFFAOYSA-N 1 2 324.384 1.275 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3ccc4ccccc4c3)C2)OCC1=O ZINC001272925671 847645414 /nfs/dbraw/zinc/64/54/14/847645414.db2.gz JWULDZRIRFULRC-UHFFFAOYSA-N 1 2 320.392 1.886 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C2(C(F)F)CC2)C1 ZINC001149728186 861850950 /nfs/dbraw/zinc/85/09/50/861850950.db2.gz UZVXRKAVMMPHTH-LLVKDONJSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C2(C(F)F)CC2)C1 ZINC001149728186 861850973 /nfs/dbraw/zinc/85/09/73/861850973.db2.gz UZVXRKAVMMPHTH-LLVKDONJSA-N 1 2 322.783 1.991 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@@H+](Cc3cccnc3Cl)C2)OCC1=O ZINC001273192058 848666709 /nfs/dbraw/zinc/66/67/09/848666709.db2.gz AMFYMFSCACLDJV-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@H+](Cc3cccnc3Cl)C2)OCC1=O ZINC001273192058 848666714 /nfs/dbraw/zinc/66/67/14/848666714.db2.gz AMFYMFSCACLDJV-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(C(C)C)n1 ZINC001155427101 862074366 /nfs/dbraw/zinc/07/43/66/862074366.db2.gz ODQCZHNTADEATE-ZDUSSCGKSA-N 1 2 324.425 1.770 20 30 DDEDLO C=CCCC[N@@H+]1C[C@]2(F)CN(Cc3cnoc3)C(=O)[C@]2(F)C1 ZINC001273487815 851021748 /nfs/dbraw/zinc/02/17/48/851021748.db2.gz RRGUVWYIFAJFHC-LSDHHAIUSA-N 1 2 311.332 1.715 20 30 DDEDLO C=CCCC[N@H+]1C[C@]2(F)CN(Cc3cnoc3)C(=O)[C@]2(F)C1 ZINC001273487815 851021758 /nfs/dbraw/zinc/02/17/58/851021758.db2.gz RRGUVWYIFAJFHC-LSDHHAIUSA-N 1 2 311.332 1.715 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCOC2(C[NH+](CCCOC)C2)C1 ZINC001273630658 851166377 /nfs/dbraw/zinc/16/63/77/851166377.db2.gz UTNOECNBAFFMEY-UHFFFAOYSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C(/C)C1CC1)CO2 ZINC001273684619 851224903 /nfs/dbraw/zinc/22/49/03/851224903.db2.gz FJQHLCHGIWQWBD-MBSJSRAVSA-N 1 2 320.433 1.505 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2[C@@H](C)C(=O)OC(C)(C)C ZINC001273707957 851252442 /nfs/dbraw/zinc/25/24/42/851252442.db2.gz VOASRJIGFSJRAT-KSSFIOAISA-N 1 2 320.433 1.807 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2[C@@H](C)C(=O)OC(C)(C)C ZINC001273707957 851252451 /nfs/dbraw/zinc/25/24/51/851252451.db2.gz VOASRJIGFSJRAT-KSSFIOAISA-N 1 2 320.433 1.807 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)CCCOC ZINC001327507663 862225849 /nfs/dbraw/zinc/22/58/49/862225849.db2.gz KXLOVVGASCELDB-QGZVFWFLSA-N 1 2 300.402 1.589 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)CCCOC ZINC001327507663 862225866 /nfs/dbraw/zinc/22/58/66/862225866.db2.gz KXLOVVGASCELDB-QGZVFWFLSA-N 1 2 300.402 1.589 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@]3(CCN(CCCC#N)C3=O)C2)no1 ZINC001273770666 851324471 /nfs/dbraw/zinc/32/44/71/851324471.db2.gz REWHIFRSXYVBAK-HNNXBMFYSA-N 1 2 303.366 1.106 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@]3(CCN(CCCC#N)C3=O)C2)no1 ZINC001273770666 851324477 /nfs/dbraw/zinc/32/44/77/851324477.db2.gz REWHIFRSXYVBAK-HNNXBMFYSA-N 1 2 303.366 1.106 20 30 DDEDLO C#Cc1cccc(C[NH+]2CC3(C2)COCC(=O)N3CCCC#N)c1 ZINC001273792649 851347791 /nfs/dbraw/zinc/34/77/91/851347791.db2.gz WFQADKHPNNWIDO-UHFFFAOYSA-N 1 2 323.396 1.385 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1Cc2ccccc2C[N@H+]1CC#CC ZINC001273815000 851378553 /nfs/dbraw/zinc/37/85/53/851378553.db2.gz VQSGJPSTGPDCIF-SFHVURJKSA-N 1 2 312.413 1.755 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1Cc2ccccc2C[N@@H+]1CC#CC ZINC001273815000 851378561 /nfs/dbraw/zinc/37/85/61/851378561.db2.gz VQSGJPSTGPDCIF-SFHVURJKSA-N 1 2 312.413 1.755 20 30 DDEDLO CCOC(=O)[C@H](C)[N@@H+]1CCC[C@]12CCN(CCCC#N)C2=O ZINC001273913368 851496443 /nfs/dbraw/zinc/49/64/43/851496443.db2.gz NMUALVWEUYVGOV-XJKSGUPXSA-N 1 2 307.394 1.309 20 30 DDEDLO CCOC(=O)[C@H](C)[N@H+]1CCC[C@]12CCN(CCCC#N)C2=O ZINC001273913368 851496447 /nfs/dbraw/zinc/49/64/47/851496447.db2.gz NMUALVWEUYVGOV-XJKSGUPXSA-N 1 2 307.394 1.309 20 30 DDEDLO CCCc1nnc(C[NH2+]C/C=C/CNC(=O)[C@@H](C)C#N)s1 ZINC001273912240 851499605 /nfs/dbraw/zinc/49/96/05/851499605.db2.gz XBKSJMUWVOAZDW-ZWNMCFTASA-N 1 2 307.423 1.412 20 30 DDEDLO C=CCN1C(=O)C[C@]2(CCC[N@@H+](Cc3ncc(F)cn3)C2)C1=O ZINC001273941269 851531522 /nfs/dbraw/zinc/53/15/22/851531522.db2.gz KFLUXPQEZRARBB-INIZCTEOSA-N 1 2 318.352 1.143 20 30 DDEDLO C=CCN1C(=O)C[C@]2(CCC[N@H+](Cc3ncc(F)cn3)C2)C1=O ZINC001273941269 851531528 /nfs/dbraw/zinc/53/15/28/851531528.db2.gz KFLUXPQEZRARBB-INIZCTEOSA-N 1 2 318.352 1.143 20 30 DDEDLO COCCCN1C[C@@]2(F)C[N@H+](CCCCC#N)C[C@@]2(F)C1=O ZINC001274043680 851882605 /nfs/dbraw/zinc/88/26/05/851882605.db2.gz SGQVUSQOKYJVKC-LSDHHAIUSA-N 1 2 315.364 1.291 20 30 DDEDLO COCCCN1C[C@@]2(F)C[N@@H+](CCCCC#N)C[C@@]2(F)C1=O ZINC001274043680 851882613 /nfs/dbraw/zinc/88/26/13/851882613.db2.gz SGQVUSQOKYJVKC-LSDHHAIUSA-N 1 2 315.364 1.291 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](CC(C)(C)CO)C[C@@]2(F)C1=O ZINC001274055972 851895859 /nfs/dbraw/zinc/89/58/59/851895859.db2.gz ZEKOQLGOKPNBKJ-LSDHHAIUSA-N 1 2 302.365 1.155 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](CC(C)(C)CO)C[C@@]2(F)C1=O ZINC001274055972 851895865 /nfs/dbraw/zinc/89/58/65/851895865.db2.gz ZEKOQLGOKPNBKJ-LSDHHAIUSA-N 1 2 302.365 1.155 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H](CC)CC(C)C)CC2)C1 ZINC001274479418 852313876 /nfs/dbraw/zinc/31/38/76/852313876.db2.gz GLHFNMAZMMNJEA-INIZCTEOSA-N 1 2 305.466 1.520 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](Cc2coc(C)n2)[C@H](C)C1 ZINC001274622115 852456133 /nfs/dbraw/zinc/45/61/33/852456133.db2.gz AXSJRUMVQTVGPO-HIFRSBDPSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](Cc2coc(C)n2)[C@H](C)C1 ZINC001274622115 852456139 /nfs/dbraw/zinc/45/61/39/852456139.db2.gz AXSJRUMVQTVGPO-HIFRSBDPSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1cc(C#N)ccc1O ZINC001274667498 852504069 /nfs/dbraw/zinc/50/40/69/852504069.db2.gz OZBFCRDXCKXOQT-IBGZPJMESA-N 1 2 323.396 1.854 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1cc(C#N)ccc1O ZINC001274667498 852504074 /nfs/dbraw/zinc/50/40/74/852504074.db2.gz OZBFCRDXCKXOQT-IBGZPJMESA-N 1 2 323.396 1.854 20 30 DDEDLO C[C@H](C[NH2+]Cc1nc(C2CCC2)no1)NC(=O)CSCC#N ZINC001274679181 852514945 /nfs/dbraw/zinc/51/49/45/852514945.db2.gz RYNFHSGUPWVCMT-SNVBAGLBSA-N 1 2 323.422 1.188 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CCCOC(C)C)CC2)C1 ZINC001274872757 852653509 /nfs/dbraw/zinc/65/35/09/852653509.db2.gz UBBLGMNOKGBAMO-UHFFFAOYSA-N 1 2 322.449 1.518 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CCCOC(C)C)CC2)C1 ZINC001274872757 852653514 /nfs/dbraw/zinc/65/35/14/852653514.db2.gz UBBLGMNOKGBAMO-UHFFFAOYSA-N 1 2 322.449 1.518 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+](C)C[C@@H](C)NC(=O)C#CC(C)C ZINC001275553479 853327585 /nfs/dbraw/zinc/32/75/85/853327585.db2.gz ZJIJHZYLXKBQAE-GFCCVEGCSA-N 1 2 324.856 1.978 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+](C)C[C@@H](C)NC(=O)C#CC(C)C ZINC001275553479 853327593 /nfs/dbraw/zinc/32/75/93/853327593.db2.gz ZJIJHZYLXKBQAE-GFCCVEGCSA-N 1 2 324.856 1.978 20 30 DDEDLO Cc1ccc(CN2CC3(C2)C[NH+](CC(=O)N(C)C)C3)c(C#N)c1 ZINC001275616842 853441051 /nfs/dbraw/zinc/44/10/51/853441051.db2.gz OOUKUVKJGOMVAI-UHFFFAOYSA-N 1 2 312.417 1.073 20 30 DDEDLO C=CCCCC(=O)NC1(CCO)C[NH+](C[C@H]2CCCCO2)C1 ZINC001276075644 854709065 /nfs/dbraw/zinc/70/90/65/854709065.db2.gz MZLAVYAWDAMAFK-OAHLLOKOSA-N 1 2 310.438 1.465 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001156161687 862762952 /nfs/dbraw/zinc/76/29/52/862762952.db2.gz DOYGFFTYEOSEGA-CYBMUJFWSA-N 1 2 312.377 1.231 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cn(CC)nn2)[C@@H](C)C1 ZINC001328267021 862843062 /nfs/dbraw/zinc/84/30/62/862843062.db2.gz MPOZGBXPIPDOFA-UONOGXRCSA-N 1 2 319.453 1.979 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2cn(CC)nn2)[C@@H](C)C1 ZINC001328267021 862843076 /nfs/dbraw/zinc/84/30/76/862843076.db2.gz MPOZGBXPIPDOFA-UONOGXRCSA-N 1 2 319.453 1.979 20 30 DDEDLO CCn1ccc(C[N@@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)n1 ZINC001072721438 857640637 /nfs/dbraw/zinc/64/06/37/857640637.db2.gz RPEHJUGBGIRXLO-UHFFFAOYSA-N 1 2 312.417 1.351 20 30 DDEDLO CCn1ccc(C[N@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)n1 ZINC001072721438 857640641 /nfs/dbraw/zinc/64/06/41/857640641.db2.gz RPEHJUGBGIRXLO-UHFFFAOYSA-N 1 2 312.417 1.351 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC001156335902 862946703 /nfs/dbraw/zinc/94/67/03/862946703.db2.gz RYCNBCCOORMUQG-CYBMUJFWSA-N 1 2 312.377 1.231 20 30 DDEDLO C=CC[C@H](C(=O)NCC[NH2+]Cc1nc(C)no1)c1ccccc1 ZINC001151837715 862996624 /nfs/dbraw/zinc/99/66/24/862996624.db2.gz ARKKRVYCCGSWKU-HNNXBMFYSA-N 1 2 314.389 1.944 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@]3(C)CCC=CO3)n2CC)CC1 ZINC001121782121 858596349 /nfs/dbraw/zinc/59/63/49/858596349.db2.gz BIUBAYHCVKLPSM-KRWDZBQOSA-N 1 2 315.421 1.593 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@@H](C)[C@@H]2CN(C)CC[N@@H+]2C)C1 ZINC001123695582 859364085 /nfs/dbraw/zinc/36/40/85/859364085.db2.gz UGOHFLBERFCWHE-XHSDSOJGSA-N 1 2 306.454 1.066 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@@H](C)[C@@H]2CN(C)CC[N@H+]2C)C1 ZINC001123695582 859364087 /nfs/dbraw/zinc/36/40/87/859364087.db2.gz UGOHFLBERFCWHE-XHSDSOJGSA-N 1 2 306.454 1.066 20 30 DDEDLO N#CCSCC(=O)NCC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001123799096 859419238 /nfs/dbraw/zinc/41/92/38/859419238.db2.gz ISCSGDYOTWOMGU-UHFFFAOYSA-N 1 2 308.407 1.016 20 30 DDEDLO C=CCOCC(=O)NCC[NH2+][C@@H](C)c1nc(CCCC)no1 ZINC001124773237 859805211 /nfs/dbraw/zinc/80/52/11/859805211.db2.gz PIAHTGLUNZAZFZ-LBPRGKRZSA-N 1 2 310.398 1.382 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H](C)OCCC(C)C ZINC001276986752 881479055 /nfs/dbraw/zinc/47/90/55/881479055.db2.gz ZSRUXWPTPUZYKY-HOTGVXAUSA-N 1 2 310.438 1.278 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H](C)OCCC(C)C ZINC001276986752 881479047 /nfs/dbraw/zinc/47/90/47/881479047.db2.gz ZSRUXWPTPUZYKY-HOTGVXAUSA-N 1 2 310.438 1.278 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cccnc2NC(=O)C(C)(C)C)CC1 ZINC001138546311 860127331 /nfs/dbraw/zinc/12/73/31/860127331.db2.gz LHAWRWDZCIPREV-UHFFFAOYSA-N 1 2 314.433 1.817 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CC(N3C[C@H](C)O[C@@H](C)C3)C2)cc1 ZINC001138560764 860130622 /nfs/dbraw/zinc/13/06/22/860130622.db2.gz MXUCWTSRDMAJOT-HOTGVXAUSA-N 1 2 314.429 1.992 20 30 DDEDLO C#CCOc1ccc(CN2CC([NH+]3C[C@H](C)O[C@@H](C)C3)C2)cc1 ZINC001138560764 860130624 /nfs/dbraw/zinc/13/06/24/860130624.db2.gz MXUCWTSRDMAJOT-HOTGVXAUSA-N 1 2 314.429 1.992 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001139649916 860447264 /nfs/dbraw/zinc/44/72/64/860447264.db2.gz JEMODYFKOXOFJT-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001139649916 860447269 /nfs/dbraw/zinc/44/72/69/860447269.db2.gz JEMODYFKOXOFJT-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3nccnc3C#N)CC2)ccc1C ZINC001140160227 860585469 /nfs/dbraw/zinc/58/54/69/860585469.db2.gz SIAAFRJDSUCSSL-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO CCNC(=O)[C@@H]1CCC[N@@H+]1Cc1sc(N)c(C#N)c1Cl ZINC001141113961 860787738 /nfs/dbraw/zinc/78/77/38/860787738.db2.gz XDMSEUSJUNOQAT-VIFPVBQESA-N 1 2 312.826 1.956 20 30 DDEDLO CCNC(=O)[C@@H]1CCC[N@H+]1Cc1sc(N)c(C#N)c1Cl ZINC001141113961 860787741 /nfs/dbraw/zinc/78/77/41/860787741.db2.gz XDMSEUSJUNOQAT-VIFPVBQESA-N 1 2 312.826 1.956 20 30 DDEDLO C=CC(C)(C)C(=O)N(CCO)CC[NH2+]Cc1nc(C)c(C)o1 ZINC001326069872 861078147 /nfs/dbraw/zinc/07/81/47/861078147.db2.gz JSZRONOGVMCJTF-UHFFFAOYSA-N 1 2 309.410 1.414 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]CCNC(=O)CC#Cc2ccccc2)o1 ZINC001151958607 863079334 /nfs/dbraw/zinc/07/93/34/863079334.db2.gz LFOQURHIYQPUSJ-CYBMUJFWSA-N 1 2 312.373 1.587 20 30 DDEDLO C=C[C@@H](C(=O)NCC[NH2+]Cc1csnn1)c1ccccc1 ZINC001151990616 863094692 /nfs/dbraw/zinc/09/46/92/863094692.db2.gz KNFHNQZODKQNRC-CQSZACIVSA-N 1 2 302.403 1.714 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)c1cn[nH]c1 ZINC001152096290 863157995 /nfs/dbraw/zinc/15/79/95/863157995.db2.gz QWHSKYBRUZWRGE-SNVBAGLBSA-N 1 2 315.215 1.759 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)c1cn[nH]c1 ZINC001152096290 863157998 /nfs/dbraw/zinc/15/79/98/863157998.db2.gz QWHSKYBRUZWRGE-SNVBAGLBSA-N 1 2 315.215 1.759 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC(C[NH2+]CC(F)(F)C(F)F)C1 ZINC001328859259 863285832 /nfs/dbraw/zinc/28/58/32/863285832.db2.gz MWCHYYYGWRDNNC-WHXUTIOJSA-N 1 2 324.318 1.410 20 30 DDEDLO CC[N@H+](Cc1noc2c1COCC2)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152413325 863336824 /nfs/dbraw/zinc/33/68/24/863336824.db2.gz MNISZMDCILGJIM-VXGBXAGGSA-N 1 2 320.393 1.234 20 30 DDEDLO CC[N@@H+](Cc1noc2c1COCC2)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152413325 863336833 /nfs/dbraw/zinc/33/68/33/863336833.db2.gz MNISZMDCILGJIM-VXGBXAGGSA-N 1 2 320.393 1.234 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(CC)nn1 ZINC001153030897 863665983 /nfs/dbraw/zinc/66/59/83/863665983.db2.gz QDYKLCNTJIMEIP-CQSZACIVSA-N 1 2 305.426 1.428 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(CC)nn1 ZINC001153030897 863665988 /nfs/dbraw/zinc/66/59/88/863665988.db2.gz QDYKLCNTJIMEIP-CQSZACIVSA-N 1 2 305.426 1.428 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001153160587 863739043 /nfs/dbraw/zinc/73/90/43/863739043.db2.gz RPQHFDCUMULLSH-NEPJUHHUSA-N 1 2 324.425 1.725 20 30 DDEDLO C=CCC[C@H](C)[NH+]1CC(CCO)(NC(=O)c2cnns2)C1 ZINC001329638811 863765632 /nfs/dbraw/zinc/76/56/32/863765632.db2.gz RZKOVCYUZNXCDR-NSHDSACASA-N 1 2 310.423 1.059 20 30 DDEDLO C[C@H]1C[NH+](C2CN(c3ccc(Cl)c(C#N)n3)C2)C[C@H](C)O1 ZINC001158716809 864847281 /nfs/dbraw/zinc/84/72/81/864847281.db2.gz IMMBVYQRXHSLCV-QWRGUYRKSA-N 1 2 306.797 1.904 20 30 DDEDLO COC(=O)n1ncc(C#N)c1Nc1cc(N(C)C)[nH+]c(C)n1 ZINC001158967109 865006794 /nfs/dbraw/zinc/00/67/94/865006794.db2.gz HPOIDETUWSFXPX-UHFFFAOYSA-N 1 2 301.310 1.277 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)CN(C)C(=O)CSCC#N)c1csnn1 ZINC001331385508 865050183 /nfs/dbraw/zinc/05/01/83/865050183.db2.gz FMIPWHMGMRZKJC-VHSXEESVSA-N 1 2 313.452 1.292 20 30 DDEDLO COC(=O)c1[nH]c(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)cc1C ZINC001331567481 865158369 /nfs/dbraw/zinc/15/83/69/865158369.db2.gz WCPMXDQWSWEYBB-BETUJISGSA-N 1 2 304.394 1.528 20 30 DDEDLO COC(=O)c1[nH]c(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)cc1C ZINC001331567481 865158374 /nfs/dbraw/zinc/15/83/74/865158374.db2.gz WCPMXDQWSWEYBB-BETUJISGSA-N 1 2 304.394 1.528 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001332197453 865655779 /nfs/dbraw/zinc/65/57/79/865655779.db2.gz CBPZAFIMHBJGKP-CABCVRRESA-N 1 2 320.437 1.342 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001332197453 865655787 /nfs/dbraw/zinc/65/57/87/865655787.db2.gz CBPZAFIMHBJGKP-CABCVRRESA-N 1 2 320.437 1.342 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1ncc(C#N)cc1F ZINC001160697269 866043614 /nfs/dbraw/zinc/04/36/14/866043614.db2.gz UQORRIHTFNFEGC-LBPRGKRZSA-N 1 2 303.297 1.022 20 30 DDEDLO O=C(CCn1cc[nH+]c1)NC[C@H]1CCN1CC#Cc1ccccc1 ZINC001323279062 866496318 /nfs/dbraw/zinc/49/63/18/866496318.db2.gz RWQQQVLEGPXDSH-GOSISDBHSA-N 1 2 322.412 1.515 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nccc(C(F)(F)F)n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225707814 881988302 /nfs/dbraw/zinc/98/83/02/881988302.db2.gz CAFBKHMSDAXOOB-ZTEPNEHBSA-N 1 2 301.268 1.487 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nccc(C(F)(F)F)n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225707814 881988317 /nfs/dbraw/zinc/98/83/17/881988317.db2.gz CAFBKHMSDAXOOB-ZTEPNEHBSA-N 1 2 301.268 1.487 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC001320889673 867102285 /nfs/dbraw/zinc/10/22/85/867102285.db2.gz SISFMKOOAMSGEV-CYBMUJFWSA-N 1 2 307.394 1.476 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC001320889673 867102291 /nfs/dbraw/zinc/10/22/91/867102291.db2.gz SISFMKOOAMSGEV-CYBMUJFWSA-N 1 2 307.394 1.476 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNC(F)(F)c1cnc(Cl)cc1C#N ZINC001161949944 867130427 /nfs/dbraw/zinc/13/04/27/867130427.db2.gz MTORYBUNDIESMT-SECBINFHSA-N 1 2 318.711 1.136 20 30 DDEDLO C[C@@H](NC(=O)CCCn1cc[nH+]c1)[C@H](C)NC(=O)C#CC1CC1 ZINC001333902572 867138413 /nfs/dbraw/zinc/13/84/13/867138413.db2.gz LEJWECRVFVNKKF-KGLIPLIRSA-N 1 2 316.405 1.086 20 30 DDEDLO C=CCCC(=O)N(C)C1CCC(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001333964181 867195083 /nfs/dbraw/zinc/19/50/83/867195083.db2.gz BLNJKWWEWGQPQN-UHFFFAOYSA-N 1 2 318.421 1.735 20 30 DDEDLO C#CCN(C(C)=O)C1CC[NH+]([C@H](C)c2nnc(CC)o2)CC1 ZINC001324352793 867219662 /nfs/dbraw/zinc/21/96/62/867219662.db2.gz KKDYDDPMDOTLRX-GFCCVEGCSA-N 1 2 304.394 1.639 20 30 DDEDLO C#CCN(C(=O)C(C)C)C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001324361915 867228411 /nfs/dbraw/zinc/22/84/11/867228411.db2.gz JJFSUNLRSUIZAB-UHFFFAOYSA-N 1 2 302.422 1.502 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@]1(C)CC[N@@H+](Cc2nccc(C)n2)C1 ZINC001324604788 867395841 /nfs/dbraw/zinc/39/58/41/867395841.db2.gz BRXJFEVIPGBKCA-IAGOWNOFSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@]1(C)CC[N@H+](Cc2nccc(C)n2)C1 ZINC001324604788 867395860 /nfs/dbraw/zinc/39/58/60/867395860.db2.gz BRXJFEVIPGBKCA-IAGOWNOFSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC/C=C/C[NH2+]Cc1nocc1C ZINC001321292604 867466683 /nfs/dbraw/zinc/46/66/83/867466683.db2.gz WYVOGLDVSVHXHV-QNKPMFPBSA-N 1 2 319.405 1.726 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]([NH3+])CNc1ncnc2ccc(C#N)cc21 ZINC001162850025 867868512 /nfs/dbraw/zinc/86/85/12/867868512.db2.gz QWHINPBYGKORSD-LBPRGKRZSA-N 1 2 313.361 1.582 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C[NH2+]Cc2nc(CC)no2)cc1 ZINC001321946367 867889460 /nfs/dbraw/zinc/88/94/60/867889460.db2.gz GZICIFRNINGMFG-LBPRGKRZSA-N 1 2 312.373 1.521 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(c3nccn4c[nH+]cc34)C2)nc1 ZINC001334999955 867985730 /nfs/dbraw/zinc/98/57/30/867985730.db2.gz IMRQGBPYOXKJBR-ZDUSSCGKSA-N 1 2 306.329 1.654 20 30 DDEDLO N#Cc1cncc(Br)c1N[C@H]1C[NH+]2CCC1CC2 ZINC001163395129 868416729 /nfs/dbraw/zinc/41/67/29/868416729.db2.gz NOJHNBUQQMFLSV-LBPRGKRZSA-N 1 2 307.195 1.644 20 30 DDEDLO C=CCc1cc(C)c[nH+]c1NCc1noc(C(=O)N(C)C)n1 ZINC001164253113 869062634 /nfs/dbraw/zinc/06/26/34/869062634.db2.gz NFSBHTLIPUOXFS-UHFFFAOYSA-N 1 2 301.350 1.815 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(c3ncc4c(C#N)c[nH]c4n3)C2)CCO1 ZINC001165131915 869401369 /nfs/dbraw/zinc/40/13/69/869401369.db2.gz SXAMUXPEYSIUJR-UHFFFAOYSA-N 1 2 312.377 1.129 20 30 DDEDLO CC1(C)C[N@H+](C2CN(c3ncc4c(C#N)c[nH]c4n3)C2)CCO1 ZINC001165131915 869401376 /nfs/dbraw/zinc/40/13/76/869401376.db2.gz SXAMUXPEYSIUJR-UHFFFAOYSA-N 1 2 312.377 1.129 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC001338029325 869753127 /nfs/dbraw/zinc/75/31/27/869753127.db2.gz OXQSEFUBKKLPTH-CQSZACIVSA-N 1 2 315.421 1.690 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC001338029325 869753135 /nfs/dbraw/zinc/75/31/35/869753135.db2.gz OXQSEFUBKKLPTH-CQSZACIVSA-N 1 2 315.421 1.690 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCn2cc[nH+]c2)[C@H]1C ZINC001338269050 869895626 /nfs/dbraw/zinc/89/56/26/869895626.db2.gz YFZHUJOLBCSIOP-GJZGRUSLSA-N 1 2 318.421 1.735 20 30 DDEDLO C#Cc1cncc(C(=O)NCCC[NH2+]CC(F)(F)C(F)F)c1 ZINC001166305139 869948745 /nfs/dbraw/zinc/94/87/45/869948745.db2.gz MKRUNNHMWDREGO-UHFFFAOYSA-N 1 2 317.286 1.673 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@H](CNC(=O)C#CC(C)C)C2)[nH]1 ZINC001317130221 870313601 /nfs/dbraw/zinc/31/36/01/870313601.db2.gz GLAGRKDMYQQPDN-CQSZACIVSA-N 1 2 303.410 1.101 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@H](CNC(=O)C#CC(C)C)C2)[nH]1 ZINC001317130221 870313617 /nfs/dbraw/zinc/31/36/17/870313617.db2.gz GLAGRKDMYQQPDN-CQSZACIVSA-N 1 2 303.410 1.101 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)C(C)C)n2CC=C)CC1 ZINC001339910432 870777776 /nfs/dbraw/zinc/77/77/76/870777776.db2.gz FEIGEQAHZHPWFS-HNNXBMFYSA-N 1 2 301.438 1.979 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@H+]1[C@H](C)c1nncn1C ZINC001317499986 870926023 /nfs/dbraw/zinc/92/60/23/870926023.db2.gz SZVJQYFXZFFYPN-KGLIPLIRSA-N 1 2 303.410 1.260 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@@H+]1[C@H](C)c1nncn1C ZINC001317499986 870926031 /nfs/dbraw/zinc/92/60/31/870926031.db2.gz SZVJQYFXZFFYPN-KGLIPLIRSA-N 1 2 303.410 1.260 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC[C@@H]2CNC(=O)C#CC2CC2)c(C)o1 ZINC001317505456 870942897 /nfs/dbraw/zinc/94/28/97/870942897.db2.gz PWCOLJPHRKOUEV-OAHLLOKOSA-N 1 2 301.390 1.785 20 30 DDEDLO Cc1nc(C[N@H+]2CCC[C@@H]2CNC(=O)C#CC2CC2)c(C)o1 ZINC001317505456 870942906 /nfs/dbraw/zinc/94/29/06/870942906.db2.gz PWCOLJPHRKOUEV-OAHLLOKOSA-N 1 2 301.390 1.785 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@@H+](Cc2nnc(CC)o2)C1 ZINC001317521010 870981012 /nfs/dbraw/zinc/98/10/12/870981012.db2.gz UCWOGJTYULLYMT-CYBMUJFWSA-N 1 2 306.410 1.926 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@H+](Cc2nnc(CC)o2)C1 ZINC001317521010 870981020 /nfs/dbraw/zinc/98/10/20/870981020.db2.gz UCWOGJTYULLYMT-CYBMUJFWSA-N 1 2 306.410 1.926 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[N@@H+](Cc2nnc(C(C)C)[nH]2)C1 ZINC001317524488 870991932 /nfs/dbraw/zinc/99/19/32/870991932.db2.gz YCNGETSYPDJTGY-CYBMUJFWSA-N 1 2 321.425 1.069 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[N@H+](Cc2nnc(C(C)C)[nH]2)C1 ZINC001317524488 870991944 /nfs/dbraw/zinc/99/19/44/870991944.db2.gz YCNGETSYPDJTGY-CYBMUJFWSA-N 1 2 321.425 1.069 20 30 DDEDLO N#Cc1ccccc1/C=C\C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC001302061498 871040936 /nfs/dbraw/zinc/04/09/36/871040936.db2.gz UMAGJKOGDVKLKA-OGZRUICASA-N 1 2 307.357 1.468 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+](Cc2ccc(CC)cc2)CC1 ZINC001303084102 871099810 /nfs/dbraw/zinc/09/98/10/871099810.db2.gz RZPXRQXIWWMWEM-SFHVURJKSA-N 1 2 302.418 1.830 20 30 DDEDLO CN(CC[NH2+]Cc1nnc(C(C)(C)C)o1)C(=O)C#CC1CC1 ZINC001317584774 871141604 /nfs/dbraw/zinc/14/16/04/871141604.db2.gz ICOJWISURSDNQU-UHFFFAOYSA-N 1 2 304.394 1.329 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@]1(F)CCOC1 ZINC001316844937 871266953 /nfs/dbraw/zinc/26/69/53/871266953.db2.gz HDEVFLDDPULVQC-LBPRGKRZSA-N 1 2 323.206 1.462 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@]1(F)CCOC1 ZINC001316844937 871266971 /nfs/dbraw/zinc/26/69/71/871266971.db2.gz HDEVFLDDPULVQC-LBPRGKRZSA-N 1 2 323.206 1.462 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@H]2CC=CCC2)C1 ZINC001317979395 871669452 /nfs/dbraw/zinc/66/94/52/871669452.db2.gz KCLCSVBETUUVKJ-HOTGVXAUSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@H]2CC=CCC2)C1 ZINC001317979395 871669458 /nfs/dbraw/zinc/66/94/58/871669458.db2.gz KCLCSVBETUUVKJ-HOTGVXAUSA-N 1 2 319.449 1.616 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1C[NH+](C[C@@H](O)Cc2ccccc2)C1 ZINC001318060585 871720218 /nfs/dbraw/zinc/72/02/18/871720218.db2.gz IHFLTWCEBQBWKT-PKOBYXMFSA-N 1 2 316.445 1.993 20 30 DDEDLO C=C(C)CN(CC)c1nnc(C[NH+]2CCC(CO)CC2)n1C ZINC001341666773 871765039 /nfs/dbraw/zinc/76/50/39/871765039.db2.gz IBQGEDIEISEITQ-UHFFFAOYSA-N 1 2 307.442 1.422 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)C ZINC001318187736 871804917 /nfs/dbraw/zinc/80/49/17/871804917.db2.gz QIIJVPHIXPOWOO-JYJNAYRXSA-N 1 2 321.465 1.741 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)C ZINC001318187736 871804930 /nfs/dbraw/zinc/80/49/30/871804930.db2.gz QIIJVPHIXPOWOO-JYJNAYRXSA-N 1 2 321.465 1.741 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)C1 ZINC001342228392 872086459 /nfs/dbraw/zinc/08/64/59/872086459.db2.gz JVOAPRLJNZMUAA-RBSFLKMASA-N 1 2 311.426 1.082 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCC)C1 ZINC001206171058 872111808 /nfs/dbraw/zinc/11/18/08/872111808.db2.gz XWDVNMXXQKNXDE-ADEWGFFLSA-N 1 2 319.243 1.757 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCC)C1 ZINC001206171058 872111817 /nfs/dbraw/zinc/11/18/17/872111817.db2.gz XWDVNMXXQKNXDE-ADEWGFFLSA-N 1 2 319.243 1.757 20 30 DDEDLO C=CCN(CC=C)c1nnc(C[N@@H+]2CCC[C@@H](O)C2)n1CC ZINC001342870316 872418428 /nfs/dbraw/zinc/41/84/28/872418428.db2.gz PHWFXGKJTMREFL-CQSZACIVSA-N 1 2 305.426 1.433 20 30 DDEDLO C=CCN(CC=C)c1nnc(C[N@H+]2CCC[C@@H](O)C2)n1CC ZINC001342870316 872418433 /nfs/dbraw/zinc/41/84/33/872418433.db2.gz PHWFXGKJTMREFL-CQSZACIVSA-N 1 2 305.426 1.433 20 30 DDEDLO N#CCNCC1CCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC001206762849 872557381 /nfs/dbraw/zinc/55/73/81/872557381.db2.gz XCQPMDXFKAPNRN-UHFFFAOYSA-N 1 2 323.400 1.838 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2C[N@H+](CCOC(C)C)CCO2)C1 ZINC001319323156 872576387 /nfs/dbraw/zinc/57/63/87/872576387.db2.gz VDWGFVAYSNLVDA-OAHLLOKOSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2C[N@@H+](CCOC(C)C)CCO2)C1 ZINC001319323156 872576405 /nfs/dbraw/zinc/57/64/05/872576405.db2.gz VDWGFVAYSNLVDA-OAHLLOKOSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@@H+](Cc2coc(C)n2)C[C@H]1C ZINC001206782385 872577174 /nfs/dbraw/zinc/57/71/74/872577174.db2.gz OMGKRIQEPYLOJD-CZUORRHYSA-N 1 2 321.421 1.902 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@H+](Cc2coc(C)n2)C[C@H]1C ZINC001206782385 872577186 /nfs/dbraw/zinc/57/71/86/872577186.db2.gz OMGKRIQEPYLOJD-CZUORRHYSA-N 1 2 321.421 1.902 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CCSCC3)[nH+]cn2)C1 ZINC001343738766 872741745 /nfs/dbraw/zinc/74/17/45/872741745.db2.gz MYVLYLXXAXEHFG-CYBMUJFWSA-N 1 2 306.435 1.811 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CCSCC3)nc[nH+]2)C1 ZINC001343738766 872741749 /nfs/dbraw/zinc/74/17/49/872741749.db2.gz MYVLYLXXAXEHFG-CYBMUJFWSA-N 1 2 306.435 1.811 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)on1 ZINC001206947056 872826076 /nfs/dbraw/zinc/82/60/76/872826076.db2.gz CWLVTPZXTJUTMW-TZMCWYRMSA-N 1 2 305.378 1.279 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)on1 ZINC001206947056 872826079 /nfs/dbraw/zinc/82/60/79/872826079.db2.gz CWLVTPZXTJUTMW-TZMCWYRMSA-N 1 2 305.378 1.279 20 30 DDEDLO C[NH+](C)[C@H](C(=O)N1CCC(CNCC#N)CC1)c1cccnc1 ZINC001206955782 872844150 /nfs/dbraw/zinc/84/41/50/872844150.db2.gz HFVGLAUFNARNIG-INIZCTEOSA-N 1 2 315.421 1.036 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]1CN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001344167555 872906126 /nfs/dbraw/zinc/90/61/26/872906126.db2.gz WNGDDANOUBBZCX-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]1CN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001344167555 872906137 /nfs/dbraw/zinc/90/61/37/872906137.db2.gz WNGDDANOUBBZCX-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001381788202 882669071 /nfs/dbraw/zinc/66/90/71/882669071.db2.gz OYFGALRBKSWXJY-RYUDHWBXSA-N 1 2 313.829 1.092 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@](C)(O)CC)n2CC(C)C)CC1 ZINC001344364149 872986604 /nfs/dbraw/zinc/98/66/04/872986604.db2.gz PWCBVBOZOJJTSA-QGZVFWFLSA-N 1 2 319.453 1.307 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cnn2ccncc12 ZINC001378066466 874057196 /nfs/dbraw/zinc/05/71/96/874057196.db2.gz WERMATUPIDVOII-NSHDSACASA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cnn2ccncc12 ZINC001378066466 874057210 /nfs/dbraw/zinc/05/72/10/874057210.db2.gz WERMATUPIDVOII-NSHDSACASA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cc2ncccn2n1 ZINC001378158689 874286226 /nfs/dbraw/zinc/28/62/26/874286226.db2.gz IODOZRUKZGLXML-LLVKDONJSA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cc2ncccn2n1 ZINC001378158689 874286231 /nfs/dbraw/zinc/28/62/31/874286231.db2.gz IODOZRUKZGLXML-LLVKDONJSA-N 1 2 307.785 1.532 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001348454044 874569852 /nfs/dbraw/zinc/56/98/52/874569852.db2.gz KUOYURZWKUHFCD-DLBZAZTESA-N 1 2 317.433 1.879 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001348454044 874569860 /nfs/dbraw/zinc/56/98/60/874569860.db2.gz KUOYURZWKUHFCD-DLBZAZTESA-N 1 2 317.433 1.879 20 30 DDEDLO C#CC1(O)CCN(C(=O)NCc2ccccc2-n2cc[nH+]c2)CC1 ZINC001348483830 874587853 /nfs/dbraw/zinc/58/78/53/874587853.db2.gz RMTFZNZBRYXZEP-UHFFFAOYSA-N 1 2 324.384 1.542 20 30 DDEDLO N#CC1(NC(=O)C2=NO[C@@H]3C[N@H+](Cc4ccoc4)C[C@H]23)CCC1 ZINC001277181954 882842645 /nfs/dbraw/zinc/84/26/45/882842645.db2.gz FQBNAHIVOCMRBN-QWHCGFSZSA-N 1 2 314.345 1.029 20 30 DDEDLO N#CC1(NC(=O)C2=NO[C@@H]3C[N@@H+](Cc4ccoc4)C[C@H]23)CCC1 ZINC001277181954 882842665 /nfs/dbraw/zinc/84/26/65/882842665.db2.gz FQBNAHIVOCMRBN-QWHCGFSZSA-N 1 2 314.345 1.029 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1CCCOCOC ZINC001209024232 874612309 /nfs/dbraw/zinc/61/23/09/874612309.db2.gz GFZLDVFBDLSEDT-HNNXBMFYSA-N 1 2 324.425 1.410 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1CCCOCOC ZINC001209024232 874612316 /nfs/dbraw/zinc/61/23/16/874612316.db2.gz GFZLDVFBDLSEDT-HNNXBMFYSA-N 1 2 324.425 1.410 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+](Cc2ncc(CC)o2)CC1 ZINC001227116009 882850922 /nfs/dbraw/zinc/85/09/22/882850922.db2.gz ZMEVQGQVOANMTI-KRWDZBQOSA-N 1 2 321.421 1.645 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)CCc2ncccn2)C1 ZINC001378557211 875124878 /nfs/dbraw/zinc/12/48/78/875124878.db2.gz NEWCDUDEDFFIIT-GFCCVEGCSA-N 1 2 308.813 1.598 20 30 DDEDLO N#Cc1ccnc(C[N@@H+]2C[C@@H]3COC[C@H](C2)N3Cc2ccc[nH]2)c1 ZINC001276688485 875493842 /nfs/dbraw/zinc/49/38/42/875493842.db2.gz YTCWGFHLTGVZCJ-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccnc(C[N@H+]2C[C@@H]3COC[C@H](C2)N3Cc2ccc[nH]2)c1 ZINC001276688485 875493849 /nfs/dbraw/zinc/49/38/49/875493849.db2.gz YTCWGFHLTGVZCJ-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+]([C@H](C)c2nc(C)no2)CC1 ZINC001227299618 882962868 /nfs/dbraw/zinc/96/28/68/882962868.db2.gz VZGXAZRSSDAJDD-GFCCVEGCSA-N 1 2 320.393 1.060 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](N(C)C(=O)CCn2cc[nH+]c2)C1 ZINC001350724043 875856363 /nfs/dbraw/zinc/85/63/63/875856363.db2.gz MGXKYMATVOSLHD-AWEZNQCLSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001350945236 875975740 /nfs/dbraw/zinc/97/57/40/875975740.db2.gz HYYLXGWDWZMDRS-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(CC)C(=O)NCc1ccccc1OCC[NH+]1CCOCC1 ZINC001351081027 876048518 /nfs/dbraw/zinc/04/85/18/876048518.db2.gz QNRFTATVPYKWGR-UHFFFAOYSA-N 1 2 318.417 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cc(OC)ns1 ZINC001379038215 876195343 /nfs/dbraw/zinc/19/53/43/876195343.db2.gz BMQINBFFZNZSAH-UHFFFAOYSA-N 1 2 303.815 1.908 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cc(OC)ns1 ZINC001379038215 876195349 /nfs/dbraw/zinc/19/53/49/876195349.db2.gz BMQINBFFZNZSAH-UHFFFAOYSA-N 1 2 303.815 1.908 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)CCCC ZINC001351606163 876343897 /nfs/dbraw/zinc/34/38/97/876343897.db2.gz WRRKQHOGBZBYPX-KBPBESRZSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)CCCC ZINC001351606163 876343910 /nfs/dbraw/zinc/34/39/10/876343910.db2.gz WRRKQHOGBZBYPX-KBPBESRZSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCCNC(=O)Cn1cc[nH+]c1 ZINC001351654548 876367841 /nfs/dbraw/zinc/36/78/41/876367841.db2.gz QAZIJCXLLQFAKB-UHFFFAOYSA-N 1 2 306.410 1.450 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001215366025 876677318 /nfs/dbraw/zinc/67/73/18/876677318.db2.gz WWQDHIDHXJVYFQ-YRGRVCCFSA-N 1 2 306.410 1.917 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)CCC1 ZINC001353506460 877385209 /nfs/dbraw/zinc/38/52/09/877385209.db2.gz NKHJCLBOBZUAQT-ZDUSSCGKSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)C1CCCC1 ZINC001276771957 877404797 /nfs/dbraw/zinc/40/47/97/877404797.db2.gz LFKXAICVZKAPNZ-MRXNPFEDSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)C1CCCC1 ZINC001276771957 877404814 /nfs/dbraw/zinc/40/48/14/877404814.db2.gz LFKXAICVZKAPNZ-MRXNPFEDSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001287536123 912288018 /nfs/dbraw/zinc/28/80/18/912288018.db2.gz FUANXMPGXWLOPJ-CQSZACIVSA-N 1 2 318.421 1.518 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219209284 878017455 /nfs/dbraw/zinc/01/74/55/878017455.db2.gz ZAAHOLGILNTSHB-XZJROXQQSA-N 1 2 319.380 1.405 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219209284 878017472 /nfs/dbraw/zinc/01/74/72/878017472.db2.gz ZAAHOLGILNTSHB-XZJROXQQSA-N 1 2 319.380 1.405 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@@H]1O ZINC001219591571 878372624 /nfs/dbraw/zinc/37/26/24/878372624.db2.gz KTLCVSKGKJILJQ-CVEARBPZSA-N 1 2 318.804 1.415 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@@H]1O ZINC001219591571 878372641 /nfs/dbraw/zinc/37/26/41/878372641.db2.gz KTLCVSKGKJILJQ-CVEARBPZSA-N 1 2 318.804 1.415 20 30 DDEDLO C[C@H](CCNC(=O)C#CC1CC1)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001355146997 878446384 /nfs/dbraw/zinc/44/63/84/878446384.db2.gz QRBFVJDWYXQLJD-GFCCVEGCSA-N 1 2 324.384 1.601 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1O)OCC ZINC001219732161 878481201 /nfs/dbraw/zinc/48/12/01/878481201.db2.gz PFGDWRQSSNBQRO-ZKZVFAFKSA-N 1 2 316.829 1.272 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1O)OCC ZINC001219732161 878481208 /nfs/dbraw/zinc/48/12/08/878481208.db2.gz PFGDWRQSSNBQRO-ZKZVFAFKSA-N 1 2 316.829 1.272 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)CCNC(=O)CCc1c[nH+]cn1C ZINC001355816994 878730767 /nfs/dbraw/zinc/73/07/67/878730767.db2.gz AMFKZBQRIYIZIQ-QGZVFWFLSA-N 1 2 320.437 1.530 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001356996719 879671358 /nfs/dbraw/zinc/67/13/58/879671358.db2.gz REEVLXPAZDYUEL-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)/C(C)=C\CC)[C@@H]2C1 ZINC001221363173 879716393 /nfs/dbraw/zinc/71/63/93/879716393.db2.gz ZYOHVLQKPNTBMY-CGHRZIEDSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)/C(C)=C\CC)[C@@H]2C1 ZINC001221363173 879716406 /nfs/dbraw/zinc/71/64/06/879716406.db2.gz ZYOHVLQKPNTBMY-CGHRZIEDSA-N 1 2 305.422 1.178 20 30 DDEDLO Cc1nonc1C[N@H+]1CCC[C@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001380467583 879716356 /nfs/dbraw/zinc/71/63/56/879716356.db2.gz ZKSCYCGBGDOGQE-XHDPSFHLSA-N 1 2 305.382 1.256 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCC[C@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001380467583 879716371 /nfs/dbraw/zinc/71/63/71/879716371.db2.gz ZKSCYCGBGDOGQE-XHDPSFHLSA-N 1 2 305.382 1.256 20 30 DDEDLO CC(C)C[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)NC(=O)C#CC1CC1 ZINC001357045847 879738720 /nfs/dbraw/zinc/73/87/20/879738720.db2.gz KHRHLDVGICKINJ-HNNXBMFYSA-N 1 2 316.405 1.013 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](CNC(=O)CCn1cc[nH+]c1)C(C)C ZINC001357106962 879798570 /nfs/dbraw/zinc/79/85/70/879798570.db2.gz BLPZMMVQVNRSOZ-HNNXBMFYSA-N 1 2 318.421 1.190 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(C)CCN(C)C(=O)CCn1cc[nH+]c1 ZINC001357264316 879884276 /nfs/dbraw/zinc/88/42/76/879884276.db2.gz YHIMMJAIDTYQLQ-GJZGRUSLSA-N 1 2 320.437 1.648 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@@H+]([C@@H](C)c3cnccn3)C[C@H]21 ZINC001221533164 879929322 /nfs/dbraw/zinc/92/93/22/879929322.db2.gz BETVVHARDMAJIH-ZMSDIMECSA-N 1 2 312.417 1.730 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@H+]([C@@H](C)c3cnccn3)C[C@H]21 ZINC001221533164 879929329 /nfs/dbraw/zinc/92/93/29/879929329.db2.gz BETVVHARDMAJIH-ZMSDIMECSA-N 1 2 312.417 1.730 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)CCC(F)(F)F ZINC001276824956 880054459 /nfs/dbraw/zinc/05/44/59/880054459.db2.gz XAAUVALNADROBV-MNOVXSKESA-N 1 2 321.343 1.210 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)CCC(F)(F)F ZINC001276824956 880054470 /nfs/dbraw/zinc/05/44/70/880054470.db2.gz XAAUVALNADROBV-MNOVXSKESA-N 1 2 321.343 1.210 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCC)C[C@H]21 ZINC001221761023 880065406 /nfs/dbraw/zinc/06/54/06/880065406.db2.gz XJKAYUOWEUFFHX-BZUAXINKSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCC)C[C@H]21 ZINC001221761023 880065416 /nfs/dbraw/zinc/06/54/16/880065416.db2.gz XJKAYUOWEUFFHX-BZUAXINKSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CNC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001358464022 880440691 /nfs/dbraw/zinc/44/06/91/880440691.db2.gz UZORCQFIANQIBA-LBPRGKRZSA-N 1 2 314.389 1.843 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1CCn1cc(Cl)cn1 ZINC001276899681 881000441 /nfs/dbraw/zinc/00/04/41/881000441.db2.gz PLZDAEJPQQALRY-CYBMUJFWSA-N 1 2 310.829 1.939 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+]1CCn1cc(Cl)cn1 ZINC001276899681 881000459 /nfs/dbraw/zinc/00/04/59/881000459.db2.gz PLZDAEJPQQALRY-CYBMUJFWSA-N 1 2 310.829 1.939 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)CC1CC(C)(C)C1 ZINC001276908458 881043761 /nfs/dbraw/zinc/04/37/61/881043761.db2.gz IPVHOKIMMVUBQY-ZFWWWQNUSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)CC1CC(C)(C)C1 ZINC001276908458 881043777 /nfs/dbraw/zinc/04/37/77/881043777.db2.gz IPVHOKIMMVUBQY-ZFWWWQNUSA-N 1 2 321.465 1.694 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001276915979 881077903 /nfs/dbraw/zinc/07/79/03/881077903.db2.gz HOXWQKBQXBQLBN-WMLDXEAASA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001276915979 881077917 /nfs/dbraw/zinc/07/79/17/881077917.db2.gz HOXWQKBQXBQLBN-WMLDXEAASA-N 1 2 307.438 1.402 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)CCc1ccccc1C ZINC001276930091 881141214 /nfs/dbraw/zinc/14/12/14/881141214.db2.gz CZIFORMLYZKUNB-GOSISDBHSA-N 1 2 314.429 1.768 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)CCc1ccccc1C ZINC001276930091 881141218 /nfs/dbraw/zinc/14/12/18/881141218.db2.gz CZIFORMLYZKUNB-GOSISDBHSA-N 1 2 314.429 1.768 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3cccnc3)n2C2CC2)CC1 ZINC001359037176 881164931 /nfs/dbraw/zinc/16/49/31/881164931.db2.gz YOTCFXPUSZMQQD-UHFFFAOYSA-N 1 2 322.416 1.354 20 30 DDEDLO COCC(=O)N[C@H]1CC[N@H+](Cc2cc(F)ccc2C#N)[C@H](C)C1 ZINC001381170300 881181605 /nfs/dbraw/zinc/18/16/05/881181605.db2.gz RXKGBUQAJYLOEW-WBMJQRKESA-N 1 2 319.380 1.813 20 30 DDEDLO COCC(=O)N[C@H]1CC[N@@H+](Cc2cc(F)ccc2C#N)[C@H](C)C1 ZINC001381170300 881181608 /nfs/dbraw/zinc/18/16/08/881181608.db2.gz RXKGBUQAJYLOEW-WBMJQRKESA-N 1 2 319.380 1.813 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224166217 881251343 /nfs/dbraw/zinc/25/13/43/881251343.db2.gz ZLULPBMMBMHKKP-UONOGXRCSA-N 1 2 319.453 1.602 20 30 DDEDLO C=CCCCC(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224273298 881306097 /nfs/dbraw/zinc/30/60/97/881306097.db2.gz WBRMBOFIXBWWPY-UHFFFAOYSA-N 1 2 305.426 1.500 20 30 DDEDLO COc1cccc([N+](=O)[O-])c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001227701615 883139008 /nfs/dbraw/zinc/13/90/08/883139008.db2.gz NOZHZRXIIXPGAF-DSRGUXITSA-N 1 2 306.318 1.595 20 30 DDEDLO COc1cccc([N+](=O)[O-])c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001227701615 883139014 /nfs/dbraw/zinc/13/90/14/883139014.db2.gz NOZHZRXIIXPGAF-DSRGUXITSA-N 1 2 306.318 1.595 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1CC[NH+](Cc2nccn2C)CC1 ZINC001228759935 883656172 /nfs/dbraw/zinc/65/61/72/883656172.db2.gz GHFUTTWZPHVNCG-KBPBESRZSA-N 1 2 304.438 1.959 20 30 DDEDLO C=CCCNC(=S)N1C[C@@H]2COC[C@H](C1)[N@@H+]2C1CCCC1 ZINC001277401232 884061534 /nfs/dbraw/zinc/06/15/34/884061534.db2.gz BSOPENZURUSPEL-GASCZTMLSA-N 1 2 309.479 1.765 20 30 DDEDLO C=CCCNC(=S)N1C[C@@H]2COC[C@H](C1)[N@H+]2C1CCCC1 ZINC001277401232 884061551 /nfs/dbraw/zinc/06/15/51/884061551.db2.gz BSOPENZURUSPEL-GASCZTMLSA-N 1 2 309.479 1.765 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)CC(C)(C)C)C1=O ZINC001230788780 884884171 /nfs/dbraw/zinc/88/41/71/884884171.db2.gz ULHTVPOYBHFLNW-LSDHHAIUSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CC(C)(C)C)C1=O ZINC001230788780 884884185 /nfs/dbraw/zinc/88/41/85/884884185.db2.gz ULHTVPOYBHFLNW-LSDHHAIUSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C(\C)C1CC1 ZINC001230947999 885074835 /nfs/dbraw/zinc/07/48/35/885074835.db2.gz JYBJWWWORQZWTP-NRMKIYEFSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C(\C)C1CC1 ZINC001230947999 885074851 /nfs/dbraw/zinc/07/48/51/885074851.db2.gz JYBJWWWORQZWTP-NRMKIYEFSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001231095606 885216489 /nfs/dbraw/zinc/21/64/89/885216489.db2.gz SJOJUBPVIYNBLU-CABCVRRESA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001231095606 885216508 /nfs/dbraw/zinc/21/65/08/885216508.db2.gz SJOJUBPVIYNBLU-CABCVRRESA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H](C)CCC ZINC001231131677 885269254 /nfs/dbraw/zinc/26/92/54/885269254.db2.gz PDJUZXUHCGFDJO-LSDHHAIUSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H](C)CCC ZINC001231131677 885269274 /nfs/dbraw/zinc/26/92/74/885269274.db2.gz PDJUZXUHCGFDJO-LSDHHAIUSA-N 1 2 309.454 1.648 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001231215440 885385424 /nfs/dbraw/zinc/38/54/24/885385424.db2.gz GRVRYVZHTRDMQK-CHWSQXEVSA-N 1 2 321.446 1.935 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001231215440 885385436 /nfs/dbraw/zinc/38/54/36/885385436.db2.gz GRVRYVZHTRDMQK-CHWSQXEVSA-N 1 2 321.446 1.935 20 30 DDEDLO COc1cccc(C[N@@H+]2CC[C@H]2CN(C)C(=O)[C@H](C)C#N)n1 ZINC001231247087 885427000 /nfs/dbraw/zinc/42/70/00/885427000.db2.gz KSGLUQDRKRVUDS-OCCSQVGLSA-N 1 2 302.378 1.283 20 30 DDEDLO COc1cccc(C[N@H+]2CC[C@H]2CN(C)C(=O)[C@H](C)C#N)n1 ZINC001231247087 885427006 /nfs/dbraw/zinc/42/70/06/885427006.db2.gz KSGLUQDRKRVUDS-OCCSQVGLSA-N 1 2 302.378 1.283 20 30 DDEDLO N#Cc1ccc(CCC[N@H+]2Cc3ccnn3CC[C@H]2C(N)=O)cc1 ZINC001277589564 885961976 /nfs/dbraw/zinc/96/19/76/885961976.db2.gz NGWPGQQFJYKSTF-KRWDZBQOSA-N 1 2 323.400 1.447 20 30 DDEDLO N#Cc1ccc(CCC[N@@H+]2Cc3ccnn3CC[C@H]2C(N)=O)cc1 ZINC001277589564 885961980 /nfs/dbraw/zinc/96/19/80/885961980.db2.gz NGWPGQQFJYKSTF-KRWDZBQOSA-N 1 2 323.400 1.447 20 30 DDEDLO Cn1cc(C[N@@H+]2CCC23CN(c2ccc(C#N)cn2)C3)cc1C#N ZINC001277687829 886493088 /nfs/dbraw/zinc/49/30/88/886493088.db2.gz YYGNDYWDCYLQLJ-UHFFFAOYSA-N 1 2 318.384 1.628 20 30 DDEDLO Cn1cc(C[N@H+]2CCC23CN(c2ccc(C#N)cn2)C3)cc1C#N ZINC001277687829 886493102 /nfs/dbraw/zinc/49/31/02/886493102.db2.gz YYGNDYWDCYLQLJ-UHFFFAOYSA-N 1 2 318.384 1.628 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@@H](C#N)CC2)cc1S(N)(=O)=O ZINC001233303983 886912094 /nfs/dbraw/zinc/91/20/94/886912094.db2.gz VQFLBFXTCSVZDH-GFCCVEGCSA-N 1 2 323.418 1.468 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@@H](C#N)CC2)cc1S(N)(=O)=O ZINC001233303983 886912103 /nfs/dbraw/zinc/91/21/03/886912103.db2.gz VQFLBFXTCSVZDH-GFCCVEGCSA-N 1 2 323.418 1.468 20 30 DDEDLO C[C@H]1CN(C2C[NH+](Cc3ccc(C#N)cc3O)C2)C[C@H](C)O1 ZINC001233387988 886967115 /nfs/dbraw/zinc/96/71/15/886967115.db2.gz HPRNQVITIWAMMC-STQMWFEESA-N 1 2 301.390 1.557 20 30 DDEDLO COc1nc(C[NH+]2CCN(c3ccc(C#N)nc3)CC2)ccc1C ZINC001363690392 886985555 /nfs/dbraw/zinc/98/55/55/886985555.db2.gz IQMDPUHROISPQQ-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cnc(Cl)n1C ZINC001374517090 913124424 /nfs/dbraw/zinc/12/44/24/913124424.db2.gz KKYBBWQCCJNVQR-UHFFFAOYSA-N 1 2 305.209 1.830 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cnc(Cl)n1C ZINC001374517090 913124428 /nfs/dbraw/zinc/12/44/28/913124428.db2.gz KKYBBWQCCJNVQR-UHFFFAOYSA-N 1 2 305.209 1.830 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1csnc1C ZINC001233705176 887240203 /nfs/dbraw/zinc/24/02/03/887240203.db2.gz AQBCSNWQMBJSFJ-CYBMUJFWSA-N 1 2 307.419 1.248 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1csnc1C ZINC001233705176 887240222 /nfs/dbraw/zinc/24/02/22/887240222.db2.gz AQBCSNWQMBJSFJ-CYBMUJFWSA-N 1 2 307.419 1.248 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)Cc1ccc(C)o1 ZINC001233742321 887267778 /nfs/dbraw/zinc/26/77/78/887267778.db2.gz OWNLWPJWMHAZHC-OAHLLOKOSA-N 1 2 304.390 1.313 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)Cc1ccc(C)o1 ZINC001233742321 887267794 /nfs/dbraw/zinc/26/77/94/887267794.db2.gz OWNLWPJWMHAZHC-OAHLLOKOSA-N 1 2 304.390 1.313 20 30 DDEDLO CCC(=O)NC1CC[NH+](CC(=O)Nc2cccc(C#N)c2)CC1 ZINC001363840072 887364085 /nfs/dbraw/zinc/36/40/85/887364085.db2.gz WXJHOZIQHYBZBN-UHFFFAOYSA-N 1 2 314.389 1.487 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@H](C)CC ZINC001233965397 887504620 /nfs/dbraw/zinc/50/46/20/887504620.db2.gz CEMHKBBQQMQJLU-HUUCEWRRSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@H](C)CC ZINC001233965397 887504630 /nfs/dbraw/zinc/50/46/30/887504630.db2.gz CEMHKBBQQMQJLU-HUUCEWRRSA-N 1 2 307.438 1.237 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ocnc1C ZINC001233978777 887522873 /nfs/dbraw/zinc/52/28/73/887522873.db2.gz WRDCYJMZNVNDHX-XJKSGUPXSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ocnc1C ZINC001233978777 887522886 /nfs/dbraw/zinc/52/28/86/887522886.db2.gz WRDCYJMZNVNDHX-XJKSGUPXSA-N 1 2 307.394 1.343 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncnc4cc(F)c(F)cc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234028428 887566093 /nfs/dbraw/zinc/56/60/93/887566093.db2.gz OLHIXAJTYPMKPJ-PEXMSHKASA-N 1 2 319.311 1.899 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncnc4cc(F)c(F)cc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234028428 887566098 /nfs/dbraw/zinc/56/60/98/887566098.db2.gz OLHIXAJTYPMKPJ-PEXMSHKASA-N 1 2 319.311 1.899 20 30 DDEDLO COc1cccc(C[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)n1 ZINC001234179419 887718376 /nfs/dbraw/zinc/71/83/76/887718376.db2.gz UJDDBXJSVBMPBE-INIZCTEOSA-N 1 2 313.401 1.536 20 30 DDEDLO COc1cccc(C[N@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)n1 ZINC001234179419 887718390 /nfs/dbraw/zinc/71/83/90/887718390.db2.gz UJDDBXJSVBMPBE-INIZCTEOSA-N 1 2 313.401 1.536 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1(C)CC1 ZINC001234464213 887992647 /nfs/dbraw/zinc/99/26/47/887992647.db2.gz ABZJAJHVSZOZDA-HNNXBMFYSA-N 1 2 319.449 1.520 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1(C)CC1 ZINC001234464213 887992652 /nfs/dbraw/zinc/99/26/52/887992652.db2.gz ABZJAJHVSZOZDA-HNNXBMFYSA-N 1 2 319.449 1.520 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CC ZINC001234538247 888069032 /nfs/dbraw/zinc/06/90/32/888069032.db2.gz WXMOMZRNARGORB-OAHLLOKOSA-N 1 2 323.481 1.990 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CC ZINC001234538247 888069041 /nfs/dbraw/zinc/06/90/41/888069041.db2.gz WXMOMZRNARGORB-OAHLLOKOSA-N 1 2 323.481 1.990 20 30 DDEDLO C[N@@H+]1CCN(C(=O)c2cccc(SCC#N)c2)[C@H](CO)C1 ZINC001364226638 888176402 /nfs/dbraw/zinc/17/64/02/888176402.db2.gz PYMJPCCEMRUQJZ-ZDUSSCGKSA-N 1 2 305.403 1.051 20 30 DDEDLO C[N@H+]1CCN(C(=O)c2cccc(SCC#N)c2)[C@H](CO)C1 ZINC001364226638 888176407 /nfs/dbraw/zinc/17/64/07/888176407.db2.gz PYMJPCCEMRUQJZ-ZDUSSCGKSA-N 1 2 305.403 1.051 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)[C@@H](C)c1nnnn1C1CC1 ZINC001235451055 888651772 /nfs/dbraw/zinc/65/17/72/888651772.db2.gz BBESRPPTAQGQBM-LBPRGKRZSA-N 1 2 306.414 1.473 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)[C@@H](C)c1nnnn1C1CC1 ZINC001235451055 888651777 /nfs/dbraw/zinc/65/17/77/888651777.db2.gz BBESRPPTAQGQBM-LBPRGKRZSA-N 1 2 306.414 1.473 20 30 DDEDLO C=CCOC[C@@H]1C[N@@H+](CCCO)Cc2nnn(CC3CC3)c21 ZINC001235529466 888714814 /nfs/dbraw/zinc/71/48/14/888714814.db2.gz GBXAIYFTLNIBAN-AWEZNQCLSA-N 1 2 306.410 1.172 20 30 DDEDLO C=CCOC[C@@H]1C[N@H+](CCCO)Cc2nnn(CC3CC3)c21 ZINC001235529466 888714821 /nfs/dbraw/zinc/71/48/21/888714821.db2.gz GBXAIYFTLNIBAN-AWEZNQCLSA-N 1 2 306.410 1.172 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCC1(F)F ZINC001235686479 888884639 /nfs/dbraw/zinc/88/46/39/888884639.db2.gz TXZUCKOPODZDRV-CHWSQXEVSA-N 1 2 300.349 1.214 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCC1(F)F ZINC001235686479 888884650 /nfs/dbraw/zinc/88/46/50/888884650.db2.gz TXZUCKOPODZDRV-CHWSQXEVSA-N 1 2 300.349 1.214 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1(C)CC[NH+](Cc2ccon2)CC1 ZINC001278046560 889485243 /nfs/dbraw/zinc/48/52/43/889485243.db2.gz SDLFBSATXGGYDN-INIZCTEOSA-N 1 2 307.394 1.472 20 30 DDEDLO CNC(=O)N[C@H]1CCC[N@H+](Cc2cn3cc(C#N)ccc3n2)C1 ZINC001237607876 889748605 /nfs/dbraw/zinc/74/86/05/889748605.db2.gz CDJKAQSYORGZOM-ZDUSSCGKSA-N 1 2 312.377 1.099 20 30 DDEDLO CNC(=O)N[C@H]1CCC[N@@H+](Cc2cn3cc(C#N)ccc3n2)C1 ZINC001237607876 889748609 /nfs/dbraw/zinc/74/86/09/889748609.db2.gz CDJKAQSYORGZOM-ZDUSSCGKSA-N 1 2 312.377 1.099 20 30 DDEDLO N#CC1(CS(=O)(=O)NCc2[nH]c3c([nH+]2)CCCC3)CCCC1 ZINC001364979887 889807834 /nfs/dbraw/zinc/80/78/34/889807834.db2.gz CLISHSXHBYCXDM-UHFFFAOYSA-N 1 2 322.434 1.792 20 30 DDEDLO C=CCOCc1ncn2c1C[N@H+](Cc1cnnn1C)CCC2 ZINC001238160183 890092764 /nfs/dbraw/zinc/09/27/64/890092764.db2.gz ZFQKVEXABSFOPD-UHFFFAOYSA-N 1 2 302.382 1.120 20 30 DDEDLO C=CCOCc1ncn2c1C[N@@H+](Cc1cnnn1C)CCC2 ZINC001238160183 890092777 /nfs/dbraw/zinc/09/27/77/890092777.db2.gz ZFQKVEXABSFOPD-UHFFFAOYSA-N 1 2 302.382 1.120 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C#N)s1 ZINC001365202020 890332717 /nfs/dbraw/zinc/33/27/17/890332717.db2.gz CWWKBNRDNOLTII-GFCCVEGCSA-N 1 2 322.434 1.530 20 30 DDEDLO CC(C)COC(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001278327748 891141864 /nfs/dbraw/zinc/14/18/64/891141864.db2.gz LPUBBMXJGBDKAO-UHFFFAOYSA-N 1 2 316.405 1.807 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@]1(C)CC[N@H+](Cc2ncc(C)o2)C1 ZINC001278387627 891898757 /nfs/dbraw/zinc/89/87/57/891898757.db2.gz CTXIWLHRFQQRGB-CZUORRHYSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@]1(C)CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001278387627 891898773 /nfs/dbraw/zinc/89/87/73/891898773.db2.gz CTXIWLHRFQQRGB-CZUORRHYSA-N 1 2 305.378 1.102 20 30 DDEDLO N#Cc1cc(F)cc(-c2noc(CC[NH+]3CCOCC3)n2)c1 ZINC001247448229 893194382 /nfs/dbraw/zinc/19/43/82/893194382.db2.gz BDIIIYQDMPOWSC-UHFFFAOYSA-N 1 2 302.309 1.622 20 30 DDEDLO CCc1cc(C#N)ccc1C[N@@H+]1CCOC[C@@H]1CC(=O)OC ZINC001248752744 893683105 /nfs/dbraw/zinc/68/31/05/893683105.db2.gz YWOCRPHHNDXZOS-INIZCTEOSA-N 1 2 302.374 1.885 20 30 DDEDLO CCc1cc(C#N)ccc1C[N@H+]1CCOC[C@@H]1CC(=O)OC ZINC001248752744 893683113 /nfs/dbraw/zinc/68/31/13/893683113.db2.gz YWOCRPHHNDXZOS-INIZCTEOSA-N 1 2 302.374 1.885 20 30 DDEDLO CC1(C)CN(Cc2cccc(C#N)c2F)CC[N@@H+]1CC(N)=O ZINC001249688020 894107179 /nfs/dbraw/zinc/10/71/79/894107179.db2.gz XTTKLSHMKPNFLL-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC1(C)CN(Cc2cccc(C#N)c2F)CC[N@H+]1CC(N)=O ZINC001249688020 894107187 /nfs/dbraw/zinc/10/71/87/894107187.db2.gz XTTKLSHMKPNFLL-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(C)(CC)CC)[C@H]1C ZINC001278533305 894116716 /nfs/dbraw/zinc/11/67/16/894116716.db2.gz QEFAJHBKNIRRTH-CABCVRRESA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(C)(CC)CC)[C@H]1C ZINC001278533305 894116719 /nfs/dbraw/zinc/11/67/19/894116719.db2.gz QEFAJHBKNIRRTH-CABCVRRESA-N 1 2 321.465 1.531 20 30 DDEDLO CC(C)c1nnc(C[N@@H+]2CC[C@@H](CNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001366687538 894588767 /nfs/dbraw/zinc/58/87/67/894588767.db2.gz JNCSCZXTNJNLDJ-NEPJUHHUSA-N 1 2 304.398 1.026 20 30 DDEDLO CC(C)c1nnc(C[N@H+]2CC[C@@H](CNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001366687538 894588774 /nfs/dbraw/zinc/58/87/74/894588774.db2.gz JNCSCZXTNJNLDJ-NEPJUHHUSA-N 1 2 304.398 1.026 20 30 DDEDLO C=CCOC[C@H](O)C[NH+]1CC(C)(NC(=O)OC(C)(C)C)C1 ZINC001252469029 895180711 /nfs/dbraw/zinc/18/07/11/895180711.db2.gz UGZQRDIYYQGDEQ-GFCCVEGCSA-N 1 2 300.399 1.149 20 30 DDEDLO C=CCOC[C@@H](O)CNc1ccccc1C[NH+]1CCOCC1 ZINC001252476534 895195323 /nfs/dbraw/zinc/19/53/23/895195323.db2.gz OLWLESFJVHRCJS-INIZCTEOSA-N 1 2 306.406 1.494 20 30 DDEDLO C=CCC[C@H](O)CNc1[nH+]c2ccccc2n1CCNC(C)=O ZINC001252594369 895296117 /nfs/dbraw/zinc/29/61/17/895296117.db2.gz WXDSMDBYTYSPHI-AWEZNQCLSA-N 1 2 316.405 1.911 20 30 DDEDLO CCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001367024482 895607888 /nfs/dbraw/zinc/60/78/88/895607888.db2.gz UUCDOZFREHMLDR-IUODEOHRSA-N 1 2 319.380 1.813 20 30 DDEDLO CCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001367024482 895607896 /nfs/dbraw/zinc/60/78/96/895607896.db2.gz UUCDOZFREHMLDR-IUODEOHRSA-N 1 2 319.380 1.813 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H](NC(=O)[C@H](C)C#N)CC(C)(C)C2)[nH]1 ZINC001388833502 896012073 /nfs/dbraw/zinc/01/20/73/896012073.db2.gz DEGYSLCTQDBUKQ-DGCLKSJQSA-N 1 2 318.425 1.380 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H](NC(=O)[C@H](C)C#N)CC(C)(C)C2)[nH]1 ZINC001388833502 896012088 /nfs/dbraw/zinc/01/20/88/896012088.db2.gz DEGYSLCTQDBUKQ-DGCLKSJQSA-N 1 2 318.425 1.380 20 30 DDEDLO N#C[C@@H]1CC[C@@H]([NH2+]CCNC(=O)C(F)(F)Br)C1 ZINC001254653719 896549024 /nfs/dbraw/zinc/54/90/24/896549024.db2.gz PPVFDCOXEVURSY-HTQZYQBOSA-N 1 2 310.142 1.372 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@@H+]([C@H]2CC[C@H](C#N)C2)C[C@@H]1CO ZINC001254667254 896565784 /nfs/dbraw/zinc/56/57/84/896565784.db2.gz BPFOIQQUIXHIBW-MELADBBJSA-N 1 2 309.410 1.592 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@H+]([C@H]2CC[C@H](C#N)C2)C[C@@H]1CO ZINC001254667254 896565793 /nfs/dbraw/zinc/56/57/93/896565793.db2.gz BPFOIQQUIXHIBW-MELADBBJSA-N 1 2 309.410 1.592 20 30 DDEDLO CC[C@H](CC#N)N1CC[NH+](CCNC(=O)OC(C)(C)C)CC1 ZINC001255166343 896760277 /nfs/dbraw/zinc/76/02/77/896760277.db2.gz JGZAYQRKGLRYGI-CQSZACIVSA-N 1 2 310.442 1.821 20 30 DDEDLO CC[C@H](CC#N)[N@@H+]1CC[C@H]2[C@H]1CCN2C(=O)c1cnn(C)c1 ZINC001255168497 896763974 /nfs/dbraw/zinc/76/39/74/896763974.db2.gz RQKQFLFHLVIFGD-KFWWJZLASA-N 1 2 301.394 1.401 20 30 DDEDLO CC[C@H](CC#N)[N@H+]1CC[C@H]2[C@H]1CCN2C(=O)c1cnn(C)c1 ZINC001255168497 896763990 /nfs/dbraw/zinc/76/39/90/896763990.db2.gz RQKQFLFHLVIFGD-KFWWJZLASA-N 1 2 301.394 1.401 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H](NC(=O)CC2CC2)C1 ZINC001389353593 897007455 /nfs/dbraw/zinc/00/74/55/897007455.db2.gz BQWCWKCSGUZOPB-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H](NC(=O)CC2CC2)C1 ZINC001389353593 897007467 /nfs/dbraw/zinc/00/74/67/897007467.db2.gz BQWCWKCSGUZOPB-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cc(OC)n(C)n1 ZINC001367523067 897031209 /nfs/dbraw/zinc/03/12/09/897031209.db2.gz NHKPGSPGWLLWTR-UHFFFAOYSA-N 1 2 300.790 1.185 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cc(OC)n(C)n1 ZINC001367523067 897031219 /nfs/dbraw/zinc/03/12/19/897031219.db2.gz NHKPGSPGWLLWTR-UHFFFAOYSA-N 1 2 300.790 1.185 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)CN1CCCCCC1=O ZINC001367915713 898182262 /nfs/dbraw/zinc/18/22/62/898182262.db2.gz UUJRUTFXHDTCEV-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)CN1CCCCCC1=O ZINC001367915713 898182271 /nfs/dbraw/zinc/18/22/71/898182271.db2.gz UUJRUTFXHDTCEV-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H](C)OC)C1 ZINC001368160042 898855356 /nfs/dbraw/zinc/85/53/56/898855356.db2.gz REZVTZPLKMTRIZ-ZYHUDNBSSA-N 1 2 305.216 1.511 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H](C)OC)C1 ZINC001368160042 898855375 /nfs/dbraw/zinc/85/53/75/898855375.db2.gz REZVTZPLKMTRIZ-ZYHUDNBSSA-N 1 2 305.216 1.511 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N1CC[N@H+](C)C[C@@H]1c1ccccc1 ZINC001261411894 899554035 /nfs/dbraw/zinc/55/40/35/899554035.db2.gz NREJJHYXJZRMBG-MRXNPFEDSA-N 1 2 315.417 1.584 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N1CC[N@@H+](C)C[C@@H]1c1ccccc1 ZINC001261411894 899554044 /nfs/dbraw/zinc/55/40/44/899554044.db2.gz NREJJHYXJZRMBG-MRXNPFEDSA-N 1 2 315.417 1.584 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1nnn(C(C)(C)C)n1 ZINC001390900638 900472660 /nfs/dbraw/zinc/47/26/60/900472660.db2.gz IDMXMKCXULLRTB-UHFFFAOYSA-N 1 2 314.821 1.232 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1nnn(C(C)(C)C)n1 ZINC001390900638 900472666 /nfs/dbraw/zinc/47/26/66/900472666.db2.gz IDMXMKCXULLRTB-UHFFFAOYSA-N 1 2 314.821 1.232 20 30 DDEDLO C=C[C@@H](OC(=O)[C@H]1CCC[N@@H+]1Cc1ccccc1)C(=O)OC ZINC001263526874 900631791 /nfs/dbraw/zinc/63/17/91/900631791.db2.gz NAZRPKFMLZWFKX-HUUCEWRRSA-N 1 2 303.358 1.922 20 30 DDEDLO C=C[C@@H](OC(=O)[C@H]1CCC[N@H+]1Cc1ccccc1)C(=O)OC ZINC001263526874 900631799 /nfs/dbraw/zinc/63/17/99/900631799.db2.gz NAZRPKFMLZWFKX-HUUCEWRRSA-N 1 2 303.358 1.922 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C/C=C(/C)C=C ZINC001263808228 900723109 /nfs/dbraw/zinc/72/31/09/900723109.db2.gz YONCVYFSBVDMON-XIEDVDOYSA-N 1 2 305.422 1.344 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C/C=C(/C)C=C ZINC001263808228 900723116 /nfs/dbraw/zinc/72/31/16/900723116.db2.gz YONCVYFSBVDMON-XIEDVDOYSA-N 1 2 305.422 1.344 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@H]1CC13CC3)C2 ZINC001264191762 901011160 /nfs/dbraw/zinc/01/11/60/901011160.db2.gz XSWOWLCTEGYYAK-LLVKDONJSA-N 1 2 301.415 1.551 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](F)c1ccccc1 ZINC001264373657 901056012 /nfs/dbraw/zinc/05/60/12/901056012.db2.gz YEGONLJSNIBMFK-IRXDYDNUSA-N 1 2 318.392 1.880 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](F)c1ccccc1 ZINC001264373657 901056028 /nfs/dbraw/zinc/05/60/28/901056028.db2.gz YEGONLJSNIBMFK-IRXDYDNUSA-N 1 2 318.392 1.880 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)C1CC1 ZINC001391171278 901128330 /nfs/dbraw/zinc/12/83/30/901128330.db2.gz GIGPQBYBKOYJDB-SEBNEYGDSA-N 1 2 310.825 1.743 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)C1CC1 ZINC001391171278 901128341 /nfs/dbraw/zinc/12/83/41/901128341.db2.gz GIGPQBYBKOYJDB-SEBNEYGDSA-N 1 2 310.825 1.743 20 30 DDEDLO C[C@@H]1CCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)[C@H](CNCC#N)C1 ZINC001264553523 901181090 /nfs/dbraw/zinc/18/10/90/901181090.db2.gz PQOWOSVMTHNIIU-FMKPAKJESA-N 1 2 315.421 1.186 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283790 901819026 /nfs/dbraw/zinc/81/90/26/901819026.db2.gz JAZBQIBDMXEMDH-GJZGRUSLSA-N 1 2 321.465 1.531 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283790 901819030 /nfs/dbraw/zinc/81/90/30/901819030.db2.gz JAZBQIBDMXEMDH-GJZGRUSLSA-N 1 2 321.465 1.531 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C2(NC(C)=O)CCCC2)C1 ZINC001391505423 901874903 /nfs/dbraw/zinc/87/49/03/901874903.db2.gz BJUOBLGCFNUEBQ-UHFFFAOYSA-N 1 2 313.829 1.236 20 30 DDEDLO C#CCCCC(=O)NC[C@H]([NH2+][C@@H](C)c1noc(C)n1)C1CC1 ZINC001265352772 901916204 /nfs/dbraw/zinc/91/62/04/901916204.db2.gz XVBZYVQOJMZJSB-FZMZJTMJSA-N 1 2 304.394 1.727 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]([NH2+]Cc2nc(CC)no2)C2CC2)C1 ZINC001265370766 901936894 /nfs/dbraw/zinc/93/68/94/901936894.db2.gz YAKQLEAVCZVCHN-ZDUSSCGKSA-N 1 2 318.421 1.973 20 30 DDEDLO Cn1ccnc1C[N@H+](C)[C@H]1CCCN(C(=O)CSCC#N)C1 ZINC001266090729 902922222 /nfs/dbraw/zinc/92/22/22/902922222.db2.gz QGRWONAHFGLILN-ZDUSSCGKSA-N 1 2 321.450 1.100 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)[C@H]1CCCN(C(=O)CSCC#N)C1 ZINC001266090729 902922232 /nfs/dbraw/zinc/92/22/32/902922232.db2.gz QGRWONAHFGLILN-ZDUSSCGKSA-N 1 2 321.450 1.100 20 30 DDEDLO CC#CC[N@H+](C)[C@@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001266100029 902939605 /nfs/dbraw/zinc/93/96/05/902939605.db2.gz RCUKEAIIZCJREG-CABCVRRESA-N 1 2 314.433 1.776 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001266100029 902939613 /nfs/dbraw/zinc/93/96/13/902939613.db2.gz RCUKEAIIZCJREG-CABCVRRESA-N 1 2 314.433 1.776 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](CCCNC(=O)[C@@H](C)C#N)C2CC2)[nH]1 ZINC001266126390 902989873 /nfs/dbraw/zinc/98/98/73/902989873.db2.gz WKXHYTFXAIMDMK-WDEREUQCSA-N 1 2 304.398 1.305 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](CCCNC(=O)[C@@H](C)C#N)C2CC2)[nH]1 ZINC001266126390 902989882 /nfs/dbraw/zinc/98/98/82/902989882.db2.gz WKXHYTFXAIMDMK-WDEREUQCSA-N 1 2 304.398 1.305 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C1CC[NH+](Cc2nonc2C)CC1 ZINC001266181583 903072784 /nfs/dbraw/zinc/07/27/84/903072784.db2.gz JWHXEULWHZYHGY-MRXNPFEDSA-N 1 2 322.409 1.128 20 30 DDEDLO CCc1noc(C[NH2+]C[C@@H](C)N(C)C(=O)c2ccc(C#N)[nH]2)n1 ZINC001392063150 903206126 /nfs/dbraw/zinc/20/61/26/903206126.db2.gz QHYDBQJMCWCALC-SNVBAGLBSA-N 1 2 316.365 1.082 20 30 DDEDLO C=CCCCC(=O)N1CCC(NC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001293794537 914705666 /nfs/dbraw/zinc/70/56/66/914705666.db2.gz AZDONCXLGYMBRU-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@@H]2CCN(C)C2=O)CCC1 ZINC001316601103 903514692 /nfs/dbraw/zinc/51/46/92/903514692.db2.gz AWULBCQALQKNLO-HUUCEWRRSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2[C@@H]2CCN(C)C2=O)CCC1 ZINC001316601103 903514702 /nfs/dbraw/zinc/51/47/02/903514702.db2.gz AWULBCQALQKNLO-HUUCEWRRSA-N 1 2 319.449 1.496 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280316121 903592704 /nfs/dbraw/zinc/59/27/04/903592704.db2.gz APSGHYVEHJAOON-KBPBESRZSA-N 1 2 318.421 1.545 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280316121 903592713 /nfs/dbraw/zinc/59/27/13/903592713.db2.gz APSGHYVEHJAOON-KBPBESRZSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1c(Cl)cnn1C ZINC001375038352 914737195 /nfs/dbraw/zinc/73/71/95/914737195.db2.gz MXZKUSUDZQRBPD-SECBINFHSA-N 1 2 305.209 1.876 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1c(Cl)cnn1C ZINC001375038352 914737208 /nfs/dbraw/zinc/73/72/08/914737208.db2.gz MXZKUSUDZQRBPD-SECBINFHSA-N 1 2 305.209 1.876 20 30 DDEDLO CC(C)[C@@H](CCNC(=O)Cc1[nH]cc[nH+]1)NC(=O)C#CC1CC1 ZINC001280926152 904198185 /nfs/dbraw/zinc/19/81/85/904198185.db2.gz ADUAFYGEYMWQSZ-CQSZACIVSA-N 1 2 316.405 1.013 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)Cc1ccc(F)cc1)O2 ZINC001280931925 904204118 /nfs/dbraw/zinc/20/41/18/904204118.db2.gz QXONXRVHRMXWBB-MRXNPFEDSA-N 1 2 318.392 1.904 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)CN(C)C(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001281043868 904350519 /nfs/dbraw/zinc/35/05/19/904350519.db2.gz ZXDLXPGFAQLCFF-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001316608332 904354296 /nfs/dbraw/zinc/35/42/96/904354296.db2.gz GQNCIQOHOXDJHD-SNVBAGLBSA-N 1 2 321.343 1.162 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001316608332 904354309 /nfs/dbraw/zinc/35/43/09/904354309.db2.gz GQNCIQOHOXDJHD-SNVBAGLBSA-N 1 2 321.343 1.162 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)C(C)(C)COC ZINC001281062200 904364887 /nfs/dbraw/zinc/36/48/87/904364887.db2.gz DULQTMKRDWOYMM-KRWDZBQOSA-N 1 2 314.429 1.835 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)C(C)(C)COC ZINC001281062200 904364900 /nfs/dbraw/zinc/36/49/00/904364900.db2.gz DULQTMKRDWOYMM-KRWDZBQOSA-N 1 2 314.429 1.835 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](C)N(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001281181629 904537380 /nfs/dbraw/zinc/53/73/80/904537380.db2.gz WNRRQWHPVNPIRK-STQMWFEESA-N 1 2 304.394 1.414 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001281234054 904598492 /nfs/dbraw/zinc/59/84/92/904598492.db2.gz FGLGGFBEAXWOLQ-GJZGRUSLSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(CC)[C@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001281496130 904936867 /nfs/dbraw/zinc/93/68/67/904936867.db2.gz QYVRXRXOAUKNSI-UONOGXRCSA-N 1 2 320.437 1.908 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H](C[NH2+]Cc2cnsn2)C2CC2)c1 ZINC001392764847 905184736 /nfs/dbraw/zinc/18/47/36/905184736.db2.gz VSOSYUPUEDWXQK-ZDUSSCGKSA-N 1 2 316.390 1.036 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C1C[NH+](Cc2cnc(C)cn2)C1 ZINC001282707045 905969898 /nfs/dbraw/zinc/96/98/98/905969898.db2.gz QUEVLONJJGEWCH-AWEZNQCLSA-N 1 2 300.406 1.525 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H](O)C(C)C ZINC001372511329 907492142 /nfs/dbraw/zinc/49/21/42/907492142.db2.gz ZBNIMWSHFWMHNQ-VXGBXAGGSA-N 1 2 319.243 1.493 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H](O)C(C)C ZINC001372511329 907492150 /nfs/dbraw/zinc/49/21/50/907492150.db2.gz ZBNIMWSHFWMHNQ-VXGBXAGGSA-N 1 2 319.243 1.493 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@H](C[NH2+]Cc1nnn(C)n1)C1CC1 ZINC001283532368 907550098 /nfs/dbraw/zinc/55/00/98/907550098.db2.gz NEOMXCGINLTQAN-CZUORRHYSA-N 1 2 320.441 1.139 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001284000357 908286701 /nfs/dbraw/zinc/28/67/01/908286701.db2.gz CEYGXBZIFVNNRS-KBPBESRZSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001284026802 908339344 /nfs/dbraw/zinc/33/93/44/908339344.db2.gz QZPCDJCUKVWPCN-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCCC[C@@H]2CNC(=O)[C@@H](C)C#N)nc1 ZINC001394201637 909060502 /nfs/dbraw/zinc/06/05/02/909060502.db2.gz BZGPYJXCEQBREB-LSDHHAIUSA-N 1 2 315.421 1.806 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCCC[C@@H]2CNC(=O)[C@@H](C)C#N)nc1 ZINC001394201637 909060520 /nfs/dbraw/zinc/06/05/20/909060520.db2.gz BZGPYJXCEQBREB-LSDHHAIUSA-N 1 2 315.421 1.806 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001284524713 909141624 /nfs/dbraw/zinc/14/16/24/909141624.db2.gz IAXLIDXFMLGMLT-CYBMUJFWSA-N 1 2 306.410 1.621 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)C(C)C ZINC001284545762 909167399 /nfs/dbraw/zinc/16/73/99/909167399.db2.gz RLRLPJSJZOGMBR-ZSHCYNCHSA-N 1 2 321.465 1.504 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)C(C)C ZINC001284545762 909167403 /nfs/dbraw/zinc/16/74/03/909167403.db2.gz RLRLPJSJZOGMBR-ZSHCYNCHSA-N 1 2 321.465 1.504 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CCNC(=O)CCn1cc[nH+]c1 ZINC001284559688 909183615 /nfs/dbraw/zinc/18/36/15/909183615.db2.gz XZWVOSSCGRKTJO-UHFFFAOYSA-N 1 2 306.410 1.450 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2CC=C(CNC(=O)C#CC3CC3)CC2)n1 ZINC001284909336 909711464 /nfs/dbraw/zinc/71/14/64/909711464.db2.gz KDGMTHSHCCKXHX-GFCCVEGCSA-N 1 2 314.389 1.601 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2CC=C(CNC(=O)C#CC3CC3)CC2)n1 ZINC001284909336 909711481 /nfs/dbraw/zinc/71/14/81/909711481.db2.gz KDGMTHSHCCKXHX-GFCCVEGCSA-N 1 2 314.389 1.601 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](CC)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001285084279 910048106 /nfs/dbraw/zinc/04/81/06/910048106.db2.gz ZFJTXOOFNUNORZ-QWHCGFSZSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](CC)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001285084279 910048124 /nfs/dbraw/zinc/04/81/24/910048124.db2.gz ZFJTXOOFNUNORZ-QWHCGFSZSA-N 1 2 320.437 1.812 20 30 DDEDLO CCc1nc(C[NH2+]C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C)no1 ZINC001394813136 910634795 /nfs/dbraw/zinc/63/47/95/910634795.db2.gz UAKYLTZFPITDLH-GHMZBOCLSA-N 1 2 307.398 1.412 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)NC(=O)C#CC(C)(C)C ZINC001285641455 910950844 /nfs/dbraw/zinc/95/08/44/910950844.db2.gz PWENWDQRWYJBMR-JHJVBQTASA-N 1 2 316.405 1.184 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)NC(=O)C#CC(C)(C)C ZINC001285641455 910950858 /nfs/dbraw/zinc/95/08/58/910950858.db2.gz PWENWDQRWYJBMR-JHJVBQTASA-N 1 2 316.405 1.184 20 30 DDEDLO C[C@@H](CNC(=O)C#CC1CC1)N(C)C(=O)CCCn1cc[nH+]c1 ZINC001285669579 911008485 /nfs/dbraw/zinc/00/84/85/911008485.db2.gz MRLKDXAPUXNKSH-AWEZNQCLSA-N 1 2 316.405 1.040 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC001286023966 911586521 /nfs/dbraw/zinc/58/65/21/911586521.db2.gz IBGURFWBENUWSC-OLZOCXBDSA-N 1 2 318.421 1.090 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)CC)n2CCOCC)CC1 ZINC001286470620 911990218 /nfs/dbraw/zinc/99/02/18/911990218.db2.gz ANFCTPAUVNTJNX-HNNXBMFYSA-N 1 2 319.453 1.583 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCCNC(=O)CCn1cc[nH+]c1 ZINC001286830406 912103893 /nfs/dbraw/zinc/10/38/93/912103893.db2.gz UZJROYOLWSHORT-HNNXBMFYSA-N 1 2 318.421 1.334 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001294714779 915302636 /nfs/dbraw/zinc/30/26/36/915302636.db2.gz DPQZOVIHCOBNSU-QWHCGFSZSA-N 1 2 316.405 1.513 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001295058068 915534512 /nfs/dbraw/zinc/53/45/12/915534512.db2.gz UBCLSEHBNXTQIM-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCCCCC(=O)NC1CN(C(=O)c2cccc3[nH+]ccn32)C1 ZINC001297035546 916679228 /nfs/dbraw/zinc/67/92/28/916679228.db2.gz KLJXMPSWILKUIA-UHFFFAOYSA-N 1 2 324.384 1.469 20 30 DDEDLO Cn1cccc1C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001377122114 920386480 /nfs/dbraw/zinc/38/64/80/920386480.db2.gz RQFRIMYSWHDNNQ-UHFFFAOYSA-N 1 2 314.364 1.898 20 30 DDEDLO Cn1cccc1C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001377122114 920386495 /nfs/dbraw/zinc/38/64/95/920386495.db2.gz RQFRIMYSWHDNNQ-UHFFFAOYSA-N 1 2 314.364 1.898 20 30 DDEDLO C[N@H+](CCNC(=O)[C@H]1CCCOC1)Cc1ccccc1C#N ZINC001377139855 920444798 /nfs/dbraw/zinc/44/47/98/920444798.db2.gz SNVHTLABACCJJH-INIZCTEOSA-N 1 2 301.390 1.533 20 30 DDEDLO C[N@@H+](CCNC(=O)[C@H]1CCCOC1)Cc1ccccc1C#N ZINC001377139855 920444809 /nfs/dbraw/zinc/44/48/09/920444809.db2.gz SNVHTLABACCJJH-INIZCTEOSA-N 1 2 301.390 1.533 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)Cc1c(C)nn(CC)c1C ZINC001377248749 920775729 /nfs/dbraw/zinc/77/57/29/920775729.db2.gz SYMBQBFSHUCDRS-UHFFFAOYSA-N 1 2 312.845 1.863 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)Cc1c(C)nn(CC)c1C ZINC001377248749 920775739 /nfs/dbraw/zinc/77/57/39/920775739.db2.gz SYMBQBFSHUCDRS-UHFFFAOYSA-N 1 2 312.845 1.863 20 30 DDEDLO C[C@H]1C[C@@]1(C#N)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000448579996 230536231 /nfs/dbraw/zinc/53/62/31/230536231.db2.gz VZOPHMZFTAUXLI-KSSFIOAISA-N 1 2 308.385 1.752 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3nccc(C#N)n3)c[nH+]2)C[C@H](C)O1 ZINC000450254041 230703872 /nfs/dbraw/zinc/70/38/72/230703872.db2.gz GYCVIEPOFGKNJE-STQMWFEESA-N 1 2 324.388 1.969 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)[C@@H]2CCCn3cc[nH+]c32)cc1 ZINC000081940403 192342076 /nfs/dbraw/zinc/34/20/76/192342076.db2.gz DKVAHOHKMUSCNC-OAHLLOKOSA-N 1 2 310.357 1.827 20 30 DDEDLO C=CCN(C)C(=O)C(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000283914013 222612553 /nfs/dbraw/zinc/61/25/53/222612553.db2.gz FCRDUSNJUJSUJZ-UHFFFAOYSA-N 1 2 316.405 1.333 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N(CC)C[C@H](C)C#N)C[C@]2(CCOC2)O1 ZINC000331487077 529385321 /nfs/dbraw/zinc/38/53/21/529385321.db2.gz AKJHZGABKRUXMV-INMHGKMJSA-N 1 2 323.437 1.264 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N(CC)C[C@H](C)C#N)C[C@]2(CCOC2)O1 ZINC000331487077 529385323 /nfs/dbraw/zinc/38/53/23/529385323.db2.gz AKJHZGABKRUXMV-INMHGKMJSA-N 1 2 323.437 1.264 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CC[N@@H+]3CCCC[C@H]3C2)c1 ZINC000249753642 282194038 /nfs/dbraw/zinc/19/40/38/282194038.db2.gz ISTTZNIQDYYQHV-AWEZNQCLSA-N 1 2 305.403 1.417 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CC[N@H+]3CCCC[C@H]3C2)c1 ZINC000249753642 282194041 /nfs/dbraw/zinc/19/40/41/282194041.db2.gz ISTTZNIQDYYQHV-AWEZNQCLSA-N 1 2 305.403 1.417 20 30 DDEDLO CC1(C)C[N@H+](CCOc2ccccc2C#N)CCN1CCO ZINC000614920559 362078179 /nfs/dbraw/zinc/07/81/79/362078179.db2.gz KEBCGEJNEUAOTJ-UHFFFAOYSA-N 1 2 303.406 1.326 20 30 DDEDLO CC1(C)C[N@@H+](CCOc2ccccc2C#N)CCN1CCO ZINC000614920559 362078181 /nfs/dbraw/zinc/07/81/81/362078181.db2.gz KEBCGEJNEUAOTJ-UHFFFAOYSA-N 1 2 303.406 1.326 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cnc(C(C)(C)C)nc1 ZINC000328710487 539298606 /nfs/dbraw/zinc/29/86/06/539298606.db2.gz BRCCODCTOFBGGC-GFCCVEGCSA-N 1 2 321.425 1.821 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)C[C@H]1NC(=O)C(C)(C)S(=O)(=O)C1CC1 ZINC000328844558 539299343 /nfs/dbraw/zinc/29/93/43/539299343.db2.gz WYANECJMKIVHNG-ZWNOBZJWSA-N 1 2 314.451 1.781 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)C[C@H]1NC(=O)C(C)(C)S(=O)(=O)C1CC1 ZINC000328844558 539299344 /nfs/dbraw/zinc/29/93/44/539299344.db2.gz WYANECJMKIVHNG-ZWNOBZJWSA-N 1 2 314.451 1.781 20 30 DDEDLO CCc1nc2n(n1)C[C@H](NC(=O)[C@H]1CCn3c[nH+]cc3C1)CC2 ZINC000328939391 539299800 /nfs/dbraw/zinc/29/98/00/539299800.db2.gz HEUSNNIDBRRKIB-NWDGAFQWSA-N 1 2 314.393 1.571 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)c2ccccc2-n2cccn2)C1 ZINC000329401349 539304012 /nfs/dbraw/zinc/30/40/12/539304012.db2.gz YYZGZDXTRCPZOA-AWEZNQCLSA-N 1 2 313.405 1.423 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)c2ccccc2-n2cccn2)C1 ZINC000329401349 539304013 /nfs/dbraw/zinc/30/40/13/539304013.db2.gz YYZGZDXTRCPZOA-AWEZNQCLSA-N 1 2 313.405 1.423 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N1CC2(C1)CCCOC2 ZINC000329420788 539304326 /nfs/dbraw/zinc/30/43/26/539304326.db2.gz GZCAMCGZOCNASB-ZDUSSCGKSA-N 1 2 304.394 1.787 20 30 DDEDLO C[N@H+](CC(=O)NCc1ccc2c(c1)OCO2)CC(C)(C)C#N ZINC000433320327 529835483 /nfs/dbraw/zinc/83/54/83/529835483.db2.gz UCSJGHYXCJWDNZ-UHFFFAOYSA-N 1 2 303.362 1.513 20 30 DDEDLO C[N@@H+](CC(=O)NCc1ccc2c(c1)OCO2)CC(C)(C)C#N ZINC000433320327 529835485 /nfs/dbraw/zinc/83/54/85/529835485.db2.gz UCSJGHYXCJWDNZ-UHFFFAOYSA-N 1 2 303.362 1.513 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccccc1-n1cccn1 ZINC000414120890 529868557 /nfs/dbraw/zinc/86/85/57/529868557.db2.gz FFRAZVLLIPNFHB-CQSZACIVSA-N 1 2 300.362 1.457 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccccc1-n1cccn1 ZINC000414120890 529868558 /nfs/dbraw/zinc/86/85/58/529868558.db2.gz FFRAZVLLIPNFHB-CQSZACIVSA-N 1 2 300.362 1.457 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cc(C#N)cn1C ZINC000330946248 529909847 /nfs/dbraw/zinc/90/98/47/529909847.db2.gz GVTPTYSEUYJZIF-UHFFFAOYSA-N 1 2 304.394 1.080 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cc(C#N)cn1C ZINC000330946248 529909848 /nfs/dbraw/zinc/90/98/48/529909848.db2.gz GVTPTYSEUYJZIF-UHFFFAOYSA-N 1 2 304.394 1.080 20 30 DDEDLO CCC1(CC)C[N@@H+]([C@H](C)C(=O)N(C)CCC#N)CC[S@]1=O ZINC000248926730 282378371 /nfs/dbraw/zinc/37/83/71/282378371.db2.gz FZQFVOKDBAJERQ-ASSNKEHSSA-N 1 2 313.467 1.370 20 30 DDEDLO CCC1(CC)C[N@H+]([C@H](C)C(=O)N(C)CCC#N)CC[S@]1=O ZINC000248926730 282378374 /nfs/dbraw/zinc/37/83/74/282378374.db2.gz FZQFVOKDBAJERQ-ASSNKEHSSA-N 1 2 313.467 1.370 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)ncc1C#N ZINC000457766165 232122802 /nfs/dbraw/zinc/12/28/02/232122802.db2.gz NWJDRYSGTYEWCA-ZDUSSCGKSA-N 1 2 302.378 1.054 20 30 DDEDLO C[C@@H](O)[C@@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000305199214 282390985 /nfs/dbraw/zinc/39/09/85/282390985.db2.gz VGFDUQDPHREINO-UKRRQHHQSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H](O)[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000305199214 282390989 /nfs/dbraw/zinc/39/09/89/282390989.db2.gz VGFDUQDPHREINO-UKRRQHHQSA-N 1 2 322.430 1.425 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@@H](C)C(=O)NC[C@H]2CCCO2)n1 ZINC000279672010 215050297 /nfs/dbraw/zinc/05/02/97/215050297.db2.gz FIPLEGDNDYWHRA-GOEBONIOSA-N 1 2 318.421 1.022 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@@H](C)C(=O)NC[C@H]2CCCO2)n1 ZINC000279672010 215050302 /nfs/dbraw/zinc/05/03/02/215050302.db2.gz FIPLEGDNDYWHRA-GOEBONIOSA-N 1 2 318.421 1.022 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+](CCCO)Cc2ccccn2)c1 ZINC000286925906 219227719 /nfs/dbraw/zinc/22/77/19/219227719.db2.gz NBLWTXXOYNRJPV-UHFFFAOYSA-N 1 2 324.384 1.776 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+](CCCO)Cc2ccccn2)c1 ZINC000286925906 219227720 /nfs/dbraw/zinc/22/77/20/219227720.db2.gz NBLWTXXOYNRJPV-UHFFFAOYSA-N 1 2 324.384 1.776 20 30 DDEDLO C[C@H]1CN(CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H](C)[NH+]1C ZINC000319470773 290854670 /nfs/dbraw/zinc/85/46/70/290854670.db2.gz WADHOYKDHHBAJK-KBPBESRZSA-N 1 2 321.446 1.356 20 30 DDEDLO C=CCN(C(=O)Nc1ccc(C)[nH+]c1C)[C@@H]1CCS(=O)(=O)C1 ZINC000179678490 186203873 /nfs/dbraw/zinc/20/38/73/186203873.db2.gz AZIDFUIVKQFOEI-CYBMUJFWSA-N 1 2 323.418 1.905 20 30 DDEDLO C=C(C)C[N@@H+]1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000022135912 352176866 /nfs/dbraw/zinc/17/68/66/352176866.db2.gz SZSOGLAWZZMYFB-UHFFFAOYSA-N 1 2 313.423 1.564 20 30 DDEDLO C=C(C)C[N@H+]1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000022135912 352176869 /nfs/dbraw/zinc/17/68/69/352176869.db2.gz SZSOGLAWZZMYFB-UHFFFAOYSA-N 1 2 313.423 1.564 20 30 DDEDLO C[C@H](C(=O)N(C)C)[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000023258169 352183031 /nfs/dbraw/zinc/18/30/31/352183031.db2.gz XYDDQIOJKKBHQD-CQSZACIVSA-N 1 2 300.406 1.153 20 30 DDEDLO C[C@H](C(=O)N(C)C)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000023258169 352183032 /nfs/dbraw/zinc/18/30/32/352183032.db2.gz XYDDQIOJKKBHQD-CQSZACIVSA-N 1 2 300.406 1.153 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000025940718 352203422 /nfs/dbraw/zinc/20/34/22/352203422.db2.gz UVCQJEIITJWDKU-AWEZNQCLSA-N 1 2 313.405 1.086 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000028623854 352230958 /nfs/dbraw/zinc/23/09/58/352230958.db2.gz WIHSQSRVAWIRJR-UHFFFAOYSA-N 1 2 302.353 1.122 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2cccc([N+](=O)[O-])c2)CC1 ZINC000047743934 352510384 /nfs/dbraw/zinc/51/03/84/352510384.db2.gz MOVHNDIPEPEIED-ZDUSSCGKSA-N 1 2 318.377 1.408 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1ccccc1OCC ZINC000052163935 352601490 /nfs/dbraw/zinc/60/14/90/352601490.db2.gz KLAPKSWFBJSTGS-UHFFFAOYSA-N 1 2 305.378 1.529 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1ccccc1OCC ZINC000052163935 352601492 /nfs/dbraw/zinc/60/14/92/352601492.db2.gz KLAPKSWFBJSTGS-UHFFFAOYSA-N 1 2 305.378 1.529 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](CCOC)Cc1cccs1 ZINC000053099940 352640434 /nfs/dbraw/zinc/64/04/34/352640434.db2.gz YWOLLUBYNIWNKL-UHFFFAOYSA-N 1 2 311.407 1.208 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](CCOC)Cc1cccs1 ZINC000053099940 352640435 /nfs/dbraw/zinc/64/04/35/352640435.db2.gz YWOLLUBYNIWNKL-UHFFFAOYSA-N 1 2 311.407 1.208 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCO[C@@H]2CCC[C@@H]21)C1(C#N)CCCCC1 ZINC000054344450 352687703 /nfs/dbraw/zinc/68/77/03/352687703.db2.gz FHELGCIUVJAENV-LSDHHAIUSA-N 1 2 305.422 1.925 20 30 DDEDLO CN(C(=O)C[N@H+]1CCO[C@@H]2CCC[C@@H]21)C1(C#N)CCCCC1 ZINC000054344450 352687706 /nfs/dbraw/zinc/68/77/06/352687706.db2.gz FHELGCIUVJAENV-LSDHHAIUSA-N 1 2 305.422 1.925 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)Nc2n[nH]c(C(F)(F)F)n2)CC1 ZINC000057155108 352801536 /nfs/dbraw/zinc/80/15/36/352801536.db2.gz QFPBBTYQJWYOAD-UHFFFAOYSA-N 1 2 301.272 1.757 20 30 DDEDLO CCN(CC)C(=O)C[N@H+](C)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000072824371 353218700 /nfs/dbraw/zinc/21/87/00/353218700.db2.gz QPGHIXBMHDPKOS-AWEZNQCLSA-N 1 2 322.453 1.518 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+](C)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000072824371 353218701 /nfs/dbraw/zinc/21/87/01/353218701.db2.gz QPGHIXBMHDPKOS-AWEZNQCLSA-N 1 2 322.453 1.518 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N(Cc1ccc(OC)cc1)C1CC1 ZINC000174524590 198346527 /nfs/dbraw/zinc/34/65/27/198346527.db2.gz PZWPXPSGCFXZTO-UHFFFAOYSA-N 1 2 310.397 1.755 20 30 DDEDLO CCCNC(=O)[C@H](C)[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000078269231 353508559 /nfs/dbraw/zinc/50/85/59/353508559.db2.gz IWDXQNQQUCSLCA-RDJZCZTQSA-N 1 2 314.433 1.784 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)N[C@@H](C#N)c2ccc(C(C)(C)C)cc2)C1 ZINC000081368494 353667202 /nfs/dbraw/zinc/66/72/02/353667202.db2.gz HHEWBYDXJYQXJJ-JKSUJKDBSA-N 1 2 315.417 1.996 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)N[C@@H](C#N)c2ccc(C(C)(C)C)cc2)C1 ZINC000081368494 353667205 /nfs/dbraw/zinc/66/72/05/353667205.db2.gz HHEWBYDXJYQXJJ-JKSUJKDBSA-N 1 2 315.417 1.996 20 30 DDEDLO CN(C[C@H]1CCCCO1)C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000328885455 222905750 /nfs/dbraw/zinc/90/57/50/222905750.db2.gz ZCUCRCYVVIIPOB-CQSZACIVSA-N 1 2 313.442 1.512 20 30 DDEDLO CCNC(=O)C[N@H+](CC)CC(=O)N(CCC#N)c1ccccc1 ZINC000198399637 354302557 /nfs/dbraw/zinc/30/25/57/354302557.db2.gz KXRHRYVPPBTOLG-UHFFFAOYSA-N 1 2 316.405 1.391 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)CC(=O)N(CCC#N)c1ccccc1 ZINC000198399637 354302561 /nfs/dbraw/zinc/30/25/61/354302561.db2.gz KXRHRYVPPBTOLG-UHFFFAOYSA-N 1 2 316.405 1.391 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+][C@H](COCC(C)C)c1ccco1 ZINC000184200041 354280225 /nfs/dbraw/zinc/28/02/25/354280225.db2.gz OUVZWELXRCPIHK-CYBMUJFWSA-N 1 2 323.393 1.595 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C[C@@H]1CSc2ccccc2O1 ZINC000579360153 354718500 /nfs/dbraw/zinc/71/85/00/354718500.db2.gz COOLVRLHFPRRBD-WBMJQRKESA-N 1 2 319.430 1.890 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NC[C@H]1CCN(c2ccccc2)C1 ZINC000579855193 354724215 /nfs/dbraw/zinc/72/42/15/354724215.db2.gz XAKNMGIKAABYLC-CVEARBPZSA-N 1 2 314.433 1.911 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+](CCCO)C2CC2)c1 ZINC000404264609 354675580 /nfs/dbraw/zinc/67/55/80/354675580.db2.gz ZHWFQWMYOTVQTQ-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+](CCCO)C2CC2)c1 ZINC000404264609 354675585 /nfs/dbraw/zinc/67/55/85/354675585.db2.gz ZHWFQWMYOTVQTQ-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO Cc1cccc([C@@H](CNc2ccnc(C#N)n2)[NH+]2CCOCC2)c1 ZINC000584750980 354757987 /nfs/dbraw/zinc/75/79/87/354757987.db2.gz QKTGZLASSMSKON-MRXNPFEDSA-N 1 2 323.400 1.564 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@H]2N1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O ZINC000586866992 354875972 /nfs/dbraw/zinc/87/59/72/354875972.db2.gz DPPLDQHVSBKPEI-LSDHHAIUSA-N 1 2 321.340 1.432 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@H]2N1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O ZINC000586866992 354875975 /nfs/dbraw/zinc/87/59/75/354875975.db2.gz DPPLDQHVSBKPEI-LSDHHAIUSA-N 1 2 321.340 1.432 20 30 DDEDLO N#CCc1ccccc1S(=O)(=O)NCCNc1cccc[nH+]1 ZINC000589127653 354962857 /nfs/dbraw/zinc/96/28/57/354962857.db2.gz GXEBEVIJAZWGQB-UHFFFAOYSA-N 1 2 316.386 1.538 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)c3cccc(C#N)n3)[nH+]c12 ZINC000589441306 354990418 /nfs/dbraw/zinc/99/04/18/354990418.db2.gz WPRGPNPXEQCTHD-UHFFFAOYSA-N 1 2 305.341 1.882 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000590331817 355072347 /nfs/dbraw/zinc/07/23/47/355072347.db2.gz LGLVCQXTRGEBFQ-AWEZNQCLSA-N 1 2 309.373 1.566 20 30 DDEDLO COc1cc2c(cc1OC)C[N@H+](CC(=O)N1CC(C#N)C1)CC2 ZINC000590492318 355106282 /nfs/dbraw/zinc/10/62/82/355106282.db2.gz VEXMYDGJMKYVLF-UHFFFAOYSA-N 1 2 315.373 1.044 20 30 DDEDLO COc1cc2c(cc1OC)C[N@@H+](CC(=O)N1CC(C#N)C1)CC2 ZINC000590492318 355106285 /nfs/dbraw/zinc/10/62/85/355106285.db2.gz VEXMYDGJMKYVLF-UHFFFAOYSA-N 1 2 315.373 1.044 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)Nc2ccnn2C2CCCC2)C1 ZINC000592148815 355515469 /nfs/dbraw/zinc/51/54/69/355515469.db2.gz CIRQUBLCNAKAHK-MRXNPFEDSA-N 1 2 317.393 1.287 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)Nc2ccnn2C2CCCC2)C1 ZINC000592148815 355515473 /nfs/dbraw/zinc/51/54/73/355515473.db2.gz CIRQUBLCNAKAHK-MRXNPFEDSA-N 1 2 317.393 1.287 20 30 DDEDLO CCOc1ccc([N+](=O)[O-])cc1C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592151187 355517332 /nfs/dbraw/zinc/51/73/32/355517332.db2.gz NVZNWTPQJGOFFM-HNNXBMFYSA-N 1 2 305.334 1.844 20 30 DDEDLO CCOc1ccc([N+](=O)[O-])cc1C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592151187 355517337 /nfs/dbraw/zinc/51/73/37/355517337.db2.gz NVZNWTPQJGOFFM-HNNXBMFYSA-N 1 2 305.334 1.844 20 30 DDEDLO CC(C)OC(=O)Cc1nc(C[N@@H+]2CC[C@@](O)(CC#N)C2)cs1 ZINC000592152629 355518704 /nfs/dbraw/zinc/51/87/04/355518704.db2.gz ZCUWWUYULKTXKR-HNNXBMFYSA-N 1 2 323.418 1.488 20 30 DDEDLO CC(C)OC(=O)Cc1nc(C[N@H+]2CC[C@@](O)(CC#N)C2)cs1 ZINC000592152629 355518705 /nfs/dbraw/zinc/51/87/05/355518705.db2.gz ZCUWWUYULKTXKR-HNNXBMFYSA-N 1 2 323.418 1.488 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CC[C@](O)(CC#N)C2)c1Cl ZINC000592153051 355519648 /nfs/dbraw/zinc/51/96/48/355519648.db2.gz IKYURRMXEWWBRC-OAHLLOKOSA-N 1 2 308.765 1.977 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CC[C@](O)(CC#N)C2)c1Cl ZINC000592153051 355519652 /nfs/dbraw/zinc/51/96/52/355519652.db2.gz IKYURRMXEWWBRC-OAHLLOKOSA-N 1 2 308.765 1.977 20 30 DDEDLO CNC(=O)[C@@]1(C(F)(F)F)CC[N@H+](Cc2ccncc2C#N)C1 ZINC000592182548 355526573 /nfs/dbraw/zinc/52/65/73/355526573.db2.gz AGGJQEPERWYRKU-CYBMUJFWSA-N 1 2 312.295 1.454 20 30 DDEDLO CNC(=O)[C@@]1(C(F)(F)F)CC[N@@H+](Cc2ccncc2C#N)C1 ZINC000592182548 355526578 /nfs/dbraw/zinc/52/65/78/355526578.db2.gz AGGJQEPERWYRKU-CYBMUJFWSA-N 1 2 312.295 1.454 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@@H](CO)O1 ZINC000593036781 355776144 /nfs/dbraw/zinc/77/61/44/355776144.db2.gz UBSODZPUQZMZSY-ZDUSSCGKSA-N 1 2 305.334 1.438 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@@H](CO)O1 ZINC000593036781 355776147 /nfs/dbraw/zinc/77/61/47/355776147.db2.gz UBSODZPUQZMZSY-ZDUSSCGKSA-N 1 2 305.334 1.438 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccnc(OCC(F)F)c1 ZINC000593154791 355813801 /nfs/dbraw/zinc/81/38/01/355813801.db2.gz DZRPCAXWLIGHLV-CQSZACIVSA-N 1 2 312.320 1.299 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc(F)c2cccnc12 ZINC000593339515 355857943 /nfs/dbraw/zinc/85/79/43/355857943.db2.gz QEPWIHDUWXVRFQ-LBPRGKRZSA-N 1 2 303.337 1.959 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc(F)c2cccnc12 ZINC000593339515 355857948 /nfs/dbraw/zinc/85/79/48/355857948.db2.gz QEPWIHDUWXVRFQ-LBPRGKRZSA-N 1 2 303.337 1.959 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cc2cnccc2o1 ZINC000593568760 355928556 /nfs/dbraw/zinc/92/85/56/355928556.db2.gz WGKDHLLUXOIYBT-UHFFFAOYSA-N 1 2 314.389 1.876 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(OCC(F)(F)F)o1 ZINC000594024788 356093022 /nfs/dbraw/zinc/09/30/22/356093022.db2.gz ZVIIOJAIULPEMH-GFCCVEGCSA-N 1 2 319.283 1.794 20 30 DDEDLO N#Cc1ccc(C2([NH2+]Cc3nnc4n3CCOC4)CCC2)cc1 ZINC000594033292 356095262 /nfs/dbraw/zinc/09/52/62/356095262.db2.gz MNPJFIHAJWBMOK-UHFFFAOYSA-N 1 2 309.373 1.849 20 30 DDEDLO COC[C@@H](C(N)=O)[N@H+](C)Cc1ccc(C#N)cc1C(F)(F)F ZINC000595501056 356520747 /nfs/dbraw/zinc/52/07/47/356520747.db2.gz RJEPDLNKJPLHAX-LBPRGKRZSA-N 1 2 315.295 1.509 20 30 DDEDLO COC[C@@H](C(N)=O)[N@@H+](C)Cc1ccc(C#N)cc1C(F)(F)F ZINC000595501056 356520750 /nfs/dbraw/zinc/52/07/50/356520750.db2.gz RJEPDLNKJPLHAX-LBPRGKRZSA-N 1 2 315.295 1.509 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)NCC1(C#N)CCCCC1 ZINC000595552487 356546205 /nfs/dbraw/zinc/54/62/05/356546205.db2.gz WYGJZJZVHCGHLP-AWEZNQCLSA-N 1 2 308.426 1.480 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)NCC1(C#N)CCCCC1 ZINC000595552487 356546206 /nfs/dbraw/zinc/54/62/06/356546206.db2.gz WYGJZJZVHCGHLP-AWEZNQCLSA-N 1 2 308.426 1.480 20 30 DDEDLO COC(=O)[C@H](CC(C)C)NC(=O)C[N@@H+]1CCC[C@@H](CC#N)C1 ZINC000595609922 356576690 /nfs/dbraw/zinc/57/66/90/356576690.db2.gz QIWBOVPPLJVTHH-KBPBESRZSA-N 1 2 309.410 1.316 20 30 DDEDLO COC(=O)[C@H](CC(C)C)NC(=O)C[N@H+]1CCC[C@@H](CC#N)C1 ZINC000595609922 356576693 /nfs/dbraw/zinc/57/66/93/356576693.db2.gz QIWBOVPPLJVTHH-KBPBESRZSA-N 1 2 309.410 1.316 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCC[C@@](CO)(OC)C2)c1C#N ZINC000595657424 356594461 /nfs/dbraw/zinc/59/44/61/356594461.db2.gz BSDTWEWLZOSKPR-QGZVFWFLSA-N 1 2 318.373 1.318 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCC[C@@](CO)(OC)C2)c1C#N ZINC000595657424 356594464 /nfs/dbraw/zinc/59/44/64/356594464.db2.gz BSDTWEWLZOSKPR-QGZVFWFLSA-N 1 2 318.373 1.318 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@H](C(=O)OC)C[C@H](C(F)(F)F)C1 ZINC000595627945 356583482 /nfs/dbraw/zinc/58/34/82/356583482.db2.gz CIFGQLJUOZEDHO-MXWKQRLJSA-N 1 2 322.327 1.351 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@H](C(=O)OC)C[C@H](C(F)(F)F)C1 ZINC000595627945 356583485 /nfs/dbraw/zinc/58/34/85/356583485.db2.gz CIFGQLJUOZEDHO-MXWKQRLJSA-N 1 2 322.327 1.351 20 30 DDEDLO CC(C)N1CC[C@H]([NH+]2CCN(c3ccsc3C#N)CC2)C1=O ZINC000595631385 356585602 /nfs/dbraw/zinc/58/56/02/356585602.db2.gz SBNJLBNOJMCXAJ-AWEZNQCLSA-N 1 2 318.446 1.751 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccc(CC#N)cc2)CCN1S(C)(=O)=O ZINC000595750924 356636097 /nfs/dbraw/zinc/63/60/97/356636097.db2.gz AVCSKYVRTJRHRD-CYBMUJFWSA-N 1 2 307.419 1.218 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccc(CC#N)cc2)CCN1S(C)(=O)=O ZINC000595750924 356636101 /nfs/dbraw/zinc/63/61/01/356636101.db2.gz AVCSKYVRTJRHRD-CYBMUJFWSA-N 1 2 307.419 1.218 20 30 DDEDLO C[C@@H]([NH2+][C@@H](CO)c1ccc(F)cc1F)C(=O)N(C)CCC#N ZINC000595866093 356684079 /nfs/dbraw/zinc/68/40/79/356684079.db2.gz DBIWEXLCMNHTGV-YGRLFVJLSA-N 1 2 311.332 1.348 20 30 DDEDLO CCc1nc(C#N)cc(N2CC[NH+](Cc3ccncc3)CC2)n1 ZINC000596057143 356755551 /nfs/dbraw/zinc/75/55/51/356755551.db2.gz HOICCEANGOASEC-UHFFFAOYSA-N 1 2 308.389 1.628 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1cccc(C#N)n1 ZINC000596932799 357018395 /nfs/dbraw/zinc/01/83/95/357018395.db2.gz CSRSOWNNXSPXKQ-NEPJUHHUSA-N 1 2 303.366 1.184 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1cccc(C#N)n1 ZINC000596932799 357018397 /nfs/dbraw/zinc/01/83/97/357018397.db2.gz CSRSOWNNXSPXKQ-NEPJUHHUSA-N 1 2 303.366 1.184 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cccc(C#N)n2)[C@H](C)CO1 ZINC000596932822 357018550 /nfs/dbraw/zinc/01/85/50/357018550.db2.gz CSRSOWNNXSPXKQ-VXGBXAGGSA-N 1 2 303.366 1.184 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cccc(C#N)n2)[C@H](C)CO1 ZINC000596932822 357018553 /nfs/dbraw/zinc/01/85/53/357018553.db2.gz CSRSOWNNXSPXKQ-VXGBXAGGSA-N 1 2 303.366 1.184 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)cc1F ZINC000597619103 357258104 /nfs/dbraw/zinc/25/81/04/357258104.db2.gz ZBWCNEUSRXWPKO-LLVKDONJSA-N 1 2 316.311 1.912 20 30 DDEDLO CC[C@@H](C#N)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000597934388 357387462 /nfs/dbraw/zinc/38/74/62/357387462.db2.gz RSJLGKQJHMNSRU-BBWFWOEESA-N 1 2 313.401 1.648 20 30 DDEDLO CC[C@@H](C#N)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000597934388 357387466 /nfs/dbraw/zinc/38/74/66/357387466.db2.gz RSJLGKQJHMNSRU-BBWFWOEESA-N 1 2 313.401 1.648 20 30 DDEDLO Cc1cc(C)nc(N2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)n1 ZINC000276818529 213113152 /nfs/dbraw/zinc/11/31/52/213113152.db2.gz ZAAQEDPMUFQOHP-UHFFFAOYSA-N 1 2 310.405 1.626 20 30 DDEDLO O=C(N[C@@H]1CCO[C@H]1C1CC1)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000329612751 223002699 /nfs/dbraw/zinc/00/26/99/223002699.db2.gz DRRSWOKXOVIPLU-XHSDSOJGSA-N 1 2 323.437 1.265 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@H+](C)C[C@H](O)CC(C)(C)C#N ZINC000598593398 357645389 /nfs/dbraw/zinc/64/53/89/357645389.db2.gz SKGVWHKBEAYEGJ-CHWSQXEVSA-N 1 2 300.399 1.186 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@@H+](C)C[C@H](O)CC(C)(C)C#N ZINC000598593398 357645391 /nfs/dbraw/zinc/64/53/91/357645391.db2.gz SKGVWHKBEAYEGJ-CHWSQXEVSA-N 1 2 300.399 1.186 20 30 DDEDLO Cn1cc(NC(=O)N2CC[C@H]3OCC[N@H+](C)[C@H]3C2)c(C2CC2)n1 ZINC000329634465 223005836 /nfs/dbraw/zinc/00/58/36/223005836.db2.gz TWMHLOIBQHSQDJ-UONOGXRCSA-N 1 2 319.409 1.248 20 30 DDEDLO Cn1cc(NC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@H]3C2)c(C2CC2)n1 ZINC000329634465 223005840 /nfs/dbraw/zinc/00/58/40/223005840.db2.gz TWMHLOIBQHSQDJ-UONOGXRCSA-N 1 2 319.409 1.248 20 30 DDEDLO Cc1nccnc1C[N@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000598672950 357681391 /nfs/dbraw/zinc/68/13/91/357681391.db2.gz MEFCQKUCFSWDEW-LBPRGKRZSA-N 1 2 309.377 1.157 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000598672950 357681393 /nfs/dbraw/zinc/68/13/93/357681393.db2.gz MEFCQKUCFSWDEW-LBPRGKRZSA-N 1 2 309.377 1.157 20 30 DDEDLO C[N@@H+]1C2(CCC2)COC[C@@]1(CO)CNc1ncc(C#N)cc1F ZINC000599129578 357824064 /nfs/dbraw/zinc/82/40/64/357824064.db2.gz FMFNIJGPJIAWCY-INIZCTEOSA-N 1 2 320.368 1.120 20 30 DDEDLO C[N@H+]1C2(CCC2)COC[C@@]1(CO)CNc1ncc(C#N)cc1F ZINC000599129578 357824069 /nfs/dbraw/zinc/82/40/69/357824069.db2.gz FMFNIJGPJIAWCY-INIZCTEOSA-N 1 2 320.368 1.120 20 30 DDEDLO CN(Cc1cccc(C#N)c1)C(=O)NC[C@H]1COCC[N@@H+]1C ZINC000599195048 357847150 /nfs/dbraw/zinc/84/71/50/357847150.db2.gz OVNSLJDJUNJFKE-HNNXBMFYSA-N 1 2 302.378 1.030 20 30 DDEDLO CN(Cc1cccc(C#N)c1)C(=O)NC[C@H]1COCC[N@H+]1C ZINC000599195048 357847151 /nfs/dbraw/zinc/84/71/51/357847151.db2.gz OVNSLJDJUNJFKE-HNNXBMFYSA-N 1 2 302.378 1.030 20 30 DDEDLO CCCN1C(=O)CC[C@H](C(=O)N[C@@H](C)C#N)[C@H]1c1c[nH+]cn1C ZINC000355281674 283312844 /nfs/dbraw/zinc/31/28/44/283312844.db2.gz XASISXMYIYSOOJ-HUBLWGQQSA-N 1 2 317.393 1.138 20 30 DDEDLO N#Cc1cc(N2CC[NH+](Cc3cccnc3)CC2)nc(C2CC2)n1 ZINC000601101639 358402589 /nfs/dbraw/zinc/40/25/89/358402589.db2.gz QQZMACBCVWQBHH-UHFFFAOYSA-N 1 2 320.400 1.943 20 30 DDEDLO N#Cc1csc(C[NH2+]C[C@H](n2cncn2)C(F)(F)F)n1 ZINC000601144060 358419137 /nfs/dbraw/zinc/41/91/37/358419137.db2.gz OBMVFROGLUTGFZ-QMMMGPOBSA-N 1 2 302.285 1.499 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[NH+]1CC(OCC(F)F)C1 ZINC000601955879 358752061 /nfs/dbraw/zinc/75/20/61/358752061.db2.gz DYXVVPSNDWKOGZ-GFCCVEGCSA-N 1 2 312.316 1.264 20 30 DDEDLO CCOCCOC1C[NH+](C[C@@H](O)COc2ccccc2C#N)C1 ZINC000601960847 358754035 /nfs/dbraw/zinc/75/40/35/358754035.db2.gz WQGYKEFZMXZJKX-OAHLLOKOSA-N 1 2 320.389 1.035 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)c2cc(-n3cc[nH+]c3)ccc2O)C1 ZINC000602140700 358841449 /nfs/dbraw/zinc/84/14/49/358841449.db2.gz LVDYKXREKYIGGV-OAHLLOKOSA-N 1 2 300.293 1.656 20 30 DDEDLO CS(=O)(=O)C[C@@H]([NH2+]Cc1cccc(C#N)n1)c1ccccc1 ZINC000602618464 359077919 /nfs/dbraw/zinc/07/79/19/359077919.db2.gz UBUSCULSQQZWRX-MRXNPFEDSA-N 1 2 315.398 1.829 20 30 DDEDLO Cn1ccnc1[C@H](C1CC1)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000602670746 359115124 /nfs/dbraw/zinc/11/51/24/359115124.db2.gz CRBPRQJYUCUPCC-KRWDZBQOSA-N 1 2 322.416 1.960 20 30 DDEDLO C[C@@H]1C[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C[C@H](C)N1CC#N ZINC000602852363 359238969 /nfs/dbraw/zinc/23/89/69/359238969.db2.gz BIIZJEAKOOMFJY-ZENOOKHLSA-N 1 2 311.389 1.003 20 30 DDEDLO C[C@@H]1C[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C[C@H](C)N1CC#N ZINC000602852363 359238972 /nfs/dbraw/zinc/23/89/72/359238972.db2.gz BIIZJEAKOOMFJY-ZENOOKHLSA-N 1 2 311.389 1.003 20 30 DDEDLO CC(C)CCOCCNC(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602856972 359241789 /nfs/dbraw/zinc/24/17/89/359241789.db2.gz OAWVBSUESFMGOP-HZPDHXFCSA-N 1 2 324.469 1.083 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)NCc1cccc(C(=O)NC2CC2)c1 ZINC000602860174 359246217 /nfs/dbraw/zinc/24/62/17/359246217.db2.gz BPILGOAMLRAPDB-LBPRGKRZSA-N 1 2 314.389 1.087 20 30 DDEDLO COc1ccc(CN(C)C(=O)[C@H](C)[NH2+][C@@H](C)CC#N)cc1OC ZINC000602862922 359247997 /nfs/dbraw/zinc/24/79/97/359247997.db2.gz MTIQJSGRUXEXFP-STQMWFEESA-N 1 2 319.405 1.942 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCCC2CCCCC2)C[C@H](C)N1CC#N ZINC000602861865 359248204 /nfs/dbraw/zinc/24/82/04/359248204.db2.gz MGFWGLWVPYFPPZ-IYBDPMFKSA-N 1 2 320.481 1.991 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCCC2CCCCC2)C[C@H](C)N1CC#N ZINC000602861865 359248212 /nfs/dbraw/zinc/24/82/12/359248212.db2.gz MGFWGLWVPYFPPZ-IYBDPMFKSA-N 1 2 320.481 1.991 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@@H](C)C(=O)N1CCN(c2ccccc2)CC1 ZINC000602864063 359248623 /nfs/dbraw/zinc/24/86/23/359248623.db2.gz QAVCFRIKIRZPOU-CABCVRRESA-N 1 2 300.406 1.615 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)NCc1ccccc1Cn1cccn1 ZINC000602867517 359251322 /nfs/dbraw/zinc/25/13/22/359251322.db2.gz DMOADTKLXQZUGC-AWEZNQCLSA-N 1 2 311.389 1.439 20 30 DDEDLO Cc1[nH]ncc1C[N@H+](C)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000602937645 359306633 /nfs/dbraw/zinc/30/66/33/359306633.db2.gz KKYMAJMLHIBIHX-INIZCTEOSA-N 1 2 314.389 1.656 20 30 DDEDLO Cc1[nH]ncc1C[N@@H+](C)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000602937645 359306638 /nfs/dbraw/zinc/30/66/38/359306638.db2.gz KKYMAJMLHIBIHX-INIZCTEOSA-N 1 2 314.389 1.656 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@@H](OC)C[N@@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000602947139 359314182 /nfs/dbraw/zinc/31/41/82/359314182.db2.gz XBLCMJLIWXSHHA-RHSMWYFYSA-N 1 2 318.373 1.719 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@@H](OC)C[N@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000602947139 359314185 /nfs/dbraw/zinc/31/41/85/359314185.db2.gz XBLCMJLIWXSHHA-RHSMWYFYSA-N 1 2 318.373 1.719 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc(C(=O)OC)c(C)o2)nn1 ZINC000603174702 359457879 /nfs/dbraw/zinc/45/78/79/359457879.db2.gz SJZJQMDVQRXOOY-UHFFFAOYSA-N 1 2 302.334 1.127 20 30 DDEDLO C=CCN(CCC#N)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603345630 359584881 /nfs/dbraw/zinc/58/48/81/359584881.db2.gz MPWMIVFISMZEIM-UHFFFAOYSA-N 1 2 319.430 1.765 20 30 DDEDLO C=CCN(CCC#N)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603345630 359584888 /nfs/dbraw/zinc/58/48/88/359584888.db2.gz MPWMIVFISMZEIM-UHFFFAOYSA-N 1 2 319.430 1.765 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccccc2CC#N)CC1(C)C ZINC000603374241 359600379 /nfs/dbraw/zinc/60/03/79/359600379.db2.gz IQYVGQZFQWDGLR-UHFFFAOYSA-N 1 2 307.419 1.467 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccccc2CC#N)CC1(C)C ZINC000603374241 359600383 /nfs/dbraw/zinc/60/03/83/359600383.db2.gz IQYVGQZFQWDGLR-UHFFFAOYSA-N 1 2 307.419 1.467 20 30 DDEDLO Cc1ncc2c(n1)CC[N@@H+](C[C@H](O)COc1ccc(C#N)cc1)C2 ZINC000603661972 359670919 /nfs/dbraw/zinc/67/09/19/359670919.db2.gz XIJIKAAEWFLVNJ-INIZCTEOSA-N 1 2 324.384 1.455 20 30 DDEDLO Cc1ncc2c(n1)CC[N@H+](C[C@H](O)COc1ccc(C#N)cc1)C2 ZINC000603661972 359670923 /nfs/dbraw/zinc/67/09/23/359670923.db2.gz XIJIKAAEWFLVNJ-INIZCTEOSA-N 1 2 324.384 1.455 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](C[C@H](O)CC(F)(F)F)CC1 ZINC000188597337 200272212 /nfs/dbraw/zinc/27/22/12/200272212.db2.gz XXOIODJDYIURGV-GFCCVEGCSA-N 1 2 314.311 1.389 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)c1coc(C2CCOCC2)n1 ZINC000329924022 223046696 /nfs/dbraw/zinc/04/66/96/223046696.db2.gz USPRWFHVVPOAAJ-GFCCVEGCSA-N 1 2 323.393 1.594 20 30 DDEDLO [O-]C(NC[C@@H]1CCc2[nH+]ccn2C1)=[NH+][C@@H]1CCO[C@H](C2CC2)C1 ZINC000329959509 223054238 /nfs/dbraw/zinc/05/42/38/223054238.db2.gz QQKRXNTZPPOACG-CFVMTHIKSA-N 1 2 318.421 1.907 20 30 DDEDLO [O-]C(N[C@@H]1CCO[C@H](C2CC2)C1)=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000329959509 223054241 /nfs/dbraw/zinc/05/42/41/223054241.db2.gz QQKRXNTZPPOACG-CFVMTHIKSA-N 1 2 318.421 1.907 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1cc(=O)[nH]c(C2CC2)c1 ZINC000329977304 223056597 /nfs/dbraw/zinc/05/65/97/223056597.db2.gz ZODSCBIDZBGBIL-NSHDSACASA-N 1 2 305.378 1.690 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1cc(=O)[nH]c(C2CC2)c1 ZINC000329977304 223056599 /nfs/dbraw/zinc/05/65/99/223056599.db2.gz ZODSCBIDZBGBIL-NSHDSACASA-N 1 2 305.378 1.690 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N[C@H]2CCCC[C@@H]2C)CC1 ZINC000609485632 360312438 /nfs/dbraw/zinc/31/24/38/360312438.db2.gz UETMCMMBZVVCAI-ULQDDVLXSA-N 1 2 320.481 1.847 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609538246 360321903 /nfs/dbraw/zinc/32/19/03/360321903.db2.gz PCXROJPEQABEBN-HZPDHXFCSA-N 1 2 319.453 1.160 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NCC[N@H+]1CCOC[C@H]1C ZINC000610276141 360406559 /nfs/dbraw/zinc/40/65/59/360406559.db2.gz UIJANQCTZDEWSY-CQSZACIVSA-N 1 2 310.401 1.969 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NCC[N@@H+]1CCOC[C@H]1C ZINC000610276141 360406562 /nfs/dbraw/zinc/40/65/62/360406562.db2.gz UIJANQCTZDEWSY-CQSZACIVSA-N 1 2 310.401 1.969 20 30 DDEDLO C[N@H+](CC(=O)NCc1cccs1)Cc1ccnc(C#N)c1 ZINC000610993003 360593688 /nfs/dbraw/zinc/59/36/88/360593688.db2.gz ZJIAIAZYEKUACR-UHFFFAOYSA-N 1 2 300.387 1.763 20 30 DDEDLO C[N@@H+](CC(=O)NCc1cccs1)Cc1ccnc(C#N)c1 ZINC000610993003 360593690 /nfs/dbraw/zinc/59/36/90/360593690.db2.gz ZJIAIAZYEKUACR-UHFFFAOYSA-N 1 2 300.387 1.763 20 30 DDEDLO C[N@H+](Cc1ccnc(C#N)c1)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000610994991 360594953 /nfs/dbraw/zinc/59/49/53/360594953.db2.gz QSVAIOQNOCQIGH-HUUCEWRRSA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1ccnc(C#N)c1)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000610994991 360594955 /nfs/dbraw/zinc/59/49/55/360594955.db2.gz QSVAIOQNOCQIGH-HUUCEWRRSA-N 1 2 307.419 1.741 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(c2nc(C#N)ccc2C)CC1 ZINC000611399490 360711564 /nfs/dbraw/zinc/71/15/64/360711564.db2.gz MEPNENBQQCJNPI-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(c2nc(C#N)ccc2C)CC1 ZINC000611399490 360711567 /nfs/dbraw/zinc/71/15/67/360711567.db2.gz MEPNENBQQCJNPI-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H](OC(F)F)C1 ZINC000611579703 360766410 /nfs/dbraw/zinc/76/64/10/360766410.db2.gz NLRAVGMPLWFUJE-RYUDHWBXSA-N 1 2 303.353 1.698 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@H](OC(F)F)C1 ZINC000611579703 360766411 /nfs/dbraw/zinc/76/64/11/360766411.db2.gz NLRAVGMPLWFUJE-RYUDHWBXSA-N 1 2 303.353 1.698 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncccn2)C1 ZINC000275975424 212609337 /nfs/dbraw/zinc/60/93/37/212609337.db2.gz NXKDRABEKVZBEE-LSDHHAIUSA-N 1 2 318.421 1.491 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000275975424 212609340 /nfs/dbraw/zinc/60/93/40/212609340.db2.gz NXKDRABEKVZBEE-LSDHHAIUSA-N 1 2 318.421 1.491 20 30 DDEDLO CN(C(=O)NC[C@H]1CCCn2cc[nH+]c21)[C@@H]1CCCC[C@H]1O ZINC000330278951 223098903 /nfs/dbraw/zinc/09/89/03/223098903.db2.gz QHRZKCHFAQPVAI-MGPQQGTHSA-N 1 2 306.410 1.910 20 30 DDEDLO COCC(C)(C)NC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000330941073 223155352 /nfs/dbraw/zinc/15/53/52/223155352.db2.gz YEOUCKWINIVWAT-UHFFFAOYSA-N 1 2 322.409 1.347 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]C[C@@H](O)COc2ccc(CC#N)cc2)o1 ZINC000612531040 361054299 /nfs/dbraw/zinc/05/42/99/361054299.db2.gz SJBSPXWCQUTDTA-SMDDNHRTSA-N 1 2 316.361 1.535 20 30 DDEDLO C=Cn1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cn1 ZINC000194065766 201172885 /nfs/dbraw/zinc/17/28/85/201172885.db2.gz MTXKNRNPAKUHOT-CYBMUJFWSA-N 1 2 309.377 1.356 20 30 DDEDLO C=Cn1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cn1 ZINC000194065766 201172889 /nfs/dbraw/zinc/17/28/89/201172889.db2.gz MTXKNRNPAKUHOT-CYBMUJFWSA-N 1 2 309.377 1.356 20 30 DDEDLO C=C[C@](C)(O)C(=O)Nc1ccc2[nH]c(N3CCOCC3)[nH+]c2c1 ZINC000621616398 364939206 /nfs/dbraw/zinc/93/92/06/364939206.db2.gz PVTVJLOJRKKWSH-INIZCTEOSA-N 1 2 316.361 1.275 20 30 DDEDLO CC(C)[N@H+](CC#CCN1C(=O)c2ccccc2C1=O)C1COC1 ZINC000625450159 366935148 /nfs/dbraw/zinc/93/51/48/366935148.db2.gz MEDSDWNJKLTTJM-UHFFFAOYSA-N 1 2 312.369 1.395 20 30 DDEDLO CC(C)[N@@H+](CC#CCN1C(=O)c2ccccc2C1=O)C1COC1 ZINC000625450159 366935155 /nfs/dbraw/zinc/93/51/55/366935155.db2.gz MEDSDWNJKLTTJM-UHFFFAOYSA-N 1 2 312.369 1.395 20 30 DDEDLO FCC[C@@H]1C[N@H+](CC#CCN2CCO[C@@H](CCF)C2)CCO1 ZINC000626004670 367272447 /nfs/dbraw/zinc/27/24/47/367272447.db2.gz MAWSUULRPDGIKD-IYBDPMFKSA-N 1 2 316.392 1.111 20 30 DDEDLO FCC[C@@H]1C[N@@H+](CC#CCN2CCO[C@@H](CCF)C2)CCO1 ZINC000626004670 367272452 /nfs/dbraw/zinc/27/24/52/367272452.db2.gz MAWSUULRPDGIKD-IYBDPMFKSA-N 1 2 316.392 1.111 20 30 DDEDLO FCC[C@@H]1CN(CC#CC[N@@H+]2CCO[C@@H](CCF)C2)CCO1 ZINC000626004670 367272457 /nfs/dbraw/zinc/27/24/57/367272457.db2.gz MAWSUULRPDGIKD-IYBDPMFKSA-N 1 2 316.392 1.111 20 30 DDEDLO FCC[C@@H]1CN(CC#CC[N@H+]2CCO[C@@H](CCF)C2)CCO1 ZINC000626004670 367272460 /nfs/dbraw/zinc/27/24/60/367272460.db2.gz MAWSUULRPDGIKD-IYBDPMFKSA-N 1 2 316.392 1.111 20 30 DDEDLO COCC[N@H+](CC(=O)NC1(C#N)CCC1)Cc1cccnc1 ZINC000347234439 223368592 /nfs/dbraw/zinc/36/85/92/223368592.db2.gz RPSULKORNLRSFA-UHFFFAOYSA-N 1 2 302.378 1.092 20 30 DDEDLO COCC[N@@H+](CC(=O)NC1(C#N)CCC1)Cc1cccnc1 ZINC000347234439 223368593 /nfs/dbraw/zinc/36/85/93/223368593.db2.gz RPSULKORNLRSFA-UHFFFAOYSA-N 1 2 302.378 1.092 20 30 DDEDLO CC(C)(CNC(=O)COc1ccc(C#N)cc1)[NH+]1CCOCC1 ZINC000016445051 182044931 /nfs/dbraw/zinc/04/49/31/182044931.db2.gz CFKRYUDZHHPTGU-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[N@@H+](CC(=O)NC1(C(=O)OC)CCCCC1)C[C@H](C)C#N ZINC000064249226 284396976 /nfs/dbraw/zinc/39/69/76/284396976.db2.gz HHHFTWIZJDFRNZ-CYBMUJFWSA-N 1 2 309.410 1.460 20 30 DDEDLO CC[N@H+](CC(=O)NC1(C(=O)OC)CCCCC1)C[C@H](C)C#N ZINC000064249226 284396977 /nfs/dbraw/zinc/39/69/77/284396977.db2.gz HHHFTWIZJDFRNZ-CYBMUJFWSA-N 1 2 309.410 1.460 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000267608227 206171373 /nfs/dbraw/zinc/17/13/73/206171373.db2.gz NDMVLYYDWQMFJA-RYUDHWBXSA-N 1 2 319.386 1.220 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000267608227 206171376 /nfs/dbraw/zinc/17/13/76/206171376.db2.gz NDMVLYYDWQMFJA-RYUDHWBXSA-N 1 2 319.386 1.220 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[NH+]2CC(OCC(F)F)C2)cc1 ZINC000336858754 249266939 /nfs/dbraw/zinc/26/69/39/249266939.db2.gz BBSKOEOYCOIIPZ-LBPRGKRZSA-N 1 2 312.316 1.264 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)c1ccn(-c2cccc(C#N)c2)n1 ZINC000336936648 249293318 /nfs/dbraw/zinc/29/33/18/249293318.db2.gz UVFZOQFRUJOFHQ-UHFFFAOYSA-N 1 2 306.329 1.407 20 30 DDEDLO CS(=O)(=O)CC[NH+]1CCC(Oc2cccc(C#N)c2)CC1 ZINC000104715096 194030332 /nfs/dbraw/zinc/03/03/32/194030332.db2.gz INSFXLDLCZMKIB-UHFFFAOYSA-N 1 2 308.403 1.446 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@@H+]3CC[C@@](F)(CO)C3)C2=O)cc1 ZINC000338628159 250065096 /nfs/dbraw/zinc/06/50/96/250065096.db2.gz UBLVLQRHOJHVSJ-HOCLYGCPSA-N 1 2 303.337 1.070 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@H+]3CC[C@@](F)(CO)C3)C2=O)cc1 ZINC000338628159 250065100 /nfs/dbraw/zinc/06/51/00/250065100.db2.gz UBLVLQRHOJHVSJ-HOCLYGCPSA-N 1 2 303.337 1.070 20 30 DDEDLO COC(=O)[C@@H](CC(C)C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000285301164 218371884 /nfs/dbraw/zinc/37/18/84/218371884.db2.gz UQINYGJCDVYTHS-OAHLLOKOSA-N 1 2 316.405 1.663 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2ccc(OC)cc2C1 ZINC000065163365 184312940 /nfs/dbraw/zinc/31/29/40/184312940.db2.gz AMOXGEWHYCISBR-UHFFFAOYSA-N 1 2 303.362 1.065 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2ccc(OC)cc2C1 ZINC000065163365 184312941 /nfs/dbraw/zinc/31/29/41/184312941.db2.gz AMOXGEWHYCISBR-UHFFFAOYSA-N 1 2 303.362 1.065 20 30 DDEDLO N#Cc1cnc(NCc2ccc(N3CCOCC3)[nH+]c2)c(F)c1 ZINC000413033028 224122261 /nfs/dbraw/zinc/12/22/61/224122261.db2.gz JTLPCECWCUGGBU-UHFFFAOYSA-N 1 2 313.336 1.936 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)NCc2ccccc2C)CC1 ZINC000342026031 533110352 /nfs/dbraw/zinc/11/03/52/533110352.db2.gz VTSZKRPRLFSXOU-UHFFFAOYSA-N 1 2 315.417 1.863 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)c1cccs1 ZINC000157846077 197220810 /nfs/dbraw/zinc/22/08/10/197220810.db2.gz WJWOEMMBJOEGQT-CQSZACIVSA-N 1 2 321.446 1.926 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCc1ccc(OC(F)F)cc1 ZINC000112335516 221511550 /nfs/dbraw/zinc/51/15/50/221511550.db2.gz AQMXZLONHWZXSL-UHFFFAOYSA-N 1 2 315.320 1.992 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)Cc1ccnn1C ZINC000348364117 533239131 /nfs/dbraw/zinc/23/91/31/533239131.db2.gz FMIRFIVSRLFFLV-ZDUSSCGKSA-N 1 2 303.410 1.583 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)Cc1ccnn1C ZINC000348364117 533239138 /nfs/dbraw/zinc/23/91/38/533239138.db2.gz FMIRFIVSRLFFLV-ZDUSSCGKSA-N 1 2 303.410 1.583 20 30 DDEDLO COc1cc(C)c(NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)cc1F ZINC000331101687 533575452 /nfs/dbraw/zinc/57/54/52/533575452.db2.gz CFKAXVDMBBYGSW-GFCCVEGCSA-N 1 2 324.400 1.714 20 30 DDEDLO COc1cc(C)c(NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)cc1F ZINC000331101687 533575456 /nfs/dbraw/zinc/57/54/56/533575456.db2.gz CFKAXVDMBBYGSW-GFCCVEGCSA-N 1 2 324.400 1.714 20 30 DDEDLO C[C@](O)(C[NH+]1CCN(c2cnccc2C#N)CC1)C(F)(F)F ZINC000568346903 304286744 /nfs/dbraw/zinc/28/67/44/304286744.db2.gz UACAJJVWUXTXMI-ZDUSSCGKSA-N 1 2 314.311 1.389 20 30 DDEDLO C#CC[NH+]1CCN(Cn2ncn(CC(F)(F)F)c2=S)CC1 ZINC000128662459 407562368 /nfs/dbraw/zinc/56/23/68/407562368.db2.gz XMHBQLMDOFDGOR-UHFFFAOYSA-N 1 2 319.356 1.185 20 30 DDEDLO C[NH+](C)[C@H](CNS(=O)(=O)c1cccc(C#N)c1)c1ccco1 ZINC000010088891 406819440 /nfs/dbraw/zinc/81/94/40/406819440.db2.gz DSTSHSFLQPJMET-CQSZACIVSA-N 1 2 319.386 1.732 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+]1Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000075646471 406937908 /nfs/dbraw/zinc/93/79/08/406937908.db2.gz LQZZZRGERKBLDL-ZDUSSCGKSA-N 1 2 311.345 1.319 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+]1Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000075646471 406937910 /nfs/dbraw/zinc/93/79/10/406937910.db2.gz LQZZZRGERKBLDL-ZDUSSCGKSA-N 1 2 311.345 1.319 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@H](C2OCCO2)C1 ZINC000076294727 406961097 /nfs/dbraw/zinc/96/10/97/406961097.db2.gz SSJXDHYMYSHJFB-LBPRGKRZSA-N 1 2 321.402 1.643 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@H](C2OCCO2)C1 ZINC000076294727 406961101 /nfs/dbraw/zinc/96/11/01/406961101.db2.gz SSJXDHYMYSHJFB-LBPRGKRZSA-N 1 2 321.402 1.643 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)Nc1ccc(-n2cc[nH+]c2)nc1 ZINC000077860846 407014040 /nfs/dbraw/zinc/01/40/40/407014040.db2.gz IHZDKTGDPFMSKC-UHFFFAOYSA-N 1 2 313.361 1.630 20 30 DDEDLO N#Cc1cc(NC(=O)C(=O)NCCn2cc[nH+]c2)ccc1Cl ZINC000048918526 407110807 /nfs/dbraw/zinc/11/08/07/407110807.db2.gz YAVPHXWPBGCWEN-UHFFFAOYSA-N 1 2 317.736 1.163 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccnc(Cl)c1 ZINC000081515516 407077649 /nfs/dbraw/zinc/07/76/49/407077649.db2.gz AOQRZQPJBPOXPZ-UHFFFAOYSA-N 1 2 308.813 1.783 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)c2ccsc2)CC1 ZINC000054363887 407183875 /nfs/dbraw/zinc/18/38/75/407183875.db2.gz XNJMEOMHWLQVTR-ZDUSSCGKSA-N 1 2 319.430 1.034 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)c2ccsc2)CC1 ZINC000054363887 407183877 /nfs/dbraw/zinc/18/38/77/407183877.db2.gz XNJMEOMHWLQVTR-ZDUSSCGKSA-N 1 2 319.430 1.034 20 30 DDEDLO Cc1cc(C)c(C#N)c(SC[C@H](O)C[NH+]2CCOCC2)n1 ZINC000061733748 407229174 /nfs/dbraw/zinc/22/91/74/407229174.db2.gz QBIJFUBMENPPEN-CYBMUJFWSA-N 1 2 307.419 1.355 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000101865503 407316355 /nfs/dbraw/zinc/31/63/55/407316355.db2.gz TWKUPSSIALJKAD-UHFFFAOYSA-N 1 2 310.357 1.997 20 30 DDEDLO C[C@@]1(O)CCC[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000125107902 407379002 /nfs/dbraw/zinc/37/90/02/407379002.db2.gz LMJLBZMPJFIOPF-IUODEOHRSA-N 1 2 305.403 1.572 20 30 DDEDLO C[C@@]1(O)CCC[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000125107902 407379003 /nfs/dbraw/zinc/37/90/03/407379003.db2.gz LMJLBZMPJFIOPF-IUODEOHRSA-N 1 2 305.403 1.572 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCc1cccc(OC(F)F)c1 ZINC000112336090 407426945 /nfs/dbraw/zinc/42/69/45/407426945.db2.gz MWMOOCATSZUCJJ-UHFFFAOYSA-N 1 2 315.320 1.992 20 30 DDEDLO CC1(C)C[N@H+](CCNc2ccc([N+](=O)[O-])cc2C#N)CCO1 ZINC000170640538 407460316 /nfs/dbraw/zinc/46/03/16/407460316.db2.gz YICRVFDJQVHRLT-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO CC1(C)C[N@@H+](CCNc2ccc([N+](=O)[O-])cc2C#N)CCO1 ZINC000170640538 407460319 /nfs/dbraw/zinc/46/03/19/407460319.db2.gz YICRVFDJQVHRLT-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO Cc1cc(NC(=O)[C@H](C)[NH+]2CCSCC2)nn1CCC#N ZINC000271103019 407517089 /nfs/dbraw/zinc/51/70/89/407517089.db2.gz YVJHQQYEOGFWTJ-LBPRGKRZSA-N 1 2 307.423 1.481 20 30 DDEDLO N#CC1(C(=O)N[C@H]2CCCN(c3cccc[nH+]3)C2)CCOCC1 ZINC000130506331 407697101 /nfs/dbraw/zinc/69/71/01/407697101.db2.gz FUPUWKCCPZGCOM-AWEZNQCLSA-N 1 2 314.389 1.487 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH2+][C@@H](C)c1nnnn1-c1ccccc1 ZINC000115605059 407699689 /nfs/dbraw/zinc/69/96/89/407699689.db2.gz WWVXEDFOTWZPLC-RYUDHWBXSA-N 1 2 300.366 1.004 20 30 DDEDLO N#CCCCCNC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000172287548 407816531 /nfs/dbraw/zinc/81/65/31/407816531.db2.gz LUIFBDVNVRVDNR-UHFFFAOYSA-N 1 2 311.345 1.621 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCc2ccccc2[C@@H]1CO ZINC000268080031 407882279 /nfs/dbraw/zinc/88/22/79/407882279.db2.gz GFOWQWLMVIVFLD-FUHWJXTLSA-N 1 2 315.417 1.633 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCc2ccccc2[C@@H]1CO ZINC000268080031 407882285 /nfs/dbraw/zinc/88/22/85/407882285.db2.gz GFOWQWLMVIVFLD-FUHWJXTLSA-N 1 2 315.417 1.633 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2sccc2C#N)[C@@H](C)C1 ZINC000180392504 407882959 /nfs/dbraw/zinc/88/29/59/407882959.db2.gz FSNZBQWVJRGJIJ-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2sccc2C#N)[C@@H](C)C1 ZINC000180392504 407882967 /nfs/dbraw/zinc/88/29/67/407882967.db2.gz FSNZBQWVJRGJIJ-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCOC2(CCCCC2)C1 ZINC000173845981 407901995 /nfs/dbraw/zinc/90/19/95/407901995.db2.gz PTPAJYNCWJNGDP-CYBMUJFWSA-N 1 2 309.410 1.422 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCOC2(CCCCC2)C1 ZINC000173845981 407902000 /nfs/dbraw/zinc/90/20/00/407902000.db2.gz PTPAJYNCWJNGDP-CYBMUJFWSA-N 1 2 309.410 1.422 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000134975215 407959346 /nfs/dbraw/zinc/95/93/46/407959346.db2.gz SYPOOONUUVJMMN-HOTGVXAUSA-N 1 2 312.479 1.913 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)[NH+]1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000118390752 407913852 /nfs/dbraw/zinc/91/38/52/407913852.db2.gz CUCJFQLLAJLRDR-PBHICJAKSA-N 1 2 313.401 1.942 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)NCCCn2cc[nH+]c2)c(F)c1 ZINC000135644139 408043251 /nfs/dbraw/zinc/04/32/51/408043251.db2.gz MEVRQLLUUPCYLN-UHFFFAOYSA-N 1 2 315.308 1.039 20 30 DDEDLO C[C@]1(O)CCC[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000245903855 408093564 /nfs/dbraw/zinc/09/35/64/408093564.db2.gz LMJLBZMPJFIOPF-WFASDCNBSA-N 1 2 305.403 1.572 20 30 DDEDLO C[C@]1(O)CCC[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000245903855 408093568 /nfs/dbraw/zinc/09/35/68/408093568.db2.gz LMJLBZMPJFIOPF-WFASDCNBSA-N 1 2 305.403 1.572 20 30 DDEDLO CC(C)NC(=O)CN1CC[NH+](Cc2ccc(C#N)s2)CC1 ZINC000119597939 408099778 /nfs/dbraw/zinc/09/97/78/408099778.db2.gz OEKVYIXJTZJBOD-UHFFFAOYSA-N 1 2 306.435 1.262 20 30 DDEDLO C=CC[N@@H+](C)CC1=C(C(=O)OCC)[C@H](c2ccco2)NC(=O)N1 ZINC000121365754 408192183 /nfs/dbraw/zinc/19/21/83/408192183.db2.gz IUWYTSVKQFALFD-AWEZNQCLSA-N 1 2 319.361 1.569 20 30 DDEDLO C=CC[N@H+](C)CC1=C(C(=O)OCC)[C@H](c2ccco2)NC(=O)N1 ZINC000121365754 408192203 /nfs/dbraw/zinc/19/22/03/408192203.db2.gz IUWYTSVKQFALFD-AWEZNQCLSA-N 1 2 319.361 1.569 20 30 DDEDLO C[C@@H](NC(=O)CNc1cccc(C#N)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000158705633 408357390 /nfs/dbraw/zinc/35/73/90/408357390.db2.gz LDQNFKQDAXFFJL-ZIAGYGMSSA-N 1 2 316.405 1.196 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)c3ccccc3O)CC2)cc1C#N ZINC000191251317 408394302 /nfs/dbraw/zinc/39/43/02/408394302.db2.gz MBEGCAWMCGCNEY-UHFFFAOYSA-N 1 2 324.384 1.560 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccc(C)cc2C)C1=O ZINC000281187956 408874604 /nfs/dbraw/zinc/87/46/04/408874604.db2.gz BLUPIEOZJFDJBJ-MRXNPFEDSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccc(C)cc2C)C1=O ZINC000281187956 408874605 /nfs/dbraw/zinc/87/46/05/408874605.db2.gz BLUPIEOZJFDJBJ-MRXNPFEDSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C(=O)OC)c(C)o2)C1=O ZINC000281225656 408875761 /nfs/dbraw/zinc/87/57/61/408875761.db2.gz KTOVMEDRQMDLQL-AWEZNQCLSA-N 1 2 306.362 1.593 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C(=O)OC)c(C)o2)C1=O ZINC000281225656 408875762 /nfs/dbraw/zinc/87/57/62/408875762.db2.gz KTOVMEDRQMDLQL-AWEZNQCLSA-N 1 2 306.362 1.593 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cccc3c2OCCO3)C1=O ZINC000281223361 408876211 /nfs/dbraw/zinc/87/62/11/408876211.db2.gz KMRLGKLQOQYJTP-CQSZACIVSA-N 1 2 302.374 1.677 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cccc3c2OCCO3)C1=O ZINC000281223361 408876214 /nfs/dbraw/zinc/87/62/14/408876214.db2.gz KMRLGKLQOQYJTP-CQSZACIVSA-N 1 2 302.374 1.677 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)C[C@@H](O)c2c(F)cccc2F)C1=O ZINC000281268645 408876798 /nfs/dbraw/zinc/87/67/98/408876798.db2.gz HWOZVUTVNNBOIW-UONOGXRCSA-N 1 2 310.344 1.717 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)C[C@@H](O)c2c(F)cccc2F)C1=O ZINC000281268645 408876799 /nfs/dbraw/zinc/87/67/99/408876799.db2.gz HWOZVUTVNNBOIW-UONOGXRCSA-N 1 2 310.344 1.717 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000276231521 408826580 /nfs/dbraw/zinc/82/65/80/408826580.db2.gz NILDQTNLWWZKSP-QGZVFWFLSA-N 1 2 318.421 1.662 20 30 DDEDLO CN(C)S(=O)(=O)[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC000285637398 408833910 /nfs/dbraw/zinc/83/39/10/408833910.db2.gz OEHONVIRWJLZIG-INIZCTEOSA-N 1 2 306.431 1.394 20 30 DDEDLO CN(C)S(=O)(=O)[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC000285637398 408833912 /nfs/dbraw/zinc/83/39/12/408833912.db2.gz OEHONVIRWJLZIG-INIZCTEOSA-N 1 2 306.431 1.394 20 30 DDEDLO CC1(C#N)CCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)CC1 ZINC000277273960 408910751 /nfs/dbraw/zinc/91/07/51/408910751.db2.gz ADDDIOBDQATPPF-CQSZACIVSA-N 1 2 315.421 1.958 20 30 DDEDLO CC1(C#N)CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)CC1 ZINC000277273960 408910755 /nfs/dbraw/zinc/91/07/55/408910755.db2.gz ADDDIOBDQATPPF-CQSZACIVSA-N 1 2 315.421 1.958 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000281493748 408887397 /nfs/dbraw/zinc/88/73/97/408887397.db2.gz QIGYKBWGZRICKE-CZUORRHYSA-N 1 2 312.373 1.978 20 30 DDEDLO N#CCCCC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000291769185 408920072 /nfs/dbraw/zinc/92/00/72/408920072.db2.gz VOSJXZIRZNBYHV-KRWDZBQOSA-N 1 2 315.417 1.740 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)[C@@H]2CCN(CC=C)C2=O)c1 ZINC000281891092 408949036 /nfs/dbraw/zinc/94/90/36/408949036.db2.gz DUXKIRGQKDJWEA-MRXNPFEDSA-N 1 2 311.385 1.325 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)[C@@H]2CCN(CC=C)C2=O)c1 ZINC000281891092 408949037 /nfs/dbraw/zinc/94/90/37/408949037.db2.gz DUXKIRGQKDJWEA-MRXNPFEDSA-N 1 2 311.385 1.325 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3ccc(Cl)cn3)CC2)C1=O ZINC000281905796 408951731 /nfs/dbraw/zinc/95/17/31/408951731.db2.gz GADUSBGYUNSVLP-AWEZNQCLSA-N 1 2 320.824 1.644 20 30 DDEDLO N#Cc1cc(N2CC[NH+]([C@H](C(N)=O)c3ccccc3)CC2)ccn1 ZINC000286266437 408952345 /nfs/dbraw/zinc/95/23/45/408952345.db2.gz WDMWWLNCLQMRJY-KRWDZBQOSA-N 1 2 321.384 1.302 20 30 DDEDLO C=CC[C@@H]1N(C(=O)C(=O)NCc2[nH+]ccn2C)CCCC1(C)C ZINC000286722488 408998491 /nfs/dbraw/zinc/99/84/91/408998491.db2.gz FDNDRXFWKXYJRJ-ZDUSSCGKSA-N 1 2 318.421 1.630 20 30 DDEDLO CC[N@H+](CC(=O)Nc1cc(Cl)ccc1C#N)[C@H]1CCNC1=O ZINC000282196213 409008531 /nfs/dbraw/zinc/00/85/31/409008531.db2.gz CICVDEVWXCYNBP-ZDUSSCGKSA-N 1 2 320.780 1.361 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1cc(Cl)ccc1C#N)[C@H]1CCNC1=O ZINC000282196213 409008534 /nfs/dbraw/zinc/00/85/34/409008534.db2.gz CICVDEVWXCYNBP-ZDUSSCGKSA-N 1 2 320.780 1.361 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)Nc2ccccc2C#N)CC(=O)N1C ZINC000282208102 409010979 /nfs/dbraw/zinc/01/09/79/409010979.db2.gz OGCGMAMZQBSQMG-LBPRGKRZSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)Nc2ccccc2C#N)CC(=O)N1C ZINC000282208102 409010980 /nfs/dbraw/zinc/01/09/80/409010980.db2.gz OGCGMAMZQBSQMG-LBPRGKRZSA-N 1 2 300.362 1.049 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1 ZINC000293018029 409049121 /nfs/dbraw/zinc/04/91/21/409049121.db2.gz FGDXSFOWQRXGFF-CYBMUJFWSA-N 1 2 319.380 1.074 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1 ZINC000293018029 409049122 /nfs/dbraw/zinc/04/91/22/409049122.db2.gz FGDXSFOWQRXGFF-CYBMUJFWSA-N 1 2 319.380 1.074 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC000293098639 409051154 /nfs/dbraw/zinc/05/11/54/409051154.db2.gz KWQSQPQBDQDLKR-UHFFFAOYSA-N 1 2 323.400 1.950 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC000293098639 409051156 /nfs/dbraw/zinc/05/11/56/409051156.db2.gz KWQSQPQBDQDLKR-UHFFFAOYSA-N 1 2 323.400 1.950 20 30 DDEDLO N#CCCOCC[NH+]1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000293131380 409055334 /nfs/dbraw/zinc/05/53/34/409055334.db2.gz PTGATXBRQWSSKB-UHFFFAOYSA-N 1 2 323.343 1.653 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCC[C@@H](CS(C)(=O)=O)C1 ZINC000293344168 409094511 /nfs/dbraw/zinc/09/45/11/409094511.db2.gz NJFHBKFIQBQMEA-CQSZACIVSA-N 1 2 322.430 1.823 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCC[C@@H](CS(C)(=O)=O)C1 ZINC000293344168 409094514 /nfs/dbraw/zinc/09/45/14/409094514.db2.gz NJFHBKFIQBQMEA-CQSZACIVSA-N 1 2 322.430 1.823 20 30 DDEDLO C[C@@H]1Cc2ccccc2N1C(=O)CO[NH+]=C(N)[C@H]1CCCO1 ZINC000283756517 409209754 /nfs/dbraw/zinc/20/97/54/409209754.db2.gz AKZLWIXJJHFSKP-BXUZGUMPSA-N 1 2 303.362 1.432 20 30 DDEDLO CCOc1ccccc1NC(=O)CO[NH+]=C(N)[C@H]1CCCO1 ZINC000283908554 409237868 /nfs/dbraw/zinc/23/78/68/409237868.db2.gz DLZCGZBXAPQMSM-CYBMUJFWSA-N 1 2 307.350 1.492 20 30 DDEDLO Cc1nsc(NC(=O)N(C)CC[NH+]2CCOCC2)c1C#N ZINC000294121186 409244692 /nfs/dbraw/zinc/24/46/92/409244692.db2.gz DRLNAEULGTYYIM-UHFFFAOYSA-N 1 2 309.395 1.119 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@@H](C)C(=O)N(CC=C)CC=C)n1 ZINC000279750710 409247572 /nfs/dbraw/zinc/24/75/72/409247572.db2.gz QAYHQTFQHPQUGW-INIZCTEOSA-N 1 2 314.433 1.927 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@@H](C)C(=O)N(CC=C)CC=C)n1 ZINC000279750710 409247576 /nfs/dbraw/zinc/24/75/76/409247576.db2.gz QAYHQTFQHPQUGW-INIZCTEOSA-N 1 2 314.433 1.927 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccc3OC)n2C)CC1 ZINC000283975452 409250537 /nfs/dbraw/zinc/25/05/37/409250537.db2.gz XSVGQWLBYZXPRK-UHFFFAOYSA-N 1 2 311.389 1.246 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000294541622 409294648 /nfs/dbraw/zinc/29/46/48/409294648.db2.gz SOCGOUDVFQREML-WFASDCNBSA-N 1 2 315.348 1.803 20 30 DDEDLO COc1cncc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)c1 ZINC000290152811 409310443 /nfs/dbraw/zinc/31/04/43/409310443.db2.gz CTFYHZBXLGSMGV-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO COc1cncc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)c1 ZINC000290152811 409310445 /nfs/dbraw/zinc/31/04/45/409310445.db2.gz CTFYHZBXLGSMGV-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC000284963513 409378575 /nfs/dbraw/zinc/37/85/75/409378575.db2.gz FOAJQKONTXQAQM-UHFFFAOYSA-N 1 2 304.394 1.472 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@H+](CC(=O)Nc1cccc(C#N)c1)CCO2 ZINC000280611820 409379209 /nfs/dbraw/zinc/37/92/09/409379209.db2.gz XSTRAAVNZHUDKX-GUYCJALGSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@@H+](CC(=O)Nc1cccc(C#N)c1)CCO2 ZINC000280611820 409379217 /nfs/dbraw/zinc/37/92/17/409379217.db2.gz XSTRAAVNZHUDKX-GUYCJALGSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H]1CCc2ccccc2N1C(=O)CO[NH+]=C(N)[C@H]1CCCO1 ZINC000284458196 409342626 /nfs/dbraw/zinc/34/26/26/409342626.db2.gz QMTDWNYDPFJSJO-SWLSCSKDSA-N 1 2 317.389 1.822 20 30 DDEDLO CCOc1ccc(NC(=O)[C@H](C)O[NH+]=C(N)[C@@H]2CCCO2)cc1 ZINC000284494764 409345572 /nfs/dbraw/zinc/34/55/72/409345572.db2.gz SOHUDZNDYZCCCB-FZMZJTMJSA-N 1 2 321.377 1.880 20 30 DDEDLO C#Cc1ccc(CNC(=O)N[C@H](C)C[NH+]2CCOCC2)cc1 ZINC000296201963 409461635 /nfs/dbraw/zinc/46/16/35/409461635.db2.gz HZBDEAKVKQPLEX-CQSZACIVSA-N 1 2 301.390 1.188 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCC[C@H]2CCO)c1 ZINC000314488352 164014580 /nfs/dbraw/zinc/01/45/80/164014580.db2.gz XAQXPRILEGCVOV-AWEZNQCLSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCC[C@H]2CCO)c1 ZINC000314488352 164014582 /nfs/dbraw/zinc/01/45/82/164014582.db2.gz XAQXPRILEGCVOV-AWEZNQCLSA-N 1 2 308.403 1.179 20 30 DDEDLO C=C[C@@H](CO)NC(=O)Nc1ccccc1OCCn1cc[nH+]c1 ZINC000290624200 409467000 /nfs/dbraw/zinc/46/70/00/409467000.db2.gz ZXIDVEGBXNTVMQ-ZDUSSCGKSA-N 1 2 316.361 1.631 20 30 DDEDLO N#Cc1cccnc1-n1cc(NC(=O)NCc2[nH]cc[nH+]2)cn1 ZINC000360224252 164147937 /nfs/dbraw/zinc/14/79/37/164147937.db2.gz HDSXJZPNROPXTC-UHFFFAOYSA-N 1 2 308.305 1.184 20 30 DDEDLO Cc1cc(C[N@@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)ccc1C#N ZINC000408360017 164306636 /nfs/dbraw/zinc/30/66/36/164306636.db2.gz RDQFLKONABZSFY-ZDUSSCGKSA-N 1 2 307.419 1.332 20 30 DDEDLO Cc1cc(C[N@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)ccc1C#N ZINC000408360017 164306638 /nfs/dbraw/zinc/30/66/38/164306638.db2.gz RDQFLKONABZSFY-ZDUSSCGKSA-N 1 2 307.419 1.332 20 30 DDEDLO Cn1ccnc1C[N@H+](C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000303384748 409549635 /nfs/dbraw/zinc/54/96/35/409549635.db2.gz UZLSCZUZNROOIU-AWEZNQCLSA-N 1 2 300.362 1.163 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000303384748 409549641 /nfs/dbraw/zinc/54/96/41/409549641.db2.gz UZLSCZUZNROOIU-AWEZNQCLSA-N 1 2 300.362 1.163 20 30 DDEDLO C=C[C@@H](CO)NC(=O)c1cccc(CNc2cc[nH+]c(C)n2)c1 ZINC000356860041 409669007 /nfs/dbraw/zinc/66/90/07/409669007.db2.gz WRZQLXFESYHXCX-HNNXBMFYSA-N 1 2 312.373 1.674 20 30 DDEDLO CC1(C)NC(=O)CC[C@@H]1NC([O-])=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000328747487 409968178 /nfs/dbraw/zinc/96/81/78/409968178.db2.gz PCEXZBBWGXQXOS-RYUDHWBXSA-N 1 2 319.409 1.847 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@H+](CCO)Cc1cccnc1 ZINC000357314441 409968757 /nfs/dbraw/zinc/96/87/57/409968757.db2.gz XYMCFHJBSHWVIM-WMLDXEAASA-N 1 2 318.421 1.319 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@@H+](CCO)Cc1cccnc1 ZINC000357314441 409968768 /nfs/dbraw/zinc/96/87/68/409968768.db2.gz XYMCFHJBSHWVIM-WMLDXEAASA-N 1 2 318.421 1.319 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC(C)(C)[NH+](C)C)cc1C#N ZINC000350102904 409926936 /nfs/dbraw/zinc/92/69/36/409926936.db2.gz JYYGPUSRNYPJRJ-UHFFFAOYSA-N 1 2 308.407 1.451 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2cnccc2C#N)CC1 ZINC000342847864 409944848 /nfs/dbraw/zinc/94/48/48/409944848.db2.gz KBCPGHHHFWBPTR-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)CNC(=O)C3CCCCC3)C[C@@H]21 ZINC000328916220 410007901 /nfs/dbraw/zinc/00/79/01/410007901.db2.gz RERDOIPEZYWKKR-LSDHHAIUSA-N 1 2 323.437 1.455 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)CNC(=O)C3CCCCC3)C[C@@H]21 ZINC000328916220 410007909 /nfs/dbraw/zinc/00/79/09/410007909.db2.gz RERDOIPEZYWKKR-LSDHHAIUSA-N 1 2 323.437 1.455 20 30 DDEDLO CN1CCOC[C@H]1C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000328897655 410006180 /nfs/dbraw/zinc/00/61/80/410006180.db2.gz CUHJEYNPYBQQEK-AWEZNQCLSA-N 1 2 304.394 1.469 20 30 DDEDLO CN1CCO[C@@H]2CC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C[C@H]21 ZINC000328904366 410006550 /nfs/dbraw/zinc/00/65/50/410006550.db2.gz JFYPJLMQFYYWIT-IIAWOOMASA-N 1 2 306.410 1.040 20 30 DDEDLO CN1CCO[C@@H]2CC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C[C@H]21 ZINC000328904366 410006554 /nfs/dbraw/zinc/00/65/54/410006554.db2.gz JFYPJLMQFYYWIT-IIAWOOMASA-N 1 2 306.410 1.040 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(CC(=O)N[C@](C)(C#N)C3CC3)C[C@H]21 ZINC000328904366 410006557 /nfs/dbraw/zinc/00/65/57/410006557.db2.gz JFYPJLMQFYYWIT-IIAWOOMASA-N 1 2 306.410 1.040 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(CC(=O)N[C@](C)(C#N)C3CC3)C[C@H]21 ZINC000328904366 410006561 /nfs/dbraw/zinc/00/65/61/410006561.db2.gz JFYPJLMQFYYWIT-IIAWOOMASA-N 1 2 306.410 1.040 20 30 DDEDLO C#CC[N@H+](Cc1ccc(F)cc1)[C@H](C)C(=O)NC(=O)NC1CC1 ZINC000298038374 410088128 /nfs/dbraw/zinc/08/81/28/410088128.db2.gz GLJZZPRDYMTVJP-GFCCVEGCSA-N 1 2 317.364 1.638 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(F)cc1)[C@H](C)C(=O)NC(=O)NC1CC1 ZINC000298038374 410088134 /nfs/dbraw/zinc/08/81/34/410088134.db2.gz GLJZZPRDYMTVJP-GFCCVEGCSA-N 1 2 317.364 1.638 20 30 DDEDLO Cc1c(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)cnn1CC(C)C ZINC000329105241 410102959 /nfs/dbraw/zinc/10/29/59/410102959.db2.gz DTWCQOWYDNVYCY-LSDHHAIUSA-N 1 2 321.425 1.408 20 30 DDEDLO Cc1c(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)cnn1CC(C)C ZINC000329105241 410102965 /nfs/dbraw/zinc/10/29/65/410102965.db2.gz DTWCQOWYDNVYCY-LSDHHAIUSA-N 1 2 321.425 1.408 20 30 DDEDLO COc1cc(F)cc(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c1 ZINC000329123264 410115367 /nfs/dbraw/zinc/11/53/67/410115367.db2.gz XCSLYJPDXUHJBC-CABCVRRESA-N 1 2 323.368 1.263 20 30 DDEDLO COc1cc(F)cc(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c1 ZINC000329123264 410115374 /nfs/dbraw/zinc/11/53/74/410115374.db2.gz XCSLYJPDXUHJBC-CABCVRRESA-N 1 2 323.368 1.263 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cn4cccnc4n3)CC2)c1 ZINC000298296499 410169266 /nfs/dbraw/zinc/16/92/66/410169266.db2.gz UGCJKWCPPYEPPS-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO O=C(CCc1[nH]cc[nH+]1)NC[C@@H]1CCC2(CCOCC2)[C@H]1O ZINC000329313531 410226864 /nfs/dbraw/zinc/22/68/64/410226864.db2.gz GUYMIHUWBHTVME-WFASDCNBSA-N 1 2 307.394 1.867 20 30 DDEDLO CCn1cc[nH+]c1[C@H](NC(=O)N1CC(F)C1)C1CCOCC1 ZINC000329506305 410331704 /nfs/dbraw/zinc/33/17/04/410331704.db2.gz LLOXQMJXQGPHJQ-CYBMUJFWSA-N 1 2 310.373 1.938 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1C[C@@H](C)[C@@H](O)C1 ZINC000351945304 410358409 /nfs/dbraw/zinc/35/84/09/410358409.db2.gz PHOIVHPCFHFSIZ-NRUUGDAUSA-N 1 2 319.430 1.748 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1C[C@@H](C)[C@@H](O)C1 ZINC000351945304 410358411 /nfs/dbraw/zinc/35/84/11/410358411.db2.gz PHOIVHPCFHFSIZ-NRUUGDAUSA-N 1 2 319.430 1.748 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1C[C@@H](C)[C@H](O)C1 ZINC000351945302 410358625 /nfs/dbraw/zinc/35/86/25/410358625.db2.gz PHOIVHPCFHFSIZ-GBIKHYSHSA-N 1 2 319.430 1.748 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1C[C@@H](C)[C@H](O)C1 ZINC000351945302 410358628 /nfs/dbraw/zinc/35/86/28/410358628.db2.gz PHOIVHPCFHFSIZ-GBIKHYSHSA-N 1 2 319.430 1.748 20 30 DDEDLO C[N@@H+](Cc1cn2ccccc2c1C#N)C[C@@H]1CCS(=O)(=O)C1 ZINC000329593700 410375339 /nfs/dbraw/zinc/37/53/39/410375339.db2.gz USQMNMNZTNAQFN-ZDUSSCGKSA-N 1 2 317.414 1.677 20 30 DDEDLO C[N@H+](Cc1cn2ccccc2c1C#N)C[C@@H]1CCS(=O)(=O)C1 ZINC000329593700 410375344 /nfs/dbraw/zinc/37/53/44/410375344.db2.gz USQMNMNZTNAQFN-ZDUSSCGKSA-N 1 2 317.414 1.677 20 30 DDEDLO COC(=O)CN1CC[NH+](Cc2ccc(C#N)cc2Cl)CC1 ZINC000355233373 410390205 /nfs/dbraw/zinc/39/02/05/410390205.db2.gz CFROKWGZWNGOBR-UHFFFAOYSA-N 1 2 307.781 1.502 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@H]21 ZINC000329933456 410488457 /nfs/dbraw/zinc/48/84/57/410488457.db2.gz QBSOMOLUJSLKMK-KGLIPLIRSA-N 1 2 304.325 1.244 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@H]21 ZINC000329933456 410488463 /nfs/dbraw/zinc/48/84/63/410488463.db2.gz QBSOMOLUJSLKMK-KGLIPLIRSA-N 1 2 304.325 1.244 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)C3(c4ccc(C#N)cc4)CC3)C[C@H]21 ZINC000329929188 410486320 /nfs/dbraw/zinc/48/63/20/410486320.db2.gz NHBDVQKQLAFMJA-CVEARBPZSA-N 1 2 311.385 1.131 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)C3(c4ccc(C#N)cc4)CC3)C[C@H]21 ZINC000329929188 410486324 /nfs/dbraw/zinc/48/63/24/410486324.db2.gz NHBDVQKQLAFMJA-CVEARBPZSA-N 1 2 311.385 1.131 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C ZINC000355308457 410433289 /nfs/dbraw/zinc/43/32/89/410433289.db2.gz BPHBADYYVCPYEG-INIZCTEOSA-N 1 2 320.393 1.640 20 30 DDEDLO C=C(CC)C[NH+]1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000358627956 410500940 /nfs/dbraw/zinc/50/09/40/410500940.db2.gz YEOWRIDBIZFUJE-UHFFFAOYSA-N 1 2 324.446 1.968 20 30 DDEDLO Cn1cc(N2CCC[C@@H]([NH+]3CCC(F)(C#N)CC3)C2=O)cn1 ZINC000352457797 410660206 /nfs/dbraw/zinc/66/02/06/410660206.db2.gz FTOUYCCUDSRZFV-CYBMUJFWSA-N 1 2 305.357 1.243 20 30 DDEDLO C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)C[NH+]1CCC(F)(C#N)CC1 ZINC000352480497 410660389 /nfs/dbraw/zinc/66/03/89/410660389.db2.gz CPEDLVLBBLSSAX-CHWSQXEVSA-N 1 2 324.400 1.719 20 30 DDEDLO COc1ccc2nc(C[NH+]3CCN(CC#N)CC3)sc2c1 ZINC000355735268 410665591 /nfs/dbraw/zinc/66/55/91/410665591.db2.gz VSNPZDCYOGEKBN-UHFFFAOYSA-N 1 2 302.403 1.946 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000352407335 410654795 /nfs/dbraw/zinc/65/47/95/410654795.db2.gz QBERMBHPUXWMCP-GFCCVEGCSA-N 1 2 308.407 1.403 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000571480882 304465157 /nfs/dbraw/zinc/46/51/57/304465157.db2.gz WWFVDYMARGWJLY-UHFFFAOYSA-N 1 2 314.389 1.853 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)NC[C@H]1CCCO1)Cc1ccc(CO)o1 ZINC000352813491 410680340 /nfs/dbraw/zinc/68/03/40/410680340.db2.gz BSYXAGURTGHWEU-CQSZACIVSA-N 1 2 322.405 1.445 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)NC[C@H]1CCCO1)Cc1ccc(CO)o1 ZINC000352813491 410680345 /nfs/dbraw/zinc/68/03/45/410680345.db2.gz BSYXAGURTGHWEU-CQSZACIVSA-N 1 2 322.405 1.445 20 30 DDEDLO N#Cc1ccnc(NC[C@H]2C[N@@H+](C3CC3)CCO2)c1[N+](=O)[O-] ZINC000301672309 410767230 /nfs/dbraw/zinc/76/72/30/410767230.db2.gz QZBWHAWXGABSSJ-LBPRGKRZSA-N 1 2 303.322 1.137 20 30 DDEDLO N#Cc1ccnc(NC[C@H]2C[N@H+](C3CC3)CCO2)c1[N+](=O)[O-] ZINC000301672309 410767236 /nfs/dbraw/zinc/76/72/36/410767236.db2.gz QZBWHAWXGABSSJ-LBPRGKRZSA-N 1 2 303.322 1.137 20 30 DDEDLO Cc1nc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)no1 ZINC000330581801 410799284 /nfs/dbraw/zinc/79/92/84/410799284.db2.gz ULFJSABXYRYVSU-UHFFFAOYSA-N 1 2 311.345 1.208 20 30 DDEDLO Cc1nnc(N2CCC([C@H](O)c3[nH]cc[nH+]3)CC2)c(C#N)c1C ZINC000302786366 410863181 /nfs/dbraw/zinc/86/31/81/410863181.db2.gz WAYASXLKEWWZQH-AWEZNQCLSA-N 1 2 312.377 1.638 20 30 DDEDLO Cn1cc(C[NH2+]Cc2ncn(Cc3cccc(F)c3)n2)cc1C#N ZINC000359689963 410819758 /nfs/dbraw/zinc/81/97/58/410819758.db2.gz UGAWVRVDACZIKK-UHFFFAOYSA-N 1 2 324.363 1.965 20 30 DDEDLO Cn1ncc(C(=O)N2CC[NH+](Cc3ccccc3)CC2)c1C#N ZINC000353339774 410930255 /nfs/dbraw/zinc/93/02/55/410930255.db2.gz AJNCBDLLUHNMMQ-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO Cn1cc(C[NH+]2CC(n3ccnn3)C2)c(-c2ccc(C#N)cc2)n1 ZINC000359997237 410988066 /nfs/dbraw/zinc/98/80/66/410988066.db2.gz UAPOFVZBJIKZBR-UHFFFAOYSA-N 1 2 319.372 1.607 20 30 DDEDLO C[C@@H]1C[NH+](CCC(=O)Nc2cccc(C#N)c2)C[C@@H](C)S1=O ZINC000331159807 410988226 /nfs/dbraw/zinc/98/82/26/410988226.db2.gz KEEBIHKIWMTQBO-CHWSQXEVSA-N 1 2 319.430 1.728 20 30 DDEDLO C=CCN1C(=O)N=NC1S(=O)(=O)Cc1ccc(C)[nH+]c1C ZINC000341366636 411024936 /nfs/dbraw/zinc/02/49/36/411024936.db2.gz IZLBYXBTRGIMEA-UHFFFAOYSA-N 1 2 308.363 1.155 20 30 DDEDLO C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1ccc(C)[nH+]c1C ZINC000341366636 411024943 /nfs/dbraw/zinc/02/49/43/411024943.db2.gz IZLBYXBTRGIMEA-UHFFFAOYSA-N 1 2 308.363 1.155 20 30 DDEDLO C=CCC[C@H]1NC(=O)N([C@@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000642933137 423006107 /nfs/dbraw/zinc/00/61/07/423006107.db2.gz VMMFMAIIELNCGD-CHWSQXEVSA-N 1 2 300.362 1.547 20 30 DDEDLO CC(C)c1nc(N(C)C)nc(NC2CCN(CC#N)CC2)[nH+]1 ZINC000611241013 416663309 /nfs/dbraw/zinc/66/33/09/416663309.db2.gz ZOOOCLIFDUCZLQ-UHFFFAOYSA-N 1 2 303.414 1.461 20 30 DDEDLO CC(C)c1nc(NC2CCN(CC#N)CC2)nc(N(C)C)[nH+]1 ZINC000611241013 416663312 /nfs/dbraw/zinc/66/33/12/416663312.db2.gz ZOOOCLIFDUCZLQ-UHFFFAOYSA-N 1 2 303.414 1.461 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@H](C)O[C@]2(CCCOC2)C1 ZINC000366573806 418492008 /nfs/dbraw/zinc/49/20/08/418492008.db2.gz NIBVDYMZVXVQSI-GOEBONIOSA-N 1 2 309.410 1.018 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C)O[C@]2(CCCOC2)C1 ZINC000366573806 418492009 /nfs/dbraw/zinc/49/20/09/418492009.db2.gz NIBVDYMZVXVQSI-GOEBONIOSA-N 1 2 309.410 1.018 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)C1([NH+]2CCOCC2)CCC1 ZINC000366732701 418509578 /nfs/dbraw/zinc/50/95/78/418509578.db2.gz HQYDCSVBPJUYBP-CABCVRRESA-N 1 2 308.422 1.339 20 30 DDEDLO Cc1c([C@H]2CCC[N@@H+]2CC(=O)N[C@](C)(C#N)C2CC2)cnn1C ZINC000367261826 418578582 /nfs/dbraw/zinc/57/85/82/418578582.db2.gz FHECKOJLRMVALU-NVXWUHKLSA-N 1 2 315.421 1.674 20 30 DDEDLO Cc1c([C@H]2CCC[N@H+]2CC(=O)N[C@](C)(C#N)C2CC2)cnn1C ZINC000367261826 418578584 /nfs/dbraw/zinc/57/85/84/418578584.db2.gz FHECKOJLRMVALU-NVXWUHKLSA-N 1 2 315.421 1.674 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@H]2C[N@@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000248806983 222237226 /nfs/dbraw/zinc/23/72/26/222237226.db2.gz GZZLYEIKABZPIF-UICACZKSSA-N 1 2 321.421 1.314 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@H]2C[N@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000248806983 222237228 /nfs/dbraw/zinc/23/72/28/222237228.db2.gz GZZLYEIKABZPIF-UICACZKSSA-N 1 2 321.421 1.314 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+](C2(C(N)=O)CCCC2)CC1 ZINC000367364289 418591548 /nfs/dbraw/zinc/59/15/48/418591548.db2.gz BSPSJANMCZQZFH-UHFFFAOYSA-N 1 2 305.422 1.122 20 30 DDEDLO CC(C)N1C[C@H](NC(=O)NC[C@H]2CCCn3cc[nH+]c32)CC1=O ZINC000328599625 418606031 /nfs/dbraw/zinc/60/60/31/418606031.db2.gz CHGNGPHTAWUSRY-CHWSQXEVSA-N 1 2 319.409 1.273 20 30 DDEDLO N#CCCCCNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000266831385 222363704 /nfs/dbraw/zinc/36/37/04/222363704.db2.gz MANHIQUQIZMFIV-UHFFFAOYSA-N 1 2 301.390 1.942 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[NH2+][C@@H](c2cccnc2)C1 ZINC000368304503 418717402 /nfs/dbraw/zinc/71/74/02/418717402.db2.gz NSJKKYSYTJBPDG-GOSISDBHSA-N 1 2 305.381 1.779 20 30 DDEDLO Cc1ccc(C[N@H+](CCO)CC(=O)N[C@](C)(C#N)C2CC2)o1 ZINC000361512462 418667752 /nfs/dbraw/zinc/66/77/52/418667752.db2.gz YZANYIXXFIVKQO-MRXNPFEDSA-N 1 2 305.378 1.191 20 30 DDEDLO Cc1ccc(C[N@@H+](CCO)CC(=O)N[C@](C)(C#N)C2CC2)o1 ZINC000361512462 418667755 /nfs/dbraw/zinc/66/77/55/418667755.db2.gz YZANYIXXFIVKQO-MRXNPFEDSA-N 1 2 305.378 1.191 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCN3C(=O)OC[C@H]3C2)cc(OC)c1O ZINC000378345546 418720908 /nfs/dbraw/zinc/72/09/08/418720908.db2.gz LKBWXHKWBJKRKM-CQSZACIVSA-N 1 2 318.373 1.766 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCN3C(=O)OC[C@H]3C2)cc(OC)c1O ZINC000378345546 418720910 /nfs/dbraw/zinc/72/09/10/418720910.db2.gz LKBWXHKWBJKRKM-CQSZACIVSA-N 1 2 318.373 1.766 20 30 DDEDLO C[C@H](C#N)N1CC[C@H]([NH+]2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000375843244 418689902 /nfs/dbraw/zinc/68/99/02/418689902.db2.gz XPGAUXZZIQFTDO-KGLIPLIRSA-N 1 2 308.426 1.525 20 30 DDEDLO N#C[C@H]1C[N@@H+](Cc2cncs2)C[C@@]12C(=O)Nc1ccccc12 ZINC000376644925 418699577 /nfs/dbraw/zinc/69/95/77/418699577.db2.gz SFBVIDUXYTYWRC-MEDUHNTESA-N 1 2 310.382 1.989 20 30 DDEDLO N#C[C@H]1C[N@H+](Cc2cncs2)C[C@@]12C(=O)Nc1ccccc12 ZINC000376644925 418699579 /nfs/dbraw/zinc/69/95/79/418699579.db2.gz SFBVIDUXYTYWRC-MEDUHNTESA-N 1 2 310.382 1.989 20 30 DDEDLO N#Cc1ccc(CN2CCC[C@H]([NH+]3CCOCC3)C2)c(F)c1 ZINC000382538530 418731439 /nfs/dbraw/zinc/73/14/39/418731439.db2.gz UGPKZCAYFQLCTL-INIZCTEOSA-N 1 2 303.381 1.994 20 30 DDEDLO C#CCCNC(=O)N[C@H](c1[nH+]ccn1C)c1ccc(OC)cc1 ZINC000369289469 418731636 /nfs/dbraw/zinc/73/16/36/418731636.db2.gz ZYDSZSAIBRNTSB-HNNXBMFYSA-N 1 2 312.373 1.841 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC000369604152 418735191 /nfs/dbraw/zinc/73/51/91/418735191.db2.gz QYMRIYVPECFWIB-HNNXBMFYSA-N 1 2 324.469 1.583 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC000369604152 418735192 /nfs/dbraw/zinc/73/51/92/418735192.db2.gz QYMRIYVPECFWIB-HNNXBMFYSA-N 1 2 324.469 1.583 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)cc2C)C[C@H]1C ZINC000408040133 418782825 /nfs/dbraw/zinc/78/28/25/418782825.db2.gz DFNAAZGTRSLZTI-KGLIPLIRSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)cc2C)C[C@H]1C ZINC000408040133 418782826 /nfs/dbraw/zinc/78/28/26/418782826.db2.gz DFNAAZGTRSLZTI-KGLIPLIRSA-N 1 2 321.446 1.970 20 30 DDEDLO C=CCSc1ccccc1C(=O)NC[C@H]1C[NH+]2CCN1CC2 ZINC000362396863 418753960 /nfs/dbraw/zinc/75/39/60/418753960.db2.gz PDVKTSLAAVGTRA-AWEZNQCLSA-N 1 2 317.458 1.694 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@H](COCC2CC2)C1 ZINC000362596847 418756198 /nfs/dbraw/zinc/75/61/98/418756198.db2.gz REAKXONOUNOBAG-RDJZCZTQSA-N 1 2 322.449 1.703 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@H](COCC2CC2)C1 ZINC000362596847 418756199 /nfs/dbraw/zinc/75/61/99/418756199.db2.gz REAKXONOUNOBAG-RDJZCZTQSA-N 1 2 322.449 1.703 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1Cc2ccccc2[C@@H](n2cccn2)C1 ZINC000363232172 418764022 /nfs/dbraw/zinc/76/40/22/418764022.db2.gz QXSQANGRZVIBIS-YOEHRIQHSA-N 1 2 308.385 1.426 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1Cc2ccccc2[C@@H](n2cccn2)C1 ZINC000363232172 418764025 /nfs/dbraw/zinc/76/40/25/418764025.db2.gz QXSQANGRZVIBIS-YOEHRIQHSA-N 1 2 308.385 1.426 20 30 DDEDLO C=C[C@@H](CC(=O)N1CCC[N@@H+](CC(N)=O)CC1)c1ccccc1 ZINC000371157408 418765721 /nfs/dbraw/zinc/76/57/21/418765721.db2.gz ZUWPTFSUPVXNJH-HNNXBMFYSA-N 1 2 315.417 1.366 20 30 DDEDLO C=C[C@@H](CC(=O)N1CCC[N@H+](CC(N)=O)CC1)c1ccccc1 ZINC000371157408 418765722 /nfs/dbraw/zinc/76/57/22/418765722.db2.gz ZUWPTFSUPVXNJH-HNNXBMFYSA-N 1 2 315.417 1.366 20 30 DDEDLO C=CC[C@H](C)NC(=O)NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000363811697 418770110 /nfs/dbraw/zinc/77/01/10/418770110.db2.gz CLBOYMNDHMIMBK-HOCLYGCPSA-N 1 2 311.426 1.132 20 30 DDEDLO N#CCCN(CCC#N)C(=O)[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000371469884 418793650 /nfs/dbraw/zinc/79/36/50/418793650.db2.gz YLJDOZOMOYDIKH-OAHLLOKOSA-N 1 2 311.389 1.954 20 30 DDEDLO CCCC[C@H](ON=C(C)C)C(=O)NC[C@H]1C[NH+]2CCN1CC2 ZINC000371894015 418824037 /nfs/dbraw/zinc/82/40/37/418824037.db2.gz MUTAZLFVTWOKHF-GJZGRUSLSA-N 1 2 310.442 1.074 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@H](c1[nH+]ccn1C)c1cccc(OC)c1 ZINC000372807478 418904275 /nfs/dbraw/zinc/90/42/75/418904275.db2.gz WUZKCGFUZMBTAU-WFASDCNBSA-N 1 2 312.373 1.839 20 30 DDEDLO N#C[C@H]1CN(C(=O)[C@@H]2CCCN(c3cccc[nH+]3)C2)CCO1 ZINC000365133942 418838545 /nfs/dbraw/zinc/83/85/45/418838545.db2.gz MYBYCDWRIALOHT-KGLIPLIRSA-N 1 2 300.362 1.049 20 30 DDEDLO CC[C@@H]1C[NH+]([C@H](C(N)=O)c2ccc(C#N)cc2)C[C@@H](CC)O1 ZINC000420950627 419381095 /nfs/dbraw/zinc/38/10/95/419381095.db2.gz GLMBAYVVILPECG-OAGGEKHMSA-N 1 2 301.390 1.974 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)c2ccc(C#N)c(O)c2)CCO1 ZINC000428054771 419802710 /nfs/dbraw/zinc/80/27/10/419802710.db2.gz OJNZFRICOHIFMS-AWEZNQCLSA-N 1 2 303.362 1.104 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)c2ccc(C#N)c(O)c2)CCO1 ZINC000428054771 419802713 /nfs/dbraw/zinc/80/27/13/419802713.db2.gz OJNZFRICOHIFMS-AWEZNQCLSA-N 1 2 303.362 1.104 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CN1C(=O)[C@H]2CC[C@@](C)(C1=O)C2(C)C ZINC000414113706 419811143 /nfs/dbraw/zinc/81/11/43/419811143.db2.gz SKBOEFSDCDGSBI-AHIWAGSCSA-N 1 2 321.421 1.004 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CN1C(=O)[C@H]2CC[C@@](C)(C1=O)C2(C)C ZINC000414113706 419811147 /nfs/dbraw/zinc/81/11/47/419811147.db2.gz SKBOEFSDCDGSBI-AHIWAGSCSA-N 1 2 321.421 1.004 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)c1cccc(C#N)c1 ZINC000431598636 229044709 /nfs/dbraw/zinc/04/47/09/229044709.db2.gz ZDSVMDJKMOPXGK-UHFFFAOYSA-N 1 2 303.343 1.440 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)[C@@H](C#N)CCc1ccccc1 ZINC000433221422 229175452 /nfs/dbraw/zinc/17/54/52/229175452.db2.gz UBURJGVYDZENFP-DOTOQJQBSA-N 1 2 315.417 1.596 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@@H](C)c2ncc(C(=O)OC)s2)C1=O ZINC000425495710 420398655 /nfs/dbraw/zinc/39/86/55/420398655.db2.gz ZXKDWALAQKLDRU-UWVGGRQHSA-N 1 2 309.391 1.367 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(c2nccnc2C#N)C1 ZINC000440637112 420592692 /nfs/dbraw/zinc/59/26/92/420592692.db2.gz NDWGZLONXHZZFQ-INIZCTEOSA-N 1 2 317.393 1.248 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)C=Cc1c[nH]c[nH+]1 ZINC000493418125 420843475 /nfs/dbraw/zinc/84/34/75/420843475.db2.gz KHNLJCPZVCMQJZ-HYXAFXHYSA-N 1 2 319.328 1.822 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CCN(C(=O)C=Cc2c[nH]c[nH+]2)CC1 ZINC000493424573 420846310 /nfs/dbraw/zinc/84/63/10/420846310.db2.gz CFGSYIWDWHSZBJ-JTGQJZMRSA-N 1 2 321.384 1.832 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C/c1ccc(OCC#N)cc1 ZINC000493659609 420909123 /nfs/dbraw/zinc/90/91/23/420909123.db2.gz LUDNVOBNTXNCLU-RCMYXZNBSA-N 1 2 312.373 1.562 20 30 DDEDLO N#CC1(C[N@H+]2CCCC[C@H]2COC(=O)N[C@@H]2CCOC2)CC1 ZINC000495812886 421068944 /nfs/dbraw/zinc/06/89/44/421068944.db2.gz DBFWQQBVVQPLAI-KGLIPLIRSA-N 1 2 307.394 1.660 20 30 DDEDLO N#CC1(C[N@@H+]2CCCC[C@H]2COC(=O)N[C@@H]2CCOC2)CC1 ZINC000495812886 421068948 /nfs/dbraw/zinc/06/89/48/421068948.db2.gz DBFWQQBVVQPLAI-KGLIPLIRSA-N 1 2 307.394 1.660 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)c1 ZINC000455958745 421080280 /nfs/dbraw/zinc/08/02/80/421080280.db2.gz SOODFMFOMFQUHF-MRXNPFEDSA-N 1 2 314.389 1.851 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)c1 ZINC000455958745 421080284 /nfs/dbraw/zinc/08/02/84/421080284.db2.gz SOODFMFOMFQUHF-MRXNPFEDSA-N 1 2 314.389 1.851 20 30 DDEDLO CN(C)c1ccc(CNc2nc3c(cc2C#N)COCC3)c[nH+]1 ZINC000450241096 421169193 /nfs/dbraw/zinc/16/91/93/421169193.db2.gz JZNBPMBRXYQZGT-UHFFFAOYSA-N 1 2 309.373 2.099 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCCC[C@H]2C)CC1 ZINC000491484540 421198815 /nfs/dbraw/zinc/19/88/15/421198815.db2.gz JERHVXWOWZEMGN-CVEARBPZSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCCC[C@H]2C)CC1 ZINC000491484540 421198817 /nfs/dbraw/zinc/19/88/17/421198817.db2.gz JERHVXWOWZEMGN-CVEARBPZSA-N 1 2 319.449 1.096 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000545294571 421252398 /nfs/dbraw/zinc/25/23/98/421252398.db2.gz COUVIWZFAMWEFA-LBPRGKRZSA-N 1 2 318.377 1.409 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000545294571 421252401 /nfs/dbraw/zinc/25/24/01/421252401.db2.gz COUVIWZFAMWEFA-LBPRGKRZSA-N 1 2 318.377 1.409 20 30 DDEDLO Cn1cc(C[N@@H+]2CCn3ncnc3C2)c(-c2ccc(C#N)cc2)n1 ZINC000547442482 421332350 /nfs/dbraw/zinc/33/23/50/421332350.db2.gz DNGJDVFMBCUYSS-UHFFFAOYSA-N 1 2 319.372 1.566 20 30 DDEDLO Cn1cc(C[N@H+]2CCn3ncnc3C2)c(-c2ccc(C#N)cc2)n1 ZINC000547442482 421332352 /nfs/dbraw/zinc/33/23/52/421332352.db2.gz DNGJDVFMBCUYSS-UHFFFAOYSA-N 1 2 319.372 1.566 20 30 DDEDLO CCN1CC[N@H+](CCC(=O)Nc2sccc2C#N)[C@H](C)C1=O ZINC000547972273 421384461 /nfs/dbraw/zinc/38/44/61/421384461.db2.gz UHCWHLVHFJFAQJ-LLVKDONJSA-N 1 2 320.418 1.501 20 30 DDEDLO CCN1CC[N@@H+](CCC(=O)Nc2sccc2C#N)[C@H](C)C1=O ZINC000547972273 421384463 /nfs/dbraw/zinc/38/44/63/421384463.db2.gz UHCWHLVHFJFAQJ-LLVKDONJSA-N 1 2 320.418 1.501 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cccc2c1OCC2(C)C ZINC000563220498 421474743 /nfs/dbraw/zinc/47/47/43/421474743.db2.gz PFZKOXUCPAQRQX-QGZVFWFLSA-N 1 2 301.390 1.930 20 30 DDEDLO COCCC1CC[NH+](Cn2cc3c(c(C#N)c2=O)CCC3)CC1 ZINC000530096843 421578873 /nfs/dbraw/zinc/57/88/73/421578873.db2.gz WCMPZMYMQDQMAI-UHFFFAOYSA-N 1 2 315.417 1.915 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNCc1c(F)cc(F)c(F)c1F ZINC000564862467 421594283 /nfs/dbraw/zinc/59/42/83/421594283.db2.gz OGHVAWZZIJMJQP-VIFPVBQESA-N 1 2 319.302 1.539 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNCc1c(F)cc(F)c(F)c1F ZINC000564862467 421594286 /nfs/dbraw/zinc/59/42/86/421594286.db2.gz OGHVAWZZIJMJQP-VIFPVBQESA-N 1 2 319.302 1.539 20 30 DDEDLO C=CCn1cc(CNC(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)nn1 ZINC000564888662 421595091 /nfs/dbraw/zinc/59/50/91/421595091.db2.gz BGYRZKXQEMQLFJ-LBPRGKRZSA-N 1 2 315.381 1.276 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnc2c(F)cccc2c1 ZINC000565052246 421595749 /nfs/dbraw/zinc/59/57/49/421595749.db2.gz WIHXBZJRRZLDCA-MRXNPFEDSA-N 1 2 300.337 1.948 20 30 DDEDLO N#CCC[C@@H](C#N)C[NH2+][C@@H]1CCN(c2ccccc2F)C1=O ZINC000554551455 421650035 /nfs/dbraw/zinc/65/00/35/421650035.db2.gz HXPAJMXZILCCHT-GXTWGEPZSA-N 1 2 300.337 1.964 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccsc3)CC2)nc1 ZINC000534523579 421692605 /nfs/dbraw/zinc/69/26/05/421692605.db2.gz BPCXCPRMPWMSNA-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO COc1ccccc1N1CC[C@@H]([NH2+]C[C@@H](C#N)CCC#N)C1=O ZINC000558674345 421819724 /nfs/dbraw/zinc/81/97/24/421819724.db2.gz ZQOGHITUCRFAFH-ZIAGYGMSSA-N 1 2 312.373 1.834 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCn3c[nH+]cc3C2)c(F)c1 ZINC000572208276 421769054 /nfs/dbraw/zinc/76/90/54/421769054.db2.gz DUMQTOMQBGXICC-UHFFFAOYSA-N 1 2 306.322 1.098 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)CCNC(=O)N(C)C)c1C#N ZINC000558297207 421802394 /nfs/dbraw/zinc/80/23/94/421802394.db2.gz RXXDVDAMCACBCF-UHFFFAOYSA-N 1 2 318.377 1.048 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)CCNC(=O)N(C)C)c1C#N ZINC000558297207 421802396 /nfs/dbraw/zinc/80/23/96/421802396.db2.gz RXXDVDAMCACBCF-UHFFFAOYSA-N 1 2 318.377 1.048 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000573226104 421934612 /nfs/dbraw/zinc/93/46/12/421934612.db2.gz WGWFWFHBEXQWJZ-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000573226104 421934616 /nfs/dbraw/zinc/93/46/16/421934616.db2.gz WGWFWFHBEXQWJZ-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO CCN(C)C(=O)c1cccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000584175777 422276987 /nfs/dbraw/zinc/27/69/87/422276987.db2.gz LEQFFINBGOSXMP-QGZVFWFLSA-N 1 2 316.405 1.352 20 30 DDEDLO Cc1ccc2c(c1)CC[C@@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)O2 ZINC000575806970 422328067 /nfs/dbraw/zinc/32/80/67/422328067.db2.gz WJZRLLWHGFYWML-RDJZCZTQSA-N 1 2 301.390 1.649 20 30 DDEDLO N#CC1(CNC(=O)C(=O)Nc2ccc(N)[nH+]c2)CCCCC1 ZINC000595247894 422354858 /nfs/dbraw/zinc/35/48/58/422354858.db2.gz IUMINKIGAKWNGR-UHFFFAOYSA-N 1 2 301.350 1.193 20 30 DDEDLO Cc1cccc([C@H](CNc2cc(C#N)cnn2)[NH+]2CCOCC2)c1 ZINC000596074995 422363362 /nfs/dbraw/zinc/36/33/62/422363362.db2.gz UYINQYNVNXTUFW-KRWDZBQOSA-N 1 2 323.400 2.142 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2nc(N)c3ccccc3n2)C[C@H](C)N1CC#N ZINC000602862355 422438027 /nfs/dbraw/zinc/43/80/27/422438027.db2.gz NNZLJQFSBUDWRN-BETUJISGSA-N 1 2 310.405 1.630 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2nc(N)c3ccccc3n2)C[C@H](C)N1CC#N ZINC000602862355 422438032 /nfs/dbraw/zinc/43/80/32/422438032.db2.gz NNZLJQFSBUDWRN-BETUJISGSA-N 1 2 310.405 1.630 20 30 DDEDLO C[C@H](Nc1cc(C#N)nc(C2CC2)n1)[C@H](C)[NH+]1CCOCC1 ZINC000601115069 422415119 /nfs/dbraw/zinc/41/51/19/422415119.db2.gz ZCGNTKFFURNATI-RYUDHWBXSA-N 1 2 301.394 1.747 20 30 DDEDLO CN(CCCc1[nH]nc(N)c1C#N)c1[nH+]c2ccccc2n1C ZINC000610889054 422473333 /nfs/dbraw/zinc/47/33/33/422473333.db2.gz SEZXKLNCMVLLBQ-UHFFFAOYSA-N 1 2 309.377 1.819 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2cc(C)cc(C)c2)nn1 ZINC000640769455 423176501 /nfs/dbraw/zinc/17/65/01/423176501.db2.gz HMFAIEWLQVYKHC-UHFFFAOYSA-N 1 2 311.389 1.647 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H](CNC(=O)CCC)C2)nn1 ZINC000653536522 423517963 /nfs/dbraw/zinc/51/79/63/423517963.db2.gz YLYAOHJSRMQYOJ-OAHLLOKOSA-N 1 2 319.453 1.983 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H](CNC(=O)CCC)C2)nn1 ZINC000653536522 423517966 /nfs/dbraw/zinc/51/79/66/423517966.db2.gz YLYAOHJSRMQYOJ-OAHLLOKOSA-N 1 2 319.453 1.983 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000649187808 423665754 /nfs/dbraw/zinc/66/57/54/423665754.db2.gz XHGINABZUARWGL-JKSUJKDBSA-N 1 2 310.438 1.014 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)nn1 ZINC000644624222 423732896 /nfs/dbraw/zinc/73/28/96/423732896.db2.gz QLJBRAZXYSKFNU-CYBMUJFWSA-N 1 2 300.366 1.528 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCN3C(=O)NC[C@@H]3C2)c(C(F)(F)F)c1 ZINC000356143411 269992930 /nfs/dbraw/zinc/99/29/30/269992930.db2.gz YHJSKQNKVGDBPV-GFCCVEGCSA-N 1 2 324.306 1.786 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCN3C(=O)NC[C@@H]3C2)c(C(F)(F)F)c1 ZINC000356143411 269992931 /nfs/dbraw/zinc/99/29/31/269992931.db2.gz YHJSKQNKVGDBPV-GFCCVEGCSA-N 1 2 324.306 1.786 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(C)C[C@H]2Cc2ccccc2)C1=O ZINC000649827127 424227221 /nfs/dbraw/zinc/22/72/21/424227221.db2.gz INXUYECAVUCHSO-QZTJIDSGSA-N 1 2 313.445 1.632 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(C)C[C@H]2Cc2ccccc2)C1=O ZINC000649827127 424227227 /nfs/dbraw/zinc/22/72/27/424227227.db2.gz INXUYECAVUCHSO-QZTJIDSGSA-N 1 2 313.445 1.632 20 30 DDEDLO C=C[C@H](CO)[NH2+][C@@H](C(N)=O)c1ccc(Br)cc1F ZINC000662168225 424452191 /nfs/dbraw/zinc/45/21/91/424452191.db2.gz ZCXYSPYRDRUJNH-LDYMZIIASA-N 1 2 317.158 1.251 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccccc2C#N)C[C@H]1C ZINC000345506205 266157493 /nfs/dbraw/zinc/15/74/93/266157493.db2.gz LNQLIKMBLVHOTJ-CHWSQXEVSA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccccc2C#N)C[C@H]1C ZINC000345506205 266157495 /nfs/dbraw/zinc/15/74/95/266157495.db2.gz LNQLIKMBLVHOTJ-CHWSQXEVSA-N 1 2 307.419 1.661 20 30 DDEDLO C[C@@H](c1cnn(C)c1)[N@H+](C)CC(=O)NCc1cccc(C#N)c1 ZINC000348953108 267005969 /nfs/dbraw/zinc/00/59/69/267005969.db2.gz XGEQUKGZNKTNJJ-ZDUSSCGKSA-N 1 2 311.389 1.601 20 30 DDEDLO C[C@@H](c1cnn(C)c1)[N@@H+](C)CC(=O)NCc1cccc(C#N)c1 ZINC000348953108 267005971 /nfs/dbraw/zinc/00/59/71/267005971.db2.gz XGEQUKGZNKTNJJ-ZDUSSCGKSA-N 1 2 311.389 1.601 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cscc2C#N)C[C@H](C)O1 ZINC000351818640 267051779 /nfs/dbraw/zinc/05/17/79/267051779.db2.gz HWBLUUYLAKCXFV-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2cscc2C#N)C[C@H](C)O1 ZINC000351818640 267051782 /nfs/dbraw/zinc/05/17/82/267051782.db2.gz HWBLUUYLAKCXFV-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(F)cc2C#N)CC[N@H+]1C ZINC000356077796 267107893 /nfs/dbraw/zinc/10/78/93/267107893.db2.gz LJBMAFUVKCDJQX-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(F)cc2C#N)CC[N@@H+]1C ZINC000356077796 267107894 /nfs/dbraw/zinc/10/78/94/267107894.db2.gz LJBMAFUVKCDJQX-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H](C(=O)NCC[N@@H+]1CCOC[C@H]1C)c1cccc(C#N)c1 ZINC000360162239 267131629 /nfs/dbraw/zinc/13/16/29/267131629.db2.gz MDAIJXKAHGMOHP-KGLIPLIRSA-N 1 2 301.390 1.499 20 30 DDEDLO C[C@H](C(=O)NCC[N@H+]1CCOC[C@H]1C)c1cccc(C#N)c1 ZINC000360162239 267131633 /nfs/dbraw/zinc/13/16/33/267131633.db2.gz MDAIJXKAHGMOHP-KGLIPLIRSA-N 1 2 301.390 1.499 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1)c1cccc(C#N)c1 ZINC000343367501 267132669 /nfs/dbraw/zinc/13/26/69/267132669.db2.gz HXKIVCMQXYMXQZ-SUMWQHHRSA-N 1 2 313.401 1.641 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1)c1cccc(C#N)c1 ZINC000343367501 267132673 /nfs/dbraw/zinc/13/26/73/267132673.db2.gz HXKIVCMQXYMXQZ-SUMWQHHRSA-N 1 2 313.401 1.641 20 30 DDEDLO C[C@H]1CC(=O)NCC[N@@H+]1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000375846675 267209793 /nfs/dbraw/zinc/20/97/93/267209793.db2.gz JUCIAAIIERAVQJ-JTQLQIEISA-N 1 2 320.780 1.361 20 30 DDEDLO C[C@H]1CC(=O)NCC[N@H+]1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000375846675 267209794 /nfs/dbraw/zinc/20/97/94/267209794.db2.gz JUCIAAIIERAVQJ-JTQLQIEISA-N 1 2 320.780 1.361 20 30 DDEDLO C[C@H]1C[NH+](CCN(C)C(=O)c2ccnc(C#N)c2)C[C@H](C)O1 ZINC000357167824 267232785 /nfs/dbraw/zinc/23/27/85/267232785.db2.gz MAVZDAVIMVJOMX-STQMWFEESA-N 1 2 302.378 1.134 20 30 DDEDLO C[C@H]1OCC[C@@]12C[N@H+](Cc1cnc3ccc(C#N)cn13)CCO2 ZINC000373465096 267246969 /nfs/dbraw/zinc/24/69/69/267246969.db2.gz JCFMHZAVADSCPL-CXAGYDPISA-N 1 2 312.373 1.586 20 30 DDEDLO C[C@H]1OCC[C@@]12C[N@@H+](Cc1cnc3ccc(C#N)cn13)CCO2 ZINC000373465096 267246973 /nfs/dbraw/zinc/24/69/73/267246973.db2.gz JCFMHZAVADSCPL-CXAGYDPISA-N 1 2 312.373 1.586 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)NCCCn2cc[nH+]c2)cc1F ZINC000354351526 268134107 /nfs/dbraw/zinc/13/41/07/268134107.db2.gz CNZDMNNLTLLGTA-UHFFFAOYSA-N 1 2 315.308 1.039 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2C[C@@H]3CCC[C@H]3[C@H]2C(N)=O)c1 ZINC000368966946 268266340 /nfs/dbraw/zinc/26/63/40/268266340.db2.gz SSZFKUPXMPIHCB-BJJXKVORSA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2C[C@@H]3CCC[C@H]3[C@H]2C(N)=O)c1 ZINC000368966946 268266342 /nfs/dbraw/zinc/26/63/42/268266342.db2.gz SSZFKUPXMPIHCB-BJJXKVORSA-N 1 2 312.373 1.083 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N=c3[nH]c4ccccc4s3)C[C@H]21 ZINC000329896809 277123712 /nfs/dbraw/zinc/12/37/12/277123712.db2.gz CRKRJGWZTFAHSJ-VXGBXAGGSA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N=c3[nH]c4ccccc4s3)C[C@H]21 ZINC000329896809 277123713 /nfs/dbraw/zinc/12/37/13/277123713.db2.gz CRKRJGWZTFAHSJ-VXGBXAGGSA-N 1 2 318.402 1.857 20 30 DDEDLO CC(C)C(=O)Nc1nc(C=C(O)NC2=[NH+]CCS2)cs1 ZINC000044260555 277335296 /nfs/dbraw/zinc/33/52/96/277335296.db2.gz QVRCBUWXCMATCO-UHFFFAOYSA-N 1 2 312.420 1.499 20 30 DDEDLO CC(C)CC[N@H+](CCC#N)C[C@H](O)CN1C[C@@H](C)O[C@H](C)C1 ZINC000362172866 277353384 /nfs/dbraw/zinc/35/33/84/277353384.db2.gz IKVNAJJAQJCKBA-ZACQAIPSSA-N 1 2 311.470 1.718 20 30 DDEDLO CC(C)CC[N@@H+](CCC#N)C[C@H](O)CN1C[C@@H](C)O[C@H](C)C1 ZINC000362172866 277353385 /nfs/dbraw/zinc/35/33/85/277353385.db2.gz IKVNAJJAQJCKBA-ZACQAIPSSA-N 1 2 311.470 1.718 20 30 DDEDLO Cc1oncc1C[N@@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000364607226 279281064 /nfs/dbraw/zinc/28/10/64/279281064.db2.gz MCQYOXCWAZCEKC-CXAGYDPISA-N 1 2 308.341 1.829 20 30 DDEDLO Cc1oncc1C[N@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000364607226 279281068 /nfs/dbraw/zinc/28/10/68/279281068.db2.gz MCQYOXCWAZCEKC-CXAGYDPISA-N 1 2 308.341 1.829 20 30 DDEDLO CC(C)[C@H](C(=O)N[C@H]1CCn2cc[nH+]c2C1)N1CCCC1=O ZINC000330316479 280133917 /nfs/dbraw/zinc/13/39/17/280133917.db2.gz PYZASLRBHPFIMI-SWLSCSKDSA-N 1 2 304.394 1.801 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)c1 ZINC000075506343 294965841 /nfs/dbraw/zinc/96/58/41/294965841.db2.gz YUYKZGKSVIMFPY-INIZCTEOSA-N 1 2 314.389 1.887 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(Cl)c1 ZINC000225803159 300214353 /nfs/dbraw/zinc/21/43/53/300214353.db2.gz QSJWLEJWQKQNFV-JTQLQIEISA-N 1 2 313.810 1.536 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(Cl)c1 ZINC000225803159 300214356 /nfs/dbraw/zinc/21/43/56/300214356.db2.gz QSJWLEJWQKQNFV-JTQLQIEISA-N 1 2 313.810 1.536 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CCC[C@H](N2CCNC2=O)C1 ZINC000368961749 307113381 /nfs/dbraw/zinc/11/33/81/307113381.db2.gz KJMOCKPDDXOFLO-HNNXBMFYSA-N 1 2 302.353 1.687 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CCC[C@H](N2CCNC2=O)C1 ZINC000368961749 307113382 /nfs/dbraw/zinc/11/33/82/307113382.db2.gz KJMOCKPDDXOFLO-HNNXBMFYSA-N 1 2 302.353 1.687 20 30 DDEDLO Cc1cc(N[C@H]2CCCN(Cc3[nH+]ccn3C)C2=O)cc(C#N)n1 ZINC000557637508 307879089 /nfs/dbraw/zinc/87/90/89/307879089.db2.gz NQQNUGOQVDKKKW-HNNXBMFYSA-N 1 2 324.388 1.020 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3C[C@]4(CCCO4)[C@@H]3C3CC3)cnc12 ZINC000575401933 308276326 /nfs/dbraw/zinc/27/63/26/308276326.db2.gz UNGDIFAQZQNHIB-DOTOQJQBSA-N 1 2 309.373 1.744 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3C[C@]4(CCCO4)[C@@H]3C3CC3)cnc12 ZINC000575401933 308276327 /nfs/dbraw/zinc/27/63/27/308276327.db2.gz UNGDIFAQZQNHIB-DOTOQJQBSA-N 1 2 309.373 1.744 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(Cl)cn2)CC1 ZINC000562263962 332565829 /nfs/dbraw/zinc/56/58/29/332565829.db2.gz TYZBMJFRZVKJLP-UHFFFAOYSA-N 1 2 308.813 1.155 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)nn1 ZINC000520399175 335973738 /nfs/dbraw/zinc/97/37/38/335973738.db2.gz VZWRHZKEUQUEPX-LBPRGKRZSA-N 1 2 300.366 1.597 20 30 DDEDLO C=C(C)C[N@H+](Cc1ccc(CO)o1)C[C@H](C)CS(C)(=O)=O ZINC000352815079 336249718 /nfs/dbraw/zinc/24/97/18/336249718.db2.gz LFXPOCKOKYAHLX-ZDUSSCGKSA-N 1 2 315.435 1.831 20 30 DDEDLO C=C(C)C[N@@H+](Cc1ccc(CO)o1)C[C@H](C)CS(C)(=O)=O ZINC000352815079 336249719 /nfs/dbraw/zinc/24/97/19/336249719.db2.gz LFXPOCKOKYAHLX-ZDUSSCGKSA-N 1 2 315.435 1.831 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(C(=O)CCCC#N)C1 ZINC000360547136 336714488 /nfs/dbraw/zinc/71/44/88/336714488.db2.gz PUEZIUKEIQHICO-INIZCTEOSA-N 1 2 309.410 1.603 20 30 DDEDLO C#CCCCC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000496601179 340008638 /nfs/dbraw/zinc/00/86/38/340008638.db2.gz VNOIWZDCAICLOK-AWEZNQCLSA-N 1 2 323.437 1.457 20 30 DDEDLO C#CCCCC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000496601179 340008639 /nfs/dbraw/zinc/00/86/39/340008639.db2.gz VNOIWZDCAICLOK-AWEZNQCLSA-N 1 2 323.437 1.457 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H](OC(=O)N(C)C)C1 ZINC000497064445 340019401 /nfs/dbraw/zinc/01/94/01/340019401.db2.gz OIHJAQWCBHHASS-KGLIPLIRSA-N 1 2 324.425 1.157 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@H](OC(=O)N(C)C)C1 ZINC000497064445 340019402 /nfs/dbraw/zinc/01/94/02/340019402.db2.gz OIHJAQWCBHHASS-KGLIPLIRSA-N 1 2 324.425 1.157 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc([C@@H](O)CC)cc2)CC1 ZINC000304811285 340370213 /nfs/dbraw/zinc/37/02/13/340370213.db2.gz XBMPRPOKVUZWCT-KRWDZBQOSA-N 1 2 316.401 1.286 20 30 DDEDLO N#C[C@@H](NC(=O)c1ccc(-n2cc[nH+]c2)nn1)c1ccc(F)cc1 ZINC000522599938 340605433 /nfs/dbraw/zinc/60/54/33/340605433.db2.gz WSPKSSUTICANIJ-CQSZACIVSA-N 1 2 322.303 1.796 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCOC2(CCOCC2)C1 ZINC000135597875 341242559 /nfs/dbraw/zinc/24/25/59/341242559.db2.gz NVFWMYKSYSAQFM-GDBMZVCRSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCOC2(CCOCC2)C1 ZINC000135597875 341242560 /nfs/dbraw/zinc/24/25/60/341242560.db2.gz NVFWMYKSYSAQFM-GDBMZVCRSA-N 1 2 323.437 1.311 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@H]1CCCN(C(=O)C(C)(C)C)C1 ZINC000564872169 341511942 /nfs/dbraw/zinc/51/19/42/341511942.db2.gz LSYYHDDWMGYEQM-GUYCJALGSA-N 1 2 322.453 1.231 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H]2CCCn3cc(C)[nH+]c32)nn1 ZINC000565359013 341525055 /nfs/dbraw/zinc/52/50/55/341525055.db2.gz ITEJWTNSBBFYIR-ZDUSSCGKSA-N 1 2 314.393 1.667 20 30 DDEDLO CC(=O)N[C@@H](CC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1cccs1 ZINC000566563502 341561965 /nfs/dbraw/zinc/56/19/65/341561965.db2.gz DQTHPQPBDBBPMI-WFASDCNBSA-N 1 2 322.434 1.275 20 30 DDEDLO CCc1[nH+]c2ccccc2n1CC(=O)N(CC#N)CC(=O)OC ZINC000172359740 341797574 /nfs/dbraw/zinc/79/75/74/341797574.db2.gz NKPFGQFLQDJECU-UHFFFAOYSA-N 1 2 314.345 1.124 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1CC(=O)c1c(N)n(C2CC2)c(=O)[nH]c1=O ZINC000489471105 484221996 /nfs/dbraw/zinc/22/19/96/484221996.db2.gz DIDQFACBHCIURB-JTQLQIEISA-N 1 2 318.377 1.089 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1CC(=O)c1c(N)n(C2CC2)c(=O)[nH]c1=O ZINC000489471105 484222002 /nfs/dbraw/zinc/22/20/02/484222002.db2.gz DIDQFACBHCIURB-JTQLQIEISA-N 1 2 318.377 1.089 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000659257508 484718444 /nfs/dbraw/zinc/71/84/44/484718444.db2.gz YISLAXJQLOJYSF-CQSZACIVSA-N 1 2 304.394 1.471 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C1 ZINC000661185947 484963861 /nfs/dbraw/zinc/96/38/61/484963861.db2.gz FNOZQDKMKUGPPQ-HBNTYKKESA-N 1 2 315.357 1.221 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C1 ZINC000661185947 484963869 /nfs/dbraw/zinc/96/38/69/484963869.db2.gz FNOZQDKMKUGPPQ-HBNTYKKESA-N 1 2 315.357 1.221 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1nnc(-c2ccco2)o1)[C@@H](C)COC ZINC000661239618 484993687 /nfs/dbraw/zinc/99/36/87/484993687.db2.gz HTGGKOCWBCXOIV-NSHDSACASA-N 1 2 320.349 1.791 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1nnc(-c2ccco2)o1)[C@@H](C)COC ZINC000661239618 484993690 /nfs/dbraw/zinc/99/36/90/484993690.db2.gz HTGGKOCWBCXOIV-NSHDSACASA-N 1 2 320.349 1.791 20 30 DDEDLO C=CCCn1cc(CN[C@@H](c2[nH+]ccn2C)C(C)(C)CO)nn1 ZINC000668209425 485026551 /nfs/dbraw/zinc/02/65/51/485026551.db2.gz BVHFOMBTDQDVQP-AWEZNQCLSA-N 1 2 318.425 1.437 20 30 DDEDLO C=CCOCCNC(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000679480390 485898045 /nfs/dbraw/zinc/89/80/45/485898045.db2.gz SZSYWBRFXGZJRF-UHFFFAOYSA-N 1 2 304.394 1.684 20 30 DDEDLO C=CC[N@H+](C[C@H]1COc2ccccc2O1)[C@@H]1CCS(=O)(=O)C1 ZINC000676700696 486293605 /nfs/dbraw/zinc/29/36/05/486293605.db2.gz CBEMICQJOPDZTN-KGLIPLIRSA-N 1 2 323.414 1.502 20 30 DDEDLO C=CC[N@@H+](C[C@H]1COc2ccccc2O1)[C@@H]1CCS(=O)(=O)C1 ZINC000676700696 486293609 /nfs/dbraw/zinc/29/36/09/486293609.db2.gz CBEMICQJOPDZTN-KGLIPLIRSA-N 1 2 323.414 1.502 20 30 DDEDLO COCC#CC[NH2+][C@@](C)(C(N)=O)c1cccc(C(F)(F)F)c1 ZINC000677819324 486548808 /nfs/dbraw/zinc/54/88/08/486548808.db2.gz XXDTYDAWUWXBHU-CQSZACIVSA-N 1 2 314.307 1.645 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)cc1F ZINC000329966637 534022645 /nfs/dbraw/zinc/02/26/45/534022645.db2.gz RYBOBAVHCNCSNS-TZMCWYRMSA-N 1 2 309.341 1.395 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)cc1F ZINC000329966637 534022652 /nfs/dbraw/zinc/02/26/52/534022652.db2.gz RYBOBAVHCNCSNS-TZMCWYRMSA-N 1 2 309.341 1.395 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c(OC)c1 ZINC000329918874 534023221 /nfs/dbraw/zinc/02/32/21/534023221.db2.gz GDQUMMDTUWHBOC-HIFRSBDPSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c(OC)c1 ZINC000329918874 534023229 /nfs/dbraw/zinc/02/32/29/534023229.db2.gz GDQUMMDTUWHBOC-HIFRSBDPSA-N 1 2 321.377 1.264 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](c1ccc(Cl)cc1)[C@H]1C[N@H+](C)CCO1 ZINC000346177332 534283896 /nfs/dbraw/zinc/28/38/96/534283896.db2.gz KGTUGYWHNQOWQY-DFBGVHRSSA-N 1 2 321.808 1.988 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](c1ccc(Cl)cc1)[C@H]1C[N@@H+](C)CCO1 ZINC000346177332 534283901 /nfs/dbraw/zinc/28/39/01/534283901.db2.gz KGTUGYWHNQOWQY-DFBGVHRSSA-N 1 2 321.808 1.988 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCC(=O)NC1(C#N)CCOCC1 ZINC000331722001 534679041 /nfs/dbraw/zinc/67/90/41/534679041.db2.gz TXUWYDYGNBEUKT-UHFFFAOYSA-N 1 2 312.373 1.924 20 30 DDEDLO Cn1cc[nH+]c1CN1C[C@@H](F)C[C@H]1CNC(=O)C1CCOCC1 ZINC000329905793 534788095 /nfs/dbraw/zinc/78/80/95/534788095.db2.gz AYNIYFMBGBDNRK-KBPBESRZSA-N 1 2 324.400 1.716 20 30 DDEDLO C=CC[N@H+](CCN1CCCS1(=O)=O)Cc1cccc(C#N)c1 ZINC000446766696 526275528 /nfs/dbraw/zinc/27/55/28/526275528.db2.gz TVOCDXGJZQCPFU-UHFFFAOYSA-N 1 2 319.430 1.582 20 30 DDEDLO C=CC[N@@H+](CCN1CCCS1(=O)=O)Cc1cccc(C#N)c1 ZINC000446766696 526275534 /nfs/dbraw/zinc/27/55/34/526275534.db2.gz TVOCDXGJZQCPFU-UHFFFAOYSA-N 1 2 319.430 1.582 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)NCCC2=CCCCC2)C1 ZINC000330956587 526401181 /nfs/dbraw/zinc/40/11/81/526401181.db2.gz UTEBGJFJFHHXLM-CQSZACIVSA-N 1 2 308.426 1.201 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCCC2=CCCCC2)C1 ZINC000330956587 526401184 /nfs/dbraw/zinc/40/11/84/526401184.db2.gz UTEBGJFJFHHXLM-CQSZACIVSA-N 1 2 308.426 1.201 20 30 DDEDLO CNC(=O)c1cccc(C[NH+]2CCN(C(=O)C(C)C)CC2)c1 ZINC000330754660 526410470 /nfs/dbraw/zinc/41/04/70/526410470.db2.gz FMPWXMNFWNVSFY-UHFFFAOYSA-N 1 2 303.406 1.921 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](c1ccc(F)cc1)c1cnccn1 ZINC000346841863 526573670 /nfs/dbraw/zinc/57/36/70/526573670.db2.gz WIHQSCOOFFQVTP-MRXNPFEDSA-N 1 2 300.337 1.597 20 30 DDEDLO C=C(C)COCCNC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000345319149 526637901 /nfs/dbraw/zinc/63/79/01/526637901.db2.gz OWFZPDBTEBLBBR-LSDHHAIUSA-N 1 2 311.426 1.084 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cnc(Cl)cc2C)CC1 ZINC000491691710 526953135 /nfs/dbraw/zinc/95/31/35/526953135.db2.gz PJKFRCDAFRTXQI-UHFFFAOYSA-N 1 2 307.781 1.200 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2cnc(Cl)c(F)c2)CC1 ZINC000491741624 526971002 /nfs/dbraw/zinc/97/10/02/526971002.db2.gz ZLCVLHIQKFUMKH-UHFFFAOYSA-N 1 2 309.772 1.836 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](CC=C)Cc1cccc([N+](=O)[O-])c1 ZINC000491669326 527015828 /nfs/dbraw/zinc/01/58/28/527015828.db2.gz ZXKXLXRJROVVGG-CYBMUJFWSA-N 1 2 301.346 1.721 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](CC=C)Cc1cccc([N+](=O)[O-])c1 ZINC000491669326 527015831 /nfs/dbraw/zinc/01/58/31/527015831.db2.gz ZXKXLXRJROVVGG-CYBMUJFWSA-N 1 2 301.346 1.721 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[NH2+]CC2(F)F)CCOCC1 ZINC000424174129 527055008 /nfs/dbraw/zinc/05/50/08/527055008.db2.gz FONJOAQOKNESAE-GFCCVEGCSA-N 1 2 302.365 1.720 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1cc(-n2cnnn2)ccc1Cl ZINC000491718286 527212347 /nfs/dbraw/zinc/21/23/47/527212347.db2.gz UAWZUJHLFQDKRF-SNVBAGLBSA-N 1 2 318.768 1.208 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1cc(-n2cnnn2)ccc1Cl ZINC000491718286 527212354 /nfs/dbraw/zinc/21/23/54/527212354.db2.gz UAWZUJHLFQDKRF-SNVBAGLBSA-N 1 2 318.768 1.208 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CC[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000491635128 527311483 /nfs/dbraw/zinc/31/14/83/527311483.db2.gz RUMROWLEMWTHJA-LSDHHAIUSA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CC[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000491635128 527311485 /nfs/dbraw/zinc/31/14/85/527311485.db2.gz RUMROWLEMWTHJA-LSDHHAIUSA-N 1 2 308.422 1.968 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cccc(S(C)(=O)=O)c2)nn1 ZINC000424175543 527372404 /nfs/dbraw/zinc/37/24/04/527372404.db2.gz VAVAIVZCGMGJSU-UHFFFAOYSA-N 1 2 306.391 1.157 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000491746392 527416788 /nfs/dbraw/zinc/41/67/88/527416788.db2.gz VEVPSSMLMVHCNE-QWRGUYRKSA-N 1 2 315.295 1.317 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000491810131 527419775 /nfs/dbraw/zinc/41/97/75/527419775.db2.gz HQJZTWUJJDGABO-HNNXBMFYSA-N 1 2 310.357 1.416 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1 ZINC000491338297 527421075 /nfs/dbraw/zinc/42/10/75/527421075.db2.gz HQRFSDLJFAGKNN-JKSUJKDBSA-N 1 2 324.384 1.553 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000330600526 527638180 /nfs/dbraw/zinc/63/81/80/527638180.db2.gz YJSACAVEWNWIKT-HOCLYGCPSA-N 1 2 307.394 1.331 20 30 DDEDLO CCC[N@H+](CC#Cc1ccc(F)cc1)[C@H]1CC(=O)N(C)C1=O ZINC000338332522 527871332 /nfs/dbraw/zinc/87/13/32/527871332.db2.gz XQWGAWWQUWVAEU-HNNXBMFYSA-N 1 2 302.349 1.647 20 30 DDEDLO CCC[N@@H+](CC#Cc1ccc(F)cc1)[C@H]1CC(=O)N(C)C1=O ZINC000338332522 527871339 /nfs/dbraw/zinc/87/13/39/527871339.db2.gz XQWGAWWQUWVAEU-HNNXBMFYSA-N 1 2 302.349 1.647 20 30 DDEDLO CCCCNC(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000330744807 528021697 /nfs/dbraw/zinc/02/16/97/528021697.db2.gz VEGJPLKQLOYHQF-UHFFFAOYSA-N 1 2 319.409 1.595 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@@H+]2CCC[C@](C)(F)C2)CC1 ZINC000451119009 528337765 /nfs/dbraw/zinc/33/77/65/528337765.db2.gz YYXRFLSNCTZQEX-WBVHZDCISA-N 1 2 324.444 1.503 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@H+]2CCC[C@](C)(F)C2)CC1 ZINC000451119009 528337769 /nfs/dbraw/zinc/33/77/69/528337769.db2.gz YYXRFLSNCTZQEX-WBVHZDCISA-N 1 2 324.444 1.503 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)/C=C/c3ccco3)C2)C1 ZINC000972229425 695182857 /nfs/dbraw/zinc/18/28/57/695182857.db2.gz SSUQNIFIZLGDDU-FUTAKVPZSA-N 1 2 300.358 1.229 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)/C=C/c3ccco3)C2)C1 ZINC000972229425 695182859 /nfs/dbraw/zinc/18/28/59/695182859.db2.gz SSUQNIFIZLGDDU-FUTAKVPZSA-N 1 2 300.358 1.229 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3scnc3C)C2)C1 ZINC000972271849 695199356 /nfs/dbraw/zinc/19/93/56/695199356.db2.gz JKWOHLLITWODLR-HNNXBMFYSA-N 1 2 307.419 1.554 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3scnc3C)C2)C1 ZINC000972271849 695199357 /nfs/dbraw/zinc/19/93/57/695199357.db2.gz JKWOHLLITWODLR-HNNXBMFYSA-N 1 2 307.419 1.554 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3C)C2)C1 ZINC000972326051 695216939 /nfs/dbraw/zinc/21/69/39/695216939.db2.gz CMDRBFXBBBXYJT-KRWDZBQOSA-N 1 2 301.390 1.263 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3C)C2)C1 ZINC000972326051 695216940 /nfs/dbraw/zinc/21/69/40/695216940.db2.gz CMDRBFXBBBXYJT-KRWDZBQOSA-N 1 2 301.390 1.263 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccoc3CC)C2)C1 ZINC000972363534 695229414 /nfs/dbraw/zinc/22/94/14/695229414.db2.gz BATRKBGXLPPDGS-QGZVFWFLSA-N 1 2 304.390 1.945 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccoc3CC)C2)C1 ZINC000972363534 695229417 /nfs/dbraw/zinc/22/94/17/695229417.db2.gz BATRKBGXLPPDGS-QGZVFWFLSA-N 1 2 304.390 1.945 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)coc3C)C2)C1 ZINC000972366968 695230998 /nfs/dbraw/zinc/23/09/98/695230998.db2.gz FMGYTKIDBBTXRR-SFHVURJKSA-N 1 2 316.401 1.837 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)coc3C)C2)C1 ZINC000972366968 695231000 /nfs/dbraw/zinc/23/10/00/695231000.db2.gz FMGYTKIDBBTXRR-SFHVURJKSA-N 1 2 316.401 1.837 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccncc3C)C2)C1 ZINC000972368265 695231437 /nfs/dbraw/zinc/23/14/37/695231437.db2.gz SENMPEKCZGAICI-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccncc3C)C2)C1 ZINC000972368265 695231438 /nfs/dbraw/zinc/23/14/38/695231438.db2.gz SENMPEKCZGAICI-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)C1 ZINC000972591221 695292139 /nfs/dbraw/zinc/29/21/39/695292139.db2.gz ZBLKAJHMUUYEGU-LZLYRXPVSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)C1 ZINC000972591221 695292140 /nfs/dbraw/zinc/29/21/40/695292140.db2.gz ZBLKAJHMUUYEGU-LZLYRXPVSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3nc(C)c(C)s3)C2)C1 ZINC000972674037 695314507 /nfs/dbraw/zinc/31/45/07/695314507.db2.gz NQXHMECMXIOGHV-MRXNPFEDSA-N 1 2 321.446 1.863 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3nc(C)c(C)s3)C2)C1 ZINC000972674037 695314509 /nfs/dbraw/zinc/31/45/09/695314509.db2.gz NQXHMECMXIOGHV-MRXNPFEDSA-N 1 2 321.446 1.863 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CCC[C@H](CC(N)=O)C1 ZINC000746675957 700036516 /nfs/dbraw/zinc/03/65/16/700036516.db2.gz RPVMJHFNOUJWMW-CZUORRHYSA-N 1 2 315.373 1.360 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CCC[C@H](CC(N)=O)C1 ZINC000746675957 700036519 /nfs/dbraw/zinc/03/65/19/700036519.db2.gz RPVMJHFNOUJWMW-CZUORRHYSA-N 1 2 315.373 1.360 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977316427 696113154 /nfs/dbraw/zinc/11/31/54/696113154.db2.gz MPPJOKVUIQJFJX-RYUDHWBXSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977316427 696113156 /nfs/dbraw/zinc/11/31/56/696113156.db2.gz MPPJOKVUIQJFJX-RYUDHWBXSA-N 1 2 313.829 1.234 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CCC[N@H+](Cc1cscn1)C2 ZINC000981669557 696867559 /nfs/dbraw/zinc/86/75/59/696867559.db2.gz OTNFVDZLDPHWCC-LBPRGKRZSA-N 1 2 304.419 1.727 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CCC[N@@H+](Cc1cscn1)C2 ZINC000981669557 696867563 /nfs/dbraw/zinc/86/75/63/696867563.db2.gz OTNFVDZLDPHWCC-LBPRGKRZSA-N 1 2 304.419 1.727 20 30 DDEDLO Cc1ccc(C(=O)NCC(C)(C)[NH+]2CCOCC2)cc1C#N ZINC000799297547 700132475 /nfs/dbraw/zinc/13/24/75/700132475.db2.gz OKLKOAUAHJRKOR-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCC2CCC(C#N)CC2)CCO1 ZINC000799565059 700150346 /nfs/dbraw/zinc/15/03/46/700150346.db2.gz BSOMUTABLORBMU-NFOMZHRRSA-N 1 2 308.426 1.336 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCC2CCC(C#N)CC2)CCO1 ZINC000799565059 700150347 /nfs/dbraw/zinc/15/03/47/700150347.db2.gz BSOMUTABLORBMU-NFOMZHRRSA-N 1 2 308.426 1.336 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC([N@H+](C)[C@@H](C)c2csnn2)CC1 ZINC000985339677 697494455 /nfs/dbraw/zinc/49/44/55/697494455.db2.gz YFRZFBQPNMPOBH-MNOVXSKESA-N 1 2 307.423 1.682 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC([N@@H+](C)[C@@H](C)c2csnn2)CC1 ZINC000985339677 697494457 /nfs/dbraw/zinc/49/44/57/697494457.db2.gz YFRZFBQPNMPOBH-MNOVXSKESA-N 1 2 307.423 1.682 20 30 DDEDLO N#CCOc1ccc(C[NH+]2CCN([C@H]3CCOC3)CC2)cc1 ZINC000773484555 697766907 /nfs/dbraw/zinc/76/69/07/697766907.db2.gz WGXVIMRHKONUHY-INIZCTEOSA-N 1 2 301.390 1.495 20 30 DDEDLO Cn1cc([C@H]([NH2+]CC(=O)NCCC#N)c2ccc(F)cc2)cn1 ZINC000774353347 697872083 /nfs/dbraw/zinc/87/20/83/697872083.db2.gz XZNUAXHSJBJBSK-MRXNPFEDSA-N 1 2 315.352 1.268 20 30 DDEDLO C#CC[C@H]([NH2+]Cc1nc2c(cnn2C)c(=O)[nH]1)c1ccccc1 ZINC000775405787 697993059 /nfs/dbraw/zinc/99/30/59/697993059.db2.gz YGSJYJZCXAZLHI-AWEZNQCLSA-N 1 2 307.357 1.923 20 30 DDEDLO CC(C)[C@@H]1C(=O)NCC[N@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000245759086 698338215 /nfs/dbraw/zinc/33/82/15/698338215.db2.gz PIERMBXGNUBJEB-CZUORRHYSA-N 1 2 301.390 1.934 20 30 DDEDLO CC(C)[C@@H]1C(=O)NCC[N@@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000245759086 698338221 /nfs/dbraw/zinc/33/82/21/698338221.db2.gz PIERMBXGNUBJEB-CZUORRHYSA-N 1 2 301.390 1.934 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=C2C(=O)Nc3c2ccc(Cl)c3Cl)N1 ZINC000779798686 698467592 /nfs/dbraw/zinc/46/75/92/698467592.db2.gz DUJQQXTZRRHXJA-YFKPBYRVSA-N 1 2 312.160 1.587 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+]2CC[C@H](S(C)(=O)=O)C2)cc1 ZINC000800534553 700231403 /nfs/dbraw/zinc/23/14/03/700231403.db2.gz MORWIRSEEWMDJY-WFASDCNBSA-N 1 2 308.403 1.596 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+]2CC[C@H](S(C)(=O)=O)C2)cc1 ZINC000800534553 700231407 /nfs/dbraw/zinc/23/14/07/700231407.db2.gz MORWIRSEEWMDJY-WFASDCNBSA-N 1 2 308.403 1.596 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(OCC(=O)OC)c1 ZINC000730281404 699506613 /nfs/dbraw/zinc/50/66/13/699506613.db2.gz VXDAONWZXWOHBV-HNNXBMFYSA-N 1 2 316.357 1.275 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(OCC(=O)OC)c1 ZINC000730281404 699506615 /nfs/dbraw/zinc/50/66/15/699506615.db2.gz VXDAONWZXWOHBV-HNNXBMFYSA-N 1 2 316.357 1.275 20 30 DDEDLO C[N@H+](C[C@@H]1CCCO1)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793498210 699761243 /nfs/dbraw/zinc/76/12/43/699761243.db2.gz LEEWTNCMXLQOPZ-AWEZNQCLSA-N 1 2 301.390 1.667 20 30 DDEDLO C[N@@H+](C[C@@H]1CCCO1)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793498210 699761245 /nfs/dbraw/zinc/76/12/45/699761245.db2.gz LEEWTNCMXLQOPZ-AWEZNQCLSA-N 1 2 301.390 1.667 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CC(Oc3cc[nH+]cc3)C2)cc1 ZINC000741682418 699847235 /nfs/dbraw/zinc/84/72/35/699847235.db2.gz KMEQVDDDQQNPST-UHFFFAOYSA-N 1 2 308.337 1.997 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1nc2cc(F)ccc2n1C ZINC000743792507 699927181 /nfs/dbraw/zinc/92/71/81/699927181.db2.gz LGGXGEXSSWMBQG-AWEZNQCLSA-N 1 2 300.337 1.749 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1nc2cc(F)ccc2n1C ZINC000743792507 699927182 /nfs/dbraw/zinc/92/71/82/699927182.db2.gz LGGXGEXSSWMBQG-AWEZNQCLSA-N 1 2 300.337 1.749 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)OC(=O)CCc1ccc(N)[nH+]c1 ZINC000751458206 700291431 /nfs/dbraw/zinc/29/14/31/700291431.db2.gz LJHWLICXNKKHAN-ZDUSSCGKSA-N 1 2 319.405 1.953 20 30 DDEDLO Cc1ccc(S(=O)(=O)NN=C2C[N@@H+](C3CC3)C[C@@H]2C)cc1 ZINC000755872281 700588685 /nfs/dbraw/zinc/58/86/85/700588685.db2.gz PHAZEPZLCMPXGP-LBPRGKRZSA-N 1 2 307.419 1.743 20 30 DDEDLO Cc1ccc(S(=O)(=O)NN=C2C[N@H+](C3CC3)C[C@@H]2C)cc1 ZINC000755872281 700588682 /nfs/dbraw/zinc/58/86/82/700588682.db2.gz PHAZEPZLCMPXGP-LBPRGKRZSA-N 1 2 307.419 1.743 20 30 DDEDLO C#CC[C@H](NC(=O)NCC[N@@H+]1CCO[C@H](C)C1)c1ccccc1 ZINC000757638825 700667311 /nfs/dbraw/zinc/66/73/11/700667311.db2.gz KBSBBONCUGOQSV-WBVHZDCISA-N 1 2 315.417 1.771 20 30 DDEDLO C#CC[C@H](NC(=O)NCC[N@H+]1CCO[C@H](C)C1)c1ccccc1 ZINC000757638825 700667313 /nfs/dbraw/zinc/66/73/13/700667313.db2.gz KBSBBONCUGOQSV-WBVHZDCISA-N 1 2 315.417 1.771 20 30 DDEDLO Cn1c[nH+]cc1CN[C@@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000758035352 700681678 /nfs/dbraw/zinc/68/16/78/700681678.db2.gz LHJSCMMAMXUUDR-WCQYABFASA-N 1 2 305.382 1.269 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H]1C(=O)Nc1ccc(OC)cc1 ZINC000762926970 700916850 /nfs/dbraw/zinc/91/68/50/700916850.db2.gz YPYCARDEGMTXJS-MRXNPFEDSA-N 1 2 302.374 1.748 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H]1C(=O)Nc1ccc(OC)cc1 ZINC000762926970 700916851 /nfs/dbraw/zinc/91/68/51/700916851.db2.gz YPYCARDEGMTXJS-MRXNPFEDSA-N 1 2 302.374 1.748 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCCC[C@H]3CO)nc[nH+]2)nc1 ZINC000764897352 700990559 /nfs/dbraw/zinc/99/05/59/700990559.db2.gz CTAHSOWORQYVSX-HNNXBMFYSA-N 1 2 324.388 1.707 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCCC[C@H]3CO)[nH+]cn2)nc1 ZINC000764897352 700990560 /nfs/dbraw/zinc/99/05/60/700990560.db2.gz CTAHSOWORQYVSX-HNNXBMFYSA-N 1 2 324.388 1.707 20 30 DDEDLO CCOC[C@H]1CCC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766619007 701057025 /nfs/dbraw/zinc/05/70/25/701057025.db2.gz HKDAGULNKOFNHK-AWEZNQCLSA-N 1 2 315.417 1.915 20 30 DDEDLO CCOC[C@H]1CCC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766619007 701057027 /nfs/dbraw/zinc/05/70/27/701057027.db2.gz HKDAGULNKOFNHK-AWEZNQCLSA-N 1 2 315.417 1.915 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(OCCCO)cc1 ZINC000804759359 701211574 /nfs/dbraw/zinc/21/15/74/701211574.db2.gz WMXSKCPJXMHERH-INIZCTEOSA-N 1 2 302.374 1.484 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(OCCCO)cc1 ZINC000804759359 701211576 /nfs/dbraw/zinc/21/15/76/701211576.db2.gz WMXSKCPJXMHERH-INIZCTEOSA-N 1 2 302.374 1.484 20 30 DDEDLO C#CCOc1ccccc1CN1CC[NH+](CC[S@@](C)=O)CC1 ZINC000769481230 701247001 /nfs/dbraw/zinc/24/70/01/701247001.db2.gz SQUJZAPBPKJLBZ-JOCHJYFZSA-N 1 2 320.458 1.195 20 30 DDEDLO Cn1ncc2c1nc(N=NC1CC[NH+](C(C)(C)C)CC1)[nH]c2=O ZINC000769819174 701257935 /nfs/dbraw/zinc/25/79/35/701257935.db2.gz JXGHVXNDSMDXDX-UHFFFAOYSA-N 1 2 317.397 1.731 20 30 DDEDLO C=CC[N@H+](CN1CC(=O)N(Cc2ccc(C#N)cc2)C1=O)C1CC1 ZINC000771639606 701326497 /nfs/dbraw/zinc/32/64/97/701326497.db2.gz IIRDNZCSAMGSEZ-UHFFFAOYSA-N 1 2 324.384 1.930 20 30 DDEDLO C=CC[N@@H+](CN1CC(=O)N(Cc2ccc(C#N)cc2)C1=O)C1CC1 ZINC000771639606 701326498 /nfs/dbraw/zinc/32/64/98/701326498.db2.gz IIRDNZCSAMGSEZ-UHFFFAOYSA-N 1 2 324.384 1.930 20 30 DDEDLO COC(=O)c1ccc(N[NH+]=Cc2ccc(N)nc2)c(OC)c1 ZINC000807982118 701493078 /nfs/dbraw/zinc/49/30/78/701493078.db2.gz SDTRSGNCJACCMZ-UHFFFAOYSA-N 1 2 300.318 1.905 20 30 DDEDLO C[C@@H](C#N)CN(C)S(=O)(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC000867793742 701753434 /nfs/dbraw/zinc/75/34/34/701753434.db2.gz BEWOBINOGDNGKT-SWLSCSKDSA-N 1 2 322.434 1.099 20 30 DDEDLO C[C@@H](C#N)CN(C)S(=O)(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC000867793742 701753439 /nfs/dbraw/zinc/75/34/39/701753439.db2.gz BEWOBINOGDNGKT-SWLSCSKDSA-N 1 2 322.434 1.099 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CCC[C@@H](CO)CC1 ZINC000815276899 701836255 /nfs/dbraw/zinc/83/62/55/701836255.db2.gz JRHUYICIAXLPBJ-ZBFHGGJFSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CCC[C@@H](CO)CC1 ZINC000815276899 701836261 /nfs/dbraw/zinc/83/62/61/701836261.db2.gz JRHUYICIAXLPBJ-ZBFHGGJFSA-N 1 2 302.374 1.867 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)/C=C\C[NH+]2CCOCC2)cc1F ZINC000868029341 701900622 /nfs/dbraw/zinc/90/06/22/701900622.db2.gz WOXXFNHXHNLYAY-UPHRSURJSA-N 1 2 321.327 1.341 20 30 DDEDLO Cc1ccn(C[N@H+](Cc2cnn(C)c2)CC(C)C)c(=O)c1C#N ZINC000840162014 702003546 /nfs/dbraw/zinc/00/35/46/702003546.db2.gz YDDFBVVRIGCCDX-UHFFFAOYSA-N 1 2 313.405 1.878 20 30 DDEDLO Cc1ccn(C[N@@H+](Cc2cnn(C)c2)CC(C)C)c(=O)c1C#N ZINC000840162014 702003554 /nfs/dbraw/zinc/00/35/54/702003554.db2.gz YDDFBVVRIGCCDX-UHFFFAOYSA-N 1 2 313.405 1.878 20 30 DDEDLO C[NH+]1CCC(ONC(=O)CSCc2ccc(C#N)cc2)CC1 ZINC000816532405 702107476 /nfs/dbraw/zinc/10/74/76/702107476.db2.gz FSAKPBDDQOQJMG-UHFFFAOYSA-N 1 2 319.430 1.933 20 30 DDEDLO COC(=O)C12CCC(CC1)[N@@H+]2C[C@@H](O)c1ccc(C#N)cc1 ZINC000879325969 706650455 /nfs/dbraw/zinc/65/04/55/706650455.db2.gz LZBDGSWFRJPANN-ISXOHVOVSA-N 1 2 300.358 1.762 20 30 DDEDLO COC(=O)C12CCC(CC1)[N@H+]2C[C@@H](O)c1ccc(C#N)cc1 ZINC000879325969 706650458 /nfs/dbraw/zinc/65/04/58/706650458.db2.gz LZBDGSWFRJPANN-ISXOHVOVSA-N 1 2 300.358 1.762 20 30 DDEDLO C[N@H+](CC(=O)Oc1cccc(OCC#N)c1)[C@@H]1CCSC1 ZINC000841345836 702424583 /nfs/dbraw/zinc/42/45/83/702424583.db2.gz PUYWNZHXSHAIBB-GFCCVEGCSA-N 1 2 306.387 1.932 20 30 DDEDLO C[N@@H+](CC(=O)Oc1cccc(OCC#N)c1)[C@@H]1CCSC1 ZINC000841345836 702424587 /nfs/dbraw/zinc/42/45/87/702424587.db2.gz PUYWNZHXSHAIBB-GFCCVEGCSA-N 1 2 306.387 1.932 20 30 DDEDLO C[C@H]([NH2+]C[C@@H]1CC[C@H](C(=O)N(C)C)O1)c1cccc(C#N)c1O ZINC000866316506 706671874 /nfs/dbraw/zinc/67/18/74/706671874.db2.gz ZSGKSDLAMZQPCY-CORIIIEPSA-N 1 2 317.389 1.550 20 30 DDEDLO C=C[C@H](C)ONC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000844284319 703004605 /nfs/dbraw/zinc/00/46/05/703004605.db2.gz SGVVOOJPCJRSLD-GOEBONIOSA-N 1 2 319.405 1.693 20 30 DDEDLO C=C[C@H](C)ONC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000844284319 703004607 /nfs/dbraw/zinc/00/46/07/703004607.db2.gz SGVVOOJPCJRSLD-GOEBONIOSA-N 1 2 319.405 1.693 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000844344172 703018074 /nfs/dbraw/zinc/01/80/74/703018074.db2.gz UKOPSIUBKRVJTR-AWEZNQCLSA-N 1 2 324.384 1.913 20 30 DDEDLO COCc1cc(C[N@H+](C)C[C@@H](O)c2cccc(C#N)c2)no1 ZINC000846006235 703225798 /nfs/dbraw/zinc/22/57/98/703225798.db2.gz HLUSLEIEXIZOII-MRXNPFEDSA-N 1 2 301.346 1.858 20 30 DDEDLO COCc1cc(C[N@@H+](C)C[C@@H](O)c2cccc(C#N)c2)no1 ZINC000846006235 703225800 /nfs/dbraw/zinc/22/58/00/703225800.db2.gz HLUSLEIEXIZOII-MRXNPFEDSA-N 1 2 301.346 1.858 20 30 DDEDLO C=C[C@H](C)ONC(=O)CC[NH+]1CCN(c2ccccn2)CC1 ZINC000846545934 703294776 /nfs/dbraw/zinc/29/47/76/703294776.db2.gz KJNBJGSDJVLBFN-AWEZNQCLSA-N 1 2 304.394 1.216 20 30 DDEDLO CCOC(C[N@H+](CC)[C@@H](C(N)=O)c1ccc(C#N)cc1)OCC ZINC000846974130 703359376 /nfs/dbraw/zinc/35/93/76/703359376.db2.gz KOUURXLEUMBYAC-MRXNPFEDSA-N 1 2 319.405 1.806 20 30 DDEDLO CCOC(C[N@@H+](CC)[C@@H](C(N)=O)c1ccc(C#N)cc1)OCC ZINC000846974130 703359377 /nfs/dbraw/zinc/35/93/77/703359377.db2.gz KOUURXLEUMBYAC-MRXNPFEDSA-N 1 2 319.405 1.806 20 30 DDEDLO C[N@H+](CC(=O)NCCC#N)Cc1ccc2c(c1)OC(F)(F)O2 ZINC000847071441 703374552 /nfs/dbraw/zinc/37/45/52/703374552.db2.gz XYRAMKJGSULRPI-UHFFFAOYSA-N 1 2 311.288 1.470 20 30 DDEDLO C[N@@H+](CC(=O)NCCC#N)Cc1ccc2c(c1)OC(F)(F)O2 ZINC000847071441 703374554 /nfs/dbraw/zinc/37/45/54/703374554.db2.gz XYRAMKJGSULRPI-UHFFFAOYSA-N 1 2 311.288 1.470 20 30 DDEDLO Cn1c(=O)sc2cc(C=NNCCCn3cc[nH+]c3)ccc21 ZINC000848416409 703547894 /nfs/dbraw/zinc/54/78/94/703547894.db2.gz KLVBJBCMCJZEAJ-UHFFFAOYSA-N 1 2 315.402 1.810 20 30 DDEDLO CC(=NNCCCn1cc[nH+]c1)c1ccc(-n2cncn2)cc1 ZINC000848418371 703548241 /nfs/dbraw/zinc/54/82/41/703548241.db2.gz JAYVXKDYYYLOHZ-UHFFFAOYSA-N 1 2 309.377 1.868 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCc2nnn(C(C)(C)C)n2)ccc1F ZINC000848510591 703559584 /nfs/dbraw/zinc/55/95/84/703559584.db2.gz VBJSFEKPYCIHBB-UHFFFAOYSA-N 1 2 306.345 1.713 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(/C=C/C(=O)OC)o2)C1=O ZINC000848907537 703601822 /nfs/dbraw/zinc/60/18/22/703601822.db2.gz FPLLOHHBOZGTET-KIUWMYQTSA-N 1 2 318.373 1.685 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(/C=C/C(=O)OC)o2)C1=O ZINC000848907537 703601823 /nfs/dbraw/zinc/60/18/23/703601823.db2.gz FPLLOHHBOZGTET-KIUWMYQTSA-N 1 2 318.373 1.685 20 30 DDEDLO CCOCC[C@@H](O)C[N@@H+]1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852333875 704014836 /nfs/dbraw/zinc/01/48/36/704014836.db2.gz XHQMRXXYSBGOAD-WBVHZDCISA-N 1 2 303.406 1.726 20 30 DDEDLO CCOCC[C@@H](O)C[N@H+]1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852333875 704014841 /nfs/dbraw/zinc/01/48/41/704014841.db2.gz XHQMRXXYSBGOAD-WBVHZDCISA-N 1 2 303.406 1.726 20 30 DDEDLO C#CCNC(=O)C[NH2+][C@@H](CO)c1cccc(Cl)c1Cl ZINC000852674686 704097608 /nfs/dbraw/zinc/09/76/08/704097608.db2.gz HHNHYXDKHRYITP-NSHDSACASA-N 1 2 301.173 1.366 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000870997440 704196127 /nfs/dbraw/zinc/19/61/27/704196127.db2.gz NUQCZVBJGJKEKV-ZDUSSCGKSA-N 1 2 319.430 1.289 20 30 DDEDLO C#CC[NH+]1CCN(c2ccnc(-c3ccc(OC)cc3)n2)CC1 ZINC000853132035 704202337 /nfs/dbraw/zinc/20/23/37/704202337.db2.gz VHYRZWWJHGMXQY-UHFFFAOYSA-N 1 2 308.385 1.907 20 30 DDEDLO CCOC(=O)c1cnc(N=NCc2[nH]c(C)c(C)[nH+]2)nc1C ZINC000853330212 704235016 /nfs/dbraw/zinc/23/50/16/704235016.db2.gz WGSKTORVRJITAG-UHFFFAOYSA-N 1 2 302.338 1.748 20 30 DDEDLO Cc1[nH]c(CN=Nc2ccccc2S(=O)(=O)N(C)C)[nH+]c1C ZINC000853350579 704235980 /nfs/dbraw/zinc/23/59/80/704235980.db2.gz UZAIDZLTSULYKC-UHFFFAOYSA-N 1 2 321.406 1.723 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C(C)(C)[C@@H]1[C@@H]1CCCO1)[C@@H](C)COC ZINC000853534846 704262146 /nfs/dbraw/zinc/26/21/46/704262146.db2.gz NAFYPIABBNTSJL-KKUMJFAQSA-N 1 2 310.438 1.883 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C(C)(C)[C@@H]1[C@@H]1CCCO1)[C@@H](C)COC ZINC000853534846 704262147 /nfs/dbraw/zinc/26/21/47/704262147.db2.gz NAFYPIABBNTSJL-KKUMJFAQSA-N 1 2 310.438 1.883 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)cc1C#N ZINC000855407159 704482906 /nfs/dbraw/zinc/48/29/06/704482906.db2.gz JGNGLVGUYQRWKC-ZIAGYGMSSA-N 1 2 301.390 1.706 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)cc1C#N ZINC000855407159 704482907 /nfs/dbraw/zinc/48/29/07/704482907.db2.gz JGNGLVGUYQRWKC-ZIAGYGMSSA-N 1 2 301.390 1.706 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)NCCCNc1cccc[nH+]1 ZINC000858231665 704682683 /nfs/dbraw/zinc/68/26/83/704682683.db2.gz USLYJOYGYLEPDK-CQSZACIVSA-N 1 2 304.394 1.611 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCO[C@H](C(F)F)C2)CCOCC1 ZINC000859296795 704853837 /nfs/dbraw/zinc/85/38/37/704853837.db2.gz OJYFWNNYADBCMQ-NEPJUHHUSA-N 1 2 304.337 1.024 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCO[C@H](C(F)F)C2)CCOCC1 ZINC000859296795 704853843 /nfs/dbraw/zinc/85/38/43/704853843.db2.gz OJYFWNNYADBCMQ-NEPJUHHUSA-N 1 2 304.337 1.024 20 30 DDEDLO C[N@H+](C[C@H](O)COc1ccccc1C#N)[C@H]1CC(C)(C)OC1=O ZINC000859313800 704860646 /nfs/dbraw/zinc/86/06/46/704860646.db2.gz HYKWWTXHVMTJHQ-KBPBESRZSA-N 1 2 318.373 1.324 20 30 DDEDLO C[N@@H+](C[C@H](O)COc1ccccc1C#N)[C@H]1CC(C)(C)OC1=O ZINC000859313800 704860652 /nfs/dbraw/zinc/86/06/52/704860652.db2.gz HYKWWTXHVMTJHQ-KBPBESRZSA-N 1 2 318.373 1.324 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)COc1ccc(C#N)cc1 ZINC000874699165 705132720 /nfs/dbraw/zinc/13/27/20/705132720.db2.gz POAZGOPXURDCEX-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)COc1ccc(C#N)cc1 ZINC000874699165 705132725 /nfs/dbraw/zinc/13/27/25/705132725.db2.gz POAZGOPXURDCEX-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO CCCCCCCNC(=O)N(C)C[C@@H](O)C[NH+]1CCOCC1 ZINC000875764290 705503355 /nfs/dbraw/zinc/50/33/55/705503355.db2.gz GEEISCSDGCETAO-OAHLLOKOSA-N 1 2 315.458 1.291 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000862641447 705760245 /nfs/dbraw/zinc/76/02/45/705760245.db2.gz ARKNOCRXGYXRCT-GOSISDBHSA-N 1 2 322.449 1.302 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000862641447 705760249 /nfs/dbraw/zinc/76/02/49/705760249.db2.gz ARKNOCRXGYXRCT-GOSISDBHSA-N 1 2 322.449 1.302 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000826590934 705821215 /nfs/dbraw/zinc/82/12/15/705821215.db2.gz WKEIJVNISNCAHC-SJLPKXTDSA-N 1 2 318.417 1.249 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000826590934 705821217 /nfs/dbraw/zinc/82/12/17/705821217.db2.gz WKEIJVNISNCAHC-SJLPKXTDSA-N 1 2 318.417 1.249 20 30 DDEDLO N#C[C@H](NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C1CCCC1 ZINC000829369634 706323055 /nfs/dbraw/zinc/32/30/55/706323055.db2.gz MYBJHCWJYGDCCI-PMPSAXMXSA-N 1 2 321.421 1.065 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000878383197 706374145 /nfs/dbraw/zinc/37/41/45/706374145.db2.gz LFBLJLAEUGEICV-MRXNPFEDSA-N 1 2 312.479 1.913 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@@H+]2CCOC(C)(C)C2)c1 ZINC000836352432 707445539 /nfs/dbraw/zinc/44/55/39/707445539.db2.gz VVZNKIHAGMINHQ-UHFFFAOYSA-N 1 2 315.417 1.578 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@H+]2CCOC(C)(C)C2)c1 ZINC000836352432 707445542 /nfs/dbraw/zinc/44/55/42/707445542.db2.gz VVZNKIHAGMINHQ-UHFFFAOYSA-N 1 2 315.417 1.578 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@@H+]1C[C@@H]2C[C@H]1C[S@@]2=O)c1ccccc1 ZINC000878811737 706506151 /nfs/dbraw/zinc/50/61/51/706506151.db2.gz NOFAUNAMKVMSLZ-FSTVOOFJSA-N 1 2 316.426 1.632 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@H+]1C[C@@H]2C[C@H]1C[S@@]2=O)c1ccccc1 ZINC000878811737 706506152 /nfs/dbraw/zinc/50/61/52/706506152.db2.gz NOFAUNAMKVMSLZ-FSTVOOFJSA-N 1 2 316.426 1.632 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)Nc2ccccc2OC)CC1 ZINC000880480151 706987350 /nfs/dbraw/zinc/98/73/50/706987350.db2.gz LFFWDZSSXGTOGD-UHFFFAOYSA-N 1 2 302.374 1.484 20 30 DDEDLO CCOCCCN(c1cc[nH+]cc1)S(=O)(=O)CCCC#N ZINC000867680183 707072002 /nfs/dbraw/zinc/07/20/02/707072002.db2.gz BNHDCTSSSLKUFV-UHFFFAOYSA-N 1 2 311.407 1.948 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000881430807 707254868 /nfs/dbraw/zinc/25/48/68/707254868.db2.gz MQEFSNQMQFYQMD-UHFFFAOYSA-N 1 2 302.422 1.766 20 30 DDEDLO O=C1C=C(NNc2cc(Br)nc[nH+]2)[C@@H]2CCCCN12 ZINC000872435722 707409264 /nfs/dbraw/zinc/40/92/64/707409264.db2.gz DLYGGJBBLPHSHM-VIFPVBQESA-N 1 2 324.182 1.792 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2c(C)cccc2C#N)C[C@H]1C ZINC000872468702 707430151 /nfs/dbraw/zinc/43/01/51/707430151.db2.gz XPNIXMMMVWVGHZ-ZIAGYGMSSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2c(C)cccc2C#N)C[C@H]1C ZINC000872468702 707430154 /nfs/dbraw/zinc/43/01/54/707430154.db2.gz XPNIXMMMVWVGHZ-ZIAGYGMSSA-N 1 2 321.446 1.970 20 30 DDEDLO C#CCCN(CC(F)(F)F)S(=O)(=O)CCn1cc[nH+]c1 ZINC000882075576 707487796 /nfs/dbraw/zinc/48/77/96/707487796.db2.gz GIGGKTDEXHOBTC-UHFFFAOYSA-N 1 2 309.313 1.101 20 30 DDEDLO N#Cc1ccccc1/C=C/C(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000836864901 707534126 /nfs/dbraw/zinc/53/41/26/707534126.db2.gz XBEGHCXZXNEEDT-CZIQXLRQSA-N 1 2 311.385 1.551 20 30 DDEDLO N#Cc1ccccc1/C=C/C(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000836864901 707534132 /nfs/dbraw/zinc/53/41/32/707534132.db2.gz XBEGHCXZXNEEDT-CZIQXLRQSA-N 1 2 311.385 1.551 20 30 DDEDLO O=C(C#CC1CC1)NCC[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000837342892 707624586 /nfs/dbraw/zinc/62/45/86/707624586.db2.gz XLKOEIJZXITLIK-UHFFFAOYSA-N 1 2 315.392 1.477 20 30 DDEDLO C[C@@H](c1ccccc1)[NH+]1CCN(S(=O)(=O)CCCC#N)CC1 ZINC000882616434 707718521 /nfs/dbraw/zinc/71/85/21/707718521.db2.gz LKHKQJSXHKAILB-HNNXBMFYSA-N 1 2 321.446 1.999 20 30 DDEDLO C#C[C@H]1CCCCN1C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000896594014 708092326 /nfs/dbraw/zinc/09/23/26/708092326.db2.gz FKXLGGMWPORPQR-ZDUSSCGKSA-N 1 2 306.435 1.902 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1cccc(OCC)c1 ZINC000884074648 708127940 /nfs/dbraw/zinc/12/79/40/708127940.db2.gz XCXGRBWEXVIRFF-AWEZNQCLSA-N 1 2 306.362 1.148 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000884136833 708156541 /nfs/dbraw/zinc/15/65/41/708156541.db2.gz WSHJCQXNEYJEEB-AAEUAGOBSA-N 1 2 308.382 1.088 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(C)cc1OCC ZINC000884162980 708167119 /nfs/dbraw/zinc/16/71/19/708167119.db2.gz TXQUEWZXOWYQKB-AWEZNQCLSA-N 1 2 320.389 1.457 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H]1C[C@@](C)(OC)C1(C)C ZINC000884168571 708169191 /nfs/dbraw/zinc/16/91/91/708169191.db2.gz IMLGBEOWDDZSRL-MQIPJXDCSA-N 1 2 312.410 1.095 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCN(C)c2cc(F)ccc21 ZINC000884232361 708202128 /nfs/dbraw/zinc/20/21/28/708202128.db2.gz OVRYWXUIMKIQJV-LBPRGKRZSA-N 1 2 321.352 1.055 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)C1CCC(F)(F)CC1 ZINC000884392724 708277315 /nfs/dbraw/zinc/27/73/15/708277315.db2.gz KZOBWQLTMPOREU-JQWIXIFHSA-N 1 2 318.364 1.763 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000884411372 708286152 /nfs/dbraw/zinc/28/61/52/708286152.db2.gz LITRPNTZBRIGHT-QLFBSQMISA-N 1 2 324.421 1.241 20 30 DDEDLO C#CC[C@H]1CCN(c2cc(N3CCC(C)(O)CC3)[nH+]cn2)C1 ZINC000884595441 708335370 /nfs/dbraw/zinc/33/53/70/708335370.db2.gz GVRCHRBWKXLAKN-AWEZNQCLSA-N 1 2 300.406 1.677 20 30 DDEDLO C#CC[C@H]1CCN(c2cc(N3CCC(C)(O)CC3)nc[nH+]2)C1 ZINC000884595441 708335373 /nfs/dbraw/zinc/33/53/73/708335373.db2.gz GVRCHRBWKXLAKN-AWEZNQCLSA-N 1 2 300.406 1.677 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cccc(OCC)c2)C1 ZINC000885508346 708562097 /nfs/dbraw/zinc/56/20/97/708562097.db2.gz GBKMDVUJNYXARL-AWEZNQCLSA-N 1 2 322.430 1.461 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cccc(OCC)c2)C1 ZINC000885508346 708562099 /nfs/dbraw/zinc/56/20/99/708562099.db2.gz GBKMDVUJNYXARL-AWEZNQCLSA-N 1 2 322.430 1.461 20 30 DDEDLO Cc1n[nH]c(C)c1[C@@H]1COCC[N@@H+]1C[C@H](O)CC1(C#N)CCC1 ZINC000886098555 708697871 /nfs/dbraw/zinc/69/78/71/708697871.db2.gz NRKWWAOACLMXLX-CABCVRRESA-N 1 2 318.421 1.845 20 30 DDEDLO Cc1n[nH]c(C)c1[C@@H]1COCC[N@H+]1C[C@H](O)CC1(C#N)CCC1 ZINC000886098555 708697874 /nfs/dbraw/zinc/69/78/74/708697874.db2.gz NRKWWAOACLMXLX-CABCVRRESA-N 1 2 318.421 1.845 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H](c2ncccn2)C1 ZINC000886674534 708811257 /nfs/dbraw/zinc/81/12/57/708811257.db2.gz MZUNSAFTGXPPIQ-YOEHRIQHSA-N 1 2 315.421 1.710 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H](c2ncccn2)C1 ZINC000886674534 708811260 /nfs/dbraw/zinc/81/12/60/708811260.db2.gz MZUNSAFTGXPPIQ-YOEHRIQHSA-N 1 2 315.421 1.710 20 30 DDEDLO C=C1CCC(C(=O)N2CCC(N3CC[NH+](C)CC3)CC2)CC1 ZINC000899180134 709012153 /nfs/dbraw/zinc/01/21/53/709012153.db2.gz VPOSRBWQLMILMC-UHFFFAOYSA-N 1 2 305.466 1.971 20 30 DDEDLO C[C@]1(C#N)CCN(C(=O)c2cccn2CC[NH+]2CCOCC2)C1 ZINC000912706896 713072459 /nfs/dbraw/zinc/07/24/59/713072459.db2.gz DCIVDIOXDCADSA-QGZVFWFLSA-N 1 2 316.405 1.196 20 30 DDEDLO C[C@@H](O)CN(C)[NH+]=Cc1ccc(C(F)(F)F)nc1N(C)C ZINC000901253107 709985120 /nfs/dbraw/zinc/98/51/20/709985120.db2.gz YEBRYVYUWVCDTI-SECBINFHSA-N 1 2 304.316 1.813 20 30 DDEDLO C[C@H]([NH2+]CCS(=O)(=O)N1CCCC1)c1cccc(C#N)c1O ZINC000903291596 711091336 /nfs/dbraw/zinc/09/13/36/711091336.db2.gz DGQHLTKBYGRWQG-LBPRGKRZSA-N 1 2 323.418 1.340 20 30 DDEDLO CN(c1cccc(C#N)c1)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000912256392 711249141 /nfs/dbraw/zinc/24/91/41/711249141.db2.gz UDQXZSLJLTYYJK-OAHLLOKOSA-N 1 2 309.373 1.561 20 30 DDEDLO CN(c1cccc(C#N)c1)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000912256391 711249163 /nfs/dbraw/zinc/24/91/63/711249163.db2.gz UDQXZSLJLTYYJK-HNNXBMFYSA-N 1 2 309.373 1.561 20 30 DDEDLO Cc1cc(C[NH+]2CCN(C(=O)CC#N)CC2)ccc1-n1cncn1 ZINC000895066804 711394580 /nfs/dbraw/zinc/39/45/80/711394580.db2.gz XXDLFXDBDCNFJE-UHFFFAOYSA-N 1 2 324.388 1.134 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2sccc2Br)CCCN1O ZINC000895161946 711437858 /nfs/dbraw/zinc/43/78/58/711437858.db2.gz VUSGWWKTCZJQBP-MRVPVSSYSA-N 1 2 305.197 1.980 20 30 DDEDLO C#CC[C@@H](CO)[NH2+]Cc1nc2ccccn2c1Br ZINC000895490063 711525539 /nfs/dbraw/zinc/52/55/39/711525539.db2.gz KUQAHTLXWFZLIJ-JTQLQIEISA-N 1 2 308.179 1.571 20 30 DDEDLO C[N@@H+]1CCN(C(=O)c2ccccc2SCC#N)C[C@@H]1CO ZINC000913556530 713258551 /nfs/dbraw/zinc/25/85/51/713258551.db2.gz DFGZOSBOYWJAIL-GFCCVEGCSA-N 1 2 305.403 1.051 20 30 DDEDLO C[N@H+]1CCN(C(=O)c2ccccc2SCC#N)C[C@@H]1CO ZINC000913556530 713258553 /nfs/dbraw/zinc/25/85/53/713258553.db2.gz DFGZOSBOYWJAIL-GFCCVEGCSA-N 1 2 305.403 1.051 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCC(N2CC[NH+](C)CC2)CC1 ZINC000913760385 713296815 /nfs/dbraw/zinc/29/68/15/713296815.db2.gz KPMXJRLWZMDAHV-IAGOWNOFSA-N 1 2 321.465 1.206 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)N(C)CC[NH+]1CCOCC1 ZINC000906521411 712345601 /nfs/dbraw/zinc/34/56/01/712345601.db2.gz ZVGXMNNQZUHVGA-UHFFFAOYSA-N 1 2 315.417 1.508 20 30 DDEDLO C=C1CCN(C(=O)c2cccn2CC[NH+]2CCOCC2)CC1 ZINC000906580728 712360171 /nfs/dbraw/zinc/36/01/71/712360171.db2.gz PUQZAHKWDDWGOW-UHFFFAOYSA-N 1 2 303.406 1.613 20 30 DDEDLO CN(C[C@H]1CCn2cc[nH+]c2C1)S(=O)(=O)CC(C)(C)C#N ZINC000915023699 713406695 /nfs/dbraw/zinc/40/66/95/713406695.db2.gz MZVVCXNSWWAUNU-LBPRGKRZSA-N 1 2 310.423 1.257 20 30 DDEDLO C=CC[N@H+](CC(=O)NCc1ccc(S(C)(=O)=O)cc1)C(C)C ZINC000917167888 713485731 /nfs/dbraw/zinc/48/57/31/713485731.db2.gz GTFCGISSMREJBS-UHFFFAOYSA-N 1 2 324.446 1.603 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCc1ccc(S(C)(=O)=O)cc1)C(C)C ZINC000917167888 713485732 /nfs/dbraw/zinc/48/57/32/713485732.db2.gz GTFCGISSMREJBS-UHFFFAOYSA-N 1 2 324.446 1.603 20 30 DDEDLO CC[C@@](O)(CN(CCC#N)CC[NH+]1CCOCC1)C(F)(F)F ZINC000929774510 713687572 /nfs/dbraw/zinc/68/75/72/713687572.db2.gz PVKQFTSKKDZALT-CYBMUJFWSA-N 1 2 323.359 1.238 20 30 DDEDLO CCOC(=O)[C@H](CCc1ccccc1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000930819240 713927842 /nfs/dbraw/zinc/92/78/42/713927842.db2.gz VAHYSPRENDRKID-FUHWJXTLSA-N 1 2 316.401 1.901 20 30 DDEDLO CCOC(=O)[C@H](CCc1ccccc1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000930819240 713927843 /nfs/dbraw/zinc/92/78/43/713927843.db2.gz VAHYSPRENDRKID-FUHWJXTLSA-N 1 2 316.401 1.901 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)N[C@H]2CCC[C@@H]2C#N)n1 ZINC000921982661 713928033 /nfs/dbraw/zinc/92/80/33/713928033.db2.gz ZXJOESNVGMHMFD-PWSUYJOCSA-N 1 2 307.423 1.696 20 30 DDEDLO C=CCCCC[NH+]1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC000931226866 714042016 /nfs/dbraw/zinc/04/20/16/714042016.db2.gz SHNHQJPTZHVMIA-OAHLLOKOSA-N 1 2 300.468 1.538 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)C2(C#N)CCSCC2)CCO1 ZINC000931415202 714091096 /nfs/dbraw/zinc/09/10/96/714091096.db2.gz JEENANFZVZQWAJ-UHFFFAOYSA-N 1 2 311.451 1.250 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)C2(C#N)CCSCC2)CCO1 ZINC000931415202 714091098 /nfs/dbraw/zinc/09/10/98/714091098.db2.gz JEENANFZVZQWAJ-UHFFFAOYSA-N 1 2 311.451 1.250 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000922688906 714119874 /nfs/dbraw/zinc/11/98/74/714119874.db2.gz YZHHKWLBZAOGDU-ZNMIVQPWSA-N 1 2 308.426 1.479 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@@H+]2CCO[C@@H](C)C2)ncc1C#N ZINC000932155764 714273211 /nfs/dbraw/zinc/27/32/11/714273211.db2.gz NMMQWBXBCYLROG-LBPRGKRZSA-N 1 2 303.366 1.104 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@H+]2CCO[C@@H](C)C2)ncc1C#N ZINC000932155764 714273214 /nfs/dbraw/zinc/27/32/14/714273214.db2.gz NMMQWBXBCYLROG-LBPRGKRZSA-N 1 2 303.366 1.104 20 30 DDEDLO N#CC1(NC(=O)C[NH2+][C@H](c2ncccn2)C2CC2)CCCCC1 ZINC000932370312 714319440 /nfs/dbraw/zinc/31/94/40/714319440.db2.gz YIIPDAHHAQBBLF-HNNXBMFYSA-N 1 2 313.405 1.860 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H]1C(=O)NC(C)(C)C ZINC000932438764 714331142 /nfs/dbraw/zinc/33/11/42/714331142.db2.gz UFLPGNQUQAEVOM-ZIAGYGMSSA-N 1 2 322.453 1.374 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H]1C(=O)NC(C)(C)C ZINC000932438764 714331144 /nfs/dbraw/zinc/33/11/44/714331144.db2.gz UFLPGNQUQAEVOM-ZIAGYGMSSA-N 1 2 322.453 1.374 20 30 DDEDLO N#C[C@@]12C[N@@H+](C[C@H]3CC4CCC3CC4)C[C@H]1CS(=O)(=O)C2 ZINC000933113840 714517026 /nfs/dbraw/zinc/51/70/26/714517026.db2.gz ATQUVPOGEQQYPE-NCOXYXGASA-N 1 2 308.447 1.683 20 30 DDEDLO N#C[C@@]12C[N@H+](C[C@H]3CC4CCC3CC4)C[C@H]1CS(=O)(=O)C2 ZINC000933113840 714517030 /nfs/dbraw/zinc/51/70/30/714517030.db2.gz ATQUVPOGEQQYPE-NCOXYXGASA-N 1 2 308.447 1.683 20 30 DDEDLO C[C@@H]1C[C@H](C[N@@H+]2C[C@@H]3CS(=O)(=O)C[C@@]3(C#N)C2)C[C@H](C)O1 ZINC000933114935 714517208 /nfs/dbraw/zinc/51/72/08/714517208.db2.gz SIPOMLRGYKUILY-GZBLMMOJSA-N 1 2 312.435 1.060 20 30 DDEDLO C[C@@H]1C[C@H](C[N@H+]2C[C@@H]3CS(=O)(=O)C[C@@]3(C#N)C2)C[C@H](C)O1 ZINC000933114935 714517209 /nfs/dbraw/zinc/51/72/09/714517209.db2.gz SIPOMLRGYKUILY-GZBLMMOJSA-N 1 2 312.435 1.060 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000924781421 714662746 /nfs/dbraw/zinc/66/27/46/714662746.db2.gz FFEGKGJFFLAERA-LLVKDONJSA-N 1 2 312.439 1.331 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cn3nccc3nc2C)C1 ZINC000957064491 715730958 /nfs/dbraw/zinc/73/09/58/715730958.db2.gz ZJQXLRFCMCJOQR-UHFFFAOYSA-N 1 2 313.405 1.760 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3c(c2)OCCO3)C1 ZINC000957380556 715871630 /nfs/dbraw/zinc/87/16/30/715871630.db2.gz FWZZTQJELSFANE-UHFFFAOYSA-N 1 2 314.385 1.627 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)N([C@@H](C)CCC)C2)C1 ZINC000957422111 715895561 /nfs/dbraw/zinc/89/55/61/715895561.db2.gz JLNGCHFLYSKJHT-LSDHHAIUSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC000939477391 716274518 /nfs/dbraw/zinc/27/45/18/716274518.db2.gz JGHNHXVDSRQVTG-WMLDXEAASA-N 1 2 318.421 1.545 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC000960614350 716631354 /nfs/dbraw/zinc/63/13/54/716631354.db2.gz QTQQLWZENRFFHC-WFASDCNBSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC000960614350 716631357 /nfs/dbraw/zinc/63/13/57/716631357.db2.gz QTQQLWZENRFFHC-WFASDCNBSA-N 1 2 316.405 1.775 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C#N)[nH]1 ZINC000958590737 716647350 /nfs/dbraw/zinc/64/73/50/716647350.db2.gz SKRNHXFEDZVXGZ-MUYACECFSA-N 1 2 322.372 1.098 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C#N)[nH]1 ZINC000958590737 716647355 /nfs/dbraw/zinc/64/73/55/716647355.db2.gz SKRNHXFEDZVXGZ-MUYACECFSA-N 1 2 322.372 1.098 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ccc(C#N)[nH]2)o1 ZINC000958600086 716653944 /nfs/dbraw/zinc/65/39/44/716653944.db2.gz YRUPCRCHQRWTTM-JYAVWHMHSA-N 1 2 311.345 1.043 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ccc(C#N)[nH]2)o1 ZINC000958600086 716653945 /nfs/dbraw/zinc/65/39/45/716653945.db2.gz YRUPCRCHQRWTTM-JYAVWHMHSA-N 1 2 311.345 1.043 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC[C@@H](F)C3)CC2)C1 ZINC000941407557 717171874 /nfs/dbraw/zinc/17/18/74/717171874.db2.gz SKOXBTORDTWROA-HZPDHXFCSA-N 1 2 321.440 1.366 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@]3(C)CC=CCC3)CC2)C1 ZINC000941419847 717174112 /nfs/dbraw/zinc/17/41/12/717174112.db2.gz HOODEYYSKRPVPC-GOSISDBHSA-N 1 2 301.434 1.194 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C34CCC(CC3)C4)CC2)C1 ZINC000941460032 717180779 /nfs/dbraw/zinc/18/07/79/717180779.db2.gz MJKQVWMDXHEFAA-UHFFFAOYSA-N 1 2 315.461 1.418 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3CC=CCC3)CC2)C1 ZINC000941516891 717190846 /nfs/dbraw/zinc/19/08/46/717190846.db2.gz POQRUUJENPRBBX-KRWDZBQOSA-N 1 2 315.461 1.585 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3C[C@@H]3C(C)C)CC2)C1 ZINC000941628484 717235956 /nfs/dbraw/zinc/23/59/56/717235956.db2.gz VQXQSXADSMSPNL-SJORKVTESA-N 1 2 303.450 1.130 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc(F)cc(F)c3)CC2)C1 ZINC000941660249 717247028 /nfs/dbraw/zinc/24/70/28/717247028.db2.gz HGYLIKOYRZGWJN-UHFFFAOYSA-N 1 2 319.355 1.040 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000965125345 717546404 /nfs/dbraw/zinc/54/64/04/717546404.db2.gz BIFYSTHRDCNNFW-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC000966671355 718624378 /nfs/dbraw/zinc/62/43/78/718624378.db2.gz ONTBCRVKSRIBFI-KBPBESRZSA-N 1 2 318.421 1.449 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1cn[nH]n1 ZINC000967187734 718787587 /nfs/dbraw/zinc/78/75/87/718787587.db2.gz WVRKBFWJAKOOTI-LRDDRELGSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1cn[nH]n1 ZINC000967187734 718787593 /nfs/dbraw/zinc/78/75/93/718787593.db2.gz WVRKBFWJAKOOTI-LRDDRELGSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1cnn[nH]1 ZINC000967187734 718787595 /nfs/dbraw/zinc/78/75/95/718787595.db2.gz WVRKBFWJAKOOTI-LRDDRELGSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1cnn[nH]1 ZINC000967187734 718787598 /nfs/dbraw/zinc/78/75/98/718787598.db2.gz WVRKBFWJAKOOTI-LRDDRELGSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC000967728850 718992859 /nfs/dbraw/zinc/99/28/59/718992859.db2.gz NOYRPWYWGLISSS-GHMZBOCLSA-N 1 2 305.382 1.217 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1ncccn1 ZINC000947205403 719073461 /nfs/dbraw/zinc/07/34/61/719073461.db2.gz PVXHVHYTUVSUCL-TZMCWYRMSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1ncccn1 ZINC000947205403 719073464 /nfs/dbraw/zinc/07/34/64/719073464.db2.gz PVXHVHYTUVSUCL-TZMCWYRMSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)Cn2c[nH+]cc2C)C[C@H]1C ZINC000947552682 719209527 /nfs/dbraw/zinc/20/95/27/719209527.db2.gz XAYJRFUVOLZTQR-TZMCWYRMSA-N 1 2 310.829 1.913 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C[C@H]1C ZINC000947892228 719300003 /nfs/dbraw/zinc/30/00/03/719300003.db2.gz ZCKOEOSGJGCLLR-CJNGLKHVSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C[C@H]1C ZINC000947892228 719300006 /nfs/dbraw/zinc/30/00/06/719300006.db2.gz ZCKOEOSGJGCLLR-CJNGLKHVSA-N 1 2 323.400 1.688 20 30 DDEDLO CN(C(=O)[C@@H]1CCn2c[nH+]cc2C1)[C@@H]1CCCN(CC#N)CC1 ZINC000948561979 719548800 /nfs/dbraw/zinc/54/88/00/719548800.db2.gz OWNQPMXOCQRKKE-HUUCEWRRSA-N 1 2 315.421 1.282 20 30 DDEDLO N#Cc1ccccc1CN1CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC000948676408 719638740 /nfs/dbraw/zinc/63/87/40/719638740.db2.gz QRVPKCNDAGRUKI-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000968606997 719689429 /nfs/dbraw/zinc/68/94/29/719689429.db2.gz NCAIXBDQCIQZER-HIFRSBDPSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000968606997 719689430 /nfs/dbraw/zinc/68/94/30/719689430.db2.gz NCAIXBDQCIQZER-HIFRSBDPSA-N 1 2 324.380 1.866 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCO[C@H]2c2ccnn2CC)CC1 ZINC000948864930 719753446 /nfs/dbraw/zinc/75/34/46/719753446.db2.gz KWWAEBLOOIVDRY-GDBMZVCRSA-N 1 2 318.421 1.311 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccn(CC)n1 ZINC000948965279 719812372 /nfs/dbraw/zinc/81/23/72/719812372.db2.gz IGECRIWCTIUTQX-SFHVURJKSA-N 1 2 322.412 1.865 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccn(CC)n1 ZINC000948965279 719812380 /nfs/dbraw/zinc/81/23/80/719812380.db2.gz IGECRIWCTIUTQX-SFHVURJKSA-N 1 2 322.412 1.865 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)Cc3cccs3)CC2)C1 ZINC000949278335 719990537 /nfs/dbraw/zinc/99/05/37/719990537.db2.gz AYQWCSKOXKATJU-UHFFFAOYSA-N 1 2 318.442 1.617 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)Cc3cccs3)CC2)C1 ZINC000949278335 719990540 /nfs/dbraw/zinc/99/05/40/719990540.db2.gz AYQWCSKOXKATJU-UHFFFAOYSA-N 1 2 318.442 1.617 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CC=CCC3)CC2)C1 ZINC000949295580 719998727 /nfs/dbraw/zinc/99/87/27/719998727.db2.gz OPBLKROMOPNEQV-MRXNPFEDSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CC=CCC3)CC2)C1 ZINC000949295580 719998732 /nfs/dbraw/zinc/99/87/32/719998732.db2.gz OPBLKROMOPNEQV-MRXNPFEDSA-N 1 2 302.418 1.669 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnc3cc(OC)ccn23)CC1 ZINC000949302843 720003490 /nfs/dbraw/zinc/00/34/90/720003490.db2.gz RXNDPGVHULOOEV-UHFFFAOYSA-N 1 2 314.389 1.677 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H](CC)SC)CC2)C1 ZINC000949340391 720027230 /nfs/dbraw/zinc/02/72/30/720027230.db2.gz MKTZWSORYAHIRK-AWEZNQCLSA-N 1 2 310.463 1.455 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H](CC)SC)CC2)C1 ZINC000949340391 720027233 /nfs/dbraw/zinc/02/72/33/720027233.db2.gz MKTZWSORYAHIRK-AWEZNQCLSA-N 1 2 310.463 1.455 20 30 DDEDLO CC[C@@H](F)C[N@@H+]1CCOC2(CCN(C(=O)C#CC3CC3)CC2)C1 ZINC000949358389 720038685 /nfs/dbraw/zinc/03/86/85/720038685.db2.gz SBIIFEBDCDJIKX-MRXNPFEDSA-N 1 2 322.424 1.841 20 30 DDEDLO CC[C@@H](F)C[N@H+]1CCOC2(CCN(C(=O)C#CC3CC3)CC2)C1 ZINC000949358389 720038689 /nfs/dbraw/zinc/03/86/89/720038689.db2.gz SBIIFEBDCDJIKX-MRXNPFEDSA-N 1 2 322.424 1.841 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2coc3c2C(=O)NCCC3)CC1 ZINC000949395845 720059845 /nfs/dbraw/zinc/05/98/45/720059845.db2.gz ICBKWMNFMMOEDS-UHFFFAOYSA-N 1 2 317.389 1.290 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@]3(C)C=CCC3)CC2)C1 ZINC000949441207 720088803 /nfs/dbraw/zinc/08/88/03/720088803.db2.gz ONBZZKALRYUMOM-KRWDZBQOSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@]3(C)C=CCC3)CC2)C1 ZINC000949441207 720088808 /nfs/dbraw/zinc/08/88/08/720088808.db2.gz ONBZZKALRYUMOM-KRWDZBQOSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C(C3CC3)C3CC3)CC2)C1 ZINC000949509102 720131801 /nfs/dbraw/zinc/13/18/01/720131801.db2.gz LNFGIHPAAOEJKH-UHFFFAOYSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C(C3CC3)C3CC3)CC2)C1 ZINC000949509102 720131804 /nfs/dbraw/zinc/13/18/04/720131804.db2.gz LNFGIHPAAOEJKH-UHFFFAOYSA-N 1 2 316.445 1.749 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnc3[nH]cnc3c2)C1 ZINC000969768830 720284736 /nfs/dbraw/zinc/28/47/36/720284736.db2.gz KMSBOHRLHLARHZ-JTQLQIEISA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnn3c2CCC3)C1 ZINC000969794700 720295647 /nfs/dbraw/zinc/29/56/47/720295647.db2.gz ZFFLWFSYGZGXSY-LLVKDONJSA-N 1 2 308.813 1.632 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2c[nH]nc2[C@H]2CCCO2)CC1 ZINC000949821224 720364069 /nfs/dbraw/zinc/36/40/69/720364069.db2.gz UGZMQRGSOZVZKJ-CQSZACIVSA-N 1 2 304.394 1.595 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cccc(C(N)=O)c2)C1 ZINC000969986005 720586627 /nfs/dbraw/zinc/58/66/27/720586627.db2.gz UXQZTVHPBRWZSS-NSHDSACASA-N 1 2 321.808 1.588 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2c[nH]nc2-c2ccccn2)C1 ZINC000950486455 720653791 /nfs/dbraw/zinc/65/37/91/720653791.db2.gz CYIUCGDQJQLDSQ-UHFFFAOYSA-N 1 2 311.389 1.804 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C(C)(C)C)n[nH]2)C1 ZINC000950718541 720748509 /nfs/dbraw/zinc/74/85/09/720748509.db2.gz ZAPZMXCPXZOKPE-UHFFFAOYSA-N 1 2 302.422 1.877 20 30 DDEDLO N#Cc1ccc(CN2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)s1 ZINC000950807527 720780471 /nfs/dbraw/zinc/78/04/71/720780471.db2.gz CFJFACPYZLUGKE-UHFFFAOYSA-N 1 2 315.402 1.230 20 30 DDEDLO C=CCN1CC(N(CC)C(=O)[C@@H]2COCC[N@H+]2CCCC)C1 ZINC000950989268 720865858 /nfs/dbraw/zinc/86/58/58/720865858.db2.gz JFFFALASNKWITM-INIZCTEOSA-N 1 2 309.454 1.206 20 30 DDEDLO C=CCN1CC(N(CC)C(=O)[C@@H]2COCC[N@@H+]2CCCC)C1 ZINC000950989268 720865860 /nfs/dbraw/zinc/86/58/60/720865860.db2.gz JFFFALASNKWITM-INIZCTEOSA-N 1 2 309.454 1.206 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cccc(OC)n2)C1 ZINC000970672357 720881669 /nfs/dbraw/zinc/88/16/69/720881669.db2.gz KRZVUJDSXYRGJL-NSHDSACASA-N 1 2 309.797 1.893 20 30 DDEDLO C=CCC[NH+]1CCN(C(=O)c2nnc3ccccc3c2O)CC1 ZINC000951112898 720921899 /nfs/dbraw/zinc/92/18/99/720921899.db2.gz ODQDWSJSSVQPAH-UHFFFAOYSA-N 1 2 312.373 1.669 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C2C[NH+](Cc3nocc3C)C2)cn1 ZINC000970766514 720928501 /nfs/dbraw/zinc/92/85/01/720928501.db2.gz LPSDGRXSVMBMJS-ZDUSSCGKSA-N 1 2 324.384 1.610 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnn3cc[nH]c23)C1 ZINC000970791803 720937711 /nfs/dbraw/zinc/93/77/11/720937711.db2.gz VTURSXSLTCHTDY-SNVBAGLBSA-N 1 2 307.785 1.465 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc(N(C)C)ccn2)C1 ZINC000970957524 721010851 /nfs/dbraw/zinc/01/08/51/721010851.db2.gz KBOJFSDDQYSHNW-LBPRGKRZSA-N 1 2 322.840 1.950 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000951543181 721064833 /nfs/dbraw/zinc/06/48/33/721064833.db2.gz NJDBIVMXMFTNOR-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2noc3ccc(F)cc23)CC1 ZINC000951707009 721146780 /nfs/dbraw/zinc/14/67/80/721146780.db2.gz RCJNLBCXKURMRX-UHFFFAOYSA-N 1 2 303.337 1.840 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)nc1 ZINC000971375808 721264558 /nfs/dbraw/zinc/26/45/58/721264558.db2.gz DBBKGSGRATZPJT-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)nc1 ZINC000971375808 721264562 /nfs/dbraw/zinc/26/45/62/721264562.db2.gz DBBKGSGRATZPJT-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn3cc(C)cnc23)C1 ZINC000952380895 721429395 /nfs/dbraw/zinc/42/93/95/721429395.db2.gz OOOVLGXJMSPYBR-UHFFFAOYSA-N 1 2 311.389 1.207 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)C2)nn1 ZINC001105280825 737708084 /nfs/dbraw/zinc/70/80/84/737708084.db2.gz FQBCCXSMEAHTJV-HUUCEWRRSA-N 1 2 315.421 1.066 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCO1 ZINC001027737690 738608451 /nfs/dbraw/zinc/60/84/51/738608451.db2.gz SUIIZZGKRLPAGL-NEPJUHHUSA-N 1 2 317.227 1.655 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCO1 ZINC001027737690 738608453 /nfs/dbraw/zinc/60/84/53/738608453.db2.gz SUIIZZGKRLPAGL-NEPJUHHUSA-N 1 2 317.227 1.655 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(F)c(NC(C)=O)c1 ZINC001039074738 732631934 /nfs/dbraw/zinc/63/19/34/732631934.db2.gz UUZYSTAWZOHEME-CYBMUJFWSA-N 1 2 303.337 1.221 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(F)c(NC(C)=O)c1 ZINC001039074738 732631938 /nfs/dbraw/zinc/63/19/38/732631938.db2.gz UUZYSTAWZOHEME-CYBMUJFWSA-N 1 2 303.337 1.221 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](F)c3ccccc3)[C@H]2C1 ZINC001083208316 733349827 /nfs/dbraw/zinc/34/98/27/733349827.db2.gz LOZUNVQWAJVRIG-GVDBMIGSSA-N 1 2 316.376 1.632 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](F)c3ccccc3)[C@H]2C1 ZINC001083208316 733349830 /nfs/dbraw/zinc/34/98/30/733349830.db2.gz LOZUNVQWAJVRIG-GVDBMIGSSA-N 1 2 316.376 1.632 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)Cc3cccs3)[C@H]2C1 ZINC001083211215 733453849 /nfs/dbraw/zinc/45/38/49/733453849.db2.gz OCHSBRVRLCGJQE-VNQPRFMTSA-N 1 2 318.442 1.472 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)Cc3cccs3)[C@H]2C1 ZINC001083211215 733453852 /nfs/dbraw/zinc/45/38/52/733453852.db2.gz OCHSBRVRLCGJQE-VNQPRFMTSA-N 1 2 318.442 1.472 20 30 DDEDLO C=CCCC(=O)NC[C@@H](CO)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001121198322 782405055 /nfs/dbraw/zinc/40/50/55/782405055.db2.gz PCUJAAADIDITDJ-ZDUSSCGKSA-N 1 2 304.394 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](C)SC)C1 ZINC001149263466 734725933 /nfs/dbraw/zinc/72/59/33/734725933.db2.gz MKKUMPBZRJUVDI-NEPJUHHUSA-N 1 2 306.859 1.698 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](C)SC)C1 ZINC001149263466 734725937 /nfs/dbraw/zinc/72/59/37/734725937.db2.gz MKKUMPBZRJUVDI-NEPJUHHUSA-N 1 2 306.859 1.698 20 30 DDEDLO C=C(C)C(=O)NCCCNc1ccc([C@H](C)C(=O)OC)c[nH+]1 ZINC001167666973 735010381 /nfs/dbraw/zinc/01/03/81/735010381.db2.gz DKQZGFMMJQENKF-LBPRGKRZSA-N 1 2 305.378 1.852 20 30 DDEDLO C[C@H]1CN(c2ccncc2C#N)CC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067441407 735020721 /nfs/dbraw/zinc/02/07/21/735020721.db2.gz YXUVJOISQYDURK-SWLSCSKDSA-N 1 2 324.388 1.250 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@H+](C)Cc3coc(C)n3)C2)cn1 ZINC000972756231 735598321 /nfs/dbraw/zinc/59/83/21/735598321.db2.gz JXJYNXSBEWVEEM-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3coc(C)n3)C2)cn1 ZINC000972756231 735598326 /nfs/dbraw/zinc/59/83/26/735598326.db2.gz JXJYNXSBEWVEEM-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1nccnc1N ZINC001024370309 735793764 /nfs/dbraw/zinc/79/37/64/735793764.db2.gz QCEVORAPMXIRKA-NSHDSACASA-N 1 2 309.801 1.396 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1nccnc1N ZINC001024370309 735793765 /nfs/dbraw/zinc/79/37/65/735793765.db2.gz QCEVORAPMXIRKA-NSHDSACASA-N 1 2 309.801 1.396 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cc(=O)n(C)cn1 ZINC001024487652 735865071 /nfs/dbraw/zinc/86/50/71/735865071.db2.gz JJIYRZFQENQFSB-GFCCVEGCSA-N 1 2 324.812 1.117 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cc(=O)n(C)cn1 ZINC001024487652 735865072 /nfs/dbraw/zinc/86/50/72/735865072.db2.gz JJIYRZFQENQFSB-GFCCVEGCSA-N 1 2 324.812 1.117 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@@]3(CNC(=O)O3)C2)c(C#N)c1 ZINC001137704724 736273204 /nfs/dbraw/zinc/27/32/04/736273204.db2.gz RSGIWJDMUGYBQX-MRXNPFEDSA-N 1 2 301.346 1.641 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@@]3(CNC(=O)O3)C2)c(C#N)c1 ZINC001137704724 736273206 /nfs/dbraw/zinc/27/32/06/736273206.db2.gz RSGIWJDMUGYBQX-MRXNPFEDSA-N 1 2 301.346 1.641 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc(OC)n(C)n1 ZINC001028017174 738938260 /nfs/dbraw/zinc/93/82/60/738938260.db2.gz ZEPCJUGDIFEDCA-LLVKDONJSA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(OC)n(C)n1 ZINC001028017174 738938263 /nfs/dbraw/zinc/93/82/63/738938263.db2.gz ZEPCJUGDIFEDCA-LLVKDONJSA-N 1 2 312.801 1.375 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H]1CNC(=O)c1cnco1 ZINC001028057194 738975219 /nfs/dbraw/zinc/97/52/19/738975219.db2.gz FFDBOSSJTWEIMW-HNNXBMFYSA-N 1 2 310.357 1.941 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H]1CNC(=O)c1cnco1 ZINC001028057194 738975220 /nfs/dbraw/zinc/97/52/20/738975220.db2.gz FFDBOSSJTWEIMW-HNNXBMFYSA-N 1 2 310.357 1.941 20 30 DDEDLO C=CCC1(C(=O)N2CC(n3cc(C[NH2+]CCF)nn3)C2)CCC1 ZINC001105322189 737850170 /nfs/dbraw/zinc/85/01/70/737850170.db2.gz CXEYBTPIWNBTNZ-UHFFFAOYSA-N 1 2 321.400 1.467 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1nocc1C ZINC001028147188 739092697 /nfs/dbraw/zinc/09/26/97/739092697.db2.gz QGGGANHCAIWSRM-FMKPAKJESA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1nocc1C ZINC001028147188 739092700 /nfs/dbraw/zinc/09/27/00/739092700.db2.gz QGGGANHCAIWSRM-FMKPAKJESA-N 1 2 319.405 1.655 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@H+](CC=C(Cl)Cl)C[C@H]21 ZINC001075616290 739188719 /nfs/dbraw/zinc/18/87/19/739188719.db2.gz OAIVGLWQFISZAT-OUAUKWLOSA-N 1 2 302.205 1.998 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](CC=C(Cl)Cl)C[C@H]21 ZINC001075616290 739188723 /nfs/dbraw/zinc/18/87/23/739188723.db2.gz OAIVGLWQFISZAT-OUAUKWLOSA-N 1 2 302.205 1.998 20 30 DDEDLO C[C@@H](CCCNc1ccc(C#N)nc1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114983205 739211816 /nfs/dbraw/zinc/21/18/16/739211816.db2.gz DQLDQMKUMYMMRL-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3n[nH]c(C)c3C)[C@@H]2C1 ZINC001075629192 739276191 /nfs/dbraw/zinc/27/61/91/739276191.db2.gz CZEOJOZSKFEUPH-QWHCGFSZSA-N 1 2 308.813 1.925 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3n[nH]c(C)c3C)[C@@H]2C1 ZINC001075629192 739276193 /nfs/dbraw/zinc/27/61/93/739276193.db2.gz CZEOJOZSKFEUPH-QWHCGFSZSA-N 1 2 308.813 1.925 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccnn2CC(C)C)C1 ZINC001035386324 751456125 /nfs/dbraw/zinc/45/61/25/751456125.db2.gz LRUCHDFZUYJUEM-OAHLLOKOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccnn2CC(C)C)C1 ZINC001035386324 751456127 /nfs/dbraw/zinc/45/61/27/751456127.db2.gz LRUCHDFZUYJUEM-OAHLLOKOSA-N 1 2 320.437 1.546 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)CCCCCC)C2)nn1 ZINC001105370082 739631317 /nfs/dbraw/zinc/63/13/17/739631317.db2.gz VAJGDXPFUQKKKV-UHFFFAOYSA-N 1 2 317.437 1.745 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccnc(CCC)c2)C1 ZINC001035413101 751494847 /nfs/dbraw/zinc/49/48/47/751494847.db2.gz VPFXSLBTFJXYCY-MRXNPFEDSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccnc(CCC)c2)C1 ZINC001035413101 751494851 /nfs/dbraw/zinc/49/48/51/751494851.db2.gz VPFXSLBTFJXYCY-MRXNPFEDSA-N 1 2 303.406 1.651 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+](Cc3nc(C)no3)C2)cc1 ZINC001028730312 739967997 /nfs/dbraw/zinc/96/79/97/739967997.db2.gz HAVGANODKGJPJC-OAHLLOKOSA-N 1 2 324.384 1.611 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+](Cc3nc(C)no3)C2)cc1 ZINC001028730312 739968001 /nfs/dbraw/zinc/96/80/01/739968001.db2.gz HAVGANODKGJPJC-OAHLLOKOSA-N 1 2 324.384 1.611 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+](Cc3nncn3C)C2)CC1 ZINC001028780303 740027626 /nfs/dbraw/zinc/02/76/26/740027626.db2.gz GKPAMIUGUFFSFK-CQSZACIVSA-N 1 2 317.437 1.500 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+](Cc3nncn3C)C2)CC1 ZINC001028780303 740027630 /nfs/dbraw/zinc/02/76/30/740027630.db2.gz GKPAMIUGUFFSFK-CQSZACIVSA-N 1 2 317.437 1.500 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](CNC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001028907473 740163340 /nfs/dbraw/zinc/16/33/40/740163340.db2.gz HESLOHKBLUWPHJ-ZDUSSCGKSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](CNC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001028907473 740163346 /nfs/dbraw/zinc/16/33/46/740163346.db2.gz HESLOHKBLUWPHJ-ZDUSSCGKSA-N 1 2 309.373 1.157 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001035448525 751526139 /nfs/dbraw/zinc/52/61/39/751526139.db2.gz FJGWZNHGBCUBSK-XUWVNRHRSA-N 1 2 308.422 1.195 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001035448525 751526141 /nfs/dbraw/zinc/52/61/41/751526141.db2.gz FJGWZNHGBCUBSK-XUWVNRHRSA-N 1 2 308.422 1.195 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098696276 740342510 /nfs/dbraw/zinc/34/25/10/740342510.db2.gz PYWVRLUDCBSROU-ZFWWWQNUSA-N 1 2 318.421 1.803 20 30 DDEDLO C=CCCN1CCO[C@H](CNC(=O)c2occc2C[NH+](C)C)C1 ZINC001035486523 751539730 /nfs/dbraw/zinc/53/97/30/751539730.db2.gz CASLBYVHCSPJRQ-OAHLLOKOSA-N 1 2 321.421 1.348 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(F)c(COC)c2)C1 ZINC001035500977 751556899 /nfs/dbraw/zinc/55/68/99/751556899.db2.gz XSSRNKUCNLTDMX-HNNXBMFYSA-N 1 2 322.380 1.589 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(F)c(COC)c2)C1 ZINC001035500977 751556902 /nfs/dbraw/zinc/55/69/02/751556902.db2.gz XSSRNKUCNLTDMX-HNNXBMFYSA-N 1 2 322.380 1.589 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn(CC)n2)[C@H]1CC ZINC001087564253 740652682 /nfs/dbraw/zinc/65/26/82/740652682.db2.gz QAAFIQLIXHQTNW-WCQYABFASA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn(CC)n2)[C@H]1CC ZINC001087564253 740652685 /nfs/dbraw/zinc/65/26/85/740652685.db2.gz QAAFIQLIXHQTNW-WCQYABFASA-N 1 2 311.817 1.633 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)[C@H]1CC ZINC001087572442 740661125 /nfs/dbraw/zinc/66/11/25/740661125.db2.gz REYPXRUWXSFUFN-XOKHGSTOSA-N 1 2 314.433 1.822 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)[C@H]1CC ZINC001087572442 740661126 /nfs/dbraw/zinc/66/11/26/740661126.db2.gz REYPXRUWXSFUFN-XOKHGSTOSA-N 1 2 314.433 1.822 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)[C@H]1CC ZINC001087825401 740767167 /nfs/dbraw/zinc/76/71/67/740767167.db2.gz FRQPPCWDLHMSDC-GOEBONIOSA-N 1 2 311.389 1.564 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)[C@H]1CC ZINC001087825401 740767171 /nfs/dbraw/zinc/76/71/71/740767171.db2.gz FRQPPCWDLHMSDC-GOEBONIOSA-N 1 2 311.389 1.564 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)C[C@@H]2C1 ZINC001088117781 741043066 /nfs/dbraw/zinc/04/30/66/741043066.db2.gz RMTZGHQPTVUGOS-CABCVRRESA-N 1 2 312.417 1.704 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)C[C@@H]2C1 ZINC001088117781 741043073 /nfs/dbraw/zinc/04/30/73/741043073.db2.gz RMTZGHQPTVUGOS-CABCVRRESA-N 1 2 312.417 1.704 20 30 DDEDLO C[C@@H](CC(=O)N1C[C@H]2CCN(CC#N)C[C@H]2C1)n1cc[nH+]c1 ZINC001088124117 741046237 /nfs/dbraw/zinc/04/62/37/741046237.db2.gz XNCHYJQSKYJHAY-ZNMIVQPWSA-N 1 2 301.394 1.138 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2csc3cncn32)C1 ZINC001035526972 751605397 /nfs/dbraw/zinc/60/53/97/751605397.db2.gz OJCXRPOMYKAGEL-NSHDSACASA-N 1 2 306.391 1.012 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2csc3cncn32)C1 ZINC001035526972 751605401 /nfs/dbraw/zinc/60/54/01/751605401.db2.gz OJCXRPOMYKAGEL-NSHDSACASA-N 1 2 306.391 1.012 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)nn2CC)C1 ZINC001035528048 751606685 /nfs/dbraw/zinc/60/66/85/751606685.db2.gz DCFJWCHFFUZTJU-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)nn2CC)C1 ZINC001035528048 751606692 /nfs/dbraw/zinc/60/66/92/751606692.db2.gz DCFJWCHFFUZTJU-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnoc2C(C)C)C1 ZINC001035568648 751622492 /nfs/dbraw/zinc/62/24/92/751622492.db2.gz WFRZUPKIMHXRHU-CYBMUJFWSA-N 1 2 305.378 1.252 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnoc2C(C)C)C1 ZINC001035568648 751622495 /nfs/dbraw/zinc/62/24/95/751622495.db2.gz WFRZUPKIMHXRHU-CYBMUJFWSA-N 1 2 305.378 1.252 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2CCCCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001088281167 741320534 /nfs/dbraw/zinc/32/05/34/741320534.db2.gz ITAIGWMTTGRIOX-CHWSQXEVSA-N 1 2 319.409 1.652 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cn(C)nc2Cl)C1 ZINC001035572422 751626700 /nfs/dbraw/zinc/62/67/00/751626700.db2.gz AEJMAWVBHDMZKW-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cn(C)nc2Cl)C1 ZINC001035572422 751626708 /nfs/dbraw/zinc/62/67/08/751626708.db2.gz AEJMAWVBHDMZKW-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnn(CCC)c2C)C1 ZINC001035555115 751637094 /nfs/dbraw/zinc/63/70/94/751637094.db2.gz GEGFYKNPNSSVIG-OAHLLOKOSA-N 1 2 318.421 1.055 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnn(CCC)c2C)C1 ZINC001035555115 751637097 /nfs/dbraw/zinc/63/70/97/751637097.db2.gz GEGFYKNPNSSVIG-OAHLLOKOSA-N 1 2 318.421 1.055 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn(CCC)c2C)C1 ZINC001035556144 751638686 /nfs/dbraw/zinc/63/86/86/751638686.db2.gz PORYTSNDCMZLBC-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn(CCC)c2C)C1 ZINC001035556144 751638690 /nfs/dbraw/zinc/63/86/90/751638690.db2.gz PORYTSNDCMZLBC-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3nccnc32)C1 ZINC001035564982 751647289 /nfs/dbraw/zinc/64/72/89/751647289.db2.gz XNALKSWULBAPSW-ZDUSSCGKSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3nccnc32)C1 ZINC001035564982 751647293 /nfs/dbraw/zinc/64/72/93/751647293.db2.gz XNALKSWULBAPSW-ZDUSSCGKSA-N 1 2 312.373 1.246 20 30 DDEDLO Cc1nc(N(C)CCN(C)C(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001105454127 741784647 /nfs/dbraw/zinc/78/46/47/741784647.db2.gz PRXVZMBCPUACEG-UHFFFAOYSA-N 1 2 312.377 1.122 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(OC)ncn2)[C@H]1C ZINC001088571110 741845703 /nfs/dbraw/zinc/84/57/03/741845703.db2.gz HMPOTQYLHPBSFW-MNOVXSKESA-N 1 2 310.785 1.430 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(OC)ncn2)[C@H]1C ZINC001088571110 741845705 /nfs/dbraw/zinc/84/57/05/741845705.db2.gz HMPOTQYLHPBSFW-MNOVXSKESA-N 1 2 310.785 1.430 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(F)cccc2Cl)C1 ZINC001035584394 751677204 /nfs/dbraw/zinc/67/72/04/751677204.db2.gz RSLIODFRXSKPTH-GFCCVEGCSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(F)cccc2Cl)C1 ZINC001035584394 751677210 /nfs/dbraw/zinc/67/72/10/751677210.db2.gz RSLIODFRXSKPTH-GFCCVEGCSA-N 1 2 324.783 1.933 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)cc2OC)C1 ZINC001035591947 751686112 /nfs/dbraw/zinc/68/61/12/751686112.db2.gz ZDYCORZXJMDBPM-AWEZNQCLSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)cc2OC)C1 ZINC001035591947 751686114 /nfs/dbraw/zinc/68/61/14/751686114.db2.gz ZDYCORZXJMDBPM-AWEZNQCLSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CCCC(=O)NCC[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001059972595 742086957 /nfs/dbraw/zinc/08/69/57/742086957.db2.gz NIHGMQUZCVELHW-AWEZNQCLSA-N 1 2 318.421 1.284 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+]Cc1nc(-c2ccccc2)no1 ZINC001126815632 742291130 /nfs/dbraw/zinc/29/11/30/742291130.db2.gz GIAVZOLFDRTKCU-UHFFFAOYSA-N 1 2 312.373 1.602 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2-c2ccco2)C1 ZINC001035609442 751715029 /nfs/dbraw/zinc/71/50/29/751715029.db2.gz IAGGONSHWLUPTF-LBPRGKRZSA-N 1 2 316.361 1.286 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2-c2ccco2)C1 ZINC001035609442 751715038 /nfs/dbraw/zinc/71/50/38/751715038.db2.gz IAGGONSHWLUPTF-LBPRGKRZSA-N 1 2 316.361 1.286 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001060067225 742389065 /nfs/dbraw/zinc/38/90/65/742389065.db2.gz DXVOLCSNWVCKMB-AWEZNQCLSA-N 1 2 318.421 1.450 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001126893727 742441612 /nfs/dbraw/zinc/44/16/12/742441612.db2.gz VJVRNFAJBLFNJD-UHFFFAOYSA-N 1 2 306.410 1.622 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cccc(OC)n3)[C@@H]2C1 ZINC001076418756 742721553 /nfs/dbraw/zinc/72/15/53/742721553.db2.gz MZAKOLINMKIPEY-GXTWGEPZSA-N 1 2 321.808 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cccc(OC)n3)[C@@H]2C1 ZINC001076418756 742721557 /nfs/dbraw/zinc/72/15/57/742721557.db2.gz MZAKOLINMKIPEY-GXTWGEPZSA-N 1 2 321.808 1.989 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)Nc2ccc3nc(C#N)sc3c2)C1 ZINC001180760938 742919530 /nfs/dbraw/zinc/91/95/30/742919530.db2.gz IJHOCYILAACRAN-LLVKDONJSA-N 1 2 302.359 1.437 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)Nc2ccc3nc(C#N)sc3c2)C1 ZINC001180760938 742919536 /nfs/dbraw/zinc/91/95/36/742919536.db2.gz IJHOCYILAACRAN-LLVKDONJSA-N 1 2 302.359 1.437 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001061134557 743380365 /nfs/dbraw/zinc/38/03/65/743380365.db2.gz VMPGWQZMIOPWAI-KBPBESRZSA-N 1 2 324.388 1.758 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C23CCC(CC2)C3)[C@H](OC)C1 ZINC001212465731 743457050 /nfs/dbraw/zinc/45/70/50/743457050.db2.gz UBLXNXOSDXPLES-GARNVTTQSA-N 1 2 320.433 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C23CCC(CC2)C3)[C@H](OC)C1 ZINC001212465731 743457053 /nfs/dbraw/zinc/45/70/53/743457053.db2.gz UBLXNXOSDXPLES-GARNVTTQSA-N 1 2 320.433 1.032 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cccc3[nH+]ccn32)C[C@@]1(C)CNCC#N ZINC001182116189 743483362 /nfs/dbraw/zinc/48/33/62/743483362.db2.gz HVAGPRUKAMWLAX-CXAGYDPISA-N 1 2 311.389 1.546 20 30 DDEDLO Cc1nc(NC2(CNC(=O)Cc3c[nH]c[nH+]3)CC2)ccc1C#N ZINC001110356952 744157777 /nfs/dbraw/zinc/15/77/77/744157777.db2.gz YZUJNMFTNSJBAZ-UHFFFAOYSA-N 1 2 310.361 1.288 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)c3ccoc3)C2)nn1 ZINC001185865252 744302278 /nfs/dbraw/zinc/30/22/78/744302278.db2.gz WSQWFNDLJIRTLR-HNNXBMFYSA-N 1 2 313.361 1.071 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)c3ccoc3)C2)nn1 ZINC001185865253 744302333 /nfs/dbraw/zinc/30/23/33/744302333.db2.gz WSQWFNDLJIRTLR-OAHLLOKOSA-N 1 2 313.361 1.071 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@H](C)CCC)C2)nn1 ZINC001185900586 744305664 /nfs/dbraw/zinc/30/56/64/744305664.db2.gz VTZPSSIPSNFUHY-ZBFHGGJFSA-N 1 2 317.437 1.601 20 30 DDEDLO Cc1nc(NC2(CNC(=O)CCc3c[nH]c[nH+]3)CC2)ccc1C#N ZINC001110383764 744428364 /nfs/dbraw/zinc/42/83/64/744428364.db2.gz YGYBXNLWBXOYFI-UHFFFAOYSA-N 1 2 324.388 1.678 20 30 DDEDLO Cc1nc(NC2(CNC(=O)CCc3c[nH+]c[nH]3)CC2)ccc1C#N ZINC001110383764 744428365 /nfs/dbraw/zinc/42/83/65/744428365.db2.gz YGYBXNLWBXOYFI-UHFFFAOYSA-N 1 2 324.388 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccnc2C(N)=O)[C@H]1C ZINC001089055285 744476698 /nfs/dbraw/zinc/47/66/98/744476698.db2.gz DCDMPZXDXPXNDB-PWSUYJOCSA-N 1 2 322.796 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccnc2C(N)=O)[C@H]1C ZINC001089055285 744476700 /nfs/dbraw/zinc/47/67/00/744476700.db2.gz DCDMPZXDXPXNDB-PWSUYJOCSA-N 1 2 322.796 1.126 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001077500608 744660683 /nfs/dbraw/zinc/66/06/83/744660683.db2.gz CUXZQVCBBNVBPH-SUMWQHHRSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([N@H+](C)CC(=O)Nc2ccon2)C1 ZINC001189318402 744870859 /nfs/dbraw/zinc/87/08/59/744870859.db2.gz LIAZPTLMEBLNCE-GFCCVEGCSA-N 1 2 306.366 1.112 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccon2)C1 ZINC001189318402 744870861 /nfs/dbraw/zinc/87/08/61/744870861.db2.gz LIAZPTLMEBLNCE-GFCCVEGCSA-N 1 2 306.366 1.112 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2oc3ccccc3c2OC)[C@@H](O)C1 ZINC001083696620 744874147 /nfs/dbraw/zinc/87/41/47/744874147.db2.gz JPENSYFSUODGOV-OLZOCXBDSA-N 1 2 316.357 1.402 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2oc3ccccc3c2OC)[C@@H](O)C1 ZINC001083696620 744874149 /nfs/dbraw/zinc/87/41/49/744874149.db2.gz JPENSYFSUODGOV-OLZOCXBDSA-N 1 2 316.357 1.402 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001189370958 744877522 /nfs/dbraw/zinc/87/75/22/744877522.db2.gz MWHWFUJQOMGEAC-ZDUSSCGKSA-N 1 2 307.394 1.472 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001189370958 744877524 /nfs/dbraw/zinc/87/75/24/744877524.db2.gz MWHWFUJQOMGEAC-ZDUSSCGKSA-N 1 2 307.394 1.472 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001189370956 744877745 /nfs/dbraw/zinc/87/77/45/744877745.db2.gz MWHWFUJQOMGEAC-CYBMUJFWSA-N 1 2 307.394 1.472 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001189370956 744877746 /nfs/dbraw/zinc/87/77/46/744877746.db2.gz MWHWFUJQOMGEAC-CYBMUJFWSA-N 1 2 307.394 1.472 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001189565219 744917383 /nfs/dbraw/zinc/91/73/83/744917383.db2.gz OIUPANUZVMOBOP-MRXNPFEDSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001189565219 744917384 /nfs/dbraw/zinc/91/73/84/744917384.db2.gz OIUPANUZVMOBOP-MRXNPFEDSA-N 1 2 320.437 1.436 20 30 DDEDLO CCc1noc([C@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190005298 745076848 /nfs/dbraw/zinc/07/68/48/745076848.db2.gz YWHGUEVSIWAGLM-UONOGXRCSA-N 1 2 318.421 1.885 20 30 DDEDLO CCc1noc([C@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190005298 745076853 /nfs/dbraw/zinc/07/68/53/745076853.db2.gz YWHGUEVSIWAGLM-UONOGXRCSA-N 1 2 318.421 1.885 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nccs2)C1 ZINC001190094007 745097703 /nfs/dbraw/zinc/09/77/03/745097703.db2.gz GHMVBOUHLXCZKD-STQMWFEESA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nccs2)C1 ZINC001190094007 745097706 /nfs/dbraw/zinc/09/77/06/745097706.db2.gz GHMVBOUHLXCZKD-STQMWFEESA-N 1 2 307.419 1.214 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001190354744 745190961 /nfs/dbraw/zinc/19/09/61/745190961.db2.gz OZYYDORTRMMBGV-OAHLLOKOSA-N 1 2 317.437 1.616 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001190354744 745190966 /nfs/dbraw/zinc/19/09/66/745190966.db2.gz OZYYDORTRMMBGV-OAHLLOKOSA-N 1 2 317.437 1.616 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CC[C@H](N(C)CC#N)C1)n1cc[nH+]c1 ZINC001190816154 745338397 /nfs/dbraw/zinc/33/83/97/745338397.db2.gz CBVNCZROOWYASY-GJZGRUSLSA-N 1 2 303.410 1.527 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N1C[C@@H](CNCC#N)[C@H](C)C1)C2 ZINC001106342944 745616286 /nfs/dbraw/zinc/61/62/86/745616286.db2.gz JVLOUZKFQXDCLM-MRVWCRGKSA-N 1 2 315.421 1.031 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N1C[C@@H](CNCC#N)[C@H](C)C1)CC2 ZINC001106342944 745616289 /nfs/dbraw/zinc/61/62/89/745616289.db2.gz JVLOUZKFQXDCLM-MRVWCRGKSA-N 1 2 315.421 1.031 20 30 DDEDLO CC(C)c1cccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001191855084 745618215 /nfs/dbraw/zinc/61/82/15/745618215.db2.gz WGOVOTLIHDZUME-APHBMKBZSA-N 1 2 316.405 1.026 20 30 DDEDLO CC(C)c1cccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001191855084 745618219 /nfs/dbraw/zinc/61/82/19/745618219.db2.gz WGOVOTLIHDZUME-APHBMKBZSA-N 1 2 316.405 1.026 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)=C(C)C)C2 ZINC001110445578 745720981 /nfs/dbraw/zinc/72/09/81/745720981.db2.gz YCLAMNVXHCSUNA-DGAVXFQQSA-N 1 2 321.396 1.109 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)=C(C)C)C2 ZINC001110445578 745720986 /nfs/dbraw/zinc/72/09/86/745720986.db2.gz YCLAMNVXHCSUNA-DGAVXFQQSA-N 1 2 321.396 1.109 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCc3nn(C)cc32)C1 ZINC001015620769 745920867 /nfs/dbraw/zinc/92/08/67/745920867.db2.gz ZKECIIWPUPMCQU-STQMWFEESA-N 1 2 322.840 1.783 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCc3nn(C)cc32)C1 ZINC001015620769 745920869 /nfs/dbraw/zinc/92/08/69/745920869.db2.gz ZKECIIWPUPMCQU-STQMWFEESA-N 1 2 322.840 1.783 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cc2)C1 ZINC001193178282 746004442 /nfs/dbraw/zinc/00/44/42/746004442.db2.gz GTXGTGHEBHGIQL-BXUZGUMPSA-N 1 2 311.382 1.231 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cc2)C1 ZINC001193178282 746004448 /nfs/dbraw/zinc/00/44/48/746004448.db2.gz GTXGTGHEBHGIQL-BXUZGUMPSA-N 1 2 311.382 1.231 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cn3cc(C)nc3s2)[C@@H]1C ZINC000993406833 746078532 /nfs/dbraw/zinc/07/85/32/746078532.db2.gz ALZVTGFVHVTIFQ-STQMWFEESA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cn3cc(C)nc3s2)[C@@H]1C ZINC000993406833 746078540 /nfs/dbraw/zinc/07/85/40/746078540.db2.gz ALZVTGFVHVTIFQ-STQMWFEESA-N 1 2 316.430 1.920 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccc(OC)nc2)C[C@H]1O ZINC001193392064 746088770 /nfs/dbraw/zinc/08/87/70/746088770.db2.gz ZXUKWXJOGFKYMY-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(OC)nc2)C[C@H]1O ZINC001193392064 746088775 /nfs/dbraw/zinc/08/87/75/746088775.db2.gz ZXUKWXJOGFKYMY-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001193392011 746089017 /nfs/dbraw/zinc/08/90/17/746089017.db2.gz ZCYVFQFTWRPXDB-QZTJIDSGSA-N 1 2 312.413 1.556 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001193392011 746089022 /nfs/dbraw/zinc/08/90/22/746089022.db2.gz ZCYVFQFTWRPXDB-QZTJIDSGSA-N 1 2 312.413 1.556 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3cccnc3)C2)ccc1O ZINC001193515946 746131768 /nfs/dbraw/zinc/13/17/68/746131768.db2.gz VPNNVXBXKAWNPS-INIZCTEOSA-N 1 2 322.368 1.663 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3cccnc3)C2)ccc1O ZINC001193515946 746131771 /nfs/dbraw/zinc/13/17/71/746131771.db2.gz VPNNVXBXKAWNPS-INIZCTEOSA-N 1 2 322.368 1.663 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001194397481 746366915 /nfs/dbraw/zinc/36/69/15/746366915.db2.gz WGVMOWPSPUXTST-UKRRQHHQSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001194397481 746366918 /nfs/dbraw/zinc/36/69/18/746366918.db2.gz WGVMOWPSPUXTST-UKRRQHHQSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)Cc2ccsc2)CC1 ZINC001194699552 746428931 /nfs/dbraw/zinc/42/89/31/746428931.db2.gz LKYGNWZBTXGTND-UHFFFAOYSA-N 1 2 321.446 1.127 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)Cc2ccsc2)CC1 ZINC001194699552 746428935 /nfs/dbraw/zinc/42/89/35/746428935.db2.gz LKYGNWZBTXGTND-UHFFFAOYSA-N 1 2 321.446 1.127 20 30 DDEDLO Cc1cccc(C)c1S(=O)(=O)NC1(C#N)CC[NH+](C)CC1 ZINC001194666356 746432155 /nfs/dbraw/zinc/43/21/55/746432155.db2.gz LSMSJPGGRLLELD-UHFFFAOYSA-N 1 2 307.419 1.570 20 30 DDEDLO C[NH+]1CCC(C#N)(NS(=O)(=O)CC[Si](C)(C)C)CC1 ZINC001195101291 746529232 /nfs/dbraw/zinc/52/92/32/746529232.db2.gz QWMLSVITQFUBTC-UHFFFAOYSA-N 1 2 303.504 1.232 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cn2)C[C@H]1CNCC#N ZINC001106869629 746530981 /nfs/dbraw/zinc/53/09/81/746530981.db2.gz KEOLKBJTASFGSR-ZIAGYGMSSA-N 1 2 324.388 1.089 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)CCC)CC1 ZINC001195364617 746585943 /nfs/dbraw/zinc/58/59/43/746585943.db2.gz XORCAVYXFXFYEW-CABCVRRESA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@H](C)CCC)CC1 ZINC001195364617 746585945 /nfs/dbraw/zinc/58/59/45/746585945.db2.gz XORCAVYXFXFYEW-CABCVRRESA-N 1 2 307.438 1.095 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195753965 746686526 /nfs/dbraw/zinc/68/65/26/746686526.db2.gz GBPJVWFHRRKELV-AWEZNQCLSA-N 1 2 319.449 1.237 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195753965 746686529 /nfs/dbraw/zinc/68/65/29/746686529.db2.gz GBPJVWFHRRKELV-AWEZNQCLSA-N 1 2 319.449 1.237 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)C2CC2)CC1 ZINC001195755125 746687414 /nfs/dbraw/zinc/68/74/14/746687414.db2.gz YVHKNDMIXZMOAP-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)C2CC2)CC1 ZINC001195755125 746687418 /nfs/dbraw/zinc/68/74/18/746687418.db2.gz YVHKNDMIXZMOAP-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CC2(C)CCCC2)[C@H](OC)C1 ZINC001212223310 746687699 /nfs/dbraw/zinc/68/76/99/746687699.db2.gz KVWYLESLXQWUSW-HZPDHXFCSA-N 1 2 322.449 1.422 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CC2(C)CCCC2)[C@H](OC)C1 ZINC001212223310 746687705 /nfs/dbraw/zinc/68/77/05/746687705.db2.gz KVWYLESLXQWUSW-HZPDHXFCSA-N 1 2 322.449 1.422 20 30 DDEDLO CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001195762101 746689425 /nfs/dbraw/zinc/68/94/25/746689425.db2.gz NQOUGHQAXRGRGP-ZIAGYGMSSA-N 1 2 321.446 1.717 20 30 DDEDLO CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001195762101 746689428 /nfs/dbraw/zinc/68/94/28/746689428.db2.gz NQOUGHQAXRGRGP-ZIAGYGMSSA-N 1 2 321.446 1.717 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2c(C)noc2C(F)(F)F)C1 ZINC001015766870 746700355 /nfs/dbraw/zinc/70/03/55/746700355.db2.gz CECDJNHKLXTSPF-SECBINFHSA-N 1 2 301.268 1.439 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2c(C)noc2C(F)(F)F)C1 ZINC001015766870 746700360 /nfs/dbraw/zinc/70/03/60/746700360.db2.gz CECDJNHKLXTSPF-SECBINFHSA-N 1 2 301.268 1.439 20 30 DDEDLO Cn1nccc1C[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785811 746704141 /nfs/dbraw/zinc/70/41/41/746704141.db2.gz WKBZCZQHSFDCGY-UHFFFAOYSA-N 1 2 302.422 1.504 20 30 DDEDLO Cn1nccc1C[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785811 746704144 /nfs/dbraw/zinc/70/41/44/746704144.db2.gz WKBZCZQHSFDCGY-UHFFFAOYSA-N 1 2 302.422 1.504 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2Oc3ccccc3O[C@H]2C)C1 ZINC001031377131 746714433 /nfs/dbraw/zinc/71/44/33/746714433.db2.gz DYNMEGVZRYEGIX-BLLLJJGKSA-N 1 2 302.374 1.591 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCOCC(F)F)CC1 ZINC001195875532 746728182 /nfs/dbraw/zinc/72/81/82/746728182.db2.gz DFDLKANKOQSFBK-UHFFFAOYSA-N 1 2 310.772 1.945 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCOCC(F)F)CC1 ZINC001195875532 746728184 /nfs/dbraw/zinc/72/81/84/746728184.db2.gz DFDLKANKOQSFBK-UHFFFAOYSA-N 1 2 310.772 1.945 20 30 DDEDLO C=CCOCC[N@H+]1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001195906978 746733760 /nfs/dbraw/zinc/73/37/60/746733760.db2.gz IAYZQPJARYMVRM-NSHDSACASA-N 1 2 312.307 1.624 20 30 DDEDLO C=CCOCC[N@@H+]1CCCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001195906978 746733764 /nfs/dbraw/zinc/73/37/64/746733764.db2.gz IAYZQPJARYMVRM-NSHDSACASA-N 1 2 312.307 1.624 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)C(C)C)CC1 ZINC001195958116 746749380 /nfs/dbraw/zinc/74/93/80/746749380.db2.gz PETUDINVTNFUBX-OAHLLOKOSA-N 1 2 323.481 1.894 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C(C)(C)C(C)C)CC1 ZINC001195958116 746749384 /nfs/dbraw/zinc/74/93/84/746749384.db2.gz PETUDINVTNFUBX-OAHLLOKOSA-N 1 2 323.481 1.894 20 30 DDEDLO CCO[C@H]1C[C@H]1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031567096 747054415 /nfs/dbraw/zinc/05/44/15/747054415.db2.gz XMMXDNWSINODSD-SJORKVTESA-N 1 2 313.401 1.531 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2cn(C)nc2OC)CC1 ZINC001003419963 747198306 /nfs/dbraw/zinc/19/83/06/747198306.db2.gz MPUWXIDQAVKBOB-UHFFFAOYSA-N 1 2 312.801 1.375 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)Cc2ccccc2)C1 ZINC001197567223 747202815 /nfs/dbraw/zinc/20/28/15/747202815.db2.gz NDHPZMSIGPEMMT-HZPDHXFCSA-N 1 2 300.402 1.050 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)Cc2ccccc2)C1 ZINC001197567223 747202817 /nfs/dbraw/zinc/20/28/17/747202817.db2.gz NDHPZMSIGPEMMT-HZPDHXFCSA-N 1 2 300.402 1.050 20 30 DDEDLO C=CCCCC(=O)NCc1cnn2c1C[N@H+](C[C@H](C)OC)CC2 ZINC001128463726 747219916 /nfs/dbraw/zinc/21/99/16/747219916.db2.gz TUHJGWVPHUOLJT-AWEZNQCLSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCCCC(=O)NCc1cnn2c1C[N@@H+](C[C@H](C)OC)CC2 ZINC001128463726 747219922 /nfs/dbraw/zinc/21/99/22/747219922.db2.gz TUHJGWVPHUOLJT-AWEZNQCLSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(OC)cc2F)C1 ZINC001108056216 747222990 /nfs/dbraw/zinc/22/29/90/747222990.db2.gz YIBBQLXTKSOORA-KRWDZBQOSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(OC)cc2F)C1 ZINC001108056216 747222994 /nfs/dbraw/zinc/22/29/94/747222994.db2.gz YIBBQLXTKSOORA-KRWDZBQOSA-N 1 2 322.380 1.841 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)C2=CCOCC2)C1 ZINC001031627847 747249834 /nfs/dbraw/zinc/24/98/34/747249834.db2.gz WIRPLDZROLQHCA-UHFFFAOYSA-N 1 2 311.385 1.453 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@H+](CC)CC(=O)Nc1cnccn1 ZINC001152352611 747270976 /nfs/dbraw/zinc/27/09/76/747270976.db2.gz IFGWNEQFDGSJQM-CYBMUJFWSA-N 1 2 319.409 1.208 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@@H+](CC)CC(=O)Nc1cnccn1 ZINC001152352611 747270977 /nfs/dbraw/zinc/27/09/77/747270977.db2.gz IFGWNEQFDGSJQM-CYBMUJFWSA-N 1 2 319.409 1.208 20 30 DDEDLO CC[N@H+](Cc1csnc1OC)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152413313 747380192 /nfs/dbraw/zinc/38/01/92/747380192.db2.gz MFWGATDPNKXZSO-GHMZBOCLSA-N 1 2 310.423 1.638 20 30 DDEDLO CC[N@@H+](Cc1csnc1OC)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152413313 747380199 /nfs/dbraw/zinc/38/01/99/747380199.db2.gz MFWGATDPNKXZSO-GHMZBOCLSA-N 1 2 310.423 1.638 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)nc(C(C)C)[nH]c1=O ZINC001038587609 747535650 /nfs/dbraw/zinc/53/56/50/747535650.db2.gz CIHIBPMRMQWOGO-CYBMUJFWSA-N 1 2 316.405 1.441 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)nc(C(C)C)[nH]c1=O ZINC001038587609 747535655 /nfs/dbraw/zinc/53/56/55/747535655.db2.gz CIHIBPMRMQWOGO-CYBMUJFWSA-N 1 2 316.405 1.441 20 30 DDEDLO CCCCC[C@@H](CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001198924334 747652277 /nfs/dbraw/zinc/65/22/77/747652277.db2.gz GLPXOABSQLZUPH-BRWVUGGUSA-N 1 2 324.465 1.404 20 30 DDEDLO CCCCC[C@@H](CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001198924334 747652281 /nfs/dbraw/zinc/65/22/81/747652281.db2.gz GLPXOABSQLZUPH-BRWVUGGUSA-N 1 2 324.465 1.404 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCCN2C(=O)CCC)C1 ZINC001044327332 747710816 /nfs/dbraw/zinc/71/08/16/747710816.db2.gz CTZUZIMULPPVKY-MRXNPFEDSA-N 1 2 321.465 1.886 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(N(C)C(C)=O)cc2)C1 ZINC001044352678 747849006 /nfs/dbraw/zinc/84/90/06/747849006.db2.gz OUPXGCIFYKTEHY-UHFFFAOYSA-N 1 2 313.401 1.449 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3nccn3C)CC2)nc1 ZINC001004005593 747904802 /nfs/dbraw/zinc/90/48/02/747904802.db2.gz VDOMDVIBQFSOTF-UHFFFAOYSA-N 1 2 323.400 1.191 20 30 DDEDLO Cc1nnccc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031817267 747932646 /nfs/dbraw/zinc/93/26/46/747932646.db2.gz XIINKBZDAFNEPD-UHFFFAOYSA-N 1 2 321.384 1.519 20 30 DDEDLO N#Cc1cccc(O)c1NC(=S)NCCC[NH+]1CCOCC1 ZINC001199948481 748047785 /nfs/dbraw/zinc/04/77/85/748047785.db2.gz MMQGQTWORKSYJR-UHFFFAOYSA-N 1 2 320.418 1.273 20 30 DDEDLO Cc1[nH]nc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)c1C ZINC001004386352 748394977 /nfs/dbraw/zinc/39/49/77/748394977.db2.gz JZMSFRKIWCFJQC-HNNXBMFYSA-N 1 2 315.421 1.867 20 30 DDEDLO Cc1[nH]nc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)c1C ZINC001004386352 748394985 /nfs/dbraw/zinc/39/49/85/748394985.db2.gz JZMSFRKIWCFJQC-HNNXBMFYSA-N 1 2 315.421 1.867 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2COCCN2CC)C1 ZINC001007716018 752289511 /nfs/dbraw/zinc/28/95/11/752289511.db2.gz CGCUBNRRSAACJP-KGLIPLIRSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2COCCN2CC)C1 ZINC001007716018 752289515 /nfs/dbraw/zinc/28/95/15/752289515.db2.gz CGCUBNRRSAACJP-KGLIPLIRSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCO[C@@H](CC)C2)C1 ZINC001032110537 748654977 /nfs/dbraw/zinc/65/49/77/748654977.db2.gz LONOZXKPDFIWFP-KBPBESRZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129238554 752300783 /nfs/dbraw/zinc/30/07/83/752300783.db2.gz CPASKDWWFUQQFO-HLLBOEOZSA-N 1 2 315.421 1.245 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129238554 752300792 /nfs/dbraw/zinc/30/07/92/752300792.db2.gz CPASKDWWFUQQFO-HLLBOEOZSA-N 1 2 315.421 1.245 20 30 DDEDLO C=CCOCC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001110582801 748780539 /nfs/dbraw/zinc/78/05/39/748780539.db2.gz UDUHKBBAARRCNE-WYUUTHIRSA-N 1 2 324.318 1.811 20 30 DDEDLO C=CCOCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001110582801 748780542 /nfs/dbraw/zinc/78/05/42/748780542.db2.gz UDUHKBBAARRCNE-WYUUTHIRSA-N 1 2 324.318 1.811 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(c3ncccn3)C2)CC1 ZINC001110700238 748911404 /nfs/dbraw/zinc/91/14/04/748911404.db2.gz DCWPZOOQBPZJQE-HNNXBMFYSA-N 1 2 315.421 1.166 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](C)[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001004991897 748989296 /nfs/dbraw/zinc/98/92/96/748989296.db2.gz OYBVWBOURIHWMV-KGLIPLIRSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cn(C)nc2OC)C1 ZINC001033325180 749191826 /nfs/dbraw/zinc/19/18/26/749191826.db2.gz MAAOQKGUYHNFAV-NSHDSACASA-N 1 2 312.801 1.328 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cn(C)nc2OC)C1 ZINC001033325180 749191828 /nfs/dbraw/zinc/19/18/28/749191828.db2.gz MAAOQKGUYHNFAV-NSHDSACASA-N 1 2 312.801 1.328 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncoc2C2CC2)C1 ZINC001108323742 761934452 /nfs/dbraw/zinc/93/44/52/761934452.db2.gz OKOOOAGWROBNNU-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncoc2C2CC2)C1 ZINC001108323742 761934453 /nfs/dbraw/zinc/93/44/53/761934453.db2.gz OKOOOAGWROBNNU-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001212349434 749293455 /nfs/dbraw/zinc/29/34/55/749293455.db2.gz VTQHINHASYZTMM-UKRRQHHQSA-N 1 2 307.394 1.655 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001212349434 749293457 /nfs/dbraw/zinc/29/34/57/749293457.db2.gz VTQHINHASYZTMM-UKRRQHHQSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2CC3(C2)CCOCC3)C1 ZINC001108341125 761947115 /nfs/dbraw/zinc/94/71/15/761947115.db2.gz SGFKHFJRWXEJMK-QGZVFWFLSA-N 1 2 322.449 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2CC3(C2)CCOCC3)C1 ZINC001108341125 761947122 /nfs/dbraw/zinc/94/71/22/761947122.db2.gz SGFKHFJRWXEJMK-QGZVFWFLSA-N 1 2 322.449 1.586 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC)[nH]n1 ZINC001039341383 761948912 /nfs/dbraw/zinc/94/89/12/761948912.db2.gz HGINTMNJXKSKJP-CABCVRRESA-N 1 2 300.406 1.674 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC)[nH]n1 ZINC001039341383 761948918 /nfs/dbraw/zinc/94/89/18/761948918.db2.gz HGINTMNJXKSKJP-CABCVRRESA-N 1 2 300.406 1.674 20 30 DDEDLO Cc1cc(NC/C=C/CNC(=O)CCc2[nH]cc[nH+]2)c(C#N)cn1 ZINC001107173606 749490645 /nfs/dbraw/zinc/49/06/45/749490645.db2.gz NLVZOEZVVOFSQR-NSCUHMNNSA-N 1 2 324.388 1.124 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)C(C)(C)C)nn2)C1 ZINC001107181926 749498790 /nfs/dbraw/zinc/49/87/90/749498790.db2.gz KSIPQVNJISYVSB-LBPRGKRZSA-N 1 2 305.426 1.619 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H]3CC[C@@H]4C[C@@H]43)nn2)C1 ZINC001107181835 749498806 /nfs/dbraw/zinc/49/88/06/749498806.db2.gz JKVMLLNAORXCNX-WWGRRREGSA-N 1 2 315.421 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)n1cncn1)C2 ZINC001095333639 749555330 /nfs/dbraw/zinc/55/53/30/749555330.db2.gz FLPDGVQTJPJMGD-RNJOBUHISA-N 1 2 309.801 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)n1cncn1)C2 ZINC001095333639 749555335 /nfs/dbraw/zinc/55/53/35/749555335.db2.gz FLPDGVQTJPJMGD-RNJOBUHISA-N 1 2 309.801 1.313 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@]3(C)CCC[C@H]3C)nn2)C1 ZINC001107205595 749590318 /nfs/dbraw/zinc/59/03/18/749590318.db2.gz ALLJWIPUVKNNLR-DYVFJYSZSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(CF)CCC3)nn2)C1 ZINC001107214371 749618970 /nfs/dbraw/zinc/61/89/70/749618970.db2.gz UHYUQIVKJRCOCC-UHFFFAOYSA-N 1 2 307.373 1.077 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C(C)(C)C(F)(F)F)C1 ZINC001108354356 761974895 /nfs/dbraw/zinc/97/48/95/761974895.db2.gz GEYSNXMWZWWGSA-CQSZACIVSA-N 1 2 320.355 1.805 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)C(F)(F)F)C1 ZINC001108354356 761974903 /nfs/dbraw/zinc/97/49/03/761974903.db2.gz GEYSNXMWZWWGSA-CQSZACIVSA-N 1 2 320.355 1.805 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)cn2)C1 ZINC001033699678 749733570 /nfs/dbraw/zinc/73/35/70/749733570.db2.gz JMECKXVJUZZRKH-LBPRGKRZSA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)cn2)C1 ZINC001033699678 749733576 /nfs/dbraw/zinc/73/35/76/749733576.db2.gz JMECKXVJUZZRKH-LBPRGKRZSA-N 1 2 322.796 1.079 20 30 DDEDLO N#Cc1cccnc1N1CCC(NC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001095412237 749781258 /nfs/dbraw/zinc/78/12/58/749781258.db2.gz JCDXHJDEUFJUNJ-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1nc(N(C)CCCNC(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001095507178 749988551 /nfs/dbraw/zinc/98/85/51/749988551.db2.gz KJSZAPCNTJFSGK-LBPRGKRZSA-N 1 2 315.421 1.766 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)C(F)C(F)(F)F)c(F)c1 ZINC001127780408 749999623 /nfs/dbraw/zinc/99/96/23/749999623.db2.gz YMSFIKFKYAYGFL-NSHDSACASA-N 1 2 321.249 1.804 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)[C@H](F)C(F)(F)F)c(F)c1 ZINC001127780408 749999627 /nfs/dbraw/zinc/99/96/27/749999627.db2.gz YMSFIKFKYAYGFL-NSHDSACASA-N 1 2 321.249 1.804 20 30 DDEDLO Cc1nsc(NC/C=C\CNC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001107539883 750102759 /nfs/dbraw/zinc/10/27/59/750102759.db2.gz VRIAKUWTXBVLGL-IHWYPQMZSA-N 1 2 316.390 1.373 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@H]1CCC)C2 ZINC001110996453 750290574 /nfs/dbraw/zinc/29/05/74/750290574.db2.gz SKNHCUUBAFDTQA-QCODTGAPSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@H]1CCC)C2 ZINC001110996453 750290578 /nfs/dbraw/zinc/29/05/78/750290578.db2.gz SKNHCUUBAFDTQA-QCODTGAPSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1n1ccnn1 ZINC001128820964 750302195 /nfs/dbraw/zinc/30/21/95/750302195.db2.gz KTHUQVLVTDQOAT-PBYRWIMMSA-N 1 2 309.801 1.338 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1n1ccnn1 ZINC001128820964 750302202 /nfs/dbraw/zinc/30/22/02/750302202.db2.gz KTHUQVLVTDQOAT-PBYRWIMMSA-N 1 2 309.801 1.338 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(OC)ccn2)C1 ZINC001108112492 750327083 /nfs/dbraw/zinc/32/70/83/750327083.db2.gz SKZNGUIEQCIJSM-MRXNPFEDSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(OC)ccn2)C1 ZINC001108112492 750327090 /nfs/dbraw/zinc/32/70/90/750327090.db2.gz SKZNGUIEQCIJSM-MRXNPFEDSA-N 1 2 305.378 1.097 20 30 DDEDLO C#CCCCCC(=O)N[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001107694763 750377888 /nfs/dbraw/zinc/37/78/88/750377888.db2.gz ZHDNNXKSOOGUHM-GFCCVEGCSA-N 1 2 318.425 1.356 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)CCC)C2 ZINC001111192449 750427331 /nfs/dbraw/zinc/42/73/31/750427331.db2.gz LHXLZNSHNYGPII-JJXSEGSLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)CCC)C2 ZINC001111192449 750427337 /nfs/dbraw/zinc/42/73/37/750427337.db2.gz LHXLZNSHNYGPII-JJXSEGSLSA-N 1 2 321.465 1.836 20 30 DDEDLO Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)c(C)n1 ZINC001032397429 750563750 /nfs/dbraw/zinc/56/37/50/750563750.db2.gz FUVWTEJDVWRMHO-ROUUACIJSA-N 1 2 309.413 1.897 20 30 DDEDLO Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)c(C)n1 ZINC001032397429 750563752 /nfs/dbraw/zinc/56/37/52/750563752.db2.gz FUVWTEJDVWRMHO-ROUUACIJSA-N 1 2 309.413 1.897 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108136852 750661764 /nfs/dbraw/zinc/66/17/64/750661764.db2.gz DZKWXPAKFLEEIF-OLZOCXBDSA-N 1 2 312.377 1.718 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(C)nc2)C1 ZINC001108399607 762060912 /nfs/dbraw/zinc/06/09/12/762060912.db2.gz SQRSGSUJIHNMFB-SFHVURJKSA-N 1 2 315.417 1.163 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(C)nc2)C1 ZINC001108399607 762060920 /nfs/dbraw/zinc/06/09/20/762060920.db2.gz SQRSGSUJIHNMFB-SFHVURJKSA-N 1 2 315.417 1.163 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccsc2C)[C@H](O)C1 ZINC001090249312 750760407 /nfs/dbraw/zinc/76/04/07/750760407.db2.gz NMKRBONSMTWNDY-CHWSQXEVSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccsc2C)[C@H](O)C1 ZINC001090249312 750760413 /nfs/dbraw/zinc/76/04/13/750760413.db2.gz NMKRBONSMTWNDY-CHWSQXEVSA-N 1 2 314.838 1.974 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001107945306 750788807 /nfs/dbraw/zinc/78/88/07/750788807.db2.gz DARFUGYMKBUHIM-RHSMWYFYSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001107945306 750788811 /nfs/dbraw/zinc/78/88/11/750788811.db2.gz DARFUGYMKBUHIM-RHSMWYFYSA-N 1 2 318.421 1.223 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)c1ccccc1)CC2 ZINC001127951050 750823605 /nfs/dbraw/zinc/82/36/05/750823605.db2.gz SEJFNQIEBCKLCF-OAHLLOKOSA-N 1 2 323.400 1.136 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H](C)CNc1cc[nH+]c(C)n1 ZINC001108155614 750855188 /nfs/dbraw/zinc/85/51/88/750855188.db2.gz UBVXEHHSWMBMLM-BNOWGMLFSA-N 1 2 304.394 1.683 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ncccc2F)C1 ZINC001108160761 750891273 /nfs/dbraw/zinc/89/12/73/750891273.db2.gz SJPYZPUPFQXZKY-INIZCTEOSA-N 1 2 307.369 1.156 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ncccc2F)C1 ZINC001108160761 750891282 /nfs/dbraw/zinc/89/12/82/750891282.db2.gz SJPYZPUPFQXZKY-INIZCTEOSA-N 1 2 307.369 1.156 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001034887131 750984714 /nfs/dbraw/zinc/98/47/14/750984714.db2.gz SZCGXXQRDVUGKL-VXGBXAGGSA-N 1 2 319.409 1.607 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114723338 751022444 /nfs/dbraw/zinc/02/24/44/751022444.db2.gz WYOQANCHDMXVRT-LJIGWXMPSA-N 1 2 314.433 1.934 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114723338 751022451 /nfs/dbraw/zinc/02/24/51/751022451.db2.gz WYOQANCHDMXVRT-LJIGWXMPSA-N 1 2 314.433 1.934 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001114762313 751064989 /nfs/dbraw/zinc/06/49/89/751064989.db2.gz XLOKOPGCAIALOI-HDJSIYSDSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C1CCN(CC#N)CC1 ZINC000997641692 751174394 /nfs/dbraw/zinc/17/43/94/751174394.db2.gz IRWUDVLWWRWEAO-DZGCQCFKSA-N 1 2 315.421 1.186 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1ncc[nH]1 ZINC001007285969 751280964 /nfs/dbraw/zinc/28/09/64/751280964.db2.gz LYMPMZCBLTZCGY-MRXNPFEDSA-N 1 2 308.385 1.656 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1ncc[nH]1 ZINC001007285969 751280969 /nfs/dbraw/zinc/28/09/69/751280969.db2.gz LYMPMZCBLTZCGY-MRXNPFEDSA-N 1 2 308.385 1.656 20 30 DDEDLO C#CCOCCC(=O)NCCCN(CC)c1cc[nH+]c(C)n1 ZINC001095920709 752568716 /nfs/dbraw/zinc/56/87/16/752568716.db2.gz LIPHFJDMUBIHAD-UHFFFAOYSA-N 1 2 304.394 1.158 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001008146631 752578757 /nfs/dbraw/zinc/57/87/57/752578757.db2.gz CYNQISFZZZMJGL-HNNXBMFYSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001008146631 752578763 /nfs/dbraw/zinc/57/87/63/752578763.db2.gz CYNQISFZZZMJGL-HNNXBMFYSA-N 1 2 324.388 1.379 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CC)nc1C(C)C ZINC001032654082 752608082 /nfs/dbraw/zinc/60/80/82/752608082.db2.gz NLWGXLFTLXTKFN-GJZGRUSLSA-N 1 2 314.433 1.948 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CC)nc1C(C)C ZINC001032654082 752608085 /nfs/dbraw/zinc/60/80/85/752608085.db2.gz NLWGXLFTLXTKFN-GJZGRUSLSA-N 1 2 314.433 1.948 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1OCC[C@@H]1c1ccccc1 ZINC001032677208 752697424 /nfs/dbraw/zinc/69/74/24/752697424.db2.gz WQBHPUNJDWEZBQ-WNRNVDISSA-N 1 2 310.397 1.477 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1OCC[C@@H]1c1ccccc1 ZINC001032677208 752697426 /nfs/dbraw/zinc/69/74/26/752697426.db2.gz WQBHPUNJDWEZBQ-WNRNVDISSA-N 1 2 310.397 1.477 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001062097415 752760039 /nfs/dbraw/zinc/76/00/39/752760039.db2.gz YWNNHGPOMJFUJS-CYBMUJFWSA-N 1 2 324.388 1.583 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CCC[N@@H+](C/C=C\Cl)C2)nn1 ZINC001008827607 752937236 /nfs/dbraw/zinc/93/72/36/752937236.db2.gz ZXMOFIHIBARSHA-VGVVRCBHSA-N 1 2 323.828 1.801 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CCC[N@H+](C/C=C\Cl)C2)nn1 ZINC001008827607 752937242 /nfs/dbraw/zinc/93/72/42/752937242.db2.gz ZXMOFIHIBARSHA-VGVVRCBHSA-N 1 2 323.828 1.801 20 30 DDEDLO Cc1nc(N2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)c(C)c(C)[nH+]1 ZINC001062488241 753057801 /nfs/dbraw/zinc/05/78/01/753057801.db2.gz RVJWXMAASRPPAE-HOTGVXAUSA-N 1 2 310.401 1.605 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001107992526 753353239 /nfs/dbraw/zinc/35/32/39/753353239.db2.gz XTSYJHCUWVAPHF-OLZOCXBDSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ccc(C#N)cn1 ZINC001039767410 762208403 /nfs/dbraw/zinc/20/84/03/762208403.db2.gz KYUSMZSCUYQKTJ-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001060913152 753453448 /nfs/dbraw/zinc/45/34/48/753453448.db2.gz FNCKOKYKBJTSRF-CYBMUJFWSA-N 1 2 310.361 1.179 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001108177963 753522407 /nfs/dbraw/zinc/52/24/07/753522407.db2.gz CKXJCQJTYDRAFA-DGCLKSJQSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001108177963 753522408 /nfs/dbraw/zinc/52/24/08/753522408.db2.gz CKXJCQJTYDRAFA-DGCLKSJQSA-N 1 2 308.344 1.968 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(C)ccc1C ZINC001032780128 753622341 /nfs/dbraw/zinc/62/23/41/753622341.db2.gz ZKVCDAMQONUWLD-IRXDYDNUSA-N 1 2 312.413 1.991 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(C)ccc1C ZINC001032780128 753622346 /nfs/dbraw/zinc/62/23/46/753622346.db2.gz ZKVCDAMQONUWLD-IRXDYDNUSA-N 1 2 312.413 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cnc[nH]c2=O)CC1 ZINC001000821095 762231864 /nfs/dbraw/zinc/23/18/64/762231864.db2.gz BQHFCAWEFDVWNI-UHFFFAOYSA-N 1 2 308.769 1.297 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cnc[nH]c2=O)CC1 ZINC001000821095 762231867 /nfs/dbraw/zinc/23/18/67/762231867.db2.gz BQHFCAWEFDVWNI-UHFFFAOYSA-N 1 2 308.769 1.297 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)C2CCOCC2)C1 ZINC001108446894 762233598 /nfs/dbraw/zinc/23/35/98/762233598.db2.gz MTGHZUYVIZIFEI-CRAIPNDOSA-N 1 2 322.449 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)C2CCOCC2)C1 ZINC001108446894 762233603 /nfs/dbraw/zinc/23/36/03/762233603.db2.gz MTGHZUYVIZIFEI-CRAIPNDOSA-N 1 2 322.449 1.280 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CCN(C(=O)Cn1cc[nH+]c1)CC2 ZINC001060942906 753711121 /nfs/dbraw/zinc/71/11/21/753711121.db2.gz VOKJDIAOEKXJDZ-UHFFFAOYSA-N 1 2 316.405 1.300 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2cncnc2)C1 ZINC001010707553 754112667 /nfs/dbraw/zinc/11/26/67/754112667.db2.gz FDFRLBPBWLUSQT-MRXNPFEDSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2cncnc2)C1 ZINC001010707553 754112673 /nfs/dbraw/zinc/11/26/73/754112673.db2.gz FDFRLBPBWLUSQT-MRXNPFEDSA-N 1 2 307.357 1.353 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001108036284 754233113 /nfs/dbraw/zinc/23/31/13/754233113.db2.gz OVYNOSJRTOBTLL-KRWDZBQOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001108036284 754233117 /nfs/dbraw/zinc/23/31/17/754233117.db2.gz OVYNOSJRTOBTLL-KRWDZBQOSA-N 1 2 318.421 1.154 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN2C(=O)Cc2c[nH+]c[nH]2)nc1 ZINC001063566760 754237967 /nfs/dbraw/zinc/23/79/67/754237967.db2.gz JSTBSSPSFIOOEL-CQSZACIVSA-N 1 2 310.361 1.322 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)cn1 ZINC001060997682 754251597 /nfs/dbraw/zinc/25/15/97/754251597.db2.gz IUNVFDNDPJHACA-GFCCVEGCSA-N 1 2 310.361 1.179 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001063923865 754410322 /nfs/dbraw/zinc/41/03/22/754410322.db2.gz FGWHKACMOOHDFS-UHFFFAOYSA-N 1 2 304.394 1.320 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCC[C@H]2NC(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001064114624 754522182 /nfs/dbraw/zinc/52/21/82/754522182.db2.gz VLSXPCJIQBFPHG-ZIAGYGMSSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064399721 754664738 /nfs/dbraw/zinc/66/47/38/754664738.db2.gz JOCAATRJUJUXFM-MRXNPFEDSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064704807 754783405 /nfs/dbraw/zinc/78/34/05/754783405.db2.gz QDPYUFDPCKTZNT-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2[nH]c(C)cc2C)[C@H](O)C1 ZINC001090363097 755082177 /nfs/dbraw/zinc/08/21/77/755082177.db2.gz JKZAOAVKCBRPGR-CHWSQXEVSA-N 1 2 311.813 1.549 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2[nH]c(C)cc2C)[C@H](O)C1 ZINC001090363097 755082183 /nfs/dbraw/zinc/08/21/83/755082183.db2.gz JKZAOAVKCBRPGR-CHWSQXEVSA-N 1 2 311.813 1.549 20 30 DDEDLO CC(=O)N1CC[NH+]([C@@H]2CCN(c3cccc(F)c3C#N)C2)CC1 ZINC001065184869 755113965 /nfs/dbraw/zinc/11/39/65/755113965.db2.gz NVMRHVOXEYTQDF-CQSZACIVSA-N 1 2 316.380 1.440 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001079691393 755529448 /nfs/dbraw/zinc/52/94/48/755529448.db2.gz NHPBQDBTOVOFDM-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cn3c(n2)CCC3)C1 ZINC001079725158 755549691 /nfs/dbraw/zinc/54/96/91/755549691.db2.gz ZJLXXIVKHOMIDI-ZYHUDNBSSA-N 1 2 308.813 1.632 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cn3c(n2)CCC3)C1 ZINC001079725158 755549695 /nfs/dbraw/zinc/54/96/95/755549695.db2.gz ZJLXXIVKHOMIDI-ZYHUDNBSSA-N 1 2 308.813 1.632 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CNc1ncc(C#N)cc1F ZINC001108468575 762409035 /nfs/dbraw/zinc/40/90/35/762409035.db2.gz LTNXWFHSAQCIKC-VIFPVBQESA-N 1 2 316.340 1.283 20 30 DDEDLO Cc1nsc(NC[C@H](C)NC(=O)Cc2[nH]c[nH+]c2C)c1C#N ZINC001108468721 762410243 /nfs/dbraw/zinc/41/02/43/762410243.db2.gz NLUWYHXCGYVLQO-QMMMGPOBSA-N 1 2 318.406 1.514 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2coc(C(N)=O)c2)C1 ZINC001080191115 755756921 /nfs/dbraw/zinc/75/69/21/755756921.db2.gz XGOCYEFMHWCOCS-LDYMZIIASA-N 1 2 311.769 1.181 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2coc(C(N)=O)c2)C1 ZINC001080191115 755756923 /nfs/dbraw/zinc/75/69/23/755756923.db2.gz XGOCYEFMHWCOCS-LDYMZIIASA-N 1 2 311.769 1.181 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080289480 755828400 /nfs/dbraw/zinc/82/84/00/755828400.db2.gz NZXBGZCUDCENAX-STQMWFEESA-N 1 2 318.421 1.470 20 30 DDEDLO CC#CCCCC(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080353787 755866558 /nfs/dbraw/zinc/86/65/58/755866558.db2.gz MJORTUNARIGYJD-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO C=CCCCC(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080388506 755874766 /nfs/dbraw/zinc/87/47/66/755874766.db2.gz BBXZKCZBRBOQOS-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cnccc2OC)C1 ZINC001014917529 756014273 /nfs/dbraw/zinc/01/42/73/756014273.db2.gz VDGXHAYWBUUQBC-CYBMUJFWSA-N 1 2 309.797 1.576 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cnccc2OC)C1 ZINC001014917529 756014279 /nfs/dbraw/zinc/01/42/79/756014279.db2.gz VDGXHAYWBUUQBC-CYBMUJFWSA-N 1 2 309.797 1.576 20 30 DDEDLO CO[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC001081540759 756387265 /nfs/dbraw/zinc/38/72/65/756387265.db2.gz QJTZCVPYGIFXII-XZKJTIFOSA-N 1 2 308.769 1.068 20 30 DDEDLO CO[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC001081540759 756387270 /nfs/dbraw/zinc/38/72/70/756387270.db2.gz QJTZCVPYGIFXII-XZKJTIFOSA-N 1 2 308.769 1.068 20 30 DDEDLO C=CCC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)[C@H](OC)C1 ZINC001081670143 756421458 /nfs/dbraw/zinc/42/14/58/756421458.db2.gz HFQBQAOTKNEANA-APHBMKBZSA-N 1 2 318.421 1.221 20 30 DDEDLO C=CCC[N@H+]1C[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)[C@H](OC)C1 ZINC001081670143 756421460 /nfs/dbraw/zinc/42/14/60/756421460.db2.gz HFQBQAOTKNEANA-APHBMKBZSA-N 1 2 318.421 1.221 20 30 DDEDLO Cc1ccn(CC(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001015718373 756491376 /nfs/dbraw/zinc/49/13/76/756491376.db2.gz NOHMYAWOJXWQGF-QGZVFWFLSA-N 1 2 323.400 1.454 20 30 DDEDLO Cc1ccn(CC(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001015718373 756491378 /nfs/dbraw/zinc/49/13/78/756491378.db2.gz NOHMYAWOJXWQGF-QGZVFWFLSA-N 1 2 323.400 1.454 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)c(C)cc2F)[C@H](OC)C1 ZINC001081821324 756495962 /nfs/dbraw/zinc/49/59/62/756495962.db2.gz XGZHDOGNGIOOFN-HUUCEWRRSA-N 1 2 308.328 1.335 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)c(C)cc2F)[C@H](OC)C1 ZINC001081821324 756495965 /nfs/dbraw/zinc/49/59/65/756495965.db2.gz XGZHDOGNGIOOFN-HUUCEWRRSA-N 1 2 308.328 1.335 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1ccnnc1 ZINC001015747811 756515505 /nfs/dbraw/zinc/51/55/05/756515505.db2.gz HIRLEDVQZPLXRF-KRWDZBQOSA-N 1 2 324.359 1.472 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1ccnnc1 ZINC001015747811 756515509 /nfs/dbraw/zinc/51/55/09/756515509.db2.gz HIRLEDVQZPLXRF-KRWDZBQOSA-N 1 2 324.359 1.472 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001015906058 756639860 /nfs/dbraw/zinc/63/98/60/756639860.db2.gz UIQWHZDPOHRFBM-HNNXBMFYSA-N 1 2 309.373 1.215 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001015906058 756639862 /nfs/dbraw/zinc/63/98/62/756639862.db2.gz UIQWHZDPOHRFBM-HNNXBMFYSA-N 1 2 309.373 1.215 20 30 DDEDLO CC#CCN1CC[C@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)C1 ZINC001015911272 756644150 /nfs/dbraw/zinc/64/41/50/756644150.db2.gz MKMLYGJAIYOKFJ-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2cnc(-c3ccco3)s2)C1 ZINC001016035896 756735046 /nfs/dbraw/zinc/73/50/46/756735046.db2.gz BGFIKZSHCSQHMO-LLVKDONJSA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2cnc(-c3ccco3)s2)C1 ZINC001016035896 756735049 /nfs/dbraw/zinc/73/50/49/756735049.db2.gz BGFIKZSHCSQHMO-LLVKDONJSA-N 1 2 301.371 1.840 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001097349458 757103451 /nfs/dbraw/zinc/10/34/51/757103451.db2.gz UXFVAVKGKJZTRE-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccn(C)c(=O)c1)C2 ZINC001097357090 757108469 /nfs/dbraw/zinc/10/84/69/757108469.db2.gz IZEKXODTGISWAF-MCIONIFRSA-N 1 2 321.808 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccn(C)c(=O)c1)C2 ZINC001097357090 757108471 /nfs/dbraw/zinc/10/84/71/757108471.db2.gz IZEKXODTGISWAF-MCIONIFRSA-N 1 2 321.808 1.473 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCC(C)=C(C)C3)[C@H]2C1 ZINC001083091384 757132340 /nfs/dbraw/zinc/13/23/40/757132340.db2.gz MRIUTCIYOJFVBK-IXDOHACOSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCC(C)=C(C)C3)[C@H]2C1 ZINC001083091384 757132342 /nfs/dbraw/zinc/13/23/42/757132342.db2.gz MRIUTCIYOJFVBK-IXDOHACOSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@@H]3CCC(F)(F)C3)[C@H]2C1 ZINC001083101374 757136289 /nfs/dbraw/zinc/13/62/89/757136289.db2.gz CSSDCMKUYVEXSK-MELADBBJSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@@H]3CCC(F)(F)C3)[C@H]2C1 ZINC001083101374 757136292 /nfs/dbraw/zinc/13/62/92/757136292.db2.gz CSSDCMKUYVEXSK-MELADBBJSA-N 1 2 312.360 1.357 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cn(C(C)C)cn3)[C@H]2C1 ZINC001083153322 757162675 /nfs/dbraw/zinc/16/26/75/757162675.db2.gz VJZNSSHEXNLFHH-JKSUJKDBSA-N 1 2 316.405 1.013 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cn(C(C)C)cn3)[C@H]2C1 ZINC001083153322 757162680 /nfs/dbraw/zinc/16/26/80/757162680.db2.gz VJZNSSHEXNLFHH-JKSUJKDBSA-N 1 2 316.405 1.013 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4ncccc43)[C@H]2C1 ZINC001083157691 757167829 /nfs/dbraw/zinc/16/78/29/757167829.db2.gz KLCGUJXQQXGENP-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4ncccc43)[C@H]2C1 ZINC001083157691 757167838 /nfs/dbraw/zinc/16/78/38/757167838.db2.gz KLCGUJXQQXGENP-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C[C@@H](CC(=O)NCCN(C)c1ncccc1C#N)n1cc[nH+]c1 ZINC001100350515 757239804 /nfs/dbraw/zinc/23/98/04/757239804.db2.gz LRWQYGGVUBYVHC-ZDUSSCGKSA-N 1 2 312.377 1.353 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C3CCCC3)no2)[C@@H](O)C1 ZINC001084073385 757268938 /nfs/dbraw/zinc/26/89/38/757268938.db2.gz SJDLGYIKTRLCMD-CABCVRRESA-N 1 2 317.389 1.130 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C3CCCC3)no2)[C@@H](O)C1 ZINC001084073385 757268947 /nfs/dbraw/zinc/26/89/47/757268947.db2.gz SJDLGYIKTRLCMD-CABCVRRESA-N 1 2 317.389 1.130 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)s2)[C@@H](O)C1 ZINC001084102922 757284692 /nfs/dbraw/zinc/28/46/92/757284692.db2.gz UBVRPIIFGWSCQZ-OLZOCXBDSA-N 1 2 306.431 1.670 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)s2)[C@@H](O)C1 ZINC001084102922 757284697 /nfs/dbraw/zinc/28/46/97/757284697.db2.gz UBVRPIIFGWSCQZ-OLZOCXBDSA-N 1 2 306.431 1.670 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[N@H+](Cc3ccc(C#N)cc3F)C[C@H]21 ZINC001084135203 757300069 /nfs/dbraw/zinc/30/00/69/757300069.db2.gz LPCZVJWDLFLDCG-GDBMZVCRSA-N 1 2 317.364 1.376 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccc(C#N)cc3F)C[C@H]21 ZINC001084135203 757300081 /nfs/dbraw/zinc/30/00/81/757300081.db2.gz LPCZVJWDLFLDCG-GDBMZVCRSA-N 1 2 317.364 1.376 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3ccncc3Cl)C[C@H]21 ZINC001084236084 757437940 /nfs/dbraw/zinc/43/79/40/757437940.db2.gz ZUTOZAOULPQBEG-UXIGCNINSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccncc3Cl)C[C@H]21 ZINC001084236084 757437945 /nfs/dbraw/zinc/43/79/45/757437945.db2.gz ZUTOZAOULPQBEG-UXIGCNINSA-N 1 2 318.808 1.927 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)[C@@H]3C2)cn1 ZINC001084334964 757496472 /nfs/dbraw/zinc/49/64/72/757496472.db2.gz SHRANONUKGWLOQ-NVXWUHKLSA-N 1 2 310.401 1.231 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)[C@@H]3C2)cn1 ZINC001084334964 757496480 /nfs/dbraw/zinc/49/64/80/757496480.db2.gz SHRANONUKGWLOQ-NVXWUHKLSA-N 1 2 310.401 1.231 20 30 DDEDLO C[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067174452 757665808 /nfs/dbraw/zinc/66/58/08/757665808.db2.gz HOBOZPLHALYUBR-CJNGLKHVSA-N 1 2 324.388 1.499 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@]2(C1)CCCN(CC#N)C2 ZINC001040509149 762570635 /nfs/dbraw/zinc/57/06/35/762570635.db2.gz DXVPUKBNHZNKKW-INIZCTEOSA-N 1 2 301.394 1.099 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C[C@H](C)CCC)CC2=O)C1 ZINC001108522512 762584162 /nfs/dbraw/zinc/58/41/62/762584162.db2.gz UCZJFZAQQDJASR-CABCVRRESA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098295807 757932274 /nfs/dbraw/zinc/93/22/74/757932274.db2.gz POSGBUUYTCMQDX-LLVKDONJSA-N 1 2 320.441 1.765 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]cnc1C(C)(C)C ZINC001017565363 758034046 /nfs/dbraw/zinc/03/40/46/758034046.db2.gz KGZKQRXYZIITLJ-BETUJISGSA-N 1 2 300.406 1.629 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]cnc1C(C)(C)C ZINC001017565363 758034057 /nfs/dbraw/zinc/03/40/57/758034057.db2.gz KGZKQRXYZIITLJ-BETUJISGSA-N 1 2 300.406 1.629 20 30 DDEDLO CCn1ccc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)n1 ZINC001084906896 758047173 /nfs/dbraw/zinc/04/71/73/758047173.db2.gz LSKRGEZKRKMSPB-LZWOXQAQSA-N 1 2 315.421 1.485 20 30 DDEDLO CCn1ccc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)n1 ZINC001084906896 758047192 /nfs/dbraw/zinc/04/71/92/758047192.db2.gz LSKRGEZKRKMSPB-LZWOXQAQSA-N 1 2 315.421 1.485 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c([nH]1)CCOC2 ZINC001017610197 758078939 /nfs/dbraw/zinc/07/89/39/758078939.db2.gz BUZFDWXWKNOGHF-GASCZTMLSA-N 1 2 313.401 1.400 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c([nH]1)CCOC2 ZINC001017610197 758078948 /nfs/dbraw/zinc/07/89/48/758078948.db2.gz BUZFDWXWKNOGHF-GASCZTMLSA-N 1 2 313.401 1.400 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2n[nH]cc2c1 ZINC001017650351 758119685 /nfs/dbraw/zinc/11/96/85/758119685.db2.gz KMFSMAYOSZMPRH-GASCZTMLSA-N 1 2 309.373 1.270 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2n[nH]cc2c1 ZINC001017650351 758119692 /nfs/dbraw/zinc/11/96/92/758119692.db2.gz KMFSMAYOSZMPRH-GASCZTMLSA-N 1 2 309.373 1.270 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C(N)=O)c1 ZINC001017672717 758141562 /nfs/dbraw/zinc/14/15/62/758141562.db2.gz NNHRAHRBXNCOOW-IYBDPMFKSA-N 1 2 311.385 1.098 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C(N)=O)c1 ZINC001017672717 758141574 /nfs/dbraw/zinc/14/15/74/758141574.db2.gz NNHRAHRBXNCOOW-IYBDPMFKSA-N 1 2 311.385 1.098 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c(cn1)CCCC2 ZINC001017722670 758183513 /nfs/dbraw/zinc/18/35/13/758183513.db2.gz YMVJKVBSDOSOJO-CALCHBBNSA-N 1 2 309.413 1.882 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c(cn1)CCCC2 ZINC001017722670 758183523 /nfs/dbraw/zinc/18/35/23/758183523.db2.gz YMVJKVBSDOSOJO-CALCHBBNSA-N 1 2 309.413 1.882 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)/C=C/c1ccco1)CCO2 ZINC001053130742 758189448 /nfs/dbraw/zinc/18/94/48/758189448.db2.gz KUHGYVXUKSRDCM-VOTSOKGWSA-N 1 2 302.374 1.782 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCCO[C@@H]1C)CCO2 ZINC001053232688 758288110 /nfs/dbraw/zinc/28/81/10/758288110.db2.gz WLUQJPKSRNYFTA-HUUCEWRRSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCC(F)(F)C1)CCO2 ZINC001053281867 758336109 /nfs/dbraw/zinc/33/61/09/758336109.db2.gz ZVBQGXWKPVQOSW-ZDUSSCGKSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1scnc1CC)CCO2 ZINC001053281847 758336184 /nfs/dbraw/zinc/33/61/84/758336184.db2.gz ZGWCOFJXOIBJNP-UHFFFAOYSA-N 1 2 321.446 1.808 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1conc1C(C)C)CCO2 ZINC001053284471 758337658 /nfs/dbraw/zinc/33/76/58/758337658.db2.gz DLDURMFPCIGFJT-UHFFFAOYSA-N 1 2 319.405 1.901 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1ccccc1)CCO2 ZINC001053312209 758365430 /nfs/dbraw/zinc/36/54/30/758365430.db2.gz KQESGUZQUSQBSC-UHFFFAOYSA-N 1 2 300.402 1.718 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1coc(OCC)n1)CCO2 ZINC001053327069 758377233 /nfs/dbraw/zinc/37/72/33/758377233.db2.gz RPSZUMAJVLCNKI-UHFFFAOYSA-N 1 2 321.377 1.176 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc(C2CC2)n1 ZINC001018016096 758478769 /nfs/dbraw/zinc/47/87/69/758478769.db2.gz DAIWQIWGHFAMTP-GASCZTMLSA-N 1 2 310.401 1.666 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc(C2CC2)n1 ZINC001018016096 758478775 /nfs/dbraw/zinc/47/87/75/758478775.db2.gz DAIWQIWGHFAMTP-GASCZTMLSA-N 1 2 310.401 1.666 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1CCC[C@H](C)C1 ZINC001018034553 758492795 /nfs/dbraw/zinc/49/27/95/758492795.db2.gz NRXLOCUQSKPISS-SOUVJXGZSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1CCC[C@H](C)C1 ZINC001018034553 758492800 /nfs/dbraw/zinc/49/28/00/758492800.db2.gz NRXLOCUQSKPISS-SOUVJXGZSA-N 1 2 305.422 1.106 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(N)=O)s1 ZINC001018059337 758514078 /nfs/dbraw/zinc/51/40/78/758514078.db2.gz LOHAVTGNOOOWGU-TXEJJXNPSA-N 1 2 319.430 1.712 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(N)=O)s1 ZINC001018059337 758514084 /nfs/dbraw/zinc/51/40/84/758514084.db2.gz LOHAVTGNOOOWGU-TXEJJXNPSA-N 1 2 319.430 1.712 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@H]1CCCOC1)CCO2 ZINC001053535514 758540481 /nfs/dbraw/zinc/54/04/81/758540481.db2.gz RTQMCXLSYLFZPN-OAHLLOKOSA-N 1 2 308.422 1.292 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnc(C)cn1)O2 ZINC001053564533 758570747 /nfs/dbraw/zinc/57/07/47/758570747.db2.gz CCWPPOQFMQVPJO-CQSZACIVSA-N 1 2 316.405 1.324 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnccc1C)O2 ZINC001053585942 758601155 /nfs/dbraw/zinc/60/11/55/758601155.db2.gz LGRJAIGWCHRRSW-AWEZNQCLSA-N 1 2 301.390 1.539 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1nccn1CC)O2 ZINC001053595009 758614769 /nfs/dbraw/zinc/61/47/69/758614769.db2.gz XLZJMWBBDKREDB-CYBMUJFWSA-N 1 2 304.394 1.052 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1OCC[C@H]1C(C)C)O2 ZINC001053603170 758622948 /nfs/dbraw/zinc/62/29/48/758622948.db2.gz PAFFJGQXQOJOFM-JYJNAYRXSA-N 1 2 322.449 1.583 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(Cl)cn1C)O2 ZINC001053625953 758643926 /nfs/dbraw/zinc/64/39/26/758643926.db2.gz KAHAAKASKAXHQB-CYBMUJFWSA-N 1 2 323.824 1.828 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cccc(OC)n1)O2 ZINC001053684226 758694996 /nfs/dbraw/zinc/69/49/96/758694996.db2.gz ZSHUUYBYDNCCIG-ZDUSSCGKSA-N 1 2 317.389 1.239 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1[nH]cnc1CC)O2 ZINC001053694050 758702641 /nfs/dbraw/zinc/70/26/41/758702641.db2.gz MSOFLFKRCVUHSI-GFCCVEGCSA-N 1 2 304.394 1.121 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1CC13CCOCC3)O2 ZINC001053705327 758713254 /nfs/dbraw/zinc/71/32/54/758713254.db2.gz ZNYNYPGLQTUOGJ-HUUCEWRRSA-N 1 2 320.433 1.339 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(=O)C=C(C)C)C2)CC1 ZINC001018358303 758756631 /nfs/dbraw/zinc/75/66/31/758756631.db2.gz NVRUOEFBKLUJPV-MRXNPFEDSA-N 1 2 319.449 1.521 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3(CCF)CC3)CC2=O)C1 ZINC001108558537 762664862 /nfs/dbraw/zinc/66/48/62/762664862.db2.gz GZWLVFUKGDUDRV-CYBMUJFWSA-N 1 2 323.412 1.104 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H]1CC=CCC1)CO2 ZINC001053894248 758927473 /nfs/dbraw/zinc/92/74/73/758927473.db2.gz JCPJDSFYCCGWJR-CVEARBPZSA-N 1 2 302.418 1.716 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ncccc1F)CO2 ZINC001053907397 758941502 /nfs/dbraw/zinc/94/15/02/758941502.db2.gz VNKJDFLANDNLJD-ZDUSSCGKSA-N 1 2 319.380 1.299 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CCC(OC)CC1)CO2 ZINC001053910129 758945004 /nfs/dbraw/zinc/94/50/04/758945004.db2.gz USOQWLVTJPTJOA-HWOWSKLDSA-N 1 2 320.433 1.174 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(CC)on1)CO2 ZINC001053986813 759029338 /nfs/dbraw/zinc/02/93/38/759029338.db2.gz UXMKRCHKBSHPQS-GFCCVEGCSA-N 1 2 305.378 1.386 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc3c(o2)CCCC3)C1 ZINC001018632001 759051959 /nfs/dbraw/zinc/05/19/59/759051959.db2.gz LKPXTIDFPGOIDO-RYUDHWBXSA-N 1 2 302.378 1.404 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C)ncn1 ZINC001054038078 759095755 /nfs/dbraw/zinc/09/57/55/759095755.db2.gz UOBYYDRKYGIWPJ-KRWDZBQOSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C)ncn1 ZINC001054038078 759095762 /nfs/dbraw/zinc/09/57/62/759095762.db2.gz UOBYYDRKYGIWPJ-KRWDZBQOSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1c[nH]nc1CC ZINC001054045118 759101759 /nfs/dbraw/zinc/10/17/59/759101759.db2.gz KVJKDCHGPISUBE-MRXNPFEDSA-N 1 2 322.412 1.762 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1c[nH]nc1CC ZINC001054045118 759101765 /nfs/dbraw/zinc/10/17/65/759101765.db2.gz KVJKDCHGPISUBE-MRXNPFEDSA-N 1 2 322.412 1.762 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccncn1 ZINC001054056733 759111098 /nfs/dbraw/zinc/11/10/98/759111098.db2.gz QCTNUXSOMHVMAH-INIZCTEOSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccncn1 ZINC001054056733 759111102 /nfs/dbraw/zinc/11/11/02/759111102.db2.gz QCTNUXSOMHVMAH-INIZCTEOSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnc4n3CCC4)cc2C1 ZINC001054269070 759373809 /nfs/dbraw/zinc/37/38/09/759373809.db2.gz JTCPVTFELCUFES-UHFFFAOYSA-N 1 2 320.396 1.708 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnc4n3CCC4)cc2C1 ZINC001054269070 759373819 /nfs/dbraw/zinc/37/38/19/759373819.db2.gz JTCPVTFELCUFES-UHFFFAOYSA-N 1 2 320.396 1.708 20 30 DDEDLO Cc1nocc1C[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534130 759645006 /nfs/dbraw/zinc/64/50/06/759645006.db2.gz BXTWQUHCODDCDC-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nocc1C[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534130 759645008 /nfs/dbraw/zinc/64/50/08/759645008.db2.gz BXTWQUHCODDCDC-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]([NH2+]Cc3nnc(C)o3)C2)CC1 ZINC001019331359 759809633 /nfs/dbraw/zinc/80/96/33/759809633.db2.gz VQRHALWXFMDMRE-AWEZNQCLSA-N 1 2 304.394 1.815 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]([NH2+]Cc3nc(C)no3)C2)CC1 ZINC001019331179 759809874 /nfs/dbraw/zinc/80/98/74/759809874.db2.gz LLNNSIVSXPMLBQ-AWEZNQCLSA-N 1 2 304.394 1.815 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([NH2+]Cc3nc(N(C)C)no3)C2)C1 ZINC001019353559 759841265 /nfs/dbraw/zinc/84/12/65/759841265.db2.gz QGLNBOXPUMHWHD-LBPRGKRZSA-N 1 2 319.409 1.182 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1coc(Br)c1 ZINC001085626234 759891962 /nfs/dbraw/zinc/89/19/62/759891962.db2.gz JMTJLXNKWMEJCM-NSHDSACASA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1coc(Br)c1 ZINC001085626234 759891969 /nfs/dbraw/zinc/89/19/69/759891969.db2.gz JMTJLXNKWMEJCM-NSHDSACASA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(C)C)nn1CC ZINC001085694298 760048654 /nfs/dbraw/zinc/04/86/54/760048654.db2.gz CJQLBTZJZAUMAF-CQSZACIVSA-N 1 2 302.422 1.806 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(C)C)nn1CC ZINC001085694298 760048666 /nfs/dbraw/zinc/04/86/66/760048666.db2.gz CJQLBTZJZAUMAF-CQSZACIVSA-N 1 2 302.422 1.806 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn2cccc(Cl)c12 ZINC001085712017 760091457 /nfs/dbraw/zinc/09/14/57/760091457.db2.gz YIINIUSQPRSDPW-GFCCVEGCSA-N 1 2 316.792 1.767 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn2cccc(Cl)c12 ZINC001085712017 760091466 /nfs/dbraw/zinc/09/14/66/760091466.db2.gz YIINIUSQPRSDPW-GFCCVEGCSA-N 1 2 316.792 1.767 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1csc(-c2ncc[nH]2)n1 ZINC001085733490 760128508 /nfs/dbraw/zinc/12/85/08/760128508.db2.gz GSSSETTXGOSUQP-NSHDSACASA-N 1 2 315.402 1.313 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1csc(-c2ncc[nH]2)n1 ZINC001085733490 760128512 /nfs/dbraw/zinc/12/85/12/760128512.db2.gz GSSSETTXGOSUQP-NSHDSACASA-N 1 2 315.402 1.313 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cnn(C)c2)cc1 ZINC001085756501 760177274 /nfs/dbraw/zinc/17/72/74/760177274.db2.gz KRVQSOOWVHMZMD-SFHVURJKSA-N 1 2 322.412 1.748 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cnn(C)c2)cc1 ZINC001085756501 760177276 /nfs/dbraw/zinc/17/72/76/760177276.db2.gz KRVQSOOWVHMZMD-SFHVURJKSA-N 1 2 322.412 1.748 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@@H](C)C(=O)N(C)C)CC1 ZINC001085802262 760259433 /nfs/dbraw/zinc/25/94/33/760259433.db2.gz PJQQOPBQDLAANF-HOCLYGCPSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@@H](C)C(=O)N(C)C)CC1 ZINC001085802262 760259438 /nfs/dbraw/zinc/25/94/38/760259438.db2.gz PJQQOPBQDLAANF-HOCLYGCPSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(CCC)CC2)[C@H](O)C1 ZINC001100004562 760454446 /nfs/dbraw/zinc/45/44/46/760454446.db2.gz YZHAZCLQOZACMT-QWHCGFSZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(CCC)CC2)[C@H](O)C1 ZINC001100004562 760454448 /nfs/dbraw/zinc/45/44/48/760454448.db2.gz YZHAZCLQOZACMT-QWHCGFSZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098089692 767954121 /nfs/dbraw/zinc/95/41/21/767954121.db2.gz XHNYQWRQPSWHIF-LLVKDONJSA-N 1 2 320.441 1.765 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-n2ccnc2)cn1 ZINC001085923358 760546496 /nfs/dbraw/zinc/54/64/96/760546496.db2.gz WJJQALQWCSCGQN-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-n2ccnc2)cn1 ZINC001085923358 760546501 /nfs/dbraw/zinc/54/65/01/760546501.db2.gz WJJQALQWCSCGQN-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2C[C@@H](F)CC)nc1 ZINC001085933949 760564508 /nfs/dbraw/zinc/56/45/08/760564508.db2.gz NVHJWBZMHFEUJX-LSDHHAIUSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2C[C@@H](F)CC)nc1 ZINC001085933949 760564510 /nfs/dbraw/zinc/56/45/10/760564510.db2.gz NVHJWBZMHFEUJX-LSDHHAIUSA-N 1 2 303.381 1.957 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1Cc2cccc(F)c2O1 ZINC001085979020 760648126 /nfs/dbraw/zinc/64/81/26/760648126.db2.gz IOWDGVNGOIYHNM-DZGCQCFKSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1Cc2cccc(F)c2O1 ZINC001085979020 760648128 /nfs/dbraw/zinc/64/81/28/760648128.db2.gz IOWDGVNGOIYHNM-DZGCQCFKSA-N 1 2 302.349 1.295 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(CC)cn2)C1 ZINC001108229083 760654134 /nfs/dbraw/zinc/65/41/34/760654134.db2.gz AJXWNETWYMTSJC-SFHVURJKSA-N 1 2 317.433 1.580 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(CC)cn2)C1 ZINC001108229083 760654139 /nfs/dbraw/zinc/65/41/39/760654139.db2.gz AJXWNETWYMTSJC-SFHVURJKSA-N 1 2 317.433 1.580 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)C2=CCOCC2)s1 ZINC001038185886 760903279 /nfs/dbraw/zinc/90/32/79/760903279.db2.gz ZBJXJRAXIOIQGT-ZDUSSCGKSA-N 1 2 317.414 1.657 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)C2=CCOCC2)s1 ZINC001038185886 760903281 /nfs/dbraw/zinc/90/32/81/760903281.db2.gz ZBJXJRAXIOIQGT-ZDUSSCGKSA-N 1 2 317.414 1.657 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001046858888 767990298 /nfs/dbraw/zinc/99/02/98/767990298.db2.gz ZZIJQXQXLQMSBI-SWLSCSKDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001046858888 767990303 /nfs/dbraw/zinc/99/03/03/767990303.db2.gz ZZIJQXQXLQMSBI-SWLSCSKDSA-N 1 2 313.829 1.188 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn([C@H](C)COC)nc1C ZINC001038263636 760956646 /nfs/dbraw/zinc/95/66/46/760956646.db2.gz RUQSWIKATGVURN-UKRRQHHQSA-N 1 2 318.421 1.226 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn([C@H](C)COC)nc1C ZINC001038263636 760956651 /nfs/dbraw/zinc/95/66/51/760956651.db2.gz RUQSWIKATGVURN-UKRRQHHQSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CNC(=O)c1cc(-c2ccn(C)n2)on1 ZINC001038304556 760990860 /nfs/dbraw/zinc/99/08/60/760990860.db2.gz YKSQMCDULMVQRU-NSHDSACASA-N 1 2 301.350 1.065 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(-c2ccn(C)n2)on1 ZINC001038304556 760990862 /nfs/dbraw/zinc/99/08/62/760990862.db2.gz YKSQMCDULMVQRU-NSHDSACASA-N 1 2 301.350 1.065 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cc(CC)n(C)n2)C1 ZINC001046873825 768003146 /nfs/dbraw/zinc/00/31/46/768003146.db2.gz MEOFNTDESXGMNH-OAHLLOKOSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc(CC)n(C)n2)C1 ZINC001046873825 768003154 /nfs/dbraw/zinc/00/31/54/768003154.db2.gz MEOFNTDESXGMNH-OAHLLOKOSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001038426731 761108029 /nfs/dbraw/zinc/10/80/29/761108029.db2.gz KSEBUWMYIMKQCL-CHWSQXEVSA-N 1 2 308.813 1.389 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2ccnc2)nc1 ZINC001038566853 761202392 /nfs/dbraw/zinc/20/23/92/761202392.db2.gz JTSHBYQILCBVQE-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2ccnc2)nc1 ZINC001038566853 761202397 /nfs/dbraw/zinc/20/23/97/761202397.db2.gz JTSHBYQILCBVQE-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cnn(C)c2)cc1 ZINC001038578553 761212683 /nfs/dbraw/zinc/21/26/83/761212683.db2.gz NBSKMLNLCXIQOK-QGZVFWFLSA-N 1 2 308.385 1.406 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cnn(C)c2)cc1 ZINC001038578553 761212687 /nfs/dbraw/zinc/21/26/87/761212687.db2.gz NBSKMLNLCXIQOK-QGZVFWFLSA-N 1 2 308.385 1.406 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(F)cc(OC)cc1F ZINC001038731444 761338671 /nfs/dbraw/zinc/33/86/71/761338671.db2.gz NPJFRFMKNAPMNP-LLVKDONJSA-N 1 2 308.328 1.801 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(F)cc(OC)cc1F ZINC001038731444 761338679 /nfs/dbraw/zinc/33/86/79/761338679.db2.gz NPJFRFMKNAPMNP-LLVKDONJSA-N 1 2 308.328 1.801 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCCc2sc(C)nc21 ZINC001038910339 761535323 /nfs/dbraw/zinc/53/53/23/761535323.db2.gz LVYBNDYGTFOTCJ-CHWSQXEVSA-N 1 2 303.431 1.695 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCCc2sc(C)nc21 ZINC001038910339 761535329 /nfs/dbraw/zinc/53/53/29/761535329.db2.gz LVYBNDYGTFOTCJ-CHWSQXEVSA-N 1 2 303.431 1.695 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(C(C)(C)C)c1CC ZINC001039033108 761672876 /nfs/dbraw/zinc/67/28/76/761672876.db2.gz PMFBJIMXVUQLBV-CYBMUJFWSA-N 1 2 302.422 1.638 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(C(C)(C)C)c1CC ZINC001039033108 761672883 /nfs/dbraw/zinc/67/28/83/761672883.db2.gz PMFBJIMXVUQLBV-CYBMUJFWSA-N 1 2 302.422 1.638 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn(CCC)c2C)C1 ZINC001108301251 761858936 /nfs/dbraw/zinc/85/89/36/761858936.db2.gz OIJOIHAZRRWSMD-KRWDZBQOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn(CCC)c2C)C1 ZINC001108301251 761858945 /nfs/dbraw/zinc/85/89/45/761858945.db2.gz OIJOIHAZRRWSMD-KRWDZBQOSA-N 1 2 320.437 1.608 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC2(C1)CCN(CC#N)CC2 ZINC001040927352 762735846 /nfs/dbraw/zinc/73/58/46/762735846.db2.gz WTPNGPYAQWHNEW-UHFFFAOYSA-N 1 2 301.394 1.099 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)CC(C)C)CC2=O)C1 ZINC001108583453 762749360 /nfs/dbraw/zinc/74/93/60/762749360.db2.gz NZCGZKBIXYQPJC-GJZGRUSLSA-N 1 2 321.465 1.646 20 30 DDEDLO C#CC[N@H+]1CC=C(CNC(=O)c2[nH]nc3c2C[C@@H](C)CC3)CC1 ZINC001001330600 762767710 /nfs/dbraw/zinc/76/77/10/762767710.db2.gz ZCEYOWVGMXLVNQ-ZDUSSCGKSA-N 1 2 312.417 1.530 20 30 DDEDLO C#CC[N@@H+]1CC=C(CNC(=O)c2[nH]nc3c2C[C@@H](C)CC3)CC1 ZINC001001330600 762767715 /nfs/dbraw/zinc/76/77/15/762767715.db2.gz ZCEYOWVGMXLVNQ-ZDUSSCGKSA-N 1 2 312.417 1.530 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H](C)C(C)(C)C)CC2=O)C1 ZINC001108589547 762775782 /nfs/dbraw/zinc/77/57/82/762775782.db2.gz UVOVDJYQKYAMRY-KGLIPLIRSA-N 1 2 321.465 1.646 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@@]4(CCN(CC#N)C4)C3)ccn12 ZINC001041287577 762935165 /nfs/dbraw/zinc/93/51/65/762935165.db2.gz RFXRFQQUAFTJMF-GOSISDBHSA-N 1 2 323.400 1.704 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1C[C@@H]2CCCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001050046070 763058686 /nfs/dbraw/zinc/05/86/86/763058686.db2.gz SGHNUWMVPFIQBA-HYVNUMGLSA-N 1 2 324.428 1.874 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1C[C@@H]2CCCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001050046070 763058692 /nfs/dbraw/zinc/05/86/92/763058692.db2.gz SGHNUWMVPFIQBA-HYVNUMGLSA-N 1 2 324.428 1.874 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001050053699 763067412 /nfs/dbraw/zinc/06/74/12/763067412.db2.gz SIVSCHHBXRFCAA-QJPTWQEYSA-N 1 2 306.303 1.833 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001050053699 763067417 /nfs/dbraw/zinc/06/74/17/763067417.db2.gz SIVSCHHBXRFCAA-QJPTWQEYSA-N 1 2 306.303 1.833 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC(=O)NCC[N@@H+](CC(=C)C)CC1 ZINC001131377673 768103288 /nfs/dbraw/zinc/10/32/88/768103288.db2.gz ZPFIBCUUBWIKIJ-MRXNPFEDSA-N 1 2 321.465 1.815 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC(=O)NCC[N@H+](CC(=C)C)CC1 ZINC001131377673 768103293 /nfs/dbraw/zinc/10/32/93/768103293.db2.gz ZPFIBCUUBWIKIJ-MRXNPFEDSA-N 1 2 321.465 1.815 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1nc(C)cs1 ZINC001109072436 763308070 /nfs/dbraw/zinc/30/80/70/763308070.db2.gz FVBALJHMAFQKQV-RDBSUJKOSA-N 1 2 321.446 1.876 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1nc(C)cs1 ZINC001109072436 763308076 /nfs/dbraw/zinc/30/80/76/763308076.db2.gz FVBALJHMAFQKQV-RDBSUJKOSA-N 1 2 321.446 1.876 20 30 DDEDLO C[C@@H](CN(C)c1cccc(F)c1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001109254908 763518458 /nfs/dbraw/zinc/51/84/58/763518458.db2.gz INYLHHADBXIULW-NSHDSACASA-N 1 2 315.352 1.604 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)[C@@H](C)C2)cc[nH+]1 ZINC001067383232 763590467 /nfs/dbraw/zinc/59/04/67/763590467.db2.gz HKPOKEWLCXGJMY-FZMZJTMJSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccn(C)n1)C2 ZINC001109356366 763597693 /nfs/dbraw/zinc/59/76/93/763597693.db2.gz MYEIQBNWMINJCH-ILXRZTDVSA-N 1 2 322.840 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccn(C)n1)C2 ZINC001109356366 763597701 /nfs/dbraw/zinc/59/77/01/763597701.db2.gz MYEIQBNWMINJCH-ILXRZTDVSA-N 1 2 322.840 1.827 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@H]3CCN(CC#N)[C@H]3C2)c[nH+]1 ZINC001042114317 763667513 /nfs/dbraw/zinc/66/75/13/763667513.db2.gz JPSXDAPYLBYLQV-CABCVRRESA-N 1 2 315.421 1.373 20 30 DDEDLO N#Cc1cnccc1NC1CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001057445648 763723445 /nfs/dbraw/zinc/72/34/45/763723445.db2.gz DCKSYDMWFHYXFU-UHFFFAOYSA-N 1 2 324.388 1.134 20 30 DDEDLO N#Cc1cnccc1NC1CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001057445648 763723450 /nfs/dbraw/zinc/72/34/50/763723450.db2.gz DCKSYDMWFHYXFU-UHFFFAOYSA-N 1 2 324.388 1.134 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CCCNc2cc[nH+]c(C)n2)cn1 ZINC001109493499 763758365 /nfs/dbraw/zinc/75/83/65/763758365.db2.gz WXDSKDXHGVUCML-UHFFFAOYSA-N 1 2 309.373 1.736 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CC[NH+](Cc1ncnn1C)CC2 ZINC001050618716 763830315 /nfs/dbraw/zinc/83/03/15/763830315.db2.gz ZJBYEVMVBGIHMX-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1nc(C)no1)C2 ZINC001109582107 763838180 /nfs/dbraw/zinc/83/81/80/763838180.db2.gz GEPZBENPRZQEEK-AGIUHOORSA-N 1 2 324.812 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1nc(C)no1)C2 ZINC001109582107 763838187 /nfs/dbraw/zinc/83/81/87/763838187.db2.gz GEPZBENPRZQEEK-AGIUHOORSA-N 1 2 324.812 1.785 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncnc1C ZINC001050828736 764141878 /nfs/dbraw/zinc/14/18/78/764141878.db2.gz UEZXSQVMUKSSSE-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncnc1C ZINC001050828736 764141880 /nfs/dbraw/zinc/14/18/80/764141880.db2.gz UEZXSQVMUKSSSE-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO Cc1cc(N2C[C@@H](C)[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)c(C#N)cn1 ZINC001042542990 764184708 /nfs/dbraw/zinc/18/47/08/764184708.db2.gz SPWIUTPGDWUUAA-IAQYHMDHSA-N 1 2 324.388 1.168 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3ccsn3)c2C1 ZINC001069863850 768196448 /nfs/dbraw/zinc/19/64/48/768196448.db2.gz NTFDGRUFOXDKMX-UHFFFAOYSA-N 1 2 317.418 1.661 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3ccsn3)c2C1 ZINC001069863850 768196449 /nfs/dbraw/zinc/19/64/49/768196449.db2.gz NTFDGRUFOXDKMX-UHFFFAOYSA-N 1 2 317.418 1.661 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001057676440 764301872 /nfs/dbraw/zinc/30/18/72/764301872.db2.gz OANLPQAABKVCAB-OAHLLOKOSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001047032430 768202628 /nfs/dbraw/zinc/20/26/28/768202628.db2.gz JVBGMSWUQVEFOY-TUVASFSCSA-N 1 2 316.405 1.683 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001057684370 764329264 /nfs/dbraw/zinc/32/92/64/764329264.db2.gz OKBOUUJRLAHBSR-ZDUSSCGKSA-N 1 2 310.361 1.322 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCC(F)(F)C1 ZINC001050949933 764331784 /nfs/dbraw/zinc/33/17/84/764331784.db2.gz RMXYLXHQQACRBO-OLZOCXBDSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCC(F)(F)C1 ZINC001050949933 764331791 /nfs/dbraw/zinc/33/17/91/764331791.db2.gz RMXYLXHQQACRBO-OLZOCXBDSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(CC)nc1C ZINC001051145591 764551108 /nfs/dbraw/zinc/55/11/08/764551108.db2.gz JXUFDSHJHZRKEX-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(CC)nc1C ZINC001051145591 764551116 /nfs/dbraw/zinc/55/11/16/764551116.db2.gz JXUFDSHJHZRKEX-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnoc1C1CC1 ZINC001051193277 764604010 /nfs/dbraw/zinc/60/40/10/764604010.db2.gz XWQKFFRACBOWAR-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnoc1C1CC1 ZINC001051193277 764604016 /nfs/dbraw/zinc/60/40/16/764604016.db2.gz XWQKFFRACBOWAR-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1csc(Cl)n1 ZINC001051201079 764611007 /nfs/dbraw/zinc/61/10/07/764611007.db2.gz ZRZWPVXEKPJGHQ-JTQLQIEISA-N 1 2 315.826 1.803 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1csc(Cl)n1 ZINC001051201079 764611011 /nfs/dbraw/zinc/61/10/11/764611011.db2.gz ZRZWPVXEKPJGHQ-JTQLQIEISA-N 1 2 315.826 1.803 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CC[C@@H](C)F)nc1 ZINC001051215141 764629610 /nfs/dbraw/zinc/62/96/10/764629610.db2.gz SFJYZHYPQFFGSK-UKRRQHHQSA-N 1 2 319.380 1.242 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CC[C@@H](C)F)nc1 ZINC001051215141 764629615 /nfs/dbraw/zinc/62/96/15/764629615.db2.gz SFJYZHYPQFFGSK-UKRRQHHQSA-N 1 2 319.380 1.242 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(F)cc(F)c1 ZINC001051241248 764663974 /nfs/dbraw/zinc/66/39/74/764663974.db2.gz USQRUYPRIRVRRG-OAHLLOKOSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(F)cc(F)c1 ZINC001051241248 764663977 /nfs/dbraw/zinc/66/39/77/764663977.db2.gz USQRUYPRIRVRRG-OAHLLOKOSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(C)c1C1CC1 ZINC001051269750 764693175 /nfs/dbraw/zinc/69/31/75/764693175.db2.gz WVVXKGKJIQXVKN-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(C)c1C1CC1 ZINC001051269750 764693181 /nfs/dbraw/zinc/69/31/81/764693181.db2.gz WVVXKGKJIQXVKN-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnc3ccc(OC)nn32)C1 ZINC001043130040 764693745 /nfs/dbraw/zinc/69/37/45/764693745.db2.gz AOEFEXSKURNVQU-UHFFFAOYSA-N 1 2 315.377 1.070 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051428064 764867593 /nfs/dbraw/zinc/86/75/93/764867593.db2.gz UXEXNYCIYLNGPE-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccn(CC(C)C)n2)CC1 ZINC001112898676 764941264 /nfs/dbraw/zinc/94/12/64/764941264.db2.gz YIOKBAMNVAUQQY-UHFFFAOYSA-N 1 2 320.437 1.500 20 30 DDEDLO Cc1nc(N(C)C)nc(N[C@H](C)[C@@H](C)NC(=O)C#CC(C)C)[nH+]1 ZINC001113034792 765169404 /nfs/dbraw/zinc/16/94/04/765169404.db2.gz YNBWBAYZFCKQLH-VXGBXAGGSA-N 1 2 318.425 1.211 20 30 DDEDLO C[C@H](NC(=O)Cc1c[nH+]cn1C)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113098555 765264131 /nfs/dbraw/zinc/26/41/31/765264131.db2.gz LFPYVTBXANPARL-RYUDHWBXSA-N 1 2 312.377 1.235 20 30 DDEDLO C[C@@H](NC(=O)Cc1c[nH+]cn1C)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113098682 765264584 /nfs/dbraw/zinc/26/45/84/765264584.db2.gz MWFZIZRRAUGCHR-NWDGAFQWSA-N 1 2 312.377 1.235 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccoc3C)C2)CC1 ZINC001051976847 765339118 /nfs/dbraw/zinc/33/91/18/765339118.db2.gz BCMKBNGKICQGLS-OAHLLOKOSA-N 1 2 303.406 1.606 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C[C@@H]3CCCO3)C2)CC1 ZINC001051991373 765355632 /nfs/dbraw/zinc/35/56/32/765355632.db2.gz GWRYTIZPICPVHD-SJORKVTESA-N 1 2 321.465 1.350 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ncoc3CC)C2)CC1 ZINC001052002004 765367662 /nfs/dbraw/zinc/36/76/62/765367662.db2.gz BRTKKMYFNGHGSG-CQSZACIVSA-N 1 2 318.421 1.255 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C3CC=CC3)C2)CC1 ZINC001052003385 765368370 /nfs/dbraw/zinc/36/83/70/765368370.db2.gz STKORZASVOVBAE-QGZVFWFLSA-N 1 2 301.434 1.194 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)CC3CCC3)C2)CC1 ZINC001052004039 765370125 /nfs/dbraw/zinc/37/01/25/765370125.db2.gz ICWQNQJFHAHUDY-QGZVFWFLSA-N 1 2 305.466 1.971 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)c3ccn(C)c3)C2)CC1 ZINC001052012158 765382693 /nfs/dbraw/zinc/38/26/93/765382693.db2.gz DYZDCBCTEJQCJO-KRWDZBQOSA-N 1 2 316.449 1.433 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001113184721 765392723 /nfs/dbraw/zinc/39/27/23/765392723.db2.gz UVFRTVYSUAAXGD-MRXNPFEDSA-N 1 2 324.465 1.929 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3cc(C)[nH]c3C)C2)CC1 ZINC001052087408 765458472 /nfs/dbraw/zinc/45/84/72/765458472.db2.gz VMLQLCUBJVFILH-MRXNPFEDSA-N 1 2 314.433 1.097 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC001052098377 765469236 /nfs/dbraw/zinc/46/92/36/765469236.db2.gz IWIWTQDJOJHBMS-HNNXBMFYSA-N 1 2 317.437 1.045 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3CC[C@H](C)CC3)C2)CC1 ZINC001052109902 765478637 /nfs/dbraw/zinc/47/86/37/765478637.db2.gz JQVGXQUQACGFSY-KZNAEPCWSA-N 1 2 317.477 1.664 20 30 DDEDLO C[C@@H](Nc1cccc(F)c1C#N)[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001113313419 765553469 /nfs/dbraw/zinc/55/34/69/765553469.db2.gz HWHYLXSOELSDLY-MNOVXSKESA-N 1 2 315.352 1.968 20 30 DDEDLO C=CCOCCCC(=O)NC[C@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113533450 765832716 /nfs/dbraw/zinc/83/27/16/765832716.db2.gz FDFMNOSDODWDAY-ZDUSSCGKSA-N 1 2 306.410 1.709 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCOc2cccc(OC)c2)CC1 ZINC001113685262 766018775 /nfs/dbraw/zinc/01/87/75/766018775.db2.gz CLFNLGRFLGRYTG-UHFFFAOYSA-N 1 2 316.401 1.632 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[NH+](Cc2sc(C)nc2C)CC1 ZINC001113710036 766049303 /nfs/dbraw/zinc/04/93/03/766049303.db2.gz CLJRHHHNCOTXHD-CYBMUJFWSA-N 1 2 323.462 1.995 20 30 DDEDLO N#CCN1CCC([C@@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC001047262711 768346561 /nfs/dbraw/zinc/34/65/61/768346561.db2.gz UIQSZLMPMKKPFQ-OAHLLOKOSA-N 1 2 315.421 1.426 20 30 DDEDLO CN(C(=O)C(C)(F)F)[C@H]1C[N@H+](Cc2cccc(C#N)c2)C[C@@H]1O ZINC001047272648 768356995 /nfs/dbraw/zinc/35/69/95/768356995.db2.gz BGONJPZZBDGTSR-KBPBESRZSA-N 1 2 323.343 1.217 20 30 DDEDLO CN(C(=O)C(C)(F)F)[C@H]1C[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1O ZINC001047272648 768357001 /nfs/dbraw/zinc/35/70/01/768357001.db2.gz BGONJPZZBDGTSR-KBPBESRZSA-N 1 2 323.343 1.217 20 30 DDEDLO CC1(NC(=O)c2cccc(-n3cc[nH+]c3)c2)CCN(CC#N)CC1 ZINC001045605665 766439628 /nfs/dbraw/zinc/43/96/28/766439628.db2.gz GBWIEJIBSBAWOG-UHFFFAOYSA-N 1 2 323.400 1.980 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccc(C#N)cn1 ZINC001067541971 766604502 /nfs/dbraw/zinc/60/45/02/766604502.db2.gz GSGDREQVGSXSHM-ZFWWWQNUSA-N 1 2 324.388 1.323 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccc(C#N)cn1 ZINC001067541968 766605202 /nfs/dbraw/zinc/60/52/02/766605202.db2.gz GSGDREQVGSXSHM-DZGCQCFKSA-N 1 2 324.388 1.323 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccc(C#N)nc1 ZINC001067542274 766605228 /nfs/dbraw/zinc/60/52/28/766605228.db2.gz JLPVYUOKDASEKV-UONOGXRCSA-N 1 2 324.388 1.323 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CC(CNc2cc[nH+]c(C)n2)C1 ZINC001067886203 766781095 /nfs/dbraw/zinc/78/10/95/766781095.db2.gz NQAWBKDZTOOBEJ-CVSAEHQPSA-N 1 2 316.405 1.683 20 30 DDEDLO Cc1nc(N2CC[C@@H](C)[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001067942468 766827051 /nfs/dbraw/zinc/82/70/51/766827051.db2.gz TYFPIWOMKFWWMT-IAQYHMDHSA-N 1 2 324.388 1.630 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csc(C)n3)C[C@H]21 ZINC001114263132 766833608 /nfs/dbraw/zinc/83/36/08/766833608.db2.gz OVMBXOIWMOUKPW-RABLLNBGSA-N 1 2 319.430 1.036 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csc(C)n3)C[C@H]21 ZINC001114263132 766833620 /nfs/dbraw/zinc/83/36/20/766833620.db2.gz OVMBXOIWMOUKPW-RABLLNBGSA-N 1 2 319.430 1.036 20 30 DDEDLO C[C@@H]1CCN(c2ccc(C#N)nc2)C[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067992655 766860253 /nfs/dbraw/zinc/86/02/53/766860253.db2.gz ACEKJBSTFUQUQL-WBMJQRKESA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[NH2+]Cc2ncccn2)cc1 ZINC001130371404 767471731 /nfs/dbraw/zinc/47/17/31/767471731.db2.gz QYQAOIPFLVZBPL-UHFFFAOYSA-N 1 2 312.373 1.561 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2occc2C)CC[C@@H]1C ZINC001131808884 768383905 /nfs/dbraw/zinc/38/39/05/768383905.db2.gz PPIQNQNVPLOWDZ-KBPBESRZSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2occc2C)CC[C@@H]1C ZINC001131808884 768383911 /nfs/dbraw/zinc/38/39/11/768383911.db2.gz PPIQNQNVPLOWDZ-KBPBESRZSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2occc2C)CC[C@@H]1C ZINC001131808886 768385849 /nfs/dbraw/zinc/38/58/49/768385849.db2.gz PPIQNQNVPLOWDZ-UONOGXRCSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2occc2C)CC[C@@H]1C ZINC001131808886 768385855 /nfs/dbraw/zinc/38/58/55/768385855.db2.gz PPIQNQNVPLOWDZ-UONOGXRCSA-N 1 2 319.405 1.473 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001047310216 768393701 /nfs/dbraw/zinc/39/37/01/768393701.db2.gz RWVQGIHDJGJQGL-HOTGVXAUSA-N 1 2 319.380 1.357 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001047310216 768393707 /nfs/dbraw/zinc/39/37/07/768393707.db2.gz RWVQGIHDJGJQGL-HOTGVXAUSA-N 1 2 319.380 1.357 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)C#CC3CC3)C2)c(C)c(C)[nH+]1 ZINC001096178544 768403535 /nfs/dbraw/zinc/40/35/35/768403535.db2.gz FLDDXBCEJCCUJE-MRXNPFEDSA-N 1 2 312.417 1.900 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001047381523 768437835 /nfs/dbraw/zinc/43/78/35/768437835.db2.gz MICLKJWSNDPMOI-GJZGRUSLSA-N 1 2 320.437 1.301 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001047381523 768437837 /nfs/dbraw/zinc/43/78/37/768437837.db2.gz MICLKJWSNDPMOI-GJZGRUSLSA-N 1 2 320.437 1.301 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2ccc(F)cn2)C1 ZINC001131946404 768503817 /nfs/dbraw/zinc/50/38/17/768503817.db2.gz IYYMTWCCHBEHLU-XJKSGUPXSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2ccc(F)cn2)C1 ZINC001131946404 768503820 /nfs/dbraw/zinc/50/38/20/768503820.db2.gz IYYMTWCCHBEHLU-XJKSGUPXSA-N 1 2 321.396 1.892 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N(C)[C@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047485765 768512589 /nfs/dbraw/zinc/51/25/89/768512589.db2.gz XRUCBHOKBBRWSQ-RABLLNBGSA-N 1 2 319.430 1.279 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N(C)[C@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047485765 768512593 /nfs/dbraw/zinc/51/25/93/768512593.db2.gz XRUCBHOKBBRWSQ-RABLLNBGSA-N 1 2 319.430 1.279 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CC(=O)NCC)CC[C@@H]1C ZINC001131980211 768523328 /nfs/dbraw/zinc/52/33/28/768523328.db2.gz JFYKSGYTNFLOTL-NWDGAFQWSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CC(=O)NCC)CC[C@@H]1C ZINC001131980211 768523330 /nfs/dbraw/zinc/52/33/30/768523330.db2.gz JFYKSGYTNFLOTL-NWDGAFQWSA-N 1 2 301.818 1.234 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C(F)F)o2)C1 ZINC001047615528 768614574 /nfs/dbraw/zinc/61/45/74/768614574.db2.gz VKYKVMBNWQCKIX-QWRGUYRKSA-N 1 2 312.316 1.358 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C(F)F)o2)C1 ZINC001047615528 768614578 /nfs/dbraw/zinc/61/45/78/768614578.db2.gz VKYKVMBNWQCKIX-QWRGUYRKSA-N 1 2 312.316 1.358 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)CCC2CC2)C[C@H]1NCC#N ZINC001070631911 768633772 /nfs/dbraw/zinc/63/37/72/768633772.db2.gz VUGCTKGDHVJPGB-ZIAGYGMSSA-N 1 2 301.394 1.018 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCn2cc[nH+]c2C1)Nc1ccc(C#N)nc1 ZINC001098126207 768671787 /nfs/dbraw/zinc/67/17/87/768671787.db2.gz WXWBYCDPBBSNOR-OLZOCXBDSA-N 1 2 324.388 1.329 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001070712106 768689063 /nfs/dbraw/zinc/68/90/63/768689063.db2.gz HWPLYUIROABZIN-CYBMUJFWSA-N 1 2 316.405 1.109 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CCCC2CC2)C[N@@H+]1CC(=O)NCC#N ZINC001132244232 768712312 /nfs/dbraw/zinc/71/23/12/768712312.db2.gz HSYNQCRHUSWRQN-UKRRQHHQSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CCCC2CC2)C[N@H+]1CC(=O)NCC#N ZINC001132244232 768712319 /nfs/dbraw/zinc/71/23/19/768712319.db2.gz HSYNQCRHUSWRQN-UKRRQHHQSA-N 1 2 320.437 1.176 20 30 DDEDLO CCC(CC)CC(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132391467 768813952 /nfs/dbraw/zinc/81/39/52/768813952.db2.gz AYBBAMAAAQPPJD-HIFRSBDPSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(CC)CC(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132391467 768813958 /nfs/dbraw/zinc/81/39/58/768813958.db2.gz AYBBAMAAAQPPJD-HIFRSBDPSA-N 1 2 322.453 1.422 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2C=CCCC2)CC1 ZINC001070973510 768824089 /nfs/dbraw/zinc/82/40/89/768824089.db2.gz NZYVVIZONRDSHA-MRXNPFEDSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2C=CCCC2)CC1 ZINC001070973510 768824101 /nfs/dbraw/zinc/82/41/01/768824101.db2.gz NZYVVIZONRDSHA-MRXNPFEDSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@@H]2C(C)C)CC1 ZINC001071011574 768876130 /nfs/dbraw/zinc/87/61/30/768876130.db2.gz LIZJWLSETCTAOC-CABCVRRESA-N 1 2 307.438 1.115 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@@H]2C(C)C)CC1 ZINC001071011574 768876142 /nfs/dbraw/zinc/87/61/42/768876142.db2.gz LIZJWLSETCTAOC-CABCVRRESA-N 1 2 307.438 1.115 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[NH+](CCNC(=O)C(C)C)CC2)n1 ZINC001096273066 768907298 /nfs/dbraw/zinc/90/72/98/768907298.db2.gz HRSKINSZIKRKNV-UHFFFAOYSA-N 1 2 315.421 1.156 20 30 DDEDLO C[C@@H]1CCN(C(=O)c2cccc3[nH+]ccn32)C[C@@H]1CNCC#N ZINC001132981796 769385406 /nfs/dbraw/zinc/38/54/06/769385406.db2.gz GHVKPWRXTHXKJM-KGLIPLIRSA-N 1 2 311.389 1.546 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CN2CCOCC2)CC[C@@H]1C ZINC001071439723 769435854 /nfs/dbraw/zinc/43/58/54/769435854.db2.gz HUWBSZXBKRHUKX-KBPBESRZSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CN2CCOCC2)CC[C@@H]1C ZINC001071439723 769435861 /nfs/dbraw/zinc/43/58/61/769435861.db2.gz HUWBSZXBKRHUKX-KBPBESRZSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2nnc(C)o2)CC[C@@H]1C ZINC001071489792 769508032 /nfs/dbraw/zinc/50/80/32/769508032.db2.gz BLZJDDHLAUDIMO-JQWIXIFHSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2nnc(C)o2)CC[C@@H]1C ZINC001071489792 769508035 /nfs/dbraw/zinc/50/80/35/769508035.db2.gz BLZJDDHLAUDIMO-JQWIXIFHSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2C)CC[C@@H]1C ZINC001071557970 769621149 /nfs/dbraw/zinc/62/11/49/769621149.db2.gz XSDDVGRXMVQVDW-WFASDCNBSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2C)CC[C@@H]1C ZINC001071557970 769621154 /nfs/dbraw/zinc/62/11/54/769621154.db2.gz XSDDVGRXMVQVDW-WFASDCNBSA-N 1 2 324.856 1.993 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001071778281 770052470 /nfs/dbraw/zinc/05/24/70/770052470.db2.gz RNMIIHZGZLAROD-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C1)OCC ZINC001096437233 770060117 /nfs/dbraw/zinc/06/01/17/770060117.db2.gz AOUVPZBZISWVLI-LSDHHAIUSA-N 1 2 318.421 1.851 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001096462135 770220316 /nfs/dbraw/zinc/22/03/16/770220316.db2.gz BAPRJZHOYALNST-NSHDSACASA-N 1 2 314.393 1.270 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CN1CC#N ZINC001071983023 770382460 /nfs/dbraw/zinc/38/24/60/770382460.db2.gz UYUQIWPRXNQTQW-KBPBESRZSA-N 1 2 324.388 1.374 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001071996442 770407478 /nfs/dbraw/zinc/40/74/78/770407478.db2.gz RAWWRVJUDXFXQV-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001072218843 770673673 /nfs/dbraw/zinc/67/36/73/770673673.db2.gz LSYSAHRBDHXFFW-SWLSCSKDSA-N 1 2 318.421 1.580 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1nccs1 ZINC001049381736 770760499 /nfs/dbraw/zinc/76/04/99/770760499.db2.gz LTHBFHFSSYHXKS-JHJVBQTASA-N 1 2 304.419 1.868 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1nccs1 ZINC001049381736 770760507 /nfs/dbraw/zinc/76/05/07/770760507.db2.gz LTHBFHFSSYHXKS-JHJVBQTASA-N 1 2 304.419 1.868 20 30 DDEDLO CCn1ccc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001049999932 771354151 /nfs/dbraw/zinc/35/41/51/771354151.db2.gz OYEUEJTWMCXCHO-OFQRWUPVSA-N 1 2 315.421 1.485 20 30 DDEDLO CCn1ccc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001049999932 771354156 /nfs/dbraw/zinc/35/41/56/771354156.db2.gz OYEUEJTWMCXCHO-OFQRWUPVSA-N 1 2 315.421 1.485 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(=O)n(C)o1)C2 ZINC001097007578 771572544 /nfs/dbraw/zinc/57/25/44/771572544.db2.gz LWAJAPHTVKHXSQ-MXWKQRLJSA-N 1 2 311.769 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(=O)n(C)o1)C2 ZINC001097007578 771572547 /nfs/dbraw/zinc/57/25/47/771572547.db2.gz LWAJAPHTVKHXSQ-MXWKQRLJSA-N 1 2 311.769 1.066 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@H](Nc2ccc(C#N)cn2)C1 ZINC001097105783 771625823 /nfs/dbraw/zinc/62/58/23/771625823.db2.gz DWYPMERHBYEZGA-UBHSHLNASA-N 1 2 324.388 1.614 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@H](Nc2ccc(C#N)cn2)C1 ZINC001097105783 771625826 /nfs/dbraw/zinc/62/58/26/771625826.db2.gz DWYPMERHBYEZGA-UBHSHLNASA-N 1 2 324.388 1.614 20 30 DDEDLO C[C@H](CC(=O)N[C@H]1C[C@H](Nc2ccc(C#N)nc2)C1)n1cc[nH+]c1 ZINC001097105444 771625867 /nfs/dbraw/zinc/62/58/67/771625867.db2.gz VOBIYVYONMKNRD-DAXOMENPSA-N 1 2 324.388 1.860 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@H](O)C1 ZINC001090811006 772232664 /nfs/dbraw/zinc/23/26/64/772232664.db2.gz ZWUAPTXJCOYPND-HUUCEWRRSA-N 1 2 318.421 1.030 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@H](O)C1 ZINC001090811006 772232666 /nfs/dbraw/zinc/23/26/66/772232666.db2.gz ZWUAPTXJCOYPND-HUUCEWRRSA-N 1 2 318.421 1.030 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)[C@@H](O)C1 ZINC001090840496 772256308 /nfs/dbraw/zinc/25/63/08/772256308.db2.gz APJAFEFZSJAVQM-ISFQMUQJSA-N 1 2 324.852 1.582 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)[C@@H](O)C1 ZINC001090840496 772256310 /nfs/dbraw/zinc/25/63/10/772256310.db2.gz APJAFEFZSJAVQM-ISFQMUQJSA-N 1 2 324.852 1.582 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)nc2)C[C@H]1NC(=O)CCc1c[nH]c[nH+]1 ZINC001090843296 772261333 /nfs/dbraw/zinc/26/13/33/772261333.db2.gz HFJKGGIDHWVAKJ-MLGOLLRUSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)nc2)C[C@H]1NC(=O)CCc1c[nH+]c[nH]1 ZINC001090843296 772261336 /nfs/dbraw/zinc/26/13/36/772261336.db2.gz HFJKGGIDHWVAKJ-MLGOLLRUSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C)cn1)C2 ZINC001147165434 773056475 /nfs/dbraw/zinc/05/64/75/773056475.db2.gz GMFSKJOFTWYPHQ-UHFFFAOYSA-N 1 2 300.406 1.786 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C)cn1)C2 ZINC001147165434 773056482 /nfs/dbraw/zinc/05/64/82/773056482.db2.gz GMFSKJOFTWYPHQ-UHFFFAOYSA-N 1 2 300.406 1.786 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001147498988 773154165 /nfs/dbraw/zinc/15/41/65/773154165.db2.gz MVLJWLURTAQXGD-UHFFFAOYSA-N 1 2 302.378 1.072 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001147498988 773154168 /nfs/dbraw/zinc/15/41/68/773154168.db2.gz MVLJWLURTAQXGD-UHFFFAOYSA-N 1 2 302.378 1.072 20 30 DDEDLO C[C@]12CN(C(=O)C#CC3CC3)C[C@H]1C[N@H+](Cc1cscn1)C2 ZINC001091655140 773230522 /nfs/dbraw/zinc/23/05/22/773230522.db2.gz DFHBRQNYIGZJPV-PBHICJAKSA-N 1 2 315.442 1.837 20 30 DDEDLO C[C@]12CN(C(=O)C#CC3CC3)C[C@H]1C[N@@H+](Cc1cscn1)C2 ZINC001091655140 773230524 /nfs/dbraw/zinc/23/05/24/773230524.db2.gz DFHBRQNYIGZJPV-PBHICJAKSA-N 1 2 315.442 1.837 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001148130705 773373638 /nfs/dbraw/zinc/37/36/38/773373638.db2.gz OUGLCZKPCNSUGT-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001148130705 773373646 /nfs/dbraw/zinc/37/36/46/773373646.db2.gz OUGLCZKPCNSUGT-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]cn2C)C[C@H]1C ZINC001073806455 773430201 /nfs/dbraw/zinc/43/02/01/773430201.db2.gz VFRLPPNZZUBXDQ-UKRRQHHQSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cccnn2)C1 ZINC001073831785 773451290 /nfs/dbraw/zinc/45/12/90/773451290.db2.gz GRVRVBRWUZBYPS-LBPRGKRZSA-N 1 2 310.785 1.050 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cccnn2)C1 ZINC001073831785 773451294 /nfs/dbraw/zinc/45/12/94/773451294.db2.gz GRVRVBRWUZBYPS-LBPRGKRZSA-N 1 2 310.785 1.050 20 30 DDEDLO CCn1ccnc1C[N@H+](C)C[C@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001073973224 773566289 /nfs/dbraw/zinc/56/62/89/773566289.db2.gz VWVHJWDITQDKDK-HUUCEWRRSA-N 1 2 317.437 1.876 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)C[C@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001073973224 773566293 /nfs/dbraw/zinc/56/62/93/773566293.db2.gz VWVHJWDITQDKDK-HUUCEWRRSA-N 1 2 317.437 1.876 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001073996558 773577243 /nfs/dbraw/zinc/57/72/43/773577243.db2.gz LEQOTLRDRCCGMW-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074149177 773674957 /nfs/dbraw/zinc/67/49/57/773674957.db2.gz GWXMZDYXGMDDIV-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3O)C[C@H]21 ZINC001074179403 773701260 /nfs/dbraw/zinc/70/12/60/773701260.db2.gz ZNIXNEGRDKTJKL-NVXWUHKLSA-N 1 2 314.385 1.331 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3O)C[C@H]21 ZINC001074179403 773701266 /nfs/dbraw/zinc/70/12/66/773701266.db2.gz ZNIXNEGRDKTJKL-NVXWUHKLSA-N 1 2 314.385 1.331 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3O)C[C@H]21 ZINC001074179405 773701760 /nfs/dbraw/zinc/70/17/60/773701760.db2.gz ZNIXNEGRDKTJKL-WBVHZDCISA-N 1 2 314.385 1.331 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3O)C[C@H]21 ZINC001074179405 773701763 /nfs/dbraw/zinc/70/17/63/773701763.db2.gz ZNIXNEGRDKTJKL-WBVHZDCISA-N 1 2 314.385 1.331 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C[C@@H]1C)CC[NH+](Cc1cnon1)CC2 ZINC001086908620 773710172 /nfs/dbraw/zinc/71/01/72/773710172.db2.gz DZBZGUPDGYXBLT-OLZOCXBDSA-N 1 2 317.393 1.432 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3CCOCC3)C[C@H]21 ZINC001074190752 773713513 /nfs/dbraw/zinc/71/35/13/773713513.db2.gz REQBRENDAXKWBR-IAGOWNOFSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3CCOCC3)C[C@H]21 ZINC001074190752 773713516 /nfs/dbraw/zinc/71/35/16/773713516.db2.gz REQBRENDAXKWBR-IAGOWNOFSA-N 1 2 320.433 1.128 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCF)[C@@H]3C2)c[nH]1 ZINC001074212463 773734917 /nfs/dbraw/zinc/73/49/17/773734917.db2.gz PTXCZQYXRSWTEV-CABCVRRESA-N 1 2 320.368 1.161 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[C@@H]3OCC[N@H+](CCCF)[C@@H]3C2)c[nH]1 ZINC001074212463 773734920 /nfs/dbraw/zinc/73/49/20/773734920.db2.gz PTXCZQYXRSWTEV-CABCVRRESA-N 1 2 320.368 1.161 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001074262793 773776628 /nfs/dbraw/zinc/77/66/28/773776628.db2.gz AZAOHCYFHZQJCY-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nc(N2CC[C@@H]3CN(C(=O)C#CC(C)C)C[C@@H]3C2)cc[nH+]1 ZINC001091851174 773826774 /nfs/dbraw/zinc/82/67/74/773826774.db2.gz USQCJDOEPKVJMO-CVEARBPZSA-N 1 2 312.417 1.729 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092169837 773977441 /nfs/dbraw/zinc/97/74/41/773977441.db2.gz MRBWMKBZZSFIFO-GJZGRUSLSA-N 1 2 316.405 1.034 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H]2CCN(C(=O)[C@@H](C)C#N)[C@H]2C)n1 ZINC001074570024 774002224 /nfs/dbraw/zinc/00/22/24/774002224.db2.gz FIWGNQQFQRPTEJ-SRVKXCTJSA-N 1 2 305.382 1.432 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccn1C)c1nccn12 ZINC001092347797 774066446 /nfs/dbraw/zinc/06/64/46/774066446.db2.gz GDDIXNAOTVTILE-CYBMUJFWSA-N 1 2 311.389 1.293 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)[C@@H]1C ZINC001074899715 774228896 /nfs/dbraw/zinc/22/88/96/774228896.db2.gz KTFFZVALZJGLHV-GHYVTOPFSA-N 1 2 320.393 1.048 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001075098409 774348736 /nfs/dbraw/zinc/34/87/36/774348736.db2.gz ZWLIEQJPDLHIJU-STQMWFEESA-N 1 2 318.421 1.660 20 30 DDEDLO C#CCCCC(=O)N1CCN(c2nc(C)[nH+]c(C)c2C)CC1 ZINC001093147804 774451432 /nfs/dbraw/zinc/45/14/32/774451432.db2.gz KBRVHKZMMHBODS-UHFFFAOYSA-N 1 2 300.406 1.854 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098550715 774608370 /nfs/dbraw/zinc/60/83/70/774608370.db2.gz AXRGBWVZGWOOHO-TZMCWYRMSA-N 1 2 302.378 1.084 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccnc(F)c3)CC2)C1 ZINC001093516124 774767518 /nfs/dbraw/zinc/76/75/18/774767518.db2.gz HGQSMJXXAAUGEZ-UHFFFAOYSA-N 1 2 319.380 1.712 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccncc3C)CC2)C1 ZINC001093533448 774787511 /nfs/dbraw/zinc/78/75/11/774787511.db2.gz MTABNMVQCXKVGU-UHFFFAOYSA-N 1 2 315.417 1.881 20 30 DDEDLO C#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)[C@@H]3C[C@H]3C)c2)nn1 ZINC001099600717 775059695 /nfs/dbraw/zinc/05/96/95/775059695.db2.gz ZZECFZQOSKCKPH-CXAGYDPISA-N 1 2 323.400 1.262 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@H]2CCc3[nH+]ccn3C2)ccc1C#N ZINC001093956136 775267600 /nfs/dbraw/zinc/26/76/00/775267600.db2.gz CXLWHZXEDQYQAR-AWEZNQCLSA-N 1 2 324.388 1.249 20 30 DDEDLO Cc1cc(C#N)nc(NCCNC(=O)c2cccc3[nH+]ccn32)n1 ZINC001093986470 775300470 /nfs/dbraw/zinc/30/04/70/775300470.db2.gz QHHORJAOCOYBGI-UHFFFAOYSA-N 1 2 321.344 1.146 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)[C@H](O)C2)s1 ZINC001099821489 775325143 /nfs/dbraw/zinc/32/51/43/775325143.db2.gz VIGGDJSDVQCLTL-ZIAGYGMSSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)[C@H](O)C2)s1 ZINC001099821489 775325157 /nfs/dbraw/zinc/32/51/57/775325157.db2.gz VIGGDJSDVQCLTL-ZIAGYGMSSA-N 1 2 321.446 1.162 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094050900 775373769 /nfs/dbraw/zinc/37/37/69/775373769.db2.gz LBBUHZSOKNUWKB-CYBMUJFWSA-N 1 2 317.437 1.595 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094050900 775373779 /nfs/dbraw/zinc/37/37/79/775373779.db2.gz LBBUHZSOKNUWKB-CYBMUJFWSA-N 1 2 317.437 1.595 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099945656 775456275 /nfs/dbraw/zinc/45/62/75/775456275.db2.gz ZZMSFIKDNWXLDK-KBPBESRZSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099945656 775456284 /nfs/dbraw/zinc/45/62/84/775456284.db2.gz ZZMSFIKDNWXLDK-KBPBESRZSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099960055 775483222 /nfs/dbraw/zinc/48/32/22/775483222.db2.gz NHGOKEANNGKZLG-CHWSQXEVSA-N 1 2 309.435 1.407 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099960055 775483226 /nfs/dbraw/zinc/48/32/26/775483226.db2.gz NHGOKEANNGKZLG-CHWSQXEVSA-N 1 2 309.435 1.407 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001100005306 775557897 /nfs/dbraw/zinc/55/78/97/775557897.db2.gz YVLJEVYBOKZXMC-LLVKDONJSA-N 1 2 301.394 1.376 20 30 DDEDLO N#Cc1sc(NCCNC(=O)CCc2c[nH]c[nH+]2)nc1Cl ZINC001094225061 775594434 /nfs/dbraw/zinc/59/44/34/775594434.db2.gz NPBAZXCQDRHKGM-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO N#Cc1sc(NCCNC(=O)CCc2c[nH+]c[nH]2)nc1Cl ZINC001094225061 775594439 /nfs/dbraw/zinc/59/44/39/775594439.db2.gz NPBAZXCQDRHKGM-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@H]3CCC)nn2)C1 ZINC001094318571 775678680 /nfs/dbraw/zinc/67/86/80/775678680.db2.gz OVXOQLBMPYIIAR-CZUORRHYSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@]3(C)CC)nn2)C1 ZINC001094331704 775713576 /nfs/dbraw/zinc/71/35/76/775713576.db2.gz CORUBSUXDQZFMU-RDJZCZTQSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC[C@H](C)C3)nn2)C1 ZINC001094323874 775750809 /nfs/dbraw/zinc/75/08/09/775750809.db2.gz HVIZRBYXVVRQFG-UONOGXRCSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CCOCC(=O)NCC1CC([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001100188081 775789506 /nfs/dbraw/zinc/78/95/06/775789506.db2.gz YUFFBSDEPPAANY-UHFFFAOYSA-N 1 2 320.393 1.134 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C)c1ncnc2c1C[N@H+](C)CC2 ZINC001100255610 775898787 /nfs/dbraw/zinc/89/87/87/775898787.db2.gz XZHSUWUOJRVWNX-UHFFFAOYSA-N 1 2 317.437 1.229 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C)c1ncnc2c1C[N@@H+](C)CC2 ZINC001100255610 775898794 /nfs/dbraw/zinc/89/87/94/775898794.db2.gz XZHSUWUOJRVWNX-UHFFFAOYSA-N 1 2 317.437 1.229 20 30 DDEDLO CC#CCCCC(=O)NCC1CC([NH2+]Cc2noc(CC)n2)C1 ZINC001100589968 776338616 /nfs/dbraw/zinc/33/86/16/776338616.db2.gz CJOVDIGVFQPMEG-UHFFFAOYSA-N 1 2 318.421 1.810 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCN(CC)c1nc(C)[nH+]c(C)c1C ZINC001100620674 776372486 /nfs/dbraw/zinc/37/24/86/776372486.db2.gz UBWDOSWZLVEGJF-AWEZNQCLSA-N 1 2 318.421 1.383 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCCN1c1ccncc1C#N ZINC001100856008 776637678 /nfs/dbraw/zinc/63/76/78/776637678.db2.gz RVJPXKPPCCDITB-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO N#Cc1cnccc1N(CCNC(=O)CCc1[nH]cc[nH+]1)C1CC1 ZINC001101331584 777076865 /nfs/dbraw/zinc/07/68/65/777076865.db2.gz CCKDLYRGLOIRED-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101336667 777081062 /nfs/dbraw/zinc/08/10/62/777081062.db2.gz LUHPZUGVIVCOLF-VBQJREDUSA-N 1 2 318.421 1.952 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101336667 777081069 /nfs/dbraw/zinc/08/10/69/777081069.db2.gz LUHPZUGVIVCOLF-VBQJREDUSA-N 1 2 318.421 1.952 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCSC)c1nccn12 ZINC001101607833 777298180 /nfs/dbraw/zinc/29/81/80/777298180.db2.gz ZGAHBLMWYGZTOO-CYBMUJFWSA-N 1 2 318.446 1.231 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)CCc1c[nH+]cn1C ZINC001101725626 777436995 /nfs/dbraw/zinc/43/69/95/777436995.db2.gz FXRYTBGMDACBLX-UHFFFAOYSA-N 1 2 312.377 1.190 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001102031606 777815403 /nfs/dbraw/zinc/81/54/03/777815403.db2.gz SFERYJXQKGKGPB-CHWSQXEVSA-N 1 2 310.361 1.350 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001102031606 777815411 /nfs/dbraw/zinc/81/54/11/777815411.db2.gz SFERYJXQKGKGPB-CHWSQXEVSA-N 1 2 310.361 1.350 20 30 DDEDLO CCOC(=O)[C@H](C[C@H]1CCCO1)[NH2+]CCCSCC#N ZINC001176030150 778005329 /nfs/dbraw/zinc/00/53/29/778005329.db2.gz YYVYXRSAGJYDTO-OLZOCXBDSA-N 1 2 300.424 1.724 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOCC=C)[C@H]2C1 ZINC001176955464 778347467 /nfs/dbraw/zinc/34/74/67/778347467.db2.gz UDCQOXJTOZOQGC-HOTGVXAUSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC=C)[C@H]2C1 ZINC001176955464 778347476 /nfs/dbraw/zinc/34/74/76/778347476.db2.gz UDCQOXJTOZOQGC-HOTGVXAUSA-N 1 2 308.422 1.457 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCF)[C@H]3C2)CCC1 ZINC001177030869 778400417 /nfs/dbraw/zinc/40/04/17/778400417.db2.gz QJASYSNFNGUIOL-HOTGVXAUSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CCCF)[C@H]3C2)CCC1 ZINC001177030869 778400420 /nfs/dbraw/zinc/40/04/20/778400420.db2.gz QJASYSNFNGUIOL-HOTGVXAUSA-N 1 2 322.424 1.841 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001102786324 778400529 /nfs/dbraw/zinc/40/05/29/778400529.db2.gz ASNAJODJKRUQNW-ABAIWWIYSA-N 1 2 315.421 1.989 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC(C)(F)F)C[C@H]21 ZINC001177041450 778404752 /nfs/dbraw/zinc/40/47/52/778404752.db2.gz HUJZHNHOWQDGOP-ZIAGYGMSSA-N 1 2 314.376 1.747 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC(C)(F)F)C[C@H]21 ZINC001177041450 778404753 /nfs/dbraw/zinc/40/47/53/778404753.db2.gz HUJZHNHOWQDGOP-ZIAGYGMSSA-N 1 2 314.376 1.747 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(COC)CCC3)C[C@@H]21 ZINC001177048546 778410375 /nfs/dbraw/zinc/41/03/75/778410375.db2.gz JPNJXQKGTZJJFC-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(COC)CCC3)C[C@@H]21 ZINC001177048546 778410379 /nfs/dbraw/zinc/41/03/79/778410379.db2.gz JPNJXQKGTZJJFC-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(COC)CCC3)C[C@H]21 ZINC001177048545 778411015 /nfs/dbraw/zinc/41/10/15/778411015.db2.gz JPNJXQKGTZJJFC-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(COC)CCC3)C[C@H]21 ZINC001177048545 778411021 /nfs/dbraw/zinc/41/10/21/778411021.db2.gz JPNJXQKGTZJJFC-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC)[C@H]2C1 ZINC001177062350 778421506 /nfs/dbraw/zinc/42/15/06/778421506.db2.gz WKSIGGOKCADDCP-GJZGRUSLSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCOCC)[C@H]2C1 ZINC001177062350 778421511 /nfs/dbraw/zinc/42/15/11/778421511.db2.gz WKSIGGOKCADDCP-GJZGRUSLSA-N 1 2 310.438 1.537 20 30 DDEDLO CCN(C(=O)Cc1c[nH+]c[nH]1)[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001102835002 778434147 /nfs/dbraw/zinc/43/41/47/778434147.db2.gz IBSLPJFPBOYAHJ-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001103356904 778811447 /nfs/dbraw/zinc/81/14/47/778811447.db2.gz CCQGWJPYTLLKDS-ZDUSSCGKSA-N 1 2 312.377 1.616 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001103356904 778811454 /nfs/dbraw/zinc/81/14/54/778811454.db2.gz CCQGWJPYTLLKDS-ZDUSSCGKSA-N 1 2 312.377 1.616 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2CCN(C(=O)C#CC(C)C)[C@H]2C)n1 ZINC001178652930 779125524 /nfs/dbraw/zinc/12/55/24/779125524.db2.gz IOTOWLCYFDAPHQ-STQMWFEESA-N 1 2 304.394 1.370 20 30 DDEDLO Cc1nc(NC[C@@H](C)CNC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001104002525 779236314 /nfs/dbraw/zinc/23/63/14/779236314.db2.gz UMHLBMVVARDWCI-GFCCVEGCSA-N 1 2 312.377 1.323 20 30 DDEDLO C[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)CNc1ncc(C#N)cc1F ZINC001104052779 779272514 /nfs/dbraw/zinc/27/25/14/779272514.db2.gz JPSDXDZEDZZGCU-JTQLQIEISA-N 1 2 316.340 1.222 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111945879 779574756 /nfs/dbraw/zinc/57/47/56/779574756.db2.gz XALSHNQXNSKGKO-AWEZNQCLSA-N 1 2 302.378 1.228 20 30 DDEDLO Cc1nc(NC[C@H](C2CC2)N(C)C(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001115500963 780191986 /nfs/dbraw/zinc/19/19/86/780191986.db2.gz INUBHHCTPMUUNJ-OAHLLOKOSA-N 1 2 324.388 1.948 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001115655284 780310096 /nfs/dbraw/zinc/31/00/96/780310096.db2.gz SYOMFMXKJCWGQS-CYBMUJFWSA-N 1 2 317.437 1.690 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001115655284 780310101 /nfs/dbraw/zinc/31/01/01/780310101.db2.gz SYOMFMXKJCWGQS-CYBMUJFWSA-N 1 2 317.437 1.690 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001120164498 781794974 /nfs/dbraw/zinc/79/49/74/781794974.db2.gz NVNFTFOYEKYJQP-LBPRGKRZSA-N 1 2 320.441 1.861 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@@H+](Cc2cncc(F)c2)C1 ZINC001266251984 835990717 /nfs/dbraw/zinc/99/07/17/835990717.db2.gz KQPCPHZNRFQSQA-INIZCTEOSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@H+](Cc2cncc(F)c2)C1 ZINC001266251984 835990723 /nfs/dbraw/zinc/99/07/23/835990723.db2.gz KQPCPHZNRFQSQA-INIZCTEOSA-N 1 2 321.396 1.894 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@]1(CC(C)C)CCNC1=O ZINC001266285438 836047104 /nfs/dbraw/zinc/04/71/04/836047104.db2.gz YJQJMWQTTPIRNV-OAHLLOKOSA-N 1 2 315.845 1.339 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@]1(CC(C)C)CCNC1=O ZINC001266285438 836047113 /nfs/dbraw/zinc/04/71/13/836047113.db2.gz YJQJMWQTTPIRNV-OAHLLOKOSA-N 1 2 315.845 1.339 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cc(C)no2)C1 ZINC001266307524 836092367 /nfs/dbraw/zinc/09/23/67/836092367.db2.gz FZMQRUWYIFUWNG-KGLIPLIRSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cc(C)no2)C1 ZINC001266307524 836092368 /nfs/dbraw/zinc/09/23/68/836092368.db2.gz FZMQRUWYIFUWNG-KGLIPLIRSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccccc2Cl)C1 ZINC001266352715 836159322 /nfs/dbraw/zinc/15/93/22/836159322.db2.gz GOAOJTVSRXQDMO-LBPRGKRZSA-N 1 2 321.808 1.446 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccccc2Cl)C1 ZINC001266352715 836159326 /nfs/dbraw/zinc/15/93/26/836159326.db2.gz GOAOJTVSRXQDMO-LBPRGKRZSA-N 1 2 321.808 1.446 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H]1CNC(=O)c1sccc1C ZINC001266443906 836259818 /nfs/dbraw/zinc/25/98/18/836259818.db2.gz SZWNODFXOUEWTI-ZDUSSCGKSA-N 1 2 319.430 1.000 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)c1sccc1C ZINC001266443906 836259825 /nfs/dbraw/zinc/25/98/25/836259825.db2.gz SZWNODFXOUEWTI-ZDUSSCGKSA-N 1 2 319.430 1.000 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[N@H+]([C@H](C)c3nnnn3C)C[C@@H]2C1 ZINC001271860574 844163741 /nfs/dbraw/zinc/16/37/41/844163741.db2.gz MHZFZULMIQYBNM-MCIONIFRSA-N 1 2 318.425 1.018 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[N@@H+]([C@H](C)c3nnnn3C)C[C@@H]2C1 ZINC001271860574 844163744 /nfs/dbraw/zinc/16/37/44/844163744.db2.gz MHZFZULMIQYBNM-MCIONIFRSA-N 1 2 318.425 1.018 20 30 DDEDLO CCC[N@H+](CCNC(=O)C#CC(C)C)Cc1snnc1C ZINC001266560168 836469591 /nfs/dbraw/zinc/46/95/91/836469591.db2.gz BYAMHKQELMSVKI-UHFFFAOYSA-N 1 2 308.451 1.834 20 30 DDEDLO CCC[N@@H+](CCNC(=O)C#CC(C)C)Cc1snnc1C ZINC001266560168 836469594 /nfs/dbraw/zinc/46/95/94/836469594.db2.gz BYAMHKQELMSVKI-UHFFFAOYSA-N 1 2 308.451 1.834 20 30 DDEDLO CCC[N@H+](CCNC(=O)C#CC(C)C)Cc1ncc(C)cn1 ZINC001266560457 836470470 /nfs/dbraw/zinc/47/04/70/836470470.db2.gz NNFLOQMFKOSHAN-UHFFFAOYSA-N 1 2 302.422 1.773 20 30 DDEDLO CCC[N@@H+](CCNC(=O)C#CC(C)C)Cc1ncc(C)cn1 ZINC001266560457 836470475 /nfs/dbraw/zinc/47/04/75/836470475.db2.gz NNFLOQMFKOSHAN-UHFFFAOYSA-N 1 2 302.422 1.773 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@@H]2C[N@@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001271874251 844172457 /nfs/dbraw/zinc/17/24/57/844172457.db2.gz VXPOWNHPZRFXKP-KFWWJZLASA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@@H]2C[N@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001271874251 844172466 /nfs/dbraw/zinc/17/24/66/844172466.db2.gz VXPOWNHPZRFXKP-KFWWJZLASA-N 1 2 317.437 1.308 20 30 DDEDLO CCc1nc(C[N@@H+]2CC[C@@H](CCNC(=O)C#CC(C)C)C2)no1 ZINC001267554777 838295916 /nfs/dbraw/zinc/29/59/16/838295916.db2.gz BVVIMGSXGRIJAV-CQSZACIVSA-N 1 2 318.421 1.620 20 30 DDEDLO CCc1nc(C[N@H+]2CC[C@@H](CCNC(=O)C#CC(C)C)C2)no1 ZINC001267554777 838295923 /nfs/dbraw/zinc/29/59/23/838295923.db2.gz BVVIMGSXGRIJAV-CQSZACIVSA-N 1 2 318.421 1.620 20 30 DDEDLO C[C@H](CC(=O)N(C)CC[NH+]1CCN(CC#N)CC1)n1cccc1 ZINC001267618339 838503897 /nfs/dbraw/zinc/50/38/97/838503897.db2.gz MSNAWUNGACNELY-MRXNPFEDSA-N 1 2 317.437 1.039 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC1 ZINC001267700300 838676627 /nfs/dbraw/zinc/67/66/27/838676627.db2.gz MVMOHKVVQRKKOW-BPUTZDHNSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC1 ZINC001267700300 838676633 /nfs/dbraw/zinc/67/66/33/838676633.db2.gz MVMOHKVVQRKKOW-BPUTZDHNSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCOCC(F)F ZINC001267711311 838697591 /nfs/dbraw/zinc/69/75/91/838697591.db2.gz RIVLIGXXJYBWTR-RYUDHWBXSA-N 1 2 302.365 1.765 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCOCC(F)F ZINC001267711311 838697594 /nfs/dbraw/zinc/69/75/94/838697594.db2.gz RIVLIGXXJYBWTR-RYUDHWBXSA-N 1 2 302.365 1.765 20 30 DDEDLO C#CCOCCC(=O)N(C)CC[N@@H+](C)[C@@H](C)c1ncc(C)o1 ZINC001268237405 839874729 /nfs/dbraw/zinc/87/47/29/839874729.db2.gz HOQCWWMWTODGGY-AWEZNQCLSA-N 1 2 307.394 1.474 20 30 DDEDLO C#CCOCCC(=O)N(C)CC[N@H+](C)[C@@H](C)c1ncc(C)o1 ZINC001268237405 839874745 /nfs/dbraw/zinc/87/47/45/839874745.db2.gz HOQCWWMWTODGGY-AWEZNQCLSA-N 1 2 307.394 1.474 20 30 DDEDLO CCN(CC[NH2+]Cc1nc2c(o1)CCCC2)C(=O)[C@@H](C)C#N ZINC001268440552 840191350 /nfs/dbraw/zinc/19/13/50/840191350.db2.gz MDVFSOYCSMSOLP-LBPRGKRZSA-N 1 2 304.394 1.651 20 30 DDEDLO CCN(CC[NH2+]Cc1nc(CC(C)C)no1)C(=O)C#CC1CC1 ZINC001268448018 840204934 /nfs/dbraw/zinc/20/49/34/840204934.db2.gz BBNCULPIBPRSAN-UHFFFAOYSA-N 1 2 318.421 1.620 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)C[NH2+]Cc2nc(C)no2)CCOCC1 ZINC001268712711 840707161 /nfs/dbraw/zinc/70/71/61/840707161.db2.gz CTHYCGRTDLKAIJ-LBPRGKRZSA-N 1 2 322.409 1.345 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@H+]1[C@@H]1CCN(CC)C1=O ZINC001269239745 841404874 /nfs/dbraw/zinc/40/48/74/841404874.db2.gz DQCREEURUOPVFP-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@@H+]1[C@@H]1CCN(CC)C1=O ZINC001269239745 841404875 /nfs/dbraw/zinc/40/48/75/841404875.db2.gz DQCREEURUOPVFP-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@H+](Cc2nc(C)cs2)C1 ZINC001269241452 841407791 /nfs/dbraw/zinc/40/77/91/841407791.db2.gz VPUJKNVCGQLVJS-AWEZNQCLSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2nc(C)cs2)C1 ZINC001269241452 841407800 /nfs/dbraw/zinc/40/78/00/841407800.db2.gz VPUJKNVCGQLVJS-AWEZNQCLSA-N 1 2 321.446 1.524 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001269281694 841464900 /nfs/dbraw/zinc/46/49/00/841464900.db2.gz UHSVPMXIHHZZOD-AWEZNQCLSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)[C@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001269281694 841464904 /nfs/dbraw/zinc/46/49/04/841464904.db2.gz UHSVPMXIHHZZOD-AWEZNQCLSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(CC)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269345698 841548487 /nfs/dbraw/zinc/54/84/87/841548487.db2.gz UMOSKRPQMZFGPA-CABCVRRESA-N 1 2 320.437 1.151 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(CC)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269345698 841548481 /nfs/dbraw/zinc/54/84/81/841548481.db2.gz UMOSKRPQMZFGPA-CABCVRRESA-N 1 2 320.437 1.151 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H](C)Cc1ccc(OC)cc1 ZINC001269352592 841561167 /nfs/dbraw/zinc/56/11/67/841561167.db2.gz VFSULHQLGMTUJN-GDBMZVCRSA-N 1 2 300.402 1.698 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H](C)Cc1ccc(OC)cc1 ZINC001269352592 841561175 /nfs/dbraw/zinc/56/11/75/841561175.db2.gz VFSULHQLGMTUJN-GDBMZVCRSA-N 1 2 300.402 1.698 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C1C[NH+](Cc2ccc(OC)cc2)C1 ZINC001269780545 842041894 /nfs/dbraw/zinc/04/18/94/842041894.db2.gz ISXJNRPVBOLZMX-GOSISDBHSA-N 1 2 318.417 1.665 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cc(C3CC3)n(C)n2)C1 ZINC001269800536 842059236 /nfs/dbraw/zinc/05/92/36/842059236.db2.gz NPGDISQQUGHGRA-UHFFFAOYSA-N 1 2 318.421 1.256 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)CCCOC2CCOCC2)C1 ZINC001269876226 842124168 /nfs/dbraw/zinc/12/41/68/842124168.db2.gz QECPDJFDSNYZHP-UHFFFAOYSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H](CC[NH2+]Cc2nnn(C)n2)C1 ZINC001326337275 861290903 /nfs/dbraw/zinc/29/09/03/861290903.db2.gz BPSNTNNYHUWWSJ-KBPBESRZSA-N 1 2 320.441 1.141 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2c[nH]cn2)cc1C#N ZINC001270290674 842461785 /nfs/dbraw/zinc/46/17/85/842461785.db2.gz AMOMMPYGFJHKFE-OKILXGFUSA-N 1 2 324.388 1.109 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2c[nH]cn2)cc1C#N ZINC001270290674 842461788 /nfs/dbraw/zinc/46/17/88/842461788.db2.gz AMOMMPYGFJHKFE-OKILXGFUSA-N 1 2 324.388 1.109 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2[C@@H]1CC[N@@H+]2Cc1nc2ccccc2[nH]1 ZINC001270507012 842626788 /nfs/dbraw/zinc/62/67/88/842626788.db2.gz GKBCXZXBWQMSRU-RCBQFDQVSA-N 1 2 323.400 1.898 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2[C@@H]1CC[N@H+]2Cc1nc2ccccc2[nH]1 ZINC001270507012 842626794 /nfs/dbraw/zinc/62/67/94/842626794.db2.gz GKBCXZXBWQMSRU-RCBQFDQVSA-N 1 2 323.400 1.898 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2CC[C@@](C)(NC(=O)C#CC3CC3)C2)n1 ZINC001270582409 842691045 /nfs/dbraw/zinc/69/10/45/842691045.db2.gz GXOSVYMXERZUCB-GOSISDBHSA-N 1 2 314.433 1.958 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2CC[C@@](C)(NC(=O)C#CC3CC3)C2)n1 ZINC001270582409 842691051 /nfs/dbraw/zinc/69/10/51/842691051.db2.gz GXOSVYMXERZUCB-GOSISDBHSA-N 1 2 314.433 1.958 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)C4(C)CCC4)C3)C2)cc1C#N ZINC001270596542 842702400 /nfs/dbraw/zinc/70/24/00/842702400.db2.gz OVVLFWUYKIGXTO-UHFFFAOYSA-N 1 2 312.417 1.731 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)CCc2ccncc2)C1 ZINC001270657394 842783495 /nfs/dbraw/zinc/78/34/95/842783495.db2.gz IJBWUIGDXGUVPO-MRXNPFEDSA-N 1 2 303.406 1.407 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)CCc2ccncc2)C1 ZINC001270657394 842783498 /nfs/dbraw/zinc/78/34/98/842783498.db2.gz IJBWUIGDXGUVPO-MRXNPFEDSA-N 1 2 303.406 1.407 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2C[N@H+](CCOC)CCO2)CCCC1 ZINC001270706645 842836455 /nfs/dbraw/zinc/83/64/55/842836455.db2.gz FPHSYOMJIWRLOO-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2C[N@@H+](CCOC)CCO2)CCCC1 ZINC001270706645 842836459 /nfs/dbraw/zinc/83/64/59/842836459.db2.gz FPHSYOMJIWRLOO-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@]1(O)CC[N@H+](Cc2cscn2)C1 ZINC001271202041 843408396 /nfs/dbraw/zinc/40/83/96/843408396.db2.gz ZUPZTPAIEDYSEH-MRXNPFEDSA-N 1 2 323.462 1.798 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@]1(O)CC[N@@H+](Cc2cscn2)C1 ZINC001271202041 843408406 /nfs/dbraw/zinc/40/84/06/843408406.db2.gz ZUPZTPAIEDYSEH-MRXNPFEDSA-N 1 2 323.462 1.798 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)c2ccccc2CCC)C1 ZINC001271319766 843492754 /nfs/dbraw/zinc/49/27/54/843492754.db2.gz RBQAMWSJVHBWRM-UHFFFAOYSA-N 1 2 302.418 1.992 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001154751163 861422615 /nfs/dbraw/zinc/42/26/15/861422615.db2.gz PEHCHILHDLLTKE-OLZOCXBDSA-N 1 2 323.441 1.037 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001154751163 861422621 /nfs/dbraw/zinc/42/26/21/861422621.db2.gz PEHCHILHDLLTKE-OLZOCXBDSA-N 1 2 323.441 1.037 20 30 DDEDLO O=C(/C=C\c1ccc(F)cc1)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC001154809203 861474494 /nfs/dbraw/zinc/47/44/94/861474494.db2.gz RWNALHPKXUUFNG-XSHSDMCLSA-N 1 2 313.332 1.736 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1c[nH]c(=O)cc1OC ZINC001409616002 845435540 /nfs/dbraw/zinc/43/55/40/845435540.db2.gz UEIGSYOEQKCURV-JTQLQIEISA-N 1 2 313.785 1.598 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1c[nH]c(=O)cc1OC ZINC001409616002 845435542 /nfs/dbraw/zinc/43/55/42/845435542.db2.gz UEIGSYOEQKCURV-JTQLQIEISA-N 1 2 313.785 1.598 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C1CC(F)(F)C1 ZINC001233529447 846047422 /nfs/dbraw/zinc/04/74/22/846047422.db2.gz LSEQANXQYQECLK-ZDUSSCGKSA-N 1 2 300.349 1.214 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)C1CC(F)(F)C1 ZINC001233529447 846047430 /nfs/dbraw/zinc/04/74/30/846047430.db2.gz LSEQANXQYQECLK-ZDUSSCGKSA-N 1 2 300.349 1.214 20 30 DDEDLO CN1C[C@]2(CC[N@H+](Cc3ccc(F)cc3C#N)C2)OCC1=O ZINC001272388504 846094326 /nfs/dbraw/zinc/09/43/26/846094326.db2.gz LFIDRMIKFOFTHX-INIZCTEOSA-N 1 2 303.337 1.130 20 30 DDEDLO CN1C[C@]2(CC[N@@H+](Cc3ccc(F)cc3C#N)C2)OCC1=O ZINC001272388504 846094331 /nfs/dbraw/zinc/09/43/31/846094331.db2.gz LFIDRMIKFOFTHX-INIZCTEOSA-N 1 2 303.337 1.130 20 30 DDEDLO CCCCCCCCS(=O)(=O)N1CC[NH2+][C@@H](COC)C1 ZINC001238986432 846823070 /nfs/dbraw/zinc/82/30/70/846823070.db2.gz PNFSHJZHMFABQY-CQSZACIVSA-N 1 2 306.472 1.597 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccnc2)c[nH]1 ZINC001032361005 846993761 /nfs/dbraw/zinc/99/37/61/846993761.db2.gz BKUBRAUXXWYWSB-HOTGVXAUSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccnc2)c[nH]1 ZINC001032361005 846993766 /nfs/dbraw/zinc/99/37/66/846993766.db2.gz BKUBRAUXXWYWSB-HOTGVXAUSA-N 1 2 307.357 1.380 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1ncccc1F ZINC001272796290 847438327 /nfs/dbraw/zinc/43/83/27/847438327.db2.gz XOEZVEUGGUILRM-QGZVFWFLSA-N 1 2 301.365 1.811 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1ncccc1F ZINC001272796290 847438332 /nfs/dbraw/zinc/43/83/32/847438332.db2.gz XOEZVEUGGUILRM-QGZVFWFLSA-N 1 2 301.365 1.811 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCCC[N@H+](Cc3ccon3)C2)[nH]1 ZINC001034166554 848062301 /nfs/dbraw/zinc/06/23/01/848062301.db2.gz YLNRURBOAUCEDL-ZDUSSCGKSA-N 1 2 313.361 1.659 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCCC[N@@H+](Cc3ccon3)C2)[nH]1 ZINC001034166554 848062307 /nfs/dbraw/zinc/06/23/07/848062307.db2.gz YLNRURBOAUCEDL-ZDUSSCGKSA-N 1 2 313.361 1.659 20 30 DDEDLO CC#CC[N@@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744806 861869221 /nfs/dbraw/zinc/86/92/21/861869221.db2.gz DWPOMOXMARIITN-WDEREUQCSA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[N@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744806 861869240 /nfs/dbraw/zinc/86/92/40/861869240.db2.gz DWPOMOXMARIITN-WDEREUQCSA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149744806 861869258 /nfs/dbraw/zinc/86/92/58/861869258.db2.gz DWPOMOXMARIITN-WDEREUQCSA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149744806 861869282 /nfs/dbraw/zinc/86/92/82/861869282.db2.gz DWPOMOXMARIITN-WDEREUQCSA-N 1 2 310.291 1.117 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(F)cn2)[C@@H](O)C1 ZINC001090209404 848408578 /nfs/dbraw/zinc/40/85/78/848408578.db2.gz IACPQMIHWMEKDZ-AAEUAGOBSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(F)cn2)[C@@H](O)C1 ZINC001090209404 848408584 /nfs/dbraw/zinc/40/85/84/848408584.db2.gz IACPQMIHWMEKDZ-AAEUAGOBSA-N 1 2 313.760 1.138 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H]1CCCCN(CC#N)C1 ZINC001034408170 848453490 /nfs/dbraw/zinc/45/34/90/848453490.db2.gz HLSFXTQBDTXCKB-MGPQQGTHSA-N 1 2 301.394 1.018 20 30 DDEDLO C=CCCC(=O)N1CCO[C@H](C[NH2+][C@H](C)c2nc(C)no2)C1 ZINC001273235802 848962296 /nfs/dbraw/zinc/96/22/96/848962296.db2.gz CRQQEFDVUAHNOL-DGCLKSJQSA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C(C)(C)C)O2 ZINC001327363360 862105508 /nfs/dbraw/zinc/10/55/08/862105508.db2.gz GAIJQXLIYHNHAR-AWEZNQCLSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](CC(C)(C)OCC)C[C@@]2(F)C1=O ZINC001273472731 850996349 /nfs/dbraw/zinc/99/63/49/850996349.db2.gz LFCSWEFPHASEFH-LSDHHAIUSA-N 1 2 302.365 1.562 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](CC(C)(C)OCC)C[C@@]2(F)C1=O ZINC001273472731 850996357 /nfs/dbraw/zinc/99/63/57/850996357.db2.gz LFCSWEFPHASEFH-LSDHHAIUSA-N 1 2 302.365 1.562 20 30 DDEDLO CN1C[C@@]2(CC1=O)COCC[N@H+](Cc1cccc(C#N)c1F)C2 ZINC001273641541 851177556 /nfs/dbraw/zinc/17/75/56/851177556.db2.gz GDAOZEMIHYFXKA-QGZVFWFLSA-N 1 2 317.364 1.378 20 30 DDEDLO CN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1cccc(C#N)c1F)C2 ZINC001273641541 851177563 /nfs/dbraw/zinc/17/75/63/851177563.db2.gz GDAOZEMIHYFXKA-QGZVFWFLSA-N 1 2 317.364 1.378 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CCC2(C[NH+](CCOC)C2)O1 ZINC001273668856 851204515 /nfs/dbraw/zinc/20/45/15/851204515.db2.gz IGPSAXNYXFWQOV-AWEZNQCLSA-N 1 2 310.438 1.585 20 30 DDEDLO CC(C)COCC[NH+]1CC2(C1)C[C@H](NC(=O)C#CC1CC1)CO2 ZINC001273694863 851234013 /nfs/dbraw/zinc/23/40/13/851234013.db2.gz AIOLISLZQLQZNO-INIZCTEOSA-N 1 2 320.433 1.032 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)CO[C@@H]3CCOC3)cc2C1 ZINC001274027846 851866147 /nfs/dbraw/zinc/86/61/47/851866147.db2.gz NRWWBGVKESWSIC-QGZVFWFLSA-N 1 2 314.385 1.057 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)CO[C@@H]3CCOC3)cc2C1 ZINC001274027846 851866152 /nfs/dbraw/zinc/86/61/52/851866152.db2.gz NRWWBGVKESWSIC-QGZVFWFLSA-N 1 2 314.385 1.057 20 30 DDEDLO COC(C)(C)CN1CC2(C[NH+](CCCCC#N)C2)OCC1=O ZINC001274044209 851884394 /nfs/dbraw/zinc/88/43/94/851884394.db2.gz ZYZLXODCQUIDGZ-UHFFFAOYSA-N 1 2 309.410 1.018 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@H+](Cc3ncsc3C)C2)OCC1=O ZINC001274506934 852341377 /nfs/dbraw/zinc/34/13/77/852341377.db2.gz NQKBBDGLPFWMEO-INIZCTEOSA-N 1 2 321.446 1.831 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@@H+](Cc3ncsc3C)C2)OCC1=O ZINC001274506934 852341384 /nfs/dbraw/zinc/34/13/84/852341384.db2.gz NQKBBDGLPFWMEO-INIZCTEOSA-N 1 2 321.446 1.831 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1C[C@]2(F)CN([C@@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274619933 852453534 /nfs/dbraw/zinc/45/35/34/852453534.db2.gz FOKUWVRSOCULRD-LQKXBSAESA-N 1 2 316.392 1.686 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1C[C@]2(F)CN([C@@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274619933 852453546 /nfs/dbraw/zinc/45/35/46/852453546.db2.gz FOKUWVRSOCULRD-LQKXBSAESA-N 1 2 316.392 1.686 20 30 DDEDLO CC(=O)[C@@H](C)N1CC[C@@]2(CC[N@H+](Cc3[nH]ccc3C#N)C2)C1=O ZINC001274855862 852643808 /nfs/dbraw/zinc/64/38/08/852643808.db2.gz SZFRUQMITMCPKW-SJKOYZFVSA-N 1 2 314.389 1.288 20 30 DDEDLO CC(=O)[C@@H](C)N1CC[C@@]2(CC[N@@H+](Cc3[nH]ccc3C#N)C2)C1=O ZINC001274855862 852643810 /nfs/dbraw/zinc/64/38/10/852643810.db2.gz SZFRUQMITMCPKW-SJKOYZFVSA-N 1 2 314.389 1.288 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C[NH2+][C@@H](C)c2nc(C)no2)cc1 ZINC001274878492 852662393 /nfs/dbraw/zinc/66/23/93/852662393.db2.gz ZHOAIBMRCGRZBZ-NEPJUHHUSA-N 1 2 312.373 1.828 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1ncc(CC)o1 ZINC001275094850 852801239 /nfs/dbraw/zinc/80/12/39/852801239.db2.gz UGRVXNDZDWLLRX-AWEZNQCLSA-N 1 2 321.421 1.862 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1ncc(CC)o1 ZINC001275094850 852801245 /nfs/dbraw/zinc/80/12/45/852801245.db2.gz UGRVXNDZDWLLRX-AWEZNQCLSA-N 1 2 321.421 1.862 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)C[N@H+](C)Cc1cc2n(n1)CCCC2 ZINC001275521078 853275806 /nfs/dbraw/zinc/27/58/06/853275806.db2.gz MHQZAPBBCTWGQD-AWEZNQCLSA-N 1 2 320.437 1.359 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)C[N@@H+](C)Cc1cc2n(n1)CCCC2 ZINC001275521078 853275811 /nfs/dbraw/zinc/27/58/11/853275811.db2.gz MHQZAPBBCTWGQD-AWEZNQCLSA-N 1 2 320.437 1.359 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C[N@H+](C)CC(=O)N(C)c1nccs1 ZINC001275521624 853276223 /nfs/dbraw/zinc/27/62/23/853276223.db2.gz UPCUSWXRNIPEPQ-GFCCVEGCSA-N 1 2 324.450 1.509 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C[N@@H+](C)CC(=O)N(C)c1nccs1 ZINC001275521624 853276228 /nfs/dbraw/zinc/27/62/28/853276228.db2.gz UPCUSWXRNIPEPQ-GFCCVEGCSA-N 1 2 324.450 1.509 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H](C)C[N@H+](C)[C@@H](C)c2ncccn2)c1 ZINC001275648507 853491247 /nfs/dbraw/zinc/49/12/47/853491247.db2.gz LCLSZHUIEOFQDB-KBPBESRZSA-N 1 2 323.400 1.664 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H](C)C[N@@H+](C)[C@@H](C)c2ncccn2)c1 ZINC001275648507 853491249 /nfs/dbraw/zinc/49/12/49/853491249.db2.gz LCLSZHUIEOFQDB-KBPBESRZSA-N 1 2 323.400 1.664 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H](C)C[N@H+](C)Cc1cc(C)on1 ZINC001275671578 853538648 /nfs/dbraw/zinc/53/86/48/853538648.db2.gz BKJNCLDLBKPVIP-KCXAZCMYSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H](C)C[N@@H+](C)Cc1cc(C)on1 ZINC001275671578 853538650 /nfs/dbraw/zinc/53/86/50/853538650.db2.gz BKJNCLDLBKPVIP-KCXAZCMYSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1nnn(C(C)C)c1C ZINC001445819141 853666194 /nfs/dbraw/zinc/66/61/94/853666194.db2.gz AJYVCLHSGSBJJW-LLVKDONJSA-N 1 2 313.833 1.970 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1nnn(C(C)C)c1C ZINC001445819141 853666199 /nfs/dbraw/zinc/66/61/99/853666199.db2.gz AJYVCLHSGSBJJW-LLVKDONJSA-N 1 2 313.833 1.970 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1(CCO)CN(Cc2c[nH+]cn2C)C1 ZINC001276082457 854722043 /nfs/dbraw/zinc/72/20/43/854722043.db2.gz IJPMKTUHSADOAI-MRXNPFEDSA-N 1 2 320.437 1.075 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@@H](CC)OC2CCCC2)C1 ZINC001276088683 854735551 /nfs/dbraw/zinc/73/55/51/854735551.db2.gz QPYYOIRRNVTSLU-MRXNPFEDSA-N 1 2 324.465 1.853 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@H]2CCO[C@H](CC)C2)C1 ZINC001276094376 854755407 /nfs/dbraw/zinc/75/54/07/854755407.db2.gz GPKGYCWRNJGRNA-LSDHHAIUSA-N 1 2 310.438 1.321 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)NCc2[nH]c3c([nH+]2)CCCC3)cc1 ZINC001412676408 854926553 /nfs/dbraw/zinc/92/65/53/854926553.db2.gz HSACMLCBLWAZQV-INIZCTEOSA-N 1 2 310.357 1.510 20 30 DDEDLO Cc1nc(N(C)CCCNC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001095746724 855291044 /nfs/dbraw/zinc/29/10/44/855291044.db2.gz YWVVJPYJEFHVFW-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)c1nc(N)nc(N(C)C)n1)c1cccc(C#N)c1 ZINC001413057732 856261784 /nfs/dbraw/zinc/26/17/84/856261784.db2.gz CPCHDEIVKNIHPV-MNOVXSKESA-N 1 2 311.393 1.803 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001072552864 857438746 /nfs/dbraw/zinc/43/87/46/857438746.db2.gz SXSPMJODUBGLKH-QWRGUYRKSA-N 1 2 303.366 1.133 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001072552864 857438748 /nfs/dbraw/zinc/43/87/48/857438748.db2.gz SXSPMJODUBGLKH-QWRGUYRKSA-N 1 2 303.366 1.133 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072604386 857505469 /nfs/dbraw/zinc/50/54/69/857505469.db2.gz XKEHZGXOGUIVFS-KGLIPLIRSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001072629798 857531605 /nfs/dbraw/zinc/53/16/05/857531605.db2.gz MQMWFPWTWJTTTP-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CC[N@H+](Cc1cc3n(n1)CCC3)C2 ZINC001072721138 857639140 /nfs/dbraw/zinc/63/91/40/857639140.db2.gz FYISKIUKPMSFBY-UHFFFAOYSA-N 1 2 324.428 1.277 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CC[N@@H+](Cc1cc3n(n1)CCC3)C2 ZINC001072721138 857639146 /nfs/dbraw/zinc/63/91/46/857639146.db2.gz FYISKIUKPMSFBY-UHFFFAOYSA-N 1 2 324.428 1.277 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C(C)(C)C1 ZINC001073420563 858320894 /nfs/dbraw/zinc/32/08/94/858320894.db2.gz DJVGAKNAQFRJSK-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cncs2)C1 ZINC001073549317 858428802 /nfs/dbraw/zinc/42/88/02/858428802.db2.gz YBRUGWNTSJWEDD-NSHDSACASA-N 1 2 315.826 1.716 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cncs2)C1 ZINC001073549317 858428803 /nfs/dbraw/zinc/42/88/03/858428803.db2.gz YBRUGWNTSJWEDD-NSHDSACASA-N 1 2 315.826 1.716 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cc[nH]c2C)C1 ZINC001073570459 858448348 /nfs/dbraw/zinc/44/83/48/858448348.db2.gz TUWCVOZSDWKRAW-CYBMUJFWSA-N 1 2 311.813 1.896 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cc[nH]c2C)C1 ZINC001073570459 858448352 /nfs/dbraw/zinc/44/83/52/858448352.db2.gz TUWCVOZSDWKRAW-CYBMUJFWSA-N 1 2 311.813 1.896 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cc(C)ncn2)C1 ZINC001073575834 858454086 /nfs/dbraw/zinc/45/40/86/858454086.db2.gz PSSHFMUYQOEPRQ-CYBMUJFWSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cc(C)ncn2)C1 ZINC001073575834 858454092 /nfs/dbraw/zinc/45/40/92/858454092.db2.gz PSSHFMUYQOEPRQ-CYBMUJFWSA-N 1 2 324.812 1.358 20 30 DDEDLO CC(C)(C)C#CCOC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001122995654 859045154 /nfs/dbraw/zinc/04/51/54/859045154.db2.gz SNYNSPSCQGOTQJ-CABCVRRESA-N 1 2 309.406 1.459 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)NCc1cc[nH+]c(NCC)c1 ZINC001123672857 859354697 /nfs/dbraw/zinc/35/46/97/859354697.db2.gz IEMHPSPEOZILCC-AWEZNQCLSA-N 1 2 304.394 1.741 20 30 DDEDLO C=CCOC[C@@H]1CCC[C@@]12C[N@H+](Cc1cnc(N)nc1)CCO2 ZINC001138164353 860024035 /nfs/dbraw/zinc/02/40/35/860024035.db2.gz PGUPLUZJLHFZAQ-DOTOQJQBSA-N 1 2 318.421 1.633 20 30 DDEDLO C=CCOC[C@@H]1CCC[C@@]12C[N@@H+](Cc1cnc(N)nc1)CCO2 ZINC001138164353 860024036 /nfs/dbraw/zinc/02/40/36/860024036.db2.gz PGUPLUZJLHFZAQ-DOTOQJQBSA-N 1 2 318.421 1.633 20 30 DDEDLO NC(=O)[C@H]1C[N@H+](Cc2ccc(C#Cc3ccccc3)cc2)CCO1 ZINC001138335796 860061275 /nfs/dbraw/zinc/06/12/75/860061275.db2.gz BGNSZBJMZBYFMR-LJQANCHMSA-N 1 2 320.392 1.773 20 30 DDEDLO NC(=O)[C@H]1C[N@@H+](Cc2ccc(C#Cc3ccccc3)cc2)CCO1 ZINC001138335796 860061277 /nfs/dbraw/zinc/06/12/77/860061277.db2.gz BGNSZBJMZBYFMR-LJQANCHMSA-N 1 2 320.392 1.773 20 30 DDEDLO C=CCn1cc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)cn1 ZINC001139772349 860478205 /nfs/dbraw/zinc/47/82/05/860478205.db2.gz GBWYXBOAXIQWAO-UHFFFAOYSA-N 1 2 308.389 1.658 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(Cc3ccc(F)cc3C#N)C2)C[C@H](C)O1 ZINC001141168341 860800953 /nfs/dbraw/zinc/80/09/53/860800953.db2.gz KNQRGBRFXBKRAY-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(Cc3ccc(F)cc3C#N)C2)C[C@H](C)O1 ZINC001141168341 860800955 /nfs/dbraw/zinc/80/09/55/860800955.db2.gz KNQRGBRFXBKRAY-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001325934580 860967907 /nfs/dbraw/zinc/96/79/07/860967907.db2.gz FRDBOBQYPRATMV-JKSUJKDBSA-N 1 2 314.433 1.502 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001325934580 860967914 /nfs/dbraw/zinc/96/79/14/860967914.db2.gz FRDBOBQYPRATMV-JKSUJKDBSA-N 1 2 314.433 1.502 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC001328934691 863349653 /nfs/dbraw/zinc/34/96/53/863349653.db2.gz MQILJRFIWJOBLK-UONOGXRCSA-N 1 2 304.394 1.518 20 30 DDEDLO N#Cc1ccc2c(c1)[nH]nc2C(=O)Nc1cc[nH+]c2c1CCC2 ZINC001156878825 863410230 /nfs/dbraw/zinc/41/02/30/863410230.db2.gz QISHDGFSIPEECN-UHFFFAOYSA-N 1 2 303.325 1.992 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)CCCCC#N)C2 ZINC001329065590 863454252 /nfs/dbraw/zinc/45/42/52/863454252.db2.gz FETYXYVHIFSQBU-ZDUSSCGKSA-N 1 2 304.394 1.241 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)NCc2ccn3cc[nH+]c3c2)CC1 ZINC001329080721 863462770 /nfs/dbraw/zinc/46/27/70/863462770.db2.gz IGOPNOWUDOMMQC-UHFFFAOYSA-N 1 2 312.373 1.375 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1C ZINC001153013014 863653423 /nfs/dbraw/zinc/65/34/23/863653423.db2.gz XRALFFBCMHYZET-CZUORRHYSA-N 1 2 308.426 1.074 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1C ZINC001153013014 863653427 /nfs/dbraw/zinc/65/34/27/863653427.db2.gz XRALFFBCMHYZET-CZUORRHYSA-N 1 2 308.426 1.074 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nonc1C ZINC001157217308 863657734 /nfs/dbraw/zinc/65/77/34/863657734.db2.gz MZNWJSMZIHBCBH-YPMHNXCESA-N 1 2 310.398 1.296 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nonc1C ZINC001157217308 863657740 /nfs/dbraw/zinc/65/77/40/863657740.db2.gz MZNWJSMZIHBCBH-YPMHNXCESA-N 1 2 310.398 1.296 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001329718522 863808452 /nfs/dbraw/zinc/80/84/52/863808452.db2.gz WVFOELZTCFDHDH-UYSNPLJNSA-N 1 2 306.450 1.942 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C1C[NH+](Cc2cc(OC)no2)C1 ZINC001329984304 863994022 /nfs/dbraw/zinc/99/40/22/863994022.db2.gz OCAQZNGTWDOBSE-LBPRGKRZSA-N 1 2 305.378 1.423 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@H](NC(=O)C#CC(C)C)C(C)(C)C2)nn1C ZINC001330204488 864154600 /nfs/dbraw/zinc/15/46/00/864154600.db2.gz TZOMEHUTHMHXFL-INIZCTEOSA-N 1 2 316.449 1.715 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@H](NC(=O)C#CC(C)C)C(C)(C)C2)nn1C ZINC001330204488 864154602 /nfs/dbraw/zinc/15/46/02/864154602.db2.gz TZOMEHUTHMHXFL-INIZCTEOSA-N 1 2 316.449 1.715 20 30 DDEDLO CN(C)C(=O)C[N@H+]1C[C@H]2C[C@@H](C1)N2c1ccc(F)c(C#N)c1 ZINC001277034964 881783326 /nfs/dbraw/zinc/78/33/26/881783326.db2.gz CNQOFSUGHKGKMA-OKILXGFUSA-N 1 2 302.353 1.048 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1C[C@H]2C[C@@H](C1)N2c1ccc(F)c(C#N)c1 ZINC001277034964 881783338 /nfs/dbraw/zinc/78/33/38/881783338.db2.gz CNQOFSUGHKGKMA-OKILXGFUSA-N 1 2 302.353 1.048 20 30 DDEDLO C#CCC1(C(=O)NCCC[NH2+][C@@H](C)c2noc(C)n2)CCC1 ZINC001159643984 865447011 /nfs/dbraw/zinc/44/70/11/865447011.db2.gz CCQYHQWNLPYBCE-LBPRGKRZSA-N 1 2 304.394 1.729 20 30 DDEDLO CC[C@@H]([NH2+]Cc1nnc2n1CCOC2)c1cccc(C#N)c1O ZINC001332435846 865839439 /nfs/dbraw/zinc/83/94/39/865839439.db2.gz ZMGSRFXSAUXDDP-CYBMUJFWSA-N 1 2 313.361 1.626 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1nccc(Cl)c1C#N ZINC001160691989 866033136 /nfs/dbraw/zinc/03/31/36/866033136.db2.gz ZSLPNVZIWGTCDX-GFCCVEGCSA-N 1 2 319.752 1.536 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1cc(C#N)cc(Cl)n1 ZINC001160691976 866034089 /nfs/dbraw/zinc/03/40/89/866034089.db2.gz ZFISAZZKSBLSLX-NSHDSACASA-N 1 2 319.752 1.536 20 30 DDEDLO CCOC(=O)C[NH+]1CCC(Nc2cc(C)c(C#N)cn2)CC1 ZINC001161082072 866358331 /nfs/dbraw/zinc/35/83/31/866358331.db2.gz ACVRHFFUROYMIO-UHFFFAOYSA-N 1 2 302.378 1.701 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1=CCCCCC1 ZINC001323215564 866438159 /nfs/dbraw/zinc/43/81/59/866438159.db2.gz XXOMZAFJQJNQKV-HOCLYGCPSA-N 1 2 319.449 1.758 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C1=CCCCCC1 ZINC001323215564 866438161 /nfs/dbraw/zinc/43/81/61/866438161.db2.gz XXOMZAFJQJNQKV-HOCLYGCPSA-N 1 2 319.449 1.758 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)COCCc1cccc(F)c1 ZINC001323244582 866465091 /nfs/dbraw/zinc/46/50/91/866465091.db2.gz AHANYOVUHQGVHS-KRWDZBQOSA-N 1 2 318.392 1.599 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)COCCc1cccc(F)c1 ZINC001323244582 866465104 /nfs/dbraw/zinc/46/51/04/866465104.db2.gz AHANYOVUHQGVHS-KRWDZBQOSA-N 1 2 318.392 1.599 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)CC(C)(C)CC(F)F ZINC001323272531 866489185 /nfs/dbraw/zinc/48/91/85/866489185.db2.gz KCWSDWUNEWQHPB-CYBMUJFWSA-N 1 2 316.392 1.898 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)CC(C)(C)CC(F)F ZINC001323272531 866489188 /nfs/dbraw/zinc/48/91/88/866489188.db2.gz KCWSDWUNEWQHPB-CYBMUJFWSA-N 1 2 316.392 1.898 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2([NH2+]Cc3nccc(C)n3)CC2)c1 ZINC001323541625 866676067 /nfs/dbraw/zinc/67/60/67/866676067.db2.gz VKDHQBPWTIBOMY-UHFFFAOYSA-N 1 2 321.384 1.214 20 30 DDEDLO C[C@@H]1COCC[C@@H]1C(=O)N1CCC([N@H+](CC#N)CC2CC2)CC1 ZINC001323733168 866804559 /nfs/dbraw/zinc/80/45/59/866804559.db2.gz CXJPTOUHUOAYAZ-PBHICJAKSA-N 1 2 319.449 1.886 20 30 DDEDLO C[C@@H]1COCC[C@@H]1C(=O)N1CCC([N@@H+](CC#N)CC2CC2)CC1 ZINC001323733168 866804581 /nfs/dbraw/zinc/80/45/81/866804581.db2.gz CXJPTOUHUOAYAZ-PBHICJAKSA-N 1 2 319.449 1.886 20 30 DDEDLO CC(=O)NC(C)(C)C(=O)N1CCC([N@H+](CC#N)CC2CC2)CC1 ZINC001323735857 866806490 /nfs/dbraw/zinc/80/64/90/866806490.db2.gz FANHATNAHZYFKT-UHFFFAOYSA-N 1 2 320.437 1.128 20 30 DDEDLO CC(=O)NC(C)(C)C(=O)N1CCC([N@@H+](CC#N)CC2CC2)CC1 ZINC001323735857 866806501 /nfs/dbraw/zinc/80/65/01/866806501.db2.gz FANHATNAHZYFKT-UHFFFAOYSA-N 1 2 320.437 1.128 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H]1CCN(C)C1=O ZINC001323789498 866846473 /nfs/dbraw/zinc/84/64/73/866846473.db2.gz VQDDWIXBRWXDOL-XHSDSOJGSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H]1CCN(C)C1=O ZINC001323789498 866846495 /nfs/dbraw/zinc/84/64/95/866846495.db2.gz VQDDWIXBRWXDOL-XHSDSOJGSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001323921497 866951730 /nfs/dbraw/zinc/95/17/30/866951730.db2.gz OLWNTIXQKHEXFI-AWEZNQCLSA-N 1 2 318.421 1.175 20 30 DDEDLO C[C@H](CC(=O)N[C@H](CNCC#N)C1CCCC1)n1cc[nH+]c1 ZINC001324507786 867319668 /nfs/dbraw/zinc/31/96/68/867319668.db2.gz XHBSAJNQAPUSAE-UKRRQHHQSA-N 1 2 303.410 1.622 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)N(C)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001334191086 867370948 /nfs/dbraw/zinc/37/09/48/867370948.db2.gz SHHDQGKJDNPMBI-STQMWFEESA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)N(C)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001334191086 867370960 /nfs/dbraw/zinc/37/09/60/867370960.db2.gz SHHDQGKJDNPMBI-STQMWFEESA-N 1 2 306.410 1.518 20 30 DDEDLO CCCC[C@H](CNC(=O)C#CC(C)C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001334848113 867862292 /nfs/dbraw/zinc/86/22/92/867862292.db2.gz WLMHOKWKIXAOKC-CQSZACIVSA-N 1 2 318.421 1.403 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2sc(C3CC3)nc2C)C1 ZINC001325215171 867867859 /nfs/dbraw/zinc/86/78/59/867867859.db2.gz ZYXMJRBCIUMDHA-UHFFFAOYSA-N 1 2 319.430 1.129 20 30 DDEDLO CCOCCOC1C[NH+](CCC(=O)Nc2cccc(C#N)c2)C1 ZINC001325382006 867984185 /nfs/dbraw/zinc/98/41/85/867984185.db2.gz FZCJUJNWVCEXFJ-UHFFFAOYSA-N 1 2 317.389 1.624 20 30 DDEDLO N#CCNCCN(CCc1ccccc1)C(=O)Cn1cc[nH+]c1 ZINC001163013778 867999974 /nfs/dbraw/zinc/99/99/74/867999974.db2.gz XDLBCQLQWSYJMZ-UHFFFAOYSA-N 1 2 311.389 1.068 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001335124723 868080119 /nfs/dbraw/zinc/08/01/19/868080119.db2.gz BVZSJRPIKMHMPR-SJCJKPOMSA-N 1 2 318.421 1.518 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(-c2nc(C)ccc2CC#N)cn1 ZINC001163416647 868436873 /nfs/dbraw/zinc/43/68/73/868436873.db2.gz RJMBRQRFRRCOKU-AWEZNQCLSA-N 1 2 313.361 1.075 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC1(C[NH2+]Cc2noc(C3CC3)n2)CC1 ZINC001163842241 868751497 /nfs/dbraw/zinc/75/14/97/868751497.db2.gz WNVGWADHMIVUNS-NSHDSACASA-N 1 2 317.393 1.483 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)c1cc([N+](=O)[O-])cnc1Cl ZINC001164642447 869338758 /nfs/dbraw/zinc/33/87/58/869338758.db2.gz WKGQBNRNYLEZST-MRVPVSSYSA-N 1 2 317.683 1.490 20 30 DDEDLO COc1ccc(C(F)(F)N2CC[NH2+]C[C@H]2C#N)c(Cl)n1 ZINC001164642414 869339488 /nfs/dbraw/zinc/33/94/88/869339488.db2.gz WBSJQIWPPSSDLP-MRVPVSSYSA-N 1 2 302.712 1.590 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1c1ncnc2c1ccn2Cc1ccccc1 ZINC001164650820 869341202 /nfs/dbraw/zinc/34/12/02/869341202.db2.gz BHXKRFIMPZTIQK-OAHLLOKOSA-N 1 2 318.384 1.781 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H](C)NC(=O)CCCn1cc[nH+]c1 ZINC001337164912 869356961 /nfs/dbraw/zinc/35/69/61/869356961.db2.gz FEUJHWYOWVNDTI-HNNXBMFYSA-N 1 2 318.421 1.286 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CN(C)C(=O)Cc1c[nH+]cn1C ZINC001337314303 869432453 /nfs/dbraw/zinc/43/24/53/869432453.db2.gz RMFHOSSMJSGAGB-ZDUSSCGKSA-N 1 2 306.410 1.282 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@@H](C)OC)CC1 ZINC001226298319 882330528 /nfs/dbraw/zinc/33/05/28/882330528.db2.gz BNWBZFPUCJRFAG-SNVBAGLBSA-N 1 2 305.216 1.511 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H](F)CC)C1 ZINC001316984543 870066117 /nfs/dbraw/zinc/06/61/17/870066117.db2.gz LHAYAPOEDJEOAI-KGLIPLIRSA-N 1 2 313.417 1.350 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](F)CC)C1 ZINC001316984543 870066129 /nfs/dbraw/zinc/06/61/29/870066129.db2.gz LHAYAPOEDJEOAI-KGLIPLIRSA-N 1 2 313.417 1.350 20 30 DDEDLO CCc1noc(C[N@@H+]2CCC[C@@H](CNC(=O)C#CC3CC3)C2)n1 ZINC001317131921 870323993 /nfs/dbraw/zinc/32/39/93/870323993.db2.gz URBXHMSSSLHSPI-AWEZNQCLSA-N 1 2 316.405 1.374 20 30 DDEDLO CCc1noc(C[N@H+]2CCC[C@@H](CNC(=O)C#CC3CC3)C2)n1 ZINC001317131921 870323999 /nfs/dbraw/zinc/32/39/99/870323999.db2.gz URBXHMSSSLHSPI-AWEZNQCLSA-N 1 2 316.405 1.374 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001317202783 870461028 /nfs/dbraw/zinc/46/10/28/870461028.db2.gz WDGKETCBLDEEKH-KGLIPLIRSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001317202783 870461032 /nfs/dbraw/zinc/46/10/32/870461032.db2.gz WDGKETCBLDEEKH-KGLIPLIRSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCCCC(=O)N1CCC2(CN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001339959687 870807800 /nfs/dbraw/zinc/80/78/00/870807800.db2.gz SWSWZRYRZPACFJ-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1ccccc1C(C)C ZINC001317449204 870859269 /nfs/dbraw/zinc/85/92/69/870859269.db2.gz XLSRURDLTVLTOK-UHFFFAOYSA-N 1 2 317.433 1.774 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1ccccc1C(C)C ZINC001317449204 870859284 /nfs/dbraw/zinc/85/92/84/870859284.db2.gz XLSRURDLTVLTOK-UHFFFAOYSA-N 1 2 317.433 1.774 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+](CC(=O)Nc2cc(C)on2)C1 ZINC001317521959 870984015 /nfs/dbraw/zinc/98/40/15/870984015.db2.gz IMMZLDMCTIVOKB-ZDUSSCGKSA-N 1 2 320.393 1.326 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+](CC(=O)Nc2cc(C)on2)C1 ZINC001317521959 870984018 /nfs/dbraw/zinc/98/40/18/870984018.db2.gz IMMZLDMCTIVOKB-ZDUSSCGKSA-N 1 2 320.393 1.326 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC2(CCC2)C1 ZINC001340375458 871067022 /nfs/dbraw/zinc/06/70/22/871067022.db2.gz SIXAVMMZGBZQTC-KBPBESRZSA-N 1 2 317.437 1.582 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC2(CCC2)C1 ZINC001340375458 871067036 /nfs/dbraw/zinc/06/70/36/871067036.db2.gz SIXAVMMZGBZQTC-KBPBESRZSA-N 1 2 317.437 1.582 20 30 DDEDLO Cc1nccn1CC(=O)N(C)CC[NH2+]Cc1ccccc1C#N ZINC001317662199 871353017 /nfs/dbraw/zinc/35/30/17/871353017.db2.gz WGODLYQSZVOYAN-UHFFFAOYSA-N 1 2 311.389 1.311 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@H]1CCC[C@H](F)C1 ZINC001317818790 871545070 /nfs/dbraw/zinc/54/50/70/871545070.db2.gz ICNAUKVEVKAUCT-GJZGRUSLSA-N 1 2 310.417 1.114 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H](C)C(CC)CC)C1 ZINC001317975355 871666748 /nfs/dbraw/zinc/66/67/48/871666748.db2.gz LOVWSUGVDPMUAQ-ZBFHGGJFSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)C(CC)CC)C1 ZINC001317975355 871666751 /nfs/dbraw/zinc/66/67/51/871666751.db2.gz LOVWSUGVDPMUAQ-ZBFHGGJFSA-N 1 2 321.465 1.389 20 30 DDEDLO C[C@@H](c1cnccn1)[NH+]1CC(CNC(=O)C#CC(C)(C)C)C1 ZINC001318119208 871762931 /nfs/dbraw/zinc/76/29/31/871762931.db2.gz PIEIWFRAFLAMDM-ZDUSSCGKSA-N 1 2 300.406 1.635 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1C[NH+]([C@H](C)C(=O)NCC(C)C)C1 ZINC001318139860 871775477 /nfs/dbraw/zinc/77/54/77/871775477.db2.gz JWRXVLVHXRZKEA-CQSZACIVSA-N 1 2 323.481 1.797 20 30 DDEDLO C=CCCCC(=O)NCC1C[NH+](Cc2cncc(OC)n2)C1 ZINC001318148454 871779055 /nfs/dbraw/zinc/77/90/55/871779055.db2.gz HYBVAEAXNRMYGY-UHFFFAOYSA-N 1 2 304.394 1.390 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C1 ZINC001318239560 871864428 /nfs/dbraw/zinc/86/44/28/871864428.db2.gz VNWLWHKTGZARKI-BBRMVZONSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001318239560 871864445 /nfs/dbraw/zinc/86/44/45/871864445.db2.gz VNWLWHKTGZARKI-BBRMVZONSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2(CC(C)C)CCC2)C1 ZINC001318283257 871905116 /nfs/dbraw/zinc/90/51/16/871905116.db2.gz HIINPOUTAKKRAG-HNNXBMFYSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2(CC(C)C)CCC2)C1 ZINC001318283257 871905121 /nfs/dbraw/zinc/90/51/21/871905121.db2.gz HIINPOUTAKKRAG-HNNXBMFYSA-N 1 2 321.465 1.696 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]2CCC(NC(=O)[C@H](C)C#N)CC2)s1 ZINC001226639417 882559807 /nfs/dbraw/zinc/55/98/07/882559807.db2.gz BXXIZHWPSAWSTD-ZJUUUORDSA-N 1 2 307.423 1.648 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@H](NC(=O)C(F)F)C1 ZINC001316937260 872413889 /nfs/dbraw/zinc/41/38/89/872413889.db2.gz JUULHJNTAWSFDD-LBPRGKRZSA-N 1 2 317.380 1.257 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@H](NC(=O)C(F)F)C1 ZINC001316937260 872413905 /nfs/dbraw/zinc/41/39/05/872413905.db2.gz JUULHJNTAWSFDD-LBPRGKRZSA-N 1 2 317.380 1.257 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+]([C@H](C)C(N)=O)C2)CCCC1 ZINC001316955236 872463083 /nfs/dbraw/zinc/46/30/83/872463083.db2.gz ZMMLNOSHRSSIJG-KGLIPLIRSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+]([C@H](C)C(N)=O)C2)CCCC1 ZINC001316955236 872463071 /nfs/dbraw/zinc/46/30/71/872463071.db2.gz ZMMLNOSHRSSIJG-KGLIPLIRSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C(C)(C)[C@@H]2CCCCO2)C1 ZINC001319311078 872559887 /nfs/dbraw/zinc/55/98/87/872559887.db2.gz SRZVPRBZTWUGGI-GJZGRUSLSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C(C)(C)[C@@H]2CCCCO2)C1 ZINC001319311078 872559901 /nfs/dbraw/zinc/55/99/01/872559901.db2.gz SRZVPRBZTWUGGI-GJZGRUSLSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C(C)(C)[C@H]2CCCCO2)C1 ZINC001319311079 872561420 /nfs/dbraw/zinc/56/14/20/872561420.db2.gz SRZVPRBZTWUGGI-HUUCEWRRSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C(C)(C)[C@H]2CCCCO2)C1 ZINC001319311079 872561426 /nfs/dbraw/zinc/56/14/26/872561426.db2.gz SRZVPRBZTWUGGI-HUUCEWRRSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)Cn1c(N(C)CC2CC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001343402600 872610702 /nfs/dbraw/zinc/61/07/02/872610702.db2.gz ABZOZURPQZMMOO-YOEHRIQHSA-N 1 2 319.453 1.612 20 30 DDEDLO C=C(C)Cn1c(N(C)CC2CC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001343402600 872610712 /nfs/dbraw/zinc/61/07/12/872610712.db2.gz ABZOZURPQZMMOO-YOEHRIQHSA-N 1 2 319.453 1.612 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)C[C@H](Cc2ccccc2)C1=O ZINC001343496759 872648358 /nfs/dbraw/zinc/64/83/58/872648358.db2.gz PTMGKYIEIPRPJN-KRWDZBQOSA-N 1 2 310.397 1.907 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)C[C@H](Cc2ccccc2)C1=O ZINC001343496759 872648363 /nfs/dbraw/zinc/64/83/63/872648363.db2.gz PTMGKYIEIPRPJN-KRWDZBQOSA-N 1 2 310.397 1.907 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C2)on1 ZINC001206951706 872835024 /nfs/dbraw/zinc/83/50/24/872835024.db2.gz FXIOBCGXDWVHBB-TZMCWYRMSA-N 1 2 319.405 1.669 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C2)on1 ZINC001206951706 872835033 /nfs/dbraw/zinc/83/50/33/872835033.db2.gz FXIOBCGXDWVHBB-TZMCWYRMSA-N 1 2 319.405 1.669 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001344227349 872956749 /nfs/dbraw/zinc/95/67/49/872956749.db2.gz MDRQSGNRNFIMRK-OKILXGFUSA-N 1 2 316.405 1.157 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3nccc(Cl)n3)CC2)cc1C#N ZINC001207106666 873020930 /nfs/dbraw/zinc/02/09/30/873020930.db2.gz PKSDIHSCAAFRQX-UHFFFAOYSA-N 1 2 316.796 1.662 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001207621862 873422090 /nfs/dbraw/zinc/42/20/90/873422090.db2.gz AHKZOGGPOQYKKS-TZMCWYRMSA-N 1 2 322.409 1.440 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208294697 873967592 /nfs/dbraw/zinc/96/75/92/873967592.db2.gz NHVPDHBBPZHYLK-UKRRQHHQSA-N 1 2 304.438 1.959 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208294697 873967604 /nfs/dbraw/zinc/96/76/04/873967604.db2.gz NHVPDHBBPZHYLK-UKRRQHHQSA-N 1 2 304.438 1.959 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC001347967213 874321919 /nfs/dbraw/zinc/32/19/19/874321919.db2.gz LUJHXJMUOGNIEE-CYBMUJFWSA-N 1 2 304.394 1.161 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3cc(C#N)cc(Cl)n3)CC2)cn1 ZINC001347980606 874329418 /nfs/dbraw/zinc/32/94/18/874329418.db2.gz CBNVWIALNSLNEV-UHFFFAOYSA-N 1 2 316.796 1.662 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+]([C@H](C)c2nnc(C)[nH]2)CC1 ZINC001227124826 882857230 /nfs/dbraw/zinc/85/72/30/882857230.db2.gz ZUQGKACZLAOBQL-BDJLRTHQSA-N 1 2 321.425 1.082 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COCc2ccncc2)C1 ZINC001209759404 875107345 /nfs/dbraw/zinc/10/73/45/875107345.db2.gz MFZYLFVWVVAOGB-IUODEOHRSA-N 1 2 323.824 1.787 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)COCc2ccncc2)C1 ZINC001209759404 875107348 /nfs/dbraw/zinc/10/73/48/875107348.db2.gz MFZYLFVWVVAOGB-IUODEOHRSA-N 1 2 323.824 1.787 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001350522334 875741490 /nfs/dbraw/zinc/74/14/90/875741490.db2.gz IIUDLPCBISSRHJ-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2csc(C)n2)C[C@H]1C ZINC001211422577 875799792 /nfs/dbraw/zinc/79/97/92/875799792.db2.gz OXPOAVSYHAHFHS-IUODEOHRSA-N 1 2 323.462 1.981 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2csc(C)n2)C[C@H]1C ZINC001211422577 875799797 /nfs/dbraw/zinc/79/97/97/875799797.db2.gz OXPOAVSYHAHFHS-IUODEOHRSA-N 1 2 323.462 1.981 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213390304 875918374 /nfs/dbraw/zinc/91/83/74/875918374.db2.gz XAMNZHRXXGDJIF-BFYDXBDKSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213390304 875918377 /nfs/dbraw/zinc/91/83/77/875918377.db2.gz XAMNZHRXXGDJIF-BFYDXBDKSA-N 1 2 321.421 1.901 20 30 DDEDLO Cc1nc(Nc2ccc(N)c(C#N)c2)cc(N2CCOCC2)[nH+]1 ZINC001213429717 875936002 /nfs/dbraw/zinc/93/60/02/875936002.db2.gz VFNFHNXMSCYDHO-UHFFFAOYSA-N 1 2 310.361 1.819 20 30 DDEDLO C=CCCC(C)(C)CNC(=O)NC[C@H](C)[NH+]1CCN(C)CC1 ZINC001351268959 876154304 /nfs/dbraw/zinc/15/43/04/876154304.db2.gz TZXVKQOBTAJPPD-HNNXBMFYSA-N 1 2 310.486 1.914 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2csnn2)C1 ZINC001214283336 876257682 /nfs/dbraw/zinc/25/76/82/876257682.db2.gz WTRYTBIRIRWTAS-MBNYWOFBSA-N 1 2 324.450 1.456 20 30 DDEDLO C=CCn1c([C@@H]2C[N@@H+]3CCCC[C@H]3CO2)nnc1N(C)OC ZINC001351534260 876309511 /nfs/dbraw/zinc/30/95/11/876309511.db2.gz CUCJSPNJLPRQHY-STQMWFEESA-N 1 2 307.398 1.388 20 30 DDEDLO C=CCn1c([C@@H]2C[N@H+]3CCCC[C@H]3CO2)nnc1N(C)OC ZINC001351534260 876309524 /nfs/dbraw/zinc/30/95/24/876309524.db2.gz CUCJSPNJLPRQHY-STQMWFEESA-N 1 2 307.398 1.388 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)NCCCCn2cc[nH+]c2)C1 ZINC001352441395 876748012 /nfs/dbraw/zinc/74/80/12/876748012.db2.gz XNTKIHNTSVZPSL-CQSZACIVSA-N 1 2 304.394 1.204 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001352852097 876968953 /nfs/dbraw/zinc/96/89/53/876968953.db2.gz JRWBDQAQOPGTEZ-CYBMUJFWSA-N 1 2 304.394 1.334 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C)C(C)C)[C@H]2C1 ZINC001217510677 877216707 /nfs/dbraw/zinc/21/67/07/877216707.db2.gz PSXOWSUCKCCEEZ-IXDOHACOSA-N 1 2 322.449 1.230 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C)C(C)C)[C@H]2C1 ZINC001217510677 877216724 /nfs/dbraw/zinc/21/67/24/877216724.db2.gz PSXOWSUCKCCEEZ-IXDOHACOSA-N 1 2 322.449 1.230 20 30 DDEDLO CC[C@H]1CN(C(=O)CCCn2cc[nH+]c2)CC[C@H]1NCC#N ZINC001276772525 877406857 /nfs/dbraw/zinc/40/68/57/877406857.db2.gz OZFOYQVMRWJFGX-LSDHHAIUSA-N 1 2 303.410 1.404 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCCc3ccccc3)[C@H]2C1 ZINC001218576117 877583303 /nfs/dbraw/zinc/58/33/03/877583303.db2.gz WLFAFYAAYHTBST-ZWKOTPCHSA-N 1 2 312.413 1.554 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCCc3ccccc3)[C@H]2C1 ZINC001218576117 877583314 /nfs/dbraw/zinc/58/33/14/877583314.db2.gz WLFAFYAAYHTBST-ZWKOTPCHSA-N 1 2 312.413 1.554 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@H]21 ZINC001354103963 877757070 /nfs/dbraw/zinc/75/70/70/877757070.db2.gz DFCFROBZQRESNN-VIKVFOODSA-N 1 2 316.405 1.058 20 30 DDEDLO COC(=O)/C=C(/C)C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC001354611033 878103715 /nfs/dbraw/zinc/10/37/15/878103715.db2.gz CHQPNVYZJBGTRP-RAXLEYEMSA-N 1 2 300.362 1.195 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001354650273 878130671 /nfs/dbraw/zinc/13/06/71/878130671.db2.gz KMFOENZSRQUAIR-BFHYXJOUSA-N 1 2 316.405 1.328 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001354650273 878130675 /nfs/dbraw/zinc/13/06/75/878130675.db2.gz KMFOENZSRQUAIR-BFHYXJOUSA-N 1 2 316.405 1.328 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](C)NC(=O)Cn2cc[nH+]c2)CC1 ZINC001354707121 878167927 /nfs/dbraw/zinc/16/79/27/878167927.db2.gz YMBQMRBJMGMOBX-ZDUSSCGKSA-N 1 2 304.394 1.250 20 30 DDEDLO CC/C(C)=C\C(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219576308 878349808 /nfs/dbraw/zinc/34/98/08/878349808.db2.gz LXFHMNSIBCLAAG-WJZHFJKWSA-N 1 2 313.401 1.576 20 30 DDEDLO CC/C(C)=C\C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219576308 878349828 /nfs/dbraw/zinc/34/98/28/878349828.db2.gz LXFHMNSIBCLAAG-WJZHFJKWSA-N 1 2 313.401 1.576 20 30 DDEDLO CCC[C@H](C)CCCC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001219694553 878458394 /nfs/dbraw/zinc/45/83/94/878458394.db2.gz DWDAMZWYKQDMHQ-BBWFWOEESA-N 1 2 324.465 1.404 20 30 DDEDLO CCC[C@H](C)CCCC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001219694553 878458403 /nfs/dbraw/zinc/45/84/03/878458403.db2.gz DWDAMZWYKQDMHQ-BBWFWOEESA-N 1 2 324.465 1.404 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001355700658 878684705 /nfs/dbraw/zinc/68/47/05/878684705.db2.gz HSOATQGXODEPFU-CYBMUJFWSA-N 1 2 318.421 1.232 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(C)CCNC(=O)CCc1[nH+]ccn1C ZINC001355813882 878728812 /nfs/dbraw/zinc/72/88/12/878728812.db2.gz FAHOFBWNLXVEDX-KGLIPLIRSA-N 1 2 320.437 1.386 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N1CCOCC1 ZINC001355978923 878807522 /nfs/dbraw/zinc/80/75/22/878807522.db2.gz YRSAWZVIWYYHQO-UHFFFAOYSA-N 1 2 302.382 1.015 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@@H]1O ZINC001220434486 879010344 /nfs/dbraw/zinc/01/03/44/879010344.db2.gz LRFQCISDIXQBMA-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@@H]1O ZINC001220434486 879010360 /nfs/dbraw/zinc/01/03/60/879010360.db2.gz LRFQCISDIXQBMA-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@H](F)CC2CCCCC2)[C@@H](O)C1 ZINC001220772448 879243132 /nfs/dbraw/zinc/24/31/32/879243132.db2.gz RWASBRFTAZERLH-OAGGEKHMSA-N 1 2 310.413 1.480 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](F)CC2CCCCC2)[C@@H](O)C1 ZINC001220772448 879243150 /nfs/dbraw/zinc/24/31/50/879243150.db2.gz RWASBRFTAZERLH-OAGGEKHMSA-N 1 2 310.413 1.480 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCCC2(C)C)[C@@H](O)C1 ZINC001221124494 879517764 /nfs/dbraw/zinc/51/77/64/879517764.db2.gz KRGKXJFTOFUVCM-XHSDSOJGSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCCC2(C)C)[C@@H](O)C1 ZINC001221124494 879517774 /nfs/dbraw/zinc/51/77/74/879517774.db2.gz KRGKXJFTOFUVCM-XHSDSOJGSA-N 1 2 322.449 1.014 20 30 DDEDLO Cc1nonc1C[N@H+]1CCC[C@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001380467572 879718048 /nfs/dbraw/zinc/71/80/48/879718048.db2.gz ZKSCYCGBGDOGQE-IAQYHMDHSA-N 1 2 305.382 1.256 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCC[C@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001380467572 879718060 /nfs/dbraw/zinc/71/80/60/879718060.db2.gz ZKSCYCGBGDOGQE-IAQYHMDHSA-N 1 2 305.382 1.256 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3cc(C)no3)C[C@H]21 ZINC001221539021 879932105 /nfs/dbraw/zinc/93/21/05/879932105.db2.gz JGTGAYFVMVMHRW-IIAWOOMASA-N 1 2 317.389 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3cc(C)no3)C[C@H]21 ZINC001221539021 879932114 /nfs/dbraw/zinc/93/21/14/879932114.db2.gz JGTGAYFVMVMHRW-IIAWOOMASA-N 1 2 317.389 1.054 20 30 DDEDLO CCc1noc([C@@H](C)[N@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)n1 ZINC001380950962 880731654 /nfs/dbraw/zinc/73/16/54/880731654.db2.gz XIEUWRUWBMMDCB-NWDGAFQWSA-N 1 2 307.398 1.633 20 30 DDEDLO CCc1noc([C@@H](C)[N@@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)n1 ZINC001380950962 880731660 /nfs/dbraw/zinc/73/16/60/880731660.db2.gz XIEUWRUWBMMDCB-NWDGAFQWSA-N 1 2 307.398 1.633 20 30 DDEDLO C=CCCC(=O)N1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001358796814 880933053 /nfs/dbraw/zinc/93/30/53/880933053.db2.gz BTDVBHVOLGORDP-XNJGSVPQSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCCC(=O)N1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001358796814 880933063 /nfs/dbraw/zinc/93/30/63/880933063.db2.gz BTDVBHVOLGORDP-XNJGSVPQSA-N 1 2 316.405 1.587 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc2n[nH]cc21 ZINC001276898265 880997364 /nfs/dbraw/zinc/99/73/64/880997364.db2.gz HQVZIEZQQBECMF-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc2n[nH]cc21 ZINC001276898265 880997368 /nfs/dbraw/zinc/99/73/68/880997368.db2.gz HQVZIEZQQBECMF-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@H](NC(=O)COC)C(C)(C)C1 ZINC001381130077 881089292 /nfs/dbraw/zinc/08/92/92/881089292.db2.gz GUJIMAGOPCJMEH-LLVKDONJSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@H](NC(=O)COC)C(C)(C)C1 ZINC001381130077 881089313 /nfs/dbraw/zinc/08/93/13/881089313.db2.gz GUJIMAGOPCJMEH-LLVKDONJSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CC[N@H+]2CC(=O)N(C)C(C)C)C1 ZINC001276923794 881112325 /nfs/dbraw/zinc/11/23/25/881112325.db2.gz NVMWDJCOGGBNKG-CQSZACIVSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CC[N@@H+]2CC(=O)N(C)C(C)C)C1 ZINC001276923794 881112330 /nfs/dbraw/zinc/11/23/30/881112330.db2.gz NVMWDJCOGGBNKG-CQSZACIVSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CCN(CC#C)c1nnc(C)n1Cc1ccc(N(C)C)[nH+]c1 ZINC001358970453 881122228 /nfs/dbraw/zinc/12/22/28/881122228.db2.gz OSGQZMAYRTXQLJ-UHFFFAOYSA-N 1 2 308.389 1.169 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1nccs1 ZINC001277359877 883844979 /nfs/dbraw/zinc/84/49/79/883844979.db2.gz KWZCJEAFWBWJCE-KGLIPLIRSA-N 1 2 319.430 1.472 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1nccs1 ZINC001277359877 883844986 /nfs/dbraw/zinc/84/49/86/883844986.db2.gz KWZCJEAFWBWJCE-KGLIPLIRSA-N 1 2 319.430 1.472 20 30 DDEDLO C=CCCCNC(=S)N1CC2(C1)C[NH+](Cc1cn[nH]c1)C2 ZINC001277402701 884076587 /nfs/dbraw/zinc/07/65/87/884076587.db2.gz PPTYZVDLMRXQIJ-UHFFFAOYSA-N 1 2 305.451 1.368 20 30 DDEDLO CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccccc1C#N ZINC001230664253 884720269 /nfs/dbraw/zinc/72/02/69/884720269.db2.gz MAZJZRJYQLYCQK-INIZCTEOSA-N 1 2 301.390 1.627 20 30 DDEDLO CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccccc1C#N ZINC001230664253 884720278 /nfs/dbraw/zinc/72/02/78/884720278.db2.gz MAZJZRJYQLYCQK-INIZCTEOSA-N 1 2 301.390 1.627 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)noc1C ZINC001230714380 884786186 /nfs/dbraw/zinc/78/61/86/884786186.db2.gz BESBEJQCOGUDGZ-CQSZACIVSA-N 1 2 305.378 1.088 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)noc1C ZINC001230714380 884786195 /nfs/dbraw/zinc/78/61/95/884786195.db2.gz BESBEJQCOGUDGZ-CQSZACIVSA-N 1 2 305.378 1.088 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@@H]2CN(C)C(=O)CSCC#N)o1 ZINC001230815347 884917731 /nfs/dbraw/zinc/91/77/31/884917731.db2.gz NKAYDBDRNRRHGX-CYBMUJFWSA-N 1 2 322.434 1.581 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@@H]2CN(C)C(=O)CSCC#N)o1 ZINC001230815347 884917749 /nfs/dbraw/zinc/91/77/49/884917749.db2.gz NKAYDBDRNRRHGX-CYBMUJFWSA-N 1 2 322.434 1.581 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCc2c(C#N)c(N)sc2C1 ZINC001363029082 885268299 /nfs/dbraw/zinc/26/82/99/885268299.db2.gz OJWFQZYKYSTAKG-UHFFFAOYSA-N 1 2 301.375 1.361 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1nccnc1C ZINC001231240030 885418860 /nfs/dbraw/zinc/41/88/60/885418860.db2.gz HWTYFSPBYSADNJ-CQSZACIVSA-N 1 2 304.394 1.020 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1nccnc1C ZINC001231240030 885418865 /nfs/dbraw/zinc/41/88/65/885418865.db2.gz HWTYFSPBYSADNJ-CQSZACIVSA-N 1 2 304.394 1.020 20 30 DDEDLO COc1cc(N2CCN(Cc3cc(C#N)ccn3)CC2)cc[nH+]1 ZINC001232481368 886422276 /nfs/dbraw/zinc/42/22/76/886422276.db2.gz DJQGZDOUKQAFOL-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CC(C)NC(=O)N[C@H]1CCC[N@H+](Cc2cccc(C#N)n2)C1 ZINC001232927113 886679959 /nfs/dbraw/zinc/67/99/59/886679959.db2.gz RFOUHQFDTVJDEO-HNNXBMFYSA-N 1 2 301.394 1.625 20 30 DDEDLO CC(C)NC(=O)N[C@H]1CCC[N@@H+](Cc2cccc(C#N)n2)C1 ZINC001232927113 886679967 /nfs/dbraw/zinc/67/99/67/886679967.db2.gz RFOUHQFDTVJDEO-HNNXBMFYSA-N 1 2 301.394 1.625 20 30 DDEDLO N#Cc1cc[nH]c1C[N@H+]1CC[C@H]2O[C@H](C(=O)NCC3CC3)C[C@H]21 ZINC001233043507 886752448 /nfs/dbraw/zinc/75/24/48/886752448.db2.gz BWASILSWCBILPT-OAGGEKHMSA-N 1 2 314.389 1.144 20 30 DDEDLO N#Cc1cc[nH]c1C[N@@H+]1CC[C@H]2O[C@H](C(=O)NCC3CC3)C[C@H]21 ZINC001233043507 886752455 /nfs/dbraw/zinc/75/24/55/886752455.db2.gz BWASILSWCBILPT-OAGGEKHMSA-N 1 2 314.389 1.144 20 30 DDEDLO C=CCN1CC[N@@H+](C)C2(CCN(Cc3cnc(C)o3)CC2)C1=O ZINC001233366335 886944720 /nfs/dbraw/zinc/94/47/20/886944720.db2.gz ZRAZADNZWUODKJ-UHFFFAOYSA-N 1 2 318.421 1.278 20 30 DDEDLO C=CCN1CC[N@H+](C)C2(CCN(Cc3cnc(C)o3)CC2)C1=O ZINC001233366335 886944732 /nfs/dbraw/zinc/94/47/32/886944732.db2.gz ZRAZADNZWUODKJ-UHFFFAOYSA-N 1 2 318.421 1.278 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCC=C ZINC001233801005 887335588 /nfs/dbraw/zinc/33/55/88/887335588.db2.gz LOHWSPZYQVBVBF-JKSUJKDBSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCC=C ZINC001233801005 887335602 /nfs/dbraw/zinc/33/56/02/887335602.db2.gz LOHWSPZYQVBVBF-JKSUJKDBSA-N 1 2 319.449 1.403 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nc[nH]c4cc(=O)c(O)cc3-4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233948816 887491336 /nfs/dbraw/zinc/49/13/36/887491336.db2.gz MSIWWWSAHUHLHZ-LDGUKNRMSA-N 1 2 315.329 1.032 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nc[nH]c4cc(=O)c(O)cc3-4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233948816 887491347 /nfs/dbraw/zinc/49/13/47/887491347.db2.gz MSIWWWSAHUHLHZ-LDGUKNRMSA-N 1 2 315.329 1.032 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)CC1CC1 ZINC001233957211 887497498 /nfs/dbraw/zinc/49/74/98/887497498.db2.gz KCBWQYQSQPUZJW-MRXNPFEDSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)CC1CC1 ZINC001233957211 887497509 /nfs/dbraw/zinc/49/75/09/887497509.db2.gz KCBWQYQSQPUZJW-MRXNPFEDSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)CC1CC1 ZINC001233957206 887497616 /nfs/dbraw/zinc/49/76/16/887497616.db2.gz KCBWQYQSQPUZJW-INIZCTEOSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)CC1CC1 ZINC001233957206 887497625 /nfs/dbraw/zinc/49/76/25/887497625.db2.gz KCBWQYQSQPUZJW-INIZCTEOSA-N 1 2 319.449 1.191 20 30 DDEDLO CCO[C@H](C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC)C(C)C ZINC001234247355 887790846 /nfs/dbraw/zinc/79/08/46/887790846.db2.gz ZYHCZOFUPHOMBH-HOTGVXAUSA-N 1 2 310.438 1.230 20 30 DDEDLO CCO[C@H](C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC)C(C)C ZINC001234247355 887790854 /nfs/dbraw/zinc/79/08/54/887790854.db2.gz ZYHCZOFUPHOMBH-HOTGVXAUSA-N 1 2 310.438 1.230 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1CC ZINC001234255265 887795320 /nfs/dbraw/zinc/79/53/20/887795320.db2.gz KOHUQYMUPAKHCZ-OAHLLOKOSA-N 1 2 319.405 1.310 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1CC ZINC001234255265 887795327 /nfs/dbraw/zinc/79/53/27/887795327.db2.gz KOHUQYMUPAKHCZ-OAHLLOKOSA-N 1 2 319.405 1.310 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001234265182 887802648 /nfs/dbraw/zinc/80/26/48/887802648.db2.gz HUQAODAERRHZAG-GXTWGEPZSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001234265182 887802658 /nfs/dbraw/zinc/80/26/58/887802658.db2.gz HUQAODAERRHZAG-GXTWGEPZSA-N 1 2 305.378 1.054 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@@H]1C[C@H]1OCC ZINC001234462675 887989091 /nfs/dbraw/zinc/98/90/91/887989091.db2.gz ABDFSBZKYAAGLR-VXGBXAGGSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@@H]1C[C@H]1OCC ZINC001234462675 887989101 /nfs/dbraw/zinc/98/91/01/887989101.db2.gz ABDFSBZKYAAGLR-VXGBXAGGSA-N 1 2 319.243 1.758 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)Oc1cccc(F)c1 ZINC001234479541 888014684 /nfs/dbraw/zinc/01/46/84/888014684.db2.gz XECHAVAOOFMZMF-DZGCQCFKSA-N 1 2 304.365 1.759 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)Oc1cccc(F)c1 ZINC001234479541 888014696 /nfs/dbraw/zinc/01/46/96/888014696.db2.gz XECHAVAOOFMZMF-DZGCQCFKSA-N 1 2 304.365 1.759 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@]1(C)CC=CCC1 ZINC001234527922 888057900 /nfs/dbraw/zinc/05/79/00/888057900.db2.gz PSYWLTWKYWXGJX-SJLPKXTDSA-N 1 2 304.434 1.915 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@]1(C)CC=CCC1 ZINC001234527922 888057912 /nfs/dbraw/zinc/05/79/12/888057912.db2.gz PSYWLTWKYWXGJX-SJLPKXTDSA-N 1 2 304.434 1.915 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)CC ZINC001234536656 888068087 /nfs/dbraw/zinc/06/80/87/888068087.db2.gz GIVNIOSLEPEIBP-AWEZNQCLSA-N 1 2 309.454 1.600 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)CC ZINC001234536656 888068106 /nfs/dbraw/zinc/06/81/06/888068106.db2.gz GIVNIOSLEPEIBP-AWEZNQCLSA-N 1 2 309.454 1.600 20 30 DDEDLO N#Cc1cc(N2C[C@H]3OCC[N@H+](Cc4ccccc4)[C@H]3C2)ncn1 ZINC001364228927 888180302 /nfs/dbraw/zinc/18/03/02/888180302.db2.gz NRLMQORDLSYSMJ-DLBZAZTESA-N 1 2 321.384 1.438 20 30 DDEDLO N#Cc1cc(N2C[C@H]3OCC[N@@H+](Cc4ccccc4)[C@H]3C2)ncn1 ZINC001364228927 888180310 /nfs/dbraw/zinc/18/03/10/888180310.db2.gz NRLMQORDLSYSMJ-DLBZAZTESA-N 1 2 321.384 1.438 20 30 DDEDLO CC(=O)[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2Cc1cc(C#N)n(C)c1 ZINC001277890123 888201831 /nfs/dbraw/zinc/20/18/31/888201831.db2.gz TZTSONIMKSUDPA-WQVCFCJDSA-N 1 2 300.406 1.523 20 30 DDEDLO CC(=O)[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2Cc1cc(C#N)n(C)c1 ZINC001277890123 888201844 /nfs/dbraw/zinc/20/18/44/888201844.db2.gz TZTSONIMKSUDPA-WQVCFCJDSA-N 1 2 300.406 1.523 20 30 DDEDLO COC(=O)c1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cnc1Cl ZINC001234950453 888269206 /nfs/dbraw/zinc/26/92/06/888269206.db2.gz DCDLCWXZOKTVHI-ROHLRWBXSA-N 1 2 324.764 1.513 20 30 DDEDLO COC(=O)c1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cnc1Cl ZINC001234950453 888269219 /nfs/dbraw/zinc/26/92/19/888269219.db2.gz DCDLCWXZOKTVHI-ROHLRWBXSA-N 1 2 324.764 1.513 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C2)no1 ZINC001364510516 888804790 /nfs/dbraw/zinc/80/47/90/888804790.db2.gz OVJPHEZVBDKOPR-ZIAGYGMSSA-N 1 2 311.345 1.266 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C2)no1 ZINC001364510516 888804807 /nfs/dbraw/zinc/80/48/07/888804807.db2.gz OVJPHEZVBDKOPR-ZIAGYGMSSA-N 1 2 311.345 1.266 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@]2(CCOC2=O)C1 ZINC001364535756 888870702 /nfs/dbraw/zinc/87/07/02/888870702.db2.gz PBNJDZXCIOLQJI-RHSMWYFYSA-N 1 2 314.341 1.411 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@]2(CCOC2=O)C1 ZINC001364535756 888870714 /nfs/dbraw/zinc/87/07/14/888870714.db2.gz PBNJDZXCIOLQJI-RHSMWYFYSA-N 1 2 314.341 1.411 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)[C@H](C)c1nc(CC)no1 ZINC001235698666 888894270 /nfs/dbraw/zinc/89/42/70/888894270.db2.gz ONDJKAWPCQBUDB-GFCCVEGCSA-N 1 2 310.398 1.334 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)[C@H](C)c1nc(CC)no1 ZINC001235698666 888894284 /nfs/dbraw/zinc/89/42/84/888894284.db2.gz ONDJKAWPCQBUDB-GFCCVEGCSA-N 1 2 310.398 1.334 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](C[C@@H](O)c2cccc(C#N)c2)CC1 ZINC001364685550 889194894 /nfs/dbraw/zinc/19/48/94/889194894.db2.gz QABWKASKORMOPX-ZWKOTPCHSA-N 1 2 312.417 1.757 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCC[C@@H](NC(=O)c3ccccn3)C2)ccn1 ZINC001237463091 889648518 /nfs/dbraw/zinc/64/85/18/889648518.db2.gz NRTXEKKOLZXPCK-OAHLLOKOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccccn3)C2)ccn1 ZINC001237463091 889648525 /nfs/dbraw/zinc/64/85/25/889648525.db2.gz NRTXEKKOLZXPCK-OAHLLOKOSA-N 1 2 321.384 1.743 20 30 DDEDLO CCOC[C@H]1C[N@H+](Cc2ccnc(C#N)c2)Cc2c1cnn2C ZINC001237464313 889650067 /nfs/dbraw/zinc/65/00/67/889650067.db2.gz SDMQBDGSRLWNAH-CQSZACIVSA-N 1 2 311.389 1.823 20 30 DDEDLO CCOC[C@H]1C[N@@H+](Cc2ccnc(C#N)c2)Cc2c1cnn2C ZINC001237464313 889650073 /nfs/dbraw/zinc/65/00/73/889650073.db2.gz SDMQBDGSRLWNAH-CQSZACIVSA-N 1 2 311.389 1.823 20 30 DDEDLO C=C(C)CC[NH2+][C@@H](CO)CNC(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001278119550 889738412 /nfs/dbraw/zinc/73/84/12/889738412.db2.gz LYMFVZKNWCUALS-ZIFCJYIRSA-N 1 2 316.445 1.997 20 30 DDEDLO C[NH+]1CCN(C(=O)c2ccnc(-c3ccnc(C#N)c3)c2)CC1 ZINC001241177801 891128755 /nfs/dbraw/zinc/12/87/55/891128755.db2.gz WIRHSAOSSWYQJY-UHFFFAOYSA-N 1 2 307.357 1.403 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)Cc1ccc(F)cc1C#N ZINC001365739937 891432672 /nfs/dbraw/zinc/43/26/72/891432672.db2.gz GZHXRBNVAHSKTL-GASCZTMLSA-N 1 2 323.393 1.306 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)Cc1ccc(F)cc1C#N ZINC001365739937 891432680 /nfs/dbraw/zinc/43/26/80/891432680.db2.gz GZHXRBNVAHSKTL-GASCZTMLSA-N 1 2 323.393 1.306 20 30 DDEDLO Cc1nsc(NC(=O)NCc2coc(C[NH+](C)C)n2)c1C#N ZINC001365786900 891551979 /nfs/dbraw/zinc/55/19/79/891551979.db2.gz RCEMXNWXIKTMOQ-UHFFFAOYSA-N 1 2 320.378 1.695 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001278368857 891706209 /nfs/dbraw/zinc/70/62/09/891706209.db2.gz IIRLFHOJAWTPBP-CJNGLKHVSA-N 1 2 304.394 1.321 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001278368857 891706223 /nfs/dbraw/zinc/70/62/23/891706223.db2.gz IIRLFHOJAWTPBP-CJNGLKHVSA-N 1 2 304.394 1.321 20 30 DDEDLO CC(=O)NCSC[C@H]([NH3+])c1nc(-c2cccc(C#N)c2)no1 ZINC001248972216 893788140 /nfs/dbraw/zinc/78/81/40/893788140.db2.gz HIWGLPGCCUXIDC-LBPRGKRZSA-N 1 2 317.374 1.435 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2cc3ccc(C#N)cc3[nH]2)CCN1C ZINC001249430497 893983624 /nfs/dbraw/zinc/98/36/24/893983624.db2.gz UXBHQOYDDRNRBS-MRXNPFEDSA-N 1 2 312.373 1.329 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2cc3ccc(C#N)cc3[nH]2)CCN1C ZINC001249430497 893983636 /nfs/dbraw/zinc/98/36/36/893983636.db2.gz UXBHQOYDDRNRBS-MRXNPFEDSA-N 1 2 312.373 1.329 20 30 DDEDLO C#CCOC[C@@H](O)CNc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC001251826012 894827896 /nfs/dbraw/zinc/82/78/96/894827896.db2.gz HFQOYLDHPNXTOD-AWEZNQCLSA-N 1 2 323.356 1.238 20 30 DDEDLO C=CCOC[C@H](O)C[N@@H+]1C[C@H](F)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC001252452804 895159741 /nfs/dbraw/zinc/15/97/41/895159741.db2.gz CFLAJVOKULHNQU-AGIUHOORSA-N 1 2 318.389 1.097 20 30 DDEDLO C=CCOC[C@H](O)C[N@H+]1C[C@H](F)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC001252452804 895159750 /nfs/dbraw/zinc/15/97/50/895159750.db2.gz CFLAJVOKULHNQU-AGIUHOORSA-N 1 2 318.389 1.097 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCc2c(nnn2CC)[C@H]1COCC=C ZINC001252596122 895298834 /nfs/dbraw/zinc/29/88/34/895298834.db2.gz OHEOFPVLCOFFGA-GDBMZVCRSA-N 1 2 320.437 1.727 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCc2c(nnn2CC)[C@H]1COCC=C ZINC001252596122 895298844 /nfs/dbraw/zinc/29/88/44/895298844.db2.gz OHEOFPVLCOFFGA-GDBMZVCRSA-N 1 2 320.437 1.727 20 30 DDEDLO C[C@](O)(C[N@@H+]1CCC[C@@H](C#N)C1)C(=O)OCc1ccccc1 ZINC001253636388 895951865 /nfs/dbraw/zinc/95/18/65/895951865.db2.gz MZOIZSYXYNTICA-RDJZCZTQSA-N 1 2 302.374 1.716 20 30 DDEDLO C[C@](O)(C[N@H+]1CCC[C@@H](C#N)C1)C(=O)OCc1ccccc1 ZINC001253636388 895951871 /nfs/dbraw/zinc/95/18/71/895951871.db2.gz MZOIZSYXYNTICA-RDJZCZTQSA-N 1 2 302.374 1.716 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@H+]([C@@H]2CC[C@H](C#N)C2)[C@H](CO)C1 ZINC001254656987 896550424 /nfs/dbraw/zinc/55/04/24/896550424.db2.gz AZOCKKBXWRYCRQ-MJBXVCDLSA-N 1 2 309.410 1.592 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@@H+]([C@@H]2CC[C@H](C#N)C2)[C@H](CO)C1 ZINC001254656987 896550431 /nfs/dbraw/zinc/55/04/31/896550431.db2.gz AZOCKKBXWRYCRQ-MJBXVCDLSA-N 1 2 309.410 1.592 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]2C[N@H+]([C@H]3CC[C@@H](C#N)C3)C[C@H](C1)O2 ZINC001254658620 896552994 /nfs/dbraw/zinc/55/29/94/896552994.db2.gz JHSNHGPQDPDWIL-BARDWOONSA-N 1 2 321.421 1.999 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]2C[N@@H+]([C@H]3CC[C@@H](C#N)C3)C[C@H](C1)O2 ZINC001254658620 896553006 /nfs/dbraw/zinc/55/30/06/896553006.db2.gz JHSNHGPQDPDWIL-BARDWOONSA-N 1 2 321.421 1.999 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CC[N@H+](C)CC(=O)Nc1ccccc1F ZINC001367508027 896970252 /nfs/dbraw/zinc/97/02/52/896970252.db2.gz BKJZDIAFLBGFQW-GFCCVEGCSA-N 1 2 320.368 1.314 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CC[N@@H+](C)CC(=O)Nc1ccccc1F ZINC001367508027 896970261 /nfs/dbraw/zinc/97/02/61/896970261.db2.gz BKJZDIAFLBGFQW-GFCCVEGCSA-N 1 2 320.368 1.314 20 30 DDEDLO CCOC(=O)C1(C(=O)OCC)CCC([NH2+][C@H](C#N)CC)CC1 ZINC001256997473 897665497 /nfs/dbraw/zinc/66/54/97/897665497.db2.gz HXEMSRHJURZNTK-LBPRGKRZSA-N 1 2 310.394 1.933 20 30 DDEDLO C=CCCCC(=O)N[C@]1(C)CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001299568721 898541832 /nfs/dbraw/zinc/54/18/32/898541832.db2.gz NLBGJBXTQDLGAT-MRXNPFEDSA-N 1 2 304.394 1.416 20 30 DDEDLO C[C@@H](CCCNC(=O)Cn1cc[nH+]c1)NC(=O)C#CC(C)(C)C ZINC001280819804 899149933 /nfs/dbraw/zinc/14/99/33/899149933.db2.gz NLDZXELLJDVURD-AWEZNQCLSA-N 1 2 318.421 1.334 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+](C)Cc2ccccc2C#N)c(C)nn1 ZINC001390757192 900111598 /nfs/dbraw/zinc/11/15/98/900111598.db2.gz ATEQDLATRZKQOM-UHFFFAOYSA-N 1 2 323.400 1.827 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+](C)Cc2ccccc2C#N)c(C)nn1 ZINC001390757192 900111605 /nfs/dbraw/zinc/11/16/05/900111605.db2.gz ATEQDLATRZKQOM-UHFFFAOYSA-N 1 2 323.400 1.827 20 30 DDEDLO C[C@H]1CO[C@@H](C(=O)NCC[N@H+](C)Cc2ccc(C#N)cc2F)C1 ZINC001390793463 900198257 /nfs/dbraw/zinc/19/82/57/900198257.db2.gz OLQIFOHQEOVJRK-MLGOLLRUSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@H]1CO[C@@H](C(=O)NCC[N@@H+](C)Cc2ccc(C#N)cc2F)C1 ZINC001390793463 900198263 /nfs/dbraw/zinc/19/82/63/900198263.db2.gz OLQIFOHQEOVJRK-MLGOLLRUSA-N 1 2 319.380 1.670 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1CC(C)(OC)C1 ZINC001262948630 900433938 /nfs/dbraw/zinc/43/39/38/900433938.db2.gz RLJGOPOCTJROCP-ZDUSSCGKSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N1CC(C)(OC)C1 ZINC001262948630 900433941 /nfs/dbraw/zinc/43/39/41/900433941.db2.gz RLJGOPOCTJROCP-ZDUSSCGKSA-N 1 2 305.426 1.846 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@@H]1CCc2ncncc2C1 ZINC001390907808 900485439 /nfs/dbraw/zinc/48/54/39/900485439.db2.gz FFNZIRCCEJVSJA-CYBMUJFWSA-N 1 2 322.840 1.772 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@@H]1CCc2ncncc2C1 ZINC001390907808 900485455 /nfs/dbraw/zinc/48/54/55/900485455.db2.gz FFNZIRCCEJVSJA-CYBMUJFWSA-N 1 2 322.840 1.772 20 30 DDEDLO CC#CC[NH2+][C@H]1C[C@@H](CNC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001264398404 901086631 /nfs/dbraw/zinc/08/66/31/901086631.db2.gz LAQZAZUHXSWTRT-AKAXFMLLSA-N 1 2 323.400 1.593 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@@H]1C[C@H]1C(=O)OC)C(C)C ZINC001369514568 901426687 /nfs/dbraw/zinc/42/66/87/901426687.db2.gz HNTDFNANCLSKLE-CHWSQXEVSA-N 1 2 316.829 1.717 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@@H]1C[C@H]1C(=O)OC)C(C)C ZINC001369514568 901426697 /nfs/dbraw/zinc/42/66/97/901426697.db2.gz HNTDFNANCLSKLE-CHWSQXEVSA-N 1 2 316.829 1.717 20 30 DDEDLO CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001391370871 901600491 /nfs/dbraw/zinc/60/04/91/901600491.db2.gz JDVOLBPWZYMBRC-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001391370871 901600498 /nfs/dbraw/zinc/60/04/98/901600498.db2.gz JDVOLBPWZYMBRC-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2(CC)CCCC2)C1 ZINC001265228989 901745503 /nfs/dbraw/zinc/74/55/03/901745503.db2.gz NOAPTDJOJFTLLO-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2(CC)CCCC2)C1 ZINC001265228989 901745517 /nfs/dbraw/zinc/74/55/17/901745517.db2.gz NOAPTDJOJFTLLO-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO CC[C@H](CC(=O)NCC[NH+]1CCN(CC#N)CC1)C(C)(C)C ZINC001265264757 901787893 /nfs/dbraw/zinc/78/78/93/901787893.db2.gz BUUKEZVMRXGECX-OAHLLOKOSA-N 1 2 308.470 1.706 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265272155 901797616 /nfs/dbraw/zinc/79/76/16/901797616.db2.gz CAPPGLLBGVPYMO-BBRMVZONSA-N 1 2 304.365 1.403 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265272155 901797625 /nfs/dbraw/zinc/79/76/25/901797625.db2.gz CAPPGLLBGVPYMO-BBRMVZONSA-N 1 2 304.365 1.403 20 30 DDEDLO COc1ncccc1C[N@@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283395 901820684 /nfs/dbraw/zinc/82/06/84/901820684.db2.gz FARULTFTRORZEP-OAHLLOKOSA-N 1 2 315.417 1.830 20 30 DDEDLO COc1ncccc1C[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283395 901820692 /nfs/dbraw/zinc/82/06/92/901820692.db2.gz FARULTFTRORZEP-OAHLLOKOSA-N 1 2 315.417 1.830 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H]1CC12CCN(CC#N)CC2 ZINC001265334241 901897334 /nfs/dbraw/zinc/89/73/34/901897334.db2.gz MTCYWSIBVDISSI-TZMCWYRMSA-N 1 2 301.394 1.083 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H]1CC12CCN(CC#N)CC2 ZINC001265334241 901897340 /nfs/dbraw/zinc/89/73/40/901897340.db2.gz MTCYWSIBVDISSI-TZMCWYRMSA-N 1 2 301.394 1.083 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001265410309 901983978 /nfs/dbraw/zinc/98/39/78/901983978.db2.gz ROOPEYIJOAGQBU-SOUVJXGZSA-N 1 2 319.449 1.236 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001265410309 901983985 /nfs/dbraw/zinc/98/39/85/901983985.db2.gz ROOPEYIJOAGQBU-SOUVJXGZSA-N 1 2 319.449 1.236 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)C[C@H]1CCOC1 ZINC001265817297 902437198 /nfs/dbraw/zinc/43/71/98/902437198.db2.gz NRFBQXISRPQVFT-GFCCVEGCSA-N 1 2 319.243 1.760 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)C[C@H]1CCOC1 ZINC001265817297 902437208 /nfs/dbraw/zinc/43/72/08/902437208.db2.gz NRFBQXISRPQVFT-GFCCVEGCSA-N 1 2 319.243 1.760 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CC[NH+](Cc2nncn2C)CC1 ZINC001279120374 903181920 /nfs/dbraw/zinc/18/19/20/903181920.db2.gz SQFKOZUFVWTUKN-ZDUSSCGKSA-N 1 2 305.426 1.498 20 30 DDEDLO CC(C)C(C)(C)C(=O)NCC1=CC[N@H+](CC(=O)NCC#N)CC1 ZINC001279564221 903327255 /nfs/dbraw/zinc/32/72/55/903327255.db2.gz DHQLEGGTSUKUPU-UHFFFAOYSA-N 1 2 320.437 1.057 20 30 DDEDLO CC(C)C(C)(C)C(=O)NCC1=CC[N@@H+](CC(=O)NCC#N)CC1 ZINC001279564221 903327268 /nfs/dbraw/zinc/32/72/68/903327268.db2.gz DHQLEGGTSUKUPU-UHFFFAOYSA-N 1 2 320.437 1.057 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CC[N@H+](C)Cc1nc2ccccc2o1 ZINC001392265461 903696592 /nfs/dbraw/zinc/69/65/92/903696592.db2.gz MFHGGVSGGSWPQM-GFCCVEGCSA-N 1 2 300.362 1.878 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CC[N@@H+](C)Cc1nc2ccccc2o1 ZINC001392265461 903696600 /nfs/dbraw/zinc/69/66/00/903696600.db2.gz MFHGGVSGGSWPQM-GFCCVEGCSA-N 1 2 300.362 1.878 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)Cc1[nH]nc2c1CCCC2 ZINC001392275767 903737171 /nfs/dbraw/zinc/73/71/71/903737171.db2.gz IPYWLMDXJVQBCP-UHFFFAOYSA-N 1 2 324.856 1.974 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)Cc1[nH]nc2c1CCCC2 ZINC001392275767 903737180 /nfs/dbraw/zinc/73/71/80/903737180.db2.gz IPYWLMDXJVQBCP-UHFFFAOYSA-N 1 2 324.856 1.974 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](CNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001280948066 904230487 /nfs/dbraw/zinc/23/04/87/904230487.db2.gz FFCAZEBHNWRMBV-CYBMUJFWSA-N 1 2 304.394 1.272 20 30 DDEDLO C[C@@H](CN(C)C(=O)C#CC(C)(C)C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001281001998 904306246 /nfs/dbraw/zinc/30/62/46/904306246.db2.gz UWUXEAAHAJOYDW-ZDUSSCGKSA-N 1 2 318.421 1.355 20 30 DDEDLO C[C@H](C[N@H+](C)Cc1ccccc1C#N)NC(=O)c1ncccc1O ZINC001392643354 904706350 /nfs/dbraw/zinc/70/63/50/904706350.db2.gz JUDXMWXSONBGLQ-CYBMUJFWSA-N 1 2 324.384 1.909 20 30 DDEDLO C[C@H](C[N@@H+](C)Cc1ccccc1C#N)NC(=O)c1ncccc1O ZINC001392643354 904706361 /nfs/dbraw/zinc/70/63/61/904706361.db2.gz JUDXMWXSONBGLQ-CYBMUJFWSA-N 1 2 324.384 1.909 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)CCC3(C)CC3)CC2)C1 ZINC001281586371 905067953 /nfs/dbraw/zinc/06/79/53/905067953.db2.gz JPAOCVFTKCJZHU-UHFFFAOYSA-N 1 2 303.450 1.418 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)[C@H](C)[NH2+]Cc2cnsn2)cn1 ZINC001282218550 905622269 /nfs/dbraw/zinc/62/22/69/905622269.db2.gz JDTSAOWHNYKYJM-WDEREUQCSA-N 1 2 315.402 1.211 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](CC(=C)Cl)CC1(C)C ZINC001282799858 906050452 /nfs/dbraw/zinc/05/04/52/906050452.db2.gz FPEJOHJGOUACFM-IUODEOHRSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](CC(=C)Cl)CC1(C)C ZINC001282799858 906050466 /nfs/dbraw/zinc/05/04/66/906050466.db2.gz FPEJOHJGOUACFM-IUODEOHRSA-N 1 2 300.830 1.893 20 30 DDEDLO C=CCCC(=O)NCCCNC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001283201596 906872908 /nfs/dbraw/zinc/87/29/08/906872908.db2.gz NBLFLSLGNQYNEX-UHFFFAOYSA-N 1 2 314.389 1.845 20 30 DDEDLO CC[N@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1snnc1C ZINC001372320702 907022007 /nfs/dbraw/zinc/02/20/07/907022007.db2.gz OYLCTZXKZJWONC-UHFFFAOYSA-N 1 2 318.406 1.298 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1snnc1C ZINC001372320702 907022024 /nfs/dbraw/zinc/02/20/24/907022024.db2.gz OYLCTZXKZJWONC-UHFFFAOYSA-N 1 2 318.406 1.298 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)CN1CCCCC1=O ZINC001372360283 907123759 /nfs/dbraw/zinc/12/37/59/907123759.db2.gz KNKIBUMLNTXVQX-UHFFFAOYSA-N 1 2 301.818 1.190 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)CN1CCCCC1=O ZINC001372360283 907123765 /nfs/dbraw/zinc/12/37/65/907123765.db2.gz KNKIBUMLNTXVQX-UHFFFAOYSA-N 1 2 301.818 1.190 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc(C)s2)[C@@H]1C ZINC001284118098 908499675 /nfs/dbraw/zinc/49/96/75/908499675.db2.gz IEFQOIQEPHCXTM-JSGCOSHPSA-N 1 2 321.446 1.684 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2cnc(C)s2)[C@@H]1C ZINC001284118098 908499688 /nfs/dbraw/zinc/49/96/88/908499688.db2.gz IEFQOIQEPHCXTM-JSGCOSHPSA-N 1 2 321.446 1.684 20 30 DDEDLO COCC#CC[NH2+][C@@H]1CN(C(=O)C(F)C(F)(F)F)CC1(C)C ZINC001284295146 908787174 /nfs/dbraw/zinc/78/71/74/908787174.db2.gz IBCXZPWIISQNHR-MNOVXSKESA-N 1 2 324.318 1.363 20 30 DDEDLO COCC#CC[NH2+][C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CC1(C)C ZINC001284295146 908787185 /nfs/dbraw/zinc/78/71/85/908787185.db2.gz IBCXZPWIISQNHR-MNOVXSKESA-N 1 2 324.318 1.363 20 30 DDEDLO C=C(C)C[N@@H+](CCNC(=O)c1ccc2[nH]nnc2c1)CCOC ZINC001284667454 909328897 /nfs/dbraw/zinc/32/88/97/909328897.db2.gz FCQNJWQCRZDSJU-UHFFFAOYSA-N 1 2 317.393 1.212 20 30 DDEDLO C=C(C)C[N@H+](CCNC(=O)c1ccc2[nH]nnc2c1)CCOC ZINC001284667454 909328912 /nfs/dbraw/zinc/32/89/12/909328912.db2.gz FCQNJWQCRZDSJU-UHFFFAOYSA-N 1 2 317.393 1.212 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H]1CCN(C(=O)CCc2cnn[nH]2)C1 ZINC001373294337 909436697 /nfs/dbraw/zinc/43/66/97/909436697.db2.gz TYFVCGFXCOQUMC-GFCCVEGCSA-N 1 2 311.817 1.270 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H]1CCN(C(=O)CCc2cnn[nH]2)C1 ZINC001373294337 909436702 /nfs/dbraw/zinc/43/67/02/909436702.db2.gz TYFVCGFXCOQUMC-GFCCVEGCSA-N 1 2 311.817 1.270 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](CC)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001285078390 910038728 /nfs/dbraw/zinc/03/87/28/910038728.db2.gz BNRSFPRCCWWVHU-JSGCOSHPSA-N 1 2 320.437 1.874 20 30 DDEDLO CCOc1cccc(C[N@@H+]2CC[C@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001373587841 910262360 /nfs/dbraw/zinc/26/23/60/910262360.db2.gz KSJGIWKKZXWEBR-JSGCOSHPSA-N 1 2 302.378 1.330 20 30 DDEDLO CCOc1cccc(C[N@H+]2CC[C@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001373587841 910262384 /nfs/dbraw/zinc/26/23/84/910262384.db2.gz KSJGIWKKZXWEBR-JSGCOSHPSA-N 1 2 302.378 1.330 20 30 DDEDLO CO[C@H](C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373659430 910521639 /nfs/dbraw/zinc/52/16/39/910521639.db2.gz IVVGAFGUVFEESR-IUODEOHRSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@H](C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373659430 910521642 /nfs/dbraw/zinc/52/16/42/910521642.db2.gz IVVGAFGUVFEESR-IUODEOHRSA-N 1 2 319.380 1.765 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@H]1C[C@H]1C)Cc1ccccc1C#N ZINC001394828419 910677925 /nfs/dbraw/zinc/67/79/25/910677925.db2.gz AETDLZRAXAXKCY-PBHICJAKSA-N 1 2 315.417 1.779 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@H]1C[C@H]1C)Cc1ccccc1C#N ZINC001394828419 910677937 /nfs/dbraw/zinc/67/79/37/910677937.db2.gz AETDLZRAXAXKCY-PBHICJAKSA-N 1 2 315.417 1.779 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001285758228 911161210 /nfs/dbraw/zinc/16/12/10/911161210.db2.gz FJWFFNGQVPLRGT-ZDUSSCGKSA-N 1 2 306.410 1.449 20 30 DDEDLO C#CCCCCC(=O)N(C)[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001285773385 911186572 /nfs/dbraw/zinc/18/65/72/911186572.db2.gz FWSITRAGCBOMIC-CYBMUJFWSA-N 1 2 318.421 1.417 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@](C)(C[NH2+]Cc1noc(C2CC2)n1)C1CC1 ZINC001373873408 911245595 /nfs/dbraw/zinc/24/55/95/911245595.db2.gz IXWDLQKYHBIMHI-QLJPJBMISA-N 1 2 317.393 1.481 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]1CNC(=O)Cc1[nH]c[nH+]c1C ZINC001285881143 911359189 /nfs/dbraw/zinc/35/91/89/911359189.db2.gz KZLTXGUXFXMBCP-CYBMUJFWSA-N 1 2 304.394 1.334 20 30 DDEDLO CC(C)[C@@H](CCN(C)C(=O)[C@H](C)C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001395223547 911588592 /nfs/dbraw/zinc/58/85/92/911588592.db2.gz MAPRZURWVRYLCM-CHWSQXEVSA-N 1 2 319.409 1.101 20 30 DDEDLO CCCC[C@H](CNC(=O)Cn1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001286078030 911653563 /nfs/dbraw/zinc/65/35/63/911653563.db2.gz WSXNPCIRXRKSLH-OAHLLOKOSA-N 1 2 316.405 1.088 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@](C)(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286106771 911700910 /nfs/dbraw/zinc/70/09/10/911700910.db2.gz IYFOHULJGQOFAR-KRWDZBQOSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC([C@H](C)NC(=O)CCn2cc[nH+]c2)C1 ZINC001286201332 911823182 /nfs/dbraw/zinc/82/31/82/911823182.db2.gz RHXYTXPRAZFWNC-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001294730577 915321747 /nfs/dbraw/zinc/32/17/47/915321747.db2.gz WDNFQBYAYXATTF-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CCNC(=O)CCc1[nH+]ccn1C ZINC001295897964 916114460 /nfs/dbraw/zinc/11/44/60/916114460.db2.gz IUELAMFKCMGHIO-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1CCCN1C(=O)C#CC(C)C ZINC001296453728 916427751 /nfs/dbraw/zinc/42/77/51/916427751.db2.gz NCYNGBODVBGXIO-CQSZACIVSA-N 1 2 316.405 1.027 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCN(CCC)C(=O)Cc1c[nH+]c[nH]1 ZINC001296529113 916465581 /nfs/dbraw/zinc/46/55/81/916465581.db2.gz QQHNZIGCIAKQKH-UHFFFAOYSA-N 1 2 320.437 1.909 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001296941243 916640077 /nfs/dbraw/zinc/64/00/77/916640077.db2.gz IRIKMJMQYHUYGT-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCCCCC(=O)N1CC(NC(=O)c2cccc3[nH+]ccn32)C1 ZINC001297093592 916724983 /nfs/dbraw/zinc/72/49/83/916724983.db2.gz FQMYWWAHQBUFGK-UHFFFAOYSA-N 1 2 324.384 1.469 20 30 DDEDLO CCn1nc(C)c(C[NH+]2CCC(N(C)C(=O)[C@H](C)C#N)CC2)n1 ZINC001376014433 917476782 /nfs/dbraw/zinc/47/67/82/917476782.db2.gz QLEMXJKPWHERRM-GFCCVEGCSA-N 1 2 318.425 1.189 20 30 DDEDLO CC[C@H](OC)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001376113396 917703156 /nfs/dbraw/zinc/70/31/56/917703156.db2.gz HFVJAMKDPCHKKK-ZBFHGGJFSA-N 1 2 319.380 1.813 20 30 DDEDLO CC[C@H](OC)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001376113396 917703164 /nfs/dbraw/zinc/70/31/64/917703164.db2.gz HFVJAMKDPCHKKK-ZBFHGGJFSA-N 1 2 319.380 1.813 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+](C)CCCN(C)C(=O)[C@H](C)C#N)o1 ZINC001376911244 919758694 /nfs/dbraw/zinc/75/86/94/919758694.db2.gz PTRGSTSEVAWXGF-NEPJUHHUSA-N 1 2 307.398 1.633 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+](C)CCCN(C)C(=O)[C@H](C)C#N)o1 ZINC001376911244 919758704 /nfs/dbraw/zinc/75/87/04/919758704.db2.gz PTRGSTSEVAWXGF-NEPJUHHUSA-N 1 2 307.398 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1ccc2nnn(C)c2c1 ZINC001377247586 920773465 /nfs/dbraw/zinc/77/34/65/920773465.db2.gz ODQRJJMPTRQBON-UHFFFAOYSA-N 1 2 307.785 1.382 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1ccc2nnn(C)c2c1 ZINC001377247586 920773476 /nfs/dbraw/zinc/77/34/76/920773476.db2.gz ODQRJJMPTRQBON-UHFFFAOYSA-N 1 2 307.785 1.382 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)o1 ZINC001377321776 921042792 /nfs/dbraw/zinc/04/27/92/921042792.db2.gz QAGOICNTXHZYFQ-NEPJUHHUSA-N 1 2 319.409 1.508 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)o1 ZINC001377321776 921042811 /nfs/dbraw/zinc/04/28/11/921042811.db2.gz QAGOICNTXHZYFQ-NEPJUHHUSA-N 1 2 319.409 1.508 20 30 DDEDLO CC(C)c1nsc(C[N@@H+]2CC[C@@H](CNC(=O)[C@H](C)C#N)C2)n1 ZINC001377436227 921905400 /nfs/dbraw/zinc/90/54/00/921905400.db2.gz JEXGSZZNMQVEPL-NEPJUHHUSA-N 1 2 321.450 1.759 20 30 DDEDLO CC(C)c1nsc(C[N@H+]2CC[C@@H](CNC(=O)[C@H](C)C#N)C2)n1 ZINC001377436227 921905413 /nfs/dbraw/zinc/90/54/13/921905413.db2.gz JEXGSZZNMQVEPL-NEPJUHHUSA-N 1 2 321.450 1.759 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)NCCc2cn3c([nH+]2)CCCC3)c1 ZINC000614295390 361792405 /nfs/dbraw/zinc/79/24/05/361792405.db2.gz KEWNGWNISMUKIB-UHFFFAOYSA-N 1 2 310.357 1.769 20 30 DDEDLO Cc1ncc(C[NH+]2CCN(C(=O)c3c[nH]c(C#N)c3)CC2)s1 ZINC000181289970 199276790 /nfs/dbraw/zinc/27/67/90/199276790.db2.gz HOCXCZHPTWHIEK-UHFFFAOYSA-N 1 2 315.402 1.609 20 30 DDEDLO CO[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C ZINC000451578745 231130472 /nfs/dbraw/zinc/13/04/72/231130472.db2.gz XMFQSBHJRFNRKV-BBRMVZONSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C ZINC000451578745 231130476 /nfs/dbraw/zinc/13/04/76/231130476.db2.gz XMFQSBHJRFNRKV-BBRMVZONSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)Nc2cnn(CC(=O)NC3CC3)c2)[N@H+](C)C1 ZINC000328968505 539299846 /nfs/dbraw/zinc/29/98/46/539299846.db2.gz UDGILNPNZQFSNT-STQMWFEESA-N 1 2 321.381 1.309 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)Nc2cnn(CC(=O)NC3CC3)c2)[N@@H+](C)C1 ZINC000328968505 539299847 /nfs/dbraw/zinc/29/98/47/539299847.db2.gz UDGILNPNZQFSNT-STQMWFEESA-N 1 2 321.381 1.309 20 30 DDEDLO C[N@@H+]1CCO[C@H](C[NH+]=C([O-])N[C@H]2CCc3ccccc3C2)C1 ZINC000329124868 539301207 /nfs/dbraw/zinc/30/12/07/539301207.db2.gz SPRUEGQPMXCKCV-JKSUJKDBSA-N 1 2 303.406 1.378 20 30 DDEDLO C[N@H+]1CCO[C@H](C[NH+]=C([O-])N[C@H]2CCc3ccccc3C2)C1 ZINC000329124868 539301208 /nfs/dbraw/zinc/30/12/08/539301208.db2.gz SPRUEGQPMXCKCV-JKSUJKDBSA-N 1 2 303.406 1.378 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N[C@H]2CCc3ccccc3C2)C1 ZINC000329124868 539301210 /nfs/dbraw/zinc/30/12/10/539301210.db2.gz SPRUEGQPMXCKCV-JKSUJKDBSA-N 1 2 303.406 1.378 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N[C@H]2CCc3ccccc3C2)C1 ZINC000329124868 539301212 /nfs/dbraw/zinc/30/12/12/539301212.db2.gz SPRUEGQPMXCKCV-JKSUJKDBSA-N 1 2 303.406 1.378 20 30 DDEDLO COc1cccc(C[NH+]2CCN(CC#N)CC2)c1OC(F)F ZINC000092936259 185349816 /nfs/dbraw/zinc/34/98/16/185349816.db2.gz VVEJYHGDYSSQED-UHFFFAOYSA-N 1 2 311.332 1.938 20 30 DDEDLO C[N@H+](CC(=O)Nc1cc(Cl)ccc1C#N)C(C)(C)C(N)=O ZINC000295700202 529837535 /nfs/dbraw/zinc/83/75/35/529837535.db2.gz MJOPIPAXVSRNTE-UHFFFAOYSA-N 1 2 308.769 1.346 20 30 DDEDLO C[N@@H+](CC(=O)Nc1cc(Cl)ccc1C#N)C(C)(C)C(N)=O ZINC000295700202 529837536 /nfs/dbraw/zinc/83/75/36/529837536.db2.gz MJOPIPAXVSRNTE-UHFFFAOYSA-N 1 2 308.769 1.346 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[NH+]1CC(c2nc3ccccc3[nH]2)C1 ZINC000615792393 362442242 /nfs/dbraw/zinc/44/22/42/362442242.db2.gz IEROHWYSVKGUDF-LBPRGKRZSA-N 1 2 323.400 1.913 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[NH2+][C@H]2CCC[C@@H]2C#N)c1 ZINC000459479771 233231038 /nfs/dbraw/zinc/23/10/38/233231038.db2.gz OJIPCQDXUCGONU-HIFRSBDPSA-N 1 2 303.387 1.614 20 30 DDEDLO C=CC[C@@H](C)NC(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000623623248 365963681 /nfs/dbraw/zinc/96/36/81/365963681.db2.gz FICKIMRKZFQSOC-ZBFHGGJFSA-N 1 2 318.421 1.546 20 30 DDEDLO C=CC[C@@H](C)NC(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000623623248 365963686 /nfs/dbraw/zinc/96/36/86/365963686.db2.gz FICKIMRKZFQSOC-ZBFHGGJFSA-N 1 2 318.421 1.546 20 30 DDEDLO C=CCOc1cccc(C[N@@H+]2CCO[C@@H](CNC(C)=O)C2)c1 ZINC000179710868 186208772 /nfs/dbraw/zinc/20/87/72/186208772.db2.gz MBMICXCRWNRENH-KRWDZBQOSA-N 1 2 304.390 1.588 20 30 DDEDLO C=CCOc1cccc(C[N@H+]2CCO[C@@H](CNC(C)=O)C2)c1 ZINC000179710868 186208774 /nfs/dbraw/zinc/20/87/74/186208774.db2.gz MBMICXCRWNRENH-KRWDZBQOSA-N 1 2 304.390 1.588 20 30 DDEDLO CN(C)c1ccc(CNC(=O)COc2ccccc2C#N)c[nH+]1 ZINC000046386256 352450916 /nfs/dbraw/zinc/45/09/16/352450916.db2.gz HDSUREABXOIVHK-UHFFFAOYSA-N 1 2 310.357 1.714 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@H](O)COc2ccc(CC#N)cc2)no1 ZINC000051739297 352592591 /nfs/dbraw/zinc/59/25/91/352592591.db2.gz UTNAIMLOVIFCQW-INIZCTEOSA-N 1 2 315.373 1.921 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@H](O)COc2ccc(CC#N)cc2)no1 ZINC000051739297 352592594 /nfs/dbraw/zinc/59/25/94/352592594.db2.gz UTNAIMLOVIFCQW-INIZCTEOSA-N 1 2 315.373 1.921 20 30 DDEDLO C=CCNC(=O)[C@H](C)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000052660799 352622018 /nfs/dbraw/zinc/62/20/18/352622018.db2.gz NZAUPUAUCDLAKJ-HNNXBMFYSA-N 1 2 312.417 1.367 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000052660799 352622021 /nfs/dbraw/zinc/62/20/21/352622021.db2.gz NZAUPUAUCDLAKJ-HNNXBMFYSA-N 1 2 312.417 1.367 20 30 DDEDLO Cc1ccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)cc1C ZINC000060633919 352878092 /nfs/dbraw/zinc/87/80/92/352878092.db2.gz JJTGBGRIAJJNJB-UHFFFAOYSA-N 1 2 315.417 1.992 20 30 DDEDLO N#Cc1ccc(CN2CCN(C(=O)CCn3cc[nH+]c3)CC2)cc1 ZINC000060795585 352879979 /nfs/dbraw/zinc/87/99/79/352879979.db2.gz FZCVTDXJPDDZCV-UHFFFAOYSA-N 1 2 323.400 1.489 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2ccccc2O)CC1 ZINC000066862480 353020004 /nfs/dbraw/zinc/02/00/04/353020004.db2.gz NTGGFZKKQDIQGQ-UHFFFAOYSA-N 1 2 317.433 1.939 20 30 DDEDLO COC(=O)c1ccc(CNC(=O)[C@H](C)[N@H+](C)C[C@@H](C)C#N)cc1 ZINC000066469511 352998933 /nfs/dbraw/zinc/99/89/33/352998933.db2.gz YLGPCQMGXZNXGR-STQMWFEESA-N 1 2 317.389 1.569 20 30 DDEDLO COC(=O)c1ccc(CNC(=O)[C@H](C)[N@@H+](C)C[C@@H](C)C#N)cc1 ZINC000066469511 352998935 /nfs/dbraw/zinc/99/89/35/352998935.db2.gz YLGPCQMGXZNXGR-STQMWFEESA-N 1 2 317.389 1.569 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)cc1 ZINC000075506556 353365737 /nfs/dbraw/zinc/36/57/37/353365737.db2.gz RBSVTMHSDIEQRL-MRXNPFEDSA-N 1 2 314.389 1.887 20 30 DDEDLO N#CC1CC[NH+](CC(=O)NCCCOc2ccccc2F)CC1 ZINC000141962426 354142102 /nfs/dbraw/zinc/14/21/02/354142102.db2.gz SPOHENCKBIPIEP-UHFFFAOYSA-N 1 2 319.380 1.946 20 30 DDEDLO N#CC[N@@H+](C[C@@H](O)COc1ccc2c(c1)OCO2)C1CCCC1 ZINC000156703890 354221237 /nfs/dbraw/zinc/22/12/37/354221237.db2.gz LOLBAUQLSYQCCF-CQSZACIVSA-N 1 2 318.373 1.923 20 30 DDEDLO N#CC[N@H+](C[C@@H](O)COc1ccc2c(c1)OCO2)C1CCCC1 ZINC000156703890 354221241 /nfs/dbraw/zinc/22/12/41/354221241.db2.gz LOLBAUQLSYQCCF-CQSZACIVSA-N 1 2 318.373 1.923 20 30 DDEDLO C[C@@H]([NH2+]C[C@@H](C#N)CCC#N)c1cccc(S(N)(=O)=O)c1 ZINC000579278655 354717740 /nfs/dbraw/zinc/71/77/40/354717740.db2.gz BSNIAUNWZGERJI-VXGBXAGGSA-N 1 2 306.391 1.428 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[NH+]1CC(C)(C(N)=O)C1 ZINC000579349304 354718452 /nfs/dbraw/zinc/71/84/52/354718452.db2.gz HPATWFYDQJZLJF-ZDUSSCGKSA-N 1 2 314.389 1.129 20 30 DDEDLO N#CC1CC[NH+]([C@@H]2CCN(c3cccc([N+](=O)[O-])c3)C2=O)CC1 ZINC000067378679 283050437 /nfs/dbraw/zinc/05/04/37/283050437.db2.gz JYWRMZVFKSGFSD-OAHLLOKOSA-N 1 2 314.345 1.936 20 30 DDEDLO CCO[C@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000588959178 354952028 /nfs/dbraw/zinc/95/20/28/354952028.db2.gz CFCSDFFBLFIVQD-AWEZNQCLSA-N 1 2 323.418 1.228 20 30 DDEDLO CCO[C@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000588959178 354952032 /nfs/dbraw/zinc/95/20/32/354952032.db2.gz CFCSDFFBLFIVQD-AWEZNQCLSA-N 1 2 323.418 1.228 20 30 DDEDLO Cc1cc(N2CCC[C@@H](O)C2)c(C#N)c(N2CCC[C@H](O)C2)[nH+]1 ZINC000589103275 354959262 /nfs/dbraw/zinc/95/92/62/354959262.db2.gz VRIFQITXYSCYGT-KGLIPLIRSA-N 1 2 316.405 1.184 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(Cc2nc(C#N)cs2)CC1 ZINC000589495875 354995942 /nfs/dbraw/zinc/99/59/42/354995942.db2.gz HCGJQWABYGNHPU-UHFFFAOYSA-N 1 2 317.418 1.937 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)NCc1ccc(CC#N)cc1)CC2 ZINC000592041524 355474921 /nfs/dbraw/zinc/47/49/21/355474921.db2.gz YTYOUXKTLRWZSF-UHFFFAOYSA-N 1 2 309.373 1.983 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)NC2C3CC4CC(C3)CC2C4)C1 ZINC000592145451 355511077 /nfs/dbraw/zinc/51/10/77/355511077.db2.gz VXANYJZZONGHOM-NEPSPCKVSA-N 1 2 317.433 1.278 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)NC2C3CC4CC(C3)CC2C4)C1 ZINC000592145451 355511080 /nfs/dbraw/zinc/51/10/80/355511080.db2.gz VXANYJZZONGHOM-NEPSPCKVSA-N 1 2 317.433 1.278 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148049 355514170 /nfs/dbraw/zinc/51/41/70/355514170.db2.gz QPQOARLYSSZEGI-XJKSGUPXSA-N 1 2 304.394 1.068 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148049 355514173 /nfs/dbraw/zinc/51/41/73/355514173.db2.gz QPQOARLYSSZEGI-XJKSGUPXSA-N 1 2 304.394 1.068 20 30 DDEDLO C[C@H](CNC(=O)c1ccc(C#N)nc1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594108797 356114883 /nfs/dbraw/zinc/11/48/83/356114883.db2.gz XFXFDPWJJGABST-UPJWGTAASA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@H](CNC(=O)c1ccc(C#N)nc1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594108797 356114887 /nfs/dbraw/zinc/11/48/87/356114887.db2.gz XFXFDPWJJGABST-UPJWGTAASA-N 1 2 302.378 1.181 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)N(CCC(N)=O)c1ccc(F)cc1 ZINC000594011645 356085791 /nfs/dbraw/zinc/08/57/91/356085791.db2.gz IQXWSVQBOFJPAB-ZDUSSCGKSA-N 1 2 320.368 1.316 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000594009015 356085966 /nfs/dbraw/zinc/08/59/66/356085966.db2.gz YDPRKGZAXRYYGX-JTQLQIEISA-N 1 2 318.333 1.345 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)N1CCN(Cc2ccsc2)CC1 ZINC000594010972 356086360 /nfs/dbraw/zinc/08/63/60/356086360.db2.gz ZVNWKKAVSQSSCD-HNNXBMFYSA-N 1 2 320.462 1.674 20 30 DDEDLO C[C@H](CNC(=O)c1cc(O)cc(C#N)c1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594405256 356199322 /nfs/dbraw/zinc/19/93/22/356199322.db2.gz VQMMXEXDXPBEMD-UPJWGTAASA-N 1 2 317.389 1.491 20 30 DDEDLO C[C@H](CNC(=O)c1cc(O)cc(C#N)c1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594405256 356199324 /nfs/dbraw/zinc/19/93/24/356199324.db2.gz VQMMXEXDXPBEMD-UPJWGTAASA-N 1 2 317.389 1.491 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C#N)cs1 ZINC000287507878 219568272 /nfs/dbraw/zinc/56/82/72/219568272.db2.gz UURJQCGWBHVYSQ-YPMHNXCESA-N 1 2 305.403 1.555 20 30 DDEDLO N#CC1(c2ccc(C[NH2+][C@H](C(N)=O)c3ccccn3)cc2)CC1 ZINC000594946039 356361511 /nfs/dbraw/zinc/36/15/11/356361511.db2.gz ZYKFFLLZCUIHIH-INIZCTEOSA-N 1 2 306.369 1.953 20 30 DDEDLO COC(=O)CC[C@H](C(=O)OC)[N@H+](C)Cc1ccc(C#N)cc1 ZINC000595286632 356432876 /nfs/dbraw/zinc/43/28/76/356432876.db2.gz DZBPTWYCRLARFN-CQSZACIVSA-N 1 2 304.346 1.485 20 30 DDEDLO COC(=O)CC[C@H](C(=O)OC)[N@@H+](C)Cc1ccc(C#N)cc1 ZINC000595286632 356432877 /nfs/dbraw/zinc/43/28/77/356432877.db2.gz DZBPTWYCRLARFN-CQSZACIVSA-N 1 2 304.346 1.485 20 30 DDEDLO CCN1CC[C@H]([NH+]2CCN(Cc3ccc(C#N)cc3)CC2)C1=O ZINC000595287404 356433581 /nfs/dbraw/zinc/43/35/81/356433581.db2.gz SDWBNLBBWIGHGT-KRWDZBQOSA-N 1 2 312.417 1.297 20 30 DDEDLO CCN1CC[C@H](N2CC[NH+](Cc3ccc(C#N)cc3)CC2)C1=O ZINC000595287404 356433582 /nfs/dbraw/zinc/43/35/82/356433582.db2.gz SDWBNLBBWIGHGT-KRWDZBQOSA-N 1 2 312.417 1.297 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(F)cc2[N+](=O)[O-])C1=O ZINC000595473693 356507329 /nfs/dbraw/zinc/50/73/29/356507329.db2.gz KZJQOGYTOYXRPW-ZDUSSCGKSA-N 1 2 307.325 1.953 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(F)cc2[N+](=O)[O-])C1=O ZINC000595473693 356507335 /nfs/dbraw/zinc/50/73/35/356507335.db2.gz KZJQOGYTOYXRPW-ZDUSSCGKSA-N 1 2 307.325 1.953 20 30 DDEDLO C=CCCSCCNC(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000595515729 356527823 /nfs/dbraw/zinc/52/78/23/356527823.db2.gz NSLSSZXENQZOGS-KBPBESRZSA-N 1 2 315.483 1.704 20 30 DDEDLO CCOC1CC[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000081512736 192275660 /nfs/dbraw/zinc/27/56/60/192275660.db2.gz LTHWSBPWWUYOCP-UHFFFAOYSA-N 1 2 322.430 1.833 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CCC(=O)N(C)CC2)c(C#N)c1C ZINC000595771744 356646209 /nfs/dbraw/zinc/64/62/09/356646209.db2.gz FGNKZMKVUBSSII-NSHDSACASA-N 1 2 318.377 1.259 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CCC(=O)N(C)CC2)c(C#N)c1C ZINC000595771744 356646214 /nfs/dbraw/zinc/64/62/14/356646214.db2.gz FGNKZMKVUBSSII-NSHDSACASA-N 1 2 318.377 1.259 20 30 DDEDLO N#C[C@H]1CC[C@H]([N@@H+]2CCc3sc(S(N)(=O)=O)cc3C2)C1 ZINC000595884748 356690879 /nfs/dbraw/zinc/69/08/79/356690879.db2.gz LDEGSNCJAXRYAB-ONGXEEELSA-N 1 2 311.432 1.446 20 30 DDEDLO N#C[C@H]1CC[C@H]([N@H+]2CCc3sc(S(N)(=O)=O)cc3C2)C1 ZINC000595884748 356690882 /nfs/dbraw/zinc/69/08/82/356690882.db2.gz LDEGSNCJAXRYAB-ONGXEEELSA-N 1 2 311.432 1.446 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)CC1=CCSC1 ZINC000595888421 356692722 /nfs/dbraw/zinc/69/27/22/356692722.db2.gz LTCZFDJWDSRDIU-UHFFFAOYSA-N 1 2 323.443 1.332 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)CC1=CCSC1 ZINC000595888421 356692723 /nfs/dbraw/zinc/69/27/23/356692723.db2.gz LTCZFDJWDSRDIU-UHFFFAOYSA-N 1 2 323.443 1.332 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(N)=O ZINC000459427813 283212373 /nfs/dbraw/zinc/21/23/73/283212373.db2.gz JLQNWOCDTBLMTC-ZOWXZIJZSA-N 1 2 314.345 1.552 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(N)=O ZINC000459427813 283212380 /nfs/dbraw/zinc/21/23/80/283212380.db2.gz JLQNWOCDTBLMTC-ZOWXZIJZSA-N 1 2 314.345 1.552 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cnc2c(F)cccc2c1 ZINC000596038363 356751659 /nfs/dbraw/zinc/75/16/59/356751659.db2.gz LQEVUAYSGLZBSG-CYBMUJFWSA-N 1 2 303.337 1.959 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cnc2c(F)cccc2c1 ZINC000596038363 356751661 /nfs/dbraw/zinc/75/16/61/356751661.db2.gz LQEVUAYSGLZBSG-CYBMUJFWSA-N 1 2 303.337 1.959 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)NCC(C)(C)CCC#N ZINC000596600808 356930367 /nfs/dbraw/zinc/93/03/67/356930367.db2.gz KDOCOMBZLYGRQR-KGLIPLIRSA-N 1 2 310.442 1.725 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)NCC(C)(C)CCC#N ZINC000596600808 356930371 /nfs/dbraw/zinc/93/03/71/356930371.db2.gz KDOCOMBZLYGRQR-KGLIPLIRSA-N 1 2 310.442 1.725 20 30 DDEDLO N#Cc1cnc(N2CCN(c3cccc[nH+]3)CC2)c([N+](=O)[O-])c1 ZINC000296614603 283233110 /nfs/dbraw/zinc/23/31/10/283233110.db2.gz UICDDNLIQNDULL-UHFFFAOYSA-N 1 2 310.317 1.583 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(C(=O)CC2(C#N)CCCCC2)CC1 ZINC000596666617 356946870 /nfs/dbraw/zinc/94/68/70/356946870.db2.gz WVQPEAJXJOJJAZ-UHFFFAOYSA-N 1 2 320.437 1.083 20 30 DDEDLO N#CCCN(Cc1ccccn1)C(=O)[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000597148174 357072652 /nfs/dbraw/zinc/07/26/52/357072652.db2.gz SEZBETSCHMJXTE-ZDUSSCGKSA-N 1 2 309.373 1.852 20 30 DDEDLO N#CCCN(Cc1ccccn1)C(=O)[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000597148174 357072654 /nfs/dbraw/zinc/07/26/54/357072654.db2.gz SEZBETSCHMJXTE-ZDUSSCGKSA-N 1 2 309.373 1.852 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000597942826 357392773 /nfs/dbraw/zinc/39/27/73/357392773.db2.gz DFBALOGJMONWCS-UHFFFAOYSA-N 1 2 309.373 1.822 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)[C@@H](C)CO1 ZINC000597994168 357410607 /nfs/dbraw/zinc/41/06/07/357410607.db2.gz AWUFIWBMWJSNCP-QWRGUYRKSA-N 1 2 323.343 1.675 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)[C@@H](C)CO1 ZINC000597994168 357410609 /nfs/dbraw/zinc/41/06/09/357410609.db2.gz AWUFIWBMWJSNCP-QWRGUYRKSA-N 1 2 323.343 1.675 20 30 DDEDLO N#Cc1ccc(C[NH2+][C@H]2CS(=O)(=O)Cc3ccccc32)o1 ZINC000598675950 357682289 /nfs/dbraw/zinc/68/22/89/357682289.db2.gz BEGPKSIPNSJYNR-HNNXBMFYSA-N 1 2 302.355 1.911 20 30 DDEDLO N#Cc1nccnc1NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000599184024 357842772 /nfs/dbraw/zinc/84/27/72/357842772.db2.gz WAASVDWRNMDIJT-AWEZNQCLSA-N 1 2 310.361 1.056 20 30 DDEDLO N#Cc1nccnc1NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000599184024 357842776 /nfs/dbraw/zinc/84/27/76/357842776.db2.gz WAASVDWRNMDIJT-AWEZNQCLSA-N 1 2 310.361 1.056 20 30 DDEDLO Cc1ccccc1[C@H]1C(=O)NCC[N@H+]1C[C@H](O)CC1(C#N)CC1 ZINC000599364268 357910718 /nfs/dbraw/zinc/91/07/18/357910718.db2.gz JWQUDRNUPLBSEU-ZBFHGGJFSA-N 1 2 313.401 1.523 20 30 DDEDLO Cc1ccccc1[C@H]1C(=O)NCC[N@@H+]1C[C@H](O)CC1(C#N)CC1 ZINC000599364268 357910724 /nfs/dbraw/zinc/91/07/24/357910724.db2.gz JWQUDRNUPLBSEU-ZBFHGGJFSA-N 1 2 313.401 1.523 20 30 DDEDLO N#CCc1cccc2c1CCN(CC[N@H+]1C[C@H]3CC[C@@H](C1)O3)C2 ZINC000599676463 358020870 /nfs/dbraw/zinc/02/08/70/358020870.db2.gz DHMOYOVIQBSVGQ-HDICACEKSA-N 1 2 311.429 1.974 20 30 DDEDLO N#CCc1cccc2c1CCN(CC[N@@H+]1C[C@H]3CC[C@@H](C1)O3)C2 ZINC000599676463 358020872 /nfs/dbraw/zinc/02/08/72/358020872.db2.gz DHMOYOVIQBSVGQ-HDICACEKSA-N 1 2 311.429 1.974 20 30 DDEDLO COc1cc(NC(=O)N2CCn3c[nH+]cc3C2)c(F)cc1C#N ZINC000599811806 358064818 /nfs/dbraw/zinc/06/48/18/358064818.db2.gz FTYRXWFXCLUBNP-UHFFFAOYSA-N 1 2 315.308 1.950 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC([NH+]3CCOCC3)CC2)cc1O ZINC000600108817 358125967 /nfs/dbraw/zinc/12/59/67/358125967.db2.gz VPBIGCWJGKMNII-UHFFFAOYSA-N 1 2 315.373 1.201 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cccc(C(=O)OCC)n2)C1=O ZINC000600158143 358141545 /nfs/dbraw/zinc/14/15/45/358141545.db2.gz HVANTVPUVXZLIA-HNNXBMFYSA-N 1 2 317.389 1.477 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cccc(C(=O)OCC)n2)C1=O ZINC000600158143 358141547 /nfs/dbraw/zinc/14/15/47/358141547.db2.gz HVANTVPUVXZLIA-HNNXBMFYSA-N 1 2 317.389 1.477 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCc3[nH]c[nH+]c3C23CCOCC3)[nH]1 ZINC000601654117 358639531 /nfs/dbraw/zinc/63/95/31/358639531.db2.gz OKTSRLVJUARDIN-UHFFFAOYSA-N 1 2 311.345 1.314 20 30 DDEDLO CC(C)(CNS(=O)(=O)c1ccc(C#N)c(F)c1)n1cc[nH+]c1 ZINC000601527509 358589173 /nfs/dbraw/zinc/58/91/73/358589173.db2.gz VDOULGRFKVMGQD-UHFFFAOYSA-N 1 2 322.365 1.607 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N[C@H]1CCn2c[nH+]cc2C1 ZINC000601969922 358758879 /nfs/dbraw/zinc/75/88/79/358758879.db2.gz UJGOVIYPVNLPAK-ZDUSSCGKSA-N 1 2 316.386 1.357 20 30 DDEDLO COCCN(CCC#N)C(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000602092729 358809362 /nfs/dbraw/zinc/80/93/62/358809362.db2.gz ZNMACUDCZXMMKN-UHFFFAOYSA-N 1 2 314.345 1.580 20 30 DDEDLO CCOc1cccc(CCC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000602332653 358934690 /nfs/dbraw/zinc/93/46/90/358934690.db2.gz LBHWRUWEUUIBFH-KRWDZBQOSA-N 1 2 303.406 1.978 20 30 DDEDLO COc1ccc(N2CC[NH+](Cc3cccc(C#N)n3)CC2)nc1 ZINC000602684939 359124616 /nfs/dbraw/zinc/12/46/16/359124616.db2.gz BHWGURNUAKDSJM-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)N(C)Cc2ccccc2)C[C@H](C)N1CC#N ZINC000602856010 359242320 /nfs/dbraw/zinc/24/23/20/359242320.db2.gz XTUSDMOZCFDJDQ-HOTGVXAUSA-N 1 2 314.433 1.563 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)Nc2ccc(F)c(F)c2)C[C@H](C)N1CC#N ZINC000602865486 359250580 /nfs/dbraw/zinc/25/05/80/359250580.db2.gz TVHYNGCJJGAWMS-RYUDHWBXSA-N 1 2 322.359 1.821 20 30 DDEDLO CCn1nc(C)c(C[N@H+](C)[C@H](C)C(=O)NC2(C#N)CCC2)c1C ZINC000602926051 359297613 /nfs/dbraw/zinc/29/76/13/359297613.db2.gz ODYZUEPWHRIYOB-CQSZACIVSA-N 1 2 317.437 1.903 20 30 DDEDLO CCn1nc(C)c(C[N@@H+](C)[C@H](C)C(=O)NC2(C#N)CCC2)c1C ZINC000602926051 359297618 /nfs/dbraw/zinc/29/76/18/359297618.db2.gz ODYZUEPWHRIYOB-CQSZACIVSA-N 1 2 317.437 1.903 20 30 DDEDLO C[N@H+](CC(=O)NCCOc1cccc(F)c1)[C@@H]1CCC[C@@H]1C#N ZINC000602978283 359336678 /nfs/dbraw/zinc/33/66/78/359336678.db2.gz OETKBBMAMPDDFV-CZUORRHYSA-N 1 2 319.380 1.945 20 30 DDEDLO C[N@@H+](CC(=O)NCCOc1cccc(F)c1)[C@@H]1CCC[C@@H]1C#N ZINC000602978283 359336679 /nfs/dbraw/zinc/33/66/79/359336679.db2.gz OETKBBMAMPDDFV-CZUORRHYSA-N 1 2 319.380 1.945 20 30 DDEDLO C[N@H+](CC(=O)NCCOc1ccc(F)cc1)[C@@H]1CCC[C@@H]1C#N ZINC000602978372 359336918 /nfs/dbraw/zinc/33/69/18/359336918.db2.gz PQTTZZLGMJXEGN-CZUORRHYSA-N 1 2 319.380 1.945 20 30 DDEDLO C[N@@H+](CC(=O)NCCOc1ccc(F)cc1)[C@@H]1CCC[C@@H]1C#N ZINC000602978372 359336921 /nfs/dbraw/zinc/33/69/21/359336921.db2.gz PQTTZZLGMJXEGN-CZUORRHYSA-N 1 2 319.380 1.945 20 30 DDEDLO Cc1[nH+]cccc1Cn1cc(CN(C)c2cnc(C#N)cn2)nn1 ZINC000603224101 359495096 /nfs/dbraw/zinc/49/50/96/359495096.db2.gz ZQRKMEBKXXXKOF-UHFFFAOYSA-N 1 2 320.360 1.328 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)c2cc(C)c(C(=O)OC)o2)nn1 ZINC000603247224 359512994 /nfs/dbraw/zinc/51/29/94/359512994.db2.gz GBOFDNVWLHREOW-LBPRGKRZSA-N 1 2 316.361 1.688 20 30 DDEDLO N#Cc1cccc(CNC(=O)[C@H]2COCC[N@@H+]2CC2CCC2)c1 ZINC000187200715 200076234 /nfs/dbraw/zinc/07/62/34/200076234.db2.gz SIPPNLPSDYTLOZ-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1cccc(CNC(=O)[C@H]2COCC[N@H+]2CC2CCC2)c1 ZINC000187200715 200076235 /nfs/dbraw/zinc/07/62/35/200076235.db2.gz SIPPNLPSDYTLOZ-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO O=C(C[C@H]1CCOC1)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000329828023 223035228 /nfs/dbraw/zinc/03/52/28/223035228.db2.gz JAAMNXFGXZZKDR-CYBMUJFWSA-N 1 2 305.378 1.801 20 30 DDEDLO C[C@H]([NH+]=C([O-])NC[C@@H]1CCc2[nH+]ccn2C1)[C@H]1CCCOC1 ZINC000329904724 223044777 /nfs/dbraw/zinc/04/47/77/223044777.db2.gz REMHQNXMBMDUOO-IHRRRGAJSA-N 1 2 306.410 1.764 20 30 DDEDLO N#CCN1CCC(NC(=O)c2ccc(Cn3cc[nH+]c3)cc2)CC1 ZINC000609331898 360294887 /nfs/dbraw/zinc/29/48/87/360294887.db2.gz FYHYOKCSQUGQIE-UHFFFAOYSA-N 1 2 323.400 1.649 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC[S@](=O)c2ccccc2)CC1 ZINC000609538840 360321347 /nfs/dbraw/zinc/32/13/47/360321347.db2.gz OHRICSMSLFUCQB-VGSWGCGISA-N 1 2 319.474 1.960 20 30 DDEDLO CC(C)C[C@H](C#N)NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000610159106 360387467 /nfs/dbraw/zinc/38/74/67/360387467.db2.gz QIBKWJSCHBINHK-IAGOWNOFSA-N 1 2 315.417 1.942 20 30 DDEDLO CC(C)C[C@H](C#N)NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000610159106 360387472 /nfs/dbraw/zinc/38/74/72/360387472.db2.gz QIBKWJSCHBINHK-IAGOWNOFSA-N 1 2 315.417 1.942 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)s1 ZINC000610521699 360459557 /nfs/dbraw/zinc/45/95/57/360459557.db2.gz WXMBKCTVCGDPQU-ZDUSSCGKSA-N 1 2 319.430 1.947 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cc(O)ccc2Cl)CC1 ZINC000625043451 366682027 /nfs/dbraw/zinc/68/20/27/366682027.db2.gz LXYUKLHVAPYDMM-UHFFFAOYSA-N 1 2 323.824 1.465 20 30 DDEDLO N#CCCN1CC[NH+](CCCn2c3ccccc3[nH]c2=O)CC1 ZINC000611174521 360648141 /nfs/dbraw/zinc/64/81/41/360648141.db2.gz GPFRPGZWHWYAKE-UHFFFAOYSA-N 1 2 313.405 1.663 20 30 DDEDLO Cc1ccccc1CNC(=O)[C@@H](C)[NH+]1CCN(CCC#N)CC1 ZINC000611175563 360649463 /nfs/dbraw/zinc/64/94/63/360649463.db2.gz ZWFAWLAXBJICFT-MRXNPFEDSA-N 1 2 314.433 1.531 20 30 DDEDLO Cc1ccc(C#N)nc1NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000611399338 360711701 /nfs/dbraw/zinc/71/17/01/360711701.db2.gz LGCQFQUBFYMENK-INIZCTEOSA-N 1 2 318.446 1.882 20 30 DDEDLO O=C(CN1CCCCCCC1=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000330293892 223100143 /nfs/dbraw/zinc/10/01/43/223100143.db2.gz DYAQVFMIEWZOJA-ZDUSSCGKSA-N 1 2 304.394 1.947 20 30 DDEDLO O=C(CS(=O)(=O)C1CCCC1)N[C@H]1CCn2cc[nH+]c2C1 ZINC000330290369 223100394 /nfs/dbraw/zinc/10/03/94/223100394.db2.gz CJFKUHWIISVDQR-NSHDSACASA-N 1 2 311.407 1.512 20 30 DDEDLO Cc1nnccc1NC(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000330317800 223102478 /nfs/dbraw/zinc/10/24/78/223102478.db2.gz BWWKHHAKZZPBNG-ZDUSSCGKSA-N 1 2 315.381 1.053 20 30 DDEDLO Cc1cc([C@H](C)NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)c(C)o1 ZINC000330546565 223126922 /nfs/dbraw/zinc/12/69/22/223126922.db2.gz ACFDOEGPZQTLJE-JSGCOSHPSA-N 1 2 308.426 1.707 20 30 DDEDLO Cc1cc([C@H](C)NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)c(C)o1 ZINC000330546565 223126925 /nfs/dbraw/zinc/12/69/25/223126925.db2.gz ACFDOEGPZQTLJE-JSGCOSHPSA-N 1 2 308.426 1.707 20 30 DDEDLO COC[C@@H]1C[N@@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C[C@@H](C)O1 ZINC000331257972 223198235 /nfs/dbraw/zinc/19/82/35/223198235.db2.gz DVWOKDHKKJGUGV-HGTKMLMNSA-N 1 2 311.426 1.165 20 30 DDEDLO COC[C@@H]1C[N@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C[C@@H](C)O1 ZINC000331257972 223198236 /nfs/dbraw/zinc/19/82/36/223198236.db2.gz DVWOKDHKKJGUGV-HGTKMLMNSA-N 1 2 311.426 1.165 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CC2(CCC2)[C@H]1[C@@H]1CCCO1)C1CC1 ZINC000332862976 223216476 /nfs/dbraw/zinc/21/64/76/223216476.db2.gz FUTOVCHTHRVNCR-USXIJHARSA-N 1 2 317.433 1.828 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CC2(CCC2)[C@H]1[C@@H]1CCCO1)C1CC1 ZINC000332862976 223216478 /nfs/dbraw/zinc/21/64/78/223216478.db2.gz FUTOVCHTHRVNCR-USXIJHARSA-N 1 2 317.433 1.828 20 30 DDEDLO CCS(=O)(=O)C1CC[NH+](CCOc2ccc(C#N)cc2)CC1 ZINC000193251046 201013768 /nfs/dbraw/zinc/01/37/68/201013768.db2.gz ZTUALDJUURPCSA-UHFFFAOYSA-N 1 2 322.430 1.836 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000619494721 364036667 /nfs/dbraw/zinc/03/66/67/364036667.db2.gz GEUBWNIKAJFFKQ-QWHCGFSZSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000619494721 364036670 /nfs/dbraw/zinc/03/66/70/364036670.db2.gz GEUBWNIKAJFFKQ-QWHCGFSZSA-N 1 2 307.419 1.466 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@H](NS(C)(=O)=O)C2)ccc1C#N ZINC000619674198 364111602 /nfs/dbraw/zinc/11/16/02/364111602.db2.gz ORQBDBKOHOTBTR-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@H](NS(C)(=O)=O)C2)ccc1C#N ZINC000619674198 364111608 /nfs/dbraw/zinc/11/16/08/364111608.db2.gz ORQBDBKOHOTBTR-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO COCC[C@](C)(C#N)NC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000344937661 223340054 /nfs/dbraw/zinc/34/00/54/223340054.db2.gz HFCLPSNBSFMLHJ-QGZVFWFLSA-N 1 2 312.373 1.980 20 30 DDEDLO Cc1cccc(N2CC[NH+](CC(=O)NC3(C#N)CCC3)CC2)c1 ZINC000346883643 223361874 /nfs/dbraw/zinc/36/18/74/223361874.db2.gz MOKQTNUBYBUFSW-UHFFFAOYSA-N 1 2 312.417 1.680 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CCCC(C)(C)C#N)[C@H](C(=O)OC)C1 ZINC000248580422 284057803 /nfs/dbraw/zinc/05/78/03/284057803.db2.gz PZAVVQVVGSBCSH-OLZOCXBDSA-N 1 2 310.394 1.743 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CCCC(C)(C)C#N)[C@H](C(=O)OC)C1 ZINC000248580422 284057805 /nfs/dbraw/zinc/05/78/05/284057805.db2.gz PZAVVQVVGSBCSH-OLZOCXBDSA-N 1 2 310.394 1.743 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000182915288 335004739 /nfs/dbraw/zinc/00/47/39/335004739.db2.gz XBUTWIGAEMBSDL-AWEZNQCLSA-N 1 2 304.394 1.418 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(c2ccc(C#N)c(Cl)c2)CC1 ZINC000264633431 204040782 /nfs/dbraw/zinc/04/07/82/204040782.db2.gz LEARPZVXHYDMHF-UHFFFAOYSA-N 1 2 320.824 1.858 20 30 DDEDLO Cc1cn2cc(NC(=O)C(=O)N(CCC#N)C(C)C)ccc2[nH+]1 ZINC000525862837 335021410 /nfs/dbraw/zinc/02/14/10/335021410.db2.gz UVJCPBWRXPMGOV-UHFFFAOYSA-N 1 2 313.361 1.732 20 30 DDEDLO CC1(C)C[NH+]=C(N2CCN(c3ccc(C#N)cn3)CC2)S1 ZINC000267973549 206369679 /nfs/dbraw/zinc/36/96/79/206369679.db2.gz WACLASXXHZWNMA-UHFFFAOYSA-N 1 2 301.419 1.957 20 30 DDEDLO Cc1cnn(CCN2CC[NH+](Cc3ccc(C#N)cc3)CC2)c1 ZINC000336875696 249280733 /nfs/dbraw/zinc/28/07/33/249280733.db2.gz KMAOTRHLQRGBQS-UHFFFAOYSA-N 1 2 309.417 1.881 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(C(=O)OCC)CC1 ZINC000042249029 183246745 /nfs/dbraw/zinc/24/67/45/183246745.db2.gz WXGIZVHXHJTPMB-CQSZACIVSA-N 1 2 309.410 1.350 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CCOc3cc[nH+]cc32)ccc1C#N ZINC000339155658 250317783 /nfs/dbraw/zinc/31/77/83/250317783.db2.gz PHCQKLVKJJIBFY-UHFFFAOYSA-N 1 2 315.354 1.849 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)NCc2ccc(F)cc2)CC1 ZINC000341997093 533108806 /nfs/dbraw/zinc/10/88/06/533108806.db2.gz UEEGVICWBJSSNV-UHFFFAOYSA-N 1 2 319.380 1.694 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CCC(=O)c2cccc(F)c2)CC1 ZINC000271359862 208846223 /nfs/dbraw/zinc/84/62/23/208846223.db2.gz ZVQRJOOEDWCTRV-UHFFFAOYSA-N 1 2 316.376 1.956 20 30 DDEDLO C#CCCCCNC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000154966611 197009006 /nfs/dbraw/zinc/00/90/06/197009006.db2.gz IOFAJIQOCPFVHW-UHFFFAOYSA-N 1 2 319.409 1.140 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)CCO1 ZINC000289747437 221199667 /nfs/dbraw/zinc/19/96/67/221199667.db2.gz KYWDTOSADMADMR-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)CCO1 ZINC000289747437 221199671 /nfs/dbraw/zinc/19/96/71/221199671.db2.gz KYWDTOSADMADMR-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](C)[C@@H](C)[C@@H](C)S(C)(=O)=O ZINC000120968095 195273726 /nfs/dbraw/zinc/27/37/26/195273726.db2.gz CDYOFICSMYDMFV-NWDGAFQWSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](C)[C@@H](C)[C@@H](C)S(C)(=O)=O ZINC000120968095 195273727 /nfs/dbraw/zinc/27/37/27/195273727.db2.gz CDYOFICSMYDMFV-NWDGAFQWSA-N 1 2 310.419 1.820 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)C2(C#N)CCCCC2)C[C@@H]1C ZINC000330741183 533418618 /nfs/dbraw/zinc/41/86/18/533418618.db2.gz PJCWVKFCIHIUBC-AWEZNQCLSA-N 1 2 321.421 1.556 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)C2(C#N)CCCCC2)C[C@@H]1C ZINC000330741183 533418623 /nfs/dbraw/zinc/41/86/23/533418623.db2.gz PJCWVKFCIHIUBC-AWEZNQCLSA-N 1 2 321.421 1.556 20 30 DDEDLO COc1ccc(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)cc1 ZINC000329893452 533421904 /nfs/dbraw/zinc/42/19/04/533421904.db2.gz ARFAXZLJOYNIOA-CABCVRRESA-N 1 2 305.378 1.124 20 30 DDEDLO COc1ccc(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)cc1 ZINC000329893452 533421912 /nfs/dbraw/zinc/42/19/12/533421912.db2.gz ARFAXZLJOYNIOA-CABCVRRESA-N 1 2 305.378 1.124 20 30 DDEDLO C[C@@H]1CCCC[C@H]1N(C)C(=O)C[N@H+](C)CCNC(=O)N(C)C ZINC000330706604 533603047 /nfs/dbraw/zinc/60/30/47/533603047.db2.gz TZXKVCYICRLHQT-ZIAGYGMSSA-N 1 2 312.458 1.431 20 30 DDEDLO C[C@@H]1CCCC[C@H]1N(C)C(=O)C[N@@H+](C)CCNC(=O)N(C)C ZINC000330706604 533603054 /nfs/dbraw/zinc/60/30/54/533603054.db2.gz TZXKVCYICRLHQT-ZIAGYGMSSA-N 1 2 312.458 1.431 20 30 DDEDLO N#Cc1cc(F)ccc1NC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000569666188 304372219 /nfs/dbraw/zinc/37/22/19/304372219.db2.gz OMFPUVNSPQYHPM-OKILXGFUSA-N 1 2 318.352 1.682 20 30 DDEDLO N#Cc1cc(F)ccc1NC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000569666188 304372221 /nfs/dbraw/zinc/37/22/21/304372221.db2.gz OMFPUVNSPQYHPM-OKILXGFUSA-N 1 2 318.352 1.682 20 30 DDEDLO CC(C)NC(=O)CO[NH+]=C(N)c1cccc(C(F)(F)F)c1 ZINC000054181711 407180480 /nfs/dbraw/zinc/18/04/80/407180480.db2.gz OXCKKUFVVNQCNL-UHFFFAOYSA-N 1 2 303.284 1.867 20 30 DDEDLO CC[N@@H+](CC(=O)NCCCN1CCCCCC1=O)C[C@H](C)C#N ZINC000098134458 407306761 /nfs/dbraw/zinc/30/67/61/407306761.db2.gz UXZGZTZSMYAMRE-OAHLLOKOSA-N 1 2 322.453 1.377 20 30 DDEDLO CC[N@H+](CC(=O)NCCCN1CCCCCC1=O)C[C@H](C)C#N ZINC000098134458 407306762 /nfs/dbraw/zinc/30/67/62/407306762.db2.gz UXZGZTZSMYAMRE-OAHLLOKOSA-N 1 2 322.453 1.377 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2CCC[C@@](C)(O)C2)c(C#N)c1C ZINC000124446640 407358792 /nfs/dbraw/zinc/35/87/92/407358792.db2.gz KCEKYMWMIIYKMF-BDJLRTHQSA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2CCC[C@@](C)(O)C2)c(C#N)c1C ZINC000124446640 407358794 /nfs/dbraw/zinc/35/87/94/407358794.db2.gz KCEKYMWMIIYKMF-BDJLRTHQSA-N 1 2 305.378 1.942 20 30 DDEDLO C#CC[N@H+](Cc1ccc2c[nH]nc2c1)[C@@H]1CCS(=O)(=O)C1 ZINC000124827078 407370645 /nfs/dbraw/zinc/37/06/45/407370645.db2.gz POIMDTLWKGEMNR-CQSZACIVSA-N 1 2 303.387 1.185 20 30 DDEDLO C#CC[N@@H+](Cc1ccc2c[nH]nc2c1)[C@@H]1CCS(=O)(=O)C1 ZINC000124827078 407370646 /nfs/dbraw/zinc/37/06/46/407370646.db2.gz POIMDTLWKGEMNR-CQSZACIVSA-N 1 2 303.387 1.185 20 30 DDEDLO CCS(=O)(=O)CC[N@H+](C)CCCOc1cccc(C#N)c1 ZINC000128317130 407523812 /nfs/dbraw/zinc/52/38/12/407523812.db2.gz ODQHQWPODDDDFT-UHFFFAOYSA-N 1 2 310.419 1.694 20 30 DDEDLO CCS(=O)(=O)CC[N@@H+](C)CCCOc1cccc(C#N)c1 ZINC000128317130 407523815 /nfs/dbraw/zinc/52/38/15/407523815.db2.gz ODQHQWPODDDDFT-UHFFFAOYSA-N 1 2 310.419 1.694 20 30 DDEDLO C[C@]1(C(N)=O)CCCC[N@@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000185937812 407543784 /nfs/dbraw/zinc/54/37/84/407543784.db2.gz KGPVRMBGZJOBNM-MRXNPFEDSA-N 1 2 300.362 1.227 20 30 DDEDLO C[C@]1(C(N)=O)CCCC[N@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000185937812 407543790 /nfs/dbraw/zinc/54/37/90/407543790.db2.gz KGPVRMBGZJOBNM-MRXNPFEDSA-N 1 2 300.362 1.227 20 30 DDEDLO Cc1ncc(C[NH+]2CCN(C(=O)c3ccc(C#N)[nH]3)CC2)s1 ZINC000130453143 407690395 /nfs/dbraw/zinc/69/03/95/407690395.db2.gz JQQCFCOUDNMFOV-UHFFFAOYSA-N 1 2 315.402 1.609 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH2+][C@H](C)c1nnnn1-c1ccccc1 ZINC000115605063 407700797 /nfs/dbraw/zinc/70/07/97/407700797.db2.gz WWVXEDFOTWZPLC-VXGBXAGGSA-N 1 2 300.366 1.004 20 30 DDEDLO C#CCSCCNc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000131758636 407754896 /nfs/dbraw/zinc/75/48/96/407754896.db2.gz CGDMVTYQOQPNIA-ZDUSSCGKSA-N 1 2 306.435 1.464 20 30 DDEDLO C#CCSCCNc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000131758636 407754899 /nfs/dbraw/zinc/75/48/99/407754899.db2.gz CGDMVTYQOQPNIA-ZDUSSCGKSA-N 1 2 306.435 1.464 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)c1 ZINC000267107282 407749504 /nfs/dbraw/zinc/74/95/04/407749504.db2.gz QJYAGXACVQPZPU-UHFFFAOYSA-N 1 2 311.345 1.048 20 30 DDEDLO COC(=O)C1([NH2+]CCC(=O)N(C)CCC#N)CCCCCC1 ZINC000179384606 407783469 /nfs/dbraw/zinc/78/34/69/407783469.db2.gz OVVYSESNXXGYSO-UHFFFAOYSA-N 1 2 309.410 1.604 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)C1(c2ccc(C#N)cc2)CC1 ZINC000188137379 407919168 /nfs/dbraw/zinc/91/91/68/407919168.db2.gz GRTSOGJRHXLUKC-AWEZNQCLSA-N 1 2 313.401 1.427 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(N)=O)C2)c(Br)c1 ZINC000272468346 407935898 /nfs/dbraw/zinc/93/58/98/407935898.db2.gz FFJPZURGQRWSDM-NSHDSACASA-N 1 2 323.194 1.563 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(N)=O)C2)c(Br)c1 ZINC000272468346 407935903 /nfs/dbraw/zinc/93/59/03/407935903.db2.gz FFJPZURGQRWSDM-NSHDSACASA-N 1 2 323.194 1.563 20 30 DDEDLO C[C@@H](O[NH+]=C(N)CCO)C(=O)N[C@@H](C)c1cc2ccccc2o1 ZINC000121338361 408185756 /nfs/dbraw/zinc/18/57/56/408185756.db2.gz OWCWMGMKTXKSBY-WDEREUQCSA-N 1 2 319.361 1.670 20 30 DDEDLO Cc1cc(NC(=O)CSCC#N)n(-c2cc(C)[nH+]c(C)n2)n1 ZINC000150694178 408191429 /nfs/dbraw/zinc/19/14/29/408191429.db2.gz GPAWLIPFBDUBGX-UHFFFAOYSA-N 1 2 316.390 1.783 20 30 DDEDLO CC(C)(C)c1ccc(CCNC(=O)CO[NH+]=C(N)CCO)cc1 ZINC000121394967 408199033 /nfs/dbraw/zinc/19/90/33/408199033.db2.gz QZMHOOVURPMJNQ-UHFFFAOYSA-N 1 2 321.421 1.314 20 30 DDEDLO C[C@@H](O[NH+]=C(N)CCO)C(=O)N[C@@H](C)c1ccc(Cl)cc1 ZINC000121420133 408206406 /nfs/dbraw/zinc/20/64/06/408206406.db2.gz GZIPZGQPIUNTLG-VHSXEESVSA-N 1 2 313.785 1.577 20 30 DDEDLO COCc1noc(CO[NH+]=C(N)Cc2ccc(OC)cc2)n1 ZINC000183172060 408341459 /nfs/dbraw/zinc/34/14/59/408341459.db2.gz MWLWDKQSNPMQIM-UHFFFAOYSA-N 1 2 306.322 1.256 20 30 DDEDLO CC(C)(CNc1ccc(F)cc1C#N)[NH+]1CCS(=O)CC1 ZINC000269548659 408352295 /nfs/dbraw/zinc/35/22/95/408352295.db2.gz DERQOKVZMKKBCK-UHFFFAOYSA-N 1 2 309.410 1.952 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)[nH]1 ZINC000269682847 408389121 /nfs/dbraw/zinc/38/91/21/408389121.db2.gz FWSLBSSAPBKOHW-UHFFFAOYSA-N 1 2 311.345 1.048 20 30 DDEDLO Cc1oc(NC(=O)C[NH+]2[C@@H](C)CC(O)C[C@@H]2C)c(C#N)c1C ZINC000191251265 408395589 /nfs/dbraw/zinc/39/55/89/408395589.db2.gz ZZRCPALQXFHERU-UWVGGRQHSA-N 1 2 305.378 1.940 20 30 DDEDLO CCOC(=O)C[N@H+](CCC(=O)Nc1ccccc1C#N)C1CC1 ZINC000264204140 408402779 /nfs/dbraw/zinc/40/27/79/408402779.db2.gz KCWGCRCPFDVVPC-UHFFFAOYSA-N 1 2 315.373 1.914 20 30 DDEDLO CCOC(=O)C[N@@H+](CCC(=O)Nc1ccccc1C#N)C1CC1 ZINC000264204140 408402784 /nfs/dbraw/zinc/40/27/84/408402784.db2.gz KCWGCRCPFDVVPC-UHFFFAOYSA-N 1 2 315.373 1.914 20 30 DDEDLO N#Cc1c(Cl)nsc1N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000160390383 408479427 /nfs/dbraw/zinc/47/94/27/408479427.db2.gz NOFKQHLWHPKFIT-JTQLQIEISA-N 1 2 312.826 1.969 20 30 DDEDLO CN1CC[NH+](Cc2cccc(NC(=O)c3ccc(C#N)[nH]3)c2)CC1 ZINC000161041440 408554563 /nfs/dbraw/zinc/55/45/63/408554563.db2.gz LOLMPBOSPHHMDZ-UHFFFAOYSA-N 1 2 323.400 1.886 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1c2ccccc2NC(=O)C12CCCC2 ZINC000192239107 408564605 /nfs/dbraw/zinc/56/46/05/408564605.db2.gz SUUZBZFLUQZASX-UHFFFAOYSA-N 1 2 311.385 1.850 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1c2ccccc2NC(=O)C12CCCC2 ZINC000192239107 408564609 /nfs/dbraw/zinc/56/46/09/408564609.db2.gz SUUZBZFLUQZASX-UHFFFAOYSA-N 1 2 311.385 1.850 20 30 DDEDLO C=C(C)CN(CC)C(=O)CN1CC[NH+](Cc2ccncc2)CC1 ZINC000161165956 408565916 /nfs/dbraw/zinc/56/59/16/408565916.db2.gz RBKFTKNBWUHUHN-UHFFFAOYSA-N 1 2 316.449 1.624 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(Cc2ccncc2)CC1 ZINC000161165956 408565924 /nfs/dbraw/zinc/56/59/24/408565924.db2.gz RBKFTKNBWUHUHN-UHFFFAOYSA-N 1 2 316.449 1.624 20 30 DDEDLO CN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccc(C#N)c(Cl)c2)C1=O ZINC000265655129 408733810 /nfs/dbraw/zinc/73/38/10/408733810.db2.gz PRBWXNYMEIREET-CYBMUJFWSA-N 1 2 320.780 1.313 20 30 DDEDLO CN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccc(C#N)c(Cl)c2)C1=O ZINC000265655129 408733815 /nfs/dbraw/zinc/73/38/15/408733815.db2.gz PRBWXNYMEIREET-CYBMUJFWSA-N 1 2 320.780 1.313 20 30 DDEDLO CS(=O)(=O)CCCC[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000162280665 408684551 /nfs/dbraw/zinc/68/45/51/408684551.db2.gz QSTGGGGMDVLTLV-UHFFFAOYSA-N 1 2 321.446 1.505 20 30 DDEDLO C=C(C)COCCNC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000162306952 408687441 /nfs/dbraw/zinc/68/74/41/408687441.db2.gz WRYCQUQWRMVCFD-INIZCTEOSA-N 1 2 312.454 1.832 20 30 DDEDLO CC[C@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1O ZINC000270831904 408699501 /nfs/dbraw/zinc/69/95/01/408699501.db2.gz CUAUWKBTZDXOBB-ZFWWWQNUSA-N 1 2 308.403 1.035 20 30 DDEDLO CC[C@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1O ZINC000270831904 408699509 /nfs/dbraw/zinc/69/95/09/408699509.db2.gz CUAUWKBTZDXOBB-ZFWWWQNUSA-N 1 2 308.403 1.035 20 30 DDEDLO CCOC1CC(CNc2cccnc2C#N)([NH+]2CCOCC2)C1 ZINC000290889725 408849982 /nfs/dbraw/zinc/84/99/82/408849982.db2.gz CSHIIVXMLOBVSQ-UHFFFAOYSA-N 1 2 316.405 1.635 20 30 DDEDLO C=C[C@H](CO)Nc1nc(N[C@H](C=C)CO)c2ccccc2[nH+]1 ZINC000291041254 408857880 /nfs/dbraw/zinc/85/78/80/408857880.db2.gz COKIKOKCSOKOSK-VXGBXAGGSA-N 1 2 300.362 1.547 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN([C@H](C)c3nccs3)CC2)C1=O ZINC000281410061 408885605 /nfs/dbraw/zinc/88/56/05/408885605.db2.gz RDIJLGLGHZXPHT-KGLIPLIRSA-N 1 2 320.462 1.609 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(C)=O ZINC000286285524 408955617 /nfs/dbraw/zinc/95/56/17/408955617.db2.gz GNNDXMZXCCBULM-KRWDZBQOSA-N 1 2 324.384 1.119 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(C)=O ZINC000286285524 408955619 /nfs/dbraw/zinc/95/56/19/408955619.db2.gz GNNDXMZXCCBULM-KRWDZBQOSA-N 1 2 324.384 1.119 20 30 DDEDLO C[C@](O)(C[NH+]1CCN(c2ncccc2C#N)CC1)C(F)(F)F ZINC000281989304 408967523 /nfs/dbraw/zinc/96/75/23/408967523.db2.gz VPZHKIGKFWGZRG-ZDUSSCGKSA-N 1 2 314.311 1.389 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN([C@H](C)c3cccnc3)CC2)C1=O ZINC000282027914 408974909 /nfs/dbraw/zinc/97/49/09/408974909.db2.gz PVAUKIZWYBBNAG-WBVHZDCISA-N 1 2 314.433 1.547 20 30 DDEDLO C=CCN1CC[C@H](N2CC[NH+]([C@H](C)c3cccnc3)CC2)C1=O ZINC000282027914 408974912 /nfs/dbraw/zinc/97/49/12/408974912.db2.gz PVAUKIZWYBBNAG-WBVHZDCISA-N 1 2 314.433 1.547 20 30 DDEDLO C#CC[C@H](Cc1ccccc1)NC(=O)C(C)(C)[NH+]1CCOCC1 ZINC000287208744 409005260 /nfs/dbraw/zinc/00/52/60/409005260.db2.gz IHFJVCBGKDHKIZ-QGZVFWFLSA-N 1 2 314.429 1.848 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2OC)CC1 ZINC000277631984 408981652 /nfs/dbraw/zinc/98/16/52/408981652.db2.gz SHOJZLDGAFFHCV-UHFFFAOYSA-N 1 2 317.345 1.385 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](CC(N)=O)[C@H](C)c2ccccc2)C1=O ZINC000282095027 408990234 /nfs/dbraw/zinc/99/02/34/408990234.db2.gz VQADUOBYGALOCG-UKRRQHHQSA-N 1 2 301.390 1.322 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](CC(N)=O)[C@H](C)c2ccccc2)C1=O ZINC000282095027 408990237 /nfs/dbraw/zinc/99/02/37/408990237.db2.gz VQADUOBYGALOCG-UKRRQHHQSA-N 1 2 301.390 1.322 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2c(C)cc(C)nc2OC)C1=O ZINC000286802430 408999565 /nfs/dbraw/zinc/99/95/65/408999565.db2.gz IZOGEXLBTYPPEE-OAHLLOKOSA-N 1 2 303.406 1.926 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2c(C)cc(C)nc2OC)C1=O ZINC000286802430 408999566 /nfs/dbraw/zinc/99/95/66/408999566.db2.gz IZOGEXLBTYPPEE-OAHLLOKOSA-N 1 2 303.406 1.926 20 30 DDEDLO COc1cc(C[N@@H+]2CCCC[C@@H](S(C)(=O)=O)C2)ccc1C#N ZINC000282232395 409015726 /nfs/dbraw/zinc/01/57/26/409015726.db2.gz IOQAQSKWONBWCN-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1cc(C[N@H+]2CCCC[C@@H](S(C)(=O)=O)C2)ccc1C#N ZINC000282232395 409015729 /nfs/dbraw/zinc/01/57/29/409015729.db2.gz IOQAQSKWONBWCN-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000292979923 409047210 /nfs/dbraw/zinc/04/72/10/409047210.db2.gz FFGIDDKTKIFNPK-OAHLLOKOSA-N 1 2 306.793 1.853 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000292979923 409047213 /nfs/dbraw/zinc/04/72/13/409047213.db2.gz FFGIDDKTKIFNPK-OAHLLOKOSA-N 1 2 306.793 1.853 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N[C@H](C)c1cc(OC)ccc1OC ZINC000293013374 409047228 /nfs/dbraw/zinc/04/72/28/409047228.db2.gz DVUBAPWYYGGBRN-CYBMUJFWSA-N 1 2 304.390 1.836 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N[C@H](C)c1cc(OC)ccc1OC ZINC000293013374 409047229 /nfs/dbraw/zinc/04/72/29/409047229.db2.gz DVUBAPWYYGGBRN-CYBMUJFWSA-N 1 2 304.390 1.836 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCCC2 ZINC000293245106 409075621 /nfs/dbraw/zinc/07/56/21/409075621.db2.gz SYSRRVXPLHJQHS-UHFFFAOYSA-N 1 2 319.430 1.619 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCCC2 ZINC000293245106 409075623 /nfs/dbraw/zinc/07/56/23/409075623.db2.gz SYSRRVXPLHJQHS-UHFFFAOYSA-N 1 2 319.430 1.619 20 30 DDEDLO Cc1cc[nH+]c(C)c1NC(=O)NCCCc1[nH]nc(N)c1C#N ZINC000287705128 409079732 /nfs/dbraw/zinc/07/97/32/409079732.db2.gz ZWQRGPPWHQPNIN-UHFFFAOYSA-N 1 2 313.365 1.630 20 30 DDEDLO Cc1ccc([C@@H](C)NC(=O)CO[NH+]=C(N)[C@H]2CCCO2)cc1C ZINC000283762011 409212077 /nfs/dbraw/zinc/21/20/77/409212077.db2.gz BBISMLQWHYINPW-UKRRQHHQSA-N 1 2 319.405 1.948 20 30 DDEDLO Cc1ccc([C@@H](C)NC(=O)CO[NH+]=C(N)[C@@H]2CCCO2)cc1C ZINC000283762009 409212168 /nfs/dbraw/zinc/21/21/68/409212168.db2.gz BBISMLQWHYINPW-HIFRSBDPSA-N 1 2 319.405 1.948 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cn(CCC#N)nc2-c2ccccc2)CC(=O)N1 ZINC000295075967 409314434 /nfs/dbraw/zinc/31/44/34/409314434.db2.gz RLCVWAQTSVYHJD-CQSZACIVSA-N 1 2 323.400 1.784 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cn(CCC#N)nc2-c2ccccc2)CC(=O)N1 ZINC000295075967 409314437 /nfs/dbraw/zinc/31/44/37/409314437.db2.gz RLCVWAQTSVYHJD-CQSZACIVSA-N 1 2 323.400 1.784 20 30 DDEDLO Cc1nc2sccn2c(=O)c1CCO[NH+]=C(N)[C@H]1CCCO1 ZINC000285094695 409397793 /nfs/dbraw/zinc/39/77/93/409397793.db2.gz IIAYGVCRPNSBCW-LLVKDONJSA-N 1 2 322.390 1.075 20 30 DDEDLO NC(=[NH+]OCC(=O)Nc1ccc2c(c1)CCC2)[C@H]1CCCO1 ZINC000284584884 409352939 /nfs/dbraw/zinc/35/29/39/409352939.db2.gz XNQQHSRKFUTMDF-CQSZACIVSA-N 1 2 303.362 1.582 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CC[C@H](C)O3)n2CC=C)CC1 ZINC000296037065 409405861 /nfs/dbraw/zinc/40/58/61/409405861.db2.gz UAFGRHZJOOIYEB-GJZGRUSLSA-N 1 2 315.421 1.459 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCOc2cc(O)ccc2C1 ZINC000285489086 409463991 /nfs/dbraw/zinc/46/39/91/409463991.db2.gz LZQGDFJXWVXAJX-GFCCVEGCSA-N 1 2 303.362 1.347 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCOc2cc(O)ccc2C1 ZINC000285489086 409463997 /nfs/dbraw/zinc/46/39/97/409463997.db2.gz LZQGDFJXWVXAJX-GFCCVEGCSA-N 1 2 303.362 1.347 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)N1CC[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000408044492 164207730 /nfs/dbraw/zinc/20/77/30/164207730.db2.gz VEXYJWIDXRHYFC-JKSUJKDBSA-N 1 2 314.389 1.804 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)N1CC[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000408044492 164207731 /nfs/dbraw/zinc/20/77/31/164207731.db2.gz VEXYJWIDXRHYFC-JKSUJKDBSA-N 1 2 314.389 1.804 20 30 DDEDLO Cc1onc(CC(=O)N2CC[C@H](Oc3cc[nH+]cc3)C2)c1C#N ZINC000353883740 409533828 /nfs/dbraw/zinc/53/38/28/409533828.db2.gz WVYLNELJFBSHNA-ZDUSSCGKSA-N 1 2 312.329 1.472 20 30 DDEDLO C[C@@H]1CCC[C@H]1NC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000328693593 409955529 /nfs/dbraw/zinc/95/55/29/409955529.db2.gz FRUSUZRZDODQLY-UKRRQHHQSA-N 1 2 305.426 1.640 20 30 DDEDLO CCN1CCN(C(=O)NCCn2cccc2)C[C@H]1c1[nH]cc[nH+]1 ZINC000328625273 409942493 /nfs/dbraw/zinc/94/24/93/409942493.db2.gz KOTOZIIZMZUTQV-AWEZNQCLSA-N 1 2 316.409 1.504 20 30 DDEDLO COc1ccc(CN2CC[NH+]([C@@H](C)C(=O)NC3CC3)CC2)nn1 ZINC000328661193 409950415 /nfs/dbraw/zinc/95/04/15/409950415.db2.gz ACCSCHIMMQTKRJ-LBPRGKRZSA-N 1 2 319.409 1.110 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000297836210 410018408 /nfs/dbraw/zinc/01/84/08/410018408.db2.gz QXNBXHXKSOWQJI-ZIAGYGMSSA-N 1 2 304.394 1.327 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@@H+](CC(=O)Nc3cc(F)cc(F)c3)CC[C@@H]21 ZINC000328987438 410040594 /nfs/dbraw/zinc/04/05/94/410040594.db2.gz UMVZZSCGZOGXDR-OLZOCXBDSA-N 1 2 324.331 1.855 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@H+](CC(=O)Nc3cc(F)cc(F)c3)CC[C@@H]21 ZINC000328987438 410040597 /nfs/dbraw/zinc/04/05/97/410040597.db2.gz UMVZZSCGZOGXDR-OLZOCXBDSA-N 1 2 324.331 1.855 20 30 DDEDLO CN1CCO[C@@H]2CC[N@H+](CC(=O)NC3(C#N)CCCCC3)C[C@@H]21 ZINC000328905845 410005541 /nfs/dbraw/zinc/00/55/41/410005541.db2.gz KHWZPAQIJVPRJF-LSDHHAIUSA-N 1 2 320.437 1.574 20 30 DDEDLO CN1CCO[C@@H]2CC[N@@H+](CC(=O)NC3(C#N)CCCCC3)C[C@@H]21 ZINC000328905845 410005546 /nfs/dbraw/zinc/00/55/46/410005546.db2.gz KHWZPAQIJVPRJF-LSDHHAIUSA-N 1 2 320.437 1.574 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(CC(=O)NC3(C#N)CCCCC3)C[C@@H]21 ZINC000328905845 410005550 /nfs/dbraw/zinc/00/55/50/410005550.db2.gz KHWZPAQIJVPRJF-LSDHHAIUSA-N 1 2 320.437 1.574 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(CC(=O)NC3(C#N)CCCCC3)C[C@@H]21 ZINC000328905845 410005553 /nfs/dbraw/zinc/00/55/53/410005553.db2.gz KHWZPAQIJVPRJF-LSDHHAIUSA-N 1 2 320.437 1.574 20 30 DDEDLO C=CCOCC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000354765562 410069471 /nfs/dbraw/zinc/06/94/71/410069471.db2.gz GLCLRGWVAQYZRR-MRXNPFEDSA-N 1 2 304.390 1.379 20 30 DDEDLO C[C@@H](C(=O)Nc1ncccn1)[NH+]1CCC(N2CCCC2=O)CC1 ZINC000329081237 410090909 /nfs/dbraw/zinc/09/09/09/410090909.db2.gz DAOCROCANNXFMQ-LBPRGKRZSA-N 1 2 317.393 1.540 20 30 DDEDLO CCC(=O)N[C@@H]1CCCN(C(=O)C2([NH+]3CCOCC3)CCC2)C1 ZINC000329352566 410248568 /nfs/dbraw/zinc/24/85/68/410248568.db2.gz XQEBWNVAIAYLDR-CQSZACIVSA-N 1 2 323.437 1.599 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000357837434 410284746 /nfs/dbraw/zinc/28/47/46/410284746.db2.gz SSTUHQUJODTPFW-ZDUSSCGKSA-N 1 2 304.369 1.224 20 30 DDEDLO CCOC[C@@H]1CCCN(C([O-])=[NH+][C@H]2CCc3[nH]c[nH+]c3C2)C1 ZINC000329502563 410333933 /nfs/dbraw/zinc/33/39/33/410333933.db2.gz AKRRDNWEDIVFBF-OLZOCXBDSA-N 1 2 306.410 1.930 20 30 DDEDLO CCOC[C@@H]1CCCN(C([O-])=[NH+][C@H]2CCc3[nH+]c[nH]c3C2)C1 ZINC000329502563 410333938 /nfs/dbraw/zinc/33/39/38/410333938.db2.gz AKRRDNWEDIVFBF-OLZOCXBDSA-N 1 2 306.410 1.930 20 30 DDEDLO O=C(NCCC1(O)CCOCC1)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000329522079 410342318 /nfs/dbraw/zinc/34/23/18/410342318.db2.gz QZDJISFWAJXTEO-ZDUSSCGKSA-N 1 2 322.409 1.435 20 30 DDEDLO CC[C@H](CC#N)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000358077022 410351581 /nfs/dbraw/zinc/35/15/81/410351581.db2.gz KNCHLALUFVDLPV-MRXNPFEDSA-N 1 2 301.390 1.941 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000358228565 410413769 /nfs/dbraw/zinc/41/37/69/410413769.db2.gz VVLKWDHOAZMPRN-AWEZNQCLSA-N 1 2 322.409 1.394 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000358228565 410413780 /nfs/dbraw/zinc/41/37/80/410413780.db2.gz VVLKWDHOAZMPRN-AWEZNQCLSA-N 1 2 322.409 1.394 20 30 DDEDLO C=C(CC)C[NH+]1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000358614346 410494816 /nfs/dbraw/zinc/49/48/16/410494816.db2.gz BBBAAIYMVSJBGK-UHFFFAOYSA-N 1 2 315.464 1.724 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@@H](O)C12CCCC2 ZINC000330342456 410636560 /nfs/dbraw/zinc/63/65/60/410636560.db2.gz AXAFMFVQEICZGK-ZIAGYGMSSA-N 1 2 311.426 1.001 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000330464100 410713082 /nfs/dbraw/zinc/71/30/82/410713082.db2.gz UOXVFPYHUGLCFG-LRDDRELGSA-N 1 2 314.389 1.486 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000330464100 410713089 /nfs/dbraw/zinc/71/30/89/410713089.db2.gz UOXVFPYHUGLCFG-LRDDRELGSA-N 1 2 314.389 1.486 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@H+](C)[C@H]1CCNC1=O ZINC000340226665 410773785 /nfs/dbraw/zinc/77/37/85/410773785.db2.gz SCOIWVIZHRXABV-ZANVPECISA-N 1 2 320.780 1.359 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@@H+](C)[C@H]1CCNC1=O ZINC000340226665 410773793 /nfs/dbraw/zinc/77/37/93/410773793.db2.gz SCOIWVIZHRXABV-ZANVPECISA-N 1 2 320.780 1.359 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330774734 410895122 /nfs/dbraw/zinc/89/51/22/410895122.db2.gz YGVHXJIIUQZUCV-XJKSGUPXSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330774734 410895126 /nfs/dbraw/zinc/89/51/26/410895126.db2.gz YGVHXJIIUQZUCV-XJKSGUPXSA-N 1 2 308.426 1.031 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+]Cc2ccccc2[N+](=O)[O-])C(N)=O)cc1 ZINC000360059498 411026027 /nfs/dbraw/zinc/02/60/27/411026027.db2.gz HJYKADWEROIPQV-OAHLLOKOSA-N 1 2 310.313 1.783 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[NH+](CCOC)CC2)cc1 ZINC000353506166 411038585 /nfs/dbraw/zinc/03/85/85/411038585.db2.gz ZPICSTQJSGCYJZ-UHFFFAOYSA-N 1 2 304.390 1.656 20 30 DDEDLO CN(C)c1ncc(C[N@H+](C)CC(=O)Nc2ccc(C#N)cc2)cn1 ZINC000356459197 411042104 /nfs/dbraw/zinc/04/21/04/411042104.db2.gz QXYXBJQDTLNQPY-UHFFFAOYSA-N 1 2 324.388 1.485 20 30 DDEDLO CN(C)c1ncc(C[N@@H+](C)CC(=O)Nc2ccc(C#N)cc2)cn1 ZINC000356459197 411042108 /nfs/dbraw/zinc/04/21/08/411042108.db2.gz QXYXBJQDTLNQPY-UHFFFAOYSA-N 1 2 324.388 1.485 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+]([C@H](C)C(=O)N3CCCC3)CC2)C1 ZINC000631740480 422901429 /nfs/dbraw/zinc/90/14/29/422901429.db2.gz VLUKVUIVACASRV-OAHLLOKOSA-N 1 2 319.449 1.498 20 30 DDEDLO N#Cc1ccc(CCC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000580698325 422948613 /nfs/dbraw/zinc/94/86/13/422948613.db2.gz MKBZIGDWRCDACC-CALCHBBNSA-N 1 2 313.401 1.470 20 30 DDEDLO N#Cc1ccc(CCC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000580698325 422948614 /nfs/dbraw/zinc/94/86/14/422948614.db2.gz MKBZIGDWRCDACC-CALCHBBNSA-N 1 2 313.401 1.470 20 30 DDEDLO COc1cc(C[N@@H+]2CCO[C@H]([C@@H]3CCCO3)C2)ccc1C#N ZINC000374207958 418505831 /nfs/dbraw/zinc/50/58/31/418505831.db2.gz YGOZOOVRAJOSED-RDJZCZTQSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C[N@H+]2CCO[C@H]([C@@H]3CCCO3)C2)ccc1C#N ZINC000374207958 418505833 /nfs/dbraw/zinc/50/58/33/418505833.db2.gz YGOZOOVRAJOSED-RDJZCZTQSA-N 1 2 302.374 1.947 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CC=C(c2cccnc2)CC1)C1CC1 ZINC000374321967 418517018 /nfs/dbraw/zinc/51/70/18/418517018.db2.gz AZLKAJZFUJNNLC-SFHVURJKSA-N 1 2 310.401 1.979 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CC=C(c2cccnc2)CC1)C1CC1 ZINC000374321967 418517021 /nfs/dbraw/zinc/51/70/21/418517021.db2.gz AZLKAJZFUJNNLC-SFHVURJKSA-N 1 2 310.401 1.979 20 30 DDEDLO CCOC(=O)CC[N@@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000374353036 418520879 /nfs/dbraw/zinc/52/08/79/418520879.db2.gz QUHJRVASXBLKIP-SJKOYZFVSA-N 1 2 313.357 1.285 20 30 DDEDLO CCOC(=O)CC[N@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000374353036 418520880 /nfs/dbraw/zinc/52/08/80/418520880.db2.gz QUHJRVASXBLKIP-SJKOYZFVSA-N 1 2 313.357 1.285 20 30 DDEDLO COC[C@H]1C[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)C[C@@H](C)O1 ZINC000189386939 222042860 /nfs/dbraw/zinc/04/28/60/222042860.db2.gz RXXOLIQVSWLCSG-HUUCEWRRSA-N 1 2 323.437 1.407 20 30 DDEDLO COC[C@H]1C[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)C[C@@H](C)O1 ZINC000189386939 222042866 /nfs/dbraw/zinc/04/28/66/222042866.db2.gz RXXOLIQVSWLCSG-HUUCEWRRSA-N 1 2 323.437 1.407 20 30 DDEDLO CC(C)C[C@H]1C(=O)NCC[N@H+]1CCOc1ccccc1C#N ZINC000189797787 222056601 /nfs/dbraw/zinc/05/66/01/222056601.db2.gz DCXYYYUVSVTYSA-HNNXBMFYSA-N 1 2 301.390 1.784 20 30 DDEDLO CC(C)C[C@H]1C(=O)NCC[N@@H+]1CCOc1ccccc1C#N ZINC000189797787 222056604 /nfs/dbraw/zinc/05/66/04/222056604.db2.gz DCXYYYUVSVTYSA-HNNXBMFYSA-N 1 2 301.390 1.784 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)CC1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000190861230 222090389 /nfs/dbraw/zinc/09/03/89/222090389.db2.gz VJOGYRIJAXFZPL-HUUCEWRRSA-N 1 2 301.452 1.603 20 30 DDEDLO C=CC[N@H+](C[C@H](O)CC1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000190861230 222090392 /nfs/dbraw/zinc/09/03/92/222090392.db2.gz VJOGYRIJAXFZPL-HUUCEWRRSA-N 1 2 301.452 1.603 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1cccc(C(=O)N(CC)CC)c1 ZINC000192218879 222122351 /nfs/dbraw/zinc/12/23/51/222122351.db2.gz JGZRJCIJBPINEJ-UHFFFAOYSA-N 1 2 301.390 1.672 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1cccc(C(=O)N(CC)CC)c1 ZINC000192218879 222122353 /nfs/dbraw/zinc/12/23/53/222122353.db2.gz JGZRJCIJBPINEJ-UHFFFAOYSA-N 1 2 301.390 1.672 20 30 DDEDLO C#CCNC(=O)N[C@@H](c1ccc(Cl)cc1)[C@H]1C[N@H+](C)CCO1 ZINC000247855827 222232275 /nfs/dbraw/zinc/23/22/75/222232275.db2.gz YATSEWAOMPHCEH-CABCVRRESA-N 1 2 321.808 1.644 20 30 DDEDLO C#CCNC(=O)N[C@@H](c1ccc(Cl)cc1)[C@H]1C[N@@H+](C)CCO1 ZINC000247855827 222232276 /nfs/dbraw/zinc/23/22/76/222232276.db2.gz YATSEWAOMPHCEH-CABCVRRESA-N 1 2 321.808 1.644 20 30 DDEDLO CC#CCCNC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000361231270 418610450 /nfs/dbraw/zinc/61/04/50/418610450.db2.gz HUBZVYCVPJHCRD-KRWDZBQOSA-N 1 2 315.417 1.773 20 30 DDEDLO N#C[C@H]1CSCCN1C(=O)[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000377178613 418706856 /nfs/dbraw/zinc/70/68/56/418706856.db2.gz LMWNKXGXHPCPPR-KGLIPLIRSA-N 1 2 316.430 1.766 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[NH2+]C[C@H]2C(F)F)c1C#N ZINC000368577134 418721999 /nfs/dbraw/zinc/72/19/99/418721999.db2.gz UXCMWSHHOKTXRI-NSHDSACASA-N 1 2 315.345 1.094 20 30 DDEDLO CO/N=C/C(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1OC ZINC000377691562 418713004 /nfs/dbraw/zinc/71/30/04/418713004.db2.gz HRNPMGBBNIWDID-FBJMNJBLSA-N 1 2 302.334 1.267 20 30 DDEDLO C#CC[C@H](C)NC(=O)N[C@@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000375564328 418657669 /nfs/dbraw/zinc/65/76/69/418657669.db2.gz KHZLYBZJZQYLQB-GXTWGEPZSA-N 1 2 304.394 1.599 20 30 DDEDLO C#Cc1ccc(C[NH+]2CCN([C@@H]3CCCCNC3=O)CC2)cc1 ZINC000368009345 418673525 /nfs/dbraw/zinc/67/35/25/418673525.db2.gz MXNNIKPDACUJLF-GOSISDBHSA-N 1 2 311.429 1.454 20 30 DDEDLO Cn1cc(C[N@H+](C)CC(=O)NCc2cccs2)cc1C#N ZINC000361556020 418675803 /nfs/dbraw/zinc/67/58/03/418675803.db2.gz DPBOLKCOZTXHBM-UHFFFAOYSA-N 1 2 302.403 1.706 20 30 DDEDLO Cn1cc(C[N@@H+](C)CC(=O)NCc2cccs2)cc1C#N ZINC000361556020 418675805 /nfs/dbraw/zinc/67/58/05/418675805.db2.gz DPBOLKCOZTXHBM-UHFFFAOYSA-N 1 2 302.403 1.706 20 30 DDEDLO C=C(Cl)CNC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000368544658 418721037 /nfs/dbraw/zinc/72/10/37/418721037.db2.gz RLDKPNZPEXNQQM-UHFFFAOYSA-N 1 2 318.764 1.894 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC1(CC#N)CC1 ZINC000376409891 418697207 /nfs/dbraw/zinc/69/72/07/418697207.db2.gz AUQFZAOGQOTIMM-KBPBESRZSA-N 1 2 306.410 1.185 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000368193906 418702141 /nfs/dbraw/zinc/70/21/41/418702141.db2.gz GEUOJYBQRMVBNM-JMSVASOKSA-N 1 2 304.394 1.547 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000368193906 418702143 /nfs/dbraw/zinc/70/21/43/418702143.db2.gz GEUOJYBQRMVBNM-JMSVASOKSA-N 1 2 304.394 1.547 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000362378273 418753205 /nfs/dbraw/zinc/75/32/05/418753205.db2.gz DHLNAPLSFMOBQV-CHWSQXEVSA-N 1 2 309.435 1.995 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC1(C#N)CCC1 ZINC000362634449 418757377 /nfs/dbraw/zinc/75/73/77/418757377.db2.gz FWQLIXLJEZLXSD-ZDUSSCGKSA-N 1 2 317.393 1.046 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC1(C#N)CCC1 ZINC000362634449 418757379 /nfs/dbraw/zinc/75/73/79/418757379.db2.gz FWQLIXLJEZLXSD-ZDUSSCGKSA-N 1 2 317.393 1.046 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000363155917 418763323 /nfs/dbraw/zinc/76/33/23/418763323.db2.gz ZKJLVXFRMRHDJE-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000363155917 418763325 /nfs/dbraw/zinc/76/33/25/418763325.db2.gz ZKJLVXFRMRHDJE-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO Cc1nc(C2CC[NH+](CC(=O)N[C@@](C)(C#N)C(C)C)CC2)no1 ZINC000363443449 418766525 /nfs/dbraw/zinc/76/65/25/418766525.db2.gz FTHBGPROZHQGHB-INIZCTEOSA-N 1 2 319.409 1.612 20 30 DDEDLO Cc1nn(C)cc1[C@@H]1CCC[N@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000363927352 418771407 /nfs/dbraw/zinc/77/14/07/418771407.db2.gz XLTNXRXFBDQIKE-RDJZCZTQSA-N 1 2 317.437 1.920 20 30 DDEDLO Cc1nn(C)cc1[C@@H]1CCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000363927352 418771410 /nfs/dbraw/zinc/77/14/10/418771410.db2.gz XLTNXRXFBDQIKE-RDJZCZTQSA-N 1 2 317.437 1.920 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2cc(OC)ccc2F)CC1 ZINC000361923660 418725916 /nfs/dbraw/zinc/72/59/16/418725916.db2.gz COLINGLEWTWXHO-UHFFFAOYSA-N 1 2 307.369 1.259 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCS[C@H](c2ccccc2)C1 ZINC000364596096 418791121 /nfs/dbraw/zinc/79/11/21/418791121.db2.gz RWEHXQCBBFGIAR-AWEZNQCLSA-N 1 2 319.430 1.788 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCS[C@H](c2ccccc2)C1 ZINC000364596096 418791124 /nfs/dbraw/zinc/79/11/24/418791124.db2.gz RWEHXQCBBFGIAR-AWEZNQCLSA-N 1 2 319.430 1.788 20 30 DDEDLO Cc1ccc(C(=O)N2CC[C@@H]([NH+]3CCOCC3)[C@H]2C)cc1C#N ZINC000365606685 418881484 /nfs/dbraw/zinc/88/14/84/418881484.db2.gz BAZVSVNHWKCORB-RHSMWYFYSA-N 1 2 313.401 1.802 20 30 DDEDLO O=S(=O)(NC1CC1)[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC000365275001 418848861 /nfs/dbraw/zinc/84/88/61/418848861.db2.gz NNYVKLGBFHMORO-INIZCTEOSA-N 1 2 304.415 1.194 20 30 DDEDLO O=S(=O)(NC1CC1)[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC000365275001 418848862 /nfs/dbraw/zinc/84/88/62/418848862.db2.gz NNYVKLGBFHMORO-INIZCTEOSA-N 1 2 304.415 1.194 20 30 DDEDLO Cc1nc([C@@H]2COCCN2Cc2c[nH+]c3ccc(C#N)cn23)no1 ZINC000373110121 418928586 /nfs/dbraw/zinc/92/85/86/418928586.db2.gz BKJQNIQQWSQGLD-AWEZNQCLSA-N 1 2 324.344 1.471 20 30 DDEDLO CC[C@@H]1C(=O)N(CC)CC[N@H+]1CCOc1cccc(C#N)c1 ZINC000425934120 419370685 /nfs/dbraw/zinc/37/06/85/419370685.db2.gz ZGLCRDHIMKOXGQ-MRXNPFEDSA-N 1 2 301.390 1.880 20 30 DDEDLO CC[C@@H]1C(=O)N(CC)CC[N@@H+]1CCOc1cccc(C#N)c1 ZINC000425934120 419370688 /nfs/dbraw/zinc/37/06/88/419370688.db2.gz ZGLCRDHIMKOXGQ-MRXNPFEDSA-N 1 2 301.390 1.880 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)C(=O)NC1(C#N)CCCCC1)c1csnn1 ZINC000412026323 419568274 /nfs/dbraw/zinc/56/82/74/419568274.db2.gz LJKHWBRUDJMNQM-MNOVXSKESA-N 1 2 307.423 1.920 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC000412033622 419573357 /nfs/dbraw/zinc/57/33/57/419573357.db2.gz SAFHFUDHRWBVDW-TZMCWYRMSA-N 1 2 305.426 1.716 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC000412033622 419573362 /nfs/dbraw/zinc/57/33/62/419573362.db2.gz SAFHFUDHRWBVDW-TZMCWYRMSA-N 1 2 305.426 1.716 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)c2cccc(CC#N)c2)CCO1 ZINC000427760571 419728517 /nfs/dbraw/zinc/72/85/17/419728517.db2.gz DHQOXSINJSYEPW-INIZCTEOSA-N 1 2 301.390 1.593 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)c2cccc(CC#N)c2)CCO1 ZINC000427760571 419728523 /nfs/dbraw/zinc/72/85/23/419728523.db2.gz DHQOXSINJSYEPW-INIZCTEOSA-N 1 2 301.390 1.593 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)c3cnc[nH]3)CC2)cc1 ZINC000299616829 229265771 /nfs/dbraw/zinc/26/57/71/229265771.db2.gz ISGHUSYZKISQSQ-UHFFFAOYSA-N 1 2 309.373 1.629 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)c3cnc[nH]3)CC2)cc1 ZINC000299616829 229265774 /nfs/dbraw/zinc/26/57/74/229265774.db2.gz ISGHUSYZKISQSQ-UHFFFAOYSA-N 1 2 309.373 1.629 20 30 DDEDLO C=C(C)CNC(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000429105641 419969774 /nfs/dbraw/zinc/96/97/74/419969774.db2.gz SKNJNSWLMJTWOJ-UHFFFAOYSA-N 1 2 311.426 1.132 20 30 DDEDLO Cc1cc(N[C@@H](C)C(=O)NCCc2ccccc2)c(C#N)c[nH+]1 ZINC000425272370 420336347 /nfs/dbraw/zinc/33/63/47/420336347.db2.gz WRAQENXPGHSJRV-AWEZNQCLSA-N 1 2 308.385 1.843 20 30 DDEDLO N#Cc1cccc(C#N)c1Nc1ccc(N2CCNC(=O)C2)[nH+]c1 ZINC000302417122 230238709 /nfs/dbraw/zinc/23/87/09/230238709.db2.gz HZBFEFJBIUWLLJ-UHFFFAOYSA-N 1 2 318.340 1.505 20 30 DDEDLO N#Cc1ccccc1N1CCN(C(=O)C=Cc2c[nH]c[nH+]2)CC1 ZINC000492859808 420689697 /nfs/dbraw/zinc/68/96/97/420689697.db2.gz NQZVZMBMDGIFPN-WAYWQWQTSA-N 1 2 307.357 1.643 20 30 DDEDLO N#C[C@@H]1N(C(=O)C=Cc2c[nH]c[nH+]2)CCC[C@@]12CCCCO2 ZINC000492895741 420702936 /nfs/dbraw/zinc/70/29/36/420702936.db2.gz INDKAXFGVZAQND-KBSCQGNFSA-N 1 2 300.362 1.877 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](O)C(C)(C)C1 ZINC000446077474 420762794 /nfs/dbraw/zinc/76/27/94/420762794.db2.gz JWZVXEKIXHUQOS-CABCVRRESA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](O)C(C)(C)C1 ZINC000446077474 420762796 /nfs/dbraw/zinc/76/27/96/420762796.db2.gz JWZVXEKIXHUQOS-CABCVRRESA-N 1 2 302.374 1.865 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)N[C@H]2CC[C@@H](CC#N)C2)CCO1 ZINC000454628710 420896546 /nfs/dbraw/zinc/89/65/46/420896546.db2.gz IBXDHQWMZJYWGS-KBPBESRZSA-N 1 2 308.426 1.479 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)N[C@H]2CC[C@@H](CC#N)C2)CCO1 ZINC000454628710 420896551 /nfs/dbraw/zinc/89/65/51/420896551.db2.gz IBXDHQWMZJYWGS-KBPBESRZSA-N 1 2 308.426 1.479 20 30 DDEDLO C=C[C@H]1CCCCN1S(=O)(=O)CCC[NH+]1CCOCC1 ZINC000487418094 421024591 /nfs/dbraw/zinc/02/45/91/421024591.db2.gz ZHGVKLIDVHOHPJ-AWEZNQCLSA-N 1 2 302.440 1.079 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NCC[N@H+]2CCOC[C@@H]2C)c1 ZINC000456014233 421087902 /nfs/dbraw/zinc/08/79/02/421087902.db2.gz XGNLFMJAKRTFHG-ZDUSSCGKSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NCC[N@@H+]2CCOC[C@@H]2C)c1 ZINC000456014233 421087905 /nfs/dbraw/zinc/08/79/05/421087905.db2.gz XGNLFMJAKRTFHG-ZDUSSCGKSA-N 1 2 302.378 1.709 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2ccc(C#N)n2C)CC1 ZINC000450017671 421129157 /nfs/dbraw/zinc/12/91/57/421129157.db2.gz MNYBHQZZHMLDHN-UHFFFAOYSA-N 1 2 304.394 1.080 20 30 DDEDLO CN(c1cccc(C#N)c1)S(=O)(=O)CCC[NH+]1CCOCC1 ZINC000547326686 421322536 /nfs/dbraw/zinc/32/25/36/421322536.db2.gz SZUVCJWLRREIKE-UHFFFAOYSA-N 1 2 323.418 1.047 20 30 DDEDLO Cc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)ccn1 ZINC000527532965 421395016 /nfs/dbraw/zinc/39/50/16/421395016.db2.gz FUMZNHMIDAKZFR-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)ccn1 ZINC000527532965 421395019 /nfs/dbraw/zinc/39/50/19/421395019.db2.gz FUMZNHMIDAKZFR-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO N#Cc1ccc(CC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)cn1 ZINC000528890134 421523455 /nfs/dbraw/zinc/52/34/55/421523455.db2.gz JZHFPEXMOGVTDB-HNNXBMFYSA-N 1 2 307.357 1.286 20 30 DDEDLO C=CCN(C(=O)C[C@@H](C)n1cc[nH+]c1)[C@@H]1CCS(=O)(=O)C1 ZINC000515063677 421477697 /nfs/dbraw/zinc/47/76/97/421477697.db2.gz XLSLUVYOSWCOLV-CHWSQXEVSA-N 1 2 311.407 1.036 20 30 DDEDLO C=CC[N@@H+](CCc1ncc(C)cc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000564911026 421594512 /nfs/dbraw/zinc/59/45/12/421594512.db2.gz FUPQGQBIFDLXJG-OAHLLOKOSA-N 1 2 308.447 1.916 20 30 DDEDLO C=CC[N@H+](CCc1ncc(C)cc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000564911026 421594520 /nfs/dbraw/zinc/59/45/20/421594520.db2.gz FUPQGQBIFDLXJG-OAHLLOKOSA-N 1 2 308.447 1.916 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1ncc2c(c1C#N)CCC2 ZINC000517361349 421610860 /nfs/dbraw/zinc/61/08/60/421610860.db2.gz OTAXIAJUGZISFK-UKRRQHHQSA-N 1 2 312.417 1.741 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc2c(c1)OC(F)(F)O2 ZINC000568518656 421620857 /nfs/dbraw/zinc/62/08/57/421620857.db2.gz KOPXDJGBVASGRD-CYBMUJFWSA-N 1 2 311.288 1.582 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc(COC(C)(C)C)on1 ZINC000569009256 421624018 /nfs/dbraw/zinc/62/40/18/421624018.db2.gz ZQIJSVMJRDXFFM-OAHLLOKOSA-N 1 2 308.382 1.563 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000570393457 421642524 /nfs/dbraw/zinc/64/25/24/421642524.db2.gz XCQIZLZDNJEEDB-IHRRRGAJSA-N 1 2 304.394 1.890 20 30 DDEDLO C[N@H+](CCN1CCCC1=O)CC(=O)Nc1ccc(C#N)cc1 ZINC000533296926 421671203 /nfs/dbraw/zinc/67/12/03/421671203.db2.gz OERLMQBOYMSMBW-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO C[N@@H+](CCN1CCCC1=O)CC(=O)Nc1ccc(C#N)cc1 ZINC000533296926 421671205 /nfs/dbraw/zinc/67/12/05/421671205.db2.gz OERLMQBOYMSMBW-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO C=CC[N@@H+](CCC(=O)N1CCC[C@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000533387335 421673124 /nfs/dbraw/zinc/67/31/24/421673124.db2.gz AWCLOWYKQGSSLO-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[N@H+](CCC(=O)N1CCC[C@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000533387335 421673126 /nfs/dbraw/zinc/67/31/26/421673126.db2.gz AWCLOWYKQGSSLO-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO COc1c(N)[nH+]cnc1N1CCCN(c2ccccc2C#N)CC1 ZINC000520428452 421752454 /nfs/dbraw/zinc/75/24/54/421752454.db2.gz VJENMEFNCPWPQY-UHFFFAOYSA-N 1 2 324.388 1.656 20 30 DDEDLO COc1c(N)nc[nH+]c1N1CCCN(c2ccccc2C#N)CC1 ZINC000520428452 421752456 /nfs/dbraw/zinc/75/24/56/421752456.db2.gz VJENMEFNCPWPQY-UHFFFAOYSA-N 1 2 324.388 1.656 20 30 DDEDLO C=CC[C@H](CO)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1 ZINC000540726652 421767793 /nfs/dbraw/zinc/76/77/93/421767793.db2.gz ZEGPLOVHGNBIKL-CABCVRRESA-N 1 2 314.389 1.746 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000572733072 421851322 /nfs/dbraw/zinc/85/13/22/421851322.db2.gz ZBHWAKJNKLCQJC-CYBMUJFWSA-N 1 2 302.378 1.609 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCc2[nH]c[nH+]c2C12CCOCC2 ZINC000633525693 421950387 /nfs/dbraw/zinc/95/03/87/421950387.db2.gz GOEOSDNAENVEMI-CYBMUJFWSA-N 1 2 319.405 1.781 20 30 DDEDLO CC[NH+]1CCN(C(=O)[C@@H](C)Sc2cccnc2C#N)CC1 ZINC000581498871 421988236 /nfs/dbraw/zinc/98/82/36/421988236.db2.gz MHBZHAINWCQWFG-GFCCVEGCSA-N 1 2 304.419 1.598 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCO[C@H](CC(N)=O)C2)cc(OC)c1O ZINC000628474673 422189615 /nfs/dbraw/zinc/18/96/15/422189615.db2.gz KTLVJCURYHFFJC-CQSZACIVSA-N 1 2 320.389 1.206 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCO[C@H](CC(N)=O)C2)cc(OC)c1O ZINC000628474673 422189619 /nfs/dbraw/zinc/18/96/19/422189619.db2.gz KTLVJCURYHFFJC-CQSZACIVSA-N 1 2 320.389 1.206 20 30 DDEDLO CCc1nc(C#N)cc(NCc2ccc[nH+]c2N2CCCC2)n1 ZINC000596355147 422375240 /nfs/dbraw/zinc/37/52/40/422375240.db2.gz AJYAGQBPOAQPDG-UHFFFAOYSA-N 1 2 308.389 2.518 20 30 DDEDLO Cc1cn2c([nH+]1)C[C@@H](C(=O)Nc1n[nH]c(C3CC3)c1C#N)CC2 ZINC000600824615 422412474 /nfs/dbraw/zinc/41/24/74/422412474.db2.gz ISVSCLZCUBEBLH-NSHDSACASA-N 1 2 310.361 1.865 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000634510236 422462559 /nfs/dbraw/zinc/46/25/59/422462559.db2.gz RNWVDOULNWPBJE-UHFFFAOYSA-N 1 2 300.406 1.357 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)NCC2CCCCC2)nn1 ZINC000640816273 423208556 /nfs/dbraw/zinc/20/85/56/423208556.db2.gz BUSACONWQOGPRL-CQSZACIVSA-N 1 2 317.437 1.649 20 30 DDEDLO C[N@H+](Cc1ccc2c(c1)OCO2)[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000115532389 263337650 /nfs/dbraw/zinc/33/76/50/263337650.db2.gz LVBMQYPJEOJJHE-QGZVFWFLSA-N 1 2 323.352 1.945 20 30 DDEDLO C[N@@H+](Cc1ccc2c(c1)OCO2)[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000115532389 263337653 /nfs/dbraw/zinc/33/76/53/263337653.db2.gz LVBMQYPJEOJJHE-QGZVFWFLSA-N 1 2 323.352 1.945 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCOCc2ccc(OC)cc2)nn1 ZINC000641030450 423335452 /nfs/dbraw/zinc/33/54/52/423335452.db2.gz ZXGVAKJCLFNQAO-UHFFFAOYSA-N 1 2 314.389 1.616 20 30 DDEDLO C=CCn1cc(C(=O)NCCc2cn3c(cccc3C)[nH+]2)nn1 ZINC000648708672 423422816 /nfs/dbraw/zinc/42/28/16/423422816.db2.gz SSWCJQMJMVBVKW-UHFFFAOYSA-N 1 2 310.361 1.393 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](OC)c2ccc(F)cc2)nn1 ZINC000641104904 423388994 /nfs/dbraw/zinc/38/89/94/423388994.db2.gz WKONUPOINPVGEY-MRXNPFEDSA-N 1 2 302.353 1.918 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2c(C)cc(C)nc2C)CC1 ZINC000639432405 423500350 /nfs/dbraw/zinc/50/03/50/423500350.db2.gz JIBOUXYTHQDWKQ-UHFFFAOYSA-N 1 2 316.449 1.427 20 30 DDEDLO CC(=O)c1[nH]c(CC[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)nc1C ZINC000639698315 423665525 /nfs/dbraw/zinc/66/55/25/423665525.db2.gz KKZXNLWWZIQRPH-VXGBXAGGSA-N 1 2 303.410 1.381 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000645145764 424189401 /nfs/dbraw/zinc/18/94/01/424189401.db2.gz DZZGHAKJERLJQI-HNNXBMFYSA-N 1 2 316.405 1.255 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2C[C@H](O)C[C@H]2COC)c1C ZINC000649742004 424171967 /nfs/dbraw/zinc/17/19/67/424171967.db2.gz LSQBKUFOXAVJFX-LSDHHAIUSA-N 1 2 306.406 1.555 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2C[C@H](O)C[C@H]2COC)c1C ZINC000649742004 424171977 /nfs/dbraw/zinc/17/19/77/424171977.db2.gz LSQBKUFOXAVJFX-LSDHHAIUSA-N 1 2 306.406 1.555 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H]2CCc3ccccc3NC2=O)nn1 ZINC000657503969 424384572 /nfs/dbraw/zinc/38/45/72/424384572.db2.gz BJZBDXADBXPQOD-MRXNPFEDSA-N 1 2 311.389 1.897 20 30 DDEDLO C=CCCn1cc(CSc2[nH+]cnc3c2cnn3C)nn1 ZINC000655473963 424498551 /nfs/dbraw/zinc/49/85/51/424498551.db2.gz VJVQXWUPFDNNQN-UHFFFAOYSA-N 1 2 301.379 1.823 20 30 DDEDLO CC[C@@H](C(=O)OC)N1CC[NH+](Cc2cccc(C#N)c2F)CC1 ZINC000352722944 266352882 /nfs/dbraw/zinc/35/28/82/266352882.db2.gz CNLMNCWMZUZAHI-HNNXBMFYSA-N 1 2 319.380 1.767 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)c2ccc(C#N)cc2C)CCO1 ZINC000347604180 266379886 /nfs/dbraw/zinc/37/98/86/266379886.db2.gz YTSALIIMTBIYIC-OAHLLOKOSA-N 1 2 301.390 1.707 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)c2ccc(C#N)cc2C)CCO1 ZINC000347604180 266379889 /nfs/dbraw/zinc/37/98/89/266379889.db2.gz YTSALIIMTBIYIC-OAHLLOKOSA-N 1 2 301.390 1.707 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@@]2(CCOC2)O1 ZINC000369537992 267048127 /nfs/dbraw/zinc/04/81/27/267048127.db2.gz WXPIWOIMIJCNHS-CXAGYDPISA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@@]2(CCOC2)O1 ZINC000369537992 267048129 /nfs/dbraw/zinc/04/81/29/267048129.db2.gz WXPIWOIMIJCNHS-CXAGYDPISA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1S(=O)(=O)Cc1cc(C#N)ccc1F ZINC000347117240 267228114 /nfs/dbraw/zinc/22/81/14/267228114.db2.gz FAUJWLSQCHWPJS-NSHDSACASA-N 1 2 311.382 1.163 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)Cc1cc(C#N)ccc1F ZINC000347117240 267228117 /nfs/dbraw/zinc/22/81/17/267228117.db2.gz FAUJWLSQCHWPJS-NSHDSACASA-N 1 2 311.382 1.163 20 30 DDEDLO C[C@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)CC[S@@](=O)C1 ZINC000369352175 267233316 /nfs/dbraw/zinc/23/33/16/267233316.db2.gz IAUNYUPKTMLANP-LAJNKCICSA-N 1 2 302.403 1.406 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+](C2(C(N)=O)CCCC2)CC1 ZINC000370234320 267955981 /nfs/dbraw/zinc/95/59/81/267955981.db2.gz YGVSOULTWNEYFD-UHFFFAOYSA-N 1 2 316.380 1.617 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCSC[C@H]2CCO)cc1 ZINC000347370137 268141127 /nfs/dbraw/zinc/14/11/27/268141127.db2.gz MOJMJCKDXQGBID-CQSZACIVSA-N 1 2 305.403 1.297 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCSC[C@H]2CCO)cc1 ZINC000347370137 268141130 /nfs/dbraw/zinc/14/11/30/268141130.db2.gz MOJMJCKDXQGBID-CQSZACIVSA-N 1 2 305.403 1.297 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@@H+]2CCOC[C@@H]2[C@@H]2CCCO2)cc1 ZINC000368516119 268213773 /nfs/dbraw/zinc/21/37/73/268213773.db2.gz XUQLBDJREAVLMZ-ZACQAIPSSA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@H+]2CCOC[C@@H]2[C@@H]2CCCO2)cc1 ZINC000368516119 268213776 /nfs/dbraw/zinc/21/37/76/268213776.db2.gz XUQLBDJREAVLMZ-ZACQAIPSSA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1cccc(OCC[NH+]2CCN([C@H]3CCOC3)CC2)c1 ZINC000366514736 268274581 /nfs/dbraw/zinc/27/45/81/268274581.db2.gz NTBWQFLWUQWLJP-INIZCTEOSA-N 1 2 301.390 1.344 20 30 DDEDLO C[C@H]([NH2+]Cc1cc(C#N)n(C)c1)c1nnnn1-c1ccccc1 ZINC000191081247 278266188 /nfs/dbraw/zinc/26/61/88/278266188.db2.gz AXVDCMVVWSKQSV-LBPRGKRZSA-N 1 2 307.361 1.723 20 30 DDEDLO C[C@@H]1[C@H](N2CCOCC2)CC[N@@H+]1Cc1cccc(F)c1C#N ZINC000428952133 279118506 /nfs/dbraw/zinc/11/85/06/279118506.db2.gz HQMANXRZEDOJLD-CXAGYDPISA-N 1 2 303.381 1.992 20 30 DDEDLO C[C@@H]1[C@H](N2CCOCC2)CC[N@H+]1Cc1cccc(F)c1C#N ZINC000428952133 279118507 /nfs/dbraw/zinc/11/85/07/279118507.db2.gz HQMANXRZEDOJLD-CXAGYDPISA-N 1 2 303.381 1.992 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cccnc1C#N ZINC000451934456 288284946 /nfs/dbraw/zinc/28/49/46/288284946.db2.gz QMELMDWOYCCWLV-LBPRGKRZSA-N 1 2 308.407 1.058 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cccnc1C#N ZINC000451934456 288284950 /nfs/dbraw/zinc/28/49/50/288284950.db2.gz QMELMDWOYCCWLV-LBPRGKRZSA-N 1 2 308.407 1.058 20 30 DDEDLO CNC(=O)Cc1nc(C[N@H+](C)Cc2ccc(C#N)cc2)cs1 ZINC000081648908 281010849 /nfs/dbraw/zinc/01/08/49/281010849.db2.gz HLKDAMDRSOHBTR-UHFFFAOYSA-N 1 2 314.414 1.935 20 30 DDEDLO CNC(=O)Cc1nc(C[N@@H+](C)Cc2ccc(C#N)cc2)cs1 ZINC000081648908 281010851 /nfs/dbraw/zinc/01/08/51/281010851.db2.gz HLKDAMDRSOHBTR-UHFFFAOYSA-N 1 2 314.414 1.935 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(C(=O)N[C@H](C2CC2)C(F)(F)F)CC1 ZINC000332174863 289041472 /nfs/dbraw/zinc/04/14/72/289041472.db2.gz NLLWJGAUZFNEDT-LLVKDONJSA-N 1 2 318.343 1.957 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)[N@@H+]1CC[C@@H](C2CC2)C1 ZINC000328962624 289231872 /nfs/dbraw/zinc/23/18/72/289231872.db2.gz QXZWRTBQPRBHOL-RAIGVLPGSA-N 1 2 300.424 1.250 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)[N@H+]1CC[C@@H](C2CC2)C1 ZINC000328962624 289231875 /nfs/dbraw/zinc/23/18/75/289231875.db2.gz QXZWRTBQPRBHOL-RAIGVLPGSA-N 1 2 300.424 1.250 20 30 DDEDLO COC[C@H]1C[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)C[C@H](C)O1 ZINC000189386961 293232446 /nfs/dbraw/zinc/23/24/46/293232446.db2.gz RXXOLIQVSWLCSG-LSDHHAIUSA-N 1 2 323.437 1.407 20 30 DDEDLO COC[C@H]1C[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)C[C@H](C)O1 ZINC000189386961 293232448 /nfs/dbraw/zinc/23/24/48/293232448.db2.gz RXXOLIQVSWLCSG-LSDHHAIUSA-N 1 2 323.437 1.407 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)CC2(C#N)CCCCC2)C[C@@H](C)[NH+]1C ZINC000339065828 297038699 /nfs/dbraw/zinc/03/86/99/297038699.db2.gz HQOVFRJKUZSOMS-ZIAGYGMSSA-N 1 2 313.467 1.815 20 30 DDEDLO NS(=O)(=O)C[C@@H]1CCC[N@@H+]1CC#Cc1ccc(Cl)cc1 ZINC000427008308 295283175 /nfs/dbraw/zinc/28/31/75/295283175.db2.gz NIJWHPIXLHQPQZ-AWEZNQCLSA-N 1 2 312.822 1.444 20 30 DDEDLO NS(=O)(=O)C[C@@H]1CCC[N@H+]1CC#Cc1ccc(Cl)cc1 ZINC000427008308 295283177 /nfs/dbraw/zinc/28/31/77/295283177.db2.gz NIJWHPIXLHQPQZ-AWEZNQCLSA-N 1 2 312.822 1.444 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CC[NH+](Cc3ccco3)CC2)n1 ZINC000566198221 308049419 /nfs/dbraw/zinc/04/94/19/308049419.db2.gz JHBZYLSUAXFEFJ-UHFFFAOYSA-N 1 2 311.345 1.896 20 30 DDEDLO CC1=C[C@H](C)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000567021358 308068964 /nfs/dbraw/zinc/06/89/64/308068964.db2.gz RWXNJDABGOWUEA-LBPRGKRZSA-N 1 2 305.403 1.625 20 30 DDEDLO CC1=C[C@H](C)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000567021358 308068966 /nfs/dbraw/zinc/06/89/66/308068966.db2.gz RWXNJDABGOWUEA-LBPRGKRZSA-N 1 2 305.403 1.625 20 30 DDEDLO Cc1ccc([C@@H](CNc2ncncc2C#N)[NH+]2CCOCC2)cc1 ZINC000577390397 308385170 /nfs/dbraw/zinc/38/51/70/308385170.db2.gz APMQGSHAQPVWDO-QGZVFWFLSA-N 1 2 323.400 1.564 20 30 DDEDLO CC(C)(NC(=O)CNC(=O)c1ccc(C#N)cc1)c1[nH]cc[nH+]1 ZINC000577521872 308395453 /nfs/dbraw/zinc/39/54/53/308395453.db2.gz RAYOZEGVAGVEKM-UHFFFAOYSA-N 1 2 311.345 1.063 20 30 DDEDLO Cc1cc(N2CCC[C@H](C(=O)N3CCOCC3)C2)c(C#N)c[nH+]1 ZINC000582297373 326006632 /nfs/dbraw/zinc/00/66/32/326006632.db2.gz KBDWJVVKMBNLAT-AWEZNQCLSA-N 1 2 314.389 1.337 20 30 DDEDLO CSc1ccc([N+](=O)[O-])c(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000574952083 332867029 /nfs/dbraw/zinc/86/70/29/332867029.db2.gz QZUMWEYLCVOGCT-CQSZACIVSA-N 1 2 322.390 1.890 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@H]1CCCN(CC(F)(F)F)C1 ZINC000574379951 332354506 /nfs/dbraw/zinc/35/45/06/332354506.db2.gz BSAWPLSARIQJPY-WCQYABFASA-N 1 2 320.359 1.221 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCC(O)(c2c(F)cccc2F)CC1 ZINC000186490652 335158318 /nfs/dbraw/zinc/15/83/18/335158318.db2.gz SIZCLCFUIYROIH-LBPRGKRZSA-N 1 2 324.371 1.939 20 30 DDEDLO COc1cccc(OC)c1OC[C@@H](O)C[N@H+](C)[C@@H](C)CC#N ZINC000345875016 335357485 /nfs/dbraw/zinc/35/74/85/335357485.db2.gz UYDJLPVXWZXDAB-STQMWFEESA-N 1 2 308.378 1.677 20 30 DDEDLO COc1cccc(OC)c1OC[C@@H](O)C[N@@H+](C)[C@@H](C)CC#N ZINC000345875016 335357487 /nfs/dbraw/zinc/35/74/87/335357487.db2.gz UYDJLPVXWZXDAB-STQMWFEESA-N 1 2 308.378 1.677 20 30 DDEDLO C=CCN(Cc1c[nH+]c2cccc(C)n12)[C@H]1CCS(=O)(=O)C1 ZINC000352570656 336225142 /nfs/dbraw/zinc/22/51/42/336225142.db2.gz KKCCYAANVLMUCZ-AWEZNQCLSA-N 1 2 319.430 1.818 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(CC(F)F)CC1 ZINC000057912259 337458309 /nfs/dbraw/zinc/45/83/09/337458309.db2.gz DZVGSPWYIAASQC-ZDUSSCGKSA-N 1 2 303.397 1.682 20 30 DDEDLO C=CCN1CCN(C[NH+]2CCC(N(C)C(=O)OCC)CC2)C1=O ZINC000496407021 340004800 /nfs/dbraw/zinc/00/48/00/340004800.db2.gz QZYFYCZOQUNCLO-UHFFFAOYSA-N 1 2 324.425 1.420 20 30 DDEDLO CNC(=O)OC[C@H]1CCCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000496607021 340008798 /nfs/dbraw/zinc/00/87/98/340008798.db2.gz UTLXCBLNZCIHTH-CJNGLKHVSA-N 1 2 324.425 1.251 20 30 DDEDLO CNC(=O)OC[C@H]1CCCC[N@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000496607021 340008799 /nfs/dbraw/zinc/00/87/99/340008799.db2.gz UTLXCBLNZCIHTH-CJNGLKHVSA-N 1 2 324.425 1.251 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N2CCC(CC#N)CC2)c(C)[nH+]1 ZINC000543824679 341060431 /nfs/dbraw/zinc/06/04/31/341060431.db2.gz DBXYLFWYISCGJK-UHFFFAOYSA-N 1 2 300.362 1.789 20 30 DDEDLO C=C[C@H](C)NC(=O)C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000133762409 341186087 /nfs/dbraw/zinc/18/60/87/341186087.db2.gz MXATXQAKNKMAHA-ZDUSSCGKSA-N 1 2 317.389 1.148 20 30 DDEDLO CC#CCNC(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000135117094 341231301 /nfs/dbraw/zinc/23/13/01/341231301.db2.gz GOSQSPRZFMYGJB-OKILXGFUSA-N 1 2 316.405 1.518 20 30 DDEDLO C[N@H+](Cc1nccs1)[C@H]1CC12CCN(C(=O)CC#N)CC2 ZINC000548680678 341269197 /nfs/dbraw/zinc/26/91/97/341269197.db2.gz OONLFYFTNNDSHN-LBPRGKRZSA-N 1 2 304.419 1.870 20 30 DDEDLO C[N@@H+](Cc1nccs1)[C@H]1CC12CCN(C(=O)CC#N)CC2 ZINC000548680678 341269198 /nfs/dbraw/zinc/26/91/98/341269198.db2.gz OONLFYFTNNDSHN-LBPRGKRZSA-N 1 2 304.419 1.870 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc2c(c1)NC(=O)CS2 ZINC000566069314 341546955 /nfs/dbraw/zinc/54/69/55/341546955.db2.gz AYCDNLUFURCZAS-OAHLLOKOSA-N 1 2 318.402 1.304 20 30 DDEDLO CCC#C[C@H](C)[NH+]1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000677475633 486475251 /nfs/dbraw/zinc/47/52/51/486475251.db2.gz DVIMJPACIBRYJJ-INIZCTEOSA-N 1 2 320.458 1.936 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)cc1OC ZINC000329917527 534023925 /nfs/dbraw/zinc/02/39/25/534023925.db2.gz FJVLQLSVDCRUJG-WFASDCNBSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)cc1OC ZINC000329917527 534023927 /nfs/dbraw/zinc/02/39/27/534023927.db2.gz FJVLQLSVDCRUJG-WFASDCNBSA-N 1 2 321.377 1.264 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)N1CCO[C@@H](C#N)C1)CCC2 ZINC000332694137 534508695 /nfs/dbraw/zinc/50/86/95/534508695.db2.gz WEGNYSARXQNDGX-OLZOCXBDSA-N 1 2 303.366 1.003 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(CC(C)C)CC(C)C)C1=O ZINC000337267268 526467886 /nfs/dbraw/zinc/46/78/86/526467886.db2.gz IQZAGVZRLGZWCV-MRXNPFEDSA-N 1 2 323.481 1.846 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(CC(C)C)CC(C)C)C1=O ZINC000337267268 526467892 /nfs/dbraw/zinc/46/78/92/526467892.db2.gz IQZAGVZRLGZWCV-MRXNPFEDSA-N 1 2 323.481 1.846 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(C)[C@H]2CCCC[C@@H]2C)C1=O ZINC000337199739 526499853 /nfs/dbraw/zinc/49/98/53/526499853.db2.gz BFAYAVUFTJNDOI-JYJNAYRXSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(C)[C@H]2CCCC[C@@H]2C)C1=O ZINC000337199739 526499861 /nfs/dbraw/zinc/49/98/61/526499861.db2.gz BFAYAVUFTJNDOI-JYJNAYRXSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)N(C)c2ccccc2)C1=O ZINC000337202430 526501934 /nfs/dbraw/zinc/50/19/34/526501934.db2.gz FAWWNRFMOWJZEO-HOCLYGCPSA-N 1 2 315.417 1.757 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)N(C)c2ccccc2)C1=O ZINC000337202430 526501941 /nfs/dbraw/zinc/50/19/41/526501941.db2.gz FAWWNRFMOWJZEO-HOCLYGCPSA-N 1 2 315.417 1.757 20 30 DDEDLO C=C(C)C[N@@H+](C)Cc1nnnn1-c1cc(OC)cc(OC)c1 ZINC000434977312 526503748 /nfs/dbraw/zinc/50/37/48/526503748.db2.gz HBIQFONLKSWNQU-UHFFFAOYSA-N 1 2 303.366 1.687 20 30 DDEDLO C=C(C)C[N@H+](C)Cc1nnnn1-c1cc(OC)cc(OC)c1 ZINC000434977312 526503757 /nfs/dbraw/zinc/50/37/57/526503757.db2.gz HBIQFONLKSWNQU-UHFFFAOYSA-N 1 2 303.366 1.687 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2c(F)cccc2F)CC1 ZINC000349768058 526539776 /nfs/dbraw/zinc/53/97/76/526539776.db2.gz MKWPZTUVBYTNTC-UHFFFAOYSA-N 1 2 309.360 1.385 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[NH2+][C@H](c2cccnc2)C1)OCC ZINC000337666600 526746227 /nfs/dbraw/zinc/74/62/27/526746227.db2.gz SXXKVDNIVFNYMN-JKSUJKDBSA-N 1 2 303.406 1.926 20 30 DDEDLO C#CCN(C)C(=O)NCc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1 ZINC000491677437 526792613 /nfs/dbraw/zinc/79/26/13/526792613.db2.gz QOPLCSXCBGWIOA-ZIAGYGMSSA-N 1 2 316.405 1.470 20 30 DDEDLO C=CCOC[C@H](NCc1c[nH+]c2cc(C)ccn12)C(=O)OC ZINC000361112816 526810542 /nfs/dbraw/zinc/81/05/42/526810542.db2.gz JLHWREGMTPDXCY-AWEZNQCLSA-N 1 2 303.362 1.477 20 30 DDEDLO CC(C)(C)c1cnc(NC(=O)N2CC[NH+](CCO)CC2)s1 ZINC000331092943 526824184 /nfs/dbraw/zinc/82/41/84/526824184.db2.gz XUABFKWJHMMGCI-UHFFFAOYSA-N 1 2 312.439 1.596 20 30 DDEDLO C#CCN(CC#CC)C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000490700607 526857029 /nfs/dbraw/zinc/85/70/29/526857029.db2.gz UABFVPOENJUMBA-UHFFFAOYSA-N 1 2 310.401 1.850 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N(CCC#N)CCC(C)C ZINC000339275429 526894141 /nfs/dbraw/zinc/89/41/41/526894141.db2.gz NUMVMFFAKAAPIW-OAHLLOKOSA-N 1 2 319.409 1.245 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N(CCC#N)CCC(C)C ZINC000339275429 526894145 /nfs/dbraw/zinc/89/41/45/526894145.db2.gz NUMVMFFAKAAPIW-OAHLLOKOSA-N 1 2 319.409 1.245 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000346231585 526934557 /nfs/dbraw/zinc/93/45/57/526934557.db2.gz HHZFCIVUIHRIBR-AWEZNQCLSA-N 1 2 324.446 1.717 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000346231585 526934559 /nfs/dbraw/zinc/93/45/59/526934559.db2.gz HHZFCIVUIHRIBR-AWEZNQCLSA-N 1 2 324.446 1.717 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(F)c([C@@H](C)O)c2)CC1 ZINC000491776579 526951689 /nfs/dbraw/zinc/95/16/89/526951689.db2.gz WFXFPIZLTGTWGR-CYBMUJFWSA-N 1 2 319.380 1.068 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3scnc3C)n2C)CC1 ZINC000491788425 526956453 /nfs/dbraw/zinc/95/64/53/526956453.db2.gz XEVAYHLHMXPMKS-UHFFFAOYSA-N 1 2 302.407 1.002 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2ncc(COC)s2)CC1 ZINC000491394598 526971656 /nfs/dbraw/zinc/97/16/56/526971656.db2.gz NFUDKGWRMVTQHP-UHFFFAOYSA-N 1 2 307.419 1.251 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](C)Cc1nccn1Cc1ccccc1 ZINC000491203377 526974665 /nfs/dbraw/zinc/97/46/65/526974665.db2.gz VPQDKCZJUVVXOF-UHFFFAOYSA-N 1 2 310.401 1.503 20 30 DDEDLO C#CCNC(=O)CC[N@H+](C)Cc1nccn1Cc1ccccc1 ZINC000491203377 526974668 /nfs/dbraw/zinc/97/46/68/526974668.db2.gz VPQDKCZJUVVXOF-UHFFFAOYSA-N 1 2 310.401 1.503 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1sc2c(c1C(=O)OC)CCC2 ZINC000491820860 527212581 /nfs/dbraw/zinc/21/25/81/527212581.db2.gz YUDSYNPASCQKBS-SNVBAGLBSA-N 1 2 320.414 1.915 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1sc2c(c1C(=O)OC)CCC2 ZINC000491820860 527212587 /nfs/dbraw/zinc/21/25/87/527212587.db2.gz YUDSYNPASCQKBS-SNVBAGLBSA-N 1 2 320.414 1.915 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1cc(OC)c(OC)c(OC)c1 ZINC000491691245 527213125 /nfs/dbraw/zinc/21/31/25/527213125.db2.gz FTNGRHUPXMMRLM-LLVKDONJSA-N 1 2 306.362 1.604 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1cc(OC)c(OC)c(OC)c1 ZINC000491691245 527213130 /nfs/dbraw/zinc/21/31/30/527213130.db2.gz FTNGRHUPXMMRLM-LLVKDONJSA-N 1 2 306.362 1.604 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCO[C@H]([C@H](C)O)C2)cc(OC)c1O ZINC000414598438 527238406 /nfs/dbraw/zinc/23/84/06/527238406.db2.gz YHCOTOMKZGUUMC-LRDDRELGSA-N 1 2 307.390 1.711 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCO[C@H]([C@H](C)O)C2)cc(OC)c1O ZINC000414598438 527238407 /nfs/dbraw/zinc/23/84/07/527238407.db2.gz YHCOTOMKZGUUMC-LRDDRELGSA-N 1 2 307.390 1.711 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@H](O)COc1ccccc1CC=C ZINC000491775858 527311526 /nfs/dbraw/zinc/31/15/26/527311526.db2.gz XMFYIPXACOMJNR-IAGOWNOFSA-N 1 2 301.386 1.489 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@H](O)COc1ccccc1CC=C ZINC000491775858 527311529 /nfs/dbraw/zinc/31/15/29/527311529.db2.gz XMFYIPXACOMJNR-IAGOWNOFSA-N 1 2 301.386 1.489 20 30 DDEDLO C=CCCn1cc(C(=O)NCc2cn3cc(C)ccc3[nH+]2)nn1 ZINC000424603277 527525811 /nfs/dbraw/zinc/52/58/11/527525811.db2.gz SGEWMNIONATFFP-UHFFFAOYSA-N 1 2 310.361 1.740 20 30 DDEDLO CC(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330428391 527527352 /nfs/dbraw/zinc/52/73/52/527527352.db2.gz UKXFLWLPOOGUPV-WMLDXEAASA-N 1 2 322.453 1.277 20 30 DDEDLO CC(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330428391 527527358 /nfs/dbraw/zinc/52/73/58/527527358.db2.gz UKXFLWLPOOGUPV-WMLDXEAASA-N 1 2 322.453 1.277 20 30 DDEDLO CC(=O)N[C@H](CC1CCC1)C(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000329903971 527544280 /nfs/dbraw/zinc/54/42/80/527544280.db2.gz QSFFGBJBELOBTI-JKSUJKDBSA-N 1 2 323.437 1.455 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[N@H+](Cc2ccccc2)[C@@H](C)C1 ZINC000414569292 527553980 /nfs/dbraw/zinc/55/39/80/527553980.db2.gz KFTMVBWLEJSYGQ-HNNXBMFYSA-N 1 2 315.417 1.364 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[N@@H+](Cc2ccccc2)[C@@H](C)C1 ZINC000414569292 527553984 /nfs/dbraw/zinc/55/39/84/527553984.db2.gz KFTMVBWLEJSYGQ-HNNXBMFYSA-N 1 2 315.417 1.364 20 30 DDEDLO CC(C)(C)NC(=O)NC(=O)C[N@@H+]1CC[C@H](Oc2ccncc2)C1 ZINC000330940845 527557826 /nfs/dbraw/zinc/55/78/26/527557826.db2.gz CPGQKVNBJUQBBJ-ZDUSSCGKSA-N 1 2 320.393 1.363 20 30 DDEDLO CC(C)(C)NC(=O)NC(=O)C[N@H+]1CC[C@H](Oc2ccncc2)C1 ZINC000330940845 527557828 /nfs/dbraw/zinc/55/78/28/527557828.db2.gz CPGQKVNBJUQBBJ-ZDUSSCGKSA-N 1 2 320.393 1.363 20 30 DDEDLO CC(C)C[N@H+](Cc1ccc(C#N)cc1)Cc1nc(N)nc(N)n1 ZINC000434927846 527945665 /nfs/dbraw/zinc/94/56/65/527945665.db2.gz QQHYNDVGMLJRAW-UHFFFAOYSA-N 1 2 311.393 1.227 20 30 DDEDLO CC(C)C[N@@H+](Cc1ccc(C#N)cc1)Cc1nc(N)nc(N)n1 ZINC000434927846 527945668 /nfs/dbraw/zinc/94/56/68/527945668.db2.gz QQHYNDVGMLJRAW-UHFFFAOYSA-N 1 2 311.393 1.227 20 30 DDEDLO CCNC(=O)CN1CC[NH+]([C@@H](C)c2cccc(C#N)c2)CC1 ZINC000330874081 528095309 /nfs/dbraw/zinc/09/53/09/528095309.db2.gz DIVBRNIVLPDUGY-AWEZNQCLSA-N 1 2 300.406 1.373 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCCC[C@@H]1c1cnn(C)c1 ZINC000330518535 528692345 /nfs/dbraw/zinc/69/23/45/528692345.db2.gz YGABRQAEXMGFFP-GDBMZVCRSA-N 1 2 317.437 1.955 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCCC[C@@H]1c1cnn(C)c1 ZINC000330518535 528692351 /nfs/dbraw/zinc/69/23/51/528692351.db2.gz YGABRQAEXMGFFP-GDBMZVCRSA-N 1 2 317.437 1.955 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)N[C@@H]1C[C@H](C)n2cc[nH+]c21 ZINC000451945506 528716631 /nfs/dbraw/zinc/71/66/31/528716631.db2.gz ISGGSSVYXGQKSW-GRYCIOLGSA-N 1 2 311.411 1.205 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)N[C@H](c1[nH]cc[nH+]1)C(C)C ZINC000331314553 528717274 /nfs/dbraw/zinc/71/72/74/528717274.db2.gz IQHPZXQLTQTSSZ-NEPJUHHUSA-N 1 2 313.427 1.423 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)[C@]1(C#N)CC12CCCC2 ZINC000333513700 529132030 /nfs/dbraw/zinc/13/20/30/529132030.db2.gz FTBSEWBJLVBISW-QGZVFWFLSA-N 1 2 305.422 1.687 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)[C@]1(C#N)CC12CCCC2 ZINC000333513700 529132031 /nfs/dbraw/zinc/13/20/31/529132031.db2.gz FTBSEWBJLVBISW-QGZVFWFLSA-N 1 2 305.422 1.687 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)c2ccc(CC#N)cc2)CCO1 ZINC000347641133 529293904 /nfs/dbraw/zinc/29/39/04/529293904.db2.gz VXQLCHNIYGNAKA-INIZCTEOSA-N 1 2 301.390 1.593 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)c2ccc(CC#N)cc2)CCO1 ZINC000347641133 529293905 /nfs/dbraw/zinc/29/39/05/529293905.db2.gz VXQLCHNIYGNAKA-INIZCTEOSA-N 1 2 301.390 1.593 20 30 DDEDLO N#Cc1ccc(NC(=O)CCCn2cc[nH+]c2)cc1-c1nn[nH]n1 ZINC000737716100 599194543 /nfs/dbraw/zinc/19/45/43/599194543.db2.gz LFCOXMNZRKRKBN-UHFFFAOYSA-N 1 2 322.332 1.354 20 30 DDEDLO C(=NNc1ccc(-c2nn[nH]n2)cc1)c1cccc2[nH+]ccn21 ZINC000819719010 607325283 /nfs/dbraw/zinc/32/52/83/607325283.db2.gz WZJOCZSJALBUDT-UHFFFAOYSA-N 1 2 304.317 1.960 20 30 DDEDLO C#CC[N@@H+](C)[C@H]1CCN(C(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC000971952385 695129552 /nfs/dbraw/zinc/12/95/52/695129552.db2.gz JIOXSKNZCZYGHT-JTQLQIEISA-N 1 2 314.311 1.516 20 30 DDEDLO C#CC[N@H+](C)[C@H]1CCN(C(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC000971952385 695129553 /nfs/dbraw/zinc/12/95/53/695129553.db2.gz JIOXSKNZCZYGHT-JTQLQIEISA-N 1 2 314.311 1.516 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccccc3F)C2)C1 ZINC000972231174 695183668 /nfs/dbraw/zinc/18/36/68/695183668.db2.gz QCUZVNCKNMWODJ-SFHVURJKSA-N 1 2 316.376 1.766 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccccc3F)C2)C1 ZINC000972231174 695183669 /nfs/dbraw/zinc/18/36/69/695183669.db2.gz QCUZVNCKNMWODJ-SFHVURJKSA-N 1 2 316.376 1.766 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)nc3)C2)C1 ZINC000972297732 695210064 /nfs/dbraw/zinc/21/00/64/695210064.db2.gz MKLOZVPGVFQPJE-QGZVFWFLSA-N 1 2 317.364 1.161 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)nc3)C2)C1 ZINC000972297732 695210066 /nfs/dbraw/zinc/21/00/66/695210066.db2.gz MKLOZVPGVFQPJE-QGZVFWFLSA-N 1 2 317.364 1.161 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@]2(C1)C[N@H+](Cc1cccnc1)CCO2 ZINC000972299046 695210513 /nfs/dbraw/zinc/21/05/13/695210513.db2.gz XKNDEKIIOLMSPJ-WMLDXEAASA-N 1 2 314.389 1.045 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@]2(C1)C[N@@H+](Cc1cccnc1)CCO2 ZINC000972299046 695210515 /nfs/dbraw/zinc/21/05/15/695210515.db2.gz XKNDEKIIOLMSPJ-WMLDXEAASA-N 1 2 314.389 1.045 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCOC3)C2)C1 ZINC000972325598 695216422 /nfs/dbraw/zinc/21/64/22/695216422.db2.gz AYVCQIJXYLGNCR-WMZOPIPTSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCOC3)C2)C1 ZINC000972325598 695216425 /nfs/dbraw/zinc/21/64/25/695216425.db2.gz AYVCQIJXYLGNCR-WMZOPIPTSA-N 1 2 320.433 1.130 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3ccoc3)C2)C1 ZINC000972330079 695218577 /nfs/dbraw/zinc/21/85/77/695218577.db2.gz XVPNTWGEJRLJNO-QGZVFWFLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3ccoc3)C2)C1 ZINC000972330079 695218578 /nfs/dbraw/zinc/21/85/78/695218578.db2.gz XVPNTWGEJRLJNO-QGZVFWFLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCCOCC3)C2)C1 ZINC000972362235 695229215 /nfs/dbraw/zinc/22/92/15/695229215.db2.gz NXPYKBJABHKDHV-NVXWUHKLSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCCOCC3)C2)C1 ZINC000972362235 695229217 /nfs/dbraw/zinc/22/92/17/695229217.db2.gz NXPYKBJABHKDHV-NVXWUHKLSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3ccnn3C)C2)C1 ZINC000972387290 695237628 /nfs/dbraw/zinc/23/76/28/695237628.db2.gz OBKYKDRMKATMHN-YOEHRIQHSA-N 1 2 318.421 1.013 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3ccnn3C)C2)C1 ZINC000972387290 695237629 /nfs/dbraw/zinc/23/76/29/695237629.db2.gz OBKYKDRMKATMHN-YOEHRIQHSA-N 1 2 318.421 1.013 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3coc(OCC)n3)C2)C1 ZINC000972448885 695253901 /nfs/dbraw/zinc/25/39/01/695253901.db2.gz CVCTYLJFEQAMNJ-MRXNPFEDSA-N 1 2 321.377 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3coc(OCC)n3)C2)C1 ZINC000972448885 695253903 /nfs/dbraw/zinc/25/39/03/695253903.db2.gz CVCTYLJFEQAMNJ-MRXNPFEDSA-N 1 2 321.377 1.176 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CCC4(CC4)CC3)C2)C1 ZINC000972468435 695258392 /nfs/dbraw/zinc/25/83/92/695258392.db2.gz AJIKGKIVYIVMCE-IBGZPJMESA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CCC4(CC4)CC3)C2)C1 ZINC000972468435 695258394 /nfs/dbraw/zinc/25/83/94/695258394.db2.gz AJIKGKIVYIVMCE-IBGZPJMESA-N 1 2 316.445 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccn(C)c3CC)C2)C1 ZINC000972552927 695280498 /nfs/dbraw/zinc/28/04/98/695280498.db2.gz WKTJHIIXMHFKHY-GOSISDBHSA-N 1 2 317.433 1.690 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccn(C)c3CC)C2)C1 ZINC000972552927 695280500 /nfs/dbraw/zinc/28/05/00/695280500.db2.gz WKTJHIIXMHFKHY-GOSISDBHSA-N 1 2 317.433 1.690 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(CC)n3)C2)C1 ZINC000972582561 695289562 /nfs/dbraw/zinc/28/95/62/695289562.db2.gz MICBMKWWLCUNEK-INIZCTEOSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(CC)n3)C2)C1 ZINC000972582561 695289564 /nfs/dbraw/zinc/28/95/64/695289564.db2.gz MICBMKWWLCUNEK-INIZCTEOSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3F)C2)C1 ZINC000972613516 695299104 /nfs/dbraw/zinc/29/91/04/695299104.db2.gz DRPUBDFNOCPOLW-MRXNPFEDSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3F)C2)C1 ZINC000972613516 695299106 /nfs/dbraw/zinc/29/91/06/695299106.db2.gz DRPUBDFNOCPOLW-MRXNPFEDSA-N 1 2 305.353 1.324 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@H+](CC#Cc2ccc(F)cc2)CC1 ZINC000980786263 696888614 /nfs/dbraw/zinc/88/86/14/696888614.db2.gz OKUJRCCSDSEVCX-OAHLLOKOSA-N 1 2 318.392 1.746 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@@H+](CC#Cc2ccc(F)cc2)CC1 ZINC000980786263 696888615 /nfs/dbraw/zinc/88/86/15/696888615.db2.gz OKUJRCCSDSEVCX-OAHLLOKOSA-N 1 2 318.392 1.746 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)c2c(C)nc[nH]c2=O)CC1 ZINC000981799849 696920792 /nfs/dbraw/zinc/92/07/92/696920792.db2.gz NYZLKRWUMSKCIV-UHFFFAOYSA-N 1 2 310.785 1.391 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)c2c(C)nc[nH]c2=O)CC1 ZINC000981799849 696920795 /nfs/dbraw/zinc/92/07/95/696920795.db2.gz NYZLKRWUMSKCIV-UHFFFAOYSA-N 1 2 310.785 1.391 20 30 DDEDLO O=C(Cc1cc[nH]n1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980884595 696932766 /nfs/dbraw/zinc/93/27/66/696932766.db2.gz GJMRFLWRYGJMTK-UHFFFAOYSA-N 1 2 322.412 1.538 20 30 DDEDLO O=C(Cc1cc[nH]n1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980884595 696932768 /nfs/dbraw/zinc/93/27/68/696932768.db2.gz GJMRFLWRYGJMTK-UHFFFAOYSA-N 1 2 322.412 1.538 20 30 DDEDLO O=C(Cc1ccn[nH]1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980884595 696932769 /nfs/dbraw/zinc/93/27/69/696932769.db2.gz GJMRFLWRYGJMTK-UHFFFAOYSA-N 1 2 322.412 1.538 20 30 DDEDLO O=C(Cc1ccn[nH]1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980884595 696932771 /nfs/dbraw/zinc/93/27/71/696932771.db2.gz GJMRFLWRYGJMTK-UHFFFAOYSA-N 1 2 322.412 1.538 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCCN(C(=O)c3cc(C#N)c[nH]3)CC2)o1 ZINC000980917237 696943651 /nfs/dbraw/zinc/94/36/51/696943651.db2.gz GZUFYRUQOKEZBT-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO Cc1ncc(C[N@H+]2CCCN(C(=O)c3cc(C#N)c[nH]3)CC2)o1 ZINC000980917237 696943652 /nfs/dbraw/zinc/94/36/52/696943652.db2.gz GZUFYRUQOKEZBT-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2csc([C@@H]3CCCO3)n2)CC1 ZINC000981162123 697022551 /nfs/dbraw/zinc/02/25/51/697022551.db2.gz SEPPAOQDWIXYFP-AWEZNQCLSA-N 1 2 319.430 1.776 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2csc([C@@H]3CCCO3)n2)CC1 ZINC000981162123 697022553 /nfs/dbraw/zinc/02/25/53/697022553.db2.gz SEPPAOQDWIXYFP-AWEZNQCLSA-N 1 2 319.430 1.776 20 30 DDEDLO C#CC[N@H+]1CCCC2(CN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC000982146200 697042037 /nfs/dbraw/zinc/04/20/37/697042037.db2.gz AXEJVALNXRQGAQ-UHFFFAOYSA-N 1 2 309.373 1.129 20 30 DDEDLO C#CC[N@@H+]1CCCC2(CN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC000982146200 697042041 /nfs/dbraw/zinc/04/20/41/697042041.db2.gz AXEJVALNXRQGAQ-UHFFFAOYSA-N 1 2 309.373 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)c2ccc(=O)n(CC)n2)CC1 ZINC000982251362 697073725 /nfs/dbraw/zinc/07/37/25/697073725.db2.gz IXAJTKPVVXVNRC-UHFFFAOYSA-N 1 2 324.812 1.164 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)c2ccc(=O)n(CC)n2)CC1 ZINC000982251362 697073727 /nfs/dbraw/zinc/07/37/27/697073727.db2.gz IXAJTKPVVXVNRC-UHFFFAOYSA-N 1 2 324.812 1.164 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)C[C@@H]2CCN(C)C2=O)CC1 ZINC000981407253 697089414 /nfs/dbraw/zinc/08/94/14/697089414.db2.gz YRXWGUMDOSKDOD-ZDUSSCGKSA-N 1 2 313.829 1.142 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)C[C@@H]2CCN(C)C2=O)CC1 ZINC000981407253 697089415 /nfs/dbraw/zinc/08/94/15/697089415.db2.gz YRXWGUMDOSKDOD-ZDUSSCGKSA-N 1 2 313.829 1.142 20 30 DDEDLO COCCc1noc(CO[NH+]=C(N)Cc2ccc(OC)cc2)n1 ZINC000174123883 697384930 /nfs/dbraw/zinc/38/49/30/697384930.db2.gz DPMJAVRKBGPDPV-UHFFFAOYSA-N 1 2 320.349 1.299 20 30 DDEDLO C[C@H](C#N)CN1CC(=O)C(=C2N(C)c3ccccc3N2C)C1=[NH2+] ZINC000179230691 697435052 /nfs/dbraw/zinc/43/50/52/697435052.db2.gz RFYMJFQFOKTONO-LLVKDONJSA-N 1 2 309.373 1.806 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)N[C@@]2(CCc3ccccc3C2)C1=O ZINC000182626213 697477494 /nfs/dbraw/zinc/47/74/94/697477494.db2.gz GJDWOCAPMLLKKK-GOSISDBHSA-N 1 2 313.401 1.931 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)N[C@@]2(CCc3ccccc3C2)C1=O ZINC000182626213 697477495 /nfs/dbraw/zinc/47/74/95/697477495.db2.gz GJDWOCAPMLLKKK-GOSISDBHSA-N 1 2 313.401 1.931 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC([N@H+](C)Cc2nnnn2C)CC1 ZINC000985619094 697543912 /nfs/dbraw/zinc/54/39/12/697543912.db2.gz OOMNFJPHOVFJGA-MRXNPFEDSA-N 1 2 320.441 1.235 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC([N@@H+](C)Cc2nnnn2C)CC1 ZINC000985619094 697543914 /nfs/dbraw/zinc/54/39/14/697543914.db2.gz OOMNFJPHOVFJGA-MRXNPFEDSA-N 1 2 320.441 1.235 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[NH+]([C@H](CC)CO)CC1 ZINC000195315795 697739155 /nfs/dbraw/zinc/73/91/55/697739155.db2.gz LOOSCESUBSOOQA-OAHLLOKOSA-N 1 2 320.499 1.675 20 30 DDEDLO C[C@H]1[C@H]([NH2+]Cc2nc(C(F)F)no2)CCN1C(=O)C#CC1CC1 ZINC000986277993 697764107 /nfs/dbraw/zinc/76/41/07/697764107.db2.gz KCWNUCRRWQQLFW-GXSJLCMTSA-N 1 2 324.331 1.500 20 30 DDEDLO CC(C)(C#N)CS(=O)(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000777816297 698224334 /nfs/dbraw/zinc/22/43/34/698224334.db2.gz QJHFRTHRLBPDHA-UHFFFAOYSA-N 1 2 308.407 1.083 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)cc1 ZINC000780926585 698572280 /nfs/dbraw/zinc/57/22/80/698572280.db2.gz JVBIHUHATWXJJL-HUUCEWRRSA-N 1 2 310.357 1.721 20 30 DDEDLO COc1c(C)c[nH+]c(CNS(=O)(=O)CC2(C#N)CC2)c1C ZINC000782140716 698688374 /nfs/dbraw/zinc/68/83/74/698688374.db2.gz PRGIWPQTSMAHIC-UHFFFAOYSA-N 1 2 309.391 1.430 20 30 DDEDLO C[C@@H]1CN(C)C(=O)C[N@@H+]1Cc1ccc(N(C)CCC#N)cc1 ZINC000783733425 698871920 /nfs/dbraw/zinc/87/19/20/698871920.db2.gz LNLZWEPIYCRUAL-CQSZACIVSA-N 1 2 300.406 1.699 20 30 DDEDLO C[C@@H]1CN(C)C(=O)C[N@H+]1Cc1ccc(N(C)CCC#N)cc1 ZINC000783733425 698871925 /nfs/dbraw/zinc/87/19/25/698871925.db2.gz LNLZWEPIYCRUAL-CQSZACIVSA-N 1 2 300.406 1.699 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@@](O)(c3ccc(F)cc3)C2)CCC1 ZINC000784353119 698932083 /nfs/dbraw/zinc/93/20/83/698932083.db2.gz NXFGIEKNEKCBDY-KRWDZBQOSA-N 1 2 317.364 1.281 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@@](O)(c3ccc(F)cc3)C2)CCC1 ZINC000784353119 698932085 /nfs/dbraw/zinc/93/20/85/698932085.db2.gz NXFGIEKNEKCBDY-KRWDZBQOSA-N 1 2 317.364 1.281 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[N@H+](Cc2ccccc2)[C@@H](C)C1 ZINC000710997504 699255971 /nfs/dbraw/zinc/25/59/71/699255971.db2.gz QTBKACHKGGUUGT-HNNXBMFYSA-N 1 2 306.431 1.546 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[N@@H+](Cc2ccccc2)[C@@H](C)C1 ZINC000710997504 699255974 /nfs/dbraw/zinc/25/59/74/699255974.db2.gz QTBKACHKGGUUGT-HNNXBMFYSA-N 1 2 306.431 1.546 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)Cc2[nH]c[nH+]c2C)c1 ZINC000788287506 699297750 /nfs/dbraw/zinc/29/77/50/699297750.db2.gz IVAZEIDNJHNUBK-UHFFFAOYSA-N 1 2 310.357 1.339 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(NC(=O)OC)cc1 ZINC000730278858 699506032 /nfs/dbraw/zinc/50/60/32/699506032.db2.gz ZKVYLKJDNXERBW-AWEZNQCLSA-N 1 2 301.346 1.901 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(NC(=O)OC)cc1 ZINC000730278858 699506033 /nfs/dbraw/zinc/50/60/33/699506033.db2.gz ZKVYLKJDNXERBW-AWEZNQCLSA-N 1 2 301.346 1.901 20 30 DDEDLO CN(C)S(=O)(=O)c1cccc(C(=O)/C=C/c2[nH]cc[nH+]2)c1 ZINC000731156982 699523192 /nfs/dbraw/zinc/52/31/92/699523192.db2.gz QTIPLDYNJTUGSM-VOTSOKGWSA-N 1 2 305.359 1.556 20 30 DDEDLO Cn1c(CC#N)nn(CN2CCC[C@H](n3cc[nH+]c3)C2)c1=S ZINC000794631689 699820192 /nfs/dbraw/zinc/82/01/92/699820192.db2.gz NLSPCHGGPPJUBD-LBPRGKRZSA-N 1 2 317.422 1.508 20 30 DDEDLO Cn1c(CC#N)nn(CN2CCC[C@@H](n3cc[nH+]c3)C2)c1=S ZINC000794631687 699820264 /nfs/dbraw/zinc/82/02/64/699820264.db2.gz NLSPCHGGPPJUBD-GFCCVEGCSA-N 1 2 317.422 1.508 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@H+]([C@@H](C)C(=O)Nc3cccc(C#N)c3)C[C@@H]21 ZINC000794991873 699841120 /nfs/dbraw/zinc/84/11/20/699841120.db2.gz OHUIDPNCLFTZLV-BSLXNSKLSA-N 1 2 313.357 1.236 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@@H+]([C@@H](C)C(=O)Nc3cccc(C#N)c3)C[C@@H]21 ZINC000794991873 699841121 /nfs/dbraw/zinc/84/11/21/699841121.db2.gz OHUIDPNCLFTZLV-BSLXNSKLSA-N 1 2 313.357 1.236 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C(/C)C(C)(C)C)CC1 ZINC000742641188 699884695 /nfs/dbraw/zinc/88/46/95/699884695.db2.gz BQUNANDUIIMYAL-SQFISAMPSA-N 1 2 319.449 1.263 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C(/C)C(C)(C)C)CC1 ZINC000742641188 699884696 /nfs/dbraw/zinc/88/46/96/699884696.db2.gz BQUNANDUIIMYAL-SQFISAMPSA-N 1 2 319.449 1.263 20 30 DDEDLO C=C(Cl)CNC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000743169735 699902190 /nfs/dbraw/zinc/90/21/90/699902190.db2.gz ZKLPZDQHBUCLMU-UHFFFAOYSA-N 1 2 313.789 1.089 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(C)n(C(C)(C)C)n2)CC1 ZINC000744364627 699946693 /nfs/dbraw/zinc/94/66/93/699946693.db2.gz UBQOIKPJJABUKL-UHFFFAOYSA-N 1 2 302.422 1.728 20 30 DDEDLO N#CCCNC(=O)C[N@H+]1CC[C@@H](Oc2ccccc2Cl)C1 ZINC000752104281 700344685 /nfs/dbraw/zinc/34/46/85/700344685.db2.gz USTCRLYIAMUNOI-GFCCVEGCSA-N 1 2 307.781 1.823 20 30 DDEDLO N#CCCNC(=O)C[N@@H+]1CC[C@@H](Oc2ccccc2Cl)C1 ZINC000752104281 700344688 /nfs/dbraw/zinc/34/46/88/700344688.db2.gz USTCRLYIAMUNOI-GFCCVEGCSA-N 1 2 307.781 1.823 20 30 DDEDLO C[N@H+](CC(=O)[C@@H](C#N)C(=O)NC1CCCCC1)[C@H]1CCSC1 ZINC000753949779 700470555 /nfs/dbraw/zinc/47/05/55/700470555.db2.gz MSLMYHJXOLGAMU-UONOGXRCSA-N 1 2 323.462 1.581 20 30 DDEDLO C[N@@H+](CC(=O)[C@@H](C#N)C(=O)NC1CCCCC1)[C@H]1CCSC1 ZINC000753949779 700470556 /nfs/dbraw/zinc/47/05/56/700470556.db2.gz MSLMYHJXOLGAMU-UONOGXRCSA-N 1 2 323.462 1.581 20 30 DDEDLO CCOC(=O)c1sc([C@H](C)[NH2+]CC(=O)NCCC#N)nc1C ZINC000755031535 700545922 /nfs/dbraw/zinc/54/59/22/700545922.db2.gz FWQLBVSRQFYNKQ-JTQLQIEISA-N 1 2 324.406 1.309 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)CC1=NNS(=O)(=O)Cc1ccccc1 ZINC000755890567 700589705 /nfs/dbraw/zinc/58/97/05/700589705.db2.gz MVMKUDSHRCIKBC-GFCCVEGCSA-N 1 2 307.419 1.576 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)CC1=NNS(=O)(=O)Cc1ccccc1 ZINC000755890567 700589707 /nfs/dbraw/zinc/58/97/07/700589707.db2.gz MVMKUDSHRCIKBC-GFCCVEGCSA-N 1 2 307.419 1.576 20 30 DDEDLO N#CCc1cccc(C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000767505738 701111670 /nfs/dbraw/zinc/11/16/70/701111670.db2.gz LQZGYQJXYWFGKF-IYBDPMFKSA-N 1 2 300.358 1.773 20 30 DDEDLO N#CCc1cccc(C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000767505738 701111672 /nfs/dbraw/zinc/11/16/72/701111672.db2.gz LQZGYQJXYWFGKF-IYBDPMFKSA-N 1 2 300.358 1.773 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000803670934 701133228 /nfs/dbraw/zinc/13/32/28/701133228.db2.gz SRYOXISGBSBGLU-UHFFFAOYSA-N 1 2 319.405 1.796 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCNC(=O)C2)cc1OCCCC#N ZINC000769115998 701232750 /nfs/dbraw/zinc/23/27/50/701232750.db2.gz RQGZRAYSHFQFOI-UHFFFAOYSA-N 1 2 317.389 1.700 20 30 DDEDLO COc1ccc(C[N@H+]2CCCNC(=O)C2)cc1OCCCC#N ZINC000769115998 701232752 /nfs/dbraw/zinc/23/27/52/701232752.db2.gz RQGZRAYSHFQFOI-UHFFFAOYSA-N 1 2 317.389 1.700 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCc2ccc(Cl)cc2C#N)C1 ZINC000805468499 701383330 /nfs/dbraw/zinc/38/33/30/701383330.db2.gz HTJNEQKKSXWWOG-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCc2ccc(Cl)cc2C#N)C1 ZINC000805468499 701383331 /nfs/dbraw/zinc/38/33/31/701383331.db2.gz HTJNEQKKSXWWOG-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO C=C(Cl)CNC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000810421802 701767226 /nfs/dbraw/zinc/76/72/26/701767226.db2.gz QPKMJYTWMBPWCY-GFCCVEGCSA-N 1 2 312.801 1.352 20 30 DDEDLO C=C(Cl)CNC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000810421802 701767229 /nfs/dbraw/zinc/76/72/29/701767229.db2.gz QPKMJYTWMBPWCY-GFCCVEGCSA-N 1 2 312.801 1.352 20 30 DDEDLO C=CCc1cc(OCC)cc(C[N@H+]2CC(=O)N[C@@H](C)C2)c1O ZINC000840135064 701989048 /nfs/dbraw/zinc/98/90/48/701989048.db2.gz QRQLEAUBVRZRDP-LBPRGKRZSA-N 1 2 304.390 1.840 20 30 DDEDLO C=CCc1cc(OCC)cc(C[N@@H+]2CC(=O)N[C@@H](C)C2)c1O ZINC000840135064 701989053 /nfs/dbraw/zinc/98/90/53/701989053.db2.gz QRQLEAUBVRZRDP-LBPRGKRZSA-N 1 2 304.390 1.840 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@@H+]1CCO[C@H](C)C1)c1ccc(F)cc1 ZINC000813052441 702277750 /nfs/dbraw/zinc/27/77/50/702277750.db2.gz CUDHTQBXRIZGSF-CZUORRHYSA-N 1 2 319.380 1.520 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@H+]1CCO[C@H](C)C1)c1ccc(F)cc1 ZINC000813052441 702277753 /nfs/dbraw/zinc/27/77/53/702277753.db2.gz CUDHTQBXRIZGSF-CZUORRHYSA-N 1 2 319.380 1.520 20 30 DDEDLO C[C@H]([NH2+]C[C@@H]1COC2(CCOCC2)O1)c1cccc(C#N)c1O ZINC000866326918 706674031 /nfs/dbraw/zinc/67/40/31/706674031.db2.gz OSDIXZYLZWUPJZ-GXTWGEPZSA-N 1 2 318.373 1.837 20 30 DDEDLO CC(C)(NC(=O)/C=C/C[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000869238320 702527133 /nfs/dbraw/zinc/52/71/33/702527133.db2.gz GSDHBOJJYCSUQD-QPJJXVBHSA-N 1 2 313.401 1.798 20 30 DDEDLO C[C@@H]([NH2+]C[C@@H]1CCCN1CCCO)c1cccc(C#N)c1O ZINC000866366872 706682561 /nfs/dbraw/zinc/68/25/61/706682561.db2.gz UIPNVZMBHMXPQL-HIFRSBDPSA-N 1 2 303.406 1.761 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000879502681 706701327 /nfs/dbraw/zinc/70/13/27/706701327.db2.gz KQNQJLYFWGYCNN-CABCVRRESA-N 1 2 301.390 1.984 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000879502681 706701329 /nfs/dbraw/zinc/70/13/29/706701329.db2.gz KQNQJLYFWGYCNN-CABCVRRESA-N 1 2 301.390 1.984 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2cccc(F)n2)CC1 ZINC000831472560 706713624 /nfs/dbraw/zinc/71/36/24/706713624.db2.gz DBMQFBRRUPNJGK-CYBMUJFWSA-N 1 2 319.384 1.103 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585517 706728447 /nfs/dbraw/zinc/72/84/47/706728447.db2.gz ZWPPWMNQOHWWMZ-HNNXBMFYSA-N 1 2 314.433 1.841 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000846332063 703265991 /nfs/dbraw/zinc/26/59/91/703265991.db2.gz DYKOKLRAYLAFGM-NVXWUHKLSA-N 1 2 324.384 1.509 20 30 DDEDLO CC(=O)[C@](C#N)(CC[NH+]1CCN(CCC#N)CC1)c1ccccc1 ZINC000879598943 706733403 /nfs/dbraw/zinc/73/34/03/706733403.db2.gz GULDHMATNIBSDV-LJQANCHMSA-N 1 2 324.428 1.958 20 30 DDEDLO C=C(C)CONC(=O)C[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000846537927 703293285 /nfs/dbraw/zinc/29/32/85/703293285.db2.gz QIHCJLXOQVSXAC-INIZCTEOSA-N 1 2 304.390 1.901 20 30 DDEDLO C=C(C)CONC(=O)C[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000846537927 703293288 /nfs/dbraw/zinc/29/32/88/703293288.db2.gz QIHCJLXOQVSXAC-INIZCTEOSA-N 1 2 304.390 1.901 20 30 DDEDLO C#CCNC(=O)CC[NH2+][C@@H](C(=O)OCC)C1CCCCCC1 ZINC000847078232 703374855 /nfs/dbraw/zinc/37/48/55/703374855.db2.gz GZQGQUSPCXWRAP-MRXNPFEDSA-N 1 2 308.422 1.618 20 30 DDEDLO COc1cc(O)c(C=NNCCCn2cc[nH+]c2)cc1OC ZINC000848419585 703548369 /nfs/dbraw/zinc/54/83/69/703548369.db2.gz ZLJYMWFJQHQPOU-UHFFFAOYSA-N 1 2 304.350 1.620 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2nnn(C(C)(C)C)n2)cc1 ZINC000848509035 703559412 /nfs/dbraw/zinc/55/94/12/703559412.db2.gz JJBAZIROADHTIJ-UHFFFAOYSA-N 1 2 304.354 1.274 20 30 DDEDLO C#CCOCC[NH+]1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC000851990686 703898748 /nfs/dbraw/zinc/89/87/48/703898748.db2.gz ZDYWLBDJPAPESU-UHFFFAOYSA-N 1 2 303.793 1.983 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2ccc(C#N)cc2)CC1 ZINC000870093460 703914705 /nfs/dbraw/zinc/91/47/05/703914705.db2.gz IJSNLBQGUBZVTO-CQSZACIVSA-N 1 2 323.400 1.809 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2ccc(C#N)cc2)CC1 ZINC000870093460 703914706 /nfs/dbraw/zinc/91/47/06/703914706.db2.gz IJSNLBQGUBZVTO-CQSZACIVSA-N 1 2 323.400 1.809 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH2+][C@@H](c2cccc(OC)c2)C1 ZINC000870151706 703934680 /nfs/dbraw/zinc/93/46/80/703934680.db2.gz BORXTEZQZSDXOG-CZUORRHYSA-N 1 2 302.374 1.206 20 30 DDEDLO CC(C)(C)OC(=O)N1CCO[C@H](C[N@@H+]2CC[C@@](C)(C#N)C2)C1 ZINC000852686657 704100069 /nfs/dbraw/zinc/10/00/69/704100069.db2.gz JMUZVELJCXRCRJ-CJNGLKHVSA-N 1 2 309.410 1.858 20 30 DDEDLO CC(C)(C)OC(=O)N1CCO[C@H](C[N@H+]2CC[C@@](C)(C#N)C2)C1 ZINC000852686657 704100071 /nfs/dbraw/zinc/10/00/71/704100071.db2.gz JMUZVELJCXRCRJ-CJNGLKHVSA-N 1 2 309.410 1.858 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccccc1O[C@@H]1CCOC1 ZINC000819458112 704129223 /nfs/dbraw/zinc/12/92/23/704129223.db2.gz IAKJWGPUWGLLHJ-HUUCEWRRSA-N 1 2 319.405 1.473 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccccc1O[C@@H]1CCOC1 ZINC000819458112 704129225 /nfs/dbraw/zinc/12/92/25/704129225.db2.gz IAKJWGPUWGLLHJ-HUUCEWRRSA-N 1 2 319.405 1.473 20 30 DDEDLO COC(=O)c1ccc(NN=Cc2c[nH+]c(C)n2C)c(OC)c1 ZINC000853325782 704234213 /nfs/dbraw/zinc/23/42/13/704234213.db2.gz PHCKWKBVIKYBCM-UHFFFAOYSA-N 1 2 302.334 1.970 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+](CN2CCN(Cc3cccnc3)C2=O)C1 ZINC000853524720 704259864 /nfs/dbraw/zinc/25/98/64/704259864.db2.gz OQGPBQFPYOYESX-OAHLLOKOSA-N 1 2 313.405 1.902 20 30 DDEDLO N#CC[C@H]1CCC[N@H+](CN2CCN(Cc3cccnc3)C2=O)C1 ZINC000853524720 704259866 /nfs/dbraw/zinc/25/98/66/704259866.db2.gz OQGPBQFPYOYESX-OAHLLOKOSA-N 1 2 313.405 1.902 20 30 DDEDLO C#CCOCCOC(=O)C[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000853898352 704332089 /nfs/dbraw/zinc/33/20/89/704332089.db2.gz BPDQDZVSSJNSNK-QGZVFWFLSA-N 1 2 317.385 1.471 20 30 DDEDLO C#CCOCCOC(=O)C[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000853898352 704332092 /nfs/dbraw/zinc/33/20/92/704332092.db2.gz BPDQDZVSSJNSNK-QGZVFWFLSA-N 1 2 317.385 1.471 20 30 DDEDLO N#Cc1cccc(C2(NC(=O)/C=C/C[NH+]3CCOCC3)CC2)c1 ZINC000866881821 706833246 /nfs/dbraw/zinc/83/32/46/706833246.db2.gz RRSSGBJRVHFHRB-GORDUTHDSA-N 1 2 311.385 1.552 20 30 DDEDLO Cn1nccc1C([NH2+]Cc1cc(C#N)cs1)c1ccnn1C ZINC000858322431 704694543 /nfs/dbraw/zinc/69/45/43/704694543.db2.gz YFWSEQDXRGBVAX-UHFFFAOYSA-N 1 2 312.402 1.966 20 30 DDEDLO C#CC[C@@H](CCOC)Nc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000858857953 704765098 /nfs/dbraw/zinc/76/50/98/704765098.db2.gz UOLVMMQDTKTEAD-CABCVRRESA-N 1 2 318.421 1.526 20 30 DDEDLO C#CC[C@@H](CCOC)Nc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000858857953 704765101 /nfs/dbraw/zinc/76/51/01/704765101.db2.gz UOLVMMQDTKTEAD-CABCVRRESA-N 1 2 318.421 1.526 20 30 DDEDLO C#CCCOC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000858882711 704767884 /nfs/dbraw/zinc/76/78/84/704767884.db2.gz RUJQAFZTETZPFB-UHFFFAOYSA-N 1 2 302.374 1.843 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000822710383 705015141 /nfs/dbraw/zinc/01/51/41/705015141.db2.gz NJQQPQSXQXLTDT-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO C#CCCOc1ccc(C(=O)NCC[N@H+]2CCOC[C@@H]2C)cc1 ZINC000823112552 705143601 /nfs/dbraw/zinc/14/36/01/705143601.db2.gz JXINAEBWZWSMIV-HNNXBMFYSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CCCOc1ccc(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)cc1 ZINC000823112552 705143606 /nfs/dbraw/zinc/14/36/06/705143606.db2.gz JXINAEBWZWSMIV-HNNXBMFYSA-N 1 2 316.401 1.539 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)[C@]1(C#N)CC12CCCC2 ZINC000874712147 705146749 /nfs/dbraw/zinc/14/67/49/705146749.db2.gz URLVFEKJNXWFSH-WMLDXEAASA-N 1 2 305.422 1.687 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)[C@]1(C#N)CC12CCCC2 ZINC000874712147 705146752 /nfs/dbraw/zinc/14/67/52/705146752.db2.gz URLVFEKJNXWFSH-WMLDXEAASA-N 1 2 305.422 1.687 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)NCC#Cc1ccccc1 ZINC000875041566 705264084 /nfs/dbraw/zinc/26/40/84/705264084.db2.gz VFIYXQPAPKTDFB-QGZVFWFLSA-N 1 2 315.417 1.448 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)NCC#Cc1ccccc1 ZINC000875041566 705264086 /nfs/dbraw/zinc/26/40/86/705264086.db2.gz VFIYXQPAPKTDFB-QGZVFWFLSA-N 1 2 315.417 1.448 20 30 DDEDLO CCNC(=O)[C@H]1Cc2ccccc2C[N@@H+]1CCO[C@@H](C)C#N ZINC000823623112 705282755 /nfs/dbraw/zinc/28/27/55/705282755.db2.gz ANUZQCLYCUUUDE-XJKSGUPXSA-N 1 2 301.390 1.478 20 30 DDEDLO CCNC(=O)[C@H]1Cc2ccccc2C[N@H+]1CCO[C@@H](C)C#N ZINC000823623112 705282756 /nfs/dbraw/zinc/28/27/56/705282756.db2.gz ANUZQCLYCUUUDE-XJKSGUPXSA-N 1 2 301.390 1.478 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ncccc2SCC)CC1 ZINC000825549759 705691516 /nfs/dbraw/zinc/69/15/16/705691516.db2.gz TUOARHSIJJDKRO-UHFFFAOYSA-N 1 2 303.431 1.975 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@]1(C)COc2ccccc2O1 ZINC000862401404 705709982 /nfs/dbraw/zinc/70/99/82/705709982.db2.gz DDKKXHIRAVFABC-KBXCAEBGSA-N 1 2 314.385 1.430 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@]1(C)COc2ccccc2O1 ZINC000862401404 705709984 /nfs/dbraw/zinc/70/99/84/705709984.db2.gz DDKKXHIRAVFABC-KBXCAEBGSA-N 1 2 314.385 1.430 20 30 DDEDLO CC(C)(C)CNC(=O)CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000863464423 705935147 /nfs/dbraw/zinc/93/51/47/705935147.db2.gz OKXKTELMNUOIME-UHFFFAOYSA-N 1 2 305.378 1.421 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CSc1nc2ncccc2s1 ZINC000871989957 707271011 /nfs/dbraw/zinc/27/10/11/707271011.db2.gz VOTUFMHWWSURAY-SNVBAGLBSA-N 1 2 308.432 1.990 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CSc1nc2ncccc2s1 ZINC000871989957 707271016 /nfs/dbraw/zinc/27/10/16/707271016.db2.gz VOTUFMHWWSURAY-SNVBAGLBSA-N 1 2 308.432 1.990 20 30 DDEDLO N#C[C@@]1(C(=O)N2CC[NH+](Cc3cccs3)CC2)CCCOC1 ZINC000864562800 706196716 /nfs/dbraw/zinc/19/67/16/706196716.db2.gz UIPLCMDCZJUREH-INIZCTEOSA-N 1 2 319.430 1.713 20 30 DDEDLO Cn1nnnc1C1CC[NH+](Cc2cccc(F)c2C#N)CC1 ZINC000877781159 706208858 /nfs/dbraw/zinc/20/88/58/706208858.db2.gz SUCUPHRHCHWBOI-UHFFFAOYSA-N 1 2 300.341 1.600 20 30 DDEDLO COC(=O)c1ccc(N[C@@H]2CCC[N@H+](CCF)C2)c(C#N)n1 ZINC000865927719 706566215 /nfs/dbraw/zinc/56/62/15/706566215.db2.gz GCKSETSBOIVGFT-LLVKDONJSA-N 1 2 306.341 1.586 20 30 DDEDLO COC(=O)c1ccc(N[C@@H]2CCC[N@@H+](CCF)C2)c(C#N)n1 ZINC000865927719 706566217 /nfs/dbraw/zinc/56/62/17/706566217.db2.gz GCKSETSBOIVGFT-LLVKDONJSA-N 1 2 306.341 1.586 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC000867279254 706946637 /nfs/dbraw/zinc/94/66/37/706946637.db2.gz XYYRNVDKOVKANT-MRXNPFEDSA-N 1 2 313.401 1.490 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC000867279254 706946640 /nfs/dbraw/zinc/94/66/40/706946640.db2.gz XYYRNVDKOVKANT-MRXNPFEDSA-N 1 2 313.401 1.490 20 30 DDEDLO N#Cc1c(C[N@H+]2CCC[C@H]3CCNC(=O)[C@@H]32)cn2ccccc12 ZINC000880532260 707007642 /nfs/dbraw/zinc/00/76/42/707007642.db2.gz PEPBSDLPLKVESH-SUMWQHHRSA-N 1 2 308.385 1.911 20 30 DDEDLO N#Cc1c(C[N@@H+]2CCC[C@H]3CCNC(=O)[C@@H]32)cn2ccccc12 ZINC000880532260 707007643 /nfs/dbraw/zinc/00/76/43/707007643.db2.gz PEPBSDLPLKVESH-SUMWQHHRSA-N 1 2 308.385 1.911 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(c3ccc(C)cc3)C2=O)nn1 ZINC000881314539 707193227 /nfs/dbraw/zinc/19/32/27/707193227.db2.gz WOKYDDWDZWYSBH-KRWDZBQOSA-N 1 2 323.400 1.677 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(N(C)C(=O)NC)cc1 ZINC000882074790 707487550 /nfs/dbraw/zinc/48/75/50/707487550.db2.gz GALBRHIFQBYJFG-HNNXBMFYSA-N 1 2 314.389 1.498 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(N(C)C(=O)NC)cc1 ZINC000882074790 707487552 /nfs/dbraw/zinc/48/75/52/707487552.db2.gz GALBRHIFQBYJFG-HNNXBMFYSA-N 1 2 314.389 1.498 20 30 DDEDLO C#C[C@H]1CCCN(S(=O)(=O)c2cccc3c2CC[N@@H+](C)C3)C1 ZINC000882333950 707588646 /nfs/dbraw/zinc/58/86/46/707588646.db2.gz BAXARFBDYWSKDS-AWEZNQCLSA-N 1 2 318.442 1.708 20 30 DDEDLO C#C[C@H]1CCCN(S(=O)(=O)c2cccc3c2CC[N@H+](C)C3)C1 ZINC000882333950 707588648 /nfs/dbraw/zinc/58/86/48/707588648.db2.gz BAXARFBDYWSKDS-AWEZNQCLSA-N 1 2 318.442 1.708 20 30 DDEDLO C#CC[C@H]([NH2+][C@H]1CC[C@H](C(F)(F)C(=O)OCC)C1)C(=O)OC ZINC000839167557 708032664 /nfs/dbraw/zinc/03/26/64/708032664.db2.gz FOELAJFJKDLXMJ-SRVKXCTJSA-N 1 2 317.332 1.508 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@H](C)[C@@H]2CCCC[C@H]21 ZINC000884091279 708135005 /nfs/dbraw/zinc/13/50/05/708135005.db2.gz SHUJYYPCFXGXJQ-ZQDZILKHSA-N 1 2 308.422 1.860 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H]1CCc2ccccc2C1 ZINC000884145470 708159795 /nfs/dbraw/zinc/15/97/95/708159795.db2.gz LGIMJCOWVAQUQR-CJNGLKHVSA-N 1 2 316.401 1.354 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@@H](OCC)C12CCCC2 ZINC000884145397 708159835 /nfs/dbraw/zinc/15/98/35/708159835.db2.gz JEMGIJKEKVSAAK-BFHYXJOUSA-N 1 2 324.421 1.287 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1c2ccccc2CC[C@@H]1C ZINC000884316956 708241263 /nfs/dbraw/zinc/24/12/63/708241263.db2.gz HPOXNHSECHIWDF-YLQAJVPDSA-N 1 2 316.401 1.873 20 30 DDEDLO C#CC[C@H]1CCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)C1 ZINC000884594645 708335166 /nfs/dbraw/zinc/33/51/66/708335166.db2.gz OFDAILUHRLFJMM-LSDHHAIUSA-N 1 2 300.406 1.677 20 30 DDEDLO C#CC[C@H]1CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC000884594645 708335168 /nfs/dbraw/zinc/33/51/68/708335168.db2.gz OFDAILUHRLFJMM-LSDHHAIUSA-N 1 2 300.406 1.677 20 30 DDEDLO C#CCCCNC(=O)C(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000897773538 708445675 /nfs/dbraw/zinc/44/56/75/708445675.db2.gz LVNAHSOYWHSOEH-MRXNPFEDSA-N 1 2 324.384 1.270 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)C[C@H]2CCCC2(F)F)C1 ZINC000885509154 708562045 /nfs/dbraw/zinc/56/20/45/708562045.db2.gz POUDOZIXOGPOMZ-CHWSQXEVSA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)C[C@H]2CCCC2(F)F)C1 ZINC000885509154 708562047 /nfs/dbraw/zinc/56/20/47/708562047.db2.gz POUDOZIXOGPOMZ-CHWSQXEVSA-N 1 2 320.405 1.439 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N[C@H]1CCC[N@@H+](CCF)C1 ZINC000898483326 708652145 /nfs/dbraw/zinc/65/21/45/708652145.db2.gz ISZMGMTYCAHEFW-AWEZNQCLSA-N 1 2 305.353 1.487 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N[C@H]1CCC[N@H+](CCF)C1 ZINC000898483326 708652148 /nfs/dbraw/zinc/65/21/48/708652148.db2.gz ISZMGMTYCAHEFW-AWEZNQCLSA-N 1 2 305.353 1.487 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@@H+]1C[C@@H](O)CC1(C#N)CCC1 ZINC000886116222 708701133 /nfs/dbraw/zinc/70/11/33/708701133.db2.gz CWJIKVWJIRULCJ-MJBXVCDLSA-N 1 2 310.394 1.084 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@H+]1C[C@@H](O)CC1(C#N)CCC1 ZINC000886116222 708701136 /nfs/dbraw/zinc/70/11/36/708701136.db2.gz CWJIKVWJIRULCJ-MJBXVCDLSA-N 1 2 310.394 1.084 20 30 DDEDLO CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1C ZINC000886470516 708765864 /nfs/dbraw/zinc/76/58/64/708765864.db2.gz PULGBGJVOOMAQH-CQSZACIVSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1C ZINC000886470516 708765866 /nfs/dbraw/zinc/76/58/66/708765866.db2.gz PULGBGJVOOMAQH-CQSZACIVSA-N 1 2 307.419 1.581 20 30 DDEDLO C/C(=C/C(=O)N[C@H](C#N)c1ccc(F)cc1)C[NH+]1CCOCC1 ZINC000900073375 709281866 /nfs/dbraw/zinc/28/18/66/709281866.db2.gz LBABLXJJSRIYNO-IVOILVROSA-N 1 2 317.364 1.785 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1cc(C#N)ccc1F ZINC000888356459 709286813 /nfs/dbraw/zinc/28/68/13/709286813.db2.gz OFRXIDDJJCHQBY-GFCCVEGCSA-N 1 2 319.384 1.455 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1ccc(C#N)cn1 ZINC000889223630 709464123 /nfs/dbraw/zinc/46/41/23/709464123.db2.gz GRCMNIKPKKGWFP-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1ccc(C#N)cn1 ZINC000889223630 709464124 /nfs/dbraw/zinc/46/41/24/709464124.db2.gz GRCMNIKPKKGWFP-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO N#Cc1ccc(NC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)nc1 ZINC000889230126 709465141 /nfs/dbraw/zinc/46/51/41/709465141.db2.gz QCUNJBFTIMYWLV-ZDUSSCGKSA-N 1 2 308.345 1.749 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(C#N)cn1 ZINC000889242191 709466792 /nfs/dbraw/zinc/46/67/92/709466792.db2.gz KXRKCXNOBBXYIR-ZDUSSCGKSA-N 1 2 303.366 1.186 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(C#N)cn1 ZINC000889242191 709466794 /nfs/dbraw/zinc/46/67/94/709466794.db2.gz KXRKCXNOBBXYIR-ZDUSSCGKSA-N 1 2 303.366 1.186 20 30 DDEDLO C#CCCCCCCn1cc(C[NH2+][C@H]2CCS(=O)(=O)C2)nn1 ZINC000900643571 709692155 /nfs/dbraw/zinc/69/21/55/709692155.db2.gz PHFSNASTKGZDBP-AWEZNQCLSA-N 1 2 324.450 1.139 20 30 DDEDLO Cc1nn(C)c(NC(=O)Cc2cn3cccc(C)c3[nH+]2)c1C#N ZINC000892626945 710472036 /nfs/dbraw/zinc/47/20/36/710472036.db2.gz IXWGMDNWPZIYPV-UHFFFAOYSA-N 1 2 308.345 1.738 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1Cc2c(c(F)ccc2F)C1=O ZINC000902316182 710713064 /nfs/dbraw/zinc/71/30/64/710713064.db2.gz FJOFKSUKJQBYLL-UHFFFAOYSA-N 1 2 308.328 1.850 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1Cc2c(c(F)ccc2F)C1=O ZINC000902316182 710713067 /nfs/dbraw/zinc/71/30/67/710713067.db2.gz FJOFKSUKJQBYLL-UHFFFAOYSA-N 1 2 308.328 1.850 20 30 DDEDLO O=C1C[C@@H](C2CC2)CN1C[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000902600663 710810927 /nfs/dbraw/zinc/81/09/27/710810927.db2.gz YRQVFICSXJTPHN-CQSZACIVSA-N 1 2 304.390 1.540 20 30 DDEDLO C/C(=C/C(=O)N1Cc2cccc(C#N)c2C1)C[NH+]1CCOCC1 ZINC000911923085 711070640 /nfs/dbraw/zinc/07/06/40/711070640.db2.gz KUONSGQBBPBFTQ-ZROIWOOFSA-N 1 2 311.385 1.679 20 30 DDEDLO C#CCN(C(=O)C[NH+]1CCN(c2ccccn2)CC1)C(C)C ZINC000928627340 713241204 /nfs/dbraw/zinc/24/12/04/713241204.db2.gz SEXIKXODHUZRSK-UHFFFAOYSA-N 1 2 300.406 1.074 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)COc1cccc(C#N)c1 ZINC000928638660 713245375 /nfs/dbraw/zinc/24/53/75/713245375.db2.gz JZLUSCIYOYYEFS-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2cc(C)ccc2C)no1 ZINC000904085768 711376959 /nfs/dbraw/zinc/37/69/59/711376959.db2.gz SIVDRSAHAFTLNC-AWEZNQCLSA-N 1 2 315.373 1.876 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2ccc(C)s2)no1 ZINC000904118740 711385911 /nfs/dbraw/zinc/38/59/11/711385911.db2.gz OZSGBTFYTNZQBI-NSHDSACASA-N 1 2 307.375 1.629 20 30 DDEDLO C[C@@]1(Br)C[C@@H]1C[NH+]1CCN(C(=O)CC#N)CC1 ZINC000895072866 711397468 /nfs/dbraw/zinc/39/74/68/711397468.db2.gz BHEWPYHPFAQRRP-ZYHUDNBSSA-N 1 2 300.200 1.218 20 30 DDEDLO CC#CC[C@@H](CO)[NH2+]Cc1nc2ccccn2c1Br ZINC000895100468 711411525 /nfs/dbraw/zinc/41/15/25/711411525.db2.gz TXVXTQOHSWSRAA-NSHDSACASA-N 1 2 322.206 1.961 20 30 DDEDLO N#Cc1cc2cccnc2nc1N1CC[NH+](Cc2cn[nH]c2)CC1 ZINC000895960178 711655898 /nfs/dbraw/zinc/65/58/98/711655898.db2.gz OVIXKLQVCRJOKI-UHFFFAOYSA-N 1 2 319.372 1.547 20 30 DDEDLO C=CC[C@H]([NH2+]CCc1cccc(C(=O)N(C)C)c1)C(=O)OC ZINC000905760040 712140662 /nfs/dbraw/zinc/14/06/62/712140662.db2.gz FCTBCRHTNOCNCB-HNNXBMFYSA-N 1 2 304.390 1.638 20 30 DDEDLO C#CCCN(CC(F)(F)F)C(=O)/C=C(\C)C[NH+]1CCOCC1 ZINC000907424436 712568251 /nfs/dbraw/zinc/56/82/51/712568251.db2.gz BGRGWGMNKQYASI-JLHYYAGUSA-N 1 2 318.339 1.679 20 30 DDEDLO C#CC[C@H](CO)NC(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC000908309752 712736415 /nfs/dbraw/zinc/73/64/15/712736415.db2.gz CHOXTYVSFRURGM-MRXNPFEDSA-N 1 2 312.373 1.109 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000929216702 713568733 /nfs/dbraw/zinc/56/87/33/713568733.db2.gz BNRYWQSMWCYUAE-UHFFFAOYSA-N 1 2 322.368 1.924 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2ccc(OC)c(OC)c2)CC1 ZINC000931146047 714015484 /nfs/dbraw/zinc/01/54/84/714015484.db2.gz RZRHGEMYANLQBG-UHFFFAOYSA-N 1 2 318.373 1.102 20 30 DDEDLO C[C@](C#N)(NC(=O)C[NH+]1CCC(c2ncco2)CC1)C1CC1 ZINC000931450501 714101106 /nfs/dbraw/zinc/10/11/06/714101106.db2.gz BIAHNXLAVWMJKS-MRXNPFEDSA-N 1 2 302.378 1.662 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000932052714 714247123 /nfs/dbraw/zinc/24/71/23/714247123.db2.gz QULLVOIMOCVKNQ-QWHCGFSZSA-N 1 2 317.389 1.406 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cc(C#N)c(F)cc2F)CCO1 ZINC000932208686 714285177 /nfs/dbraw/zinc/28/51/77/714285177.db2.gz FMVGHBOFZCDNAT-SNVBAGLBSA-N 1 2 324.331 1.679 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cc(C#N)c(F)cc2F)CCO1 ZINC000932208686 714285179 /nfs/dbraw/zinc/28/51/79/714285179.db2.gz FMVGHBOFZCDNAT-SNVBAGLBSA-N 1 2 324.331 1.679 20 30 DDEDLO CCOC(=O)[C@@H]1CC[N@H+](Cc2cccc(C(=O)OC)c2C#N)C1 ZINC000932646601 714374785 /nfs/dbraw/zinc/37/47/85/714374785.db2.gz SLNGRXOSNMMRNR-CYBMUJFWSA-N 1 2 316.357 1.730 20 30 DDEDLO CCOC(=O)[C@@H]1CC[N@@H+](Cc2cccc(C(=O)OC)c2C#N)C1 ZINC000932646601 714374790 /nfs/dbraw/zinc/37/47/90/714374790.db2.gz SLNGRXOSNMMRNR-CYBMUJFWSA-N 1 2 316.357 1.730 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cccc3c2CC(=O)CC3)C1 ZINC000923559826 714403087 /nfs/dbraw/zinc/40/30/87/714403087.db2.gz UPLYGPBFOCQRSD-HNNXBMFYSA-N 1 2 310.397 1.572 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cccc3c2CC(=O)CC3)C1 ZINC000923559826 714403088 /nfs/dbraw/zinc/40/30/88/714403088.db2.gz UPLYGPBFOCQRSD-HNNXBMFYSA-N 1 2 310.397 1.572 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000923598730 714424717 /nfs/dbraw/zinc/42/47/17/714424717.db2.gz NPTWIWLRMVFXBR-NSHDSACASA-N 1 2 305.309 1.561 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000923598730 714424719 /nfs/dbraw/zinc/42/47/19/714424719.db2.gz NPTWIWLRMVFXBR-NSHDSACASA-N 1 2 305.309 1.561 20 30 DDEDLO C[C@H](NC(=O)N[C@@H](CC#N)C(F)(F)F)[C@H](C)[NH+]1CCOCC1 ZINC000932919052 714437618 /nfs/dbraw/zinc/43/76/18/714437618.db2.gz MXSVEMRMNAEGHB-DCAQKATOSA-N 1 2 322.331 1.239 20 30 DDEDLO O=C(NCC#CCO)N[C@@H]1c2ccccc2CC[C@@H]1n1cc[nH+]c1 ZINC000923775259 714468397 /nfs/dbraw/zinc/46/83/97/714468397.db2.gz DSVPJFRPXTWFCP-DLBZAZTESA-N 1 2 324.384 1.407 20 30 DDEDLO Cn1nccc1[C@@H]1C[N@H+](C[C@H](O)c2ccc(C#N)cc2)CCO1 ZINC000933533841 714611131 /nfs/dbraw/zinc/61/11/31/714611131.db2.gz LWEOHKHQTHXCOK-IRXDYDNUSA-N 1 2 312.373 1.399 20 30 DDEDLO Cn1nccc1[C@@H]1C[N@@H+](C[C@H](O)c2ccc(C#N)cc2)CCO1 ZINC000933533841 714611133 /nfs/dbraw/zinc/61/11/33/714611133.db2.gz LWEOHKHQTHXCOK-IRXDYDNUSA-N 1 2 312.373 1.399 20 30 DDEDLO C=CCCC[C@@H]1NC(=O)N(Cc2cn3c([nH+]2)CCCC3)C1=O ZINC000924575833 714611572 /nfs/dbraw/zinc/61/15/72/714611572.db2.gz NTNNBESXQUUXEJ-ZDUSSCGKSA-N 1 2 302.378 1.996 20 30 DDEDLO COc1ccccc1N(CCC#N)C(=O)[C@@H](C)[N@@H+]1CC[C@H]1CO ZINC000934024059 714724643 /nfs/dbraw/zinc/72/46/43/714724643.db2.gz NZSOHJDYMYGKHA-KGLIPLIRSA-N 1 2 317.389 1.397 20 30 DDEDLO COc1ccccc1N(CCC#N)C(=O)[C@@H](C)[N@H+]1CC[C@H]1CO ZINC000934024059 714724645 /nfs/dbraw/zinc/72/46/45/714724645.db2.gz NZSOHJDYMYGKHA-KGLIPLIRSA-N 1 2 317.389 1.397 20 30 DDEDLO CO[C@@H]1CC[C@H]1[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000934131557 714751445 /nfs/dbraw/zinc/75/14/45/714751445.db2.gz POZIGJICSWJWEY-HUUCEWRRSA-N 1 2 308.403 1.441 20 30 DDEDLO CO[C@@H]1CC[C@H]1[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000934131557 714751448 /nfs/dbraw/zinc/75/14/48/714751448.db2.gz POZIGJICSWJWEY-HUUCEWRRSA-N 1 2 308.403 1.441 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1C[C@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC000934511104 714838938 /nfs/dbraw/zinc/83/89/38/714838938.db2.gz JOXXLDWLKRBFPU-ZBFHGGJFSA-N 1 2 307.415 1.611 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1C[C@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC000934511104 714838940 /nfs/dbraw/zinc/83/89/40/714838940.db2.gz JOXXLDWLKRBFPU-ZBFHGGJFSA-N 1 2 307.415 1.611 20 30 DDEDLO C#Cc1cccc(NC(=O)N[C@H](CC)C[NH+]2CCOCC2)c1 ZINC000925578686 714891328 /nfs/dbraw/zinc/89/13/28/714891328.db2.gz HOXTVXPYANAWSY-OAHLLOKOSA-N 1 2 301.390 1.900 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@]2(C)OCCc3ccccc32)CC1 ZINC000957900009 716222195 /nfs/dbraw/zinc/22/21/95/716222195.db2.gz NXVKCVGBFSDLAT-GOSISDBHSA-N 1 2 300.402 1.805 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCCC3(C)C)CC2)C1 ZINC000941524615 717193570 /nfs/dbraw/zinc/19/35/70/717193570.db2.gz QITBTYUBQRHBSO-MRXNPFEDSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3C[C@@]3(C)C(C)C)CC2)C1 ZINC000941621722 717232805 /nfs/dbraw/zinc/23/28/05/717232805.db2.gz BTVVTNNFMCZZLJ-AEFFLSMTSA-N 1 2 303.450 1.130 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2cn[nH]c2-c2ccccn2)[C@@H](C)C1 ZINC000942113640 717488176 /nfs/dbraw/zinc/48/81/76/717488176.db2.gz QPJJEEQXBCVLTL-DZGCQCFKSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2cn[nH]c2-c2ccccn2)[C@@H](C)C1 ZINC000942113640 717488178 /nfs/dbraw/zinc/48/81/78/717488178.db2.gz QPJJEEQXBCVLTL-DZGCQCFKSA-N 1 2 323.400 1.545 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccon3)C[C@H]2C)cn1 ZINC000944214997 718273239 /nfs/dbraw/zinc/27/32/39/718273239.db2.gz PKJRMJFXCWFQHJ-CXAGYDPISA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccon3)C[C@H]2C)cn1 ZINC000944214997 718273241 /nfs/dbraw/zinc/27/32/41/718273241.db2.gz PKJRMJFXCWFQHJ-CXAGYDPISA-N 1 2 324.384 1.691 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2sc3nccn3c2C)C1 ZINC000966818009 718673524 /nfs/dbraw/zinc/67/35/24/718673524.db2.gz YHQYHXHGMPPHAF-AAEUAGOBSA-N 1 2 316.430 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2sc3nccn3c2C)C1 ZINC000966818009 718673526 /nfs/dbraw/zinc/67/35/26/718673526.db2.gz YHQYHXHGMPPHAF-AAEUAGOBSA-N 1 2 316.430 1.778 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000967006816 718741102 /nfs/dbraw/zinc/74/11/02/718741102.db2.gz LWAIGZMYSBAOOL-RISCZKNCSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000967006816 718741104 /nfs/dbraw/zinc/74/11/04/718741104.db2.gz LWAIGZMYSBAOOL-RISCZKNCSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000967006811 718741563 /nfs/dbraw/zinc/74/15/63/718741563.db2.gz LWAIGZMYSBAOOL-FZMZJTMJSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000967006811 718741564 /nfs/dbraw/zinc/74/15/64/718741564.db2.gz LWAIGZMYSBAOOL-FZMZJTMJSA-N 1 2 323.824 1.578 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@H]2CN(CC#N)C[C@H]2CC1)n1cc[nH+]c1 ZINC000946803416 718914052 /nfs/dbraw/zinc/91/40/52/718914052.db2.gz FYFWQKAPQNJETD-OAGGEKHMSA-N 1 2 315.421 1.528 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CCN1CC#N ZINC000947332629 719124742 /nfs/dbraw/zinc/12/47/42/719124742.db2.gz AGHXYOAOAPPLHW-UONOGXRCSA-N 1 2 315.421 1.255 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cnon3)[C@H](C)C2)cc1 ZINC000947612428 719226961 /nfs/dbraw/zinc/22/69/61/719226961.db2.gz VUWZNSUCOUIHDN-CZUORRHYSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnon3)[C@H](C)C2)cc1 ZINC000947612428 719226963 /nfs/dbraw/zinc/22/69/63/719226963.db2.gz VUWZNSUCOUIHDN-CZUORRHYSA-N 1 2 324.384 1.834 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CCN1CC#N ZINC000947922437 719307806 /nfs/dbraw/zinc/30/78/06/719307806.db2.gz XTXVSUZNGYXLSC-KGLIPLIRSA-N 1 2 324.388 1.374 20 30 DDEDLO CCc1cnc(C[NH2+][C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC000968341773 719520214 /nfs/dbraw/zinc/52/02/14/719520214.db2.gz UHLLXIOHNVVNQB-GFCCVEGCSA-N 1 2 313.361 1.441 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2OCCO[C@@H]2c2ccccc2)CC1 ZINC000948858426 719750301 /nfs/dbraw/zinc/75/03/01/719750301.db2.gz FMEHUQRYTBQVSC-IAGOWNOFSA-N 1 2 316.401 1.473 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nccn1CC ZINC000948909999 719781383 /nfs/dbraw/zinc/78/13/83/719781383.db2.gz GGVGXPQHBCFSGJ-KRWDZBQOSA-N 1 2 322.412 1.865 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nccn1CC ZINC000948909999 719781386 /nfs/dbraw/zinc/78/13/86/719781386.db2.gz GGVGXPQHBCFSGJ-KRWDZBQOSA-N 1 2 322.412 1.865 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CC(=O)N[C@@H](CC(C)C)C2)CC1 ZINC000949026052 719851426 /nfs/dbraw/zinc/85/14/26/719851426.db2.gz UEQVIAWMUOIROF-GJZGRUSLSA-N 1 2 307.438 1.258 20 30 DDEDLO N#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000968920017 719869152 /nfs/dbraw/zinc/86/91/52/719869152.db2.gz NPIOUYARYXMSIU-HUUCEWRRSA-N 1 2 315.421 1.330 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(CC1)C[N@H+](C/C=C\Cl)CCO2 ZINC000949320932 720015750 /nfs/dbraw/zinc/01/57/50/720015750.db2.gz XAEZSSLEZNOBHZ-JLIVMUMWSA-N 1 2 311.813 1.592 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(CC1)C[N@@H+](C/C=C\Cl)CCO2 ZINC000949320932 720015751 /nfs/dbraw/zinc/01/57/51/720015751.db2.gz XAEZSSLEZNOBHZ-JLIVMUMWSA-N 1 2 311.813 1.592 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C[C@H]2CC[C@H](C(F)(F)F)O2)CC1 ZINC000949406050 720067433 /nfs/dbraw/zinc/06/74/33/720067433.db2.gz HIKXNLHDAIWZST-VXGBXAGGSA-N 1 2 306.328 1.817 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)Cc3occc3C)CC2)C1 ZINC000949469208 720109523 /nfs/dbraw/zinc/10/95/23/720109523.db2.gz VLWIOKAFCDFHBB-UHFFFAOYSA-N 1 2 316.401 1.457 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)Cc3occc3C)CC2)C1 ZINC000949469208 720109526 /nfs/dbraw/zinc/10/95/26/720109526.db2.gz VLWIOKAFCDFHBB-UHFFFAOYSA-N 1 2 316.401 1.457 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@H]2COCCN2CC2CCC2)CC1 ZINC000949480030 720113535 /nfs/dbraw/zinc/11/35/35/720113535.db2.gz UHBRSYYICDLKNW-QGZVFWFLSA-N 1 2 321.465 1.208 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+]Cc3csnn3)C2)cc1 ZINC000969546343 720184105 /nfs/dbraw/zinc/18/41/05/720184105.db2.gz VTRKFYQSSIITSE-CQSZACIVSA-N 1 2 312.398 1.524 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H]([NH2+]Cc3ncc(CC)o3)C2)c1 ZINC000969888084 720549417 /nfs/dbraw/zinc/54/94/17/720549417.db2.gz INYPDYAOMALRJD-OAHLLOKOSA-N 1 2 324.384 1.618 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3n2[C@@H](C)CCC3)C1 ZINC000950385195 720607697 /nfs/dbraw/zinc/60/76/97/720607697.db2.gz HXVKEWZYWQVDIU-AWEZNQCLSA-N 1 2 314.433 1.950 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc3n(n2)CCCO3)C1 ZINC000970124421 720634595 /nfs/dbraw/zinc/63/45/95/720634595.db2.gz MDCNVGTZMMGJBN-LLVKDONJSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2c[nH]c(=O)cc2C)C1 ZINC000970406428 720749911 /nfs/dbraw/zinc/74/99/11/720749911.db2.gz NPFADDREAWLSBU-NSHDSACASA-N 1 2 309.797 1.898 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C2C[NH+](CC(=C)Cl)C2)cn1 ZINC000970767067 720928798 /nfs/dbraw/zinc/92/87/98/720928798.db2.gz PFINRFCTZBVLGI-LBPRGKRZSA-N 1 2 303.793 1.866 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC000970909729 720982315 /nfs/dbraw/zinc/98/23/15/720982315.db2.gz BWKDELWTJLDBAW-RYUDHWBXSA-N 1 2 322.840 1.704 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCc3cnn(C)c3C2)C1 ZINC000951379980 721011816 /nfs/dbraw/zinc/01/18/16/721011816.db2.gz KEWPAIOEVBBGII-ZDUSSCGKSA-N 1 2 302.422 1.244 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@H]2CCCO2)C1 ZINC000971025621 721063173 /nfs/dbraw/zinc/06/31/73/721063173.db2.gz NRBHOCXKQCVQKZ-NWDGAFQWSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@H]2CCCO2)C1 ZINC000971025621 721063178 /nfs/dbraw/zinc/06/31/78/721063178.db2.gz NRBHOCXKQCVQKZ-NWDGAFQWSA-N 1 2 317.227 1.607 20 30 DDEDLO C[N@H+](Cc1ccccc1C#N)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC000971125833 721122094 /nfs/dbraw/zinc/12/20/94/721122094.db2.gz PLZHPECYPBOZJV-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO C[N@@H+](Cc1ccccc1C#N)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC000971125833 721122095 /nfs/dbraw/zinc/12/20/95/721122095.db2.gz PLZHPECYPBOZJV-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CC(C)c1ocnc1C[N@H+](C)[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000971222708 721188824 /nfs/dbraw/zinc/18/88/24/721188824.db2.gz ZXSGTBOHGCPJSF-OLZOCXBDSA-N 1 2 304.394 1.990 20 30 DDEDLO CC(C)c1ocnc1C[N@@H+](C)[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000971222708 721188829 /nfs/dbraw/zinc/18/88/29/721188829.db2.gz ZXSGTBOHGCPJSF-OLZOCXBDSA-N 1 2 304.394 1.990 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971504360 721326481 /nfs/dbraw/zinc/32/64/81/721326481.db2.gz KYKNMGKRGNBYSH-BXUZGUMPSA-N 1 2 302.378 1.385 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971504360 721326486 /nfs/dbraw/zinc/32/64/86/721326486.db2.gz KYKNMGKRGNBYSH-BXUZGUMPSA-N 1 2 302.378 1.385 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccnc(NC(C)=O)c2)CC1 ZINC000952347425 721415983 /nfs/dbraw/zinc/41/59/83/721415983.db2.gz OIKLYCZTXAZVHA-UHFFFAOYSA-N 1 2 302.378 1.374 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(N)=O)CCCC1 ZINC001111517675 735308724 /nfs/dbraw/zinc/30/87/24/735308724.db2.gz IUJBMRPAUNZLQM-MCIONIFRSA-N 1 2 305.422 1.330 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(N)=O)CCCC1 ZINC001111517675 735308726 /nfs/dbraw/zinc/30/87/26/735308726.db2.gz IUJBMRPAUNZLQM-MCIONIFRSA-N 1 2 305.422 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCCOC3)C2)C1 ZINC000972616794 735355132 /nfs/dbraw/zinc/35/51/32/735355132.db2.gz HETIAJZGGZVOHR-AEFFLSMTSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCCOC3)C2)C1 ZINC000972616794 735355135 /nfs/dbraw/zinc/35/51/35/735355135.db2.gz HETIAJZGGZVOHR-AEFFLSMTSA-N 1 2 322.449 1.683 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2CC[N@H+]2CCO[C@@H]2CC2(F)F)c1 ZINC001038145044 735368523 /nfs/dbraw/zinc/36/85/23/735368523.db2.gz KEMWSWLYMLPEBU-DGCLKSJQSA-N 1 2 324.331 1.115 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2CC[N@@H+]2CCO[C@@H]2CC2(F)F)c1 ZINC001038145044 735368525 /nfs/dbraw/zinc/36/85/25/735368525.db2.gz KEMWSWLYMLPEBU-DGCLKSJQSA-N 1 2 324.331 1.115 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)cc3F)[C@H]2C1 ZINC001083263055 735393590 /nfs/dbraw/zinc/39/35/90/735393590.db2.gz BTYYPBLWXFXVPV-JKSUJKDBSA-N 1 2 320.339 1.513 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)cc3F)[C@H]2C1 ZINC001083263055 735393592 /nfs/dbraw/zinc/39/35/92/735393592.db2.gz BTYYPBLWXFXVPV-JKSUJKDBSA-N 1 2 320.339 1.513 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccccc3OC)C2)C1 ZINC000972646451 735417547 /nfs/dbraw/zinc/41/75/47/735417547.db2.gz GKIUXICIZOYUFA-SFHVURJKSA-N 1 2 316.401 1.798 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccccc3OC)C2)C1 ZINC000972646451 735417550 /nfs/dbraw/zinc/41/75/50/735417550.db2.gz GKIUXICIZOYUFA-SFHVURJKSA-N 1 2 316.401 1.798 20 30 DDEDLO C[C@H](CNC(=O)Cc1c[nH]c[nH+]1)CNc1ncc(C#N)cc1F ZINC001104225718 732490892 /nfs/dbraw/zinc/49/08/92/732490892.db2.gz ALOACFVSVOXFBL-SNVBAGLBSA-N 1 2 316.340 1.222 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C(C)=C/CC)C2)nn1 ZINC001098629751 738656801 /nfs/dbraw/zinc/65/68/01/738656801.db2.gz NBALCGHRQKAERC-MRHLJLSQSA-N 1 2 301.394 1.131 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](F)c3ccccc3)[C@H]2C1 ZINC001083208315 733349787 /nfs/dbraw/zinc/34/97/87/733349787.db2.gz LOZUNVQWAJVRIG-BBWFWOEESA-N 1 2 316.376 1.632 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](F)c3ccccc3)[C@H]2C1 ZINC001083208315 733349788 /nfs/dbraw/zinc/34/97/88/733349788.db2.gz LOZUNVQWAJVRIG-BBWFWOEESA-N 1 2 316.376 1.632 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)C1CCC(O)CC1 ZINC001027855067 738739900 /nfs/dbraw/zinc/73/99/00/738739900.db2.gz WZWIGROLTMPKOC-MOKVOYLWSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)C1CCC(O)CC1 ZINC001027855067 738739905 /nfs/dbraw/zinc/73/99/05/738739905.db2.gz WZWIGROLTMPKOC-MOKVOYLWSA-N 1 2 300.830 1.871 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCC[C@@H](NC(=O)c2ccccc2)C1 ZINC001167482431 734402437 /nfs/dbraw/zinc/40/24/37/734402437.db2.gz KNCIORCVHSCOIC-HZPDHXFCSA-N 1 2 316.401 1.999 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCC[C@@H](NC(=O)c2ccccc2)C1 ZINC001167482431 734402438 /nfs/dbraw/zinc/40/24/38/734402438.db2.gz KNCIORCVHSCOIC-HZPDHXFCSA-N 1 2 316.401 1.999 20 30 DDEDLO C=C(C)C(=O)NCCCNc1[nH+]cnc2[nH]cc([S@](C)=O)c21 ZINC001167665730 735006633 /nfs/dbraw/zinc/00/66/33/735006633.db2.gz QXZNYERKQZUXEK-QFIPXVFZSA-N 1 2 321.406 1.141 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CC34CCOCC4)C2)C1 ZINC000972706631 735555843 /nfs/dbraw/zinc/55/58/43/735555843.db2.gz BTKFIUPSLJOJNM-MAUKXSAKSA-N 1 2 320.433 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CC34CCOCC4)C2)C1 ZINC000972706631 735555846 /nfs/dbraw/zinc/55/58/46/735555846.db2.gz BTKFIUPSLJOJNM-MAUKXSAKSA-N 1 2 320.433 1.292 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1nnn(C)c1C ZINC001024374290 735795522 /nfs/dbraw/zinc/79/55/22/735795522.db2.gz YBUMQDHMOVRCPM-LBPRGKRZSA-N 1 2 311.817 1.460 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1nnn(C)c1C ZINC001024374290 735795524 /nfs/dbraw/zinc/79/55/24/735795524.db2.gz YBUMQDHMOVRCPM-LBPRGKRZSA-N 1 2 311.817 1.460 20 30 DDEDLO C[C@@H]([NH2+]CCNC(=O)c1ccc(C#N)[nH]1)c1nc(C2CC2)no1 ZINC001125818091 736224476 /nfs/dbraw/zinc/22/44/76/736224476.db2.gz BFQLSINNZLFLBW-SECBINFHSA-N 1 2 314.349 1.227 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C(C)(CC)CC)C2)nn1 ZINC001105376031 739775013 /nfs/dbraw/zinc/77/50/13/739775013.db2.gz ZPEICPOFFPXAHX-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001111987117 736347564 /nfs/dbraw/zinc/34/75/64/736347564.db2.gz ACQPJBOZWRJUNI-YOEHRIQHSA-N 1 2 318.421 1.587 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)CSCC#N)C[C@H]2C)cc[nH+]1 ZINC001105027560 737467512 /nfs/dbraw/zinc/46/75/12/737467512.db2.gz ASNYDWBKBJYBIY-DGCLKSJQSA-N 1 2 319.434 1.691 20 30 DDEDLO N#CCNC1CCC(NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001026558916 737661705 /nfs/dbraw/zinc/66/17/05/737661705.db2.gz SPVBHNIDMITQTC-BPCQOVAHSA-N 1 2 301.394 1.370 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@@H]3C=CCCC3)C2)nn1 ZINC001105265649 737691078 /nfs/dbraw/zinc/69/10/78/737691078.db2.gz GFOBGAJAPNHADG-CQSZACIVSA-N 1 2 313.405 1.131 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nnc(C(F)F)s1 ZINC001125939250 737778140 /nfs/dbraw/zinc/77/81/40/737778140.db2.gz ZQRBQEBVMSVDDC-UHFFFAOYSA-N 1 2 316.377 1.875 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2CNC(=O)CCc2[nH]cc[nH+]2)nc1 ZINC001100823114 737783264 /nfs/dbraw/zinc/78/32/64/737783264.db2.gz CRFXXOFEKMLNSP-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001009274170 738152873 /nfs/dbraw/zinc/15/28/73/738152873.db2.gz NKAYPKLRZJXPAL-KGLIPLIRSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001009274170 738152875 /nfs/dbraw/zinc/15/28/75/738152875.db2.gz NKAYPKLRZJXPAL-KGLIPLIRSA-N 1 2 313.829 1.330 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)c(C)o1 ZINC001027336058 738208347 /nfs/dbraw/zinc/20/83/47/738208347.db2.gz MUFAJUXPALIBFK-BXUZGUMPSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)c(C)o1 ZINC001027336058 738208348 /nfs/dbraw/zinc/20/83/48/738208348.db2.gz MUFAJUXPALIBFK-BXUZGUMPSA-N 1 2 304.394 1.874 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3cc4n(n3)CCC4)C[C@H]21 ZINC001075616399 739188766 /nfs/dbraw/zinc/18/87/66/739188766.db2.gz RJQKHFCSJBNKLL-DVOMOZLQSA-N 1 2 313.405 1.022 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3cc4n(n3)CCC4)C[C@H]21 ZINC001075616399 739188770 /nfs/dbraw/zinc/18/87/70/739188770.db2.gz RJQKHFCSJBNKLL-DVOMOZLQSA-N 1 2 313.405 1.022 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001028227510 739197395 /nfs/dbraw/zinc/19/73/95/739197395.db2.gz XOLJWBXCWOBPCB-NSHDSACASA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001028227510 739197397 /nfs/dbraw/zinc/19/73/97/739197397.db2.gz XOLJWBXCWOBPCB-NSHDSACASA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001028291433 739305460 /nfs/dbraw/zinc/30/54/60/739305460.db2.gz UKCIKCIQPRZWEZ-ZIAGYGMSSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001028291433 739305465 /nfs/dbraw/zinc/30/54/65/739305465.db2.gz UKCIKCIQPRZWEZ-ZIAGYGMSSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1C[N@H+](CC(=C)C)CCO1 ZINC001035370066 751434657 /nfs/dbraw/zinc/43/46/57/751434657.db2.gz GOWBQSMVKGKJQG-XHSDSOJGSA-N 1 2 308.422 1.361 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1C[N@@H+](CC(=C)C)CCO1 ZINC001035370066 751434659 /nfs/dbraw/zinc/43/46/59/751434659.db2.gz GOWBQSMVKGKJQG-XHSDSOJGSA-N 1 2 308.422 1.361 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3c(o2)CCCC3)C1 ZINC001035390877 751459799 /nfs/dbraw/zinc/45/97/99/751459799.db2.gz BMRWOFRUXBNDBG-HNNXBMFYSA-N 1 2 316.401 1.612 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc3c(o2)CCCC3)C1 ZINC001035390877 751459807 /nfs/dbraw/zinc/45/98/07/751459807.db2.gz BMRWOFRUXBNDBG-HNNXBMFYSA-N 1 2 316.401 1.612 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cl)cc1OC ZINC001032593126 751470759 /nfs/dbraw/zinc/47/07/59/751470759.db2.gz VCIJPHUCOYFAIV-STQMWFEESA-N 1 2 304.777 1.881 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cl)cc1OC ZINC001032593126 751470761 /nfs/dbraw/zinc/47/07/61/751470761.db2.gz VCIJPHUCOYFAIV-STQMWFEESA-N 1 2 304.777 1.881 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@H](Nc2ccc(C#N)cn2)C1)n1cc[nH+]c1 ZINC001059080861 739890523 /nfs/dbraw/zinc/89/05/23/739890523.db2.gz LAIUFTQOZSCIGS-HIFRSBDPSA-N 1 2 324.388 1.814 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCc3cccnc32)C1 ZINC001035481098 751535163 /nfs/dbraw/zinc/53/51/63/751535163.db2.gz DTLIGJDHRZRFSX-HOTGVXAUSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCc3cccnc32)C1 ZINC001035481098 751535166 /nfs/dbraw/zinc/53/51/66/751535166.db2.gz DTLIGJDHRZRFSX-HOTGVXAUSA-N 1 2 315.417 1.505 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc[nH]c2CCC)C1 ZINC001035484994 751538159 /nfs/dbraw/zinc/53/81/59/751538159.db2.gz STXJWUQIBXSIJZ-CQSZACIVSA-N 1 2 305.422 1.974 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc[nH]c2CCC)C1 ZINC001035484994 751538160 /nfs/dbraw/zinc/53/81/60/751538160.db2.gz STXJWUQIBXSIJZ-CQSZACIVSA-N 1 2 305.422 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn(CC)nn2)[C@H]1CC ZINC001087778008 740745356 /nfs/dbraw/zinc/74/53/56/740745356.db2.gz AJZKAVVVKUWHBO-WCQYABFASA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn(CC)nn2)[C@H]1CC ZINC001087778008 740745358 /nfs/dbraw/zinc/74/53/58/740745358.db2.gz AJZKAVVVKUWHBO-WCQYABFASA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098706116 740769710 /nfs/dbraw/zinc/76/97/10/740769710.db2.gz RSHQNDWBAGZGMX-UKRRQHHQSA-N 1 2 323.416 1.713 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3ccccc3n2C)C1 ZINC001035497372 751580385 /nfs/dbraw/zinc/58/03/85/751580385.db2.gz ROENXSLDASTHGN-HNNXBMFYSA-N 1 2 313.401 1.795 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc3ccccc3n2C)C1 ZINC001035497372 751580389 /nfs/dbraw/zinc/58/03/89/751580389.db2.gz ROENXSLDASTHGN-HNNXBMFYSA-N 1 2 313.401 1.795 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnnc3ccccc32)C1 ZINC001035524179 751602129 /nfs/dbraw/zinc/60/21/29/751602129.db2.gz FOPYPNCSOJVXSY-CYBMUJFWSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnnc3ccccc32)C1 ZINC001035524179 751602131 /nfs/dbraw/zinc/60/21/31/751602131.db2.gz FOPYPNCSOJVXSY-CYBMUJFWSA-N 1 2 312.373 1.246 20 30 DDEDLO CC(C)n1ncnc1C[N@H+](C)C[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001029676419 741216686 /nfs/dbraw/zinc/21/66/86/741216686.db2.gz AOOHDPFGRVYSLD-UONOGXRCSA-N 1 2 318.425 1.299 20 30 DDEDLO CC(C)n1ncnc1C[N@@H+](C)C[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001029676419 741216690 /nfs/dbraw/zinc/21/66/90/741216690.db2.gz AOOHDPFGRVYSLD-UONOGXRCSA-N 1 2 318.425 1.299 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001035571249 751624948 /nfs/dbraw/zinc/62/49/48/751624948.db2.gz WINCIZOEXNVYRM-CQSZACIVSA-N 1 2 303.406 1.510 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001035571249 751624952 /nfs/dbraw/zinc/62/49/52/751624952.db2.gz WINCIZOEXNVYRM-CQSZACIVSA-N 1 2 303.406 1.510 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029800642 741316120 /nfs/dbraw/zinc/31/61/20/741316120.db2.gz WKQQLYKTXZOKFM-AWEZNQCLSA-N 1 2 319.453 1.996 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029800642 741316125 /nfs/dbraw/zinc/31/61/25/741316125.db2.gz WKQQLYKTXZOKFM-AWEZNQCLSA-N 1 2 319.453 1.996 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001029826820 741363030 /nfs/dbraw/zinc/36/30/30/741363030.db2.gz WBHDNBREHZCKIV-RHSMWYFYSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001029826820 741363033 /nfs/dbraw/zinc/36/30/33/741363033.db2.gz WBHDNBREHZCKIV-RHSMWYFYSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)cc(OC)c2)C1 ZINC001035553436 751636139 /nfs/dbraw/zinc/63/61/39/751636139.db2.gz CLJPJUGAEGQLCP-HNNXBMFYSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)cc(OC)c2)C1 ZINC001035553436 751636141 /nfs/dbraw/zinc/63/61/41/751636141.db2.gz CLJPJUGAEGQLCP-HNNXBMFYSA-N 1 2 324.808 1.965 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CCCCC[C@@H](NCC#N)C1 ZINC001088351676 741427483 /nfs/dbraw/zinc/42/74/83/741427483.db2.gz IRADEHTWFIONLG-CQSZACIVSA-N 1 2 303.410 1.237 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001038473767 741799680 /nfs/dbraw/zinc/79/96/80/741799680.db2.gz AAGXWFAZMPYSJJ-GDBMZVCRSA-N 1 2 318.804 1.857 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001038473767 741799685 /nfs/dbraw/zinc/79/96/85/741799685.db2.gz AAGXWFAZMPYSJJ-GDBMZVCRSA-N 1 2 318.804 1.857 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098243853 741895257 /nfs/dbraw/zinc/89/52/57/741895257.db2.gz HFJMZODEPVSOPC-ZBEGNZNMSA-N 1 2 320.441 1.765 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)CCN(C)c1nc(C)[nH+]c(C)c1C ZINC001105468922 741913456 /nfs/dbraw/zinc/91/34/56/741913456.db2.gz HWTUMDHHNQOXSM-CQSZACIVSA-N 1 2 318.421 1.335 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc(C)nc2OC)[C@H]1C ZINC001088608395 741963867 /nfs/dbraw/zinc/96/38/67/741963867.db2.gz XEGMHGYTQWLREP-MFKMUULPSA-N 1 2 324.812 1.739 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc(C)nc2OC)[C@H]1C ZINC001088608395 741963869 /nfs/dbraw/zinc/96/38/69/741963869.db2.gz XEGMHGYTQWLREP-MFKMUULPSA-N 1 2 324.812 1.739 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c(OC)cccc2OC)C1 ZINC001035591524 751685826 /nfs/dbraw/zinc/68/58/26/751685826.db2.gz WWPDVDVZWMYBRD-ZDUSSCGKSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c(OC)cccc2OC)C1 ZINC001035591524 751685833 /nfs/dbraw/zinc/68/58/33/751685833.db2.gz WWPDVDVZWMYBRD-ZDUSSCGKSA-N 1 2 320.389 1.320 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C=C\C3CC3)C2)nn1 ZINC001098648847 742056626 /nfs/dbraw/zinc/05/66/26/742056626.db2.gz FUJSRKGJGVDNHA-WLMCBFPDSA-N 1 2 313.405 1.131 20 30 DDEDLO C=CCOCC(=O)NC1(CNc2cc[nH+]c(C)n2)CCOCC1 ZINC001112239751 742102618 /nfs/dbraw/zinc/10/26/18/742102618.db2.gz AXCKNJPOBFTQDY-UHFFFAOYSA-N 1 2 320.393 1.065 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2nc(C)c(C)s2)C1 ZINC001035607435 751712472 /nfs/dbraw/zinc/71/24/72/751712472.db2.gz SAJXZVOMMNTPQJ-ZDUSSCGKSA-N 1 2 309.435 1.767 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2nc(C)c(C)s2)C1 ZINC001035607435 751712476 /nfs/dbraw/zinc/71/24/76/751712476.db2.gz SAJXZVOMMNTPQJ-ZDUSSCGKSA-N 1 2 309.435 1.767 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+]Cc1nnc(C(F)F)s1 ZINC001126815958 742292442 /nfs/dbraw/zinc/29/24/42/742292442.db2.gz QLYDIAUWXYOSAX-UHFFFAOYSA-N 1 2 302.350 1.341 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(CC(C)C)s2)[C@@H](O)C1 ZINC001083531443 742480791 /nfs/dbraw/zinc/48/07/91/742480791.db2.gz NXNHMFYSWLLNKC-CABCVRRESA-N 1 2 320.458 1.745 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(CC(C)C)s2)[C@@H](O)C1 ZINC001083531443 742480793 /nfs/dbraw/zinc/48/07/93/742480793.db2.gz NXNHMFYSWLLNKC-CABCVRRESA-N 1 2 320.458 1.745 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[C@H]3C[N@@H+](CC(=C)Cl)C[C@H]32)nn1 ZINC001076334626 742667576 /nfs/dbraw/zinc/66/75/76/742667576.db2.gz APNJMABOVFXLDQ-GXTWGEPZSA-N 1 2 321.812 1.363 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[C@H]3C[N@H+](CC(=C)Cl)C[C@H]32)nn1 ZINC001076334626 742667579 /nfs/dbraw/zinc/66/75/79/742667579.db2.gz APNJMABOVFXLDQ-GXTWGEPZSA-N 1 2 321.812 1.363 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cnc(-c3ccccc3)o2)[C@@H](O)C1 ZINC001083548255 742747536 /nfs/dbraw/zinc/74/75/36/742747536.db2.gz BAYPBSJTVZPJDP-KGLIPLIRSA-N 1 2 313.357 1.303 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cnc(-c3ccccc3)o2)[C@@H](O)C1 ZINC001083548255 742747541 /nfs/dbraw/zinc/74/75/41/742747541.db2.gz BAYPBSJTVZPJDP-KGLIPLIRSA-N 1 2 313.357 1.303 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]3C[N@@H+](CC(=C)Cl)C[C@H]32)cn1 ZINC001076477019 742749822 /nfs/dbraw/zinc/74/98/22/742749822.db2.gz MLUASWHZYXXJIW-GOEBONIOSA-N 1 2 315.804 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]3C[N@H+](CC(=C)Cl)C[C@H]32)cn1 ZINC001076477019 742749826 /nfs/dbraw/zinc/74/98/26/742749826.db2.gz MLUASWHZYXXJIW-GOEBONIOSA-N 1 2 315.804 1.962 20 30 DDEDLO Cc1cnc(C[NH+]2CC3(C2)CCN(C(=O)C#CC2CC2)CC3)o1 ZINC001035702033 751789082 /nfs/dbraw/zinc/78/90/82/751789082.db2.gz IFDDTYSCCVXGEB-UHFFFAOYSA-N 1 2 313.401 1.821 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001061095685 743187058 /nfs/dbraw/zinc/18/70/58/743187058.db2.gz NMABVAZCNPPIQK-HUUCEWRRSA-N 1 2 324.388 1.689 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2csc(NC(C)=O)n2)C1 ZINC001181543715 743233146 /nfs/dbraw/zinc/23/31/46/743233146.db2.gz VNFCNARUQXXBSZ-GFCCVEGCSA-N 1 2 322.434 1.758 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)COCC3CC3)c2C1 ZINC001128228363 743335359 /nfs/dbraw/zinc/33/53/59/743335359.db2.gz FSKQFAKEQKDZHG-UHFFFAOYSA-N 1 2 318.421 1.318 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)COCC3CC3)c2C1 ZINC001128228363 743335366 /nfs/dbraw/zinc/33/53/66/743335366.db2.gz FSKQFAKEQKDZHG-UHFFFAOYSA-N 1 2 318.421 1.318 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)c2ncc[nH]2)CC1 ZINC001002763620 743411181 /nfs/dbraw/zinc/41/11/81/743411181.db2.gz BKTLBAPBKXXKHO-UHFFFAOYSA-N 1 2 313.199 1.513 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(COC)cs2)C1 ZINC001108052740 743540059 /nfs/dbraw/zinc/54/00/59/743540059.db2.gz ICPPQSMQSYKIRE-MRXNPFEDSA-N 1 2 324.446 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(COC)cs2)C1 ZINC001108052740 743540064 /nfs/dbraw/zinc/54/00/64/743540064.db2.gz ICPPQSMQSYKIRE-MRXNPFEDSA-N 1 2 324.446 1.901 20 30 DDEDLO C#CCCCCC(=O)NCc1cnn2c1C[N@H+](CCCF)CC2 ZINC001128312274 743554371 /nfs/dbraw/zinc/55/43/71/743554371.db2.gz KWBNASUCDHSMFU-UHFFFAOYSA-N 1 2 320.412 1.868 20 30 DDEDLO C#CCCCCC(=O)NCc1cnn2c1C[N@@H+](CCCF)CC2 ZINC001128312274 743554376 /nfs/dbraw/zinc/55/43/76/743554376.db2.gz KWBNASUCDHSMFU-UHFFFAOYSA-N 1 2 320.412 1.868 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@H](F)CC)C2)nn1 ZINC001185985216 744317354 /nfs/dbraw/zinc/31/73/54/744317354.db2.gz XSPHSCSHDLJIMT-HUUCEWRRSA-N 1 2 321.400 1.303 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnn(C)n2)CC[N@@H+]1Cc1ccccc1C#N ZINC001088919729 744368077 /nfs/dbraw/zinc/36/80/77/744368077.db2.gz YABVGIRCWLYSHU-DOMZBBRYSA-N 1 2 324.388 1.080 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnn(C)n2)CC[N@H+]1Cc1ccccc1C#N ZINC001088919729 744368078 /nfs/dbraw/zinc/36/80/78/744368078.db2.gz YABVGIRCWLYSHU-DOMZBBRYSA-N 1 2 324.388 1.080 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2CCC(C)(C(=O)OC)CC2)CC1 ZINC001187183235 744512903 /nfs/dbraw/zinc/51/29/03/744512903.db2.gz BPNUIOVSPDDFHH-UHFFFAOYSA-N 1 2 308.422 1.686 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2csnn2)C1 ZINC001188294089 744680049 /nfs/dbraw/zinc/68/00/49/744680049.db2.gz BINWWHRINKFEAG-NWDGAFQWSA-N 1 2 310.423 1.382 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001083701604 744903760 /nfs/dbraw/zinc/90/37/60/744903760.db2.gz IZHGHQOUPSMOGC-NMFKLSHFSA-N 1 2 317.364 1.015 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001083701604 744903763 /nfs/dbraw/zinc/90/37/63/744903763.db2.gz IZHGHQOUPSMOGC-NMFKLSHFSA-N 1 2 317.364 1.015 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001189918346 745033660 /nfs/dbraw/zinc/03/36/60/745033660.db2.gz PLHHNKPETOALGJ-GOEBONIOSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001189918346 745033666 /nfs/dbraw/zinc/03/36/66/745033666.db2.gz PLHHNKPETOALGJ-GOEBONIOSA-N 1 2 307.394 1.343 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001190115113 745119937 /nfs/dbraw/zinc/11/99/37/745119937.db2.gz XARLYPUMEGSZTJ-TZMCWYRMSA-N 1 2 320.393 1.012 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001190115113 745119941 /nfs/dbraw/zinc/11/99/41/745119941.db2.gz XARLYPUMEGSZTJ-TZMCWYRMSA-N 1 2 320.393 1.012 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2ncc(C)o2)C1 ZINC001190128626 745125632 /nfs/dbraw/zinc/12/56/32/745125632.db2.gz MXAWYMNLBFPJJV-HUUCEWRRSA-N 1 2 319.405 1.617 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2ncc(C)o2)C1 ZINC001190128626 745125634 /nfs/dbraw/zinc/12/56/34/745125634.db2.gz MXAWYMNLBFPJJV-HUUCEWRRSA-N 1 2 319.405 1.617 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)s2)C1 ZINC001190129748 745126274 /nfs/dbraw/zinc/12/62/74/745126274.db2.gz WVLFWSCOUPQSMN-AWEZNQCLSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)s2)C1 ZINC001190129748 745126277 /nfs/dbraw/zinc/12/62/77/745126277.db2.gz WVLFWSCOUPQSMN-AWEZNQCLSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCOc1ccc(C(=O)NC2C[NH+]([C@H](C)COC)C2)cc1 ZINC001031066583 745289059 /nfs/dbraw/zinc/28/90/59/745289059.db2.gz HBTNAUZBRPIWES-CYBMUJFWSA-N 1 2 302.374 1.148 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001191127762 745430776 /nfs/dbraw/zinc/43/07/76/745430776.db2.gz OEJGXZGAJLZHTN-HZPDHXFCSA-N 1 2 319.380 1.549 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001191127762 745430779 /nfs/dbraw/zinc/43/07/79/745430779.db2.gz OEJGXZGAJLZHTN-HZPDHXFCSA-N 1 2 319.380 1.549 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CCNc1[nH+]cnc2c1cnn2C ZINC001106532168 745773161 /nfs/dbraw/zinc/77/31/61/745773161.db2.gz ZEULTMLTAAVCLC-LLVKDONJSA-N 1 2 316.409 1.882 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2nnc(C)[nH]2)[C@H]1C ZINC000993324180 745990371 /nfs/dbraw/zinc/99/03/71/745990371.db2.gz GWKNCPVFRUJCAS-PWSUYJOCSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2nnc(C)[nH]2)[C@H]1C ZINC000993324180 745990373 /nfs/dbraw/zinc/99/03/73/745990373.db2.gz GWKNCPVFRUJCAS-PWSUYJOCSA-N 1 2 311.817 1.377 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1cncnc1 ZINC001007185755 752052434 /nfs/dbraw/zinc/05/24/34/752052434.db2.gz XFUXOKBKBVNPJP-SFHVURJKSA-N 1 2 320.396 1.723 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1cncnc1 ZINC001007185755 752052435 /nfs/dbraw/zinc/05/24/35/752052435.db2.gz XFUXOKBKBVNPJP-SFHVURJKSA-N 1 2 320.396 1.723 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001193320319 746061589 /nfs/dbraw/zinc/06/15/89/746061589.db2.gz RCFLLUXYXWETAQ-HUUCEWRRSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001193320319 746061591 /nfs/dbraw/zinc/06/15/91/746061591.db2.gz RCFLLUXYXWETAQ-HUUCEWRRSA-N 1 2 321.421 1.555 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](C[C@@H]3CC(C)(C)CO3)C2)nc1 ZINC001031278807 746120986 /nfs/dbraw/zinc/12/09/86/746120986.db2.gz YQXKSOHXUKKCJZ-HNNXBMFYSA-N 1 2 313.401 1.292 20 30 DDEDLO CCN(CCNc1ccc(C#N)nc1)C(=O)Cc1c[nH+]cn1C ZINC001106803198 746123285 /nfs/dbraw/zinc/12/32/85/746123285.db2.gz VFGYYUXFZATHOX-UHFFFAOYSA-N 1 2 312.377 1.190 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)[C@@H]1C ZINC000993560977 746219842 /nfs/dbraw/zinc/21/98/42/746219842.db2.gz YGYNACKKEYLAOQ-ZFWWWQNUSA-N 1 2 323.396 1.744 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)[C@@H]1C ZINC000993560977 746219846 /nfs/dbraw/zinc/21/98/46/746219846.db2.gz YGYNACKKEYLAOQ-ZFWWWQNUSA-N 1 2 323.396 1.744 20 30 DDEDLO N#Cc1cncc(C(=O)N2CC[NH+](CCc3ccccn3)CC2)c1 ZINC001194108917 746276187 /nfs/dbraw/zinc/27/61/87/746276187.db2.gz WIIXMSFILGCBRU-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)o2)C1 ZINC001194396436 746366176 /nfs/dbraw/zinc/36/61/76/746366176.db2.gz CMMRYQPZRWXZHI-ZIAGYGMSSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)o2)C1 ZINC001194396436 746366182 /nfs/dbraw/zinc/36/61/82/746366182.db2.gz CMMRYQPZRWXZHI-ZIAGYGMSSA-N 1 2 307.394 1.607 20 30 DDEDLO Cc1oncc1C[N@@H+]1CCCN(C(=O)CSCC#N)CC1 ZINC001194919334 746487376 /nfs/dbraw/zinc/48/73/76/746487376.db2.gz RXJGKQRBKCPRCO-UHFFFAOYSA-N 1 2 308.407 1.274 20 30 DDEDLO Cc1oncc1C[N@H+]1CCCN(C(=O)CSCC#N)CC1 ZINC001194919334 746487378 /nfs/dbraw/zinc/48/73/78/746487378.db2.gz RXJGKQRBKCPRCO-UHFFFAOYSA-N 1 2 308.407 1.274 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC1C[NH+](C[C@H]2CCCCO2)C1 ZINC001031314177 746532663 /nfs/dbraw/zinc/53/26/63/746532663.db2.gz MCGRVCUWYCXMJG-GOSISDBHSA-N 1 2 312.413 1.580 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)NC2CCCCC2)CC1 ZINC001195191286 746540858 /nfs/dbraw/zinc/54/08/58/746540858.db2.gz GUBZAUBNFJPUQM-UHFFFAOYSA-N 1 2 321.465 1.936 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)NC2CCCCC2)CC1 ZINC001195191286 746540862 /nfs/dbraw/zinc/54/08/62/746540862.db2.gz GUBZAUBNFJPUQM-UHFFFAOYSA-N 1 2 321.465 1.936 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+]([C@H](C)c2cnccn2)CC1 ZINC001195284348 746568973 /nfs/dbraw/zinc/56/89/73/746568973.db2.gz VGFKKRZRBZDTMG-CQSZACIVSA-N 1 2 304.394 1.275 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+]([C@H](C)c2cnccn2)CC1 ZINC001195284348 746568976 /nfs/dbraw/zinc/56/89/76/746568976.db2.gz VGFKKRZRBZDTMG-CQSZACIVSA-N 1 2 304.394 1.275 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1O ZINC001195307119 746572518 /nfs/dbraw/zinc/57/25/18/746572518.db2.gz OINXZXORPDYRPJ-HUUCEWRRSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1O ZINC001195307119 746572522 /nfs/dbraw/zinc/57/25/22/746572522.db2.gz OINXZXORPDYRPJ-HUUCEWRRSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001007341705 752105453 /nfs/dbraw/zinc/10/54/53/752105453.db2.gz BALGGHQFSCTCNJ-NWDGAFQWSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001007341705 752105456 /nfs/dbraw/zinc/10/54/56/752105456.db2.gz BALGGHQFSCTCNJ-NWDGAFQWSA-N 1 2 307.419 1.678 20 30 DDEDLO CCN(C)C(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785203 746703389 /nfs/dbraw/zinc/70/33/89/746703389.db2.gz GSGDIWNIWZVGHW-UHFFFAOYSA-N 1 2 307.438 1.049 20 30 DDEDLO CCN(C)C(=O)C[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785203 746703392 /nfs/dbraw/zinc/70/33/92/746703392.db2.gz GSGDIWNIWZVGHW-UHFFFAOYSA-N 1 2 307.438 1.049 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H]2Oc3ccccc3O[C@@H]2C)C1 ZINC001031378516 746712863 /nfs/dbraw/zinc/71/28/63/746712863.db2.gz VGMXKHZFJZVHQV-WBMJQRKESA-N 1 2 300.358 1.039 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@@H+](CC(=O)NCCC)CC2)CCC1 ZINC001196138147 746780521 /nfs/dbraw/zinc/78/05/21/746780521.db2.gz JKSKARGVNCTFRL-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@H+](CC(=O)NCCC)CC2)CCC1 ZINC001196138147 746780527 /nfs/dbraw/zinc/78/05/27/746780527.db2.gz JKSKARGVNCTFRL-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)C[C@H](C)Nc1cc[nH+]c(C)n1 ZINC001089397128 746784723 /nfs/dbraw/zinc/78/47/23/746784723.db2.gz SKIAWNJIYZCYPQ-QWHCGFSZSA-N 1 2 304.394 1.520 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001197062610 747051508 /nfs/dbraw/zinc/05/15/08/747051508.db2.gz UUIHWNXPLULEHA-SFHVURJKSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001197062610 747051515 /nfs/dbraw/zinc/05/15/15/747051515.db2.gz UUIHWNXPLULEHA-SFHVURJKSA-N 1 2 321.465 1.649 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)nn1C ZINC001031570864 747067472 /nfs/dbraw/zinc/06/74/72/747067472.db2.gz XWSUEMCGQJFWBU-UHFFFAOYSA-N 1 2 323.400 1.462 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cscn3)C2)s1 ZINC001031574072 747079986 /nfs/dbraw/zinc/07/99/86/747079986.db2.gz HPMVEPYJJLQEQU-UHFFFAOYSA-N 1 2 318.427 1.938 20 30 DDEDLO C[C@H](C[C@H](C)Nc1ccc(C#N)nc1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089490844 747090983 /nfs/dbraw/zinc/09/09/83/747090983.db2.gz VIUHXOIFMMWYQR-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cocn3)C2)s1 ZINC001031580525 747096452 /nfs/dbraw/zinc/09/64/52/747096452.db2.gz UWJAIPBNYORHMF-UHFFFAOYSA-N 1 2 302.359 1.470 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1NC(=O)C#CC(C)C ZINC001212241608 747147314 /nfs/dbraw/zinc/14/73/14/747147314.db2.gz UFDUFCOHVBUBHF-ZIAGYGMSSA-N 1 2 307.419 1.118 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1NC(=O)C#CC(C)C ZINC001212241608 747147321 /nfs/dbraw/zinc/14/73/21/747147321.db2.gz UFDUFCOHVBUBHF-ZIAGYGMSSA-N 1 2 307.419 1.118 20 30 DDEDLO N#Cc1ccc(C(=O)NCC2C[NH+](Cc3cncs3)C2)[nH]1 ZINC001031618614 747208374 /nfs/dbraw/zinc/20/83/74/747208374.db2.gz ADNSPPGRNYHRJC-UHFFFAOYSA-N 1 2 301.375 1.205 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(F)c2)[C@H](O)C1 ZINC001090022524 747339438 /nfs/dbraw/zinc/33/94/38/747339438.db2.gz IQIAXRODENXAQQ-ZIAGYGMSSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc(F)c2)[C@H](O)C1 ZINC001090022524 747339443 /nfs/dbraw/zinc/33/94/43/747339443.db2.gz IQIAXRODENXAQQ-ZIAGYGMSSA-N 1 2 312.772 1.743 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+]([C@H](C)C(N)=O)CC2)CCCCC1 ZINC001198220993 747410804 /nfs/dbraw/zinc/41/08/04/747410804.db2.gz CHEWVJMRVQZGNB-OAHLLOKOSA-N 1 2 321.465 1.921 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+]([C@H](C)C(N)=O)CC2)CCCCC1 ZINC001198220993 747410807 /nfs/dbraw/zinc/41/08/07/747410807.db2.gz CHEWVJMRVQZGNB-OAHLLOKOSA-N 1 2 321.465 1.921 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)OCCCCCC)[C@H](OC)C1 ZINC001212258379 747426384 /nfs/dbraw/zinc/42/63/84/747426384.db2.gz RGHVPFMPCUWIJS-ARFHVFGLSA-N 1 2 310.438 1.420 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)OCCCCCC)[C@H](OC)C1 ZINC001212258379 747426390 /nfs/dbraw/zinc/42/63/90/747426390.db2.gz RGHVPFMPCUWIJS-ARFHVFGLSA-N 1 2 310.438 1.420 20 30 DDEDLO C=CC(C)(C)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1cc[nH+]c1)C2 ZINC001003752181 747453323 /nfs/dbraw/zinc/45/33/23/747453323.db2.gz GCIKKMGGGIVPMV-MCIONIFRSA-N 1 2 316.405 1.343 20 30 DDEDLO C#CC1(O)C[NH+](CCCCCn2nnc(-c3ccccc3)n2)C1 ZINC001198450914 747495988 /nfs/dbraw/zinc/49/59/88/747495988.db2.gz QDWFUJORWRGNPB-UHFFFAOYSA-N 1 2 311.389 1.190 20 30 DDEDLO C=CCOc1ccc(CC(=O)N(C)C2C[NH+](CCOC)C2)cc1 ZINC001044344221 747808028 /nfs/dbraw/zinc/80/80/28/747808028.db2.gz GRDNJDNQEOHAOE-UHFFFAOYSA-N 1 2 318.417 1.583 20 30 DDEDLO Cn1cncc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031819444 747940669 /nfs/dbraw/zinc/94/06/69/747940669.db2.gz TXSRXAIOTQZNDC-UHFFFAOYSA-N 1 2 308.385 1.133 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001031823911 747953578 /nfs/dbraw/zinc/95/35/78/747953578.db2.gz RROYQESKKSVVEC-AWEZNQCLSA-N 1 2 313.829 1.045 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2scnc2C)[C@H](O)C1 ZINC001090092719 748222693 /nfs/dbraw/zinc/22/26/93/748222693.db2.gz GKQMFQBGMAZUBU-WDEREUQCSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2scnc2C)[C@H](O)C1 ZINC001090092719 748222704 /nfs/dbraw/zinc/22/27/04/748222704.db2.gz GKQMFQBGMAZUBU-WDEREUQCSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cccc3n[nH]nc32)C1 ZINC001033101337 748290630 /nfs/dbraw/zinc/29/06/30/748290630.db2.gz FIUZVMPVOBPHJB-LLVKDONJSA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cccc3n[nH]nc32)C1 ZINC001033101337 748290633 /nfs/dbraw/zinc/29/06/33/748290633.db2.gz FIUZVMPVOBPHJB-LLVKDONJSA-N 1 2 319.796 1.857 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2C[NH+](Cc3cc(C)no3)C2)c1 ZINC001031965622 748318634 /nfs/dbraw/zinc/31/86/34/748318634.db2.gz RCLOJBIYATXITJ-UHFFFAOYSA-N 1 2 310.357 1.221 20 30 DDEDLO C[C@H](C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1)n1cncn1 ZINC001004416009 748408695 /nfs/dbraw/zinc/40/86/95/748408695.db2.gz WHJHXWSKKPDKLQ-UKRRQHHQSA-N 1 2 316.409 1.066 20 30 DDEDLO C[C@H](C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1)n1cncn1 ZINC001004416009 748408698 /nfs/dbraw/zinc/40/86/98/748408698.db2.gz WHJHXWSKKPDKLQ-UKRRQHHQSA-N 1 2 316.409 1.066 20 30 DDEDLO Cc1ccc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)nn1 ZINC001004426902 748424245 /nfs/dbraw/zinc/42/42/45/748424245.db2.gz CRKAAJIAXQYDEK-MRXNPFEDSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1ccc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)nn1 ZINC001004426902 748424246 /nfs/dbraw/zinc/42/42/46/748424246.db2.gz CRKAAJIAXQYDEK-MRXNPFEDSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1nccc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004621850 748589173 /nfs/dbraw/zinc/58/91/73/748589173.db2.gz KFRVQARUNJUIKB-INIZCTEOSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1nccc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004621850 748589177 /nfs/dbraw/zinc/58/91/77/748589177.db2.gz KFRVQARUNJUIKB-INIZCTEOSA-N 1 2 313.405 1.625 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)Cc2ccc[nH]2)CC1 ZINC001004618492 748594521 /nfs/dbraw/zinc/59/45/21/748594521.db2.gz FGLDOCJTLHBCFO-INIZCTEOSA-N 1 2 300.406 1.784 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)Cc2ccc[nH]2)CC1 ZINC001004618492 748594524 /nfs/dbraw/zinc/59/45/24/748594524.db2.gz FGLDOCJTLHBCFO-INIZCTEOSA-N 1 2 300.406 1.784 20 30 DDEDLO Cc1cnoc1C(=O)NCC1C[NH+](Cc2ccc(C#N)s2)C1 ZINC001032097085 748622332 /nfs/dbraw/zinc/62/23/32/748622332.db2.gz LHXQTGRSIBIFQH-UHFFFAOYSA-N 1 2 316.386 1.778 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cncc(F)c3)C2)cn1 ZINC001032137133 748729409 /nfs/dbraw/zinc/72/94/09/748729409.db2.gz LIXQMIIBKGHUJT-UHFFFAOYSA-N 1 2 324.359 1.459 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)CC(F)F)C1 ZINC001108083016 748732495 /nfs/dbraw/zinc/73/24/95/748732495.db2.gz WAJJFVJIWBKJIR-SWLSCSKDSA-N 1 2 302.365 1.508 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)CC(F)F)C1 ZINC001108083016 748732497 /nfs/dbraw/zinc/73/24/97/748732497.db2.gz WAJJFVJIWBKJIR-SWLSCSKDSA-N 1 2 302.365 1.508 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(C)(C)CC)C2 ZINC001110594350 748806872 /nfs/dbraw/zinc/80/68/72/748806872.db2.gz QXCUYYRZOOCJLV-KFWWJZLASA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(C)(C)CC)C2 ZINC001110594350 748806877 /nfs/dbraw/zinc/80/68/77/748806877.db2.gz QXCUYYRZOOCJLV-KFWWJZLASA-N 1 2 319.449 1.284 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn(C(F)F)c2)C1 ZINC001108305873 761890188 /nfs/dbraw/zinc/89/01/88/761890188.db2.gz BXSDDCXAHFCHJL-CQSZACIVSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn(C(F)F)c2)C1 ZINC001108305873 761890199 /nfs/dbraw/zinc/89/01/99/761890199.db2.gz BXSDDCXAHFCHJL-CQSZACIVSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnoc2C2CC2)C1 ZINC001108317578 761890427 /nfs/dbraw/zinc/89/04/27/761890427.db2.gz BTNIUXHZAKYVCN-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnoc2C2CC2)C1 ZINC001108317578 761890434 /nfs/dbraw/zinc/89/04/34/761890434.db2.gz BTNIUXHZAKYVCN-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cccc(C(N)=O)c2)C1 ZINC001033209426 748926380 /nfs/dbraw/zinc/92/63/80/748926380.db2.gz UIMSJTBBKIOAPT-CQSZACIVSA-N 1 2 321.808 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cccc(C(N)=O)c2)C1 ZINC001033209426 748926383 /nfs/dbraw/zinc/92/63/83/748926383.db2.gz UIMSJTBBKIOAPT-CQSZACIVSA-N 1 2 321.808 1.684 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001033212247 748946089 /nfs/dbraw/zinc/94/60/89/748946089.db2.gz RUSIMEMENGRLOF-KBPBESRZSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001033212247 748946094 /nfs/dbraw/zinc/94/60/94/748946094.db2.gz RUSIMEMENGRLOF-KBPBESRZSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC/C=C\CNc1cc[nH+]c(C)n1 ZINC001107112213 749109594 /nfs/dbraw/zinc/10/95/94/749109594.db2.gz VVHJTQLEMDJDIG-FWWRYZNZSA-N 1 2 304.394 1.851 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CCc3ccco3)nn2)C1 ZINC001107122424 749159308 /nfs/dbraw/zinc/15/93/08/749159308.db2.gz CHLFLFVYZYRLMS-UHFFFAOYSA-N 1 2 315.377 1.163 20 30 DDEDLO CN(C(=O)c1cnco1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033308263 749167163 /nfs/dbraw/zinc/16/71/63/749167163.db2.gz GQGSIKZHPSVDNF-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C(=O)c1cnco1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033308263 749167168 /nfs/dbraw/zinc/16/71/68/749167168.db2.gz GQGSIKZHPSVDNF-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(C)C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC001033500994 749422279 /nfs/dbraw/zinc/42/22/79/749422279.db2.gz ROXIFCCTAKVLSL-VIFPVBQESA-N 1 2 302.300 1.761 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(C)C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC001033500994 749422284 /nfs/dbraw/zinc/42/22/84/749422284.db2.gz ROXIFCCTAKVLSL-VIFPVBQESA-N 1 2 302.300 1.761 20 30 DDEDLO C=CCNC(=O)N1CC2(C1)CC[N@@H+](Cc1csc(C)n1)C2 ZINC001202564879 749429875 /nfs/dbraw/zinc/42/98/75/749429875.db2.gz OSIILFHTLDTXBP-UHFFFAOYSA-N 1 2 306.435 1.855 20 30 DDEDLO C=CCNC(=O)N1CC2(C1)CC[N@H+](Cc1csc(C)n1)C2 ZINC001202564879 749429881 /nfs/dbraw/zinc/42/98/81/749429881.db2.gz OSIILFHTLDTXBP-UHFFFAOYSA-N 1 2 306.435 1.855 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C/C=C(/C)C=C)nn2)C1 ZINC001107197349 749560224 /nfs/dbraw/zinc/56/02/24/749560224.db2.gz DZSQSNCBBJABQU-MLPAPPSSSA-N 1 2 301.394 1.459 20 30 DDEDLO C[NH+](C)Cc1nc(CSCCNC(=O)C2(C#N)CC2)cs1 ZINC001143183396 749604056 /nfs/dbraw/zinc/60/40/56/749604056.db2.gz ZEZOILGFDZHELL-UHFFFAOYSA-N 1 2 324.475 1.858 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ncccc2OC)C1 ZINC001033619134 749616510 /nfs/dbraw/zinc/61/65/10/749616510.db2.gz ZKYGGRLTUQYBHW-GFCCVEGCSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ncccc2OC)C1 ZINC001033619134 749616516 /nfs/dbraw/zinc/61/65/16/749616516.db2.gz ZKYGGRLTUQYBHW-GFCCVEGCSA-N 1 2 309.797 1.989 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C(C)(C)CC=C)nn2)C1 ZINC001107213457 749617801 /nfs/dbraw/zinc/61/78/01/749617801.db2.gz BOWLECNBBJFHHN-UHFFFAOYSA-N 1 2 315.421 1.377 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C/CNc2cc[nH+]c(C)n2)nc1 ZINC001107268865 749711832 /nfs/dbraw/zinc/71/18/32/749711832.db2.gz BZRFZXHLXJEEEE-SNAWJCMRSA-N 1 2 307.357 1.559 20 30 DDEDLO Cc1nc(NC/C=C/CNC(=O)CCn2cc[nH+]c2)ccc1C#N ZINC001107446073 749968019 /nfs/dbraw/zinc/96/80/19/749968019.db2.gz XURFTEXICMCRTN-NSCUHMNNSA-N 1 2 324.388 1.633 20 30 DDEDLO Cc1nc(NC/C=C\CNC(=O)CCn2cc[nH+]c2)ccc1C#N ZINC001107446072 749968056 /nfs/dbraw/zinc/96/80/56/749968056.db2.gz XURFTEXICMCRTN-IHWYPQMZSA-N 1 2 324.388 1.633 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nnc(C)[nH]1)C2 ZINC001111650033 749978574 /nfs/dbraw/zinc/97/85/74/749978574.db2.gz BFFNHDAPTLTGSE-BBRMVZONSA-N 1 2 303.410 1.550 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nnc(C)[nH]1)C2 ZINC001111650033 749978580 /nfs/dbraw/zinc/97/85/80/749978580.db2.gz BFFNHDAPTLTGSE-BBRMVZONSA-N 1 2 303.410 1.550 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(-c3ccccc3)co2)C1 ZINC001047652824 750103816 /nfs/dbraw/zinc/10/38/16/750103816.db2.gz BJTODDYTLVUWJY-IRXDYDNUSA-N 1 2 324.380 1.697 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(-c3ccccc3)co2)C1 ZINC001047652824 750103821 /nfs/dbraw/zinc/10/38/21/750103821.db2.gz BJTODDYTLVUWJY-IRXDYDNUSA-N 1 2 324.380 1.697 20 30 DDEDLO N#Cc1cccnc1NC/C=C\CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001107572432 750151523 /nfs/dbraw/zinc/15/15/23/750151523.db2.gz YZLZROYJXPLKQD-KOMGFDSOSA-N 1 2 322.372 1.564 20 30 DDEDLO N#Cc1cccnc1NC/C=C\CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001107572432 750151529 /nfs/dbraw/zinc/15/15/29/750151529.db2.gz YZLZROYJXPLKQD-KOMGFDSOSA-N 1 2 322.372 1.564 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3ocnc3C)C[C@H]2O)CC1 ZINC001077625182 750157736 /nfs/dbraw/zinc/15/77/36/750157736.db2.gz UJPTZAUFKYGAFQ-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3ocnc3C)C[C@H]2O)CC1 ZINC001077625182 750157743 /nfs/dbraw/zinc/15/77/43/750157743.db2.gz UJPTZAUFKYGAFQ-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+]([C@@H](C)c3cnccn3)C[C@H]2O)C1 ZINC001077641934 750255234 /nfs/dbraw/zinc/25/52/34/750255234.db2.gz ORYOYAKWYLHVAY-NWANDNLSSA-N 1 2 316.405 1.055 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+]([C@@H](C)c3cnccn3)C[C@H]2O)C1 ZINC001077641934 750255240 /nfs/dbraw/zinc/25/52/40/750255240.db2.gz ORYOYAKWYLHVAY-NWANDNLSSA-N 1 2 316.405 1.055 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001110989825 750284940 /nfs/dbraw/zinc/28/49/40/750284940.db2.gz XCTFZYHXCFIFRZ-UTXMOHQDSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001110989825 750284945 /nfs/dbraw/zinc/28/49/45/750284945.db2.gz XCTFZYHXCFIFRZ-UTXMOHQDSA-N 1 2 317.437 1.639 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC001108112719 750328829 /nfs/dbraw/zinc/32/88/29/750328829.db2.gz ZDMFXKOZQUNYOR-INIZCTEOSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC001108112719 750328836 /nfs/dbraw/zinc/32/88/36/750328836.db2.gz ZDMFXKOZQUNYOR-INIZCTEOSA-N 1 2 306.410 1.369 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C)ccn2C)[C@@H](O)C1 ZINC001090219360 750497327 /nfs/dbraw/zinc/49/73/27/750497327.db2.gz OQGVZJVTABDYDT-OLZOCXBDSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)ccn2C)[C@@H](O)C1 ZINC001090219360 750497332 /nfs/dbraw/zinc/49/73/32/750497332.db2.gz OQGVZJVTABDYDT-OLZOCXBDSA-N 1 2 311.813 1.251 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CC2(C)CCCC2)[C@@H](n2ccnn2)C1 ZINC001128966566 750539601 /nfs/dbraw/zinc/53/96/01/750539601.db2.gz CDDMYDONWTVQQJ-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CC2(C)CCCC2)[C@@H](n2ccnn2)C1 ZINC001128966566 750539603 /nfs/dbraw/zinc/53/96/03/750539603.db2.gz CDDMYDONWTVQQJ-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCCOCC2)C1 ZINC001107908980 750615343 /nfs/dbraw/zinc/61/53/43/750615343.db2.gz SRLFBUMKWRMBFQ-WBVHZDCISA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCCOCC2)C1 ZINC001107908980 750615345 /nfs/dbraw/zinc/61/53/45/750615345.db2.gz SRLFBUMKWRMBFQ-WBVHZDCISA-N 1 2 308.422 1.034 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1sc2nccn2c1C ZINC001032450353 750865331 /nfs/dbraw/zinc/86/53/31/750865331.db2.gz AACHVXJUYJDENL-RYUDHWBXSA-N 1 2 302.403 1.789 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1sc2nccn2c1C ZINC001032450353 750865337 /nfs/dbraw/zinc/86/53/37/750865337.db2.gz AACHVXJUYJDENL-RYUDHWBXSA-N 1 2 302.403 1.789 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C(F)(F)F)nc1 ZINC001032465562 750894473 /nfs/dbraw/zinc/89/44/73/750894473.db2.gz KOOPIHMSQJGDEU-QWRGUYRKSA-N 1 2 312.295 1.580 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C(F)(F)F)nc1 ZINC001032465562 750894478 /nfs/dbraw/zinc/89/44/78/750894478.db2.gz KOOPIHMSQJGDEU-QWRGUYRKSA-N 1 2 312.295 1.580 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]([C@@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001114637742 750907000 /nfs/dbraw/zinc/90/70/00/750907000.db2.gz UHYYPYTXQNJTDC-UKRRQHHQSA-N 1 2 316.405 1.616 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001114711754 751013051 /nfs/dbraw/zinc/01/30/51/751013051.db2.gz AFTFPDCXTFRYDL-NYTXWWLZSA-N 1 2 302.422 1.569 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001114711754 751013058 /nfs/dbraw/zinc/01/30/58/751013058.db2.gz AFTFPDCXTFRYDL-NYTXWWLZSA-N 1 2 302.422 1.569 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC001034935219 751047670 /nfs/dbraw/zinc/04/76/70/751047670.db2.gz JBAHSCOPLVUUGO-CQSZACIVSA-N 1 2 316.405 1.687 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2cc(C)ccc2O1 ZINC001032522171 751184031 /nfs/dbraw/zinc/18/40/31/751184031.db2.gz YEQMJUAQLOGAFY-BQFCYCMXSA-N 1 2 310.397 1.607 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2cc(C)ccc2O1 ZINC001032522171 751184034 /nfs/dbraw/zinc/18/40/34/751184034.db2.gz YEQMJUAQLOGAFY-BQFCYCMXSA-N 1 2 310.397 1.607 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2nc(C)n(C)c2c1 ZINC001032558180 751307191 /nfs/dbraw/zinc/30/71/91/751307191.db2.gz JENBROQYXMHACP-HOTGVXAUSA-N 1 2 322.412 1.804 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2nc(C)n(C)c2c1 ZINC001032558180 751307198 /nfs/dbraw/zinc/30/71/98/751307198.db2.gz JENBROQYXMHACP-HOTGVXAUSA-N 1 2 322.412 1.804 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[C@@H]([NH2+]Cc3cnsn3)C2)[nH]1 ZINC000998963355 752391098 /nfs/dbraw/zinc/39/10/98/752391098.db2.gz WOASRRIUJCENHW-LLVKDONJSA-N 1 2 316.390 1.132 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CCC[N@H+](CC(=O)NC3CC3)C2)CC1 ZINC001008409803 752715534 /nfs/dbraw/zinc/71/55/34/752715534.db2.gz HIZXJSAEAUGWLA-INIZCTEOSA-N 1 2 319.449 1.592 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CCC[N@@H+](CC(=O)NC3CC3)C2)CC1 ZINC001008409803 752715539 /nfs/dbraw/zinc/71/55/39/752715539.db2.gz HIZXJSAEAUGWLA-INIZCTEOSA-N 1 2 319.449 1.592 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)c2ccncn2)C1 ZINC001008922928 752984047 /nfs/dbraw/zinc/98/40/47/752984047.db2.gz IIKJLYHLUXFADB-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)c2ccncn2)C1 ZINC001008922928 752984055 /nfs/dbraw/zinc/98/40/55/752984055.db2.gz IIKJLYHLUXFADB-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2CCC(OC)CC2)C1 ZINC001108167852 753264964 /nfs/dbraw/zinc/26/49/64/753264964.db2.gz RTYPXWAQQIGBJQ-DQPZFDDXSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2CCC(OC)CC2)C1 ZINC001108167852 753264968 /nfs/dbraw/zinc/26/49/68/753264968.db2.gz RTYPXWAQQIGBJQ-DQPZFDDXSA-N 1 2 310.438 1.585 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(-c3ccccc3)ccn2)C1 ZINC001077895971 753353693 /nfs/dbraw/zinc/35/36/93/753353693.db2.gz HXYLRQXPOQFQKE-QZTJIDSGSA-N 1 2 321.380 1.157 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(-c3ccccc3)ccn2)C1 ZINC001077895971 753353697 /nfs/dbraw/zinc/35/36/97/753353697.db2.gz HXYLRQXPOQFQKE-QZTJIDSGSA-N 1 2 321.380 1.157 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C2CCCC2)c1C ZINC001032723136 753355987 /nfs/dbraw/zinc/35/59/87/753355987.db2.gz RZNXHKICTNAEPE-HOTGVXAUSA-N 1 2 312.417 1.839 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C2CCCC2)c1C ZINC001032723136 753355991 /nfs/dbraw/zinc/35/59/91/753355991.db2.gz RZNXHKICTNAEPE-HOTGVXAUSA-N 1 2 312.417 1.839 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnc(C3CC3)o2)C1 ZINC001108001617 753465122 /nfs/dbraw/zinc/46/51/22/753465122.db2.gz IXWKMKAAGWEXEM-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnc(C3CC3)o2)C1 ZINC001108001617 753465127 /nfs/dbraw/zinc/46/51/27/753465127.db2.gz IXWKMKAAGWEXEM-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C[C@H](CNc1nccnc1C#N)NC(=O)c1cccc2[nH+]ccn21 ZINC001108008435 753497636 /nfs/dbraw/zinc/49/76/36/753497636.db2.gz SIIYICYQZXYWJB-LLVKDONJSA-N 1 2 321.344 1.226 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)no1 ZINC001010021574 753550912 /nfs/dbraw/zinc/55/09/12/753550912.db2.gz MECSAALTFLQUGH-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)no1 ZINC001010021574 753550921 /nfs/dbraw/zinc/55/09/21/753550921.db2.gz MECSAALTFLQUGH-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn(C)c2Cl)C1 ZINC001108024931 753575707 /nfs/dbraw/zinc/57/57/07/753575707.db2.gz QSLRJEYKHOHFDI-CQSZACIVSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn(C)c2Cl)C1 ZINC001108024931 753575708 /nfs/dbraw/zinc/57/57/08/753575708.db2.gz QSLRJEYKHOHFDI-CQSZACIVSA-N 1 2 312.801 1.080 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2cc[nH]c2)[C@H](O)C1 ZINC001090286984 753639130 /nfs/dbraw/zinc/63/91/30/753639130.db2.gz RNNFTBIRVRIISM-IAGOWNOFSA-N 1 2 324.384 1.252 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2cc[nH]c2)[C@H](O)C1 ZINC001090286984 753639131 /nfs/dbraw/zinc/63/91/31/753639131.db2.gz RNNFTBIRVRIISM-IAGOWNOFSA-N 1 2 324.384 1.252 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc2cc(C)nn21 ZINC001032790265 753736124 /nfs/dbraw/zinc/73/61/24/753736124.db2.gz BTMLAJTVUYPBLT-GJZGRUSLSA-N 1 2 323.400 1.268 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc2cc(C)nn21 ZINC001032790265 753736128 /nfs/dbraw/zinc/73/61/28/753736128.db2.gz BTMLAJTVUYPBLT-GJZGRUSLSA-N 1 2 323.400 1.268 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC001010457320 753937400 /nfs/dbraw/zinc/93/74/00/753937400.db2.gz KWBOEHHCMDSPLW-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC001010457320 753937406 /nfs/dbraw/zinc/93/74/06/753937406.db2.gz KWBOEHHCMDSPLW-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO C=C(C)CCC(=O)NCC1(NC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001063256935 754056821 /nfs/dbraw/zinc/05/68/21/754056821.db2.gz JCBYRRXCPIIKGP-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)ncn1 ZINC001010647976 754079056 /nfs/dbraw/zinc/07/90/56/754079056.db2.gz CULBBBGLNUKHJP-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)ncn1 ZINC001010647976 754079060 /nfs/dbraw/zinc/07/90/60/754079060.db2.gz CULBBBGLNUKHJP-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H]1CNc1ccc(C#N)cn1 ZINC001063506015 754197883 /nfs/dbraw/zinc/19/78/83/754197883.db2.gz OCCLAUHFJUVJBE-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001063574594 754233346 /nfs/dbraw/zinc/23/33/46/754233346.db2.gz RMNZAOPNAJPOGB-OAHLLOKOSA-N 1 2 324.388 1.134 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CCCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001063574594 754233359 /nfs/dbraw/zinc/23/33/59/754233359.db2.gz RMNZAOPNAJPOGB-OAHLLOKOSA-N 1 2 324.388 1.134 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001011394851 754496170 /nfs/dbraw/zinc/49/61/70/754496170.db2.gz YDZCQKUMYYFLRK-LLVKDONJSA-N 1 2 311.345 1.007 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001011890361 754758500 /nfs/dbraw/zinc/75/85/00/754758500.db2.gz CVSNBQOOLDFAGU-UHFFFAOYSA-N 1 2 304.394 1.225 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cnccc2C)[C@@H](O)C1 ZINC001090332676 754955394 /nfs/dbraw/zinc/95/53/94/754955394.db2.gz CGHMKCPGTCNUMS-CABCVRRESA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cnccc2C)[C@@H](O)C1 ZINC001090332676 754955395 /nfs/dbraw/zinc/95/53/95/754955395.db2.gz CGHMKCPGTCNUMS-CABCVRRESA-N 1 2 323.824 1.236 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H]2CCCN2C(=O)Cn2cc[nH+]c2)n1 ZINC001064924975 754958122 /nfs/dbraw/zinc/95/81/22/754958122.db2.gz DQCDGKQBFSXKFY-HNNXBMFYSA-N 1 2 324.388 1.561 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001079469728 755363203 /nfs/dbraw/zinc/36/32/03/755363203.db2.gz SIAJEKYTJBXBFZ-UHFFFAOYSA-N 1 2 318.421 1.378 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@]2(C1)CCC[N@H+](Cc1ncccn1)C2 ZINC001040120671 762393928 /nfs/dbraw/zinc/39/39/28/762393928.db2.gz ONNLSMHURMMEHO-RHSMWYFYSA-N 1 2 313.405 1.451 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@]2(C1)CCC[N@@H+](Cc1ncccn1)C2 ZINC001040120671 762393935 /nfs/dbraw/zinc/39/39/35/762393935.db2.gz ONNLSMHURMMEHO-RHSMWYFYSA-N 1 2 313.405 1.451 20 30 DDEDLO Cc1ccc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)nn1 ZINC001014408245 755723650 /nfs/dbraw/zinc/72/36/50/755723650.db2.gz OOKZHMJEXQWFCD-KRWDZBQOSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1ccc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)nn1 ZINC001014408245 755723654 /nfs/dbraw/zinc/72/36/54/755723654.db2.gz OOKZHMJEXQWFCD-KRWDZBQOSA-N 1 2 320.396 1.641 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001080209746 755765924 /nfs/dbraw/zinc/76/59/24/755765924.db2.gz ALTTUJOALSYVEF-CZUORRHYSA-N 1 2 309.373 1.446 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H](N(C)c3cc[nH+]c(C)n3)C2)c1 ZINC001057027453 762422003 /nfs/dbraw/zinc/42/20/03/762422003.db2.gz IFHYIURPOVIEMS-INIZCTEOSA-N 1 2 321.384 1.512 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001040172820 762422773 /nfs/dbraw/zinc/42/27/73/762422773.db2.gz LAUXQIIBJAJNKD-FZMZJTMJSA-N 1 2 310.361 1.320 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C2(C)CCOCC2)C1 ZINC001080625276 756009302 /nfs/dbraw/zinc/00/93/02/756009302.db2.gz ZHSGYKOMBGFJBC-DGCLKSJQSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C2(C)CCOCC2)C1 ZINC001080625276 756009306 /nfs/dbraw/zinc/00/93/06/756009306.db2.gz ZHSGYKOMBGFJBC-DGCLKSJQSA-N 1 2 300.830 1.992 20 30 DDEDLO CCN(C(=O)Cc1c[nH+]c[nH]1)C1CN(C(=O)C#CC(C)(C)C)C1 ZINC001080628516 756010821 /nfs/dbraw/zinc/01/08/21/756010821.db2.gz REOWQTOHFBRNKS-UHFFFAOYSA-N 1 2 316.405 1.061 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CCCC(C)C)CC2=O)C1 ZINC001108575310 762723002 /nfs/dbraw/zinc/72/30/02/762723002.db2.gz BQJFLOJBEVJHOX-HNNXBMFYSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccnc3[nH]c(C)nc32)C1 ZINC001015360751 756263536 /nfs/dbraw/zinc/26/35/36/756263536.db2.gz KAVDZUXJEMBJHR-LLVKDONJSA-N 1 2 319.796 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccnc3[nH]c(C)nc32)C1 ZINC001015360751 756263537 /nfs/dbraw/zinc/26/35/37/756263537.db2.gz KAVDZUXJEMBJHR-LLVKDONJSA-N 1 2 319.796 1.823 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001015557385 756373370 /nfs/dbraw/zinc/37/33/70/756373370.db2.gz OXODDFFZRVWWJY-MWDXBVQZSA-N 1 2 311.385 1.141 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001015557385 756373374 /nfs/dbraw/zinc/37/33/74/756373374.db2.gz OXODDFFZRVWWJY-MWDXBVQZSA-N 1 2 311.385 1.141 20 30 DDEDLO CO[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC001081540758 756387084 /nfs/dbraw/zinc/38/70/84/756387084.db2.gz QJTZCVPYGIFXII-IAWSGBMASA-N 1 2 308.769 1.068 20 30 DDEDLO CO[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC001081540758 756387089 /nfs/dbraw/zinc/38/70/89/756387089.db2.gz QJTZCVPYGIFXII-IAWSGBMASA-N 1 2 308.769 1.068 20 30 DDEDLO C=CCC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCc3cn[nH]c32)[C@H](OC)C1 ZINC001081673013 756424314 /nfs/dbraw/zinc/42/43/14/756424314.db2.gz SSNKXGXULGOTGO-RRFJBIMHSA-N 1 2 318.421 1.221 20 30 DDEDLO C=CCC[N@H+]1C[C@@H](NC(=O)[C@H]2CCCc3cn[nH]c32)[C@H](OC)C1 ZINC001081673013 756424318 /nfs/dbraw/zinc/42/43/18/756424318.db2.gz SSNKXGXULGOTGO-RRFJBIMHSA-N 1 2 318.421 1.221 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@@H+](Cc3cnnn3CC)C2)CC1 ZINC001015660124 756443923 /nfs/dbraw/zinc/44/39/23/756443923.db2.gz SCGBQNMHPKGSQM-HNNXBMFYSA-N 1 2 317.437 1.735 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@H+](Cc3cnnn3CC)C2)CC1 ZINC001015660124 756443925 /nfs/dbraw/zinc/44/39/25/756443925.db2.gz SCGBQNMHPKGSQM-HNNXBMFYSA-N 1 2 317.437 1.735 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3nc(C)oc3c2)[C@H](OC)C1 ZINC001081818830 756494221 /nfs/dbraw/zinc/49/42/21/756494221.db2.gz YTXXNIWVJFLACA-GDBMZVCRSA-N 1 2 313.357 1.198 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3nc(C)oc3c2)[C@H](OC)C1 ZINC001081818830 756494224 /nfs/dbraw/zinc/49/42/24/756494224.db2.gz YTXXNIWVJFLACA-GDBMZVCRSA-N 1 2 313.357 1.198 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H](Nc3cc[nH+]c(C)n3)[C@@H](C)C2)cn1 ZINC001067124867 756601682 /nfs/dbraw/zinc/60/16/82/756601682.db2.gz FQCAMGJAKHCRBU-LRDDRELGSA-N 1 2 321.384 1.734 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001082132373 756648585 /nfs/dbraw/zinc/64/85/85/756648585.db2.gz XXAGINBOQYXVMA-HNNXBMFYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001082132373 756648588 /nfs/dbraw/zinc/64/85/88/756648588.db2.gz XXAGINBOQYXVMA-HNNXBMFYSA-N 1 2 318.421 1.806 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](C)[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001067127035 756668239 /nfs/dbraw/zinc/66/82/39/756668239.db2.gz LCKWQTAQDWYYAS-ABAIWWIYSA-N 1 2 324.388 1.486 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(C)C)no2)[C@H](OC)C1 ZINC001082198813 756672647 /nfs/dbraw/zinc/67/26/47/756672647.db2.gz NYORULRHLFLDSW-UKRRQHHQSA-N 1 2 305.378 1.250 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(C)C)no2)[C@H](OC)C1 ZINC001082198813 756672651 /nfs/dbraw/zinc/67/26/51/756672651.db2.gz NYORULRHLFLDSW-UKRRQHHQSA-N 1 2 305.378 1.250 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001082251366 756709164 /nfs/dbraw/zinc/70/91/64/756709164.db2.gz LFBACPJPCMQLCT-AWEZNQCLSA-N 1 2 316.405 1.109 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cncs3)C2)cn1 ZINC001016035771 756734987 /nfs/dbraw/zinc/73/49/87/756734987.db2.gz ZPDSQKMBLXFTTP-CQSZACIVSA-N 1 2 312.398 1.524 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cncs3)C2)cn1 ZINC001016035771 756734991 /nfs/dbraw/zinc/73/49/91/756734991.db2.gz ZPDSQKMBLXFTTP-CQSZACIVSA-N 1 2 312.398 1.524 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(CC)o2)[C@H](OC)C1 ZINC001082323254 756738082 /nfs/dbraw/zinc/73/80/82/756738082.db2.gz LNXSSXRXPIILIT-CZUORRHYSA-N 1 2 304.390 1.603 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(CC)o2)[C@H](OC)C1 ZINC001082323254 756738084 /nfs/dbraw/zinc/73/80/84/756738084.db2.gz LNXSSXRXPIILIT-CZUORRHYSA-N 1 2 304.390 1.603 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001097180533 756953856 /nfs/dbraw/zinc/95/38/56/756953856.db2.gz QQCAOAKWPZGQOK-BETUJISGSA-N 1 2 310.361 1.368 20 30 DDEDLO CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3ccc(C#N)s3)C[C@@H]21 ZINC001082979643 757013174 /nfs/dbraw/zinc/01/31/74/757013174.db2.gz NLUHBLMPWLCBSG-LSDHHAIUSA-N 1 2 319.430 1.831 20 30 DDEDLO CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccc(C#N)s3)C[C@@H]21 ZINC001082979643 757013186 /nfs/dbraw/zinc/01/31/86/757013186.db2.gz NLUHBLMPWLCBSG-LSDHHAIUSA-N 1 2 319.430 1.831 20 30 DDEDLO Cc1nc(N2CCC[C@H](CNC(=O)CSCC#N)C2)cc[nH+]1 ZINC001097288131 757072255 /nfs/dbraw/zinc/07/22/55/757072255.db2.gz FPWFHHWIPILFCX-CYBMUJFWSA-N 1 2 319.434 1.374 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3csc(C)c3C)[C@H]2C1 ZINC001083093162 757131931 /nfs/dbraw/zinc/13/19/31/757131931.db2.gz ULZHURUUASRARC-JKSUJKDBSA-N 1 2 318.442 1.913 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3csc(C)c3C)[C@H]2C1 ZINC001083093162 757131936 /nfs/dbraw/zinc/13/19/36/757131936.db2.gz ULZHURUUASRARC-JKSUJKDBSA-N 1 2 318.442 1.913 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C3CCCCCCC3)[C@H]2C1 ZINC001083139224 757152988 /nfs/dbraw/zinc/15/29/88/757152988.db2.gz NBRCXTUMVSYQGE-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C3CCCCCCC3)[C@H]2C1 ZINC001083139224 757152994 /nfs/dbraw/zinc/15/29/94/757152994.db2.gz NBRCXTUMVSYQGE-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccn(C(C)C)c3C)[C@H]2C1 ZINC001083171828 757174808 /nfs/dbraw/zinc/17/48/08/757174808.db2.gz XIJKWYKEQNGNPK-DLBZAZTESA-N 1 2 315.417 1.536 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccn(C(C)C)c3C)[C@H]2C1 ZINC001083171828 757174813 /nfs/dbraw/zinc/17/48/13/757174813.db2.gz XIJKWYKEQNGNPK-DLBZAZTESA-N 1 2 315.417 1.536 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2csc3c2CCCC3)[C@@H](O)C1 ZINC001084035124 757236965 /nfs/dbraw/zinc/23/69/65/757236965.db2.gz CFDMQCPRSYQXKQ-KGLIPLIRSA-N 1 2 304.415 1.035 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2csc3c2CCCC3)[C@@H](O)C1 ZINC001084035124 757236970 /nfs/dbraw/zinc/23/69/70/757236970.db2.gz CFDMQCPRSYQXKQ-KGLIPLIRSA-N 1 2 304.415 1.035 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@]2(C1)CCCN(CC#N)C2)n1cc[nH+]c1 ZINC001040425917 762532544 /nfs/dbraw/zinc/53/25/44/762532544.db2.gz UJJDILQJLDTBFS-NVXWUHKLSA-N 1 2 315.421 1.672 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001097584085 757275796 /nfs/dbraw/zinc/27/57/96/757275796.db2.gz ZXGJXEISMWCKFG-MPRPFWIISA-N 1 2 300.406 1.794 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)n3cncn3)[C@@H]2C1 ZINC001084291284 757444115 /nfs/dbraw/zinc/44/41/15/757444115.db2.gz RDANZXXKBKCHCX-YNEHKIRRSA-N 1 2 309.801 1.124 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)n3cncn3)[C@@H]2C1 ZINC001084291284 757444121 /nfs/dbraw/zinc/44/41/21/757444121.db2.gz RDANZXXKBKCHCX-YNEHKIRRSA-N 1 2 309.801 1.124 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cnn(C)c3CC)[C@@H]2C1 ZINC001084481528 757604993 /nfs/dbraw/zinc/60/49/93/757604993.db2.gz FVHAXBDXKWMTJO-IUODEOHRSA-N 1 2 322.840 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cnn(C)c3CC)[C@@H]2C1 ZINC001084481528 757604998 /nfs/dbraw/zinc/60/49/98/757604998.db2.gz FVHAXBDXKWMTJO-IUODEOHRSA-N 1 2 322.840 1.881 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](Cc3cc(OC)no3)C[C@H]21 ZINC001084578029 757679280 /nfs/dbraw/zinc/67/92/80/757679280.db2.gz GJRXQXOHQSCAEP-TZMCWYRMSA-N 1 2 319.405 1.928 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@H+](Cc3cc(OC)no3)C[C@H]21 ZINC001084578029 757679286 /nfs/dbraw/zinc/67/92/86/757679286.db2.gz GJRXQXOHQSCAEP-TZMCWYRMSA-N 1 2 319.405 1.928 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H]1CCCN(CC#N)CC1 ZINC001052725405 757691870 /nfs/dbraw/zinc/69/18/70/757691870.db2.gz OGBRICNAHQIDDU-BFHYXJOUSA-N 1 2 301.394 1.018 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3sc(C)cc3OC)[C@@H]2C1 ZINC001084700341 757789860 /nfs/dbraw/zinc/78/98/60/757789860.db2.gz CLPGTWZLRVNCNS-CHWSQXEVSA-N 1 2 304.415 1.845 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3sc(C)cc3OC)[C@@H]2C1 ZINC001084700341 757789865 /nfs/dbraw/zinc/78/98/65/757789865.db2.gz CLPGTWZLRVNCNS-CHWSQXEVSA-N 1 2 304.415 1.845 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cc(CC)nn3C)[C@@H]2C1 ZINC001084738605 757848973 /nfs/dbraw/zinc/84/89/73/757848973.db2.gz RJDSPIODCZLISF-IUODEOHRSA-N 1 2 322.840 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(CC)nn3C)[C@@H]2C1 ZINC001084738605 757848982 /nfs/dbraw/zinc/84/89/82/757848982.db2.gz RJDSPIODCZLISF-IUODEOHRSA-N 1 2 322.840 1.881 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N(C)C)cc1F ZINC001017618147 758086716 /nfs/dbraw/zinc/08/67/16/758086716.db2.gz XTIHSCOQGYCUOA-GASCZTMLSA-N 1 2 315.392 1.814 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N(C)C)cc1F ZINC001017618147 758086724 /nfs/dbraw/zinc/08/67/24/758086724.db2.gz XTIHSCOQGYCUOA-GASCZTMLSA-N 1 2 315.392 1.814 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099855373 758107684 /nfs/dbraw/zinc/10/76/84/758107684.db2.gz HANVAPOPWPABHL-KGLIPLIRSA-N 1 2 323.462 1.859 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099855373 758107689 /nfs/dbraw/zinc/10/76/89/758107689.db2.gz HANVAPOPWPABHL-KGLIPLIRSA-N 1 2 323.462 1.859 20 30 DDEDLO CC#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001017662277 758130831 /nfs/dbraw/zinc/13/08/31/758130831.db2.gz FZAADVSXFVKKNF-GASCZTMLSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c[nH]ccc-2n1 ZINC001017662277 758130838 /nfs/dbraw/zinc/13/08/38/758130838.db2.gz FZAADVSXFVKKNF-GASCZTMLSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c[nH]ccc-2n1 ZINC001017662277 758130843 /nfs/dbraw/zinc/13/08/43/758130843.db2.gz FZAADVSXFVKKNF-GASCZTMLSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC(=O)Nc2ccccc21 ZINC001017780465 758232591 /nfs/dbraw/zinc/23/25/91/758232591.db2.gz NAINVTPUQHYSMM-IJEWVQPXSA-N 1 2 323.396 1.421 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC(=O)Nc2ccccc21 ZINC001017780465 758232594 /nfs/dbraw/zinc/23/25/94/758232594.db2.gz NAINVTPUQHYSMM-IJEWVQPXSA-N 1 2 323.396 1.421 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnc(C)s1)CCO2 ZINC001053184775 758245224 /nfs/dbraw/zinc/24/52/24/758245224.db2.gz CJSYMGOFXZKIFL-UHFFFAOYSA-N 1 2 307.419 1.554 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1oc(C)nc1C)CCO2 ZINC001053202538 758259713 /nfs/dbraw/zinc/25/97/13/758259713.db2.gz XLDGSVPNZUBIQC-UHFFFAOYSA-N 1 2 305.378 1.394 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C1CC(F)(F)C1)CCO2 ZINC001053211306 758269407 /nfs/dbraw/zinc/26/94/07/758269407.db2.gz GUVOSLIFURPRTM-UHFFFAOYSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cn(C(C)C)cn1)CCO2 ZINC001053359659 758401049 /nfs/dbraw/zinc/40/10/49/758401049.db2.gz QXIRHERSFPPPPN-UHFFFAOYSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccn(C)c1C)CCO2 ZINC001053416212 758445930 /nfs/dbraw/zinc/44/59/30/758445930.db2.gz RHXMTMYLRSZEKJ-UHFFFAOYSA-N 1 2 303.406 1.436 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC001018137300 758572464 /nfs/dbraw/zinc/57/24/64/758572464.db2.gz KDVZDRMZLJASRG-CALCHBBNSA-N 1 2 320.396 1.999 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC001018137300 758572468 /nfs/dbraw/zinc/57/24/68/758572468.db2.gz KDVZDRMZLJASRG-CALCHBBNSA-N 1 2 320.396 1.999 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccnc(F)c1)O2 ZINC001053583088 758595129 /nfs/dbraw/zinc/59/51/29/758595129.db2.gz UNUMMOFFABKDFJ-AWEZNQCLSA-N 1 2 319.380 1.760 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cncc(F)c1)O2 ZINC001053588612 758604798 /nfs/dbraw/zinc/60/47/98/758604798.db2.gz YWNXGPFPGOPKQN-HNNXBMFYSA-N 1 2 319.380 1.760 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(Cl)n(C)n1)O2 ZINC001053626180 758643977 /nfs/dbraw/zinc/64/39/77/758643977.db2.gz PGMMNGJCHLTYFO-LLVKDONJSA-N 1 2 324.812 1.223 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c(cn1)OCCC2 ZINC001018225178 758647408 /nfs/dbraw/zinc/64/74/08/758647408.db2.gz ZAOHKUATAHXBBK-GASCZTMLSA-N 1 2 311.385 1.329 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c(cn1)OCCC2 ZINC001018225178 758647411 /nfs/dbraw/zinc/64/74/11/758647411.db2.gz ZAOHKUATAHXBBK-GASCZTMLSA-N 1 2 311.385 1.329 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COc2ccccc2O1 ZINC001018238727 758658509 /nfs/dbraw/zinc/65/85/09/758658509.db2.gz JLMKVKSHMKLONW-VBQJREDUSA-N 1 2 312.369 1.135 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COc2ccccc2O1 ZINC001018238727 758658511 /nfs/dbraw/zinc/65/85/11/758658511.db2.gz JLMKVKSHMKLONW-VBQJREDUSA-N 1 2 312.369 1.135 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(F)cc1 ZINC001018254509 758671025 /nfs/dbraw/zinc/67/10/25/758671025.db2.gz RLVMUCJEEJBSBM-IYBDPMFKSA-N 1 2 316.376 1.903 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(F)cc1 ZINC001018254509 758671028 /nfs/dbraw/zinc/67/10/28/758671028.db2.gz RLVMUCJEEJBSBM-IYBDPMFKSA-N 1 2 316.376 1.903 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1conc1C1CC1)O2 ZINC001053659117 758673304 /nfs/dbraw/zinc/67/33/04/758673304.db2.gz MJNUKZQARNBQOG-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C(C)C)n[nH]1)O2 ZINC001053663186 758675892 /nfs/dbraw/zinc/67/58/92/758675892.db2.gz JAKVSTDJBILPEA-CYBMUJFWSA-N 1 2 318.421 1.682 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cccc(F)c1 ZINC001018264721 758679188 /nfs/dbraw/zinc/67/91/88/758679188.db2.gz YDWNYUQZJWPFGH-IYBDPMFKSA-N 1 2 316.376 1.903 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cccc(F)c1 ZINC001018264721 758679192 /nfs/dbraw/zinc/67/91/92/758679192.db2.gz YDWNYUQZJWPFGH-IYBDPMFKSA-N 1 2 316.376 1.903 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncoc1C(C)C)O2 ZINC001053686919 758697684 /nfs/dbraw/zinc/69/76/84/758697684.db2.gz CJYGBHHROGIEHC-CYBMUJFWSA-N 1 2 319.405 1.947 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(C)onc1CC)O2 ZINC001053696440 758706175 /nfs/dbraw/zinc/70/61/75/758706175.db2.gz IZARSTRKIIYJFJ-ZDUSSCGKSA-N 1 2 319.405 1.695 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cncnc1CC)O2 ZINC001053699710 758709240 /nfs/dbraw/zinc/70/92/40/758709240.db2.gz ILRLDSYCPNMNSI-CYBMUJFWSA-N 1 2 316.405 1.188 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)nc1)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065728885 758743810 /nfs/dbraw/zinc/74/38/10/758743810.db2.gz FVLJTFQMRGXUGU-OLZOCXBDSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(=O)C(C)(C)C)C2)CC1 ZINC001018375415 758767039 /nfs/dbraw/zinc/76/70/39/758767039.db2.gz KPETWYHGHKJYSI-HNNXBMFYSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccnc(F)c1)CO2 ZINC001053756174 758772806 /nfs/dbraw/zinc/77/28/06/758772806.db2.gz QHJKHDOOSNGJSW-CYBMUJFWSA-N 1 2 305.353 1.370 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1cnc(C)o1)CO2 ZINC001053827553 758857684 /nfs/dbraw/zinc/85/76/84/758857684.db2.gz CGECDHJDMFYFAK-ZDUSSCGKSA-N 1 2 305.378 1.061 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C13CCC(CC1)C3)CO2 ZINC001053846537 758876947 /nfs/dbraw/zinc/87/69/47/758876947.db2.gz IIQMRKLVANCWIY-CKDBGZEDSA-N 1 2 302.418 1.550 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc3c[nH]ccc-3n1)CO2 ZINC001053848383 758881086 /nfs/dbraw/zinc/88/10/86/758881086.db2.gz YPMLOGXSARYMNT-AWEZNQCLSA-N 1 2 324.384 1.159 20 30 DDEDLO C#CCCN1CC2(C1)C[C@H](NC(=O)c1cc3c[nH+]ccc3[nH]1)CO2 ZINC001053848383 758881095 /nfs/dbraw/zinc/88/10/95/758881095.db2.gz YPMLOGXSARYMNT-AWEZNQCLSA-N 1 2 324.384 1.159 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)c(C)cn1)CO2 ZINC001053877304 758913647 /nfs/dbraw/zinc/91/36/47/758913647.db2.gz WJKCCQSEKHGYNL-HNNXBMFYSA-N 1 2 313.401 1.295 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001108200635 758999411 /nfs/dbraw/zinc/99/94/11/758999411.db2.gz ZKDAWARCWRKWGI-RAIGVLPGSA-N 1 2 310.361 1.397 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001108200635 758999414 /nfs/dbraw/zinc/99/94/14/758999414.db2.gz ZKDAWARCWRKWGI-RAIGVLPGSA-N 1 2 310.361 1.397 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc3ccccc3[nH]1)CO2 ZINC001054003421 759049784 /nfs/dbraw/zinc/04/97/84/759049784.db2.gz SOFISEIWIKSBPP-OAHLLOKOSA-N 1 2 323.396 1.764 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nccc(C)n1 ZINC001054038413 759095181 /nfs/dbraw/zinc/09/51/81/759095181.db2.gz CDVIOTDYDLEZIT-KRWDZBQOSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nccc(C)n1 ZINC001054038413 759095189 /nfs/dbraw/zinc/09/51/89/759095189.db2.gz CDVIOTDYDLEZIT-KRWDZBQOSA-N 1 2 320.396 1.575 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1[nH]nnc1C ZINC001054049374 759104297 /nfs/dbraw/zinc/10/42/97/759104297.db2.gz WDNJVTSBYBDVNY-INIZCTEOSA-N 1 2 323.400 1.293 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1[nH]nnc1C ZINC001054049374 759104302 /nfs/dbraw/zinc/10/43/02/759104302.db2.gz WDNJVTSBYBDVNY-INIZCTEOSA-N 1 2 323.400 1.293 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccnnc1 ZINC001054053867 759108153 /nfs/dbraw/zinc/10/81/53/759108153.db2.gz CMMLXLMVTRVIHW-KRWDZBQOSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccnnc1 ZINC001054053867 759108155 /nfs/dbraw/zinc/10/81/55/759108155.db2.gz CMMLXLMVTRVIHW-KRWDZBQOSA-N 1 2 306.369 1.267 20 30 DDEDLO C=CCOCC(=O)NCCN(C)c1nc(C)[nH+]c2c1CCCC2 ZINC001099959174 759230107 /nfs/dbraw/zinc/23/01/07/759230107.db2.gz PHTMPINNXXDCSB-UHFFFAOYSA-N 1 2 318.421 1.419 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CCCN(C(=O)c1[nH]nnc1C)C2 ZINC001054174494 759256002 /nfs/dbraw/zinc/25/60/02/759256002.db2.gz RUMHUGHHPAXWBN-HNNXBMFYSA-N 1 2 323.828 1.794 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CCCN(C(=O)c1[nH]nnc1C)C2 ZINC001054174494 759256008 /nfs/dbraw/zinc/25/60/08/759256008.db2.gz RUMHUGHHPAXWBN-HNNXBMFYSA-N 1 2 323.828 1.794 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1snc(Cl)c1C#N ZINC001098306898 759295083 /nfs/dbraw/zinc/29/50/83/759295083.db2.gz PPYPLASHHUFKEA-ZETCQYMHSA-N 1 2 324.797 1.551 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3csc(=O)[nH]3)cc2C1 ZINC001054278296 759385440 /nfs/dbraw/zinc/38/54/40/759385440.db2.gz SNVJVEFYWJHODL-UHFFFAOYSA-N 1 2 313.382 1.728 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3csc(=O)[nH]3)cc2C1 ZINC001054278296 759385451 /nfs/dbraw/zinc/38/54/51/759385451.db2.gz SNVJVEFYWJHODL-UHFFFAOYSA-N 1 2 313.382 1.728 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)c2c[nH]c(C#N)c2)ncn1 ZINC001085556539 759701102 /nfs/dbraw/zinc/70/11/02/759701102.db2.gz TXCPWERCXIEUDG-MRXNPFEDSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)c2c[nH]c(C#N)c2)ncn1 ZINC001085556539 759701107 /nfs/dbraw/zinc/70/11/07/759701107.db2.gz TXCPWERCXIEUDG-MRXNPFEDSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnc(OC)nc2)C1 ZINC001046746725 767883020 /nfs/dbraw/zinc/88/30/20/767883020.db2.gz OKLCDOSUJBEPSD-CQSZACIVSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnc(OC)nc2)C1 ZINC001046746725 767883026 /nfs/dbraw/zinc/88/30/26/767883026.db2.gz OKLCDOSUJBEPSD-CQSZACIVSA-N 1 2 310.785 1.432 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC001085670565 759978828 /nfs/dbraw/zinc/97/88/28/759978828.db2.gz ZAXZMFHGYZSZAM-ZIAGYGMSSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC001085670565 759978831 /nfs/dbraw/zinc/97/88/31/759978831.db2.gz ZAXZMFHGYZSZAM-ZIAGYGMSSA-N 1 2 300.406 1.245 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001085681022 759995768 /nfs/dbraw/zinc/99/57/68/759995768.db2.gz QVZBUUIPSRQKQA-HIFRSBDPSA-N 1 2 322.840 1.731 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001085681358 759997601 /nfs/dbraw/zinc/99/76/01/759997601.db2.gz XNCIPJBKJWBYES-OAHLLOKOSA-N 1 2 309.373 1.251 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001085681358 759997605 /nfs/dbraw/zinc/99/76/05/759997605.db2.gz XNCIPJBKJWBYES-OAHLLOKOSA-N 1 2 309.373 1.251 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(-n2ccnc2)n1 ZINC001085685030 760011663 /nfs/dbraw/zinc/01/16/63/760011663.db2.gz HMJPKDFKCSGTML-OAHLLOKOSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(-n2ccnc2)n1 ZINC001085685030 760011671 /nfs/dbraw/zinc/01/16/71/760011671.db2.gz HMJPKDFKCSGTML-OAHLLOKOSA-N 1 2 323.400 1.437 20 30 DDEDLO N#Cc1cnccc1N1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1c[nH]c[nH+]1)C2 ZINC001055455840 760503233 /nfs/dbraw/zinc/50/32/33/760503233.db2.gz HRAKJMUGVRJSJZ-FMKPAKJESA-N 1 2 322.372 1.145 20 30 DDEDLO Cc1nc(NC[C@]23CCC[C@H]2CN(C(=O)[C@H](C)C#N)C3)cc[nH+]1 ZINC001055643450 760594709 /nfs/dbraw/zinc/59/47/09/760594709.db2.gz DCZFVRCHAHPQNE-IFIJOSMWSA-N 1 2 313.405 1.985 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)cnn1 ZINC001038199030 760913579 /nfs/dbraw/zinc/91/35/79/760913579.db2.gz QFJLOQHJTLOMPN-QGZVFWFLSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)cnn1 ZINC001038199030 760913587 /nfs/dbraw/zinc/91/35/87/760913587.db2.gz QFJLOQHJTLOMPN-QGZVFWFLSA-N 1 2 321.384 1.661 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc(OC(C)C)cn1 ZINC001038244753 760942428 /nfs/dbraw/zinc/94/24/28/760942428.db2.gz BSFSQSNJRMBPCY-ZDUSSCGKSA-N 1 2 302.378 1.091 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc(OC(C)C)cn1 ZINC001038244753 760942434 /nfs/dbraw/zinc/94/24/34/760942434.db2.gz BSFSQSNJRMBPCY-ZDUSSCGKSA-N 1 2 302.378 1.091 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CNC(=O)c1csc([C@@H]2CCCO2)n1 ZINC001038252912 760949918 /nfs/dbraw/zinc/94/99/18/760949918.db2.gz LJPTXBUFGCYOPA-AAEUAGOBSA-N 1 2 307.419 1.985 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CNC(=O)c1csc([C@@H]2CCCO2)n1 ZINC001038252912 760949914 /nfs/dbraw/zinc/94/99/14/760949914.db2.gz LJPTXBUFGCYOPA-AAEUAGOBSA-N 1 2 307.419 1.985 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](F)c2ccccc2)C1 ZINC001108248278 761089660 /nfs/dbraw/zinc/08/96/60/761089660.db2.gz GHCZMTJWZZYNRF-SJLPKXTDSA-N 1 2 318.392 1.928 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](F)c2ccccc2)C1 ZINC001108248278 761089671 /nfs/dbraw/zinc/08/96/71/761089671.db2.gz GHCZMTJWZZYNRF-SJLPKXTDSA-N 1 2 318.392 1.928 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn(C)nc1C1CCC1 ZINC001038423391 761104849 /nfs/dbraw/zinc/10/48/49/761104849.db2.gz YAEUBMQHZNEJIF-AWEZNQCLSA-N 1 2 300.406 1.515 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn(C)nc1C1CCC1 ZINC001038423391 761104854 /nfs/dbraw/zinc/10/48/54/761104854.db2.gz YAEUBMQHZNEJIF-AWEZNQCLSA-N 1 2 300.406 1.515 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)n([C@@H](C)C2CC2)n1 ZINC001038442534 761121298 /nfs/dbraw/zinc/12/12/98/761121298.db2.gz OZEJYXCBKRREGY-HOCLYGCPSA-N 1 2 314.433 1.990 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C)n([C@@H](C)C2CC2)n1 ZINC001038442534 761121301 /nfs/dbraw/zinc/12/13/01/761121301.db2.gz OZEJYXCBKRREGY-HOCLYGCPSA-N 1 2 314.433 1.990 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(-c2nc[nH]n2)c1 ZINC001038592106 761220910 /nfs/dbraw/zinc/22/09/10/761220910.db2.gz JEEVYOHFLQHADR-HNNXBMFYSA-N 1 2 309.373 1.299 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(-c2nc[nH]n2)c1 ZINC001038592106 761220913 /nfs/dbraw/zinc/22/09/13/761220913.db2.gz JEEVYOHFLQHADR-HNNXBMFYSA-N 1 2 309.373 1.299 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2[C@H]2CCN(C)C2=O)CC1 ZINC001038667259 761267244 /nfs/dbraw/zinc/26/72/44/761267244.db2.gz MJIFNUUNPHLPGZ-GJZGRUSLSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2[C@H]2CCN(C)C2=O)CC1 ZINC001038667259 761267249 /nfs/dbraw/zinc/26/72/49/761267249.db2.gz MJIFNUUNPHLPGZ-GJZGRUSLSA-N 1 2 305.422 1.154 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnnn1-c1ccccc1 ZINC001038756428 761369222 /nfs/dbraw/zinc/36/92/22/761369222.db2.gz JDQNSXMCGGTAFV-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnnn1-c1ccccc1 ZINC001038756428 761369232 /nfs/dbraw/zinc/36/92/32/761369232.db2.gz JDQNSXMCGGTAFV-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]1CNC(=O)c1nnc2ccccc2c1O ZINC001038764066 761383009 /nfs/dbraw/zinc/38/30/09/761383009.db2.gz KPDPWONHUBMSKI-GFCCVEGCSA-N 1 2 312.373 1.716 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]1CNC(=O)c1nnc2ccccc2c1O ZINC001038764066 761383017 /nfs/dbraw/zinc/38/30/17/761383017.db2.gz KPDPWONHUBMSKI-GFCCVEGCSA-N 1 2 312.373 1.716 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001056743137 761384424 /nfs/dbraw/zinc/38/44/24/761384424.db2.gz KVJAZSRLXNHYNO-OAHLLOKOSA-N 1 2 310.361 1.253 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cncc(C)c2)cn1 ZINC001038940956 761573667 /nfs/dbraw/zinc/57/36/67/761573667.db2.gz HQCWJAKBGHTCGP-SFHVURJKSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cncc(C)c2)cn1 ZINC001038940956 761573676 /nfs/dbraw/zinc/57/36/76/761573676.db2.gz HQCWJAKBGHTCGP-SFHVURJKSA-N 1 2 320.396 1.771 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]1CNC(=O)c1sc(COC)nc1C ZINC001038962951 761597400 /nfs/dbraw/zinc/59/74/00/761597400.db2.gz WOYWSBMUEBJSQH-LBPRGKRZSA-N 1 2 309.435 1.978 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]1CNC(=O)c1sc(COC)nc1C ZINC001038962951 761597407 /nfs/dbraw/zinc/59/74/07/761597407.db2.gz WOYWSBMUEBJSQH-LBPRGKRZSA-N 1 2 309.435 1.978 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(OC)c(OC)cc1C ZINC001039043982 761684550 /nfs/dbraw/zinc/68/45/50/761684550.db2.gz VMOWECDJBICFJK-CYBMUJFWSA-N 1 2 302.374 1.450 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(OC)c(OC)cc1C ZINC001039043982 761684554 /nfs/dbraw/zinc/68/45/54/761684554.db2.gz VMOWECDJBICFJK-CYBMUJFWSA-N 1 2 302.374 1.450 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3(CF)CCC3)CC2=O)C1 ZINC001108577693 762728719 /nfs/dbraw/zinc/72/87/19/762728719.db2.gz NRZHKCLNHPVTSL-ZDUSSCGKSA-N 1 2 323.412 1.104 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)c1cccc2[nH+]ccn21 ZINC001108602940 762787808 /nfs/dbraw/zinc/78/78/08/762787808.db2.gz IOFDNHVTFYRLAH-LBPRGKRZSA-N 1 2 320.356 1.831 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CNc2[nH+]cnc3c2cnn3C)C1 ZINC001108678237 762853320 /nfs/dbraw/zinc/85/33/20/762853320.db2.gz OXYYVNCGCMIGAA-NSHDSACASA-N 1 2 314.393 1.636 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C)CNc1ncccc1C#N ZINC001108741026 762899921 /nfs/dbraw/zinc/89/99/21/762899921.db2.gz IARXCRSJWZQOQH-NEPJUHHUSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C)CNc1ncccc1C#N ZINC001108741026 762899929 /nfs/dbraw/zinc/89/99/29/762899929.db2.gz IARXCRSJWZQOQH-NEPJUHHUSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)CCc1[nH+]ccn1C ZINC001108802210 762947817 /nfs/dbraw/zinc/94/78/17/762947817.db2.gz RXBQQDFDZPILKU-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001109044208 763260557 /nfs/dbraw/zinc/26/05/57/763260557.db2.gz PGZKHAAXBZNWRV-CQSZACIVSA-N 1 2 322.412 1.950 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCCN(C(=O)c3[nH]nc4ccccc43)[C@@H]2C1 ZINC001050194506 763301506 /nfs/dbraw/zinc/30/15/06/763301506.db2.gz FLJDVWPOPYLNIT-XJKSGUPXSA-N 1 2 308.385 1.733 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCCN(C(=O)c3[nH]nc4ccccc43)[C@@H]2C1 ZINC001050194506 763301514 /nfs/dbraw/zinc/30/15/14/763301514.db2.gz FLJDVWPOPYLNIT-XJKSGUPXSA-N 1 2 308.385 1.733 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ncc(C)s1 ZINC001109070601 763305289 /nfs/dbraw/zinc/30/52/89/763305289.db2.gz DKRZXYCAXRNKJF-RDBSUJKOSA-N 1 2 321.446 1.876 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ncc(C)s1 ZINC001109070601 763305294 /nfs/dbraw/zinc/30/52/94/763305294.db2.gz DKRZXYCAXRNKJF-RDBSUJKOSA-N 1 2 321.446 1.876 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001109284731 763545095 /nfs/dbraw/zinc/54/50/95/763545095.db2.gz WUWNQPCOBAXTHG-YUELXQCFSA-N 1 2 318.421 1.707 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[NH+]([C@@H](C)c1csnn1)CC2 ZINC001050464659 763628588 /nfs/dbraw/zinc/62/85/88/763628588.db2.gz XUDYAYOFMDIHRF-RYUDHWBXSA-N 1 2 319.434 1.683 20 30 DDEDLO CN(CCCNc1[nH+]cnc2c1cnn2C)C(=O)C#CC1CC1 ZINC001109433840 763701646 /nfs/dbraw/zinc/70/16/46/763701646.db2.gz GTYTVINDEWQGIT-UHFFFAOYSA-N 1 2 312.377 1.037 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]21 ZINC001042350689 763951569 /nfs/dbraw/zinc/95/15/69/763951569.db2.gz JGSOPRNTCWZMEU-WBMJQRKESA-N 1 2 311.389 1.680 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]21 ZINC001042350689 763951574 /nfs/dbraw/zinc/95/15/74/763951574.db2.gz JGSOPRNTCWZMEU-WBMJQRKESA-N 1 2 311.389 1.680 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]21 ZINC001042352281 763953923 /nfs/dbraw/zinc/95/39/23/763953923.db2.gz XMSDJWHYFQAEMD-GUYCJALGSA-N 1 2 323.400 1.518 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]21 ZINC001042352281 763953926 /nfs/dbraw/zinc/95/39/26/763953926.db2.gz XMSDJWHYFQAEMD-GUYCJALGSA-N 1 2 323.400 1.518 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cocn3)c2C1 ZINC001069855610 768186921 /nfs/dbraw/zinc/18/69/21/768186921.db2.gz JSXCUZSNJWOMPM-UHFFFAOYSA-N 1 2 301.350 1.193 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cocn3)c2C1 ZINC001069855610 768186927 /nfs/dbraw/zinc/18/69/27/768186927.db2.gz JSXCUZSNJWOMPM-UHFFFAOYSA-N 1 2 301.350 1.193 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1oc(C)nc1C ZINC001050858671 764194719 /nfs/dbraw/zinc/19/47/19/764194719.db2.gz JZDXZUJGDFCEHB-CQSZACIVSA-N 1 2 307.394 1.688 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1oc(C)nc1C ZINC001050858671 764194725 /nfs/dbraw/zinc/19/47/25/764194725.db2.gz JZDXZUJGDFCEHB-CQSZACIVSA-N 1 2 307.394 1.688 20 30 DDEDLO Cc1nc(NC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C2CC2)ccc1C#N ZINC001109991988 764270266 /nfs/dbraw/zinc/27/02/66/764270266.db2.gz WUVSUSVRIKFBSK-HNNXBMFYSA-N 1 2 324.388 1.534 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2oc(CC3CC3)nc2C)C1 ZINC001042728093 764396078 /nfs/dbraw/zinc/39/60/78/764396078.db2.gz SKKUDMWJXHIYNN-UHFFFAOYSA-N 1 2 301.390 1.715 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(CCC)n[nH]1 ZINC001051056575 764458516 /nfs/dbraw/zinc/45/85/16/764458516.db2.gz PXRNVVFQXUCKAK-HNNXBMFYSA-N 1 2 320.437 1.759 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(CCC)n[nH]1 ZINC001051056575 764458523 /nfs/dbraw/zinc/45/85/23/764458523.db2.gz PXRNVVFQXUCKAK-HNNXBMFYSA-N 1 2 320.437 1.759 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051058128 764460478 /nfs/dbraw/zinc/46/04/78/764460478.db2.gz ARWLSDQXARDPRD-KGLIPLIRSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001112671771 764567253 /nfs/dbraw/zinc/56/72/53/764567253.db2.gz QTYHOCYSVCGISN-INIZCTEOSA-N 1 2 324.465 1.929 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2OCCO[C@@H]2C(F)(F)F)C1 ZINC001043088639 764653375 /nfs/dbraw/zinc/65/33/75/764653375.db2.gz OVIPCVYBIADJBQ-NEPJUHHUSA-N 1 2 322.327 1.051 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(COC)cc1 ZINC001051238737 764660977 /nfs/dbraw/zinc/66/09/77/764660977.db2.gz WKKQFLWHKOLVHZ-QGZVFWFLSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(COC)cc1 ZINC001051238737 764660984 /nfs/dbraw/zinc/66/09/84/764660984.db2.gz WKKQFLWHKOLVHZ-QGZVFWFLSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001051260534 764683386 /nfs/dbraw/zinc/68/33/86/764683386.db2.gz PMIGJTZIDRILKQ-UONOGXRCSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2cccnc2C)CC1 ZINC001112800952 764790198 /nfs/dbraw/zinc/79/01/98/764790198.db2.gz AAVKAIKKPUKZSH-QGZVFWFLSA-N 1 2 303.406 1.361 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)C[C@H]2CC[C@@H](C(F)(F)F)O2)C1 ZINC001043419379 764894147 /nfs/dbraw/zinc/89/41/47/764894147.db2.gz IHNNMSVQLSNGTM-NEPJUHHUSA-N 1 2 306.328 1.815 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccnn2C(C)C)CC1 ZINC001112885560 764923228 /nfs/dbraw/zinc/92/32/28/764923228.db2.gz FIXVZHHFSBWWJI-UHFFFAOYSA-N 1 2 306.410 1.424 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccnc(-n3cccn3)c2)C1 ZINC001043824790 765117866 /nfs/dbraw/zinc/11/78/66/765117866.db2.gz RKWAGJUTOPLYHO-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=C(Br)CN1CC(N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001043978369 765201448 /nfs/dbraw/zinc/20/14/48/765201448.db2.gz UNSNGCFUPQZPAF-UHFFFAOYSA-N 1 2 313.199 1.003 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccccn3)C2)CC1 ZINC001051970199 765327426 /nfs/dbraw/zinc/32/74/26/765327426.db2.gz ZKZAEMIJKMEAPS-HNNXBMFYSA-N 1 2 300.406 1.100 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C(CC)CC)C2)CC1 ZINC001051987085 765352082 /nfs/dbraw/zinc/35/20/82/765352082.db2.gz LYVKQVGLUHJIMX-KRWDZBQOSA-N 1 2 305.466 1.664 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)C1C[NH+](CCCF)C1 ZINC001044164300 765356571 /nfs/dbraw/zinc/35/65/71/765356571.db2.gz AWNJKOOVSXKJNX-UHFFFAOYSA-N 1 2 307.369 1.762 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C3CC=CC3)C2)CC1 ZINC001052003384 765369057 /nfs/dbraw/zinc/36/90/57/765369057.db2.gz STKORZASVOVBAE-KRWDZBQOSA-N 1 2 301.434 1.194 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3CC3(C)C)C2)CC1 ZINC001052013305 765381570 /nfs/dbraw/zinc/38/15/70/765381570.db2.gz VFJPKIJNFQBZTB-CVEARBPZSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)(C)C(C)C)C2)CC1 ZINC001052033803 765408016 /nfs/dbraw/zinc/40/80/16/765408016.db2.gz VCXSTQUTFQTKHU-KRWDZBQOSA-N 1 2 319.493 1.910 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)c3cc(F)c[nH]3)C2)CC1 ZINC001052052828 765425447 /nfs/dbraw/zinc/42/54/47/765425447.db2.gz YGPQDNHPCWETPP-HNNXBMFYSA-N 1 2 318.396 1.009 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(C)CCCC3)C2)CC1 ZINC001052058538 765430308 /nfs/dbraw/zinc/43/03/08/765430308.db2.gz XTVPNLLFGBUQJQ-KRWDZBQOSA-N 1 2 317.477 1.809 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)c(C)cn2)[C@@H](O)C1 ZINC001090450074 765597000 /nfs/dbraw/zinc/59/70/00/765597000.db2.gz STCBGINISVHNGK-HIFRSBDPSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)c(C)cn2)[C@@H](O)C1 ZINC001090450074 765597002 /nfs/dbraw/zinc/59/70/02/765597002.db2.gz STCBGINISVHNGK-HIFRSBDPSA-N 1 2 323.824 1.616 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)nc1)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001113352213 765617603 /nfs/dbraw/zinc/61/76/03/765617603.db2.gz OTDULRZMUIKIME-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)nc1)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001113352213 765617608 /nfs/dbraw/zinc/61/76/08/765617608.db2.gz OTDULRZMUIKIME-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[NH+](CCOCCCC)CC2)nn1 ZINC001113413129 765666167 /nfs/dbraw/zinc/66/61/67/765666167.db2.gz JAOKZZLPKGAJFR-UHFFFAOYSA-N 1 2 321.425 1.039 20 30 DDEDLO C[C@H]1C[C@@H](NCC#N)CCN1C(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC001044819505 765833138 /nfs/dbraw/zinc/83/31/38/765833138.db2.gz YLTBOBCIDKMPII-KBPBESRZSA-N 1 2 324.388 1.374 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](CCOCCO)CC2)CCCCC1 ZINC001113667037 765993538 /nfs/dbraw/zinc/99/35/38/765993538.db2.gz LLTKAFRFFMYNCD-UHFFFAOYSA-N 1 2 324.465 1.666 20 30 DDEDLO CC1(NC(=O)c2c[nH]c(C#N)c2)CC[NH+](Cc2ncccn2)CC1 ZINC001045411502 766291028 /nfs/dbraw/zinc/29/10/28/766291028.db2.gz IVHPXYCWVNFEQF-UHFFFAOYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001058188226 766295922 /nfs/dbraw/zinc/29/59/22/766295922.db2.gz MLEXTYBOCJYVIH-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO C#CC[NH+]1CCC(C)(NC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001045439332 766308353 /nfs/dbraw/zinc/30/83/53/766308353.db2.gz IWWPNZIQJUKQHV-CYBMUJFWSA-N 1 2 300.406 1.434 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001114050917 766584392 /nfs/dbraw/zinc/58/43/92/766584392.db2.gz LKUGKKGMONBBCL-VIKVFOODSA-N 1 2 305.378 1.040 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001114050917 766584399 /nfs/dbraw/zinc/58/43/99/766584399.db2.gz LKUGKKGMONBBCL-VIKVFOODSA-N 1 2 305.378 1.040 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csc(C4CC4)n3)C[C@H]21 ZINC001114064251 766602423 /nfs/dbraw/zinc/60/24/23/766602423.db2.gz OCCZHXFZPRJBCB-OLRMPSLUSA-N 1 2 316.430 1.727 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csc(C4CC4)n3)C[C@H]21 ZINC001114064251 766602430 /nfs/dbraw/zinc/60/24/30/766602430.db2.gz OCCZHXFZPRJBCB-OLRMPSLUSA-N 1 2 316.430 1.727 20 30 DDEDLO CN(C[C@@H]1CCCN1C(=O)Cn1cc[nH+]c1)c1ncccc1C#N ZINC001067765524 766689670 /nfs/dbraw/zinc/68/96/70/766689670.db2.gz OPIIXIXFDVMECB-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO CCc1noc([C@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)n1 ZINC001114235120 766798773 /nfs/dbraw/zinc/79/87/73/766798773.db2.gz WXJRJZLAEQSEAC-BCUIYNNISA-N 1 2 316.405 1.399 20 30 DDEDLO CCc1noc([C@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)n1 ZINC001114235120 766798778 /nfs/dbraw/zinc/79/87/78/766798778.db2.gz WXJRJZLAEQSEAC-BCUIYNNISA-N 1 2 316.405 1.399 20 30 DDEDLO COc1nscc1C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)C ZINC001114246936 766813479 /nfs/dbraw/zinc/81/34/79/766813479.db2.gz BAMKCEAPSFSMGE-NHAGDIPZSA-N 1 2 319.430 1.358 20 30 DDEDLO COc1nscc1C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)C ZINC001114246936 766813489 /nfs/dbraw/zinc/81/34/89/766813489.db2.gz BAMKCEAPSFSMGE-NHAGDIPZSA-N 1 2 319.430 1.358 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C3=CCCCCC3)CC2)C1 ZINC001046022732 766823360 /nfs/dbraw/zinc/82/33/60/766823360.db2.gz XDJYOGQQCPPVNA-QGZVFWFLSA-N 1 2 316.449 1.619 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)(C)C ZINC001114255177 766826199 /nfs/dbraw/zinc/82/61/99/766826199.db2.gz DKRAJERRQNRKPB-TTZDDIAXSA-N 1 2 312.417 1.634 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)(C)C ZINC001114255177 766826208 /nfs/dbraw/zinc/82/62/08/766826208.db2.gz DKRAJERRQNRKPB-TTZDDIAXSA-N 1 2 312.417 1.634 20 30 DDEDLO C[C@@H]1CCN(c2ncccc2C#N)C[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067965839 766842683 /nfs/dbraw/zinc/84/26/83/766842683.db2.gz RQZIVAKGCFCFBX-UKRRQHHQSA-N 1 2 324.388 1.181 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C3(C4CC4)CCC3)CC2)C1 ZINC001046046644 766852961 /nfs/dbraw/zinc/85/29/61/766852961.db2.gz CJDHPJJGUWKSKL-INIZCTEOSA-N 1 2 316.449 1.309 20 30 DDEDLO Cc1nc(N2C[C@H](NC(=O)c3ccc(C#N)[nH]3)C(C)(C)C2)cc[nH+]1 ZINC001068104943 766913826 /nfs/dbraw/zinc/91/38/26/766913826.db2.gz WYNMTRFUCBAWDR-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO C[C@@H]1C[C@H](CNc2ccc(C#N)cn2)CN1C(=O)Cn1cc[nH+]c1 ZINC001068334959 767115743 /nfs/dbraw/zinc/11/57/43/767115743.db2.gz DYTMQJWFEDJNTO-UKRRQHHQSA-N 1 2 324.388 1.499 20 30 DDEDLO CN(c1ccc(C#N)cn1)C1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001068688851 767523133 /nfs/dbraw/zinc/52/31/33/767523133.db2.gz IQYOMKCVCXDASR-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO C[C@]1(NC(=O)c2cnco2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046523687 767653396 /nfs/dbraw/zinc/65/33/96/767653396.db2.gz XMAAQVIPWVVVKY-KRWDZBQOSA-N 1 2 310.357 1.941 20 30 DDEDLO C[C@]1(NC(=O)c2cnco2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046523687 767653399 /nfs/dbraw/zinc/65/33/99/767653399.db2.gz XMAAQVIPWVVVKY-KRWDZBQOSA-N 1 2 310.357 1.941 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069079761 767830481 /nfs/dbraw/zinc/83/04/81/767830481.db2.gz QJVQPHCATZFOIQ-GXTWGEPZSA-N 1 2 324.388 1.710 20 30 DDEDLO CN(C(=O)c1ccoc1)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047304741 768387787 /nfs/dbraw/zinc/38/77/87/768387787.db2.gz STCOWURVNXPBHA-ROUUACIJSA-N 1 2 324.380 1.448 20 30 DDEDLO CN(C(=O)c1ccoc1)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047304741 768387793 /nfs/dbraw/zinc/38/77/93/768387793.db2.gz STCOWURVNXPBHA-ROUUACIJSA-N 1 2 324.380 1.448 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cnnn2CC)C1 ZINC001132003821 768555714 /nfs/dbraw/zinc/55/57/14/768555714.db2.gz ZXANUTXNNYKUGQ-GJZGRUSLSA-N 1 2 317.437 1.571 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cnnn2CC)C1 ZINC001132003821 768555715 /nfs/dbraw/zinc/55/57/15/768555715.db2.gz ZXANUTXNNYKUGQ-GJZGRUSLSA-N 1 2 317.437 1.571 20 30 DDEDLO CCCNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132018622 768575834 /nfs/dbraw/zinc/57/58/34/768575834.db2.gz XZHDTJNMEOVTER-LSDHHAIUSA-N 1 2 307.438 1.141 20 30 DDEDLO CCCNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132018622 768575836 /nfs/dbraw/zinc/57/58/36/768575836.db2.gz XZHDTJNMEOVTER-LSDHHAIUSA-N 1 2 307.438 1.141 20 30 DDEDLO CCC(C)(C)CC(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132055117 768592555 /nfs/dbraw/zinc/59/25/55/768592555.db2.gz XLQTVTYNHUSPTL-UONOGXRCSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(C)(C)CC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132055117 768592561 /nfs/dbraw/zinc/59/25/61/768592561.db2.gz XLQTVTYNHUSPTL-UONOGXRCSA-N 1 2 322.453 1.422 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C#CC2CC2)C[N@@H+]1Cc1ccn(C)n1 ZINC001132047558 768600089 /nfs/dbraw/zinc/60/00/89/768600089.db2.gz YCTNBRHRMGIDEX-DZGCQCFKSA-N 1 2 300.406 1.303 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C#CC2CC2)C[N@H+]1Cc1ccn(C)n1 ZINC001132047558 768600094 /nfs/dbraw/zinc/60/00/94/768600094.db2.gz YCTNBRHRMGIDEX-DZGCQCFKSA-N 1 2 300.406 1.303 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132065629 768614875 /nfs/dbraw/zinc/61/48/75/768614875.db2.gz WXPOMZMLMCAOPE-UONOGXRCSA-N 1 2 322.453 1.277 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132065629 768614879 /nfs/dbraw/zinc/61/48/79/768614879.db2.gz WXPOMZMLMCAOPE-UONOGXRCSA-N 1 2 322.453 1.277 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)CCC)CC[C@@H]1C ZINC001132253097 768704009 /nfs/dbraw/zinc/70/40/09/768704009.db2.gz OKNWHJYBOWDARM-GJZGRUSLSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(C)(C)CCC)CC[C@@H]1C ZINC001132253097 768704010 /nfs/dbraw/zinc/70/40/10/768704010.db2.gz OKNWHJYBOWDARM-GJZGRUSLSA-N 1 2 321.465 1.531 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CCCC2CC2)C[N@@H+]1CC(=O)NCC#N ZINC001132244231 768712015 /nfs/dbraw/zinc/71/20/15/768712015.db2.gz HSYNQCRHUSWRQN-HIFRSBDPSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CCCC2CC2)C[N@H+]1CC(=O)NCC#N ZINC001132244231 768712018 /nfs/dbraw/zinc/71/20/18/768712018.db2.gz HSYNQCRHUSWRQN-HIFRSBDPSA-N 1 2 320.437 1.176 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@H]2C=CCC2)CC1 ZINC001070937604 768796930 /nfs/dbraw/zinc/79/69/30/768796930.db2.gz SKEZQOICGNXZSW-HNNXBMFYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@H]2C=CCC2)CC1 ZINC001070937604 768796935 /nfs/dbraw/zinc/79/69/35/768796935.db2.gz SKEZQOICGNXZSW-HNNXBMFYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC=CCC2)CC1 ZINC001070938204 768798250 /nfs/dbraw/zinc/79/82/50/768798250.db2.gz INGULIGTAVSULZ-MRXNPFEDSA-N 1 2 319.449 1.569 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC=CCC2)CC1 ZINC001070938204 768798253 /nfs/dbraw/zinc/79/82/53/768798253.db2.gz INGULIGTAVSULZ-MRXNPFEDSA-N 1 2 319.449 1.569 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC23CCC3)CC1 ZINC001071005778 768870437 /nfs/dbraw/zinc/87/04/37/768870437.db2.gz IAKJOBVMGTZAEK-OAHLLOKOSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC23CCC3)CC1 ZINC001071005778 768870448 /nfs/dbraw/zinc/87/04/48/768870448.db2.gz IAKJOBVMGTZAEK-OAHLLOKOSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@@]2(C)CC)CC1 ZINC001071012791 768876752 /nfs/dbraw/zinc/87/67/52/768876752.db2.gz GUFDAMYTLQQHJV-WMLDXEAASA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@@]2(C)CC)CC1 ZINC001071012791 768876762 /nfs/dbraw/zinc/87/67/62/768876762.db2.gz GUFDAMYTLQQHJV-WMLDXEAASA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@@H]2C(C)C)CC1 ZINC001071011666 768878020 /nfs/dbraw/zinc/87/80/20/768878020.db2.gz OIKPGNGXEQWPMD-HZPDHXFCSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@@H]2C(C)C)CC1 ZINC001071011666 768878030 /nfs/dbraw/zinc/87/80/30/768878030.db2.gz OIKPGNGXEQWPMD-HZPDHXFCSA-N 1 2 321.465 1.505 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001071057060 768920436 /nfs/dbraw/zinc/92/04/36/768920436.db2.gz AALVYMYRTGVVIH-CQSZACIVSA-N 1 2 316.405 1.027 20 30 DDEDLO C/C=C(/C)C(=O)NCC[NH+]1CCN(c2ccncc2C#N)CC1 ZINC001096280979 768926095 /nfs/dbraw/zinc/92/60/95/768926095.db2.gz NZBONEALADYZRA-BNNQUZSASA-N 1 2 313.405 1.158 20 30 DDEDLO C=CC[N@H+]1C[C@H](NC(=O)C(C)(C)CS(C)(=O)=O)CC[C@H]1C ZINC001132532753 768962894 /nfs/dbraw/zinc/96/28/94/768962894.db2.gz GIBXREBMLKUGGR-CHWSQXEVSA-N 1 2 316.467 1.212 20 30 DDEDLO C=CC[N@@H+]1C[C@H](NC(=O)C(C)(C)CS(C)(=O)=O)CC[C@H]1C ZINC001132532753 768962896 /nfs/dbraw/zinc/96/28/96/768962896.db2.gz GIBXREBMLKUGGR-CHWSQXEVSA-N 1 2 316.467 1.212 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2nc([C@H](C)OCC)no2)C1 ZINC001133566344 769996338 /nfs/dbraw/zinc/99/63/38/769996338.db2.gz ZWNCGUYQNYPRQG-LBPRGKRZSA-N 1 2 322.409 1.729 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)CCc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001071775272 770047656 /nfs/dbraw/zinc/04/76/56/770047656.db2.gz ZGURYICSRKHRDF-JSGCOSHPSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)CCc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001071775272 770047668 /nfs/dbraw/zinc/04/76/68/770047668.db2.gz ZGURYICSRKHRDF-JSGCOSHPSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(=O)[nH]n1)C2 ZINC001096761637 771425546 /nfs/dbraw/zinc/42/55/46/771425546.db2.gz MPXKTLZVWXRJEZ-JLLWLGSASA-N 1 2 308.769 1.270 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(=O)[nH]n1)C2 ZINC001096761637 771425548 /nfs/dbraw/zinc/42/55/48/771425548.db2.gz MPXKTLZVWXRJEZ-JLLWLGSASA-N 1 2 308.769 1.270 20 30 DDEDLO N#Cc1ccc(N[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C2CC2)cn1 ZINC001096820305 771467894 /nfs/dbraw/zinc/46/78/94/771467894.db2.gz RWVKIUFXTGQBSE-OAHLLOKOSA-N 1 2 310.361 1.226 20 30 DDEDLO C[C@H](C[C@@H](C)NC(=O)c1cccc(-n2cc[nH+]c2)c1)NCC#N ZINC001135840939 771746979 /nfs/dbraw/zinc/74/69/79/771746979.db2.gz RTBBVWMVIJGARD-ZIAGYGMSSA-N 1 2 311.389 1.882 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2occc2Cl)[C@H](O)C1 ZINC001090537628 771972727 /nfs/dbraw/zinc/97/27/27/771972727.db2.gz AYGXZOBRGISRRN-GHMZBOCLSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2occc2Cl)[C@H](O)C1 ZINC001090537628 771972731 /nfs/dbraw/zinc/97/27/31/771972731.db2.gz AYGXZOBRGISRRN-GHMZBOCLSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(CC)on2)[C@@H](O)C1 ZINC001090739626 772152121 /nfs/dbraw/zinc/15/21/21/772152121.db2.gz NHCPNCSKHGBNJX-YPMHNXCESA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(CC)on2)[C@@H](O)C1 ZINC001090739626 772152124 /nfs/dbraw/zinc/15/21/24/772152124.db2.gz NHCPNCSKHGBNJX-YPMHNXCESA-N 1 2 313.785 1.155 20 30 DDEDLO CCn1nnc2c1[C@H](COC)C[N@H+](Cc1cncc(C#N)c1)C2 ZINC001144075138 772392896 /nfs/dbraw/zinc/39/28/96/772392896.db2.gz KCAJFOPFUDYNAG-AWEZNQCLSA-N 1 2 312.377 1.310 20 30 DDEDLO CCn1nnc2c1[C@H](COC)C[N@@H+](Cc1cncc(C#N)c1)C2 ZINC001144075138 772392897 /nfs/dbraw/zinc/39/28/97/772392897.db2.gz KCAJFOPFUDYNAG-AWEZNQCLSA-N 1 2 312.377 1.310 20 30 DDEDLO CCc1nnc(C[N@@H+]2C[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@]3(C)C2)o1 ZINC001091544151 772815702 /nfs/dbraw/zinc/81/57/02/772815702.db2.gz VVJRXHCNLHEYNR-HSMVNMDESA-N 1 2 317.393 1.072 20 30 DDEDLO CCc1nnc(C[N@H+]2C[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@]3(C)C2)o1 ZINC001091544151 772815704 /nfs/dbraw/zinc/81/57/04/772815704.db2.gz VVJRXHCNLHEYNR-HSMVNMDESA-N 1 2 317.393 1.072 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)n1 ZINC001147523243 773168729 /nfs/dbraw/zinc/16/87/29/773168729.db2.gz RCEQYAQHQDRUBC-CYBMUJFWSA-N 1 2 316.405 1.633 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)n1 ZINC001147523243 773168731 /nfs/dbraw/zinc/16/87/31/773168731.db2.gz RCEQYAQHQDRUBC-CYBMUJFWSA-N 1 2 316.405 1.633 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nnnn1C)C2 ZINC001147640210 773188232 /nfs/dbraw/zinc/18/82/32/773188232.db2.gz QJRWBCZVDSRFPN-CYBMUJFWSA-N 1 2 318.425 1.162 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nnnn1C)C2 ZINC001147640210 773188236 /nfs/dbraw/zinc/18/82/36/773188236.db2.gz QJRWBCZVDSRFPN-CYBMUJFWSA-N 1 2 318.425 1.162 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nnnn1C)C2 ZINC001148199841 773377228 /nfs/dbraw/zinc/37/72/28/773377228.db2.gz RNRYNQMSXZQPRB-ZDUSSCGKSA-N 1 2 318.425 1.162 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nnnn1C)C2 ZINC001148199841 773377237 /nfs/dbraw/zinc/37/72/37/773377237.db2.gz RNRYNQMSXZQPRB-ZDUSSCGKSA-N 1 2 318.425 1.162 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ncccn1 ZINC001073973038 773566540 /nfs/dbraw/zinc/56/65/40/773566540.db2.gz OHOVMRNVEJKGGT-UONOGXRCSA-N 1 2 301.394 1.449 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ncccn1 ZINC001073973038 773566544 /nfs/dbraw/zinc/56/65/44/773566544.db2.gz OHOVMRNVEJKGGT-UONOGXRCSA-N 1 2 301.394 1.449 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001148874224 773611128 /nfs/dbraw/zinc/61/11/28/773611128.db2.gz NLVDIAMGYKJSEO-AWEZNQCLSA-N 1 2 316.405 1.102 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001148874224 773611131 /nfs/dbraw/zinc/61/11/31/773611131.db2.gz NLVDIAMGYKJSEO-AWEZNQCLSA-N 1 2 316.405 1.102 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C[C@@H]1C)CC[NH+](Cc1cnon1)CC2 ZINC001086908622 773710103 /nfs/dbraw/zinc/71/01/03/773710103.db2.gz DZBZGUPDGYXBLT-STQMWFEESA-N 1 2 317.393 1.432 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3CCOCC3)C[C@@H]21 ZINC001074189107 773711756 /nfs/dbraw/zinc/71/17/56/773711756.db2.gz DUJYWIFYFOBMPA-DLBZAZTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC3CCOCC3)C[C@@H]21 ZINC001074189107 773711758 /nfs/dbraw/zinc/71/17/58/773711758.db2.gz DUJYWIFYFOBMPA-DLBZAZTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3csnc3C)C[C@H]21 ZINC001074215415 773738205 /nfs/dbraw/zinc/73/82/05/773738205.db2.gz HWISYEJSRYDMDS-CABCVRRESA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3csnc3C)C[C@H]21 ZINC001074215415 773738210 /nfs/dbraw/zinc/73/82/10/773738210.db2.gz HWISYEJSRYDMDS-CABCVRRESA-N 1 2 321.446 1.943 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccoc3)C[C@@H]21 ZINC001074223296 773748265 /nfs/dbraw/zinc/74/82/65/773748265.db2.gz WYWDDEZYXJARRG-HOTGVXAUSA-N 1 2 302.374 1.147 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccoc3)C[C@@H]21 ZINC001074223296 773748266 /nfs/dbraw/zinc/74/82/66/773748266.db2.gz WYWDDEZYXJARRG-HOTGVXAUSA-N 1 2 302.374 1.147 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cncs3)C[C@@H]21 ZINC001074270311 773782739 /nfs/dbraw/zinc/78/27/39/773782739.db2.gz FSFYKXCBICBNGC-LSDHHAIUSA-N 1 2 321.446 1.563 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cncs3)C[C@@H]21 ZINC001074270311 773782743 /nfs/dbraw/zinc/78/27/43/773782743.db2.gz FSFYKXCBICBNGC-LSDHHAIUSA-N 1 2 321.446 1.563 20 30 DDEDLO CCc1nc(N2CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]2CC)cc(C)[nH+]1 ZINC001091775078 773802361 /nfs/dbraw/zinc/80/23/61/773802361.db2.gz PJQQVYJJWKILSC-FPMFFAJLSA-N 1 2 315.421 1.981 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cocc3C)C[C@@H]21 ZINC001074313229 773814628 /nfs/dbraw/zinc/81/46/28/773814628.db2.gz YTXLESWGWHPONC-JKSUJKDBSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cocc3C)C[C@@H]21 ZINC001074313229 773814633 /nfs/dbraw/zinc/81/46/33/773814633.db2.gz YTXLESWGWHPONC-JKSUJKDBSA-N 1 2 302.374 1.527 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCCO)[C@@H]2C1 ZINC001074315220 773815880 /nfs/dbraw/zinc/81/58/80/773815880.db2.gz SHKLNZMMWMEENC-CABCVRRESA-N 1 2 310.438 1.273 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCCO)[C@@H]2C1 ZINC001074315220 773815885 /nfs/dbraw/zinc/81/58/85/773815885.db2.gz SHKLNZMMWMEENC-CABCVRRESA-N 1 2 310.438 1.273 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cnoc3)C[C@@H]21 ZINC001074319047 773819522 /nfs/dbraw/zinc/81/95/22/773819522.db2.gz FIEVZMLCQNXSNP-GJZGRUSLSA-N 1 2 305.378 1.095 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cnoc3)C[C@@H]21 ZINC001074319047 773819528 /nfs/dbraw/zinc/81/95/28/773819528.db2.gz FIEVZMLCQNXSNP-GJZGRUSLSA-N 1 2 305.378 1.095 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001110124468 773838653 /nfs/dbraw/zinc/83/86/53/773838653.db2.gz PNQKVASLXOSQBI-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001091916888 773858703 /nfs/dbraw/zinc/85/87/03/773858703.db2.gz PDMGXZNJYCIQHJ-WBMJQRKESA-N 1 2 304.394 1.562 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001091916888 773858705 /nfs/dbraw/zinc/85/87/05/773858705.db2.gz PDMGXZNJYCIQHJ-WBMJQRKESA-N 1 2 304.394 1.562 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3c[nH]cc3C)C[C@H]21 ZINC001074410234 773905531 /nfs/dbraw/zinc/90/55/31/773905531.db2.gz OGFABAHPMXQVQP-CVEARBPZSA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3c[nH]cc3C)C[C@H]21 ZINC001074410234 773905535 /nfs/dbraw/zinc/90/55/35/773905535.db2.gz OGFABAHPMXQVQP-CVEARBPZSA-N 1 2 301.390 1.262 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001092224065 774016015 /nfs/dbraw/zinc/01/60/15/774016015.db2.gz JQTFISCCMWOPCA-LMWSTFAQSA-N 1 2 304.394 1.683 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccoc1)c1nccn12 ZINC001092350392 774068242 /nfs/dbraw/zinc/06/82/42/774068242.db2.gz ROSNLVSVQSWMAM-AWEZNQCLSA-N 1 2 310.357 1.385 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1CCCC1)c1nccn12 ZINC001092341603 774091020 /nfs/dbraw/zinc/09/10/20/774091020.db2.gz AMNARZIUXIXSEX-AWEZNQCLSA-N 1 2 300.406 1.831 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@]1(C)C=CCC1)c1nccn12 ZINC001092388808 774097900 /nfs/dbraw/zinc/09/79/00/774097900.db2.gz MVDUTNVZLDAIIF-WMLDXEAASA-N 1 2 312.417 1.997 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC3(C[NH+](CC#CC)C3)n3ccnc32)C1 ZINC001092392105 774099768 /nfs/dbraw/zinc/09/97/68/774099768.db2.gz CLKPLCHATNHMOC-HNNXBMFYSA-N 1 2 324.428 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1nccc(C)n1)c1nccn12 ZINC001092365984 774105049 /nfs/dbraw/zinc/10/50/49/774105049.db2.gz FNVAFXYAHYHGCV-CYBMUJFWSA-N 1 2 324.388 1.053 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@@H](CNc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001092665354 774211291 /nfs/dbraw/zinc/21/12/91/774211291.db2.gz RDQGJLWRSVJVEU-VNHYZAJKSA-N 1 2 316.405 1.330 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001075127614 774371643 /nfs/dbraw/zinc/37/16/43/774371643.db2.gz OFJGAQHNSHVYQN-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCOCC(=O)N1CCN(c2nc(C)[nH+]c(C)c2C)CC1 ZINC001093140638 774444871 /nfs/dbraw/zinc/44/48/71/774444871.db2.gz CVLIZTAEDWZEPA-UHFFFAOYSA-N 1 2 304.394 1.253 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CN(C(=O)CCc3cnn[nH]3)CC[C@@H]21 ZINC001036824404 774740553 /nfs/dbraw/zinc/74/05/53/774740553.db2.gz WUTNOUMFZAQFAA-JSGCOSHPSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CN(C(=O)CCc3cnn[nH]3)CC[C@@H]21 ZINC001036824404 774740557 /nfs/dbraw/zinc/74/05/57/774740557.db2.gz WUTNOUMFZAQFAA-JSGCOSHPSA-N 1 2 323.828 1.413 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC[C@@H]3CCCO3)CC2)C1 ZINC001093510472 774757116 /nfs/dbraw/zinc/75/71/16/774757116.db2.gz DNPZTGOEPIHDQG-HNNXBMFYSA-N 1 2 322.449 1.823 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C[C@H]3CCCO3)CC2)C1 ZINC001093512663 774760892 /nfs/dbraw/zinc/76/08/92/774760892.db2.gz RQRIZTLWEHUACD-MRXNPFEDSA-N 1 2 322.449 1.823 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC(C)(F)F)CC2)C1 ZINC001093570225 774841837 /nfs/dbraw/zinc/84/18/37/774841837.db2.gz PFKIOJUFLKYLGK-UHFFFAOYSA-N 1 2 302.365 1.910 20 30 DDEDLO N#Cc1sc(NCCNC(=O)Cc2[nH]cc[nH+]2)nc1Cl ZINC001093829517 775113607 /nfs/dbraw/zinc/11/36/07/775113607.db2.gz OZDMCBKIKQJWHO-UHFFFAOYSA-N 1 2 310.770 1.162 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@@H]2CCn3cc[nH+]c3C2)n1 ZINC001093957532 775269655 /nfs/dbraw/zinc/26/96/55/775269655.db2.gz JIMKTRYIWKOIJQ-CYBMUJFWSA-N 1 2 324.388 1.249 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099830458 775337702 /nfs/dbraw/zinc/33/77/02/775337702.db2.gz SDZFJVWMSDUHBW-UONOGXRCSA-N 1 2 321.446 1.244 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099830458 775337713 /nfs/dbraw/zinc/33/77/13/775337713.db2.gz SDZFJVWMSDUHBW-UONOGXRCSA-N 1 2 321.446 1.244 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)cn2)C[C@H]1O ZINC001099858416 775361678 /nfs/dbraw/zinc/36/16/78/775361678.db2.gz LIWHYDJHCVBDDR-HZPDHXFCSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)cn2)C[C@H]1O ZINC001099858416 775361687 /nfs/dbraw/zinc/36/16/87/775361687.db2.gz LIWHYDJHCVBDDR-HZPDHXFCSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094050504 775374762 /nfs/dbraw/zinc/37/47/62/775374762.db2.gz GXQVEJYVCPXLQR-LLVKDONJSA-N 1 2 306.414 1.376 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001099960364 775484008 /nfs/dbraw/zinc/48/40/08/775484008.db2.gz SYIXQESRDNDHDF-JSGCOSHPSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001099960364 775484011 /nfs/dbraw/zinc/48/40/11/775484011.db2.gz SYIXQESRDNDHDF-JSGCOSHPSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCC[C@H](F)C3)nn2)C1 ZINC001094291028 775691692 /nfs/dbraw/zinc/69/16/92/775691692.db2.gz MFVFOBIXKRUMQO-OLZOCXBDSA-N 1 2 321.400 1.465 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C34CCC(CC3)C4)nn2)C1 ZINC001094300135 775702758 /nfs/dbraw/zinc/70/27/58/775702758.db2.gz GWGQRVMTIRNYEJ-UHFFFAOYSA-N 1 2 315.421 1.517 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@@]3(C)CC)nn2)C1 ZINC001094331561 775713597 /nfs/dbraw/zinc/71/35/97/775713597.db2.gz BQLGOAFMQFQHMA-GOEBONIOSA-N 1 2 303.410 1.373 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@]3(C)C(C)C)nn2)C1 ZINC001094332315 775715440 /nfs/dbraw/zinc/71/54/40/775715440.db2.gz QZZGQNSUYHCNCL-DOTOQJQBSA-N 1 2 317.437 1.619 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094713335 776181625 /nfs/dbraw/zinc/18/16/25/776181625.db2.gz ZKCPFEJZDPDSIA-RYUDHWBXSA-N 1 2 320.441 1.622 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(C)(C)C3CCC3)CC2=O)C1 ZINC001094766109 776225085 /nfs/dbraw/zinc/22/50/85/776225085.db2.gz FZZIGLIZYJZNDE-CQSZACIVSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3ccoc3CC)CC2=O)C1 ZINC001094730273 776232596 /nfs/dbraw/zinc/23/25/96/776232596.db2.gz WFEJNNZISIVGLK-GFCCVEGCSA-N 1 2 317.389 1.043 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@]3(C)CC=CCC3)CC2=O)C1 ZINC001094738548 776240692 /nfs/dbraw/zinc/24/06/92/776240692.db2.gz KXKVWHJVFBQMPL-RDTXWAMCSA-N 1 2 317.433 1.320 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3C[C@H]3CCC)CC2=O)C1 ZINC001094782296 776274565 /nfs/dbraw/zinc/27/45/65/776274565.db2.gz ONQYDHFJCKUCAS-IJEWVQPXSA-N 1 2 319.449 1.400 20 30 DDEDLO CCN(CCNC(=O)Cc1[nH]cc[nH+]1)c1nc(C)ccc1C#N ZINC001100589762 776338721 /nfs/dbraw/zinc/33/87/21/776338721.db2.gz QNSUIDQRHKKZOQ-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO CCCN(CCNC(=O)Cn1cc[nH+]c1)c1ccc(C#N)cn1 ZINC001101155777 776951899 /nfs/dbraw/zinc/95/18/99/776951899.db2.gz JBKMWMQHKPYTKX-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001095047445 777033170 /nfs/dbraw/zinc/03/31/70/777033170.db2.gz XBZJLUGHRBGQFW-OAHLLOKOSA-N 1 2 324.388 1.065 20 30 DDEDLO Cc1ccc(C#N)c(NCCN(C)C(=O)CCc2[nH]cc[nH+]2)n1 ZINC001101567811 777258864 /nfs/dbraw/zinc/25/88/64/777258864.db2.gz XFIDHCGJQFZVRK-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO CCOC(=O)c1nc2n(n1)CC[N@@H+](C1CCC(C)(C#N)CC1)C2 ZINC001173594115 777272709 /nfs/dbraw/zinc/27/27/09/777272709.db2.gz RTLDJHNNWKFBHR-UHFFFAOYSA-N 1 2 317.393 1.743 20 30 DDEDLO CCOC(=O)c1nc2n(n1)CC[N@H+](C1CCC(C)(C#N)CC1)C2 ZINC001173594115 777272716 /nfs/dbraw/zinc/27/27/16/777272716.db2.gz RTLDJHNNWKFBHR-UHFFFAOYSA-N 1 2 317.393 1.743 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCCC#CC)c1nccn12 ZINC001101639569 777336301 /nfs/dbraw/zinc/33/63/01/777336301.db2.gz LHDZHWYYNNEAPZ-OAHLLOKOSA-N 1 2 312.417 1.835 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)CCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001101781231 777510201 /nfs/dbraw/zinc/51/02/01/777510201.db2.gz ILIUKRSJGAOXOK-ZDUSSCGKSA-N 1 2 316.405 1.571 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2nnc(C3CC3)[nH]2)C[C@H]1C ZINC001101804961 777541885 /nfs/dbraw/zinc/54/18/85/777541885.db2.gz ADCFOENFDHFNON-TZMCWYRMSA-N 1 2 317.437 1.832 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2nnc(C3CC3)[nH]2)C[C@H]1C ZINC001101804961 777541891 /nfs/dbraw/zinc/54/18/91/777541891.db2.gz ADCFOENFDHFNON-TZMCWYRMSA-N 1 2 317.437 1.832 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1C[N@@H+](Cc2nncs2)C[C@H]1C ZINC001101922010 777681653 /nfs/dbraw/zinc/68/16/53/777681653.db2.gz OVSMXSJLLRTGFV-JMSVASOKSA-N 1 2 324.450 1.049 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1C[N@H+](Cc2nncs2)C[C@H]1C ZINC001101922010 777681657 /nfs/dbraw/zinc/68/16/57/777681657.db2.gz OVSMXSJLLRTGFV-JMSVASOKSA-N 1 2 324.450 1.049 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)CCc1[nH+]ccn1C ZINC001102054495 777838103 /nfs/dbraw/zinc/83/81/03/777838103.db2.gz JQRHGNWJXAWMSO-UHFFFAOYSA-N 1 2 312.377 1.190 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C[C@@H](C)COC)C1 ZINC001150528329 777934161 /nfs/dbraw/zinc/93/41/61/777934161.db2.gz UYVZRUPWTWOUSJ-OCCSQVGLSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C[C@@H](C)COC)C1 ZINC001150528329 777934168 /nfs/dbraw/zinc/93/41/68/777934168.db2.gz UYVZRUPWTWOUSJ-OCCSQVGLSA-N 1 2 318.845 1.619 20 30 DDEDLO CCC(=O)N(C)CC[NH+]1CCN(c2cc(C)ncc2C#N)CC1 ZINC001102409715 778122227 /nfs/dbraw/zinc/12/22/27/778122227.db2.gz RFBILHDZPNZCIU-UHFFFAOYSA-N 1 2 315.421 1.252 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1C ZINC001102610144 778238914 /nfs/dbraw/zinc/23/89/14/778238914.db2.gz VNZAHHKKMNLOFC-JHJVBQTASA-N 1 2 324.450 1.313 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1C ZINC001102610144 778238917 /nfs/dbraw/zinc/23/89/17/778238917.db2.gz VNZAHHKKMNLOFC-JHJVBQTASA-N 1 2 324.450 1.313 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccoc3)C[C@@H]21 ZINC001176905969 778333729 /nfs/dbraw/zinc/33/37/29/778333729.db2.gz BPMLQQBEHRSPCV-JKSUJKDBSA-N 1 2 320.389 1.398 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccoc3)C[C@@H]21 ZINC001176905969 778333737 /nfs/dbraw/zinc/33/37/37/778333737.db2.gz BPMLQQBEHRSPCV-JKSUJKDBSA-N 1 2 320.389 1.398 20 30 DDEDLO C=CCO[C@H](C)C(=O)N(C)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102715739 778339468 /nfs/dbraw/zinc/33/94/68/778339468.db2.gz HOYJTDNOKCZPKH-TZMCWYRMSA-N 1 2 304.394 1.413 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102716230 778340347 /nfs/dbraw/zinc/34/03/47/778340347.db2.gz SXWBAIPBYISNCQ-AWEZNQCLSA-N 1 2 304.394 1.415 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)COCCCC)C[C@H]21 ZINC001176976798 778361734 /nfs/dbraw/zinc/36/17/34/778361734.db2.gz LSCNHCNVNVCZBX-HZPDHXFCSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)COCCCC)C[C@H]21 ZINC001176976798 778361740 /nfs/dbraw/zinc/36/17/40/778361740.db2.gz LSCNHCNVNVCZBX-HZPDHXFCSA-N 1 2 308.422 1.128 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C=C3CCC3)C[C@@H]21 ZINC001176978895 778364954 /nfs/dbraw/zinc/36/49/54/778364954.db2.gz XSLKGPGRADYPBW-IRXDYDNUSA-N 1 2 320.433 1.601 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C=C3CCC3)C[C@@H]21 ZINC001176978895 778364959 /nfs/dbraw/zinc/36/49/59/778364959.db2.gz XSLKGPGRADYPBW-IRXDYDNUSA-N 1 2 320.433 1.601 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC=C)[C@@H]2C1 ZINC001177007194 778386722 /nfs/dbraw/zinc/38/67/22/778386722.db2.gz XVRMFOKDDQZEDJ-SJORKVTESA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOCC=C)[C@@H]2C1 ZINC001177007194 778386728 /nfs/dbraw/zinc/38/67/28/778386728.db2.gz XVRMFOKDDQZEDJ-SJORKVTESA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3(COC)CC3)C[C@H]21 ZINC001177011578 778390420 /nfs/dbraw/zinc/39/04/20/778390420.db2.gz DKROFIRXEKUAFN-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3(COC)CC3)C[C@H]21 ZINC001177011578 778390426 /nfs/dbraw/zinc/39/04/26/778390426.db2.gz DKROFIRXEKUAFN-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCCO)[C@@H]2C1 ZINC001177019319 778396166 /nfs/dbraw/zinc/39/61/66/778396166.db2.gz KIGGGTYBPRAMCN-SJORKVTESA-N 1 2 322.449 1.439 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]2OCC[N@H+](CCCO)[C@@H]2C1 ZINC001177019319 778396171 /nfs/dbraw/zinc/39/61/71/778396171.db2.gz KIGGGTYBPRAMCN-SJORKVTESA-N 1 2 322.449 1.439 20 30 DDEDLO CCN(C(=O)Cn1cc[nH+]c1)[C@H]1CCN(c2ncccc2C#N)C1 ZINC001102811191 778419871 /nfs/dbraw/zinc/41/98/71/778419871.db2.gz PUOVTUHBGAGJQH-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCCO)[C@@H]2C1 ZINC001177101398 778446463 /nfs/dbraw/zinc/44/64/63/778446463.db2.gz MIDJMDFXZLMHKS-CABCVRRESA-N 1 2 310.438 1.273 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCCO)[C@@H]2C1 ZINC001177101398 778446467 /nfs/dbraw/zinc/44/64/67/778446467.db2.gz MIDJMDFXZLMHKS-CABCVRRESA-N 1 2 310.438 1.273 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CCCO)[C@H]3C2)CCC1 ZINC001177101481 778446611 /nfs/dbraw/zinc/44/66/11/778446611.db2.gz MTPICFFNJDBWCE-JKSUJKDBSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]3OCC[N@H+](CCCO)[C@H]3C2)CCC1 ZINC001177101481 778446614 /nfs/dbraw/zinc/44/66/14/778446614.db2.gz MTPICFFNJDBWCE-JKSUJKDBSA-N 1 2 322.449 1.417 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001103425652 778859807 /nfs/dbraw/zinc/85/98/07/778859807.db2.gz JFZRJCNVHAFTTH-MCIONIFRSA-N 1 2 315.421 1.427 20 30 DDEDLO C[C@H](CC(=O)NCC[C@@H]1CN(CC#N)C[C@H]1C)n1cc[nH+]c1 ZINC001103479242 778888487 /nfs/dbraw/zinc/88/84/87/778888487.db2.gz JEPBDYLRDUOPOL-RBSFLKMASA-N 1 2 303.410 1.432 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+]Cc2nc(C3CC3)no2)[C@@H]1C ZINC001178338600 778988813 /nfs/dbraw/zinc/98/88/13/778988813.db2.gz FMVHQCAUGFRVKX-AAEUAGOBSA-N 1 2 320.393 1.229 20 30 DDEDLO N#CCNCCCCCCNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001178870903 779206448 /nfs/dbraw/zinc/20/64/48/779206448.db2.gz GQZUZLKTVBBTSY-AWEZNQCLSA-N 1 2 303.410 1.235 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2cccc(OC)c2OC)CC1 ZINC001179966704 779582759 /nfs/dbraw/zinc/58/27/59/779582759.db2.gz SFXGWRVZIHKCEB-UHFFFAOYSA-N 1 2 304.390 1.577 20 30 DDEDLO Cc1nc(NC[C@@H](C2CC2)N(C)C(=O)CSCC#N)cc[nH+]1 ZINC001115473782 780163693 /nfs/dbraw/zinc/16/36/93/780163693.db2.gz HYUZUJHEHCSVKO-ZDUSSCGKSA-N 1 2 319.434 1.691 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](C)CC(=O)NCC1CCCCC1 ZINC001267211765 837542797 /nfs/dbraw/zinc/54/27/97/837542797.db2.gz HKXLUEMZMOSKFP-UHFFFAOYSA-N 1 2 323.481 1.943 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](C)CC(=O)NCC1CCCCC1 ZINC001267211765 837542802 /nfs/dbraw/zinc/54/28/02/837542802.db2.gz HKXLUEMZMOSKFP-UHFFFAOYSA-N 1 2 323.481 1.943 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+](C)Cc2conc2C)CCOCC1 ZINC001267227313 837564353 /nfs/dbraw/zinc/56/43/53/837564353.db2.gz LDJZLCKPBWZPHU-UHFFFAOYSA-N 1 2 321.421 1.904 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+](C)Cc2conc2C)CCOCC1 ZINC001267227313 837564359 /nfs/dbraw/zinc/56/43/59/837564359.db2.gz LDJZLCKPBWZPHU-UHFFFAOYSA-N 1 2 321.421 1.904 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H]2C[N@H+](Cc3cnon3)C[C@H]21 ZINC001279613114 837572450 /nfs/dbraw/zinc/57/24/50/837572450.db2.gz MYDFOVILJPLQFG-GOEBONIOSA-N 1 2 316.405 1.686 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H]2C[N@@H+](Cc3cnon3)C[C@H]21 ZINC001279613114 837572458 /nfs/dbraw/zinc/57/24/58/837572458.db2.gz MYDFOVILJPLQFG-GOEBONIOSA-N 1 2 316.405 1.686 20 30 DDEDLO CC1(C)CCCC[C@@H]1C(=O)NCC[NH+]1CCN(CC#N)CC1 ZINC001266296890 836072153 /nfs/dbraw/zinc/07/21/53/836072153.db2.gz GRKWWZJECWGTIM-OAHLLOKOSA-N 1 2 306.454 1.460 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H](NC(=O)C#CC3CC3)C2)c(C)s1 ZINC001266319665 836113312 /nfs/dbraw/zinc/11/33/12/836113312.db2.gz POQMWJDGHLZJLA-CQSZACIVSA-N 1 2 303.431 1.864 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H](NC(=O)C#CC3CC3)C2)c(C)s1 ZINC001266319665 836113315 /nfs/dbraw/zinc/11/33/15/836113315.db2.gz POQMWJDGHLZJLA-CQSZACIVSA-N 1 2 303.431 1.864 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266488101 836337154 /nfs/dbraw/zinc/33/71/54/836337154.db2.gz CEJHWHYQQQFYKC-LSDHHAIUSA-N 1 2 322.453 1.279 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266488101 836337172 /nfs/dbraw/zinc/33/71/72/836337172.db2.gz CEJHWHYQQQFYKC-LSDHHAIUSA-N 1 2 322.453 1.279 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@@H+](Cc2nnc(C)[nH]2)C1 ZINC001266537335 836434219 /nfs/dbraw/zinc/43/42/19/836434219.db2.gz JNWLGZBSKYHWPR-CYBMUJFWSA-N 1 2 305.426 1.654 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@H+](Cc2nnc(C)[nH]2)C1 ZINC001266537335 836434223 /nfs/dbraw/zinc/43/42/23/836434223.db2.gz JNWLGZBSKYHWPR-CYBMUJFWSA-N 1 2 305.426 1.654 20 30 DDEDLO CC(C)c1noc([C@H](C)[NH2+][C@H](C)CNC(=O)C#CC2CC2)n1 ZINC001266937008 837083215 /nfs/dbraw/zinc/08/32/15/837083215.db2.gz AHYDLIQKSOXBAY-NEPJUHHUSA-N 1 2 304.394 1.762 20 30 DDEDLO CCO[C@@H](C)c1noc(C[NH2+][C@@H](C)CNC(=O)C#CC(C)C)n1 ZINC001266937221 837083434 /nfs/dbraw/zinc/08/34/34/837083434.db2.gz DTYZETXDTHNUQO-STQMWFEESA-N 1 2 322.409 1.421 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ccc(OCCOC)cc2)C1 ZINC001267664836 838606785 /nfs/dbraw/zinc/60/67/85/838606785.db2.gz VFZWFPHMSZIEQJ-UHFFFAOYSA-N 1 2 302.374 1.149 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1nncn1C ZINC001272024158 844348949 /nfs/dbraw/zinc/34/89/49/844348949.db2.gz MLBPJRKXDLGFJZ-HUUCEWRRSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1nncn1C ZINC001272024158 844348959 /nfs/dbraw/zinc/34/89/59/844348959.db2.gz MLBPJRKXDLGFJZ-HUUCEWRRSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(C)(C)C=C ZINC001267706105 838685213 /nfs/dbraw/zinc/68/52/13/838685213.db2.gz ZSRORJXQOSYOAT-KKUMJFAQSA-N 1 2 319.449 1.565 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(C)(C)C=C ZINC001267706105 838685214 /nfs/dbraw/zinc/68/52/14/838685214.db2.gz ZSRORJXQOSYOAT-KKUMJFAQSA-N 1 2 319.449 1.565 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(Cl)nc2)C1 ZINC001267722766 838734425 /nfs/dbraw/zinc/73/44/25/838734425.db2.gz LFQOXTKMCCIWJP-ZDUSSCGKSA-N 1 2 309.797 1.628 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2ccc(Cl)nc2)C1 ZINC001267722766 838734432 /nfs/dbraw/zinc/73/44/32/838734432.db2.gz LFQOXTKMCCIWJP-ZDUSSCGKSA-N 1 2 309.797 1.628 20 30 DDEDLO CCN(CCNC(=O)c1c(C)cc(C)[nH+]c1C)C(=O)[C@@H](C)C#N ZINC001408778670 838753879 /nfs/dbraw/zinc/75/38/79/838753879.db2.gz PKLUPIXFVKQIAW-LBPRGKRZSA-N 1 2 316.405 1.745 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001267762691 838895784 /nfs/dbraw/zinc/89/57/84/838895784.db2.gz SLKBCFDHDWCLQT-MRXNPFEDSA-N 1 2 319.449 1.237 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001267762691 838895788 /nfs/dbraw/zinc/89/57/88/838895788.db2.gz SLKBCFDHDWCLQT-MRXNPFEDSA-N 1 2 319.449 1.237 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1)C1CCCC1 ZINC001268229524 839862359 /nfs/dbraw/zinc/86/23/59/839862359.db2.gz UEPQCJFBSGUIIV-ZFWWWQNUSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1)C1CCCC1 ZINC001268229524 839862371 /nfs/dbraw/zinc/86/23/71/839862371.db2.gz UEPQCJFBSGUIIV-ZFWWWQNUSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C23CC(C(F)(F)F)(C2)C3)C1 ZINC001268483531 840280980 /nfs/dbraw/zinc/28/09/80/840280980.db2.gz NTOZRZBOQNNMHD-UHFFFAOYSA-N 1 2 318.339 1.722 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+]C[C@H](C)NC(=O)C#CC(C)(C)C)n1 ZINC001268685417 840665835 /nfs/dbraw/zinc/66/58/35/840665835.db2.gz BOECFOYXFCEPBC-NWDGAFQWSA-N 1 2 306.410 1.837 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@]1(CC)CCNC1=O ZINC001268734249 840733456 /nfs/dbraw/zinc/73/34/56/840733456.db2.gz SJLMHLLZJYZQQT-BXUZGUMPSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@]1(CC)CCNC1=O ZINC001268734249 840733448 /nfs/dbraw/zinc/73/34/48/840733448.db2.gz SJLMHLLZJYZQQT-BXUZGUMPSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)C(=O)N1CCC[C@@H](C)C1 ZINC001268748223 840752008 /nfs/dbraw/zinc/75/20/08/840752008.db2.gz DWVOTBJCZNRCJC-YPMHNXCESA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)C(=O)N1CCC[C@@H](C)C1 ZINC001268748223 840752016 /nfs/dbraw/zinc/75/20/16/840752016.db2.gz DWVOTBJCZNRCJC-YPMHNXCESA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@H]1CCCCN(C)C1=O ZINC001268752097 840754597 /nfs/dbraw/zinc/75/45/97/840754597.db2.gz MOLXQEAWMDWEEB-OLZOCXBDSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@@H]1CCCCN(C)C1=O ZINC001268752097 840754606 /nfs/dbraw/zinc/75/46/06/840754606.db2.gz MOLXQEAWMDWEEB-OLZOCXBDSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1C[NH+](CC(=O)NC(C)(C)CC)C1 ZINC001268874757 840930046 /nfs/dbraw/zinc/93/00/46/840930046.db2.gz XHAFTXDUFNFXTB-GOSISDBHSA-N 1 2 323.481 1.942 20 30 DDEDLO C[NH+]1CC2(C1)CCCN(C(=O)c1[nH]nc3ccc(C#N)cc31)C2 ZINC001269185099 841306602 /nfs/dbraw/zinc/30/66/02/841306602.db2.gz PBLVZUAZAIWGPB-UHFFFAOYSA-N 1 2 309.373 1.602 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)CCc1ccco1 ZINC001269195337 841326064 /nfs/dbraw/zinc/32/60/64/841326064.db2.gz NFWFDIVBCWQRMG-KGLIPLIRSA-N 1 2 319.405 1.093 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)CCc1ccco1 ZINC001269195337 841326072 /nfs/dbraw/zinc/32/60/72/841326072.db2.gz NFWFDIVBCWQRMG-KGLIPLIRSA-N 1 2 319.405 1.093 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)CCCCC)C1 ZINC001269199592 841330215 /nfs/dbraw/zinc/33/02/15/841330215.db2.gz LSAYENZXHSTSAR-OAHLLOKOSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)CCCCC)C1 ZINC001269199592 841330221 /nfs/dbraw/zinc/33/02/21/841330221.db2.gz LSAYENZXHSTSAR-OAHLLOKOSA-N 1 2 321.465 1.533 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@H+]1[C@H]1CCN(CC)C1=O ZINC001269239740 841404665 /nfs/dbraw/zinc/40/46/65/841404665.db2.gz DQCREEURUOPVFP-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@@H+]1[C@H]1CCN(CC)C1=O ZINC001269239740 841404668 /nfs/dbraw/zinc/40/46/68/841404668.db2.gz DQCREEURUOPVFP-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@H+]1[C@@H]1CCN(CC)C1=O ZINC001269239742 841404814 /nfs/dbraw/zinc/40/48/14/841404814.db2.gz DQCREEURUOPVFP-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@@H+]1[C@@H]1CCN(CC)C1=O ZINC001269239742 841404818 /nfs/dbraw/zinc/40/48/18/841404818.db2.gz DQCREEURUOPVFP-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO N#Cc1ccc2[nH]c(C(=O)NCCn3cc[nH+]c3)cc(=O)c2c1 ZINC001154552385 861204223 /nfs/dbraw/zinc/20/42/23/861204223.db2.gz UGBISPWAXUZUOB-UHFFFAOYSA-N 1 2 307.313 1.026 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001269286397 841470438 /nfs/dbraw/zinc/47/04/38/841470438.db2.gz NRWPYOMNQQBPKF-MAUKXSAKSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001269286397 841470444 /nfs/dbraw/zinc/47/04/44/841470444.db2.gz NRWPYOMNQQBPKF-MAUKXSAKSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)CCCCN2C(=O)Cn1cc[nH+]c1 ZINC001269289582 841477740 /nfs/dbraw/zinc/47/77/40/841477740.db2.gz IBWOQBBTZNEBDL-MRXNPFEDSA-N 1 2 302.378 1.053 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@H+]2[C@H](C)C(=O)NC2CC2)CCC1 ZINC001269292065 841478535 /nfs/dbraw/zinc/47/85/35/841478535.db2.gz NWFCVTXEZNMRGK-UKRRQHHQSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@@H+]2[C@H](C)C(=O)NC2CC2)CCC1 ZINC001269292065 841478544 /nfs/dbraw/zinc/47/85/44/841478544.db2.gz NWFCVTXEZNMRGK-UKRRQHHQSA-N 1 2 319.449 1.590 20 30 DDEDLO C=C[C@H](C(=O)NC[C@@H]1CC[N@H+]1CC#CCOC)c1ccccc1 ZINC001269349549 841551369 /nfs/dbraw/zinc/55/13/69/841551369.db2.gz DFGORNGDRDELOV-ROUUACIJSA-N 1 2 312.413 1.797 20 30 DDEDLO C=C[C@H](C(=O)NC[C@@H]1CC[N@@H+]1CC#CCOC)c1ccccc1 ZINC001269349549 841551378 /nfs/dbraw/zinc/55/13/78/841551378.db2.gz DFGORNGDRDELOV-ROUUACIJSA-N 1 2 312.413 1.797 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)CO[C@H]2CCOC2)CC1 ZINC001269621591 841838820 /nfs/dbraw/zinc/83/88/20/841838820.db2.gz HNWBLLBCCMZTTA-INIZCTEOSA-N 1 2 321.421 1.018 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)CO[C@H]2CCOC2)CC1 ZINC001269621591 841838825 /nfs/dbraw/zinc/83/88/25/841838825.db2.gz HNWBLLBCCMZTTA-INIZCTEOSA-N 1 2 321.421 1.018 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@@H](C)Cn2ccc(C)n2)C1 ZINC001269794023 842054765 /nfs/dbraw/zinc/05/47/65/842054765.db2.gz MSIFPXXUPFMQAP-AWEZNQCLSA-N 1 2 320.437 1.173 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccnc2OCCOC)C1 ZINC001269893564 842135581 /nfs/dbraw/zinc/13/55/81/842135581.db2.gz SBNUDKNERZZDMW-UHFFFAOYSA-N 1 2 319.405 1.439 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)COCCc2ccccc2)C1 ZINC001269898918 842139178 /nfs/dbraw/zinc/13/91/78/842139178.db2.gz DYKWZWGXERXURD-UHFFFAOYSA-N 1 2 300.402 1.412 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC001270637646 842757241 /nfs/dbraw/zinc/75/72/41/842757241.db2.gz DLQKUQGAQKXAHW-OAHLLOKOSA-N 1 2 322.405 1.530 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC001270637646 842757249 /nfs/dbraw/zinc/75/72/49/842757249.db2.gz DLQKUQGAQKXAHW-OAHLLOKOSA-N 1 2 322.405 1.530 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H](C)OCCOCC)C1 ZINC001270659690 842786260 /nfs/dbraw/zinc/78/62/60/842786260.db2.gz WCRURLFWLNIEEZ-DZGCQCFKSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H](C)OCCOCC)C1 ZINC001270659690 842786267 /nfs/dbraw/zinc/78/62/67/842786267.db2.gz WCRURLFWLNIEEZ-DZGCQCFKSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)COc2ccc(C)cc2)C1 ZINC001270701318 842832239 /nfs/dbraw/zinc/83/22/39/842832239.db2.gz QWQLNXPYHOAMMS-KRWDZBQOSA-N 1 2 318.417 1.767 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)COc2ccc(C)cc2)C1 ZINC001270701318 842832248 /nfs/dbraw/zinc/83/22/48/842832248.db2.gz QWQLNXPYHOAMMS-KRWDZBQOSA-N 1 2 318.417 1.767 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CSCC#N)C1 ZINC001149237157 861367637 /nfs/dbraw/zinc/36/76/37/861367637.db2.gz PRYGDCBFMHHUMT-GFCCVEGCSA-N 1 2 317.842 1.203 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CSCC#N)C1 ZINC001149237157 861367652 /nfs/dbraw/zinc/36/76/52/861367652.db2.gz PRYGDCBFMHHUMT-GFCCVEGCSA-N 1 2 317.842 1.203 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C[C@H]2C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001271212534 843417415 /nfs/dbraw/zinc/41/74/15/843417415.db2.gz UBDYEMHWUDKKKD-RYUDHWBXSA-N 1 2 323.356 1.281 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnc(OC)c1 ZINC001280408510 843720711 /nfs/dbraw/zinc/72/07/11/843720711.db2.gz DMKSPWIVNYJXMZ-OAHLLOKOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnc(OC)c1 ZINC001280408510 843720717 /nfs/dbraw/zinc/72/07/17/843720717.db2.gz DMKSPWIVNYJXMZ-OAHLLOKOSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CC[NH2+]C[C@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@@H]1C ZINC001271650533 843762225 /nfs/dbraw/zinc/76/22/25/843762225.db2.gz XEGIRVKIWLDYGL-BBRMVZONSA-N 1 2 323.400 1.403 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)CCc1nc(C)cs1 ZINC001326643994 861505222 /nfs/dbraw/zinc/50/52/22/861505222.db2.gz QVMPRKCKUMYEJO-AWEZNQCLSA-N 1 2 321.446 1.224 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)CCc1nc(C)cs1 ZINC001326643994 861505230 /nfs/dbraw/zinc/50/52/30/861505230.db2.gz QVMPRKCKUMYEJO-AWEZNQCLSA-N 1 2 321.446 1.224 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)[C@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001409531958 845253979 /nfs/dbraw/zinc/25/39/79/845253979.db2.gz GPHXYNBEPHYSJJ-PWSUYJOCSA-N 1 2 315.377 1.994 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)[C@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001409531958 845253994 /nfs/dbraw/zinc/25/39/94/845253994.db2.gz GPHXYNBEPHYSJJ-PWSUYJOCSA-N 1 2 315.377 1.994 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)Cc2ccon2)C1 ZINC001149458606 861629014 /nfs/dbraw/zinc/62/90/14/861629014.db2.gz RPNVLUXYCIGIOZ-ZDUSSCGKSA-N 1 2 313.785 1.177 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)Cc2ccon2)C1 ZINC001149458606 861629019 /nfs/dbraw/zinc/62/90/19/861629019.db2.gz RPNVLUXYCIGIOZ-ZDUSSCGKSA-N 1 2 313.785 1.177 20 30 DDEDLO C#CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001272348469 846057417 /nfs/dbraw/zinc/05/74/17/846057417.db2.gz IZWJCYBEJIGOQZ-IYBDPMFKSA-N 1 2 324.428 1.731 20 30 DDEDLO C#CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001272348469 846057423 /nfs/dbraw/zinc/05/74/23/846057423.db2.gz IZWJCYBEJIGOQZ-IYBDPMFKSA-N 1 2 324.428 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)/C=C/c2ccc[nH]2)C1 ZINC001149607058 861745458 /nfs/dbraw/zinc/74/54/58/861745458.db2.gz BIGALFJGRRRXKE-NFAHFFEMSA-N 1 2 323.824 1.988 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)/C=C/c2ccc[nH]2)C1 ZINC001149607058 861745462 /nfs/dbraw/zinc/74/54/62/861745462.db2.gz BIGALFJGRRRXKE-NFAHFFEMSA-N 1 2 323.824 1.988 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)C[N@H+](CCc2ccns2)CCO1 ZINC001107802288 847154852 /nfs/dbraw/zinc/15/48/52/847154852.db2.gz NQIIXABYAQFSFV-INIZCTEOSA-N 1 2 323.462 1.859 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)C[N@@H+](CCc2ccns2)CCO1 ZINC001107802288 847154855 /nfs/dbraw/zinc/15/48/55/847154855.db2.gz NQIIXABYAQFSFV-INIZCTEOSA-N 1 2 323.462 1.859 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]1(C)C[N@H+](Cc2ccc(F)cc2)CCO1 ZINC001107818887 847194962 /nfs/dbraw/zinc/19/49/62/847194962.db2.gz HWDPNDRRXOHFPJ-SUMWQHHRSA-N 1 2 319.380 1.692 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]1(C)C[N@@H+](Cc2ccc(F)cc2)CCO1 ZINC001107818887 847194967 /nfs/dbraw/zinc/19/49/67/847194967.db2.gz HWDPNDRRXOHFPJ-SUMWQHHRSA-N 1 2 319.380 1.692 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3csc(C)n3)C2)OCC1=O ZINC001272775136 847411814 /nfs/dbraw/zinc/41/18/14/847411814.db2.gz XBWGKEOPWVKKRG-HNNXBMFYSA-N 1 2 307.419 1.441 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3csc(C)n3)C2)OCC1=O ZINC001272775136 847411822 /nfs/dbraw/zinc/41/18/22/847411822.db2.gz XBWGKEOPWVKKRG-HNNXBMFYSA-N 1 2 307.419 1.441 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cncc(F)c1 ZINC001272851563 847550602 /nfs/dbraw/zinc/55/06/02/847550602.db2.gz SPMIUJSBJHERNL-OAHLLOKOSA-N 1 2 307.369 1.618 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncc(F)c1 ZINC001272851563 847550607 /nfs/dbraw/zinc/55/06/07/847550607.db2.gz SPMIUJSBJHERNL-OAHLLOKOSA-N 1 2 307.369 1.618 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)CCC1CCCC1)CO2 ZINC001327372950 862113448 /nfs/dbraw/zinc/11/34/48/862113448.db2.gz RAZDRCWUZLJWTP-INIZCTEOSA-N 1 2 304.434 1.940 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)C1CCC1)CO2 ZINC001273703733 851244620 /nfs/dbraw/zinc/24/46/20/851244620.db2.gz FHBGGYKRAXYDII-HOCLYGCPSA-N 1 2 322.449 1.585 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2Cc2cnc(F)c(OC)c2)C1=O ZINC001273793557 851355982 /nfs/dbraw/zinc/35/59/82/851355982.db2.gz PVFMYGBJKSQMMK-QGZVFWFLSA-N 1 2 319.380 1.982 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2Cc2cnc(F)c(OC)c2)C1=O ZINC001273793557 851355986 /nfs/dbraw/zinc/35/59/86/851355986.db2.gz PVFMYGBJKSQMMK-QGZVFWFLSA-N 1 2 319.380 1.982 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](Cc3cc(C)c[nH]c3=O)C2)OCC1=O ZINC001274092618 851920680 /nfs/dbraw/zinc/92/06/80/851920680.db2.gz NGPINOCLTOKINU-UHFFFAOYSA-N 1 2 317.389 1.085 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001274200315 852027724 /nfs/dbraw/zinc/02/77/24/852027724.db2.gz YJFDXVKGNSBJKQ-UONOGXRCSA-N 1 2 318.421 1.789 20 30 DDEDLO Cc1[nH+]ccn1CC(=O)NC/C=C\CNCc1ccccc1C#N ZINC001274577615 852411770 /nfs/dbraw/zinc/41/17/70/852411770.db2.gz BNTMZALLEDMVRN-PLNGDYQASA-N 1 2 323.400 1.525 20 30 DDEDLO Cc1nccn1CC(=O)NC/C=C\C[NH2+]Cc1ccccc1C#N ZINC001274577615 852411774 /nfs/dbraw/zinc/41/17/74/852411774.db2.gz BNTMZALLEDMVRN-PLNGDYQASA-N 1 2 323.400 1.525 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3cc(F)ccn3)C2)OCC1=O ZINC001274585266 852418122 /nfs/dbraw/zinc/41/81/22/852418122.db2.gz OAYVOFBQUBSFIH-MRXNPFEDSA-N 1 2 305.353 1.210 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3cc(F)ccn3)C2)OCC1=O ZINC001274585266 852418125 /nfs/dbraw/zinc/41/81/25/852418125.db2.gz OAYVOFBQUBSFIH-MRXNPFEDSA-N 1 2 305.353 1.210 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc(C#N)c(CC)c1)C2 ZINC001274602618 852432854 /nfs/dbraw/zinc/43/28/54/852432854.db2.gz RJGNHZGDJUAGSX-UHFFFAOYSA-N 1 2 323.396 1.167 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1C[C@]2(F)CN(C3CCCC3)C(=O)[C@]2(F)C1 ZINC001274614755 852444410 /nfs/dbraw/zinc/44/44/10/852444410.db2.gz LZJSGQKAXLXMRG-HRCADAONSA-N 1 2 314.376 1.440 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1C[C@]2(F)CN(C3CCCC3)C(=O)[C@]2(F)C1 ZINC001274614755 852444415 /nfs/dbraw/zinc/44/44/15/852444415.db2.gz LZJSGQKAXLXMRG-HRCADAONSA-N 1 2 314.376 1.440 20 30 DDEDLO C=CCCCC(=O)NC1(CO)CC[NH+](Cc2ccn(C)n2)CC1 ZINC001274923056 852685539 /nfs/dbraw/zinc/68/55/39/852685539.db2.gz BRMCUTMADLYZAC-UHFFFAOYSA-N 1 2 320.437 1.220 20 30 DDEDLO C=CCn1cc(C[NH+]2CC3(C2)CCN(C(=O)NC(C)C)C3)cn1 ZINC001275380983 853041302 /nfs/dbraw/zinc/04/13/02/853041302.db2.gz BFIAOAFKXZKRRT-UHFFFAOYSA-N 1 2 317.437 1.695 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C[N@H+](C)Cc2nocc2C)nc1 ZINC001275697591 853584793 /nfs/dbraw/zinc/58/47/93/853584793.db2.gz KTUMNXNMRJSHSV-CYBMUJFWSA-N 1 2 312.373 1.610 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C[N@@H+](C)Cc2nocc2C)nc1 ZINC001275697591 853584798 /nfs/dbraw/zinc/58/47/98/853584798.db2.gz KTUMNXNMRJSHSV-CYBMUJFWSA-N 1 2 312.373 1.610 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1(CCO)C[NH+](CCn2cccn2)C1 ZINC001276083319 854722764 /nfs/dbraw/zinc/72/27/64/854722764.db2.gz SPDCLQBUAAGKDL-INIZCTEOSA-N 1 2 320.437 1.038 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)NCc2[nH]c3c([nH+]2)CCCC3)cc1 ZINC001412676413 854926363 /nfs/dbraw/zinc/92/63/63/854926363.db2.gz HSACMLCBLWAZQV-MRXNPFEDSA-N 1 2 310.357 1.510 20 30 DDEDLO Cc1cccnc1CN1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001276257535 855070228 /nfs/dbraw/zinc/07/02/28/855070228.db2.gz JXQVHHJZEPFBJD-UHFFFAOYSA-N 1 2 321.428 1.918 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2nnc3cccc(Cl)n32)CC1 ZINC001156022048 862639067 /nfs/dbraw/zinc/63/90/67/862639067.db2.gz WVUOYXYIZZARRY-UHFFFAOYSA-N 1 2 305.769 1.327 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnn(CC)n2)CC1(C)C ZINC001328067201 862670405 /nfs/dbraw/zinc/67/04/05/862670405.db2.gz KBIZVDUPWMZFMS-OAHLLOKOSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2cnn(CC)n2)CC1(C)C ZINC001328067201 862670415 /nfs/dbraw/zinc/67/04/15/862670415.db2.gz KBIZVDUPWMZFMS-OAHLLOKOSA-N 1 2 319.453 1.981 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](Cc2nnc(C)[nH]2)[C@@H](C)C1 ZINC001328237842 862798708 /nfs/dbraw/zinc/79/87/08/862798708.db2.gz CDYDVZHEKYCIAT-ZFWWWQNUSA-N 1 2 317.437 1.776 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](Cc2nnc(C)[nH]2)[C@@H](C)C1 ZINC001328237842 862798714 /nfs/dbraw/zinc/79/87/14/862798714.db2.gz CDYDVZHEKYCIAT-ZFWWWQNUSA-N 1 2 317.437 1.776 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[N@H+](Cc1cnc(Cl)s1)C2 ZINC001072550040 857432259 /nfs/dbraw/zinc/43/22/59/857432259.db2.gz HMTGUCYTGVSHGE-SNVBAGLBSA-N 1 2 324.837 1.990 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnc(Cl)s1)C2 ZINC001072550040 857432269 /nfs/dbraw/zinc/43/22/69/857432269.db2.gz HMTGUCYTGVSHGE-SNVBAGLBSA-N 1 2 324.837 1.990 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cncs2)C1 ZINC001073549316 858431614 /nfs/dbraw/zinc/43/16/14/858431614.db2.gz YBRUGWNTSJWEDD-LLVKDONJSA-N 1 2 315.826 1.716 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cncs2)C1 ZINC001073549316 858431617 /nfs/dbraw/zinc/43/16/17/858431617.db2.gz YBRUGWNTSJWEDD-LLVKDONJSA-N 1 2 315.826 1.716 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2C[N@@H+]3CCCC[C@H]3CO2)n1CC ZINC001121885953 858619414 /nfs/dbraw/zinc/61/94/14/858619414.db2.gz UMXNXSCNKCKDGZ-KKUMJFAQSA-N 1 2 317.437 1.682 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2C[N@H+]3CCCC[C@H]3CO2)n1CC ZINC001121885953 858619419 /nfs/dbraw/zinc/61/94/19/858619419.db2.gz UMXNXSCNKCKDGZ-KKUMJFAQSA-N 1 2 317.437 1.682 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](Nc2[nH+]cnc3c2cnn3C)[C@H]1C ZINC001122951043 859022225 /nfs/dbraw/zinc/02/22/25/859022225.db2.gz QHELAUAYHKGIQU-DGCLKSJQSA-N 1 2 314.393 1.731 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C[NH+]2CCCC2)n1C[C@@H]1CCOC1 ZINC001123236438 859139147 /nfs/dbraw/zinc/13/91/47/859139147.db2.gz MCSWSPNEDAFRCG-GJZGRUSLSA-N 1 2 317.437 1.368 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCc1coc(C[NH+](C)C)n1 ZINC001123971437 859503979 /nfs/dbraw/zinc/50/39/79/859503979.db2.gz KMISZDVLXGJZNR-UHFFFAOYSA-N 1 2 316.361 1.626 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(CCCC)no1 ZINC001124487300 859720632 /nfs/dbraw/zinc/72/06/32/859720632.db2.gz BHLAOEXOFNACNY-ZDUSSCGKSA-N 1 2 324.425 1.599 20 30 DDEDLO CC1(C#N)CN(Cc2cccc(OCC[NH+]3CCOCC3)c2)C1 ZINC001139760554 860473287 /nfs/dbraw/zinc/47/32/87/860473287.db2.gz RQUBTDREJUZGRN-UHFFFAOYSA-N 1 2 315.417 1.743 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c1Cl ZINC001140809836 860702731 /nfs/dbraw/zinc/70/27/31/860702731.db2.gz JSUPXRQCPMVNMB-UHFFFAOYSA-N 1 2 316.748 1.971 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c1Cl ZINC001140809836 860702734 /nfs/dbraw/zinc/70/27/34/860702734.db2.gz JSUPXRQCPMVNMB-UHFFFAOYSA-N 1 2 316.748 1.971 20 30 DDEDLO C=C[C@H](C(=O)NCC[NH2+]Cc1nc(C)no1)c1ccccc1 ZINC001151991003 863095253 /nfs/dbraw/zinc/09/52/53/863095253.db2.gz VENWZSBFCZFINK-AWEZNQCLSA-N 1 2 300.362 1.554 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)N(C)CCCn2cc[nH+]c2)C1 ZINC001328847566 863272928 /nfs/dbraw/zinc/27/29/28/863272928.db2.gz GGMHGQJVUNQVFG-AWEZNQCLSA-N 1 2 304.394 1.156 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)cn1 ZINC001152370404 863308176 /nfs/dbraw/zinc/30/81/76/863308176.db2.gz DRWJLGSPRZSTSL-CQSZACIVSA-N 1 2 306.410 1.314 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)cn1 ZINC001152370404 863308185 /nfs/dbraw/zinc/30/81/85/863308185.db2.gz DRWJLGSPRZSTSL-CQSZACIVSA-N 1 2 306.410 1.314 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1C ZINC001152867422 863579158 /nfs/dbraw/zinc/57/91/58/863579158.db2.gz QXABRGONRKDVHO-OAHLLOKOSA-N 1 2 304.438 1.940 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1C ZINC001152867422 863579150 /nfs/dbraw/zinc/57/91/50/863579150.db2.gz QXABRGONRKDVHO-OAHLLOKOSA-N 1 2 304.438 1.940 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)[C@@H](c1cccnc1)[NH+](C)C ZINC001157153901 863617153 /nfs/dbraw/zinc/61/71/53/863617153.db2.gz SKHVVYDAURQPGE-UKRRQHHQSA-N 1 2 303.410 1.034 20 30 DDEDLO CC[N@H+](Cc1ncc(C)cn1)[C@H](C)CNC(=O)C#CC(C)C ZINC001153207942 863764170 /nfs/dbraw/zinc/76/41/70/863764170.db2.gz XCGUZMLFIYWLTQ-OAHLLOKOSA-N 1 2 302.422 1.771 20 30 DDEDLO CC[N@@H+](Cc1ncc(C)cn1)[C@H](C)CNC(=O)C#CC(C)C ZINC001153207942 863764174 /nfs/dbraw/zinc/76/41/74/863764174.db2.gz XCGUZMLFIYWLTQ-OAHLLOKOSA-N 1 2 302.422 1.771 20 30 DDEDLO C[C@H](NC(=O)C#CC1CC1)C1C[NH+](Cc2cncc(F)c2)C1 ZINC001329998959 864008171 /nfs/dbraw/zinc/00/81/71/864008171.db2.gz WWHNYGBKNUTUTA-LBPRGKRZSA-N 1 2 301.365 1.571 20 30 DDEDLO CCC(CC)[C@@H](C)C(=O)N[C@@H](C)C1C[NH+](CC(=O)NCC#N)C1 ZINC001330021669 864025133 /nfs/dbraw/zinc/02/51/33/864025133.db2.gz MCCKFPNJYILPIU-OLZOCXBDSA-N 1 2 322.453 1.135 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C2(CCCC)CC2)C1 ZINC001330046259 864042318 /nfs/dbraw/zinc/04/23/18/864042318.db2.gz FVMGQSVIUZWHSC-CQSZACIVSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)C2C[NH+](CC(=O)NCC)C2)CCC1 ZINC001330045723 864043499 /nfs/dbraw/zinc/04/34/99/864043499.db2.gz DNZDFGGOICWOIA-CYBMUJFWSA-N 1 2 307.438 1.305 20 30 DDEDLO CCC[C@@H](C)CC(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)CC1(C)C ZINC001330179388 864131356 /nfs/dbraw/zinc/13/13/56/864131356.db2.gz WPRBPAIUGUBHJJ-ZIAGYGMSSA-N 1 2 322.453 1.279 20 30 DDEDLO CCC[C@@H](C)CC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)CC1(C)C ZINC001330179388 864131361 /nfs/dbraw/zinc/13/13/61/864131361.db2.gz WPRBPAIUGUBHJJ-ZIAGYGMSSA-N 1 2 322.453 1.279 20 30 DDEDLO COC(=O)n1ncc(C#N)c1Nc1cc(-n2ccnc2)cc[nH+]1 ZINC001158970152 865009137 /nfs/dbraw/zinc/00/91/37/865009137.db2.gz NBXWCRPZNVWRGM-UHFFFAOYSA-N 1 2 309.289 1.694 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@@H](C)CN(C)C(=O)C#CC2CC2)n1 ZINC001331439647 865084278 /nfs/dbraw/zinc/08/42/78/865084278.db2.gz NUEQMYMVRCEYMT-NWDGAFQWSA-N 1 2 304.394 1.543 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@H+](CCS(C)(=O)=O)CC1 ZINC001159544181 865390026 /nfs/dbraw/zinc/39/00/26/865390026.db2.gz JXQGPJGJAPNZGX-UHFFFAOYSA-N 1 2 314.451 1.136 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@@H+](CCS(C)(=O)=O)CC1 ZINC001159544181 865390042 /nfs/dbraw/zinc/39/00/42/865390042.db2.gz JXQGPJGJAPNZGX-UHFFFAOYSA-N 1 2 314.451 1.136 20 30 DDEDLO Cc1nc(C[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)co1 ZINC001159586922 865414052 /nfs/dbraw/zinc/41/40/52/865414052.db2.gz FECQIKSYYDYEHF-GFCCVEGCSA-N 1 2 302.378 1.781 20 30 DDEDLO Cc1nc(C[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)co1 ZINC001159586922 865414055 /nfs/dbraw/zinc/41/40/55/865414055.db2.gz FECQIKSYYDYEHF-GFCCVEGCSA-N 1 2 302.378 1.781 20 30 DDEDLO C#CCC1(C(=O)NCCC[NH2+][C@H](C)c2noc(C)n2)CCC1 ZINC001159643983 865448502 /nfs/dbraw/zinc/44/85/02/865448502.db2.gz CCQYHQWNLPYBCE-GFCCVEGCSA-N 1 2 304.394 1.729 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cnnn2CC)[C@@H]1C ZINC001332175026 865639239 /nfs/dbraw/zinc/63/92/39/865639239.db2.gz IJGXMFPZZLBBPA-GDBMZVCRSA-N 1 2 317.437 1.571 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2cnnn2CC)[C@@H]1C ZINC001332175026 865639244 /nfs/dbraw/zinc/63/92/44/865639244.db2.gz IJGXMFPZZLBBPA-GDBMZVCRSA-N 1 2 317.437 1.571 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)N[C@](CC)(C(C)C)C2=O)C1 ZINC001320307691 866631266 /nfs/dbraw/zinc/63/12/66/866631266.db2.gz CKYIYISMHYBOGP-XJKSGUPXSA-N 1 2 309.410 1.577 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)N[C@](CC)(C(C)C)C2=O)C1 ZINC001320307691 866631271 /nfs/dbraw/zinc/63/12/71/866631271.db2.gz CKYIYISMHYBOGP-XJKSGUPXSA-N 1 2 309.410 1.577 20 30 DDEDLO CC(C)c1noc([C@@H](C)[NH2+]C/C=C/CNC(=O)[C@H](C)C#N)n1 ZINC001320998758 867191860 /nfs/dbraw/zinc/19/18/60/867191860.db2.gz QPFQVCGJHFGKHL-IEVRTODYSA-N 1 2 305.382 1.676 20 30 DDEDLO CCC(=CC(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)CC ZINC001324559650 867353981 /nfs/dbraw/zinc/35/39/81/867353981.db2.gz INYILNHPNASEJN-MRXNPFEDSA-N 1 2 304.438 1.475 20 30 DDEDLO CCC[C@H](C)CC(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001324559984 867354187 /nfs/dbraw/zinc/35/41/87/867354187.db2.gz KPYUXBGVNKXPJT-JKSUJKDBSA-N 1 2 306.454 1.555 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+]([C@@H](C)c2nc(C)no2)C1 ZINC001324586751 867374998 /nfs/dbraw/zinc/37/49/98/867374998.db2.gz ALFZQXNORCSSGR-NHYWBVRUSA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+]([C@@H](C)c2nc(C)no2)C1 ZINC001324586751 867375010 /nfs/dbraw/zinc/37/50/10/867375010.db2.gz ALFZQXNORCSSGR-NHYWBVRUSA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC001325222031 867873067 /nfs/dbraw/zinc/87/30/67/867873067.db2.gz DOFLGBPGFQVSKS-QWRGUYRKSA-N 1 2 323.418 1.451 20 30 DDEDLO C=CCO[C@H]1CC[N@H+]([C@@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC001325222031 867873080 /nfs/dbraw/zinc/87/30/80/867873080.db2.gz DOFLGBPGFQVSKS-QWRGUYRKSA-N 1 2 323.418 1.451 20 30 DDEDLO C#CCOc1ccccc1C[NH2+]Cc1ccc(S(C)(=O)=O)o1 ZINC001325238631 867883921 /nfs/dbraw/zinc/88/39/21/867883921.db2.gz FNNWSNDEQBYWFI-UHFFFAOYSA-N 1 2 319.382 1.985 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H](C)C[N@H+](C)CC(=C)Cl)nn1 ZINC001322263229 868087186 /nfs/dbraw/zinc/08/71/86/868087186.db2.gz WTPWABDKOIEQPE-LBPRGKRZSA-N 1 2 311.817 1.657 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H](C)C[N@@H+](C)CC(=C)Cl)nn1 ZINC001322263229 868087193 /nfs/dbraw/zinc/08/71/93/868087193.db2.gz WTPWABDKOIEQPE-LBPRGKRZSA-N 1 2 311.817 1.657 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@H](C)[C@@H](CNCC#N)C2)c[nH+]1 ZINC001325532454 868114031 /nfs/dbraw/zinc/11/40/31/868114031.db2.gz UDHIWJBYGFKQKD-JSGCOSHPSA-N 1 2 303.410 1.134 20 30 DDEDLO COC(=O)c1cc(C#N)cnc1NCc1ccc2[nH+]ccn2c1 ZINC001163140407 868151089 /nfs/dbraw/zinc/15/10/89/868151089.db2.gz DJXVSVXNASZMDH-UHFFFAOYSA-N 1 2 307.313 2.000 20 30 DDEDLO Cc1cc(N2CCc3onc(Cn4cc[nH+]c4)c3C2)nc(C#N)n1 ZINC001165547301 869637738 /nfs/dbraw/zinc/63/77/38/869637738.db2.gz LTFXETNKNXDNBH-UHFFFAOYSA-N 1 2 321.344 1.452 20 30 DDEDLO C[N@@H+]1CCO[C@@H](COc2ncc(Br)cc2C#N)C1 ZINC001226284644 882324926 /nfs/dbraw/zinc/32/49/26/882324926.db2.gz HOQBJKSGBVJVAT-LLVKDONJSA-N 1 2 312.167 1.425 20 30 DDEDLO C[N@H+]1CCO[C@@H](COc2ncc(Br)cc2C#N)C1 ZINC001226284644 882324945 /nfs/dbraw/zinc/32/49/45/882324945.db2.gz HOQBJKSGBVJVAT-LLVKDONJSA-N 1 2 312.167 1.425 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C1 ZINC001316976297 870039758 /nfs/dbraw/zinc/03/97/58/870039758.db2.gz LOWXQNIWCIEHLU-GOEBONIOSA-N 1 2 307.369 1.238 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001316976297 870039768 /nfs/dbraw/zinc/03/97/68/870039768.db2.gz LOWXQNIWCIEHLU-GOEBONIOSA-N 1 2 307.369 1.238 20 30 DDEDLO C=C[C@H](CC(=O)N[C@H]1CC[N@@H+](CC(N)=O)C1)c1ccccc1 ZINC001316995751 870095858 /nfs/dbraw/zinc/09/58/58/870095858.db2.gz SEKAFRPDZMFMSD-HIFRSBDPSA-N 1 2 301.390 1.022 20 30 DDEDLO C=C[C@H](CC(=O)N[C@H]1CC[N@H+](CC(N)=O)C1)c1ccccc1 ZINC001316995751 870095870 /nfs/dbraw/zinc/09/58/70/870095870.db2.gz SEKAFRPDZMFMSD-HIFRSBDPSA-N 1 2 301.390 1.022 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1C[C@H]([NH2+]Cc2noc(CC)n2)C1 ZINC001317078064 870211624 /nfs/dbraw/zinc/21/16/24/870211624.db2.gz NAJITZCRCQVNKA-HAQNSBGRSA-N 1 2 306.410 1.971 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](NC(=O)Cn1cc[nH+]c1)C(C)C ZINC001297979328 870228121 /nfs/dbraw/zinc/22/81/21/870228121.db2.gz LYEQCBZDAIRPGR-CQSZACIVSA-N 1 2 306.410 1.496 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)N[C@@]2(C#N)CCSC2)[nH]n1 ZINC001339038094 870312017 /nfs/dbraw/zinc/31/20/17/870312017.db2.gz UJAWAURQTXLOJP-GXTWGEPZSA-N 1 2 305.407 1.305 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)N[C@@]2(C#N)CCSC2)[nH]n1 ZINC001339038094 870312002 /nfs/dbraw/zinc/31/20/02/870312002.db2.gz UJAWAURQTXLOJP-GXTWGEPZSA-N 1 2 305.407 1.305 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@H]1C[NH2+]Cc1nnc(C)o1 ZINC001317184359 870431186 /nfs/dbraw/zinc/43/11/86/870431186.db2.gz JFBURPIMSRLHPN-AWEZNQCLSA-N 1 2 304.394 1.652 20 30 DDEDLO COCCCN(C)c1nnc(C2CC[NH+](CCC#N)CC2)n1C ZINC001339627182 870588357 /nfs/dbraw/zinc/58/83/57/870588357.db2.gz FUGPJZFOFFLGPZ-UHFFFAOYSA-N 1 2 320.441 1.381 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001298806563 870736573 /nfs/dbraw/zinc/73/65/73/870736573.db2.gz FOPDILYXKWKMTC-STQMWFEESA-N 1 2 318.421 1.279 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](N3CCOCC3)[C@@H](F)C2)cc1 ZINC001203907151 870826624 /nfs/dbraw/zinc/82/66/24/870826624.db2.gz OUBYNVAQWURJAC-DLBZAZTESA-N 1 2 303.381 1.803 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](N3CCOCC3)[C@@H](F)C2)cc1 ZINC001203907151 870826636 /nfs/dbraw/zinc/82/66/36/870826636.db2.gz OUBYNVAQWURJAC-DLBZAZTESA-N 1 2 303.381 1.803 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@H+]1[C@H](C)c1ncccn1 ZINC001317505367 870941317 /nfs/dbraw/zinc/94/13/17/870941317.db2.gz OSEXQRUZVCBCCP-CABCVRRESA-N 1 2 316.405 1.158 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@@H+]1[C@H](C)c1ncccn1 ZINC001317505367 870941330 /nfs/dbraw/zinc/94/13/30/870941330.db2.gz OSEXQRUZVCBCCP-CABCVRRESA-N 1 2 316.405 1.158 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@H+]1[C@@H](C)c1ncccn1 ZINC001317505368 870941354 /nfs/dbraw/zinc/94/13/54/870941354.db2.gz OSEXQRUZVCBCCP-GJZGRUSLSA-N 1 2 316.405 1.158 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@@H+]1[C@@H](C)c1ncccn1 ZINC001317505368 870941364 /nfs/dbraw/zinc/94/13/64/870941364.db2.gz OSEXQRUZVCBCCP-GJZGRUSLSA-N 1 2 316.405 1.158 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@H+]1[C@@H](C)c1ncccn1 ZINC001317505370 870942621 /nfs/dbraw/zinc/94/26/21/870942621.db2.gz OSEXQRUZVCBCCP-LSDHHAIUSA-N 1 2 316.405 1.158 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@@H+]1[C@@H](C)c1ncccn1 ZINC001317505370 870942634 /nfs/dbraw/zinc/94/26/34/870942634.db2.gz OSEXQRUZVCBCCP-LSDHHAIUSA-N 1 2 316.405 1.158 20 30 DDEDLO C=CC1CCN(c2nnc([C@H]3C[C@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001340402747 871083046 /nfs/dbraw/zinc/08/30/46/871083046.db2.gz WVJDOPKBTAHCRS-UONOGXRCSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CC1CCN(c2nnc([C@H]3C[C@H](O)C[N@H+]3C)n2CC)CC1 ZINC001340402747 871083061 /nfs/dbraw/zinc/08/30/61/871083061.db2.gz WVJDOPKBTAHCRS-UONOGXRCSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCCC(=O)N1CC=C(CCNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001340437700 871107184 /nfs/dbraw/zinc/10/71/84/871107184.db2.gz NMCDXLJINDNJCX-UHFFFAOYSA-N 1 2 316.405 1.583 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)C2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001340703720 871296040 /nfs/dbraw/zinc/29/60/40/871296040.db2.gz ZMMTYCGNFKRDLA-WOSRLPQWSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)C2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001340703720 871296054 /nfs/dbraw/zinc/29/60/54/871296054.db2.gz ZMMTYCGNFKRDLA-WOSRLPQWSA-N 1 2 305.426 1.222 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@H]1CCC[C@@H](F)C1 ZINC001317818792 871544947 /nfs/dbraw/zinc/54/49/47/871544947.db2.gz ICNAUKVEVKAUCT-LSDHHAIUSA-N 1 2 310.417 1.114 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)C[C@H](C)C(F)(F)F)CC1 ZINC001317819760 871548235 /nfs/dbraw/zinc/54/82/35/871548235.db2.gz GCITYQTXMVAVDO-ZDUSSCGKSA-N 1 2 321.387 1.837 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001317835058 871567787 /nfs/dbraw/zinc/56/77/87/871567787.db2.gz BUNYAHOAQZFLGI-CQSZACIVSA-N 1 2 303.410 1.197 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001317835058 871567794 /nfs/dbraw/zinc/56/77/94/871567794.db2.gz BUNYAHOAQZFLGI-CQSZACIVSA-N 1 2 303.410 1.197 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+](Cc2coc(C3CC3)n2)CC1 ZINC001226598404 882529409 /nfs/dbraw/zinc/52/94/09/882529409.db2.gz FXSLFJCHZRGHNV-UHFFFAOYSA-N 1 2 319.405 1.835 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CCC[N@H+](Cc2ccc(F)cn2)C1 ZINC001317968574 871659106 /nfs/dbraw/zinc/65/91/06/871659106.db2.gz BCOQKBZZUZBAIP-DOTOQJQBSA-N 1 2 321.396 1.628 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001317968574 871659109 /nfs/dbraw/zinc/65/91/09/871659109.db2.gz BCOQKBZZUZBAIP-DOTOQJQBSA-N 1 2 321.396 1.628 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1C[NH+](Cc2ccc(CC)cc2)C1 ZINC001318019037 871692696 /nfs/dbraw/zinc/69/26/96/871692696.db2.gz VVJVRJQDJDHIDS-SFHVURJKSA-N 1 2 302.418 1.877 20 30 DDEDLO O=C(NCC#C[C@@H]1CCCCO1)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001341810374 871838092 /nfs/dbraw/zinc/83/80/92/871838092.db2.gz UQSJOOWOWMVVNO-HNNXBMFYSA-N 1 2 316.405 1.871 20 30 DDEDLO CCC(CC)(CC)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318291373 871908851 /nfs/dbraw/zinc/90/88/51/871908851.db2.gz MAGRYTFUTMCYKV-ZDUSSCGKSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC(CC)(CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318291373 871908856 /nfs/dbraw/zinc/90/88/56/871908856.db2.gz MAGRYTFUTMCYKV-ZDUSSCGKSA-N 1 2 308.426 1.033 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001318326498 871931250 /nfs/dbraw/zinc/93/12/50/871931250.db2.gz PSRDDBXNOIZNBK-TZMCWYRMSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001318326498 871931260 /nfs/dbraw/zinc/93/12/60/871931260.db2.gz PSRDDBXNOIZNBK-TZMCWYRMSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N(C)CCCOC ZINC001342100800 872004156 /nfs/dbraw/zinc/00/41/56/872004156.db2.gz WHKRRBBLSHBXDH-UHFFFAOYSA-N 1 2 307.442 1.923 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2csc(C)n2)C[C@H]1C ZINC001206586181 872454292 /nfs/dbraw/zinc/45/42/92/872454292.db2.gz QIKQDLZBUVFNJK-BXUZGUMPSA-N 1 2 309.435 1.591 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2csc(C)n2)C[C@H]1C ZINC001206586181 872454297 /nfs/dbraw/zinc/45/42/97/872454297.db2.gz QIKQDLZBUVFNJK-BXUZGUMPSA-N 1 2 309.435 1.591 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](CC(=O)Nc2ccccc2)C[C@H]1C ZINC001206640200 872489419 /nfs/dbraw/zinc/48/94/19/872489419.db2.gz FGKNJCYJXFEAOA-UMVBOHGHSA-N 1 2 314.389 1.221 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](CC(=O)Nc2ccccc2)C[C@H]1C ZINC001206640200 872489426 /nfs/dbraw/zinc/48/94/26/872489426.db2.gz FGKNJCYJXFEAOA-UMVBOHGHSA-N 1 2 314.389 1.221 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)COc2ccccc2OC)C1 ZINC001319331710 872583917 /nfs/dbraw/zinc/58/39/17/872583917.db2.gz HIYQOFCGEDCOCL-AWEZNQCLSA-N 1 2 320.389 1.077 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)COc2ccccc2OC)C1 ZINC001319331710 872583930 /nfs/dbraw/zinc/58/39/30/872583930.db2.gz HIYQOFCGEDCOCL-AWEZNQCLSA-N 1 2 320.389 1.077 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C1 ZINC001206946007 872823231 /nfs/dbraw/zinc/82/32/31/872823231.db2.gz FSCQMFVQIBNLAH-DGCLKSJQSA-N 1 2 306.435 1.442 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C1 ZINC001206946007 872823244 /nfs/dbraw/zinc/82/32/44/872823244.db2.gz FSCQMFVQIBNLAH-DGCLKSJQSA-N 1 2 306.435 1.442 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]([NH2+]Cc2nc([C@@H](C)OC)no2)C1 ZINC001207636347 873431062 /nfs/dbraw/zinc/43/10/62/873431062.db2.gz SZHLIBHBCBYORR-OLZOCXBDSA-N 1 2 322.409 1.824 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001207950430 873680660 /nfs/dbraw/zinc/68/06/60/873680660.db2.gz KYPXXPNLSOFKOI-LRDDRELGSA-N 1 2 322.409 1.040 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001207951465 873683432 /nfs/dbraw/zinc/68/34/32/873683432.db2.gz ULOVNFHOGHUALN-MLGOLLRUSA-N 1 2 322.409 1.040 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2CCCN(C(=O)C#CC(C)(C)C)C2)o1 ZINC001208011106 873746363 /nfs/dbraw/zinc/74/63/63/873746363.db2.gz VTNWUUXMSIDICP-CYBMUJFWSA-N 1 2 318.421 1.762 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)CCc2nccn2C)C1 ZINC001208062038 873798931 /nfs/dbraw/zinc/79/89/31/873798931.db2.gz WVGRJHKPCSSMSY-DGCLKSJQSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)CCc2nccn2C)C1 ZINC001208062038 873798935 /nfs/dbraw/zinc/79/89/35/873798935.db2.gz WVGRJHKPCSSMSY-DGCLKSJQSA-N 1 2 310.829 1.542 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(F)F)n2CC(C)C)CC1 ZINC001347524248 874171887 /nfs/dbraw/zinc/17/18/87/874171887.db2.gz MHCHUULZUJOWCV-UHFFFAOYSA-N 1 2 311.380 1.497 20 30 DDEDLO CCc1cccc(C[NH+]2CC(CCO)(NC(=O)[C@H](C)C#N)C2)c1 ZINC001378276591 874597539 /nfs/dbraw/zinc/59/75/39/874597539.db2.gz RIQNBULXQGSNIM-CQSZACIVSA-N 1 2 315.417 1.462 20 30 DDEDLO C=CCN(CC[N@H+]1[C@@H](C(=O)OC)[C@@H]2CC[C@H]1C2)C(=O)OCC ZINC001209023966 874610655 /nfs/dbraw/zinc/61/06/55/874610655.db2.gz OANNRCKHMPTZQU-HZSPNIEDSA-N 1 2 310.394 1.657 20 30 DDEDLO C=CCN(CC[N@@H+]1[C@@H](C(=O)OC)[C@@H]2CC[C@H]1C2)C(=O)OCC ZINC001209023966 874610661 /nfs/dbraw/zinc/61/06/61/874610661.db2.gz OANNRCKHMPTZQU-HZSPNIEDSA-N 1 2 310.394 1.657 20 30 DDEDLO C#CCC1(O)CCN(C(=O)NCc2cc[nH+]c(NCC)c2)CC1 ZINC001349108205 874974120 /nfs/dbraw/zinc/97/41/20/874974120.db2.gz BAEDXNOHAIQPFU-UHFFFAOYSA-N 1 2 316.405 1.573 20 30 DDEDLO COc1cc([N+](=O)[O-])ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001227187661 882900547 /nfs/dbraw/zinc/90/05/47/882900547.db2.gz POGUVKOVZQTCCU-RBRDRRMGSA-N 1 2 306.318 1.595 20 30 DDEDLO COc1cc([N+](=O)[O-])ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001227187661 882900554 /nfs/dbraw/zinc/90/05/54/882900554.db2.gz POGUVKOVZQTCCU-RBRDRRMGSA-N 1 2 306.318 1.595 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCNC(=O)CCc1c[nH+]cn1C ZINC001349473884 875193359 /nfs/dbraw/zinc/19/33/59/875193359.db2.gz WTOUWNBZQPJNKQ-INIZCTEOSA-N 1 2 306.410 1.187 20 30 DDEDLO CCn1nncc1C[NH+]1CCC(NC(=O)C#CC(C)C)CC1 ZINC001227245221 882929935 /nfs/dbraw/zinc/92/99/35/882929935.db2.gz ZBGAVUOHUNRAOO-UHFFFAOYSA-N 1 2 303.410 1.038 20 30 DDEDLO C=CCCCC(=O)NCCCNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001350220578 875585549 /nfs/dbraw/zinc/58/55/49/875585549.db2.gz OBPUXOXXFUXMKB-AWEZNQCLSA-N 1 2 318.421 1.424 20 30 DDEDLO C=CCC1(C(=O)NCCCNC(=O)Cc2[nH]cc[nH+]2)CCCC1 ZINC001350275093 875607425 /nfs/dbraw/zinc/60/74/25/875607425.db2.gz VOFMDYVCLFVVDH-UHFFFAOYSA-N 1 2 318.421 1.711 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC001350551168 875755066 /nfs/dbraw/zinc/75/50/66/875755066.db2.gz MDWBSPRBZVWFLO-ZIAGYGMSSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213320024 875895698 /nfs/dbraw/zinc/89/56/98/875895698.db2.gz JYYDBQNCNJZFIF-NUEKZKHPSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213320024 875895703 /nfs/dbraw/zinc/89/57/03/875895703.db2.gz JYYDBQNCNJZFIF-NUEKZKHPSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C[C@H](C(=O)N[C@H](C)[C@@H]1CN(C)CC[N@@H+]1C)c1ccccc1 ZINC001351325793 876189215 /nfs/dbraw/zinc/18/92/15/876189215.db2.gz QHULJRHZURMPNR-PVAVHDDUSA-N 1 2 301.434 1.707 20 30 DDEDLO C=C[C@H](C(=O)N[C@H](C)[C@@H]1CN(C)CC[N@H+]1C)c1ccccc1 ZINC001351325793 876189218 /nfs/dbraw/zinc/18/92/18/876189218.db2.gz QHULJRHZURMPNR-PVAVHDDUSA-N 1 2 301.434 1.707 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001214527409 876377312 /nfs/dbraw/zinc/37/73/12/876377312.db2.gz UMUJKMINSDPMQQ-CHWSQXEVSA-N 1 2 304.394 1.372 20 30 DDEDLO C=C[C@@H](COC)NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC001352230540 876654528 /nfs/dbraw/zinc/65/45/28/876654528.db2.gz XTMWOBWCNSISKJ-GOEBONIOSA-N 1 2 304.390 1.587 20 30 DDEDLO C=C[C@@H](COC)NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC001352230540 876654538 /nfs/dbraw/zinc/65/45/38/876654538.db2.gz XTMWOBWCNSISKJ-GOEBONIOSA-N 1 2 304.390 1.587 20 30 DDEDLO C=C[C@H](COC)NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC001352230539 876654826 /nfs/dbraw/zinc/65/48/26/876654826.db2.gz XTMWOBWCNSISKJ-GDBMZVCRSA-N 1 2 304.390 1.587 20 30 DDEDLO C=C[C@H](COC)NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC001352230539 876654836 /nfs/dbraw/zinc/65/48/36/876654836.db2.gz XTMWOBWCNSISKJ-GDBMZVCRSA-N 1 2 304.390 1.587 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H](NC(=O)CCC2CC2)[C@@H](O)C1 ZINC001219399091 878179143 /nfs/dbraw/zinc/17/91/43/878179143.db2.gz JQRRBNTTZMNZIX-SJORKVTESA-N 1 2 313.401 1.410 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H](NC(=O)CCC2CC2)[C@@H](O)C1 ZINC001219399091 878179149 /nfs/dbraw/zinc/17/91/49/878179149.db2.gz JQRRBNTTZMNZIX-SJORKVTESA-N 1 2 313.401 1.410 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@H+](CCC(F)(F)F)C[C@@H]1O ZINC001219598465 878379262 /nfs/dbraw/zinc/37/92/62/878379262.db2.gz YPHGUUOWNRLUTI-MNOVXSKESA-N 1 2 306.328 1.150 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@@H+](CCC(F)(F)F)C[C@@H]1O ZINC001219598465 878379276 /nfs/dbraw/zinc/37/92/76/878379276.db2.gz YPHGUUOWNRLUTI-MNOVXSKESA-N 1 2 306.328 1.150 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220177009 878785359 /nfs/dbraw/zinc/78/53/59/878785359.db2.gz YNWMEJKSIFBTOW-CVEARBPZSA-N 1 2 319.405 1.146 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220177009 878785368 /nfs/dbraw/zinc/78/53/68/878785368.db2.gz YNWMEJKSIFBTOW-CVEARBPZSA-N 1 2 319.405 1.146 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220206055 878820278 /nfs/dbraw/zinc/82/02/78/878820278.db2.gz WLNGKCSAHZXLKH-CFVMTHIKSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220206055 878820288 /nfs/dbraw/zinc/82/02/88/878820288.db2.gz WLNGKCSAHZXLKH-CFVMTHIKSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001356308149 878983209 /nfs/dbraw/zinc/98/32/09/878983209.db2.gz KEDCRIPAHJYSGI-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@]1(C)CC[N@H+](Cc2noc3c2CCCC3)C1 ZINC001380348146 879413345 /nfs/dbraw/zinc/41/33/45/879413345.db2.gz OHQJKLUCVQNXLW-PXAZEXFGSA-N 1 2 316.405 1.794 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@]1(C)CC[N@@H+](Cc2noc3c2CCCC3)C1 ZINC001380348146 879413358 /nfs/dbraw/zinc/41/33/58/879413358.db2.gz OHQJKLUCVQNXLW-PXAZEXFGSA-N 1 2 316.405 1.794 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cccs3)[C@@H]2C1 ZINC001221235480 879600737 /nfs/dbraw/zinc/60/07/37/879600737.db2.gz HVBRWBQRERULLA-ZIAGYGMSSA-N 1 2 304.415 1.544 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cccs3)[C@@H]2C1 ZINC001221235480 879600741 /nfs/dbraw/zinc/60/07/41/879600741.db2.gz HVBRWBQRERULLA-ZIAGYGMSSA-N 1 2 304.415 1.544 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CCCC1 ZINC001356932686 879601146 /nfs/dbraw/zinc/60/11/46/879601146.db2.gz HDWPALACKJYAGP-UHFFFAOYSA-N 1 2 318.421 1.772 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001221429133 879787703 /nfs/dbraw/zinc/78/77/03/879787703.db2.gz JEHJHWFNPNYKQJ-GDBMZVCRSA-N 1 2 302.422 1.902 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001221429133 879787711 /nfs/dbraw/zinc/78/77/11/879787711.db2.gz JEHJHWFNPNYKQJ-GDBMZVCRSA-N 1 2 302.422 1.902 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)C=C1CCC1 ZINC001276822767 879809623 /nfs/dbraw/zinc/80/96/23/879809623.db2.gz KSEHVJIGGWTHAB-MRXNPFEDSA-N 1 2 317.433 1.488 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)C=C1CCC1 ZINC001276822767 879809633 /nfs/dbraw/zinc/80/96/33/879809633.db2.gz KSEHVJIGGWTHAB-MRXNPFEDSA-N 1 2 317.433 1.488 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3CN(C(=O)C#CC(C)C)[C@@H]3C2)ncn1 ZINC001221534943 879929119 /nfs/dbraw/zinc/92/91/19/879929119.db2.gz FKNVQTXCAVOYOH-NVXWUHKLSA-N 1 2 312.417 1.477 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3CN(C(=O)C#CC(C)C)[C@@H]3C2)ncn1 ZINC001221534943 879929125 /nfs/dbraw/zinc/92/91/25/879929125.db2.gz FKNVQTXCAVOYOH-NVXWUHKLSA-N 1 2 312.417 1.477 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3CC)C[C@H]21 ZINC001221582684 879957632 /nfs/dbraw/zinc/95/76/32/879957632.db2.gz XHZDQWVUMNJMER-GDBMZVCRSA-N 1 2 317.437 1.687 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3CC)C[C@H]21 ZINC001221582684 879957654 /nfs/dbraw/zinc/95/76/54/879957654.db2.gz XHZDQWVUMNJMER-GDBMZVCRSA-N 1 2 317.437 1.687 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001358279047 880387867 /nfs/dbraw/zinc/38/78/67/880387867.db2.gz OOKXKTFRUDAXFK-CHWSQXEVSA-N 1 2 306.410 1.186 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1CC[NH+](Cc2nnc(C3CC3)o2)CC1 ZINC001222636914 880616162 /nfs/dbraw/zinc/61/61/62/880616162.db2.gz TTXBGEHHMREYDZ-LLVKDONJSA-N 1 2 317.393 1.435 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]1CNC(=O)Cc1c[nH+]cn1C ZINC001358623906 880634386 /nfs/dbraw/zinc/63/43/86/880634386.db2.gz NTRACMMGMWYCJM-ZDUSSCGKSA-N 1 2 304.394 1.036 20 30 DDEDLO Cc1cc(C[NH+]2CC3(CN(Cc4[nH]ccc4C#N)C3)C2)n(C)n1 ZINC001276839792 880707028 /nfs/dbraw/zinc/70/70/28/880707028.db2.gz FJZIYVXAFYMJRZ-UHFFFAOYSA-N 1 2 310.405 1.246 20 30 DDEDLO CN(CCC[N@H+](C)Cc1cscn1)C(=O)c1c[nH]c(C#N)c1 ZINC001380953905 880738788 /nfs/dbraw/zinc/73/87/88/880738788.db2.gz YQJRKTHJIMVIDX-UHFFFAOYSA-N 1 2 317.418 1.937 20 30 DDEDLO CN(CCC[N@@H+](C)Cc1cscn1)C(=O)c1c[nH]c(C#N)c1 ZINC001380953905 880738793 /nfs/dbraw/zinc/73/87/93/880738793.db2.gz YQJRKTHJIMVIDX-UHFFFAOYSA-N 1 2 317.418 1.937 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)COc1cc(C)ccc1F ZINC001276853573 880759957 /nfs/dbraw/zinc/75/99/57/880759957.db2.gz ODJDODHXMDAUSH-AWEZNQCLSA-N 1 2 304.365 1.727 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)COc1cc(C)ccc1F ZINC001276853573 880759962 /nfs/dbraw/zinc/75/99/62/880759962.db2.gz ODJDODHXMDAUSH-AWEZNQCLSA-N 1 2 304.365 1.727 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1(NC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001358690988 880766902 /nfs/dbraw/zinc/76/69/02/880766902.db2.gz RBUUAONRKYNCNS-MRXNPFEDSA-N 1 2 318.421 1.628 20 30 DDEDLO C=CCCC(=O)N(C)C1CN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001358722304 880801282 /nfs/dbraw/zinc/80/12/82/880801282.db2.gz YZHHWGBHSHJXHE-CYBMUJFWSA-N 1 2 304.394 1.470 20 30 DDEDLO C=CCCC(=O)N1CC(N(C)C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC001358737111 880832553 /nfs/dbraw/zinc/83/25/53/880832553.db2.gz XWFSMCWAOHAZRU-CYBMUJFWSA-N 1 2 316.405 1.081 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)C(=O)N(C)C(C)C ZINC001276897983 880995926 /nfs/dbraw/zinc/99/59/26/880995926.db2.gz BDVCBQJJJNQUNN-KBPBESRZSA-N 1 2 309.454 1.644 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)N(C)C(C)C ZINC001276897983 880995932 /nfs/dbraw/zinc/99/59/32/880995932.db2.gz BDVCBQJJJNQUNN-KBPBESRZSA-N 1 2 309.454 1.644 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001276910218 881052851 /nfs/dbraw/zinc/05/28/51/881052851.db2.gz WZJWSFLVVVSQQQ-ZIAGYGMSSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1CC[N@H+]1CC(=O)NC(C)(C)C ZINC001276910218 881052864 /nfs/dbraw/zinc/05/28/64/881052864.db2.gz WZJWSFLVVVSQQQ-ZIAGYGMSSA-N 1 2 309.454 1.694 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2CCn3nccc3C[N@@H+]2CC2CC2)CCC1 ZINC001277240878 883174997 /nfs/dbraw/zinc/17/49/97/883174997.db2.gz HDMGIGFLNMFMGB-HNNXBMFYSA-N 1 2 313.405 1.430 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2CCn3nccc3C[N@H+]2CC2CC2)CCC1 ZINC001277240878 883175006 /nfs/dbraw/zinc/17/50/06/883175006.db2.gz HDMGIGFLNMFMGB-HNNXBMFYSA-N 1 2 313.405 1.430 20 30 DDEDLO Cc1nc2c(c(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@H+]4C)n1)CCCC2 ZINC001229997769 884267563 /nfs/dbraw/zinc/26/75/63/884267563.db2.gz RVYDVFWKJQSPOV-BDOHNGBXSA-N 1 2 301.390 1.655 20 30 DDEDLO Cc1nc2c(c(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@@H+]4C)n1)CCCC2 ZINC001229997769 884267579 /nfs/dbraw/zinc/26/75/79/884267579.db2.gz RVYDVFWKJQSPOV-BDOHNGBXSA-N 1 2 301.390 1.655 20 30 DDEDLO COCCCOCC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230618442 884656178 /nfs/dbraw/zinc/65/61/78/884656178.db2.gz OQYYMMOBPGDRPM-INIZCTEOSA-N 1 2 323.437 1.266 20 30 DDEDLO COCCCOCC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230618442 884656185 /nfs/dbraw/zinc/65/61/85/884656185.db2.gz OQYYMMOBPGDRPM-INIZCTEOSA-N 1 2 323.437 1.266 20 30 DDEDLO Cc1nn(C(C)C)cc1C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231292054 885473805 /nfs/dbraw/zinc/47/38/05/885473805.db2.gz AVGBUZPATYCVKD-CJNGLKHVSA-N 1 2 317.437 1.965 20 30 DDEDLO Cc1nn(C(C)C)cc1C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231292054 885473812 /nfs/dbraw/zinc/47/38/12/885473812.db2.gz AVGBUZPATYCVKD-CJNGLKHVSA-N 1 2 317.437 1.965 20 30 DDEDLO CN(C(=O)C#CC1CC1)C1C[NH+](CCOCc2ccccc2)C1 ZINC001277594113 885981718 /nfs/dbraw/zinc/98/17/18/885981718.db2.gz RUFPYBXQMNONHY-UHFFFAOYSA-N 1 2 312.413 1.759 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cnc(C3CC3)nc2)C1 ZINC001277671275 886424043 /nfs/dbraw/zinc/42/40/43/886424043.db2.gz RVOMOAQNOZPIBV-UHFFFAOYSA-N 1 2 316.405 1.313 20 30 DDEDLO COC[C@@H]1Cn2nccc2C[N@H+](Cc2cc(C#N)ccc2O)C1 ZINC001232676562 886529542 /nfs/dbraw/zinc/52/95/42/886529542.db2.gz MDLCAXOAZZCHCW-AWEZNQCLSA-N 1 2 312.373 1.739 20 30 DDEDLO COC[C@@H]1Cn2nccc2C[N@@H+](Cc2cc(C#N)ccc2O)C1 ZINC001232676562 886529551 /nfs/dbraw/zinc/52/95/51/886529551.db2.gz MDLCAXOAZZCHCW-AWEZNQCLSA-N 1 2 312.373 1.739 20 30 DDEDLO Cc1cc(NC2CC[NH+]([C@H]3CCOC3=O)CC2)ncc1C#N ZINC001363717656 887057248 /nfs/dbraw/zinc/05/72/48/887057248.db2.gz CYDPLZREZQCXDK-AWEZNQCLSA-N 1 2 300.362 1.454 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(F)=C(C)C ZINC001233664808 887201218 /nfs/dbraw/zinc/20/12/18/887201218.db2.gz WXPIDJHPJRSNIJ-OLZOCXBDSA-N 1 2 311.401 1.473 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(F)=C(C)C ZINC001233664808 887201236 /nfs/dbraw/zinc/20/12/36/887201236.db2.gz WXPIDJHPJRSNIJ-OLZOCXBDSA-N 1 2 311.401 1.473 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)C)ncn1 ZINC001234162784 887701401 /nfs/dbraw/zinc/70/14/01/887701401.db2.gz VEBPVWYZCIQABW-MRXNPFEDSA-N 1 2 300.406 1.477 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)C)ncn1 ZINC001234162784 887701408 /nfs/dbraw/zinc/70/14/08/887701408.db2.gz VEBPVWYZCIQABW-MRXNPFEDSA-N 1 2 300.406 1.477 20 30 DDEDLO C[C@H](C(=O)N(C)C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214551 887752274 /nfs/dbraw/zinc/75/22/74/887752274.db2.gz CKPGNCJKSJRSDF-ZIAGYGMSSA-N 1 2 307.438 1.045 20 30 DDEDLO C[C@H](C(=O)N(C)C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214551 887752280 /nfs/dbraw/zinc/75/22/80/887752280.db2.gz CKPGNCJKSJRSDF-ZIAGYGMSSA-N 1 2 307.438 1.045 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1cn[nH]c1 ZINC001234382711 887923791 /nfs/dbraw/zinc/92/37/91/887923791.db2.gz ZLBWILLDLRDFAN-UHFFFAOYSA-N 1 2 301.188 1.370 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1cn[nH]c1 ZINC001234382711 887923803 /nfs/dbraw/zinc/92/38/03/887923803.db2.gz ZLBWILLDLRDFAN-UHFFFAOYSA-N 1 2 301.188 1.370 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001234594116 888127331 /nfs/dbraw/zinc/12/73/31/888127331.db2.gz KKNCICGVSLVOQC-AWEZNQCLSA-N 1 2 309.454 1.790 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC(C)(C)C ZINC001234594116 888127335 /nfs/dbraw/zinc/12/73/35/888127335.db2.gz KKNCICGVSLVOQC-AWEZNQCLSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1nc(CC)no1 ZINC001235230421 888492490 /nfs/dbraw/zinc/49/24/90/888492490.db2.gz TUSDWLVMUCZJKA-CYBMUJFWSA-N 1 2 324.425 1.551 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1nc(CC)no1 ZINC001235230421 888492505 /nfs/dbraw/zinc/49/25/05/888492505.db2.gz TUSDWLVMUCZJKA-CYBMUJFWSA-N 1 2 324.425 1.551 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC(NC(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC001385453031 889136419 /nfs/dbraw/zinc/13/64/19/889136419.db2.gz VDOOKNKNWIQMAF-STQMWFEESA-N 1 2 317.393 1.101 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2ccc(C#N)cc2F)c[nH+]1 ZINC001364981615 889813179 /nfs/dbraw/zinc/81/31/79/889813179.db2.gz DSYKXMQMPGYJKB-UHFFFAOYSA-N 1 2 308.338 1.461 20 30 DDEDLO COc1cc(C[N@@H+]2CCO[C@@H]3C[C@H](CO)C[C@H]32)ccc1C#N ZINC001238408974 890199070 /nfs/dbraw/zinc/19/90/70/890199070.db2.gz ANNSJRQGFHFLPF-FRFSOERESA-N 1 2 302.374 1.539 20 30 DDEDLO COc1cc(C[N@H+]2CCO[C@@H]3C[C@H](CO)C[C@H]32)ccc1C#N ZINC001238408974 890199072 /nfs/dbraw/zinc/19/90/72/890199072.db2.gz ANNSJRQGFHFLPF-FRFSOERESA-N 1 2 302.374 1.539 20 30 DDEDLO CCCCCCCCS(=O)(=O)NC[C@@H]([NH3+])C(=O)OCC ZINC001238841252 890371997 /nfs/dbraw/zinc/37/19/97/890371997.db2.gz WIZUWIUSHBSFLQ-GFCCVEGCSA-N 1 2 308.444 1.157 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@H+](C[C@@H](O)c1cccc(C#N)c1)C1CC1 ZINC001365270626 890472845 /nfs/dbraw/zinc/47/28/45/890472845.db2.gz KISMFCDAKCAAJB-MLGOLLRUSA-N 1 2 322.430 1.489 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@@H+](C[C@@H](O)c1cccc(C#N)c1)C1CC1 ZINC001365270626 890472855 /nfs/dbraw/zinc/47/28/55/890472855.db2.gz KISMFCDAKCAAJB-MLGOLLRUSA-N 1 2 322.430 1.489 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC3(C[C@H]3C(=O)N3CC=CC3)C2)c(F)c1 ZINC001278305789 890781075 /nfs/dbraw/zinc/78/10/75/890781075.db2.gz LIEODFLDGJDOHG-HNNXBMFYSA-N 1 2 311.360 1.918 20 30 DDEDLO C=C(Br)C[N@H+]1CCC2(CN(C(=O)COC)C2)C1 ZINC001365594734 891121331 /nfs/dbraw/zinc/12/13/31/891121331.db2.gz JWRODQITUYJDBA-UHFFFAOYSA-N 1 2 303.200 1.076 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC2(CN(C(=O)COC)C2)C1 ZINC001365594734 891121341 /nfs/dbraw/zinc/12/13/41/891121341.db2.gz JWRODQITUYJDBA-UHFFFAOYSA-N 1 2 303.200 1.076 20 30 DDEDLO C=CCCC(=O)N1C[C@H]([NH2+]Cc2nc(CCOC)no2)C[C@H]1C ZINC001278355853 891558171 /nfs/dbraw/zinc/55/81/71/891558171.db2.gz NMIAOIWGVREAQE-CHWSQXEVSA-N 1 2 322.409 1.304 20 30 DDEDLO C[C@@H](CNC(=O)Nc1cc(F)cc(C#N)c1)[NH+]1CCN(C)CC1 ZINC001366394321 893422970 /nfs/dbraw/zinc/42/29/70/893422970.db2.gz QOSMNDKZGDOHLR-LBPRGKRZSA-N 1 2 319.384 1.455 20 30 DDEDLO C[S@@](=O)CC[C@H]([NH3+])c1nc(-c2cc(C#N)ccc2F)no1 ZINC001249247762 893896069 /nfs/dbraw/zinc/89/60/69/893896069.db2.gz FELPEIGMSYXNNU-WIUDPPPLSA-N 1 2 308.338 1.516 20 30 DDEDLO C[S@](=O)CC[C@H]([NH3+])c1nc(-c2cc(F)cc(C#N)c2)no1 ZINC001249249775 893899154 /nfs/dbraw/zinc/89/91/54/893899154.db2.gz VVINYRJAQURSMA-MQJDWESPSA-N 1 2 308.338 1.516 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)CNC(=O)c1ccccc1 ZINC001366583091 894139352 /nfs/dbraw/zinc/13/93/52/894139352.db2.gz FWAZJNCBUZMYGE-UHFFFAOYSA-N 1 2 309.797 1.217 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)CNC(=O)c1ccccc1 ZINC001366583091 894139356 /nfs/dbraw/zinc/13/93/56/894139356.db2.gz FWAZJNCBUZMYGE-UHFFFAOYSA-N 1 2 309.797 1.217 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H](C(C)C)N1CCCC1=O ZINC001366594758 894179957 /nfs/dbraw/zinc/17/99/57/894179957.db2.gz DKZNVRDKCZTTCM-AWEZNQCLSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H](C(C)C)N1CCCC1=O ZINC001366594758 894179965 /nfs/dbraw/zinc/17/99/65/894179965.db2.gz DKZNVRDKCZTTCM-AWEZNQCLSA-N 1 2 315.845 1.434 20 30 DDEDLO C=CC[NH+]1CCN(C[C@H](O)COc2ccc(Cl)cc2)CC1 ZINC001250669047 894357011 /nfs/dbraw/zinc/35/70/11/894357011.db2.gz JDAWYAXZLYBWFJ-HNNXBMFYSA-N 1 2 310.825 1.883 20 30 DDEDLO C#CCOC[C@@H](O)CN1CC[C@H]([NH+]2CCCCC2)C(F)(F)C1 ZINC001251860859 894853909 /nfs/dbraw/zinc/85/39/09/894853909.db2.gz VNTDXSOHIPEVQS-GJZGRUSLSA-N 1 2 316.392 1.193 20 30 DDEDLO C#CCOC[C@@H](O)CNc1ccc([NH+]2CCC(O)CC2)cc1 ZINC001251863401 894856937 /nfs/dbraw/zinc/85/69/37/894856937.db2.gz CQOVZVLDXDJQPQ-KRWDZBQOSA-N 1 2 304.390 1.070 20 30 DDEDLO CCOc1cccc(C[N@@H+]2CC[C@@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001367027989 895615710 /nfs/dbraw/zinc/61/57/10/895615710.db2.gz KSJGIWKKZXWEBR-GXTWGEPZSA-N 1 2 302.378 1.330 20 30 DDEDLO CCOc1cccc(C[N@H+]2CC[C@@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001367027989 895615719 /nfs/dbraw/zinc/61/57/19/895615719.db2.gz KSJGIWKKZXWEBR-GXTWGEPZSA-N 1 2 302.378 1.330 20 30 DDEDLO CC[C@H](CC#N)[N@H+]1CCc2nnc(CN3CCCCC3)n2CC1 ZINC001255172957 896769174 /nfs/dbraw/zinc/76/91/74/896769174.db2.gz UQUFGERQGOYLIZ-OAHLLOKOSA-N 1 2 316.453 1.814 20 30 DDEDLO CC[C@H](CC#N)[N@@H+]1CCc2nnc(CN3CCCCC3)n2CC1 ZINC001255172957 896769187 /nfs/dbraw/zinc/76/91/87/896769187.db2.gz UQUFGERQGOYLIZ-OAHLLOKOSA-N 1 2 316.453 1.814 20 30 DDEDLO CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@@H](N1CC[NH2+]C[C@@H]1C#N)C2 ZINC001255236244 896802112 /nfs/dbraw/zinc/80/21/12/896802112.db2.gz GYXVVPRBKLUFJR-YJNKXOJESA-N 1 2 320.437 1.714 20 30 DDEDLO COC(=O)[C@@H]1C[C@@](O)(C(F)(F)F)C[N@@H+]1C1CCC(C#N)CC1 ZINC001256965305 897647604 /nfs/dbraw/zinc/64/76/04/897647604.db2.gz QGZHBJDDZNTDFA-GVAFYICXSA-N 1 2 320.311 1.609 20 30 DDEDLO COC(=O)[C@@H]1C[C@@](O)(C(F)(F)F)C[N@H+]1C1CCC(C#N)CC1 ZINC001256965305 897647610 /nfs/dbraw/zinc/64/76/10/897647610.db2.gz QGZHBJDDZNTDFA-GVAFYICXSA-N 1 2 320.311 1.609 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)NCc1c[nH+]cn1CCF ZINC001258329330 898138353 /nfs/dbraw/zinc/13/83/53/898138353.db2.gz JGGXKHYAFQNADW-UHFFFAOYSA-N 1 2 308.338 1.203 20 30 DDEDLO C=C1CC(C)(C(=O)NCCCNC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001293355734 914431291 /nfs/dbraw/zinc/43/12/91/914431291.db2.gz YHLMMVVPMQNATC-ZDUSSCGKSA-N 1 2 318.421 1.567 20 30 DDEDLO C=C1CC(C)(C(=O)NCCCNC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001293355734 914431299 /nfs/dbraw/zinc/43/12/99/914431299.db2.gz YHLMMVVPMQNATC-ZDUSSCGKSA-N 1 2 318.421 1.567 20 30 DDEDLO C#CC1CCN(c2nnc([C@H]3C[C@@H](O)C[N@H+]3C)n2CC=C)CC1 ZINC001262947613 900431269 /nfs/dbraw/zinc/43/12/69/900431269.db2.gz PGHWXGNINOCWST-HUUCEWRRSA-N 1 2 315.421 1.051 20 30 DDEDLO C#CC1CCN(c2nnc([C@H]3C[C@@H](O)C[N@@H+]3C)n2CC=C)CC1 ZINC001262947613 900431278 /nfs/dbraw/zinc/43/12/78/900431278.db2.gz PGHWXGNINOCWST-HUUCEWRRSA-N 1 2 315.421 1.051 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@H](CC)C(C)C ZINC001263817324 900733426 /nfs/dbraw/zinc/73/34/26/900733426.db2.gz SINFMFKVWQRSBX-JKSUJKDBSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@H](CC)C(C)C ZINC001263817324 900733431 /nfs/dbraw/zinc/73/34/31/900733431.db2.gz SINFMFKVWQRSBX-JKSUJKDBSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)C[C@@H](C)OC)C2 ZINC001264188664 901006913 /nfs/dbraw/zinc/00/69/13/901006913.db2.gz QGUCRBYXYBGUJN-LLVKDONJSA-N 1 2 307.419 1.176 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CC=CCC1 ZINC001264359859 901038967 /nfs/dbraw/zinc/03/89/67/901038967.db2.gz OVIWZZANGZQOMD-GJZGRUSLSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CC=CCC1 ZINC001264359859 901038984 /nfs/dbraw/zinc/03/89/84/901038984.db2.gz OVIWZZANGZQOMD-GJZGRUSLSA-N 1 2 305.422 1.178 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](F)c1ccccc1 ZINC001264373655 901057108 /nfs/dbraw/zinc/05/71/08/901057108.db2.gz YEGONLJSNIBMFK-DLBZAZTESA-N 1 2 318.392 1.880 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](F)c1ccccc1 ZINC001264373655 901057118 /nfs/dbraw/zinc/05/71/18/901057118.db2.gz YEGONLJSNIBMFK-DLBZAZTESA-N 1 2 318.392 1.880 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCC[N@H+](C)CC(=C)Br ZINC001264984831 901393679 /nfs/dbraw/zinc/39/36/79/901393679.db2.gz BWXQGBVUFSBMRO-GFCCVEGCSA-N 1 2 317.227 1.371 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCC[N@@H+](C)CC(=C)Br ZINC001264984831 901393687 /nfs/dbraw/zinc/39/36/87/901393687.db2.gz BWXQGBVUFSBMRO-GFCCVEGCSA-N 1 2 317.227 1.371 20 30 DDEDLO Cc1cc(C(=O)NCC[NH+]2CCN(CC#N)CC2)c(C)s1 ZINC001265261902 901783782 /nfs/dbraw/zinc/78/37/82/901783782.db2.gz ZVKDMMVXPMPHJU-UHFFFAOYSA-N 1 2 306.435 1.236 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001293583704 914569864 /nfs/dbraw/zinc/56/98/64/914569864.db2.gz YUZGCIMHCJKHLF-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001293583704 914569877 /nfs/dbraw/zinc/56/98/77/914569877.db2.gz YUZGCIMHCJKHLF-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001265387435 901952634 /nfs/dbraw/zinc/95/26/34/901952634.db2.gz ZPCGIFNNYFYBFE-AWEZNQCLSA-N 1 2 305.426 1.750 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001265387435 901952644 /nfs/dbraw/zinc/95/26/44/901952644.db2.gz ZPCGIFNNYFYBFE-AWEZNQCLSA-N 1 2 305.426 1.750 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001265721111 902339912 /nfs/dbraw/zinc/33/99/12/902339912.db2.gz FHOXMRMMIQNJNL-XWTLOZPGSA-N 1 2 317.437 1.823 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001265721111 902339927 /nfs/dbraw/zinc/33/99/27/902339927.db2.gz FHOXMRMMIQNJNL-XWTLOZPGSA-N 1 2 317.437 1.823 20 30 DDEDLO C[C@H](c1nncn1C)[N@@H+](CCCNC(=O)[C@@H](C)C#N)C1CC1 ZINC001266125451 902985414 /nfs/dbraw/zinc/98/54/14/902985414.db2.gz BFXCUAFGIICJJE-NWDGAFQWSA-N 1 2 304.398 1.006 20 30 DDEDLO C[C@H](c1nncn1C)[N@H+](CCCNC(=O)[C@@H](C)C#N)C1CC1 ZINC001266125451 902985424 /nfs/dbraw/zinc/98/54/24/902985424.db2.gz BFXCUAFGIICJJE-NWDGAFQWSA-N 1 2 304.398 1.006 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCc2ccco2)C1 ZINC001266208678 903112382 /nfs/dbraw/zinc/11/23/82/903112382.db2.gz DSZOTVIUWXSOBO-CQSZACIVSA-N 1 2 319.405 1.443 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCc2ccco2)C1 ZINC001266208678 903112392 /nfs/dbraw/zinc/11/23/92/903112392.db2.gz DSZOTVIUWXSOBO-CQSZACIVSA-N 1 2 319.405 1.443 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1cnn(C)n1 ZINC001279574638 903335463 /nfs/dbraw/zinc/33/54/63/903335463.db2.gz ARXBKUWPNPZHQN-HOTGVXAUSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1cnn(C)n1 ZINC001279574638 903335475 /nfs/dbraw/zinc/33/54/75/903335475.db2.gz ARXBKUWPNPZHQN-HOTGVXAUSA-N 1 2 317.437 1.737 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280316122 903592381 /nfs/dbraw/zinc/59/23/81/903592381.db2.gz APSGHYVEHJAOON-KGLIPLIRSA-N 1 2 318.421 1.545 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280316122 903592388 /nfs/dbraw/zinc/59/23/88/903592388.db2.gz APSGHYVEHJAOON-KGLIPLIRSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CCCCC(=O)NCc1cccc2c1CC[N@@H+](CC(N)=O)C2 ZINC001280426847 903666409 /nfs/dbraw/zinc/66/64/09/903666409.db2.gz IELKVBBZFGKHGC-UHFFFAOYSA-N 1 2 315.417 1.503 20 30 DDEDLO C=CCCCC(=O)NCc1cccc2c1CC[N@H+](CC(N)=O)C2 ZINC001280426847 903666416 /nfs/dbraw/zinc/66/64/16/903666416.db2.gz IELKVBBZFGKHGC-UHFFFAOYSA-N 1 2 315.417 1.503 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H](C)N(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001280525646 903763269 /nfs/dbraw/zinc/76/32/69/903763269.db2.gz RNGIZMMSRYRVRV-STQMWFEESA-N 1 2 306.410 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1ncccc1NC(C)=O ZINC001375050940 914782200 /nfs/dbraw/zinc/78/22/00/914782200.db2.gz ZQZGGGSZYNYCFC-LLVKDONJSA-N 1 2 324.812 1.843 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1ncccc1NC(C)=O ZINC001375050940 914782206 /nfs/dbraw/zinc/78/22/06/914782206.db2.gz ZQZGGGSZYNYCFC-LLVKDONJSA-N 1 2 324.812 1.843 20 30 DDEDLO C[C@H](C[NH2+]Cc1ncn(-c2ccccc2)n1)NC(=O)[C@@H](C)C#N ZINC001392465677 904183923 /nfs/dbraw/zinc/18/39/23/904183923.db2.gz KNGBAZRBAGNHEV-QWHCGFSZSA-N 1 2 312.377 1.021 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001281048209 904354966 /nfs/dbraw/zinc/35/49/66/904354966.db2.gz UNGARVDRCUBNKU-RHSMWYFYSA-N 1 2 320.437 1.839 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1ccn(CCOC)n1 ZINC001392673405 904840549 /nfs/dbraw/zinc/84/05/49/904840549.db2.gz JORXVKDEWKSJFU-GFCCVEGCSA-N 1 2 314.817 1.332 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1ccn(CCOC)n1 ZINC001392673405 904840562 /nfs/dbraw/zinc/84/05/62/904840562.db2.gz JORXVKDEWKSJFU-GFCCVEGCSA-N 1 2 314.817 1.332 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1coc(OCC)n1 ZINC001392694838 904936855 /nfs/dbraw/zinc/93/68/55/904936855.db2.gz SXNNXIIOHHFRKH-SNVBAGLBSA-N 1 2 301.774 1.876 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1coc(OCC)n1 ZINC001392694838 904936872 /nfs/dbraw/zinc/93/68/72/904936872.db2.gz SXNNXIIOHHFRKH-SNVBAGLBSA-N 1 2 301.774 1.876 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+](Cc2cnon2)[C@H](C)C1 ZINC001281682340 905156382 /nfs/dbraw/zinc/15/63/82/905156382.db2.gz IFYKYQPPAHMRCI-OLZOCXBDSA-N 1 2 308.382 1.131 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2cnon2)[C@H](C)C1 ZINC001281682340 905156393 /nfs/dbraw/zinc/15/63/93/905156393.db2.gz IFYKYQPPAHMRCI-OLZOCXBDSA-N 1 2 308.382 1.131 20 30 DDEDLO C=CCCC(=O)NC1(CO)CC[NH+]([C@H](C)c2ncccn2)CC1 ZINC001281799927 905230454 /nfs/dbraw/zinc/23/04/54/905230454.db2.gz RCURTKBLKGHJJB-CQSZACIVSA-N 1 2 318.421 1.447 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)C[C@H]1CCN1C(=O)Cn1cc[nH+]c1 ZINC001282052987 905472028 /nfs/dbraw/zinc/47/20/28/905472028.db2.gz UJZQUBQQRLOMHW-LSDHHAIUSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)Cc1c[nH+]c[nH]1 ZINC001282708897 905972443 /nfs/dbraw/zinc/97/24/43/905972443.db2.gz BNSHXPOBNGELJE-MCIONIFRSA-N 1 2 302.378 1.167 20 30 DDEDLO COc1nscc1C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H](C)C#N ZINC001377390143 921204000 /nfs/dbraw/zinc/20/40/00/921204000.db2.gz VOFDGVZGANQHMT-ZYHUDNBSSA-N 1 2 308.407 1.392 20 30 DDEDLO COc1nscc1C[N@H+]1CCC[C@@H]1CNC(=O)[C@H](C)C#N ZINC001377390143 921204007 /nfs/dbraw/zinc/20/40/07/921204007.db2.gz VOFDGVZGANQHMT-ZYHUDNBSSA-N 1 2 308.407 1.392 20 30 DDEDLO CO[C@@H]1CCC[C@H]1C(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001372031603 906287169 /nfs/dbraw/zinc/28/71/69/906287169.db2.gz OIMTUELAECUMHU-IAGOWNOFSA-N 1 2 315.417 1.921 20 30 DDEDLO CO[C@@H]1CCC[C@H]1C(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001372031603 906287190 /nfs/dbraw/zinc/28/71/90/906287190.db2.gz OIMTUELAECUMHU-IAGOWNOFSA-N 1 2 315.417 1.921 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001372479812 907401438 /nfs/dbraw/zinc/40/14/38/907401438.db2.gz IDVDNPUGAYWRAD-HNNXBMFYSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001372479812 907401452 /nfs/dbraw/zinc/40/14/52/907401452.db2.gz IDVDNPUGAYWRAD-HNNXBMFYSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)CC[C@H](C)OC)CC1 ZINC001393845724 908065235 /nfs/dbraw/zinc/06/52/35/908065235.db2.gz YXXYYHRRTYDWQQ-ZDUSSCGKSA-N 1 2 318.845 1.497 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(C)(C)C(C)C)[C@H]1C ZINC001284127865 908518314 /nfs/dbraw/zinc/51/83/14/908518314.db2.gz GGVUIMDEYCQMMO-CABCVRRESA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C(C)C)[C@H]1C ZINC001284127865 908518327 /nfs/dbraw/zinc/51/83/27/908518327.db2.gz GGVUIMDEYCQMMO-CABCVRRESA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001284399207 908942251 /nfs/dbraw/zinc/94/22/51/908942251.db2.gz WQRTXHZANOFNDM-HNNXBMFYSA-N 1 2 316.405 1.136 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1ccn(C)n1 ZINC001284493361 909079306 /nfs/dbraw/zinc/07/93/06/909079306.db2.gz RLAXQLSQCHVGNM-HNNXBMFYSA-N 1 2 322.453 1.274 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1ccn(C)n1 ZINC001284493361 909079322 /nfs/dbraw/zinc/07/93/22/909079322.db2.gz RLAXQLSQCHVGNM-HNNXBMFYSA-N 1 2 322.453 1.274 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@@H]1CC[N@H+](Cc2ncc(Cl)n2C)C1 ZINC001373158135 909107865 /nfs/dbraw/zinc/10/78/65/909107865.db2.gz NVYHMHVJEZPDEM-NWDGAFQWSA-N 1 2 323.828 1.561 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@@H]1CC[N@@H+](Cc2ncc(Cl)n2C)C1 ZINC001373158135 909107869 /nfs/dbraw/zinc/10/78/69/909107869.db2.gz NVYHMHVJEZPDEM-NWDGAFQWSA-N 1 2 323.828 1.561 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCN(C)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001284523989 909140079 /nfs/dbraw/zinc/14/00/79/909140079.db2.gz KDEAIOJKAYCXJY-CYBMUJFWSA-N 1 2 320.437 1.765 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCN(C)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001284523989 909140086 /nfs/dbraw/zinc/14/00/86/909140086.db2.gz KDEAIOJKAYCXJY-CYBMUJFWSA-N 1 2 320.437 1.765 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001284693560 909361763 /nfs/dbraw/zinc/36/17/63/909361763.db2.gz ZZNSUWXMRCAOMS-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H](C)C#N)[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001394465923 909706385 /nfs/dbraw/zinc/70/63/85/909706385.db2.gz MNHPTEBZUODXPG-WDEREUQCSA-N 1 2 317.349 1.426 20 30 DDEDLO C#CCCCC(=O)NCC1=CC[N@H+]([C@H](C)c2nnc(C)o2)CC1 ZINC001284910333 909715045 /nfs/dbraw/zinc/71/50/45/909715045.db2.gz XSOKTRSAWJNNIW-CYBMUJFWSA-N 1 2 316.405 1.991 20 30 DDEDLO C#CCCCC(=O)NCC1=CC[N@@H+]([C@H](C)c2nnc(C)o2)CC1 ZINC001284910333 909715055 /nfs/dbraw/zinc/71/50/55/909715055.db2.gz XSOKTRSAWJNNIW-CYBMUJFWSA-N 1 2 316.405 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCc2ccncn2)C1 ZINC001373395691 909737481 /nfs/dbraw/zinc/73/74/81/909737481.db2.gz MYJMLBVHNXGCGU-AWEZNQCLSA-N 1 2 308.813 1.742 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCc2ccncn2)C1 ZINC001373395691 909737489 /nfs/dbraw/zinc/73/74/89/909737489.db2.gz MYJMLBVHNXGCGU-AWEZNQCLSA-N 1 2 308.813 1.742 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001285726825 911108448 /nfs/dbraw/zinc/10/84/48/911108448.db2.gz ZMBOHEYADLWIBI-STQMWFEESA-N 1 2 316.405 1.110 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001285772812 911185920 /nfs/dbraw/zinc/18/59/20/911185920.db2.gz AQXJGDWLCJXLEO-LBPRGKRZSA-N 1 2 304.394 1.320 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001285799442 911219346 /nfs/dbraw/zinc/21/93/46/911219346.db2.gz WTOLRWQNFDIGMF-ZDUSSCGKSA-N 1 2 304.394 1.109 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@@H](C)CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001285900879 911391859 /nfs/dbraw/zinc/39/18/59/911391859.db2.gz DZRJPPJMSHPHID-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@](C)(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286106772 911701698 /nfs/dbraw/zinc/70/16/98/911701698.db2.gz IYFOHULJGQOFAR-QGZVFWFLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286443920 911979203 /nfs/dbraw/zinc/97/92/03/911979203.db2.gz JAHXGECIKIKBNI-JOCQHMNTSA-N 1 2 304.394 1.320 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccccc1C#N)C(=O)Cc1ncc[nH]1 ZINC001397045384 915040902 /nfs/dbraw/zinc/04/09/02/915040902.db2.gz XHMMRUUSFYIKJO-MRXNPFEDSA-N 1 2 323.400 1.557 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccccc1C#N)C(=O)Cc1ncc[nH]1 ZINC001397045384 915040919 /nfs/dbraw/zinc/04/09/19/915040919.db2.gz XHMMRUUSFYIKJO-MRXNPFEDSA-N 1 2 323.400 1.557 20 30 DDEDLO N#Cc1ccccc1NC(=S)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000741871535 915186884 /nfs/dbraw/zinc/18/68/84/915186884.db2.gz DMDORSRANFXBPK-CQSZACIVSA-N 1 2 316.430 1.662 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001295783545 916054090 /nfs/dbraw/zinc/05/40/90/916054090.db2.gz LMWKPIAYWMESFZ-HNNXBMFYSA-N 1 2 316.405 1.205 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CCNC(=O)CCc1c[nH+]cn1C ZINC001296187629 916267535 /nfs/dbraw/zinc/26/75/35/916267535.db2.gz RDROPDYPRLRHKE-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@H](C)C(C)C)CC1 ZINC001296824521 916576131 /nfs/dbraw/zinc/57/61/31/916576131.db2.gz JORXHYKHQDDBBI-MRXNPFEDSA-N 1 2 323.481 1.847 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001296941076 916639753 /nfs/dbraw/zinc/63/97/53/916639753.db2.gz FLRFJMFIXPFNFR-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CN(C(=O)CCn2cc[nH+]c2)C1 ZINC001297124887 916746460 /nfs/dbraw/zinc/74/64/60/916746460.db2.gz XCWPRDYVPWDSAU-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO CCc1noc([C@@H](C)[NH+]2CCC(C)(NC(=O)[C@H](C)C#N)CC2)n1 ZINC001375774216 916929715 /nfs/dbraw/zinc/92/97/15/916929715.db2.gz WGTYOHRUSISSCO-VXGBXAGGSA-N 1 2 319.409 1.823 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)COc2cccnc2)C1 ZINC001375844585 917109337 /nfs/dbraw/zinc/10/93/37/917109337.db2.gz FXCVYPVXTUBJMQ-OAHLLOKOSA-N 1 2 309.797 1.794 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)COc2cccnc2)C1 ZINC001375844585 917109345 /nfs/dbraw/zinc/10/93/45/917109345.db2.gz FXCVYPVXTUBJMQ-OAHLLOKOSA-N 1 2 309.797 1.794 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](NC(N)=O)C(C)(C)C)C1 ZINC001376156438 917834165 /nfs/dbraw/zinc/83/41/65/917834165.db2.gz DDVJMRDEPWGYMS-QWRGUYRKSA-N 1 2 316.833 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](NC(N)=O)C(C)(C)C)C1 ZINC001376156438 917834171 /nfs/dbraw/zinc/83/41/71/917834171.db2.gz DDVJMRDEPWGYMS-QWRGUYRKSA-N 1 2 316.833 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)C2CCOCC2)C1 ZINC001376454639 918532286 /nfs/dbraw/zinc/53/22/86/918532286.db2.gz QMEMOJKHSXPOBJ-HNNXBMFYSA-N 1 2 316.829 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)C2CCOCC2)C1 ZINC001376454639 918532297 /nfs/dbraw/zinc/53/22/97/918532297.db2.gz QMEMOJKHSXPOBJ-HNNXBMFYSA-N 1 2 316.829 1.109 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H](C)CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001376836252 919534754 /nfs/dbraw/zinc/53/47/54/919534754.db2.gz CLDAMVSIUJHYMX-NSHDSACASA-N 1 2 319.796 1.932 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1nc2ncc(C)cn2n1 ZINC001377310054 921000443 /nfs/dbraw/zinc/00/04/43/921000443.db2.gz NDIHMLDLTVDYGC-UHFFFAOYSA-N 1 2 322.800 1.237 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1nc2ncc(C)cn2n1 ZINC001377310054 921000458 /nfs/dbraw/zinc/00/04/58/921000458.db2.gz NDIHMLDLTVDYGC-UHFFFAOYSA-N 1 2 322.800 1.237 20 30 DDEDLO COCC(=O)NCC[N@H+](Cc1cc(F)ccc1C#N)C1CC1 ZINC001377506368 922160694 /nfs/dbraw/zinc/16/06/94/922160694.db2.gz LPZQFXDHKISTNS-UHFFFAOYSA-N 1 2 305.353 1.424 20 30 DDEDLO COCC(=O)NCC[N@@H+](Cc1cc(F)ccc1C#N)C1CC1 ZINC001377506368 922160697 /nfs/dbraw/zinc/16/06/97/922160697.db2.gz LPZQFXDHKISTNS-UHFFFAOYSA-N 1 2 305.353 1.424 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@](C)(NC(C)=O)C(C)C)C1 ZINC001378023162 923731174 /nfs/dbraw/zinc/73/11/74/923731174.db2.gz CJEOCVFLNFOVQI-OAHLLOKOSA-N 1 2 315.845 1.338 20 30 DDEDLO COCCN(c1cc[nH+]cc1)S(=O)(=O)CC1(CC#N)CC1 ZINC000451310852 231064759 /nfs/dbraw/zinc/06/47/59/231064759.db2.gz IDJSNVMHUWTFAW-UHFFFAOYSA-N 1 2 309.391 1.558 20 30 DDEDLO CO[C@]1(C(F)(F)F)CCC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000614923564 362081530 /nfs/dbraw/zinc/08/15/30/362081530.db2.gz BQSVKTNZNNJVDV-DGCLKSJQSA-N 1 2 321.343 1.790 20 30 DDEDLO CO[C@]1(C(F)(F)F)CCC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000614923564 362081532 /nfs/dbraw/zinc/08/15/32/362081532.db2.gz BQSVKTNZNNJVDV-DGCLKSJQSA-N 1 2 321.343 1.790 20 30 DDEDLO COCOc1ccc(OC)cc1C[NH+]1CCN(CC#N)CC1 ZINC000092936429 185349788 /nfs/dbraw/zinc/34/97/88/185349788.db2.gz GPIWYIDDSUEQEA-UHFFFAOYSA-N 1 2 305.378 1.319 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)CNC(=O)CC3CCCC3)C[C@H]21 ZINC000329928364 529785847 /nfs/dbraw/zinc/78/58/47/529785847.db2.gz MIKWWSJUTJUZTM-ZIAGYGMSSA-N 1 2 309.410 1.065 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)CNC(=O)CC3CCCC3)C[C@H]21 ZINC000329928364 529785849 /nfs/dbraw/zinc/78/58/49/529785849.db2.gz MIKWWSJUTJUZTM-ZIAGYGMSSA-N 1 2 309.410 1.065 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C#N)c(Cl)c3)C[C@H]21 ZINC000329927888 529786378 /nfs/dbraw/zinc/78/63/78/529786378.db2.gz MACQAABIEZHHJV-ZIAGYGMSSA-N 1 2 320.780 1.772 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C#N)c(Cl)c3)C[C@H]21 ZINC000329927888 529786380 /nfs/dbraw/zinc/78/63/80/529786380.db2.gz MACQAABIEZHHJV-ZIAGYGMSSA-N 1 2 320.780 1.772 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)NCC(C)(C)CC#N)C1 ZINC000459227741 529788289 /nfs/dbraw/zinc/78/82/89/529788289.db2.gz BSPGARJWYRHCOL-HNNXBMFYSA-N 1 2 322.453 1.678 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)NCC(C)(C)CC#N)C1 ZINC000459227741 529788290 /nfs/dbraw/zinc/78/82/90/529788290.db2.gz BSPGARJWYRHCOL-HNNXBMFYSA-N 1 2 322.453 1.678 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)C(C)(C)C(N)=O ZINC000295721536 529837820 /nfs/dbraw/zinc/83/78/20/529837820.db2.gz OBLMFXJXRAJKFS-UHFFFAOYSA-N 1 2 308.769 1.346 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)C(C)(C)C(N)=O ZINC000295721536 529837822 /nfs/dbraw/zinc/83/78/22/529837822.db2.gz OBLMFXJXRAJKFS-UHFFFAOYSA-N 1 2 308.769 1.346 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2cn3c([nH+]2)CCCC3)o1 ZINC000286840455 219190995 /nfs/dbraw/zinc/19/09/95/219190995.db2.gz KHDKMUZAPNNKNK-UHFFFAOYSA-N 1 2 306.347 1.163 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@H](C)C(=O)N2CCCCC2)n1 ZINC000279743062 215107126 /nfs/dbraw/zinc/10/71/26/215107126.db2.gz PCFJEGWSZAZZMU-OAHLLOKOSA-N 1 2 302.422 1.739 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@H](C)C(=O)N2CCCCC2)n1 ZINC000279743062 215107129 /nfs/dbraw/zinc/10/71/29/215107129.db2.gz PCFJEGWSZAZZMU-OAHLLOKOSA-N 1 2 302.422 1.739 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)CCn2cc([N+](=O)[O-])cn2)n1 ZINC000279749311 215113666 /nfs/dbraw/zinc/11/36/66/215113666.db2.gz QAKDPFJMVBGQNA-UHFFFAOYSA-N 1 2 302.338 1.143 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)CCn2cc([N+](=O)[O-])cn2)n1 ZINC000279749311 215113673 /nfs/dbraw/zinc/11/36/73/215113673.db2.gz QAKDPFJMVBGQNA-UHFFFAOYSA-N 1 2 302.338 1.143 20 30 DDEDLO CCn1nncc1C[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000459285826 233059116 /nfs/dbraw/zinc/05/91/16/233059116.db2.gz WOTMZMKQFGFBIJ-UHFFFAOYSA-N 1 2 314.368 1.631 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)CC(=O)NC(C)(C)C ZINC000007660078 352126527 /nfs/dbraw/zinc/12/65/27/352126527.db2.gz VLXNOWKCDVRPJN-ZDUSSCGKSA-N 1 2 322.453 1.564 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)CC(=O)NC(C)(C)C ZINC000007660078 352126532 /nfs/dbraw/zinc/12/65/32/352126532.db2.gz VLXNOWKCDVRPJN-ZDUSSCGKSA-N 1 2 322.453 1.564 20 30 DDEDLO Cc1c(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)cnn1C ZINC000014043553 352148994 /nfs/dbraw/zinc/14/89/94/352148994.db2.gz CDGTVYIUCXDNJF-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)N(CCC(N)=O)c1ccc(F)cc1 ZINC000045914832 352429698 /nfs/dbraw/zinc/42/96/98/352429698.db2.gz NVMUOPKOMWHXDZ-UHFFFAOYSA-N 1 2 319.380 1.708 20 30 DDEDLO Cc1c(C=C(O)NC2=[NH+]CCS2)nnn1-c1ccccc1 ZINC000057136921 352800701 /nfs/dbraw/zinc/80/07/01/352800701.db2.gz QPKXJWASIFIFSL-UHFFFAOYSA-N 1 2 301.375 1.337 20 30 DDEDLO N#CCOc1ccc(/C=C/C(=O)N2CCn3cc[nH+]c3C2)cc1 ZINC000491882488 234323944 /nfs/dbraw/zinc/32/39/44/234323944.db2.gz JXKYGQBNBWMBRH-ZZXKWVIFSA-N 1 2 308.341 1.841 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)CCOCC1 ZINC000617721299 363251692 /nfs/dbraw/zinc/25/16/92/363251692.db2.gz NOBSDIMQBSHDEX-GJZGRUSLSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)CCOCC1 ZINC000617721299 363251697 /nfs/dbraw/zinc/25/16/97/363251697.db2.gz NOBSDIMQBSHDEX-GJZGRUSLSA-N 1 2 310.438 1.585 20 30 DDEDLO CC[N@@H+](CC(=O)NC(=O)Nc1ccc(OC)cc1)C[C@H](C)C#N ZINC000140434132 354136208 /nfs/dbraw/zinc/13/62/08/354136208.db2.gz PCFKJLYNUSTBRK-GFCCVEGCSA-N 1 2 318.377 1.825 20 30 DDEDLO CC[N@H+](CC(=O)NC(=O)Nc1ccc(OC)cc1)C[C@H](C)C#N ZINC000140434132 354136212 /nfs/dbraw/zinc/13/62/12/354136212.db2.gz PCFKJLYNUSTBRK-GFCCVEGCSA-N 1 2 318.377 1.825 20 30 DDEDLO COC[C@@H]1CCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156823987 354222875 /nfs/dbraw/zinc/22/28/75/354222875.db2.gz LLTAJAUZCMBGTM-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO COC[C@@H]1CCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156823987 354222879 /nfs/dbraw/zinc/22/28/79/354222879.db2.gz LLTAJAUZCMBGTM-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)NC[C@H]2CCCO2)C1 ZINC000328626055 354550738 /nfs/dbraw/zinc/55/07/38/354550738.db2.gz DTWHMXLYRZTJEH-CABCVRRESA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)NC[C@H]2CCCO2)C1 ZINC000328626055 354550742 /nfs/dbraw/zinc/55/07/42/354550742.db2.gz DTWHMXLYRZTJEH-CABCVRRESA-N 1 2 311.426 1.122 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000578315705 354706726 /nfs/dbraw/zinc/70/67/26/354706726.db2.gz BIWLGLAPQCGMIM-KGLIPLIRSA-N 1 2 304.394 1.035 20 30 DDEDLO N#CC1(C[NH2+]Cc2ccccc2OCC(=O)NCC2CC2)CC1 ZINC000579909756 354724559 /nfs/dbraw/zinc/72/45/59/354724559.db2.gz LJJBTQSCMSORLJ-UHFFFAOYSA-N 1 2 313.401 1.985 20 30 DDEDLO N#CC1(C(=O)NC[C@H]2CCC[N@H+](Cc3ncon3)C2)CCC1 ZINC000588842865 354941264 /nfs/dbraw/zinc/94/12/64/354941264.db2.gz GGZATMUTGDPPCK-GFCCVEGCSA-N 1 2 303.366 1.092 20 30 DDEDLO N#CC1(C(=O)NC[C@H]2CCC[N@@H+](Cc3ncon3)C2)CCC1 ZINC000588842865 354941267 /nfs/dbraw/zinc/94/12/67/354941267.db2.gz GGZATMUTGDPPCK-GFCCVEGCSA-N 1 2 303.366 1.092 20 30 DDEDLO N#CC1(CC(=O)N[C@H](C[NH+]2CCOCC2)c2ccccc2)CC1 ZINC000590133070 355053417 /nfs/dbraw/zinc/05/34/17/355053417.db2.gz JKAUKPGKFCVDFK-MRXNPFEDSA-N 1 2 313.401 1.870 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N2CC(Oc3cc[nH+]cc3)C2)c1 ZINC000590210675 355058119 /nfs/dbraw/zinc/05/81/19/355058119.db2.gz OPZKNUOIIRWGRU-UHFFFAOYSA-N 1 2 322.368 1.923 20 30 DDEDLO CCn1cc[nH+]c1CNC(=O)NCc1ccc(C#N)cc1F ZINC000590832048 355190762 /nfs/dbraw/zinc/19/07/62/355190762.db2.gz OXBAHDCPFOYNNB-UHFFFAOYSA-N 1 2 301.325 1.913 20 30 DDEDLO COC[C@]1(C(N)=O)CCC[N@@H+]1Cc1ccc(C#N)cc1OC ZINC000591645207 355350070 /nfs/dbraw/zinc/35/00/70/355350070.db2.gz FTWNSDCGHYQMBT-INIZCTEOSA-N 1 2 303.362 1.033 20 30 DDEDLO COC[C@]1(C(N)=O)CCC[N@H+]1Cc1ccc(C#N)cc1OC ZINC000591645207 355350072 /nfs/dbraw/zinc/35/00/72/355350072.db2.gz FTWNSDCGHYQMBT-INIZCTEOSA-N 1 2 303.362 1.033 20 30 DDEDLO COc1cccc(CN(C)C(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592147831 355514301 /nfs/dbraw/zinc/51/43/01/355514301.db2.gz OWEPWUONHAWPIF-QGZVFWFLSA-N 1 2 317.389 1.004 20 30 DDEDLO COc1cccc(CN(C)C(=O)C[N@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592147831 355514303 /nfs/dbraw/zinc/51/43/03/355514303.db2.gz OWEPWUONHAWPIF-QGZVFWFLSA-N 1 2 317.389 1.004 20 30 DDEDLO COc1ccc(O[C@@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000593155658 355813576 /nfs/dbraw/zinc/81/35/76/355813576.db2.gz OQVIEQFCOLWUOJ-LRDDRELGSA-N 1 2 305.378 1.423 20 30 DDEDLO N#Cc1sccc1S(=O)(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000593559892 355925654 /nfs/dbraw/zinc/92/56/54/355925654.db2.gz KIFVOUBUKZYGHR-SNVBAGLBSA-N 1 2 322.415 1.911 20 30 DDEDLO C[NH+]1CCN(C(=O)c2cc(NC(=O)NCC3CC3)ccn2)CC1 ZINC000329290101 222958736 /nfs/dbraw/zinc/95/87/36/222958736.db2.gz QILGUXUSIBNGQK-UHFFFAOYSA-N 1 2 317.393 1.205 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N2CCC[C@H](CC#N)C2)c(C)[nH+]1 ZINC000595086830 356380882 /nfs/dbraw/zinc/38/08/82/356380882.db2.gz PDVOZSTYFAICEY-CYBMUJFWSA-N 1 2 300.362 1.789 20 30 DDEDLO C[N@H+](Cc1n[nH]c(=O)o1)Cc1ccc(C#N)cc1OC(F)F ZINC000595546888 356543273 /nfs/dbraw/zinc/54/32/73/356543273.db2.gz KKGKGWNHOJIVJY-UHFFFAOYSA-N 1 2 310.260 1.880 20 30 DDEDLO C[N@@H+](Cc1n[nH]c(=O)o1)Cc1ccc(C#N)cc1OC(F)F ZINC000595546888 356543277 /nfs/dbraw/zinc/54/32/77/356543277.db2.gz KKGKGWNHOJIVJY-UHFFFAOYSA-N 1 2 310.260 1.880 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1cccc(C(=O)OC)c1)[C@@H](C)COC ZINC000595639851 356588604 /nfs/dbraw/zinc/58/86/04/356588604.db2.gz XTIUTPVUJMJMMD-ZDUSSCGKSA-N 1 2 320.389 1.935 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1cccc(C(=O)OC)c1)[C@@H](C)COC ZINC000595639851 356588608 /nfs/dbraw/zinc/58/86/08/356588608.db2.gz XTIUTPVUJMJMMD-ZDUSSCGKSA-N 1 2 320.389 1.935 20 30 DDEDLO Cc1cc(N2CC[C@H]([N@@H+]3CCC[C@@H](CC#N)C3)C2=O)n(C)n1 ZINC000595614682 356578449 /nfs/dbraw/zinc/57/84/49/356578449.db2.gz MMZGOGVJFNTFQY-KBPBESRZSA-N 1 2 301.394 1.460 20 30 DDEDLO Cc1cc(N2CC[C@H]([N@H+]3CCC[C@@H](CC#N)C3)C2=O)n(C)n1 ZINC000595614682 356578452 /nfs/dbraw/zinc/57/84/52/356578452.db2.gz MMZGOGVJFNTFQY-KBPBESRZSA-N 1 2 301.394 1.460 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@@H+]2CCNC(=O)[C@H]2c2ccccc2)cc1 ZINC000268638319 283188624 /nfs/dbraw/zinc/18/86/24/283188624.db2.gz GOPLPWZODPJHMR-QZTJIDSGSA-N 1 2 321.380 1.765 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@H+]2CCNC(=O)[C@H]2c2ccccc2)cc1 ZINC000268638319 283188625 /nfs/dbraw/zinc/18/86/25/283188625.db2.gz GOPLPWZODPJHMR-QZTJIDSGSA-N 1 2 321.380 1.765 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@H](O)COc2ccc(C#N)cc2)o1 ZINC000595705721 356615489 /nfs/dbraw/zinc/61/54/89/356615489.db2.gz VSMZAWFMFAOEDL-ZDUSSCGKSA-N 1 2 302.334 1.121 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@H](O)COc2ccc(C#N)cc2)o1 ZINC000595705721 356615492 /nfs/dbraw/zinc/61/54/92/356615492.db2.gz VSMZAWFMFAOEDL-ZDUSSCGKSA-N 1 2 302.334 1.121 20 30 DDEDLO C=CCCSCCNC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000595723573 356622864 /nfs/dbraw/zinc/62/28/64/356622864.db2.gz RWGMIPROHDMKHP-AWEZNQCLSA-N 1 2 313.467 1.412 20 30 DDEDLO COC(=O)[C@](C)(CCF)[NH2+]C[C@H](O)COc1ccc(C#N)cc1 ZINC000595776542 356647956 /nfs/dbraw/zinc/64/79/56/356647956.db2.gz YBCSMGSXMREEEP-BBRMVZONSA-N 1 2 324.352 1.179 20 30 DDEDLO C[C@@H]1[C@H](C(F)(F)F)OCC[N@@H+]1CCC(=O)N(C)CCC#N ZINC000595788760 356653095 /nfs/dbraw/zinc/65/30/95/356653095.db2.gz UCOMBLFYSDXHHG-ZYHUDNBSSA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@@H]1[C@H](C(F)(F)F)OCC[N@H+]1CCC(=O)N(C)CCC#N ZINC000595788760 356653098 /nfs/dbraw/zinc/65/30/98/356653098.db2.gz UCOMBLFYSDXHHG-ZYHUDNBSSA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCOc2ccc(O)cc2C1 ZINC000595856014 356679184 /nfs/dbraw/zinc/67/91/84/356679184.db2.gz HSWOTJWEQNGCKH-LBPRGKRZSA-N 1 2 303.362 1.347 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCOc2ccc(O)cc2C1 ZINC000595856014 356679188 /nfs/dbraw/zinc/67/91/88/356679188.db2.gz HSWOTJWEQNGCKH-LBPRGKRZSA-N 1 2 303.362 1.347 20 30 DDEDLO Cn1ncc(C#N)c1NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000596057282 356755303 /nfs/dbraw/zinc/75/53/03/356755303.db2.gz MAHGOPOTMDADEZ-CYBMUJFWSA-N 1 2 317.418 1.839 20 30 DDEDLO CO[C@@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)C1CCCC1 ZINC000597024088 357042675 /nfs/dbraw/zinc/04/26/75/357042675.db2.gz FHKDXYBNTQAUHK-MRXNPFEDSA-N 1 2 323.437 1.266 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2nc(C[NH+]3CCCCC3)no2)[nH]1 ZINC000597620854 357258429 /nfs/dbraw/zinc/25/84/29/357258429.db2.gz DYOWALDOUIQAKN-UHFFFAOYSA-N 1 2 314.349 1.185 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2cccc(F)c2C#N)[C@@H](C)CO1 ZINC000597996468 357412456 /nfs/dbraw/zinc/41/24/56/357412456.db2.gz OTFGLYOTJXCVLA-NWDGAFQWSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2cccc(F)c2C#N)[C@@H](C)CO1 ZINC000597996468 357412460 /nfs/dbraw/zinc/41/24/60/357412460.db2.gz OTFGLYOTJXCVLA-NWDGAFQWSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@@]1(C#N)CCN(C(=O)c2cccc(C[NH+]3CCOCC3)c2)C1 ZINC000598415440 357571031 /nfs/dbraw/zinc/57/10/31/357571031.db2.gz MJWJCAMNCPGOHZ-SFHVURJKSA-N 1 2 313.401 1.895 20 30 DDEDLO COC(=O)C[N@@H+](Cc1ccccc1)C[C@H](O)CC(C)(C)C#N ZINC000598587289 357641559 /nfs/dbraw/zinc/64/15/59/357641559.db2.gz OFFPCKRNANRTBH-OAHLLOKOSA-N 1 2 304.390 1.962 20 30 DDEDLO COC(=O)C[N@H+](Cc1ccccc1)C[C@H](O)CC(C)(C)C#N ZINC000598587289 357641560 /nfs/dbraw/zinc/64/15/60/357641560.db2.gz OFFPCKRNANRTBH-OAHLLOKOSA-N 1 2 304.390 1.962 20 30 DDEDLO O=C(CS(=O)(=O)C1CCCC1)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000329657758 223010286 /nfs/dbraw/zinc/01/02/86/223010286.db2.gz NBCGKLOKICJNCO-SNVBAGLBSA-N 1 2 311.407 1.581 20 30 DDEDLO O=C(CS(=O)(=O)C1CCCC1)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000329657758 223010288 /nfs/dbraw/zinc/01/02/88/223010288.db2.gz NBCGKLOKICJNCO-SNVBAGLBSA-N 1 2 311.407 1.581 20 30 DDEDLO Cc1nn(C)c(C)c1[C@@H]1COCC[N@@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598599566 357648811 /nfs/dbraw/zinc/64/88/11/357648811.db2.gz KDUZLNXJYNYJEL-CABCVRRESA-N 1 2 320.437 1.711 20 30 DDEDLO Cc1nn(C)c(C)c1[C@@H]1COCC[N@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598599566 357648813 /nfs/dbraw/zinc/64/88/13/357648813.db2.gz KDUZLNXJYNYJEL-CABCVRRESA-N 1 2 320.437 1.711 20 30 DDEDLO N#Cc1cccc(NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)n1 ZINC000599182760 357841480 /nfs/dbraw/zinc/84/14/80/357841480.db2.gz AIIZLPVGUGJQRV-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1cccc(NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)n1 ZINC000599182760 357841481 /nfs/dbraw/zinc/84/14/81/357841481.db2.gz AIIZLPVGUGJQRV-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO COC(=O)C1([NH2+]C[C@@H](O)COc2ccccc2C#N)CCCC1 ZINC000599342687 357901908 /nfs/dbraw/zinc/90/19/08/357901908.db2.gz KVCZMOINJQVVIW-CQSZACIVSA-N 1 2 318.373 1.373 20 30 DDEDLO COC[C@]1(C)C[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)CCO1 ZINC000599691337 358027316 /nfs/dbraw/zinc/02/73/16/358027316.db2.gz FEUJNQAYERZOJF-HOCLYGCPSA-N 1 2 323.437 1.455 20 30 DDEDLO COC[C@]1(C)C[N@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)CCO1 ZINC000599691337 358027319 /nfs/dbraw/zinc/02/73/19/358027319.db2.gz FEUJNQAYERZOJF-HOCLYGCPSA-N 1 2 323.437 1.455 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2cn(-c3ccc(F)cc3)nn2)C1 ZINC000600166914 358144053 /nfs/dbraw/zinc/14/40/53/358144053.db2.gz JTHFHSFYQUJQOK-HNNXBMFYSA-N 1 2 301.325 1.257 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2cn(-c3ccc(F)cc3)nn2)C1 ZINC000600166914 358144054 /nfs/dbraw/zinc/14/40/54/358144054.db2.gz JTHFHSFYQUJQOK-HNNXBMFYSA-N 1 2 301.325 1.257 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](Cc2cnc(C)s2)CC1 ZINC000600377047 358204469 /nfs/dbraw/zinc/20/44/69/358204469.db2.gz VPMMUQQRWKEWDM-UHFFFAOYSA-N 1 2 309.435 1.688 20 30 DDEDLO COC(=O)CC[N@H+](Cc1ccccc1C#N)CC(OC)OC ZINC000180604454 199183197 /nfs/dbraw/zinc/18/31/97/199183197.db2.gz WOJVKACFMOQWDX-UHFFFAOYSA-N 1 2 306.362 1.542 20 30 DDEDLO COC(=O)CC[N@@H+](Cc1ccccc1C#N)CC(OC)OC ZINC000180604454 199183199 /nfs/dbraw/zinc/18/31/99/199183199.db2.gz WOJVKACFMOQWDX-UHFFFAOYSA-N 1 2 306.362 1.542 20 30 DDEDLO C[C@@H](NC(=O)c1ccccc1CC#N)[C@@H](C)[NH+]1CCOCC1 ZINC000601040367 358390425 /nfs/dbraw/zinc/39/04/25/358390425.db2.gz WVATUSOMSBHXAY-ZIAGYGMSSA-N 1 2 301.390 1.592 20 30 DDEDLO CN(C(=O)C(=O)Nc1ccc2[nH+]ccn2c1)[C@H]1CCC[C@@H]1C#N ZINC000602064508 358797288 /nfs/dbraw/zinc/79/72/88/358797288.db2.gz UOGPIYIRGPNRFI-YPMHNXCESA-N 1 2 311.345 1.423 20 30 DDEDLO N#Cc1ccccc1NC1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000602182653 358869581 /nfs/dbraw/zinc/86/95/81/358869581.db2.gz HJSSMYQPOLCCQI-UHFFFAOYSA-N 1 2 309.373 1.858 20 30 DDEDLO CC[N@@H+](Cc1ccc(S(N)(=O)=O)c(Cl)c1)C[C@H](C)C#N ZINC000602447681 358983839 /nfs/dbraw/zinc/98/38/39/358983839.db2.gz OERSGRNBNQFGEQ-SNVBAGLBSA-N 1 2 315.826 1.969 20 30 DDEDLO CC[N@H+](Cc1ccc(S(N)(=O)=O)c(Cl)c1)C[C@H](C)C#N ZINC000602447681 358983841 /nfs/dbraw/zinc/98/38/41/358983841.db2.gz OERSGRNBNQFGEQ-SNVBAGLBSA-N 1 2 315.826 1.969 20 30 DDEDLO Cn1c2ccc(C[NH+]3CCN(CCC#N)CC3)cc2sc1=O ZINC000602748165 359166917 /nfs/dbraw/zinc/16/69/17/359166917.db2.gz KVPBMMWPMISNDY-UHFFFAOYSA-N 1 2 316.430 1.631 20 30 DDEDLO N#CCC1(C[NH+]2CCN(c3ncccc3[N+](=O)[O-])CC2)CC1 ZINC000602837234 359227909 /nfs/dbraw/zinc/22/79/09/359227909.db2.gz JXGGKYZBGITGEJ-UHFFFAOYSA-N 1 2 301.350 1.806 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](CC(=O)Nc3ccccn3)C2)nc1 ZINC000602841904 359231616 /nfs/dbraw/zinc/23/16/16/359231616.db2.gz UBVLKHDSMLIXQI-AWEZNQCLSA-N 1 2 323.356 1.440 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](CC(=O)Nc3ccccn3)C2)nc1 ZINC000602841904 359231621 /nfs/dbraw/zinc/23/16/21/359231621.db2.gz UBVLKHDSMLIXQI-AWEZNQCLSA-N 1 2 323.356 1.440 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C(=O)OC(C)(C)C)C2CC2)C1=O ZINC000602828145 359221023 /nfs/dbraw/zinc/22/10/23/359221023.db2.gz RAAAUDVUSLIARP-UONOGXRCSA-N 1 2 308.422 1.825 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C(=O)OC(C)(C)C)C2CC2)C1=O ZINC000602828145 359221027 /nfs/dbraw/zinc/22/10/27/359221027.db2.gz RAAAUDVUSLIARP-UONOGXRCSA-N 1 2 308.422 1.825 20 30 DDEDLO Cc1ccc(Cn2cc(CC[NH+]3CCOCC3)nn2)cc1C#N ZINC000603222326 359494028 /nfs/dbraw/zinc/49/40/28/359494028.db2.gz HHRBQCDUZWTZLI-UHFFFAOYSA-N 1 2 311.389 1.381 20 30 DDEDLO [O-]C(NC[C@@H]1CCc2[nH+]ccn2C1)=[NH+][C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC000329905558 223044552 /nfs/dbraw/zinc/04/45/52/223044552.db2.gz RPLGWVLCUVQEBE-XQLPTFJDSA-N 1 2 318.421 1.907 20 30 DDEDLO [O-]C(N[C@@H]1CCC[C@@H]2OCC[C@H]21)=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000329905558 223044553 /nfs/dbraw/zinc/04/45/53/223044553.db2.gz RPLGWVLCUVQEBE-XQLPTFJDSA-N 1 2 318.421 1.907 20 30 DDEDLO Cc1[nH+]c[nH]c1CNC(=O)CCN1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000329937973 223049295 /nfs/dbraw/zinc/04/92/95/223049295.db2.gz AAVSKVUFELIIEG-VXGBXAGGSA-N 1 2 318.377 1.740 20 30 DDEDLO Cc1cccn2cc(CC(=O)N[C@@]3(C#N)CCSC3)[nH+]c12 ZINC000608783188 360241980 /nfs/dbraw/zinc/24/19/80/360241980.db2.gz VQOAILPAXNEJSN-OAHLLOKOSA-N 1 2 300.387 1.701 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)[C@H]1CCCN1c1ccc(C#N)cc1 ZINC000609100602 360260388 /nfs/dbraw/zinc/26/03/88/360260388.db2.gz WEHYMFANIHQKOZ-MRXNPFEDSA-N 1 2 323.400 1.919 20 30 DDEDLO NC(=O)CC1CCN(C([O-])=[NH+]C[C@H]2CCc3[nH+]ccn3C2)CC1 ZINC000329958894 223054153 /nfs/dbraw/zinc/05/41/53/223054153.db2.gz QGWXSYCXORTEBW-CYBMUJFWSA-N 1 2 319.409 1.997 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](Cc2cccc(C(N)=O)c2)CC1 ZINC000609485485 360312760 /nfs/dbraw/zinc/31/27/60/360312760.db2.gz RAQJODKESVKHIM-MRXNPFEDSA-N 1 2 300.406 1.451 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N(C(C)C)C(C)C)CC1 ZINC000609485944 360313934 /nfs/dbraw/zinc/31/39/34/360313934.db2.gz ANZGGYDPQMFNKM-INIZCTEOSA-N 1 2 308.470 1.798 20 30 DDEDLO COC1CCC(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)CC1 ZINC000329987465 223058616 /nfs/dbraw/zinc/05/86/16/223058616.db2.gz SQSSLXRTUAAESW-CVSAEHQPSA-N 1 2 311.426 1.120 20 30 DDEDLO COC1CCC(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)CC1 ZINC000329987465 223058618 /nfs/dbraw/zinc/05/86/18/223058618.db2.gz SQSSLXRTUAAESW-CVSAEHQPSA-N 1 2 311.426 1.120 20 30 DDEDLO C[N@@H+](Cc1ccon1)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000610091422 360381497 /nfs/dbraw/zinc/38/14/97/360381497.db2.gz VSFZLTGNIOXEDM-HNNXBMFYSA-N 1 2 301.346 1.612 20 30 DDEDLO C[N@H+](Cc1ccon1)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000610091422 360381501 /nfs/dbraw/zinc/38/15/01/360381501.db2.gz VSFZLTGNIOXEDM-HNNXBMFYSA-N 1 2 301.346 1.612 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(c2ccc3cc(C#N)ccc3n2)CC1 ZINC000611305158 360695568 /nfs/dbraw/zinc/69/55/68/360695568.db2.gz TWVBJBPIWRCNII-UHFFFAOYSA-N 1 2 323.400 1.317 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000611205121 360659118 /nfs/dbraw/zinc/65/91/18/360659118.db2.gz ZIDXGJDNZOHTMZ-CYBMUJFWSA-N 1 2 308.426 1.128 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000611205121 360659122 /nfs/dbraw/zinc/65/91/22/360659122.db2.gz ZIDXGJDNZOHTMZ-CYBMUJFWSA-N 1 2 308.426 1.128 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC000611472396 360726717 /nfs/dbraw/zinc/72/67/17/360726717.db2.gz KTTUTVIBJWRJGO-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC000611472396 360726723 /nfs/dbraw/zinc/72/67/23/360726723.db2.gz KTTUTVIBJWRJGO-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@@H+]2CC[C@H](NC(N)=O)C2)c1C#N ZINC000611349570 360704561 /nfs/dbraw/zinc/70/45/61/360704561.db2.gz HZLFUAGTFNEFJN-ZDUSSCGKSA-N 1 2 324.388 1.295 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@H+]2CC[C@H](NC(N)=O)C2)c1C#N ZINC000611349570 360704566 /nfs/dbraw/zinc/70/45/66/360704566.db2.gz HZLFUAGTFNEFJN-ZDUSSCGKSA-N 1 2 324.388 1.295 20 30 DDEDLO COc1ccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)nc1 ZINC000272011353 209382519 /nfs/dbraw/zinc/38/25/19/209382519.db2.gz TXMARJJEBNHERY-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO COc1ccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)nc1 ZINC000272011353 209382521 /nfs/dbraw/zinc/38/25/21/209382521.db2.gz TXMARJJEBNHERY-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO O=C(CCNC([O-])=[NH+][C@@H]1CCn2cc[nH+]c2C1)N1CCCCC1 ZINC000330195879 223086583 /nfs/dbraw/zinc/08/65/83/223086583.db2.gz ZYIDRMQWZOQBRJ-CYBMUJFWSA-N 1 2 319.409 1.104 20 30 DDEDLO O=C(CC[NH+]=C([O-])N[C@@H]1CCn2cc[nH+]c2C1)N1CCCCC1 ZINC000330195879 223086587 /nfs/dbraw/zinc/08/65/87/223086587.db2.gz ZYIDRMQWZOQBRJ-CYBMUJFWSA-N 1 2 319.409 1.104 20 30 DDEDLO [O-]C(N[C@@H]1CCn2cc[nH+]c2C1)=[NH+][C@@H]1[C@@H]2CCO[C@@H]2C12CCC2 ZINC000330202061 223087538 /nfs/dbraw/zinc/08/75/38/223087538.db2.gz YUTZIIJBMUQYTA-OSRDXIQISA-N 1 2 316.405 1.659 20 30 DDEDLO [O-]C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330202061 223087541 /nfs/dbraw/zinc/08/75/41/223087541.db2.gz YUTZIIJBMUQYTA-OSRDXIQISA-N 1 2 316.405 1.659 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)N2CCC[C@](C)(C#N)C2)CCO1 ZINC000612141740 360933256 /nfs/dbraw/zinc/93/32/56/360933256.db2.gz QZWVSKCIZKKDSJ-MRXNPFEDSA-N 1 2 308.426 1.432 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)N2CCC[C@](C)(C#N)C2)CCO1 ZINC000612141740 360933259 /nfs/dbraw/zinc/93/32/59/360933259.db2.gz QZWVSKCIZKKDSJ-MRXNPFEDSA-N 1 2 308.426 1.432 20 30 DDEDLO N#CCCOCC[NH+]1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000338889352 223263803 /nfs/dbraw/zinc/26/38/03/223263803.db2.gz DNVSJOHSVIMHFB-UHFFFAOYSA-N 1 2 323.343 1.653 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cc(O)cc(C#N)c2)C[C@H](C)O1 ZINC000619138495 363911220 /nfs/dbraw/zinc/91/12/20/363911220.db2.gz UKARYIHTWJYWKA-BETUJISGSA-N 1 2 317.389 1.445 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2cc(O)cc(C#N)c2)C[C@H](C)O1 ZINC000619138495 363911226 /nfs/dbraw/zinc/91/12/26/363911226.db2.gz UKARYIHTWJYWKA-BETUJISGSA-N 1 2 317.389 1.445 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1CCC[C@H](n2cc(CO)nn2)C1 ZINC000292918295 223308402 /nfs/dbraw/zinc/30/84/02/223308402.db2.gz HZCAAYYZSDFPJE-INIZCTEOSA-N 1 2 315.352 1.618 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1CCC[C@H](n2cc(CO)nn2)C1 ZINC000292918295 223308405 /nfs/dbraw/zinc/30/84/05/223308405.db2.gz HZCAAYYZSDFPJE-INIZCTEOSA-N 1 2 315.352 1.618 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000619494719 364037488 /nfs/dbraw/zinc/03/74/88/364037488.db2.gz GEUBWNIKAJFFKQ-CHWSQXEVSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000619494719 364037491 /nfs/dbraw/zinc/03/74/91/364037491.db2.gz GEUBWNIKAJFFKQ-CHWSQXEVSA-N 1 2 307.419 1.466 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)c2cc(O)cc(C#N)c2)CC1 ZINC000619618438 364089342 /nfs/dbraw/zinc/08/93/42/364089342.db2.gz VGBJBAATDSCDTM-UHFFFAOYSA-N 1 2 322.368 1.930 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(C(=O)NCC(F)(F)F)CC1 ZINC000619716061 364126179 /nfs/dbraw/zinc/12/61/79/364126179.db2.gz MDFFZWQSRIDWRT-JTQLQIEISA-N 1 2 308.300 1.105 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2ncccn2)C1 ZINC000275492532 212370207 /nfs/dbraw/zinc/37/02/07/212370207.db2.gz ADEOUTGGIRBAKD-OAHLLOKOSA-N 1 2 318.421 1.492 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000275492532 212370209 /nfs/dbraw/zinc/37/02/09/212370209.db2.gz ADEOUTGGIRBAKD-OAHLLOKOSA-N 1 2 318.421 1.492 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CC[C@@](O)(C(F)(F)F)C3)n2c1 ZINC000093611757 193274188 /nfs/dbraw/zinc/27/41/88/193274188.db2.gz NPTNHQACILMHAC-ZDUSSCGKSA-N 1 2 310.279 1.705 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CC[C@@](O)(C(F)(F)F)C3)n2c1 ZINC000093611757 193274189 /nfs/dbraw/zinc/27/41/89/193274189.db2.gz NPTNHQACILMHAC-ZDUSSCGKSA-N 1 2 310.279 1.705 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@@H](C)O1 ZINC000264714138 204095724 /nfs/dbraw/zinc/09/57/24/204095724.db2.gz LLXVDIJQSDTKQZ-CJNGLKHVSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@@H](C)O1 ZINC000264714138 204095727 /nfs/dbraw/zinc/09/57/27/204095727.db2.gz LLXVDIJQSDTKQZ-CJNGLKHVSA-N 1 2 301.390 1.674 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc2c(c1)CCC(=O)N2 ZINC000563805278 335021076 /nfs/dbraw/zinc/02/10/76/335021076.db2.gz BRFNOFXBHJZRCC-CQSZACIVSA-N 1 2 302.378 1.190 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc2c(c1)CCC(=O)N2 ZINC000563805278 335021077 /nfs/dbraw/zinc/02/10/77/335021077.db2.gz BRFNOFXBHJZRCC-CQSZACIVSA-N 1 2 302.378 1.190 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CC(=O)Nc3ccccc3)CC2)cn1 ZINC000267364688 206013334 /nfs/dbraw/zinc/01/33/34/206013334.db2.gz IRYQXYIGPWXNCO-UHFFFAOYSA-N 1 2 321.384 1.714 20 30 DDEDLO C#CC[N@@H+](Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1)C1CC1 ZINC000274166307 211395465 /nfs/dbraw/zinc/39/54/65/211395465.db2.gz MXWUWGGOJZNLSZ-UHFFFAOYSA-N 1 2 313.357 1.950 20 30 DDEDLO C#CC[N@H+](Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1)C1CC1 ZINC000274166307 211395468 /nfs/dbraw/zinc/39/54/68/211395468.db2.gz MXWUWGGOJZNLSZ-UHFFFAOYSA-N 1 2 313.357 1.950 20 30 DDEDLO Cc1nc(-c2nc([C@H]3C[N@@H+](C4CC4)CCO3)no2)ccc1C#N ZINC000274006373 211263513 /nfs/dbraw/zinc/26/35/13/211263513.db2.gz YSEHQEYBLIOSRK-CQSZACIVSA-N 1 2 311.345 1.847 20 30 DDEDLO Cc1nc(-c2nc([C@H]3C[N@H+](C4CC4)CCO3)no2)ccc1C#N ZINC000274006373 211263514 /nfs/dbraw/zinc/26/35/14/211263514.db2.gz YSEHQEYBLIOSRK-CQSZACIVSA-N 1 2 311.345 1.847 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)CNc1ccc(C#N)cc1)[NH+]1CCOCC1 ZINC000104598540 194018797 /nfs/dbraw/zinc/01/87/97/194018797.db2.gz CGINJMHESMKZBH-UONOGXRCSA-N 1 2 316.405 1.196 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCCC[C@@H]1CC(N)=O ZINC000339141901 250312113 /nfs/dbraw/zinc/31/21/13/250312113.db2.gz UNQQZKOXYSENCK-LLVKDONJSA-N 1 2 306.391 1.288 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCCC[C@@H]1CC(N)=O ZINC000339141901 250312116 /nfs/dbraw/zinc/31/21/16/250312116.db2.gz UNQQZKOXYSENCK-LLVKDONJSA-N 1 2 306.391 1.288 20 30 DDEDLO COC(=O)CCC[N@@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000364673528 269700134 /nfs/dbraw/zinc/70/01/34/269700134.db2.gz HAMLXDFNVDHVOL-UHFFFAOYSA-N 1 2 315.373 1.156 20 30 DDEDLO COC(=O)CCC[N@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000364673528 269700135 /nfs/dbraw/zinc/70/01/35/269700135.db2.gz HAMLXDFNVDHVOL-UHFFFAOYSA-N 1 2 315.373 1.156 20 30 DDEDLO CS(=O)(=O)CC[N@H+](C[C@@H](O)c1ccc(C#N)cc1)C1CC1 ZINC000156782147 197140094 /nfs/dbraw/zinc/14/00/94/197140094.db2.gz LAIPYAMOIUNMKX-OAHLLOKOSA-N 1 2 308.403 1.101 20 30 DDEDLO CS(=O)(=O)CC[N@@H+](C[C@@H](O)c1ccc(C#N)cc1)C1CC1 ZINC000156782147 197140096 /nfs/dbraw/zinc/14/00/96/197140096.db2.gz LAIPYAMOIUNMKX-OAHLLOKOSA-N 1 2 308.403 1.101 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000346265028 533238363 /nfs/dbraw/zinc/23/83/63/533238363.db2.gz QRTXAAHPNMRSDH-GXFFZTMASA-N 1 2 319.327 1.327 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000346265028 533238366 /nfs/dbraw/zinc/23/83/66/533238366.db2.gz QRTXAAHPNMRSDH-GXFFZTMASA-N 1 2 319.327 1.327 20 30 DDEDLO COc1ccc2c(c1)C[N@H+]([C@@H](C)C(=O)N(C)CCC#N)CCO2 ZINC000451642287 533263383 /nfs/dbraw/zinc/26/33/83/533263383.db2.gz KWXYXDIQZYWASR-ZDUSSCGKSA-N 1 2 317.389 1.650 20 30 DDEDLO COc1ccc2c(c1)C[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)CCO2 ZINC000451642287 533263386 /nfs/dbraw/zinc/26/33/86/533263386.db2.gz KWXYXDIQZYWASR-ZDUSSCGKSA-N 1 2 317.389 1.650 20 30 DDEDLO C[C@@H](C1CC1)n1cc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)cn1 ZINC000329786315 533371329 /nfs/dbraw/zinc/37/13/29/533371329.db2.gz QOPBCSDGMPWDPL-TUKIKUTGSA-N 1 2 319.409 1.414 20 30 DDEDLO C[C@@H](C1CC1)n1cc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)cn1 ZINC000329786315 533371332 /nfs/dbraw/zinc/37/13/32/533371332.db2.gz QOPBCSDGMPWDPL-TUKIKUTGSA-N 1 2 319.409 1.414 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNC(=O)c2ccc(C#N)s2)C1 ZINC000412367285 533509043 /nfs/dbraw/zinc/50/90/43/533509043.db2.gz MCCZYTXWVXIKCB-LLVKDONJSA-N 1 2 321.402 1.235 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNC(=O)c2ccc(C#N)s2)C1 ZINC000412367285 533509048 /nfs/dbraw/zinc/50/90/48/533509048.db2.gz MCCZYTXWVXIKCB-LLVKDONJSA-N 1 2 321.402 1.235 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1CCC[C@@H]1CO ZINC000072582636 406884038 /nfs/dbraw/zinc/88/40/38/406884038.db2.gz UCUAXKOSRGKIQC-GFCCVEGCSA-N 1 2 305.403 1.697 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1CCC[C@@H]1CO ZINC000072582636 406884042 /nfs/dbraw/zinc/88/40/42/406884042.db2.gz UCUAXKOSRGKIQC-GFCCVEGCSA-N 1 2 305.403 1.697 20 30 DDEDLO CC(=O)[C@H](NC(=O)C[N@H+](C)Cc1ccc(C#N)cc1)C(C)C ZINC000073615757 406892190 /nfs/dbraw/zinc/89/21/90/406892190.db2.gz ZTJSUEMHBDBNEA-QGZVFWFLSA-N 1 2 301.390 1.720 20 30 DDEDLO CC(=O)[C@H](NC(=O)C[N@@H+](C)Cc1ccc(C#N)cc1)C(C)C ZINC000073615757 406892193 /nfs/dbraw/zinc/89/21/93/406892193.db2.gz ZTJSUEMHBDBNEA-QGZVFWFLSA-N 1 2 301.390 1.720 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000076185528 406958740 /nfs/dbraw/zinc/95/87/40/406958740.db2.gz KZAJFCDRMAMLNK-KRWDZBQOSA-N 1 2 314.360 1.294 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1nc2ccccc2o1 ZINC000078687842 407041567 /nfs/dbraw/zinc/04/15/67/407041567.db2.gz JHGQVSSWNYFAGV-UHFFFAOYSA-N 1 2 314.389 1.876 20 30 DDEDLO C=C(C)COc1cc(C[N@@H+]2CCO[C@H](C(N)=O)C2)ccc1OC ZINC000092735884 407190643 /nfs/dbraw/zinc/19/06/43/407190643.db2.gz HPSIDIWHJQMSPK-INIZCTEOSA-N 1 2 320.389 1.336 20 30 DDEDLO C=C(C)COc1cc(C[N@H+]2CCO[C@H](C(N)=O)C2)ccc1OC ZINC000092735884 407190648 /nfs/dbraw/zinc/19/06/48/407190648.db2.gz HPSIDIWHJQMSPK-INIZCTEOSA-N 1 2 320.389 1.336 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1cc(F)ccc1O ZINC000094074131 407204277 /nfs/dbraw/zinc/20/42/77/407204277.db2.gz GFUZFKXYBNFETC-UHFFFAOYSA-N 1 2 321.352 1.219 20 30 DDEDLO CC[N@@H+](CC(=O)NCCOc1cccc(F)c1)C[C@@H](C)C#N ZINC000064249217 407237748 /nfs/dbraw/zinc/23/77/48/407237748.db2.gz KLHONZULXHZYCS-ZDUSSCGKSA-N 1 2 307.369 1.802 20 30 DDEDLO CC[N@H+](CC(=O)NCCOc1cccc(F)c1)C[C@@H](C)C#N ZINC000064249217 407237751 /nfs/dbraw/zinc/23/77/51/407237751.db2.gz KLHONZULXHZYCS-ZDUSSCGKSA-N 1 2 307.369 1.802 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000101554658 407311512 /nfs/dbraw/zinc/31/15/12/407311512.db2.gz FDFCECGWXYSGRS-OAHLLOKOSA-N 1 2 314.433 1.841 20 30 DDEDLO CCc1nc(CN2CC[NH+](Cc3ccc(C#N)s3)CC2)no1 ZINC000123952742 407343595 /nfs/dbraw/zinc/34/35/95/407343595.db2.gz JITHMVXSOGEEPM-UHFFFAOYSA-N 1 2 317.418 1.883 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ncccn3)CC2)cc1C#N ZINC000125013543 407375927 /nfs/dbraw/zinc/37/59/27/407375927.db2.gz DNZXZETXUYBLTN-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](Cc3cccs3)CC2)CCOCC1 ZINC000109138539 407395106 /nfs/dbraw/zinc/39/51/06/407395106.db2.gz NCDUYTVZPCGSDI-UHFFFAOYSA-N 1 2 319.430 1.713 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](C)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000112360256 407427224 /nfs/dbraw/zinc/42/72/24/407427224.db2.gz YXWDAXYKEVPGIF-IUODEOHRSA-N 1 2 319.380 1.554 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](C)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000112360256 407427226 /nfs/dbraw/zinc/42/72/26/407427226.db2.gz YXWDAXYKEVPGIF-IUODEOHRSA-N 1 2 319.380 1.554 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@H+]2CCOCC2(C)C)s1 ZINC000178542070 407487248 /nfs/dbraw/zinc/48/72/48/407487248.db2.gz MMWKSRQCYFMZTA-UHFFFAOYSA-N 1 2 324.450 1.582 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@@H+]2CCOCC2(C)C)s1 ZINC000178542070 407487261 /nfs/dbraw/zinc/48/72/61/407487261.db2.gz MMWKSRQCYFMZTA-UHFFFAOYSA-N 1 2 324.450 1.582 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@H+](C)CCOc1ccccc1C#N ZINC000113001429 407459815 /nfs/dbraw/zinc/45/98/15/407459815.db2.gz FFQZYUNVGUVOAP-CYBMUJFWSA-N 1 2 310.419 1.692 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@@H+](C)CCOc1ccccc1C#N ZINC000113001429 407459818 /nfs/dbraw/zinc/45/98/18/407459818.db2.gz FFQZYUNVGUVOAP-CYBMUJFWSA-N 1 2 310.419 1.692 20 30 DDEDLO COC(=O)C[C@H]1C[N@H+](CCOc2cccc(C#N)c2)CCO1 ZINC000178448696 407459866 /nfs/dbraw/zinc/45/98/66/407459866.db2.gz UIFMWHQWDKDGAB-HNNXBMFYSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)C[C@H]1C[N@@H+](CCOc2cccc(C#N)c2)CCO1 ZINC000178448696 407459869 /nfs/dbraw/zinc/45/98/69/407459869.db2.gz UIFMWHQWDKDGAB-HNNXBMFYSA-N 1 2 304.346 1.201 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1CC[C@@H](O)C1 ZINC000114486247 407585713 /nfs/dbraw/zinc/58/57/13/407585713.db2.gz PEFCSKCBEUHNPO-NXEZZACHSA-N 1 2 305.403 1.502 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1CC[C@@H](O)C1 ZINC000114486247 407585718 /nfs/dbraw/zinc/58/57/18/407585718.db2.gz PEFCSKCBEUHNPO-NXEZZACHSA-N 1 2 305.403 1.502 20 30 DDEDLO CC(C)NC(=O)CN1CC[NH+]([C@@H](C)c2cccc(C#N)c2)CC1 ZINC000186286623 407628132 /nfs/dbraw/zinc/62/81/32/407628132.db2.gz FIERILIPOAIRMP-HNNXBMFYSA-N 1 2 314.433 1.761 20 30 DDEDLO CCC[N@H+](Cc1cc(C#N)ccc1OC)[C@@H]1CCS(=O)(=O)C1 ZINC000178913409 407652353 /nfs/dbraw/zinc/65/23/53/407652353.db2.gz GSVYXLUDLSFWOM-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO CCC[N@@H+](Cc1cc(C#N)ccc1OC)[C@@H]1CCS(=O)(=O)C1 ZINC000178913409 407652357 /nfs/dbraw/zinc/65/23/57/407652357.db2.gz GSVYXLUDLSFWOM-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)s1 ZINC000230336653 407689153 /nfs/dbraw/zinc/68/91/53/407689153.db2.gz VGADLJAMYBSMRP-UHFFFAOYSA-N 1 2 311.432 1.336 20 30 DDEDLO C=CCCOCCNC(=O)N1CCCN(c2cccc[nH+]2)CC1 ZINC000186545917 407699271 /nfs/dbraw/zinc/69/92/71/407699271.db2.gz KDHYQCCXSHLYAN-UHFFFAOYSA-N 1 2 318.421 1.896 20 30 DDEDLO CC(C)(C)[N@H+](CC(N)=O)CC(=O)N(CCC#N)c1ccccc1 ZINC000131344732 407733164 /nfs/dbraw/zinc/73/31/64/407733164.db2.gz VEFVLOZWEMSTPW-UHFFFAOYSA-N 1 2 316.405 1.519 20 30 DDEDLO CC(C)(C)[N@@H+](CC(N)=O)CC(=O)N(CCC#N)c1ccccc1 ZINC000131344732 407733168 /nfs/dbraw/zinc/73/31/68/407733168.db2.gz VEFVLOZWEMSTPW-UHFFFAOYSA-N 1 2 316.405 1.519 20 30 DDEDLO C[C@H](O)CN1CC(=O)C(=C2N(C)c3ccccc3N2C)C1=[NH2+] ZINC000153119261 407757029 /nfs/dbraw/zinc/75/70/29/407757029.db2.gz GFJFCOALOHGYOW-JTQLQIEISA-N 1 2 300.362 1.027 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)C[N@H+]1CCOc1ccccc1C#N ZINC000116286841 407744692 /nfs/dbraw/zinc/74/46/92/407744692.db2.gz ILEVIHHLKZQRBU-KGLIPLIRSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)C[N@@H+]1CCOc1ccccc1C#N ZINC000116286841 407744699 /nfs/dbraw/zinc/74/46/99/407744699.db2.gz ILEVIHHLKZQRBU-KGLIPLIRSA-N 1 2 304.346 1.199 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCN(C(=O)OC(C)(C)C)[C@@H](C)[C@H]1C ZINC000272037856 407750988 /nfs/dbraw/zinc/75/09/88/407750988.db2.gz QNBZJOWOZFYDJP-OLZOCXBDSA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCN(C(=O)OC(C)(C)C)[C@@H](C)[C@H]1C ZINC000272037856 407750992 /nfs/dbraw/zinc/75/09/92/407750992.db2.gz QNBZJOWOZFYDJP-OLZOCXBDSA-N 1 2 311.426 1.618 20 30 DDEDLO C[N@H+](CCNS(=O)(=O)c1c(F)cc(C#N)cc1F)C1CC1 ZINC000268133149 407903710 /nfs/dbraw/zinc/90/37/10/407903710.db2.gz CUZCWGWHVIMLKE-UHFFFAOYSA-N 1 2 315.345 1.209 20 30 DDEDLO C[N@@H+](CCNS(=O)(=O)c1c(F)cc(C#N)cc1F)C1CC1 ZINC000268133149 407903716 /nfs/dbraw/zinc/90/37/16/407903716.db2.gz CUZCWGWHVIMLKE-UHFFFAOYSA-N 1 2 315.345 1.209 20 30 DDEDLO C#CCNC(=O)c1ccc(N2CC[C@H](C)[C@H](n3cc[nH+]c3)C2)nc1 ZINC000268244010 407968250 /nfs/dbraw/zinc/96/82/50/407968250.db2.gz IFNFNFYUCGTGJV-GOEBONIOSA-N 1 2 323.400 1.729 20 30 DDEDLO Fc1ccc(C#CCN2CCC[C@@H]2C[NH+]2CCOCC2)cc1 ZINC000153869160 407919911 /nfs/dbraw/zinc/91/99/11/407919911.db2.gz JHLNYHGAUJPNAA-GOSISDBHSA-N 1 2 302.393 1.974 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@]3(CCOC3)C2)c(C#N)c1C ZINC000153858442 407921122 /nfs/dbraw/zinc/92/11/22/407921122.db2.gz YMGWSHWUGSORDW-MRXNPFEDSA-N 1 2 303.362 1.819 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@]3(CCOC3)C2)c(C#N)c1C ZINC000153858442 407921128 /nfs/dbraw/zinc/92/11/28/407921128.db2.gz YMGWSHWUGSORDW-MRXNPFEDSA-N 1 2 303.362 1.819 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCS[C@@H]3COCC[C@@H]32)c1 ZINC000135561689 408030111 /nfs/dbraw/zinc/03/01/11/408030111.db2.gz LNXDMYZWTSPDBF-LSDHHAIUSA-N 1 2 317.414 1.703 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCS[C@@H]3COCC[C@@H]32)c1 ZINC000135561689 408030119 /nfs/dbraw/zinc/03/01/19/408030119.db2.gz LNXDMYZWTSPDBF-LSDHHAIUSA-N 1 2 317.414 1.703 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000121198802 408161397 /nfs/dbraw/zinc/16/13/97/408161397.db2.gz PTHZCKFVNVRJCV-RDJZCZTQSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000121198802 408161402 /nfs/dbraw/zinc/16/14/02/408161402.db2.gz PTHZCKFVNVRJCV-RDJZCZTQSA-N 1 2 302.374 1.947 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCO[C@@H]([C@H]4CCCO4)C3)n2c1 ZINC000246202561 408176273 /nfs/dbraw/zinc/17/62/73/408176273.db2.gz LZOZXQJLJWVBCL-HZPDHXFCSA-N 1 2 312.373 1.586 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCO[C@@H]([C@H]4CCCO4)C3)n2c1 ZINC000246202561 408176278 /nfs/dbraw/zinc/17/62/78/408176278.db2.gz LZOZXQJLJWVBCL-HZPDHXFCSA-N 1 2 312.373 1.586 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCO[C@@H]([C@H]4CCCO4)C3)n2c1 ZINC000246202561 408176283 /nfs/dbraw/zinc/17/62/83/408176283.db2.gz LZOZXQJLJWVBCL-HZPDHXFCSA-N 1 2 312.373 1.586 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](C(=O)OC)CC[N@@H+]1CCCC(C)(C)C#N ZINC000183121255 408330579 /nfs/dbraw/zinc/33/05/79/408330579.db2.gz PZAVVQVVGSBCSH-QWHCGFSZSA-N 1 2 310.394 1.743 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](C(=O)OC)CC[N@H+]1CCCC(C)(C)C#N ZINC000183121255 408330585 /nfs/dbraw/zinc/33/05/85/408330585.db2.gz PZAVVQVVGSBCSH-QWHCGFSZSA-N 1 2 310.394 1.743 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(C)c(F)c2)CC1 ZINC000122252318 408273817 /nfs/dbraw/zinc/27/38/17/408273817.db2.gz BZBUQKJDCZJOFS-UHFFFAOYSA-N 1 2 305.397 1.554 20 30 DDEDLO CNC(=O)[C@H](C)C[N@H+](C)[C@@H](C(=O)OC)c1cccc(C#N)c1 ZINC000246512173 408277777 /nfs/dbraw/zinc/27/77/77/408277777.db2.gz QSEULIPFXPQGFU-BXUZGUMPSA-N 1 2 303.362 1.086 20 30 DDEDLO CNC(=O)[C@H](C)C[N@@H+](C)[C@@H](C(=O)OC)c1cccc(C#N)c1 ZINC000246512173 408277783 /nfs/dbraw/zinc/27/77/83/408277783.db2.gz QSEULIPFXPQGFU-BXUZGUMPSA-N 1 2 303.362 1.086 20 30 DDEDLO COCC[NH+](CCOC)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000173468550 162340994 /nfs/dbraw/zinc/34/09/94/162340994.db2.gz IRZCSNIARYMLTR-UHFFFAOYSA-N 1 2 316.361 1.703 20 30 DDEDLO Cn1cc([C@H]2CSCC[N@@H+]2Cc2cc(C#N)n(C)c2)cn1 ZINC000191741082 408482045 /nfs/dbraw/zinc/48/20/45/408482045.db2.gz QPMTVWNYCOYWBH-OAHLLOKOSA-N 1 2 301.419 1.920 20 30 DDEDLO Cn1cc([C@H]2CSCC[N@H+]2Cc2cc(C#N)n(C)c2)cn1 ZINC000191741082 408482051 /nfs/dbraw/zinc/48/20/51/408482051.db2.gz QPMTVWNYCOYWBH-OAHLLOKOSA-N 1 2 301.419 1.920 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC[C@H](C[NH+]2CC(O)(CC#N)C2)C1 ZINC000191917837 408507571 /nfs/dbraw/zinc/50/75/71/408507571.db2.gz GSKANNRPIUELMG-CYBMUJFWSA-N 1 2 309.410 1.594 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](CCSC)c1nnc2ccccn21 ZINC000184608540 408655847 /nfs/dbraw/zinc/65/58/47/408655847.db2.gz VHFMSZHBEUBRER-LBPRGKRZSA-N 1 2 319.434 1.415 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)c2cn[nH]c2-c2cnn(C)c2)CC1 ZINC000275764741 408670987 /nfs/dbraw/zinc/67/09/87/408670987.db2.gz GABUDCGLUYEKRD-UHFFFAOYSA-N 1 2 314.393 1.190 20 30 DDEDLO N#CCCn1ccc(NC(=O)NCc2ccn3cc[nH+]c3c2)n1 ZINC000178210001 408788548 /nfs/dbraw/zinc/78/85/48/408788548.db2.gz ICPOHBDBUALVFK-UHFFFAOYSA-N 1 2 309.333 1.766 20 30 DDEDLO N#Cc1cc(Cl)ccc1CO[NH+]=C(N)CN1CCOCC1 ZINC000185369634 408801312 /nfs/dbraw/zinc/80/13/12/408801312.db2.gz UINXPLXJRJCBAM-UHFFFAOYSA-N 1 2 308.769 1.333 20 30 DDEDLO N#CCC1(O)CN(C(=O)/C=C/c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000193967095 408757352 /nfs/dbraw/zinc/75/73/52/408757352.db2.gz YBAIVRHAFBTZQK-ZZXKWVIFSA-N 1 2 308.341 1.372 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@@H](C)O[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000178147117 408780937 /nfs/dbraw/zinc/78/09/37/408780937.db2.gz FOHVLPVSLQXYJC-VXGBXAGGSA-N 1 2 307.394 1.830 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(OC)ccc2OC)C1=O ZINC000281211378 408876199 /nfs/dbraw/zinc/87/61/99/408876199.db2.gz JTUPVFNBHRWOEB-OAHLLOKOSA-N 1 2 304.390 1.923 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(OC)ccc2OC)C1=O ZINC000281211378 408876201 /nfs/dbraw/zinc/87/62/01/408876201.db2.gz JTUPVFNBHRWOEB-OAHLLOKOSA-N 1 2 304.390 1.923 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)N(CCC#N)CCC#N)c1c(F)cncc1F ZINC000285735967 408853160 /nfs/dbraw/zinc/85/31/60/408853160.db2.gz QCQSDNRWIWAGBT-LLVKDONJSA-N 1 2 321.331 1.666 20 30 DDEDLO COc1ncccc1C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000193315929 163252647 /nfs/dbraw/zinc/25/26/47/163252647.db2.gz VUEHBXWHGWCBDY-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(Cc3nc(C)c(C)o3)CC2)C1=O ZINC000281940586 408958297 /nfs/dbraw/zinc/95/82/97/408958297.db2.gz IUBCBVQZSOOLQT-OAHLLOKOSA-N 1 2 318.421 1.196 20 30 DDEDLO C#CCC(CC#C)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000277579256 408968531 /nfs/dbraw/zinc/96/85/31/408968531.db2.gz YQSSMKLTZWIAPI-LJQANCHMSA-N 1 2 324.424 1.839 20 30 DDEDLO Cn1cccc1C(=O)C[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292144260 408989264 /nfs/dbraw/zinc/98/92/64/408989264.db2.gz JSIHOUOWSPYJDS-AWEZNQCLSA-N 1 2 310.357 1.628 20 30 DDEDLO Cn1cccc1C(=O)C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292144260 408989267 /nfs/dbraw/zinc/98/92/67/408989267.db2.gz JSIHOUOWSPYJDS-AWEZNQCLSA-N 1 2 310.357 1.628 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC000282124119 408995681 /nfs/dbraw/zinc/99/56/81/408995681.db2.gz YAESZVALYHOGLW-ZDUSSCGKSA-N 1 2 309.410 1.326 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cn(C)nc2-c2ccc(C#N)cc2)CC(=O)N1C ZINC000282301117 409029004 /nfs/dbraw/zinc/02/90/04/409029004.db2.gz SOCDQQVQCFVXKW-CYBMUJFWSA-N 1 2 323.400 1.621 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cn(C)nc2-c2ccc(C#N)cc2)CC(=O)N1C ZINC000282301117 409029006 /nfs/dbraw/zinc/02/90/06/409029006.db2.gz SOCDQQVQCFVXKW-CYBMUJFWSA-N 1 2 323.400 1.621 20 30 DDEDLO C=C[C@@H](CO)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1F ZINC000292586483 409037916 /nfs/dbraw/zinc/03/79/16/409037916.db2.gz VMZRJWGHCYYPJV-FZMZJTMJSA-N 1 2 318.352 1.495 20 30 DDEDLO NC(CCO)=[NH+]OCc1cncc(OCc2ccccc2)c1 ZINC000278299905 409078441 /nfs/dbraw/zinc/07/84/41/409078441.db2.gz SGXHMMNNMHSYSM-UHFFFAOYSA-N 1 2 301.346 1.832 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)/C=C/c1cccc(C#N)c1 ZINC000279355103 409197657 /nfs/dbraw/zinc/19/76/57/409197657.db2.gz RELMJLBULPUHIQ-LQYUOIDQSA-N 1 2 313.401 1.751 20 30 DDEDLO C#C[C@@H](NC(=O)C[NH+]1CCN(c2ccccn2)CC1)C(C)(C)C ZINC000288106389 409146894 /nfs/dbraw/zinc/14/68/94/409146894.db2.gz HDWNAELYALAJJR-OAHLLOKOSA-N 1 2 314.433 1.368 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC3(C2)Oc2ccccc2O3)C1=O ZINC000289025891 409209452 /nfs/dbraw/zinc/20/94/52/409209452.db2.gz OIGXNDGLAUGZDH-CYBMUJFWSA-N 1 2 300.358 1.647 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC3(C2)Oc2ccccc2O3)C1=O ZINC000289025891 409209454 /nfs/dbraw/zinc/20/94/54/409209454.db2.gz OIGXNDGLAUGZDH-CYBMUJFWSA-N 1 2 300.358 1.647 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)NCc3ccc(C#N)cc3)C[C@@H]21 ZINC000283820291 409223242 /nfs/dbraw/zinc/22/32/42/409223242.db2.gz CRJKHFSMUIUQJU-HOTGVXAUSA-N 1 2 314.389 1.173 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)NCc3ccc(C#N)cc3)C[C@@H]21 ZINC000283820291 409223246 /nfs/dbraw/zinc/22/32/46/409223246.db2.gz CRJKHFSMUIUQJU-HOTGVXAUSA-N 1 2 314.389 1.173 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000279501305 409225881 /nfs/dbraw/zinc/22/58/81/409225881.db2.gz SQWQRPWMDIHUBJ-KRWDZBQOSA-N 1 2 304.390 1.364 20 30 DDEDLO Cc1coc(C)c1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000284121403 409279573 /nfs/dbraw/zinc/27/95/73/409279573.db2.gz ZLSZXVFLIWBEHD-UHFFFAOYSA-N 1 2 305.378 1.585 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CC[C@@H](n2cc(Cl)cn2)C1)C1CC1 ZINC000289714177 409284389 /nfs/dbraw/zinc/28/43/89/409284389.db2.gz CMWPFGXCQBRKDN-UKRRQHHQSA-N 1 2 321.812 1.592 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CC[C@@H](n2cc(Cl)cn2)C1)C1CC1 ZINC000289714177 409284393 /nfs/dbraw/zinc/28/43/93/409284393.db2.gz CMWPFGXCQBRKDN-UKRRQHHQSA-N 1 2 321.812 1.592 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000289680410 409284766 /nfs/dbraw/zinc/28/47/66/409284766.db2.gz ZLBZFWFVZAFWTF-UHFFFAOYSA-N 1 2 319.408 1.950 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2csc(C)n2)CC1 ZINC000294575069 409294537 /nfs/dbraw/zinc/29/45/37/409294537.db2.gz KGYHHOJJUSILAO-ZDUSSCGKSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2csc(C)n2)CC1 ZINC000294575069 409294539 /nfs/dbraw/zinc/29/45/39/409294539.db2.gz KGYHHOJJUSILAO-ZDUSSCGKSA-N 1 2 321.446 1.524 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@H](C)C1 ZINC000290174589 409313887 /nfs/dbraw/zinc/31/38/87/409313887.db2.gz DYPRJNNTZHAIFZ-CHWSQXEVSA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@H](C)C1 ZINC000290174589 409313890 /nfs/dbraw/zinc/31/38/90/409313890.db2.gz DYPRJNNTZHAIFZ-CHWSQXEVSA-N 1 2 307.419 1.847 20 30 DDEDLO CC#CCC[N@@H+]1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000284953599 409374204 /nfs/dbraw/zinc/37/42/04/409374204.db2.gz XAKGWVGCPWYUDS-UHFFFAOYSA-N 1 2 306.431 1.708 20 30 DDEDLO CC#CCC[N@H+]1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000284953599 409374211 /nfs/dbraw/zinc/37/42/11/409374211.db2.gz XAKGWVGCPWYUDS-UHFFFAOYSA-N 1 2 306.431 1.708 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000295249848 409395319 /nfs/dbraw/zinc/39/53/19/409395319.db2.gz MPEYHBRTTIAROF-HUUCEWRRSA-N 1 2 301.390 1.325 20 30 DDEDLO CC1(C)[C@@H](O)CC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000314382652 164014540 /nfs/dbraw/zinc/01/45/40/164014540.db2.gz RCBISYDSQRPMFE-AWEZNQCLSA-N 1 2 308.403 1.177 20 30 DDEDLO CC1(C)[C@@H](O)CC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000314382652 164014541 /nfs/dbraw/zinc/01/45/41/164014541.db2.gz RCBISYDSQRPMFE-AWEZNQCLSA-N 1 2 308.403 1.177 20 30 DDEDLO C=C1CCN(C(=O)c2ccc(C[NH+]3CCOCC3)cn2)CC1 ZINC000354269619 409744498 /nfs/dbraw/zinc/74/44/98/409744498.db2.gz UASKZDXHJUYMOG-UHFFFAOYSA-N 1 2 301.390 1.706 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000354430201 409847871 /nfs/dbraw/zinc/84/78/71/409847871.db2.gz WTGUZXFUHJFENA-CYBMUJFWSA-N 1 2 308.344 1.800 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000354430201 409847874 /nfs/dbraw/zinc/84/78/74/409847874.db2.gz WTGUZXFUHJFENA-CYBMUJFWSA-N 1 2 308.344 1.800 20 30 DDEDLO Cn1c[nH+]cc1CNS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000349781482 409908328 /nfs/dbraw/zinc/90/83/28/409908328.db2.gz GSIWEZQKSOJIKB-UHFFFAOYSA-N 1 2 310.766 1.424 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N[C@@H]2CCO[C@H](C(C)(C)C)C2)C1 ZINC000328912244 410009347 /nfs/dbraw/zinc/00/93/47/410009347.db2.gz HCKNMZYAWSAHJP-MCIONIFRSA-N 1 2 313.442 1.414 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N[C@@H]2CCO[C@H](C(C)(C)C)C2)C1 ZINC000328912244 410009350 /nfs/dbraw/zinc/00/93/50/410009350.db2.gz HCKNMZYAWSAHJP-MCIONIFRSA-N 1 2 313.442 1.414 20 30 DDEDLO NC(=O)[C@]1(O)CCCN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)C1 ZINC000328967698 410025667 /nfs/dbraw/zinc/02/56/67/410025667.db2.gz ADFGVWRCPSKTOA-NHYWBVRUSA-N 1 2 321.381 1.037 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)NC[C@]1(O)CCOC1 ZINC000328977555 410033675 /nfs/dbraw/zinc/03/36/75/410033675.db2.gz QLTCJYYSNJNOSX-XJKCOSOUSA-N 1 2 305.378 1.262 20 30 DDEDLO CC(C)N1C(=O)N[C@@H]2C[N@@H+](CC(=O)NC[C@H]3CCCO3)CC[C@@H]21 ZINC000328995755 410042870 /nfs/dbraw/zinc/04/28/70/410042870.db2.gz FLCKQYQZCMFNMM-MCIONIFRSA-N 1 2 324.425 1.203 20 30 DDEDLO CC(C)N1C(=O)N[C@@H]2C[N@H+](CC(=O)NC[C@H]3CCCO3)CC[C@@H]21 ZINC000328995755 410042879 /nfs/dbraw/zinc/04/28/79/410042879.db2.gz FLCKQYQZCMFNMM-MCIONIFRSA-N 1 2 324.425 1.203 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@@H+](CC(=O)NCC3CCCCC3)CC[C@@H]21 ZINC000328996746 410043106 /nfs/dbraw/zinc/04/31/06/410043106.db2.gz FPFZJAWMNANQHE-KGLIPLIRSA-N 1 2 308.426 1.826 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@H+](CC(=O)NCC3CCCCC3)CC[C@@H]21 ZINC000328996746 410043111 /nfs/dbraw/zinc/04/31/11/410043111.db2.gz FPFZJAWMNANQHE-KGLIPLIRSA-N 1 2 308.426 1.826 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCO[C@@H]1C1CC1)N1CCC[C@H]1Cn1cc[nH+]c1 ZINC000328856209 409995196 /nfs/dbraw/zinc/99/51/96/409995196.db2.gz XHAIWZBHDBYIMA-RRFJBIMHSA-N 1 2 304.394 1.829 20 30 DDEDLO O=C(N[C@@H]1CCO[C@@H]1C1CC1)N1CCC[C@H]1Cn1cc[nH+]c1 ZINC000328856209 409995203 /nfs/dbraw/zinc/99/52/03/409995203.db2.gz XHAIWZBHDBYIMA-RRFJBIMHSA-N 1 2 304.394 1.829 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+](CCC)CC(=O)NCCC ZINC000298075447 410102863 /nfs/dbraw/zinc/10/28/63/410102863.db2.gz JSDXPEJBNKRURN-OAHLLOKOSA-N 1 2 309.454 1.814 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+](CCC)CC(=O)NCCC ZINC000298075447 410102870 /nfs/dbraw/zinc/10/28/70/410102870.db2.gz JSDXPEJBNKRURN-OAHLLOKOSA-N 1 2 309.454 1.814 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)c1C ZINC000329160943 410139176 /nfs/dbraw/zinc/13/91/76/410139176.db2.gz YPPPLIQGEOJDAV-STQMWFEESA-N 1 2 307.398 1.080 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)c1C ZINC000329160943 410139187 /nfs/dbraw/zinc/13/91/87/410139187.db2.gz YPPPLIQGEOJDAV-STQMWFEESA-N 1 2 307.398 1.080 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)Cc2ccncc2)CC1 ZINC000329189082 410156272 /nfs/dbraw/zinc/15/62/72/410156272.db2.gz RHZAWBMEMZQCSQ-CYBMUJFWSA-N 1 2 316.405 1.276 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC(c3[nH]cc[nH+]3)CC2)cc1 ZINC000332440327 410158831 /nfs/dbraw/zinc/15/88/31/410158831.db2.gz INQDCACWURLHIP-UHFFFAOYSA-N 1 2 316.386 1.850 20 30 DDEDLO Cc1ccn(C)c(=O)c1NC(=O)N1CCC[C@H]1Cn1cc[nH+]c1 ZINC000329245022 410189465 /nfs/dbraw/zinc/18/94/65/410189465.db2.gz UYFAGSFPWNENSD-ZDUSSCGKSA-N 1 2 315.377 1.600 20 30 DDEDLO O=C(CN1CCn2cc[nH+]c2C1)NC(=O)NC1CCCCC1 ZINC000329335444 410239116 /nfs/dbraw/zinc/23/91/16/410239116.db2.gz LFXJZHHMBSLMTC-UHFFFAOYSA-N 1 2 305.382 1.902 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2ccccc2SCC#N)C[C@H]1O ZINC000351813325 410253822 /nfs/dbraw/zinc/25/38/22/410253822.db2.gz HCPBTPHATAEGOJ-DGCLKSJQSA-N 1 2 305.403 1.553 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2ccccc2SCC#N)C[C@H]1O ZINC000351813325 410253833 /nfs/dbraw/zinc/25/38/33/410253833.db2.gz HCPBTPHATAEGOJ-DGCLKSJQSA-N 1 2 305.403 1.553 20 30 DDEDLO C[C@H](NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1)c1ncn(C)n1 ZINC000329318469 410231124 /nfs/dbraw/zinc/23/11/24/410231124.db2.gz IHCQAZXNASLVNX-WCQYABFASA-N 1 2 307.398 1.476 20 30 DDEDLO C[C@H](NC(=O)[C@H]1COCC[N@H+]1C1CCCC1)c1ncn(C)n1 ZINC000329318469 410231130 /nfs/dbraw/zinc/23/11/30/410231130.db2.gz IHCQAZXNASLVNX-WCQYABFASA-N 1 2 307.398 1.476 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2ncnn2C1)N1CCC(n2cc[nH+]c2)CC1 ZINC000329456049 410307795 /nfs/dbraw/zinc/30/77/95/410307795.db2.gz PWWHTBLQQWBPHU-GFCCVEGCSA-N 1 2 315.381 1.041 20 30 DDEDLO O=C(N[C@@H]1CCc2ncnn2C1)N1CCC(n2cc[nH+]c2)CC1 ZINC000329456049 410307800 /nfs/dbraw/zinc/30/78/00/410307800.db2.gz PWWHTBLQQWBPHU-GFCCVEGCSA-N 1 2 315.381 1.041 20 30 DDEDLO CC(C)(C)OC(=O)C[C@@](C)(C#N)C(=O)N1CCn2c[nH+]cc2C1 ZINC000358165301 410389464 /nfs/dbraw/zinc/38/94/64/410389464.db2.gz LFSAXGOJMFFCBS-INIZCTEOSA-N 1 2 318.377 1.487 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)NCC(C)(C)CCC#N ZINC000358686588 410524186 /nfs/dbraw/zinc/52/41/86/410524186.db2.gz NXEPFAYIXMDYLM-AWEZNQCLSA-N 1 2 310.442 1.678 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCc1cccnc1-n1cc[nH+]c1 ZINC000299322310 410586913 /nfs/dbraw/zinc/58/69/13/410586913.db2.gz XJCWKDBXAFFWNR-CYBMUJFWSA-N 1 2 300.362 1.865 20 30 DDEDLO C=CCCC1(C(=O)Nc2cnn(CC[NH+]3CCOCC3)c2)CC1 ZINC000355601160 410601952 /nfs/dbraw/zinc/60/19/52/410601952.db2.gz ABHXNKPTBCBSNS-UHFFFAOYSA-N 1 2 318.421 1.900 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@@H+]2CCO[C@H]3CCC[C@H]32)C1 ZINC000330278139 410611082 /nfs/dbraw/zinc/61/10/82/410611082.db2.gz PFUYCMWTROLKPW-KFWWJZLASA-N 1 2 309.410 1.207 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@H+]2CCO[C@H]3CCC[C@H]32)C1 ZINC000330278139 410611091 /nfs/dbraw/zinc/61/10/91/410611091.db2.gz PFUYCMWTROLKPW-KFWWJZLASA-N 1 2 309.410 1.207 20 30 DDEDLO COC(=O)[C@H]([NH2+]CCC(=O)Nc1cccc(C#N)c1)C1CC1 ZINC000348216003 410858807 /nfs/dbraw/zinc/85/88/07/410858807.db2.gz CIYWSAMHPMWHFS-OAHLLOKOSA-N 1 2 301.346 1.428 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3ccc(C#N)nn3)c[nH+]2)C[C@H](C)O1 ZINC000301873338 410820821 /nfs/dbraw/zinc/82/08/21/410820821.db2.gz DOYNSUQTXZKLSC-STQMWFEESA-N 1 2 324.388 1.969 20 30 DDEDLO N#CCCCC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000359861930 410919437 /nfs/dbraw/zinc/91/94/37/410919437.db2.gz TUJYBLUZXXNFGT-UHFFFAOYSA-N 1 2 301.390 1.903 20 30 DDEDLO C[C@@H]1C[NH+](C[C@H](O)COc2ccc(C#N)cc2)C[C@@H](C)S1=O ZINC000331184248 411003846 /nfs/dbraw/zinc/00/38/46/411003846.db2.gz SZIIPHBANHAMJV-NFAWXSAZSA-N 1 2 322.430 1.139 20 30 DDEDLO CC[C@]1(C)C[N@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000331270216 411050565 /nfs/dbraw/zinc/05/05/65/411050565.db2.gz KJQJWIRPPIUOGY-QGZVFWFLSA-N 1 2 301.390 1.675 20 30 DDEDLO CC[C@]1(C)C[N@@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000331270216 411050570 /nfs/dbraw/zinc/05/05/70/411050570.db2.gz KJQJWIRPPIUOGY-QGZVFWFLSA-N 1 2 301.390 1.675 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000353669615 411104578 /nfs/dbraw/zinc/10/45/78/411104578.db2.gz DNXKOGWHNZVKHF-KRWDZBQOSA-N 1 2 304.390 1.588 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000353669615 411104581 /nfs/dbraw/zinc/10/45/81/411104581.db2.gz DNXKOGWHNZVKHF-KRWDZBQOSA-N 1 2 304.390 1.588 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000183090938 221925187 /nfs/dbraw/zinc/92/51/87/221925187.db2.gz PNRUZUPGUASIGQ-CQSZACIVSA-N 1 2 304.394 1.287 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N2CC[NH2+][C@H](c3ccncc3)C2)cc1 ZINC000374374981 418524202 /nfs/dbraw/zinc/52/42/02/418524202.db2.gz OWGHFHWZJMYCLJ-RHLNUJDMSA-N 1 2 324.384 1.849 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)Cc2cccc(OCC#N)c2)C1 ZINC000366878185 418531132 /nfs/dbraw/zinc/53/11/32/418531132.db2.gz PURIUIFIZBKUOP-OAHLLOKOSA-N 1 2 324.384 1.881 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1ccc(OC)cc1OC ZINC000191144930 222099016 /nfs/dbraw/zinc/09/90/16/222099016.db2.gz GNQCCJTVVYKTFN-UHFFFAOYSA-N 1 2 308.378 1.777 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1ccc(OC)cc1OC ZINC000191144930 222099018 /nfs/dbraw/zinc/09/90/18/222099018.db2.gz GNQCCJTVVYKTFN-UHFFFAOYSA-N 1 2 308.378 1.777 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(CC#Cc2ccccc2)CC1 ZINC000191864447 222111382 /nfs/dbraw/zinc/11/13/82/222111382.db2.gz KJZIRPFDLZUVCP-INIZCTEOSA-N 1 2 311.429 1.323 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCN(C)C(=O)[C@@H]2C)cc(OC)c1O ZINC000190083386 222066855 /nfs/dbraw/zinc/06/68/55/222066855.db2.gz NWRJFDIWMKFCNX-LBPRGKRZSA-N 1 2 304.390 1.792 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCN(C)C(=O)[C@@H]2C)cc(OC)c1O ZINC000190083386 222066857 /nfs/dbraw/zinc/06/68/57/222066857.db2.gz NWRJFDIWMKFCNX-LBPRGKRZSA-N 1 2 304.390 1.792 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC000192137725 222120490 /nfs/dbraw/zinc/12/04/90/222120490.db2.gz GVLMHDFFZSYGBP-KRWDZBQOSA-N 1 2 323.400 1.751 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC000192137725 222120496 /nfs/dbraw/zinc/12/04/96/222120496.db2.gz GVLMHDFFZSYGBP-KRWDZBQOSA-N 1 2 323.400 1.751 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@@H](O)C[N@H+](C)[C@H](C)CC#N)c1 ZINC000247686941 222231245 /nfs/dbraw/zinc/23/12/45/222231245.db2.gz SFPBDBGWEWJRCL-HIFRSBDPSA-N 1 2 320.389 1.837 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@@H](O)C[N@@H+](C)[C@H](C)CC#N)c1 ZINC000247686941 222231249 /nfs/dbraw/zinc/23/12/49/222231249.db2.gz SFPBDBGWEWJRCL-HIFRSBDPSA-N 1 2 320.389 1.837 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)C(C)(C)C#N)CC1 ZINC000263939615 222310189 /nfs/dbraw/zinc/31/01/89/222310189.db2.gz DTWCWVICIBZQCT-UHFFFAOYSA-N 1 2 301.390 1.889 20 30 DDEDLO COCCCNC(=O)[C@H](C)O[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000267472105 222386673 /nfs/dbraw/zinc/38/66/73/222386673.db2.gz VZIRONYKWZSKOO-LBPRGKRZSA-N 1 2 323.393 1.068 20 30 DDEDLO Cc1ccc(NCc2ccc(N3CCOCC3)[nH+]c2)nc1C#N ZINC000344947622 418634505 /nfs/dbraw/zinc/63/45/05/418634505.db2.gz XTCIDFYRMGZRQH-UHFFFAOYSA-N 1 2 309.373 2.105 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCO[C@]4(CCCOC4)C3)n2c1 ZINC000377669000 418713379 /nfs/dbraw/zinc/71/33/79/418713379.db2.gz GNXRAGSOBXSQDT-QGZVFWFLSA-N 1 2 312.373 1.587 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCO[C@]4(CCCOC4)C3)n2c1 ZINC000377669000 418713380 /nfs/dbraw/zinc/71/33/80/418713380.db2.gz GNXRAGSOBXSQDT-QGZVFWFLSA-N 1 2 312.373 1.587 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCOC[C@@H]3[C@H]3CCCO3)n2c1 ZINC000377709406 418713778 /nfs/dbraw/zinc/71/37/78/418713778.db2.gz YVZJGBBXYMYQFA-HZPDHXFCSA-N 1 2 312.373 1.586 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)Nc1ccc(C#N)cn1 ZINC000368489690 418720598 /nfs/dbraw/zinc/72/05/98/418720598.db2.gz FBBQGPQYIABSDJ-TZMCWYRMSA-N 1 2 307.357 2.059 20 30 DDEDLO CCn1cc(C[N@@H+]2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000376646741 418699594 /nfs/dbraw/zinc/69/95/94/418699594.db2.gz ZSJACRNHICLLSO-KDOFPFPSSA-N 1 2 321.384 1.748 20 30 DDEDLO CCn1cc(C[N@H+]2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000376646741 418699595 /nfs/dbraw/zinc/69/95/95/418699595.db2.gz ZSJACRNHICLLSO-KDOFPFPSSA-N 1 2 321.384 1.748 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(C#N)c(F)c2)CC1 ZINC000361703550 418700115 /nfs/dbraw/zinc/70/01/15/418700115.db2.gz UWCZNLZDEQKJEE-UHFFFAOYSA-N 1 2 316.380 1.117 20 30 DDEDLO CC(C)(C)NC(=O)C[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000369217207 418730005 /nfs/dbraw/zinc/73/00/05/418730005.db2.gz ZVMGNFUDSJWGJY-UHFFFAOYSA-N 1 2 300.406 1.853 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCN3C(=O)OC[C@@H]3C2)cc1 ZINC000371338266 418779597 /nfs/dbraw/zinc/77/95/97/418779597.db2.gz ZWRGAABTGAHFBU-HNNXBMFYSA-N 1 2 300.358 1.725 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCN3C(=O)OC[C@@H]3C2)cc1 ZINC000371338266 418779600 /nfs/dbraw/zinc/77/96/00/418779600.db2.gz ZWRGAABTGAHFBU-HNNXBMFYSA-N 1 2 300.358 1.725 20 30 DDEDLO Cn1nccc1C[N@@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000371454557 418793158 /nfs/dbraw/zinc/79/31/58/418793158.db2.gz ACJNADKCRJDXDR-SJKOYZFVSA-N 1 2 307.357 1.266 20 30 DDEDLO Cn1nccc1C[N@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000371454557 418793161 /nfs/dbraw/zinc/79/31/61/418793161.db2.gz ACJNADKCRJDXDR-SJKOYZFVSA-N 1 2 307.357 1.266 20 30 DDEDLO Cc1ccnc(NC[C@@]2([NH+]3CCOCC3)CCSC2)c1C#N ZINC000371692884 418809865 /nfs/dbraw/zinc/80/98/65/418809865.db2.gz NLJYFAXRHQPSMV-INIZCTEOSA-N 1 2 318.446 1.882 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CCCN(C(=O)CC(C)(C)C#N)CC1 ZINC000364806982 418811661 /nfs/dbraw/zinc/81/16/61/418811661.db2.gz KASFVYGOVWDBDS-UHFFFAOYSA-N 1 2 317.437 1.877 20 30 DDEDLO CCn1ccnc1C[N@H+]1CCCN(C(=O)CC(C)(C)C#N)CC1 ZINC000364806982 418811663 /nfs/dbraw/zinc/81/16/63/418811663.db2.gz KASFVYGOVWDBDS-UHFFFAOYSA-N 1 2 317.437 1.877 20 30 DDEDLO CCn1cc[nH+]c1CN1CCCN(C(=O)CC(C)(C)C#N)CC1 ZINC000364806982 418811666 /nfs/dbraw/zinc/81/16/66/418811666.db2.gz KASFVYGOVWDBDS-UHFFFAOYSA-N 1 2 317.437 1.877 20 30 DDEDLO CCn1ncnc1C[N@@H+]1CCCN(c2ccc(C#N)cc2)CC1 ZINC000365359206 418853614 /nfs/dbraw/zinc/85/36/14/418853614.db2.gz FHWVZBYBJPYDAJ-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO CCn1ncnc1C[N@H+]1CCCN(c2ccc(C#N)cc2)CC1 ZINC000365359206 418853616 /nfs/dbraw/zinc/85/36/16/418853616.db2.gz FHWVZBYBJPYDAJ-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)CN(C)Cc1cn2c([nH+]1)CCCC2 ZINC000372991939 418919927 /nfs/dbraw/zinc/91/99/27/418919927.db2.gz VCBGSLCSKFGDFZ-KRWDZBQOSA-N 1 2 317.437 1.706 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000411592169 419353042 /nfs/dbraw/zinc/35/30/42/419353042.db2.gz SJBUZSUZCOWXRG-CYBMUJFWSA-N 1 2 305.378 1.389 20 30 DDEDLO Cc1cc(NCc2ccccc2CS(C)(=O)=O)c(C#N)c[nH+]1 ZINC000425227311 228394312 /nfs/dbraw/zinc/39/43/12/228394312.db2.gz IXFTXUQVXVRBNQ-UHFFFAOYSA-N 1 2 315.398 1.840 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[NH+]2CCC(O)(C(F)F)CC2)c1 ZINC000426493713 419487310 /nfs/dbraw/zinc/48/73/10/419487310.db2.gz DLRPEFCXTYXOEY-UHFFFAOYSA-N 1 2 323.343 1.266 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000427044789 419586938 /nfs/dbraw/zinc/58/69/38/419586938.db2.gz JKSGMQHXZVJNMI-CYBMUJFWSA-N 1 2 323.356 1.133 20 30 DDEDLO C[C@H]1[C@@H](CO)CCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000412066291 419615741 /nfs/dbraw/zinc/61/57/41/419615741.db2.gz DEGOXDMOKYGZES-DZGCQCFKSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H]1[C@@H](CO)CCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000412066291 419615751 /nfs/dbraw/zinc/61/57/51/419615751.db2.gz DEGOXDMOKYGZES-DZGCQCFKSA-N 1 2 322.430 1.425 20 30 DDEDLO C=CCNC(=O)C[NH2+]Cc1nnn(-c2ccccc2)c1C1CC1 ZINC000638847999 423155773 /nfs/dbraw/zinc/15/57/73/423155773.db2.gz XHEQZHIUQALINZ-UHFFFAOYSA-N 1 2 311.389 1.537 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000437420366 420406187 /nfs/dbraw/zinc/40/61/87/420406187.db2.gz LMCFQKOSDYJCEE-LLVKDONJSA-N 1 2 313.361 1.589 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(c2nc3ccccn3c2C#N)CC1 ZINC000302125428 230107310 /nfs/dbraw/zinc/10/73/10/230107310.db2.gz ZJMKEVBVKOOYNE-UHFFFAOYSA-N 1 2 321.388 1.262 20 30 DDEDLO Cc1ccc(NC2CC[NH+](CCS(C)(=O)=O)CC2)nc1C#N ZINC000302677071 230345426 /nfs/dbraw/zinc/34/54/26/230345426.db2.gz UYGCIZIGQUOZND-UHFFFAOYSA-N 1 2 322.434 1.183 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCC[C@H](C2N=NC(=O)O2)C1 ZINC000450901814 420555197 /nfs/dbraw/zinc/55/51/97/420555197.db2.gz IHWQLOPZCLOUTO-STQMWFEESA-N 1 2 320.393 1.544 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCC[C@H](C2N=NC(=O)O2)C1 ZINC000450901814 420555201 /nfs/dbraw/zinc/55/52/01/420555201.db2.gz IHWQLOPZCLOUTO-STQMWFEESA-N 1 2 320.393 1.544 20 30 DDEDLO CC#CCNC(=O)N1CC[C@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000441133217 420633680 /nfs/dbraw/zinc/63/36/80/420633680.db2.gz LZQYVXKYQOFLEU-INIZCTEOSA-N 1 2 309.410 1.115 20 30 DDEDLO COCCO[C@@H]1C[C@@H](CO)C[N@H+](Cc2ccc(F)cc2C#N)C1 ZINC000447382756 420769178 /nfs/dbraw/zinc/76/91/78/420769178.db2.gz JHDSMDVQDCNMEH-CXAGYDPISA-N 1 2 322.380 1.543 20 30 DDEDLO COCCO[C@@H]1C[C@@H](CO)C[N@@H+](Cc2ccc(F)cc2C#N)C1 ZINC000447382756 420769181 /nfs/dbraw/zinc/76/91/81/420769181.db2.gz JHDSMDVQDCNMEH-CXAGYDPISA-N 1 2 322.380 1.543 20 30 DDEDLO COc1cc(C[NH+]2CCN(Cc3ccon3)CC2)ccc1C#N ZINC000442879721 420724456 /nfs/dbraw/zinc/72/44/56/420724456.db2.gz KAZOCOLBAIRWLT-UHFFFAOYSA-N 1 2 312.373 1.873 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C/c1cnn(-c2ccccc2)c1 ZINC000493430850 420848800 /nfs/dbraw/zinc/84/88/00/420848800.db2.gz UOJRVTWUBMRWFX-QZEKMECESA-N 1 2 323.400 1.846 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000448604472 420870450 /nfs/dbraw/zinc/87/04/50/420870450.db2.gz IEHRXHDKPXTQQG-UWWQBHOKSA-N 1 2 313.401 1.553 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000448604472 420870454 /nfs/dbraw/zinc/87/04/54/420870454.db2.gz IEHRXHDKPXTQQG-UWWQBHOKSA-N 1 2 313.401 1.553 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cccc(CC#N)c1 ZINC000455909953 421077007 /nfs/dbraw/zinc/07/70/07/421077007.db2.gz CGCLIRVSJPOENK-ZDUSSCGKSA-N 1 2 302.378 1.595 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCC[C@H](OCC(F)(F)F)C1 ZINC000523439962 421239171 /nfs/dbraw/zinc/23/91/71/421239171.db2.gz UZPRDCCBISBSKX-RYUDHWBXSA-N 1 2 321.343 1.790 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@H](OCC(F)(F)F)C1 ZINC000523439962 421239172 /nfs/dbraw/zinc/23/91/72/421239172.db2.gz UZPRDCCBISBSKX-RYUDHWBXSA-N 1 2 321.343 1.790 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@]12C[C@@H]1CN(C(=O)OC(C)(C)C)C2 ZINC000491620578 421200111 /nfs/dbraw/zinc/20/01/11/421200111.db2.gz NHTNPCXJXXTTIT-CKEIUWERSA-N 1 2 306.406 1.577 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@]12C[C@@H]1CN(C(=O)OC(C)(C)C)C2 ZINC000491620578 421200112 /nfs/dbraw/zinc/20/01/12/421200112.db2.gz NHTNPCXJXXTTIT-CKEIUWERSA-N 1 2 306.406 1.577 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000548295640 421419209 /nfs/dbraw/zinc/41/92/09/421419209.db2.gz GSTJXHDGQGNHQK-GFCCVEGCSA-N 1 2 302.359 1.048 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000528790682 421519133 /nfs/dbraw/zinc/51/91/33/421519133.db2.gz MRFXPIBSYXZQLP-XJKSGUPXSA-N 1 2 317.393 1.374 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000528790682 421519135 /nfs/dbraw/zinc/51/91/35/421519135.db2.gz MRFXPIBSYXZQLP-XJKSGUPXSA-N 1 2 317.393 1.374 20 30 DDEDLO N#Cc1ccc(CC(=O)NC2CCN(c3cccc[nH+]3)CC2)cn1 ZINC000527973231 421461776 /nfs/dbraw/zinc/46/17/76/421461776.db2.gz NNDBUAAMGOXZLL-UHFFFAOYSA-N 1 2 321.384 1.676 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1c(C#N)cnn1-c1ccccc1 ZINC000563364241 421492810 /nfs/dbraw/zinc/49/28/10/421492810.db2.gz GQKAOMLPAJTUPX-CQSZACIVSA-N 1 2 322.372 1.964 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2CNS(=O)(=O)[C@@H](C)C#N)cc1 ZINC000528501378 421495659 /nfs/dbraw/zinc/49/56/59/421495659.db2.gz LQAGMYDVZIOOKS-JSGCOSHPSA-N 1 2 323.418 1.101 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2CNS(=O)(=O)[C@@H](C)C#N)cc1 ZINC000528501378 421495661 /nfs/dbraw/zinc/49/56/61/421495661.db2.gz LQAGMYDVZIOOKS-JSGCOSHPSA-N 1 2 323.418 1.101 20 30 DDEDLO Cn1cc(CN(CCn2cc[nH+]c2)C(=O)CC(C)(C)C#N)cn1 ZINC000565094712 421596628 /nfs/dbraw/zinc/59/66/28/421596628.db2.gz NFDRYYAHDIXUBY-UHFFFAOYSA-N 1 2 314.393 1.585 20 30 DDEDLO CCC(C#N)(CC)C(=O)N1CC[NH+](C(COC)COC)CC1 ZINC000529487007 421539365 /nfs/dbraw/zinc/53/93/65/421539365.db2.gz RGUBROQRXWBOGY-UHFFFAOYSA-N 1 2 311.426 1.122 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000566464083 421605320 /nfs/dbraw/zinc/60/53/20/421605320.db2.gz CECMJWKXDFLEPP-MNOVXSKESA-N 1 2 319.327 1.899 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000571741521 421741249 /nfs/dbraw/zinc/74/12/49/421741249.db2.gz BZMPRSASMQGERD-YJNKXOJESA-N 1 2 318.421 1.829 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000571741521 421741253 /nfs/dbraw/zinc/74/12/53/421741253.db2.gz BZMPRSASMQGERD-YJNKXOJESA-N 1 2 318.421 1.829 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@@H](c2nc(C)no2)C2CCOCC2)C1=O ZINC000540912997 421774735 /nfs/dbraw/zinc/77/47/35/421774735.db2.gz AGHDGXYBRRXONC-ZIAGYGMSSA-N 1 2 320.393 1.222 20 30 DDEDLO C[C@H]1CC[C@H](Cn2cc(C[NH+]3CCOCC3)cc(C#N)c2=O)O1 ZINC000521462491 421788941 /nfs/dbraw/zinc/78/89/41/421788941.db2.gz IYOKUPNOUVUPJY-XJKSGUPXSA-N 1 2 317.389 1.120 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccoc1Br ZINC000573123981 421926603 /nfs/dbraw/zinc/92/66/03/421926603.db2.gz RCYLKZVUKHEQNI-LLVKDONJSA-N 1 2 300.156 1.616 20 30 DDEDLO CO[C@@H]1COC[C@H]1[NH2+]Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000581427827 421971152 /nfs/dbraw/zinc/97/11/52/421971152.db2.gz OJLQSVRGEPSGGT-CHWSQXEVSA-N 1 2 300.318 1.112 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCCNC(=O)c1ccccc1 ZINC000573985163 422045537 /nfs/dbraw/zinc/04/55/37/422045537.db2.gz ZOCCKHMRYBJTRN-QGZVFWFLSA-N 1 2 316.405 1.157 20 30 DDEDLO C[C@@H]1CC[S@@](=O)CC[N@@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000528479862 269856414 /nfs/dbraw/zinc/85/64/14/269856414.db2.gz YCOXTCXBHCLFRF-DZKLMBRESA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[S@@](=O)CC[N@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000528479862 269856415 /nfs/dbraw/zinc/85/64/15/269856415.db2.gz YCOXTCXBHCLFRF-DZKLMBRESA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)CC2(CCC2)O1 ZINC000581820625 422048205 /nfs/dbraw/zinc/04/82/05/422048205.db2.gz OCDGIIXJMBDJNN-CQSZACIVSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)CC2(CCC2)O1 ZINC000581820625 422048211 /nfs/dbraw/zinc/04/82/11/422048211.db2.gz OCDGIIXJMBDJNN-CQSZACIVSA-N 1 2 313.401 1.818 20 30 DDEDLO COc1ccc2c(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)coc2c1 ZINC000574500112 422121339 /nfs/dbraw/zinc/12/13/39/422121339.db2.gz GHPVKDWIYFBKHV-KRWDZBQOSA-N 1 2 315.373 1.944 20 30 DDEDLO CCCC[C@H](C(=O)Nc1cc(C)on1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149738 422332097 /nfs/dbraw/zinc/33/20/97/422332097.db2.gz VRJGOBOOBICVNC-CJNGLKHVSA-N 1 2 320.393 1.831 20 30 DDEDLO CCCC[C@H](C(=O)Nc1cc(C)on1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149738 422332098 /nfs/dbraw/zinc/33/20/98/422332098.db2.gz VRJGOBOOBICVNC-CJNGLKHVSA-N 1 2 320.393 1.831 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(C(F)F)nc2)CC1 ZINC000637880084 422442814 /nfs/dbraw/zinc/44/28/14/422442814.db2.gz AOWINUTVHZRXRM-UHFFFAOYSA-N 1 2 324.375 1.439 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(C(F)F)nc2)CC1 ZINC000637880084 422442819 /nfs/dbraw/zinc/44/28/19/422442819.db2.gz AOWINUTVHZRXRM-UHFFFAOYSA-N 1 2 324.375 1.439 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000636111015 422649824 /nfs/dbraw/zinc/64/98/24/422649824.db2.gz IUCDSSLLHFFWLJ-NVXWUHKLSA-N 1 2 316.401 1.160 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000636111015 422649831 /nfs/dbraw/zinc/64/98/31/422649831.db2.gz IUCDSSLLHFFWLJ-NVXWUHKLSA-N 1 2 316.401 1.160 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2c(F)cccc2F)nn1 ZINC000641105416 423389532 /nfs/dbraw/zinc/38/95/32/423389532.db2.gz VJKIBQCOEWCUIT-UHFFFAOYSA-N 1 2 319.315 1.308 20 30 DDEDLO C=CCCn1cc(C[N@@H+](C)Cc2ccc(C(=O)NC)cc2)nn1 ZINC000653485131 423496599 /nfs/dbraw/zinc/49/65/99/423496599.db2.gz YRVVKKJYECINMM-UHFFFAOYSA-N 1 2 313.405 1.846 20 30 DDEDLO C=CCCn1cc(C[N@H+](C)Cc2ccc(C(=O)NC)cc2)nn1 ZINC000653485131 423496605 /nfs/dbraw/zinc/49/66/05/423496605.db2.gz YRVVKKJYECINMM-UHFFFAOYSA-N 1 2 313.405 1.846 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+][C@H](c1nccn1C)C(C)(C)CO ZINC000639773038 423726139 /nfs/dbraw/zinc/72/61/39/423726139.db2.gz MIPBYLAWCLTWGA-OAHLLOKOSA-N 1 2 320.437 1.270 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000651969769 423758054 /nfs/dbraw/zinc/75/80/54/423758054.db2.gz GKHDRONTQLYSLG-CXAGYDPISA-N 1 2 303.406 1.859 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)nn1 ZINC000644686346 423765857 /nfs/dbraw/zinc/76/58/57/423765857.db2.gz ACGQYCDNDOYQDX-CYBMUJFWSA-N 1 2 312.377 1.258 20 30 DDEDLO CCN1CCN(c2snc(C)c2C#N)C[C@H]1c1[nH]cc[nH+]1 ZINC000376458331 266152675 /nfs/dbraw/zinc/15/26/75/266152675.db2.gz AFPUFOHPVVZOAJ-LBPRGKRZSA-N 1 2 302.407 1.930 20 30 DDEDLO CC[C@@H](C(=O)OC)N1CC[NH+](Cc2ccc(C#N)s2)CC1 ZINC000348543067 266351156 /nfs/dbraw/zinc/35/11/56/266351156.db2.gz FMITWXWLPVBMNU-AWEZNQCLSA-N 1 2 307.419 1.689 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)[C@@H](C)c1cccc(C#N)c1 ZINC000360488311 266924267 /nfs/dbraw/zinc/92/42/67/266924267.db2.gz IXRBJXLLINMSMV-GJZGRUSLSA-N 1 2 315.417 1.841 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1C[C@H](C)[N@H+](C)C[C@@H]1C ZINC000350894637 267396761 /nfs/dbraw/zinc/39/67/61/267396761.db2.gz IOSOLRLWTBUNTD-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1C[C@H](C)[N@@H+](C)C[C@@H]1C ZINC000350894637 267396760 /nfs/dbraw/zinc/39/67/60/267396760.db2.gz IOSOLRLWTBUNTD-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000448754461 268115135 /nfs/dbraw/zinc/11/51/35/268115135.db2.gz SRSHIILTOSBCOL-UHFFFAOYSA-N 1 2 324.384 1.981 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)c(F)c1 ZINC000528776526 268223650 /nfs/dbraw/zinc/22/36/50/268223650.db2.gz WTEMUBQZWWLMDY-KGLIPLIRSA-N 1 2 308.378 1.849 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)c(F)c1 ZINC000528776526 268223653 /nfs/dbraw/zinc/22/36/53/268223653.db2.gz WTEMUBQZWWLMDY-KGLIPLIRSA-N 1 2 308.378 1.849 20 30 DDEDLO N#Cc1ccc(CNc2nc(NCCO)c3ccccc3[nH+]2)cc1 ZINC000531433435 268225464 /nfs/dbraw/zinc/22/54/64/268225464.db2.gz JPRGRNJMFADDRE-UHFFFAOYSA-N 1 2 319.368 1.362 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)c(F)c1 ZINC000523569689 268253263 /nfs/dbraw/zinc/25/32/63/268253263.db2.gz BRSNCMDPEPDRJD-UHFFFAOYSA-N 1 2 323.393 1.556 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000353089771 268257501 /nfs/dbraw/zinc/25/75/01/268257501.db2.gz SJSAOLMHKBWUSQ-AWEZNQCLSA-N 1 2 317.364 1.219 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000353089771 268257503 /nfs/dbraw/zinc/25/75/03/268257503.db2.gz SJSAOLMHKBWUSQ-AWEZNQCLSA-N 1 2 317.364 1.219 20 30 DDEDLO CCN1CC[NH+]([C@H]2CCN(c3nc(C)cc(C)c3C#N)C2)CC1 ZINC000106479518 276201908 /nfs/dbraw/zinc/20/19/08/276201908.db2.gz VVSBQAWJPDZXIR-INIZCTEOSA-N 1 2 313.449 1.786 20 30 DDEDLO C=CCNC(=O)[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1c[nH+]cn1C ZINC000284881148 276902193 /nfs/dbraw/zinc/90/21/93/276902193.db2.gz GLHXAOQOFLRUPF-IUODEOHRSA-N 1 2 302.378 1.164 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CCCC[C@@H]1c1[nH+]ccn1CC ZINC000297917519 277840347 /nfs/dbraw/zinc/84/03/47/277840347.db2.gz XMLLBXNNMOTONK-CYBMUJFWSA-N 1 2 304.394 1.601 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1c1ccc(C#N)cc1[N+](=O)[O-] ZINC000291101640 278101223 /nfs/dbraw/zinc/10/12/23/278101223.db2.gz KOJPAZYMEGWBKM-TZMCWYRMSA-N 1 2 316.361 1.766 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cnccc3C#N)CC2)cc1O ZINC000562925453 303901714 /nfs/dbraw/zinc/90/17/14/303901714.db2.gz DIMWIZYBLHPHPN-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CC[NH2+][C@H](c2ccncc2)C1 ZINC000552019424 307815065 /nfs/dbraw/zinc/81/50/65/307815065.db2.gz ITORXBMAWRANBW-HNNXBMFYSA-N 1 2 307.357 1.443 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NS(=O)(=O)c1ccc(C#N)s1)C1CC1 ZINC000552106302 307817176 /nfs/dbraw/zinc/81/71/76/307817176.db2.gz SRBPSILOWZKSFW-LBPRGKRZSA-N 1 2 322.415 1.783 20 30 DDEDLO N#Cc1cccc(CNC(=O)C(=O)Nc2ccc3[nH+]ccn3c2)c1 ZINC000553050019 307825209 /nfs/dbraw/zinc/82/52/09/307825209.db2.gz WEXDGVLYCDDIES-UHFFFAOYSA-N 1 2 319.324 1.461 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3CCC[C@@H]3[C@@H]2C(N)=O)c([N+](=O)[O-])c1 ZINC000567392422 308079407 /nfs/dbraw/zinc/07/94/07/308079407.db2.gz QGQYGIBEHDORPD-CORIIIEPSA-N 1 2 314.345 1.552 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3CCC[C@@H]3[C@@H]2C(N)=O)c([N+](=O)[O-])c1 ZINC000567392422 308079408 /nfs/dbraw/zinc/07/94/08/308079408.db2.gz QGQYGIBEHDORPD-CORIIIEPSA-N 1 2 314.345 1.552 20 30 DDEDLO C[C@@H](C(=O)N(Cc1ccc(C#N)cc1)C1CC1)[NH+]1CCOCC1 ZINC000578904684 308494397 /nfs/dbraw/zinc/49/43/97/308494397.db2.gz QWLOXYUQPQYNBC-AWEZNQCLSA-N 1 2 313.401 1.770 20 30 DDEDLO COC(=O)[C@](C)([NH2+]CCC(=O)Nc1cccc(C#N)c1)C1CC1 ZINC000579208734 308514258 /nfs/dbraw/zinc/51/42/58/308514258.db2.gz MHIQDCIQCQHDMK-QGZVFWFLSA-N 1 2 315.373 1.818 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)COc1ccc(F)c(Cl)c1 ZINC000569527586 332124247 /nfs/dbraw/zinc/12/42/47/332124247.db2.gz VOCNSNLZRDIXHT-CQSZACIVSA-N 1 2 313.760 1.818 20 30 DDEDLO N#CCN1CC[NH+](Cc2cc(Br)ccc2O)CC1 ZINC000092936055 332132536 /nfs/dbraw/zinc/13/25/36/332132536.db2.gz CPYUAFIYHZCULC-UHFFFAOYSA-N 1 2 310.195 1.796 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccnc(OC2CCC2)c1 ZINC000584294956 332223333 /nfs/dbraw/zinc/22/33/33/332223333.db2.gz MCNPIOOQDMZJBX-INIZCTEOSA-N 1 2 302.378 1.587 20 30 DDEDLO COc1ccc(OC)c(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000565050853 332495627 /nfs/dbraw/zinc/49/56/27/332495627.db2.gz IIRCTBLQSCXSBN-INIZCTEOSA-N 1 2 305.378 1.206 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(C(F)(F)F)sc2=S)CC1 ZINC000188631694 333381607 /nfs/dbraw/zinc/38/16/07/333381607.db2.gz YOPTWJNRXOIYCR-UHFFFAOYSA-N 1 2 322.381 1.901 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@@H]1CCN(c2ccccc2F)C1 ZINC000563220401 333486312 /nfs/dbraw/zinc/48/63/12/333486312.db2.gz NBAUNFOBMLEVQM-CXAGYDPISA-N 1 2 318.396 1.612 20 30 DDEDLO N#CC1(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)CCC1 ZINC000265559616 333966707 /nfs/dbraw/zinc/96/67/07/333966707.db2.gz FHHPEPKRLOLTQQ-UHFFFAOYSA-N 1 2 300.362 1.228 20 30 DDEDLO C=CCN(C)C(=O)C(=O)NCc1ccc(Cn2cc[nH+]c2)cc1 ZINC000340450750 334205242 /nfs/dbraw/zinc/20/52/42/334205242.db2.gz JWWTXJIYCAXJSM-UHFFFAOYSA-N 1 2 312.373 1.192 20 30 DDEDLO C=CC[N@H+](Cc1nncn1C)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000534856555 334341554 /nfs/dbraw/zinc/34/15/54/334341554.db2.gz PIVYCFSZDMFZRQ-ZDUSSCGKSA-N 1 2 324.392 1.540 20 30 DDEDLO C=CC[N@@H+](Cc1nncn1C)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000534856555 334341556 /nfs/dbraw/zinc/34/15/56/334341556.db2.gz PIVYCFSZDMFZRQ-ZDUSSCGKSA-N 1 2 324.392 1.540 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000342938016 334590967 /nfs/dbraw/zinc/59/09/67/334590967.db2.gz SFMKXLPEVHLHQM-UHFFFAOYSA-N 1 2 312.373 1.460 20 30 DDEDLO C=CCNC(=O)CNC(=O)CCn1c(C)[nH+]c2ccccc21 ZINC000119031486 336878040 /nfs/dbraw/zinc/87/80/40/336878040.db2.gz AKROJSPHTVAJNQ-UHFFFAOYSA-N 1 2 300.362 1.153 20 30 DDEDLO Cc1nc(C[NH+]2CCN(c3ccc(C#N)c(N)n3)CC2)oc1C ZINC000582616720 337115384 /nfs/dbraw/zinc/11/53/84/337115384.db2.gz PTWPGTTWFHLABY-UHFFFAOYSA-N 1 2 312.377 1.463 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[NH2+][C@@H](c2ccncc2)C1 ZINC000516563137 340022060 /nfs/dbraw/zinc/02/20/60/340022060.db2.gz WCLRTOHTAACTFB-OAGGEKHMSA-N 1 2 301.390 1.536 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccn(-c2ccccc2F)n1 ZINC000517034103 340462201 /nfs/dbraw/zinc/46/22/01/340462201.db2.gz RMDMFGGHFSHAMN-INIZCTEOSA-N 1 2 315.352 1.585 20 30 DDEDLO CCc1ccccc1NC(=O)CNC(=O)C[NH2+][C@@H](CC)CC#N ZINC000564583384 341504384 /nfs/dbraw/zinc/50/43/84/341504384.db2.gz GGMFGFCORWRGDH-AWEZNQCLSA-N 1 2 316.405 1.586 20 30 DDEDLO C=CCn1cc(CNC(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)nn1 ZINC000564888661 341512403 /nfs/dbraw/zinc/51/24/03/341512403.db2.gz BGYRZKXQEMQLFJ-GFCCVEGCSA-N 1 2 315.381 1.276 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000565050922 341515844 /nfs/dbraw/zinc/51/58/44/341515844.db2.gz JMTDYRRRWFJBHI-VNHYZAJKSA-N 1 2 307.344 1.995 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)Nc1cc[nH+]cc1N(C)C ZINC000670082754 484750089 /nfs/dbraw/zinc/75/00/89/484750089.db2.gz XAOBDRLUNVAVGN-SWLSCSKDSA-N 1 2 304.394 1.672 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000684796474 486431296 /nfs/dbraw/zinc/43/12/96/486431296.db2.gz SVEUQDFRAVTQMF-CABCVRRESA-N 1 2 323.437 1.132 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000677818510 486548210 /nfs/dbraw/zinc/54/82/10/486548210.db2.gz QHVXXZJBNQITOX-UHFFFAOYSA-N 1 2 322.430 1.033 20 30 DDEDLO COCC#CC[N@H+]1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000677818510 486548214 /nfs/dbraw/zinc/54/82/14/486548214.db2.gz QHVXXZJBNQITOX-UHFFFAOYSA-N 1 2 322.430 1.033 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)C[C@@H]1NC(=O)C1(S(C)(=O)=O)CCC1 ZINC000330009848 534449537 /nfs/dbraw/zinc/44/95/37/534449537.db2.gz HPFHSYDTYACTGH-PWSUYJOCSA-N 1 2 300.424 1.393 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)C1(S(C)(=O)=O)CCC1 ZINC000330009848 534449546 /nfs/dbraw/zinc/44/95/46/534449546.db2.gz HPFHSYDTYACTGH-PWSUYJOCSA-N 1 2 300.424 1.393 20 30 DDEDLO CCN1CC[NH+]([C@@H](C)CNc2ccc([N+](=O)[O-])c(C#N)c2)CC1 ZINC000157674490 521692119 /nfs/dbraw/zinc/69/21/19/521692119.db2.gz WAQVSMBGSQNNGX-ZDUSSCGKSA-N 1 2 317.393 1.904 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCOC2(CCOCC2)C1 ZINC000157264162 523521899 /nfs/dbraw/zinc/52/18/99/523521899.db2.gz YOPWBBMPZRRIIB-UHFFFAOYSA-N 1 2 302.374 1.948 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCOC2(CCOCC2)C1 ZINC000157264162 523521908 /nfs/dbraw/zinc/52/19/08/523521908.db2.gz YOPWBBMPZRRIIB-UHFFFAOYSA-N 1 2 302.374 1.948 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)N2CCC(C)CC2)C1=O ZINC000337222827 526472914 /nfs/dbraw/zinc/47/29/14/526472914.db2.gz MHDUGSKFJCWKNL-LSDHHAIUSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)N2CCC(C)CC2)C1=O ZINC000337222827 526472918 /nfs/dbraw/zinc/47/29/18/526472918.db2.gz MHDUGSKFJCWKNL-LSDHHAIUSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N([C@@H](C)C2CC2)C2CC2)C1=O ZINC000337220926 526499133 /nfs/dbraw/zinc/49/91/33/526499133.db2.gz KYDISSBNZOCFMU-BBRMVZONSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N([C@@H](C)C2CC2)C2CC2)C1=O ZINC000337220926 526499138 /nfs/dbraw/zinc/49/91/38/526499138.db2.gz KYDISSBNZOCFMU-BBRMVZONSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCC[C@@H]1CS(C)(=O)=O ZINC000451661874 526521116 /nfs/dbraw/zinc/52/11/16/526521116.db2.gz UEXJMSZZNWEHIH-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCC[C@@H]1CS(C)(=O)=O ZINC000451661874 526521117 /nfs/dbraw/zinc/52/11/17/526521117.db2.gz UEXJMSZZNWEHIH-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCc2c(cnn2-c2ccccc2)C1 ZINC000337371147 526625366 /nfs/dbraw/zinc/62/53/66/526625366.db2.gz UZGZYLYXNREYEL-CQSZACIVSA-N 1 2 310.401 1.921 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCc2c(cnn2-c2ccccc2)C1 ZINC000337371147 526625368 /nfs/dbraw/zinc/62/53/68/526625368.db2.gz UZGZYLYXNREYEL-CQSZACIVSA-N 1 2 310.401 1.921 20 30 DDEDLO C#CCN(C)C(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(OC)cc1 ZINC000491715584 526793784 /nfs/dbraw/zinc/79/37/84/526793784.db2.gz QLVJFWCYEOBRQX-OAHLLOKOSA-N 1 2 312.373 1.793 20 30 DDEDLO C#CC[N@@H+](CC#CC)Cc1cccc(S(=O)(=O)N(C)C)c1 ZINC000490713685 526864921 /nfs/dbraw/zinc/86/49/21/526864921.db2.gz LNGLFZHVMVGEPU-UHFFFAOYSA-N 1 2 304.415 1.395 20 30 DDEDLO C#CC[N@H+](CC#CC)Cc1cccc(S(=O)(=O)N(C)C)c1 ZINC000490713685 526864928 /nfs/dbraw/zinc/86/49/28/526864928.db2.gz LNGLFZHVMVGEPU-UHFFFAOYSA-N 1 2 304.415 1.395 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccsc3)n2CC)CC1 ZINC000491106694 526956167 /nfs/dbraw/zinc/95/61/67/526956167.db2.gz YUEOWYKDRJYNQA-UHFFFAOYSA-N 1 2 315.446 1.706 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CC[C@@](F)(c2cc(F)cc(F)c2)C1 ZINC000491122625 526979274 /nfs/dbraw/zinc/97/92/74/526979274.db2.gz WYZFWTSFTXXIDF-INIZCTEOSA-N 1 2 310.319 1.975 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CC[C@@](F)(c2cc(F)cc(F)c2)C1 ZINC000491122625 526979279 /nfs/dbraw/zinc/97/92/79/526979279.db2.gz WYZFWTSFTXXIDF-INIZCTEOSA-N 1 2 310.319 1.975 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@@H](C)c1ncc(Br)cn1 ZINC000491363125 527029394 /nfs/dbraw/zinc/02/93/94/527029394.db2.gz XYQBYIDLJZNDTE-IUCAKERBSA-N 1 2 311.183 1.028 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)/C=C/c2[nH+]ccn2CC)n1 ZINC000491806976 527177477 /nfs/dbraw/zinc/17/74/77/527177477.db2.gz RORQJQLVIQMCMD-BQYQJAHWSA-N 1 2 311.389 1.795 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000340874343 527190383 /nfs/dbraw/zinc/19/03/83/527190383.db2.gz FDWSLUZMOIOZTG-KBPBESRZSA-N 1 2 306.410 1.282 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000340874343 527190387 /nfs/dbraw/zinc/19/03/87/527190387.db2.gz FDWSLUZMOIOZTG-KBPBESRZSA-N 1 2 306.410 1.282 20 30 DDEDLO C#CCn1ccc(CN(CCOC)c2[nH+]c(C)nc3[nH]ccc32)n1 ZINC000491289462 527192635 /nfs/dbraw/zinc/19/26/35/527192635.db2.gz ZAERHEDMRYGXOA-UHFFFAOYSA-N 1 2 324.388 1.749 20 30 DDEDLO CC(=O)c1ccc(C#N)cc1N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000302052986 527228975 /nfs/dbraw/zinc/22/89/75/527228975.db2.gz PADQPEAOYXBLRU-UHFFFAOYSA-N 1 2 323.400 1.817 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000489607646 527239021 /nfs/dbraw/zinc/23/90/21/527239021.db2.gz GLRPRJMXBIDEKN-CQSZACIVSA-N 1 2 302.440 1.079 20 30 DDEDLO C#C[C@H](CC)NC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000491096976 527356575 /nfs/dbraw/zinc/35/65/75/527356575.db2.gz YIVXLZBWCAMTEZ-CYBMUJFWSA-N 1 2 302.378 1.760 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[C@@H]([NH+]2CCN(CC)CC2)C1)C(C)C ZINC000491713959 527388837 /nfs/dbraw/zinc/38/88/37/527388837.db2.gz LIWKEJKHZQDPGZ-CVEARBPZSA-N 1 2 306.454 1.066 20 30 DDEDLO C=CCN(C(=O)C[NH+]1[C@H](C)CC[C@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000330917800 527534892 /nfs/dbraw/zinc/53/48/92/527534892.db2.gz WOASOMSKYXTZBL-MGPQQGTHSA-N 1 2 314.451 1.061 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@@H+]2CCO[C@H](C3CC3)C2)C1 ZINC000330120968 527543753 /nfs/dbraw/zinc/54/37/53/527543753.db2.gz GPBWRZYNGQSPRV-CABCVRRESA-N 1 2 309.410 1.065 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@H+]2CCO[C@H](C3CC3)C2)C1 ZINC000330120968 527543756 /nfs/dbraw/zinc/54/37/56/527543756.db2.gz GPBWRZYNGQSPRV-CABCVRRESA-N 1 2 309.410 1.065 20 30 DDEDLO CC1=CC[N@H+](CCNC(=O)C2(S(C)(=O)=O)CCCC2)CC1 ZINC000329741846 528113844 /nfs/dbraw/zinc/11/38/44/528113844.db2.gz WEQWOTOSDVOHMI-UHFFFAOYSA-N 1 2 314.451 1.952 20 30 DDEDLO CC1=CC[N@@H+](CCNC(=O)C2(S(C)(=O)=O)CCCC2)CC1 ZINC000329741846 528113854 /nfs/dbraw/zinc/11/38/54/528113854.db2.gz WEQWOTOSDVOHMI-UHFFFAOYSA-N 1 2 314.451 1.952 20 30 DDEDLO CCNC(=O)N1CC[NH+](Cc2cccc(NC(C)=O)c2)CC1 ZINC000330672696 528824780 /nfs/dbraw/zinc/82/47/80/528824780.db2.gz GOJCSSYHTLDBRD-UHFFFAOYSA-N 1 2 304.394 1.697 20 30 DDEDLO CC(C)[C@H](NC(=O)NC(C)(C)C)C(=O)N(C)Cc1[nH+]ccn1C ZINC000330474405 528897497 /nfs/dbraw/zinc/89/74/97/528897497.db2.gz HVORXTNZVRCOKQ-ZDUSSCGKSA-N 1 2 323.441 1.705 20 30 DDEDLO CCCn1ncnc1C[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292334637 528900132 /nfs/dbraw/zinc/90/01/32/528900132.db2.gz WOAGPKSNUFFFKN-AWEZNQCLSA-N 1 2 312.377 1.608 20 30 DDEDLO CCCn1ncnc1C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292334637 528900135 /nfs/dbraw/zinc/90/01/35/528900135.db2.gz WOAGPKSNUFFFKN-AWEZNQCLSA-N 1 2 312.377 1.608 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000490578628 529095543 /nfs/dbraw/zinc/09/55/43/529095543.db2.gz DWYYHEJLHQZRBJ-CZUORRHYSA-N 1 2 324.425 1.251 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000490578628 529095548 /nfs/dbraw/zinc/09/55/48/529095548.db2.gz DWYYHEJLHQZRBJ-CZUORRHYSA-N 1 2 324.425 1.251 20 30 DDEDLO C=C1CC[NH+]([C@@H](C)C(=O)Nc2cccc(-c3nn[nH]n3)c2)CC1 ZINC000735403183 598504402 /nfs/dbraw/zinc/50/44/02/598504402.db2.gz RBNYROWUCIXCHS-LBPRGKRZSA-N 1 2 312.377 1.846 20 30 DDEDLO C=C(CC)CN1CC[NH+](Cc2ccc(-c3nn[nH]n3)cc2)CC1 ZINC000823878436 608307774 /nfs/dbraw/zinc/30/77/74/608307774.db2.gz YWNNZEFSLOKLAL-UHFFFAOYSA-N 1 2 312.421 1.951 20 30 DDEDLO C#CCC[NH+]1CCN(c2c(F)cc(-c3nn[nH]n3)cc2F)CC1 ZINC000823844924 608376995 /nfs/dbraw/zinc/37/69/95/608376995.db2.gz KJMXTJPJDGZMEK-UHFFFAOYSA-N 1 2 318.331 1.290 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3cnnn3C)C2)cc1 ZINC000972059338 695148738 /nfs/dbraw/zinc/14/87/38/695148738.db2.gz RLSYVGAPMIHOAY-MRXNPFEDSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@H+](C)Cc3cnnn3C)C2)cc1 ZINC000972059338 695148741 /nfs/dbraw/zinc/14/87/41/695148741.db2.gz RLSYVGAPMIHOAY-MRXNPFEDSA-N 1 2 323.400 1.143 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC000972082622 695152865 /nfs/dbraw/zinc/15/28/65/695152865.db2.gz PHNIYFLHQCVELA-HNNXBMFYSA-N 1 2 304.438 1.973 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC000972082622 695152866 /nfs/dbraw/zinc/15/28/66/695152866.db2.gz PHNIYFLHQCVELA-HNNXBMFYSA-N 1 2 304.438 1.973 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccsc3)C2)C1 ZINC000972253291 695192141 /nfs/dbraw/zinc/19/21/41/695192141.db2.gz ONKNNDMSJFBSHD-MRXNPFEDSA-N 1 2 304.415 1.688 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccsc3)C2)C1 ZINC000972253291 695192142 /nfs/dbraw/zinc/19/21/42/695192142.db2.gz ONKNNDMSJFBSHD-MRXNPFEDSA-N 1 2 304.415 1.688 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CCC)n[nH]3)C2)C1 ZINC000972492801 695264373 /nfs/dbraw/zinc/26/43/73/695264373.db2.gz RHOGBGAIRAZWRQ-KRWDZBQOSA-N 1 2 318.421 1.465 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CCC)n[nH]3)C2)C1 ZINC000972492801 695264375 /nfs/dbraw/zinc/26/43/75/695264375.db2.gz RHOGBGAIRAZWRQ-KRWDZBQOSA-N 1 2 318.421 1.465 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCC(C)(C)C3)C2)C1 ZINC000972538325 695276560 /nfs/dbraw/zinc/27/65/60/695276560.db2.gz RKVTXOKQAPAMNP-CRAIPNDOSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCC(C)(C)C3)C2)C1 ZINC000972538325 695276562 /nfs/dbraw/zinc/27/65/62/695276562.db2.gz RKVTXOKQAPAMNP-CRAIPNDOSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C(C)(F)F)C2)C1 ZINC000972556002 695281838 /nfs/dbraw/zinc/28/18/38/695281838.db2.gz BZVAKLJICAQYOW-BBRMVZONSA-N 1 2 314.376 1.604 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C(C)(F)F)C2)C1 ZINC000972556002 695281840 /nfs/dbraw/zinc/28/18/40/695281840.db2.gz BZVAKLJICAQYOW-BBRMVZONSA-N 1 2 314.376 1.604 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C(C)C)n[nH]3)C2)C1 ZINC000972563535 695284280 /nfs/dbraw/zinc/28/42/80/695284280.db2.gz XTNIKSNISJGDPN-QGZVFWFLSA-N 1 2 316.405 1.083 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C(C)C)n[nH]3)C2)C1 ZINC000972563535 695284282 /nfs/dbraw/zinc/28/42/82/695284282.db2.gz XTNIKSNISJGDPN-QGZVFWFLSA-N 1 2 316.405 1.083 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC34CCCC4)C2)C1 ZINC000972624620 695302706 /nfs/dbraw/zinc/30/27/06/695302706.db2.gz RELRTTJGGFNOIV-QAPCUYQASA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC34CCCC4)C2)C1 ZINC000972624620 695302707 /nfs/dbraw/zinc/30/27/07/695302707.db2.gz RELRTTJGGFNOIV-QAPCUYQASA-N 1 2 302.418 1.503 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@]3(C2)C[N@H+](CC#CC)CCO3)cn1 ZINC000972643989 695308146 /nfs/dbraw/zinc/30/81/46/695308146.db2.gz VMIYJGLGRIKTGO-IBGZPJMESA-N 1 2 323.396 1.003 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@]3(C2)C[N@@H+](CC#CC)CCO3)cn1 ZINC000972643989 695308148 /nfs/dbraw/zinc/30/81/48/695308148.db2.gz VMIYJGLGRIKTGO-IBGZPJMESA-N 1 2 323.396 1.003 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)c(C)c3)C2)C1 ZINC000972662731 695313083 /nfs/dbraw/zinc/31/30/83/695313083.db2.gz UTDCAGBDAPNYRV-LJQANCHMSA-N 1 2 312.413 1.854 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)c(C)c3)C2)C1 ZINC000972662731 695313086 /nfs/dbraw/zinc/31/30/86/695313086.db2.gz UTDCAGBDAPNYRV-LJQANCHMSA-N 1 2 312.413 1.854 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C[C@H]2COC(=O)C2)C(C)(C)C1 ZINC000973037850 695401553 /nfs/dbraw/zinc/40/15/53/695401553.db2.gz JLNULKHJNDKFCT-NEPJUHHUSA-N 1 2 314.813 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C[C@H]2COC(=O)C2)C(C)(C)C1 ZINC000973037850 695401554 /nfs/dbraw/zinc/40/15/54/695401554.db2.gz JLNULKHJNDKFCT-NEPJUHHUSA-N 1 2 314.813 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(C)nn2)C(C)(C)C1 ZINC000974600665 695694052 /nfs/dbraw/zinc/69/40/52/695694052.db2.gz XIJMDBKZXLGTHQ-ZDUSSCGKSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(C)nn2)C(C)(C)C1 ZINC000974600665 695694053 /nfs/dbraw/zinc/69/40/53/695694053.db2.gz XIJMDBKZXLGTHQ-ZDUSSCGKSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974771470 695731148 /nfs/dbraw/zinc/73/11/48/695731148.db2.gz YKEWXJQXFXLPRI-NWDGAFQWSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974771470 695731149 /nfs/dbraw/zinc/73/11/49/695731149.db2.gz YKEWXJQXFXLPRI-NWDGAFQWSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cccc(=O)n2C)C(C)(C)C1 ZINC000975013680 695777941 /nfs/dbraw/zinc/77/79/41/695777941.db2.gz FSWHMZCSFBYDHK-ZDUSSCGKSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cccc(=O)n2C)C(C)(C)C1 ZINC000975013680 695777942 /nfs/dbraw/zinc/77/79/42/695777942.db2.gz FSWHMZCSFBYDHK-ZDUSSCGKSA-N 1 2 323.824 1.578 20 30 DDEDLO C#CCC[C@H](O)CNc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000798420011 700064215 /nfs/dbraw/zinc/06/42/15/700064215.db2.gz YNGCQBGZNWISMX-KGLIPLIRSA-N 1 2 304.394 1.014 20 30 DDEDLO C#CCC[C@H](O)CNc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000798420011 700064217 /nfs/dbraw/zinc/06/42/17/700064217.db2.gz YNGCQBGZNWISMX-KGLIPLIRSA-N 1 2 304.394 1.014 20 30 DDEDLO C[C@@H]1C[C@H](C)CN(C(=O)CO[NH+]=C(N)Cc2cccnc2)C1 ZINC000092915366 696598078 /nfs/dbraw/zinc/59/80/78/696598078.db2.gz OCLKXCSLCIGMQJ-BETUJISGSA-N 1 2 304.394 1.417 20 30 DDEDLO COCCN1CC(=O)C(=C2N(C)c3ccccc3N2C)C1=[NH2+] ZINC000119262715 696678716 /nfs/dbraw/zinc/67/87/16/696678716.db2.gz YSERGCZJOPCIDK-UHFFFAOYSA-N 1 2 300.362 1.293 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCN(C(=O)C(F)F)CC2)cc1C#N ZINC000980590320 696808063 /nfs/dbraw/zinc/80/80/63/696808063.db2.gz BOMDIXPVVFCSDA-UHFFFAOYSA-N 1 2 323.343 1.866 20 30 DDEDLO COc1ccc(C[N@H+]2CCCN(C(=O)C(F)F)CC2)cc1C#N ZINC000980590320 696808065 /nfs/dbraw/zinc/80/80/65/696808065.db2.gz BOMDIXPVVFCSDA-UHFFFAOYSA-N 1 2 323.343 1.866 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2ccc(CC)s2)CC1 ZINC000152737108 696904099 /nfs/dbraw/zinc/90/40/99/696904099.db2.gz XZBSOPLPQCZCKI-UHFFFAOYSA-N 1 2 320.458 1.995 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](Cc2cc(C#N)ccc2F)CC1 ZINC000980848070 696916504 /nfs/dbraw/zinc/91/65/04/696916504.db2.gz PGEAQFTVDVPFNQ-ZDUSSCGKSA-N 1 2 314.364 1.891 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](Cc2cc(C#N)ccc2F)CC1 ZINC000980848070 696916506 /nfs/dbraw/zinc/91/65/06/696916506.db2.gz PGEAQFTVDVPFNQ-ZDUSSCGKSA-N 1 2 314.364 1.891 20 30 DDEDLO O=C(Cc1cnoc1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000981809874 696925632 /nfs/dbraw/zinc/92/56/32/696925632.db2.gz PWMQSGXDQSEKGI-UHFFFAOYSA-N 1 2 323.396 1.803 20 30 DDEDLO O=C(Cc1cnoc1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000981809874 696925635 /nfs/dbraw/zinc/92/56/35/696925635.db2.gz PWMQSGXDQSEKGI-UHFFFAOYSA-N 1 2 323.396 1.803 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)C2CCC(O)CC2)CC1 ZINC000981070646 696995790 /nfs/dbraw/zinc/99/57/90/696995790.db2.gz VBVIRIIHRCNCKE-UHFFFAOYSA-N 1 2 300.830 1.824 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)C2CCC(O)CC2)CC1 ZINC000981070646 696995791 /nfs/dbraw/zinc/99/57/91/696995791.db2.gz VBVIRIIHRCNCKE-UHFFFAOYSA-N 1 2 300.830 1.824 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2CC(=O)N(CC)C2)CC1 ZINC000981276181 697053477 /nfs/dbraw/zinc/05/34/77/697053477.db2.gz JFKJWPCBBQCLON-CYBMUJFWSA-N 1 2 313.829 1.142 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2CC(=O)N(CC)C2)CC1 ZINC000981276181 697053478 /nfs/dbraw/zinc/05/34/78/697053478.db2.gz JFKJWPCBBQCLON-CYBMUJFWSA-N 1 2 313.829 1.142 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2sc3nccn3c2C)CC1 ZINC000981355583 697074412 /nfs/dbraw/zinc/07/44/12/697074412.db2.gz IXVRTLLXDAPWDK-UHFFFAOYSA-N 1 2 302.403 1.485 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2sc3nccn3c2C)CC1 ZINC000981355583 697074413 /nfs/dbraw/zinc/07/44/13/697074413.db2.gz IXVRTLLXDAPWDK-UHFFFAOYSA-N 1 2 302.403 1.485 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)c3cnon3)CC2)s1 ZINC000982317893 697094598 /nfs/dbraw/zinc/09/45/98/697094598.db2.gz SZRBAOOYCXDDGZ-UHFFFAOYSA-N 1 2 317.374 1.351 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)c3cnon3)CC2)s1 ZINC000982317893 697094599 /nfs/dbraw/zinc/09/45/99/697094599.db2.gz SZRBAOOYCXDDGZ-UHFFFAOYSA-N 1 2 317.374 1.351 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2CC(=O)N[C@H](C)C2)CC1 ZINC000981517732 697116927 /nfs/dbraw/zinc/11/69/27/697116927.db2.gz NVGRRZCVLXAVSQ-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2CC(=O)N[C@H](C)C2)CC1 ZINC000981517732 697116929 /nfs/dbraw/zinc/11/69/29/697116929.db2.gz NVGRRZCVLXAVSQ-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1C[C@@]2(C)CN(CC#N)C[C@@]2(C)C1 ZINC000982634193 697162446 /nfs/dbraw/zinc/16/24/46/697162446.db2.gz GAGRFRAODIMZMZ-XYPHTWIQSA-N 1 2 315.421 1.282 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1C[C@@]2(C)CN(CC#N)C[C@@]2(C)C1 ZINC000982634193 697162448 /nfs/dbraw/zinc/16/24/48/697162448.db2.gz GAGRFRAODIMZMZ-XYPHTWIQSA-N 1 2 315.421 1.282 20 30 DDEDLO CC(C)(C(=O)N1C[C@@]2(C)CN(CC#N)C[C@@]2(C)C1)c1c[nH+]c[nH]1 ZINC000982737087 697174605 /nfs/dbraw/zinc/17/46/05/697174605.db2.gz XUJASDINXYVVHL-CALCHBBNSA-N 1 2 315.421 1.381 20 30 DDEDLO O=C1C=C([NH2+]Nc2nc(-c3ccncc3)no2)[C@@H]2CCCCN12 ZINC000799479440 700143829 /nfs/dbraw/zinc/14/38/29/700143829.db2.gz RKPRYHRUDNRVOJ-LBPRGKRZSA-N 1 2 312.333 1.684 20 30 DDEDLO CCCCCCC[N@H+](C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000172650671 697370180 /nfs/dbraw/zinc/37/01/80/697370180.db2.gz YRWUHTQTHILTEI-ZDUSSCGKSA-N 1 2 304.456 1.192 20 30 DDEDLO CCCCCCC[N@@H+](C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000172650671 697370183 /nfs/dbraw/zinc/37/01/83/697370183.db2.gz YRWUHTQTHILTEI-ZDUSSCGKSA-N 1 2 304.456 1.192 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC000984460947 697387726 /nfs/dbraw/zinc/38/77/26/697387726.db2.gz XQTPDQITRQOPQY-AWEZNQCLSA-N 1 2 318.421 1.545 20 30 DDEDLO CO[C@H](C)CON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181744301 697464422 /nfs/dbraw/zinc/46/44/22/697464422.db2.gz ZENGDBJJKURQDF-UKRRQHHQSA-N 1 2 307.394 1.211 20 30 DDEDLO CO[C@H](C)CON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181744301 697464425 /nfs/dbraw/zinc/46/44/25/697464425.db2.gz ZENGDBJJKURQDF-UKRRQHHQSA-N 1 2 307.394 1.211 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C1CCN(C(=O)[C@@H](C)S(C)(=O)=O)CC1 ZINC000985498432 697520433 /nfs/dbraw/zinc/52/04/33/697520433.db2.gz ILDQVLVQQAUESJ-LLVKDONJSA-N 1 2 322.858 1.095 20 30 DDEDLO C=C(Cl)C[N@H+](C)C1CCN(C(=O)[C@@H](C)S(C)(=O)=O)CC1 ZINC000985498432 697520436 /nfs/dbraw/zinc/52/04/36/697520436.db2.gz ILDQVLVQQAUESJ-LLVKDONJSA-N 1 2 322.858 1.095 20 30 DDEDLO CCCCCCCS(=O)(=O)NC[C@@H]1C[N@H+](C)CCN1C ZINC000799771231 700165452 /nfs/dbraw/zinc/16/54/52/700165452.db2.gz ZDXDIDBYWFMQBP-CQSZACIVSA-N 1 2 305.488 1.122 20 30 DDEDLO CCCCCCCS(=O)(=O)NC[C@@H]1C[N@@H+](C)CCN1C ZINC000799771231 700165453 /nfs/dbraw/zinc/16/54/53/700165453.db2.gz ZDXDIDBYWFMQBP-CQSZACIVSA-N 1 2 305.488 1.122 20 30 DDEDLO Cc1nn[nH]c1C(=O)N1C[C@@H]([NH2+]Cc2ccccc2C#N)C[C@H]1C ZINC000989275718 698575245 /nfs/dbraw/zinc/57/52/45/698575245.db2.gz QBVSWPQZBFZWFL-ABAIWWIYSA-N 1 2 324.388 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cc2cnn(CC)c2)CC1 ZINC000989457877 698630023 /nfs/dbraw/zinc/63/00/23/698630023.db2.gz XJBYXUHUKBHNJR-UHFFFAOYSA-N 1 2 310.829 1.732 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cc2cnn(CC)c2)CC1 ZINC000989457877 698630024 /nfs/dbraw/zinc/63/00/24/698630024.db2.gz XJBYXUHUKBHNJR-UHFFFAOYSA-N 1 2 310.829 1.732 20 30 DDEDLO C[C@@H]1C[C@@H](NCC#N)CN1C(=O)C[N@@H+]1CCc2sccc2C1 ZINC000989826061 698783753 /nfs/dbraw/zinc/78/37/53/698783753.db2.gz LRHWQDNTOQQGSN-TZMCWYRMSA-N 1 2 318.446 1.209 20 30 DDEDLO C[C@@H]1C[C@@H](NCC#N)CN1C(=O)C[N@H+]1CCc2sccc2C1 ZINC000989826061 698783755 /nfs/dbraw/zinc/78/37/55/698783755.db2.gz LRHWQDNTOQQGSN-TZMCWYRMSA-N 1 2 318.446 1.209 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1cc(Cl)cc(F)c1O ZINC000783602843 698856732 /nfs/dbraw/zinc/85/67/32/698856732.db2.gz QWRQXBKXOJKIME-UHFFFAOYSA-N 1 2 307.774 1.875 20 30 DDEDLO COC[C@H](C#N)OC(=O)C[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000785335941 699068686 /nfs/dbraw/zinc/06/86/86/699068686.db2.gz CWERZJKKGAMRLH-HOTGVXAUSA-N 1 2 318.373 1.359 20 30 DDEDLO COC[C@H](C#N)OC(=O)C[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000785335941 699068690 /nfs/dbraw/zinc/06/86/90/699068690.db2.gz CWERZJKKGAMRLH-HOTGVXAUSA-N 1 2 318.373 1.359 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@@H]3CCn4c[nH+]cc4C3)CCC[C@H]12 ZINC000991447103 699327009 /nfs/dbraw/zinc/32/70/09/699327009.db2.gz NFVBKGDYCWKYJH-UKPHBRMFSA-N 1 2 313.405 1.082 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)N(C)Cc2cccc(C#N)c2)CCO1 ZINC000726256810 699357740 /nfs/dbraw/zinc/35/77/40/699357740.db2.gz PPSXKNCBDDAJQB-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)N(C)Cc2cccc(C#N)c2)CCO1 ZINC000726256810 699357743 /nfs/dbraw/zinc/35/77/43/699357743.db2.gz PPSXKNCBDDAJQB-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1nc(C)c2ccccc2n1 ZINC000730284244 699506750 /nfs/dbraw/zinc/50/67/50/699506750.db2.gz REFCLCBNJUIDNR-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1nc(C)c2ccccc2n1 ZINC000730284244 699506751 /nfs/dbraw/zinc/50/67/51/699506751.db2.gz REFCLCBNJUIDNR-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2[nH]c(C(=O)NC)cc2c1 ZINC000792583894 699704165 /nfs/dbraw/zinc/70/41/65/699704165.db2.gz SXNOEZGLQYJYAQ-INIZCTEOSA-N 1 2 324.384 1.564 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2[nH]c(C(=O)NC)cc2c1 ZINC000792583894 699704166 /nfs/dbraw/zinc/70/41/66/699704166.db2.gz SXNOEZGLQYJYAQ-INIZCTEOSA-N 1 2 324.384 1.564 20 30 DDEDLO COC[C@H]1CCC[N@@H+]1Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793506986 699762264 /nfs/dbraw/zinc/76/22/64/699762264.db2.gz ZCEYFECSIVUQII-CQSZACIVSA-N 1 2 301.390 1.667 20 30 DDEDLO COC[C@H]1CCC[N@H+]1Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793506986 699762267 /nfs/dbraw/zinc/76/22/67/699762267.db2.gz ZCEYFECSIVUQII-CQSZACIVSA-N 1 2 301.390 1.667 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(c2ccc(CC)c(Cl)c2)CC1 ZINC000796138628 699915108 /nfs/dbraw/zinc/91/51/08/699915108.db2.gz IWTGIKNKTMSVMX-UHFFFAOYSA-N 1 2 319.836 1.774 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=S)Nc2ccccc2C#N)CCO1 ZINC000751022148 700265941 /nfs/dbraw/zinc/26/59/41/700265941.db2.gz NRDCVZWYYLLSOA-UHFFFAOYSA-N 1 2 318.446 1.955 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=S)Nc2ccccc2C#N)CCO1 ZINC000751022148 700265944 /nfs/dbraw/zinc/26/59/44/700265944.db2.gz NRDCVZWYYLLSOA-UHFFFAOYSA-N 1 2 318.446 1.955 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1CCOC[C@@H]1C[C@@H](O)c1cccs1 ZINC000801926910 700355681 /nfs/dbraw/zinc/35/56/81/700355681.db2.gz YLJNNDAXXZIDHS-HZSPNIEDSA-N 1 2 310.419 1.801 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1CCOC[C@@H]1C[C@@H](O)c1cccs1 ZINC000801926910 700355683 /nfs/dbraw/zinc/35/56/83/700355683.db2.gz YLJNNDAXXZIDHS-HZSPNIEDSA-N 1 2 310.419 1.801 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)O[C@@H]1CC[N@H+](CCF)C1 ZINC000802239815 700387848 /nfs/dbraw/zinc/38/78/48/700387848.db2.gz MYYHSOAHAVEAAX-GXTWGEPZSA-N 1 2 306.337 1.913 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)O[C@@H]1CC[N@@H+](CCF)C1 ZINC000802239815 700387851 /nfs/dbraw/zinc/38/78/51/700387851.db2.gz MYYHSOAHAVEAAX-GXTWGEPZSA-N 1 2 306.337 1.913 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+](C)C[C@@H]1CCC[C@H]1O ZINC000755060110 700547247 /nfs/dbraw/zinc/54/72/47/700547247.db2.gz WGVRDIBDUKXPOQ-XHSDSOJGSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+](C)C[C@@H]1CCC[C@H]1O ZINC000755060110 700547251 /nfs/dbraw/zinc/54/72/51/700547251.db2.gz WGVRDIBDUKXPOQ-XHSDSOJGSA-N 1 2 302.374 1.865 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)CC1=NNS(=O)(=O)CCc1ccccc1 ZINC000755891538 700590211 /nfs/dbraw/zinc/59/02/11/700590211.db2.gz IPESERCWZWGFJH-CYBMUJFWSA-N 1 2 321.446 1.619 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)CC1=NNS(=O)(=O)CCc1ccccc1 ZINC000755891538 700590214 /nfs/dbraw/zinc/59/02/14/700590214.db2.gz IPESERCWZWGFJH-CYBMUJFWSA-N 1 2 321.446 1.619 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000756050029 700600802 /nfs/dbraw/zinc/60/08/02/700600802.db2.gz ZGBHLOTZRXQVNZ-DHZVRSILSA-N 1 2 318.442 1.699 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000756050029 700600804 /nfs/dbraw/zinc/60/08/04/700600804.db2.gz ZGBHLOTZRXQVNZ-DHZVRSILSA-N 1 2 318.442 1.699 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1ccc([S@@](C)=O)cc1 ZINC000756540594 700626375 /nfs/dbraw/zinc/62/63/75/700626375.db2.gz BNTBMZYFSWSPKU-LHSJRXKWSA-N 1 2 305.399 1.678 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1ccc([S@@](C)=O)cc1 ZINC000756540594 700626376 /nfs/dbraw/zinc/62/63/76/700626376.db2.gz BNTBMZYFSWSPKU-LHSJRXKWSA-N 1 2 305.399 1.678 20 30 DDEDLO C#CCNC(=S)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000758213402 700689959 /nfs/dbraw/zinc/68/99/59/700689959.db2.gz IXRMBGKDOZTJCE-UHFFFAOYSA-N 1 2 303.431 1.321 20 30 DDEDLO C[N@@H+](Cc1nnc2ccccn21)C[C@H](O)c1ccc(C#N)cc1 ZINC000763411551 700937396 /nfs/dbraw/zinc/93/73/96/700937396.db2.gz AFTVYPYOWZXTLH-HNNXBMFYSA-N 1 2 307.357 1.766 20 30 DDEDLO C[N@H+](Cc1nnc2ccccn21)C[C@H](O)c1ccc(C#N)cc1 ZINC000763411551 700937398 /nfs/dbraw/zinc/93/73/98/700937398.db2.gz AFTVYPYOWZXTLH-HNNXBMFYSA-N 1 2 307.357 1.766 20 30 DDEDLO CON=CC(=O)N[C@H](Cn1cc[nH+]c1)c1ccc(C)cc1C ZINC000809732708 701683693 /nfs/dbraw/zinc/68/36/93/701683693.db2.gz OTXPBDMDGFAFIR-OAHLLOKOSA-N 1 2 300.362 1.990 20 30 DDEDLO N#Cc1cccc(CC(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000767559980 701116140 /nfs/dbraw/zinc/11/61/40/701116140.db2.gz IPEIEXFDIJTJBY-IYBDPMFKSA-N 1 2 300.358 1.507 20 30 DDEDLO N#Cc1cccc(CC(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000767559980 701116142 /nfs/dbraw/zinc/11/61/42/701116142.db2.gz IPEIEXFDIJTJBY-IYBDPMFKSA-N 1 2 300.358 1.507 20 30 DDEDLO N#CCCNC(=O)C[N@@H+](Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000768544984 701185934 /nfs/dbraw/zinc/18/59/34/701185934.db2.gz XGZGMNFNUJNNNC-UHFFFAOYSA-N 1 2 302.334 1.589 20 30 DDEDLO N#CCCNC(=O)C[N@H+](Cc1cccc([N+](=O)[O-])c1)C1CC1 ZINC000768544984 701185936 /nfs/dbraw/zinc/18/59/36/701185936.db2.gz XGZGMNFNUJNNNC-UHFFFAOYSA-N 1 2 302.334 1.589 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2c(C)noc2C)CC1 ZINC000772114457 701343668 /nfs/dbraw/zinc/34/36/68/701343668.db2.gz NXPSRRVFRUKAIV-UHFFFAOYSA-N 1 2 304.346 1.363 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@H](C)O[C@@]3(CCO[C@H](C)C3)C2)C1=O ZINC000840065196 701948282 /nfs/dbraw/zinc/94/82/82/701948282.db2.gz QRCTUDYBJNVHHJ-HLLBOEOZSA-N 1 2 323.437 1.526 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@H](C)O[C@@]3(CCO[C@H](C)C3)C2)C1=O ZINC000840065196 701948287 /nfs/dbraw/zinc/94/82/87/701948287.db2.gz QRCTUDYBJNVHHJ-HLLBOEOZSA-N 1 2 323.437 1.526 20 30 DDEDLO Cc1nnc(-c2cccc(C#N)c2)n1C[N@@H+]1CCO[C@H](CF)C1 ZINC000840172734 702009496 /nfs/dbraw/zinc/00/94/96/702009496.db2.gz SLLFGZQJXNQTKZ-OAHLLOKOSA-N 1 2 315.352 1.753 20 30 DDEDLO Cc1nnc(-c2cccc(C#N)c2)n1C[N@H+]1CCO[C@H](CF)C1 ZINC000840172734 702009499 /nfs/dbraw/zinc/00/94/99/702009499.db2.gz SLLFGZQJXNQTKZ-OAHLLOKOSA-N 1 2 315.352 1.753 20 30 DDEDLO C#Cc1cccc(CNC(=O)NC(C)(C)C[NH+]2CCOCC2)c1 ZINC000840384931 702093175 /nfs/dbraw/zinc/09/31/75/702093175.db2.gz CPOHJHSEIHTFSL-UHFFFAOYSA-N 1 2 315.417 1.578 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C(C)(C)C[NH+]2CCOCC2)cn1 ZINC000840570149 702159082 /nfs/dbraw/zinc/15/90/82/702159082.db2.gz YJKJSEZBJQUDTN-UHFFFAOYSA-N 1 2 301.390 1.246 20 30 DDEDLO C[C@H]([NH2+]C[C@H]1CCCN1CCCO)c1cccc(C#N)c1O ZINC000866366870 706682300 /nfs/dbraw/zinc/68/23/00/706682300.db2.gz UIPNVZMBHMXPQL-DZGCQCFKSA-N 1 2 303.406 1.761 20 30 DDEDLO COC[C@@H](C[N@@H+]1CCO[C@@H](C)C1)OC(=O)c1ccc(C#N)cc1 ZINC000842964619 702795530 /nfs/dbraw/zinc/79/55/30/702795530.db2.gz ULVMGCNJFJTQOH-XJKSGUPXSA-N 1 2 318.373 1.451 20 30 DDEDLO COC[C@@H](C[N@H+]1CCO[C@@H](C)C1)OC(=O)c1ccc(C#N)cc1 ZINC000842964619 702795533 /nfs/dbraw/zinc/79/55/33/702795533.db2.gz ULVMGCNJFJTQOH-XJKSGUPXSA-N 1 2 318.373 1.451 20 30 DDEDLO C#CC1CC[NH+]([C@@H]2CC(=O)N(c3ccc(F)cc3F)C2=O)CC1 ZINC000843267978 702851746 /nfs/dbraw/zinc/85/17/46/702851746.db2.gz FJPULGVCDLKABE-OAHLLOKOSA-N 1 2 318.323 1.942 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1snc(C)c1C#N ZINC000879527042 706709030 /nfs/dbraw/zinc/70/90/30/706709030.db2.gz FNAKWNVNSFBIHV-NSHDSACASA-N 1 2 323.422 1.556 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1snc(C)c1C#N ZINC000879527042 706709032 /nfs/dbraw/zinc/70/90/32/706709032.db2.gz FNAKWNVNSFBIHV-NSHDSACASA-N 1 2 323.422 1.556 20 30 DDEDLO C#CCOc1ccc(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)cc1 ZINC000844145324 702978161 /nfs/dbraw/zinc/97/81/61/702978161.db2.gz UMKNZBHRGXYZIX-HNNXBMFYSA-N 1 2 309.369 1.774 20 30 DDEDLO CC[C@@H](C#N)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000845756808 703197582 /nfs/dbraw/zinc/19/75/82/703197582.db2.gz MOMQVXJLMZFPTO-QWHCGFSZSA-N 1 2 324.425 1.203 20 30 DDEDLO CC[C@@H](C#N)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000845756808 703197584 /nfs/dbraw/zinc/19/75/84/703197584.db2.gz MOMQVXJLMZFPTO-QWHCGFSZSA-N 1 2 324.425 1.203 20 30 DDEDLO CC[C@H](CC#N)[NH2+][C@@H](C)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000846988038 703362198 /nfs/dbraw/zinc/36/21/98/703362198.db2.gz PQLTVDVQLFOIKQ-VHSXEESVSA-N 1 2 301.350 1.877 20 30 DDEDLO C#C[C@@H]1CCCN(C(=O)C2CC[NH+](Cc3nccn3C)CC2)C1 ZINC000848282643 703535655 /nfs/dbraw/zinc/53/56/55/703535655.db2.gz XWEQDHSFVBYZJX-OAHLLOKOSA-N 1 2 314.433 1.504 20 30 DDEDLO Cc1ccccc1-n1cc(C=NNCCCn2cc[nH+]c2)nn1 ZINC000848417082 703548312 /nfs/dbraw/zinc/54/83/12/703548312.db2.gz KFAILBWIWWSDIX-UHFFFAOYSA-N 1 2 309.377 1.786 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)CNC(=O)OC(C)(C)C)nn1 ZINC000849149401 703621355 /nfs/dbraw/zinc/62/13/55/703621355.db2.gz GQYGRRJPONCBFN-LBPRGKRZSA-N 1 2 307.398 1.477 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2ccc(C#N)cc2)CC1 ZINC000870093459 703914731 /nfs/dbraw/zinc/91/47/31/703914731.db2.gz IJSNLBQGUBZVTO-AWEZNQCLSA-N 1 2 323.400 1.809 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2ccc(C#N)cc2)CC1 ZINC000870093459 703914732 /nfs/dbraw/zinc/91/47/32/703914732.db2.gz IJSNLBQGUBZVTO-AWEZNQCLSA-N 1 2 323.400 1.809 20 30 DDEDLO CCOC(=O)C12CC(C1)C[N@@H+]2C[C@@H](O)c1ccc(C#N)cc1 ZINC000852706219 704103334 /nfs/dbraw/zinc/10/33/34/704103334.db2.gz SSNIAHZAEQBINA-GNHJJJEISA-N 1 2 300.358 1.619 20 30 DDEDLO CCOC(=O)C12CC(C1)C[N@H+]2C[C@@H](O)c1ccc(C#N)cc1 ZINC000852706219 704103336 /nfs/dbraw/zinc/10/33/36/704103336.db2.gz SSNIAHZAEQBINA-GNHJJJEISA-N 1 2 300.358 1.619 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc(O[C@H]2CCOC2)cc1 ZINC000819448304 704126824 /nfs/dbraw/zinc/12/68/24/704126824.db2.gz VXYRNXUYKNCCJX-WBVHZDCISA-N 1 2 319.405 1.473 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc(O[C@H]2CCOC2)cc1 ZINC000819448304 704126825 /nfs/dbraw/zinc/12/68/25/704126825.db2.gz VXYRNXUYKNCCJX-WBVHZDCISA-N 1 2 319.405 1.473 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc2c(c1)CCC[C@@H]2O ZINC000819478242 704131613 /nfs/dbraw/zinc/13/16/13/704131613.db2.gz LIWBLOKJAVWFSY-RDJZCZTQSA-N 1 2 303.406 1.675 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc2c(c1)CCC[C@@H]2O ZINC000819478242 704131615 /nfs/dbraw/zinc/13/16/15/704131615.db2.gz LIWBLOKJAVWFSY-RDJZCZTQSA-N 1 2 303.406 1.675 20 30 DDEDLO C=CC[C@]1(C(=O)NCc2n[nH]c(-c3ccccc3)n2)CCC[NH2+]1 ZINC000852825669 704137830 /nfs/dbraw/zinc/13/78/30/704137830.db2.gz NHGCCYJOGNZXTC-QGZVFWFLSA-N 1 2 311.389 1.786 20 30 DDEDLO C=CC[C@]1(C(=O)NCc2nc(-c3ccccc3)n[nH]2)CCC[NH2+]1 ZINC000852825669 704137833 /nfs/dbraw/zinc/13/78/33/704137833.db2.gz NHGCCYJOGNZXTC-QGZVFWFLSA-N 1 2 311.389 1.786 20 30 DDEDLO N#Cc1cc(F)cc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)c1 ZINC000866783532 706799141 /nfs/dbraw/zinc/79/91/41/706799141.db2.gz ODIDSIRTCVCFAT-UHFFFAOYSA-N 1 2 323.393 1.556 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000871218569 704245124 /nfs/dbraw/zinc/24/51/24/704245124.db2.gz XPCOLXAWAQNLTA-UHFFFAOYSA-N 1 2 300.406 1.357 20 30 DDEDLO C#CCC[C@H](O)CNc1nc[nH+]c(NC[C@@H](O)CCC#C)c1C ZINC000853569572 704272655 /nfs/dbraw/zinc/27/26/55/704272655.db2.gz YZWHMKLZTVBDFG-GJZGRUSLSA-N 1 2 316.405 1.157 20 30 DDEDLO C#CCOCCC(=O)N(CCn1cc[nH+]c1)C1CCSCC1 ZINC000854412152 704393069 /nfs/dbraw/zinc/39/30/69/704393069.db2.gz JJNHEDCXEFSCTB-UHFFFAOYSA-N 1 2 321.446 1.647 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1ccccc1OCC#N ZINC000855413646 704484192 /nfs/dbraw/zinc/48/41/92/704484192.db2.gz OQINFVOEFVTKKI-KGLIPLIRSA-N 1 2 317.389 1.428 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1ccccc1OCC#N ZINC000855413646 704484193 /nfs/dbraw/zinc/48/41/93/704484193.db2.gz OQINFVOEFVTKKI-KGLIPLIRSA-N 1 2 317.389 1.428 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000859025131 704786388 /nfs/dbraw/zinc/78/63/88/704786388.db2.gz NFIFKDJMLAPNGW-UONOGXRCSA-N 1 2 304.394 1.347 20 30 DDEDLO NC(=O)C[N@H+](CC#Cc1ccccc1Cl)C1CCOCC1 ZINC000880394436 706963025 /nfs/dbraw/zinc/96/30/25/706963025.db2.gz CMSGMRIQSCRQAB-UHFFFAOYSA-N 1 2 306.793 1.658 20 30 DDEDLO NC(=O)C[N@@H+](CC#Cc1ccccc1Cl)C1CCOCC1 ZINC000880394436 706963027 /nfs/dbraw/zinc/96/30/27/706963027.db2.gz CMSGMRIQSCRQAB-UHFFFAOYSA-N 1 2 306.793 1.658 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(F)c(C#N)c1 ZINC000874706336 705139240 /nfs/dbraw/zinc/13/92/40/705139240.db2.gz NSMTZHKVEQEJKQ-AWEZNQCLSA-N 1 2 305.353 1.538 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(F)c(C#N)c1 ZINC000874706336 705139242 /nfs/dbraw/zinc/13/92/42/705139242.db2.gz NSMTZHKVEQEJKQ-AWEZNQCLSA-N 1 2 305.353 1.538 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC000824396552 705444193 /nfs/dbraw/zinc/44/41/93/705444193.db2.gz ZACMWGQGLRRCOZ-HBUWYVDXSA-N 1 2 321.384 1.992 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC000824396552 705444194 /nfs/dbraw/zinc/44/41/94/705444194.db2.gz ZACMWGQGLRRCOZ-HBUWYVDXSA-N 1 2 321.384 1.992 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc(-c3cc[nH]n3)o2)CC1 ZINC000824872528 705548436 /nfs/dbraw/zinc/54/84/36/705548436.db2.gz UDDIQJXKMOIIQX-UHFFFAOYSA-N 1 2 314.345 1.948 20 30 DDEDLO C#CC[C@H](COC)NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000825080805 705596014 /nfs/dbraw/zinc/59/60/14/705596014.db2.gz XIEZGXADEPAUJJ-GHMZBOCLSA-N 1 2 303.284 1.531 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ccc(C#N)nc3)CC2)cc1O ZINC000862421113 705714245 /nfs/dbraw/zinc/71/42/45/705714245.db2.gz HTVXPAAUFNOOPC-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)c1cc(C#N)ccc1F ZINC000825928524 705747857 /nfs/dbraw/zinc/74/78/57/705747857.db2.gz XWGRNHGAJODURI-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)c1cc(C#N)ccc1F ZINC000825928524 705747859 /nfs/dbraw/zinc/74/78/59/705747859.db2.gz XWGRNHGAJODURI-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO N#Cc1ccc2c(c1)CC[NH2+][C@H]2C(=O)N1CCN(C2CCC2)CC1 ZINC000876764977 705864864 /nfs/dbraw/zinc/86/48/64/705864864.db2.gz PXIGGZACWQDOPW-GOSISDBHSA-N 1 2 324.428 1.442 20 30 DDEDLO N#Cc1ccc2c(c1)CCN[C@H]2C(=O)N1CC[NH+](C2CCC2)CC1 ZINC000876764977 705864866 /nfs/dbraw/zinc/86/48/66/705864866.db2.gz PXIGGZACWQDOPW-GOSISDBHSA-N 1 2 324.428 1.442 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cnc3c(cnn3C)c2)CC1 ZINC000877566341 706144418 /nfs/dbraw/zinc/14/44/18/706144418.db2.gz GWNGWDBYCKCABV-UHFFFAOYSA-N 1 2 313.361 1.247 20 30 DDEDLO N#Cc1ccccc1N1CCC(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000828767584 706228756 /nfs/dbraw/zinc/22/87/56/706228756.db2.gz DJGPIVXVOZNYHC-UHFFFAOYSA-N 1 2 309.373 1.609 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+]1CC[C@](C#N)(C(C)=O)c1ccccc1 ZINC000877991211 706259557 /nfs/dbraw/zinc/25/95/57/706259557.db2.gz HAGHIBQDJFNHBP-AEFFLSMTSA-N 1 2 313.401 1.637 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+]1CC[C@](C#N)(C(C)=O)c1ccccc1 ZINC000877991211 706259560 /nfs/dbraw/zinc/25/95/60/706259560.db2.gz HAGHIBQDJFNHBP-AEFFLSMTSA-N 1 2 313.401 1.637 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2c(C)cccc2C#N)C[C@H]1C ZINC000872467379 707428756 /nfs/dbraw/zinc/42/87/56/707428756.db2.gz UQPCXZUFYCIBHN-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2c(C)cccc2C#N)C[C@H]1C ZINC000872467379 707428757 /nfs/dbraw/zinc/42/87/57/707428757.db2.gz UQPCXZUFYCIBHN-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO C=CCN(Cc1ccc(OC)cc1)C(=O)[C@H](O)c1c[nH+]c[nH]1 ZINC000864952435 706309908 /nfs/dbraw/zinc/30/99/08/706309908.db2.gz PUVCZUCGJIYNEW-OAHLLOKOSA-N 1 2 301.346 1.667 20 30 DDEDLO C[C@@H](C#N)OCC[N@@H+]1Cc2ccccc2C[C@H]1C(=O)NC1CC1 ZINC000829656646 706372962 /nfs/dbraw/zinc/37/29/62/706372962.db2.gz XKDKLPCGRIYYML-GUYCJALGSA-N 1 2 313.401 1.621 20 30 DDEDLO C[C@@H](C#N)OCC[N@H+]1Cc2ccccc2C[C@H]1C(=O)NC1CC1 ZINC000829656646 706372965 /nfs/dbraw/zinc/37/29/65/706372965.db2.gz XKDKLPCGRIYYML-GUYCJALGSA-N 1 2 313.401 1.621 20 30 DDEDLO C=CCNC(=S)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000865265958 706392535 /nfs/dbraw/zinc/39/25/35/706392535.db2.gz DDFYWJCSNKYUMU-MRXNPFEDSA-N 1 2 319.474 1.846 20 30 DDEDLO C=CCNC(=S)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000865265958 706392536 /nfs/dbraw/zinc/39/25/36/706392536.db2.gz DDFYWJCSNKYUMU-MRXNPFEDSA-N 1 2 319.474 1.846 20 30 DDEDLO N#CCN1CCC(NC(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000865563111 706464467 /nfs/dbraw/zinc/46/44/67/706464467.db2.gz BIOWTIMZCAXGQK-UHFFFAOYSA-N 1 2 323.400 1.519 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC000878796245 706501147 /nfs/dbraw/zinc/50/11/47/706501147.db2.gz DZYVQHIDYHVFJM-QMMMGPOBSA-N 1 2 300.156 1.586 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC000878796245 706501148 /nfs/dbraw/zinc/50/11/48/706501148.db2.gz DZYVQHIDYHVFJM-QMMMGPOBSA-N 1 2 300.156 1.586 20 30 DDEDLO C#CCOc1cc(F)ccc1NC(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000878800930 706503127 /nfs/dbraw/zinc/50/31/27/706503127.db2.gz JXWAEDAULVHQLP-LBPRGKRZSA-N 1 2 314.320 1.781 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2[C@@H]1C ZINC000880090171 706876354 /nfs/dbraw/zinc/87/63/54/706876354.db2.gz HPENVEZSXKWVPH-LBPRGKRZSA-N 1 2 302.374 1.372 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2[C@@H]1C ZINC000880090171 706876356 /nfs/dbraw/zinc/87/63/56/706876356.db2.gz HPENVEZSXKWVPH-LBPRGKRZSA-N 1 2 302.374 1.372 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(C#N)cc1 ZINC000871760860 707190673 /nfs/dbraw/zinc/19/06/73/707190673.db2.gz SGJCVDZCUIXAHU-OAHLLOKOSA-N 1 2 302.378 1.791 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(C#N)cc1 ZINC000871760860 707190674 /nfs/dbraw/zinc/19/06/74/707190674.db2.gz SGJCVDZCUIXAHU-OAHLLOKOSA-N 1 2 302.378 1.791 20 30 DDEDLO C=C(Br)Cn1cc(C[NH+]2CCC(OC)CC2)nn1 ZINC000881427285 707253448 /nfs/dbraw/zinc/25/34/48/707253448.db2.gz HISZYLLSHGZZIB-UHFFFAOYSA-N 1 2 315.215 1.798 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNc1cc(C#N)ccc1[N+](=O)[O-] ZINC000872770896 707592538 /nfs/dbraw/zinc/59/25/38/707592538.db2.gz BYWGMHZXMWJTHO-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNc1cc(C#N)ccc1[N+](=O)[O-] ZINC000872770896 707592542 /nfs/dbraw/zinc/59/25/42/707592542.db2.gz BYWGMHZXMWJTHO-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNc1cccc(C#N)c1[N+](=O)[O-] ZINC000872773092 707593914 /nfs/dbraw/zinc/59/39/14/707593914.db2.gz FJWPHZNLZLXQJJ-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNc1cccc(C#N)c1[N+](=O)[O-] ZINC000872773092 707593918 /nfs/dbraw/zinc/59/39/18/707593918.db2.gz FJWPHZNLZLXQJJ-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@H](C)[C@@H]2CCCC[C@@H]21 ZINC000884091276 708134959 /nfs/dbraw/zinc/13/49/59/708134959.db2.gz SHUJYYPCFXGXJQ-AJNGGQMLSA-N 1 2 308.422 1.860 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(SC)cc1 ZINC000884102123 708139580 /nfs/dbraw/zinc/13/95/80/708139580.db2.gz RNGNBOYUSLAQPM-ZDUSSCGKSA-N 1 2 308.403 1.471 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@H]1c1cccc(F)c1 ZINC000884106214 708142042 /nfs/dbraw/zinc/14/20/42/708142042.db2.gz KIPIEEAIGFXCNJ-MELADBBJSA-N 1 2 306.337 1.244 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1cc(C)cc(C)c1 ZINC000884129195 708152537 /nfs/dbraw/zinc/15/25/37/708152537.db2.gz AIVUBDPTACKLND-HIFRSBDPSA-N 1 2 304.390 1.927 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCS[C@@H]2CCCC[C@H]21 ZINC000884157465 708164729 /nfs/dbraw/zinc/16/47/29/708164729.db2.gz AUNUMCWPVUAJNJ-YNEHKIRRSA-N 1 2 312.435 1.320 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@H](c2ccccc2)[C@@H]1C ZINC000884158226 708164790 /nfs/dbraw/zinc/16/47/90/708164790.db2.gz UZJFOFZSBPMSMS-BPUTZDHNSA-N 1 2 316.401 1.838 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@H]1c1ccccc1C ZINC000884383953 708273336 /nfs/dbraw/zinc/27/33/36/708273336.db2.gz ZNKSGHZDBVEAIJ-SOUVJXGZSA-N 1 2 302.374 1.414 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CC[C@H]([C@@H]3CCCO3)C2)c1=S ZINC000884481785 708312479 /nfs/dbraw/zinc/31/24/79/708312479.db2.gz QYKURJRPYBMVPO-RYUDHWBXSA-N 1 2 307.423 1.476 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CC[C@H]([C@@H]3CCCO3)C2)c1=S ZINC000884481785 708312483 /nfs/dbraw/zinc/31/24/83/708312483.db2.gz QYKURJRPYBMVPO-RYUDHWBXSA-N 1 2 307.423 1.476 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)C[C@H]2CCC(F)(F)C2)C1 ZINC000885508844 708562027 /nfs/dbraw/zinc/56/20/27/708562027.db2.gz MQOURQXSYODMNI-QWHCGFSZSA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)C[C@H]2CCC(F)(F)C2)C1 ZINC000885508844 708562030 /nfs/dbraw/zinc/56/20/30/708562030.db2.gz MQOURQXSYODMNI-QWHCGFSZSA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2ccc(C)c(F)c2)C1 ZINC000885511592 708562798 /nfs/dbraw/zinc/56/27/98/708562798.db2.gz KGUXBIZYWZAOMT-HNNXBMFYSA-N 1 2 324.421 1.651 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2ccc(C)c(F)c2)C1 ZINC000885511592 708562800 /nfs/dbraw/zinc/56/28/00/708562800.db2.gz KGUXBIZYWZAOMT-HNNXBMFYSA-N 1 2 324.421 1.651 20 30 DDEDLO N#Cc1ccc(OCC(=O)N[C@H]2CCC[N@@H+](CCF)C2)cc1 ZINC000898483911 708652933 /nfs/dbraw/zinc/65/29/33/708652933.db2.gz PLVFTXWOFAIVOM-AWEZNQCLSA-N 1 2 305.353 1.487 20 30 DDEDLO N#Cc1ccc(OCC(=O)N[C@H]2CCC[N@H+](CCF)C2)cc1 ZINC000898483911 708652935 /nfs/dbraw/zinc/65/29/35/708652935.db2.gz PLVFTXWOFAIVOM-AWEZNQCLSA-N 1 2 305.353 1.487 20 30 DDEDLO C[C@@]1(C#N)CN(C(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)CCO1 ZINC000887690836 709104270 /nfs/dbraw/zinc/10/42/70/709104270.db2.gz UZWYNZKZTTYEJG-CMPLNLGQSA-N 1 2 316.283 1.518 20 30 DDEDLO CO[C@H]1CC[C@H]1[N@H+](C)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000902638256 710832593 /nfs/dbraw/zinc/83/25/93/710832593.db2.gz MADAANJREGFVJD-CVEARBPZSA-N 1 2 301.390 1.665 20 30 DDEDLO CO[C@H]1CC[C@H]1[N@@H+](C)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000902638256 710832596 /nfs/dbraw/zinc/83/25/96/710832596.db2.gz MADAANJREGFVJD-CVEARBPZSA-N 1 2 301.390 1.665 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(OCCOC)o2)C1=O ZINC000894231233 711025859 /nfs/dbraw/zinc/02/58/59/711025859.db2.gz MOJMYHDJXQXXCT-AWEZNQCLSA-N 1 2 308.378 1.524 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(OCCOC)o2)C1=O ZINC000894231233 711025862 /nfs/dbraw/zinc/02/58/62/711025862.db2.gz MOJMYHDJXQXXCT-AWEZNQCLSA-N 1 2 308.378 1.524 20 30 DDEDLO CNc1cc(N2CCN(c3ccc(F)cc3C#N)CC2)nc[nH+]1 ZINC000895027090 711375538 /nfs/dbraw/zinc/37/55/38/711375538.db2.gz CXZSVWKJTZTEJF-UHFFFAOYSA-N 1 2 312.352 1.856 20 30 DDEDLO CNc1cc(N2CCN(c3ccc(F)cc3C#N)CC2)[nH+]cn1 ZINC000895027090 711375540 /nfs/dbraw/zinc/37/55/40/711375540.db2.gz CXZSVWKJTZTEJF-UHFFFAOYSA-N 1 2 312.352 1.856 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)Nc1cccc(C#N)c1[N+](=O)[O-] ZINC000895800851 711609511 /nfs/dbraw/zinc/60/95/11/711609511.db2.gz HTHGUZRQQVNPRV-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO COC(=O)c1c[nH]c(C[NH+]2CCN(CCC#N)CC2)c1C1CC1 ZINC000895844314 711623465 /nfs/dbraw/zinc/62/34/65/711623465.db2.gz GMPKHLIQFYWLOK-UHFFFAOYSA-N 1 2 316.405 1.710 20 30 DDEDLO CN(CCC#N)C[C@H](O)C[NH2+][C@@H]1c2ccccc2OC[C@@H]1F ZINC000905540184 712070680 /nfs/dbraw/zinc/07/06/80/712070680.db2.gz XLTKENRPOSCEJL-IVMMDQJWSA-N 1 2 307.369 1.254 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CN[C@@H]1c2ccccc2OC[C@@H]1F ZINC000905540184 712070681 /nfs/dbraw/zinc/07/06/81/712070681.db2.gz XLTKENRPOSCEJL-IVMMDQJWSA-N 1 2 307.369 1.254 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CN[C@@H]1c2ccccc2OC[C@@H]1F ZINC000905540184 712070684 /nfs/dbraw/zinc/07/06/84/712070684.db2.gz XLTKENRPOSCEJL-IVMMDQJWSA-N 1 2 307.369 1.254 20 30 DDEDLO C=CCSCCNC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000906443020 712326007 /nfs/dbraw/zinc/32/60/07/712326007.db2.gz LGBLOHVRODOFQG-UHFFFAOYSA-N 1 2 323.462 1.469 20 30 DDEDLO Cn1c[nH+]c(CCNS(=O)(=O)Cc2csc(C#N)c2)c1 ZINC000916231604 713447749 /nfs/dbraw/zinc/44/77/49/713447749.db2.gz SYTFNKOGTXCDHI-UHFFFAOYSA-N 1 2 310.404 1.015 20 30 DDEDLO CC(=[NH+]Nc1ccc(S(N)(=O)=O)cc1)c1ccc(N)cc1 ZINC000916540204 713457124 /nfs/dbraw/zinc/45/71/24/713457124.db2.gz PTEDCLHLTDRLGU-UHFFFAOYSA-N 1 2 304.375 1.752 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](C[C@@H]3C[C@H]4COC[C@H]4O3)CCO2)c1 ZINC000930133955 713763993 /nfs/dbraw/zinc/76/39/93/713763993.db2.gz CPGKGLYNSNVWGN-XLAORIBOSA-N 1 2 314.385 1.735 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](C[C@@H]3C[C@H]4COC[C@H]4O3)CCO2)c1 ZINC000930133955 713763996 /nfs/dbraw/zinc/76/39/96/713763996.db2.gz CPGKGLYNSNVWGN-XLAORIBOSA-N 1 2 314.385 1.735 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccc(F)cc1C#N ZINC000930286785 713793980 /nfs/dbraw/zinc/79/39/80/713793980.db2.gz BHFHIUAESSGIMJ-GFCCVEGCSA-N 1 2 320.368 1.882 20 30 DDEDLO Cc1nnc(CC[NH+]2CCN(c3snc(C)c3C#N)CC2)o1 ZINC000930517035 713855114 /nfs/dbraw/zinc/85/51/14/713855114.db2.gz JVHLRVVQYJOPGB-UHFFFAOYSA-N 1 2 318.406 1.379 20 30 DDEDLO N#Cc1cncc(C[NH2+][C@@H]2CS(=O)(=O)Cc3ccccc32)c1 ZINC000931100108 714001287 /nfs/dbraw/zinc/00/12/87/714001287.db2.gz NOMNLJFOCMWBHL-MRXNPFEDSA-N 1 2 313.382 1.713 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000922732087 714131270 /nfs/dbraw/zinc/13/12/70/714131270.db2.gz WDKOXKYVRFPODS-OLZOCXBDSA-N 1 2 324.450 1.738 20 30 DDEDLO Cc1cc(C#N)cc(C)c1COC(=O)[C@H]1C[N@@H+](C)C[C@@H](C)O1 ZINC000922883630 714175945 /nfs/dbraw/zinc/17/59/45/714175945.db2.gz STUWYZXFPNLITC-CZUORRHYSA-N 1 2 302.374 1.937 20 30 DDEDLO Cc1cc(C#N)cc(C)c1COC(=O)[C@H]1C[N@H+](C)C[C@@H](C)O1 ZINC000922883630 714175949 /nfs/dbraw/zinc/17/59/49/714175949.db2.gz STUWYZXFPNLITC-CZUORRHYSA-N 1 2 302.374 1.937 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2conc2C(F)(F)F)C1 ZINC000923560127 714403648 /nfs/dbraw/zinc/40/36/48/714403648.db2.gz NNZVQJPURCKNMN-VIFPVBQESA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2conc2C(F)(F)F)C1 ZINC000923560127 714403649 /nfs/dbraw/zinc/40/36/49/714403649.db2.gz NNZVQJPURCKNMN-VIFPVBQESA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)c2cc(F)ccc2C)C1 ZINC000923566826 714408104 /nfs/dbraw/zinc/40/81/04/714408104.db2.gz STQUCDKEYVYGMK-AWEZNQCLSA-N 1 2 302.349 1.531 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)c2cc(F)ccc2C)C1 ZINC000923566826 714408107 /nfs/dbraw/zinc/40/81/07/714408107.db2.gz STQUCDKEYVYGMK-AWEZNQCLSA-N 1 2 302.349 1.531 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[NH+]2CCC(O)(C3CCC3)CC2)cc1 ZINC000932819260 714418993 /nfs/dbraw/zinc/41/89/93/714418993.db2.gz XLXOUCBOGOADNM-INIZCTEOSA-N 1 2 313.401 1.712 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccc(F)cc1)[N@@H+]1CC[C@@H]1CO ZINC000934022867 714723951 /nfs/dbraw/zinc/72/39/51/714723951.db2.gz ANHOGVRWBUQNTH-SWLSCSKDSA-N 1 2 305.353 1.527 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccc(F)cc1)[N@H+]1CC[C@@H]1CO ZINC000934022867 714723955 /nfs/dbraw/zinc/72/39/55/714723955.db2.gz ANHOGVRWBUQNTH-SWLSCSKDSA-N 1 2 305.353 1.527 20 30 DDEDLO CO[C@H]1CC[C@@H]1[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000934131556 714751548 /nfs/dbraw/zinc/75/15/48/714751548.db2.gz POZIGJICSWJWEY-GJZGRUSLSA-N 1 2 308.403 1.441 20 30 DDEDLO CO[C@H]1CC[C@@H]1[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000934131556 714751550 /nfs/dbraw/zinc/75/15/50/714751550.db2.gz POZIGJICSWJWEY-GJZGRUSLSA-N 1 2 308.403 1.441 20 30 DDEDLO C=C(Cl)C[C@@H]1NC(=O)N(CC[N@H+]2CCOCC2(C)C)C1=O ZINC000925295733 714797412 /nfs/dbraw/zinc/79/74/12/714797412.db2.gz RKAJANOIDOBCIP-NSHDSACASA-N 1 2 315.801 1.160 20 30 DDEDLO C=C(Cl)C[C@@H]1NC(=O)N(CC[N@@H+]2CCOCC2(C)C)C1=O ZINC000925295733 714797414 /nfs/dbraw/zinc/79/74/14/714797414.db2.gz RKAJANOIDOBCIP-NSHDSACASA-N 1 2 315.801 1.160 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)Nc1cccc(C(=O)NCC)c1 ZINC000934514104 714839958 /nfs/dbraw/zinc/83/99/58/714839958.db2.gz YSJNQFIHSMWXEG-MRXNPFEDSA-N 1 2 313.401 1.863 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)Nc1cccc(C(=O)NCC)c1 ZINC000934514104 714839959 /nfs/dbraw/zinc/83/99/59/714839959.db2.gz YSJNQFIHSMWXEG-MRXNPFEDSA-N 1 2 313.401 1.863 20 30 DDEDLO N#CCC1CCN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)CC1 ZINC000935317255 715026973 /nfs/dbraw/zinc/02/69/73/715026973.db2.gz FVOQEIDOMKVBKI-CVEARBPZSA-N 1 2 321.421 1.018 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000935674154 715115164 /nfs/dbraw/zinc/11/51/64/715115164.db2.gz QCWUMZIEUZGYJA-KLHKWILBSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccc(NC(=O)NC)c2)CC1 ZINC000957328144 715839431 /nfs/dbraw/zinc/83/94/31/715839431.db2.gz HLMUXVUHIYNOIA-UHFFFAOYSA-N 1 2 316.405 1.772 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@H](c2cccnc2)[NH+](C)C)CC1 ZINC000957805514 716060198 /nfs/dbraw/zinc/06/01/98/716060198.db2.gz ZWGKBRSWCTUZTB-HNNXBMFYSA-N 1 2 322.840 1.581 20 30 DDEDLO N#CCN1CC[C@@H]([C@H]2CCCN(C(=O)CCn3cc[nH+]c3)C2)C1 ZINC000961221532 716867111 /nfs/dbraw/zinc/86/71/11/716867111.db2.gz WCZMEVNNWOTFCI-JKSUJKDBSA-N 1 2 315.421 1.357 20 30 DDEDLO CCC(=O)N1CCN(C2C[NH+](Cc3ccc(C#N)cc3)C2)CC1 ZINC000941264088 717142640 /nfs/dbraw/zinc/14/26/40/717142640.db2.gz FFMJUPFZCFXOOP-UHFFFAOYSA-N 1 2 312.417 1.297 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccoc3C)CC2)C1 ZINC000941304712 717150801 /nfs/dbraw/zinc/15/08/01/717150801.db2.gz NAARJFQFAUWXLQ-UHFFFAOYSA-N 1 2 301.390 1.053 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CC34CCC4)CC2)C1 ZINC000941586203 717220518 /nfs/dbraw/zinc/22/05/18/717220518.db2.gz VBWXFKYHSUYXGW-MRXNPFEDSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3c(F)cccc3F)CC2)C1 ZINC000941650886 717244148 /nfs/dbraw/zinc/24/41/48/717244148.db2.gz JFJHXQZBENENPB-UHFFFAOYSA-N 1 2 319.355 1.040 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CCN(CC#N)C[C@@H]2C)c[nH+]1 ZINC000942179877 717529183 /nfs/dbraw/zinc/52/91/83/717529183.db2.gz DAPYHBPNNSKHMU-GXTWGEPZSA-N 1 2 303.410 1.277 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)[C@@H](C)C1 ZINC000943965687 718233652 /nfs/dbraw/zinc/23/36/52/718233652.db2.gz KIEPLRGASGGPKK-BBRMVZONSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)[C@@H](C)C1 ZINC000943965687 718233653 /nfs/dbraw/zinc/23/36/53/718233653.db2.gz KIEPLRGASGGPKK-BBRMVZONSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2nc3cccnc3s2)C1 ZINC000966724705 718641880 /nfs/dbraw/zinc/64/18/80/718641880.db2.gz SFYITHJRHKPGQJ-YPMHNXCESA-N 1 2 314.414 1.765 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2nc3cccnc3s2)C1 ZINC000966724705 718641881 /nfs/dbraw/zinc/64/18/81/718641881.db2.gz SFYITHJRHKPGQJ-YPMHNXCESA-N 1 2 314.414 1.765 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cn[nH]c2-c2ccccn2)C[C@@H]1C ZINC000947376986 719141289 /nfs/dbraw/zinc/14/12/89/719141289.db2.gz CMTZHQFJINKVKC-KBPBESRZSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cn[nH]c2-c2ccccn2)C[C@@H]1C ZINC000947376986 719141291 /nfs/dbraw/zinc/14/12/91/719141291.db2.gz CMTZHQFJINKVKC-KBPBESRZSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2snnc2C(C)C)C1 ZINC000968454693 719596427 /nfs/dbraw/zinc/59/64/27/719596427.db2.gz NHZARNGUZFVEIZ-RYUDHWBXSA-N 1 2 306.435 1.735 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2snnc2C(C)C)C1 ZINC000968454693 719596429 /nfs/dbraw/zinc/59/64/29/719596429.db2.gz NHZARNGUZFVEIZ-RYUDHWBXSA-N 1 2 306.435 1.735 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccnc(C)n2)C1 ZINC000968665245 719723359 /nfs/dbraw/zinc/72/33/59/719723359.db2.gz OXUUNHRFUBAKAO-HZMBPMFUSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccnc(C)n2)C1 ZINC000968665245 719723363 /nfs/dbraw/zinc/72/33/63/719723363.db2.gz OXUUNHRFUBAKAO-HZMBPMFUSA-N 1 2 308.813 1.978 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC000968918307 719868247 /nfs/dbraw/zinc/86/82/47/719868247.db2.gz VXRYLACXMJLBOC-GFCCVEGCSA-N 1 2 317.418 1.530 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CCc3ccoc3)CC2)C1 ZINC000949336063 720025217 /nfs/dbraw/zinc/02/52/17/720025217.db2.gz NAYTYYILIWGDDF-UHFFFAOYSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CCc3ccoc3)CC2)C1 ZINC000949336063 720025220 /nfs/dbraw/zinc/02/52/20/720025220.db2.gz NAYTYYILIWGDDF-UHFFFAOYSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@]3(C)CC)CC2)C1 ZINC000949504425 720129612 /nfs/dbraw/zinc/12/96/12/720129612.db2.gz SCRGBBYFDSYUEW-RDJZCZTQSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@]3(C)CC)CC2)C1 ZINC000949504425 720129615 /nfs/dbraw/zinc/12/96/15/720129615.db2.gz SCRGBBYFDSYUEW-RDJZCZTQSA-N 1 2 304.434 1.749 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1[nH]cc[nH+]1 ZINC000969741917 720273312 /nfs/dbraw/zinc/27/33/12/720273312.db2.gz LFWVMTMYVAIJSA-BETUJISGSA-N 1 2 316.405 1.366 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3oc(=O)[nH]c3c2)C1 ZINC000950555551 720681768 /nfs/dbraw/zinc/68/17/68/720681768.db2.gz FEDZHRITAPSIBD-UHFFFAOYSA-N 1 2 313.357 1.703 20 30 DDEDLO C[C@@H](NC(=O)c1ccncn1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970612123 720844370 /nfs/dbraw/zinc/84/43/70/720844370.db2.gz JWPFERNKPVRBSK-CYBMUJFWSA-N 1 2 321.384 1.599 20 30 DDEDLO C=CCCN1CC(N(CC)C(=O)[C@@H]2C[N@@H+](C(C)C)CCO2)C1 ZINC000951366047 721003806 /nfs/dbraw/zinc/00/38/06/721003806.db2.gz VCYKEIMPHPQRHY-INIZCTEOSA-N 1 2 309.454 1.204 20 30 DDEDLO C=CCCN1CC(N(CC)C(=O)[C@@H]2C[N@H+](C(C)C)CCO2)C1 ZINC000951366047 721003811 /nfs/dbraw/zinc/00/38/11/721003811.db2.gz VCYKEIMPHPQRHY-INIZCTEOSA-N 1 2 309.454 1.204 20 30 DDEDLO Cc1cc(C(=O)N2CC[C@H]([N@H+](C)Cc3ccccc3C#N)C2)n[nH]1 ZINC000971160771 721147672 /nfs/dbraw/zinc/14/76/72/721147672.db2.gz MSNQTMTZMPNWFX-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N2CC[C@H]([N@@H+](C)Cc3ccccc3C#N)C2)n[nH]1 ZINC000971160771 721147675 /nfs/dbraw/zinc/14/76/75/721147675.db2.gz MSNQTMTZMPNWFX-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)no1 ZINC000971293225 721222899 /nfs/dbraw/zinc/22/28/99/721222899.db2.gz ZIPOGBLUXPZTCO-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)no1 ZINC000971293225 721222900 /nfs/dbraw/zinc/22/29/00/721222900.db2.gz ZIPOGBLUXPZTCO-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)c(C)o1 ZINC000971510416 721330592 /nfs/dbraw/zinc/33/05/92/721330592.db2.gz FBPLMYZZLFDCKL-OAHLLOKOSA-N 1 2 301.390 1.738 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)c(C)o1 ZINC000971510416 721330597 /nfs/dbraw/zinc/33/05/97/721330597.db2.gz FBPLMYZZLFDCKL-OAHLLOKOSA-N 1 2 301.390 1.738 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](CNC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001023682486 735394293 /nfs/dbraw/zinc/39/42/93/735394293.db2.gz BBYSIKAASAROQS-CQSZACIVSA-N 1 2 323.400 1.547 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](CNC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001023682486 735394296 /nfs/dbraw/zinc/39/42/96/735394296.db2.gz BBYSIKAASAROQS-CQSZACIVSA-N 1 2 323.400 1.547 20 30 DDEDLO C[C@@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1ccncc1C#N ZINC001098153453 732318422 /nfs/dbraw/zinc/31/84/22/732318422.db2.gz SNRLNMVNUGVTJC-LBPRGKRZSA-N 1 2 320.356 1.253 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccsc3)[C@H]2C1 ZINC001083190682 732482081 /nfs/dbraw/zinc/48/20/81/732482081.db2.gz VLLDRBBOQTUFSW-VHDGCEQUSA-N 1 2 304.415 1.396 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccsc3)[C@H]2C1 ZINC001083190682 732482082 /nfs/dbraw/zinc/48/20/82/732482082.db2.gz VLLDRBBOQTUFSW-VHDGCEQUSA-N 1 2 304.415 1.396 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)no1 ZINC001038036210 732781763 /nfs/dbraw/zinc/78/17/63/732781763.db2.gz LARNUASZIGLDRY-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)no1 ZINC001038036210 732781769 /nfs/dbraw/zinc/78/17/69/732781769.db2.gz LARNUASZIGLDRY-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)s1 ZINC001010644440 732974532 /nfs/dbraw/zinc/97/45/32/732974532.db2.gz PMTAHYKEQUKOSK-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)s1 ZINC001010644440 732974534 /nfs/dbraw/zinc/97/45/34/732974534.db2.gz PMTAHYKEQUKOSK-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3noc4c3CCCC4)[C@H]2C1 ZINC001083203689 733176218 /nfs/dbraw/zinc/17/62/18/733176218.db2.gz CAXPQUWQEQSNFT-DZGCQCFKSA-N 1 2 317.389 1.265 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3noc4c3CCCC4)[C@H]2C1 ZINC001083203689 733176219 /nfs/dbraw/zinc/17/62/19/733176219.db2.gz CAXPQUWQEQSNFT-DZGCQCFKSA-N 1 2 317.389 1.265 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(C)ccc2F)C1 ZINC000891607162 734423785 /nfs/dbraw/zinc/42/37/85/734423785.db2.gz RMRLSRISCGGVBO-ZDUSSCGKSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(C)ccc2F)C1 ZINC000891607162 734423786 /nfs/dbraw/zinc/42/37/86/734423786.db2.gz RMRLSRISCGGVBO-ZDUSSCGKSA-N 1 2 317.364 1.286 20 30 DDEDLO C=CCCC(=O)NCCN(CCOC)c1nc(C)[nH+]c(C)c1C ZINC001126279961 738821387 /nfs/dbraw/zinc/82/13/87/738821387.db2.gz FLJPQUXRAKCWFH-UHFFFAOYSA-N 1 2 320.437 1.937 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@](C)(O)C3CC3)C2)C1 ZINC000972340438 734798388 /nfs/dbraw/zinc/79/83/88/734798388.db2.gz WQDIWQCSGIUIRW-SJORKVTESA-N 1 2 308.422 1.027 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@](C)(O)C3CC3)C2)C1 ZINC000972340438 734798391 /nfs/dbraw/zinc/79/83/91/734798391.db2.gz WQDIWQCSGIUIRW-SJORKVTESA-N 1 2 308.422 1.027 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@H]2CC2(F)F)s1 ZINC001038242717 734842763 /nfs/dbraw/zinc/84/27/63/734842763.db2.gz QEXJZACNPLKYBG-BXKDBHETSA-N 1 2 311.357 1.965 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@H]2CC2(F)F)s1 ZINC001038242717 734842764 /nfs/dbraw/zinc/84/27/64/734842764.db2.gz QEXJZACNPLKYBG-BXKDBHETSA-N 1 2 311.357 1.965 20 30 DDEDLO N#Cc1cnccc1NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCCC1 ZINC001104434135 734870856 /nfs/dbraw/zinc/87/08/56/734870856.db2.gz QBADYKCOTAFCQO-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)cn3)[C@H]2C1 ZINC001083253553 734892726 /nfs/dbraw/zinc/89/27/26/734892726.db2.gz VWECLSLWRVMQPX-LSDHHAIUSA-N 1 2 319.792 1.284 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)cn3)[C@H]2C1 ZINC001083253553 734892729 /nfs/dbraw/zinc/89/27/29/734892729.db2.gz VWECLSLWRVMQPX-LSDHHAIUSA-N 1 2 319.792 1.284 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(CC(C)C)c1CC ZINC001038249969 734980408 /nfs/dbraw/zinc/98/04/08/734980408.db2.gz SUWCVUXHCFJOKJ-HNNXBMFYSA-N 1 2 316.449 1.929 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(CC(C)C)c1CC ZINC001038249969 734980412 /nfs/dbraw/zinc/98/04/12/734980412.db2.gz SUWCVUXHCFJOKJ-HNNXBMFYSA-N 1 2 316.449 1.929 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(OC)c3)[C@H]2C1 ZINC001083257378 735014582 /nfs/dbraw/zinc/01/45/82/735014582.db2.gz WSVAUPSVSRZYBY-DLBZAZTESA-N 1 2 314.385 1.244 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(OC)c3)[C@H]2C1 ZINC001083257378 735014583 /nfs/dbraw/zinc/01/45/83/735014583.db2.gz WSVAUPSVSRZYBY-DLBZAZTESA-N 1 2 314.385 1.244 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104489471 735242211 /nfs/dbraw/zinc/24/22/11/735242211.db2.gz VQRYVKPJONQMJM-LLVKDONJSA-N 1 2 302.382 1.588 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001023483536 735268535 /nfs/dbraw/zinc/26/85/35/735268535.db2.gz VFMIFSKCBXAGMJ-CYBMUJFWSA-N 1 2 323.824 1.580 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001023483536 735268530 /nfs/dbraw/zinc/26/85/30/735268530.db2.gz VFMIFSKCBXAGMJ-CYBMUJFWSA-N 1 2 323.824 1.580 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@H+](C)Cc3ccon3)C2)cn1 ZINC000972756020 735597705 /nfs/dbraw/zinc/59/77/05/735597705.db2.gz IJYYGOTZAZHLFR-INIZCTEOSA-N 1 2 310.357 1.397 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@@H+](C)Cc3ccon3)C2)cn1 ZINC000972756020 735597708 /nfs/dbraw/zinc/59/77/08/735597708.db2.gz IJYYGOTZAZHLFR-INIZCTEOSA-N 1 2 310.357 1.397 20 30 DDEDLO C#CCN1CCCC[C@@H]1CNC(=O)c1ccn(-c2cc[nH+]cc2)n1 ZINC001024548338 735926420 /nfs/dbraw/zinc/92/64/20/735926420.db2.gz YBQYCLRUZRMCAD-MRXNPFEDSA-N 1 2 323.400 1.485 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)C#CC(C)C)CC2)cc[nH+]1 ZINC001111991233 736381123 /nfs/dbraw/zinc/38/11/23/736381123.db2.gz YPIRCKDPXVCHQO-HNNXBMFYSA-N 1 2 300.406 1.920 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001104858040 737293842 /nfs/dbraw/zinc/29/38/42/737293842.db2.gz XCWVRKDZIJTJGE-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)N(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001104858040 737293846 /nfs/dbraw/zinc/29/38/46/737293846.db2.gz XCWVRKDZIJTJGE-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@H]3CC[C@H](C)C3)C2)nn1 ZINC001105303993 737789376 /nfs/dbraw/zinc/78/93/76/737789376.db2.gz XUOJPUVACSFEGV-KBPBESRZSA-N 1 2 315.421 1.211 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3C[C@@H]3C(C)C)C2)nn1 ZINC001105352044 738985994 /nfs/dbraw/zinc/98/59/94/738985994.db2.gz NRKXHPGGSBTXTR-CVEARBPZSA-N 1 2 315.421 1.066 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001075621231 739232153 /nfs/dbraw/zinc/23/21/53/739232153.db2.gz STJMUDZQWNJWMA-FPMFFAJLSA-N 1 2 314.389 1.744 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001075621231 739232155 /nfs/dbraw/zinc/23/21/55/739232155.db2.gz STJMUDZQWNJWMA-FPMFFAJLSA-N 1 2 314.389 1.744 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001126355776 739360639 /nfs/dbraw/zinc/36/06/39/739360639.db2.gz HLZKQPSLHXQZDN-UHFFFAOYSA-N 1 2 308.407 1.111 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3n2[C@H](C)CCC3)C1 ZINC001035374762 751438934 /nfs/dbraw/zinc/43/89/34/751438934.db2.gz IXCCFCOAUKCRPG-KGLIPLIRSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3n2[C@H](C)CCC3)C1 ZINC001035374762 751438936 /nfs/dbraw/zinc/43/89/36/751438936.db2.gz IXCCFCOAUKCRPG-KGLIPLIRSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(N(C)C)cc2F)C1 ZINC001035394160 751464335 /nfs/dbraw/zinc/46/43/35/751464335.db2.gz MRNOJWZTESQUGN-CQSZACIVSA-N 1 2 321.396 1.508 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(N(C)C)cc2F)C1 ZINC001035394160 751464338 /nfs/dbraw/zinc/46/43/38/751464338.db2.gz MRNOJWZTESQUGN-CQSZACIVSA-N 1 2 321.396 1.508 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001126384458 739666339 /nfs/dbraw/zinc/66/63/39/739666339.db2.gz OCUYCQJFGHJFKP-ZUZCIYMTSA-N 1 2 308.382 1.041 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2cccnc2)on1 ZINC001032593730 751473637 /nfs/dbraw/zinc/47/36/37/751473637.db2.gz RFCVBHNFJCJUBI-GJZGRUSLSA-N 1 2 322.368 1.659 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2cccnc2)on1 ZINC001032593730 751473647 /nfs/dbraw/zinc/47/36/47/751473647.db2.gz RFCVBHNFJCJUBI-GJZGRUSLSA-N 1 2 322.368 1.659 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)noc2C(C)C)C1 ZINC001035406560 751488690 /nfs/dbraw/zinc/48/86/90/751488690.db2.gz HTMZVTVXJQOHDH-AWEZNQCLSA-N 1 2 319.405 1.560 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)noc2C(C)C)C1 ZINC001035406560 751488694 /nfs/dbraw/zinc/48/86/94/751488694.db2.gz HTMZVTVXJQOHDH-AWEZNQCLSA-N 1 2 319.405 1.560 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccnc(CCC)c2)C1 ZINC001035413290 751495110 /nfs/dbraw/zinc/49/51/10/751495110.db2.gz ZKVAIQKTBAIIIT-QGZVFWFLSA-N 1 2 315.417 1.488 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccnc(CCC)c2)C1 ZINC001035413290 751495112 /nfs/dbraw/zinc/49/51/12/751495112.db2.gz ZKVAIQKTBAIIIT-QGZVFWFLSA-N 1 2 315.417 1.488 20 30 DDEDLO Cc1nocc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032599344 751510165 /nfs/dbraw/zinc/51/01/65/751510165.db2.gz UKKANGMEAOUQML-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1nocc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032599344 751510172 /nfs/dbraw/zinc/51/01/72/751510172.db2.gz UKKANGMEAOUQML-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2nc(C)c(C)nc2C)C1 ZINC001035438439 751515044 /nfs/dbraw/zinc/51/50/44/751515044.db2.gz MIVBUYJEEHYBJF-OAHLLOKOSA-N 1 2 318.421 1.409 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2nc(C)c(C)nc2C)C1 ZINC001035438439 751515047 /nfs/dbraw/zinc/51/50/47/751515047.db2.gz MIVBUYJEEHYBJF-OAHLLOKOSA-N 1 2 318.421 1.409 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cncc3sccc32)C1 ZINC001035486069 751538805 /nfs/dbraw/zinc/53/88/05/751538805.db2.gz WOGUZNCEPMHIBN-GFCCVEGCSA-N 1 2 317.414 1.913 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cncc3sccc32)C1 ZINC001035486069 751538809 /nfs/dbraw/zinc/53/88/09/751538809.db2.gz WOGUZNCEPMHIBN-GFCCVEGCSA-N 1 2 317.414 1.913 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cnn(C)c2)CC1 ZINC001032602853 751546071 /nfs/dbraw/zinc/54/60/71/751546071.db2.gz DSDKWDAOMVRNTR-IRXDYDNUSA-N 1 2 314.433 1.952 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cnn(C)c2)CC1 ZINC001032602853 751546079 /nfs/dbraw/zinc/54/60/79/751546079.db2.gz DSDKWDAOMVRNTR-IRXDYDNUSA-N 1 2 314.433 1.952 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3[C@@H](C)C(=O)NC)CC1 ZINC001032605660 751566783 /nfs/dbraw/zinc/56/67/83/751566783.db2.gz FKVWAJHIXPRGHN-QEJZJMRPSA-N 1 2 305.422 1.152 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3[C@@H](C)C(=O)NC)CC1 ZINC001032605660 751566789 /nfs/dbraw/zinc/56/67/89/751566789.db2.gz FKVWAJHIXPRGHN-QEJZJMRPSA-N 1 2 305.422 1.152 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)NC ZINC001029456450 740834812 /nfs/dbraw/zinc/83/48/12/740834812.db2.gz DNLWVUYIFWCTGI-SZOQZIPDSA-N 1 2 307.438 1.398 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@@H](C)C(=O)NC ZINC001029456450 740834821 /nfs/dbraw/zinc/83/48/21/740834821.db2.gz DNLWVUYIFWCTGI-SZOQZIPDSA-N 1 2 307.438 1.398 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001035519392 751595954 /nfs/dbraw/zinc/59/59/54/751595954.db2.gz OAFQSDBNLDCJFY-IXDOHACOSA-N 1 2 315.417 1.578 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001035519392 751595960 /nfs/dbraw/zinc/59/59/60/751595960.db2.gz OAFQSDBNLDCJFY-IXDOHACOSA-N 1 2 315.417 1.578 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2C2CC2)C1 ZINC001035568598 751622275 /nfs/dbraw/zinc/62/22/75/751622275.db2.gz VOUNIMCOXCWWRL-ZDUSSCGKSA-N 1 2 304.394 1.294 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2C2CC2)C1 ZINC001035568598 751622276 /nfs/dbraw/zinc/62/22/76/751622276.db2.gz VOUNIMCOXCWWRL-ZDUSSCGKSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001029801642 741318899 /nfs/dbraw/zinc/31/88/99/741318899.db2.gz PQMNEBRRGYSLRI-CYBMUJFWSA-N 1 2 305.426 1.308 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001029801642 741318903 /nfs/dbraw/zinc/31/89/03/741318903.db2.gz PQMNEBRRGYSLRI-CYBMUJFWSA-N 1 2 305.426 1.308 20 30 DDEDLO N#Cc1ccc(N(CCNC(=O)Cc2c[nH]c[nH+]2)C2CC2)nc1 ZINC001101452634 741512150 /nfs/dbraw/zinc/51/21/50/741512150.db2.gz WXKCGRNIWVZUNE-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)[C@@H]1C ZINC001088557363 741802151 /nfs/dbraw/zinc/80/21/51/741802151.db2.gz ISGIQTVHIYTIOI-CJNGLKHVSA-N 1 2 323.400 1.983 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)[C@@H]1C ZINC001088557363 741802155 /nfs/dbraw/zinc/80/21/55/741802155.db2.gz ISGIQTVHIYTIOI-CJNGLKHVSA-N 1 2 323.400 1.983 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3ccccc3n2)C1 ZINC001035584368 751677660 /nfs/dbraw/zinc/67/76/60/751677660.db2.gz RBRGHRAOWUJCNI-MRXNPFEDSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc3ccccc3n2)C1 ZINC001035584368 751677662 /nfs/dbraw/zinc/67/76/62/751677662.db2.gz RBRGHRAOWUJCNI-MRXNPFEDSA-N 1 2 323.396 1.689 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc3n[nH]nc32)[C@H]1C ZINC001088588144 741907857 /nfs/dbraw/zinc/90/78/57/741907857.db2.gz RIGUDIODTRKBLY-PWSUYJOCSA-N 1 2 319.796 1.903 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc3n[nH]nc32)[C@H]1C ZINC001088588144 741907861 /nfs/dbraw/zinc/90/78/61/741907861.db2.gz RIGUDIODTRKBLY-PWSUYJOCSA-N 1 2 319.796 1.903 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(OC)cc(OC)c2)C1 ZINC001035587263 751680690 /nfs/dbraw/zinc/68/06/90/751680690.db2.gz PJCXNZGJMFOQBY-INIZCTEOSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(OC)cc(OC)c2)C1 ZINC001035587263 751680692 /nfs/dbraw/zinc/68/06/92/751680692.db2.gz PJCXNZGJMFOQBY-INIZCTEOSA-N 1 2 320.389 1.320 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212041672 741951043 /nfs/dbraw/zinc/95/10/43/741951043.db2.gz XMVNRGVNLDUDTA-KCPJHIHWSA-N 1 2 321.808 1.815 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212041672 741951045 /nfs/dbraw/zinc/95/10/45/741951045.db2.gz XMVNRGVNLDUDTA-KCPJHIHWSA-N 1 2 321.808 1.815 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)cccc2F)C1 ZINC001035618639 751688682 /nfs/dbraw/zinc/68/86/82/751688682.db2.gz KWNIVPGGOSEKJR-CQSZACIVSA-N 1 2 304.365 1.588 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(C)cccc2F)C1 ZINC001035618639 751688685 /nfs/dbraw/zinc/68/86/85/751688685.db2.gz KWNIVPGGOSEKJR-CQSZACIVSA-N 1 2 304.365 1.588 20 30 DDEDLO N#CCNCC1([C@@H]2CCCCN2C(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001105570699 742121825 /nfs/dbraw/zinc/12/18/25/742121825.db2.gz XVTBVZQHFUJSNZ-AWEZNQCLSA-N 1 2 315.421 1.617 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001014445188 742184730 /nfs/dbraw/zinc/18/47/30/742184730.db2.gz WPGSDJUMUBYLIE-VXGBXAGGSA-N 1 2 307.419 1.678 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001014445188 742184734 /nfs/dbraw/zinc/18/47/34/742184734.db2.gz WPGSDJUMUBYLIE-VXGBXAGGSA-N 1 2 307.419 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn3cccnc3n2)[C@H]1C ZINC001088702386 742206329 /nfs/dbraw/zinc/20/63/29/742206329.db2.gz OXFYPRKILZFHGE-NEPJUHHUSA-N 1 2 319.796 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn3cccnc3n2)[C@H]1C ZINC001088702386 742206330 /nfs/dbraw/zinc/20/63/30/742206330.db2.gz OXFYPRKILZFHGE-NEPJUHHUSA-N 1 2 319.796 1.674 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cscc2C(F)F)[C@@H](O)C1 ZINC001083466778 742228260 /nfs/dbraw/zinc/22/82/60/742228260.db2.gz LYIMSCFVXMAAEU-NEPJUHHUSA-N 1 2 314.357 1.484 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cscc2C(F)F)[C@@H](O)C1 ZINC001083466778 742228263 /nfs/dbraw/zinc/22/82/63/742228263.db2.gz LYIMSCFVXMAAEU-NEPJUHHUSA-N 1 2 314.357 1.484 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cncc3ccccc32)C1 ZINC001035613714 751720749 /nfs/dbraw/zinc/72/07/49/751720749.db2.gz JEQKEXIQQFQYCF-INIZCTEOSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cncc3ccccc32)C1 ZINC001035613714 751720755 /nfs/dbraw/zinc/72/07/55/751720755.db2.gz JEQKEXIQQFQYCF-INIZCTEOSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001105679211 742475372 /nfs/dbraw/zinc/47/53/72/742475372.db2.gz VKQXMQDVDIHMIS-HUUCEWRRSA-N 1 2 316.405 1.522 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001076531234 742772167 /nfs/dbraw/zinc/77/21/67/742772167.db2.gz ZTOMPTFLGRKQDZ-LBPRGKRZSA-N 1 2 320.437 1.874 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCCC1(C)C ZINC001121509902 782541155 /nfs/dbraw/zinc/54/11/55/782541155.db2.gz KAAAYTGDMFUIRV-CHWSQXEVSA-N 1 2 305.426 1.580 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCCC1(C)C ZINC001121509902 782541163 /nfs/dbraw/zinc/54/11/63/782541163.db2.gz KAAAYTGDMFUIRV-CHWSQXEVSA-N 1 2 305.426 1.580 20 30 DDEDLO N#Cc1cnccc1N[C@H]1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061107690 743257895 /nfs/dbraw/zinc/25/78/95/743257895.db2.gz NXPWXFODPGJOFL-UONOGXRCSA-N 1 2 324.388 1.180 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CCCCC1)C2 ZINC001110249758 743450833 /nfs/dbraw/zinc/45/08/33/743450833.db2.gz HELMTGYGZBJZRV-OAGGEKHMSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CCCCC1)C2 ZINC001110249758 743450841 /nfs/dbraw/zinc/45/08/41/743450841.db2.gz HELMTGYGZBJZRV-OAGGEKHMSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H](C)CSC)c2C1 ZINC001128277195 743452576 /nfs/dbraw/zinc/45/25/76/743452576.db2.gz GETALAFQHQTLBO-CYBMUJFWSA-N 1 2 322.478 1.890 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H](C)CSC)c2C1 ZINC001128277195 743452578 /nfs/dbraw/zinc/45/25/78/743452578.db2.gz GETALAFQHQTLBO-CYBMUJFWSA-N 1 2 322.478 1.890 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cscc3s2)C1 ZINC001077407462 743456811 /nfs/dbraw/zinc/45/68/11/743456811.db2.gz WRWFUCACXAENGD-GHMZBOCLSA-N 1 2 308.428 1.924 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cscc3s2)C1 ZINC001077407462 743456817 /nfs/dbraw/zinc/45/68/17/743456817.db2.gz WRWFUCACXAENGD-GHMZBOCLSA-N 1 2 308.428 1.924 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)[C@]12C[C@H]1CCC2 ZINC001077416802 743458775 /nfs/dbraw/zinc/45/87/75/743458775.db2.gz AMMPYWPESOFOBK-SOAMZJECSA-N 1 2 324.424 1.390 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)[C@]12C[C@H]1CCC2 ZINC001077416802 743458779 /nfs/dbraw/zinc/45/87/79/743458779.db2.gz AMMPYWPESOFOBK-SOAMZJECSA-N 1 2 324.424 1.390 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nnc(C)o2)C1 ZINC001182113223 743481855 /nfs/dbraw/zinc/48/18/55/743481855.db2.gz OOWPTDTXFKKHTM-TZMCWYRMSA-N 1 2 304.394 1.823 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)[C@@H]2CCOC2)s1 ZINC001038097110 743544716 /nfs/dbraw/zinc/54/47/16/743544716.db2.gz QIORFVCNQLAQOF-NEPJUHHUSA-N 1 2 305.403 1.347 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@@H]2CCOC2)s1 ZINC001038097110 743544721 /nfs/dbraw/zinc/54/47/21/743544721.db2.gz QIORFVCNQLAQOF-NEPJUHHUSA-N 1 2 305.403 1.347 20 30 DDEDLO COCc1noc([C@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001182423124 743611928 /nfs/dbraw/zinc/61/19/28/743611928.db2.gz RGWWGHFVOYHFQI-QWHCGFSZSA-N 1 2 320.393 1.127 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(CC)CC)C2 ZINC001110306184 743634461 /nfs/dbraw/zinc/63/44/61/743634461.db2.gz DUYRXVALKYULDG-KFWWJZLASA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(CC)CC)C2 ZINC001110306184 743634464 /nfs/dbraw/zinc/63/44/64/743634464.db2.gz DUYRXVALKYULDG-KFWWJZLASA-N 1 2 307.438 1.446 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1OC ZINC001212148787 743750238 /nfs/dbraw/zinc/75/02/38/743750238.db2.gz RQRHMJDDBSPEJV-HUUCEWRRSA-N 1 2 321.446 1.652 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1OC ZINC001212148787 743750241 /nfs/dbraw/zinc/75/02/41/743750241.db2.gz RQRHMJDDBSPEJV-HUUCEWRRSA-N 1 2 321.446 1.652 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H](C)CC(C)C)C2)nn1 ZINC001098756799 744186611 /nfs/dbraw/zinc/18/66/11/744186611.db2.gz DPINWYSFRBMRDB-HOCLYGCPSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CCC(C)C)C2)nn1 ZINC001185872537 744297418 /nfs/dbraw/zinc/29/74/18/744297418.db2.gz JHHJYLFCHWFZHV-INIZCTEOSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C=C(C)C)C2)nn1 ZINC001185864373 744302359 /nfs/dbraw/zinc/30/23/59/744302359.db2.gz PYAUJEMLUGJWPX-HNNXBMFYSA-N 1 2 301.394 1.131 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2cccc(OC)c2C#N)C1 ZINC001186109564 744345256 /nfs/dbraw/zinc/34/52/56/744345256.db2.gz KRLHUKLYXYMKGI-NSHDSACASA-N 1 2 317.345 1.000 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2cccc(OC)c2C#N)C1 ZINC001186109564 744345257 /nfs/dbraw/zinc/34/52/57/744345257.db2.gz KRLHUKLYXYMKGI-NSHDSACASA-N 1 2 317.345 1.000 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001187319897 744548228 /nfs/dbraw/zinc/54/82/28/744548228.db2.gz FPUHWOGKNHWRRP-FPMFFAJLSA-N 1 2 320.393 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001187319897 744548232 /nfs/dbraw/zinc/54/82/32/744548232.db2.gz FPUHWOGKNHWRRP-FPMFFAJLSA-N 1 2 320.393 1.174 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@H]1C[N@H+](C)Cc1nccn1C ZINC001089139816 744583857 /nfs/dbraw/zinc/58/38/57/744583857.db2.gz OGPAZISSMRNVAY-KBPBESRZSA-N 1 2 303.410 1.393 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@H]1C[N@@H+](C)Cc1nccn1C ZINC001089139816 744583859 /nfs/dbraw/zinc/58/38/59/744583859.db2.gz OGPAZISSMRNVAY-KBPBESRZSA-N 1 2 303.410 1.393 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001187755476 744604710 /nfs/dbraw/zinc/60/47/10/744604710.db2.gz MMQXSVWIKHMTDI-LXZKKBNFSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001187755476 744604712 /nfs/dbraw/zinc/60/47/12/744604712.db2.gz MMQXSVWIKHMTDI-LXZKKBNFSA-N 1 2 319.405 1.343 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1C ZINC001121280167 744730827 /nfs/dbraw/zinc/73/08/27/744730827.db2.gz YIXRRSQDVFPOSY-XJKSGUPXSA-N 1 2 307.442 1.519 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1C ZINC001121280167 744730828 /nfs/dbraw/zinc/73/08/28/744730828.db2.gz YIXRRSQDVFPOSY-XJKSGUPXSA-N 1 2 307.442 1.519 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCO2)C1 ZINC001046120323 744769509 /nfs/dbraw/zinc/76/95/09/744769509.db2.gz AAFKZTFEDAEMRM-WCQYABFASA-N 1 2 317.227 1.655 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCO2)C1 ZINC001046120323 744769513 /nfs/dbraw/zinc/76/95/13/744769513.db2.gz AAFKZTFEDAEMRM-WCQYABFASA-N 1 2 317.227 1.655 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001189242776 744851790 /nfs/dbraw/zinc/85/17/90/744851790.db2.gz LQHPEHSPTKMKSQ-GDBMZVCRSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001189242776 744851792 /nfs/dbraw/zinc/85/17/92/744851792.db2.gz LQHPEHSPTKMKSQ-GDBMZVCRSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2csc(CC)n2)C1 ZINC001189369337 744877874 /nfs/dbraw/zinc/87/78/74/744877874.db2.gz CAJFLPQGYGJEQT-CQSZACIVSA-N 1 2 323.462 1.941 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2csc(CC)n2)C1 ZINC001189369337 744877876 /nfs/dbraw/zinc/87/78/76/744877876.db2.gz CAJFLPQGYGJEQT-CQSZACIVSA-N 1 2 323.462 1.941 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)s2)C1 ZINC001189917636 745032661 /nfs/dbraw/zinc/03/26/61/745032661.db2.gz IWJYCFZZZYQOSN-CJNGLKHVSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)s2)C1 ZINC001189917636 745032668 /nfs/dbraw/zinc/03/26/68/745032668.db2.gz IWJYCFZZZYQOSN-CJNGLKHVSA-N 1 2 323.462 1.811 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001190120460 745122272 /nfs/dbraw/zinc/12/22/72/745122272.db2.gz KEGNBNGKMUQVFM-OAHLLOKOSA-N 1 2 319.405 1.364 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001190120460 745122273 /nfs/dbraw/zinc/12/22/73/745122273.db2.gz KEGNBNGKMUQVFM-OAHLLOKOSA-N 1 2 319.405 1.364 20 30 DDEDLO COCC#CC[N@H+](C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190270090 745168911 /nfs/dbraw/zinc/16/89/11/745168911.db2.gz NNBLBTHFTOYMDW-MNOVXSKESA-N 1 2 310.291 1.069 20 30 DDEDLO COCC#CC[N@@H+](C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190270090 745168913 /nfs/dbraw/zinc/16/89/13/745168913.db2.gz NNBLBTHFTOYMDW-MNOVXSKESA-N 1 2 310.291 1.069 20 30 DDEDLO COCC#CC[N@H+](C)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001190270090 745168914 /nfs/dbraw/zinc/16/89/14/745168914.db2.gz NNBLBTHFTOYMDW-MNOVXSKESA-N 1 2 310.291 1.069 20 30 DDEDLO COCC#CC[N@@H+](C)[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001190270090 745168917 /nfs/dbraw/zinc/16/89/17/745168917.db2.gz NNBLBTHFTOYMDW-MNOVXSKESA-N 1 2 310.291 1.069 20 30 DDEDLO N#Cc1ccc(F)c(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)c1 ZINC001190631313 745279566 /nfs/dbraw/zinc/27/95/66/745279566.db2.gz SYGYCGBRLSBYLR-UHFFFAOYSA-N 1 2 323.393 1.414 20 30 DDEDLO Cc1nc(NCCOCCN(C)C(=O)C#CC(C)(C)C)cc[nH+]1 ZINC001127311716 745515869 /nfs/dbraw/zinc/51/58/69/745515869.db2.gz ZUAOIYMPTZSFTQ-UHFFFAOYSA-N 1 2 318.421 1.721 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)nn1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106310208 745592307 /nfs/dbraw/zinc/59/23/07/745592307.db2.gz PSICBVIKIFHGNN-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@H]1O ZINC001191864676 745621263 /nfs/dbraw/zinc/62/12/63/745621263.db2.gz PAWMEIDXGCKOOE-ZLKJLUDKSA-N 1 2 307.781 1.161 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@H]1O ZINC001191864676 745621265 /nfs/dbraw/zinc/62/12/65/745621265.db2.gz PAWMEIDXGCKOOE-ZLKJLUDKSA-N 1 2 307.781 1.161 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001192119988 745695192 /nfs/dbraw/zinc/69/51/92/745695192.db2.gz SAPVUNGQAWVAHM-DGZVSSRSSA-N 1 2 317.364 1.325 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001192119988 745695193 /nfs/dbraw/zinc/69/51/93/745695193.db2.gz SAPVUNGQAWVAHM-DGZVSSRSSA-N 1 2 317.364 1.325 20 30 DDEDLO COC(=O)[C@@H](COC1CCC1)[N@H+](C)CCCSCC#N ZINC001192234484 745733797 /nfs/dbraw/zinc/73/37/97/745733797.db2.gz QQUASRWMEDTRCZ-CYBMUJFWSA-N 1 2 300.424 1.676 20 30 DDEDLO COC(=O)[C@@H](COC1CCC1)[N@@H+](C)CCCSCC#N ZINC001192234484 745733802 /nfs/dbraw/zinc/73/38/02/745733802.db2.gz QQUASRWMEDTRCZ-CYBMUJFWSA-N 1 2 300.424 1.676 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@H]1O ZINC001193259254 746034531 /nfs/dbraw/zinc/03/45/31/746034531.db2.gz YOWUERBBVHVCPI-ZIAGYGMSSA-N 1 2 323.824 1.522 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@H]1O ZINC001193259254 746034535 /nfs/dbraw/zinc/03/45/35/746034535.db2.gz YOWUERBBVHVCPI-ZIAGYGMSSA-N 1 2 323.824 1.522 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001193400053 746091832 /nfs/dbraw/zinc/09/18/32/746091832.db2.gz HLYVKVVJRMLYAD-ZIAGYGMSSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001193400053 746091838 /nfs/dbraw/zinc/09/18/38/746091838.db2.gz HLYVKVVJRMLYAD-ZIAGYGMSSA-N 1 2 309.435 1.469 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)C[C@@H]2OCCc3ccsc32)C1 ZINC001031279816 746125926 /nfs/dbraw/zinc/12/59/26/746125926.db2.gz OJTSHBGXWWVBMQ-AWEZNQCLSA-N 1 2 304.415 1.576 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C2CCC(O)CC2)C1 ZINC001007227062 752063821 /nfs/dbraw/zinc/06/38/21/752063821.db2.gz MLFHNODAEKAGMO-ROKHWSDSSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C2CCC(O)CC2)C1 ZINC001007227062 752063826 /nfs/dbraw/zinc/06/38/26/752063826.db2.gz MLFHNODAEKAGMO-ROKHWSDSSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2cccc(NC(C)=O)c2)C1 ZINC001031289102 746139997 /nfs/dbraw/zinc/13/99/97/746139997.db2.gz NUCHIGXNEORDLD-UHFFFAOYSA-N 1 2 301.390 1.564 20 30 DDEDLO N#Cc1cccc(C(=O)N2CCCc3ccc(C[NH3+])nc32)c1O ZINC001193657764 746168847 /nfs/dbraw/zinc/16/88/47/746168847.db2.gz DZHOIDBSJFTWQJ-UHFFFAOYSA-N 1 2 308.341 1.711 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CNC(=O)CCC)CC1 ZINC001195100246 746528907 /nfs/dbraw/zinc/52/89/07/746528907.db2.gz FRCDRPZCYQHLBU-UHFFFAOYSA-N 1 2 301.818 1.190 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CNC(=O)CCC)CC1 ZINC001195100246 746528911 /nfs/dbraw/zinc/52/89/11/746528911.db2.gz FRCDRPZCYQHLBU-UHFFFAOYSA-N 1 2 301.818 1.190 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1O ZINC001195568074 746656090 /nfs/dbraw/zinc/65/60/90/746656090.db2.gz WLNGKCSAHZXLKH-BPLDGKMQSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1O ZINC001195568074 746656095 /nfs/dbraw/zinc/65/60/95/746656095.db2.gz WLNGKCSAHZXLKH-BPLDGKMQSA-N 1 2 307.394 1.247 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195753900 746686309 /nfs/dbraw/zinc/68/63/09/746686309.db2.gz FFMSLZCYUUTYJL-UHFFFAOYSA-N 1 2 321.465 1.485 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195753900 746686313 /nfs/dbraw/zinc/68/63/13/746686313.db2.gz FFMSLZCYUUTYJL-UHFFFAOYSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(C)CCCC2)CC1 ZINC001196681713 746921627 /nfs/dbraw/zinc/92/16/27/746921627.db2.gz ZEFVMOPAXIFYKI-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(C)CCCC2)CC1 ZINC001196681713 746921631 /nfs/dbraw/zinc/92/16/31/746921631.db2.gz ZEFVMOPAXIFYKI-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccc[nH]3)C2)c(F)c1 ZINC001031544137 746995502 /nfs/dbraw/zinc/99/55/02/746995502.db2.gz XZKOCJFXMIGZCL-UHFFFAOYSA-N 1 2 312.348 1.887 20 30 DDEDLO CCCCCC[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001197092060 747047234 /nfs/dbraw/zinc/04/72/34/747047234.db2.gz ZCYMBPQWNBSFOS-GVDBMIGSSA-N 1 2 324.465 1.404 20 30 DDEDLO CCCCCC[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001197092060 747047240 /nfs/dbraw/zinc/04/72/40/747047240.db2.gz ZCYMBPQWNBSFOS-GVDBMIGSSA-N 1 2 324.465 1.404 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@](C)(C=C)CC)CC1 ZINC001197062588 747051844 /nfs/dbraw/zinc/05/18/44/747051844.db2.gz UKEDMJVWMSGCBO-QGZVFWFLSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@](C)(C=C)CC)CC1 ZINC001197062588 747051852 /nfs/dbraw/zinc/05/18/52/747051852.db2.gz UKEDMJVWMSGCBO-QGZVFWFLSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+]([C@@H]3CCNC3=O)CC2)CCC1 ZINC001197077487 747056408 /nfs/dbraw/zinc/05/64/08/747056408.db2.gz TZKYVOAZRHLBBJ-CQSZACIVSA-N 1 2 305.422 1.156 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+]([C@@H]3CCNC3=O)CC2)CCC1 ZINC001197077487 747056415 /nfs/dbraw/zinc/05/64/15/747056415.db2.gz TZKYVOAZRHLBBJ-CQSZACIVSA-N 1 2 305.422 1.156 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)CC=C)CC1 ZINC001197300088 747124209 /nfs/dbraw/zinc/12/42/09/747124209.db2.gz FOIWOFTUSKILQF-HNNXBMFYSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C(C)(C)CC=C)CC1 ZINC001197300088 747124216 /nfs/dbraw/zinc/12/42/16/747124216.db2.gz FOIWOFTUSKILQF-HNNXBMFYSA-N 1 2 319.449 1.261 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CC[C@@H](C)CC)CC1 ZINC001197519823 747182760 /nfs/dbraw/zinc/18/27/60/747182760.db2.gz RWMBQAABVHYFEE-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CC[C@@H](C)CC)CC1 ZINC001197519823 747182765 /nfs/dbraw/zinc/18/27/65/747182765.db2.gz RWMBQAABVHYFEE-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001031687119 747380541 /nfs/dbraw/zinc/38/05/41/747380541.db2.gz MDOMRUPNOXPXMV-WMZOPIPTSA-N 1 2 311.385 1.143 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccn3ccnc23)C1 ZINC001015837391 747495364 /nfs/dbraw/zinc/49/53/64/747495364.db2.gz ZYIPIVYIXNJTKR-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccn3ccnc23)C1 ZINC001015837391 747495369 /nfs/dbraw/zinc/49/53/69/747495369.db2.gz ZYIPIVYIXNJTKR-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC000994826709 747587484 /nfs/dbraw/zinc/58/74/84/747587484.db2.gz UGOHIMXGMNKKIG-CHWSQXEVSA-N 1 2 316.405 1.443 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC000994826709 747587490 /nfs/dbraw/zinc/58/74/90/747587490.db2.gz UGOHIMXGMNKKIG-CHWSQXEVSA-N 1 2 316.405 1.443 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)n[nH]1 ZINC001032937719 747700218 /nfs/dbraw/zinc/70/02/18/747700218.db2.gz XMEJTNIIXKVALW-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n[nH]1 ZINC001032937719 747700224 /nfs/dbraw/zinc/70/02/24/747700224.db2.gz XMEJTNIIXKVALW-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001033012663 747906500 /nfs/dbraw/zinc/90/65/00/747906500.db2.gz XYBYAKXSMFBLSI-TZMCWYRMSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001033012663 747906504 /nfs/dbraw/zinc/90/65/04/747906504.db2.gz XYBYAKXSMFBLSI-TZMCWYRMSA-N 1 2 324.388 1.584 20 30 DDEDLO C=C1CCC(C(=O)NCC2CN(C(=O)Cc3c[nH+]c[nH]3)C2)CC1 ZINC001004118694 747993898 /nfs/dbraw/zinc/99/38/98/747993898.db2.gz IPSLCECVHUCSSR-UHFFFAOYSA-N 1 2 316.405 1.273 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ncoc1[C@@H]1CCCO1 ZINC001038592789 748003919 /nfs/dbraw/zinc/00/39/19/748003919.db2.gz NPARPJAWNFOJPQ-STQMWFEESA-N 1 2 303.362 1.354 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ncoc1[C@@H]1CCCO1 ZINC001038592789 748003925 /nfs/dbraw/zinc/00/39/25/748003925.db2.gz NPARPJAWNFOJPQ-STQMWFEESA-N 1 2 303.362 1.354 20 30 DDEDLO C[C@@H]1CO[C@H](C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)C1 ZINC001031858753 748039364 /nfs/dbraw/zinc/03/93/64/748039364.db2.gz CNYZYMYCTFMZCH-GUYCJALGSA-N 1 2 313.401 1.531 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@@H](C[NH2+]Cc2nc(C)no2)C1 ZINC001200074765 748111654 /nfs/dbraw/zinc/11/16/54/748111654.db2.gz QWXGGDCWRBHLQA-ZDUSSCGKSA-N 1 2 308.382 1.051 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001090084128 748145029 /nfs/dbraw/zinc/14/50/29/748145029.db2.gz TYXKRTAOKUJTBA-HZPDHXFCSA-N 1 2 301.390 1.266 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001090084128 748145033 /nfs/dbraw/zinc/14/50/33/748145033.db2.gz TYXKRTAOKUJTBA-HZPDHXFCSA-N 1 2 301.390 1.266 20 30 DDEDLO CC#CC[NH2+]C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200554871 748277234 /nfs/dbraw/zinc/27/72/34/748277234.db2.gz MMNSZRXAMVWLJW-QWRGUYRKSA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[NH2+]C[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCCO1 ZINC001200554871 748277242 /nfs/dbraw/zinc/27/72/42/748277242.db2.gz MMNSZRXAMVWLJW-QWRGUYRKSA-N 1 2 310.291 1.117 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3n[nH]cc3F)C2)s1 ZINC001031954948 748291135 /nfs/dbraw/zinc/29/11/35/748291135.db2.gz LDXHSWUCZZTWLJ-UHFFFAOYSA-N 1 2 319.365 1.344 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2C[NH+]([C@@H](C)c3cnccn3)C2)c1 ZINC001031963391 748311692 /nfs/dbraw/zinc/31/16/92/748311692.db2.gz MNOUYQBJAVVWIZ-ZDUSSCGKSA-N 1 2 321.384 1.276 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)[C@H]2CCCOC2)CC1 ZINC001004371083 748374049 /nfs/dbraw/zinc/37/40/49/748374049.db2.gz IYJBHSHITHSXHU-JKSUJKDBSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)[C@H]2CCCOC2)CC1 ZINC001004371083 748374054 /nfs/dbraw/zinc/37/40/54/748374054.db2.gz IYJBHSHITHSXHU-JKSUJKDBSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2cccc(=O)[nH]2)CC1 ZINC001004391035 748389178 /nfs/dbraw/zinc/38/91/78/748389178.db2.gz IEBTXRGOLNBGPA-HNNXBMFYSA-N 1 2 314.389 1.627 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2cccc(=O)[nH]2)CC1 ZINC001004391035 748389182 /nfs/dbraw/zinc/38/91/82/748389182.db2.gz IEBTXRGOLNBGPA-HNNXBMFYSA-N 1 2 314.389 1.627 20 30 DDEDLO CCc1n[nH]cc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004479908 748467910 /nfs/dbraw/zinc/46/79/10/748467910.db2.gz FZMPBIQWEYDTDH-INIZCTEOSA-N 1 2 315.421 1.812 20 30 DDEDLO CCc1n[nH]cc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004479908 748467917 /nfs/dbraw/zinc/46/79/17/748467917.db2.gz FZMPBIQWEYDTDH-INIZCTEOSA-N 1 2 315.421 1.812 20 30 DDEDLO CCN(CCNc1ccncc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001106987757 748489274 /nfs/dbraw/zinc/48/92/74/748489274.db2.gz XIYRLNIKRRYFLY-ZIAGYGMSSA-N 1 2 324.388 1.162 20 30 DDEDLO CCN(CCNc1ccncc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001106987757 748489277 /nfs/dbraw/zinc/48/92/77/748489277.db2.gz XIYRLNIKRRYFLY-ZIAGYGMSSA-N 1 2 324.388 1.162 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2n[nH]cc2F)CC1 ZINC001004531870 748531225 /nfs/dbraw/zinc/53/12/25/748531225.db2.gz RXLARBJRZTYUQT-CYBMUJFWSA-N 1 2 305.357 1.389 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2n[nH]cc2F)CC1 ZINC001004531870 748531232 /nfs/dbraw/zinc/53/12/32/748531232.db2.gz RXLARBJRZTYUQT-CYBMUJFWSA-N 1 2 305.357 1.389 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnc3n2CCC3)C1 ZINC001033157431 748669759 /nfs/dbraw/zinc/66/97/59/748669759.db2.gz MDSKEGOFVPIIMT-GFCCVEGCSA-N 1 2 308.813 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc3n2CCC3)C1 ZINC001033157431 748669762 /nfs/dbraw/zinc/66/97/62/748669762.db2.gz MDSKEGOFVPIIMT-GFCCVEGCSA-N 1 2 308.813 1.728 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+]([C@@H](C)c3cnccn3)C2)cn1 ZINC001032137959 748730810 /nfs/dbraw/zinc/73/08/10/748730810.db2.gz FZWBFZXXCXRIDS-ZDUSSCGKSA-N 1 2 321.384 1.276 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+]Cc1nccc(C)n1)OCC ZINC001128527863 748734284 /nfs/dbraw/zinc/73/42/84/748734284.db2.gz DMSDMLJWCPPZEO-AWEZNQCLSA-N 1 2 306.410 1.362 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccn(C(C)C)n2)C1 ZINC001108086176 748754628 /nfs/dbraw/zinc/75/46/28/748754628.db2.gz HSRJAYLNJZKXKF-QGZVFWFLSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccn(C(C)C)n2)C1 ZINC001108086176 748754630 /nfs/dbraw/zinc/75/46/30/748754630.db2.gz HSRJAYLNJZKXKF-QGZVFWFLSA-N 1 2 320.437 1.400 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCc1cnn2c1C[N@H+](CC)CC2 ZINC001128565548 748924104 /nfs/dbraw/zinc/92/41/04/748924104.db2.gz NTLZDDURDXEPDI-UHFFFAOYSA-N 1 2 322.412 1.559 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCc1cnn2c1C[N@@H+](CC)CC2 ZINC001128565548 748924110 /nfs/dbraw/zinc/92/41/10/748924110.db2.gz NTLZDDURDXEPDI-UHFFFAOYSA-N 1 2 322.412 1.559 20 30 DDEDLO C=CCCC(=O)NC/C=C\CNc1ncnc2c1C[N@H+](C)CC2 ZINC001107077056 748944391 /nfs/dbraw/zinc/94/43/91/748944391.db2.gz OXCCXNRFLRYFTE-WAYWQWQTSA-N 1 2 315.421 1.515 20 30 DDEDLO C=CCCC(=O)NC/C=C\CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001107077056 748944397 /nfs/dbraw/zinc/94/43/97/748944397.db2.gz OXCCXNRFLRYFTE-WAYWQWQTSA-N 1 2 315.421 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc(C(N)=O)cn2C)C1 ZINC001033234126 749008316 /nfs/dbraw/zinc/00/83/16/749008316.db2.gz AMUYCHHSWVTULG-GFCCVEGCSA-N 1 2 324.812 1.023 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(C(N)=O)cn2C)C1 ZINC001033234126 749008322 /nfs/dbraw/zinc/00/83/22/749008322.db2.gz AMUYCHHSWVTULG-GFCCVEGCSA-N 1 2 324.812 1.023 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC001108315280 761911037 /nfs/dbraw/zinc/91/10/37/761911037.db2.gz WDMFENNHEVYGJM-QGZVFWFLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC001108315280 761911038 /nfs/dbraw/zinc/91/10/38/761911038.db2.gz WDMFENNHEVYGJM-QGZVFWFLSA-N 1 2 303.406 1.899 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(C3CC3)CCC2)C1 ZINC001108100958 749112287 /nfs/dbraw/zinc/11/22/87/749112287.db2.gz BJASAEBPXTVVMN-KRWDZBQOSA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(C3CC3)CCC2)C1 ZINC001108100958 749112292 /nfs/dbraw/zinc/11/22/92/749112292.db2.gz BJASAEBPXTVVMN-KRWDZBQOSA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)c2ccccc2OC)nn1 ZINC000864649035 749128292 /nfs/dbraw/zinc/12/82/92/749128292.db2.gz XQDCQVNNAPZLGT-HNNXBMFYSA-N 1 2 300.362 1.133 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CCSC)nn2)C1 ZINC001107123101 749163763 /nfs/dbraw/zinc/16/37/63/749163763.db2.gz VEXFEHAIHIIPPB-UHFFFAOYSA-N 1 2 309.439 1.080 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C3CC3)on2)C1 ZINC001108104009 749181722 /nfs/dbraw/zinc/18/17/22/749181722.db2.gz WBPDUKRTNMZOFO-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C3CC3)on2)C1 ZINC001108104009 749181727 /nfs/dbraw/zinc/18/17/27/749181727.db2.gz WBPDUKRTNMZOFO-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(F)nc2)[C@H](O)C1 ZINC001090137130 749269084 /nfs/dbraw/zinc/26/90/84/749269084.db2.gz LOGWDXKXZCDHNH-VXGBXAGGSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(F)nc2)[C@H](O)C1 ZINC001090137130 749269092 /nfs/dbraw/zinc/26/90/92/749269092.db2.gz LOGWDXKXZCDHNH-VXGBXAGGSA-N 1 2 313.760 1.138 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)C3CCC3)nn2)C1 ZINC001107182736 749499780 /nfs/dbraw/zinc/49/97/80/749499780.db2.gz ZCMICLCBIBPPMC-LBPRGKRZSA-N 1 2 303.410 1.373 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C3(F)CCCC3)nn2)C1 ZINC001107184992 749521915 /nfs/dbraw/zinc/52/19/15/749521915.db2.gz XYGBKVHNJTXDKE-UHFFFAOYSA-N 1 2 319.384 1.057 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001033556075 749534712 /nfs/dbraw/zinc/53/47/12/749534712.db2.gz WRHKORKZMSDIHD-OAHLLOKOSA-N 1 2 311.389 1.804 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001033556075 749534719 /nfs/dbraw/zinc/53/47/19/749534719.db2.gz WRHKORKZMSDIHD-OAHLLOKOSA-N 1 2 311.389 1.804 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@]3(C)CCC[C@H]3C)nn2)C1 ZINC001107205593 749589994 /nfs/dbraw/zinc/58/99/94/749589994.db2.gz ALLJWIPUVKNNLR-CXAGYDPISA-N 1 2 317.437 1.763 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1N ZINC001039364101 761966454 /nfs/dbraw/zinc/96/64/54/761966454.db2.gz DMGJXEAIBAEHEC-NEPJUHHUSA-N 1 2 323.828 1.434 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1N ZINC001039364101 761966461 /nfs/dbraw/zinc/96/64/61/761966461.db2.gz DMGJXEAIBAEHEC-NEPJUHHUSA-N 1 2 323.828 1.434 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001005308364 749786482 /nfs/dbraw/zinc/78/64/82/749786482.db2.gz SKSZHAPBXZXALE-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO Cc1nc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccc(C#N)cc2)c[nH]1 ZINC001032317260 749933091 /nfs/dbraw/zinc/93/30/91/749933091.db2.gz JFOQERYVFLLGMD-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1nc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccc(C#N)cc2)c[nH]1 ZINC001032317260 749933094 /nfs/dbraw/zinc/93/30/94/749933094.db2.gz JFOQERYVFLLGMD-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3conc3C)C[C@H]2O)CC1 ZINC001077621746 750155973 /nfs/dbraw/zinc/15/59/73/750155973.db2.gz YMDOGNYZVIISRG-HZPDHXFCSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3conc3C)C[C@H]2O)CC1 ZINC001077621746 750155979 /nfs/dbraw/zinc/15/59/79/750155979.db2.gz YMDOGNYZVIISRG-HZPDHXFCSA-N 1 2 319.405 1.391 20 30 DDEDLO N#Cc1ccc(NC/C=C/CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)nc1 ZINC001107573192 750162697 /nfs/dbraw/zinc/16/26/97/750162697.db2.gz IIZLWSHHKHFHBW-GEYXIOIISA-N 1 2 322.372 1.564 20 30 DDEDLO N#Cc1ccc(NC/C=C/CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)nc1 ZINC001107573192 750162701 /nfs/dbraw/zinc/16/27/01/750162701.db2.gz IIZLWSHHKHFHBW-GEYXIOIISA-N 1 2 322.372 1.564 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCCS2(=O)=O)C1 ZINC001034045257 750310256 /nfs/dbraw/zinc/31/02/56/750310256.db2.gz JAPBFDDLUPNPJS-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCCS2(=O)=O)C1 ZINC001034045257 750310261 /nfs/dbraw/zinc/31/02/61/750310261.db2.gz JAPBFDDLUPNPJS-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC001108112721 750328415 /nfs/dbraw/zinc/32/84/15/750328415.db2.gz ZDMQJYBWJMERIT-KRWDZBQOSA-N 1 2 318.421 1.206 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC001108112721 750328419 /nfs/dbraw/zinc/32/84/19/750328419.db2.gz ZDMQJYBWJMERIT-KRWDZBQOSA-N 1 2 318.421 1.206 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001034466662 750447950 /nfs/dbraw/zinc/44/79/50/750447950.db2.gz CFIJRXJTKIHLLF-KBPBESRZSA-N 1 2 314.433 1.752 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001034466662 750447955 /nfs/dbraw/zinc/44/79/55/750447955.db2.gz CFIJRXJTKIHLLF-KBPBESRZSA-N 1 2 314.433 1.752 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@@H]1C ZINC001090221902 750540015 /nfs/dbraw/zinc/54/00/15/750540015.db2.gz JZNWOLCVLZINSN-JSGCOSHPSA-N 1 2 304.394 1.779 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(C(F)(F)F)CCOCC1 ZINC001032409791 750623972 /nfs/dbraw/zinc/62/39/72/750623972.db2.gz BCHCLXTVPBQWJO-RYUDHWBXSA-N 1 2 316.323 1.264 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(C(F)(F)F)CCOCC1 ZINC001032409791 750623976 /nfs/dbraw/zinc/62/39/76/750623976.db2.gz BCHCLXTVPBQWJO-RYUDHWBXSA-N 1 2 316.323 1.264 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CC[C@H](NCC#N)CC2)c[nH+]1 ZINC001034670357 750646036 /nfs/dbraw/zinc/64/60/36/750646036.db2.gz PLYZNCKBIVTJSM-HDJSIYSDSA-N 1 2 303.410 1.467 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](CC)C(N)=O ZINC001032422773 750789817 /nfs/dbraw/zinc/78/98/17/750789817.db2.gz LESMQGAXQGTNMG-SDDRHHMPSA-N 1 2 313.829 1.314 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](CC)C(N)=O ZINC001032422773 750789819 /nfs/dbraw/zinc/78/98/19/750789819.db2.gz LESMQGAXQGTNMG-SDDRHHMPSA-N 1 2 313.829 1.314 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(Cl)cnn2C)C1 ZINC001107947077 750792840 /nfs/dbraw/zinc/79/28/40/750792840.db2.gz CAYZYBFGJZREJQ-CQSZACIVSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(Cl)cnn2C)C1 ZINC001107947077 750792842 /nfs/dbraw/zinc/79/28/42/750792842.db2.gz CAYZYBFGJZREJQ-CQSZACIVSA-N 1 2 312.801 1.080 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1OCCO2 ZINC001032432408 750822088 /nfs/dbraw/zinc/82/20/88/750822088.db2.gz SNBSQJYWMYWWMS-KBPBESRZSA-N 1 2 312.369 1.380 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1OCCO2 ZINC001032432408 750822094 /nfs/dbraw/zinc/82/20/94/750822094.db2.gz SNBSQJYWMYWWMS-KBPBESRZSA-N 1 2 312.369 1.380 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2cc(OC)ccc21 ZINC001032435707 750846332 /nfs/dbraw/zinc/84/63/32/750846332.db2.gz FYFQNJBZOGGHFQ-MPGHIAIKSA-N 1 2 310.397 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2cc(OC)ccc21 ZINC001032435707 750846343 /nfs/dbraw/zinc/84/63/43/750846343.db2.gz FYFQNJBZOGGHFQ-MPGHIAIKSA-N 1 2 310.397 1.643 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccn(CC)c2C)C1 ZINC001108154674 750849479 /nfs/dbraw/zinc/84/94/79/750849479.db2.gz DDJYXFOKEKBWDH-KRWDZBQOSA-N 1 2 305.422 1.823 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccn(CC)c2C)C1 ZINC001108154674 750849484 /nfs/dbraw/zinc/84/94/84/750849484.db2.gz DDJYXFOKEKBWDH-KRWDZBQOSA-N 1 2 305.422 1.823 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)C(F)=C(C)C)CC2 ZINC001127970878 750909679 /nfs/dbraw/zinc/90/96/79/750909679.db2.gz HJKPKLRJTSQVIB-GFCCVEGCSA-N 1 2 319.384 1.085 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114681634 750981251 /nfs/dbraw/zinc/98/12/51/750981251.db2.gz WPKCZPZSECQIJJ-ZZVYKPCYSA-N 1 2 317.437 1.823 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114681634 750981257 /nfs/dbraw/zinc/98/12/57/750981257.db2.gz WPKCZPZSECQIJJ-ZZVYKPCYSA-N 1 2 317.437 1.823 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114729651 751034719 /nfs/dbraw/zinc/03/47/19/751034719.db2.gz WGNUKUWAUJVQKW-FJZAXULXSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114729651 751034732 /nfs/dbraw/zinc/03/47/32/751034732.db2.gz WGNUKUWAUJVQKW-FJZAXULXSA-N 1 2 318.421 1.781 20 30 DDEDLO CCc1nnc(C[NH2+]C[C@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC001034935770 751048128 /nfs/dbraw/zinc/04/81/28/751048128.db2.gz XUAOFYMKRUNCLV-CYBMUJFWSA-N 1 2 302.378 1.126 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114759249 751055608 /nfs/dbraw/zinc/05/56/08/751055608.db2.gz FOWNPCTWIHCKME-MUYACECFSA-N 1 2 304.394 1.842 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114759249 751055614 /nfs/dbraw/zinc/05/56/14/751055614.db2.gz FOWNPCTWIHCKME-MUYACECFSA-N 1 2 304.394 1.842 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C(F)F)CC2(CCOCC2)C1 ZINC001089917130 751071990 /nfs/dbraw/zinc/07/19/90/751071990.db2.gz TVFNKVXCFHWLLS-NSHDSACASA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C(F)F)CC2(CCOCC2)C1 ZINC001089917130 751071995 /nfs/dbraw/zinc/07/19/95/751071995.db2.gz TVFNKVXCFHWLLS-NSHDSACASA-N 1 2 322.783 1.991 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114792705 751084943 /nfs/dbraw/zinc/08/49/43/751084943.db2.gz WACFXFBPRFCCKD-PZNPJNSTSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114792705 751084949 /nfs/dbraw/zinc/08/49/49/751084949.db2.gz WACFXFBPRFCCKD-PZNPJNSTSA-N 1 2 318.421 1.781 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1ncc2cc(C)cnc21 ZINC001032523265 751185691 /nfs/dbraw/zinc/18/56/91/751185691.db2.gz QADZCBAXCLHJAN-HOTGVXAUSA-N 1 2 323.400 1.048 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1ncc2cc(C)cnc21 ZINC001032523265 751185693 /nfs/dbraw/zinc/18/56/93/751185693.db2.gz QADZCBAXCLHJAN-HOTGVXAUSA-N 1 2 323.400 1.048 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)nc2C)C1 ZINC001108416596 762110914 /nfs/dbraw/zinc/11/09/14/762110914.db2.gz UMYUETVXKYBUON-QGZVFWFLSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)nc2C)C1 ZINC001108416596 762110919 /nfs/dbraw/zinc/11/09/19/762110919.db2.gz UMYUETVXKYBUON-QGZVFWFLSA-N 1 2 303.406 1.705 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccccc1N(C)C(C)=O ZINC001032550079 751275924 /nfs/dbraw/zinc/27/59/24/751275924.db2.gz CKSLPYCSRJOOMA-GJZGRUSLSA-N 1 2 311.385 1.201 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccccc1N(C)C(C)=O ZINC001032550079 751275928 /nfs/dbraw/zinc/27/59/28/751275928.db2.gz CKSLPYCSRJOOMA-GJZGRUSLSA-N 1 2 311.385 1.201 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc2cccnc21 ZINC001032550158 751275939 /nfs/dbraw/zinc/27/59/39/751275939.db2.gz FIHMNDRWBCUHAN-IRXDYDNUSA-N 1 2 305.381 1.696 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc2cccnc21 ZINC001032550158 751275944 /nfs/dbraw/zinc/27/59/44/751275944.db2.gz FIHMNDRWBCUHAN-IRXDYDNUSA-N 1 2 305.381 1.696 20 30 DDEDLO Cn1cncc1C(=O)N[C@@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001007807922 752366959 /nfs/dbraw/zinc/36/69/59/752366959.db2.gz BOPWMWUZZDGOMV-MRXNPFEDSA-N 1 2 323.400 1.686 20 30 DDEDLO Cn1cncc1C(=O)N[C@@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001007807922 752366968 /nfs/dbraw/zinc/36/69/68/752366968.db2.gz BOPWMWUZZDGOMV-MRXNPFEDSA-N 1 2 323.400 1.686 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnoc1-c1ccccc1 ZINC001032709974 752796564 /nfs/dbraw/zinc/79/65/64/752796564.db2.gz RHROTZLRIXFNSR-GJZGRUSLSA-N 1 2 307.353 1.874 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnoc1-c1ccccc1 ZINC001032709974 752796567 /nfs/dbraw/zinc/79/65/67/752796567.db2.gz RHROTZLRIXFNSR-GJZGRUSLSA-N 1 2 307.353 1.874 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001009651714 753297600 /nfs/dbraw/zinc/29/76/00/753297600.db2.gz SZYJGTXJDUSWCJ-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001009651714 753297607 /nfs/dbraw/zinc/29/76/07/753297607.db2.gz SZYJGTXJDUSWCJ-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO O=C1c2ccccc2C(=O)C(N=c2c[n+](O)cc[nH]2)=C1Cl ZINC001169046655 762204333 /nfs/dbraw/zinc/20/43/33/762204333.db2.gz ZUKODRUFNAJAIB-UHFFFAOYSA-N 1 2 301.689 1.657 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](OCC)C(C)C)C1 ZINC001107993174 753354470 /nfs/dbraw/zinc/35/44/70/753354470.db2.gz JOTFMXQWVSLYQO-AEFFLSMTSA-N 1 2 324.465 1.668 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](OCC)C(C)C)C1 ZINC001107993174 753354476 /nfs/dbraw/zinc/35/44/76/753354476.db2.gz JOTFMXQWVSLYQO-AEFFLSMTSA-N 1 2 324.465 1.668 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ccncc1C#N ZINC001039767864 762208406 /nfs/dbraw/zinc/20/84/06/762208406.db2.gz RNGSMTRQAKNPMR-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049479819 753383414 /nfs/dbraw/zinc/38/34/14/753383414.db2.gz CBDPIKDJOSUOAD-UTUOFQBUSA-N 1 2 306.303 1.975 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049479819 753383416 /nfs/dbraw/zinc/38/34/16/753383416.db2.gz CBDPIKDJOSUOAD-UTUOFQBUSA-N 1 2 306.303 1.975 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(-c3ccccc3)nc2)[C@@H](O)C1 ZINC001083884691 753555199 /nfs/dbraw/zinc/55/51/99/753555199.db2.gz JLWILDWBWYZEMJ-MSOLQXFVSA-N 1 2 321.380 1.157 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(-c3ccccc3)nc2)[C@@H](O)C1 ZINC001083884691 753555206 /nfs/dbraw/zinc/55/52/06/753555206.db2.gz JLWILDWBWYZEMJ-MSOLQXFVSA-N 1 2 321.380 1.157 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)cn1 ZINC001010119377 753623414 /nfs/dbraw/zinc/62/34/14/753623414.db2.gz UCSARJHHOOOYER-GFCCVEGCSA-N 1 2 315.402 1.358 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)cn1 ZINC001010119377 753623416 /nfs/dbraw/zinc/62/34/16/753623416.db2.gz UCSARJHHOOOYER-GFCCVEGCSA-N 1 2 315.402 1.358 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001062878144 753792506 /nfs/dbraw/zinc/79/25/06/753792506.db2.gz VXNWPUOYNKGLFF-UHFFFAOYSA-N 1 2 304.394 1.464 20 30 DDEDLO Cc1nc(N2CC[C@H](N(C)C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001062948347 753855275 /nfs/dbraw/zinc/85/52/75/753855275.db2.gz PKWIAAHDVJQOTL-HNNXBMFYSA-N 1 2 324.388 1.196 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001062984837 753909689 /nfs/dbraw/zinc/90/96/89/753909689.db2.gz CQLSVXUZKZQADK-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO Cc1nc(NC[C@@H]2CCC[C@@H]2NC(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001064070532 754482436 /nfs/dbraw/zinc/48/24/36/754482436.db2.gz MBLMYQBWLVIPNY-WFASDCNBSA-N 1 2 324.388 1.995 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C1CCC(OC(=O)c2ccccc2)CC1 ZINC001169292246 762333779 /nfs/dbraw/zinc/33/37/79/762333779.db2.gz GFMZPVHNOLQKBC-AQFXKWCLSA-N 1 2 313.401 1.952 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ccon1 ZINC001079457910 755331924 /nfs/dbraw/zinc/33/19/24/755331924.db2.gz TVKNPBYKBYHMTE-MLGOLLRUSA-N 1 2 310.357 1.797 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ccon1 ZINC001079457910 755331929 /nfs/dbraw/zinc/33/19/29/755331929.db2.gz TVKNPBYKBYHMTE-MLGOLLRUSA-N 1 2 310.357 1.797 20 30 DDEDLO CCN(C(=O)C#CC(C)C)C1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001079724556 755548901 /nfs/dbraw/zinc/54/89/01/755548901.db2.gz RAZHPNJADBQQMP-UHFFFAOYSA-N 1 2 316.405 1.061 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001014102231 755594960 /nfs/dbraw/zinc/59/49/60/755594960.db2.gz JKBVGTMCBRUXCE-OCCSQVGLSA-N 1 2 316.405 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001079991924 755667775 /nfs/dbraw/zinc/66/77/75/755667775.db2.gz VXZCPJOOXRNLHL-OSAQELSMSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001079991924 755667778 /nfs/dbraw/zinc/66/77/78/755667778.db2.gz VXZCPJOOXRNLHL-OSAQELSMSA-N 1 2 322.840 1.635 20 30 DDEDLO C=CCCCC(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080033033 755692093 /nfs/dbraw/zinc/69/20/93/755692093.db2.gz FCQKNRPIHNPERW-UHFFFAOYSA-N 1 2 304.394 1.299 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001080102432 755723412 /nfs/dbraw/zinc/72/34/12/755723412.db2.gz VTESHRYVJLPGFV-LDYMZIIASA-N 1 2 320.784 1.156 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001080102432 755723415 /nfs/dbraw/zinc/72/34/15/755723415.db2.gz VTESHRYVJLPGFV-LDYMZIIASA-N 1 2 320.784 1.156 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001080176251 755751634 /nfs/dbraw/zinc/75/16/34/755751634.db2.gz MEMRGGOXJDPYCR-FMKGYKFTSA-N 1 2 322.840 1.713 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001080176251 755751636 /nfs/dbraw/zinc/75/16/36/755751636.db2.gz MEMRGGOXJDPYCR-FMKGYKFTSA-N 1 2 322.840 1.713 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001080200055 755773880 /nfs/dbraw/zinc/77/38/80/755773880.db2.gz ATHHWEKICBYLAU-KGYLQXTDSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001080200055 755773882 /nfs/dbraw/zinc/77/38/82/755773882.db2.gz ATHHWEKICBYLAU-KGYLQXTDSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001080466144 755928736 /nfs/dbraw/zinc/92/87/36/755928736.db2.gz SAKDQJGLEPMAOQ-KRWDZBQOSA-N 1 2 318.421 1.545 20 30 DDEDLO Cc1ccc(C#N)c(N(C)[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001057038954 762453626 /nfs/dbraw/zinc/45/36/26/762453626.db2.gz YZHBGGDHMOIWLZ-HNNXBMFYSA-N 1 2 324.388 1.265 20 30 DDEDLO CO[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC001081565833 756381046 /nfs/dbraw/zinc/38/10/46/756381046.db2.gz PVLBIZKCCDHGKD-LLGAQUFESA-N 1 2 308.769 1.068 20 30 DDEDLO CO[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC001081565833 756381048 /nfs/dbraw/zinc/38/10/48/756381048.db2.gz PVLBIZKCCDHGKD-LLGAQUFESA-N 1 2 308.769 1.068 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC001015604149 756405731 /nfs/dbraw/zinc/40/57/31/756405731.db2.gz HZYCQUHAFYMFNK-OLZOCXBDSA-N 1 2 313.829 1.329 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC001015604149 756405732 /nfs/dbraw/zinc/40/57/32/756405732.db2.gz HZYCQUHAFYMFNK-OLZOCXBDSA-N 1 2 313.829 1.329 20 30 DDEDLO C=CCC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)[C@H](OC)C1 ZINC001081673012 756423958 /nfs/dbraw/zinc/42/39/58/756423958.db2.gz SSNKXGXULGOTGO-RBSFLKMASA-N 1 2 318.421 1.221 20 30 DDEDLO C=CCC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)[C@H](OC)C1 ZINC001081673012 756423959 /nfs/dbraw/zinc/42/39/59/756423959.db2.gz SSNKXGXULGOTGO-RBSFLKMASA-N 1 2 318.421 1.221 20 30 DDEDLO Cc1nocc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015644496 756433667 /nfs/dbraw/zinc/43/36/67/756433667.db2.gz SDIHLCVBMSRHQI-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1nocc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015644496 756433668 /nfs/dbraw/zinc/43/36/68/756433668.db2.gz SDIHLCVBMSRHQI-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(F)CCCC2)[C@H](O)C1 ZINC001090370351 756434365 /nfs/dbraw/zinc/43/43/65/756434365.db2.gz CDENWJBXAREYEO-VXGBXAGGSA-N 1 2 304.793 1.573 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(F)CCCC2)[C@H](O)C1 ZINC001090370351 756434366 /nfs/dbraw/zinc/43/43/66/756434366.db2.gz CDENWJBXAREYEO-VXGBXAGGSA-N 1 2 304.793 1.573 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1OC ZINC001081798647 756484337 /nfs/dbraw/zinc/48/43/37/756484337.db2.gz KGYHDSFPQGLUKR-BBUPELFUSA-N 1 2 323.824 1.856 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1OC ZINC001081798647 756484340 /nfs/dbraw/zinc/48/43/40/756484340.db2.gz KGYHDSFPQGLUKR-BBUPELFUSA-N 1 2 323.824 1.856 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccnc(OC)c2)C1 ZINC001015714256 756488958 /nfs/dbraw/zinc/48/89/58/756488958.db2.gz ZPUMRZHJSIOBAG-CYBMUJFWSA-N 1 2 309.797 1.576 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccnc(OC)c2)C1 ZINC001015714256 756488961 /nfs/dbraw/zinc/48/89/61/756488961.db2.gz ZPUMRZHJSIOBAG-CYBMUJFWSA-N 1 2 309.797 1.576 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(COC)cs2)[C@H](OC)C1 ZINC001081901148 756525689 /nfs/dbraw/zinc/52/56/89/756525689.db2.gz QFJZGJVUBLAECM-ZIAGYGMSSA-N 1 2 322.430 1.347 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(COC)cs2)[C@H](OC)C1 ZINC001081901148 756525692 /nfs/dbraw/zinc/52/56/92/756525692.db2.gz QFJZGJVUBLAECM-ZIAGYGMSSA-N 1 2 322.430 1.347 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1ncccn1 ZINC001015885748 756619522 /nfs/dbraw/zinc/61/95/22/756619522.db2.gz TUWUPVQIGSPFMN-INIZCTEOSA-N 1 2 306.369 1.332 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1ncccn1 ZINC001015885748 756619525 /nfs/dbraw/zinc/61/95/25/756619525.db2.gz TUWUPVQIGSPFMN-INIZCTEOSA-N 1 2 306.369 1.332 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)cn2)[C@H](OC)C1 ZINC001082137392 756649997 /nfs/dbraw/zinc/64/99/97/756649997.db2.gz TTYTUNRLFOKTCP-IAGOWNOFSA-N 1 2 315.417 1.657 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)cn2)[C@H](OC)C1 ZINC001082137392 756650001 /nfs/dbraw/zinc/65/00/01/756650001.db2.gz TTYTUNRLFOKTCP-IAGOWNOFSA-N 1 2 315.417 1.657 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001082149537 756654424 /nfs/dbraw/zinc/65/44/24/756654424.db2.gz ZHJDGWAUHDXHCC-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)cccc2F)[C@H](OC)C1 ZINC001082342819 756739833 /nfs/dbraw/zinc/73/98/33/756739833.db2.gz HOFFOKJYQVNFJL-ZIAGYGMSSA-N 1 2 308.328 1.417 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(F)cccc2F)[C@H](OC)C1 ZINC001082342819 756739837 /nfs/dbraw/zinc/73/98/37/756739837.db2.gz HOFFOKJYQVNFJL-ZIAGYGMSSA-N 1 2 308.328 1.417 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc(C3CCCC3)no2)[C@H](OC)C1 ZINC001082376342 756759440 /nfs/dbraw/zinc/75/94/40/756759440.db2.gz PKJFPUCVTIQZCB-GDBMZVCRSA-N 1 2 319.405 1.947 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc(C3CCCC3)no2)[C@H](OC)C1 ZINC001082376342 756759443 /nfs/dbraw/zinc/75/94/43/756759443.db2.gz PKJFPUCVTIQZCB-GDBMZVCRSA-N 1 2 319.405 1.947 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@H]2C)n1 ZINC001067137481 756787381 /nfs/dbraw/zinc/78/73/81/756787381.db2.gz IAWAOXUHRFTXCB-RISCZKNCSA-N 1 2 324.388 1.486 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](CC(C)C)OC)C1 ZINC001108192736 756923437 /nfs/dbraw/zinc/92/34/37/756923437.db2.gz RXEKLKRTBWVJNF-RDJZCZTQSA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](CC(C)C)OC)C1 ZINC001108192736 756923440 /nfs/dbraw/zinc/92/34/40/756923440.db2.gz RXEKLKRTBWVJNF-RDJZCZTQSA-N 1 2 310.438 1.278 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001097180232 756953097 /nfs/dbraw/zinc/95/30/97/756953097.db2.gz GCBASLGIUVDYPJ-TXEJJXNPSA-N 1 2 312.377 1.082 20 30 DDEDLO Cc1nonc1C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001016413351 757016683 /nfs/dbraw/zinc/01/66/83/757016683.db2.gz DXWFOXDAIKUQQW-JTQLQIEISA-N 1 2 317.374 1.316 20 30 DDEDLO Cc1nonc1C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001016413351 757016685 /nfs/dbraw/zinc/01/66/85/757016685.db2.gz DXWFOXDAIKUQQW-JTQLQIEISA-N 1 2 317.374 1.316 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097325486 757091471 /nfs/dbraw/zinc/09/14/71/757091471.db2.gz KPRLTVKLGAVEBX-OAHLLOKOSA-N 1 2 316.405 1.158 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)(C)C)on3)[C@H]2C1 ZINC001083140132 757146291 /nfs/dbraw/zinc/14/62/91/757146291.db2.gz OAUZDLKBGIKMRU-UONOGXRCSA-N 1 2 319.405 1.683 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)(C)C)on3)[C@H]2C1 ZINC001083140132 757146295 /nfs/dbraw/zinc/14/62/95/757146295.db2.gz OAUZDLKBGIKMRU-UONOGXRCSA-N 1 2 319.405 1.683 20 30 DDEDLO CO[C@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3ccccc3C#N)C[C@H]21 ZINC001084218179 757418572 /nfs/dbraw/zinc/41/85/72/757418572.db2.gz KKGBWJJDXYVHBU-KBRIMQKVSA-N 1 2 313.401 1.626 20 30 DDEDLO CO[C@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccccc3C#N)C[C@H]21 ZINC001084218179 757418574 /nfs/dbraw/zinc/41/85/74/757418574.db2.gz KKGBWJJDXYVHBU-KBRIMQKVSA-N 1 2 313.401 1.626 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@@H]2CN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001084333916 757494187 /nfs/dbraw/zinc/49/41/87/757494187.db2.gz GWJZUFWDMDCESB-ZIAGYGMSSA-N 1 2 316.430 1.293 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@@H]2CN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001084333916 757494194 /nfs/dbraw/zinc/49/41/94/757494194.db2.gz GWJZUFWDMDCESB-ZIAGYGMSSA-N 1 2 316.430 1.293 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)Nc1ccc(C#N)nc1 ZINC001098294094 757870453 /nfs/dbraw/zinc/87/04/53/757870453.db2.gz IMAXLWYNVNRWGC-NILFDRSVSA-N 1 2 324.388 1.407 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCN(C)c2ccccc21 ZINC001017627928 758097490 /nfs/dbraw/zinc/09/74/90/758097490.db2.gz CHMDJUDUOJEWPY-SOLBZPMBSA-N 1 2 323.440 1.919 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCN(C)c2ccccc21 ZINC001017627928 758097503 /nfs/dbraw/zinc/09/75/03/758097503.db2.gz CHMDJUDUOJEWPY-SOLBZPMBSA-N 1 2 323.440 1.919 20 30 DDEDLO N#CCN1CCC[C@@H]([C@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001053045826 758106425 /nfs/dbraw/zinc/10/64/25/758106425.db2.gz DNKMYZNPSQFRNV-CABCVRRESA-N 1 2 315.421 1.426 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099857809 758112830 /nfs/dbraw/zinc/11/28/30/758112830.db2.gz BZYXHNHEFLWYBU-ZBFHGGJFSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099857809 758112834 /nfs/dbraw/zinc/11/28/34/758112834.db2.gz BZYXHNHEFLWYBU-ZBFHGGJFSA-N 1 2 321.421 1.699 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001053132488 758190575 /nfs/dbraw/zinc/19/05/75/758190575.db2.gz MHRUABNCTHKZGC-CHWSQXEVSA-N 1 2 318.421 1.375 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1cnns1)CCO2 ZINC001053173076 758229440 /nfs/dbraw/zinc/22/94/40/758229440.db2.gz IAGFEXHTXSIFMJ-UHFFFAOYSA-N 1 2 308.407 1.031 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001053267643 758321997 /nfs/dbraw/zinc/32/19/97/758321997.db2.gz LOFJOKJGOVENLF-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO Cc1nc(N2CC[C@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001065229533 758382486 /nfs/dbraw/zinc/38/24/86/758382486.db2.gz XQWMIDLDGLYEAG-INIZCTEOSA-N 1 2 310.361 1.384 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@]1(C)CCO[C@@H]1C)CCO2 ZINC001053356792 758399100 /nfs/dbraw/zinc/39/91/00/758399100.db2.gz PEVFQEXSQFUVGI-GDBMZVCRSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1C[C@H]1c1ccco1)CCO2 ZINC001053441137 758461937 /nfs/dbraw/zinc/46/19/37/758461937.db2.gz MDWLZLVQKAEENB-HUUCEWRRSA-N 1 2 316.401 1.872 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccncc1Cl)CCO2 ZINC001053445431 758466673 /nfs/dbraw/zinc/46/66/73/758466673.db2.gz JVWVOULGEZFNTF-UHFFFAOYSA-N 1 2 321.808 1.838 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001053503303 758508877 /nfs/dbraw/zinc/50/88/77/758508877.db2.gz JTCNWVCOGCCFEA-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1nccn1CC)O2 ZINC001053595132 758615260 /nfs/dbraw/zinc/61/52/60/758615260.db2.gz ZXANZMGRNAWCHO-AWEZNQCLSA-N 1 2 318.421 1.442 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(OC)ns1)O2 ZINC001053603617 758623737 /nfs/dbraw/zinc/62/37/37/758623737.db2.gz SDFZONPBGXBIHO-LLVKDONJSA-N 1 2 323.418 1.301 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(NC(C)=O)c1 ZINC001018262759 758678000 /nfs/dbraw/zinc/67/80/00/758678000.db2.gz XGZBZOOSJYJIIN-CALCHBBNSA-N 1 2 311.385 1.567 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(NC(C)=O)c1 ZINC001018262759 758678003 /nfs/dbraw/zinc/67/80/03/758678003.db2.gz XGZBZOOSJYJIIN-CALCHBBNSA-N 1 2 311.385 1.567 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnoc1CC)O2 ZINC001053670657 758682481 /nfs/dbraw/zinc/68/24/81/758682481.db2.gz TVJOXJPUJNLETM-CYBMUJFWSA-N 1 2 319.405 1.776 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1oncc1C)O2 ZINC001053682523 758691947 /nfs/dbraw/zinc/69/19/47/758691947.db2.gz HVIBDPVSPKXVKI-CYBMUJFWSA-N 1 2 305.378 1.522 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1sc(C)nc1C)O2 ZINC001053696379 758705678 /nfs/dbraw/zinc/70/56/78/758705678.db2.gz HQHRCHXMJIDYNR-CYBMUJFWSA-N 1 2 321.446 1.909 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(Cl)c[nH]1)CO2 ZINC001053732429 758748040 /nfs/dbraw/zinc/74/80/40/758748040.db2.gz UMIKZODQXYDKQF-LBPRGKRZSA-N 1 2 307.781 1.265 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccncc1CC)CO2 ZINC001053854135 758885394 /nfs/dbraw/zinc/88/53/94/758885394.db2.gz TWRNZMSUEQHUDZ-OAHLLOKOSA-N 1 2 313.401 1.240 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H]1CC(C)(C)CO1)CO2 ZINC001053869789 758902847 /nfs/dbraw/zinc/90/28/47/758902847.db2.gz SOCPVKMFQVVZIS-LSDHHAIUSA-N 1 2 320.433 1.174 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccoc1C1CC1)CO2 ZINC001053907188 758940171 /nfs/dbraw/zinc/94/01/71/758940171.db2.gz PSZRLYHWDYGLPH-CQSZACIVSA-N 1 2 314.385 1.753 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@H]1c1ccco1)CO2 ZINC001053933995 758969286 /nfs/dbraw/zinc/96/92/86/758969286.db2.gz CTEBBYXEXIHCNP-RRFJBIMHSA-N 1 2 316.401 1.919 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cscn1 ZINC001054033871 759087984 /nfs/dbraw/zinc/08/79/84/759087984.db2.gz BSKCVGAPXPYDDE-HNNXBMFYSA-N 1 2 311.410 1.933 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cscn1 ZINC001054033871 759087992 /nfs/dbraw/zinc/08/79/92/759087992.db2.gz BSKCVGAPXPYDDE-HNNXBMFYSA-N 1 2 311.410 1.933 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1c(C)cnn1C ZINC001054049643 759106047 /nfs/dbraw/zinc/10/60/47/759106047.db2.gz DLOXNSWNURVKDL-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1c(C)cnn1C ZINC001054049643 759106051 /nfs/dbraw/zinc/10/60/51/759106051.db2.gz DLOXNSWNURVKDL-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccnc(C)n1 ZINC001054060649 759113819 /nfs/dbraw/zinc/11/38/19/759113819.db2.gz QCOVEYRRNNOBOF-QGZVFWFLSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccnc(C)n1 ZINC001054060649 759113822 /nfs/dbraw/zinc/11/38/22/759113822.db2.gz QCOVEYRRNNOBOF-QGZVFWFLSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnc[nH]c3=O)cc2C1 ZINC001054270609 759376964 /nfs/dbraw/zinc/37/69/64/759376964.db2.gz SZTBUIFTQIHLNH-UHFFFAOYSA-N 1 2 308.341 1.061 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnc[nH]c3=O)cc2C1 ZINC001054270609 759376975 /nfs/dbraw/zinc/37/69/75/759376975.db2.gz SZTBUIFTQIHLNH-UHFFFAOYSA-N 1 2 308.341 1.061 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cn(CC=C)nn3)cc2C1 ZINC001054284663 759392425 /nfs/dbraw/zinc/39/24/25/759392425.db2.gz BJBDODYELJWWGW-UHFFFAOYSA-N 1 2 321.384 1.343 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cn(CC=C)nn3)cc2C1 ZINC001054284663 759392438 /nfs/dbraw/zinc/39/24/38/759392438.db2.gz BJBDODYELJWWGW-UHFFFAOYSA-N 1 2 321.384 1.343 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cc(CC)nn2C)C1 ZINC001046730941 767862141 /nfs/dbraw/zinc/86/21/41/767862141.db2.gz QZBBYQNWMPWUCE-OAHLLOKOSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc(CC)nn2C)C1 ZINC001046730941 767862149 /nfs/dbraw/zinc/86/21/49/767862149.db2.gz QZBBYQNWMPWUCE-OAHLLOKOSA-N 1 2 310.829 1.929 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2C[C@@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001069136791 767871674 /nfs/dbraw/zinc/87/16/74/767871674.db2.gz ABVRQCZTWJJQAH-TZMCWYRMSA-N 1 2 324.388 1.629 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001069137954 767874068 /nfs/dbraw/zinc/87/40/68/767874068.db2.gz RUNPVKUMTLDEAU-WCQYABFASA-N 1 2 310.361 1.320 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccnc1)C(=O)c1cc(C#N)c[nH]1 ZINC001085534835 759647133 /nfs/dbraw/zinc/64/71/33/759647133.db2.gz UNVYRNFCUZFWGR-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccnc1)C(=O)c1cc(C#N)c[nH]1 ZINC001085534835 759647138 /nfs/dbraw/zinc/64/71/38/759647138.db2.gz UNVYRNFCUZFWGR-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([NH2+]Cc3nc(CC)no3)C2)C1 ZINC001019352416 759839559 /nfs/dbraw/zinc/83/95/59/759839559.db2.gz QPCXTKHUIIHACX-LBPRGKRZSA-N 1 2 304.394 1.679 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc(C(F)(F)F)nc1 ZINC001085657967 759944196 /nfs/dbraw/zinc/94/41/96/759944196.db2.gz FQXIBOFBRLQPBO-NSHDSACASA-N 1 2 312.295 1.275 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc(C(F)(F)F)nc1 ZINC001085657967 759944202 /nfs/dbraw/zinc/94/42/02/759944202.db2.gz FQXIBOFBRLQPBO-NSHDSACASA-N 1 2 312.295 1.275 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001085675935 759976307 /nfs/dbraw/zinc/97/63/07/759976307.db2.gz DFJICTXZGAUHNK-KGLIPLIRSA-N 1 2 322.840 1.731 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)nn(C)c1Cl ZINC001085750515 760166223 /nfs/dbraw/zinc/16/62/23/760166223.db2.gz HRAZYFWXOROYGE-LBPRGKRZSA-N 1 2 308.813 1.552 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)nn(C)c1Cl ZINC001085750515 760166227 /nfs/dbraw/zinc/16/62/27/760166227.db2.gz HRAZYFWXOROYGE-LBPRGKRZSA-N 1 2 308.813 1.552 20 30 DDEDLO C#CC[NH2+][C@@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@H]1C ZINC001054967776 760242781 /nfs/dbraw/zinc/24/27/81/760242781.db2.gz ZJTDBGUXRBPQHY-IUODEOHRSA-N 1 2 309.373 1.155 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2cc(C)on2)C1 ZINC001108209644 760266916 /nfs/dbraw/zinc/26/69/16/760266916.db2.gz VDJYQJSMDPEMKF-KRWDZBQOSA-N 1 2 319.405 1.146 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2cc(C)on2)C1 ZINC001108209644 760266924 /nfs/dbraw/zinc/26/69/24/760266924.db2.gz VDJYQJSMDPEMKF-KRWDZBQOSA-N 1 2 319.405 1.146 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2conc2C)c1 ZINC001085809665 760277606 /nfs/dbraw/zinc/27/76/06/760277606.db2.gz KVMQTFCEQNJTJV-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2conc2C)c1 ZINC001085809665 760277616 /nfs/dbraw/zinc/27/76/16/760277616.db2.gz KVMQTFCEQNJTJV-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ocnc1C ZINC001085827288 760306326 /nfs/dbraw/zinc/30/63/26/760306326.db2.gz NENHBXZNUFRXLX-KFWWJZLASA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ocnc1C ZINC001085827288 760306333 /nfs/dbraw/zinc/30/63/33/760306333.db2.gz NENHBXZNUFRXLX-KFWWJZLASA-N 1 2 319.405 1.607 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nn(C)c2c1CCCC2 ZINC001085832259 760316911 /nfs/dbraw/zinc/31/69/11/760316911.db2.gz AMGKWOWGPOZFHT-ZDUSSCGKSA-N 1 2 300.406 1.078 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nn(C)c2c1CCCC2 ZINC001085832259 760316914 /nfs/dbraw/zinc/31/69/14/760316914.db2.gz AMGKWOWGPOZFHT-ZDUSSCGKSA-N 1 2 300.406 1.078 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cccnc2C)C1 ZINC001108212445 760379890 /nfs/dbraw/zinc/37/98/90/760379890.db2.gz DJXXOXVRYDAOQU-KRWDZBQOSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cccnc2C)C1 ZINC001108212445 760379899 /nfs/dbraw/zinc/37/98/99/760379899.db2.gz DJXXOXVRYDAOQU-KRWDZBQOSA-N 1 2 303.406 1.326 20 30 DDEDLO N#Cc1cnccc1N1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C2 ZINC001055215274 760397419 /nfs/dbraw/zinc/39/74/19/760397419.db2.gz HRAKJMUGVRJSJZ-YCPHGPKFSA-N 1 2 322.372 1.145 20 30 DDEDLO N#Cc1ccc(N2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C3)nc1 ZINC001055215268 760397475 /nfs/dbraw/zinc/39/74/75/760397475.db2.gz HCBWWKHYYXPMFE-ILXRZTDVSA-N 1 2 322.372 1.145 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2C/C=C\Cl)nn1 ZINC001085863973 760407635 /nfs/dbraw/zinc/40/76/35/760407635.db2.gz OLBNGCDRIQCFPC-SCXUMTSISA-N 1 2 323.828 1.753 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@H]2CC[N@H+]2C/C=C\Cl)nn1 ZINC001085863973 760407637 /nfs/dbraw/zinc/40/76/37/760407637.db2.gz OLBNGCDRIQCFPC-SCXUMTSISA-N 1 2 323.828 1.753 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001085872748 760424614 /nfs/dbraw/zinc/42/46/14/760424614.db2.gz FVDBYXYJIHBELV-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001085872748 760424619 /nfs/dbraw/zinc/42/46/19/760424619.db2.gz FVDBYXYJIHBELV-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)c2cc(C#N)c[nH]2)c(C)c(C)[nH+]1 ZINC001100010886 760576652 /nfs/dbraw/zinc/57/66/52/760576652.db2.gz RCXDYNOYYSIJMX-UHFFFAOYSA-N 1 2 312.377 1.468 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC001085969811 760629460 /nfs/dbraw/zinc/62/94/60/760629460.db2.gz LNXWWUZKNHNHAH-ZDUSSCGKSA-N 1 2 312.373 1.758 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC001085969811 760629464 /nfs/dbraw/zinc/62/94/64/760629464.db2.gz LNXWWUZKNHNHAH-ZDUSSCGKSA-N 1 2 312.373 1.758 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C3CC3)n[nH]2)C1 ZINC001108236799 760831023 /nfs/dbraw/zinc/83/10/23/760831023.db2.gz SBAWOJMJKJWBLT-KRWDZBQOSA-N 1 2 316.405 1.131 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C3CC3)n[nH]2)C1 ZINC001108236799 760831032 /nfs/dbraw/zinc/83/10/32/760831032.db2.gz SBAWOJMJKJWBLT-KRWDZBQOSA-N 1 2 316.405 1.131 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2ccncc2C#N)CC1 ZINC001056046479 760928011 /nfs/dbraw/zinc/92/80/11/760928011.db2.gz QJJRQGHIEYEEBK-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2ccncc2C#N)CC1 ZINC001056046479 760928018 /nfs/dbraw/zinc/92/80/18/760928018.db2.gz QJJRQGHIEYEEBK-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO CCn1ncc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038232368 760934396 /nfs/dbraw/zinc/93/43/96/760934396.db2.gz XFMSCOJUGGFSCZ-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1ncc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038232368 760934399 /nfs/dbraw/zinc/93/43/99/760934399.db2.gz XFMSCOJUGGFSCZ-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC001038417968 761101349 /nfs/dbraw/zinc/10/13/49/761101349.db2.gz VPYUKFZZOZUCHD-YNEHKIRRSA-N 1 2 300.324 1.955 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC001038417968 761101354 /nfs/dbraw/zinc/10/13/54/761101354.db2.gz VPYUKFZZOZUCHD-YNEHKIRRSA-N 1 2 300.324 1.955 20 30 DDEDLO Cc1n[nH]cc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038576382 761209781 /nfs/dbraw/zinc/20/97/81/761209781.db2.gz SCZHKAIKAOTZCM-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1n[nH]cc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038576382 761209786 /nfs/dbraw/zinc/20/97/86/761209786.db2.gz SCZHKAIKAOTZCM-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1nocc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038668281 761268235 /nfs/dbraw/zinc/26/82/35/761268235.db2.gz YNTWIXSYPBDLJT-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1nocc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038668281 761268238 /nfs/dbraw/zinc/26/82/38/761268238.db2.gz YNTWIXSYPBDLJT-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)C2CC3(CC3)C2)C1=O ZINC001038692711 761293336 /nfs/dbraw/zinc/29/33/36/761293336.db2.gz ZKDPJDRQKOMEIX-CABCVRRESA-N 1 2 317.433 1.154 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)C2CC3(CC3)C2)C1=O ZINC001038692711 761293343 /nfs/dbraw/zinc/29/33/43/761293343.db2.gz ZKDPJDRQKOMEIX-CABCVRRESA-N 1 2 317.433 1.154 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccccn1 ZINC001038709416 761313126 /nfs/dbraw/zinc/31/31/26/761313126.db2.gz ZUQNCBFSEFEWAQ-XHSDSOJGSA-N 1 2 301.390 1.363 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccccn1 ZINC001038709416 761313136 /nfs/dbraw/zinc/31/31/36/761313136.db2.gz ZUQNCBFSEFEWAQ-XHSDSOJGSA-N 1 2 301.390 1.363 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(CC)ncnc1CC ZINC001038709915 761313653 /nfs/dbraw/zinc/31/36/53/761313653.db2.gz JGJLEUBDBGFIMO-CYBMUJFWSA-N 1 2 300.406 1.429 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(CC)ncnc1CC ZINC001038709915 761313662 /nfs/dbraw/zinc/31/36/62/761313662.db2.gz JGJLEUBDBGFIMO-CYBMUJFWSA-N 1 2 300.406 1.429 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)noc1C(F)(F)F ZINC001038755852 761370435 /nfs/dbraw/zinc/37/04/35/761370435.db2.gz OTHDPRUKEUMVOW-SECBINFHSA-N 1 2 303.284 1.992 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)noc1C(F)(F)F ZINC001038755852 761370446 /nfs/dbraw/zinc/37/04/46/761370446.db2.gz OTHDPRUKEUMVOW-SECBINFHSA-N 1 2 303.284 1.992 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CC[C@H](NC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001069476172 768032282 /nfs/dbraw/zinc/03/22/82/768032282.db2.gz REKDJYYKYABHJL-HIFRSBDPSA-N 1 2 324.388 1.547 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnn1C1CCOCC1 ZINC001038800452 761419369 /nfs/dbraw/zinc/41/93/69/761419369.db2.gz UIOZKQSNABFCGS-HNNXBMFYSA-N 1 2 316.405 1.062 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnn1C1CCOCC1 ZINC001038800452 761419372 /nfs/dbraw/zinc/41/93/72/761419372.db2.gz UIOZKQSNABFCGS-HNNXBMFYSA-N 1 2 316.405 1.062 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2cccc2)nc1 ZINC001038820179 761436414 /nfs/dbraw/zinc/43/64/14/761436414.db2.gz CXJDYVOXYDBPFL-MRXNPFEDSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2cccc2)nc1 ZINC001038820179 761436417 /nfs/dbraw/zinc/43/64/17/761436417.db2.gz CXJDYVOXYDBPFL-MRXNPFEDSA-N 1 2 308.385 1.700 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(C(CC)CC)c1C ZINC001038904269 761529615 /nfs/dbraw/zinc/52/96/15/761529615.db2.gz UQLKBMGOTLSSAT-HNNXBMFYSA-N 1 2 302.422 1.990 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(C(CC)CC)c1C ZINC001038904269 761529619 /nfs/dbraw/zinc/52/96/19/761529619.db2.gz UQLKBMGOTLSSAT-HNNXBMFYSA-N 1 2 302.422 1.990 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccccn2)nc1 ZINC001038941251 761574368 /nfs/dbraw/zinc/57/43/68/761574368.db2.gz GTBFEEFWESCWDM-INIZCTEOSA-N 1 2 306.369 1.462 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccccn2)nc1 ZINC001038941251 761574374 /nfs/dbraw/zinc/57/43/74/761574374.db2.gz GTBFEEFWESCWDM-INIZCTEOSA-N 1 2 306.369 1.462 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cc[n+]([O-])cc2)CC1 ZINC001000407493 761711237 /nfs/dbraw/zinc/71/12/37/761711237.db2.gz JBQWOSFFLODLOQ-UHFFFAOYSA-N 1 2 307.781 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cc[n+]([O-])cc2)CC1 ZINC001000407493 761711239 /nfs/dbraw/zinc/71/12/39/761711239.db2.gz JBQWOSFFLODLOQ-UHFFFAOYSA-N 1 2 307.781 1.434 20 30 DDEDLO Cc1nc[nH]c1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001039082249 761720916 /nfs/dbraw/zinc/72/09/16/761720916.db2.gz JBFBSZHVQARQFB-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1nc[nH]c1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001039082249 761720921 /nfs/dbraw/zinc/72/09/21/761720921.db2.gz JBFBSZHVQARQFB-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CCC3(C)CC3)CC2=O)C1 ZINC001108583665 762750747 /nfs/dbraw/zinc/75/07/47/762750747.db2.gz PBBCMTNWVPGXOR-AWEZNQCLSA-N 1 2 319.449 1.544 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)c1cccc2[nH+]ccn21 ZINC001108603182 762788227 /nfs/dbraw/zinc/78/82/27/762788227.db2.gz WPLDWZCDBFQSOP-LBPRGKRZSA-N 1 2 320.356 1.831 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@H]2CCN(C(=O)COC)C[C@H]21 ZINC001041872922 763432021 /nfs/dbraw/zinc/43/20/21/763432021.db2.gz RCRARZXJAINSPL-VXGBXAGGSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@H]2CCN(C(=O)COC)C[C@H]21 ZINC001041872922 763432025 /nfs/dbraw/zinc/43/20/25/763432025.db2.gz RCRARZXJAINSPL-VXGBXAGGSA-N 1 2 317.227 1.464 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncn1C ZINC001109245990 763508034 /nfs/dbraw/zinc/50/80/34/763508034.db2.gz JXJVPMDNCNASPM-KBMXLJTQSA-N 1 2 300.406 1.301 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncn1C ZINC001109245990 763508042 /nfs/dbraw/zinc/50/80/42/763508042.db2.gz JXJVPMDNCNASPM-KBMXLJTQSA-N 1 2 300.406 1.301 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncs1 ZINC001109268264 763531002 /nfs/dbraw/zinc/53/10/02/763531002.db2.gz YIJODZKRIPNIRC-UXOAXIEHSA-N 1 2 319.430 1.403 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncs1 ZINC001109268264 763531007 /nfs/dbraw/zinc/53/10/07/763531007.db2.gz YIJODZKRIPNIRC-UXOAXIEHSA-N 1 2 319.430 1.403 20 30 DDEDLO CN(CCCNc1ncc(C#N)cc1F)C(=O)Cc1[nH]cc[nH+]1 ZINC001109506187 763761535 /nfs/dbraw/zinc/76/15/35/763761535.db2.gz AGDKPXXGGVKWMC-UHFFFAOYSA-N 1 2 316.340 1.319 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3cc(-c4ccoc4)[nH]n3)C[C@H]21 ZINC001042357185 763960801 /nfs/dbraw/zinc/96/08/01/763960801.db2.gz KDFDNPXSQGVQHJ-SUMWQHHRSA-N 1 2 324.384 1.839 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3cc(-c4ccoc4)[nH]n3)C[C@H]21 ZINC001042357185 763960807 /nfs/dbraw/zinc/96/08/07/763960807.db2.gz KDFDNPXSQGVQHJ-SUMWQHHRSA-N 1 2 324.384 1.839 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(F)cn1 ZINC001050886544 764234908 /nfs/dbraw/zinc/23/49/08/764234908.db2.gz UWVPDUJOEORCPZ-AWEZNQCLSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(F)cn1 ZINC001050886544 764234913 /nfs/dbraw/zinc/23/49/13/764234913.db2.gz UWVPDUJOEORCPZ-AWEZNQCLSA-N 1 2 307.369 1.618 20 30 DDEDLO C=CCOCC(=O)NCC1(Nc2cc(C)[nH+]c(C3CC3)n2)CC1 ZINC001110078108 764385015 /nfs/dbraw/zinc/38/50/15/764385015.db2.gz XROKEEHEBQOMPZ-UHFFFAOYSA-N 1 2 316.405 1.926 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(C(C)(C)C)c1 ZINC001050991972 764389781 /nfs/dbraw/zinc/38/97/81/764389781.db2.gz AICHXXDZGWANGK-OAHLLOKOSA-N 1 2 320.437 1.645 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(C(C)(C)C)c1 ZINC001050991972 764389787 /nfs/dbraw/zinc/38/97/87/764389787.db2.gz AICHXXDZGWANGK-OAHLLOKOSA-N 1 2 320.437 1.645 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCN(C(=O)C3CC3)C2)C1 ZINC001042769862 764430452 /nfs/dbraw/zinc/43/04/52/764430452.db2.gz ATBYEFUIJVBCHK-OAHLLOKOSA-N 1 2 319.449 1.354 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2COCC[N@H+]2C[C@H](CC)OC)CC1 ZINC001051098324 764503625 /nfs/dbraw/zinc/50/36/25/764503625.db2.gz DMXIYZKLSCBLDR-SJORKVTESA-N 1 2 324.465 1.975 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2COCC[N@@H+]2C[C@H](CC)OC)CC1 ZINC001051098324 764503631 /nfs/dbraw/zinc/50/36/31/764503631.db2.gz DMXIYZKLSCBLDR-SJORKVTESA-N 1 2 324.465 1.975 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2COCC[N@H+]2CC[C@@H](C)F)c1 ZINC001051105229 764509750 /nfs/dbraw/zinc/50/97/50/764509750.db2.gz DOKMBWFCKQUBHP-CJNGLKHVSA-N 1 2 319.380 1.242 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2COCC[N@@H+]2CC[C@@H](C)F)c1 ZINC001051105229 764509754 /nfs/dbraw/zinc/50/97/54/764509754.db2.gz DOKMBWFCKQUBHP-CJNGLKHVSA-N 1 2 319.380 1.242 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2[nH]cnc2C(F)(F)F)C1 ZINC001042930132 764534596 /nfs/dbraw/zinc/53/45/96/764534596.db2.gz CNCJPFNYURQRPH-UHFFFAOYSA-N 1 2 300.284 1.208 20 30 DDEDLO C=C(C)CCC(=O)NC1(CO)CCN(c2cc[nH+]c(C)n2)CC1 ZINC001112669746 764554976 /nfs/dbraw/zinc/55/49/76/764554976.db2.gz PIOFURDSAUVACR-UHFFFAOYSA-N 1 2 318.421 1.589 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1oc(CC)nc1C ZINC001051199629 764610066 /nfs/dbraw/zinc/61/00/66/764610066.db2.gz GWKRMUXRAXGQMB-CQSZACIVSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1oc(CC)nc1C ZINC001051199629 764610068 /nfs/dbraw/zinc/61/00/68/764610068.db2.gz GWKRMUXRAXGQMB-CQSZACIVSA-N 1 2 321.421 1.942 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cncnc1CC ZINC001051247685 764670702 /nfs/dbraw/zinc/67/07/02/764670702.db2.gz KVXOTRVEEFORDC-CYBMUJFWSA-N 1 2 304.394 1.046 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncnc1CC ZINC001051247685 764670709 /nfs/dbraw/zinc/67/07/09/764670709.db2.gz KVXOTRVEEFORDC-CYBMUJFWSA-N 1 2 304.394 1.046 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c2ncccc12 ZINC001051252812 764676284 /nfs/dbraw/zinc/67/62/84/764676284.db2.gz ZLUAJHLKYBVXQI-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c2ncccc12 ZINC001051252812 764676287 /nfs/dbraw/zinc/67/62/87/764676287.db2.gz ZLUAJHLKYBVXQI-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112794694 764774378 /nfs/dbraw/zinc/77/43/78/764774378.db2.gz OQUXHWMGMLBHIH-YOEHRIQHSA-N 1 2 318.421 1.539 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[NH+](CCc2ccns2)CC1 ZINC001112837024 764844786 /nfs/dbraw/zinc/84/47/86/764844786.db2.gz PUOMYMKIVMLVRL-UHFFFAOYSA-N 1 2 305.447 1.879 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2COc3ccc(Cl)cc32)C1 ZINC001043343547 764847576 /nfs/dbraw/zinc/84/75/76/764847576.db2.gz MDSAERSDXWBKKO-HNNXBMFYSA-N 1 2 318.804 1.982 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@@H](C)Nc1ccncc1C#N ZINC001112993582 765102556 /nfs/dbraw/zinc/10/25/56/765102556.db2.gz JYEGYOLPFIEESM-VXGBXAGGSA-N 1 2 312.377 1.036 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C2C[NH+](C[C@H]3CC[C@H](C)O3)C2)c1 ZINC001043821986 765116225 /nfs/dbraw/zinc/11/62/25/765116225.db2.gz QPXRILULENMKSW-SUMWQHHRSA-N 1 2 313.401 1.387 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCO[C@@H](C[NH2+]Cc2cnsn2)C1 ZINC001051752779 765124714 /nfs/dbraw/zinc/12/47/14/765124714.db2.gz PSCSMGFYDVHTDU-ZDUSSCGKSA-N 1 2 324.450 1.457 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(-n3cccn3)n2)C1 ZINC001043839266 765125674 /nfs/dbraw/zinc/12/56/74/765125674.db2.gz YGJQTPVMJRHHOR-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2Cc3cc(F)ccc3O2)C1 ZINC001043991750 765214157 /nfs/dbraw/zinc/21/41/57/765214157.db2.gz HSRGGQOIPUIJAT-INIZCTEOSA-N 1 2 302.349 1.295 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2noc3ccc(F)cc32)C1 ZINC001044042841 765250936 /nfs/dbraw/zinc/25/09/36/765250936.db2.gz PCHKGPFFODLAOB-UHFFFAOYSA-N 1 2 303.337 1.838 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)[C@@H](C)Nc2cc[nH+]c(C)n2)cn1 ZINC001113103397 765261051 /nfs/dbraw/zinc/26/10/51/765261051.db2.gz KJDMEQNPIGACDE-VXGBXAGGSA-N 1 2 309.373 1.780 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccccc2NC(=O)CC)C1 ZINC001044070782 765270292 /nfs/dbraw/zinc/27/02/92/765270292.db2.gz QSWDDSPKAXEBAL-UHFFFAOYSA-N 1 2 313.401 1.815 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C2CCC(C(=O)N(C)C)CC2)C1 ZINC001044176358 765366563 /nfs/dbraw/zinc/36/65/63/765366563.db2.gz PFYJDLUGWYTTFK-UHFFFAOYSA-N 1 2 321.465 1.600 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3n[nH]c(C)c3C)C2)CC1 ZINC001052003692 765370800 /nfs/dbraw/zinc/37/08/00/765370800.db2.gz ZHQKMWHDNJZLIH-HNNXBMFYSA-N 1 2 317.437 1.045 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3coc(CC)n3)C2)CC1 ZINC001052017931 765388258 /nfs/dbraw/zinc/38/82/58/765388258.db2.gz ZHCMEZYPDGGJSV-AWEZNQCLSA-N 1 2 318.421 1.255 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@]3(C)CC=CCC3)C2)CC1 ZINC001052030385 765405270 /nfs/dbraw/zinc/40/52/70/765405270.db2.gz MSIQFIOSPUFVFX-MJGOQNOKSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)(C)C3CCC3)C2)CC1 ZINC001052057821 765430113 /nfs/dbraw/zinc/43/01/13/765430113.db2.gz TVPZLEIWVJBKHN-QGZVFWFLSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCCN(CC)c1cc[nH+]c(C)n1 ZINC001095972145 765433366 /nfs/dbraw/zinc/43/33/66/765433366.db2.gz GWCAWTOCAKOHEI-CABCVRRESA-N 1 2 318.421 1.709 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)Cc3ccco3)C2)CC1 ZINC001052099889 765470326 /nfs/dbraw/zinc/47/03/26/765470326.db2.gz UFBXLSGQWOZLJK-INIZCTEOSA-N 1 2 315.417 1.064 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3c(c2)[nH]c(=O)n3C)C1 ZINC001044343815 765497092 /nfs/dbraw/zinc/49/70/92/765497092.db2.gz UBDJRBLZABCQPY-UHFFFAOYSA-N 1 2 314.389 1.611 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@H]1CCCN(CC#N)CC1)C2 ZINC001052506678 765900084 /nfs/dbraw/zinc/90/00/84/765900084.db2.gz JEQOQSAYHGQJEJ-KBPBESRZSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@H]1CCCN(CC#N)CC1)CC2 ZINC001052506678 765900089 /nfs/dbraw/zinc/90/00/89/765900089.db2.gz JEQOQSAYHGQJEJ-KBPBESRZSA-N 1 2 315.421 1.317 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)N(C)c1[nH+]cnc2c1cnn2C ZINC001113582992 765913283 /nfs/dbraw/zinc/91/32/83/765913283.db2.gz UWFXPWBFTQQWHK-GFCCVEGCSA-N 1 2 314.393 1.108 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ccc(F)s2)[C@@H](n2ccnn2)C1 ZINC001070107001 768337541 /nfs/dbraw/zinc/33/75/41/768337541.db2.gz JDUWQPGOYPXWLS-MNOVXSKESA-N 1 2 321.381 1.320 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ccc(F)s2)[C@@H](n2ccnn2)C1 ZINC001070107001 768337549 /nfs/dbraw/zinc/33/75/49/768337549.db2.gz JDUWQPGOYPXWLS-MNOVXSKESA-N 1 2 321.381 1.320 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)C1CCN(c2ccc(C#N)nc2)CC1 ZINC001058089555 766215194 /nfs/dbraw/zinc/21/51/94/766215194.db2.gz JVKSKORYGBWXOH-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO CC(C)CCC(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001131788343 768357842 /nfs/dbraw/zinc/35/78/42/768357842.db2.gz RSXOHANVFNJAAH-KBPBESRZSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(C)CCC(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001131788343 768357847 /nfs/dbraw/zinc/35/78/47/768357847.db2.gz RSXOHANVFNJAAH-KBPBESRZSA-N 1 2 308.426 1.031 20 30 DDEDLO Cc1cc(N2CCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001058190137 766298417 /nfs/dbraw/zinc/29/84/17/766298417.db2.gz XVHCCIXZNJBQJL-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)n3C3CC3)C[C@H]21 ZINC001114006123 766525146 /nfs/dbraw/zinc/52/51/46/766525146.db2.gz WQYIIXNDKXTMRD-HALDLXJZSA-N 1 2 315.421 1.434 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)n3C3CC3)C[C@H]21 ZINC001114006123 766525148 /nfs/dbraw/zinc/52/51/48/766525148.db2.gz WQYIIXNDKXTMRD-HALDLXJZSA-N 1 2 315.421 1.434 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccc(C#N)cn1 ZINC001067550840 766610808 /nfs/dbraw/zinc/61/08/08/766610808.db2.gz YOZDHEKVJMWZBN-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnn(C)n1 ZINC001045827071 766618741 /nfs/dbraw/zinc/61/87/41/766618741.db2.gz IAQIGNCYXFWMFQ-CABCVRRESA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnn(C)n1 ZINC001045827071 766618743 /nfs/dbraw/zinc/61/87/43/766618743.db2.gz IAQIGNCYXFWMFQ-CABCVRRESA-N 1 2 317.437 1.593 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001114197489 766747631 /nfs/dbraw/zinc/74/76/31/766747631.db2.gz ZSZDPBCPTUABLR-HALDLXJZSA-N 1 2 301.390 1.641 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001114197489 766747636 /nfs/dbraw/zinc/74/76/36/766747636.db2.gz ZSZDPBCPTUABLR-HALDLXJZSA-N 1 2 301.390 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)C1 ZINC001046059762 766870643 /nfs/dbraw/zinc/87/06/43/766870643.db2.gz OJZRXVJZEIBBEU-HNNXBMFYSA-N 1 2 302.422 1.085 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(C)(F)CC1 ZINC001121610173 782591250 /nfs/dbraw/zinc/59/12/50/782591250.db2.gz QYSAMWRABXLEOM-OLZOCXBDSA-N 1 2 323.416 1.530 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(C)(F)CC1 ZINC001121610173 782591255 /nfs/dbraw/zinc/59/12/55/782591255.db2.gz QYSAMWRABXLEOM-OLZOCXBDSA-N 1 2 323.416 1.530 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)[C@H]1CCSC1 ZINC001121607630 782589579 /nfs/dbraw/zinc/58/95/79/782589579.db2.gz APJWRZZJUNUCIS-XQQFMLRXSA-N 1 2 323.466 1.143 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)[C@H]1CCSC1 ZINC001121607630 782589591 /nfs/dbraw/zinc/58/95/91/782589591.db2.gz APJWRZZJUNUCIS-XQQFMLRXSA-N 1 2 323.466 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](CC(=C)Cl)C[C@@H]2O)cc1 ZINC001090483875 767464790 /nfs/dbraw/zinc/46/47/90/767464790.db2.gz UYCRVHBKUWQWHD-HOTGVXAUSA-N 1 2 318.804 1.585 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](CC(=C)Cl)C[C@@H]2O)cc1 ZINC001090483875 767464794 /nfs/dbraw/zinc/46/47/94/767464794.db2.gz UYCRVHBKUWQWHD-HOTGVXAUSA-N 1 2 318.804 1.585 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001046516277 767645032 /nfs/dbraw/zinc/64/50/32/767645032.db2.gz IQDIFRVALQHPOI-DOMZBBRYSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001046516277 767645033 /nfs/dbraw/zinc/64/50/33/767645033.db2.gz IQDIFRVALQHPOI-DOMZBBRYSA-N 1 2 313.829 1.236 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccncc2C#N)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069077879 767826806 /nfs/dbraw/zinc/82/68/06/767826806.db2.gz AMEDFWJSXJMVMS-OCCSQVGLSA-N 1 2 324.388 1.132 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096177604 768381070 /nfs/dbraw/zinc/38/10/70/768381070.db2.gz UZFVKEVIKYZKLN-BBRMVZONSA-N 1 2 304.394 1.197 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+][C@H](C)c1nc(CC)no1 ZINC001131869946 768431667 /nfs/dbraw/zinc/43/16/67/768431667.db2.gz LPKDLUZSNSCMRT-MLGOLLRUSA-N 1 2 324.425 1.628 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CCCS(C)(=O)=O)C1 ZINC001131894698 768459035 /nfs/dbraw/zinc/45/90/35/768459035.db2.gz BKXNZWNWVXVXNO-ZIAGYGMSSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CCCS(C)(=O)=O)C1 ZINC001131894698 768459039 /nfs/dbraw/zinc/45/90/39/768459039.db2.gz BKXNZWNWVXVXNO-ZIAGYGMSSA-N 1 2 316.467 1.356 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132033698 768578961 /nfs/dbraw/zinc/57/89/61/768578961.db2.gz JYCJPLCIRFBMTC-DZGCQCFKSA-N 1 2 301.390 1.866 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132033698 768578965 /nfs/dbraw/zinc/57/89/65/768578965.db2.gz JYCJPLCIRFBMTC-DZGCQCFKSA-N 1 2 301.390 1.866 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CCC)c(C)s2)C1 ZINC001047621739 768618201 /nfs/dbraw/zinc/61/82/01/768618201.db2.gz ZWYHJMZAIKDHAM-GJZGRUSLSA-N 1 2 320.458 1.759 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CCC)c(C)s2)C1 ZINC001047621739 768618206 /nfs/dbraw/zinc/61/82/06/768618206.db2.gz ZWYHJMZAIKDHAM-GJZGRUSLSA-N 1 2 320.458 1.759 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)c2cccs2)C[C@H]1NCC#N ZINC001070617998 768622787 /nfs/dbraw/zinc/62/27/87/768622787.db2.gz KHSCCPFDTAKVNE-VXGBXAGGSA-N 1 2 315.402 1.203 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCn2cc[nH+]c2C1)Nc1ccc(C#N)cn1 ZINC001098126065 768666531 /nfs/dbraw/zinc/66/65/31/768666531.db2.gz UEAQVGWPNUXEFQ-JSGCOSHPSA-N 1 2 324.388 1.329 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001070822529 768744171 /nfs/dbraw/zinc/74/41/71/768744171.db2.gz FSCDHAPNEATSJL-CQSZACIVSA-N 1 2 318.421 1.724 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CCC2(C)CC2)C[N@@H+]1CC(=O)NCC#N ZINC001132397033 768802852 /nfs/dbraw/zinc/80/28/52/768802852.db2.gz OUMNFAKUXKCYDK-KGLIPLIRSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CCC2(C)CC2)C[N@H+]1CC(=O)NCC#N ZINC001132397033 768802862 /nfs/dbraw/zinc/80/28/62/768802862.db2.gz OUMNFAKUXKCYDK-KGLIPLIRSA-N 1 2 320.437 1.176 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC(=O)NCC[N@@H+](CC(=C)C)CC1 ZINC001070994940 768853909 /nfs/dbraw/zinc/85/39/09/768853909.db2.gz KCVPCPXKMYJPBL-GOSISDBHSA-N 1 2 321.465 1.815 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC(=O)NCC[N@H+](CC(=C)C)CC1 ZINC001070994940 768853917 /nfs/dbraw/zinc/85/39/17/768853917.db2.gz KCVPCPXKMYJPBL-GOSISDBHSA-N 1 2 321.465 1.815 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC[C@@H]2CC)CC1 ZINC001070996058 768857599 /nfs/dbraw/zinc/85/75/99/768857599.db2.gz GEJZLZRXXMWWLX-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC[C@@H]2CC)CC1 ZINC001070996058 768857608 /nfs/dbraw/zinc/85/76/08/768857608.db2.gz GEJZLZRXXMWWLX-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2(CC)CC2)CC1 ZINC001070996225 768858013 /nfs/dbraw/zinc/85/80/13/768858013.db2.gz MLHCBYQRFGAPFO-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2(CC)CC2)CC1 ZINC001070996225 768858028 /nfs/dbraw/zinc/85/80/28/768858028.db2.gz MLHCBYQRFGAPFO-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC23CCC3)CC1 ZINC001071006128 768872566 /nfs/dbraw/zinc/87/25/66/768872566.db2.gz WAUBAFAHGUOKDN-CQSZACIVSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC23CCC3)CC1 ZINC001071006128 768872579 /nfs/dbraw/zinc/87/25/79/768872579.db2.gz WAUBAFAHGUOKDN-CQSZACIVSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2CCC=CCC2)CC1 ZINC001071021987 768889004 /nfs/dbraw/zinc/88/90/04/768889004.db2.gz OJSWBGBFRJBQND-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2CCC=CCC2)CC1 ZINC001071021987 768889017 /nfs/dbraw/zinc/88/90/17/768889017.db2.gz OJSWBGBFRJBQND-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@]2(C1)CN(C(C)=O)C[C@H](C)O2 ZINC001071110568 768956260 /nfs/dbraw/zinc/95/62/60/768956260.db2.gz QJPZXVXEWNPCKJ-WCQYABFASA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@]2(C1)CN(C(C)=O)C[C@H](C)O2 ZINC001071110568 768956263 /nfs/dbraw/zinc/95/62/63/768956263.db2.gz QJPZXVXEWNPCKJ-WCQYABFASA-N 1 2 317.227 1.607 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001132668064 769077757 /nfs/dbraw/zinc/07/77/57/769077757.db2.gz ZXSZWSXKDZTYIA-SECBINFHSA-N 1 2 302.325 1.815 20 30 DDEDLO C[C@H]1CCN(C(=O)c2cccc3[nH+]ccn32)C[C@@H]1CNCC#N ZINC001132981795 769385987 /nfs/dbraw/zinc/38/59/87/769385987.db2.gz GHVKPWRXTHXKJM-KBPBESRZSA-N 1 2 311.389 1.546 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)C#CC(C)C)C2)c(C)c(C)[nH+]1 ZINC001096369220 769442271 /nfs/dbraw/zinc/44/22/71/769442271.db2.gz VEUKDRSJDFXZHU-OAHLLOKOSA-N 1 2 300.406 1.756 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cncnc2)CC[C@@H]1C ZINC001071469851 769481015 /nfs/dbraw/zinc/48/10/15/769481015.db2.gz CHKLKQHLRCYDSV-GXTWGEPZSA-N 1 2 308.813 1.741 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cncnc2)CC[C@@H]1C ZINC001071469851 769481019 /nfs/dbraw/zinc/48/10/19/769481019.db2.gz CHKLKQHLRCYDSV-GXTWGEPZSA-N 1 2 308.813 1.741 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnnn2CC)CC[C@H]1C ZINC001071485395 769501038 /nfs/dbraw/zinc/50/10/38/769501038.db2.gz OEBAINQIUUJGAW-NEPJUHHUSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnnn2CC)CC[C@H]1C ZINC001071485395 769501046 /nfs/dbraw/zinc/50/10/46/769501046.db2.gz OEBAINQIUUJGAW-NEPJUHHUSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001133378645 769758271 /nfs/dbraw/zinc/75/82/71/769758271.db2.gz JIQFELPQQJFQCC-NHYWBVRUSA-N 1 2 310.398 1.585 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[NH2+][C@@H](C)c2nc(CC)no2)c1 ZINC001133597595 770048328 /nfs/dbraw/zinc/04/83/28/770048328.db2.gz VNKZJSTWDJMZMJ-NSHDSACASA-N 1 2 313.361 1.089 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CC[C@H](C)[N@@H+](CCF)C2)nn1 ZINC001071890874 770236703 /nfs/dbraw/zinc/23/67/03/770236703.db2.gz SXOPXBFAJDTXDR-STQMWFEESA-N 1 2 309.389 1.406 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CC[C@H](C)[N@H+](CCF)C2)nn1 ZINC001071890874 770236705 /nfs/dbraw/zinc/23/67/05/770236705.db2.gz SXOPXBFAJDTXDR-STQMWFEESA-N 1 2 309.389 1.406 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001071981739 770379575 /nfs/dbraw/zinc/37/95/75/770379575.db2.gz QSJGITROFWBTGA-DZGCQCFKSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001071981739 770379581 /nfs/dbraw/zinc/37/95/81/770379581.db2.gz QSJGITROFWBTGA-DZGCQCFKSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072192400 770645237 /nfs/dbraw/zinc/64/52/37/770645237.db2.gz RZQDFPNKLBAWSV-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1ncccn1 ZINC001049468125 770892816 /nfs/dbraw/zinc/89/28/16/770892816.db2.gz SNKIDTMCCPZFFI-JKSUJKDBSA-N 1 2 310.401 1.455 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1ncccn1 ZINC001049468125 770892829 /nfs/dbraw/zinc/89/28/29/770892829.db2.gz SNKIDTMCCPZFFI-JKSUJKDBSA-N 1 2 310.401 1.455 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1nncn1C ZINC001049637347 771041665 /nfs/dbraw/zinc/04/16/65/771041665.db2.gz XOPBDQOQWXNWOI-ZIAGYGMSSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1nncn1C ZINC001049637347 771041667 /nfs/dbraw/zinc/04/16/67/771041667.db2.gz XOPBDQOQWXNWOI-ZIAGYGMSSA-N 1 2 317.437 1.593 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C1=NC(=O)N(C)C1 ZINC001049691337 771090434 /nfs/dbraw/zinc/09/04/34/771090434.db2.gz WFARAXVEFJUJKL-ZIAGYGMSSA-N 1 2 304.394 1.381 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C1=NC(=O)N(C)C1 ZINC001049691337 771090440 /nfs/dbraw/zinc/09/04/40/771090440.db2.gz WFARAXVEFJUJKL-ZIAGYGMSSA-N 1 2 304.394 1.381 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCC[C@H]2[C@H]1CCN2CC#N ZINC001049734933 771121077 /nfs/dbraw/zinc/12/10/77/771121077.db2.gz GXZJCSGKJGPENB-APIJFGDWSA-N 1 2 313.405 1.112 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@@H]2CCCN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001049998321 771352145 /nfs/dbraw/zinc/35/21/45/771352145.db2.gz DMURWWYQOGNTJE-SOUVJXGZSA-N 1 2 315.421 1.485 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@@H]2CCCN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001049998321 771352150 /nfs/dbraw/zinc/35/21/50/771352150.db2.gz DMURWWYQOGNTJE-SOUVJXGZSA-N 1 2 315.421 1.485 20 30 DDEDLO Cc1cc(NCCN(CCO)C(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001111436222 771416734 /nfs/dbraw/zinc/41/67/34/771416734.db2.gz WPBVREJIHCNVTG-NSHDSACASA-N 1 2 317.393 1.055 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@H](NC(=O)CCn3cc[nH+]c3)C2)n1 ZINC001097083823 771616740 /nfs/dbraw/zinc/61/67/40/771616740.db2.gz ASJCGTHLBQHMRJ-SHTZXODSSA-N 1 2 324.388 1.608 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@H](Nc2ccc(C#N)nc2)C1 ZINC001097106183 771625604 /nfs/dbraw/zinc/62/56/04/771625604.db2.gz KWEYCTSEFHHROG-KCPJHIHWSA-N 1 2 324.388 1.614 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@H](Nc2ccc(C#N)nc2)C1 ZINC001097106183 771625607 /nfs/dbraw/zinc/62/56/07/771625607.db2.gz KWEYCTSEFHHROG-KCPJHIHWSA-N 1 2 324.388 1.614 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001090697163 772122758 /nfs/dbraw/zinc/12/27/58/772122758.db2.gz CYCBNSIGKDAGMF-KFNAQCHYSA-N 1 2 304.394 1.053 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@H](O)C1 ZINC001090811008 772232645 /nfs/dbraw/zinc/23/26/45/772232645.db2.gz ZWUAPTXJCOYPND-LSDHHAIUSA-N 1 2 318.421 1.030 20 30 DDEDLO C=CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@H](O)C1 ZINC001090811008 772232648 /nfs/dbraw/zinc/23/26/48/772232648.db2.gz ZWUAPTXJCOYPND-LSDHHAIUSA-N 1 2 318.421 1.030 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cc(C)on1)C2 ZINC001147439657 773146754 /nfs/dbraw/zinc/14/67/54/773146754.db2.gz FNIIIWKKVUPBJT-UHFFFAOYSA-N 1 2 301.390 1.821 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cc(C)on1)C2 ZINC001147439657 773146757 /nfs/dbraw/zinc/14/67/57/773146757.db2.gz FNIIIWKKVUPBJT-UHFFFAOYSA-N 1 2 301.390 1.821 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001091639742 773180010 /nfs/dbraw/zinc/18/00/10/773180010.db2.gz RAYDVCIYTHPHSE-GXTWGEPZSA-N 1 2 324.388 1.038 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C2CC(OC)C2)C1 ZINC001073647161 773263374 /nfs/dbraw/zinc/26/33/74/773263374.db2.gz GFZLPTRGQCYTQE-JXQTWKCFSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C2CC(OC)C2)C1 ZINC001073647161 773263378 /nfs/dbraw/zinc/26/33/78/773263378.db2.gz GFZLPTRGQCYTQE-JXQTWKCFSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2c[nH]nc2C)C1 ZINC001073719771 773341286 /nfs/dbraw/zinc/34/12/86/773341286.db2.gz POKSNIKXLSKCNX-LBPRGKRZSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2c[nH]nc2C)C1 ZINC001073719771 773341291 /nfs/dbraw/zinc/34/12/91/773341291.db2.gz POKSNIKXLSKCNX-LBPRGKRZSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001148089596 773360526 /nfs/dbraw/zinc/36/05/26/773360526.db2.gz WMDTWTARKGAMMI-UONOGXRCSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001148089596 773360532 /nfs/dbraw/zinc/36/05/32/773360532.db2.gz WMDTWTARKGAMMI-UONOGXRCSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001148124637 773372131 /nfs/dbraw/zinc/37/21/31/773372131.db2.gz FOCIAUDHUPMUED-ZDUSSCGKSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001148124637 773372135 /nfs/dbraw/zinc/37/21/35/773372135.db2.gz FOCIAUDHUPMUED-ZDUSSCGKSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2conc2C)C1 ZINC001073752331 773374544 /nfs/dbraw/zinc/37/45/44/773374544.db2.gz VCDXLCTVUPVIBH-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2conc2C)C1 ZINC001073752331 773374552 /nfs/dbraw/zinc/37/45/52/773374552.db2.gz VCDXLCTVUPVIBH-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001073999421 773578715 /nfs/dbraw/zinc/57/87/15/773578715.db2.gz MMBRLJOEDXQQGR-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO Cn1ccnc1C[N@H+](C)C[C@H]1CCCCN1C(=O)C#CC1CC1 ZINC001074012775 773585373 /nfs/dbraw/zinc/58/53/73/773585373.db2.gz MITMHIJYTFQCIK-MRXNPFEDSA-N 1 2 314.433 1.646 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)C[C@H]1CCCCN1C(=O)C#CC1CC1 ZINC001074012775 773585379 /nfs/dbraw/zinc/58/53/79/773585379.db2.gz MITMHIJYTFQCIK-MRXNPFEDSA-N 1 2 314.433 1.646 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3[nH]ccc3C)C[C@H]21 ZINC001074228995 773753525 /nfs/dbraw/zinc/75/35/25/773753525.db2.gz ICRQEWPCIPBQQT-CABCVRRESA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3[nH]ccc3C)C[C@H]21 ZINC001074228995 773753528 /nfs/dbraw/zinc/75/35/28/773753528.db2.gz ICRQEWPCIPBQQT-CABCVRRESA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3[nH]c(C)cc3C)C[C@H]21 ZINC001074280049 773790876 /nfs/dbraw/zinc/79/08/76/773790876.db2.gz WPWGKYYVAYGFFL-CVEARBPZSA-N 1 2 315.417 1.570 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3[nH]c(C)cc3C)C[C@H]21 ZINC001074280049 773790880 /nfs/dbraw/zinc/79/08/80/773790880.db2.gz WPWGKYYVAYGFFL-CVEARBPZSA-N 1 2 315.417 1.570 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C4CC4)CCC3)C[C@H]21 ZINC001074304278 773807954 /nfs/dbraw/zinc/80/79/54/773807954.db2.gz HPVZDLKMGQUKIL-IAGOWNOFSA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C4CC4)CCC3)C[C@H]21 ZINC001074304278 773807959 /nfs/dbraw/zinc/80/79/59/773807959.db2.gz HPVZDLKMGQUKIL-IAGOWNOFSA-N 1 2 316.445 1.892 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCn3cccc3)C[C@H]21 ZINC001074369271 773861520 /nfs/dbraw/zinc/86/15/20/773861520.db2.gz SZNTZHIIHSWKPG-IAGOWNOFSA-N 1 2 317.433 1.756 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCn3cccc3)C[C@H]21 ZINC001074369271 773861525 /nfs/dbraw/zinc/86/15/25/773861525.db2.gz SZNTZHIIHSWKPG-IAGOWNOFSA-N 1 2 317.433 1.756 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(OC)o3)C[C@@H]21 ZINC001074369168 773861641 /nfs/dbraw/zinc/86/16/41/773861641.db2.gz RAVQBWRBRSSXBC-UONOGXRCSA-N 1 2 320.389 1.780 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(OC)o3)C[C@@H]21 ZINC001074369168 773861647 /nfs/dbraw/zinc/86/16/47/773861647.db2.gz RAVQBWRBRSSXBC-UONOGXRCSA-N 1 2 320.389 1.780 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]2C)c(C)c(C)[nH+]1 ZINC001091944436 773885781 /nfs/dbraw/zinc/88/57/81/773885781.db2.gz APKSAONBHKZECG-BHYNMZESSA-N 1 2 301.394 1.645 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC13CC3)c1nccn12 ZINC001092400980 774102320 /nfs/dbraw/zinc/10/23/20/774102320.db2.gz TYXBXIYBEUYTAK-UONOGXRCSA-N 1 2 310.401 1.278 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C3CC3)CC1)c1nccn12 ZINC001092376306 774108428 /nfs/dbraw/zinc/10/84/28/774108428.db2.gz VILXEWJCZDFNJF-CQSZACIVSA-N 1 2 312.417 1.831 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001075127308 774371436 /nfs/dbraw/zinc/37/14/36/774371436.db2.gz LXOBZNHEMRIXHJ-ZIAGYGMSSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001075128168 774372638 /nfs/dbraw/zinc/37/26/38/774372638.db2.gz VWZABVRMQOEXKP-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2[C@H](CC[N@@H+]2Cc2csnn2)C1 ZINC001036714336 774386360 /nfs/dbraw/zinc/38/63/60/774386360.db2.gz WPEDZYMZPWMOFA-HIFRSBDPSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2[C@H](CC[N@H+]2Cc2csnn2)C1 ZINC001036714336 774386365 /nfs/dbraw/zinc/38/63/65/774386365.db2.gz WPEDZYMZPWMOFA-HIFRSBDPSA-N 1 2 316.430 1.374 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C(CC)CC)C2)nn1 ZINC001098618725 774623901 /nfs/dbraw/zinc/62/39/01/774623901.db2.gz JGNRVIRCJXPCQJ-MRXNPFEDSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)C3=NC(=O)N(C)C3)CC[C@@H]21 ZINC001036809458 774693983 /nfs/dbraw/zinc/69/39/83/774693983.db2.gz LJGMAZQDOLUPEH-YPMHNXCESA-N 1 2 324.812 1.415 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)C3=NC(=O)N(C)C3)CC[C@@H]21 ZINC001036809458 774693986 /nfs/dbraw/zinc/69/39/86/774693986.db2.gz LJGMAZQDOLUPEH-YPMHNXCESA-N 1 2 324.812 1.415 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cncnc3)CC2)C1 ZINC001093529671 774781649 /nfs/dbraw/zinc/78/16/49/774781649.db2.gz CKFKIGDCLPOOHH-UHFFFAOYSA-N 1 2 316.405 1.358 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccsn3)CC2)C1 ZINC001093545298 774821470 /nfs/dbraw/zinc/82/14/70/774821470.db2.gz CQUZWLFLYPWUPC-UHFFFAOYSA-N 1 2 307.419 1.635 20 30 DDEDLO C#CCCCCC(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001093556162 774879643 /nfs/dbraw/zinc/87/96/43/774879643.db2.gz GIFRLEDJFVMZTO-UHFFFAOYSA-N 1 2 315.421 1.186 20 30 DDEDLO C#CCCCCC(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001093556162 774879653 /nfs/dbraw/zinc/87/96/53/774879653.db2.gz GIFRLEDJFVMZTO-UHFFFAOYSA-N 1 2 315.421 1.186 20 30 DDEDLO Cc1nsc(N[C@@H](C)CCNC(=O)Cn2cc[nH+]c2)c1C#N ZINC001099262924 774923152 /nfs/dbraw/zinc/92/31/52/774923152.db2.gz ZDPWBRZXJLLGPR-JTQLQIEISA-N 1 2 318.406 1.527 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099806566 775305285 /nfs/dbraw/zinc/30/52/85/775305285.db2.gz CUTXMDSYVUCVIG-CVEARBPZSA-N 1 2 319.380 1.076 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099806566 775305295 /nfs/dbraw/zinc/30/52/95/775305295.db2.gz CUTXMDSYVUCVIG-CVEARBPZSA-N 1 2 319.380 1.076 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001099858907 775361872 /nfs/dbraw/zinc/36/18/72/775361872.db2.gz SWWQBYWDGSYMAP-HOTGVXAUSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001099858907 775361878 /nfs/dbraw/zinc/36/18/78/775361878.db2.gz SWWQBYWDGSYMAP-HOTGVXAUSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cccs3)nn2)C1 ZINC001094269986 775612020 /nfs/dbraw/zinc/61/20/20/775612020.db2.gz CPHHXCNPDSDHTR-UHFFFAOYSA-N 1 2 303.391 1.312 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3ccsn3)nn2)C1 ZINC001094299994 775702725 /nfs/dbraw/zinc/70/27/25/775702725.db2.gz YLRQSILHZAKGGH-UHFFFAOYSA-N 1 2 318.406 1.097 20 30 DDEDLO N#CCN1CC[C@@]2(CNC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)CCC[C@@H]12 ZINC001094439751 775874899 /nfs/dbraw/zinc/87/48/99/775874899.db2.gz UQYGIKXQLLSPHB-GKLJAUDBSA-N 1 2 313.405 1.398 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NCCCNc1ccc(C#N)nc1 ZINC001094525356 775985120 /nfs/dbraw/zinc/98/51/20/775985120.db2.gz JXWKTACTNRTBCO-UHFFFAOYSA-N 1 2 312.377 1.238 20 30 DDEDLO N#Cc1c(Cl)nsc1NCCCNC(=O)Cc1[nH]cc[nH+]1 ZINC001094549711 776060048 /nfs/dbraw/zinc/06/00/48/776060048.db2.gz QRIIXICZWKWMCX-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO Cc1ccc(C#N)c(N(C)CCNC(=O)CCc2c[nH]c[nH+]2)n1 ZINC001100380599 776076496 /nfs/dbraw/zinc/07/64/96/776076496.db2.gz ULNWCYOSKBYUTH-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO Cc1ccc(C#N)c(N(C)CCNC(=O)CCc2c[nH+]c[nH]2)n1 ZINC001100380599 776076505 /nfs/dbraw/zinc/07/65/05/776076505.db2.gz ULNWCYOSKBYUTH-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094710533 776178568 /nfs/dbraw/zinc/17/85/68/776178568.db2.gz NREPGWNUHARPBF-GFCCVEGCSA-N 1 2 316.409 1.884 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094712534 776180247 /nfs/dbraw/zinc/18/02/47/776180247.db2.gz HJGONRJEBFYZSH-VXGBXAGGSA-N 1 2 316.409 1.740 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3cscc3C)CC2=O)C1 ZINC001094715715 776182549 /nfs/dbraw/zinc/18/25/49/776182549.db2.gz PIRLGNLDMLAGKA-LBPRGKRZSA-N 1 2 319.430 1.257 20 30 DDEDLO Cc1ccc(C#N)c(NCCCNC(=O)CCn2cc[nH+]c2)n1 ZINC001094690244 776199777 /nfs/dbraw/zinc/19/97/77/776199777.db2.gz ZJUNQQXYRKUTRY-UHFFFAOYSA-N 1 2 312.377 1.467 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)c3occc3C)CC2=O)C1 ZINC001094706069 776217636 /nfs/dbraw/zinc/21/76/36/776217636.db2.gz VRTGVBSXQMQMRH-ZDUSSCGKSA-N 1 2 317.389 1.179 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCN(CC)c1nc(C)[nH+]c(C)c1C ZINC001100503326 776244352 /nfs/dbraw/zinc/24/43/52/776244352.db2.gz WROFHUAQAUCSAN-QGZVFWFLSA-N 1 2 320.437 1.671 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCCCNc1ccc(C#N)nc1 ZINC001094827305 776382443 /nfs/dbraw/zinc/38/24/43/776382443.db2.gz BYMMVHYJXDJXKE-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCCCNc1ccc(C#N)nc1 ZINC001094827305 776382455 /nfs/dbraw/zinc/38/24/55/776382455.db2.gz BYMMVHYJXDJXKE-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@H](CNC(=O)Cn3cc[nH+]c3)C2)n1 ZINC001100989499 776798543 /nfs/dbraw/zinc/79/85/43/776798543.db2.gz AKLCDHGBCBNCJL-CQSZACIVSA-N 1 2 324.388 1.101 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@@H]([NH2+]Cc2nnn(C)n2)C12CCC2 ZINC001203340498 776830963 /nfs/dbraw/zinc/83/09/63/776830963.db2.gz LWHIOTGXXYLCIE-OLZOCXBDSA-N 1 2 318.425 1.083 20 30 DDEDLO N#Cc1cnc(NC2(CNC(=O)Cn3cc[nH+]c3)CC2)c(F)c1 ZINC001110169755 777113082 /nfs/dbraw/zinc/11/30/82/777113082.db2.gz ZHHSDTXNGSYCFC-UHFFFAOYSA-N 1 2 314.324 1.050 20 30 DDEDLO CCOC(=O)C[C@@H]1CC[C@@H]([NH2+][C@@H](CS)C(=O)OCC)C1 ZINC001173624651 777281708 /nfs/dbraw/zinc/28/17/08/777281708.db2.gz ANFXINWFBVFSID-UTUOFQBUSA-N 1 2 303.424 1.559 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C(C)=C/CC)c1nccn12 ZINC001101614332 777306470 /nfs/dbraw/zinc/30/64/70/777306470.db2.gz RYTRNYOTBLJRJZ-YGLIYXGISA-N 1 2 300.406 1.997 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(CCF)CC1)c1nccn12 ZINC001101635634 777332381 /nfs/dbraw/zinc/33/23/81/777332381.db2.gz NZBKAHAMCSTAGC-ZDUSSCGKSA-N 1 2 318.396 1.781 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1CC2(C[NH+](CC=C)C2)n2ccnc21 ZINC001101654092 777348237 /nfs/dbraw/zinc/34/82/37/777348237.db2.gz KLRQUSXRMJTDRU-UONOGXRCSA-N 1 2 316.405 1.232 20 30 DDEDLO Cc1nsc(NCCN(C)C(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001101728963 777445685 /nfs/dbraw/zinc/44/56/85/777445685.db2.gz SGHHDMMPUJTAAV-UHFFFAOYSA-N 1 2 304.379 1.159 20 30 DDEDLO N#Cc1ccc(F)c(Nc2cnn(CC[NH+]3CCOCC3)c2)c1 ZINC001174492246 777486300 /nfs/dbraw/zinc/48/63/00/777486300.db2.gz SWDSEURVMURTDJ-UHFFFAOYSA-N 1 2 315.352 1.970 20 30 DDEDLO Cc1ccc(C#N)c(NCCN(C)C(=O)CCn2cc[nH+]c2)n1 ZINC001101889014 777648519 /nfs/dbraw/zinc/64/85/19/777648519.db2.gz CRZNNMAAWWCNPF-UHFFFAOYSA-N 1 2 312.377 1.419 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)CCNc2ccc(C#N)nn2)c(C)[nH+]1 ZINC001102061126 777844174 /nfs/dbraw/zinc/84/41/74/777844174.db2.gz GHIWBNWNMCSCSL-UHFFFAOYSA-N 1 2 324.388 1.853 20 30 DDEDLO C=CCn1cc(Nc2cnn(CC[NH+]3CCOCC3)c2)cn1 ZINC001176544591 778166249 /nfs/dbraw/zinc/16/62/49/778166249.db2.gz YQYLGCQCKWCUQD-UHFFFAOYSA-N 1 2 302.382 1.341 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102675817 778297221 /nfs/dbraw/zinc/29/72/21/778297221.db2.gz YPSNQCAAJPRZAM-ZFWWWQNUSA-N 1 2 318.421 1.803 20 30 DDEDLO CN(C(=O)CCc1[nH]cc[nH+]1)[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001102695522 778321989 /nfs/dbraw/zinc/32/19/89/778321989.db2.gz IAAUARMAQDVVTM-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC3(C)CC3)C[C@@H]21 ZINC001177125771 778437457 /nfs/dbraw/zinc/43/74/57/778437457.db2.gz SNYTWPUMRIJAFQ-HOTGVXAUSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC3(C)CC3)C[C@@H]21 ZINC001177125771 778437462 /nfs/dbraw/zinc/43/74/62/778437462.db2.gz SNYTWPUMRIJAFQ-HOTGVXAUSA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CCOC)[C@@H]3C2)CCC1 ZINC001177100188 778445849 /nfs/dbraw/zinc/44/58/49/778445849.db2.gz APGXDSCBHSCJGL-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CCOC)[C@@H]3C2)CCC1 ZINC001177100188 778445853 /nfs/dbraw/zinc/44/58/53/778445853.db2.gz APGXDSCBHSCJGL-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001103797100 779052594 /nfs/dbraw/zinc/05/25/94/779052594.db2.gz FLELDAAQWYXCMT-CYBMUJFWSA-N 1 2 318.425 1.615 20 30 DDEDLO O=C(C#CC1CC1)N[C@]12CCC[C@H]1C[N@H+](Cc1cnns1)C2 ZINC001111740833 779458448 /nfs/dbraw/zinc/45/84/48/779458448.db2.gz QERRBMYZFCNSPU-BBRMVZONSA-N 1 2 316.430 1.422 20 30 DDEDLO O=C(C#CC1CC1)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnns1)C2 ZINC001111740833 779458453 /nfs/dbraw/zinc/45/84/53/779458453.db2.gz QERRBMYZFCNSPU-BBRMVZONSA-N 1 2 316.430 1.422 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)Cc3[nH]cc[nH+]3)CCC2)nc1 ZINC001111839909 779509340 /nfs/dbraw/zinc/50/93/40/779509340.db2.gz LYPRMBGBYVMENZ-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1(Nc2cc[nH+]c(C)n2)CCC1 ZINC001111909963 779553064 /nfs/dbraw/zinc/55/30/64/779553064.db2.gz IENPOSLMRSSYSK-KGLIPLIRSA-N 1 2 316.405 1.827 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCc3c[nH]c[nH+]3)CCC2)cn1 ZINC001111920767 779560461 /nfs/dbraw/zinc/56/04/61/779560461.db2.gz ZYDPCVSIJAWLKK-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCc3c[nH+]c[nH]3)CCC2)cn1 ZINC001111920767 779560465 /nfs/dbraw/zinc/56/04/65/779560465.db2.gz ZYDPCVSIJAWLKK-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115253060 779981717 /nfs/dbraw/zinc/98/17/17/779981717.db2.gz ODGFIDPPQOZDDV-KRWDZBQOSA-N 1 2 320.437 1.956 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001115716590 780372345 /nfs/dbraw/zinc/37/23/45/780372345.db2.gz UDXWXJVBVMLQRR-GFCCVEGCSA-N 1 2 314.393 1.282 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)C(C)(C)[C@@H]2c2cnn(C)c2)C1 ZINC001117487899 780809729 /nfs/dbraw/zinc/80/97/29/780809729.db2.gz LHBGRNQZNRQODV-GJZGRUSLSA-N 1 2 318.421 1.564 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)C(C)(C)[C@@H]2c2cnn(C)c2)C1 ZINC001117487899 780809739 /nfs/dbraw/zinc/80/97/39/780809739.db2.gz LHBGRNQZNRQODV-GJZGRUSLSA-N 1 2 318.421 1.564 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@H+](C)CCNC(=O)C#CC1CC1 ZINC001266273669 836025086 /nfs/dbraw/zinc/02/50/86/836025086.db2.gz XDRJICNSNVCGBU-UHFFFAOYSA-N 1 2 313.401 1.395 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@@H+](C)CCNC(=O)C#CC1CC1 ZINC001266273669 836025091 /nfs/dbraw/zinc/02/50/91/836025091.db2.gz XDRJICNSNVCGBU-UHFFFAOYSA-N 1 2 313.401 1.395 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1cc(C)cc(C)c1 ZINC001266352335 836159434 /nfs/dbraw/zinc/15/94/34/836159434.db2.gz XXSSOQOWUKBIPS-UHFFFAOYSA-N 1 2 303.406 1.267 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1cc(C)cc(C)c1 ZINC001266352335 836159436 /nfs/dbraw/zinc/15/94/36/836159436.db2.gz XXSSOQOWUKBIPS-UHFFFAOYSA-N 1 2 303.406 1.267 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@H+](Cc3cnon3)CC[C@@H]21 ZINC001266660314 836609811 /nfs/dbraw/zinc/60/98/11/836609811.db2.gz WGNHQRCCDADNNF-ZFWWWQNUSA-N 1 2 304.394 1.849 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@@H+](Cc3cnon3)CC[C@@H]21 ZINC001266660314 836609817 /nfs/dbraw/zinc/60/98/17/836609817.db2.gz WGNHQRCCDADNNF-ZFWWWQNUSA-N 1 2 304.394 1.849 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CCC[N@@H+](Cc2nnc(C)[nH]2)C1 ZINC001266770013 836805688 /nfs/dbraw/zinc/80/56/88/836805688.db2.gz NDNQAXIOQWFXDG-AWEZNQCLSA-N 1 2 321.425 1.034 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CCC[N@H+](Cc2nnc(C)[nH]2)C1 ZINC001266770013 836805698 /nfs/dbraw/zinc/80/56/98/836805698.db2.gz NDNQAXIOQWFXDG-AWEZNQCLSA-N 1 2 321.425 1.034 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001266903540 837034954 /nfs/dbraw/zinc/03/49/54/837034954.db2.gz CRKHXWPTXLIXBV-HSMHQDCBSA-N 1 2 317.437 1.823 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001266903540 837034965 /nfs/dbraw/zinc/03/49/65/837034965.db2.gz CRKHXWPTXLIXBV-HSMHQDCBSA-N 1 2 317.437 1.823 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[NH2+]Cc1nc(C2CC2)no1 ZINC001266963793 837121660 /nfs/dbraw/zinc/12/16/60/837121660.db2.gz HKGLOPOQCFAUHI-GFCCVEGCSA-N 1 2 304.394 1.735 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@H](NCC#N)c1ccccc1 ZINC001267523623 838244812 /nfs/dbraw/zinc/24/48/12/838244812.db2.gz VWMVLAWXVXOWOS-CJNGLKHVSA-N 1 2 311.389 1.559 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@H](NCC#N)c1ccccc1 ZINC001267523623 838244822 /nfs/dbraw/zinc/24/48/22/838244822.db2.gz VWMVLAWXVXOWOS-CJNGLKHVSA-N 1 2 311.389 1.559 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H](C[N@@H+](C)Cc2nncs2)C1 ZINC001267596907 838395336 /nfs/dbraw/zinc/39/53/36/838395336.db2.gz UFVKOKSQQMRBNZ-CYBMUJFWSA-N 1 2 324.450 1.411 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H](C[N@H+](C)Cc2nncs2)C1 ZINC001267596907 838395341 /nfs/dbraw/zinc/39/53/41/838395341.db2.gz UFVKOKSQQMRBNZ-CYBMUJFWSA-N 1 2 324.450 1.411 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H](CC)CCCC)C1 ZINC001267611544 838457708 /nfs/dbraw/zinc/45/77/08/838457708.db2.gz ZQTZPSDRYVKFDI-HZPDHXFCSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](CC)CCCC)C1 ZINC001267611544 838457711 /nfs/dbraw/zinc/45/77/11/838457711.db2.gz ZQTZPSDRYVKFDI-HZPDHXFCSA-N 1 2 321.465 1.533 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCC2(C)C)C1 ZINC001267617332 838494400 /nfs/dbraw/zinc/49/44/00/838494400.db2.gz FFYLHPJWEDHZGH-KGLIPLIRSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCC2(C)C)C1 ZINC001267617332 838494405 /nfs/dbraw/zinc/49/44/05/838494405.db2.gz FFYLHPJWEDHZGH-KGLIPLIRSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C(C)CCC(=O)NCC1C[NH+](CC(=O)NCC2CCC2)C1 ZINC001267683285 838636475 /nfs/dbraw/zinc/63/64/75/838636475.db2.gz KHWCZVXXGFEGGA-UHFFFAOYSA-N 1 2 307.438 1.307 20 30 DDEDLO C=CC[C@H](C(=O)NCC1C[NH+]([C@H](C)C(N)=O)C1)c1ccccc1 ZINC001267699559 838671931 /nfs/dbraw/zinc/67/19/31/838671931.db2.gz XBHDKZLSGNTCIN-CJNGLKHVSA-N 1 2 315.417 1.268 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2[nH]ncc21 ZINC001267711025 838696529 /nfs/dbraw/zinc/69/65/29/838696529.db2.gz HNIRKDFYDBXCDF-KBPBESRZSA-N 1 2 324.384 1.111 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2[nH]ncc21 ZINC001267711025 838696535 /nfs/dbraw/zinc/69/65/35/838696535.db2.gz HNIRKDFYDBXCDF-KBPBESRZSA-N 1 2 324.384 1.111 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@H](NC(=O)CSCC#N)C2)cn1 ZINC001267719009 838724487 /nfs/dbraw/zinc/72/44/87/838724487.db2.gz NLLPXYRFJDRLML-OLZOCXBDSA-N 1 2 319.434 1.293 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CC[C@H](NC(=O)CSCC#N)C2)cn1 ZINC001267719009 838724499 /nfs/dbraw/zinc/72/44/99/838724499.db2.gz NLLPXYRFJDRLML-OLZOCXBDSA-N 1 2 319.434 1.293 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2c(C)coc2C)C1 ZINC001267723203 838735711 /nfs/dbraw/zinc/73/57/11/838735711.db2.gz ZGNUARRXFUWLJO-ZDUSSCGKSA-N 1 2 305.378 1.003 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2c(C)coc2C)C1 ZINC001267723203 838735719 /nfs/dbraw/zinc/73/57/19/838735719.db2.gz ZGNUARRXFUWLJO-ZDUSSCGKSA-N 1 2 305.378 1.003 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+]([C@@H](C)c2ncc(C)o2)C1 ZINC001267724532 838742577 /nfs/dbraw/zinc/74/25/77/838742577.db2.gz WOHBCEZHDJVQAD-KBPBESRZSA-N 1 2 305.378 1.274 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+]([C@@H](C)c2ncc(C)o2)C1 ZINC001267724532 838742585 /nfs/dbraw/zinc/74/25/85/838742585.db2.gz WOHBCEZHDJVQAD-KBPBESRZSA-N 1 2 305.378 1.274 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H]1CC[N@@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001267765472 838907013 /nfs/dbraw/zinc/90/70/13/838907013.db2.gz ZQHWUMUMAXCKNY-TZMCWYRMSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H]1CC[N@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001267765472 838907017 /nfs/dbraw/zinc/90/70/17/838907017.db2.gz ZQHWUMUMAXCKNY-TZMCWYRMSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@](C)(CC)CCC)C1 ZINC001268225792 839859759 /nfs/dbraw/zinc/85/97/59/839859759.db2.gz MPJNUIDBBPXEMD-YJBOKZPZSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@](C)(CC)CCC)C1 ZINC001268225792 839859765 /nfs/dbraw/zinc/85/97/65/839859765.db2.gz MPJNUIDBBPXEMD-YJBOKZPZSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@H]1C[C@H](C)C[C@H](C)C1 ZINC001268259443 839910848 /nfs/dbraw/zinc/91/08/48/839910848.db2.gz LOTDHELFBJMBHD-ZSHCYNCHSA-N 1 2 321.465 1.198 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@H]1C[C@H](C)C[C@H](C)C1 ZINC001268259443 839910852 /nfs/dbraw/zinc/91/08/52/839910852.db2.gz LOTDHELFBJMBHD-ZSHCYNCHSA-N 1 2 321.465 1.198 20 30 DDEDLO C#CCCCC(=O)NC/C=C\C[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001268534401 840407800 /nfs/dbraw/zinc/40/78/00/840407800.db2.gz ULQNBFFWNFUJQX-HJWRWDBZSA-N 1 2 318.421 1.933 20 30 DDEDLO C#CC(=O)N1CC2(C[C@@H]2C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001268851457 840901236 /nfs/dbraw/zinc/90/12/36/840901236.db2.gz MUHKQBMMKYERHI-OAHLLOKOSA-N 1 2 320.352 1.293 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1C[NH+](Cc2ccnc(OC)n2)C1 ZINC001268867245 840921322 /nfs/dbraw/zinc/92/13/22/840921322.db2.gz JMXYQQPDEPGXRZ-ZDUSSCGKSA-N 1 2 318.421 1.636 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC(=O)N1CCCC1 ZINC001268959737 841055609 /nfs/dbraw/zinc/05/56/09/841055609.db2.gz GQCXOVDJLAZZTA-PMPSAXMXSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC(=O)N1CCCC1 ZINC001268959737 841055622 /nfs/dbraw/zinc/05/56/22/841055622.db2.gz GQCXOVDJLAZZTA-PMPSAXMXSA-N 1 2 319.449 1.496 20 30 DDEDLO CCCCCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](C)C(N)=O ZINC001268984141 841081441 /nfs/dbraw/zinc/08/14/41/841081441.db2.gz VDEUPFVHOPLACV-KKUMJFAQSA-N 1 2 309.454 1.896 20 30 DDEDLO CCCCCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](C)C(N)=O ZINC001268984141 841081452 /nfs/dbraw/zinc/08/14/52/841081452.db2.gz VDEUPFVHOPLACV-KKUMJFAQSA-N 1 2 309.454 1.896 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)cn2)C1 ZINC001269121347 841229686 /nfs/dbraw/zinc/22/96/86/841229686.db2.gz CJDKFAMDILKHNW-OAHLLOKOSA-N 1 2 300.406 1.669 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)cn2)C1 ZINC001269121347 841229689 /nfs/dbraw/zinc/22/96/89/841229689.db2.gz CJDKFAMDILKHNW-OAHLLOKOSA-N 1 2 300.406 1.669 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2occ3c2CCC3)C1 ZINC001269151871 841269742 /nfs/dbraw/zinc/26/97/42/841269742.db2.gz YEQYYTOXALYJDA-CQSZACIVSA-N 1 2 302.374 1.222 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2occ3c2CCC3)C1 ZINC001269151871 841269755 /nfs/dbraw/zinc/26/97/55/841269755.db2.gz YEQYYTOXALYJDA-CQSZACIVSA-N 1 2 302.374 1.222 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)C(C)(C)c1ccccc1 ZINC001269344098 841544218 /nfs/dbraw/zinc/54/42/18/841544218.db2.gz RSPHUGLNWWLJLR-QGZVFWFLSA-N 1 2 314.429 1.805 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)C(C)(C)c1ccccc1 ZINC001269344098 841544225 /nfs/dbraw/zinc/54/42/25/841544225.db2.gz RSPHUGLNWWLJLR-QGZVFWFLSA-N 1 2 314.429 1.805 20 30 DDEDLO C#CCN(C(=O)[C@H](C)C#N)C1CC[NH+](Cc2ncccn2)CC1 ZINC001270295463 842462716 /nfs/dbraw/zinc/46/27/16/842462716.db2.gz AJHTYCVIIGZLPR-CQSZACIVSA-N 1 2 311.389 1.062 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@]2(C1)C[N@H+](Cc1ccc[nH]1)CCO2 ZINC001270509157 842631262 /nfs/dbraw/zinc/63/12/62/842631262.db2.gz ZTJHNTRGXQPHEE-RHSMWYFYSA-N 1 2 316.405 1.368 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@]2(C1)C[N@@H+](Cc1ccc[nH]1)CCO2 ZINC001270509157 842631264 /nfs/dbraw/zinc/63/12/64/842631264.db2.gz ZTJHNTRGXQPHEE-RHSMWYFYSA-N 1 2 316.405 1.368 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)CC2CCCCC2)C1 ZINC001270619750 842728341 /nfs/dbraw/zinc/72/83/41/842728341.db2.gz HJNWKAZVEFXRFW-QGZVFWFLSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)CC2CCCCC2)C1 ZINC001270619750 842728346 /nfs/dbraw/zinc/72/83/46/842728346.db2.gz HJNWKAZVEFXRFW-QGZVFWFLSA-N 1 2 324.465 1.976 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CCC3(CC2)CNC(=O)CO3)c1F ZINC001143174753 861400432 /nfs/dbraw/zinc/40/04/32/861400432.db2.gz XCZVJRNYQMRXHY-UHFFFAOYSA-N 1 2 321.327 1.317 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC1(O)C[NH+](Cc2ccccc2)C1 ZINC001271253378 843448208 /nfs/dbraw/zinc/44/82/08/843448208.db2.gz CCRWFXRIVGALPY-OAHLLOKOSA-N 1 2 318.417 1.331 20 30 DDEDLO C=CCOCC[NH+]1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC001227474663 843587199 /nfs/dbraw/zinc/58/71/99/843587199.db2.gz XJJFIHNFCMLPCS-LLVKDONJSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCOCC[NH+]1CCC(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001227474663 843587204 /nfs/dbraw/zinc/58/72/04/843587204.db2.gz XJJFIHNFCMLPCS-LLVKDONJSA-N 1 2 312.307 1.670 20 30 DDEDLO C[C@@H]1CCCN(C(=O)CCc2[nH+]ccn2C)[C@H]1CNCC#N ZINC001271728906 843817012 /nfs/dbraw/zinc/81/70/12/843817012.db2.gz VMDCVZITZRIYJM-KGLIPLIRSA-N 1 2 303.410 1.093 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](O)C[NH2+][C@@H](C)c2csnn2)CC1 ZINC001272511462 846249015 /nfs/dbraw/zinc/24/90/15/846249015.db2.gz BTAVEBMFGJMLJN-AAEUAGOBSA-N 1 2 324.450 1.412 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1ccc(CO)cc1)C2 ZINC001272564398 846317970 /nfs/dbraw/zinc/31/79/70/846317970.db2.gz BONRLUCRUDTFTN-UHFFFAOYSA-N 1 2 316.401 1.168 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O ZINC001155067178 861699225 /nfs/dbraw/zinc/69/92/25/861699225.db2.gz UTGAUWWGVJECTQ-UKRRQHHQSA-N 1 2 318.483 1.460 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O ZINC001155067178 861699236 /nfs/dbraw/zinc/69/92/36/861699236.db2.gz UTGAUWWGVJECTQ-UKRRQHHQSA-N 1 2 318.483 1.460 20 30 DDEDLO CC(C)C(=O)NC[C@@]1(C)C[N@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107746914 846923771 /nfs/dbraw/zinc/92/37/71/846923771.db2.gz MUVPCLBULXCBKM-SFHVURJKSA-N 1 2 315.417 1.921 20 30 DDEDLO CC(C)C(=O)NC[C@@]1(C)C[N@@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107746914 846923778 /nfs/dbraw/zinc/92/37/78/846923778.db2.gz MUVPCLBULXCBKM-SFHVURJKSA-N 1 2 315.417 1.921 20 30 DDEDLO C=CCN(C(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21)C1CC1 ZINC001326994440 861781803 /nfs/dbraw/zinc/78/18/03/861781803.db2.gz AUBAMDOPGWZMTI-INIZCTEOSA-N 1 2 313.401 1.466 20 30 DDEDLO C=CCN(C(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21)C1CC1 ZINC001326994440 861781809 /nfs/dbraw/zinc/78/18/09/861781809.db2.gz AUBAMDOPGWZMTI-INIZCTEOSA-N 1 2 313.401 1.466 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnnc1 ZINC001272892273 847599387 /nfs/dbraw/zinc/59/93/87/847599387.db2.gz BQCLNGFYHPIJFH-MRXNPFEDSA-N 1 2 318.421 1.654 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnnc1 ZINC001272892273 847599394 /nfs/dbraw/zinc/59/93/94/847599394.db2.gz BQCLNGFYHPIJFH-MRXNPFEDSA-N 1 2 318.421 1.654 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc(OC)n1 ZINC001272894574 847602872 /nfs/dbraw/zinc/60/28/72/847602872.db2.gz RXFHZKOTYQTQHV-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc(OC)n1 ZINC001272894574 847602878 /nfs/dbraw/zinc/60/28/78/847602878.db2.gz RXFHZKOTYQTQHV-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccc(C)o2)[C@H](O)C1 ZINC001090205127 848270867 /nfs/dbraw/zinc/27/08/67/848270867.db2.gz ZUZGEQWYBYXSIT-ZIAGYGMSSA-N 1 2 312.797 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccc(C)o2)[C@H](O)C1 ZINC001090205127 848270878 /nfs/dbraw/zinc/27/08/78/848270878.db2.gz ZUZGEQWYBYXSIT-ZIAGYGMSSA-N 1 2 312.797 1.434 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C1 ZINC001410017273 848623534 /nfs/dbraw/zinc/62/35/34/848623534.db2.gz ULLTXLCMXCLDSW-GXTWGEPZSA-N 1 2 322.453 1.277 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C1 ZINC001410017273 848623537 /nfs/dbraw/zinc/62/35/37/848623537.db2.gz ULLTXLCMXCLDSW-GXTWGEPZSA-N 1 2 322.453 1.277 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3ncc(C)s3)C2)OCC1=O ZINC001273222151 848805693 /nfs/dbraw/zinc/80/56/93/848805693.db2.gz RONCKQIJLPYVHM-OAHLLOKOSA-N 1 2 307.419 1.441 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3ncc(C)s3)C2)OCC1=O ZINC001273222151 848805698 /nfs/dbraw/zinc/80/56/98/848805698.db2.gz RONCKQIJLPYVHM-OAHLLOKOSA-N 1 2 307.419 1.441 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)OCC(C)C ZINC001230977589 849199356 /nfs/dbraw/zinc/19/93/56/849199356.db2.gz QFVUPRJOFRJCID-HZPDHXFCSA-N 1 2 310.438 1.230 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)OCC(C)C ZINC001230977589 849199360 /nfs/dbraw/zinc/19/93/60/849199360.db2.gz QFVUPRJOFRJCID-HZPDHXFCSA-N 1 2 310.438 1.230 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2([NH2+]Cc3ncnn3CC)CC2)C1 ZINC001323538691 849444556 /nfs/dbraw/zinc/44/45/56/849444556.db2.gz ACYXKBWOZRBUDX-UHFFFAOYSA-N 1 2 303.410 1.393 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)CC(C)(C)C=C)C2)CC1 ZINC001273374000 849734285 /nfs/dbraw/zinc/73/42/85/849734285.db2.gz GNYVDFSCZXKKRE-QGZVFWFLSA-N 1 2 317.477 1.831 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[NH2+][C@H](C)c1noc(C)n1 ZINC001155446681 862100099 /nfs/dbraw/zinc/10/00/99/862100099.db2.gz NOBCDRDNGMVUNL-VXGBXAGGSA-N 1 2 310.398 1.516 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@H](O)CN(C)C(=O)CC1CC1 ZINC001411121922 850252672 /nfs/dbraw/zinc/25/26/72/850252672.db2.gz CFXSEMUOAMHILL-LBPRGKRZSA-N 1 2 319.243 1.446 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@H](O)CN(C)C(=O)CC1CC1 ZINC001411121922 850252683 /nfs/dbraw/zinc/25/26/83/850252683.db2.gz CFXSEMUOAMHILL-LBPRGKRZSA-N 1 2 319.243 1.446 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)CO[C@H](C)CC)O2 ZINC001273653916 851187646 /nfs/dbraw/zinc/18/76/46/851187646.db2.gz CZWMGRCRMIJSDL-HUUCEWRRSA-N 1 2 310.438 1.727 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H](OCC)C1CC1)O2 ZINC001273660942 851196896 /nfs/dbraw/zinc/19/68/96/851196896.db2.gz CTWSRBMNHDGCRE-CABCVRRESA-N 1 2 308.422 1.337 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)C1CCC1)CO2 ZINC001273703731 851244960 /nfs/dbraw/zinc/24/49/60/851244960.db2.gz FHBGGYKRAXYDII-GDBMZVCRSA-N 1 2 322.449 1.585 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1COC2(C[NH+]([C@@H](C)COC)C2)C1 ZINC001273712982 851256874 /nfs/dbraw/zinc/25/68/74/851256874.db2.gz LLFWPXNVAXPBMT-KBPBESRZSA-N 1 2 310.438 1.583 20 30 DDEDLO C=CCCCC(=O)N[C@H]1COC2(CN(Cc3c[nH+]cn3C)C2)C1 ZINC001273713353 851263092 /nfs/dbraw/zinc/26/30/92/851263092.db2.gz QUQFLSZOABBKCP-CQSZACIVSA-N 1 2 318.421 1.236 20 30 DDEDLO O=C(NCc1ccn2cc[nH+]c2c1)c1cccc(C#CCO)c1 ZINC001150468579 862257272 /nfs/dbraw/zinc/25/72/72/862257272.db2.gz HRBGNKWXAHNKJW-UHFFFAOYSA-N 1 2 305.337 1.608 20 30 DDEDLO CCOC(=O)[C@@H](C)NC(=O)[C@H]1CC12CC[NH+](CCC#N)CC2 ZINC001274030758 851867527 /nfs/dbraw/zinc/86/75/27/851867527.db2.gz WVLPDVIHQUTOMP-CHWSQXEVSA-N 1 2 307.394 1.070 20 30 DDEDLO C=C(C)CN1CC2(CN(Cc3cc(OC)cc[nH+]3)C2)OCC1=O ZINC001274251374 852096599 /nfs/dbraw/zinc/09/65/99/852096599.db2.gz QUXJQPXRKUIJAN-UHFFFAOYSA-N 1 2 317.389 1.080 20 30 DDEDLO C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1ncc(C)cn1 ZINC001274442015 852269652 /nfs/dbraw/zinc/26/96/52/852269652.db2.gz LBKYLBFUTYTENO-HUUCEWRRSA-N 1 2 300.406 1.926 20 30 DDEDLO C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1ncc(C)cn1 ZINC001274442015 852269661 /nfs/dbraw/zinc/26/96/61/852269661.db2.gz LBKYLBFUTYTENO-HUUCEWRRSA-N 1 2 300.406 1.926 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1nccnc1Cl ZINC001274443289 852276275 /nfs/dbraw/zinc/27/62/75/852276275.db2.gz GQCQMNMWTDQWPE-CHWSQXEVSA-N 1 2 304.781 1.329 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1nccnc1Cl ZINC001274443289 852276280 /nfs/dbraw/zinc/27/62/80/852276280.db2.gz GQCQMNMWTDQWPE-CHWSQXEVSA-N 1 2 304.781 1.329 20 30 DDEDLO C#Cc1ccccc1C(=O)N1CC2(C1)C[NH+](Cc1ccc[nH]1)C2 ZINC001274874831 852654470 /nfs/dbraw/zinc/65/44/70/852654470.db2.gz NGUKXSOZYHXAKN-UHFFFAOYSA-N 1 2 305.381 1.954 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)C[NH2+]Cc2nc(COC)no2)C1 ZINC001274913582 852682757 /nfs/dbraw/zinc/68/27/57/852682757.db2.gz QVJYSNGTGRGKFJ-LLVKDONJSA-N 1 2 308.382 1.167 20 30 DDEDLO Cc1noc(C[NH2+]C[C@H](C)NC(=O)CC#Cc2ccccc2)n1 ZINC001275451663 853144151 /nfs/dbraw/zinc/14/41/51/853144151.db2.gz LVWYBKFZYJJAPN-ZDUSSCGKSA-N 1 2 312.373 1.414 20 30 DDEDLO C[C@H](C[N@H+](C)[C@H](C)c1ncccn1)NC(=O)CSCC#N ZINC001275507253 853247117 /nfs/dbraw/zinc/24/71/17/853247117.db2.gz YGKLODVEWDGZQI-VXGBXAGGSA-N 1 2 307.423 1.231 20 30 DDEDLO C[C@H](C[N@@H+](C)[C@H](C)c1ncccn1)NC(=O)CSCC#N ZINC001275507253 853247125 /nfs/dbraw/zinc/24/71/25/853247125.db2.gz YGKLODVEWDGZQI-VXGBXAGGSA-N 1 2 307.423 1.231 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C[N@H+](C)CC(=O)N(C)c1nccs1 ZINC001275521625 853276368 /nfs/dbraw/zinc/27/63/68/853276368.db2.gz UPCUSWXRNIPEPQ-LBPRGKRZSA-N 1 2 324.450 1.509 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C[N@@H+](C)CC(=O)N(C)c1nccs1 ZINC001275521625 853276375 /nfs/dbraw/zinc/27/63/75/853276375.db2.gz UPCUSWXRNIPEPQ-LBPRGKRZSA-N 1 2 324.450 1.509 20 30 DDEDLO Cn1cc(CN2CCC23C[NH+](Cc2ccncc2)C3)cc1C#N ZINC001275889655 853910297 /nfs/dbraw/zinc/91/02/97/853910297.db2.gz ZKAFNJUPQMJEOV-UHFFFAOYSA-N 1 2 307.401 1.752 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]CCCN(C)C(=O)c2c[nH]c(C#N)c2)no1 ZINC001275961383 854022884 /nfs/dbraw/zinc/02/28/84/854022884.db2.gz QPYGXDLZGFNNPQ-JTQLQIEISA-N 1 2 316.365 1.391 20 30 DDEDLO CC[N@H+](CC#Cc1ccccc1)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001155930265 862568239 /nfs/dbraw/zinc/56/82/39/862568239.db2.gz NYXZJQCZIJEXOC-CQSZACIVSA-N 1 2 311.389 1.297 20 30 DDEDLO CC[N@@H+](CC#Cc1ccccc1)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001155930265 862568256 /nfs/dbraw/zinc/56/82/56/862568256.db2.gz NYXZJQCZIJEXOC-CQSZACIVSA-N 1 2 311.389 1.297 20 30 DDEDLO CC[N@H+](CC#Cc1ccccc1)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001155930265 862568268 /nfs/dbraw/zinc/56/82/68/862568268.db2.gz NYXZJQCZIJEXOC-CQSZACIVSA-N 1 2 311.389 1.297 20 30 DDEDLO CC[N@@H+](CC#Cc1ccccc1)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001155930265 862568277 /nfs/dbraw/zinc/56/82/77/862568277.db2.gz NYXZJQCZIJEXOC-CQSZACIVSA-N 1 2 311.389 1.297 20 30 DDEDLO CN(C)C(=O)CN1C[C@H]2C[C@@H](C1)[N@H+]2Cc1ccccc1CC#N ZINC001276242341 855034935 /nfs/dbraw/zinc/03/49/35/855034935.db2.gz KHAKCLZSTKPUGA-CALCHBBNSA-N 1 2 312.417 1.099 20 30 DDEDLO CN(C)C(=O)CN1C[C@H]2C[C@@H](C1)[N@@H+]2Cc1ccccc1CC#N ZINC001276242341 855034938 /nfs/dbraw/zinc/03/49/38/855034938.db2.gz KHAKCLZSTKPUGA-CALCHBBNSA-N 1 2 312.417 1.099 20 30 DDEDLO CN(C)C(=O)CN1CCC12C[NH+](Cc1ccccc1CC#N)C2 ZINC001276242597 855036307 /nfs/dbraw/zinc/03/63/07/855036307.db2.gz OUPRQISJTBNXGH-UHFFFAOYSA-N 1 2 312.417 1.101 20 30 DDEDLO Cc1nc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)co1 ZINC001276264518 855073970 /nfs/dbraw/zinc/07/39/70/855073970.db2.gz GSCGWGOGSNMKOY-UHFFFAOYSA-N 1 2 311.389 1.511 20 30 DDEDLO CN(C)C(=O)c1nc(CNc2[nH+]ccc3ccc(C#N)cc32)no1 ZINC001156213250 862827762 /nfs/dbraw/zinc/82/77/62/862827762.db2.gz LJACYNIGJNASLN-UHFFFAOYSA-N 1 2 322.328 1.803 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001072559674 857448813 /nfs/dbraw/zinc/44/88/13/857448813.db2.gz NLBYZKZHODKYAW-ZDUSSCGKSA-N 1 2 301.394 1.097 20 30 DDEDLO CCn1ccnc1C[N@H+]1CCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001072559674 857448816 /nfs/dbraw/zinc/44/88/16/857448816.db2.gz NLBYZKZHODKYAW-ZDUSSCGKSA-N 1 2 301.394 1.097 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072562720 857452363 /nfs/dbraw/zinc/45/23/63/857452363.db2.gz CGVDYLWGVRDWHI-HUUCEWRRSA-N 1 2 316.405 1.040 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC001072716328 857635796 /nfs/dbraw/zinc/63/57/96/857635796.db2.gz OWGDUUXFTRZYQP-UHFFFAOYSA-N 1 2 312.417 1.351 20 30 DDEDLO CCn1ccnc1C[N@H+]1CCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC001072716328 857635800 /nfs/dbraw/zinc/63/58/00/857635800.db2.gz OWGDUUXFTRZYQP-UHFFFAOYSA-N 1 2 312.417 1.351 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H](CC(C)C)OC)CC2)C1 ZINC001328491993 863005109 /nfs/dbraw/zinc/00/51/09/863005109.db2.gz LZESTAQMRYHSLM-INIZCTEOSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H](CC(C)C)OC)CC2)C1 ZINC001328491993 863005127 /nfs/dbraw/zinc/00/51/27/863005127.db2.gz LZESTAQMRYHSLM-INIZCTEOSA-N 1 2 322.449 1.374 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)C[C@@H]1CCOC1 ZINC001121714759 858581014 /nfs/dbraw/zinc/58/10/14/858581014.db2.gz DDMWIGZMTAYZAS-ZDUSSCGKSA-N 1 2 316.409 1.261 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cc(O)cc(O)c3)CC2)c1 ZINC001138329827 860059994 /nfs/dbraw/zinc/05/99/94/860059994.db2.gz JBCHSYBLNBFCRK-UHFFFAOYSA-N 1 2 310.357 1.687 20 30 DDEDLO C=CCOc1ccccc1C[NH+]1CCN(c2ncncn2)CC1 ZINC001139030289 860259682 /nfs/dbraw/zinc/25/96/82/860259682.db2.gz DFLNBXWYZIMJNX-UHFFFAOYSA-N 1 2 311.389 1.759 20 30 DDEDLO C=CCn1cc(CN2CCc3onc(Cn4cc[nH+]c4)c3C2)cn1 ZINC001139769436 860476315 /nfs/dbraw/zinc/47/63/15/860476315.db2.gz DEBWAPUCVLAMAM-UHFFFAOYSA-N 1 2 324.388 1.860 20 30 DDEDLO O=C1C=C2C[N@@H+](Cc3cccc(-c4nn[nH]n4)c3)CC[C@H]2S1 ZINC001139850303 860497546 /nfs/dbraw/zinc/49/75/46/860497546.db2.gz VMNBUAKVDHDPND-CYBMUJFWSA-N 1 2 313.386 1.641 20 30 DDEDLO O=C1C=C2C[N@H+](Cc3cccc(-c4nn[nH]n4)c3)CC[C@H]2S1 ZINC001139850303 860497548 /nfs/dbraw/zinc/49/75/48/860497548.db2.gz VMNBUAKVDHDPND-CYBMUJFWSA-N 1 2 313.386 1.641 20 30 DDEDLO CCc1nnc(C[NH2+]CCNC(=O)CC#Cc2ccccc2)o1 ZINC001151952815 863076264 /nfs/dbraw/zinc/07/62/64/863076264.db2.gz IDXMYYYMAZVPDR-UHFFFAOYSA-N 1 2 312.373 1.280 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001328578014 863080872 /nfs/dbraw/zinc/08/08/72/863080872.db2.gz PRFUKICLIFGRIG-DLBZAZTESA-N 1 2 315.417 1.327 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001328578014 863080889 /nfs/dbraw/zinc/08/08/89/863080889.db2.gz PRFUKICLIFGRIG-DLBZAZTESA-N 1 2 315.417 1.327 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc3cccc(C(N)=O)c32)CC1 ZINC001152469103 863374936 /nfs/dbraw/zinc/37/49/36/863374936.db2.gz QNOMPPKWLPNEJB-UHFFFAOYSA-N 1 2 323.396 1.883 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)NCC[NH+]1CC=CC1 ZINC001329377035 863627939 /nfs/dbraw/zinc/62/79/39/863627939.db2.gz FIQTZJDQSQJUFM-UHFFFAOYSA-N 1 2 309.366 1.156 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1coc(C)n1 ZINC001157217597 863660064 /nfs/dbraw/zinc/66/00/64/863660064.db2.gz STDPHLIUTUGNGZ-CHWSQXEVSA-N 1 2 309.410 1.901 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1coc(C)n1 ZINC001157217597 863660067 /nfs/dbraw/zinc/66/00/67/863660067.db2.gz STDPHLIUTUGNGZ-CHWSQXEVSA-N 1 2 309.410 1.901 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C(C)C)nn1 ZINC001153025064 863660183 /nfs/dbraw/zinc/66/01/83/863660183.db2.gz NITMGGIMXMRKOW-OAHLLOKOSA-N 1 2 319.453 1.989 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C(C)C)nn1 ZINC001153025064 863660188 /nfs/dbraw/zinc/66/01/88/863660188.db2.gz NITMGGIMXMRKOW-OAHLLOKOSA-N 1 2 319.453 1.989 20 30 DDEDLO CCCC[C@@H](C[NH2+]Cc1nc(C)no1)NC(=O)C#CC(C)C ZINC001329876950 863914732 /nfs/dbraw/zinc/91/47/32/863914732.db2.gz TVNVUCXZMMIMOE-AWEZNQCLSA-N 1 2 306.410 1.802 20 30 DDEDLO N#Cc1c[nH]c2cc[nH+]c(N3Cc4ccnn4CC[C@H]3CO)c12 ZINC001157650541 864013490 /nfs/dbraw/zinc/01/34/90/864013490.db2.gz SAVPRELSIBDXOZ-ZDUSSCGKSA-N 1 2 308.345 1.402 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)C1C[NH+](Cc2cnnn2CC)C1 ZINC001330046898 864044616 /nfs/dbraw/zinc/04/46/16/864044616.db2.gz JFSRQUZLEKQPKJ-GUYCJALGSA-N 1 2 319.453 1.837 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)C1C[NH+](Cc2cnnn2CC)C1 ZINC001330046899 864045970 /nfs/dbraw/zinc/04/59/70/864045970.db2.gz JFSRQUZLEKQPKJ-SUMWQHHRSA-N 1 2 319.453 1.837 20 30 DDEDLO COc1nc(N2CC[C@H]([NH+]3CCCC3)C(F)(F)C2)ncc1C#N ZINC001158624620 864764358 /nfs/dbraw/zinc/76/43/58/864764358.db2.gz MRTPONSXQBFJEA-LBPRGKRZSA-N 1 2 323.347 1.667 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H]1CCCCN1C(=O)Cc1nnc[nH]1 ZINC001158714500 864843000 /nfs/dbraw/zinc/84/30/00/864843000.db2.gz QLEPWJFKWANBMV-GFCCVEGCSA-N 1 2 311.817 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H]1CCCCN1C(=O)Cc1nnc[nH]1 ZINC001158714500 864843018 /nfs/dbraw/zinc/84/30/18/864843018.db2.gz QLEPWJFKWANBMV-GFCCVEGCSA-N 1 2 311.817 1.413 20 30 DDEDLO Cc1nc(C[N@@H+](C)CCOCCNC(=O)[C@H](C)C#N)oc1C ZINC001331102358 864857524 /nfs/dbraw/zinc/85/75/24/864857524.db2.gz HHHIOWYTYNVXPW-LLVKDONJSA-N 1 2 308.382 1.016 20 30 DDEDLO Cc1nc(C[N@H+](C)CCOCCNC(=O)[C@H](C)C#N)oc1C ZINC001331102358 864857534 /nfs/dbraw/zinc/85/75/34/864857534.db2.gz HHHIOWYTYNVXPW-LLVKDONJSA-N 1 2 308.382 1.016 20 30 DDEDLO CC(C)[C@@H](CCNC(=O)[C@H]1CCc2c[nH+]cn2C1)NCC#N ZINC001331203311 864940017 /nfs/dbraw/zinc/94/00/17/864940017.db2.gz SYTVIZIBUSJFAW-DZGCQCFKSA-N 1 2 303.410 1.090 20 30 DDEDLO N#CCN1CC=C(CCNC(=O)[C@H]2CCn3c[nH+]cc3C2)CC1 ZINC001160545994 865927967 /nfs/dbraw/zinc/92/79/67/865927967.db2.gz RGCKCGILEXEEKV-HNNXBMFYSA-N 1 2 313.405 1.107 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC1CC[NH+](Cc2nc(C)no2)CC1 ZINC001225625907 881946895 /nfs/dbraw/zinc/94/68/95/881946895.db2.gz QBBHQHNVCZHIDK-LBPRGKRZSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC1=CC[N@H+](Cc2cnn(C)n2)CC1 ZINC001160882527 866165270 /nfs/dbraw/zinc/16/52/70/866165270.db2.gz NMMIGCJSLIGKTB-UHFFFAOYSA-N 1 2 317.437 1.666 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC1=CC[N@@H+](Cc2cnn(C)n2)CC1 ZINC001160882527 866165272 /nfs/dbraw/zinc/16/52/72/866165272.db2.gz NMMIGCJSLIGKTB-UHFFFAOYSA-N 1 2 317.437 1.666 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC1=CC[N@H+](Cc2cnon2)CC1 ZINC001160888273 866170608 /nfs/dbraw/zinc/17/06/08/866170608.db2.gz HQCHGQBKWCADDW-UHFFFAOYSA-N 1 2 304.394 1.920 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC1=CC[N@@H+](Cc2cnon2)CC1 ZINC001160888273 866170609 /nfs/dbraw/zinc/17/06/09/866170609.db2.gz HQCHGQBKWCADDW-UHFFFAOYSA-N 1 2 304.394 1.920 20 30 DDEDLO COCC[N@H+](CCNC(=O)C#CC(C)C)Cc1cc(C)no1 ZINC001332914592 866248771 /nfs/dbraw/zinc/24/87/71/866248771.db2.gz WWJDMMWGKMFLEP-UHFFFAOYSA-N 1 2 307.394 1.207 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C#CC(C)C)Cc1cc(C)no1 ZINC001332914592 866248779 /nfs/dbraw/zinc/24/87/79/866248779.db2.gz WWJDMMWGKMFLEP-UHFFFAOYSA-N 1 2 307.394 1.207 20 30 DDEDLO COCC[N@H+](CCNC(=O)C#CC1CC1)Cc1cnc(C)s1 ZINC001332913769 866250661 /nfs/dbraw/zinc/25/06/61/866250661.db2.gz LRGMOAYQINJJGU-UHFFFAOYSA-N 1 2 321.446 1.430 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C#CC1CC1)Cc1cnc(C)s1 ZINC001332913769 866250677 /nfs/dbraw/zinc/25/06/77/866250677.db2.gz LRGMOAYQINJJGU-UHFFFAOYSA-N 1 2 321.446 1.430 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001333313441 866623299 /nfs/dbraw/zinc/62/32/99/866623299.db2.gz YQLZJMARJVVGIJ-WDEREUQCSA-N 1 2 303.366 1.347 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001333313441 866623306 /nfs/dbraw/zinc/62/33/06/866623306.db2.gz YQLZJMARJVVGIJ-WDEREUQCSA-N 1 2 303.366 1.347 20 30 DDEDLO C#CCN(C(=O)[C@H](F)CC)C1CC[NH+](Cc2ncccn2)CC1 ZINC001324412784 867267302 /nfs/dbraw/zinc/26/73/02/867267302.db2.gz FZZWGYHEYRQQDW-OAHLLOKOSA-N 1 2 318.396 1.651 20 30 DDEDLO C=CCC1(C(=O)N(C)[C@@H](C)CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001334370877 867520320 /nfs/dbraw/zinc/52/03/20/867520320.db2.gz USLCPRJGJJFZPB-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH+]cn1C ZINC001334444918 867573713 /nfs/dbraw/zinc/57/37/13/867573713.db2.gz SNVWVPSNUWRAHI-GFCCVEGCSA-N 1 2 306.410 1.138 20 30 DDEDLO CCCC[C@@H](CNC(=O)C#CC(C)C)NC(=O)Cn1cc[nH+]c1 ZINC001334833978 867854232 /nfs/dbraw/zinc/85/42/32/867854232.db2.gz RSHDUWRVJQCUJG-HNNXBMFYSA-N 1 2 318.421 1.334 20 30 DDEDLO Cc1ccc(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)NC2(C#N)CCC2)nc1 ZINC001277101911 882223010 /nfs/dbraw/zinc/22/30/10/882223010.db2.gz IOKGFEUQUCGUCY-KDOFPFPSSA-N 1 2 310.401 1.917 20 30 DDEDLO Cc1ccc(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)NC2(C#N)CCC2)nc1 ZINC001277101911 882223027 /nfs/dbraw/zinc/22/30/27/882223027.db2.gz IOKGFEUQUCGUCY-KDOFPFPSSA-N 1 2 310.401 1.917 20 30 DDEDLO CC(C)c1nc(C[NH2+]CC2(CCNC(=O)[C@@H](C)C#N)CC2)no1 ZINC001163854306 868757419 /nfs/dbraw/zinc/75/74/19/868757419.db2.gz MVOLLEWMRQGXCV-LBPRGKRZSA-N 1 2 319.409 1.729 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001336309174 868858513 /nfs/dbraw/zinc/85/85/13/868858513.db2.gz XLGPIKQEYLYAJB-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO CC#CCCCC(=O)NCCC[NH2+]Cc1nnsc1Cl ZINC001164268203 869075417 /nfs/dbraw/zinc/07/54/17/869075417.db2.gz DFJXWLNRGBYWNS-UHFFFAOYSA-N 1 2 314.842 1.981 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@@H](C)OCC)CC1 ZINC001226211805 882281019 /nfs/dbraw/zinc/28/10/19/882281019.db2.gz ZSOUXHZIRRXZDH-LLVKDONJSA-N 1 2 319.243 1.901 20 30 DDEDLO C#C[C@H]1CCCCN1C(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001337245804 869398490 /nfs/dbraw/zinc/39/84/90/869398490.db2.gz XNTMXGAFUINVAN-HNNXBMFYSA-N 1 2 322.368 1.825 20 30 DDEDLO C#Cc1ccc(N2CC[NH+](CC(=O)N(CC)CC)CC2)nc1 ZINC001337765880 869620814 /nfs/dbraw/zinc/62/08/14/869620814.db2.gz WWNVJUJBIRAJLX-UHFFFAOYSA-N 1 2 300.406 1.053 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@H](CC(C)C)NC(C)=O ZINC001381622606 882354251 /nfs/dbraw/zinc/35/42/51/882354251.db2.gz IHOMJTAZADRNQW-OCCSQVGLSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@H](CC(C)C)NC(C)=O ZINC001381622606 882354271 /nfs/dbraw/zinc/35/42/71/882354271.db2.gz IHOMJTAZADRNQW-OCCSQVGLSA-N 1 2 317.861 1.726 20 30 DDEDLO C=CCCCN(CC)c1nnc(C[NH+]2CCC(CO)CC2)n1C ZINC001338520661 870028419 /nfs/dbraw/zinc/02/84/19/870028419.db2.gz QHBDIIBFXDELSV-UHFFFAOYSA-N 1 2 321.469 1.812 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001298022671 870241660 /nfs/dbraw/zinc/24/16/60/870241660.db2.gz XVRDMLWCDRGXES-WMLDXEAASA-N 1 2 320.437 1.812 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC1CC[NH+](Cc2ncccn2)CC1 ZINC001226454725 882437856 /nfs/dbraw/zinc/43/78/56/882437856.db2.gz BUZNGPRATAQPDI-AWEZNQCLSA-N 1 2 318.421 1.538 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@H]([N@@H+](C)Cc2cn(C)nn2)C1 ZINC001317376934 870736914 /nfs/dbraw/zinc/73/69/14/870736914.db2.gz QTQCNMLQSWRDAY-HNNXBMFYSA-N 1 2 319.453 1.840 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@H]([N@H+](C)Cc2cn(C)nn2)C1 ZINC001317376934 870736922 /nfs/dbraw/zinc/73/69/22/870736922.db2.gz QTQCNMLQSWRDAY-HNNXBMFYSA-N 1 2 319.453 1.840 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)[C@@H]2C)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001339858254 870737895 /nfs/dbraw/zinc/73/78/95/870737895.db2.gz ZGJVUCNTKHIRHQ-ZJOBFFGXSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)[C@@H]2C)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001339858254 870737909 /nfs/dbraw/zinc/73/79/09/870737909.db2.gz ZGJVUCNTKHIRHQ-ZJOBFFGXSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)[C@H]1CCSC1 ZINC001339880094 870756460 /nfs/dbraw/zinc/75/64/60/870756460.db2.gz KMMOXVYRSUSWJQ-LBPRGKRZSA-N 1 2 318.450 1.730 20 30 DDEDLO CC[N@H+](CCNC(=O)CSCC#N)[C@@H](C)c1ncc(C)o1 ZINC001317473727 870894763 /nfs/dbraw/zinc/89/47/63/870894763.db2.gz WLYVTCQVRXYTSN-LBPRGKRZSA-N 1 2 310.423 1.739 20 30 DDEDLO CC[N@@H+](CCNC(=O)CSCC#N)[C@@H](C)c1ncc(C)o1 ZINC001317473727 870894772 /nfs/dbraw/zinc/89/47/72/870894772.db2.gz WLYVTCQVRXYTSN-LBPRGKRZSA-N 1 2 310.423 1.739 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](CC)C1 ZINC001340373628 871063582 /nfs/dbraw/zinc/06/35/82/871063582.db2.gz CHURFAZSGWLZKO-RDBSUJKOSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@H](CC)C1 ZINC001340373628 871063601 /nfs/dbraw/zinc/06/36/01/871063601.db2.gz CHURFAZSGWLZKO-RDBSUJKOSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CC1CCN(c2nnc([C@@H]3C[C@@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001340402745 871084018 /nfs/dbraw/zinc/08/40/18/871084018.db2.gz WVJDOPKBTAHCRS-KGLIPLIRSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CC1CCN(c2nnc([C@@H]3C[C@@H](O)C[N@H+]3C)n2CC)CC1 ZINC001340402745 871084029 /nfs/dbraw/zinc/08/40/29/871084029.db2.gz WVJDOPKBTAHCRS-KGLIPLIRSA-N 1 2 305.426 1.438 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)[C@@H](F)CC)C1 ZINC001205167861 871408001 /nfs/dbraw/zinc/40/80/01/871408001.db2.gz YENYJQNHOQHWMJ-GVDBMIGSSA-N 1 2 318.392 1.442 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)[C@@H](F)CC)C1 ZINC001205167861 871408008 /nfs/dbraw/zinc/40/80/08/871408008.db2.gz YENYJQNHOQHWMJ-GVDBMIGSSA-N 1 2 318.392 1.442 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1C[NH+](C[C@@H](O)Cc2ccccc2)C1 ZINC001318060582 871719569 /nfs/dbraw/zinc/71/95/69/871719569.db2.gz IHFLTWCEBQBWKT-HKUYNNGSSA-N 1 2 316.445 1.993 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCC(C)C ZINC001318215977 871839980 /nfs/dbraw/zinc/83/99/80/871839980.db2.gz UNWDGNGVFYAXJH-PMPSAXMXSA-N 1 2 319.449 1.236 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCC(C)C ZINC001318215977 871839997 /nfs/dbraw/zinc/83/99/97/871839997.db2.gz UNWDGNGVFYAXJH-PMPSAXMXSA-N 1 2 319.449 1.236 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccncc2Cl)C1 ZINC001318331465 871939031 /nfs/dbraw/zinc/93/90/31/871939031.db2.gz PXOLZJLUXWHMFD-TZMCWYRMSA-N 1 2 321.808 1.464 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccncc2Cl)C1 ZINC001318331465 871939039 /nfs/dbraw/zinc/93/90/39/871939039.db2.gz PXOLZJLUXWHMFD-TZMCWYRMSA-N 1 2 321.808 1.464 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)CCC1CC1 ZINC001342061529 871978948 /nfs/dbraw/zinc/97/89/48/871978948.db2.gz JOKKWCZXSPLVJY-LSDHHAIUSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)CCC1CC1 ZINC001342061529 871978959 /nfs/dbraw/zinc/97/89/59/871978959.db2.gz JOKKWCZXSPLVJY-LSDHHAIUSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CC[N@H+](Cc2nc(C)sc2C)C1 ZINC001318388185 871981922 /nfs/dbraw/zinc/98/19/22/871981922.db2.gz XLEXRBBWKIFXDG-AWEZNQCLSA-N 1 2 323.462 1.995 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CC[N@@H+](Cc2nc(C)sc2C)C1 ZINC001318388185 871981930 /nfs/dbraw/zinc/98/19/30/871981930.db2.gz XLEXRBBWKIFXDG-AWEZNQCLSA-N 1 2 323.462 1.995 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@@H](CC)CNC(=O)C#CC2CC2)n1 ZINC001318525031 872130311 /nfs/dbraw/zinc/13/03/11/872130311.db2.gz ROBNHOSCRPZUBT-YPMHNXCESA-N 1 2 304.394 1.591 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[C@@H](Cc3[nH+]ccn3C)C2)c1 ZINC001342459988 872219455 /nfs/dbraw/zinc/21/94/55/872219455.db2.gz AUFQFGSQXPZNFN-HNNXBMFYSA-N 1 2 308.385 1.891 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@H](C)C(C)(C)C)C1 ZINC001319307126 872555303 /nfs/dbraw/zinc/55/53/03/872555303.db2.gz DLCSAMQTDBQIPG-LSDHHAIUSA-N 1 2 312.454 1.688 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@H](C)C(C)(C)C)C1 ZINC001319307126 872555314 /nfs/dbraw/zinc/55/53/14/872555314.db2.gz DLCSAMQTDBQIPG-LSDHHAIUSA-N 1 2 312.454 1.688 20 30 DDEDLO CCCCCCC[N@@H+]1CCO[C@@H](CNC(=O)c2cn[nH]n2)C1 ZINC001319310081 872560007 /nfs/dbraw/zinc/56/00/07/872560007.db2.gz SYKUVPKNDBTBBF-ZDUSSCGKSA-N 1 2 309.414 1.206 20 30 DDEDLO CCCCCCC[N@H+]1CCO[C@@H](CNC(=O)c2cn[nH]n2)C1 ZINC001319310081 872560020 /nfs/dbraw/zinc/56/00/20/872560020.db2.gz SYKUVPKNDBTBBF-ZDUSSCGKSA-N 1 2 309.414 1.206 20 30 DDEDLO CCCCCCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001319310081 872560026 /nfs/dbraw/zinc/56/00/26/872560026.db2.gz SYKUVPKNDBTBBF-ZDUSSCGKSA-N 1 2 309.414 1.206 20 30 DDEDLO CCCCCCC[N@H+]1CCO[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001319310081 872560046 /nfs/dbraw/zinc/56/00/46/872560046.db2.gz SYKUVPKNDBTBBF-ZDUSSCGKSA-N 1 2 309.414 1.206 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)C[C@@H](C)n2cccn2)C1 ZINC001319331285 872582224 /nfs/dbraw/zinc/58/22/24/872582224.db2.gz XLYCBYIHZMPPHY-HUUCEWRRSA-N 1 2 306.410 1.227 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)C[C@@H](C)n2cccn2)C1 ZINC001319331285 872582229 /nfs/dbraw/zinc/58/22/29/872582229.db2.gz XLYCBYIHZMPPHY-HUUCEWRRSA-N 1 2 306.410 1.227 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2nccn2C)C[C@H]1C ZINC001206884978 872702896 /nfs/dbraw/zinc/70/28/96/872702896.db2.gz NZJJTUKKJRFOFT-HUUCEWRRSA-N 1 2 302.422 1.550 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2nccn2C)C[C@H]1C ZINC001206884978 872702901 /nfs/dbraw/zinc/70/29/01/872702901.db2.gz NZJJTUKKJRFOFT-HUUCEWRRSA-N 1 2 302.422 1.550 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)on2)C[C@H]1C ZINC001206914813 872759054 /nfs/dbraw/zinc/75/90/54/872759054.db2.gz SDYLOWVEAFYRJH-DJSGYFEHSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)on2)C[C@H]1C ZINC001206914813 872759058 /nfs/dbraw/zinc/75/90/58/872759058.db2.gz SDYLOWVEAFYRJH-DJSGYFEHSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2ccn(CC)n2)C[C@H]1C ZINC001206916928 872765859 /nfs/dbraw/zinc/76/58/59/872765859.db2.gz KFYURAPAKXLZCH-FRFSOERESA-N 1 2 320.437 1.167 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2ccn(CC)n2)C[C@H]1C ZINC001206916928 872765864 /nfs/dbraw/zinc/76/58/64/872765864.db2.gz KFYURAPAKXLZCH-FRFSOERESA-N 1 2 320.437 1.167 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nc(C)cs2)C[C@H]1C ZINC001206963782 872856593 /nfs/dbraw/zinc/85/65/93/872856593.db2.gz OGHJOOLGUSAWIC-KWCYVHTRSA-N 1 2 321.446 1.426 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nc(C)cs2)C[C@H]1C ZINC001206963782 872856609 /nfs/dbraw/zinc/85/66/09/872856609.db2.gz OGHJOOLGUSAWIC-KWCYVHTRSA-N 1 2 321.446 1.426 20 30 DDEDLO CN(C[C@H]1CCN1C(=O)C#CC(C)(C)C)C(=O)Cc1c[nH+]c[nH]1 ZINC001344189929 872923431 /nfs/dbraw/zinc/92/34/31/872923431.db2.gz CKTCZLHNSMHRPA-CQSZACIVSA-N 1 2 316.405 1.061 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001207636119 873431541 /nfs/dbraw/zinc/43/15/41/873431541.db2.gz QFXRDCWKENXZCW-CYBMUJFWSA-N 1 2 304.394 1.994 20 30 DDEDLO C#CCC1(C(=O)N2CCC[C@H]([NH2+]Cc3cnsn3)C2)CCC1 ZINC001208319557 874007032 /nfs/dbraw/zinc/00/70/32/874007032.db2.gz VYBVPOPREFDGBB-ZDUSSCGKSA-N 1 2 318.446 1.812 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1NC(=O)C1(C)CCCCC1 ZINC001208482350 874178125 /nfs/dbraw/zinc/17/81/25/874178125.db2.gz CMOJDZZKKSUBMF-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1NC(=O)C1(C)CCCCC1 ZINC001208482350 874178135 /nfs/dbraw/zinc/17/81/35/874178135.db2.gz CMOJDZZKKSUBMF-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+](Cc2nccc(C)n2)CC1 ZINC001227085081 882822778 /nfs/dbraw/zinc/82/27/78/882822778.db2.gz XAAJDURSUNRNNE-UHFFFAOYSA-N 1 2 300.406 1.669 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H](CC)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001378233297 874494232 /nfs/dbraw/zinc/49/42/32/874494232.db2.gz OMPXLXXCXCGSPN-NSHDSACASA-N 1 2 316.365 1.130 20 30 DDEDLO Cc1nonc1C[NH2+]Cc1ccc(CNC(=O)[C@H](C)C#N)cc1 ZINC001378461992 874921552 /nfs/dbraw/zinc/92/15/52/874921552.db2.gz QOKDHQXDJIUKSB-LLVKDONJSA-N 1 2 313.361 1.444 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CNC(=O)CC)C(C)(C)C1 ZINC001378645386 875311886 /nfs/dbraw/zinc/31/18/86/875311886.db2.gz RFFNAUDTSSTUSQ-LLVKDONJSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CNC(=O)CC)C(C)(C)C1 ZINC001378645386 875311907 /nfs/dbraw/zinc/31/19/07/875311907.db2.gz RFFNAUDTSSTUSQ-LLVKDONJSA-N 1 2 301.818 1.092 20 30 DDEDLO CCc1noc([C@H](C)[NH+]2CCC(NC(=O)C#CC(C)C)CC2)n1 ZINC001227221751 882917590 /nfs/dbraw/zinc/91/75/90/882917590.db2.gz YVWXYBHCTFMUEJ-ZDUSSCGKSA-N 1 2 318.421 1.933 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001350581269 875767633 /nfs/dbraw/zinc/76/76/33/875767633.db2.gz MRVRFEMOXAHOQX-CYBMUJFWSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001350808203 875900957 /nfs/dbraw/zinc/90/09/57/875900957.db2.gz OQFJDRXNRGVRHV-OAHLLOKOSA-N 1 2 318.421 1.546 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+]([C@H](C)c2ncccn2)CC1 ZINC001227342829 882979532 /nfs/dbraw/zinc/97/95/32/882979532.db2.gz ZJHHBQJUWSMYCR-KGLIPLIRSA-N 1 2 316.405 1.157 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC)c2c(C)noc2C)[C@H](OC)C1 ZINC001213575038 875983836 /nfs/dbraw/zinc/98/38/36/875983836.db2.gz SKLIJXFNHYFQDO-RBSFLKMASA-N 1 2 319.405 1.234 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)c2c(C)noc2C)[C@H](OC)C1 ZINC001213575038 875983850 /nfs/dbraw/zinc/98/38/50/875983850.db2.gz SKLIJXFNHYFQDO-RBSFLKMASA-N 1 2 319.405 1.234 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C[C@H](C)C(C)(C)C)[C@H](OC)C1 ZINC001213781567 876056437 /nfs/dbraw/zinc/05/64/37/876056437.db2.gz QQYSBXSNVYHLDW-ARFHVFGLSA-N 1 2 324.465 1.524 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C[C@H](C)C(C)(C)C)[C@H](OC)C1 ZINC001213781567 876056454 /nfs/dbraw/zinc/05/64/54/876056454.db2.gz QQYSBXSNVYHLDW-ARFHVFGLSA-N 1 2 324.465 1.524 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)COc2ccc(C)cc2C)[C@H](OC)C1 ZINC001213838038 876074390 /nfs/dbraw/zinc/07/43/90/876074390.db2.gz YQSHYCZYXGAWGW-NVXWUHKLSA-N 1 2 316.401 1.131 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)COc2ccc(C)cc2C)[C@H](OC)C1 ZINC001213838038 876074407 /nfs/dbraw/zinc/07/44/07/876074407.db2.gz YQSHYCZYXGAWGW-NVXWUHKLSA-N 1 2 316.401 1.131 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H](O)[C@@H](CO)C2)cc1Br ZINC001236856244 889385959 /nfs/dbraw/zinc/38/59/59/889385959.db2.gz KEHDBPLCXAPXBD-YPMHNXCESA-N 1 2 311.179 1.106 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H](O)[C@@H](CO)C2)cc1Br ZINC001236856244 889385969 /nfs/dbraw/zinc/38/59/69/889385969.db2.gz KEHDBPLCXAPXBD-YPMHNXCESA-N 1 2 311.179 1.106 20 30 DDEDLO CCO[C@@H](C)C(=O)N(C)CC[N@H+](C)Cc1ccccc1C#N ZINC001379022767 876153072 /nfs/dbraw/zinc/15/30/72/876153072.db2.gz CZYVBZZZBXTLQP-AWEZNQCLSA-N 1 2 303.406 1.873 20 30 DDEDLO CCO[C@@H](C)C(=O)N(C)CC[N@@H+](C)Cc1ccccc1C#N ZINC001379022767 876153081 /nfs/dbraw/zinc/15/30/81/876153081.db2.gz CZYVBZZZBXTLQP-AWEZNQCLSA-N 1 2 303.406 1.873 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@@H]2CN(C(=O)C#CC(C)(C)C)C[C@H]2C)no1 ZINC001214591165 876409115 /nfs/dbraw/zinc/40/91/15/876409115.db2.gz PPYLZJFJKNEKPC-YRGRVCCFSA-N 1 2 318.421 1.925 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCO[C@@H](C)CC(C)C)[C@H]2C1 ZINC001217867589 877345019 /nfs/dbraw/zinc/34/50/19/877345019.db2.gz CNZJGOQKOIORRR-YESZJQIVSA-N 1 2 322.449 1.373 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCO[C@@H](C)CC(C)C)[C@H]2C1 ZINC001217867589 877345039 /nfs/dbraw/zinc/34/50/39/877345039.db2.gz CNZJGOQKOIORRR-YESZJQIVSA-N 1 2 322.449 1.373 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](NC(=O)CCc2[nH+]ccn2C)C1 ZINC001353648719 877464476 /nfs/dbraw/zinc/46/44/76/877464476.db2.gz BPDQRTDCWQRFBC-HDJSIYSDSA-N 1 2 318.421 1.472 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@H](C)CC(C)(C)C)[C@H]2C1 ZINC001218672382 877656263 /nfs/dbraw/zinc/65/62/63/877656263.db2.gz LMAYNOKFGRZIDZ-HRCADAONSA-N 1 2 306.450 1.994 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@H](C)CC(C)(C)C)[C@H]2C1 ZINC001218672382 877656268 /nfs/dbraw/zinc/65/62/68/877656268.db2.gz LMAYNOKFGRZIDZ-HRCADAONSA-N 1 2 306.450 1.994 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2oc(CCC)nc2C)C[C@@H]1O ZINC001219332698 878124732 /nfs/dbraw/zinc/12/47/32/878124732.db2.gz FHKGSQVLWKTQMD-KGLIPLIRSA-N 1 2 321.421 1.563 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(CCC)nc2C)C[C@@H]1O ZINC001219332698 878124738 /nfs/dbraw/zinc/12/47/38/878124738.db2.gz FHKGSQVLWKTQMD-KGLIPLIRSA-N 1 2 321.421 1.563 20 30 DDEDLO Cc1cc(Cl)ccc1C[N@H+]1C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](O)C1 ZINC001219379709 878164966 /nfs/dbraw/zinc/16/49/66/878164966.db2.gz XCJVOYZGUDVKRH-GLQYFDAESA-N 1 2 321.808 1.469 20 30 DDEDLO Cc1cc(Cl)ccc1C[N@@H+]1C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](O)C1 ZINC001219379709 878164968 /nfs/dbraw/zinc/16/49/68/878164968.db2.gz XCJVOYZGUDVKRH-GLQYFDAESA-N 1 2 321.808 1.469 20 30 DDEDLO COC(=O)[C@@H]([NH3+])CC(=O)Nc1ccccc1-c1ccc(C#N)cn1 ZINC001219421945 878197405 /nfs/dbraw/zinc/19/74/05/878197405.db2.gz CMZLOLWMGDGSBR-ZDUSSCGKSA-N 1 2 324.340 1.449 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219532243 878305469 /nfs/dbraw/zinc/30/54/69/878305469.db2.gz QDSWWTOCXLQLJO-CVEARBPZSA-N 1 2 320.820 1.805 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219532243 878305485 /nfs/dbraw/zinc/30/54/85/878305485.db2.gz QDSWWTOCXLQLJO-CVEARBPZSA-N 1 2 320.820 1.805 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@@H]1O ZINC001220123328 878713563 /nfs/dbraw/zinc/71/35/63/878713563.db2.gz AFFLXRPDFWOWKS-CABCVRRESA-N 1 2 321.421 1.501 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@@H]1O ZINC001220123328 878713575 /nfs/dbraw/zinc/71/35/75/878713575.db2.gz AFFLXRPDFWOWKS-CABCVRRESA-N 1 2 321.421 1.501 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220140812 878728279 /nfs/dbraw/zinc/72/82/79/878728279.db2.gz AGORCBXVQIDYKF-SJORKVTESA-N 1 2 312.413 1.412 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220140812 878728284 /nfs/dbraw/zinc/72/82/84/878728284.db2.gz AGORCBXVQIDYKF-SJORKVTESA-N 1 2 312.413 1.412 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220201713 878814013 /nfs/dbraw/zinc/81/40/13/878814013.db2.gz XXTDQZHJJBAHPQ-GLQYFDAESA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220201713 878814027 /nfs/dbraw/zinc/81/40/27/878814027.db2.gz XXTDQZHJJBAHPQ-GLQYFDAESA-N 1 2 323.462 1.715 20 30 DDEDLO O=C(C[C@@H]1CCOC1)NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001276811552 878835269 /nfs/dbraw/zinc/83/52/69/878835269.db2.gz XFSQAPVRVBRRPF-ROUUACIJSA-N 1 2 312.413 1.655 20 30 DDEDLO O=C(C[C@@H]1CCOC1)NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001276811552 878835279 /nfs/dbraw/zinc/83/52/79/878835279.db2.gz XFSQAPVRVBRRPF-ROUUACIJSA-N 1 2 312.413 1.655 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001356275570 878956655 /nfs/dbraw/zinc/95/66/55/878956655.db2.gz IWSBNIKYIMXBRU-CYBMUJFWSA-N 1 2 304.394 1.334 20 30 DDEDLO COc1ccc(OCC[NH+]2CC(N(C)C(=O)[C@@H](C)C#N)C2)cc1 ZINC001380220800 879084055 /nfs/dbraw/zinc/08/40/55/879084055.db2.gz JHJHVJCQIWXUIX-ZDUSSCGKSA-N 1 2 317.389 1.376 20 30 DDEDLO C=CCCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@@H](C)CC)CC1 ZINC001356700615 879203994 /nfs/dbraw/zinc/20/39/94/879203994.db2.gz ZKANYWJTCFNSPV-INIZCTEOSA-N 1 2 323.481 1.991 20 30 DDEDLO C#CCCCCC(=O)N1CC(NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001356762564 879311557 /nfs/dbraw/zinc/31/15/57/879311557.db2.gz XQOSMKVKRCKCEP-AWEZNQCLSA-N 1 2 316.405 1.355 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](CC)NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001356920828 879588692 /nfs/dbraw/zinc/58/86/92/879588692.db2.gz ATKRLNYXGMKOBL-CQSZACIVSA-N 1 2 318.421 1.710 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@@](C)(CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001380464631 879707290 /nfs/dbraw/zinc/70/72/90/879707290.db2.gz UPCRPJPKIPWGQW-NHYWBVRUSA-N 1 2 305.382 1.256 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@@](C)(CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001380464631 879707306 /nfs/dbraw/zinc/70/73/06/879707306.db2.gz UPCRPJPKIPWGQW-NHYWBVRUSA-N 1 2 305.382 1.256 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@@H+](Cc3nc(C)oc3C)C[C@H]21 ZINC001221441605 879801613 /nfs/dbraw/zinc/80/16/13/879801613.db2.gz MLRNVYOSVTZZNM-GDBMZVCRSA-N 1 2 319.405 1.527 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@H+](Cc3nc(C)oc3C)C[C@H]21 ZINC001221441605 879801619 /nfs/dbraw/zinc/80/16/19/879801619.db2.gz MLRNVYOSVTZZNM-GDBMZVCRSA-N 1 2 319.405 1.527 20 30 DDEDLO C#CCN(CC#C)c1nnc(C[NH+]2CCCCC2)n1CC(=C)C ZINC001357134803 879813123 /nfs/dbraw/zinc/81/31/23/879813123.db2.gz KNEBFBZLNBXQAM-UHFFFAOYSA-N 1 2 311.433 1.913 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCN(C)C(=O)CCn1cc[nH+]c1 ZINC001357264317 879883839 /nfs/dbraw/zinc/88/38/39/879883839.db2.gz YHIMMJAIDTYQLQ-HUUCEWRRSA-N 1 2 320.437 1.648 20 30 DDEDLO C=CCCC(=O)NC/C=C\CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001357654896 880119615 /nfs/dbraw/zinc/11/96/15/880119615.db2.gz QDDNCAQIXZPPHX-DIABFLQPSA-N 1 2 302.378 1.268 20 30 DDEDLO C=CCCC(=O)NC/C=C\CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001357654896 880119623 /nfs/dbraw/zinc/11/96/23/880119623.db2.gz QDDNCAQIXZPPHX-DIABFLQPSA-N 1 2 302.378 1.268 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3cc(C)no3)C[C@H]21 ZINC001222069636 880217206 /nfs/dbraw/zinc/21/72/06/880217206.db2.gz UORHAWNMRLHGSC-IIAWOOMASA-N 1 2 319.405 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3cc(C)no3)C[C@H]21 ZINC001222069636 880217217 /nfs/dbraw/zinc/21/72/17/880217217.db2.gz UORHAWNMRLHGSC-IIAWOOMASA-N 1 2 319.405 1.607 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCCC[C@H]1C ZINC001276901466 881009389 /nfs/dbraw/zinc/00/93/89/881009389.db2.gz UDVMUOLEQSFGES-CABCVRRESA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCCC[C@H]1C ZINC001276901466 881009407 /nfs/dbraw/zinc/00/94/07/881009407.db2.gz UDVMUOLEQSFGES-CABCVRRESA-N 1 2 321.465 1.790 20 30 DDEDLO COc1ccc(OC)c(/C(=N/O)O[C@H](CC#N)C[N+](C)(C)C)c1 ZINC001223892276 881136060 /nfs/dbraw/zinc/13/60/60/881136060.db2.gz DSMYKYIIQPFEAL-LTRYHMRKSA-O 1 2 322.385 1.845 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2C[C@@H](OC)C(C)C)CC1 ZINC001359036436 881164881 /nfs/dbraw/zinc/16/48/81/881164881.db2.gz WPABFTOKYIVJHH-OAHLLOKOSA-N 1 2 319.453 1.267 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@](C)(OC)c1ccccc1 ZINC001276956832 881273886 /nfs/dbraw/zinc/27/38/86/881273886.db2.gz OINCOGVJIMTPTK-FUHWJXTLSA-N 1 2 300.402 1.762 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@](C)(OC)c1ccccc1 ZINC001276956832 881273907 /nfs/dbraw/zinc/27/39/07/881273907.db2.gz OINCOGVJIMTPTK-FUHWJXTLSA-N 1 2 300.402 1.762 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H](C)C#N)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001382195635 883616427 /nfs/dbraw/zinc/61/64/27/883616427.db2.gz FAWLVRKBCFZHBB-WDEREUQCSA-N 1 2 313.361 1.299 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001228748517 883652817 /nfs/dbraw/zinc/65/28/17/883652817.db2.gz LOOLERIAHYOCSL-KBPBESRZSA-N 1 2 319.453 1.837 20 30 DDEDLO Cc1nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c2cccc(O)c2n1 ZINC001228832572 883691981 /nfs/dbraw/zinc/69/19/81/883691981.db2.gz JXEYUHCVHAZPSL-SHFISYCGSA-N 1 2 313.357 1.635 20 30 DDEDLO Cc1nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c2cccc(O)c2n1 ZINC001228832572 883691985 /nfs/dbraw/zinc/69/19/85/883691985.db2.gz JXEYUHCVHAZPSL-SHFISYCGSA-N 1 2 313.357 1.635 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+]([C@H](C)c2ncccn2)CC1 ZINC001230411775 884477426 /nfs/dbraw/zinc/47/74/26/884477426.db2.gz SCLCJNVHGRJBPI-CQSZACIVSA-N 1 2 318.421 1.711 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001230568113 884593474 /nfs/dbraw/zinc/59/34/74/884593474.db2.gz FMHKJRWBMKPUSN-QGZVFWFLSA-N 1 2 300.402 1.607 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001230568113 884593488 /nfs/dbraw/zinc/59/34/88/884593488.db2.gz FMHKJRWBMKPUSN-QGZVFWFLSA-N 1 2 300.402 1.607 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(F)c1 ZINC001230651693 884701556 /nfs/dbraw/zinc/70/15/56/884701556.db2.gz QCJCPNOBCIBJTO-MRXNPFEDSA-N 1 2 304.365 1.622 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(F)c1 ZINC001230651693 884701568 /nfs/dbraw/zinc/70/15/68/884701568.db2.gz QCJCPNOBCIBJTO-MRXNPFEDSA-N 1 2 304.365 1.622 20 30 DDEDLO CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230663140 884717590 /nfs/dbraw/zinc/71/75/90/884717590.db2.gz DZNNVRKIFZNAOV-HNNXBMFYSA-N 1 2 319.380 1.767 20 30 DDEDLO CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230663140 884717599 /nfs/dbraw/zinc/71/75/99/884717599.db2.gz DZNNVRKIFZNAOV-HNNXBMFYSA-N 1 2 319.380 1.767 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCCCC ZINC001230781677 884868180 /nfs/dbraw/zinc/86/81/80/884868180.db2.gz MNZYBCUFCNJKQF-GJZGRUSLSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCCCC ZINC001230781677 884868184 /nfs/dbraw/zinc/86/81/84/884868184.db2.gz MNZYBCUFCNJKQF-GJZGRUSLSA-N 1 2 307.438 1.237 20 30 DDEDLO Cc1cncc(C[N@H+]2CC[C@@H]2CN(C)C(=O)CSCC#N)c1 ZINC001230815972 884915743 /nfs/dbraw/zinc/91/57/43/884915743.db2.gz VPXCUXFOCIUZSE-OAHLLOKOSA-N 1 2 318.446 1.680 20 30 DDEDLO Cc1cncc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)CSCC#N)c1 ZINC001230815972 884915760 /nfs/dbraw/zinc/91/57/60/884915760.db2.gz VPXCUXFOCIUZSE-OAHLLOKOSA-N 1 2 318.446 1.680 20 30 DDEDLO Cc1oncc1C[N@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815996 884916309 /nfs/dbraw/zinc/91/63/09/884916309.db2.gz WAKFODODOXYHLU-CYBMUJFWSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1oncc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815996 884916323 /nfs/dbraw/zinc/91/63/23/884916323.db2.gz WAKFODODOXYHLU-CYBMUJFWSA-N 1 2 308.407 1.273 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(F)(F)F ZINC001231044268 885159683 /nfs/dbraw/zinc/15/96/83/885159683.db2.gz YFBUJBPQYRZXKW-WDEREUQCSA-N 1 2 321.343 1.162 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC(F)(F)F ZINC001231044268 885159690 /nfs/dbraw/zinc/15/96/90/885159690.db2.gz YFBUJBPQYRZXKW-WDEREUQCSA-N 1 2 321.343 1.162 20 30 DDEDLO CCCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001231093442 885212775 /nfs/dbraw/zinc/21/27/75/885212775.db2.gz AKSDMGIHUBBUFG-JKSUJKDBSA-N 1 2 310.438 1.374 20 30 DDEDLO CCCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001231093442 885212795 /nfs/dbraw/zinc/21/27/95/885212795.db2.gz AKSDMGIHUBBUFG-JKSUJKDBSA-N 1 2 310.438 1.374 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCC(C)C ZINC001231123951 885261056 /nfs/dbraw/zinc/26/10/56/885261056.db2.gz YDOHAQCDZCHLSW-CABCVRRESA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCC(C)C ZINC001231123951 885261070 /nfs/dbraw/zinc/26/10/70/885261070.db2.gz YDOHAQCDZCHLSW-CABCVRRESA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1c(C)nnn1CC ZINC001231123921 885262158 /nfs/dbraw/zinc/26/21/58/885262158.db2.gz XRXCCJTZAACMJC-AWEZNQCLSA-N 1 2 305.426 1.605 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1c(C)nnn1CC ZINC001231123921 885262176 /nfs/dbraw/zinc/26/21/76/885262176.db2.gz XRXCCJTZAACMJC-AWEZNQCLSA-N 1 2 305.426 1.605 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1CCn1cc(Cl)cn1 ZINC001231293874 885475983 /nfs/dbraw/zinc/47/59/83/885475983.db2.gz SIZQIDIHLOHBHK-DGCLKSJQSA-N 1 2 309.801 1.229 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1CCn1cc(Cl)cn1 ZINC001231293874 885475993 /nfs/dbraw/zinc/47/59/93/885475993.db2.gz SIZQIDIHLOHBHK-DGCLKSJQSA-N 1 2 309.801 1.229 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CCN1Cc1c[nH+]c(C)[nH]1 ZINC001232459937 886398021 /nfs/dbraw/zinc/39/80/21/886398021.db2.gz OAIKXUSDHVLIIO-CQSZACIVSA-N 1 2 302.382 1.149 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CCN1Cc1c[nH]c(C)[nH+]1 ZINC001232459937 886398040 /nfs/dbraw/zinc/39/80/40/886398040.db2.gz OAIKXUSDHVLIIO-CQSZACIVSA-N 1 2 302.382 1.149 20 30 DDEDLO COc1cnc(N2CC[NH+](Cc3ccc(C#N)s3)CC2)nc1 ZINC001232845005 886632883 /nfs/dbraw/zinc/63/28/83/886632883.db2.gz ITVNBMJULSURKO-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO Cc1ccc(CN2CC[NH+](CC(=O)N(C)C)CC2)c(C#N)c1 ZINC001232966755 886699243 /nfs/dbraw/zinc/69/92/43/886699243.db2.gz UUZQFVJZCQIISA-UHFFFAOYSA-N 1 2 300.406 1.073 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](C)[NH2+]Cc1nc(C(F)F)no1 ZINC001277771711 886822808 /nfs/dbraw/zinc/82/28/08/886822808.db2.gz QEZZTKJGPHZXEO-SECBINFHSA-N 1 2 302.325 1.910 20 30 DDEDLO COc1ccc(C[NH+]2CCC(C)(C#N)CC2)cc1S(N)(=O)=O ZINC001233304658 886912593 /nfs/dbraw/zinc/91/25/93/886912593.db2.gz YEXRSJOPTLRSTN-UHFFFAOYSA-N 1 2 323.418 1.468 20 30 DDEDLO C[C@@H]1Cc2n[nH]cc2C[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC001363833932 887347428 /nfs/dbraw/zinc/34/74/28/887347428.db2.gz MMWUAAZHCXUFRV-GFCCVEGCSA-N 1 2 309.373 1.914 20 30 DDEDLO C[C@@H]1Cc2n[nH]cc2C[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC001363833932 887347440 /nfs/dbraw/zinc/34/74/40/887347440.db2.gz MMWUAAZHCXUFRV-GFCCVEGCSA-N 1 2 309.373 1.914 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234117882 887661033 /nfs/dbraw/zinc/66/10/33/887661033.db2.gz DZSBBEJZRHQQFK-OAHLLOKOSA-N 1 2 303.406 1.984 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234117882 887661040 /nfs/dbraw/zinc/66/10/40/887661040.db2.gz DZSBBEJZRHQQFK-OAHLLOKOSA-N 1 2 303.406 1.984 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001234214769 887754266 /nfs/dbraw/zinc/75/42/66/887754266.db2.gz DWAYNRNQJFLJQX-HKUYNNGSSA-N 1 2 324.424 1.609 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001234214769 887754284 /nfs/dbraw/zinc/75/42/84/887754284.db2.gz DWAYNRNQJFLJQX-HKUYNNGSSA-N 1 2 324.424 1.609 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@H]1C=CCCC1 ZINC001235758791 888933547 /nfs/dbraw/zinc/93/35/47/888933547.db2.gz MDFVZVHOUUTWPV-HOTGVXAUSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@H]1C=CCCC1 ZINC001235758791 888933562 /nfs/dbraw/zinc/93/35/62/888933562.db2.gz MDFVZVHOUUTWPV-HOTGVXAUSA-N 1 2 317.433 1.015 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H](O)[C@H](CO)C2)cc1Br ZINC001236856238 889385282 /nfs/dbraw/zinc/38/52/82/889385282.db2.gz KEHDBPLCXAPXBD-AAEUAGOBSA-N 1 2 311.179 1.106 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H](O)[C@H](CO)C2)cc1Br ZINC001236856238 889385294 /nfs/dbraw/zinc/38/52/94/889385294.db2.gz KEHDBPLCXAPXBD-AAEUAGOBSA-N 1 2 311.179 1.106 20 30 DDEDLO Cn1c[nH+]c(CCNS(=O)(=O)c2cccc(C#N)c2Cl)c1 ZINC001364859426 889562350 /nfs/dbraw/zinc/56/23/50/889562350.db2.gz SYQSEEIHGNQJMV-UHFFFAOYSA-N 1 2 324.793 1.466 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(OC)nc2Br)CC1 ZINC001238184430 890123564 /nfs/dbraw/zinc/12/35/64/890123564.db2.gz VGOLBXOBRWEQJF-UHFFFAOYSA-N 1 2 324.222 1.604 20 30 DDEDLO CCCCCCCCNC(=S)N1CC[NH2+]C[C@H]1C(=O)OC ZINC001239443993 890652907 /nfs/dbraw/zinc/65/29/07/890652907.db2.gz RJLGNDQQHLNHTB-ZDUSSCGKSA-N 1 2 315.483 1.668 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CC[C@](C)(NC(=O)CC)C2)c1 ZINC001278350467 891468168 /nfs/dbraw/zinc/46/81/68/891468168.db2.gz WWWGBIZBNFWXSU-SFHVURJKSA-N 1 2 313.401 1.597 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CC[C@](C)(NC(=O)CC)C2)c1 ZINC001278350467 891468174 /nfs/dbraw/zinc/46/81/74/891468174.db2.gz WWWGBIZBNFWXSU-SFHVURJKSA-N 1 2 313.401 1.597 20 30 DDEDLO CCOCC(=O)N[C@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001365937785 891968945 /nfs/dbraw/zinc/96/89/45/891968945.db2.gz MVMYVDTYMQRACY-INIZCTEOSA-N 1 2 301.390 1.675 20 30 DDEDLO CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001365937785 891968957 /nfs/dbraw/zinc/96/89/57/891968957.db2.gz MVMYVDTYMQRACY-INIZCTEOSA-N 1 2 301.390 1.675 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)o1 ZINC001374745173 913774718 /nfs/dbraw/zinc/77/47/18/913774718.db2.gz LWYRUYLFQXLYAX-BDAKNGLRSA-N 1 2 302.338 1.047 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)OCCC(C)C)CCO2 ZINC001280922497 894268859 /nfs/dbraw/zinc/26/88/59/894268859.db2.gz VXYDWPGUBOYFOL-MRXNPFEDSA-N 1 2 324.465 1.927 20 30 DDEDLO CO[C@H](C)C(=O)NCC[N@H+](Cc1ccccc1C#N)C1CC1 ZINC001366732039 894745266 /nfs/dbraw/zinc/74/52/66/894745266.db2.gz JGKITEGBHSAKFS-CYBMUJFWSA-N 1 2 301.390 1.674 20 30 DDEDLO CO[C@H](C)C(=O)NCC[N@@H+](Cc1ccccc1C#N)C1CC1 ZINC001366732039 894745278 /nfs/dbraw/zinc/74/52/78/894745278.db2.gz JGKITEGBHSAKFS-CYBMUJFWSA-N 1 2 301.390 1.674 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001367143010 895991096 /nfs/dbraw/zinc/99/10/96/895991096.db2.gz RTXNNGZYWRKQKG-KGLIPLIRSA-N 1 2 322.453 1.231 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001367143010 895991103 /nfs/dbraw/zinc/99/11/03/895991103.db2.gz RTXNNGZYWRKQKG-KGLIPLIRSA-N 1 2 322.453 1.231 20 30 DDEDLO N#C[C@@H]1CC[C@@H]([N@@H+]2CCCn3c(Cn4cccn4)nnc3C2)C1 ZINC001254663623 896559444 /nfs/dbraw/zinc/55/94/44/896559444.db2.gz CQRJPVAYDICGFL-ZIAGYGMSSA-N 1 2 311.393 1.421 20 30 DDEDLO N#C[C@@H]1CC[C@@H]([N@H+]2CCCn3c(Cn4cccn4)nnc3C2)C1 ZINC001254663623 896559462 /nfs/dbraw/zinc/55/94/62/896559462.db2.gz CQRJPVAYDICGFL-ZIAGYGMSSA-N 1 2 311.393 1.421 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@@H+]([C@H]2CC[C@@H](C#N)C2)C[C@@H]1CO ZINC001254667252 896566206 /nfs/dbraw/zinc/56/62/06/896566206.db2.gz BPFOIQQUIXHIBW-HZSPNIEDSA-N 1 2 309.410 1.592 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@H+]([C@H]2CC[C@@H](C#N)C2)C[C@@H]1CO ZINC001254667252 896566219 /nfs/dbraw/zinc/56/62/19/896566219.db2.gz BPFOIQQUIXHIBW-HZSPNIEDSA-N 1 2 309.410 1.592 20 30 DDEDLO CC[C@H](CC#N)[N@@H+]1CCC[C@@]2(CCCCN2S(C)(=O)=O)C1 ZINC001255169168 896766814 /nfs/dbraw/zinc/76/68/14/896766814.db2.gz AUCPJFHKSIAPRN-CABCVRRESA-N 1 2 313.467 1.959 20 30 DDEDLO CC[C@H](CC#N)[N@H+]1CCC[C@@]2(CCCCN2S(C)(=O)=O)C1 ZINC001255169168 896766825 /nfs/dbraw/zinc/76/68/25/896766825.db2.gz AUCPJFHKSIAPRN-CABCVRRESA-N 1 2 313.467 1.959 20 30 DDEDLO C=C(C)CCC(=O)N(CC[N@H+](C)Cc1cnnn1C)C(C)C ZINC001278908830 897269434 /nfs/dbraw/zinc/26/94/34/897269434.db2.gz YRNMLCFOKUOGDP-UHFFFAOYSA-N 1 2 307.442 1.840 20 30 DDEDLO C=C(C)CCC(=O)N(CC[N@@H+](C)Cc1cnnn1C)C(C)C ZINC001278908830 897269448 /nfs/dbraw/zinc/26/94/48/897269448.db2.gz YRNMLCFOKUOGDP-UHFFFAOYSA-N 1 2 307.442 1.840 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C[NH2+]Cc1csnn1)C1CCCC1 ZINC001368137974 898785702 /nfs/dbraw/zinc/78/57/02/898785702.db2.gz QVIXIRCUKKEQHQ-ZWNOBZJWSA-N 1 2 307.423 1.462 20 30 DDEDLO C=CCS(=O)(=O)N1CC[NH+](CCc2cccs2)CC1 ZINC001259927006 898941380 /nfs/dbraw/zinc/94/13/80/898941380.db2.gz KRXODRLCDUZISJ-UHFFFAOYSA-N 1 2 300.449 1.424 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@H+](C)[C@@H](C)C(=O)Nc1cccc(F)c1 ZINC001390739399 900063937 /nfs/dbraw/zinc/06/39/37/900063937.db2.gz AJFGJVOQIOPGHL-RYUDHWBXSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@@H+](C)[C@@H](C)C(=O)Nc1cccc(F)c1 ZINC001390739399 900063950 /nfs/dbraw/zinc/06/39/50/900063950.db2.gz AJFGJVOQIOPGHL-RYUDHWBXSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@H+](C)Cc1cc(C(F)(F)F)nn1C ZINC001390741073 900068505 /nfs/dbraw/zinc/06/85/05/900068505.db2.gz HWNRGHCSSRJOAE-VIFPVBQESA-N 1 2 317.315 1.147 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@@H+](C)Cc1cc(C(F)(F)F)nn1C ZINC001390741073 900068511 /nfs/dbraw/zinc/06/85/11/900068511.db2.gz HWNRGHCSSRJOAE-VIFPVBQESA-N 1 2 317.315 1.147 20 30 DDEDLO C#CC[C@H](CC)c1nnc(N2CC[NH+](CC#C)CC2)n1CC=C ZINC001262997606 900465052 /nfs/dbraw/zinc/46/50/52/900465052.db2.gz IMPWNKUBBJCEHI-INIZCTEOSA-N 1 2 311.433 1.736 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](OC)c1cccc(OC)c1 ZINC001263805716 900716436 /nfs/dbraw/zinc/71/64/36/900716436.db2.gz UUSPXQDJKAYHQW-DOTOQJQBSA-N 1 2 316.401 1.549 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](OC)c1cccc(OC)c1 ZINC001263805716 900716446 /nfs/dbraw/zinc/71/64/46/900716446.db2.gz UUSPXQDJKAYHQW-DOTOQJQBSA-N 1 2 316.401 1.549 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001264366870 901043329 /nfs/dbraw/zinc/04/33/29/901043329.db2.gz MYCALAWIMDKNOA-XWTMOSNGSA-N 1 2 318.461 1.995 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001264366870 901043338 /nfs/dbraw/zinc/04/33/38/901043338.db2.gz MYCALAWIMDKNOA-XWTMOSNGSA-N 1 2 318.461 1.995 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(F)cccc1F ZINC001264377983 901064576 /nfs/dbraw/zinc/06/45/76/901064576.db2.gz BJSBINBXTOMSPH-CYBMUJFWSA-N 1 2 322.355 1.761 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(F)cccc1F ZINC001264377983 901064593 /nfs/dbraw/zinc/06/45/93/901064593.db2.gz BJSBINBXTOMSPH-CYBMUJFWSA-N 1 2 322.355 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@@H]1CCCC(=O)N1C)C1CC1 ZINC001391200813 901204549 /nfs/dbraw/zinc/20/45/49/901204549.db2.gz WKNPFEVQFZAIAF-ZDUSSCGKSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@@H]1CCCC(=O)N1C)C1CC1 ZINC001391200813 901204563 /nfs/dbraw/zinc/20/45/63/901204563.db2.gz WKNPFEVQFZAIAF-ZDUSSCGKSA-N 1 2 313.829 1.330 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)CCc2ccccc2C)CC1 ZINC001265264497 901787523 /nfs/dbraw/zinc/78/75/23/901787523.db2.gz RTMLRXBYIYKOIR-UHFFFAOYSA-N 1 2 313.445 1.295 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)OCCOCC ZINC001265415966 901990108 /nfs/dbraw/zinc/99/01/08/901990108.db2.gz IVZMZGDDDJQQOD-HRCADAONSA-N 1 2 308.422 1.127 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)OCCOCC ZINC001265415966 901990121 /nfs/dbraw/zinc/99/01/21/901990121.db2.gz IVZMZGDDDJQQOD-HRCADAONSA-N 1 2 308.422 1.127 20 30 DDEDLO CC1(C)CC[C@@H]1C(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001265651690 902255229 /nfs/dbraw/zinc/25/52/29/902255229.db2.gz NQIIOOIXJSHRLL-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CC[C@@H]1C(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001265651690 902255236 /nfs/dbraw/zinc/25/52/36/902255236.db2.gz NQIIOOIXJSHRLL-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@H]1CCC[N@H+](Cc2ncnn2C)C1 ZINC001265706404 902322786 /nfs/dbraw/zinc/32/27/86/902322786.db2.gz VMIWNPZWVMNFLN-GJZGRUSLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@H]1CCC[N@@H+](Cc2ncnn2C)C1 ZINC001265706404 902322810 /nfs/dbraw/zinc/32/28/10/902322810.db2.gz VMIWNPZWVMNFLN-GJZGRUSLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H](C)[NH2+]Cc1ncc(C(C)C)o1 ZINC001265771415 902390385 /nfs/dbraw/zinc/39/03/85/902390385.db2.gz VDEBMIHWVORWER-LRDDRELGSA-N 1 2 309.410 1.719 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C1CC[NH+](Cc2cc(C)on2)CC1 ZINC001266181468 903071305 /nfs/dbraw/zinc/07/13/05/903071305.db2.gz GFBULLFMCYXQSZ-KRWDZBQOSA-N 1 2 321.421 1.733 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001266217283 903131553 /nfs/dbraw/zinc/13/15/53/903131553.db2.gz ZBXUJVLHYSYPSO-KBPBESRZSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001266217283 903131561 /nfs/dbraw/zinc/13/15/61/903131561.db2.gz ZBXUJVLHYSYPSO-KBPBESRZSA-N 1 2 308.426 1.033 20 30 DDEDLO C#CC[N@H+]1CCC[C@@](CO)(NC(=O)c2csc(C(C)C)n2)C1 ZINC001279518612 903297583 /nfs/dbraw/zinc/29/75/83/903297583.db2.gz LZLFWZSMTCEPSQ-MRXNPFEDSA-N 1 2 321.446 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@](CO)(NC(=O)c2csc(C(C)C)n2)C1 ZINC001279518612 903297590 /nfs/dbraw/zinc/29/75/90/903297590.db2.gz LZLFWZSMTCEPSQ-MRXNPFEDSA-N 1 2 321.446 1.456 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1cnn(C)n1 ZINC001279556411 903324183 /nfs/dbraw/zinc/32/41/83/903324183.db2.gz QVCQVMPUAYNVLI-HOTGVXAUSA-N 1 2 315.421 1.184 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1cnn(C)n1 ZINC001279556411 903324189 /nfs/dbraw/zinc/32/41/89/903324189.db2.gz QVCQVMPUAYNVLI-HOTGVXAUSA-N 1 2 315.421 1.184 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)Cc1ccc(C)o1)O2 ZINC001280921872 904191129 /nfs/dbraw/zinc/19/11/29/904191129.db2.gz YSQDMPOZSWHHIR-OAHLLOKOSA-N 1 2 304.390 1.666 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc[nH]1)O2 ZINC001280922693 904193926 /nfs/dbraw/zinc/19/39/26/904193926.db2.gz WLRAMTTVOUATAL-CQSZACIVSA-N 1 2 319.405 1.181 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@](C)(F)CCCC)CO2 ZINC001280945112 904224605 /nfs/dbraw/zinc/22/46/05/904224605.db2.gz QLOSHOHSXVAQCX-GDBMZVCRSA-N 1 2 310.413 1.888 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001282079783 905520313 /nfs/dbraw/zinc/52/03/13/905520313.db2.gz IPIPKROHVWNGHI-OKILXGFUSA-N 1 2 304.394 1.250 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](C)Cc1ccn(C(C)C)n1 ZINC001282376502 905723968 /nfs/dbraw/zinc/72/39/68/905723968.db2.gz BDSKFJLHKXPGIL-PBHICJAKSA-N 1 2 322.453 1.728 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](C)Cc1ccn(C(C)C)n1 ZINC001282376502 905723976 /nfs/dbraw/zinc/72/39/76/905723976.db2.gz BDSKFJLHKXPGIL-PBHICJAKSA-N 1 2 322.453 1.728 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1(CCO)C[NH+](Cc2ccccc2)C1 ZINC001282511334 905842791 /nfs/dbraw/zinc/84/27/91/905842791.db2.gz RMYIAVRECGYXJE-QGZVFWFLSA-N 1 2 318.417 1.067 20 30 DDEDLO CS[C@@H](C)C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001371920539 905996689 /nfs/dbraw/zinc/99/66/89/905996689.db2.gz FQSHSTGKIAQVJA-NSHDSACASA-N 1 2 309.410 1.997 20 30 DDEDLO CS[C@@H](C)C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001371920539 905996707 /nfs/dbraw/zinc/99/67/07/905996707.db2.gz FQSHSTGKIAQVJA-NSHDSACASA-N 1 2 309.410 1.997 20 30 DDEDLO C=CCCC(=O)NCCCNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001283201763 906873901 /nfs/dbraw/zinc/87/39/01/906873901.db2.gz SJDPXFZZAHADMF-ZDUSSCGKSA-N 1 2 304.394 1.034 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)CC[NH+]1CCN(C(=O)CC)CC1 ZINC001284820373 909524905 /nfs/dbraw/zinc/52/49/05/909524905.db2.gz RDPBZXWEYXZVKH-UHFFFAOYSA-N 1 2 321.465 1.767 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)CCn2ccnn2)C1 ZINC001373701508 910666901 /nfs/dbraw/zinc/66/69/01/910666901.db2.gz FWNCYAYVVQUONT-ZDUSSCGKSA-N 1 2 311.817 1.344 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)CCn2ccnn2)C1 ZINC001373701508 910666911 /nfs/dbraw/zinc/66/69/11/910666911.db2.gz FWNCYAYVVQUONT-ZDUSSCGKSA-N 1 2 311.817 1.344 20 30 DDEDLO CCCCc1nc(C[NH2+]C2CC(N(C)C(=O)[C@H](C)C#N)C2)no1 ZINC001394852535 910744643 /nfs/dbraw/zinc/74/46/43/910744643.db2.gz VSWGGXNEAYWFPH-PNESKVBLSA-N 1 2 319.409 1.651 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001285747234 911143024 /nfs/dbraw/zinc/14/30/24/911143024.db2.gz SNQVSYVUOOTATF-LBPRGKRZSA-N 1 2 320.437 1.760 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@](C)(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286107283 911700349 /nfs/dbraw/zinc/70/03/49/911700349.db2.gz NZQZSEJNHIYASO-INIZCTEOSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@](C)(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001286110942 911706515 /nfs/dbraw/zinc/70/65/15/911706515.db2.gz XGYJZYOCISFYFJ-SUMWQHHRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001295218182 915656548 /nfs/dbraw/zinc/65/65/48/915656548.db2.gz CODBTHTWAWYFMG-AHIWAGSCSA-N 1 2 318.421 1.328 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CNC(=O)CCc2c[nH+]cn2C)C1 ZINC001295605934 915924273 /nfs/dbraw/zinc/92/42/73/915924273.db2.gz ADZVRRDFAXBFAF-ZDUSSCGKSA-N 1 2 318.421 1.330 20 30 DDEDLO C=C1CCC(C(=O)N[C@H](C)CCNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001295931781 916131835 /nfs/dbraw/zinc/13/18/35/916131835.db2.gz MGAMRAMKZXXXCT-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCC(C)(C)C(=O)N(CC)CCNC(=O)Cc1c[nH+]cn1C ZINC001296345457 916350716 /nfs/dbraw/zinc/35/07/16/916350716.db2.gz VDHYIEWMLGRVLH-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO C=C(C)CCC(=O)N(CC)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001296350734 916352372 /nfs/dbraw/zinc/35/23/72/916352372.db2.gz UQBGPQLEOSYDSF-UHFFFAOYSA-N 1 2 306.410 1.582 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CN(C)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001296840736 916593489 /nfs/dbraw/zinc/59/34/89/916593489.db2.gz PPOWXFHZDNQVAD-CQSZACIVSA-N 1 2 318.421 1.534 20 30 DDEDLO C=C(C)CCC(=O)N1CC(NC(=O)Cc2c[nH+]ccc2OC)C1 ZINC001297082120 916720245 /nfs/dbraw/zinc/72/02/45/916720245.db2.gz KCAMWABBCOUTTA-UHFFFAOYSA-N 1 2 317.389 1.316 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](O)(CNC(=O)CCC)C1 ZINC001375992634 917364607 /nfs/dbraw/zinc/36/46/07/917364607.db2.gz NLEVEAPFSAZGMN-GFCCVEGCSA-N 1 2 305.216 1.248 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](O)(CNC(=O)CCC)C1 ZINC001375992634 917364626 /nfs/dbraw/zinc/36/46/26/917364626.db2.gz NLEVEAPFSAZGMN-GFCCVEGCSA-N 1 2 305.216 1.248 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CO[C@@H]2CCOC2)C1 ZINC001376059555 917584530 /nfs/dbraw/zinc/58/45/30/917584530.db2.gz YUDSYFSHKSOELI-CHWSQXEVSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CO[C@@H]2CCOC2)C1 ZINC001376059555 917584536 /nfs/dbraw/zinc/58/45/36/917584536.db2.gz YUDSYFSHKSOELI-CHWSQXEVSA-N 1 2 302.802 1.125 20 30 DDEDLO CO[C@@H](C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F)C1CC1 ZINC001377146026 920464633 /nfs/dbraw/zinc/46/46/33/920464633.db2.gz ISSRXQGKJRFOGP-MRXNPFEDSA-N 1 2 319.380 1.670 20 30 DDEDLO CO[C@@H](C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F)C1CC1 ZINC001377146026 920464646 /nfs/dbraw/zinc/46/46/46/920464646.db2.gz ISSRXQGKJRFOGP-MRXNPFEDSA-N 1 2 319.380 1.670 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+]([C@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000083171484 185215791 /nfs/dbraw/zinc/21/57/91/185215791.db2.gz GALMOMDKMCHEIF-CABCVRRESA-N 1 2 315.373 1.230 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+]([C@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000083171484 185215792 /nfs/dbraw/zinc/21/57/92/185215792.db2.gz GALMOMDKMCHEIF-CABCVRRESA-N 1 2 315.373 1.230 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[NH+]1CCC(CC(N)=O)CC1 ZINC000083175806 185215909 /nfs/dbraw/zinc/21/59/09/185215909.db2.gz ADRZAQFYQGMRJE-MRXNPFEDSA-N 1 2 315.373 1.360 20 30 DDEDLO N#Cc1ccc(OCC[N@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000328919855 231386725 /nfs/dbraw/zinc/38/67/25/231386725.db2.gz SRAJEGRAPROIID-ZDUSSCGKSA-N 1 2 300.318 1.013 20 30 DDEDLO N#Cc1ccc(OCC[N@@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000328919855 231386728 /nfs/dbraw/zinc/38/67/28/231386728.db2.gz SRAJEGRAPROIID-ZDUSSCGKSA-N 1 2 300.318 1.013 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)c1cccnc1C#N ZINC000451929867 529590249 /nfs/dbraw/zinc/59/02/49/529590249.db2.gz OPTFPIQWECIOQM-SNVBAGLBSA-N 1 2 305.363 1.209 20 30 DDEDLO O=C(NCc1nnc2n1CCC2)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000329173208 539301640 /nfs/dbraw/zinc/30/16/40/539301640.db2.gz LIYSTEKZMRYSCE-LLVKDONJSA-N 1 2 315.381 1.589 20 30 DDEDLO CN1CCO[C@@H]2C[N@H+](CC(=O)NCc3cccc(C#N)c3)C[C@@H]21 ZINC000330276034 529786341 /nfs/dbraw/zinc/78/63/41/529786341.db2.gz MIPFKHSKBWAWBZ-JKSUJKDBSA-N 1 2 314.389 1.030 20 30 DDEDLO CN1CCO[C@@H]2C[N@@H+](CC(=O)NCc3cccc(C#N)c3)C[C@@H]21 ZINC000330276034 529786342 /nfs/dbraw/zinc/78/63/42/529786342.db2.gz MIPFKHSKBWAWBZ-JKSUJKDBSA-N 1 2 314.389 1.030 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(CC(=O)NCc3cccc(C#N)c3)C[C@@H]21 ZINC000330276034 529786343 /nfs/dbraw/zinc/78/63/43/529786343.db2.gz MIPFKHSKBWAWBZ-JKSUJKDBSA-N 1 2 314.389 1.030 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(CC(=O)NCc3cccc(C#N)c3)C[C@@H]21 ZINC000330276034 529786345 /nfs/dbraw/zinc/78/63/45/529786345.db2.gz MIPFKHSKBWAWBZ-JKSUJKDBSA-N 1 2 314.389 1.030 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc4ncsc4c3)C[C@@H]21 ZINC000329954898 529786430 /nfs/dbraw/zinc/78/64/30/529786430.db2.gz KICDDKSNJTUZNR-QWHCGFSZSA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc4ncsc4c3)C[C@@H]21 ZINC000329954898 529786432 /nfs/dbraw/zinc/78/64/32/529786432.db2.gz KICDDKSNJTUZNR-QWHCGFSZSA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@H+](CC(=O)NCC#N)Cc1ccc(Br)c(F)c1 ZINC000494263199 529832751 /nfs/dbraw/zinc/83/27/51/529832751.db2.gz WGJFGXITOKGBSE-UHFFFAOYSA-N 1 2 314.158 1.660 20 30 DDEDLO C[N@@H+](CC(=O)NCC#N)Cc1ccc(Br)c(F)c1 ZINC000494263199 529832753 /nfs/dbraw/zinc/83/27/53/529832753.db2.gz WGJFGXITOKGBSE-UHFFFAOYSA-N 1 2 314.158 1.660 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccccc1-n1cccn1 ZINC000414120889 529868699 /nfs/dbraw/zinc/86/86/99/529868699.db2.gz FFRAZVLLIPNFHB-AWEZNQCLSA-N 1 2 300.362 1.457 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccccc1-n1cccn1 ZINC000414120889 529868700 /nfs/dbraw/zinc/86/87/00/529868700.db2.gz FFRAZVLLIPNFHB-AWEZNQCLSA-N 1 2 300.362 1.457 20 30 DDEDLO COc1ccc(C[N@H+](C)[C@H](C)C(=O)NC2(C#N)CCC2)cn1 ZINC000615793892 362443062 /nfs/dbraw/zinc/44/30/62/362443062.db2.gz UHNJVRYHDAOANT-GFCCVEGCSA-N 1 2 302.378 1.473 20 30 DDEDLO COc1ccc(C[N@@H+](C)[C@H](C)C(=O)NC2(C#N)CCC2)cn1 ZINC000615793892 362443071 /nfs/dbraw/zinc/44/30/71/362443071.db2.gz UHNJVRYHDAOANT-GFCCVEGCSA-N 1 2 302.378 1.473 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)Cc2c[nH]c3ncccc23)C1 ZINC000329816406 530070110 /nfs/dbraw/zinc/07/01/10/530070110.db2.gz ODSASNPULUOOPY-ZDUSSCGKSA-N 1 2 301.394 1.308 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)Cc2c[nH]c3ncccc23)C1 ZINC000329816406 530070111 /nfs/dbraw/zinc/07/01/11/530070111.db2.gz ODSASNPULUOOPY-ZDUSSCGKSA-N 1 2 301.394 1.308 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2C[C@H](O)C(C)(C)C2)c(C#N)c1C ZINC000279814746 215169856 /nfs/dbraw/zinc/16/98/56/215169856.db2.gz QEHRSMCBNPAEMF-GWCFXTLKSA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2C[C@H](O)C(C)(C)C2)c(C#N)c1C ZINC000279814746 215169861 /nfs/dbraw/zinc/16/98/61/215169861.db2.gz QEHRSMCBNPAEMF-GWCFXTLKSA-N 1 2 305.378 1.798 20 30 DDEDLO C=CCOc1ccc(CC(=O)NCC[NH+]2CCOCC2)cc1 ZINC000294532405 222787377 /nfs/dbraw/zinc/78/73/77/222787377.db2.gz ZKASNYSXSAFZGK-UHFFFAOYSA-N 1 2 304.390 1.242 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cc(F)ccc2OC)CC1 ZINC000023265137 352183314 /nfs/dbraw/zinc/18/33/14/352183314.db2.gz YRFWHHVEIBWDNK-UHFFFAOYSA-N 1 2 321.396 1.254 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000023752629 352185304 /nfs/dbraw/zinc/18/53/04/352185304.db2.gz CZFXSWHNYFLTTN-UHFFFAOYSA-N 1 2 304.350 1.019 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)no1 ZINC000043545386 352369326 /nfs/dbraw/zinc/36/93/26/352369326.db2.gz XFHMZMOUAKEWCW-UHFFFAOYSA-N 1 2 310.357 1.813 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000051791614 352593948 /nfs/dbraw/zinc/59/39/48/352593948.db2.gz JCLHRZIXPZVIPM-UHFFFAOYSA-N 1 2 308.426 1.081 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000051791614 352593951 /nfs/dbraw/zinc/59/39/51/352593951.db2.gz JCLHRZIXPZVIPM-UHFFFAOYSA-N 1 2 308.426 1.081 20 30 DDEDLO C=CCN(C(=O)c1cc(-n2cc[nH+]c2)ccn1)[C@H](C)COC ZINC000617581553 363192839 /nfs/dbraw/zinc/19/28/39/363192839.db2.gz UAJVTBWJRNIOTG-CYBMUJFWSA-N 1 2 300.362 1.930 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](CC=C)Cc1ccccc1F ZINC000056312337 352766669 /nfs/dbraw/zinc/76/66/69/352766669.db2.gz BDLUHDAZCHDCTC-UHFFFAOYSA-N 1 2 305.353 1.826 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](CC=C)Cc1ccccc1F ZINC000056312337 352766670 /nfs/dbraw/zinc/76/66/70/352766670.db2.gz BDLUHDAZCHDCTC-UHFFFAOYSA-N 1 2 305.353 1.826 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCCc1ccc(OC(C)C)cc1 ZINC000072906479 191250228 /nfs/dbraw/zinc/25/02/28/191250228.db2.gz OLXALIIBLSMVIX-UHFFFAOYSA-N 1 2 312.413 1.701 20 30 DDEDLO C=CCOCCCC(=O)N1CCN(C2=[NH+]C[C@@H](C)S2)CC1 ZINC000174417818 198332694 /nfs/dbraw/zinc/33/26/94/198332694.db2.gz BNMCMNNWGSBSHV-CYBMUJFWSA-N 1 2 311.451 1.605 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N(C)Cc1ccc(OC)c(F)c1 ZINC000076185922 353404484 /nfs/dbraw/zinc/40/44/84/353404484.db2.gz FTRLXUCELYGEFW-UHFFFAOYSA-N 1 2 302.349 1.361 20 30 DDEDLO CC[N@H+](CCC#N)[C@H](C)C(=O)NCc1ccc(C(=O)OC)cc1 ZINC000081039730 353647864 /nfs/dbraw/zinc/64/78/64/353647864.db2.gz UNDXJKZFVPAOEM-CYBMUJFWSA-N 1 2 317.389 1.713 20 30 DDEDLO CC[N@@H+](CCC#N)[C@H](C)C(=O)NCc1ccc(C(=O)OC)cc1 ZINC000081039730 353647867 /nfs/dbraw/zinc/64/78/67/353647867.db2.gz UNDXJKZFVPAOEM-CYBMUJFWSA-N 1 2 317.389 1.713 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CC[C@](O)(C(F)(F)F)C1 ZINC000081068537 353649708 /nfs/dbraw/zinc/64/97/08/353649708.db2.gz XLHMXHRXXGXCEQ-RAIGVLPGSA-N 1 2 321.343 1.428 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CC[C@](O)(C(F)(F)F)C1 ZINC000081068537 353649711 /nfs/dbraw/zinc/64/97/11/353649711.db2.gz XLHMXHRXXGXCEQ-RAIGVLPGSA-N 1 2 321.343 1.428 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)c(Cl)c1 ZINC000123026134 354029695 /nfs/dbraw/zinc/02/96/95/354029695.db2.gz PZBGTJVNZSIAPZ-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)N[C@]1(CO)CCOC1 ZINC000329020589 222921290 /nfs/dbraw/zinc/92/12/90/222921290.db2.gz HTGHGYACIZSPIX-IOASZLSFSA-N 1 2 305.378 1.262 20 30 DDEDLO C=CCOc1ccc(CNC(=O)NC[C@H]2COCC[N@H+]2C)cc1 ZINC000624038848 366161474 /nfs/dbraw/zinc/16/14/74/366161474.db2.gz SPJKQIXSQGLTKA-HNNXBMFYSA-N 1 2 319.405 1.381 20 30 DDEDLO C=CCOc1ccc(CNC(=O)NC[C@H]2COCC[N@@H+]2C)cc1 ZINC000624038848 366161480 /nfs/dbraw/zinc/16/14/80/366161480.db2.gz SPJKQIXSQGLTKA-HNNXBMFYSA-N 1 2 319.405 1.381 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N[C@H]1CCO[C@H](c2c[nH+]cn2C)C1 ZINC000333015556 354574643 /nfs/dbraw/zinc/57/46/43/354574643.db2.gz XTNFDRZEVYTLDL-LQKXBSAESA-N 1 2 319.405 1.738 20 30 DDEDLO C[C@@H]([NH2+]C[C@H](C#N)CCC#N)c1cccc(S(N)(=O)=O)c1 ZINC000579278652 354717791 /nfs/dbraw/zinc/71/77/91/354717791.db2.gz BSNIAUNWZGERJI-NEPJUHHUSA-N 1 2 306.391 1.428 20 30 DDEDLO C=CCOCCCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000579495457 354720342 /nfs/dbraw/zinc/72/03/42/354720342.db2.gz BFQVBUVJWBESEE-UHFFFAOYSA-N 1 2 317.389 1.145 20 30 DDEDLO CS(=O)(=O)N1CCC[C@H](C[N@@H+]2Cc3cccc(C#N)c3C2)C1 ZINC000405133152 354677657 /nfs/dbraw/zinc/67/76/57/354677657.db2.gz SCDZKUCWGDYLRT-CYBMUJFWSA-N 1 2 319.430 1.545 20 30 DDEDLO CS(=O)(=O)N1CCC[C@H](C[N@H+]2Cc3cccc(C#N)c3C2)C1 ZINC000405133152 354677658 /nfs/dbraw/zinc/67/76/58/354677658.db2.gz SCDZKUCWGDYLRT-CYBMUJFWSA-N 1 2 319.430 1.545 20 30 DDEDLO N#C[C@@]1(NC(=O)C2CC[NH+](CC(F)F)CC2)CCSC1 ZINC000588285200 354913957 /nfs/dbraw/zinc/91/39/57/354913957.db2.gz RWHKSFCNRJEJIR-ZDUSSCGKSA-N 1 2 303.378 1.479 20 30 DDEDLO N#Cc1cccc(-c2nc([C@H]3C[N@@H+]4CCCC[C@@H]4CO3)no2)n1 ZINC000589369186 354986706 /nfs/dbraw/zinc/98/67/06/354986706.db2.gz ZBDPUJJTNHWDNN-TZMCWYRMSA-N 1 2 311.345 1.929 20 30 DDEDLO N#Cc1cccc(-c2nc([C@H]3C[N@H+]4CCCC[C@@H]4CO3)no2)n1 ZINC000589369186 354986708 /nfs/dbraw/zinc/98/67/08/354986708.db2.gz ZBDPUJJTNHWDNN-TZMCWYRMSA-N 1 2 311.345 1.929 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCCNC(=O)[C@@H]2Cc2ccccc2)ccn1 ZINC000590192522 355057073 /nfs/dbraw/zinc/05/70/73/355057073.db2.gz NREMJTFQVCOSPY-SFHVURJKSA-N 1 2 320.396 1.886 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCCNC(=O)[C@@H]2Cc2ccccc2)ccn1 ZINC000590192522 355057074 /nfs/dbraw/zinc/05/70/74/355057074.db2.gz NREMJTFQVCOSPY-SFHVURJKSA-N 1 2 320.396 1.886 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)c2cc3[nH]cnc3cc2F)CC1 ZINC000591815537 355389936 /nfs/dbraw/zinc/38/99/36/355389936.db2.gz OASSETVYSRRYGF-UHFFFAOYSA-N 1 2 301.325 1.420 20 30 DDEDLO CNC(=O)C[C@]1(O)CCC[N@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000591648699 355352743 /nfs/dbraw/zinc/35/27/43/355352743.db2.gz XQGRZOGFPJPKHY-MRXNPFEDSA-N 1 2 321.808 1.675 20 30 DDEDLO CNC(=O)C[C@]1(O)CCC[N@@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000591648699 355352747 /nfs/dbraw/zinc/35/27/47/355352747.db2.gz XQGRZOGFPJPKHY-MRXNPFEDSA-N 1 2 321.808 1.675 20 30 DDEDLO Cc1cccc2[nH+]c(CNC(=O)N3CC[C@](O)(CC#N)C3)cn21 ZINC000591956095 355445747 /nfs/dbraw/zinc/44/57/47/355445747.db2.gz SILXZCDKHMEVGJ-MRXNPFEDSA-N 1 2 313.361 1.203 20 30 DDEDLO COc1ccc(NC(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)cc1Cl ZINC000592149285 355515922 /nfs/dbraw/zinc/51/59/22/355515922.db2.gz MIONHZNFDXPXCX-OAHLLOKOSA-N 1 2 323.780 1.638 20 30 DDEDLO COc1ccc(NC(=O)C[N@H+]2CC[C@](O)(CC#N)C2)cc1Cl ZINC000592149285 355515925 /nfs/dbraw/zinc/51/59/25/355515925.db2.gz MIONHZNFDXPXCX-OAHLLOKOSA-N 1 2 323.780 1.638 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1OCCNC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149511 355516081 /nfs/dbraw/zinc/51/60/81/355516081.db2.gz QIYXFGLTUQCFDD-HLLBOEOZSA-N 1 2 323.437 1.048 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1OCCNC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149511 355516084 /nfs/dbraw/zinc/51/60/84/355516084.db2.gz QIYXFGLTUQCFDD-HLLBOEOZSA-N 1 2 323.437 1.048 20 30 DDEDLO Cc1sc2nc(C[N@@H+]3CC[C@](O)(CC#N)C3)nc(N)c2c1C ZINC000592151586 355517155 /nfs/dbraw/zinc/51/71/55/355517155.db2.gz OBAUSXLEWMJQIZ-OAHLLOKOSA-N 1 2 317.418 1.741 20 30 DDEDLO Cc1sc2nc(C[N@H+]3CC[C@](O)(CC#N)C3)nc(N)c2c1C ZINC000592151586 355517158 /nfs/dbraw/zinc/51/71/58/355517158.db2.gz OBAUSXLEWMJQIZ-OAHLLOKOSA-N 1 2 317.418 1.741 20 30 DDEDLO CNC(=O)[C@]1(C(F)(F)F)CC[N@H+](Cc2nc(C#N)cs2)C1 ZINC000592186741 355529206 /nfs/dbraw/zinc/52/92/06/355529206.db2.gz XWZFUULCMJPBDT-NSHDSACASA-N 1 2 318.324 1.515 20 30 DDEDLO CNC(=O)[C@]1(C(F)(F)F)CC[N@@H+](Cc2nc(C#N)cs2)C1 ZINC000592186741 355529207 /nfs/dbraw/zinc/52/92/07/355529207.db2.gz XWZFUULCMJPBDT-NSHDSACASA-N 1 2 318.324 1.515 20 30 DDEDLO COc1cc(OC)c(C[N@@H+]2CC[C@](O)(CC#N)C2)c(OC)c1 ZINC000592522397 355611231 /nfs/dbraw/zinc/61/12/31/355611231.db2.gz AGEMXQAQYMIKJG-MRXNPFEDSA-N 1 2 306.362 1.563 20 30 DDEDLO COc1cc(OC)c(C[N@H+]2CC[C@](O)(CC#N)C2)c(OC)c1 ZINC000592522397 355611233 /nfs/dbraw/zinc/61/12/33/355611233.db2.gz AGEMXQAQYMIKJG-MRXNPFEDSA-N 1 2 306.362 1.563 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cc2n(n1)CCCC2 ZINC000593016919 355770956 /nfs/dbraw/zinc/77/09/56/355770956.db2.gz YSHXIVMHJRHLIK-UHFFFAOYSA-N 1 2 317.437 1.267 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](CCOc3ccccc3)CC2)[nH]1 ZINC000151669408 283125460 /nfs/dbraw/zinc/12/54/60/283125460.db2.gz UNJNXBYUSKOXOG-UHFFFAOYSA-N 1 2 324.384 1.723 20 30 DDEDLO COc1ccc(OCC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1C ZINC000593154229 355812714 /nfs/dbraw/zinc/81/27/14/355812714.db2.gz BDOYPFAECHBPOE-INIZCTEOSA-N 1 2 305.378 1.343 20 30 DDEDLO CC[C@H](C#N)C(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000593462249 355892340 /nfs/dbraw/zinc/89/23/40/355892340.db2.gz BZYQXFDHCGDOKP-IAGOWNOFSA-N 1 2 315.417 1.596 20 30 DDEDLO N#Cc1cccc2c1CN(C(=O)C1([NH+]3CCOCC3)CCC1)C2 ZINC000593887724 356042677 /nfs/dbraw/zinc/04/26/77/356042677.db2.gz BPZNGBAUQACGFQ-UHFFFAOYSA-N 1 2 311.385 1.655 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+]([C@H]2CC(=O)N(c3cccc(O)c3)C2=O)C1 ZINC000594659591 356278531 /nfs/dbraw/zinc/27/85/31/356278531.db2.gz GCZMILDVANSMNQ-WFASDCNBSA-N 1 2 313.357 1.650 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+]([C@H]2CC(=O)N(c3cccc(O)c3)C2=O)C1 ZINC000594659591 356278533 /nfs/dbraw/zinc/27/85/33/356278533.db2.gz GCZMILDVANSMNQ-WFASDCNBSA-N 1 2 313.357 1.650 20 30 DDEDLO O=C(NCc1ccn2cc[nH+]c2c1)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000329316509 222962849 /nfs/dbraw/zinc/96/28/49/222962849.db2.gz FBNBNPQNMAEUMH-CHWSQXEVSA-N 1 2 313.361 1.799 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@H](C)c1[nH+]ccn1C ZINC000595219165 356410741 /nfs/dbraw/zinc/41/07/41/356410741.db2.gz CJZQEIPQGPJDHA-LLVKDONJSA-N 1 2 311.345 1.416 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](N3CCn4cc[nH+]c4C3)C2=O)cc1 ZINC000329005561 283165662 /nfs/dbraw/zinc/16/56/62/283165662.db2.gz MQZGYEXTKZNYIQ-OAHLLOKOSA-N 1 2 307.357 1.376 20 30 DDEDLO C=CCCSCCNC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000595422160 356486869 /nfs/dbraw/zinc/48/68/69/356486869.db2.gz GUPIVECIEPPVIN-UHFFFAOYSA-N 1 2 315.483 1.706 20 30 DDEDLO C[C@H](C#N)C[N@H+](C1CC1)[C@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595389555 356475440 /nfs/dbraw/zinc/47/54/40/356475440.db2.gz PNHAOPKONQQEOK-OCCSQVGLSA-N 1 2 321.421 1.553 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C1CC1)[C@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595389555 356475443 /nfs/dbraw/zinc/47/54/43/356475443.db2.gz PNHAOPKONQQEOK-OCCSQVGLSA-N 1 2 321.421 1.553 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](CCO[C@@H]2CC2(F)F)CC1 ZINC000595501176 356520558 /nfs/dbraw/zinc/52/05/58/356520558.db2.gz JJZBQMIZUMGCBB-CQSZACIVSA-N 1 2 308.332 1.499 20 30 DDEDLO C=CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@H](C)COC ZINC000595638514 356588347 /nfs/dbraw/zinc/58/83/47/356588347.db2.gz HRIGVYHZJDOWDC-CYBMUJFWSA-N 1 2 323.418 1.250 20 30 DDEDLO C=CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@H](C)COC ZINC000595638514 356588350 /nfs/dbraw/zinc/58/83/50/356588350.db2.gz HRIGVYHZJDOWDC-CYBMUJFWSA-N 1 2 323.418 1.250 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1cccc(C(=O)OC)c1)[C@H](C)COC ZINC000595639850 356588488 /nfs/dbraw/zinc/58/84/88/356588488.db2.gz XTIUTPVUJMJMMD-CYBMUJFWSA-N 1 2 320.389 1.935 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1cccc(C(=O)OC)c1)[C@H](C)COC ZINC000595639850 356588492 /nfs/dbraw/zinc/58/84/92/356588492.db2.gz XTIUTPVUJMJMMD-CYBMUJFWSA-N 1 2 320.389 1.935 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1C[C@@H]2COC[C@]2(C(=O)OC(C)(C)C)C1 ZINC000595721325 356622008 /nfs/dbraw/zinc/62/20/08/356622008.db2.gz WLPDIBHTMBZCNQ-BFQNTYOBSA-N 1 2 311.378 1.004 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1C[C@@H]2COC[C@]2(C(=O)OC(C)(C)C)C1 ZINC000595721325 356622012 /nfs/dbraw/zinc/62/20/12/356622012.db2.gz WLPDIBHTMBZCNQ-BFQNTYOBSA-N 1 2 311.378 1.004 20 30 DDEDLO CCOC(=O)C12CC(C1)C[N@@H+]2CCOc1ccc(C#N)cc1 ZINC000595860181 356680071 /nfs/dbraw/zinc/68/00/71/356680071.db2.gz JDUABEBVIHZLSB-UHFFFAOYSA-N 1 2 300.358 1.965 20 30 DDEDLO CCOC(=O)C12CC(C1)C[N@H+]2CCOc1ccc(C#N)cc1 ZINC000595860181 356680075 /nfs/dbraw/zinc/68/00/75/356680075.db2.gz JDUABEBVIHZLSB-UHFFFAOYSA-N 1 2 300.358 1.965 20 30 DDEDLO N#Cc1nc(NC(=O)c2ccc3c(c2)[C@H](C[NH3+])CCC3)[nH]c1C#N ZINC000595932846 356710591 /nfs/dbraw/zinc/71/05/91/356710591.db2.gz SRKBXNVMRFPTOC-LBPRGKRZSA-N 1 2 320.356 1.784 20 30 DDEDLO CN(CCC[NH+]1CCN(C)CC1)c1nc(C#N)c(Cl)s1 ZINC000596120604 356780390 /nfs/dbraw/zinc/78/03/90/356780390.db2.gz IVICUAUMMZZWIY-UHFFFAOYSA-N 1 2 313.858 1.742 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000596594886 356929614 /nfs/dbraw/zinc/92/96/14/356929614.db2.gz XLSCBOSUADSJBH-JSGCOSHPSA-N 1 2 316.405 1.865 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000596594886 356929616 /nfs/dbraw/zinc/92/96/16/356929616.db2.gz XLSCBOSUADSJBH-JSGCOSHPSA-N 1 2 316.405 1.865 20 30 DDEDLO C[C@@H](CC#N)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000596728528 356962715 /nfs/dbraw/zinc/96/27/15/356962715.db2.gz SROPZJQUFYQDIL-HOCLYGCPSA-N 1 2 301.390 1.726 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)NCC1(C#N)CCCCC1 ZINC000596862693 356998914 /nfs/dbraw/zinc/99/89/14/356998914.db2.gz GMEVNSIPEUWZNY-HNNXBMFYSA-N 1 2 322.453 1.823 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000596804011 356981746 /nfs/dbraw/zinc/98/17/46/356981746.db2.gz YXNHKTPPIXNBBM-HNNXBMFYSA-N 1 2 314.389 1.805 20 30 DDEDLO N#CCc1cccc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC000596925096 357015827 /nfs/dbraw/zinc/01/58/27/357015827.db2.gz ARVYQGCCFBDMDJ-MRXNPFEDSA-N 1 2 314.389 1.691 20 30 DDEDLO Cn1ncc(C2CC2)c1C[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000597129116 357068686 /nfs/dbraw/zinc/06/86/86/357068686.db2.gz IMRUWFKWIWULRW-UHFFFAOYSA-N 1 2 322.416 1.886 20 30 DDEDLO Cc1cccn2cc(CNC(=O)CC3(C#N)CCOCC3)[nH+]c12 ZINC000597177054 357084465 /nfs/dbraw/zinc/08/44/65/357084465.db2.gz HTBOEZXDIADMEB-UHFFFAOYSA-N 1 2 312.373 1.969 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000276853318 213135145 /nfs/dbraw/zinc/13/51/45/213135145.db2.gz ZQSTUMCLGZTEIQ-UONOGXRCSA-N 1 2 306.410 1.216 20 30 DDEDLO N#CC1(CC(=O)NC[C@@H]2CN3CC[N@@H+]2CCC3)CCCCC1 ZINC000597628069 357261097 /nfs/dbraw/zinc/26/10/97/357261097.db2.gz YANCOGKOESZZAF-OAHLLOKOSA-N 1 2 304.438 1.357 20 30 DDEDLO N#CC1(CC(=O)NC[C@@H]2CN3CC[N@H+]2CCC3)CCCCC1 ZINC000597628069 357261103 /nfs/dbraw/zinc/26/11/03/357261103.db2.gz YANCOGKOESZZAF-OAHLLOKOSA-N 1 2 304.438 1.357 20 30 DDEDLO N#CC[C@@H]1CCCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000597906518 357378196 /nfs/dbraw/zinc/37/81/96/357378196.db2.gz XCLHCYCWCMIIHI-GOEBONIOSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CC[C@@H]1CCCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000597906518 357378200 /nfs/dbraw/zinc/37/82/00/357378200.db2.gz XCLHCYCWCMIIHI-GOEBONIOSA-N 1 2 305.422 1.782 20 30 DDEDLO CC[N@@H+]1CCc2nc3ccccc3c(C(=O)N[C@@H](C#N)CO)c2C1 ZINC000597739533 357311867 /nfs/dbraw/zinc/31/18/67/357311867.db2.gz AXPOOACELAWCCG-LBPRGKRZSA-N 1 2 324.384 1.227 20 30 DDEDLO CC[N@H+]1CCc2nc3ccccc3c(C(=O)N[C@@H](C#N)CO)c2C1 ZINC000597739533 357311870 /nfs/dbraw/zinc/31/18/70/357311870.db2.gz AXPOOACELAWCCG-LBPRGKRZSA-N 1 2 324.384 1.227 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)[C@H](C)CO1 ZINC000597994162 357410967 /nfs/dbraw/zinc/41/09/67/357410967.db2.gz AWUFIWBMWJSNCP-GHMZBOCLSA-N 1 2 323.343 1.675 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)[C@H](C)CO1 ZINC000597994162 357410970 /nfs/dbraw/zinc/41/09/70/357410970.db2.gz AWUFIWBMWJSNCP-GHMZBOCLSA-N 1 2 323.343 1.675 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCC[N@H+]1C[C@@H](C)OC[C@@H]1C ZINC000597995279 357410989 /nfs/dbraw/zinc/41/09/89/357410989.db2.gz LXILJQKUTUQMSV-QWHCGFSZSA-N 1 2 302.378 1.101 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCC[N@@H+]1C[C@@H](C)OC[C@@H]1C ZINC000597995279 357410991 /nfs/dbraw/zinc/41/09/91/357410991.db2.gz LXILJQKUTUQMSV-QWHCGFSZSA-N 1 2 302.378 1.101 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ncc(C#N)cc2Cl)[C@@H](C)CO1 ZINC000597996295 357412255 /nfs/dbraw/zinc/41/22/55/357412255.db2.gz IKNQUHNBBJBAPW-WDEREUQCSA-N 1 2 322.796 1.446 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ncc(C#N)cc2Cl)[C@@H](C)CO1 ZINC000597996295 357412258 /nfs/dbraw/zinc/41/22/58/357412258.db2.gz IKNQUHNBBJBAPW-WDEREUQCSA-N 1 2 322.796 1.446 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)ncc1C#N ZINC000597997531 357413320 /nfs/dbraw/zinc/41/33/20/357413320.db2.gz SSLNVNDUJKDNLE-OLZOCXBDSA-N 1 2 302.378 1.101 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)ncc1C#N ZINC000597997531 357413324 /nfs/dbraw/zinc/41/33/24/357413324.db2.gz SSLNVNDUJKDNLE-OLZOCXBDSA-N 1 2 302.378 1.101 20 30 DDEDLO C[C@H](NC(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C)c1[nH+]ccn1C ZINC000598463755 357592370 /nfs/dbraw/zinc/59/23/70/357592370.db2.gz BDDVFNNACSEHIE-ZBEGNZNMSA-N 1 2 320.393 1.859 20 30 DDEDLO C[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1CO ZINC000598648283 357669279 /nfs/dbraw/zinc/66/92/79/357669279.db2.gz FHXIBSNBVPDBCV-ZFWWWQNUSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1CO ZINC000598648283 357669281 /nfs/dbraw/zinc/66/92/81/357669281.db2.gz FHXIBSNBVPDBCV-ZFWWWQNUSA-N 1 2 322.430 1.282 20 30 DDEDLO COC[C@]1(C)C[N@@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)CCO1 ZINC000599691284 358027350 /nfs/dbraw/zinc/02/73/50/358027350.db2.gz DFZGZGXSJBAWLN-DYVFJYSZSA-N 1 2 317.389 1.623 20 30 DDEDLO COC[C@]1(C)C[N@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)CCO1 ZINC000599691284 358027352 /nfs/dbraw/zinc/02/73/52/358027352.db2.gz DFZGZGXSJBAWLN-DYVFJYSZSA-N 1 2 317.389 1.623 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC1(C#N)CCC1 ZINC000333617398 291128520 /nfs/dbraw/zinc/12/85/20/291128520.db2.gz YZBRGCYVGSTCOW-KBPBESRZSA-N 1 2 306.410 1.185 20 30 DDEDLO C[NH2+]C1(CNC(=O)c2cc(C#N)c(SC)[nH]c2=O)CCC1 ZINC000600825383 358327060 /nfs/dbraw/zinc/32/70/60/358327060.db2.gz SQCRPIMIACJMTO-UHFFFAOYSA-N 1 2 306.391 1.253 20 30 DDEDLO Cn1ncc(Br)c1C[NH2+]Cc1nc(C#N)cs1 ZINC000601144146 358419308 /nfs/dbraw/zinc/41/93/08/358419308.db2.gz PTBFOVNFAFAWDY-UHFFFAOYSA-N 1 2 312.196 1.801 20 30 DDEDLO Cc1cccc(S(=O)(=O)NCC(C)(C)n2cc[nH+]c2)c1C#N ZINC000601526565 358589314 /nfs/dbraw/zinc/58/93/14/358589314.db2.gz MOWNDEZPRZEXNL-UHFFFAOYSA-N 1 2 318.402 1.777 20 30 DDEDLO N#C[C@]1(NC(=O)c2cc(-n3cc[nH+]c3)ccc2O)CCSC1 ZINC000602087283 358807112 /nfs/dbraw/zinc/80/71/12/358807112.db2.gz VQRTXROHDCYDDE-OAHLLOKOSA-N 1 2 314.370 1.707 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)c1 ZINC000602187223 358871516 /nfs/dbraw/zinc/87/15/16/358871516.db2.gz DLVUYJDPEZGKIE-HUUCEWRRSA-N 1 2 307.357 1.708 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)c1 ZINC000602187223 358871519 /nfs/dbraw/zinc/87/15/19/358871519.db2.gz DLVUYJDPEZGKIE-HUUCEWRRSA-N 1 2 307.357 1.708 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)c[nH]1 ZINC000602199195 358879174 /nfs/dbraw/zinc/87/91/74/358879174.db2.gz NTHDROPZNUVJFE-UHFFFAOYSA-N 1 2 309.373 1.282 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@H]2Cc3c[nH+]cn3C2)cc1Cl ZINC000602310545 358924220 /nfs/dbraw/zinc/92/42/20/358924220.db2.gz VVZUBZYAMJGEJL-LBPRGKRZSA-N 1 2 315.764 1.832 20 30 DDEDLO Cc1ccccc1CO[C@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000602331154 358933760 /nfs/dbraw/zinc/93/37/60/358933760.db2.gz AQIFLTZVXFROCC-PBHICJAKSA-N 1 2 303.406 1.860 20 30 DDEDLO N#CC1(C[NH2+]Cc2ccc(S(N)(=O)=O)s2)CCCCC1 ZINC000602714692 359143791 /nfs/dbraw/zinc/14/37/91/359143791.db2.gz BUXZYGCSZFYJGH-UHFFFAOYSA-N 1 2 313.448 1.959 20 30 DDEDLO Cc1cccc(N2CCN(C(=O)C[NH2+][C@H](C)CC#N)CC2)c1 ZINC000602864038 359249448 /nfs/dbraw/zinc/24/94/48/359249448.db2.gz PXGYRSZPAKTXOY-OAHLLOKOSA-N 1 2 300.406 1.535 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@@H](C)C(=O)N[C@H](C)c1ccc2c(c1)OCCO2 ZINC000602864646 359249460 /nfs/dbraw/zinc/24/94/60/359249460.db2.gz TXNDNRQZLCOUCA-UPJWGTAASA-N 1 2 317.389 1.915 20 30 DDEDLO COc1ccc(C[N@@H+]2CCO[C@@H](Cn3ccnn3)C2)cc1C#N ZINC000602906757 359286885 /nfs/dbraw/zinc/28/68/85/359286885.db2.gz JTGDXVQCBYZHOZ-OAHLLOKOSA-N 1 2 313.361 1.059 20 30 DDEDLO COc1ccc(C[N@H+]2CCO[C@@H](Cn3ccnn3)C2)cc1C#N ZINC000602906757 359286888 /nfs/dbraw/zinc/28/68/88/359286888.db2.gz JTGDXVQCBYZHOZ-OAHLLOKOSA-N 1 2 313.361 1.059 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H]1c1cn[nH]c1 ZINC000602878763 359262906 /nfs/dbraw/zinc/26/29/06/359262906.db2.gz AFHOTFJDZYHJJP-CQSZACIVSA-N 1 2 300.366 1.203 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H]1c1cn[nH]c1 ZINC000602878763 359262911 /nfs/dbraw/zinc/26/29/11/359262911.db2.gz AFHOTFJDZYHJJP-CQSZACIVSA-N 1 2 300.366 1.203 20 30 DDEDLO CO[C@@H]1C[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@@](C)(CO)C1 ZINC000602964298 359327500 /nfs/dbraw/zinc/32/75/00/359327500.db2.gz OMXNVABNGYMCCH-BLLLJJGKSA-N 1 2 321.377 1.178 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@@](C)(CO)C1 ZINC000602964298 359327505 /nfs/dbraw/zinc/32/75/05/359327505.db2.gz OMXNVABNGYMCCH-BLLLJJGKSA-N 1 2 321.377 1.178 20 30 DDEDLO Cc1nc(N2CCN(C(=O)Nc3ccnc(C#N)c3)CC2)cc[nH+]1 ZINC000603135428 359425571 /nfs/dbraw/zinc/42/55/71/359425571.db2.gz QOIFXORYAXVBGM-UHFFFAOYSA-N 1 2 323.360 1.406 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)Nc2cccc(C#N)c2)nn1 ZINC000603172286 359455353 /nfs/dbraw/zinc/45/53/53/359455353.db2.gz HQVNKPOVCGXFNM-ZDUSSCGKSA-N 1 2 322.372 1.462 20 30 DDEDLO N#Cc1cc(Cn2cc(C[NH+]3CCSCC3)nn2)ccc1F ZINC000603201320 359477100 /nfs/dbraw/zinc/47/71/00/359477100.db2.gz RSAKLNGMYAAEFF-UHFFFAOYSA-N 1 2 317.393 1.886 20 30 DDEDLO N#CCc1ccc(Cn2cc(C[NH+]3CCSCC3)nn2)cc1 ZINC000603221825 359494323 /nfs/dbraw/zinc/49/43/23/359494323.db2.gz HYUTYWJYOVBYDH-UHFFFAOYSA-N 1 2 313.430 1.941 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)c1cc2n(n1)CCCO2 ZINC000329874301 223040610 /nfs/dbraw/zinc/04/06/10/223040610.db2.gz CSOSVOOMLJUKJL-NSHDSACASA-N 1 2 301.350 1.744 20 30 DDEDLO CO[C@H]1C[C@H](C(=O)NC[C@@H]2CCCn3cc[nH+]c32)N(C(C)=O)C1 ZINC000329876812 223041561 /nfs/dbraw/zinc/04/15/61/223041561.db2.gz IJZLARJBXAINFG-MELADBBJSA-N 1 2 320.393 1.353 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2C(=O)NCCCn2cc[nH+]c2)cc1 ZINC000609113131 360261997 /nfs/dbraw/zinc/26/19/97/360261997.db2.gz XOIBJSCYFNMCIQ-QGZVFWFLSA-N 1 2 323.400 1.930 20 30 DDEDLO C[C@H](CC1CC1)NC(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000329965894 223055071 /nfs/dbraw/zinc/05/50/71/223055071.db2.gz RMVPVUJVSUQNSU-TZMCWYRMSA-N 1 2 305.426 1.811 20 30 DDEDLO Cc1nc(N2CCN(c3oc(C4CC4)nc3C#N)CC2)cc[nH+]1 ZINC000610273266 360405148 /nfs/dbraw/zinc/40/51/48/360405148.db2.gz LIKVVDCVPHYNPH-UHFFFAOYSA-N 1 2 310.361 1.849 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2ncccn2)C1 ZINC000276035347 212641500 /nfs/dbraw/zinc/64/15/00/212641500.db2.gz RRSJYANIQFISBP-OAHLLOKOSA-N 1 2 300.406 1.703 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000276035347 212641502 /nfs/dbraw/zinc/64/15/02/212641502.db2.gz RRSJYANIQFISBP-OAHLLOKOSA-N 1 2 300.406 1.703 20 30 DDEDLO Cc1ccc(NC(=O)[C@H](C)[NH+]2CCN(CCC#N)CC2)cc1F ZINC000611173658 360646679 /nfs/dbraw/zinc/64/66/79/360646679.db2.gz FNTVJODYYFPKMC-AWEZNQCLSA-N 1 2 318.396 1.992 20 30 DDEDLO N#Cc1csc(C[NH+]2CCN(C(=O)N3CCCC3)CC2)c1 ZINC000611253496 360679956 /nfs/dbraw/zinc/67/99/56/360679956.db2.gz RRECKKNXNJKDAQ-UHFFFAOYSA-N 1 2 304.419 1.953 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(c2nc(C#N)ccc2C)CC1 ZINC000611398894 360711522 /nfs/dbraw/zinc/71/15/22/360711522.db2.gz OSRFVYPTWOLAMD-AWEZNQCLSA-N 1 2 315.421 1.298 20 30 DDEDLO [O-]C(N[C@@H]1CCn2cc[nH+]c2C1)=[NH+][C@@H]1[C@@H]2CCO[C@H]2C12CCC2 ZINC000330202060 223087566 /nfs/dbraw/zinc/08/75/66/223087566.db2.gz YUTZIIJBMUQYTA-AYRXBEOTSA-N 1 2 316.405 1.659 20 30 DDEDLO [O-]C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330202060 223087568 /nfs/dbraw/zinc/08/75/68/223087568.db2.gz YUTZIIJBMUQYTA-AYRXBEOTSA-N 1 2 316.405 1.659 20 30 DDEDLO C[C@H](C#N)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000330824057 223146856 /nfs/dbraw/zinc/14/68/56/223146856.db2.gz GYQLQCYHYFREBJ-CHWSQXEVSA-N 1 2 304.369 1.364 20 30 DDEDLO CC(=O)N(C)C1CC[NH+](CC(=O)Nc2ccc(C#N)cc2)CC1 ZINC000194921188 201311233 /nfs/dbraw/zinc/31/12/33/201311233.db2.gz QZBFFSUUZUEUPJ-UHFFFAOYSA-N 1 2 314.389 1.439 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000341993901 223299688 /nfs/dbraw/zinc/29/96/88/223299688.db2.gz PZOVMAZAQUDQFF-OAHLLOKOSA-N 1 2 312.373 1.337 20 30 DDEDLO COCC1(C#N)CC[NH+](Cc2nc(CC(F)(F)F)no2)CC1 ZINC000341999878 223300074 /nfs/dbraw/zinc/30/00/74/223300074.db2.gz VPJSSAQLMBIESS-UHFFFAOYSA-N 1 2 318.299 1.927 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1CC[NH+](C[C@H]2CCCO2)CC1 ZINC000619546598 364055341 /nfs/dbraw/zinc/05/53/41/364055341.db2.gz FRLMQLYDOJYHDL-CYBMUJFWSA-N 1 2 303.362 1.397 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)N(C)Cc1[nH+]ccn1C ZINC000271812276 209238127 /nfs/dbraw/zinc/23/81/27/209238127.db2.gz RAMGNRSPUBMABD-UHFFFAOYSA-N 1 2 312.373 1.774 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@H+]1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000344029811 223329712 /nfs/dbraw/zinc/32/97/12/223329712.db2.gz AWKHDXJAPHQILJ-UONOGXRCSA-N 1 2 324.450 1.224 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@@H+]1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000344029811 223329714 /nfs/dbraw/zinc/32/97/14/223329714.db2.gz AWKHDXJAPHQILJ-UONOGXRCSA-N 1 2 324.450 1.224 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)c2c[nH]c3ccc(F)cc23)C1 ZINC000625506832 366973988 /nfs/dbraw/zinc/97/39/88/366973988.db2.gz RNYVQYQNSLMFKN-MRXNPFEDSA-N 1 2 301.321 1.840 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)c2c[nH]c3ccc(F)cc23)C1 ZINC000625506832 366973995 /nfs/dbraw/zinc/97/39/95/366973995.db2.gz RNYVQYQNSLMFKN-MRXNPFEDSA-N 1 2 301.321 1.840 20 30 DDEDLO C[NH+](C)[C@H](CNS(=O)(=O)CC1(CC#N)CC1)c1ccco1 ZINC000346473071 223356865 /nfs/dbraw/zinc/35/68/65/223356865.db2.gz ACMVSTLVUTWTDD-GFCCVEGCSA-N 1 2 311.407 1.496 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCCC[C@@H]2[C@@H](O)C(F)(F)F)CCC1 ZINC000346962413 223363180 /nfs/dbraw/zinc/36/31/80/223363180.db2.gz GEMHSKAUVNZADA-ZYHUDNBSSA-N 1 2 319.327 1.327 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCCC[C@@H]2[C@@H](O)C(F)(F)F)CCC1 ZINC000346962413 223363183 /nfs/dbraw/zinc/36/31/83/223363183.db2.gz GEMHSKAUVNZADA-ZYHUDNBSSA-N 1 2 319.327 1.327 20 30 DDEDLO C=CC[N@@H+](C)CC(=O)N(CCC(N)=O)c1ccccc1OC ZINC000275036244 212085769 /nfs/dbraw/zinc/08/57/69/212085769.db2.gz DSZQDNZRUNPLBQ-UHFFFAOYSA-N 1 2 305.378 1.021 20 30 DDEDLO C=CC[N@H+](C)CC(=O)N(CCC(N)=O)c1ccccc1OC ZINC000275036244 212085771 /nfs/dbraw/zinc/08/57/71/212085771.db2.gz DSZQDNZRUNPLBQ-UHFFFAOYSA-N 1 2 305.378 1.021 20 30 DDEDLO Cc1nc(CN2CC[NH+](Cc3cc(C#N)cs3)CC2)no1 ZINC000093274481 193223881 /nfs/dbraw/zinc/22/38/81/193223881.db2.gz QPCQCDJVDFJKKG-UHFFFAOYSA-N 1 2 303.391 1.629 20 30 DDEDLO N#Cc1c(NC(=O)C[N@H+]2CC[C@@H](O)C2)sc2c1CCCCC2 ZINC000265038013 204357901 /nfs/dbraw/zinc/35/79/01/204357901.db2.gz MIOXZKPEJVRGEF-LLVKDONJSA-N 1 2 319.430 1.894 20 30 DDEDLO N#Cc1c(NC(=O)C[N@@H+]2CC[C@@H](O)C2)sc2c1CCCCC2 ZINC000265038013 204357902 /nfs/dbraw/zinc/35/79/02/204357902.db2.gz MIOXZKPEJVRGEF-LLVKDONJSA-N 1 2 319.430 1.894 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@H](S(C)(=O)=O)C2)ccc1C#N ZINC000282510687 217051723 /nfs/dbraw/zinc/05/17/23/217051723.db2.gz YWEXYHPASYBNRU-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@H](S(C)(=O)=O)C2)ccc1C#N ZINC000282510687 217051727 /nfs/dbraw/zinc/05/17/27/217051727.db2.gz YWEXYHPASYBNRU-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3ncccn3)CC2)ccc1C#N ZINC000282524544 217061102 /nfs/dbraw/zinc/06/11/02/217061102.db2.gz ZYSGASLCAOPHKB-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(c2ccc(Cl)cc2C#N)CC1 ZINC000267525834 206122673 /nfs/dbraw/zinc/12/26/73/206122673.db2.gz SGOWMTVZMQIZSY-UHFFFAOYSA-N 1 2 306.797 1.598 20 30 DDEDLO Cc1cnc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cn2)s1 ZINC000567043186 304194015 /nfs/dbraw/zinc/19/40/15/304194015.db2.gz KMOMBQKGCJDOAD-UHFFFAOYSA-N 1 2 322.415 1.282 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000028748660 248185354 /nfs/dbraw/zinc/18/53/54/248185354.db2.gz MYGYTVQIOXAMOV-HNNXBMFYSA-N 1 2 314.389 1.120 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000028748660 248185357 /nfs/dbraw/zinc/18/53/57/248185357.db2.gz MYGYTVQIOXAMOV-HNNXBMFYSA-N 1 2 314.389 1.120 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(c2nccs2)CC1 ZINC000042568563 183285369 /nfs/dbraw/zinc/28/53/69/183285369.db2.gz RPDCZOROCOWEAW-CQSZACIVSA-N 1 2 320.462 1.854 20 30 DDEDLO C=CC[N@H+](CC(=O)NC[C@H]1CCCO1)Cc1ccc(OC)cc1 ZINC000056291343 184009012 /nfs/dbraw/zinc/00/90/12/184009012.db2.gz MUELEMFWBHXYPW-QGZVFWFLSA-N 1 2 318.417 1.978 20 30 DDEDLO C=CC[N@@H+](CC(=O)NC[C@H]1CCCO1)Cc1ccc(OC)cc1 ZINC000056291343 184009013 /nfs/dbraw/zinc/00/90/13/184009013.db2.gz MUELEMFWBHXYPW-QGZVFWFLSA-N 1 2 318.417 1.978 20 30 DDEDLO N#CC1CC[NH+](CC(=O)N2CCc3ccc([N+](=O)[O-])cc32)CC1 ZINC000057877868 184060107 /nfs/dbraw/zinc/06/01/07/184060107.db2.gz FFECMCQDHOBBTI-UHFFFAOYSA-N 1 2 314.345 1.719 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000060480826 184150521 /nfs/dbraw/zinc/15/05/21/184150521.db2.gz DWPDSNQOFITTOC-CQSZACIVSA-N 1 2 315.421 1.332 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N[C@H](C)c1ccc(C#N)cc1 ZINC000119638790 195007543 /nfs/dbraw/zinc/00/75/43/195007543.db2.gz APNIFDHYWJMKDI-LLVKDONJSA-N 1 2 324.340 1.778 20 30 DDEDLO C[C@@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C)c1cccc(O)c1 ZINC000330241155 533102104 /nfs/dbraw/zinc/10/21/04/533102104.db2.gz IWFUKQBEKKVFMH-DFBGVHRSSA-N 1 2 305.378 1.382 20 30 DDEDLO C[C@@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C)c1cccc(O)c1 ZINC000330241155 533102105 /nfs/dbraw/zinc/10/21/05/533102105.db2.gz IWFUKQBEKKVFMH-DFBGVHRSSA-N 1 2 305.378 1.382 20 30 DDEDLO CS(=O)(=O)N1CC[C@H](C[N@H+](CCC#N)Cc2ccco2)C1 ZINC000338310702 533394765 /nfs/dbraw/zinc/39/47/65/533394765.db2.gz AQXJHIWYXVHUQC-CYBMUJFWSA-N 1 2 311.407 1.277 20 30 DDEDLO CS(=O)(=O)N1CC[C@H](C[N@@H+](CCC#N)Cc2ccco2)C1 ZINC000338310702 533394772 /nfs/dbraw/zinc/39/47/72/533394772.db2.gz AQXJHIWYXVHUQC-CYBMUJFWSA-N 1 2 311.407 1.277 20 30 DDEDLO Cc1cc(NC(=O)N[C@H](C)Cn2cc[nH+]c2)nn1CCC#N ZINC000271182723 407560527 /nfs/dbraw/zinc/56/05/27/407560527.db2.gz PIHHEMSUMAHMCV-LLVKDONJSA-N 1 2 301.354 1.512 20 30 DDEDLO CC(C)(CNC(=O)COc1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000071848302 406852800 /nfs/dbraw/zinc/85/28/00/406852800.db2.gz YSXXQBVNXUWXGI-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000074350679 406909741 /nfs/dbraw/zinc/90/97/41/406909741.db2.gz JVAMWNGKNJRLSG-LLVKDONJSA-N 1 2 320.418 1.595 20 30 DDEDLO CC[N@H+]1CCC[C@@H]1CNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000074350679 406909743 /nfs/dbraw/zinc/90/97/43/406909743.db2.gz JVAMWNGKNJRLSG-LLVKDONJSA-N 1 2 320.418 1.595 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@H](c2ccccc2)C1 ZINC000074159370 406903879 /nfs/dbraw/zinc/90/38/79/406903879.db2.gz GSWDEDPTCIAURQ-ZBFHGGJFSA-N 1 2 301.390 1.820 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@H](c2ccccc2)C1 ZINC000074159370 406903881 /nfs/dbraw/zinc/90/38/81/406903881.db2.gz GSWDEDPTCIAURQ-ZBFHGGJFSA-N 1 2 301.390 1.820 20 30 DDEDLO Cn1cc[nH+]c1-c1cccc(NC(=O)N2CCO[C@H](C#N)C2)c1 ZINC000077445652 406990870 /nfs/dbraw/zinc/99/08/70/406990870.db2.gz XJAOCHGTHZWNBW-CQSZACIVSA-N 1 2 311.345 1.843 20 30 DDEDLO CC(=O)NC1CC[NH+]([C@@H](C)C(=O)Nc2ccccc2C#N)CC1 ZINC000050991581 407133662 /nfs/dbraw/zinc/13/36/62/407133662.db2.gz FPYBDYMZIMHRSE-LBPRGKRZSA-N 1 2 314.389 1.486 20 30 DDEDLO CCN(C1CC[NH+](Cc2ccccc2C#N)CC1)S(C)(=O)=O ZINC000066883409 407262248 /nfs/dbraw/zinc/26/22/48/407262248.db2.gz ZSSADKPTPBLIMQ-UHFFFAOYSA-N 1 2 321.446 1.804 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCC(=O)NCC(F)(F)F)ccc1F ZINC000063457769 407233741 /nfs/dbraw/zinc/23/37/41/407233741.db2.gz OEUNTYUZEUZTQJ-UHFFFAOYSA-N 1 2 307.247 1.450 20 30 DDEDLO CC(C)N(C)C(=O)C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000101728835 407315205 /nfs/dbraw/zinc/31/52/05/407315205.db2.gz RHFCRMLKZVJXLM-UHFFFAOYSA-N 1 2 300.406 1.547 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CCC[C@@](C)(O)C2)c(C#N)c1C ZINC000124446864 407359131 /nfs/dbraw/zinc/35/91/31/407359131.db2.gz KCEKYMWMIIYKMF-MEDUHNTESA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CCC[C@@](C)(O)C2)c(C#N)c1C ZINC000124446864 407359133 /nfs/dbraw/zinc/35/91/33/407359133.db2.gz KCEKYMWMIIYKMF-MEDUHNTESA-N 1 2 305.378 1.942 20 30 DDEDLO CC(C)N(C)C(=O)C[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000124670099 407365488 /nfs/dbraw/zinc/36/54/88/407365488.db2.gz GYBSATCRYIJGJI-KRWDZBQOSA-N 1 2 314.433 1.736 20 30 DDEDLO N#CC1(C(=O)NCc2cccc(Cn3cc[nH+]c3)c2)CCOCC1 ZINC000109139531 407395365 /nfs/dbraw/zinc/39/53/65/407395365.db2.gz KRZLAVXPLJXGMH-UHFFFAOYSA-N 1 2 324.384 1.868 20 30 DDEDLO Cc1ccc(C#N)c(N2CCN(C3=[NH+]C[C@@H](C)S3)CC2)n1 ZINC000128003732 407487552 /nfs/dbraw/zinc/48/75/52/407487552.db2.gz NUZAHKLKNUDTOK-GFCCVEGCSA-N 1 2 301.419 1.875 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+](CCOc2cccc(C#N)c2)CCO1 ZINC000178448689 407459764 /nfs/dbraw/zinc/45/97/64/407459764.db2.gz UIFMWHQWDKDGAB-OAHLLOKOSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+](CCOc2cccc(C#N)c2)CCO1 ZINC000178448689 407459768 /nfs/dbraw/zinc/45/97/68/407459768.db2.gz UIFMWHQWDKDGAB-OAHLLOKOSA-N 1 2 304.346 1.201 20 30 DDEDLO CC[C@](C)([NH2+]CCOc1ccc(C#N)cc1OC)C(=O)OC ZINC000271213329 407579392 /nfs/dbraw/zinc/57/93/92/407579392.db2.gz WMBUUOHMCBCUPP-INIZCTEOSA-N 1 2 306.362 1.877 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)[C@@H](c1ccccc1)[NH+]1CCSCC1 ZINC000185883533 407529191 /nfs/dbraw/zinc/52/91/91/407529191.db2.gz FBDKNWDFVJDVIK-JKSUJKDBSA-N 1 2 320.458 1.830 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1cccc(C#N)c1 ZINC000170875186 407542962 /nfs/dbraw/zinc/54/29/62/407542962.db2.gz KDMACGMFSXOESR-UHFFFAOYSA-N 1 2 302.378 1.791 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1cccc(C#N)c1 ZINC000170875186 407542967 /nfs/dbraw/zinc/54/29/67/407542967.db2.gz KDMACGMFSXOESR-UHFFFAOYSA-N 1 2 302.378 1.791 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[NH2+]C[C@@H]1c1ccccc1OC ZINC000261783992 407614345 /nfs/dbraw/zinc/61/43/45/407614345.db2.gz KHSPALLIAYZHFC-CQSZACIVSA-N 1 2 310.419 1.547 20 30 DDEDLO CCCC[C@@H](C(=O)OC)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000171234673 407637609 /nfs/dbraw/zinc/63/76/09/407637609.db2.gz WYOOCFACUPRBRA-HNNXBMFYSA-N 1 2 316.405 1.807 20 30 DDEDLO C[C@H](NC(=O)NCc1ccc(C#N)cc1)[C@H](C)[NH+]1CCOCC1 ZINC000171617087 407720199 /nfs/dbraw/zinc/72/01/99/407720199.db2.gz QDYUNEDWQAOTHD-KBPBESRZSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC[C@H](n2cncn2)C1 ZINC000171702251 407745729 /nfs/dbraw/zinc/74/57/29/407745729.db2.gz PKLYBZORVPWJBR-ZFWWWQNUSA-N 1 2 324.388 1.814 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC[C@H](n2cncn2)C1 ZINC000171702251 407745733 /nfs/dbraw/zinc/74/57/33/407745733.db2.gz PKLYBZORVPWJBR-ZFWWWQNUSA-N 1 2 324.388 1.814 20 30 DDEDLO C#CCC[NH+]1CCN(Cc2cc(F)cc(C(C)=O)c2O)CC1 ZINC000272034302 407748394 /nfs/dbraw/zinc/74/83/94/407748394.db2.gz PVGMQWGCUITUAH-UHFFFAOYSA-N 1 2 304.365 1.875 20 30 DDEDLO C[C@H]([NH2+]CC(=O)N[C@@](C)(C#N)C1CC1)c1nnc2ccccn21 ZINC000172613417 407841230 /nfs/dbraw/zinc/84/12/30/407841230.db2.gz RSZACQGANHEDFK-ZBEGNZNMSA-N 1 2 312.377 1.188 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1C[C@@H](CO)OC[C@@H]1C ZINC000153494135 407836259 /nfs/dbraw/zinc/83/62/59/407836259.db2.gz BGWVAWCDSQOMJI-JSGCOSHPSA-N 1 2 306.362 1.027 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1C[C@@H](CO)OC[C@@H]1C ZINC000153494135 407836267 /nfs/dbraw/zinc/83/62/67/407836267.db2.gz BGWVAWCDSQOMJI-JSGCOSHPSA-N 1 2 306.362 1.027 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](O)C[N@@H+]1Cc1cccc(OCCCC#N)c1 ZINC000187571326 407869756 /nfs/dbraw/zinc/86/97/56/407869756.db2.gz QSNKSXXQYFCPIP-GDBMZVCRSA-N 1 2 318.373 1.477 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](O)C[N@H+]1Cc1cccc(OCCCC#N)c1 ZINC000187571326 407869761 /nfs/dbraw/zinc/86/97/61/407869761.db2.gz QSNKSXXQYFCPIP-GDBMZVCRSA-N 1 2 318.373 1.477 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCc2cccc(Br)c2C1 ZINC000118411161 407917072 /nfs/dbraw/zinc/91/70/72/407917072.db2.gz DGGNGMDMAJXASQ-NSHDSACASA-N 1 2 321.218 1.945 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCc2cccc(Br)c2C1 ZINC000118411161 407917078 /nfs/dbraw/zinc/91/70/78/407917078.db2.gz DGGNGMDMAJXASQ-NSHDSACASA-N 1 2 321.218 1.945 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000153860225 407919271 /nfs/dbraw/zinc/91/92/71/407919271.db2.gz YRFQBFNEZJXVLN-HOCLYGCPSA-N 1 2 300.406 1.346 20 30 DDEDLO C=CCN(CC=C)C(=O)CO[NH+]=C(N)c1cccc(OC)c1 ZINC000174211487 407921972 /nfs/dbraw/zinc/92/19/72/407921972.db2.gz IBIJBCWVEJYCFA-UHFFFAOYSA-N 1 2 303.362 1.533 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1CCOCC(F)F ZINC000189543257 408048718 /nfs/dbraw/zinc/04/87/18/408048718.db2.gz HOVDIQPWUXIRFE-LLVKDONJSA-N 1 2 311.336 1.140 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1CCOCC(F)F ZINC000189543257 408048724 /nfs/dbraw/zinc/04/87/24/408048724.db2.gz HOVDIQPWUXIRFE-LLVKDONJSA-N 1 2 311.336 1.140 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+](C)[C@@H](C)[C@H](C)S(C)(=O)=O ZINC000154303217 408014888 /nfs/dbraw/zinc/01/48/88/408014888.db2.gz DNVAMNNXUPTBRO-RYUDHWBXSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+](C)[C@@H](C)[C@H](C)S(C)(=O)=O ZINC000154303217 408014893 /nfs/dbraw/zinc/01/48/93/408014893.db2.gz DNVAMNNXUPTBRO-RYUDHWBXSA-N 1 2 310.419 1.820 20 30 DDEDLO CC(C)(C)n1cc(CN(CCC#N)CC[NH+]2CCOCC2)cn1 ZINC000154813171 408089913 /nfs/dbraw/zinc/08/99/13/408089913.db2.gz ZWGFVKIKGHIUFH-UHFFFAOYSA-N 1 2 319.453 1.686 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)CCCC#N)[C@@H](c2ccccc2)C1 ZINC000245928737 408103271 /nfs/dbraw/zinc/10/32/71/408103271.db2.gz XXTLEKMEEZTTNS-OAHLLOKOSA-N 1 2 307.419 1.609 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)CCCC#N)[C@@H](c2ccccc2)C1 ZINC000245928737 408103275 /nfs/dbraw/zinc/10/32/75/408103275.db2.gz XXTLEKMEEZTTNS-OAHLLOKOSA-N 1 2 307.419 1.609 20 30 DDEDLO CCc1ccc([C@H](C)NC(=O)[C@@H](C)O[NH+]=C(N)CCO)cc1 ZINC000121359365 408193648 /nfs/dbraw/zinc/19/36/48/408193648.db2.gz OSVSPULLRYNREW-NWDGAFQWSA-N 1 2 307.394 1.486 20 30 DDEDLO C=C(C)C[N@@H+](CC)[C@@H](C)C(=O)N(CC)[C@H]1CCS(=O)(=O)C1 ZINC000246142984 408154103 /nfs/dbraw/zinc/15/41/03/408154103.db2.gz FRXHWDPKIJXOHJ-KBPBESRZSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)C[N@H+](CC)[C@@H](C)C(=O)N(CC)[C@H]1CCS(=O)(=O)C1 ZINC000246142984 408154109 /nfs/dbraw/zinc/15/41/09/408154109.db2.gz FRXHWDPKIJXOHJ-KBPBESRZSA-N 1 2 316.467 1.309 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@@H+]2CCN3CCCC[C@H]3C2)cc1 ZINC000246173409 408163686 /nfs/dbraw/zinc/16/36/86/408163686.db2.gz JLVZRXJFALSJQR-IRXDYDNUSA-N 1 2 315.417 1.468 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCN3CCCC[C@H]3C2)cc1 ZINC000246173409 408163691 /nfs/dbraw/zinc/16/36/91/408163691.db2.gz JLVZRXJFALSJQR-IRXDYDNUSA-N 1 2 315.417 1.468 20 30 DDEDLO C#CCSCCNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000121331121 408185518 /nfs/dbraw/zinc/18/55/18/408185518.db2.gz WLRYRPIGUPCLTM-ZDUSSCGKSA-N 1 2 304.419 1.326 20 30 DDEDLO C=CCCOCC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000144941172 408186464 /nfs/dbraw/zinc/18/64/64/408186464.db2.gz OJUIPKUZXYIRCT-QGZVFWFLSA-N 1 2 318.417 1.769 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@H](O)C[N@H+](C)C[C@H](C)C#N)c1 ZINC000263680992 408205084 /nfs/dbraw/zinc/20/50/84/408205084.db2.gz JHGDHKSWGUAWGB-UKRRQHHQSA-N 1 2 320.389 1.694 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@H](O)C[N@@H+](C)C[C@H](C)C#N)c1 ZINC000263680992 408205093 /nfs/dbraw/zinc/20/50/93/408205093.db2.gz JHGDHKSWGUAWGB-UKRRQHHQSA-N 1 2 320.389 1.694 20 30 DDEDLO C[C@H]1C[NH+]=C(N2CCN(C(=O)c3cc(C#N)c[nH]3)CC2)S1 ZINC000175871725 408226827 /nfs/dbraw/zinc/22/68/27/408226827.db2.gz IKGKFSHXVGIUDF-JTQLQIEISA-N 1 2 303.391 1.135 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CC[N@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000156000124 408235821 /nfs/dbraw/zinc/23/58/21/408235821.db2.gz QFSIGLCNQNPEGL-CQSZACIVSA-N 1 2 312.822 1.315 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000156000124 408235824 /nfs/dbraw/zinc/23/58/24/408235824.db2.gz QFSIGLCNQNPEGL-CQSZACIVSA-N 1 2 312.822 1.315 20 30 DDEDLO COCCCO[NH+]=C(N)c1ccc(-n2nc(C)cc2C)nc1 ZINC000157203104 408292728 /nfs/dbraw/zinc/29/27/28/408292728.db2.gz CIQKUKRHPJZQAF-UHFFFAOYSA-N 1 2 303.366 1.558 20 30 DDEDLO CC(C)N(C(=O)CO[NH+]=C(N)c1ccc2c(c1)CCO2)C(C)C ZINC000158222647 408333301 /nfs/dbraw/zinc/33/33/01/408333301.db2.gz NKDBLGHIAFWSFL-UHFFFAOYSA-N 1 2 319.405 1.904 20 30 DDEDLO CC#CC[N@@H+](Cc1ccc(OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000158732264 408357556 /nfs/dbraw/zinc/35/75/56/408357556.db2.gz RKMHYCXNNNOTSV-HNNXBMFYSA-N 1 2 307.415 1.708 20 30 DDEDLO CC#CC[N@H+](Cc1ccc(OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000158732264 408357558 /nfs/dbraw/zinc/35/75/58/408357558.db2.gz RKMHYCXNNNOTSV-HNNXBMFYSA-N 1 2 307.415 1.708 20 30 DDEDLO COCC1(O)CC[NH+](CC(=O)Nc2sccc2C#N)CC1 ZINC000270394966 408517103 /nfs/dbraw/zinc/51/71/03/408517103.db2.gz UCQICQHGMYBQDW-UHFFFAOYSA-N 1 2 309.391 1.032 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnc(C)nc2C(C)C)CC1 ZINC000265189216 408573701 /nfs/dbraw/zinc/57/37/01/408573701.db2.gz BZVZQSOMSMQJPK-UHFFFAOYSA-N 1 2 300.406 1.690 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3cccc(CC#N)c3)CC2)cc[nH+]1 ZINC000184953220 408724725 /nfs/dbraw/zinc/72/47/25/408724725.db2.gz ZGLXFQLGJKKBRR-UHFFFAOYSA-N 1 2 321.384 1.814 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3cccc(C#N)c3)CC2)cc[nH+]1 ZINC000185445739 408810148 /nfs/dbraw/zinc/81/01/48/408810148.db2.gz BSRQFTNBSYXWHA-UHFFFAOYSA-N 1 2 307.357 1.619 20 30 DDEDLO Cc1nc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cc2)cs1 ZINC000163075717 408757433 /nfs/dbraw/zinc/75/74/33/408757433.db2.gz XRMMPADRBIQMOL-UHFFFAOYSA-N 1 2 321.427 1.887 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000253375719 408813660 /nfs/dbraw/zinc/81/36/60/408813660.db2.gz QTGXTGJPSHJRJP-CYBMUJFWSA-N 1 2 315.421 1.378 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)O[NH+]=C(N)c1ccc(OC)cc1 ZINC000178141289 408779146 /nfs/dbraw/zinc/77/91/46/408779146.db2.gz DMXFWDQGSDEKIN-ZDUSSCGKSA-N 1 2 317.389 1.921 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCO[C@]2(CCOC2)C1 ZINC000185213486 408781060 /nfs/dbraw/zinc/78/10/60/408781060.db2.gz FOCAUMIGYFUFSD-SUMWQHHRSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCO[C@]2(CCOC2)C1 ZINC000185213486 408781063 /nfs/dbraw/zinc/78/10/63/408781063.db2.gz FOCAUMIGYFUFSD-SUMWQHHRSA-N 1 2 315.373 1.377 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(F)ccc(OC)c2OC)CC1 ZINC000276222453 408826064 /nfs/dbraw/zinc/82/60/64/408826064.db2.gz CKKMGARAQFSZJV-UHFFFAOYSA-N 1 2 320.364 1.624 20 30 DDEDLO N#CCCCC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000290926809 408854392 /nfs/dbraw/zinc/85/43/92/408854392.db2.gz INLROUXWMOPIBF-CYBMUJFWSA-N 1 2 307.419 1.931 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2nnc(-c3ccccc3)o2)C1=O ZINC000281502832 408888711 /nfs/dbraw/zinc/88/87/11/408888711.db2.gz YEGTUIDXFYXYQG-CQSZACIVSA-N 1 2 312.373 1.955 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2nnc(-c3ccccc3)o2)C1=O ZINC000281502832 408888714 /nfs/dbraw/zinc/88/87/14/408888714.db2.gz YEGTUIDXFYXYQG-CQSZACIVSA-N 1 2 312.373 1.955 20 30 DDEDLO C#CC(C)(C)[N@H+](C)Cc1c(C)nn(CCS(C)(=O)=O)c1C ZINC000286183163 408937879 /nfs/dbraw/zinc/93/78/79/408937879.db2.gz PICNQHRIDRTNAM-UHFFFAOYSA-N 1 2 311.451 1.388 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)Cc1c(C)nn(CCS(C)(=O)=O)c1C ZINC000286183163 408937883 /nfs/dbraw/zinc/93/78/83/408937883.db2.gz PICNQHRIDRTNAM-UHFFFAOYSA-N 1 2 311.451 1.388 20 30 DDEDLO C#CCC(CC#C)C(=O)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000277487371 408949570 /nfs/dbraw/zinc/94/95/70/408949570.db2.gz QXXJBNMSRQIUBD-UHFFFAOYSA-N 1 2 313.401 1.598 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)c2ccc(F)c(C#N)c2)CCO1 ZINC000286382667 408973512 /nfs/dbraw/zinc/97/35/12/408973512.db2.gz KKGKVLGZQIZJEY-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO C=CCN1C(=O)C(=O)N(Cc2ccc(C(C)C)[nH+]c2C)C1=O ZINC000292725982 409041425 /nfs/dbraw/zinc/04/14/25/409041425.db2.gz PNWGUTJDRLFGLB-UHFFFAOYSA-N 1 2 301.346 1.990 20 30 DDEDLO CCC[N@H+](Cc1ccc(C#N)cc1F)[C@@H]1CC(=O)N(C)C1=O ZINC000282395416 409046747 /nfs/dbraw/zinc/04/67/47/409046747.db2.gz DWNIXTIVKLEPGB-CQSZACIVSA-N 1 2 303.337 1.667 20 30 DDEDLO CCC[N@@H+](Cc1ccc(C#N)cc1F)[C@@H]1CC(=O)N(C)C1=O ZINC000282395416 409046748 /nfs/dbraw/zinc/04/67/48/409046748.db2.gz DWNIXTIVKLEPGB-CQSZACIVSA-N 1 2 303.337 1.667 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCc2cc(OC)c(Cl)cc2C1 ZINC000293271948 409080283 /nfs/dbraw/zinc/08/02/83/409080283.db2.gz UXUFUTRAUBMWMI-NSHDSACASA-N 1 2 306.793 1.845 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCc2cc(OC)c(Cl)cc2C1 ZINC000293271948 409080285 /nfs/dbraw/zinc/08/02/85/409080285.db2.gz UXUFUTRAUBMWMI-NSHDSACASA-N 1 2 306.793 1.845 20 30 DDEDLO C=CCN1CC[C@@H](N(C)c2cc(N3CCCC3)[nH+]cn2)C1=O ZINC000287856018 409105012 /nfs/dbraw/zinc/10/50/12/409105012.db2.gz SFSHGUDAFLENNL-CYBMUJFWSA-N 1 2 301.394 1.300 20 30 DDEDLO C=CCN1CC[C@@H](N(C)c2cc(N3CCCC3)nc[nH+]2)C1=O ZINC000287856018 409105015 /nfs/dbraw/zinc/10/50/15/409105015.db2.gz SFSHGUDAFLENNL-CYBMUJFWSA-N 1 2 301.394 1.300 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)c1cnc(C(F)(F)F)c(C#N)c1 ZINC000293472850 409120573 /nfs/dbraw/zinc/12/05/73/409120573.db2.gz LWDBWAPVHGMBOA-SECBINFHSA-N 1 2 323.278 1.987 20 30 DDEDLO CCc1cc(N)nc(SCC(=O)N(CC)C[C@@H](C)C#N)[nH+]1 ZINC000279145153 409157676 /nfs/dbraw/zinc/15/76/76/409157676.db2.gz YZUXASAKSXONOJ-JTQLQIEISA-N 1 2 307.423 1.721 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@@H](C2CC2)O1 ZINC000279207761 409170787 /nfs/dbraw/zinc/17/07/87/409170787.db2.gz BLKWDZGGALKMMC-DYVFJYSZSA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@@H](C2CC2)O1 ZINC000279207761 409170789 /nfs/dbraw/zinc/17/07/89/409170789.db2.gz BLKWDZGGALKMMC-DYVFJYSZSA-N 1 2 313.401 1.674 20 30 DDEDLO CCOc1ccc(C[NH+]2CCN(C(=O)/C=N/OC)CC2)cc1 ZINC000289331252 409250676 /nfs/dbraw/zinc/25/06/76/409250676.db2.gz KYPAMAVOFCUQLA-SFQUDFHCSA-N 1 2 305.378 1.362 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)Nc2ccccc2SCC#N)C[C@H]1O ZINC000279877796 409252815 /nfs/dbraw/zinc/25/28/15/409252815.db2.gz UFEFJXHWOHYOLM-CQSZACIVSA-N 1 2 319.430 1.943 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)Nc2ccccc2SCC#N)C[C@H]1O ZINC000279877796 409252818 /nfs/dbraw/zinc/25/28/18/409252818.db2.gz UFEFJXHWOHYOLM-CQSZACIVSA-N 1 2 319.430 1.943 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000294406486 409290690 /nfs/dbraw/zinc/29/06/90/409290690.db2.gz CRTNJCGEEFXKGH-HNNXBMFYSA-N 1 2 324.783 1.992 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000294406486 409290691 /nfs/dbraw/zinc/29/06/91/409290691.db2.gz CRTNJCGEEFXKGH-HNNXBMFYSA-N 1 2 324.783 1.992 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@@]2(CCO[C@@H]2C)C1 ZINC000280530631 409343509 /nfs/dbraw/zinc/34/35/09/409343509.db2.gz SJBREBYJLUQGCE-DYVFJYSZSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@@]2(CCO[C@@H]2C)C1 ZINC000280530631 409343519 /nfs/dbraw/zinc/34/35/19/409343519.db2.gz SJBREBYJLUQGCE-DYVFJYSZSA-N 1 2 302.374 1.947 20 30 DDEDLO CC(C)NS(=O)(=O)[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC000362333516 164156375 /nfs/dbraw/zinc/15/63/75/164156375.db2.gz DCAGXOIMURLTEE-ZDUSSCGKSA-N 1 2 313.448 1.522 20 30 DDEDLO CC(C)NS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC000362333516 164156377 /nfs/dbraw/zinc/15/63/77/164156377.db2.gz DCAGXOIMURLTEE-ZDUSSCGKSA-N 1 2 313.448 1.522 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCCC[C@H]1c1cc[nH]n1 ZINC000285529198 409479103 /nfs/dbraw/zinc/47/91/03/409479103.db2.gz ZSKARNGTCBUKQP-HIFRSBDPSA-N 1 2 303.410 1.945 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCCC[C@H]1c1cc[nH]n1 ZINC000285529198 409479109 /nfs/dbraw/zinc/47/91/09/409479109.db2.gz ZSKARNGTCBUKQP-HIFRSBDPSA-N 1 2 303.410 1.945 20 30 DDEDLO C#CCSCCNC(=O)N1CC(C)(C)[C@@H]1c1[nH+]ccn1C ZINC000296428810 409548134 /nfs/dbraw/zinc/54/81/34/409548134.db2.gz NJKIQJYEDKMTRK-LBPRGKRZSA-N 1 2 306.435 1.879 20 30 DDEDLO COc1ccc(C[NH+]2CCC([C@H](O)C(=O)NC3CC3)CC2)nn1 ZINC000328698136 409956734 /nfs/dbraw/zinc/95/67/34/409956734.db2.gz UWLACRVVEFUOTA-HNNXBMFYSA-N 1 2 320.393 1.177 20 30 DDEDLO C[C@H](CCC#N)[NH+]1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000297778391 409994599 /nfs/dbraw/zinc/99/45/99/409994599.db2.gz GMNCNIHKKDJGBH-CQSZACIVSA-N 1 2 307.419 1.685 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](C(=O)OC)[C@H]1C ZINC000346675617 410073725 /nfs/dbraw/zinc/07/37/25/410073725.db2.gz WOFLHJIDAQYNIO-DFBGVHRSSA-N 1 2 316.357 1.656 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](C(=O)OC)[C@H]1C ZINC000346675617 410073730 /nfs/dbraw/zinc/07/37/30/410073730.db2.gz WOFLHJIDAQYNIO-DFBGVHRSSA-N 1 2 316.357 1.656 20 30 DDEDLO COc1ccc(C[N@@H+]2CCOC[C@@H]2[C@@H]2CCCO2)cc1C#N ZINC000329073487 410086387 /nfs/dbraw/zinc/08/63/87/410086387.db2.gz OVFYBTYTMJMBIO-WBVHZDCISA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C[N@H+]2CCOC[C@@H]2[C@@H]2CCCO2)cc1C#N ZINC000329073487 410086392 /nfs/dbraw/zinc/08/63/92/410086392.db2.gz OVFYBTYTMJMBIO-WBVHZDCISA-N 1 2 302.374 1.947 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CCC[C@@H](CO)C2)c(C#N)c1C ZINC000298083782 410105007 /nfs/dbraw/zinc/10/50/07/410105007.db2.gz NHLIUNCDANIIFW-WCQYABFASA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CCC[C@@H](CO)C2)c(C#N)c1C ZINC000298083782 410105012 /nfs/dbraw/zinc/10/50/12/410105012.db2.gz NHLIUNCDANIIFW-WCQYABFASA-N 1 2 305.378 1.799 20 30 DDEDLO CCN1CCN(C(=O)c2cc(C#N)ccn2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000332381968 410112972 /nfs/dbraw/zinc/11/29/72/410112972.db2.gz YZMQKVDKVNXSIZ-CQSZACIVSA-N 1 2 310.361 1.195 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H]1CCC[N@@H+](CC(=O)OC)C1 ZINC000354876265 410143022 /nfs/dbraw/zinc/14/30/22/410143022.db2.gz BZYUKYJLLZIJDX-AWEZNQCLSA-N 1 2 308.422 1.756 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H]1CCC[N@H+](CC(=O)OC)C1 ZINC000354876265 410143024 /nfs/dbraw/zinc/14/30/24/410143024.db2.gz BZYUKYJLLZIJDX-AWEZNQCLSA-N 1 2 308.422 1.756 20 30 DDEDLO O=C(NCC[NH+]1CCOCC1)Nc1cnc2c(c1)CCCC2 ZINC000329293071 410218129 /nfs/dbraw/zinc/21/81/29/410218129.db2.gz BCSRZDAIZOAWJK-UHFFFAOYSA-N 1 2 304.394 1.619 20 30 DDEDLO CO[C@@H]1CCC[C@@H]1CNC(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000329209257 410167827 /nfs/dbraw/zinc/16/78/27/410167827.db2.gz CAUGAADKPNUVGM-HZSPNIEDSA-N 1 2 306.410 1.939 20 30 DDEDLO Cc1nc(C(=O)N2CCN(c3cccc[nH+]3)CC2)ccc1C#N ZINC000298373725 410204372 /nfs/dbraw/zinc/20/43/72/410204372.db2.gz WBJUEZYQZFTNGU-UHFFFAOYSA-N 1 2 307.357 1.619 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C2(C#N)CC2)cc1 ZINC000329487273 410324996 /nfs/dbraw/zinc/32/49/96/410324996.db2.gz RKASBKLFPGQRHJ-CQSZACIVSA-N 1 2 313.401 1.692 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(C2(C#N)CC2)cc1 ZINC000329487273 410325002 /nfs/dbraw/zinc/32/50/02/410325002.db2.gz RKASBKLFPGQRHJ-CQSZACIVSA-N 1 2 313.401 1.692 20 30 DDEDLO CCOC(=O)[C@@](C)(O)C[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000355078065 410297868 /nfs/dbraw/zinc/29/78/68/410297868.db2.gz AETKFEJSQZBGOK-RDJZCZTQSA-N 1 2 318.373 1.246 20 30 DDEDLO CCOC(=O)[C@@](C)(O)C[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000355078065 410297873 /nfs/dbraw/zinc/29/78/73/410297873.db2.gz AETKFEJSQZBGOK-RDJZCZTQSA-N 1 2 318.373 1.246 20 30 DDEDLO CC(C)(C)n1ncnc1CNC(=O)N1CCn2c[nH+]cc2C1 ZINC000329555553 410358233 /nfs/dbraw/zinc/35/82/33/410358233.db2.gz BNMWYQYBJZVAMS-UHFFFAOYSA-N 1 2 303.370 1.159 20 30 DDEDLO O=C(NCc1cn2ccccc2[nH+]1)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000329590640 410374211 /nfs/dbraw/zinc/37/42/11/410374211.db2.gz YOCOMKRCRSHJQE-YUTCNCBUSA-N 1 2 300.362 1.451 20 30 DDEDLO O=C(Cc1ccon1)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000329779432 410443128 /nfs/dbraw/zinc/44/31/28/410443128.db2.gz LWXOCQPSZAKJKK-AWEZNQCLSA-N 1 2 311.407 1.382 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[NH2+][C@H](C)c2nncn2C)c(C#N)c1C ZINC000358794333 410534669 /nfs/dbraw/zinc/53/46/69/410534669.db2.gz YTRKKBDAAVPEPK-ZJUUUORDSA-N 1 2 316.365 1.574 20 30 DDEDLO C=CCN1C(=O)c2ccc(C(=O)NCc3c[nH+]c[nH]3)cc2C1=O ZINC000359130155 410562665 /nfs/dbraw/zinc/56/26/65/410562665.db2.gz RRYPPQFDKZMMOE-UHFFFAOYSA-N 1 2 310.313 1.122 20 30 DDEDLO C=CCN1C(=O)c2ccc(C(=O)NCc3c[nH]c[nH+]3)cc2C1=O ZINC000359130155 410562670 /nfs/dbraw/zinc/56/26/70/410562670.db2.gz RRYPPQFDKZMMOE-UHFFFAOYSA-N 1 2 310.313 1.122 20 30 DDEDLO N#CC1(F)CC[NH+](CCn2cnc3ccccc3c2=O)CC1 ZINC000352458479 410659663 /nfs/dbraw/zinc/65/96/63/410659663.db2.gz KZOALFFJWJZLDB-UHFFFAOYSA-N 1 2 300.337 1.724 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)N2CCO[C@@H]3CCCC[C@@H]32)CC1 ZINC000352492163 410661976 /nfs/dbraw/zinc/66/19/76/410661976.db2.gz WGRCSPGYZAOZEO-UONOGXRCSA-N 1 2 309.385 1.484 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)C(=O)c1cnc(C(F)(F)F)c(C#N)c1 ZINC000340058226 410646396 /nfs/dbraw/zinc/64/63/96/410646396.db2.gz IHTNWGAKRRTCPG-UHFFFAOYSA-N 1 2 309.251 1.967 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NCc1ccc(Cn2cc[nH+]c2)cc1 ZINC000352411917 410655537 /nfs/dbraw/zinc/65/55/37/410655537.db2.gz AFHJQXUSKHVXQK-GFCCVEGCSA-N 1 2 304.375 1.263 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)c2ccnc(C#N)c2)CC1 ZINC000337279074 410690229 /nfs/dbraw/zinc/69/02/29/410690229.db2.gz HUYKUWQHMPDMGN-UHFFFAOYSA-N 1 2 307.357 1.619 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)CCn1cc[nH+]c1 ZINC000359542977 410720400 /nfs/dbraw/zinc/72/04/00/410720400.db2.gz DPUMQBXOAVXFQN-UHFFFAOYSA-N 1 2 321.344 1.673 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)NCC(=O)OC)Cc1cnn(C(C)C)c1 ZINC000352899259 410687291 /nfs/dbraw/zinc/68/72/91/410687291.db2.gz CCSFHLLVJNYYFF-UHFFFAOYSA-N 1 2 322.409 1.131 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)NCC(=O)OC)Cc1cnn(C(C)C)c1 ZINC000352899259 410687298 /nfs/dbraw/zinc/68/72/98/410687298.db2.gz CCSFHLLVJNYYFF-UHFFFAOYSA-N 1 2 322.409 1.131 20 30 DDEDLO C[N@H+](CC(=O)N(CCC#N)c1ccccc1)[C@]1(CO)CCOC1 ZINC000359597032 410759801 /nfs/dbraw/zinc/75/98/01/410759801.db2.gz IGKCVAVJBTUGBR-KRWDZBQOSA-N 1 2 317.389 1.016 20 30 DDEDLO C[N@@H+](CC(=O)N(CCC#N)c1ccccc1)[C@]1(CO)CCOC1 ZINC000359597032 410759808 /nfs/dbraw/zinc/75/98/08/410759808.db2.gz IGKCVAVJBTUGBR-KRWDZBQOSA-N 1 2 317.389 1.016 20 30 DDEDLO C[C@H](NC(=O)c1cccnc1N(C)C)[C@H](C)[NH+]1CCOCC1 ZINC000330622922 410836039 /nfs/dbraw/zinc/83/60/39/410836039.db2.gz OTRMDLDNAKZWIT-STQMWFEESA-N 1 2 306.410 1.561 20 30 DDEDLO CN(CC(C)(C)C#N)C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000353213840 410857901 /nfs/dbraw/zinc/85/79/01/410857901.db2.gz DGDISOJTPGELEZ-UHFFFAOYSA-N 1 2 315.421 1.212 20 30 DDEDLO C[C@H]1C[NH+](CCC(=O)Nc2cccc(C#N)c2)C[C@H](C)S1=O ZINC000331159808 410988389 /nfs/dbraw/zinc/98/83/89/410988389.db2.gz KEEBIHKIWMTQBO-STQMWFEESA-N 1 2 319.430 1.728 20 30 DDEDLO CC(=O)[C@@](C)(O)C[NH+]1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC000331288362 411059690 /nfs/dbraw/zinc/05/96/90/411059690.db2.gz AOHYHJNENVCWJX-INIZCTEOSA-N 1 2 321.808 1.674 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000360194582 411097352 /nfs/dbraw/zinc/09/73/52/411097352.db2.gz PWYJXDCIWYIYDN-UHFFFAOYSA-N 1 2 300.449 1.772 20 30 DDEDLO CC(C)(C)[N@H+](CC(N)=O)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000353657683 411100741 /nfs/dbraw/zinc/10/07/41/411100741.db2.gz HFKSXHUGEBPGEM-UHFFFAOYSA-N 1 2 313.361 1.694 20 30 DDEDLO CC(C)(C)[N@@H+](CC(N)=O)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000353657683 411100745 /nfs/dbraw/zinc/10/07/45/411100745.db2.gz HFKSXHUGEBPGEM-UHFFFAOYSA-N 1 2 313.361 1.694 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)[C@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000356640218 411115215 /nfs/dbraw/zinc/11/52/15/411115215.db2.gz ZYWRZWMZARUATQ-OLZOCXBDSA-N 1 2 305.422 1.939 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)[C@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000356640218 411115219 /nfs/dbraw/zinc/11/52/19/411115219.db2.gz ZYWRZWMZARUATQ-OLZOCXBDSA-N 1 2 305.422 1.939 20 30 DDEDLO COc1ccnc(N2CC[NH+](Cc3cc(C#N)cs3)CC2)n1 ZINC000129604985 196061730 /nfs/dbraw/zinc/06/17/30/196061730.db2.gz CQFQCFOOHBXSHD-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO CSc1ccc(OCC[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000580091338 422888322 /nfs/dbraw/zinc/88/83/22/422888322.db2.gz YPKANUDYADPVBN-UHFFFAOYSA-N 1 2 319.430 1.845 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](OCC[NH+]3CCOCC3)C2)C1 ZINC000631801177 422927028 /nfs/dbraw/zinc/92/70/28/422927028.db2.gz YKOCURVEIMTVPO-OAHLLOKOSA-N 1 2 308.422 1.292 20 30 DDEDLO Cc1nc(N2CCN(c3cccc(F)c3C#N)CC2)nc(N)[nH+]1 ZINC000601103558 416621318 /nfs/dbraw/zinc/62/13/18/416621318.db2.gz DQQARVMZDORHHW-UHFFFAOYSA-N 1 2 313.340 1.100 20 30 DDEDLO Cc1nc(N)nc(N2CCN(c3cccc(F)c3C#N)CC2)[nH+]1 ZINC000601103558 416621321 /nfs/dbraw/zinc/62/13/21/416621321.db2.gz DQQARVMZDORHHW-UHFFFAOYSA-N 1 2 313.340 1.100 20 30 DDEDLO Cc1ccn2cc(CNC(=O)Nc3nn(C)cc3C#N)[nH+]c2c1 ZINC000610562002 416658230 /nfs/dbraw/zinc/65/82/30/416658230.db2.gz UWGSCXSGRFSHIP-UHFFFAOYSA-N 1 2 309.333 1.570 20 30 DDEDLO N#CCc1ccc(C(=O)N2CC[NH2+][C@H](c3ccncc3)C2)cc1 ZINC000374375108 418524897 /nfs/dbraw/zinc/52/48/97/418524897.db2.gz YYXZXSTXQOLBJW-KRWDZBQOSA-N 1 2 306.369 1.934 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)on1 ZINC000374385812 418526082 /nfs/dbraw/zinc/52/60/82/418526082.db2.gz MMWWISOOTPMFKS-SJCJKPOMSA-N 1 2 308.341 1.829 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)on1 ZINC000374385812 418526084 /nfs/dbraw/zinc/52/60/84/418526084.db2.gz MMWWISOOTPMFKS-SJCJKPOMSA-N 1 2 308.341 1.829 20 30 DDEDLO CC1(C#N)CCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)CC1 ZINC000366394727 418470570 /nfs/dbraw/zinc/47/05/70/418470570.db2.gz IDUCBEBSJGCUKG-HNNXBMFYSA-N 1 2 305.422 1.782 20 30 DDEDLO CC1(C#N)CCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)CC1 ZINC000366394727 418470573 /nfs/dbraw/zinc/47/05/73/418470573.db2.gz IDUCBEBSJGCUKG-HNNXBMFYSA-N 1 2 305.422 1.782 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@H](O)c2ccccc2C1 ZINC000374823017 418567052 /nfs/dbraw/zinc/56/70/52/418567052.db2.gz VDMUVFTUFNVAQH-AEFFLSMTSA-N 1 2 315.417 1.980 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@@H](O)c2ccccc2C1 ZINC000374823017 418567053 /nfs/dbraw/zinc/56/70/53/418567053.db2.gz VDMUVFTUFNVAQH-AEFFLSMTSA-N 1 2 315.417 1.980 20 30 DDEDLO C=CCN1CC[C@@H](O[NH+]=C(N)Cc2ccc(Cl)cc2)C1=O ZINC000289342176 418575471 /nfs/dbraw/zinc/57/54/71/418575471.db2.gz GBERVQIAQFVJCC-CYBMUJFWSA-N 1 2 307.781 1.958 20 30 DDEDLO C#CCN(C)C(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000191170670 222098853 /nfs/dbraw/zinc/09/88/53/222098853.db2.gz YPNVPSMMVNBHMQ-CQSZACIVSA-N 1 2 303.381 1.428 20 30 DDEDLO CCCS(=O)(=O)NC1CC[NH+](CC#Cc2ccccc2)CC1 ZINC000191942598 222113157 /nfs/dbraw/zinc/11/31/57/222113157.db2.gz MXNNWOCJNDOVPN-UHFFFAOYSA-N 1 2 320.458 1.832 20 30 DDEDLO CC#CCNC(=O)C(=O)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000190529828 222082501 /nfs/dbraw/zinc/08/25/01/222082501.db2.gz APHDEBWUWFGSQS-UHFFFAOYSA-N 1 2 309.325 1.952 20 30 DDEDLO COCCC[N@H+](CC#Cc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000192102367 222121219 /nfs/dbraw/zinc/12/12/19/222121219.db2.gz BNUIZKFZUGIERU-KRWDZBQOSA-N 1 2 321.442 1.564 20 30 DDEDLO COCCC[N@@H+](CC#Cc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000192102367 222121222 /nfs/dbraw/zinc/12/12/22/222121222.db2.gz BNUIZKFZUGIERU-KRWDZBQOSA-N 1 2 321.442 1.564 20 30 DDEDLO C[NH+]1CCN(C(=O)C[N@H+]2CC=C(c3ccc(C#N)cc3)CC2)CC1 ZINC000367402026 418597242 /nfs/dbraw/zinc/59/72/42/418597242.db2.gz IOVPXGFCRGMWTJ-UHFFFAOYSA-N 1 2 324.428 1.421 20 30 DDEDLO C[NH+]1CCN(C(=O)CN2CC=C(c3ccc(C#N)cc3)CC2)CC1 ZINC000367402026 418597245 /nfs/dbraw/zinc/59/72/45/418597245.db2.gz IOVPXGFCRGMWTJ-UHFFFAOYSA-N 1 2 324.428 1.421 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCO[C@H]([C@H]2CCCO2)C1 ZINC000247481288 222229526 /nfs/dbraw/zinc/22/95/26/222229526.db2.gz WCJYFBBPGZMHGH-XHSDSOJGSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCO[C@H]([C@H]2CCCO2)C1 ZINC000247481288 222229530 /nfs/dbraw/zinc/22/95/30/222229530.db2.gz WCJYFBBPGZMHGH-XHSDSOJGSA-N 1 2 310.438 1.679 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@@H](O)CN3CCO[C@@H](C#N)C3)c2cc1C ZINC000247814361 222232701 /nfs/dbraw/zinc/23/27/01/222232701.db2.gz VVGLBESYEOAGTH-GJZGRUSLSA-N 1 2 314.389 1.238 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@H]2C[N@@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000248806988 222237136 /nfs/dbraw/zinc/23/71/36/222237136.db2.gz GZZLYEIKABZPIF-YXPYIKCWSA-N 1 2 321.421 1.314 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@H]2C[N@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000248806988 222237139 /nfs/dbraw/zinc/23/71/39/222237139.db2.gz GZZLYEIKABZPIF-YXPYIKCWSA-N 1 2 321.421 1.314 20 30 DDEDLO CC#CCCNC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000361221142 418608365 /nfs/dbraw/zinc/60/83/65/418608365.db2.gz QLQLRKJTOHSRBA-AWEZNQCLSA-N 1 2 321.446 1.834 20 30 DDEDLO CC(C)CO[C@H](C)C(N)=[NH+]OCC(=O)N(C)Cc1ccccc1 ZINC000266182623 222360179 /nfs/dbraw/zinc/36/01/79/222360179.db2.gz GPXZYNOHKRPSSW-CQSZACIVSA-N 1 2 321.421 1.995 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)C2(C#N)CCC2)nc[nH+]1 ZINC000266367788 222361624 /nfs/dbraw/zinc/36/16/24/222361624.db2.gz QLUQWFBWSHBVTN-GFCCVEGCSA-N 1 2 314.393 1.297 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)C2(C#N)CCC2)[nH+]cn1 ZINC000266367788 222361626 /nfs/dbraw/zinc/36/16/26/222361626.db2.gz QLUQWFBWSHBVTN-GFCCVEGCSA-N 1 2 314.393 1.297 20 30 DDEDLO CC(C)[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@@H]1CCOC1 ZINC000361415223 418648111 /nfs/dbraw/zinc/64/81/11/418648111.db2.gz NTGVTZTTWKHKPJ-OAHLLOKOSA-N 1 2 322.430 1.831 20 30 DDEDLO CC(C)[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@@H]1CCOC1 ZINC000361415223 418648113 /nfs/dbraw/zinc/64/81/13/418648113.db2.gz NTGVTZTTWKHKPJ-OAHLLOKOSA-N 1 2 322.430 1.831 20 30 DDEDLO CN(CCC#N)S(=O)(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000361809294 418713212 /nfs/dbraw/zinc/71/32/12/418713212.db2.gz LUBNKIBGPUFQNK-UHFFFAOYSA-N 1 2 319.390 1.257 20 30 DDEDLO C#CCNC(=O)c1ccc(NC[C@H]2CCCn3cc(C)[nH+]c32)nc1 ZINC000378238564 418719930 /nfs/dbraw/zinc/71/99/30/418719930.db2.gz YPKNNNUBTGHTPF-CQSZACIVSA-N 1 2 323.400 1.939 20 30 DDEDLO C#CCCCS(=O)(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000375520198 418654596 /nfs/dbraw/zinc/65/45/96/418654596.db2.gz DETDZJACVOJCEB-UHFFFAOYSA-N 1 2 307.419 1.383 20 30 DDEDLO N#Cc1ccc(Cn2c3ccccc3[nH+]c2NCCO)cc1F ZINC000358972824 418655443 /nfs/dbraw/zinc/65/54/43/418655443.db2.gz XPAFLBUKRFNZEZ-UHFFFAOYSA-N 1 2 310.332 2.500 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000376175116 418693118 /nfs/dbraw/zinc/69/31/18/418693118.db2.gz SQFLBMRIOYEQQU-YLFCFFPRSA-N 1 2 322.449 1.679 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+]2CCN3C(=O)OC[C@@H]3C2)cc1 ZINC000378345722 418721444 /nfs/dbraw/zinc/72/14/44/418721444.db2.gz MMYNSFLRXYDPIC-JSGCOSHPSA-N 1 2 301.346 1.614 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+]2CCN3C(=O)OC[C@@H]3C2)cc1 ZINC000378345722 418721446 /nfs/dbraw/zinc/72/14/46/418721446.db2.gz MMYNSFLRXYDPIC-JSGCOSHPSA-N 1 2 301.346 1.614 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC(C)(C)CCC#N ZINC000377040140 418704554 /nfs/dbraw/zinc/70/45/54/418704554.db2.gz BBHLJWJOPDFJHA-CABCVRRESA-N 1 2 322.453 1.821 20 30 DDEDLO C[C@@H](O)COC(=O)CC[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000369714156 418736857 /nfs/dbraw/zinc/73/68/57/418736857.db2.gz HGACHMIXVZYLNA-CJNGLKHVSA-N 1 2 318.373 1.246 20 30 DDEDLO C[C@@H](O)COC(=O)CC[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000369714156 418736858 /nfs/dbraw/zinc/73/68/58/418736858.db2.gz HGACHMIXVZYLNA-CJNGLKHVSA-N 1 2 318.373 1.246 20 30 DDEDLO N#CCCn1cc(C[NH2+]CC(F)(F)CO)c(-c2ccncc2)n1 ZINC000278599913 222516100 /nfs/dbraw/zinc/51/61/00/222516100.db2.gz HRWUIMFYEQIMOQ-UHFFFAOYSA-N 1 2 321.331 1.576 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)c2ccco2)CC1 ZINC000363458200 418766307 /nfs/dbraw/zinc/76/63/07/418766307.db2.gz ZASWLFUIBIUIJE-ZDUSSCGKSA-N 1 2 305.378 1.118 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)c2ccco2)CC1 ZINC000363458200 418766310 /nfs/dbraw/zinc/76/63/10/418766310.db2.gz ZASWLFUIBIUIJE-ZDUSSCGKSA-N 1 2 305.378 1.118 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](CC)CCCC)CC1 ZINC000363638123 418767874 /nfs/dbraw/zinc/76/78/74/418767874.db2.gz GWHRRDFNULARJP-MRXNPFEDSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](CC)CCCC)CC1 ZINC000363638123 418767878 /nfs/dbraw/zinc/76/78/78/418767878.db2.gz GWHRRDFNULARJP-MRXNPFEDSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](CCC)C(C)C)CC1 ZINC000371225294 418769237 /nfs/dbraw/zinc/76/92/37/418769237.db2.gz IAGBRVJYCIHHIH-INIZCTEOSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](CCC)C(C)C)CC1 ZINC000371225294 418769238 /nfs/dbraw/zinc/76/92/38/418769238.db2.gz IAGBRVJYCIHHIH-INIZCTEOSA-N 1 2 321.465 1.342 20 30 DDEDLO C[C@@H](NC(=O)C[NH+]1CCC(C)(C#N)CC1)C(=O)N1CCCCC1 ZINC000408160100 418790275 /nfs/dbraw/zinc/79/02/75/418790275.db2.gz TZMZSPNCXLXKHB-CQSZACIVSA-N 1 2 320.437 1.129 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](C#N)[C@@]3(C2)C(=O)Nc2ccccc23)o1 ZINC000371461286 418794049 /nfs/dbraw/zinc/79/40/49/418794049.db2.gz WUWQSQKSLBLYIN-KZULUSFZSA-N 1 2 318.336 1.997 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](C#N)[C@@]3(C2)C(=O)Nc2ccccc23)o1 ZINC000371461286 418794051 /nfs/dbraw/zinc/79/40/51/418794051.db2.gz WUWQSQKSLBLYIN-KZULUSFZSA-N 1 2 318.336 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCCN(Cc2[nH+]ccn2C)CC1 ZINC000364744220 418807683 /nfs/dbraw/zinc/80/76/83/418807683.db2.gz XGTKNKHFGUZLKI-HNNXBMFYSA-N 1 2 320.437 1.436 20 30 DDEDLO N#C[C@@H]1C[N@@H+](CCOCC(F)F)C[C@@]12C(=O)Nc1ccccc12 ZINC000364893624 418821789 /nfs/dbraw/zinc/82/17/89/418821789.db2.gz ILYWYOCNXZZTAW-BDJLRTHQSA-N 1 2 321.327 1.614 20 30 DDEDLO N#C[C@@H]1C[N@H+](CCOCC(F)F)C[C@@]12C(=O)Nc1ccccc12 ZINC000364893624 418821792 /nfs/dbraw/zinc/82/17/92/418821792.db2.gz ILYWYOCNXZZTAW-BDJLRTHQSA-N 1 2 321.327 1.614 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N[C@@H]1CCc2[nH+]c(C)cn2C1 ZINC000365137297 418838528 /nfs/dbraw/zinc/83/85/28/418838528.db2.gz WGMYJQWNOFCUPU-IIAWOOMASA-N 1 2 303.406 1.994 20 30 DDEDLO CC(C)OC[C@H]1C[N@H+](C[C@H](O)c2ccc(C#N)cc2)CCO1 ZINC000372160031 418841703 /nfs/dbraw/zinc/84/17/03/418841703.db2.gz HXFOPKAAVGICPV-SJORKVTESA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@H]1C[N@@H+](C[C@H](O)c2ccc(C#N)cc2)CCO1 ZINC000372160031 418841705 /nfs/dbraw/zinc/84/17/05/418841705.db2.gz HXFOPKAAVGICPV-SJORKVTESA-N 1 2 304.390 1.717 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)C[NH+]2CCN(c3ccccn3)CC2)C1 ZINC000424969543 228342093 /nfs/dbraw/zinc/34/20/93/228342093.db2.gz BMOOPDMUBDKNSR-LSDHHAIUSA-N 1 2 313.405 1.012 20 30 DDEDLO C[C@H](C(=O)N(C)[C@@H](C)c1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000425130960 228370246 /nfs/dbraw/zinc/37/02/46/228370246.db2.gz OXLLGSVGQIOXJC-UONOGXRCSA-N 1 2 301.390 1.798 20 30 DDEDLO COC[C@@H]1C[C@H](O)C[N@@H+]1[C@@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000411915039 419481102 /nfs/dbraw/zinc/48/11/02/419481102.db2.gz VRRMGUJBHWITDD-DRZSPHRISA-N 1 2 321.377 1.177 20 30 DDEDLO COC[C@@H]1C[C@H](O)C[N@H+]1[C@@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000411915039 419481110 /nfs/dbraw/zinc/48/11/10/419481110.db2.gz VRRMGUJBHWITDD-DRZSPHRISA-N 1 2 321.377 1.177 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CSc1n[nH]c(-c2ccccc2)n1 ZINC000414099249 419806991 /nfs/dbraw/zinc/80/69/91/419806991.db2.gz QBVWVVRGKRQPNU-CYBMUJFWSA-N 1 2 317.418 1.770 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CSc1n[nH]c(-c2ccccc2)n1 ZINC000414099249 419806997 /nfs/dbraw/zinc/80/69/97/419806997.db2.gz QBVWVVRGKRQPNU-CYBMUJFWSA-N 1 2 317.418 1.770 20 30 DDEDLO CC1(C)CN(c2ccc(Cl)cc2)CC[N@@H+]1CC(=O)NCC#N ZINC000429296877 419999191 /nfs/dbraw/zinc/99/91/91/419999191.db2.gz XXEXGNHQPUERCW-UHFFFAOYSA-N 1 2 320.824 1.880 20 30 DDEDLO CC1(C)CN(c2ccc(Cl)cc2)CC[N@H+]1CC(=O)NCC#N ZINC000429296877 419999195 /nfs/dbraw/zinc/99/91/95/419999195.db2.gz XXEXGNHQPUERCW-UHFFFAOYSA-N 1 2 320.824 1.880 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2[C@@H](C)CN(C(=O)C(C)(C)C)C[C@@H]2C)C1=O ZINC000429381544 420010802 /nfs/dbraw/zinc/01/08/02/420010802.db2.gz NQSLLYNGCMWYLV-KKUMJFAQSA-N 1 2 321.465 1.741 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@@H+]2CCO[C@@H](CC)C2)s1 ZINC000429457343 420018493 /nfs/dbraw/zinc/01/84/93/420018493.db2.gz SBHKQGFZUADOKR-LBPRGKRZSA-N 1 2 324.450 1.582 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@H+]2CCO[C@@H](CC)C2)s1 ZINC000429457343 420018494 /nfs/dbraw/zinc/01/84/94/420018494.db2.gz SBHKQGFZUADOKR-LBPRGKRZSA-N 1 2 324.450 1.582 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(c2cccnc2C#N)C1 ZINC000418951065 420027965 /nfs/dbraw/zinc/02/79/65/420027965.db2.gz RNHCHFXDSRYMAC-KRWDZBQOSA-N 1 2 316.405 1.853 20 30 DDEDLO CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)[C@H](C)C(=O)OCC ZINC000429717364 420040358 /nfs/dbraw/zinc/04/03/58/420040358.db2.gz LQLPYLHHQNKEKV-CJNGLKHVSA-N 1 2 311.426 1.704 20 30 DDEDLO CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)[C@H](C)C(=O)OCC ZINC000429717364 420040362 /nfs/dbraw/zinc/04/03/62/420040362.db2.gz LQLPYLHHQNKEKV-CJNGLKHVSA-N 1 2 311.426 1.704 20 30 DDEDLO N#Cc1cncc(NC[C@H](c2ccccc2)[NH+]2CCOCC2)n1 ZINC000420580120 420321898 /nfs/dbraw/zinc/32/18/98/420321898.db2.gz BVGWZUAHIKHQCG-MRXNPFEDSA-N 1 2 309.373 1.834 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCC[C@@H]3CO)nc[nH+]2)s1 ZINC000420609161 420331116 /nfs/dbraw/zinc/33/11/16/420331116.db2.gz IXBFPKFGKZIQOX-LLVKDONJSA-N 1 2 315.402 1.983 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCC[C@@H]3CO)[nH+]cn2)s1 ZINC000420609161 420331118 /nfs/dbraw/zinc/33/11/18/420331118.db2.gz IXBFPKFGKZIQOX-LLVKDONJSA-N 1 2 315.402 1.983 20 30 DDEDLO C[C@H](c1nccs1)[NH+]1CCN(c2ccc(C#N)nn2)CC1 ZINC000302176753 230141963 /nfs/dbraw/zinc/14/19/63/230141963.db2.gz CIXZUUOBCWYJBQ-LLVKDONJSA-N 1 2 300.391 1.688 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@@H](c1c[nH+]cn1C)N(C)C)C(=O)OCC ZINC000456599245 420510806 /nfs/dbraw/zinc/51/08/06/420510806.db2.gz CEMINEOTJNVOOT-TZMCWYRMSA-N 1 2 322.409 1.037 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1C(=O)C(=O)Nc1cc(C#N)ccc1Cl ZINC000440278222 420567010 /nfs/dbraw/zinc/56/70/10/420567010.db2.gz AGGLZVKBRYCFBZ-SNVBAGLBSA-N 1 2 320.780 1.313 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1C(=O)C(=O)Nc1cc(C#N)ccc1Cl ZINC000440278222 420567015 /nfs/dbraw/zinc/56/70/15/420567015.db2.gz AGGLZVKBRYCFBZ-SNVBAGLBSA-N 1 2 320.780 1.313 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC000440376093 420570924 /nfs/dbraw/zinc/57/09/24/420570924.db2.gz ADPGPRYUIAGECK-OAHLLOKOSA-N 1 2 310.394 1.191 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@H+]1CC#Cc1ccc(F)cc1 ZINC000440376093 420570927 /nfs/dbraw/zinc/57/09/27/420570927.db2.gz ADPGPRYUIAGECK-OAHLLOKOSA-N 1 2 310.394 1.191 20 30 DDEDLO CCOC(=O)CC[C@@H](O)C[NH+]1CC(Oc2ccc(C#N)cc2)C1 ZINC000453011992 420700560 /nfs/dbraw/zinc/70/05/60/420700560.db2.gz OROMGIHFGKGJFS-CQSZACIVSA-N 1 2 318.373 1.325 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)NCC2CCC(C#N)CC2)CCO1 ZINC000442727009 420705357 /nfs/dbraw/zinc/70/53/57/420705357.db2.gz FAZUIIWWKHDLSA-UYSNPLJNSA-N 1 2 322.453 1.726 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)NCC2CCC(C#N)CC2)CCO1 ZINC000442727009 420705360 /nfs/dbraw/zinc/70/53/60/420705360.db2.gz FAZUIIWWKHDLSA-UYSNPLJNSA-N 1 2 322.453 1.726 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000448586770 420865954 /nfs/dbraw/zinc/86/59/54/420865954.db2.gz BIJZDHPZJOPKJI-CRAIPNDOSA-N 1 2 313.401 1.759 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)C(C)(C)Cc2ccc(C#N)cc2)C1 ZINC000455178932 420979160 /nfs/dbraw/zinc/97/91/60/420979160.db2.gz HDOYXPMDCUMBKL-INIZCTEOSA-N 1 2 315.417 1.574 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)C(C)(C)Cc2ccc(C#N)cc2)C1 ZINC000455178932 420979163 /nfs/dbraw/zinc/97/91/63/420979163.db2.gz HDOYXPMDCUMBKL-INIZCTEOSA-N 1 2 315.417 1.574 20 30 DDEDLO C=C(C)CCNC(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000454828446 420930589 /nfs/dbraw/zinc/93/05/89/420930589.db2.gz XDYIFGNEZQNPBX-CYBMUJFWSA-N 1 2 319.409 1.177 20 30 DDEDLO CNC(=O)OC[C@@H]1CCCC[N@@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000495637845 421038802 /nfs/dbraw/zinc/03/88/02/421038802.db2.gz FKGVNGSOULWYAU-XJKSGUPXSA-N 1 2 322.409 1.005 20 30 DDEDLO CNC(=O)OC[C@@H]1CCCC[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000495637845 421038804 /nfs/dbraw/zinc/03/88/04/421038804.db2.gz FKGVNGSOULWYAU-XJKSGUPXSA-N 1 2 322.409 1.005 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCOC[C@H]2CC(N)=O)cc1OC ZINC000449771842 421080406 /nfs/dbraw/zinc/08/04/06/421080406.db2.gz KEIYSJGNNXEVDT-CQSZACIVSA-N 1 2 320.389 1.336 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCOC[C@H]2CC(N)=O)cc1OC ZINC000449771842 421080408 /nfs/dbraw/zinc/08/04/08/421080408.db2.gz KEIYSJGNNXEVDT-CQSZACIVSA-N 1 2 320.389 1.336 20 30 DDEDLO C=CCOc1ccc(CC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)cc1 ZINC000450130476 421147265 /nfs/dbraw/zinc/14/72/65/421147265.db2.gz LJPMHWJLENIFEV-SJORKVTESA-N 1 2 316.401 1.335 20 30 DDEDLO C=CCOc1ccc(CC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)cc1 ZINC000450130476 421147270 /nfs/dbraw/zinc/14/72/70/421147270.db2.gz LJPMHWJLENIFEV-SJORKVTESA-N 1 2 316.401 1.335 20 30 DDEDLO COCC[N@H+](CC(=O)Nc1sccc1C#N)[C@H]1CCO[C@H]1C ZINC000489463837 421157490 /nfs/dbraw/zinc/15/74/90/421157490.db2.gz AHPDKGODRBFNQR-AAEUAGOBSA-N 1 2 323.418 1.684 20 30 DDEDLO COCC[N@@H+](CC(=O)Nc1sccc1C#N)[C@H]1CCO[C@H]1C ZINC000489463837 421157493 /nfs/dbraw/zinc/15/74/93/421157493.db2.gz AHPDKGODRBFNQR-AAEUAGOBSA-N 1 2 323.418 1.684 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(C#N)c(F)c2)CC[N@H+]1C ZINC000489713573 421171368 /nfs/dbraw/zinc/17/13/68/421171368.db2.gz XOBNCBSIRCJOPC-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(C#N)c(F)c2)CC[N@@H+]1C ZINC000489713573 421171372 /nfs/dbraw/zinc/17/13/72/421171372.db2.gz XOBNCBSIRCJOPC-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C=CCCCS(=O)(=O)NCC(C)(C)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000489066851 421135327 /nfs/dbraw/zinc/13/53/27/421135327.db2.gz FKIXPJHDNHVPKE-ZIAGYGMSSA-N 1 2 318.483 1.760 20 30 DDEDLO C#CC[N@H+](C[C@H]1CCC2(CCCC2)O1)[C@H]1CCS(=O)(=O)C1 ZINC000491535674 421198704 /nfs/dbraw/zinc/19/87/04/421198704.db2.gz DUPHQSKQFJCXHK-LSDHHAIUSA-N 1 2 311.447 1.601 20 30 DDEDLO C#CC[N@@H+](C[C@H]1CCC2(CCCC2)O1)[C@H]1CCS(=O)(=O)C1 ZINC000491535674 421198706 /nfs/dbraw/zinc/19/87/06/421198706.db2.gz DUPHQSKQFJCXHK-LSDHHAIUSA-N 1 2 311.447 1.601 20 30 DDEDLO C[C@H](CNC(=O)Cc1c(F)cccc1C#N)[NH+]1CCOCC1 ZINC000505387874 421416885 /nfs/dbraw/zinc/41/68/85/421416885.db2.gz IUYBMPWWNNDTFY-GFCCVEGCSA-N 1 2 305.353 1.077 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+](CC(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000515610312 421510574 /nfs/dbraw/zinc/51/05/74/421510574.db2.gz XRSDVWHHPZJTKU-CYBMUJFWSA-N 1 2 310.361 1.413 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+](CC(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000515610312 421510577 /nfs/dbraw/zinc/51/05/77/421510577.db2.gz XRSDVWHHPZJTKU-CYBMUJFWSA-N 1 2 310.361 1.413 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@H+](CC(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000515610312 421510580 /nfs/dbraw/zinc/51/05/80/421510580.db2.gz XRSDVWHHPZJTKU-CYBMUJFWSA-N 1 2 310.361 1.413 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@@H+](CC(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000515610312 421510582 /nfs/dbraw/zinc/51/05/82/421510582.db2.gz XRSDVWHHPZJTKU-CYBMUJFWSA-N 1 2 310.361 1.413 20 30 DDEDLO Cc1cc(NCCNc2ccc(C#N)c(C)n2)nc(C(C)C)[nH+]1 ZINC000528156909 421471274 /nfs/dbraw/zinc/47/12/74/421471274.db2.gz NIXYZQFNKLLVQW-UHFFFAOYSA-N 1 2 310.405 1.851 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@@H+]1CCC(=O)CC12CCC2 ZINC000528528918 421497120 /nfs/dbraw/zinc/49/71/20/421497120.db2.gz YMOKJYULBUTJPM-INIZCTEOSA-N 1 2 314.385 1.886 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@H+]1CCC(=O)CC12CCC2 ZINC000528528918 421497123 /nfs/dbraw/zinc/49/71/23/421497123.db2.gz YMOKJYULBUTJPM-INIZCTEOSA-N 1 2 314.385 1.886 20 30 DDEDLO N#Cc1ccc(N[C@@H](C[NH+]2CCOCC2)c2ccccc2)nc1N ZINC000565220869 421596899 /nfs/dbraw/zinc/59/68/99/421596899.db2.gz GTOKVPPOEBKLOA-INIZCTEOSA-N 1 2 323.400 2.021 20 30 DDEDLO CC(C)Oc1ccccc1CC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000565051662 421595531 /nfs/dbraw/zinc/59/55/31/421595531.db2.gz ORRWWCPREWYTHW-QGZVFWFLSA-N 1 2 303.406 1.976 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)C1(C#N)CC(C)C1)C2 ZINC000570521253 421650517 /nfs/dbraw/zinc/65/05/17/421650517.db2.gz LLQMDOKRNZCYNU-XKZABGERSA-N 1 2 316.405 1.097 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000571019671 421680738 /nfs/dbraw/zinc/68/07/38/421680738.db2.gz XLCDGMGBABOIEX-XQLPTFJDSA-N 1 2 311.426 1.128 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000571741518 421740242 /nfs/dbraw/zinc/74/02/42/421740242.db2.gz BZMPRSASMQGERD-BARDWOONSA-N 1 2 318.421 1.829 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000571741518 421740246 /nfs/dbraw/zinc/74/02/46/421740246.db2.gz BZMPRSASMQGERD-BARDWOONSA-N 1 2 318.421 1.829 20 30 DDEDLO Cc1nc(-c2cccc(OC[C@@H](O)C[N@H+](C)CCC#N)c2)no1 ZINC000556882125 421749457 /nfs/dbraw/zinc/74/94/57/421749457.db2.gz JXJOYCDOVKPWFS-AWEZNQCLSA-N 1 2 316.361 1.630 20 30 DDEDLO Cc1nc(-c2cccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c2)no1 ZINC000556882125 421749458 /nfs/dbraw/zinc/74/94/58/421749458.db2.gz JXJOYCDOVKPWFS-AWEZNQCLSA-N 1 2 316.361 1.630 20 30 DDEDLO N#Cc1ccnc(C(=O)N[C@H]2CCCC[C@H]2[NH+]2CCOCC2)c1 ZINC000580971028 421874721 /nfs/dbraw/zinc/87/47/21/421874721.db2.gz VYXKYOVXPDNEOA-GOEBONIOSA-N 1 2 314.389 1.326 20 30 DDEDLO C=CCOc1c(Cl)cc(C[NH+]2C[C@H](O)[C@@H](O)C2)cc1OC ZINC000628415190 422168319 /nfs/dbraw/zinc/16/83/19/422168319.db2.gz NQNJGWOBACDFDM-STQMWFEESA-N 1 2 313.781 1.451 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ncc(C#N)cc2Cl)CCO1 ZINC000574837943 422193156 /nfs/dbraw/zinc/19/31/56/422193156.db2.gz DFVVULBEVKPFET-SNVBAGLBSA-N 1 2 308.769 1.057 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ncc(C#N)cc2Cl)CCO1 ZINC000574837943 422193157 /nfs/dbraw/zinc/19/31/57/422193157.db2.gz DFVVULBEVKPFET-SNVBAGLBSA-N 1 2 308.769 1.057 20 30 DDEDLO C=CCCC(C)(C)CNC(=O)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000637623875 422301256 /nfs/dbraw/zinc/30/12/56/422301256.db2.gz PYXUFEYPIYVXED-UHFFFAOYSA-N 1 2 324.469 1.570 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccc(CC)cc2)nn1 ZINC000640763390 423171362 /nfs/dbraw/zinc/17/13/62/423171362.db2.gz QHHGDJXRGFWFQO-UHFFFAOYSA-N 1 2 311.389 1.592 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[NH+](Cc3ccccc3)CC2)nn1 ZINC000648213021 423277603 /nfs/dbraw/zinc/27/76/03/423277603.db2.gz SGYGKXFQYXSLQK-UHFFFAOYSA-N 1 2 311.389 1.422 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)Cc2ccc(F)cc2)nn1 ZINC000641227656 423496444 /nfs/dbraw/zinc/49/64/44/423496444.db2.gz SUMSDPMAGSHMDM-MRXNPFEDSA-N 1 2 302.353 1.134 20 30 DDEDLO C=CCCn1cc(C[NH2+]C(C)(C)C(=O)NCC(C)(C)C)nn1 ZINC000653595579 423544791 /nfs/dbraw/zinc/54/47/91/423544791.db2.gz NOOLSDMYQYCZAA-UHFFFAOYSA-N 1 2 307.442 1.885 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](CO)c1cccc(Cl)c1Cl ZINC000662054715 424362776 /nfs/dbraw/zinc/36/27/76/424362776.db2.gz HOIQRHRMWKFMIY-LLVKDONJSA-N 1 2 303.189 1.919 20 30 DDEDLO C=CCCOCCNC(=O)N[C@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000664532272 424628214 /nfs/dbraw/zinc/62/82/14/424628214.db2.gz QXOKQXRCHKDUDT-CYBMUJFWSA-N 1 2 324.425 1.372 20 30 DDEDLO CC[N@@H+]1CCN(C(=O)c2cc(C#N)c(SC)[nH]c2=O)[C@@H](C)C1 ZINC000341846610 266150798 /nfs/dbraw/zinc/15/07/98/266150798.db2.gz RXYFPTBBMSRMOK-JTQLQIEISA-N 1 2 320.418 1.547 20 30 DDEDLO CC[N@H+]1CCN(C(=O)c2cc(C#N)c(SC)[nH]c2=O)[C@@H](C)C1 ZINC000341846610 266150800 /nfs/dbraw/zinc/15/08/00/266150800.db2.gz RXYFPTBBMSRMOK-JTQLQIEISA-N 1 2 320.418 1.547 20 30 DDEDLO CCN1CCN(c2nnc(C)c(C)c2C#N)C[C@H]1c1[nH]cc[nH+]1 ZINC000366537785 266152873 /nfs/dbraw/zinc/15/28/73/266152873.db2.gz MQVBGOKBFJUIHI-AWEZNQCLSA-N 1 2 311.393 1.571 20 30 DDEDLO CCOCCOC1CN(Cc2c[nH+]c3ccc(C#N)cn23)C1 ZINC000354189970 266280354 /nfs/dbraw/zinc/28/03/54/266280354.db2.gz JABRJVOSTFAKLW-UHFFFAOYSA-N 1 2 300.362 1.443 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)c2cccc(F)c2C#N)CCO1 ZINC000347635388 266379406 /nfs/dbraw/zinc/37/94/06/266379406.db2.gz VTRBKLVZFMKCIO-GFCCVEGCSA-N 1 2 305.353 1.538 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)c2cccc(F)c2C#N)CCO1 ZINC000347635388 266379411 /nfs/dbraw/zinc/37/94/11/266379411.db2.gz VTRBKLVZFMKCIO-GFCCVEGCSA-N 1 2 305.353 1.538 20 30 DDEDLO CCc1ccc(C[NH+]2CCN(c3c(C#N)c(C)nn3C)CC2)cn1 ZINC000348431558 266440817 /nfs/dbraw/zinc/44/08/17/266440817.db2.gz AKVLAANZPLBHPJ-UHFFFAOYSA-N 1 2 324.432 1.880 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(C#N)cc1 ZINC000356223089 267068595 /nfs/dbraw/zinc/06/85/95/267068595.db2.gz MSACKXGMPLRFIK-CYBMUJFWSA-N 1 2 302.378 1.078 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(C#N)cc1 ZINC000356223089 267068597 /nfs/dbraw/zinc/06/85/97/267068597.db2.gz MSACKXGMPLRFIK-CYBMUJFWSA-N 1 2 302.378 1.078 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])C[C@H](O)C(F)(F)F ZINC000517238796 267315038 /nfs/dbraw/zinc/31/50/38/267315038.db2.gz GUBUUDWFRCOFFU-NSHDSACASA-N 1 2 303.240 1.821 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])C[C@H](O)C(F)(F)F ZINC000517238796 267315039 /nfs/dbraw/zinc/31/50/39/267315039.db2.gz GUBUUDWFRCOFFU-NSHDSACASA-N 1 2 303.240 1.821 20 30 DDEDLO C[N@@H+]1C2(CCC2)COC[C@]1(CO)CNc1ccc(C#N)cc1F ZINC000528132886 267332563 /nfs/dbraw/zinc/33/25/63/267332563.db2.gz OTIGYQNBWQVDKX-QGZVFWFLSA-N 1 2 319.380 1.725 20 30 DDEDLO C[N@H+]1C2(CCC2)COC[C@]1(CO)CNc1ccc(C#N)cc1F ZINC000528132886 267332566 /nfs/dbraw/zinc/33/25/66/267332566.db2.gz OTIGYQNBWQVDKX-QGZVFWFLSA-N 1 2 319.380 1.725 20 30 DDEDLO N#Cc1ccc(-c2nc([C@H]3C[N@@H+]4CCCC[C@@H]4CO3)no2)cn1 ZINC000351020448 268029106 /nfs/dbraw/zinc/02/91/06/268029106.db2.gz CMTSPYGQXQUYTI-ZIAGYGMSSA-N 1 2 311.345 1.929 20 30 DDEDLO N#Cc1ccc(-c2nc([C@H]3C[N@H+]4CCCC[C@@H]4CO3)no2)cn1 ZINC000351020448 268029109 /nfs/dbraw/zinc/02/91/09/268029109.db2.gz CMTSPYGQXQUYTI-ZIAGYGMSSA-N 1 2 311.345 1.929 20 30 DDEDLO Cc1nn(C)c(N2CCN(c3cc[nH+]c(C4CC4)n3)CC2)c1C#N ZINC000530518046 268090988 /nfs/dbraw/zinc/09/09/88/268090988.db2.gz QFJVJYRGABHNDD-UHFFFAOYSA-N 1 2 323.404 1.594 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@]23CCOC3)cc1 ZINC000370736505 268186965 /nfs/dbraw/zinc/18/69/65/268186965.db2.gz GBKDITPYGWXRRE-MRXNPFEDSA-N 1 2 320.414 1.587 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC[C@]23CCOC3)cc1 ZINC000370736505 268186966 /nfs/dbraw/zinc/18/69/66/268186966.db2.gz GBKDITPYGWXRRE-MRXNPFEDSA-N 1 2 320.414 1.587 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)c2cn3c(n2)CCCC3)CCO1 ZINC000328699209 276131506 /nfs/dbraw/zinc/13/15/06/276131506.db2.gz HZYDMJVFASJDPD-UHFFFAOYSA-N 1 2 306.410 1.635 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)c2cn3c(n2)CCCC3)CCO1 ZINC000328699209 276131508 /nfs/dbraw/zinc/13/15/08/276131508.db2.gz HZYDMJVFASJDPD-UHFFFAOYSA-N 1 2 306.410 1.635 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@H]1C ZINC000368193749 277907733 /nfs/dbraw/zinc/90/77/33/277907733.db2.gz FJIMXGADDSEXJG-INMHGKMJSA-N 1 2 310.438 1.537 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)NC2(C#N)CCC2)[C@@H](CC)CN1C(C)=O ZINC000347066405 288278488 /nfs/dbraw/zinc/27/84/88/288278488.db2.gz ZTLDRLJJSMIVNY-GJZGRUSLSA-N 1 2 320.437 1.270 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)[C@@H](CC)CN1C(C)=O ZINC000347066405 288278490 /nfs/dbraw/zinc/27/84/90/288278490.db2.gz ZTLDRLJJSMIVNY-GJZGRUSLSA-N 1 2 320.437 1.270 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)N(CCC#N)CCC#N)[C@@H]1[C@H]1CCCO1 ZINC000367701368 281230294 /nfs/dbraw/zinc/23/02/94/281230294.db2.gz GGFGPIDKDIZQTQ-GDBMZVCRSA-N 1 2 318.421 1.532 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)N(CCC#N)CCC#N)[C@@H]1[C@H]1CCCO1 ZINC000367701368 281230298 /nfs/dbraw/zinc/23/02/98/281230298.db2.gz GGFGPIDKDIZQTQ-GDBMZVCRSA-N 1 2 318.421 1.532 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000493188834 289203929 /nfs/dbraw/zinc/20/39/29/289203929.db2.gz KZLHTYCJPVIIOU-ZPIQOJFGSA-N 1 2 302.334 1.568 20 30 DDEDLO N#Cc1ncn(C2CC[NH+](Cc3ccc(F)cc3C#N)CC2)n1 ZINC000375918185 302016927 /nfs/dbraw/zinc/01/69/27/302016927.db2.gz FDOGJJUIDDPJAI-UHFFFAOYSA-N 1 2 310.336 1.998 20 30 DDEDLO C[C@@H](NC(=O)c1ccccc1OCC#N)[C@@H](C)[NH+]1CCOCC1 ZINC000170887260 333041948 /nfs/dbraw/zinc/04/19/48/333041948.db2.gz PEFZDAVPLGOFTO-ZIAGYGMSSA-N 1 2 317.389 1.428 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCO[C@@]2(CCSC2)C1 ZINC000517026999 333129041 /nfs/dbraw/zinc/12/90/41/333129041.db2.gz CKZDMRFNPODGMM-HIFRSBDPSA-N 1 2 311.451 1.202 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCO[C@@]2(CCSC2)C1 ZINC000517026999 333129043 /nfs/dbraw/zinc/12/90/43/333129043.db2.gz CKZDMRFNPODGMM-HIFRSBDPSA-N 1 2 311.451 1.202 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000583028757 337230717 /nfs/dbraw/zinc/23/07/17/337230717.db2.gz UIECTZKMDIGUFY-DYVFJYSZSA-N 1 2 320.437 1.128 20 30 DDEDLO C#CC[C@H]([NH2+]C1CCN(C(=O)OC(C)(C)C)CC1)C(=O)OC ZINC000497117923 340020797 /nfs/dbraw/zinc/02/07/97/340020797.db2.gz GPUCQRWUHWLBHI-ZDUSSCGKSA-N 1 2 310.394 1.540 20 30 DDEDLO COCC[N@H+](CC#Cc1ccccc1Cl)CC(=O)N(C)C ZINC000134991741 341228231 /nfs/dbraw/zinc/22/82/31/341228231.db2.gz JJIVDTROPWUMDD-UHFFFAOYSA-N 1 2 308.809 1.728 20 30 DDEDLO COCC[N@@H+](CC#Cc1ccccc1Cl)CC(=O)N(C)C ZINC000134991741 341228232 /nfs/dbraw/zinc/22/82/32/341228232.db2.gz JJIVDTROPWUMDD-UHFFFAOYSA-N 1 2 308.809 1.728 20 30 DDEDLO COc1ccc2nc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)ccc2c1 ZINC000565837681 341539860 /nfs/dbraw/zinc/53/98/60/341539860.db2.gz RWXMXUYSMRJWBW-KRWDZBQOSA-N 1 2 312.373 1.817 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000665028320 484866429 /nfs/dbraw/zinc/86/64/29/484866429.db2.gz CDHVYOYQYSIFGU-CVEARBPZSA-N 1 2 323.437 1.084 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000668115875 484962342 /nfs/dbraw/zinc/96/23/42/484962342.db2.gz LTICVMLXYWESAD-KRWDZBQOSA-N 1 2 304.390 1.276 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000665613671 484969942 /nfs/dbraw/zinc/96/99/42/484969942.db2.gz ITFGITDVRKHLNA-QGZVFWFLSA-N 1 2 318.421 1.494 20 30 DDEDLO C=CCOCCCNC(=O)N[C@@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000666513278 485250347 /nfs/dbraw/zinc/25/03/47/485250347.db2.gz NWRHPOYYFYEOPG-ZDUSSCGKSA-N 1 2 324.425 1.372 20 30 DDEDLO C=C[C@](C)(O)C(=O)NCc1ccc(N2CCC(C)CC2)[nH+]c1 ZINC000666549335 485268595 /nfs/dbraw/zinc/26/85/95/485268595.db2.gz TZMBDXYOYSAISX-KRWDZBQOSA-N 1 2 303.406 1.871 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC000673285517 485404940 /nfs/dbraw/zinc/40/49/40/485404940.db2.gz QSRWGTHTALDEJQ-INIZCTEOSA-N 1 2 313.401 1.490 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC000673285517 485404942 /nfs/dbraw/zinc/40/49/42/485404942.db2.gz QSRWGTHTALDEJQ-INIZCTEOSA-N 1 2 313.401 1.490 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cc1 ZINC000675281135 485899618 /nfs/dbraw/zinc/89/96/18/485899618.db2.gz OISJSWWXZMWNIV-MRXNPFEDSA-N 1 2 316.401 1.798 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)Cc2ccccc2F)CC1 ZINC000677133042 486397979 /nfs/dbraw/zinc/39/79/79/486397979.db2.gz DYLSOZLJPAMFNX-UHFFFAOYSA-N 1 2 304.365 1.162 20 30 DDEDLO CCC#C[C@H](C)[NH+]1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000677475846 486475579 /nfs/dbraw/zinc/47/55/79/486475579.db2.gz GRFRFBKEVRMIJK-KRWDZBQOSA-N 1 2 313.445 1.722 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000677476270 486476469 /nfs/dbraw/zinc/47/64/69/486476469.db2.gz NBOZECVHOOJYRR-MRXNPFEDSA-N 1 2 324.446 1.071 20 30 DDEDLO Cc1ccc(CNC(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)cc1F ZINC000592145447 490364721 /nfs/dbraw/zinc/36/47/21/490364721.db2.gz VOKPDTUPCVGFHF-INIZCTEOSA-N 1 2 305.353 1.101 20 30 DDEDLO Cc1ccc(CNC(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)cc1F ZINC000592145447 490364723 /nfs/dbraw/zinc/36/47/23/490364723.db2.gz VOKPDTUPCVGFHF-INIZCTEOSA-N 1 2 305.353 1.101 20 30 DDEDLO COc1ccccc1CNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329920295 533984577 /nfs/dbraw/zinc/98/45/77/533984577.db2.gz DVBUKMNKJCIBNU-HIFRSBDPSA-N 1 2 305.378 1.124 20 30 DDEDLO COc1ccccc1CNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329920295 533984584 /nfs/dbraw/zinc/98/45/84/533984584.db2.gz DVBUKMNKJCIBNU-HIFRSBDPSA-N 1 2 305.378 1.124 20 30 DDEDLO Cc1nc(N(C)C[C@@H](O)C[NH+]2CCOCC2)c(Cl)cc1C#N ZINC000292774857 534437138 /nfs/dbraw/zinc/43/71/38/534437138.db2.gz XBGLZODPDWMNSU-CYBMUJFWSA-N 1 2 324.812 1.044 20 30 DDEDLO Cc1nc(N(C)C[C@H](O)C[NH+]2CCOCC2)c(Cl)cc1C#N ZINC000292774867 534438477 /nfs/dbraw/zinc/43/84/77/534438477.db2.gz XBGLZODPDWMNSU-ZDUSSCGKSA-N 1 2 324.812 1.044 20 30 DDEDLO CC[C@@H]1C(=O)NCC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000156396800 523138881 /nfs/dbraw/zinc/13/88/81/523138881.db2.gz QANDIWVFTBWHRG-LLVKDONJSA-N 1 2 306.391 1.159 20 30 DDEDLO CC[C@@H]1C(=O)NCC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000156396800 523138885 /nfs/dbraw/zinc/13/88/85/523138885.db2.gz QANDIWVFTBWHRG-LLVKDONJSA-N 1 2 306.391 1.159 20 30 DDEDLO CC(C)(C)N1C[C@H]([NH+]=C([O-])N[C@H]2CCc3[nH]c[nH+]c3C2)CC1=O ZINC000329619799 526297171 /nfs/dbraw/zinc/29/71/71/526297171.db2.gz QZZQZKXGAYXYBY-WDEREUQCSA-N 1 2 319.409 1.170 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC000424599038 526434015 /nfs/dbraw/zinc/43/40/15/526434015.db2.gz KAFBIXKLWWNPTA-UHFFFAOYSA-N 1 2 317.437 1.317 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000340505653 526473806 /nfs/dbraw/zinc/47/38/06/526473806.db2.gz OQTFECLZNWNHBP-UHFFFAOYSA-N 1 2 316.405 1.201 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000435435768 526682028 /nfs/dbraw/zinc/68/20/28/526682028.db2.gz ZMKKBGCWWBBLNR-HNNXBMFYSA-N 1 2 318.483 1.619 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000343685851 526708525 /nfs/dbraw/zinc/70/85/25/526708525.db2.gz UPPSZKURYZMOIT-JKSUJKDBSA-N 1 2 310.438 1.538 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000346372063 526790190 /nfs/dbraw/zinc/79/01/90/526790190.db2.gz IJPMTYQHSYIBBB-FMKPAKJESA-N 1 2 301.390 1.758 20 30 DDEDLO C#CC[N@@H+](CC)Cc1nc2c(cnn2-c2ccccc2)c(=O)[nH]1 ZINC000490851035 526896427 /nfs/dbraw/zinc/89/64/27/526896427.db2.gz FFWSUWLYJQTXQD-UHFFFAOYSA-N 1 2 307.357 1.976 20 30 DDEDLO C#CC[N@H+](CC)Cc1nc2c(cnn2-c2ccccc2)c(=O)[nH]1 ZINC000490851035 526896430 /nfs/dbraw/zinc/89/64/30/526896430.db2.gz FFWSUWLYJQTXQD-UHFFFAOYSA-N 1 2 307.357 1.976 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(Cl)c(OC)c2)CC1 ZINC000491733965 526951752 /nfs/dbraw/zinc/95/17/52/526951752.db2.gz AXXXQODGNQVAST-UHFFFAOYSA-N 1 2 321.808 1.538 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cccc3ccn(C)c32)CC1 ZINC000490715656 526952232 /nfs/dbraw/zinc/95/22/32/526952232.db2.gz YRAHHBNPIRVCRY-UHFFFAOYSA-N 1 2 310.401 1.368 20 30 DDEDLO C#CCN1CCN(C(=O)COc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000490763536 526953099 /nfs/dbraw/zinc/95/30/99/526953099.db2.gz TZJJUVZOIAYTKH-UHFFFAOYSA-N 1 2 324.384 1.029 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCO[C@@H](c2ccc(F)cc2F)C1 ZINC000491120765 526979143 /nfs/dbraw/zinc/97/91/43/526979143.db2.gz NQIRKTZTRLAIDP-OAHLLOKOSA-N 1 2 308.328 1.478 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCO[C@@H](c2ccc(F)cc2F)C1 ZINC000491120765 526979151 /nfs/dbraw/zinc/97/91/51/526979151.db2.gz NQIRKTZTRLAIDP-OAHLLOKOSA-N 1 2 308.328 1.478 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)[C@H]2CCc3c[nH+]cn3C2)n1 ZINC000491454445 527182254 /nfs/dbraw/zinc/18/22/54/527182254.db2.gz YWXWJFWCWJQUCA-AWEZNQCLSA-N 1 2 311.389 1.324 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@@H](O)c2ccccc2)n1 ZINC000491040089 527190878 /nfs/dbraw/zinc/19/08/78/527190878.db2.gz RZXZWSQFFPSLQA-GOSISDBHSA-N 1 2 313.401 1.698 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@@H](O)c2ccccc2)n1 ZINC000491040089 527190884 /nfs/dbraw/zinc/19/08/84/527190884.db2.gz RZXZWSQFFPSLQA-GOSISDBHSA-N 1 2 313.401 1.698 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000342488533 527203670 /nfs/dbraw/zinc/20/36/70/527203670.db2.gz ZUPASKAXVRPVQL-AWEZNQCLSA-N 1 2 303.362 1.144 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1CC(=O)N(C)[C@@H](C)C1 ZINC000337477463 527260655 /nfs/dbraw/zinc/26/06/55/527260655.db2.gz ZEOOQNYJOMKXCF-HOCLYGCPSA-N 1 2 318.417 1.317 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1CC(=O)N(C)[C@@H](C)C1 ZINC000337477463 527260658 /nfs/dbraw/zinc/26/06/58/527260658.db2.gz ZEOOQNYJOMKXCF-HOCLYGCPSA-N 1 2 318.417 1.317 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1cn(C)c(=O)n(C)c1=O)c1ccc(Cl)cc1 ZINC000491495120 527291477 /nfs/dbraw/zinc/29/14/77/527291477.db2.gz GSSUNQOJYUZEOW-CQSZACIVSA-N 1 2 317.776 1.202 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000491546400 527300201 /nfs/dbraw/zinc/30/02/01/527300201.db2.gz VRFROSRIJUYFOH-ZIAGYGMSSA-N 1 2 302.378 1.113 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000342255634 527302034 /nfs/dbraw/zinc/30/20/34/527302034.db2.gz NOGRRVZFSOACFA-ZDUSSCGKSA-N 1 2 304.394 1.220 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000491654321 527420007 /nfs/dbraw/zinc/42/00/07/527420007.db2.gz DRDJFYQIQZXYQM-KRWDZBQOSA-N 1 2 324.384 1.475 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000491666437 527420647 /nfs/dbraw/zinc/42/06/47/527420647.db2.gz LUIXMSZWEFDBBS-HNNXBMFYSA-N 1 2 314.389 1.225 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@@H](O)COc1ccc(SC)cc1 ZINC000491700302 527429444 /nfs/dbraw/zinc/42/94/44/527429444.db2.gz GACFANQDYDQOJC-UONOGXRCSA-N 1 2 307.415 1.482 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@@H](O)COc1ccc(SC)cc1 ZINC000491700302 527429450 /nfs/dbraw/zinc/42/94/50/527429450.db2.gz GACFANQDYDQOJC-UONOGXRCSA-N 1 2 307.415 1.482 20 30 DDEDLO CC(C)C(=O)NC[C@@H]1CCCN(C(=O)NCc2[nH+]ccn2C)C1 ZINC000330548998 527508865 /nfs/dbraw/zinc/50/88/65/527508865.db2.gz BFTYFFLLCGGELL-ZDUSSCGKSA-N 1 2 321.425 1.318 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000347377421 527521207 /nfs/dbraw/zinc/52/12/07/527521207.db2.gz ARRHQVOGJWPWJQ-NXYGQSRBSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCCn1cc(C(=O)NCc2cn3ccc(C)cc3[nH+]2)nn1 ZINC000424713387 527525613 /nfs/dbraw/zinc/52/56/13/527525613.db2.gz DLVRJTGXPIAZNV-UHFFFAOYSA-N 1 2 310.361 1.740 20 30 DDEDLO CC(=O)N[C@H](CC1CCC1)C(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329603832 527545156 /nfs/dbraw/zinc/54/51/56/527545156.db2.gz LNIFVSPGBMPQLA-QLFBSQMISA-N 1 2 309.410 1.063 20 30 DDEDLO CC(=O)N[C@H](CC1CCC1)C(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329603832 527545161 /nfs/dbraw/zinc/54/51/61/527545161.db2.gz LNIFVSPGBMPQLA-QLFBSQMISA-N 1 2 309.410 1.063 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCC[N@@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000343519702 528055383 /nfs/dbraw/zinc/05/53/83/528055383.db2.gz GLHVHIOUAMKUEL-WMLDXEAASA-N 1 2 322.453 1.374 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCC[N@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000343519702 528055389 /nfs/dbraw/zinc/05/53/89/528055389.db2.gz GLHVHIOUAMKUEL-WMLDXEAASA-N 1 2 322.453 1.374 20 30 DDEDLO CCOC(=O)[C@H]1C[N@H+](Cc2cccc(F)c2C#N)CC12COC2 ZINC000451143063 528081020 /nfs/dbraw/zinc/08/10/20/528081020.db2.gz WZNBLACZAAXVSI-CQSZACIVSA-N 1 2 318.348 1.709 20 30 DDEDLO CCOC(=O)[C@H]1C[N@@H+](Cc2cccc(F)c2C#N)CC12COC2 ZINC000451143063 528081021 /nfs/dbraw/zinc/08/10/21/528081021.db2.gz WZNBLACZAAXVSI-CQSZACIVSA-N 1 2 318.348 1.709 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@H+](C)CC(C)(C)C#N)CC1 ZINC000433295750 528632705 /nfs/dbraw/zinc/63/27/05/528632705.db2.gz FKWGKIYNXAXYDC-UHFFFAOYSA-N 1 2 309.410 1.270 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@@H+](C)CC(C)(C)C#N)CC1 ZINC000433295750 528632709 /nfs/dbraw/zinc/63/27/09/528632709.db2.gz FKWGKIYNXAXYDC-UHFFFAOYSA-N 1 2 309.410 1.270 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCc2ccc(O)cc2C1 ZINC000332679812 528850238 /nfs/dbraw/zinc/85/02/38/528850238.db2.gz HPZZMMYACXYLRD-QGZVFWFLSA-N 1 2 301.390 1.805 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCc2ccc(O)cc2C1 ZINC000332679812 528850244 /nfs/dbraw/zinc/85/02/44/528850244.db2.gz HPZZMMYACXYLRD-QGZVFWFLSA-N 1 2 301.390 1.805 20 30 DDEDLO CCN1CCN(C(=O)N[C@@H]2CCCSC2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000330205901 529108027 /nfs/dbraw/zinc/10/80/27/529108027.db2.gz DUVMNGWKZYINPK-CHWSQXEVSA-N 1 2 323.466 1.898 20 30 DDEDLO CC[C@H]1CN(C(=O)c2cc(C#N)ccn2)C[C@@H]1[NH+]1CCOCC1 ZINC000330724573 529280486 /nfs/dbraw/zinc/28/04/86/529280486.db2.gz DOPJMTLJHVMTLK-HOCLYGCPSA-N 1 2 314.389 1.136 20 30 DDEDLO N#Cc1cc(NC(=O)CCCn2cc[nH+]c2)ccc1-c1nn[nH]n1 ZINC000737703699 599194739 /nfs/dbraw/zinc/19/47/39/599194739.db2.gz DRNOZAOKPZBZPS-UHFFFAOYSA-N 1 2 322.332 1.354 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](CCOc2ccccc2-c2nn[nH]n2)C1 ZINC000824776849 608126491 /nfs/dbraw/zinc/12/64/91/608126491.db2.gz DWBIQPQVIYVUAY-INIZCTEOSA-N 1 2 312.377 1.871 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](CCOc2ccccc2-c2nn[nH]n2)C1 ZINC000824776849 608126492 /nfs/dbraw/zinc/12/64/92/608126492.db2.gz DWBIQPQVIYVUAY-INIZCTEOSA-N 1 2 312.377 1.871 20 30 DDEDLO CN(C)c1nccc(C=[NH+]Nc2ccc(-c3nn[nH]n3)cc2)n1 ZINC000825888863 608388328 /nfs/dbraw/zinc/38/83/28/608388328.db2.gz XDTSETXJRJJBKP-UHFFFAOYSA-N 1 2 309.337 1.169 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)CCCn2cc[nH+]c2)c1 ZINC000122771867 696724985 /nfs/dbraw/zinc/72/49/85/696724985.db2.gz GDEFFZXCYAPAGW-UHFFFAOYSA-N 1 2 310.357 1.400 20 30 DDEDLO CC(C)S(=O)(=O)CCCON=C(N)C[NH+]1CCCCCC1 ZINC000123037254 696728122 /nfs/dbraw/zinc/72/81/22/696728122.db2.gz VADKNSXIIVIKNG-UHFFFAOYSA-N 1 2 319.471 1.365 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)COC(=O)[C@H]1CCCC[N@@H+]1CC ZINC000745088603 699971391 /nfs/dbraw/zinc/97/13/91/699971391.db2.gz WUEYKSSCNLCRJX-UONOGXRCSA-N 1 2 309.410 1.412 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)COC(=O)[C@H]1CCCC[N@H+]1CC ZINC000745088603 699971392 /nfs/dbraw/zinc/97/13/92/699971392.db2.gz WUEYKSSCNLCRJX-UONOGXRCSA-N 1 2 309.410 1.412 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ncoc3CC)C2)C1 ZINC000972303107 695211787 /nfs/dbraw/zinc/21/17/87/695211787.db2.gz DTTXYUGHRLZGSA-MRXNPFEDSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ncoc3CC)C2)C1 ZINC000972303107 695211789 /nfs/dbraw/zinc/21/17/89/695211789.db2.gz DTTXYUGHRLZGSA-MRXNPFEDSA-N 1 2 305.378 1.340 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ncoc3CC)C2)C1 ZINC000972308506 695212719 /nfs/dbraw/zinc/21/27/19/695212719.db2.gz NFTYCGSBWPSKNO-KRWDZBQOSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ncoc3CC)C2)C1 ZINC000972308506 695212720 /nfs/dbraw/zinc/21/27/20/695212720.db2.gz NFTYCGSBWPSKNO-KRWDZBQOSA-N 1 2 317.389 1.177 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3coc(CC)n3)C2)C1 ZINC000972353994 695224840 /nfs/dbraw/zinc/22/48/40/695224840.db2.gz VSHHYHZZTCZLCO-INIZCTEOSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3coc(CC)n3)C2)C1 ZINC000972353994 695224843 /nfs/dbraw/zinc/22/48/43/695224843.db2.gz VSHHYHZZTCZLCO-INIZCTEOSA-N 1 2 305.378 1.340 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(C)C)C2)C1 ZINC000972394618 695238898 /nfs/dbraw/zinc/23/88/98/695238898.db2.gz MERDEHYYMKKJTJ-GOSISDBHSA-N 1 2 306.450 1.995 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(C)C)C2)C1 ZINC000972394618 695238899 /nfs/dbraw/zinc/23/88/99/695238899.db2.gz MERDEHYYMKKJTJ-GOSISDBHSA-N 1 2 306.450 1.995 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C34CCC(CC3)C4)C2)C1 ZINC000972437029 695251105 /nfs/dbraw/zinc/25/11/05/695251105.db2.gz HMGKZQRUORWWIF-NKELODKYSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C34CCC(CC3)C4)C2)C1 ZINC000972437029 695251106 /nfs/dbraw/zinc/25/11/06/695251106.db2.gz HMGKZQRUORWWIF-NKELODKYSA-N 1 2 316.445 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)cc(C)n3)C2)C1 ZINC000972440669 695252191 /nfs/dbraw/zinc/25/21/91/695252191.db2.gz IRDSSWMZZLESAF-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)cc(C)n3)C2)C1 ZINC000972440669 695252192 /nfs/dbraw/zinc/25/21/92/695252192.db2.gz IRDSSWMZZLESAF-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3cccnc3)C2)C1 ZINC000972454955 695255254 /nfs/dbraw/zinc/25/52/54/695255254.db2.gz ZHGCKFBETMPVCS-SFHVURJKSA-N 1 2 315.417 1.504 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3cccnc3)C2)C1 ZINC000972454955 695255257 /nfs/dbraw/zinc/25/52/57/695255257.db2.gz ZHGCKFBETMPVCS-SFHVURJKSA-N 1 2 315.417 1.504 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3cccs3)C2)C1 ZINC000972547862 695278871 /nfs/dbraw/zinc/27/88/71/695278871.db2.gz DWSZVGAUFHNDIU-RHSMWYFYSA-N 1 2 318.442 1.788 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3cccs3)C2)C1 ZINC000972547862 695278872 /nfs/dbraw/zinc/27/88/72/695278872.db2.gz DWSZVGAUFHNDIU-RHSMWYFYSA-N 1 2 318.442 1.788 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)[nH]c3C)C2)C1 ZINC000972570160 695286074 /nfs/dbraw/zinc/28/60/74/695286074.db2.gz UCNIPYJCKDWGMT-QGZVFWFLSA-N 1 2 303.406 1.734 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)[nH]c3C)C2)C1 ZINC000972570160 695286075 /nfs/dbraw/zinc/28/60/75/695286075.db2.gz UCNIPYJCKDWGMT-QGZVFWFLSA-N 1 2 303.406 1.734 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)ccc3C)C2)C1 ZINC000972655179 695310285 /nfs/dbraw/zinc/31/02/85/695310285.db2.gz FDKWALZPBMWBAH-LJQANCHMSA-N 1 2 312.413 1.854 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)ccc3C)C2)C1 ZINC000972655179 695310289 /nfs/dbraw/zinc/31/02/89/695310289.db2.gz FDKWALZPBMWBAH-LJQANCHMSA-N 1 2 312.413 1.854 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3cscn3)C2)C1 ZINC000972694727 695318178 /nfs/dbraw/zinc/31/81/78/695318178.db2.gz CPTFFMYBFSBMSD-MRXNPFEDSA-N 1 2 321.446 1.565 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3cscn3)C2)C1 ZINC000972694727 695318180 /nfs/dbraw/zinc/31/81/80/695318180.db2.gz CPTFFMYBFSBMSD-MRXNPFEDSA-N 1 2 321.446 1.565 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2nccnc2N)C(C)(C)C1 ZINC000972968963 695383256 /nfs/dbraw/zinc/38/32/56/695383256.db2.gz BFANKEMKOSVLDT-JTQLQIEISA-N 1 2 309.801 1.252 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2nccnc2N)C(C)(C)C1 ZINC000972968963 695383258 /nfs/dbraw/zinc/38/32/58/695383258.db2.gz BFANKEMKOSVLDT-JTQLQIEISA-N 1 2 309.801 1.252 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cccc(=O)[nH]2)C(C)(C)C1 ZINC000973005791 695393610 /nfs/dbraw/zinc/39/36/10/695393610.db2.gz NDBRDORKDYQZKO-GFCCVEGCSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cccc(=O)[nH]2)C(C)(C)C1 ZINC000973005791 695393612 /nfs/dbraw/zinc/39/36/12/695393612.db2.gz NDBRDORKDYQZKO-GFCCVEGCSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cc(C)n[nH]2)C(C)(C)C1 ZINC000973026158 695399242 /nfs/dbraw/zinc/39/92/42/695399242.db2.gz WYZIYZNKTHHZLT-ZDUSSCGKSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cc(C)n[nH]2)C(C)(C)C1 ZINC000973026158 695399246 /nfs/dbraw/zinc/39/92/46/695399246.db2.gz WYZIYZNKTHHZLT-ZDUSSCGKSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cc(C)[nH]n2)C(C)(C)C1 ZINC000973026158 695399247 /nfs/dbraw/zinc/39/92/47/695399247.db2.gz WYZIYZNKTHHZLT-ZDUSSCGKSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cc(C)[nH]n2)C(C)(C)C1 ZINC000973026158 695399249 /nfs/dbraw/zinc/39/92/49/695399249.db2.gz WYZIYZNKTHHZLT-ZDUSSCGKSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2ccn(C)n2)C(C)(C)C1 ZINC000974575105 695688304 /nfs/dbraw/zinc/68/83/04/695688304.db2.gz IAZWOMJQTFTUJK-CYBMUJFWSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2ccn(C)n2)C(C)(C)C1 ZINC000974575105 695688305 /nfs/dbraw/zinc/68/83/05/695688305.db2.gz IAZWOMJQTFTUJK-CYBMUJFWSA-N 1 2 310.829 1.542 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ncc[nH]1 ZINC000974576495 695688743 /nfs/dbraw/zinc/68/87/43/695688743.db2.gz IYRICTJLFSCYMI-OAHLLOKOSA-N 1 2 323.400 1.922 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ncc[nH]1 ZINC000974576495 695688745 /nfs/dbraw/zinc/68/87/45/695688745.db2.gz IYRICTJLFSCYMI-OAHLLOKOSA-N 1 2 323.400 1.922 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)N2CCCC[C@@H]2C)cc1 ZINC000054230183 696274173 /nfs/dbraw/zinc/27/41/73/696274173.db2.gz COPKXKPMVCHFAJ-LBPRGKRZSA-N 1 2 305.378 1.733 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCC(=O)NCC(F)(F)F)cc1 ZINC000060455310 696310705 /nfs/dbraw/zinc/31/07/05/696310705.db2.gz FCEGJCYMMUIFAQ-UHFFFAOYSA-N 1 2 319.283 1.205 20 30 DDEDLO CC(C)[N@@H+](Cc1ccc(C#N)cc1)CN1C(=O)C(=O)N(C)C1=O ZINC000063729717 696330689 /nfs/dbraw/zinc/33/06/89/696330689.db2.gz SNQPCGAVOBUPBN-UHFFFAOYSA-N 1 2 314.345 1.147 20 30 DDEDLO CC(C)[N@H+](Cc1ccc(C#N)cc1)CN1C(=O)C(=O)N(C)C1=O ZINC000063729717 696330691 /nfs/dbraw/zinc/33/06/91/696330691.db2.gz SNQPCGAVOBUPBN-UHFFFAOYSA-N 1 2 314.345 1.147 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H]([C@@H](C)[NH2+]Cc2nc(C)no2)C1 ZINC000979382785 696542107 /nfs/dbraw/zinc/54/21/07/696542107.db2.gz XSIPEMCIUIQWHB-DGCLKSJQSA-N 1 2 322.409 1.296 20 30 DDEDLO COc1cccc(C[N@@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)n1 ZINC000980847524 696916086 /nfs/dbraw/zinc/91/60/86/696916086.db2.gz DLMBULPDHVCNEY-CYBMUJFWSA-N 1 2 302.378 1.284 20 30 DDEDLO COc1cccc(C[N@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)n1 ZINC000980847524 696916087 /nfs/dbraw/zinc/91/60/87/696916087.db2.gz DLMBULPDHVCNEY-CYBMUJFWSA-N 1 2 302.378 1.284 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000980991510 696973424 /nfs/dbraw/zinc/97/34/24/696973424.db2.gz NOCXRQDNOKDMJA-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO Cc1nccnc1C[N@H+]1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000980991510 696973425 /nfs/dbraw/zinc/97/34/25/696973425.db2.gz NOCXRQDNOKDMJA-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)c3ncc[nH]3)CC2)s1 ZINC000981119947 697009531 /nfs/dbraw/zinc/00/95/31/697009531.db2.gz OBSMJWIYYDSVET-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)c3ncc[nH]3)CC2)s1 ZINC000981119947 697009534 /nfs/dbraw/zinc/00/95/34/697009534.db2.gz OBSMJWIYYDSVET-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO Cc1c[nH]nc1C(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC000981133429 697014681 /nfs/dbraw/zinc/01/46/81/697014681.db2.gz ZZSAWYPKPVQGHG-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO Cc1c[nH]nc1C(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC000981133429 697014683 /nfs/dbraw/zinc/01/46/83/697014683.db2.gz ZZSAWYPKPVQGHG-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cc(Br)co2)CC1 ZINC000982081250 697024730 /nfs/dbraw/zinc/02/47/30/697024730.db2.gz MRELXOIXRBKDOM-UHFFFAOYSA-N 1 2 311.179 1.823 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cc(Br)co2)CC1 ZINC000982081250 697024733 /nfs/dbraw/zinc/02/47/33/697024733.db2.gz MRELXOIXRBKDOM-UHFFFAOYSA-N 1 2 311.179 1.823 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2sc3nccn3c2C)CC1 ZINC000981355631 697074540 /nfs/dbraw/zinc/07/45/40/697074540.db2.gz UOYVFKSTEALAJC-UHFFFAOYSA-N 1 2 316.430 1.875 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2sc3nccn3c2C)CC1 ZINC000981355631 697074543 /nfs/dbraw/zinc/07/45/43/697074543.db2.gz UOYVFKSTEALAJC-UHFFFAOYSA-N 1 2 316.430 1.875 20 30 DDEDLO N#CCN[C@H]1CCC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC000981453534 697101239 /nfs/dbraw/zinc/10/12/39/697101239.db2.gz JPCYAXDNJSIKJE-UONOGXRCSA-N 1 2 324.388 1.421 20 30 DDEDLO C#CCCCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000172947028 697374023 /nfs/dbraw/zinc/37/40/23/697374023.db2.gz VFHSYMMEJWCIDR-HNNXBMFYSA-N 1 2 317.408 1.866 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)Nc1ccc(N(C)C)cc1 ZINC000749572612 700170755 /nfs/dbraw/zinc/17/07/55/700170755.db2.gz OOAHXDNBXKPFCF-UHFFFAOYSA-N 1 2 318.421 1.943 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)Nc1ccc(N(C)C)cc1 ZINC000749572612 700170756 /nfs/dbraw/zinc/17/07/56/700170756.db2.gz OOAHXDNBXKPFCF-UHFFFAOYSA-N 1 2 318.421 1.943 20 30 DDEDLO C#C[C@H](NC(=O)NCc1[nH+]cc(C)c(OC)c1C)[C@@H]1CCCO1 ZINC000773261480 697735759 /nfs/dbraw/zinc/73/57/59/697735759.db2.gz YPDNIJKNUVIKMB-ZFWWWQNUSA-N 1 2 317.389 1.687 20 30 DDEDLO C[C@@H]1[C@H]([NH2+]Cc2nc(C3CC3)no2)CCN1C(=O)C#CC1CC1 ZINC000986285688 697767094 /nfs/dbraw/zinc/76/70/94/697767094.db2.gz KJXSYFNESWAIAF-BXUZGUMPSA-N 1 2 314.389 1.439 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C)n1 ZINC000986290865 697769354 /nfs/dbraw/zinc/76/93/54/697769354.db2.gz ZDMJEDHRVILFSG-YPMHNXCESA-N 1 2 302.378 1.124 20 30 DDEDLO C[C@H]1C[N@H+](CCOC(=O)Cc2cccc(OCC#N)c2)CCO1 ZINC000800190744 700200229 /nfs/dbraw/zinc/20/02/29/700200229.db2.gz MFUHHMNZAAOXBN-AWEZNQCLSA-N 1 2 318.373 1.395 20 30 DDEDLO C[C@H]1C[N@@H+](CCOC(=O)Cc2cccc(OCC#N)c2)CCO1 ZINC000800190744 700200233 /nfs/dbraw/zinc/20/02/33/700200233.db2.gz MFUHHMNZAAOXBN-AWEZNQCLSA-N 1 2 318.373 1.395 20 30 DDEDLO CC(=NNCC[NH+]1CCCCC1)C1CCS(=O)(=O)CC1 ZINC000777432438 698190044 /nfs/dbraw/zinc/19/00/44/698190044.db2.gz DQDQQQHMXLFBKB-UHFFFAOYSA-N 1 2 301.456 1.263 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+](C)CC1(O)CCOCC1 ZINC000781967719 698667568 /nfs/dbraw/zinc/66/75/68/698667568.db2.gz FQBXQZWJKAGPHF-HNNXBMFYSA-N 1 2 318.373 1.246 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+](C)CC1(O)CCOCC1 ZINC000781967719 698667569 /nfs/dbraw/zinc/66/75/69/698667569.db2.gz FQBXQZWJKAGPHF-HNNXBMFYSA-N 1 2 318.373 1.246 20 30 DDEDLO Cc1[nH+]c(C(C)N=Nc2ccccc2S(C)(=O)=O)cn1C ZINC000800813080 700245688 /nfs/dbraw/zinc/24/56/88/700245688.db2.gz PVFOVDHXDVARKF-UHFFFAOYSA-N 1 2 306.391 1.968 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cnn(-c3ccccc3)n2)CC1 ZINC000989636457 698703097 /nfs/dbraw/zinc/70/30/97/698703097.db2.gz KZGIWBCUDDYEKI-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cnn(-c3ccccc3)n2)CC1 ZINC000989636457 698703100 /nfs/dbraw/zinc/70/31/00/698703100.db2.gz KZGIWBCUDDYEKI-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1C[C@@H](C)N(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000989783128 698761459 /nfs/dbraw/zinc/76/14/59/698761459.db2.gz PREHVGOTSWGHBD-ZYHUDNBSSA-N 1 2 319.796 1.903 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@]2(NC(=O)c3c[nH]cc4ncnc3-4)CCC[C@H]12 ZINC000990850514 699172808 /nfs/dbraw/zinc/17/28/08/699172808.db2.gz MMYKGIXXWWOFOJ-YJBOKZPZSA-N 1 2 323.400 1.708 20 30 DDEDLO C#CCC[N@H+]1CC[C@@]2(NC(=O)c3c[nH]cc4ncnc3-4)CCC[C@H]12 ZINC000990850514 699172809 /nfs/dbraw/zinc/17/28/09/699172809.db2.gz MMYKGIXXWWOFOJ-YJBOKZPZSA-N 1 2 323.400 1.708 20 30 DDEDLO C=C(Br)CNC(=O)N(C)[C@H](C)C[NH+]1CCOCC1 ZINC000788913639 699347127 /nfs/dbraw/zinc/34/71/27/699347127.db2.gz JWGJIXZYSICCSJ-LLVKDONJSA-N 1 2 320.231 1.257 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@]1(O)CCCc2ccccc21 ZINC000731370493 699527451 /nfs/dbraw/zinc/52/74/51/699527451.db2.gz SEXQXUKZPZXCCO-PKOBYXMFSA-N 1 2 312.413 1.424 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@]1(O)CCCc2ccccc21 ZINC000731370493 699527452 /nfs/dbraw/zinc/52/74/52/699527452.db2.gz SEXQXUKZPZXCCO-PKOBYXMFSA-N 1 2 312.413 1.424 20 30 DDEDLO CC[NH+](CC)[C@H](C(=O)OCC(=O)NCC#N)c1ccccc1 ZINC000732347260 699556504 /nfs/dbraw/zinc/55/65/04/699556504.db2.gz CLQTVDVBAURUQB-HNNXBMFYSA-N 1 2 303.362 1.252 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@H](O)COCCOC(C)C ZINC000734851356 699664534 /nfs/dbraw/zinc/66/45/34/699664534.db2.gz VBQSRIMULPUHQK-LBPRGKRZSA-N 1 2 310.232 1.629 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@H](O)COCCOC(C)C ZINC000734851356 699664535 /nfs/dbraw/zinc/66/45/35/699664535.db2.gz VBQSRIMULPUHQK-LBPRGKRZSA-N 1 2 310.232 1.629 20 30 DDEDLO CC[C@@H]1CC[N@@H+]1CN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC000793822504 699780966 /nfs/dbraw/zinc/78/09/66/699780966.db2.gz CUZNRENOMRXWLE-RHSMWYFYSA-N 1 2 312.373 1.767 20 30 DDEDLO CC[C@@H]1CC[N@H+]1CN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC000793822504 699780967 /nfs/dbraw/zinc/78/09/67/699780967.db2.gz CUZNRENOMRXWLE-RHSMWYFYSA-N 1 2 312.373 1.767 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@H+]2CCOC[C@@H]2C)cc1 ZINC000741688035 699847637 /nfs/dbraw/zinc/84/76/37/699847637.db2.gz UHZWPUQPDPXDQE-AWEZNQCLSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)cc1 ZINC000741688035 699847638 /nfs/dbraw/zinc/84/76/38/699847638.db2.gz UHZWPUQPDPXDQE-AWEZNQCLSA-N 1 2 302.374 1.149 20 30 DDEDLO CC#CC(=O)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[N@H+](C)CCO1 ZINC000801180122 700274671 /nfs/dbraw/zinc/27/46/71/700274671.db2.gz RPEBKKUHAJTMJC-GOEBONIOSA-N 1 2 306.793 1.851 20 30 DDEDLO CC#CC(=O)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[N@@H+](C)CCO1 ZINC000801180122 700274675 /nfs/dbraw/zinc/27/46/75/700274675.db2.gz RPEBKKUHAJTMJC-GOEBONIOSA-N 1 2 306.793 1.851 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1ccc(C(=O)OCC)o1 ZINC000752497317 700368647 /nfs/dbraw/zinc/36/86/47/700368647.db2.gz BDNALNVLQPGLJM-ZDUSSCGKSA-N 1 2 304.346 1.170 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1ccc(C(=O)OCC)o1 ZINC000752497317 700368652 /nfs/dbraw/zinc/36/86/52/700368652.db2.gz BDNALNVLQPGLJM-ZDUSSCGKSA-N 1 2 304.346 1.170 20 30 DDEDLO O=[N+]([O-])c1ccc(O)cc1C=NNC1=[NH+][C@H]2CCCC[C@@H]2N1 ZINC000753937119 700468906 /nfs/dbraw/zinc/46/89/06/700468906.db2.gz YQIORTUQKBQESU-RYUDHWBXSA-N 1 2 303.322 1.494 20 30 DDEDLO Cc1ccn(C[N@@H+](C)[C@@H]2CCCc3c2cnn3C)c(=O)c1C#N ZINC000758241165 700693494 /nfs/dbraw/zinc/69/34/94/700693494.db2.gz SCWPPGIOQSFNAM-OAHLLOKOSA-N 1 2 311.389 1.729 20 30 DDEDLO Cc1ccn(C[N@H+](C)[C@@H]2CCCc3c2cnn3C)c(=O)c1C#N ZINC000758241165 700693495 /nfs/dbraw/zinc/69/34/95/700693495.db2.gz SCWPPGIOQSFNAM-OAHLLOKOSA-N 1 2 311.389 1.729 20 30 DDEDLO N#Cc1cccc(OCC(=O)NCc2ccn3cc[nH+]c3c2)c1 ZINC000762261563 700887214 /nfs/dbraw/zinc/88/72/14/700887214.db2.gz SIUSLKMUZZNFMF-UHFFFAOYSA-N 1 2 306.325 1.901 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N[C@@H]1CCc2c[nH+]cn2C1 ZINC000804335656 701179868 /nfs/dbraw/zinc/17/98/68/701179868.db2.gz CPUOWCHIQTWERF-CYBMUJFWSA-N 1 2 309.325 1.095 20 30 DDEDLO C#CCOc1ccc([C@@H](C)[NH2+]Cc2nnnn2CC2CC2)cc1 ZINC000769984938 701263301 /nfs/dbraw/zinc/26/33/01/701263301.db2.gz FFJVDAWXSIZIMK-CYBMUJFWSA-N 1 2 311.389 1.946 20 30 DDEDLO C=CCN(C(=O)c1cccc2[nH+]ccn21)[C@@H]1CCS(=O)(=O)C1 ZINC000770078978 701266803 /nfs/dbraw/zinc/26/68/03/701266803.db2.gz OXLFRZLBEORUKC-GFCCVEGCSA-N 1 2 319.386 1.150 20 30 DDEDLO C#CC[N@H+](Cc1ccccc1)[C@H](C)C(=O)NC(=O)NCC(C)C ZINC000839601021 701749721 /nfs/dbraw/zinc/74/97/21/701749721.db2.gz HCKHUFFFZAZZSB-OAHLLOKOSA-N 1 2 315.417 1.992 20 30 DDEDLO C#CC[N@@H+](Cc1ccccc1)[C@H](C)C(=O)NC(=O)NCC(C)C ZINC000839601021 701749730 /nfs/dbraw/zinc/74/97/30/701749730.db2.gz HCKHUFFFZAZZSB-OAHLLOKOSA-N 1 2 315.417 1.992 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(C(=O)[C@]2(C#N)CCCOC2)C1 ZINC000867844008 701789171 /nfs/dbraw/zinc/78/91/71/701789171.db2.gz OFUPVIDEGMWKND-BBRMVZONSA-N 1 2 302.378 1.446 20 30 DDEDLO N#C[C@@]1(C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)CCCOC1 ZINC000867905640 701821475 /nfs/dbraw/zinc/82/14/75/701821475.db2.gz TWDBLYXNEAWISY-ZBFHGGJFSA-N 1 2 301.346 1.382 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCc2c(CC(N)=O)cccc2C1 ZINC000879233888 706623827 /nfs/dbraw/zinc/62/38/27/706623827.db2.gz LKLMOZPCFJNPHO-OAHLLOKOSA-N 1 2 302.374 1.190 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCc2c(CC(N)=O)cccc2C1 ZINC000879233888 706623828 /nfs/dbraw/zinc/62/38/28/706623828.db2.gz LKLMOZPCFJNPHO-OAHLLOKOSA-N 1 2 302.374 1.190 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@H](CC)O[C@@]3(CCOC3)C2)C1=O ZINC000840062279 701947368 /nfs/dbraw/zinc/94/73/68/701947368.db2.gz UOCLSYFSWCSUHS-HOCLYGCPSA-N 1 2 309.410 1.137 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@H](CC)O[C@@]3(CCOC3)C2)C1=O ZINC000840062279 701947372 /nfs/dbraw/zinc/94/73/72/701947372.db2.gz UOCLSYFSWCSUHS-HOCLYGCPSA-N 1 2 309.410 1.137 20 30 DDEDLO COC[C@]1(C)CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000840103109 701969506 /nfs/dbraw/zinc/96/95/06/701969506.db2.gz AJZMKEHKOVINPC-GOSISDBHSA-N 1 2 315.417 1.915 20 30 DDEDLO COC[C@]1(C)CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000840103109 701969510 /nfs/dbraw/zinc/96/95/10/701969510.db2.gz AJZMKEHKOVINPC-GOSISDBHSA-N 1 2 315.417 1.915 20 30 DDEDLO COC(=O)c1cccc(N[NH+]=Cc2cnc(N(C)C)n2C)c1 ZINC000811647621 702021111 /nfs/dbraw/zinc/02/11/11/702021111.db2.gz UFFBRTCOIMJEPF-UHFFFAOYSA-N 1 2 301.350 1.719 20 30 DDEDLO CN(CCc1cccc(C#N)c1)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000868520250 702174884 /nfs/dbraw/zinc/17/48/84/702174884.db2.gz OTHRMSSEVGTBLJ-MRXNPFEDSA-N 1 2 323.400 1.954 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)Nc2cccc(C#N)n2)CC1 ZINC000843612749 702908285 /nfs/dbraw/zinc/90/82/85/702908285.db2.gz NGCQCWLISFZTTE-UHFFFAOYSA-N 1 2 324.388 1.537 20 30 DDEDLO C[C@H](C(=O)N(C)C)[NH+]1CCN(c2ccc(F)cc2C#N)CC1 ZINC000879588138 706729232 /nfs/dbraw/zinc/72/92/32/706729232.db2.gz LVZOINAAYHNKTP-GFCCVEGCSA-N 1 2 304.369 1.296 20 30 DDEDLO C=C[C@H](C)ONC(=O)C[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000846546452 703294891 /nfs/dbraw/zinc/29/48/91/703294891.db2.gz VAGKEFUEJBVCQV-GOEBONIOSA-N 1 2 304.390 1.900 20 30 DDEDLO C=C[C@H](C)ONC(=O)C[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000846546452 703294893 /nfs/dbraw/zinc/29/48/93/703294893.db2.gz VAGKEFUEJBVCQV-GOEBONIOSA-N 1 2 304.390 1.900 20 30 DDEDLO CCOC(C[N@H+](CC)[C@H](C(N)=O)c1ccc(C#N)cc1)OCC ZINC000846974129 703359336 /nfs/dbraw/zinc/35/93/36/703359336.db2.gz KOUURXLEUMBYAC-INIZCTEOSA-N 1 2 319.405 1.806 20 30 DDEDLO CCOC(C[N@@H+](CC)[C@H](C(N)=O)c1ccc(C#N)cc1)OCC ZINC000846974129 703359338 /nfs/dbraw/zinc/35/93/38/703359338.db2.gz KOUURXLEUMBYAC-INIZCTEOSA-N 1 2 319.405 1.806 20 30 DDEDLO CC[C@H](C#N)N(C)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000847169112 703383269 /nfs/dbraw/zinc/38/32/69/703383269.db2.gz SJDTYRJOSHECKC-CQSZACIVSA-N 1 2 303.410 1.393 20 30 DDEDLO CC1(C)C[C@@H](O)C[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000879621460 706741869 /nfs/dbraw/zinc/74/18/69/706741869.db2.gz DOJAXRQASWWBCQ-CHWSQXEVSA-N 1 2 319.430 1.818 20 30 DDEDLO CC1(C)C[C@@H](O)C[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000879621460 706741871 /nfs/dbraw/zinc/74/18/71/706741871.db2.gz DOJAXRQASWWBCQ-CHWSQXEVSA-N 1 2 319.430 1.818 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2C[C@@H](O)CC(C)(C)C2)c1C#N ZINC000879623759 706743242 /nfs/dbraw/zinc/74/32/42/706743242.db2.gz ZGJUFTDRPXKJOU-ZDUSSCGKSA-N 1 2 302.374 1.938 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2C[C@@H](O)CC(C)(C)C2)c1C#N ZINC000879623759 706743245 /nfs/dbraw/zinc/74/32/45/706743245.db2.gz ZGJUFTDRPXKJOU-ZDUSSCGKSA-N 1 2 302.374 1.938 20 30 DDEDLO CC(=NNCCCn1cc[nH+]c1)c1ccc2c(c1)OCC(=O)N2 ZINC000848416837 703547877 /nfs/dbraw/zinc/54/78/77/703547877.db2.gz ADQBDJAZLGLVBF-UHFFFAOYSA-N 1 2 313.361 1.618 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2c(F)cccc2Cl)CC1 ZINC000851750531 703843920 /nfs/dbraw/zinc/84/39/20/703843920.db2.gz GOUDIBCLMKYJLB-UHFFFAOYSA-N 1 2 324.783 1.887 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](CCCN2CCCC2=O)C1 ZINC000852328844 704013440 /nfs/dbraw/zinc/01/34/40/704013440.db2.gz OGKWMKRIHNWWQB-GOSISDBHSA-N 1 2 312.417 1.951 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](CCCN2CCCC2=O)C1 ZINC000852328844 704013443 /nfs/dbraw/zinc/01/34/43/704013443.db2.gz OGKWMKRIHNWWQB-GOSISDBHSA-N 1 2 312.417 1.951 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)N[C@@](CC)(C2CC2)C1=O ZINC000853620711 704287282 /nfs/dbraw/zinc/28/72/82/704287282.db2.gz AUYBLPCHJUFPAM-INIZCTEOSA-N 1 2 307.394 1.026 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)N[C@@](CC)(C2CC2)C1=O ZINC000853620711 704287284 /nfs/dbraw/zinc/28/72/84/704287284.db2.gz AUYBLPCHJUFPAM-INIZCTEOSA-N 1 2 307.394 1.026 20 30 DDEDLO C#CCCOc1ccc(C(=O)NCC[N@H+]2CCOC[C@H]2C)cc1 ZINC000823112553 705143516 /nfs/dbraw/zinc/14/35/16/705143516.db2.gz JXINAEBWZWSMIV-OAHLLOKOSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CCCOc1ccc(C(=O)NCC[N@@H+]2CCOC[C@H]2C)cc1 ZINC000823112553 705143519 /nfs/dbraw/zinc/14/35/19/705143519.db2.gz JXINAEBWZWSMIV-OAHLLOKOSA-N 1 2 316.401 1.539 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CC1 ZINC000876440862 705721605 /nfs/dbraw/zinc/72/16/05/705721605.db2.gz KOQLBNLHXISBTP-ZNNBLSDJSA-N 1 2 305.422 1.341 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CC1 ZINC000876440862 705721608 /nfs/dbraw/zinc/72/16/08/705721608.db2.gz KOQLBNLHXISBTP-ZNNBLSDJSA-N 1 2 305.422 1.341 20 30 DDEDLO C#CC[C@@H]1CCCN(c2cc(N3CC[C@H]([C@H](C)O)C3)nc[nH+]2)C1 ZINC000826584448 705819754 /nfs/dbraw/zinc/81/97/54/705819754.db2.gz GOZYVOPTAMMTTL-XHSDSOJGSA-N 1 2 314.433 1.923 20 30 DDEDLO C#CC[C@@H]1CCCN(c2cc(N3CC[C@H]([C@H](C)O)C3)[nH+]cn2)C1 ZINC000826584448 705819760 /nfs/dbraw/zinc/81/97/60/705819760.db2.gz GOZYVOPTAMMTTL-XHSDSOJGSA-N 1 2 314.433 1.923 20 30 DDEDLO Cc1nccn1CCCNC(=O)[C@@H]1[NH2+]CCc2cc(C#N)ccc21 ZINC000876722806 705839228 /nfs/dbraw/zinc/83/92/28/705839228.db2.gz FMVBFLKYXKUGOX-QGZVFWFLSA-N 1 2 323.400 1.457 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc(C)c(C(=O)OC)c2)nn1 ZINC000881390679 707229672 /nfs/dbraw/zinc/22/96/72/707229672.db2.gz AFEUNNQRUKGILZ-UHFFFAOYSA-N 1 2 312.373 1.534 20 30 DDEDLO CN(C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)[C@@H]1CCC[C@@H]1C#N ZINC000828142241 706126791 /nfs/dbraw/zinc/12/67/91/706126791.db2.gz SMECLBRQQMKXKU-FPCVCCKLSA-N 1 2 321.421 1.017 20 30 DDEDLO C=CCNC(=O)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000864421857 706163610 /nfs/dbraw/zinc/16/36/10/706163610.db2.gz QLIIPVCIIOZYBY-INIZCTEOSA-N 1 2 303.406 1.681 20 30 DDEDLO C=CCNC(=O)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000864421857 706163612 /nfs/dbraw/zinc/16/36/12/706163612.db2.gz QLIIPVCIIOZYBY-INIZCTEOSA-N 1 2 303.406 1.681 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C(=O)OCC ZINC000881753547 707351779 /nfs/dbraw/zinc/35/17/79/707351779.db2.gz RYGVQBKDIXVWLO-QWHCGFSZSA-N 1 2 305.378 1.460 20 30 DDEDLO CC(=CS(C)(=O)=O)NNc1cc(Br)nc[nH+]1 ZINC000872435974 707409327 /nfs/dbraw/zinc/40/93/27/707409327.db2.gz MRRXFYPMPUKWHO-UHFFFAOYSA-N 1 2 307.173 1.072 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCCCCn2cc[nH+]c2)c(C#N)c1 ZINC000872469632 707430911 /nfs/dbraw/zinc/43/09/11/707430911.db2.gz ZLYIVQMHIFZZEL-UHFFFAOYSA-N 1 2 318.402 1.822 20 30 DDEDLO C#CC[N@@H+](CC[C@@H]1CCCC1(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000878237687 706334620 /nfs/dbraw/zinc/33/46/20/706334620.db2.gz OHGXBALDAMHKPE-STQMWFEESA-N 1 2 305.390 1.934 20 30 DDEDLO C#CC[N@H+](CC[C@@H]1CCCC1(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000878237687 706334622 /nfs/dbraw/zinc/33/46/22/706334622.db2.gz OHGXBALDAMHKPE-STQMWFEESA-N 1 2 305.390 1.934 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000865058337 706338388 /nfs/dbraw/zinc/33/83/88/706338388.db2.gz ZNKWXLMZDDZJNK-NSCUHMNNSA-N 1 2 301.346 1.394 20 30 DDEDLO N#Cc1cnc(N2CCC[N@@H+](CC(N)=O)CC2)c2sccc21 ZINC000865456520 706436974 /nfs/dbraw/zinc/43/69/74/706436974.db2.gz XJMZOKXCVZZEIF-UHFFFAOYSA-N 1 2 315.402 1.165 20 30 DDEDLO N#Cc1cnc(N2CCC[N@H+](CC(N)=O)CC2)c2sccc21 ZINC000865456520 706436975 /nfs/dbraw/zinc/43/69/75/706436975.db2.gz XJMZOKXCVZZEIF-UHFFFAOYSA-N 1 2 315.402 1.165 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)CC1 ZINC000878750703 706488292 /nfs/dbraw/zinc/48/82/92/706488292.db2.gz LEHYGLVGLMJKLS-LRDDRELGSA-N 1 2 302.422 1.842 20 30 DDEDLO CCOC(=O)c1cccc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)n1 ZINC000878771379 706495208 /nfs/dbraw/zinc/49/52/08/706495208.db2.gz JXOCHNITWCEVFM-KBPBESRZSA-N 1 2 316.405 1.676 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCc2cc(C(=O)OC)ccc2C1 ZINC000880351358 706950353 /nfs/dbraw/zinc/95/03/53/706950353.db2.gz RQHYQTFLDZLYKM-HNNXBMFYSA-N 1 2 303.358 1.949 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCc2cc(C(=O)OC)ccc2C1 ZINC000880351358 706950354 /nfs/dbraw/zinc/95/03/54/706950354.db2.gz RQHYQTFLDZLYKM-HNNXBMFYSA-N 1 2 303.358 1.949 20 30 DDEDLO N#C[C@]1(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CC2CCC1CC2 ZINC000909025546 712920365 /nfs/dbraw/zinc/92/03/65/712920365.db2.gz SMANUMIZLIEVTK-OUZBTXLXSA-N 1 2 317.433 1.686 20 30 DDEDLO N#C[C@]1(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CC2CCC1CC2 ZINC000909025546 712920366 /nfs/dbraw/zinc/92/03/66/712920366.db2.gz SMANUMIZLIEVTK-OUZBTXLXSA-N 1 2 317.433 1.686 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1cc(CC#N)ccn1 ZINC000871722450 707176949 /nfs/dbraw/zinc/17/69/49/707176949.db2.gz MSGYVMNFHMTEEN-UHFFFAOYSA-N 1 2 317.393 1.380 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1cc(CC#N)ccn1 ZINC000871722450 707176951 /nfs/dbraw/zinc/17/69/51/707176951.db2.gz MSGYVMNFHMTEEN-UHFFFAOYSA-N 1 2 317.393 1.380 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCO[C@@H]1CCCC[C@H]1C ZINC000884081369 708130624 /nfs/dbraw/zinc/13/06/24/708130624.db2.gz XDMAHMCQMKRYRC-HZSPNIEDSA-N 1 2 312.410 1.145 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@@H](c2ccccc2)C1 ZINC000884082393 708131220 /nfs/dbraw/zinc/13/12/20/708131220.db2.gz FFITXABPRYZLRS-CABCVRRESA-N 1 2 302.374 1.449 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)CCc1ccc(F)cc1 ZINC000884097240 708137305 /nfs/dbraw/zinc/13/73/05/708137305.db2.gz YWSWHDGXFFCQHI-AWEZNQCLSA-N 1 2 308.353 1.273 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2cc(F)ccc2[C@H]1C ZINC000884149197 708161003 /nfs/dbraw/zinc/16/10/03/708161003.db2.gz FIQPAFNISMAJAT-ABAIWWIYSA-N 1 2 320.364 1.718 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1cc(C)cc(C)c1 ZINC000884150937 708161651 /nfs/dbraw/zinc/16/16/51/708161651.db2.gz AFSMSZPRCMICDK-HNNXBMFYSA-N 1 2 304.390 1.409 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)Oc1ccccc1C ZINC000884156110 708164037 /nfs/dbraw/zinc/16/40/37/708164037.db2.gz SRIFABIDPMJBCM-KBPBESRZSA-N 1 2 320.389 1.325 20 30 DDEDLO CC1=CCC[C@H](C)[C@@H]1C[N@@H+]1C[C@@H]2CS(=O)(=O)C[C@]2(C#N)C1 ZINC000897061380 708219670 /nfs/dbraw/zinc/21/96/70/708219670.db2.gz UEXDEKLRCNMAOY-JJXSEGSLSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=CCC[C@H](C)[C@@H]1C[N@H+]1C[C@@H]2CS(=O)(=O)C[C@]2(C#N)C1 ZINC000897061380 708219673 /nfs/dbraw/zinc/21/96/73/708219673.db2.gz UEXDEKLRCNMAOY-JJXSEGSLSA-N 1 2 308.447 1.849 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CC)[C@H](C)Cc1ccsc1 ZINC000884333600 708250173 /nfs/dbraw/zinc/25/01/73/708250173.db2.gz SGIKTNCJIZARFI-OCCSQVGLSA-N 1 2 324.446 1.974 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H](C)Cc1ccccc1C ZINC000884335362 708250402 /nfs/dbraw/zinc/25/04/02/708250402.db2.gz QJAPYUZGWLDSCB-HOCLYGCPSA-N 1 2 318.417 1.831 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1cccc(C)c1OC ZINC000884389701 708275963 /nfs/dbraw/zinc/27/59/63/708275963.db2.gz DSNFEFOROUENFV-ZDUSSCGKSA-N 1 2 306.362 1.066 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)NCc2nc(C#N)cs2)C1 ZINC000897286270 708279369 /nfs/dbraw/zinc/27/93/69/708279369.db2.gz MBNVOVLOVTUPMR-JTQLQIEISA-N 1 2 316.390 1.447 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCN([C@@H](C#N)C(C)C)CC2)c[nH+]1 ZINC000897309043 708286696 /nfs/dbraw/zinc/28/66/96/708286696.db2.gz SPWFBQHYPZGZSA-HNNXBMFYSA-N 1 2 317.437 1.619 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000885513643 708563390 /nfs/dbraw/zinc/56/33/90/708563390.db2.gz BDCZOZBMIPPGQW-OAHLLOKOSA-N 1 2 306.431 1.679 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000885513643 708563393 /nfs/dbraw/zinc/56/33/93/708563393.db2.gz BDCZOZBMIPPGQW-OAHLLOKOSA-N 1 2 306.431 1.679 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@@H]2CCC[N@@H+](CCF)C2)cc1 ZINC000898496478 708658741 /nfs/dbraw/zinc/65/87/41/708658741.db2.gz XISJZMHFKLUDST-OAHLLOKOSA-N 1 2 304.365 1.862 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@@H]2CCC[N@H+](CCF)C2)cc1 ZINC000898496478 708658751 /nfs/dbraw/zinc/65/87/51/708658751.db2.gz XISJZMHFKLUDST-OAHLLOKOSA-N 1 2 304.365 1.862 20 30 DDEDLO CC(C)CN1CC[N@H+](C[C@H](O)CC2(C#N)CCC2)[C@H](C)C1=O ZINC000886147720 708705265 /nfs/dbraw/zinc/70/52/65/708705265.db2.gz ZUWCVCWFPZKQQB-HUUCEWRRSA-N 1 2 307.438 1.620 20 30 DDEDLO CC(C)CN1CC[N@@H+](C[C@H](O)CC2(C#N)CCC2)[C@H](C)C1=O ZINC000886147720 708705268 /nfs/dbraw/zinc/70/52/68/708705268.db2.gz ZUWCVCWFPZKQQB-HUUCEWRRSA-N 1 2 307.438 1.620 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000886575261 708779537 /nfs/dbraw/zinc/77/95/37/708779537.db2.gz LTFAOLPCZKAEQN-XUWVNRHRSA-N 1 2 300.358 1.475 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000886575261 708779539 /nfs/dbraw/zinc/77/95/39/708779539.db2.gz LTFAOLPCZKAEQN-XUWVNRHRSA-N 1 2 300.358 1.475 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@@]2(CO)CCC[C@@H]12 ZINC000886628544 708798750 /nfs/dbraw/zinc/79/87/50/708798750.db2.gz VEMZKSJVLLZVNU-IUODEOHRSA-N 1 2 305.403 1.795 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@@]2(CO)CCC[C@@H]12 ZINC000886628544 708798752 /nfs/dbraw/zinc/79/87/52/708798752.db2.gz VEMZKSJVLLZVNU-IUODEOHRSA-N 1 2 305.403 1.795 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1Cc2cc(C(=O)OCC)nn2C[C@H]1C ZINC000887118008 708961329 /nfs/dbraw/zinc/96/13/29/708961329.db2.gz FXBDJIGCFVTNPK-TZMCWYRMSA-N 1 2 307.394 1.591 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1Cc2cc(C(=O)OCC)nn2C[C@H]1C ZINC000887118008 708961331 /nfs/dbraw/zinc/96/13/31/708961331.db2.gz FXBDJIGCFVTNPK-TZMCWYRMSA-N 1 2 307.394 1.591 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)Nc2ccc(Br)c(C#N)c2)C1 ZINC000887994893 709188408 /nfs/dbraw/zinc/18/84/08/709188408.db2.gz NQUWCFPWMIPROA-LBPRGKRZSA-N 1 2 324.178 1.590 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)Nc2ccc(Br)c(C#N)c2)C1 ZINC000887994893 709188411 /nfs/dbraw/zinc/18/84/11/709188411.db2.gz NQUWCFPWMIPROA-LBPRGKRZSA-N 1 2 324.178 1.590 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)c2coc3c2C(=O)CCC3)CC1 ZINC000889741506 709603330 /nfs/dbraw/zinc/60/33/30/709603330.db2.gz DPJMRKDYTXEYHQ-UHFFFAOYSA-N 1 2 301.346 1.516 20 30 DDEDLO CN(C)C(=O)[C@@H]([NH2+]CC#C[C@H]1CCCCO1)c1ccccc1 ZINC000901699107 710123570 /nfs/dbraw/zinc/12/35/70/710123570.db2.gz FYPNQRJVDIMZLS-SJORKVTESA-N 1 2 300.402 1.978 20 30 DDEDLO Cc1cc(CN(CCC#N)CC[NH+]2CCOCC2)cnc1F ZINC000891394667 710182890 /nfs/dbraw/zinc/18/28/90/710182890.db2.gz HVTUNBAECYCJOW-UHFFFAOYSA-N 1 2 306.385 1.577 20 30 DDEDLO N#Cc1cccnc1N1CCN(c2nccn3c[nH+]cc23)CC1 ZINC000891620667 710250393 /nfs/dbraw/zinc/25/03/93/710250393.db2.gz HUHHHHWRFXYKFP-UHFFFAOYSA-N 1 2 305.345 1.323 20 30 DDEDLO N#Cc1cccc(OC2CC[NH+](CN3CCOC3=O)CC2)c1 ZINC000902122027 710623232 /nfs/dbraw/zinc/62/32/32/710623232.db2.gz LLWBJQMDZKESID-UHFFFAOYSA-N 1 2 301.346 1.811 20 30 DDEDLO Cc1ccnc(N)c1C[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000893916793 710885476 /nfs/dbraw/zinc/88/54/76/710885476.db2.gz NFNYLRSGBROXAC-UHFFFAOYSA-N 1 2 308.389 1.561 20 30 DDEDLO C#CCCOc1ccc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)cc1 ZINC000913454442 713223011 /nfs/dbraw/zinc/22/30/11/713223011.db2.gz WEPWEAPDYIEQRQ-KRWDZBQOSA-N 1 2 324.384 1.599 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccccc1CC#N ZINC000928635744 713243694 /nfs/dbraw/zinc/24/36/94/713243694.db2.gz SZTZHFHPHUNQAM-OAHLLOKOSA-N 1 2 301.390 1.593 20 30 DDEDLO C=CCCC[C@H]1NC(=O)N(CC(C)(C)[NH+]2CCOCC2)C1=O ZINC000904129764 711388290 /nfs/dbraw/zinc/38/82/90/711388290.db2.gz KOWLOEHNGZJKRV-CYBMUJFWSA-N 1 2 309.410 1.374 20 30 DDEDLO C[C@@H]([NH2+]Cc1cc(C#N)ccc1N(C)C)C1N=NC(=O)N1C ZINC000895170731 711441037 /nfs/dbraw/zinc/44/10/37/711441037.db2.gz LCQDNGGMOJKXST-SNVBAGLBSA-N 1 2 300.366 1.309 20 30 DDEDLO N#C[C@@H]1CCC[C@@H](OC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000905929838 712190115 /nfs/dbraw/zinc/19/01/15/712190115.db2.gz SFZPDJZXHZFJAO-FPCVCCKLSA-N 1 2 322.405 1.492 20 30 DDEDLO COC(=O)/C=C(/C)C[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000929108124 713548209 /nfs/dbraw/zinc/54/82/09/713548209.db2.gz QZRHLEAELFURON-RAXLEYEMSA-N 1 2 317.364 1.939 20 30 DDEDLO CC1(CC(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)COC1 ZINC000929362813 713597042 /nfs/dbraw/zinc/59/70/42/713597042.db2.gz KIOGMAOVUBKAMC-UHFFFAOYSA-N 1 2 313.401 1.629 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](C[C@@H]3CCC4(COC4)O3)CCO2)c1 ZINC000930136176 713764768 /nfs/dbraw/zinc/76/47/68/713764768.db2.gz RWJZHAPPWTUQDE-DLBZAZTESA-N 1 2 314.385 1.880 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](C[C@@H]3CCC4(COC4)O3)CCO2)c1 ZINC000930136176 713764772 /nfs/dbraw/zinc/76/47/72/713764772.db2.gz RWJZHAPPWTUQDE-DLBZAZTESA-N 1 2 314.385 1.880 20 30 DDEDLO N#CC1(c2ccccn2)CC[NH+](Cc2[nH]nc3c2COCC3)CC1 ZINC000930332175 713806343 /nfs/dbraw/zinc/80/63/43/713806343.db2.gz BPXPFUURMYBSNH-UHFFFAOYSA-N 1 2 323.400 1.935 20 30 DDEDLO C#C[C@H](NC(=O)NCc1nc(C[NH+](C)C)cs1)[C@H]1CCCO1 ZINC000922046260 713945488 /nfs/dbraw/zinc/94/54/88/713945488.db2.gz BWPOVQJAFKGGRA-QWHCGFSZSA-N 1 2 322.434 1.185 20 30 DDEDLO C#CC1(O)CN(C(=O)Nc2ccc3c(cc[nH+]c3N(C)C)c2)C1 ZINC000931590801 714134185 /nfs/dbraw/zinc/13/41/85/714134185.db2.gz JWBLQLKARIAYJV-UHFFFAOYSA-N 1 2 310.357 1.513 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000931658141 714151832 /nfs/dbraw/zinc/15/18/32/714151832.db2.gz JOQAWTKWTZPNSM-UHFFFAOYSA-N 1 2 324.450 1.246 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cccc(C#N)n1 ZINC000931804102 714188627 /nfs/dbraw/zinc/18/86/27/714188627.db2.gz NKPHDVGLAFBQQH-GFCCVEGCSA-N 1 2 303.366 1.138 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)Nc2sccc2C#N)CC1 ZINC000931845186 714195667 /nfs/dbraw/zinc/19/56/67/714195667.db2.gz BSIJDIYSGAQHAI-UHFFFAOYSA-N 1 2 308.407 1.806 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(C#N)cn1 ZINC000932111980 714262356 /nfs/dbraw/zinc/26/23/56/714262356.db2.gz UXBQSHCGLPXXRA-ZDUSSCGKSA-N 1 2 303.366 1.186 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2)C(C)C ZINC000932392090 714322203 /nfs/dbraw/zinc/32/22/03/714322203.db2.gz YMYGJYAEWZWSRA-UHFFFAOYSA-N 1 2 319.317 1.606 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2)C(C)C ZINC000932392090 714322206 /nfs/dbraw/zinc/32/22/06/714322206.db2.gz YMYGJYAEWZWSRA-UHFFFAOYSA-N 1 2 319.317 1.606 20 30 DDEDLO CCOC(=O)[C@H]1CC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000932640554 714372696 /nfs/dbraw/zinc/37/26/96/714372696.db2.gz ZNMQQBRZIADYLH-LBPRGKRZSA-N 1 2 303.318 1.851 20 30 DDEDLO CCOC(=O)[C@H]1CC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000932640554 714372698 /nfs/dbraw/zinc/37/26/98/714372698.db2.gz ZNMQQBRZIADYLH-LBPRGKRZSA-N 1 2 303.318 1.851 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cn(C3CC3)c(C)cc2=O)C1 ZINC000923561714 714404613 /nfs/dbraw/zinc/40/46/13/714404613.db2.gz KDLGPRIMRWZFPH-AWEZNQCLSA-N 1 2 313.401 1.319 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cn(C3CC3)c(C)cc2=O)C1 ZINC000923561714 714404614 /nfs/dbraw/zinc/40/46/14/714404614.db2.gz KDLGPRIMRWZFPH-AWEZNQCLSA-N 1 2 313.401 1.319 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C[C@H]2C[C@@H](C(C)=O)C2(C)C)C1 ZINC000923566337 714407531 /nfs/dbraw/zinc/40/75/31/714407531.db2.gz PBHXDBYSUQKVOE-PMPSAXMXSA-N 1 2 304.434 1.842 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C[C@H]2C[C@@H](C(C)=O)C2(C)C)C1 ZINC000923566337 714407535 /nfs/dbraw/zinc/40/75/35/714407535.db2.gz PBHXDBYSUQKVOE-PMPSAXMXSA-N 1 2 304.434 1.842 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(Cl)c(O)c(OC)c2)C1 ZINC000923587183 714418516 /nfs/dbraw/zinc/41/85/16/714418516.db2.gz MKJCCHILDPQIPQ-GFCCVEGCSA-N 1 2 322.792 1.882 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(Cl)c(O)c(OC)c2)C1 ZINC000923587183 714418520 /nfs/dbraw/zinc/41/85/20/714418520.db2.gz MKJCCHILDPQIPQ-GFCCVEGCSA-N 1 2 322.792 1.882 20 30 DDEDLO C[C@@H]1C[C@H](C[N@@H+]2C[C@@H]3CS(=O)(=O)C[C@]3(C#N)C2)C[C@H](C)O1 ZINC000932964530 714445579 /nfs/dbraw/zinc/44/55/79/714445579.db2.gz SIPOMLRGYKUILY-FQKPHLNHSA-N 1 2 312.435 1.060 20 30 DDEDLO C[C@@H]1C[C@H](C[N@H+]2C[C@@H]3CS(=O)(=O)C[C@]3(C#N)C2)C[C@H](C)O1 ZINC000932964530 714445580 /nfs/dbraw/zinc/44/55/80/714445580.db2.gz SIPOMLRGYKUILY-FQKPHLNHSA-N 1 2 312.435 1.060 20 30 DDEDLO Cn1nccc1[C@@H]1C[N@H+](C[C@@H](O)CC2(C#N)CCC2)CCO1 ZINC000933543891 714613260 /nfs/dbraw/zinc/61/32/60/714613260.db2.gz LSHVQBUGBGRIJX-ZFWWWQNUSA-N 1 2 304.394 1.238 20 30 DDEDLO Cn1nccc1[C@@H]1C[N@@H+](C[C@@H](O)CC2(C#N)CCC2)CCO1 ZINC000933543891 714613261 /nfs/dbraw/zinc/61/32/61/714613261.db2.gz LSHVQBUGBGRIJX-ZFWWWQNUSA-N 1 2 304.394 1.238 20 30 DDEDLO CS(=O)(=O)CC1(C[NH+]2CCC3(CC2)CC(=O)C=CO3)CC1 ZINC000933649730 714638617 /nfs/dbraw/zinc/63/86/17/714638617.db2.gz SLUBQUJSDAQRHS-UHFFFAOYSA-N 1 2 313.419 1.149 20 30 DDEDLO O=C(C[NH+]1CCC2(CC1)CC(=O)C=CO2)NCc1ccco1 ZINC000933656425 714640504 /nfs/dbraw/zinc/64/05/04/714640504.db2.gz JRVCSFFVDHWPFA-UHFFFAOYSA-N 1 2 304.346 1.234 20 30 DDEDLO CC[N@H+](CCC(=O)NC)[C@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000933861789 714685779 /nfs/dbraw/zinc/68/57/79/714685779.db2.gz VREXTFWPSWWJKG-HNNXBMFYSA-N 1 2 303.362 1.230 20 30 DDEDLO CC[N@@H+](CCC(=O)NC)[C@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000933861789 714685781 /nfs/dbraw/zinc/68/57/81/714685781.db2.gz VREXTFWPSWWJKG-HNNXBMFYSA-N 1 2 303.362 1.230 20 30 DDEDLO C=CC[C@@H]1CC[N@H+]1CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000934464023 714827063 /nfs/dbraw/zinc/82/70/63/714827063.db2.gz AVTKLACIBMRPTP-SNVBAGLBSA-N 1 2 319.430 1.925 20 30 DDEDLO C=CC[C@@H]1CC[N@@H+]1CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000934464023 714827066 /nfs/dbraw/zinc/82/70/66/714827066.db2.gz AVTKLACIBMRPTP-SNVBAGLBSA-N 1 2 319.430 1.925 20 30 DDEDLO C[C@]1(C2CC2)COCC[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000934481833 714830147 /nfs/dbraw/zinc/83/01/47/714830147.db2.gz XSJIHNSLVJQUJQ-MRXNPFEDSA-N 1 2 304.394 1.143 20 30 DDEDLO C[C@]1(C2CC2)COCC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000934481833 714830148 /nfs/dbraw/zinc/83/01/48/714830148.db2.gz XSJIHNSLVJQUJQ-MRXNPFEDSA-N 1 2 304.394 1.143 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@H+]2CCOC[C@@H]2CC)cc1 ZINC000934918479 714929495 /nfs/dbraw/zinc/92/94/95/714929495.db2.gz PCIXHAZPKYOUHQ-INIZCTEOSA-N 1 2 301.390 1.900 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@@H+]2CCOC[C@@H]2CC)cc1 ZINC000934918479 714929498 /nfs/dbraw/zinc/92/94/98/714929498.db2.gz PCIXHAZPKYOUHQ-INIZCTEOSA-N 1 2 301.390 1.900 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1cnn(C)n1 ZINC000926274513 715039923 /nfs/dbraw/zinc/03/99/23/715039923.db2.gz BAICFEIDRWDEEC-DOMZBBRYSA-N 1 2 324.388 1.138 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000955110135 715628374 /nfs/dbraw/zinc/62/83/74/715628374.db2.gz BZYPRWDFLJBSMM-INIZCTEOSA-N 1 2 304.394 1.224 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC000938221296 715761991 /nfs/dbraw/zinc/76/19/91/715761991.db2.gz FJHWDPKDXFLQSE-AWEZNQCLSA-N 1 2 322.368 1.307 20 30 DDEDLO N#CCN1CCC2(CC1)CCN(C(=O)Cn1cc[nH+]c1)CC2 ZINC000957652450 715985066 /nfs/dbraw/zinc/98/50/66/715985066.db2.gz JHFYMEJBVDVXPT-UHFFFAOYSA-N 1 2 301.394 1.111 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc3c(c2)nc[nH]c3=O)CC1 ZINC000957669783 715995418 /nfs/dbraw/zinc/99/54/18/715995418.db2.gz QAYKVMFTFRZAAI-UHFFFAOYSA-N 1 2 312.373 1.669 20 30 DDEDLO CC#CCN1CCN(C(=O)C[N@@H+]2CCc3sccc3C2)CC1 ZINC000957752938 716029136 /nfs/dbraw/zinc/02/91/36/716029136.db2.gz URVOLYXKIOHSRI-UHFFFAOYSA-N 1 2 317.458 1.274 20 30 DDEDLO CC#CCN1CCN(C(=O)C[N@H+]2CCc3sccc3C2)CC1 ZINC000957752938 716029139 /nfs/dbraw/zinc/02/91/39/716029139.db2.gz URVOLYXKIOHSRI-UHFFFAOYSA-N 1 2 317.458 1.274 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCCN2C(=O)C(C)C)CC1 ZINC000957757818 716030878 /nfs/dbraw/zinc/03/08/78/716030878.db2.gz KIXBDPWAWDNZSJ-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2ccccc2O)C1 ZINC000957887525 716218281 /nfs/dbraw/zinc/21/82/81/716218281.db2.gz ZUYRNMJJAOTHMB-FZMZJTMJSA-N 1 2 310.781 1.167 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2ccccc2O)C1 ZINC000957887525 716218283 /nfs/dbraw/zinc/21/82/83/716218283.db2.gz ZUYRNMJJAOTHMB-FZMZJTMJSA-N 1 2 310.781 1.167 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2ncoc2CC)C1 ZINC000957936094 716239085 /nfs/dbraw/zinc/23/90/85/716239085.db2.gz RZEYSBKVJLVTJU-QWRGUYRKSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2ncoc2CC)C1 ZINC000957936094 716239086 /nfs/dbraw/zinc/23/90/86/716239086.db2.gz RZEYSBKVJLVTJU-QWRGUYRKSA-N 1 2 313.785 1.012 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@H]1CCCN1C(=O)[C@@H](C)C#N ZINC000960498257 716582015 /nfs/dbraw/zinc/58/20/15/716582015.db2.gz CNIULOKTEHFSBO-CMPLNLGQSA-N 1 2 307.423 1.429 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@H]1CCCN1C(=O)[C@@H](C)C#N ZINC000960498257 716582019 /nfs/dbraw/zinc/58/20/19/716582019.db2.gz CNIULOKTEHFSBO-CMPLNLGQSA-N 1 2 307.423 1.429 20 30 DDEDLO CCn1nncc1C[N@H+](C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960627843 716637809 /nfs/dbraw/zinc/63/78/09/716637809.db2.gz SGJRRPJOMXFMQN-HNNXBMFYSA-N 1 2 315.421 1.134 20 30 DDEDLO CCn1nncc1C[N@@H+](C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960627843 716637811 /nfs/dbraw/zinc/63/78/11/716637811.db2.gz SGJRRPJOMXFMQN-HNNXBMFYSA-N 1 2 315.421 1.134 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ncccn4)C[C@H]32)cc1 ZINC000961443156 716948915 /nfs/dbraw/zinc/94/89/15/716948915.db2.gz PMALNOYVCRVIHY-VQFNDLOPSA-N 1 2 318.380 1.318 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ncccn4)C[C@H]32)cc1 ZINC000961443156 716948918 /nfs/dbraw/zinc/94/89/18/716948918.db2.gz PMALNOYVCRVIHY-VQFNDLOPSA-N 1 2 318.380 1.318 20 30 DDEDLO C[C@H](CC(=O)N1CCCN(C(=O)[C@@H](C)C#N)CC1)n1cc[nH+]c1 ZINC000941073565 717095794 /nfs/dbraw/zinc/09/57/94/717095794.db2.gz JLGXZFAVBHXHDE-UONOGXRCSA-N 1 2 317.393 1.055 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccc(Cl)o3)CC2)C1 ZINC000941314940 717153491 /nfs/dbraw/zinc/15/34/91/717153491.db2.gz YVNBNYNBGQBJCL-UHFFFAOYSA-N 1 2 321.808 1.398 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)ncn2)C1 ZINC000965916389 717814212 /nfs/dbraw/zinc/81/42/12/717814212.db2.gz HNKHGARDWFXXQE-YGRLFVJLSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)ncn2)C1 ZINC000965916389 717814217 /nfs/dbraw/zinc/81/42/17/717814217.db2.gz HNKHGARDWFXXQE-YGRLFVJLSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnnc(C)c2)C1 ZINC000965957500 717834602 /nfs/dbraw/zinc/83/46/02/717834602.db2.gz DEXILILXOBFTLZ-HZMBPMFUSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnnc(C)c2)C1 ZINC000965957500 717834604 /nfs/dbraw/zinc/83/46/04/717834604.db2.gz DEXILILXOBFTLZ-HZMBPMFUSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)Cn2cc[nH+]c2C)C[C@@H]1C ZINC000948052709 719344277 /nfs/dbraw/zinc/34/42/77/719344277.db2.gz JGNVBLRZSBPVDY-JSGCOSHPSA-N 1 2 310.829 1.913 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C[C@H]2COc3ccccc3O2)CC1 ZINC000948553409 719543619 /nfs/dbraw/zinc/54/36/19/719543619.db2.gz ZRVKKJBXBPDJTE-AWEZNQCLSA-N 1 2 302.374 1.547 20 30 DDEDLO CCc1cnc(C[NH2+][C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC000968442124 719589667 /nfs/dbraw/zinc/58/96/67/719589667.db2.gz QQCHMNXQCLOYLF-GFCCVEGCSA-N 1 2 313.361 1.441 20 30 DDEDLO C[C@@H]1CCN(CC#N)C[C@@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000968498259 719624561 /nfs/dbraw/zinc/62/45/61/719624561.db2.gz YVQVAAREYQOGKY-PBHICJAKSA-N 1 2 323.400 1.836 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc(OC)nn2)C1 ZINC000968508573 719632009 /nfs/dbraw/zinc/63/20/09/719632009.db2.gz BIVHFPGWSDAPEG-MFKMUULPSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc(OC)nn2)C1 ZINC000968508573 719632010 /nfs/dbraw/zinc/63/20/10/719632010.db2.gz BIVHFPGWSDAPEG-MFKMUULPSA-N 1 2 324.812 1.678 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cc(C)nn1C ZINC000948898478 719773261 /nfs/dbraw/zinc/77/32/61/719773261.db2.gz JMQBSMQQTDRHJE-GOSISDBHSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cc(C)nn1C ZINC000948898478 719773263 /nfs/dbraw/zinc/77/32/63/719773263.db2.gz JMQBSMQQTDRHJE-GOSISDBHSA-N 1 2 322.412 1.691 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2nc(C(C)C)no2)CC1 ZINC000949571026 720175411 /nfs/dbraw/zinc/17/54/11/720175411.db2.gz XBNWCJSOKDYZDP-UHFFFAOYSA-N 1 2 304.394 1.293 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC000969583935 720200476 /nfs/dbraw/zinc/20/04/76/720200476.db2.gz DDWBJBQBQMDSMD-CYBMUJFWSA-N 1 2 318.421 1.925 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCCn3nccc32)C1 ZINC000969985849 720586194 /nfs/dbraw/zinc/58/61/94/720586194.db2.gz TYJSNXLOYBMKIJ-JSGCOSHPSA-N 1 2 322.840 1.950 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3cccnc3n2)C1 ZINC000950381605 720606291 /nfs/dbraw/zinc/60/62/91/720606291.db2.gz ZQQIPJDWMKRCEA-UHFFFAOYSA-N 1 2 308.385 1.799 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)N(C(CC)CC)C2)C1 ZINC000950462800 720644233 /nfs/dbraw/zinc/64/42/33/720644233.db2.gz PODNJPIKEIWAAQ-CQSZACIVSA-N 1 2 321.465 1.742 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncc(OC)cc2F)C1 ZINC000950480396 720651795 /nfs/dbraw/zinc/65/17/95/720651795.db2.gz HNIGYNSEDQUCCB-UHFFFAOYSA-N 1 2 305.353 1.399 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN2c2ncccn2)C1 ZINC000950533512 720672855 /nfs/dbraw/zinc/67/28/55/720672855.db2.gz IVMNFJPLPXIMDT-HNNXBMFYSA-N 1 2 315.421 1.164 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H](C)C2C[NH+](CC(=C)Cl)C2)nn1 ZINC000970549651 720811117 /nfs/dbraw/zinc/81/11/17/720811117.db2.gz XUDZMUQODORMKU-NSHDSACASA-N 1 2 309.801 1.267 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc3ncccn3n2)C1 ZINC000970619647 720850888 /nfs/dbraw/zinc/85/08/88/720850888.db2.gz PVKRULPSIZCSFJ-LLVKDONJSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC000970909730 720981961 /nfs/dbraw/zinc/98/19/61/720981961.db2.gz BWKDELWTJLDBAW-VXGBXAGGSA-N 1 2 322.840 1.704 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)sc1C ZINC000971212421 721180695 /nfs/dbraw/zinc/18/06/95/721180695.db2.gz YQFYUYFOIXHWRM-GXFFZTMASA-N 1 2 306.435 1.952 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)sc1C ZINC000971212421 721180701 /nfs/dbraw/zinc/18/07/01/721180701.db2.gz YQFYUYFOIXHWRM-GXFFZTMASA-N 1 2 306.435 1.952 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2nn(C)c3c2CCCC3)C1 ZINC000952300010 721398763 /nfs/dbraw/zinc/39/87/63/721398763.db2.gz BWSFFDNGZKJPAD-UHFFFAOYSA-N 1 2 314.433 1.469 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cccn(C(C)(C)C)c2=O)C1 ZINC000952425512 721445862 /nfs/dbraw/zinc/44/58/62/721445862.db2.gz QZSVLFMQJDVMJO-UHFFFAOYSA-N 1 2 317.433 1.936 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(CCC=C)nn2)C1 ZINC000952429552 721446941 /nfs/dbraw/zinc/44/69/41/721446941.db2.gz RYTMFEFSGKZVJJ-UHFFFAOYSA-N 1 2 301.394 1.024 20 30 DDEDLO C=CC[NH+]1CCN(C(F)(F)COc2ccc(Cl)nn2)CC1 ZINC001165032585 721870432 /nfs/dbraw/zinc/87/04/32/721870432.db2.gz ZXKTUPGAGDGRAF-UHFFFAOYSA-N 1 2 318.755 1.905 20 30 DDEDLO N#CCN1CCC[C@@H](CNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001023585751 735327364 /nfs/dbraw/zinc/32/73/64/735327364.db2.gz LIWYERXXOXYSHQ-QWHCGFSZSA-N 1 2 301.394 1.181 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(F)CCCCC3)C2)C1 ZINC000972627156 735376080 /nfs/dbraw/zinc/37/60/80/735376080.db2.gz IBHZPJNIJJOUGP-MRXNPFEDSA-N 1 2 308.397 1.595 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(F)CCCCC3)C2)C1 ZINC000972627156 735376085 /nfs/dbraw/zinc/37/60/85/735376085.db2.gz IBHZPJNIJJOUGP-MRXNPFEDSA-N 1 2 308.397 1.595 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001023764404 735451722 /nfs/dbraw/zinc/45/17/22/735451722.db2.gz FDMMAVNHMOHNRQ-CQSZACIVSA-N 1 2 323.400 1.547 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001023764404 735451725 /nfs/dbraw/zinc/45/17/25/735451725.db2.gz FDMMAVNHMOHNRQ-CQSZACIVSA-N 1 2 323.400 1.547 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001039081559 732639955 /nfs/dbraw/zinc/63/99/55/732639955.db2.gz AATVOFPBEUPKCF-ZDUSSCGKSA-N 1 2 303.337 1.221 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001039081559 732639960 /nfs/dbraw/zinc/63/99/60/732639960.db2.gz AATVOFPBEUPKCF-ZDUSSCGKSA-N 1 2 303.337 1.221 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@H](CCCN2C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001021668327 733422755 /nfs/dbraw/zinc/42/27/55/733422755.db2.gz NEINJFSFCDSQCK-BXUZGUMPSA-N 1 2 322.359 1.907 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@H](CCCN2C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001021668327 733422758 /nfs/dbraw/zinc/42/27/58/733422758.db2.gz NEINJFSFCDSQCK-BXUZGUMPSA-N 1 2 322.359 1.907 20 30 DDEDLO CCN(CCNC(=O)CCc1[nH]cc[nH+]1)c1ccncc1C#N ZINC001100509906 733599157 /nfs/dbraw/zinc/59/91/57/733599157.db2.gz FYJCTJUGYJONGG-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)C2CC(C)(C)C2)C1=O ZINC001038215724 734387787 /nfs/dbraw/zinc/38/77/87/734387787.db2.gz HLEQLNCCIUDYBV-CABCVRRESA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)C2CC(C)(C)C2)C1=O ZINC001038215724 734387789 /nfs/dbraw/zinc/38/77/89/734387789.db2.gz HLEQLNCCIUDYBV-CABCVRRESA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)C2CC(C)(C)C2)C1=O ZINC001038215731 734388053 /nfs/dbraw/zinc/38/80/53/734388053.db2.gz HLEQLNCCIUDYBV-LSDHHAIUSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)C2CC(C)(C)C2)C1=O ZINC001038215731 734388056 /nfs/dbraw/zinc/38/80/56/734388056.db2.gz HLEQLNCCIUDYBV-LSDHHAIUSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067440437 734984084 /nfs/dbraw/zinc/98/40/84/734984084.db2.gz YBJVSZHIUOSOFX-UHFFFAOYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cnnn1CC ZINC001024422497 735813472 /nfs/dbraw/zinc/81/34/72/735813472.db2.gz PCJLNNQHBGGPDX-LBPRGKRZSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cnnn1CC ZINC001024422497 735813473 /nfs/dbraw/zinc/81/34/73/735813473.db2.gz PCJLNNQHBGGPDX-LBPRGKRZSA-N 1 2 311.817 1.635 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@H]1CNC(=O)c1cc(OC)ns1 ZINC001024445060 735832209 /nfs/dbraw/zinc/83/22/09/735832209.db2.gz GYCLGRBGNIYCHV-LBPRGKRZSA-N 1 2 307.419 1.759 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1cc(OC)ns1 ZINC001024445060 735832212 /nfs/dbraw/zinc/83/22/12/735832212.db2.gz GYCLGRBGNIYCHV-LBPRGKRZSA-N 1 2 307.419 1.759 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1ccnc(OC)n1 ZINC001024547597 735924042 /nfs/dbraw/zinc/92/40/42/735924042.db2.gz MRUOCEVNBIQCRM-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccnc(OC)n1 ZINC001024547597 735924045 /nfs/dbraw/zinc/92/40/45/735924045.db2.gz MRUOCEVNBIQCRM-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO COc1ccc(CN2CC[C@H]([NH+]3CCOCC3)C2)c(C#N)c1 ZINC001137703636 736113181 /nfs/dbraw/zinc/11/31/81/736113181.db2.gz RSXORJYUPYMNMP-INIZCTEOSA-N 1 2 301.390 1.473 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104538923 736205663 /nfs/dbraw/zinc/20/56/63/736205663.db2.gz XSJGNIGMMJBMBG-GFCCVEGCSA-N 1 2 314.393 1.426 20 30 DDEDLO C[C@H](CNc1[nH+]cnc2c1cnn2C)N(C)C(=O)C#CC1CC1 ZINC001104554961 736288601 /nfs/dbraw/zinc/28/86/01/736288601.db2.gz KWKSKQNYPDCEIU-LLVKDONJSA-N 1 2 312.377 1.036 20 30 DDEDLO C=CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC001025908783 737021736 /nfs/dbraw/zinc/02/17/36/737021736.db2.gz XVCNPOMXZNPJLH-BCEUIYSSSA-N 1 2 300.406 1.308 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC001025908783 737021741 /nfs/dbraw/zinc/02/17/41/737021741.db2.gz XVCNPOMXZNPJLH-BCEUIYSSSA-N 1 2 300.406 1.308 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)c3cccs3)C2)nn1 ZINC001098605435 737055873 /nfs/dbraw/zinc/05/58/73/737055873.db2.gz ZDFFHCOPJUWPCU-CYBMUJFWSA-N 1 2 315.402 1.150 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C2CCCCC2)n[nH]1 ZINC001038345554 737070264 /nfs/dbraw/zinc/07/02/64/737070264.db2.gz BDJIIXJXPBBUAR-AWEZNQCLSA-N 1 2 300.406 1.895 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C2CCCCC2)n[nH]1 ZINC001038345554 737070267 /nfs/dbraw/zinc/07/02/67/737070267.db2.gz BDJIIXJXPBBUAR-AWEZNQCLSA-N 1 2 300.406 1.895 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001104871163 737314371 /nfs/dbraw/zinc/31/43/71/737314371.db2.gz KWMWRDAXSFAMGG-KCPJHIHWSA-N 1 2 324.388 1.739 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001104871163 737314374 /nfs/dbraw/zinc/31/43/74/737314374.db2.gz KWMWRDAXSFAMGG-KCPJHIHWSA-N 1 2 324.388 1.739 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2cnnn2C)cc1 ZINC001028066562 738985147 /nfs/dbraw/zinc/98/51/47/738985147.db2.gz DRWHPWYAMJFNEE-INIZCTEOSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2cnnn2C)cc1 ZINC001028066562 738985149 /nfs/dbraw/zinc/98/51/49/738985149.db2.gz DRWHPWYAMJFNEE-INIZCTEOSA-N 1 2 323.400 1.191 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H](C)CC)C2)nn1 ZINC001098622586 737903685 /nfs/dbraw/zinc/90/36/85/737903685.db2.gz LUOGPPRFZIBHNV-DZGCQCFKSA-N 1 2 303.410 1.211 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+][C@H](C)c1nc(C)no1 ZINC001149129574 738379222 /nfs/dbraw/zinc/37/92/22/738379222.db2.gz FOTKHMICMLXZFW-GFCCVEGCSA-N 1 2 312.373 1.369 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001028237092 739209441 /nfs/dbraw/zinc/20/94/41/739209441.db2.gz PUSUJXRWJGBJLW-ZIAGYGMSSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001028237092 739209442 /nfs/dbraw/zinc/20/94/42/739209442.db2.gz PUSUJXRWJGBJLW-ZIAGYGMSSA-N 1 2 314.433 1.752 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(C3CC3)o2)C1 ZINC001035400367 751469908 /nfs/dbraw/zinc/46/99/08/751469908.db2.gz NJEAMNUQCKHSRZ-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnc(C3CC3)o2)C1 ZINC001035400367 751469909 /nfs/dbraw/zinc/46/99/09/751469909.db2.gz NJEAMNUQCKHSRZ-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C#CC[N@H+]1CC[C@H](CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001028589697 739708685 /nfs/dbraw/zinc/70/86/85/739708685.db2.gz RGHTYUPUGHAJAY-CYBMUJFWSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001028589697 739708686 /nfs/dbraw/zinc/70/86/86/739708686.db2.gz RGHTYUPUGHAJAY-CYBMUJFWSA-N 1 2 309.373 1.157 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn3c(n2)C[C@H](C)CC3)C1 ZINC001035419474 751478636 /nfs/dbraw/zinc/47/86/36/751478636.db2.gz GVXQNVDXNZYBBK-KGLIPLIRSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn3c(n2)C[C@H](C)CC3)C1 ZINC001035419474 751478641 /nfs/dbraw/zinc/47/86/41/751478641.db2.gz GVXQNVDXNZYBBK-KGLIPLIRSA-N 1 2 318.421 1.082 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001059103560 739964572 /nfs/dbraw/zinc/96/45/72/739964572.db2.gz LHUZXJNJNPRUAB-AWEZNQCLSA-N 1 2 310.361 1.322 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001059103560 739964575 /nfs/dbraw/zinc/96/45/75/739964575.db2.gz LHUZXJNJNPRUAB-AWEZNQCLSA-N 1 2 310.361 1.322 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114896944 751498480 /nfs/dbraw/zinc/49/84/80/751498480.db2.gz SUIDVCHLTSVFLU-FICVDOATSA-N 1 2 317.437 1.447 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114896944 751498487 /nfs/dbraw/zinc/49/84/87/751498487.db2.gz SUIDVCHLTSVFLU-FICVDOATSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001035454082 751501518 /nfs/dbraw/zinc/50/15/18/751501518.db2.gz QSXVJCIOJSEFLW-XHSDSOJGSA-N 1 2 308.422 1.195 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001035454082 751501521 /nfs/dbraw/zinc/50/15/21/751501521.db2.gz QSXVJCIOJSEFLW-XHSDSOJGSA-N 1 2 308.422 1.195 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098690432 740102661 /nfs/dbraw/zinc/10/26/61/740102661.db2.gz OENFAHUOXOQCSN-YOEHRIQHSA-N 1 2 318.421 1.539 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3ccc(C)cn32)C1 ZINC001035446908 751523992 /nfs/dbraw/zinc/52/39/92/751523992.db2.gz DRYRXYXQKRAARL-CQSZACIVSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc3ccc(C)cn32)C1 ZINC001035446908 751523997 /nfs/dbraw/zinc/52/39/97/751523997.db2.gz DRYRXYXQKRAARL-CQSZACIVSA-N 1 2 314.389 1.259 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cncc(CC)c2)C1 ZINC001035447735 751525163 /nfs/dbraw/zinc/52/51/63/751525163.db2.gz KANFRVCTZFXSQI-MRXNPFEDSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cncc(CC)c2)C1 ZINC001035447735 751525164 /nfs/dbraw/zinc/52/51/64/751525164.db2.gz KANFRVCTZFXSQI-MRXNPFEDSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(OC)ccn2)C1 ZINC001035467748 751552918 /nfs/dbraw/zinc/55/29/18/751552918.db2.gz QOLGOCISLUOKTB-AWEZNQCLSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(OC)ccn2)C1 ZINC001035467748 751552923 /nfs/dbraw/zinc/55/29/23/751552923.db2.gz QOLGOCISLUOKTB-AWEZNQCLSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CCNC(=O)CCn2cc[nH+]c2)C1 ZINC001059316418 740610384 /nfs/dbraw/zinc/61/03/84/740610384.db2.gz UQPMRBAJRZNURV-OAHLLOKOSA-N 1 2 318.421 1.594 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(F)c(F)c(F)c2)C1 ZINC001035516213 751572049 /nfs/dbraw/zinc/57/20/49/751572049.db2.gz AZQSKBUGJJWIRP-NSHDSACASA-N 1 2 314.307 1.721 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(F)c(F)c(F)c2)C1 ZINC001035516213 751572053 /nfs/dbraw/zinc/57/20/53/751572053.db2.gz AZQSKBUGJJWIRP-NSHDSACASA-N 1 2 314.307 1.721 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001035516228 751571719 /nfs/dbraw/zinc/57/17/19/751571719.db2.gz BFNBJAKMROFHBS-RBSFLKMASA-N 1 2 304.390 1.776 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001035516228 751571724 /nfs/dbraw/zinc/57/17/24/751571724.db2.gz BFNBJAKMROFHBS-RBSFLKMASA-N 1 2 304.390 1.776 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3[C@H](C)C(N)=O)CC1 ZINC001029453335 740826007 /nfs/dbraw/zinc/82/60/07/740826007.db2.gz RUDJSFIKEFAOST-YUELXQCFSA-N 1 2 305.422 1.282 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3[C@H](C)C(N)=O)CC1 ZINC001029453335 740826013 /nfs/dbraw/zinc/82/60/13/740826013.db2.gz RUDJSFIKEFAOST-YUELXQCFSA-N 1 2 305.422 1.282 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cncn2C)C1 ZINC001029466360 740871319 /nfs/dbraw/zinc/87/13/19/740871319.db2.gz NRCSNVJVJYQOHK-GASCZTMLSA-N 1 2 314.433 1.952 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cncn2C)C1 ZINC001029466360 740871321 /nfs/dbraw/zinc/87/13/21/740871321.db2.gz NRCSNVJVJYQOHK-GASCZTMLSA-N 1 2 314.433 1.952 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2c[nH+]cn2C)C1 ZINC001029466360 740871322 /nfs/dbraw/zinc/87/13/22/740871322.db2.gz NRCSNVJVJYQOHK-GASCZTMLSA-N 1 2 314.433 1.952 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001029801788 741318607 /nfs/dbraw/zinc/31/86/07/741318607.db2.gz RKVIYCSPFUBSPH-ZDUSSCGKSA-N 1 2 305.426 1.308 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001029801788 741318608 /nfs/dbraw/zinc/31/86/08/741318608.db2.gz RKVIYCSPFUBSPH-ZDUSSCGKSA-N 1 2 305.426 1.308 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2n[nH]c3c2CCCCC3)C1 ZINC001035598721 751656467 /nfs/dbraw/zinc/65/64/67/751656467.db2.gz AHWYUHDWAXQAHT-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2n[nH]c3c2CCCCC3)C1 ZINC001035598721 751656471 /nfs/dbraw/zinc/65/64/71/751656471.db2.gz AHWYUHDWAXQAHT-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccnc2)c1 ZINC001032617505 751658793 /nfs/dbraw/zinc/65/87/93/751658793.db2.gz FHXCZRZVBZZYIK-ROUUACIJSA-N 1 2 318.380 1.557 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccnc2)c1 ZINC001032617505 751658794 /nfs/dbraw/zinc/65/87/94/751658794.db2.gz FHXCZRZVBZZYIK-ROUUACIJSA-N 1 2 318.380 1.557 20 30 DDEDLO C#CCCCC(=O)NCC[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001059867227 741811834 /nfs/dbraw/zinc/81/18/34/741811834.db2.gz KVDKUMOCNITCCX-HNNXBMFYSA-N 1 2 316.405 1.041 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC1CC([NH2+]Cc2noc(CC)n2)C1 ZINC001101057675 742377134 /nfs/dbraw/zinc/37/71/34/742377134.db2.gz RUYDPXLANWHAPH-HIFPTAJRSA-N 1 2 322.409 1.208 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cncc(-c3ccccc3)c2)[C@@H](O)C1 ZINC001083506057 742412738 /nfs/dbraw/zinc/41/27/38/742412738.db2.gz NXVOTWYNKQSUBV-MSOLQXFVSA-N 1 2 321.380 1.157 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cncc(-c3ccccc3)c2)[C@@H](O)C1 ZINC001083506057 742412739 /nfs/dbraw/zinc/41/27/39/742412739.db2.gz NXVOTWYNKQSUBV-MSOLQXFVSA-N 1 2 321.380 1.157 20 30 DDEDLO CO[C@H](C)c1noc(C[NH2+]CCNC(=O)C#CC(C)(C)C)n1 ZINC001126893414 742440215 /nfs/dbraw/zinc/44/02/15/742440215.db2.gz MVQNOLKAQHMEDB-LLVKDONJSA-N 1 2 308.382 1.032 20 30 DDEDLO CC#CCN1CC[C@H](NC(=O)c2occc2C[NH+](C)C)[C@H]1C ZINC001088822840 742462417 /nfs/dbraw/zinc/46/24/17/742462417.db2.gz KATALPJZJGMDLL-HIFRSBDPSA-N 1 2 303.406 1.557 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)[C@@H](O)C1 ZINC001083539816 742506660 /nfs/dbraw/zinc/50/66/60/742506660.db2.gz UMTRGFICBIQIJS-LPWJVIDDSA-N 1 2 318.339 1.150 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)[C@@H](O)C1 ZINC001083539816 742506664 /nfs/dbraw/zinc/50/66/64/742506664.db2.gz UMTRGFICBIQIJS-LPWJVIDDSA-N 1 2 318.339 1.150 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cccnc3OC)[C@@H]2C1 ZINC001076201131 742598442 /nfs/dbraw/zinc/59/84/42/742598442.db2.gz JUHSXWYQWCIPCT-GXTWGEPZSA-N 1 2 321.808 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cccnc3OC)[C@@H]2C1 ZINC001076201131 742598446 /nfs/dbraw/zinc/59/84/46/742598446.db2.gz JUHSXWYQWCIPCT-GXTWGEPZSA-N 1 2 321.808 1.989 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)CCCn1cc[nH+]c1 ZINC001076303742 742648493 /nfs/dbraw/zinc/64/84/93/742648493.db2.gz HUQIPDFDGLDUHK-HNNXBMFYSA-N 1 2 318.421 1.478 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076319750 742663013 /nfs/dbraw/zinc/66/30/13/742663013.db2.gz HNFYYEXACZDDDH-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCCC1(C)C ZINC001121509904 782541032 /nfs/dbraw/zinc/54/10/32/782541032.db2.gz KAAAYTGDMFUIRV-QWHCGFSZSA-N 1 2 305.426 1.580 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCCC1(C)C ZINC001121509904 782541038 /nfs/dbraw/zinc/54/10/38/782541038.db2.gz KAAAYTGDMFUIRV-QWHCGFSZSA-N 1 2 305.426 1.580 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccco1)C2 ZINC001110239095 742918241 /nfs/dbraw/zinc/91/82/41/742918241.db2.gz OAACIHCXRLNUPJ-SYQHCUMBSA-N 1 2 317.389 1.305 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccco1)C2 ZINC001110239095 742918248 /nfs/dbraw/zinc/91/82/48/742918248.db2.gz OAACIHCXRLNUPJ-SYQHCUMBSA-N 1 2 317.389 1.305 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001076711851 742933590 /nfs/dbraw/zinc/93/35/90/742933590.db2.gz PKLMVIUCJDUQFP-KBPBESRZSA-N 1 2 318.421 1.259 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001076711851 742933593 /nfs/dbraw/zinc/93/35/93/742933593.db2.gz PKLMVIUCJDUQFP-KBPBESRZSA-N 1 2 318.421 1.259 20 30 DDEDLO Cc1cc(C[NH+]2CC3(C2)CCN(C(=O)[C@H](C)C#N)CC3)no1 ZINC001035672426 751778603 /nfs/dbraw/zinc/77/86/03/751778603.db2.gz HNVWVPCGZVSWHL-GFCCVEGCSA-N 1 2 302.378 1.567 20 30 DDEDLO COCCC1(NC(=O)[C@@H](C)C#N)CC[NH+](Cc2ccon2)CC1 ZINC001151021321 743113307 /nfs/dbraw/zinc/11/33/07/743113307.db2.gz PTCOSYRNPINFTD-ZDUSSCGKSA-N 1 2 320.393 1.322 20 30 DDEDLO Nc1cc(C=C([O-])NC(=[NH2+])c2ccc3cc(O)ccc3c2)cc[nH+]1 ZINC001181278949 743135159 /nfs/dbraw/zinc/13/51/59/743135159.db2.gz FIQNAJLJHFVSBW-UHFFFAOYSA-N 1 2 320.352 1.997 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)CCNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001077184816 743302281 /nfs/dbraw/zinc/30/22/81/743302281.db2.gz WFDTUVKAWAMAJF-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H](C)CCNC(=O)Cn2cc[nH+]c2)CC1 ZINC001077184908 743302783 /nfs/dbraw/zinc/30/27/83/743302783.db2.gz ZYRAFUNEUFHURQ-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001077221119 743331058 /nfs/dbraw/zinc/33/10/58/743331058.db2.gz BRZQQNSVZLBWBF-BRSBDYLESA-N 1 2 312.413 1.365 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001077221119 743331065 /nfs/dbraw/zinc/33/10/65/743331065.db2.gz BRZQQNSVZLBWBF-BRSBDYLESA-N 1 2 312.413 1.365 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001128242365 743367501 /nfs/dbraw/zinc/36/75/01/743367501.db2.gz OLFPHGWZWGDFMH-UHFFFAOYSA-N 1 2 302.325 1.815 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(CC)no2)C1 ZINC001182220763 743533731 /nfs/dbraw/zinc/53/37/31/743533731.db2.gz QMCUJYNWRKYPFY-CHWSQXEVSA-N 1 2 304.394 1.687 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001061187818 743560045 /nfs/dbraw/zinc/56/00/45/743560045.db2.gz BXCHBUTWIHYRRF-AWEZNQCLSA-N 1 2 324.388 1.726 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CCCCOC)CC2)C1 ZINC001105698759 743565683 /nfs/dbraw/zinc/56/56/83/743565683.db2.gz PVXRAKHCCSWYFV-UHFFFAOYSA-N 1 2 310.438 1.681 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001182422986 743612200 /nfs/dbraw/zinc/61/22/00/743612200.db2.gz LHRAFMXAPRIAPW-CYBMUJFWSA-N 1 2 302.378 1.297 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C[C@@]1(C)CNCC#N ZINC001182669242 743686723 /nfs/dbraw/zinc/68/67/23/743686723.db2.gz JPRPUTWQSLNVNE-VMUDFCTBSA-N 1 2 315.421 1.121 20 30 DDEDLO C=CCCC(=O)NCC[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060232626 743700865 /nfs/dbraw/zinc/70/08/65/743700865.db2.gz DBLMJDLJRALEJO-ZDUSSCGKSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCC[C@@H](C(=O)OCC)C2)CC1 ZINC001183008822 743763298 /nfs/dbraw/zinc/76/32/98/743763298.db2.gz NATCZLOFXXBKKE-LSDHHAIUSA-N 1 2 308.422 1.686 20 30 DDEDLO CN(C)c1ccccc1C[NH+]1CC(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001030190849 743923087 /nfs/dbraw/zinc/92/30/87/743923087.db2.gz CYZYMAZRWLKDFA-UHFFFAOYSA-N 1 2 323.400 1.567 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cn([C@@H]3CCOC3)nc2C)C1 ZINC001030311254 744042787 /nfs/dbraw/zinc/04/27/87/744042787.db2.gz MHVSLWHDFOYRMY-CQSZACIVSA-N 1 2 304.394 1.143 20 30 DDEDLO C[C@H]1CN(C(=O)c2cccc3[nH+]ccn32)CC[C@H]1CNCC#N ZINC001184800766 744103772 /nfs/dbraw/zinc/10/37/72/744103772.db2.gz YWDHKOJUWWXEIA-KBPBESRZSA-N 1 2 311.389 1.546 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](O)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001105986572 744156167 /nfs/dbraw/zinc/15/61/67/744156167.db2.gz KSQKCMHDLVKJPX-AWEZNQCLSA-N 1 2 318.421 1.908 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCCO[C@H]2c2cnn(C)c2)C1 ZINC001030463853 744178251 /nfs/dbraw/zinc/17/82/51/744178251.db2.gz XMWANGDVHUBBNJ-CVEARBPZSA-N 1 2 318.421 1.264 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C3CC=CC3)C2)nn1 ZINC001185907355 744306158 /nfs/dbraw/zinc/30/61/58/744306158.db2.gz KXYZFYQHJCCUKQ-INIZCTEOSA-N 1 2 313.405 1.131 20 30 DDEDLO C[C@@H](CC(=O)N1CCC2(CN(CC#N)C2)CC1)n1cc[nH+]c1 ZINC001035806856 751909434 /nfs/dbraw/zinc/90/94/34/751909434.db2.gz BNIANNHNYWGMDW-AWEZNQCLSA-N 1 2 301.394 1.282 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](Cc3ccc(CO)cc3)C2)cc1 ZINC001030776538 744609305 /nfs/dbraw/zinc/60/93/05/744609305.db2.gz OCADVZPHKLKILZ-UHFFFAOYSA-N 1 2 320.392 1.774 20 30 DDEDLO C[N@H+](Cc1nccs1)[C@H]1CCN(C(=O)CSCC#N)C1 ZINC001188958552 744798826 /nfs/dbraw/zinc/79/88/26/744798826.db2.gz HPUFMPDJWSCTJP-NSHDSACASA-N 1 2 310.448 1.433 20 30 DDEDLO C[N@@H+](Cc1nccs1)[C@H]1CCN(C(=O)CSCC#N)C1 ZINC001188958552 744798829 /nfs/dbraw/zinc/79/88/29/744798829.db2.gz HPUFMPDJWSCTJP-NSHDSACASA-N 1 2 310.448 1.433 20 30 DDEDLO C[N@H+](Cc1nccs1)[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001188958544 744798952 /nfs/dbraw/zinc/79/89/52/744798952.db2.gz HPUFMPDJWSCTJP-LLVKDONJSA-N 1 2 310.448 1.433 20 30 DDEDLO C[N@@H+](Cc1nccs1)[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001188958544 744798953 /nfs/dbraw/zinc/79/89/53/744798953.db2.gz HPUFMPDJWSCTJP-LLVKDONJSA-N 1 2 310.448 1.433 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)COCCC)C1 ZINC001189243328 744852154 /nfs/dbraw/zinc/85/21/54/744852154.db2.gz UVXQJKJKMGHQCE-LBPRGKRZSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)COCCC)C1 ZINC001189243328 744852157 /nfs/dbraw/zinc/85/21/57/744852157.db2.gz UVXQJKJKMGHQCE-LBPRGKRZSA-N 1 2 319.243 1.854 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([N@H+](C)Cc2noc3c2COCC3)C1 ZINC001189317658 744870874 /nfs/dbraw/zinc/87/08/74/744870874.db2.gz AGICKZIZMOFIRS-ZDUSSCGKSA-N 1 2 319.405 1.746 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([N@@H+](C)Cc2noc3c2COCC3)C1 ZINC001189317658 744870876 /nfs/dbraw/zinc/87/08/76/744870876.db2.gz AGICKZIZMOFIRS-ZDUSSCGKSA-N 1 2 319.405 1.746 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)cn2)C1 ZINC001189917507 745031392 /nfs/dbraw/zinc/03/13/92/745031392.db2.gz HKZOTXXQDFDHFF-WMLDXEAASA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)cn2)C1 ZINC001189917507 745031397 /nfs/dbraw/zinc/03/13/97/745031397.db2.gz HKZOTXXQDFDHFF-WMLDXEAASA-N 1 2 318.421 1.145 20 30 DDEDLO COC(=O)c1ccc(NCC2([NH+]3CCCC3)COC2)c(C#N)n1 ZINC001168451723 745113910 /nfs/dbraw/zinc/11/39/10/745113910.db2.gz SBBBWDPYGYWVTE-UHFFFAOYSA-N 1 2 316.361 1.017 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C2=COCCO2)[C@@H]1C ZINC000993008129 745365293 /nfs/dbraw/zinc/36/52/93/745365293.db2.gz PGAOGOCBHLUERW-NWDGAFQWSA-N 1 2 300.786 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C2=COCCO2)[C@@H]1C ZINC000993008129 745365297 /nfs/dbraw/zinc/36/52/97/745365297.db2.gz PGAOGOCBHLUERW-NWDGAFQWSA-N 1 2 300.786 1.596 20 30 DDEDLO Cc1nc(NCCOCCN(C)C(=O)C#CC(C)C)cc[nH+]1 ZINC001127297521 745406859 /nfs/dbraw/zinc/40/68/59/745406859.db2.gz MFTBAZODBGVBJJ-UHFFFAOYSA-N 1 2 304.394 1.331 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001191106376 745425129 /nfs/dbraw/zinc/42/51/29/745425129.db2.gz ZAAHOLGILNTSHB-HFBAOOFYSA-N 1 2 319.380 1.405 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001191106376 745425131 /nfs/dbraw/zinc/42/51/31/745425131.db2.gz ZAAHOLGILNTSHB-HFBAOOFYSA-N 1 2 319.380 1.405 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2nccnc2N)[C@@H]1C ZINC000993175345 745712106 /nfs/dbraw/zinc/71/21/06/745712106.db2.gz SGWOZXRQLYZOQR-WDEREUQCSA-N 1 2 309.801 1.394 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2nccnc2N)[C@@H]1C ZINC000993175345 745712111 /nfs/dbraw/zinc/71/21/11/745712111.db2.gz SGWOZXRQLYZOQR-WDEREUQCSA-N 1 2 309.801 1.394 20 30 DDEDLO CCOC[C@@H](C(=O)OC)[N@H+](C)CCCOc1cccc(C#N)c1 ZINC001192327001 745755063 /nfs/dbraw/zinc/75/50/63/745755063.db2.gz ZLMVNQAMYLSLFN-INIZCTEOSA-N 1 2 320.389 1.837 20 30 DDEDLO CCOC[C@@H](C(=O)OC)[N@@H+](C)CCCOc1cccc(C#N)c1 ZINC001192327001 745755067 /nfs/dbraw/zinc/75/50/67/745755067.db2.gz ZLMVNQAMYLSLFN-INIZCTEOSA-N 1 2 320.389 1.837 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2ccn(C)n2)[C@H]1C ZINC000993321662 745987418 /nfs/dbraw/zinc/98/74/18/745987418.db2.gz ZMGVLTHGQVXXHM-OCCSQVGLSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2ccn(C)n2)[C@H]1C ZINC000993321662 745987420 /nfs/dbraw/zinc/98/74/20/745987420.db2.gz ZMGVLTHGQVXXHM-OCCSQVGLSA-N 1 2 310.829 1.684 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CCCCC(C)(C)C)C1 ZINC001193201996 746011774 /nfs/dbraw/zinc/01/17/74/746011774.db2.gz SGWNQFZJWFLJJM-HZPDHXFCSA-N 1 2 324.465 1.404 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)CCCCC(C)(C)C)C1 ZINC001193201996 746011778 /nfs/dbraw/zinc/01/17/78/746011778.db2.gz SGWNQFZJWFLJJM-HZPDHXFCSA-N 1 2 324.465 1.404 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001193337663 746068115 /nfs/dbraw/zinc/06/81/15/746068115.db2.gz XTEIPFHOMOHPIL-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001193337663 746068122 /nfs/dbraw/zinc/06/81/22/746068122.db2.gz XTEIPFHOMOHPIL-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO N#Cc1cc(C(=O)N2CCN(c3[nH+]cccc3N)CC2)ccc1O ZINC001193503657 746128212 /nfs/dbraw/zinc/12/82/12/746128212.db2.gz CBMMMGIKUIPDMB-UHFFFAOYSA-N 1 2 323.356 1.203 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)C2CCC2)CC1 ZINC001194612206 746419006 /nfs/dbraw/zinc/41/90/06/746419006.db2.gz GTKDNVFDAMSFNI-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)C2CCC2)CC1 ZINC001194612206 746419007 /nfs/dbraw/zinc/41/90/07/746419007.db2.gz GTKDNVFDAMSFNI-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001007341709 752105071 /nfs/dbraw/zinc/10/50/71/752105071.db2.gz BALGGHQFSCTCNJ-VXGBXAGGSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001007341709 752105075 /nfs/dbraw/zinc/10/50/75/752105075.db2.gz BALGGHQFSCTCNJ-VXGBXAGGSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2sc(COC)nc2C)[C@@H]1C ZINC000994298036 746586921 /nfs/dbraw/zinc/58/69/21/746586921.db2.gz KHFAKFWSOITKJH-STQMWFEESA-N 1 2 321.446 1.814 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2sc(COC)nc2C)[C@@H]1C ZINC000994298036 746586922 /nfs/dbraw/zinc/58/69/22/746586922.db2.gz KHFAKFWSOITKJH-STQMWFEESA-N 1 2 321.446 1.814 20 30 DDEDLO CC(C)[C@@H](F)C(=O)N[C@@H]1C[N@H+](Cc2cccc(C#N)c2)C[C@H]1O ZINC001195422751 746609181 /nfs/dbraw/zinc/60/91/81/746609181.db2.gz KDGFRLFKHWUPBE-BZUAXINKSA-N 1 2 319.380 1.214 20 30 DDEDLO CC(C)[C@@H](F)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(C#N)c2)C[C@H]1O ZINC001195422751 746609185 /nfs/dbraw/zinc/60/91/85/746609185.db2.gz KDGFRLFKHWUPBE-BZUAXINKSA-N 1 2 319.380 1.214 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195554375 746630098 /nfs/dbraw/zinc/63/00/98/746630098.db2.gz GRJGBWJOMYVYHJ-FVQBIDKESA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195554375 746630101 /nfs/dbraw/zinc/63/01/01/746630101.db2.gz GRJGBWJOMYVYHJ-FVQBIDKESA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1O ZINC001195531722 746638698 /nfs/dbraw/zinc/63/86/98/746638698.db2.gz IHHWWDFTFSIWRL-FVQBIDKESA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1O ZINC001195531722 746638701 /nfs/dbraw/zinc/63/87/01/746638701.db2.gz IHHWWDFTFSIWRL-FVQBIDKESA-N 1 2 303.406 1.345 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2CC(C)(C)C2)CC1 ZINC001195702546 746681708 /nfs/dbraw/zinc/68/17/08/746681708.db2.gz FQOSHIWMKYWHEI-AWEZNQCLSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2CC(C)(C)C2)CC1 ZINC001195702546 746681710 /nfs/dbraw/zinc/68/17/10/746681710.db2.gz FQOSHIWMKYWHEI-AWEZNQCLSA-N 1 2 319.449 1.095 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCCN(C(=O)CCC)C2)C1 ZINC001031430077 746791612 /nfs/dbraw/zinc/79/16/12/746791612.db2.gz VDKRARQWIJWGKK-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)c3cccnc3)C2)ccc1F ZINC001031512069 746925083 /nfs/dbraw/zinc/92/50/83/746925083.db2.gz CHNVQYSJEGIDPA-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001196724642 746945283 /nfs/dbraw/zinc/94/52/83/746945283.db2.gz NCBRJMKEXYNSOF-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001196724642 746945284 /nfs/dbraw/zinc/94/52/84/746945284.db2.gz NCBRJMKEXYNSOF-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2(CC)CCC2)CC1 ZINC001196831786 746975508 /nfs/dbraw/zinc/97/55/08/746975508.db2.gz TWAJCYCYZYHFNI-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(CC)CCC2)CC1 ZINC001196831786 746975515 /nfs/dbraw/zinc/97/55/15/746975515.db2.gz TWAJCYCYZYHFNI-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](Cc2ccc(OC)nn2)CC1 ZINC001196984792 747024371 /nfs/dbraw/zinc/02/43/71/747024371.db2.gz PUNLTNZCYNEUCX-UHFFFAOYSA-N 1 2 318.421 1.876 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](Cc2ccc(OC)nn2)CC1 ZINC001196984792 747024376 /nfs/dbraw/zinc/02/43/76/747024376.db2.gz PUNLTNZCYNEUCX-UHFFFAOYSA-N 1 2 318.421 1.876 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@@H+]([C@@H](C)C(=O)NC)CC2)CC1 ZINC001197061651 747050385 /nfs/dbraw/zinc/05/03/85/747050385.db2.gz FBYSAGPZFVCQAT-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@H+]([C@@H](C)C(=O)NC)CC2)CC1 ZINC001197061651 747050391 /nfs/dbraw/zinc/05/03/91/747050391.db2.gz FBYSAGPZFVCQAT-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)N(C)CC)CC1 ZINC001197062622 747051436 /nfs/dbraw/zinc/05/14/36/747051436.db2.gz VGQVIIHAZRFMMB-QGZVFWFLSA-N 1 2 309.454 1.601 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)N(C)CC)CC1 ZINC001197062622 747051443 /nfs/dbraw/zinc/05/14/43/747051443.db2.gz VGQVIIHAZRFMMB-QGZVFWFLSA-N 1 2 309.454 1.601 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2ccc(Cl)[nH]2)CC1 ZINC001197076106 747057120 /nfs/dbraw/zinc/05/71/20/747057120.db2.gz BKDIXKGTFDTYRR-UHFFFAOYSA-N 1 2 324.812 1.118 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2ccc(Cl)[nH]2)CC1 ZINC001197076106 747057130 /nfs/dbraw/zinc/05/71/30/747057130.db2.gz BKDIXKGTFDTYRR-UHFFFAOYSA-N 1 2 324.812 1.118 20 30 DDEDLO C[C@H](C[C@H](C)Nc1ccc(C#N)nn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089491082 747088613 /nfs/dbraw/zinc/08/86/13/747088613.db2.gz XBAXYAZWFANMFT-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO Cc1ncoc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031598823 747135157 /nfs/dbraw/zinc/13/51/57/747135157.db2.gz QQCNASNZFAVTPK-UHFFFAOYSA-N 1 2 309.369 1.696 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)[C@@H](C)CC)CC1 ZINC001197586510 747213283 /nfs/dbraw/zinc/21/32/83/747213283.db2.gz IKKXZRLUPXBRRX-LSDHHAIUSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)[C@@H](C)CC)CC1 ZINC001197586510 747213284 /nfs/dbraw/zinc/21/32/84/747213284.db2.gz IKKXZRLUPXBRRX-LSDHHAIUSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC1(CNc2cc[nH+]c(C)n2)CC1 ZINC001089937363 752162322 /nfs/dbraw/zinc/16/23/22/752162322.db2.gz RKMRQKWUHFZBFL-INIZCTEOSA-N 1 2 318.421 1.811 20 30 DDEDLO CC(=O)N1CC[C@H](n2cc(C[NH2+]Cc3cccc(C#N)c3)nn2)C1 ZINC001089571359 747257865 /nfs/dbraw/zinc/25/78/65/747257865.db2.gz BSRZVGQTRMGEBI-KRWDZBQOSA-N 1 2 324.388 1.233 20 30 DDEDLO CCn1nccc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031632946 747260217 /nfs/dbraw/zinc/26/02/17/747260217.db2.gz KDWLNSBSZYOTCL-UHFFFAOYSA-N 1 2 322.412 1.616 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2ccns2)CC1 ZINC001198331035 747453774 /nfs/dbraw/zinc/45/37/74/747453774.db2.gz VDQCYFLVLXRMJO-UHFFFAOYSA-N 1 2 309.435 1.770 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2ccns2)CC1 ZINC001198331035 747453777 /nfs/dbraw/zinc/45/37/77/747453777.db2.gz VDQCYFLVLXRMJO-UHFFFAOYSA-N 1 2 309.435 1.770 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccn(CC3CC3)c(=O)c2)CC1 ZINC001198770025 747602949 /nfs/dbraw/zinc/60/29/49/747602949.db2.gz NLSPYJDGBHPCLG-UHFFFAOYSA-N 1 2 301.390 1.202 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC000998745048 752196347 /nfs/dbraw/zinc/19/63/47/752196347.db2.gz FQFSMCQVPDUUAD-JQWIXIFHSA-N 1 2 303.366 1.187 20 30 DDEDLO CN(C(=O)c1cocn1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001032951044 747752050 /nfs/dbraw/zinc/75/20/50/747752050.db2.gz NYCOSYZVAIGPFQ-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C(=O)c1cocn1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001032951044 747752051 /nfs/dbraw/zinc/75/20/51/747752051.db2.gz NYCOSYZVAIGPFQ-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(C(F)(F)F)no2)C1 ZINC001007560672 752209998 /nfs/dbraw/zinc/20/99/98/752209998.db2.gz KTZDFSWGOZZZRX-SNVBAGLBSA-N 1 2 315.295 1.911 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(C(F)(F)F)no2)C1 ZINC001007560672 752209999 /nfs/dbraw/zinc/20/99/99/752209999.db2.gz KTZDFSWGOZZZRX-SNVBAGLBSA-N 1 2 315.295 1.911 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+][C@@H](C)c1nc(C)no1 ZINC001127613208 747800674 /nfs/dbraw/zinc/80/06/74/747800674.db2.gz VERNEKIBVGGQAB-UHTWSYAYSA-N 1 2 308.382 1.126 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(CCc3ccccc3)CC2)C1 ZINC001199634021 747926174 /nfs/dbraw/zinc/92/61/74/747926174.db2.gz OQHJVILJRTVAHW-IAGOWNOFSA-N 1 2 312.413 1.194 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(CCc3ccccc3)CC2)C1 ZINC001199634021 747926177 /nfs/dbraw/zinc/92/61/77/747926177.db2.gz OQHJVILJRTVAHW-IAGOWNOFSA-N 1 2 312.413 1.194 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077573259 748185886 /nfs/dbraw/zinc/18/58/86/748185886.db2.gz FDXBSTGBSSFFCZ-YOEHRIQHSA-N 1 2 320.437 1.887 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc(C)n2)[C@@H](O)C1 ZINC001090091436 748208783 /nfs/dbraw/zinc/20/87/83/748208783.db2.gz LHMVEWUCBIUFCO-OCCSQVGLSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(C)n2)[C@@H](O)C1 ZINC001090091436 748208787 /nfs/dbraw/zinc/20/87/87/748208787.db2.gz LHMVEWUCBIUFCO-OCCSQVGLSA-N 1 2 309.797 1.308 20 30 DDEDLO CCn1cc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)cn1 ZINC001004392245 748389920 /nfs/dbraw/zinc/38/99/20/748389920.db2.gz YMWWSMUGEXWAOZ-INIZCTEOSA-N 1 2 315.421 1.743 20 30 DDEDLO CCn1cc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)cn1 ZINC001004392245 748389926 /nfs/dbraw/zinc/38/99/26/748389926.db2.gz YMWWSMUGEXWAOZ-INIZCTEOSA-N 1 2 315.421 1.743 20 30 DDEDLO CCn1nccc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004423183 748416939 /nfs/dbraw/zinc/41/69/39/748416939.db2.gz XLBBHYQFMDELQF-OAHLLOKOSA-N 1 2 315.421 1.743 20 30 DDEDLO CCn1nccc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004423183 748416944 /nfs/dbraw/zinc/41/69/44/748416944.db2.gz XLBBHYQFMDELQF-OAHLLOKOSA-N 1 2 315.421 1.743 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C)c(C#N)c2)[C@H](C)C1 ZINC001201315515 748475275 /nfs/dbraw/zinc/47/52/75/748475275.db2.gz CNTOFLZWBRJZAT-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C)c(C#N)c2)[C@H](C)C1 ZINC001201315515 748475278 /nfs/dbraw/zinc/47/52/78/748475278.db2.gz CNTOFLZWBRJZAT-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCc2c[nH+]cn2CCF)cc1C#N ZINC001201335727 748489166 /nfs/dbraw/zinc/48/91/66/748489166.db2.gz XTRGXYBGPZCFPG-UHFFFAOYSA-N 1 2 322.365 1.511 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCCc2[nH+]ccn2C)cc1C#N ZINC001201338414 748490018 /nfs/dbraw/zinc/49/00/18/748490018.db2.gz LORNRVCWXQZGLT-UHFFFAOYSA-N 1 2 304.375 1.121 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001014690240 748735147 /nfs/dbraw/zinc/73/51/47/748735147.db2.gz XEXGFRWQOUMHCE-TZMCWYRMSA-N 1 2 320.824 1.525 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001014690240 748735152 /nfs/dbraw/zinc/73/51/52/748735152.db2.gz XEXGFRWQOUMHCE-TZMCWYRMSA-N 1 2 320.824 1.525 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2Cc3ccccc3CO2)C1 ZINC001032161938 748753947 /nfs/dbraw/zinc/75/39/47/748753947.db2.gz MQZJBJRHHSIGKT-INIZCTEOSA-N 1 2 320.820 1.928 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+][C@H](C)c1csnn1)OCC ZINC001128539824 748764967 /nfs/dbraw/zinc/76/49/67/748764967.db2.gz LEWFULLMLFCYNF-DGCLKSJQSA-N 1 2 312.439 1.676 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC001108090302 748783874 /nfs/dbraw/zinc/78/38/74/748783874.db2.gz ULSVWVRMHJXVSO-VYDXJSESSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC001108090302 748783880 /nfs/dbraw/zinc/78/38/80/748783880.db2.gz ULSVWVRMHJXVSO-VYDXJSESSA-N 1 2 308.422 1.032 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C[C@H](C)COC)c2C1 ZINC001128549731 748809126 /nfs/dbraw/zinc/80/91/26/748809126.db2.gz SUXIIPBKYATYMO-AWEZNQCLSA-N 1 2 320.437 1.564 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C[C@H](C)COC)c2C1 ZINC001128549731 748809131 /nfs/dbraw/zinc/80/91/31/748809131.db2.gz SUXIIPBKYATYMO-AWEZNQCLSA-N 1 2 320.437 1.564 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccns1)C2 ZINC001110610909 748824102 /nfs/dbraw/zinc/82/41/02/748824102.db2.gz YOIVBMCRXIILAO-UPJWGTAASA-N 1 2 305.403 1.128 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccns1)C2 ZINC001110610909 748824105 /nfs/dbraw/zinc/82/41/05/748824105.db2.gz YOIVBMCRXIILAO-UPJWGTAASA-N 1 2 305.403 1.128 20 30 DDEDLO C#CCN(C(=O)[C@H]1C[C@@H]1C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110616203 748829567 /nfs/dbraw/zinc/82/95/67/748829567.db2.gz YUKREPDMYDPTSP-BBRMVZONSA-N 1 2 312.417 1.872 20 30 DDEDLO C=CCCC(=O)NC/C=C/CNc1ncnc2c1C[N@H+](C)CC2 ZINC001107077055 748944246 /nfs/dbraw/zinc/94/42/46/748944246.db2.gz OXCCXNRFLRYFTE-AATRIKPKSA-N 1 2 315.421 1.515 20 30 DDEDLO C=CCCC(=O)NC/C=C/CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001107077055 748944253 /nfs/dbraw/zinc/94/42/53/748944253.db2.gz OXCCXNRFLRYFTE-AATRIKPKSA-N 1 2 315.421 1.515 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2CN(C(=O)[C@@H](C)C#N)CC2(C)C)o1 ZINC000995577554 748955366 /nfs/dbraw/zinc/95/53/66/748955366.db2.gz CSZWOJQMKRIFFB-QWRGUYRKSA-N 1 2 305.382 1.118 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC[C@H]2CCCOC2)C1 ZINC001108312477 761907221 /nfs/dbraw/zinc/90/72/21/761907221.db2.gz KBZFKULIOASEOT-SJLPKXTDSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC[C@H]2CCCOC2)C1 ZINC001108312477 761907224 /nfs/dbraw/zinc/90/72/24/761907224.db2.gz KBZFKULIOASEOT-SJLPKXTDSA-N 1 2 322.449 1.424 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001056872045 761907313 /nfs/dbraw/zinc/90/73/13/761907313.db2.gz VUTBHRXHPWJBHD-IINYFYTJSA-N 1 2 301.394 1.599 20 30 DDEDLO CC#CCN1CC[C@H](N(C)C(=O)c2ccn(-c3cc[nH+]cc3)n2)C1 ZINC001033254740 749065721 /nfs/dbraw/zinc/06/57/21/749065721.db2.gz GDUCOWDBPMPJSS-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO N#CCNC1C[C@H]2CCC[C@@H](C1)N2C(=O)CCn1cc[nH+]c1 ZINC001039296197 761916587 /nfs/dbraw/zinc/91/65/87/761916587.db2.gz NGHZUMMUXSWAAL-GOOCMWNKSA-N 1 2 301.394 1.298 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001033299511 749152637 /nfs/dbraw/zinc/15/26/37/749152637.db2.gz RVNMVRTTYDFPAH-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001033299511 749152642 /nfs/dbraw/zinc/15/26/42/749152642.db2.gz RVNMVRTTYDFPAH-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C(CC)CC)nn2)C1 ZINC001107129771 749191062 /nfs/dbraw/zinc/19/10/62/749191062.db2.gz ONVLHCHNGNFCFZ-UHFFFAOYSA-N 1 2 305.426 1.763 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncoc2C(C)(C)C)C1 ZINC001108333904 761928750 /nfs/dbraw/zinc/92/87/50/761928750.db2.gz WPXCYHOKTYAALZ-QGZVFWFLSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncoc2C(C)(C)C)C1 ZINC001108333904 761928754 /nfs/dbraw/zinc/92/87/54/761928754.db2.gz WPXCYHOKTYAALZ-QGZVFWFLSA-N 1 2 321.421 1.979 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114472893 749256551 /nfs/dbraw/zinc/25/65/51/749256551.db2.gz OOYLCNGPFYRMQN-AWEZNQCLSA-N 1 2 316.405 1.156 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(N(C)C(=O)c2cnsn2)CC1 ZINC001005152208 749359276 /nfs/dbraw/zinc/35/92/76/749359276.db2.gz XMVNVVVFCLPNCK-UHFFFAOYSA-N 1 2 300.815 1.827 20 30 DDEDLO CN(C(=O)c1cnn(C)n1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033501585 749423001 /nfs/dbraw/zinc/42/30/01/749423001.db2.gz HKYZBSOXNBXIGD-OAHLLOKOSA-N 1 2 324.388 1.033 20 30 DDEDLO CN(C(=O)c1cnn(C)n1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033501585 749423004 /nfs/dbraw/zinc/42/30/04/749423004.db2.gz HKYZBSOXNBXIGD-OAHLLOKOSA-N 1 2 324.388 1.033 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CC[C@@H](C)OC)nn2)C1 ZINC001107162962 749460009 /nfs/dbraw/zinc/46/00/09/749460009.db2.gz WHXLWVIGRIIINN-CYBMUJFWSA-N 1 2 321.425 1.142 20 30 DDEDLO CN(C(=O)c1nc[nH]n1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033519845 749464276 /nfs/dbraw/zinc/46/42/76/749464276.db2.gz XARYDWZPLUIQAN-AWEZNQCLSA-N 1 2 310.361 1.023 20 30 DDEDLO CN(C(=O)c1nc[nH]n1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033519845 749464279 /nfs/dbraw/zinc/46/42/79/749464279.db2.gz XARYDWZPLUIQAN-AWEZNQCLSA-N 1 2 310.361 1.023 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cc(C)ncn2)CC1 ZINC001000580626 761958963 /nfs/dbraw/zinc/95/89/63/761958963.db2.gz OQMFOBUKIHRYOR-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cc(C)ncn2)CC1 ZINC001000580626 761958967 /nfs/dbraw/zinc/95/89/67/761958967.db2.gz OQMFOBUKIHRYOR-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CC(C)(F)F)nn2)C1 ZINC001107207879 749593142 /nfs/dbraw/zinc/59/31/42/749593142.db2.gz HOJHZNVWRQWRMQ-UHFFFAOYSA-N 1 2 313.352 1.372 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095359771 749598212 /nfs/dbraw/zinc/59/82/12/749598212.db2.gz SCDMKNPGRCTBLD-ZDUSSCGKSA-N 1 2 318.421 1.709 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cccc(C(N)=O)n2)C1 ZINC001033694293 749723087 /nfs/dbraw/zinc/72/30/87/749723087.db2.gz NCBSJLICIRXJML-NSHDSACASA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cccc(C(N)=O)n2)C1 ZINC001033694293 749723090 /nfs/dbraw/zinc/72/30/90/749723090.db2.gz NCBSJLICIRXJML-NSHDSACASA-N 1 2 322.796 1.079 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)Cn3cc[nH+]c3)CC2)nc1 ZINC001066753619 749936307 /nfs/dbraw/zinc/93/63/07/749936307.db2.gz JWOGAXHKJPPCJN-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO CC#CC[NH+]1CC[C@@H](N(CC)C(=O)[C@@H]2C[N@@H+](C(C)C)CCO2)C1 ZINC001033932930 750155216 /nfs/dbraw/zinc/15/52/16/750155216.db2.gz UQXDUTPPFVUBKV-SJORKVTESA-N 1 2 321.465 1.042 20 30 DDEDLO CC#CC[NH+]1CC[C@@H](N(CC)C(=O)[C@@H]2C[N@H+](C(C)C)CCO2)C1 ZINC001033932930 750155218 /nfs/dbraw/zinc/15/52/18/750155218.db2.gz UQXDUTPPFVUBKV-SJORKVTESA-N 1 2 321.465 1.042 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CN(C(C)C)CCO2)C1 ZINC001033932930 750155225 /nfs/dbraw/zinc/15/52/25/750155225.db2.gz UQXDUTPPFVUBKV-SJORKVTESA-N 1 2 321.465 1.042 20 30 DDEDLO Cc1nc(NC2(CNC(=O)C#CC(C)C)CCOCC2)cc[nH+]1 ZINC001110987171 750284184 /nfs/dbraw/zinc/28/41/84/750284184.db2.gz RUTYUMZEGYPSQC-UHFFFAOYSA-N 1 2 316.405 1.522 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(OC)cs2)C1 ZINC001108112320 750325747 /nfs/dbraw/zinc/32/57/47/750325747.db2.gz PMKYCQSRABJKPS-HNNXBMFYSA-N 1 2 310.419 1.763 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(OC)cs2)C1 ZINC001108112320 750325751 /nfs/dbraw/zinc/32/57/51/750325751.db2.gz PMKYCQSRABJKPS-HNNXBMFYSA-N 1 2 310.419 1.763 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)NC(C)=O)C2 ZINC001111050852 750334106 /nfs/dbraw/zinc/33/41/06/750334106.db2.gz WDCMYBBVTGFEQQ-SYQHCUMBSA-N 1 2 313.829 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)NC(C)=O)C2 ZINC001111050852 750334114 /nfs/dbraw/zinc/33/41/14/750334114.db2.gz WDCMYBBVTGFEQQ-SYQHCUMBSA-N 1 2 313.829 1.375 20 30 DDEDLO C[C@]1(CNC(=O)C2CC2)C[N@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107675336 750356041 /nfs/dbraw/zinc/35/60/41/750356041.db2.gz KUPXBTBHCQBRAW-SFHVURJKSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@]1(CNC(=O)C2CC2)C[N@@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107675336 750356047 /nfs/dbraw/zinc/35/60/47/750356047.db2.gz KUPXBTBHCQBRAW-SFHVURJKSA-N 1 2 313.401 1.675 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H]2CCCCO2)C1 ZINC001107860596 750468947 /nfs/dbraw/zinc/46/89/47/750468947.db2.gz SJABDZYUTPMILS-NVXWUHKLSA-N 1 2 308.422 1.176 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H]2CCCCO2)C1 ZINC001107860596 750468952 /nfs/dbraw/zinc/46/89/52/750468952.db2.gz SJABDZYUTPMILS-NVXWUHKLSA-N 1 2 308.422 1.176 20 30 DDEDLO Cc1ncsc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C#CC1CC1 ZINC001032398288 750564488 /nfs/dbraw/zinc/56/44/88/750564488.db2.gz YRMWBWSUIOWVPO-KBPBESRZSA-N 1 2 301.415 1.650 20 30 DDEDLO Cc1ncsc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C#CC1CC1 ZINC001032398288 750564491 /nfs/dbraw/zinc/56/44/91/750564491.db2.gz YRMWBWSUIOWVPO-KBPBESRZSA-N 1 2 301.415 1.650 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108137485 750664463 /nfs/dbraw/zinc/66/44/63/750664463.db2.gz VOUPCSWJOXADKG-OLZOCXBDSA-N 1 2 312.377 1.718 20 30 DDEDLO C[C@@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C1CCN(CC#N)CC1 ZINC000997185368 750678902 /nfs/dbraw/zinc/67/89/02/750678902.db2.gz GCNCMVPLWZNYEB-HIFRSBDPSA-N 1 2 315.421 1.186 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C(C)(C)C)c1C ZINC001032431576 750817357 /nfs/dbraw/zinc/81/73/57/750817357.db2.gz AJTYORJDIWTZHS-GJZGRUSLSA-N 1 2 314.433 1.869 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C(C)(C)C)c1C ZINC001032431576 750817364 /nfs/dbraw/zinc/81/73/64/750817364.db2.gz AJTYORJDIWTZHS-GJZGRUSLSA-N 1 2 314.433 1.869 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]cnc1C(F)(F)F ZINC001032448321 750861559 /nfs/dbraw/zinc/86/15/59/750861559.db2.gz PDERIDFOKNRSHX-IUCAKERBSA-N 1 2 300.284 1.513 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]cnc1C(F)(F)F ZINC001032448321 750861568 /nfs/dbraw/zinc/86/15/68/750861568.db2.gz PDERIDFOKNRSHX-IUCAKERBSA-N 1 2 300.284 1.513 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc[nH]c1C(F)(F)F ZINC001032448321 750861575 /nfs/dbraw/zinc/86/15/75/750861575.db2.gz PDERIDFOKNRSHX-IUCAKERBSA-N 1 2 300.284 1.513 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc[nH]c1C(F)(F)F ZINC001032448321 750861584 /nfs/dbraw/zinc/86/15/84/750861584.db2.gz PDERIDFOKNRSHX-IUCAKERBSA-N 1 2 300.284 1.513 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(C(F)F)CCC2)C1 ZINC001108158786 750877893 /nfs/dbraw/zinc/87/78/93/750877893.db2.gz KTUDTKSHDMVBLW-HNNXBMFYSA-N 1 2 314.376 1.652 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(C(F)F)CCC2)C1 ZINC001108158786 750877897 /nfs/dbraw/zinc/87/78/97/750877897.db2.gz KTUDTKSHDMVBLW-HNNXBMFYSA-N 1 2 314.376 1.652 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001108159439 750884726 /nfs/dbraw/zinc/88/47/26/750884726.db2.gz ZMGOCXRJDDBHKG-QGZVFWFLSA-N 1 2 319.405 1.113 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001108159439 750884733 /nfs/dbraw/zinc/88/47/33/750884733.db2.gz ZMGOCXRJDDBHKG-QGZVFWFLSA-N 1 2 319.405 1.113 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)CC1CCC1)CC2 ZINC001127971654 750935878 /nfs/dbraw/zinc/93/58/78/750935878.db2.gz NBOWQSZXOLUEAL-AWEZNQCLSA-N 1 2 315.421 1.012 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114728512 751034072 /nfs/dbraw/zinc/03/40/72/751034072.db2.gz HFRYXARAYQCSGW-MUYACECFSA-N 1 2 306.435 1.687 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114728512 751034079 /nfs/dbraw/zinc/03/40/79/751034079.db2.gz HFRYXARAYQCSGW-MUYACECFSA-N 1 2 306.435 1.687 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(F)cccc2OC)C1 ZINC001107962566 751059477 /nfs/dbraw/zinc/05/94/77/751059477.db2.gz JHRCTADYJUUGIE-QGZVFWFLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(F)cccc2OC)C1 ZINC001107962566 751059481 /nfs/dbraw/zinc/05/94/81/751059481.db2.gz JHRCTADYJUUGIE-QGZVFWFLSA-N 1 2 322.380 1.841 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001032507260 751114072 /nfs/dbraw/zinc/11/40/72/751114072.db2.gz VJXWLMJHTMKUAB-DZKIICNBSA-N 1 2 316.788 1.562 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001032507260 751114075 /nfs/dbraw/zinc/11/40/75/751114075.db2.gz VJXWLMJHTMKUAB-DZKIICNBSA-N 1 2 316.788 1.562 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1[nH+]ccn1C ZINC001032533640 751214394 /nfs/dbraw/zinc/21/43/94/751214394.db2.gz GKRBJDSAOZPUJG-STQMWFEESA-N 1 2 308.813 1.390 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cc(F)c(C)cc1F ZINC001032538515 751224249 /nfs/dbraw/zinc/22/42/49/751224249.db2.gz SFBKRVHIALDXJD-KBPBESRZSA-N 1 2 304.340 1.734 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cc(F)c(C)cc1F ZINC001032538515 751224254 /nfs/dbraw/zinc/22/42/54/751224254.db2.gz SFBKRVHIALDXJD-KBPBESRZSA-N 1 2 304.340 1.734 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H]1CCCNC1=O ZINC001032557752 751299231 /nfs/dbraw/zinc/29/92/31/751299231.db2.gz QUTPESVYGBUIDC-IHRRRGAJSA-N 1 2 305.422 1.152 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H]1CCCNC1=O ZINC001032557752 751299233 /nfs/dbraw/zinc/29/92/33/751299233.db2.gz QUTPESVYGBUIDC-IHRRRGAJSA-N 1 2 305.422 1.152 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001007896486 752422642 /nfs/dbraw/zinc/42/26/42/752422642.db2.gz OKOJYRXWTSRGPD-SNVBAGLBSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001007896486 752422649 /nfs/dbraw/zinc/42/26/49/752422649.db2.gz OKOJYRXWTSRGPD-SNVBAGLBSA-N 1 2 320.784 1.300 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001008124333 752564646 /nfs/dbraw/zinc/56/46/46/752564646.db2.gz TZWAMVSZQIZDRP-QGZVFWFLSA-N 1 2 322.412 1.964 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001008124333 752564651 /nfs/dbraw/zinc/56/46/51/752564651.db2.gz TZWAMVSZQIZDRP-QGZVFWFLSA-N 1 2 322.412 1.964 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC000999130321 752565045 /nfs/dbraw/zinc/56/50/45/752565045.db2.gz VXQRLKGIKJCZHO-CYBMUJFWSA-N 1 2 302.378 1.126 20 30 DDEDLO C[C@@H](CNc1nc(C#N)c(Cl)s1)NC(=O)Cn1cc[nH+]c1 ZINC001108431104 762151232 /nfs/dbraw/zinc/15/12/32/762151232.db2.gz VNZKXEAVISLBLY-QMMMGPOBSA-N 1 2 324.797 1.481 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H](N(C)c3cc[nH+]c(C)n3)C2)nc1 ZINC001056944175 762152092 /nfs/dbraw/zinc/15/20/92/762152092.db2.gz OYMYPDJPGGJAEL-OAHLLOKOSA-N 1 2 321.384 1.512 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)OCCC(C)C)C1 ZINC001108432683 762182345 /nfs/dbraw/zinc/18/23/45/762182345.db2.gz NTRXTVFKLRYLCL-WBVHZDCISA-N 1 2 312.454 1.831 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)OCCC(C)C)C1 ZINC001108432683 762182347 /nfs/dbraw/zinc/18/23/47/762182347.db2.gz NTRXTVFKLRYLCL-WBVHZDCISA-N 1 2 312.454 1.831 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn3c(n2)CC[C@H](C)C3)C1 ZINC001043077949 753070568 /nfs/dbraw/zinc/07/05/68/753070568.db2.gz ANUDUUPVRRKYBX-ZDUSSCGKSA-N 1 2 302.422 1.798 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(N(C)C)ccn2)C1 ZINC001108437988 762184163 /nfs/dbraw/zinc/18/41/63/762184163.db2.gz RXXPYTAYIZISLQ-KRWDZBQOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(N(C)C)ccn2)C1 ZINC001108437988 762184168 /nfs/dbraw/zinc/18/41/68/762184168.db2.gz RXXPYTAYIZISLQ-KRWDZBQOSA-N 1 2 318.421 1.154 20 30 DDEDLO N#Cc1cccnc1N1C[C@@H]2C[C@H]1CN2C(=O)CCc1c[nH]c[nH+]1 ZINC001062602549 753156382 /nfs/dbraw/zinc/15/63/82/753156382.db2.gz COXCBIRVDSNHHH-GJZGRUSLSA-N 1 2 322.372 1.099 20 30 DDEDLO N#Cc1cccnc1N1C[C@@H]2C[C@H]1CN2C(=O)CCc1c[nH+]c[nH]1 ZINC001062602549 753156389 /nfs/dbraw/zinc/15/63/89/753156389.db2.gz COXCBIRVDSNHHH-GJZGRUSLSA-N 1 2 322.372 1.099 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2COc3ccccc32)C1 ZINC001108441343 762194609 /nfs/dbraw/zinc/19/46/09/762194609.db2.gz MRCSEHCLFCKSDI-CRAIPNDOSA-N 1 2 316.401 1.556 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2COc3ccccc32)C1 ZINC001108441343 762194610 /nfs/dbraw/zinc/19/46/10/762194610.db2.gz MRCSEHCLFCKSDI-CRAIPNDOSA-N 1 2 316.401 1.556 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-n2cccc2)ccn1 ZINC001032721474 753269111 /nfs/dbraw/zinc/26/91/11/753269111.db2.gz HTMFLCSJLDUGKJ-IRXDYDNUSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-n2cccc2)ccn1 ZINC001032721474 753269117 /nfs/dbraw/zinc/26/91/17/753269117.db2.gz HTMFLCSJLDUGKJ-IRXDYDNUSA-N 1 2 320.396 1.794 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccco3)s2)C1 ZINC001077921916 753424103 /nfs/dbraw/zinc/42/41/03/753424103.db2.gz VSPCRYCYHNEGNI-VXGBXAGGSA-N 1 2 318.398 1.969 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccco3)s2)C1 ZINC001077921916 753424107 /nfs/dbraw/zinc/42/41/07/753424107.db2.gz VSPCRYCYHNEGNI-VXGBXAGGSA-N 1 2 318.398 1.969 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1ccncc1 ZINC001009897896 753444026 /nfs/dbraw/zinc/44/40/26/753444026.db2.gz AJLSHZVVUAHMAC-SFHVURJKSA-N 1 2 305.381 1.937 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1ccncc1 ZINC001009897896 753444029 /nfs/dbraw/zinc/44/40/29/753444029.db2.gz AJLSHZVVUAHMAC-SFHVURJKSA-N 1 2 305.381 1.937 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1csnn1 ZINC001049626358 753471938 /nfs/dbraw/zinc/47/19/38/753471938.db2.gz KQUHGLKNGIPKDS-VXGBXAGGSA-N 1 2 312.826 1.970 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1csnn1 ZINC001049626358 753471941 /nfs/dbraw/zinc/47/19/41/753471941.db2.gz KQUHGLKNGIPKDS-VXGBXAGGSA-N 1 2 312.826 1.970 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001108003098 753485087 /nfs/dbraw/zinc/48/50/87/753485087.db2.gz YCFIAKRIYODHCI-OCCSQVGLSA-N 1 2 324.388 1.329 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Oc1ccc(F)cc1 ZINC001032774782 753587751 /nfs/dbraw/zinc/58/77/51/753587751.db2.gz RTOLAPFKVDRSTB-SNPRPXQTSA-N 1 2 302.349 1.511 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Oc1ccc(F)cc1 ZINC001032774782 753587756 /nfs/dbraw/zinc/58/77/56/753587756.db2.gz RTOLAPFKVDRSTB-SNPRPXQTSA-N 1 2 302.349 1.511 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(=O)Nc1ccc(C)cc1 ZINC001032777546 753600692 /nfs/dbraw/zinc/60/06/92/753600692.db2.gz HRPHKDQETNAXHI-HOTGVXAUSA-N 1 2 311.385 1.242 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(=O)Nc1ccc(C)cc1 ZINC001032777546 753600696 /nfs/dbraw/zinc/60/06/96/753600696.db2.gz HRPHKDQETNAXHI-HOTGVXAUSA-N 1 2 311.385 1.242 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)cn1 ZINC001010112102 753620543 /nfs/dbraw/zinc/62/05/43/753620543.db2.gz BLZGUUKOESDZCC-KRWDZBQOSA-N 1 2 308.385 1.276 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)cn1 ZINC001010112102 753620547 /nfs/dbraw/zinc/62/05/47/753620547.db2.gz BLZGUUKOESDZCC-KRWDZBQOSA-N 1 2 308.385 1.276 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2cc[nH]c2)[C@H](O)C1 ZINC001090286983 753639249 /nfs/dbraw/zinc/63/92/49/753639249.db2.gz RNNFTBIRVRIISM-DLBZAZTESA-N 1 2 324.384 1.252 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2cc[nH]c2)[C@H](O)C1 ZINC001090286983 753639251 /nfs/dbraw/zinc/63/92/51/753639251.db2.gz RNNFTBIRVRIISM-DLBZAZTESA-N 1 2 324.384 1.252 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(C)csc2Cl)C1 ZINC001078080128 753718692 /nfs/dbraw/zinc/71/86/92/753718692.db2.gz YFWTUGFUWQYEBL-GHMZBOCLSA-N 1 2 312.822 1.508 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(C)csc2Cl)C1 ZINC001078080128 753718688 /nfs/dbraw/zinc/71/86/88/753718688.db2.gz YFWTUGFUWQYEBL-GHMZBOCLSA-N 1 2 312.822 1.508 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CCC)C(C)C)[C@@H](n2ccnn2)C1 ZINC001129288406 753749804 /nfs/dbraw/zinc/74/98/04/753749804.db2.gz AESOHUVURIFFQV-OAGGEKHMSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CCC)C(C)C)[C@@H](n2ccnn2)C1 ZINC001129288406 753749807 /nfs/dbraw/zinc/74/98/07/753749807.db2.gz AESOHUVURIFFQV-OAGGEKHMSA-N 1 2 317.437 1.325 20 30 DDEDLO Cc1ocnc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010348482 753792788 /nfs/dbraw/zinc/79/27/88/753792788.db2.gz UIQOACZXRAIYPX-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1ocnc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010348482 753792794 /nfs/dbraw/zinc/79/27/94/753792794.db2.gz UIQOACZXRAIYPX-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cn1nccc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001010362550 753810769 /nfs/dbraw/zinc/81/07/69/753810769.db2.gz SYPLRCHSRDSCIA-MRXNPFEDSA-N 1 2 308.385 1.276 20 30 DDEDLO Cn1nccc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001010362550 753810773 /nfs/dbraw/zinc/81/07/73/753810773.db2.gz SYPLRCHSRDSCIA-MRXNPFEDSA-N 1 2 308.385 1.276 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001062959863 753869997 /nfs/dbraw/zinc/86/99/97/753869997.db2.gz JSWSDELUARGEEM-CQSZACIVSA-N 1 2 324.388 1.265 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCO[C@@H](C[NH2+]Cc2nc(C)no2)C1 ZINC001078441577 754089268 /nfs/dbraw/zinc/08/92/68/754089268.db2.gz YKOYXFYRSRVUKZ-ZDUSSCGKSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nnc2CC)C1 ZINC001108035715 754196606 /nfs/dbraw/zinc/19/66/06/754196606.db2.gz IQFKQRGQRRLARZ-KRWDZBQOSA-N 1 2 318.421 1.354 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nnc2CC)C1 ZINC001108035715 754196609 /nfs/dbraw/zinc/19/66/09/754196609.db2.gz IQFKQRGQRRLARZ-KRWDZBQOSA-N 1 2 318.421 1.354 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CCC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001064112113 754520539 /nfs/dbraw/zinc/52/05/39/754520539.db2.gz GRLIQENEKPTLHK-IUODEOHRSA-N 1 2 324.388 1.038 20 30 DDEDLO C=CCCCC(=O)NCC1(NC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001064274029 754595965 /nfs/dbraw/zinc/59/59/65/754595965.db2.gz INCWUWKTBKUYKA-UHFFFAOYSA-N 1 2 318.421 1.772 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccns2)[C@H](O)C1 ZINC001090304544 754811773 /nfs/dbraw/zinc/81/17/73/754811773.db2.gz UIJKTDDFFZVPHP-VHSXEESVSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccns2)[C@H](O)C1 ZINC001090304544 754811776 /nfs/dbraw/zinc/81/17/76/754811776.db2.gz UIJKTDDFFZVPHP-VHSXEESVSA-N 1 2 301.799 1.061 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064785720 754847824 /nfs/dbraw/zinc/84/78/24/754847824.db2.gz RBDHQVZUMRMMOA-KGLIPLIRSA-N 1 2 324.388 1.641 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C23CCC(CC2)C3)[C@H](O)C1 ZINC001090363425 755083022 /nfs/dbraw/zinc/08/30/22/755083022.db2.gz OBBKIYHRTMPGQN-MYMJJRTCSA-N 1 2 312.841 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C23CCC(CC2)C3)[C@H](O)C1 ZINC001090363425 755083028 /nfs/dbraw/zinc/08/30/28/755083028.db2.gz OBBKIYHRTMPGQN-MYMJJRTCSA-N 1 2 312.841 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2ccc(C(N)=O)o2)CC1 ZINC001000934504 762378431 /nfs/dbraw/zinc/37/84/31/762378431.db2.gz GZAYTWQNXUZMSU-UHFFFAOYSA-N 1 2 323.780 1.493 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2ccc(C(N)=O)o2)CC1 ZINC001000934504 762378435 /nfs/dbraw/zinc/37/84/35/762378435.db2.gz GZAYTWQNXUZMSU-UHFFFAOYSA-N 1 2 323.780 1.493 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001079589661 755473162 /nfs/dbraw/zinc/47/31/62/755473162.db2.gz KRLRKHPVSJTBOL-QMTHXVAHSA-N 1 2 313.361 1.433 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001079589661 755473163 /nfs/dbraw/zinc/47/31/63/755473163.db2.gz KRLRKHPVSJTBOL-QMTHXVAHSA-N 1 2 313.361 1.433 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001040121116 762395670 /nfs/dbraw/zinc/39/56/70/762395670.db2.gz PUMLHDJHMHGYDM-MLGOLLRUSA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001040121116 762395675 /nfs/dbraw/zinc/39/56/75/762395675.db2.gz PUMLHDJHMHGYDM-MLGOLLRUSA-N 1 2 317.393 1.352 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001079700588 755536371 /nfs/dbraw/zinc/53/63/71/755536371.db2.gz FCAZMSJGSKDEMY-BPLDGKMQSA-N 1 2 300.406 1.289 20 30 DDEDLO C=CCC(C)(C)C(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001079787117 755573941 /nfs/dbraw/zinc/57/39/41/755573941.db2.gz GMBZZVQMCOZNPI-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001080308742 755818172 /nfs/dbraw/zinc/81/81/72/755818172.db2.gz OQJZPGYNHUXTQV-AWEZNQCLSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2C[N@@H+](CC(=C)Cl)C[C@H]2C)nn1 ZINC001080584922 755991051 /nfs/dbraw/zinc/99/10/51/755991051.db2.gz XSPDWANFPPFBEH-DGCLKSJQSA-N 1 2 323.828 1.657 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2C[N@H+](CC(=C)Cl)C[C@H]2C)nn1 ZINC001080584922 755991052 /nfs/dbraw/zinc/99/10/52/755991052.db2.gz XSPDWANFPPFBEH-DGCLKSJQSA-N 1 2 323.828 1.657 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@@H]2C)ccc1C#N ZINC001067113402 756333066 /nfs/dbraw/zinc/33/30/66/756333066.db2.gz MJNZLHWFXMLFAU-WFASDCNBSA-N 1 2 324.388 1.417 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnnn3CC)C2)cc1 ZINC001015481894 756333283 /nfs/dbraw/zinc/33/32/83/756333283.db2.gz JLTVITQVLYZMRN-MRXNPFEDSA-N 1 2 323.400 1.284 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cnnn3CC)C2)cc1 ZINC001015481894 756333290 /nfs/dbraw/zinc/33/32/90/756333290.db2.gz JLTVITQVLYZMRN-MRXNPFEDSA-N 1 2 323.400 1.284 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2C(C)C)[C@H](OC)C1 ZINC001081771314 756474616 /nfs/dbraw/zinc/47/46/16/756474616.db2.gz BFMWYLHYMIWUQS-IAGOWNOFSA-N 1 2 300.402 1.872 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2C(C)C)[C@H](OC)C1 ZINC001081771314 756474619 /nfs/dbraw/zinc/47/46/19/756474619.db2.gz BFMWYLHYMIWUQS-IAGOWNOFSA-N 1 2 300.402 1.872 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001015727211 756499321 /nfs/dbraw/zinc/49/93/21/756499321.db2.gz XLXJMVWWKXMSBE-FMKPAKJESA-N 1 2 319.405 1.573 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001015727211 756499324 /nfs/dbraw/zinc/49/93/24/756499324.db2.gz XLXJMVWWKXMSBE-FMKPAKJESA-N 1 2 319.405 1.573 20 30 DDEDLO C=C(C)CN1C[C@@H](NC(=O)c2ccn3c[nH+]cc3c2)[C@H](OC)C1 ZINC001081899680 756523907 /nfs/dbraw/zinc/52/39/07/756523907.db2.gz DOGSLOGNNOYGFB-HZPDHXFCSA-N 1 2 314.389 1.339 20 30 DDEDLO COC(=O)/C=C/c1cc2cc[nH]c2c(N2CC[NH2+]C[C@@H]2C#N)n1 ZINC001156327904 762473962 /nfs/dbraw/zinc/47/39/62/762473962.db2.gz RJDBVULCAFLDEP-IBUXWKBASA-N 1 2 311.345 1.051 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2([C@@H]3CCCCO3)CCC2)[C@H](OC)C1 ZINC001081937281 756552805 /nfs/dbraw/zinc/55/28/05/756552805.db2.gz KIHRMBPJBFFJTQ-OAGGEKHMSA-N 1 2 320.433 1.174 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2([C@@H]3CCCCO3)CCC2)[C@H](OC)C1 ZINC001081937281 756552806 /nfs/dbraw/zinc/55/28/06/756552806.db2.gz KIHRMBPJBFFJTQ-OAGGEKHMSA-N 1 2 320.433 1.174 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001015818919 756570330 /nfs/dbraw/zinc/57/03/30/756570330.db2.gz WQILWHZUISXMSK-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001015818919 756570334 /nfs/dbraw/zinc/57/03/34/756570334.db2.gz WQILWHZUISXMSK-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2nc(Cl)cs2)[C@H](OC)C1 ZINC001082065448 756622218 /nfs/dbraw/zinc/62/22/18/756622218.db2.gz XASFEKGGPXSNGB-RKDXNWHRSA-N 1 2 301.799 1.412 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2nc(Cl)cs2)[C@H](OC)C1 ZINC001082065448 756622220 /nfs/dbraw/zinc/62/22/20/756622220.db2.gz XASFEKGGPXSNGB-RKDXNWHRSA-N 1 2 301.799 1.412 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)o3)C2)cn1 ZINC001016032503 756733109 /nfs/dbraw/zinc/73/31/09/756733109.db2.gz BAULEUSOVWCYNU-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)o3)C2)cn1 ZINC001016032503 756733110 /nfs/dbraw/zinc/73/31/10/756733110.db2.gz BAULEUSOVWCYNU-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(F)F)o2)[C@H](OC)C1 ZINC001082349362 756743081 /nfs/dbraw/zinc/74/30/81/756743081.db2.gz WTVMXOTVOZDSIO-ZWNOBZJWSA-N 1 2 312.316 1.670 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(F)F)o2)[C@H](OC)C1 ZINC001082349362 756743083 /nfs/dbraw/zinc/74/30/83/756743083.db2.gz WTVMXOTVOZDSIO-ZWNOBZJWSA-N 1 2 312.316 1.670 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)cc(F)c2)[C@H](OC)C1 ZINC001082359294 756752856 /nfs/dbraw/zinc/75/28/56/756752856.db2.gz UDAKZJAXKOWSMJ-HUUCEWRRSA-N 1 2 308.328 1.417 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)cc(F)c2)[C@H](OC)C1 ZINC001082359294 756752860 /nfs/dbraw/zinc/75/28/60/756752860.db2.gz UDAKZJAXKOWSMJ-HUUCEWRRSA-N 1 2 308.328 1.417 20 30 DDEDLO CN(c1ccncc1C#N)[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001057059205 762501946 /nfs/dbraw/zinc/50/19/46/762501946.db2.gz LUJUQYVFUCKXIT-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(c1ccncc1C#N)[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001057059205 762501950 /nfs/dbraw/zinc/50/19/50/762501950.db2.gz LUJUQYVFUCKXIT-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001082721720 756903909 /nfs/dbraw/zinc/90/39/09/756903909.db2.gz GXRTXBGJRWVKBW-AXAPSJFSSA-N 1 2 306.410 1.917 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001016321624 756926689 /nfs/dbraw/zinc/92/66/89/756926689.db2.gz SWZMPJDLOIKLBQ-OAHLLOKOSA-N 1 2 318.357 1.762 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001016321624 756926694 /nfs/dbraw/zinc/92/66/94/756926694.db2.gz SWZMPJDLOIKLBQ-OAHLLOKOSA-N 1 2 318.357 1.762 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1nc(C)cc1C)C2 ZINC001097486751 757204672 /nfs/dbraw/zinc/20/46/72/757204672.db2.gz RVAMIHXPSXCNDH-KFWWJZLASA-N 1 2 322.840 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1nc(C)cc1C)C2 ZINC001097486751 757204674 /nfs/dbraw/zinc/20/46/74/757204674.db2.gz RVAMIHXPSXCNDH-KFWWJZLASA-N 1 2 322.840 1.974 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(C[C@@H]2NC(=O)Cc2n[nH]c(C)n2)CC1 ZINC001016614276 757205725 /nfs/dbraw/zinc/20/57/25/757205725.db2.gz LSHBFRMXMPMITR-LBPRGKRZSA-N 1 2 323.828 1.379 20 30 DDEDLO N#CCN1CCC2(C[C@@H]2NC(=O)c2cccc3[nH+]ccn32)CC1 ZINC001016728552 757302185 /nfs/dbraw/zinc/30/21/85/757302185.db2.gz XSFYJRPZODLWPS-AWEZNQCLSA-N 1 2 309.373 1.442 20 30 DDEDLO COc1cccc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001084235853 757436419 /nfs/dbraw/zinc/43/64/19/757436419.db2.gz PZUHUEPHFLJSTR-UMVBOHGHSA-N 1 2 314.389 1.283 20 30 DDEDLO COc1cccc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001084235853 757436424 /nfs/dbraw/zinc/43/64/24/757436424.db2.gz PZUHUEPHFLJSTR-UMVBOHGHSA-N 1 2 314.389 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cncnc3)[C@@H]2C1 ZINC001084309357 757476269 /nfs/dbraw/zinc/47/62/69/757476269.db2.gz FNDDSVMNZHLSOD-ZIAGYGMSSA-N 1 2 306.797 1.304 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3cncnc3)[C@@H]2C1 ZINC001084309357 757476278 /nfs/dbraw/zinc/47/62/78/757476278.db2.gz FNDDSVMNZHLSOD-ZIAGYGMSSA-N 1 2 306.797 1.304 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1ccc(C#N)c(C(F)(F)F)n1 ZINC001169651556 762573333 /nfs/dbraw/zinc/57/33/33/762573333.db2.gz RCCYAGNVVOVDHI-SNVBAGLBSA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1ccc(C#N)c(C(F)(F)F)n1 ZINC001169651556 762573337 /nfs/dbraw/zinc/57/33/37/762573337.db2.gz RCCYAGNVVOVDHI-SNVBAGLBSA-N 1 2 300.284 1.715 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001017421877 757918616 /nfs/dbraw/zinc/91/86/16/757918616.db2.gz INLYDSCLHMYNMF-DFBGVHRSSA-N 1 2 301.394 1.063 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001017421877 757918624 /nfs/dbraw/zinc/91/86/24/757918624.db2.gz INLYDSCLHMYNMF-DFBGVHRSSA-N 1 2 301.394 1.063 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(OC)no2)C[C@H]1O ZINC001099859189 758122880 /nfs/dbraw/zinc/12/28/80/758122880.db2.gz YHRLEDIEMFQYNX-ZIAGYGMSSA-N 1 2 323.393 1.091 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2cc(OC)no2)C[C@H]1O ZINC001099859189 758122883 /nfs/dbraw/zinc/12/28/83/758122883.db2.gz YHRLEDIEMFQYNX-ZIAGYGMSSA-N 1 2 323.393 1.091 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc2n[nH]cc2c1 ZINC001017729380 758189150 /nfs/dbraw/zinc/18/91/50/758189150.db2.gz BMAPWEYHUMORNC-IYBDPMFKSA-N 1 2 308.385 1.414 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc2n[nH]cc2c1 ZINC001017729380 758189154 /nfs/dbraw/zinc/18/91/54/758189154.db2.gz BMAPWEYHUMORNC-IYBDPMFKSA-N 1 2 308.385 1.414 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001053132489 758190564 /nfs/dbraw/zinc/19/05/64/758190564.db2.gz MHRUABNCTHKZGC-OLZOCXBDSA-N 1 2 318.421 1.375 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnc2ccccc2n1 ZINC001017739388 758197797 /nfs/dbraw/zinc/19/77/97/758197797.db2.gz KKRFNQJMPMRHDZ-OKILXGFUSA-N 1 2 321.384 1.337 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnc2ccccc2n1 ZINC001017739388 758197801 /nfs/dbraw/zinc/19/78/01/758197801.db2.gz KKRFNQJMPMRHDZ-OKILXGFUSA-N 1 2 321.384 1.337 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-n2cccn2)n1 ZINC001017930773 758400480 /nfs/dbraw/zinc/40/04/80/758400480.db2.gz GOVIRSBOGGEGPI-GASCZTMLSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-n2cccn2)n1 ZINC001017930773 758400487 /nfs/dbraw/zinc/40/04/87/758400487.db2.gz GOVIRSBOGGEGPI-GASCZTMLSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCCCN1C(C)=O ZINC001018059709 758513790 /nfs/dbraw/zinc/51/37/90/758513790.db2.gz PNTSXTKJJNBTSA-ZACQAIPSSA-N 1 2 317.433 1.086 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCCCN1C(C)=O ZINC001018059709 758513794 /nfs/dbraw/zinc/51/37/94/758513794.db2.gz PNTSXTKJJNBTSA-ZACQAIPSSA-N 1 2 317.433 1.086 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(Cl)no1)CCO2 ZINC001053514603 758521533 /nfs/dbraw/zinc/52/15/33/758521533.db2.gz WRXLGBGFKVIZOU-UHFFFAOYSA-N 1 2 311.769 1.431 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CCC)on1 ZINC001018131473 758568234 /nfs/dbraw/zinc/56/82/34/758568234.db2.gz PUELRDZRXWOVBM-OKILXGFUSA-N 1 2 301.390 1.939 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CCC)on1 ZINC001018131473 758568241 /nfs/dbraw/zinc/56/82/41/758568241.db2.gz PUELRDZRXWOVBM-OKILXGFUSA-N 1 2 301.390 1.939 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)n1cnc2ccccc21 ZINC001018138139 758572652 /nfs/dbraw/zinc/57/26/52/758572652.db2.gz ZAEMDEQLAHUAOZ-HRCADAONSA-N 1 2 322.412 1.906 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)n1cnc2ccccc21 ZINC001018138139 758572659 /nfs/dbraw/zinc/57/26/59/758572659.db2.gz ZAEMDEQLAHUAOZ-HRCADAONSA-N 1 2 322.412 1.906 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cccc(F)c1)O2 ZINC001053565989 758573639 /nfs/dbraw/zinc/57/36/39/758573639.db2.gz GTTGGSQSAZBGTI-OAHLLOKOSA-N 1 2 304.365 1.975 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CCCCO1)O2 ZINC001053590865 758608347 /nfs/dbraw/zinc/60/83/47/758608347.db2.gz RJBBUMVFXLFYJX-LSDHHAIUSA-N 1 2 308.422 1.481 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2c(cccc2C)O1 ZINC001018182014 758609631 /nfs/dbraw/zinc/60/96/31/758609631.db2.gz WQBALOQPNQTLQC-DAYGRLMNSA-N 1 2 310.397 1.607 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2c(cccc2C)O1 ZINC001018182014 758609636 /nfs/dbraw/zinc/60/96/36/758609636.db2.gz WQBALOQPNQTLQC-DAYGRLMNSA-N 1 2 310.397 1.607 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c[nH]nc1CC)O2 ZINC001053622543 758641060 /nfs/dbraw/zinc/64/10/60/758641060.db2.gz ZUXOJSPXXKCBQA-GFCCVEGCSA-N 1 2 304.394 1.121 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(CC)nn1C)O2 ZINC001053677734 758687823 /nfs/dbraw/zinc/68/78/23/758687823.db2.gz IIIGOEBKDWEIQH-AWEZNQCLSA-N 1 2 318.421 1.132 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC)C2)CC1 ZINC001065674865 758692389 /nfs/dbraw/zinc/69/23/89/758692389.db2.gz IMUZRLKWXOATSK-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1nc(C)oc1C)O2 ZINC001053683534 758693492 /nfs/dbraw/zinc/69/34/92/758693492.db2.gz FILCXTLCZMRFDE-AWEZNQCLSA-N 1 2 319.405 1.831 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(N)=O)cs1 ZINC001018321096 758728733 /nfs/dbraw/zinc/72/87/33/758728733.db2.gz WKOSIBWJXRXNPS-BETUJISGSA-N 1 2 319.430 1.712 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(N)=O)cs1 ZINC001018321096 758728742 /nfs/dbraw/zinc/72/87/42/758728742.db2.gz WKOSIBWJXRXNPS-BETUJISGSA-N 1 2 319.430 1.712 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(=O)[C@@H]3C[C@H]3C)C2)CC1 ZINC001018368818 758765079 /nfs/dbraw/zinc/76/50/79/758765079.db2.gz GGZCVQQWFIQHKH-OWCLPIDISA-N 1 2 319.449 1.211 20 30 DDEDLO Cc1nc(NC[C@H]2CN(C(=O)c3ccc(C#N)[nH]3)C[C@@H]2C)cc[nH+]1 ZINC001065841508 758852735 /nfs/dbraw/zinc/85/27/35/758852735.db2.gz ATPDQUOQVUBJMY-AAEUAGOBSA-N 1 2 324.388 1.805 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1OCC[C@H]1CC)CO2 ZINC001053832593 758864352 /nfs/dbraw/zinc/86/43/52/758864352.db2.gz LVCXDXGGVKZIGE-KFWWJZLASA-N 1 2 308.422 1.337 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1CNc1ncccc1C#N ZINC001065909656 758902305 /nfs/dbraw/zinc/90/23/05/758902305.db2.gz CSJGUCNEOQCWIB-OCCSQVGLSA-N 1 2 324.388 1.425 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001065971842 758966878 /nfs/dbraw/zinc/96/68/78/758966878.db2.gz BVTXHUBDMPSTCB-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001065971842 758966886 /nfs/dbraw/zinc/96/68/86/758966886.db2.gz BVTXHUBDMPSTCB-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1csc(Cl)c1)CO2 ZINC001053945776 758981940 /nfs/dbraw/zinc/98/19/40/758981940.db2.gz GRMOHOVQGANVJU-LBPRGKRZSA-N 1 2 324.833 1.998 20 30 DDEDLO Cc1nc(N2C[C@@H]3CN(C(=O)C#CC4CC4)C[C@@H]3C2)c(C)c(C)[nH+]1 ZINC001066002561 758984510 /nfs/dbraw/zinc/98/45/10/758984510.db2.gz QOPKYJLOQMYDKU-CALCHBBNSA-N 1 2 324.428 1.710 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)nc1C)CO2 ZINC001054016273 759065039 /nfs/dbraw/zinc/06/50/39/759065039.db2.gz YPQXMMLIHVQWFK-HNNXBMFYSA-N 1 2 313.401 1.295 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001066106352 759082135 /nfs/dbraw/zinc/08/21/35/759082135.db2.gz AZSLWPXQFYVBKR-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001054037139 759093883 /nfs/dbraw/zinc/09/38/83/759093883.db2.gz XWYLPHSKBSJRNO-QGZVFWFLSA-N 1 2 318.380 1.676 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001054037139 759093892 /nfs/dbraw/zinc/09/38/92/759093892.db2.gz XWYLPHSKBSJRNO-QGZVFWFLSA-N 1 2 318.380 1.676 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CC(C)=C(C)C)CC2=O)C1 ZINC001108563224 762688864 /nfs/dbraw/zinc/68/88/64/762688864.db2.gz KDGJREKFZDTZGC-AWEZNQCLSA-N 1 2 305.422 1.320 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O ZINC001154743050 759257622 /nfs/dbraw/zinc/25/76/22/759257622.db2.gz RITXDLWTZAVIHG-UONOGXRCSA-N 1 2 318.483 1.460 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O ZINC001154743050 759257626 /nfs/dbraw/zinc/25/76/26/759257626.db2.gz RITXDLWTZAVIHG-UONOGXRCSA-N 1 2 318.483 1.460 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001018818300 759301685 /nfs/dbraw/zinc/30/16/85/759301685.db2.gz FGLKKRQIVRXDAC-AAEUAGOBSA-N 1 2 302.378 1.297 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)c2c[nH]c(C#N)c2)on1 ZINC001085552182 759691225 /nfs/dbraw/zinc/69/12/25/759691225.db2.gz WXTWULNHYKEAIB-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)c2c[nH]c(C#N)c2)on1 ZINC001085552182 759691231 /nfs/dbraw/zinc/69/12/31/759691231.db2.gz WXTWULNHYKEAIB-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OC(C)C)nc1 ZINC001085628937 759882378 /nfs/dbraw/zinc/88/23/78/759882378.db2.gz IAWWAZBMZXDTIB-HNNXBMFYSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OC(C)C)nc1 ZINC001085628937 759882390 /nfs/dbraw/zinc/88/23/90/759882390.db2.gz IAWWAZBMZXDTIB-HNNXBMFYSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc2c1OCCO2 ZINC001085629543 759884511 /nfs/dbraw/zinc/88/45/11/759884511.db2.gz WMPNGZAZHYOYBA-CYBMUJFWSA-N 1 2 300.358 1.237 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc2c1OCCO2 ZINC001085629543 759884522 /nfs/dbraw/zinc/88/45/22/759884522.db2.gz WMPNGZAZHYOYBA-CYBMUJFWSA-N 1 2 300.358 1.237 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C2CC2)n(CC)n1 ZINC001085635879 759904046 /nfs/dbraw/zinc/90/40/46/759904046.db2.gz BYFCDPVIZTWTSC-AWEZNQCLSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C2CC2)n(CC)n1 ZINC001085635879 759904050 /nfs/dbraw/zinc/90/40/50/759904050.db2.gz BYFCDPVIZTWTSC-AWEZNQCLSA-N 1 2 300.406 1.560 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cncn1C ZINC001085702297 760059524 /nfs/dbraw/zinc/05/95/24/760059524.db2.gz MOHDTKPXBFKDCP-KRWDZBQOSA-N 1 2 322.412 1.618 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cncn1C ZINC001085702297 760059536 /nfs/dbraw/zinc/05/95/36/760059536.db2.gz MOHDTKPXBFKDCP-KRWDZBQOSA-N 1 2 322.412 1.618 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001085709429 760072042 /nfs/dbraw/zinc/07/20/42/760072042.db2.gz HRANWCXTVBREOA-HNNXBMFYSA-N 1 2 311.385 1.351 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001085709429 760072052 /nfs/dbraw/zinc/07/20/52/760072052.db2.gz HRANWCXTVBREOA-HNNXBMFYSA-N 1 2 311.385 1.351 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001069215014 767919867 /nfs/dbraw/zinc/91/98/67/767919867.db2.gz NIAXMOFBKUCIOC-NHYWBVRUSA-N 1 2 324.388 1.629 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCc2ccc(F)cc2O1 ZINC001085722816 760121654 /nfs/dbraw/zinc/12/16/54/760121654.db2.gz HLHHALWQKPVCEF-JKSUJKDBSA-N 1 2 316.376 1.685 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCc2ccc(F)cc2O1 ZINC001085722816 760121660 /nfs/dbraw/zinc/12/16/60/760121660.db2.gz HLHHALWQKPVCEF-JKSUJKDBSA-N 1 2 316.376 1.685 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]([NH2+]Cc2nc(CC)no2)[C@@H](C)C1 ZINC001054975051 760248962 /nfs/dbraw/zinc/24/89/62/760248962.db2.gz ANPBTCUXDLYUSJ-RYUDHWBXSA-N 1 2 306.410 1.781 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)C(F)F)[C@H](O)C1 ZINC001099998146 760333390 /nfs/dbraw/zinc/33/33/90/760333390.db2.gz BAMOIARKTUOQJQ-NXEZZACHSA-N 1 2 310.772 1.582 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)C(F)F)[C@H](O)C1 ZINC001099998146 760333398 /nfs/dbraw/zinc/33/33/98/760333398.db2.gz BAMOIARKTUOQJQ-NXEZZACHSA-N 1 2 310.772 1.582 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nc2ccccn2c1F ZINC001085906349 760500541 /nfs/dbraw/zinc/50/05/41/760500541.db2.gz GFFDMONDTZFXDG-CYBMUJFWSA-N 1 2 314.364 1.643 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nc2ccccn2c1F ZINC001085906349 760500547 /nfs/dbraw/zinc/50/05/47/760500547.db2.gz GFFDMONDTZFXDG-CYBMUJFWSA-N 1 2 314.364 1.643 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-n2ccnc2)ccn1 ZINC001085905707 760510554 /nfs/dbraw/zinc/51/05/54/760510554.db2.gz NRDIQFZGORCKMA-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-n2ccnc2)ccn1 ZINC001085905707 760510562 /nfs/dbraw/zinc/51/05/62/760510562.db2.gz NRDIQFZGORCKMA-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(OC(C)C)c1 ZINC001085921057 760535796 /nfs/dbraw/zinc/53/57/96/760535796.db2.gz SBPRELRNEJUFTO-HNNXBMFYSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(OC(C)C)c1 ZINC001085921057 760535801 /nfs/dbraw/zinc/53/58/01/760535801.db2.gz SBPRELRNEJUFTO-HNNXBMFYSA-N 1 2 301.390 1.648 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001020154403 760629782 /nfs/dbraw/zinc/62/97/82/760629782.db2.gz DRHHQYRPABNZCD-SRVKXCTJSA-N 1 2 308.813 1.819 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001020154402 760629969 /nfs/dbraw/zinc/62/99/69/760629969.db2.gz DRHHQYRPABNZCD-IJLUTSLNSA-N 1 2 308.813 1.819 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)c(NC(C)=O)c1 ZINC001085994672 760677446 /nfs/dbraw/zinc/67/74/46/760677446.db2.gz RLOULAZOBWYJEI-AWEZNQCLSA-N 1 2 317.364 1.564 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)c(NC(C)=O)c1 ZINC001085994672 760677452 /nfs/dbraw/zinc/67/74/52/760677452.db2.gz RLOULAZOBWYJEI-AWEZNQCLSA-N 1 2 317.364 1.564 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccnc1OC(C)C ZINC001086007983 760700506 /nfs/dbraw/zinc/70/05/06/760700506.db2.gz XNAMVLFKDIKTJC-CQSZACIVSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccnc1OC(C)C ZINC001086007983 760700512 /nfs/dbraw/zinc/70/05/12/760700512.db2.gz XNAMVLFKDIKTJC-CQSZACIVSA-N 1 2 301.390 1.648 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCN(c2cc(C)ncc2C#N)CC1 ZINC001055869516 760788389 /nfs/dbraw/zinc/78/83/89/760788389.db2.gz CQTLEYPBYFOPCB-UHFFFAOYSA-N 1 2 324.388 1.185 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC001108235633 760800824 /nfs/dbraw/zinc/80/08/24/760800824.db2.gz XJICNEWDHGZOQO-INIZCTEOSA-N 1 2 306.410 1.540 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC001108235633 760800833 /nfs/dbraw/zinc/80/08/33/760800833.db2.gz XJICNEWDHGZOQO-INIZCTEOSA-N 1 2 306.410 1.540 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1COc2ccc(Cl)cc21 ZINC001038513493 761168009 /nfs/dbraw/zinc/16/80/09/761168009.db2.gz IVXJZNOUKWYETA-OCCSQVGLSA-N 1 2 304.777 1.640 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1COc2ccc(Cl)cc21 ZINC001038513493 761168010 /nfs/dbraw/zinc/16/80/10/761168010.db2.gz IVXJZNOUKWYETA-OCCSQVGLSA-N 1 2 304.777 1.640 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn2c1nc(C)cc2C ZINC001038594916 761222440 /nfs/dbraw/zinc/22/24/40/761222440.db2.gz YLDUVZUVTJUVQM-CQSZACIVSA-N 1 2 311.389 1.174 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn2c1nc(C)cc2C ZINC001038594916 761222444 /nfs/dbraw/zinc/22/24/44/761222444.db2.gz YLDUVZUVTJUVQM-CQSZACIVSA-N 1 2 311.389 1.174 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2ccncn2)cc1 ZINC001038831719 761448414 /nfs/dbraw/zinc/44/84/14/761448414.db2.gz BTGQKMBXZVGWAV-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2ccncn2)cc1 ZINC001038831719 761448416 /nfs/dbraw/zinc/44/84/16/761448416.db2.gz BTGQKMBXZVGWAV-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H](CC)CC(C)C)CC2=O)C1 ZINC001108584689 762759918 /nfs/dbraw/zinc/75/99/18/762759918.db2.gz LJMCDNCUZVNPFA-LSDHHAIUSA-N 1 2 321.465 1.646 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2=CC[N@H+](Cc3ccon3)CC2)cn1 ZINC001001381840 762808643 /nfs/dbraw/zinc/80/86/43/762808643.db2.gz RFUBVPSBYWSWIJ-UHFFFAOYSA-N 1 2 322.368 1.613 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2=CC[N@@H+](Cc3ccon3)CC2)cn1 ZINC001001381840 762808650 /nfs/dbraw/zinc/80/86/50/762808650.db2.gz RFUBVPSBYWSWIJ-UHFFFAOYSA-N 1 2 322.368 1.613 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCN(c2ccc(C#N)nc2)CC1 ZINC001057162940 762810431 /nfs/dbraw/zinc/81/04/31/762810431.db2.gz WUPIFCKDORSAPO-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108672299 762842491 /nfs/dbraw/zinc/84/24/91/762842491.db2.gz RZGZPAXVNOCTJV-ZBEGNZNMSA-N 1 2 316.409 1.882 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001108686814 762849621 /nfs/dbraw/zinc/84/96/21/762849621.db2.gz XQRJLXLNWMYVFI-SMDDNHRTSA-N 1 2 324.388 1.713 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H](C)CNc2cc[nH+]c(C)n2)nn1 ZINC001108741416 762898945 /nfs/dbraw/zinc/89/89/45/762898945.db2.gz ZRLOJEFGTZGDHG-NSHDSACASA-N 1 2 315.381 1.183 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109252004 763516671 /nfs/dbraw/zinc/51/66/71/763516671.db2.gz VCQKLJUCUBMOOC-OJLVUWQFSA-N 1 2 317.433 1.036 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109252004 763516677 /nfs/dbraw/zinc/51/66/77/763516677.db2.gz VCQKLJUCUBMOOC-OJLVUWQFSA-N 1 2 317.433 1.036 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1CC ZINC001109351304 763592842 /nfs/dbraw/zinc/59/28/42/763592842.db2.gz WCHLWNUTQPIJKV-KBMXLJTQSA-N 1 2 317.437 1.876 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1CC ZINC001109351304 763592848 /nfs/dbraw/zinc/59/28/48/763592848.db2.gz WCHLWNUTQPIJKV-KBMXLJTQSA-N 1 2 317.437 1.876 20 30 DDEDLO N#Cc1cnccc1NC1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001057397516 763594240 /nfs/dbraw/zinc/59/42/40/763594240.db2.gz GBPSVLXYLCXLST-UHFFFAOYSA-N 1 2 324.388 1.065 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)n1 ZINC001042057379 763600853 /nfs/dbraw/zinc/60/08/53/763600853.db2.gz JKJDQLWCHCDYLV-DLBZAZTESA-N 1 2 324.428 1.621 20 30 DDEDLO Cc1ccnc(C[N@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)n1 ZINC001042057379 763600857 /nfs/dbraw/zinc/60/08/57/763600857.db2.gz JKJDQLWCHCDYLV-DLBZAZTESA-N 1 2 324.428 1.621 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccncc1C#N ZINC001050520797 763700978 /nfs/dbraw/zinc/70/09/78/763700978.db2.gz QNMNHZDPJBNRQS-YPMHNXCESA-N 1 2 310.361 1.002 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001109634540 763886030 /nfs/dbraw/zinc/88/60/30/763886030.db2.gz PMWGDNKPGUDCNT-XRGAULLZSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001109634540 763886039 /nfs/dbraw/zinc/88/60/39/763886039.db2.gz PMWGDNKPGUDCNT-XRGAULLZSA-N 1 2 317.437 1.639 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCCc2n[nH]cc21 ZINC001050917759 764277349 /nfs/dbraw/zinc/27/73/49/764277349.db2.gz OIAFIXQIPFUOGK-ZIAGYGMSSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCCc2n[nH]cc21 ZINC001050917759 764277353 /nfs/dbraw/zinc/27/73/53/764277353.db2.gz OIAFIXQIPFUOGK-ZIAGYGMSSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnc(CC(C)C)[nH]1 ZINC001050919746 764281234 /nfs/dbraw/zinc/28/12/34/764281234.db2.gz QQZZTVWYVKVBEJ-CQSZACIVSA-N 1 2 320.437 1.615 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnc(CC(C)C)[nH]1 ZINC001050919746 764281238 /nfs/dbraw/zinc/28/12/38/764281238.db2.gz QQZZTVWYVKVBEJ-CQSZACIVSA-N 1 2 320.437 1.615 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(C)nc1C1CC1 ZINC001050943458 764317541 /nfs/dbraw/zinc/31/75/41/764317541.db2.gz NABULPWKPFONLQ-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(C)nc1C1CC1 ZINC001050943458 764317549 /nfs/dbraw/zinc/31/75/49/764317549.db2.gz NABULPWKPFONLQ-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@]12CCC[C@H]1OCC2 ZINC001051020380 764425086 /nfs/dbraw/zinc/42/50/86/764425086.db2.gz UJDVSWYBKVAPNM-INMHGKMJSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@]12CCC[C@H]1OCC2 ZINC001051020380 764425088 /nfs/dbraw/zinc/42/50/88/764425088.db2.gz UJDVSWYBKVAPNM-INMHGKMJSA-N 1 2 308.422 1.339 20 30 DDEDLO C[C@H]1CN(c2ccncc2C#N)CC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067408581 764436294 /nfs/dbraw/zinc/43/62/94/764436294.db2.gz VCCMGLVGDOMAAB-GXTWGEPZSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnoc1C1CC1 ZINC001051193275 764603419 /nfs/dbraw/zinc/60/34/19/764603419.db2.gz XWQKFFRACBOWAR-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnoc1C1CC1 ZINC001051193275 764603424 /nfs/dbraw/zinc/60/34/24/764603424.db2.gz XWQKFFRACBOWAR-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)C1CC2(C1)CCOCC2 ZINC001051209418 764623047 /nfs/dbraw/zinc/62/30/47/764623047.db2.gz KBIBQZHDCJTRJJ-MRXNPFEDSA-N 1 2 322.449 1.586 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)C1CC2(C1)CCOCC2 ZINC001051209418 764623052 /nfs/dbraw/zinc/62/30/52/764623052.db2.gz KBIBQZHDCJTRJJ-MRXNPFEDSA-N 1 2 322.449 1.586 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc(-n3ccnc3)n2)C1 ZINC001043181856 764743329 /nfs/dbraw/zinc/74/33/29/764743329.db2.gz KKDPGEFMEPDSTC-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([C@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001051325357 764747336 /nfs/dbraw/zinc/74/73/36/764747336.db2.gz FYAJNWNKMRXFOU-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc3cc(OC)ccn32)C1 ZINC001043349087 764851383 /nfs/dbraw/zinc/85/13/83/764851383.db2.gz HKALOQHTBWOEIG-UHFFFAOYSA-N 1 2 312.373 1.122 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2ccccc2C(N)=O)C1 ZINC001043506388 764950658 /nfs/dbraw/zinc/95/06/58/764950658.db2.gz YCNDZDCHRCSUFJ-UHFFFAOYSA-N 1 2 301.390 1.047 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C2C[NH+](C[C@H]3CCCCO3)C2)c1 ZINC001043819670 765114748 /nfs/dbraw/zinc/11/47/48/765114748.db2.gz BKEDJPCERWRZED-QGZVFWFLSA-N 1 2 313.401 1.388 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2cncs2)C1 ZINC001086577708 765257427 /nfs/dbraw/zinc/25/74/27/765257427.db2.gz BHRSVOFCJOCTJH-LSDHHAIUSA-N 1 2 311.410 1.974 20 30 DDEDLO C#CC[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2cncs2)C1 ZINC001086577708 765257431 /nfs/dbraw/zinc/25/74/31/765257431.db2.gz BHRSVOFCJOCTJH-LSDHHAIUSA-N 1 2 311.410 1.974 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(C3CC3)CCOCC2)CC1 ZINC001113149350 765330475 /nfs/dbraw/zinc/33/04/75/765330475.db2.gz JKPJYKFAXPFYEC-UHFFFAOYSA-N 1 2 322.449 1.540 20 30 DDEDLO Cc1nsc(N[C@H](C)[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001113156184 765346222 /nfs/dbraw/zinc/34/62/22/765346222.db2.gz XWFJGGSGXRVQHN-DTWKUNHWSA-N 1 2 318.406 1.594 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001051997789 765363786 /nfs/dbraw/zinc/36/37/86/765363786.db2.gz IJCPAGKZLDGLDU-GXTWGEPZSA-N 1 2 310.829 1.117 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)C3CC=CC3)C2)CC1 ZINC001052003187 765369143 /nfs/dbraw/zinc/36/91/43/765369143.db2.gz PMGOQKHHMPGQBM-INIZCTEOSA-N 1 2 323.868 1.924 20 30 DDEDLO C#CCCCCCC(=O)N1CCN(Cc2c[nH+]cn2C)CC1 ZINC001113200135 765399428 /nfs/dbraw/zinc/39/94/28/765399428.db2.gz XNGDKUYVMARIOY-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO CCc1ccc(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)o1 ZINC001052045711 765418666 /nfs/dbraw/zinc/41/86/66/765418666.db2.gz WQLWEFCRGIWKAN-CQSZACIVSA-N 1 2 316.405 1.198 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@]34C[C@H]3CCC4)C2)CC1 ZINC001052052941 765424905 /nfs/dbraw/zinc/42/49/05/765424905.db2.gz BFWAQCATPUJXBN-SOLBZPMBSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(Cl)nc3ccccn32)C1 ZINC001044267811 765435887 /nfs/dbraw/zinc/43/58/87/765435887.db2.gz GYTNPYILFWDGFE-UHFFFAOYSA-N 1 2 316.792 1.767 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC[NH+](CCCc2nc(C)no2)CC1 ZINC001113229153 765440231 /nfs/dbraw/zinc/44/02/31/765440231.db2.gz DOPONWLTWUYBRG-KGLIPLIRSA-N 1 2 320.437 1.913 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H]([NH+]3CCN(CC=C)CC3)C2)c1 ZINC001052081830 765454815 /nfs/dbraw/zinc/45/48/15/765454815.db2.gz PRYIJPTVGNCZQE-GOSISDBHSA-N 1 2 324.428 1.081 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001113303080 765535647 /nfs/dbraw/zinc/53/56/47/765535647.db2.gz JXQXLOLJKVCORB-SJLPKXTDSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCCC1(C(=O)N2CC[NH+](CCc3cnn(C)c3)CC2)CC1 ZINC001113305326 765538622 /nfs/dbraw/zinc/53/86/22/765538622.db2.gz LBLPCSHSGAGAGV-UHFFFAOYSA-N 1 2 316.449 1.853 20 30 DDEDLO C=CCCC1(C(=O)N2CC[NH+](CCn3cccn3)CC2)CC1 ZINC001113305389 765538789 /nfs/dbraw/zinc/53/87/89/765538789.db2.gz NUCGKANSQAUJNA-UHFFFAOYSA-N 1 2 302.422 1.774 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1ccncc1C#N ZINC001113351990 765615523 /nfs/dbraw/zinc/61/55/23/765615523.db2.gz LEAQNULOWQKMJL-NWDGAFQWSA-N 1 2 312.377 1.036 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1ccncc1C#N ZINC001113351990 765615526 /nfs/dbraw/zinc/61/55/26/765615526.db2.gz LEAQNULOWQKMJL-NWDGAFQWSA-N 1 2 312.377 1.036 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)C(=O)NCc2ccc(F)cc2)CC1 ZINC001113413090 765666125 /nfs/dbraw/zinc/66/61/25/765666125.db2.gz GLFBPIJEWDASML-UHFFFAOYSA-N 1 2 319.380 1.162 20 30 DDEDLO Cc1nc(NC[C@H]2CCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001098507919 765712500 /nfs/dbraw/zinc/71/25/00/765712500.db2.gz HKTPMEKUIFBZKP-GFCCVEGCSA-N 1 2 305.407 1.302 20 30 DDEDLO Cc1nc(N[C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001057765019 765843001 /nfs/dbraw/zinc/84/30/01/765843001.db2.gz LAHZVNGHLJCADD-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2occ3c2CCC3)[C@H](O)C1 ZINC001090455861 765933040 /nfs/dbraw/zinc/93/30/40/765933040.db2.gz LBFSLYJEGAVXLJ-UONOGXRCSA-N 1 2 324.808 1.686 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2occ3c2CCC3)[C@H](O)C1 ZINC001090455861 765933048 /nfs/dbraw/zinc/93/30/48/765933048.db2.gz LBFSLYJEGAVXLJ-UONOGXRCSA-N 1 2 324.808 1.686 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccnc2N(C)C)CC1 ZINC001113628270 765949133 /nfs/dbraw/zinc/94/91/33/765949133.db2.gz VPGCLBDTPZWQST-UHFFFAOYSA-N 1 2 318.421 1.108 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113639528 765964133 /nfs/dbraw/zinc/96/41/33/765964133.db2.gz FTDSAHYDWKXHPO-BXUZGUMPSA-N 1 2 320.437 1.934 20 30 DDEDLO C#CCC1(C(=O)NC[C@H](C)N(C)c2cc[nH+]c(C)n2)CCC1 ZINC001113738462 766082587 /nfs/dbraw/zinc/08/25/87/766082587.db2.gz VPVNAPIVWPAVEZ-ZDUSSCGKSA-N 1 2 300.406 1.920 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCn2c[nH+]cc2C1)Nc1ncccc1C#N ZINC001098115244 768348244 /nfs/dbraw/zinc/34/82/44/768348244.db2.gz BNMFPHCBHFWVTE-QWHCGFSZSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)N(C)c1[nH+]cnc2c1cnn2C ZINC001113802118 766146742 /nfs/dbraw/zinc/14/67/42/766146742.db2.gz HYXTVYIQXRJEGP-LLVKDONJSA-N 1 2 316.409 1.516 20 30 DDEDLO CC1(NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)CCN(CC#N)CC1 ZINC001045553637 766397573 /nfs/dbraw/zinc/39/75/73/766397573.db2.gz ZGHQHHLNDPDSMW-LBPRGKRZSA-N 1 2 301.394 1.324 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccncc1C#N ZINC001067541956 766604825 /nfs/dbraw/zinc/60/48/25/766604825.db2.gz GRMMTZCXUAVCTI-DZGCQCFKSA-N 1 2 324.388 1.323 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001067667024 766642214 /nfs/dbraw/zinc/64/22/14/766642214.db2.gz JHYUGHGMXGWVHR-UHFFFAOYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001067667024 766642224 /nfs/dbraw/zinc/64/22/24/766642224.db2.gz JHYUGHGMXGWVHR-UHFFFAOYSA-N 1 2 320.437 1.861 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114162169 766714894 /nfs/dbraw/zinc/71/48/94/766714894.db2.gz QIBFUKPYDJEBFN-NYTXWWLZSA-N 1 2 315.421 1.116 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114162169 766714902 /nfs/dbraw/zinc/71/49/02/766714902.db2.gz QIBFUKPYDJEBFN-NYTXWWLZSA-N 1 2 315.421 1.116 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCCC[C@@]2(CCN(CC#N)C2)C1 ZINC001045980210 766757672 /nfs/dbraw/zinc/75/76/72/766757672.db2.gz UGCUODZPIWJSKZ-KRWDZBQOSA-N 1 2 315.421 1.191 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)n1 ZINC001114246516 766812766 /nfs/dbraw/zinc/81/27/66/766812766.db2.gz UNOCMCHAZHYPRM-MUYACECFSA-N 1 2 316.405 1.535 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)n1 ZINC001114246516 766812775 /nfs/dbraw/zinc/81/27/75/766812775.db2.gz UNOCMCHAZHYPRM-MUYACECFSA-N 1 2 316.405 1.535 20 30 DDEDLO C=CCn1c(N2CC=C(C)CC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121608191 782588998 /nfs/dbraw/zinc/58/89/98/782588998.db2.gz SOMLNUFXRZYYNZ-PBHICJAKSA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1c(N2CC=C(C)CC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121608191 782589007 /nfs/dbraw/zinc/58/90/07/782589007.db2.gz SOMLNUFXRZYYNZ-PBHICJAKSA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+]Cc1nc(C(C)C)no1 ZINC001129514484 766922756 /nfs/dbraw/zinc/92/27/56/766922756.db2.gz VNYRFRHJEPYRQC-UHFFFAOYSA-N 1 2 317.393 1.700 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(C)(F)CC1 ZINC001121610174 782591336 /nfs/dbraw/zinc/59/13/36/782591336.db2.gz QYSAMWRABXLEOM-QWHCGFSZSA-N 1 2 323.416 1.530 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(C)(F)CC1 ZINC001121610174 782591344 /nfs/dbraw/zinc/59/13/44/782591344.db2.gz QYSAMWRABXLEOM-QWHCGFSZSA-N 1 2 323.416 1.530 20 30 DDEDLO CC1(C)CN(c2ccc(C#N)nc2)C[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001068119183 766928992 /nfs/dbraw/zinc/92/89/92/766928992.db2.gz JZKLEYPWWHNIQP-AWEZNQCLSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)[C@@H](C)CC ZINC001121610141 782590928 /nfs/dbraw/zinc/59/09/28/782590928.db2.gz QGNPOQTWXWRESM-MJBXVCDLSA-N 1 2 307.442 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)[C@@H](C)CC ZINC001121610141 782590935 /nfs/dbraw/zinc/59/09/35/782590935.db2.gz QGNPOQTWXWRESM-MJBXVCDLSA-N 1 2 307.442 1.826 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@@H]2CN(C(=O)C#CC3CC3)CCO2)cc[nH+]1 ZINC001068230721 767020233 /nfs/dbraw/zinc/02/02/33/767020233.db2.gz JOIFIFKBKJGVHF-WFASDCNBSA-N 1 2 314.389 1.226 20 30 DDEDLO C[C@@H]1C[C@H](CNc2ncccc2C#N)CN1C(=O)Cn1cc[nH+]c1 ZINC001068336267 767119600 /nfs/dbraw/zinc/11/96/00/767119600.db2.gz WPPAFWGMVILTBK-ZIAGYGMSSA-N 1 2 324.388 1.499 20 30 DDEDLO C[C@@]1(NC(=O)c2cnn[nH]2)CC[N@H+](Cc2ccc(C#N)cc2)C1 ZINC001046439390 767570836 /nfs/dbraw/zinc/57/08/36/767570836.db2.gz RKIZZFHGNZTECO-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@@]1(NC(=O)c2cnn[nH]2)CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001046439390 767570839 /nfs/dbraw/zinc/57/08/39/767570839.db2.gz RKIZZFHGNZTECO-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ncc(OC)cn2)C1 ZINC001046470537 767604516 /nfs/dbraw/zinc/60/45/16/767604516.db2.gz LHLCYNPUNMWRNE-AWEZNQCLSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ncc(OC)cn2)C1 ZINC001046470537 767604520 /nfs/dbraw/zinc/60/45/20/767604520.db2.gz LHLCYNPUNMWRNE-AWEZNQCLSA-N 1 2 310.785 1.432 20 30 DDEDLO C[C@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)CCn1cc[nH+]c1 ZINC001068928764 767705843 /nfs/dbraw/zinc/70/58/43/767705843.db2.gz RFBIHCFUXZMEKG-DZGCQCFKSA-N 1 2 324.388 1.641 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+][C@@H](C)c1nnc(C)o1 ZINC001131870784 768432602 /nfs/dbraw/zinc/43/26/02/768432602.db2.gz ZSCXRSOVPKYYDP-XHDPSFHLSA-N 1 2 310.398 1.374 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCC(=O)NCC)CC[C@H]1C ZINC001131903873 768467539 /nfs/dbraw/zinc/46/75/39/768467539.db2.gz LCLMQVSSNNFWJO-OLZOCXBDSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCC(=O)NCC)CC[C@H]1C ZINC001131903873 768467542 /nfs/dbraw/zinc/46/75/42/768467542.db2.gz LCLMQVSSNNFWJO-OLZOCXBDSA-N 1 2 315.845 1.624 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]nc3ccc(C)cc32)C1 ZINC001047441442 768478257 /nfs/dbraw/zinc/47/82/57/768478257.db2.gz GIRWTPXNVLSVPP-GJZGRUSLSA-N 1 2 314.389 1.174 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]nc3ccc(C)cc32)C1 ZINC001047441442 768478259 /nfs/dbraw/zinc/47/82/59/768478259.db2.gz GIRWTPXNVLSVPP-GJZGRUSLSA-N 1 2 314.389 1.174 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cncc(F)c2)C1 ZINC001131947431 768505724 /nfs/dbraw/zinc/50/57/24/768505724.db2.gz UIWSHBFCPVVXPY-CJNGLKHVSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cncc(F)c2)C1 ZINC001131947431 768505729 /nfs/dbraw/zinc/50/57/29/768505729.db2.gz UIWSHBFCPVVXPY-CJNGLKHVSA-N 1 2 321.396 1.892 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cccc3n[nH]cc32)C1 ZINC001047479711 768507078 /nfs/dbraw/zinc/50/70/78/768507078.db2.gz XZCVDRUGHDKCMW-HOTGVXAUSA-N 1 2 314.389 1.256 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cccc3n[nH]cc32)C1 ZINC001047479711 768507081 /nfs/dbraw/zinc/50/70/81/768507081.db2.gz XZCVDRUGHDKCMW-HOTGVXAUSA-N 1 2 314.389 1.256 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2C[N@H+](Cc3cnc(C)o3)C[C@@H]2O)C1 ZINC001047519609 768539147 /nfs/dbraw/zinc/53/91/47/768539147.db2.gz IPIAEBKTWCHOTQ-GJZGRUSLSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2C[N@@H+](Cc3cnc(C)o3)C[C@@H]2O)C1 ZINC001047519609 768539149 /nfs/dbraw/zinc/53/91/49/768539149.db2.gz IPIAEBKTWCHOTQ-GJZGRUSLSA-N 1 2 319.405 1.343 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C3CC3)nc2C)C1 ZINC001047533959 768548482 /nfs/dbraw/zinc/54/84/82/768548482.db2.gz PVXCSBKUSFZYIQ-IRXDYDNUSA-N 1 2 313.401 1.018 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C3CC3)nc2C)C1 ZINC001047533959 768548487 /nfs/dbraw/zinc/54/84/87/768548487.db2.gz PVXCSBKUSFZYIQ-IRXDYDNUSA-N 1 2 313.401 1.018 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132046479 768599547 /nfs/dbraw/zinc/59/95/47/768599547.db2.gz PFLCPVOVSRCSOK-GDBMZVCRSA-N 1 2 319.449 1.285 20 30 DDEDLO CCCCNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132046479 768599551 /nfs/dbraw/zinc/59/95/51/768599551.db2.gz PFLCPVOVSRCSOK-GDBMZVCRSA-N 1 2 319.449 1.285 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(C(C)C)nc2C)C1 ZINC001047628912 768622288 /nfs/dbraw/zinc/62/22/88/768622288.db2.gz RMKBKWPMBKGOLD-STQMWFEESA-N 1 2 323.462 1.878 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(C(C)C)nc2C)C1 ZINC001047628912 768622291 /nfs/dbraw/zinc/62/22/91/768622291.db2.gz RMKBKWPMBKGOLD-STQMWFEESA-N 1 2 323.462 1.878 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)[C@@H]2CC=CCC2)C[C@H]1NCC#N ZINC001070619905 768623688 /nfs/dbraw/zinc/62/36/88/768623688.db2.gz QWHVQTAFDMBGNM-RBSFLKMASA-N 1 2 313.405 1.184 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCc2c[nH+]cn2C1)Nc1ccc(C#N)nc1 ZINC001098125376 768647676 /nfs/dbraw/zinc/64/76/76/768647676.db2.gz DQCOXMFKMHAAEM-QWHCGFSZSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCc2c[nH+]cn2C1)Nc1ccc(C#N)cn1 ZINC001098125780 768660268 /nfs/dbraw/zinc/66/02/68/768660268.db2.gz NDKGVURQVHVFAX-GXTWGEPZSA-N 1 2 324.388 1.329 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001070675358 768661816 /nfs/dbraw/zinc/66/18/16/768661816.db2.gz XVUHNMDCPFSFFZ-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO CC(C)[C@@H](C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070696303 768677072 /nfs/dbraw/zinc/67/70/72/768677072.db2.gz MBGOGBMZCZKRIW-MGPQQGTHSA-N 1 2 303.410 1.120 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C2(C)CCCC2)C[N@@H+]1CC(=O)NCC#N ZINC001132199591 768683635 /nfs/dbraw/zinc/68/36/35/768683635.db2.gz KEEIVIOQIBHVPH-KBPBESRZSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C2(C)CCCC2)C[N@H+]1CC(=O)NCC#N ZINC001132199591 768683636 /nfs/dbraw/zinc/68/36/36/768683636.db2.gz KEEIVIOQIBHVPH-KBPBESRZSA-N 1 2 320.437 1.176 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2cocc2C)CC[C@@H]1C ZINC001132222235 768694277 /nfs/dbraw/zinc/69/42/77/768694277.db2.gz VLGCFAIPYKTPQH-UONOGXRCSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2cocc2C)CC[C@@H]1C ZINC001132222235 768694283 /nfs/dbraw/zinc/69/42/83/768694283.db2.gz VLGCFAIPYKTPQH-UONOGXRCSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCOCC[N@H+]1C[C@H](NC(=O)c2[nH]ncc2F)CC[C@@H]1C ZINC001132272811 768729485 /nfs/dbraw/zinc/72/94/85/768729485.db2.gz BYGIRQBCUPYEJQ-NWDGAFQWSA-N 1 2 310.373 1.334 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@H](NC(=O)c2[nH]ncc2F)CC[C@@H]1C ZINC001132272811 768729489 /nfs/dbraw/zinc/72/94/89/768729489.db2.gz BYGIRQBCUPYEJQ-NWDGAFQWSA-N 1 2 310.373 1.334 20 30 DDEDLO CCC(CC)CC(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132391471 768813619 /nfs/dbraw/zinc/81/36/19/768813619.db2.gz AYBBAMAAAQPPJD-ZFWWWQNUSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(CC)CC(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132391471 768813627 /nfs/dbraw/zinc/81/36/27/768813627.db2.gz AYBBAMAAAQPPJD-ZFWWWQNUSA-N 1 2 322.453 1.422 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCC(C)(C)C2)CC1 ZINC001070996059 768857136 /nfs/dbraw/zinc/85/71/36/768857136.db2.gz GOWURSSBQSOQPU-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCC(C)(C)C2)CC1 ZINC001070996059 768857145 /nfs/dbraw/zinc/85/71/45/768857145.db2.gz GOWURSSBQSOQPU-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@H]2C(C)C)CC1 ZINC001071011575 768874554 /nfs/dbraw/zinc/87/45/54/768874554.db2.gz LIZJWLSETCTAOC-GJZGRUSLSA-N 1 2 307.438 1.115 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@H]2C(C)C)CC1 ZINC001071011575 768874563 /nfs/dbraw/zinc/87/45/63/768874563.db2.gz LIZJWLSETCTAOC-GJZGRUSLSA-N 1 2 307.438 1.115 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H](NC(=O)CS(=O)(=O)C(C)C)CC[C@@H]1C ZINC001132493159 768925830 /nfs/dbraw/zinc/92/58/30/768925830.db2.gz JRXYWDPQUIDMSB-UONOGXRCSA-N 1 2 316.467 1.355 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H](NC(=O)CS(=O)(=O)C(C)C)CC[C@@H]1C ZINC001132493159 768925832 /nfs/dbraw/zinc/92/58/32/768925832.db2.gz JRXYWDPQUIDMSB-UONOGXRCSA-N 1 2 316.467 1.355 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[C@H](C)[N@@H+](CC(N)=O)C2)CCCCC1 ZINC001132508532 768938749 /nfs/dbraw/zinc/93/87/49/768938749.db2.gz LOISTMOQHGRREQ-GJZGRUSLSA-N 1 2 321.465 1.967 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[C@H](C)[N@H+](CC(N)=O)C2)CCCCC1 ZINC001132508532 768938753 /nfs/dbraw/zinc/93/87/53/768938753.db2.gz LOISTMOQHGRREQ-GJZGRUSLSA-N 1 2 321.465 1.967 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@]2(C1)CN(C(C)=O)C[C@@H](C)O2 ZINC001071110567 768956407 /nfs/dbraw/zinc/95/64/07/768956407.db2.gz QJPZXVXEWNPCKJ-DGCLKSJQSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@]2(C1)CN(C(C)=O)C[C@@H](C)O2 ZINC001071110567 768956413 /nfs/dbraw/zinc/95/64/13/768956413.db2.gz QJPZXVXEWNPCKJ-DGCLKSJQSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001071141433 769013663 /nfs/dbraw/zinc/01/36/63/769013663.db2.gz FLRFJMFIXPFNFR-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@@H](C)[C@@H](CNCC#N)C2)c[nH+]1 ZINC001132920860 769323410 /nfs/dbraw/zinc/32/34/10/769323410.db2.gz VGYACCSVLWTBHA-HIFRSBDPSA-N 1 2 317.437 1.524 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071415810 769401813 /nfs/dbraw/zinc/40/18/13/769401813.db2.gz LJSMKMGZPSTQCL-CYBMUJFWSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2ccn(C)n2)CC[C@H]1C ZINC001071504322 769528064 /nfs/dbraw/zinc/52/80/64/769528064.db2.gz CWIDOWXJZXWETH-TZMCWYRMSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2ccn(C)n2)CC[C@H]1C ZINC001071504322 769528075 /nfs/dbraw/zinc/52/80/75/769528075.db2.gz CWIDOWXJZXWETH-TZMCWYRMSA-N 1 2 310.829 1.684 20 30 DDEDLO C[C@@H]1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C[C@@H]1CNCC#N ZINC001133240809 769617201 /nfs/dbraw/zinc/61/72/01/769617201.db2.gz AIYSLIBHLNZUQB-OLZOCXBDSA-N 1 2 303.410 1.285 20 30 DDEDLO C=C1CCC(C(=O)NCC[NH2+][C@H](C)c2nc(COC)no2)CC1 ZINC001133365224 769749973 /nfs/dbraw/zinc/74/99/73/769749973.db2.gz IGTUCJFSUSZZSV-GFCCVEGCSA-N 1 2 322.409 1.729 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2c(C)nc[nH]c2=O)CC[C@@H]1C ZINC001071763432 770024105 /nfs/dbraw/zinc/02/41/05/770024105.db2.gz VVYWZHOLQYRLPD-CMPLNLGQSA-N 1 2 324.812 1.826 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2c(C)nc[nH]c2=O)CC[C@@H]1C ZINC001071763432 770024118 /nfs/dbraw/zinc/02/41/18/770024118.db2.gz VVYWZHOLQYRLPD-CMPLNLGQSA-N 1 2 324.812 1.826 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001071995949 770406740 /nfs/dbraw/zinc/40/67/40/770406740.db2.gz NSCAVVFQGKMAHM-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnn3cc[nH]c23)CC[C@H]1C ZINC001072023479 770438901 /nfs/dbraw/zinc/43/89/01/770438901.db2.gz RTFYEPJWKCQKMR-NEPJUHHUSA-N 1 2 321.812 1.998 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnn3cc[nH]c23)CC[C@H]1C ZINC001072023479 770438904 /nfs/dbraw/zinc/43/89/04/770438904.db2.gz RTFYEPJWKCQKMR-NEPJUHHUSA-N 1 2 321.812 1.998 20 30 DDEDLO C[C@H](CC(=O)N[C@H]1CCN(c2ccc(C#N)nc2)C1)n1cc[nH+]c1 ZINC001096488839 770450069 /nfs/dbraw/zinc/45/00/69/770450069.db2.gz UMVDYVAWRVKUPP-HIFRSBDPSA-N 1 2 324.388 1.496 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001072111014 770554939 /nfs/dbraw/zinc/55/49/39/770554939.db2.gz GRGFBLGBAOSKSH-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)COC ZINC001049260970 770618380 /nfs/dbraw/zinc/61/83/80/770618380.db2.gz QDKDKRMZYYAUDE-NWDGAFQWSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)COC ZINC001049260970 770618382 /nfs/dbraw/zinc/61/83/82/770618382.db2.gz QDKDKRMZYYAUDE-NWDGAFQWSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@H]1C ZINC001072426183 770874743 /nfs/dbraw/zinc/87/47/43/770874743.db2.gz DRUFCRHRKIEFEN-HIFRSBDPSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@H]1C ZINC001072426184 770875575 /nfs/dbraw/zinc/87/55/75/770875575.db2.gz DRUFCRHRKIEFEN-UKRRQHHQSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@H]1C ZINC001072430818 770884409 /nfs/dbraw/zinc/88/44/09/770884409.db2.gz YNAYWUQMDSCHES-TZMCWYRMSA-N 1 2 318.421 1.138 20 30 DDEDLO Cc1nc(N[C@@H](CNC(=O)CSCC#N)C2CC2)cc[nH+]1 ZINC001096585002 771233134 /nfs/dbraw/zinc/23/31/34/771233134.db2.gz JBEWLXMKHUJZGB-LBPRGKRZSA-N 1 2 305.407 1.349 20 30 DDEDLO C=CCn1cc(C(=O)NCC[NH2+]Cc2ncc(C(C)C)o2)nn1 ZINC001134973416 771254217 /nfs/dbraw/zinc/25/42/17/771254217.db2.gz QABIHVLBGYBXDZ-UHFFFAOYSA-N 1 2 318.381 1.095 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccnn1CC)C2 ZINC001096995764 771563380 /nfs/dbraw/zinc/56/33/80/771563380.db2.gz KKCSJIUJDNFWGD-UPJWGTAASA-N 1 2 308.813 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccnn1CC)C2 ZINC001096995764 771563384 /nfs/dbraw/zinc/56/33/84/771563384.db2.gz KKCSJIUJDNFWGD-UPJWGTAASA-N 1 2 308.813 1.991 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C12CCC2 ZINC001090598746 772027644 /nfs/dbraw/zinc/02/76/44/772027644.db2.gz HQBCIXHJLKRNFX-ZIAGYGMSSA-N 1 2 316.405 1.827 20 30 DDEDLO COC(=O)c1cn2c(n1)C[N@H+]([C@@H](C)Cc1cccc(C#N)c1)CC2 ZINC001171230024 772651615 /nfs/dbraw/zinc/65/16/15/772651615.db2.gz ROFJFFDUDBBFDA-ZDUSSCGKSA-N 1 2 324.384 1.988 20 30 DDEDLO COC(=O)c1cn2c(n1)C[N@@H+]([C@@H](C)Cc1cccc(C#N)c1)CC2 ZINC001171230024 772651617 /nfs/dbraw/zinc/65/16/17/772651617.db2.gz ROFJFFDUDBBFDA-ZDUSSCGKSA-N 1 2 324.384 1.988 20 30 DDEDLO N#CCSCC(=O)N1CC2(C1)CC[N@H+](Cc1cscn1)C2 ZINC001147031173 773023084 /nfs/dbraw/zinc/02/30/84/773023084.db2.gz IDOHJVJIUNDHQQ-UHFFFAOYSA-N 1 2 322.459 1.434 20 30 DDEDLO N#CCSCC(=O)N1CC2(C1)CC[N@@H+](Cc1cscn1)C2 ZINC001147031173 773023087 /nfs/dbraw/zinc/02/30/87/773023087.db2.gz IDOHJVJIUNDHQQ-UHFFFAOYSA-N 1 2 322.459 1.434 20 30 DDEDLO C=CCC[C@H](C)[NH2+]CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001147368835 773122443 /nfs/dbraw/zinc/12/24/43/773122443.db2.gz GPCNOSLNMHYHRW-LBPRGKRZSA-N 1 2 316.409 1.484 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H]2CCC2(F)F)C1 ZINC001073657407 773271616 /nfs/dbraw/zinc/27/16/16/773271616.db2.gz GERMIYYOOQRZJM-NEPJUHHUSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H]2CCC2(F)F)C1 ZINC001073657407 773271623 /nfs/dbraw/zinc/27/16/23/773271623.db2.gz GERMIYYOOQRZJM-NEPJUHHUSA-N 1 2 322.783 1.991 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001148104653 773349578 /nfs/dbraw/zinc/34/95/78/773349578.db2.gz UJKXDZKDLWEAMG-UHFFFAOYSA-N 1 2 315.421 1.043 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001148104653 773349586 /nfs/dbraw/zinc/34/95/86/773349586.db2.gz UJKXDZKDLWEAMG-UHFFFAOYSA-N 1 2 315.421 1.043 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001073996555 773576815 /nfs/dbraw/zinc/57/68/15/773576815.db2.gz LEQOTLRDRCCGMW-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C)o1)C2 ZINC001148874302 773610582 /nfs/dbraw/zinc/61/05/82/773610582.db2.gz PWKULXQWFNRDJX-CQSZACIVSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C)o1)C2 ZINC001148874302 773610586 /nfs/dbraw/zinc/61/05/86/773610586.db2.gz PWKULXQWFNRDJX-CQSZACIVSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001074101415 773631491 /nfs/dbraw/zinc/63/14/91/773631491.db2.gz MPGOTIOYNOWCCP-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)n3C)C[C@H]21 ZINC001074163724 773687273 /nfs/dbraw/zinc/68/72/73/773687273.db2.gz UWPJHZMQMRXACO-SJORKVTESA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)n3C)C[C@H]21 ZINC001074163724 773687277 /nfs/dbraw/zinc/68/72/77/773687277.db2.gz UWPJHZMQMRXACO-SJORKVTESA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C[C@H]21 ZINC001074204917 773726575 /nfs/dbraw/zinc/72/65/75/773726575.db2.gz KDWTWBQGBAQWPX-HZPDHXFCSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C[C@H]21 ZINC001074204917 773726576 /nfs/dbraw/zinc/72/65/76/773726576.db2.gz KDWTWBQGBAQWPX-HZPDHXFCSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3C)C[C@@H]21 ZINC001074208324 773731580 /nfs/dbraw/zinc/73/15/80/773731580.db2.gz GWCPUXIZIBXTAC-HOTGVXAUSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3C)C[C@@H]21 ZINC001074208324 773731582 /nfs/dbraw/zinc/73/15/82/773731582.db2.gz GWCPUXIZIBXTAC-HOTGVXAUSA-N 1 2 303.406 1.815 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3nccc(C)n3)C[C@H]21 ZINC001074229545 773753853 /nfs/dbraw/zinc/75/38/53/773753853.db2.gz MHDLTJPCVKHWDR-HUUCEWRRSA-N 1 2 316.405 1.276 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3nccc(C)n3)C[C@H]21 ZINC001074229545 773753857 /nfs/dbraw/zinc/75/38/57/773753857.db2.gz MHDLTJPCVKHWDR-HUUCEWRRSA-N 1 2 316.405 1.276 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccccc3)C[C@@H]21 ZINC001074279260 773789928 /nfs/dbraw/zinc/78/99/28/773789928.db2.gz IVVRDHVWGAIDQH-ZWKOTPCHSA-N 1 2 312.413 1.554 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccccc3)C[C@@H]21 ZINC001074279260 773789931 /nfs/dbraw/zinc/78/99/31/773789931.db2.gz IVVRDHVWGAIDQH-ZWKOTPCHSA-N 1 2 312.413 1.554 20 30 DDEDLO C[C@H]1CN(O)C(=O)[C@H]1Nc1cc[nH+]c(OC2CCOCC2)c1 ZINC001171368524 773825692 /nfs/dbraw/zinc/82/56/92/773825692.db2.gz HTGGIQGYVFSBMP-HZMBPMFUSA-N 1 2 307.350 1.287 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3csc(C)c3)C[C@@H]21 ZINC001074357139 773851958 /nfs/dbraw/zinc/85/19/58/773851958.db2.gz VYIDRVGHTVHLBV-HOTGVXAUSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3csc(C)c3)C[C@@H]21 ZINC001074357139 773851962 /nfs/dbraw/zinc/85/19/62/773851962.db2.gz VYIDRVGHTVHLBV-HOTGVXAUSA-N 1 2 318.442 1.995 20 30 DDEDLO C=CCN1CCO[C@@H]2CCN(C(=O)C(C)(C)c3c[nH+]c[nH]3)C[C@H]21 ZINC001074385357 773878647 /nfs/dbraw/zinc/87/86/47/773878647.db2.gz SXSJVDZBOCWIET-ZIAGYGMSSA-N 1 2 318.421 1.175 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092144456 773956965 /nfs/dbraw/zinc/95/69/65/773956965.db2.gz ZNBRFXXZGXSJSO-HUUCEWRRSA-N 1 2 316.405 1.034 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)o1)c1nccn12 ZINC001092344301 774064547 /nfs/dbraw/zinc/06/45/47/774064547.db2.gz NVYLIYZATBTKDH-ZDUSSCGKSA-N 1 2 312.373 1.856 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1oncc1C)c1nccn12 ZINC001092405691 774104227 /nfs/dbraw/zinc/10/42/27/774104227.db2.gz JZQVEVFGXJYBHW-LBPRGKRZSA-N 1 2 313.361 1.251 20 30 DDEDLO CCc1cc(N2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H](C)C#N)C3)nc(C)[nH+]1 ZINC001092811190 774276898 /nfs/dbraw/zinc/27/68/98/774276898.db2.gz CCMUKWJLCXQIFL-PUPMMZHASA-N 1 2 313.405 1.733 20 30 DDEDLO C[C@H](CC(=O)NC[C@H](C)Nc1ccc(C#N)nn1)n1cc[nH+]c1 ZINC001098303487 774377365 /nfs/dbraw/zinc/37/73/65/774377365.db2.gz UIZMLQRDLPTOKW-NWDGAFQWSA-N 1 2 313.365 1.113 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnn(CC)c1)C2 ZINC001098192863 774510286 /nfs/dbraw/zinc/51/02/86/774510286.db2.gz KDSPGXVCLGYWKO-KFWWJZLASA-N 1 2 322.840 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnn(CC)c1)C2 ZINC001098192863 774510288 /nfs/dbraw/zinc/51/02/88/774510288.db2.gz KDSPGXVCLGYWKO-KFWWJZLASA-N 1 2 322.840 1.920 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001093233111 774544086 /nfs/dbraw/zinc/54/40/86/774544086.db2.gz YKVDTGXZJXTJLN-HALDLXJZSA-N 1 2 316.405 1.319 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CC(C)(C)C)C2)nn1 ZINC001098611874 774621619 /nfs/dbraw/zinc/62/16/19/774621619.db2.gz UFQNIZZPWKNIBQ-HNNXBMFYSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@@H]21 ZINC001036818965 774707314 /nfs/dbraw/zinc/70/73/14/774707314.db2.gz LJNQGYOHAHRCQQ-MFKMUULPSA-N 1 2 308.332 1.517 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@@H]21 ZINC001036818965 774707317 /nfs/dbraw/zinc/70/73/17/774707317.db2.gz LJNQGYOHAHRCQQ-MFKMUULPSA-N 1 2 308.332 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CN(C(=O)CCc3cnn[nH]3)CC[C@H]21 ZINC001036824403 774740730 /nfs/dbraw/zinc/74/07/30/774740730.db2.gz WUTNOUMFZAQFAA-GXTWGEPZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CN(C(=O)CCc3cnn[nH]3)CC[C@H]21 ZINC001036824403 774740732 /nfs/dbraw/zinc/74/07/32/774740732.db2.gz WUTNOUMFZAQFAA-GXTWGEPZSA-N 1 2 323.828 1.413 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC(C)(C)C[N@@H+]1Cc1cnnn1C ZINC001098982347 774754878 /nfs/dbraw/zinc/75/48/78/774754878.db2.gz KEHQYWVABQYVQH-CHWSQXEVSA-N 1 2 318.425 1.082 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC(C)(C)C[N@H+]1Cc1cnnn1C ZINC001098982347 774754880 /nfs/dbraw/zinc/75/48/80/774754880.db2.gz KEHQYWVABQYVQH-CHWSQXEVSA-N 1 2 318.425 1.082 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccc(F)cn3)CC2)C1 ZINC001093529269 774781301 /nfs/dbraw/zinc/78/13/01/774781301.db2.gz RECJPDQSBNABND-UHFFFAOYSA-N 1 2 319.380 1.712 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3onc(C)c3C)CC2)C1 ZINC001093544400 774818690 /nfs/dbraw/zinc/81/86/90/774818690.db2.gz DNHOCYUPKNBFGL-UHFFFAOYSA-N 1 2 319.405 1.783 20 30 DDEDLO N#Cc1sc(NCCNC(=O)CCc2[nH]cc[nH+]2)nc1Cl ZINC001093582499 774859799 /nfs/dbraw/zinc/85/97/99/774859799.db2.gz UUGDCODLJOJGOF-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO CC1(C)CC[C@H](CNC(=O)CCn2cc[nH+]c2)N(CC#N)C1 ZINC001099160157 774870314 /nfs/dbraw/zinc/87/03/14/774870314.db2.gz ZTNJKUDZBPYSPN-CQSZACIVSA-N 1 2 303.410 1.404 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@]3(C)CCO[C@@H]3C)CC2)C1 ZINC001093557144 774881003 /nfs/dbraw/zinc/88/10/03/774881003.db2.gz MZSMDKUJQYFZKQ-RDTXWAMCSA-N 1 2 322.449 1.679 20 30 DDEDLO Cc1nsc(N[C@@H](C)CCNC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001099712367 775171722 /nfs/dbraw/zinc/17/17/22/775171722.db2.gz ANGKXLSUMPEWJZ-VIFPVBQESA-N 1 2 318.406 1.596 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094063479 775391272 /nfs/dbraw/zinc/39/12/72/775391272.db2.gz CMLSTWATFROFKR-WDEREUQCSA-N 1 2 306.414 1.232 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCN(C)c1nc(C)[nH+]c(C)c1C ZINC001099985118 775524997 /nfs/dbraw/zinc/52/49/97/775524997.db2.gz WNFRCHTZASLJDA-CQSZACIVSA-N 1 2 320.437 1.935 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001100020586 775583063 /nfs/dbraw/zinc/58/30/63/775583063.db2.gz PYRFQHNZEUVCHC-KGLIPLIRSA-N 1 2 323.462 1.797 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001100020586 775583066 /nfs/dbraw/zinc/58/30/66/775583066.db2.gz PYRFQHNZEUVCHC-KGLIPLIRSA-N 1 2 323.462 1.797 20 30 DDEDLO Cc1cc(N(C)CCNC(=O)C#CC(C)C)nc(C2CC2)[nH+]1 ZINC001100055006 775625431 /nfs/dbraw/zinc/62/54/31/775625431.db2.gz ITDZKUDRKOBKBX-UHFFFAOYSA-N 1 2 300.406 1.874 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3csc(C)c3)nn2)C1 ZINC001094326939 775705405 /nfs/dbraw/zinc/70/54/05/775705405.db2.gz UVVCGBCKBJSHTJ-UHFFFAOYSA-N 1 2 317.418 1.621 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC[C@@H](C)C3)nn2)C1 ZINC001094325390 775753487 /nfs/dbraw/zinc/75/34/87/775753487.db2.gz MDXQYPYUSJPNPD-ZIAGYGMSSA-N 1 2 315.421 1.211 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@@H]2CCc3c[nH+]cn3C2)cn1 ZINC001094655808 776175290 /nfs/dbraw/zinc/17/52/90/776175290.db2.gz APRIYIGTVMMHQV-CYBMUJFWSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)c3ccccc3)CC2=O)C1 ZINC001094691503 776200663 /nfs/dbraw/zinc/20/06/63/776200663.db2.gz HBRYTEJCAOXFHN-HNNXBMFYSA-N 1 2 313.401 1.278 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3CCCC3)CC2=O)C1 ZINC001094695755 776204342 /nfs/dbraw/zinc/20/43/42/776204342.db2.gz YAILBGJVVKPDDR-CQSZACIVSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@]34C[C@H]3CCCC4)CC2=O)C1 ZINC001094782271 776272239 /nfs/dbraw/zinc/27/22/39/776272239.db2.gz OCWXQYBIGHQMQH-HBUWYVDXSA-N 1 2 317.433 1.154 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3ccc(C)cc3)CC2=O)C1 ZINC001094809342 776309908 /nfs/dbraw/zinc/30/99/08/776309908.db2.gz WXPSUHRDILBFNQ-HNNXBMFYSA-N 1 2 313.401 1.196 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)[NH+]1CCN(S(C)(=O)=O)CC1 ZINC001172306011 776743585 /nfs/dbraw/zinc/74/35/85/776743585.db2.gz HXSIZOCVTXRHDI-CYBMUJFWSA-N 1 2 307.419 1.066 20 30 DDEDLO Cc1nc(N2CC[C@@H](CNC(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001100950252 776749984 /nfs/dbraw/zinc/74/99/84/776749984.db2.gz QNOCINOBBPLRSD-HZMBPMFUSA-N 1 2 301.394 1.504 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100957499 776761231 /nfs/dbraw/zinc/76/12/31/776761231.db2.gz ODEIRKLIGDVJKN-DZGCQCFKSA-N 1 2 318.421 1.709 20 30 DDEDLO Cc1cc(N2CC[C@@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)c(C#N)cn1 ZINC001101063314 776870020 /nfs/dbraw/zinc/87/00/20/776870020.db2.gz YPKRPIYAFDSVRX-ZDUSSCGKSA-N 1 2 324.388 1.170 20 30 DDEDLO CCCN(CCNC(=O)Cn1cc[nH+]c1)c1ccc(C#N)nc1 ZINC001101155585 776952089 /nfs/dbraw/zinc/95/20/89/776952089.db2.gz CTNXOTXIDUJGAN-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO CCCN(CCNC(=O)Cc1[nH]cc[nH+]1)c1ncccc1C#N ZINC001101172659 776964389 /nfs/dbraw/zinc/96/43/89/776964389.db2.gz SLAKEYSHMLAIAI-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001095033391 777009993 /nfs/dbraw/zinc/00/99/93/777009993.db2.gz RYXXYTRUDILIRZ-GFCCVEGCSA-N 1 2 312.377 1.036 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)NC1CCC(C)(C#N)CC1 ZINC001173590901 777272032 /nfs/dbraw/zinc/27/20/32/777272032.db2.gz QAFOCYMHCFKINW-UGWHAMFMSA-N 1 2 304.394 1.566 20 30 DDEDLO COC(=O)[C@H](Cc1cncn1C)[NH2+]C1CCC(C)(C#N)CC1 ZINC001173590901 777272039 /nfs/dbraw/zinc/27/20/39/777272039.db2.gz QAFOCYMHCFKINW-UGWHAMFMSA-N 1 2 304.394 1.566 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCCC)c1nccn12 ZINC001101609232 777300114 /nfs/dbraw/zinc/30/01/14/777300114.db2.gz XPNQJIBCWFZIMF-CQSZACIVSA-N 1 2 300.406 1.669 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)CC)c1nccn12 ZINC001101610706 777300954 /nfs/dbraw/zinc/30/09/54/777300954.db2.gz UPLUHHJNUWWPSF-KGLIPLIRSA-N 1 2 300.406 1.524 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](CC)OCC)c1nccn12 ZINC001101613898 777305000 /nfs/dbraw/zinc/30/50/00/777305000.db2.gz KAVCKVYQOUKVPM-KGLIPLIRSA-N 1 2 318.421 1.456 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)(C)CC)c1nccn12 ZINC001101642959 777339968 /nfs/dbraw/zinc/33/99/68/777339968.db2.gz QUDZLDJDVHZRPU-CQSZACIVSA-N 1 2 314.433 1.915 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC(CNc2cc[nH+]c(C)n2)CC1 ZINC001095258794 777366508 /nfs/dbraw/zinc/36/65/08/777366508.db2.gz VGKUAPXRHHWXTJ-QGZVFWFLSA-N 1 2 318.421 1.763 20 30 DDEDLO C#Cc1cc(Nc2ccc(S(=O)(=O)N(C)C)cc2)cc[nH+]1 ZINC001174256347 777443637 /nfs/dbraw/zinc/44/36/37/777443637.db2.gz IRVFEPJZQHWLLQ-UHFFFAOYSA-N 1 2 301.371 1.479 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2nn(C)cc2Cl)C[C@H]1C ZINC001101823816 777569604 /nfs/dbraw/zinc/56/96/04/777569604.db2.gz MSMXUHOLBNGJQM-IJLUTSLNSA-N 1 2 323.828 1.417 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2nn(C)cc2Cl)C[C@H]1C ZINC001101823816 777569610 /nfs/dbraw/zinc/56/96/10/777569610.db2.gz MSMXUHOLBNGJQM-IJLUTSLNSA-N 1 2 323.828 1.417 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncn1C ZINC001110182976 777720674 /nfs/dbraw/zinc/72/06/74/777720674.db2.gz GUELHRAGHFDELM-XRGAULLZSA-N 1 2 318.421 1.233 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncn1C ZINC001110182976 777720678 /nfs/dbraw/zinc/72/06/78/777720678.db2.gz GUELHRAGHFDELM-XRGAULLZSA-N 1 2 318.421 1.233 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1CNC(=O)C#CC1CC1 ZINC001101951126 777721798 /nfs/dbraw/zinc/72/17/98/777721798.db2.gz BREVSPQKNRDWQB-DGCLKSJQSA-N 1 2 304.419 1.136 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1CNC(=O)C#CC1CC1 ZINC001101951126 777721807 /nfs/dbraw/zinc/72/18/07/777721807.db2.gz BREVSPQKNRDWQB-DGCLKSJQSA-N 1 2 304.419 1.136 20 30 DDEDLO CN(CCNc1cnc(C#N)cn1)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001102023543 777805034 /nfs/dbraw/zinc/80/50/34/777805034.db2.gz YDGLDSQIAGCTOU-UHFFFAOYSA-N 1 2 321.344 1.409 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102335716 778074035 /nfs/dbraw/zinc/07/40/35/778074035.db2.gz XIUWRJRWFSSQPX-HIFRSBDPSA-N 1 2 318.421 1.709 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102335718 778074181 /nfs/dbraw/zinc/07/41/81/778074181.db2.gz XIUWRJRWFSSQPX-ZFWWWQNUSA-N 1 2 318.421 1.709 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](CCNC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001102344074 778080664 /nfs/dbraw/zinc/08/06/64/778080664.db2.gz TUZUSCHOTHLSKT-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO C#CCOCCC(=O)NCC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102355589 778092133 /nfs/dbraw/zinc/09/21/33/778092133.db2.gz INBFUXHWXHRMGZ-HNNXBMFYSA-N 1 2 316.405 1.158 20 30 DDEDLO Cn1nccc1[C@H]1CCC[N@@H+]1Cc1cc(=O)n2ncc(C#N)c2[nH]1 ZINC001176514175 778169748 /nfs/dbraw/zinc/16/97/48/778169748.db2.gz AYOORIZJGCTSBG-CQSZACIVSA-N 1 2 323.360 1.377 20 30 DDEDLO Cn1nccc1[C@H]1CCC[N@H+]1Cc1cc(=O)n2ncc(C#N)c2[nH]1 ZINC001176514175 778169751 /nfs/dbraw/zinc/16/97/51/778169751.db2.gz AYOORIZJGCTSBG-CQSZACIVSA-N 1 2 323.360 1.377 20 30 DDEDLO C=CCOC(=O)N1CCC(CNC(=O)CCn2cc[nH+]c2)CC1 ZINC001176883764 778293295 /nfs/dbraw/zinc/29/32/95/778293295.db2.gz MGSWVZPMKUHATJ-UHFFFAOYSA-N 1 2 320.393 1.424 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCSCC)C[C@@H]21 ZINC001176923633 778311104 /nfs/dbraw/zinc/31/11/04/778311104.db2.gz HTXDSJOPTJTPKJ-LSDHHAIUSA-N 1 2 310.463 1.455 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCSCC)C[C@@H]21 ZINC001176923633 778311108 /nfs/dbraw/zinc/31/11/08/778311108.db2.gz HTXDSJOPTJTPKJ-LSDHHAIUSA-N 1 2 310.463 1.455 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCSCC)C[C@@H]21 ZINC001176923629 778311221 /nfs/dbraw/zinc/31/12/21/778311221.db2.gz HTXDSJOPTJTPKJ-GJZGRUSLSA-N 1 2 310.463 1.455 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCSCC)C[C@@H]21 ZINC001176923629 778311227 /nfs/dbraw/zinc/31/12/27/778311227.db2.gz HTXDSJOPTJTPKJ-GJZGRUSLSA-N 1 2 310.463 1.455 20 30 DDEDLO Cc1cc(N2CC[C@@H](N(C)C(=O)[C@@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001102701826 778328410 /nfs/dbraw/zinc/32/84/10/778328410.db2.gz JEGYHZBYMBTWGY-SMDDNHRTSA-N 1 2 313.405 1.859 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3=CCCC3)C[C@@H]21 ZINC001176976442 778362441 /nfs/dbraw/zinc/36/24/41/778362441.db2.gz JFWNYXKZPZIFLU-IRXDYDNUSA-N 1 2 320.433 1.601 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C3=CCCC3)C[C@@H]21 ZINC001176976442 778362445 /nfs/dbraw/zinc/36/24/45/778362445.db2.gz JFWNYXKZPZIFLU-IRXDYDNUSA-N 1 2 320.433 1.601 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]2OCC[N@H+](CCC#C)[C@H]2C1 ZINC001176990059 778375300 /nfs/dbraw/zinc/37/53/00/778375300.db2.gz YSDSQVRXLBFIAB-IRXDYDNUSA-N 1 2 302.418 1.505 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCC#C)[C@H]2C1 ZINC001176990059 778375305 /nfs/dbraw/zinc/37/53/05/778375305.db2.gz YSDSQVRXLBFIAB-IRXDYDNUSA-N 1 2 302.418 1.505 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)COC(C)(C)C)C[C@@H]21 ZINC001176989648 778375670 /nfs/dbraw/zinc/37/56/70/778375670.db2.gz VGIKDHGFRJHONW-LSDHHAIUSA-N 1 2 308.422 1.127 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)COC(C)(C)C)C[C@@H]21 ZINC001176989648 778375676 /nfs/dbraw/zinc/37/56/76/778375676.db2.gz VGIKDHGFRJHONW-LSDHHAIUSA-N 1 2 308.422 1.127 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)COC(C)(C)C)C[C@H]21 ZINC001176989645 778376139 /nfs/dbraw/zinc/37/61/39/778376139.db2.gz VGIKDHGFRJHONW-CABCVRRESA-N 1 2 308.422 1.127 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)COC(C)(C)C)C[C@H]21 ZINC001176989645 778376143 /nfs/dbraw/zinc/37/61/43/778376143.db2.gz VGIKDHGFRJHONW-CABCVRRESA-N 1 2 308.422 1.127 20 30 DDEDLO CCOCCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001177006963 778387183 /nfs/dbraw/zinc/38/71/83/778387183.db2.gz WATGUXWNKCSBAV-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO CCOCCC[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001177006963 778387188 /nfs/dbraw/zinc/38/71/88/778387188.db2.gz WATGUXWNKCSBAV-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCOCC3CC3)C[C@@H]21 ZINC001177011312 778389353 /nfs/dbraw/zinc/38/93/53/778389353.db2.gz AIIRZQSGJZCPIY-DLBZAZTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCOCC3CC3)C[C@@H]21 ZINC001177011312 778389357 /nfs/dbraw/zinc/38/93/57/778389357.db2.gz AIIRZQSGJZCPIY-DLBZAZTESA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCOCC3CC3)C[C@H]21 ZINC001177011563 778390251 /nfs/dbraw/zinc/39/02/51/778390251.db2.gz CXAMFKVFLSDYTQ-SJORKVTESA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCOCC3CC3)C[C@H]21 ZINC001177011563 778390258 /nfs/dbraw/zinc/39/02/58/778390258.db2.gz CXAMFKVFLSDYTQ-SJORKVTESA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3)C[C@H]21 ZINC001177018357 778394343 /nfs/dbraw/zinc/39/43/43/778394343.db2.gz DAQSVCGRCLTBPO-HZPDHXFCSA-N 1 2 319.405 1.133 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3)C[C@H]21 ZINC001177018357 778394348 /nfs/dbraw/zinc/39/43/48/778394348.db2.gz DAQSVCGRCLTBPO-HZPDHXFCSA-N 1 2 319.405 1.133 20 30 DDEDLO Cc1[nH+]c[nH]c1CSCCNC(=O)C#Cc1cccnc1 ZINC001177781289 778734780 /nfs/dbraw/zinc/73/47/80/778734780.db2.gz OFJDCVUKMICYSO-UHFFFAOYSA-N 1 2 300.387 1.514 20 30 DDEDLO N#Cc1ccc(NCC2(NC(=O)Cn3cc[nH+]c3)CCCC2)nc1 ZINC001103432815 778864106 /nfs/dbraw/zinc/86/41/06/778864106.db2.gz GRIOCYYBSSZJSU-UHFFFAOYSA-N 1 2 324.388 1.691 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)[C@@H]1C ZINC001178434233 779026053 /nfs/dbraw/zinc/02/60/53/779026053.db2.gz DDSPMONZFVCVIQ-JSGCOSHPSA-N 1 2 322.409 1.440 20 30 DDEDLO O=C(C#Cc1ccc(F)cc1F)NCCOc1cc[nH+]cc1 ZINC001178573747 779107164 /nfs/dbraw/zinc/10/71/64/779107164.db2.gz BEIYTPVWCNNJJB-UHFFFAOYSA-N 1 2 302.280 1.907 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)[C@@H]1C ZINC001178577286 779108990 /nfs/dbraw/zinc/10/89/90/779108990.db2.gz WMMMXJGEGARPTJ-STQMWFEESA-N 1 2 304.394 1.515 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC1(Nc2cc[nH+]c(C)n2)CCC1 ZINC001111817701 779495996 /nfs/dbraw/zinc/49/59/96/779495996.db2.gz YRGNQKIXAOYMMM-GFCCVEGCSA-N 1 2 304.394 1.827 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001115332777 780046145 /nfs/dbraw/zinc/04/61/45/780046145.db2.gz PVQCBCCQXGAFMH-CIQGVGRVSA-N 1 2 307.419 1.281 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001115332777 780046150 /nfs/dbraw/zinc/04/61/50/780046150.db2.gz PVQCBCCQXGAFMH-CIQGVGRVSA-N 1 2 307.419 1.281 20 30 DDEDLO C#CCCCC(=O)NCCOCCCNc1cc[nH+]c(C)n1 ZINC001115402642 780105721 /nfs/dbraw/zinc/10/57/21/780105721.db2.gz WTBKGZGUYOYYFV-UHFFFAOYSA-N 1 2 304.394 1.523 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)[C@H](CNc1ccc(C#N)nc1)C1CC1 ZINC001115525488 780213334 /nfs/dbraw/zinc/21/33/34/780213334.db2.gz WATCXOLNNMRYDZ-MRXNPFEDSA-N 1 2 324.388 1.499 20 30 DDEDLO Cc1nc(N2CCOCC2)sc1C=[NH+]OC[C@H]1CCOC1 ZINC001116728571 780549403 /nfs/dbraw/zinc/54/94/03/780549403.db2.gz XBJVPRGDJKSQIF-LBPRGKRZSA-N 1 2 311.407 1.675 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)C(C)(C)[C@H]1c1cnn(C)c1 ZINC001117487454 780809318 /nfs/dbraw/zinc/80/93/18/780809318.db2.gz GIZPLIADCFNSBJ-OAHLLOKOSA-N 1 2 300.406 1.632 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)C(C)(C)[C@H]1c1cnn(C)c1 ZINC001117487454 780809324 /nfs/dbraw/zinc/80/93/24/780809324.db2.gz GIZPLIADCFNSBJ-OAHLLOKOSA-N 1 2 300.406 1.632 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)c1ccccc1 ZINC001118123231 781037898 /nfs/dbraw/zinc/03/78/98/781037898.db2.gz IATPNHYTLNLPOL-HOCLYGCPSA-N 1 2 301.390 1.381 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1CCO[C@@H](C)C1)c1ccccc1 ZINC001118123231 781037903 /nfs/dbraw/zinc/03/79/03/781037903.db2.gz IATPNHYTLNLPOL-HOCLYGCPSA-N 1 2 301.390 1.381 20 30 DDEDLO C#CC1(F)CN(C(=O)[C@@H]2CCCC[N@@H+]2Cc2ccncc2)C1 ZINC001119601270 781531807 /nfs/dbraw/zinc/53/18/07/781531807.db2.gz ZFISUTFAQHYGTD-HNNXBMFYSA-N 1 2 301.365 1.620 20 30 DDEDLO C#CC1(F)CN(C(=O)[C@@H]2CCCC[N@H+]2Cc2ccncc2)C1 ZINC001119601270 781531813 /nfs/dbraw/zinc/53/18/13/781531813.db2.gz ZFISUTFAQHYGTD-HNNXBMFYSA-N 1 2 301.365 1.620 20 30 DDEDLO C#CCCCC(=O)NCC[N@@H+](C)CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC001267192875 837510077 /nfs/dbraw/zinc/51/00/77/837510077.db2.gz HPABRPBZLYPGOW-IYBDPMFKSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)NCC[N@H+](C)CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC001267192875 837510085 /nfs/dbraw/zinc/51/00/85/837510085.db2.gz HPABRPBZLYPGOW-IYBDPMFKSA-N 1 2 321.465 1.627 20 30 DDEDLO C=CCCCC(=O)NCC[N@@H+](C)CC(=O)N1CCCC[C@H]1C ZINC001267213976 837546177 /nfs/dbraw/zinc/54/61/77/837546177.db2.gz HXLVDUOTUKQVKG-OAHLLOKOSA-N 1 2 309.454 1.792 20 30 DDEDLO C=CCCCC(=O)NCC[N@H+](C)CC(=O)N1CCCC[C@H]1C ZINC001267213976 837546184 /nfs/dbraw/zinc/54/61/84/837546184.db2.gz HXLVDUOTUKQVKG-OAHLLOKOSA-N 1 2 309.454 1.792 20 30 DDEDLO C[C@@H](CC(=O)NCC[N@H+](C)CC(=O)NCC#N)CC(C)(C)C ZINC001267219804 837553402 /nfs/dbraw/zinc/55/34/02/837553402.db2.gz XTFCGLAKNVYNSC-ZDUSSCGKSA-N 1 2 310.442 1.137 20 30 DDEDLO C[C@@H](CC(=O)NCC[N@@H+](C)CC(=O)NCC#N)CC(C)(C)C ZINC001267219804 837553407 /nfs/dbraw/zinc/55/34/07/837553407.db2.gz XTFCGLAKNVYNSC-ZDUSSCGKSA-N 1 2 310.442 1.137 20 30 DDEDLO C[C@H]1CC[C@H](C(=O)N[C@H]2CCC[N@H+](CC(=O)NCC#N)C2)CC1 ZINC001266246961 835984373 /nfs/dbraw/zinc/98/43/73/835984373.db2.gz ZEMQRJVHEAACHN-KKUMJFAQSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@H]1CC[C@H](C(=O)N[C@H]2CCC[N@@H+](CC(=O)NCC#N)C2)CC1 ZINC001266246961 835984382 /nfs/dbraw/zinc/98/43/82/835984382.db2.gz ZEMQRJVHEAACHN-KKUMJFAQSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cc2F)C1 ZINC001266307458 836092023 /nfs/dbraw/zinc/09/20/23/836092023.db2.gz DUXLHVFIMOIXNU-CQSZACIVSA-N 1 2 310.344 1.858 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cc2F)C1 ZINC001266307458 836092040 /nfs/dbraw/zinc/09/20/40/836092040.db2.gz DUXLHVFIMOIXNU-CQSZACIVSA-N 1 2 310.344 1.858 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2nc(C(C)C)oc2C)C1 ZINC001266318946 836110980 /nfs/dbraw/zinc/11/09/80/836110980.db2.gz DKOGTIRVVRESAI-AWEZNQCLSA-N 1 2 319.405 1.560 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2nc(C(C)C)oc2C)C1 ZINC001266318946 836110987 /nfs/dbraw/zinc/11/09/87/836110987.db2.gz DKOGTIRVVRESAI-AWEZNQCLSA-N 1 2 319.405 1.560 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2cnoc2C(C)C)C1 ZINC001266349818 836156440 /nfs/dbraw/zinc/15/64/40/836156440.db2.gz MXZXSSPAPBRBLS-CYBMUJFWSA-N 1 2 305.378 1.252 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2cnoc2C(C)C)C1 ZINC001266349818 836156442 /nfs/dbraw/zinc/15/64/42/836156442.db2.gz MXZXSSPAPBRBLS-CYBMUJFWSA-N 1 2 305.378 1.252 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CC23CCCC3)C1 ZINC001266350217 836157411 /nfs/dbraw/zinc/15/74/11/836157411.db2.gz RPLNVQQWQOQEKR-KBPBESRZSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CC23CCCC3)C1 ZINC001266350217 836157416 /nfs/dbraw/zinc/15/74/16/836157416.db2.gz RPLNVQQWQOQEKR-KBPBESRZSA-N 1 2 305.422 1.059 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]([N@H+](C)Cc2nonc2C)C1 ZINC001267106120 837359267 /nfs/dbraw/zinc/35/92/67/837359267.db2.gz OQFJFIYWJRLJHL-CZUORRHYSA-N 1 2 322.409 1.128 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]([N@@H+](C)Cc2nonc2C)C1 ZINC001267106120 837359278 /nfs/dbraw/zinc/35/92/78/837359278.db2.gz OQFJFIYWJRLJHL-CZUORRHYSA-N 1 2 322.409 1.128 20 30 DDEDLO CCO[C@@H](CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#CCOC ZINC001267389288 837971469 /nfs/dbraw/zinc/97/14/69/837971469.db2.gz NNWAMBQGIKVZML-XHSDSOJGSA-N 1 2 308.422 1.127 20 30 DDEDLO CCO[C@@H](CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#CCOC ZINC001267389288 837971476 /nfs/dbraw/zinc/97/14/76/837971476.db2.gz NNWAMBQGIKVZML-XHSDSOJGSA-N 1 2 308.422 1.127 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(CCC)C(=O)CCCS(C)(=O)=O)C1 ZINC001267489075 838168358 /nfs/dbraw/zinc/16/83/58/838168358.db2.gz TYVRFKJASGXHKP-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(CCC)C(=O)CCCS(C)(=O)=O)C1 ZINC001267489075 838168363 /nfs/dbraw/zinc/16/83/63/838168363.db2.gz TYVRFKJASGXHKP-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C#CCOCCC(=O)N(CCC)[C@@H]1CC[N@H+](Cc2ccon2)C1 ZINC001267542443 838275366 /nfs/dbraw/zinc/27/53/66/838275366.db2.gz WXUPXWNXKJTPKS-MRXNPFEDSA-N 1 2 319.405 1.527 20 30 DDEDLO C#CCOCCC(=O)N(CCC)[C@@H]1CC[N@@H+](Cc2ccon2)C1 ZINC001267542443 838275377 /nfs/dbraw/zinc/27/53/77/838275377.db2.gz WXUPXWNXKJTPKS-MRXNPFEDSA-N 1 2 319.405 1.527 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC001267573340 838337536 /nfs/dbraw/zinc/33/75/36/838337536.db2.gz OZZVOKPLKSILKI-ARFHVFGLSA-N 1 2 304.438 1.022 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@H](CC)CC(F)F)CC1 ZINC001267607611 838437003 /nfs/dbraw/zinc/43/70/03/838437003.db2.gz OELZKKJVJKTRBX-CQSZACIVSA-N 1 2 317.424 1.930 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC1C[NH+](Cc2ccc(F)cn2)C1 ZINC001267676447 838627908 /nfs/dbraw/zinc/62/79/08/838627908.db2.gz KCRRMMZTXHJRDT-CYBMUJFWSA-N 1 2 321.396 1.750 20 30 DDEDLO C#CCCCCC(=O)NCC1C[NH+](Cc2cc(OC)ccn2)C1 ZINC001267678422 838629751 /nfs/dbraw/zinc/62/97/51/838629751.db2.gz CMPUZEDPTCUDLI-UHFFFAOYSA-N 1 2 315.417 1.832 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCNC(=O)C1CC1 ZINC001267712182 838695953 /nfs/dbraw/zinc/69/59/53/838695953.db2.gz NKODZZMYMYQQBR-BPUTZDHNSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCNC(=O)C1CC1 ZINC001267712182 838695959 /nfs/dbraw/zinc/69/59/59/838695959.db2.gz NKODZZMYMYQQBR-BPUTZDHNSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2CC(C(C)(C)C)C2)C1 ZINC001267734853 838785252 /nfs/dbraw/zinc/78/52/52/838785252.db2.gz BUBWPDQGKZCYKQ-NRXISQOPSA-N 1 2 321.465 1.551 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2CC(C(C)(C)C)C2)C1 ZINC001267734853 838785253 /nfs/dbraw/zinc/78/52/53/838785253.db2.gz BUBWPDQGKZCYKQ-NRXISQOPSA-N 1 2 321.465 1.551 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[N@@H+]([C@H](C)C(N)=O)C2)CCCCC1 ZINC001267735220 838786989 /nfs/dbraw/zinc/78/69/89/838786989.db2.gz PCEHYUUIIJOIPP-KGLIPLIRSA-N 1 2 305.422 1.025 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[N@H+]([C@H](C)C(N)=O)C2)CCCCC1 ZINC001267735220 838786992 /nfs/dbraw/zinc/78/69/92/838786992.db2.gz PCEHYUUIIJOIPP-KGLIPLIRSA-N 1 2 305.422 1.025 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)CS(=O)(=O)C(C)C ZINC001272044344 844433445 /nfs/dbraw/zinc/43/34/45/844433445.db2.gz QTTLCWGHHDRXBP-KGLIPLIRSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)CS(=O)(=O)C(C)C ZINC001272044344 844433453 /nfs/dbraw/zinc/43/34/53/844433453.db2.gz QTTLCWGHHDRXBP-KGLIPLIRSA-N 1 2 314.451 1.061 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2C[N@H+](CCOCC3CC3)CCO2)C1 ZINC001268026657 839429514 /nfs/dbraw/zinc/42/95/14/839429514.db2.gz PPQQSZWRADYIFU-MRXNPFEDSA-N 1 2 322.449 1.586 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2C[N@@H+](CCOCC3CC3)CCO2)C1 ZINC001268026657 839429526 /nfs/dbraw/zinc/42/95/26/839429526.db2.gz PPQQSZWRADYIFU-MRXNPFEDSA-N 1 2 322.449 1.586 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](OC)c2ccc(F)cc2)C1 ZINC001268029243 839444414 /nfs/dbraw/zinc/44/44/14/839444414.db2.gz SRYCAHNADHHLNZ-HOTGVXAUSA-N 1 2 322.380 1.516 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H](OC)c2ccc(F)cc2)C1 ZINC001268029243 839444419 /nfs/dbraw/zinc/44/44/19/839444419.db2.gz SRYCAHNADHHLNZ-HOTGVXAUSA-N 1 2 322.380 1.516 20 30 DDEDLO N#CCNC[C@H](NC(=O)CCCn1cc[nH+]c1)C1CCCCC1 ZINC001268123761 839716469 /nfs/dbraw/zinc/71/64/69/839716469.db2.gz NEJUNUDFQNDEMO-INIZCTEOSA-N 1 2 317.437 1.842 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C\C[NH2+]Cc2nonc2C)cc1 ZINC001268575624 840474306 /nfs/dbraw/zinc/47/43/06/840474306.db2.gz KNMCDPQUCVUUIR-PLNGDYQASA-N 1 2 310.357 1.435 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)C[N@H+](C)CC(=O)Nc1nccs1 ZINC001268732175 840730298 /nfs/dbraw/zinc/73/02/98/840730298.db2.gz GYJLIYZNKLJMIL-GFCCVEGCSA-N 1 2 324.450 1.874 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)C[N@@H+](C)CC(=O)Nc1nccs1 ZINC001268732175 840730305 /nfs/dbraw/zinc/73/03/05/840730305.db2.gz GYJLIYZNKLJMIL-GFCCVEGCSA-N 1 2 324.450 1.874 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@@]1(CC)CCNC1=O ZINC001268734250 840732063 /nfs/dbraw/zinc/73/20/63/840732063.db2.gz SJLMHLLZJYZQQT-FZMZJTMJSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@@]1(CC)CCNC1=O ZINC001268734250 840732070 /nfs/dbraw/zinc/73/20/70/840732070.db2.gz SJLMHLLZJYZQQT-FZMZJTMJSA-N 1 2 301.818 1.092 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC(CNC(=O)[C@H]2CCCCC2(C)C)C1 ZINC001268917405 841002292 /nfs/dbraw/zinc/00/22/92/841002292.db2.gz RYESHYHOAOOLRJ-OAHLLOKOSA-N 1 2 319.449 1.000 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@]23C[C@H]2CCCC3)C1 ZINC001269062078 841160992 /nfs/dbraw/zinc/16/09/92/841160992.db2.gz YHDADKOGMBNMRO-JKIFEVAISA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@]23C[C@H]2CCCC3)C1 ZINC001269062078 841160996 /nfs/dbraw/zinc/16/09/96/841160996.db2.gz YHDADKOGMBNMRO-JKIFEVAISA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](CCCS(C)(=O)=O)C1 ZINC001269069558 841170141 /nfs/dbraw/zinc/17/01/41/841170141.db2.gz QZOUXXLEBZUORG-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](CCCS(C)(=O)=O)C1 ZINC001269069558 841170148 /nfs/dbraw/zinc/17/01/48/841170148.db2.gz QZOUXXLEBZUORG-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001269129514 841245662 /nfs/dbraw/zinc/24/56/62/841245662.db2.gz MERKNWYORAYCML-GXTWGEPZSA-N 1 2 305.378 1.020 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001269129514 841245668 /nfs/dbraw/zinc/24/56/68/841245668.db2.gz MERKNWYORAYCML-GXTWGEPZSA-N 1 2 305.378 1.020 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@H+]2[C@@H]2CCN(CC)C2=O)CCC1 ZINC001269285821 841470405 /nfs/dbraw/zinc/47/04/05/841470405.db2.gz KJFFTVDQQSHUFG-LSDHHAIUSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@@H+]2[C@@H]2CCN(CC)C2=O)CCC1 ZINC001269285821 841470411 /nfs/dbraw/zinc/47/04/11/841470411.db2.gz KJFFTVDQQSHUFG-LSDHHAIUSA-N 1 2 319.449 1.544 20 30 DDEDLO N#CCN1CCC(CCNC(=O)[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC001270124452 842314871 /nfs/dbraw/zinc/31/48/71/842314871.db2.gz CVNNLZYBQZSRCL-HNNXBMFYSA-N 1 2 315.421 1.187 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1(C)CC[NH+](Cc2coc(C)n2)CC1 ZINC001270225324 842401431 /nfs/dbraw/zinc/40/14/31/842401431.db2.gz GKINJPIQHUPHEF-KRWDZBQOSA-N 1 2 321.421 1.781 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2[C@@H]1CC[N@@H+]2Cc1nc2ccccc2[nH]1 ZINC001270507011 842626496 /nfs/dbraw/zinc/62/64/96/842626496.db2.gz GKBCXZXBWQMSRU-KCXAZCMYSA-N 1 2 323.400 1.898 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2[C@@H]1CC[N@H+]2Cc1nc2ccccc2[nH]1 ZINC001270507011 842626508 /nfs/dbraw/zinc/62/65/08/842626508.db2.gz GKBCXZXBWQMSRU-KCXAZCMYSA-N 1 2 323.400 1.898 20 30 DDEDLO CC1(C)CC(CC(=O)N[C@@]2(C)CC[N@H+](CC(=O)NCC#N)C2)C1 ZINC001270625229 842750286 /nfs/dbraw/zinc/75/02/86/842750286.db2.gz VZBBQNSUSVPZKM-KRWDZBQOSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CC(CC(=O)N[C@@]2(C)CC[N@@H+](CC(=O)NCC#N)C2)C1 ZINC001270625229 842750292 /nfs/dbraw/zinc/75/02/92/842750292.db2.gz VZBBQNSUSVPZKM-KRWDZBQOSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)c2ncccc2C)C1 ZINC001270638987 842767230 /nfs/dbraw/zinc/76/72/30/842767230.db2.gz UYDXGJMNEIHXJP-HNNXBMFYSA-N 1 2 319.405 1.023 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)c2ncccc2C)C1 ZINC001270638987 842767237 /nfs/dbraw/zinc/76/72/37/842767237.db2.gz UYDXGJMNEIHXJP-HNNXBMFYSA-N 1 2 319.405 1.023 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)CCOCC(F)(F)F)C1 ZINC001270659220 842786073 /nfs/dbraw/zinc/78/60/73/842786073.db2.gz VXMRCDPHTFTIJD-GFCCVEGCSA-N 1 2 324.343 1.349 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)CCOCC(F)(F)F)C1 ZINC001270659220 842786075 /nfs/dbraw/zinc/78/60/75/842786075.db2.gz VXMRCDPHTFTIJD-GFCCVEGCSA-N 1 2 324.343 1.349 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@H]1C[N@H+](CC(=C)C)CCO1 ZINC001270664471 842795378 /nfs/dbraw/zinc/79/53/78/842795378.db2.gz FRQHNJFEZOPEKZ-RDJZCZTQSA-N 1 2 310.438 1.608 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@H]1C[N@@H+](CC(=C)C)CCO1 ZINC001270664471 842795381 /nfs/dbraw/zinc/79/53/81/842795381.db2.gz FRQHNJFEZOPEKZ-RDJZCZTQSA-N 1 2 310.438 1.608 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CCC3(CC2)COCC(=O)N3)c1F ZINC001143166037 861395539 /nfs/dbraw/zinc/39/55/39/861395539.db2.gz BYDWPCFORQYJSB-UHFFFAOYSA-N 1 2 321.327 1.317 20 30 DDEDLO C[C@H]1CCCN(C(=O)CCc2c[nH+]cn2C)[C@H]1CNCC#N ZINC001271750273 843838892 /nfs/dbraw/zinc/83/88/92/843838892.db2.gz JBGPSNBMBZTVSJ-ZFWWWQNUSA-N 1 2 303.410 1.093 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)CCc1cccc(C)c1 ZINC001326646153 861507526 /nfs/dbraw/zinc/50/75/26/861507526.db2.gz CHLPKZIJFMDJOF-SFHVURJKSA-N 1 2 314.429 1.768 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)CCc1cccc(C)c1 ZINC001326646153 861507533 /nfs/dbraw/zinc/50/75/33/861507533.db2.gz CHLPKZIJFMDJOF-SFHVURJKSA-N 1 2 314.429 1.768 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)CCc1nc(C)no1 ZINC001409596808 845385026 /nfs/dbraw/zinc/38/50/26/845385026.db2.gz QEWILSWGSJXOFK-JTQLQIEISA-N 1 2 300.790 1.500 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)CCc1nc(C)no1 ZINC001409596808 845385034 /nfs/dbraw/zinc/38/50/34/845385034.db2.gz QEWILSWGSJXOFK-JTQLQIEISA-N 1 2 300.790 1.500 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(N)=O ZINC001234509824 846359943 /nfs/dbraw/zinc/35/99/43/846359943.db2.gz QKTYHERSCCVEFT-ONGXEEELSA-N 1 2 301.818 1.172 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(N)=O ZINC001234509824 846359958 /nfs/dbraw/zinc/35/99/58/846359958.db2.gz QKTYHERSCCVEFT-ONGXEEELSA-N 1 2 301.818 1.172 20 30 DDEDLO Cc1cccnc1C[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107819582 847196424 /nfs/dbraw/zinc/19/64/24/847196424.db2.gz RWEAEJOCDROKDE-PBHICJAKSA-N 1 2 316.405 1.257 20 30 DDEDLO Cc1cccnc1C[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107819582 847196430 /nfs/dbraw/zinc/19/64/30/847196430.db2.gz RWEAEJOCDROKDE-PBHICJAKSA-N 1 2 316.405 1.257 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1COCC[N@H+]1CC1CC(F)(F)C1 ZINC001272843335 847539755 /nfs/dbraw/zinc/53/97/55/847539755.db2.gz QYZVWXMGFWMWDE-CYBMUJFWSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1COCC[N@@H+]1CC1CC(F)(F)C1 ZINC001272843335 847539760 /nfs/dbraw/zinc/53/97/60/847539760.db2.gz QYZVWXMGFWMWDE-CYBMUJFWSA-N 1 2 302.365 1.815 20 30 DDEDLO CCOC(=O)C[NH+]1CCC2(C[C@@H]2C(=O)NCCCC#N)CC1 ZINC001272888866 847593165 /nfs/dbraw/zinc/59/31/65/847593165.db2.gz DAIIRAOJYDZBKT-CYBMUJFWSA-N 1 2 307.394 1.072 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1([NH2+]Cc2nnc(CC)o2)CCC1 ZINC001280497916 847957274 /nfs/dbraw/zinc/95/72/74/847957274.db2.gz XXHBENMBTRAHBW-UHFFFAOYSA-N 1 2 306.410 1.973 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H](NC(=O)c2snnc2C2CC2)C1 ZINC001034253039 848194478 /nfs/dbraw/zinc/19/44/78/848194478.db2.gz DILDNMVGUYXZKG-GFCCVEGCSA-N 1 2 304.419 1.633 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2snnc2C2CC2)C1 ZINC001034253039 848194480 /nfs/dbraw/zinc/19/44/80/848194480.db2.gz DILDNMVGUYXZKG-GFCCVEGCSA-N 1 2 304.419 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001034302760 848291211 /nfs/dbraw/zinc/29/12/11/848291211.db2.gz WXUQVPPFMQHSFL-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001034302760 848291219 /nfs/dbraw/zinc/29/12/19/848291219.db2.gz WXUQVPPFMQHSFL-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2cncc(OC)n2)C1 ZINC001034432902 848519433 /nfs/dbraw/zinc/51/94/33/848519433.db2.gz ZYBFQYFGECLWHD-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2cncc(OC)n2)C1 ZINC001034432902 848519435 /nfs/dbraw/zinc/51/94/35/848519435.db2.gz ZYBFQYFGECLWHD-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(C)CC[NH2+]C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001273261159 849236048 /nfs/dbraw/zinc/23/60/48/849236048.db2.gz QGDXLGUMLVJGNS-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)CC[NH2+]C[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC001273261159 849236056 /nfs/dbraw/zinc/23/60/56/849236056.db2.gz QGDXLGUMLVJGNS-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2nc3ccccc3n2C)C1=O ZINC001273323067 849523321 /nfs/dbraw/zinc/52/33/21/849523321.db2.gz UFIQWBRNUBGBRW-IBGZPJMESA-N 1 2 322.412 1.773 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2nc3ccccc3n2C)C1=O ZINC001273323067 849523326 /nfs/dbraw/zinc/52/33/26/849523326.db2.gz UFIQWBRNUBGBRW-IBGZPJMESA-N 1 2 322.412 1.773 20 30 DDEDLO COCC[N@H+](CCNC(=O)C1CC1)Cc1ccc(C#N)cc1F ZINC001411216133 850419676 /nfs/dbraw/zinc/41/96/76/850419676.db2.gz MLIJGOFDZAHBER-UHFFFAOYSA-N 1 2 319.380 1.672 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C1CC1)Cc1ccc(C#N)cc1F ZINC001411216133 850419688 /nfs/dbraw/zinc/41/96/88/850419688.db2.gz MLIJGOFDZAHBER-UHFFFAOYSA-N 1 2 319.380 1.672 20 30 DDEDLO C#CCN1C(=O)C[C@]2(CCCN(c3cc[nH+]c(OC)c3)C2)C1=O ZINC001273585522 851116025 /nfs/dbraw/zinc/11/60/25/851116025.db2.gz QPWAODQDGRTDHL-KRWDZBQOSA-N 1 2 313.357 1.069 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](CC(C)C)OC)CO2 ZINC001273712912 851255899 /nfs/dbraw/zinc/25/58/99/851255899.db2.gz KCIXGGODMYNXBW-CABCVRRESA-N 1 2 308.422 1.030 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1COC2(C[NH+](CCOCC)C2)C1 ZINC001273713012 851255981 /nfs/dbraw/zinc/25/59/81/851255981.db2.gz MGFAZNWSIUTGOX-HNNXBMFYSA-N 1 2 308.422 1.176 20 30 DDEDLO CNC(=O)[C@@H]1CC12CC[NH+](CC(=O)c1ccc(C#N)cc1)CC2 ZINC001273727390 851279801 /nfs/dbraw/zinc/27/98/01/851279801.db2.gz MNKLXOABOUZFJT-HNNXBMFYSA-N 1 2 311.385 1.589 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cc(OC)ccc1C)C2 ZINC001274408583 852242237 /nfs/dbraw/zinc/24/22/37/852242237.db2.gz IVOCFXRNCNHCCF-UHFFFAOYSA-N 1 2 314.385 1.050 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)CCc3cn(C)nn3)cc2C1 ZINC001327698816 862398751 /nfs/dbraw/zinc/39/87/51/862398751.db2.gz HBQWYJVQLIISBM-UHFFFAOYSA-N 1 2 323.400 1.013 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)CCc3cn(C)nn3)cc2C1 ZINC001327698816 862398765 /nfs/dbraw/zinc/39/87/65/862398765.db2.gz HBQWYJVQLIISBM-UHFFFAOYSA-N 1 2 323.400 1.013 20 30 DDEDLO COCC#CC[NH2+]CC1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001275233173 852917250 /nfs/dbraw/zinc/91/72/50/852917250.db2.gz QXSXYCGODSCEMF-VQXHTEKXSA-N 1 2 310.291 1.021 20 30 DDEDLO COCC#CC[NH2+]CC1CC(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001275233173 852917255 /nfs/dbraw/zinc/91/72/55/852917255.db2.gz QXSXYCGODSCEMF-VQXHTEKXSA-N 1 2 310.291 1.021 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)C[NH2+]Cc2nc([C@@H](C)OC)no2)C1 ZINC001275303714 852966490 /nfs/dbraw/zinc/96/64/90/852966490.db2.gz OYXGAJAKXRXGPC-NWDGAFQWSA-N 1 2 322.409 1.728 20 30 DDEDLO COCCOCN1C[C@H]2C[C@@H](C1)[N@H+]2Cc1ccc(C)c(C#N)c1 ZINC001275374525 853034245 /nfs/dbraw/zinc/03/42/45/853034245.db2.gz ZGIOUXJVQIPYSP-HDICACEKSA-N 1 2 315.417 1.746 20 30 DDEDLO COCCOCN1C[C@H]2C[C@@H](C1)[N@@H+]2Cc1ccc(C)c(C#N)c1 ZINC001275374525 853034248 /nfs/dbraw/zinc/03/42/48/853034248.db2.gz ZGIOUXJVQIPYSP-HDICACEKSA-N 1 2 315.417 1.746 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@@H]2CCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001111209438 855183873 /nfs/dbraw/zinc/18/38/73/855183873.db2.gz XJGWZWBUJUTRNQ-WCQYABFASA-N 1 2 319.434 1.691 20 30 DDEDLO CC(C)C[C@H]([NH2+]Cc1nc(C#N)cs1)C(=O)N1CCOCC1 ZINC001413158314 856496479 /nfs/dbraw/zinc/49/64/79/856496479.db2.gz YTOIYVGSURXGDC-ZDUSSCGKSA-N 1 2 322.434 1.378 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCC[C@@H]3[C@H]2CCN3CC#N)c[nH+]1 ZINC001049544360 856953538 /nfs/dbraw/zinc/95/35/38/856953538.db2.gz CAZXVQDRTSUNRE-HUUCEWRRSA-N 1 2 315.421 1.516 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn3c(n1)CCC3)C2 ZINC001097098649 857094595 /nfs/dbraw/zinc/09/45/95/857094595.db2.gz PFAKCEUPPACUMH-BZPMIXESSA-N 1 2 320.824 1.917 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn3c(n1)CCC3)C2 ZINC001097098649 857094596 /nfs/dbraw/zinc/09/45/96/857094596.db2.gz PFAKCEUPPACUMH-BZPMIXESSA-N 1 2 320.824 1.917 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001072551131 857433729 /nfs/dbraw/zinc/43/37/29/857433729.db2.gz ATQNFFPIWWHZNL-AWEZNQCLSA-N 1 2 315.421 1.658 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001072551131 857433735 /nfs/dbraw/zinc/43/37/35/857433735.db2.gz ATQNFFPIWWHZNL-AWEZNQCLSA-N 1 2 315.421 1.658 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@H+](C/C(Cl)=C/Cl)C2 ZINC001072553405 857440527 /nfs/dbraw/zinc/44/05/27/857440527.db2.gz YYNQASWLDFEMFS-ZQJWYQMXSA-N 1 2 302.205 1.999 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](C/C(Cl)=C/Cl)C2 ZINC001072553405 857440530 /nfs/dbraw/zinc/44/05/30/857440530.db2.gz YYNQASWLDFEMFS-ZQJWYQMXSA-N 1 2 302.205 1.999 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001072944977 857920179 /nfs/dbraw/zinc/92/01/79/857920179.db2.gz QFCBEDUSYTZDRI-HNNXBMFYSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001072944977 857920189 /nfs/dbraw/zinc/92/01/89/857920189.db2.gz QFCBEDUSYTZDRI-HNNXBMFYSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@H]1CCNC(=O)C1)C(C)C ZINC001442109846 858529993 /nfs/dbraw/zinc/52/99/93/858529993.db2.gz TUUSSVISPUAPFZ-ZDUSSCGKSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@H]1CCNC(=O)C1)C(C)C ZINC001442109846 858529998 /nfs/dbraw/zinc/52/99/98/858529998.db2.gz TUUSSVISPUAPFZ-ZDUSSCGKSA-N 1 2 315.845 1.434 20 30 DDEDLO C=CCC1(NC(=O)NC[C@H](C)[NH+]2CCN(C)CC2)CCCC1 ZINC001123887042 859456840 /nfs/dbraw/zinc/45/68/40/859456840.db2.gz UNVZEEBAUURYFJ-HNNXBMFYSA-N 1 2 308.470 1.810 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)C[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001124648970 859765091 /nfs/dbraw/zinc/76/50/91/859765091.db2.gz KUKQWPQRVSFIRQ-ZIAGYGMSSA-N 1 2 306.410 1.619 20 30 DDEDLO CSc1nc(CN2CC([N@H+](C)C3COC3)C2)ccc1C#N ZINC001141941413 860975340 /nfs/dbraw/zinc/97/53/40/860975340.db2.gz IRYVGKDASYOLMX-UHFFFAOYSA-N 1 2 304.419 1.190 20 30 DDEDLO CSc1nc(CN2CC([N@@H+](C)C3COC3)C2)ccc1C#N ZINC001141941413 860975351 /nfs/dbraw/zinc/97/53/51/860975351.db2.gz IRYVGKDASYOLMX-UHFFFAOYSA-N 1 2 304.419 1.190 20 30 DDEDLO O=C(CC#Cc1ccccc1)NCC[NH2+]Cc1nc(C2CC2)no1 ZINC001151953047 863078357 /nfs/dbraw/zinc/07/83/57/863078357.db2.gz REPBAFUFVVBRGN-UHFFFAOYSA-N 1 2 324.384 1.595 20 30 DDEDLO CC[N@H+](Cc1ccon1)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152790212 863537513 /nfs/dbraw/zinc/53/75/13/863537513.db2.gz QTBKDEKSIADJFL-LLVKDONJSA-N 1 2 301.350 1.515 20 30 DDEDLO CC[N@@H+](Cc1ccon1)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152790212 863537519 /nfs/dbraw/zinc/53/75/19/863537519.db2.gz QTBKDEKSIADJFL-LLVKDONJSA-N 1 2 301.350 1.515 20 30 DDEDLO C#CCCCC(=O)NCCC[NH2+]Cc1nnsc1Cl ZINC001157164466 863625349 /nfs/dbraw/zinc/62/53/49/863625349.db2.gz OAIMZRZTZKVZTE-UHFFFAOYSA-N 1 2 300.815 1.591 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001153086260 863701434 /nfs/dbraw/zinc/70/14/34/863701434.db2.gz UGBKEHOEXXGBPK-UHFFFAOYSA-N 1 2 307.394 1.352 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)Cc2ccccc2C)C1 ZINC001329717215 863808005 /nfs/dbraw/zinc/80/80/05/863808005.db2.gz CYONREQVKSZKCX-UHFFFAOYSA-N 1 2 302.418 1.667 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)COc2ccc(C)cc2)C1 ZINC001329717828 863808569 /nfs/dbraw/zinc/80/85/69/863808569.db2.gz LDTWIPBZIRRIHF-UHFFFAOYSA-N 1 2 318.417 1.503 20 30 DDEDLO C#CC[N@@H+](CC)[C@H](C)CNC(=O)c1cn[nH]c1-c1ccccn1 ZINC001153768960 864082577 /nfs/dbraw/zinc/08/25/77/864082577.db2.gz LIHZADXFVYYRGV-CYBMUJFWSA-N 1 2 311.389 1.545 20 30 DDEDLO C#CC[N@H+](CC)[C@H](C)CNC(=O)c1cn[nH]c1-c1ccccn1 ZINC001153768960 864082594 /nfs/dbraw/zinc/08/25/94/864082594.db2.gz LIHZADXFVYYRGV-CYBMUJFWSA-N 1 2 311.389 1.545 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nnc(CC)o2)CCCC1 ZINC001153809310 864121074 /nfs/dbraw/zinc/12/10/74/864121074.db2.gz JCNUJWHAPIKGOE-UHFFFAOYSA-N 1 2 306.410 1.974 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC(C)(C)C)C(C)(C)C1 ZINC001330200693 864150726 /nfs/dbraw/zinc/15/07/26/864150726.db2.gz AVHWKEWFBYQCCQ-AWEZNQCLSA-N 1 2 319.449 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC(C)(C)C)C(C)(C)C1 ZINC001330200693 864150732 /nfs/dbraw/zinc/15/07/32/864150732.db2.gz AVHWKEWFBYQCCQ-AWEZNQCLSA-N 1 2 319.449 1.165 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001330570819 864459890 /nfs/dbraw/zinc/45/98/90/864459890.db2.gz KFPUUXUBCZLKLO-MCIONIFRSA-N 1 2 314.389 1.024 20 30 DDEDLO C=CCOCC(=O)N1CCC([N@@H+](C)[C@H](C)c2noc(C)n2)CC1 ZINC001331000262 864766648 /nfs/dbraw/zinc/76/66/48/864766648.db2.gz CIVUGRGIIBAMAU-GFCCVEGCSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CCOCC(=O)N1CCC([N@H+](C)[C@H](C)c2noc(C)n2)CC1 ZINC001331000262 864766655 /nfs/dbraw/zinc/76/66/55/864766655.db2.gz CIVUGRGIIBAMAU-GFCCVEGCSA-N 1 2 322.409 1.564 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC001331232436 864956053 /nfs/dbraw/zinc/95/60/53/864956053.db2.gz HZFPQYXNLYFTJA-UONOGXRCSA-N 1 2 316.405 1.303 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@H](C[NH2+]Cc1nnn(C)n1)C1CC1 ZINC001331309654 865004523 /nfs/dbraw/zinc/00/45/23/865004523.db2.gz RASQYATYMLBZPJ-CYBMUJFWSA-N 1 2 320.441 1.139 20 30 DDEDLO N#CC[C@@H](C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)c1ccccc1 ZINC001361543694 881860295 /nfs/dbraw/zinc/86/02/95/881860295.db2.gz AFYMHKDBTJWZEO-IAGOWNOFSA-N 1 2 313.401 1.617 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@H+](Cc2coc(C)n2)CC1 ZINC001159575770 865406670 /nfs/dbraw/zinc/40/66/70/865406670.db2.gz BTGDXUIFYCWGNS-UHFFFAOYSA-N 1 2 319.405 1.824 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@@H+](Cc2coc(C)n2)CC1 ZINC001159575770 865406679 /nfs/dbraw/zinc/40/66/79/865406679.db2.gz BTGDXUIFYCWGNS-UHFFFAOYSA-N 1 2 319.405 1.824 20 30 DDEDLO Cc1cnc(C[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)o1 ZINC001159586914 865413378 /nfs/dbraw/zinc/41/33/78/865413378.db2.gz FBBRPQAISIPYPI-GFCCVEGCSA-N 1 2 302.378 1.781 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)o1 ZINC001159586914 865413387 /nfs/dbraw/zinc/41/33/87/865413387.db2.gz FBBRPQAISIPYPI-GFCCVEGCSA-N 1 2 302.378 1.781 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CCNC(=O)CCc2nnc[nH]2)CC1 ZINC001159745153 865498425 /nfs/dbraw/zinc/49/84/25/865498425.db2.gz LSWQPAMXIUBAQF-UHFFFAOYSA-N 1 2 323.828 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CCNC(=O)CCc2nnc[nH]2)CC1 ZINC001159745153 865498431 /nfs/dbraw/zinc/49/84/31/865498431.db2.gz LSWQPAMXIUBAQF-UHFFFAOYSA-N 1 2 323.828 1.628 20 30 DDEDLO N#Cc1cc2nc(NC(=[NH2+])c3ccc(C(N)=O)cc3)ccc2[nH]1 ZINC001160082687 865672020 /nfs/dbraw/zinc/67/20/20/865672020.db2.gz FJUWWSYPSJOZEO-UHFFFAOYSA-N 1 2 304.313 1.570 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1ncc(C#N)cc1Cl ZINC001160691057 866030981 /nfs/dbraw/zinc/03/09/81/866030981.db2.gz MSBTYDITNMPIDU-LBPRGKRZSA-N 1 2 319.752 1.536 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1ccc2[nH]c(C#N)cc2n1 ZINC001160697708 866042851 /nfs/dbraw/zinc/04/28/51/866042851.db2.gz ZBFBHLYNYPHSPH-AWEZNQCLSA-N 1 2 324.344 1.364 20 30 DDEDLO CC(C)N(CC[N@H+](C)CC(=O)NC(C)(C)C)C(=O)C#CC1CC1 ZINC001332706315 866083097 /nfs/dbraw/zinc/08/30/97/866083097.db2.gz BZBRAPMHGSONGK-UHFFFAOYSA-N 1 2 321.465 1.483 20 30 DDEDLO CC(C)N(CC[N@@H+](C)CC(=O)NC(C)(C)C)C(=O)C#CC1CC1 ZINC001332706315 866083113 /nfs/dbraw/zinc/08/31/13/866083113.db2.gz BZBRAPMHGSONGK-UHFFFAOYSA-N 1 2 321.465 1.483 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1=CCCCCC1 ZINC001323215562 866438117 /nfs/dbraw/zinc/43/81/17/866438117.db2.gz XXOMZAFJQJNQKV-GDBMZVCRSA-N 1 2 319.449 1.758 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1=CCCCCC1 ZINC001323215562 866438120 /nfs/dbraw/zinc/43/81/20/866438120.db2.gz XXOMZAFJQJNQKV-GDBMZVCRSA-N 1 2 319.449 1.758 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)Cc1cn2c(C)csc2n1 ZINC001323279499 866496197 /nfs/dbraw/zinc/49/61/97/866496197.db2.gz UWFIEDBRQLFRLW-CYBMUJFWSA-N 1 2 302.403 1.070 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)Cc1cn2c(C)csc2n1 ZINC001323279499 866496208 /nfs/dbraw/zinc/49/62/08/866496208.db2.gz UWFIEDBRQLFRLW-CYBMUJFWSA-N 1 2 302.403 1.070 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H](C)c1ccccc1F ZINC001323325356 866532135 /nfs/dbraw/zinc/53/21/35/866532135.db2.gz SSSDOMSPXHIWIA-CABCVRRESA-N 1 2 318.392 1.770 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H](C)c1ccccc1F ZINC001323325356 866532141 /nfs/dbraw/zinc/53/21/41/866532141.db2.gz SSSDOMSPXHIWIA-CABCVRRESA-N 1 2 318.392 1.770 20 30 DDEDLO COC(=O)c1ccc(F)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001225702527 881985571 /nfs/dbraw/zinc/98/55/71/881985571.db2.gz BBFJPBOYWAAVOU-HJTUNCCVSA-N 1 2 307.321 1.604 20 30 DDEDLO COC(=O)c1ccc(F)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001225702527 881985592 /nfs/dbraw/zinc/98/55/92/881985592.db2.gz BBFJPBOYWAAVOU-HJTUNCCVSA-N 1 2 307.321 1.604 20 30 DDEDLO C=C[C@H](COC)NC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC001320300766 866625416 /nfs/dbraw/zinc/62/54/16/866625416.db2.gz HYSYUSQQIQXPFG-CYBMUJFWSA-N 1 2 320.393 1.549 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC([N@H+](CC#N)CC3CC3)CC2)cn1 ZINC001323736909 866807137 /nfs/dbraw/zinc/80/71/37/866807137.db2.gz XXNNPLOMBLZPAU-UHFFFAOYSA-N 1 2 322.412 1.903 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC([N@@H+](CC#N)CC3CC3)CC2)cn1 ZINC001323736909 866807150 /nfs/dbraw/zinc/80/71/50/866807150.db2.gz XXNNPLOMBLZPAU-UHFFFAOYSA-N 1 2 322.412 1.903 20 30 DDEDLO C[C@H](CNC(=O)C#CC1CC1)N(C)C(=O)c1cccc2[nH+]ccn21 ZINC001334263029 867435294 /nfs/dbraw/zinc/43/52/94/867435294.db2.gz DFRCPHDQWVHWCX-CYBMUJFWSA-N 1 2 324.384 1.324 20 30 DDEDLO CC#CC[NH+]1CCN(Cc2ccc(CNC(=O)CC)cc2)CC1 ZINC001163013799 868000328 /nfs/dbraw/zinc/00/03/28/868000328.db2.gz XTUXMJVTOGTMAZ-UHFFFAOYSA-N 1 2 313.445 1.854 20 30 DDEDLO COc1cccc([C@@H](C#N)NC(=O)[C@@H](C)n2cc[nH+]c2)c1OC ZINC001322508848 868211578 /nfs/dbraw/zinc/21/15/78/868211578.db2.gz HYYRUFGVOYRPRG-DGCLKSJQSA-N 1 2 314.345 1.842 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001335510395 868351336 /nfs/dbraw/zinc/35/13/36/868351336.db2.gz HLRPZFGJKRLISR-KGLIPLIRSA-N 1 2 316.405 1.155 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCCC[NH2+][C@@H](C)c1noc(C)n1 ZINC001163345026 868378475 /nfs/dbraw/zinc/37/84/75/868378475.db2.gz QHUSRDSHOJTCEB-BLLLJJGKSA-N 1 2 324.425 1.764 20 30 DDEDLO CN(C)C(=O)c1nc(CNc2cc(C3(C#N)CC3)cc[nH+]2)no1 ZINC001164257130 869065733 /nfs/dbraw/zinc/06/57/33/869065733.db2.gz SYASAAYXEUFTEU-UHFFFAOYSA-N 1 2 312.333 1.334 20 30 DDEDLO N#CN=C(Nc1c[nH+]ccc1N1CCOCC1)c1ccncc1 ZINC001164514029 869249629 /nfs/dbraw/zinc/24/96/29/869249629.db2.gz KOTFKZXIHUYQDN-UHFFFAOYSA-N 1 2 308.345 1.462 20 30 DDEDLO COCCOc1ccc(C#N)cc1NC(=O)[C@H](C)n1cc[nH+]c1 ZINC001337699488 869599076 /nfs/dbraw/zinc/59/90/76/869599076.db2.gz AMGZDUKPOLKUOB-LBPRGKRZSA-N 1 2 314.345 1.980 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@H]1C ZINC001337981047 869727554 /nfs/dbraw/zinc/72/75/54/869727554.db2.gz AQIMXNCPKBMOQM-UONOGXRCSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001338116958 869813759 /nfs/dbraw/zinc/81/37/59/869813759.db2.gz NDRHGVBRKTUSNL-ZIAGYGMSSA-N 1 2 304.394 1.345 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)CCCCC(C)(C)C)CC1 ZINC001316963138 870001495 /nfs/dbraw/zinc/00/14/95/870001495.db2.gz KMVLJNHJPXPJLK-UHFFFAOYSA-N 1 2 307.482 1.960 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)c2cccc3[nH]ccc32)CC1 ZINC001316968370 870012386 /nfs/dbraw/zinc/01/23/86/870012386.db2.gz NPUKEBLHNIMZDW-UHFFFAOYSA-N 1 2 311.389 1.039 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)C[C@@H](CC)C(C)(C)C)CC1 ZINC001316972790 870030414 /nfs/dbraw/zinc/03/04/14/870030414.db2.gz UKBIXHBFQJKLOS-MRXNPFEDSA-N 1 2 307.482 1.816 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@@H]1CCCN(C(=O)C#CC(C)C)C1 ZINC001317374988 870732901 /nfs/dbraw/zinc/73/29/01/870732901.db2.gz YUQCXCUHHGWYKA-CQSZACIVSA-N 1 2 320.462 1.929 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@@H]1CCCN(C(=O)C#CC(C)C)C1 ZINC001317374988 870732913 /nfs/dbraw/zinc/73/29/13/870732913.db2.gz YUQCXCUHHGWYKA-CQSZACIVSA-N 1 2 320.462 1.929 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@@H+](C)Cc2c(C)nnn2CC)CC1 ZINC001317460190 870877095 /nfs/dbraw/zinc/87/70/95/870877095.db2.gz SMDYUSBZJWLDDK-UHFFFAOYSA-N 1 2 319.453 1.901 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@H+](C)Cc2c(C)nnn2CC)CC1 ZINC001317460190 870877107 /nfs/dbraw/zinc/87/71/07/870877107.db2.gz SMDYUSBZJWLDDK-UHFFFAOYSA-N 1 2 319.453 1.901 20 30 DDEDLO C[C@@H](C(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC#N)C1CCCC1 ZINC001317508361 870950626 /nfs/dbraw/zinc/95/06/26/870950626.db2.gz KLEMPOGGNWYVAI-HIFRSBDPSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@@H](C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC#N)C1CCCC1 ZINC001317508361 870950633 /nfs/dbraw/zinc/95/06/33/870950633.db2.gz KLEMPOGGNWYVAI-HIFRSBDPSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)[C@H](C)C1CC1 ZINC001340258211 870991614 /nfs/dbraw/zinc/99/16/14/870991614.db2.gz GXXZKJOACQYTFP-XBFCOCLRSA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)[C@H](C)C1CC1 ZINC001340258211 870991619 /nfs/dbraw/zinc/99/16/19/870991619.db2.gz GXXZKJOACQYTFP-XBFCOCLRSA-N 1 2 305.426 1.436 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@@H+]([C@@H](C)c1ncc(C)o1)C1CC1 ZINC001317549888 871046081 /nfs/dbraw/zinc/04/60/81/871046081.db2.gz KSSZGYFQHVYTBV-SUMWQHHRSA-N 1 2 321.421 1.952 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@H+]([C@@H](C)c1ncc(C)o1)C1CC1 ZINC001317549888 871046102 /nfs/dbraw/zinc/04/61/02/871046102.db2.gz KSSZGYFQHVYTBV-SUMWQHHRSA-N 1 2 321.421 1.952 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[C@H]([NH2+]Cc2nncs2)C1)OCC ZINC001317301736 871092525 /nfs/dbraw/zinc/09/25/25/871092525.db2.gz BZWJGUTVHPFVSL-QWHCGFSZSA-N 1 2 324.450 1.600 20 30 DDEDLO C[C@@H]([NH2+]CCN(C)C(=O)CSCC#N)c1nc(C2CC2)no1 ZINC001317570659 871106514 /nfs/dbraw/zinc/10/65/14/871106514.db2.gz QPYGKRTZQFDVLB-SNVBAGLBSA-N 1 2 323.422 1.313 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[N@@H+](C)Cc1nnc(CC)o1 ZINC001316850269 871308485 /nfs/dbraw/zinc/30/84/85/871308485.db2.gz RTUFIICLSRCYIS-OLZOCXBDSA-N 1 2 322.409 1.161 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[N@H+](C)Cc1nnc(CC)o1 ZINC001316850269 871308504 /nfs/dbraw/zinc/30/85/04/871308504.db2.gz RTUFIICLSRCYIS-OLZOCXBDSA-N 1 2 322.409 1.161 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)CSC)C1 ZINC001205072608 871353119 /nfs/dbraw/zinc/35/31/19/871353119.db2.gz AZDGTRWAXWLPIE-HZPDHXFCSA-N 1 2 318.442 1.057 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)CSC)C1 ZINC001205072608 871353140 /nfs/dbraw/zinc/35/31/40/871353140.db2.gz AZDGTRWAXWLPIE-HZPDHXFCSA-N 1 2 318.442 1.057 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC[C@@H](NCC#N)c1ccccc1 ZINC001317722634 871443490 /nfs/dbraw/zinc/44/34/90/871443490.db2.gz XYBMDDZMKKTVKE-OAHLLOKOSA-N 1 2 311.389 1.323 20 30 DDEDLO C#CCC1(C(=O)NCC[N@@H+](C)CC(=O)N2CCC2)CCCCC1 ZINC001317473380 871469117 /nfs/dbraw/zinc/46/91/17/871469117.db2.gz SRCGRKXNXYFHPW-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCC1(C(=O)NCC[N@H+](C)CC(=O)N2CCC2)CCCCC1 ZINC001317473380 871469122 /nfs/dbraw/zinc/46/91/22/871469122.db2.gz SRCGRKXNXYFHPW-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc(F)ccc3C)n2C)CC1 ZINC001341343678 871615865 /nfs/dbraw/zinc/61/58/65/871615865.db2.gz IYCUGTICUCYOMD-UHFFFAOYSA-N 1 2 313.380 1.685 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CCC[C@H](NC(=O)C#CC2CC2)C1 ZINC001317970838 871661689 /nfs/dbraw/zinc/66/16/89/871661689.db2.gz NMMNKRMSBRLCNQ-INIZCTEOSA-N 1 2 319.449 1.285 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CCC[C@H](NC(=O)C#CC2CC2)C1 ZINC001317970838 871661702 /nfs/dbraw/zinc/66/17/02/871661702.db2.gz NMMNKRMSBRLCNQ-INIZCTEOSA-N 1 2 319.449 1.285 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1C[NH+](CC(=O)NCC(C)C)C1 ZINC001318140950 871777200 /nfs/dbraw/zinc/77/72/00/871777200.db2.gz XDVHAPNYQBLYSQ-UHFFFAOYSA-N 1 2 309.454 1.409 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1C[NH+](Cc2cc(OC)no2)C1 ZINC001318159288 871784460 /nfs/dbraw/zinc/78/44/60/871784460.db2.gz PFHHVYGQUSHEGL-UHFFFAOYSA-N 1 2 307.394 1.834 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCC(C)C ZINC001318184452 871798488 /nfs/dbraw/zinc/79/84/88/871798488.db2.gz JICYJPOEEGTHRE-KKUMJFAQSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCC(C)C ZINC001318184452 871798512 /nfs/dbraw/zinc/79/85/12/871798512.db2.gz JICYJPOEEGTHRE-KKUMJFAQSA-N 1 2 307.438 1.398 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@H+](Cc2ccc(Cl)nc2)[C@@H]1C ZINC001316777981 872029359 /nfs/dbraw/zinc/02/93/59/872029359.db2.gz BLEWMHDHXYCJSD-LOWVWBTDSA-N 1 2 306.797 1.974 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(Cl)nc2)[C@@H]1C ZINC001316777981 872029385 /nfs/dbraw/zinc/02/93/85/872029385.db2.gz BLEWMHDHXYCJSD-LOWVWBTDSA-N 1 2 306.797 1.974 20 30 DDEDLO C[C@@H](CC(=O)NCCC1CCN(CC#N)CC1)n1cc[nH+]c1 ZINC001319038346 872408920 /nfs/dbraw/zinc/40/89/20/872408920.db2.gz MIZRSRYQZGKWLS-AWEZNQCLSA-N 1 2 303.410 1.576 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001316942866 872428989 /nfs/dbraw/zinc/42/89/89/872428989.db2.gz CVYAEMURGXTWGK-HNNXBMFYSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001316942866 872428996 /nfs/dbraw/zinc/42/89/96/872428996.db2.gz CVYAEMURGXTWGK-HNNXBMFYSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(C)(C)CCC)C1 ZINC001316949024 872447175 /nfs/dbraw/zinc/44/71/75/872447175.db2.gz XJMBUHRGKZDEKX-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(C)(C)CCC)C1 ZINC001316949024 872447187 /nfs/dbraw/zinc/44/71/87/872447187.db2.gz XJMBUHRGKZDEKX-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO Cn1cc(CN2CCC23CN(Cc2cccc(N)[nH+]2)C3)cc1C#N ZINC001276493937 872607714 /nfs/dbraw/zinc/60/77/14/872607714.db2.gz XTJWGYGLAMTVNQ-UHFFFAOYSA-N 1 2 322.416 1.334 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N1CCC2(COC2)C1 ZINC001343456122 872631263 /nfs/dbraw/zinc/63/12/63/872631263.db2.gz ARAGCLPTCKEPJT-CQSZACIVSA-N 1 2 317.437 1.848 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N1CCC2(COC2)C1 ZINC001343456122 872631268 /nfs/dbraw/zinc/63/12/68/872631268.db2.gz ARAGCLPTCKEPJT-CQSZACIVSA-N 1 2 317.437 1.848 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc3n(n2)CCC3)C[C@H]1C ZINC001206913506 872756030 /nfs/dbraw/zinc/75/60/30/872756030.db2.gz NMLGQWQPJLLJHC-RHSMWYFYSA-N 1 2 314.433 1.569 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc3n(n2)CCC3)C[C@H]1C ZINC001206913506 872756039 /nfs/dbraw/zinc/75/60/39/872756039.db2.gz NMLGQWQPJLLJHC-RHSMWYFYSA-N 1 2 314.433 1.569 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)CC)n2CC(C)C)CC1 ZINC001344100949 872857369 /nfs/dbraw/zinc/85/73/69/872857369.db2.gz JMPUVBFNOXWUGM-AWEZNQCLSA-N 1 2 305.426 1.133 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001344270133 872971317 /nfs/dbraw/zinc/97/13/17/872971317.db2.gz OBGKGWDZHQEXIY-UONOGXRCSA-N 1 2 316.405 1.013 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([NH2+][C@H](C)c2nc(C)no2)C1 ZINC001207680490 873474067 /nfs/dbraw/zinc/47/40/67/873474067.db2.gz ORRWRHGODQSGEF-YPMHNXCESA-N 1 2 308.382 1.222 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2CCCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001208013432 873749972 /nfs/dbraw/zinc/74/99/72/873749972.db2.gz FKJKASULEVVXHD-ZDUSSCGKSA-N 1 2 318.421 1.762 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC001346481077 873770889 /nfs/dbraw/zinc/77/08/89/873770889.db2.gz KTRGMOBMONUFRN-HUUCEWRRSA-N 1 2 316.405 1.212 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)s1 ZINC001381855173 882813502 /nfs/dbraw/zinc/81/35/02/882813502.db2.gz MRKBLPDPNCQYAO-ZYHUDNBSSA-N 1 2 306.435 1.938 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)s1 ZINC001381855173 882813516 /nfs/dbraw/zinc/81/35/16/882813516.db2.gz MRKBLPDPNCQYAO-ZYHUDNBSSA-N 1 2 306.435 1.938 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001349000989 874914959 /nfs/dbraw/zinc/91/49/59/874914959.db2.gz GIWWSPGYYXZSHH-ZIAGYGMSSA-N 1 2 306.410 1.669 20 30 DDEDLO Cn1ccn2c(C[NH2+]C3(c4cccc(C#N)c4)CC3)nnc2c1=O ZINC001349645827 875279720 /nfs/dbraw/zinc/27/97/20/875279720.db2.gz BWLPDMALXGBQTB-UHFFFAOYSA-N 1 2 320.356 1.079 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3csc(C)n3)n2C)CC1 ZINC001350559614 875756394 /nfs/dbraw/zinc/75/63/94/875756394.db2.gz WTJJPNKBLZKSOL-UHFFFAOYSA-N 1 2 302.407 1.002 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213269318 875868269 /nfs/dbraw/zinc/86/82/69/875868269.db2.gz KPHLLAIJSLEESV-IAGOWNOFSA-N 1 2 320.433 1.035 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213269318 875868275 /nfs/dbraw/zinc/86/82/75/875868275.db2.gz KPHLLAIJSLEESV-IAGOWNOFSA-N 1 2 320.433 1.035 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213320317 875895674 /nfs/dbraw/zinc/89/56/74/875895674.db2.gz SJYDBBVNCXGACP-FVQBIDKESA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213320317 875895680 /nfs/dbraw/zinc/89/56/80/875895680.db2.gz SJYDBBVNCXGACP-FVQBIDKESA-N 1 2 321.421 1.901 20 30 DDEDLO CCC[C@H](CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213638310 876007651 /nfs/dbraw/zinc/00/76/51/876007651.db2.gz IJEGCRGHJPANOR-ARFHVFGLSA-N 1 2 310.438 1.278 20 30 DDEDLO CCC[C@H](CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213638310 876007668 /nfs/dbraw/zinc/00/76/68/876007668.db2.gz IJEGCRGHJPANOR-ARFHVFGLSA-N 1 2 310.438 1.278 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](F)CC2CCCCC2)[C@H](OC)C1 ZINC001213728903 876036470 /nfs/dbraw/zinc/03/64/70/876036470.db2.gz ZCKRKVRZCWVKTL-ARFHVFGLSA-N 1 2 310.413 1.744 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](F)CC2CCCCC2)[C@H](OC)C1 ZINC001213728903 876036485 /nfs/dbraw/zinc/03/64/85/876036485.db2.gz ZCKRKVRZCWVKTL-ARFHVFGLSA-N 1 2 310.413 1.744 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@H](C)CN(C)C(=O)c2ccc(C#N)[nH]2)o1 ZINC001379090284 876367492 /nfs/dbraw/zinc/36/74/92/876367492.db2.gz CMHPTQCEPUKXAY-NXEZZACHSA-N 1 2 316.365 1.389 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001351788031 876432218 /nfs/dbraw/zinc/43/22/18/876432218.db2.gz WGCSLMAGGGKQSD-UHFFFAOYSA-N 1 2 320.437 1.828 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001352845526 876965337 /nfs/dbraw/zinc/96/53/37/876965337.db2.gz MRVRFEMOXAHOQX-ZDUSSCGKSA-N 1 2 318.421 1.580 20 30 DDEDLO C#C[C@@H]1CCCCN1C(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC001353111274 877126751 /nfs/dbraw/zinc/12/67/51/877126751.db2.gz VQPLKJCNRFNFIV-CQSZACIVSA-N 1 2 314.389 1.130 20 30 DDEDLO C#C[C@@H]1CCCCN1C(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC001353111274 877126759 /nfs/dbraw/zinc/12/67/59/877126759.db2.gz VQPLKJCNRFNFIV-CQSZACIVSA-N 1 2 314.389 1.130 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCCCOCCC=C)[C@H]2C1 ZINC001217887963 877348512 /nfs/dbraw/zinc/34/85/12/877348512.db2.gz VMXPTKUNSJLFOG-DLBZAZTESA-N 1 2 320.433 1.294 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCCCOCCC=C)[C@H]2C1 ZINC001217887963 877348522 /nfs/dbraw/zinc/34/85/22/877348522.db2.gz VMXPTKUNSJLFOG-DLBZAZTESA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCCCn1c(CC)nnc1N1CCN(c2cccc[nH+]2)CC1 ZINC001300411814 877572089 /nfs/dbraw/zinc/57/20/89/877572089.db2.gz OBKBNFSJZVXOND-UHFFFAOYSA-N 1 2 324.432 1.976 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)/C=C(\C)C1CC1 ZINC001276801708 877903876 /nfs/dbraw/zinc/90/38/76/877903876.db2.gz SGRJNPOZULNLNK-YLNVSZPXSA-N 1 2 305.422 1.224 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)/C=C(\C)C1CC1 ZINC001276801708 877903889 /nfs/dbraw/zinc/90/38/89/877903889.db2.gz SGRJNPOZULNLNK-YLNVSZPXSA-N 1 2 305.422 1.224 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219378952 878161310 /nfs/dbraw/zinc/16/13/10/878161310.db2.gz JSKJBVFMNHXTHS-GDLCADMTSA-N 1 2 307.781 1.161 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219378952 878161316 /nfs/dbraw/zinc/16/13/16/878161316.db2.gz JSKJBVFMNHXTHS-GDLCADMTSA-N 1 2 307.781 1.161 20 30 DDEDLO N#CCOc1ccc(C[N@H+]2CC[C@H]2CNC(=O)C(F)F)cc1 ZINC001379944776 878378169 /nfs/dbraw/zinc/37/81/69/878378169.db2.gz VVIGGCGVQIZVHC-LBPRGKRZSA-N 1 2 309.316 1.545 20 30 DDEDLO N#CCOc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)C(F)F)cc1 ZINC001379944776 878378186 /nfs/dbraw/zinc/37/81/86/878378186.db2.gz VVIGGCGVQIZVHC-LBPRGKRZSA-N 1 2 309.316 1.545 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001219718513 878477134 /nfs/dbraw/zinc/47/71/34/878477134.db2.gz HLYVKVVJRMLYAD-KGLIPLIRSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001219718513 878477145 /nfs/dbraw/zinc/47/71/45/878477145.db2.gz HLYVKVVJRMLYAD-KGLIPLIRSA-N 1 2 309.435 1.469 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)CCc2ccc(F)cc2)[C@@H](O)C1 ZINC001219770139 878492187 /nfs/dbraw/zinc/49/21/87/878492187.db2.gz IGNWSEINCIDVII-XKQJLSEDSA-N 1 2 318.392 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)CCc2ccc(F)cc2)[C@@H](O)C1 ZINC001219770139 878492192 /nfs/dbraw/zinc/49/21/92/878492192.db2.gz IGNWSEINCIDVII-XKQJLSEDSA-N 1 2 318.392 1.189 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)c1cnn(C)c1N)C(C)C ZINC001379998920 878514382 /nfs/dbraw/zinc/51/43/82/878514382.db2.gz WHCIPKZVRREVNL-UHFFFAOYSA-N 1 2 313.833 1.537 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)c1cnn(C)c1N)C(C)C ZINC001379998920 878514399 /nfs/dbraw/zinc/51/43/99/878514399.db2.gz WHCIPKZVRREVNL-UHFFFAOYSA-N 1 2 313.833 1.537 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)onc2CC)C[C@@H]1O ZINC001220141324 878729818 /nfs/dbraw/zinc/72/98/18/878729818.db2.gz PGQKJXGNQGHMHJ-CABCVRRESA-N 1 2 321.421 1.419 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)onc2CC)C[C@@H]1O ZINC001220141324 878729828 /nfs/dbraw/zinc/72/98/28/878729828.db2.gz PGQKJXGNQGHMHJ-CABCVRRESA-N 1 2 321.421 1.419 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2oc(CC)nc2C)C[C@@H]1O ZINC001220143082 878732970 /nfs/dbraw/zinc/73/29/70/878732970.db2.gz TZXRIQGBONAYKA-OLZOCXBDSA-N 1 2 321.421 1.419 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2oc(CC)nc2C)C[C@@H]1O ZINC001220143082 878732982 /nfs/dbraw/zinc/73/29/82/878732982.db2.gz TZXRIQGBONAYKA-OLZOCXBDSA-N 1 2 321.421 1.419 20 30 DDEDLO C=C(C)Cn1c(N2CC[C@H](C)C2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001355946793 878789603 /nfs/dbraw/zinc/78/96/03/878789603.db2.gz GNSCFWPOJNYBIT-VBQJREDUSA-N 1 2 319.453 1.612 20 30 DDEDLO C=C(C)Cn1c(N2CC[C@H](C)C2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001355946793 878789619 /nfs/dbraw/zinc/78/96/19/878789619.db2.gz GNSCFWPOJNYBIT-VBQJREDUSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCCC(=O)NCCN(CC)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001356000499 878817241 /nfs/dbraw/zinc/81/72/41/878817241.db2.gz OASIEDKDUMKRNJ-CQSZACIVSA-N 1 2 318.421 1.376 20 30 DDEDLO CCc1nnc(C[NH+]2CCC([C@@H](C)NC(=O)[C@@H](C)C#N)CC2)o1 ZINC001380119345 878836180 /nfs/dbraw/zinc/83/61/80/878836180.db2.gz BANFLORWOUGYMH-NWDGAFQWSA-N 1 2 319.409 1.508 20 30 DDEDLO CCn1cc(C[NH+]2CCC([C@H](C)NC(=O)[C@@H](C)C#N)CC2)nn1 ZINC001380139520 878876795 /nfs/dbraw/zinc/87/67/95/878876795.db2.gz VESUROHNQCHFEA-STQMWFEESA-N 1 2 318.425 1.174 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](CCN(C)C(=O)C=C(C)C)CC1 ZINC001356698198 879200295 /nfs/dbraw/zinc/20/02/95/879200295.db2.gz DKAPHBHDFFEEDH-UHFFFAOYSA-N 1 2 307.438 1.521 20 30 DDEDLO C=CCCC(=O)NCC1CN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001356803192 879391806 /nfs/dbraw/zinc/39/18/06/879391806.db2.gz CIPPIRDZNXRFHE-GFCCVEGCSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCCC(=O)NCC1CN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001356803192 879391816 /nfs/dbraw/zinc/39/18/16/879391816.db2.gz CIPPIRDZNXRFHE-GFCCVEGCSA-N 1 2 304.394 1.129 20 30 DDEDLO CCCC[C@@H](C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O)C(C)C ZINC001221110208 879505560 /nfs/dbraw/zinc/50/55/60/879505560.db2.gz FDSICVVVXAXIOU-ZACQAIPSSA-N 1 2 324.465 1.260 20 30 DDEDLO CCCC[C@@H](C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O)C(C)C ZINC001221110208 879505571 /nfs/dbraw/zinc/50/55/71/879505571.db2.gz FDSICVVVXAXIOU-ZACQAIPSSA-N 1 2 324.465 1.260 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCC)C[C@H]21 ZINC001221790657 880084031 /nfs/dbraw/zinc/08/40/31/880084031.db2.gz UISUDYOMUMYLIR-IIDMSEBBSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCC)C[C@H]21 ZINC001221790657 880084048 /nfs/dbraw/zinc/08/40/48/880084048.db2.gz UISUDYOMUMYLIR-IIDMSEBBSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@H+](Cc3ncccn3)[C@H]2C1 ZINC001222406748 880444357 /nfs/dbraw/zinc/44/43/57/880444357.db2.gz DGAHXZGSDALEOE-CABCVRRESA-N 1 2 300.406 1.866 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3ncccn3)[C@H]2C1 ZINC001222406748 880444367 /nfs/dbraw/zinc/44/43/67/880444367.db2.gz DGAHXZGSDALEOE-CABCVRRESA-N 1 2 300.406 1.866 20 30 DDEDLO C=CCCC1(C(=O)NC2(CNC(=O)Cc3[nH]cc[nH+]3)CC2)CC1 ZINC001358688115 880761461 /nfs/dbraw/zinc/76/14/61/880761461.db2.gz XIBCQLQYJQURTL-UHFFFAOYSA-N 1 2 316.405 1.464 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)CC(C)(C)CC ZINC001276862461 880818606 /nfs/dbraw/zinc/81/86/06/880818606.db2.gz VHFHWIJKYATUMN-ZIAGYGMSSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)CC(C)(C)CC ZINC001276862461 880818620 /nfs/dbraw/zinc/81/86/20/880818620.db2.gz VHFHWIJKYATUMN-ZIAGYGMSSA-N 1 2 307.438 1.141 20 30 DDEDLO C=CCCC1(C(=O)N2CC(N(C)C(=O)Cc3c[nH+]c[nH]3)C2)CC1 ZINC001358752530 880858471 /nfs/dbraw/zinc/85/84/71/880858471.db2.gz PLVVPHZPWYDQKH-UHFFFAOYSA-N 1 2 316.405 1.368 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)CCCc1ccccc1 ZINC001276916440 881082889 /nfs/dbraw/zinc/08/28/89/881082889.db2.gz PAPXNGDNENMJTI-GOSISDBHSA-N 1 2 314.429 1.850 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)CCCc1ccccc1 ZINC001276916440 881082911 /nfs/dbraw/zinc/08/29/11/881082911.db2.gz PAPXNGDNENMJTI-GOSISDBHSA-N 1 2 314.429 1.850 20 30 DDEDLO C=CCCCC(=O)NCC1CC[NH+]([C@@H](C)c2nnnn2C)CC1 ZINC001224272694 881303564 /nfs/dbraw/zinc/30/35/64/881303564.db2.gz FROIBWNGAHBJAQ-ZDUSSCGKSA-N 1 2 320.441 1.456 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)CSCC#N)sn1 ZINC001230814415 884909951 /nfs/dbraw/zinc/90/99/51/884909951.db2.gz CBOUQZQDPYHMEE-LBPRGKRZSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)CSCC#N)sn1 ZINC001230814415 884909976 /nfs/dbraw/zinc/90/99/76/884909976.db2.gz CBOUQZQDPYHMEE-LBPRGKRZSA-N 1 2 324.475 1.741 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)C1CC1 ZINC001231121784 885256874 /nfs/dbraw/zinc/25/68/74/885256874.db2.gz IJKHDFDBXQBUEI-OAHLLOKOSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)C1CC1 ZINC001231121784 885256893 /nfs/dbraw/zinc/25/68/93/885256893.db2.gz IJKHDFDBXQBUEI-OAHLLOKOSA-N 1 2 307.438 1.496 20 30 DDEDLO CCOCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231188643 885347984 /nfs/dbraw/zinc/34/79/84/885347984.db2.gz GNYFDDRKPLBJJP-SFHVURJKSA-N 1 2 314.429 1.997 20 30 DDEDLO CCOCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231188643 885347999 /nfs/dbraw/zinc/34/79/99/885347999.db2.gz GNYFDDRKPLBJJP-SFHVURJKSA-N 1 2 314.429 1.997 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC1=CCCCC1 ZINC001231264512 885451636 /nfs/dbraw/zinc/45/16/36/885451636.db2.gz WRIQWVGCCZORMK-MRXNPFEDSA-N 1 2 317.433 1.159 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1=CCCCC1 ZINC001231264512 885451643 /nfs/dbraw/zinc/45/16/43/885451643.db2.gz WRIQWVGCCZORMK-MRXNPFEDSA-N 1 2 317.433 1.159 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)CCC2CC2)C1=O ZINC001231349625 885531172 /nfs/dbraw/zinc/53/11/72/885531172.db2.gz KPZSHSBOSYMZKR-JKSUJKDBSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CCC2CC2)C1=O ZINC001231349625 885531178 /nfs/dbraw/zinc/53/11/78/885531178.db2.gz KPZSHSBOSYMZKR-JKSUJKDBSA-N 1 2 319.449 1.496 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H](C)N(C)CC(F)(F)F)C1 ZINC001277643544 886218058 /nfs/dbraw/zinc/21/80/58/886218058.db2.gz DFPBERHQRTZOAJ-NSHDSACASA-N 1 2 305.344 1.035 20 30 DDEDLO Cn1cc(CN2C[C@@H]3CN(c4cccc[nH+]4)C[C@H](C2)O3)cc1C#N ZINC001277752631 886747756 /nfs/dbraw/zinc/74/77/56/886747756.db2.gz KNHBTOBOVOFWOI-CALCHBBNSA-N 1 2 323.400 1.381 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1CCC(NC(=O)c2cccnc2)CC1 ZINC001233048698 886758134 /nfs/dbraw/zinc/75/81/34/886758134.db2.gz JPXZPTDKEXQABA-UHFFFAOYSA-N 1 2 309.373 1.676 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])c([N+](=O)[O-])c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233703328 887237095 /nfs/dbraw/zinc/23/70/95/887237095.db2.gz UXPCYCUCESLPTI-UBYSCORNSA-N 1 2 321.289 1.494 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])c([N+](=O)[O-])c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233703328 887237104 /nfs/dbraw/zinc/23/71/04/887237104.db2.gz UXPCYCUCESLPTI-UBYSCORNSA-N 1 2 321.289 1.494 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccccc1C#N)C(=O)CC(C)(C)O ZINC001234000928 887540267 /nfs/dbraw/zinc/54/02/67/887540267.db2.gz AVIMZGSMYSQJNU-INIZCTEOSA-N 1 2 315.417 1.752 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccccc1C#N)C(=O)CC(C)(C)O ZINC001234000928 887540278 /nfs/dbraw/zinc/54/02/78/887540278.db2.gz AVIMZGSMYSQJNU-INIZCTEOSA-N 1 2 315.417 1.752 20 30 DDEDLO CC(C)c1nnc(C[N@H+](C)CCCNC(=O)CSCC#N)[nH]1 ZINC001234146273 887686458 /nfs/dbraw/zinc/68/64/58/887686458.db2.gz GPVRAUFLICFJNP-UHFFFAOYSA-N 1 2 324.454 1.123 20 30 DDEDLO CC(C)c1nnc(C[N@@H+](C)CCCNC(=O)CSCC#N)[nH]1 ZINC001234146273 887686463 /nfs/dbraw/zinc/68/64/63/887686463.db2.gz GPVRAUFLICFJNP-UHFFFAOYSA-N 1 2 324.454 1.123 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234197244 887740921 /nfs/dbraw/zinc/74/09/21/887740921.db2.gz ZPUSLMNQGXDDCX-ZBFHGGJFSA-N 1 2 319.449 1.237 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234197244 887740938 /nfs/dbraw/zinc/74/09/38/887740938.db2.gz ZPUSLMNQGXDDCX-ZBFHGGJFSA-N 1 2 319.449 1.237 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2ccc(CC#N)cc2)c[nH+]1 ZINC001364059972 887823809 /nfs/dbraw/zinc/82/38/09/887823809.db2.gz GXAOGBRQFOPGCS-UHFFFAOYSA-N 1 2 304.375 1.517 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)c2ccc(CC#N)cc2)[nH+]1 ZINC001364059972 887823825 /nfs/dbraw/zinc/82/38/25/887823825.db2.gz GXAOGBRQFOPGCS-UHFFFAOYSA-N 1 2 304.375 1.517 20 30 DDEDLO C=C(Cl)CN(C)CCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001374535917 913188480 /nfs/dbraw/zinc/18/84/80/913188480.db2.gz ZCSBROVLFSMKTB-CHWSQXEVSA-N 1 2 310.829 1.666 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H]1CCN(C)C1=O ZINC001234516114 888044261 /nfs/dbraw/zinc/04/42/61/888044261.db2.gz AQRYEJBOXYDNDS-HOTGVXAUSA-N 1 2 319.449 1.518 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@H]1CCN(C)C1=O ZINC001234516114 888044277 /nfs/dbraw/zinc/04/42/77/888044277.db2.gz AQRYEJBOXYDNDS-HOTGVXAUSA-N 1 2 319.449 1.518 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@]1(C)CC=CCC1 ZINC001234527895 888055653 /nfs/dbraw/zinc/05/56/53/888055653.db2.gz PSYWLTWKYWXGJX-AEFFLSMTSA-N 1 2 304.434 1.915 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@]1(C)CC=CCC1 ZINC001234527895 888055668 /nfs/dbraw/zinc/05/56/68/888055668.db2.gz PSYWLTWKYWXGJX-AEFFLSMTSA-N 1 2 304.434 1.915 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@H](C)CC ZINC001234603476 888134075 /nfs/dbraw/zinc/13/40/75/888134075.db2.gz CYVOCKLYXLRODQ-CABCVRRESA-N 1 2 309.454 1.790 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@H](C)CC ZINC001234603476 888134083 /nfs/dbraw/zinc/13/40/83/888134083.db2.gz CYVOCKLYXLRODQ-CABCVRRESA-N 1 2 309.454 1.790 20 30 DDEDLO CN(C)c1cccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)n1 ZINC001364325238 888384275 /nfs/dbraw/zinc/38/42/75/888384275.db2.gz ZRXHHPVZVZXCGH-UHFFFAOYSA-N 1 2 322.416 1.736 20 30 DDEDLO N#CCC[N@H+]1CC[C@H]2[C@@H]1CCN2C(=O)c1cccc2nc[nH]c21 ZINC001277937168 888551562 /nfs/dbraw/zinc/55/15/62/888551562.db2.gz ZSGAHDZUDMUPQQ-GJZGRUSLSA-N 1 2 309.373 1.765 20 30 DDEDLO N#CCC[N@@H+]1CC[C@H]2[C@@H]1CCN2C(=O)c1cccc2nc[nH]c21 ZINC001277937168 888551571 /nfs/dbraw/zinc/55/15/71/888551571.db2.gz ZSGAHDZUDMUPQQ-GJZGRUSLSA-N 1 2 309.373 1.765 20 30 DDEDLO Cc1noc(C)c1NC(=O)N1C[C@H]2C[C@@H](C1)[N@H+]2CCCC#N ZINC001277944897 888636872 /nfs/dbraw/zinc/63/68/72/888636872.db2.gz FEHDXJLYFJBXKA-BETUJISGSA-N 1 2 303.366 1.886 20 30 DDEDLO Cc1noc(C)c1NC(=O)N1C[C@H]2C[C@@H](C1)[N@@H+]2CCCC#N ZINC001277944897 888636875 /nfs/dbraw/zinc/63/68/75/888636875.db2.gz FEHDXJLYFJBXKA-BETUJISGSA-N 1 2 303.366 1.886 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001289944267 913278865 /nfs/dbraw/zinc/27/88/65/913278865.db2.gz XQIDHRXIDSEGIP-CYBMUJFWSA-N 1 2 304.394 1.286 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](C[C@H](O)c2cccc(F)c2)CC1 ZINC001364712934 889253073 /nfs/dbraw/zinc/25/30/73/889253073.db2.gz CRWPQMBFEYLGDC-AWEZNQCLSA-N 1 2 306.337 1.638 20 30 DDEDLO CN(CCNC(=O)Cc1c[nH+]cn1C)c1cccc(F)c1C#N ZINC001396201944 913358747 /nfs/dbraw/zinc/35/87/47/913358747.db2.gz SNKWZZMZCVUWKC-UHFFFAOYSA-N 1 2 315.352 1.226 20 30 DDEDLO N#Cc1cc(C[NH+]2CCC3(COC(=O)N3)CC2)c(F)cc1F ZINC001237531314 889682222 /nfs/dbraw/zinc/68/22/22/889682222.db2.gz ZIHSXPJBFXPSEU-UHFFFAOYSA-N 1 2 307.300 1.911 20 30 DDEDLO C#CCN(C(=O)[C@@H]1C[C@@H]1C)C1CC[NH+](Cc2ncccn2)CC1 ZINC001278115193 889707433 /nfs/dbraw/zinc/70/74/33/889707433.db2.gz IXWLDQCAJPBLQB-GOEBONIOSA-N 1 2 312.417 1.559 20 30 DDEDLO N#Cc1ccc2nc(C[NH+]3CCC4(CC(=O)CO4)CC3)cn2c1 ZINC001237604178 889745172 /nfs/dbraw/zinc/74/51/72/889745172.db2.gz KPTDLBDNGPCINK-UHFFFAOYSA-N 1 2 310.357 1.530 20 30 DDEDLO N#CC1(NC(=O)c2[nH]nc3c2CC[N@H+](CCC2CC2)C3)CCC1 ZINC001278211974 890158711 /nfs/dbraw/zinc/15/87/11/890158711.db2.gz UJOUBCQKYBSZAT-UHFFFAOYSA-N 1 2 313.405 1.744 20 30 DDEDLO N#CC1(NC(=O)c2[nH]nc3c2CC[N@@H+](CCC2CC2)C3)CCC1 ZINC001278211974 890158718 /nfs/dbraw/zinc/15/87/18/890158718.db2.gz UJOUBCQKYBSZAT-UHFFFAOYSA-N 1 2 313.405 1.744 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C#N)s1 ZINC001365202022 890332295 /nfs/dbraw/zinc/33/22/95/890332295.db2.gz CWWKBNRDNOLTII-LBPRGKRZSA-N 1 2 322.434 1.530 20 30 DDEDLO CCCCCCCCNC(=S)N[C@@H]1C[NH2+][C@H](C(=O)OC)C1 ZINC001239369886 890618209 /nfs/dbraw/zinc/61/82/09/890618209.db2.gz YXVGRDVFFRMLBS-STQMWFEESA-N 1 2 315.483 1.715 20 30 DDEDLO CN1CC[NH+](CCNc2ccc(-c3ccc(C#N)nc3)cn2)CC1 ZINC001241561353 891202124 /nfs/dbraw/zinc/20/21/24/891202124.db2.gz WFFRWHFCTPNKNK-UHFFFAOYSA-N 1 2 322.416 1.675 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=S)Nc1ccc(C#N)cc1 ZINC001245950744 892285144 /nfs/dbraw/zinc/28/51/44/892285144.db2.gz LYJOELKSFNEHEB-GFCCVEGCSA-N 1 2 304.419 1.565 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=S)Nc1ccc(C#N)cc1 ZINC001245950744 892285163 /nfs/dbraw/zinc/28/51/63/892285163.db2.gz LYJOELKSFNEHEB-GFCCVEGCSA-N 1 2 304.419 1.565 20 30 DDEDLO Cc1nc(C[N@H+]2CCCC[C@H]2CNC(=O)[C@H](C)C#N)oc1C ZINC001366202025 892725388 /nfs/dbraw/zinc/72/53/88/892725388.db2.gz SLIOYKIAEAUWQH-RISCZKNCSA-N 1 2 304.394 1.922 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCC[C@H]2CNC(=O)[C@H](C)C#N)oc1C ZINC001366202025 892725392 /nfs/dbraw/zinc/72/53/92/892725392.db2.gz SLIOYKIAEAUWQH-RISCZKNCSA-N 1 2 304.394 1.922 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CCOC2(C[NH+](CCC)C2)C1)OCC ZINC001280900024 893115845 /nfs/dbraw/zinc/11/58/45/893115845.db2.gz QJQGKCKWBQQDIU-OAHLLOKOSA-N 1 2 310.438 1.681 20 30 DDEDLO N#Cc1ccn2ncc(C[N@@H+]3CCOC4(CCOCC4)C3)c2c1 ZINC001249114132 893821480 /nfs/dbraw/zinc/82/14/80/893821480.db2.gz UYPGTYUJXXCTAV-UHFFFAOYSA-N 1 2 312.373 1.587 20 30 DDEDLO N#Cc1ccn2ncc(C[N@H+]3CCOC4(CCOCC4)C3)c2c1 ZINC001249114132 893821496 /nfs/dbraw/zinc/82/14/96/893821496.db2.gz UYPGTYUJXXCTAV-UHFFFAOYSA-N 1 2 312.373 1.587 20 30 DDEDLO CCNC(=O)CO[C@@H]1CCC[N@H+](Cc2cccc(C#N)c2F)C1 ZINC001249687775 894107539 /nfs/dbraw/zinc/10/75/39/894107539.db2.gz OIKWCMNYEFNEHS-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO CCNC(=O)CO[C@@H]1CCC[N@@H+](Cc2cccc(C#N)c2F)C1 ZINC001249687775 894107543 /nfs/dbraw/zinc/10/75/43/894107543.db2.gz OIKWCMNYEFNEHS-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@H]([NH2+]Cc2csnn2)C(C)(C)C1 ZINC001278639959 894919140 /nfs/dbraw/zinc/91/91/40/894919140.db2.gz OGPIMRDFUNPDLZ-WFASDCNBSA-N 1 2 324.450 1.192 20 30 DDEDLO C#CCOCCC(=O)NC[C@]1(C)CCC[N@H+](Cc2ccon2)C1 ZINC001278659322 895082727 /nfs/dbraw/zinc/08/27/27/895082727.db2.gz TUVRADYBDCBACM-KRWDZBQOSA-N 1 2 319.405 1.433 20 30 DDEDLO C#CCOCCC(=O)NC[C@]1(C)CCC[N@@H+](Cc2ccon2)C1 ZINC001278659322 895082745 /nfs/dbraw/zinc/08/27/45/895082745.db2.gz TUVRADYBDCBACM-KRWDZBQOSA-N 1 2 319.405 1.433 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCC[N@@H+]([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001254339833 896373850 /nfs/dbraw/zinc/37/38/50/896373850.db2.gz UITAENUYMJJESM-HOCLYGCPSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCC[N@H+]([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001254339833 896373867 /nfs/dbraw/zinc/37/38/67/896373867.db2.gz UITAENUYMJJESM-HOCLYGCPSA-N 1 2 320.414 1.589 20 30 DDEDLO CCOC(=O)C[NH+]1CCC(N[C@@H](C#N)c2ccccc2)CC1 ZINC001254387835 896409448 /nfs/dbraw/zinc/40/94/48/896409448.db2.gz LZHPDVVASOKTJJ-INIZCTEOSA-N 1 2 301.390 1.868 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)Cc2cc(C)cc(C)c2)C1 ZINC001278902890 897191353 /nfs/dbraw/zinc/19/13/53/897191353.db2.gz BZAWMINVXXFICJ-UHFFFAOYSA-N 1 2 302.418 1.585 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2cn(C)nc2C)C1 ZINC001389481642 897324227 /nfs/dbraw/zinc/32/42/27/897324227.db2.gz ATBFMBKBMVSJEU-CQSZACIVSA-N 1 2 310.829 1.604 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2cn(C)nc2C)C1 ZINC001389481642 897324240 /nfs/dbraw/zinc/32/42/40/897324240.db2.gz ATBFMBKBMVSJEU-CQSZACIVSA-N 1 2 310.829 1.604 20 30 DDEDLO C#CC[C@H]([NH2+][C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1)C(=O)OC ZINC001256455293 897442994 /nfs/dbraw/zinc/44/29/94/897442994.db2.gz ATCASGGHMIAIFW-MCIONIFRSA-N 1 2 324.421 1.929 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](C)(NC(=O)COCC)C1 ZINC001368161263 898859640 /nfs/dbraw/zinc/85/96/40/898859640.db2.gz PKLSLNHEWZZIEU-GFCCVEGCSA-N 1 2 305.216 1.512 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](C)(NC(=O)COCC)C1 ZINC001368161263 898859652 /nfs/dbraw/zinc/85/96/52/898859652.db2.gz PKLSLNHEWZZIEU-GFCCVEGCSA-N 1 2 305.216 1.512 20 30 DDEDLO CCO[C@@H]1C[C@@H]1C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001390738259 900059078 /nfs/dbraw/zinc/05/90/78/900059078.db2.gz NZAQLLITTMOCJF-GOEBONIOSA-N 1 2 319.380 1.670 20 30 DDEDLO CCO[C@@H]1C[C@@H]1C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001390738259 900059086 /nfs/dbraw/zinc/05/90/86/900059086.db2.gz NZAQLLITTMOCJF-GOEBONIOSA-N 1 2 319.380 1.670 20 30 DDEDLO CC[N@H+](CCNC(=O)[C@H](C)C#N)Cc1nc(-c2ccco2)no1 ZINC001390886649 900442368 /nfs/dbraw/zinc/44/23/68/900442368.db2.gz ISNOUYRHLAWDIB-LLVKDONJSA-N 1 2 317.349 1.427 20 30 DDEDLO CC[N@@H+](CCNC(=O)[C@H](C)C#N)Cc1nc(-c2ccco2)no1 ZINC001390886649 900442376 /nfs/dbraw/zinc/44/23/76/900442376.db2.gz ISNOUYRHLAWDIB-LLVKDONJSA-N 1 2 317.349 1.427 20 30 DDEDLO C#CC[C@@H](CC)c1nnc(N2CC[NH+](CC#C)CC2)n1CC=C ZINC001262997607 900465088 /nfs/dbraw/zinc/46/50/88/900465088.db2.gz IMPWNKUBBJCEHI-MRXNPFEDSA-N 1 2 311.433 1.736 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccoc2C)[C@H]1C ZINC001264130604 900965050 /nfs/dbraw/zinc/96/50/50/900965050.db2.gz NOXCDNDCTGTWIL-RISCZKNCSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccoc2C)[C@H]1C ZINC001264130604 900965057 /nfs/dbraw/zinc/96/50/57/900965057.db2.gz NOXCDNDCTGTWIL-RISCZKNCSA-N 1 2 305.378 1.083 20 30 DDEDLO CC1(C)[C@H](NC(=O)CCn2cc[nH+]c2)[C@H]2CCCN(CC#N)[C@@H]21 ZINC001264585625 901196842 /nfs/dbraw/zinc/19/68/42/901196842.db2.gz DTTIJODYPAEIHZ-BMFZPTHFSA-N 1 2 315.421 1.402 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)[C@@H]1C ZINC001264699856 901297651 /nfs/dbraw/zinc/29/76/51/901297651.db2.gz JABKCNZWZDSQQZ-SNPRPXQTSA-N 1 2 319.405 1.409 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)[C@@H]1C ZINC001264699856 901297666 /nfs/dbraw/zinc/29/76/66/901297666.db2.gz JABKCNZWZDSQQZ-SNPRPXQTSA-N 1 2 319.405 1.409 20 30 DDEDLO CC(C)c1nc(C[NH2+]C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C)no1 ZINC001369543683 901496875 /nfs/dbraw/zinc/49/68/75/901496875.db2.gz KPVGJGUDXKNNAV-RYUDHWBXSA-N 1 2 321.425 1.973 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001265209867 901708642 /nfs/dbraw/zinc/70/86/42/901708642.db2.gz DSHWVQSPYUILBI-AWEZNQCLSA-N 1 2 319.405 1.393 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001265209867 901708652 /nfs/dbraw/zinc/70/86/52/901708652.db2.gz DSHWVQSPYUILBI-AWEZNQCLSA-N 1 2 319.405 1.393 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H](CC)SC)C1 ZINC001265210196 901710169 /nfs/dbraw/zinc/71/01/69/901710169.db2.gz IDQCPLWWOYKBIN-STQMWFEESA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H](CC)SC)C1 ZINC001265210196 901710177 /nfs/dbraw/zinc/71/01/77/901710177.db2.gz IDQCPLWWOYKBIN-STQMWFEESA-N 1 2 313.467 1.011 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2cc3c(s2)CCC3)C1 ZINC001265314462 901874214 /nfs/dbraw/zinc/87/42/14/901874214.db2.gz BRTUXAYMXLXQKZ-CQSZACIVSA-N 1 2 318.442 1.691 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2cc3c(s2)CCC3)C1 ZINC001265314462 901874223 /nfs/dbraw/zinc/87/42/23/901874223.db2.gz BRTUXAYMXLXQKZ-CQSZACIVSA-N 1 2 318.442 1.691 20 30 DDEDLO CO[C@@H](C)CC(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391579676 902058023 /nfs/dbraw/zinc/05/80/23/902058023.db2.gz VFBLPYWRJZYEOE-SWLSCSKDSA-N 1 2 319.380 1.813 20 30 DDEDLO CO[C@@H](C)CC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391579676 902058033 /nfs/dbraw/zinc/05/80/33/902058033.db2.gz VFBLPYWRJZYEOE-SWLSCSKDSA-N 1 2 319.380 1.813 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCCC[N@H+]1Cc1nc(C)c(C)o1 ZINC001265641118 902235359 /nfs/dbraw/zinc/23/53/59/902235359.db2.gz PYHOFDIUSPAOEH-OAHLLOKOSA-N 1 2 321.421 1.965 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1nc(C)c(C)o1 ZINC001265641118 902235369 /nfs/dbraw/zinc/23/53/69/902235369.db2.gz PYHOFDIUSPAOEH-OAHLLOKOSA-N 1 2 321.421 1.965 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCCC[C@H]1C[NH2+]Cc1nnn(C)n1 ZINC001265671117 902282709 /nfs/dbraw/zinc/28/27/09/902282709.db2.gz BEYYYZDENILJHY-ZDUSSCGKSA-N 1 2 320.441 1.283 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@@H](C)C[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001392053771 903182341 /nfs/dbraw/zinc/18/23/41/903182341.db2.gz VWVGDJQKAVJHGV-QWRGUYRKSA-N 1 2 307.398 1.463 20 30 DDEDLO C=CCOCC(=O)N(C)C1CC([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001279209111 903195983 /nfs/dbraw/zinc/19/59/83/903195983.db2.gz PBBJPDBIVDCFCG-UHFFFAOYSA-N 1 2 320.393 1.229 20 30 DDEDLO CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001280403554 903641475 /nfs/dbraw/zinc/64/14/75/903641475.db2.gz XSUQLOYMUMLRDJ-MRXNPFEDSA-N 1 2 318.421 1.897 20 30 DDEDLO CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001280403554 903641477 /nfs/dbraw/zinc/64/14/77/903641477.db2.gz XSUQLOYMUMLRDJ-MRXNPFEDSA-N 1 2 318.421 1.897 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H](OC)C1CCCC1 ZINC001280420980 903659076 /nfs/dbraw/zinc/65/90/76/903659076.db2.gz IVJPCOYGLHXQKH-DLBZAZTESA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H](OC)C1CCCC1 ZINC001280420980 903659087 /nfs/dbraw/zinc/65/90/87/903659087.db2.gz IVJPCOYGLHXQKH-DLBZAZTESA-N 1 2 324.465 1.975 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001293863893 914741388 /nfs/dbraw/zinc/74/13/88/914741388.db2.gz FYIWCDDJDRBCQB-AWEZNQCLSA-N 1 2 316.405 1.171 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001280503408 903742138 /nfs/dbraw/zinc/74/21/38/903742138.db2.gz HZAFQMDGSCPCQO-AWEZNQCLSA-N 1 2 318.421 1.499 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001280503408 903742152 /nfs/dbraw/zinc/74/21/52/903742152.db2.gz HZAFQMDGSCPCQO-AWEZNQCLSA-N 1 2 318.421 1.499 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CN(C)C(=O)COc1c(C)occc1=O ZINC001316604475 903807776 /nfs/dbraw/zinc/80/77/76/903807776.db2.gz YJASLBGXVQPGDM-ZDUSSCGKSA-N 1 2 306.362 1.046 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)COc1c(C)occc1=O ZINC001316604475 903807791 /nfs/dbraw/zinc/80/77/91/903807791.db2.gz YJASLBGXVQPGDM-ZDUSSCGKSA-N 1 2 306.362 1.046 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)CC1OCCCO1 ZINC001370854858 903859842 /nfs/dbraw/zinc/85/98/42/903859842.db2.gz BWSQDADSMXREMW-ZDUSSCGKSA-N 1 2 316.829 1.863 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)CC1OCCCO1 ZINC001370854858 903859851 /nfs/dbraw/zinc/85/98/51/903859851.db2.gz BWSQDADSMXREMW-ZDUSSCGKSA-N 1 2 316.829 1.863 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001280639637 903872931 /nfs/dbraw/zinc/87/29/31/903872931.db2.gz XSHNKBJPVDCMDV-UONOGXRCSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H](CNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001280965345 904252618 /nfs/dbraw/zinc/25/26/18/904252618.db2.gz PEROVSCQTGQBIO-CQSZACIVSA-N 1 2 318.421 1.449 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C[NH2+]Cc1nc(C2CCCC2)no1 ZINC001392549751 904434906 /nfs/dbraw/zinc/43/49/06/904434906.db2.gz MEDRWEBAUVHBAU-MNOVXSKESA-N 1 2 305.382 1.481 20 30 DDEDLO C=C(Br)C[NH2+][C@@H](C)CNC(=O)C(F)C(F)(F)F ZINC001371191659 904450268 /nfs/dbraw/zinc/45/02/68/904450268.db2.gz VVIJSLNCBWZDAM-NKWVEPMBSA-N 1 2 321.112 1.890 20 30 DDEDLO C=C(Br)C[NH2+][C@@H](C)CNC(=O)[C@@H](F)C(F)(F)F ZINC001371191659 904450271 /nfs/dbraw/zinc/45/02/71/904450271.db2.gz VVIJSLNCBWZDAM-NKWVEPMBSA-N 1 2 321.112 1.890 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001281214477 904573472 /nfs/dbraw/zinc/57/34/72/904573472.db2.gz MJOGCLCIHLEBON-QWHCGFSZSA-N 1 2 304.394 1.414 20 30 DDEDLO C=CCCC(=O)NC1CC(N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001281348471 904710910 /nfs/dbraw/zinc/71/09/10/904710910.db2.gz DHZQCOWZBFSYDV-UHFFFAOYSA-N 1 2 304.394 1.414 20 30 DDEDLO C=CCCC(=O)NC1CC(N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001281348471 904710918 /nfs/dbraw/zinc/71/09/18/904710918.db2.gz DHZQCOWZBFSYDV-UHFFFAOYSA-N 1 2 304.394 1.414 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+]([C@H](C)c2nnnn2C)CC1(C)C ZINC001281541170 904994308 /nfs/dbraw/zinc/99/43/08/904994308.db2.gz JZYIXAVIDOCTSL-CHWSQXEVSA-N 1 2 320.441 1.454 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+]([C@H](C)c2nnnn2C)CC1(C)C ZINC001281541170 904994316 /nfs/dbraw/zinc/99/43/16/904994316.db2.gz JZYIXAVIDOCTSL-CHWSQXEVSA-N 1 2 320.441 1.454 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1nc(C(F)F)no1)C1CC1 ZINC001392763042 905176980 /nfs/dbraw/zinc/17/69/80/905176980.db2.gz BZNFOHANKCFJFG-CBAPKCEASA-N 1 2 313.308 1.151 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001282163041 905589441 /nfs/dbraw/zinc/58/94/41/905589441.db2.gz HEAAYNXSBLHSNI-UONOGXRCSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1CC ZINC001282272724 905656214 /nfs/dbraw/zinc/65/62/14/905656214.db2.gz JIIXKYHBZJUKAT-UONOGXRCSA-N 1 2 318.421 1.660 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)COCC2CCCC2)C1 ZINC001282523627 905847803 /nfs/dbraw/zinc/84/78/03/905847803.db2.gz QLVFLXNSCZEOKW-UHFFFAOYSA-N 1 2 310.438 1.322 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](O)(CNC(=O)c2cc(Cl)no2)C1 ZINC001393287092 906652292 /nfs/dbraw/zinc/65/22/92/906652292.db2.gz FBHGOZXIDUCEHJ-GFCCVEGCSA-N 1 2 320.176 1.247 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](O)(CNC(=O)c2cc(Cl)no2)C1 ZINC001393287092 906652299 /nfs/dbraw/zinc/65/22/99/906652299.db2.gz FBHGOZXIDUCEHJ-GFCCVEGCSA-N 1 2 320.176 1.247 20 30 DDEDLO C=CCCC(=O)N1CCCN(C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC001283409605 907307283 /nfs/dbraw/zinc/30/72/83/907307283.db2.gz ZFYJYJDPXIBXJS-OAHLLOKOSA-N 1 2 318.421 1.861 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]([NH2+]Cc2nc(N(C)C)no2)C[C@@H]1C ZINC001283969356 908247670 /nfs/dbraw/zinc/24/76/70/908247670.db2.gz NWVPLWWPBPVHRF-STQMWFEESA-N 1 2 319.409 1.018 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001284023673 908333970 /nfs/dbraw/zinc/33/39/70/908333970.db2.gz NOTRVJLNMVNGCK-ZDUSSCGKSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cn2c(n1)COCC2)C1CC1 ZINC001372831986 908378424 /nfs/dbraw/zinc/37/84/24/908378424.db2.gz HHHVYGVRHXYXRR-UHFFFAOYSA-N 1 2 324.812 1.360 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cn2c(n1)COCC2)C1CC1 ZINC001372831986 908378439 /nfs/dbraw/zinc/37/84/39/908378439.db2.gz HHHVYGVRHXYXRR-UHFFFAOYSA-N 1 2 324.812 1.360 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284097360 908471419 /nfs/dbraw/zinc/47/14/19/908471419.db2.gz MQVXUCKIILWUIB-OKILXGFUSA-N 1 2 318.421 1.708 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284097360 908471429 /nfs/dbraw/zinc/47/14/29/908471429.db2.gz MQVXUCKIILWUIB-OKILXGFUSA-N 1 2 318.421 1.708 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(OC)no2)[C@H]1C ZINC001284118612 908504031 /nfs/dbraw/zinc/50/40/31/908504031.db2.gz AGCCZPCOVOAJBX-ZFWWWQNUSA-N 1 2 319.405 1.956 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2cc(OC)no2)[C@H]1C ZINC001284118612 908504040 /nfs/dbraw/zinc/50/40/40/908504040.db2.gz AGCCZPCOVOAJBX-ZFWWWQNUSA-N 1 2 319.405 1.956 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@H+](Cc2nocc2C)[C@@H]1C ZINC001284128099 908519603 /nfs/dbraw/zinc/51/96/03/908519603.db2.gz JHQRRGWEFLPZBN-HUUCEWRRSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@@H+](Cc2nocc2C)[C@@H]1C ZINC001284128099 908519609 /nfs/dbraw/zinc/51/96/09/908519609.db2.gz JHQRRGWEFLPZBN-HUUCEWRRSA-N 1 2 319.405 1.492 20 30 DDEDLO C=CCCC(=O)NCC[C@@H](C)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001284419400 908968135 /nfs/dbraw/zinc/96/81/35/908968135.db2.gz HTBXJPTXTMLLPZ-ZIAGYGMSSA-N 1 2 318.421 1.423 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1(CCO)C[NH+]([C@@H](C)c2ccccc2)C1 ZINC001394145997 908968731 /nfs/dbraw/zinc/96/87/31/908968731.db2.gz FRYSOBCNJIYSRE-KBPBESRZSA-N 1 2 301.390 1.460 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCNC(=O)CCCn1cc[nH+]c1 ZINC001284545973 909169471 /nfs/dbraw/zinc/16/94/71/909169471.db2.gz DVDYPVIRSNVJQC-UHFFFAOYSA-N 1 2 306.410 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(CCC)C(=O)Cc1[nH]cc[nH+]1 ZINC001284712391 909385042 /nfs/dbraw/zinc/38/50/42/909385042.db2.gz KQHITTOZEKKQSS-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO CCCCc1nc(C[NH2+]C[C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)no1 ZINC001394372006 909462821 /nfs/dbraw/zinc/46/28/21/909462821.db2.gz XEXDNFYICYSSNI-AVGNSLFASA-N 1 2 319.409 1.556 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC(NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001284921874 909739187 /nfs/dbraw/zinc/73/91/87/909739187.db2.gz HKRSZRNULFJLHJ-UHFFFAOYSA-N 1 2 324.384 1.553 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)CO[C@@H]2CCOC2)C1 ZINC001373666395 910553154 /nfs/dbraw/zinc/55/31/54/910553154.db2.gz NLFIOEPIZRSTMV-QWHCGFSZSA-N 1 2 302.802 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)CO[C@@H]2CCOC2)C1 ZINC001373666395 910553171 /nfs/dbraw/zinc/55/31/71/910553171.db2.gz NLFIOEPIZRSTMV-QWHCGFSZSA-N 1 2 302.802 1.077 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)CO[C@@H]2CCOC2)C1 ZINC001373666393 910554182 /nfs/dbraw/zinc/55/41/82/910554182.db2.gz NLFIOEPIZRSTMV-CHWSQXEVSA-N 1 2 302.802 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)CO[C@@H]2CCOC2)C1 ZINC001373666393 910554193 /nfs/dbraw/zinc/55/41/93/910554193.db2.gz NLFIOEPIZRSTMV-CHWSQXEVSA-N 1 2 302.802 1.077 20 30 DDEDLO COCC(=O)N(CC[N@H+](C)Cc1ccccc1C#N)C(C)C ZINC001394791906 910570317 /nfs/dbraw/zinc/57/03/17/910570317.db2.gz PWDMGGGUVQYZKY-UHFFFAOYSA-N 1 2 303.406 1.873 20 30 DDEDLO COCC(=O)N(CC[N@@H+](C)Cc1ccccc1C#N)C(C)C ZINC001394791906 910570322 /nfs/dbraw/zinc/57/03/22/910570322.db2.gz PWDMGGGUVQYZKY-UHFFFAOYSA-N 1 2 303.406 1.873 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001285639884 910946337 /nfs/dbraw/zinc/94/63/37/910946337.db2.gz WIYFWZCTDJTNSN-ZIAGYGMSSA-N 1 2 304.394 1.259 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001285681207 911025948 /nfs/dbraw/zinc/02/59/48/911025948.db2.gz IVKAPVCQYKBMCP-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H](C)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001285693133 911047841 /nfs/dbraw/zinc/04/78/41/911047841.db2.gz NZQVEQIOWYCMET-QWHCGFSZSA-N 1 2 320.437 1.764 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H](C)CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001285693133 911047859 /nfs/dbraw/zinc/04/78/59/911047859.db2.gz NZQVEQIOWYCMET-QWHCGFSZSA-N 1 2 320.437 1.764 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CNC(=O)CCc1[nH]cc[nH+]1 ZINC001285773735 911185124 /nfs/dbraw/zinc/18/51/24/911185124.db2.gz WTFKZYBCVHVKSL-LRDDRELGSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]1CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001285865523 911331465 /nfs/dbraw/zinc/33/14/65/911331465.db2.gz YAGGPZKVWCQWSH-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]1CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001285865523 911331483 /nfs/dbraw/zinc/33/14/83/911331483.db2.gz YAGGPZKVWCQWSH-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001285874486 911346219 /nfs/dbraw/zinc/34/62/19/911346219.db2.gz ATAVBMRNLQUYJE-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO CC(C)[C@@H](CCN(C)C(=O)[C@@H](C)C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001395223558 911589908 /nfs/dbraw/zinc/58/99/08/911589908.db2.gz MAPRZURWVRYLCM-QWHCGFSZSA-N 1 2 319.409 1.101 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CN(C(=O)Cn2cc[nH+]c2)CC1(C)C ZINC001286229241 911858071 /nfs/dbraw/zinc/85/80/71/911858071.db2.gz OAQKTQIZQXHQFN-CQSZACIVSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1[C@@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001294360499 915079560 /nfs/dbraw/zinc/07/95/60/915079560.db2.gz RZZRJHLFKHNSJM-CABCVRRESA-N 1 2 318.421 1.735 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)CNC(=O)CCc2c[nH+]cn2C)C1 ZINC001295605932 915924004 /nfs/dbraw/zinc/92/40/04/915924004.db2.gz ADZVRRDFAXBFAF-CYBMUJFWSA-N 1 2 318.421 1.330 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)NC(=O)CCc2c[nH+]cn2C)C1 ZINC001295644168 915956215 /nfs/dbraw/zinc/95/62/15/915956215.db2.gz YHHZAIQEOOIACY-ZDUSSCGKSA-N 1 2 318.421 1.330 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CN(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001296840505 916590586 /nfs/dbraw/zinc/59/05/86/916590586.db2.gz OEXNQHFQHDAWTM-CYBMUJFWSA-N 1 2 304.394 1.225 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)s1 ZINC001376624257 918900402 /nfs/dbraw/zinc/90/04/02/918900402.db2.gz QBANKAHXYLFZRP-QATXWYEKSA-N 1 2 305.407 1.114 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)s1 ZINC001376624257 918900414 /nfs/dbraw/zinc/90/04/14/918900414.db2.gz QBANKAHXYLFZRP-QATXWYEKSA-N 1 2 305.407 1.114 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@H+](Cc2nc(C3CC3)no2)C1 ZINC001377433739 921890746 /nfs/dbraw/zinc/89/07/46/921890746.db2.gz CBMQJNVJEOHCLM-GHMZBOCLSA-N 1 2 303.366 1.045 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@@H+](Cc2nc(C3CC3)no2)C1 ZINC001377433739 921890758 /nfs/dbraw/zinc/89/07/58/921890758.db2.gz CBMQJNVJEOHCLM-GHMZBOCLSA-N 1 2 303.366 1.045 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)c2cc(C#N)cn2C)CC1 ZINC000081761050 192321306 /nfs/dbraw/zinc/32/13/06/192321306.db2.gz CFQBSDPKWXIZFN-CQSZACIVSA-N 1 2 323.400 1.811 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)NCC1CCN(CC#N)CC1)C2 ZINC000614266989 361781233 /nfs/dbraw/zinc/78/12/33/361781233.db2.gz GHXOEZVVQWJHAF-AWEZNQCLSA-N 1 2 315.421 1.175 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)NCC1CCN(CC#N)CC1)CC2 ZINC000614266989 361781236 /nfs/dbraw/zinc/78/12/36/361781236.db2.gz GHXOEZVVQWJHAF-AWEZNQCLSA-N 1 2 315.421 1.175 20 30 DDEDLO Cc1ncc(S(=O)(=O)N[C@H](c2[nH]cc[nH+]2)C(C)C)cc1C#N ZINC000451548048 231124611 /nfs/dbraw/zinc/12/46/11/231124611.db2.gz MCWBIIJMBYTQQY-ZDUSSCGKSA-N 1 2 319.390 1.660 20 30 DDEDLO Cc1nccc(NC(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)n1 ZINC000330104264 282189346 /nfs/dbraw/zinc/18/93/46/282189346.db2.gz GUZDKZYJSTVMMM-CQSZACIVSA-N 1 2 319.409 1.517 20 30 DDEDLO CC[C@H](NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1)C1CCOCC1 ZINC000329948714 529580220 /nfs/dbraw/zinc/58/02/20/529580220.db2.gz ZHEFHJQMLKVAGL-KKUMJFAQSA-N 1 2 311.426 1.120 20 30 DDEDLO CC[C@H](NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1)C1CCOCC1 ZINC000329948714 529580221 /nfs/dbraw/zinc/58/02/21/529580221.db2.gz ZHEFHJQMLKVAGL-KKUMJFAQSA-N 1 2 311.426 1.120 20 30 DDEDLO COC1CCC(C(=O)N(CCC#N)CC[NH+]2CCOCC2)CC1 ZINC000614817441 362036671 /nfs/dbraw/zinc/03/66/71/362036671.db2.gz KAPSPQHZDJOAHY-UHFFFAOYSA-N 1 2 323.437 1.266 20 30 DDEDLO COC(=O)[C@@H]1[C@@H](O)CCC[N@@H+]1Cc1ccc(C(C)(C)C#N)cc1 ZINC000614919783 362077097 /nfs/dbraw/zinc/07/70/97/362077097.db2.gz OSHXUDAASWWPIW-HOTGVXAUSA-N 1 2 316.401 1.986 20 30 DDEDLO COC(=O)[C@@H]1[C@@H](O)CCC[N@H+]1Cc1ccc(C(C)(C)C#N)cc1 ZINC000614919783 362077105 /nfs/dbraw/zinc/07/71/05/362077105.db2.gz OSHXUDAASWWPIW-HOTGVXAUSA-N 1 2 316.401 1.986 20 30 DDEDLO C[C@@H](/N=C/c1cc([N+](=O)[O-])ccc1O)[C@H](C)[NH+]1CCOCC1 ZINC000105356552 295691734 /nfs/dbraw/zinc/69/17/34/295691734.db2.gz FNIDQFUWRROXAU-GIBJHAEVSA-N 1 2 307.350 1.829 20 30 DDEDLO O=C(Nc1cccc2c1OCO2)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000329445484 539304519 /nfs/dbraw/zinc/30/45/19/539304519.db2.gz KWJNQOHCBZUNFG-GFCCVEGCSA-N 1 2 319.361 1.367 20 30 DDEDLO C[N@H+](CC[C@@H]1CCCCO1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000329474087 539304847 /nfs/dbraw/zinc/30/48/47/539304847.db2.gz BNMPTPPDGUBSDT-OLZOCXBDSA-N 1 2 318.439 1.021 20 30 DDEDLO C[N@@H+](CC[C@@H]1CCCCO1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000329474087 539304848 /nfs/dbraw/zinc/30/48/48/539304848.db2.gz BNMPTPPDGUBSDT-OLZOCXBDSA-N 1 2 318.439 1.021 20 30 DDEDLO CN(Cc1[nH+]ccn1C)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000299546287 530027253 /nfs/dbraw/zinc/02/72/53/530027253.db2.gz FTLOOIXBIAJPPI-HNNXBMFYSA-N 1 2 309.373 1.529 20 30 DDEDLO Cc1cc(C(=O)NCCc2cn3c([nH+]2)CCCC3)ncc1C#N ZINC000457432226 232054384 /nfs/dbraw/zinc/05/43/84/232054384.db2.gz ZUAMLYRMUVHGPD-UHFFFAOYSA-N 1 2 309.373 1.767 20 30 DDEDLO C=C[C@@](C)(O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2[C@H](C)CO ZINC000616021014 362522089 /nfs/dbraw/zinc/52/20/89/362522089.db2.gz VHTTVPCBGAOLGF-QLJPJBMISA-N 1 2 303.362 1.774 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(C#N)cc2F)CC1 ZINC000022849310 352180528 /nfs/dbraw/zinc/18/05/28/352180528.db2.gz USFGSHGAAWOGIM-UHFFFAOYSA-N 1 2 316.380 1.117 20 30 DDEDLO Cc1nc(C(=O)NCCc2cn3ccccc3[nH+]2)ccc1C#N ZINC000032297688 352276605 /nfs/dbraw/zinc/27/66/05/352276605.db2.gz PKBQWYUPCYIKSF-UHFFFAOYSA-N 1 2 305.341 1.882 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+](CC(N)=O)C1CCCC1 ZINC000052826287 352626226 /nfs/dbraw/zinc/62/62/26/352626226.db2.gz XDDRDBLIKQVDDO-UHFFFAOYSA-N 1 2 306.391 1.288 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+](CC(N)=O)C1CCCC1 ZINC000052826287 352626229 /nfs/dbraw/zinc/62/62/29/352626229.db2.gz XDDRDBLIKQVDDO-UHFFFAOYSA-N 1 2 306.391 1.288 20 30 DDEDLO CCC[N@H+](CC(=O)NC1(C#N)CCCCC1)CC(=O)N(C)C ZINC000056510837 352776144 /nfs/dbraw/zinc/77/61/44/352776144.db2.gz CXQQYETWSKWJNM-UHFFFAOYSA-N 1 2 308.426 1.129 20 30 DDEDLO CCC[N@@H+](CC(=O)NC1(C#N)CCCCC1)CC(=O)N(C)C ZINC000056510837 352776146 /nfs/dbraw/zinc/77/61/46/352776146.db2.gz CXQQYETWSKWJNM-UHFFFAOYSA-N 1 2 308.426 1.129 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2cn3c([nH+]2)CCCC3)s1 ZINC000331866692 234211975 /nfs/dbraw/zinc/21/19/75/234211975.db2.gz XPEKKYSRZPCEPV-UHFFFAOYSA-N 1 2 322.415 1.631 20 30 DDEDLO C=CC[N@@H+](CC(=O)NC(=O)NCCC)Cc1cccc(C#N)c1 ZINC000063300833 352909853 /nfs/dbraw/zinc/90/98/53/352909853.db2.gz ZGMZCEKLJVYETJ-UHFFFAOYSA-N 1 2 314.389 1.782 20 30 DDEDLO C=CC[N@H+](CC(=O)NC(=O)NCCC)Cc1cccc(C#N)c1 ZINC000063300833 352909858 /nfs/dbraw/zinc/90/98/58/352909858.db2.gz ZGMZCEKLJVYETJ-UHFFFAOYSA-N 1 2 314.389 1.782 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@H](O)COc1ccc(C)cc1C ZINC000072689906 353209539 /nfs/dbraw/zinc/20/95/39/353209539.db2.gz UIRJIDSWXUIPDG-INIZCTEOSA-N 1 2 306.406 1.905 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@H](O)COc1ccc(C)cc1C ZINC000072689906 353209542 /nfs/dbraw/zinc/20/95/42/353209542.db2.gz UIRJIDSWXUIPDG-INIZCTEOSA-N 1 2 306.406 1.905 20 30 DDEDLO CCCNC(=O)[C@@H](C)[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000078269232 353508569 /nfs/dbraw/zinc/50/85/69/353508569.db2.gz IWDXQNQQUCSLCA-NVXWUHKLSA-N 1 2 314.433 1.784 20 30 DDEDLO CCN(CCC#N)CC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000081515095 353680214 /nfs/dbraw/zinc/68/02/14/353680214.db2.gz SDYYCYJLGMWIMI-UHFFFAOYSA-N 1 2 320.462 1.628 20 30 DDEDLO C[C@H](O)C1CC[NH+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000083171668 353707057 /nfs/dbraw/zinc/70/70/57/353707057.db2.gz WLIZVBCIIMTYGP-SMDDNHRTSA-N 1 2 319.430 1.818 20 30 DDEDLO C#CCN(C(=O)Nc1cc[nH+]cc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000091187248 353815419 /nfs/dbraw/zinc/81/54/19/353815419.db2.gz BFKXVSSAWRJDHH-GFCCVEGCSA-N 1 2 307.375 1.044 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1C[C@@H](C)OC[C@@H]1C ZINC000617727785 363263525 /nfs/dbraw/zinc/26/35/25/363263525.db2.gz NRMRFJKWCYKVBM-UONOGXRCSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1C[C@@H](C)OC[C@@H]1C ZINC000617727785 363263529 /nfs/dbraw/zinc/26/35/29/363263529.db2.gz NRMRFJKWCYKVBM-UONOGXRCSA-N 1 2 319.405 1.485 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)Nc1ccc(C#N)cc1 ZINC000103427891 353929319 /nfs/dbraw/zinc/92/93/19/353929319.db2.gz GPNIUEHYIZDLJP-UHFFFAOYSA-N 1 2 300.362 1.749 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)Nc1ccc(C#N)cc1 ZINC000103427891 353929321 /nfs/dbraw/zinc/92/93/21/353929321.db2.gz GPNIUEHYIZDLJP-UHFFFAOYSA-N 1 2 300.362 1.749 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)c(Cl)c1 ZINC000123025935 354029684 /nfs/dbraw/zinc/02/96/84/354029684.db2.gz PZBGTJVNZSIAPZ-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@@H](SCC[NH+]1CCOCC1)C(=O)Nc1ccccc1C#N ZINC000127392967 354064090 /nfs/dbraw/zinc/06/40/90/354064090.db2.gz SIESSKZFFUWHEE-CYBMUJFWSA-N 1 2 319.430 1.951 20 30 DDEDLO C[C@@H]1C[C@H](C(=O)NC[C@](C)(O)C[NH+]2CCOCC2)CCC1=O ZINC000328916322 222909719 /nfs/dbraw/zinc/90/97/19/222909719.db2.gz AJAPMHXTIOWUJP-IOASZLSFSA-N 1 2 312.410 1.031 20 30 DDEDLO C[C@H]([NH2+]CC(=O)N[C@](C)(C#N)C1CC1)c1nc(C(C)(C)C)no1 ZINC000185165610 354280701 /nfs/dbraw/zinc/28/07/01/354280701.db2.gz JYDSZLGGVRXSMQ-MGPLVRAMSA-N 1 2 319.409 1.826 20 30 DDEDLO Cc1cc(-c2nc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)co2)ccn1 ZINC000581313525 354732737 /nfs/dbraw/zinc/73/27/37/354732737.db2.gz JCZAGYIUFNCXFZ-INIZCTEOSA-N 1 2 313.361 1.619 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@]2(CCOC2)O1 ZINC000565048782 304040804 /nfs/dbraw/zinc/04/08/04/304040804.db2.gz MQCOOSARQIHEPS-LRDDRELGSA-N 1 2 317.345 1.846 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@]2(CCOC2)O1 ZINC000565048782 304040806 /nfs/dbraw/zinc/04/08/06/304040806.db2.gz MQCOOSARQIHEPS-LRDDRELGSA-N 1 2 317.345 1.846 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)C[C@H]1C ZINC000589902505 355030478 /nfs/dbraw/zinc/03/04/78/355030478.db2.gz NKUQLVMQRMYGMH-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)C[C@H]1C ZINC000589902505 355030481 /nfs/dbraw/zinc/03/04/81/355030481.db2.gz NKUQLVMQRMYGMH-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000590746462 355161268 /nfs/dbraw/zinc/16/12/68/355161268.db2.gz KYBUAUFJYDUZLN-ZDUSSCGKSA-N 1 2 310.423 1.790 20 30 DDEDLO C[C@@H](C(=O)N(C)c1ccccc1)[NH+]1CCN(CCC#N)CC1 ZINC000591020663 355234214 /nfs/dbraw/zinc/23/42/14/355234214.db2.gz XEERDTFZQZCJSX-HNNXBMFYSA-N 1 2 300.406 1.569 20 30 DDEDLO N#Cc1ccccc1N1CCN(c2nc[nH+]c3c2OCCN3)CC1 ZINC000591144455 355254225 /nfs/dbraw/zinc/25/42/25/355254225.db2.gz PHAJKIPMVLGPJT-UHFFFAOYSA-N 1 2 322.372 1.479 20 30 DDEDLO N#Cc1ccccc1N1CCN(c2[nH+]cnc3c2OCCN3)CC1 ZINC000591144455 355254230 /nfs/dbraw/zinc/25/42/30/355254230.db2.gz PHAJKIPMVLGPJT-UHFFFAOYSA-N 1 2 322.372 1.479 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)N[C@@H]3CC34CC4)CC2)cc1 ZINC000591858059 355395823 /nfs/dbraw/zinc/39/58/23/355395823.db2.gz QQUXFZOZRABWGQ-MRXNPFEDSA-N 1 2 310.401 1.938 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592147173 355513008 /nfs/dbraw/zinc/51/30/08/355513008.db2.gz IXDZDDAOQBYQTE-SUMWQHHRSA-N 1 2 317.389 1.223 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592147173 355513010 /nfs/dbraw/zinc/51/30/10/355513010.db2.gz IXDZDDAOQBYQTE-SUMWQHHRSA-N 1 2 317.389 1.223 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@H]2CCN(c3ccc(C#N)cc3)C2=O)C1 ZINC000592149016 355515212 /nfs/dbraw/zinc/51/52/12/355515212.db2.gz GZNKMYGGMDCWOB-DOTOQJQBSA-N 1 2 310.357 1.014 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@H]2CCN(c3ccc(C#N)cc3)C2=O)C1 ZINC000592149016 355515214 /nfs/dbraw/zinc/51/52/14/355515214.db2.gz GZNKMYGGMDCWOB-DOTOQJQBSA-N 1 2 310.357 1.014 20 30 DDEDLO Cc1nc(NC(=O)[C@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)sc1C ZINC000592149396 355516035 /nfs/dbraw/zinc/51/60/35/355516035.db2.gz OLVXNZDQJWDTKF-HZMBPMFUSA-N 1 2 308.407 1.437 20 30 DDEDLO Cc1nc(NC(=O)[C@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)sc1C ZINC000592149396 355516037 /nfs/dbraw/zinc/51/60/37/355516037.db2.gz OLVXNZDQJWDTKF-HZMBPMFUSA-N 1 2 308.407 1.437 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)Nc2ccc(F)c(Cl)c2)C1 ZINC000592149684 355516186 /nfs/dbraw/zinc/51/61/86/355516186.db2.gz UNDQPKKFFWTFJN-CQSZACIVSA-N 1 2 311.744 1.768 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)Nc2ccc(F)c(Cl)c2)C1 ZINC000592149684 355516190 /nfs/dbraw/zinc/51/61/90/355516190.db2.gz UNDQPKKFFWTFJN-CQSZACIVSA-N 1 2 311.744 1.768 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCc3cccc(C(=O)OC)c3C2)C1=O ZINC000592165055 355522883 /nfs/dbraw/zinc/52/28/83/355522883.db2.gz NNMFJEFIXYTOHI-INIZCTEOSA-N 1 2 314.385 1.618 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCc3cccc(C(=O)OC)c3C2)C1=O ZINC000592165055 355522886 /nfs/dbraw/zinc/52/28/86/355522886.db2.gz NNMFJEFIXYTOHI-INIZCTEOSA-N 1 2 314.385 1.618 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](C[C@@H]3CCC(=O)N3)CC2)c(Cl)c1 ZINC000593027507 355773330 /nfs/dbraw/zinc/77/33/30/355773330.db2.gz RZCAQNIRKXAMSQ-ZDUSSCGKSA-N 1 2 318.808 1.612 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2[C@H](C)CC[C@@H]2C(=O)OC(C)(C)C)C1=O ZINC000593051213 355780696 /nfs/dbraw/zinc/78/06/96/355780696.db2.gz LVAZRWXMEPZWGE-HZSPNIEDSA-N 1 2 308.422 1.968 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2[C@H](C)CC[C@@H]2C(=O)OC(C)(C)C)C1=O ZINC000593051213 355780698 /nfs/dbraw/zinc/78/06/98/355780698.db2.gz LVAZRWXMEPZWGE-HZSPNIEDSA-N 1 2 308.422 1.968 20 30 DDEDLO COCCOCCN1CC[NH+](Cc2ccc(C#N)c(C)c2)CC1 ZINC000593118962 355805698 /nfs/dbraw/zinc/80/56/98/355805698.db2.gz XQCSENGJJWQRTK-UHFFFAOYSA-N 1 2 317.433 1.647 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1coc(-c2ccccc2)n1 ZINC000593155662 355813793 /nfs/dbraw/zinc/81/37/93/355813793.db2.gz PBAWJSYQVDTBPW-QGZVFWFLSA-N 1 2 312.373 1.844 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@H]1CN(c2ccccc2)CCO1 ZINC000593959779 356072912 /nfs/dbraw/zinc/07/29/12/356072912.db2.gz HOZHXHUNYIHTSK-KRWDZBQOSA-N 1 2 303.406 1.754 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@H]1CN(c2ccccc2)CCO1 ZINC000593959779 356072916 /nfs/dbraw/zinc/07/29/16/356072916.db2.gz HOZHXHUNYIHTSK-KRWDZBQOSA-N 1 2 303.406 1.754 20 30 DDEDLO Cc1cccc(C)c1OCC[NH+]1CCN(C(=O)CC#N)CC1 ZINC000594003667 356084380 /nfs/dbraw/zinc/08/43/80/356084380.db2.gz LKRRWXFEHPJUHE-UHFFFAOYSA-N 1 2 301.390 1.740 20 30 DDEDLO Cn1cnnc1N1CC[NH+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000594023358 356091729 /nfs/dbraw/zinc/09/17/29/356091729.db2.gz QZKKPZRHDBXUEP-UHFFFAOYSA-N 1 2 300.341 1.148 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC000594259935 356156154 /nfs/dbraw/zinc/15/61/54/356156154.db2.gz OIOFLHIGRQVGMS-DZGCQCFKSA-N 1 2 303.410 1.281 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC000594259935 356156156 /nfs/dbraw/zinc/15/61/56/356156156.db2.gz OIOFLHIGRQVGMS-DZGCQCFKSA-N 1 2 303.410 1.281 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(F)cc2[N+](=O)[O-])C1=O ZINC000595473689 356507903 /nfs/dbraw/zinc/50/79/03/356507903.db2.gz KZJQOGYTOYXRPW-CYBMUJFWSA-N 1 2 307.325 1.953 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(F)cc2[N+](=O)[O-])C1=O ZINC000595473689 356507904 /nfs/dbraw/zinc/50/79/04/356507904.db2.gz KZJQOGYTOYXRPW-CYBMUJFWSA-N 1 2 307.325 1.953 20 30 DDEDLO C[C@H](O)[C@@H]1CCCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513269 192275932 /nfs/dbraw/zinc/27/59/32/192275932.db2.gz IFUBYKCIPHYJSB-BBRMVZONSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@H](O)[C@@H]1CCCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513269 192275934 /nfs/dbraw/zinc/27/59/34/192275934.db2.gz IFUBYKCIPHYJSB-BBRMVZONSA-N 1 2 322.430 1.567 20 30 DDEDLO Cc1cc(=O)[nH]c(C(C)(C)[NH2+]CC(=O)N[C@](C)(C#N)C2CC2)n1 ZINC000595521197 356531496 /nfs/dbraw/zinc/53/14/96/356531496.db2.gz XPFAAISNQLHVKP-MRXNPFEDSA-N 1 2 317.393 1.124 20 30 DDEDLO C[C@@H](NC(=O)NCCOc1ccc(C#N)cc1)c1[nH+]ccn1C ZINC000595524991 356532855 /nfs/dbraw/zinc/53/28/55/356532855.db2.gz CVBGHJABRCYDJZ-GFCCVEGCSA-N 1 2 313.361 1.731 20 30 DDEDLO N#Cc1sccc1N1CC[NH+](CCN2CCCC2=O)CC1 ZINC000595631564 356585304 /nfs/dbraw/zinc/58/53/04/356585304.db2.gz DOVHBPRPXMHWGK-UHFFFAOYSA-N 1 2 304.419 1.364 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H](C)O1 ZINC000595779566 356649943 /nfs/dbraw/zinc/64/99/43/356649943.db2.gz DHVDLTHKQUKRPT-KGLIPLIRSA-N 1 2 322.430 1.831 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H](C)O1 ZINC000595779566 356649946 /nfs/dbraw/zinc/64/99/46/356649946.db2.gz DHVDLTHKQUKRPT-KGLIPLIRSA-N 1 2 322.430 1.831 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@H]2COC[C@@]2(C)C1 ZINC000595755641 356636856 /nfs/dbraw/zinc/63/68/56/356636856.db2.gz BWCSPHMTFRPCKC-YQQAZPJKSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@H]2COC[C@@]2(C)C1 ZINC000595755641 356636860 /nfs/dbraw/zinc/63/68/60/356636860.db2.gz BWCSPHMTFRPCKC-YQQAZPJKSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@@H+]2CC[C@](C)(C#N)C2)cc1 ZINC000595834092 356670469 /nfs/dbraw/zinc/67/04/69/356670469.db2.gz GTDBZBSMKVKTRP-WMLDXEAASA-N 1 2 318.373 1.448 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@H+]2CC[C@](C)(C#N)C2)cc1 ZINC000595834092 356670470 /nfs/dbraw/zinc/67/04/70/356670470.db2.gz GTDBZBSMKVKTRP-WMLDXEAASA-N 1 2 318.373 1.448 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCO[C@H](CC(F)(F)F)C1 ZINC000595885510 356691416 /nfs/dbraw/zinc/69/14/16/356691416.db2.gz ILYAIHBNMMTZKN-LLVKDONJSA-N 1 2 307.316 1.402 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCO[C@H](CC(F)(F)F)C1 ZINC000595885510 356691420 /nfs/dbraw/zinc/69/14/20/356691420.db2.gz ILYAIHBNMMTZKN-LLVKDONJSA-N 1 2 307.316 1.402 20 30 DDEDLO N#Cc1nc(N2CCC[C@@H]([NH+]3CCOCC3)C2)sc1Cl ZINC000596247379 356831081 /nfs/dbraw/zinc/83/10/81/356831081.db2.gz NUXYVVZYHVLXRK-SNVBAGLBSA-N 1 2 312.826 1.969 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2ccc([N+](=O)[O-])cc2C#N)[C@@H](C)CO1 ZINC000596429571 356877133 /nfs/dbraw/zinc/87/71/33/356877133.db2.gz KDWVWWVKRDRJID-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2ccc([N+](=O)[O-])cc2C#N)[C@@H](C)CO1 ZINC000596429571 356877135 /nfs/dbraw/zinc/87/71/35/356877135.db2.gz KDWVWWVKRDRJID-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N2CCO[C@@H](C#N)C2)cc1 ZINC000596671266 356948077 /nfs/dbraw/zinc/94/80/77/356948077.db2.gz GRNRNOHUVSCMIT-HOTGVXAUSA-N 1 2 315.373 1.020 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2C(=O)N2CCO[C@@H](C#N)C2)cc1 ZINC000596671266 356948079 /nfs/dbraw/zinc/94/80/79/356948079.db2.gz GRNRNOHUVSCMIT-HOTGVXAUSA-N 1 2 315.373 1.020 20 30 DDEDLO CC(C)(C)O[C@@H]1C[C@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000597025215 357043002 /nfs/dbraw/zinc/04/30/02/357043002.db2.gz ZQTPXAFONATJGW-HUUCEWRRSA-N 1 2 323.437 1.264 20 30 DDEDLO COCCN1CC[N@H+](Cc2ccc(C3(C#N)CC3)cc2)CC1=O ZINC000597078152 357055111 /nfs/dbraw/zinc/05/51/11/357055111.db2.gz CNPBEQOKQFONMI-UHFFFAOYSA-N 1 2 313.401 1.532 20 30 DDEDLO COCCN1CC[N@@H+](Cc2ccc(C3(C#N)CC3)cc2)CC1=O ZINC000597078152 357055114 /nfs/dbraw/zinc/05/51/14/357055114.db2.gz CNPBEQOKQFONMI-UHFFFAOYSA-N 1 2 313.401 1.532 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[N@@H+]1CC[C@](C)(C#N)C1 ZINC000597231187 357103409 /nfs/dbraw/zinc/10/34/09/357103409.db2.gz WYMTZKGRFRYAIR-MRXNPFEDSA-N 1 2 303.410 1.301 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[N@H+]1CC[C@](C)(C#N)C1 ZINC000597231187 357103413 /nfs/dbraw/zinc/10/34/13/357103413.db2.gz WYMTZKGRFRYAIR-MRXNPFEDSA-N 1 2 303.410 1.301 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1cccc(C#N)c1)C(C)(C)CO ZINC000597846267 357356046 /nfs/dbraw/zinc/35/60/46/357356046.db2.gz KGFDSAJWRHGVPF-CQSZACIVSA-N 1 2 312.373 1.781 20 30 DDEDLO CC(C)c1nnc([C@@H]2C[N@H+](C[C@@H](O)CC(C)(C)C#N)CCO2)o1 ZINC000598610942 357652070 /nfs/dbraw/zinc/65/20/70/357652070.db2.gz KSIUNRBUHBBTHT-STQMWFEESA-N 1 2 322.409 1.867 20 30 DDEDLO CC(C)c1nnc([C@@H]2C[N@@H+](C[C@@H](O)CC(C)(C)C#N)CCO2)o1 ZINC000598610942 357652073 /nfs/dbraw/zinc/65/20/73/357652073.db2.gz KSIUNRBUHBBTHT-STQMWFEESA-N 1 2 322.409 1.867 20 30 DDEDLO CC(C)OCc1nc([C@H](C)[NH2+]C[C@@H](O)CC(C)(C)C#N)no1 ZINC000598616263 357655307 /nfs/dbraw/zinc/65/53/07/357655307.db2.gz LIMQLPGJUUHCAO-RYUDHWBXSA-N 1 2 310.398 1.946 20 30 DDEDLO C[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1CO ZINC000598649880 357670557 /nfs/dbraw/zinc/67/05/57/357670557.db2.gz ZVQIGKNUEOGESX-DZGCQCFKSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1CO ZINC000598649880 357670560 /nfs/dbraw/zinc/67/05/60/357670560.db2.gz ZVQIGKNUEOGESX-DZGCQCFKSA-N 1 2 322.430 1.282 20 30 DDEDLO N#CCN1CC[NH+](Cc2ccnn2CCc2ccccc2)CC1 ZINC000598671117 357679840 /nfs/dbraw/zinc/67/98/40/357679840.db2.gz RFBDDWZRFCZBEZ-UHFFFAOYSA-N 1 2 309.417 1.767 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1Cc2ccccc2N(C)C(=O)C1 ZINC000598946449 357769847 /nfs/dbraw/zinc/76/98/47/357769847.db2.gz GABAOJOIFVCQMN-UHFFFAOYSA-N 1 2 314.389 1.227 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1Cc2ccccc2N(C)C(=O)C1 ZINC000598946449 357769850 /nfs/dbraw/zinc/76/98/50/357769850.db2.gz GABAOJOIFVCQMN-UHFFFAOYSA-N 1 2 314.389 1.227 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1nc(C(F)(F)F)ccc1C#N ZINC000599160444 357833724 /nfs/dbraw/zinc/83/37/24/357833724.db2.gz JLDJXGROZRBMFE-SNVBAGLBSA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1nc(C(F)(F)F)ccc1C#N ZINC000599160444 357833728 /nfs/dbraw/zinc/83/37/28/357833728.db2.gz JLDJXGROZRBMFE-SNVBAGLBSA-N 1 2 300.284 1.715 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCOC[C@H]2c2ccco2)CCOCC1 ZINC000599294853 357879782 /nfs/dbraw/zinc/87/97/82/357879782.db2.gz RKQJKPSLLJVGSR-CABCVRRESA-N 1 2 320.389 1.724 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCOC[C@H]2c2ccco2)CCOCC1 ZINC000599294853 357879784 /nfs/dbraw/zinc/87/97/84/357879784.db2.gz RKQJKPSLLJVGSR-CABCVRRESA-N 1 2 320.389 1.724 20 30 DDEDLO Cc1noc([C@H]2C[N@@H+](C[C@@H](O)CC(C)(C)C#N)C[C@@H](C)O2)n1 ZINC000599607180 357991398 /nfs/dbraw/zinc/99/13/98/357991398.db2.gz HGJVYOKLQYPASQ-KGYLQXTDSA-N 1 2 308.382 1.441 20 30 DDEDLO Cc1noc([C@H]2C[N@H+](C[C@@H](O)CC(C)(C)C#N)C[C@@H](C)O2)n1 ZINC000599607180 357991402 /nfs/dbraw/zinc/99/14/02/357991402.db2.gz HGJVYOKLQYPASQ-KGYLQXTDSA-N 1 2 308.382 1.441 20 30 DDEDLO COC[C@@]1(C)C[N@@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)CCO1 ZINC000599691286 358027069 /nfs/dbraw/zinc/02/70/69/358027069.db2.gz DFZGZGXSJBAWLN-SUMWQHHRSA-N 1 2 317.389 1.623 20 30 DDEDLO COC[C@@]1(C)C[N@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)CCO1 ZINC000599691286 358027072 /nfs/dbraw/zinc/02/70/72/358027072.db2.gz DFZGZGXSJBAWLN-SUMWQHHRSA-N 1 2 317.389 1.623 20 30 DDEDLO Cc1nc(CNC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)sc1C ZINC000329797600 223029809 /nfs/dbraw/zinc/02/98/09/223029809.db2.gz FSVYMXUPSMDIAG-LBPRGKRZSA-N 1 2 311.455 1.009 20 30 DDEDLO Cc1nc(CNC(=O)NC[C@H]2CN(C)CC[N@H+]2C)sc1C ZINC000329797600 223029813 /nfs/dbraw/zinc/02/98/13/223029813.db2.gz FSVYMXUPSMDIAG-LBPRGKRZSA-N 1 2 311.455 1.009 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)C[C@@H](CC#N)c1ccccc1 ZINC000600417829 358215356 /nfs/dbraw/zinc/21/53/56/358215356.db2.gz BRGZKPQEUTZMSW-CVEARBPZSA-N 1 2 301.390 1.521 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)C[C@@H](CC#N)c1ccccc1 ZINC000600417829 358215359 /nfs/dbraw/zinc/21/53/59/358215359.db2.gz BRGZKPQEUTZMSW-CVEARBPZSA-N 1 2 301.390 1.521 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](CCOc3ccccc3)CC2)c[nH]1 ZINC000181153970 199257141 /nfs/dbraw/zinc/25/71/41/199257141.db2.gz LUZNSWVNMXQDRV-UHFFFAOYSA-N 1 2 324.384 1.723 20 30 DDEDLO N#Cc1ccc(-n2[nH]c3c(c2=O)C[NH2+]CC3)cc1C(F)(F)F ZINC000601937163 358744842 /nfs/dbraw/zinc/74/48/42/358744842.db2.gz CEKVZDDAYXRZKD-JTQLQIEISA-N 1 2 308.263 1.889 20 30 DDEDLO CN1CCCC[C@@H]([N@H+](C)[C@H](C(N)=O)c2ccc(C#N)cc2)C1=O ZINC000601967745 358757610 /nfs/dbraw/zinc/75/76/10/358757610.db2.gz APIWFCBPSQTSQD-CABCVRRESA-N 1 2 314.389 1.027 20 30 DDEDLO CN1CCCC[C@@H]([N@@H+](C)[C@H](C(N)=O)c2ccc(C#N)cc2)C1=O ZINC000601967745 358757611 /nfs/dbraw/zinc/75/76/11/358757611.db2.gz APIWFCBPSQTSQD-CABCVRRESA-N 1 2 314.389 1.027 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601975624 358762147 /nfs/dbraw/zinc/76/21/47/358762147.db2.gz LTNIOFWOEIXQDX-RISCZKNCSA-N 1 2 303.353 1.397 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601975624 358762150 /nfs/dbraw/zinc/76/21/50/358762150.db2.gz LTNIOFWOEIXQDX-RISCZKNCSA-N 1 2 303.353 1.397 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2C(=O)NCC(C)(C)C#N)cc1 ZINC000602129276 358833528 /nfs/dbraw/zinc/83/35/28/358833528.db2.gz FPGVMEDNHLEQMG-HNNXBMFYSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2C(=O)NCC(C)(C)C#N)cc1 ZINC000602129276 358833533 /nfs/dbraw/zinc/83/35/33/358833533.db2.gz FPGVMEDNHLEQMG-HNNXBMFYSA-N 1 2 301.390 1.935 20 30 DDEDLO Cc1ccccc1CO[C@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000602331155 358933888 /nfs/dbraw/zinc/93/38/88/358933888.db2.gz AQIFLTZVXFROCC-RHSMWYFYSA-N 1 2 303.406 1.860 20 30 DDEDLO C[C@H](CC#N)[N@H+](C)Cc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC000602462664 358993740 /nfs/dbraw/zinc/99/37/40/358993740.db2.gz OIPHQHOAOYYYBK-SECBINFHSA-N 1 2 301.799 1.721 20 30 DDEDLO C[C@H](CC#N)[N@@H+](C)Cc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC000602462664 358993745 /nfs/dbraw/zinc/99/37/45/358993745.db2.gz OIPHQHOAOYYYBK-SECBINFHSA-N 1 2 301.799 1.721 20 30 DDEDLO C=CCOC[C@H]([NH2+]C[C@H]1CCN(c2ccccc2)C1)C(=O)OC ZINC000602644380 359094697 /nfs/dbraw/zinc/09/46/97/359094697.db2.gz MZXSXYFHENTDGN-WBVHZDCISA-N 1 2 318.417 1.847 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cc(=O)[nH]c(C3CC3)n2)C[C@H](C)N1CC#N ZINC000602850590 359238111 /nfs/dbraw/zinc/23/81/11/359238111.db2.gz QUYDXQOPSBXKQL-TXEJJXNPSA-N 1 2 301.394 1.478 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cc(=O)[nH]c(C3CC3)n2)C[C@H](C)N1CC#N ZINC000602850590 359238119 /nfs/dbraw/zinc/23/81/19/359238119.db2.gz QUYDXQOPSBXKQL-TXEJJXNPSA-N 1 2 301.394 1.478 20 30 DDEDLO CC[C@@H]1CCCCN1C(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856585 359242161 /nfs/dbraw/zinc/24/21/61/359242161.db2.gz LDRLXDYCXDJXHM-OWCLPIDISA-N 1 2 306.454 1.696 20 30 DDEDLO CC[C@@H]1CCCCN1C(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856585 359242162 /nfs/dbraw/zinc/24/21/62/359242162.db2.gz LDRLXDYCXDJXHM-OWCLPIDISA-N 1 2 306.454 1.696 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)NCc2ccc(F)cc2)C[C@H](C)N1CC#N ZINC000602861145 359245732 /nfs/dbraw/zinc/24/57/32/359245732.db2.gz KTKOAMHENYPJJK-KBPBESRZSA-N 1 2 318.396 1.360 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)N1CCN(Cc2ccsc2)CC1 ZINC000602870634 359254727 /nfs/dbraw/zinc/25/47/27/359254727.db2.gz VASOFGXHKRZIMZ-CYBMUJFWSA-N 1 2 306.435 1.284 20 30 DDEDLO COc1ccccc1CCNC(=O)C[N@H+](C)[C@@H]1CCC[C@H]1C#N ZINC000602973910 359334031 /nfs/dbraw/zinc/33/40/31/359334031.db2.gz HHKHJAFQTVPQAC-JKSUJKDBSA-N 1 2 315.417 1.978 20 30 DDEDLO COc1ccccc1CCNC(=O)C[N@@H+](C)[C@@H]1CCC[C@H]1C#N ZINC000602973910 359334034 /nfs/dbraw/zinc/33/40/34/359334034.db2.gz HHKHJAFQTVPQAC-JKSUJKDBSA-N 1 2 315.417 1.978 20 30 DDEDLO CNC(=O)NC[C@@H]1COCC[N@@H+]1CCC1(C#N)CCCCC1 ZINC000602999552 359347793 /nfs/dbraw/zinc/34/77/93/359347793.db2.gz KJXXCPBOWYBIKP-CQSZACIVSA-N 1 2 308.426 1.480 20 30 DDEDLO CNC(=O)NC[C@@H]1COCC[N@H+]1CCC1(C#N)CCCCC1 ZINC000602999552 359347796 /nfs/dbraw/zinc/34/77/96/359347796.db2.gz KJXXCPBOWYBIKP-CQSZACIVSA-N 1 2 308.426 1.480 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1C[C@H]2C[C@@]2(O)C1 ZINC000603085224 359394209 /nfs/dbraw/zinc/39/42/09/359394209.db2.gz CRCFQRQXIPLUAM-XIZWVBILSA-N 1 2 317.414 1.502 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1C[C@H]2C[C@@]2(O)C1 ZINC000603085224 359394213 /nfs/dbraw/zinc/39/42/13/359394213.db2.gz CRCFQRQXIPLUAM-XIZWVBILSA-N 1 2 317.414 1.502 20 30 DDEDLO Cc1[nH+]c(C(C)C)ccc1Cn1cc(CNC(=O)CC#N)nn1 ZINC000603232514 359501667 /nfs/dbraw/zinc/50/16/67/359501667.db2.gz GBBCTTCMKJZSIX-UHFFFAOYSA-N 1 2 312.377 1.683 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc([N+](=O)[O-])cc2OC)nn1 ZINC000603242959 359508860 /nfs/dbraw/zinc/50/88/60/359508860.db2.gz GGJZXHDRJHBNCX-UHFFFAOYSA-N 1 2 315.333 1.356 20 30 DDEDLO COCCN(CCC#N)C(=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000609136935 360265081 /nfs/dbraw/zinc/26/50/81/360265081.db2.gz RXLGHQWFSOJAJB-UHFFFAOYSA-N 1 2 300.362 1.574 20 30 DDEDLO Cc1ccc(N(CC2CC2)C[C@@H]2C[N@H+](C)CCO2)nc1C#N ZINC000618682461 363719485 /nfs/dbraw/zinc/71/94/85/363719485.db2.gz BRDDRCNCHJWQFG-HNNXBMFYSA-N 1 2 300.406 1.809 20 30 DDEDLO Cc1ccc(N(CC2CC2)C[C@@H]2C[N@@H+](C)CCO2)nc1C#N ZINC000618682461 363719487 /nfs/dbraw/zinc/71/94/87/363719487.db2.gz BRDDRCNCHJWQFG-HNNXBMFYSA-N 1 2 300.406 1.809 20 30 DDEDLO CC[C@H]1CCCCN1C(=O)C[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609486606 360313578 /nfs/dbraw/zinc/31/35/78/360313578.db2.gz NOJRCBXROVGCIU-IRXDYDNUSA-N 1 2 320.481 1.943 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(C#N)cc1F ZINC000610082847 360380171 /nfs/dbraw/zinc/38/01/71/360380171.db2.gz LUAHPIYAOQBXLO-SNVBAGLBSA-N 1 2 308.338 1.261 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCCC[C@H]2C(=O)N2CCOCC2)ccn1 ZINC000610992611 360593037 /nfs/dbraw/zinc/59/30/37/360593037.db2.gz VBJOSDSBAOPLJL-INIZCTEOSA-N 1 2 314.389 1.167 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCCC[C@H]2C(=O)N2CCOCC2)ccn1 ZINC000610992611 360593042 /nfs/dbraw/zinc/59/30/42/360593042.db2.gz VBJOSDSBAOPLJL-INIZCTEOSA-N 1 2 314.389 1.167 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)CC1 ZINC000611012020 360602884 /nfs/dbraw/zinc/60/28/84/360602884.db2.gz FXFUXRQTUGXPSW-ZBFHGGJFSA-N 1 2 315.421 1.138 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000611204635 360658946 /nfs/dbraw/zinc/65/89/46/360658946.db2.gz LXCQZGVOHFHURG-OAHLLOKOSA-N 1 2 314.389 1.074 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000611204635 360658951 /nfs/dbraw/zinc/65/89/51/360658951.db2.gz LXCQZGVOHFHURG-OAHLLOKOSA-N 1 2 314.389 1.074 20 30 DDEDLO C[C@H]1CCC[C@@H](NC(=O)C[NH+]2CCN(CC3(C#N)CC3)CC2)C1 ZINC000611430860 360719279 /nfs/dbraw/zinc/71/92/79/360719279.db2.gz VLXJREOSFSCWFN-JKSUJKDBSA-N 1 2 318.465 1.603 20 30 DDEDLO COc1cc(C)cc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)c1C ZINC000330181350 223083581 /nfs/dbraw/zinc/08/35/81/223083581.db2.gz GROMNBNLVFBQKB-GOEBONIOSA-N 1 2 319.405 1.872 20 30 DDEDLO COc1cc(C)cc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)c1C ZINC000330181350 223083584 /nfs/dbraw/zinc/08/35/84/223083584.db2.gz GROMNBNLVFBQKB-GOEBONIOSA-N 1 2 319.405 1.872 20 30 DDEDLO [O-]C(N[C@H]1CCn2cc[nH+]c2C1)=[NH+]C[C@H](O)C1CCCCC1 ZINC000330196596 223085808 /nfs/dbraw/zinc/08/58/08/223085808.db2.gz QNLOSVZSYDWIFI-KBPBESRZSA-N 1 2 306.410 1.643 20 30 DDEDLO [O-]C(NC[C@H](O)C1CCCCC1)=[NH+][C@H]1CCn2cc[nH+]c2C1 ZINC000330196596 223085810 /nfs/dbraw/zinc/08/58/10/223085810.db2.gz QNLOSVZSYDWIFI-KBPBESRZSA-N 1 2 306.410 1.643 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@@H](C)[N@@H+]2CCC[C@@](C)(C#N)C2)CC1 ZINC000612155650 360936327 /nfs/dbraw/zinc/93/63/27/360936327.db2.gz ZDKBXRQDDKUDIL-DYVFJYSZSA-N 1 2 321.421 1.412 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@@H](C)[N@H+]2CCC[C@@](C)(C#N)C2)CC1 ZINC000612155650 360936330 /nfs/dbraw/zinc/93/63/30/360936330.db2.gz ZDKBXRQDDKUDIL-DYVFJYSZSA-N 1 2 321.421 1.412 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCC(F)(F)F)[N@@H+]1CCC[C@@](C)(C#N)C1 ZINC000612163525 360937134 /nfs/dbraw/zinc/93/71/34/360937134.db2.gz RJYLPUKQGOJPRP-SKDRFNHKSA-N 1 2 320.315 1.389 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCC(F)(F)F)[N@H+]1CCC[C@@](C)(C#N)C1 ZINC000612163525 360937139 /nfs/dbraw/zinc/93/71/39/360937139.db2.gz RJYLPUKQGOJPRP-SKDRFNHKSA-N 1 2 320.315 1.389 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@@H+]2CCC[C@@](C)(C#N)C2)C1 ZINC000612167728 360938802 /nfs/dbraw/zinc/93/88/02/360938802.db2.gz VUHJLULBHSLPGA-YOEHRIQHSA-N 1 2 321.421 1.414 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@H+]2CCC[C@@](C)(C#N)C2)C1 ZINC000612167728 360938805 /nfs/dbraw/zinc/93/88/05/360938805.db2.gz VUHJLULBHSLPGA-YOEHRIQHSA-N 1 2 321.421 1.414 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N1CCO[C@H]2CCC[C@H]21 ZINC000330247641 223094201 /nfs/dbraw/zinc/09/42/01/223094201.db2.gz FTEMSTJWZSKFRE-MJBXVCDLSA-N 1 2 304.394 1.928 20 30 DDEDLO C[C@H](C1CC1)n1cc(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)cn1 ZINC000330257229 223095262 /nfs/dbraw/zinc/09/52/62/223095262.db2.gz QOPBCSDGMPWDPL-UGFHNGPFSA-N 1 2 319.409 1.414 20 30 DDEDLO C[C@H](C1CC1)n1cc(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)cn1 ZINC000330257229 223095264 /nfs/dbraw/zinc/09/52/64/223095264.db2.gz QOPBCSDGMPWDPL-UGFHNGPFSA-N 1 2 319.409 1.414 20 30 DDEDLO COc1cnc(NC(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)s1 ZINC000330716894 223141003 /nfs/dbraw/zinc/14/10/03/223141003.db2.gz CMFUOHPUJSCSQX-ZJUUUORDSA-N 1 2 314.411 1.587 20 30 DDEDLO N#C[C@@H]1COCCN1C(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000339515569 223270314 /nfs/dbraw/zinc/27/03/14/223270314.db2.gz GVFMDGPEGWWZSY-MRXNPFEDSA-N 1 2 310.357 1.225 20 30 DDEDLO Cc1nc(C(=O)N(C)CC[N@@H+]2C[C@@H](C)O[C@@H](C)C2)ccc1C#N ZINC000194721715 201277599 /nfs/dbraw/zinc/27/75/99/201277599.db2.gz SHRCJKIUGKGGBA-BETUJISGSA-N 1 2 316.405 1.443 20 30 DDEDLO Cc1nc(C(=O)N(C)CC[N@H+]2C[C@@H](C)O[C@@H](C)C2)ccc1C#N ZINC000194721715 201277600 /nfs/dbraw/zinc/27/76/00/201277600.db2.gz SHRCJKIUGKGGBA-BETUJISGSA-N 1 2 316.405 1.443 20 30 DDEDLO N#CCc1cccc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000619498086 364039541 /nfs/dbraw/zinc/03/95/41/364039541.db2.gz UEZRXRDGVZHLJN-CYBMUJFWSA-N 1 2 316.386 1.242 20 30 DDEDLO COc1ncc(C[NH+]2CCN([C@@H](C#N)C(C)C)CC2)c(C)n1 ZINC000619621095 364091314 /nfs/dbraw/zinc/09/13/14/364091314.db2.gz YIIAXIPPPYUYDR-HNNXBMFYSA-N 1 2 303.410 1.459 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+](C)Cc1ccc(OCC(=O)OC)cc1 ZINC000619721591 364130569 /nfs/dbraw/zinc/13/05/69/364130569.db2.gz QCLNKGNLPKCSQX-AWEZNQCLSA-N 1 2 307.346 1.398 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+](C)Cc1ccc(OCC(=O)OC)cc1 ZINC000619721591 364130579 /nfs/dbraw/zinc/13/05/79/364130579.db2.gz QCLNKGNLPKCSQX-AWEZNQCLSA-N 1 2 307.346 1.398 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2SCC(=O)Nc1ccc(C#N)cc1 ZINC000011676559 181698526 /nfs/dbraw/zinc/69/85/26/181698526.db2.gz ZNKFDAJRFNNOMA-UHFFFAOYSA-N 1 2 324.369 1.966 20 30 DDEDLO CCNC(=O)N1CC[NH+](Cc2ccc(C#N)c(OC)c2)CC1 ZINC000282492870 217037728 /nfs/dbraw/zinc/03/77/28/217037728.db2.gz XQSJZHCNHXRQBI-UHFFFAOYSA-N 1 2 302.378 1.414 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCC(CS(C)(=O)=O)CC1 ZINC000336864573 249272045 /nfs/dbraw/zinc/27/20/45/249272045.db2.gz HMANFGUBBPANOQ-UHFFFAOYSA-N 1 2 322.430 1.823 20 30 DDEDLO N#C[C@H]1CN(C(=O)NCc2ccc(N3CCCC3)[nH+]c2)CCO1 ZINC000106330899 194198088 /nfs/dbraw/zinc/19/80/88/194198088.db2.gz RQUGTMHFOCINNB-AWEZNQCLSA-N 1 2 315.377 1.116 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3cnc(C#N)cn3)c[nH+]2)C[C@@H](C)O1 ZINC000106464637 194218387 /nfs/dbraw/zinc/21/83/87/194218387.db2.gz UCTMANHSRLWGQA-CHWSQXEVSA-N 1 2 324.388 1.969 20 30 DDEDLO N#Cc1ccc(OCC[N@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000109765576 194283544 /nfs/dbraw/zinc/28/35/44/194283544.db2.gz HPGANDAEWBHPDT-OAHLLOKOSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1ccc(OCC[N@@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000109765576 194283546 /nfs/dbraw/zinc/28/35/46/194283546.db2.gz HPGANDAEWBHPDT-OAHLLOKOSA-N 1 2 320.414 1.589 20 30 DDEDLO CCCC[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000057625375 184042644 /nfs/dbraw/zinc/04/26/44/184042644.db2.gz JKBXMWQRKPXGSK-UHFFFAOYSA-N 1 2 307.419 1.665 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@H](C)O[C@]2(CCCOC2)C1 ZINC000366581863 285040081 /nfs/dbraw/zinc/04/00/81/285040081.db2.gz UUVZBXTYAVFNSW-HLLBOEOZSA-N 1 2 323.437 1.264 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@H](C)O[C@]2(CCCOC2)C1 ZINC000366581863 285040082 /nfs/dbraw/zinc/04/00/82/285040082.db2.gz UUVZBXTYAVFNSW-HLLBOEOZSA-N 1 2 323.437 1.264 20 30 DDEDLO C[N@H+](Cc1nnc(-c2ccc(C#N)cc2)o1)CC(C)(CO)CO ZINC000270318504 208390589 /nfs/dbraw/zinc/39/05/89/208390589.db2.gz IAAYQLAONSPZMT-UHFFFAOYSA-N 1 2 316.361 1.031 20 30 DDEDLO C[N@@H+](Cc1nnc(-c2ccc(C#N)cc2)o1)CC(C)(CO)CO ZINC000270318504 208390593 /nfs/dbraw/zinc/39/05/93/208390593.db2.gz IAAYQLAONSPZMT-UHFFFAOYSA-N 1 2 316.361 1.031 20 30 DDEDLO COCC(C)(C)[NH+]1CCN(C(=O)c2sccc2C#N)CC1 ZINC000424999315 533095484 /nfs/dbraw/zinc/09/54/84/533095484.db2.gz LAXFOWRZCFEXHI-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+](CCO)Cc1cccnc1 ZINC000156795310 197141156 /nfs/dbraw/zinc/14/11/56/197141156.db2.gz HATITHMUXZBFAN-OAHLLOKOSA-N 1 2 305.422 1.689 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+](CCO)Cc1cccnc1 ZINC000156795310 197141158 /nfs/dbraw/zinc/14/11/58/197141158.db2.gz HATITHMUXZBFAN-OAHLLOKOSA-N 1 2 305.422 1.689 20 30 DDEDLO N#Cc1ccc(F)c(CS(=O)(=O)N2CC[NH+](C3CC3)CC2)c1 ZINC000120814875 195242998 /nfs/dbraw/zinc/24/29/98/195242998.db2.gz LSSWNPPZCSQLAM-UHFFFAOYSA-N 1 2 323.393 1.307 20 30 DDEDLO CO[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C[C@@H]1C ZINC000451576018 533414575 /nfs/dbraw/zinc/41/45/75/533414575.db2.gz TYABDNNGXRWWGJ-GXTWGEPZSA-N 1 2 323.418 1.084 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C[C@@H]1C ZINC000451576018 533414580 /nfs/dbraw/zinc/41/45/80/533414580.db2.gz TYABDNNGXRWWGJ-GXTWGEPZSA-N 1 2 323.418 1.084 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494141616 533496084 /nfs/dbraw/zinc/49/60/84/533496084.db2.gz KSXYAVYMXPXJLV-AWEZNQCLSA-N 1 2 301.346 1.593 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494141616 533496093 /nfs/dbraw/zinc/49/60/93/533496093.db2.gz KSXYAVYMXPXJLV-AWEZNQCLSA-N 1 2 301.346 1.593 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNc2ncc(C#N)cc2F)C1 ZINC000413318218 533509586 /nfs/dbraw/zinc/50/95/86/533509586.db2.gz ZSYKNNPRIRQOOH-LLVKDONJSA-N 1 2 306.341 1.389 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNc2ncc(C#N)cc2F)C1 ZINC000413318218 533509589 /nfs/dbraw/zinc/50/95/89/533509589.db2.gz ZSYKNNPRIRQOOH-LLVKDONJSA-N 1 2 306.341 1.389 20 30 DDEDLO C[C@H](CC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C)NC(=O)C1CCCC1 ZINC000329913762 533641737 /nfs/dbraw/zinc/64/17/37/533641737.db2.gz CBEVRCZGVPMDHQ-YUELXQCFSA-N 1 2 323.437 1.453 20 30 DDEDLO C[C@H](CC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C)NC(=O)C1CCCC1 ZINC000329913762 533641742 /nfs/dbraw/zinc/64/17/42/533641742.db2.gz CBEVRCZGVPMDHQ-YUELXQCFSA-N 1 2 323.437 1.453 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NC[C@H](C)[NH+]1CCOCC1 ZINC000069607025 406742009 /nfs/dbraw/zinc/74/20/09/406742009.db2.gz RMRWDBFIPBSKTR-ZDUSSCGKSA-N 1 2 302.378 1.709 20 30 DDEDLO C[C@@H](CNC(=O)N(C)Cc1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000046262097 407058674 /nfs/dbraw/zinc/05/86/74/407058674.db2.gz XQOJJUXVDWRMFC-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO C[NH+](C)[C@@H](CNS(=O)(=O)c1ccc(C#N)cc1)c1ccco1 ZINC000047031436 407069601 /nfs/dbraw/zinc/06/96/01/407069601.db2.gz UIQFHLQEQUFSAT-AWEZNQCLSA-N 1 2 319.386 1.732 20 30 DDEDLO O=C(C[NH+]1CCC(C(=O)N2CCCC2)CC1)Nc1nncs1 ZINC000052327947 407150451 /nfs/dbraw/zinc/15/04/51/407150451.db2.gz LFSBZZRICPUSMO-UHFFFAOYSA-N 1 2 323.422 1.461 20 30 DDEDLO Cn1ccnc1CN1CC[NH+](Cc2cc(C#N)cs2)CC1 ZINC000093131994 407194306 /nfs/dbraw/zinc/19/43/06/407194306.db2.gz VUBUQCWPTVQADS-UHFFFAOYSA-N 1 2 301.419 1.671 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)ccc1F ZINC000103156041 407333303 /nfs/dbraw/zinc/33/33/03/407333303.db2.gz PDHACCPXDMXVSP-UHFFFAOYSA-N 1 2 323.393 1.414 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000102328431 407321477 /nfs/dbraw/zinc/32/14/77/407321477.db2.gz HCYBDRFAOBQTMR-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2C[C@@H](OC)C[C@@H]2C(=O)OC)cc1 ZINC000125808631 407398333 /nfs/dbraw/zinc/39/83/33/407398333.db2.gz MYBODAUMHKNTPR-DLBZAZTESA-N 1 2 317.385 1.851 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2C[C@@H](OC)C[C@@H]2C(=O)OC)cc1 ZINC000125808631 407398334 /nfs/dbraw/zinc/39/83/34/407398334.db2.gz MYBODAUMHKNTPR-DLBZAZTESA-N 1 2 317.385 1.851 20 30 DDEDLO CC[NH+]1CCN(C(=O)C(=O)Nc2ccc(Cl)c(C#N)c2)CC1 ZINC000127327093 407433907 /nfs/dbraw/zinc/43/39/07/407433907.db2.gz LGFKKVUBPOXJPP-UHFFFAOYSA-N 1 2 320.780 1.314 20 30 DDEDLO C[C@@H](CNc1nc2c(cc1C#N)CCCC2)[NH+]1CCN(C)CC1 ZINC000271014990 407468642 /nfs/dbraw/zinc/46/86/42/407468642.db2.gz YJPVBIFXVBUSFN-AWEZNQCLSA-N 1 2 313.449 1.880 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C[C@@H]1O ZINC000271013541 407470144 /nfs/dbraw/zinc/47/01/44/407470144.db2.gz ZZMRXJIZWDIABM-QKCSRTOESA-N 1 2 305.378 1.798 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C[C@@H]1O ZINC000271013541 407470149 /nfs/dbraw/zinc/47/01/49/407470149.db2.gz ZZMRXJIZWDIABM-QKCSRTOESA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1ccc(C#N)c(N2CCN(C3=[NH+]C[C@H](C)S3)CC2)n1 ZINC000128003489 407489008 /nfs/dbraw/zinc/48/90/08/407489008.db2.gz NUZAHKLKNUDTOK-LBPRGKRZSA-N 1 2 301.419 1.875 20 30 DDEDLO C=C[C@@H](C)Nc1ccc(S(=O)(=O)N2CC[NH+](C)CC2)cn1 ZINC000129266436 407598853 /nfs/dbraw/zinc/59/88/53/407598853.db2.gz URLBDCZWLLOLLR-GFCCVEGCSA-N 1 2 310.423 1.004 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)CSc1ccc(C#N)cc1 ZINC000171281341 407648888 /nfs/dbraw/zinc/64/88/88/407648888.db2.gz JLZPMNQMGPHWFW-ZDUSSCGKSA-N 1 2 319.430 1.487 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+]Cc2ccc3c(c2)OCO3)C(N)=O)cc1 ZINC000115594884 407696129 /nfs/dbraw/zinc/69/61/29/407696129.db2.gz DUYYKVYBRJVXDV-INIZCTEOSA-N 1 2 309.325 1.603 20 30 DDEDLO C#CCSCCNc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000131758852 407752871 /nfs/dbraw/zinc/75/28/71/407752871.db2.gz CGDMVTYQOQPNIA-CYBMUJFWSA-N 1 2 306.435 1.464 20 30 DDEDLO C#CCSCCNc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000131758852 407752879 /nfs/dbraw/zinc/75/28/79/407752879.db2.gz CGDMVTYQOQPNIA-CYBMUJFWSA-N 1 2 306.435 1.464 20 30 DDEDLO C[C@H]1C(=O)N(C)CC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000187005510 407811813 /nfs/dbraw/zinc/81/18/13/407811813.db2.gz MWYGBXKVDVRMIT-JTQLQIEISA-N 1 2 306.391 1.111 20 30 DDEDLO C[C@H]1C(=O)N(C)CC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000187005510 407811818 /nfs/dbraw/zinc/81/18/18/407811818.db2.gz MWYGBXKVDVRMIT-JTQLQIEISA-N 1 2 306.391 1.111 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCc2cccc(Br)c2C1 ZINC000118411164 407916779 /nfs/dbraw/zinc/91/67/79/407916779.db2.gz DGGNGMDMAJXASQ-LLVKDONJSA-N 1 2 321.218 1.945 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCc2cccc(Br)c2C1 ZINC000118411164 407916783 /nfs/dbraw/zinc/91/67/83/407916783.db2.gz DGGNGMDMAJXASQ-LLVKDONJSA-N 1 2 321.218 1.945 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCOc1ccccc1[N+](=O)[O-] ZINC000272941337 408018322 /nfs/dbraw/zinc/01/83/22/408018322.db2.gz VMXTVGUJOOUBNL-UHFFFAOYSA-N 1 2 324.337 1.177 20 30 DDEDLO CC(C)[C@H](CNc1nccc(C#N)c1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000268494391 408070073 /nfs/dbraw/zinc/07/00/73/408070073.db2.gz ONCSAZRJDYSGTL-ZDUSSCGKSA-N 1 2 319.365 1.630 20 30 DDEDLO Cc1cc(NC(=O)C[N@H+](C)C[C@@H](O)c2ccc(C#N)cc2)no1 ZINC000268584904 408107161 /nfs/dbraw/zinc/10/71/61/408107161.db2.gz DJWWFZWOVRJXBN-CQSZACIVSA-N 1 2 314.345 1.459 20 30 DDEDLO Cc1cc(NC(=O)C[N@@H+](C)C[C@@H](O)c2ccc(C#N)cc2)no1 ZINC000268584904 408107165 /nfs/dbraw/zinc/10/71/65/408107165.db2.gz DJWWFZWOVRJXBN-CQSZACIVSA-N 1 2 314.345 1.459 20 30 DDEDLO CC(C)N(C)C(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000175118111 408055438 /nfs/dbraw/zinc/05/54/38/408055438.db2.gz VSPVKCMJWFBNRZ-AWEZNQCLSA-N 1 2 315.421 1.331 20 30 DDEDLO CCN1CCC[N@H+](CCOc2ccc(C#N)cc2OC)CC1=O ZINC000190230183 408194311 /nfs/dbraw/zinc/19/43/11/408194311.db2.gz RIZSWXRHQXXSGI-UHFFFAOYSA-N 1 2 317.389 1.500 20 30 DDEDLO CCN1CCC[N@@H+](CCOc2ccc(C#N)cc2OC)CC1=O ZINC000190230183 408194314 /nfs/dbraw/zinc/19/43/14/408194314.db2.gz RIZSWXRHQXXSGI-UHFFFAOYSA-N 1 2 317.389 1.500 20 30 DDEDLO CN(CCC#N)c1ccc(C[N@H+](C)CCS(C)(=O)=O)cc1 ZINC000155184116 408133063 /nfs/dbraw/zinc/13/30/63/408133063.db2.gz JIPCQMAKBYAYOE-UHFFFAOYSA-N 1 2 309.435 1.513 20 30 DDEDLO CN(CCC#N)c1ccc(C[N@@H+](C)CCS(C)(=O)=O)cc1 ZINC000155184116 408133071 /nfs/dbraw/zinc/13/30/71/408133071.db2.gz JIPCQMAKBYAYOE-UHFFFAOYSA-N 1 2 309.435 1.513 20 30 DDEDLO N#CC1CC[NH+](CC(=O)NCCN2CCc3ccccc32)CC1 ZINC000141976439 408179197 /nfs/dbraw/zinc/17/91/97/408179197.db2.gz KOUGFNGMPMCJNZ-UHFFFAOYSA-N 1 2 312.417 1.401 20 30 DDEDLO C[C@@H]1C[N@H+](C[C@@H](C#N)CCC#N)CCN1c1nccn2cnnc12 ZINC000269405814 408295863 /nfs/dbraw/zinc/29/58/63/408295863.db2.gz ZUEYEYXITFVABC-ZIAGYGMSSA-N 1 2 324.392 1.078 20 30 DDEDLO C[C@@H]1C[N@@H+](C[C@@H](C#N)CCC#N)CCN1c1nccn2cnnc12 ZINC000269405814 408295865 /nfs/dbraw/zinc/29/58/65/408295865.db2.gz ZUEYEYXITFVABC-ZIAGYGMSSA-N 1 2 324.392 1.078 20 30 DDEDLO N#Cc1ccc2ncc(C[NH+]3CCN(c4ccccn4)CC3)n2c1 ZINC000158887579 408368815 /nfs/dbraw/zinc/36/88/15/408368815.db2.gz IPOKQYIERCAHJI-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO C=CCCCCNC(=O)C(=O)Nc1ccc(-n2cc[nH+]c2)nc1 ZINC000274541377 408442451 /nfs/dbraw/zinc/44/24/51/408442451.db2.gz RSQUNYZMPUIFPL-UHFFFAOYSA-N 1 2 313.361 1.678 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)c2ccc(CC#N)cc2)CCO1 ZINC000270332055 408492210 /nfs/dbraw/zinc/49/22/10/408492210.db2.gz IFRLSVXKBXCPHN-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)c2ccc(CC#N)cc2)CCO1 ZINC000270332055 408492215 /nfs/dbraw/zinc/49/22/15/408492215.db2.gz IFRLSVXKBXCPHN-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO C=C1CC[NH+]([C@@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000264451146 408495983 /nfs/dbraw/zinc/49/59/83/408495983.db2.gz IEIGQKXRBCZJFM-LBPRGKRZSA-N 1 2 323.418 1.313 20 30 DDEDLO Cc1noc(CN2CC[NH+](Cc3ccc(F)c(C#N)c3)CC2)n1 ZINC000160837930 408528890 /nfs/dbraw/zinc/52/88/90/408528890.db2.gz LRQXRARCPRENMJ-UHFFFAOYSA-N 1 2 315.352 1.707 20 30 DDEDLO CC[N@H+](CC(=O)NC1(C#N)CCCCC1)Cc1cnn(C)c1 ZINC000161090249 408559459 /nfs/dbraw/zinc/55/94/59/408559459.db2.gz QRYKLYBEAHEXPQ-UHFFFAOYSA-N 1 2 303.410 1.585 20 30 DDEDLO CC[N@@H+](CC(=O)NC1(C#N)CCCCC1)Cc1cnn(C)c1 ZINC000161090249 408559463 /nfs/dbraw/zinc/55/94/63/408559463.db2.gz QRYKLYBEAHEXPQ-UHFFFAOYSA-N 1 2 303.410 1.585 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[S@@](=O)C(CC)(CC)C1 ZINC000185296795 408792544 /nfs/dbraw/zinc/79/25/44/408792544.db2.gz WYJOVRLYOQJROK-OAQYLSRUSA-N 1 2 312.479 1.810 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[S@@](=O)C(CC)(CC)C1 ZINC000185296795 408792547 /nfs/dbraw/zinc/79/25/47/408792547.db2.gz WYJOVRLYOQJROK-OAQYLSRUSA-N 1 2 312.479 1.810 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCCSCC2)c1 ZINC000163128455 408760844 /nfs/dbraw/zinc/76/08/44/408760844.db2.gz RMAJIGKZGDYBIA-UHFFFAOYSA-N 1 2 310.444 1.771 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCCSCC2)c1 ZINC000163128455 408760850 /nfs/dbraw/zinc/76/08/50/408760850.db2.gz RMAJIGKZGDYBIA-UHFFFAOYSA-N 1 2 310.444 1.771 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NC2(C#N)CCCCC2)C1=O ZINC000281249738 408877573 /nfs/dbraw/zinc/87/75/73/408877573.db2.gz GTCDUVCTUIHVBM-CQSZACIVSA-N 1 2 318.421 1.048 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NC2(C#N)CCCCC2)C1=O ZINC000281249738 408877576 /nfs/dbraw/zinc/87/75/76/408877576.db2.gz GTCDUVCTUIHVBM-CQSZACIVSA-N 1 2 318.421 1.048 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(c2nc(C)cc(C#N)n2)CC1 ZINC000280944059 408864123 /nfs/dbraw/zinc/86/41/23/408864123.db2.gz IUYAMTGUCRVGKH-UHFFFAOYSA-N 1 2 312.377 1.584 20 30 DDEDLO C=CCC[N@@H+](C)[C@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000276489659 408868475 /nfs/dbraw/zinc/86/84/75/408868475.db2.gz URGRVIKXFOWBRO-GFCCVEGCSA-N 1 2 310.419 1.925 20 30 DDEDLO C=CCC[N@H+](C)[C@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000276489659 408868480 /nfs/dbraw/zinc/86/84/80/408868480.db2.gz URGRVIKXFOWBRO-GFCCVEGCSA-N 1 2 310.419 1.925 20 30 DDEDLO C#CC[NH+]1CCN(c2ncnc3sc([N+](=O)[O-])cc32)CC1 ZINC000285976872 408901014 /nfs/dbraw/zinc/90/10/14/408901014.db2.gz BNUGVCIOMXTRJQ-UHFFFAOYSA-N 1 2 303.347 1.355 20 30 DDEDLO NC(=O)c1ccc(N2CC[NH+](CC#Cc3ccccc3)CC2)nc1 ZINC000276920231 408902611 /nfs/dbraw/zinc/90/26/11/408902611.db2.gz HBWWGCPQXXMZJF-UHFFFAOYSA-N 1 2 320.396 1.354 20 30 DDEDLO N#Cc1ccccc1N1CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC000193054795 163244893 /nfs/dbraw/zinc/24/48/93/163244893.db2.gz HOOUHRUJEKMGKS-UHFFFAOYSA-N 1 2 309.373 1.563 20 30 DDEDLO N#Cc1ccccc1N1CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC000193054795 163244897 /nfs/dbraw/zinc/24/48/97/163244897.db2.gz HOOUHRUJEKMGKS-UHFFFAOYSA-N 1 2 309.373 1.563 20 30 DDEDLO CC(C)[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@@H]1CCOC1 ZINC000291936045 408948739 /nfs/dbraw/zinc/94/87/39/408948739.db2.gz TUXKJLQZAMRWSL-CQSZACIVSA-N 1 2 323.418 1.226 20 30 DDEDLO CC(C)[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@@H]1CCOC1 ZINC000291936045 408948741 /nfs/dbraw/zinc/94/87/41/408948741.db2.gz TUXKJLQZAMRWSL-CQSZACIVSA-N 1 2 323.418 1.226 20 30 DDEDLO Cc1cc(C)c(C#N)c(N2C[C@H]([NH+]3CCOCC3)C[C@H]2C)n1 ZINC000286274639 408954122 /nfs/dbraw/zinc/95/41/22/408954122.db2.gz HOFMYZYOAXMOCO-HUUCEWRRSA-N 1 2 300.406 1.870 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC(=O)N(C)[C@H](C)C1 ZINC000281987155 408966025 /nfs/dbraw/zinc/96/60/25/408966025.db2.gz CGOZXJBBTHDBGF-GFCCVEGCSA-N 1 2 303.362 1.108 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC(=O)N(C)[C@H](C)C1 ZINC000281987155 408966028 /nfs/dbraw/zinc/96/60/28/408966028.db2.gz CGOZXJBBTHDBGF-GFCCVEGCSA-N 1 2 303.362 1.108 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCc3cc(OC)c(OC)cc3C2)C1=O ZINC000282061246 408981922 /nfs/dbraw/zinc/98/19/22/408981922.db2.gz SEKKAVPREQTWKB-OAHLLOKOSA-N 1 2 316.401 1.849 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCc3cc(OC)c(OC)cc3C2)C1=O ZINC000282061246 408981925 /nfs/dbraw/zinc/98/19/25/408981925.db2.gz SEKKAVPREQTWKB-OAHLLOKOSA-N 1 2 316.401 1.849 20 30 DDEDLO C#CCN(C)C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000292523265 409035579 /nfs/dbraw/zinc/03/55/79/409035579.db2.gz SBLRSVYACZHLQA-CYBMUJFWSA-N 1 2 302.378 1.081 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000292830318 409043892 /nfs/dbraw/zinc/04/38/92/409043892.db2.gz AMWOYOHXPGTVQN-LLVKDONJSA-N 1 2 301.188 1.316 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000292830318 409043896 /nfs/dbraw/zinc/04/38/96/409043896.db2.gz AMWOYOHXPGTVQN-LLVKDONJSA-N 1 2 301.188 1.316 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000293060991 409049042 /nfs/dbraw/zinc/04/90/42/409049042.db2.gz LCBYUNNAPKQRFG-UHFFFAOYSA-N 1 2 310.344 1.925 20 30 DDEDLO COc1cc[nH+]cc1CNC(=O)Nc1ccn(CCC#N)n1 ZINC000293156534 409060083 /nfs/dbraw/zinc/06/00/83/409060083.db2.gz CCSQMQVGDXSNFL-UHFFFAOYSA-N 1 2 300.322 1.522 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@](C)(O)CC2)c(C#N)c1C ZINC000280281557 409283735 /nfs/dbraw/zinc/28/37/35/409283735.db2.gz YWAFVMUTIAVEFG-INIZCTEOSA-N 1 2 305.378 1.944 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@](C)(O)CC2)c(C#N)c1C ZINC000280281557 409283736 /nfs/dbraw/zinc/28/37/36/409283736.db2.gz YWAFVMUTIAVEFG-INIZCTEOSA-N 1 2 305.378 1.944 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)NCCc1ccc(F)cc1 ZINC000284089206 409273438 /nfs/dbraw/zinc/27/34/38/409273438.db2.gz KUEJKOSFDPYDPF-BXUZGUMPSA-N 1 2 323.368 1.341 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)N1c2ccccc2C[C@H]1C ZINC000284403945 409341124 /nfs/dbraw/zinc/34/11/24/409341124.db2.gz NBYINZDNNDCZSG-XUJVJEKNSA-N 1 2 317.389 1.821 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1N(S(=O)(=O)c2ccc(C#N)o2)CC1(C)C ZINC000295175744 409356656 /nfs/dbraw/zinc/35/66/56/409356656.db2.gz BBPRQVXZNHBCOD-GFCCVEGCSA-N 1 2 320.374 1.657 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@H](C2CC2)[NH+](C)C)cc1C#N ZINC000342041229 409542825 /nfs/dbraw/zinc/54/28/25/409542825.db2.gz DRKBWYDZOJVEKA-GFCCVEGCSA-N 1 2 320.418 1.451 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2)[C@H]1C(=O)OC ZINC000354044058 409609093 /nfs/dbraw/zinc/60/90/93/409609093.db2.gz DXCRVDFGYMTYKJ-HUUCEWRRSA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2)[C@H]1C(=O)OC ZINC000354044058 409609106 /nfs/dbraw/zinc/60/91/06/409609106.db2.gz DXCRVDFGYMTYKJ-HUUCEWRRSA-N 1 2 316.357 1.485 20 30 DDEDLO C[C@@H]([NH2+]CCS(=O)(=O)c1ccc(C#N)cc1)c1ccon1 ZINC000349244167 409761578 /nfs/dbraw/zinc/76/15/78/409761578.db2.gz QIQYMECEKPNZNZ-LLVKDONJSA-N 1 2 305.359 1.671 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)Cc1c(F)cccc1C#N ZINC000354371245 409802760 /nfs/dbraw/zinc/80/27/60/409802760.db2.gz MEOFZWUYLPPRIM-UHFFFAOYSA-N 1 2 300.337 1.985 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000297118809 409812457 /nfs/dbraw/zinc/81/24/57/409812457.db2.gz MFZPJJDUFDEUEW-LYRGGWFBSA-N 1 2 322.355 1.865 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000297118809 409812465 /nfs/dbraw/zinc/81/24/65/409812465.db2.gz MFZPJJDUFDEUEW-LYRGGWFBSA-N 1 2 322.355 1.865 20 30 DDEDLO CCC[N@H+](Cc1ccc(F)cc1C#N)[C@@H]1CC(=O)N(C)C1=O ZINC000338329988 409915311 /nfs/dbraw/zinc/91/53/11/409915311.db2.gz RYHMPRFLUUBAGO-CQSZACIVSA-N 1 2 303.337 1.667 20 30 DDEDLO CCC[N@@H+](Cc1ccc(F)cc1C#N)[C@@H]1CC(=O)N(C)C1=O ZINC000338329988 409915318 /nfs/dbraw/zinc/91/53/18/409915318.db2.gz RYHMPRFLUUBAGO-CQSZACIVSA-N 1 2 303.337 1.667 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)N[C@@H]2CSC2(C)C)CC1 ZINC000328786192 409978304 /nfs/dbraw/zinc/97/83/04/409978304.db2.gz WNZBIBXUOCJBSG-SNVBAGLBSA-N 1 2 314.455 1.726 20 30 DDEDLO O=C(CCNC(=O)N1CCn2cc[nH+]c2C1)N1CCCCC1 ZINC000328905928 410004995 /nfs/dbraw/zinc/00/49/95/410004995.db2.gz BCXPBPWHNFPFTD-UHFFFAOYSA-N 1 2 305.382 1.015 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000298004284 410075922 /nfs/dbraw/zinc/07/59/22/410075922.db2.gz ZHVQDYWYYXVBKA-UHFFFAOYSA-N 1 2 316.405 1.155 20 30 DDEDLO Cc1nc(NC(=O)N(CCC#N)CC[NH+]2CCOCC2)oc1C ZINC000351402781 410078697 /nfs/dbraw/zinc/07/86/97/410078697.db2.gz NHIXKSUXWJIFMM-UHFFFAOYSA-N 1 2 321.381 1.371 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[NH+]([C@H](C)c2ccsc2)CC1 ZINC000298109379 410116504 /nfs/dbraw/zinc/11/65/04/410116504.db2.gz OLXKEVYNDYRKMC-CYBMUJFWSA-N 1 2 321.446 1.598 20 30 DDEDLO CN1CC[N@H+](C)C[C@@H]1CNC(=O)c1cc2[nH]cnc2cc1F ZINC000329349568 410250474 /nfs/dbraw/zinc/25/04/74/410250474.db2.gz DARKWYHBMSNCJH-JTQLQIEISA-N 1 2 305.357 1.252 20 30 DDEDLO CN1CC[N@@H+](C)C[C@@H]1CNC(=O)c1cc2[nH]cnc2cc1F ZINC000329349568 410250482 /nfs/dbraw/zinc/25/04/82/410250482.db2.gz DARKWYHBMSNCJH-JTQLQIEISA-N 1 2 305.357 1.252 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)Nc2cnc3c(c2)CCCC3)C1 ZINC000329362536 410254844 /nfs/dbraw/zinc/25/48/44/410254844.db2.gz CVZPJNIFEFFYRW-CQSZACIVSA-N 1 2 304.394 1.617 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)Nc2cnc3c(c2)CCCC3)C1 ZINC000329362536 410254852 /nfs/dbraw/zinc/25/48/52/410254852.db2.gz CVZPJNIFEFFYRW-CQSZACIVSA-N 1 2 304.394 1.617 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)N[C@H]3CCCOCC3)CC2)cn1 ZINC000329487787 410323729 /nfs/dbraw/zinc/32/37/29/410323729.db2.gz ROANCNJEOQNMSZ-HNNXBMFYSA-N 1 2 321.425 1.021 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)Cc1nc2cc(C(=O)OC)ccc2c(=O)[nH]1 ZINC000298560799 410290475 /nfs/dbraw/zinc/29/04/75/410290475.db2.gz PKKQWAMLZKOWNJ-UHFFFAOYSA-N 1 2 313.357 1.966 20 30 DDEDLO C#CC(C)(C)[N@H+](C)Cc1nc2cc(C(=O)OC)ccc2c(=O)[nH]1 ZINC000298560799 410290482 /nfs/dbraw/zinc/29/04/82/410290482.db2.gz PKKQWAMLZKOWNJ-UHFFFAOYSA-N 1 2 313.357 1.966 20 30 DDEDLO N#C[C@H](C(=O)C[NH+]1CCCCC1)c1nc2ccccc2c(=O)[nH]1 ZINC000298724092 410367684 /nfs/dbraw/zinc/36/76/84/410367684.db2.gz SGSHDCJIIRPOLE-CYBMUJFWSA-N 1 2 310.357 1.998 20 30 DDEDLO CC(C)(C)OC(=O)C[C@](C)(C#N)C(=O)N1CCn2c[nH+]cc2C1 ZINC000358165318 410389870 /nfs/dbraw/zinc/38/98/70/410389870.db2.gz LFSAXGOJMFFCBS-MRXNPFEDSA-N 1 2 318.377 1.487 20 30 DDEDLO COC(=O)[C@@H](CC(C)C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000351997451 410401752 /nfs/dbraw/zinc/40/17/52/410401752.db2.gz YAUPGLZSULDVCE-OAHLLOKOSA-N 1 2 316.405 1.663 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)NC1(C#N)CCCCC1)C(C)(C)C ZINC000355290459 410422375 /nfs/dbraw/zinc/42/23/75/410422375.db2.gz ZVUUQVLAEFELSY-UHFFFAOYSA-N 1 2 323.437 1.993 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)NC1(C#N)CCCCC1)C(C)(C)C ZINC000355290459 410422380 /nfs/dbraw/zinc/42/23/80/410422380.db2.gz ZVUUQVLAEFELSY-UHFFFAOYSA-N 1 2 323.437 1.993 20 30 DDEDLO C=C[C@@H](CO)NC(=O)N[C@@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000358716335 410531921 /nfs/dbraw/zinc/53/19/21/410531921.db2.gz AQHDLFHNFURHDU-NWDGAFQWSA-N 1 2 306.410 1.342 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)C(=O)c1ccc(-n2ncc(C#N)c2N)cc1 ZINC000347503623 410591699 /nfs/dbraw/zinc/59/16/99/410591699.db2.gz APVUGBSOBPJBTM-UHFFFAOYSA-N 1 2 321.344 1.321 20 30 DDEDLO O=C(N[C@@H]1CCCc2c[nH]nc21)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000330111430 410547995 /nfs/dbraw/zinc/54/79/95/410547995.db2.gz BAUPOMOCHQKUTH-UONOGXRCSA-N 1 2 319.409 1.108 20 30 DDEDLO CO[C@@H](C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1)C(C)C ZINC000352331816 410629466 /nfs/dbraw/zinc/62/94/66/410629466.db2.gz UTZHEXFXVBWQKT-QGZVFWFLSA-N 1 2 315.417 1.873 20 30 DDEDLO C[C@H](C#N)C[N@H+](CCN1C(=O)NC2(CCCC2)C1=O)C1CC1 ZINC000336856985 410645663 /nfs/dbraw/zinc/64/56/63/410645663.db2.gz PMJVTGXZFVAZBD-GFCCVEGCSA-N 1 2 304.394 1.475 20 30 DDEDLO C[C@H](C#N)C[N@@H+](CCN1C(=O)NC2(CCCC2)C1=O)C1CC1 ZINC000336856985 410645667 /nfs/dbraw/zinc/64/56/67/410645667.db2.gz PMJVTGXZFVAZBD-GFCCVEGCSA-N 1 2 304.394 1.475 20 30 DDEDLO COc1cc(C[N@H+](C)CC(=O)NCC#N)c(SC)cc1OC ZINC000355763022 410682043 /nfs/dbraw/zinc/68/20/43/410682043.db2.gz RXQXLVJPENONNX-UHFFFAOYSA-N 1 2 323.418 1.497 20 30 DDEDLO COc1cc(C[N@@H+](C)CC(=O)NCC#N)c(SC)cc1OC ZINC000355763022 410682049 /nfs/dbraw/zinc/68/20/49/410682049.db2.gz RXQXLVJPENONNX-UHFFFAOYSA-N 1 2 323.418 1.497 20 30 DDEDLO C=C(C)C[N@H+](Cc1ccc(CO)o1)[C@H]1CCN(C2CC2)C1=O ZINC000352801820 410682654 /nfs/dbraw/zinc/68/26/54/410682654.db2.gz KSMFVWRJLVKQSV-INIZCTEOSA-N 1 2 304.390 1.913 20 30 DDEDLO C=C(C)C[N@@H+](Cc1ccc(CO)o1)[C@H]1CCN(C2CC2)C1=O ZINC000352801820 410682658 /nfs/dbraw/zinc/68/26/58/410682658.db2.gz KSMFVWRJLVKQSV-INIZCTEOSA-N 1 2 304.390 1.913 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H](F)C[C@@H]2CNc2ncccc2C#N)cn1 ZINC000337776786 410994882 /nfs/dbraw/zinc/99/48/82/410994882.db2.gz YDZXQAVOXURLBZ-HUUCEWRRSA-N 1 2 314.368 1.711 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H](F)C[C@@H]2CNc2ncccc2C#N)cn1 ZINC000337776786 410994885 /nfs/dbraw/zinc/99/48/85/410994885.db2.gz YDZXQAVOXURLBZ-HUUCEWRRSA-N 1 2 314.368 1.711 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[NH+]1CCC(O)(C(F)F)CC1 ZINC000331181469 411000356 /nfs/dbraw/zinc/00/03/56/411000356.db2.gz ZINPEXBJVLEGJT-LLVKDONJSA-N 1 2 323.343 1.977 20 30 DDEDLO CC(C)COC[C@@H](O)C[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000341800300 411056383 /nfs/dbraw/zinc/05/63/83/411056383.db2.gz QSSUENTYAQQYEW-ZWKOTPCHSA-N 1 2 318.417 1.965 20 30 DDEDLO CC(C)COC[C@@H](O)C[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000341800300 411056388 /nfs/dbraw/zinc/05/63/88/411056388.db2.gz QSSUENTYAQQYEW-ZWKOTPCHSA-N 1 2 318.417 1.965 20 30 DDEDLO CC(C)(C)[N@H+](CC(N)=O)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000133946431 196348029 /nfs/dbraw/zinc/34/80/29/196348029.db2.gz BEZWZZBULDNKRM-UHFFFAOYSA-N 1 2 322.796 1.736 20 30 DDEDLO CC(C)(C)[N@@H+](CC(N)=O)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000133946431 196348031 /nfs/dbraw/zinc/34/80/31/196348031.db2.gz BEZWZZBULDNKRM-UHFFFAOYSA-N 1 2 322.796 1.736 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)N1CC(=O)Nc2ccccc21 ZINC000191128780 222096702 /nfs/dbraw/zinc/09/67/02/222096702.db2.gz MPULUYKITDGQNS-UHFFFAOYSA-N 1 2 303.362 1.106 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)N1CC(=O)Nc2ccccc21 ZINC000191128780 222096706 /nfs/dbraw/zinc/09/67/06/222096706.db2.gz MPULUYKITDGQNS-UHFFFAOYSA-N 1 2 303.362 1.106 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+](C)CC1(O)CCOCC1 ZINC000189770830 222056272 /nfs/dbraw/zinc/05/62/72/222056272.db2.gz ZXAKADMCZBZDHJ-HNNXBMFYSA-N 1 2 318.373 1.246 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+](C)CC1(O)CCOCC1 ZINC000189770830 222056274 /nfs/dbraw/zinc/05/62/74/222056274.db2.gz ZXAKADMCZBZDHJ-HNNXBMFYSA-N 1 2 318.373 1.246 20 30 DDEDLO Cc1c([C@@H]2CCC[N@@H+]2CC(=O)N[C@](C)(C#N)C2CC2)cnn1C ZINC000367261825 418578244 /nfs/dbraw/zinc/57/82/44/418578244.db2.gz FHECKOJLRMVALU-DOTOQJQBSA-N 1 2 315.421 1.674 20 30 DDEDLO Cc1c([C@@H]2CCC[N@H+]2CC(=O)N[C@](C)(C#N)C2CC2)cnn1C ZINC000367261825 418578246 /nfs/dbraw/zinc/57/82/46/418578246.db2.gz FHECKOJLRMVALU-DOTOQJQBSA-N 1 2 315.421 1.674 20 30 DDEDLO COCCN1CCC[N@H+](CC#Cc2ccc(F)cc2)CC1=O ZINC000190761175 222089111 /nfs/dbraw/zinc/08/91/11/222089111.db2.gz RSFACKFDIRTRGQ-UHFFFAOYSA-N 1 2 304.365 1.358 20 30 DDEDLO COCCN1CCC[N@@H+](CC#Cc2ccc(F)cc2)CC1=O ZINC000190761175 222089114 /nfs/dbraw/zinc/08/91/14/222089114.db2.gz RSFACKFDIRTRGQ-UHFFFAOYSA-N 1 2 304.365 1.358 20 30 DDEDLO COCCN(C)c1ccc(/C=C/C(=O)c2ccnn2C)c[nH+]1 ZINC000193054657 222142854 /nfs/dbraw/zinc/14/28/54/222142854.db2.gz SBGAXFSIZQXXIJ-GQCTYLIASA-N 1 2 300.362 1.794 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+]2CCOC[C@]23CCOC3)cc1 ZINC000249458417 222240129 /nfs/dbraw/zinc/24/01/29/222240129.db2.gz GKVHYQTWHZBDEQ-RHSMWYFYSA-N 1 2 302.374 1.969 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+]2CCOC[C@]23CCOC3)cc1 ZINC000249458417 222240132 /nfs/dbraw/zinc/24/01/32/222240132.db2.gz GKVHYQTWHZBDEQ-RHSMWYFYSA-N 1 2 302.374 1.969 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2C[N@H+](Cc3ccccc3)CCO2)CCCC1 ZINC000265852370 222357796 /nfs/dbraw/zinc/35/77/96/222357796.db2.gz BXYHREAFQBSSAK-INIZCTEOSA-N 1 2 313.401 1.840 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)CCCC1 ZINC000265852370 222357801 /nfs/dbraw/zinc/35/78/01/222357801.db2.gz BXYHREAFQBSSAK-INIZCTEOSA-N 1 2 313.401 1.840 20 30 DDEDLO Cc1cc(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)nn1CCC#N ZINC000352637794 418645282 /nfs/dbraw/zinc/64/52/82/418645282.db2.gz OYPXJEZTTXFQEE-UHFFFAOYSA-N 1 2 321.344 1.938 20 30 DDEDLO CC(C)[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@H]1CCOC1 ZINC000361415222 418648459 /nfs/dbraw/zinc/64/84/59/418648459.db2.gz NTGVTZTTWKHKPJ-HNNXBMFYSA-N 1 2 322.430 1.831 20 30 DDEDLO CC(C)[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@H]1CCOC1 ZINC000361415222 418648461 /nfs/dbraw/zinc/64/84/61/418648461.db2.gz NTGVTZTTWKHKPJ-HNNXBMFYSA-N 1 2 322.430 1.831 20 30 DDEDLO Cc1cc(C#N)nc(NCc2cccc(Cn3cc[nH+]c3)c2)n1 ZINC000341745486 418626143 /nfs/dbraw/zinc/62/61/43/418626143.db2.gz UBGLKUQIYJGNNG-UHFFFAOYSA-N 1 2 304.357 2.514 20 30 DDEDLO N#CCc1ccc(Cn2c3ccccc3[nH+]c2NCCO)cc1 ZINC000342475554 418628205 /nfs/dbraw/zinc/62/82/05/418628205.db2.gz HQUITAXDDOAAFJ-UHFFFAOYSA-N 1 2 306.369 2.555 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC000368236449 418707609 /nfs/dbraw/zinc/70/76/09/418707609.db2.gz UEHFCMHKRBPKAT-ZDUSSCGKSA-N 1 2 324.450 1.344 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(S(=O)(=O)CCC(C)(C)C#N)C1 ZINC000377858810 418716325 /nfs/dbraw/zinc/71/63/25/418716325.db2.gz CAIPCEQAHXECDX-GFCCVEGCSA-N 1 2 310.423 1.479 20 30 DDEDLO Cc1nc(C)n(C[C@H]2C[N@H+](Cc3cc(C#N)n(C)c3)CCO2)n1 ZINC000361548460 418675023 /nfs/dbraw/zinc/67/50/23/418675023.db2.gz KAZKTMWHHAICPK-MRXNPFEDSA-N 1 2 314.393 1.006 20 30 DDEDLO Cc1nc(C)n(C[C@H]2C[N@@H+](Cc3cc(C#N)n(C)c3)CCO2)n1 ZINC000361548460 418675026 /nfs/dbraw/zinc/67/50/26/418675026.db2.gz KAZKTMWHHAICPK-MRXNPFEDSA-N 1 2 314.393 1.006 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H](c2noc(C)n2)C1 ZINC000375761448 418682442 /nfs/dbraw/zinc/68/24/42/418682442.db2.gz RTFBOOVBKGULFT-JSGCOSHPSA-N 1 2 319.409 1.566 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@H](c2noc(C)n2)C1 ZINC000375761448 418682444 /nfs/dbraw/zinc/68/24/44/418682444.db2.gz RTFBOOVBKGULFT-JSGCOSHPSA-N 1 2 319.409 1.566 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1sccc1C#N ZINC000376172380 418693519 /nfs/dbraw/zinc/69/35/19/418693519.db2.gz MKNWCNKYYYPGIO-WCQYABFASA-N 1 2 305.403 1.555 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)c2ccc(C#N)o2)C1 ZINC000376314587 418695552 /nfs/dbraw/zinc/69/55/52/418695552.db2.gz OZFYHTYZHXBFTH-AWEZNQCLSA-N 1 2 317.370 1.616 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)c2ccc(C#N)o2)C1 ZINC000376314587 418695553 /nfs/dbraw/zinc/69/55/53/418695553.db2.gz OZFYHTYZHXBFTH-AWEZNQCLSA-N 1 2 317.370 1.616 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)c2ccoc2)CC1 ZINC000369385703 418733332 /nfs/dbraw/zinc/73/33/32/418733332.db2.gz LYVNAJWIOHXISA-ZDUSSCGKSA-N 1 2 305.378 1.118 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)c2ccoc2)CC1 ZINC000369385703 418733335 /nfs/dbraw/zinc/73/33/35/418733335.db2.gz LYVNAJWIOHXISA-ZDUSSCGKSA-N 1 2 305.378 1.118 20 30 DDEDLO N#CCc1ccc(NC(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)cc1 ZINC000370672463 418746497 /nfs/dbraw/zinc/74/64/97/418746497.db2.gz FECINAKWCWRCNE-INIZCTEOSA-N 1 2 314.389 1.737 20 30 DDEDLO N#CCc1ccc(NC(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)cc1 ZINC000370672463 418746499 /nfs/dbraw/zinc/74/64/99/418746499.db2.gz FECINAKWCWRCNE-INIZCTEOSA-N 1 2 314.389 1.737 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000362378308 418753132 /nfs/dbraw/zinc/75/31/32/418753132.db2.gz DHLNAPLSFMOBQV-QWHCGFSZSA-N 1 2 309.435 1.995 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000362585906 418756742 /nfs/dbraw/zinc/75/67/42/418756742.db2.gz FUYFXMPQGOSTII-MEDUHNTESA-N 1 2 308.426 1.030 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000362585906 418756744 /nfs/dbraw/zinc/75/67/44/418756744.db2.gz FUYFXMPQGOSTII-MEDUHNTESA-N 1 2 308.426 1.030 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000362658084 418757740 /nfs/dbraw/zinc/75/77/40/418757740.db2.gz KZCPTLXKUADZNZ-GDBMZVCRSA-N 1 2 303.406 1.761 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000362658084 418757741 /nfs/dbraw/zinc/75/77/41/418757741.db2.gz KZCPTLXKUADZNZ-GDBMZVCRSA-N 1 2 303.406 1.761 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000362658086 418757776 /nfs/dbraw/zinc/75/77/76/418757776.db2.gz KZCPTLXKUADZNZ-HOCLYGCPSA-N 1 2 303.406 1.761 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000362658086 418757778 /nfs/dbraw/zinc/75/77/78/418757778.db2.gz KZCPTLXKUADZNZ-HOCLYGCPSA-N 1 2 303.406 1.761 20 30 DDEDLO C=C[C@H](C)NC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000362630225 418757782 /nfs/dbraw/zinc/75/77/82/418757782.db2.gz GRGYAENTFMZLSL-DOTOQJQBSA-N 1 2 317.433 1.804 20 30 DDEDLO Cc1n[nH]c(C2CC[NH+](CC(=O)N[C@@](C)(C#N)C3CC3)CC2)n1 ZINC000362870323 418760570 /nfs/dbraw/zinc/76/05/70/418760570.db2.gz AKLMAWBWTDHADN-INIZCTEOSA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1nc(C2CC[NH+](CC(=O)N[C@@](C)(C#N)C3CC3)CC2)n[nH]1 ZINC000362870323 418760573 /nfs/dbraw/zinc/76/05/73/418760573.db2.gz AKLMAWBWTDHADN-INIZCTEOSA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1nnc(C2CC[NH+](CC(=O)N[C@@](C)(C#N)C3CC3)CC2)[nH]1 ZINC000362870323 418760574 /nfs/dbraw/zinc/76/05/74/418760574.db2.gz AKLMAWBWTDHADN-INIZCTEOSA-N 1 2 316.409 1.101 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000280963803 222538593 /nfs/dbraw/zinc/53/85/93/222538593.db2.gz ACVOFJUMBALTMP-UONOGXRCSA-N 1 2 305.426 1.773 20 30 DDEDLO Cc1ccnc(NC[C@]2([NH+]3CCOCC3)CCCOC2)c1C#N ZINC000378654712 418726226 /nfs/dbraw/zinc/72/62/26/418726226.db2.gz XHYVLNHBPNEXEF-QGZVFWFLSA-N 1 2 316.405 1.555 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@H](C(=O)N1CCO[C@H](C#N)C1)C2 ZINC000364633379 418795749 /nfs/dbraw/zinc/79/57/49/418795749.db2.gz WIBAKPTYIITKNS-NWDGAFQWSA-N 1 2 302.378 1.389 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@@H](C(=O)N1CCO[C@H](C#N)C1)CC2 ZINC000364633379 418795752 /nfs/dbraw/zinc/79/57/52/418795752.db2.gz WIBAKPTYIITKNS-NWDGAFQWSA-N 1 2 302.378 1.389 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCc3sccc3C2)CCOCC1 ZINC000371664634 418807797 /nfs/dbraw/zinc/80/77/97/418807797.db2.gz WHHNPWCWWOPWJV-UHFFFAOYSA-N 1 2 305.403 1.295 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCc3sccc3C2)CCOCC1 ZINC000371664634 418807799 /nfs/dbraw/zinc/80/77/99/418807799.db2.gz WHHNPWCWWOPWJV-UHFFFAOYSA-N 1 2 305.403 1.295 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1Cc1cnn([C@]2(C)CCS(=O)(=O)C2)c1 ZINC000426567889 419511307 /nfs/dbraw/zinc/51/13/07/419511307.db2.gz MXAXMMIKLULNCR-HZPDHXFCSA-N 1 2 323.462 1.957 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1Cc1cnn([C@]2(C)CCS(=O)(=O)C2)c1 ZINC000426567889 419511318 /nfs/dbraw/zinc/51/13/18/419511318.db2.gz MXAXMMIKLULNCR-HZPDHXFCSA-N 1 2 323.462 1.957 20 30 DDEDLO Cc1cc(Cl)cc(C#N)c1OC[C@@H](O)C[NH+]1CCOCC1 ZINC000421816149 419744776 /nfs/dbraw/zinc/74/47/76/419744776.db2.gz WUFAROKIPUEKQH-AWEZNQCLSA-N 1 2 310.781 1.592 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@H](O)COc1ccc(NC(C)=O)cc1 ZINC000428855744 419943512 /nfs/dbraw/zinc/94/35/12/419943512.db2.gz JMLCUVJSJZHJKI-INIZCTEOSA-N 1 2 317.389 1.786 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@H](O)COc1ccc(NC(C)=O)cc1 ZINC000428855744 419943516 /nfs/dbraw/zinc/94/35/16/419943516.db2.gz JMLCUVJSJZHJKI-INIZCTEOSA-N 1 2 317.389 1.786 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(c2ccc(C#N)nc2)C1 ZINC000418952914 420029151 /nfs/dbraw/zinc/02/91/51/420029151.db2.gz UCKLZFXTGKFEGQ-KRWDZBQOSA-N 1 2 316.405 1.853 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C(=O)OCC ZINC000456608644 420513260 /nfs/dbraw/zinc/51/32/60/420513260.db2.gz NREUTGSAQNUVJN-OCCSQVGLSA-N 1 2 305.378 1.460 20 30 DDEDLO C=CCC[C@@H](CO)Nc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000450897408 420553953 /nfs/dbraw/zinc/55/39/53/420553953.db2.gz PQHLXJUROPDSGX-KBPBESRZSA-N 1 2 306.410 1.567 20 30 DDEDLO C=CCC[C@@H](CO)Nc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000450897408 420553956 /nfs/dbraw/zinc/55/39/56/420553956.db2.gz PQHLXJUROPDSGX-KBPBESRZSA-N 1 2 306.410 1.567 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1C(=O)C(=O)Nc1ccc(Cl)c(C#N)c1 ZINC000440280262 420566693 /nfs/dbraw/zinc/56/66/93/420566693.db2.gz IKGWDYRGZBFOOG-JTQLQIEISA-N 1 2 320.780 1.313 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1C(=O)C(=O)Nc1ccc(Cl)c(C#N)c1 ZINC000440280262 420566695 /nfs/dbraw/zinc/56/66/95/420566695.db2.gz IKGWDYRGZBFOOG-JTQLQIEISA-N 1 2 320.780 1.313 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000458416653 420650557 /nfs/dbraw/zinc/65/05/57/420650557.db2.gz QPRBHMFFLPHHMB-OAHLLOKOSA-N 1 2 314.389 1.999 20 30 DDEDLO COCCO[C@H]1C[C@@H](CO)C[N@H+](Cc2cc(F)ccc2C#N)C1 ZINC000447399249 420771533 /nfs/dbraw/zinc/77/15/33/420771533.db2.gz VFXQXBWXAKGFSA-DYVFJYSZSA-N 1 2 322.380 1.543 20 30 DDEDLO COCCO[C@H]1C[C@@H](CO)C[N@@H+](Cc2cc(F)ccc2C#N)C1 ZINC000447399249 420771537 /nfs/dbraw/zinc/77/15/37/420771537.db2.gz VFXQXBWXAKGFSA-DYVFJYSZSA-N 1 2 322.380 1.543 20 30 DDEDLO N#Cc1ncn(-c2ccccc2NC(=O)C=Cc2c[nH]c[nH+]2)n1 ZINC000492974037 420724497 /nfs/dbraw/zinc/72/44/97/420724497.db2.gz PLHJZFQWQGBCKE-WAYWQWQTSA-N 1 2 305.301 1.514 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000459872541 420893886 /nfs/dbraw/zinc/89/38/86/420893886.db2.gz ZYRPZIAAQRBSEV-UHFFFAOYSA-N 1 2 307.419 1.037 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000456200437 421119264 /nfs/dbraw/zinc/11/92/64/421119264.db2.gz ADDXDRPFGVHVSI-CALCHBBNSA-N 1 2 316.401 1.844 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000456200437 421119268 /nfs/dbraw/zinc/11/92/68/421119268.db2.gz ADDXDRPFGVHVSI-CALCHBBNSA-N 1 2 316.401 1.844 20 30 DDEDLO N#CCc1cccc(NC(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)c1 ZINC000455900100 421074822 /nfs/dbraw/zinc/07/48/22/421074822.db2.gz BOIDHQXZPLKOQH-INIZCTEOSA-N 1 2 314.389 1.737 20 30 DDEDLO N#CCc1cccc(NC(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)c1 ZINC000455900100 421074825 /nfs/dbraw/zinc/07/48/25/421074825.db2.gz BOIDHQXZPLKOQH-INIZCTEOSA-N 1 2 314.389 1.737 20 30 DDEDLO C=CCn1cccc1C(=O)NC(C)(C)c1noc(C[NH+](C)C)n1 ZINC000489067274 421135364 /nfs/dbraw/zinc/13/53/64/421135364.db2.gz GGFJQZFBNCWZRJ-UHFFFAOYSA-N 1 2 317.393 1.784 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3nccc(C#N)n3)c[nH+]2)C[C@@H](C)O1 ZINC000450254040 421172863 /nfs/dbraw/zinc/17/28/63/421172863.db2.gz GYCVIEPOFGKNJE-CHWSQXEVSA-N 1 2 324.388 1.969 20 30 DDEDLO Cc1c(C#N)c(NCC[N@H+]2CCOC[C@H]2C)nc2ccccc12 ZINC000450312741 421180461 /nfs/dbraw/zinc/18/04/61/421180461.db2.gz IQMICZGVQHSXCR-CYBMUJFWSA-N 1 2 310.401 2.548 20 30 DDEDLO Cc1c(C#N)c(NCC[N@@H+]2CCOC[C@H]2C)nc2ccccc12 ZINC000450312741 421180464 /nfs/dbraw/zinc/18/04/64/421180464.db2.gz IQMICZGVQHSXCR-CYBMUJFWSA-N 1 2 310.401 2.548 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)CCc2ccc(C#N)cc2)CCO1 ZINC000523058285 421232620 /nfs/dbraw/zinc/23/26/20/421232620.db2.gz ANVNVTUPHUUPHQ-CQSZACIVSA-N 1 2 301.390 1.328 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)CCc2ccc(C#N)cc2)CCO1 ZINC000523058285 421232623 /nfs/dbraw/zinc/23/26/23/421232623.db2.gz ANVNVTUPHUUPHQ-CQSZACIVSA-N 1 2 301.390 1.328 20 30 DDEDLO C=CCN1CC[C@H](N(C)c2nc(NC)c3ccccc3[nH+]2)C1=O ZINC000450497960 421204665 /nfs/dbraw/zinc/20/46/65/421204665.db2.gz HHICQFYAMGVHTL-AWEZNQCLSA-N 1 2 311.389 1.895 20 30 DDEDLO N#CCC1CCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)CC1 ZINC000527254896 421372446 /nfs/dbraw/zinc/37/24/46/421372446.db2.gz MRQBQUMEATUGQT-MRXNPFEDSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CCC1CCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)CC1 ZINC000527254896 421372447 /nfs/dbraw/zinc/37/24/47/421372447.db2.gz MRQBQUMEATUGQT-MRXNPFEDSA-N 1 2 305.422 1.782 20 30 DDEDLO Cc1nc(N)c(CNC(=O)[C@H](C)Oc2ccccc2C#N)c[nH+]1 ZINC000563192708 421469929 /nfs/dbraw/zinc/46/99/29/421469929.db2.gz FUCURMKQKZFQHP-JTQLQIEISA-N 1 2 311.345 1.323 20 30 DDEDLO C[C@@H]1C[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)C[C@H](C)O1 ZINC000528436794 421491052 /nfs/dbraw/zinc/49/10/52/421491052.db2.gz CUVOHBYIENTOTD-OKILXGFUSA-N 1 2 312.369 1.395 20 30 DDEDLO C[C@@H]1C[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)C[C@H](C)O1 ZINC000528436794 421491055 /nfs/dbraw/zinc/49/10/55/421491055.db2.gz CUVOHBYIENTOTD-OKILXGFUSA-N 1 2 312.369 1.395 20 30 DDEDLO CCn1cc[nH+]c1CNC(=O)[C@H](C#N)Cc1ccc(C#N)cc1 ZINC000565214836 421597607 /nfs/dbraw/zinc/59/76/07/421597607.db2.gz SIUBLDJBBQHYCR-HNNXBMFYSA-N 1 2 307.357 1.773 20 30 DDEDLO COc1ccc2c(c1)OC[C@@H]2CC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000566308467 421604690 /nfs/dbraw/zinc/60/46/90/421604690.db2.gz HHODLNYAQSTQOU-SJCJKPOMSA-N 1 2 317.389 1.521 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cccc([C@@H]2CCOC2)c1 ZINC000566563250 421607084 /nfs/dbraw/zinc/60/70/84/421607084.db2.gz AZEXKPQMYUCILK-NVXWUHKLSA-N 1 2 301.390 1.764 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000566957474 421609917 /nfs/dbraw/zinc/60/99/17/421609917.db2.gz CPASHQOHRMQVFA-KGLIPLIRSA-N 1 2 302.378 1.257 20 30 DDEDLO Cc1cc(=O)[nH]c2cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)ccc12 ZINC000567617503 421613412 /nfs/dbraw/zinc/61/34/12/421613412.db2.gz LXAXVTZBJQXIBW-QGZVFWFLSA-N 1 2 312.373 1.823 20 30 DDEDLO CN(C)C[C@](C)(C#N)NC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000567995623 421616405 /nfs/dbraw/zinc/61/64/05/421616405.db2.gz QJDOOKXKWJCTCI-KRWDZBQOSA-N 1 2 311.389 1.505 20 30 DDEDLO CN(Cc1c[nH+]c[nH]1)S(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000568263857 421618896 /nfs/dbraw/zinc/61/88/96/421618896.db2.gz NMVUTXKJHUSFKV-UHFFFAOYSA-N 1 2 304.375 1.438 20 30 DDEDLO CN(Cc1c[nH]c[nH+]1)S(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000568263857 421618897 /nfs/dbraw/zinc/61/88/97/421618897.db2.gz NMVUTXKJHUSFKV-UHFFFAOYSA-N 1 2 304.375 1.438 20 30 DDEDLO C=CCn1cc(C[NH2+][C@@H](C)c2cnc3cc(C)nn3c2C)nn1 ZINC000566096340 421602227 /nfs/dbraw/zinc/60/22/27/421602227.db2.gz JSRNASCAXOBAFD-LBPRGKRZSA-N 1 2 311.393 1.974 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCC[C@H]2C(=O)N(C)C)c1C#N ZINC000535226644 421701599 /nfs/dbraw/zinc/70/15/99/421701599.db2.gz OQQQHFUEDXOJFS-HNNXBMFYSA-N 1 2 315.373 1.397 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCC[C@H]2C(=O)N(C)C)c1C#N ZINC000535226644 421701603 /nfs/dbraw/zinc/70/16/03/421701603.db2.gz OQQQHFUEDXOJFS-HNNXBMFYSA-N 1 2 315.373 1.397 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)[C@H](c2ccc(Cl)cc2)[NH+](C)C)n1 ZINC000572055181 421761721 /nfs/dbraw/zinc/76/17/21/421761721.db2.gz FTOHQRZVRMIICF-ZDUSSCGKSA-N 1 2 317.780 2.187 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccc(C3(C#N)CC3)cc2)CCO1 ZINC000540820859 421768646 /nfs/dbraw/zinc/76/86/46/421768646.db2.gz DUXDZOBCQLKLRO-CQSZACIVSA-N 1 2 313.401 1.692 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(C3(C#N)CC3)cc2)CCO1 ZINC000540820859 421768649 /nfs/dbraw/zinc/76/86/49/421768649.db2.gz DUXDZOBCQLKLRO-CQSZACIVSA-N 1 2 313.401 1.692 20 30 DDEDLO Cc1cccc(Cn2cc(C[NH+]3CCOCC3)cc(C#N)c2=O)n1 ZINC000573816479 422022885 /nfs/dbraw/zinc/02/28/85/422022885.db2.gz FRIQZLXYUVVIFO-UHFFFAOYSA-N 1 2 324.384 1.304 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc2c(c(Cl)c1)OCO2 ZINC000582344270 422142922 /nfs/dbraw/zinc/14/29/22/422142922.db2.gz QZVWLYZXLCDQEK-CQSZACIVSA-N 1 2 309.753 1.642 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CC=C(c2ccnn2C)CC1 ZINC000582367406 422143614 /nfs/dbraw/zinc/14/36/14/422143614.db2.gz KGNUNYYILLUNQH-ZDUSSCGKSA-N 1 2 313.405 1.460 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CC=C(c2ccnn2C)CC1 ZINC000582367406 422143618 /nfs/dbraw/zinc/14/36/18/422143618.db2.gz KGNUNYYILLUNQH-ZDUSSCGKSA-N 1 2 313.405 1.460 20 30 DDEDLO COc1c(C)[nH+]c(C2CC2)nc1NC1CCN(CC#N)CC1 ZINC000594550245 422350674 /nfs/dbraw/zinc/35/06/74/422350674.db2.gz QUZSMQWZKYGYDL-UHFFFAOYSA-N 1 2 301.394 2.071 20 30 DDEDLO CN1CC[N@H+](C)C[C@@H]1c1noc(C2(c3cccc(C#N)c3)CC2)n1 ZINC000576080580 422368190 /nfs/dbraw/zinc/36/81/90/422368190.db2.gz BRVPMBYPODACGG-OAHLLOKOSA-N 1 2 323.400 1.939 20 30 DDEDLO CN1CC[N@@H+](C)C[C@@H]1c1noc(C2(c3cccc(C#N)c3)CC2)n1 ZINC000576080580 422368196 /nfs/dbraw/zinc/36/81/96/422368196.db2.gz BRVPMBYPODACGG-OAHLLOKOSA-N 1 2 323.400 1.939 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CCC[N@H+](Cc3ncon3)C2)C1 ZINC000632997948 422703582 /nfs/dbraw/zinc/70/35/82/422703582.db2.gz AJDMFFGPUCVTNP-CYBMUJFWSA-N 1 2 304.394 1.754 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CCC[N@@H+](Cc3ncon3)C2)C1 ZINC000632997948 422703587 /nfs/dbraw/zinc/70/35/87/422703587.db2.gz AJDMFFGPUCVTNP-CYBMUJFWSA-N 1 2 304.394 1.754 20 30 DDEDLO CCOC(=O)C1CC[NH+]([C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000115530801 263337883 /nfs/dbraw/zinc/33/78/83/263337883.db2.gz MNXOUBWFWQVUKY-OAHLLOKOSA-N 1 2 315.373 1.360 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[NH+]2CCC(n3cncn3)CC2)cc1 ZINC000115538760 263338121 /nfs/dbraw/zinc/33/81/21/263338121.db2.gz SSEYHAMLKFIDBK-OAHLLOKOSA-N 1 2 310.361 1.013 20 30 DDEDLO CC(C)(CNC(=O)c1cccc(F)c1C#N)[NH+]1CCOCC1 ZINC000186168839 263397436 /nfs/dbraw/zinc/39/74/36/263397436.db2.gz SVGTVXGULIXUOR-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000653124388 423332342 /nfs/dbraw/zinc/33/23/42/423332342.db2.gz ZJKFFUBWCSODAR-CVEARBPZSA-N 1 2 316.405 1.252 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)COc2cccc(C)c2)nn1 ZINC000641194742 423467195 /nfs/dbraw/zinc/46/71/95/423467195.db2.gz QAGYZADIEQIYEX-INIZCTEOSA-N 1 2 314.389 1.139 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(N3CCCC3=O)CC2)nn1 ZINC000653547239 423523113 /nfs/dbraw/zinc/52/31/13/423523113.db2.gz DPXJDXFXCRTVDE-UHFFFAOYSA-N 1 2 303.410 1.441 20 30 DDEDLO C[N@H+](CCN1CCCC1=O)CC(=O)Nc1cccc(C#N)c1 ZINC000533432761 269995358 /nfs/dbraw/zinc/99/53/58/269995358.db2.gz HMXDQNUFIMMMCB-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO C[N@@H+](CCN1CCCC1=O)CC(=O)Nc1cccc(C#N)c1 ZINC000533432761 269995359 /nfs/dbraw/zinc/99/53/59/269995359.db2.gz HMXDQNUFIMMMCB-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000644878489 424005533 /nfs/dbraw/zinc/00/55/33/424005533.db2.gz GLGQFGUIWAIKDE-BARDWOONSA-N 1 2 318.421 1.687 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000644878489 424005547 /nfs/dbraw/zinc/00/55/47/424005547.db2.gz GLGQFGUIWAIKDE-BARDWOONSA-N 1 2 318.421 1.687 20 30 DDEDLO C=CCOCC[NH+]1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000660296014 424627835 /nfs/dbraw/zinc/62/78/35/424627835.db2.gz XXUJOOUPMDVXNB-UHFFFAOYSA-N 1 2 322.430 1.266 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000665398813 424799054 /nfs/dbraw/zinc/79/90/54/424799054.db2.gz ZZNYMERLYHDGPA-KRWDZBQOSA-N 1 2 304.390 1.147 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)Cc2c(F)cccc2C#N)CCO1 ZINC000355558794 266379006 /nfs/dbraw/zinc/37/90/06/266379006.db2.gz SMPSJVKFDKPGNY-CQSZACIVSA-N 1 2 319.380 1.467 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)Cc2c(F)cccc2C#N)CCO1 ZINC000355558794 266379010 /nfs/dbraw/zinc/37/90/10/266379010.db2.gz SMPSJVKFDKPGNY-CQSZACIVSA-N 1 2 319.380 1.467 20 30 DDEDLO CCOC(=O)N(C)C1CC[NH+](Cn2cccc(C#N)c2=O)CC1 ZINC000497011741 267120821 /nfs/dbraw/zinc/12/08/21/267120821.db2.gz AJEDSZCGWQSIRQ-UHFFFAOYSA-N 1 2 318.377 1.230 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)CCNC(=O)N(C)C ZINC000355059208 267139091 /nfs/dbraw/zinc/13/90/91/267139091.db2.gz DEPZBKQQMPKXPD-GFCCVEGCSA-N 1 2 317.393 1.088 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)CCNC(=O)N(C)C ZINC000355059208 267139096 /nfs/dbraw/zinc/13/90/96/267139096.db2.gz DEPZBKQQMPKXPD-GFCCVEGCSA-N 1 2 317.393 1.088 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)C[C@@H]1C ZINC000350894635 267395155 /nfs/dbraw/zinc/39/51/55/267395155.db2.gz IOSOLRLWTBUNTD-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1C[C@@H](C)[N@H+](C)C[C@@H]1C ZINC000350894635 267395159 /nfs/dbraw/zinc/39/51/59/267395159.db2.gz IOSOLRLWTBUNTD-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCC[C@@]3(CNC(=O)O3)C2)cc1 ZINC000369041914 268139584 /nfs/dbraw/zinc/13/95/84/268139584.db2.gz ROLKYNQWPVPZKT-MRXNPFEDSA-N 1 2 314.345 1.071 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCC[C@@]3(CNC(=O)O3)C2)cc1 ZINC000369041914 268139586 /nfs/dbraw/zinc/13/95/86/268139586.db2.gz ROLKYNQWPVPZKT-MRXNPFEDSA-N 1 2 314.345 1.071 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@@H+]2CCC[C@@H]2c2ncc[nH]2)cc1 ZINC000359515294 268177093 /nfs/dbraw/zinc/17/70/93/268177093.db2.gz NGFVWABAHMBYBG-GOEBONIOSA-N 1 2 312.373 1.858 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCC[C@@H]2c2ncc[nH]2)cc1 ZINC000359515294 268177095 /nfs/dbraw/zinc/17/70/95/268177095.db2.gz NGFVWABAHMBYBG-GOEBONIOSA-N 1 2 312.373 1.858 20 30 DDEDLO CC[N@H+](Cc1cnn(C)c1)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000195518626 276355228 /nfs/dbraw/zinc/35/52/28/276355228.db2.gz SYNBLXKZPWGPPX-CQSZACIVSA-N 1 2 317.437 1.973 20 30 DDEDLO CC[N@@H+](Cc1cnn(C)c1)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000195518626 276355232 /nfs/dbraw/zinc/35/52/32/276355232.db2.gz SYNBLXKZPWGPPX-CQSZACIVSA-N 1 2 317.437 1.973 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@H+](CC#Cc3ccc(F)cc3)CCN2C1=O ZINC000362792230 276357396 /nfs/dbraw/zinc/35/73/96/276357396.db2.gz OCJKTTLURQOLDL-OAHLLOKOSA-N 1 2 315.348 1.146 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@@H+](CC#Cc3ccc(F)cc3)CCN2C1=O ZINC000362792230 276357398 /nfs/dbraw/zinc/35/73/98/276357398.db2.gz OCJKTTLURQOLDL-OAHLLOKOSA-N 1 2 315.348 1.146 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@@H]21 ZINC000284911870 277120406 /nfs/dbraw/zinc/12/04/06/277120406.db2.gz SOXZEJDHOBDIKI-LSDHHAIUSA-N 1 2 318.352 1.634 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@@H]21 ZINC000284911870 277120407 /nfs/dbraw/zinc/12/04/07/277120407.db2.gz SOXZEJDHOBDIKI-LSDHHAIUSA-N 1 2 318.352 1.634 20 30 DDEDLO CC(C)(C)OC(=O)N1CCN([C@H]2CC[N@H+](CCC#N)C2)CC1 ZINC000367639976 277188721 /nfs/dbraw/zinc/18/87/21/277188721.db2.gz YIIPFUNAZPQDOM-AWEZNQCLSA-N 1 2 308.426 1.527 20 30 DDEDLO CC(C)(C)OC(=O)N1CCN([C@H]2CC[N@@H+](CCC#N)C2)CC1 ZINC000367639976 277188722 /nfs/dbraw/zinc/18/87/22/277188722.db2.gz YIIPFUNAZPQDOM-AWEZNQCLSA-N 1 2 308.426 1.527 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[NH+]([C@H]2CCN(CCC#N)C2)CC1 ZINC000367639976 277188723 /nfs/dbraw/zinc/18/87/23/277188723.db2.gz YIIPFUNAZPQDOM-AWEZNQCLSA-N 1 2 308.426 1.527 20 30 DDEDLO O=C(N[C@@H]1CN(c2ccccc2)C1=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000329344175 280322707 /nfs/dbraw/zinc/32/27/07/280322707.db2.gz UDVHOQOAEKSRDY-GXTWGEPZSA-N 1 2 310.357 1.817 20 30 DDEDLO C[C@@H]1CN(c2cccc(C#N)c2[N+](=O)[O-])C[C@H]1[NH+]1CCOCC1 ZINC000450370682 288264192 /nfs/dbraw/zinc/26/41/92/288264192.db2.gz AIGVDNCWUOMSDE-IUODEOHRSA-N 1 2 316.361 1.623 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cccc(C)c1C#N ZINC000451934404 288285044 /nfs/dbraw/zinc/28/50/44/288285044.db2.gz QDAOLQXGQOTFND-AWEZNQCLSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cccc(C)c1C#N ZINC000451934404 288285048 /nfs/dbraw/zinc/28/50/48/288285048.db2.gz QDAOLQXGQOTFND-AWEZNQCLSA-N 1 2 321.446 1.972 20 30 DDEDLO Cc1cc[nH+]c(C)c1NC(=O)N1CC[C@H]2[C@@H](C1)NC(=O)N2C ZINC000329248681 292762172 /nfs/dbraw/zinc/76/21/72/292762172.db2.gz SPQRHYJFDKHFKM-NEPJUHHUSA-N 1 2 303.366 1.546 20 30 DDEDLO C[C@@H]1C[N@H+](CCN2CCC[C@@]3(CCCCO3)[C@H]2C#N)CCO1 ZINC000337370779 297002460 /nfs/dbraw/zinc/00/24/60/297002460.db2.gz PUXYAZXMEKQINL-ZACQAIPSSA-N 1 2 307.438 1.634 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN2CCC[C@@]3(CCCCO3)[C@H]2C#N)CCO1 ZINC000337370779 297002461 /nfs/dbraw/zinc/00/24/61/297002461.db2.gz PUXYAZXMEKQINL-ZACQAIPSSA-N 1 2 307.438 1.634 20 30 DDEDLO N#C[C@@H](c1cccc(Cl)c1)N1CC[NH+]([C@H]2COC[C@H]2O)CC1 ZINC000463216313 294814096 /nfs/dbraw/zinc/81/40/96/294814096.db2.gz UJOBXDORCLVKQD-HRCADAONSA-N 1 2 321.808 1.282 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1C(=O)C1(C#N)CCCCC1 ZINC000377992706 297805065 /nfs/dbraw/zinc/80/50/65/297805065.db2.gz BSDYFHZJAUEHJC-HUUCEWRRSA-N 1 2 305.422 1.782 20 30 DDEDLO C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000535070242 303343693 /nfs/dbraw/zinc/34/36/93/303343693.db2.gz NHXGEPUMOLEHHA-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000535070242 303343695 /nfs/dbraw/zinc/34/36/95/303343695.db2.gz NHXGEPUMOLEHHA-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]CCS(=O)(=O)c2cccc(C#N)c2)o1 ZINC000542622451 303443345 /nfs/dbraw/zinc/44/33/45/303443345.db2.gz LKPQGWCEFKUDCQ-JTQLQIEISA-N 1 2 320.374 1.374 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]C[C@H](O)COc2ccc(C#N)cc2)o1 ZINC000544510762 307716703 /nfs/dbraw/zinc/71/67/03/307716703.db2.gz YOTBBRBKLNRFGG-MFKMUULPSA-N 1 2 302.334 1.340 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000555650533 307847142 /nfs/dbraw/zinc/84/71/42/307847142.db2.gz KQHVRKWRQJNHCT-CQSZACIVSA-N 1 2 315.421 1.236 20 30 DDEDLO COC(=O)c1ccc(N[C@@H](C)[C@H](C)[NH+]2CCOCC2)c(C#N)n1 ZINC000566479046 308054505 /nfs/dbraw/zinc/05/45/05/308054505.db2.gz PRMNPQACFNCZKD-RYUDHWBXSA-N 1 2 318.377 1.261 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]2C(=O)NCc2ccco2)nc1 ZINC000576772981 308338893 /nfs/dbraw/zinc/33/88/93/308338893.db2.gz AHNBZZNZGYINIK-INIZCTEOSA-N 1 2 310.357 1.827 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]2C(=O)NCc2ccco2)nc1 ZINC000576772981 308338895 /nfs/dbraw/zinc/33/88/95/308338895.db2.gz AHNBZZNZGYINIK-INIZCTEOSA-N 1 2 310.357 1.827 20 30 DDEDLO CCCC[N@H+](Cc1ccc(C#N)cn1)[C@H]1CCS(=O)(=O)C1 ZINC000577133247 308365308 /nfs/dbraw/zinc/36/53/08/308365308.db2.gz KFEYKRHUEWCTSU-HNNXBMFYSA-N 1 2 307.419 1.742 20 30 DDEDLO CCCC[N@@H+](Cc1ccc(C#N)cn1)[C@H]1CCS(=O)(=O)C1 ZINC000577133247 308365309 /nfs/dbraw/zinc/36/53/09/308365309.db2.gz KFEYKRHUEWCTSU-HNNXBMFYSA-N 1 2 307.419 1.742 20 30 DDEDLO Cc1csc(NC(=O)N(C)CC[NH+]2CCOCC2)c1C#N ZINC000581110185 308654077 /nfs/dbraw/zinc/65/40/77/308654077.db2.gz YLEDSQZONOPRIO-UHFFFAOYSA-N 1 2 308.407 1.724 20 30 DDEDLO CS(=O)(=O)c1ccc(F)c(C[NH2+]C[C@H](C#N)CCC#N)c1 ZINC000583452585 333282661 /nfs/dbraw/zinc/28/26/61/333282661.db2.gz QQXHRWGHYRDHRF-NSHDSACASA-N 1 2 309.366 1.762 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@@H](O)CN3CCOC[C@@H]3C#N)c2cc1C ZINC000336875010 333431152 /nfs/dbraw/zinc/43/11/52/333431152.db2.gz ZSVJIQJAECPZTD-GJZGRUSLSA-N 1 2 314.389 1.238 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnc([C@@H]2CCCO2)s1 ZINC000571288519 333704590 /nfs/dbraw/zinc/70/45/90/333704590.db2.gz CLZGLXLFDNEMCO-HZMBPMFUSA-N 1 2 308.407 1.568 20 30 DDEDLO Cn1cnnc1-c1cncc(NC(=O)[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000328667763 334746900 /nfs/dbraw/zinc/74/69/00/334746900.db2.gz DSUQTHLMDOMUTG-NSHDSACASA-N 1 2 323.360 1.924 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCO[C@]3(CCCOC3)C2)c([N+](=O)[O-])c1 ZINC000583634421 336009216 /nfs/dbraw/zinc/00/92/16/336009216.db2.gz USKYJNKVKLMLBX-MRXNPFEDSA-N 1 2 317.345 1.848 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCO[C@]3(CCCOC3)C2)c([N+](=O)[O-])c1 ZINC000583634421 336009217 /nfs/dbraw/zinc/00/92/17/336009217.db2.gz USKYJNKVKLMLBX-MRXNPFEDSA-N 1 2 317.345 1.848 20 30 DDEDLO C[C@H](CCC#N)N1CC[NH+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000351616722 336050673 /nfs/dbraw/zinc/05/06/73/336050673.db2.gz UULORDVWMBMQGP-CVEARBPZSA-N 1 2 306.454 1.697 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@@H](c3ccccc3F)CC2=O)CC1 ZINC000182649351 336278436 /nfs/dbraw/zinc/27/84/36/336278436.db2.gz BCVAGSVXKNWPIL-HNNXBMFYSA-N 1 2 315.392 1.350 20 30 DDEDLO CSc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1[N+](=O)[O-] ZINC000514604262 336385702 /nfs/dbraw/zinc/38/57/02/336385702.db2.gz BDYYJQYMEPODHK-CQSZACIVSA-N 1 2 322.390 1.890 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000583088202 337235276 /nfs/dbraw/zinc/23/52/76/337235276.db2.gz WYLMMWHSGGNJNV-OAHLLOKOSA-N 1 2 316.317 1.915 20 30 DDEDLO Cc1ocnc1C[NH2+]CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000397888582 337298162 /nfs/dbraw/zinc/29/81/62/337298162.db2.gz KQPOGYZPBYVEFT-UHFFFAOYSA-N 1 2 305.359 1.418 20 30 DDEDLO C#CC[C@@H]([NH2+]C[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1)C(=O)OC ZINC000496338482 340003386 /nfs/dbraw/zinc/00/33/86/340003386.db2.gz DGLAWOWAIHSRHW-CXAGYDPISA-N 1 2 324.421 1.788 20 30 DDEDLO C[C@H](CNC(=O)OC(C)(C)C)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000496504475 340006803 /nfs/dbraw/zinc/00/68/03/340006803.db2.gz IUPISWKFBXJNRQ-IAQYHMDHSA-N 1 2 312.414 1.107 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@@H]1C[N@H+](CC2(C#N)CC2)CCCO1 ZINC000496793826 340013072 /nfs/dbraw/zinc/01/30/72/340013072.db2.gz IKRPXFRULNMJCK-CYBMUJFWSA-N 1 2 309.410 1.906 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@@H]1C[N@@H+](CC2(C#N)CC2)CCCO1 ZINC000496793826 340013073 /nfs/dbraw/zinc/01/30/73/340013073.db2.gz IKRPXFRULNMJCK-CYBMUJFWSA-N 1 2 309.410 1.906 20 30 DDEDLO COC(=O)NCc1ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000496874258 340015037 /nfs/dbraw/zinc/01/50/37/340015037.db2.gz KCBYRQWICSHFDN-HNNXBMFYSA-N 1 2 320.393 1.161 20 30 DDEDLO COC(=O)NCc1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000496874258 340015038 /nfs/dbraw/zinc/01/50/38/340015038.db2.gz KCBYRQWICSHFDN-HNNXBMFYSA-N 1 2 320.393 1.161 20 30 DDEDLO CN1CCN(S(=O)(=O)CC2(CC#N)CC2)c2ccc[nH+]c21 ZINC000516622313 340328949 /nfs/dbraw/zinc/32/89/49/340328949.db2.gz CYROJYBOLGLSIO-UHFFFAOYSA-N 1 2 306.391 1.361 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C(C)(C)O)cc2)CC1 ZINC000305823968 340389850 /nfs/dbraw/zinc/38/98/50/340389850.db2.gz MCVYGHYRENLXCG-UHFFFAOYSA-N 1 2 316.401 1.070 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)c1c(F)cncc1F)C(=O)NC1(C#N)CCC1 ZINC000552203685 341378297 /nfs/dbraw/zinc/37/82/97/341378297.db2.gz HWLMJTRLBWNIDY-VHSXEESVSA-N 1 2 308.332 1.961 20 30 DDEDLO CC[C@H](CC#N)[NH2+][C@H](C)C(=O)N1CC(=O)Nc2ccccc21 ZINC000573106494 341717168 /nfs/dbraw/zinc/71/71/68/341717168.db2.gz UMSPPRQZIBFOJD-VXGBXAGGSA-N 1 2 300.362 1.642 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CCOC)Cc1scnc1C ZINC000489475441 484223751 /nfs/dbraw/zinc/22/37/51/484223751.db2.gz GSQFPQPVRXRCMO-UHFFFAOYSA-N 1 2 324.450 1.662 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CCOC)Cc1scnc1C ZINC000489475441 484223756 /nfs/dbraw/zinc/22/37/56/484223756.db2.gz GSQFPQPVRXRCMO-UHFFFAOYSA-N 1 2 324.450 1.662 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)C(=O)N(C)Cc1c[nH+]c[nH]1 ZINC000658655557 484432819 /nfs/dbraw/zinc/43/28/19/484432819.db2.gz GESGSCZUWXSZLT-UHFFFAOYSA-N 1 2 316.361 1.218 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)C(=O)N(C)Cc1c[nH]c[nH+]1 ZINC000658655557 484432823 /nfs/dbraw/zinc/43/28/23/484432823.db2.gz GESGSCZUWXSZLT-UHFFFAOYSA-N 1 2 316.361 1.218 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@@H](OCC(F)(F)F)C2)C1=O ZINC000684889029 486453057 /nfs/dbraw/zinc/45/30/57/486453057.db2.gz DXDRUZOOLBHONS-VXGBXAGGSA-N 1 2 306.328 1.817 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@@H](OCC(F)(F)F)C2)C1=O ZINC000684889029 486453060 /nfs/dbraw/zinc/45/30/60/486453060.db2.gz DXDRUZOOLBHONS-VXGBXAGGSA-N 1 2 306.328 1.817 20 30 DDEDLO Cc1cnc([C@H](C)CNC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)s1 ZINC000329635951 534631367 /nfs/dbraw/zinc/63/13/67/534631367.db2.gz XWXOYXKBLVBIAT-KGYLQXTDSA-N 1 2 324.450 1.484 20 30 DDEDLO Cc1cnc([C@H](C)CNC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)s1 ZINC000329635951 534631371 /nfs/dbraw/zinc/63/13/71/534631371.db2.gz XWXOYXKBLVBIAT-KGYLQXTDSA-N 1 2 324.450 1.484 20 30 DDEDLO N#CCCS(=O)(=O)N1CC[NH+](CCc2ccccc2)CC1 ZINC000331307656 534651218 /nfs/dbraw/zinc/65/12/18/534651218.db2.gz GLKVRTGCYYFJQZ-UHFFFAOYSA-N 1 2 307.419 1.090 20 30 DDEDLO O=C(NCc1ccn2cc[nH+]c2c1)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000329762609 534757723 /nfs/dbraw/zinc/75/77/23/534757723.db2.gz SVYWTPUGIZTASB-MJBXVCDLSA-N 1 2 300.362 1.451 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)C(=O)NCCn1cc[nH+]c1 ZINC000339715621 526351446 /nfs/dbraw/zinc/35/14/46/526351446.db2.gz NFXWGGAZGTZIIY-UHFFFAOYSA-N 1 2 312.373 1.214 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC000330958891 526400705 /nfs/dbraw/zinc/40/07/05/526400705.db2.gz WTSKJUGIQAODFY-GXFFZTMASA-N 1 2 324.812 1.875 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC000330958891 526400710 /nfs/dbraw/zinc/40/07/10/526400710.db2.gz WTSKJUGIQAODFY-GXFFZTMASA-N 1 2 324.812 1.875 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2ccc(Cl)cc2)C1 ZINC000330943538 526401305 /nfs/dbraw/zinc/40/13/05/526401305.db2.gz HQUUJMNMGZIEGJ-GFCCVEGCSA-N 1 2 310.785 1.486 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(Cl)cc2)C1 ZINC000330943538 526401308 /nfs/dbraw/zinc/40/13/08/526401308.db2.gz HQUUJMNMGZIEGJ-GFCCVEGCSA-N 1 2 310.785 1.486 20 30 DDEDLO C=C(C)CS(=O)(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000349884346 526684833 /nfs/dbraw/zinc/68/48/33/526684833.db2.gz PVQZDVLGTVKFOR-UHFFFAOYSA-N 1 2 305.403 1.927 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(F)c(F)cc2OC)CC1 ZINC000491485075 526952047 /nfs/dbraw/zinc/95/20/47/526952047.db2.gz MVCHKPXDVABZHX-UHFFFAOYSA-N 1 2 323.343 1.163 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CC[C@H](C)O3)n2C2CC2)CC1 ZINC000491808775 526956047 /nfs/dbraw/zinc/95/60/47/526956047.db2.gz WDODKXQGLLPFIV-ZFWWWQNUSA-N 1 2 315.421 1.608 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC000342516019 527203874 /nfs/dbraw/zinc/20/38/74/527203874.db2.gz UAOBSTXODDWJFS-CQSZACIVSA-N 1 2 318.421 1.284 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1cc(Cl)ccc1-n1cncn1 ZINC000491486721 527212076 /nfs/dbraw/zinc/21/20/76/527212076.db2.gz XXGFQYOZYPQQCR-LLVKDONJSA-N 1 2 317.780 1.813 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1cc(Cl)ccc1-n1cncn1 ZINC000491486721 527212081 /nfs/dbraw/zinc/21/20/81/527212081.db2.gz XXGFQYOZYPQQCR-LLVKDONJSA-N 1 2 317.780 1.813 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1CC(=O)N(Cc2ccc(C#N)cc2)C1=O ZINC000491396442 527214376 /nfs/dbraw/zinc/21/43/76/527214376.db2.gz YETOABTZTAAPLP-CYBMUJFWSA-N 1 2 310.357 1.233 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1CC(=O)N(Cc2ccc(C#N)cc2)C1=O ZINC000491396442 527214383 /nfs/dbraw/zinc/21/43/83/527214383.db2.gz YETOABTZTAAPLP-CYBMUJFWSA-N 1 2 310.357 1.233 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCCCN1C(=O)c2ccccc2C1=O ZINC000491615407 527309711 /nfs/dbraw/zinc/30/97/11/527309711.db2.gz CRNALQRBUXSYSO-CQSZACIVSA-N 1 2 312.369 1.397 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCCCN1C(=O)c2ccccc2C1=O ZINC000491615407 527309712 /nfs/dbraw/zinc/30/97/12/527309712.db2.gz CRNALQRBUXSYSO-CQSZACIVSA-N 1 2 312.369 1.397 20 30 DDEDLO C#C[C@H](C)[N@H+](C)Cc1nc2c(cnn2-c2ccccc2)c(=O)[nH]1 ZINC000491327886 527332446 /nfs/dbraw/zinc/33/24/46/527332446.db2.gz YRDUODCQTRHBMJ-LBPRGKRZSA-N 1 2 307.357 1.975 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)Cc1nc2c(cnn2-c2ccccc2)c(=O)[nH]1 ZINC000491327886 527332449 /nfs/dbraw/zinc/33/24/49/527332449.db2.gz YRDUODCQTRHBMJ-LBPRGKRZSA-N 1 2 307.357 1.975 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1CCC[N@@H+](CC(=O)OC)C1 ZINC000412371432 527386549 /nfs/dbraw/zinc/38/65/49/527386549.db2.gz PTKWGILCWNLHSR-CQSZACIVSA-N 1 2 319.405 1.289 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1CCC[N@H+](CC(=O)OC)C1 ZINC000412371432 527386554 /nfs/dbraw/zinc/38/65/54/527386554.db2.gz PTKWGILCWNLHSR-CQSZACIVSA-N 1 2 319.405 1.289 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000491339912 527420118 /nfs/dbraw/zinc/42/01/18/527420118.db2.gz UDZXZJCUQAKNRR-KRWDZBQOSA-N 1 2 324.384 1.475 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCCc1cn2ccccc2[nH+]1 ZINC000457588191 527469908 /nfs/dbraw/zinc/46/99/08/527469908.db2.gz FQFGHJULMHSLAU-CQSZACIVSA-N 1 2 302.378 1.503 20 30 DDEDLO CCOC(=O)c1csc(CCNc2cc(C)[nH+]cc2C#N)n1 ZINC000425251327 528333216 /nfs/dbraw/zinc/33/32/16/528333216.db2.gz FJBWTEYFTVZHQU-UHFFFAOYSA-N 1 2 316.386 1.971 20 30 DDEDLO CCOc1ccc(NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)cc1F ZINC000330730696 528480867 /nfs/dbraw/zinc/48/08/67/528480867.db2.gz IGIYIJUDSLRGRL-CYBMUJFWSA-N 1 2 324.400 1.796 20 30 DDEDLO CCOc1ccc(NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)cc1F ZINC000330730696 528480871 /nfs/dbraw/zinc/48/08/71/528480871.db2.gz IGIYIJUDSLRGRL-CYBMUJFWSA-N 1 2 324.400 1.796 20 30 DDEDLO CCOCC[NH+]1CCN(c2cc(C#N)ccc2C(C)=O)CC1 ZINC000302105677 528755758 /nfs/dbraw/zinc/75/57/58/528755758.db2.gz NKNAYYXHPIMVOH-UHFFFAOYSA-N 1 2 301.390 1.919 20 30 DDEDLO CCN1CCN(C(=O)NCC2(C)COC2)C[C@H]1c1[nH]cc[nH+]1 ZINC000329962185 529107398 /nfs/dbraw/zinc/10/73/98/529107398.db2.gz PBLQLPVDDURLIQ-LBPRGKRZSA-N 1 2 307.398 1.039 20 30 DDEDLO CCOCCO[C@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000157680422 535660088 /nfs/dbraw/zinc/66/00/88/535660088.db2.gz ZKAAIFUFVRUVAD-INIZCTEOSA-N 1 2 317.389 1.624 20 30 DDEDLO CCOCCO[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000157680422 535660094 /nfs/dbraw/zinc/66/00/94/535660094.db2.gz ZKAAIFUFVRUVAD-INIZCTEOSA-N 1 2 317.389 1.624 20 30 DDEDLO NC(=[NH+]OCc1ccc(-c2nn[nH]n2)cc1)c1ccc(F)cc1 ZINC000737729676 598472231 /nfs/dbraw/zinc/47/22/31/598472231.db2.gz BDEXUCMBXZLEJW-UHFFFAOYSA-N 1 2 312.308 1.843 20 30 DDEDLO C=CC[N@H+](CCC(=O)OCCCC)[C@@H]1CCS(=O)(=O)C1 ZINC000121179438 696706809 /nfs/dbraw/zinc/70/68/09/696706809.db2.gz RHQZXVLTMYBFCC-CYBMUJFWSA-N 1 2 303.424 1.395 20 30 DDEDLO C=CC[N@@H+](CCC(=O)OCCCC)[C@@H]1CCS(=O)(=O)C1 ZINC000121179438 696706808 /nfs/dbraw/zinc/70/68/08/696706808.db2.gz RHQZXVLTMYBFCC-CYBMUJFWSA-N 1 2 303.424 1.395 20 30 DDEDLO C=CCn1nnn(CN2CC[C@@H](Oc3cc[nH+]cc3)C2)c1=S ZINC000121157422 696706816 /nfs/dbraw/zinc/70/68/16/696706816.db2.gz GMYDQASLHAEAJH-CYBMUJFWSA-N 1 2 318.406 1.501 20 30 DDEDLO C=CCn1nnn(CN2CC[C@H](C)[C@@H](n3cc[nH+]c3)C2)c1=S ZINC000121646044 696713873 /nfs/dbraw/zinc/71/38/73/696713873.db2.gz NIONROQEXZTCRH-STQMWFEESA-N 1 2 319.438 1.732 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)cc3)C2)C1 ZINC000972223708 695181029 /nfs/dbraw/zinc/18/10/29/695181029.db2.gz FRYDVDFOLOFWDJ-GOSISDBHSA-N 1 2 316.376 1.766 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)cc3)C2)C1 ZINC000972223708 695181031 /nfs/dbraw/zinc/18/10/31/695181031.db2.gz FRYDVDFOLOFWDJ-GOSISDBHSA-N 1 2 316.376 1.766 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H]([N@H+](C)Cc3nocc3C)C2)c1 ZINC000972292427 695207698 /nfs/dbraw/zinc/20/76/98/695207698.db2.gz QLSHQTLKEFFKJI-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3nocc3C)C2)c1 ZINC000972292427 695207700 /nfs/dbraw/zinc/20/77/00/695207700.db2.gz QLSHQTLKEFFKJI-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3oc(C)cc3C)C2)C1 ZINC000972324638 695216594 /nfs/dbraw/zinc/21/65/94/695216594.db2.gz PIURXABZGSRAHW-KRWDZBQOSA-N 1 2 304.390 1.999 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3oc(C)cc3C)C2)C1 ZINC000972324638 695216596 /nfs/dbraw/zinc/21/65/96/695216596.db2.gz PIURXABZGSRAHW-KRWDZBQOSA-N 1 2 304.390 1.999 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C4CC4)CC3)C2)C1 ZINC000972424247 695246488 /nfs/dbraw/zinc/24/64/88/695246488.db2.gz HVCJZYODIYVWBQ-QGZVFWFLSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C4CC4)CC3)C2)C1 ZINC000972424247 695246490 /nfs/dbraw/zinc/24/64/90/695246490.db2.gz HVCJZYODIYVWBQ-QGZVFWFLSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(CC)c3C)C2)C1 ZINC000972514024 695270147 /nfs/dbraw/zinc/27/01/47/695270147.db2.gz NFJLVHZBIWACTA-SFHVURJKSA-N 1 2 315.417 1.367 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(CC)c3C)C2)C1 ZINC000972514024 695270148 /nfs/dbraw/zinc/27/01/48/695270148.db2.gz NFJLVHZBIWACTA-SFHVURJKSA-N 1 2 315.417 1.367 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3cncnc3)C2)C1 ZINC000972518722 695271289 /nfs/dbraw/zinc/27/12/89/695271289.db2.gz KYOSOPKCACDQFF-RHSMWYFYSA-N 1 2 316.405 1.069 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3cncnc3)C2)C1 ZINC000972518722 695271290 /nfs/dbraw/zinc/27/12/90/695271290.db2.gz KYOSOPKCACDQFF-RHSMWYFYSA-N 1 2 316.405 1.069 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C(C)(F)F)CC3)C2)C1 ZINC000972555287 695281409 /nfs/dbraw/zinc/28/14/09/695281409.db2.gz WNNBQMAJHJUQTB-OAHLLOKOSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C(C)(F)F)CC3)C2)C1 ZINC000972555287 695281411 /nfs/dbraw/zinc/28/14/11/695281411.db2.gz WNNBQMAJHJUQTB-OAHLLOKOSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3c3ccco3)C2)C1 ZINC000972563758 695284275 /nfs/dbraw/zinc/28/42/75/695284275.db2.gz DKCIPNLWBUKECL-IIDMSEBBSA-N 1 2 316.401 1.872 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3c3ccco3)C2)C1 ZINC000972563758 695284277 /nfs/dbraw/zinc/28/42/77/695284277.db2.gz DKCIPNLWBUKECL-IIDMSEBBSA-N 1 2 316.401 1.872 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnoc3CC)C2)C1 ZINC000972581404 695289502 /nfs/dbraw/zinc/28/95/02/695289502.db2.gz IUHCRANGFRLZNS-INIZCTEOSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnoc3CC)C2)C1 ZINC000972581404 695289503 /nfs/dbraw/zinc/28/95/03/695289503.db2.gz IUHCRANGFRLZNS-INIZCTEOSA-N 1 2 305.378 1.340 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3csc(C)c3)C2)C1 ZINC000972599466 695294509 /nfs/dbraw/zinc/29/45/09/695294509.db2.gz QORKIPRTOUZJMO-QGZVFWFLSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3csc(C)c3)C2)C1 ZINC000972599466 695294511 /nfs/dbraw/zinc/29/45/11/695294511.db2.gz QORKIPRTOUZJMO-QGZVFWFLSA-N 1 2 318.442 1.997 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CCC)on3)C2)C1 ZINC000972622502 695302170 /nfs/dbraw/zinc/30/21/70/695302170.db2.gz WHKADGMAWDVJKB-KRWDZBQOSA-N 1 2 319.405 1.730 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CCC)on3)C2)C1 ZINC000972622502 695302173 /nfs/dbraw/zinc/30/21/73/695302173.db2.gz WHKADGMAWDVJKB-KRWDZBQOSA-N 1 2 319.405 1.730 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3sccc3OC)C2)C1 ZINC000972643954 695307964 /nfs/dbraw/zinc/30/79/64/695307964.db2.gz UXVRQZPFYSNRTJ-INIZCTEOSA-N 1 2 322.430 1.860 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3sccc3OC)C2)C1 ZINC000972643954 695307965 /nfs/dbraw/zinc/30/79/65/695307965.db2.gz UXVRQZPFYSNRTJ-INIZCTEOSA-N 1 2 322.430 1.860 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](OC)C3CCCC3)C2)C1 ZINC000972655044 695310343 /nfs/dbraw/zinc/31/03/43/695310343.db2.gz ALKZSYBSDRQYIW-SJLPKXTDSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](OC)C3CCCC3)C2)C1 ZINC000972655044 695310344 /nfs/dbraw/zinc/31/03/44/695310344.db2.gz ALKZSYBSDRQYIW-SJLPKXTDSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC000973545026 695493689 /nfs/dbraw/zinc/49/36/89/695493689.db2.gz FPQJLXGSVUYZHQ-HAQNSBGRSA-N 1 2 302.378 1.072 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2[nH]nc(CC)c2Cl)C(C)(C)C1 ZINC000974671295 695708616 /nfs/dbraw/zinc/70/86/16/695708616.db2.gz DYGWBCHVMYHMIF-NSHDSACASA-N 1 2 308.813 1.699 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nc(CC)c2Cl)C(C)(C)C1 ZINC000974671295 695708617 /nfs/dbraw/zinc/70/86/17/695708617.db2.gz DYGWBCHVMYHMIF-NSHDSACASA-N 1 2 308.813 1.699 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C[C@H]21 ZINC000974725718 695719591 /nfs/dbraw/zinc/71/95/91/695719591.db2.gz FDMZKKZFPMRVDJ-CHWSQXEVSA-N 1 2 316.405 1.224 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccnc(OC)n2)C(C)(C)C1 ZINC000974811930 695740592 /nfs/dbraw/zinc/74/05/92/695740592.db2.gz NEKGKZVJUKPUJR-GFCCVEGCSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccnc(OC)n2)C(C)(C)C1 ZINC000974811930 695740595 /nfs/dbraw/zinc/74/05/95/695740595.db2.gz NEKGKZVJUKPUJR-GFCCVEGCSA-N 1 2 324.812 1.678 20 30 DDEDLO COc1ccc(CNC(=O)N[C@H](C)Cn2cc[nH+]c2)cc1C#N ZINC000746533361 700029075 /nfs/dbraw/zinc/02/90/75/700029075.db2.gz MJKLPBPFAVVYRU-GFCCVEGCSA-N 1 2 313.361 1.651 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2C[N@@H+](CCF)CC2(C)C)nn1 ZINC000977283884 696104931 /nfs/dbraw/zinc/10/49/31/696104931.db2.gz IRHPUFDGKAJERD-CYBMUJFWSA-N 1 2 309.389 1.264 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2C[N@H+](CCF)CC2(C)C)nn1 ZINC000977283884 696104934 /nfs/dbraw/zinc/10/49/34/696104934.db2.gz IRHPUFDGKAJERD-CYBMUJFWSA-N 1 2 309.389 1.264 20 30 DDEDLO C=C(C)CNC(=S)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000041746004 696142214 /nfs/dbraw/zinc/14/22/14/696142214.db2.gz YYLBLGVTXFKBCP-CQSZACIVSA-N 1 2 315.508 1.235 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c[nH+]ccc2N(C)C)C(C)(C)C1 ZINC000977502271 696191325 /nfs/dbraw/zinc/19/13/25/696191325.db2.gz UFJMVVIIUJUWSZ-MRXNPFEDSA-N 1 2 314.433 1.611 20 30 DDEDLO C#CCN1C[C@@H](NC(=O)c2c[nH+]ccc2N(C)C)C(C)(C)C1 ZINC000977504803 696192419 /nfs/dbraw/zinc/19/24/19/696192419.db2.gz YSXCRMDATGWCMV-OAHLLOKOSA-N 1 2 300.406 1.221 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2[C@H]3C[N@@H+](Cc4cnon4)C[C@H]32)CC1 ZINC000978684955 696439836 /nfs/dbraw/zinc/43/98/36/696439836.db2.gz AZHBFICBYPBPFY-PHZGNYQRSA-N 1 2 316.405 1.610 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2[C@H]3C[N@H+](Cc4cnon4)C[C@H]32)CC1 ZINC000978684955 696439837 /nfs/dbraw/zinc/43/98/37/696439837.db2.gz AZHBFICBYPBPFY-PHZGNYQRSA-N 1 2 316.405 1.610 20 30 DDEDLO C#CCNC(=O)NC1CC[NH+](c2ccc(N(C)C)cc2)CC1 ZINC000080586824 696535495 /nfs/dbraw/zinc/53/54/95/696535495.db2.gz RCZMWSKZWGURDZ-UHFFFAOYSA-N 1 2 300.406 1.654 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H]([C@H](C)[NH2+]Cc2nnc(C)o2)C1 ZINC000979378030 696540374 /nfs/dbraw/zinc/54/03/74/696540374.db2.gz QGDJNAHOMFYBEM-AAEUAGOBSA-N 1 2 322.409 1.296 20 30 DDEDLO C[C@H](C(=O)N[C@H](Cn1cc[nH+]c1)C(C)(C)C)n1cnc(C#N)n1 ZINC000798927353 700105673 /nfs/dbraw/zinc/10/56/73/700105673.db2.gz RQKCPIKUUFAFIG-VXGBXAGGSA-N 1 2 315.381 1.138 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000799077119 700114929 /nfs/dbraw/zinc/11/49/29/700114929.db2.gz ZLRAXEWGMVRFJJ-HOTGVXAUSA-N 1 2 310.438 1.014 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)C[C@H]2COC(=O)C2)CC1 ZINC000980971224 696966162 /nfs/dbraw/zinc/96/61/62/696966162.db2.gz ICOVGQCWFIEDTO-GFCCVEGCSA-N 1 2 300.786 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)C[C@H]2COC(=O)C2)CC1 ZINC000980971224 696966164 /nfs/dbraw/zinc/96/61/64/696966164.db2.gz ICOVGQCWFIEDTO-GFCCVEGCSA-N 1 2 300.786 1.226 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[N@@H+](Cc2cc(C)no2)CC1 ZINC000981992926 696996419 /nfs/dbraw/zinc/99/64/19/696996419.db2.gz PTTRKKIUHOXKIG-CVEARBPZSA-N 1 2 319.405 1.608 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[N@H+](Cc2cc(C)no2)CC1 ZINC000981992926 696996420 /nfs/dbraw/zinc/99/64/20/696996420.db2.gz PTTRKKIUHOXKIG-CVEARBPZSA-N 1 2 319.405 1.608 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)C2CCCC2)CC1 ZINC000749379730 700160313 /nfs/dbraw/zinc/16/03/13/700160313.db2.gz DTPWAZCJSXMMES-HNNXBMFYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)C2CCCC2)CC1 ZINC000749379730 700160314 /nfs/dbraw/zinc/16/03/14/700160314.db2.gz DTPWAZCJSXMMES-HNNXBMFYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#C[C@@H](NC(=O)NCCc1cn2c([nH+]1)CCCC2)[C@H]1CCCO1 ZINC000773179683 697725080 /nfs/dbraw/zinc/72/50/80/697725080.db2.gz JMHSJUCCWGGADU-HUUCEWRRSA-N 1 2 316.405 1.242 20 30 DDEDLO C#CCC[NH+]1CCN(c2cnn(C(C)(C)C)c(=O)c2Cl)CC1 ZINC000774528138 697890017 /nfs/dbraw/zinc/89/00/17/697890017.db2.gz AVJVQGDXONIRBI-UHFFFAOYSA-N 1 2 322.840 1.797 20 30 DDEDLO C#C[C@H]([NH2+][C@H]1CCS(=O)(=O)c2ccc(F)cc21)[C@H]1CCCO1 ZINC000775572413 698010631 /nfs/dbraw/zinc/01/06/31/698010631.db2.gz AQDYGZRAPRHOMJ-SOUVJXGZSA-N 1 2 323.389 1.815 20 30 DDEDLO C[C@H]1C[C@@H](NCC#N)CN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000989236821 698563208 /nfs/dbraw/zinc/56/32/08/698563208.db2.gz NJBUZLPYIDQWEU-DZGCQCFKSA-N 1 2 309.373 1.588 20 30 DDEDLO C=CCN(CC(=O)OCC)C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000781348880 698610806 /nfs/dbraw/zinc/61/08/06/698610806.db2.gz NJHIVZVRNNTBMJ-UHFFFAOYSA-N 1 2 314.345 1.459 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN(CCC#N)CC2CC2)C1 ZINC000783977994 698897672 /nfs/dbraw/zinc/89/76/72/698897672.db2.gz KBIDIPQEUSPVBT-CQSZACIVSA-N 1 2 301.394 1.319 20 30 DDEDLO CC(C)Cc1nc(C[NH2+]C2CCN(C(=O)[C@H](C)C#N)CC2)no1 ZINC000990255099 699011470 /nfs/dbraw/zinc/01/14/70/699011470.db2.gz YORJRBZVBTVTNP-GFCCVEGCSA-N 1 2 319.409 1.508 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC[C@@H](c3[nH+]ccn3C)C2)nn1 ZINC000424800978 699149373 /nfs/dbraw/zinc/14/93/73/699149373.db2.gz AMYHNKNIIRVIKJ-CYBMUJFWSA-N 1 2 314.393 1.608 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000455401944 699190102 /nfs/dbraw/zinc/19/01/02/699190102.db2.gz HOXPICRUVWZFCT-MCIONIFRSA-N 1 2 304.394 1.653 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@@H]3CCc4[nH+]ccn4C3)CCC[C@H]12 ZINC000991444423 699326230 /nfs/dbraw/zinc/32/62/30/699326230.db2.gz DYZCZYNRVWWWQP-JKIFEVAISA-N 1 2 313.405 1.082 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2ccc(CC#N)cc2)CCO1 ZINC000726255484 699356505 /nfs/dbraw/zinc/35/65/05/699356505.db2.gz KMVFQELTUVXUTA-ZDUSSCGKSA-N 1 2 302.378 1.595 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(CC#N)cc2)CCO1 ZINC000726255484 699356508 /nfs/dbraw/zinc/35/65/08/699356508.db2.gz KMVFQELTUVXUTA-ZDUSSCGKSA-N 1 2 302.378 1.595 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)NCc2n[nH]c(-c3ccccc3)n2)CC1 ZINC000726771755 699377281 /nfs/dbraw/zinc/37/72/81/699377281.db2.gz JDANOBBJWMIIFZ-UHFFFAOYSA-N 1 2 323.400 1.433 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)NCc2nc(-c3ccccc3)n[nH]2)CC1 ZINC000726771755 699377284 /nfs/dbraw/zinc/37/72/84/699377284.db2.gz JDANOBBJWMIIFZ-UHFFFAOYSA-N 1 2 323.400 1.433 20 30 DDEDLO CC(=[NH+]NC(=S)N(C)C)c1cccc(N2CCOCC2)c1 ZINC000731560028 699530635 /nfs/dbraw/zinc/53/06/35/699530635.db2.gz CYGYEWCKCIUKQJ-UHFFFAOYSA-N 1 2 306.435 1.683 20 30 DDEDLO C[C@@H]([NH2+]Cc1cnc2ccc(C#N)cn12)c1nnnn1C1CC1 ZINC000793591866 699766342 /nfs/dbraw/zinc/76/63/42/699766342.db2.gz SCLAZADFJUDDMA-SNVBAGLBSA-N 1 2 308.349 1.378 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+]([C@H](C(=O)OC)c2ccc(C#N)cc2)C1 ZINC000739914813 699782880 /nfs/dbraw/zinc/78/28/80/699782880.db2.gz LDYNYUDTVDBWFL-GJZGRUSLSA-N 1 2 315.373 1.230 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+]([C@H](C(=O)OC)c2ccc(C#N)cc2)C1 ZINC000739914813 699782881 /nfs/dbraw/zinc/78/28/81/699782881.db2.gz LDYNYUDTVDBWFL-GJZGRUSLSA-N 1 2 315.373 1.230 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)NC1(C#N)CCCCC1 ZINC000741149586 699818209 /nfs/dbraw/zinc/81/82/09/699818209.db2.gz ZUIDTMAHJZAUKW-CQSZACIVSA-N 1 2 321.421 1.747 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)NC1(C#N)CCCCC1 ZINC000741149586 699818210 /nfs/dbraw/zinc/81/82/10/699818210.db2.gz ZUIDTMAHJZAUKW-CQSZACIVSA-N 1 2 321.421 1.747 20 30 DDEDLO C=CCOC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000796086405 699911304 /nfs/dbraw/zinc/91/13/04/699911304.db2.gz HRAVZDAYDCAMEJ-IUODEOHRSA-N 1 2 305.378 1.839 20 30 DDEDLO CC[N@H+](CCOC)Cc1nc2ccccc2c(=O)n1CC#N ZINC000744390152 699947486 /nfs/dbraw/zinc/94/74/86/699947486.db2.gz DCPKILDSYNFDEV-UHFFFAOYSA-N 1 2 300.362 1.388 20 30 DDEDLO CC[N@@H+](CCOC)Cc1nc2ccccc2c(=O)n1CC#N ZINC000744390152 699947488 /nfs/dbraw/zinc/94/74/88/699947488.db2.gz DCPKILDSYNFDEV-UHFFFAOYSA-N 1 2 300.362 1.388 20 30 DDEDLO CC#CC(=O)N[C@@H](c1ccc(Cl)cc1)[C@H]1C[N@H+](C)CCO1 ZINC000801180124 700274542 /nfs/dbraw/zinc/27/45/42/700274542.db2.gz RPEBKKUHAJTMJC-ZBFHGGJFSA-N 1 2 306.793 1.851 20 30 DDEDLO CC#CC(=O)N[C@@H](c1ccc(Cl)cc1)[C@H]1C[N@@H+](C)CCO1 ZINC000801180124 700274545 /nfs/dbraw/zinc/27/45/45/700274545.db2.gz RPEBKKUHAJTMJC-ZBFHGGJFSA-N 1 2 306.793 1.851 20 30 DDEDLO CNC(=O)CCC[N@H+](C)[C@@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000753206201 700414218 /nfs/dbraw/zinc/41/42/18/700414218.db2.gz DQJKYFNCSABVRV-OAHLLOKOSA-N 1 2 303.362 1.230 20 30 DDEDLO CNC(=O)CCC[N@@H+](C)[C@@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000753206201 700414221 /nfs/dbraw/zinc/41/42/21/700414221.db2.gz DQJKYFNCSABVRV-OAHLLOKOSA-N 1 2 303.362 1.230 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCN(C3CC3)C(=O)C2)c(O)c(OC)c1 ZINC000754736746 700523755 /nfs/dbraw/zinc/52/37/55/700523755.db2.gz KTOZGEFGEJFFTJ-UHFFFAOYSA-N 1 2 316.401 1.936 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCN(C3CC3)C(=O)C2)c(O)c(OC)c1 ZINC000754736746 700523756 /nfs/dbraw/zinc/52/37/56/700523756.db2.gz KTOZGEFGEJFFTJ-UHFFFAOYSA-N 1 2 316.401 1.936 20 30 DDEDLO Cc1nn2c(nc3ccccc3c2=O)c1=C[NH2+]Nc1cnn(C)c1 ZINC000761872087 700871883 /nfs/dbraw/zinc/87/18/83/700871883.db2.gz ZCMSXOSMRNEYPH-UHFFFAOYSA-N 1 2 321.344 1.664 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)C(=O)NCCCCC#N)c1C ZINC000803667160 701133330 /nfs/dbraw/zinc/13/33/30/701133330.db2.gz QPOPOWLCNDTKOW-UHFFFAOYSA-N 1 2 318.377 1.133 20 30 DDEDLO N#Cc1cccn(C[N@@H+]2CC[C@]3(C2)OCc2ccccc23)c1=O ZINC000769777135 701255433 /nfs/dbraw/zinc/25/54/33/701255433.db2.gz KVSSHIBRDHDCFZ-GOSISDBHSA-N 1 2 307.353 1.809 20 30 DDEDLO N#Cc1cccn(C[N@H+]2CC[C@]3(C2)OCc2ccccc23)c1=O ZINC000769777135 701255434 /nfs/dbraw/zinc/25/54/34/701255434.db2.gz KVSSHIBRDHDCFZ-GOSISDBHSA-N 1 2 307.353 1.809 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@@H](CNc3cc(C#N)ncn3)C2)c1 ZINC000866189715 706643987 /nfs/dbraw/zinc/64/39/87/706643987.db2.gz DDTNBLQURBBYKU-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@@H](CNc3cc(C#N)ncn3)C2)c1 ZINC000866189715 706643989 /nfs/dbraw/zinc/64/39/89/706643989.db2.gz DDTNBLQURBBYKU-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO C[C@H]([NH2+]C[C@@H]1CC[C@@H](C(=O)N(C)C)O1)c1cccc(C#N)c1O ZINC000866316509 706671810 /nfs/dbraw/zinc/67/18/10/706671810.db2.gz ZSGKSDLAMZQPCY-WHOFXGATSA-N 1 2 317.389 1.550 20 30 DDEDLO COCC[N@H+]1[C@H](C)CN(C(=O)c2sccc2CC#N)C[C@@H]1C ZINC000869076841 702449599 /nfs/dbraw/zinc/44/95/99/702449599.db2.gz LBTZHILDAHMASC-BETUJISGSA-N 1 2 321.446 1.995 20 30 DDEDLO COCC[N@@H+]1[C@H](C)CN(C(=O)c2sccc2CC#N)C[C@@H]1C ZINC000869076841 702449603 /nfs/dbraw/zinc/44/96/03/702449603.db2.gz LBTZHILDAHMASC-BETUJISGSA-N 1 2 321.446 1.995 20 30 DDEDLO C[C@H]([NH2+]C[C@H]1CCCS(=O)(=O)C1)c1cccc(C#N)c1O ZINC000866355906 706679884 /nfs/dbraw/zinc/67/98/84/706679884.db2.gz GKNVMDIIQMAVSH-NWDGAFQWSA-N 1 2 308.403 1.739 20 30 DDEDLO CCOC(=O)c1noc(CO[NH+]=C(N)c2ccc(OC)cc2)n1 ZINC000842020766 702656641 /nfs/dbraw/zinc/65/66/41/702656641.db2.gz DZRYZJAANKKOTH-UHFFFAOYSA-N 1 2 320.305 1.092 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585519 706728377 /nfs/dbraw/zinc/72/83/77/706728377.db2.gz ZWPPWMNQOHWWMZ-OAHLLOKOSA-N 1 2 314.433 1.841 20 30 DDEDLO C=C(C)CONC(=O)CC[NH+]1CCN(c2ccccc2)CC1 ZINC000846538421 703293455 /nfs/dbraw/zinc/29/34/55/703293455.db2.gz WRFSNAXZMKULQJ-UHFFFAOYSA-N 1 2 303.406 1.823 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](Cc2cc(Cl)cc(S(N)(=O)=O)c2)C1 ZINC000847017779 703366212 /nfs/dbraw/zinc/36/62/12/703366212.db2.gz DRALPPRKQHXJEX-NSHDSACASA-N 1 2 312.822 1.833 20 30 DDEDLO C#C[C@H]1CCC[N@H+](Cc2cc(Cl)cc(S(N)(=O)=O)c2)C1 ZINC000847017779 703366213 /nfs/dbraw/zinc/36/62/13/703366213.db2.gz DRALPPRKQHXJEX-NSHDSACASA-N 1 2 312.822 1.833 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2ccc(C#N)nc2)CC1 ZINC000847993966 703491959 /nfs/dbraw/zinc/49/19/59/703491959.db2.gz TUINUULLKTXFCD-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2ccc(C#N)nc2)CC1 ZINC000847993966 703491960 /nfs/dbraw/zinc/49/19/60/703491960.db2.gz TUINUULLKTXFCD-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO COCCOc1ccccc1C=NNCCCn1cc[nH+]c1 ZINC000848418288 703548153 /nfs/dbraw/zinc/54/81/53/703548153.db2.gz KQKKBUDGRRAXFD-UHFFFAOYSA-N 1 2 302.378 1.922 20 30 DDEDLO C#CCOCCN1CC[NH+](CCOc2ccc(Cl)cc2)CC1 ZINC000851720915 703837128 /nfs/dbraw/zinc/83/71/28/703837128.db2.gz VWEGAAOAQUBXGV-UHFFFAOYSA-N 1 2 322.836 1.986 20 30 DDEDLO CCCCCCCC(=O)N1CCO[C@H](C[NH+]2CCOCC2)C1 ZINC000870072397 703908184 /nfs/dbraw/zinc/90/81/84/703908184.db2.gz HVNDUXUFAQEAPK-MRXNPFEDSA-N 1 2 312.454 1.907 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[NH+]2CCN(c3ncns3)CC2)cc1 ZINC000852127743 703935087 /nfs/dbraw/zinc/93/50/87/703935087.db2.gz VDFJBBBMMJMJIG-AWEZNQCLSA-N 1 2 315.402 1.265 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1c[nH+]c(C)cc1C)C1CCOCC1 ZINC000852373525 704027769 /nfs/dbraw/zinc/02/77/69/704027769.db2.gz AWPJQOUJJFSNLA-MRXNPFEDSA-N 1 2 301.390 1.926 20 30 DDEDLO C[C@@]1(NC(=O)C(F)(F)F)CC[N@H+](CCCSCC#N)C1 ZINC000879764773 706785328 /nfs/dbraw/zinc/78/53/28/706785328.db2.gz IMHRCEGQSRWGAF-LLVKDONJSA-N 1 2 309.357 1.776 20 30 DDEDLO C[C@@]1(NC(=O)C(F)(F)F)CC[N@@H+](CCCSCC#N)C1 ZINC000879764773 706785329 /nfs/dbraw/zinc/78/53/29/706785329.db2.gz IMHRCEGQSRWGAF-LLVKDONJSA-N 1 2 309.357 1.776 20 30 DDEDLO COC(=O)N(C)CC[N@H+](C)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000852571381 704078134 /nfs/dbraw/zinc/07/81/34/704078134.db2.gz YTOQPARYNIPCIR-LBPRGKRZSA-N 1 2 318.377 1.515 20 30 DDEDLO COC(=O)N(C)CC[N@@H+](C)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000852571381 704078135 /nfs/dbraw/zinc/07/81/35/704078135.db2.gz YTOQPARYNIPCIR-LBPRGKRZSA-N 1 2 318.377 1.515 20 30 DDEDLO C=CC[N@@H+](CN1C[C@@](C)(C(=O)OCC)CC1=O)[C@@H](C)COC ZINC000853534357 704261727 /nfs/dbraw/zinc/26/17/27/704261727.db2.gz JQGHXSLNAGHKAK-BBRMVZONSA-N 1 2 312.410 1.269 20 30 DDEDLO C=CC[N@H+](CN1C[C@@](C)(C(=O)OCC)CC1=O)[C@@H](C)COC ZINC000853534357 704261728 /nfs/dbraw/zinc/26/17/28/704261728.db2.gz JQGHXSLNAGHKAK-BBRMVZONSA-N 1 2 312.410 1.269 20 30 DDEDLO C#C[C@@H](NC(=O)N(C)[C@@H](C)C[NH+]1CCOCC1)c1ccccc1 ZINC000820595162 704307462 /nfs/dbraw/zinc/30/74/62/704307462.db2.gz OZJBJXLYQLDZNI-DOTOQJQBSA-N 1 2 315.417 1.723 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCCCNS(C)(=O)=O ZINC000820619137 704311532 /nfs/dbraw/zinc/31/15/32/704311532.db2.gz DNPCPUCDTAEYLR-UHFFFAOYSA-N 1 2 311.407 1.225 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(NC(=O)C2(C#N)CCC2)CC1 ZINC000871543292 704311840 /nfs/dbraw/zinc/31/18/40/704311840.db2.gz NPUJDHUAQVJMIN-UHFFFAOYSA-N 1 2 321.421 1.603 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC[C@H](CF)C2)nc1 ZINC000880238289 706916639 /nfs/dbraw/zinc/91/66/39/706916639.db2.gz QYHLZFHQBZWGSS-GFCCVEGCSA-N 1 2 311.382 1.408 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@H](CF)C2)nc1 ZINC000880238289 706916642 /nfs/dbraw/zinc/91/66/42/706916642.db2.gz QYHLZFHQBZWGSS-GFCCVEGCSA-N 1 2 311.382 1.408 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000859036121 704789165 /nfs/dbraw/zinc/78/91/65/704789165.db2.gz QQAZDPDSDLCOBX-KGLIPLIRSA-N 1 2 304.394 1.347 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCc2c(F)ccc(C(=O)OC)c2C1 ZINC000859526404 704927413 /nfs/dbraw/zinc/92/74/13/704927413.db2.gz SNXDMFITOVJSRC-UHFFFAOYSA-N 1 2 318.348 1.110 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCc2c(F)ccc(C(=O)OC)c2C1 ZINC000859526404 704927415 /nfs/dbraw/zinc/92/74/15/704927415.db2.gz SNXDMFITOVJSRC-UHFFFAOYSA-N 1 2 318.348 1.110 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C#N)cc1C ZINC000874703670 705136601 /nfs/dbraw/zinc/13/66/01/705136601.db2.gz AJPNSBAZEZVKJR-HNNXBMFYSA-N 1 2 301.390 1.707 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(C#N)cc1C ZINC000874703670 705136603 /nfs/dbraw/zinc/13/66/03/705136603.db2.gz AJPNSBAZEZVKJR-HNNXBMFYSA-N 1 2 301.390 1.707 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccccc1CC#N ZINC000874711183 705145942 /nfs/dbraw/zinc/14/59/42/705145942.db2.gz HVKJXJWBMIDJNP-OAHLLOKOSA-N 1 2 301.390 1.593 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ccccc1CC#N ZINC000874711183 705145946 /nfs/dbraw/zinc/14/59/46/705145946.db2.gz HVKJXJWBMIDJNP-OAHLLOKOSA-N 1 2 301.390 1.593 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC000824396553 705444224 /nfs/dbraw/zinc/44/42/24/705444224.db2.gz ZACMWGQGLRRCOZ-LBTNJELSSA-N 1 2 321.384 1.992 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC000824396553 705444225 /nfs/dbraw/zinc/44/42/25/705444225.db2.gz ZACMWGQGLRRCOZ-LBTNJELSSA-N 1 2 321.384 1.992 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000875920073 705559846 /nfs/dbraw/zinc/55/98/46/705559846.db2.gz VMHAZFKLJPIESI-KGLIPLIRSA-N 1 2 304.394 1.727 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)C2(C#N)CCSCC2)[C@@H](C)CO1 ZINC000824949831 705564660 /nfs/dbraw/zinc/56/46/60/705564660.db2.gz LLDPUTXTWXISLA-QWHCGFSZSA-N 1 2 311.451 1.249 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)C2(C#N)CCSCC2)[C@@H](C)CO1 ZINC000824949831 705564665 /nfs/dbraw/zinc/56/46/65/705564665.db2.gz LLDPUTXTWXISLA-QWHCGFSZSA-N 1 2 311.451 1.249 20 30 DDEDLO C#CCN(CC)C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000876122328 705626555 /nfs/dbraw/zinc/62/65/55/705626555.db2.gz KSBZJMYIXIROOZ-UHFFFAOYSA-N 1 2 323.437 1.457 20 30 DDEDLO COC(=O)CCN(CC#N)C(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC000825374536 705657384 /nfs/dbraw/zinc/65/73/84/705657384.db2.gz PVHXZLITMBAKBH-ZDUSSCGKSA-N 1 2 306.366 1.386 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC000826682882 705844249 /nfs/dbraw/zinc/84/42/49/705844249.db2.gz RBDQUAJSKIEEMC-SJORKVTESA-N 1 2 315.417 1.760 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC000826682882 705844254 /nfs/dbraw/zinc/84/42/54/705844254.db2.gz RBDQUAJSKIEEMC-SJORKVTESA-N 1 2 315.417 1.760 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000876815658 705888430 /nfs/dbraw/zinc/88/84/30/705888430.db2.gz CJXTXGIRNIBUKJ-HNNXBMFYSA-N 1 2 314.389 1.651 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000876815658 705888433 /nfs/dbraw/zinc/88/84/33/705888433.db2.gz CJXTXGIRNIBUKJ-HNNXBMFYSA-N 1 2 314.389 1.651 20 30 DDEDLO COC(=O)C1(C#N)CCN(c2nc(N)c3ccccc3[nH+]2)CC1 ZINC000828969894 706266232 /nfs/dbraw/zinc/26/62/32/706266232.db2.gz OTSAAXLPRFZUIX-UHFFFAOYSA-N 1 2 311.345 1.495 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@@H+](C)[C@H]1CCN(C)C1=O)c1ccccc1 ZINC000878120464 706299937 /nfs/dbraw/zinc/29/99/37/706299937.db2.gz LPWUMKFWYARBPQ-FUHWJXTLSA-N 1 2 313.401 1.590 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@H+](C)[C@H]1CCN(C)C1=O)c1ccccc1 ZINC000878120464 706299940 /nfs/dbraw/zinc/29/99/40/706299940.db2.gz LPWUMKFWYARBPQ-FUHWJXTLSA-N 1 2 313.401 1.590 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)[C@@H]1CCc3[nH+]ccn3C1)C2 ZINC000829485423 706342907 /nfs/dbraw/zinc/34/29/07/706342907.db2.gz CQDZQNXNPZLUTA-ZBFHGGJFSA-N 1 2 306.369 1.601 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+](C)C[C@H](C)NC(=O)OC(C)(C)C ZINC000879063397 706576875 /nfs/dbraw/zinc/57/68/75/706576875.db2.gz MTTAYPDMHKZEEU-RYUDHWBXSA-N 1 2 300.399 1.949 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+](C)C[C@H](C)NC(=O)OC(C)(C)C ZINC000879063397 706576878 /nfs/dbraw/zinc/57/68/78/706576878.db2.gz MTTAYPDMHKZEEU-RYUDHWBXSA-N 1 2 300.399 1.949 20 30 DDEDLO Cc1cc(C)cc(N(CCC#N)C(=O)C[N@@H+]2CC=C[C@@H]2CO)c1 ZINC000880484030 706989195 /nfs/dbraw/zinc/98/91/95/706989195.db2.gz LHMKRNOVJLWDTM-MRXNPFEDSA-N 1 2 313.401 1.783 20 30 DDEDLO Cc1cc(C)cc(N(CCC#N)C(=O)C[N@H+]2CC=C[C@@H]2CO)c1 ZINC000880484030 706989198 /nfs/dbraw/zinc/98/91/98/706989198.db2.gz LHMKRNOVJLWDTM-MRXNPFEDSA-N 1 2 313.401 1.783 20 30 DDEDLO CC[C@@H]([NH2+][C@@H]1CCc2nnn(C)c2C1)c1cccc(C#N)c1O ZINC000927146114 712947029 /nfs/dbraw/zinc/94/70/29/712947029.db2.gz ZUIFNGDCEOJKHL-TZMCWYRMSA-N 1 2 311.389 1.991 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCN(C)c2ncccc2C1 ZINC000872317974 707380578 /nfs/dbraw/zinc/38/05/78/707380578.db2.gz DSXUDLQVFIIXGR-AWEZNQCLSA-N 1 2 315.421 1.342 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCN(C)c2ncccc2C1 ZINC000872317974 707380584 /nfs/dbraw/zinc/38/05/84/707380584.db2.gz DSXUDLQVFIIXGR-AWEZNQCLSA-N 1 2 315.421 1.342 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC(C)(C)[NH+]2CCOCC2)c1 ZINC000836188270 707413841 /nfs/dbraw/zinc/41/38/41/707413841.db2.gz SMVABINYCWZZRL-UHFFFAOYSA-N 1 2 315.417 1.578 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@H](C)[N@@H+](C)C[C@H]2C)c(C#N)c1 ZINC000872467549 707429163 /nfs/dbraw/zinc/42/91/63/707429163.db2.gz KXVNPXYUPSJMPU-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@H](C)[N@H+](C)C[C@H]2C)c(C#N)c1 ZINC000872467549 707429168 /nfs/dbraw/zinc/42/91/68/707429168.db2.gz KXVNPXYUPSJMPU-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1c(C)cccc1C#N ZINC000872492611 707443791 /nfs/dbraw/zinc/44/37/91/707443791.db2.gz JANNEUZIFFZPDW-OAHLLOKOSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1c(C)cccc1C#N ZINC000872492611 707443795 /nfs/dbraw/zinc/44/37/95/707443795.db2.gz JANNEUZIFFZPDW-OAHLLOKOSA-N 1 2 321.446 1.972 20 30 DDEDLO CCN1CC[NH+](CC(C)(C)NC(=O)C#Cc2cccs2)CC1 ZINC000837132604 707582550 /nfs/dbraw/zinc/58/25/50/707582550.db2.gz BBBQJXKKXVRGLE-UHFFFAOYSA-N 1 2 319.474 1.632 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)CC1 ZINC000872901933 707645787 /nfs/dbraw/zinc/64/57/87/707645787.db2.gz JFJOSLBYIGHPNY-FZKCQIBNSA-N 1 2 307.438 1.587 20 30 DDEDLO C#CCC1(O)CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000882522292 707679858 /nfs/dbraw/zinc/67/98/58/707679858.db2.gz ODMHRSSCZXUUBR-UHFFFAOYSA-N 1 2 309.369 1.863 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000882903181 707845242 /nfs/dbraw/zinc/84/52/42/707845242.db2.gz XIZAWKUWIXLQKZ-QWRGUYRKSA-N 1 2 305.300 1.820 20 30 DDEDLO Cc1ccc(Cn2cc(C[NH+]3CCC(O)CC3)nn2)cc1C#N ZINC000883990824 708093675 /nfs/dbraw/zinc/09/36/75/708093675.db2.gz HRBUWSQYCJQTIB-UHFFFAOYSA-N 1 2 311.389 1.463 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1csc2ccccc12 ZINC000884083618 708131451 /nfs/dbraw/zinc/13/14/51/708131451.db2.gz UKERXGVYJYMJHS-ZDUSSCGKSA-N 1 2 318.398 1.964 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H]1c1cc(C)on1 ZINC000884086296 708132607 /nfs/dbraw/zinc/13/26/07/708132607.db2.gz UFJXFGQHGWDPJY-AAEUAGOBSA-N 1 2 307.350 1.093 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCOc1cc(C)cc(C)c1 ZINC000884101155 708139248 /nfs/dbraw/zinc/13/92/48/708139248.db2.gz PVPFPPVRMUBTEX-HNNXBMFYSA-N 1 2 320.389 1.245 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1C[C@H]1c1cccc(F)c1 ZINC000884106213 708141910 /nfs/dbraw/zinc/14/19/10/708141910.db2.gz KIPIEEAIGFXCNJ-IHRRRGAJSA-N 1 2 306.337 1.244 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2cccc(CC)c21 ZINC000884113073 708145366 /nfs/dbraw/zinc/14/53/66/708145366.db2.gz FORPZECDFVWNGB-AWEZNQCLSA-N 1 2 302.374 1.585 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)N[C@@](C)(C3CC3)C2=O)CC1 ZINC000884479176 708311709 /nfs/dbraw/zinc/31/17/09/708311709.db2.gz ZAUPGUIVWSPMOE-HNNXBMFYSA-N 1 2 307.394 1.067 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)N[C@@](C)(C(C)(C)C)C2=O)CC1 ZINC000884479785 708312261 /nfs/dbraw/zinc/31/22/61/708312261.db2.gz RQKKWUSKAHWWJW-MRXNPFEDSA-N 1 2 323.437 1.704 20 30 DDEDLO C[C@@H](CS(=O)(=O)c1ccccc1)[NH2+]Cc1nc(C#N)cs1 ZINC000897629194 708400821 /nfs/dbraw/zinc/40/08/21/708400821.db2.gz SDXZZYIETJMVRC-NSHDSACASA-N 1 2 321.427 1.967 20 30 DDEDLO COC(=O)c1cc(C2C[NH+](Cc3csc(C#N)c3)C2)[nH]n1 ZINC000898001464 708515516 /nfs/dbraw/zinc/51/55/16/708515516.db2.gz DPCBNWRPXNJCTK-UHFFFAOYSA-N 1 2 302.359 1.729 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@@H](C)N(CC#N)[C@H](C)C2)c[nH+]1 ZINC000898132281 708551490 /nfs/dbraw/zinc/55/14/90/708551490.db2.gz GNAKURQYXUNLPH-ZIAGYGMSSA-N 1 2 303.410 1.371 20 30 DDEDLO COCCc1noc(C[N@H+](C)C[C@H](O)CC2(C#N)CCC2)n1 ZINC000886038761 708686915 /nfs/dbraw/zinc/68/69/15/708686915.db2.gz AAHZUQMEGHXMOG-GFCCVEGCSA-N 1 2 308.382 1.135 20 30 DDEDLO COCCc1noc(C[N@@H+](C)C[C@H](O)CC2(C#N)CCC2)n1 ZINC000886038761 708686918 /nfs/dbraw/zinc/68/69/18/708686918.db2.gz AAHZUQMEGHXMOG-GFCCVEGCSA-N 1 2 308.382 1.135 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@H]2CC[C@H](C3CC3)O2)n1 ZINC000886177326 708710462 /nfs/dbraw/zinc/71/04/62/708710462.db2.gz ZVMYTVUIZJOEAL-QZTJIDSGSA-N 1 2 317.433 1.922 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@H]2CC[C@H](C3CC3)O2)n1 ZINC000886177326 708710465 /nfs/dbraw/zinc/71/04/65/708710465.db2.gz ZVMYTVUIZJOEAL-QZTJIDSGSA-N 1 2 317.433 1.922 20 30 DDEDLO C=CCC1(O)CC[NH+](Cc2cn(C[C@@H]3CCOC3)nn2)CC1 ZINC000886780287 708843676 /nfs/dbraw/zinc/84/36/76/708843676.db2.gz HVHXHXMPDBDCQV-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(C#N)ccc1F ZINC000888353496 709286480 /nfs/dbraw/zinc/28/64/80/709286480.db2.gz FEXZWXNSVUGFII-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C#CCSCC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000889635201 709567890 /nfs/dbraw/zinc/56/78/90/709567890.db2.gz IUSMCAICCOAHDA-UHFFFAOYSA-N 1 2 305.403 1.532 20 30 DDEDLO C#CC1(O)CN(C(=O)c2cccc(CNc3cc[nH+]c(C)n3)c2)C1 ZINC000890524080 709903194 /nfs/dbraw/zinc/90/31/94/709903194.db2.gz FEZFOWXSCFZQCS-UHFFFAOYSA-N 1 2 322.368 1.217 20 30 DDEDLO C=CC[C@H]1CCN1C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000890554049 709913831 /nfs/dbraw/zinc/91/38/31/709913831.db2.gz HNLIPYRLBAFKOG-HNNXBMFYSA-N 1 2 303.406 1.611 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+]Cc2cnn(CC3CCC3)c2)C(N)=O)cc1 ZINC000901644149 710105976 /nfs/dbraw/zinc/10/59/76/710105976.db2.gz XSBMSBWLHILGBG-QGZVFWFLSA-N 1 2 323.400 1.871 20 30 DDEDLO C[C@H]1CN(C(=O)CO[NH+]=C(N)c2ccc(F)cc2)CC(C)(C)O1 ZINC000902693924 710865303 /nfs/dbraw/zinc/86/53/03/710865303.db2.gz SLWRKJINXAZFIC-NSHDSACASA-N 1 2 323.368 1.489 20 30 DDEDLO N#C[C@@H](CCc1ccccc1)C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC000913451623 713221560 /nfs/dbraw/zinc/22/15/60/713221560.db2.gz QFFRLWGCTGDJFO-NVXWUHKLSA-N 1 2 323.400 1.655 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH2+][C@H](c3cnn(C)c3)C2)cc(C)c1C#N ZINC000913476166 713232290 /nfs/dbraw/zinc/23/22/90/713232290.db2.gz SAAAECHBBHWXKX-KRWDZBQOSA-N 1 2 323.400 1.695 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@]2(C#N)CC3CCC2CC3)[C@H](C)CO1 ZINC000912393673 711308695 /nfs/dbraw/zinc/30/86/95/711308695.db2.gz SBVSIENXBQLUCQ-ORMJEZIJSA-N 1 2 319.449 1.932 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@]2(C#N)CC3CCC2CC3)[C@H](C)CO1 ZINC000912393673 711308698 /nfs/dbraw/zinc/30/86/98/711308698.db2.gz SBVSIENXBQLUCQ-ORMJEZIJSA-N 1 2 319.449 1.932 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ncc(C#N)cc1C ZINC000928650278 713250719 /nfs/dbraw/zinc/25/07/19/713250719.db2.gz XGVCGZBYMQZTHF-CQSZACIVSA-N 1 2 302.378 1.102 20 30 DDEDLO C#CC[NH+]1CCC(NC(=O)c2nnc3ccccc3c2O)CC1 ZINC000928655266 713253745 /nfs/dbraw/zinc/25/37/45/713253745.db2.gz OEOWSVOPIDIOJO-UHFFFAOYSA-N 1 2 310.357 1.163 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cnc4n3CCOC4)CC2)cc1 ZINC000895841242 711622464 /nfs/dbraw/zinc/62/24/64/711622464.db2.gz FGIUCFXUTHBJIK-UHFFFAOYSA-N 1 2 323.400 1.607 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC000907345551 712549079 /nfs/dbraw/zinc/54/90/79/712549079.db2.gz YXUOYXVNAHFFMZ-UHFFFAOYSA-N 1 2 309.435 1.148 20 30 DDEDLO C#C[C@H](NC(=O)/C=C(\C)C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000908324955 712742052 /nfs/dbraw/zinc/74/20/52/712742052.db2.gz VZYYEKGVIMLYKB-VSOYFRJCSA-N 1 2 316.376 1.895 20 30 DDEDLO N#Cc1cc(CS(=O)(=O)NCCCCn2cc[nH+]c2)cs1 ZINC000914327281 713378969 /nfs/dbraw/zinc/37/89/69/713378969.db2.gz GKMWJDIYTUUELJ-UHFFFAOYSA-N 1 2 324.431 1.716 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2cccc(CC#N)c2)C[C@@H]1C ZINC000919579480 713616397 /nfs/dbraw/zinc/61/63/97/713616397.db2.gz GBUGGCAEMKLBDT-OKILXGFUSA-N 1 2 321.446 1.856 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2cccc(CC#N)c2)C[C@@H]1C ZINC000919579480 713616400 /nfs/dbraw/zinc/61/64/00/713616400.db2.gz GBUGGCAEMKLBDT-OKILXGFUSA-N 1 2 321.446 1.856 20 30 DDEDLO CC(C)C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1cccc(C#N)c1 ZINC000922027273 713941428 /nfs/dbraw/zinc/94/14/28/713941428.db2.gz VRSLJYUWBNBCOE-OAHLLOKOSA-N 1 2 321.446 1.909 20 30 DDEDLO CC(C)C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cccc(C#N)c1 ZINC000922027273 713941432 /nfs/dbraw/zinc/94/14/32/713941432.db2.gz VRSLJYUWBNBCOE-OAHLLOKOSA-N 1 2 321.446 1.909 20 30 DDEDLO Cc1ccc(CNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1C#N ZINC000922077734 713954366 /nfs/dbraw/zinc/95/43/66/713954366.db2.gz PFJYKCUKGUWNFQ-OAHLLOKOSA-N 1 2 309.373 1.877 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cc(C#N)ccc2F)CCO1 ZINC000931712225 714167181 /nfs/dbraw/zinc/16/71/81/714167181.db2.gz HPOCAUVVOCVLDH-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cc(C#N)ccc2F)CCO1 ZINC000931712225 714167185 /nfs/dbraw/zinc/16/71/85/714167185.db2.gz HPOCAUVVOCVLDH-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@]1(C2CC[NH+](Cc3nc(C#N)cs3)CC2)COC(=O)N1 ZINC000932098324 714258188 /nfs/dbraw/zinc/25/81/88/714258188.db2.gz GKSQJVHPUIYXEW-CQSZACIVSA-N 1 2 306.391 1.725 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000932363821 714318392 /nfs/dbraw/zinc/31/83/92/714318392.db2.gz KSZIMJMBSYRLGH-DXCKQFNASA-N 1 2 315.421 1.960 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2occc2Br)C1 ZINC000923568641 714409160 /nfs/dbraw/zinc/40/91/60/714409160.db2.gz IIUVFMABKMLNQI-SNVBAGLBSA-N 1 2 311.179 1.870 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2occc2Br)C1 ZINC000923568641 714409161 /nfs/dbraw/zinc/40/91/61/714409161.db2.gz IIUVFMABKMLNQI-SNVBAGLBSA-N 1 2 311.179 1.870 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC([C@@H]3COC(=O)N3)CC2)nn1 ZINC000932773417 714410958 /nfs/dbraw/zinc/41/09/58/714410958.db2.gz URTUTDJYKNOPKW-AWEZNQCLSA-N 1 2 305.382 1.175 20 30 DDEDLO N#CC[C@@H](NC(=O)NCCCCn1cc[nH+]c1)C(F)(F)F ZINC000932921975 714437989 /nfs/dbraw/zinc/43/79/89/714437989.db2.gz JOZVZDMMSMVCCP-SNVBAGLBSA-N 1 2 303.288 1.807 20 30 DDEDLO CCn1c[nH+]c2c1CCN(CC(=O)NCc1ccc(C#N)cc1)C2 ZINC000933261083 714549216 /nfs/dbraw/zinc/54/92/16/714549216.db2.gz AKJRSGDSAVHWLL-UHFFFAOYSA-N 1 2 323.400 1.449 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCC2)CCN1c1ccccc1 ZINC000934320877 714794050 /nfs/dbraw/zinc/79/40/50/714794050.db2.gz XUFORYGIUHMLLR-OAHLLOKOSA-N 1 2 312.417 1.760 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)CCN1c1ccccc1 ZINC000934320877 714794051 /nfs/dbraw/zinc/79/40/51/714794051.db2.gz XUFORYGIUHMLLR-OAHLLOKOSA-N 1 2 312.417 1.760 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(C)nn(CC)c2C)C1 ZINC000956966835 715685587 /nfs/dbraw/zinc/68/55/87/715685587.db2.gz KTXSXUBDEPIRQU-UHFFFAOYSA-N 1 2 302.422 1.689 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)C2CN(Cc3c[nH+]cn3C)C2)nc1 ZINC000957289819 715818577 /nfs/dbraw/zinc/81/85/77/715818577.db2.gz GAEZPAYVBCGYLV-UHFFFAOYSA-N 1 2 323.400 1.143 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc(-n3ccnc3)cn2)CC1 ZINC000957304527 715825599 /nfs/dbraw/zinc/82/55/99/715825599.db2.gz VILWZKWCLAXCDT-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cccnc2N(C)C)C1 ZINC000957385098 715874088 /nfs/dbraw/zinc/87/40/88/715874088.db2.gz DUZLPAVZKOBAQA-UHFFFAOYSA-N 1 2 302.422 1.870 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCN(Cc2ccccc2C#N)CC1 ZINC000957473036 715917241 /nfs/dbraw/zinc/91/72/41/715917241.db2.gz WOJCDOPASJMRHC-UHFFFAOYSA-N 1 2 323.400 1.477 20 30 DDEDLO C=C[C@H](C(=O)N1CC[NH+](CCn2cccn2)CC1)c1ccccc1 ZINC000957743941 716026514 /nfs/dbraw/zinc/02/65/14/716026514.db2.gz DTWHJFHHXPKEDE-SFHVURJKSA-N 1 2 324.428 1.997 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccoc1 ZINC000958234522 716415739 /nfs/dbraw/zinc/41/57/39/716415739.db2.gz VRROVFOSPAYYSY-GDNZZTSVSA-N 1 2 311.179 1.848 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccoc1 ZINC000958234522 716415743 /nfs/dbraw/zinc/41/57/43/716415743.db2.gz VRROVFOSPAYYSY-GDNZZTSVSA-N 1 2 311.179 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000961618850 717032684 /nfs/dbraw/zinc/03/26/84/717032684.db2.gz WEDIDXNWFOQPIC-MEWQQHAOSA-N 1 2 321.812 1.024 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000961618850 717032685 /nfs/dbraw/zinc/03/26/85/717032685.db2.gz WEDIDXNWFOQPIC-MEWQQHAOSA-N 1 2 321.812 1.024 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)/C=C(\C)C3CC3)CC2)C1 ZINC000941333156 717156571 /nfs/dbraw/zinc/15/65/71/717156571.db2.gz WLPFZBLEPDIVES-NTCAYCPXSA-N 1 2 301.434 1.194 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC000941386142 717165976 /nfs/dbraw/zinc/16/59/76/717165976.db2.gz FJHWDPKDXFLQSE-CQSZACIVSA-N 1 2 322.368 1.307 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CC3(C)CCCC3)CC2)C1 ZINC000941399925 717170092 /nfs/dbraw/zinc/17/00/92/717170092.db2.gz LOTQSOFRBNHCKR-UHFFFAOYSA-N 1 2 303.450 1.418 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3CCC[C@H]3C)CC2)C1 ZINC000941418414 717173592 /nfs/dbraw/zinc/17/35/92/717173592.db2.gz SQNSGDUCFKFVNA-CVEARBPZSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C(C)(C)C(=C)Cl)CC2)C1 ZINC000941422163 717174401 /nfs/dbraw/zinc/17/44/01/717174401.db2.gz GCKSAXCZOWBRIK-UHFFFAOYSA-N 1 2 309.841 1.227 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC[C@H]3CCC)CC2)C1 ZINC000941465078 717182214 /nfs/dbraw/zinc/18/22/14/717182214.db2.gz NBZVHRUPGUJYRE-AEFFLSMTSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@]34C[C@H]3CCC4)CC2)C1 ZINC000941496920 717187149 /nfs/dbraw/zinc/18/71/49/717187149.db2.gz ZMVINPNYCIQOHF-CRAIPNDOSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)Cc3ccsc3C)CC2)C1 ZINC000941595109 717223959 /nfs/dbraw/zinc/22/39/59/717223959.db2.gz DOKHCOHIUDBPOG-UHFFFAOYSA-N 1 2 317.458 1.061 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(Cl)s3)CC2)C1 ZINC000941656572 717245700 /nfs/dbraw/zinc/24/57/00/717245700.db2.gz BDBDJDDQCJKPGZ-UHFFFAOYSA-N 1 2 323.849 1.477 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000942513546 717711728 /nfs/dbraw/zinc/71/17/28/717711728.db2.gz FLYQZMCWPXTPQS-LLVKDONJSA-N 1 2 324.812 1.448 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3nncn3C)C[C@H]2C)C1 ZINC000942603084 717772608 /nfs/dbraw/zinc/77/26/08/717772608.db2.gz RZLNWZOFPCZMHC-KGLIPLIRSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3nncn3C)C[C@H]2C)C1 ZINC000942603084 717772611 /nfs/dbraw/zinc/77/26/11/717772611.db2.gz RZLNWZOFPCZMHC-KGLIPLIRSA-N 1 2 317.437 1.498 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2nc3cnccc3s2)C1 ZINC000967282743 718816213 /nfs/dbraw/zinc/81/62/13/718816213.db2.gz BUKGEYSDOMQTKF-DGCLKSJQSA-N 1 2 314.414 1.765 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2nc3cnccc3s2)C1 ZINC000967282743 718816219 /nfs/dbraw/zinc/81/62/19/718816219.db2.gz BUKGEYSDOMQTKF-DGCLKSJQSA-N 1 2 314.414 1.765 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1ccon1 ZINC000947217043 719077024 /nfs/dbraw/zinc/07/70/24/719077024.db2.gz JNABCUKKJJSUSW-VXGBXAGGSA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1ccon1 ZINC000947217043 719077030 /nfs/dbraw/zinc/07/70/30/719077030.db2.gz JNABCUKKJJSUSW-VXGBXAGGSA-N 1 2 313.361 1.657 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3nncn3C)[C@H](C)C2)C1 ZINC000947702466 719248807 /nfs/dbraw/zinc/24/88/07/719248807.db2.gz OJTQBGXCWSXTAB-KGLIPLIRSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3nncn3C)[C@H](C)C2)C1 ZINC000947702466 719248810 /nfs/dbraw/zinc/24/88/10/719248810.db2.gz OJTQBGXCWSXTAB-KGLIPLIRSA-N 1 2 317.437 1.641 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](N(C)C(=O)c2cccc3nn[nH]c32)CC1 ZINC000948405748 719483834 /nfs/dbraw/zinc/48/38/34/719483834.db2.gz XYGADWBIQGGNOD-CYBMUJFWSA-N 1 2 311.389 1.518 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](N(C)C(=O)c2cccc3nn[nH]c32)CC1 ZINC000948405748 719483839 /nfs/dbraw/zinc/48/38/39/719483839.db2.gz XYGADWBIQGGNOD-CYBMUJFWSA-N 1 2 311.389 1.518 20 30 DDEDLO CN(C(=O)[C@H]1CCc2[nH+]ccn2C1)[C@H]1CCCN(CC#N)CC1 ZINC000948556220 719546461 /nfs/dbraw/zinc/54/64/61/719546461.db2.gz GPXRAPCKYCBVHA-GJZGRUSLSA-N 1 2 315.421 1.282 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cn(CC)nn2)C1 ZINC000968481178 719611056 /nfs/dbraw/zinc/61/10/56/719611056.db2.gz ZBIGBOQDVAWVDB-PWSUYJOCSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cn(CC)nn2)C1 ZINC000968481178 719611059 /nfs/dbraw/zinc/61/10/59/719611059.db2.gz ZBIGBOQDVAWVDB-PWSUYJOCSA-N 1 2 311.817 1.491 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccnn1C ZINC000948899374 719773814 /nfs/dbraw/zinc/77/38/14/719773814.db2.gz VSDGDJZXPKSPKD-GOSISDBHSA-N 1 2 322.412 1.773 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccnn1C ZINC000948899374 719773816 /nfs/dbraw/zinc/77/38/16/719773816.db2.gz VSDGDJZXPKSPKD-GOSISDBHSA-N 1 2 322.412 1.773 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn(CC)cn1 ZINC000948982505 719822879 /nfs/dbraw/zinc/82/28/79/719822879.db2.gz MZQYQYJQRUOSBZ-GOSISDBHSA-N 1 2 322.412 1.865 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn(CC)cn1 ZINC000948982505 719822886 /nfs/dbraw/zinc/82/28/86/719822886.db2.gz MZQYQYJQRUOSBZ-GOSISDBHSA-N 1 2 322.412 1.865 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc[nH+]c1 ZINC000969315376 720054734 /nfs/dbraw/zinc/05/47/34/720054734.db2.gz JZXZGSNYQIAZBV-OKILXGFUSA-N 1 2 316.405 1.297 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC[C@H]4C[C@H]43)CC2)C1 ZINC000949401703 720064212 /nfs/dbraw/zinc/06/42/12/720064212.db2.gz JRTLNFPAWAKHSX-GVDBMIGSSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC[C@H]4C[C@H]43)CC2)C1 ZINC000949401703 720064216 /nfs/dbraw/zinc/06/42/16/720064216.db2.gz JRTLNFPAWAKHSX-GVDBMIGSSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)CC2)C1 ZINC000949411928 720070465 /nfs/dbraw/zinc/07/04/65/720070465.db2.gz IZJZGAZRVBFVCK-HZPDHXFCSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)CC2)C1 ZINC000949411928 720070469 /nfs/dbraw/zinc/07/04/69/720070469.db2.gz IZJZGAZRVBFVCK-HZPDHXFCSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3Cc4ccccc43)CC2)C1 ZINC000949454338 720097773 /nfs/dbraw/zinc/09/77/73/720097773.db2.gz HVVPHQPEKIARLG-GOSISDBHSA-N 1 2 324.424 1.653 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3Cc4ccccc43)CC2)C1 ZINC000949454338 720097779 /nfs/dbraw/zinc/09/77/79/720097779.db2.gz HVVPHQPEKIARLG-GOSISDBHSA-N 1 2 324.424 1.653 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2cn3cc(F)ccc3n2)CC1 ZINC000949519774 720138252 /nfs/dbraw/zinc/13/82/52/720138252.db2.gz OBFDUTQVZCKUPT-UHFFFAOYSA-N 1 2 302.353 1.346 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+](CCCc2nc(C)no2)CC1 ZINC000949662884 720248526 /nfs/dbraw/zinc/24/85/26/720248526.db2.gz HQQRPCGYDZYTBM-UHFFFAOYSA-N 1 2 306.410 1.667 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([NH2+]Cc3nc(C4CC4)no3)C2)C1 ZINC000969885010 720548120 /nfs/dbraw/zinc/54/81/20/720548120.db2.gz UBJRTXJMOMTQSJ-CYBMUJFWSA-N 1 2 316.405 1.994 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCCn3nccc32)C1 ZINC000969985856 720586460 /nfs/dbraw/zinc/58/64/60/720586460.db2.gz TYJSNXLOYBMKIJ-TZMCWYRMSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC000970338763 720718784 /nfs/dbraw/zinc/71/87/84/720718784.db2.gz OZBQOWATJQJYKY-CMPLNLGQSA-N 1 2 323.828 1.414 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2nnc3ccccc3n2)C1 ZINC000950894087 720816772 /nfs/dbraw/zinc/81/67/72/720816772.db2.gz JMDOSTHIXGAGFS-UHFFFAOYSA-N 1 2 309.373 1.194 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cn(CCC)nn2)C1 ZINC000970661917 720875346 /nfs/dbraw/zinc/87/53/46/720875346.db2.gz GDERWYFKZKWVMO-LLVKDONJSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC000970765289 720928209 /nfs/dbraw/zinc/92/82/09/720928209.db2.gz BPBOGOWCZMCFIC-FZMZJTMJSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccnc3c2ncn3C)C1 ZINC000951725905 721155197 /nfs/dbraw/zinc/15/51/97/721155197.db2.gz KRKXNQHWOWWXMV-UHFFFAOYSA-N 1 2 313.405 1.691 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+](C)[C@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971377329 721265197 /nfs/dbraw/zinc/26/51/97/721265197.db2.gz XNRVLVQANGNXRI-WFASDCNBSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+](C)[C@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971377329 721265200 /nfs/dbraw/zinc/26/52/00/721265200.db2.gz XNRVLVQANGNXRI-WFASDCNBSA-N 1 2 324.388 1.584 20 30 DDEDLO N#CCN1CCC[C@@H](CNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001023585752 735327463 /nfs/dbraw/zinc/32/74/63/735327463.db2.gz LIWYERXXOXYSHQ-STQMWFEESA-N 1 2 301.394 1.181 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@H]([NH2+][C@H](C)c3noc(C)n3)C2)cc1 ZINC001020456474 732396940 /nfs/dbraw/zinc/39/69/40/732396940.db2.gz RCHPTLUVURPJGE-HFBAOOFYSA-N 1 2 324.384 1.971 20 30 DDEDLO Cc1nc(NC[C@H](C)CNC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001104225994 732490715 /nfs/dbraw/zinc/49/07/15/732490715.db2.gz HOLOSUFBFDGDJV-NSHDSACASA-N 1 2 312.377 1.392 20 30 DDEDLO C#CCCC[N@H+](CCNC(=O)OC(C)(C)C)CC(=O)OCC ZINC001209266635 732660359 /nfs/dbraw/zinc/66/03/59/732660359.db2.gz ZTFVNXGCWCJQNY-UHFFFAOYSA-N 1 2 312.410 1.790 20 30 DDEDLO C#CCCC[N@@H+](CCNC(=O)OC(C)(C)C)CC(=O)OCC ZINC001209266635 732660362 /nfs/dbraw/zinc/66/03/62/732660362.db2.gz ZTFVNXGCWCJQNY-UHFFFAOYSA-N 1 2 312.410 1.790 20 30 DDEDLO C=CCOCC(=O)N(CCN(C)c1cc[nH+]c(C)n1)C(C)C ZINC001125411153 733231359 /nfs/dbraw/zinc/23/13/59/733231359.db2.gz KFTRNPFXEUXCGX-UHFFFAOYSA-N 1 2 306.410 1.661 20 30 DDEDLO Cc1nc(N2CCOCC2)cc(NC2=CC(=O)C[C@@H](C)C2)[nH+]1 ZINC001210768750 733274859 /nfs/dbraw/zinc/27/48/59/733274859.db2.gz JWNLLSXKIFXEMX-NSHDSACASA-N 1 2 302.378 1.916 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCCC[C@H]1[C@H]1CCN(CC#N)C1 ZINC001039256035 733382662 /nfs/dbraw/zinc/38/26/62/733382662.db2.gz HNCJMZAOZQXTMH-HOCLYGCPSA-N 1 2 315.421 1.189 20 30 DDEDLO Cc1conc1C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001027830676 738707291 /nfs/dbraw/zinc/70/72/91/738707291.db2.gz GLAWOKDDKUQXSX-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1conc1C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001027830676 738707293 /nfs/dbraw/zinc/70/72/93/738707293.db2.gz GLAWOKDDKUQXSX-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3nn(C)c(C)c3Cl)[C@H]2C1 ZINC001083209582 733388025 /nfs/dbraw/zinc/38/80/25/733388025.db2.gz MRAKRYSGLZHDHE-NWDGAFQWSA-N 1 2 324.812 1.093 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3nn(C)c(C)c3Cl)[C@H]2C1 ZINC001083209582 733388030 /nfs/dbraw/zinc/38/80/30/733388030.db2.gz MRAKRYSGLZHDHE-NWDGAFQWSA-N 1 2 324.812 1.093 20 30 DDEDLO C=CCOC(=O)c1c(N)nn(-c2ccc(-c3[nH]cc[nH+]3)cc2)c1N ZINC001213086744 734896402 /nfs/dbraw/zinc/89/64/02/734896402.db2.gz XQRLRAQPAGLQRT-UHFFFAOYSA-N 1 2 324.344 1.770 20 30 DDEDLO C[C@@H]1CN(c2ccncc2C#N)CC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067441405 735020951 /nfs/dbraw/zinc/02/09/51/735020951.db2.gz YXUVJOISQYDURK-DOMZBBRYSA-N 1 2 324.388 1.250 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nnc(C(C)(C)C)o2)CCC1 ZINC001129142370 751359125 /nfs/dbraw/zinc/35/91/25/751359125.db2.gz IJSGUAQIRAWVTC-UHFFFAOYSA-N 1 2 318.421 1.767 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001024495209 735873078 /nfs/dbraw/zinc/87/30/78/735873078.db2.gz HNBMIROYFLVJRF-GFCCVEGCSA-N 1 2 310.785 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001024495209 735873081 /nfs/dbraw/zinc/87/30/81/735873081.db2.gz HNBMIROYFLVJRF-GFCCVEGCSA-N 1 2 310.785 1.519 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3occc3C[NH+](C)C)C[C@H]21 ZINC001042205348 735990741 /nfs/dbraw/zinc/99/07/41/735990741.db2.gz XAYYCFRYJQQXEF-GDBMZVCRSA-N 1 2 315.417 1.511 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]2[C@H](NC(=O)c3nnc[nH]3)C(C)(C)[C@H]21 ZINC001087308556 736033209 /nfs/dbraw/zinc/03/32/09/736033209.db2.gz SEXYOOMHPMNSMM-WOPDTQHZSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]2[C@H](NC(=O)c3ncn[nH]3)C(C)(C)[C@H]21 ZINC001087308556 736033213 /nfs/dbraw/zinc/03/32/13/736033213.db2.gz SEXYOOMHPMNSMM-WOPDTQHZSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]2[C@H](NC(=O)c3ncn[nH]3)C(C)(C)[C@H]21 ZINC001087308556 736033217 /nfs/dbraw/zinc/03/32/17/736033217.db2.gz SEXYOOMHPMNSMM-WOPDTQHZSA-N 1 2 323.828 1.776 20 30 DDEDLO C#CCC[N@H+]1CCCC[C@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC001024795445 736096605 /nfs/dbraw/zinc/09/66/05/736096605.db2.gz IKKLQQHAENHXTR-AWEZNQCLSA-N 1 2 311.389 1.566 20 30 DDEDLO C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC001024795445 736096607 /nfs/dbraw/zinc/09/66/07/736096607.db2.gz IKKLQQHAENHXTR-AWEZNQCLSA-N 1 2 311.389 1.566 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(NC(=O)CC)c1 ZINC001038316535 736139066 /nfs/dbraw/zinc/13/90/66/736139066.db2.gz BICLORSRWOXXOB-MRXNPFEDSA-N 1 2 313.401 1.863 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(NC(=O)CC)c1 ZINC001038316535 736139070 /nfs/dbraw/zinc/13/90/70/736139070.db2.gz BICLORSRWOXXOB-MRXNPFEDSA-N 1 2 313.401 1.863 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCCC[C@@H]1C[NH2+]Cc1nnn(C)n1 ZINC001025069778 736277023 /nfs/dbraw/zinc/27/70/23/736277023.db2.gz OORVIBOXYZHDFF-CZUORRHYSA-N 1 2 320.441 1.283 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC001038338164 736910919 /nfs/dbraw/zinc/91/09/19/736910919.db2.gz OUQGIRHEZKOBNY-KGLIPLIRSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC001038338164 736910925 /nfs/dbraw/zinc/91/09/25/736910925.db2.gz OUQGIRHEZKOBNY-KGLIPLIRSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1CC[N@H+]1Cc1conc1C ZINC001038346460 737082632 /nfs/dbraw/zinc/08/26/32/737082632.db2.gz XOXDVTHPCLJTDO-OAHLLOKOSA-N 1 2 314.389 1.975 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1CC[N@@H+]1Cc1conc1C ZINC001038346460 737082635 /nfs/dbraw/zinc/08/26/35/737082635.db2.gz XOXDVTHPCLJTDO-OAHLLOKOSA-N 1 2 314.389 1.975 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C=C(C)C)C2)nn1 ZINC001098607840 737219030 /nfs/dbraw/zinc/21/90/30/737219030.db2.gz HCRPWJGRQCJRMC-HNNXBMFYSA-N 1 2 301.394 1.131 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CCC(C)C)C2)nn1 ZINC001098614874 737412702 /nfs/dbraw/zinc/41/27/02/737412702.db2.gz BUXZWWLMTLWMEW-INIZCTEOSA-N 1 2 317.437 1.601 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)NC1CCC(NCC#N)CC1)C2 ZINC001026518171 737630982 /nfs/dbraw/zinc/63/09/82/737630982.db2.gz JRWMQQCAVCKOBR-HSBZDZAISA-N 1 2 315.421 1.364 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)NC1CCC(NCC#N)CC1)CC2 ZINC001026518171 737630983 /nfs/dbraw/zinc/63/09/83/737630983.db2.gz JRWMQQCAVCKOBR-HSBZDZAISA-N 1 2 315.421 1.364 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C3(CC(C)C)CC3)C2)nn1 ZINC001105256638 737671026 /nfs/dbraw/zinc/67/10/26/737671026.db2.gz LMWJKUBBOKYYMZ-UHFFFAOYSA-N 1 2 315.421 1.211 20 30 DDEDLO CC(C)[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001105294252 737744005 /nfs/dbraw/zinc/74/40/05/737744005.db2.gz PVDQOOQRQBECOU-CQSZACIVSA-N 1 2 312.377 1.472 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105309757 737807422 /nfs/dbraw/zinc/80/74/22/737807422.db2.gz BGQCXCFNXZIBCG-LBPRGKRZSA-N 1 2 309.389 1.323 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001105662095 742269547 /nfs/dbraw/zinc/26/95/47/742269547.db2.gz BFVDKVFTPKPIHM-UONOGXRCSA-N 1 2 304.394 1.684 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](CCC)C(C)C)C2)nn1 ZINC001105339570 738309100 /nfs/dbraw/zinc/30/91/00/738309100.db2.gz TZYJTNABDBUQIY-MRXNPFEDSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccn2nnnc2c1 ZINC001028074263 738996386 /nfs/dbraw/zinc/99/63/86/738996386.db2.gz WFPOCOHIOWSMJG-GFCCVEGCSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccn2nnnc2c1 ZINC001028074263 738996388 /nfs/dbraw/zinc/99/63/88/738996388.db2.gz WFPOCOHIOWSMJG-GFCCVEGCSA-N 1 2 320.784 1.071 20 30 DDEDLO C#CCOc1ccc(C(=O)NCCN(C)c2cc[nH+]c(C)n2)cc1 ZINC001100354331 739003868 /nfs/dbraw/zinc/00/38/68/739003868.db2.gz XBQDQSQSTPQWHU-UHFFFAOYSA-N 1 2 324.384 1.663 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CCC[N@H+]2Cc2nocc2C)c1 ZINC001028128114 739069731 /nfs/dbraw/zinc/06/97/31/739069731.db2.gz ASSOFPDEGJCWPH-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2nocc2C)c1 ZINC001028128114 739069734 /nfs/dbraw/zinc/06/97/34/739069734.db2.gz ASSOFPDEGJCWPH-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2ncc(C)o2)c1 ZINC001028126674 739070771 /nfs/dbraw/zinc/07/07/71/739070771.db2.gz OCPQWXWPWPFQBT-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2ncc(C)o2)c1 ZINC001028126674 739070772 /nfs/dbraw/zinc/07/07/72/739070772.db2.gz OCPQWXWPWPFQBT-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc(COC)on1 ZINC001028184090 739133296 /nfs/dbraw/zinc/13/32/96/739133296.db2.gz HGIRCMPSGJIHPG-LLVKDONJSA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(COC)on1 ZINC001028184090 739133300 /nfs/dbraw/zinc/13/33/00/739133300.db2.gz HGIRCMPSGJIHPG-LLVKDONJSA-N 1 2 313.785 1.768 20 30 DDEDLO N#Cc1c(F)cccc1N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001058919336 739167947 /nfs/dbraw/zinc/16/79/47/739167947.db2.gz JTVNVGWOGDHAJR-LBPRGKRZSA-N 1 2 313.336 1.607 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cn(CC2CC2)nn1 ZINC001028228986 739199562 /nfs/dbraw/zinc/19/95/62/739199562.db2.gz DWZHWCQVWIFQTK-CYBMUJFWSA-N 1 2 323.828 1.635 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cn(CC2CC2)nn1 ZINC001028228986 739199565 /nfs/dbraw/zinc/19/95/65/739199565.db2.gz DWZHWCQVWIFQTK-CYBMUJFWSA-N 1 2 323.828 1.635 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)c3ccc(C)cc3)C2)nn1 ZINC001105366567 739392921 /nfs/dbraw/zinc/39/29/21/739392921.db2.gz XKXUCOGEZJEOCU-UHFFFAOYSA-N 1 2 323.400 1.397 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+][C@H](C)c1nnc(CC(C)C)o1 ZINC001126378198 739627525 /nfs/dbraw/zinc/62/75/25/739627525.db2.gz JCNXUHIDTPUPAU-WBMJQRKESA-N 1 2 324.425 1.362 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098696264 740342080 /nfs/dbraw/zinc/34/20/80/740342080.db2.gz PYWVRLUDCBSROU-DZGCQCFKSA-N 1 2 318.421 1.803 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3ccc(F)cc32)C1 ZINC001035486307 751538201 /nfs/dbraw/zinc/53/82/01/751538201.db2.gz AEDOBWHUTOWHGE-DOTOQJQBSA-N 1 2 318.392 1.859 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3ccc(F)cc32)C1 ZINC001035486307 751538203 /nfs/dbraw/zinc/53/82/03/751538203.db2.gz AEDOBWHUTOWHGE-DOTOQJQBSA-N 1 2 318.392 1.859 20 30 DDEDLO CN(CCN(C)c1ncccc1C#N)C(=O)CCc1[nH]cc[nH+]1 ZINC001105394714 740637601 /nfs/dbraw/zinc/63/76/01/740637601.db2.gz IYQMTKDBIOMXJU-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)[C@@H]1CCCOC1 ZINC001038103172 740640850 /nfs/dbraw/zinc/64/08/50/740640850.db2.gz JLRDOZAHZIYDOB-QZTJIDSGSA-N 1 2 312.413 1.655 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)[C@@H]1CCCOC1 ZINC001038103172 740640852 /nfs/dbraw/zinc/64/08/52/740640852.db2.gz JLRDOZAHZIYDOB-QZTJIDSGSA-N 1 2 312.413 1.655 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncn(C(C)(C)C)n2)C1 ZINC001035508187 751564998 /nfs/dbraw/zinc/56/49/98/751564998.db2.gz QLDQFBIOOBFDPD-ZDUSSCGKSA-N 1 2 321.425 1.040 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncn(C(C)(C)C)n2)C1 ZINC001035508187 751565002 /nfs/dbraw/zinc/56/50/02/751565002.db2.gz QLDQFBIOOBFDPD-ZDUSSCGKSA-N 1 2 321.425 1.040 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3(C)CC(=C)C3)C2)nn1 ZINC001098715965 740972776 /nfs/dbraw/zinc/97/27/76/740972776.db2.gz MTSBPEOLIVXOID-HNNXBMFYSA-N 1 2 313.405 1.131 20 30 DDEDLO C=CCn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3C[C@@H](F)CC)nn1 ZINC001029506749 740983417 /nfs/dbraw/zinc/98/34/17/740983417.db2.gz WIAQVEFRICJFAY-MELADBBJSA-N 1 2 321.400 1.501 20 30 DDEDLO C=CCn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3C[C@@H](F)CC)nn1 ZINC001029506749 740983420 /nfs/dbraw/zinc/98/34/20/740983420.db2.gz WIAQVEFRICJFAY-MELADBBJSA-N 1 2 321.400 1.501 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3cc(F)ccc3O2)C1 ZINC001035533337 751614712 /nfs/dbraw/zinc/61/47/12/751614712.db2.gz FVQALYFYFDWXGY-GOEBONIOSA-N 1 2 320.364 1.132 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3cc(F)ccc3O2)C1 ZINC001035533337 751614718 /nfs/dbraw/zinc/61/47/18/751614718.db2.gz FVQALYFYFDWXGY-GOEBONIOSA-N 1 2 320.364 1.132 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2sc(N(C)C)nc2C)C1 ZINC001035531519 751611915 /nfs/dbraw/zinc/61/19/15/751611915.db2.gz UPMJBCGDYCOBJT-GFCCVEGCSA-N 1 2 324.450 1.134 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2sc(N(C)C)nc2C)C1 ZINC001035531519 751611919 /nfs/dbraw/zinc/61/19/19/751611919.db2.gz UPMJBCGDYCOBJT-GFCCVEGCSA-N 1 2 324.450 1.134 20 30 DDEDLO CC(C)n1ncnc1C[N@H+](C)C[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001029676417 741216917 /nfs/dbraw/zinc/21/69/17/741216917.db2.gz AOOHDPFGRVYSLD-KBPBESRZSA-N 1 2 318.425 1.299 20 30 DDEDLO CC(C)n1ncnc1C[N@@H+](C)C[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001029676417 741216920 /nfs/dbraw/zinc/21/69/20/741216920.db2.gz AOOHDPFGRVYSLD-KBPBESRZSA-N 1 2 318.425 1.299 20 30 DDEDLO C=C(C)C(=O)OCCNc1ccc(-n2c[nH+]c(C)c2C)nn1 ZINC001168071209 741237518 /nfs/dbraw/zinc/23/75/18/741237518.db2.gz SDIYURSLRZQTGS-UHFFFAOYSA-N 1 2 301.350 1.810 20 30 DDEDLO C=CCCC(=O)NCC[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001059560579 741299555 /nfs/dbraw/zinc/29/95/55/741299555.db2.gz NIXXAVATGDTHJP-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCCC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001088280918 741319664 /nfs/dbraw/zinc/31/96/64/741319664.db2.gz DKRLUISIGUJVGK-VXGBXAGGSA-N 1 2 307.423 1.559 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnn([C@H](C)CC)c2C)C1 ZINC001035572925 751627972 /nfs/dbraw/zinc/62/79/72/751627972.db2.gz GCPZSNIYVVBRGI-UKRRQHHQSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnn([C@H](C)CC)c2C)C1 ZINC001035572925 751627976 /nfs/dbraw/zinc/62/79/76/751627976.db2.gz GCPZSNIYVVBRGI-UKRRQHHQSA-N 1 2 320.437 1.779 20 30 DDEDLO N#CCN[C@@H]1CCCCCN(C(=O)c2cccc3[nH+]ccn32)C1 ZINC001088346358 741421276 /nfs/dbraw/zinc/42/12/76/741421276.db2.gz MXIJWPXQMLKLNA-CQSZACIVSA-N 1 2 311.389 1.832 20 30 DDEDLO C[C@@H](CC(=O)N1CCCCC[C@H](NCC#N)C1)n1cc[nH+]c1 ZINC001088391440 741457647 /nfs/dbraw/zinc/45/76/47/741457647.db2.gz LAUOPARLFIGMFQ-GJZGRUSLSA-N 1 2 303.410 1.719 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cocn2)CC[N@@H+]1Cc1ccccc1C#N ZINC001088491671 741620009 /nfs/dbraw/zinc/62/00/09/741620009.db2.gz MHOHMZFTNDEXMN-DOMZBBRYSA-N 1 2 310.357 1.939 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cocn2)CC[N@H+]1Cc1ccccc1C#N ZINC001088491671 741620010 /nfs/dbraw/zinc/62/00/10/741620010.db2.gz MHOHMZFTNDEXMN-DOMZBBRYSA-N 1 2 310.357 1.939 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(N(C)C)cc2)C1 ZINC001035588020 751681451 /nfs/dbraw/zinc/68/14/51/751681451.db2.gz WDVIAZBJFPYOCI-MRXNPFEDSA-N 1 2 303.406 1.369 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(N(C)C)cc2)C1 ZINC001035588020 751681457 /nfs/dbraw/zinc/68/14/57/751681457.db2.gz WDVIAZBJFPYOCI-MRXNPFEDSA-N 1 2 303.406 1.369 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001014445175 742184688 /nfs/dbraw/zinc/18/46/88/742184688.db2.gz WPGSDJUMUBYLIE-NEPJUHHUSA-N 1 2 307.419 1.678 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001014445175 742184690 /nfs/dbraw/zinc/18/46/90/742184690.db2.gz WPGSDJUMUBYLIE-NEPJUHHUSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C3CC3)c2Cl)[C@H]1C ZINC001088704947 742218969 /nfs/dbraw/zinc/21/89/69/742218969.db2.gz MQCHXJSTXIRTRJ-KOLCDFICSA-N 1 2 306.797 1.766 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C3CC3)c2Cl)[C@H]1C ZINC001088704947 742218971 /nfs/dbraw/zinc/21/89/71/742218971.db2.gz MQCHXJSTXIRTRJ-KOLCDFICSA-N 1 2 306.797 1.766 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2nc3cccnc3s2)[C@@H](O)C1 ZINC001083526944 742467616 /nfs/dbraw/zinc/46/76/16/742467616.db2.gz SFVAEVJQBJMPDD-NEPJUHHUSA-N 1 2 318.402 1.042 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2nc3cccnc3s2)[C@@H](O)C1 ZINC001083526944 742467619 /nfs/dbraw/zinc/46/76/19/742467619.db2.gz SFVAEVJQBJMPDD-NEPJUHHUSA-N 1 2 318.402 1.042 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1[nH]cc[nH+]1)NC(=O)C#CC(C)(C)C ZINC001076321391 742665421 /nfs/dbraw/zinc/66/54/21/742665421.db2.gz DLUPZMNBCQGITL-ZDUSSCGKSA-N 1 2 318.421 1.403 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)c3ccoc3)C2)cc1 ZINC001076743140 742962407 /nfs/dbraw/zinc/96/24/07/742962407.db2.gz SOSIMOOLTNSDKV-HZPDHXFCSA-N 1 2 311.341 1.126 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)c3ccoc3)C2)cc1 ZINC001076743140 742962412 /nfs/dbraw/zinc/96/24/12/742962412.db2.gz SOSIMOOLTNSDKV-HZPDHXFCSA-N 1 2 311.341 1.126 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@H+](CC#Cc2cccc(Cl)c2)C[C@H]1O ZINC001076755684 742970920 /nfs/dbraw/zinc/97/09/20/742970920.db2.gz LKTNGUUNMJNDBM-HZPDHXFCSA-N 1 2 320.820 1.509 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2cccc(Cl)c2)C[C@H]1O ZINC001076755684 742970927 /nfs/dbraw/zinc/97/09/27/742970927.db2.gz LKTNGUUNMJNDBM-HZPDHXFCSA-N 1 2 320.820 1.509 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)c2cccnc2)C1 ZINC001108042777 743038549 /nfs/dbraw/zinc/03/85/49/743038549.db2.gz VUBKARVBBKAOGJ-SFHVURJKSA-N 1 2 317.433 1.752 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)c2cccnc2)C1 ZINC001108042777 743038557 /nfs/dbraw/zinc/03/85/57/743038557.db2.gz VUBKARVBBKAOGJ-SFHVURJKSA-N 1 2 317.433 1.752 20 30 DDEDLO COC(=O)c1scc(C#N)c1NC(=O)Cc1cc[nH+]c(N)c1 ZINC001181239921 743106578 /nfs/dbraw/zinc/10/65/78/743106578.db2.gz HMXQOOAXHOKCEX-UHFFFAOYSA-N 1 2 316.342 1.565 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccoc2C(F)(F)F)C1 ZINC001077061910 743205682 /nfs/dbraw/zinc/20/56/82/743205682.db2.gz OVADAWYLBWMBNF-GHMZBOCLSA-N 1 2 316.279 1.097 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccoc2C(F)(F)F)C1 ZINC001077061910 743205687 /nfs/dbraw/zinc/20/56/87/743205687.db2.gz OVADAWYLBWMBNF-GHMZBOCLSA-N 1 2 316.279 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](C)SC)c2C1 ZINC001128228374 743336226 /nfs/dbraw/zinc/33/62/26/743336226.db2.gz GCPNEENLAOCTFU-GFCCVEGCSA-N 1 2 308.451 1.643 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H](C)SC)c2C1 ZINC001128228374 743336235 /nfs/dbraw/zinc/33/62/35/743336235.db2.gz GCPNEENLAOCTFU-GFCCVEGCSA-N 1 2 308.451 1.643 20 30 DDEDLO CC/C=C\CC[N@@H+]1CCn2ncc(CNC(=O)[C@H](C)C#N)c2C1 ZINC001128265151 743415719 /nfs/dbraw/zinc/41/57/19/743415719.db2.gz UYTIFPYQQFGDCU-ZRUQZJFASA-N 1 2 315.421 1.831 20 30 DDEDLO CC/C=C\CC[N@H+]1CCn2ncc(CNC(=O)[C@H](C)C#N)c2C1 ZINC001128265151 743415724 /nfs/dbraw/zinc/41/57/24/743415724.db2.gz UYTIFPYQQFGDCU-ZRUQZJFASA-N 1 2 315.421 1.831 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@H]2CCOC2)s1 ZINC001038097111 743544661 /nfs/dbraw/zinc/54/46/61/743544661.db2.gz QIORFVCNQLAQOF-NWDGAFQWSA-N 1 2 305.403 1.347 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@H]2CCOC2)s1 ZINC001038097111 743544667 /nfs/dbraw/zinc/54/46/67/743544667.db2.gz QIORFVCNQLAQOF-NWDGAFQWSA-N 1 2 305.403 1.347 20 30 DDEDLO CN(CC#N)C(=O)C[N@@H+]1CCC[C@@H](N(C)C(=O)OC(C)(C)C)C1 ZINC001182270574 743544780 /nfs/dbraw/zinc/54/47/80/743544780.db2.gz RZIAHGLQMUHSOW-CYBMUJFWSA-N 1 2 324.425 1.300 20 30 DDEDLO CN(CC#N)C(=O)C[N@H+]1CCC[C@@H](N(C)C(=O)OC(C)(C)C)C1 ZINC001182270574 743544787 /nfs/dbraw/zinc/54/47/87/743544787.db2.gz RZIAHGLQMUHSOW-CYBMUJFWSA-N 1 2 324.425 1.300 20 30 DDEDLO C#CCCCCC(=O)NCc1cnn2c1C[N@H+](CCC)CC2 ZINC001128316011 743564369 /nfs/dbraw/zinc/56/43/69/743564369.db2.gz FDBUTMHGPFFOQR-UHFFFAOYSA-N 1 2 302.422 1.919 20 30 DDEDLO C#CCCCCC(=O)NCc1cnn2c1C[N@@H+](CCC)CC2 ZINC001128316011 743564371 /nfs/dbraw/zinc/56/43/71/743564371.db2.gz FDBUTMHGPFFOQR-UHFFFAOYSA-N 1 2 302.422 1.919 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2ccc[nH]2)cc1C#N ZINC001038051855 743642995 /nfs/dbraw/zinc/64/29/95/743642995.db2.gz LYIDVPCUNLDDAV-HNNXBMFYSA-N 1 2 324.384 1.899 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2ccc[nH]2)cc1C#N ZINC001038051855 743642996 /nfs/dbraw/zinc/64/29/96/743642996.db2.gz LYIDVPCUNLDDAV-HNNXBMFYSA-N 1 2 324.384 1.899 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc(C(F)(F)F)nc1 ZINC001038383729 743690069 /nfs/dbraw/zinc/69/00/69/743690069.db2.gz UXVOLIFYZVQFQA-LLVKDONJSA-N 1 2 312.295 1.323 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc(C(F)(F)F)nc1 ZINC001038383729 743690072 /nfs/dbraw/zinc/69/00/72/743690072.db2.gz UXVOLIFYZVQFQA-LLVKDONJSA-N 1 2 312.295 1.323 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105719172 743758178 /nfs/dbraw/zinc/75/81/78/743758178.db2.gz AAXPZTPDGODVCJ-LSDHHAIUSA-N 1 2 324.465 1.783 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+]Cc1nncs1 ZINC001127128849 743843724 /nfs/dbraw/zinc/84/37/24/743843724.db2.gz GZYFPDCHBPCXHU-UHFFFAOYSA-N 1 2 312.439 1.507 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1=O ZINC001038619826 751869831 /nfs/dbraw/zinc/86/98/31/751869831.db2.gz KCPLXRGKIIPHBI-CWVYHPPDSA-N 1 2 317.433 1.010 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1=O ZINC001038619826 751869842 /nfs/dbraw/zinc/86/98/42/751869842.db2.gz KCPLXRGKIIPHBI-CWVYHPPDSA-N 1 2 317.433 1.010 20 30 DDEDLO Cc1cc(NC[C@H](O)CNC(=O)C#CC2CC2)nc(C(C)C)[nH+]1 ZINC001105825516 743942577 /nfs/dbraw/zinc/94/25/77/743942577.db2.gz IFDYYDYPWRLLDJ-AWEZNQCLSA-N 1 2 316.405 1.211 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001107985956 751886676 /nfs/dbraw/zinc/88/66/76/751886676.db2.gz VVXYAVZJBRBYOL-TZMCWYRMSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001107985956 751886686 /nfs/dbraw/zinc/88/66/86/751886686.db2.gz VVXYAVZJBRBYOL-TZMCWYRMSA-N 1 2 302.365 1.815 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001185070569 744155141 /nfs/dbraw/zinc/15/51/41/744155141.db2.gz HFXXUTJCVDFSBK-CHWSQXEVSA-N 1 2 306.410 1.925 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnc(C)cn1 ZINC001110378716 744375372 /nfs/dbraw/zinc/37/53/72/744375372.db2.gz CUULXENARNEIJQ-OAGGEKHMSA-N 1 2 300.406 1.973 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnc(C)cn1 ZINC001110378716 744375375 /nfs/dbraw/zinc/37/53/75/744375375.db2.gz CUULXENARNEIJQ-OAGGEKHMSA-N 1 2 300.406 1.973 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2COc3ccc(Cl)cc32)C1 ZINC001030678185 744437332 /nfs/dbraw/zinc/43/73/32/744437332.db2.gz KWKPAPIOSSRCJM-CQSZACIVSA-N 1 2 304.777 1.640 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001187569457 744583035 /nfs/dbraw/zinc/58/30/35/744583035.db2.gz MFKPHUAAFLRBGU-JKSUJKDBSA-N 1 2 312.417 1.703 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001187569457 744583038 /nfs/dbraw/zinc/58/30/38/744583038.db2.gz MFKPHUAAFLRBGU-JKSUJKDBSA-N 1 2 312.417 1.703 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001187763319 744607558 /nfs/dbraw/zinc/60/75/58/744607558.db2.gz PHGRQOKVRYIAJU-YSVLISHTSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001187763319 744607561 /nfs/dbraw/zinc/60/75/61/744607561.db2.gz PHGRQOKVRYIAJU-YSVLISHTSA-N 1 2 319.405 1.343 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@]12CCC[C@@H]1N(CC#N)CC2 ZINC000992224448 744705400 /nfs/dbraw/zinc/70/54/00/744705400.db2.gz WLINBPWUSMEWMI-LGWLGOQQSA-N 1 2 313.405 1.160 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ccon2)C1 ZINC001189243002 744852422 /nfs/dbraw/zinc/85/24/22/744852422.db2.gz OMLDJWVEUBPERC-DZGCQCFKSA-N 1 2 307.394 1.689 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccon2)C1 ZINC001189243002 744852424 /nfs/dbraw/zinc/85/24/24/744852424.db2.gz OMLDJWVEUBPERC-DZGCQCFKSA-N 1 2 307.394 1.689 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001189363589 744886074 /nfs/dbraw/zinc/88/60/74/744886074.db2.gz MZWWVGXQDLKJJF-AWEZNQCLSA-N 1 2 307.394 1.527 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001189363589 744886076 /nfs/dbraw/zinc/88/60/76/744886076.db2.gz MZWWVGXQDLKJJF-AWEZNQCLSA-N 1 2 307.394 1.527 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NCC1(Nc2ccc(C#N)cn2)CC1 ZINC001110410020 744915193 /nfs/dbraw/zinc/91/51/93/744915193.db2.gz CGPZVVOVMKVMFP-UHFFFAOYSA-N 1 2 324.388 1.380 20 30 DDEDLO COc1cc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)on1 ZINC001190053387 745090679 /nfs/dbraw/zinc/09/06/79/745090679.db2.gz HWQSXKFYVZKNBY-CYBMUJFWSA-N 1 2 305.378 1.375 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)on1 ZINC001190053387 745090686 /nfs/dbraw/zinc/09/06/86/745090686.db2.gz HWQSXKFYVZKNBY-CYBMUJFWSA-N 1 2 305.378 1.375 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)CC=C(Cl)Cl)C1 ZINC001190104148 745100334 /nfs/dbraw/zinc/10/03/34/745100334.db2.gz DGPPXNKIQCLRPP-NEPJUHHUSA-N 1 2 319.232 1.876 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)CC=C(Cl)Cl)C1 ZINC001190104148 745100337 /nfs/dbraw/zinc/10/03/37/745100337.db2.gz DGPPXNKIQCLRPP-NEPJUHHUSA-N 1 2 319.232 1.876 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)(C)C)C2)nn1C ZINC001190076879 745105643 /nfs/dbraw/zinc/10/56/43/745105643.db2.gz WSUYQTZIARPVQM-INIZCTEOSA-N 1 2 316.449 1.811 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)(C)C)C2)nn1C ZINC001190076879 745105647 /nfs/dbraw/zinc/10/56/47/745105647.db2.gz WSUYQTZIARPVQM-INIZCTEOSA-N 1 2 316.449 1.811 20 30 DDEDLO C=CCCC(=O)NCC[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001060462491 745140099 /nfs/dbraw/zinc/14/00/99/745140099.db2.gz DJEDRSXLYRZEFX-ZDUSSCGKSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001060463881 745155365 /nfs/dbraw/zinc/15/53/65/745155365.db2.gz IZWYNMNLBKXRSO-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NC[C@H](CO)Nc1cc[nH+]c(C)n1 ZINC001122141833 745172609 /nfs/dbraw/zinc/17/26/09/745172609.db2.gz ULMYYCXDUUGVFE-LLVKDONJSA-N 1 2 312.801 1.453 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001190441550 745221422 /nfs/dbraw/zinc/22/14/22/745221422.db2.gz VYCFDWONKNYSQU-VNHYZAJKSA-N 1 2 320.393 1.002 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001190441550 745221425 /nfs/dbraw/zinc/22/14/25/745221425.db2.gz VYCFDWONKNYSQU-VNHYZAJKSA-N 1 2 320.393 1.002 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cc(C#N)ccc1F ZINC001190636718 745281599 /nfs/dbraw/zinc/28/15/99/745281599.db2.gz TVHOQHQCFCWVSA-TXEJJXNPSA-N 1 2 309.366 1.164 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cc(C#N)ccc1F ZINC001190636718 745281603 /nfs/dbraw/zinc/28/16/03/745281603.db2.gz TVHOQHQCFCWVSA-TXEJJXNPSA-N 1 2 309.366 1.164 20 30 DDEDLO CC(C)CCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001190930708 745374603 /nfs/dbraw/zinc/37/46/03/745374603.db2.gz YDVVNBKCGQGYQQ-QZTJIDSGSA-N 1 2 314.429 1.636 20 30 DDEDLO CC(C)CCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001190930708 745374608 /nfs/dbraw/zinc/37/46/08/745374608.db2.gz YDVVNBKCGQGYQQ-QZTJIDSGSA-N 1 2 314.429 1.636 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CN2CCCC2=O)[C@@H]1C ZINC000993035018 745394955 /nfs/dbraw/zinc/39/49/55/745394955.db2.gz LEPSAVVPYVBLSH-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CN2CCCC2=O)[C@@H]1C ZINC000993035018 745394958 /nfs/dbraw/zinc/39/49/58/745394958.db2.gz LEPSAVVPYVBLSH-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO COc1cc(N2CCN(C(=O)c3ccnc(C#N)c3)CC2)cc[nH+]1 ZINC001191549671 745547126 /nfs/dbraw/zinc/54/71/26/745547126.db2.gz QBVSGFYMGFJAPO-UHFFFAOYSA-N 1 2 323.356 1.319 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccccc2CC(=O)OC)CC1 ZINC001191836237 745625621 /nfs/dbraw/zinc/62/56/21/745625621.db2.gz SMZDTDMFHGIQLK-UHFFFAOYSA-N 1 2 302.374 1.346 20 30 DDEDLO CCC[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191897250 745642044 /nfs/dbraw/zinc/64/20/44/745642044.db2.gz DDIHCSNRXHTMIT-KCPJHIHWSA-N 1 2 321.446 1.717 20 30 DDEDLO CCC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191897250 745642046 /nfs/dbraw/zinc/64/20/46/745642046.db2.gz DDIHCSNRXHTMIT-KCPJHIHWSA-N 1 2 321.446 1.717 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C[C@@H](C)C3CC3)C2)nn1 ZINC001098785882 745698463 /nfs/dbraw/zinc/69/84/63/745698463.db2.gz ZTFJJUPMOPFIPV-CZUORRHYSA-N 1 2 315.421 1.211 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N(C)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC001193138228 745993244 /nfs/dbraw/zinc/99/32/44/745993244.db2.gz IWRHYCKNDYKJDR-LBPRGKRZSA-N 1 2 304.375 1.546 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2snnc2CC)[C@@H]1C ZINC000993571552 746210331 /nfs/dbraw/zinc/21/03/31/746210331.db2.gz NGIGGCGXDJPZII-AAEUAGOBSA-N 1 2 306.435 1.707 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2snnc2CC)[C@@H]1C ZINC000993571552 746210335 /nfs/dbraw/zinc/21/03/35/746210335.db2.gz NGIGGCGXDJPZII-AAEUAGOBSA-N 1 2 306.435 1.707 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CCCN1CC#N ZINC000994143376 746463473 /nfs/dbraw/zinc/46/34/73/746463473.db2.gz FNWROSQTYYJODZ-YOEHRIQHSA-N 1 2 323.400 1.979 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H]2CC=CCC2)CC1 ZINC001194802734 746463854 /nfs/dbraw/zinc/46/38/54/746463854.db2.gz PXCBQKHEEDVGKC-HZPDHXFCSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@H]2CC=CCC2)CC1 ZINC001194802734 746463857 /nfs/dbraw/zinc/46/38/57/746463857.db2.gz PXCBQKHEEDVGKC-HZPDHXFCSA-N 1 2 317.433 1.015 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[N@H+](Cc2conc2C)CC1 ZINC001195174195 746544732 /nfs/dbraw/zinc/54/47/32/746544732.db2.gz JBNYSFSEJSLHBC-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2conc2C)CC1 ZINC001195174195 746544736 /nfs/dbraw/zinc/54/47/36/746544736.db2.gz JBNYSFSEJSLHBC-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@]2(C)CC=CCC2)CC1 ZINC001195934639 746740632 /nfs/dbraw/zinc/74/06/32/746740632.db2.gz DKNDHKZBEHASFL-SFHVURJKSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@]2(C)CC=CCC2)CC1 ZINC001195934639 746740636 /nfs/dbraw/zinc/74/06/36/746740636.db2.gz DKNDHKZBEHASFL-SFHVURJKSA-N 1 2 319.449 1.569 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CCCCCC(C)C)C1 ZINC001196677501 746933462 /nfs/dbraw/zinc/93/34/62/746933462.db2.gz ZKMFPDKVWRUMHE-IAGOWNOFSA-N 1 2 324.465 1.404 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)CCCCCC(C)C)C1 ZINC001196677501 746933465 /nfs/dbraw/zinc/93/34/65/746933465.db2.gz ZKMFPDKVWRUMHE-IAGOWNOFSA-N 1 2 324.465 1.404 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001196732045 746951786 /nfs/dbraw/zinc/95/17/86/746951786.db2.gz LRPMPRCHQPZBOC-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001196732045 746951789 /nfs/dbraw/zinc/95/17/89/746951789.db2.gz LRPMPRCHQPZBOC-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)[C@@H]3CCCO3)C2)cc1F ZINC001031535612 746978467 /nfs/dbraw/zinc/97/84/67/746978467.db2.gz XULICBBPMPOZGU-INIZCTEOSA-N 1 2 317.364 1.424 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001197092476 747047839 /nfs/dbraw/zinc/04/78/39/747047839.db2.gz MDBRLBFBNAETEV-BZUAXINKSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001197092476 747047845 /nfs/dbraw/zinc/04/78/45/747047845.db2.gz MDBRLBFBNAETEV-BZUAXINKSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001197179546 747077186 /nfs/dbraw/zinc/07/71/86/747077186.db2.gz AZWFPWSFIQFCFF-GFCCVEGCSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001197179546 747077194 /nfs/dbraw/zinc/07/71/94/747077194.db2.gz AZWFPWSFIQFCFF-GFCCVEGCSA-N 1 2 317.380 1.114 20 30 DDEDLO CC(F)(F)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001089996575 747109606 /nfs/dbraw/zinc/10/96/06/747109606.db2.gz HVZBSHJQDOJMFW-UONOGXRCSA-N 1 2 323.343 1.265 20 30 DDEDLO CC(F)(F)C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001089996575 747109609 /nfs/dbraw/zinc/10/96/09/747109609.db2.gz HVZBSHJQDOJMFW-UONOGXRCSA-N 1 2 323.343 1.265 20 30 DDEDLO C=CC1(CC(=O)N2CCC[N@@H+](CC(=O)NC)CC2)CCCCC1 ZINC001197440942 747151211 /nfs/dbraw/zinc/15/12/11/747151211.db2.gz UFSOFXBODIFJAO-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC1(CC(=O)N2CCC[N@H+](CC(=O)NC)CC2)CCCCC1 ZINC001197440942 747151212 /nfs/dbraw/zinc/15/12/12/747151212.db2.gz UFSOFXBODIFJAO-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2csc(C(F)(F)F)n2)C1 ZINC001044140426 747221519 /nfs/dbraw/zinc/22/15/19/747221519.db2.gz SVSIBZLCOODUAF-UHFFFAOYSA-N 1 2 317.336 1.941 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=S)Nc1cccc(C#N)c1 ZINC001197736439 747254505 /nfs/dbraw/zinc/25/45/05/747254505.db2.gz SURDEAKJZQNIJU-LBPRGKRZSA-N 1 2 304.419 1.565 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=S)Nc1cccc(C#N)c1 ZINC001197736439 747254511 /nfs/dbraw/zinc/25/45/11/747254511.db2.gz SURDEAKJZQNIJU-LBPRGKRZSA-N 1 2 304.419 1.565 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](CC)CC(C)C)CC1 ZINC001197738897 747255243 /nfs/dbraw/zinc/25/52/43/747255243.db2.gz RDWXBDSBHATHIH-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](CC)CC(C)C)CC1 ZINC001197738897 747255250 /nfs/dbraw/zinc/25/52/50/747255250.db2.gz RDWXBDSBHATHIH-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnc(C)cn1 ZINC001110497349 747314235 /nfs/dbraw/zinc/31/42/35/747314235.db2.gz TWQDCWWTECRVIP-ZACQAIPSSA-N 1 2 312.417 1.810 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnc(C)cn1 ZINC001110497349 747314239 /nfs/dbraw/zinc/31/42/39/747314239.db2.gz TWQDCWWTECRVIP-ZACQAIPSSA-N 1 2 312.417 1.810 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2CCCCC2)[C@@H](O)C1 ZINC001090024184 747352812 /nfs/dbraw/zinc/35/28/12/747352812.db2.gz ZRIAJJRUISSBJH-KGLIPLIRSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2CCCCC2)[C@@H](O)C1 ZINC001090024184 747352816 /nfs/dbraw/zinc/35/28/16/747352816.db2.gz ZRIAJJRUISSBJH-KGLIPLIRSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC001003655887 747357883 /nfs/dbraw/zinc/35/78/83/747357883.db2.gz QDGYDMYCTACVEI-CABCVRRESA-N 1 2 321.446 1.815 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2nc(C)c(C)s2)CC1 ZINC001198129625 747374029 /nfs/dbraw/zinc/37/40/29/747374029.db2.gz SNNJADUZHOFGIF-UHFFFAOYSA-N 1 2 321.446 1.558 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2nc(C)c(C)s2)CC1 ZINC001198129625 747374034 /nfs/dbraw/zinc/37/40/34/747374034.db2.gz SNNJADUZHOFGIF-UHFFFAOYSA-N 1 2 321.446 1.558 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212253849 747391531 /nfs/dbraw/zinc/39/15/31/747391531.db2.gz KBAWMBRUFVKKCX-HZPDHXFCSA-N 1 2 315.417 1.447 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212253849 747391539 /nfs/dbraw/zinc/39/15/39/747391539.db2.gz KBAWMBRUFVKKCX-HZPDHXFCSA-N 1 2 315.417 1.447 20 30 DDEDLO C#CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1snnc1C ZINC001089607425 747444756 /nfs/dbraw/zinc/44/47/56/747444756.db2.gz LCNKWDHNJIVDOE-LBPRGKRZSA-N 1 2 306.435 1.700 20 30 DDEDLO C#CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1snnc1C ZINC001089607425 747444757 /nfs/dbraw/zinc/44/47/57/747444757.db2.gz LCNKWDHNJIVDOE-LBPRGKRZSA-N 1 2 306.435 1.700 20 30 DDEDLO CC#CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1cnns1 ZINC001089609502 747454695 /nfs/dbraw/zinc/45/46/95/747454695.db2.gz GXTPEWZIYXHLDK-LBPRGKRZSA-N 1 2 306.435 1.782 20 30 DDEDLO CC#CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1cnns1 ZINC001089609502 747454699 /nfs/dbraw/zinc/45/46/99/747454699.db2.gz GXTPEWZIYXHLDK-LBPRGKRZSA-N 1 2 306.435 1.782 20 30 DDEDLO CC[N@H+](Cc1ncc(Cl)n1C)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152449520 747490025 /nfs/dbraw/zinc/49/00/25/747490025.db2.gz CQUXBCSZSWFKOF-WDEREUQCSA-N 1 2 311.817 1.560 20 30 DDEDLO CC[N@@H+](Cc1ncc(Cl)n1C)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152449520 747490031 /nfs/dbraw/zinc/49/00/31/747490031.db2.gz CQUXBCSZSWFKOF-WDEREUQCSA-N 1 2 311.817 1.560 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2Cc3ccccc3O2)C1 ZINC001108059937 747501327 /nfs/dbraw/zinc/50/13/27/747501327.db2.gz RFVDEFZXPANJOD-FUHWJXTLSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2Cc3ccccc3O2)C1 ZINC001108059937 747501331 /nfs/dbraw/zinc/50/13/31/747501331.db2.gz RFVDEFZXPANJOD-FUHWJXTLSA-N 1 2 316.401 1.383 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCO[C@H]2C(C)C)C1 ZINC001031723816 747538738 /nfs/dbraw/zinc/53/87/38/747538738.db2.gz VWEGRURIATUYQY-KBPBESRZSA-N 1 2 300.830 1.848 20 30 DDEDLO C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCCC[N@H+]1C ZINC001089657275 747600806 /nfs/dbraw/zinc/60/08/06/747600806.db2.gz BWSHSUXLEGKEKB-CVEARBPZSA-N 1 2 305.466 1.711 20 30 DDEDLO C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCCC[N@@H+]1C ZINC001089657275 747600810 /nfs/dbraw/zinc/60/08/10/747600810.db2.gz BWSHSUXLEGKEKB-CVEARBPZSA-N 1 2 305.466 1.711 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(NC(=O)C(C)(C)n2c[nH+]c(C)c2)C1 ZINC000994844314 747609680 /nfs/dbraw/zinc/60/96/80/747609680.db2.gz GDPZIYSAGNEROL-UHFFFAOYSA-N 1 2 318.421 1.466 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](C/C=C\Cl)C[C@H]2O)CCCCC1 ZINC001199278248 747788708 /nfs/dbraw/zinc/78/87/08/747788708.db2.gz UVGXPCIAKYLJDC-XCTAYMRMSA-N 1 2 324.852 1.874 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](C/C=C\Cl)C[C@H]2O)CCCCC1 ZINC001199278248 747788715 /nfs/dbraw/zinc/78/87/15/747788715.db2.gz UVGXPCIAKYLJDC-XCTAYMRMSA-N 1 2 324.852 1.874 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001032992054 747872138 /nfs/dbraw/zinc/87/21/38/747872138.db2.gz NXTWYDWDAXHVPA-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001032992054 747872147 /nfs/dbraw/zinc/87/21/47/747872147.db2.gz NXTWYDWDAXHVPA-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001033021787 747945103 /nfs/dbraw/zinc/94/51/03/747945103.db2.gz DTHJHOPRHFEOQH-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001033021787 747945106 /nfs/dbraw/zinc/94/51/06/747945106.db2.gz DTHJHOPRHFEOQH-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(Cl)c[nH]2)[C@H](O)C1 ZINC001090077742 748094010 /nfs/dbraw/zinc/09/40/10/748094010.db2.gz WSOJAWXWAYSOPK-CMPLNLGQSA-N 1 2 318.204 1.586 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(Cl)c[nH]2)[C@H](O)C1 ZINC001090077742 748094015 /nfs/dbraw/zinc/09/40/15/748094015.db2.gz WSOJAWXWAYSOPK-CMPLNLGQSA-N 1 2 318.204 1.586 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001200084343 748131043 /nfs/dbraw/zinc/13/10/43/748131043.db2.gz VXHVTNKMCYCDNG-CYBMUJFWSA-N 1 2 310.423 1.211 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2C[NH+](Cc3cnc(C)o3)C2)c1 ZINC001031963248 748310871 /nfs/dbraw/zinc/31/08/71/748310871.db2.gz IITZMNDSPMRAAD-UHFFFAOYSA-N 1 2 310.357 1.221 20 30 DDEDLO Cc1ocnc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004375746 748382118 /nfs/dbraw/zinc/38/21/18/748382118.db2.gz SHKMFDDNZXXANC-AWEZNQCLSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1ocnc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004375746 748382122 /nfs/dbraw/zinc/38/21/22/748382122.db2.gz SHKMFDDNZXXANC-AWEZNQCLSA-N 1 2 302.378 1.823 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccnc3ccnn32)C1 ZINC001033136005 748504894 /nfs/dbraw/zinc/50/48/94/748504894.db2.gz QGVOMYVFZHGJMD-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccnc3ccnn32)C1 ZINC001033136005 748504896 /nfs/dbraw/zinc/50/48/96/748504896.db2.gz QGVOMYVFZHGJMD-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO CCn1cc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)nn1 ZINC001004592656 748572943 /nfs/dbraw/zinc/57/29/43/748572943.db2.gz NQOVPIMFJUZSES-HNNXBMFYSA-N 1 2 316.409 1.138 20 30 DDEDLO CCn1cc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)nn1 ZINC001004592656 748572950 /nfs/dbraw/zinc/57/29/50/748572950.db2.gz NQOVPIMFJUZSES-HNNXBMFYSA-N 1 2 316.409 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001033178763 748727024 /nfs/dbraw/zinc/72/70/24/748727024.db2.gz YQJATQLHFGAIIK-STQMWFEESA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001033178763 748727027 /nfs/dbraw/zinc/72/70/27/748727027.db2.gz YQJATQLHFGAIIK-STQMWFEESA-N 1 2 313.829 1.140 20 30 DDEDLO C=CCC(C)(C)C(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111569652 748911864 /nfs/dbraw/zinc/91/18/64/748911864.db2.gz GTNHKTKEJMAPTL-UHFFFAOYSA-N 1 2 306.410 1.620 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnn3cccnc23)C1 ZINC001033321508 749187211 /nfs/dbraw/zinc/18/72/11/749187211.db2.gz GJSXVPIEHGJZHF-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnn3cccnc23)C1 ZINC001033321508 749187214 /nfs/dbraw/zinc/18/72/14/749187214.db2.gz GJSXVPIEHGJZHF-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H](C)OCC=C)c2C1 ZINC001128602388 749242713 /nfs/dbraw/zinc/24/27/13/749242713.db2.gz GWPCFAIVNNOBBW-AWEZNQCLSA-N 1 2 318.421 1.482 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H](C)OCC=C)c2C1 ZINC001128602388 749242718 /nfs/dbraw/zinc/24/27/18/749242718.db2.gz GWPCFAIVNNOBBW-AWEZNQCLSA-N 1 2 318.421 1.482 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)nc2)C[C@H]1OC ZINC001212349453 749398995 /nfs/dbraw/zinc/39/89/95/749398995.db2.gz WSVMCXTUGHOQKX-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)nc2)C[C@H]1OC ZINC001212349453 749399013 /nfs/dbraw/zinc/39/90/13/749399013.db2.gz WSVMCXTUGHOQKX-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCNC(=O)N1CCC2(CC1)c1ncc(C)n1CC[N@H+]2CC ZINC001202564046 749434079 /nfs/dbraw/zinc/43/40/79/749434079.db2.gz KAZDNJOKFKUNCB-UHFFFAOYSA-N 1 2 317.437 1.714 20 30 DDEDLO C=CCNC(=O)N1CCC2(CC1)c1ncc(C)n1CC[N@@H+]2CC ZINC001202564046 749434084 /nfs/dbraw/zinc/43/40/84/749434084.db2.gz KAZDNJOKFKUNCB-UHFFFAOYSA-N 1 2 317.437 1.714 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(C)CCCC3)nn2)C1 ZINC001107187100 749514710 /nfs/dbraw/zinc/51/47/10/749514710.db2.gz NYXXUCHVWXJXDW-UHFFFAOYSA-N 1 2 303.410 1.517 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)COc2ccsc2)C1 ZINC001108345178 761963369 /nfs/dbraw/zinc/96/33/69/761963369.db2.gz XYILKFSAGCSETM-OAHLLOKOSA-N 1 2 310.419 1.520 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)COc2ccsc2)C1 ZINC001108345178 761963372 /nfs/dbraw/zinc/96/33/72/761963372.db2.gz XYILKFSAGCSETM-OAHLLOKOSA-N 1 2 310.419 1.520 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccc(C#N)cc2)[nH]n1 ZINC001032299542 749628256 /nfs/dbraw/zinc/62/82/56/749628256.db2.gz IOYNPCQAICZMKZ-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccc(C#N)cc2)[nH]n1 ZINC001032299542 749628259 /nfs/dbraw/zinc/62/82/59/749628259.db2.gz IOYNPCQAICZMKZ-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccc(C#N)cc2)n[nH]1 ZINC001032299542 749628264 /nfs/dbraw/zinc/62/82/64/749628264.db2.gz IOYNPCQAICZMKZ-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccc(C#N)cc2)n[nH]1 ZINC001032299542 749628269 /nfs/dbraw/zinc/62/82/69/749628269.db2.gz IOYNPCQAICZMKZ-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001033687915 749719498 /nfs/dbraw/zinc/71/94/98/749719498.db2.gz GNGFYURTHNBCQQ-OLZOCXBDSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001033687915 749719503 /nfs/dbraw/zinc/71/95/03/749719503.db2.gz GNGFYURTHNBCQQ-OLZOCXBDSA-N 1 2 313.829 1.140 20 30 DDEDLO CCc1cnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)o1 ZINC001111660796 749765694 /nfs/dbraw/zinc/76/56/94/749765694.db2.gz XUOVMUXNTIBLLS-DCGLDWPTSA-N 1 2 316.405 1.867 20 30 DDEDLO CCc1cnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)o1 ZINC001111660796 749765700 /nfs/dbraw/zinc/76/57/00/749765700.db2.gz XUOVMUXNTIBLLS-DCGLDWPTSA-N 1 2 316.405 1.867 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cc[n+]([O-])cc2)C1 ZINC001033738105 749802165 /nfs/dbraw/zinc/80/21/65/749802165.db2.gz YAKQEXUQXQZDBS-AWEZNQCLSA-N 1 2 309.797 1.609 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cc[n+]([O-])cc2)C1 ZINC001033738105 749802169 /nfs/dbraw/zinc/80/21/69/749802169.db2.gz YAKQEXUQXQZDBS-AWEZNQCLSA-N 1 2 309.797 1.609 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001108368269 761987361 /nfs/dbraw/zinc/98/73/61/761987361.db2.gz WZGIAGUGJRZUGC-QAPCUYQASA-N 1 2 315.417 1.190 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001108368269 761987364 /nfs/dbraw/zinc/98/73/64/761987364.db2.gz WZGIAGUGJRZUGC-QAPCUYQASA-N 1 2 315.417 1.190 20 30 DDEDLO C[C@H](CC(=O)NC/C=C\CNc1ccc(C#N)cn1)n1cc[nH+]c1 ZINC001107546082 750110617 /nfs/dbraw/zinc/11/06/17/750110617.db2.gz TUUAIHQXRZWZSK-PYLYLYNFSA-N 1 2 324.388 1.885 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccncc2F)[C@H](O)C1 ZINC001090157960 750131599 /nfs/dbraw/zinc/13/15/99/750131599.db2.gz CZIRFNAUKWYJRM-CHWSQXEVSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccncc2F)[C@H](O)C1 ZINC001090157960 750131601 /nfs/dbraw/zinc/13/16/01/750131601.db2.gz CZIRFNAUKWYJRM-CHWSQXEVSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]nc1C ZINC001039415264 762012753 /nfs/dbraw/zinc/01/27/53/762012753.db2.gz AXWDWXZVRLJBTK-NEPJUHHUSA-N 1 2 309.801 1.545 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]nc1C ZINC001039415264 762012767 /nfs/dbraw/zinc/01/27/67/762012767.db2.gz AXWDWXZVRLJBTK-NEPJUHHUSA-N 1 2 309.801 1.545 20 30 DDEDLO C#CC[NH+]1CCC(N(C)C(=O)c2n[nH]cc2C(F)(F)F)CC1 ZINC001005490493 750197865 /nfs/dbraw/zinc/19/78/65/750197865.db2.gz CGVYXNWOPZQOKT-UHFFFAOYSA-N 1 2 314.311 1.598 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@]12CCC[C@H]1N(CC#N)CC2 ZINC001107620430 750260488 /nfs/dbraw/zinc/26/04/88/750260488.db2.gz OBGIIWNJIWUJKI-GDBMZVCRSA-N 1 2 301.394 1.145 20 30 DDEDLO CCN(C(=O)c1cccc(-n2cc[nH+]c2)c1)[C@H]1CCN(CC#N)C1 ZINC001034003872 750264701 /nfs/dbraw/zinc/26/47/01/750264701.db2.gz IPPVVHCFGRYYJL-KRWDZBQOSA-N 1 2 323.400 1.932 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C[C@@H](C)C(C)C)[C@@H](n2ccnn2)C1 ZINC001128824349 750328482 /nfs/dbraw/zinc/32/84/82/750328482.db2.gz BJCCDQKELABWTF-OAGGEKHMSA-N 1 2 317.437 1.325 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C[C@@H](C)C(C)C)[C@@H](n2ccnn2)C1 ZINC001128824349 750328487 /nfs/dbraw/zinc/32/84/87/750328487.db2.gz BJCCDQKELABWTF-OAGGEKHMSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H](NC(=O)c2snnc2C(C)C)C1 ZINC001034453500 750455488 /nfs/dbraw/zinc/45/54/88/750455488.db2.gz MZNXJRDWCLPQDV-GFCCVEGCSA-N 1 2 306.435 1.879 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2snnc2C(C)C)C1 ZINC001034453500 750455489 /nfs/dbraw/zinc/45/54/89/750455489.db2.gz MZNXJRDWCLPQDV-GFCCVEGCSA-N 1 2 306.435 1.879 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001077739781 750471656 /nfs/dbraw/zinc/47/16/56/750471656.db2.gz JTKPQMNLGCNWSP-NSHDSACASA-N 1 2 306.410 1.484 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)C1CCCC1)CC2 ZINC001127917839 750611351 /nfs/dbraw/zinc/61/13/51/750611351.db2.gz INUPFZHPYLMBSS-CQSZACIVSA-N 1 2 315.421 1.012 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COCC[C@@H]1c1ccccc1 ZINC001032409398 750620546 /nfs/dbraw/zinc/62/05/46/750620546.db2.gz NMEAFUIKOIOQMK-INDMIFKZSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COCC[C@@H]1c1ccccc1 ZINC001032409398 750620548 /nfs/dbraw/zinc/62/05/48/750620548.db2.gz NMEAFUIKOIOQMK-INDMIFKZSA-N 1 2 324.424 1.725 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)n1ccnc1CC ZINC001032413359 750667408 /nfs/dbraw/zinc/66/74/08/750667408.db2.gz GLHVYQSRUBBXBK-KKUMJFAQSA-N 1 2 302.422 1.868 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)n1ccnc1CC ZINC001032413359 750667410 /nfs/dbraw/zinc/66/74/10/750667410.db2.gz GLHVYQSRUBBXBK-KKUMJFAQSA-N 1 2 302.422 1.868 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2CC3(CCC3)C2)C1 ZINC001108141920 750684974 /nfs/dbraw/zinc/68/49/74/750684974.db2.gz HMBUFNVWEIDSEI-QGZVFWFLSA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2CC3(CCC3)C2)C1 ZINC001108141920 750684977 /nfs/dbraw/zinc/68/49/77/750684977.db2.gz HMBUFNVWEIDSEI-QGZVFWFLSA-N 1 2 304.434 1.797 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001108148548 750781474 /nfs/dbraw/zinc/78/14/74/750781474.db2.gz NSNKUWFSASOJFL-NWDGAFQWSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001108148548 750781476 /nfs/dbraw/zinc/78/14/76/750781476.db2.gz NSNKUWFSASOJFL-NWDGAFQWSA-N 1 2 312.377 1.472 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C2CC2)n(CC)n1 ZINC001032438909 750833853 /nfs/dbraw/zinc/83/38/53/750833853.db2.gz PKVZNBQBINMNJC-GJZGRUSLSA-N 1 2 312.417 1.702 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C2CC2)n(CC)n1 ZINC001032438909 750833860 /nfs/dbraw/zinc/83/38/60/750833860.db2.gz PKVZNBQBINMNJC-GJZGRUSLSA-N 1 2 312.417 1.702 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)cnn1C)C2 ZINC001095886510 750910768 /nfs/dbraw/zinc/91/07/68/750910768.db2.gz WJZPJWYRNASYNG-AGIUHOORSA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)cnn1C)C2 ZINC001095886510 750910769 /nfs/dbraw/zinc/91/07/69/750910769.db2.gz WJZPJWYRNASYNG-AGIUHOORSA-N 1 2 308.813 1.816 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(C2CC2)no1 ZINC001034887115 750984747 /nfs/dbraw/zinc/98/47/47/750984747.db2.gz SMLVNIFCVDATFP-CMPLNLGQSA-N 1 2 303.366 1.187 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@H]2CCCN2C(=O)[C@H](C)C#N)n1 ZINC001034887648 750985755 /nfs/dbraw/zinc/98/57/55/750985755.db2.gz YWQTZZGRMMUAQB-VXGBXAGGSA-N 1 2 305.382 1.433 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1csc(=O)[nH]1)C2 ZINC001095891352 751051370 /nfs/dbraw/zinc/05/13/70/751051370.db2.gz AAWDFXXEJCMVNI-YWVKMMECSA-N 1 2 313.810 1.936 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1csc(=O)[nH]1)C2 ZINC001095891352 751051377 /nfs/dbraw/zinc/05/13/77/751051377.db2.gz AAWDFXXEJCMVNI-YWVKMMECSA-N 1 2 313.810 1.936 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nc(C)no4)C[C@H]32)CCC1 ZINC001114785576 751078158 /nfs/dbraw/zinc/07/81/58/751078158.db2.gz QHUWBWZIUGIIAF-NHAGDIPZSA-N 1 2 316.405 1.671 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nc(C)no4)C[C@H]32)CCC1 ZINC001114785576 751078164 /nfs/dbraw/zinc/07/81/64/751078164.db2.gz QHUWBWZIUGIIAF-NHAGDIPZSA-N 1 2 316.405 1.671 20 30 DDEDLO Cc1cc(C)c(C(=O)NC2CN(C(=O)[C@@H](C)C#N)C2)c(C)[nH+]1 ZINC000997555674 751107092 /nfs/dbraw/zinc/10/70/92/751107092.db2.gz DSAKLXQNXARJQV-JTQLQIEISA-N 1 2 300.362 1.107 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)[C@H](C)C(C)C)CC2 ZINC001128053636 752709233 /nfs/dbraw/zinc/70/92/33/752709233.db2.gz BVKIUDUEYGGBBY-ZIAGYGMSSA-N 1 2 317.437 1.114 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](CC)CC(F)F)C1 ZINC001107988415 752790437 /nfs/dbraw/zinc/79/04/37/752790437.db2.gz CMCMNRJJNTWIKL-BBRMVZONSA-N 1 2 316.392 1.898 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](CC)CC(F)F)C1 ZINC001107988415 752790439 /nfs/dbraw/zinc/79/04/39/752790439.db2.gz CMCMNRJJNTWIKL-BBRMVZONSA-N 1 2 316.392 1.898 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)[C@H](C)Cc3c[nH]c[nH+]3)C2)C1 ZINC000999293146 752797173 /nfs/dbraw/zinc/79/71/73/752797173.db2.gz PZSHPVODGPBIGD-GFCCVEGCSA-N 1 2 316.405 1.272 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)[C@H](C)Cc3c[nH+]c[nH]3)C2)C1 ZINC000999293146 752797181 /nfs/dbraw/zinc/79/71/81/752797181.db2.gz PZSHPVODGPBIGD-GFCCVEGCSA-N 1 2 316.405 1.272 20 30 DDEDLO C=CCC[N@H+]1CCC[C@H](NC(=O)[C@@]2(C)CCCS2(=O)=O)C1 ZINC001008843944 752942696 /nfs/dbraw/zinc/94/26/96/752942696.db2.gz GGMGXVTWQSYJDK-DZGCQCFKSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCC[N@@H+]1CCC[C@H](NC(=O)[C@@]2(C)CCCS2(=O)=O)C1 ZINC001008843944 752942697 /nfs/dbraw/zinc/94/26/97/752942697.db2.gz GGMGXVTWQSYJDK-DZGCQCFKSA-N 1 2 314.451 1.110 20 30 DDEDLO Cc1cc(N2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](C)C#N)nc(C(C)C)[nH+]1 ZINC001062457334 753015580 /nfs/dbraw/zinc/01/55/80/753015580.db2.gz UFXANRVNSMHAFN-UBHSHLNASA-N 1 2 313.405 1.858 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2c(cccc2C)O1 ZINC001032735167 753398415 /nfs/dbraw/zinc/39/84/15/753398415.db2.gz QIGKPTLGSAGLFI-RLFYNMQTSA-N 1 2 310.397 1.607 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2c(cccc2C)O1 ZINC001032735167 753398418 /nfs/dbraw/zinc/39/84/18/753398418.db2.gz QIGKPTLGSAGLFI-RLFYNMQTSA-N 1 2 310.397 1.607 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)c2ccncc2)C1 ZINC001108022395 753567018 /nfs/dbraw/zinc/56/70/18/753567018.db2.gz HZRJDRNAWYXGAK-CRAIPNDOSA-N 1 2 317.433 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)c2ccncc2)C1 ZINC001108022395 753567027 /nfs/dbraw/zinc/56/70/27/753567027.db2.gz HZRJDRNAWYXGAK-CRAIPNDOSA-N 1 2 317.433 1.968 20 30 DDEDLO C=CCOc1ccc(C(=O)N(C)C2C[NH+](C[C@H](C)O)C2)cc1 ZINC001043116985 753681594 /nfs/dbraw/zinc/68/15/94/753681594.db2.gz BIWXLRZJYGSTLR-ZDUSSCGKSA-N 1 2 304.390 1.388 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(Cl)c2Cl)C1 ZINC001078067980 753706902 /nfs/dbraw/zinc/70/69/02/753706902.db2.gz RUDSJRDLTVTBOO-VXGBXAGGSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(Cl)c2Cl)C1 ZINC001078067980 753706903 /nfs/dbraw/zinc/70/69/03/753706903.db2.gz RUDSJRDLTVTBOO-VXGBXAGGSA-N 1 2 313.184 1.402 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sccc2C(F)F)C1 ZINC001078096549 753725248 /nfs/dbraw/zinc/72/52/48/753725248.db2.gz AXTUPSOGBYSMES-NXEZZACHSA-N 1 2 302.346 1.647 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sccc2C(F)F)C1 ZINC001078096549 753725251 /nfs/dbraw/zinc/72/52/51/753725251.db2.gz AXTUPSOGBYSMES-NXEZZACHSA-N 1 2 302.346 1.647 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1cccc(F)c1F ZINC001032791714 753763905 /nfs/dbraw/zinc/76/39/05/753763905.db2.gz FRTQGHLQVCWFDH-NZBPQXDJSA-N 1 2 316.351 1.987 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1cccc(F)c1F ZINC001032791714 753763908 /nfs/dbraw/zinc/76/39/08/753763908.db2.gz FRTQGHLQVCWFDH-NZBPQXDJSA-N 1 2 316.351 1.987 20 30 DDEDLO Cc1nc(N2CC[C@@H](N(C)C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001062900468 753820361 /nfs/dbraw/zinc/82/03/61/753820361.db2.gz BXTITRIUSHOSPR-CQSZACIVSA-N 1 2 310.361 1.336 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@H]1CCN(c2ncccc2C#N)C1 ZINC001062959862 753870096 /nfs/dbraw/zinc/87/00/96/753870096.db2.gz JSWSDELUARGEEM-AWEZNQCLSA-N 1 2 324.388 1.265 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CCN(c3cc[nH+]c(C)n3)C2)nc1 ZINC001062957803 753883960 /nfs/dbraw/zinc/88/39/60/753883960.db2.gz GJGMCNTVDMGLDL-OAHLLOKOSA-N 1 2 321.384 1.512 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)cccc1OC ZINC001032801299 754074164 /nfs/dbraw/zinc/07/41/64/754074164.db2.gz ORMIUSOFIRNGHL-RYUDHWBXSA-N 1 2 304.777 1.881 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)cccc1OC ZINC001032801299 754074167 /nfs/dbraw/zinc/07/41/67/754074167.db2.gz ORMIUSOFIRNGHL-RYUDHWBXSA-N 1 2 304.777 1.881 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001010642370 754074427 /nfs/dbraw/zinc/07/44/27/754074427.db2.gz IYURQRMVUKQGRH-MRXNPFEDSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001010642370 754074433 /nfs/dbraw/zinc/07/44/33/754074433.db2.gz IYURQRMVUKQGRH-MRXNPFEDSA-N 1 2 319.368 1.762 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)CC[C@@H]1Nc1ccc(C#N)cn1 ZINC001063760621 754357015 /nfs/dbraw/zinc/35/70/15/754357015.db2.gz NEDUZGHNKZQRHB-JSGCOSHPSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001063863646 754380338 /nfs/dbraw/zinc/38/03/38/754380338.db2.gz JLXXIWPUJNQEQG-UHFFFAOYSA-N 1 2 318.421 1.772 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001064069903 754481454 /nfs/dbraw/zinc/48/14/54/754481454.db2.gz MZSITAVDNPIAEY-KBPBESRZSA-N 1 2 318.421 1.496 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCC[C@H]2NC(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001064112851 754521152 /nfs/dbraw/zinc/52/11/52/754521152.db2.gz KTOYDYPHCRYORC-SWLSCSKDSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCCCC(=O)NCC1(NC(=O)Cc2c[nH+]cn2C)CCC1 ZINC001064272222 754595271 /nfs/dbraw/zinc/59/52/71/754595271.db2.gz JNDVTTLRODPQEM-UHFFFAOYSA-N 1 2 318.421 1.474 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064397219 754663999 /nfs/dbraw/zinc/66/39/99/754663999.db2.gz KHILPVORYKMROZ-INIZCTEOSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)[C@@H]2C)C1 ZINC001011855511 754744193 /nfs/dbraw/zinc/74/41/93/754744193.db2.gz IRWDJDBPEFMPEK-ZIAGYGMSSA-N 1 2 316.405 1.345 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2[nH]c(C)cc2C)[C@H](O)C1 ZINC001090363099 755082284 /nfs/dbraw/zinc/08/22/84/755082284.db2.gz JKZAOAVKCBRPGR-QWHCGFSZSA-N 1 2 311.813 1.549 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2[nH]c(C)cc2C)[C@H](O)C1 ZINC001090363099 755082290 /nfs/dbraw/zinc/08/22/90/755082290.db2.gz JKZAOAVKCBRPGR-QWHCGFSZSA-N 1 2 311.813 1.549 20 30 DDEDLO C[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1NC(=O)C(F)F ZINC001079330749 755227455 /nfs/dbraw/zinc/22/74/55/755227455.db2.gz UMFKAOYZODTVGC-BXUZGUMPSA-N 1 2 310.319 1.879 20 30 DDEDLO C[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1NC(=O)C(F)F ZINC001079330749 755227456 /nfs/dbraw/zinc/22/74/56/755227456.db2.gz UMFKAOYZODTVGC-BXUZGUMPSA-N 1 2 310.319 1.879 20 30 DDEDLO C#CCCCC(=O)N(CC)C1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001079682314 755521082 /nfs/dbraw/zinc/52/10/82/755521082.db2.gz XTLOYYCXEUPQJU-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO C#CCCCC(=O)N(CC)C1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001079682314 755521086 /nfs/dbraw/zinc/52/10/86/755521086.db2.gz XTLOYYCXEUPQJU-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCn3cncc3C2)C1 ZINC001079981967 755679429 /nfs/dbraw/zinc/67/94/29/755679429.db2.gz IWEVXOQWGZMUEM-OSAQELSMSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCn3cncc3C2)C1 ZINC001079981967 755679432 /nfs/dbraw/zinc/67/94/32/755679432.db2.gz IWEVXOQWGZMUEM-OSAQELSMSA-N 1 2 322.840 1.635 20 30 DDEDLO C=CC(C)(C)C(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001080221911 755772162 /nfs/dbraw/zinc/77/21/62/755772162.db2.gz PVGCQUCNOSFWSK-UHFFFAOYSA-N 1 2 304.394 1.155 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080353683 755866733 /nfs/dbraw/zinc/86/67/33/755866733.db2.gz ITRJNQGATPFLBA-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001080424593 755890203 /nfs/dbraw/zinc/89/02/03/755890203.db2.gz XXPBGVNPLBUMHG-RAIGVLPGSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001080424593 755890205 /nfs/dbraw/zinc/89/02/05/755890205.db2.gz XXPBGVNPLBUMHG-RAIGVLPGSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001080471083 755931043 /nfs/dbraw/zinc/93/10/43/755931043.db2.gz NXEWCFRRFLRVAT-NGFQHRJXSA-N 1 2 319.405 1.511 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001080471083 755931048 /nfs/dbraw/zinc/93/10/48/755931048.db2.gz NXEWCFRRFLRVAT-NGFQHRJXSA-N 1 2 319.405 1.511 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCc3cnn(C)c3C2)C1 ZINC001015613934 756413741 /nfs/dbraw/zinc/41/37/41/756413741.db2.gz MSRBRXSAFVFJLO-OCCSQVGLSA-N 1 2 322.840 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCc3cnn(C)c3C2)C1 ZINC001015613934 756413744 /nfs/dbraw/zinc/41/37/44/756413744.db2.gz MSRBRXSAFVFJLO-OCCSQVGLSA-N 1 2 322.840 1.468 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cccc(F)c2F)C[C@@H]1O ZINC001099727244 756429635 /nfs/dbraw/zinc/42/96/35/756429635.db2.gz ODUSMCHGWRMOQW-GJZGRUSLSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cccc(F)c2F)C[C@@H]1O ZINC001099727244 756429638 /nfs/dbraw/zinc/42/96/38/756429638.db2.gz ODUSMCHGWRMOQW-GJZGRUSLSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CC[N@@H+](Cc3cnnn3C)C2)CC1 ZINC001015659457 756443109 /nfs/dbraw/zinc/44/31/09/756443109.db2.gz AYTRYJMCYMMPKB-CQSZACIVSA-N 1 2 303.410 1.252 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CC[N@H+](Cc3cnnn3C)C2)CC1 ZINC001015659457 756443111 /nfs/dbraw/zinc/44/31/11/756443111.db2.gz AYTRYJMCYMMPKB-CQSZACIVSA-N 1 2 303.410 1.252 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](CC(=O)NC3CCCC3)C2)C1 ZINC001015673845 756456788 /nfs/dbraw/zinc/45/67/88/756456788.db2.gz PWOUFNRJDFPAED-HNNXBMFYSA-N 1 2 319.449 1.592 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](CC(=O)NC3CCCC3)C2)C1 ZINC001015673845 756456789 /nfs/dbraw/zinc/45/67/89/756456789.db2.gz PWOUFNRJDFPAED-HNNXBMFYSA-N 1 2 319.449 1.592 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H](CCC)C(C)C)CC2=O)C1 ZINC001108576295 762724733 /nfs/dbraw/zinc/72/47/33/762724733.db2.gz PYRQOAZOKUUHIQ-ZBFHGGJFSA-N 1 2 321.465 1.646 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC001015783678 756547948 /nfs/dbraw/zinc/54/79/48/756547948.db2.gz SVSCEZUTOSZPCL-LBPRGKRZSA-N 1 2 310.357 1.163 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC001015783678 756547950 /nfs/dbraw/zinc/54/79/50/756547950.db2.gz SVSCEZUTOSZPCL-LBPRGKRZSA-N 1 2 310.357 1.163 20 30 DDEDLO C=CCCCC(=O)N1CCCC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001082262561 756713358 /nfs/dbraw/zinc/71/33/58/756713358.db2.gz QEGTZKVGRMGVND-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@H](OC)C1 ZINC001082391452 756765831 /nfs/dbraw/zinc/76/58/31/756765831.db2.gz KYZKLAUJDNVFQR-JDPPGYRCSA-N 1 2 304.434 1.651 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@H](OC)C1 ZINC001082391452 756765834 /nfs/dbraw/zinc/76/58/34/756765834.db2.gz KYZKLAUJDNVFQR-JDPPGYRCSA-N 1 2 304.434 1.651 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001082722065 756904113 /nfs/dbraw/zinc/90/41/13/756904113.db2.gz VZROWWIATSDSBD-KFNAQCHYSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)nn3cccnc23)C1 ZINC001016286517 756905385 /nfs/dbraw/zinc/90/53/85/756905385.db2.gz JSWBUGYYRKSIFY-LBPRGKRZSA-N 1 2 319.796 1.594 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)nn3cccnc23)C1 ZINC001016286517 756905388 /nfs/dbraw/zinc/90/53/88/756905388.db2.gz JSWBUGYYRKSIFY-LBPRGKRZSA-N 1 2 319.796 1.594 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001097364940 757126539 /nfs/dbraw/zinc/12/65/39/757126539.db2.gz ZXRCWOSZDWJYJS-YOEHRIQHSA-N 1 2 318.421 1.763 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4c3CCCC4)[C@H]2C1 ZINC001083092157 757131840 /nfs/dbraw/zinc/13/18/40/757131840.db2.gz WIYZWBIRITUGLA-RBUKOAKNSA-N 1 2 324.424 1.724 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4c3CCCC4)[C@H]2C1 ZINC001083092157 757131847 /nfs/dbraw/zinc/13/18/47/757131847.db2.gz WIYZWBIRITUGLA-RBUKOAKNSA-N 1 2 324.424 1.724 20 30 DDEDLO Cc1nc(NC[C@H]2CCCCN2C(=O)CSCC#N)cc[nH+]1 ZINC001097472987 757196416 /nfs/dbraw/zinc/19/64/16/757196416.db2.gz FENNDZWCZOTPFL-CYBMUJFWSA-N 1 2 319.434 1.835 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2CC(C)C)[C@@H](O)C1 ZINC001084105821 757286556 /nfs/dbraw/zinc/28/65/56/757286556.db2.gz XJQFIIWSNCRPCX-SJORKVTESA-N 1 2 300.402 1.293 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2CC(C)C)[C@@H](O)C1 ZINC001084105821 757286563 /nfs/dbraw/zinc/28/65/63/757286563.db2.gz XJQFIIWSNCRPCX-SJORKVTESA-N 1 2 300.402 1.293 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001017178938 757693219 /nfs/dbraw/zinc/69/32/19/757693219.db2.gz CSDURKHRSZINIU-CQSZACIVSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001017178938 757693232 /nfs/dbraw/zinc/69/32/32/757693232.db2.gz CSDURKHRSZINIU-CQSZACIVSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098295390 757914545 /nfs/dbraw/zinc/91/45/45/757914545.db2.gz FKZFKEFKSBITLF-NSHDSACASA-N 1 2 316.409 1.882 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)n(C)n1 ZINC001017538791 758008242 /nfs/dbraw/zinc/00/82/42/758008242.db2.gz QOWRANMRORFWFT-OKILXGFUSA-N 1 2 300.406 1.466 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)n(C)n1 ZINC001017538791 758008250 /nfs/dbraw/zinc/00/82/50/758008250.db2.gz QOWRANMRORFWFT-OKILXGFUSA-N 1 2 300.406 1.466 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C(C)(C)C)nc1C ZINC001017544873 758012977 /nfs/dbraw/zinc/01/29/77/758012977.db2.gz BXWRYQRPQCSWMZ-GASCZTMLSA-N 1 2 314.433 1.869 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C(C)(C)C)nc1C ZINC001017544873 758012989 /nfs/dbraw/zinc/01/29/89/758012989.db2.gz BXWRYQRPQCSWMZ-GASCZTMLSA-N 1 2 314.433 1.869 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CC(C)(F)F)CC2=O)C1 ZINC001108572147 762730964 /nfs/dbraw/zinc/73/09/64/762730964.db2.gz NCQQXBVTLFWBME-LLVKDONJSA-N 1 2 315.364 1.009 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nnc1CC ZINC001017675206 758145019 /nfs/dbraw/zinc/14/50/19/758145019.db2.gz FQMWIRPYXYDALY-OKILXGFUSA-N 1 2 300.406 1.822 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nnc1CC ZINC001017675206 758145025 /nfs/dbraw/zinc/14/50/25/758145025.db2.gz FQMWIRPYXYDALY-OKILXGFUSA-N 1 2 300.406 1.822 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2cncn2C1 ZINC001017681754 758150242 /nfs/dbraw/zinc/15/02/42/758150242.db2.gz RHXGBRIIDGURRX-OIISXLGYSA-N 1 2 312.417 1.144 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2cncn2C1 ZINC001017681754 758150247 /nfs/dbraw/zinc/15/02/47/758150247.db2.gz RHXGBRIIDGURRX-OIISXLGYSA-N 1 2 312.417 1.144 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2ccc(C)cc2n1 ZINC001017711901 758175379 /nfs/dbraw/zinc/17/53/79/758175379.db2.gz ZWLFNMGAOVMKJF-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2ccc(C)cc2n1 ZINC001017711901 758175381 /nfs/dbraw/zinc/17/53/81/758175381.db2.gz ZWLFNMGAOVMKJF-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@@H](C)Cc2cn[nH]c21 ZINC001017841096 758289430 /nfs/dbraw/zinc/28/94/30/758289430.db2.gz LACPOXAIHQYYLQ-ARLBYUKCSA-N 1 2 312.417 1.384 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@@H](C)Cc2cn[nH]c21 ZINC001017841096 758289435 /nfs/dbraw/zinc/28/94/35/758289435.db2.gz LACPOXAIHQYYLQ-ARLBYUKCSA-N 1 2 312.417 1.384 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@]1(C)CCO[C@H]1C)CCO2 ZINC001053356794 758399520 /nfs/dbraw/zinc/39/95/20/758399520.db2.gz PEVFQEXSQFUVGI-HOCLYGCPSA-N 1 2 308.422 1.291 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cnccc2n1 ZINC001017993637 758450173 /nfs/dbraw/zinc/45/01/73/758450173.db2.gz VFXPLTISLZXQON-IYBDPMFKSA-N 1 2 320.396 1.942 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cnccc2n1 ZINC001017993637 758450178 /nfs/dbraw/zinc/45/01/78/758450178.db2.gz VFXPLTISLZXQON-IYBDPMFKSA-N 1 2 320.396 1.942 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001053503301 758509329 /nfs/dbraw/zinc/50/93/29/758509329.db2.gz JTCNWVCOGCCFEA-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCCC[C@@H]1OC)CCO2 ZINC001053546466 758552017 /nfs/dbraw/zinc/55/20/17/758552017.db2.gz OMKXDQUAALMHPN-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(CC)n[nH]1)O2 ZINC001053578468 758589778 /nfs/dbraw/zinc/58/97/78/758589778.db2.gz HGSJZJFZTNKCFT-CQSZACIVSA-N 1 2 318.421 1.511 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1C(C)(C)C ZINC001018166567 758595277 /nfs/dbraw/zinc/59/52/77/758595277.db2.gz VJNPIXMTZRHAHN-BETUJISGSA-N 1 2 300.406 1.629 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1C(C)(C)C ZINC001018166567 758595282 /nfs/dbraw/zinc/59/52/82/758595282.db2.gz VJNPIXMTZRHAHN-BETUJISGSA-N 1 2 300.406 1.629 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(C#N)[nH]1)O2 ZINC001053593160 758610601 /nfs/dbraw/zinc/61/06/01/758610601.db2.gz CKJGHZUEBBAKIR-ZDUSSCGKSA-N 1 2 300.362 1.036 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccnn1CCC)O2 ZINC001053607006 758626484 /nfs/dbraw/zinc/62/64/84/758626484.db2.gz XIVFRDMOYBAVPZ-CQSZACIVSA-N 1 2 318.421 1.442 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1c(C)n[nH]c1C ZINC001018257617 758673594 /nfs/dbraw/zinc/67/35/94/758673594.db2.gz BLGRTMZFOALJBG-GASCZTMLSA-N 1 2 300.406 1.268 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1c(C)n[nH]c1C ZINC001018257617 758673598 /nfs/dbraw/zinc/67/35/98/758673598.db2.gz BLGRTMZFOALJBG-GASCZTMLSA-N 1 2 300.406 1.268 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1nc(CC)oc1C)O2 ZINC001053676446 758686043 /nfs/dbraw/zinc/68/60/43/758686043.db2.gz CMDAOJZBJIYGJD-ZDUSSCGKSA-N 1 2 319.405 1.695 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cncc(Cl)c1)O2 ZINC001053678707 758689007 /nfs/dbraw/zinc/68/90/07/758689007.db2.gz JNFNTVSEDIPFAA-AWEZNQCLSA-N 1 2 321.808 1.884 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC3(C[NH+](CC=C)C3)O2)nc1 ZINC001053687405 758697285 /nfs/dbraw/zinc/69/72/85/758697285.db2.gz PMTHLCVDXLKFTJ-OAHLLOKOSA-N 1 2 311.385 1.212 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CCC)CC2)C1 ZINC001065704366 758705725 /nfs/dbraw/zinc/70/57/25/758705725.db2.gz LUKRMYYERWFKQB-MRXNPFEDSA-N 1 2 321.465 1.888 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(C)onc1CC)O2 ZINC001053696439 758706454 /nfs/dbraw/zinc/70/64/54/758706454.db2.gz IZARSTRKIIYJFJ-CYBMUJFWSA-N 1 2 319.405 1.695 20 30 DDEDLO Cc1nc(N[C@H](C)[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001065692655 758710433 /nfs/dbraw/zinc/71/04/33/758710433.db2.gz XWTHOXREXRNWJP-RISCZKNCSA-N 1 2 324.388 1.948 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc(F)c1)CO2 ZINC001053727174 758744443 /nfs/dbraw/zinc/74/44/43/758744443.db2.gz SQQIDVUCBZLJDG-HNNXBMFYSA-N 1 2 304.365 1.975 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1CNc1ccc(C#N)nc1 ZINC001065872068 758859389 /nfs/dbraw/zinc/85/93/89/758859389.db2.gz MNQFNZCKWXSJMT-QWHCGFSZSA-N 1 2 324.388 1.425 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1CNc1ncccc1C#N ZINC001065872402 758860397 /nfs/dbraw/zinc/86/03/97/758860397.db2.gz PGFKDDGAUUZTQN-OCCSQVGLSA-N 1 2 324.388 1.425 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1CNc1ccc(C#N)cn1 ZINC001065873008 758861089 /nfs/dbraw/zinc/86/10/89/758861089.db2.gz VARBOPWTVZJSIC-OCCSQVGLSA-N 1 2 324.388 1.425 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]nc1C(C)C)CO2 ZINC001053856111 758889830 /nfs/dbraw/zinc/88/98/30/758889830.db2.gz BKPCCYLTKPETJO-CYBMUJFWSA-N 1 2 316.405 1.130 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(Cl)n(C)n1)CO2 ZINC001053865575 758899040 /nfs/dbraw/zinc/89/90/40/758899040.db2.gz CKPAUBNZIUDFGC-NSHDSACASA-N 1 2 324.812 1.223 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C3CCC3)CCC1)CO2 ZINC001053884544 758918124 /nfs/dbraw/zinc/91/81/24/758918124.db2.gz SHNOSGBPVOYEQD-MRXNPFEDSA-N 1 2 316.445 1.940 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)nn(C)c1C)CO2 ZINC001053884660 758918719 /nfs/dbraw/zinc/91/87/19/758918719.db2.gz CMLRACKPXPRBOV-CQSZACIVSA-N 1 2 318.421 1.186 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2COC3(C[NH+](CC(=C)C)C3)C2)cc1 ZINC001053888901 758923545 /nfs/dbraw/zinc/92/35/45/758923545.db2.gz VKGCBFWGYDNTDB-QGZVFWFLSA-N 1 2 310.397 1.817 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1coc(CCC)n1)CO2 ZINC001053982516 759024058 /nfs/dbraw/zinc/02/40/58/759024058.db2.gz LIIIICWVHAQDOY-CYBMUJFWSA-N 1 2 319.405 1.776 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccc(F)cc1)CO2 ZINC001053998035 759044181 /nfs/dbraw/zinc/04/41/81/759044181.db2.gz DBGDFAVYDLLKMD-INIZCTEOSA-N 1 2 318.392 1.904 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1[C@H]3[C@@H]1[C@@H]1CC[C@H]3C1)CO2 ZINC001054021825 759072301 /nfs/dbraw/zinc/07/23/01/759072301.db2.gz VTDPBSDEGAGUIP-PHPOFCCKSA-N 1 2 316.445 1.814 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)C1CCOCC1)CO2 ZINC001054025544 759075925 /nfs/dbraw/zinc/07/59/25/759075925.db2.gz BGCOFYOPYKSTSG-GOEBONIOSA-N 1 2 320.433 1.032 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC13CCOCC3)CO2 ZINC001054026641 759077789 /nfs/dbraw/zinc/07/77/89/759077789.db2.gz DEEZVBXKZOTBOI-HUUCEWRRSA-N 1 2 320.433 1.339 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C)ncn1 ZINC001054038079 759095930 /nfs/dbraw/zinc/09/59/30/759095930.db2.gz UOBYYDRKYGIWPJ-QGZVFWFLSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C)ncn1 ZINC001054038079 759095938 /nfs/dbraw/zinc/09/59/38/759095938.db2.gz UOBYYDRKYGIWPJ-QGZVFWFLSA-N 1 2 320.396 1.575 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nc(C)c[nH]1 ZINC001054055916 759109631 /nfs/dbraw/zinc/10/96/31/759109631.db2.gz VQGMZIFIOVKMPP-QGZVFWFLSA-N 1 2 322.412 1.898 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nc(C)c[nH]1 ZINC001054055916 759109635 /nfs/dbraw/zinc/10/96/35/759109635.db2.gz VQGMZIFIOVKMPP-QGZVFWFLSA-N 1 2 322.412 1.898 20 30 DDEDLO Cc1nsc(N[C@@H](C)CNC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001098306338 759266413 /nfs/dbraw/zinc/26/64/13/759266413.db2.gz DLYODFPXBVHTDG-QMMMGPOBSA-N 1 2 304.379 1.206 20 30 DDEDLO C[C@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)Cc1c[nH+]cn1C ZINC001069122552 767861081 /nfs/dbraw/zinc/86/10/81/767861081.db2.gz VCLZLJOWXDZTSI-JSGCOSHPSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](Nc2ccc(C#N)nc2)C[C@@H]1C ZINC001069128988 767863975 /nfs/dbraw/zinc/86/39/75/767863975.db2.gz CAAXJXQSCSKAJU-NHYWBVRUSA-N 1 2 324.388 1.629 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(C)C)n(C)n1 ZINC001085591365 759788640 /nfs/dbraw/zinc/78/86/40/759788640.db2.gz IFZLWLBBPBERKV-CQSZACIVSA-N 1 2 302.422 1.713 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(C)C)n(C)n1 ZINC001085591365 759788646 /nfs/dbraw/zinc/78/86/46/759788646.db2.gz IFZLWLBBPBERKV-CQSZACIVSA-N 1 2 302.422 1.713 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)c(NC(C)=O)c1 ZINC001085614874 759853082 /nfs/dbraw/zinc/85/30/82/759853082.db2.gz XVSBXFIWERSDAU-INIZCTEOSA-N 1 2 313.401 1.733 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)c(NC(C)=O)c1 ZINC001085614874 759853087 /nfs/dbraw/zinc/85/30/87/759853087.db2.gz XVSBXFIWERSDAU-INIZCTEOSA-N 1 2 313.401 1.733 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(CC)nc1C1CC1 ZINC001085621283 759862051 /nfs/dbraw/zinc/86/20/51/759862051.db2.gz LPZDGOWKHLHNCQ-CQSZACIVSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(CC)nc1C1CC1 ZINC001085621283 759862056 /nfs/dbraw/zinc/86/20/56/759862056.db2.gz LPZDGOWKHLHNCQ-CQSZACIVSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)OCCCO2 ZINC001085640198 759913678 /nfs/dbraw/zinc/91/36/78/759913678.db2.gz FJNRMUBJUVAIFM-OAHLLOKOSA-N 1 2 314.385 1.627 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)OCCCO2 ZINC001085640198 759913684 /nfs/dbraw/zinc/91/36/84/759913684.db2.gz FJNRMUBJUVAIFM-OAHLLOKOSA-N 1 2 314.385 1.627 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001046768023 767906836 /nfs/dbraw/zinc/90/68/36/767906836.db2.gz SZSJHQPEINFNNI-MRXNPFEDSA-N 1 2 322.840 1.856 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001046768023 767906840 /nfs/dbraw/zinc/90/68/40/767906840.db2.gz SZSJHQPEINFNNI-MRXNPFEDSA-N 1 2 322.840 1.856 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)n([C@H](C)CC)n1 ZINC001085685243 760010492 /nfs/dbraw/zinc/01/04/92/760010492.db2.gz MPKIXHCSDMSMQR-UKRRQHHQSA-N 1 2 302.422 1.942 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)n([C@H](C)CC)n1 ZINC001085685243 760010501 /nfs/dbraw/zinc/01/05/01/760010501.db2.gz MPKIXHCSDMSMQR-UKRRQHHQSA-N 1 2 302.422 1.942 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001046772805 767913360 /nfs/dbraw/zinc/91/33/60/767913360.db2.gz ACLCTOMMKBMWQK-OAHLLOKOSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001046772805 767913365 /nfs/dbraw/zinc/91/33/65/767913365.db2.gz ACLCTOMMKBMWQK-OAHLLOKOSA-N 1 2 323.828 1.489 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCc2cc(C)ccc2O1 ZINC001085720269 760104343 /nfs/dbraw/zinc/10/43/43/760104343.db2.gz PYAPABGLGOILCB-AEFFLSMTSA-N 1 2 312.413 1.855 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCc2cc(C)ccc2O1 ZINC001085720269 760104347 /nfs/dbraw/zinc/10/43/47/760104347.db2.gz PYAPABGLGOILCB-AEFFLSMTSA-N 1 2 312.413 1.855 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)nc1OCC ZINC001085900491 760492246 /nfs/dbraw/zinc/49/22/46/760492246.db2.gz IKCWQYNGSJOGEZ-HNNXBMFYSA-N 1 2 315.417 1.958 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)nc1OCC ZINC001085900491 760492253 /nfs/dbraw/zinc/49/22/53/760492253.db2.gz IKCWQYNGSJOGEZ-HNNXBMFYSA-N 1 2 315.417 1.958 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccoc1Br ZINC001085911789 760522742 /nfs/dbraw/zinc/52/27/42/760522742.db2.gz OETSGGJYAVISLK-JTQLQIEISA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccoc1Br ZINC001085911789 760522749 /nfs/dbraw/zinc/52/27/49/760522749.db2.gz OETSGGJYAVISLK-JTQLQIEISA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(OC)c(OC)cc1C ZINC001085982268 760654910 /nfs/dbraw/zinc/65/49/10/760654910.db2.gz GMTMMFQONGPCBI-CQSZACIVSA-N 1 2 316.401 1.792 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(OC)c(OC)cc1C ZINC001085982268 760654915 /nfs/dbraw/zinc/65/49/15/760654915.db2.gz GMTMMFQONGPCBI-CQSZACIVSA-N 1 2 316.401 1.792 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038174780 760887135 /nfs/dbraw/zinc/88/71/35/760887135.db2.gz JJHUVSBCABLPRM-CQSZACIVSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038174780 760887146 /nfs/dbraw/zinc/88/71/46/760887146.db2.gz JJHUVSBCABLPRM-CQSZACIVSA-N 1 2 313.361 1.496 20 30 DDEDLO C[C@H](CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001098319550 761265816 /nfs/dbraw/zinc/26/58/16/761265816.db2.gz HGQZAIYGGSCHPX-VXGBXAGGSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001098319550 761265817 /nfs/dbraw/zinc/26/58/17/761265817.db2.gz HGQZAIYGGSCHPX-VXGBXAGGSA-N 1 2 312.377 1.472 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnc(O[C@H](C)CC)c1 ZINC001038895948 761519203 /nfs/dbraw/zinc/51/92/03/761519203.db2.gz XBDKSCCNVNIGJS-HIFRSBDPSA-N 1 2 301.390 1.696 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnc(O[C@H](C)CC)c1 ZINC001038895948 761519208 /nfs/dbraw/zinc/51/92/08/761519208.db2.gz XBDKSCCNVNIGJS-HIFRSBDPSA-N 1 2 301.390 1.696 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]([NH2+]Cc3nnc(C)o3)C2)C1 ZINC001000129671 761528891 /nfs/dbraw/zinc/52/88/91/761528891.db2.gz YOIIYQSQFUJNBV-ZDUSSCGKSA-N 1 2 304.394 1.815 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2oc(C)nc2C)cn1 ZINC001038931072 761561077 /nfs/dbraw/zinc/56/10/77/761561077.db2.gz GJFHQLCKJMKNEL-MRXNPFEDSA-N 1 2 324.384 1.672 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2oc(C)nc2C)cn1 ZINC001038931072 761561084 /nfs/dbraw/zinc/56/10/84/761561084.db2.gz GJFHQLCKJMKNEL-MRXNPFEDSA-N 1 2 324.384 1.672 20 30 DDEDLO CCc1nc[nH]c1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038978401 761611263 /nfs/dbraw/zinc/61/12/63/761611263.db2.gz DMZAXQRZXNKBJB-OAHLLOKOSA-N 1 2 323.400 1.848 20 30 DDEDLO CCc1nc[nH]c1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038978401 761611268 /nfs/dbraw/zinc/61/12/68/761611268.db2.gz DMZAXQRZXNKBJB-OAHLLOKOSA-N 1 2 323.400 1.848 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cccc(F)c1F ZINC001039028487 761666617 /nfs/dbraw/zinc/66/66/17/761666617.db2.gz KZCOWEAUSKHLOA-KWCYVHTRSA-N 1 2 304.340 1.892 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cccc(F)c1F ZINC001039028487 761666621 /nfs/dbraw/zinc/66/66/21/761666621.db2.gz KZCOWEAUSKHLOA-KWCYVHTRSA-N 1 2 304.340 1.892 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001066562737 761798129 /nfs/dbraw/zinc/79/81/29/761798129.db2.gz ZSXHOPCQPKMPRB-ZDUSSCGKSA-N 1 2 304.394 1.416 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC=C(CNC(=O)c2nnc[nH]2)CC1 ZINC001001275264 762720509 /nfs/dbraw/zinc/72/05/09/762720509.db2.gz HHLOYWDUBFIUHB-UHFFFAOYSA-N 1 2 322.372 1.238 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC=C(CNC(=O)c2nnc[nH]2)CC1 ZINC001001275264 762720515 /nfs/dbraw/zinc/72/05/15/762720515.db2.gz HHLOYWDUBFIUHB-UHFFFAOYSA-N 1 2 322.372 1.238 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@]2(CC[N@H+](Cc3nncs3)C2)C1 ZINC001041136989 762831810 /nfs/dbraw/zinc/83/18/10/762831810.db2.gz QDMVUBMTXVVLQK-SMDDNHRTSA-N 1 2 305.407 1.122 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@]2(CC[N@@H+](Cc3nncs3)C2)C1 ZINC001041136989 762831826 /nfs/dbraw/zinc/83/18/26/762831826.db2.gz QDMVUBMTXVVLQK-SMDDNHRTSA-N 1 2 305.407 1.122 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001108741165 762899871 /nfs/dbraw/zinc/89/98/71/762899871.db2.gz NSNKUWFSASOJFL-RYUDHWBXSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001108741165 762899874 /nfs/dbraw/zinc/89/98/74/762899874.db2.gz NSNKUWFSASOJFL-RYUDHWBXSA-N 1 2 312.377 1.472 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](C)NC(=O)CCc2c[nH]c[nH+]2)n1 ZINC001108762964 762906503 /nfs/dbraw/zinc/90/65/03/762906503.db2.gz KPIYDVANMNRSOK-LBPRGKRZSA-N 1 2 312.377 1.534 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](C)NC(=O)CCc2c[nH+]c[nH]2)n1 ZINC001108762964 762906508 /nfs/dbraw/zinc/90/65/08/762906508.db2.gz KPIYDVANMNRSOK-LBPRGKRZSA-N 1 2 312.377 1.534 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C(C)(C)CCC)CC1 ZINC001131381364 768094986 /nfs/dbraw/zinc/09/49/86/768094986.db2.gz LMJUVMZCOMWNEZ-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C(C)(C)CCC)CC1 ZINC001131381364 768094991 /nfs/dbraw/zinc/09/49/91/768094991.db2.gz LMJUVMZCOMWNEZ-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO Cc1ccc(C#N)c(N2CCCN(C(=O)Cc3c[nH+]c[nH]3)CC2)n1 ZINC001057240063 763034045 /nfs/dbraw/zinc/03/40/45/763034045.db2.gz MBUJOGDDBZUFCO-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO Cc1nc(N(C)C[C@@H](C)NC(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001108880337 763064054 /nfs/dbraw/zinc/06/40/54/763064054.db2.gz PUFPKIILODZTPX-VXGBXAGGSA-N 1 2 315.421 1.764 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)[C@H]2CCCO2)C1 ZINC001046913680 768108183 /nfs/dbraw/zinc/10/81/83/768108183.db2.gz ATKLLAJJGRYTKD-VXGBXAGGSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@H](CNC(=O)[C@H]2CCCO2)C1 ZINC001046913680 768108189 /nfs/dbraw/zinc/10/81/89/768108189.db2.gz ATKLLAJJGRYTKD-VXGBXAGGSA-N 1 2 322.783 1.991 20 30 DDEDLO Cc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H](C)C#N)C3)sn1 ZINC001109088379 763320592 /nfs/dbraw/zinc/32/05/92/763320592.db2.gz MVSOGWRONAYBON-HYKPAMGXSA-N 1 2 304.419 1.833 20 30 DDEDLO Cc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H](C)C#N)C3)sn1 ZINC001109088379 763320600 /nfs/dbraw/zinc/32/06/00/763320600.db2.gz MVSOGWRONAYBON-HYKPAMGXSA-N 1 2 304.419 1.833 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)=C(C)C)C2 ZINC001109150623 763386694 /nfs/dbraw/zinc/38/66/94/763386694.db2.gz YCLAMNVXHCSUNA-MQYQWHSLSA-N 1 2 321.396 1.109 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)=C(C)C)C2 ZINC001109150623 763386700 /nfs/dbraw/zinc/38/67/00/763386700.db2.gz YCLAMNVXHCSUNA-MQYQWHSLSA-N 1 2 321.396 1.109 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)o1 ZINC001042058706 763602086 /nfs/dbraw/zinc/60/20/86/763602086.db2.gz UTLCDPYPWRBVRM-HUUCEWRRSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)o1 ZINC001042058706 763602088 /nfs/dbraw/zinc/60/20/88/763602088.db2.gz UTLCDPYPWRBVRM-HUUCEWRRSA-N 1 2 314.389 1.214 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001109535244 763797613 /nfs/dbraw/zinc/79/76/13/763797613.db2.gz IIMAKASGQGREPC-UHFFFAOYSA-N 1 2 320.441 1.863 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109601774 763850553 /nfs/dbraw/zinc/85/05/53/763850553.db2.gz OHVINUJKPOKKLM-RDBSUJKOSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109601774 763850561 /nfs/dbraw/zinc/85/05/61/763850561.db2.gz OHVINUJKPOKKLM-RDBSUJKOSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C3CC(OC)C3)c2C1 ZINC001069864228 768196445 /nfs/dbraw/zinc/19/64/45/768196445.db2.gz FMUNVSCVPWFSFR-UHFFFAOYSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C3CC(OC)C3)c2C1 ZINC001069864228 768196446 /nfs/dbraw/zinc/19/64/46/768196446.db2.gz FMUNVSCVPWFSFR-UHFFFAOYSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C2CC2)nn1C ZINC001050940381 764313238 /nfs/dbraw/zinc/31/32/38/764313238.db2.gz QTFAHXIVTHUEBH-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C2CC2)nn1C ZINC001050940381 764313247 /nfs/dbraw/zinc/31/32/47/764313247.db2.gz QTFAHXIVTHUEBH-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc3n2[C@@H](C)CCC3)C1 ZINC001042755742 764417156 /nfs/dbraw/zinc/41/71/56/764417156.db2.gz CVLVREMQKQIQMU-ZDUSSCGKSA-N 1 2 300.406 1.560 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@H](NC(=O)Cc3c[nH]c[nH+]3)[C@H]2C)n1 ZINC001051069080 764473328 /nfs/dbraw/zinc/47/33/28/764473328.db2.gz TXKVAEIYOXBXNS-DOMZBBRYSA-N 1 2 324.388 1.311 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1Cc2ccncc2C1 ZINC001051111426 764517696 /nfs/dbraw/zinc/51/76/96/764517696.db2.gz QMZPQWUVPBCWGT-WBVHZDCISA-N 1 2 315.417 1.190 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1Cc2ccncc2C1 ZINC001051111426 764517701 /nfs/dbraw/zinc/51/77/01/764517701.db2.gz QMZPQWUVPBCWGT-WBVHZDCISA-N 1 2 315.417 1.190 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c2cccnc12 ZINC001051210560 764624331 /nfs/dbraw/zinc/62/43/31/764624331.db2.gz XQPCRLCDYDBQIS-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c2cccnc12 ZINC001051210560 764624334 /nfs/dbraw/zinc/62/43/34/764624334.db2.gz XQPCRLCDYDBQIS-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2COc3ccc(F)cc3C2)C1 ZINC001043335947 764842888 /nfs/dbraw/zinc/84/28/88/764842888.db2.gz FCWAEGKBLSXKOF-CYBMUJFWSA-N 1 2 304.365 1.705 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2c3c[nH]nc3CC[C@@H]2C)C1 ZINC001043385659 764877388 /nfs/dbraw/zinc/87/73/88/764877388.db2.gz KRMLYRGZFSJNGW-BLLLJJGKSA-N 1 2 302.422 1.794 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2c(C)nc(CC)nc2C)C1 ZINC001043386824 764878326 /nfs/dbraw/zinc/87/83/26/764878326.db2.gz SPKALLHEEGVAHY-UHFFFAOYSA-N 1 2 302.422 1.527 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001112995542 765108696 /nfs/dbraw/zinc/10/86/96/765108696.db2.gz DJWZBHZNZHADAN-CHWSQXEVSA-N 1 2 317.437 1.736 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001112995542 765108699 /nfs/dbraw/zinc/10/86/99/765108699.db2.gz DJWZBHZNZHADAN-CHWSQXEVSA-N 1 2 317.437 1.736 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2C[NH+](CCCc3nc(C)no3)C2)C1 ZINC001043813509 765112512 /nfs/dbraw/zinc/11/25/12/765112512.db2.gz LTOKJQAJVYLYIL-UHFFFAOYSA-N 1 2 318.421 1.810 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H](C[NH2+]Cc2csnn2)C1 ZINC001051755580 765127355 /nfs/dbraw/zinc/12/73/55/765127355.db2.gz JAYMHDQIFVUAIY-GFCCVEGCSA-N 1 2 310.423 1.067 20 30 DDEDLO Cc1nc(N(C)C)nc(N[C@@H](C)[C@H](C)NC(=O)C#CC(C)C)[nH+]1 ZINC001113034791 765169259 /nfs/dbraw/zinc/16/92/59/765169259.db2.gz YNBWBAYZFCKQLH-RYUDHWBXSA-N 1 2 318.425 1.211 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCO[C@@H](C[NH2+]Cc3cnsn3)C2)C1 ZINC001051814904 765185088 /nfs/dbraw/zinc/18/50/88/765185088.db2.gz MNWXHAAQMQWQII-ZDUSSCGKSA-N 1 2 322.434 1.211 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(N3CC=CC3)c2)C1 ZINC001043982934 765205775 /nfs/dbraw/zinc/20/57/75/765205775.db2.gz CAWVQLJNQPKYKD-UHFFFAOYSA-N 1 2 309.413 1.842 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)[C@H](C)NC(=O)Cn2cc[nH+]c2)n1 ZINC001113081574 765233334 /nfs/dbraw/zinc/23/33/34/765233334.db2.gz FRGLZJGIPLPPCS-STQMWFEESA-N 1 2 312.377 1.464 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(C)nc3c2cnn3C)C1 ZINC001044096728 765299034 /nfs/dbraw/zinc/29/90/34/765299034.db2.gz COHGSYGYISPSOH-UHFFFAOYSA-N 1 2 313.405 1.609 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[C@@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131717393 768282379 /nfs/dbraw/zinc/28/23/79/768282379.db2.gz QQTPPOLPFYJAEE-HZPDHXFCSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[C@@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131717393 768282381 /nfs/dbraw/zinc/28/23/81/768282381.db2.gz QQTPPOLPFYJAEE-HZPDHXFCSA-N 1 2 321.465 1.744 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2CC(Nc3cc[nH+]c(C)n3)C2)nc1 ZINC001051964338 765320418 /nfs/dbraw/zinc/32/04/18/765320418.db2.gz OHQQOAZLRNXVHF-UHFFFAOYSA-N 1 2 321.384 1.782 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccc(C)o3)C2)CC1 ZINC001051976986 765338312 /nfs/dbraw/zinc/33/83/12/765338312.db2.gz MKTFCKLPTNGTRF-HNNXBMFYSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccc(C)o3)C2)CC1 ZINC001051976986 765338322 /nfs/dbraw/zinc/33/83/22/765338322.db2.gz MKTFCKLPTNGTRF-HNNXBMFYSA-N 1 2 301.390 1.053 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)c3ccc[nH]3)C2)CC1 ZINC001051982556 765345974 /nfs/dbraw/zinc/34/59/74/765345974.db2.gz HGWJCWTUPQLZIC-AWEZNQCLSA-N 1 2 322.840 1.599 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)CC3(C)CC3)C2)CC1 ZINC001052054109 765425658 /nfs/dbraw/zinc/42/56/58/765425658.db2.gz YMVDEXXBSJZEEM-INIZCTEOSA-N 1 2 303.450 1.418 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3CCCC3(C)C)C2)CC1 ZINC001052067159 765440484 /nfs/dbraw/zinc/44/04/84/765440484.db2.gz GAHHHIRPWJPMIW-SJORKVTESA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)(C)CC)C2)CC1 ZINC001052074218 765445056 /nfs/dbraw/zinc/44/50/56/765445056.db2.gz WVSLIIUNWCXOGZ-MRXNPFEDSA-N 1 2 305.466 1.664 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3[nH]c(C)nc3C)C2)CC1 ZINC001052083188 765455948 /nfs/dbraw/zinc/45/59/48/765455948.db2.gz LQMDIBTYQNVROB-OAHLLOKOSA-N 1 2 317.437 1.045 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3CC34CC4)C2)CC1 ZINC001052091695 765462995 /nfs/dbraw/zinc/46/29/95/765462995.db2.gz KFXRUOSXMIAMPU-CVEARBPZSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3C[C@H]3C3CC3)C2)CC1 ZINC001052097392 765469441 /nfs/dbraw/zinc/46/94/41/765469441.db2.gz XVLVEAJBFMMUFD-OKZBNKHCSA-N 1 2 315.461 1.274 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3nocc3C)C2)CC1 ZINC001052106229 765476223 /nfs/dbraw/zinc/47/62/23/765476223.db2.gz GBKNDWWKPPFXMG-AWEZNQCLSA-N 1 2 304.394 1.001 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC001113243059 765476854 /nfs/dbraw/zinc/47/68/54/765476854.db2.gz CRECKHARYCQKIC-CQSZACIVSA-N 1 2 319.453 1.641 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001113243585 765477859 /nfs/dbraw/zinc/47/78/59/765477859.db2.gz KQDHTHAJROHWBA-IAGOWNOFSA-N 1 2 324.465 1.929 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C2CCN(C(=O)CCC)CC2)C1 ZINC001044327108 765484294 /nfs/dbraw/zinc/48/42/94/765484294.db2.gz YBIYTNSAWDYEAI-UHFFFAOYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)N([C@@H](C)CCC)C2)C1 ZINC001044333243 765488864 /nfs/dbraw/zinc/48/88/64/765488864.db2.gz PKHLNLUXOXNVJI-KBPBESRZSA-N 1 2 307.438 1.352 20 30 DDEDLO Cc1nc(NCC(C)(C)CN(C)C(=O)CSCC#N)cc[nH+]1 ZINC001113398476 765659101 /nfs/dbraw/zinc/65/91/01/765659101.db2.gz TYTSGJCWJHHLNK-UHFFFAOYSA-N 1 2 321.450 1.938 20 30 DDEDLO C=CCC[C@@H](C)[NH+]1CCN(C(=O)CCCS(C)(=O)=O)CC1 ZINC001113426069 765682145 /nfs/dbraw/zinc/68/21/45/765682145.db2.gz LTEHTJSHHVKFLF-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C[C@@H]1C[C@@H](NCC#N)CCN1C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001044808525 765827076 /nfs/dbraw/zinc/82/70/76/765827076.db2.gz MIJWQKLEIRJOBN-KGLIPLIRSA-N 1 2 324.388 1.374 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@H]1CCCN(CC#N)CC1)C2 ZINC001052506679 765899338 /nfs/dbraw/zinc/89/93/38/765899338.db2.gz JEQOQSAYHGQJEJ-KGLIPLIRSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@H]1CCCN(CC#N)CC1)CC2 ZINC001052506679 765899348 /nfs/dbraw/zinc/89/93/48/765899348.db2.gz JEQOQSAYHGQJEJ-KGLIPLIRSA-N 1 2 315.421 1.317 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]1C[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001044961762 765970673 /nfs/dbraw/zinc/97/06/73/765970673.db2.gz SWNCZJVQNGNMGB-RYUDHWBXSA-N 1 2 319.409 1.607 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@@H]2CCCN2C(=O)C#CC2CC2)no1 ZINC001045033248 766053248 /nfs/dbraw/zinc/05/32/48/766053248.db2.gz BYFXYBMEEMHFQT-RISCZKNCSA-N 1 2 302.378 1.433 20 30 DDEDLO CC1(NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CCN(CC#N)CC1 ZINC001045553636 766397421 /nfs/dbraw/zinc/39/74/21/766397421.db2.gz ZGHQHHLNDPDSMW-GFCCVEGCSA-N 1 2 301.394 1.324 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CCCC)no3)C[C@H]21 ZINC001114005045 766523562 /nfs/dbraw/zinc/52/35/62/766523562.db2.gz NBWHPPAIGBGLJL-LAQFHYBYSA-N 1 2 318.421 1.925 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CCCC)no3)C[C@H]21 ZINC001114005045 766523565 /nfs/dbraw/zinc/52/35/65/766523565.db2.gz NBWHPPAIGBGLJL-LAQFHYBYSA-N 1 2 318.421 1.925 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001114161940 766714504 /nfs/dbraw/zinc/71/45/04/766714504.db2.gz OHYXOOZTEGPZJC-LJIGWXMPSA-N 1 2 312.417 1.778 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001114161940 766714512 /nfs/dbraw/zinc/71/45/12/766714512.db2.gz OHYXOOZTEGPZJC-LJIGWXMPSA-N 1 2 312.417 1.778 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001114166528 766727075 /nfs/dbraw/zinc/72/70/75/766727075.db2.gz TZYNQOPCYKXLLG-YYWXWVFPSA-N 1 2 316.405 1.679 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001114166528 766727081 /nfs/dbraw/zinc/72/70/81/766727081.db2.gz TZYNQOPCYKXLLG-YYWXWVFPSA-N 1 2 316.405 1.679 20 30 DDEDLO C[C@@H]1CCN(c2ccc(C#N)nc2)C[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067964794 766843129 /nfs/dbraw/zinc/84/31/29/766843129.db2.gz IRHSBZLZSSSNSO-CJNGLKHVSA-N 1 2 324.388 1.181 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067972567 766849263 /nfs/dbraw/zinc/84/92/63/766849263.db2.gz DFTLVXLNOJRXMX-ZIAGYGMSSA-N 1 2 320.437 1.717 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)[C@]34C[C@H]3CCCC4)CC2)C1 ZINC001046059652 766870437 /nfs/dbraw/zinc/87/04/37/766870437.db2.gz LZQXFZFATQXSDY-SOLBZPMBSA-N 1 2 316.449 1.309 20 30 DDEDLO C[C@H](C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C(C)(F)F ZINC001046062041 766875318 /nfs/dbraw/zinc/87/53/18/766875318.db2.gz AKNOXGSWRFDOAW-OLZOCXBDSA-N 1 2 314.380 1.020 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CCCCCC)[C@@H](n2ccnn2)C1 ZINC001129422508 766878385 /nfs/dbraw/zinc/87/83/85/766878385.db2.gz SMKPOINRGNJIKS-CABCVRRESA-N 1 2 303.410 1.223 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CCCCCC)[C@@H](n2ccnn2)C1 ZINC001129422508 766878394 /nfs/dbraw/zinc/87/83/94/766878394.db2.gz SMKPOINRGNJIKS-CABCVRRESA-N 1 2 303.410 1.223 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)cs1 ZINC001046070332 766884301 /nfs/dbraw/zinc/88/43/01/766884301.db2.gz SCVBIVAVJDKTGV-HNNXBMFYSA-N 1 2 318.446 1.412 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CC[C@](C)(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001046248397 767289973 /nfs/dbraw/zinc/28/99/73/767289973.db2.gz OUSOIDYKZIPFIN-PXAZEXFGSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CC[C@](C)(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001046248397 767289976 /nfs/dbraw/zinc/28/99/76/767289976.db2.gz OUSOIDYKZIPFIN-PXAZEXFGSA-N 1 2 324.388 1.632 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001068595597 767445040 /nfs/dbraw/zinc/44/50/40/767445040.db2.gz JOTPUIZGPIQNQF-YEORSEQZSA-N 1 2 310.361 1.226 20 30 DDEDLO C#CC[NH+]1CC2(C1)CN(C(=O)CCCC)C[C@@H]2c1nnc(C)[nH]1 ZINC001130457392 767541857 /nfs/dbraw/zinc/54/18/57/767541857.db2.gz UOVYHVAYERPMIU-CQSZACIVSA-N 1 2 315.421 1.164 20 30 DDEDLO CN(C)S(=O)(=O)CCNc1[nH+]ccc2ccc(C#N)cc21 ZINC001158021916 767653426 /nfs/dbraw/zinc/65/34/26/767653426.db2.gz QSTKMXNQQFPVKY-UHFFFAOYSA-N 1 2 304.375 1.410 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2oc(C(F)F)cc2C)C1 ZINC001047416116 768462825 /nfs/dbraw/zinc/46/28/25/768462825.db2.gz VYTDJKJHYPSPQM-QWRGUYRKSA-N 1 2 312.316 1.276 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2oc(C(F)F)cc2C)C1 ZINC001047416116 768462827 /nfs/dbraw/zinc/46/28/27/768462827.db2.gz VYTDJKJHYPSPQM-QWRGUYRKSA-N 1 2 312.316 1.276 20 30 DDEDLO CC#CC[NH+]1CC2(C1)CN(C(=O)C(C)C)C[C@H]2c1nnc(C)[nH]1 ZINC001070439349 768481937 /nfs/dbraw/zinc/48/19/37/768481937.db2.gz QLGVBFNLTDAADN-AWEZNQCLSA-N 1 2 315.421 1.020 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc3ccccc3c2C)C1 ZINC001047460672 768495042 /nfs/dbraw/zinc/49/50/42/768495042.db2.gz LQYPOQORGGQWIJ-ROUUACIJSA-N 1 2 323.396 1.294 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc3ccccc3c2C)C1 ZINC001047460672 768495047 /nfs/dbraw/zinc/49/50/47/768495047.db2.gz LQYPOQORGGQWIJ-ROUUACIJSA-N 1 2 323.396 1.294 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(Cl)cs2)C1 ZINC001047549668 768561337 /nfs/dbraw/zinc/56/13/37/768561337.db2.gz BSSGUJRPJFXWIU-QWRGUYRKSA-N 1 2 300.811 1.705 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(Cl)cs2)C1 ZINC001047549668 768561344 /nfs/dbraw/zinc/56/13/44/768561344.db2.gz BSSGUJRPJFXWIU-QWRGUYRKSA-N 1 2 300.811 1.705 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2ccns2)CC[C@H]1C ZINC001132069557 768604752 /nfs/dbraw/zinc/60/47/52/768604752.db2.gz VEMIXACHISNVMT-OLZOCXBDSA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2ccns2)CC[C@H]1C ZINC001132069557 768604759 /nfs/dbraw/zinc/60/47/59/768604759.db2.gz VEMIXACHISNVMT-OLZOCXBDSA-N 1 2 307.419 1.376 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(Cl)cccc2OC)C1 ZINC001047638650 768629556 /nfs/dbraw/zinc/62/95/56/768629556.db2.gz CXBRESVDDFFAMP-STQMWFEESA-N 1 2 322.792 1.099 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(Cl)cccc2OC)C1 ZINC001047638650 768629558 /nfs/dbraw/zinc/62/95/58/768629558.db2.gz CXBRESVDDFFAMP-STQMWFEESA-N 1 2 322.792 1.099 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCc2[nH+]ccn2C1)Nc1ncccc1C#N ZINC001098125210 768639338 /nfs/dbraw/zinc/63/93/38/768639338.db2.gz BANOXLMMMBLIOZ-GXTWGEPZSA-N 1 2 324.388 1.329 20 30 DDEDLO CCC(C)(CC)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070720387 768691472 /nfs/dbraw/zinc/69/14/72/768691472.db2.gz OTJKYCSMFIGVRL-ZIAGYGMSSA-N 1 2 317.437 1.654 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C2(C(=O)NC)CC2)CC[C@H]1C ZINC001132348061 768775631 /nfs/dbraw/zinc/77/56/31/768775631.db2.gz HBBLWVRONWCXJP-VXGBXAGGSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C2(C(=O)NC)CC2)CC[C@H]1C ZINC001132348061 768775633 /nfs/dbraw/zinc/77/56/33/768775633.db2.gz HBBLWVRONWCXJP-VXGBXAGGSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC(=O)NCC[N@@H+](CC(=C)C)CC1 ZINC001070994941 768854847 /nfs/dbraw/zinc/85/48/47/768854847.db2.gz KCVPCPXKMYJPBL-SFHVURJKSA-N 1 2 321.465 1.815 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC(=O)NCC[N@H+](CC(=C)C)CC1 ZINC001070994941 768854857 /nfs/dbraw/zinc/85/48/57/768854857.db2.gz KCVPCPXKMYJPBL-SFHVURJKSA-N 1 2 321.465 1.815 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1scc3c1OCCO3)C2 ZINC001096276925 768918012 /nfs/dbraw/zinc/91/80/12/768918012.db2.gz KIDWFLBPASHRTF-WOPDTQHZSA-N 1 2 318.398 1.488 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1scc3c1OCCO3)C2 ZINC001096276925 768918014 /nfs/dbraw/zinc/91/80/14/768918014.db2.gz KIDWFLBPASHRTF-WOPDTQHZSA-N 1 2 318.398 1.488 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCCC(N)=O)CC[C@@H]1C ZINC001132536958 768970828 /nfs/dbraw/zinc/97/08/28/768970828.db2.gz KGAAAYXCMAQNBA-RYUDHWBXSA-N 1 2 301.818 1.364 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCCC(N)=O)CC[C@@H]1C ZINC001132536958 768970836 /nfs/dbraw/zinc/97/08/36/768970836.db2.gz KGAAAYXCMAQNBA-RYUDHWBXSA-N 1 2 301.818 1.364 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001132569830 768993262 /nfs/dbraw/zinc/99/32/62/768993262.db2.gz ZHEYWYYCIUYXCM-DTWKUNHWSA-N 1 2 302.325 1.671 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001132592912 769015194 /nfs/dbraw/zinc/01/51/94/769015194.db2.gz IFKLDSVEEQYFJT-UHFFFAOYSA-N 1 2 303.406 1.953 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001071272123 769219188 /nfs/dbraw/zinc/21/91/88/769219188.db2.gz GWCULYKILVMSQO-MAUKXSAKSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001071272123 769219196 /nfs/dbraw/zinc/21/91/96/769219196.db2.gz GWCULYKILVMSQO-MAUKXSAKSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CN2CCCC2=O)CC[C@H]1C ZINC001071339801 769302425 /nfs/dbraw/zinc/30/24/25/769302425.db2.gz FHBHWHLKLKTRRD-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CN2CCCC2=O)CC[C@H]1C ZINC001071339801 769302431 /nfs/dbraw/zinc/30/24/31/769302431.db2.gz FHBHWHLKLKTRRD-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(OC)ns2)CC[C@H]1C ZINC001071519200 769552232 /nfs/dbraw/zinc/55/22/32/769552232.db2.gz WHJIRSONTXWQPA-NEPJUHHUSA-N 1 2 307.419 1.758 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(OC)ns2)CC[C@H]1C ZINC001071519200 769552235 /nfs/dbraw/zinc/55/22/35/769552235.db2.gz WHJIRSONTXWQPA-NEPJUHHUSA-N 1 2 307.419 1.758 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096393802 769634393 /nfs/dbraw/zinc/63/43/93/769634393.db2.gz SCSCLTBEKWSIOX-ZFWWWQNUSA-N 1 2 318.421 1.688 20 30 DDEDLO N#Cc1cnccc1N1CC[C@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001096395382 769649527 /nfs/dbraw/zinc/64/95/27/769649527.db2.gz MPVGZXNJWQVDMN-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2cn[nH]n2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071635009 769763002 /nfs/dbraw/zinc/76/30/02/769763002.db2.gz MBYQHISIQRMOFH-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2cn[nH]n2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071635009 769763013 /nfs/dbraw/zinc/76/30/13/769763013.db2.gz MBYQHISIQRMOFH-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2cnn[nH]2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071635009 769763020 /nfs/dbraw/zinc/76/30/20/769763020.db2.gz MBYQHISIQRMOFH-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2cnn[nH]2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071635009 769763028 /nfs/dbraw/zinc/76/30/28/769763028.db2.gz MBYQHISIQRMOFH-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[N@@H+](Cc3ncnn3C)C[C@@H]2C1 ZINC001049035608 770278909 /nfs/dbraw/zinc/27/89/09/770278909.db2.gz LYKMDQZXQOGPTD-JKIFEVAISA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[N@H+](Cc3ncnn3C)C[C@@H]2C1 ZINC001049035608 770278912 /nfs/dbraw/zinc/27/89/12/770278912.db2.gz LYKMDQZXQOGPTD-JKIFEVAISA-N 1 2 317.437 1.308 20 30 DDEDLO C#CCN1C[C@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)CC[C@H]1C ZINC001071940060 770315919 /nfs/dbraw/zinc/31/59/19/770315919.db2.gz VLBONANGHOCDLF-GDBMZVCRSA-N 1 2 323.400 1.483 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnn3cc[nH]c23)CC[C@H]1C ZINC001072023482 770438027 /nfs/dbraw/zinc/43/80/27/770438027.db2.gz RTFYEPJWKCQKMR-VXGBXAGGSA-N 1 2 321.812 1.998 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnn3cc[nH]c23)CC[C@H]1C ZINC001072023482 770438034 /nfs/dbraw/zinc/43/80/34/770438034.db2.gz RTFYEPJWKCQKMR-VXGBXAGGSA-N 1 2 321.812 1.998 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001072453732 770919742 /nfs/dbraw/zinc/91/97/42/770919742.db2.gz OAHAHSYQXDMLGE-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+]Cc1ncc(C2CC2)o1 ZINC001135147905 771353545 /nfs/dbraw/zinc/35/35/45/771353545.db2.gz SQRIFJXFOPNMRZ-BBRMVZONSA-N 1 2 319.405 1.739 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nccn1CC)C2 ZINC001097016237 771578879 /nfs/dbraw/zinc/57/88/79/771578879.db2.gz GQDIIDLMTKZTKC-UPJWGTAASA-N 1 2 308.813 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nccn1CC)C2 ZINC001097016237 771578881 /nfs/dbraw/zinc/57/88/81/771578881.db2.gz GQDIIDLMTKZTKC-UPJWGTAASA-N 1 2 308.813 1.991 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](NC(=O)CCCn3cc[nH+]c3)C2)nc1 ZINC001097041850 771593582 /nfs/dbraw/zinc/59/35/82/771593582.db2.gz WBFXOPWEGRGIBZ-SHTZXODSSA-N 1 2 324.388 1.689 20 30 DDEDLO C=C[C@H]1C[C@@]1(Nc1ncnc2c1C[N@H+](C)CC2)C(=O)OCC ZINC001170925501 771915355 /nfs/dbraw/zinc/91/53/55/771915355.db2.gz SPFWBIAEYKMPID-ZBEGNZNMSA-N 1 2 302.378 1.384 20 30 DDEDLO C=C[C@H]1C[C@@]1(Nc1ncnc2c1C[N@@H+](C)CC2)C(=O)OCC ZINC001170925501 771915357 /nfs/dbraw/zinc/91/53/57/771915357.db2.gz SPFWBIAEYKMPID-ZBEGNZNMSA-N 1 2 302.378 1.384 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@@H](O)C1 ZINC001090811002 772232595 /nfs/dbraw/zinc/23/25/95/772232595.db2.gz ZWUAPTXJCOYPND-CABCVRRESA-N 1 2 318.421 1.030 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@@H](O)C1 ZINC001090811002 772232598 /nfs/dbraw/zinc/23/25/98/772232598.db2.gz ZWUAPTXJCOYPND-CABCVRRESA-N 1 2 318.421 1.030 20 30 DDEDLO COc1cc(N2CCN(Cc3cncc(C#N)c3)CC2)cc[nH+]1 ZINC001144085971 772391001 /nfs/dbraw/zinc/39/10/01/772391001.db2.gz JZJMIDGWOBCNBY-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=C(Cc1ccccc1)C(=O)N1CC[N@@H+](C)C[C@H]1C(=O)OC ZINC001144395542 772509146 /nfs/dbraw/zinc/50/91/46/772509146.db2.gz DYEHPTDSOXGZQY-HNNXBMFYSA-N 1 2 302.374 1.101 20 30 DDEDLO C=C(Cc1ccccc1)C(=O)N1CC[N@H+](C)C[C@H]1C(=O)OC ZINC001144395542 772509149 /nfs/dbraw/zinc/50/91/49/772509149.db2.gz DYEHPTDSOXGZQY-HNNXBMFYSA-N 1 2 302.374 1.101 20 30 DDEDLO C[C@H](Cc1cccc(C#N)c1)[NH+]1CCN(S(C)(=O)=O)CC1 ZINC001171221271 772618876 /nfs/dbraw/zinc/61/88/76/772618876.db2.gz JWHDQBIAHFLMJW-CYBMUJFWSA-N 1 2 307.419 1.066 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCO[C@H](CNC(=O)[C@H](C)C#N)C2)oc1C ZINC001149399325 772775277 /nfs/dbraw/zinc/77/52/77/772775277.db2.gz SBWZRODNCAJOPT-BXUZGUMPSA-N 1 2 320.393 1.158 20 30 DDEDLO Cc1nc(C[N@H+]2CCCO[C@H](CNC(=O)[C@H](C)C#N)C2)oc1C ZINC001149399325 772775280 /nfs/dbraw/zinc/77/52/80/772775280.db2.gz SBWZRODNCAJOPT-BXUZGUMPSA-N 1 2 320.393 1.158 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001148094750 773344883 /nfs/dbraw/zinc/34/48/83/773344883.db2.gz AOSMIZRXJSCLCW-CHWSQXEVSA-N 1 2 304.394 1.562 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001148094750 773344891 /nfs/dbraw/zinc/34/48/91/773344891.db2.gz AOSMIZRXJSCLCW-CHWSQXEVSA-N 1 2 304.394 1.562 20 30 DDEDLO CCn1ccnc1C[N@H+](C)C[C@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001073973225 773566074 /nfs/dbraw/zinc/56/60/74/773566074.db2.gz VWVHJWDITQDKDK-LSDHHAIUSA-N 1 2 317.437 1.876 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)C[C@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001073973225 773566078 /nfs/dbraw/zinc/56/60/78/773566078.db2.gz VWVHJWDITQDKDK-LSDHHAIUSA-N 1 2 317.437 1.876 20 30 DDEDLO CCCCCCCCC(=O)NCC[NH2+]Cc1nc(=O)n(C)[nH]1 ZINC001148727795 773578332 /nfs/dbraw/zinc/57/83/32/773578332.db2.gz LOERXASWTSPWED-UHFFFAOYSA-N 1 2 311.430 1.065 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)C1CC1)c1nccn12 ZINC001092358975 774073989 /nfs/dbraw/zinc/07/39/89/774073989.db2.gz KARUVAUTQHNYJD-GXTWGEPZSA-N 1 2 300.406 1.687 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1cccnc1)c1nccn12 ZINC001092363728 774077475 /nfs/dbraw/zinc/07/74/75/774077475.db2.gz NSCXTSISVLOAPU-OAHLLOKOSA-N 1 2 323.400 1.279 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC1(F)F)c1nccn12 ZINC001092366047 774105784 /nfs/dbraw/zinc/10/57/84/774105784.db2.gz OBBUSLDYSHQJLI-VXGBXAGGSA-N 1 2 320.343 1.134 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001074886069 774216075 /nfs/dbraw/zinc/21/60/75/774216075.db2.gz CIRPNRVZXYNIIM-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001074886069 774216078 /nfs/dbraw/zinc/21/60/78/774216078.db2.gz CIRPNRVZXYNIIM-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(-c3nnc[nH]3)cc1)C2 ZINC001098150733 774372331 /nfs/dbraw/zinc/37/23/31/774372331.db2.gz MTAPMWGAPIVMOE-OAGGEKHMSA-N 1 2 323.400 1.993 20 30 DDEDLO C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(-c3nnc[nH]3)cc1)C2 ZINC001098150733 774372338 /nfs/dbraw/zinc/37/23/38/774372338.db2.gz MTAPMWGAPIVMOE-OAGGEKHMSA-N 1 2 323.400 1.993 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2[C@@H](CC[N@@H+]2Cc2csnn2)C1 ZINC001036714338 774387217 /nfs/dbraw/zinc/38/72/17/774387217.db2.gz WPEDZYMZPWMOFA-ZFWWWQNUSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2[C@@H](CC[N@H+]2Cc2csnn2)C1 ZINC001036714338 774387226 /nfs/dbraw/zinc/38/72/26/774387226.db2.gz WPEDZYMZPWMOFA-ZFWWWQNUSA-N 1 2 316.430 1.374 20 30 DDEDLO C[C@H](CNC(=O)CCc1[nH+]ccn1C)Nc1ncccc1C#N ZINC001098442372 774583276 /nfs/dbraw/zinc/58/32/76/774583276.db2.gz XGSKKYHJZAIHBI-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C(C)=C3CCC3)C2)nn1 ZINC001098669332 774636255 /nfs/dbraw/zinc/63/62/55/774636255.db2.gz NONJPONLMIBRRT-INIZCTEOSA-N 1 2 313.405 1.275 20 30 DDEDLO Cc1nc(NCCNC(=O)c2cc(C#N)c[nH]2)c2c([nH+]1)CCCC2 ZINC001093539292 774809066 /nfs/dbraw/zinc/80/90/66/774809066.db2.gz IUASZUAFJUZPBE-UHFFFAOYSA-N 1 2 324.388 1.706 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC(OC3C[NH+](CCO)C3)CC2)C1 ZINC001093570791 774843442 /nfs/dbraw/zinc/84/34/42/774843442.db2.gz GCNRZTSIUATEJF-UHFFFAOYSA-N 1 2 308.422 1.027 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CCO[C@H](C)C3)CC2)C1 ZINC001093584913 774862746 /nfs/dbraw/zinc/86/27/46/774862746.db2.gz MADXVGQAKFRUQO-CABCVRRESA-N 1 2 322.449 1.679 20 30 DDEDLO CC(C)CC(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099640294 775088341 /nfs/dbraw/zinc/08/83/41/775088341.db2.gz XQIZPZXXTMELNQ-DLBZAZTESA-N 1 2 315.417 1.656 20 30 DDEDLO CC(C)CC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099640294 775088346 /nfs/dbraw/zinc/08/83/46/775088346.db2.gz XQIZPZXXTMELNQ-DLBZAZTESA-N 1 2 315.417 1.656 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)/C=C\c2ccco2)[C@@H](O)C1 ZINC001099642599 775090604 /nfs/dbraw/zinc/09/06/04/775090604.db2.gz SQAMRBVIAFMFCA-MRYXHSKJSA-N 1 2 310.781 1.597 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)/C=C\c2ccco2)[C@@H](O)C1 ZINC001099642599 775090611 /nfs/dbraw/zinc/09/06/11/775090611.db2.gz SQAMRBVIAFMFCA-MRYXHSKJSA-N 1 2 310.781 1.597 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)sc2C)C[C@@H]1O ZINC001099726044 775188979 /nfs/dbraw/zinc/18/89/79/775188979.db2.gz CVGBJFDFEKRFEC-ZFWWWQNUSA-N 1 2 323.462 1.778 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)sc2C)C[C@@H]1O ZINC001099726044 775188984 /nfs/dbraw/zinc/18/89/84/775188984.db2.gz CVGBJFDFEKRFEC-ZFWWWQNUSA-N 1 2 323.462 1.778 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cccc(Cl)n2)C[C@H]1O ZINC001099726911 775191440 /nfs/dbraw/zinc/19/14/40/775191440.db2.gz KZKSDSFZMQIVCF-UONOGXRCSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cccc(Cl)n2)C[C@H]1O ZINC001099726911 775191446 /nfs/dbraw/zinc/19/14/46/775191446.db2.gz KZKSDSFZMQIVCF-UONOGXRCSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccncc2Cl)C[C@@H]1O ZINC001099728429 775194670 /nfs/dbraw/zinc/19/46/70/775194670.db2.gz WWSPTCMJKJIICX-CABCVRRESA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccncc2Cl)C[C@@H]1O ZINC001099728429 775194676 /nfs/dbraw/zinc/19/46/76/775194676.db2.gz WWSPTCMJKJIICX-CABCVRRESA-N 1 2 323.824 1.753 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@@H]2CCn3cc[nH+]c3C2)ccc1C#N ZINC001093959043 775271378 /nfs/dbraw/zinc/27/13/78/775271378.db2.gz UEEYQGXWEBWCFD-CYBMUJFWSA-N 1 2 324.388 1.249 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001099858906 775361058 /nfs/dbraw/zinc/36/10/58/775361058.db2.gz SWWQBYWDGSYMAP-CVEARBPZSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001099858906 775361067 /nfs/dbraw/zinc/36/10/67/775361067.db2.gz SWWQBYWDGSYMAP-CVEARBPZSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CCC1(C(=O)NCCNc2[nH+]cnc3c2cnn3C)CCC1 ZINC001094101874 775476678 /nfs/dbraw/zinc/47/66/78/775476678.db2.gz XFIMPJKNIIHXTM-UHFFFAOYSA-N 1 2 314.393 1.638 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001094188785 775550405 /nfs/dbraw/zinc/55/04/05/775550405.db2.gz NTJGINRKVKWXRC-NSHDSACASA-N 1 2 312.377 1.392 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)ccc1C#N ZINC001094188785 775550408 /nfs/dbraw/zinc/55/04/08/775550408.db2.gz NTJGINRKVKWXRC-NSHDSACASA-N 1 2 312.377 1.392 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(CF)CCC2)[C@H](O)C1 ZINC001100013080 775566908 /nfs/dbraw/zinc/56/69/08/775566908.db2.gz HNHGOZLWBGHVSB-VXGBXAGGSA-N 1 2 304.793 1.430 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(CF)CCC2)[C@H](O)C1 ZINC001100013080 775566915 /nfs/dbraw/zinc/56/69/15/775566915.db2.gz HNHGOZLWBGHVSB-VXGBXAGGSA-N 1 2 304.793 1.430 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CCC[C@H](C)C3)nn2)C1 ZINC001094314300 775669727 /nfs/dbraw/zinc/66/97/27/775669727.db2.gz UKYDOSIVANOWTF-KBPBESRZSA-N 1 2 317.437 1.763 20 30 DDEDLO CCOC(=O)c1cc(C#N)c(C)nc1Nc1nccnc1C[NH3+] ZINC001171441991 775790716 /nfs/dbraw/zinc/79/07/16/775790716.db2.gz YZAPUZLMQJYQER-UHFFFAOYSA-N 1 2 312.333 1.431 20 30 DDEDLO CN(CCNC(=O)CCc1c[nH]c[nH+]1)c1ncc(C#N)cc1F ZINC001100380431 776076960 /nfs/dbraw/zinc/07/69/60/776076960.db2.gz LSTNKKVLOUREFQ-UHFFFAOYSA-N 1 2 316.340 1.001 20 30 DDEDLO CN(CCNC(=O)CCc1c[nH+]c[nH]1)c1ncc(C#N)cc1F ZINC001100380431 776076965 /nfs/dbraw/zinc/07/69/65/776076965.db2.gz LSTNKKVLOUREFQ-UHFFFAOYSA-N 1 2 316.340 1.001 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)c3ccccc3)CC2=O)C1 ZINC001094691504 776200587 /nfs/dbraw/zinc/20/05/87/776200587.db2.gz HBRYTEJCAOXFHN-OAHLLOKOSA-N 1 2 313.401 1.278 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@H]3CC=CCC3)CC2=O)C1 ZINC001094772718 776262702 /nfs/dbraw/zinc/26/27/02/776262702.db2.gz FAYIVCBVBIXMJK-LSDHHAIUSA-N 1 2 317.433 1.320 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)c3cc(C)co3)CC2=O)C1 ZINC001094773634 776263786 /nfs/dbraw/zinc/26/37/86/776263786.db2.gz FLPNBDXWIQTODY-CYBMUJFWSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3C[C@H]3C3CCC3)CC2=O)C1 ZINC001094796804 776290967 /nfs/dbraw/zinc/29/09/67/776290967.db2.gz DQAARCVZHCQRBZ-VNQPRFMTSA-N 1 2 317.433 1.010 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CC([NH2+]Cc2noc(CC)n2)C1 ZINC001100563298 776308738 /nfs/dbraw/zinc/30/87/38/776308738.db2.gz CDVYYQOTGOCEFQ-UHFFFAOYSA-N 1 2 306.410 1.829 20 30 DDEDLO CCN(CCNC(=O)Cc1c[nH]c[nH+]1)c1cc(C)ncc1C#N ZINC001100735387 776485297 /nfs/dbraw/zinc/48/52/97/776485297.db2.gz CQQJXEBIQLPHSH-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO Cc1cc(N2CC[C@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001101008148 776818677 /nfs/dbraw/zinc/81/86/77/776818677.db2.gz YHLLPBQYYQAHSC-CYBMUJFWSA-N 1 2 324.388 1.170 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](CNC(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001101034382 776842456 /nfs/dbraw/zinc/84/24/56/776842456.db2.gz VEPBDKXNYFRCRT-HNNXBMFYSA-N 1 2 324.388 1.183 20 30 DDEDLO Cc1nc(N2CCCN(C(=O)CSCC#N)CC2)cc[nH+]1 ZINC001095164782 777189438 /nfs/dbraw/zinc/18/94/38/777189438.db2.gz LYHKMRXCWMWFLZ-UHFFFAOYSA-N 1 2 305.407 1.081 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCC(F)F)c1nccn12 ZINC001101633787 777330278 /nfs/dbraw/zinc/33/02/78/777330278.db2.gz FYUNAIMNYISOJV-LLVKDONJSA-N 1 2 310.348 1.686 20 30 DDEDLO C#CCOCCC(=O)N(C)CCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001101781452 777509841 /nfs/dbraw/zinc/50/98/41/777509841.db2.gz QPNDUMDVWUEXND-UHFFFAOYSA-N 1 2 316.405 1.573 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ncc(Cl)n2C)C[C@H]1C ZINC001101824176 777568992 /nfs/dbraw/zinc/56/89/92/777568992.db2.gz YDIIPAYNWVGIOJ-IJLUTSLNSA-N 1 2 323.828 1.417 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ncc(Cl)n2C)C[C@H]1C ZINC001101824176 777568998 /nfs/dbraw/zinc/56/89/98/777568998.db2.gz YDIIPAYNWVGIOJ-IJLUTSLNSA-N 1 2 323.828 1.417 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2(Nc3cc[nH+]c(C)n3)CC2)cn1 ZINC001110188266 777862823 /nfs/dbraw/zinc/86/28/23/777862823.db2.gz QRRQFIQFYAFIFM-UHFFFAOYSA-N 1 2 307.357 1.536 20 30 DDEDLO CCOC(=O)[C@H](C[C@@H]1CCCO1)[NH2+]CCCSCC#N ZINC001176030152 778005653 /nfs/dbraw/zinc/00/56/53/778005653.db2.gz YYVYXRSAGJYDTO-STQMWFEESA-N 1 2 300.424 1.724 20 30 DDEDLO Cc1nc(N2CC[C@@H](CCNC(=O)CSCC#N)C2)cc[nH+]1 ZINC001102289740 778013600 /nfs/dbraw/zinc/01/36/00/778013600.db2.gz NRBKDVPOJWLLAJ-CYBMUJFWSA-N 1 2 319.434 1.374 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@H+](CCn3cccn3)[C@@H]2C1 ZINC001176955217 778347492 /nfs/dbraw/zinc/34/74/92/778347492.db2.gz PIALRIQDJOSBQL-HZPDHXFCSA-N 1 2 318.421 1.151 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@@H+](CCn3cccn3)[C@@H]2C1 ZINC001176955217 778347502 /nfs/dbraw/zinc/34/75/02/778347502.db2.gz PIALRIQDJOSBQL-HZPDHXFCSA-N 1 2 318.421 1.151 20 30 DDEDLO C=C(C)C(=O)OCCNC(=O)[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC001176969242 778354096 /nfs/dbraw/zinc/35/40/96/778354096.db2.gz ADIJEQLSSZYNIC-AWEZNQCLSA-N 1 2 317.389 1.534 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)(C)C)C[C@@H]21 ZINC001177007068 778387558 /nfs/dbraw/zinc/38/75/58/778387558.db2.gz WPLYQAZASBPROM-JKSUJKDBSA-N 1 2 304.434 1.914 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)(C)C)C[C@@H]21 ZINC001177007068 778387567 /nfs/dbraw/zinc/38/75/67/778387567.db2.gz WPLYQAZASBPROM-JKSUJKDBSA-N 1 2 304.434 1.914 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC3(COC)CC3)C[C@@H]21 ZINC001177014010 778393765 /nfs/dbraw/zinc/39/37/65/778393765.db2.gz WYLRIPJKJWOJDD-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC3(COC)CC3)C[C@@H]21 ZINC001177014010 778393770 /nfs/dbraw/zinc/39/37/70/778393770.db2.gz WYLRIPJKJWOJDD-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO CCN(C(=O)CSCC#N)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102787091 778401359 /nfs/dbraw/zinc/40/13/59/778401359.db2.gz PDPCILDPQLCHEV-ZDUSSCGKSA-N 1 2 319.434 1.469 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCF)C[C@@H]21 ZINC001177067053 778424742 /nfs/dbraw/zinc/42/47/42/778424742.db2.gz JVCZBGPBWUGPNV-GJZGRUSLSA-N 1 2 314.401 1.240 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCF)C[C@@H]21 ZINC001177067053 778424744 /nfs/dbraw/zinc/42/47/44/778424744.db2.gz JVCZBGPBWUGPNV-GJZGRUSLSA-N 1 2 314.401 1.240 20 30 DDEDLO COc1cc(N2CCN(C(=O)C#Cc3cccnc3)CC2)cc[nH+]1 ZINC001177777458 778714510 /nfs/dbraw/zinc/71/45/10/778714510.db2.gz RCSYNHWILWVVTI-UHFFFAOYSA-N 1 2 322.368 1.186 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](CC)Nc1[nH+]cnc2c1cnn2C ZINC001103260544 778743403 /nfs/dbraw/zinc/74/34/03/778743403.db2.gz ATAMVTLWOWPXRR-LLVKDONJSA-N 1 2 316.409 1.882 20 30 DDEDLO CC[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1cccc(F)c1C#N ZINC001103335187 778797983 /nfs/dbraw/zinc/79/79/83/778797983.db2.gz XABRQEWJJJLTTC-LLVKDONJSA-N 1 2 315.352 1.970 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@H]2CCN(C(=O)CSCC#N)[C@H]2C)no1 ZINC001178148231 778904482 /nfs/dbraw/zinc/90/44/82/778904482.db2.gz RVIISWRDCGKRRA-SCVCMEIPSA-N 1 2 323.422 1.275 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([NH2+]Cc2cnsn2)[C@@H]1C ZINC001178278840 778970352 /nfs/dbraw/zinc/97/03/52/778970352.db2.gz OEEPXPIQSUYIPB-OBJOEFQTSA-N 1 2 324.450 1.598 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnns1)C2 ZINC001111668396 779427096 /nfs/dbraw/zinc/42/70/96/779427096.db2.gz JCZOPSFSZXSUQJ-SUNKGSAMSA-N 1 2 305.407 1.168 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnns1)C2 ZINC001111668396 779427100 /nfs/dbraw/zinc/42/71/00/779427100.db2.gz JCZOPSFSZXSUQJ-SUNKGSAMSA-N 1 2 305.407 1.168 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cn(C)nn1)C2 ZINC001111729420 779451798 /nfs/dbraw/zinc/45/17/98/779451798.db2.gz OACNHBRRFUCXOK-YOEHRIQHSA-N 1 2 315.421 1.089 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cn(C)nn1)C2 ZINC001111729420 779451800 /nfs/dbraw/zinc/45/18/00/779451800.db2.gz OACNHBRRFUCXOK-YOEHRIQHSA-N 1 2 315.421 1.089 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(CC1)CN(c1cccc[nH+]1)CCO2 ZINC001179893651 779519418 /nfs/dbraw/zinc/51/94/18/779519418.db2.gz ATCMMICMKPXMKE-AWEZNQCLSA-N 1 2 314.389 1.439 20 30 DDEDLO C[C@@H](CCCNc1ccc(C#N)nc1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115089525 779869961 /nfs/dbraw/zinc/86/99/61/779869961.db2.gz WQMJZKZVSZWQEW-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCOCCCNc1cc[nH+]c(C)n1 ZINC001115413423 780114140 /nfs/dbraw/zinc/11/41/40/780114140.db2.gz FFEAGEACNPZEPP-CYBMUJFWSA-N 1 2 322.409 1.311 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1c2ccccc2CC[C@H]1F ZINC001119377415 781428706 /nfs/dbraw/zinc/42/87/06/781428706.db2.gz GGUDTWSXGXURSX-IJEWVQPXSA-N 1 2 320.364 1.575 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CC[C@@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001267292956 837765470 /nfs/dbraw/zinc/76/54/70/837765470.db2.gz JGJXMPRSUKTTNI-FZMZJTMJSA-N 1 2 301.394 1.026 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CC[C@@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001267292956 837765478 /nfs/dbraw/zinc/76/54/78/837765478.db2.gz JGJXMPRSUKTTNI-FZMZJTMJSA-N 1 2 301.394 1.026 20 30 DDEDLO C=C[C@@H](C(=O)N[C@H]1CCC[N@@H+](CC(=O)NC)C1)c1ccccc1 ZINC001266252761 835990919 /nfs/dbraw/zinc/99/09/19/835990919.db2.gz ZNAXWWPJTXGXDN-JKSUJKDBSA-N 1 2 315.417 1.283 20 30 DDEDLO C=C[C@@H](C(=O)N[C@H]1CCC[N@H+](CC(=O)NC)C1)c1ccccc1 ZINC001266252761 835990930 /nfs/dbraw/zinc/99/09/30/835990930.db2.gz ZNAXWWPJTXGXDN-JKSUJKDBSA-N 1 2 315.417 1.283 20 30 DDEDLO CC(C)n1ccc(C[N@H+](C)[C@@H](C)CNC(=O)CSCC#N)n1 ZINC001282356146 835991681 /nfs/dbraw/zinc/99/16/81/835991681.db2.gz PJYKXPIFLAKQBO-ZDUSSCGKSA-N 1 2 323.466 1.657 20 30 DDEDLO CC(C)n1ccc(C[N@@H+](C)[C@@H](C)CNC(=O)CSCC#N)n1 ZINC001282356146 835991689 /nfs/dbraw/zinc/99/16/89/835991689.db2.gz PJYKXPIFLAKQBO-ZDUSSCGKSA-N 1 2 323.466 1.657 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[N@@H+](C)CC(=O)N1CCCCC1 ZINC001266330039 836128173 /nfs/dbraw/zinc/12/81/73/836128173.db2.gz RDYCUPKBCBQILS-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[N@H+](C)CC(=O)N1CCCCC1 ZINC001266330039 836128178 /nfs/dbraw/zinc/12/81/78/836128178.db2.gz RDYCUPKBCBQILS-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1ncnn1C ZINC001279540981 837088055 /nfs/dbraw/zinc/08/80/55/837088055.db2.gz IEMIOQQFTDXRPH-KGLIPLIRSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1ncnn1C ZINC001279540981 837088067 /nfs/dbraw/zinc/08/80/67/837088067.db2.gz IEMIOQQFTDXRPH-KGLIPLIRSA-N 1 2 303.410 1.347 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)CC1CCCCC1 ZINC001271957641 844236472 /nfs/dbraw/zinc/23/64/72/844236472.db2.gz LMBMYNMAXIRIJQ-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)CC1CCCCC1 ZINC001271957641 844236480 /nfs/dbraw/zinc/23/64/80/844236480.db2.gz LMBMYNMAXIRIJQ-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)CC[NH2+]Cc1nc(C2CCC2)no1 ZINC001267366399 837923323 /nfs/dbraw/zinc/92/33/23/837923323.db2.gz FWDMQXSIUJOGQI-INIZCTEOSA-N 1 2 322.409 1.212 20 30 DDEDLO CCO[C@H](CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#CCOC ZINC001267389287 837971525 /nfs/dbraw/zinc/97/15/25/837971525.db2.gz NNWAMBQGIKVZML-OWCLPIDISA-N 1 2 308.422 1.127 20 30 DDEDLO CCO[C@H](CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#CCOC ZINC001267389287 837971532 /nfs/dbraw/zinc/97/15/32/837971532.db2.gz NNWAMBQGIKVZML-OWCLPIDISA-N 1 2 308.422 1.127 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C)no1 ZINC001267397292 837986001 /nfs/dbraw/zinc/98/60/01/837986001.db2.gz RSIWKYHKOYZFKC-OKILXGFUSA-N 1 2 305.378 1.361 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C)no1 ZINC001267397292 837986006 /nfs/dbraw/zinc/98/60/06/837986006.db2.gz RSIWKYHKOYZFKC-OKILXGFUSA-N 1 2 305.378 1.361 20 30 DDEDLO CC#CC[NH2+][C@H](CNC(=O)Cc1n[nH]c(C)n1)c1ccccc1 ZINC001267521770 838242963 /nfs/dbraw/zinc/24/29/63/838242963.db2.gz VUEJDNRXMGNGMY-OAHLLOKOSA-N 1 2 311.389 1.126 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnc(C)o1 ZINC001267530246 838256072 /nfs/dbraw/zinc/25/60/72/838256072.db2.gz OBUTWSNPFXQSPY-AEGPPILISA-N 1 2 317.389 1.197 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnc(C)o1 ZINC001267530246 838256082 /nfs/dbraw/zinc/25/60/82/838256082.db2.gz OBUTWSNPFXQSPY-AEGPPILISA-N 1 2 317.389 1.197 20 30 DDEDLO C#CCCCC(=O)NCC[C@H]1CC[N@H+](Cc2cnsn2)C1 ZINC001267555719 838297871 /nfs/dbraw/zinc/29/78/71/838297871.db2.gz IZAVSOZTDNBOLX-ZDUSSCGKSA-N 1 2 306.435 1.670 20 30 DDEDLO C#CCCCC(=O)NCC[C@H]1CC[N@@H+](Cc2cnsn2)C1 ZINC001267555719 838297876 /nfs/dbraw/zinc/29/78/76/838297876.db2.gz IZAVSOZTDNBOLX-ZDUSSCGKSA-N 1 2 306.435 1.670 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC3CC3)C2)sn1 ZINC001267614272 838470947 /nfs/dbraw/zinc/47/09/47/838470947.db2.gz FZNJDJNKLXDFLP-CQSZACIVSA-N 1 2 303.431 1.946 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@H](NC(=O)C#CC3CC3)C2)sn1 ZINC001267614272 838470951 /nfs/dbraw/zinc/47/09/51/838470951.db2.gz FZNJDJNKLXDFLP-CQSZACIVSA-N 1 2 303.431 1.946 20 30 DDEDLO C=CCCC(=O)NC1C[NH+](C[C@H](O)Cc2ccc(F)cc2)C1 ZINC001267642621 838559898 /nfs/dbraw/zinc/55/98/98/838559898.db2.gz ISTBBKIIDYTGMS-MRXNPFEDSA-N 1 2 306.381 1.496 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC1C[NH+](Cc2snnc2C)C1 ZINC001267676455 838627737 /nfs/dbraw/zinc/62/77/37/838627737.db2.gz KKLFIRVHDUOXBF-GFCCVEGCSA-N 1 2 324.450 1.376 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(F)cc1 ZINC001267706926 838689064 /nfs/dbraw/zinc/68/90/64/838689064.db2.gz UKLPYIROSNSPBE-COXVUDFISA-N 1 2 316.376 1.649 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(F)cc1 ZINC001267706926 838689066 /nfs/dbraw/zinc/68/90/66/838689066.db2.gz UKLPYIROSNSPBE-COXVUDFISA-N 1 2 316.376 1.649 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)OCc1ccc(C)cc1 ZINC001267711120 838697393 /nfs/dbraw/zinc/69/73/93/838697393.db2.gz KMXRPXXEWUJMMD-SZMVWBNQSA-N 1 2 312.413 1.818 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)OCc1ccc(C)cc1 ZINC001267711120 838697396 /nfs/dbraw/zinc/69/73/96/838697396.db2.gz KMXRPXXEWUJMMD-SZMVWBNQSA-N 1 2 312.413 1.818 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)CC2CCCCCC2)C1 ZINC001267730890 838770676 /nfs/dbraw/zinc/77/06/76/838770676.db2.gz FSDKCHDRWVBXCL-HNNXBMFYSA-N 1 2 320.437 1.177 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)CC2CCCCCC2)C1 ZINC001267730890 838770681 /nfs/dbraw/zinc/77/06/81/838770681.db2.gz FSDKCHDRWVBXCL-HNNXBMFYSA-N 1 2 320.437 1.177 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001267767116 838913065 /nfs/dbraw/zinc/91/30/65/838913065.db2.gz DPMVPOUKQSYGQV-CQSZACIVSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001267767116 838913074 /nfs/dbraw/zinc/91/30/74/838913074.db2.gz DPMVPOUKQSYGQV-CQSZACIVSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@H](CNC(=O)CCc2cnc[nH]2)C1 ZINC001268026810 839429881 /nfs/dbraw/zinc/42/98/81/839429881.db2.gz XHEUOUZSUQGGMO-OAHLLOKOSA-N 1 2 306.410 1.126 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@H](CNC(=O)CCc2cnc[nH]2)C1 ZINC001268026810 839429887 /nfs/dbraw/zinc/42/98/87/839429887.db2.gz XHEUOUZSUQGGMO-OAHLLOKOSA-N 1 2 306.410 1.126 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)CC[C@H]2CC(C)(C)CO2)C1 ZINC001268029236 839444020 /nfs/dbraw/zinc/44/40/20/839444020.db2.gz DDYRPFWSTQEHHU-JKSUJKDBSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)CC[C@H]2CC(C)(C)CO2)C1 ZINC001268029236 839444026 /nfs/dbraw/zinc/44/40/26/839444026.db2.gz DDYRPFWSTQEHHU-JKSUJKDBSA-N 1 2 324.465 1.975 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C(C)C)on2)C1 ZINC001268208048 839840079 /nfs/dbraw/zinc/84/00/79/839840079.db2.gz HBVBDGRCLJKLPU-AWEZNQCLSA-N 1 2 319.405 1.642 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2cc(C(C)C)on2)C1 ZINC001268208048 839840089 /nfs/dbraw/zinc/84/00/89/839840089.db2.gz HBVBDGRCLJKLPU-AWEZNQCLSA-N 1 2 319.405 1.642 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1cc(C(C)C)on1 ZINC001272074647 844549999 /nfs/dbraw/zinc/54/99/99/844549999.db2.gz CVFMLICXZPNEQM-UHFFFAOYSA-N 1 2 322.409 1.104 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1cc(C(C)C)on1 ZINC001272074647 844550005 /nfs/dbraw/zinc/55/00/05/844550005.db2.gz CVFMLICXZPNEQM-UHFFFAOYSA-N 1 2 322.409 1.104 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C[N@H+](C)CC(=O)Nc1cc(C)no1 ZINC001268725438 840722070 /nfs/dbraw/zinc/72/20/70/840722070.db2.gz UAKKMQGUONOXLK-GFCCVEGCSA-N 1 2 308.382 1.324 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C[N@@H+](C)CC(=O)Nc1cc(C)no1 ZINC001268725438 840722081 /nfs/dbraw/zinc/72/20/81/840722081.db2.gz UAKKMQGUONOXLK-GFCCVEGCSA-N 1 2 308.382 1.324 20 30 DDEDLO C=C1CCC(C(=O)NCC2C[NH+](CC(=O)N[C@H](C)CC)C2)CC1 ZINC001268874454 840930304 /nfs/dbraw/zinc/93/03/04/840930304.db2.gz OSHYZQJZZACIJU-CQSZACIVSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCOCCC(=O)N1C[C@@H]2COC[C@H](C1)[N@@H+]2C1CCCC1 ZINC001268990435 841087406 /nfs/dbraw/zinc/08/74/06/841087406.db2.gz LZQVNRDBLZODAB-IYBDPMFKSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CCOCCC(=O)N1C[C@@H]2COC[C@H](C1)[N@H+]2C1CCCC1 ZINC001268990435 841087412 /nfs/dbraw/zinc/08/74/12/841087412.db2.gz LZQVNRDBLZODAB-IYBDPMFKSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CC[N@H+](Cc2csc(C)n2)C1 ZINC001269221108 841365902 /nfs/dbraw/zinc/36/59/02/841365902.db2.gz GTJNCPYEQMNYPE-AWEZNQCLSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CC[N@@H+](Cc2csc(C)n2)C1 ZINC001269221108 841365914 /nfs/dbraw/zinc/36/59/14/841365914.db2.gz GTJNCPYEQMNYPE-AWEZNQCLSA-N 1 2 309.435 1.687 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)Cc1cn2cc(C)sc2n1 ZINC001269261487 841436058 /nfs/dbraw/zinc/43/60/58/841436058.db2.gz SJVJTTZQLSDPJQ-CYBMUJFWSA-N 1 2 302.403 1.070 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)Cc1cn2cc(C)sc2n1 ZINC001269261487 841436061 /nfs/dbraw/zinc/43/60/61/841436061.db2.gz SJVJTTZQLSDPJQ-CYBMUJFWSA-N 1 2 302.403 1.070 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@@H]1CC[N@H+](Cc2cc(C)on2)C1 ZINC001269314320 841508962 /nfs/dbraw/zinc/50/89/62/841508962.db2.gz SAFYIURYBKNVJZ-OAHLLOKOSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2cc(C)on2)C1 ZINC001269314320 841508968 /nfs/dbraw/zinc/50/89/68/841508968.db2.gz SAFYIURYBKNVJZ-OAHLLOKOSA-N 1 2 307.394 1.608 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)c4ccoc4)C3)C2)cc1C#N ZINC001270240955 842415333 /nfs/dbraw/zinc/41/53/33/842415333.db2.gz PKVDKXRAPVIIOP-UHFFFAOYSA-N 1 2 310.357 1.448 20 30 DDEDLO C#CCN(C(=O)COC)C1CC[NH+](C/C(Cl)=C/Cl)CC1 ZINC001270273532 842444550 /nfs/dbraw/zinc/44/45/50/842444550.db2.gz HICKLJYGCSDXAY-XFXZXTDPSA-N 1 2 319.232 1.878 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC[C@]1(C)CCCN(CC#N)C1 ZINC001270973800 843100792 /nfs/dbraw/zinc/10/07/92/843100792.db2.gz GVRJGVFCHPIMPX-INIZCTEOSA-N 1 2 303.410 1.095 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(O)C[NH+](CCc2ccccc2)C1 ZINC001271334812 843502275 /nfs/dbraw/zinc/50/22/75/843502275.db2.gz BTOGNDORUCLTTE-UHFFFAOYSA-N 1 2 302.418 1.604 20 30 DDEDLO CCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001326624634 861488046 /nfs/dbraw/zinc/48/80/46/861488046.db2.gz AVIVPLCHGCQRLA-AWEZNQCLSA-N 1 2 304.394 1.507 20 30 DDEDLO CCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001326624634 861488053 /nfs/dbraw/zinc/48/80/53/861488053.db2.gz AVIVPLCHGCQRLA-AWEZNQCLSA-N 1 2 304.394 1.507 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H](C)[N@H+](C)Cc1nc(-c2ccoc2)no1 ZINC001409506397 845209348 /nfs/dbraw/zinc/20/93/48/845209348.db2.gz KBOTXNPYLZBLBS-MNOVXSKESA-N 1 2 317.349 1.426 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H](C)[N@@H+](C)Cc1nc(-c2ccoc2)no1 ZINC001409506397 845209359 /nfs/dbraw/zinc/20/93/59/845209359.db2.gz KBOTXNPYLZBLBS-MNOVXSKESA-N 1 2 317.349 1.426 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1cocn1 ZINC001272378913 846085383 /nfs/dbraw/zinc/08/53/83/846085383.db2.gz XPHIMEPOWJLXNO-MRXNPFEDSA-N 1 2 302.378 1.935 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1cocn1 ZINC001272378913 846085387 /nfs/dbraw/zinc/08/53/87/846085387.db2.gz XPHIMEPOWJLXNO-MRXNPFEDSA-N 1 2 302.378 1.935 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](C)C2CC2)C1 ZINC001149475640 861641592 /nfs/dbraw/zinc/64/15/92/861641592.db2.gz ZGLHZGQARLZWIR-GXTWGEPZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H](C)C2CC2)C1 ZINC001149475640 861641600 /nfs/dbraw/zinc/64/16/00/861641600.db2.gz ZGLHZGQARLZWIR-GXTWGEPZSA-N 1 2 300.830 1.992 20 30 DDEDLO Cc1c[nH]c(C[NH+]2CC3(C2)COCC(=O)N3CCCC#N)c1C ZINC001272705486 846675100 /nfs/dbraw/zinc/67/51/00/846675100.db2.gz ANHVBSVAHNRGEV-UHFFFAOYSA-N 1 2 316.405 1.349 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)COC2CCCC2)C1 ZINC001107769862 847010431 /nfs/dbraw/zinc/01/04/31/847010431.db2.gz JPZTVYYNZRDFLF-QGZVFWFLSA-N 1 2 308.422 1.176 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)COC2CCCC2)C1 ZINC001107769862 847010436 /nfs/dbraw/zinc/01/04/36/847010436.db2.gz JPZTVYYNZRDFLF-QGZVFWFLSA-N 1 2 308.422 1.176 20 30 DDEDLO N#Cc1c[nH]c2cc[nH+]c(N3CCN(c4ccncn4)CC3)c12 ZINC001155124836 861755648 /nfs/dbraw/zinc/75/56/48/861755648.db2.gz KAYSKXBTCDCMJA-UHFFFAOYSA-N 1 2 305.345 1.551 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@H+](Cc3ccncc3F)C2)OCC1=O ZINC001272771285 847402587 /nfs/dbraw/zinc/40/25/87/847402587.db2.gz GDRODMCVXFYYPK-KRWDZBQOSA-N 1 2 319.380 1.600 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@@H+](Cc3ccncc3F)C2)OCC1=O ZINC001272771285 847402592 /nfs/dbraw/zinc/40/25/92/847402592.db2.gz GDRODMCVXFYYPK-KRWDZBQOSA-N 1 2 319.380 1.600 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@H+](Cc3ncccc3F)C2)OCC1=O ZINC001272802355 847446704 /nfs/dbraw/zinc/44/67/04/847446704.db2.gz MAGLYOGCMLUNIW-QGZVFWFLSA-N 1 2 319.380 1.600 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@@H+](Cc3ncccc3F)C2)OCC1=O ZINC001272802355 847446707 /nfs/dbraw/zinc/44/67/07/847446707.db2.gz MAGLYOGCMLUNIW-QGZVFWFLSA-N 1 2 319.380 1.600 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1cnc(CCCC)[nH]1 ZINC001272833377 847527057 /nfs/dbraw/zinc/52/70/57/847527057.db2.gz JPLMSSSFZMZAEZ-HZPDHXFCSA-N 1 2 314.433 1.951 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cnc(CCCC)[nH]1 ZINC001272833377 847527066 /nfs/dbraw/zinc/52/70/66/847527066.db2.gz JPLMSSSFZMZAEZ-HZPDHXFCSA-N 1 2 314.433 1.951 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)C(C)(C)c1c[nH]cn1 ZINC001272901989 847611885 /nfs/dbraw/zinc/61/18/85/847611885.db2.gz QPCDBPYSEGVFJG-AWEZNQCLSA-N 1 2 320.437 1.471 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)C(C)(C)c1c[nH]cn1 ZINC001272901989 847611893 /nfs/dbraw/zinc/61/18/93/847611893.db2.gz QPCDBPYSEGVFJG-AWEZNQCLSA-N 1 2 320.437 1.471 20 30 DDEDLO Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)Nc1c[nH]nc1C#N ZINC001280490767 847845248 /nfs/dbraw/zinc/84/52/48/847845248.db2.gz JUABJHIWTPRIKP-UHFFFAOYSA-N 1 2 316.271 1.856 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cnccc2Cl)C1=O ZINC001273170925 848626139 /nfs/dbraw/zinc/62/61/39/848626139.db2.gz ANOPQEOCNJHWPN-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cnccc2Cl)C1=O ZINC001273170925 848626144 /nfs/dbraw/zinc/62/61/44/848626144.db2.gz ANOPQEOCNJHWPN-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C2(COC)CC2)C(C)(C)C1 ZINC001410034410 848711934 /nfs/dbraw/zinc/71/19/34/848711934.db2.gz MTOFMOHWCKDEFT-GFCCVEGCSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C2(COC)CC2)C(C)(C)C1 ZINC001410034410 848711941 /nfs/dbraw/zinc/71/19/41/848711941.db2.gz MTOFMOHWCKDEFT-GFCCVEGCSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1c(C)nn(CC)c1C)C2 ZINC001273360958 849670857 /nfs/dbraw/zinc/67/08/57/849670857.db2.gz ZOOSDHWHZSYIRX-UHFFFAOYSA-N 1 2 318.421 1.119 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC2(C[NH+](CCOCC)C2)O1 ZINC001327348941 862088710 /nfs/dbraw/zinc/08/87/10/862088710.db2.gz DLLKBXQCEZBEDR-HNNXBMFYSA-N 1 2 308.422 1.176 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@H](C)n1cncn1)C(C)C ZINC001411180526 850331833 /nfs/dbraw/zinc/33/18/33/850331833.db2.gz BDIQNPJPJREWIS-ZDUSSCGKSA-N 1 2 313.833 1.760 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@H](C)n1cncn1)C(C)C ZINC001411180526 850331841 /nfs/dbraw/zinc/33/18/41/850331841.db2.gz BDIQNPJPJREWIS-ZDUSSCGKSA-N 1 2 313.833 1.760 20 30 DDEDLO C=CCN1CC2(C[NH+](CCCc3ccncc3)C2)OCC1=O ZINC001273494340 851027064 /nfs/dbraw/zinc/02/70/64/851027064.db2.gz ZCRBQKRKUSBYCY-UHFFFAOYSA-N 1 2 301.390 1.113 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)Cn1nccc1C)O2 ZINC001273669336 851205210 /nfs/dbraw/zinc/20/52/10/851205210.db2.gz RQRJPXLIRUNNFY-HNNXBMFYSA-N 1 2 318.421 1.117 20 30 DDEDLO C=C(C)CNC(=O)[C@H]1CC12CC[NH+](CC(=O)OC(C)C)CC2 ZINC001274063182 851898502 /nfs/dbraw/zinc/89/85/02/851898502.db2.gz LRKMCYAVNBVWHC-CQSZACIVSA-N 1 2 308.422 1.732 20 30 DDEDLO N#Cc1coc(C(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C2CCCC2)c1 ZINC001274350208 852177672 /nfs/dbraw/zinc/17/76/72/852177672.db2.gz OWOGZHVSAPPFMP-ZBFHGGJFSA-N 1 2 315.373 1.619 20 30 DDEDLO N#Cc1coc(C(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C2CCCC2)c1 ZINC001274350208 852177682 /nfs/dbraw/zinc/17/76/82/852177682.db2.gz OWOGZHVSAPPFMP-ZBFHGGJFSA-N 1 2 315.373 1.619 20 30 DDEDLO C=CCOC(=O)N1CCC[C@]12CCN(CCn1cc[nH+]c1)C2=O ZINC001274345358 852184093 /nfs/dbraw/zinc/18/40/93/852184093.db2.gz BLUQKYZYYNJXEZ-MRXNPFEDSA-N 1 2 318.377 1.273 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cnn(C)n2)CC1(C)C ZINC001274447336 852281066 /nfs/dbraw/zinc/28/10/66/852281066.db2.gz VYCLJBBNNOBSCL-AWEZNQCLSA-N 1 2 319.453 1.744 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cnn(C)n2)CC1(C)C ZINC001274447336 852281081 /nfs/dbraw/zinc/28/10/81/852281081.db2.gz VYCLJBBNNOBSCL-AWEZNQCLSA-N 1 2 319.453 1.744 20 30 DDEDLO C#CCNc1nc2c(ccn2S(=O)(=O)c2ccccc2)c[nH+]1 ZINC001155654459 862346468 /nfs/dbraw/zinc/34/64/68/862346468.db2.gz WYOXWPRMQQNOPW-UHFFFAOYSA-N 1 2 312.354 1.713 20 30 DDEDLO C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1nnc(C)s1 ZINC001274525040 852363387 /nfs/dbraw/zinc/36/33/87/852363387.db2.gz WWABLYUEBVJPSQ-CHWSQXEVSA-N 1 2 306.435 1.988 20 30 DDEDLO C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1nnc(C)s1 ZINC001274525040 852363373 /nfs/dbraw/zinc/36/33/73/852363373.db2.gz WWABLYUEBVJPSQ-CHWSQXEVSA-N 1 2 306.435 1.988 20 30 DDEDLO C#Cc1ccccc1C(=O)N1CC2(CCN2CCn2cc[nH+]c2)C1 ZINC001274875132 852655101 /nfs/dbraw/zinc/65/51/01/852655101.db2.gz PWCTXBHHRFUUTB-UHFFFAOYSA-N 1 2 320.396 1.465 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1coc(C)n1 ZINC001275107830 852812006 /nfs/dbraw/zinc/81/20/06/852812006.db2.gz GJZLWGAYKINOGC-CJNGLKHVSA-N 1 2 319.405 1.444 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1coc(C)n1 ZINC001275107830 852812008 /nfs/dbraw/zinc/81/20/08/852812008.db2.gz GJZLWGAYKINOGC-CJNGLKHVSA-N 1 2 319.405 1.444 20 30 DDEDLO CN(C)C(=O)CN1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc(C#N)s1 ZINC001275588445 853402602 /nfs/dbraw/zinc/40/26/02/853402602.db2.gz DTVMHVSMBOLFCK-BETUJISGSA-N 1 2 318.446 1.357 20 30 DDEDLO CN(C)C(=O)CN1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(C#N)s1 ZINC001275588445 853402608 /nfs/dbraw/zinc/40/26/08/853402608.db2.gz DTVMHVSMBOLFCK-BETUJISGSA-N 1 2 318.446 1.357 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2OCCN(Cc3cn[nH]c3)[C@H]2C1 ZINC001275965691 854030110 /nfs/dbraw/zinc/03/01/10/854030110.db2.gz MSEUMJDFGYENOU-ROUUACIJSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2OCCN(Cc3cn[nH]c3)[C@H]2C1 ZINC001275965691 854030115 /nfs/dbraw/zinc/03/01/15/854030115.db2.gz MSEUMJDFGYENOU-ROUUACIJSA-N 1 2 323.400 1.367 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)C1C[NH+](Cc2ccc(Cl)nc2)C1 ZINC001276359175 855714692 /nfs/dbraw/zinc/71/46/92/855714692.db2.gz FROFSRQSDGXFOI-QWRGUYRKSA-N 1 2 306.797 1.831 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@H+]3CC[C@](O)(C(F)F)C3)o2)cc1 ZINC001412991731 855890134 /nfs/dbraw/zinc/89/01/34/855890134.db2.gz LBTJCUQENYKMLS-OAHLLOKOSA-N 1 2 320.299 1.810 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@@H+]3CC[C@](O)(C(F)F)C3)o2)cc1 ZINC001412991731 855890135 /nfs/dbraw/zinc/89/01/35/855890135.db2.gz LBTJCUQENYKMLS-OAHLLOKOSA-N 1 2 320.299 1.810 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072639714 857545336 /nfs/dbraw/zinc/54/53/36/857545336.db2.gz ZZIOPRYVZKCGCV-GXTWGEPZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001072641126 857546283 /nfs/dbraw/zinc/54/62/83/857546283.db2.gz KWSAEJYTKLHZLP-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072797663 857712589 /nfs/dbraw/zinc/71/25/89/857712589.db2.gz CLIPZQKRCWHOBE-UKRRQHHQSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072800797 857717917 /nfs/dbraw/zinc/71/79/17/857717917.db2.gz FJMZSGCMGAUVCD-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO CN(CCCN(C)c1ccc(C#N)nc1)C(=O)Cn1cc[nH+]c1 ZINC001112100148 858569760 /nfs/dbraw/zinc/56/97/60/858569760.db2.gz FKAVAJKNNLRFRC-UHFFFAOYSA-N 1 2 312.377 1.135 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2C[N@@H+]3CCCC[C@H]3CO2)n1CC ZINC001121885955 858619894 /nfs/dbraw/zinc/61/98/94/858619894.db2.gz UMXNXSCNKCKDGZ-SOUVJXGZSA-N 1 2 317.437 1.682 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2C[N@H+]3CCCC[C@H]3CO2)n1CC ZINC001121885955 858619897 /nfs/dbraw/zinc/61/98/97/858619897.db2.gz UMXNXSCNKCKDGZ-SOUVJXGZSA-N 1 2 317.437 1.682 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC001328547283 863053265 /nfs/dbraw/zinc/05/32/65/863053265.db2.gz PCHSDTHFXDBCRF-CQSZACIVSA-N 1 2 304.394 1.299 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N(C)CCOC ZINC001122400196 858794800 /nfs/dbraw/zinc/79/48/00/858794800.db2.gz NUQXMWBMZRBCRH-UHFFFAOYSA-N 1 2 304.398 1.261 20 30 DDEDLO C=CCCC1(C(=O)NC[C@@H](CO)Nc2cc[nH+]c(C)n2)CC1 ZINC001122456274 858825933 /nfs/dbraw/zinc/82/59/33/858825933.db2.gz AGCWHANLOJOQBN-ZDUSSCGKSA-N 1 2 304.394 1.420 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001122482315 858844735 /nfs/dbraw/zinc/84/47/35/858844735.db2.gz LJSINYANSXVWNO-WMLDXEAASA-N 1 2 319.453 1.778 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC(=C)C ZINC001122482315 858844740 /nfs/dbraw/zinc/84/47/40/858844740.db2.gz LJSINYANSXVWNO-WMLDXEAASA-N 1 2 319.453 1.778 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@H](C)[C@H]2CN(C)CC[N@@H+]2C)C1 ZINC001123695580 859363228 /nfs/dbraw/zinc/36/32/28/859363228.db2.gz UGOHFLBERFCWHE-BZUAXINKSA-N 1 2 306.454 1.066 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@H](C)[C@H]2CN(C)CC[N@H+]2C)C1 ZINC001123695580 859363237 /nfs/dbraw/zinc/36/32/37/859363237.db2.gz UGOHFLBERFCWHE-BZUAXINKSA-N 1 2 306.454 1.066 20 30 DDEDLO COC(=O)c1cc(C[N@@H+]2CC[C@@H]3SC(=O)C=C3C2)cn1C ZINC001139368197 860372698 /nfs/dbraw/zinc/37/26/98/860372698.db2.gz BFPCICSIWDSDOW-ZDUSSCGKSA-N 1 2 306.387 1.586 20 30 DDEDLO N#Cc1c(Cl)cccc1C[NH+]1CCC2(CC1)NC(=O)NC2=O ZINC001139693748 860453165 /nfs/dbraw/zinc/45/31/65/860453165.db2.gz QULIHDGIJPVSIB-UHFFFAOYSA-N 1 2 318.764 1.386 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1-n1cnc(C#N)n1)n1cc[nH+]c1 ZINC001326099551 861102684 /nfs/dbraw/zinc/10/26/84/861102684.db2.gz LJQPQGVGLVCROQ-NSHDSACASA-N 1 2 307.317 1.535 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001328578016 863080131 /nfs/dbraw/zinc/08/01/31/863080131.db2.gz PRFUKICLIFGRIG-IRXDYDNUSA-N 1 2 315.417 1.327 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001328578016 863080141 /nfs/dbraw/zinc/08/01/41/863080141.db2.gz PRFUKICLIFGRIG-IRXDYDNUSA-N 1 2 315.417 1.327 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1coc(C)n1 ZINC001328738830 863186274 /nfs/dbraw/zinc/18/62/74/863186274.db2.gz ZURYCRYGEREXQY-INIZCTEOSA-N 1 2 319.405 1.446 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1coc(C)n1 ZINC001328738830 863186284 /nfs/dbraw/zinc/18/62/84/863186284.db2.gz ZURYCRYGEREXQY-INIZCTEOSA-N 1 2 319.405 1.446 20 30 DDEDLO CC[N@H+](Cc1cn(CC2CC2)nn1)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152449970 863355647 /nfs/dbraw/zinc/35/56/47/863355647.db2.gz OCUFYCDBXYETTH-QWHCGFSZSA-N 1 2 318.425 1.174 20 30 DDEDLO CC[N@@H+](Cc1cn(CC2CC2)nn1)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152449970 863355658 /nfs/dbraw/zinc/35/56/58/863355658.db2.gz OCUFYCDBXYETTH-QWHCGFSZSA-N 1 2 318.425 1.174 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+]Cc1nc(CCCC)no1 ZINC001153080925 863698105 /nfs/dbraw/zinc/69/81/05/863698105.db2.gz ILVBITBCZBNPOJ-UHFFFAOYSA-N 1 2 310.398 1.211 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(CCCC)no1 ZINC001153161038 863739321 /nfs/dbraw/zinc/73/93/21/863739321.db2.gz WKTLRTYIJUMCTL-STQMWFEESA-N 1 2 324.425 1.770 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)C(C)(C)C2CCOCC2)C1 ZINC001329680934 863787311 /nfs/dbraw/zinc/78/73/11/863787311.db2.gz XCYRDAWXRRAGBP-UHFFFAOYSA-N 1 2 324.465 1.568 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](C)CCN1c1nc(C)c(Cl)c(C)c1C#N ZINC001157770746 864120636 /nfs/dbraw/zinc/12/06/36/864120636.db2.gz QGQWPOUAQPEDRR-GFCCVEGCSA-N 1 2 322.796 1.517 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](C)CCN1c1nc(C)c(Cl)c(C)c1C#N ZINC001157770746 864120647 /nfs/dbraw/zinc/12/06/47/864120647.db2.gz QGQWPOUAQPEDRR-GFCCVEGCSA-N 1 2 322.796 1.517 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1c(C#N)cnc2cnc(Cl)cc21 ZINC001158700446 864825125 /nfs/dbraw/zinc/82/51/25/864825125.db2.gz GSKPYBYWIKLWBX-NSHDSACASA-N 1 2 317.780 1.319 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1c(C#N)cnc2cnc(Cl)cc21 ZINC001158700446 864825140 /nfs/dbraw/zinc/82/51/40/864825140.db2.gz GSKPYBYWIKLWBX-NSHDSACASA-N 1 2 317.780 1.319 20 30 DDEDLO Cc1nc(C[N@H+](C)CCOCCNC(=O)C#CC2CC2)oc1C ZINC001331117868 864872480 /nfs/dbraw/zinc/87/24/80/864872480.db2.gz NYMXOBAFZUQIQK-UHFFFAOYSA-N 1 2 319.405 1.269 20 30 DDEDLO Cc1nc(C[N@@H+](C)CCOCCNC(=O)C#CC2CC2)oc1C ZINC001331117868 864872496 /nfs/dbraw/zinc/87/24/96/864872496.db2.gz NYMXOBAFZUQIQK-UHFFFAOYSA-N 1 2 319.405 1.269 20 30 DDEDLO C=CCCCNc1nc(N2CCCC2)[nH+]c(N2CCCC2)n1 ZINC001159262386 865191788 /nfs/dbraw/zinc/19/17/88/865191788.db2.gz NNMNLJGQIXPOSR-UHFFFAOYSA-N 1 2 302.426 1.872 20 30 DDEDLO C=C[C@H](COC)[NH2+]Cc1c(I)cnn1C ZINC001331996394 865489217 /nfs/dbraw/zinc/48/92/17/865489217.db2.gz WCEHUCKFXYVUDN-MRVPVSSYSA-N 1 2 321.162 1.315 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001323199337 866424637 /nfs/dbraw/zinc/42/46/37/866424637.db2.gz PVQWTDUTVLQXAH-ZFWWWQNUSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001323199337 866424648 /nfs/dbraw/zinc/42/46/48/866424648.db2.gz PVQWTDUTVLQXAH-ZFWWWQNUSA-N 1 2 306.410 1.092 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@H+]1CCNC(=O)NC(C)C ZINC001323244221 866464856 /nfs/dbraw/zinc/46/48/56/866464856.db2.gz VGEXMYWRTJHOJX-AWEZNQCLSA-N 1 2 310.442 1.241 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@@H+]1CCNC(=O)NC(C)C ZINC001323244221 866464868 /nfs/dbraw/zinc/46/48/68/866464868.db2.gz VGEXMYWRTJHOJX-AWEZNQCLSA-N 1 2 310.442 1.241 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001323273557 866492173 /nfs/dbraw/zinc/49/21/73/866492173.db2.gz ROCZZISBMCMXFY-NVXWUHKLSA-N 1 2 321.421 1.902 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001323273557 866492188 /nfs/dbraw/zinc/49/21/88/866492188.db2.gz ROCZZISBMCMXFY-NVXWUHKLSA-N 1 2 321.421 1.902 20 30 DDEDLO O=C(Cn1cc[nH+]c1)NC[C@H]1CCN1CC#Cc1ccccc1 ZINC001323372462 866572145 /nfs/dbraw/zinc/57/21/45/866572145.db2.gz XPWZXGPVGDTSCB-QGZVFWFLSA-N 1 2 308.385 1.125 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001323969463 866984455 /nfs/dbraw/zinc/98/44/55/866984455.db2.gz BKTKCFSQPQLFIM-UHFFFAOYSA-N 1 2 319.405 1.065 20 30 DDEDLO C#CCN(C(C)=O)C1CC[NH+]([C@@H](C)c2nc(C3CC3)no2)CC1 ZINC001324352476 867221436 /nfs/dbraw/zinc/22/14/36/867221436.db2.gz GYXADCAIRNGTKS-LBPRGKRZSA-N 1 2 316.405 1.954 20 30 DDEDLO C#CCN(C(=O)[C@@H](C)C#N)C1CC[NH+](Cc2nccs2)CC1 ZINC001324378235 867242879 /nfs/dbraw/zinc/24/28/79/867242879.db2.gz WDMPTWWLICBPLT-ZDUSSCGKSA-N 1 2 316.430 1.729 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@]1(O)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001325095214 867765788 /nfs/dbraw/zinc/76/57/88/867765788.db2.gz CCNGCBXVIKXGQE-KRWDZBQOSA-N 1 2 321.421 1.638 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@]1(O)CC[N@H+](Cc2cc(C)on2)C1 ZINC001325095214 867765798 /nfs/dbraw/zinc/76/57/98/867765798.db2.gz CCNGCBXVIKXGQE-KRWDZBQOSA-N 1 2 321.421 1.638 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@@H](c2cccnc2)C(C)C)C1 ZINC001325293042 867918346 /nfs/dbraw/zinc/91/83/46/867918346.db2.gz BKKOJABGLPRXBK-MRXNPFEDSA-N 1 2 315.417 1.007 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001335521081 868358940 /nfs/dbraw/zinc/35/89/40/868358940.db2.gz GJJVNMVORMGDJG-ZIAGYGMSSA-N 1 2 318.421 1.852 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001335521073 868359843 /nfs/dbraw/zinc/35/98/43/868359843.db2.gz GJJVNMVORMGDJG-KBPBESRZSA-N 1 2 318.421 1.852 20 30 DDEDLO C#Cc1ccc(NC(=O)C(=O)N2CC[NH+](C)CC2)c(Cl)c1 ZINC001337726881 869608470 /nfs/dbraw/zinc/60/84/70/869608470.db2.gz QBPPZWHYHJEPAZ-UHFFFAOYSA-N 1 2 305.765 1.034 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H](NC(=O)c2oc(C)cc2C)C1 ZINC001316974046 870034140 /nfs/dbraw/zinc/03/41/40/870034140.db2.gz PSCHIEYMDAOCRS-UONOGXRCSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)c2oc(C)cc2C)C1 ZINC001316974046 870034157 /nfs/dbraw/zinc/03/41/57/870034157.db2.gz PSCHIEYMDAOCRS-UONOGXRCSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001316984290 870066903 /nfs/dbraw/zinc/06/69/03/870066903.db2.gz IEECDPTUNCHGPE-KBPBESRZSA-N 1 2 309.454 1.550 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001316984290 870066919 /nfs/dbraw/zinc/06/69/19/870066919.db2.gz IEECDPTUNCHGPE-KBPBESRZSA-N 1 2 309.454 1.550 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@@H]([NH2+]Cc2noc(CCOC)n2)C1 ZINC001317116074 870283269 /nfs/dbraw/zinc/28/32/69/870283269.db2.gz BUGDEXMMHGWQGY-TXEJJXNPSA-N 1 2 322.409 1.208 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC(N(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC001339242279 870417066 /nfs/dbraw/zinc/41/70/66/870417066.db2.gz CFDCTFRCLWNGBB-UHFFFAOYSA-N 1 2 318.421 1.280 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCC3(F)F)n2CC)CC1 ZINC001339428535 870494681 /nfs/dbraw/zinc/49/46/81/870494681.db2.gz WFRRIVCGYPLVNI-CYBMUJFWSA-N 1 2 323.391 1.956 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC2CC1(C)C2 ZINC001339532153 870543085 /nfs/dbraw/zinc/54/30/85/870543085.db2.gz WJESBEVSBIYSNJ-LGESCRJUSA-N 1 2 303.410 1.190 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC2CC1(C)C2 ZINC001339532153 870543098 /nfs/dbraw/zinc/54/30/98/870543098.db2.gz WJESBEVSBIYSNJ-LGESCRJUSA-N 1 2 303.410 1.190 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001298844015 870749751 /nfs/dbraw/zinc/74/97/51/870749751.db2.gz CBYHABLACATIRM-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001298849527 870752947 /nfs/dbraw/zinc/75/29/47/870752947.db2.gz UFPLWRLENRHIHE-GJZGRUSLSA-N 1 2 318.421 1.649 20 30 DDEDLO C#CC1CCN(c2nnc(C[N@@H+]3CCC[C@H](O)C3)n2CC)CC1 ZINC001340318913 871030320 /nfs/dbraw/zinc/03/03/20/871030320.db2.gz OPWSFHTYMDHTEV-HNNXBMFYSA-N 1 2 317.437 1.104 20 30 DDEDLO C#CC1CCN(c2nnc(C[N@H+]3CCC[C@H](O)C3)n2CC)CC1 ZINC001340318913 871030336 /nfs/dbraw/zinc/03/03/36/871030336.db2.gz OPWSFHTYMDHTEV-HNNXBMFYSA-N 1 2 317.437 1.104 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2Cc3nc(C4CC4)[nH]c(=O)c3C2)C1=O ZINC001308050516 871465521 /nfs/dbraw/zinc/46/55/21/871465521.db2.gz JGYSBGUQENJOQM-ZDUSSCGKSA-N 1 2 300.362 1.162 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2Cc3nc(C4CC4)[nH]c(=O)c3C2)C1=O ZINC001308050516 871465526 /nfs/dbraw/zinc/46/55/26/871465526.db2.gz JGYSBGUQENJOQM-ZDUSSCGKSA-N 1 2 300.362 1.162 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCC[C@H]3O)n2CC)CC1 ZINC001341115362 871509233 /nfs/dbraw/zinc/50/92/33/871509233.db2.gz DOPCKZOHEFCORJ-LSDHHAIUSA-N 1 2 317.437 1.072 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1C ZINC001341296939 871594930 /nfs/dbraw/zinc/59/49/30/871594930.db2.gz JGLWOYGTELEVOZ-PBHICJAKSA-N 1 2 321.469 1.909 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1C ZINC001341296939 871594937 /nfs/dbraw/zinc/59/49/37/871594937.db2.gz JGLWOYGTELEVOZ-PBHICJAKSA-N 1 2 321.469 1.909 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1C[NH+](CCOc2ccc(C)cc2)C1 ZINC001318018887 871692349 /nfs/dbraw/zinc/69/23/49/871692349.db2.gz UCSZHGJXNXKQKO-SFHVURJKSA-N 1 2 318.417 1.501 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3scnc3Cl)n2C)CC1 ZINC001341596290 871728631 /nfs/dbraw/zinc/72/86/31/871728631.db2.gz DWDNGCDSBPAKAQ-UHFFFAOYSA-N 1 2 322.825 1.347 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2ccc(C)c(F)c2)C1 ZINC001318135998 871772751 /nfs/dbraw/zinc/77/27/51/871772751.db2.gz LFMNCRDKLJUVQB-UHFFFAOYSA-N 1 2 319.380 1.098 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@H](C)CC)C1 ZINC001318248811 871873278 /nfs/dbraw/zinc/87/32/78/871873278.db2.gz YMZZVYYSYSUJRW-CABCVRRESA-N 1 2 307.438 1.285 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](CC(=O)N[C@H](C)CC)C1 ZINC001318248811 871873298 /nfs/dbraw/zinc/87/32/98/871873298.db2.gz YMZZVYYSYSUJRW-CABCVRRESA-N 1 2 307.438 1.285 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC)[C@@H]1CC[N@H+](Cc2cc(C)on2)C1 ZINC001318471689 872093842 /nfs/dbraw/zinc/09/38/42/872093842.db2.gz UGKZJUIOWABHSF-GOEBONIOSA-N 1 2 319.405 1.444 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC)[C@@H]1CC[N@@H+](Cc2cc(C)on2)C1 ZINC001318471689 872093857 /nfs/dbraw/zinc/09/38/57/872093857.db2.gz UGKZJUIOWABHSF-GOEBONIOSA-N 1 2 319.405 1.444 20 30 DDEDLO CC[C@H](CNC(=O)CSCC#N)[NH2+][C@H](C)c1noc(C)n1 ZINC001318502635 872119209 /nfs/dbraw/zinc/11/92/09/872119209.db2.gz MAPGTHOWQIMZCO-MWLCHTKSSA-N 1 2 311.411 1.180 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CC(C)(OC)C1 ZINC001342672906 872329862 /nfs/dbraw/zinc/32/98/62/872329862.db2.gz IUFFOEWZFCFWAR-ZDUSSCGKSA-N 1 2 305.426 1.846 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N1CC(C)(OC)C1 ZINC001342672906 872329881 /nfs/dbraw/zinc/32/98/81/872329881.db2.gz IUFFOEWZFCFWAR-ZDUSSCGKSA-N 1 2 305.426 1.846 20 30 DDEDLO COc1nccc2c(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@H+]4C)ncnc21 ZINC001226717471 882605587 /nfs/dbraw/zinc/60/55/87/882605587.db2.gz KPMAPHIHWDLXSO-LSVRBPJHSA-N 1 2 314.345 1.025 20 30 DDEDLO COc1nccc2c(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@@H+]4C)ncnc21 ZINC001226717471 882605593 /nfs/dbraw/zinc/60/55/93/882605593.db2.gz KPMAPHIHWDLXSO-LSVRBPJHSA-N 1 2 314.345 1.025 20 30 DDEDLO C#CC[NH2+]Cc1ccc(CNC(=O)[C@@H]2CCCc3[nH]ncc32)cc1 ZINC001318990122 872382909 /nfs/dbraw/zinc/38/29/09/872382909.db2.gz FARKXJAIORXKKQ-MRXNPFEDSA-N 1 2 322.412 1.869 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@@H+]([C@H](C)c2ncc(C)o2)C1 ZINC001316943583 872431283 /nfs/dbraw/zinc/43/12/83/872431283.db2.gz HGKSCJPBGORGFC-CABCVRRESA-N 1 2 319.405 1.665 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@H+]([C@H](C)c2ncc(C)o2)C1 ZINC001316943583 872431290 /nfs/dbraw/zinc/43/12/90/872431290.db2.gz HGKSCJPBGORGFC-CABCVRRESA-N 1 2 319.405 1.665 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001206872278 872677252 /nfs/dbraw/zinc/67/72/52/872677252.db2.gz WZDGGPPWYHGTRE-TZMCWYRMSA-N 1 2 319.405 1.249 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001206872278 872677262 /nfs/dbraw/zinc/67/72/62/872677262.db2.gz WZDGGPPWYHGTRE-TZMCWYRMSA-N 1 2 319.405 1.249 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)cn2)C[C@H]1C ZINC001206915814 872761355 /nfs/dbraw/zinc/76/13/55/872761355.db2.gz KTVWMZKFGSVAJW-CKEIUWERSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2ncc(C)cn2)C[C@H]1C ZINC001206915814 872761358 /nfs/dbraw/zinc/76/13/58/872761358.db2.gz KTVWMZKFGSVAJW-CKEIUWERSA-N 1 2 318.421 1.049 20 30 DDEDLO COc1cnc(N2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)nc1 ZINC001207108274 873026891 /nfs/dbraw/zinc/02/68/91/873026891.db2.gz IWAQYXHQLSXCDS-UHFFFAOYSA-N 1 2 312.377 1.018 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001345309241 873346969 /nfs/dbraw/zinc/34/69/69/873346969.db2.gz XTKGQWXSUAHRHX-HIFRSBDPSA-N 1 2 316.405 1.251 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C#CC(C)(C)C)C2)C1 ZINC001276539552 873356375 /nfs/dbraw/zinc/35/63/75/873356375.db2.gz DJFUMRZJZJVLBP-GOSISDBHSA-N 1 2 302.418 1.363 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C#CC(C)(C)C)C2)C1 ZINC001276539552 873356384 /nfs/dbraw/zinc/35/63/84/873356384.db2.gz DJFUMRZJZJVLBP-GOSISDBHSA-N 1 2 302.418 1.363 20 30 DDEDLO C#CCCCCC(=O)NC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001226951665 882740212 /nfs/dbraw/zinc/74/02/12/882740212.db2.gz GJXAATZEBTYNSU-UHFFFAOYSA-N 1 2 304.394 1.652 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nncn2C)C[C@H]1C ZINC001208455673 874157202 /nfs/dbraw/zinc/15/72/02/874157202.db2.gz ZPDCZUFYLDSJNR-MGPQQGTHSA-N 1 2 305.426 1.669 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nncn2C)C[C@H]1C ZINC001208455673 874157212 /nfs/dbraw/zinc/15/72/12/874157212.db2.gz ZPDCZUFYLDSJNR-MGPQQGTHSA-N 1 2 305.426 1.669 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)CCn2cc(C)cn2)C1 ZINC001378187384 874373272 /nfs/dbraw/zinc/37/32/72/874373272.db2.gz FCFQHHVDXVQYPN-CQSZACIVSA-N 1 2 310.829 1.867 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)CCn2cc(C)cn2)C1 ZINC001378187384 874373289 /nfs/dbraw/zinc/37/32/89/874373289.db2.gz FCFQHHVDXVQYPN-CQSZACIVSA-N 1 2 310.829 1.867 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C[NH2+]Cc1nnc(CC)o1)C1CC1 ZINC001276634952 874827563 /nfs/dbraw/zinc/82/75/63/874827563.db2.gz YKFVIDLALFPSJU-LBPRGKRZSA-N 1 2 306.410 1.829 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)C1 ZINC001349064893 874954210 /nfs/dbraw/zinc/95/42/10/874954210.db2.gz LKFPJHJCTKTEEN-OAHLLOKOSA-N 1 2 323.437 1.433 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)C1 ZINC001349064893 874954215 /nfs/dbraw/zinc/95/42/15/874954215.db2.gz LKFPJHJCTKTEEN-OAHLLOKOSA-N 1 2 323.437 1.433 20 30 DDEDLO CCCC(C)(C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213362616 875909439 /nfs/dbraw/zinc/90/94/39/875909439.db2.gz HKORNMRWUWJMOM-HUUCEWRRSA-N 1 2 310.438 1.278 20 30 DDEDLO CCCC(C)(C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213362616 875909445 /nfs/dbraw/zinc/90/94/45/875909445.db2.gz HKORNMRWUWJMOM-HUUCEWRRSA-N 1 2 310.438 1.278 20 30 DDEDLO C=CCn1c(N2C[C@H](C)[C@H](C)C2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001352062114 876580690 /nfs/dbraw/zinc/58/06/90/876580690.db2.gz DNEFXNMCJLYNTM-LOUJCGABSA-N 1 2 319.453 1.468 20 30 DDEDLO C=CCn1c(N2C[C@H](C)[C@H](C)C2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001352062114 876580693 /nfs/dbraw/zinc/58/06/93/876580693.db2.gz DNEFXNMCJLYNTM-LOUJCGABSA-N 1 2 319.453 1.468 20 30 DDEDLO C=CCn1c(C[NH+]2CCCC2)nnc1N1CCC[C@@H](C#N)C1 ZINC001352084316 876591552 /nfs/dbraw/zinc/59/15/52/876591552.db2.gz FEAZGCGCJKOEEU-AWEZNQCLSA-N 1 2 300.410 1.800 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001215362140 876675814 /nfs/dbraw/zinc/67/58/14/876675814.db2.gz WENXDPWPFIJFIW-ZIAGYGMSSA-N 1 2 318.421 1.762 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)[C@H](CC#N)c1ccccc1 ZINC001362111720 883067550 /nfs/dbraw/zinc/06/75/50/883067550.db2.gz GJSIZBKMFNWNKL-GOEBONIOSA-N 1 2 301.390 1.521 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)[C@H](CC#N)c1ccccc1 ZINC001362111720 883067568 /nfs/dbraw/zinc/06/75/68/883067568.db2.gz GJSIZBKMFNWNKL-GOEBONIOSA-N 1 2 301.390 1.521 20 30 DDEDLO CC(C)CCC(=O)NCCC[NH+]1CCN(C(=O)[C@H](C)C#N)CC1 ZINC001352643128 876848778 /nfs/dbraw/zinc/84/87/78/876848778.db2.gz NDQAAUKGFOYKSR-OAHLLOKOSA-N 1 2 322.453 1.233 20 30 DDEDLO CC[C@H](C)CC(=O)NCCC[NH+]1CCN(C(=O)[C@H](C)C#N)CC1 ZINC001352652112 876853265 /nfs/dbraw/zinc/85/32/65/876853265.db2.gz GXUMCZYOTLLJAY-LSDHHAIUSA-N 1 2 322.453 1.233 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3oc(C)nc3C)C[C@@H]21 ZINC001217503615 877216433 /nfs/dbraw/zinc/21/64/33/877216433.db2.gz IYDMRRGDSZIFLZ-GOEBONIOSA-N 1 2 319.405 1.669 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3oc(C)nc3C)C[C@@H]21 ZINC001217503615 877216451 /nfs/dbraw/zinc/21/64/51/877216451.db2.gz IYDMRRGDSZIFLZ-GOEBONIOSA-N 1 2 319.405 1.669 20 30 DDEDLO CC#CCCCC(=O)N1CCO[C@@H]2C[N@@H+](C[C@H](F)CC)C[C@@H]21 ZINC001218503070 877509932 /nfs/dbraw/zinc/50/99/32/877509932.db2.gz BFBMXXKMVBBRIB-OWCLPIDISA-N 1 2 310.413 1.840 20 30 DDEDLO CC#CCCCC(=O)N1CCO[C@@H]2C[N@H+](C[C@H](F)CC)C[C@@H]21 ZINC001218503070 877509944 /nfs/dbraw/zinc/50/99/44/877509944.db2.gz BFBMXXKMVBBRIB-OWCLPIDISA-N 1 2 310.413 1.840 20 30 DDEDLO CC[C@@H](C)CCC(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001218831866 877757443 /nfs/dbraw/zinc/75/74/43/877757443.db2.gz CRDVFEZSAVVPHI-IXDOHACOSA-N 1 2 322.449 1.374 20 30 DDEDLO CC[C@@H](C)CCC(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001218831866 877757446 /nfs/dbraw/zinc/75/74/46/877757446.db2.gz CRDVFEZSAVVPHI-IXDOHACOSA-N 1 2 322.449 1.374 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)CC(C)C)[C@H]2C1 ZINC001218866809 877775295 /nfs/dbraw/zinc/77/52/95/877775295.db2.gz RGPGFRVBFUJDAD-YESZJQIVSA-N 1 2 322.449 1.230 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)CC(C)C)[C@H]2C1 ZINC001218866809 877775309 /nfs/dbraw/zinc/77/53/09/877775309.db2.gz RGPGFRVBFUJDAD-YESZJQIVSA-N 1 2 322.449 1.230 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)NC(=O)Cc1c[nH+]ccc1OC ZINC001354282287 877855481 /nfs/dbraw/zinc/85/54/81/877855481.db2.gz JGDWDHSSRPQNNM-LBPRGKRZSA-N 1 2 305.378 1.220 20 30 DDEDLO C=C(C)Cn1nnnc1N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC001354301414 877868011 /nfs/dbraw/zinc/86/80/11/877868011.db2.gz CJKYNJHAISKZFD-AWEZNQCLSA-N 1 2 313.409 1.527 20 30 DDEDLO C=CCCOCC(=O)N1CCO[C@@H]2C[N@@H+](C[C@@H](F)CC)C[C@@H]21 ZINC001219062512 877900293 /nfs/dbraw/zinc/90/02/93/877900293.db2.gz VCJAIRJVFQDMEM-SOUVJXGZSA-N 1 2 314.401 1.239 20 30 DDEDLO C=CCCOCC(=O)N1CCO[C@@H]2C[N@H+](C[C@@H](F)CC)C[C@@H]21 ZINC001219062512 877900310 /nfs/dbraw/zinc/90/03/10/877900310.db2.gz VCJAIRJVFQDMEM-SOUVJXGZSA-N 1 2 314.401 1.239 20 30 DDEDLO O=C(CCC1CC1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219398974 878176929 /nfs/dbraw/zinc/17/69/29/878176929.db2.gz DWWRCHBIZITGCW-MSOLQXFVSA-N 1 2 312.413 1.390 20 30 DDEDLO O=C(CCC1CC1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219398974 878176933 /nfs/dbraw/zinc/17/69/33/878176933.db2.gz DWWRCHBIZITGCW-MSOLQXFVSA-N 1 2 312.413 1.390 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001354915920 878312453 /nfs/dbraw/zinc/31/24/53/878312453.db2.gz QKHCQDZXPDOCAP-AWEZNQCLSA-N 1 2 318.421 1.284 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)cc2)C[C@@H]1O ZINC001219596240 878376298 /nfs/dbraw/zinc/37/62/98/878376298.db2.gz LDLUJRJIDZJVLY-CVEARBPZSA-N 1 2 318.392 1.537 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)cc2)C[C@@H]1O ZINC001219596240 878376315 /nfs/dbraw/zinc/37/63/15/878376315.db2.gz LDLUJRJIDZJVLY-CVEARBPZSA-N 1 2 318.392 1.537 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1O)OCC ZINC001219732159 878480985 /nfs/dbraw/zinc/48/09/85/878480985.db2.gz PFGDWRQSSNBQRO-MIACCPTJSA-N 1 2 316.829 1.272 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1O)OCC ZINC001219732159 878480988 /nfs/dbraw/zinc/48/09/88/878480988.db2.gz PFGDWRQSSNBQRO-MIACCPTJSA-N 1 2 316.829 1.272 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CC[N@H+]1[C@H](C)c1cnc(C)cn1 ZINC001276809640 878638459 /nfs/dbraw/zinc/63/84/59/878638459.db2.gz LSANKUQCTUTZGN-KGLIPLIRSA-N 1 2 304.394 1.239 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)c1cnc(C)cn1 ZINC001276809640 878638470 /nfs/dbraw/zinc/63/84/70/878638470.db2.gz LSANKUQCTUTZGN-KGLIPLIRSA-N 1 2 304.394 1.239 20 30 DDEDLO COCc1nc(C[NH2+]C2(CNC(=O)[C@H](C)C#N)CC2)cs1 ZINC001380066485 878704491 /nfs/dbraw/zinc/70/44/91/878704491.db2.gz DXJJTPIUJIJJRP-SNVBAGLBSA-N 1 2 308.407 1.188 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220184341 878792380 /nfs/dbraw/zinc/79/23/80/878792380.db2.gz FFIBENMCCQBTOF-CABCVRRESA-N 1 2 319.405 1.146 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220184341 878792393 /nfs/dbraw/zinc/79/23/93/878792393.db2.gz FFIBENMCCQBTOF-CABCVRRESA-N 1 2 319.405 1.146 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220190533 878803349 /nfs/dbraw/zinc/80/33/49/878803349.db2.gz PJGBCDNKXVPVGA-DFBGVHRSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220190533 878803360 /nfs/dbraw/zinc/80/33/60/878803360.db2.gz PJGBCDNKXVPVGA-DFBGVHRSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220206036 878820072 /nfs/dbraw/zinc/82/00/72/878820072.db2.gz VWUJPKYNBYBLRI-KFWWJZLASA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220206036 878820085 /nfs/dbraw/zinc/82/00/85/878820085.db2.gz VWUJPKYNBYBLRI-KFWWJZLASA-N 1 2 321.421 1.555 20 30 DDEDLO C=CCn1c(N2CCC(C)CC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001356135294 878881378 /nfs/dbraw/zinc/88/13/78/878881378.db2.gz WAVKWEXAMHZTDT-PBHICJAKSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N2CCC(C)CC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001356135294 878881388 /nfs/dbraw/zinc/88/13/88/878881388.db2.gz WAVKWEXAMHZTDT-PBHICJAKSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(C[NH+]2CCCC2)nnc1N(CCC#N)CCOC ZINC001356148298 878890098 /nfs/dbraw/zinc/89/00/98/878890098.db2.gz JSYKLMDWWPHGNE-UHFFFAOYSA-N 1 2 318.425 1.426 20 30 DDEDLO C=CCCC1(C(=O)N2CC(NC(=O)CCc3c[nH]c[nH+]3)C2)CC1 ZINC001356770141 879325792 /nfs/dbraw/zinc/32/57/92/879325792.db2.gz WUKJWVAHBAYOIL-UHFFFAOYSA-N 1 2 316.405 1.416 20 30 DDEDLO C=CCCC1(C(=O)N2CC(NC(=O)CCc3c[nH+]c[nH]3)C2)CC1 ZINC001356770141 879325807 /nfs/dbraw/zinc/32/58/07/879325807.db2.gz WUKJWVAHBAYOIL-UHFFFAOYSA-N 1 2 316.405 1.416 20 30 DDEDLO CC(C)C#CC(=O)N1CC(NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001356774760 879333559 /nfs/dbraw/zinc/33/35/59/879333559.db2.gz MQIYTAIALUUDCF-UHFFFAOYSA-N 1 2 310.357 1.163 20 30 DDEDLO CC(C)C[C@H](CNC(=O)Cc1c[nH]c[nH+]1)NC(=O)C#CC1CC1 ZINC001357045848 879738552 /nfs/dbraw/zinc/73/85/52/879738552.db2.gz KHRHLDVGICKINJ-OAHLLOKOSA-N 1 2 316.405 1.013 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cc(OC)no3)C[C@H]21 ZINC001221429348 879786020 /nfs/dbraw/zinc/78/60/20/879786020.db2.gz SXOXGTUKNYIYSF-TZMCWYRMSA-N 1 2 305.378 1.682 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3cc(OC)no3)C[C@H]21 ZINC001221429348 879786030 /nfs/dbraw/zinc/78/60/30/879786030.db2.gz SXOXGTUKNYIYSF-TZMCWYRMSA-N 1 2 305.378 1.682 20 30 DDEDLO C=CCCC1(C(=O)N2C[C@H]3CC[N@@H+]([C@@H](C)C(N)=O)C[C@H]32)CC1 ZINC001221794928 880087774 /nfs/dbraw/zinc/08/77/74/880087774.db2.gz AOEFXUHJDOFITJ-BFHYXJOUSA-N 1 2 305.422 1.139 20 30 DDEDLO C=CCCC1(C(=O)N2C[C@H]3CC[N@H+]([C@@H](C)C(N)=O)C[C@H]32)CC1 ZINC001221794928 880087788 /nfs/dbraw/zinc/08/77/88/880087788.db2.gz AOEFXUHJDOFITJ-BFHYXJOUSA-N 1 2 305.422 1.139 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)CCCCCC)[C@@H]2C1 ZINC001221993395 880177191 /nfs/dbraw/zinc/17/71/91/880177191.db2.gz FGIWYGRQGDPPPU-HZPDHXFCSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)CCCCCC)[C@@H]2C1 ZINC001221993395 880177198 /nfs/dbraw/zinc/17/71/98/880177198.db2.gz FGIWYGRQGDPPPU-HZPDHXFCSA-N 1 2 319.449 1.239 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H]1C ZINC001287878938 912542159 /nfs/dbraw/zinc/54/21/59/912542159.db2.gz BGTNIJMHUCOICW-JSGCOSHPSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](Cc2nnnn2C(C)C)CC1 ZINC001222574409 880568529 /nfs/dbraw/zinc/56/85/29/880568529.db2.gz SWOFDSADDWBNIU-UHFFFAOYSA-N 1 2 320.441 1.548 20 30 DDEDLO C=CCOCC(=O)NCC1CC[NH+](Cc2nnc(C)s2)CC1 ZINC001222618772 880604672 /nfs/dbraw/zinc/60/46/72/880604672.db2.gz CMIOSMSPNKTYCR-UHFFFAOYSA-N 1 2 324.450 1.377 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CC1(C)C ZINC001276835337 880681587 /nfs/dbraw/zinc/68/15/87/880681587.db2.gz QTXVGHQAGJOUOO-HUUCEWRRSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CC1(C)C ZINC001276835337 880681593 /nfs/dbraw/zinc/68/15/93/880681593.db2.gz QTXVGHQAGJOUOO-HUUCEWRRSA-N 1 2 319.449 1.424 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1CC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001276851311 880751206 /nfs/dbraw/zinc/75/12/06/880751206.db2.gz ALQRYLGOGONJCQ-HNNXBMFYSA-N 1 2 302.422 1.469 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1CC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001276851311 880751213 /nfs/dbraw/zinc/75/12/13/880751213.db2.gz ALQRYLGOGONJCQ-HNNXBMFYSA-N 1 2 302.422 1.469 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2(NC(=O)Cc3[nH]c[nH+]c3C)CC2)C1 ZINC001358692067 880769118 /nfs/dbraw/zinc/76/91/18/880769118.db2.gz ZZPGDWHDRVNGDY-UHFFFAOYSA-N 1 2 316.405 1.382 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)COC/C=C\c1ccccc1 ZINC001276875927 880888786 /nfs/dbraw/zinc/88/87/86/880888786.db2.gz QIFSAJFQEFEDNP-VOCYLRNQSA-N 1 2 312.413 1.930 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)COC/C=C\c1ccccc1 ZINC001276875927 880888800 /nfs/dbraw/zinc/88/88/00/880888800.db2.gz QIFSAJFQEFEDNP-VOCYLRNQSA-N 1 2 312.413 1.930 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1CCn1cc(Cl)cn1 ZINC001276899682 881002728 /nfs/dbraw/zinc/00/27/28/881002728.db2.gz PLZDAEJPQQALRY-ZDUSSCGKSA-N 1 2 310.829 1.939 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1CCn1cc(Cl)cn1 ZINC001276899682 881002744 /nfs/dbraw/zinc/00/27/44/881002744.db2.gz PLZDAEJPQQALRY-ZDUSSCGKSA-N 1 2 310.829 1.939 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@H]2CC[C@@H](F)C2)CC1 ZINC001359029713 881161612 /nfs/dbraw/zinc/16/16/12/881161612.db2.gz FVBFXOAZQVTUHG-LSDHHAIUSA-N 1 2 305.401 1.480 20 30 DDEDLO CC#CCCCC(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224158411 881246105 /nfs/dbraw/zinc/24/61/05/881246105.db2.gz SXYAUKNGWIDSBZ-UHFFFAOYSA-N 1 2 317.437 1.337 20 30 DDEDLO COCCOC[N@@H+]1C[C@H]2C[C@@H](C1)N(c1ccccc1C#N)C2 ZINC001276953791 881265295 /nfs/dbraw/zinc/26/52/95/881265295.db2.gz QEJZULQNDBOFHB-ZBFHGGJFSA-N 1 2 301.390 1.689 20 30 DDEDLO COCCOC[N@H+]1C[C@H]2C[C@@H](C1)N(c1ccccc1C#N)C2 ZINC001276953791 881265305 /nfs/dbraw/zinc/26/53/05/881265305.db2.gz QEJZULQNDBOFHB-ZBFHGGJFSA-N 1 2 301.390 1.689 20 30 DDEDLO COC(=O)c1c(C)cccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001228728885 883644607 /nfs/dbraw/zinc/64/46/07/883644607.db2.gz AZICTFQOGLQQPE-FDAWXEHDSA-N 1 2 303.358 1.773 20 30 DDEDLO COC(=O)c1c(C)cccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001228728885 883644615 /nfs/dbraw/zinc/64/46/15/883644615.db2.gz AZICTFQOGLQQPE-FDAWXEHDSA-N 1 2 303.358 1.773 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001277332774 883686650 /nfs/dbraw/zinc/68/66/50/883686650.db2.gz MTRILULSCPVGGM-ZNMIVQPWSA-N 1 2 320.437 1.128 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001277332774 883686657 /nfs/dbraw/zinc/68/66/57/883686657.db2.gz MTRILULSCPVGGM-ZNMIVQPWSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@]1(C(=O)N2CCC([N@H+](CC#N)CC3CC3)CC2)CCOC1 ZINC001277393846 884018979 /nfs/dbraw/zinc/01/89/79/884018979.db2.gz RIAMKJMPUSGLJM-KRWDZBQOSA-N 1 2 305.422 1.640 20 30 DDEDLO C[C@]1(C(=O)N2CCC([N@@H+](CC#N)CC3CC3)CC2)CCOC1 ZINC001277393846 884018989 /nfs/dbraw/zinc/01/89/89/884018989.db2.gz RIAMKJMPUSGLJM-KRWDZBQOSA-N 1 2 305.422 1.640 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@@H](C)C#N)Cc1cnc(C)s1 ZINC001382544860 884220161 /nfs/dbraw/zinc/22/01/61/884220161.db2.gz OXFYCCLPQDUCFK-NSHDSACASA-N 1 2 310.423 1.176 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@@H](C)C#N)Cc1cnc(C)s1 ZINC001382544860 884220177 /nfs/dbraw/zinc/22/01/77/884220177.db2.gz OXFYCCLPQDUCFK-NSHDSACASA-N 1 2 310.423 1.176 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)CC ZINC001230784433 884870459 /nfs/dbraw/zinc/87/04/59/884870459.db2.gz FCKNNZBRQKTLKK-HZPDHXFCSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)CC ZINC001230784433 884870477 /nfs/dbraw/zinc/87/04/77/884870477.db2.gz FCKNNZBRQKTLKK-HZPDHXFCSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)CC ZINC001230784431 884872631 /nfs/dbraw/zinc/87/26/31/884872631.db2.gz FCKNNZBRQKTLKK-CVEARBPZSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)CC ZINC001230784431 884872642 /nfs/dbraw/zinc/87/26/42/884872642.db2.gz FCKNNZBRQKTLKK-CVEARBPZSA-N 1 2 323.481 1.990 20 30 DDEDLO CC[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CCC#N ZINC001230785471 884874541 /nfs/dbraw/zinc/87/45/41/884874541.db2.gz MMYSTHQOMQCVIX-CABCVRRESA-N 1 2 322.453 1.327 20 30 DDEDLO CC[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CCC#N ZINC001230785471 884874547 /nfs/dbraw/zinc/87/45/47/884874547.db2.gz MMYSTHQOMQCVIX-CABCVRRESA-N 1 2 322.453 1.327 20 30 DDEDLO COC(=O)c1c(C)cc(O)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001230875589 884996475 /nfs/dbraw/zinc/99/64/75/884996475.db2.gz WRYMGSXVTQTGFG-FDAWXEHDSA-N 1 2 319.357 1.478 20 30 DDEDLO COC(=O)c1c(C)cc(O)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001230875589 884996495 /nfs/dbraw/zinc/99/64/95/884996495.db2.gz WRYMGSXVTQTGFG-FDAWXEHDSA-N 1 2 319.357 1.478 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1C ZINC001231094620 885213978 /nfs/dbraw/zinc/21/39/78/885213978.db2.gz IAGUMHMGHSISMW-GDBMZVCRSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1C ZINC001231094620 885213995 /nfs/dbraw/zinc/21/39/95/885213995.db2.gz IAGUMHMGHSISMW-GDBMZVCRSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NC1CCCC1 ZINC001231121301 885252121 /nfs/dbraw/zinc/25/21/21/885252121.db2.gz BJWVDAYPTCGDAN-HOCLYGCPSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CCCC1 ZINC001231121301 885252129 /nfs/dbraw/zinc/25/21/29/885252129.db2.gz BJWVDAYPTCGDAN-HOCLYGCPSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)c1cnccn1 ZINC001231240677 885418778 /nfs/dbraw/zinc/41/87/78/885418778.db2.gz NNCSPQPYNKJYLM-UONOGXRCSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)c1cnccn1 ZINC001231240677 885418781 /nfs/dbraw/zinc/41/87/81/885418781.db2.gz NNCSPQPYNKJYLM-UONOGXRCSA-N 1 2 304.394 1.273 20 30 DDEDLO C[C@H]1Cc2n[nH]cc2C[N@@H+](CC(=O)N(CCC#N)CCC#N)C1 ZINC001363320304 886012196 /nfs/dbraw/zinc/01/21/96/886012196.db2.gz BGJGAEMOGJAPHG-ZDUSSCGKSA-N 1 2 314.393 1.060 20 30 DDEDLO C[C@H]1Cc2n[nH]cc2C[N@H+](CC(=O)N(CCC#N)CCC#N)C1 ZINC001363320304 886012204 /nfs/dbraw/zinc/01/22/04/886012204.db2.gz BGJGAEMOGJAPHG-ZDUSSCGKSA-N 1 2 314.393 1.060 20 30 DDEDLO N#Cc1ccc(N2CC3(C2)C[NH+](Cc2cccc(=O)[nH]2)C3)nc1 ZINC001277686944 886488976 /nfs/dbraw/zinc/48/89/76/886488976.db2.gz AULYYXBYJGHDCH-UHFFFAOYSA-N 1 2 307.357 1.376 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)COc2ccccc2OC)C1 ZINC001277691579 886502369 /nfs/dbraw/zinc/50/23/69/886502369.db2.gz KNNJOWBBAUKCKA-UHFFFAOYSA-N 1 2 304.390 1.793 20 30 DDEDLO CCNC(=O)COC1CC[NH+](Cc2cc(C#N)ccc2O)CC1 ZINC001232681541 886534070 /nfs/dbraw/zinc/53/40/70/886534070.db2.gz PTJOMUSGXNIWMJ-UHFFFAOYSA-N 1 2 317.389 1.381 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CCC2(CN(c3ncc(C#N)cn3)C2)C1 ZINC001277736280 886678544 /nfs/dbraw/zinc/67/85/44/886678544.db2.gz BNCOGAAMYOSVHB-UHFFFAOYSA-N 1 2 323.404 1.277 20 30 DDEDLO CCn1ccnc1C[N@H+]1CCC2(CN(c3ncc(C#N)cn3)C2)C1 ZINC001277736280 886678553 /nfs/dbraw/zinc/67/85/53/886678553.db2.gz BNCOGAAMYOSVHB-UHFFFAOYSA-N 1 2 323.404 1.277 20 30 DDEDLO CCOC(=O)C1(C#N)CC[NH+](Cc2ccc(C#N)cc2O)CC1 ZINC001233387471 886968707 /nfs/dbraw/zinc/96/87/07/886968707.db2.gz KPYKUCDEWMSEGU-UHFFFAOYSA-N 1 2 313.357 1.933 20 30 DDEDLO COC(=O)CC[C@@H]1COCC[N@@H+]1Cc1ccc(C#N)cc1O ZINC001233388447 886971242 /nfs/dbraw/zinc/97/12/42/886971242.db2.gz ZJCOMGXGFPJIDB-CQSZACIVSA-N 1 2 304.346 1.418 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cncn1C ZINC001233760995 887294401 /nfs/dbraw/zinc/29/44/01/887294401.db2.gz GQVCSWDLFSUJTJ-HNNXBMFYSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cncn1C ZINC001233760995 887294411 /nfs/dbraw/zinc/29/44/11/887294411.db2.gz GQVCSWDLFSUJTJ-HNNXBMFYSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CC ZINC001233763103 887297287 /nfs/dbraw/zinc/29/72/87/887297287.db2.gz WWDIYEPYTXJFNP-MRXNPFEDSA-N 1 2 321.465 1.581 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CC ZINC001233763103 887297302 /nfs/dbraw/zinc/29/73/02/887297302.db2.gz WWDIYEPYTXJFNP-MRXNPFEDSA-N 1 2 321.465 1.581 20 30 DDEDLO COc1cc(/C=N/O)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001233803223 887340937 /nfs/dbraw/zinc/34/09/37/887340937.db2.gz LUIYERZLWWUTNO-KXWBUCFLSA-N 1 2 304.346 1.495 20 30 DDEDLO COc1cc(/C=N/O)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001233803223 887340953 /nfs/dbraw/zinc/34/09/53/887340953.db2.gz LUIYERZLWWUTNO-KXWBUCFLSA-N 1 2 304.346 1.495 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234159746 887697978 /nfs/dbraw/zinc/69/79/78/887697978.db2.gz CARMHOCTSLDFRM-HNNXBMFYSA-N 1 2 300.406 1.477 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234159746 887697988 /nfs/dbraw/zinc/69/79/88/887697988.db2.gz CARMHOCTSLDFRM-HNNXBMFYSA-N 1 2 300.406 1.477 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)cn1 ZINC001234178871 887716562 /nfs/dbraw/zinc/71/65/62/887716562.db2.gz PNWMIDKMZDEERX-HOCLYGCPSA-N 1 2 312.417 1.792 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)cn1 ZINC001234178871 887716573 /nfs/dbraw/zinc/71/65/73/887716573.db2.gz PNWMIDKMZDEERX-HOCLYGCPSA-N 1 2 312.417 1.792 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)CC1CC1 ZINC001234595752 888130956 /nfs/dbraw/zinc/13/09/56/888130956.db2.gz XNXJGZWSIPVDQX-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)CC1CC1 ZINC001234595752 888130961 /nfs/dbraw/zinc/13/09/61/888130961.db2.gz XNXJGZWSIPVDQX-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1(C2CC2)CC1 ZINC001235700402 888898016 /nfs/dbraw/zinc/89/80/16/888898016.db2.gz KMJDLDZJUKMOAE-HIFRSBDPSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1(C2CC2)CC1 ZINC001235700402 888898023 /nfs/dbraw/zinc/89/80/23/888898023.db2.gz KMJDLDZJUKMOAE-HIFRSBDPSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CCN1C(=O)Cn1cc[nH+]c1 ZINC001289968097 913302280 /nfs/dbraw/zinc/30/22/80/913302280.db2.gz BNFBKFGUTCWDKY-AWEZNQCLSA-N 1 2 318.421 1.545 20 30 DDEDLO C[N@H+](C[C@@H](O)c1cccc(C#N)c1)CC(C)(C)S(C)(=O)=O ZINC001364788616 889417946 /nfs/dbraw/zinc/41/79/46/889417946.db2.gz KETAPVNHCVRFDL-CQSZACIVSA-N 1 2 310.419 1.347 20 30 DDEDLO C[N@@H+](C[C@@H](O)c1cccc(C#N)c1)CC(C)(C)S(C)(=O)=O ZINC001364788616 889417960 /nfs/dbraw/zinc/41/79/60/889417960.db2.gz KETAPVNHCVRFDL-CQSZACIVSA-N 1 2 310.419 1.347 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1Cc1cccc(N)c1 ZINC001237217917 889552450 /nfs/dbraw/zinc/55/24/50/889552450.db2.gz DXBDFMYYBXTMCU-MRXNPFEDSA-N 1 2 313.405 1.699 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1Cc1cccc(N)c1 ZINC001237217917 889552455 /nfs/dbraw/zinc/55/24/55/889552455.db2.gz DXBDFMYYBXTMCU-MRXNPFEDSA-N 1 2 313.405 1.699 20 30 DDEDLO C#CCCCC(=O)NC[C@H](CO)[NH2+]Cc1ncc(Cl)s1 ZINC001278072627 889562349 /nfs/dbraw/zinc/56/23/49/889562349.db2.gz UMFLHIQUKYTIHI-SNVBAGLBSA-N 1 2 315.826 1.167 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)Cc1cc(C#N)ccc1F ZINC001364950949 889742789 /nfs/dbraw/zinc/74/27/89/889742789.db2.gz IESMAMUSUGLGFE-OKILXGFUSA-N 1 2 323.393 1.306 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)Cc1cc(C#N)ccc1F ZINC001364950949 889742799 /nfs/dbraw/zinc/74/27/99/889742799.db2.gz IESMAMUSUGLGFE-OKILXGFUSA-N 1 2 323.393 1.306 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCN(c3ccc(C#N)cn3)CC2)nc1 ZINC001237809221 889864277 /nfs/dbraw/zinc/86/42/77/889864277.db2.gz XRMWFQQZWIKNKO-UHFFFAOYSA-N 1 2 308.389 1.764 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCN(c3ccc(C#N)cn3)CC2)nc1 ZINC001237809221 889864282 /nfs/dbraw/zinc/86/42/82/889864282.db2.gz XRMWFQQZWIKNKO-UHFFFAOYSA-N 1 2 308.389 1.764 20 30 DDEDLO Cc1cc(-c2noc([C@@H]([NH3+])CC[S@@](C)=O)n2)ccc1C#N ZINC001249250170 893896877 /nfs/dbraw/zinc/89/68/77/893896877.db2.gz SSVNVXVDCMKIIS-LAJNKCICSA-N 1 2 304.375 1.685 20 30 DDEDLO N#Cc1nc(N)c(Nc2cc[nH+]cc2C(F)(F)F)nc1C#N ZINC001249584031 894045990 /nfs/dbraw/zinc/04/59/90/894045990.db2.gz BXXRGIFBEBKCDR-UHFFFAOYSA-N 1 2 305.223 1.381 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)(C)CC=C)[C@@H]1C ZINC001278520371 894050068 /nfs/dbraw/zinc/05/00/68/894050068.db2.gz FPPNWERUJPOWLT-LSDHHAIUSA-N 1 2 319.449 1.307 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)CC=C)[C@@H]1C ZINC001278520371 894050085 /nfs/dbraw/zinc/05/00/85/894050085.db2.gz FPPNWERUJPOWLT-LSDHHAIUSA-N 1 2 319.449 1.307 20 30 DDEDLO N#Cc1c(NC[C@H](O)C[NH+]2CCOCC2)sc2c1CCCC2 ZINC001251001513 894557794 /nfs/dbraw/zinc/55/77/94/894557794.db2.gz KDKJARRVTUHJCZ-LBPRGKRZSA-N 1 2 321.446 1.604 20 30 DDEDLO C[C@@](C#N)(NC[C@H](O)C[NH+]1CCOCC1)c1ccccc1Cl ZINC001251040902 894599884 /nfs/dbraw/zinc/59/98/84/894599884.db2.gz ZHLAOOWBSAAAMU-BBRMVZONSA-N 1 2 323.824 1.361 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1CCO[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001252549077 895260945 /nfs/dbraw/zinc/26/09/45/895260945.db2.gz GMGYAJCSCGVRTB-WWGRRREGSA-N 1 2 312.410 1.244 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1CCO[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001252549077 895260956 /nfs/dbraw/zinc/26/09/56/895260956.db2.gz GMGYAJCSCGVRTB-WWGRRREGSA-N 1 2 312.410 1.244 20 30 DDEDLO C=C[C@@](C)(O)CNc1ccc(N2CC[NH+](C3COC3)CC2)cc1 ZINC001252567341 895284865 /nfs/dbraw/zinc/28/48/65/895284865.db2.gz VTDGSGGPVHXYAM-GOSISDBHSA-N 1 2 317.433 1.556 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCc2c(nnn2C)[C@H]1COCC=C ZINC001252595586 895298529 /nfs/dbraw/zinc/29/85/29/895298529.db2.gz JCYWPPKCUHTTQA-DZGCQCFKSA-N 1 2 306.410 1.244 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCc2c(nnn2C)[C@H]1COCC=C ZINC001252595586 895298538 /nfs/dbraw/zinc/29/85/38/895298538.db2.gz JCYWPPKCUHTTQA-DZGCQCFKSA-N 1 2 306.410 1.244 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(C)CCC[N@@H+](Cc2nncn2C)C1 ZINC001278676861 895386793 /nfs/dbraw/zinc/38/67/93/895386793.db2.gz BMQRQOYYATWINE-QGZVFWFLSA-N 1 2 319.453 1.890 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(C)CCC[N@H+](Cc2nncn2C)C1 ZINC001278676861 895386807 /nfs/dbraw/zinc/38/68/07/895386807.db2.gz BMQRQOYYATWINE-QGZVFWFLSA-N 1 2 319.453 1.890 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)COCC(F)F)C1 ZINC001367063921 895723846 /nfs/dbraw/zinc/72/38/46/895723846.db2.gz GSVYMYMAUIRILD-LLVKDONJSA-N 1 2 310.772 1.943 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)COCC(F)F)C1 ZINC001367063921 895723860 /nfs/dbraw/zinc/72/38/60/895723860.db2.gz GSVYMYMAUIRILD-LLVKDONJSA-N 1 2 310.772 1.943 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1cc(=O)[nH]c(CNC(=O)CCCC)n1 ZINC001388765234 895851148 /nfs/dbraw/zinc/85/11/48/895851148.db2.gz PMMJIZSWQDZEAO-UHFFFAOYSA-N 1 2 312.801 1.831 20 30 DDEDLO Cc1cc(C[N@@H+]2CCO[C@@H](CNC(=O)[C@H](C)C#N)C2)cs1 ZINC001367303546 896393313 /nfs/dbraw/zinc/39/33/13/896393313.db2.gz WQNGYQOORMGGHD-RISCZKNCSA-N 1 2 307.419 1.533 20 30 DDEDLO Cc1cc(C[N@H+]2CCO[C@@H](CNC(=O)[C@H](C)C#N)C2)cs1 ZINC001367303546 896393328 /nfs/dbraw/zinc/39/33/28/896393328.db2.gz WQNGYQOORMGGHD-RISCZKNCSA-N 1 2 307.419 1.533 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC001254473518 896456586 /nfs/dbraw/zinc/45/65/86/896456586.db2.gz DLGNCVPKTYGZIA-INIZCTEOSA-N 1 2 315.377 1.361 20 30 DDEDLO CC(C)C[C@H](C[NH2+]Cc1nc(C(C)C)no1)NC(=O)[C@@H](C)C#N ZINC001367418219 896717906 /nfs/dbraw/zinc/71/79/06/896717906.db2.gz LEPMLUBDMSRFAN-QWHCGFSZSA-N 1 2 321.425 1.973 20 30 DDEDLO COCC[N@@H+](CC#Cc1ccccc1)CCNC(=O)C#CC1CC1 ZINC001279044333 897945378 /nfs/dbraw/zinc/94/53/78/897945378.db2.gz HMYJCVUIUIFFFV-UHFFFAOYSA-N 1 2 324.424 1.516 20 30 DDEDLO COCC[N@H+](CC#Cc1ccccc1)CCNC(=O)C#CC1CC1 ZINC001279044333 897945386 /nfs/dbraw/zinc/94/53/86/897945386.db2.gz HMYJCVUIUIFFFV-UHFFFAOYSA-N 1 2 324.424 1.516 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1ccc(=O)n(CC)n1 ZINC001367840242 897982339 /nfs/dbraw/zinc/98/23/39/897982339.db2.gz XGWXCDAPTPJADI-NSHDSACASA-N 1 2 312.801 1.066 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1ccc(=O)n(CC)n1 ZINC001367840242 897982342 /nfs/dbraw/zinc/98/23/42/897982342.db2.gz XGWXCDAPTPJADI-NSHDSACASA-N 1 2 312.801 1.066 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1CNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC001259181528 898510471 /nfs/dbraw/zinc/51/04/71/898510471.db2.gz PBUZDXADVZOFLT-HNNXBMFYSA-N 1 2 322.430 1.818 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1CNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC001259181528 898510480 /nfs/dbraw/zinc/51/04/80/898510480.db2.gz PBUZDXADVZOFLT-HNNXBMFYSA-N 1 2 322.430 1.818 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)NCCOc2cc[nH+]cc2)cc1 ZINC001260049603 899028228 /nfs/dbraw/zinc/02/82/28/899028228.db2.gz OFRVWRVIIVGCOU-UHFFFAOYSA-N 1 2 317.370 1.452 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1nn(C(C)C)cc1Cl ZINC001262050619 899915913 /nfs/dbraw/zinc/91/59/13/899915913.db2.gz KPKPFRXHWPKPDY-JTQLQIEISA-N 1 2 314.773 1.503 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1C[C@@H](C)O[C@@H](C)C1 ZINC001262991082 900460214 /nfs/dbraw/zinc/46/02/14/900460214.db2.gz YPPXUXBBQPZOQI-BETUJISGSA-N 1 2 316.409 1.402 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(F)cccc1F ZINC001264377984 901063855 /nfs/dbraw/zinc/06/38/55/901063855.db2.gz BJSBINBXTOMSPH-ZDUSSCGKSA-N 1 2 322.355 1.761 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(F)cccc1F ZINC001264377984 901063866 /nfs/dbraw/zinc/06/38/66/901063866.db2.gz BJSBINBXTOMSPH-ZDUSSCGKSA-N 1 2 322.355 1.761 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NC2CC2)C1 ZINC001265224851 901738996 /nfs/dbraw/zinc/73/89/96/901738996.db2.gz LNVPZVNMKRVPHX-PBHICJAKSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC2CC2)C1 ZINC001265224851 901739007 /nfs/dbraw/zinc/73/90/07/901739007.db2.gz LNVPZVNMKRVPHX-PBHICJAKSA-N 1 2 307.438 1.448 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)[C@@H]2C[C@H]2c2ccsc2)CC1 ZINC001265259890 901781369 /nfs/dbraw/zinc/78/13/69/901781369.db2.gz OYPWCMUYWIUPCJ-LSDHHAIUSA-N 1 2 318.446 1.109 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)[C@H]2CCCC3(CC3)C2)CC1 ZINC001265259062 901782583 /nfs/dbraw/zinc/78/25/83/901782583.db2.gz XEYKPWYKNMIIEY-HNNXBMFYSA-N 1 2 304.438 1.214 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)[C@@H](C)C(CC)CC)CC1 ZINC001265260192 901785017 /nfs/dbraw/zinc/78/50/17/901785017.db2.gz ZRQJWWQDTZKKQH-INIZCTEOSA-N 1 2 307.482 1.816 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](CC=C(Cl)Cl)C1 ZINC001265280429 901815853 /nfs/dbraw/zinc/81/58/53/901815853.db2.gz BFVINQXMGDNEJE-GWCFXTLKSA-N 1 2 307.221 1.823 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](CC=C(Cl)Cl)C1 ZINC001265280429 901815859 /nfs/dbraw/zinc/81/58/59/901815859.db2.gz BFVINQXMGDNEJE-GWCFXTLKSA-N 1 2 307.221 1.823 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H](F)c2ccccc2)C1 ZINC001265306372 901860415 /nfs/dbraw/zinc/86/04/15/901860415.db2.gz OFSBONSVICOYRL-ZBFHGGJFSA-N 1 2 319.380 1.190 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](F)c2ccccc2)C1 ZINC001265306372 901860425 /nfs/dbraw/zinc/86/04/25/901860425.db2.gz OFSBONSVICOYRL-ZBFHGGJFSA-N 1 2 319.380 1.190 20 30 DDEDLO Cc1nnc(C[NH+]2CCC3(C[C@@H]3NC(=O)C#CC(C)C)CC2)[nH]1 ZINC001265327737 901892990 /nfs/dbraw/zinc/89/29/90/901892990.db2.gz LXPRVBMIIPBVLU-AWEZNQCLSA-N 1 2 315.421 1.243 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001265388951 901958320 /nfs/dbraw/zinc/95/83/20/901958320.db2.gz ONDWUHCYGOSOFV-HNNXBMFYSA-N 1 2 317.437 1.289 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001265388951 901958329 /nfs/dbraw/zinc/95/83/29/901958329.db2.gz ONDWUHCYGOSOFV-HNNXBMFYSA-N 1 2 317.437 1.289 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@H]1CC[N@H+](CC(=O)Nc2ccccc2)C1 ZINC001391607125 902128768 /nfs/dbraw/zinc/12/87/68/902128768.db2.gz CCRYXESKGKBCHK-HIFRSBDPSA-N 1 2 314.389 1.317 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@H]1CC[N@@H+](CC(=O)Nc2ccccc2)C1 ZINC001391607125 902128782 /nfs/dbraw/zinc/12/87/82/902128782.db2.gz CCRYXESKGKBCHK-HIFRSBDPSA-N 1 2 314.389 1.317 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([NH2+]Cc2ncc(C(C)C)o2)C1 ZINC001265901090 902565256 /nfs/dbraw/zinc/56/52/56/902565256.db2.gz QKBQFXYQSXRCIJ-GUYCJALGSA-N 1 2 321.421 1.816 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001316599521 903444921 /nfs/dbraw/zinc/44/49/21/903444921.db2.gz DBGPGRMWHAHRQS-DZGCQCFKSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001316599521 903444931 /nfs/dbraw/zinc/44/49/31/903444931.db2.gz DBGPGRMWHAHRQS-DZGCQCFKSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H](OC)C1CCCC1 ZINC001280420983 903659433 /nfs/dbraw/zinc/65/94/33/903659433.db2.gz IVJPCOYGLHXQKH-SJORKVTESA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H](OC)C1CCCC1 ZINC001280420983 903659444 /nfs/dbraw/zinc/65/94/44/903659444.db2.gz IVJPCOYGLHXQKH-SJORKVTESA-N 1 2 324.465 1.975 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@@H]1C[NH2+]Cc1nc(CC)no1 ZINC001280438521 903678575 /nfs/dbraw/zinc/67/85/75/903678575.db2.gz NHLNNFWPIZKEDH-CQSZACIVSA-N 1 2 318.421 1.906 20 30 DDEDLO C=CCCC(=O)N1CCCCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001280610023 903832143 /nfs/dbraw/zinc/83/21/43/903832143.db2.gz ORUGHXQQJOSKKY-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)CCOCC(C)C)CO2 ZINC001280935613 904211390 /nfs/dbraw/zinc/21/13/90/904211390.db2.gz MGLCPCMIMREWSC-HNNXBMFYSA-N 1 2 308.422 1.032 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)CCOCC(C)C)CO2 ZINC001280935615 904211800 /nfs/dbraw/zinc/21/18/00/904211800.db2.gz MGLCPCMIMREWSC-OAHLLOKOSA-N 1 2 308.422 1.032 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001316608326 904354488 /nfs/dbraw/zinc/35/44/88/904354488.db2.gz GQNCIQOHOXDJHD-JTQLQIEISA-N 1 2 321.343 1.162 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001316608326 904354506 /nfs/dbraw/zinc/35/45/06/904354506.db2.gz GQNCIQOHOXDJHD-JTQLQIEISA-N 1 2 321.343 1.162 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1=CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001281389601 904776841 /nfs/dbraw/zinc/77/68/41/904776841.db2.gz YCHSFQCYCQLOBR-UHFFFAOYSA-N 1 2 316.405 1.439 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cccc2ncnn21 ZINC001392679674 904868331 /nfs/dbraw/zinc/86/83/31/904868331.db2.gz YRJUIEFVWWHWND-LLVKDONJSA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cccc2ncnn21 ZINC001392679674 904868347 /nfs/dbraw/zinc/86/83/47/904868347.db2.gz YRJUIEFVWWHWND-LLVKDONJSA-N 1 2 307.785 1.532 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CCCCNC(=O)[C@H](C)C#N ZINC001281573724 905050105 /nfs/dbraw/zinc/05/01/05/905050105.db2.gz GFLUHUUJPCVYHW-VXGBXAGGSA-N 1 2 319.409 1.212 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)COCC2CC2)s1 ZINC001392823391 905365246 /nfs/dbraw/zinc/36/52/46/905365246.db2.gz LGSTXKANQCCDBM-CYBMUJFWSA-N 1 2 319.430 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)COCC2CC2)s1 ZINC001392823391 905365257 /nfs/dbraw/zinc/36/52/57/905365257.db2.gz LGSTXKANQCCDBM-CYBMUJFWSA-N 1 2 319.430 1.737 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC001282529279 905851853 /nfs/dbraw/zinc/85/18/53/905851853.db2.gz FTBJBHJKQWCSJJ-UHFFFAOYSA-N 1 2 320.820 1.838 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2ccc(OCC)cc2)C1 ZINC001282539160 905856693 /nfs/dbraw/zinc/85/66/93/905856693.db2.gz FBOYTDFFNLBKRE-UHFFFAOYSA-N 1 2 318.417 1.828 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)CCNC(=O)c1ccc(C)o1 ZINC001371912769 905980125 /nfs/dbraw/zinc/98/01/25/905980125.db2.gz OPUDKGUYYJSRGH-UHFFFAOYSA-N 1 2 320.393 1.012 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)CCNC(=O)c1ccc(C)o1 ZINC001371912769 905980131 /nfs/dbraw/zinc/98/01/31/905980131.db2.gz OPUDKGUYYJSRGH-UHFFFAOYSA-N 1 2 320.393 1.012 20 30 DDEDLO C=CCCOCC(=O)N[C@@H](C)C1C[NH+](Cc2cnc(C)cn2)C1 ZINC001282739983 906005480 /nfs/dbraw/zinc/00/54/80/906005480.db2.gz MSEJAMGUCSIKOQ-AWEZNQCLSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@H](NC(=O)c2ccco2)C(C)(C)C1 ZINC001282785730 906035609 /nfs/dbraw/zinc/03/56/09/906035609.db2.gz ASCRUKQFFCGMJA-JSGCOSHPSA-N 1 2 319.405 1.411 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@H](NC(=O)c2ccco2)C(C)(C)C1 ZINC001282785730 906035624 /nfs/dbraw/zinc/03/56/24/906035624.db2.gz ASCRUKQFFCGMJA-JSGCOSHPSA-N 1 2 319.405 1.411 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1([NH2+]Cc2nc3c(o2)CCCC3)CCC1 ZINC001393534983 907249609 /nfs/dbraw/zinc/24/96/09/907249609.db2.gz VCNHQROGQNBJJO-GFCCVEGCSA-N 1 2 316.405 1.842 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@H](C)[NH2+]Cc1noc(C2CCC2)n1 ZINC001393796106 907941032 /nfs/dbraw/zinc/94/10/32/907941032.db2.gz CVZXMWLWFRKXAW-QWRGUYRKSA-N 1 2 305.382 1.481 20 30 DDEDLO CC(C)C#CC(=O)NCCCN(C(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001283787552 907962064 /nfs/dbraw/zinc/96/20/64/907962064.db2.gz WFMIOPIRZIWYBB-UHFFFAOYSA-N 1 2 316.405 1.109 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@H](NC(=O)C#CC3CC3)[C@@H]2C)on1 ZINC001284127656 908519588 /nfs/dbraw/zinc/51/95/88/908519588.db2.gz CYNYUPRMPHZKGC-WFASDCNBSA-N 1 2 317.389 1.566 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@H](NC(=O)C#CC3CC3)[C@@H]2C)on1 ZINC001284127656 908519593 /nfs/dbraw/zinc/51/95/93/908519593.db2.gz CYNYUPRMPHZKGC-WFASDCNBSA-N 1 2 317.389 1.566 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@H+](Cc2nocc2C)[C@H]1C ZINC001284128098 908519768 /nfs/dbraw/zinc/51/97/68/908519768.db2.gz JHQRRGWEFLPZBN-GJZGRUSLSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@@H+](Cc2nocc2C)[C@H]1C ZINC001284128098 908519778 /nfs/dbraw/zinc/51/97/78/908519778.db2.gz JHQRRGWEFLPZBN-GJZGRUSLSA-N 1 2 319.405 1.492 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001284327134 908834137 /nfs/dbraw/zinc/83/41/37/908834137.db2.gz WVHGZDAFRRNQTK-ZIAGYGMSSA-N 1 2 318.421 1.811 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001284331686 908839595 /nfs/dbraw/zinc/83/95/95/908839595.db2.gz LTECDMLRNCXRTA-YNEHKIRRSA-N 1 2 318.421 1.357 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCN(C)C(=O)Cc1c[nH+]cn1C ZINC001284524352 909141500 /nfs/dbraw/zinc/14/15/00/909141500.db2.gz WCCDKXDPPBMLQB-UHFFFAOYSA-N 1 2 306.410 1.140 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001284840812 909556079 /nfs/dbraw/zinc/55/60/79/909556079.db2.gz OAAPWJPBNSJDMW-OAHLLOKOSA-N 1 2 318.421 1.546 20 30 DDEDLO C#CCCCC(=O)NCC1=CC[N@H+](Cc2cnnn2CC)CC1 ZINC001284909655 909710630 /nfs/dbraw/zinc/71/06/30/909710630.db2.gz SKZIEKYQYCKOBQ-UHFFFAOYSA-N 1 2 315.421 1.350 20 30 DDEDLO C#CCCCC(=O)NCC1=CC[N@@H+](Cc2cnnn2CC)CC1 ZINC001284909655 909710641 /nfs/dbraw/zinc/71/06/41/909710641.db2.gz SKZIEKYQYCKOBQ-UHFFFAOYSA-N 1 2 315.421 1.350 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](CC)NC(=O)Cc1[nH]c[nH+]c1C ZINC001285082120 910042583 /nfs/dbraw/zinc/04/25/83/910042583.db2.gz GDNLSMXLIZPWSY-ZDUSSCGKSA-N 1 2 320.437 1.874 20 30 DDEDLO CO[C@H](C)CC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001373623767 910391928 /nfs/dbraw/zinc/39/19/28/910391928.db2.gz GKKUHMBPXVNKKU-IUODEOHRSA-N 1 2 319.380 1.813 20 30 DDEDLO CO[C@H](C)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001373623767 910391934 /nfs/dbraw/zinc/39/19/34/910391934.db2.gz GKKUHMBPXVNKKU-IUODEOHRSA-N 1 2 319.380 1.813 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001285745949 911141052 /nfs/dbraw/zinc/14/10/52/911141052.db2.gz AAAAHQBIVHPJKY-YNEHKIRRSA-N 1 2 304.394 1.490 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001285745949 911141065 /nfs/dbraw/zinc/14/10/65/911141065.db2.gz AAAAHQBIVHPJKY-YNEHKIRRSA-N 1 2 304.394 1.490 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@](C)(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001286110938 911706727 /nfs/dbraw/zinc/70/67/27/911706727.db2.gz XGYJZYOCISFYFJ-DYVFJYSZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC([C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286209897 911836003 /nfs/dbraw/zinc/83/60/03/911836003.db2.gz ZMLSWJVQQVNGIJ-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C#CCCCCn1c(C)nnc1N(C)CC[NH+]1CCOCC1 ZINC001286319281 911914087 /nfs/dbraw/zinc/91/40/87/911914087.db2.gz YUXZAIBKVQDZIX-UHFFFAOYSA-N 1 2 305.426 1.158 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(CC)n1CC[N@@H+]1CCOC[C@H]1C ZINC001286294847 911914189 /nfs/dbraw/zinc/91/41/89/911914189.db2.gz DEGAXGYUYFHNNO-ZIAGYGMSSA-N 1 2 305.426 1.019 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(CC)n1CC[N@H+]1CCOC[C@H]1C ZINC001286294847 911914195 /nfs/dbraw/zinc/91/41/95/911914195.db2.gz DEGAXGYUYFHNNO-ZIAGYGMSSA-N 1 2 305.426 1.019 20 30 DDEDLO CCCN(CCNC(=O)[C@@H](C)C#N)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001374169623 912062792 /nfs/dbraw/zinc/06/27/92/912062792.db2.gz VMGLQKLLTTZYIQ-OLZOCXBDSA-N 1 2 319.409 1.103 20 30 DDEDLO CCCN(CCNC(=O)[C@@H](C)C#N)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001374169623 912062808 /nfs/dbraw/zinc/06/28/08/912062808.db2.gz VMGLQKLLTTZYIQ-OLZOCXBDSA-N 1 2 319.409 1.103 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccccc1C#N)C(=O)Cc1ncc[nH]1 ZINC001397045378 915044084 /nfs/dbraw/zinc/04/40/84/915044084.db2.gz XHMMRUUSFYIKJO-INIZCTEOSA-N 1 2 323.400 1.557 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccccc1C#N)C(=O)Cc1ncc[nH]1 ZINC001397045378 915044102 /nfs/dbraw/zinc/04/41/02/915044102.db2.gz XHMMRUUSFYIKJO-INIZCTEOSA-N 1 2 323.400 1.557 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001294921862 915463061 /nfs/dbraw/zinc/46/30/61/915463061.db2.gz CBUPNVTYEMKPAT-AWEZNQCLSA-N 1 2 316.405 1.157 20 30 DDEDLO CC(C)C#CC(=O)NCCN(C(=O)CCc1[nH]cc[nH+]1)C1CC1 ZINC001296575980 916489891 /nfs/dbraw/zinc/48/98/91/916489891.db2.gz QAPUTDBSSVCPRV-UHFFFAOYSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+](CCO)[C@H]1CCCN(C(=O)c2cc[nH]n2)C1 ZINC001375633338 916536207 /nfs/dbraw/zinc/53/62/07/916536207.db2.gz UEVMHKKNNJHOOE-LBPRGKRZSA-N 1 2 312.801 1.061 20 30 DDEDLO C=C(Cl)C[N@H+](CCO)[C@H]1CCCN(C(=O)c2cc[nH]n2)C1 ZINC001375633338 916536212 /nfs/dbraw/zinc/53/62/12/916536212.db2.gz UEVMHKKNNJHOOE-LBPRGKRZSA-N 1 2 312.801 1.061 20 30 DDEDLO C=C(Cl)C[N@@H+](CCO)[C@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001375633338 916536226 /nfs/dbraw/zinc/53/62/26/916536226.db2.gz UEVMHKKNNJHOOE-LBPRGKRZSA-N 1 2 312.801 1.061 20 30 DDEDLO C=C(Cl)C[N@H+](CCO)[C@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001375633338 916536243 /nfs/dbraw/zinc/53/62/43/916536243.db2.gz UEVMHKKNNJHOOE-LBPRGKRZSA-N 1 2 312.801 1.061 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001375994607 917435087 /nfs/dbraw/zinc/43/50/87/917435087.db2.gz VKONMWSYISCOPL-KOLCDFICSA-N 1 2 305.756 1.972 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001375994607 917435092 /nfs/dbraw/zinc/43/50/92/917435092.db2.gz VKONMWSYISCOPL-KOLCDFICSA-N 1 2 305.756 1.972 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1cnc2nccn2c1 ZINC001377322365 921042585 /nfs/dbraw/zinc/04/25/85/921042585.db2.gz GBKIOFNEZXKRNS-UHFFFAOYSA-N 1 2 307.785 1.534 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1cnc2nccn2c1 ZINC001377322365 921042601 /nfs/dbraw/zinc/04/26/01/921042601.db2.gz GBKIOFNEZXKRNS-UHFFFAOYSA-N 1 2 307.785 1.534 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)N2CC[NH+](Cc3cccnc3)CC2)c1 ZINC000614293391 361792647 /nfs/dbraw/zinc/79/26/47/361792647.db2.gz DUUXMEVUIIKVQC-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+](CC(=O)NC2CC2)CC1 ZINC000078992077 185142210 /nfs/dbraw/zinc/14/22/10/185142210.db2.gz GOKZFAGOHILWCU-UHFFFAOYSA-N 1 2 302.353 1.098 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+](Cc2csc(C)n2)CC1 ZINC000278708098 214342656 /nfs/dbraw/zinc/34/26/56/214342656.db2.gz BRGUOCOXZOOITE-MRXNPFEDSA-N 1 2 323.462 1.813 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+](Cc2csc(C)n2)CC1 ZINC000278708098 214342657 /nfs/dbraw/zinc/34/26/57/214342657.db2.gz BRGUOCOXZOOITE-MRXNPFEDSA-N 1 2 323.462 1.813 20 30 DDEDLO CC[C@H](NC([O-])=[NH+][C@H]1CCn2cc[nH+]c2C1)C1CCOCC1 ZINC000330169844 529455446 /nfs/dbraw/zinc/45/54/46/529455446.db2.gz BPFFHUGMJYUNHU-KBPBESRZSA-N 1 2 306.410 1.907 20 30 DDEDLO CC[C@H]([NH+]=C([O-])N[C@H]1CCn2cc[nH+]c2C1)C1CCOCC1 ZINC000330169844 529455447 /nfs/dbraw/zinc/45/54/47/529455447.db2.gz BPFFHUGMJYUNHU-KBPBESRZSA-N 1 2 306.410 1.907 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc3nc(N)sc3c2)CC1 ZINC000087847431 185233452 /nfs/dbraw/zinc/23/34/52/185233452.db2.gz NSZHNJNJLHGNOZ-UHFFFAOYSA-N 1 2 302.403 1.822 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NCc1noc(C)n1)CCC2 ZINC000328653343 539298250 /nfs/dbraw/zinc/29/82/50/539298250.db2.gz LBOHJJUCUQFLFR-NSHDSACASA-N 1 2 304.354 1.464 20 30 DDEDLO CC(C)(C(=O)N[C@@H]1CCO[C@@]2(CCOC2)C1)[NH+]1CCOCC1 ZINC000328671011 539298355 /nfs/dbraw/zinc/29/83/55/539298355.db2.gz GVMUZYLTXFWTJG-CJNGLKHVSA-N 1 2 312.410 1.392 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)[C@H]1CCCCO1 ZINC000329423783 539304301 /nfs/dbraw/zinc/30/43/01/539304301.db2.gz FXNDTOYRDRQVFF-UONOGXRCSA-N 1 2 313.442 1.558 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)[C@H]1CCCCO1 ZINC000329423783 539304302 /nfs/dbraw/zinc/30/43/02/539304302.db2.gz FXNDTOYRDRQVFF-UONOGXRCSA-N 1 2 313.442 1.558 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001120406708 781922501 /nfs/dbraw/zinc/92/25/01/781922501.db2.gz DPCGVTRCXDCDBK-NSHDSACASA-N 1 2 316.409 1.834 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)NCC(C)(C)CC#N)C1 ZINC000459227742 529782785 /nfs/dbraw/zinc/78/27/85/529782785.db2.gz BSPGARJWYRHCOL-OAHLLOKOSA-N 1 2 322.453 1.678 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)NCC(C)(C)CC#N)C1 ZINC000459227742 529782786 /nfs/dbraw/zinc/78/27/86/529782786.db2.gz BSPGARJWYRHCOL-OAHLLOKOSA-N 1 2 322.453 1.678 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)C[C@@H](CC#N)c3ccccc3)C[C@@H]21 ZINC000330029782 529785895 /nfs/dbraw/zinc/78/58/95/529785895.db2.gz QOXCMGLPDZSMKM-IXDOHACOSA-N 1 2 313.401 1.615 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)C[C@@H](CC#N)c3ccccc3)C[C@@H]21 ZINC000330029782 529785897 /nfs/dbraw/zinc/78/58/97/529785897.db2.gz QOXCMGLPDZSMKM-IXDOHACOSA-N 1 2 313.401 1.615 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc4ncsc4c3)C[C@H]21 ZINC000329954896 529786026 /nfs/dbraw/zinc/78/60/26/529786026.db2.gz KICDDKSNJTUZNR-CHWSQXEVSA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc4ncsc4c3)C[C@H]21 ZINC000329954896 529786027 /nfs/dbraw/zinc/78/60/27/529786027.db2.gz KICDDKSNJTUZNR-CHWSQXEVSA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)c2coc(C3CCOCC3)n2)C1 ZINC000329923698 529788162 /nfs/dbraw/zinc/78/81/62/529788162.db2.gz HFEFZKNMWHNACK-GFCCVEGCSA-N 1 2 309.366 1.204 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)c2coc(C3CCOCC3)n2)C1 ZINC000329923698 529788164 /nfs/dbraw/zinc/78/81/64/529788164.db2.gz HFEFZKNMWHNACK-GFCCVEGCSA-N 1 2 309.366 1.204 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(CC(=O)Nc3ccc(Cl)cn3)C[C@@H]21 ZINC000330344434 529790747 /nfs/dbraw/zinc/79/07/47/529790747.db2.gz CXYWYCSGLSPOEB-RYUDHWBXSA-N 1 2 310.785 1.338 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(CC(=O)Nc3ccc(Cl)cn3)C[C@@H]21 ZINC000330344434 529790748 /nfs/dbraw/zinc/79/07/48/529790748.db2.gz CXYWYCSGLSPOEB-RYUDHWBXSA-N 1 2 310.785 1.338 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cccc2c1OC(C)(C)C2 ZINC000414168034 529868331 /nfs/dbraw/zinc/86/83/31/529868331.db2.gz UIOSHKFKLFYFOF-AWEZNQCLSA-N 1 2 304.390 1.985 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cccc2c1OC(C)(C)C2 ZINC000414168034 529868332 /nfs/dbraw/zinc/86/83/32/529868332.db2.gz UIOSHKFKLFYFOF-AWEZNQCLSA-N 1 2 304.390 1.985 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)NCCc2cn3ccccc3[nH+]2)C1 ZINC000615530580 362322069 /nfs/dbraw/zinc/32/20/69/362322069.db2.gz CDCIPIUWXJXJGY-HNNXBMFYSA-N 1 2 301.325 1.524 20 30 DDEDLO C=C[C@@](C)(O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2[C@@H](C)CO ZINC000616021012 362522580 /nfs/dbraw/zinc/52/25/80/362522580.db2.gz VHTTVPCBGAOLGF-MGPLVRAMSA-N 1 2 303.362 1.774 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@H](c1[nH+]ccn1C)C(C)(C)CO)OCC ZINC000616864839 362852353 /nfs/dbraw/zinc/85/23/53/362852353.db2.gz MHVFSAFKURDSGQ-DZGCQCFKSA-N 1 2 323.437 1.967 20 30 DDEDLO Cc1c(Cl)cnc(N[C@@H](C)C[NH+]2CCN(C)CC2)c1C#N ZINC000273323507 186428216 /nfs/dbraw/zinc/42/82/16/186428216.db2.gz KOCRJHBTECVTAI-NSHDSACASA-N 1 2 307.829 1.963 20 30 DDEDLO N#Cc1ncccc1S(=O)(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000617469171 363122443 /nfs/dbraw/zinc/12/24/43/363122443.db2.gz ACMMLKHAKYRVRP-GFCCVEGCSA-N 1 2 317.374 1.176 20 30 DDEDLO C=CC[N@@H+](CC(=O)N1CCC[C@@H](C(N)=O)C1)Cc1cccs1 ZINC000032775512 352284123 /nfs/dbraw/zinc/28/41/23/352284123.db2.gz HOSFGMQCIGMXSC-CYBMUJFWSA-N 1 2 321.446 1.460 20 30 DDEDLO C=CC[N@H+](CC(=O)N1CCC[C@@H](C(N)=O)C1)Cc1cccs1 ZINC000032775512 352284127 /nfs/dbraw/zinc/28/41/27/352284127.db2.gz HOSFGMQCIGMXSC-CYBMUJFWSA-N 1 2 321.446 1.460 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)C[C@H]3CCCO3)CC2)cc1 ZINC000047046156 352478976 /nfs/dbraw/zinc/47/89/76/352478976.db2.gz ZIMWNIBTDUJJOF-QGZVFWFLSA-N 1 2 313.401 1.772 20 30 DDEDLO C[C@@H](CNC(=O)c1sccc1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000052034737 352598682 /nfs/dbraw/zinc/59/86/82/352598682.db2.gz YYTOJVAVEUKMGY-SDDRHHMPSA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@@H](CNC(=O)c1sccc1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000052034737 352598684 /nfs/dbraw/zinc/59/86/84/352598684.db2.gz YYTOJVAVEUKMGY-SDDRHHMPSA-N 1 2 307.419 1.847 20 30 DDEDLO CCCCS(=O)(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000053644844 352663219 /nfs/dbraw/zinc/66/32/19/352663219.db2.gz NBVNDGBGRYXTDH-UHFFFAOYSA-N 1 2 321.446 1.806 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Cc1ccccc1F ZINC000060634254 352878363 /nfs/dbraw/zinc/87/83/63/352878363.db2.gz DMHAWIPXLADKMV-UHFFFAOYSA-N 1 2 319.380 1.443 20 30 DDEDLO N#CC1CC[NH+](CC(=O)NCc2cnn(-c3ccccc3)c2)CC1 ZINC000057878501 352832355 /nfs/dbraw/zinc/83/23/55/352832355.db2.gz GSQSUHVSKLEFRI-UHFFFAOYSA-N 1 2 323.400 1.724 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(c2ccc(Cl)c(C#N)n2)CC1 ZINC000068660293 353124334 /nfs/dbraw/zinc/12/43/34/353124334.db2.gz UMAYAZKVCXSKCJ-UHFFFAOYSA-N 1 2 321.812 1.253 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C/C1CCOCC1 ZINC000491882544 234325039 /nfs/dbraw/zinc/32/50/39/234325039.db2.gz KGRJPGRVWKXLBA-NSCUHMNNSA-N 1 2 321.421 1.044 20 30 DDEDLO C[NH+](C)[C@@H](CNS(=O)(=O)c1cccnc1C#N)c1ccco1 ZINC000277121684 213307687 /nfs/dbraw/zinc/30/76/87/213307687.db2.gz ZEHDYNKNESVJJC-LBPRGKRZSA-N 1 2 320.374 1.127 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(C(=O)C2CC2)CC1 ZINC000073621610 353262584 /nfs/dbraw/zinc/26/25/84/353262584.db2.gz MCTHLKIQRXRPLP-AWEZNQCLSA-N 1 2 305.422 1.130 20 30 DDEDLO C[C@@H]1CC[N@H+](C[C@@H](O)COc2ccc(C#N)cc2)CC[S@@]1=O ZINC000277105623 213296209 /nfs/dbraw/zinc/29/62/09/213296209.db2.gz GUYJEKUSOCCGMI-QCPUYCGNSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[N@@H+](C[C@@H](O)COc2ccc(C#N)cc2)CC[S@@]1=O ZINC000277105623 213296210 /nfs/dbraw/zinc/29/62/10/213296210.db2.gz GUYJEKUSOCCGMI-QCPUYCGNSA-N 1 2 322.430 1.141 20 30 DDEDLO C[N@H+](CCn1cccn1)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000075721948 353377832 /nfs/dbraw/zinc/37/78/32/353377832.db2.gz INIJPQROSCICES-UHFFFAOYSA-N 1 2 308.345 1.937 20 30 DDEDLO C[N@@H+](CCn1cccn1)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000075721948 353377834 /nfs/dbraw/zinc/37/78/34/353377834.db2.gz INIJPQROSCICES-UHFFFAOYSA-N 1 2 308.345 1.937 20 30 DDEDLO N#CCNC(=O)CN1CC[NH+](Cc2cccc(Cl)c2)CC1 ZINC000076668045 353431961 /nfs/dbraw/zinc/43/19/61/353431961.db2.gz CIYMTIVZVAKGHX-UHFFFAOYSA-N 1 2 306.797 1.097 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1CCCC1 ZINC000083244087 353709165 /nfs/dbraw/zinc/70/91/65/353709165.db2.gz HACZHYLMFLJOEI-QGZVFWFLSA-N 1 2 323.437 1.849 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1CCCC1 ZINC000083244087 353709166 /nfs/dbraw/zinc/70/91/66/353709166.db2.gz HACZHYLMFLJOEI-QGZVFWFLSA-N 1 2 323.437 1.849 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(C(=O)N(C)C)CC1 ZINC000120475845 354012171 /nfs/dbraw/zinc/01/21/71/354012171.db2.gz GEXFHELJBKPFFL-UHFFFAOYSA-N 1 2 302.378 1.366 20 30 DDEDLO C[C@H](NC(=O)c1c(F)cc(C#N)cc1F)[C@@H]1C[N@H+](C)CCO1 ZINC000127103266 354061441 /nfs/dbraw/zinc/06/14/41/354061441.db2.gz WRIYNEWUVYRGDI-ZANVPECISA-N 1 2 309.316 1.285 20 30 DDEDLO C[C@H](NC(=O)c1c(F)cc(C#N)cc1F)[C@@H]1C[N@@H+](C)CCO1 ZINC000127103266 354061444 /nfs/dbraw/zinc/06/14/44/354061444.db2.gz WRIYNEWUVYRGDI-ZANVPECISA-N 1 2 309.316 1.285 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@@H](C(=O)NC1(C#N)CCOCC1)C2 ZINC000526996705 354690030 /nfs/dbraw/zinc/69/00/30/354690030.db2.gz SXDIAYCMFIIKFI-GFCCVEGCSA-N 1 2 316.405 1.827 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@H](C(=O)NC1(C#N)CCOCC1)CC2 ZINC000526996705 354690032 /nfs/dbraw/zinc/69/00/32/354690032.db2.gz SXDIAYCMFIIKFI-GFCCVEGCSA-N 1 2 316.405 1.827 20 30 DDEDLO C=CC[C@H]1N(C(=O)C(=O)N(C)Cc2c[nH+]c[nH]2)CCCC1(C)C ZINC000580785546 354726995 /nfs/dbraw/zinc/72/69/95/354726995.db2.gz FQDPEAJFADTKMG-CQSZACIVSA-N 1 2 318.421 1.961 20 30 DDEDLO C=CC[C@H]1N(C(=O)C(=O)N(C)Cc2c[nH]c[nH+]2)CCCC1(C)C ZINC000580785546 354726999 /nfs/dbraw/zinc/72/69/99/354726999.db2.gz FQDPEAJFADTKMG-CQSZACIVSA-N 1 2 318.421 1.961 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@H]1CCc2c[nH+]cn2C1 ZINC000587045290 354879134 /nfs/dbraw/zinc/87/91/34/354879134.db2.gz LFBPCLDUMBIOGT-JSGCOSHPSA-N 1 2 310.357 1.653 20 30 DDEDLO Cn1cc(N2CC[C@@H]([NH2+]Cc3cccc(F)c3C#N)C2=O)cn1 ZINC000589821432 355022702 /nfs/dbraw/zinc/02/27/02/355022702.db2.gz VSNUDFMZRHINEC-OAHLLOKOSA-N 1 2 313.336 1.326 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCc2cccc(OC)c2C1 ZINC000590759839 355169421 /nfs/dbraw/zinc/16/94/21/355169421.db2.gz SLILAMHZWZYZEZ-LBPRGKRZSA-N 1 2 317.389 1.454 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCc2cccc(OC)c2C1 ZINC000590759839 355169424 /nfs/dbraw/zinc/16/94/24/355169424.db2.gz SLILAMHZWZYZEZ-LBPRGKRZSA-N 1 2 317.389 1.454 20 30 DDEDLO Cc1cc(N2CCN(C(=O)c3cccn3C)CC2)c(C#N)c[nH+]1 ZINC000591817857 355390588 /nfs/dbraw/zinc/39/05/88/355390588.db2.gz BGIBORZXHUYDCZ-UHFFFAOYSA-N 1 2 309.373 1.563 20 30 DDEDLO Cc1cc(N2CCO[C@@H](C(=O)OC(C)(C)C)C2)c(C#N)c[nH+]1 ZINC000591820958 355391542 /nfs/dbraw/zinc/39/15/42/355391542.db2.gz QHJBQBRYIHMQDX-CQSZACIVSA-N 1 2 303.362 1.809 20 30 DDEDLO N#Cc1cnccc1C[N@H+]1CCCNC(=O)[C@@H]1Cc1ccccc1 ZINC000592122214 355505552 /nfs/dbraw/zinc/50/55/52/355505552.db2.gz IBGMXMXUUUWPNI-SFHVURJKSA-N 1 2 320.396 1.886 20 30 DDEDLO N#Cc1cnccc1C[N@@H+]1CCCNC(=O)[C@@H]1Cc1ccccc1 ZINC000592122214 355505554 /nfs/dbraw/zinc/50/55/54/355505554.db2.gz IBGMXMXUUUWPNI-SFHVURJKSA-N 1 2 320.396 1.886 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)N[C@@H](c2ccccc2)C2CC2)C1 ZINC000592149609 355515932 /nfs/dbraw/zinc/51/59/32/355515932.db2.gz SABYLPPNPYZBTK-ROUUACIJSA-N 1 2 313.401 1.604 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)N[C@@H](c2ccccc2)C2CC2)C1 ZINC000592149609 355515935 /nfs/dbraw/zinc/51/59/35/355515935.db2.gz SABYLPPNPYZBTK-ROUUACIJSA-N 1 2 313.401 1.604 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000592149458 355516272 /nfs/dbraw/zinc/51/62/72/355516272.db2.gz PKNYLXJSNHWINL-DOTOQJQBSA-N 1 2 317.364 1.496 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000592149458 355516275 /nfs/dbraw/zinc/51/62/75/355516275.db2.gz PKNYLXJSNHWINL-DOTOQJQBSA-N 1 2 317.364 1.496 20 30 DDEDLO COc1ncncc1C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000592497221 355601585 /nfs/dbraw/zinc/60/15/85/355601585.db2.gz PCCAPBHRGCEIKQ-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO CCc1[nH+]c2ccccc2n1CC(=O)N1CC[C@](O)(CC#N)C1 ZINC000592835511 355708548 /nfs/dbraw/zinc/70/85/48/355708548.db2.gz CJHCZEAJWMTRCI-QGZVFWFLSA-N 1 2 312.373 1.476 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)Cc2cn3c([nH+]2)CCCC3)cc1 ZINC000593487998 355900590 /nfs/dbraw/zinc/90/05/90/355900590.db2.gz YGSPQWUFDRARKW-UHFFFAOYSA-N 1 2 324.384 1.829 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCCCC(=O)OC(C)(C)C ZINC000594024730 356092527 /nfs/dbraw/zinc/09/25/27/356092527.db2.gz WDRXLLWHCKLVNV-MRXNPFEDSA-N 1 2 311.426 1.849 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)cc1F ZINC000594354188 356181156 /nfs/dbraw/zinc/18/11/56/356181156.db2.gz QMCSIBXJHXGMFC-LLVKDONJSA-N 1 2 316.311 1.912 20 30 DDEDLO C[C@H](CNC(=O)c1cc(C#N)ccn1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594400386 356197774 /nfs/dbraw/zinc/19/77/74/356197774.db2.gz BMINTVBEZNNHSI-UPJWGTAASA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@H](CNC(=O)c1cc(C#N)ccn1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594400386 356197776 /nfs/dbraw/zinc/19/77/76/356197776.db2.gz BMINTVBEZNNHSI-UPJWGTAASA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)NCC1(C#N)CCCCC1)[NH+]1CCOCC1 ZINC000595516170 356528628 /nfs/dbraw/zinc/52/86/28/356528628.db2.gz OHPWUDAAYYIASX-LSDHHAIUSA-N 1 2 322.453 1.869 20 30 DDEDLO N#CC[C@@H]1CC[C@H](NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)C1 ZINC000595640787 356589032 /nfs/dbraw/zinc/58/90/32/356589032.db2.gz SPOQKVCESIBBKR-KKUMJFAQSA-N 1 2 306.410 1.185 20 30 DDEDLO C[C@]1(C2CC2)C[N@H+](CC(=O)NC2(C#N)CCCCC2)CCO1 ZINC000595617747 356580199 /nfs/dbraw/zinc/58/01/99/356580199.db2.gz JTKRYNKOSRRWGK-MRXNPFEDSA-N 1 2 305.422 1.830 20 30 DDEDLO C[C@]1(C2CC2)C[N@@H+](CC(=O)NC2(C#N)CCCCC2)CCO1 ZINC000595617747 356580202 /nfs/dbraw/zinc/58/02/02/356580202.db2.gz JTKRYNKOSRRWGK-MRXNPFEDSA-N 1 2 305.422 1.830 20 30 DDEDLO N#Cc1sccc1N1CCN(CC[NH+]2CCOCC2)CC1 ZINC000595632476 356584950 /nfs/dbraw/zinc/58/49/50/356584950.db2.gz XTJIKPFBFGZGQQ-UHFFFAOYSA-N 1 2 306.435 1.074 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)C[C@@H]1COCCO1 ZINC000595746036 356633160 /nfs/dbraw/zinc/63/31/60/356633160.db2.gz ZSVRPQVUTJWOTM-CYBMUJFWSA-N 1 2 323.780 1.497 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)C[C@@H]1COCCO1 ZINC000595746036 356633163 /nfs/dbraw/zinc/63/31/63/356633163.db2.gz ZSVRPQVUTJWOTM-CYBMUJFWSA-N 1 2 323.780 1.497 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NCc1ccccc1)[N@@H+]1CC[C@@](C)(C#N)C1 ZINC000595842122 356674623 /nfs/dbraw/zinc/67/46/23/356674623.db2.gz XRJKLOAAOXXASK-GUYCJALGSA-N 1 2 314.389 1.636 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NCc1ccccc1)[N@H+]1CC[C@@](C)(C#N)C1 ZINC000595842122 356674624 /nfs/dbraw/zinc/67/46/24/356674624.db2.gz XRJKLOAAOXXASK-GUYCJALGSA-N 1 2 314.389 1.636 20 30 DDEDLO N#C[C@H]1CC[C@@H]([N@@H+]2CCc3sc(S(N)(=O)=O)cc3C2)C1 ZINC000595884745 356691070 /nfs/dbraw/zinc/69/10/70/356691070.db2.gz LDEGSNCJAXRYAB-GXSJLCMTSA-N 1 2 311.432 1.446 20 30 DDEDLO N#C[C@H]1CC[C@@H]([N@H+]2CCc3sc(S(N)(=O)=O)cc3C2)C1 ZINC000595884745 356691072 /nfs/dbraw/zinc/69/10/72/356691072.db2.gz LDEGSNCJAXRYAB-GXSJLCMTSA-N 1 2 311.432 1.446 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000595913152 356703254 /nfs/dbraw/zinc/70/32/54/356703254.db2.gz CEAVZQGYWCSYBO-UHIISALHSA-N 1 2 303.353 1.491 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000595913152 356703257 /nfs/dbraw/zinc/70/32/57/356703257.db2.gz CEAVZQGYWCSYBO-UHIISALHSA-N 1 2 303.353 1.491 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)NCC(C)(C)C#N)C(C)(C)CO ZINC000596551282 356914283 /nfs/dbraw/zinc/91/42/83/356914283.db2.gz ZOTBIJQOAJTPOP-LLVKDONJSA-N 1 2 307.398 1.329 20 30 DDEDLO CN1CC[N@H+](Cc2ccccc2)[C@@H](CNc2ccncc2C#N)C1 ZINC000596551822 356914598 /nfs/dbraw/zinc/91/45/98/356914598.db2.gz NJPKDXZITBOIOK-SFHVURJKSA-N 1 2 321.428 1.603 20 30 DDEDLO CN1CC[N@@H+](Cc2ccccc2)[C@@H](CNc2ccncc2C#N)C1 ZINC000596551822 356914600 /nfs/dbraw/zinc/91/46/00/356914600.db2.gz NJPKDXZITBOIOK-SFHVURJKSA-N 1 2 321.428 1.603 20 30 DDEDLO N#Cc1cnc(N2CC[NH+](Cc3ccccn3)CC2)c([N+](=O)[O-])c1 ZINC000296556976 283232494 /nfs/dbraw/zinc/23/24/94/283232494.db2.gz PHSVCAHKRYGAIA-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO C[C@@H](CNC(=O)CC1(C#N)CCCCC1)[NH+]1CCN(C)CC1 ZINC000597235251 357105017 /nfs/dbraw/zinc/10/50/17/357105017.db2.gz WGQXJCJMGAVKRV-HNNXBMFYSA-N 1 2 306.454 1.603 20 30 DDEDLO Cn1cc(NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)c(C2CC2)n1 ZINC000329634464 223005662 /nfs/dbraw/zinc/00/56/62/223005662.db2.gz TWMHLOIBQHSQDJ-KGLIPLIRSA-N 1 2 319.409 1.248 20 30 DDEDLO Cn1cc(NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)c(C2CC2)n1 ZINC000329634464 223005665 /nfs/dbraw/zinc/00/56/65/223005665.db2.gz TWMHLOIBQHSQDJ-KGLIPLIRSA-N 1 2 319.409 1.248 20 30 DDEDLO O=C(N[C@@H]1CCc2[nH+]c[nH]c2C1)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000329728868 223021100 /nfs/dbraw/zinc/02/11/00/223021100.db2.gz PCMWLSAFPSNOJS-QWHCGFSZSA-N 1 2 316.405 1.872 20 30 DDEDLO O=C(N[C@@H]1CCc2[nH]c[nH+]c2C1)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000329728868 223021103 /nfs/dbraw/zinc/02/11/03/223021103.db2.gz PCMWLSAFPSNOJS-QWHCGFSZSA-N 1 2 316.405 1.872 20 30 DDEDLO Cc1ncc(CNC(=O)N2CC[C@H]([NH+]3CCOCC3)C2)s1 ZINC000329737538 223020506 /nfs/dbraw/zinc/02/05/06/223020506.db2.gz MGLBKRSHWHEMGR-LBPRGKRZSA-N 1 2 310.423 1.272 20 30 DDEDLO Cc1cc(NC[C@H](CO)Cc2ccncc2Cl)c(C#N)c[nH+]1 ZINC000599102777 357815811 /nfs/dbraw/zinc/81/58/11/357815811.db2.gz RPLKQNOWMWWMEY-GFCCVEGCSA-N 1 2 316.792 1.995 20 30 DDEDLO Cc1noc([C@H]2C[N@@H+](C[C@H](O)CC(C)(C)C#N)C[C@@H](C)O2)n1 ZINC000599607181 357991287 /nfs/dbraw/zinc/99/12/87/357991287.db2.gz HGJVYOKLQYPASQ-RAIGVLPGSA-N 1 2 308.382 1.441 20 30 DDEDLO Cc1noc([C@H]2C[N@H+](C[C@H](O)CC(C)(C)C#N)C[C@@H](C)O2)n1 ZINC000599607181 357991290 /nfs/dbraw/zinc/99/12/90/357991290.db2.gz HGJVYOKLQYPASQ-RAIGVLPGSA-N 1 2 308.382 1.441 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1ccccc1CC#N)CC2 ZINC000601390198 358530892 /nfs/dbraw/zinc/53/08/92/358530892.db2.gz CLBHFYITHLJINK-UHFFFAOYSA-N 1 2 316.386 1.462 20 30 DDEDLO N#CCc1ccccc1S(=O)(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000601395904 358534843 /nfs/dbraw/zinc/53/48/43/358534843.db2.gz RSBIGQDFXAMQBS-CYBMUJFWSA-N 1 2 316.386 1.242 20 30 DDEDLO N#CC1(C[C@H](O)C[NH+]2CC(Oc3ccc([N+](=O)[O-])cc3)C2)CC1 ZINC000601957520 358752856 /nfs/dbraw/zinc/75/28/56/358752856.db2.gz IFXBZIVAIZGBGQ-ZDUSSCGKSA-N 1 2 317.345 1.713 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)[nH]1 ZINC000602201338 358880634 /nfs/dbraw/zinc/88/06/34/358880634.db2.gz YLCGFRHGABBZRG-UHFFFAOYSA-N 1 2 309.373 1.282 20 30 DDEDLO CCCC[N@H+](Cc1cccc(C#N)n1)[C@H]1CCS(=O)(=O)C1 ZINC000602432550 358973071 /nfs/dbraw/zinc/97/30/71/358973071.db2.gz HVJGXXFRIFKODT-HNNXBMFYSA-N 1 2 307.419 1.742 20 30 DDEDLO CCCC[N@@H+](Cc1cccc(C#N)n1)[C@H]1CCS(=O)(=O)C1 ZINC000602432550 358973075 /nfs/dbraw/zinc/97/30/75/358973075.db2.gz HVJGXXFRIFKODT-HNNXBMFYSA-N 1 2 307.419 1.742 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cccc(C#N)n3)CC2)c1C#N ZINC000602629263 359084898 /nfs/dbraw/zinc/08/48/98/359084898.db2.gz GXSSSCJUPVUYEH-UHFFFAOYSA-N 1 2 321.388 1.189 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)NCc1cnc(C)s1 ZINC000602777716 359183859 /nfs/dbraw/zinc/18/38/59/359183859.db2.gz KMHFHWFLQOTGRD-UHFFFAOYSA-N 1 2 310.423 1.320 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)NCc1cnc(C)s1 ZINC000602777716 359183864 /nfs/dbraw/zinc/18/38/64/359183864.db2.gz KMHFHWFLQOTGRD-UHFFFAOYSA-N 1 2 310.423 1.320 20 30 DDEDLO COC(=O)c1cc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)c(C)o1 ZINC000602855918 359242003 /nfs/dbraw/zinc/24/20/03/359242003.db2.gz JKHLURDTMONBQZ-RYUDHWBXSA-N 1 2 305.378 1.793 20 30 DDEDLO C[C@H](C(=O)NCc1cccs1)[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856504 359242639 /nfs/dbraw/zinc/24/26/39/359242639.db2.gz KDOHMQFENPHLBT-MELADBBJSA-N 1 2 320.462 1.671 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)N(C)CC2CCCCC2)C[C@H](C)N1CC#N ZINC000602859230 359244668 /nfs/dbraw/zinc/24/46/68/359244668.db2.gz XQKVAQHYDUZCIU-HOTGVXAUSA-N 1 2 320.481 1.943 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)Cc2ccc(CC#N)cc2)CC1(C)C ZINC000603373043 359599658 /nfs/dbraw/zinc/59/96/58/359599658.db2.gz AACPTDMBKPTBAB-UHFFFAOYSA-N 1 2 321.446 1.608 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)Cc2ccc(CC#N)cc2)CC1(C)C ZINC000603373043 359599663 /nfs/dbraw/zinc/59/96/63/359599663.db2.gz AACPTDMBKPTBAB-UHFFFAOYSA-N 1 2 321.446 1.608 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[NH2+][C@@H]1CCC[C@@H]1C#N ZINC000329832143 223035711 /nfs/dbraw/zinc/03/57/11/223035711.db2.gz KHCACBBLMDMKJY-UKRRQHHQSA-N 1 2 303.410 1.347 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000606451376 359922478 /nfs/dbraw/zinc/92/24/78/359922478.db2.gz GZAOATUPMJJPFD-ZDUSSCGKSA-N 1 2 303.337 1.360 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000606451376 359922482 /nfs/dbraw/zinc/92/24/82/359922482.db2.gz GZAOATUPMJJPFD-ZDUSSCGKSA-N 1 2 303.337 1.360 20 30 DDEDLO O=C(C[N@@H+]1CCO[C@]2(CCOC2)C1)NCCc1ccc(F)cc1 ZINC000329898635 223044675 /nfs/dbraw/zinc/04/46/75/223044675.db2.gz MJGAWWHTCCRYNU-QGZVFWFLSA-N 1 2 322.380 1.816 20 30 DDEDLO O=C(C[N@H+]1CCO[C@]2(CCOC2)C1)NCCc1ccc(F)cc1 ZINC000329898635 223044677 /nfs/dbraw/zinc/04/46/77/223044677.db2.gz MJGAWWHTCCRYNU-QGZVFWFLSA-N 1 2 322.380 1.816 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N1CC(Oc2cc[nH+]cc2)C1 ZINC000609354811 360300733 /nfs/dbraw/zinc/30/07/33/360300733.db2.gz PCVSSBZPCMKAFB-UHFFFAOYSA-N 1 2 309.325 1.622 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000609538052 360321325 /nfs/dbraw/zinc/32/13/25/360321325.db2.gz KRHAPVQQKLOLML-BBWFWOEESA-N 1 2 320.481 1.657 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)CC1 ZINC000611012018 360602679 /nfs/dbraw/zinc/60/26/79/360602679.db2.gz FXFUXRQTUGXPSW-GOEBONIOSA-N 1 2 315.421 1.138 20 30 DDEDLO C[C@H](C(=O)N1C[C@H](C)C[C@H](C)C1)[NH+]1CCN(CCC#N)CC1 ZINC000611174416 360647797 /nfs/dbraw/zinc/64/77/97/360647797.db2.gz IMKGVAQXLSNYAH-OWCLPIDISA-N 1 2 306.454 1.411 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000611692522 360794038 /nfs/dbraw/zinc/79/40/38/360794038.db2.gz UXBFGBXEWXFJSZ-KBPBESRZSA-N 1 2 316.405 1.129 20 30 DDEDLO COc1c(F)ccc(F)c1C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000331336789 223203326 /nfs/dbraw/zinc/20/33/26/223203326.db2.gz YXWMKEJTXKHDEG-SNVBAGLBSA-N 1 2 314.332 1.999 20 30 DDEDLO COc1c(F)ccc(F)c1C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000331336789 223203328 /nfs/dbraw/zinc/20/33/28/223203328.db2.gz YXWMKEJTXKHDEG-SNVBAGLBSA-N 1 2 314.332 1.999 20 30 DDEDLO N#C[C@H]1CC[C@@H](Nc2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000333378624 223220532 /nfs/dbraw/zinc/22/05/32/223220532.db2.gz BCDLODWPRZPZHI-MELADBBJSA-N 1 2 301.394 1.789 20 30 DDEDLO N#C[C@H]1CC[C@@H](Nc2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000333378624 223220535 /nfs/dbraw/zinc/22/05/35/223220535.db2.gz BCDLODWPRZPZHI-MELADBBJSA-N 1 2 301.394 1.789 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(S(=O)(=O)CC2(C#N)CC2)CC1 ZINC000619597316 364077684 /nfs/dbraw/zinc/07/76/84/364077684.db2.gz HKPVKZLMXYXFCY-UHFFFAOYSA-N 1 2 320.418 1.146 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2cccc(CC#N)c2)CC[N@H+]1C ZINC000619494720 364037333 /nfs/dbraw/zinc/03/73/33/364037333.db2.gz GEUBWNIKAJFFKQ-OLZOCXBDSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2cccc(CC#N)c2)CC[N@@H+]1C ZINC000619494720 364037339 /nfs/dbraw/zinc/03/73/39/364037339.db2.gz GEUBWNIKAJFFKQ-OLZOCXBDSA-N 1 2 307.419 1.466 20 30 DDEDLO C=C[C@H]([NH2+]C1CCN(c2cc(CC)ncn2)CC1)C(=O)OC ZINC000619702837 364121258 /nfs/dbraw/zinc/12/12/58/364121258.db2.gz SZMATAOPZAVENT-AWEZNQCLSA-N 1 2 304.394 1.325 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(C(=O)N2CCC(C)CC2)CC1 ZINC000619716259 364126271 /nfs/dbraw/zinc/12/62/71/364126271.db2.gz DINFXDIVIYIVNC-OAHLLOKOSA-N 1 2 308.422 1.685 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCO[C@@H]([C@@H]4CCCO4)C3)n2c1 ZINC000091926994 193128024 /nfs/dbraw/zinc/12/80/24/193128024.db2.gz LZOZXQJLJWVBCL-JKSUJKDBSA-N 1 2 312.373 1.586 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCO[C@@H]([C@@H]4CCCO4)C3)n2c1 ZINC000091926994 193128025 /nfs/dbraw/zinc/12/80/25/193128025.db2.gz LZOZXQJLJWVBCL-JKSUJKDBSA-N 1 2 312.373 1.586 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCO[C@@H]([C@@H]4CCCO4)C3)n2c1 ZINC000091926994 193128026 /nfs/dbraw/zinc/12/80/26/193128026.db2.gz LZOZXQJLJWVBCL-JKSUJKDBSA-N 1 2 312.373 1.586 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cc(C#N)cs1 ZINC000091936872 193129238 /nfs/dbraw/zinc/12/92/38/193129238.db2.gz GXRYKMBZWCHICW-GFCCVEGCSA-N 1 2 324.413 1.992 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cc(C#N)cs1 ZINC000091936872 193129239 /nfs/dbraw/zinc/12/92/39/193129239.db2.gz GXRYKMBZWCHICW-GFCCVEGCSA-N 1 2 324.413 1.992 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000625497568 366967397 /nfs/dbraw/zinc/96/73/97/366967397.db2.gz LWYOFXKQJNWOCM-UHFFFAOYSA-N 1 2 318.421 1.834 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000625497568 366967403 /nfs/dbraw/zinc/96/74/03/366967403.db2.gz LWYOFXKQJNWOCM-UHFFFAOYSA-N 1 2 318.421 1.834 20 30 DDEDLO C[C@@H](C#N)C(=O)NCc1ccccc1OCC[NH+]1CCOCC1 ZINC000347029255 223364557 /nfs/dbraw/zinc/36/45/57/223364557.db2.gz AKKMGRODHONLIS-AWEZNQCLSA-N 1 2 317.389 1.173 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@H+]1CCCC[C@@H]1CO ZINC000267904352 206328369 /nfs/dbraw/zinc/32/83/69/206328369.db2.gz ZOPYHNOUSALFDZ-CYBMUJFWSA-N 1 2 307.781 1.997 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1CCCC[C@@H]1CO ZINC000267904352 206328373 /nfs/dbraw/zinc/32/83/73/206328373.db2.gz ZOPYHNOUSALFDZ-CYBMUJFWSA-N 1 2 307.781 1.997 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C#N)c(Cl)c3)C[C@@H]21 ZINC000134505263 248288150 /nfs/dbraw/zinc/28/81/50/248288150.db2.gz MACQAABIEZHHJV-UONOGXRCSA-N 1 2 320.780 1.758 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C#N)c(Cl)c3)C[C@@H]21 ZINC000134505263 248288156 /nfs/dbraw/zinc/28/81/56/248288156.db2.gz MACQAABIEZHHJV-UONOGXRCSA-N 1 2 320.780 1.758 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)NCCc1cn(C)c[nH+]1 ZINC000313471990 248841572 /nfs/dbraw/zinc/84/15/72/248841572.db2.gz LSQDQWMBFCPPHJ-UHFFFAOYSA-N 1 2 304.375 1.121 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C[C@@H]3COCCO3)CC2)cc1 ZINC000336856619 249263817 /nfs/dbraw/zinc/26/38/17/249263817.db2.gz NEXHOFKETAWTPE-QGZVFWFLSA-N 1 2 301.390 1.091 20 30 DDEDLO N#Cc1cccnc1N1CCN(c2cc[nH+]c(C3CC3)n2)CC1 ZINC000110124614 194311300 /nfs/dbraw/zinc/31/13/00/194311300.db2.gz BMXDRJDWJPWUIG-UHFFFAOYSA-N 1 2 306.373 1.947 20 30 DDEDLO CN(C)C(=O)c1ccc(NC(=O)C[NH+]2CCC(C#N)CC2)cc1 ZINC000057907317 184061977 /nfs/dbraw/zinc/06/19/77/184061977.db2.gz OBLMZDAVISDFJC-UHFFFAOYSA-N 1 2 314.389 1.562 20 30 DDEDLO CC[C@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1O ZINC000341016577 251336795 /nfs/dbraw/zinc/33/67/95/251336795.db2.gz SKEWXOBOFLEVNF-ZFWWWQNUSA-N 1 2 308.403 1.035 20 30 DDEDLO CC[C@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1O ZINC000341016577 251336798 /nfs/dbraw/zinc/33/67/98/251336798.db2.gz SKEWXOBOFLEVNF-ZFWWWQNUSA-N 1 2 308.403 1.035 20 30 DDEDLO C=CCOc1cccc(NC(=O)NCC[NH+]2CCOCC2)c1 ZINC000065615603 184374140 /nfs/dbraw/zinc/37/41/40/184374140.db2.gz LIOJCLINHFEVDI-UHFFFAOYSA-N 1 2 305.378 1.705 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[NH+]2CC(O)(c3ccccc3)C2)cc1 ZINC000375890661 207393340 /nfs/dbraw/zinc/39/33/40/207393340.db2.gz SXUCBMMNIZZEQJ-QGZVFWFLSA-N 1 2 324.380 1.501 20 30 DDEDLO COc1cc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)cc(OC)c1 ZINC000329923003 532961761 /nfs/dbraw/zinc/96/17/61/532961761.db2.gz IGWITKKRYKQNSG-HUUCEWRRSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1cc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)cc(OC)c1 ZINC000329923003 532961767 /nfs/dbraw/zinc/96/17/67/532961767.db2.gz IGWITKKRYKQNSG-HUUCEWRRSA-N 1 2 321.377 1.264 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCCC[C@@H]2[C@H](C)O)c(C#N)c1C ZINC000269422613 207530658 /nfs/dbraw/zinc/53/06/58/207530658.db2.gz JFELLPCFOHTMRU-SMDDNHRTSA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCCC[C@@H]2[C@H](C)O)c(C#N)c1C ZINC000269422613 207530662 /nfs/dbraw/zinc/53/06/62/207530662.db2.gz JFELLPCFOHTMRU-SMDDNHRTSA-N 1 2 305.378 1.942 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@H](OC)C[C@H]21 ZINC000247155958 285039504 /nfs/dbraw/zinc/03/95/04/285039504.db2.gz XMKQOUZOQXHCNB-QKPAOTATSA-N 1 2 323.437 1.263 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCO[C@@H]2CC[C@H](OC)C[C@H]21 ZINC000247155958 285039506 /nfs/dbraw/zinc/03/95/06/285039506.db2.gz XMKQOUZOQXHCNB-QKPAOTATSA-N 1 2 323.437 1.263 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]([C@@H](C)C(=O)OC)C1CCC1 ZINC000192383845 285037744 /nfs/dbraw/zinc/03/77/44/285037744.db2.gz RLWBGHIYBIKCHB-OLZOCXBDSA-N 1 2 309.410 1.411 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]([C@@H](C)C(=O)OC)C1CCC1 ZINC000192383845 285037746 /nfs/dbraw/zinc/03/77/46/285037746.db2.gz RLWBGHIYBIKCHB-OLZOCXBDSA-N 1 2 309.410 1.411 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(Cc2cc(F)ccc2C#N)CC1 ZINC000158207450 197253224 /nfs/dbraw/zinc/25/32/24/197253224.db2.gz DFCMEXMGFWRHCB-UHFFFAOYSA-N 1 2 318.396 1.340 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)NC2(C#N)CCCCC2)CC1 ZINC000341979579 533109916 /nfs/dbraw/zinc/10/99/16/533109916.db2.gz BMAJZKRTHHRIOY-UHFFFAOYSA-N 1 2 318.421 1.581 20 30 DDEDLO C[C@H]([C@@H](C)S(C)(=O)=O)[N@H+](C)C[C@H](O)c1ccc(C#N)cc1 ZINC000156392639 197109138 /nfs/dbraw/zinc/10/91/38/197109138.db2.gz CCUWNZOYJVCHRS-JMSVASOKSA-N 1 2 310.419 1.345 20 30 DDEDLO C[C@H]([C@@H](C)S(C)(=O)=O)[N@@H+](C)C[C@H](O)c1ccc(C#N)cc1 ZINC000156392639 197109139 /nfs/dbraw/zinc/10/91/39/197109139.db2.gz CCUWNZOYJVCHRS-JMSVASOKSA-N 1 2 310.419 1.345 20 30 DDEDLO O=C(C[NH+]1CCN(CC#Cc2ccc(F)cc2)CC1)NC1CC1 ZINC000155051547 197016744 /nfs/dbraw/zinc/01/67/44/197016744.db2.gz VHVWWOLKGBLPEP-UHFFFAOYSA-N 1 2 315.392 1.073 20 30 DDEDLO COc1ccc([C@H]2CCC[N@@H+]2CC(=O)NCC#N)cc1OC ZINC000343256014 533203681 /nfs/dbraw/zinc/20/36/81/533203681.db2.gz PTTYNDVGZNFRDX-CYBMUJFWSA-N 1 2 303.362 1.480 20 30 DDEDLO COc1ccc([C@H]2CCC[N@H+]2CC(=O)NCC#N)cc1OC ZINC000343256014 533203687 /nfs/dbraw/zinc/20/36/87/533203687.db2.gz PTTYNDVGZNFRDX-CYBMUJFWSA-N 1 2 303.362 1.480 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccc(C#N)c(F)c1)[C@H](C)[NH+]1CCOCC1 ZINC000289855383 221271332 /nfs/dbraw/zinc/27/13/32/221271332.db2.gz QRGHRDBINLUUBB-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO COc1cc(/C=C\C(=O)NCc2[nH]cc[nH+]2)ccc1OCC#N ZINC000491840799 533480010 /nfs/dbraw/zinc/48/00/10/533480010.db2.gz ZRAJNKNIDANFKT-HYXAFXHYSA-N 1 2 312.329 1.650 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCC1CC[NH+](CC(F)F)CC1 ZINC000568880860 304319314 /nfs/dbraw/zinc/31/93/14/304319314.db2.gz LNDPUDNYXVABML-UHFFFAOYSA-N 1 2 322.359 1.969 20 30 DDEDLO C[C@H](c1nc(N)nc(N(C)C)n1)[N@H+](C)Cc1cccc(C#N)c1 ZINC000026328573 406903794 /nfs/dbraw/zinc/90/37/94/406903794.db2.gz WIJBBBZSDZGYQV-LLVKDONJSA-N 1 2 311.393 1.584 20 30 DDEDLO C[C@H](c1nc(N)nc(N(C)C)n1)[N@@H+](C)Cc1cccc(C#N)c1 ZINC000026328573 406903796 /nfs/dbraw/zinc/90/37/96/406903796.db2.gz WIJBBBZSDZGYQV-LLVKDONJSA-N 1 2 311.393 1.584 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)Cc1cnccn1 ZINC000080966545 407074228 /nfs/dbraw/zinc/07/42/28/407074228.db2.gz CEPLKWFLBQNSIW-ZDUSSCGKSA-N 1 2 301.394 1.640 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)Cc1cnccn1 ZINC000080966545 407074231 /nfs/dbraw/zinc/07/42/31/407074231.db2.gz CEPLKWFLBQNSIW-ZDUSSCGKSA-N 1 2 301.394 1.640 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(CC(F)F)CC1 ZINC000057912530 407218436 /nfs/dbraw/zinc/21/84/36/407218436.db2.gz IDJFRGOHQDYWEZ-CYBMUJFWSA-N 1 2 301.381 1.458 20 30 DDEDLO C[C@H](C[S@](C)=O)[N@H+](C)CC(=O)N(CCC#N)c1ccccc1 ZINC000126125387 407405720 /nfs/dbraw/zinc/40/57/20/407405720.db2.gz OHNOLDWTFHOKGJ-JLCFBVMHSA-N 1 2 321.446 1.632 20 30 DDEDLO C[C@H](C[S@](C)=O)[N@@H+](C)CC(=O)N(CCC#N)c1ccccc1 ZINC000126125387 407405722 /nfs/dbraw/zinc/40/57/22/407405722.db2.gz OHNOLDWTFHOKGJ-JLCFBVMHSA-N 1 2 321.446 1.632 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000127802481 407467338 /nfs/dbraw/zinc/46/73/38/407467338.db2.gz DJBRDXFREQZDCU-UHFFFAOYSA-N 1 2 313.760 1.923 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000127802481 407467341 /nfs/dbraw/zinc/46/73/41/407467341.db2.gz DJBRDXFREQZDCU-UHFFFAOYSA-N 1 2 313.760 1.923 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)/C=C/c2ccc(OCC#N)cc2)C1 ZINC000170683390 407474337 /nfs/dbraw/zinc/47/43/37/407474337.db2.gz QKADZOANZBFNFS-IEXSPKMKSA-N 1 2 315.373 1.049 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)/C=C/c2ccc(OCC#N)cc2)C1 ZINC000170683390 407474340 /nfs/dbraw/zinc/47/43/40/407474340.db2.gz QKADZOANZBFNFS-IEXSPKMKSA-N 1 2 315.373 1.049 20 30 DDEDLO COC(=O)c1cc(C[N@H+](C)C[C@H](C)C#N)cc(C(=O)OC)c1 ZINC000271076687 407501926 /nfs/dbraw/zinc/50/19/26/407501926.db2.gz XAAZSEAZSBGCLW-LLVKDONJSA-N 1 2 304.346 1.851 20 30 DDEDLO COC(=O)c1cc(C[N@@H+](C)C[C@H](C)C#N)cc(C(=O)OC)c1 ZINC000271076687 407501930 /nfs/dbraw/zinc/50/19/30/407501930.db2.gz XAAZSEAZSBGCLW-LLVKDONJSA-N 1 2 304.346 1.851 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000185823074 407511671 /nfs/dbraw/zinc/51/16/71/407511671.db2.gz FYXPJCAHNYVWQC-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO CC(C)c1nc(N2CCN(c3nccnc3C#N)C[C@@H]2C)cc[nH+]1 ZINC000130033180 407646592 /nfs/dbraw/zinc/64/65/92/407646592.db2.gz QFEDEPUAVUAGFT-ZDUSSCGKSA-N 1 2 323.404 1.977 20 30 DDEDLO C[C@H](CNC(=O)c1ccc(C2(C#N)CC2)cc1)[NH+]1CCOCC1 ZINC000179849973 407835897 /nfs/dbraw/zinc/83/58/97/407835897.db2.gz PHTJPCAVEODMJB-CQSZACIVSA-N 1 2 313.401 1.692 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](C)Cc1cn(C)nc1C(F)(F)F ZINC000154997792 408113881 /nfs/dbraw/zinc/11/38/81/408113881.db2.gz NIWNHHRTDDQSJO-SECBINFHSA-N 1 2 302.300 1.009 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](C)Cc1cn(C)nc1C(F)(F)F ZINC000154997792 408113889 /nfs/dbraw/zinc/11/38/89/408113889.db2.gz NIWNHHRTDDQSJO-SECBINFHSA-N 1 2 302.300 1.009 20 30 DDEDLO Cn1cc(Cl)cc1C[N@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000120178363 408120473 /nfs/dbraw/zinc/12/04/73/408120473.db2.gz GYAHZEABQHVTQM-HNNXBMFYSA-N 1 2 308.813 1.919 20 30 DDEDLO Cn1cc(Cl)cc1C[N@@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000120178363 408120477 /nfs/dbraw/zinc/12/04/77/408120477.db2.gz GYAHZEABQHVTQM-HNNXBMFYSA-N 1 2 308.813 1.919 20 30 DDEDLO Cn1cc[nH+]c1C[C@@H]1CCCN(C(=O)C2(C#N)CCOCC2)C1 ZINC000120229993 408122658 /nfs/dbraw/zinc/12/26/58/408122658.db2.gz RTZPGZKCBKBNOO-AWEZNQCLSA-N 1 2 316.405 1.522 20 30 DDEDLO C[C@@H](O[NH+]=C(N)CCO)C(=O)Nc1ccc(C(F)(F)F)cc1 ZINC000121317360 408184145 /nfs/dbraw/zinc/18/41/45/408184145.db2.gz IQDUKKZYGXFRKG-MRVPVSSYSA-N 1 2 319.283 1.704 20 30 DDEDLO COc1ccccc1NC(=O)CN1CC[NH+](CCCC#N)CC1 ZINC000156518979 408264150 /nfs/dbraw/zinc/26/41/50/408264150.db2.gz DXLXRNVOECQZIA-UHFFFAOYSA-N 1 2 316.405 1.555 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCC2CCS(=O)(=O)CC2)c1 ZINC000273621493 408268401 /nfs/dbraw/zinc/26/84/01/408268401.db2.gz OQCUYKJZZNAYRB-UHFFFAOYSA-N 1 2 312.391 1.157 20 30 DDEDLO CCCCC[N@H+](CC(N)=O)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000183040666 408311652 /nfs/dbraw/zinc/31/16/52/408311652.db2.gz YMQCAVGTBUYESO-UHFFFAOYSA-N 1 2 322.453 1.649 20 30 DDEDLO CCCCC[N@@H+](CC(N)=O)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000183040666 408311657 /nfs/dbraw/zinc/31/16/57/408311657.db2.gz YMQCAVGTBUYESO-UHFFFAOYSA-N 1 2 322.453 1.649 20 30 DDEDLO C=C(C)CNC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000161144305 408564438 /nfs/dbraw/zinc/56/44/38/408564438.db2.gz GBMGGPLYITXSNQ-MRXNPFEDSA-N 1 2 303.406 1.763 20 30 DDEDLO C=C(C)CNC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000161144305 408564443 /nfs/dbraw/zinc/56/44/43/408564443.db2.gz GBMGGPLYITXSNQ-MRXNPFEDSA-N 1 2 303.406 1.763 20 30 DDEDLO C[C@@H](C#N)Oc1ccccc1NC(=O)NCC[NH+]1CCOCC1 ZINC000184342583 408594441 /nfs/dbraw/zinc/59/44/41/408594441.db2.gz IFILGPBAAVJPEY-ZDUSSCGKSA-N 1 2 318.377 1.431 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[NH+]1CCN(C(C)(C)C(N)=O)CC1 ZINC000275618478 408622680 /nfs/dbraw/zinc/62/26/80/408622680.db2.gz MHOCGRUFRRCKBY-ZDUSSCGKSA-N 1 2 300.406 1.501 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000275618478 408622685 /nfs/dbraw/zinc/62/26/85/408622685.db2.gz MHOCGRUFRRCKBY-ZDUSSCGKSA-N 1 2 300.406 1.501 20 30 DDEDLO C[C@@H](C[S@@](C)=O)[N@H+](C)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000184572872 408645235 /nfs/dbraw/zinc/64/52/35/408645235.db2.gz ASTLFFACGRDKPJ-KPWVOAKYSA-N 1 2 318.402 1.807 20 30 DDEDLO C[C@@H](C[S@@](C)=O)[N@@H+](C)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000184572872 408645239 /nfs/dbraw/zinc/64/52/39/408645239.db2.gz ASTLFFACGRDKPJ-KPWVOAKYSA-N 1 2 318.402 1.807 20 30 DDEDLO COC[C@@H]([NH2+]CC(=O)N[C@@](C)(C#N)C1CC1)c1ccc(C)o1 ZINC000184689024 408666975 /nfs/dbraw/zinc/66/69/75/408666975.db2.gz WFARPLWPMWZXGB-CJNGLKHVSA-N 1 2 305.378 1.674 20 30 DDEDLO Cc1ccc(CC(=O)N(CCC#N)CC[NH+]2CCOCC2)cn1 ZINC000162515366 408707618 /nfs/dbraw/zinc/70/76/18/408707618.db2.gz IZBACQBMCCKSKJ-UHFFFAOYSA-N 1 2 316.405 1.007 20 30 DDEDLO C#CC(C)(C)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000265612316 408712892 /nfs/dbraw/zinc/71/28/92/408712892.db2.gz XJSPVXHGRVWTCT-AWEZNQCLSA-N 1 2 317.408 1.864 20 30 DDEDLO C[C@@H]1CCC[C@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000162596592 408715975 /nfs/dbraw/zinc/71/59/75/408715975.db2.gz JXUBXBWNZFFIQH-CVEARBPZSA-N 1 2 307.438 1.887 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000275994530 408744168 /nfs/dbraw/zinc/74/41/68/408744168.db2.gz OBSSPOOBUIGECF-RHSMWYFYSA-N 1 2 320.437 1.464 20 30 DDEDLO CC#CCCCC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000185283765 408790978 /nfs/dbraw/zinc/79/09/78/408790978.db2.gz SOILFFPVAVAXNT-MRXNPFEDSA-N 1 2 310.463 1.504 20 30 DDEDLO C[C@@H]1C[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)CC[C@@H]1O ZINC000249606838 408750277 /nfs/dbraw/zinc/75/02/77/408750277.db2.gz ZXWLBYSQHWSOOZ-WXHSDQCUSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@@H]1C[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)CC[C@@H]1O ZINC000249606838 408750281 /nfs/dbraw/zinc/75/02/81/408750281.db2.gz ZXWLBYSQHWSOOZ-WXHSDQCUSA-N 1 2 305.403 1.428 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C(=O)N(C)C)c2ccccc2)C1=O ZINC000281185895 408874767 /nfs/dbraw/zinc/87/47/67/408874767.db2.gz BFIGXDAIGQIPBY-CVEARBPZSA-N 1 2 315.417 1.535 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C(=O)N(C)C)c2ccccc2)C1=O ZINC000281185895 408874768 /nfs/dbraw/zinc/87/47/68/408874768.db2.gz BFIGXDAIGQIPBY-CVEARBPZSA-N 1 2 315.417 1.535 20 30 DDEDLO Cc1cccn2cc(CNS(=O)(=O)CC3(C#N)CC3)[nH+]c12 ZINC000166237818 408815542 /nfs/dbraw/zinc/81/55/42/408815542.db2.gz RANVVQCLIWDPPW-UHFFFAOYSA-N 1 2 304.375 1.366 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3cn(C)nc3C)CC2)c1C#N ZINC000291476310 408870787 /nfs/dbraw/zinc/87/07/87/408870787.db2.gz FJGDGCOBXXLGIW-UHFFFAOYSA-N 1 2 316.434 1.687 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(C(=O)OCC)o2)C1=O ZINC000281096388 408871526 /nfs/dbraw/zinc/87/15/26/408871526.db2.gz ABPXBKLVZUGJLH-CYBMUJFWSA-N 1 2 306.362 1.675 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(C(=O)OCC)o2)C1=O ZINC000281096388 408871530 /nfs/dbraw/zinc/87/15/30/408871530.db2.gz ABPXBKLVZUGJLH-CYBMUJFWSA-N 1 2 306.362 1.675 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cc(C)[nH]n3)CC2)cc1C#N ZINC000187159681 163007880 /nfs/dbraw/zinc/00/78/80/163007880.db2.gz KVKKQKUFWFUOKL-UHFFFAOYSA-N 1 2 311.389 1.921 20 30 DDEDLO CCCN1CCCN(Cc2c[nH+]c3ccc(C#N)cn23)CC1=O ZINC000189207960 163089094 /nfs/dbraw/zinc/08/90/94/163089094.db2.gz HFFYXWQCOZKXCM-UHFFFAOYSA-N 1 2 311.389 1.650 20 30 DDEDLO C=CCN1CC[C@@H](N(C)c2cc(NCC3CC3)[nH+]cn2)C1=O ZINC000281730835 408918787 /nfs/dbraw/zinc/91/87/87/408918787.db2.gz DNZCSQUBVUYMGT-CYBMUJFWSA-N 1 2 301.394 1.522 20 30 DDEDLO C=CCN1CC[C@@H](N(C)c2cc(NCC3CC3)nc[nH+]2)C1=O ZINC000281730835 408918789 /nfs/dbraw/zinc/91/87/89/408918789.db2.gz DNZCSQUBVUYMGT-CYBMUJFWSA-N 1 2 301.394 1.522 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(c3nc[nH+]c(N)c3Cl)C2)nc1 ZINC000291885695 408938883 /nfs/dbraw/zinc/93/88/83/408938883.db2.gz ORUUTMREUUXIAC-JTQLQIEISA-N 1 2 316.752 1.637 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(c3[nH+]cnc(N)c3Cl)C2)nc1 ZINC000291885695 408938886 /nfs/dbraw/zinc/93/88/86/408938886.db2.gz ORUUTMREUUXIAC-JTQLQIEISA-N 1 2 316.752 1.637 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3cnccn3)CC2)ccc1C#N ZINC000282143842 409000310 /nfs/dbraw/zinc/00/03/10/409000310.db2.gz CIFUJXMERKSKHX-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CC(C)CNC(=O)C[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292375444 409027848 /nfs/dbraw/zinc/02/78/48/409027848.db2.gz YWCGMTOOFKMHFA-AWEZNQCLSA-N 1 2 302.378 1.179 20 30 DDEDLO CC(C)CNC(=O)C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292375444 409027849 /nfs/dbraw/zinc/02/78/49/409027849.db2.gz YWCGMTOOFKMHFA-AWEZNQCLSA-N 1 2 302.378 1.179 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000293149161 409058601 /nfs/dbraw/zinc/05/86/01/409058601.db2.gz OBGOLDGREFSWTG-UHFFFAOYSA-N 1 2 316.340 1.003 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000293149161 409058605 /nfs/dbraw/zinc/05/86/05/409058605.db2.gz OBGOLDGREFSWTG-UHFFFAOYSA-N 1 2 316.340 1.003 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)o1 ZINC000287643985 409070253 /nfs/dbraw/zinc/07/02/53/409070253.db2.gz BYUDSMOMSMMZII-NSHDSACASA-N 1 2 306.347 1.790 20 30 DDEDLO Cc1ccn2cc(CNS(=O)(=O)N(C)[C@H](C)CC#N)[nH+]c2c1 ZINC000282903435 409070248 /nfs/dbraw/zinc/07/02/48/409070248.db2.gz FQDLLBLKACTYFF-GFCCVEGCSA-N 1 2 321.406 1.211 20 30 DDEDLO CO[C@@H](CN(CCC#N)CC[NH+]1CCOCC1)c1ccccc1 ZINC000284228837 409299797 /nfs/dbraw/zinc/29/97/97/409299797.db2.gz BKQCNKSZCQIYBK-SFHVURJKSA-N 1 2 317.433 1.922 20 30 DDEDLO Cn1nc([C@@H]2CCC[N@@H+]2CCOc2ccc(C#N)cc2)nc1N ZINC000284241001 409303200 /nfs/dbraw/zinc/30/32/00/409303200.db2.gz KUQNKNBDOCZLAX-AWEZNQCLSA-N 1 2 312.377 1.485 20 30 DDEDLO Cn1nc([C@@H]2CCC[N@H+]2CCOc2ccc(C#N)cc2)nc1N ZINC000284241001 409303202 /nfs/dbraw/zinc/30/32/02/409303202.db2.gz KUQNKNBDOCZLAX-AWEZNQCLSA-N 1 2 312.377 1.485 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)NCCc1ccc(F)cc1 ZINC000284089210 409273284 /nfs/dbraw/zinc/27/32/84/409273284.db2.gz KUEJKOSFDPYDPF-FZMZJTMJSA-N 1 2 323.368 1.341 20 30 DDEDLO CO/N=C/C(=O)NC[C@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000290478124 409415846 /nfs/dbraw/zinc/41/58/46/409415846.db2.gz VEKHSZYLIXVRDT-GYZOOYGHSA-N 1 2 305.378 1.117 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC(c2[nH+]cc3n2CCCC3)CC1 ZINC000296203046 409461493 /nfs/dbraw/zinc/46/14/93/409461493.db2.gz JIEWKSARHTWAMX-CQSZACIVSA-N 1 2 315.417 1.964 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCO3)n2C(C)C)CC1 ZINC000296186563 409457750 /nfs/dbraw/zinc/45/77/50/409457750.db2.gz ZZVVKQMNBNTEGH-CQSZACIVSA-N 1 2 303.410 1.466 20 30 DDEDLO Cc1onc(CC(=O)NCc2[nH+]ccn2CC(C)C)c1C#N ZINC000353889778 409540729 /nfs/dbraw/zinc/54/07/29/409540729.db2.gz VDOCBKGCKFFBSW-UHFFFAOYSA-N 1 2 301.350 1.566 20 30 DDEDLO Cc1cccc(NC(=O)NCC(=O)NC[C@@H]2C[N@H+](C)CCO2)c1 ZINC000328685830 409952395 /nfs/dbraw/zinc/95/23/95/409952395.db2.gz FVLHINDNXWLHFR-CQSZACIVSA-N 1 2 320.393 1.608 20 30 DDEDLO Cc1cccc(NC(=O)NCC(=O)NC[C@@H]2C[N@@H+](C)CCO2)c1 ZINC000328685830 409952403 /nfs/dbraw/zinc/95/24/03/409952403.db2.gz FVLHINDNXWLHFR-CQSZACIVSA-N 1 2 320.393 1.608 20 30 DDEDLO O=C(N[C@@H]1CCO[C@@H]1C1CC1)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000328818947 409985477 /nfs/dbraw/zinc/98/54/77/409985477.db2.gz DRRSWOKXOVIPLU-ARFHVFGLSA-N 1 2 323.437 1.265 20 30 DDEDLO CC#CCN(C)C(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000297633926 409935993 /nfs/dbraw/zinc/93/59/93/409935993.db2.gz HJUVRLCQAXCUSL-QGZVFWFLSA-N 1 2 315.417 1.725 20 30 DDEDLO Cc1[nH]ccc1C(=O)NC[C@@H]1C[C@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000328646514 409945248 /nfs/dbraw/zinc/94/52/48/409945248.db2.gz SPPPBWMXEXEHJI-KBPBESRZSA-N 1 2 319.384 1.974 20 30 DDEDLO Cc1[nH]ccc1C(=O)NC[C@@H]1C[C@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000328646514 409945253 /nfs/dbraw/zinc/94/52/53/409945253.db2.gz SPPPBWMXEXEHJI-KBPBESRZSA-N 1 2 319.384 1.974 20 30 DDEDLO O=C(NCc1ccn2cc[nH+]c2c1)N1CCN(C2CC2)C(=O)C1 ZINC000328653134 409945400 /nfs/dbraw/zinc/94/54/00/409945400.db2.gz CBEZFIRZKUZEIE-UHFFFAOYSA-N 1 2 313.361 1.055 20 30 DDEDLO O=C(Cc1ccon1)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000328944702 410017811 /nfs/dbraw/zinc/01/78/11/410017811.db2.gz UWSFFYATFXSWQE-UHFFFAOYSA-N 1 2 320.393 1.118 20 30 DDEDLO Cc1cc2ncc(C(=O)N[C@@H]3CCc4[nH+]c[nH]c4C3)c(C)n2n1 ZINC000328958634 410019161 /nfs/dbraw/zinc/01/91/61/410019161.db2.gz PRJPCFXASFMXSP-LLVKDONJSA-N 1 2 310.361 1.931 20 30 DDEDLO Cc1cc2ncc(C(=O)N[C@@H]3CCc4[nH]c[nH+]c4C3)c(C)n2n1 ZINC000328958634 410019164 /nfs/dbraw/zinc/01/91/64/410019164.db2.gz PRJPCFXASFMXSP-LLVKDONJSA-N 1 2 310.361 1.931 20 30 DDEDLO CC1CCC(NC(=O)C[N@@H+]2CC[C@H]3[C@@H](C2)NC(=O)N3C)CC1 ZINC000328993974 410042996 /nfs/dbraw/zinc/04/29/96/410042996.db2.gz VYRMBHLCQHMFKY-PQAZSJQKSA-N 1 2 308.426 1.824 20 30 DDEDLO CC1CCC(NC(=O)C[N@H+]2CC[C@H]3[C@@H](C2)NC(=O)N3C)CC1 ZINC000328993974 410043003 /nfs/dbraw/zinc/04/30/03/410043003.db2.gz VYRMBHLCQHMFKY-PQAZSJQKSA-N 1 2 308.426 1.824 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@H](c3cccnc3)C3CC3)C[C@H]21 ZINC000328878115 409999838 /nfs/dbraw/zinc/99/98/38/409999838.db2.gz IXXXBRJZKRGMFU-OAGGEKHMSA-N 1 2 316.405 1.462 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@H](c3cccnc3)C3CC3)C[C@H]21 ZINC000328878115 409999848 /nfs/dbraw/zinc/99/98/48/409999848.db2.gz IXXXBRJZKRGMFU-OAGGEKHMSA-N 1 2 316.405 1.462 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000297793662 410002416 /nfs/dbraw/zinc/00/24/16/410002416.db2.gz LSEPYIIAVPSQBJ-CABCVRRESA-N 1 2 318.421 1.717 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)NC[C@H]1CCCSC1 ZINC000329242356 410186759 /nfs/dbraw/zinc/18/67/59/410186759.db2.gz AETRPBHFCHPVEA-CYBMUJFWSA-N 1 2 315.483 1.744 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)NC[C@H]1CCCSC1 ZINC000329242356 410186763 /nfs/dbraw/zinc/18/67/63/410186763.db2.gz AETRPBHFCHPVEA-CYBMUJFWSA-N 1 2 315.483 1.744 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1)c1nncn1C ZINC000329267263 410203825 /nfs/dbraw/zinc/20/38/25/410203825.db2.gz DEMJMIIIVKHUDT-OCCSQVGLSA-N 1 2 321.425 1.519 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1COCC[N@H+]1C1CCCC1)c1nncn1C ZINC000329267263 410203836 /nfs/dbraw/zinc/20/38/36/410203836.db2.gz DEMJMIIIVKHUDT-OCCSQVGLSA-N 1 2 321.425 1.519 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccnc(N)c1 ZINC000298375053 410204057 /nfs/dbraw/zinc/20/40/57/410204057.db2.gz GGYJTPIHCHBSKA-LBPRGKRZSA-N 1 2 309.377 1.036 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccnc(N)c1 ZINC000298375053 410204061 /nfs/dbraw/zinc/20/40/61/410204061.db2.gz GGYJTPIHCHBSKA-LBPRGKRZSA-N 1 2 309.377 1.036 20 30 DDEDLO CCCc1cnc(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)s1 ZINC000329274550 410208353 /nfs/dbraw/zinc/20/83/53/410208353.db2.gz KMZHKKABDYTIQJ-RYUDHWBXSA-N 1 2 310.423 1.656 20 30 DDEDLO CCCc1cnc(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)s1 ZINC000329274550 410208359 /nfs/dbraw/zinc/20/83/59/410208359.db2.gz KMZHKKABDYTIQJ-RYUDHWBXSA-N 1 2 310.423 1.656 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)N[C@H]2CC(=O)N(CC[NH+]3CCOCC3)C2)C1 ZINC000329300217 410219868 /nfs/dbraw/zinc/21/98/68/410219868.db2.gz VGXZFZWSHAWECH-ILXRZTDVSA-N 1 2 323.437 1.312 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)Nc2cnc3c(c2)CCCC3)C1 ZINC000329341884 410242501 /nfs/dbraw/zinc/24/25/01/410242501.db2.gz SJLYIYSSPFWZPE-OAHLLOKOSA-N 1 2 317.437 1.532 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)Nc2cnc3c(c2)CCCC3)C1 ZINC000329341884 410242505 /nfs/dbraw/zinc/24/25/05/410242505.db2.gz SJLYIYSSPFWZPE-OAHLLOKOSA-N 1 2 317.437 1.532 20 30 DDEDLO O=C(CN1CCn2cc[nH+]c2C1)NC[C@@H]1Cc2ccccc2O1 ZINC000329360492 410257123 /nfs/dbraw/zinc/25/71/23/410257123.db2.gz XZQAUCUDLWGKHI-AWEZNQCLSA-N 1 2 312.373 1.659 20 30 DDEDLO N#Cc1ccc(N2CC[NH+]([C@@H](C(N)=O)c3ccccc3)CC2)cc1 ZINC000298443314 410231983 /nfs/dbraw/zinc/23/19/83/410231983.db2.gz ROIFZCKSYCXEDO-GOSISDBHSA-N 1 2 320.396 1.907 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[C@H]([NH+]2CCOCC2)[C@H]1C ZINC000358126104 410374297 /nfs/dbraw/zinc/37/42/97/410374297.db2.gz FJIMXGADDSEXJG-HLLBOEOZSA-N 1 2 310.438 1.537 20 30 DDEDLO CC[C@@H](C)NC(=O)CO[NH+]=C(N)c1ccc(OC)c(OC)c1 ZINC000298779018 410395719 /nfs/dbraw/zinc/39/57/19/410395719.db2.gz WXYSFABOPMMZKG-SNVBAGLBSA-N 1 2 309.366 1.255 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cncc(F)c3)CC2)c1C#N ZINC000347221852 410396646 /nfs/dbraw/zinc/39/66/46/410396646.db2.gz JGRBTXYKKQUOSN-UHFFFAOYSA-N 1 2 314.368 1.457 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000343369959 410401230 /nfs/dbraw/zinc/40/12/30/410401230.db2.gz QAJONQIDXXVELF-UHFFFAOYSA-N 1 2 306.329 1.789 20 30 DDEDLO C=CCCOCCNC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000355258826 410403813 /nfs/dbraw/zinc/40/38/13/410403813.db2.gz UHNPMCCVVRGOQA-UHFFFAOYSA-N 1 2 319.405 1.236 20 30 DDEDLO N#Cc1c(F)cccc1-n1ccc(NC(=O)CCn2cc[nH+]c2)n1 ZINC000358227019 410411244 /nfs/dbraw/zinc/41/12/44/410411244.db2.gz UEFXQPGMQLXRHE-UHFFFAOYSA-N 1 2 324.319 2.108 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(OCC)cc2)CC1 ZINC000299221145 410572372 /nfs/dbraw/zinc/57/23/72/410572372.db2.gz KMRSAJZRMVWJLY-UHFFFAOYSA-N 1 2 322.430 1.415 20 30 DDEDLO C=CC[N@@H+](Cc1c(C)cc(OC)nc1C)[C@H]1CCS(=O)(=O)C1 ZINC000359241209 410581085 /nfs/dbraw/zinc/58/10/85/410581085.db2.gz UTJZJPFWKXXRGE-AWEZNQCLSA-N 1 2 324.446 1.882 20 30 DDEDLO C=CC[N@H+](Cc1c(C)cc(OC)nc1C)[C@H]1CCS(=O)(=O)C1 ZINC000359241209 410581089 /nfs/dbraw/zinc/58/10/89/410581089.db2.gz UTJZJPFWKXXRGE-AWEZNQCLSA-N 1 2 324.446 1.882 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)C[C@H](CC#N)c1ccccc1 ZINC000355672274 410632583 /nfs/dbraw/zinc/63/25/83/410632583.db2.gz NSZHEXVEAVFYLW-WBVHZDCISA-N 1 2 315.417 1.911 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)C[C@H](CC#N)c1ccccc1 ZINC000355672274 410632591 /nfs/dbraw/zinc/63/25/91/410632591.db2.gz NSZHEXVEAVFYLW-WBVHZDCISA-N 1 2 315.417 1.911 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN([C@H](C#N)c2cccc(Cl)c2)CC1 ZINC000352364779 410651721 /nfs/dbraw/zinc/65/17/21/410651721.db2.gz IJOYVGBWMKXALO-OAHLLOKOSA-N 1 2 320.824 1.610 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000352419101 410653566 /nfs/dbraw/zinc/65/35/66/410653566.db2.gz LMPDNQPNXUUKCS-QGZVFWFLSA-N 1 2 320.437 1.293 20 30 DDEDLO N#Cc1csc(CNc2ccc(N3CCOCC3)c[nH+]2)n1 ZINC000352836612 410680999 /nfs/dbraw/zinc/68/09/99/410680999.db2.gz VLRUKNZNIFFBOA-UHFFFAOYSA-N 1 2 301.375 1.858 20 30 DDEDLO N#Cc1csc(C[NH+]2CCC(C(=O)N3CCOCC3)CC2)n1 ZINC000356086251 410820540 /nfs/dbraw/zinc/82/05/40/410820540.db2.gz MWPOVSVVZLIOCD-UHFFFAOYSA-N 1 2 320.418 1.086 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)CC(=O)NCc1cccs1 ZINC000353266297 410889960 /nfs/dbraw/zinc/88/99/60/410889960.db2.gz SPKHHTYHJPNRAW-UHFFFAOYSA-N 1 2 322.434 1.058 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)CC(=O)NCc1cccs1 ZINC000353266297 410889965 /nfs/dbraw/zinc/88/99/65/410889965.db2.gz SPKHHTYHJPNRAW-UHFFFAOYSA-N 1 2 322.434 1.058 20 30 DDEDLO Cc1ncc(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)c(C(C)C)n1 ZINC000330786353 410893214 /nfs/dbraw/zinc/89/32/14/410893214.db2.gz NCNMSBWMHZRJHK-LBPRGKRZSA-N 1 2 306.410 1.934 20 30 DDEDLO Cc1ncc(C(=O)NCC[N@H+]2CCOC[C@@H]2C)c(C(C)C)n1 ZINC000330786353 410893221 /nfs/dbraw/zinc/89/32/21/410893221.db2.gz NCNMSBWMHZRJHK-LBPRGKRZSA-N 1 2 306.410 1.934 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2ccnc(C#N)c2)C[C@@H]1[NH+]1CCOCC1 ZINC000331057057 410921936 /nfs/dbraw/zinc/92/19/36/410921936.db2.gz LLAMWUZKSGTMIJ-CJNGLKHVSA-N 1 2 314.389 1.136 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000636740672 422952141 /nfs/dbraw/zinc/95/21/41/422952141.db2.gz UXDJLIJDZRBNLD-UHFFFAOYSA-N 1 2 314.389 1.669 20 30 DDEDLO N#Cc1cnc(N[C@H](CO)C[NH+]2CCOCC2)c2sccc21 ZINC000601177689 416620337 /nfs/dbraw/zinc/62/03/37/416620337.db2.gz ZGZNLCUQDSFHAH-LBPRGKRZSA-N 1 2 318.402 1.273 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)Nc2ccn(CCC#N)n2)C1 ZINC000611125578 416661360 /nfs/dbraw/zinc/66/13/60/416661360.db2.gz KSCDVHIRGCMWFF-GFCCVEGCSA-N 1 2 313.365 1.552 20 30 DDEDLO Cc1cc(NC(=O)N(C)Cc2[nH+]ccn2C)nn1CCC#N ZINC000611481344 416664886 /nfs/dbraw/zinc/66/48/86/416664886.db2.gz CXQDLJMNDXORHC-UHFFFAOYSA-N 1 2 301.354 1.503 20 30 DDEDLO Cc1ccc(NCC(C)(C)[NH+]2CCS(=O)CC2)nc1C#N ZINC000615702262 416890920 /nfs/dbraw/zinc/89/09/20/416890920.db2.gz KDVDFZUDDVRNEM-UHFFFAOYSA-N 1 2 306.435 1.517 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@@H+]1CCOC[C@H](O)C1 ZINC000295615159 225047813 /nfs/dbraw/zinc/04/78/13/225047813.db2.gz OBZPPSWPSNDCQY-ZWNOBZJWSA-N 1 2 323.780 1.232 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@H+]1CCOC[C@H](O)C1 ZINC000295615159 225047815 /nfs/dbraw/zinc/04/78/15/225047815.db2.gz OBZPPSWPSNDCQY-ZWNOBZJWSA-N 1 2 323.780 1.232 20 30 DDEDLO CCO[C@@H](C)c1noc(C[N@@H+]2CCCN([C@H](C)C#N)CC2)n1 ZINC000373282479 418417087 /nfs/dbraw/zinc/41/70/87/418417087.db2.gz CUDDQQPDQHRFFS-OLZOCXBDSA-N 1 2 307.398 1.587 20 30 DDEDLO CCO[C@@H](C)c1noc(C[N@H+]2CCCN([C@H](C)C#N)CC2)n1 ZINC000373282479 418417089 /nfs/dbraw/zinc/41/70/89/418417089.db2.gz CUDDQQPDQHRFFS-OLZOCXBDSA-N 1 2 307.398 1.587 20 30 DDEDLO CCCCc1noc(C[NH+]2CCC(n3cnc(C#N)n3)CC2)n1 ZINC000373400629 418424405 /nfs/dbraw/zinc/42/44/05/418424405.db2.gz QXXYYBRJJINCQT-UHFFFAOYSA-N 1 2 315.381 1.712 20 30 DDEDLO N#CCC1(CNC(=O)NCCc2cn3c([nH+]2)CCCC3)CC1 ZINC000373733956 418453318 /nfs/dbraw/zinc/45/33/18/418453318.db2.gz AGMOGSZFTWPXJH-UHFFFAOYSA-N 1 2 301.394 1.755 20 30 DDEDLO N#CCCN(CCC#N)C(=O)CN1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000375006697 418587688 /nfs/dbraw/zinc/58/76/88/418587688.db2.gz VXYYALDGXGGIBN-ZDUSSCGKSA-N 1 2 300.366 1.203 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H](CN2CC[NH+](C)CC2)C1 ZINC000367403864 418596962 /nfs/dbraw/zinc/59/69/62/418596962.db2.gz PZUKABMEVRNKKJ-KRWDZBQOSA-N 1 2 305.466 1.666 20 30 DDEDLO N#C[C@@H]1CN(C(=O)CCn2cc[nH+]c2)CCN1Cc1ccccc1 ZINC000265032235 222341796 /nfs/dbraw/zinc/34/17/96/222341796.db2.gz DWNGWPZKIBJHNT-QGZVFWFLSA-N 1 2 323.400 1.510 20 30 DDEDLO CC[C@H](C)n1nc(NC(=O)N[C@@H](C)C[NH+]2CCOCC2)cc1C ZINC000330696704 418611957 /nfs/dbraw/zinc/61/19/57/418611957.db2.gz VFCQIKMVIJCDSU-STQMWFEESA-N 1 2 323.441 2.209 20 30 DDEDLO N#CCC[C@@H](C#N)C[NH+]1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC000265444617 222355329 /nfs/dbraw/zinc/35/53/29/222355329.db2.gz VOJNRECLKUJLIC-AWEZNQCLSA-N 1 2 311.389 1.351 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)Nc2ncccc2OCCCC#N)C1 ZINC000266873520 222365569 /nfs/dbraw/zinc/36/55/69/222365569.db2.gz YKXFDKFBIMCFPN-ZDUSSCGKSA-N 1 2 304.350 1.033 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)Nc2ncccc2OCCCC#N)C1 ZINC000266873520 222365573 /nfs/dbraw/zinc/36/55/73/222365573.db2.gz YKXFDKFBIMCFPN-ZDUSSCGKSA-N 1 2 304.350 1.033 20 30 DDEDLO CC(C)(C)OC(=O)N1CCN([C@@H]2CC[N@H+](CCC#N)C2)CC1 ZINC000367639977 418617952 /nfs/dbraw/zinc/61/79/52/418617952.db2.gz YIIPFUNAZPQDOM-CQSZACIVSA-N 1 2 308.426 1.527 20 30 DDEDLO CC(C)(C)OC(=O)N1CCN([C@@H]2CC[N@@H+](CCC#N)C2)CC1 ZINC000367639977 418617954 /nfs/dbraw/zinc/61/79/54/418617954.db2.gz YIIPFUNAZPQDOM-CQSZACIVSA-N 1 2 308.426 1.527 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[NH+]([C@@H]2CCN(CCC#N)C2)CC1 ZINC000367639977 418617955 /nfs/dbraw/zinc/61/79/55/418617955.db2.gz YIIPFUNAZPQDOM-CQSZACIVSA-N 1 2 308.426 1.527 20 30 DDEDLO Cc1cccn2cc(CNc3ncc([N+](=O)[O-])cc3C#N)[nH+]c12 ZINC000340871533 418623989 /nfs/dbraw/zinc/62/39/89/418623989.db2.gz AJUDOJAIMJPWJD-UHFFFAOYSA-N 1 2 308.301 2.430 20 30 DDEDLO N#Cc1ccc(NCC(=O)Nc2ccccc2-n2cc[nH+]c2)nc1 ZINC000345228448 418635355 /nfs/dbraw/zinc/63/53/55/418635355.db2.gz WDZVYOUBVSITGJ-UHFFFAOYSA-N 1 2 318.340 2.190 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000377224659 418707302 /nfs/dbraw/zinc/70/73/02/418707302.db2.gz VCUSIVNSPQPMOJ-ILXRZTDVSA-N 1 2 318.421 1.900 20 30 DDEDLO C#CCSCCNC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000375510867 418653150 /nfs/dbraw/zinc/65/31/50/418653150.db2.gz WWKWHGHKUBVKLY-ZIAGYGMSSA-N 1 2 303.431 1.637 20 30 DDEDLO Cc1ccc(C[N@H+](CCO)CC(=O)N[C@@](C)(C#N)C2CC2)o1 ZINC000361512461 418666982 /nfs/dbraw/zinc/66/69/82/418666982.db2.gz YZANYIXXFIVKQO-INIZCTEOSA-N 1 2 305.378 1.191 20 30 DDEDLO Cc1ccc(C[N@@H+](CCO)CC(=O)N[C@@](C)(C#N)C2CC2)o1 ZINC000361512461 418666985 /nfs/dbraw/zinc/66/69/85/418666985.db2.gz YZANYIXXFIVKQO-INIZCTEOSA-N 1 2 305.378 1.191 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCC[C@](F)(CO)C1)C1(C#N)CCCCC1 ZINC000376515542 418698294 /nfs/dbraw/zinc/69/82/94/418698294.db2.gz RXVXCBXUPCDDJK-OAHLLOKOSA-N 1 2 311.401 1.468 20 30 DDEDLO CN(C(=O)C[N@H+]1CCC[C@](F)(CO)C1)C1(C#N)CCCCC1 ZINC000376515542 418698296 /nfs/dbraw/zinc/69/82/96/418698296.db2.gz RXVXCBXUPCDDJK-OAHLLOKOSA-N 1 2 311.401 1.468 20 30 DDEDLO CCCCNC(=O)C[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000376595078 418699377 /nfs/dbraw/zinc/69/93/77/418699377.db2.gz KHZVJWVRDLIFHP-UHFFFAOYSA-N 1 2 300.406 1.855 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000368193894 418702134 /nfs/dbraw/zinc/70/21/34/418702134.db2.gz GEUOJYBQRMVBNM-HUBLWGQQSA-N 1 2 304.394 1.547 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000368193894 418702136 /nfs/dbraw/zinc/70/21/36/418702136.db2.gz GEUOJYBQRMVBNM-HUBLWGQQSA-N 1 2 304.394 1.547 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000362378274 418753450 /nfs/dbraw/zinc/75/34/50/418753450.db2.gz DHLNAPLSFMOBQV-OLZOCXBDSA-N 1 2 309.435 1.995 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2cccc(C)c2C#N)C[C@H]1C ZINC000408041333 418782875 /nfs/dbraw/zinc/78/28/75/418782875.db2.gz LSENEHAASLTPPZ-KGLIPLIRSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2cccc(C)c2C#N)C[C@H]1C ZINC000408041333 418782876 /nfs/dbraw/zinc/78/28/76/418782876.db2.gz LSENEHAASLTPPZ-KGLIPLIRSA-N 1 2 321.446 1.970 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H](NC(=O)C2CCC2)C1 ZINC000364187517 418774712 /nfs/dbraw/zinc/77/47/12/418774712.db2.gz BBAHHTUMEQGMDW-WMLDXEAASA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@H](NC(=O)C2CCC2)C1 ZINC000364187517 418774713 /nfs/dbraw/zinc/77/47/13/418774713.db2.gz BBAHHTUMEQGMDW-WMLDXEAASA-N 1 2 320.437 1.031 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CC[C@@H](Oc2ccc[nH+]c2N(C)C)C1 ZINC000368805537 418725430 /nfs/dbraw/zinc/72/54/30/418725430.db2.gz JMAGXJWTRRUUGC-ZIAGYGMSSA-N 1 2 316.405 1.722 20 30 DDEDLO C[NH+]1CCN(C2(CNC(=O)C(C)(C)C#N)CCCCCC2)CC1 ZINC000364780562 418810313 /nfs/dbraw/zinc/81/03/13/418810313.db2.gz XKWUIOAFXBUHKK-UHFFFAOYSA-N 1 2 320.481 1.993 20 30 DDEDLO N#C[C@H]1CN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CCN1C1CC1 ZINC000371733503 418812558 /nfs/dbraw/zinc/81/25/58/418812558.db2.gz VOXIZNNBWVOYIE-KRWDZBQOSA-N 1 2 321.384 1.685 20 30 DDEDLO C#CC[C@H](C)NC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000365900002 418921114 /nfs/dbraw/zinc/92/11/14/418921114.db2.gz JKCQTNOGVUGHMV-LBPRGKRZSA-N 1 2 310.357 1.554 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CCn2cc[nH+]c2C1 ZINC000373246304 418933770 /nfs/dbraw/zinc/93/37/70/418933770.db2.gz VURIUBNCBJWNHT-SQWLQELKSA-N 1 2 303.406 1.885 20 30 DDEDLO N#CCC1(O)C[NH+](C[C@@H](O)Cc2ccc(C(F)(F)F)cc2)C1 ZINC000424134666 228232705 /nfs/dbraw/zinc/23/27/05/228232705.db2.gz LBAVWUAPDYTNGK-ZDUSSCGKSA-N 1 2 314.307 1.569 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(Cc3ccccc3)[C@@H](C)C2)C1=O ZINC000411980052 419538418 /nfs/dbraw/zinc/53/84/18/419538418.db2.gz FSQTVCDRBAYKAP-FUHWJXTLSA-N 1 2 313.445 1.980 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(Cc3ccccc3)[C@@H](C)C2)C1=O ZINC000411980052 419538425 /nfs/dbraw/zinc/53/84/25/419538425.db2.gz FSQTVCDRBAYKAP-FUHWJXTLSA-N 1 2 313.445 1.980 20 30 DDEDLO COC(=O)[C@H](O)C1CC[NH+](Cc2cccc(F)c2C#N)CC1 ZINC000428367495 419853817 /nfs/dbraw/zinc/85/38/17/419853817.db2.gz RVXKFYHKDCYRFY-OAHLLOKOSA-N 1 2 306.337 1.443 20 30 DDEDLO COCCOC1(CNc2cc(C)[nH+]cc2C#N)CCOCC1 ZINC000425265645 420335052 /nfs/dbraw/zinc/33/50/52/420335052.db2.gz FDMSEFAKALNTIX-UHFFFAOYSA-N 1 2 305.378 1.308 20 30 DDEDLO COc1ccccc1[C@@H](CNS(=O)(=O)[C@@H](C)C#N)[NH+](C)C ZINC000416366529 420344532 /nfs/dbraw/zinc/34/45/32/420344532.db2.gz CVQVWUVWRVMPHN-WCQYABFASA-N 1 2 311.407 1.129 20 30 DDEDLO COC(=O)C1(O)CC[NH+](Cc2ccc(C#N)cc2Cl)CC1 ZINC000439441138 420513275 /nfs/dbraw/zinc/51/32/75/420513275.db2.gz PFICEMDNXLQDLE-UHFFFAOYSA-N 1 2 308.765 1.712 20 30 DDEDLO C=CCOCC(=O)N(CC[NH+]1CCOCC1)Cc1ccco1 ZINC000457012148 420586937 /nfs/dbraw/zinc/58/69/37/420586937.db2.gz DQIQQUDODVXNNJ-UHFFFAOYSA-N 1 2 308.378 1.143 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N(C[C@@H](C)C#N)C2CC2)c(C)[nH+]1 ZINC000441928794 420665117 /nfs/dbraw/zinc/66/51/17/420665117.db2.gz OLZABELLRCTUOF-JTQLQIEISA-N 1 2 300.362 1.788 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000442652795 420695079 /nfs/dbraw/zinc/69/50/79/420695079.db2.gz OMOXXACNRHDMEV-GOSISDBHSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)CCNC(=O)N1CC[NH+](CC(=O)N(CC)CC)CC1 ZINC000459004541 420780498 /nfs/dbraw/zinc/78/04/98/420780498.db2.gz PXNCUAYZMHIKFL-UHFFFAOYSA-N 1 2 310.442 1.148 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCc3cc[nH]c(=O)c3C2)cc1 ZINC000494127543 420996204 /nfs/dbraw/zinc/99/62/04/420996204.db2.gz RDXWOGGVXUJEQB-OAHLLOKOSA-N 1 2 308.341 1.244 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCc3cc[nH]c(=O)c3C2)cc1 ZINC000494127543 420996208 /nfs/dbraw/zinc/99/62/08/420996208.db2.gz RDXWOGGVXUJEQB-OAHLLOKOSA-N 1 2 308.341 1.244 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)Nc1cccc(CC#N)c1 ZINC000455909298 421076347 /nfs/dbraw/zinc/07/63/47/421076347.db2.gz AWAGSFXUZQMHBE-UHFFFAOYSA-N 1 2 302.378 1.549 20 30 DDEDLO CCC[N@H+](CC(=O)NCC#N)[C@H](C)C(=O)Nc1ccccc1C ZINC000490082728 421188818 /nfs/dbraw/zinc/18/88/18/421188818.db2.gz BOGNQJIXZHVXKD-CQSZACIVSA-N 1 2 316.405 1.674 20 30 DDEDLO CCC[N@@H+](CC(=O)NCC#N)[C@H](C)C(=O)Nc1ccccc1C ZINC000490082728 421188820 /nfs/dbraw/zinc/18/88/20/421188820.db2.gz BOGNQJIXZHVXKD-CQSZACIVSA-N 1 2 316.405 1.674 20 30 DDEDLO N#Cc1cnc(N2CC[C@H](Oc3cc[nH+]cc3)C2)c([N+](=O)[O-])c1 ZINC000450377237 421190630 /nfs/dbraw/zinc/19/06/30/421190630.db2.gz ILMQUHMNSGEBSN-ZDUSSCGKSA-N 1 2 311.301 1.914 20 30 DDEDLO C#CCN(CC#N)C(=O)c1cccc(CNc2cc[nH+]c(C)n2)c1 ZINC000491348201 421196555 /nfs/dbraw/zinc/19/65/55/421196555.db2.gz CDIRWQFASNNVIL-UHFFFAOYSA-N 1 2 319.368 1.996 20 30 DDEDLO C#CC[N@H+](C[C@@H]1CCC2(CCCC2)O1)[C@H]1CCS(=O)(=O)C1 ZINC000491535672 421198260 /nfs/dbraw/zinc/19/82/60/421198260.db2.gz DUPHQSKQFJCXHK-GJZGRUSLSA-N 1 2 311.447 1.601 20 30 DDEDLO C#CC[N@@H+](C[C@@H]1CCC2(CCCC2)O1)[C@H]1CCS(=O)(=O)C1 ZINC000491535672 421198262 /nfs/dbraw/zinc/19/82/62/421198262.db2.gz DUPHQSKQFJCXHK-GJZGRUSLSA-N 1 2 311.447 1.601 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNc2nccc(C#N)n2)on1 ZINC000450464558 421201108 /nfs/dbraw/zinc/20/11/08/421201108.db2.gz ZZNJSNXAWGPXFM-AAEUAGOBSA-N 1 2 316.340 1.669 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNc2nccc(C#N)n2)on1 ZINC000450464558 421201110 /nfs/dbraw/zinc/20/11/10/421201110.db2.gz ZZNJSNXAWGPXFM-AAEUAGOBSA-N 1 2 316.340 1.669 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000545294570 421252168 /nfs/dbraw/zinc/25/21/68/421252168.db2.gz COUVIWZFAMWEFA-GFCCVEGCSA-N 1 2 318.377 1.409 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000545294570 421252170 /nfs/dbraw/zinc/25/21/70/421252170.db2.gz COUVIWZFAMWEFA-GFCCVEGCSA-N 1 2 318.377 1.409 20 30 DDEDLO COC(=O)[C@@H]([NH2+]CCOc1ccc(C#N)cc1OC)C(C)C ZINC000546591920 421295692 /nfs/dbraw/zinc/29/56/92/421295692.db2.gz ZCWJLVBDEGXONF-HNNXBMFYSA-N 1 2 306.362 1.733 20 30 DDEDLO C[N@@H+](CC(=O)NC1(C#N)CCC1)C[C@@H]1COc2ccccc2O1 ZINC000527377176 421384268 /nfs/dbraw/zinc/38/42/68/421384268.db2.gz CVOFYWXYDQHJQV-CYBMUJFWSA-N 1 2 315.373 1.321 20 30 DDEDLO C[N@H+](CC(=O)NC1(C#N)CCC1)C[C@@H]1COc2ccccc2O1 ZINC000527377176 421384269 /nfs/dbraw/zinc/38/42/69/421384269.db2.gz CVOFYWXYDQHJQV-CYBMUJFWSA-N 1 2 315.373 1.321 20 30 DDEDLO CCOC[C@@H]1C[N@H+](C[C@@H](O)COc2ccccc2C#N)CCO1 ZINC000528731858 421514284 /nfs/dbraw/zinc/51/42/84/421514284.db2.gz LBSPKDXTYBHERE-CVEARBPZSA-N 1 2 320.389 1.035 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](C[C@@H](O)COc2ccccc2C#N)CCO1 ZINC000528731858 421514287 /nfs/dbraw/zinc/51/42/87/421514287.db2.gz LBSPKDXTYBHERE-CVEARBPZSA-N 1 2 320.389 1.035 20 30 DDEDLO Cc1nc(NCc2cccc(C(=O)N3CC(C)(C#N)C3)c2)cc[nH+]1 ZINC000564389518 421584842 /nfs/dbraw/zinc/58/48/42/421584842.db2.gz KURHIEZPMHIPKT-UHFFFAOYSA-N 1 2 321.384 2.383 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1N(C(=O)Cc2ccc(C#N)nc2)CC1(C)C ZINC000529446875 421538041 /nfs/dbraw/zinc/53/80/41/421538041.db2.gz ZXCIAMSSCMLMNH-HNNXBMFYSA-N 1 2 309.373 1.839 20 30 DDEDLO C[C@H]1C[NH+](CCSc2nc(N)c(C#N)cc2C#N)C[C@H](C)O1 ZINC000566984865 421609710 /nfs/dbraw/zinc/60/97/10/421609710.db2.gz VVSYNSQNLBFWQW-QWRGUYRKSA-N 1 2 317.418 1.608 20 30 DDEDLO COc1ccc2c(c1)[C@@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)CC2 ZINC000567593182 421612996 /nfs/dbraw/zinc/61/29/96/421612996.db2.gz SUMBWDHZXPQECB-YOEHRIQHSA-N 1 2 301.390 1.685 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc2c(c1)OC(F)(F)O2 ZINC000568518657 421620730 /nfs/dbraw/zinc/62/07/30/421620730.db2.gz KOPXDJGBVASGRD-ZDUSSCGKSA-N 1 2 311.288 1.582 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cccc2c1CCCC2=O ZINC000565997514 421600968 /nfs/dbraw/zinc/60/09/68/421600968.db2.gz UKSKYQUOBMOKQN-ZDUSSCGKSA-N 1 2 302.374 1.791 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cccc2c1CCCC2=O ZINC000565997514 421600972 /nfs/dbraw/zinc/60/09/72/421600972.db2.gz UKSKYQUOBMOKQN-ZDUSSCGKSA-N 1 2 302.374 1.791 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+](C)CCN1CCCC1=O ZINC000556686475 421721611 /nfs/dbraw/zinc/72/16/11/421721611.db2.gz OAPUXAAWGQYBEX-CYBMUJFWSA-N 1 2 314.389 1.439 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+](C)CCN1CCCC1=O ZINC000556686475 421721614 /nfs/dbraw/zinc/72/16/14/421721614.db2.gz OAPUXAAWGQYBEX-CYBMUJFWSA-N 1 2 314.389 1.439 20 30 DDEDLO CCn1cc(C[N@H+](C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)cn1 ZINC000533418151 421673377 /nfs/dbraw/zinc/67/33/77/421673377.db2.gz BKEJIEIIDPLOFM-XJKSGUPXSA-N 1 2 305.426 1.778 20 30 DDEDLO CCn1cc(C[N@@H+](C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)cn1 ZINC000533418151 421673380 /nfs/dbraw/zinc/67/33/80/421673380.db2.gz BKEJIEIIDPLOFM-XJKSGUPXSA-N 1 2 305.426 1.778 20 30 DDEDLO N#CCC1CC[NH+](CC2=Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000541947892 421815709 /nfs/dbraw/zinc/81/57/09/421815709.db2.gz CBJMGLNVGPHKEH-UHFFFAOYSA-N 1 2 318.402 1.634 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccoc1Br ZINC000573123985 421926760 /nfs/dbraw/zinc/92/67/60/421926760.db2.gz RCYLKZVUKHEQNI-NSHDSACASA-N 1 2 300.156 1.616 20 30 DDEDLO C[C@@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1ccc([N+](=O)[O-])cc1F ZINC000574162497 422068949 /nfs/dbraw/zinc/06/89/49/422068949.db2.gz LQDWBJRUPVOWMG-BMIGLBTASA-N 1 2 322.340 1.797 20 30 DDEDLO C=C(C)CN(C)C(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000637412012 422200978 /nfs/dbraw/zinc/20/09/78/422200978.db2.gz BMGBQVFUFJKIFG-UHFFFAOYSA-N 1 2 305.426 2.538 20 30 DDEDLO C[C@@H]1CN(c2ccc(Nc3cc(C#N)cnn3)c[nH+]2)C[C@H](C)O1 ZINC000596081190 422363288 /nfs/dbraw/zinc/36/32/88/422363288.db2.gz QYELCVIKWLRQGK-TXEJJXNPSA-N 1 2 310.361 2.100 20 30 DDEDLO C=CC[N@@H+](C[C@H](OC)C1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000637961710 422513919 /nfs/dbraw/zinc/51/39/19/422513919.db2.gz BXFMXHLWCBEPCP-GJZGRUSLSA-N 1 2 317.451 1.103 20 30 DDEDLO C=CC[N@H+](C[C@H](OC)C1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000637961710 422513926 /nfs/dbraw/zinc/51/39/26/422513926.db2.gz BXFMXHLWCBEPCP-GJZGRUSLSA-N 1 2 317.451 1.103 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)N2CCc3ccccc32)nn1 ZINC000640816142 423208521 /nfs/dbraw/zinc/20/85/21/423208521.db2.gz BCTOTPIUKKLGQB-AWEZNQCLSA-N 1 2 323.400 1.541 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)N2CCCC[C@@H]2CC)nn1 ZINC000640817659 423211265 /nfs/dbraw/zinc/21/12/65/423211265.db2.gz OHLAZBQGISTLTE-HOCLYGCPSA-N 1 2 317.437 1.743 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(c3ccccc3)C2=O)nn1 ZINC000641048189 423352507 /nfs/dbraw/zinc/35/25/07/423352507.db2.gz ZCAOIYCQNLOZHG-KRWDZBQOSA-N 1 2 323.400 1.759 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(CC(C)(C)C)C2=O)nn1 ZINC000641117700 423401129 /nfs/dbraw/zinc/40/11/29/423401129.db2.gz NXZOVAOVINRSBJ-OAHLLOKOSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@@H](CN3CCOCC3)C2)nn1 ZINC000653559689 423529546 /nfs/dbraw/zinc/52/95/46/423529546.db2.gz OIDCKHNIXZJMSL-HNNXBMFYSA-N 1 2 305.426 1.008 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@@H](CN3CCOCC3)C2)nn1 ZINC000653559689 423529549 /nfs/dbraw/zinc/52/95/49/423529549.db2.gz OIDCKHNIXZJMSL-HNNXBMFYSA-N 1 2 305.426 1.008 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCCC[C@H]2CN2CCOCC2)nn1 ZINC000653560884 423531371 /nfs/dbraw/zinc/53/13/71/423531371.db2.gz JPYMYRIFZWOHDE-KRWDZBQOSA-N 1 2 319.453 1.541 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCCC[C@H]2CN2CCOCC2)nn1 ZINC000653560884 423531378 /nfs/dbraw/zinc/53/13/78/423531378.db2.gz JPYMYRIFZWOHDE-KRWDZBQOSA-N 1 2 319.453 1.541 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H]([C@H](O)C(F)(F)F)C2)nn1 ZINC000653643276 423562286 /nfs/dbraw/zinc/56/22/86/423562286.db2.gz KIJMAMDSNANQDF-YPMHNXCESA-N 1 2 318.343 1.989 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H]([C@H](O)C(F)(F)F)C2)nn1 ZINC000653643276 423562289 /nfs/dbraw/zinc/56/22/89/423562289.db2.gz KIJMAMDSNANQDF-YPMHNXCESA-N 1 2 318.343 1.989 20 30 DDEDLO C=CCOc1ccc(CNS(=O)(=O)CCn2cc[nH+]c2)cc1 ZINC000641511716 423685434 /nfs/dbraw/zinc/68/54/34/423685434.db2.gz GXOZESYVVTWHMD-UHFFFAOYSA-N 1 2 321.402 1.568 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)nn1 ZINC000644686347 423765498 /nfs/dbraw/zinc/76/54/98/423765498.db2.gz ACGQYCDNDOYQDX-ZDUSSCGKSA-N 1 2 312.377 1.258 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(N)=O)C2)c(C(F)(F)F)c1 ZINC000356144684 269992787 /nfs/dbraw/zinc/99/27/87/269992787.db2.gz ZLAMFQLBYPTVSK-NSHDSACASA-N 1 2 312.295 1.820 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(N)=O)C2)c(C(F)(F)F)c1 ZINC000356144684 269992788 /nfs/dbraw/zinc/99/27/88/269992788.db2.gz ZLAMFQLBYPTVSK-NSHDSACASA-N 1 2 312.295 1.820 20 30 DDEDLO C=CC[N@@H+](CCc1ccccc1)[C@H]1CS(=O)(=O)C[C@H]1OC ZINC000659858249 424313764 /nfs/dbraw/zinc/31/37/64/424313764.db2.gz WOXQTFQBJKNFHX-JKSUJKDBSA-N 1 2 309.431 1.529 20 30 DDEDLO C=CC[N@H+](CCc1ccccc1)[C@H]1CS(=O)(=O)C[C@H]1OC ZINC000659858249 424313772 /nfs/dbraw/zinc/31/37/72/424313772.db2.gz WOXQTFQBJKNFHX-JKSUJKDBSA-N 1 2 309.431 1.529 20 30 DDEDLO C=CCC[C@@H](CO)Nc1nc2c(cnn2C(C)(C)C)c(N)[nH+]1 ZINC000663988953 424322037 /nfs/dbraw/zinc/32/20/37/424322037.db2.gz CMOWGCTZDXOQRC-JTQLQIEISA-N 1 2 304.398 1.903 20 30 DDEDLO C=CCOCCCNc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000664385988 424571702 /nfs/dbraw/zinc/57/17/02/424571702.db2.gz MURCOWFXFNVVBV-AWEZNQCLSA-N 1 2 306.410 1.690 20 30 DDEDLO C=CCOCCCNc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000664385988 424571706 /nfs/dbraw/zinc/57/17/06/424571706.db2.gz MURCOWFXFNVVBV-AWEZNQCLSA-N 1 2 306.410 1.690 20 30 DDEDLO C[NH+](C)Cc1ccc(S(=O)(=O)Nc2cc(C#N)ccn2)cc1 ZINC000340637159 266505890 /nfs/dbraw/zinc/50/58/90/266505890.db2.gz CSJQFFWQQZQKKO-UHFFFAOYSA-N 1 2 316.386 1.816 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000357809859 266577312 /nfs/dbraw/zinc/57/73/12/266577312.db2.gz NCRXUWMIGGORHT-ZDUSSCGKSA-N 1 2 310.361 1.023 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000357809859 266577314 /nfs/dbraw/zinc/57/73/14/266577314.db2.gz NCRXUWMIGGORHT-ZDUSSCGKSA-N 1 2 310.361 1.023 20 30 DDEDLO C[C@H]1c2nncn2CC[N@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000362073389 267254834 /nfs/dbraw/zinc/25/48/34/267254834.db2.gz WGFVOHUHSOUYSW-LBPRGKRZSA-N 1 2 310.361 1.555 20 30 DDEDLO C[C@H]1c2nncn2CC[N@@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000362073389 267254838 /nfs/dbraw/zinc/25/48/38/267254838.db2.gz WGFVOHUHSOUYSW-LBPRGKRZSA-N 1 2 310.361 1.555 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000338116294 267277622 /nfs/dbraw/zinc/27/76/22/267277622.db2.gz KJMPVOFVNGILDT-KGLIPLIRSA-N 1 2 301.390 1.706 20 30 DDEDLO N#Cc1ccc(OCC[NH+]2CCN([C@H]3CCOC3)CC2)cc1 ZINC000366547116 268172111 /nfs/dbraw/zinc/17/21/11/268172111.db2.gz YVVBPVTWPCHUEC-INIZCTEOSA-N 1 2 301.390 1.344 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@H](c3nnc[nH]3)C2)c1 ZINC000374053303 268266673 /nfs/dbraw/zinc/26/66/73/268266673.db2.gz XWEMMZBWOQYLJU-ZDUSSCGKSA-N 1 2 310.361 1.494 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCC[C@H](c3nnc[nH]3)C2)c1 ZINC000374053303 268266674 /nfs/dbraw/zinc/26/66/74/268266674.db2.gz XWEMMZBWOQYLJU-ZDUSSCGKSA-N 1 2 310.361 1.494 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CCOC[C@H]1[C@H]1CCCO1 ZINC000375741679 268282904 /nfs/dbraw/zinc/28/29/04/268282904.db2.gz JLWYIKWIUATJEQ-DOTOQJQBSA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CCOC[C@H]1[C@H]1CCCO1 ZINC000375741679 268282908 /nfs/dbraw/zinc/28/29/08/268282908.db2.gz JLWYIKWIUATJEQ-DOTOQJQBSA-N 1 2 302.374 1.817 20 30 DDEDLO CC(C)(C)[NH+]1CCC(NC(=O)CSc2n[nH]c(=O)[nH]2)CC1 ZINC000330157294 278340331 /nfs/dbraw/zinc/34/03/31/278340331.db2.gz PIFQHCCYTXDNJS-UHFFFAOYSA-N 1 2 313.427 1.822 20 30 DDEDLO N#Cc1cnc2ccccc2c1N1CC[NH+]([C@@H]2CCNC2=O)CC1 ZINC000178194866 279545602 /nfs/dbraw/zinc/54/56/02/279545602.db2.gz SUJQCZSVBOROOS-MRXNPFEDSA-N 1 2 321.384 1.117 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@]2(CCO[C@H]2C)C1 ZINC000408279742 280102560 /nfs/dbraw/zinc/10/25/60/280102560.db2.gz BWYMHQHBFRCXGW-YALNPMBYSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@]2(CCO[C@H]2C)C1 ZINC000408279742 280102564 /nfs/dbraw/zinc/10/25/64/280102564.db2.gz BWYMHQHBFRCXGW-YALNPMBYSA-N 1 2 323.437 1.309 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1c1c(C#N)cccc1[N+](=O)[O-] ZINC000425274385 289048602 /nfs/dbraw/zinc/04/86/02/289048602.db2.gz ZSLLJLWFBCMHCA-TZMCWYRMSA-N 1 2 316.361 1.766 20 30 DDEDLO C[C@@H]1C[N@H+](CCN2CCC[C@]3(CCCCO3)[C@@H]2C#N)CCO1 ZINC000337370778 297002817 /nfs/dbraw/zinc/00/28/17/297002817.db2.gz PUXYAZXMEKQINL-IXDOHACOSA-N 1 2 307.438 1.634 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN2CCC[C@]3(CCCCO3)[C@@H]2C#N)CCO1 ZINC000337370778 297002818 /nfs/dbraw/zinc/00/28/18/297002818.db2.gz PUXYAZXMEKQINL-IXDOHACOSA-N 1 2 307.438 1.634 20 30 DDEDLO COc1ccc(OC)c(/C=C\C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000493385243 295174096 /nfs/dbraw/zinc/17/40/96/295174096.db2.gz MLUKGTQLRPTLNM-SKHCZCESSA-N 1 2 317.389 1.677 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CCC[C@@H](n2cncn2)C1 ZINC000329740316 295384195 /nfs/dbraw/zinc/38/41/95/295384195.db2.gz NXWNEVPATYOGMV-VXGBXAGGSA-N 1 2 315.381 1.110 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CCC[C@@H](n2cncn2)C1 ZINC000329740316 295384198 /nfs/dbraw/zinc/38/41/98/295384198.db2.gz NXWNEVPATYOGMV-VXGBXAGGSA-N 1 2 315.381 1.110 20 30 DDEDLO C[C@H]([NH2+]CCC(=O)Nc1sccc1C#N)c1ncn(C)n1 ZINC000548403826 303587701 /nfs/dbraw/zinc/58/77/01/303587701.db2.gz YVNQOIWJYPHQDC-VIFPVBQESA-N 1 2 304.379 1.428 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000548311839 307764290 /nfs/dbraw/zinc/76/42/90/307764290.db2.gz JOOGFSZGIJCQOA-JSGCOSHPSA-N 1 2 310.357 1.653 20 30 DDEDLO Cc1c(C(=O)NCC[N@H+]2CCOC[C@@H]2C)cnn1C(C)(C)C ZINC000330618468 533697723 /nfs/dbraw/zinc/69/77/23/533697723.db2.gz HKBCFNGLXAPVBJ-LBPRGKRZSA-N 1 2 308.426 1.972 20 30 DDEDLO Cc1c(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)cnn1C(C)(C)C ZINC000330618468 533697735 /nfs/dbraw/zinc/69/77/35/533697735.db2.gz HKBCFNGLXAPVBJ-LBPRGKRZSA-N 1 2 308.426 1.972 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](CCn3cncn3)CC2)CCC1 ZINC000517210633 331535817 /nfs/dbraw/zinc/53/58/17/331535817.db2.gz JOOGPVFMOZCUFO-UHFFFAOYSA-N 1 2 303.410 1.169 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnc(-c2ccccn2)s1 ZINC000584267253 332176977 /nfs/dbraw/zinc/17/69/77/332176977.db2.gz QJMMDJXPVABFJN-HNNXBMFYSA-N 1 2 315.402 1.779 20 30 DDEDLO Cc1cc(C(F)(F)F)nn1CC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000579237125 332402662 /nfs/dbraw/zinc/40/26/62/332402662.db2.gz VARLGCYRTUKXHB-GFCCVEGCSA-N 1 2 317.315 1.170 20 30 DDEDLO C[C@@H]1CCC[C@H](NC(=O)CN2CC[NH+](CCCC#N)CC2)C1 ZINC000066566231 335135132 /nfs/dbraw/zinc/13/51/32/335135132.db2.gz BHKCXCVSAOQCCL-CVEARBPZSA-N 1 2 306.454 1.603 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1cccc(C#N)n1 ZINC000582545033 337103078 /nfs/dbraw/zinc/10/30/78/337103078.db2.gz JJEXETDQFMLVIR-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000583028756 337230802 /nfs/dbraw/zinc/23/08/02/337230802.db2.gz UIECTZKMDIGUFY-CXAGYDPISA-N 1 2 320.437 1.128 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000583028758 337230834 /nfs/dbraw/zinc/23/08/34/337230834.db2.gz UIECTZKMDIGUFY-GUYCJALGSA-N 1 2 320.437 1.128 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000583244572 337285973 /nfs/dbraw/zinc/28/59/73/337285973.db2.gz WHPVYHVWBJBWEA-MCIONIFRSA-N 1 2 304.394 1.441 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000583244572 337285974 /nfs/dbraw/zinc/28/59/74/337285974.db2.gz WHPVYHVWBJBWEA-MCIONIFRSA-N 1 2 304.394 1.441 20 30 DDEDLO COCCCOc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cn1 ZINC000516297482 338010092 /nfs/dbraw/zinc/01/00/92/338010092.db2.gz CQFPLYTWSPEASZ-MRXNPFEDSA-N 1 2 320.393 1.071 20 30 DDEDLO C=CCNC(=O)C[S@](=O)Cc1[nH+]ccn1-c1ccccc1 ZINC000505734024 340175998 /nfs/dbraw/zinc/17/59/98/340175998.db2.gz QCCDAYRYMMKMPO-OAQYLSRUSA-N 1 2 303.387 1.423 20 30 DDEDLO Cc1ccc(-c2noc([C@H](C)[NH2+]CC(=O)NCC#N)n2)cc1F ZINC000507216528 340213953 /nfs/dbraw/zinc/21/39/53/340213953.db2.gz YITYWCLSEONXJY-JTQLQIEISA-N 1 2 317.324 1.475 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)OCC(F)(F)F ZINC000078881603 341248745 /nfs/dbraw/zinc/24/87/45/341248745.db2.gz GJIUGWUJJDOCKM-UHFFFAOYSA-N 1 2 309.288 1.233 20 30 DDEDLO C[C@@H]([NH2+][C@H](C)c1c(F)cncc1F)C(=O)NC1(C#N)CCC1 ZINC000552203683 341378278 /nfs/dbraw/zinc/37/82/78/341378278.db2.gz HWLMJTRLBWNIDY-NXEZZACHSA-N 1 2 308.332 1.961 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCc1nc(C2CCCC2)no1 ZINC000566977706 341573221 /nfs/dbraw/zinc/57/32/21/341573221.db2.gz YKQUXHRBHGIFNW-INIZCTEOSA-N 1 2 319.409 1.620 20 30 DDEDLO N#CC1(CNC(=O)NCc2[nH+]ccn2CC(F)(F)F)CC1 ZINC000573635214 341734212 /nfs/dbraw/zinc/73/42/12/341734212.db2.gz WKMNFOBZHVTSPM-UHFFFAOYSA-N 1 2 301.272 1.548 20 30 DDEDLO CCC#C[C@@H](C)[N@@H+]1CCc2c(cccc2S(=O)(=O)NC)C1 ZINC000671245121 484981283 /nfs/dbraw/zinc/98/12/83/484981283.db2.gz NGWSKLPTNKDNFW-CYBMUJFWSA-N 1 2 306.431 1.755 20 30 DDEDLO CCC#C[C@@H](C)[N@H+]1CCc2c(cccc2S(=O)(=O)NC)C1 ZINC000671245121 484981288 /nfs/dbraw/zinc/98/12/88/484981288.db2.gz NGWSKLPTNKDNFW-CYBMUJFWSA-N 1 2 306.431 1.755 20 30 DDEDLO C=CCCCNC(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC000673288636 485404713 /nfs/dbraw/zinc/40/47/13/485404713.db2.gz OACCCDUZPVEUPY-HNNXBMFYSA-N 1 2 301.390 1.372 20 30 DDEDLO C=CCCCNC(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC000673288636 485404715 /nfs/dbraw/zinc/40/47/15/485404715.db2.gz OACCCDUZPVEUPY-HNNXBMFYSA-N 1 2 301.390 1.372 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1CC(Oc2cc[nH+]cc2)C1 ZINC000678794704 485687904 /nfs/dbraw/zinc/68/79/04/485687904.db2.gz FWRYYLUVFSBOLZ-UHFFFAOYSA-N 1 2 311.341 1.945 20 30 DDEDLO C=C(C)[C@@H](CO)N1CC[NH+](CCOc2cccc(OC)c2)CC1 ZINC000678049984 486617256 /nfs/dbraw/zinc/61/72/56/486617256.db2.gz SAPZJQFXZVLUBZ-GOSISDBHSA-N 1 2 320.433 1.629 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@H+](Cc1ccc(OCC#N)cc1)C1CC1 ZINC000264938085 490711543 /nfs/dbraw/zinc/71/15/43/490711543.db2.gz HFCWDHQWNYINBV-CYBMUJFWSA-N 1 2 322.430 1.986 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@@H+](Cc1ccc(OCC#N)cc1)C1CC1 ZINC000264938085 490711546 /nfs/dbraw/zinc/71/15/46/490711546.db2.gz HFCWDHQWNYINBV-CYBMUJFWSA-N 1 2 322.430 1.986 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1C[C@H]1c1ccccc1 ZINC000330279975 533847168 /nfs/dbraw/zinc/84/71/68/533847168.db2.gz RVMORDRHZZSYKD-CWRNSKLLSA-N 1 2 303.406 1.767 20 30 DDEDLO C[C@@H](CNC(=O)C1(c2cccc(C#N)c2)CC1)[NH+]1CCOCC1 ZINC000435040962 533887167 /nfs/dbraw/zinc/88/71/67/533887167.db2.gz HEWVFAKVMVGRBC-AWEZNQCLSA-N 1 2 313.401 1.427 20 30 DDEDLO C[C@@H]1CCN(C(=O)NC[C@@H]2COCCO2)C[C@@H]1n1cc[nH+]c1 ZINC000329941784 533908960 /nfs/dbraw/zinc/90/89/60/533908960.db2.gz GVHWMUMFLRSNEC-MCIONIFRSA-N 1 2 308.382 1.095 20 30 DDEDLO C[C@@H]1CCN(C([O-])=[NH+]C[C@@H]2COCCO2)C[C@@H]1n1cc[nH+]c1 ZINC000329941784 533908975 /nfs/dbraw/zinc/90/89/75/533908975.db2.gz GVHWMUMFLRSNEC-MCIONIFRSA-N 1 2 308.382 1.095 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)Nc2ccc(C#N)cc2)CC[S@@]1=O ZINC000330823912 533923780 /nfs/dbraw/zinc/92/37/80/533923780.db2.gz FAGUDXVJDKDJHV-LAJNKCICSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)Nc2ccc(C#N)cc2)CC[S@@]1=O ZINC000330823912 533923785 /nfs/dbraw/zinc/92/37/85/533923785.db2.gz FAGUDXVJDKDJHV-LAJNKCICSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](c1ccc(Cl)cc1)[C@@H]1C[N@H+](C)CCO1 ZINC000346177341 534283960 /nfs/dbraw/zinc/28/39/60/534283960.db2.gz KGTUGYWHNQOWQY-UGFHNGPFSA-N 1 2 321.808 1.988 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](c1ccc(Cl)cc1)[C@@H]1C[N@@H+](C)CCO1 ZINC000346177341 534283961 /nfs/dbraw/zinc/28/39/61/534283961.db2.gz KGTUGYWHNQOWQY-UGFHNGPFSA-N 1 2 321.808 1.988 20 30 DDEDLO Cc1noc(C2CC2)c1NC(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000329802971 534386110 /nfs/dbraw/zinc/38/61/10/534386110.db2.gz KWIFEHAMTVVJOS-ZDUSSCGKSA-N 1 2 320.393 1.812 20 30 DDEDLO Cc1cnc([C@H](C)NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)s1 ZINC000329919800 534629783 /nfs/dbraw/zinc/62/97/83/534629783.db2.gz DPKVDEDEGYHZEP-SRVKXCTJSA-N 1 2 310.423 1.441 20 30 DDEDLO Cc1cnc([C@H](C)NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)s1 ZINC000329919800 534629794 /nfs/dbraw/zinc/62/97/94/534629794.db2.gz DPKVDEDEGYHZEP-SRVKXCTJSA-N 1 2 310.423 1.441 20 30 DDEDLO N#CCCN(C/C=C/c1ccncc1)CC[NH+]1CCOCC1 ZINC000494348306 534632814 /nfs/dbraw/zinc/63/28/14/534632814.db2.gz LXVXBTWOHJKZEQ-HNQUOIGGSA-N 1 2 300.406 1.643 20 30 DDEDLO C=CC[N@@H+](CCn1cc(Cl)cn1)[C@H]1CCS(=O)(=O)C1 ZINC000459369627 526330576 /nfs/dbraw/zinc/33/05/76/526330576.db2.gz OOSHNGCTWDYOMG-LBPRGKRZSA-N 1 2 303.815 1.212 20 30 DDEDLO C=CC[N@H+](CCn1cc(Cl)cn1)[C@H]1CCS(=O)(=O)C1 ZINC000459369627 526330583 /nfs/dbraw/zinc/33/05/83/526330583.db2.gz OOSHNGCTWDYOMG-LBPRGKRZSA-N 1 2 303.815 1.212 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N2C[C@H](C)C[C@H](C)C2)C1=O ZINC000337219018 526503222 /nfs/dbraw/zinc/50/32/22/526503222.db2.gz JMTWSYQNBYIPOY-QXSJWSMHSA-N 1 2 321.465 1.598 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N2C[C@H](C)C[C@H](C)C2)C1=O ZINC000337219018 526503225 /nfs/dbraw/zinc/50/32/25/526503225.db2.gz JMTWSYQNBYIPOY-QXSJWSMHSA-N 1 2 321.465 1.598 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](CCOC)Cc2scnc2C)C1=O ZINC000337265639 526505313 /nfs/dbraw/zinc/50/53/13/526505313.db2.gz YRPJVUFCDYPPGL-ZDUSSCGKSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](CCOC)Cc2scnc2C)C1=O ZINC000337265639 526505319 /nfs/dbraw/zinc/50/53/19/526505319.db2.gz YRPJVUFCDYPPGL-ZDUSSCGKSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(C(=O)CC2CCCCC2)CC1 ZINC000330400146 526632256 /nfs/dbraw/zinc/63/22/56/526632256.db2.gz DQPXZEZMTPJPRL-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(C)COCCNc1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000413209459 526658234 /nfs/dbraw/zinc/65/82/34/526658234.db2.gz UNIGBMHWVNAUJF-UONOGXRCSA-N 1 2 306.410 1.688 20 30 DDEDLO C=C(C)COCCNc1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000413209459 526658238 /nfs/dbraw/zinc/65/82/38/526658238.db2.gz UNIGBMHWVNAUJF-UONOGXRCSA-N 1 2 306.410 1.688 20 30 DDEDLO CC(C)(C)[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000331338988 526677530 /nfs/dbraw/zinc/67/75/30/526677530.db2.gz WJKOCRLBFCQLOW-INIZCTEOSA-N 1 2 315.417 1.921 20 30 DDEDLO CC(C)(C)[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000331338988 526677534 /nfs/dbraw/zinc/67/75/34/526677534.db2.gz WJKOCRLBFCQLOW-INIZCTEOSA-N 1 2 315.417 1.921 20 30 DDEDLO C#CCN(C(=O)C[N@@H+](C)CC(=O)NC(C)C)C1CCCCC1 ZINC000491127490 526764043 /nfs/dbraw/zinc/76/40/43/526764043.db2.gz XAFKVYLCPFXBOG-UHFFFAOYSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCN(C(=O)C[N@H+](C)CC(=O)NC(C)C)C1CCCCC1 ZINC000491127490 526764049 /nfs/dbraw/zinc/76/40/49/526764049.db2.gz XAFKVYLCPFXBOG-UHFFFAOYSA-N 1 2 307.438 1.237 20 30 DDEDLO C=CCOC[C@@H]1NC(=O)N(Cc2[nH+]ccn2CC(C)C)C1=O ZINC000348574544 526799059 /nfs/dbraw/zinc/79/90/59/526799059.db2.gz PIFSKJZZYYSVGM-LBPRGKRZSA-N 1 2 306.366 1.162 20 30 DDEDLO C=CCOC[C@@H]1NC(=O)N(Cc2cn3cc(C)ccc3[nH+]2)C1=O ZINC000348489513 526799645 /nfs/dbraw/zinc/79/96/45/526799645.db2.gz VDUGYGHZQYIFGI-ZDUSSCGKSA-N 1 2 314.345 1.266 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCO[C@@H]([C@@H](C)O)C2)cc1OC ZINC000414599583 526870239 /nfs/dbraw/zinc/87/02/39/526870239.db2.gz ZUJYNZZIFCRDTP-CXAGYDPISA-N 1 2 307.390 1.842 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCO[C@@H]([C@@H](C)O)C2)cc1OC ZINC000414599583 526870245 /nfs/dbraw/zinc/87/02/45/526870245.db2.gz ZUJYNZZIFCRDTP-CXAGYDPISA-N 1 2 307.390 1.842 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CCC3)n2CC(C)C)CC1 ZINC000491154332 526955278 /nfs/dbraw/zinc/95/52/78/526955278.db2.gz IMDLHXFSELEROZ-UHFFFAOYSA-N 1 2 301.438 1.957 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CC[NH+](CC(=O)N(CC)CC)CC1 ZINC000341536084 527149230 /nfs/dbraw/zinc/14/92/30/527149230.db2.gz VEJHLUUOJJEFQC-HNNXBMFYSA-N 1 2 324.469 1.537 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000342488540 527203379 /nfs/dbraw/zinc/20/33/79/527203379.db2.gz ZUPASKAXVRPVQL-CQSZACIVSA-N 1 2 303.362 1.144 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000491784205 527237459 /nfs/dbraw/zinc/23/74/59/527237459.db2.gz OYYXOQMBAQVJAL-AWEZNQCLSA-N 1 2 302.378 1.129 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000491690874 527254364 /nfs/dbraw/zinc/25/43/64/527254364.db2.gz DZJKWUJQXWPHQA-HNNXBMFYSA-N 1 2 316.405 1.519 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CC(=O)N(C)[C@H](C)C1 ZINC000337477461 527261961 /nfs/dbraw/zinc/26/19/61/527261961.db2.gz ZEOOQNYJOMKXCF-GDBMZVCRSA-N 1 2 318.417 1.317 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CC(=O)N(C)[C@H](C)C1 ZINC000337477461 527261964 /nfs/dbraw/zinc/26/19/64/527261964.db2.gz ZEOOQNYJOMKXCF-GDBMZVCRSA-N 1 2 318.417 1.317 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[NH+]1CCOCC1)c1ccc(Cl)cc1 ZINC000491818139 527276382 /nfs/dbraw/zinc/27/63/82/527276382.db2.gz XKVPTHNDSVGKBD-OAHLLOKOSA-N 1 2 321.808 1.646 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccc(OC)c(OC)c2OC)nn1 ZINC000424170707 527372338 /nfs/dbraw/zinc/37/23/38/527372338.db2.gz KDAACJHPOXCAAS-UHFFFAOYSA-N 1 2 318.377 1.780 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccc(Br)cn2)nn1 ZINC000424177247 527372652 /nfs/dbraw/zinc/37/26/52/527372652.db2.gz ZKNNDFOOFAGCBU-UHFFFAOYSA-N 1 2 308.183 1.911 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@@H](O)COc1ccc(OCC)cc1 ZINC000491681343 527429387 /nfs/dbraw/zinc/42/93/87/527429387.db2.gz MNOKZHVOHIJGNN-LSDHHAIUSA-N 1 2 305.374 1.159 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@@H](O)COc1ccc(OCC)cc1 ZINC000491681343 527429394 /nfs/dbraw/zinc/42/93/94/527429394.db2.gz MNOKZHVOHIJGNN-LSDHHAIUSA-N 1 2 305.374 1.159 20 30 DDEDLO CC(C)(C#N)C(=O)N1CCC2(CC1)NC(=O)C1(CCCCC1)[NH2+]2 ZINC000377440320 527447379 /nfs/dbraw/zinc/44/73/79/527447379.db2.gz BMBFBXJZGRPTDE-UHFFFAOYSA-N 1 2 318.421 1.277 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)CC[N@@H+]2CCOC(C)(C)C2)nn1 ZINC000424862791 527515123 /nfs/dbraw/zinc/51/51/23/527515123.db2.gz YZKBXHXFVCKTDU-UHFFFAOYSA-N 1 2 321.425 1.037 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)CC[N@H+]2CCOC(C)(C)C2)nn1 ZINC000424862791 527515129 /nfs/dbraw/zinc/51/51/29/527515129.db2.gz YZKBXHXFVCKTDU-UHFFFAOYSA-N 1 2 321.425 1.037 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC(n3cc[nH+]c3)CC2)nn1 ZINC000424625686 527517603 /nfs/dbraw/zinc/51/76/03/527517603.db2.gz HXSHQMPTSXQVHM-UHFFFAOYSA-N 1 2 300.366 1.528 20 30 DDEDLO C=CCCn1cc(C(=O)NCc2ccc[nH+]c2N(C)C)nn1 ZINC000424582574 527524479 /nfs/dbraw/zinc/52/44/79/527524479.db2.gz JILZYSWRWYQNDJ-UHFFFAOYSA-N 1 2 300.366 1.245 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+](C)CC(C)(C)C#N)C1 ZINC000433315377 527829776 /nfs/dbraw/zinc/82/97/76/527829776.db2.gz YABMHNUOAXXVMX-CYBMUJFWSA-N 1 2 309.410 1.270 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+](C)CC(C)(C)C#N)C1 ZINC000433315377 527829780 /nfs/dbraw/zinc/82/97/80/527829780.db2.gz YABMHNUOAXXVMX-CYBMUJFWSA-N 1 2 309.410 1.270 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@@H+]2CC[C@](C)(F)C2)CC1 ZINC000341129499 528337965 /nfs/dbraw/zinc/33/79/65/528337965.db2.gz QDIUUUXDTHXKMH-ZBFHGGJFSA-N 1 2 310.417 1.113 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@H+]2CC[C@](C)(F)C2)CC1 ZINC000341129499 528337968 /nfs/dbraw/zinc/33/79/68/528337968.db2.gz QDIUUUXDTHXKMH-ZBFHGGJFSA-N 1 2 310.417 1.113 20 30 DDEDLO CC(C)c1nnc(CNC(=O)[C@@H](C)[NH+]2CCSCC2)n1C ZINC000329783376 528414218 /nfs/dbraw/zinc/41/42/18/528414218.db2.gz ONHCBFOUUHXJFV-LLVKDONJSA-N 1 2 311.455 1.832 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)N1CC(=O)N(C)[C@H](C)C1 ZINC000330405533 528727211 /nfs/dbraw/zinc/72/72/11/528727211.db2.gz HVTQZLHJASXTSC-GFCCVEGCSA-N 1 2 307.398 1.116 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)c1cn([C@@H]2CCOC2)nn1 ZINC000330112287 528727503 /nfs/dbraw/zinc/72/75/03/528727503.db2.gz RQALMUXVALTOIX-GFCCVEGCSA-N 1 2 318.381 1.597 20 30 DDEDLO CCN(c1ccc(C(=O)NC[C@H]2C[N@H+](C)CCO2)cn1)C(C)C ZINC000330399932 528926073 /nfs/dbraw/zinc/92/60/73/528926073.db2.gz RSZJFANJRSHOGU-HNNXBMFYSA-N 1 2 320.437 1.952 20 30 DDEDLO CCN(c1ccc(C(=O)NC[C@H]2C[N@@H+](C)CCO2)cn1)C(C)C ZINC000330399932 528926078 /nfs/dbraw/zinc/92/60/78/528926078.db2.gz RSZJFANJRSHOGU-HNNXBMFYSA-N 1 2 320.437 1.952 20 30 DDEDLO CCCC[C@H](NC(=O)NCC)C(=O)N1CCn2cc[nH+]c2C1 ZINC000331205791 528970700 /nfs/dbraw/zinc/97/07/00/528970700.db2.gz DEWONFRJFYNYDP-LBPRGKRZSA-N 1 2 307.398 1.308 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1cnc(N(C)C)cn1)[NH+]1CCOCC1 ZINC000330616332 528974330 /nfs/dbraw/zinc/97/43/30/528974330.db2.gz DPBFCNBDAPWNAJ-AWEZNQCLSA-N 1 2 321.425 1.204 20 30 DDEDLO CCN1CCN(C(=O)N[C@H](C)CCOC)C[C@@H]1c1[nH]cc[nH+]1 ZINC000331007993 529107287 /nfs/dbraw/zinc/10/72/87/529107287.db2.gz CNKWRECUPKYYLD-CHWSQXEVSA-N 1 2 309.414 1.427 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C[C@@H](O)c1ccc(-c2nn[nH]n2)cc1)C1CC1 ZINC000824034031 607831173 /nfs/dbraw/zinc/83/11/73/607831173.db2.gz FYKSTDSVAJLPMB-XHDPSFHLSA-N 1 2 312.377 1.524 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C[C@@H](O)c1ccc(-c2nn[nH]n2)cc1)C1CC1 ZINC000824034031 607831174 /nfs/dbraw/zinc/83/11/74/607831174.db2.gz FYKSTDSVAJLPMB-XHDPSFHLSA-N 1 2 312.377 1.524 20 30 DDEDLO C[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)NCc1cccc(C#N)c1 ZINC000745080232 699970723 /nfs/dbraw/zinc/97/07/23/699970723.db2.gz QTXZWKDWKYPYMZ-OAHLLOKOSA-N 1 2 315.373 1.202 20 30 DDEDLO C[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)NCc1cccc(C#N)c1 ZINC000745080232 699970724 /nfs/dbraw/zinc/97/07/24/699970724.db2.gz QTXZWKDWKYPYMZ-OAHLLOKOSA-N 1 2 315.373 1.202 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccnc3)C2)C1 ZINC000972347797 695223179 /nfs/dbraw/zinc/22/31/79/695223179.db2.gz ZZNIAMWRHYZMMS-KRWDZBQOSA-N 1 2 301.390 1.113 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccnc3)C2)C1 ZINC000972347797 695223180 /nfs/dbraw/zinc/22/31/80/695223180.db2.gz ZZNIAMWRHYZMMS-KRWDZBQOSA-N 1 2 301.390 1.113 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CC(OCC)C3)C2)C1 ZINC000972370781 695232849 /nfs/dbraw/zinc/23/28/49/695232849.db2.gz QJMUCYJUYODNAG-VMBOVVBDSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CC(OCC)C3)C2)C1 ZINC000972370781 695232851 /nfs/dbraw/zinc/23/28/51/695232851.db2.gz QJMUCYJUYODNAG-VMBOVVBDSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccsc3)C2)C1 ZINC000972412894 695242800 /nfs/dbraw/zinc/24/28/00/695242800.db2.gz BPICVYQXORRCBG-KRWDZBQOSA-N 1 2 318.442 1.617 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccsc3)C2)C1 ZINC000972412894 695242801 /nfs/dbraw/zinc/24/28/01/695242801.db2.gz BPICVYQXORRCBG-KRWDZBQOSA-N 1 2 318.442 1.617 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3CC)C2)C1 ZINC000972444283 695253152 /nfs/dbraw/zinc/25/31/52/695253152.db2.gz QJGBDDPZZYNAMF-GOSISDBHSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3CC)C2)C1 ZINC000972444283 695253153 /nfs/dbraw/zinc/25/31/53/695253153.db2.gz QJGBDDPZZYNAMF-GOSISDBHSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccnc3C)C2)C1 ZINC000972528883 695273545 /nfs/dbraw/zinc/27/35/45/695273545.db2.gz VEEBMOWPLGXKBT-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccnc3C)C2)C1 ZINC000972528883 695273548 /nfs/dbraw/zinc/27/35/48/695273548.db2.gz VEEBMOWPLGXKBT-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)C1 ZINC000972590931 695292148 /nfs/dbraw/zinc/29/21/48/695292148.db2.gz WOVNBHWEJLGUJY-JZXOWHBKSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)C1 ZINC000972590931 695292149 /nfs/dbraw/zinc/29/21/49/695292149.db2.gz WOVNBHWEJLGUJY-JZXOWHBKSA-N 1 2 302.418 1.359 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](OC)C3CCCC3)C2)C1 ZINC000972656947 695311519 /nfs/dbraw/zinc/31/15/19/695311519.db2.gz XYGLHXLXAFZAMT-FUHWJXTLSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](OC)C3CCCC3)C2)C1 ZINC000972656947 695311520 /nfs/dbraw/zinc/31/15/20/695311520.db2.gz XYGLHXLXAFZAMT-FUHWJXTLSA-N 1 2 322.449 1.681 20 30 DDEDLO Cc1nc(N2CCN(C(=O)NCC3(CC#N)CC3)CC2)cc[nH+]1 ZINC000797564728 700015030 /nfs/dbraw/zinc/01/50/30/700015030.db2.gz FYQVEDSPFDCPMO-UHFFFAOYSA-N 1 2 314.393 1.311 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975046720 695784653 /nfs/dbraw/zinc/78/46/53/695784653.db2.gz XQTBBRDKLKEMSJ-IAQYHMDHSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975046720 695784654 /nfs/dbraw/zinc/78/46/54/695784654.db2.gz XQTBBRDKLKEMSJ-IAQYHMDHSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@H]2c2ccnn2C)CC1 ZINC000981664933 696864608 /nfs/dbraw/zinc/86/46/08/696864608.db2.gz BBWLDYZXYHJREE-ZIAGYGMSSA-N 1 2 322.840 1.810 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2c2ccnn2C)CC1 ZINC000981664933 696864611 /nfs/dbraw/zinc/86/46/11/696864611.db2.gz BBWLDYZXYHJREE-ZIAGYGMSSA-N 1 2 322.840 1.810 20 30 DDEDLO C=C(C)C[N@@H+]1CCCN(C(=O)C[C@H]2CCCS2(=O)=O)CC1 ZINC000981692960 696877184 /nfs/dbraw/zinc/87/71/84/696877184.db2.gz KSRMFKKSFYRRGU-CQSZACIVSA-N 1 2 314.451 1.064 20 30 DDEDLO C=C(C)C[N@H+]1CCCN(C(=O)C[C@H]2CCCS2(=O)=O)CC1 ZINC000981692960 696877188 /nfs/dbraw/zinc/87/71/88/696877188.db2.gz KSRMFKKSFYRRGU-CQSZACIVSA-N 1 2 314.451 1.064 20 30 DDEDLO CC#CCCON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000154902344 696939115 /nfs/dbraw/zinc/93/91/15/696939115.db2.gz LXDRIDGEXUOXAX-MRXNPFEDSA-N 1 2 301.390 1.590 20 30 DDEDLO CC#CCCON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000154902344 696939116 /nfs/dbraw/zinc/93/91/16/696939116.db2.gz LXDRIDGEXUOXAX-MRXNPFEDSA-N 1 2 301.390 1.590 20 30 DDEDLO C[C@]12CN(CC#N)C[C@@]1(C)CN(C(=O)c1cccc3[nH+]ccn31)C2 ZINC000982365767 697113828 /nfs/dbraw/zinc/11/38/28/697113828.db2.gz CNMREAMCMXBDHT-HDICACEKSA-N 1 2 323.400 1.642 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)c2ncccn2)CC1 ZINC000982369316 697115071 /nfs/dbraw/zinc/11/50/71/697115071.db2.gz GJPUGGRTEDZHAK-UHFFFAOYSA-N 1 2 321.384 1.696 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)c2ncccn2)CC1 ZINC000982369316 697115072 /nfs/dbraw/zinc/11/50/72/697115072.db2.gz GJPUGGRTEDZHAK-UHFFFAOYSA-N 1 2 321.384 1.696 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H](C[NH2+]Cc3noc(CC)n3)C2)C1 ZINC000984765663 697426679 /nfs/dbraw/zinc/42/66/79/697426679.db2.gz LBTHRTIAVKZHEH-JOCQHMNTSA-N 1 2 318.421 1.973 20 30 DDEDLO CCC1CC(=O)C(=CNC[C@@H]2C[N@H+](CC(C)C)CCO2)C(=O)C1 ZINC000194964508 697726550 /nfs/dbraw/zinc/72/65/50/697726550.db2.gz HNBSPBOWNPGUFE-YSSOQSIOSA-N 1 2 322.449 1.775 20 30 DDEDLO CCC1CC(=O)C(=CNC[C@@H]2C[N@@H+](CC(C)C)CCO2)C(=O)C1 ZINC000194964508 697726552 /nfs/dbraw/zinc/72/65/52/697726552.db2.gz HNBSPBOWNPGUFE-YSSOQSIOSA-N 1 2 322.449 1.775 20 30 DDEDLO CCCCCNC(=O)[C@H](C#N)C(=O)C[N@H+](C)[C@@H]1CCSC1 ZINC000773968471 697829440 /nfs/dbraw/zinc/82/94/40/697829440.db2.gz GDDRNYCBCDJLRL-CHWSQXEVSA-N 1 2 311.451 1.439 20 30 DDEDLO CCCCCNC(=O)[C@H](C#N)C(=O)C[N@@H+](C)[C@@H]1CCSC1 ZINC000773968471 697829444 /nfs/dbraw/zinc/82/94/44/697829444.db2.gz GDDRNYCBCDJLRL-CHWSQXEVSA-N 1 2 311.451 1.439 20 30 DDEDLO C#CCNC(=O)c1ccccc1NC(=O)c1cccc2[nH+]ccn21 ZINC000776833940 698142827 /nfs/dbraw/zinc/14/28/27/698142827.db2.gz BKAHRYGALTWPPC-UHFFFAOYSA-N 1 2 318.336 1.950 20 30 DDEDLO Cn1cc([C@H](CO)[NH2+]Cc2cc(Cl)ccc2OCC#N)cn1 ZINC000778138001 698244093 /nfs/dbraw/zinc/24/40/93/698244093.db2.gz NVLGIDPCDNVRIK-AWEZNQCLSA-N 1 2 320.780 1.799 20 30 DDEDLO Cc1cc(-n2c(C)cc(C=NNC3=[NH+]C[C@@H](C)N3)c2C)no1 ZINC000779795198 698466249 /nfs/dbraw/zinc/46/62/49/698466249.db2.gz PSJFLTWKXQHQGH-SECBINFHSA-N 1 2 300.366 1.662 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)cc1 ZINC000780928002 698572290 /nfs/dbraw/zinc/57/22/90/698572290.db2.gz CUOCGLSBFNXBRE-HZPDHXFCSA-N 1 2 310.357 1.652 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]([NH2+]Cc3nnc(C)o3)C[C@H]2C)cc1 ZINC000989273590 698573752 /nfs/dbraw/zinc/57/37/52/698573752.db2.gz IEKAFXZGEHDYCF-WBMJQRKESA-N 1 2 324.384 1.752 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3ccnn3C)CC2)nc1 ZINC000989505786 698649257 /nfs/dbraw/zinc/64/92/57/698649257.db2.gz WTROKXNPBRZYFI-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3ccnn3C)CC2)nc1 ZINC000989505786 698649261 /nfs/dbraw/zinc/64/92/61/698649261.db2.gz WTROKXNPBRZYFI-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO CN(CN1C[C@@H](c2c[nH+]cn2C)CC1=O)c1ccc(C#N)cc1 ZINC000783988273 698899609 /nfs/dbraw/zinc/89/96/09/698899609.db2.gz AKFVCUHGDRBBSN-AWEZNQCLSA-N 1 2 309.373 1.702 20 30 DDEDLO CCN(C)c1ccc(C=[NH+]NC(=S)NCCCOC)cn1 ZINC000790149111 699445523 /nfs/dbraw/zinc/44/55/23/699445523.db2.gz TUVDNOOIYKRQET-UHFFFAOYSA-N 1 2 309.439 1.372 20 30 DDEDLO C[C@H](NC(=S)Nc1ccccc1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000729647264 699484405 /nfs/dbraw/zinc/48/44/05/699484405.db2.gz IZCIHYFXQAOXMG-STQMWFEESA-N 1 2 318.446 1.954 20 30 DDEDLO C=CCNC(=O)COC(=O)[C@H](c1ccccc1)[NH+](CC)CC ZINC000731908186 699540238 /nfs/dbraw/zinc/54/02/38/699540238.db2.gz NFYMFSAPIGFGND-INIZCTEOSA-N 1 2 304.390 1.915 20 30 DDEDLO CC[C@H]1CC(=O)N(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793588737 699766129 /nfs/dbraw/zinc/76/61/29/699766129.db2.gz DKSBUQMFNXHSHQ-DZGCQCFKSA-N 1 2 314.389 1.622 20 30 DDEDLO CC[C@H]1CC(=O)N(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793588737 699766131 /nfs/dbraw/zinc/76/61/31/699766131.db2.gz DKSBUQMFNXHSHQ-DZGCQCFKSA-N 1 2 314.389 1.622 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)OC)c(OC)c1 ZINC000794241114 699799156 /nfs/dbraw/zinc/79/91/56/699799156.db2.gz ZWROAWFBTHVIPX-AWEZNQCLSA-N 1 2 316.357 1.518 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)OC)c(OC)c1 ZINC000794241114 699799158 /nfs/dbraw/zinc/79/91/58/699799158.db2.gz ZWROAWFBTHVIPX-AWEZNQCLSA-N 1 2 316.357 1.518 20 30 DDEDLO COc1ncnc(N)c1C=[NH+]NCc1ccccc1N(C)C ZINC000794953241 699837454 /nfs/dbraw/zinc/83/74/54/699837454.db2.gz YVVZBVQJMSOMCD-UHFFFAOYSA-N 1 2 300.366 1.257 20 30 DDEDLO C#CCNC(=O)C[NH2+][C@H](CC)c1nc(C(F)(F)F)cs1 ZINC000754501303 700505873 /nfs/dbraw/zinc/50/58/73/700505873.db2.gz SLLMSAITPLVRMX-MRVPVSSYSA-N 1 2 305.325 1.952 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C=[NH+]Nc1cccc(C#N)c1 ZINC000755624200 700573705 /nfs/dbraw/zinc/57/37/05/700573705.db2.gz HPTYOHPBSLWPRS-UHFFFAOYSA-N 1 2 324.388 1.883 20 30 DDEDLO CCCCNC(=O)[C@@H]1CCC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758201433 700689212 /nfs/dbraw/zinc/68/92/12/700689212.db2.gz AMCDXFBDTKEDAK-OAHLLOKOSA-N 1 2 316.405 1.306 20 30 DDEDLO CCCCNC(=O)[C@@H]1CCC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758201433 700689213 /nfs/dbraw/zinc/68/92/13/700689213.db2.gz AMCDXFBDTKEDAK-OAHLLOKOSA-N 1 2 316.405 1.306 20 30 DDEDLO N#Cc1cccn(C[N@H+]2CC[C@](O)(c3ccc(F)cc3)C2)c1=O ZINC000758246187 700693933 /nfs/dbraw/zinc/69/39/33/700693933.db2.gz CQKOIIKBDAPRPJ-QGZVFWFLSA-N 1 2 313.332 1.410 20 30 DDEDLO N#Cc1cccn(C[N@@H+]2CC[C@](O)(c3ccc(F)cc3)C2)c1=O ZINC000758246187 700693934 /nfs/dbraw/zinc/69/39/34/700693934.db2.gz CQKOIIKBDAPRPJ-QGZVFWFLSA-N 1 2 313.332 1.410 20 30 DDEDLO CO[C@@H]1C[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC[C@@H]1C ZINC000766635146 701057564 /nfs/dbraw/zinc/05/75/64/701057564.db2.gz VICFJAXBNPYVMO-BLLLJJGKSA-N 1 2 301.390 1.523 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC[C@@H]1C ZINC000766635146 701057566 /nfs/dbraw/zinc/05/75/66/701057566.db2.gz VICFJAXBNPYVMO-BLLLJJGKSA-N 1 2 301.390 1.523 20 30 DDEDLO N#Cc1cccc(OCC(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000767503975 701111545 /nfs/dbraw/zinc/11/15/45/701111545.db2.gz KHRGIAVIVMXPEM-IYBDPMFKSA-N 1 2 316.357 1.343 20 30 DDEDLO N#Cc1cccc(OCC(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000767503975 701111547 /nfs/dbraw/zinc/11/15/47/701111547.db2.gz KHRGIAVIVMXPEM-IYBDPMFKSA-N 1 2 316.357 1.343 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CCSC2(CCOCC2)C1 ZINC000771582662 701324678 /nfs/dbraw/zinc/32/46/78/701324678.db2.gz JOVNTOHFDGGURC-AWEZNQCLSA-N 1 2 308.447 1.209 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCSC2(CCOCC2)C1 ZINC000771582662 701324681 /nfs/dbraw/zinc/32/46/81/701324681.db2.gz JOVNTOHFDGGURC-AWEZNQCLSA-N 1 2 308.447 1.209 20 30 DDEDLO C#CCC[NH+]1CCN(c2cc([N+](=O)[O-])ccc2C(C)=O)CC1 ZINC000807960930 701492255 /nfs/dbraw/zinc/49/22/55/701492255.db2.gz USVQQCCOEGHUNJ-UHFFFAOYSA-N 1 2 301.346 1.943 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[NH+](Cc2cccc(C)c2)CC1 ZINC000808279125 701511740 /nfs/dbraw/zinc/51/17/40/701511740.db2.gz UPQRJOPPLQDNCA-UHFFFAOYSA-N 1 2 306.431 1.466 20 30 DDEDLO CC(C)(C)[N@@H+]1CC[C@@](F)(C(=O)[C@@H](C#N)C(=O)NC2CCCC2)C1 ZINC000810537605 701785777 /nfs/dbraw/zinc/78/57/77/701785777.db2.gz YUZVTFQFUKQZGD-DYVFJYSZSA-N 1 2 323.412 1.967 20 30 DDEDLO CC(C)(C)[N@H+]1CC[C@@](F)(C(=O)[C@@H](C#N)C(=O)NC2CCCC2)C1 ZINC000810537605 701785782 /nfs/dbraw/zinc/78/57/82/701785782.db2.gz YUZVTFQFUKQZGD-DYVFJYSZSA-N 1 2 323.412 1.967 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCc2cccc(NC(C)=O)c2C1 ZINC000879235634 706624581 /nfs/dbraw/zinc/62/45/81/706624581.db2.gz JTYVWANHTYOUFW-GFCCVEGCSA-N 1 2 301.390 1.694 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCc2cccc(NC(C)=O)c2C1 ZINC000879235634 706624583 /nfs/dbraw/zinc/62/45/83/706624583.db2.gz JTYVWANHTYOUFW-GFCCVEGCSA-N 1 2 301.390 1.694 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CC[C@@H](Oc3ccncc3)C2)C1=O ZINC000840060311 701944897 /nfs/dbraw/zinc/94/48/97/701944897.db2.gz QRRXINWPSFXSTO-OAHLLOKOSA-N 1 2 302.378 1.416 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CC[C@@H](Oc3ccncc3)C2)C1=O ZINC000840060311 701944901 /nfs/dbraw/zinc/94/49/01/701944901.db2.gz QRRXINWPSFXSTO-OAHLLOKOSA-N 1 2 302.378 1.416 20 30 DDEDLO CC1(C)CCN(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1=O ZINC000840075571 701953679 /nfs/dbraw/zinc/95/36/79/701953679.db2.gz IPCFOKTZAXEHFJ-CQSZACIVSA-N 1 2 314.389 1.622 20 30 DDEDLO CC1(C)CCN(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1=O ZINC000840075571 701953681 /nfs/dbraw/zinc/95/36/81/701953681.db2.gz IPCFOKTZAXEHFJ-CQSZACIVSA-N 1 2 314.389 1.622 20 30 DDEDLO C[N@H+](CC(F)F)C1CCN(C(=O)[C@]2(C#N)CCCOC2)CC1 ZINC000866149052 706632150 /nfs/dbraw/zinc/63/21/50/706632150.db2.gz FTZKDHCKXFEQHQ-HNNXBMFYSA-N 1 2 315.364 1.495 20 30 DDEDLO C[N@@H+](CC(F)F)C1CCN(C(=O)[C@]2(C#N)CCCOC2)CC1 ZINC000866149052 706632152 /nfs/dbraw/zinc/63/21/52/706632152.db2.gz FTZKDHCKXFEQHQ-HNNXBMFYSA-N 1 2 315.364 1.495 20 30 DDEDLO CN(C)c1ncc(C=[NH+]NC(=S)NC2CCCCC2)n1C ZINC000811631012 702018579 /nfs/dbraw/zinc/01/85/79/702018579.db2.gz YYLPUAJIQZOQBX-UHFFFAOYSA-N 1 2 308.455 1.617 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000868513437 702170855 /nfs/dbraw/zinc/17/08/55/702170855.db2.gz DROYDJVDPDFSOQ-DOTOQJQBSA-N 1 2 315.417 1.517 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000868513437 702170859 /nfs/dbraw/zinc/17/08/59/702170859.db2.gz DROYDJVDPDFSOQ-DOTOQJQBSA-N 1 2 315.417 1.517 20 30 DDEDLO COC(=O)c1cc2c(o1)c(OC)ccc2C=NNC1=[NH+]CCN1 ZINC000841660095 702522914 /nfs/dbraw/zinc/52/29/14/702522914.db2.gz VAQCPXFTDLLCAL-UHFFFAOYSA-N 1 2 316.317 1.111 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCc2nc(C3CC3)nn2C)ccc1F ZINC000842647729 702749899 /nfs/dbraw/zinc/74/98/99/702749899.db2.gz CMJJGOATUQOONT-UHFFFAOYSA-N 1 2 303.341 1.977 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)COC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845277938 703137992 /nfs/dbraw/zinc/13/79/92/703137992.db2.gz LHGQAXMNLXQSRL-DOMZBBRYSA-N 1 2 306.366 1.432 20 30 DDEDLO COc1c(N)[nH+]cnc1N1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000848675162 703574512 /nfs/dbraw/zinc/57/45/12/703574512.db2.gz SLTRPAPSQCNRFZ-CYBMUJFWSA-N 1 2 311.345 1.517 20 30 DDEDLO COc1c(N)nc[nH+]c1N1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000848675162 703574513 /nfs/dbraw/zinc/57/45/13/703574513.db2.gz SLTRPAPSQCNRFZ-CYBMUJFWSA-N 1 2 311.345 1.517 20 30 DDEDLO C#CC[N@@H+](C[C@H](OC)C1CCCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000851840240 703867879 /nfs/dbraw/zinc/86/78/79/703867879.db2.gz OWZMTOYCEYOJNB-CVEARBPZSA-N 1 2 313.463 1.704 20 30 DDEDLO C#CC[N@H+](C[C@H](OC)C1CCCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000851840240 703867882 /nfs/dbraw/zinc/86/78/82/703867882.db2.gz OWZMTOYCEYOJNB-CVEARBPZSA-N 1 2 313.463 1.704 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH2+][C@H](c2cccc(OC)c2)C1 ZINC000870152131 703934451 /nfs/dbraw/zinc/93/44/51/703934451.db2.gz LEJRSFOGHKBAAM-INIZCTEOSA-N 1 2 302.374 1.208 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CC[C@@H](N3CCOC3=O)C2)cc1 ZINC000852435195 704047902 /nfs/dbraw/zinc/04/79/02/704047902.db2.gz JRFWMYQIJVUCNU-CQSZACIVSA-N 1 2 314.345 1.023 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CC[C@@H](N3CCOC3=O)C2)cc1 ZINC000852435195 704047903 /nfs/dbraw/zinc/04/79/03/704047903.db2.gz JRFWMYQIJVUCNU-CQSZACIVSA-N 1 2 314.345 1.023 20 30 DDEDLO Cc1[nH]c(CN=Nc2nccc(N3CCOCC3)n2)[nH+]c1C ZINC000853317848 704233262 /nfs/dbraw/zinc/23/32/62/704233262.db2.gz GPDMKHVHIJTQBJ-UHFFFAOYSA-N 1 2 301.354 1.099 20 30 DDEDLO C[C@H]1CCCCN1[NH+]=Cc1cnc(N2CCN(C)CC2)nc1 ZINC000853378650 704237364 /nfs/dbraw/zinc/23/73/64/704237364.db2.gz ALTPWSZLROMJMB-AWEZNQCLSA-N 1 2 302.426 1.437 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)CC[C@@H](CC(C)C)C1=O ZINC000853621019 704287727 /nfs/dbraw/zinc/28/77/27/704287727.db2.gz NIJMVTVXHOSCFY-HNNXBMFYSA-N 1 2 308.422 1.727 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)CC[C@@H](CC(C)C)C1=O ZINC000853621019 704287730 /nfs/dbraw/zinc/28/77/30/704287730.db2.gz NIJMVTVXHOSCFY-HNNXBMFYSA-N 1 2 308.422 1.727 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1Cc2ccc(OC)cc2C1=O ZINC000853621031 704287806 /nfs/dbraw/zinc/28/78/06/704287806.db2.gz NPRLFOBFMYXBMK-UHFFFAOYSA-N 1 2 302.374 1.580 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1Cc2ccc(OC)cc2C1=O ZINC000853621031 704287808 /nfs/dbraw/zinc/28/78/08/704287808.db2.gz NPRLFOBFMYXBMK-UHFFFAOYSA-N 1 2 302.374 1.580 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)c1 ZINC000854263097 704373216 /nfs/dbraw/zinc/37/32/16/704373216.db2.gz VATAOROQYKCRNZ-KGLIPLIRSA-N 1 2 301.390 1.899 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)c1 ZINC000854263097 704373219 /nfs/dbraw/zinc/37/32/19/704373219.db2.gz VATAOROQYKCRNZ-KGLIPLIRSA-N 1 2 301.390 1.899 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000854305966 704377239 /nfs/dbraw/zinc/37/72/39/704377239.db2.gz CYSWFPNGFSHNCS-QGZVFWFLSA-N 1 2 300.402 1.663 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000854305966 704377241 /nfs/dbraw/zinc/37/72/41/704377241.db2.gz CYSWFPNGFSHNCS-QGZVFWFLSA-N 1 2 300.402 1.663 20 30 DDEDLO C[S@@](=N)(=O)N1CC[NH+](CCCOc2ccc(C#N)cc2)CC1 ZINC000879941927 706828323 /nfs/dbraw/zinc/82/83/23/706828323.db2.gz HJOXASJGJDPKBS-QFIPXVFZSA-N 1 2 322.434 1.536 20 30 DDEDLO CC(C)(C)OC(=O)C[C@@](C)(C#N)C(=O)N[C@H]1CCn2c[nH+]cc21 ZINC000857981755 704654949 /nfs/dbraw/zinc/65/49/49/704654949.db2.gz IPFMTZZPLRORNM-ZBEGNZNMSA-N 1 2 318.377 1.706 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000821826670 704819441 /nfs/dbraw/zinc/81/94/41/704819441.db2.gz WRIUGUSUXWEUHR-UHFFFAOYSA-N 1 2 317.408 1.723 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ncc(C#N)cc1Cl ZINC000874705031 705138641 /nfs/dbraw/zinc/13/86/41/705138641.db2.gz RJFOEHWVKMJURO-LBPRGKRZSA-N 1 2 322.796 1.447 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1ncc(C#N)cc1Cl ZINC000874705031 705138642 /nfs/dbraw/zinc/13/86/42/705138642.db2.gz RJFOEHWVKMJURO-LBPRGKRZSA-N 1 2 322.796 1.447 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH2+][C@@H](c2ccc(F)cc2)C1 ZINC000874889179 705217699 /nfs/dbraw/zinc/21/76/99/705217699.db2.gz JARPLSGLOUVTFQ-OAGGEKHMSA-N 1 2 304.365 1.890 20 30 DDEDLO C#CCC[N@@H+](CCOC)Cc1cn(-c2ccc(F)cc2)nn1 ZINC000862419183 705713962 /nfs/dbraw/zinc/71/39/62/705713962.db2.gz WDTTWRFPNBMKAN-UHFFFAOYSA-N 1 2 302.353 1.878 20 30 DDEDLO C#CCC[N@H+](CCOC)Cc1cn(-c2ccc(F)cc2)nn1 ZINC000862419183 705713965 /nfs/dbraw/zinc/71/39/65/705713965.db2.gz WDTTWRFPNBMKAN-UHFFFAOYSA-N 1 2 302.353 1.878 20 30 DDEDLO CC[C@H](C#N)C(=O)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000826551743 705812154 /nfs/dbraw/zinc/81/21/54/705812154.db2.gz OTZVWTQEDDXJTM-SJORKVTESA-N 1 2 315.417 1.862 20 30 DDEDLO CC[C@H](C#N)C(=O)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000826551743 705812157 /nfs/dbraw/zinc/81/21/57/705812157.db2.gz OTZVWTQEDDXJTM-SJORKVTESA-N 1 2 315.417 1.862 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@H](CNC(=O)[C@@H](C)CC#N)C2)c1 ZINC000826551958 705812208 /nfs/dbraw/zinc/81/22/08/705812208.db2.gz TZXAIZDIEYTHSG-DOTOQJQBSA-N 1 2 315.417 1.862 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@H](CNC(=O)[C@@H](C)CC#N)C2)c1 ZINC000826551958 705812211 /nfs/dbraw/zinc/81/22/11/705812211.db2.gz TZXAIZDIEYTHSG-DOTOQJQBSA-N 1 2 315.417 1.862 20 30 DDEDLO C[C@@H]1C[C@H]1CON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000863456647 705934055 /nfs/dbraw/zinc/93/40/55/705934055.db2.gz YXLJLSHIHZSZAW-CJNGLKHVSA-N 1 2 303.406 1.812 20 30 DDEDLO Cn1ccnc1[C@@H](O)C1CC[NH+](Cc2ccncc2C#N)CC1 ZINC000828087187 706115854 /nfs/dbraw/zinc/11/58/54/706115854.db2.gz ASPAFZGJKFCWQF-INIZCTEOSA-N 1 2 311.389 1.632 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[NH+](C(C)C)CC1 ZINC000872433971 707408287 /nfs/dbraw/zinc/40/82/87/707408287.db2.gz FMSTVOHYYMWEQW-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCC[C@H](c2nnc(C3CC3)[nH]2)C1 ZINC000878327239 706360948 /nfs/dbraw/zinc/36/09/48/706360948.db2.gz FKRRTAFNHXGUIM-STQMWFEESA-N 1 2 304.394 1.979 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCC[C@H](c2nnc(C3CC3)[nH]2)C1 ZINC000878327239 706360951 /nfs/dbraw/zinc/36/09/51/706360951.db2.gz FKRRTAFNHXGUIM-STQMWFEESA-N 1 2 304.394 1.979 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNc2cc(C(F)(F)F)cc(C#N)n2)C1 ZINC000865534779 706457430 /nfs/dbraw/zinc/45/74/30/706457430.db2.gz MAXUYSZTPXBYSB-GFCCVEGCSA-N 1 2 313.327 1.630 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNc2cc(C(F)(F)F)cc(C#N)n2)C1 ZINC000865534779 706457432 /nfs/dbraw/zinc/45/74/32/706457432.db2.gz MAXUYSZTPXBYSB-GFCCVEGCSA-N 1 2 313.327 1.630 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCN(CC(F)(F)F)C[C@@H](C)C1 ZINC000878685828 706469182 /nfs/dbraw/zinc/46/91/82/706469182.db2.gz NLKHJXWPOWNAQE-NEPJUHHUSA-N 1 2 308.344 1.920 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCN(CC(F)(F)F)C[C@@H](C)C1 ZINC000878685828 706469183 /nfs/dbraw/zinc/46/91/83/706469183.db2.gz NLKHJXWPOWNAQE-NEPJUHHUSA-N 1 2 308.344 1.920 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(F)cc1C#N ZINC000878889952 706526336 /nfs/dbraw/zinc/52/63/36/706526336.db2.gz OFUSAELCPUUJMY-AWEZNQCLSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(F)cc1C#N ZINC000878889952 706526337 /nfs/dbraw/zinc/52/63/37/706526337.db2.gz OFUSAELCPUUJMY-AWEZNQCLSA-N 1 2 320.368 1.930 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1(C)C ZINC000880390939 706960964 /nfs/dbraw/zinc/96/09/64/706960964.db2.gz ZGVDOWYGZPVPPC-UHFFFAOYSA-N 1 2 316.401 1.546 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1(C)C ZINC000880390939 706960966 /nfs/dbraw/zinc/96/09/66/706960966.db2.gz ZGVDOWYGZPVPPC-UHFFFAOYSA-N 1 2 316.401 1.546 20 30 DDEDLO C[C@@H]1CC[C@H](CO)[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000880441642 706977674 /nfs/dbraw/zinc/97/76/74/706977674.db2.gz AKWSCUCLKSRPMZ-TZMCWYRMSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@@H]1CC[C@H](CO)[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000880441642 706977677 /nfs/dbraw/zinc/97/76/77/706977677.db2.gz AKWSCUCLKSRPMZ-TZMCWYRMSA-N 1 2 308.403 1.177 20 30 DDEDLO C#CCCOC(=O)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000867705141 707080221 /nfs/dbraw/zinc/08/02/21/707080221.db2.gz HRYJFAQWAQZAEF-UHFFFAOYSA-N 1 2 302.374 1.973 20 30 DDEDLO C[C@H](NC(=O)Nc1cc(CC#N)ccn1)[C@H](C)[NH+]1CCOCC1 ZINC000871722503 707176880 /nfs/dbraw/zinc/17/68/80/707176880.db2.gz NSTQLVARSVPNDB-STQMWFEESA-N 1 2 317.393 1.378 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CSc1nc2cnccc2s1 ZINC000871983990 707268409 /nfs/dbraw/zinc/26/84/09/707268409.db2.gz OZSMXGFNLXSYTE-SNVBAGLBSA-N 1 2 308.432 1.990 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CSc1nc2cnccc2s1 ZINC000871983990 707268413 /nfs/dbraw/zinc/26/84/13/707268413.db2.gz OZSMXGFNLXSYTE-SNVBAGLBSA-N 1 2 308.432 1.990 20 30 DDEDLO CC[C@H]([NH2+]C[C@@H](O)c1ccnn1C)c1cccc(C#N)c1O ZINC000927125257 712944868 /nfs/dbraw/zinc/94/48/68/712944868.db2.gz CDRYXEWAJQTCOW-DZGCQCFKSA-N 1 2 300.362 1.772 20 30 DDEDLO C[C@@H](NC(=S)NN=C1CCC[N@@H+]2CCSC[C@@H]12)C1CC1 ZINC000872356000 707388582 /nfs/dbraw/zinc/38/85/82/707388582.db2.gz WDQKSBUTDWFFTF-MFKMUULPSA-N 1 2 312.508 1.816 20 30 DDEDLO C[C@@H](NC(=S)NN=C1CCC[N@H+]2CCSC[C@@H]12)C1CC1 ZINC000872356000 707388585 /nfs/dbraw/zinc/38/85/85/707388585.db2.gz WDQKSBUTDWFFTF-MFKMUULPSA-N 1 2 312.508 1.816 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)CC1 ZINC000872901936 707645515 /nfs/dbraw/zinc/64/55/15/707645515.db2.gz JFJOSLBYIGHPNY-VGWMRTNUSA-N 1 2 307.438 1.587 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000837915520 707780546 /nfs/dbraw/zinc/78/05/46/707780546.db2.gz QRCRLGZXVTURPL-HNNXBMFYSA-N 1 2 310.357 1.416 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](C[C@@H]2CC2(Cl)Cl)CC1 ZINC000838558578 707944999 /nfs/dbraw/zinc/94/49/99/707944999.db2.gz FSNGREPKBUZNQS-NSHDSACASA-N 1 2 306.237 1.100 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000883551979 708025528 /nfs/dbraw/zinc/02/55/28/708025528.db2.gz DXNLHZQAMMCIEB-KGLIPLIRSA-N 1 2 304.394 1.287 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1ccc(Cl)nc1 ZINC000884066821 708124550 /nfs/dbraw/zinc/12/45/50/708124550.db2.gz KPKXEZIYCSCSPU-NSHDSACASA-N 1 2 311.769 1.140 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(C)cc1OCC ZINC000884084844 708131877 /nfs/dbraw/zinc/13/18/77/708131877.db2.gz CQRCNNGACLSMRX-LBPRGKRZSA-N 1 2 306.362 1.779 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H]1CCCc2ccccc21 ZINC000884085979 708132447 /nfs/dbraw/zinc/13/24/47/708132447.db2.gz JJQDHZRZUYLODV-ZBFHGGJFSA-N 1 2 316.401 1.669 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(F)ccc1OC(C)C ZINC000884121168 708148549 /nfs/dbraw/zinc/14/85/49/708148549.db2.gz IOIHCXJMNUWHGT-LBPRGKRZSA-N 1 2 324.352 1.998 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCCC[C@H](SCC)C1 ZINC000884135220 708155638 /nfs/dbraw/zinc/15/56/38/708155638.db2.gz DRWKQPMYNQSFDV-STQMWFEESA-N 1 2 314.451 1.567 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)Cc1ccc(C)s1 ZINC000884147293 708160407 /nfs/dbraw/zinc/16/04/07/708160407.db2.gz FFBJWXSXWRYFJL-GWCFXTLKSA-N 1 2 310.419 1.550 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC1(c2cccc(F)c2)CC1 ZINC000884150284 708161643 /nfs/dbraw/zinc/16/16/43/708161643.db2.gz IGFXCYGJQAMXIM-AWEZNQCLSA-N 1 2 320.364 1.420 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@@H]1Cc1ccccc1 ZINC000884155281 708163753 /nfs/dbraw/zinc/16/37/53/708163753.db2.gz YWPXLALNKGJKLQ-SOUVJXGZSA-N 1 2 302.374 1.180 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)Cc1cc(C)cc(C)c1 ZINC000884157414 708164652 /nfs/dbraw/zinc/16/46/52/708164652.db2.gz ZLCZLNPGNIPZGF-HOCLYGCPSA-N 1 2 318.417 1.797 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(C)cc(OC)c1C ZINC000884461924 708306374 /nfs/dbraw/zinc/30/63/74/708306374.db2.gz RCEBGDSVCQJCHK-LBPRGKRZSA-N 1 2 306.362 1.697 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(CCC#N)cc2)CC1(C)C ZINC000885283908 708510725 /nfs/dbraw/zinc/51/07/25/708510725.db2.gz BDCYTSDXBOPPQH-UHFFFAOYSA-N 1 2 321.446 1.857 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(CCC#N)cc2)CC1(C)C ZINC000885283908 708510726 /nfs/dbraw/zinc/51/07/26/708510726.db2.gz BDCYTSDXBOPPQH-UHFFFAOYSA-N 1 2 321.446 1.857 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)CC=C(Cl)Cl)C1 ZINC000885509859 708562482 /nfs/dbraw/zinc/56/24/82/708562482.db2.gz XZZHYTWUCVZNFE-SNVBAGLBSA-N 1 2 311.234 1.322 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)CC=C(Cl)Cl)C1 ZINC000885509859 708562484 /nfs/dbraw/zinc/56/24/84/708562484.db2.gz XZZHYTWUCVZNFE-SNVBAGLBSA-N 1 2 311.234 1.322 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)N(C)C2CCCCC2)C1 ZINC000885514131 708563936 /nfs/dbraw/zinc/56/39/36/708563936.db2.gz QFZYYLWDMLUKKP-CQSZACIVSA-N 1 2 313.467 1.183 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)N(C)C2CCCCC2)C1 ZINC000885514131 708563939 /nfs/dbraw/zinc/56/39/39/708563939.db2.gz QFZYYLWDMLUKKP-CQSZACIVSA-N 1 2 313.467 1.183 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@]1(CO)CNC(=O)OC(C)(C)C ZINC000886292689 708736650 /nfs/dbraw/zinc/73/66/50/708736650.db2.gz VGLTYGHBDVNIGK-MRXNPFEDSA-N 1 2 314.426 1.541 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@]1(CO)CNC(=O)OC(C)(C)C ZINC000886292689 708736651 /nfs/dbraw/zinc/73/66/51/708736651.db2.gz VGLTYGHBDVNIGK-MRXNPFEDSA-N 1 2 314.426 1.541 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@H](CC)[C@@](F)(C(=O)OCC)C1 ZINC000886872258 708875346 /nfs/dbraw/zinc/87/53/46/708875346.db2.gz NKWUKCKSVKKRBP-SLEUVZQESA-N 1 2 300.374 1.290 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@H](CC)[C@@](F)(C(=O)OCC)C1 ZINC000886872258 708875351 /nfs/dbraw/zinc/87/53/51/708875351.db2.gz NKWUKCKSVKKRBP-SLEUVZQESA-N 1 2 300.374 1.290 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1 ZINC000899153018 709002045 /nfs/dbraw/zinc/00/20/45/709002045.db2.gz ZTTMQNRNSMNPJS-SWLSCSKDSA-N 1 2 304.394 1.335 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1 ZINC000899153018 709002048 /nfs/dbraw/zinc/00/20/48/709002048.db2.gz ZTTMQNRNSMNPJS-SWLSCSKDSA-N 1 2 304.394 1.335 20 30 DDEDLO C[C@@]1(C#N)CN(C(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CCO1 ZINC000887691910 709104705 /nfs/dbraw/zinc/10/47/05/709104705.db2.gz ASOBVNJJTVYEAY-QGZVFWFLSA-N 1 2 310.357 1.556 20 30 DDEDLO N#Cc1ccc([C@H]2CN(C(=O)CCc3c[nH]c[nH+]3)CCO2)cc1 ZINC000887733672 709113977 /nfs/dbraw/zinc/11/39/77/709113977.db2.gz XSIKYNRXBHPRPG-MRXNPFEDSA-N 1 2 310.357 1.814 20 30 DDEDLO N#Cc1ccc([C@H]2CN(C(=O)CCc3c[nH+]c[nH]3)CCO2)cc1 ZINC000887733672 709113979 /nfs/dbraw/zinc/11/39/79/709113979.db2.gz XSIKYNRXBHPRPG-MRXNPFEDSA-N 1 2 310.357 1.814 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000890245940 709799626 /nfs/dbraw/zinc/79/96/26/709799626.db2.gz CTMBVFUQUQARPO-IRXDYDNUSA-N 1 2 324.384 1.668 20 30 DDEDLO O=C(Cc1cn2c([nH+]1)CCCC2)NCC#C[C@H]1CCCCO1 ZINC000891112701 710096062 /nfs/dbraw/zinc/09/60/62/710096062.db2.gz MXKYFKQENMRRML-OAHLLOKOSA-N 1 2 301.390 1.451 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)c1)CN1C[C@@H]([C@H]2CCCO2)CC1=O ZINC000902048471 710595173 /nfs/dbraw/zinc/59/51/73/710595173.db2.gz HRMJEAIFPXJYNM-DLBZAZTESA-N 1 2 313.401 1.975 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)c1)CN1C[C@@H]([C@H]2CCCO2)CC1=O ZINC000902048471 710595180 /nfs/dbraw/zinc/59/51/80/710595180.db2.gz HRMJEAIFPXJYNM-DLBZAZTESA-N 1 2 313.401 1.975 20 30 DDEDLO COCC[C@H]1CCN(C[N@H+](C)Cc2cccc(C#N)c2)C1=O ZINC000902048819 710595452 /nfs/dbraw/zinc/59/54/52/710595452.db2.gz JFHPQHSAZKNHFI-MRXNPFEDSA-N 1 2 301.390 1.833 20 30 DDEDLO COCC[C@H]1CCN(C[N@@H+](C)Cc2cccc(C#N)c2)C1=O ZINC000902048819 710595455 /nfs/dbraw/zinc/59/54/55/710595455.db2.gz JFHPQHSAZKNHFI-MRXNPFEDSA-N 1 2 301.390 1.833 20 30 DDEDLO C=CCOc1ccccc1C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC000913442517 713218061 /nfs/dbraw/zinc/21/80/61/713218061.db2.gz JJAKVRFSIWEZTN-HNNXBMFYSA-N 1 2 312.373 1.761 20 30 DDEDLO CO[C@@]1(C[NH2+][C@@H](C)c2cccc(C#N)c2O)CCS(=O)(=O)C1 ZINC000903362619 711104319 /nfs/dbraw/zinc/10/43/19/711104319.db2.gz IBJJCYPDETUNSX-XHDPSFHLSA-N 1 2 324.402 1.118 20 30 DDEDLO C/C(=C\C(=O)N1Cc2ccc(C#N)cc2C1)C[NH+]1CCOCC1 ZINC000912057676 711150439 /nfs/dbraw/zinc/15/04/39/711150439.db2.gz DHZRQZMNACXALF-RIYZIHGNSA-N 1 2 311.385 1.679 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)CCc1ccc(C#N)cc1 ZINC000928644588 713248021 /nfs/dbraw/zinc/24/80/21/713248021.db2.gz OYXXMRBPVXMDLW-QGZVFWFLSA-N 1 2 315.417 1.718 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc([C@H](C)c2cccs2)no1 ZINC000904094300 711379759 /nfs/dbraw/zinc/37/97/59/711379759.db2.gz LUMWUBJDAQALFV-ZJUUUORDSA-N 1 2 307.375 1.882 20 30 DDEDLO C[C@H](Nc1cccc(C#N)c1[N+](=O)[O-])[C@@H]1CN(C)CC[N@@H+]1C ZINC000895245914 711463867 /nfs/dbraw/zinc/46/38/67/711463867.db2.gz JQILEPDPMGQANQ-FZMZJTMJSA-N 1 2 303.366 1.513 20 30 DDEDLO C[C@H](Nc1cccc(C#N)c1[N+](=O)[O-])[C@@H]1CN(C)CC[N@H+]1C ZINC000895245914 711463869 /nfs/dbraw/zinc/46/38/69/711463869.db2.gz JQILEPDPMGQANQ-FZMZJTMJSA-N 1 2 303.366 1.513 20 30 DDEDLO CSCc1n[nH]c(C[NH2+][C@H](C)CC2(C#N)CCOCC2)n1 ZINC000895813967 711614153 /nfs/dbraw/zinc/61/41/53/711614153.db2.gz OBPZWRRINYWFDD-LLVKDONJSA-N 1 2 309.439 1.856 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)Cc1cn(-c2ccn(C)n2)nn1 ZINC000906286493 712283594 /nfs/dbraw/zinc/28/35/94/712283594.db2.gz FAWNVZQRGGYYMZ-UHFFFAOYSA-N 1 2 312.377 1.825 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)Cc1cn(-c2ccn(C)n2)nn1 ZINC000906286493 712283596 /nfs/dbraw/zinc/28/35/96/712283596.db2.gz FAWNVZQRGGYYMZ-UHFFFAOYSA-N 1 2 312.377 1.825 20 30 DDEDLO C[NH+](C)[C@@H](CNS(=O)(=O)c1ccc(C#N)cn1)c1ccco1 ZINC000906802516 712414444 /nfs/dbraw/zinc/41/44/44/712414444.db2.gz CULCOHJDSHLPTQ-LBPRGKRZSA-N 1 2 320.374 1.127 20 30 DDEDLO C#C[C@H]1CCCCN1S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000908279981 712727304 /nfs/dbraw/zinc/72/73/04/712727304.db2.gz GJIKTVSHOWWYFP-HNNXBMFYSA-N 1 2 318.442 1.851 20 30 DDEDLO C#C[C@H]1CCCCN1S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000908279981 712727306 /nfs/dbraw/zinc/72/73/06/712727306.db2.gz GJIKTVSHOWWYFP-HNNXBMFYSA-N 1 2 318.442 1.851 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cnn(CCF)c3)CC2)nc1 ZINC000929328444 713590128 /nfs/dbraw/zinc/59/01/28/713590128.db2.gz XCQWCHPNUFNEJA-UHFFFAOYSA-N 1 2 314.368 1.441 20 30 DDEDLO COc1cc(CN2CC[NH+](C[C@H](O)CC#N)CC2)cc(OC)c1 ZINC000929773351 713687638 /nfs/dbraw/zinc/68/76/38/713687638.db2.gz BGHUNRWZPURBHT-OAHLLOKOSA-N 1 2 319.405 1.096 20 30 DDEDLO COc1cc(C[NH+]2CCN(C[C@H](O)CC#N)CC2)cc(OC)c1 ZINC000929773351 713687639 /nfs/dbraw/zinc/68/76/39/713687639.db2.gz BGHUNRWZPURBHT-OAHLLOKOSA-N 1 2 319.405 1.096 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1CCOC(C)(C)C1)Cc1ccccc1 ZINC000930476476 713844454 /nfs/dbraw/zinc/84/44/54/713844454.db2.gz QQDULOZOFXAIIA-UHFFFAOYSA-N 1 2 300.402 1.759 20 30 DDEDLO C#CC[N@H+](CC(=O)N1CCOC(C)(C)C1)Cc1ccccc1 ZINC000930476476 713844458 /nfs/dbraw/zinc/84/44/58/713844458.db2.gz QQDULOZOFXAIIA-UHFFFAOYSA-N 1 2 300.402 1.759 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000930505845 713852466 /nfs/dbraw/zinc/85/24/66/713852466.db2.gz PEQHIACMQROXGE-HOCLYGCPSA-N 1 2 312.479 1.913 20 30 DDEDLO C#CCOCCNC(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC000921935869 713914450 /nfs/dbraw/zinc/91/44/50/713914450.db2.gz ZMKVXAYTNXZHEQ-UHFFFAOYSA-N 1 2 302.378 1.317 20 30 DDEDLO COC(OC)C(=O)N1CC[NH+](Cc2ccc(CC#N)cc2)CC1 ZINC000930958789 713968733 /nfs/dbraw/zinc/96/87/33/713968733.db2.gz YSBCYEJMDUZPBS-UHFFFAOYSA-N 1 2 317.389 1.016 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@](O)(C[N@@H+]2CCC[C@H](C#N)C2)C1 ZINC000932151042 714272199 /nfs/dbraw/zinc/27/21/99/714272199.db2.gz GQDVQVMJWFIFTH-CZUORRHYSA-N 1 2 309.410 1.594 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@](O)(C[N@H+]2CCC[C@H](C#N)C2)C1 ZINC000932151042 714272201 /nfs/dbraw/zinc/27/22/01/714272201.db2.gz GQDVQVMJWFIFTH-CZUORRHYSA-N 1 2 309.410 1.594 20 30 DDEDLO N#C[C@@H]1CCC[N@@H+](CCC(=O)Nc2sccc2C(N)=O)C1 ZINC000932155902 714273308 /nfs/dbraw/zinc/27/33/08/714273308.db2.gz QPDVCRJPRAJAFA-JTQLQIEISA-N 1 2 306.391 1.411 20 30 DDEDLO N#C[C@@H]1CCC[N@H+](CCC(=O)Nc2sccc2C(N)=O)C1 ZINC000932155902 714273309 /nfs/dbraw/zinc/27/33/09/714273309.db2.gz QPDVCRJPRAJAFA-JTQLQIEISA-N 1 2 306.391 1.411 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1ccc([N+](=O)[O-])cc1OC)C(C)C ZINC000932390457 714321980 /nfs/dbraw/zinc/32/19/80/714321980.db2.gz KZOKTPOFWWDJMA-UHFFFAOYSA-N 1 2 305.334 1.886 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1ccc([N+](=O)[O-])cc1OC)C(C)C ZINC000932390457 714321981 /nfs/dbraw/zinc/32/19/81/714321981.db2.gz KZOKTPOFWWDJMA-UHFFFAOYSA-N 1 2 305.334 1.886 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C)C(C)C ZINC000932396340 714322868 /nfs/dbraw/zinc/32/28/68/714322868.db2.gz PTUIUMCGSLLMTC-UHFFFAOYSA-N 1 2 316.361 1.827 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C)C(C)C ZINC000932396340 714322871 /nfs/dbraw/zinc/32/28/71/714322871.db2.gz PTUIUMCGSLLMTC-UHFFFAOYSA-N 1 2 316.361 1.827 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CC[NH+](CCS(C)(=O)=O)CC1 ZINC000932453032 714335505 /nfs/dbraw/zinc/33/55/05/714335505.db2.gz KQEBIEPLZVGPEM-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)N[C@@H](CC#N)C(F)(F)F)[C@H](C)CO1 ZINC000932894016 714432278 /nfs/dbraw/zinc/43/22/78/714432278.db2.gz QZTGFWFKXFFZIP-MXWKQRLJSA-N 1 2 322.331 1.239 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)N[C@@H](CC#N)C(F)(F)F)[C@H](C)CO1 ZINC000932894016 714432279 /nfs/dbraw/zinc/43/22/79/714432279.db2.gz QZTGFWFKXFFZIP-MXWKQRLJSA-N 1 2 322.331 1.239 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)N[C@@H](CC#N)C(F)(F)F)CCO1 ZINC000932919576 714437826 /nfs/dbraw/zinc/43/78/26/714437826.db2.gz QIRQRUMJULOFSV-JTQLQIEISA-N 1 2 322.331 1.241 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)N[C@@H](CC#N)C(F)(F)F)CCO1 ZINC000932919576 714437827 /nfs/dbraw/zinc/43/78/27/714437827.db2.gz QIRQRUMJULOFSV-JTQLQIEISA-N 1 2 322.331 1.241 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](CC[C@H]3CCOC3=O)CCO2)cc1 ZINC000933629096 714634443 /nfs/dbraw/zinc/63/44/43/714634443.db2.gz RNLFNDBKKFPNAD-HOTGVXAUSA-N 1 2 300.358 1.885 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](CC[C@H]3CCOC3=O)CCO2)cc1 ZINC000933629096 714634444 /nfs/dbraw/zinc/63/44/44/714634444.db2.gz RNLFNDBKKFPNAD-HOTGVXAUSA-N 1 2 300.358 1.885 20 30 DDEDLO C[N@H+](CC(=O)N1CCOC(C)(C)C1)Cc1cc(C#N)cs1 ZINC000933770469 714669057 /nfs/dbraw/zinc/66/90/57/714669057.db2.gz OGJCJUCHKQWMLL-UHFFFAOYSA-N 1 2 307.419 1.689 20 30 DDEDLO C[N@@H+](CC(=O)N1CCOC(C)(C)C1)Cc1cc(C#N)cs1 ZINC000933770469 714669058 /nfs/dbraw/zinc/66/90/58/714669058.db2.gz OGJCJUCHKQWMLL-UHFFFAOYSA-N 1 2 307.419 1.689 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCC(C(=O)c2ccccc2Cl)CC1 ZINC000934425277 714818525 /nfs/dbraw/zinc/81/85/25/714818525.db2.gz NIIWJWSZUHOCGM-UHFFFAOYSA-N 1 2 318.804 1.984 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000934513400 714840040 /nfs/dbraw/zinc/84/00/40/714840040.db2.gz NUQUERQJVVESMP-MRXNPFEDSA-N 1 2 313.401 1.815 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000934513400 714840042 /nfs/dbraw/zinc/84/00/42/714840042.db2.gz NUQUERQJVVESMP-MRXNPFEDSA-N 1 2 313.401 1.815 20 30 DDEDLO C=C1CCC(CNC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)CC1 ZINC000926543337 715084922 /nfs/dbraw/zinc/08/49/22/715084922.db2.gz QLFUAYPHPBIFFJ-HOTGVXAUSA-N 1 2 307.438 1.847 20 30 DDEDLO C=C1CCC(CNC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)CC1 ZINC000926543337 715084925 /nfs/dbraw/zinc/08/49/25/715084925.db2.gz QLFUAYPHPBIFFJ-HOTGVXAUSA-N 1 2 307.438 1.847 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000955442887 715775767 /nfs/dbraw/zinc/77/57/67/715775767.db2.gz PAOGRIDZWFYSSE-INIZCTEOSA-N 1 2 304.394 1.224 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC000938532087 715892020 /nfs/dbraw/zinc/89/20/20/715892020.db2.gz YOTUIFTYNHERGK-AWEZNQCLSA-N 1 2 316.405 1.416 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ccc(C#N)[nH]2)no1 ZINC000958596881 716650853 /nfs/dbraw/zinc/65/08/53/716650853.db2.gz AKTRZBDQFQDBQO-NHAGDIPZSA-N 1 2 311.345 1.043 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ccc(C#N)[nH]2)no1 ZINC000958596881 716650856 /nfs/dbraw/zinc/65/08/56/716650856.db2.gz AKTRZBDQFQDBQO-NHAGDIPZSA-N 1 2 311.345 1.043 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ccon4)C[C@H]32)cc1 ZINC000961435562 716945883 /nfs/dbraw/zinc/94/58/83/716945883.db2.gz XSIKKFFTQNTWMA-FVQHAEBGSA-N 1 2 307.353 1.516 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ccon4)C[C@H]32)cc1 ZINC000961435562 716945885 /nfs/dbraw/zinc/94/58/85/716945885.db2.gz XSIKKFFTQNTWMA-FVQHAEBGSA-N 1 2 307.353 1.516 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000940844294 716986982 /nfs/dbraw/zinc/98/69/82/716986982.db2.gz GTUDLEJAPZFDDS-UONOGXRCSA-N 1 2 315.421 1.186 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccn4C)C[C@H]32)CC1 ZINC000961674577 717054541 /nfs/dbraw/zinc/05/45/41/717054541.db2.gz LBSCQEJMECEGGM-QLPKVWCKSA-N 1 2 314.433 1.713 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccn4C)C[C@H]32)CC1 ZINC000961674577 717054544 /nfs/dbraw/zinc/05/45/44/717054544.db2.gz LBSCQEJMECEGGM-QLPKVWCKSA-N 1 2 314.433 1.713 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3(C)CCCCCC3)CC2)C1 ZINC000941440542 717177650 /nfs/dbraw/zinc/17/76/50/717177650.db2.gz MRHYAARPGSOLBD-UHFFFAOYSA-N 1 2 317.477 1.809 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC=CCCC3)CC2)C1 ZINC000941451034 717179218 /nfs/dbraw/zinc/17/92/18/717179218.db2.gz HCGWPENHOLRSTK-QGZVFWFLSA-N 1 2 315.461 1.585 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H](C)C(C)(C)C)CC2)C1 ZINC000941456035 717180636 /nfs/dbraw/zinc/18/06/36/717180636.db2.gz KRXWBMMQTLGPHI-OAHLLOKOSA-N 1 2 305.466 1.520 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H](C)C(F)(F)F)CC2)C1 ZINC000941520724 717192157 /nfs/dbraw/zinc/19/21/57/717192157.db2.gz MEXUNHZACOVCQE-LBPRGKRZSA-N 1 2 317.355 1.037 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(F)c(C)c3)CC2)C1 ZINC000941538157 717198996 /nfs/dbraw/zinc/19/89/96/717198996.db2.gz UHAJEXLHVVMKBM-UHFFFAOYSA-N 1 2 315.392 1.209 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CC[C@@H](C)C3)CC2)C1 ZINC000941595818 717223846 /nfs/dbraw/zinc/22/38/46/717223846.db2.gz PABJJMXPVDQEAM-CVEARBPZSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CC34CCCC4)CC2)C1 ZINC000941626620 717234749 /nfs/dbraw/zinc/23/47/49/717234749.db2.gz ODCWVKZLPZPCOI-KRWDZBQOSA-N 1 2 315.461 1.418 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000942513487 717712111 /nfs/dbraw/zinc/71/21/11/717712111.db2.gz BLICYCWWUMAPSO-WBMJQRKESA-N 1 2 304.394 1.272 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1nnc[nH]1 ZINC000943702367 718176010 /nfs/dbraw/zinc/17/60/10/718176010.db2.gz NCXILLUBXFUODS-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1nnc[nH]1 ZINC000943702367 718176013 /nfs/dbraw/zinc/17/60/13/718176013.db2.gz NCXILLUBXFUODS-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1ncn[nH]1 ZINC000943702367 718176015 /nfs/dbraw/zinc/17/60/15/718176015.db2.gz NCXILLUBXFUODS-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1ncn[nH]1 ZINC000943702367 718176016 /nfs/dbraw/zinc/17/60/16/718176016.db2.gz NCXILLUBXFUODS-IUODEOHRSA-N 1 2 324.388 1.317 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC000945440804 718462696 /nfs/dbraw/zinc/46/26/96/718462696.db2.gz RTFKOEVAFPDBQI-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccnnc2C)C1 ZINC000967257450 718800488 /nfs/dbraw/zinc/80/04/88/718800488.db2.gz NBSNZHVXEZWMIL-IINYFYTJSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccnnc2C)C1 ZINC000967257450 718800493 /nfs/dbraw/zinc/80/04/93/718800493.db2.gz NBSNZHVXEZWMIL-IINYFYTJSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ncc(OC)cn2)C1 ZINC000967294166 718827397 /nfs/dbraw/zinc/82/73/97/718827397.db2.gz YKTZYXMLKFJACR-GXFFZTMASA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ncc(OC)cn2)C1 ZINC000967294166 718827401 /nfs/dbraw/zinc/82/74/01/718827401.db2.gz YKTZYXMLKFJACR-GXFFZTMASA-N 1 2 324.812 1.678 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2nc3c(s2)CCC3)C1 ZINC000967386611 718864835 /nfs/dbraw/zinc/86/48/35/718864835.db2.gz YGVLAOWEIQDFHU-WCQYABFASA-N 1 2 303.431 1.705 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2nc3c(s2)CCC3)C1 ZINC000967386611 718864838 /nfs/dbraw/zinc/86/48/38/718864838.db2.gz YGVLAOWEIQDFHU-WCQYABFASA-N 1 2 303.431 1.705 20 30 DDEDLO CC(C)CCc1noc(C[NH2+][C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000967727584 718991909 /nfs/dbraw/zinc/99/19/09/718991909.db2.gz FUNPAUJLJXQHPT-QWHCGFSZSA-N 1 2 319.409 1.508 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnon3)[C@@H](C)C2)C1 ZINC000947703108 719248927 /nfs/dbraw/zinc/24/89/27/719248927.db2.gz VSOSGMMSQVTWEI-STQMWFEESA-N 1 2 304.394 1.895 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnon3)[C@@H](C)C2)C1 ZINC000947703108 719248928 /nfs/dbraw/zinc/24/89/28/719248928.db2.gz VSOSGMMSQVTWEI-STQMWFEESA-N 1 2 304.394 1.895 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968635194 719705652 /nfs/dbraw/zinc/70/56/52/719705652.db2.gz GYBXTKRBFCWPLR-MLGOLLRUSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968635194 719705656 /nfs/dbraw/zinc/70/56/56/719705656.db2.gz GYBXTKRBFCWPLR-MLGOLLRUSA-N 1 2 311.389 1.421 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccnc(N3CCCC3)n2)CC1 ZINC000948939222 719793885 /nfs/dbraw/zinc/79/38/85/719793885.db2.gz GYHLPJZVDBMFBH-UHFFFAOYSA-N 1 2 315.421 1.411 20 30 DDEDLO C=CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nonc1C ZINC000948989863 719826783 /nfs/dbraw/zinc/82/67/83/719826783.db2.gz QACWEZSICANWAF-OAHLLOKOSA-N 1 2 312.373 1.893 20 30 DDEDLO C=CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nonc1C ZINC000948989863 719826786 /nfs/dbraw/zinc/82/67/86/719826786.db2.gz QACWEZSICANWAF-OAHLLOKOSA-N 1 2 312.373 1.893 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CC(=O)N[C@H](CC(C)C)C2)CC1 ZINC000949026053 719851077 /nfs/dbraw/zinc/85/10/77/719851077.db2.gz UEQVIAWMUOIROF-HUUCEWRRSA-N 1 2 307.438 1.258 20 30 DDEDLO N#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000968925712 719877192 /nfs/dbraw/zinc/87/71/92/719877192.db2.gz LCDQRXALGASCCQ-LSDHHAIUSA-N 1 2 315.421 1.330 20 30 DDEDLO N#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000968925711 719877577 /nfs/dbraw/zinc/87/75/77/719877577.db2.gz LCDQRXALGASCCQ-HUUCEWRRSA-N 1 2 315.421 1.330 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CC3CCCC3)CC2)C1 ZINC000949285633 719993902 /nfs/dbraw/zinc/99/39/02/719993902.db2.gz OQOOHACLRHASNU-UHFFFAOYSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CC3CCCC3)CC2)C1 ZINC000949285633 719993906 /nfs/dbraw/zinc/99/39/06/719993906.db2.gz OQOOHACLRHASNU-UHFFFAOYSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)/C=C/c3ccc[nH]3)CC2)C1 ZINC000949352588 720035273 /nfs/dbraw/zinc/03/52/73/720035273.db2.gz ZBRMCTYOPNGULG-AATRIKPKSA-N 1 2 313.401 1.355 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)/C=C/c3ccc[nH]3)CC2)C1 ZINC000949352588 720035278 /nfs/dbraw/zinc/03/52/78/720035278.db2.gz ZBRMCTYOPNGULG-AATRIKPKSA-N 1 2 313.401 1.355 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC000969788021 720293196 /nfs/dbraw/zinc/29/31/96/720293196.db2.gz HUASBKCGDBFOMO-VXGBXAGGSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(C)CC[NH+]1CCN(C(=O)[C@H]2CN(C(C)C)CCO2)CC1 ZINC000949840446 720376161 /nfs/dbraw/zinc/37/61/61/720376161.db2.gz DLRMUFJYIIFIDQ-MRXNPFEDSA-N 1 2 309.454 1.206 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc3n(n2)CCO3)C1 ZINC000969850904 720533891 /nfs/dbraw/zinc/53/38/91/720533891.db2.gz FWPIRLRGWISJFR-SNVBAGLBSA-N 1 2 310.785 1.078 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnn(CCF)c2)C1 ZINC000970012733 720598008 /nfs/dbraw/zinc/59/80/08/720598008.db2.gz NHXFVUBMSRCMMF-LLVKDONJSA-N 1 2 314.792 1.655 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2n[nH]c3c2CCC3)C1 ZINC000970035664 720606076 /nfs/dbraw/zinc/60/60/76/720606076.db2.gz OVEWWUITHFKODQ-JTQLQIEISA-N 1 2 308.813 1.701 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccc(N(C)C)nc2)C1 ZINC000970034887 720606301 /nfs/dbraw/zinc/60/63/01/720606301.db2.gz JZWMBBLXKZGWOY-LBPRGKRZSA-N 1 2 322.840 1.950 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN2C(=O)C(C)(C)C)C1 ZINC000950389343 720611140 /nfs/dbraw/zinc/61/11/40/720611140.db2.gz SIQJYCFDLWNZMM-HNNXBMFYSA-N 1 2 321.465 1.742 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C3CC3)nn2C)C1 ZINC000950437732 720632516 /nfs/dbraw/zinc/63/25/16/720632516.db2.gz IBDJJLNENCWRTP-UHFFFAOYSA-N 1 2 300.406 1.467 20 30 DDEDLO C=C(Cl)CN1CC(N(CC)C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC000950550031 720679739 /nfs/dbraw/zinc/67/97/39/720679739.db2.gz TZGXESOGFFRTNB-CHWSQXEVSA-N 1 2 308.813 1.798 20 30 DDEDLO C=C(Cl)CN1CC(N(CC)C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC000950550031 720679742 /nfs/dbraw/zinc/67/97/42/720679742.db2.gz TZGXESOGFFRTNB-CHWSQXEVSA-N 1 2 308.813 1.798 20 30 DDEDLO C=C(Cl)CN1CC(N(CC)C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000950658535 720723212 /nfs/dbraw/zinc/72/32/12/720723212.db2.gz PMPSFOOJZRJGBB-CYBMUJFWSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCc3nccn3C2)C1 ZINC000950658252 720723378 /nfs/dbraw/zinc/72/33/78/720723378.db2.gz GMPBOTKYLIULDD-AWEZNQCLSA-N 1 2 302.422 1.554 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H](C)C2C[NH+](Cc3ccn(C)n3)C2)c1 ZINC000970400051 720747001 /nfs/dbraw/zinc/74/70/01/720747001.db2.gz YSBMUPSNSJUXOY-CYBMUJFWSA-N 1 2 323.400 1.047 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnnn2-c2ccccc2)CC1 ZINC000950908857 720823088 /nfs/dbraw/zinc/82/30/88/720823088.db2.gz QMSAMWFRIIJUPM-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2nc3c(o2)CCCC3)C1 ZINC000971211381 721181275 /nfs/dbraw/zinc/18/12/75/721181275.db2.gz QUBWICQKAKOAHE-CHWSQXEVSA-N 1 2 316.405 1.746 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nc3c(o2)CCCC3)C1 ZINC000971211381 721181276 /nfs/dbraw/zinc/18/12/76/721181276.db2.gz QUBWICQKAKOAHE-CHWSQXEVSA-N 1 2 316.405 1.746 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC000971212471 721181646 /nfs/dbraw/zinc/18/16/46/721181646.db2.gz ZIPFJFIQIGFWJW-IUODEOHRSA-N 1 2 301.394 1.022 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC000971212471 721181648 /nfs/dbraw/zinc/18/16/48/721181648.db2.gz ZIPFJFIQIGFWJW-IUODEOHRSA-N 1 2 301.394 1.022 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)no1 ZINC000971293224 721222399 /nfs/dbraw/zinc/22/23/99/721222399.db2.gz ZIPOGBLUXPZTCO-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)no1 ZINC000971293224 721222401 /nfs/dbraw/zinc/22/24/01/721222401.db2.gz ZIPOGBLUXPZTCO-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(C)nc2OC)C1 ZINC000952415315 721441305 /nfs/dbraw/zinc/44/13/05/721441305.db2.gz OOJVHFFBWRKQAH-UHFFFAOYSA-N 1 2 301.390 1.568 20 30 DDEDLO C#CC[N@@H+](C)[C@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000972664723 735429805 /nfs/dbraw/zinc/42/98/05/735429805.db2.gz FGVCMQMNKPHMFD-HNNXBMFYSA-N 1 2 309.373 1.251 20 30 DDEDLO C#CC[N@H+](C)[C@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000972664723 735429808 /nfs/dbraw/zinc/42/98/08/735429808.db2.gz FGVCMQMNKPHMFD-HNNXBMFYSA-N 1 2 309.373 1.251 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001027832493 738711383 /nfs/dbraw/zinc/71/13/83/738711383.db2.gz DMQZNAFXUWUPFX-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001027832493 738711386 /nfs/dbraw/zinc/71/13/86/738711386.db2.gz DMQZNAFXUWUPFX-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H](C)C[C@H]1C[NH2+]Cc1csnn1 ZINC001087136403 733465151 /nfs/dbraw/zinc/46/51/51/733465151.db2.gz SWSYFIXXCMOLGS-MDZLAQPJSA-N 1 2 307.423 1.414 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCC[C@H]2CN(CC#N)CC[C@@H]21 ZINC001021724210 733487172 /nfs/dbraw/zinc/48/71/72/733487172.db2.gz KGPLBSDFCWSVNU-HOCLYGCPSA-N 1 2 315.421 1.189 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C(C)=C/CC)C2)nn1 ZINC001098630600 738728500 /nfs/dbraw/zinc/72/85/00/738728500.db2.gz UHNOHSBTOQWXHT-SNQWNFELSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1C[C@@H]([NH2+][C@H](C)c2noc(C)n2)C1 ZINC001022737127 734745894 /nfs/dbraw/zinc/74/58/94/734745894.db2.gz QGWCANVVVLPIBI-LPUQOGTASA-N 1 2 320.393 1.267 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1COc2cc(F)ccc2C1 ZINC001038243211 734857525 /nfs/dbraw/zinc/85/75/25/734857525.db2.gz BASBQJXNFAPIGD-DZGCQCFKSA-N 1 2 302.349 1.201 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1COc2cc(F)ccc2C1 ZINC001038243211 734857527 /nfs/dbraw/zinc/85/75/27/734857527.db2.gz BASBQJXNFAPIGD-DZGCQCFKSA-N 1 2 302.349 1.201 20 30 DDEDLO C=C(C)C(=O)NCCCNc1ccc(-n2c[nH+]c(C)c2C)nn1 ZINC001167667520 735012611 /nfs/dbraw/zinc/01/26/11/735012611.db2.gz LQOUXMBEKANFJA-UHFFFAOYSA-N 1 2 314.393 1.773 20 30 DDEDLO C=CCCCC(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098224512 735105729 /nfs/dbraw/zinc/10/57/29/735105729.db2.gz WEGPYUCNQGNZOZ-NSHDSACASA-N 1 2 302.382 1.636 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)NC[C@@H]1CCCCN1CC#N)C2 ZINC001024516548 735893764 /nfs/dbraw/zinc/89/37/64/735893764.db2.gz KOKGJSGTUPYTLT-KGLIPLIRSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)NC[C@@H]1CCCCN1CC#N)CC2 ZINC001024516548 735893767 /nfs/dbraw/zinc/89/37/67/735893767.db2.gz KOKGJSGTUPYTLT-KGLIPLIRSA-N 1 2 315.421 1.317 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(C(F)(F)F)n1 ZINC001038836336 738902741 /nfs/dbraw/zinc/90/27/41/738902741.db2.gz NIJMFLVQWYIYFK-NSHDSACASA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(C(F)(F)F)n1 ZINC001038836336 738902742 /nfs/dbraw/zinc/90/27/42/738902742.db2.gz NIJMFLVQWYIYFK-NSHDSACASA-N 1 2 311.307 1.928 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCc2cncn2C1 ZINC001027980966 738902817 /nfs/dbraw/zinc/90/28/17/738902817.db2.gz HDPXMGIEEBFZOQ-UONOGXRCSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCc2cncn2C1 ZINC001027980966 738902818 /nfs/dbraw/zinc/90/28/18/738902818.db2.gz HDPXMGIEEBFZOQ-UONOGXRCSA-N 1 2 322.840 1.779 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1C[NH+](C(C)C)CCO1 ZINC001024618006 735985451 /nfs/dbraw/zinc/98/54/51/735985451.db2.gz OTYKHSVHRFEBNX-DLBZAZTESA-N 1 2 321.465 1.090 20 30 DDEDLO CC#CC[NH+]1CCCC[C@H]1CNC(=O)[C@H]1C[N@@H+](C(C)C)CCO1 ZINC001024618006 735985452 /nfs/dbraw/zinc/98/54/52/735985452.db2.gz OTYKHSVHRFEBNX-DLBZAZTESA-N 1 2 321.465 1.090 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+][C@H](C)c1nc(CCCC)no1 ZINC001126382754 739662030 /nfs/dbraw/zinc/66/20/30/739662030.db2.gz BGGAIRPTGRTPJA-MLGOLLRUSA-N 1 2 324.425 1.506 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1cocn1 ZINC001006942430 736297123 /nfs/dbraw/zinc/29/71/23/736297123.db2.gz ZBCRGCTXMOCLIC-MRXNPFEDSA-N 1 2 309.369 1.921 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1cocn1 ZINC001006942430 736297125 /nfs/dbraw/zinc/29/71/25/736297125.db2.gz ZBCRGCTXMOCLIC-MRXNPFEDSA-N 1 2 309.369 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001007603218 736484936 /nfs/dbraw/zinc/48/49/36/736484936.db2.gz JJIYWAWWPVGVNJ-LBPRGKRZSA-N 1 2 324.812 1.333 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001007603218 736484938 /nfs/dbraw/zinc/48/49/38/736484938.db2.gz JJIYWAWWPVGVNJ-LBPRGKRZSA-N 1 2 324.812 1.333 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]([C@H](C)NC(=O)c2cncc3nc[nH]c32)C1 ZINC001025422292 736513780 /nfs/dbraw/zinc/51/37/80/736513780.db2.gz HGWMXZBYAGCGGT-QWHCGFSZSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]([C@H](C)NC(=O)c2cncc3nc[nH]c32)C1 ZINC001025422292 736513785 /nfs/dbraw/zinc/51/37/85/736513785.db2.gz HGWMXZBYAGCGGT-QWHCGFSZSA-N 1 2 311.389 1.421 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)N(C)C(=O)CCn1cc[nH+]c1 ZINC001104749377 737047859 /nfs/dbraw/zinc/04/78/59/737047859.db2.gz UKPVESQVLRUTHI-CYBMUJFWSA-N 1 2 312.377 1.499 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104767030 737182249 /nfs/dbraw/zinc/18/22/49/737182249.db2.gz XSYWMMFODRIPGQ-LLVKDONJSA-N 1 2 316.409 1.834 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCN1c1[nH+]cnc2c1cnn2C ZINC001100799674 737421309 /nfs/dbraw/zinc/42/13/09/737421309.db2.gz ZTOAEKHHTLBVMZ-LBPRGKRZSA-N 1 2 314.393 1.415 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C(CC=C)CC=C)C2)nn1 ZINC001105249596 737661368 /nfs/dbraw/zinc/66/13/68/737661368.db2.gz XJQXPPRCPGUJNH-UHFFFAOYSA-N 1 2 313.405 1.153 20 30 DDEDLO N#Cc1cc(C[N@@H+]2C[C@@H](NC(=O)C3CCC3)[C@@H](O)C2)ccc1F ZINC001083300471 737680569 /nfs/dbraw/zinc/68/05/69/737680569.db2.gz QZYUNFZBGGWWGJ-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1cc(C[N@H+]2C[C@@H](NC(=O)C3CCC3)[C@@H](O)C2)ccc1F ZINC001083300471 737680572 /nfs/dbraw/zinc/68/05/72/737680572.db2.gz QZYUNFZBGGWWGJ-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO CC(C)[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001105294317 737744041 /nfs/dbraw/zinc/74/40/41/737744041.db2.gz RMQNJVUXWIOELH-AWEZNQCLSA-N 1 2 312.377 1.472 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](CNc1cc[nH+]c(C)n1)C(C)(C)C ZINC001125990721 738103721 /nfs/dbraw/zinc/10/37/21/738103721.db2.gz CHHGNVMRMWPJEZ-JSGCOSHPSA-N 1 2 318.421 1.766 20 30 DDEDLO CCn1ccnc1C[N@H+](C)[C@@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027335293 738205496 /nfs/dbraw/zinc/20/54/96/738205496.db2.gz FTFIQMSMSMUETD-ZIAGYGMSSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)[C@@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027335293 738205497 /nfs/dbraw/zinc/20/54/97/738205497.db2.gz FTFIQMSMSMUETD-ZIAGYGMSSA-N 1 2 303.410 1.485 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CCC[N@H+](Cc3ccccc3C#N)C2)cn1 ZINC001006710900 738240949 /nfs/dbraw/zinc/24/09/49/738240949.db2.gz HJMVOHJQEXASPA-QGZVFWFLSA-N 1 2 323.400 1.686 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)cn1 ZINC001006710900 738240951 /nfs/dbraw/zinc/24/09/51/738240951.db2.gz HJMVOHJQEXASPA-QGZVFWFLSA-N 1 2 323.400 1.686 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)CC3CC3)[C@@H](O)C2)cc1F ZINC001083307728 738330471 /nfs/dbraw/zinc/33/04/71/738330471.db2.gz SYKCNZBWFLAAFZ-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)CC3CC3)[C@@H](O)C2)cc1F ZINC001083307728 738330473 /nfs/dbraw/zinc/33/04/73/738330473.db2.gz SYKCNZBWFLAAFZ-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001032583729 751400275 /nfs/dbraw/zinc/40/02/75/751400275.db2.gz ATTPRZYNBONWLQ-YDHLFZDLSA-N 1 2 314.389 1.183 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001032583729 751400277 /nfs/dbraw/zinc/40/02/77/751400277.db2.gz ATTPRZYNBONWLQ-YDHLFZDLSA-N 1 2 314.389 1.183 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H]1CNC(=O)c1ccnnc1 ZINC001028161820 739109174 /nfs/dbraw/zinc/10/91/74/739109174.db2.gz WQKBEWRHLZZRPY-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccnnc1 ZINC001028161820 739109176 /nfs/dbraw/zinc/10/91/76/739109176.db2.gz WQKBEWRHLZZRPY-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001035369565 751433300 /nfs/dbraw/zinc/43/33/00/751433300.db2.gz GBIDLAPMJAVNSD-ZDUSSCGKSA-N 1 2 321.421 1.979 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001035369565 751433304 /nfs/dbraw/zinc/43/33/04/751433304.db2.gz GBIDLAPMJAVNSD-ZDUSSCGKSA-N 1 2 321.421 1.979 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)CCc3ccccc3)C2)nn1 ZINC001105366430 739393064 /nfs/dbraw/zinc/39/30/64/739393064.db2.gz PBUHUPKLJOGAQV-UHFFFAOYSA-N 1 2 323.400 1.017 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CC2CCCCC2)[C@H](OC)C1 ZINC001211800203 739555347 /nfs/dbraw/zinc/55/53/47/739555347.db2.gz KSLJHEAFJHNHHU-IAGOWNOFSA-N 1 2 322.449 1.422 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CC2CCCCC2)[C@H](OC)C1 ZINC001211800203 739555350 /nfs/dbraw/zinc/55/53/50/739555350.db2.gz KSLJHEAFJHNHHU-IAGOWNOFSA-N 1 2 322.449 1.422 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC001035397578 751467864 /nfs/dbraw/zinc/46/78/64/751467864.db2.gz GGDCUCPAZSBLPD-AWEZNQCLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC001035397578 751467868 /nfs/dbraw/zinc/46/78/68/751467868.db2.gz GGDCUCPAZSBLPD-AWEZNQCLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114895654 751496055 /nfs/dbraw/zinc/49/60/55/751496055.db2.gz CAQUXLUKRMTSTO-SCUASFONSA-N 1 2 317.437 1.823 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114895654 751496058 /nfs/dbraw/zinc/49/60/58/751496058.db2.gz CAQUXLUKRMTSTO-SCUASFONSA-N 1 2 317.437 1.823 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098690424 740103184 /nfs/dbraw/zinc/10/31/84/740103184.db2.gz OENFAHUOXOQCSN-RHSMWYFYSA-N 1 2 318.421 1.539 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001035436036 751513694 /nfs/dbraw/zinc/51/36/94/751513694.db2.gz VQNXWOFQLPRNKU-OAHLLOKOSA-N 1 2 301.390 1.576 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001035436036 751513702 /nfs/dbraw/zinc/51/37/02/751513702.db2.gz VQNXWOFQLPRNKU-OAHLLOKOSA-N 1 2 301.390 1.576 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2COc3ccccc3C2)C1 ZINC001035437428 751515156 /nfs/dbraw/zinc/51/51/56/751515156.db2.gz HKJITYHIJFQJOL-CVEARBPZSA-N 1 2 316.401 1.241 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2COc3ccccc3C2)C1 ZINC001035437428 751515161 /nfs/dbraw/zinc/51/51/61/751515161.db2.gz HKJITYHIJFQJOL-CVEARBPZSA-N 1 2 316.401 1.241 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2COc3ccccc3C2)C1 ZINC001035437430 751515359 /nfs/dbraw/zinc/51/53/59/751515359.db2.gz HKJITYHIJFQJOL-HZPDHXFCSA-N 1 2 316.401 1.241 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2COc3ccccc3C2)C1 ZINC001035437430 751515363 /nfs/dbraw/zinc/51/53/63/751515363.db2.gz HKJITYHIJFQJOL-HZPDHXFCSA-N 1 2 316.401 1.241 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3[nH]nc(C)c3c2)C1 ZINC001035439998 751517680 /nfs/dbraw/zinc/51/76/80/751517680.db2.gz KBGFYOPAYUDQRS-AWEZNQCLSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3[nH]nc(C)c3c2)C1 ZINC001035439998 751517685 /nfs/dbraw/zinc/51/76/85/751517685.db2.gz KBGFYOPAYUDQRS-AWEZNQCLSA-N 1 2 314.389 1.488 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001035447714 751525033 /nfs/dbraw/zinc/52/50/33/751525033.db2.gz JNCAIKGXKOPUIC-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001035447714 751525038 /nfs/dbraw/zinc/52/50/38/751525038.db2.gz JNCAIKGXKOPUIC-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2conc2C2CC2)C1 ZINC001035503930 751559484 /nfs/dbraw/zinc/55/94/84/751559484.db2.gz CBBYKMNDHVQDTD-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2conc2C2CC2)C1 ZINC001035503930 751559485 /nfs/dbraw/zinc/55/94/85/751559485.db2.gz CBBYKMNDHVQDTD-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CCNC(=O)Cc2c[nH+]cn2C)C1 ZINC001059313570 740606521 /nfs/dbraw/zinc/60/65/21/740606521.db2.gz BOYJPABYTSIGRN-AWEZNQCLSA-N 1 2 318.421 1.284 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001035506750 751564157 /nfs/dbraw/zinc/56/41/57/751564157.db2.gz YGQVMFQIOFOSGH-CYBMUJFWSA-N 1 2 305.378 1.102 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001035506750 751564160 /nfs/dbraw/zinc/56/41/60/751564160.db2.gz YGQVMFQIOFOSGH-CYBMUJFWSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CC[N@H+]1CC[C@H](NC(=O)[C@H]2CCCc3cn[nH]c32)[C@H]1CC ZINC001087572666 740659595 /nfs/dbraw/zinc/65/95/95/740659595.db2.gz AOZYIQJLIHPWEQ-SOUVJXGZSA-N 1 2 302.422 1.985 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCc3cn[nH]c32)[C@H]1CC ZINC001087572666 740659596 /nfs/dbraw/zinc/65/95/96/740659596.db2.gz AOZYIQJLIHPWEQ-SOUVJXGZSA-N 1 2 302.422 1.985 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)CCN1CC#N ZINC001087720861 740720669 /nfs/dbraw/zinc/72/06/69/740720669.db2.gz PEOZFFONNPDTRW-SGMGOOAPSA-N 1 2 301.394 1.322 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2nccc3ccccc32)C1 ZINC001035495918 751578511 /nfs/dbraw/zinc/57/85/11/751578511.db2.gz KYDLGDXAKXNOFY-MRXNPFEDSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2nccc3ccccc32)C1 ZINC001035495918 751578515 /nfs/dbraw/zinc/57/85/15/751578515.db2.gz KYDLGDXAKXNOFY-MRXNPFEDSA-N 1 2 323.396 1.689 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001035575073 751629491 /nfs/dbraw/zinc/62/94/91/751629491.db2.gz PHZAXZYKDVKMJN-AWEZNQCLSA-N 1 2 303.406 1.510 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001035575073 751629493 /nfs/dbraw/zinc/62/94/93/751629493.db2.gz PHZAXZYKDVKMJN-AWEZNQCLSA-N 1 2 303.406 1.510 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCCCC[C@H](NCC#N)C1 ZINC001088395844 741459679 /nfs/dbraw/zinc/45/96/79/741459679.db2.gz RKDDRBCTEVVKCL-KGLIPLIRSA-N 1 2 303.410 1.473 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCCCC[C@H](NCC#N)C1 ZINC001088395844 741459680 /nfs/dbraw/zinc/45/96/80/741459680.db2.gz RKDDRBCTEVVKCL-KGLIPLIRSA-N 1 2 303.410 1.473 20 30 DDEDLO N#Cc1ccc(N(CCNC(=O)Cc2c[nH]c[nH+]2)C2CC2)cn1 ZINC001101452458 741510122 /nfs/dbraw/zinc/51/01/22/741510122.db2.gz POKVGRBVFHXSIX-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1cnc(N[C@H]2C[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)c(F)c1 ZINC001059697664 741582847 /nfs/dbraw/zinc/58/28/47/741582847.db2.gz WKWUZNBKEWWRBB-PHIMTYICSA-N 1 2 314.324 1.117 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(C[N@H+](C)Cc2csnn2)CC1 ZINC001029946739 741636443 /nfs/dbraw/zinc/63/64/43/741636443.db2.gz VBMSWJCFJQTKPE-LLVKDONJSA-N 1 2 307.423 1.368 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(C[N@@H+](C)Cc2csnn2)CC1 ZINC001029946739 741636445 /nfs/dbraw/zinc/63/64/45/741636445.db2.gz VBMSWJCFJQTKPE-LLVKDONJSA-N 1 2 307.423 1.368 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(F)cc2Cl)C1 ZINC001035600721 751657536 /nfs/dbraw/zinc/65/75/36/751657536.db2.gz OOMUWYBKMZYSQN-CYBMUJFWSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(F)cc2Cl)C1 ZINC001035600721 751657539 /nfs/dbraw/zinc/65/75/39/751657539.db2.gz OOMUWYBKMZYSQN-CYBMUJFWSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc3c(s2)CCC3)C1 ZINC001035603525 751662349 /nfs/dbraw/zinc/66/23/49/751662349.db2.gz SKPYRVVKWVBPQP-CQSZACIVSA-N 1 2 318.442 1.691 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc3c(s2)CCC3)C1 ZINC001035603525 751662351 /nfs/dbraw/zinc/66/23/51/751662351.db2.gz SKPYRVVKWVBPQP-CQSZACIVSA-N 1 2 318.442 1.691 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3ccncc3c2)C1 ZINC001035577360 751666134 /nfs/dbraw/zinc/66/61/34/751666134.db2.gz KVAARQLWRBGWOF-QGZVFWFLSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3ccncc3c2)C1 ZINC001035577360 751666139 /nfs/dbraw/zinc/66/61/39/751666139.db2.gz KVAARQLWRBGWOF-QGZVFWFLSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CCCC(=O)NCC[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001059820517 741771431 /nfs/dbraw/zinc/77/14/31/741771431.db2.gz ZEBURQDMBUCITN-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCC(=O)NCC[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001059820517 741771433 /nfs/dbraw/zinc/77/14/33/741771433.db2.gz ZEBURQDMBUCITN-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccccc2N(C)C)C1 ZINC001035619192 751689935 /nfs/dbraw/zinc/68/99/35/751689935.db2.gz WXFJVSWQLRIBOG-HNNXBMFYSA-N 1 2 317.433 1.759 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccccc2N(C)C)C1 ZINC001035619192 751689940 /nfs/dbraw/zinc/68/99/40/751689940.db2.gz WXFJVSWQLRIBOG-HNNXBMFYSA-N 1 2 317.433 1.759 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2COCCN2CC)[C@H]1C ZINC001088684934 742075819 /nfs/dbraw/zinc/07/58/19/742075819.db2.gz WHQFGGHPSIEEIA-RDBSUJKOSA-N 1 2 315.845 1.039 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2COCCN2CC)[C@H]1C ZINC001088684934 742075822 /nfs/dbraw/zinc/07/58/22/742075822.db2.gz WHQFGGHPSIEEIA-RDBSUJKOSA-N 1 2 315.845 1.039 20 30 DDEDLO N#Cc1cccc(-n2ccc(C(=O)N[C@@H]3CCn4c[nH+]cc43)n2)c1 ZINC001168300230 742162797 /nfs/dbraw/zinc/16/27/97/742162797.db2.gz KPFWOPQKGZXISR-CQSZACIVSA-N 1 2 318.340 1.815 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(C[NH+](Cc3cncc(F)c3)C2)CC1 ZINC001035674667 751755525 /nfs/dbraw/zinc/75/55/25/751755525.db2.gz ZNLACEVQLMYZCO-ZDUSSCGKSA-N 1 2 316.380 1.805 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC[C@H](C)CC1 ZINC001121509983 782542011 /nfs/dbraw/zinc/54/20/11/782542011.db2.gz LJOSCAFWOXLJNH-KKUMJFAQSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC[C@H](C)CC1 ZINC001121509983 782542022 /nfs/dbraw/zinc/54/20/22/782542022.db2.gz LJOSCAFWOXLJNH-KKUMJFAQSA-N 1 2 319.453 1.828 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(Cl)nc2C)C1 ZINC001077065575 743208994 /nfs/dbraw/zinc/20/89/94/743208994.db2.gz KICJLSCPVCWLNJ-NXEZZACHSA-N 1 2 315.826 1.456 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(Cl)nc2C)C1 ZINC001077065575 743209002 /nfs/dbraw/zinc/20/90/02/743209002.db2.gz KICJLSCPVCWLNJ-NXEZZACHSA-N 1 2 315.826 1.456 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001077139353 743266648 /nfs/dbraw/zinc/26/66/48/743266648.db2.gz JKRALFWODAYKIY-BFHYXJOUSA-N 1 2 316.405 1.184 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001077139353 743266654 /nfs/dbraw/zinc/26/66/54/743266654.db2.gz JKRALFWODAYKIY-BFHYXJOUSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2scnc2C(F)F)C1 ZINC001077368834 743431440 /nfs/dbraw/zinc/43/14/40/743431440.db2.gz JBWKRBGEPWUDKJ-RKDXNWHRSA-N 1 2 317.361 1.432 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2scnc2C(F)F)C1 ZINC001077368834 743431441 /nfs/dbraw/zinc/43/14/41/743431441.db2.gz JBWKRBGEPWUDKJ-RKDXNWHRSA-N 1 2 317.361 1.432 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C[C@H](C)OC)CC2)C1 ZINC001105698545 743562939 /nfs/dbraw/zinc/56/29/39/743562939.db2.gz MMDCUKKKQVXLBK-AWEZNQCLSA-N 1 2 310.438 1.679 20 30 DDEDLO C#CCCCC(=O)NCc1cnn2c1C[N@H+](CC[C@@H](C)F)CC2 ZINC001128326845 743598381 /nfs/dbraw/zinc/59/83/81/743598381.db2.gz DXZWYNWOBBWRPU-CQSZACIVSA-N 1 2 320.412 1.867 20 30 DDEDLO C#CCCCC(=O)NCc1cnn2c1C[N@@H+](CC[C@@H](C)F)CC2 ZINC001128326845 743598388 /nfs/dbraw/zinc/59/83/88/743598388.db2.gz DXZWYNWOBBWRPU-CQSZACIVSA-N 1 2 320.412 1.867 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CCC[N@H+](CC#Cc3ccccc3)C2)cn1 ZINC001006714445 751841287 /nfs/dbraw/zinc/84/12/87/751841287.db2.gz UPBQCXHANPXSPS-SFHVURJKSA-N 1 2 322.412 1.666 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)cn1 ZINC001006714445 751841292 /nfs/dbraw/zinc/84/12/92/751841292.db2.gz UPBQCXHANPXSPS-SFHVURJKSA-N 1 2 322.412 1.666 20 30 DDEDLO C#CC[NH+]1CC2(C1)CCN(C(=O)c1cccc3n[nH]cc31)CC2 ZINC001035761557 751845501 /nfs/dbraw/zinc/84/55/01/751845501.db2.gz XUFVBCRUMBHLKM-UHFFFAOYSA-N 1 2 308.385 1.734 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060233240 743703362 /nfs/dbraw/zinc/70/33/62/743703362.db2.gz QBULCTUGSCFMEH-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001105721324 743759302 /nfs/dbraw/zinc/75/93/02/743759302.db2.gz YFYTXUMRRALCCR-AWEZNQCLSA-N 1 2 310.438 1.273 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCCN2C(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001060266115 743759705 /nfs/dbraw/zinc/75/97/05/743759705.db2.gz GJJSAFXLDUXOIF-HNNXBMFYSA-N 1 2 324.388 1.712 20 30 DDEDLO Cc1cc(NC[C@@H](O)CNC(=O)C#CC(C)C)nc(C2CC2)[nH+]1 ZINC001105811491 743927239 /nfs/dbraw/zinc/92/72/39/743927239.db2.gz CALKMXDFNJMKFJ-CQSZACIVSA-N 1 2 316.405 1.211 20 30 DDEDLO C=C(CC(=O)OCCCC)C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC001184314013 744013296 /nfs/dbraw/zinc/01/32/96/744013296.db2.gz XKNQZYUPUJKSQV-CQSZACIVSA-N 1 2 312.410 1.113 20 30 DDEDLO C=C(CC(=O)OCCCC)C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC001184314013 744013298 /nfs/dbraw/zinc/01/32/98/744013298.db2.gz XKNQZYUPUJKSQV-CQSZACIVSA-N 1 2 312.410 1.113 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)c2cc(Cl)c(C#N)c(Cl)n2)c1 ZINC001184812943 744106870 /nfs/dbraw/zinc/10/68/70/744106870.db2.gz KQVOKEOSIOSWQO-UHFFFAOYSA-N 1 2 324.171 1.966 20 30 DDEDLO C[C@H](CC(=O)NCC1(Nc2ccc(C#N)nc2)CC1)n1cc[nH+]c1 ZINC001110361242 744209190 /nfs/dbraw/zinc/20/91/90/744209190.db2.gz DSYWUHXFQFMBNN-CYBMUJFWSA-N 1 2 324.388 1.862 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)[C@@H](O)C1 ZINC001083677702 744291794 /nfs/dbraw/zinc/29/17/94/744291794.db2.gz IDLMCFBNQHIQIA-SJORKVTESA-N 1 2 311.385 1.193 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)[C@@H](O)C1 ZINC001083677702 744291797 /nfs/dbraw/zinc/29/17/97/744291797.db2.gz IDLMCFBNQHIQIA-SJORKVTESA-N 1 2 311.385 1.193 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)N[C@@H](C)C1CC1 ZINC001110371488 744301382 /nfs/dbraw/zinc/30/13/82/744301382.db2.gz OLDXRVRWYKFSFX-XZDPQHSOSA-N 1 2 319.449 1.589 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)N[C@@H](C)C1CC1 ZINC001110371488 744301387 /nfs/dbraw/zinc/30/13/87/744301387.db2.gz OLDXRVRWYKFSFX-XZDPQHSOSA-N 1 2 319.449 1.589 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C3=CCCC3)C2)nn1 ZINC001185916751 744314694 /nfs/dbraw/zinc/31/46/94/744314694.db2.gz STVQLGMXBUIIGY-MRXNPFEDSA-N 1 2 313.405 1.275 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2c(C)nc(CC)nc2C)C1 ZINC001030696845 744485019 /nfs/dbraw/zinc/48/50/19/744485019.db2.gz DHEGMUOHLRLNLF-UHFFFAOYSA-N 1 2 300.406 1.022 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)CC2)nc1 ZINC001110389278 744498021 /nfs/dbraw/zinc/49/80/21/744498021.db2.gz XKIXDZSRSICEDQ-CHWSQXEVSA-N 1 2 322.372 1.541 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)CC2)nc1 ZINC001110389278 744498023 /nfs/dbraw/zinc/49/80/23/744498023.db2.gz XKIXDZSRSICEDQ-CHWSQXEVSA-N 1 2 322.372 1.541 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001077508377 744714619 /nfs/dbraw/zinc/71/46/19/744714619.db2.gz XHEGDZSUNNZQAB-HZPDHXFCSA-N 1 2 320.820 1.755 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001077508377 744714621 /nfs/dbraw/zinc/71/46/21/744714621.db2.gz XHEGDZSUNNZQAB-HZPDHXFCSA-N 1 2 320.820 1.755 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)oc1C ZINC001188957754 744798592 /nfs/dbraw/zinc/79/85/92/744798592.db2.gz AIMPGZFNHBWLND-CYBMUJFWSA-N 1 2 322.434 1.581 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)oc1C ZINC001188957754 744798595 /nfs/dbraw/zinc/79/85/95/744798595.db2.gz AIMPGZFNHBWLND-CYBMUJFWSA-N 1 2 322.434 1.581 20 30 DDEDLO C=C1CC(C)(C(=O)NC2C[NH+](C[C@@H](O)Cc3ccccc3)C2)C1 ZINC001030924107 744844694 /nfs/dbraw/zinc/84/46/94/744844694.db2.gz JLLCLPSCYYZGAN-KRWDZBQOSA-N 1 2 314.429 1.747 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(CC)n2)C1 ZINC001189917479 745031067 /nfs/dbraw/zinc/03/10/67/745031067.db2.gz HETJWSXMEJDVTB-DOTOQJQBSA-N 1 2 320.437 1.263 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2ccn(CC)n2)C1 ZINC001189917479 745031073 /nfs/dbraw/zinc/03/10/73/745031073.db2.gz HETJWSXMEJDVTB-DOTOQJQBSA-N 1 2 320.437 1.263 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C#N)ccc2OC)C[C@H]1O ZINC001190685011 745295879 /nfs/dbraw/zinc/29/58/79/745295879.db2.gz PZZFELJWMHVZPP-HUUCEWRRSA-N 1 2 317.389 1.028 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2OC)C[C@H]1O ZINC001190685011 745295883 /nfs/dbraw/zinc/29/58/83/745295883.db2.gz PZZFELJWMHVZPP-HUUCEWRRSA-N 1 2 317.389 1.028 20 30 DDEDLO C=CCC1(S(=O)(=O)N2CCN(c3cccc[nH+]3)CC2)CC1 ZINC001190766686 745323440 /nfs/dbraw/zinc/32/34/40/745323440.db2.gz RRDFMYAZVKQIPQ-UHFFFAOYSA-N 1 2 307.419 1.642 20 30 DDEDLO C[N@@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1cc(C#N)ccc1Cl ZINC001190959692 745387838 /nfs/dbraw/zinc/38/78/38/745387838.db2.gz PSAYWLQSJITVKS-QWRGUYRKSA-N 1 2 311.794 1.289 20 30 DDEDLO C[N@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1cc(C#N)ccc1Cl ZINC001190959692 745387843 /nfs/dbraw/zinc/38/78/43/745387843.db2.gz PSAYWLQSJITVKS-QWRGUYRKSA-N 1 2 311.794 1.289 20 30 DDEDLO CC[N@H+](Cc1nc(C)oc1C)[C@H](C)CNC(=O)CSCC#N ZINC001151954356 745528748 /nfs/dbraw/zinc/52/87/48/745528748.db2.gz RUUFPJJPDOOKML-LLVKDONJSA-N 1 2 324.450 1.875 20 30 DDEDLO CC[N@@H+](Cc1nc(C)oc1C)[C@H](C)CNC(=O)CSCC#N ZINC001151954356 745528750 /nfs/dbraw/zinc/52/87/50/745528750.db2.gz RUUFPJJPDOOKML-LLVKDONJSA-N 1 2 324.450 1.875 20 30 DDEDLO Cc1nc(N(C)C)nc(NCC[C@H](C)NC(=O)C#CC(C)C)[nH+]1 ZINC001106302100 745571494 /nfs/dbraw/zinc/57/14/94/745571494.db2.gz VITWXFZLOIUDEX-LBPRGKRZSA-N 1 2 318.425 1.212 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)cn1)NC(=O)Cc1c[nH+]cn1C ZINC001106381041 745644359 /nfs/dbraw/zinc/64/43/59/745644359.db2.gz DUQBKIODUMZHGI-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C[C@H](C)C3CC3)C2)nn1 ZINC001098785883 745698349 /nfs/dbraw/zinc/69/83/49/745698349.db2.gz ZTFJJUPMOPFIPV-XJKSGUPXSA-N 1 2 315.421 1.211 20 30 DDEDLO Cc1nocc1C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007143216 752036036 /nfs/dbraw/zinc/03/60/36/752036036.db2.gz MJAWZMMMVNMYAF-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nocc1C[N@H+]1CCC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007143216 752036039 /nfs/dbraw/zinc/03/60/39/752036039.db2.gz MJAWZMMMVNMYAF-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)ncn1 ZINC001007149380 752038053 /nfs/dbraw/zinc/03/80/53/752038053.db2.gz FDRCLIUANXZCTF-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)ncn1 ZINC001007149380 752038058 /nfs/dbraw/zinc/03/80/58/752038058.db2.gz FDRCLIUANXZCTF-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CCCN1CC#N ZINC000993338554 746010772 /nfs/dbraw/zinc/01/07/72/746010772.db2.gz PNFXPMFYINNNJY-HIFRSBDPSA-N 1 2 315.421 1.255 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(F)c(Cl)c2)[C@@H](O)C1 ZINC001083738970 746069463 /nfs/dbraw/zinc/06/94/63/746069463.db2.gz IRMSEUJXLBZHHC-KGLIPLIRSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(F)c(Cl)c2)[C@@H](O)C1 ZINC001083738970 746069469 /nfs/dbraw/zinc/06/94/69/746069469.db2.gz IRMSEUJXLBZHHC-KGLIPLIRSA-N 1 2 310.756 1.277 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cc3n(n2)CCO3)[C@H]1C ZINC000993427656 746127669 /nfs/dbraw/zinc/12/76/69/746127669.db2.gz SKSRCTNDNTXDEU-VXGBXAGGSA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cc3n(n2)CCO3)[C@H]1C ZINC000993427656 746127670 /nfs/dbraw/zinc/12/76/70/746127670.db2.gz SKSRCTNDNTXDEU-VXGBXAGGSA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cc(=O)n(C)cn2)[C@@H]1C ZINC000993457872 746171687 /nfs/dbraw/zinc/17/16/87/746171687.db2.gz GILCPQXZFMGYKN-RYUDHWBXSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cc(=O)n(C)cn2)[C@@H]1C ZINC000993457872 746171689 /nfs/dbraw/zinc/17/16/89/746171689.db2.gz GILCPQXZFMGYKN-RYUDHWBXSA-N 1 2 324.812 1.116 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)[C@H]1C ZINC000993560971 746218751 /nfs/dbraw/zinc/21/87/51/746218751.db2.gz YGYNACKKEYLAOQ-HIFRSBDPSA-N 1 2 323.396 1.744 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)[C@H]1C ZINC000993560971 746218753 /nfs/dbraw/zinc/21/87/53/746218753.db2.gz YGYNACKKEYLAOQ-HIFRSBDPSA-N 1 2 323.396 1.744 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001194397436 746367733 /nfs/dbraw/zinc/36/77/33/746367733.db2.gz VMKGKQZQMOARGU-KGLIPLIRSA-N 1 2 321.421 1.861 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001194397436 746367737 /nfs/dbraw/zinc/36/77/37/746367737.db2.gz VMKGKQZQMOARGU-KGLIPLIRSA-N 1 2 321.421 1.861 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2cnon2)CCC[N@@H+]1CC#Cc1ccccc1 ZINC000994037345 746429771 /nfs/dbraw/zinc/42/97/71/746429771.db2.gz JCDIRDMGPRXLSW-GOEBONIOSA-N 1 2 324.384 1.704 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2cnon2)CCC[N@H+]1CC#Cc1ccccc1 ZINC000994037345 746429772 /nfs/dbraw/zinc/42/97/72/746429772.db2.gz JCDIRDMGPRXLSW-GOEBONIOSA-N 1 2 324.384 1.704 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCN(C(=O)CSCC#N)CC2)on1 ZINC001194912721 746485296 /nfs/dbraw/zinc/48/52/96/746485296.db2.gz IDJOCFLXQFUHJR-UHFFFAOYSA-N 1 2 308.407 1.274 20 30 DDEDLO Cc1cc(C[N@H+]2CCCN(C(=O)CSCC#N)CC2)on1 ZINC001194912721 746485297 /nfs/dbraw/zinc/48/52/97/746485297.db2.gz IDJOCFLXQFUHJR-UHFFFAOYSA-N 1 2 308.407 1.274 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@]2(c3ccccc3)C[C@H](F)C2)C1 ZINC001031325922 746575981 /nfs/dbraw/zinc/57/59/81/746575981.db2.gz HTKBIGVIZPZJBZ-RZDIXWSQSA-N 1 2 300.377 1.880 20 30 DDEDLO C#CCC[N@H+]1CCC[C@H](NC(=O)c2ccc3[nH]nnc3c2)[C@H]1C ZINC000994407556 746641374 /nfs/dbraw/zinc/64/13/74/746641374.db2.gz ZGHCZBKPCPZGBU-OCCSQVGLSA-N 1 2 311.389 1.564 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@H](NC(=O)c2ccc3[nH]nnc3c2)[C@H]1C ZINC000994407556 746641375 /nfs/dbraw/zinc/64/13/75/746641375.db2.gz ZGHCZBKPCPZGBU-OCCSQVGLSA-N 1 2 311.389 1.564 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC000994416940 746642907 /nfs/dbraw/zinc/64/29/07/746642907.db2.gz BAWXLRLEFJRXPL-PWSUYJOCSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC000994416940 746642912 /nfs/dbraw/zinc/64/29/12/746642912.db2.gz BAWXLRLEFJRXPL-PWSUYJOCSA-N 1 2 324.812 1.449 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)NCC(C)(C)C)CC1 ZINC001195675099 746668567 /nfs/dbraw/zinc/66/85/67/746668567.db2.gz SAGRXPYGRCYXJN-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)NCC(C)(C)C)CC1 ZINC001195675099 746668570 /nfs/dbraw/zinc/66/85/70/746668570.db2.gz SAGRXPYGRCYXJN-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cc(C)n(C)n2)CC1 ZINC001195652149 746673534 /nfs/dbraw/zinc/67/35/34/746673534.db2.gz JNXHCTMTAPFNBT-QGZVFWFLSA-N 1 2 320.437 1.090 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+](Cc2cc(C)n(C)n2)CC1 ZINC001195652149 746673536 /nfs/dbraw/zinc/67/35/36/746673536.db2.gz JNXHCTMTAPFNBT-QGZVFWFLSA-N 1 2 320.437 1.090 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195932127 746738965 /nfs/dbraw/zinc/73/89/65/746738965.db2.gz GWOZTRRXOVUYQC-FMKPAKJESA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195932127 746738967 /nfs/dbraw/zinc/73/89/67/746738967.db2.gz GWOZTRRXOVUYQC-FMKPAKJESA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[N@@H+](Cc2cnnn2C)CC1 ZINC001195990229 746761881 /nfs/dbraw/zinc/76/18/81/746761881.db2.gz ONWICAIYYGUDLP-UHFFFAOYSA-N 1 2 305.426 1.452 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[N@H+](Cc2cnnn2C)CC1 ZINC001195990229 746761884 /nfs/dbraw/zinc/76/18/84/746761884.db2.gz ONWICAIYYGUDLP-UHFFFAOYSA-N 1 2 305.426 1.452 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cccc3n[nH]nc32)C1 ZINC001007407299 752131097 /nfs/dbraw/zinc/13/10/97/752131097.db2.gz JRNHPJVPHRTTCD-LLVKDONJSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cccc3n[nH]nc32)C1 ZINC001007407299 752131104 /nfs/dbraw/zinc/13/11/04/752131104.db2.gz JRNHPJVPHRTTCD-LLVKDONJSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[N@H+](Cc2cnnn2CC)CC1 ZINC001196900709 747005201 /nfs/dbraw/zinc/00/52/01/747005201.db2.gz HQHOZFZRCRQACU-HNNXBMFYSA-N 1 2 319.453 1.935 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[N@@H+](Cc2cnnn2CC)CC1 ZINC001196900709 747005208 /nfs/dbraw/zinc/00/52/08/747005208.db2.gz HQHOZFZRCRQACU-HNNXBMFYSA-N 1 2 319.453 1.935 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@H](NCC#N)[C@@H](C)C3)ccn12 ZINC001036035812 752141448 /nfs/dbraw/zinc/14/14/48/752141448.db2.gz VQCGNPUDSKLZEV-WFASDCNBSA-N 1 2 311.389 1.607 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001196984631 747024771 /nfs/dbraw/zinc/02/47/71/747024771.db2.gz JBOVBEBLYZXJAB-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001196984631 747024777 /nfs/dbraw/zinc/02/47/77/747024777.db2.gz JBOVBEBLYZXJAB-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(C3CC3)no2)CC1 ZINC001197300923 747124613 /nfs/dbraw/zinc/12/46/13/747124613.db2.gz WQHPZMUMUBIUTG-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(C3CC3)no2)CC1 ZINC001197300923 747124630 /nfs/dbraw/zinc/12/46/30/747124630.db2.gz WQHPZMUMUBIUTG-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+]Cc1nc(C)c(C)o1 ZINC001127604831 747728378 /nfs/dbraw/zinc/72/83/78/747728378.db2.gz OSLNQIUQLURGQL-KGLIPLIRSA-N 1 2 307.394 1.478 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(OCCOC)ccn2)CC1 ZINC001199214968 747746045 /nfs/dbraw/zinc/74/60/45/747746045.db2.gz RMDPSXDFCFICIK-UHFFFAOYSA-N 1 2 305.378 1.051 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)Oc2ccccc2F)[C@H](OC)C1 ZINC001212319081 747844477 /nfs/dbraw/zinc/84/44/77/747844477.db2.gz YIEQFWMXSYTQLN-XNRPHZJLSA-N 1 2 320.364 1.042 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)Oc2ccccc2F)[C@H](OC)C1 ZINC001212319081 747844482 /nfs/dbraw/zinc/84/44/82/747844482.db2.gz YIEQFWMXSYTQLN-XNRPHZJLSA-N 1 2 320.364 1.042 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)C)C2 ZINC001110533913 747869699 /nfs/dbraw/zinc/86/96/99/747869699.db2.gz MMYADQCNBVTRGM-JJXSEGSLSA-N 1 2 319.449 1.282 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)C)C2 ZINC001110533913 747869704 /nfs/dbraw/zinc/86/97/04/747869704.db2.gz MMYADQCNBVTRGM-JJXSEGSLSA-N 1 2 319.449 1.282 20 30 DDEDLO C=CCSc1ncccc1C(=O)N(C(N)=[NH2+])c1cc(C)[nH]n1 ZINC001199745518 747976927 /nfs/dbraw/zinc/97/69/27/747976927.db2.gz CKKRNIZITURTPC-UHFFFAOYSA-N 1 2 316.390 1.931 20 30 DDEDLO C[C@@H]1CO[C@@H](C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)C1 ZINC001031858755 748039478 /nfs/dbraw/zinc/03/94/78/748039478.db2.gz CNYZYMYCTFMZCH-SUMWQHHRSA-N 1 2 313.401 1.531 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077572438 748158024 /nfs/dbraw/zinc/15/80/24/748158024.db2.gz VFLTWVNAWPRWTI-AWEZNQCLSA-N 1 2 304.394 1.088 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1C[NH+](Cc2cncs2)C1 ZINC001031988424 748365623 /nfs/dbraw/zinc/36/56/23/748365623.db2.gz WSRLSWCAPYODGC-KGLIPLIRSA-N 1 2 307.419 1.282 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC001004393094 748390987 /nfs/dbraw/zinc/39/09/87/748390987.db2.gz NKKYQESWJBYYAP-INIZCTEOSA-N 1 2 311.389 1.727 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC001004393094 748390992 /nfs/dbraw/zinc/39/09/92/748390992.db2.gz NKKYQESWJBYYAP-INIZCTEOSA-N 1 2 311.389 1.727 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[NH2+]Cc1nnc(Cc2ccccc2)o1 ZINC001124903478 748631024 /nfs/dbraw/zinc/63/10/24/748631024.db2.gz QQMXUDADXGISPO-LBPRGKRZSA-N 1 2 313.361 1.026 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[NH2+]Cc1nc(Cc2ccccc2)no1 ZINC001124908936 748640029 /nfs/dbraw/zinc/64/00/29/748640029.db2.gz WACXNUUJFZJNBM-LBPRGKRZSA-N 1 2 313.361 1.026 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+][C@@H](C)c1nc(CC)no1)OCC ZINC001128540384 748762310 /nfs/dbraw/zinc/76/23/10/748762310.db2.gz VCDDAKIHGBMWDW-STQMWFEESA-N 1 2 324.425 1.770 20 30 DDEDLO CCc1ccc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC#CCOC)o1 ZINC001110725387 748945984 /nfs/dbraw/zinc/94/59/84/748945984.db2.gz XRLGEWVBPCQCNG-BMFZPTHFSA-N 1 2 316.401 1.827 20 30 DDEDLO CCc1ccc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC#CCOC)o1 ZINC001110725387 748945989 /nfs/dbraw/zinc/94/59/89/748945989.db2.gz XRLGEWVBPCQCNG-BMFZPTHFSA-N 1 2 316.401 1.827 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001108099973 749116461 /nfs/dbraw/zinc/11/64/61/749116461.db2.gz COBBFUUCCKONDS-YPMHNXCESA-N 1 2 324.388 1.713 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)Cn2cccn2)c1 ZINC001032279092 749169111 /nfs/dbraw/zinc/16/91/11/749169111.db2.gz ZWJHWOBCVKMQCP-IRXDYDNUSA-N 1 2 321.384 1.240 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)Cn2cccn2)c1 ZINC001032279092 749169115 /nfs/dbraw/zinc/16/91/15/749169115.db2.gz ZWJHWOBCVKMQCP-IRXDYDNUSA-N 1 2 321.384 1.240 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cn(C)nc2Cl)C1 ZINC001108336599 761930036 /nfs/dbraw/zinc/93/00/36/761930036.db2.gz HNIAEMJAKAEKLN-CQSZACIVSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cn(C)nc2Cl)C1 ZINC001108336599 761930038 /nfs/dbraw/zinc/93/00/38/761930038.db2.gz HNIAEMJAKAEKLN-CQSZACIVSA-N 1 2 312.801 1.080 20 30 DDEDLO Cc1nc(N2C[C@@H](NC(=O)C#CC(C)C)C(C)(C)C2)cc[nH+]1 ZINC001114453616 749235570 /nfs/dbraw/zinc/23/55/70/749235570.db2.gz YWSWCPWDLUJMLG-CQSZACIVSA-N 1 2 300.406 1.775 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@H](C)CCC)nn2)C1 ZINC001107144322 749395059 /nfs/dbraw/zinc/39/50/59/749395059.db2.gz LXWNJEWABRBDDM-CYBMUJFWSA-N 1 2 305.426 1.763 20 30 DDEDLO O=C(C[C@H]1CCCO1)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#Cc1ccccc1 ZINC001032294799 749430507 /nfs/dbraw/zinc/43/05/07/749430507.db2.gz RFLLNZSEWLFKRX-GBESFXJTSA-N 1 2 324.424 1.892 20 30 DDEDLO O=C(C[C@H]1CCCO1)N1C[C@@H]2C[C@H]1C[N@H+]2CC#Cc1ccccc1 ZINC001032294799 749430511 /nfs/dbraw/zinc/43/05/11/749430511.db2.gz RFLLNZSEWLFKRX-GBESFXJTSA-N 1 2 324.424 1.892 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc(C)nc(C)n2)C1 ZINC001033498102 749441779 /nfs/dbraw/zinc/44/17/79/749441779.db2.gz HGWGGLNDUSRGOV-CYBMUJFWSA-N 1 2 308.813 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(C)nc(C)n2)C1 ZINC001033498102 749441782 /nfs/dbraw/zinc/44/17/82/749441782.db2.gz HGWGGLNDUSRGOV-CYBMUJFWSA-N 1 2 308.813 1.992 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(C(C)C)CC3)nn2)C1 ZINC001107207770 749609612 /nfs/dbraw/zinc/60/96/12/749609612.db2.gz DRWMZWLIIXSNGV-UHFFFAOYSA-N 1 2 303.410 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnn(C)c2OC)C1 ZINC001033629316 749631560 /nfs/dbraw/zinc/63/15/60/749631560.db2.gz XSGZLZBHZQFDMK-NSHDSACASA-N 1 2 312.801 1.328 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnn(C)c2OC)C1 ZINC001033629316 749631564 /nfs/dbraw/zinc/63/15/64/749631564.db2.gz XSGZLZBHZQFDMK-NSHDSACASA-N 1 2 312.801 1.328 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CCCCCC)nn2)C1 ZINC001107234253 749664292 /nfs/dbraw/zinc/66/42/92/749664292.db2.gz YNZYZXVKXUHQSP-UHFFFAOYSA-N 1 2 305.426 1.907 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001033662650 749698988 /nfs/dbraw/zinc/69/89/88/749698988.db2.gz YRUFRIIEFGDIKZ-LLVKDONJSA-N 1 2 310.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001033662650 749698992 /nfs/dbraw/zinc/69/89/92/749698992.db2.gz YRUFRIIEFGDIKZ-LLVKDONJSA-N 1 2 310.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001033681860 749710208 /nfs/dbraw/zinc/71/02/08/749710208.db2.gz XYFRITMHFOEOEI-OLZOCXBDSA-N 1 2 323.828 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001033681860 749710212 /nfs/dbraw/zinc/71/02/12/749710212.db2.gz XYFRITMHFOEOEI-OLZOCXBDSA-N 1 2 323.828 1.126 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H]2CCOC2)c1 ZINC001032307856 749773171 /nfs/dbraw/zinc/77/31/71/749773171.db2.gz WZBLKDFYXIIGGU-ULQDDVLXSA-N 1 2 311.385 1.380 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H]2CCOC2)c1 ZINC001032307856 749773175 /nfs/dbraw/zinc/77/31/75/749773175.db2.gz WZBLKDFYXIIGGU-ULQDDVLXSA-N 1 2 311.385 1.380 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@]1(C)C[N@H+](CC#CC)CCO1 ZINC001108367825 761987489 /nfs/dbraw/zinc/98/74/89/761987489.db2.gz QWZUTQZPYOAOCU-FQEVSTJZSA-N 1 2 324.424 1.441 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001108367825 761987496 /nfs/dbraw/zinc/98/74/96/761987496.db2.gz QWZUTQZPYOAOCU-FQEVSTJZSA-N 1 2 324.424 1.441 20 30 DDEDLO C#CCC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCc3cn[nH]c31)C2 ZINC001095417391 749878449 /nfs/dbraw/zinc/87/84/49/749878449.db2.gz PQEIUVMNDNPOTB-UGUYLWEFSA-N 1 2 312.417 1.574 20 30 DDEDLO C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCc3cn[nH]c31)C2 ZINC001095417391 749878454 /nfs/dbraw/zinc/87/84/54/749878454.db2.gz PQEIUVMNDNPOTB-UGUYLWEFSA-N 1 2 312.417 1.574 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cnc[nH]c2=O)C1 ZINC001033872996 750032635 /nfs/dbraw/zinc/03/26/35/750032635.db2.gz VBXSZEBGENHDLE-NSHDSACASA-N 1 2 310.785 1.471 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cnc[nH]c2=O)C1 ZINC001033872996 750032641 /nfs/dbraw/zinc/03/26/41/750032641.db2.gz VBXSZEBGENHDLE-NSHDSACASA-N 1 2 310.785 1.471 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cnn3ccccc23)C1 ZINC001014767062 750041308 /nfs/dbraw/zinc/04/13/08/750041308.db2.gz LCBFRZBNFLDURP-AWEZNQCLSA-N 1 2 318.808 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cnn3ccccc23)C1 ZINC001014767062 750041314 /nfs/dbraw/zinc/04/13/14/750041314.db2.gz LCBFRZBNFLDURP-AWEZNQCLSA-N 1 2 318.808 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2oc(C)nc2C)[C@@H](O)C1 ZINC001090161911 750139190 /nfs/dbraw/zinc/13/91/90/750139190.db2.gz HUAWALFRYVAOSE-RYUDHWBXSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2oc(C)nc2C)[C@@H](O)C1 ZINC001090161911 750139193 /nfs/dbraw/zinc/13/91/93/750139193.db2.gz HUAWALFRYVAOSE-RYUDHWBXSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cncc(C)c2)[C@@H](O)C1 ZINC001090164495 750146951 /nfs/dbraw/zinc/14/69/51/750146951.db2.gz VKWUVKCLMGEGMA-KGLIPLIRSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cncc(C)c2)[C@@H](O)C1 ZINC001090164495 750146955 /nfs/dbraw/zinc/14/69/55/750146955.db2.gz VKWUVKCLMGEGMA-KGLIPLIRSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001033940925 750187002 /nfs/dbraw/zinc/18/70/02/750187002.db2.gz LOQVKZJDKLAZRY-LLVKDONJSA-N 1 2 312.801 1.415 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001033940925 750187005 /nfs/dbraw/zinc/18/70/05/750187005.db2.gz LOQVKZJDKLAZRY-LLVKDONJSA-N 1 2 312.801 1.415 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cccc(=O)n2C)C1 ZINC001033945985 750193581 /nfs/dbraw/zinc/19/35/81/750193581.db2.gz AQSJKEPBTWYGSQ-CYBMUJFWSA-N 1 2 323.824 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cccc(=O)n2C)C1 ZINC001033945985 750193585 /nfs/dbraw/zinc/19/35/85/750193585.db2.gz AQSJKEPBTWYGSQ-CYBMUJFWSA-N 1 2 323.824 1.674 20 30 DDEDLO C=CCOCC(=O)NC[C@@]1(C)CCCN(c2cc[nH+]c(C)n2)C1 ZINC001110925921 750230512 /nfs/dbraw/zinc/23/05/12/750230512.db2.gz MYKZQFLTJMICQH-QGZVFWFLSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cnn3cc[nH]c23)C1 ZINC001034024498 750292874 /nfs/dbraw/zinc/29/28/74/750292874.db2.gz DIYCEEDQULSCDD-LBPRGKRZSA-N 1 2 321.812 1.951 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cnn3cc[nH]c23)C1 ZINC001034024498 750292881 /nfs/dbraw/zinc/29/28/81/750292881.db2.gz DIYCEEDQULSCDD-LBPRGKRZSA-N 1 2 321.812 1.951 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)COc2cccc(C)c2)C1 ZINC001108393017 762032468 /nfs/dbraw/zinc/03/24/68/762032468.db2.gz GIXAWIINCGVYOC-GOSISDBHSA-N 1 2 318.417 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)COc2cccc(C)c2)C1 ZINC001108393017 762032476 /nfs/dbraw/zinc/03/24/76/762032476.db2.gz GIXAWIINCGVYOC-GOSISDBHSA-N 1 2 318.417 1.767 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(C2CCCCC2)n1 ZINC001032403110 750589199 /nfs/dbraw/zinc/58/91/99/750589199.db2.gz RXURIBRLTQWRPX-HOTGVXAUSA-N 1 2 312.417 1.920 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(C2CCCCC2)n1 ZINC001032403110 750589203 /nfs/dbraw/zinc/58/92/03/750589203.db2.gz RXURIBRLTQWRPX-HOTGVXAUSA-N 1 2 312.417 1.920 20 30 DDEDLO C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001032404680 750596035 /nfs/dbraw/zinc/59/60/35/750596035.db2.gz SQKJDMZNTITKHR-MELADBBJSA-N 1 2 300.406 1.691 20 30 DDEDLO C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001032404680 750596038 /nfs/dbraw/zinc/59/60/38/750596038.db2.gz SQKJDMZNTITKHR-MELADBBJSA-N 1 2 300.406 1.691 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCCN(CC)c1cc[nH+]c(C)n1 ZINC001095864993 750611265 /nfs/dbraw/zinc/61/12/65/750611265.db2.gz KUYKRVBLAMESAC-MRXNPFEDSA-N 1 2 306.410 1.445 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncn2cc(Cl)ccc12 ZINC001032411915 750648056 /nfs/dbraw/zinc/64/80/56/750648056.db2.gz BGLBOXOHAXNUJC-STQMWFEESA-N 1 2 314.776 1.520 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncn2cc(Cl)ccc12 ZINC001032411915 750648058 /nfs/dbraw/zinc/64/80/58/750648058.db2.gz BGLBOXOHAXNUJC-STQMWFEESA-N 1 2 314.776 1.520 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNC(=O)CCc1[nH+]ccn1C ZINC001077792011 750665427 /nfs/dbraw/zinc/66/54/27/750665427.db2.gz KSGHMFLJZFZNAA-AWEZNQCLSA-N 1 2 320.437 1.720 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2CC(C)(C)C2)[C@H](O)C1 ZINC001090242700 750711213 /nfs/dbraw/zinc/71/12/13/750711213.db2.gz ALUHZWYJQZMSND-CHWSQXEVSA-N 1 2 300.830 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2CC(C)(C)C2)[C@H](O)C1 ZINC001090242700 750711215 /nfs/dbraw/zinc/71/12/15/750711215.db2.gz ALUHZWYJQZMSND-CHWSQXEVSA-N 1 2 300.830 1.727 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccsc2C)[C@@H](O)C1 ZINC001090249313 750760274 /nfs/dbraw/zinc/76/02/74/750760274.db2.gz NMKRBONSMTWNDY-OLZOCXBDSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccsc2C)[C@@H](O)C1 ZINC001090249313 750760277 /nfs/dbraw/zinc/76/02/77/750760277.db2.gz NMKRBONSMTWNDY-OLZOCXBDSA-N 1 2 314.838 1.974 20 30 DDEDLO N#CCN[C@H]1CC[C@H](NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001034731651 750786955 /nfs/dbraw/zinc/78/69/55/750786955.db2.gz SPVBHNIDMITQTC-JHJVBQTASA-N 1 2 301.394 1.370 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)CCCC)CC2 ZINC001127943744 750805805 /nfs/dbraw/zinc/80/58/05/750805805.db2.gz GLCNYCYQKXMLSB-AWEZNQCLSA-N 1 2 317.437 1.402 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@]1(C)C[N@H+](CC#CC)CCO1 ZINC001107952583 750840281 /nfs/dbraw/zinc/84/02/81/750840281.db2.gz HSZAIIFHDBEWOR-RYRKJORJSA-N 1 2 320.433 1.198 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001107952583 750840286 /nfs/dbraw/zinc/84/02/86/750840286.db2.gz HSZAIIFHDBEWOR-RYRKJORJSA-N 1 2 320.433 1.198 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001114668174 750960970 /nfs/dbraw/zinc/96/09/70/750960970.db2.gz APPCSVUVHLNHPO-NHAGDIPZSA-N 1 2 302.422 1.569 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001114668174 750960972 /nfs/dbraw/zinc/96/09/72/750960972.db2.gz APPCSVUVHLNHPO-NHAGDIPZSA-N 1 2 302.422 1.569 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114686807 750988935 /nfs/dbraw/zinc/98/89/35/750988935.db2.gz RYJIVKXSHOTTQY-NDBYEHHHSA-N 1 2 303.410 1.135 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114686807 750988938 /nfs/dbraw/zinc/98/89/38/750988938.db2.gz RYJIVKXSHOTTQY-NDBYEHHHSA-N 1 2 303.410 1.135 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001114686999 750989098 /nfs/dbraw/zinc/98/90/98/750989098.db2.gz VVTIKROERUBXPB-IGQOVBAYSA-N 1 2 300.406 1.796 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001114686999 750989103 /nfs/dbraw/zinc/98/91/03/750989103.db2.gz VVTIKROERUBXPB-IGQOVBAYSA-N 1 2 300.406 1.796 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccccc1OCC ZINC001032502606 751105348 /nfs/dbraw/zinc/10/53/48/751105348.db2.gz KZCZCVJGPMUBFX-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccccc1OCC ZINC001032502606 751105351 /nfs/dbraw/zinc/10/53/51/751105351.db2.gz KZCZCVJGPMUBFX-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Oc1ccc(OC)cc1 ZINC001032550284 751275483 /nfs/dbraw/zinc/27/54/83/751275483.db2.gz KWGBNMLBOCZSCN-ILXRZTDVSA-N 1 2 314.385 1.381 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Oc1ccc(OC)cc1 ZINC001032550284 751275485 /nfs/dbraw/zinc/27/54/85/751275485.db2.gz KWGBNMLBOCZSCN-ILXRZTDVSA-N 1 2 314.385 1.381 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC[C@@H]([NH2+]Cc3csnn3)C2)c[nH]1 ZINC000998967310 752394188 /nfs/dbraw/zinc/39/41/88/752394188.db2.gz AIOAVQYNLXFNTL-LLVKDONJSA-N 1 2 316.390 1.132 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001008249851 752635725 /nfs/dbraw/zinc/63/57/25/752635725.db2.gz FTDRMKCOHPBEMP-SWLSCSKDSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001008249851 752635727 /nfs/dbraw/zinc/63/57/27/752635727.db2.gz FTDRMKCOHPBEMP-SWLSCSKDSA-N 1 2 313.829 1.236 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1-c1cccs1 ZINC001032688459 752728498 /nfs/dbraw/zinc/72/84/98/752728498.db2.gz DFDAZIANGCFIJK-RYUDHWBXSA-N 1 2 313.382 1.935 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1-c1cccs1 ZINC001032688459 752728504 /nfs/dbraw/zinc/72/85/04/752728504.db2.gz DFDAZIANGCFIJK-RYUDHWBXSA-N 1 2 313.382 1.935 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001009543182 753240889 /nfs/dbraw/zinc/24/08/89/753240889.db2.gz ZRBWHQAJEPQZSZ-NSHDSACASA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001009543182 753240894 /nfs/dbraw/zinc/24/08/94/753240894.db2.gz ZRBWHQAJEPQZSZ-NSHDSACASA-N 1 2 310.785 1.060 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)CN1c1ccc(C#N)cn1 ZINC001039757541 762203813 /nfs/dbraw/zinc/20/38/13/762203813.db2.gz NPECBTNSBCYOJV-HIFRSBDPSA-N 1 2 324.388 1.323 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccncc2C)[C@H](O)C1 ZINC001090266850 753319141 /nfs/dbraw/zinc/31/91/41/753319141.db2.gz XURKBUZEVHZWEL-LSDHHAIUSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccncc2C)[C@H](O)C1 ZINC001090266850 753319144 /nfs/dbraw/zinc/31/91/44/753319144.db2.gz XURKBUZEVHZWEL-LSDHHAIUSA-N 1 2 323.824 1.236 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[NH2+]Cc1nc(C2CC2)no1 ZINC001128085510 753344386 /nfs/dbraw/zinc/34/43/86/753344386.db2.gz UZKBHUSCPUJHAU-UHFFFAOYSA-N 1 2 304.394 1.921 20 30 DDEDLO CC#CCCCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001077901505 753374379 /nfs/dbraw/zinc/37/43/79/753374379.db2.gz RJCFTNULXCCYQG-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO CC#CCCCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001077901505 753374386 /nfs/dbraw/zinc/37/43/86/753374386.db2.gz RJCFTNULXCCYQG-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)cc1OC ZINC001032751769 753465539 /nfs/dbraw/zinc/46/55/39/753465539.db2.gz KHLCXLSZFOEMQW-KBPBESRZSA-N 1 2 314.385 1.626 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)cc1OC ZINC001032751769 753465541 /nfs/dbraw/zinc/46/55/41/753465541.db2.gz KHLCXLSZFOEMQW-KBPBESRZSA-N 1 2 314.385 1.626 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cl)ccc1OC ZINC001032766958 753570327 /nfs/dbraw/zinc/57/03/27/753570327.db2.gz YIRAJDKZSZGGHD-STQMWFEESA-N 1 2 304.777 1.881 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cl)ccc1OC ZINC001032766958 753570329 /nfs/dbraw/zinc/57/03/29/753570329.db2.gz YIRAJDKZSZGGHD-STQMWFEESA-N 1 2 304.777 1.881 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H]1CCN(C)C1=O ZINC001039820650 762230506 /nfs/dbraw/zinc/23/05/06/762230506.db2.gz KLRNLJHESXACGA-ZNMIVQPWSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H]1CCN(C)C1=O ZINC001039820650 762230510 /nfs/dbraw/zinc/23/05/10/762230510.db2.gz KLRNLJHESXACGA-ZNMIVQPWSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001078037279 753669510 /nfs/dbraw/zinc/66/95/10/753669510.db2.gz OIFAAEKWAAYSLG-QWHCGFSZSA-N 1 2 306.410 1.566 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2csc3c2CC[C@@H](C)C3)C1 ZINC001078121891 753767795 /nfs/dbraw/zinc/76/77/95/753767795.db2.gz AUDITRBKDNXZHN-KCPJHIHWSA-N 1 2 318.442 1.281 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2csc3c2CC[C@@H](C)C3)C1 ZINC001078121891 753767801 /nfs/dbraw/zinc/76/78/01/753767801.db2.gz AUDITRBKDNXZHN-KCPJHIHWSA-N 1 2 318.442 1.281 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001062960704 753870781 /nfs/dbraw/zinc/87/07/81/753870781.db2.gz YEYYJBJQFPBSQT-OAHLLOKOSA-N 1 2 324.388 1.265 20 30 DDEDLO N#Cc1cnccc1N1CCCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001063203981 754041134 /nfs/dbraw/zinc/04/11/34/754041134.db2.gz WNLZFDZSVFUFKE-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccns3)C2)c[nH]1 ZINC001010698504 754107456 /nfs/dbraw/zinc/10/74/56/754107456.db2.gz OXKSWSVHIIJICW-LLVKDONJSA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccns3)C2)c[nH]1 ZINC001010698504 754107458 /nfs/dbraw/zinc/10/74/58/754107458.db2.gz OXKSWSVHIIJICW-LLVKDONJSA-N 1 2 301.375 1.347 20 30 DDEDLO CCn1nccc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010741252 754137013 /nfs/dbraw/zinc/13/70/13/754137013.db2.gz OHSFHCXWSJDSAK-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1nccc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010741252 754137016 /nfs/dbraw/zinc/13/70/16/754137016.db2.gz OHSFHCXWSJDSAK-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO Cc1nsc(NC[C@@H](C)NC(=O)CCn2cc[nH+]c2)c1C#N ZINC001108035998 754217709 /nfs/dbraw/zinc/21/77/09/754217709.db2.gz SPOKSZZDXXGPTI-SNVBAGLBSA-N 1 2 318.406 1.527 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)cc(Cl)c2)[C@@H](O)C1 ZINC001083803471 754439256 /nfs/dbraw/zinc/43/92/56/754439256.db2.gz WCCYNWNGLVRSGI-KGLIPLIRSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)cc(Cl)c2)[C@@H](O)C1 ZINC001083803471 754439258 /nfs/dbraw/zinc/43/92/58/754439258.db2.gz WCCYNWNGLVRSGI-KGLIPLIRSA-N 1 2 310.756 1.277 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064209234 754564032 /nfs/dbraw/zinc/56/40/32/754564032.db2.gz SNUXIGZERWWIAR-UHFFFAOYSA-N 1 2 304.394 1.464 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064397220 754663610 /nfs/dbraw/zinc/66/36/10/754663610.db2.gz KHILPVORYKMROZ-MRXNPFEDSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@H]2C)C1 ZINC001012010950 754810724 /nfs/dbraw/zinc/81/07/24/754810724.db2.gz DUKGNIZMQKURRC-QWHCGFSZSA-N 1 2 316.405 1.414 20 30 DDEDLO CCC(=O)N1CC[NH+]([C@@H]2CCN(c3ncccc3C#N)C2)CC1 ZINC001065184245 755114340 /nfs/dbraw/zinc/11/43/40/755114340.db2.gz XSQGXEVXGFUWFQ-OAHLLOKOSA-N 1 2 313.405 1.086 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001079791938 755576090 /nfs/dbraw/zinc/57/60/90/755576090.db2.gz UVZXBKHNAZJCGP-CQSZACIVSA-N 1 2 318.421 1.860 20 30 DDEDLO C=C(C)CCC(=O)N(CC)C1CN(C(=O)CCn2cc[nH+]c2)C1 ZINC001079880207 755619155 /nfs/dbraw/zinc/61/91/55/755619155.db2.gz ZIDYHDRZRFPWAV-UHFFFAOYSA-N 1 2 318.421 1.689 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001080027506 755688649 /nfs/dbraw/zinc/68/86/49/755688649.db2.gz MMHUXHKUBVNTRX-CXAGYDPISA-N 1 2 323.396 1.602 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001080027506 755688653 /nfs/dbraw/zinc/68/86/53/755688653.db2.gz MMHUXHKUBVNTRX-CXAGYDPISA-N 1 2 323.396 1.602 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cn3cccnc3n2)C1 ZINC001080037196 755704283 /nfs/dbraw/zinc/70/42/83/755704283.db2.gz GYJCDKUADWHFPS-ZYHUDNBSSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cn3cccnc3n2)C1 ZINC001080037196 755704285 /nfs/dbraw/zinc/70/42/85/755704285.db2.gz GYJCDKUADWHFPS-ZYHUDNBSSA-N 1 2 319.796 1.532 20 30 DDEDLO C=CCCCC(=O)N(CC)C1CN(C(=O)CCn2cc[nH+]c2)C1 ZINC001080387440 755874292 /nfs/dbraw/zinc/87/42/92/755874292.db2.gz BQZOBQRUTOOWCV-UHFFFAOYSA-N 1 2 318.421 1.689 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2sc3nccn3c2C)C1 ZINC001014761635 755926113 /nfs/dbraw/zinc/92/61/13/755926113.db2.gz SOYDMUQQPFCNON-GFCCVEGCSA-N 1 2 302.403 1.532 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2sc3nccn3c2C)C1 ZINC001014761635 755926116 /nfs/dbraw/zinc/92/61/16/755926116.db2.gz SOYDMUQQPFCNON-GFCCVEGCSA-N 1 2 302.403 1.532 20 30 DDEDLO COc1cc2nc(C)nc(N3CC[NH2+]C[C@@H]3C#N)c2cc1OC ZINC001156319927 762430578 /nfs/dbraw/zinc/43/05/78/762430578.db2.gz JWOAYKSXONUSAX-NSHDSACASA-N 1 2 313.361 1.257 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1NC(=O)C1CC1 ZINC001081257528 756254379 /nfs/dbraw/zinc/25/43/79/756254379.db2.gz NQULKUKYBUKXPM-IAGOWNOFSA-N 1 2 316.376 1.403 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1NC(=O)C1CC1 ZINC001081257528 756254381 /nfs/dbraw/zinc/25/43/81/756254381.db2.gz NQULKUKYBUKXPM-IAGOWNOFSA-N 1 2 316.376 1.403 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)C(C)(F)F ZINC001081272367 756267008 /nfs/dbraw/zinc/26/70/08/756267008.db2.gz YMVCGGLYVAIKHH-ZIAGYGMSSA-N 1 2 323.343 1.529 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)C(C)(F)F ZINC001081272367 756267012 /nfs/dbraw/zinc/26/70/12/756267012.db2.gz YMVCGGLYVAIKHH-ZIAGYGMSSA-N 1 2 323.343 1.529 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC001015447244 756308699 /nfs/dbraw/zinc/30/86/99/756308699.db2.gz PDQDTBXKCDAZND-NSHDSACASA-N 1 2 302.403 1.381 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC001015447244 756308706 /nfs/dbraw/zinc/30/87/06/756308706.db2.gz PDQDTBXKCDAZND-NSHDSACASA-N 1 2 302.403 1.381 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn3cccnc23)C1 ZINC001015481442 756332635 /nfs/dbraw/zinc/33/26/35/756332635.db2.gz GINXGAVHMWUROF-NSHDSACASA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn3cccnc23)C1 ZINC001015481442 756332642 /nfs/dbraw/zinc/33/26/42/756332642.db2.gz GINXGAVHMWUROF-NSHDSACASA-N 1 2 305.769 1.286 20 30 DDEDLO Cc1ncc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)n1C ZINC001015616194 756415754 /nfs/dbraw/zinc/41/57/54/756415754.db2.gz QNIWHNXLTSEPJL-KRWDZBQOSA-N 1 2 322.412 1.584 20 30 DDEDLO Cc1ncc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n1C ZINC001015616194 756415756 /nfs/dbraw/zinc/41/57/56/756415756.db2.gz QNIWHNXLTSEPJL-KRWDZBQOSA-N 1 2 322.412 1.584 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001015720459 756493358 /nfs/dbraw/zinc/49/33/58/756493358.db2.gz BGFZPIGPUVKADZ-OAGGEKHMSA-N 1 2 319.380 1.502 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@H+](Cc2cncc(F)c2)C1 ZINC001015720459 756493359 /nfs/dbraw/zinc/49/33/59/756493359.db2.gz BGFZPIGPUVKADZ-OAGGEKHMSA-N 1 2 319.380 1.502 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)[C@H](OC)C1 ZINC001081872119 756500384 /nfs/dbraw/zinc/50/03/84/756500384.db2.gz RZAWPHGENYCUHE-ZIAGYGMSSA-N 1 2 324.783 1.850 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)[C@H](OC)C1 ZINC001081872119 756500388 /nfs/dbraw/zinc/50/03/88/756500388.db2.gz RZAWPHGENYCUHE-ZIAGYGMSSA-N 1 2 324.783 1.850 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ocnc2C(F)(F)F)[C@H](OC)C1 ZINC001081982733 756572836 /nfs/dbraw/zinc/57/28/36/756572836.db2.gz VDJPLJPGZQDJJY-RKDXNWHRSA-N 1 2 319.283 1.308 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ocnc2C(F)(F)F)[C@H](OC)C1 ZINC001081982733 756572838 /nfs/dbraw/zinc/57/28/38/756572838.db2.gz VDJPLJPGZQDJJY-RKDXNWHRSA-N 1 2 319.283 1.308 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@H](NC(=O)c3cnon3)C2)ccc1F ZINC001015875755 756609488 /nfs/dbraw/zinc/60/94/88/756609488.db2.gz BVASGBKPDZMAEM-LBPRGKRZSA-N 1 2 315.308 1.085 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3cnon3)C2)ccc1F ZINC001015875755 756609490 /nfs/dbraw/zinc/60/94/90/756609490.db2.gz BVASGBKPDZMAEM-LBPRGKRZSA-N 1 2 315.308 1.085 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)ccc(F)c2F)[C@H](OC)C1 ZINC001082080554 756631600 /nfs/dbraw/zinc/63/16/00/756631600.db2.gz UYWPAVLHDQKBJE-VXGBXAGGSA-N 1 2 312.291 1.166 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(F)ccc(F)c2F)[C@H](OC)C1 ZINC001082080554 756631605 /nfs/dbraw/zinc/63/16/05/756631605.db2.gz UYWPAVLHDQKBJE-VXGBXAGGSA-N 1 2 312.291 1.166 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001015988075 756699969 /nfs/dbraw/zinc/69/99/69/756699969.db2.gz RRQJKNHHRGRHEW-WCQYABFASA-N 1 2 308.813 1.458 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001015988075 756699971 /nfs/dbraw/zinc/69/99/71/756699971.db2.gz RRQJKNHHRGRHEW-WCQYABFASA-N 1 2 308.813 1.458 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001016230216 756847906 /nfs/dbraw/zinc/84/79/06/756847906.db2.gz SCKCDROYVSKLAD-LLVKDONJSA-N 1 2 305.769 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001016230216 756847912 /nfs/dbraw/zinc/84/79/12/756847912.db2.gz SCKCDROYVSKLAD-LLVKDONJSA-N 1 2 305.769 1.515 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3nc4c(s3)CCC4)[C@H]2C1 ZINC001083143613 757157087 /nfs/dbraw/zinc/15/70/87/757157087.db2.gz RLYHBJRTNNZMBZ-QWHCGFSZSA-N 1 2 319.430 1.343 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3nc4c(s3)CCC4)[C@H]2C1 ZINC001083143613 757157091 /nfs/dbraw/zinc/15/70/91/757157091.db2.gz RLYHBJRTNNZMBZ-QWHCGFSZSA-N 1 2 319.430 1.343 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C4CC4)on3)[C@H]2C1 ZINC001083155722 757159548 /nfs/dbraw/zinc/15/95/48/757159548.db2.gz JQJLOIYABCUUHE-DZGCQCFKSA-N 1 2 303.362 1.263 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C4CC4)on3)[C@H]2C1 ZINC001083155722 757159553 /nfs/dbraw/zinc/15/95/53/757159553.db2.gz JQJLOIYABCUUHE-DZGCQCFKSA-N 1 2 303.362 1.263 20 30 DDEDLO CCc1nc(N2CCCC[C@@H]2CNC(=O)[C@@H](C)C#N)cc(C)[nH+]1 ZINC001097417294 757163153 /nfs/dbraw/zinc/16/31/53/757163153.db2.gz KHLOEHVLPNLPGG-GXTWGEPZSA-N 1 2 315.421 1.982 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(COC)cs1)C2 ZINC001097451048 757175178 /nfs/dbraw/zinc/17/51/78/757175178.db2.gz FANZDVYMXCHHBT-MCIONIFRSA-N 1 2 304.415 1.863 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(COC)cs1)C2 ZINC001097451048 757175180 /nfs/dbraw/zinc/17/51/80/757175180.db2.gz FANZDVYMXCHHBT-MCIONIFRSA-N 1 2 304.415 1.863 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(F)c3F)[C@H]2C1 ZINC001083177849 757182301 /nfs/dbraw/zinc/18/23/01/757182301.db2.gz QGTOFJCDXLXVTM-LSDHHAIUSA-N 1 2 320.339 1.513 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(F)c3F)[C@H]2C1 ZINC001083177849 757182305 /nfs/dbraw/zinc/18/23/05/757182305.db2.gz QGTOFJCDXLXVTM-LSDHHAIUSA-N 1 2 320.339 1.513 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@@H]2CNC(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001097437072 757183517 /nfs/dbraw/zinc/18/35/17/757183517.db2.gz MZUGXCQLJQQODL-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2C(F)(F)F)[C@@H](O)C1 ZINC001083978889 757202083 /nfs/dbraw/zinc/20/20/83/757202083.db2.gz HKQSIHAGHNXVNX-OLZOCXBDSA-N 1 2 312.291 1.114 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2C(F)(F)F)[C@@H](O)C1 ZINC001083978889 757202091 /nfs/dbraw/zinc/20/20/91/757202091.db2.gz HKQSIHAGHNXVNX-OLZOCXBDSA-N 1 2 312.291 1.114 20 30 DDEDLO C=CC[NH+]1CCC2(C[C@@H]2NC(=O)c2cncc3nc[nH]c32)CC1 ZINC001016634695 757224139 /nfs/dbraw/zinc/22/41/39/757224139.db2.gz LSGVJSRDKFURMA-AWEZNQCLSA-N 1 2 311.389 1.728 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(-c3ccccc3)cc2)[C@@H](O)C1 ZINC001084013684 757247659 /nfs/dbraw/zinc/24/76/59/757247659.db2.gz PYVKIJKSJCTDPL-MOPGFXCFSA-N 1 2 320.392 1.762 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(-c3ccccc3)cc2)[C@@H](O)C1 ZINC001084013684 757247666 /nfs/dbraw/zinc/24/76/66/757247666.db2.gz PYVKIJKSJCTDPL-MOPGFXCFSA-N 1 2 320.392 1.762 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2oc3ccccc3c2CC)[C@@H](O)C1 ZINC001084106638 757286728 /nfs/dbraw/zinc/28/67/28/757286728.db2.gz DIULZFCKARLRPQ-CABCVRRESA-N 1 2 312.369 1.403 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2oc3ccccc3c2CC)[C@@H](O)C1 ZINC001084106638 757286735 /nfs/dbraw/zinc/28/67/35/757286735.db2.gz DIULZFCKARLRPQ-CABCVRRESA-N 1 2 312.369 1.403 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cccc(=O)[nH]3)[C@@H]2C1 ZINC001084255251 757415041 /nfs/dbraw/zinc/41/50/41/757415041.db2.gz BZOMMQFMQGRKFB-DGCLKSJQSA-N 1 2 307.781 1.686 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cccc(=O)[nH]3)[C@@H]2C1 ZINC001084255251 757415045 /nfs/dbraw/zinc/41/50/45/757415045.db2.gz BZOMMQFMQGRKFB-DGCLKSJQSA-N 1 2 307.781 1.686 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@H]4CCN(CC#N)C[C@H]43)ccn12 ZINC001084344145 757536055 /nfs/dbraw/zinc/53/60/55/757536055.db2.gz PHZJKVVHIXTELI-HUUCEWRRSA-N 1 2 309.373 1.313 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C2CCC2)cnn1C ZINC001017567283 758037857 /nfs/dbraw/zinc/03/78/57/758037857.db2.gz GEWMCLBZZHCPQD-GASCZTMLSA-N 1 2 312.417 1.610 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C2CCC2)cnn1C ZINC001017567283 758037860 /nfs/dbraw/zinc/03/78/60/758037860.db2.gz GEWMCLBZZHCPQD-GASCZTMLSA-N 1 2 312.417 1.610 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1ccsc1)CCO2 ZINC001053141480 758200342 /nfs/dbraw/zinc/20/03/42/758200342.db2.gz VOFJTXMNEXVLRP-UHFFFAOYSA-N 1 2 306.431 1.780 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2cccnc12 ZINC001017784902 758237586 /nfs/dbraw/zinc/23/75/86/758237586.db2.gz MUPVQWUICCMMJB-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2cccnc12 ZINC001017784902 758237595 /nfs/dbraw/zinc/23/75/95/758237595.db2.gz MUPVQWUICCMMJB-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2nn1 ZINC001017785471 758239019 /nfs/dbraw/zinc/23/90/19/758239019.db2.gz LYCMRZCDGRDFKM-IYBDPMFKSA-N 1 2 320.396 1.942 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2nn1 ZINC001017785471 758239026 /nfs/dbraw/zinc/23/90/26/758239026.db2.gz LYCMRZCDGRDFKM-IYBDPMFKSA-N 1 2 320.396 1.942 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C1(C(F)F)CC1)CCO2 ZINC001053255385 758303833 /nfs/dbraw/zinc/30/38/33/758303833.db2.gz JAIIXVCCBYFOCD-UHFFFAOYSA-N 1 2 300.349 1.521 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCOC2(C[NH+](C[C@@H](CC)OC)C2)C1 ZINC001053408671 758439417 /nfs/dbraw/zinc/43/94/17/758439417.db2.gz MRHDXYOZWQQDRE-NVXWUHKLSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)C(C)(F)F)CCO2 ZINC001053434027 758458005 /nfs/dbraw/zinc/45/80/05/758458005.db2.gz VLEHTMSNIPIORQ-LBPRGKRZSA-N 1 2 302.365 1.767 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC001018036110 758494981 /nfs/dbraw/zinc/49/49/81/758494981.db2.gz FIBSKKHPCHNGPR-XWCCPHMRSA-N 1 2 306.409 1.881 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC001018036110 758494985 /nfs/dbraw/zinc/49/49/85/758494985.db2.gz FIBSKKHPCHNGPR-XWCCPHMRSA-N 1 2 306.409 1.881 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(N)=O)s1 ZINC001018062108 758516181 /nfs/dbraw/zinc/51/61/81/758516181.db2.gz UMLWBYPJCOTNIP-TXEJJXNPSA-N 1 2 317.414 1.159 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(N)=O)s1 ZINC001018062108 758516189 /nfs/dbraw/zinc/51/61/89/758516189.db2.gz UMLWBYPJCOTNIP-TXEJJXNPSA-N 1 2 317.414 1.159 20 30 DDEDLO C[C@]1(CNc2ccc(C#N)cn2)CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065494680 758580122 /nfs/dbraw/zinc/58/01/22/758580122.db2.gz XOQOJWALZNVFDB-QGZVFWFLSA-N 1 2 324.388 1.570 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@@H](CC)C1 ZINC001018156309 758586763 /nfs/dbraw/zinc/58/67/63/758586763.db2.gz LCHMICZCRMBZKV-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@@H](CC)C1 ZINC001018156309 758586765 /nfs/dbraw/zinc/58/67/65/758586765.db2.gz LCHMICZCRMBZKV-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccnc(F)c1)O2 ZINC001053583089 758595759 /nfs/dbraw/zinc/59/57/59/758595759.db2.gz UNUMMOFFABKDFJ-CQSZACIVSA-N 1 2 319.380 1.760 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1nccc(C)n1)O2 ZINC001053596254 758618144 /nfs/dbraw/zinc/61/81/44/758618144.db2.gz OGKAXIFNKCAQNG-CQSZACIVSA-N 1 2 316.405 1.324 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1conc1C)O2 ZINC001053654224 758666425 /nfs/dbraw/zinc/66/64/25/758666425.db2.gz GMMIEPDAMWSQBJ-ZDUSSCGKSA-N 1 2 305.378 1.522 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)C1CCC(OC)CC1)O2 ZINC001053655016 758667448 /nfs/dbraw/zinc/66/74/48/758667448.db2.gz ZMYHOWQOYABRGP-UYSNPLJNSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C(C)(C)C(C)C)CC2=O)C1 ZINC001108548067 762649323 /nfs/dbraw/zinc/64/93/23/762649323.db2.gz DLJYMQCOPKDRBI-CQSZACIVSA-N 1 2 321.465 1.646 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC001065676590 758695692 /nfs/dbraw/zinc/69/56/92/758695692.db2.gz ZJDYPQAMGSECED-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C3CC3)C2)CC1 ZINC001065677929 758697034 /nfs/dbraw/zinc/69/70/34/758697034.db2.gz YUBUASAFISUEEC-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(OC)cc1)O2 ZINC001053690707 758700281 /nfs/dbraw/zinc/70/02/81/758700281.db2.gz RJZHIYMMHHFEHJ-MRXNPFEDSA-N 1 2 316.401 1.844 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)n1C)CO2 ZINC001053736229 758751103 /nfs/dbraw/zinc/75/11/03/758751103.db2.gz RNPJONKNXZXGBY-AWEZNQCLSA-N 1 2 303.406 1.483 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C1CCC(O)CC1)CO2 ZINC001053790559 758818735 /nfs/dbraw/zinc/81/87/35/758818735.db2.gz ZBFGAEBPSZXHHI-SLTAFYQDSA-N 1 2 308.422 1.073 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@]1(C)CC=CCC1)CO2 ZINC001053813879 758842142 /nfs/dbraw/zinc/84/21/42/758842142.db2.gz BMJDBGTUAKLPHK-NVXWUHKLSA-N 1 2 302.418 1.716 20 30 DDEDLO Cc1nc(N2CCCN(C(=O)c3ccc(C#N)[nH]3)CC2)cc[nH+]1 ZINC001057119058 762666699 /nfs/dbraw/zinc/66/66/99/762666699.db2.gz BSWPASIVWMAYBY-UHFFFAOYSA-N 1 2 310.361 1.337 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)cncc1C)CO2 ZINC001053871209 758905379 /nfs/dbraw/zinc/90/53/79/758905379.db2.gz YWVQLVRABIAWDJ-HNNXBMFYSA-N 1 2 313.401 1.295 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc3c[nH]nc31)CO2 ZINC001053888810 758923784 /nfs/dbraw/zinc/92/37/84/758923784.db2.gz SMMDUWNNPTUPAT-AWEZNQCLSA-N 1 2 324.384 1.159 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1cccnc1C)CO2 ZINC001053924257 758961195 /nfs/dbraw/zinc/96/11/95/758961195.db2.gz OYOPQKVOFUZBDJ-INIZCTEOSA-N 1 2 315.417 1.468 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]c3cccnc13)CO2 ZINC001053981584 759020989 /nfs/dbraw/zinc/02/09/89/759020989.db2.gz OWMSXOWTOMRHPT-ZDUSSCGKSA-N 1 2 324.384 1.159 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1c(C)noc1C)CO2 ZINC001054005044 759051605 /nfs/dbraw/zinc/05/16/05/759051605.db2.gz DJNDRYXSYFLTPJ-CQSZACIVSA-N 1 2 319.405 1.370 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccc(C)nc1)CO2 ZINC001054006464 759053335 /nfs/dbraw/zinc/05/33/35/759053335.db2.gz JLWFCBGESWOKMI-INIZCTEOSA-N 1 2 315.417 1.468 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC3CCC1CC3)CO2 ZINC001054019509 759069757 /nfs/dbraw/zinc/06/97/57/759069757.db2.gz XIIWXCCZZOURMU-BACDZXNISA-N 1 2 316.445 1.796 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001066225558 759136358 /nfs/dbraw/zinc/13/63/58/759136358.db2.gz HJZXUQCWFUMUFG-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CCCC[C@H]2NCC#N)c[nH+]1 ZINC001085241886 759187238 /nfs/dbraw/zinc/18/72/38/759187238.db2.gz RTIUOARVJCNEGK-ZIAGYGMSSA-N 1 2 303.410 1.467 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3c(C)nc[nH]c3=O)cc2C1 ZINC001054277949 759384517 /nfs/dbraw/zinc/38/45/17/759384517.db2.gz FLIUOCVMJVISID-UHFFFAOYSA-N 1 2 322.368 1.369 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3c(C)nc[nH]c3=O)cc2C1 ZINC001054277949 759384526 /nfs/dbraw/zinc/38/45/26/759384526.db2.gz FLIUOCVMJVISID-UHFFFAOYSA-N 1 2 322.368 1.369 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3c(C)n[nH]c3C)cc2C1 ZINC001054285811 759391491 /nfs/dbraw/zinc/39/14/91/759391491.db2.gz AYOPUMKYETYUSD-UHFFFAOYSA-N 1 2 308.385 1.905 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3c(C)n[nH]c3C)cc2C1 ZINC001054285811 759391504 /nfs/dbraw/zinc/39/15/04/759391504.db2.gz AYOPUMKYETYUSD-UHFFFAOYSA-N 1 2 308.385 1.905 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([NH2+]Cc3nc(C4CC4)no3)C2)C1 ZINC001019353617 759841074 /nfs/dbraw/zinc/84/10/74/759841074.db2.gz UBJRTXJMOMTQSJ-ZDUSSCGKSA-N 1 2 316.405 1.994 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C2CC2)n(CC)n1 ZINC001085635880 759903888 /nfs/dbraw/zinc/90/38/88/759903888.db2.gz BYFCDPVIZTWTSC-CQSZACIVSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C2CC2)n(CC)n1 ZINC001085635880 759903890 /nfs/dbraw/zinc/90/38/90/759903890.db2.gz BYFCDPVIZTWTSC-CQSZACIVSA-N 1 2 300.406 1.560 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2CN(C(=O)C#CC3CC3)C[C@@H]2C)n1 ZINC001054639588 759930885 /nfs/dbraw/zinc/93/08/85/759930885.db2.gz UKWQUQFTGLNQBX-GXTWGEPZSA-N 1 2 316.405 1.543 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)Oc2cccnc2)C1 ZINC001108205380 760085932 /nfs/dbraw/zinc/08/59/32/760085932.db2.gz NKUOXGRSBWYOFE-PBHICJAKSA-N 1 2 319.405 1.242 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)Oc2cccnc2)C1 ZINC001108205380 760085940 /nfs/dbraw/zinc/08/59/40/760085940.db2.gz NKUOXGRSBWYOFE-PBHICJAKSA-N 1 2 319.405 1.242 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nnc2ccccc2n1 ZINC001085725438 760108970 /nfs/dbraw/zinc/10/89/70/760108970.db2.gz WOXZRZFZCAZRFB-ZDUSSCGKSA-N 1 2 309.373 1.194 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nnc2ccccc2n1 ZINC001085725438 760108972 /nfs/dbraw/zinc/10/89/72/760108972.db2.gz WOXZRZFZCAZRFB-ZDUSSCGKSA-N 1 2 309.373 1.194 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)ccnc1OCC ZINC001085740780 760138114 /nfs/dbraw/zinc/13/81/14/760138114.db2.gz ATNGDIVVWOYHEM-CQSZACIVSA-N 1 2 301.390 1.568 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)ccnc1OCC ZINC001085740780 760138120 /nfs/dbraw/zinc/13/81/20/760138120.db2.gz ATNGDIVVWOYHEM-CQSZACIVSA-N 1 2 301.390 1.568 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2ccncc2)on1 ZINC001085792587 760247341 /nfs/dbraw/zinc/24/73/41/760247341.db2.gz OASDRSUITUCBLE-OAHLLOKOSA-N 1 2 324.384 1.906 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2ccncc2)on1 ZINC001085792587 760247344 /nfs/dbraw/zinc/24/73/44/760247344.db2.gz OASDRSUITUCBLE-OAHLLOKOSA-N 1 2 324.384 1.906 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2cccnc2)on1 ZINC001085795185 760254917 /nfs/dbraw/zinc/25/49/17/760254917.db2.gz NVNVYCIQUBZLAM-CQSZACIVSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2cccnc2)on1 ZINC001085795185 760254926 /nfs/dbraw/zinc/25/49/26/760254926.db2.gz NVNVYCIQUBZLAM-CQSZACIVSA-N 1 2 310.357 1.516 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@H]2CCNC2=O)CC1 ZINC001085801059 760271423 /nfs/dbraw/zinc/27/14/23/760271423.db2.gz IXAMAAOYCBXCFK-GJZGRUSLSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@H]2CCNC2=O)CC1 ZINC001085801059 760271427 /nfs/dbraw/zinc/27/14/27/760271427.db2.gz IXAMAAOYCBXCFK-GJZGRUSLSA-N 1 2 305.422 1.154 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(-c2ncon2)c1 ZINC001085816124 760285189 /nfs/dbraw/zinc/28/51/89/760285189.db2.gz NTUXLFPLWDFYPF-HNNXBMFYSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(-c2ncon2)c1 ZINC001085816124 760285194 /nfs/dbraw/zinc/28/51/94/760285194.db2.gz NTUXLFPLWDFYPF-HNNXBMFYSA-N 1 2 310.357 1.516 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1ccnnc1 ZINC001085839342 760350412 /nfs/dbraw/zinc/35/04/12/760350412.db2.gz IEJJRMIAZWOIOF-GOSISDBHSA-N 1 2 320.396 1.675 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1ccnnc1 ZINC001085839342 760350422 /nfs/dbraw/zinc/35/04/22/760350422.db2.gz IEJJRMIAZWOIOF-GOSISDBHSA-N 1 2 320.396 1.675 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH+]cn1C)Nc1ncccc1C#N ZINC001097985633 760471336 /nfs/dbraw/zinc/47/13/36/760471336.db2.gz VFPVFQAADSTPSW-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1noc2c1C[C@@H](C)CC2 ZINC001085901271 760494144 /nfs/dbraw/zinc/49/41/44/760494144.db2.gz AYDBYLHVRSXFAR-UONOGXRCSA-N 1 2 315.417 1.969 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1noc2c1C[C@@H](C)CC2 ZINC001085901271 760494147 /nfs/dbraw/zinc/49/41/47/760494147.db2.gz AYDBYLHVRSXFAR-UONOGXRCSA-N 1 2 315.417 1.969 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001108221013 760506196 /nfs/dbraw/zinc/50/61/96/760506196.db2.gz RHQKRBWLKMILMM-OCCSQVGLSA-N 1 2 302.365 1.508 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001108221013 760506202 /nfs/dbraw/zinc/50/62/02/760506202.db2.gz RHQKRBWLKMILMM-OCCSQVGLSA-N 1 2 302.365 1.508 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(-c2nccs2)c1 ZINC001085918615 760528621 /nfs/dbraw/zinc/52/86/21/760528621.db2.gz IZBPBEDMGQQIMF-CYBMUJFWSA-N 1 2 315.402 1.108 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(-c2nccs2)c1 ZINC001085918615 760528627 /nfs/dbraw/zinc/52/86/27/760528627.db2.gz IZBPBEDMGQQIMF-CYBMUJFWSA-N 1 2 315.402 1.108 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cccnc2)nc1 ZINC001085929993 760550783 /nfs/dbraw/zinc/55/07/83/760550783.db2.gz LHTCJZWRBOFIDK-QGZVFWFLSA-N 1 2 320.396 1.804 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cccnc2)nc1 ZINC001085929993 760550788 /nfs/dbraw/zinc/55/07/88/760550788.db2.gz LHTCJZWRBOFIDK-QGZVFWFLSA-N 1 2 320.396 1.804 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn2c1C[C@H](C)CC2 ZINC001085951197 760595682 /nfs/dbraw/zinc/59/56/82/760595682.db2.gz YXVOXTDBXSNXSC-ZIAGYGMSSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn2c1C[C@H](C)CC2 ZINC001085951197 760595686 /nfs/dbraw/zinc/59/56/86/760595686.db2.gz YXVOXTDBXSNXSC-ZIAGYGMSSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(OC)c(Cl)c1 ZINC001085984247 760661686 /nfs/dbraw/zinc/66/16/86/760661686.db2.gz YJFPYQRXQFGDKK-GFCCVEGCSA-N 1 2 307.781 1.523 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(OC)c(Cl)c1 ZINC001085984247 760661690 /nfs/dbraw/zinc/66/16/90/760661690.db2.gz YJFPYQRXQFGDKK-GFCCVEGCSA-N 1 2 307.781 1.523 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(N(CC)CC)nc1 ZINC001085996781 760677498 /nfs/dbraw/zinc/67/74/98/760677498.db2.gz XHUQMKNTSFCHPK-MRXNPFEDSA-N 1 2 314.433 1.707 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(N(CC)CC)nc1 ZINC001085996781 760677504 /nfs/dbraw/zinc/67/75/04/760677504.db2.gz XHUQMKNTSFCHPK-MRXNPFEDSA-N 1 2 314.433 1.707 20 30 DDEDLO Cc1nc(N2CCN(C(=O)CCc3[nH]cc[nH+]3)CC2)ccc1C#N ZINC001055790187 760732934 /nfs/dbraw/zinc/73/29/34/760732934.db2.gz FCDJVHGWJSUDDL-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ccc(C#N)cn1 ZINC001069384047 768004555 /nfs/dbraw/zinc/00/45/55/768004555.db2.gz RVDBPRCWQNONDV-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccccc1N(C)C(C)=O ZINC001038566302 761202422 /nfs/dbraw/zinc/20/24/22/761202422.db2.gz CFULRPVMARYBPN-HNNXBMFYSA-N 1 2 313.401 1.497 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccccc1N(C)C(C)=O ZINC001038566302 761202426 /nfs/dbraw/zinc/20/24/26/761202426.db2.gz CFULRPVMARYBPN-HNNXBMFYSA-N 1 2 313.401 1.497 20 30 DDEDLO C=CC[NH2+][C@H]1C[C@@H](CNC(=O)c2cn[nH]c2-c2cnn(C)c2)C1 ZINC001086250138 761248686 /nfs/dbraw/zinc/24/86/86/761248686.db2.gz DOBYSMMLQFCOII-BJHJDKERSA-N 1 2 314.393 1.094 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1conc1CC ZINC001038713341 761317153 /nfs/dbraw/zinc/31/71/53/761317153.db2.gz AGLSETWFAAIFBA-LZWOXQAQSA-N 1 2 319.405 1.519 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1conc1CC ZINC001038713341 761317162 /nfs/dbraw/zinc/31/71/62/761317162.db2.gz AGLSETWFAAIFBA-LZWOXQAQSA-N 1 2 319.405 1.519 20 30 DDEDLO CC1(Nc2ccncc2C#N)CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001067265985 761338734 /nfs/dbraw/zinc/33/87/34/761338734.db2.gz SOQQMRBQJUPEKO-UHFFFAOYSA-N 1 2 324.388 1.065 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001069483884 768034763 /nfs/dbraw/zinc/03/47/63/768034763.db2.gz OSZNNVDUJHJNKF-JSGCOSHPSA-N 1 2 324.388 1.038 20 30 DDEDLO CC1(Nc2ccc(C#N)nc2)CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001067269889 761403977 /nfs/dbraw/zinc/40/39/77/761403977.db2.gz QPPJCXNOSCSTGH-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2coc(C3CC3)n2)C1 ZINC001108262726 761421382 /nfs/dbraw/zinc/42/13/82/761421382.db2.gz WQFAPYCLJNTEFV-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2coc(C3CC3)n2)C1 ZINC001108262726 761421387 /nfs/dbraw/zinc/42/13/87/761421387.db2.gz WQFAPYCLJNTEFV-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001056799585 761438949 /nfs/dbraw/zinc/43/89/49/761438949.db2.gz YANHMGGAUHQTCL-YCPHGPKFSA-N 1 2 316.405 1.779 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CNC(=O)c1coc(C2CCOCC2)n1 ZINC001038888788 761514726 /nfs/dbraw/zinc/51/47/26/761514726.db2.gz GJHAXBIVIDCWDU-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CNC(=O)c1coc(C2CCOCC2)n1 ZINC001038888788 761514735 /nfs/dbraw/zinc/51/47/35/761514735.db2.gz GJHAXBIVIDCWDU-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ncccc2C)cn1 ZINC001038942622 761574873 /nfs/dbraw/zinc/57/48/73/761574873.db2.gz YLLLOSSPDRRLPN-KRWDZBQOSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ncccc2C)cn1 ZINC001038942622 761574884 /nfs/dbraw/zinc/57/48/84/761574884.db2.gz YLLLOSSPDRRLPN-KRWDZBQOSA-N 1 2 320.396 1.771 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC001039007702 761641123 /nfs/dbraw/zinc/64/11/23/761641123.db2.gz HIPSPWPTIQXGIA-CYBMUJFWSA-N 1 2 312.373 1.806 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC001039007702 761641127 /nfs/dbraw/zinc/64/11/27/761641127.db2.gz HIPSPWPTIQXGIA-CYBMUJFWSA-N 1 2 312.373 1.806 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)c3ccc(C#N)[nH]3)CC2(C)C)cc[nH+]1 ZINC001069547040 768056132 /nfs/dbraw/zinc/05/61/32/768056132.db2.gz DDRLAKSNFRTGBC-CQSZACIVSA-N 1 2 324.388 1.948 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H](CC)CCC)CC2=O)C1 ZINC001108585172 762760784 /nfs/dbraw/zinc/76/07/84/762760784.db2.gz PQQDYVYNYHGBBQ-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108672298 762842424 /nfs/dbraw/zinc/84/24/24/762842424.db2.gz RZGZPAXVNOCTJV-MEDUHNTESA-N 1 2 316.409 1.882 20 30 DDEDLO C[C@@H](CNc1cccc(F)c1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001108718747 762876185 /nfs/dbraw/zinc/87/61/85/762876185.db2.gz RWCPLUXEPWHEJR-JTQLQIEISA-N 1 2 301.325 1.580 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001108773573 762920665 /nfs/dbraw/zinc/92/06/65/762920665.db2.gz DUQPQYCOVUYFDX-ZLKJLUDKSA-N 1 2 310.361 1.397 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001108773573 762920672 /nfs/dbraw/zinc/92/06/72/762920672.db2.gz DUQPQYCOVUYFDX-ZLKJLUDKSA-N 1 2 310.361 1.397 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)CC(=C)C)CC1 ZINC001131376721 768101168 /nfs/dbraw/zinc/10/11/68/768101168.db2.gz GVZLHXRTAHNKLZ-HNNXBMFYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)CC(=C)C)CC1 ZINC001131376721 768101171 /nfs/dbraw/zinc/10/11/71/768101171.db2.gz GVZLHXRTAHNKLZ-HNNXBMFYSA-N 1 2 307.438 1.425 20 30 DDEDLO C[C@@H](CN(C)c1ccncc1C#N)NC(=O)CCc1[nH]cc[nH+]1 ZINC001108931357 763138219 /nfs/dbraw/zinc/13/82/19/763138219.db2.gz QSQZULSIKAKOAB-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)CCC)C2 ZINC001109016255 763233206 /nfs/dbraw/zinc/23/32/06/763233206.db2.gz KAPVJACHAGXKCF-AGIUHOORSA-N 1 2 313.829 1.377 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)CCC)C2 ZINC001109016255 763233211 /nfs/dbraw/zinc/23/32/11/763233211.db2.gz KAPVJACHAGXKCF-AGIUHOORSA-N 1 2 313.829 1.377 20 30 DDEDLO CN(C(=O)Cc1c[nH+]cn1C)C1CC(Nc2ccc(C#N)cn2)C1 ZINC001069756162 768128689 /nfs/dbraw/zinc/12/86/89/768128689.db2.gz UZUSDAMGEWEFNT-UHFFFAOYSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)CCn2cc[nH+]c2)C1 ZINC001050260105 763384105 /nfs/dbraw/zinc/38/41/05/763384105.db2.gz OXYUZTKQPBEVJN-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(CC)n1 ZINC001109209862 763460472 /nfs/dbraw/zinc/46/04/72/763460472.db2.gz WZWAAZUJXFNSSH-IKGGRYGDSA-N 1 2 314.433 1.928 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(CC)n1 ZINC001109209862 763460478 /nfs/dbraw/zinc/46/04/78/763460478.db2.gz WZWAAZUJXFNSSH-IKGGRYGDSA-N 1 2 314.433 1.928 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)NC(=O)CC)C2 ZINC001109324374 763579144 /nfs/dbraw/zinc/57/91/44/763579144.db2.gz YFWAWGRKCQNVKF-NDBYEHHHSA-N 1 2 313.829 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)NC(=O)CC)C2 ZINC001109324374 763579149 /nfs/dbraw/zinc/57/91/49/763579149.db2.gz YFWAWGRKCQNVKF-NDBYEHHHSA-N 1 2 313.829 1.375 20 30 DDEDLO N#CCN1CCC(F)(F)[C@H](CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001046952766 768148152 /nfs/dbraw/zinc/14/81/52/768148152.db2.gz VSFVNIWZUKEUDV-IJLUTSLNSA-N 1 2 323.347 1.110 20 30 DDEDLO N#CCN1CCC(F)(F)[C@H](CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001046952766 768148156 /nfs/dbraw/zinc/14/81/56/768148156.db2.gz VSFVNIWZUKEUDV-IJLUTSLNSA-N 1 2 323.347 1.110 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ncccn1)C2 ZINC001109865422 764147204 /nfs/dbraw/zinc/14/72/04/764147204.db2.gz OTVCFUMRRZJRAO-RDBSUJKOSA-N 1 2 320.824 1.883 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ncccn1)C2 ZINC001109865422 764147206 /nfs/dbraw/zinc/14/72/06/764147206.db2.gz OTVCFUMRRZJRAO-RDBSUJKOSA-N 1 2 320.824 1.883 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(F)nc1 ZINC001050846109 764171120 /nfs/dbraw/zinc/17/11/20/764171120.db2.gz XHGGKYXGTDJUCO-AWEZNQCLSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(F)nc1 ZINC001050846109 764171127 /nfs/dbraw/zinc/17/11/27/764171127.db2.gz XHGGKYXGTDJUCO-AWEZNQCLSA-N 1 2 307.369 1.618 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3CCC3(F)F)c2C1 ZINC001069864176 768196240 /nfs/dbraw/zinc/19/62/40/768196240.db2.gz DBEPAKFEKIZYTD-CYBMUJFWSA-N 1 2 324.375 1.936 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3CCC3(F)F)c2C1 ZINC001069864176 768196244 /nfs/dbraw/zinc/19/62/44/768196244.db2.gz DBEPAKFEKIZYTD-CYBMUJFWSA-N 1 2 324.375 1.936 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3CO[C@H](C)C3)c2C1 ZINC001069865266 768197434 /nfs/dbraw/zinc/19/74/34/768197434.db2.gz BKVLKJQDHPJVKG-ZIAGYGMSSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3CO[C@H](C)C3)c2C1 ZINC001069865266 768197436 /nfs/dbraw/zinc/19/74/36/768197436.db2.gz BKVLKJQDHPJVKG-ZIAGYGMSSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001050916052 764274416 /nfs/dbraw/zinc/27/44/16/764274416.db2.gz AIGRNSXMBSZDPL-LSDHHAIUSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001050916052 764274421 /nfs/dbraw/zinc/27/44/21/764274421.db2.gz AIGRNSXMBSZDPL-LSDHHAIUSA-N 1 2 318.421 1.223 20 30 DDEDLO N#Cc1cnccc1NC[C@H](NC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001110004966 764283591 /nfs/dbraw/zinc/28/35/91/764283591.db2.gz FBQOJFJRIUPIOF-INIZCTEOSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1cnccc1NC[C@H](NC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001110004966 764283600 /nfs/dbraw/zinc/28/36/00/764283600.db2.gz FBQOJFJRIUPIOF-INIZCTEOSA-N 1 2 324.388 1.038 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnn1C(F)F ZINC001050933543 764302112 /nfs/dbraw/zinc/30/21/12/764302112.db2.gz DWYMNQGUHDHDFK-LLVKDONJSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnn1C(F)F ZINC001050933543 764302116 /nfs/dbraw/zinc/30/21/16/764302116.db2.gz DWYMNQGUHDHDFK-LLVKDONJSA-N 1 2 314.336 1.285 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncc(CC)c2)[C@@H](O)C1 ZINC001090436281 764347265 /nfs/dbraw/zinc/34/72/65/764347265.db2.gz PIAYTYDRAVRRGH-GJZGRUSLSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncc(CC)c2)[C@@H](O)C1 ZINC001090436281 764347273 /nfs/dbraw/zinc/34/72/73/764347273.db2.gz PIAYTYDRAVRRGH-GJZGRUSLSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nnn1CC ZINC001050957849 764349355 /nfs/dbraw/zinc/34/93/55/764349355.db2.gz MJJHOVZPNJEHPF-AWEZNQCLSA-N 1 2 321.425 1.003 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nnn1CC ZINC001050957849 764349361 /nfs/dbraw/zinc/34/93/61/764349361.db2.gz MJJHOVZPNJEHPF-AWEZNQCLSA-N 1 2 321.425 1.003 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(OC)c(F)c1 ZINC001050965387 764360791 /nfs/dbraw/zinc/36/07/91/764360791.db2.gz PLNNXMVESPRVJX-AWEZNQCLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(OC)c(F)c1 ZINC001050965387 764360799 /nfs/dbraw/zinc/36/07/99/764360799.db2.gz PLNNXMVESPRVJX-AWEZNQCLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3CCO[C@@H]3C)c2C1 ZINC001069879025 768208970 /nfs/dbraw/zinc/20/89/70/768208970.db2.gz YXEIEUXYYZECEF-HIFRSBDPSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3CCO[C@@H]3C)c2C1 ZINC001069879025 768208972 /nfs/dbraw/zinc/20/89/72/768208972.db2.gz YXEIEUXYYZECEF-HIFRSBDPSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2COc3cc(F)ccc3C2)C1 ZINC001042719515 764385432 /nfs/dbraw/zinc/38/54/32/764385432.db2.gz QPBJZTIDUZSJKX-CYBMUJFWSA-N 1 2 304.365 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3nocc3C)c2C1 ZINC001069880493 768210332 /nfs/dbraw/zinc/21/03/32/768210332.db2.gz BSRXIHHNXXZCTD-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3nocc3C)c2C1 ZINC001069880493 768210335 /nfs/dbraw/zinc/21/03/35/768210335.db2.gz BSRXIHHNXXZCTD-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N(C)C1C[NH+](CCn2cccn2)C1 ZINC001042740808 764404466 /nfs/dbraw/zinc/40/44/66/764404466.db2.gz FWIPISOKAUVOHA-CVEARBPZSA-N 1 2 318.421 1.007 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(CCC)n[nH]1 ZINC001051057780 764460013 /nfs/dbraw/zinc/46/00/13/764460013.db2.gz LNZRMPNECNZVIG-AWEZNQCLSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(CCC)n[nH]1 ZINC001051057780 764460018 /nfs/dbraw/zinc/46/00/18/764460018.db2.gz LNZRMPNECNZVIG-AWEZNQCLSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1Cc2ccccc21 ZINC001051091902 764497605 /nfs/dbraw/zinc/49/76/05/764497605.db2.gz SQRRRFXCVAXXSS-RDJZCZTQSA-N 1 2 300.402 1.719 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1Cc2ccccc21 ZINC001051091902 764497618 /nfs/dbraw/zinc/49/76/18/764497618.db2.gz SQRRRFXCVAXXSS-RDJZCZTQSA-N 1 2 300.402 1.719 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CC)cc1 ZINC001051147827 764554663 /nfs/dbraw/zinc/55/46/63/764554663.db2.gz QCEKTVCFUSARLL-HNNXBMFYSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CC)cc1 ZINC001051147827 764554669 /nfs/dbraw/zinc/55/46/69/764554669.db2.gz QCEKTVCFUSARLL-HNNXBMFYSA-N 1 2 302.374 1.149 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccn(CC)n1 ZINC001051150773 764555840 /nfs/dbraw/zinc/55/58/40/764555840.db2.gz RWJNXNDKFPYCHX-AWEZNQCLSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccn(CC)n1 ZINC001051150773 764555847 /nfs/dbraw/zinc/55/58/47/764555847.db2.gz RWJNXNDKFPYCHX-AWEZNQCLSA-N 1 2 306.410 1.300 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(C1)CN(C(=O)CCCC(C)=O)C[C@@H](C)O2 ZINC001131625727 768224689 /nfs/dbraw/zinc/22/46/89/768224689.db2.gz ZHKMJIZWVVJZDD-NVXWUHKLSA-N 1 2 306.406 1.071 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(C1)CN(C(=O)CCCC(C)=O)C[C@@H](C)O2 ZINC001131625727 768224694 /nfs/dbraw/zinc/22/46/94/768224694.db2.gz ZHKMJIZWVVJZDD-NVXWUHKLSA-N 1 2 306.406 1.071 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@@H]1O ZINC001112714640 764637057 /nfs/dbraw/zinc/63/70/57/764637057.db2.gz HPVGCWYSKHZYMW-GJZGRUSLSA-N 1 2 318.421 1.281 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001043164214 764730089 /nfs/dbraw/zinc/73/00/89/764730089.db2.gz MVJJPMHYXHCSHD-IUODEOHRSA-N 1 2 324.388 1.568 20 30 DDEDLO O=C(C#CC1CC1)N1CC[NH+](CCOCc2ccccc2)CC1 ZINC001112824727 764821274 /nfs/dbraw/zinc/82/12/74/764821274.db2.gz BUCGLXZWDBIOBS-UHFFFAOYSA-N 1 2 312.413 1.761 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)C[C@@H]2CC[C@@H](C(F)(F)F)O2)C1 ZINC001043419382 764894499 /nfs/dbraw/zinc/89/44/99/764894499.db2.gz IHNNMSVQLSNGTM-RYUDHWBXSA-N 1 2 306.328 1.815 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(-c3ncon3)c2)C1 ZINC001043842682 765126987 /nfs/dbraw/zinc/12/69/87/765126987.db2.gz HOEDBEBINXNIIG-UHFFFAOYSA-N 1 2 310.357 1.516 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C1C[NH+](CCOC2CCC2)C1 ZINC001043923383 765167052 /nfs/dbraw/zinc/16/70/52/765167052.db2.gz NYFJJFQVQRHQRK-CVEARBPZSA-N 1 2 308.422 1.289 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C)cc(C)n2)CC1 ZINC001113054916 765201683 /nfs/dbraw/zinc/20/16/83/765201683.db2.gz FVCHIOBIQUXVMJ-UHFFFAOYSA-N 1 2 303.406 1.659 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnn3cc(OC)ccc23)C1 ZINC001044056629 765261396 /nfs/dbraw/zinc/26/13/96/765261396.db2.gz CVIAXQJKBQATTC-UHFFFAOYSA-N 1 2 314.389 1.675 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(C)CCCCC2)[C@@H](n2ccnn2)C1 ZINC001070016257 768278149 /nfs/dbraw/zinc/27/81/49/768278149.db2.gz AOKYROYQYDJNHP-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(C)CCCCC2)[C@@H](n2ccnn2)C1 ZINC001070016257 768278153 /nfs/dbraw/zinc/27/81/53/768278153.db2.gz AOKYROYQYDJNHP-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccnc(OC3CCC3)c2)C1 ZINC001044107687 765310423 /nfs/dbraw/zinc/31/04/23/765310423.db2.gz RKBZYTNFNJXQOU-UHFFFAOYSA-N 1 2 313.401 1.792 20 30 DDEDLO Cc1ccc(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)s1 ZINC001051970184 765327383 /nfs/dbraw/zinc/32/73/83/765327383.db2.gz YZUZPWZYUYMQQY-CQSZACIVSA-N 1 2 318.446 1.412 20 30 DDEDLO Cc1cc(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)c(C)o1 ZINC001051981488 765345076 /nfs/dbraw/zinc/34/50/76/765345076.db2.gz QVVCOMQKPOCGIV-HNNXBMFYSA-N 1 2 316.405 1.252 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)CC(F)(F)F)C2)CC1 ZINC001051992564 765358843 /nfs/dbraw/zinc/35/88/43/765358843.db2.gz AWEVUPYOJSGZRR-CYBMUJFWSA-N 1 2 319.371 1.733 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](CCOC3CCC3)C2)nc1 ZINC001044203391 765391975 /nfs/dbraw/zinc/39/19/75/765391975.db2.gz NTLUXWWQAMTIBC-UHFFFAOYSA-N 1 2 313.401 1.388 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)C34CCC(CC3)C4)C2)CC1 ZINC001052044477 765416944 /nfs/dbraw/zinc/41/69/44/765416944.db2.gz HVFYHDFZKZWOGX-UPTPFMHGSA-N 1 2 316.449 1.309 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)CC1 ZINC001052068594 765441973 /nfs/dbraw/zinc/44/19/73/765441973.db2.gz KEBSPGXJBULBOI-MLHJIOFPSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@]3(C)C=CCC3)C2)CC1 ZINC001052067999 765442288 /nfs/dbraw/zinc/44/22/88/765442288.db2.gz SNAYZBYABRAOHU-WMZOPIPTSA-N 1 2 301.434 1.194 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3occc3Cl)C2)CC1 ZINC001052076642 765448830 /nfs/dbraw/zinc/44/88/30/765448830.db2.gz KGKKGRFLLCLNMI-ZDUSSCGKSA-N 1 2 321.808 1.398 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3occc3Cl)C2)CC1 ZINC001052076642 765448837 /nfs/dbraw/zinc/44/88/37/765448837.db2.gz KGKKGRFLLCLNMI-ZDUSSCGKSA-N 1 2 321.808 1.398 20 30 DDEDLO CC(C)(C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1)C(F)F ZINC001052079472 765452339 /nfs/dbraw/zinc/45/23/39/765452339.db2.gz AHTWJXNCJJHJCX-LBPRGKRZSA-N 1 2 314.380 1.020 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3CC34CCC4)C2)CC1 ZINC001052091809 765462831 /nfs/dbraw/zinc/46/28/31/765462831.db2.gz MJUIPZBTSFMFHT-SJORKVTESA-N 1 2 317.477 1.971 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ncccc3F)C2)CC1 ZINC001052101542 765471707 /nfs/dbraw/zinc/47/17/07/765471707.db2.gz QOVBSFUVYQDOIY-CQSZACIVSA-N 1 2 318.396 1.239 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001113314077 765555349 /nfs/dbraw/zinc/55/53/49/765555349.db2.gz PPJSKPSDMBZQPR-WDEREUQCSA-N 1 2 312.377 1.533 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2noc3c2CCCC3)CC1 ZINC001113376363 765630181 /nfs/dbraw/zinc/63/01/81/765630181.db2.gz JTBKQVLPTFTRPG-UHFFFAOYSA-N 1 2 319.405 1.514 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2n[nH]c(C)n2)CC1 ZINC001052367187 765761483 /nfs/dbraw/zinc/76/14/83/765761483.db2.gz OCNXWIAETCSPBV-GFCCVEGCSA-N 1 2 311.817 1.379 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2n[nH]c(C)n2)CC1 ZINC001052367187 765761488 /nfs/dbraw/zinc/76/14/88/765761488.db2.gz OCNXWIAETCSPBV-GFCCVEGCSA-N 1 2 311.817 1.379 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]1C[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001044962964 765972882 /nfs/dbraw/zinc/97/28/82/765972882.db2.gz SZCGXXQRDVUGKL-RYUDHWBXSA-N 1 2 319.409 1.607 20 30 DDEDLO Cc1nc(N[C@@H]2CC[C@H](CNC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001047254066 768343309 /nfs/dbraw/zinc/34/33/09/768343309.db2.gz HKDIITKUWIYROC-GXTWGEPZSA-N 1 2 324.388 1.995 20 30 DDEDLO CCC(=O)N(C)[C@H]1C[N@H+](CC#Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001047267256 768349084 /nfs/dbraw/zinc/34/90/84/768349084.db2.gz VLFIYNRBLPBLQX-HOTGVXAUSA-N 1 2 320.820 1.605 20 30 DDEDLO CCC(=O)N(C)[C@H]1C[N@@H+](CC#Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001047267256 768349092 /nfs/dbraw/zinc/34/90/92/768349092.db2.gz VLFIYNRBLPBLQX-HOTGVXAUSA-N 1 2 320.820 1.605 20 30 DDEDLO C[C@@H](CNC(=O)CCn1cc[nH+]c1)N(C)c1ncccc1C#N ZINC001113821838 766208066 /nfs/dbraw/zinc/20/80/66/766208066.db2.gz HQUFKZSLAGSEHX-ZDUSSCGKSA-N 1 2 312.377 1.181 20 30 DDEDLO CCOC(=O)[C@H](C#N)Nc1ccc(-n2c[nH+]c(C)c2C)nn1 ZINC001170369200 766268783 /nfs/dbraw/zinc/26/87/83/766268783.db2.gz SJRJPIPQLBGEDR-NSHDSACASA-N 1 2 300.322 1.146 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)n1 ZINC001058172085 766287144 /nfs/dbraw/zinc/28/71/44/766287144.db2.gz RPQTWCJFBQONEZ-OAHLLOKOSA-N 1 2 324.388 1.243 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCCC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001045575405 766412429 /nfs/dbraw/zinc/41/24/29/766412429.db2.gz XEYYYTLCRACJKO-ZIAGYGMSSA-N 1 2 324.388 1.758 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C4CC4)o3)C[C@H]21 ZINC001114003502 766520016 /nfs/dbraw/zinc/52/00/16/766520016.db2.gz AASFPBWTVQGBHR-JYAVWHMHSA-N 1 2 302.378 1.460 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C4CC4)o3)C[C@H]21 ZINC001114003502 766520019 /nfs/dbraw/zinc/52/00/19/766520019.db2.gz AASFPBWTVQGBHR-JYAVWHMHSA-N 1 2 302.378 1.460 20 30 DDEDLO Cc1nc(N2CC[C@H](C)[C@@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001114011556 766552245 /nfs/dbraw/zinc/55/22/45/766552245.db2.gz RVPKBTLAFIUEMD-AAEUAGOBSA-N 1 2 319.434 1.373 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ncccc1C#N ZINC001067577480 766618968 /nfs/dbraw/zinc/61/89/68/766618968.db2.gz KMAJIGLHMZUQBY-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1[C@H]2C[N@@H+](Cc3cnc(Cl)s3)C[C@H]21 ZINC001114253822 766821908 /nfs/dbraw/zinc/82/19/08/766821908.db2.gz VNBBBHOLKXJBLK-IMRBUKKESA-N 1 2 321.833 1.756 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1[C@H]2C[N@H+](Cc3cnc(Cl)s3)C[C@H]21 ZINC001114253822 766821918 /nfs/dbraw/zinc/82/19/18/766821918.db2.gz VNBBBHOLKXJBLK-IMRBUKKESA-N 1 2 321.833 1.756 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C3=CCCCCC3)CC2)C1 ZINC001046022731 766823173 /nfs/dbraw/zinc/82/31/73/766823173.db2.gz XDJYOGQQCPPVNA-KRWDZBQOSA-N 1 2 316.449 1.619 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001068029205 766874681 /nfs/dbraw/zinc/87/46/81/766874681.db2.gz NKHVVJZRMIUHEQ-QGZVFWFLSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCSC[C@H]1C ZINC001121620946 782596911 /nfs/dbraw/zinc/59/69/11/782596911.db2.gz WTJAFAQAKMJWEU-GFCCVEGCSA-N 1 2 318.450 1.730 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](CC(=C)Cl)C[C@@H]2O)cc1 ZINC001090483873 767464836 /nfs/dbraw/zinc/46/48/36/767464836.db2.gz UYCRVHBKUWQWHD-CVEARBPZSA-N 1 2 318.804 1.585 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](CC(=C)Cl)C[C@@H]2O)cc1 ZINC001090483873 767464839 /nfs/dbraw/zinc/46/48/39/767464839.db2.gz UYCRVHBKUWQWHD-CVEARBPZSA-N 1 2 318.804 1.585 20 30 DDEDLO C[C@]1(NC(=O)c2cn[nH]n2)CC[N@H+](Cc2cccc(C#N)c2)C1 ZINC001046438721 767569472 /nfs/dbraw/zinc/56/94/72/767569472.db2.gz FXSDXGIMQMMUSD-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@]1(NC(=O)c2cn[nH]n2)CC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC001046438721 767569479 /nfs/dbraw/zinc/56/94/79/767569479.db2.gz FXSDXGIMQMMUSD-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@]1(NC(=O)c2cnn[nH]2)CC[N@H+](Cc2cccc(C#N)c2)C1 ZINC001046438721 767569485 /nfs/dbraw/zinc/56/94/85/767569485.db2.gz FXSDXGIMQMMUSD-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@]1(NC(=O)c2cnn[nH]2)CC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC001046438721 767569489 /nfs/dbraw/zinc/56/94/89/767569489.db2.gz FXSDXGIMQMMUSD-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@H]2C)ccc1C#N ZINC001068961903 767729667 /nfs/dbraw/zinc/72/96/67/767729667.db2.gz CHKZEZGBZRFFTA-SWLSCSKDSA-N 1 2 324.388 1.629 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N(C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001096113428 767731359 /nfs/dbraw/zinc/73/13/59/767731359.db2.gz UMJFOWHNFJFPTM-ZDUSSCGKSA-N 1 2 318.421 1.803 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2nccn3ccnc23)C1 ZINC001046630990 767755298 /nfs/dbraw/zinc/75/52/98/767755298.db2.gz BJDFSXUJMOIQPO-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2nccn3ccnc23)C1 ZINC001046630990 767755303 /nfs/dbraw/zinc/75/53/03/767755303.db2.gz BJDFSXUJMOIQPO-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO CC(C)[C@@H](C)CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130941157 767812344 /nfs/dbraw/zinc/81/23/44/767812344.db2.gz SMLKXIATFILSQF-RRFJBIMHSA-N 1 2 317.437 1.510 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)/C=C/C(C)(C)C)C[C@H]1NCC#N ZINC001130957748 767816233 /nfs/dbraw/zinc/81/62/33/767816233.db2.gz ZNPPKQUWDAYGHZ-QAMKJQMISA-N 1 2 315.421 1.430 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069079158 767828633 /nfs/dbraw/zinc/82/86/33/767828633.db2.gz LVUPNVUVFRGGFA-JSGCOSHPSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CSCC#N)C[N@@H+]1Cc1ccns1 ZINC001131830847 768411127 /nfs/dbraw/zinc/41/11/27/768411127.db2.gz VWRWZTFESGUBGX-RYUDHWBXSA-N 1 2 324.475 1.869 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CSCC#N)C[N@H+]1Cc1ccns1 ZINC001131830847 768411131 /nfs/dbraw/zinc/41/11/31/768411131.db2.gz VWRWZTFESGUBGX-RYUDHWBXSA-N 1 2 324.475 1.869 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc3nccn3c2C)C1 ZINC001047412759 768461209 /nfs/dbraw/zinc/46/12/09/768461209.db2.gz GLGUNCOOBCGAQE-RYUDHWBXSA-N 1 2 320.418 1.007 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc3nccn3c2C)C1 ZINC001047412759 768461213 /nfs/dbraw/zinc/46/12/13/768461213.db2.gz GLGUNCOOBCGAQE-RYUDHWBXSA-N 1 2 320.418 1.007 20 30 DDEDLO CCn1nncc1C[N@@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132017783 768574976 /nfs/dbraw/zinc/57/49/76/768574976.db2.gz SGISKJQZYMTTMC-GJZGRUSLSA-N 1 2 317.437 1.427 20 30 DDEDLO CCn1nncc1C[N@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132017783 768574979 /nfs/dbraw/zinc/57/49/79/768574979.db2.gz SGISKJQZYMTTMC-GJZGRUSLSA-N 1 2 317.437 1.427 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3cccnc3s2)C1 ZINC001047586046 768590852 /nfs/dbraw/zinc/59/08/52/768590852.db2.gz GNFDWPJTGNGEEM-STQMWFEESA-N 1 2 315.398 1.047 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3cccnc3s2)C1 ZINC001047586046 768590855 /nfs/dbraw/zinc/59/08/55/768590855.db2.gz GNFDWPJTGNGEEM-STQMWFEESA-N 1 2 315.398 1.047 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)C2(C)CCCC2)C[C@H]1NCC#N ZINC001070674427 768661002 /nfs/dbraw/zinc/66/10/02/768661002.db2.gz NAXBKHPUFPOIAW-ZIAGYGMSSA-N 1 2 315.421 1.408 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132218946 768690161 /nfs/dbraw/zinc/69/01/61/768690161.db2.gz YGQGVXAHTUUSPI-OLZOCXBDSA-N 1 2 305.426 1.496 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132218946 768690166 /nfs/dbraw/zinc/69/01/66/768690166.db2.gz YGQGVXAHTUUSPI-OLZOCXBDSA-N 1 2 305.426 1.496 20 30 DDEDLO CCC1(C(=O)N[C@@H]2CC[C@H](C)[N@H+](CC(=O)NCC#N)C2)CCC1 ZINC001132249770 768705036 /nfs/dbraw/zinc/70/50/36/768705036.db2.gz SAVDQJROVCBNGF-UONOGXRCSA-N 1 2 320.437 1.176 20 30 DDEDLO CCC1(C(=O)N[C@@H]2CC[C@H](C)[N@@H+](CC(=O)NCC#N)C2)CCC1 ZINC001132249770 768705040 /nfs/dbraw/zinc/70/50/40/768705040.db2.gz SAVDQJROVCBNGF-UONOGXRCSA-N 1 2 320.437 1.176 20 30 DDEDLO CCCC(=O)NCC[NH+]1CCN(c2nc(C)ccc2C#N)CC1 ZINC001096268088 768845877 /nfs/dbraw/zinc/84/58/77/768845877.db2.gz WOJNNAUJIBYCGU-UHFFFAOYSA-N 1 2 315.421 1.300 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001071070372 768928331 /nfs/dbraw/zinc/92/83/31/768928331.db2.gz IGIUWCVSPDGJOX-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)C(C)(C)CS(C)(=O)=O)CC[C@@H]1C ZINC001132532756 768963250 /nfs/dbraw/zinc/96/32/50/768963250.db2.gz GIBXREBMLKUGGR-STQMWFEESA-N 1 2 316.467 1.212 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)CS(C)(=O)=O)CC[C@@H]1C ZINC001132532756 768963252 /nfs/dbraw/zinc/96/32/52/768963252.db2.gz GIBXREBMLKUGGR-STQMWFEESA-N 1 2 316.467 1.212 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001132577386 768998480 /nfs/dbraw/zinc/99/84/80/768998480.db2.gz URCWEKZADCPRKT-QWRGUYRKSA-N 1 2 312.439 1.601 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001071215212 769150571 /nfs/dbraw/zinc/15/05/71/769150571.db2.gz UWZWEWJOHNCOBY-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO C[C@@H]1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C[C@H]1CNCC#N ZINC001132920956 769324670 /nfs/dbraw/zinc/32/46/70/769324670.db2.gz WEXVAJHMKGVNAW-TZMCWYRMSA-N 1 2 311.389 1.774 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071416758 769402603 /nfs/dbraw/zinc/40/26/03/769402603.db2.gz YIUGDXALAREOKU-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cc(C)n[nH]2)CC[C@@H]1C ZINC001071428078 769420603 /nfs/dbraw/zinc/42/06/03/769420603.db2.gz VYRJEHWXQJYKPF-STQMWFEESA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cc(C)n[nH]2)CC[C@@H]1C ZINC001071428078 769420605 /nfs/dbraw/zinc/42/06/05/769420605.db2.gz VYRJEHWXQJYKPF-STQMWFEESA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cc(C)[nH]n2)CC[C@@H]1C ZINC001071428078 769420608 /nfs/dbraw/zinc/42/06/08/769420608.db2.gz VYRJEHWXQJYKPF-STQMWFEESA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cc(C)[nH]n2)CC[C@@H]1C ZINC001071428078 769420611 /nfs/dbraw/zinc/42/06/11/769420611.db2.gz VYRJEHWXQJYKPF-STQMWFEESA-N 1 2 310.829 1.982 20 30 DDEDLO N#Cc1cccnc1N1CC[C@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001096396096 769669173 /nfs/dbraw/zinc/66/91/73/769669173.db2.gz UNSJFWVSUQZPQT-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2snnc2C2CC2)CC[C@H]1C ZINC001071588609 769671653 /nfs/dbraw/zinc/67/16/53/769671653.db2.gz JGZNHTLPXRSSAK-PWSUYJOCSA-N 1 2 304.419 1.631 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2snnc2C2CC2)CC[C@H]1C ZINC001071588609 769671660 /nfs/dbraw/zinc/67/16/60/769671660.db2.gz JGZNHTLPXRSSAK-PWSUYJOCSA-N 1 2 304.419 1.631 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[N@@H+](Cc3ncc(Cl)n3C)C[C@@H]2C1 ZINC001048728144 769782323 /nfs/dbraw/zinc/78/23/23/769782323.db2.gz VTEKUFVRWKFRPX-SDDRHHMPSA-N 1 2 321.812 1.123 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[N@H+](Cc3ncc(Cl)n3C)C[C@@H]2C1 ZINC001048728144 769782327 /nfs/dbraw/zinc/78/23/27/769782327.db2.gz VTEKUFVRWKFRPX-SDDRHHMPSA-N 1 2 321.812 1.123 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2scnc2COC)CC[C@@H]1C ZINC001071883730 770223625 /nfs/dbraw/zinc/22/36/25/770223625.db2.gz QFWTYCJDEHEHPF-STQMWFEESA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2scnc2COC)CC[C@@H]1C ZINC001071883730 770223637 /nfs/dbraw/zinc/22/36/37/770223637.db2.gz QFWTYCJDEHEHPF-STQMWFEESA-N 1 2 321.446 1.896 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001072000528 770415065 /nfs/dbraw/zinc/41/50/65/770415065.db2.gz VYNPKEPANQCWKA-KGLIPLIRSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1CC[C@H](C)[N@@H+](CCF)C1 ZINC001072006353 770424208 /nfs/dbraw/zinc/42/42/08/770424208.db2.gz SBVSGKZCDUYDQW-UONOGXRCSA-N 1 2 319.380 1.646 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1CC[C@H](C)[N@H+](CCF)C1 ZINC001072006353 770424212 /nfs/dbraw/zinc/42/42/12/770424212.db2.gz SBVSGKZCDUYDQW-UONOGXRCSA-N 1 2 319.380 1.646 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001072483197 770960637 /nfs/dbraw/zinc/96/06/37/770960637.db2.gz FEOZWHVFSVCQOA-SWLSCSKDSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+][C@@H](C)c1nnc(CC)o1 ZINC001135145394 771350474 /nfs/dbraw/zinc/35/04/74/771350474.db2.gz GOZNIOAMLVBTJX-OBJOEFQTSA-N 1 2 322.409 1.380 20 30 DDEDLO N#CCN[C@@H]1CC[C@H](CNC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001086843985 771967389 /nfs/dbraw/zinc/96/73/89/771967389.db2.gz JTGPIJSVONLRHW-GOEBONIOSA-N 1 2 323.400 1.884 20 30 DDEDLO N#CCN[C@@H]1CC[C@H](CNC(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC001086844131 771967757 /nfs/dbraw/zinc/96/77/57/771967757.db2.gz SBEOJSWGCSHFHA-UONOGXRCSA-N 1 2 324.388 1.279 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001090683846 772102574 /nfs/dbraw/zinc/10/25/74/772102574.db2.gz UWUZZAUPXRJXBV-UKRRQHHQSA-N 1 2 318.421 1.709 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C2CC2)C2CC2)[C@H](O)C1 ZINC001090716619 772136945 /nfs/dbraw/zinc/13/69/45/772136945.db2.gz SIWCSTKSYDLBLW-ZIAGYGMSSA-N 1 2 312.841 1.727 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C2CC2)C2CC2)[C@H](O)C1 ZINC001090716619 772136948 /nfs/dbraw/zinc/13/69/48/772136948.db2.gz SIWCSTKSYDLBLW-ZIAGYGMSSA-N 1 2 312.841 1.727 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccccc2C)[C@H](O)C1 ZINC001090796139 772219894 /nfs/dbraw/zinc/21/98/94/772219894.db2.gz NZTQUPCQAMVDAY-JKSUJKDBSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccccc2C)[C@H](O)C1 ZINC001090796139 772219898 /nfs/dbraw/zinc/21/98/98/772219898.db2.gz NZTQUPCQAMVDAY-JKSUJKDBSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccc(C)nc2)[C@@H](O)C1 ZINC001090812689 772233367 /nfs/dbraw/zinc/23/33/67/772233367.db2.gz IHKTXVHROKBUCX-GJZGRUSLSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccc(C)nc2)[C@@H](O)C1 ZINC001090812689 772233368 /nfs/dbraw/zinc/23/33/68/772233368.db2.gz IHKTXVHROKBUCX-GJZGRUSLSA-N 1 2 323.824 1.236 20 30 DDEDLO COC(=O)c1cnc(C)c(NC(=[NH2+])c2ccc(C(N)=O)cc2)c1 ZINC001171260386 772756718 /nfs/dbraw/zinc/75/67/18/772756718.db2.gz HDQWABYMFHMFHJ-UHFFFAOYSA-N 1 2 312.329 1.313 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC001091515365 772797001 /nfs/dbraw/zinc/79/70/01/772797001.db2.gz KNVQLSGHYUEACY-MQMHXKEQSA-N 1 2 324.388 1.534 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nnc(C)o1)C2 ZINC001147255992 773081333 /nfs/dbraw/zinc/08/13/33/773081333.db2.gz GPLNXYIRJLVXLQ-GFCCVEGCSA-N 1 2 320.393 1.176 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nnc(C)o1)C2 ZINC001147255992 773081335 /nfs/dbraw/zinc/08/13/35/773081335.db2.gz GPLNXYIRJLVXLQ-GFCCVEGCSA-N 1 2 320.393 1.176 20 30 DDEDLO Cc1cc(C[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)no1 ZINC001147498890 773153884 /nfs/dbraw/zinc/15/38/84/773153884.db2.gz LOSXCGDPLRWLPB-UHFFFAOYSA-N 1 2 301.390 1.677 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)no1 ZINC001147498890 773153887 /nfs/dbraw/zinc/15/38/87/773153887.db2.gz LOSXCGDPLRWLPB-UHFFFAOYSA-N 1 2 301.390 1.677 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccsn2)C1 ZINC001073658159 773272271 /nfs/dbraw/zinc/27/22/71/773272271.db2.gz XOQVKIPZGXWEBP-LLVKDONJSA-N 1 2 315.826 1.716 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccsn2)C1 ZINC001073658159 773272278 /nfs/dbraw/zinc/27/22/78/773272278.db2.gz XOQVKIPZGXWEBP-LLVKDONJSA-N 1 2 315.826 1.716 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691747 773391055 /nfs/dbraw/zinc/39/10/55/773391055.db2.gz YAHQLPIGCZUBQW-MDZLAQPJSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691747 773391061 /nfs/dbraw/zinc/39/10/61/773391061.db2.gz YAHQLPIGCZUBQW-MDZLAQPJSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691747 773391067 /nfs/dbraw/zinc/39/10/67/773391067.db2.gz YAHQLPIGCZUBQW-MDZLAQPJSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691747 773391074 /nfs/dbraw/zinc/39/10/74/773391074.db2.gz YAHQLPIGCZUBQW-MDZLAQPJSA-N 1 2 306.303 1.690 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001073802933 773424858 /nfs/dbraw/zinc/42/48/58/773424858.db2.gz MRCHZAMFESJTIA-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001073806137 773429219 /nfs/dbraw/zinc/42/92/19/773429219.db2.gz QQXUVCRPIFEKML-GXTWGEPZSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[N@@H+](C)C[C@@H]2C(=O)OC)cc1 ZINC001148463844 773478697 /nfs/dbraw/zinc/47/86/97/773478697.db2.gz QPIGGEGRIAODBJ-OAHLLOKOSA-N 1 2 318.373 1.181 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[N@H+](C)C[C@@H]2C(=O)OC)cc1 ZINC001148463844 773478700 /nfs/dbraw/zinc/47/87/00/773478700.db2.gz QPIGGEGRIAODBJ-OAHLLOKOSA-N 1 2 318.373 1.181 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001073915863 773521511 /nfs/dbraw/zinc/52/15/11/773521511.db2.gz GUBNMDLGVGZJNO-CABCVRRESA-N 1 2 316.405 1.040 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001074101416 773632677 /nfs/dbraw/zinc/63/26/77/773632677.db2.gz MPGOTIOYNOWCCP-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cncs3)C[C@H]21 ZINC001074187567 773707913 /nfs/dbraw/zinc/70/79/13/773707913.db2.gz IWSXVJWMLHNFLC-CHWSQXEVSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cncs3)C[C@H]21 ZINC001074187567 773707917 /nfs/dbraw/zinc/70/79/17/773707917.db2.gz IWSXVJWMLHNFLC-CHWSQXEVSA-N 1 2 307.419 1.635 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cc(C)n[nH]3)C[C@@H]21 ZINC001074212388 773734810 /nfs/dbraw/zinc/73/48/10/773734810.db2.gz OQNBEAURNHJEHA-HOTGVXAUSA-N 1 2 318.421 1.138 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cc(C)n[nH]3)C[C@@H]21 ZINC001074212388 773734815 /nfs/dbraw/zinc/73/48/15/773734815.db2.gz OQNBEAURNHJEHA-HOTGVXAUSA-N 1 2 318.421 1.138 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(OC)no3)C[C@@H]21 ZINC001074218858 773741094 /nfs/dbraw/zinc/74/10/94/773741094.db2.gz GLJYLNPREVRXCR-STQMWFEESA-N 1 2 321.377 1.175 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(OC)no3)C[C@@H]21 ZINC001074218858 773741099 /nfs/dbraw/zinc/74/10/99/773741099.db2.gz GLJYLNPREVRXCR-STQMWFEESA-N 1 2 321.377 1.175 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)cn3)C[C@@H]21 ZINC001074222758 773747814 /nfs/dbraw/zinc/74/78/14/773747814.db2.gz MNCNKESXWOUSMH-JKSUJKDBSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)cn3)C[C@@H]21 ZINC001074222758 773747819 /nfs/dbraw/zinc/74/78/19/773747819.db2.gz MNCNKESXWOUSMH-JKSUJKDBSA-N 1 2 317.364 1.159 20 30 DDEDLO CC(C)=CC[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001074237117 773759595 /nfs/dbraw/zinc/75/95/95/773759595.db2.gz UIYJTAIJVZZUHH-IRXDYDNUSA-N 1 2 302.418 1.668 20 30 DDEDLO CC(C)=CC[N@H+]1CCO[C@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001074237117 773759601 /nfs/dbraw/zinc/75/96/01/773759601.db2.gz UIYJTAIJVZZUHH-IRXDYDNUSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C(=C)C)C[C@H]21 ZINC001074315288 773816129 /nfs/dbraw/zinc/81/61/29/773816129.db2.gz SXZUEKWMEVISMI-CVEARBPZSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C(=C)C)C[C@H]21 ZINC001074315288 773816138 /nfs/dbraw/zinc/81/61/38/773816138.db2.gz SXZUEKWMEVISMI-CVEARBPZSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C(=C)C)C[C@@H]21 ZINC001074315291 773816158 /nfs/dbraw/zinc/81/61/58/773816158.db2.gz SXZUEKWMEVISMI-JKSUJKDBSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C(=C)C)C[C@@H]21 ZINC001074315291 773816166 /nfs/dbraw/zinc/81/61/66/773816166.db2.gz SXZUEKWMEVISMI-JKSUJKDBSA-N 1 2 304.434 1.914 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CN(c3cc[nH+]c(C)n3)C[C@@]2(C)C1 ZINC001092281131 774060197 /nfs/dbraw/zinc/06/01/97/774060197.db2.gz SNDGYQDDPCKCEU-PBHICJAKSA-N 1 2 316.405 1.272 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1C[C@H]3C[C@H]3C1)c1nccn12 ZINC001092389063 774097660 /nfs/dbraw/zinc/09/76/60/774097660.db2.gz PGLSJYLPXMIMLO-FXUDXRNXSA-N 1 2 324.428 1.524 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccoc1)c1nccn12 ZINC001092367249 774105729 /nfs/dbraw/zinc/10/57/29/774105729.db2.gz MQENMLZPTKGKRN-HNNXBMFYSA-N 1 2 324.384 1.314 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074882630 774213869 /nfs/dbraw/zinc/21/38/69/774213869.db2.gz YTWLSEROOLQXFA-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074882629 774213893 /nfs/dbraw/zinc/21/38/93/774213893.db2.gz YTWLSEROOLQXFA-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001075087148 774341566 /nfs/dbraw/zinc/34/15/66/774341566.db2.gz WUPOVKLJLRBSRL-HUUCEWRRSA-N 1 2 318.421 1.735 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001075127307 774371806 /nfs/dbraw/zinc/37/18/06/774371806.db2.gz LXOBZNHEMRIXHJ-UONOGXRCSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001075138572 774376602 /nfs/dbraw/zinc/37/66/02/774376602.db2.gz AGVIPIQTZLUTNE-GJZGRUSLSA-N 1 2 318.421 1.735 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC[C@@H]3CCOC3)CC2)C1 ZINC001093524198 774776282 /nfs/dbraw/zinc/77/62/82/774776282.db2.gz UNHDPMWKPBDPOT-OAHLLOKOSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2cccc3nc[nH]c32)C1 ZINC001099089500 774822061 /nfs/dbraw/zinc/82/20/61/774822061.db2.gz BLGLQHJBMPRMOD-UGSOOPFHSA-N 1 2 308.385 1.780 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2cccc3nc[nH]c32)C1 ZINC001099089500 774822068 /nfs/dbraw/zinc/82/20/68/774822068.db2.gz BLGLQHJBMPRMOD-UGSOOPFHSA-N 1 2 308.385 1.780 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](C)[C@@H]3CCCO3)CC2)C1 ZINC001093570542 774842427 /nfs/dbraw/zinc/84/24/27/774842427.db2.gz CBKJPOQNFRQXBW-PBHICJAKSA-N 1 2 322.449 1.679 20 30 DDEDLO C[NH+](C)[C@@H](C(=O)NCCNc1ccc(C#N)nc1)c1cccnc1 ZINC001093683441 774976694 /nfs/dbraw/zinc/97/66/94/774976694.db2.gz ZDAAKZWZZWVRCT-MRXNPFEDSA-N 1 2 324.388 1.179 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCCNc1snc(Cl)c1C#N ZINC001093750002 775052073 /nfs/dbraw/zinc/05/20/73/775052073.db2.gz GWIJWDLDGJUYAE-UHFFFAOYSA-N 1 2 324.797 1.172 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCNc1nc(C#N)c(Cl)s1 ZINC001093776541 775101634 /nfs/dbraw/zinc/10/16/34/775101634.db2.gz IQNITFBNMGSFDY-UHFFFAOYSA-N 1 2 324.797 1.471 20 30 DDEDLO Cc1nsc(NCCNC(=O)Cc2[nH]c[nH+]c2C)c1C#N ZINC001093776714 775101812 /nfs/dbraw/zinc/10/18/12/775101812.db2.gz LAQFOQMZESKVSE-UHFFFAOYSA-N 1 2 304.379 1.126 20 30 DDEDLO N#Cc1ccc(NCCNC(=O)c2cccc3[nH+]ccn32)nc1 ZINC001093986564 775301647 /nfs/dbraw/zinc/30/16/47/775301647.db2.gz WUTGXXTXXOUIPX-UHFFFAOYSA-N 1 2 306.329 1.443 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001094047025 775370097 /nfs/dbraw/zinc/37/00/97/775370097.db2.gz HPEMQGFMERGQEI-QWRGUYRKSA-N 1 2 302.382 1.350 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccn(C)n2)C[C@H]1O ZINC001099947770 775460152 /nfs/dbraw/zinc/46/01/52/775460152.db2.gz ZWUOZCCEQYLXIJ-LSDHHAIUSA-N 1 2 320.437 1.074 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccn(C)n2)C[C@H]1O ZINC001099947770 775460160 /nfs/dbraw/zinc/46/01/60/775460160.db2.gz ZWUOZCCEQYLXIJ-LSDHHAIUSA-N 1 2 320.437 1.074 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099960373 775483510 /nfs/dbraw/zinc/48/35/10/775483510.db2.gz TTZMRTGPKHTHJU-QWHCGFSZSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099960373 775483512 /nfs/dbraw/zinc/48/35/12/775483512.db2.gz TTZMRTGPKHTHJU-QWHCGFSZSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCCC2CC2)[C@@H](O)C1 ZINC001099965713 775493153 /nfs/dbraw/zinc/49/31/53/775493153.db2.gz ZJJSTMQMZUYDHV-KBPBESRZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCCC2CC2)[C@@H](O)C1 ZINC001099965713 775493158 /nfs/dbraw/zinc/49/31/58/775493158.db2.gz ZJJSTMQMZUYDHV-KBPBESRZSA-N 1 2 300.830 1.871 20 30 DDEDLO Cc1nsc(NCCNC(=O)C[C@H](C)n2cc[nH+]c2)c1C#N ZINC001094168137 775512061 /nfs/dbraw/zinc/51/20/61/775512061.db2.gz UVUOZUZESKAMPA-JTQLQIEISA-N 1 2 318.406 1.699 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001100020588 775582930 /nfs/dbraw/zinc/58/29/30/775582930.db2.gz PYRFQHNZEUVCHC-ZIAGYGMSSA-N 1 2 323.462 1.797 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001100020588 775582943 /nfs/dbraw/zinc/58/29/43/775582943.db2.gz PYRFQHNZEUVCHC-ZIAGYGMSSA-N 1 2 323.462 1.797 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)CCOC)[C@H](O)C1 ZINC001100058596 775630286 /nfs/dbraw/zinc/63/02/86/775630286.db2.gz WTDCVNMIMOBLMQ-QWHCGFSZSA-N 1 2 318.845 1.353 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)CCOC)[C@H](O)C1 ZINC001100058596 775630294 /nfs/dbraw/zinc/63/02/94/775630294.db2.gz WTDCVNMIMOBLMQ-QWHCGFSZSA-N 1 2 318.845 1.353 20 30 DDEDLO Cc1nsc(N(C)CCNC(=O)CCn2cc[nH+]c2)c1C#N ZINC001100251021 775891872 /nfs/dbraw/zinc/89/18/72/775891872.db2.gz YGCOPUQLGAOYHH-UHFFFAOYSA-N 1 2 318.406 1.162 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3(C4CC4)CC3)CC2=O)C1 ZINC001094748902 776244862 /nfs/dbraw/zinc/24/48/62/776244862.db2.gz FOYJMERVNOPAJZ-CQSZACIVSA-N 1 2 317.433 1.154 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H]3CCCC34CC4)CC2=O)C1 ZINC001094751948 776247904 /nfs/dbraw/zinc/24/79/04/776247904.db2.gz ZSNGQSFPXQAIHY-DZGCQCFKSA-N 1 2 317.433 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3C[C@H]3C3CC3)CC2=O)C1 ZINC001094797723 776253231 /nfs/dbraw/zinc/25/32/31/776253231.db2.gz OJFCGEYQHWMLRM-VNQPRFMTSA-N 1 2 317.433 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@]3(C)C[C@H]4C[C@H]4C3)CC2=O)C1 ZINC001094766915 776255852 /nfs/dbraw/zinc/25/58/52/776255852.db2.gz PWVMDPKOLNRLTM-AHXJXLJNSA-N 1 2 317.433 1.010 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1[C@@H]1COC(C)(C)C1 ZINC001172282913 776752439 /nfs/dbraw/zinc/75/24/39/776752439.db2.gz HPIYMRFQOWPDNU-GXTWGEPZSA-N 1 2 306.410 1.484 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1[C@@H]1COC(C)(C)C1 ZINC001172282913 776752448 /nfs/dbraw/zinc/75/24/48/776752448.db2.gz HPIYMRFQOWPDNU-GXTWGEPZSA-N 1 2 306.410 1.484 20 30 DDEDLO N#Cc1cnccc1NC1(CNC(=O)CCCn2cc[nH+]c2)CC1 ZINC001110167626 777029665 /nfs/dbraw/zinc/02/96/65/777029665.db2.gz BQQGCTPXZGEPDW-UHFFFAOYSA-N 1 2 324.388 1.113 20 30 DDEDLO C=CCOCCCC(=O)N1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001095170564 777194728 /nfs/dbraw/zinc/19/47/28/777194728.db2.gz BPYHQEGURRRSTI-UHFFFAOYSA-N 1 2 318.421 1.807 20 30 DDEDLO Cc1nc(N(C)C)nc(NCCN(C)C(=O)C#CC(C)(C)C)[nH+]1 ZINC001101595362 777287249 /nfs/dbraw/zinc/28/72/49/777287249.db2.gz RQWUUPUPOSKXCN-UHFFFAOYSA-N 1 2 318.425 1.166 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C(/C)C1CC1)c1nccn12 ZINC001101614304 777306676 /nfs/dbraw/zinc/30/66/76/777306676.db2.gz RPHVIVLFMRZWSB-UZGISAJGSA-N 1 2 312.417 1.997 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H](C)CC)c1nccn12 ZINC001101625238 777319524 /nfs/dbraw/zinc/31/95/24/777319524.db2.gz BGVFSQJFQGJKJT-HUUCEWRRSA-N 1 2 314.433 1.915 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)CCOC)c1nccn12 ZINC001101652806 777348072 /nfs/dbraw/zinc/34/80/72/777348072.db2.gz SCGZVMJETHZYSO-ZIAGYGMSSA-N 1 2 318.421 1.314 20 30 DDEDLO CN(CCNc1nc(Cl)c(C#N)s1)C(=O)Cc1[nH]cc[nH+]1 ZINC001101728808 777443556 /nfs/dbraw/zinc/44/35/56/777443556.db2.gz MQFWJCKZWMEFRJ-UHFFFAOYSA-N 1 2 324.797 1.504 20 30 DDEDLO CN(CCNc1cncc(C#N)n1)C(=O)c1cccc2[nH+]ccn21 ZINC001101854840 777608116 /nfs/dbraw/zinc/60/81/16/777608116.db2.gz JWHFLVFFVXSDLT-UHFFFAOYSA-N 1 2 321.344 1.180 20 30 DDEDLO C#CC1(NC(=O)c2cc3n(n2)CCC[N@@H+](C)C3)CCCCC1 ZINC001175105349 777678504 /nfs/dbraw/zinc/67/85/04/777678504.db2.gz VWNIAPVJVYWNST-UHFFFAOYSA-N 1 2 300.406 1.785 20 30 DDEDLO C#CC1(NC(=O)c2cc3n(n2)CCC[N@H+](C)C3)CCCCC1 ZINC001175105349 777678508 /nfs/dbraw/zinc/67/85/08/777678508.db2.gz VWNIAPVJVYWNST-UHFFFAOYSA-N 1 2 300.406 1.785 20 30 DDEDLO C#Cc1cc(NC(=O)[C@@H]2CCCN(c3cccc[nH+]3)C2)ccn1 ZINC001176957358 778348101 /nfs/dbraw/zinc/34/81/01/778348101.db2.gz HMJZQKASFWBKSV-CQSZACIVSA-N 1 2 306.369 1.735 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCOCC3CC3)C[C@@H]21 ZINC001177011562 778390162 /nfs/dbraw/zinc/39/01/62/778390162.db2.gz CXAMFKVFLSDYTQ-IRXDYDNUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCOCC3CC3)C[C@@H]21 ZINC001177011562 778390168 /nfs/dbraw/zinc/39/01/68/778390168.db2.gz CXAMFKVFLSDYTQ-IRXDYDNUSA-N 1 2 320.433 1.128 20 30 DDEDLO CCN(C(=O)Cn1cc[nH+]c1)[C@H]1CCN(c2ccncc2C#N)C1 ZINC001102810799 778420203 /nfs/dbraw/zinc/42/02/03/778420203.db2.gz HRJFZYILMSFTPX-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102856018 778452366 /nfs/dbraw/zinc/45/23/66/778452366.db2.gz LLIBIMPVQGLYIN-AWEZNQCLSA-N 1 2 304.394 1.463 20 30 DDEDLO CC[C@H](CNC(=O)Cn1cc[nH+]c1)Nc1nc(C)ccc1C#N ZINC001103116994 778648165 /nfs/dbraw/zinc/64/81/65/778648165.db2.gz BDLDLGRRKKDDNU-CQSZACIVSA-N 1 2 312.377 1.465 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](CC)Nc2cc[nH+]c(C)n2)cn1 ZINC001103139608 778662400 /nfs/dbraw/zinc/66/24/00/778662400.db2.gz VBHDVJDWRBKUSE-OAHLLOKOSA-N 1 2 309.373 1.782 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2CCC[N@@H+]2Cc2ccco2)CCOCC1 ZINC001177625915 778675256 /nfs/dbraw/zinc/67/52/56/778675256.db2.gz IAWSGOOGALRUTG-AWEZNQCLSA-N 1 2 303.362 1.433 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2CCC[N@H+]2Cc2ccco2)CCOCC1 ZINC001177625915 778675259 /nfs/dbraw/zinc/67/52/59/778675259.db2.gz IAWSGOOGALRUTG-AWEZNQCLSA-N 1 2 303.362 1.433 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1nccnc1C#N ZINC001103357682 778813595 /nfs/dbraw/zinc/81/35/95/778813595.db2.gz YTBOAHBSQAGSQZ-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1nccnc1C#N ZINC001103357682 778813605 /nfs/dbraw/zinc/81/36/05/778813605.db2.gz YTBOAHBSQAGSQZ-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO Cc1cc(NC[C@@H](C)CNC(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001103898155 779146470 /nfs/dbraw/zinc/14/64/70/779146470.db2.gz HCPPNBSFRUSXOA-GHMZBOCLSA-N 1 2 301.394 1.986 20 30 DDEDLO N#CCNCCCCCCNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001179561395 779405164 /nfs/dbraw/zinc/40/51/64/779405164.db2.gz QOHUPJCREWUCEN-ZDUSSCGKSA-N 1 2 303.410 1.619 20 30 DDEDLO N#CCNCCCCCCNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001179561394 779405344 /nfs/dbraw/zinc/40/53/44/779405344.db2.gz QOHUPJCREWUCEN-CYBMUJFWSA-N 1 2 303.410 1.619 20 30 DDEDLO Cc1cc(NC2(CNC(=O)Cn3cc[nH+]c3)CCC2)c(C#N)cn1 ZINC001111820765 779496488 /nfs/dbraw/zinc/49/64/88/779496488.db2.gz TWLQQMFZXSSUGM-UHFFFAOYSA-N 1 2 324.388 1.031 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111960796 779579782 /nfs/dbraw/zinc/57/97/82/779579782.db2.gz VJKAPQOVKCDHSJ-CQSZACIVSA-N 1 2 304.394 1.781 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)C#CC3CC3)C(C)(C)C2)cc[nH+]1 ZINC001112374258 779744026 /nfs/dbraw/zinc/74/40/26/779744026.db2.gz FGDRFVVKFHQGEB-HNNXBMFYSA-N 1 2 312.417 1.920 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)CSCC#N)[C@H](C)C2)cc[nH+]1 ZINC001112409553 779765465 /nfs/dbraw/zinc/76/54/65/779765465.db2.gz MLVQXCXQBFLQNR-DGCLKSJQSA-N 1 2 319.434 1.373 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC001118098151 781026695 /nfs/dbraw/zinc/02/66/95/781026695.db2.gz ZJHWPUZYVFSNQK-UONOGXRCSA-N 1 2 304.394 1.375 20 30 DDEDLO C#CC1(F)CN(C(=O)[C@H]2CCCC[N@@H+]2Cc2cccnc2)C1 ZINC001119284658 781391943 /nfs/dbraw/zinc/39/19/43/781391943.db2.gz IWUSSQHRIGOVTL-OAHLLOKOSA-N 1 2 301.365 1.620 20 30 DDEDLO C#CC1(F)CN(C(=O)[C@H]2CCCC[N@H+]2Cc2cccnc2)C1 ZINC001119284658 781391952 /nfs/dbraw/zinc/39/19/52/781391952.db2.gz IWUSSQHRIGOVTL-OAHLLOKOSA-N 1 2 301.365 1.620 20 30 DDEDLO CCc1nc(C[N@@H+]2CCC[C@H]2CCCNC(=O)[C@@H](C)C#N)no1 ZINC001267162803 837443581 /nfs/dbraw/zinc/44/35/81/837443581.db2.gz JBXUENJVLYHVEW-QWHCGFSZSA-N 1 2 319.409 1.652 20 30 DDEDLO CCc1nc(C[N@H+]2CCC[C@H]2CCCNC(=O)[C@@H](C)C#N)no1 ZINC001267162803 837443586 /nfs/dbraw/zinc/44/35/86/837443586.db2.gz JBXUENJVLYHVEW-QWHCGFSZSA-N 1 2 319.409 1.652 20 30 DDEDLO C[C@@H](NCC#N)C1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC001267208568 837536707 /nfs/dbraw/zinc/53/67/07/837536707.db2.gz SHZGKNVVKHSBFQ-UONOGXRCSA-N 1 2 303.410 1.574 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)CCc2cccc(C)c2)CC1 ZINC001266290305 836062799 /nfs/dbraw/zinc/06/27/99/836062799.db2.gz USSOPOGLTSGYSO-UHFFFAOYSA-N 1 2 313.445 1.295 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001266336681 836137155 /nfs/dbraw/zinc/13/71/55/836137155.db2.gz UEZWPPFAAFJTJN-OAHLLOKOSA-N 1 2 307.438 1.143 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001266336681 836137160 /nfs/dbraw/zinc/13/71/60/836137160.db2.gz UEZWPPFAAFJTJN-OAHLLOKOSA-N 1 2 307.438 1.143 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)CC[NH2+][C@@H](C)c1nc(C(C)C)no1 ZINC001266687079 836669242 /nfs/dbraw/zinc/66/92/42/836669242.db2.gz ZQSYLSCQQFCGDR-LRDDRELGSA-N 1 2 324.425 1.629 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCCC[C@H]2CNC(=O)C(F)F)C1=O ZINC001266825674 836889829 /nfs/dbraw/zinc/88/98/29/836889829.db2.gz IXMCJEDPZWJSLI-NWDGAFQWSA-N 1 2 315.364 1.009 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCCC[C@H]2CNC(=O)C(F)F)C1=O ZINC001266825674 836889836 /nfs/dbraw/zinc/88/98/36/836889836.db2.gz IXMCJEDPZWJSLI-NWDGAFQWSA-N 1 2 315.364 1.009 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCCC[C@@H]2CNC(=O)C(F)F)C1=O ZINC001266825676 836889847 /nfs/dbraw/zinc/88/98/47/836889847.db2.gz IXMCJEDPZWJSLI-VXGBXAGGSA-N 1 2 315.364 1.009 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCCC[C@@H]2CNC(=O)C(F)F)C1=O ZINC001266825676 836889853 /nfs/dbraw/zinc/88/98/53/836889853.db2.gz IXMCJEDPZWJSLI-VXGBXAGGSA-N 1 2 315.364 1.009 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001266935023 837078023 /nfs/dbraw/zinc/07/80/23/837078023.db2.gz FMKJOKHVSADVHN-LBPRGKRZSA-N 1 2 306.410 1.621 20 30 DDEDLO C#CC[N@H+]1CCC[C@](CO)(NC(=O)c2cnc(C3CC3)s2)C1 ZINC001271988642 844271754 /nfs/dbraw/zinc/27/17/54/844271754.db2.gz WLNDCRZOWPPMBO-INIZCTEOSA-N 1 2 319.430 1.210 20 30 DDEDLO C#CC[N@@H+]1CCC[C@](CO)(NC(=O)c2cnc(C3CC3)s2)C1 ZINC001271988642 844271763 /nfs/dbraw/zinc/27/17/63/844271763.db2.gz WLNDCRZOWPPMBO-INIZCTEOSA-N 1 2 319.430 1.210 20 30 DDEDLO CCCN(C(=O)Cc1c[nH+]ccc1OC)[C@H]1CCN(CC#N)C1 ZINC001267466418 838113859 /nfs/dbraw/zinc/11/38/59/838113859.db2.gz OZBRPUJFRUOHNP-HNNXBMFYSA-N 1 2 316.405 1.469 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)C2C=CC=CC=C2)CC1 ZINC001267607650 838436728 /nfs/dbraw/zinc/43/67/28/838436728.db2.gz PIGGZIRDIQBATH-UHFFFAOYSA-N 1 2 301.434 1.547 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1C[NH+](Cc2nc(C)sc2C)C1 ZINC001267680956 838634320 /nfs/dbraw/zinc/63/43/20/838634320.db2.gz DESKAVJXFWTYDS-NSHDSACASA-N 1 2 321.446 1.346 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)[C@@H]1CC[N@H+](Cc2ncc(C)o2)C1 ZINC001267786169 838975895 /nfs/dbraw/zinc/97/58/95/838975895.db2.gz ZICRMNGXOQWIFL-RHSMWYFYSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001267786169 838975897 /nfs/dbraw/zinc/97/58/97/838975897.db2.gz ZICRMNGXOQWIFL-RHSMWYFYSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C1CC(C)(C(=O)NC2(CNC(=O)Cn3cc[nH+]c3)CC2)C1 ZINC001299190005 844457980 /nfs/dbraw/zinc/45/79/80/844457980.db2.gz JUHJBTOBSUNSDG-UHFFFAOYSA-N 1 2 302.378 1.004 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC)CC[NH2+][C@H](C)c1csnn1 ZINC001268477640 840271089 /nfs/dbraw/zinc/27/10/89/840271089.db2.gz QOTBYABBBAJRRS-BZPMIXESSA-N 1 2 324.450 1.628 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H](C)Cc2ccc(OC)cc2)C1 ZINC001268482944 840278926 /nfs/dbraw/zinc/27/89/26/840278926.db2.gz BSCYIAKLBTWZGW-AWEZNQCLSA-N 1 2 300.402 1.698 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C\C[NH2+]Cc2cc(C)on2)cn1 ZINC001268616444 840578440 /nfs/dbraw/zinc/57/84/40/840578440.db2.gz IQXWHAYXIUHVAE-PLNGDYQASA-N 1 2 310.357 1.435 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)C2CCCCCC2)C1 ZINC001268703474 840691462 /nfs/dbraw/zinc/69/14/62/840691462.db2.gz NBOWPGGOVWGPBZ-UHFFFAOYSA-N 1 2 307.438 1.307 20 30 DDEDLO COC[C@@H](C)C(=O)NCC1C[NH+](CC#Cc2ccc(F)cc2)C1 ZINC001268704277 840691673 /nfs/dbraw/zinc/69/16/73/840691673.db2.gz WTUYPYRHHZQXCO-CQSZACIVSA-N 1 2 318.392 1.508 20 30 DDEDLO CCC#CC(=O)N1CC2(C[C@@H]2C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001268872335 840930342 /nfs/dbraw/zinc/93/03/42/840930342.db2.gz AGZDBFQYJWQJSL-CYBMUJFWSA-N 1 2 322.368 1.535 20 30 DDEDLO C=CCOCC[NH+]1CC(CNC(=O)c2cccc3nc[nH]c32)C1 ZINC001268892644 840955156 /nfs/dbraw/zinc/95/51/56/840955156.db2.gz YVBGZMYPSAEPNS-UHFFFAOYSA-N 1 2 314.389 1.427 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1=CCCCCC1 ZINC001268939603 841018996 /nfs/dbraw/zinc/01/89/96/841018996.db2.gz KJMBRJMFEYDRPW-IRXDYDNUSA-N 1 2 302.418 1.812 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1=CCCCCC1 ZINC001268939603 841019002 /nfs/dbraw/zinc/01/90/02/841019002.db2.gz KJMBRJMFEYDRPW-IRXDYDNUSA-N 1 2 302.418 1.812 20 30 DDEDLO CCC#CC(=O)N1CC[C@H]2[C@@H]1CC[N@@H+]2Cc1nccn1CC ZINC001268951239 841032678 /nfs/dbraw/zinc/03/26/78/841032678.db2.gz IVVSTCZYWXGGKL-GJZGRUSLSA-N 1 2 300.406 1.492 20 30 DDEDLO CCC#CC(=O)N1CC[C@H]2[C@@H]1CC[N@H+]2Cc1nccn1CC ZINC001268951239 841032687 /nfs/dbraw/zinc/03/26/87/841032687.db2.gz IVVSTCZYWXGGKL-GJZGRUSLSA-N 1 2 300.406 1.492 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001269223572 841368928 /nfs/dbraw/zinc/36/89/28/841368928.db2.gz PZAYJAFORLZRKM-MLGOLLRUSA-N 1 2 319.430 1.637 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001269223572 841368941 /nfs/dbraw/zinc/36/89/41/841368941.db2.gz PZAYJAFORLZRKM-MLGOLLRUSA-N 1 2 319.430 1.637 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CC[N@H+]1Cc1cnc(C)s1 ZINC001269224037 841371971 /nfs/dbraw/zinc/37/19/71/841371971.db2.gz TZNSTQMRYNDATN-WFASDCNBSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CC[N@@H+]1Cc1cnc(C)s1 ZINC001269224037 841371981 /nfs/dbraw/zinc/37/19/81/841371981.db2.gz TZNSTQMRYNDATN-WFASDCNBSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cc(C(N)=O)cs1 ZINC001422251060 841409654 /nfs/dbraw/zinc/40/96/54/841409654.db2.gz ZFXUHDNFGBMANG-UHFFFAOYSA-N 1 2 315.826 1.603 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cc(C(N)=O)cs1 ZINC001422251060 841409656 /nfs/dbraw/zinc/40/96/56/841409656.db2.gz ZFXUHDNFGBMANG-UHFFFAOYSA-N 1 2 315.826 1.603 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)N(C)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269286950 841472007 /nfs/dbraw/zinc/47/20/07/841472007.db2.gz FGKCRYXZJZHUIN-LSDHHAIUSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269286950 841472016 /nfs/dbraw/zinc/47/20/16/841472016.db2.gz FGKCRYXZJZHUIN-LSDHHAIUSA-N 1 2 322.453 1.231 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@H]1CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001269323993 841516459 /nfs/dbraw/zinc/51/64/59/841516459.db2.gz FRTUWUWZSUJJQE-ZBFHGGJFSA-N 1 2 312.417 1.874 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@H]1CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001269323993 841516473 /nfs/dbraw/zinc/51/64/73/841516473.db2.gz FRTUWUWZSUJJQE-ZBFHGGJFSA-N 1 2 312.417 1.874 20 30 DDEDLO C=CCC1(C(=O)NCC2([NH2+]Cc3ccon3)CC2)CCOCC1 ZINC001269526807 841727334 /nfs/dbraw/zinc/72/73/34/841727334.db2.gz YYYRPRVANQJGGX-UHFFFAOYSA-N 1 2 319.405 1.786 20 30 DDEDLO Cc1ccoc1C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001269539823 841746432 /nfs/dbraw/zinc/74/64/32/841746432.db2.gz HKGHDSJCFCBPFJ-UHFFFAOYSA-N 1 2 324.384 1.756 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H](CC)C(N)=O ZINC001269659806 841909615 /nfs/dbraw/zinc/90/96/15/841909615.db2.gz CZCOYWJMUWLWEN-ZNMIVQPWSA-N 1 2 305.422 1.119 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H](CC)C(N)=O ZINC001269659806 841909622 /nfs/dbraw/zinc/90/96/22/841909622.db2.gz CZCOYWJMUWLWEN-ZNMIVQPWSA-N 1 2 305.422 1.119 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCN(C(=O)CCc3c[nH]c[nH+]3)C2)C1=O ZINC001269661762 841911442 /nfs/dbraw/zinc/91/14/42/841911442.db2.gz YCBQFNBOZRDUJW-KRWDZBQOSA-N 1 2 316.405 1.369 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCN(C(=O)CCc3c[nH+]c[nH]3)C2)C1=O ZINC001269661762 841911455 /nfs/dbraw/zinc/91/14/55/841911455.db2.gz YCBQFNBOZRDUJW-KRWDZBQOSA-N 1 2 316.405 1.369 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)cc1 ZINC001269744086 841997111 /nfs/dbraw/zinc/99/71/11/841997111.db2.gz SUWUTWBOIVVHNT-UHFFFAOYSA-N 1 2 307.357 1.239 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@H]2CCC[C@@H](OC)C2)C1 ZINC001269840004 842097466 /nfs/dbraw/zinc/09/74/66/842097466.db2.gz VAORKPFKPULQJV-GOEBONIOSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCCC(=O)NC1CN(C(=O)C(C)(C)n2c[nH+]c(C)c2)C1 ZINC001284890671 842465785 /nfs/dbraw/zinc/46/57/85/842465785.db2.gz XIFHKSYISCPAIT-UHFFFAOYSA-N 1 2 304.394 1.220 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](Cc2ccc(F)cn2)CCCO1 ZINC001149340496 861554578 /nfs/dbraw/zinc/55/45/78/861554578.db2.gz HUAQAVBZUYAILO-INIZCTEOSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](Cc2ccc(F)cn2)CCCO1 ZINC001149340496 861554588 /nfs/dbraw/zinc/55/45/88/861554588.db2.gz HUAQAVBZUYAILO-INIZCTEOSA-N 1 2 321.396 1.894 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1nccn1C ZINC001272685897 846617224 /nfs/dbraw/zinc/61/72/24/846617224.db2.gz ZOJXXKWVMHAGIR-KRWDZBQOSA-N 1 2 302.422 1.953 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1nccn1C ZINC001272685897 846617230 /nfs/dbraw/zinc/61/72/30/846617230.db2.gz ZOJXXKWVMHAGIR-KRWDZBQOSA-N 1 2 302.422 1.953 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CO[C@H](C)CC)C1 ZINC001149549123 861702680 /nfs/dbraw/zinc/70/26/80/861702680.db2.gz LSCPFNDPIZOKDW-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CO[C@H](C)CC)C1 ZINC001149549123 861702687 /nfs/dbraw/zinc/70/26/87/861702687.db2.gz LSCPFNDPIZOKDW-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO C=CCC(C)(C)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001299254050 846782885 /nfs/dbraw/zinc/78/28/85/846782885.db2.gz CZOCQPWGPFNJMX-UHFFFAOYSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1C[N@H+](Cc2ccn(C)n2)CCCO1 ZINC001149789009 861904658 /nfs/dbraw/zinc/90/46/58/861904658.db2.gz KCTMYRXMZHSJQG-MRXNPFEDSA-N 1 2 320.437 1.484 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1C[N@@H+](Cc2ccn(C)n2)CCCO1 ZINC001149789009 861904675 /nfs/dbraw/zinc/90/46/75/861904675.db2.gz KCTMYRXMZHSJQG-MRXNPFEDSA-N 1 2 320.437 1.484 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@H+](CC)Cc1cc(C)on1 ZINC001155321107 861958590 /nfs/dbraw/zinc/95/85/90/861958590.db2.gz CVTLUHHZPPYTNC-WQVCFCJDSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cc(C)on1 ZINC001155321107 861958599 /nfs/dbraw/zinc/95/85/99/861958599.db2.gz CVTLUHHZPPYTNC-WQVCFCJDSA-N 1 2 321.421 1.901 20 30 DDEDLO C#CCN1CC[C@@]2(CC[N@@H+](Cc3csc(Cl)n3)C2)C1=O ZINC001273270670 849288080 /nfs/dbraw/zinc/28/80/80/849288080.db2.gz BDPVAURGAWVJKD-CQSZACIVSA-N 1 2 309.822 1.854 20 30 DDEDLO C#CCN1CC[C@@]2(CC[N@H+](Cc3csc(Cl)n3)C2)C1=O ZINC001273270670 849288090 /nfs/dbraw/zinc/28/80/90/849288090.db2.gz BDPVAURGAWVJKD-CQSZACIVSA-N 1 2 309.822 1.854 20 30 DDEDLO C=CCOCC(=O)N1CCOC2(C[NH+](CC/C=C\CC)C2)C1 ZINC001327315508 862055808 /nfs/dbraw/zinc/05/58/08/862055808.db2.gz VJOUAJFVGVAWHA-WAYWQWQTSA-N 1 2 308.422 1.459 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@H+](Cc3cccnc3F)C2)OCC1=O ZINC001273398955 849905402 /nfs/dbraw/zinc/90/54/02/849905402.db2.gz AXNKBTYJKSOXAY-KRWDZBQOSA-N 1 2 319.380 1.600 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@@H+](Cc3cccnc3F)C2)OCC1=O ZINC001273398955 849905409 /nfs/dbraw/zinc/90/54/09/849905409.db2.gz AXNKBTYJKSOXAY-KRWDZBQOSA-N 1 2 319.380 1.600 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)C[C@@H](C)NC(N)=O)C(C)C ZINC001411188066 850352225 /nfs/dbraw/zinc/35/22/25/850352225.db2.gz RFVXOSGQCGHOMI-GFCCVEGCSA-N 1 2 318.849 1.355 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)C[C@@H](C)NC(N)=O)C(C)C ZINC001411188066 850352231 /nfs/dbraw/zinc/35/22/31/850352231.db2.gz RFVXOSGQCGHOMI-GFCCVEGCSA-N 1 2 318.849 1.355 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1COC2(C[NH+](CCCF)C2)C1 ZINC001327407139 862146888 /nfs/dbraw/zinc/14/68/88/862146888.db2.gz OEZPGSMDTRLTDW-HNNXBMFYSA-N 1 2 310.413 1.889 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)(C)CC=C)CO2 ZINC001327413723 862154928 /nfs/dbraw/zinc/15/49/28/862154928.db2.gz XECVBWMYWQYNBY-OAHLLOKOSA-N 1 2 322.449 1.751 20 30 DDEDLO C=CCCC[N@H+]1C[C@]2(F)CN(Cc3cncn3C)C(=O)[C@]2(F)C1 ZINC001273492399 851025021 /nfs/dbraw/zinc/02/50/21/851025021.db2.gz QABZLGVLMOKVGX-JKSUJKDBSA-N 1 2 324.375 1.461 20 30 DDEDLO C=CCCC[N@@H+]1C[C@]2(F)CN(Cc3cncn3C)C(=O)[C@]2(F)C1 ZINC001273492399 851025030 /nfs/dbraw/zinc/02/50/30/851025030.db2.gz QABZLGVLMOKVGX-JKSUJKDBSA-N 1 2 324.375 1.461 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H](C)C(F)(F)F)O2 ZINC001273662308 851197263 /nfs/dbraw/zinc/19/72/63/851197263.db2.gz OCESQUSVFGLBHP-QWRGUYRKSA-N 1 2 306.328 1.720 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)Cn1cc(Cl)cn1)O2 ZINC001273668229 851202896 /nfs/dbraw/zinc/20/28/96/851202896.db2.gz AWQLEFISSLMXPW-CYBMUJFWSA-N 1 2 324.812 1.072 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1COC2(C[NH+]([C@H](C)COC)C2)C1 ZINC001273695116 851235261 /nfs/dbraw/zinc/23/52/61/851235261.db2.gz CMVKNOXNFDUUJI-CABCVRRESA-N 1 2 308.422 1.174 20 30 DDEDLO CCOCCN1C[C@@]2(F)C[N@@H+](CCCCC#N)C[C@@]2(F)C1=O ZINC001274040956 851881197 /nfs/dbraw/zinc/88/11/97/851881197.db2.gz XNIJXDZQCVJZKO-LSDHHAIUSA-N 1 2 315.364 1.291 20 30 DDEDLO CCOCCN1C[C@@]2(F)C[N@H+](CCCCC#N)C[C@@]2(F)C1=O ZINC001274040956 851881196 /nfs/dbraw/zinc/88/11/96/851881196.db2.gz XNIJXDZQCVJZKO-LSDHHAIUSA-N 1 2 315.364 1.291 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@H+](Cc3nc(C)co3)C2)OCC1=O ZINC001274381015 852215778 /nfs/dbraw/zinc/21/57/78/852215778.db2.gz WJXKFQVQBMTMGW-INIZCTEOSA-N 1 2 305.378 1.362 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@@H+](Cc3nc(C)co3)C2)OCC1=O ZINC001274381015 852215784 /nfs/dbraw/zinc/21/57/84/852215784.db2.gz WJXKFQVQBMTMGW-INIZCTEOSA-N 1 2 305.378 1.362 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](C[C@@H](O)CCCC)C[C@@]2(F)C1=O ZINC001274572814 852405260 /nfs/dbraw/zinc/40/52/60/852405260.db2.gz QRQSIWMDZNGQAO-AEGPPILISA-N 1 2 302.365 1.298 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](C[C@@H](O)CCCC)C[C@@]2(F)C1=O ZINC001274572814 852405268 /nfs/dbraw/zinc/40/52/68/852405268.db2.gz QRQSIWMDZNGQAO-AEGPPILISA-N 1 2 302.365 1.298 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1C[C@]2(F)CN(CC(C)C)C(=O)[C@]2(F)C1 ZINC001274616550 852447435 /nfs/dbraw/zinc/44/74/35/852447435.db2.gz KGCKUEJISJCHDQ-QLFBSQMISA-N 1 2 302.365 1.154 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1C[C@]2(F)CN(CC(C)C)C(=O)[C@]2(F)C1 ZINC001274616550 852447440 /nfs/dbraw/zinc/44/74/40/852447440.db2.gz KGCKUEJISJCHDQ-QLFBSQMISA-N 1 2 302.365 1.154 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1coc(C)n1 ZINC001275100900 852805800 /nfs/dbraw/zinc/80/58/00/852805800.db2.gz UIOKMDQKZQCRNE-RDJZCZTQSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]1C[N@H+](C)Cc1coc(C)n1 ZINC001275100900 852805804 /nfs/dbraw/zinc/80/58/04/852805804.db2.gz UIOKMDQKZQCRNE-RDJZCZTQSA-N 1 2 321.421 1.733 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3OCCN(Cc4ccc[nH]4)[C@H]3C2)cn1 ZINC001275296308 852961929 /nfs/dbraw/zinc/96/19/29/852961929.db2.gz ZMHORASOIYJCEK-ROUUACIJSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3OCCN(Cc4ccc[nH]4)[C@H]3C2)cn1 ZINC001275296308 852961933 /nfs/dbraw/zinc/96/19/33/852961933.db2.gz ZMHORASOIYJCEK-ROUUACIJSA-N 1 2 323.400 1.367 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H](C)C[NH2+]Cc1nnc(C)o1)c1ccccc1 ZINC001275450837 853141915 /nfs/dbraw/zinc/14/19/15/853141915.db2.gz DAZNEPSFUKVLKN-WFASDCNBSA-N 1 2 314.389 1.942 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@H]1C[N@H+](CC(=C)Cl)CCCO1 ZINC001150811169 862476583 /nfs/dbraw/zinc/47/65/83/862476583.db2.gz NDPHUQRQWCGQES-KGLIPLIRSA-N 1 2 316.829 1.537 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@H]1C[N@@H+](CC(=C)Cl)CCCO1 ZINC001150811169 862476584 /nfs/dbraw/zinc/47/65/84/862476584.db2.gz NDPHUQRQWCGQES-KGLIPLIRSA-N 1 2 316.829 1.537 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001327903514 862550821 /nfs/dbraw/zinc/55/08/21/862550821.db2.gz OJFKLXUYXGBATK-QWHCGFSZSA-N 1 2 322.409 1.509 20 30 DDEDLO C=C(Br)C[NH2+]CCCNC(=O)c1[nH]nc(C)c1C ZINC001155971147 862602330 /nfs/dbraw/zinc/60/23/30/862602330.db2.gz NCIAKQWTIQPQIE-UHFFFAOYSA-N 1 2 315.215 1.645 20 30 DDEDLO CCCC[C@H](CNCC#N)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001276256185 855067671 /nfs/dbraw/zinc/06/76/71/855067671.db2.gz VGSXHJIPVNPTCT-UONOGXRCSA-N 1 2 303.410 1.234 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N1CCc2cc[nH+]c(N)c2C1 ZINC001413377280 856731673 /nfs/dbraw/zinc/73/16/73/856731673.db2.gz KVADNAATYKCIMU-GFCCVEGCSA-N 1 2 322.368 1.888 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nncn2C)[C@H](C)C1 ZINC001328266496 862841509 /nfs/dbraw/zinc/84/15/09/862841509.db2.gz JNRRATDYEDQBCE-ZIAGYGMSSA-N 1 2 305.426 1.641 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nncn2C)[C@H](C)C1 ZINC001328266496 862841518 /nfs/dbraw/zinc/84/15/18/862841518.db2.gz JNRRATDYEDQBCE-ZIAGYGMSSA-N 1 2 305.426 1.641 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001049561809 856979539 /nfs/dbraw/zinc/97/95/39/856979539.db2.gz QPTIICAQSFVWPT-VNQPRFMTSA-N 1 2 313.405 1.034 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccc2[nH+]ccn21 ZINC001049590356 857025425 /nfs/dbraw/zinc/02/54/25/857025425.db2.gz SBRBPSMJHBRMGO-UONOGXRCSA-N 1 2 309.373 1.537 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072603202 857502417 /nfs/dbraw/zinc/50/24/17/857502417.db2.gz ORIAXRHDMMLTCP-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO CC(C)(C)OC(=O)Nc1ccc(N2CC[NH2+]C[C@@H]2C#N)nc1 ZINC001156327476 862939326 /nfs/dbraw/zinc/93/93/26/862939326.db2.gz AUTMGNFHSCFVME-LBPRGKRZSA-N 1 2 303.366 1.730 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccnc(Cl)c2)C[C@H]1C ZINC001206641654 862963642 /nfs/dbraw/zinc/96/36/42/862963642.db2.gz XRESEUZYYPOIBP-DMDPSCGWSA-N 1 2 306.797 1.831 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccnc(Cl)c2)C[C@H]1C ZINC001206641654 862963655 /nfs/dbraw/zinc/96/36/55/862963655.db2.gz XRESEUZYYPOIBP-DMDPSCGWSA-N 1 2 306.797 1.831 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C2=COCCC2)C1 ZINC001073552392 858433923 /nfs/dbraw/zinc/43/39/23/858433923.db2.gz PZGADELYOIIUCU-AWEZNQCLSA-N 1 2 314.813 1.640 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C2=COCCC2)C1 ZINC001073552392 858433929 /nfs/dbraw/zinc/43/39/29/858433929.db2.gz PZGADELYOIIUCU-AWEZNQCLSA-N 1 2 314.813 1.640 20 30 DDEDLO N#Cc1cccc2[nH]cc(C(=O)N[C@@H]3CCn4cc[nH+]c4C3)c21 ZINC001138457761 860106065 /nfs/dbraw/zinc/10/60/65/860106065.db2.gz KNTJZVYKZYXIEQ-GFCCVEGCSA-N 1 2 305.341 1.981 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@](C)(C#N)C2)ccc1OCC(N)=O ZINC001138599314 860141156 /nfs/dbraw/zinc/14/11/56/860141156.db2.gz HPNOEULRIATGMU-INIZCTEOSA-N 1 2 303.362 1.295 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@](C)(C#N)C2)ccc1OCC(N)=O ZINC001138599314 860141160 /nfs/dbraw/zinc/14/11/60/860141160.db2.gz HPNOEULRIATGMU-INIZCTEOSA-N 1 2 303.362 1.295 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](Cc2ccc(OCCO)cc2)CC1 ZINC001138802426 860194502 /nfs/dbraw/zinc/19/45/02/860194502.db2.gz ANHRCXSIRPWNLC-UHFFFAOYSA-N 1 2 320.389 1.498 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(Br)cnc2N)CC1 ZINC001139451874 860407768 /nfs/dbraw/zinc/40/77/68/860407768.db2.gz AGHRDMZFEMSQNK-UHFFFAOYSA-N 1 2 309.211 1.177 20 30 DDEDLO COc1cccc(N2CC[NH+](Cc3ccc(C#N)nc3)CC2)n1 ZINC001140546215 860654513 /nfs/dbraw/zinc/65/45/13/860654513.db2.gz YZAJPOYUJQHBPS-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2ccn3ccnc3c2)CC1 ZINC001141046304 860772398 /nfs/dbraw/zinc/77/23/98/860772398.db2.gz UPSPSHZAQKNIAN-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#Cc1nccnc1N1CCN(Cc2ccn3cc[nH+]c3c2)CC1 ZINC001141046304 860772400 /nfs/dbraw/zinc/77/24/00/860772400.db2.gz UPSPSHZAQKNIAN-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#Cc1cc(F)ccc1C[NH+]1CCC(N2CCOCC2=O)CC1 ZINC001141167355 860800587 /nfs/dbraw/zinc/80/05/87/860800587.db2.gz ITVWZGBQMCFFSS-UHFFFAOYSA-N 1 2 317.364 1.521 20 30 DDEDLO C#CC[N@@H+](C)C[C@H]1CCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001328737564 863183668 /nfs/dbraw/zinc/18/36/68/863183668.db2.gz OTFBNZRSXIAETR-ZIAGYGMSSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@H+](C)C[C@H]1CCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001328737564 863183675 /nfs/dbraw/zinc/18/36/75/863183675.db2.gz OTFBNZRSXIAETR-ZIAGYGMSSA-N 1 2 300.406 1.386 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)cs1 ZINC001152370503 863308611 /nfs/dbraw/zinc/30/86/11/863308611.db2.gz IZTNEJJUCOPAHN-CYBMUJFWSA-N 1 2 311.451 1.981 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)cs1 ZINC001152370503 863308618 /nfs/dbraw/zinc/30/86/18/863308618.db2.gz IZTNEJJUCOPAHN-CYBMUJFWSA-N 1 2 311.451 1.981 20 30 DDEDLO CC[N@H+](Cc1cn(C(C)(C)C)nn1)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152412977 863336867 /nfs/dbraw/zinc/33/68/67/863336867.db2.gz HHHJVKIPLWPZRH-QWHCGFSZSA-N 1 2 320.441 1.519 20 30 DDEDLO CC[N@@H+](Cc1cn(C(C)(C)C)nn1)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152412977 863336878 /nfs/dbraw/zinc/33/68/78/863336878.db2.gz HHHJVKIPLWPZRH-QWHCGFSZSA-N 1 2 320.441 1.519 20 30 DDEDLO CC#CC[NH2+][C@H](C)[C@@H](C)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001329090392 863469989 /nfs/dbraw/zinc/46/99/89/863469989.db2.gz LFVVYVXJGQERFC-CHWSQXEVSA-N 1 2 311.389 1.591 20 30 DDEDLO CC[N@H+](Cc1nccs1)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152788778 863538395 /nfs/dbraw/zinc/53/83/95/863538395.db2.gz AXFOIRVAPZNCNF-LLVKDONJSA-N 1 2 317.418 1.983 20 30 DDEDLO CC[N@@H+](Cc1nccs1)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152788778 863538406 /nfs/dbraw/zinc/53/84/06/863538406.db2.gz AXFOIRVAPZNCNF-LLVKDONJSA-N 1 2 317.418 1.983 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+]Cc1nc(C2CCC2)no1 ZINC001153086270 863701344 /nfs/dbraw/zinc/70/13/44/863701344.db2.gz VBZWMTLFCGJONG-UHFFFAOYSA-N 1 2 308.382 1.136 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)C1C[NH+](Cc2ccc(F)cn2)C1 ZINC001329997933 864006189 /nfs/dbraw/zinc/00/61/89/864006189.db2.gz PEWLQVQCDQHCMW-QWHCGFSZSA-N 1 2 319.380 1.196 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)CC2CC(C)(C)C2)C1 ZINC001330037630 864037085 /nfs/dbraw/zinc/03/70/85/864037085.db2.gz BCLFYPADKYRYKT-ZDUSSCGKSA-N 1 2 321.465 1.551 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(c3ccc(Cl)c(C#N)n3)C2)C[C@H](C)O1 ZINC001158716805 864847479 /nfs/dbraw/zinc/84/74/79/864847479.db2.gz IMMBVYQRXHSLCV-PHIMTYICSA-N 1 2 306.797 1.904 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(c3ccc(Cl)c(C#N)n3)C2)C[C@H](C)O1 ZINC001158716805 864847495 /nfs/dbraw/zinc/84/74/95/864847495.db2.gz IMMBVYQRXHSLCV-PHIMTYICSA-N 1 2 306.797 1.904 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)N2CC[C@H](c3[nH+]ccn3C)C2)CC1 ZINC001331174075 864921822 /nfs/dbraw/zinc/92/18/22/864921822.db2.gz KXDARSIMAVOYKV-AWEZNQCLSA-N 1 2 316.405 1.161 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H](O)C[N@@H+](C)[C@H](C)c1nc(C)no1 ZINC001332634604 866001868 /nfs/dbraw/zinc/00/18/68/866001868.db2.gz ZRONCOUQDZWCKO-TZMCWYRMSA-N 1 2 324.425 1.546 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H](O)C[N@H+](C)[C@H](C)c1nc(C)no1 ZINC001332634604 866001881 /nfs/dbraw/zinc/00/18/81/866001881.db2.gz ZRONCOUQDZWCKO-TZMCWYRMSA-N 1 2 324.425 1.546 20 30 DDEDLO N#Cc1nc(Cl)cnc1N[C@H]1CCC[C@H]1[NH+]1CCOCC1 ZINC001160721956 866062792 /nfs/dbraw/zinc/06/27/92/866062792.db2.gz DOIFCBLSVCNJSD-CMPLNLGQSA-N 1 2 307.785 1.667 20 30 DDEDLO N#Cc1cc(Cl)nnc1N[C@H]1CCC[C@H]1[NH+]1CCOCC1 ZINC001160726333 866075468 /nfs/dbraw/zinc/07/54/68/866075468.db2.gz YUHRBJGSXOKPRI-NWDGAFQWSA-N 1 2 307.785 1.667 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC001323100933 866338069 /nfs/dbraw/zinc/33/80/69/866338069.db2.gz NDCMXNDNSPKAEG-QWHCGFSZSA-N 1 2 304.394 1.587 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CC[N@H+]1Cc1cnc(C)s1 ZINC001323224472 866445764 /nfs/dbraw/zinc/44/57/64/866445764.db2.gz CBADOGZZMCXUQY-CYBMUJFWSA-N 1 2 307.419 1.182 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CC[N@@H+]1Cc1cnc(C)s1 ZINC001323224472 866445768 /nfs/dbraw/zinc/44/57/68/866445768.db2.gz CBADOGZZMCXUQY-CYBMUJFWSA-N 1 2 307.419 1.182 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC001323511204 866653522 /nfs/dbraw/zinc/65/35/22/866653522.db2.gz ODLUDVSNBJTXAM-CYBMUJFWSA-N 1 2 319.405 1.794 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC(CNC(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001333780380 867005824 /nfs/dbraw/zinc/00/58/24/867005824.db2.gz VBICMCQZRSSQJP-UHFFFAOYSA-N 1 2 316.405 1.320 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)C1 ZINC001320899275 867110525 /nfs/dbraw/zinc/11/05/25/867110525.db2.gz RZAXINBIPZTHKF-CQSZACIVSA-N 1 2 311.426 1.084 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)NCC[N@H+]2CCOC(C)(C)C2)C1 ZINC001320899275 867110536 /nfs/dbraw/zinc/11/05/36/867110536.db2.gz RZAXINBIPZTHKF-CQSZACIVSA-N 1 2 311.426 1.084 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNc1nc(Cl)c(Cl)cc1C#N ZINC001161950939 867132722 /nfs/dbraw/zinc/13/27/22/867132722.db2.gz XKSAAERJEOKVCM-MRVPVSSYSA-N 1 2 303.149 1.562 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001161972739 867151172 /nfs/dbraw/zinc/15/11/72/867151172.db2.gz LCJWYIUKNLKEGE-CQSZACIVSA-N 1 2 319.405 1.904 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001161972739 867151176 /nfs/dbraw/zinc/15/11/76/867151176.db2.gz LCJWYIUKNLKEGE-CQSZACIVSA-N 1 2 319.405 1.904 20 30 DDEDLO C#CCN(C(=O)[C@@H]1C[C@@H]1C)C1CC[NH+](Cc2nonc2C)CC1 ZINC001324365042 867229966 /nfs/dbraw/zinc/22/99/66/867229966.db2.gz QFEAAYQDGQNLFL-SWLSCSKDSA-N 1 2 316.405 1.460 20 30 DDEDLO C=CC(C)(C)CC(=O)NC/C=C\C[NH2+][C@@H](C)c1nnnn1C ZINC001321078612 867292730 /nfs/dbraw/zinc/29/27/30/867292730.db2.gz YTRVNDAEQFEHPR-KRZKBDHCSA-N 1 2 306.414 1.135 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@@]1(C)CC[N@H+](CC(=O)NCC#N)C1 ZINC001324572495 867363610 /nfs/dbraw/zinc/36/36/10/867363610.db2.gz HGXWPLXPQJEUIL-PBHICJAKSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@@]1(C)CC[N@@H+](CC(=O)NCC#N)C1 ZINC001324572495 867363622 /nfs/dbraw/zinc/36/36/22/867363622.db2.gz HGXWPLXPQJEUIL-PBHICJAKSA-N 1 2 322.453 1.423 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)COCCOCC)C1 ZINC001324673938 867445280 /nfs/dbraw/zinc/44/52/80/867445280.db2.gz OUZYVWHJEFIIMK-CQSZACIVSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)COCCOCC)C1 ZINC001324673938 867445284 /nfs/dbraw/zinc/44/52/84/867445284.db2.gz OUZYVWHJEFIIMK-CQSZACIVSA-N 1 2 304.818 1.373 20 30 DDEDLO C#CC[C@H](NC(=O)C(=O)N[C@H](C)Cn1cc[nH+]c1)c1ccccc1 ZINC001322220048 868059631 /nfs/dbraw/zinc/05/96/31/868059631.db2.gz WLYGDJUHBUPQAF-ZBFHGGJFSA-N 1 2 324.384 1.269 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC001322880246 868441611 /nfs/dbraw/zinc/44/16/11/868441611.db2.gz OIYJYNIVDGAQOE-QWHCGFSZSA-N 1 2 304.394 1.207 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1ccnc2c1nnn2C ZINC001381571399 882196081 /nfs/dbraw/zinc/19/60/81/882196081.db2.gz JEJXMPBANMITBL-JTQLQIEISA-N 1 2 322.800 1.166 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1ccnc2c1nnn2C ZINC001381571399 882196090 /nfs/dbraw/zinc/19/60/90/882196090.db2.gz JEJXMPBANMITBL-JTQLQIEISA-N 1 2 322.800 1.166 20 30 DDEDLO C#C[C@H]([NH2+]CCS(C)(=O)=O)c1ccc(Br)cc1 ZINC001336004758 868674044 /nfs/dbraw/zinc/67/40/44/868674044.db2.gz XMKILBWGQHFWCH-LBPRGKRZSA-N 1 2 316.220 1.758 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001337197712 869376044 /nfs/dbraw/zinc/37/60/44/869376044.db2.gz QAUYEDHURRKGQT-ZDUSSCGKSA-N 1 2 320.437 1.908 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001337197712 869376054 /nfs/dbraw/zinc/37/60/54/869376054.db2.gz QAUYEDHURRKGQT-ZDUSSCGKSA-N 1 2 320.437 1.908 20 30 DDEDLO N#Cc1ccc(NCC(=O)NCCc2cn3c([nH+]2)CCCC3)nc1 ZINC001361757867 882309204 /nfs/dbraw/zinc/30/92/04/882309204.db2.gz YNONZHPWSFARQK-UHFFFAOYSA-N 1 2 324.388 1.257 20 30 DDEDLO O/N=C/c1ccnc(O[C@H]2CCN3Cc4ccccc4[NH+]=C23)n1 ZINC001226257053 882310816 /nfs/dbraw/zinc/31/08/16/882310816.db2.gz YDSOOGLRPKYDPD-RMNBPEERSA-N 1 2 309.329 1.982 20 30 DDEDLO COC(=O)c1ccc(OC)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001226277248 882324855 /nfs/dbraw/zinc/32/48/55/882324855.db2.gz SRZVWYIUMXDMHN-FDAWXEHDSA-N 1 2 319.357 1.473 20 30 DDEDLO COC(=O)c1ccc(OC)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001226277248 882324873 /nfs/dbraw/zinc/32/48/73/882324873.db2.gz SRZVWYIUMXDMHN-FDAWXEHDSA-N 1 2 319.357 1.473 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)[C@@H]2C[C@H]2CC(C)(C)C)CC1 ZINC001316964254 870002419 /nfs/dbraw/zinc/00/24/19/870002419.db2.gz VYLDRHWZIZVFIN-DLBZAZTESA-N 1 2 319.493 1.816 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001316980814 870053728 /nfs/dbraw/zinc/05/37/28/870053728.db2.gz LJOVCPCDVQVTSE-LVQVYYBASA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001316980814 870053739 /nfs/dbraw/zinc/05/37/39/870053739.db2.gz LJOVCPCDVQVTSE-LVQVYYBASA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H](C)c2ccccc2)C1 ZINC001316991603 870084580 /nfs/dbraw/zinc/08/45/80/870084580.db2.gz PGXDQLKPRGXPKM-GDBMZVCRSA-N 1 2 315.417 1.283 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H](C)c2ccccc2)C1 ZINC001316991603 870084587 /nfs/dbraw/zinc/08/45/87/870084587.db2.gz PGXDQLKPRGXPKM-GDBMZVCRSA-N 1 2 315.417 1.283 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)CC(C)C)n2CC=C)CC1 ZINC001338934923 870250408 /nfs/dbraw/zinc/25/04/08/870250408.db2.gz FDDAOMZFVOZSHC-HNNXBMFYSA-N 1 2 317.437 1.299 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCC[N@H+](Cc2cnon2)C1 ZINC001317132441 870324355 /nfs/dbraw/zinc/32/43/55/870324355.db2.gz YHIJLMSDBODQOJ-CQSZACIVSA-N 1 2 304.394 1.591 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCC[N@@H+](Cc2cnon2)C1 ZINC001317132441 870324372 /nfs/dbraw/zinc/32/43/72/870324372.db2.gz YHIJLMSDBODQOJ-CQSZACIVSA-N 1 2 304.394 1.591 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(C)nc3)n2CC=C)CC1 ZINC001339184053 870392612 /nfs/dbraw/zinc/39/26/12/870392612.db2.gz IEPRZSLKOBSOSN-UHFFFAOYSA-N 1 2 322.416 1.590 20 30 DDEDLO C=C(C)CCC(=O)N1CC=C(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001339523761 870538803 /nfs/dbraw/zinc/53/88/03/870538803.db2.gz XJIVKQFPBLZYCG-UHFFFAOYSA-N 1 2 316.405 1.514 20 30 DDEDLO N#Cc1cc(F)cc(C[NH+]2CC3(C[C@@H]3C(=O)N3CC=CC3)C2)c1 ZINC001277126848 882419755 /nfs/dbraw/zinc/41/97/55/882419755.db2.gz QFRPVEASEKJEMO-MRXNPFEDSA-N 1 2 311.360 1.918 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)N(CC)C(=O)Cc1[nH]c[nH+]c1C ZINC001340105114 870895509 /nfs/dbraw/zinc/89/55/09/870895509.db2.gz BCNFNYJLYUISMU-CYBMUJFWSA-N 1 2 320.437 1.970 20 30 DDEDLO CC[N@@H+](CC#Cc1ccc(F)cc1)CCNC(=O)c1nnc[nH]1 ZINC001317487964 870906150 /nfs/dbraw/zinc/90/61/50/870906150.db2.gz JECOAGGCUZKRLQ-UHFFFAOYSA-N 1 2 315.352 1.047 20 30 DDEDLO CC[N@H+](CC#Cc1ccc(F)cc1)CCNC(=O)c1nnc[nH]1 ZINC001317487964 870906164 /nfs/dbraw/zinc/90/61/64/870906164.db2.gz JECOAGGCUZKRLQ-UHFFFAOYSA-N 1 2 315.352 1.047 20 30 DDEDLO CC[N@@H+](CC#Cc1ccc(F)cc1)CCNC(=O)c1ncn[nH]1 ZINC001317487964 870906176 /nfs/dbraw/zinc/90/61/76/870906176.db2.gz JECOAGGCUZKRLQ-UHFFFAOYSA-N 1 2 315.352 1.047 20 30 DDEDLO CC[N@H+](CC#Cc1ccc(F)cc1)CCNC(=O)c1ncn[nH]1 ZINC001317487964 870906187 /nfs/dbraw/zinc/90/61/87/870906187.db2.gz JECOAGGCUZKRLQ-UHFFFAOYSA-N 1 2 315.352 1.047 20 30 DDEDLO CC[N@@H+](CC#Cc1ccc(F)cc1)CCNC(=O)c1nc[nH]n1 ZINC001317487964 870906199 /nfs/dbraw/zinc/90/61/99/870906199.db2.gz JECOAGGCUZKRLQ-UHFFFAOYSA-N 1 2 315.352 1.047 20 30 DDEDLO CC[N@H+](CC#Cc1ccc(F)cc1)CCNC(=O)c1nc[nH]n1 ZINC001317487964 870906211 /nfs/dbraw/zinc/90/62/11/870906211.db2.gz JECOAGGCUZKRLQ-UHFFFAOYSA-N 1 2 315.352 1.047 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC=C(C)CC1 ZINC001340257960 870993110 /nfs/dbraw/zinc/99/31/10/870993110.db2.gz CMDTXQRDYPLTDL-KGLIPLIRSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC=C(C)CC1 ZINC001340257960 870993116 /nfs/dbraw/zinc/99/31/16/870993116.db2.gz CMDTXQRDYPLTDL-KGLIPLIRSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N1CCCOCC1 ZINC001340263162 870994460 /nfs/dbraw/zinc/99/44/60/870994460.db2.gz CBSNUXABBARCKQ-CQSZACIVSA-N 1 2 305.426 1.848 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N1CCCOCC1 ZINC001340263162 870994470 /nfs/dbraw/zinc/99/44/70/870994470.db2.gz CBSNUXABBARCKQ-CQSZACIVSA-N 1 2 305.426 1.848 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[N@@H+](CCC)Cc1nc(=O)n(C)[nH]1 ZINC001317542905 871032530 /nfs/dbraw/zinc/03/25/30/871032530.db2.gz LYFUDXIKEAQWTM-UHFFFAOYSA-N 1 2 323.441 1.039 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[N@H+](CCC)Cc1nc(=O)n(C)[nH]1 ZINC001317542905 871032548 /nfs/dbraw/zinc/03/25/48/871032548.db2.gz LYFUDXIKEAQWTM-UHFFFAOYSA-N 1 2 323.441 1.039 20 30 DDEDLO N#Cc1ccccc1/C=C\C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC001302061496 871041199 /nfs/dbraw/zinc/04/11/99/871041199.db2.gz UMAGJKOGDVKLKA-KJPDOMRESA-N 1 2 307.357 1.468 20 30 DDEDLO C[C@@H](CC#N)[NH2+]Cc1c[nH]nc1CN1C(=O)c2ccccc2C1=O ZINC001308506544 871504098 /nfs/dbraw/zinc/50/40/98/871504098.db2.gz XTJOMJKAFKBINJ-NSHDSACASA-N 1 2 323.356 1.598 20 30 DDEDLO COC(=O)[C@@H]1COCCC12C[NH+](Cc1ccc(F)c(C#N)c1)C2 ZINC001205496604 871589192 /nfs/dbraw/zinc/58/91/92/871589192.db2.gz HBQDPJJYLDLGQX-AWEZNQCLSA-N 1 2 318.348 1.709 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCOc1ccc(F)cc1 ZINC001318209874 871837329 /nfs/dbraw/zinc/83/73/29/871837329.db2.gz RYVMIHILHBANAX-HOTGVXAUSA-N 1 2 316.376 1.903 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCOc1ccc(F)cc1 ZINC001318209874 871837345 /nfs/dbraw/zinc/83/73/45/871837345.db2.gz RYVMIHILHBANAX-HOTGVXAUSA-N 1 2 316.376 1.903 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)C(F)F)C1 ZINC001316968824 871874568 /nfs/dbraw/zinc/87/45/68/871874568.db2.gz ZUYFVKOZTPVKBL-RYUDHWBXSA-N 1 2 317.380 1.255 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)C(F)F)C1 ZINC001316968824 871874576 /nfs/dbraw/zinc/87/45/76/871874576.db2.gz ZUYFVKOZTPVKBL-RYUDHWBXSA-N 1 2 317.380 1.255 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N(C[C@H](C)O)C1CC1 ZINC001341903179 871888916 /nfs/dbraw/zinc/88/89/16/871888916.db2.gz LVPPAEBECIKZGW-DZGCQCFKSA-N 1 2 319.453 1.971 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N(C[C@H](C)O)C1CC1 ZINC001341903179 871888941 /nfs/dbraw/zinc/88/89/41/871888941.db2.gz LVPPAEBECIKZGW-DZGCQCFKSA-N 1 2 319.453 1.971 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1CC1(F)F)O2 ZINC001315479904 871915911 /nfs/dbraw/zinc/91/59/11/871915911.db2.gz UTFVCCHJTNQKCX-VXGBXAGGSA-N 1 2 300.349 1.567 20 30 DDEDLO CCc1nnc([C@@H](C)[NH+]2CCC(NC(=O)[C@H](C)C#N)CC2)o1 ZINC001226639429 882560343 /nfs/dbraw/zinc/56/03/43/882560343.db2.gz CCWIQWDRAWTAAN-GHMZBOCLSA-N 1 2 305.382 1.433 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@H](C)c2ccco2)C1 ZINC001319322999 872575452 /nfs/dbraw/zinc/57/54/52/872575452.db2.gz SLYIRVPPNQGTOO-CABCVRRESA-N 1 2 322.405 1.403 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@H](C)c2ccco2)C1 ZINC001319322999 872575461 /nfs/dbraw/zinc/57/54/61/872575461.db2.gz SLYIRVPPNQGTOO-CABCVRRESA-N 1 2 322.405 1.403 20 30 DDEDLO C#C[C@@H](CO)[NH2+]Cc1cccc(F)c1I ZINC001206837864 872635957 /nfs/dbraw/zinc/63/59/57/872635957.db2.gz IHESXCZXQUBHBF-VIFPVBQESA-N 1 2 319.117 1.514 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](CC)CO)n2CC(C)C)CC1 ZINC001344407834 872997651 /nfs/dbraw/zinc/99/76/51/872997651.db2.gz DPEXGXBSCIUMNX-OAHLLOKOSA-N 1 2 319.453 1.175 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001207158301 873086579 /nfs/dbraw/zinc/08/65/79/873086579.db2.gz OIWWNTVTKUBCFC-CHWSQXEVSA-N 1 2 316.467 1.070 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001207158301 873086595 /nfs/dbraw/zinc/08/65/95/873086595.db2.gz OIWWNTVTKUBCFC-CHWSQXEVSA-N 1 2 316.467 1.070 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCC)n2C[C@H]2CCOC2)CC1 ZINC001346535771 873793802 /nfs/dbraw/zinc/79/38/02/873793802.db2.gz QFAMHTSXPOPBCS-OAHLLOKOSA-N 1 2 317.437 1.022 20 30 DDEDLO C=CCOCC[NH2+][C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001208083335 873820887 /nfs/dbraw/zinc/82/08/87/873820887.db2.gz CMMPJURQQJRLAM-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCOCC[NH2+][C@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001208083335 873820896 /nfs/dbraw/zinc/82/08/96/873820896.db2.gz CMMPJURQQJRLAM-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CCC[C@H](NCC#N)C1)n1cc[nH+]c1 ZINC001208386343 874094493 /nfs/dbraw/zinc/09/44/93/874094493.db2.gz BLWQQVCSDDSSNV-LSDHHAIUSA-N 1 2 303.410 1.574 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+]([C@H](C)c2ncccn2)CC1 ZINC001227124211 882855776 /nfs/dbraw/zinc/85/57/76/882855776.db2.gz PMPGQIPTZGFGGF-CXAGYDPISA-N 1 2 318.421 1.445 20 30 DDEDLO C=C[C@@H](C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)c1ccccc1 ZINC001348845819 874824287 /nfs/dbraw/zinc/82/42/87/874824287.db2.gz IYFPTJPASLJSJP-IAGOWNOFSA-N 1 2 300.402 1.889 20 30 DDEDLO CC#CCCCC(=O)NCCNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001349248761 875063987 /nfs/dbraw/zinc/06/39/87/875063987.db2.gz QCEWQSUFXZIPLI-UHFFFAOYSA-N 1 2 318.421 1.353 20 30 DDEDLO Cc1cc(N)[nH+]cc1-n1c(Br)c(C#N)c(N)cc1=N ZINC001209968114 875180340 /nfs/dbraw/zinc/18/03/40/875180340.db2.gz RUPKQMRCFHQMJO-UHFFFAOYSA-N 1 2 319.166 1.459 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nc(C)no2)C[C@H]1C ZINC001211422672 875799164 /nfs/dbraw/zinc/79/91/64/875799164.db2.gz PSEBFDOMHQAAJB-YRGRVCCFSA-N 1 2 322.409 1.468 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nc(C)no2)C[C@H]1C ZINC001211422672 875799172 /nfs/dbraw/zinc/79/91/72/875799172.db2.gz PSEBFDOMHQAAJB-YRGRVCCFSA-N 1 2 322.409 1.468 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2ncccn2)C[C@H]1C ZINC001211422137 875799400 /nfs/dbraw/zinc/79/94/00/875799400.db2.gz DTLKYPLJBZRIQM-QLFBSQMISA-N 1 2 318.421 1.567 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2ncccn2)C[C@H]1C ZINC001211422137 875799407 /nfs/dbraw/zinc/79/94/07/875799407.db2.gz DTLKYPLJBZRIQM-QLFBSQMISA-N 1 2 318.421 1.567 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001350711150 875849394 /nfs/dbraw/zinc/84/93/94/875849394.db2.gz JKXXRDNQZJLTMB-PBHICJAKSA-N 1 2 318.421 1.545 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+](Cc2ncc(C)o2)CC1 ZINC001227332130 882972412 /nfs/dbraw/zinc/97/24/12/882972412.db2.gz JLZDJRWGHWQOKW-UHFFFAOYSA-N 1 2 305.378 1.104 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C[C@@H](C)C(C)(C)C)[C@H](OC)C1 ZINC001213781568 876055644 /nfs/dbraw/zinc/05/56/44/876055644.db2.gz QQYSBXSNVYHLDW-BZUAXINKSA-N 1 2 324.465 1.524 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C[C@@H](C)C(C)(C)C)[C@H](OC)C1 ZINC001213781568 876055655 /nfs/dbraw/zinc/05/56/55/876055655.db2.gz QQYSBXSNVYHLDW-BZUAXINKSA-N 1 2 324.465 1.524 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1OC ZINC001213963843 876116012 /nfs/dbraw/zinc/11/60/12/876116012.db2.gz MGGQPSCRGXNBEH-FBVTZJDUSA-N 1 2 302.802 1.147 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1OC ZINC001213963843 876116017 /nfs/dbraw/zinc/11/60/17/876116017.db2.gz MGGQPSCRGXNBEH-FBVTZJDUSA-N 1 2 302.802 1.147 20 30 DDEDLO C#CCCCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001215322859 876648304 /nfs/dbraw/zinc/64/83/04/876648304.db2.gz KWTLUNRAQAOFAU-UKRRQHHQSA-N 1 2 318.421 1.898 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001215376928 876686698 /nfs/dbraw/zinc/68/66/98/876686698.db2.gz VSTJKYVZTRYXMX-KWCYVHTRSA-N 1 2 306.410 1.917 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001215429577 876708354 /nfs/dbraw/zinc/70/83/54/876708354.db2.gz JPKDFAVFTNNHES-CHWSQXEVSA-N 1 2 306.410 1.925 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-n1cnc(C#N)c1N ZINC001215547014 876748206 /nfs/dbraw/zinc/74/82/06/876748206.db2.gz FUVHIHNMXVQVER-UHFFFAOYSA-N 1 2 313.361 1.167 20 30 DDEDLO Cc1ccc(C[N@H+]2C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](O)C2)c(Cl)c1 ZINC001219381592 878165376 /nfs/dbraw/zinc/16/53/76/878165376.db2.gz WZHMDGTXBSTULF-DFBGVHRSSA-N 1 2 321.808 1.469 20 30 DDEDLO Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](O)C2)c(Cl)c1 ZINC001219381592 878165380 /nfs/dbraw/zinc/16/53/80/878165380.db2.gz WZHMDGTXBSTULF-DFBGVHRSSA-N 1 2 321.808 1.469 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCOC3)n2C2CC2)CC1 ZINC001300700211 878176320 /nfs/dbraw/zinc/17/63/20/878176320.db2.gz NBEWMFPCXDGYCY-AWEZNQCLSA-N 1 2 315.421 1.262 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1([NH2+]Cc2nc3c(o2)CCCC3)CC1 ZINC001380066887 878704692 /nfs/dbraw/zinc/70/46/92/878704692.db2.gz ISSJJHKAKKPAPD-NSHDSACASA-N 1 2 302.378 1.451 20 30 DDEDLO Cc1nnc(C[NH2+]C2(CNC(=O)c3ccc(C#N)[nH]3)CC2)s1 ZINC001380071140 878718075 /nfs/dbraw/zinc/71/80/75/878718075.db2.gz OIEQKIWBDBNGKY-UHFFFAOYSA-N 1 2 316.390 1.099 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220205506 878820011 /nfs/dbraw/zinc/82/00/11/878820011.db2.gz CDPMUYFZYHYVTL-MCIONIFRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220205506 878820022 /nfs/dbraw/zinc/82/00/22/878820022.db2.gz CDPMUYFZYHYVTL-MCIONIFRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220242170 878845370 /nfs/dbraw/zinc/84/53/70/878845370.db2.gz NZNNEQONWZIHDW-MSOLQXFVSA-N 1 2 312.413 1.556 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220242170 878845384 /nfs/dbraw/zinc/84/53/84/878845384.db2.gz NZNNEQONWZIHDW-MSOLQXFVSA-N 1 2 312.413 1.556 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3cc(C)no3)C[C@@H]2O)CCC1 ZINC001220278774 878874058 /nfs/dbraw/zinc/87/40/58/878874058.db2.gz JBJDCWQIXWWEGC-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cc(C)no3)C[C@@H]2O)CCC1 ZINC001220278774 878874067 /nfs/dbraw/zinc/87/40/67/878874067.db2.gz JBJDCWQIXWWEGC-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccccn3)C[C@@H]2O)CC1 ZINC001220280495 878877983 /nfs/dbraw/zinc/87/79/83/878877983.db2.gz LGUYHTSQBGQTNS-CVEARBPZSA-N 1 2 315.417 1.489 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3ccccn3)C[C@@H]2O)CC1 ZINC001220280495 878877994 /nfs/dbraw/zinc/87/79/94/878877994.db2.gz LGUYHTSQBGQTNS-CVEARBPZSA-N 1 2 315.417 1.489 20 30 DDEDLO C#CCCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@@H]2C[C@H]2C)CC1 ZINC001356699546 879204467 /nfs/dbraw/zinc/20/44/67/879204467.db2.gz KDXATZQTQYVNDT-HZPDHXFCSA-N 1 2 319.449 1.049 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)NC(=O)CC)[C@@H]2C1 ZINC001221565311 879945964 /nfs/dbraw/zinc/94/59/64/879945964.db2.gz FVQCGJCMRWXQPU-JHJVBQTASA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)NC(=O)CC)[C@@H]2C1 ZINC001221565311 879945971 /nfs/dbraw/zinc/94/59/71/879945971.db2.gz FVQCGJCMRWXQPU-JHJVBQTASA-N 1 2 313.829 1.186 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C3(CC)CCC3)[C@@H]2C1 ZINC001221753585 880058703 /nfs/dbraw/zinc/05/87/03/880058703.db2.gz FZNWABOCOLIFEH-HUUCEWRRSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C3(CC)CCC3)[C@@H]2C1 ZINC001221753585 880058716 /nfs/dbraw/zinc/05/87/16/880058716.db2.gz FZNWABOCOLIFEH-HUUCEWRRSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@@H+]([C@H](C)C(N)=O)C[C@H]32)CCC1 ZINC001221797952 880093530 /nfs/dbraw/zinc/09/35/30/880093530.db2.gz PEFYYMCDSBFJSF-MGPQQGTHSA-N 1 2 305.422 1.139 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@H+]([C@H](C)C(N)=O)C[C@H]32)CCC1 ZINC001221797952 880093546 /nfs/dbraw/zinc/09/35/46/880093546.db2.gz PEFYYMCDSBFJSF-MGPQQGTHSA-N 1 2 305.422 1.139 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](CC)NC(C)=O)[C@@H]2C1 ZINC001221825537 880107679 /nfs/dbraw/zinc/10/76/79/880107679.db2.gz HTSNSYWWMGMVMQ-HZSPNIEDSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](CC)NC(C)=O)[C@@H]2C1 ZINC001221825537 880107693 /nfs/dbraw/zinc/10/76/93/880107693.db2.gz HTSNSYWWMGMVMQ-HZSPNIEDSA-N 1 2 313.829 1.186 20 30 DDEDLO CCCN1CCC[N@H+](C[C@@H](O)c2cccc(C#N)c2)CC1=O ZINC001413984812 880481890 /nfs/dbraw/zinc/48/18/90/880481890.db2.gz YXDCKRAPVSMNTG-MRXNPFEDSA-N 1 2 301.390 1.536 20 30 DDEDLO CCCN1CCC[N@@H+](C[C@@H](O)c2cccc(C#N)c2)CC1=O ZINC001413984812 880481901 /nfs/dbraw/zinc/48/19/01/880481901.db2.gz YXDCKRAPVSMNTG-MRXNPFEDSA-N 1 2 301.390 1.536 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001358555050 880528822 /nfs/dbraw/zinc/52/88/22/880528822.db2.gz SFVYYOADAFKQHE-UKRRQHHQSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCOCC(=O)NCC1CC[NH+](Cc2cnns2)CC1 ZINC001222619818 880606913 /nfs/dbraw/zinc/60/69/13/880606913.db2.gz XGAZPKHEMROXBV-UHFFFAOYSA-N 1 2 310.423 1.069 20 30 DDEDLO CC(C)c1nnc(C[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001222636766 880614160 /nfs/dbraw/zinc/61/41/60/880614160.db2.gz POZNHLYHKJDQBZ-LBPRGKRZSA-N 1 2 319.409 1.681 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001358690710 880764704 /nfs/dbraw/zinc/76/47/04/880764704.db2.gz IOPMPXYPYFPZBS-HNNXBMFYSA-N 1 2 304.394 1.320 20 30 DDEDLO C#Cc1ccc(C(=O)NC2(CNC(=O)Cc3c[nH]c[nH+]3)CC2)cc1 ZINC001358697179 880775200 /nfs/dbraw/zinc/77/52/00/880775200.db2.gz DFXLYEPRUHKITN-UHFFFAOYSA-N 1 2 322.368 1.012 20 30 DDEDLO C=CCCC(=O)N1CC(N(C)C(=O)Cc2c[nH+]ccc2OC)C1 ZINC001358737490 880833303 /nfs/dbraw/zinc/83/33/03/880833303.db2.gz JSMBYNNJUIZAPK-UHFFFAOYSA-N 1 2 317.389 1.268 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@@H]2CC[C@H](F)C2)CC1 ZINC001359029710 881160743 /nfs/dbraw/zinc/16/07/43/881160743.db2.gz FVBFXOAZQVTUHG-CABCVRRESA-N 1 2 305.401 1.480 20 30 DDEDLO CCCNC(=S)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001277289564 883446097 /nfs/dbraw/zinc/44/60/97/883446097.db2.gz SRFBLERVZVIXAF-UHFFFAOYSA-N 1 2 317.462 1.299 20 30 DDEDLO N#CC1(NC(=O)c2[nH]nc3c2CC[N@H+](CC2CCC2)C3)CCC1 ZINC001277303822 883519216 /nfs/dbraw/zinc/51/92/16/883519216.db2.gz UGHJVCLEBZXJQS-UHFFFAOYSA-N 1 2 313.405 1.744 20 30 DDEDLO N#CC1(NC(=O)c2[nH]nc3c2CC[N@@H+](CC2CCC2)C3)CCC1 ZINC001277303822 883519225 /nfs/dbraw/zinc/51/92/25/883519225.db2.gz UGHJVCLEBZXJQS-UHFFFAOYSA-N 1 2 313.405 1.744 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001228631831 883581687 /nfs/dbraw/zinc/58/16/87/883581687.db2.gz VVPWWYHWBWKDRV-UHFFFAOYSA-N 1 2 305.426 1.591 20 30 DDEDLO N#Cc1sccc1C(=O)NC1CC[NH+]([C@H]2CCOC2=O)CC1 ZINC001362345510 883613533 /nfs/dbraw/zinc/61/35/33/883613533.db2.gz DNROVBXHPWHLIQ-LBPRGKRZSA-N 1 2 319.386 1.129 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1CC[NH+](Cc2nccn2C)CC1 ZINC001228759937 883656734 /nfs/dbraw/zinc/65/67/34/883656734.db2.gz GHFUTTWZPHVNCG-KGLIPLIRSA-N 1 2 304.438 1.959 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cccc4c3CCC(=O)N4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229640861 884091900 /nfs/dbraw/zinc/09/19/00/884091900.db2.gz YHSRWNZHALPNFY-PKBIGMILSA-N 1 2 300.358 1.563 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cccc4c3CCC(=O)N4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229640861 884091913 /nfs/dbraw/zinc/09/19/13/884091913.db2.gz YHSRWNZHALPNFY-PKBIGMILSA-N 1 2 300.358 1.563 20 30 DDEDLO C=CCCOCC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230629457 884668496 /nfs/dbraw/zinc/66/84/96/884668496.db2.gz OTFGOAHPDUZTSR-MRXNPFEDSA-N 1 2 305.422 1.806 20 30 DDEDLO C=CCCOCC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230629457 884668500 /nfs/dbraw/zinc/66/85/00/884668500.db2.gz OTFGOAHPDUZTSR-MRXNPFEDSA-N 1 2 305.422 1.806 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)CC ZINC001230812618 884911597 /nfs/dbraw/zinc/91/15/97/884911597.db2.gz XJAUMNKQPRSRIO-HZPDHXFCSA-N 1 2 321.465 1.766 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)CC ZINC001230812618 884911615 /nfs/dbraw/zinc/91/16/15/884911615.db2.gz XJAUMNKQPRSRIO-HZPDHXFCSA-N 1 2 321.465 1.766 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001230939214 885064327 /nfs/dbraw/zinc/06/43/27/885064327.db2.gz SKTDDUKDVBKSQR-NEPJUHHUSA-N 1 2 307.419 1.687 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001230939214 885064348 /nfs/dbraw/zinc/06/43/48/885064348.db2.gz SKTDDUKDVBKSQR-NEPJUHHUSA-N 1 2 307.419 1.687 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)/C=C(\C)C1CC1 ZINC001230948000 885074627 /nfs/dbraw/zinc/07/46/27/885074627.db2.gz JYBJWWWORQZWTP-VOMSXAGXSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C(\C)C1CC1 ZINC001230948000 885074639 /nfs/dbraw/zinc/07/46/39/885074639.db2.gz JYBJWWWORQZWTP-VOMSXAGXSA-N 1 2 305.422 1.178 20 30 DDEDLO COC(=O)C[C@H](C#N)c1ccc(OC[C@H]2C[N@H+](C)CCO2)cc1 ZINC001230997621 885116815 /nfs/dbraw/zinc/11/68/15/885116815.db2.gz CVGZPYATNTZQBU-GDBMZVCRSA-N 1 2 318.373 1.566 20 30 DDEDLO COC(=O)C[C@H](C#N)c1ccc(OC[C@H]2C[N@@H+](C)CCO2)cc1 ZINC001230997621 885116821 /nfs/dbraw/zinc/11/68/21/885116821.db2.gz CVGZPYATNTZQBU-GDBMZVCRSA-N 1 2 318.373 1.566 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H]1CCN(C2CCC2)C1=O ZINC001231170990 885319347 /nfs/dbraw/zinc/31/93/47/885319347.db2.gz XFXNKFYHLHCOKD-HZPDHXFCSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H]1CCN(C2CCC2)C1=O ZINC001231170990 885319371 /nfs/dbraw/zinc/31/93/71/885319371.db2.gz XFXNKFYHLHCOKD-HZPDHXFCSA-N 1 2 319.449 1.639 20 30 DDEDLO CCCN(CCC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231248452 885432183 /nfs/dbraw/zinc/43/21/83/885432183.db2.gz WDHIOFWWEZCIRL-GJZGRUSLSA-N 1 2 322.453 1.327 20 30 DDEDLO CCCN(CCC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231248452 885432193 /nfs/dbraw/zinc/43/21/93/885432193.db2.gz WDHIOFWWEZCIRL-GJZGRUSLSA-N 1 2 322.453 1.327 20 30 DDEDLO NC(=O)[C@@H]1C[N@H+](Cc2ccccc2C#Cc2ccccc2)CCO1 ZINC001231578867 885746666 /nfs/dbraw/zinc/74/66/66/885746666.db2.gz TZTGGPFUYBRFPR-IBGZPJMESA-N 1 2 320.392 1.773 20 30 DDEDLO NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2C#Cc2ccccc2)CCO1 ZINC001231578867 885746675 /nfs/dbraw/zinc/74/66/75/885746675.db2.gz TZTGGPFUYBRFPR-IBGZPJMESA-N 1 2 320.392 1.773 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](C)C1CCS(=O)(=O)CC1 ZINC001231619899 885763462 /nfs/dbraw/zinc/76/34/62/885763462.db2.gz LNJWZPCDCSHEDC-UHFFFAOYSA-N 1 2 308.403 1.576 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](C)C1CCS(=O)(=O)CC1 ZINC001231619899 885763468 /nfs/dbraw/zinc/76/34/68/885763468.db2.gz LNJWZPCDCSHEDC-UHFFFAOYSA-N 1 2 308.403 1.576 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H](C)N(C)CC(F)(F)F)C1 ZINC001277643543 886218493 /nfs/dbraw/zinc/21/84/93/886218493.db2.gz DFPBERHQRTZOAJ-LLVKDONJSA-N 1 2 305.344 1.035 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3[nH]nc4c3CCC4)CC2)c1 ZINC001232429291 886358212 /nfs/dbraw/zinc/35/82/12/886358212.db2.gz JTYLAGPPDZWJBA-UHFFFAOYSA-N 1 2 308.389 1.487 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C(C)(C)NC(=O)NCCC)C1 ZINC001277707019 886556391 /nfs/dbraw/zinc/55/63/91/886556391.db2.gz QOQLENNXLDEEGS-UHFFFAOYSA-N 1 2 310.442 1.193 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@@H](CC#N)C2)cc1S(N)(=O)=O ZINC001233303841 886914066 /nfs/dbraw/zinc/91/40/66/886914066.db2.gz OVVRJNKUXFPXTD-LBPRGKRZSA-N 1 2 323.418 1.468 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@@H](CC#N)C2)cc1S(N)(=O)=O ZINC001233303841 886914072 /nfs/dbraw/zinc/91/40/72/886914072.db2.gz OVVRJNKUXFPXTD-LBPRGKRZSA-N 1 2 323.418 1.468 20 30 DDEDLO C=CCOC(=O)N1CCN(Cc2cccc3[nH+]ccn32)CC1 ZINC001233363968 886942752 /nfs/dbraw/zinc/94/27/52/886942752.db2.gz JCWBEHKQJSLPGR-UHFFFAOYSA-N 1 2 300.362 1.775 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC1CCC1 ZINC001233548657 887099638 /nfs/dbraw/zinc/09/96/38/887099638.db2.gz HBRBQIYVZAPUHD-GDBMZVCRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCC1CCC1 ZINC001233548657 887099654 /nfs/dbraw/zinc/09/96/54/887099654.db2.gz HBRBQIYVZAPUHD-GDBMZVCRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(C2CC2)no1 ZINC001277822065 887466971 /nfs/dbraw/zinc/46/69/71/887466971.db2.gz DPQZUGDSNQSHQA-CYBMUJFWSA-N 1 2 320.393 1.230 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@]1(C)CCC(=O)NC1 ZINC001374529166 913167823 /nfs/dbraw/zinc/16/78/23/913167823.db2.gz YDRWYYMFUMLYDC-AWEZNQCLSA-N 1 2 301.818 1.045 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@]1(C)CCC(=O)NC1 ZINC001374529166 913167832 /nfs/dbraw/zinc/16/78/32/913167832.db2.gz YDRWYYMFUMLYDC-AWEZNQCLSA-N 1 2 301.818 1.045 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]ccc1C1CC1 ZINC001235104478 888408837 /nfs/dbraw/zinc/40/88/37/888408837.db2.gz FWVQWZBQQFGTBW-OAHLLOKOSA-N 1 2 315.417 1.688 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]ccc1C1CC1 ZINC001235104478 888408849 /nfs/dbraw/zinc/40/88/49/888408849.db2.gz FWVQWZBQQFGTBW-OAHLLOKOSA-N 1 2 315.417 1.688 20 30 DDEDLO Cc1cc(CN2CCO[C@]3(CCC[N@H+](CCC#N)C3)C2)n(C)n1 ZINC001277939382 888582676 /nfs/dbraw/zinc/58/26/76/888582676.db2.gz QKHFFADQNSQJQD-KRWDZBQOSA-N 1 2 317.437 1.309 20 30 DDEDLO Cc1cc(CN2CCO[C@]3(CCC[N@@H+](CCC#N)C3)C2)n(C)n1 ZINC001277939382 888582688 /nfs/dbraw/zinc/58/26/88/888582688.db2.gz QKHFFADQNSQJQD-KRWDZBQOSA-N 1 2 317.437 1.309 20 30 DDEDLO C[NH+](C)Cc1nc(CNC(=O)N[C@H](CC#N)C(F)(F)F)co1 ZINC001364445094 888653443 /nfs/dbraw/zinc/65/34/43/888653443.db2.gz XEXXCOLTOIZIQC-SECBINFHSA-N 1 2 319.287 1.380 20 30 DDEDLO N#Cc1ccc(C2(C(=O)N[C@H](CO)Cc3c[nH]c[nH+]3)CC2)cc1 ZINC001364541090 888886229 /nfs/dbraw/zinc/88/62/29/888886229.db2.gz UQVULWKEMOYOKK-HNNXBMFYSA-N 1 2 310.357 1.033 20 30 DDEDLO N#Cc1ccc(C2(C(=O)N[C@H](CO)Cc3c[nH+]c[nH]3)CC2)cc1 ZINC001364541090 888886237 /nfs/dbraw/zinc/88/62/37/888886237.db2.gz UQVULWKEMOYOKK-HNNXBMFYSA-N 1 2 310.357 1.033 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@](C)(NC(C)=O)C(C)C ZINC001374559932 913290711 /nfs/dbraw/zinc/29/07/11/913290711.db2.gz XHIZRCUDXBPFAI-OAHLLOKOSA-N 1 2 317.861 1.680 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@](C)(NC(C)=O)C(C)C ZINC001374559932 913290724 /nfs/dbraw/zinc/29/07/24/913290724.db2.gz XHIZRCUDXBPFAI-OAHLLOKOSA-N 1 2 317.861 1.680 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@H](C)[N@@H+]2Cc2cc(C#N)ccn2)O1 ZINC001364692798 889208184 /nfs/dbraw/zinc/20/81/84/889208184.db2.gz SFRAEPPYPNNWQZ-SRMUXQRQSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@H](C)[N@H+]2Cc2cc(C#N)ccn2)O1 ZINC001364692798 889208199 /nfs/dbraw/zinc/20/81/99/889208199.db2.gz SFRAEPPYPNNWQZ-SRMUXQRQSA-N 1 2 315.373 1.637 20 30 DDEDLO Cc1nnc2n1CC[N@@H+](CCC(=O)Nc1ccc(C#N)cc1)[C@@H]2C ZINC001364844214 889530809 /nfs/dbraw/zinc/53/08/09/889530809.db2.gz KARVVKYKIQCQDH-GFCCVEGCSA-N 1 2 324.388 1.864 20 30 DDEDLO Cc1nnc2n1CC[N@H+](CCC(=O)Nc1ccc(C#N)cc1)[C@@H]2C ZINC001364844214 889530824 /nfs/dbraw/zinc/53/08/24/889530824.db2.gz KARVVKYKIQCQDH-GFCCVEGCSA-N 1 2 324.388 1.864 20 30 DDEDLO C#CCN(C(=O)CC)C1CC[NH+](Cc2ncc(C)cn2)CC1 ZINC001278102127 889650913 /nfs/dbraw/zinc/65/09/13/889650913.db2.gz NZLPWDHITPHEIT-UHFFFAOYSA-N 1 2 300.406 1.621 20 30 DDEDLO CC1(C)CN(Cc2ccc(C#N)cc2Cl)CC[N@@H+]1CC(N)=O ZINC001238086528 890017737 /nfs/dbraw/zinc/01/77/37/890017737.db2.gz JWCSMFSMEZOGSX-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO CC1(C)CN(Cc2ccc(C#N)cc2Cl)CC[N@H+]1CC(N)=O ZINC001238086528 890017752 /nfs/dbraw/zinc/01/77/52/890017752.db2.gz JWCSMFSMEZOGSX-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO COc1cc(C[N@@H+]2Cc3ccnn3CC[C@@H]2CO)ccc1C#N ZINC001238415141 890205984 /nfs/dbraw/zinc/20/59/84/890205984.db2.gz FGHGMGQEFVZCMY-MRXNPFEDSA-N 1 2 312.373 1.530 20 30 DDEDLO COc1cc(C[N@H+]2Cc3ccnn3CC[C@@H]2CO)ccc1C#N ZINC001238415141 890205995 /nfs/dbraw/zinc/20/59/95/890205995.db2.gz FGHGMGQEFVZCMY-MRXNPFEDSA-N 1 2 312.373 1.530 20 30 DDEDLO CC(C)OC(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001278310570 890871606 /nfs/dbraw/zinc/87/16/06/890871606.db2.gz BHHLWIVTDAROKE-UHFFFAOYSA-N 1 2 302.378 1.559 20 30 DDEDLO CC(C)OCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365977148 892108298 /nfs/dbraw/zinc/10/82/98/892108298.db2.gz SMRXSRLJCXZJHD-OAHLLOKOSA-N 1 2 319.380 1.813 20 30 DDEDLO CC(C)OCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365977148 892108306 /nfs/dbraw/zinc/10/83/06/892108306.db2.gz SMRXSRLJCXZJHD-OAHLLOKOSA-N 1 2 319.380 1.813 20 30 DDEDLO C=CCCNC(=S)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001247589385 893245032 /nfs/dbraw/zinc/24/50/32/893245032.db2.gz PFNVJOSQSPGDTK-MRXNPFEDSA-N 1 2 319.474 1.928 20 30 DDEDLO C=CCCNC(=S)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001247589385 893245047 /nfs/dbraw/zinc/24/50/47/893245047.db2.gz PFNVJOSQSPGDTK-MRXNPFEDSA-N 1 2 319.474 1.928 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2ccn(C)n2)[C@H]1C ZINC001278504291 893606959 /nfs/dbraw/zinc/60/69/59/893606959.db2.gz CLODMTDZLOSXAA-HOCLYGCPSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2ccn(C)n2)[C@H]1C ZINC001278504291 893606967 /nfs/dbraw/zinc/60/69/67/893606967.db2.gz CLODMTDZLOSXAA-HOCLYGCPSA-N 1 2 302.422 1.693 20 30 DDEDLO CO[C@H](C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F)C(C)C ZINC001366558937 894029136 /nfs/dbraw/zinc/02/91/36/894029136.db2.gz RDJUSYRLKBWMSG-INIZCTEOSA-N 1 2 321.396 1.916 20 30 DDEDLO CO[C@H](C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F)C(C)C ZINC001366558937 894029155 /nfs/dbraw/zinc/02/91/55/894029155.db2.gz RDJUSYRLKBWMSG-INIZCTEOSA-N 1 2 321.396 1.916 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](C[C@@H](O)c2cccc(OC)c2)CC1 ZINC001250718796 894382910 /nfs/dbraw/zinc/38/29/10/894382910.db2.gz WSVWGNJYTNMSEJ-MRXNPFEDSA-N 1 2 320.389 1.669 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)[C@H](C)OCC ZINC001366662710 894481527 /nfs/dbraw/zinc/48/15/27/894481527.db2.gz UYSBFXNMMJBWRU-RYUDHWBXSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H](C)OCC ZINC001366662710 894481532 /nfs/dbraw/zinc/48/15/32/894481532.db2.gz UYSBFXNMMJBWRU-RYUDHWBXSA-N 1 2 319.243 1.901 20 30 DDEDLO CN(CCNC(=O)Cn1cc[nH+]c1)c1cccc(F)c1C#N ZINC001366806686 894971345 /nfs/dbraw/zinc/97/13/45/894971345.db2.gz JCHXSMOBHCZUGJ-UHFFFAOYSA-N 1 2 301.325 1.146 20 30 DDEDLO C=CCOC[C@@H](O)CN1CC[N@@H+]2CCc3ccccc3[C@@H]2C1 ZINC001252470545 895183566 /nfs/dbraw/zinc/18/35/66/895183566.db2.gz LVFIQUFNQUSGGH-WMZOPIPTSA-N 1 2 302.418 1.465 20 30 DDEDLO C=CCOC[C@@H](O)CN1CC[N@H+]2CCc3ccccc3[C@@H]2C1 ZINC001252470545 895183577 /nfs/dbraw/zinc/18/35/77/895183577.db2.gz LVFIQUFNQUSGGH-WMZOPIPTSA-N 1 2 302.418 1.465 20 30 DDEDLO N#C[C@@H]1CC[C@@H]([N@H+]2CCc3onc(C(=O)N4CCCC4)c3C2)C1 ZINC001254665215 896563342 /nfs/dbraw/zinc/56/33/42/896563342.db2.gz MDMWHBQAXHIQHL-CHWSQXEVSA-N 1 2 314.389 1.961 20 30 DDEDLO N#C[C@@H]1CC[C@@H]([N@@H+]2CCc3onc(C(=O)N4CCCC4)c3C2)C1 ZINC001254665215 896563357 /nfs/dbraw/zinc/56/33/57/896563357.db2.gz MDMWHBQAXHIQHL-CHWSQXEVSA-N 1 2 314.389 1.961 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1cc(C)on1 ZINC001278869078 896995014 /nfs/dbraw/zinc/99/50/14/896995014.db2.gz NMOGLAIFLNLASI-AWEZNQCLSA-N 1 2 309.410 1.446 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1cc(C)on1 ZINC001278869078 896995027 /nfs/dbraw/zinc/99/50/27/896995027.db2.gz NMOGLAIFLNLASI-AWEZNQCLSA-N 1 2 309.410 1.446 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C(=O)c1ccccc1)C(C)C ZINC001278889498 897142605 /nfs/dbraw/zinc/14/26/05/897142605.db2.gz WUBKHTLGKLCPPX-UHFFFAOYSA-N 1 2 315.417 1.218 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C(=O)c1ccccc1)C(C)C ZINC001278889498 897142615 /nfs/dbraw/zinc/14/26/15/897142615.db2.gz WUBKHTLGKLCPPX-UHFFFAOYSA-N 1 2 315.417 1.218 20 30 DDEDLO C[C@@H]1C[N@@H+](CCc2nnc(C#Cc3cccnc3)o2)C[C@H](C)O1 ZINC001258045726 898030538 /nfs/dbraw/zinc/03/05/38/898030538.db2.gz DYIADNGUJTVPAB-OKILXGFUSA-N 1 2 312.373 1.516 20 30 DDEDLO C[C@@H]1C[N@H+](CCc2nnc(C#Cc3cccnc3)o2)C[C@H](C)O1 ZINC001258045726 898030554 /nfs/dbraw/zinc/03/05/54/898030554.db2.gz DYIADNGUJTVPAB-OKILXGFUSA-N 1 2 312.373 1.516 20 30 DDEDLO CC(C)[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC001258103005 898054969 /nfs/dbraw/zinc/05/49/69/898054969.db2.gz GNMBRDHMCFHHSO-ZDUSSCGKSA-N 1 2 307.419 1.661 20 30 DDEDLO CC(C)[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC001258103005 898054983 /nfs/dbraw/zinc/05/49/83/898054983.db2.gz GNMBRDHMCFHHSO-ZDUSSCGKSA-N 1 2 307.419 1.661 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)NC1CC[NH+](CCF)CC1 ZINC001258312807 898134820 /nfs/dbraw/zinc/13/48/20/898134820.db2.gz MFOGGLCTFCFASV-UHFFFAOYSA-N 1 2 311.382 1.270 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@H](CNC(=O)[C@@H](C)C#N)C2)s1 ZINC001390036662 898534467 /nfs/dbraw/zinc/53/44/67/898534467.db2.gz DERBEGHCOUPBFJ-CMPLNLGQSA-N 1 2 307.423 1.334 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@H](CNC(=O)[C@@H](C)C#N)C2)s1 ZINC001390036662 898534482 /nfs/dbraw/zinc/53/44/82/898534482.db2.gz DERBEGHCOUPBFJ-CMPLNLGQSA-N 1 2 307.423 1.334 20 30 DDEDLO C=CCS(=O)(=O)N1C[C@H]2C[NH+](Cc3nccs3)C[C@@H]2C1 ZINC001259925796 898939630 /nfs/dbraw/zinc/93/96/30/898939630.db2.gz HCJVHTHDKXMTLG-VXGBXAGGSA-N 1 2 313.448 1.023 20 30 DDEDLO C=CC[C@@H](C)OC(=O)CC[NH+]1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001262066257 899925727 /nfs/dbraw/zinc/92/57/27/899925727.db2.gz WPCNTAWDTWTABK-HUUCEWRRSA-N 1 2 324.421 1.208 20 30 DDEDLO Cc1nnc(CC(=O)NCC[N@@H+](C)Cc2ccccc2C#N)o1 ZINC001390759598 900118361 /nfs/dbraw/zinc/11/83/61/900118361.db2.gz LQUNFFNLESBLSC-UHFFFAOYSA-N 1 2 313.361 1.040 20 30 DDEDLO Cc1nnc(CC(=O)NCC[N@H+](C)Cc2ccccc2C#N)o1 ZINC001390759598 900118373 /nfs/dbraw/zinc/11/83/73/900118373.db2.gz LQUNFFNLESBLSC-UHFFFAOYSA-N 1 2 313.361 1.040 20 30 DDEDLO C=C(C)CN(C)c1nnc(C2CC[NH+](CCC#N)CC2)n1C ZINC001262873686 900403912 /nfs/dbraw/zinc/40/39/12/900403912.db2.gz QFIGXMGJUMEPJZ-UHFFFAOYSA-N 1 2 302.426 1.920 20 30 DDEDLO C=CCn1c([C@H]2CCC[N@@H+]2C)nnc1N(CCC#N)CCOC ZINC001262977615 900453215 /nfs/dbraw/zinc/45/32/15/900453215.db2.gz KQRADEAFXTVNPE-CQSZACIVSA-N 1 2 318.425 1.597 20 30 DDEDLO C=CCn1c([C@H]2CCC[N@H+]2C)nnc1N(CCC#N)CCOC ZINC001262977615 900453223 /nfs/dbraw/zinc/45/32/23/900453223.db2.gz KQRADEAFXTVNPE-CQSZACIVSA-N 1 2 318.425 1.597 20 30 DDEDLO CC[N@H+](CCNC(=O)c1ccc(C#N)[nH]1)[C@@H](C)c1ncc(C)o1 ZINC001390912691 900499016 /nfs/dbraw/zinc/49/90/16/900499016.db2.gz XNOCHZVRHCLXKQ-LBPRGKRZSA-N 1 2 315.377 1.996 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1ccc(C#N)[nH]1)[C@@H](C)c1ncc(C)o1 ZINC001390912691 900499019 /nfs/dbraw/zinc/49/90/19/900499019.db2.gz XNOCHZVRHCLXKQ-LBPRGKRZSA-N 1 2 315.377 1.996 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)CCCOC ZINC001263816621 900728632 /nfs/dbraw/zinc/72/86/32/900728632.db2.gz FZKSHKCXUZWBLL-CVEARBPZSA-N 1 2 310.438 1.232 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)CCCOC ZINC001263816621 900728647 /nfs/dbraw/zinc/72/86/47/900728647.db2.gz FZKSHKCXUZWBLL-CVEARBPZSA-N 1 2 310.438 1.232 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CN(C)C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC001264364991 901040351 /nfs/dbraw/zinc/04/03/51/901040351.db2.gz ORLCIYVARLBHJR-HNNXBMFYSA-N 1 2 320.437 1.094 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC001264364991 901040362 /nfs/dbraw/zinc/04/03/62/901040362.db2.gz ORLCIYVARLBHJR-HNNXBMFYSA-N 1 2 320.437 1.094 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@@H]2CCNC2=O)CCC1 ZINC001264371173 901053919 /nfs/dbraw/zinc/05/39/19/901053919.db2.gz RITCRVRLMJPXOD-UONOGXRCSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@@H]2CCNC2=O)CCC1 ZINC001264371173 901053928 /nfs/dbraw/zinc/05/39/28/901053928.db2.gz RITCRVRLMJPXOD-UONOGXRCSA-N 1 2 305.422 1.154 20 30 DDEDLO C#CCC[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1OCC ZINC001264526791 901170267 /nfs/dbraw/zinc/17/02/67/901170267.db2.gz IZQKCFNIYRSMDR-UTUOFQBUSA-N 1 2 324.318 1.363 20 30 DDEDLO C#CCC[NH2+]C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1OCC ZINC001264526791 901170269 /nfs/dbraw/zinc/17/02/69/901170269.db2.gz IZQKCFNIYRSMDR-UTUOFQBUSA-N 1 2 324.318 1.363 20 30 DDEDLO N#CCN[C@H]1CCCCCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001264685947 901285698 /nfs/dbraw/zinc/28/56/98/901285698.db2.gz WEUYXCPQPHYPAU-HNNXBMFYSA-N 1 2 303.410 1.548 20 30 DDEDLO CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001391371182 901600157 /nfs/dbraw/zinc/60/01/57/901600157.db2.gz PQMAXJWIIRBMOR-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001391371182 901600167 /nfs/dbraw/zinc/60/01/67/901600167.db2.gz PQMAXJWIIRBMOR-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CC2(C)CCCC2)C1 ZINC001265212878 901712186 /nfs/dbraw/zinc/71/21/86/901712186.db2.gz HQUPQSJBWBNOPH-OAHLLOKOSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CC2(C)CCCC2)C1 ZINC001265212878 901712202 /nfs/dbraw/zinc/71/22/02/901712202.db2.gz HQUPQSJBWBNOPH-OAHLLOKOSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@H+](Cc2ccns2)C1 ZINC001265235962 901757967 /nfs/dbraw/zinc/75/79/67/901757967.db2.gz ZOUFWFGXALBMCU-ZDUSSCGKSA-N 1 2 309.435 1.816 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@@H+](Cc2ccns2)C1 ZINC001265235962 901757980 /nfs/dbraw/zinc/75/79/80/901757980.db2.gz ZOUFWFGXALBMCU-ZDUSSCGKSA-N 1 2 309.435 1.816 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001265301215 901849279 /nfs/dbraw/zinc/84/92/79/901849279.db2.gz GCQZEQOSHCOLBJ-CRAIPNDOSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001265301215 901849288 /nfs/dbraw/zinc/84/92/88/901849288.db2.gz GCQZEQOSHCOLBJ-CRAIPNDOSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)Cc2ccc3n[nH]cc3c2)C1 ZINC001391499615 901856555 /nfs/dbraw/zinc/85/65/55/901856555.db2.gz UZVUWLHDEBDVCM-UHFFFAOYSA-N 1 2 318.808 1.906 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001293602077 914576923 /nfs/dbraw/zinc/57/69/23/914576923.db2.gz MOLWEZDCEZUKTE-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@@H]1CC[N@H+](CC(=O)NCCC(C)(C)C)C1 ZINC001391608555 902131822 /nfs/dbraw/zinc/13/18/22/902131822.db2.gz FVNXMWPDXICRPF-UONOGXRCSA-N 1 2 322.453 1.231 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NCCC(C)(C)C)C1 ZINC001391608555 902131834 /nfs/dbraw/zinc/13/18/34/902131834.db2.gz FVNXMWPDXICRPF-UONOGXRCSA-N 1 2 322.453 1.231 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CCC[N@H+](Cc2ncccn2)C1 ZINC001265588770 902164926 /nfs/dbraw/zinc/16/49/26/902164926.db2.gz IOWZMIFABCANIT-INIZCTEOSA-N 1 2 314.433 1.998 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CCC[N@@H+](Cc2ncccn2)C1 ZINC001265588770 902164937 /nfs/dbraw/zinc/16/49/37/902164937.db2.gz IOWZMIFABCANIT-INIZCTEOSA-N 1 2 314.433 1.998 20 30 DDEDLO CO[C@H](C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1)C1CC1 ZINC001369979588 902328690 /nfs/dbraw/zinc/32/86/90/902328690.db2.gz XHDJNCPDWCMVHO-SJORKVTESA-N 1 2 313.401 1.674 20 30 DDEDLO CO[C@H](C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1)C1CC1 ZINC001369979588 902328707 /nfs/dbraw/zinc/32/87/07/902328707.db2.gz XHDJNCPDWCMVHO-SJORKVTESA-N 1 2 313.401 1.674 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@@H](C)CNC(=O)C#CC(C)(C)C)n1 ZINC001265773128 902392558 /nfs/dbraw/zinc/39/25/58/902392558.db2.gz PLBVZVZGUXDOKE-NWDGAFQWSA-N 1 2 306.410 1.837 20 30 DDEDLO CCN(C)C(=O)C[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001266219440 903135384 /nfs/dbraw/zinc/13/53/84/903135384.db2.gz ZRCIAHXJEHCSLN-CQSZACIVSA-N 1 2 307.438 1.095 20 30 DDEDLO CCN(C)C(=O)C[N@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001266219440 903135393 /nfs/dbraw/zinc/13/53/93/903135393.db2.gz ZRCIAHXJEHCSLN-CQSZACIVSA-N 1 2 307.438 1.095 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]1COCC[N@@H+]1CCC1CCOCC1 ZINC001280406724 903643522 /nfs/dbraw/zinc/64/35/22/903643522.db2.gz WCBCHXUYGBSSCI-QGZVFWFLSA-N 1 2 320.433 1.034 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]1COCC[N@H+]1CCC1CCOCC1 ZINC001280406724 903643531 /nfs/dbraw/zinc/64/35/31/903643531.db2.gz WCBCHXUYGBSSCI-QGZVFWFLSA-N 1 2 320.433 1.034 20 30 DDEDLO C[C@H](C[NH2+]Cc1nnc(C2CC2)o1)NC(=O)c1c[nH]c(C#N)c1 ZINC001392477607 904220663 /nfs/dbraw/zinc/22/06/63/904220663.db2.gz OUWOHJHNPZLUIK-SECBINFHSA-N 1 2 314.349 1.055 20 30 DDEDLO C=CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)Cn1cc[nH+]c1C ZINC001281069457 904371748 /nfs/dbraw/zinc/37/17/48/904371748.db2.gz BAEKYKBZGVZMIO-GOSISDBHSA-N 1 2 324.428 1.921 20 30 DDEDLO C=CCN1Cc2ccccc2C[C@@H]1CNC(=O)Cn1cc[nH+]c1C ZINC001281069457 904371766 /nfs/dbraw/zinc/37/17/66/904371766.db2.gz BAEKYKBZGVZMIO-GOSISDBHSA-N 1 2 324.428 1.921 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001281224946 904585497 /nfs/dbraw/zinc/58/54/97/904585497.db2.gz FWBYBLAUMBZITO-LSDHHAIUSA-N 1 2 316.405 1.088 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1ccc(N(C)C)nc1 ZINC001375067458 914844598 /nfs/dbraw/zinc/84/45/98/914844598.db2.gz CIPUMTJCUBHDLG-LBPRGKRZSA-N 1 2 310.829 1.950 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1ccc(N(C)C)nc1 ZINC001375067458 914844622 /nfs/dbraw/zinc/84/46/22/914844622.db2.gz CIPUMTJCUBHDLG-LBPRGKRZSA-N 1 2 310.829 1.950 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+]([C@@H](C)c2nnnn2C)CC1(C)C ZINC001281541173 904995105 /nfs/dbraw/zinc/99/51/05/904995105.db2.gz JZYIXAVIDOCTSL-STQMWFEESA-N 1 2 320.441 1.454 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+]([C@@H](C)c2nnnn2C)CC1(C)C ZINC001281541173 904995120 /nfs/dbraw/zinc/99/51/20/904995120.db2.gz JZYIXAVIDOCTSL-STQMWFEESA-N 1 2 320.441 1.454 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CCCCNC(=O)[C@H](C)C#N ZINC001281573721 905048341 /nfs/dbraw/zinc/04/83/41/905048341.db2.gz GFLUHUUJPCVYHW-NEPJUHHUSA-N 1 2 319.409 1.212 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1ncccn1 ZINC001281931554 905324739 /nfs/dbraw/zinc/32/47/39/905324739.db2.gz CGQGBHZCOQTOPS-YOEHRIQHSA-N 1 2 318.421 1.227 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]1C[N@H+](C)Cc1ncccn1 ZINC001281931554 905324757 /nfs/dbraw/zinc/32/47/57/905324757.db2.gz CGQGBHZCOQTOPS-YOEHRIQHSA-N 1 2 318.421 1.227 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)c2cscc2C)C1 ZINC001282701878 905963902 /nfs/dbraw/zinc/96/39/02/905963902.db2.gz WYUHVCZTAWCXBF-GFCCVEGCSA-N 1 2 321.446 1.409 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@H](C[NH2+]Cc1nnn(C)n1)C1CC1 ZINC001283532367 907550399 /nfs/dbraw/zinc/55/03/99/907550399.db2.gz NEOMXCGINLTQAN-CJNGLKHVSA-N 1 2 320.441 1.139 20 30 DDEDLO C[C@@H](NC(=O)c1ncn[nH]1)[C@@H](C)[NH2+]Cc1cc(F)ccc1C#N ZINC001393978068 908438007 /nfs/dbraw/zinc/43/80/07/908438007.db2.gz YMTAVKNXKSKVEM-NXEZZACHSA-N 1 2 316.340 1.112 20 30 DDEDLO C[C@@H](NC(=O)c1nc[nH]n1)[C@@H](C)[NH2+]Cc1cc(F)ccc1C#N ZINC001393978068 908438012 /nfs/dbraw/zinc/43/80/12/908438012.db2.gz YMTAVKNXKSKVEM-NXEZZACHSA-N 1 2 316.340 1.112 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2cccs2)[C@H]1C ZINC001284100564 908475785 /nfs/dbraw/zinc/47/57/85/908475785.db2.gz GDYUTOAHXORBKC-OLZOCXBDSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2cccs2)[C@H]1C ZINC001284100564 908475793 /nfs/dbraw/zinc/47/57/93/908475793.db2.gz GDYUTOAHXORBKC-OLZOCXBDSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCC[N@H+](Cc2cnnn2C)[C@@H]1C ZINC001284122800 908512513 /nfs/dbraw/zinc/51/25/13/908512513.db2.gz OTGKTXJNCPNNMU-ZBFHGGJFSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cnnn2C)[C@@H]1C ZINC001284122800 908512525 /nfs/dbraw/zinc/51/25/25/908512525.db2.gz OTGKTXJNCPNNMU-ZBFHGGJFSA-N 1 2 317.437 1.478 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001284282983 908772170 /nfs/dbraw/zinc/77/21/70/908772170.db2.gz QYNPLNGJOFVPPK-LBPRGKRZSA-N 1 2 314.389 1.781 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](CC(=O)NC2CCCC2)CC1(C)C ZINC001394281498 909248584 /nfs/dbraw/zinc/24/85/84/909248584.db2.gz DSFJVTWUHQFGDZ-TZMCWYRMSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](CC(=O)NC2CCCC2)CC1(C)C ZINC001394281498 909248596 /nfs/dbraw/zinc/24/85/96/909248596.db2.gz DSFJVTWUHQFGDZ-TZMCWYRMSA-N 1 2 320.437 1.031 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC(CNC(=O)Cn2cc[nH+]c2)C1 ZINC001284978561 909871964 /nfs/dbraw/zinc/87/19/64/909871964.db2.gz CASQLYKHRKHHNW-CYBMUJFWSA-N 1 2 304.394 1.060 20 30 DDEDLO CC[C@@H](CNC(=O)c1[nH]ncc1F)[NH2+]Cc1ccc(C#N)cc1 ZINC001373790563 911001285 /nfs/dbraw/zinc/00/12/85/911001285.db2.gz JJXHQCZMHRFVHM-ZDUSSCGKSA-N 1 2 315.352 1.719 20 30 DDEDLO CC(C)C#CC(=O)NCCCN(C)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001294057545 914890598 /nfs/dbraw/zinc/89/05/98/914890598.db2.gz VDHVSVZDPZRAPF-AWEZNQCLSA-N 1 2 318.421 1.212 20 30 DDEDLO CC(C)C#CC(=O)NCCCN(C)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001294057545 914890610 /nfs/dbraw/zinc/89/06/10/914890610.db2.gz VDHVSVZDPZRAPF-AWEZNQCLSA-N 1 2 318.421 1.212 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001295522059 915871393 /nfs/dbraw/zinc/87/13/93/915871393.db2.gz GOCNEWBSNLWBBJ-ZDUSSCGKSA-N 1 2 318.421 1.349 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001295531474 915877448 /nfs/dbraw/zinc/87/74/48/915877448.db2.gz AZOHVLMDDLROPB-CHWSQXEVSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001295531474 915877470 /nfs/dbraw/zinc/87/74/70/915877470.db2.gz AZOHVLMDDLROPB-CHWSQXEVSA-N 1 2 306.410 1.566 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001296424995 916405421 /nfs/dbraw/zinc/40/54/21/916405421.db2.gz GXQLHEKDCACBNL-AWEZNQCLSA-N 1 2 316.405 1.040 20 30 DDEDLO CCCN(CCNC(=O)CCn1cc[nH+]c1)C(=O)C#CC(C)C ZINC001296517934 916463428 /nfs/dbraw/zinc/46/34/28/916463428.db2.gz BGDPNGWUYCJFLH-UHFFFAOYSA-N 1 2 318.421 1.287 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H](CNC(=O)[C@@H](C)C#N)C2CC2)o1 ZINC001376203790 917952004 /nfs/dbraw/zinc/95/20/04/917952004.db2.gz WVVBIBFJWBLZPR-CMPLNLGQSA-N 1 2 305.382 1.337 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C[C@H]2CCOC[C@H]2OC)C1 ZINC001377977941 923569740 /nfs/dbraw/zinc/56/97/40/923569740.db2.gz VWCKOCHOGMKRRD-ZIAGYGMSSA-N 1 2 316.829 1.229 20 30 DDEDLO CC#CC(=O)N1CC[NH+](Cc2cc(OC)cc(OC)c2)CC1 ZINC000283090880 222597465 /nfs/dbraw/zinc/59/74/65/222597465.db2.gz FMJULECBWLSGFT-UHFFFAOYSA-N 1 2 302.374 1.371 20 30 DDEDLO CCn1cccc(C[NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)c1=O ZINC000432507278 529538914 /nfs/dbraw/zinc/53/89/14/529538914.db2.gz ZTMHOOZGNXQENM-OAHLLOKOSA-N 1 2 310.357 1.056 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)Cc2cn3ccccc3[nH+]2)n1 ZINC000279040799 214592604 /nfs/dbraw/zinc/59/26/04/214592604.db2.gz CRJCGNRHQINXPN-UHFFFAOYSA-N 1 2 321.384 1.755 20 30 DDEDLO CC(=O)N1CCC(NC(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)CC1 ZINC000329168911 539301646 /nfs/dbraw/zinc/30/16/46/539301646.db2.gz JINUMFZZXOATTB-AWEZNQCLSA-N 1 2 319.409 1.862 20 30 DDEDLO CCc1ccc([C@H](COC)[NH2+]CC(=O)NC2(C#N)CCC2)o1 ZINC000347245856 529725540 /nfs/dbraw/zinc/72/55/40/529725540.db2.gz IYLHIFMWFLEDMW-ZDUSSCGKSA-N 1 2 305.378 1.682 20 30 DDEDLO CN1CCO[C@@H]2C[N@H+](CC(=O)NC3(C#N)CCCCC3)C[C@H]21 ZINC000329942524 529786087 /nfs/dbraw/zinc/78/60/87/529786087.db2.gz VRBKWDZAFRMXMN-ZIAGYGMSSA-N 1 2 306.410 1.184 20 30 DDEDLO CN1CCO[C@@H]2C[N@@H+](CC(=O)NC3(C#N)CCCCC3)C[C@H]21 ZINC000329942524 529786088 /nfs/dbraw/zinc/78/60/88/529786088.db2.gz VRBKWDZAFRMXMN-ZIAGYGMSSA-N 1 2 306.410 1.184 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(CC(=O)NC3(C#N)CCCCC3)C[C@H]21 ZINC000329942524 529786089 /nfs/dbraw/zinc/78/60/89/529786089.db2.gz VRBKWDZAFRMXMN-ZIAGYGMSSA-N 1 2 306.410 1.184 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(CC(=O)NC3(C#N)CCCCC3)C[C@H]21 ZINC000329942524 529786090 /nfs/dbraw/zinc/78/60/90/529786090.db2.gz VRBKWDZAFRMXMN-ZIAGYGMSSA-N 1 2 306.410 1.184 20 30 DDEDLO C[N@@H+](CC(N)=O)C[C@@H]1CN(C(=O)NCC2CCCC2)CCO1 ZINC000330985430 529824857 /nfs/dbraw/zinc/82/48/57/529824857.db2.gz PONCOTBDLHWQRJ-CYBMUJFWSA-N 1 2 312.414 1.258 20 30 DDEDLO C[N@H+](CC(N)=O)C[C@@H]1CN(C(=O)NCC2CCCC2)CCO1 ZINC000330985430 529824859 /nfs/dbraw/zinc/82/48/59/529824859.db2.gz PONCOTBDLHWQRJ-CYBMUJFWSA-N 1 2 312.414 1.258 20 30 DDEDLO CNC(=O)C[NH+]1CCN([C@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348328503 529863208 /nfs/dbraw/zinc/86/32/08/529863208.db2.gz KYYKOKLTACTVBR-CQSZACIVSA-N 1 2 306.797 1.268 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cccc2c1OC(F)(F)O2 ZINC000414159481 529868782 /nfs/dbraw/zinc/86/87/82/529868782.db2.gz WCINRORZDYGWKC-JTQLQIEISA-N 1 2 314.288 1.593 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cccc2c1OC(F)(F)O2 ZINC000414159481 529868783 /nfs/dbraw/zinc/86/87/83/529868783.db2.gz WCINRORZDYGWKC-JTQLQIEISA-N 1 2 314.288 1.593 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000292659512 529899435 /nfs/dbraw/zinc/89/94/35/529899435.db2.gz QOHYFDMIWIJJSF-UHFFFAOYSA-N 1 2 322.365 1.605 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)NCC#Cc1cccc(F)c1 ZINC000338730734 529910970 /nfs/dbraw/zinc/91/09/70/529910970.db2.gz TZSDHEHGCBBSDY-UHFFFAOYSA-N 1 2 319.380 1.151 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)NCc2ccc(N3CCCC3)[nH+]c2)C1 ZINC000615531756 362322317 /nfs/dbraw/zinc/32/23/17/362322317.db2.gz PECIEVVSFMFCDN-INIZCTEOSA-N 1 2 317.368 1.829 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)Nc2cccc(C(N)=O)c2)C1 ZINC000330699754 530070070 /nfs/dbraw/zinc/07/00/70/530070070.db2.gz MTLPEPZCOPIMFB-ZDUSSCGKSA-N 1 2 305.382 1.142 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)Nc2cccc(C(N)=O)c2)C1 ZINC000330699754 530070071 /nfs/dbraw/zinc/07/00/71/530070071.db2.gz MTLPEPZCOPIMFB-ZDUSSCGKSA-N 1 2 305.382 1.142 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+]2CCOCC2(C)C)ncc1C#N ZINC000457539983 232074905 /nfs/dbraw/zinc/07/49/05/232074905.db2.gz YQFAHJXYDNYHEL-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+]2CCOCC2(C)C)ncc1C#N ZINC000457539983 232074909 /nfs/dbraw/zinc/07/49/09/232074909.db2.gz YQFAHJXYDNYHEL-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000616861261 362848698 /nfs/dbraw/zinc/84/86/98/362848698.db2.gz IXRBAPGEQIZMQY-AGIUHOORSA-N 1 2 307.394 1.187 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000007923876 352129188 /nfs/dbraw/zinc/12/91/88/352129188.db2.gz XGQJPPCXJBYBIO-ZDUSSCGKSA-N 1 2 308.426 1.174 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000007923876 352129194 /nfs/dbraw/zinc/12/91/94/352129194.db2.gz XGQJPPCXJBYBIO-ZDUSSCGKSA-N 1 2 308.426 1.174 20 30 DDEDLO CC(C)c1nc(N2CCN(CCC#N)CC2)nc(N(C)C)[nH+]1 ZINC000601261459 358469070 /nfs/dbraw/zinc/46/90/70/358469070.db2.gz FILULHYMHLZGKA-UHFFFAOYSA-N 1 2 303.414 1.097 20 30 DDEDLO C[C@@H]1CCCN(c2cc(N3CCN(CCC#N)CC3)nc[nH+]2)C1 ZINC000601262735 358469783 /nfs/dbraw/zinc/46/97/83/358469783.db2.gz WADACHLECSXEOQ-OAHLLOKOSA-N 1 2 314.437 1.749 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2cccc([N+](=O)[O-])c2)CC1 ZINC000047743936 352510139 /nfs/dbraw/zinc/51/01/39/352510139.db2.gz MOVHNDIPEPEIED-CYBMUJFWSA-N 1 2 318.377 1.408 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000050091976 352561641 /nfs/dbraw/zinc/56/16/41/352561641.db2.gz DLTONAMBSMIOPL-GFCCVEGCSA-N 1 2 318.377 1.409 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCC(n2c(=O)[nH]c3ccccc32)CC1 ZINC000052712632 352623810 /nfs/dbraw/zinc/62/38/10/352623810.db2.gz MLSPVNAIWCBZJW-UHFFFAOYSA-N 1 2 314.389 1.269 20 30 DDEDLO C=CC[N@H+](CC(=O)NC[C@@H]1CCCO1)Cc1cccc(C#N)c1 ZINC000068855636 353133144 /nfs/dbraw/zinc/13/31/44/353133144.db2.gz RCZBMRSMZWMDFT-KRWDZBQOSA-N 1 2 313.401 1.841 20 30 DDEDLO C=CC[N@@H+](CC(=O)NC[C@@H]1CCCO1)Cc1cccc(C#N)c1 ZINC000068855636 353133146 /nfs/dbraw/zinc/13/31/46/353133146.db2.gz RCZBMRSMZWMDFT-KRWDZBQOSA-N 1 2 313.401 1.841 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@@H](O)COc1cc(C)ccc1C ZINC000072689898 353209630 /nfs/dbraw/zinc/20/96/30/353209630.db2.gz VNJKPGHHRJPWIV-MRXNPFEDSA-N 1 2 306.406 1.905 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@@H](O)COc1cc(C)ccc1C ZINC000072689898 353209632 /nfs/dbraw/zinc/20/96/32/353209632.db2.gz VNJKPGHHRJPWIV-MRXNPFEDSA-N 1 2 306.406 1.905 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cc3ccccc3o2)CC1 ZINC000073051531 353234707 /nfs/dbraw/zinc/23/47/07/353234707.db2.gz JCOPZOGNMNYLGV-UHFFFAOYSA-N 1 2 313.401 1.853 20 30 DDEDLO COc1ccc([C@@H]2CCC[N@@H+]2CC(=O)NCC#N)c(OC)c1 ZINC000076668182 353431697 /nfs/dbraw/zinc/43/16/97/353431697.db2.gz AUUILQLAUOBSIU-AWEZNQCLSA-N 1 2 303.362 1.480 20 30 DDEDLO COc1ccc([C@@H]2CCC[N@H+]2CC(=O)NCC#N)c(OC)c1 ZINC000076668182 353431701 /nfs/dbraw/zinc/43/17/01/353431701.db2.gz AUUILQLAUOBSIU-AWEZNQCLSA-N 1 2 303.362 1.480 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000076708325 353434817 /nfs/dbraw/zinc/43/48/17/353434817.db2.gz QAICBFKWGAMHNP-HIFRSBDPSA-N 1 2 320.437 1.318 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000076708325 353434820 /nfs/dbraw/zinc/43/48/20/353434820.db2.gz QAICBFKWGAMHNP-HIFRSBDPSA-N 1 2 320.437 1.318 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)cn1 ZINC000085784463 353737008 /nfs/dbraw/zinc/73/70/08/353737008.db2.gz TYRONYVBAUUYRP-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=CCN(C(=O)[C@H]1C[N@H+](C)CCO1)c1nc2c(s1)CCCC2 ZINC000086210017 353739684 /nfs/dbraw/zinc/73/96/84/353739684.db2.gz OTEXJGCTQFSEJS-CYBMUJFWSA-N 1 2 321.446 1.872 20 30 DDEDLO C=CCN(C(=O)[C@H]1C[N@@H+](C)CCO1)c1nc2c(s1)CCCC2 ZINC000086210017 353739686 /nfs/dbraw/zinc/73/96/86/353739686.db2.gz OTEXJGCTQFSEJS-CYBMUJFWSA-N 1 2 321.446 1.872 20 30 DDEDLO COCCCOCC[N@H+](C)[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000091102748 353810434 /nfs/dbraw/zinc/81/04/34/353810434.db2.gz ZJKYIIXCNAJYFQ-HNNXBMFYSA-N 1 2 305.378 1.070 20 30 DDEDLO COCCCOCC[N@@H+](C)[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000091102748 353810439 /nfs/dbraw/zinc/81/04/39/353810439.db2.gz ZJKYIIXCNAJYFQ-HNNXBMFYSA-N 1 2 305.378 1.070 20 30 DDEDLO C=CCSCCNC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000194633898 354293982 /nfs/dbraw/zinc/29/39/82/354293982.db2.gz RRBRHFBFMKBKOJ-UHFFFAOYSA-N 1 2 320.462 1.828 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000198223678 354300904 /nfs/dbraw/zinc/30/09/04/354300904.db2.gz DVQQVSLTKJZRGC-UHFFFAOYSA-N 1 2 316.380 1.442 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1c1nc([C@H]2C[N@@H+](C)CCN2C)no1 ZINC000351212282 354615927 /nfs/dbraw/zinc/61/59/27/354615927.db2.gz OUVMBWGZKLAOQB-MGPQQGTHSA-N 1 2 306.410 1.827 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1c1nc([C@H]2C[N@H+](C)CCN2C)no1 ZINC000351212282 354615929 /nfs/dbraw/zinc/61/59/29/354615929.db2.gz OUVMBWGZKLAOQB-MGPQQGTHSA-N 1 2 306.410 1.827 20 30 DDEDLO Cn1cnc(-c2ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc2)n1 ZINC000578711144 354711406 /nfs/dbraw/zinc/71/14/06/354711406.db2.gz VCPBXAOSHFQKFE-MRXNPFEDSA-N 1 2 312.377 1.056 20 30 DDEDLO Cn1cnc(-c2cccc(OC[C@H](O)C[N@H+](C)CCC#N)c2)n1 ZINC000578872980 354713124 /nfs/dbraw/zinc/71/31/24/354713124.db2.gz HDAYFLXEELEEEP-CQSZACIVSA-N 1 2 315.377 1.067 20 30 DDEDLO Cn1cnc(-c2cccc(OC[C@H](O)C[N@@H+](C)CCC#N)c2)n1 ZINC000578872980 354713129 /nfs/dbraw/zinc/71/31/29/354713129.db2.gz HDAYFLXEELEEEP-CQSZACIVSA-N 1 2 315.377 1.067 20 30 DDEDLO N#Cc1ccc(-c2nnc(CN3CCn4c[nH+]cc4C3)o2)cc1 ZINC000590598983 355126140 /nfs/dbraw/zinc/12/61/40/355126140.db2.gz AUYILUUGLOTZRK-UHFFFAOYSA-N 1 2 306.329 1.821 20 30 DDEDLO COCC#CC[NH+]1CCN(c2ccc(C(=O)OC)s2)CC1 ZINC000590964516 355225247 /nfs/dbraw/zinc/22/52/47/355225247.db2.gz HWUDOICMPJNAIR-UHFFFAOYSA-N 1 2 308.403 1.307 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)N[C@H]2CCc3cc(C#N)ccc32)c1 ZINC000590939482 355218366 /nfs/dbraw/zinc/21/83/66/355218366.db2.gz FJOODNNMPYXHLT-INIZCTEOSA-N 1 2 309.373 1.821 20 30 DDEDLO N#CC[C@]1(O)CCN(c2[nH+]cnc3c2cnn3-c2ccccc2)C1 ZINC000591976438 355451602 /nfs/dbraw/zinc/45/16/02/355451602.db2.gz OWELMSURCKGBNW-KRWDZBQOSA-N 1 2 320.356 1.670 20 30 DDEDLO N#Cc1cccc(NC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)n1 ZINC000592267179 355549830 /nfs/dbraw/zinc/54/98/30/355549830.db2.gz DMFIYTFCDVYXCS-ZDUSSCGKSA-N 1 2 308.345 1.749 20 30 DDEDLO CCc1ccc([C@H](C)NC(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592148308 355514822 /nfs/dbraw/zinc/51/48/22/355514822.db2.gz UBQLJANWWYHZQP-KBXCAEBGSA-N 1 2 315.417 1.777 20 30 DDEDLO CCc1ccc([C@H](C)NC(=O)C[N@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592148308 355514826 /nfs/dbraw/zinc/51/48/26/355514826.db2.gz UBQLJANWWYHZQP-KBXCAEBGSA-N 1 2 315.417 1.777 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)NCCCC2CCCCC2)C1 ZINC000592149696 355516760 /nfs/dbraw/zinc/51/67/60/355516760.db2.gz UPAVGVYKGSLCKS-QGZVFWFLSA-N 1 2 307.438 1.814 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)NCCCC2CCCCC2)C1 ZINC000592149696 355516763 /nfs/dbraw/zinc/51/67/63/355516763.db2.gz UPAVGVYKGSLCKS-QGZVFWFLSA-N 1 2 307.438 1.814 20 30 DDEDLO Cn1cc(CN(CCn2cc[nH+]c2)C(=O)CCCCC#N)cn1 ZINC000594356357 356180998 /nfs/dbraw/zinc/18/09/98/356180998.db2.gz NXNIMBLRUUNTRV-UHFFFAOYSA-N 1 2 314.393 1.729 20 30 DDEDLO COc1c(C)[nH+]cnc1N1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000594582216 356255551 /nfs/dbraw/zinc/25/55/51/356255551.db2.gz AQVIWQGETIUTIH-CYBMUJFWSA-N 1 2 311.345 1.718 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN([C@@H](C#N)c2ccc(Cl)cc2)CC1 ZINC000595344073 356457411 /nfs/dbraw/zinc/45/74/11/356457411.db2.gz LDPLBDYUYSUMFA-AWEZNQCLSA-N 1 2 320.824 1.786 20 30 DDEDLO Cc1cccc(S(=O)(=O)N[C@H](C)c2[nH+]ccn2C)c1C#N ZINC000595382861 356473184 /nfs/dbraw/zinc/47/31/84/356473184.db2.gz JVPPMQCEXLACJE-LLVKDONJSA-N 1 2 304.375 1.640 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CCc3c[nH]nn3)CC2)c(Cl)c1 ZINC000595464966 356502761 /nfs/dbraw/zinc/50/27/61/356502761.db2.gz KMOMMJQIUJRYEQ-UHFFFAOYSA-N 1 2 316.796 1.694 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CCc3cn[nH]n3)CC2)c(Cl)c1 ZINC000595464966 356502762 /nfs/dbraw/zinc/50/27/62/356502762.db2.gz KMOMMJQIUJRYEQ-UHFFFAOYSA-N 1 2 316.796 1.694 20 30 DDEDLO C[C@H](NC(=O)NCCOc1ccc(C#N)cc1)c1[nH+]ccn1C ZINC000595524992 356532631 /nfs/dbraw/zinc/53/26/31/356532631.db2.gz CVBGHJABRCYDJZ-LBPRGKRZSA-N 1 2 313.361 1.731 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+](CCOCCO)C1CCC1 ZINC000595595262 356568237 /nfs/dbraw/zinc/56/82/37/356568237.db2.gz IQBYRMPYCCMJMA-UHFFFAOYSA-N 1 2 319.361 1.830 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+](CCOCCO)C1CCC1 ZINC000595595262 356568240 /nfs/dbraw/zinc/56/82/40/356568240.db2.gz IQBYRMPYCCMJMA-UHFFFAOYSA-N 1 2 319.361 1.830 20 30 DDEDLO C[N@@H+](CC(=O)Nc1cc(Cl)ccc1C#N)C[C@@H]1COCCO1 ZINC000595739888 356630115 /nfs/dbraw/zinc/63/01/15/356630115.db2.gz LFPYMXRAOMSURU-CYBMUJFWSA-N 1 2 323.780 1.497 20 30 DDEDLO C[N@H+](CC(=O)Nc1cc(Cl)ccc1C#N)C[C@@H]1COCCO1 ZINC000595739888 356630118 /nfs/dbraw/zinc/63/01/18/356630118.db2.gz LFPYMXRAOMSURU-CYBMUJFWSA-N 1 2 323.780 1.497 20 30 DDEDLO C[N@@H+](CC(=O)N(CCC#N)c1ccccc1)C[C@@H]1COCCO1 ZINC000595738453 356629334 /nfs/dbraw/zinc/62/93/34/356629334.db2.gz IHJYPIVWZPCRSH-MRXNPFEDSA-N 1 2 317.389 1.280 20 30 DDEDLO C[N@H+](CC(=O)N(CCC#N)c1ccccc1)C[C@@H]1COCCO1 ZINC000595738453 356629336 /nfs/dbraw/zinc/62/93/36/356629336.db2.gz IHJYPIVWZPCRSH-MRXNPFEDSA-N 1 2 317.389 1.280 20 30 DDEDLO CN1CC[N@H+](Cc2cc(C#N)ccc2Br)CCC1=O ZINC000595775054 356647052 /nfs/dbraw/zinc/64/70/52/356647052.db2.gz ZTVUDCGSBUFAJF-UHFFFAOYSA-N 1 2 322.206 1.985 20 30 DDEDLO CN1CC[N@@H+](Cc2cc(C#N)ccc2Br)CCC1=O ZINC000595775054 356647056 /nfs/dbraw/zinc/64/70/56/356647056.db2.gz ZTVUDCGSBUFAJF-UHFFFAOYSA-N 1 2 322.206 1.985 20 30 DDEDLO C[C@@H](CC#N)C(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000596692077 356954263 /nfs/dbraw/zinc/95/42/63/356954263.db2.gz VTBUGHXCDHFYNX-AWEZNQCLSA-N 1 2 311.389 1.528 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2cccc(CC#N)c2)[C@@H](C)CO1 ZINC000596929825 357017504 /nfs/dbraw/zinc/01/75/04/357017504.db2.gz ZJMZGRCPIKUKLO-KBPBESRZSA-N 1 2 316.405 1.983 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2cccc(CC#N)c2)[C@@H](C)CO1 ZINC000596929825 357017506 /nfs/dbraw/zinc/01/75/06/357017506.db2.gz ZJMZGRCPIKUKLO-KBPBESRZSA-N 1 2 316.405 1.983 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)[C@@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000597297189 357126133 /nfs/dbraw/zinc/12/61/33/357126133.db2.gz DGFNXQQNERWURH-CYBMUJFWSA-N 1 2 310.357 1.581 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)[C@@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000597297189 357126137 /nfs/dbraw/zinc/12/61/37/357126137.db2.gz DGFNXQQNERWURH-CYBMUJFWSA-N 1 2 310.357 1.581 20 30 DDEDLO N#Cc1c(F)cc(C(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1F ZINC000597536776 357214968 /nfs/dbraw/zinc/21/49/68/357214968.db2.gz KBLZYCPRKBBTDU-JTQLQIEISA-N 1 2 302.284 1.778 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCc1cc(F)c(C#N)c(F)c1 ZINC000597616354 357256051 /nfs/dbraw/zinc/25/60/51/357256051.db2.gz KLOHXPCBJOPLIR-GHMZBOCLSA-N 1 2 316.311 1.990 20 30 DDEDLO C[C@H](CC#N)C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000597631664 357262585 /nfs/dbraw/zinc/26/25/85/357262585.db2.gz WZEWPGRFRBHDIE-CYBMUJFWSA-N 1 2 300.366 1.195 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ncc(C#N)cc2Cl)[C@H](C)CO1 ZINC000597996292 357411626 /nfs/dbraw/zinc/41/16/26/357411626.db2.gz IKNQUHNBBJBAPW-GHMZBOCLSA-N 1 2 322.796 1.446 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ncc(C#N)cc2Cl)[C@H](C)CO1 ZINC000597996292 357411627 /nfs/dbraw/zinc/41/16/27/357411627.db2.gz IKNQUHNBBJBAPW-GHMZBOCLSA-N 1 2 322.796 1.446 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)cc1C#N ZINC000276816090 213111114 /nfs/dbraw/zinc/11/11/14/213111114.db2.gz YLZBXMCJRCNXPZ-UHFFFAOYSA-N 1 2 306.373 1.486 20 30 DDEDLO C[C@H](CC#N)C(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000598506798 357609138 /nfs/dbraw/zinc/60/91/38/357609138.db2.gz QZBURVJKNDDSTM-NVXWUHKLSA-N 1 2 314.433 1.469 20 30 DDEDLO C[C@H](CC#N)C(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000598506798 357609143 /nfs/dbraw/zinc/60/91/43/357609143.db2.gz QZBURVJKNDDSTM-NVXWUHKLSA-N 1 2 314.433 1.469 20 30 DDEDLO COc1nc(C)cc(C)c1C[N@H+](C)CC(=O)NC1(C#N)CCC1 ZINC000598648636 357670133 /nfs/dbraw/zinc/67/01/33/357670133.db2.gz JBGURXZHTUQDII-UHFFFAOYSA-N 1 2 316.405 1.701 20 30 DDEDLO COc1nc(C)cc(C)c1C[N@@H+](C)CC(=O)NC1(C#N)CCC1 ZINC000598648636 357670138 /nfs/dbraw/zinc/67/01/38/357670138.db2.gz JBGURXZHTUQDII-UHFFFAOYSA-N 1 2 316.405 1.701 20 30 DDEDLO Cc1cc(F)cc2c1ncc(C#N)c2NC[C@H]1COCC[N@H+]1C ZINC000599160387 357833431 /nfs/dbraw/zinc/83/34/31/357833431.db2.gz HCPHDPRHJHJBBQ-AWEZNQCLSA-N 1 2 314.364 1.718 20 30 DDEDLO Cc1cc(F)cc2c1ncc(C#N)c2NC[C@H]1COCC[N@@H+]1C ZINC000599160387 357833433 /nfs/dbraw/zinc/83/34/33/357833433.db2.gz HCPHDPRHJHJBBQ-AWEZNQCLSA-N 1 2 314.364 1.718 20 30 DDEDLO N#Cc1cc(NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)ccn1 ZINC000599183132 357841631 /nfs/dbraw/zinc/84/16/31/357841631.db2.gz HUVMZAJPVHFBPI-QGZVFWFLSA-N 1 2 309.373 1.083 20 30 DDEDLO N#Cc1cc(NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)ccn1 ZINC000599183132 357841636 /nfs/dbraw/zinc/84/16/36/357841636.db2.gz HUVMZAJPVHFBPI-QGZVFWFLSA-N 1 2 309.373 1.083 20 30 DDEDLO COC(=O)C1([NH2+]C[C@H](O)CC2(C#N)CCOCC2)CCCCC1 ZINC000599301286 357882455 /nfs/dbraw/zinc/88/24/55/357882455.db2.gz AQCZLJDOFQZLNZ-CQSZACIVSA-N 1 2 324.421 1.523 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000599642876 358004110 /nfs/dbraw/zinc/00/41/10/358004110.db2.gz WVQVUAFHDDUVMH-OCCSQVGLSA-N 1 2 317.393 1.327 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000599642876 358004113 /nfs/dbraw/zinc/00/41/13/358004113.db2.gz WVQVUAFHDDUVMH-OCCSQVGLSA-N 1 2 317.393 1.327 20 30 DDEDLO N#Cc1cccc(C2([NH2+]Cc3cn(C[C@H]4CCOC4)nn3)CC2)c1 ZINC000601113973 358407850 /nfs/dbraw/zinc/40/78/50/358407850.db2.gz KZMQSAOSQOLSTO-OAHLLOKOSA-N 1 2 323.400 1.965 20 30 DDEDLO Cc1c(Cl)cnc(N[C@H](CO)C[NH+]2CCOCC2)c1C#N ZINC000601176384 358434889 /nfs/dbraw/zinc/43/48/89/358434889.db2.gz HDGNKOKPYQDZRY-NSHDSACASA-N 1 2 310.785 1.020 20 30 DDEDLO N#Cc1ccc(-n2[nH]c3c(c2=O)C[NH2+]CC3)cc1C(F)(F)F ZINC000601937166 358744430 /nfs/dbraw/zinc/74/44/30/358744430.db2.gz CEKVZDDAYXRZKD-SNVBAGLBSA-N 1 2 308.263 1.889 20 30 DDEDLO COCCCOC1C[NH+](C[C@H](O)COc2ccccc2C#N)C1 ZINC000601959241 358753498 /nfs/dbraw/zinc/75/34/98/358753498.db2.gz PRYIUOFMBNEXGP-HNNXBMFYSA-N 1 2 320.389 1.035 20 30 DDEDLO CC1CC(C#N)(C(=O)N2CC[NH+](CCc3cnccn3)CC2)C1 ZINC000601888094 358728181 /nfs/dbraw/zinc/72/81/81/358728181.db2.gz PLGAFMAOYUWWRW-UHFFFAOYSA-N 1 2 313.405 1.103 20 30 DDEDLO N#C[C@@]1(NC(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)CCSC1 ZINC000602087113 358807462 /nfs/dbraw/zinc/80/74/62/358807462.db2.gz UUDPZNLXAUBFBR-KOLCDFICSA-N 1 2 318.324 1.892 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)nc1 ZINC000602199193 358879099 /nfs/dbraw/zinc/87/90/99/358879099.db2.gz NQRROUSNAKRQBY-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO Cc1nc([C@@H]2CCOC2)sc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000602332056 358933722 /nfs/dbraw/zinc/93/37/22/358933722.db2.gz JPSMWGYSMJNCEU-IAQYHMDHSA-N 1 2 322.434 1.529 20 30 DDEDLO Cc1ncc(C(F)(F)F)cc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000602334513 358935647 /nfs/dbraw/zinc/93/56/47/358935647.db2.gz SMHWXZBIROEPGE-CYBMUJFWSA-N 1 2 314.311 1.983 20 30 DDEDLO C=C(C)C[C@H]([NH2+][C@H]1CCC[C@@H](S(C)(=O)=O)C1)C(=O)OC ZINC000602735417 359157102 /nfs/dbraw/zinc/15/71/02/359157102.db2.gz WUGIRPDWPPXIHI-XQQFMLRXSA-N 1 2 303.424 1.440 20 30 DDEDLO C[C@@H]1C[NH+](Cc2cc(O)ccc2[N+](=O)[O-])C[C@@H](C)N1CC#N ZINC000602850914 359237556 /nfs/dbraw/zinc/23/75/56/359237556.db2.gz TUXLLAPXQXFALF-VXGBXAGGSA-N 1 2 304.350 1.719 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)N(C)CC(=O)Nc1ccccc1Cl ZINC000602863742 359248608 /nfs/dbraw/zinc/24/86/08/359248608.db2.gz PPVWAXQQBWMYNB-NSHDSACASA-N 1 2 322.796 1.629 20 30 DDEDLO COc1ccc(CCNC(=O)[C@@H](C)[NH2+][C@@H](C)CC#N)cc1OC ZINC000602863607 359248809 /nfs/dbraw/zinc/24/88/09/359248809.db2.gz OTBFFJGJFXCPCJ-QWHCGFSZSA-N 1 2 319.405 1.643 20 30 DDEDLO COc1cc(NC(=O)C[NH2+][C@H](C)CC#N)cc(OC)c1OC ZINC000602866942 359251888 /nfs/dbraw/zinc/25/18/88/359251888.db2.gz AGKXWDDUPBEDMP-SNVBAGLBSA-N 1 2 307.350 1.543 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccnc(C#N)c2)[C@H](C)CO1 ZINC000603130034 359422011 /nfs/dbraw/zinc/42/20/11/359422011.db2.gz WQFLJTKCKDCCPZ-VXGBXAGGSA-N 1 2 303.366 1.184 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccnc(C#N)c2)[C@H](C)CO1 ZINC000603130034 359422012 /nfs/dbraw/zinc/42/20/12/359422012.db2.gz WQFLJTKCKDCCPZ-VXGBXAGGSA-N 1 2 303.366 1.184 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2cc(F)cc(C#N)c2)CCO1 ZINC000603070171 359387906 /nfs/dbraw/zinc/38/79/06/359387906.db2.gz DEUAZJVXFRTZEE-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2cc(F)cc(C#N)c2)CCO1 ZINC000603070171 359387911 /nfs/dbraw/zinc/38/79/11/359387911.db2.gz DEUAZJVXFRTZEE-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+](C)CC(=O)N(C)C1CCCCC1 ZINC000603876804 359690938 /nfs/dbraw/zinc/69/09/38/359690938.db2.gz WKKZPIJGDZLHRB-CQSZACIVSA-N 1 2 322.453 1.470 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+](C)CC(=O)N(C)C1CCCCC1 ZINC000603876804 359690942 /nfs/dbraw/zinc/69/09/42/359690942.db2.gz WKKZPIJGDZLHRB-CQSZACIVSA-N 1 2 322.453 1.470 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCCc2nn(C)cc21)[NH+]1CCOCC1 ZINC000329856910 223039470 /nfs/dbraw/zinc/03/94/70/223039470.db2.gz YSBBVICAEPNVTD-CHWSQXEVSA-N 1 2 306.410 1.517 20 30 DDEDLO C[C@@H]1C[C@H](C(=O)NC[C@@H]2C[C@H](F)C[N@@H+]2Cc2cnn(C)c2)CO1 ZINC000329980368 223057313 /nfs/dbraw/zinc/05/73/13/223057313.db2.gz LFLCQRMQHMVALN-UNQGMJICSA-N 1 2 324.400 1.714 20 30 DDEDLO C[C@@H]1C[C@H](C(=O)NC[C@@H]2C[C@H](F)C[N@H+]2Cc2cnn(C)c2)CO1 ZINC000329980368 223057314 /nfs/dbraw/zinc/05/73/14/223057314.db2.gz LFLCQRMQHMVALN-UNQGMJICSA-N 1 2 324.400 1.714 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N2[C@H](C)CCC[C@H]2C)CC1 ZINC000609486263 360313552 /nfs/dbraw/zinc/31/35/52/360313552.db2.gz GWXCKVDASHPNJV-ZACQAIPSSA-N 1 2 320.481 1.942 20 30 DDEDLO Cc1[nH]c(C(=O)NC2CCN(c3ccc(C#N)cn3)CC2)c[nH+]1 ZINC000609551020 360322375 /nfs/dbraw/zinc/32/23/75/360322375.db2.gz WEGVIBGIYUYAMR-UHFFFAOYSA-N 1 2 310.361 1.384 20 30 DDEDLO COC(=O)c1ccsc1N1CC[C@@H]([NH2+][C@H](C)CC#N)C1=O ZINC000610475793 360444583 /nfs/dbraw/zinc/44/45/83/360444583.db2.gz KJWRMOXUCLSBHH-MWLCHTKSSA-N 1 2 307.375 1.532 20 30 DDEDLO C[C@@H](NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C)c1cccc(C#N)c1 ZINC000610351872 360419510 /nfs/dbraw/zinc/41/95/10/360419510.db2.gz MRWAJYABSVDXIB-CJNGLKHVSA-N 1 2 315.421 1.164 20 30 DDEDLO C[C@@H](NC(=O)NC[C@H]1CN(C)CC[N@H+]1C)c1cccc(C#N)c1 ZINC000610351872 360419514 /nfs/dbraw/zinc/41/95/14/360419514.db2.gz MRWAJYABSVDXIB-CJNGLKHVSA-N 1 2 315.421 1.164 20 30 DDEDLO CC(C)(CO)N1CC[NH+](CCCOc2cccc(C#N)c2)CC1 ZINC000610787356 360538135 /nfs/dbraw/zinc/53/81/35/360538135.db2.gz RXPRTEKCDOSIAG-UHFFFAOYSA-N 1 2 317.433 1.716 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC000611039547 360609248 /nfs/dbraw/zinc/60/92/48/360609248.db2.gz ZQTMIHDTJVSLHH-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC000611039547 360609251 /nfs/dbraw/zinc/60/92/51/360609251.db2.gz ZQTMIHDTJVSLHH-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO C[C@H](C(=O)NCc1cccs1)[NH+]1CCN(CCC#N)CC1 ZINC000611173858 360646579 /nfs/dbraw/zinc/64/65/79/360646579.db2.gz OHXXGUNHYFEIBS-CYBMUJFWSA-N 1 2 306.435 1.284 20 30 DDEDLO N#CCCN1CC[NH+](Cc2cc(F)cc3c2OCOC3)CC1 ZINC000611175097 360647757 /nfs/dbraw/zinc/64/77/57/360647757.db2.gz WRFLIOWRBWNXDL-UHFFFAOYSA-N 1 2 305.353 1.723 20 30 DDEDLO C[C@H]1CCCC[C@H]1N(C)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611175643 360649448 /nfs/dbraw/zinc/64/94/48/360649448.db2.gz KIRGBGJCUMFEAK-JKSUJKDBSA-N 1 2 306.454 1.555 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)Cc2cccc(C#N)c2)C[C@@H]1C ZINC000611083637 360617819 /nfs/dbraw/zinc/61/78/19/360617819.db2.gz QIBDODQULAYARH-ZDUSSCGKSA-N 1 2 307.419 1.414 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)Cc2cccc(C#N)c2)C[C@@H]1C ZINC000611083637 360617821 /nfs/dbraw/zinc/61/78/21/360617821.db2.gz QIBDODQULAYARH-ZDUSSCGKSA-N 1 2 307.419 1.414 20 30 DDEDLO C[C@H](CNC(=O)N[C@H]1CCOC2(CCC2)C1)[NH+]1CCOCC1 ZINC000330224003 223089873 /nfs/dbraw/zinc/08/98/73/223089873.db2.gz SNNVYGBZCHRYFV-KGLIPLIRSA-N 1 2 311.426 1.312 20 30 DDEDLO CO[C@@H]1C[C@H](NC(=O)N2CCC(C#N)([NH+](C)C)CC2)C1(C)C ZINC000332496769 223214382 /nfs/dbraw/zinc/21/43/82/223214382.db2.gz YJZUNCUTVQFUEC-QWHCGFSZSA-N 1 2 308.426 1.429 20 30 DDEDLO N#CC1CC[NH+]([C@@H]2CC(=O)N(c3ccc(F)cc3)C2=O)CC1 ZINC000331631736 223207326 /nfs/dbraw/zinc/20/73/26/223207326.db2.gz IANYXWNTIURFCD-CQSZACIVSA-N 1 2 301.321 1.693 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000613004997 361235927 /nfs/dbraw/zinc/23/59/27/361235927.db2.gz JRARCDXUQIYCDF-UONOGXRCSA-N 1 2 304.394 1.207 20 30 DDEDLO CO[C@@H]1CCC[C@@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000339588474 223271016 /nfs/dbraw/zinc/27/10/16/223271016.db2.gz ZABHVVVKVRNEDZ-HZPDHXFCSA-N 1 2 323.437 1.266 20 30 DDEDLO C[C@@H]1C[NH+]=C(N2CCN(C(=O)c3ccnc(C#N)c3)CC2)S1 ZINC000193356402 201037420 /nfs/dbraw/zinc/03/74/20/201037420.db2.gz OTLMXMKJWJWEPF-LLVKDONJSA-N 1 2 315.402 1.202 20 30 DDEDLO COCC1(C#N)CC[NH+](Cc2nc3ccc(OC)nc3[nH]2)CC1 ZINC000341990159 223299366 /nfs/dbraw/zinc/29/93/66/223299366.db2.gz KTNDTPCKEQKJIU-UHFFFAOYSA-N 1 2 315.377 1.719 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(C(=O)Nc2ccccc2O)CC1 ZINC000619719086 364129079 /nfs/dbraw/zinc/12/90/79/364129079.db2.gz RZOFARCKSRVBSY-CQSZACIVSA-N 1 2 318.373 1.770 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@H](C(=O)Nc2cccc(C)n2)C1 ZINC000619719111 364129538 /nfs/dbraw/zinc/12/95/38/364129538.db2.gz WHCWFGLCVSMVDA-UONOGXRCSA-N 1 2 317.389 1.768 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@H](C(=O)Nc2cccc(C)n2)C1 ZINC000619719111 364129541 /nfs/dbraw/zinc/12/95/41/364129541.db2.gz WHCWFGLCVSMVDA-UONOGXRCSA-N 1 2 317.389 1.768 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[NH+]1CCN(c2ccccc2)CC1 ZINC000345778919 223350891 /nfs/dbraw/zinc/35/08/91/223350891.db2.gz HDWKIYKANQROMT-OAHLLOKOSA-N 1 2 312.417 1.760 20 30 DDEDLO N#Cc1cc(Cl)ccc1S(=O)(=O)NCCCn1cc[nH+]c1 ZINC000091212541 193019749 /nfs/dbraw/zinc/01/97/49/193019749.db2.gz JZBPOACUOCLYNJ-UHFFFAOYSA-N 1 2 324.793 1.777 20 30 DDEDLO N#C[C@@H](c1cccc(Cl)c1)N1CC[NH+]([C@@H]2CCNC2=O)CC1 ZINC000348325381 223383069 /nfs/dbraw/zinc/38/30/69/223383069.db2.gz HQXMXMQCHGUNHA-CABCVRRESA-N 1 2 318.808 1.411 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)[C@@H]1CCn2cc(C)[nH+]c2C1 ZINC000626832590 367786986 /nfs/dbraw/zinc/78/69/86/367786986.db2.gz OQPKLXNDOABXEK-FMKPAKJESA-N 1 2 303.406 1.851 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cncc(F)c1 ZINC000275144156 212146992 /nfs/dbraw/zinc/14/69/92/212146992.db2.gz PSLSJSNUSJETMK-GFCCVEGCSA-N 1 2 312.352 1.593 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cncc(F)c1 ZINC000275144156 212146994 /nfs/dbraw/zinc/14/69/94/212146994.db2.gz PSLSJSNUSJETMK-GFCCVEGCSA-N 1 2 312.352 1.593 20 30 DDEDLO C#CCOc1ccc(C[N@@H+](CCS(C)(=O)=O)C2CC2)cc1 ZINC000093816523 193312430 /nfs/dbraw/zinc/31/24/30/193312430.db2.gz HFNKRJPRJVBUQB-UHFFFAOYSA-N 1 2 307.415 1.708 20 30 DDEDLO C#CCOc1ccc(C[N@H+](CCS(C)(=O)=O)C2CC2)cc1 ZINC000093816523 193312432 /nfs/dbraw/zinc/31/24/32/193312432.db2.gz HFNKRJPRJVBUQB-UHFFFAOYSA-N 1 2 307.415 1.708 20 30 DDEDLO CO[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H](C)C1 ZINC000282508257 217050046 /nfs/dbraw/zinc/05/00/46/217050046.db2.gz XFMDQGVJEBYNGY-UKRRQHHQSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H](C)C1 ZINC000282508257 217050049 /nfs/dbraw/zinc/05/00/49/217050049.db2.gz XFMDQGVJEBYNGY-UKRRQHHQSA-N 1 2 322.430 1.831 20 30 DDEDLO CC(C)C(=O)N1CC[NH+](CCOc2ccc(C#N)cc2)CC1 ZINC000016391106 248177044 /nfs/dbraw/zinc/17/70/44/248177044.db2.gz HSCIOCDNSSLHQV-UHFFFAOYSA-N 1 2 301.390 1.737 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC000636295115 422788852 /nfs/dbraw/zinc/78/88/52/422788852.db2.gz BLEMRFNTFPCBRD-INIZCTEOSA-N 1 2 317.433 1.750 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(c2ccccn2)CC1 ZINC000042288228 183249828 /nfs/dbraw/zinc/24/98/28/183249828.db2.gz YOKLWWKCUAMZIG-INIZCTEOSA-N 1 2 314.433 1.793 20 30 DDEDLO N#Cc1ccsc1C(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000110958228 194374398 /nfs/dbraw/zinc/37/43/98/194374398.db2.gz CKUKHTAJEWRXAR-CQSZACIVSA-N 1 2 319.430 1.947 20 30 DDEDLO COCCO[C@@H]1CCC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000340767011 251200401 /nfs/dbraw/zinc/20/04/01/251200401.db2.gz CMXZZKFLSTYWDX-CVEARBPZSA-N 1 2 317.389 1.212 20 30 DDEDLO COCCO[C@@H]1CCC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000340767011 251200403 /nfs/dbraw/zinc/20/04/03/251200403.db2.gz CMXZZKFLSTYWDX-CVEARBPZSA-N 1 2 317.389 1.212 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000330850256 285038441 /nfs/dbraw/zinc/03/84/41/285038441.db2.gz DUGOGBMYOZDORM-YPMHNXCESA-N 1 2 321.343 1.384 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000330850256 285038446 /nfs/dbraw/zinc/03/84/46/285038446.db2.gz DUGOGBMYOZDORM-YPMHNXCESA-N 1 2 321.343 1.384 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329979563 532999423 /nfs/dbraw/zinc/99/94/23/532999423.db2.gz JUTQKVWHGSWQMQ-NOLJZWGESA-N 1 2 319.405 1.685 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329979563 532999430 /nfs/dbraw/zinc/99/94/30/532999430.db2.gz JUTQKVWHGSWQMQ-NOLJZWGESA-N 1 2 319.405 1.685 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+](C[C@H](O)C(F)(F)F)CC1 ZINC000158590349 197285963 /nfs/dbraw/zinc/28/59/63/197285963.db2.gz RIXIVPNZKLJEBL-ZDUSSCGKSA-N 1 2 317.286 1.743 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1ccc(C#N)c(F)c1)[NH+]1CCOCC1 ZINC000289855387 221271626 /nfs/dbraw/zinc/27/16/26/221271626.db2.gz QRGHRDBINLUUBB-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCC[C@@H]1c1nc(=N)n(C)[nH]1 ZINC000331851039 533270502 /nfs/dbraw/zinc/27/05/02/533270502.db2.gz RFJYJCCKWFGSDA-CYBMUJFWSA-N 1 2 312.377 1.445 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCC[C@@H]1c1nc(=N)n(C)[nH]1 ZINC000331851039 533270505 /nfs/dbraw/zinc/27/05/05/533270505.db2.gz RFJYJCCKWFGSDA-CYBMUJFWSA-N 1 2 312.377 1.445 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cc(C#N)ccc2Br)C[C@H]1O ZINC000451764435 533478122 /nfs/dbraw/zinc/47/81/22/533478122.db2.gz SAJSANVKQXIKHD-CHWSQXEVSA-N 1 2 311.179 1.512 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2Br)C[C@H]1O ZINC000451764435 533478126 /nfs/dbraw/zinc/47/81/26/533478126.db2.gz SAJSANVKQXIKHD-CHWSQXEVSA-N 1 2 311.179 1.512 20 30 DDEDLO C[C@@H]1C[C@@H](O)C[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000452064495 533670626 /nfs/dbraw/zinc/67/06/26/533670626.db2.gz FMLRTTCUQOAHAV-ZWNOBZJWSA-N 1 2 307.781 1.853 20 30 DDEDLO C[C@@H]1C[C@@H](O)C[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000452064495 533670637 /nfs/dbraw/zinc/67/06/37/533670637.db2.gz FMLRTTCUQOAHAV-ZWNOBZJWSA-N 1 2 307.781 1.853 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@@H](C)C(=O)NC(=O)NC(C)(C)C)CC1 ZINC000341985429 292208511 /nfs/dbraw/zinc/20/85/11/292208511.db2.gz XLKFZQIPCHOTQN-LBPRGKRZSA-N 1 2 324.425 1.251 20 30 DDEDLO Cc1oncc1C[N@@H+]1C[C@@H](F)C[C@H]1CNc1nccc(C#N)n1 ZINC000569673721 304373317 /nfs/dbraw/zinc/37/33/17/304373317.db2.gz IRHQNZFJAVWFGE-JSGCOSHPSA-N 1 2 316.340 1.669 20 30 DDEDLO Cc1oncc1C[N@H+]1C[C@@H](F)C[C@H]1CNc1nccc(C#N)n1 ZINC000569673721 304373319 /nfs/dbraw/zinc/37/33/19/304373319.db2.gz IRHQNZFJAVWFGE-JSGCOSHPSA-N 1 2 316.340 1.669 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[NH+]2CCC(C(N)=O)CC2)c(C#N)c1C ZINC000025523201 406899438 /nfs/dbraw/zinc/89/94/38/406899438.db2.gz XLQXPJXXFIOIBD-JTQLQIEISA-N 1 2 318.377 1.292 20 30 DDEDLO C#CCNC(=O)NC[C@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000079681519 407060605 /nfs/dbraw/zinc/06/06/05/407060605.db2.gz PANVIXZZRSULSO-MRXNPFEDSA-N 1 2 301.390 1.301 20 30 DDEDLO C=CCn1c(C)nnc1S[C@H](C)C(=O)N1CC[NH+](CC)CC1 ZINC000049583220 407122998 /nfs/dbraw/zinc/12/29/98/407122998.db2.gz HMPZLRMCEQOQJR-GFCCVEGCSA-N 1 2 323.466 1.417 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)[C@H](C)C[NH+]2CCOCC2)c1 ZINC000091540644 407182009 /nfs/dbraw/zinc/18/20/09/407182009.db2.gz KXSRRGQGYIHCFQ-OAHLLOKOSA-N 1 2 315.417 1.259 20 30 DDEDLO CCCN(CC#N)CC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000058921286 407223603 /nfs/dbraw/zinc/22/36/03/407223603.db2.gz UGPDQCJSZXYLQI-UHFFFAOYSA-N 1 2 320.462 1.628 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000101553306 407310544 /nfs/dbraw/zinc/31/05/44/407310544.db2.gz JQQBPFXJXSCGTK-PBHICJAKSA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000101553306 407310546 /nfs/dbraw/zinc/31/05/46/407310546.db2.gz JQQBPFXJXSCGTK-PBHICJAKSA-N 1 2 320.437 1.031 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](CC)CC(=O)NCc1ccc(F)cc1 ZINC000124658814 407365720 /nfs/dbraw/zinc/36/57/20/407365720.db2.gz ZFQJKAKQDQJWQI-CYBMUJFWSA-N 1 2 321.396 1.455 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](CC)CC(=O)NCc1ccc(F)cc1 ZINC000124658814 407365722 /nfs/dbraw/zinc/36/57/22/407365722.db2.gz ZFQJKAKQDQJWQI-CYBMUJFWSA-N 1 2 321.396 1.455 20 30 DDEDLO Cc1ccc(Cl)cc1N1CC[NH+](CC(=O)NCC#N)CC1 ZINC000108850440 407391411 /nfs/dbraw/zinc/39/14/11/407391411.db2.gz SDADORJJPLJJME-UHFFFAOYSA-N 1 2 306.797 1.410 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@H+](CCOc1ccc(C#N)cc1)C1CC1 ZINC000113263347 407486214 /nfs/dbraw/zinc/48/62/14/407486214.db2.gz XDMVDKAUQHYUST-ZDUSSCGKSA-N 1 2 322.430 1.835 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@@H+](CCOc1ccc(C#N)cc1)C1CC1 ZINC000113263347 407486219 /nfs/dbraw/zinc/48/62/19/407486219.db2.gz XDMVDKAUQHYUST-ZDUSSCGKSA-N 1 2 322.430 1.835 20 30 DDEDLO C=C[C@@H](C)NC(=O)N1CC[NH+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000128188595 407507306 /nfs/dbraw/zinc/50/73/06/407507306.db2.gz YPBZWFRTDZUEHV-CABCVRRESA-N 1 2 322.453 1.289 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(CC(F)(F)C(F)F)CC1 ZINC000114492112 407585275 /nfs/dbraw/zinc/58/52/75/407585275.db2.gz KOWUZMKATBSZFE-JTQLQIEISA-N 1 2 311.323 1.195 20 30 DDEDLO C[N@@H+]1CCO[C@H]([C@H](NC(=O)CC#N)c2ccc(Cl)cc2)C1 ZINC000185869347 407522945 /nfs/dbraw/zinc/52/29/45/407522945.db2.gz NHIOYDKVZFOCTN-DZGCQCFKSA-N 1 2 307.781 1.742 20 30 DDEDLO C[N@H+]1CCO[C@H]([C@H](NC(=O)CC#N)c2ccc(Cl)cc2)C1 ZINC000185869347 407522953 /nfs/dbraw/zinc/52/29/53/407522953.db2.gz NHIOYDKVZFOCTN-DZGCQCFKSA-N 1 2 307.781 1.742 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)c2ccc(C#N)nc2)CC1 ZINC000115387256 407676720 /nfs/dbraw/zinc/67/67/20/407676720.db2.gz JDZOKVWNEKHGAZ-CQSZACIVSA-N 1 2 321.384 1.867 20 30 DDEDLO CN(C(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1)C1(C#N)CCC1 ZINC000131948801 407762139 /nfs/dbraw/zinc/76/21/39/407762139.db2.gz UYTQVYBMLYNAGA-INIZCTEOSA-N 1 2 313.401 1.792 20 30 DDEDLO CN(C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1)C1(C#N)CCC1 ZINC000131948801 407762146 /nfs/dbraw/zinc/76/21/46/407762146.db2.gz UYTQVYBMLYNAGA-INIZCTEOSA-N 1 2 313.401 1.792 20 30 DDEDLO C=C(C)COCCNc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000131986108 407765466 /nfs/dbraw/zinc/76/54/66/407765466.db2.gz XHKMCUREWKRDNK-AWEZNQCLSA-N 1 2 306.410 1.690 20 30 DDEDLO C=C(C)COCCNc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000131986108 407765471 /nfs/dbraw/zinc/76/54/71/407765471.db2.gz XHKMCUREWKRDNK-AWEZNQCLSA-N 1 2 306.410 1.690 20 30 DDEDLO COc1cccc(/C=[NH+]/C[C@H]2CCN(S(C)(=O)=O)C2)c1O ZINC000133098117 407820658 /nfs/dbraw/zinc/82/06/58/407820658.db2.gz VQIMMWWLIINFTB-BTAWCLGRSA-N 1 2 312.391 1.101 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)N(CC)CCC#N)CCN1CC(F)F ZINC000153420288 407822980 /nfs/dbraw/zinc/82/29/80/407822980.db2.gz HMXQZGPJEOXGAT-ZDUSSCGKSA-N 1 2 316.396 1.410 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)N(CC)CCC#N)CCN1CC(F)F ZINC000153420288 407822985 /nfs/dbraw/zinc/82/29/85/407822985.db2.gz HMXQZGPJEOXGAT-ZDUSSCGKSA-N 1 2 316.396 1.410 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCc2ccccc2[C@H]1CO ZINC000268080028 407881474 /nfs/dbraw/zinc/88/14/74/407881474.db2.gz GFOWQWLMVIVFLD-AEFFLSMTSA-N 1 2 315.417 1.633 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCc2ccccc2[C@H]1CO ZINC000268080028 407881481 /nfs/dbraw/zinc/88/14/81/407881481.db2.gz GFOWQWLMVIVFLD-AEFFLSMTSA-N 1 2 315.417 1.633 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCC(=O)N2CCCC[C@H]2C)c1 ZINC000174214135 407921992 /nfs/dbraw/zinc/92/19/92/407921992.db2.gz TYXXNZPJBMTVBQ-GFCCVEGCSA-N 1 2 305.378 1.733 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000134848022 407940270 /nfs/dbraw/zinc/94/02/70/407940270.db2.gz XTARCGQIEPJJPH-GJZGRUSLSA-N 1 2 300.362 1.413 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000134848022 407940278 /nfs/dbraw/zinc/94/02/78/407940278.db2.gz XTARCGQIEPJJPH-GJZGRUSLSA-N 1 2 300.362 1.413 20 30 DDEDLO N#CCSCC(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000119276830 408030010 /nfs/dbraw/zinc/03/00/10/408030010.db2.gz IWGAQRWOMJWVMH-UHFFFAOYSA-N 1 2 305.403 1.293 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)cc1 ZINC000119125513 407998178 /nfs/dbraw/zinc/99/81/78/407998178.db2.gz GXKMLDAUCVKIKU-CABCVRRESA-N 1 2 316.401 1.538 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(c2cnn(C)c2)CC1 ZINC000174940771 408013317 /nfs/dbraw/zinc/01/33/17/408013317.db2.gz HKDIHENSFGZPQA-OAHLLOKOSA-N 1 2 317.437 1.131 20 30 DDEDLO N#CCCCNC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000273130113 408070730 /nfs/dbraw/zinc/07/07/30/408070730.db2.gz GEISVBFCQZRCDC-INIZCTEOSA-N 1 2 316.405 1.490 20 30 DDEDLO N#CCCCNC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000273130113 408070736 /nfs/dbraw/zinc/07/07/36/408070736.db2.gz GEISVBFCQZRCDC-INIZCTEOSA-N 1 2 316.405 1.490 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)c1 ZINC000175244740 408087309 /nfs/dbraw/zinc/08/73/09/408087309.db2.gz PUKHKQJGQKSYTE-UHFFFAOYSA-N 1 2 311.345 1.048 20 30 DDEDLO N#CCSc1cccc(C(=O)NCC[NH+]2CCOCC2)c1 ZINC000175975139 408255743 /nfs/dbraw/zinc/25/57/43/408255743.db2.gz UXDRFFWCEYABJH-UHFFFAOYSA-N 1 2 305.403 1.364 20 30 DDEDLO CC(C)OC(=O)[C@@H](C)[N@H+](C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000246463931 408264403 /nfs/dbraw/zinc/26/44/03/408264403.db2.gz MHGWGWAPJLGKMF-UKRRQHHQSA-N 1 2 320.389 1.570 20 30 DDEDLO CC(C)OC(=O)[C@@H](C)[N@@H+](C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000246463931 408264407 /nfs/dbraw/zinc/26/44/07/408264407.db2.gz MHGWGWAPJLGKMF-UKRRQHHQSA-N 1 2 320.389 1.570 20 30 DDEDLO COC(=O)COc1ccc(/C(C)=N/OCc2c[nH+]cn2C)cc1 ZINC000273483661 408216844 /nfs/dbraw/zinc/21/68/44/408216844.db2.gz KXQMWGRGDOMWHC-LDADJPATSA-N 1 2 317.345 1.913 20 30 DDEDLO COc1cccc2c1CC[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C2 ZINC000182673332 408219521 /nfs/dbraw/zinc/21/95/21/408219521.db2.gz UQRHEMRLFWJBMZ-SFHVURJKSA-N 1 2 313.401 1.862 20 30 DDEDLO COc1cccc2c1CC[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C2 ZINC000182673332 408219530 /nfs/dbraw/zinc/21/95/30/408219530.db2.gz UQRHEMRLFWJBMZ-SFHVURJKSA-N 1 2 313.401 1.862 20 30 DDEDLO CN(C)c1[nH+]cccc1N(C)S(=O)(=O)c1cccc(C#N)c1 ZINC000175869385 408227108 /nfs/dbraw/zinc/22/71/08/408227108.db2.gz SEMWCNOHTKPIBY-UHFFFAOYSA-N 1 2 316.386 1.844 20 30 DDEDLO CCCCC[N@H+](CC(N)=O)CC(=O)Nc1sccc1C#N ZINC000183059695 408317905 /nfs/dbraw/zinc/31/79/05/408317905.db2.gz VMLWODFMWGXOQZ-UHFFFAOYSA-N 1 2 308.407 1.536 20 30 DDEDLO CCCCC[N@@H+](CC(N)=O)CC(=O)Nc1sccc1C#N ZINC000183059695 408317909 /nfs/dbraw/zinc/31/79/09/408317909.db2.gz VMLWODFMWGXOQZ-UHFFFAOYSA-N 1 2 308.407 1.536 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)c1c(F)cc(C#N)cc1F ZINC000176261460 408327192 /nfs/dbraw/zinc/32/71/92/408327192.db2.gz OBHOQWHILCTUTC-UHFFFAOYSA-N 1 2 323.343 1.677 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)c1c(F)cc(C#N)cc1F ZINC000176261460 408327197 /nfs/dbraw/zinc/32/71/97/408327197.db2.gz OBHOQWHILCTUTC-UHFFFAOYSA-N 1 2 323.343 1.677 20 30 DDEDLO COC(=O)[C@@H](C)C[N@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156662876 408268821 /nfs/dbraw/zinc/26/88/21/408268821.db2.gz IESPEMLTFBNCGY-LBPRGKRZSA-N 1 2 324.402 1.073 20 30 DDEDLO COC(=O)[C@@H](C)C[N@@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156662876 408268825 /nfs/dbraw/zinc/26/88/25/408268825.db2.gz IESPEMLTFBNCGY-LBPRGKRZSA-N 1 2 324.402 1.073 20 30 DDEDLO C[C@@H](O)[C@H]1CCCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156732207 408271535 /nfs/dbraw/zinc/27/15/35/408271535.db2.gz PVBOZRGBRJGGOC-CZUORRHYSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@@H](O)[C@H]1CCCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156732207 408271540 /nfs/dbraw/zinc/27/15/40/408271540.db2.gz PVBOZRGBRJGGOC-CZUORRHYSA-N 1 2 322.430 1.567 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCC[C@H](S(C)(=O)=O)C1 ZINC000274138564 408306581 /nfs/dbraw/zinc/30/65/81/408306581.db2.gz JLKAICJONKIXHB-KBPBESRZSA-N 1 2 314.451 1.085 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCC[C@H](S(C)(=O)=O)C1 ZINC000274138564 408306585 /nfs/dbraw/zinc/30/65/85/408306585.db2.gz JLKAICJONKIXHB-KBPBESRZSA-N 1 2 314.451 1.085 20 30 DDEDLO CC(C)C(=O)NCC[N@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000157677345 408312951 /nfs/dbraw/zinc/31/29/51/408312951.db2.gz IZANEGLOUUPVSR-UHFFFAOYSA-N 1 2 322.453 1.375 20 30 DDEDLO CC(C)C(=O)NCC[N@@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000157677345 408312955 /nfs/dbraw/zinc/31/29/55/408312955.db2.gz IZANEGLOUUPVSR-UHFFFAOYSA-N 1 2 322.453 1.375 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000183202346 408352401 /nfs/dbraw/zinc/35/24/01/408352401.db2.gz DOVCBNVFTAXYGN-AWEZNQCLSA-N 1 2 300.362 1.609 20 30 DDEDLO N#Cc1ccc2ncc(C[NH+]3CCN(c4ncccn4)CC3)n2c1 ZINC000158811680 408363570 /nfs/dbraw/zinc/36/35/70/408363570.db2.gz ASWOSNLHFROIDM-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCN(c4ncccn4)CC3)n2c1 ZINC000158811680 408363573 /nfs/dbraw/zinc/36/35/73/408363573.db2.gz ASWOSNLHFROIDM-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ccnc(C)n3)CC2)cc1C#N ZINC000183763142 408484495 /nfs/dbraw/zinc/48/44/95/408484495.db2.gz LZIOJBQKPVJSCB-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO CCC[C@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000270340945 408493257 /nfs/dbraw/zinc/49/32/57/408493257.db2.gz GWBJGLFLWZUPHV-INIZCTEOSA-N 1 2 301.390 1.675 20 30 DDEDLO CCC[C@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000270340945 408493262 /nfs/dbraw/zinc/49/32/62/408493262.db2.gz GWBJGLFLWZUPHV-INIZCTEOSA-N 1 2 301.390 1.675 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(COC(C)(C)C)on2)CC1 ZINC000274593577 408462924 /nfs/dbraw/zinc/46/29/24/408462924.db2.gz MCPYGSYBFDQHHN-UHFFFAOYSA-N 1 2 319.405 1.771 20 30 DDEDLO C=CCN(Cc1c(C)[nH+]c2ccccn21)[C@H]1CCS(=O)(=O)C1 ZINC000160293322 408467145 /nfs/dbraw/zinc/46/71/45/408467145.db2.gz XCVUPSQCOYVLHC-AWEZNQCLSA-N 1 2 319.430 1.818 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000160388452 408477964 /nfs/dbraw/zinc/47/79/64/408477964.db2.gz JZVMMUOCPLZLKX-QGZVFWFLSA-N 1 2 316.401 1.044 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000160388452 408477969 /nfs/dbraw/zinc/47/79/69/408477969.db2.gz JZVMMUOCPLZLKX-QGZVFWFLSA-N 1 2 316.401 1.044 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCC[C@H]1c1ccc(OC)cc1OC ZINC000192238585 408564121 /nfs/dbraw/zinc/56/41/21/408564121.db2.gz MNFYFVFQXKGAKV-INIZCTEOSA-N 1 2 316.401 1.932 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCC[C@H]1c1ccc(OC)cc1OC ZINC000192238585 408564126 /nfs/dbraw/zinc/56/41/26/408564126.db2.gz MNFYFVFQXKGAKV-INIZCTEOSA-N 1 2 316.401 1.932 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000184694094 408671440 /nfs/dbraw/zinc/67/14/40/408671440.db2.gz BNJFTKOBVVIQGS-GDBMZVCRSA-N 1 2 318.373 1.325 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000184694094 408671442 /nfs/dbraw/zinc/67/14/42/408671442.db2.gz BNJFTKOBVVIQGS-GDBMZVCRSA-N 1 2 318.373 1.325 20 30 DDEDLO COC(=O)[C@@H]1[C@@H](O)CCC[N@@H+]1Cc1ccccc1C(C)(C)C#N ZINC000249352636 408717470 /nfs/dbraw/zinc/71/74/70/408717470.db2.gz KPUVNLQVOWFQRF-HOTGVXAUSA-N 1 2 316.401 1.986 20 30 DDEDLO COC(=O)[C@@H]1[C@@H](O)CCC[N@H+]1Cc1ccccc1C(C)(C)C#N ZINC000249352636 408717477 /nfs/dbraw/zinc/71/74/77/408717477.db2.gz KPUVNLQVOWFQRF-HOTGVXAUSA-N 1 2 316.401 1.986 20 30 DDEDLO C[C@H](CNc1nc2c(cc1C#N)CCCC2)[NH+]1CCOCC1 ZINC000270947766 408753598 /nfs/dbraw/zinc/75/35/98/408753598.db2.gz LCZHQIZTKBYHNR-CYBMUJFWSA-N 1 2 300.406 1.965 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@@H]1CCSC1 ZINC000163126288 408762717 /nfs/dbraw/zinc/76/27/17/408762717.db2.gz OOBMLEWUALFOHB-CYBMUJFWSA-N 1 2 310.444 1.769 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@@H]1CCSC1 ZINC000163126288 408762723 /nfs/dbraw/zinc/76/27/23/408762723.db2.gz OOBMLEWUALFOHB-CYBMUJFWSA-N 1 2 310.444 1.769 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)O[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000178147358 408780752 /nfs/dbraw/zinc/78/07/52/408780752.db2.gz LCESZCPYEVZVPS-GFCCVEGCSA-N 1 2 307.394 1.783 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C(=O)N(C)C)c2ccccc2)C1=O ZINC000281185898 408874687 /nfs/dbraw/zinc/87/46/87/408874687.db2.gz BFIGXDAIGQIPBY-JKSUJKDBSA-N 1 2 315.417 1.535 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C(=O)N(C)C)c2ccccc2)C1=O ZINC000281185898 408874689 /nfs/dbraw/zinc/87/46/89/408874689.db2.gz BFIGXDAIGQIPBY-JKSUJKDBSA-N 1 2 315.417 1.535 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N[C@H](C)c2ccccc2)C1=O ZINC000281441226 408885876 /nfs/dbraw/zinc/88/58/76/408885876.db2.gz TZXOXCCHRVKLJL-GDBMZVCRSA-N 1 2 315.417 1.583 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N[C@H](C)c2ccccc2)C1=O ZINC000281441226 408885879 /nfs/dbraw/zinc/88/58/79/408885879.db2.gz TZXOXCCHRVKLJL-GDBMZVCRSA-N 1 2 315.417 1.583 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1C[C@H](C)[S@](=O)[C@@H](CC)C1 ZINC000285832995 408872600 /nfs/dbraw/zinc/87/26/00/408872600.db2.gz HHDDORKKLDBIFO-ZYKFEASCSA-N 1 2 312.479 1.807 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1C[C@H](C)[S@](=O)[C@@H](CC)C1 ZINC000285832995 408872601 /nfs/dbraw/zinc/87/26/01/408872601.db2.gz HHDDORKKLDBIFO-ZYKFEASCSA-N 1 2 312.479 1.807 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@@H+]1CCOC[C@]12CCOC2 ZINC000193665479 163264684 /nfs/dbraw/zinc/26/46/84/163264684.db2.gz BPYRCRQJUYDAPS-OAHLLOKOSA-N 1 2 321.402 1.440 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@H+]1CCOC[C@]12CCOC2 ZINC000193665479 163264687 /nfs/dbraw/zinc/26/46/87/163264687.db2.gz BPYRCRQJUYDAPS-OAHLLOKOSA-N 1 2 321.402 1.440 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H]2CCN(c3ccccc3)C2=O)C1=O ZINC000281884258 408947047 /nfs/dbraw/zinc/94/70/47/408947047.db2.gz DHJLMJBMVXNLHS-HOTGVXAUSA-N 1 2 313.401 1.511 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H]2CCN(c3ccccc3)C2=O)C1=O ZINC000281884258 408947050 /nfs/dbraw/zinc/94/70/50/408947050.db2.gz DHJLMJBMVXNLHS-HOTGVXAUSA-N 1 2 313.401 1.511 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N(C)CCCn1cc[nH+]c1 ZINC000281903010 408951754 /nfs/dbraw/zinc/95/17/54/408951754.db2.gz XLMVFIUFTQZQLJ-GJZGRUSLSA-N 1 2 306.410 1.896 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NCc2ccccc2)C1=O ZINC000281921586 408954935 /nfs/dbraw/zinc/95/49/35/408954935.db2.gz HHWCHWORUPUDPG-HNNXBMFYSA-N 1 2 301.390 1.022 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NCc2ccccc2)C1=O ZINC000281921586 408954936 /nfs/dbraw/zinc/95/49/36/408954936.db2.gz HHWCHWORUPUDPG-HNNXBMFYSA-N 1 2 301.390 1.022 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCc3ccc(C(=O)OC)cc3C2)C1=O ZINC000281976951 408964941 /nfs/dbraw/zinc/96/49/41/408964941.db2.gz LREXJASJQYMRLM-INIZCTEOSA-N 1 2 314.385 1.618 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCc3ccc(C(=O)OC)cc3C2)C1=O ZINC000281976951 408964943 /nfs/dbraw/zinc/96/49/43/408964943.db2.gz LREXJASJQYMRLM-INIZCTEOSA-N 1 2 314.385 1.618 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCO[C@@H](Cc3ccccc3)C2)C1=O ZINC000282025762 408974610 /nfs/dbraw/zinc/97/46/10/408974610.db2.gz POACGYGYTKEWAN-DLBZAZTESA-N 1 2 300.402 1.717 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCO[C@@H](Cc3ccccc3)C2)C1=O ZINC000282025762 408974611 /nfs/dbraw/zinc/97/46/11/408974611.db2.gz POACGYGYTKEWAN-DLBZAZTESA-N 1 2 300.402 1.717 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)NCC1CCC(C#N)CC1 ZINC000282028696 408975097 /nfs/dbraw/zinc/97/50/97/408975097.db2.gz OUVXBZKFBVJWDC-UHFFFAOYSA-N 1 2 316.361 1.475 20 30 DDEDLO COC(C[NH+]1CCN(c2ccc(C#N)cc2Cl)CC1)OC ZINC000277648774 408984235 /nfs/dbraw/zinc/98/42/35/408984235.db2.gz CTAWWDFXHKOCRO-UHFFFAOYSA-N 1 2 309.797 1.953 20 30 DDEDLO C=CCC(C)(C)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000292308477 409021978 /nfs/dbraw/zinc/02/19/78/409021978.db2.gz QMFCXZKUOCZGAY-UHFFFAOYSA-N 1 2 306.410 1.756 20 30 DDEDLO COCC[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)Cc1ccccn1 ZINC000287460782 409040546 /nfs/dbraw/zinc/04/05/46/409040546.db2.gz RGMPQBSQFGOWJY-KRWDZBQOSA-N 1 2 316.405 1.338 20 30 DDEDLO COCC[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)Cc1ccccn1 ZINC000287460782 409040548 /nfs/dbraw/zinc/04/05/48/409040548.db2.gz RGMPQBSQFGOWJY-KRWDZBQOSA-N 1 2 316.405 1.338 20 30 DDEDLO C=CCC(F)(F)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000292993778 409048160 /nfs/dbraw/zinc/04/81/60/409048160.db2.gz FKXFHGIOYZDIGZ-UHFFFAOYSA-N 1 2 314.336 1.365 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)c1ccc(C#N)cc1[N+](=O)[O-] ZINC000278871051 409105353 /nfs/dbraw/zinc/10/53/53/409105353.db2.gz NQRWVEYGOSIZTC-GFCCVEGCSA-N 1 2 304.350 1.623 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NCc1cn2ccccc2[nH+]1 ZINC000283870477 409230976 /nfs/dbraw/zinc/23/09/76/409230976.db2.gz JSABALAFNYDJDQ-UHFFFAOYSA-N 1 2 300.362 1.375 20 30 DDEDLO C[C@H](c1ccc(F)cc1)N(C)C(=O)CO[NH+]=C(N)[C@@H]1CCCO1 ZINC000284033970 409261717 /nfs/dbraw/zinc/26/17/17/409261717.db2.gz IQXWNMIXLBUOQN-RISCZKNCSA-N 1 2 323.368 1.813 20 30 DDEDLO CO[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CC[C@@H]1C ZINC000289398748 409262866 /nfs/dbraw/zinc/26/28/66/409262866.db2.gz KVJIRJGDOJBOEA-GXTWGEPZSA-N 1 2 323.418 1.084 20 30 DDEDLO CO[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CC[C@@H]1C ZINC000289398748 409262867 /nfs/dbraw/zinc/26/28/67/409262867.db2.gz KVJIRJGDOJBOEA-GXTWGEPZSA-N 1 2 323.418 1.084 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000294324308 409279889 /nfs/dbraw/zinc/27/98/89/409279889.db2.gz FARJDFJJRHAPMS-AWEZNQCLSA-N 1 2 301.390 1.726 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000290111365 409302712 /nfs/dbraw/zinc/30/27/12/409302712.db2.gz XSMCVXQWLXFTMT-UHFFFAOYSA-N 1 2 305.381 1.954 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(S(=O)(=O)CCC#N)CC1 ZINC000295792779 409371281 /nfs/dbraw/zinc/37/12/81/409371281.db2.gz VJTWSYODKAZWKP-UHFFFAOYSA-N 1 2 323.418 1.056 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)N1c2ccccc2C[C@@H]1C ZINC000284403935 409341663 /nfs/dbraw/zinc/34/16/63/409341663.db2.gz NBYINZDNNDCZSG-HUBLWGQQSA-N 1 2 317.389 1.821 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)s2)C[C@@H]1C ZINC000408408154 164321538 /nfs/dbraw/zinc/32/15/38/164321538.db2.gz YQGDHUTUNIMXQD-WDEREUQCSA-N 1 2 313.448 1.723 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)s2)C[C@@H]1C ZINC000408408154 164321540 /nfs/dbraw/zinc/32/15/40/164321540.db2.gz YQGDHUTUNIMXQD-WDEREUQCSA-N 1 2 313.448 1.723 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+]([C@@H](C)c2ccsc2)CC1 ZINC000296413619 409537626 /nfs/dbraw/zinc/53/76/26/409537626.db2.gz WVKHHOCAWLFKGI-UONOGXRCSA-N 1 2 306.431 1.992 20 30 DDEDLO C[C@@H]1C[C@@H](O)C[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000331558641 409633160 /nfs/dbraw/zinc/63/31/60/409633160.db2.gz KCGFCGHCQUNORV-RTXFEEFZSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@@H]1C[C@@H](O)C[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000331558641 409633167 /nfs/dbraw/zinc/63/31/67/409633167.db2.gz KCGFCGHCQUNORV-RTXFEEFZSA-N 1 2 305.403 1.428 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+][C@@H]2COc3ccc(F)cc32)C(N)=O)cc1 ZINC000342455289 409647474 /nfs/dbraw/zinc/64/74/74/409647474.db2.gz HVQAXOPUPQKYTM-GDBMZVCRSA-N 1 2 311.316 1.947 20 30 DDEDLO C=CC1CCN(C(=O)c2ccc(C[NH+]3CCOCC3)cn2)CC1 ZINC000354201639 409731223 /nfs/dbraw/zinc/73/12/23/409731223.db2.gz BHEQLCMZFKFIQO-UHFFFAOYSA-N 1 2 315.417 1.952 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc(C#N)c1C ZINC000338184928 409786285 /nfs/dbraw/zinc/78/62/85/409786285.db2.gz YEYYLKJDSAURBO-AWEZNQCLSA-N 1 2 312.329 1.104 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc(C#N)c1C ZINC000338184928 409786296 /nfs/dbraw/zinc/78/62/96/409786296.db2.gz YEYYLKJDSAURBO-AWEZNQCLSA-N 1 2 312.329 1.104 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000297463499 409916455 /nfs/dbraw/zinc/91/64/55/409916455.db2.gz IEBYQJBOOPNHCE-CABCVRRESA-N 1 2 316.405 1.493 20 30 DDEDLO CC(C)(C)N1C[C@@H](NC(=O)C(C)(C)[NH+]2CCOCC2)CC1=O ZINC000328688779 409956846 /nfs/dbraw/zinc/95/68/46/409956846.db2.gz QCNHMRVAOBKQNL-LBPRGKRZSA-N 1 2 311.426 1.453 20 30 DDEDLO C[C@H](NC(=O)C1CCCC1)C(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000328788090 409978045 /nfs/dbraw/zinc/97/80/45/409978045.db2.gz JXQDUORNGZELPJ-UBHSHLNASA-N 1 2 309.410 1.063 20 30 DDEDLO C[C@H](NC(=O)C1CCCC1)C(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000328788090 409978053 /nfs/dbraw/zinc/97/80/53/409978053.db2.gz JXQDUORNGZELPJ-UBHSHLNASA-N 1 2 309.410 1.063 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)N(C)Cc1cnccn1)CCC2 ZINC000328638307 409942998 /nfs/dbraw/zinc/94/29/98/409942998.db2.gz BHXPYUUMHNSQJH-CYBMUJFWSA-N 1 2 314.393 1.905 20 30 DDEDLO CC(=O)N1CCC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000332247271 410009228 /nfs/dbraw/zinc/00/92/28/410009228.db2.gz XFECXJMKSNCPJI-HNNXBMFYSA-N 1 2 300.362 1.689 20 30 DDEDLO CC(=O)N1CCC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000332247271 410009232 /nfs/dbraw/zinc/00/92/32/410009232.db2.gz XFECXJMKSNCPJI-HNNXBMFYSA-N 1 2 300.362 1.689 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)CCC(=O)NC3CCCC3)C[C@H]21 ZINC000328871229 409999917 /nfs/dbraw/zinc/99/99/17/409999917.db2.gz PWMMPLQAAAQHLE-ZIAGYGMSSA-N 1 2 309.410 1.207 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)CCC(=O)NC3CCCC3)C[C@H]21 ZINC000328871229 409999920 /nfs/dbraw/zinc/99/99/20/409999920.db2.gz PWMMPLQAAAQHLE-ZIAGYGMSSA-N 1 2 309.410 1.207 20 30 DDEDLO CC(C)N1CC[C@H](NC(=O)N2CC[C@H](c3[nH+]ccn3C)C2)C1=O ZINC000328885728 410003448 /nfs/dbraw/zinc/00/34/48/410003448.db2.gz ZHOJPPLAECMCOX-STQMWFEESA-N 1 2 319.409 1.133 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1C[C@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000351565772 410089706 /nfs/dbraw/zinc/08/97/06/410089706.db2.gz XZUHCQUOMORZKB-GJZGRUSLSA-N 1 2 308.401 1.805 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1C[C@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000351565772 410089711 /nfs/dbraw/zinc/08/97/11/410089711.db2.gz XZUHCQUOMORZKB-GJZGRUSLSA-N 1 2 308.401 1.805 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)[C@H]1C)[N@H+]1[C@H](C)CC[C@@H]1C ZINC000329103521 410104274 /nfs/dbraw/zinc/10/42/74/410104274.db2.gz GAVBQVDMVZCWMQ-PPCPHDFISA-N 1 2 302.440 1.780 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)[C@H]1C)[N@@H+]1[C@H](C)CC[C@@H]1C ZINC000329103521 410104278 /nfs/dbraw/zinc/10/42/78/410104278.db2.gz GAVBQVDMVZCWMQ-PPCPHDFISA-N 1 2 302.440 1.780 20 30 DDEDLO O=C(NC[C@H]1CCCn2cc[nH+]c21)N1CCCC[C@H]1CCO ZINC000329222781 410175670 /nfs/dbraw/zinc/17/56/70/410175670.db2.gz WXZKBIOVBLVQLO-KGLIPLIRSA-N 1 2 306.410 1.911 20 30 DDEDLO CC1(C)CN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)CC[C@@H]1O ZINC000329245927 410190791 /nfs/dbraw/zinc/19/07/91/410190791.db2.gz ALNWSZXWYFCKLV-STQMWFEESA-N 1 2 306.410 1.767 20 30 DDEDLO C=C[C@H](C)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000355035270 410253073 /nfs/dbraw/zinc/25/30/73/410253073.db2.gz IAUFJDDTRSDRDK-SWLSCSKDSA-N 1 2 318.421 1.708 20 30 DDEDLO C=C[C@H](C)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000355035270 410253083 /nfs/dbraw/zinc/25/30/83/410253083.db2.gz IAUFJDDTRSDRDK-SWLSCSKDSA-N 1 2 318.421 1.708 20 30 DDEDLO C[N@@H+](CC(=O)NC1CCCCC1)C[C@@H]1CCS(=O)(=O)C1 ZINC000329447004 410303403 /nfs/dbraw/zinc/30/34/03/410303403.db2.gz BTRBKVLNHADJDJ-LBPRGKRZSA-N 1 2 302.440 1.642 20 30 DDEDLO C[N@H+](CC(=O)NC1CCCCC1)C[C@@H]1CCS(=O)(=O)C1 ZINC000329447004 410303407 /nfs/dbraw/zinc/30/34/07/410303407.db2.gz BTRBKVLNHADJDJ-LBPRGKRZSA-N 1 2 302.440 1.642 20 30 DDEDLO Cn1nc(NC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)cc1C1CC1 ZINC000329544474 410354781 /nfs/dbraw/zinc/35/47/81/410354781.db2.gz YTVSDOIJIGBOOB-JTQLQIEISA-N 1 2 300.366 1.904 20 30 DDEDLO Cn1nc(NC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)cc1C1CC1 ZINC000329544474 410354787 /nfs/dbraw/zinc/35/47/87/410354787.db2.gz YTVSDOIJIGBOOB-JTQLQIEISA-N 1 2 300.366 1.904 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000299022065 410488666 /nfs/dbraw/zinc/48/86/66/410488666.db2.gz LWZBIAJNCOWURR-GFCCVEGCSA-N 1 2 314.345 1.347 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCOc2ccc(Cl)cc2)CC1 ZINC000355378676 410480148 /nfs/dbraw/zinc/48/01/48/410480148.db2.gz JWGOTIMKKONIGL-UHFFFAOYSA-N 1 2 307.781 1.777 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@H]1C ZINC000355435484 410513334 /nfs/dbraw/zinc/51/33/34/410513334.db2.gz AKPRGMQOFJPTEL-RHSMWYFYSA-N 1 2 313.401 1.802 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)NCCOc2ccccc2)CC1 ZINC000352469365 410659641 /nfs/dbraw/zinc/65/96/41/410659641.db2.gz XEVQXXZYVQLMLB-UHFFFAOYSA-N 1 2 305.353 1.509 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000352315419 410617240 /nfs/dbraw/zinc/61/72/40/410617240.db2.gz OISGVVGNSGKOKK-CQSZACIVSA-N 1 2 318.421 1.908 20 30 DDEDLO C=CCCC1(C(=O)N2CC[NH+](Cc3cnn(C)c3)CC2)CC1 ZINC000355668412 410632703 /nfs/dbraw/zinc/63/27/03/410632703.db2.gz YZJBHGGMBWRPDV-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO Cc1nn(CCC#N)c(C)c1CCC(=O)NCc1[nH]c[nH+]c1C ZINC000359509959 410698528 /nfs/dbraw/zinc/69/85/28/410698528.db2.gz JBPRVELGKDHUEH-UHFFFAOYSA-N 1 2 314.393 1.694 20 30 DDEDLO COC[C@@H]1C[N@H+](CC(=O)Nc2ccc(C#N)cc2)CC(C)(C)O1 ZINC000330522486 410749727 /nfs/dbraw/zinc/74/97/27/410749727.db2.gz IVCHBWXJVIMLFS-HNNXBMFYSA-N 1 2 317.389 1.623 20 30 DDEDLO COC[C@@H]1C[N@@H+](CC(=O)Nc2ccc(C#N)cc2)CC(C)(C)O1 ZINC000330522486 410749734 /nfs/dbraw/zinc/74/97/34/410749734.db2.gz IVCHBWXJVIMLFS-HNNXBMFYSA-N 1 2 317.389 1.623 20 30 DDEDLO N#Cc1csc(C[NH+]2CCC(N3CCCNC3=O)CC2)n1 ZINC000356131963 410853422 /nfs/dbraw/zinc/85/34/22/410853422.db2.gz UWWQJBBDTWDUAL-UHFFFAOYSA-N 1 2 305.407 1.394 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(N)=O)C2)c(C(F)(F)F)c1 ZINC000356144677 410860441 /nfs/dbraw/zinc/86/04/41/410860441.db2.gz ZLAMFQLBYPTVSK-LLVKDONJSA-N 1 2 312.295 1.820 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(N)=O)C2)c(C(F)(F)F)c1 ZINC000356144677 410860447 /nfs/dbraw/zinc/86/04/47/410860447.db2.gz ZLAMFQLBYPTVSK-LLVKDONJSA-N 1 2 312.295 1.820 20 30 DDEDLO N#Cc1ccc(C(=O)CNC(=O)[C@H]2CCn3c[nH+]cc3C2)cc1 ZINC000356172378 410875997 /nfs/dbraw/zinc/87/59/97/410875997.db2.gz ZKZWPRKXDCQABM-AWEZNQCLSA-N 1 2 308.341 1.316 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH2+][C@@H](c3cccnc3)C2)cc1O ZINC000337581012 410876211 /nfs/dbraw/zinc/87/62/11/410876211.db2.gz IUWYVAUBULYUQC-OAHLLOKOSA-N 1 2 308.341 1.446 20 30 DDEDLO COc1ccccc1[C@H]1CN(C(=O)c2ccc(C#N)[nH]2)CC[NH2+]1 ZINC000337590972 410882386 /nfs/dbraw/zinc/88/23/86/410882386.db2.gz MWGVVYAQGUUGRQ-OAHLLOKOSA-N 1 2 310.357 1.682 20 30 DDEDLO N#CC1(C(=O)N2CC[C@H](OCC[NH+]3CCOCC3)C2)CCCC1 ZINC000353289073 410902269 /nfs/dbraw/zinc/90/22/69/410902269.db2.gz IQOCJKYZHNFAIY-HNNXBMFYSA-N 1 2 321.421 1.020 20 30 DDEDLO COC[C@H](C)NC(=O)NC(=O)C[N@@H+]1CCCC[C@@H]1c1ccco1 ZINC000330788773 410894817 /nfs/dbraw/zinc/89/48/17/410894817.db2.gz ALWRXEQATKKZIZ-QWHCGFSZSA-N 1 2 323.393 1.872 20 30 DDEDLO COC[C@H](C)NC(=O)NC(=O)C[N@H+]1CCCC[C@@H]1c1ccco1 ZINC000330788773 410894825 /nfs/dbraw/zinc/89/48/25/410894825.db2.gz ALWRXEQATKKZIZ-QWHCGFSZSA-N 1 2 323.393 1.872 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C ZINC000356355874 410983371 /nfs/dbraw/zinc/98/33/71/410983371.db2.gz XXFNWVOHLKMORG-LRDDRELGSA-N 1 2 320.393 1.649 20 30 DDEDLO COC(=O)c1ccc(CNC(=O)[C@@H](C)[N@H+](C)C[C@@H](C)C#N)cc1 ZINC000353445674 410990810 /nfs/dbraw/zinc/99/08/10/410990810.db2.gz YLGPCQMGXZNXGR-QWHCGFSZSA-N 1 2 317.389 1.569 20 30 DDEDLO COC(=O)c1ccc(CNC(=O)[C@@H](C)[N@@H+](C)C[C@@H](C)C#N)cc1 ZINC000353445674 410990814 /nfs/dbraw/zinc/99/08/14/410990814.db2.gz YLGPCQMGXZNXGR-QWHCGFSZSA-N 1 2 317.389 1.569 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000353464887 411004930 /nfs/dbraw/zinc/00/49/30/411004930.db2.gz XCUAGFXXPAOKQN-HNNXBMFYSA-N 1 2 321.421 1.740 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000353464887 411004934 /nfs/dbraw/zinc/00/49/34/411004934.db2.gz XCUAGFXXPAOKQN-HNNXBMFYSA-N 1 2 321.421 1.740 20 30 DDEDLO Cc1cc(NC(=O)NC(C)(C)C[NH+]2CCOCC2)ncc1C#N ZINC000603138672 416632573 /nfs/dbraw/zinc/63/25/73/416632573.db2.gz VPEVXXWTKMJOAS-UHFFFAOYSA-N 1 2 317.393 1.494 20 30 DDEDLO N#Cc1cc(NC[C@@H]2C[NH+]3CCN2CC3)ncc1Br ZINC000603316091 416635209 /nfs/dbraw/zinc/63/52/09/416635209.db2.gz JVVFWHZHDJIWPV-LLVKDONJSA-N 1 2 322.210 1.127 20 30 DDEDLO N#Cc1cc(NC[C@@H]2CN3CC[NH+]2CC3)ncc1Br ZINC000603316091 416635212 /nfs/dbraw/zinc/63/52/12/416635212.db2.gz JVVFWHZHDJIWPV-LLVKDONJSA-N 1 2 322.210 1.127 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@@H+]1CCOC[C@@H](O)C1 ZINC000295615157 225049222 /nfs/dbraw/zinc/04/92/22/225049222.db2.gz OBZPPSWPSNDCQY-MFKMUULPSA-N 1 2 323.780 1.232 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@H+]1CCOC[C@@H](O)C1 ZINC000295615157 225049226 /nfs/dbraw/zinc/04/92/26/225049226.db2.gz OBZPPSWPSNDCQY-MFKMUULPSA-N 1 2 323.780 1.232 20 30 DDEDLO C[C@@H](NC(=O)CNc1cccc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000158705930 221689599 /nfs/dbraw/zinc/68/95/99/221689599.db2.gz LDQNFKQDAXFFJL-KGLIPLIRSA-N 1 2 316.405 1.196 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)[C@H](C)c2cccc(C#N)c2)CCO1 ZINC000360454856 418439387 /nfs/dbraw/zinc/43/93/87/418439387.db2.gz LBDDCDRDSGFXGS-PBHICJAKSA-N 1 2 315.417 1.889 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)[C@H](C)c2cccc(C#N)c2)CCO1 ZINC000360454856 418439389 /nfs/dbraw/zinc/43/93/89/418439389.db2.gz LBDDCDRDSGFXGS-PBHICJAKSA-N 1 2 315.417 1.889 20 30 DDEDLO N#C[C@H]1N(C(=O)CCc2c[nH+]c[nH]2)CCC[C@@]12CCCCO2 ZINC000373712107 418450386 /nfs/dbraw/zinc/45/03/86/418450386.db2.gz CNEQOQYDYPVEJJ-ZBFHGGJFSA-N 1 2 302.378 1.796 20 30 DDEDLO N#C[C@H]1N(C(=O)CCc2c[nH]c[nH+]2)CCC[C@@]12CCCCO2 ZINC000373712107 418450388 /nfs/dbraw/zinc/45/03/88/418450388.db2.gz CNEQOQYDYPVEJJ-ZBFHGGJFSA-N 1 2 302.378 1.796 20 30 DDEDLO Cn1cc(C[N@@H+]2CCCN(C(=O)c3ccoc3)CC2)cc1C#N ZINC000373824553 418464062 /nfs/dbraw/zinc/46/40/62/418464062.db2.gz LSHJLWZWYLHUOD-UHFFFAOYSA-N 1 2 312.373 1.838 20 30 DDEDLO Cn1cc(C[N@H+]2CCCN(C(=O)c3ccoc3)CC2)cc1C#N ZINC000373824553 418464064 /nfs/dbraw/zinc/46/40/64/418464064.db2.gz LSHJLWZWYLHUOD-UHFFFAOYSA-N 1 2 312.373 1.838 20 30 DDEDLO CCc1ccc([C@@H](COC)[NH2+][C@@H](C)C(=O)N(C)CCC#N)o1 ZINC000184837203 221943235 /nfs/dbraw/zinc/94/32/35/221943235.db2.gz PDZASFUHKGGKHE-GXTWGEPZSA-N 1 2 307.394 1.880 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@@H]2c2nc(N(C)C)no2)C1=O ZINC000374540388 418538668 /nfs/dbraw/zinc/53/86/68/418538668.db2.gz XDOVLZIHMNBZPL-VXGBXAGGSA-N 1 2 305.382 1.059 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@@H]2c2nc(N(C)C)no2)C1=O ZINC000374540388 418538671 /nfs/dbraw/zinc/53/86/71/418538671.db2.gz XDOVLZIHMNBZPL-VXGBXAGGSA-N 1 2 305.382 1.059 20 30 DDEDLO COC[C@H]1C[N@H+](Cc2cnc3ccc(C#N)cn23)C[C@@H](C)O1 ZINC000188387029 222011904 /nfs/dbraw/zinc/01/19/04/222011904.db2.gz PQWHFRDKUBEMTB-IUODEOHRSA-N 1 2 300.362 1.442 20 30 DDEDLO COC[C@H]1C[N@@H+](Cc2cnc3ccc(C#N)cn23)C[C@@H](C)O1 ZINC000188387029 222011908 /nfs/dbraw/zinc/01/19/08/222011908.db2.gz PQWHFRDKUBEMTB-IUODEOHRSA-N 1 2 300.362 1.442 20 30 DDEDLO COC[C@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@@H](C)O1 ZINC000188387029 222011910 /nfs/dbraw/zinc/01/19/10/222011910.db2.gz PQWHFRDKUBEMTB-IUODEOHRSA-N 1 2 300.362 1.442 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(CC#Cc2ccccc2)CC1 ZINC000191891572 222111256 /nfs/dbraw/zinc/11/12/56/222111256.db2.gz TYWZWFCDARHWRD-KRWDZBQOSA-N 1 2 313.445 1.570 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCC(O)(c2ccccc2Cl)CC1 ZINC000193147687 222145459 /nfs/dbraw/zinc/14/54/59/222145459.db2.gz WNJBRDVLMVHHME-UHFFFAOYSA-N 1 2 308.809 1.926 20 30 DDEDLO N#C[C@H]1CN(C(=O)CCn2cc[nH+]c2)CCN1Cc1ccccc1 ZINC000265032231 222342252 /nfs/dbraw/zinc/34/22/52/222342252.db2.gz DWNGWPZKIBJHNT-KRWDZBQOSA-N 1 2 323.400 1.510 20 30 DDEDLO N#C[C@H](c1cccc(Cl)c1)N1CC[NH+]([C@@H]2COC[C@@H]2O)CC1 ZINC000361396474 418643410 /nfs/dbraw/zinc/64/34/10/418643410.db2.gz UJOBXDORCLVKQD-OAGGEKHMSA-N 1 2 321.808 1.282 20 30 DDEDLO N#C[C@@]1(C(=O)NCC[NH+]2CCOCC2)CCc2ccccc2C1 ZINC000377183229 418707016 /nfs/dbraw/zinc/70/70/16/418707016.db2.gz PTWOKVDZLDTWLT-GOSISDBHSA-N 1 2 313.401 1.134 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCCN(c3ncccc3C#N)CC2)s1 ZINC000378403916 418722146 /nfs/dbraw/zinc/72/21/46/418722146.db2.gz YQOQDIPTYMMCAW-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO Cc1nnc(C[N@H+]2CCCN(c3ncccc3C#N)CC2)s1 ZINC000378403916 418722151 /nfs/dbraw/zinc/72/21/51/418722151.db2.gz YQOQDIPTYMMCAW-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO N#CC1(C(=O)NC[C@]2([NH+]3CCOCC3)CCCOC2)CCCC1 ZINC000378154081 418719401 /nfs/dbraw/zinc/71/94/01/418719401.db2.gz XAWFKWVYAOKJEQ-QGZVFWFLSA-N 1 2 321.421 1.068 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)C1(C#N)CCCCC1 ZINC000376226307 418694006 /nfs/dbraw/zinc/69/40/06/418694006.db2.gz GHKDVTOSXMUQDI-GJZGRUSLSA-N 1 2 305.422 1.782 20 30 DDEDLO CC#CCCNC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000361634467 418694557 /nfs/dbraw/zinc/69/45/57/418694557.db2.gz BOBKBLDDIJNZGT-UHFFFAOYSA-N 1 2 310.401 1.799 20 30 DDEDLO C[C@@H]1C[N@H+](CCN2CCC(C#N)(c3ccccn3)CC2)CCO1 ZINC000369208055 418730265 /nfs/dbraw/zinc/73/02/65/418730265.db2.gz UHUQSFYOZMZEOS-MRXNPFEDSA-N 1 2 314.433 1.659 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN2CCC(C#N)(c3ccccn3)CC2)CCO1 ZINC000369208055 418730267 /nfs/dbraw/zinc/73/02/67/418730267.db2.gz UHUQSFYOZMZEOS-MRXNPFEDSA-N 1 2 314.433 1.659 20 30 DDEDLO CC(C)Cc1noc(C[NH+]2CCC(n3cnc(C#N)n3)CC2)n1 ZINC000370130266 418741602 /nfs/dbraw/zinc/74/16/02/418741602.db2.gz GMRRLVJBUDTFDE-UHFFFAOYSA-N 1 2 315.381 1.568 20 30 DDEDLO CN(C(=O)C[N@@H+]1CC[C@H]2CCN(C)C[C@H]21)C1(C#N)CCCCC1 ZINC000370204765 418741854 /nfs/dbraw/zinc/74/18/54/418741854.db2.gz TWXPCTPUNFEZJT-HZPDHXFCSA-N 1 2 318.465 1.697 20 30 DDEDLO CN(C(=O)C[N@H+]1CC[C@H]2CCN(C)C[C@H]21)C1(C#N)CCCCC1 ZINC000370204765 418741857 /nfs/dbraw/zinc/74/18/57/418741857.db2.gz TWXPCTPUNFEZJT-HZPDHXFCSA-N 1 2 318.465 1.697 20 30 DDEDLO C=CC[N@H+](C[C@@H]1Cc2ccccc2O1)[C@H]1CCS(=O)(=O)C1 ZINC000363879155 418771128 /nfs/dbraw/zinc/77/11/28/418771128.db2.gz MOTVQTXRLAQAHO-GJZGRUSLSA-N 1 2 307.415 1.665 20 30 DDEDLO C=CC[N@@H+](C[C@@H]1Cc2ccccc2O1)[C@H]1CCS(=O)(=O)C1 ZINC000363879155 418771131 /nfs/dbraw/zinc/77/11/31/418771131.db2.gz MOTVQTXRLAQAHO-GJZGRUSLSA-N 1 2 307.415 1.665 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@@H+](CC(=O)NCC)CC1 ZINC000361899469 418723086 /nfs/dbraw/zinc/72/30/86/418723086.db2.gz IVYSTGCJBVANMJ-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@H+](CC(=O)NCC)CC1 ZINC000361899469 418723089 /nfs/dbraw/zinc/72/30/89/418723089.db2.gz IVYSTGCJBVANMJ-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CC[C@@H](Oc2ccc[nH+]c2N(C)C)C1 ZINC000368805536 418725659 /nfs/dbraw/zinc/72/56/59/418725659.db2.gz JMAGXJWTRRUUGC-UONOGXRCSA-N 1 2 316.405 1.722 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@@H+](CC(=O)NC)CC2)CCCCC1 ZINC000364960564 418828104 /nfs/dbraw/zinc/82/81/04/418828104.db2.gz ZOMSTIUQXUVKAK-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@H+](CC(=O)NC)CC2)CCCCC1 ZINC000364960564 418828106 /nfs/dbraw/zinc/82/81/06/418828106.db2.gz ZOMSTIUQXUVKAK-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C[NH+]1CCN(C[C@@H]2CCCN(C(=O)C3(C#N)CCC3)C2)CC1 ZINC000365417982 418859082 /nfs/dbraw/zinc/85/90/82/418859082.db2.gz JWBFLZRTACDJOI-HNNXBMFYSA-N 1 2 304.438 1.166 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000411181754 418876526 /nfs/dbraw/zinc/87/65/26/418876526.db2.gz PIMUBVGRVPIPCL-WMLDXEAASA-N 1 2 324.469 1.668 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000411181754 418876528 /nfs/dbraw/zinc/87/65/28/418876528.db2.gz PIMUBVGRVPIPCL-WMLDXEAASA-N 1 2 324.469 1.668 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000365288697 418850064 /nfs/dbraw/zinc/85/00/64/418850064.db2.gz CMCJSTRGRMLXOK-HNNXBMFYSA-N 1 2 318.421 1.994 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000365288697 418850067 /nfs/dbraw/zinc/85/00/67/418850067.db2.gz CMCJSTRGRMLXOK-HNNXBMFYSA-N 1 2 318.421 1.994 20 30 DDEDLO C[C@H]1[C@H](CO)CCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000412066300 419615710 /nfs/dbraw/zinc/61/57/10/419615710.db2.gz DEGOXDMOKYGZES-ZFWWWQNUSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H]1[C@H](CO)CCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000412066300 419615719 /nfs/dbraw/zinc/61/57/19/419615719.db2.gz DEGOXDMOKYGZES-ZFWWWQNUSA-N 1 2 322.430 1.425 20 30 DDEDLO N#Cc1cc(N2CC[NH+](CC(=O)N3CCCCC3)CC2)ccn1 ZINC000299678737 229325738 /nfs/dbraw/zinc/32/57/38/229325738.db2.gz QWJIZARGCCXDLR-UHFFFAOYSA-N 1 2 313.405 1.088 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@@H](C)[S@@](=O)CC1 ZINC000429576772 420028055 /nfs/dbraw/zinc/02/80/55/420028055.db2.gz HJHABUATRLJNPV-CKNLXJGOSA-N 1 2 300.468 1.642 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[C@@H](C)[S@@](=O)CC1 ZINC000429576772 420028058 /nfs/dbraw/zinc/02/80/58/420028058.db2.gz HJHABUATRLJNPV-CKNLXJGOSA-N 1 2 300.468 1.642 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NC[C@@H](c1ccccc1Cl)[NH+](C)C ZINC000416368486 420344927 /nfs/dbraw/zinc/34/49/27/420344927.db2.gz GZJYYOIMWQAFRJ-MFKMUULPSA-N 1 2 315.826 1.774 20 30 DDEDLO N#Cc1ccc([N+](=O)[O-])c(NC[C@@H]2C[N@@H+](C3CC3)CCO2)c1 ZINC000302191749 230151820 /nfs/dbraw/zinc/15/18/20/230151820.db2.gz BSMMDZLDUZKQQB-CYBMUJFWSA-N 1 2 302.334 1.742 20 30 DDEDLO N#Cc1ccc([N+](=O)[O-])c(NC[C@@H]2C[N@H+](C3CC3)CCO2)c1 ZINC000302191749 230151825 /nfs/dbraw/zinc/15/18/25/230151825.db2.gz BSMMDZLDUZKQQB-CYBMUJFWSA-N 1 2 302.334 1.742 20 30 DDEDLO CCn1c[nH+]cc1CN1CCN(c2nccnc2C#N)C[C@H]1C ZINC000417788911 420479624 /nfs/dbraw/zinc/47/96/24/420479624.db2.gz GKEDHGOMZAXRNT-CYBMUJFWSA-N 1 2 311.393 1.275 20 30 DDEDLO N#C[C@]1(NC(=O)/C=C\c2ccc(-n3cc[nH+]c3)cc2)CCOC1 ZINC000492699307 420633148 /nfs/dbraw/zinc/63/31/48/420633148.db2.gz BJFZHZWKXXRXSM-GPCFVJJQSA-N 1 2 308.341 1.684 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C\c1cnc2ccccc2n1 ZINC000493149808 420770031 /nfs/dbraw/zinc/77/00/31/420770031.db2.gz KMEZANCXLHYXAE-JJEJIETFSA-N 1 2 309.373 1.603 20 30 DDEDLO C=C(C)CCNC(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000454556008 420882054 /nfs/dbraw/zinc/88/20/54/420882054.db2.gz YKHYNJFWFROUCR-UHFFFAOYSA-N 1 2 322.453 1.196 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000523059184 421231134 /nfs/dbraw/zinc/23/11/34/421231134.db2.gz DLKZEIFPVGQCAI-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000523059184 421231138 /nfs/dbraw/zinc/23/11/38/421231138.db2.gz DLKZEIFPVGQCAI-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO C#CC[N@H+](C[C@H]1CCC2(CCCC2)O1)[C@@H]1CCS(=O)(=O)C1 ZINC000491535673 421198347 /nfs/dbraw/zinc/19/83/47/421198347.db2.gz DUPHQSKQFJCXHK-HUUCEWRRSA-N 1 2 311.447 1.601 20 30 DDEDLO C#CC[N@@H+](C[C@H]1CCC2(CCCC2)O1)[C@@H]1CCS(=O)(=O)C1 ZINC000491535673 421198349 /nfs/dbraw/zinc/19/83/49/421198349.db2.gz DUPHQSKQFJCXHK-HUUCEWRRSA-N 1 2 311.447 1.601 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@@H+]3CC[C@H](OC(F)F)C3)C2=O)cc1 ZINC000549413891 421499587 /nfs/dbraw/zinc/49/95/87/421499587.db2.gz GVTAAHZDPLNUTD-UONOGXRCSA-N 1 2 321.327 1.977 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@H+]3CC[C@H](OC(F)F)C3)C2=O)cc1 ZINC000549413891 421499589 /nfs/dbraw/zinc/49/95/89/421499589.db2.gz GVTAAHZDPLNUTD-UONOGXRCSA-N 1 2 321.327 1.977 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NC[C@@H](C(C)C)[NH+]1CCOCC1 ZINC000549518331 421502696 /nfs/dbraw/zinc/50/26/96/421502696.db2.gz HSMRWUJEVURXHA-HNNXBMFYSA-N 1 2 316.405 1.348 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)ccc1C#N ZINC000529672133 421552135 /nfs/dbraw/zinc/55/21/35/421552135.db2.gz FUZOXYIHWOEIPH-CABCVRRESA-N 1 2 320.414 1.718 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)ccc1C#N ZINC000529672133 421552138 /nfs/dbraw/zinc/55/21/38/421552138.db2.gz FUZOXYIHWOEIPH-CABCVRRESA-N 1 2 320.414 1.718 20 30 DDEDLO COc1ccc(Cl)cc1CC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000564623694 421592469 /nfs/dbraw/zinc/59/24/69/421592469.db2.gz IJCPSCSQOHKTPX-HNNXBMFYSA-N 1 2 309.797 1.851 20 30 DDEDLO COc1ccccc1[C@@H]1C[C@H]1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000569106930 421624831 /nfs/dbraw/zinc/62/48/31/421624831.db2.gz SCGXPMAZUVOHRU-JJRVBVJISA-N 1 2 301.390 1.759 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H](N[C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000533818088 421683229 /nfs/dbraw/zinc/68/32/29/421683229.db2.gz BJOJMSKUTSQJDE-QZTJIDSGSA-N 1 2 320.396 1.861 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H](N[C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000533818088 421683231 /nfs/dbraw/zinc/68/32/31/421683231.db2.gz BJOJMSKUTSQJDE-QZTJIDSGSA-N 1 2 320.396 1.861 20 30 DDEDLO CN1Cc2ccccc2[C@H]([NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000533818088 421683233 /nfs/dbraw/zinc/68/32/33/421683233.db2.gz BJOJMSKUTSQJDE-QZTJIDSGSA-N 1 2 320.396 1.861 20 30 DDEDLO N#CCC1CCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CC1 ZINC000541950080 421815880 /nfs/dbraw/zinc/81/58/80/421815880.db2.gz LRWNCPQVWSSIBA-OAHLLOKOSA-N 1 2 313.405 1.996 20 30 DDEDLO Cn1ncnc1-c1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000573855006 422027624 /nfs/dbraw/zinc/02/76/24/422027624.db2.gz YZGMJLSBCUFHSU-AWEZNQCLSA-N 1 2 315.377 1.067 20 30 DDEDLO Cn1ncnc1-c1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000573855006 422027629 /nfs/dbraw/zinc/02/76/29/422027629.db2.gz YZGMJLSBCUFHSU-AWEZNQCLSA-N 1 2 315.377 1.067 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)COC/C=C\c1ccccc1 ZINC000573729736 422006284 /nfs/dbraw/zinc/00/62/84/422006284.db2.gz LLMIOWWWGJYGDH-JEZWAEDTSA-N 1 2 301.390 1.676 20 30 DDEDLO C[C@@H](NC(=O)Nc1cccc(C#N)n1)[C@H](C)[NH+]1CCOCC1 ZINC000574808242 422185462 /nfs/dbraw/zinc/18/54/62/422185462.db2.gz AEUIKERWXRHLGS-NEPJUHHUSA-N 1 2 303.366 1.184 20 30 DDEDLO COc1c(N)[nH+]cnc1N1CCN(c2ccccc2C#N)CC1 ZINC000582191803 422128616 /nfs/dbraw/zinc/12/86/16/422128616.db2.gz MQXYMZWQUYCZMM-UHFFFAOYSA-N 1 2 310.361 1.266 20 30 DDEDLO COc1c(N)nc[nH+]c1N1CCN(c2ccccc2C#N)CC1 ZINC000582191803 422128620 /nfs/dbraw/zinc/12/86/20/422128620.db2.gz MQXYMZWQUYCZMM-UHFFFAOYSA-N 1 2 310.361 1.266 20 30 DDEDLO C[C@H](Nc1nc(C#N)c(Cl)s1)[C@H](C)[NH+]1CCOCC1 ZINC000596113355 422364801 /nfs/dbraw/zinc/36/48/01/422364801.db2.gz AHYDOJKCJLERFA-IUCAKERBSA-N 1 2 300.815 2.189 20 30 DDEDLO C=C(C)C[C@@H]1NC(=O)N([C@@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000630975323 422453068 /nfs/dbraw/zinc/45/30/68/422453068.db2.gz KVBFGKYZFDNTNJ-OLZOCXBDSA-N 1 2 300.362 1.547 20 30 DDEDLO Cc1cc(C(=O)NCc2[nH+]ccn2C)nn1-c1cccc(C#N)c1 ZINC000356513494 269910346 /nfs/dbraw/zinc/91/03/46/269910346.db2.gz OARNGFYGERZUEC-UHFFFAOYSA-N 1 2 320.356 1.716 20 30 DDEDLO C=CC[C@H]1N(C(=O)C(=O)N(C)Cc2[nH]cc[nH+]2)CCCC1(C)C ZINC000632632596 422484374 /nfs/dbraw/zinc/48/43/74/422484374.db2.gz CXJRGPBIZCSSOS-CYBMUJFWSA-N 1 2 318.421 1.961 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[NH+]2CCC(n3cncn3)CC2)cc1 ZINC000115538756 263337911 /nfs/dbraw/zinc/33/79/11/263337911.db2.gz SSEYHAMLKFIDBK-HNNXBMFYSA-N 1 2 310.361 1.013 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(c3ccccc3)C2=O)nn1 ZINC000640939786 423287260 /nfs/dbraw/zinc/28/72/60/423287260.db2.gz DJVYYPVHYRDQIK-MRXNPFEDSA-N 1 2 309.373 1.369 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCOc2cccc(OC)c2)nn1 ZINC000641045157 423349073 /nfs/dbraw/zinc/34/90/73/423349073.db2.gz LYRIPJZONHJPTF-UHFFFAOYSA-N 1 2 300.362 1.479 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCc3ccccc3NC2=O)nn1 ZINC000641101362 423387110 /nfs/dbraw/zinc/38/71/10/423387110.db2.gz RAMJCQJNUJXKNQ-MRXNPFEDSA-N 1 2 309.373 1.517 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[C@H](C)[C@H](n3cc[nH+]c3)C2)nn1 ZINC000648823790 423481106 /nfs/dbraw/zinc/48/11/06/423481106.db2.gz RMDRZAUOSPGYFW-GXTWGEPZSA-N 1 2 300.366 1.384 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(C(=O)NCCCC)CC2)nn1 ZINC000653478002 423491846 /nfs/dbraw/zinc/49/18/46/423491846.db2.gz QIXMDYCJQYKCHA-UHFFFAOYSA-N 1 2 319.453 1.983 20 30 DDEDLO C=CCNS(=O)(=O)c1ccccc1N[C@@H](C)Cn1cc[nH+]c1 ZINC000641287287 423527610 /nfs/dbraw/zinc/52/76/10/423527610.db2.gz KPFUQGGENRFCJR-ZDUSSCGKSA-N 1 2 320.418 1.848 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H](C(N)=O)[C@@H](C)c2ccccc2)nn1 ZINC000653694805 423581388 /nfs/dbraw/zinc/58/13/88/423581388.db2.gz RNSYQSZXCFNSAS-XJKSGUPXSA-N 1 2 313.405 1.601 20 30 DDEDLO C=CCNC(=O)[C@@H](C)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000640110665 424184500 /nfs/dbraw/zinc/18/45/00/424184500.db2.gz QTDGIFWKZDOMQM-CQSZACIVSA-N 1 2 303.406 1.201 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(Cc2ccc(O)cc2)CC1 ZINC000640110665 424184510 /nfs/dbraw/zinc/18/45/10/424184510.db2.gz QTDGIFWKZDOMQM-CQSZACIVSA-N 1 2 303.406 1.201 20 30 DDEDLO C=CC[C@H]1CCCN(c2nc(NCCO)[nH+]c(NC(C)C)n2)C1 ZINC000664257334 424498281 /nfs/dbraw/zinc/49/82/81/424498281.db2.gz ZEOKIIAKWLJLIE-ZDUSSCGKSA-N 1 2 320.441 1.889 20 30 DDEDLO C=CC[C@H]1CCCN(c2nc(NC(C)C)nc(NCCO)[nH+]2)C1 ZINC000664257334 424498289 /nfs/dbraw/zinc/49/82/89/424498289.db2.gz ZEOKIIAKWLJLIE-ZDUSSCGKSA-N 1 2 320.441 1.889 20 30 DDEDLO C=CC[C@H]1CCCN(c2nc(NCCO)nc(NC(C)C)[nH+]2)C1 ZINC000664257334 424498298 /nfs/dbraw/zinc/49/82/98/424498298.db2.gz ZEOKIIAKWLJLIE-ZDUSSCGKSA-N 1 2 320.441 1.889 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000660846454 424788577 /nfs/dbraw/zinc/78/85/77/424788577.db2.gz JGSIZTROQXKOJG-GASCZTMLSA-N 1 2 307.438 1.990 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000660846454 424788580 /nfs/dbraw/zinc/78/85/80/424788580.db2.gz JGSIZTROQXKOJG-GASCZTMLSA-N 1 2 307.438 1.990 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCc1cn2ccccc2[nH+]1 ZINC000665397829 424799558 /nfs/dbraw/zinc/79/95/58/424799558.db2.gz POMCNJIQVMFWHY-AWEZNQCLSA-N 1 2 300.362 1.421 20 30 DDEDLO CCC[N@H+](Cc1cc(C#N)n(C)c1)[C@@H](COC)C(=O)OCC ZINC000361664263 266047871 /nfs/dbraw/zinc/04/78/71/266047871.db2.gz JLOMTCBDGHPUMX-HNNXBMFYSA-N 1 2 307.394 1.687 20 30 DDEDLO CCC[N@@H+](Cc1cc(C#N)n(C)c1)[C@@H](COC)C(=O)OCC ZINC000361664263 266047873 /nfs/dbraw/zinc/04/78/73/266047873.db2.gz JLOMTCBDGHPUMX-HNNXBMFYSA-N 1 2 307.394 1.687 20 30 DDEDLO CC[N@H+](CCCNC(=O)c1cnn(C)c1C#N)c1ccccc1 ZINC000353823661 266124555 /nfs/dbraw/zinc/12/45/55/266124555.db2.gz AVVGITVPVSNUSY-UHFFFAOYSA-N 1 2 311.389 1.938 20 30 DDEDLO CC[N@@H+](CCCNC(=O)c1cnn(C)c1C#N)c1ccccc1 ZINC000353823661 266124559 /nfs/dbraw/zinc/12/45/59/266124559.db2.gz AVVGITVPVSNUSY-UHFFFAOYSA-N 1 2 311.389 1.938 20 30 DDEDLO CCS(=O)(=O)N1CC[N@H+](Cc2ccc(C#N)c(C)c2)[C@H](C)C1 ZINC000347295915 266338291 /nfs/dbraw/zinc/33/82/91/266338291.db2.gz TXFPUAGTPFHISQ-CQSZACIVSA-N 1 2 321.446 1.723 20 30 DDEDLO CCS(=O)(=O)N1CC[N@@H+](Cc2ccc(C#N)c(C)c2)[C@H](C)C1 ZINC000347295915 266338298 /nfs/dbraw/zinc/33/82/98/266338298.db2.gz TXFPUAGTPFHISQ-CQSZACIVSA-N 1 2 321.446 1.723 20 30 DDEDLO C[C@@H]1C[C@@H](CO)C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000398621760 267076797 /nfs/dbraw/zinc/07/67/97/267076797.db2.gz FWMGTYLMPYHLNE-UKRRQHHQSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]1C[C@@H](CO)C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000398621760 267076800 /nfs/dbraw/zinc/07/68/00/267076800.db2.gz FWMGTYLMPYHLNE-UKRRQHHQSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cscc1C#N ZINC000354266099 267078995 /nfs/dbraw/zinc/07/89/95/267078995.db2.gz MPICTMPJCHVRPW-DGCLKSJQSA-N 1 2 305.403 1.555 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1C[C@@H](C)[C@@H](O)C1 ZINC000351945301 267142569 /nfs/dbraw/zinc/14/25/69/267142569.db2.gz PHOIVHPCFHFSIZ-BREBYQMCSA-N 1 2 319.430 1.748 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1C[C@@H](C)[C@@H](O)C1 ZINC000351945301 267142573 /nfs/dbraw/zinc/14/25/73/267142573.db2.gz PHOIVHPCFHFSIZ-BREBYQMCSA-N 1 2 319.430 1.748 20 30 DDEDLO C[C@H](O)COC(=O)CC[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000369714160 267191566 /nfs/dbraw/zinc/19/15/66/267191566.db2.gz HGACHMIXVZYLNA-XJKSGUPXSA-N 1 2 318.373 1.246 20 30 DDEDLO C[C@H](O)COC(=O)CC[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000369714160 267191570 /nfs/dbraw/zinc/19/15/70/267191570.db2.gz HGACHMIXVZYLNA-XJKSGUPXSA-N 1 2 318.373 1.246 20 30 DDEDLO CN1C[C@@H](C[NH+]2CCN(c3ccc(C#N)cc3F)CC2)OC1=O ZINC000496842372 267341627 /nfs/dbraw/zinc/34/16/27/267341627.db2.gz ZCXJULYKQRKTRY-ZDUSSCGKSA-N 1 2 318.352 1.270 20 30 DDEDLO N#Cc1ccc(N2CCN(Cc3[nH]cc[nH+]3)CC2)cc1[N+](=O)[O-] ZINC000357491071 268118751 /nfs/dbraw/zinc/11/87/51/268118751.db2.gz UANXLOHICWRYRR-UHFFFAOYSA-N 1 2 312.333 1.512 20 30 DDEDLO N#Cc1ccncc1NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000361796959 268301356 /nfs/dbraw/zinc/30/13/56/268301356.db2.gz GOCJZMYBETWUGV-HNNXBMFYSA-N 1 2 304.419 1.573 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@H](c2nnc[nH]2)C1 ZINC000374551377 268308848 /nfs/dbraw/zinc/30/88/48/268308848.db2.gz WMEIUYPRUOKGRS-NSHDSACASA-N 1 2 316.390 1.556 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@H](c2nnc[nH]2)C1 ZINC000374551377 268308849 /nfs/dbraw/zinc/30/88/49/268308849.db2.gz WMEIUYPRUOKGRS-NSHDSACASA-N 1 2 316.390 1.556 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000345690801 272305579 /nfs/dbraw/zinc/30/55/79/272305579.db2.gz LTUOFVLJXPCNGF-CQSZACIVSA-N 1 2 311.301 1.914 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCO[C@]3(CCCOC3)C2)CCCCC1 ZINC000375653513 303146000 /nfs/dbraw/zinc/14/60/00/303146000.db2.gz PLJUCDWQKJYWCV-QGZVFWFLSA-N 1 2 321.421 1.210 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCO[C@]3(CCCOC3)C2)CCCCC1 ZINC000375653513 303146002 /nfs/dbraw/zinc/14/60/02/303146002.db2.gz PLJUCDWQKJYWCV-QGZVFWFLSA-N 1 2 321.421 1.210 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)N(C)CC[NH+]1CCOCC1 ZINC000545295197 303490141 /nfs/dbraw/zinc/49/01/41/303490141.db2.gz ANHVHURMQQSOBS-UHFFFAOYSA-N 1 2 318.377 1.363 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CCC[C@@H](N2CCNC2=O)C1 ZINC000368961750 307113365 /nfs/dbraw/zinc/11/33/65/307113365.db2.gz KJMOCKPDDXOFLO-OAHLLOKOSA-N 1 2 302.353 1.687 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CCC[C@@H](N2CCNC2=O)C1 ZINC000368961750 307113366 /nfs/dbraw/zinc/11/33/66/307113366.db2.gz KJMOCKPDDXOFLO-OAHLLOKOSA-N 1 2 302.353 1.687 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]CCC(=O)Nc2sccc2C#N)o1 ZINC000543543053 307707363 /nfs/dbraw/zinc/70/73/63/307707363.db2.gz CXHSRZGHLRCMET-QMMMGPOBSA-N 1 2 305.363 1.991 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3CCC[C@H]3[C@H]2C(N)=O)c([N+](=O)[O-])c1 ZINC000567392423 308079461 /nfs/dbraw/zinc/07/94/61/308079461.db2.gz QGQYGIBEHDORPD-LNSITVRQSA-N 1 2 314.345 1.552 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3CCC[C@H]3[C@H]2C(N)=O)c([N+](=O)[O-])c1 ZINC000567392423 308079462 /nfs/dbraw/zinc/07/94/62/308079462.db2.gz QGQYGIBEHDORPD-LNSITVRQSA-N 1 2 314.345 1.552 20 30 DDEDLO CCc1nn(C)c(CC)c1CC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000573254082 331955142 /nfs/dbraw/zinc/95/51/42/331955142.db2.gz PLVLKIVKNSXWII-MRXNPFEDSA-N 1 2 305.426 1.047 20 30 DDEDLO Cc1ccc2[nH+]c(CNS(=O)(=O)CC3(C#N)CCC3)cn2c1 ZINC000569888403 332689544 /nfs/dbraw/zinc/68/95/44/332689544.db2.gz JEIJXEYYHCUBNF-UHFFFAOYSA-N 1 2 318.402 1.756 20 30 DDEDLO C=CCNC(=O)CNC(=O)/C=C/c1ccc(-n2cc[nH+]c2)cc1 ZINC000181744982 333062380 /nfs/dbraw/zinc/06/23/80/333062380.db2.gz FHQLCJOOILDLNU-VMPITWQZSA-N 1 2 310.357 1.304 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)CC2(CCC2)O1 ZINC000563082336 333351036 /nfs/dbraw/zinc/35/10/36/333351036.db2.gz ZRZBNDDKGDVGNA-CQSZACIVSA-N 1 2 305.422 1.972 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)CC2(CCC2)O1 ZINC000563082336 333351037 /nfs/dbraw/zinc/35/10/37/333351037.db2.gz ZRZBNDDKGDVGNA-CQSZACIVSA-N 1 2 305.422 1.972 20 30 DDEDLO C[C@@H](C#N)C(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000345855271 335355792 /nfs/dbraw/zinc/35/57/92/335355792.db2.gz WVLRGICLAUHCRY-RWMBFGLXSA-N 1 2 302.378 1.471 20 30 DDEDLO N#Cc1cc(C(=O)N2CC(n3cc[nH+]c3)C2)cnc1C(F)(F)F ZINC000584401322 337318972 /nfs/dbraw/zinc/31/89/72/337318972.db2.gz PXEGYEWMXRBUSL-UHFFFAOYSA-N 1 2 321.262 1.866 20 30 DDEDLO C#CC[C@H]([NH2+]C[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1)C(=O)OC ZINC000496338491 340003398 /nfs/dbraw/zinc/00/33/98/340003398.db2.gz DGLAWOWAIHSRHW-SUMWQHHRSA-N 1 2 324.421 1.788 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@@H](C)C(=O)NC2(C#N)CCCCC2)o1 ZINC000542615416 341015154 /nfs/dbraw/zinc/01/51/54/341015154.db2.gz DQIIPRSVDQRZIM-QWRGUYRKSA-N 1 2 305.382 1.760 20 30 DDEDLO C#CC[NH+]1CCN(Cn2c(C)nnc2-c2cccc(C#N)c2)CC1 ZINC000131034584 341056797 /nfs/dbraw/zinc/05/67/97/341056797.db2.gz CDAOUHLUYGYAMI-UHFFFAOYSA-N 1 2 320.400 1.334 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@H+](CC)C[C@H](C)C#N)C1 ZINC000245776026 341314396 /nfs/dbraw/zinc/31/43/96/341314396.db2.gz LLELJRZUOPVYIV-QLFBSQMISA-N 1 2 323.437 1.658 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@@H+](CC)C[C@H](C)C#N)C1 ZINC000245776026 341314397 /nfs/dbraw/zinc/31/43/97/341314397.db2.gz LLELJRZUOPVYIV-QLFBSQMISA-N 1 2 323.437 1.658 20 30 DDEDLO C=C(C)CNC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000156743200 341625834 /nfs/dbraw/zinc/62/58/34/341625834.db2.gz DACGXBDXPXFRLB-ZDUSSCGKSA-N 1 2 309.435 1.997 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H](N3CCOCC3)C2)nn1 ZINC000653720710 483962674 /nfs/dbraw/zinc/96/26/74/483962674.db2.gz AHWTXLVOSVRGQM-MRXNPFEDSA-N 1 2 305.426 1.151 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H](N3CCOCC3)C2)nn1 ZINC000653720710 483962679 /nfs/dbraw/zinc/96/26/79/483962679.db2.gz AHWTXLVOSVRGQM-MRXNPFEDSA-N 1 2 305.426 1.151 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000656192226 484008938 /nfs/dbraw/zinc/00/89/38/484008938.db2.gz GUBAPLURHDGTMC-ZNMIVQPWSA-N 1 2 304.394 1.963 20 30 DDEDLO C=C[C@H](O)C(=O)N(Cc1ccccc1)[C@H](C)C[NH+]1CCOCC1 ZINC000668142903 484983813 /nfs/dbraw/zinc/98/38/13/484983813.db2.gz HUQYXLGSAXDCJT-WBVHZDCISA-N 1 2 318.417 1.283 20 30 DDEDLO C=CC[N@H+](Cc1nc(-c2ccc(OC)nn2)no1)[C@H](C)COC ZINC000661240790 484995702 /nfs/dbraw/zinc/99/57/02/484995702.db2.gz RFXCIFSJFOEHEM-LLVKDONJSA-N 1 2 319.365 1.558 20 30 DDEDLO C=CC[N@@H+](Cc1nc(-c2ccc(OC)nn2)no1)[C@H](C)COC ZINC000661240790 484995707 /nfs/dbraw/zinc/99/57/07/484995707.db2.gz RFXCIFSJFOEHEM-LLVKDONJSA-N 1 2 319.365 1.558 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cscc2Cl)CC1 ZINC000678128171 485465453 /nfs/dbraw/zinc/46/54/53/485465453.db2.gz OGCGAXPVRFZKNT-UHFFFAOYSA-N 1 2 313.854 1.821 20 30 DDEDLO CCC#C[C@@H](C)[NH+]1CCN(CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000677047355 486385338 /nfs/dbraw/zinc/38/53/38/486385338.db2.gz WUNCBXZGZPKRIU-SJORKVTESA-N 1 2 305.466 1.807 20 30 DDEDLO CCC#C[C@@H](C)N1CC[NH+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000677047355 486385344 /nfs/dbraw/zinc/38/53/44/486385344.db2.gz WUNCBXZGZPKRIU-SJORKVTESA-N 1 2 305.466 1.807 20 30 DDEDLO COCC#CC[NH+]1CCN(Cc2cc(C)ccc2OC)CC1 ZINC000677817043 486546997 /nfs/dbraw/zinc/54/69/97/486546997.db2.gz FYKZFHIYZJGIQV-UHFFFAOYSA-N 1 2 302.418 1.771 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)NCCOc2cccc(F)c2)CC1 ZINC000272602086 500636645 /nfs/dbraw/zinc/63/66/45/500636645.db2.gz QYMWDYPTSKMUFA-UHFFFAOYSA-N 1 2 319.380 1.946 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)[C@]1(C#N)CC12CCCC2 ZINC000333266277 533854177 /nfs/dbraw/zinc/85/41/77/533854177.db2.gz BTOQGVNWCKWAPZ-WMLDXEAASA-N 1 2 305.422 1.640 20 30 DDEDLO C[C@H](O)[C@@H]1C[N@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000414561877 534105102 /nfs/dbraw/zinc/10/51/02/534105102.db2.gz ANVDGDMHIUATGF-YOEHRIQHSA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@H](O)[C@@H]1C[N@@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000414561877 534105110 /nfs/dbraw/zinc/10/51/10/534105110.db2.gz ANVDGDMHIUATGF-YOEHRIQHSA-N 1 2 304.390 1.951 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1cc(C)ccc1-c1n[nH]c(C)n1 ZINC000339747752 526358713 /nfs/dbraw/zinc/35/87/13/526358713.db2.gz ARTSAXKNACCDBI-UHFFFAOYSA-N 1 2 311.389 1.982 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1cc(C)ccc1-c1n[nH]c(C)n1 ZINC000339747752 526358717 /nfs/dbraw/zinc/35/87/17/526358717.db2.gz ARTSAXKNACCDBI-UHFFFAOYSA-N 1 2 311.389 1.982 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2c(C)cccc2C)C1 ZINC000330949926 526403026 /nfs/dbraw/zinc/40/30/26/526403026.db2.gz OEIXBAQCWJMFLJ-ZDUSSCGKSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2c(C)cccc2C)C1 ZINC000330949926 526403028 /nfs/dbraw/zinc/40/30/28/526403028.db2.gz OEIXBAQCWJMFLJ-ZDUSSCGKSA-N 1 2 304.394 1.450 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(C)[C@H](C)CC(C)C)C1=O ZINC000337200019 526466918 /nfs/dbraw/zinc/46/69/18/526466918.db2.gz BKUVHLFUQPDPNT-HUUCEWRRSA-N 1 2 309.454 1.598 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(C)[C@H](C)CC(C)C)C1=O ZINC000337200019 526466920 /nfs/dbraw/zinc/46/69/20/526466920.db2.gz BKUVHLFUQPDPNT-HUUCEWRRSA-N 1 2 309.454 1.598 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](CCCC)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000337153600 526474859 /nfs/dbraw/zinc/47/48/59/526474859.db2.gz MCCIOFGHEQCJPP-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](CCCC)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000337153600 526474863 /nfs/dbraw/zinc/47/48/63/526474863.db2.gz MCCIOFGHEQCJPP-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(CC(F)(F)F)[C@@H](C)C2)C1=O ZINC000337187487 526508347 /nfs/dbraw/zinc/50/83/47/526508347.db2.gz QCTSHCYXWTVPDY-RYUDHWBXSA-N 1 2 305.344 1.342 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(CC(F)(F)F)[C@@H](C)C2)C1=O ZINC000337187487 526508349 /nfs/dbraw/zinc/50/83/49/526508349.db2.gz QCTSHCYXWTVPDY-RYUDHWBXSA-N 1 2 305.344 1.342 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1cc(F)cc(F)c1 ZINC000444701938 526598666 /nfs/dbraw/zinc/59/86/66/526598666.db2.gz HJSCMWLPFSTANH-SNVBAGLBSA-N 1 2 311.332 1.797 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1cc(F)cc(F)c1 ZINC000444701938 526598669 /nfs/dbraw/zinc/59/86/69/526598669.db2.gz HJSCMWLPFSTANH-SNVBAGLBSA-N 1 2 311.332 1.797 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000342045047 526698107 /nfs/dbraw/zinc/69/81/07/526698107.db2.gz WVFYFFXNNIKWEO-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N[C@@H](c1ccc2c(c1)OCCO2)C(C)C ZINC000491623130 526801527 /nfs/dbraw/zinc/80/15/27/526801527.db2.gz FULMFALMZFKGCQ-GOSISDBHSA-N 1 2 316.401 1.836 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N[C@@H](c1ccc2c(c1)OCCO2)C(C)C ZINC000491623130 526801537 /nfs/dbraw/zinc/80/15/37/526801537.db2.gz FULMFALMZFKGCQ-GOSISDBHSA-N 1 2 316.401 1.836 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1C(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000337903353 526825217 /nfs/dbraw/zinc/82/52/17/526825217.db2.gz SCMQWSVDVZQRRI-DLBZAZTESA-N 1 2 315.417 1.707 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(CC(F)F)CC2CCC2)CC1 ZINC000490873188 526947894 /nfs/dbraw/zinc/94/78/94/526947894.db2.gz IAECYIDISZKMEN-UHFFFAOYSA-N 1 2 313.392 1.131 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN2c3ccccc3OC[C@@H]2C)CC1 ZINC000490840430 526949938 /nfs/dbraw/zinc/94/99/38/526949938.db2.gz OGVLVEQAGFVIRB-HNNXBMFYSA-N 1 2 313.401 1.051 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](CC(=O)OCC)[C@H](C)c1ccccc1 ZINC000491118416 526974349 /nfs/dbraw/zinc/97/43/49/526974349.db2.gz DKFBZSOKDHQXQT-OAHLLOKOSA-N 1 2 316.401 1.752 20 30 DDEDLO C#CCNC(=O)CC[N@H+](CC(=O)OCC)[C@H](C)c1ccccc1 ZINC000491118416 526974352 /nfs/dbraw/zinc/97/43/52/526974352.db2.gz DKFBZSOKDHQXQT-OAHLLOKOSA-N 1 2 316.401 1.752 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C2=CC[C@H](C)CC2)CC1 ZINC000491393205 526989236 /nfs/dbraw/zinc/98/92/36/526989236.db2.gz GVKUIKZEBAQEIN-HNNXBMFYSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C2=CC[C@H](C)CC2)CC1 ZINC000491393205 526989239 /nfs/dbraw/zinc/98/92/39/526989239.db2.gz GVKUIKZEBAQEIN-HNNXBMFYSA-N 1 2 317.433 1.017 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000491639107 527237625 /nfs/dbraw/zinc/23/76/25/527237625.db2.gz YHCKDBLTKPMLPT-KRWDZBQOSA-N 1 2 315.417 1.730 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000491780958 527258921 /nfs/dbraw/zinc/25/89/21/527258921.db2.gz FNKWWZVBQNKXHF-HNNXBMFYSA-N 1 2 301.390 1.446 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC000491676930 527333496 /nfs/dbraw/zinc/33/34/96/527333496.db2.gz ZSHRGQXMMZNRDV-YVEFUNNKSA-N 1 2 310.357 1.236 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC000491676930 527333498 /nfs/dbraw/zinc/33/34/98/527333498.db2.gz ZSHRGQXMMZNRDV-YVEFUNNKSA-N 1 2 310.357 1.236 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CC[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000491635110 527429227 /nfs/dbraw/zinc/42/92/27/527429227.db2.gz RUMROWLEMWTHJA-CABCVRRESA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CC[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000491635110 527429234 /nfs/dbraw/zinc/42/92/34/527429234.db2.gz RUMROWLEMWTHJA-CABCVRRESA-N 1 2 308.422 1.968 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[NH2+][C@H](c2cccnc2)C1 ZINC000368304504 527461596 /nfs/dbraw/zinc/46/15/96/527461596.db2.gz NSJKKYSYTJBPDG-SFHVURJKSA-N 1 2 305.381 1.779 20 30 DDEDLO C=CCN(C)C(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000331200897 527572247 /nfs/dbraw/zinc/57/22/47/527572247.db2.gz VHEZSBZOZJQKED-UHFFFAOYSA-N 1 2 317.393 1.133 20 30 DDEDLO CC(C)CNC(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000330743597 527592157 /nfs/dbraw/zinc/59/21/57/527592157.db2.gz UHINYJCLZRTMIP-UHFFFAOYSA-N 1 2 319.409 1.451 20 30 DDEDLO CCN1CCOC[C@@H]1C(=O)N[C@@H]1CCO[C@H](c2c[nH+]cn2C)C1 ZINC000330339635 527716585 /nfs/dbraw/zinc/71/65/85/527716585.db2.gz WWSIEWWBRPRAGB-YUELXQCFSA-N 1 2 322.409 1.317 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)SCC[NH+]1CCOCC1 ZINC000342445043 527936504 /nfs/dbraw/zinc/93/65/04/527936504.db2.gz RIHIZHZDMJKNNE-HIFRSBDPSA-N 1 2 313.467 1.495 20 30 DDEDLO CC(C)[C@@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C)[NH+]1CCCCC1 ZINC000330219724 528335753 /nfs/dbraw/zinc/33/57/53/528335753.db2.gz KJPWHMOJCLIQAI-MJBXVCDLSA-N 1 2 316.467 1.887 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCCC[C@H]1c1cnn(C)c1 ZINC000330518545 528692846 /nfs/dbraw/zinc/69/28/46/528692846.db2.gz YGABRQAEXMGFFP-ZBFHGGJFSA-N 1 2 317.437 1.955 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCCC[C@H]1c1cnn(C)c1 ZINC000330518545 528692851 /nfs/dbraw/zinc/69/28/51/528692851.db2.gz YGABRQAEXMGFFP-ZBFHGGJFSA-N 1 2 317.437 1.955 20 30 DDEDLO CCN1CCN(C(=O)NC[C@H](C)COC)C[C@@H]1c1[nH]cc[nH+]1 ZINC000331031954 529107722 /nfs/dbraw/zinc/10/77/22/529107722.db2.gz UHIFDPBTECQIOI-QWHCGFSZSA-N 1 2 309.414 1.285 20 30 DDEDLO CCN1CCN(C(=O)NC[C@H](OC)C(C)C)C[C@H]1c1[nH]cc[nH+]1 ZINC000331041425 529107957 /nfs/dbraw/zinc/10/79/57/529107957.db2.gz QULJJJJSKJTNRW-KBPBESRZSA-N 1 2 323.441 1.673 20 30 DDEDLO CC(C)[C@H]([NH2+]CCC(=O)N(C)CCC#N)C(=O)OC(C)(C)C ZINC000343402564 529119845 /nfs/dbraw/zinc/11/98/45/529119845.db2.gz MAEOLHPZRISRND-AWEZNQCLSA-N 1 2 311.426 1.704 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[C@@H]([N@H+](C)Cc2ccon2)C1 ZINC000980272659 696724860 /nfs/dbraw/zinc/72/48/60/696724860.db2.gz ZTSXCZFOCCMNHA-GOSISDBHSA-N 1 2 323.396 1.931 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccon2)C1 ZINC000980272659 696724862 /nfs/dbraw/zinc/72/48/62/696724862.db2.gz ZTSXCZFOCCMNHA-GOSISDBHSA-N 1 2 323.396 1.931 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3CCCCC3)C2)C1 ZINC000972247871 695189422 /nfs/dbraw/zinc/18/94/22/695189422.db2.gz OKMDZBQOECFHTJ-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3CCCCC3)C2)C1 ZINC000972247871 695189423 /nfs/dbraw/zinc/18/94/23/695189423.db2.gz OKMDZBQOECFHTJ-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CC=CCC3)C2)C1 ZINC000972252119 695190965 /nfs/dbraw/zinc/19/09/65/695190965.db2.gz BCWMQGFTLUUVRF-SJLPKXTDSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CC=CCC3)C2)C1 ZINC000972252119 695190966 /nfs/dbraw/zinc/19/09/66/695190966.db2.gz BCWMQGFTLUUVRF-SJLPKXTDSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)n3C)C2)C1 ZINC000972259924 695194362 /nfs/dbraw/zinc/19/43/62/695194362.db2.gz SRSGQHJCKRAQOM-SFHVURJKSA-N 1 2 315.417 1.274 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)n3C)C2)C1 ZINC000972259924 695194365 /nfs/dbraw/zinc/19/43/65/695194365.db2.gz SRSGQHJCKRAQOM-SFHVURJKSA-N 1 2 315.417 1.274 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H]([N@H+](C)Cc3cc(C)on3)C2)c1 ZINC000972284674 695204405 /nfs/dbraw/zinc/20/44/05/695204405.db2.gz MEHAEBBPXNEFBX-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3cc(C)on3)C2)c1 ZINC000972284674 695204406 /nfs/dbraw/zinc/20/44/06/695204406.db2.gz MEHAEBBPXNEFBX-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnc(C)s3)C2)C1 ZINC000972291505 695207322 /nfs/dbraw/zinc/20/73/22/695207322.db2.gz UWLRFJWBQHBUGM-OAHLLOKOSA-N 1 2 307.419 1.554 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnc(C)s3)C2)C1 ZINC000972291505 695207324 /nfs/dbraw/zinc/20/73/24/695207324.db2.gz UWLRFJWBQHBUGM-OAHLLOKOSA-N 1 2 307.419 1.554 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(C)o3)C2)C1 ZINC000972345154 695222246 /nfs/dbraw/zinc/22/22/46/695222246.db2.gz BMPGJSSETRDMAA-SFHVURJKSA-N 1 2 316.401 1.457 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(C)o3)C2)C1 ZINC000972345154 695222248 /nfs/dbraw/zinc/22/22/48/695222248.db2.gz BMPGJSSETRDMAA-SFHVURJKSA-N 1 2 316.401 1.457 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3csnc3C)C2)C1 ZINC000972348288 695223272 /nfs/dbraw/zinc/22/32/72/695223272.db2.gz JPYQUDZBVAPRLD-INIZCTEOSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3csnc3C)C2)C1 ZINC000972348288 695223276 /nfs/dbraw/zinc/22/32/76/695223276.db2.gz JPYQUDZBVAPRLD-INIZCTEOSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](CC)SC)C2)C1 ZINC000972347689 695223287 /nfs/dbraw/zinc/22/32/87/695223287.db2.gz WVLKVAOVLRMHQE-ZBFHGGJFSA-N 1 2 310.463 1.455 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](CC)SC)C2)C1 ZINC000972347689 695223289 /nfs/dbraw/zinc/22/32/89/695223289.db2.gz WVLKVAOVLRMHQE-ZBFHGGJFSA-N 1 2 310.463 1.455 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC(C)(C)C3CC3)C2)C1 ZINC000972374688 695233833 /nfs/dbraw/zinc/23/38/33/695233833.db2.gz ROTSNOFNCDJBFS-GOSISDBHSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC(C)(C)C3CC3)C2)C1 ZINC000972374688 695233836 /nfs/dbraw/zinc/23/38/36/695233836.db2.gz ROTSNOFNCDJBFS-GOSISDBHSA-N 1 2 304.434 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccnn3C(C)C)C2)C1 ZINC000972394908 695238901 /nfs/dbraw/zinc/23/89/01/695238901.db2.gz SNWQADUOUVAATH-KRWDZBQOSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccnn3C(C)C)C2)C1 ZINC000972394908 695238902 /nfs/dbraw/zinc/23/89/02/695238902.db2.gz SNWQADUOUVAATH-KRWDZBQOSA-N 1 2 318.421 1.567 20 30 DDEDLO C#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2cc(-c3cccs3)[nH]n2)C1 ZINC000972397830 695239992 /nfs/dbraw/zinc/23/99/92/695239992.db2.gz TZAPEXZGGGOBNH-GFCCVEGCSA-N 1 2 314.414 1.918 20 30 DDEDLO C#CC[N@H+](C)[C@@H]1CCN(C(=O)c2cc(-c3cccs3)[nH]n2)C1 ZINC000972397830 695239995 /nfs/dbraw/zinc/23/99/95/695239995.db2.gz TZAPEXZGGGOBNH-GFCCVEGCSA-N 1 2 314.414 1.918 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccsn3)C2)C1 ZINC000972429108 695248962 /nfs/dbraw/zinc/24/89/62/695248962.db2.gz WYSLHHQKMQGQHM-OAHLLOKOSA-N 1 2 305.403 1.083 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccsn3)C2)C1 ZINC000972429108 695248964 /nfs/dbraw/zinc/24/89/64/695248964.db2.gz WYSLHHQKMQGQHM-OAHLLOKOSA-N 1 2 305.403 1.083 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCO[C@H]3CC)C2)C1 ZINC000972479350 695260487 /nfs/dbraw/zinc/26/04/87/695260487.db2.gz VEVVLBJLAQWRTA-HLLBOEOZSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCO[C@H]3CC)C2)C1 ZINC000972479350 695260489 /nfs/dbraw/zinc/26/04/89/695260489.db2.gz VEVVLBJLAQWRTA-HLLBOEOZSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(CCC)n[nH]3)C2)C1 ZINC000972492802 695264386 /nfs/dbraw/zinc/26/43/86/695264386.db2.gz RHOGBGAIRAZWRQ-QGZVFWFLSA-N 1 2 318.421 1.465 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(CCC)n[nH]3)C2)C1 ZINC000972492802 695264387 /nfs/dbraw/zinc/26/43/87/695264387.db2.gz RHOGBGAIRAZWRQ-QGZVFWFLSA-N 1 2 318.421 1.465 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C)CC=CC3)C2)C1 ZINC000972530125 695274280 /nfs/dbraw/zinc/27/42/80/695274280.db2.gz AFUKXGBUEDVZQW-SFHVURJKSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C)CC=CC3)C2)C1 ZINC000972530125 695274281 /nfs/dbraw/zinc/27/42/81/695274281.db2.gz AFUKXGBUEDVZQW-SFHVURJKSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC34CCC4)C2)C1 ZINC000972578564 695287967 /nfs/dbraw/zinc/28/79/67/695287967.db2.gz SFTCQHBAQCGRQD-CRAIPNDOSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC34CCC4)C2)C1 ZINC000972578564 695287968 /nfs/dbraw/zinc/28/79/68/695287968.db2.gz SFTCQHBAQCGRQD-CRAIPNDOSA-N 1 2 302.418 1.503 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCC3CCOCC3)C2)C1 ZINC000972582710 695289881 /nfs/dbraw/zinc/28/98/81/695289881.db2.gz QPIILUMWAMDIOG-SFHVURJKSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCC3CCOCC3)C2)C1 ZINC000972582710 695289884 /nfs/dbraw/zinc/28/98/84/695289884.db2.gz QPIILUMWAMDIOG-SFHVURJKSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H](C)n3cccc3)C2)C1 ZINC000972643662 695307705 /nfs/dbraw/zinc/30/77/05/695307705.db2.gz RRSQCGCHBFRGHK-FUHWJXTLSA-N 1 2 317.433 1.929 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H](C)n3cccc3)C2)C1 ZINC000972643662 695307708 /nfs/dbraw/zinc/30/77/08/695307708.db2.gz RRSQCGCHBFRGHK-FUHWJXTLSA-N 1 2 317.433 1.929 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](OC)C3CCCC3)C2)C1 ZINC000972655043 695310501 /nfs/dbraw/zinc/31/05/01/695310501.db2.gz ALKZSYBSDRQYIW-FUHWJXTLSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](OC)C3CCCC3)C2)C1 ZINC000972655043 695310503 /nfs/dbraw/zinc/31/05/03/695310503.db2.gz ALKZSYBSDRQYIW-FUHWJXTLSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)c(C)c3)C2)C1 ZINC000972662730 695312943 /nfs/dbraw/zinc/31/29/43/695312943.db2.gz UTDCAGBDAPNYRV-IBGZPJMESA-N 1 2 312.413 1.854 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)c(C)c3)C2)C1 ZINC000972662730 695312946 /nfs/dbraw/zinc/31/29/46/695312946.db2.gz UTDCAGBDAPNYRV-IBGZPJMESA-N 1 2 312.413 1.854 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc4ccccc4[nH]3)C2)C1 ZINC000972666810 695313685 /nfs/dbraw/zinc/31/36/85/695313685.db2.gz HUSLWRZWNQAJBA-IBGZPJMESA-N 1 2 323.396 1.718 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc4ccccc4[nH]3)C2)C1 ZINC000972666810 695313687 /nfs/dbraw/zinc/31/36/87/695313687.db2.gz HUSLWRZWNQAJBA-IBGZPJMESA-N 1 2 323.396 1.718 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(C)c(C(=O)OC)c1 ZINC000746637329 700034483 /nfs/dbraw/zinc/03/44/83/700034483.db2.gz LODFMDJDFZOCCK-HNNXBMFYSA-N 1 2 300.358 1.818 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(C)c(C(=O)OC)c1 ZINC000746637329 700034485 /nfs/dbraw/zinc/03/44/85/700034485.db2.gz LODFMDJDFZOCCK-HNNXBMFYSA-N 1 2 300.358 1.818 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3c(c2)occc3=O)C(C)(C)C1 ZINC000977455751 696170952 /nfs/dbraw/zinc/17/09/52/696170952.db2.gz UEAARFNYKUAKSG-QGZVFWFLSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3c(c2)occc3=O)C(C)(C)C1 ZINC000977455751 696170955 /nfs/dbraw/zinc/17/09/55/696170955.db2.gz UEAARFNYKUAKSG-QGZVFWFLSA-N 1 2 324.380 1.866 20 30 DDEDLO CC(C)[C@H]1C(=O)NCC[N@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000093054851 696598913 /nfs/dbraw/zinc/59/89/13/696598913.db2.gz PIERMBXGNUBJEB-BBRMVZONSA-N 1 2 301.390 1.934 20 30 DDEDLO CC(C)[C@H]1C(=O)NCC[N@@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000093054851 696598914 /nfs/dbraw/zinc/59/89/14/696598914.db2.gz PIERMBXGNUBJEB-BBRMVZONSA-N 1 2 301.390 1.934 20 30 DDEDLO C[N@H+](CC(=O)[C@@H](C#N)C(=O)Nc1ccccc1)[C@@H]1CCSC1 ZINC000123611132 696733182 /nfs/dbraw/zinc/73/31/82/696733182.db2.gz JMNNHVPOMYKQEQ-ZIAGYGMSSA-N 1 2 317.414 1.771 20 30 DDEDLO C[N@@H+](CC(=O)[C@@H](C#N)C(=O)Nc1ccccc1)[C@@H]1CCSC1 ZINC000123611132 696733183 /nfs/dbraw/zinc/73/31/83/696733183.db2.gz JMNNHVPOMYKQEQ-ZIAGYGMSSA-N 1 2 317.414 1.771 20 30 DDEDLO Cc1ccsc1CNC(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000128425220 696779132 /nfs/dbraw/zinc/77/91/32/696779132.db2.gz KDIVKUBDNXBSJP-UHFFFAOYSA-N 1 2 318.402 1.599 20 30 DDEDLO C[C@H]1CCCC[C@@H]1NC(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000137650616 696858818 /nfs/dbraw/zinc/85/88/18/696858818.db2.gz NGFCPFGYHBGVPA-JSGCOSHPSA-N 1 2 304.394 1.608 20 30 DDEDLO CC[C@H](OC)C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980812513 696898873 /nfs/dbraw/zinc/89/88/73/696898873.db2.gz HSAQZMOBOCOJJI-SFHVURJKSA-N 1 2 314.429 1.997 20 30 DDEDLO CC[C@H](OC)C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980812513 696898876 /nfs/dbraw/zinc/89/88/76/696898876.db2.gz HSAQZMOBOCOJJI-SFHVURJKSA-N 1 2 314.429 1.997 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@@H+]([C@H]3CCNC3=O)CC2)CC1 ZINC000981885386 696955217 /nfs/dbraw/zinc/95/52/17/696955217.db2.gz OKPWFDUUMXVOHX-HNNXBMFYSA-N 1 2 305.422 1.156 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@H+]([C@H]3CCNC3=O)CC2)CC1 ZINC000981885386 696955219 /nfs/dbraw/zinc/95/52/19/696955219.db2.gz OKPWFDUUMXVOHX-HNNXBMFYSA-N 1 2 305.422 1.156 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](Cc3cncn3C)CC2)C1 ZINC000981918285 696968629 /nfs/dbraw/zinc/96/86/29/696968629.db2.gz UXVNPWAFHIGKIA-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](Cc3cncn3C)CC2)C1 ZINC000981918285 696968632 /nfs/dbraw/zinc/96/86/32/696968632.db2.gz UXVNPWAFHIGKIA-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+](C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000157585835 696979953 /nfs/dbraw/zinc/97/99/53/696979953.db2.gz CHZLEFAWSIEGGU-GXTWGEPZSA-N 1 2 308.403 1.596 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+](C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000157585835 696979955 /nfs/dbraw/zinc/97/99/55/696979955.db2.gz CHZLEFAWSIEGGU-GXTWGEPZSA-N 1 2 308.403 1.596 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCc2cnn(C)n2)CC1 ZINC000981968121 696989236 /nfs/dbraw/zinc/98/92/36/696989236.db2.gz PIOFJOYRQLLHJH-UHFFFAOYSA-N 1 2 311.817 1.035 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCc2cnn(C)n2)CC1 ZINC000981968121 696989238 /nfs/dbraw/zinc/98/92/38/696989238.db2.gz PIOFJOYRQLLHJH-UHFFFAOYSA-N 1 2 311.817 1.035 20 30 DDEDLO N#CCN[C@H]1CCC[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC000981103891 697005613 /nfs/dbraw/zinc/00/56/13/697005613.db2.gz WPSINLVQDJSOEB-KBPBESRZSA-N 1 2 315.421 1.302 20 30 DDEDLO C=C(C)C[N@@H+]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000981164750 697023550 /nfs/dbraw/zinc/02/35/50/697023550.db2.gz FYQOCXFXBYCLHQ-AWEZNQCLSA-N 1 2 302.422 1.940 20 30 DDEDLO C=C(C)C[N@H+]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000981164750 697023553 /nfs/dbraw/zinc/02/35/53/697023553.db2.gz FYQOCXFXBYCLHQ-AWEZNQCLSA-N 1 2 302.422 1.940 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C)n1 ZINC000986274810 697763323 /nfs/dbraw/zinc/76/33/23/697763323.db2.gz FIPRFSFXUBWHFZ-TZMCWYRMSA-N 1 2 316.405 1.515 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1)[C@@H]1CCCO1 ZINC000773545120 697776399 /nfs/dbraw/zinc/77/63/99/697776399.db2.gz BBJYHGCFHTXBCU-RDBSUJKOSA-N 1 2 302.378 1.100 20 30 DDEDLO N#Cc1ccc(OCCC[N@H+]2CCSC[C@@H]2C(N)=O)cc1 ZINC000773571150 697783037 /nfs/dbraw/zinc/78/30/37/697783037.db2.gz PPNRAYPZTVXBES-CQSZACIVSA-N 1 2 305.403 1.230 20 30 DDEDLO N#Cc1ccc(OCCC[N@@H+]2CCSC[C@@H]2C(N)=O)cc1 ZINC000773571150 697783038 /nfs/dbraw/zinc/78/30/38/697783038.db2.gz PPNRAYPZTVXBES-CQSZACIVSA-N 1 2 305.403 1.230 20 30 DDEDLO CN(Cc1ccco1)C(=O)CO[NH+]=C(N)c1ccc(F)cc1 ZINC000749787142 700184565 /nfs/dbraw/zinc/18/45/65/700184565.db2.gz DRWFHEZMXWRRII-UHFFFAOYSA-N 1 2 305.309 1.714 20 30 DDEDLO O=S1(=O)CCC(NN=Cc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000776791116 698140172 /nfs/dbraw/zinc/14/01/72/698140172.db2.gz FOOPJCRMMZMGOR-UHFFFAOYSA-N 1 2 318.402 1.373 20 30 DDEDLO CC[C@@H]1C(=O)N(CC)CC[N@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000778418140 698334635 /nfs/dbraw/zinc/33/46/35/698334635.db2.gz RMBXZFBVKMXFAN-HZPDHXFCSA-N 1 2 301.390 1.534 20 30 DDEDLO CC[C@@H]1C(=O)N(CC)CC[N@@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000778418140 698334640 /nfs/dbraw/zinc/33/46/40/698334640.db2.gz RMBXZFBVKMXFAN-HZPDHXFCSA-N 1 2 301.390 1.534 20 30 DDEDLO C[C@@H]1C[C@H]([NH2+]Cc2csnn2)CN1C(=O)c1cc(C#N)c[nH]1 ZINC000988504917 698393132 /nfs/dbraw/zinc/39/31/32/698393132.db2.gz ZZAWESKQHZARFZ-KOLCDFICSA-N 1 2 316.390 1.131 20 30 DDEDLO C[C@H]1C[C@H]([NH2+]Cc2nc(C(F)F)no2)CN1C(=O)C#CC1CC1 ZINC000988828936 698478273 /nfs/dbraw/zinc/47/82/73/698478273.db2.gz YVDCQIZMYRFVSK-ONGXEEELSA-N 1 2 324.331 1.500 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C)C1CC1 ZINC000785313630 699066884 /nfs/dbraw/zinc/06/68/84/699066884.db2.gz OTLBOHZIABVXNI-UHFFFAOYSA-N 1 2 302.378 1.572 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[N@H+](Cc2ccccc2)[C@H](C)C1 ZINC000710997507 699255696 /nfs/dbraw/zinc/25/56/96/699255696.db2.gz QTBKACHKGGUUGT-OAHLLOKOSA-N 1 2 306.431 1.546 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[N@@H+](Cc2ccccc2)[C@H](C)C1 ZINC000710997507 699255700 /nfs/dbraw/zinc/25/57/00/699255700.db2.gz QTBKACHKGGUUGT-OAHLLOKOSA-N 1 2 306.431 1.546 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@@]12CCC[C@H]1N(CC#N)CC2 ZINC000991335667 699302242 /nfs/dbraw/zinc/30/22/42/699302242.db2.gz WAWLSJWKLFGBNS-NVXWUHKLSA-N 1 2 314.389 1.269 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@H]3CCc4c[nH+]cn4C3)CCC[C@@H]12 ZINC000991475730 699333512 /nfs/dbraw/zinc/33/35/12/699333512.db2.gz TUBDKNGJGBUCAG-YSVLISHTSA-N 1 2 313.405 1.082 20 30 DDEDLO N#Cc1c2c(cn(C[N@@H+]3CCC[C@H]3[C@@H]3CCCO3)c1=O)CCC2 ZINC000789508460 699390987 /nfs/dbraw/zinc/39/09/87/699390987.db2.gz RCLVDMDHIYUCAV-IRXDYDNUSA-N 1 2 313.401 1.810 20 30 DDEDLO N#Cc1c2c(cn(C[N@H+]3CCC[C@H]3[C@@H]3CCCO3)c1=O)CCC2 ZINC000789508460 699390989 /nfs/dbraw/zinc/39/09/89/699390989.db2.gz RCLVDMDHIYUCAV-IRXDYDNUSA-N 1 2 313.401 1.810 20 30 DDEDLO Cc1ncc2c(n1)CCC[C@H]2[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000734850962 699664551 /nfs/dbraw/zinc/66/45/51/699664551.db2.gz PBTCDBXHOFCDFO-SJORKVTESA-N 1 2 321.384 1.850 20 30 DDEDLO COc1cccc([C@H](C#N)NC(=O)CCc2c[nH]c[nH+]2)c1OC ZINC000793434671 699756391 /nfs/dbraw/zinc/75/63/91/699756391.db2.gz DZHQHTWDQWISBB-ZDUSSCGKSA-N 1 2 314.345 1.741 20 30 DDEDLO COc1cccc([C@H](C#N)NC(=O)CCc2c[nH+]c[nH]2)c1OC ZINC000793434671 699756394 /nfs/dbraw/zinc/75/63/94/699756394.db2.gz DZHQHTWDQWISBB-ZDUSSCGKSA-N 1 2 314.345 1.741 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CCC[C@@H]3COCC[C@H]32)c1=S ZINC000794632777 699820174 /nfs/dbraw/zinc/82/01/74/699820174.db2.gz RZRDUTLHTKIILT-VXGBXAGGSA-N 1 2 307.423 1.476 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CCC[C@@H]3COCC[C@H]32)c1=S ZINC000794632777 699820177 /nfs/dbraw/zinc/82/01/77/699820177.db2.gz RZRDUTLHTKIILT-VXGBXAGGSA-N 1 2 307.423 1.476 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CC[C@@H](C3CCOCC3)C2)c1=S ZINC000794637616 699820634 /nfs/dbraw/zinc/82/06/34/699820634.db2.gz YROPZPHRWZFJAB-CYBMUJFWSA-N 1 2 321.450 1.723 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CC[C@@H](C3CCOCC3)C2)c1=S ZINC000794637616 699820636 /nfs/dbraw/zinc/82/06/36/699820636.db2.gz YROPZPHRWZFJAB-CYBMUJFWSA-N 1 2 321.450 1.723 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)[C@@H]2Cc3ccccc32)CC1 ZINC000795512562 699873022 /nfs/dbraw/zinc/87/30/22/699873022.db2.gz SBNWVAKXKNSCBA-QGZVFWFLSA-N 1 2 311.381 1.784 20 30 DDEDLO CC[C@H](C#N)Oc1cc(C[NH2+]Cc2cn(C)nn2)ccc1OC ZINC000742370776 699875622 /nfs/dbraw/zinc/87/56/22/699875622.db2.gz MJLJZFHYJIZBRM-CQSZACIVSA-N 1 2 315.377 1.794 20 30 DDEDLO C=CCc1cc(C[NH2+]Cc2cn(C)nn2)cc(OC)c1OC ZINC000742370434 699875626 /nfs/dbraw/zinc/87/56/26/699875626.db2.gz SUKACRDHROVIFM-UHFFFAOYSA-N 1 2 302.378 1.851 20 30 DDEDLO N#CCOc1ccc(C[N@@H+]2CCOC[C@H]2[C@H]2CCCO2)cc1 ZINC000796340766 699927702 /nfs/dbraw/zinc/92/77/02/699927702.db2.gz MELJIRNIYGEHGW-DLBZAZTESA-N 1 2 302.374 1.969 20 30 DDEDLO N#CCOc1ccc(C[N@H+]2CCOC[C@H]2[C@H]2CCCO2)cc1 ZINC000796340766 699927705 /nfs/dbraw/zinc/92/77/05/699927705.db2.gz MELJIRNIYGEHGW-DLBZAZTESA-N 1 2 302.374 1.969 20 30 DDEDLO COc1ccc(OC)c2c1C[N@H+](CCO[C@H](C)C#N)C[C@H]2O ZINC000801914534 700352735 /nfs/dbraw/zinc/35/27/35/700352735.db2.gz KEODCKWXKLWXPB-DGCLKSJQSA-N 1 2 306.362 1.481 20 30 DDEDLO COc1ccc(OC)c2c1C[N@@H+](CCO[C@H](C)C#N)C[C@H]2O ZINC000801914534 700352738 /nfs/dbraw/zinc/35/27/38/700352738.db2.gz KEODCKWXKLWXPB-DGCLKSJQSA-N 1 2 306.362 1.481 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)CC)n2CCOC)CC1 ZINC000802397170 700400055 /nfs/dbraw/zinc/40/00/55/700400055.db2.gz CILFKXBWSUWDMM-CQSZACIVSA-N 1 2 305.426 1.193 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+][C@H](CCO)c2cccs2)C(N)=O)cc1 ZINC000754383158 700498923 /nfs/dbraw/zinc/49/89/23/700498923.db2.gz CIDSGGHQOAKLMU-UKRRQHHQSA-N 1 2 315.398 1.859 20 30 DDEDLO C#CCNC(=S)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000758193323 700688163 /nfs/dbraw/zinc/68/81/63/700688163.db2.gz RKVDGCIJIVMENY-UHFFFAOYSA-N 1 2 317.462 1.538 20 30 DDEDLO C=C(C)CN(CC)C(=O)COC(=O)CCc1ccc(N)[nH+]c1 ZINC000759815184 700775130 /nfs/dbraw/zinc/77/51/30/700775130.db2.gz NCCPPZCRLUGJHT-UHFFFAOYSA-N 1 2 305.378 1.564 20 30 DDEDLO CC(C)C(=O)N1CC[NH+](C[C@H](O)c2ccc(C#N)cc2)CC1 ZINC000763225360 700929730 /nfs/dbraw/zinc/92/97/30/700929730.db2.gz LSDUWLGIPRKYGX-INIZCTEOSA-N 1 2 301.390 1.392 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[NH2+]Cc2nnnn2CC2CC2)cc1 ZINC000769934720 701261294 /nfs/dbraw/zinc/26/12/94/701261294.db2.gz OELSUZVCNHSOAL-LBPRGKRZSA-N 1 2 312.377 1.664 20 30 DDEDLO C[C@@H](C#N)OCC[N@@H+]1CC[C@H](C)[C@H](NC(=O)C(F)(F)F)[C@@H]1C ZINC000805787754 701409331 /nfs/dbraw/zinc/40/93/31/701409331.db2.gz YRQSVJJNDDNYMT-BJDJZHNGSA-N 1 2 321.343 1.692 20 30 DDEDLO C[C@@H](C#N)OCC[N@H+]1CC[C@H](C)[C@H](NC(=O)C(F)(F)F)[C@@H]1C ZINC000805787754 701409332 /nfs/dbraw/zinc/40/93/32/701409332.db2.gz YRQSVJJNDDNYMT-BJDJZHNGSA-N 1 2 321.343 1.692 20 30 DDEDLO C#CCC(CC#C)C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000807438007 701476809 /nfs/dbraw/zinc/47/68/09/701476809.db2.gz XEFZEXJFUSYLTC-UHFFFAOYSA-N 1 2 323.396 1.131 20 30 DDEDLO C#CCC(CC#C)C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000807438007 701476810 /nfs/dbraw/zinc/47/68/10/701476810.db2.gz XEFZEXJFUSYLTC-UHFFFAOYSA-N 1 2 323.396 1.131 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1sc2c(c1C(N)=O)CCC2)C1CC1 ZINC000839535326 701729267 /nfs/dbraw/zinc/72/92/67/701729267.db2.gz HKLSRUZKCZCGPQ-UHFFFAOYSA-N 1 2 319.430 1.925 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1sc2c(c1C(N)=O)CCC2)C1CC1 ZINC000839535326 701729270 /nfs/dbraw/zinc/72/92/70/701729270.db2.gz HKLSRUZKCZCGPQ-UHFFFAOYSA-N 1 2 319.430 1.925 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](CN3C(=O)[C@@H]4CCC[C@@H]43)C2)nc1 ZINC000840073257 701953008 /nfs/dbraw/zinc/95/30/08/701953008.db2.gz ACDZELMVIQDVTD-ZNMIVQPWSA-N 1 2 312.373 1.375 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](CN3C(=O)[C@@H]4CCC[C@@H]43)C2)nc1 ZINC000840073257 701953014 /nfs/dbraw/zinc/95/30/14/701953014.db2.gz ACDZELMVIQDVTD-ZNMIVQPWSA-N 1 2 312.373 1.375 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2ccc3c(c2)oc(=O)n3C)n1 ZINC000816594017 702122166 /nfs/dbraw/zinc/12/21/66/702122166.db2.gz MKBKALUAMXACTD-UHFFFAOYSA-N 1 2 324.384 1.983 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2ccc3c(c2)oc(=O)n3C)n1 ZINC000816594017 702122168 /nfs/dbraw/zinc/12/21/68/702122168.db2.gz MKBKALUAMXACTD-UHFFFAOYSA-N 1 2 324.384 1.983 20 30 DDEDLO C#Cc1ccc(C[NH+]2CCN(C(COC)COC)CC2)cc1 ZINC000840954995 702292679 /nfs/dbraw/zinc/29/26/79/702292679.db2.gz PEUKBGXRPLGEGJ-UHFFFAOYSA-N 1 2 302.418 1.447 20 30 DDEDLO C[C@H]([NH2+]C[C@@H]1CN(C2CC2)C(=O)O1)c1cccc(C#N)c1O ZINC000866387105 706687478 /nfs/dbraw/zinc/68/74/78/706687478.db2.gz NCOUXHWVIHGKQH-GXFFZTMASA-N 1 2 301.346 1.898 20 30 DDEDLO C=CCOCCON=C(N)c1cccc(C[NH+]2CCOCC2)c1 ZINC000842026336 702658352 /nfs/dbraw/zinc/65/83/52/702658352.db2.gz CGAFVXIHTUIPGG-UHFFFAOYSA-N 1 2 319.405 1.568 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCO[C@@H]3C)n2CC=C)CC1 ZINC000842938643 702792432 /nfs/dbraw/zinc/79/24/32/702792432.db2.gz ONUYMAIAQXBUBN-CABCVRRESA-N 1 2 315.421 1.112 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000844344173 703018253 /nfs/dbraw/zinc/01/82/53/703018253.db2.gz UKOPSIUBKRVJTR-CQSZACIVSA-N 1 2 324.384 1.913 20 30 DDEDLO CC(=O)N(C)c1ccc(OC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000844945990 703088644 /nfs/dbraw/zinc/08/86/44/703088644.db2.gz OGCWHIUKZWKKCL-OAHLLOKOSA-N 1 2 305.378 1.254 20 30 DDEDLO CC(=O)N(C)c1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000844945990 703088646 /nfs/dbraw/zinc/08/86/46/703088646.db2.gz OGCWHIUKZWKKCL-OAHLLOKOSA-N 1 2 305.378 1.254 20 30 DDEDLO C[C@@H](CC(=O)[C@@H](C#N)C(=O)NCc1ccccc1)n1cc[nH+]c1 ZINC000845440319 703157215 /nfs/dbraw/zinc/15/72/15/703157215.db2.gz DKQHWMXGFZBRQZ-DZGCQCFKSA-N 1 2 310.357 1.859 20 30 DDEDLO N#Cc1ccc(N2CC[NH+]([C@H]3CCN(C4CCC4)C3=O)CC2)cc1 ZINC000879587011 706729131 /nfs/dbraw/zinc/72/91/31/706729131.db2.gz IMQXDYVQQGHPPD-SFHVURJKSA-N 1 2 324.428 1.834 20 30 DDEDLO C#C[C@H]1CCCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000847286402 703397274 /nfs/dbraw/zinc/39/72/74/703397274.db2.gz OLELQOGOUYWINB-AWEZNQCLSA-N 1 2 300.406 1.817 20 30 DDEDLO Cn1ncc2c1nc(N=NC1CCC[N@@H+]3CCCC[C@@H]13)[nH]c2=O ZINC000848405863 703547021 /nfs/dbraw/zinc/54/70/21/703547021.db2.gz BLBNFCQAVUEMHY-LBPRGKRZSA-N 1 2 315.381 1.485 20 30 DDEDLO Cn1ncc2c1nc(N=NC1CCC[N@H+]3CCCC[C@@H]13)[nH]c2=O ZINC000848405863 703547022 /nfs/dbraw/zinc/54/70/22/703547022.db2.gz BLBNFCQAVUEMHY-LBPRGKRZSA-N 1 2 315.381 1.485 20 30 DDEDLO CN(C)c1ccc([NH+]2CCC(Nc3ccnc(C#N)n3)CC2)cc1 ZINC000848667430 703573700 /nfs/dbraw/zinc/57/37/00/703573700.db2.gz IKDHFFWGMYYFOE-UHFFFAOYSA-N 1 2 322.416 1.917 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)Nc2ccc(C)cc2)CC1 ZINC000851890815 703879405 /nfs/dbraw/zinc/87/94/05/703879405.db2.gz XOBJDFYJTAVOHI-UHFFFAOYSA-N 1 2 301.390 1.794 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCO[C@H](C[NH+]2CCOCC2)C1 ZINC000870065899 703906730 /nfs/dbraw/zinc/90/67/30/703906730.db2.gz BTBCGFBHOXLDLG-MRXNPFEDSA-N 1 2 308.422 1.314 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(c2cccc(F)c2F)CC1 ZINC000852109678 703926361 /nfs/dbraw/zinc/92/63/61/703926361.db2.gz FKYOSCXMLMMQOD-UHFFFAOYSA-N 1 2 307.344 1.226 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(c2cccc(F)c2F)CC1 ZINC000852109678 703926366 /nfs/dbraw/zinc/92/63/66/703926366.db2.gz FKYOSCXMLMMQOD-UHFFFAOYSA-N 1 2 307.344 1.226 20 30 DDEDLO COc1cccc([C@@H]2CN(C(=O)c3ccc(C#N)cn3)CC[NH2+]2)c1 ZINC000870150344 703932999 /nfs/dbraw/zinc/93/29/99/703932999.db2.gz UCRHMMYNIYJCOG-KRWDZBQOSA-N 1 2 322.368 1.749 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+](CC(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC000852319853 704011824 /nfs/dbraw/zinc/01/18/24/704011824.db2.gz SKSNZKLKZVDRAZ-LLVKDONJSA-N 1 2 313.361 1.833 20 30 DDEDLO N#CC[C@H]1CCC[N@H+](CC(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC000852319853 704011828 /nfs/dbraw/zinc/01/18/28/704011828.db2.gz SKSNZKLKZVDRAZ-LLVKDONJSA-N 1 2 313.361 1.833 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH2+][C@@H]2CCn3ccnc32)cc1 ZINC000819336003 704108985 /nfs/dbraw/zinc/10/89/85/704108985.db2.gz BMHHZCIBIPCGLX-CQSZACIVSA-N 1 2 316.386 1.263 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc(OCC(F)F)cc1 ZINC000819455041 704128716 /nfs/dbraw/zinc/12/87/16/704128716.db2.gz FYADVFXIDBTKLU-ZDUSSCGKSA-N 1 2 313.348 1.949 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc(OCC(F)F)cc1 ZINC000819455041 704128718 /nfs/dbraw/zinc/12/87/18/704128718.db2.gz FYADVFXIDBTKLU-ZDUSSCGKSA-N 1 2 313.348 1.949 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1cccc2c1OCC[C@H]2O ZINC000819471821 704131001 /nfs/dbraw/zinc/13/10/01/704131001.db2.gz WLPRSLXVLVNSCD-SWLSCSKDSA-N 1 2 305.378 1.121 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1cccc2c1OCC[C@H]2O ZINC000819471821 704131004 /nfs/dbraw/zinc/13/10/04/704131004.db2.gz WLPRSLXVLVNSCD-SWLSCSKDSA-N 1 2 305.378 1.121 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C[C@@](C)(CC(C)C)C1=O ZINC000853620796 704287215 /nfs/dbraw/zinc/28/72/15/704287215.db2.gz FATQLTFFFPWWNT-QGZVFWFLSA-N 1 2 308.422 1.727 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C[C@@](C)(CC(C)C)C1=O ZINC000853620796 704287218 /nfs/dbraw/zinc/28/72/18/704287218.db2.gz FATQLTFFFPWWNT-QGZVFWFLSA-N 1 2 308.422 1.727 20 30 DDEDLO C#CCC(C)(C)C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000871543823 704312095 /nfs/dbraw/zinc/31/20/95/704312095.db2.gz VGUGDNMVUOESSU-UHFFFAOYSA-N 1 2 322.449 1.958 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)c1 ZINC000854121499 704358351 /nfs/dbraw/zinc/35/83/51/704358351.db2.gz GFNBTHHGPFHUIF-HUUCEWRRSA-N 1 2 315.417 1.576 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)c1 ZINC000854121499 704358354 /nfs/dbraw/zinc/35/83/54/704358354.db2.gz GFNBTHHGPFHUIF-HUUCEWRRSA-N 1 2 315.417 1.576 20 30 DDEDLO CON=Cc1ccc(C(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)cc1 ZINC000855413138 704484117 /nfs/dbraw/zinc/48/41/17/704484117.db2.gz HHGHBISKEHHJGS-KBPBESRZSA-N 1 2 319.405 1.506 20 30 DDEDLO CON=Cc1ccc(C(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)cc1 ZINC000855413138 704484118 /nfs/dbraw/zinc/48/41/18/704484118.db2.gz HHGHBISKEHHJGS-KBPBESRZSA-N 1 2 319.405 1.506 20 30 DDEDLO C#C[C@@H](NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1)C1CCOCC1 ZINC000856103234 704513857 /nfs/dbraw/zinc/51/38/57/704513857.db2.gz KALDBFRNIKZNIA-IAGOWNOFSA-N 1 2 320.433 1.174 20 30 DDEDLO C#C[C@@H](NC(=O)[C@H]1COCC[N@H+]1C1CCCC1)C1CCOCC1 ZINC000856103234 704513858 /nfs/dbraw/zinc/51/38/58/704513858.db2.gz KALDBFRNIKZNIA-IAGOWNOFSA-N 1 2 320.433 1.174 20 30 DDEDLO C#CCCN(CCOC)C(=O)[C@@H](CC)[N@@H+]1CCO[C@H](CC)C1 ZINC000856389658 704526060 /nfs/dbraw/zinc/52/60/60/704526060.db2.gz AVXPROSHFODZRA-HZPDHXFCSA-N 1 2 310.438 1.374 20 30 DDEDLO C#CCCN(CCOC)C(=O)[C@@H](CC)[N@H+]1CCO[C@H](CC)C1 ZINC000856389658 704526061 /nfs/dbraw/zinc/52/60/61/704526061.db2.gz AVXPROSHFODZRA-HZPDHXFCSA-N 1 2 310.438 1.374 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N[C@H]1CCn2c[nH+]cc2C1 ZINC000857962719 704651226 /nfs/dbraw/zinc/65/12/26/704651226.db2.gz MENFLJTWGMPLAM-ZDUSSCGKSA-N 1 2 309.325 1.095 20 30 DDEDLO CC[N@H+](CCC#N)[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@]1(C)O ZINC000859155729 704805780 /nfs/dbraw/zinc/80/57/80/704805780.db2.gz TYOFFNOZCPTVEY-CJNGLKHVSA-N 1 2 311.426 1.982 20 30 DDEDLO CC[N@@H+](CCC#N)[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@]1(C)O ZINC000859155729 704805786 /nfs/dbraw/zinc/80/57/86/704805786.db2.gz TYOFFNOZCPTVEY-CJNGLKHVSA-N 1 2 311.426 1.982 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](C/C=C/c2ccccc2)CC1 ZINC000822182955 704891625 /nfs/dbraw/zinc/89/16/25/704891625.db2.gz OHCATPWVKVGAGP-JEJOPICUSA-N 1 2 312.413 1.882 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2cccc3[nH]ccc32)CC1 ZINC000859831532 705015626 /nfs/dbraw/zinc/01/56/26/705015626.db2.gz YEPJRROIAFAEED-AWEZNQCLSA-N 1 2 310.401 1.428 20 30 DDEDLO C#CCCOc1ccc(C(=O)NCC[N@@H+]2CCO[C@H](C)C2)cc1 ZINC000822952605 705092627 /nfs/dbraw/zinc/09/26/27/705092627.db2.gz VAIYSSXZEGQZLR-OAHLLOKOSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CCCOc1ccc(C(=O)NCC[N@H+]2CCO[C@H](C)C2)cc1 ZINC000822952605 705092634 /nfs/dbraw/zinc/09/26/34/705092634.db2.gz VAIYSSXZEGQZLR-OAHLLOKOSA-N 1 2 316.401 1.539 20 30 DDEDLO C#C[C@@H](NC(=O)N[C@@H](C)C[NH+]1CCOCC1)c1ccccc1 ZINC000825660697 705709870 /nfs/dbraw/zinc/70/98/70/705709870.db2.gz XCWODPANBQMRNO-GOEBONIOSA-N 1 2 301.390 1.381 20 30 DDEDLO C#CC[C@@H]1CCN(C(=O)C2CC[NH+](Cc3nccn3C)CC2)C1 ZINC000862562868 705735949 /nfs/dbraw/zinc/73/59/49/705735949.db2.gz FYDIUDZCNICYFY-OAHLLOKOSA-N 1 2 314.433 1.504 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000826551740 705812062 /nfs/dbraw/zinc/81/20/62/705812062.db2.gz OTZVWTQEDDXJTM-DLBZAZTESA-N 1 2 315.417 1.862 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000826551740 705812066 /nfs/dbraw/zinc/81/20/66/705812066.db2.gz OTZVWTQEDDXJTM-DLBZAZTESA-N 1 2 315.417 1.862 20 30 DDEDLO C#CC1CCN(c2cc(N3CC[C@@H]([C@@H](C)O)C3)nc[nH+]2)CC1 ZINC000826710921 705853655 /nfs/dbraw/zinc/85/36/55/705853655.db2.gz UDUMMGZNYWQJCW-UKRRQHHQSA-N 1 2 300.406 1.533 20 30 DDEDLO C#CC1CCN(c2cc(N3CC[C@@H]([C@@H](C)O)C3)[nH+]cn2)CC1 ZINC000826710921 705853659 /nfs/dbraw/zinc/85/36/59/705853659.db2.gz UDUMMGZNYWQJCW-UKRRQHHQSA-N 1 2 300.406 1.533 20 30 DDEDLO C=CCc1cc(C[NH2+]Cc2ncnn2C)cc(OC)c1OC ZINC000877022035 705961943 /nfs/dbraw/zinc/96/19/43/705961943.db2.gz MZNNZDITRHTAKV-UHFFFAOYSA-N 1 2 302.378 1.851 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CCC(N2CC[NH+](C)CC2)CC1 ZINC000827439590 706001575 /nfs/dbraw/zinc/00/15/75/706001575.db2.gz GXWFSSPIHCGVLG-GOSISDBHSA-N 1 2 323.481 1.454 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N1CC[NH2+][C@H](c2cnn(C)c2)C1 ZINC000827911008 706086282 /nfs/dbraw/zinc/08/62/82/706086282.db2.gz OZAYWXDKKHACPV-INIZCTEOSA-N 1 2 309.373 1.387 20 30 DDEDLO N#CCCN1CC[NH+](C[C@@H]2CN(C3CCCCC3)C(=O)O2)CC1 ZINC000828103596 706118728 /nfs/dbraw/zinc/11/87/28/706118728.db2.gz SLGMITJFCUUFFA-MRXNPFEDSA-N 1 2 320.437 1.671 20 30 DDEDLO COc1ccc(C=O)cc1C(=O)NC1(C#N)CC[NH+](C)CC1 ZINC000865198357 706379208 /nfs/dbraw/zinc/37/92/08/706379208.db2.gz JRVJKNUSJSKUIP-UHFFFAOYSA-N 1 2 301.346 1.225 20 30 DDEDLO CCCCC[C@@H](C)NC(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000878776173 706496456 /nfs/dbraw/zinc/49/64/56/706496456.db2.gz IIOIUURGKYIXOK-OAGGEKHMSA-N 1 2 308.470 1.990 20 30 DDEDLO CCCCC[C@@H](C)NC(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000878776173 706496459 /nfs/dbraw/zinc/49/64/59/706496459.db2.gz IIOIUURGKYIXOK-OAGGEKHMSA-N 1 2 308.470 1.990 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)NC[C@@H]2c3ccccc3C[N@H+]2C)n1 ZINC000878875528 706522675 /nfs/dbraw/zinc/52/26/75/706522675.db2.gz IPBIURPAICAIGY-CQSZACIVSA-N 1 2 310.361 1.600 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)NC[C@@H]2c3ccccc3C[N@@H+]2C)n1 ZINC000878875528 706522676 /nfs/dbraw/zinc/52/26/76/706522676.db2.gz IPBIURPAICAIGY-CQSZACIVSA-N 1 2 310.361 1.600 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCc2ccc(NC(C)=O)cc2C1 ZINC000880029262 706857608 /nfs/dbraw/zinc/85/76/08/706857608.db2.gz TVPHXOPZTORYHT-LBPRGKRZSA-N 1 2 301.390 1.694 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCc2ccc(NC(C)=O)cc2C1 ZINC000880029262 706857610 /nfs/dbraw/zinc/85/76/10/706857610.db2.gz TVPHXOPZTORYHT-LBPRGKRZSA-N 1 2 301.390 1.694 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@H](C(C)(C)O)C1 ZINC000880146649 706892145 /nfs/dbraw/zinc/89/21/45/706892145.db2.gz NOVWVXYLWQYOGK-LSDHHAIUSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@H](C(C)(C)O)C1 ZINC000880146649 706892148 /nfs/dbraw/zinc/89/21/48/706892148.db2.gz NOVWVXYLWQYOGK-LSDHHAIUSA-N 1 2 302.374 1.865 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1cccc(CC#N)n1 ZINC000881144450 707135724 /nfs/dbraw/zinc/13/57/24/707135724.db2.gz WSVOWGRMXIBKGS-UHFFFAOYSA-N 1 2 317.393 1.380 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000836633226 707493760 /nfs/dbraw/zinc/49/37/60/707493760.db2.gz UFGORXMWNKXSKU-UHFFFAOYSA-N 1 2 311.407 1.729 20 30 DDEDLO N#Cc1cnc(NC[C@H]2C[NH+]3CCN2CC3)c(Br)c1 ZINC000882122001 707507420 /nfs/dbraw/zinc/50/74/20/707507420.db2.gz AXSNVBFFOAPUFO-NSHDSACASA-N 1 2 322.210 1.127 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1nc(NCCO)c2ccccc2[nH+]1 ZINC000883007455 707894945 /nfs/dbraw/zinc/89/49/45/707894945.db2.gz WCTOXVHMWKRIOZ-GFCCVEGCSA-N 1 2 302.378 1.773 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000883137568 707938018 /nfs/dbraw/zinc/93/80/18/707938018.db2.gz HXDVVKMMGLOIIW-AWEZNQCLSA-N 1 2 306.410 1.388 20 30 DDEDLO C#CCOc1ccc(F)cc1NC(=O)NCCc1cn(C)c[nH+]1 ZINC000838955678 708006896 /nfs/dbraw/zinc/00/68/96/708006896.db2.gz USIUZNWYNUPHRC-UHFFFAOYSA-N 1 2 316.336 1.935 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](c1ccccc1)C(C)C ZINC000884060877 708122126 /nfs/dbraw/zinc/12/21/26/708122126.db2.gz NOYQRVILZQMGOS-HOCLYGCPSA-N 1 2 304.390 1.947 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@@H](C)Cc2ccccc21 ZINC000884128063 708151588 /nfs/dbraw/zinc/15/15/88/708151588.db2.gz STPXHCGLRXLHJL-JSGCOSHPSA-N 1 2 302.374 1.658 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@H](C(F)(F)F)C1(C)C ZINC000884334594 708250472 /nfs/dbraw/zinc/25/04/72/708250472.db2.gz VKSHBVBNKUZARD-UWVGGRQHSA-N 1 2 322.327 1.622 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc2occc2c1 ZINC000884400254 708281016 /nfs/dbraw/zinc/28/10/16/708281016.db2.gz ARWNHJQIDPZYHC-ZDUSSCGKSA-N 1 2 302.330 1.496 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](Nc2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000884613254 708338822 /nfs/dbraw/zinc/33/88/22/708338822.db2.gz IDYZEZBGLWPRFW-SECBINFHSA-N 1 2 324.310 1.881 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](Nc2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000884613254 708338824 /nfs/dbraw/zinc/33/88/24/708338824.db2.gz IDYZEZBGLWPRFW-SECBINFHSA-N 1 2 324.310 1.881 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@H](C)N(CC#N)[C@@H](C)C2)c[nH+]1 ZINC000898132279 708551354 /nfs/dbraw/zinc/55/13/54/708551354.db2.gz GNAKURQYXUNLPH-KBPBESRZSA-N 1 2 303.410 1.371 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(CC)cc2)C1 ZINC000885513839 708563575 /nfs/dbraw/zinc/56/35/75/708563575.db2.gz HWVUYMLGRSXMPU-OAHLLOKOSA-N 1 2 306.431 1.625 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(CC)cc2)C1 ZINC000885513839 708563577 /nfs/dbraw/zinc/56/35/77/708563577.db2.gz HWVUYMLGRSXMPU-OAHLLOKOSA-N 1 2 306.431 1.625 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CCC[N@H+](CCF)C1 ZINC000898489297 708654965 /nfs/dbraw/zinc/65/49/65/708654965.db2.gz LOHBAYIJRXGNHQ-DZGCQCFKSA-N 1 2 319.380 1.876 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CCC[N@@H+](CCF)C1 ZINC000898489297 708654967 /nfs/dbraw/zinc/65/49/67/708654967.db2.gz LOHBAYIJRXGNHQ-DZGCQCFKSA-N 1 2 319.380 1.876 20 30 DDEDLO Cc1n[nH]c(C)c1[C@@H]1COCC[N@@H+]1C[C@@H](O)CC1(C#N)CCC1 ZINC000886098556 708697976 /nfs/dbraw/zinc/69/79/76/708697976.db2.gz NRKWWAOACLMXLX-GJZGRUSLSA-N 1 2 318.421 1.845 20 30 DDEDLO Cc1n[nH]c(C)c1[C@@H]1COCC[N@H+]1C[C@@H](O)CC1(C#N)CCC1 ZINC000886098556 708697978 /nfs/dbraw/zinc/69/79/78/708697978.db2.gz NRKWWAOACLMXLX-GJZGRUSLSA-N 1 2 318.421 1.845 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@]1(CO)CNC(=O)OC(C)(C)C ZINC000886291995 708736544 /nfs/dbraw/zinc/73/65/44/708736544.db2.gz ANULLCQEUAVOAZ-INIZCTEOSA-N 1 2 314.426 1.541 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@]1(CO)CNC(=O)OC(C)(C)C ZINC000886291995 708736545 /nfs/dbraw/zinc/73/65/45/708736545.db2.gz ANULLCQEUAVOAZ-INIZCTEOSA-N 1 2 314.426 1.541 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000900028108 709271132 /nfs/dbraw/zinc/27/11/32/709271132.db2.gz CUOFAVXMOUUSQU-MRXNPFEDSA-N 1 2 309.373 1.361 20 30 DDEDLO COCC[C@@H]1CCN(C[N@H+](C)Cc2ccc(C#N)cc2)C1=O ZINC000902048419 710594782 /nfs/dbraw/zinc/59/47/82/710594782.db2.gz FQDVSQDIOVBNOK-INIZCTEOSA-N 1 2 301.390 1.833 20 30 DDEDLO COCC[C@@H]1CCN(C[N@@H+](C)Cc2ccc(C#N)cc2)C1=O ZINC000902048419 710594784 /nfs/dbraw/zinc/59/47/84/710594784.db2.gz FQDVSQDIOVBNOK-INIZCTEOSA-N 1 2 301.390 1.833 20 30 DDEDLO CC(C)[C@@H]1CCN(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1=O ZINC000902608338 710813961 /nfs/dbraw/zinc/81/39/61/710813961.db2.gz KTQIJDLISKJZNP-HNNXBMFYSA-N 1 2 306.406 1.786 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000895065628 711393951 /nfs/dbraw/zinc/39/39/51/711393951.db2.gz FGHBDUGKJFKIBD-AWEZNQCLSA-N 1 2 312.373 1.535 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H]2CC[C@@H](C(F)(F)F)O2)nn1 ZINC000905831609 712159476 /nfs/dbraw/zinc/15/94/76/712159476.db2.gz BXWPQMYHLKQUCS-NEPJUHHUSA-N 1 2 302.300 1.501 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)[C@H](C)[NH+]1CCSCC1 ZINC000908651186 712829339 /nfs/dbraw/zinc/82/93/39/712829339.db2.gz WTBUWRGIPWABFV-KBPBESRZSA-N 1 2 310.463 1.950 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC000928720977 713471356 /nfs/dbraw/zinc/47/13/56/713471356.db2.gz POEDVBFJRGWHRW-ZDUSSCGKSA-N 1 2 310.361 1.322 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C ZINC000919570594 713615636 /nfs/dbraw/zinc/61/56/36/713615636.db2.gz MECFPTAEFFUVIP-BETUJISGSA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C ZINC000919570594 713615638 /nfs/dbraw/zinc/61/56/38/713615638.db2.gz MECFPTAEFFUVIP-BETUJISGSA-N 1 2 307.419 1.661 20 30 DDEDLO C#CC[N@@H+](CCCCC1(C#N)CCC1)[C@H]1CCS(=O)(=O)C1 ZINC000929967426 713723855 /nfs/dbraw/zinc/72/38/55/713723855.db2.gz AQLORXVSDIXETQ-HNNXBMFYSA-N 1 2 308.447 1.973 20 30 DDEDLO C#CC[N@H+](CCCCC1(C#N)CCC1)[C@H]1CCS(=O)(=O)C1 ZINC000929967426 713723856 /nfs/dbraw/zinc/72/38/56/713723856.db2.gz AQLORXVSDIXETQ-HNNXBMFYSA-N 1 2 308.447 1.973 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](C[C@H]3CCC4(COC4)O3)CCO2)c1 ZINC000930136179 713764818 /nfs/dbraw/zinc/76/48/18/713764818.db2.gz RWJZHAPPWTUQDE-SJORKVTESA-N 1 2 314.385 1.880 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](C[C@H]3CCC4(COC4)O3)CCO2)c1 ZINC000930136179 713764821 /nfs/dbraw/zinc/76/48/21/713764821.db2.gz RWJZHAPPWTUQDE-SJORKVTESA-N 1 2 314.385 1.880 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)N[C@@H](C#N)C2CCCC2)[nH]n1 ZINC000921555576 713807009 /nfs/dbraw/zinc/80/70/09/713807009.db2.gz PIVJGCUQCBZSBH-LSDHHAIUSA-N 1 2 301.394 1.989 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)N[C@@H](C#N)C2CCCC2)[nH]n1 ZINC000921555576 713807011 /nfs/dbraw/zinc/80/70/11/713807011.db2.gz PIVJGCUQCBZSBH-LSDHHAIUSA-N 1 2 301.394 1.989 20 30 DDEDLO N#Cc1cc(Cl)cc(C[N@@H+]2CC[C@@H]3OCC(=O)N[C@H]3C2)c1 ZINC000930680129 713894263 /nfs/dbraw/zinc/89/42/63/713894263.db2.gz YMEGQSKAGFPLLO-KBPBESRZSA-N 1 2 305.765 1.301 20 30 DDEDLO N#Cc1cc(Cl)cc(C[N@H+]2CC[C@@H]3OCC(=O)N[C@H]3C2)c1 ZINC000930680129 713894264 /nfs/dbraw/zinc/89/42/64/713894264.db2.gz YMEGQSKAGFPLLO-KBPBESRZSA-N 1 2 305.765 1.301 20 30 DDEDLO C#CCC1(NS(=O)(=O)c2cccc3c2CC[N@@H+](C)C3)CCC1 ZINC000922044417 713945308 /nfs/dbraw/zinc/94/53/08/713945308.db2.gz OOOSUHORAAGJPC-UHFFFAOYSA-N 1 2 318.442 1.899 20 30 DDEDLO C#CCC1(NS(=O)(=O)c2cccc3c2CC[N@H+](C)C3)CCC1 ZINC000922044417 713945309 /nfs/dbraw/zinc/94/53/09/713945309.db2.gz OOOSUHORAAGJPC-UHFFFAOYSA-N 1 2 318.442 1.899 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H]1c1ccnn1C ZINC000932269266 714296755 /nfs/dbraw/zinc/29/67/55/714296755.db2.gz INTNNVQIXHTYFY-DZGCQCFKSA-N 1 2 303.410 1.565 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H]1c1ccnn1C ZINC000932269266 714296757 /nfs/dbraw/zinc/29/67/57/714296757.db2.gz INTNNVQIXHTYFY-DZGCQCFKSA-N 1 2 303.410 1.565 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000932362031 714317847 /nfs/dbraw/zinc/31/78/47/714317847.db2.gz ZMPAHMLGXBTQFL-SWLSCSKDSA-N 1 2 301.394 1.525 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[NH2+][C@@H](c2ncccn2)C2CC2)cc1 ZINC000932359619 714317920 /nfs/dbraw/zinc/31/79/20/714317920.db2.gz GXXLTCJXVZSBAJ-QGZVFWFLSA-N 1 2 321.384 1.705 20 30 DDEDLO C#CC[N@H+](CC(=O)N[C@H](Cc1ccccc1)C(C)=O)C(C)C ZINC000932394397 714322347 /nfs/dbraw/zinc/32/23/47/714322347.db2.gz JYLVQIXIKACRBK-QGZVFWFLSA-N 1 2 300.402 1.647 20 30 DDEDLO C#CC[N@@H+](CC(=O)N[C@H](Cc1ccccc1)C(C)=O)C(C)C ZINC000932394397 714322348 /nfs/dbraw/zinc/32/23/48/714322348.db2.gz JYLVQIXIKACRBK-QGZVFWFLSA-N 1 2 300.402 1.647 20 30 DDEDLO C#CC[N@@H+](Cc1nnc2n1c1ccccc1c(=O)n2C)C(C)(C)C ZINC000932465468 714337721 /nfs/dbraw/zinc/33/77/21/714337721.db2.gz HGDIUUINEVFVCQ-UHFFFAOYSA-N 1 2 323.400 1.815 20 30 DDEDLO C#CC[N@H+](Cc1nnc2n1c1ccccc1c(=O)n2C)C(C)(C)C ZINC000932465468 714337722 /nfs/dbraw/zinc/33/77/22/714337722.db2.gz HGDIUUINEVFVCQ-UHFFFAOYSA-N 1 2 323.400 1.815 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc([S@@](C)=O)cc2)C1 ZINC000923588709 714419226 /nfs/dbraw/zinc/41/92/26/714419226.db2.gz HVKRHGXQBPELRE-SPLOXXLWSA-N 1 2 304.415 1.251 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc([S@@](C)=O)cc2)C1 ZINC000923588709 714419229 /nfs/dbraw/zinc/41/92/29/714419229.db2.gz HVKRHGXQBPELRE-SPLOXXLWSA-N 1 2 304.415 1.251 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2[nH]c3c(c2C)C(=O)CCC3)C1 ZINC000923590800 714420585 /nfs/dbraw/zinc/42/05/85/714420585.db2.gz DEXHLWOSBYEBGT-ZDUSSCGKSA-N 1 2 313.401 1.670 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]c3c(c2C)C(=O)CCC3)C1 ZINC000923590800 714420586 /nfs/dbraw/zinc/42/05/86/714420586.db2.gz DEXHLWOSBYEBGT-ZDUSSCGKSA-N 1 2 313.401 1.670 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)N[C@H](CC#N)C(F)(F)F ZINC000932886437 714430798 /nfs/dbraw/zinc/43/07/98/714430798.db2.gz BDWWRAJLUFKOEL-WDEREUQCSA-N 1 2 322.331 1.193 20 30 DDEDLO C=CC[C@@H]1CC[N@H+]1CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000934471016 714828430 /nfs/dbraw/zinc/82/84/30/714828430.db2.gz GPGSNUOGJJFWMC-SNVBAGLBSA-N 1 2 319.317 1.912 20 30 DDEDLO C=CC[C@@H]1CC[N@@H+]1CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000934471016 714828434 /nfs/dbraw/zinc/82/84/34/714828434.db2.gz GPGSNUOGJJFWMC-SNVBAGLBSA-N 1 2 319.317 1.912 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCOC[C@@H]2CC2CCOCC2)C1=O ZINC000934636739 714867563 /nfs/dbraw/zinc/86/75/63/714867563.db2.gz RZNHLHVUYZMWHD-JKSUJKDBSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCOC[C@@H]2CC2CCOCC2)C1=O ZINC000934636739 714867564 /nfs/dbraw/zinc/86/75/64/714867564.db2.gz RZNHLHVUYZMWHD-JKSUJKDBSA-N 1 2 308.422 1.291 20 30 DDEDLO CC[C@H]([NH2+]Cc1nnnn1CC1CC1)c1cccc(C#N)c1O ZINC000926197502 715027084 /nfs/dbraw/zinc/02/70/84/715027084.db2.gz FDYGHRBKRYHUQZ-AWEZNQCLSA-N 1 2 312.377 1.901 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000935699794 715119207 /nfs/dbraw/zinc/11/92/07/715119207.db2.gz ZBHROCJPJAXZEZ-XHSDSOJGSA-N 1 2 308.422 1.433 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000938531975 715891545 /nfs/dbraw/zinc/89/15/45/715891545.db2.gz SPKJKOOWSWPWPA-BLLLJJGKSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2csc(COC)n2)C1 ZINC000957448356 715905894 /nfs/dbraw/zinc/90/58/94/715905894.db2.gz CROOHNDNBGCUFU-UHFFFAOYSA-N 1 2 307.419 1.459 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000957631500 715976826 /nfs/dbraw/zinc/97/68/26/715976826.db2.gz JCBVXTNDQQNZFY-UHFFFAOYSA-N 1 2 302.374 1.792 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2csc3nccn23)CC1 ZINC000957667460 715994751 /nfs/dbraw/zinc/99/47/51/715994751.db2.gz CSAOOCLEEOYGOY-UHFFFAOYSA-N 1 2 302.403 1.106 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ccc(Cl)o2)C1 ZINC000957881946 716215964 /nfs/dbraw/zinc/21/59/64/716215964.db2.gz HUWGHPCXQKNGDP-VHSXEESVSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ccc(Cl)o2)C1 ZINC000957881946 716215966 /nfs/dbraw/zinc/21/59/66/716215966.db2.gz HUWGHPCXQKNGDP-VHSXEESVSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cnc(C)s2)C1 ZINC000957923075 716232628 /nfs/dbraw/zinc/23/26/28/716232628.db2.gz TUUPCKADQYNSLV-WDEREUQCSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cnc(C)s2)C1 ZINC000957923075 716232629 /nfs/dbraw/zinc/23/26/29/716232629.db2.gz TUUPCKADQYNSLV-WDEREUQCSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2ncoc2CC)[C@H](O)C1 ZINC000957936092 716239070 /nfs/dbraw/zinc/23/90/70/716239070.db2.gz RZEYSBKVJLVTJU-GHMZBOCLSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2ncoc2CC)[C@H](O)C1 ZINC000957936092 716239073 /nfs/dbraw/zinc/23/90/73/716239073.db2.gz RZEYSBKVJLVTJU-GHMZBOCLSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2csnc2C)C1 ZINC000957983048 716262349 /nfs/dbraw/zinc/26/23/49/716262349.db2.gz QGMUXJHWNBWKIC-PWSUYJOCSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2csnc2C)C1 ZINC000957983048 716262352 /nfs/dbraw/zinc/26/23/52/716262352.db2.gz QGMUXJHWNBWKIC-PWSUYJOCSA-N 1 2 315.826 1.227 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@H]1C[NH2+]Cc1nc(C2CC2)no1 ZINC000959924430 716358079 /nfs/dbraw/zinc/35/80/79/716358079.db2.gz KJKYTOPPQGZSEL-YPMHNXCESA-N 1 2 317.393 1.577 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)C[C@H]1C ZINC000939728030 716396413 /nfs/dbraw/zinc/39/64/13/716396413.db2.gz NIADZDPAFXHRPO-YMTOWFKASA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2occ3c2CCC3)[C@H](O)C1 ZINC000958290663 716453711 /nfs/dbraw/zinc/45/37/11/716453711.db2.gz FJDFBASICJGHTK-TZMCWYRMSA-N 1 2 324.808 1.543 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2occ3c2CCC3)[C@H](O)C1 ZINC000958290663 716453713 /nfs/dbraw/zinc/45/37/13/716453713.db2.gz FJDFBASICJGHTK-TZMCWYRMSA-N 1 2 324.808 1.543 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2cnoc2CC)C1 ZINC000958448769 716563801 /nfs/dbraw/zinc/56/38/01/716563801.db2.gz PXAASZQJPAIDAN-JQWIXIFHSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2cnoc2CC)C1 ZINC000958448769 716563804 /nfs/dbraw/zinc/56/38/04/716563804.db2.gz PXAASZQJPAIDAN-JQWIXIFHSA-N 1 2 313.785 1.012 20 30 DDEDLO CC(C)n1cc(C[N@H+](C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)nn1 ZINC000960500500 716582557 /nfs/dbraw/zinc/58/25/57/716582557.db2.gz GRILRXMAMRXADC-UKRRQHHQSA-N 1 2 318.425 1.441 20 30 DDEDLO CC(C)n1cc(C[N@@H+](C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)nn1 ZINC000960500500 716582560 /nfs/dbraw/zinc/58/25/60/716582560.db2.gz GRILRXMAMRXADC-UKRRQHHQSA-N 1 2 318.425 1.441 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC000960621755 716635152 /nfs/dbraw/zinc/63/51/52/716635152.db2.gz FCDQWFKYSMKARR-HNNXBMFYSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC000960621755 716635156 /nfs/dbraw/zinc/63/51/56/716635156.db2.gz FCDQWFKYSMKARR-HNNXBMFYSA-N 1 2 301.390 1.819 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2cc(Cl)no2)C1 ZINC000958602422 716654820 /nfs/dbraw/zinc/65/48/20/716654820.db2.gz GDCYEHGGYSBMHP-BDAKNGLRSA-N 1 2 320.176 1.103 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2cc(Cl)no2)C1 ZINC000958602422 716654824 /nfs/dbraw/zinc/65/48/24/716654824.db2.gz GDCYEHGGYSBMHP-BDAKNGLRSA-N 1 2 320.176 1.103 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](NC(=O)c2cncc3nc[nH]c32)C(C)(C)C1 ZINC000940769187 716950604 /nfs/dbraw/zinc/95/06/04/716950604.db2.gz RRUIUMCZJSZSKU-CQSZACIVSA-N 1 2 313.405 1.974 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](NC(=O)c2cncc3nc[nH]c32)C(C)(C)C1 ZINC000940769187 716950606 /nfs/dbraw/zinc/95/06/06/716950606.db2.gz RRUIUMCZJSZSKU-CQSZACIVSA-N 1 2 313.405 1.974 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccn4C)C[C@H]32)C1 ZINC000961689914 717059875 /nfs/dbraw/zinc/05/98/75/717059875.db2.gz JMDAGLFBZIZIRY-NHAGDIPZSA-N 1 2 300.406 1.323 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccn4C)C[C@H]32)C1 ZINC000961689914 717059878 /nfs/dbraw/zinc/05/98/78/717059878.db2.gz JMDAGLFBZIZIRY-NHAGDIPZSA-N 1 2 300.406 1.323 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCN(C2C[NH+](Cc3ccsc3)C2)CC1 ZINC000941348891 717159394 /nfs/dbraw/zinc/15/93/94/717159394.db2.gz SGXAIQIGNBGMPY-CYBMUJFWSA-N 1 2 318.446 1.236 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(CC)s3)CC2)C1 ZINC000941476150 717184233 /nfs/dbraw/zinc/18/42/33/717184233.db2.gz OSYYAXPDHWEXED-UHFFFAOYSA-N 1 2 317.458 1.386 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@H]3CC(C)C)CC2)C1 ZINC000941574930 717216374 /nfs/dbraw/zinc/21/63/74/717216374.db2.gz BIUHAVRTPZDHPB-SJLPKXTDSA-N 1 2 317.477 1.520 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC000941679468 717253633 /nfs/dbraw/zinc/25/36/33/717253633.db2.gz MWNSGHGMETVJLM-DYVFJYSZSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncccc2O)[C@@H](C)C1 ZINC000941990480 717421101 /nfs/dbraw/zinc/42/11/01/717421101.db2.gz ZFRYERBIMALGAA-CMPLNLGQSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncccc2O)[C@@H](C)C1 ZINC000941990480 717421105 /nfs/dbraw/zinc/42/11/05/717421105.db2.gz ZFRYERBIMALGAA-CMPLNLGQSA-N 1 2 309.797 1.980 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC000964986683 717498808 /nfs/dbraw/zinc/49/88/08/717498808.db2.gz GZWMIEIOTFEYQP-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)C1 ZINC000942357735 717634711 /nfs/dbraw/zinc/63/47/11/717634711.db2.gz IOWPQCXOPCAQNW-CQSZACIVSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)C1 ZINC000942357735 717634713 /nfs/dbraw/zinc/63/47/13/717634713.db2.gz IOWPQCXOPCAQNW-CQSZACIVSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)Cn2c[nH+]cc2C)[C@H](C)C1 ZINC000942517906 717714395 /nfs/dbraw/zinc/71/43/95/717714395.db2.gz ISADXSQESLLFSW-RISCZKNCSA-N 1 2 310.829 1.771 20 30 DDEDLO C[C@@H]1CCN(CC#N)C[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000967498937 718917926 /nfs/dbraw/zinc/91/79/26/718917926.db2.gz QDGQDCSCEWRVHT-RHSMWYFYSA-N 1 2 323.400 1.836 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1ccon1 ZINC000947217040 719076368 /nfs/dbraw/zinc/07/63/68/719076368.db2.gz JNABCUKKJJSUSW-NEPJUHHUSA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1ccon1 ZINC000947217040 719076371 /nfs/dbraw/zinc/07/63/71/719076371.db2.gz JNABCUKKJJSUSW-NEPJUHHUSA-N 1 2 313.361 1.657 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@@H]1CCN(CC#N)[C@H](C)C1 ZINC000947436525 719162478 /nfs/dbraw/zinc/16/24/78/719162478.db2.gz JZGZGOMDOYEILX-TZMCWYRMSA-N 1 2 302.378 1.125 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cn(C)nn3)[C@@H](C)C2)C1 ZINC000947701464 719248049 /nfs/dbraw/zinc/24/80/49/719248049.db2.gz CFHZXVFCNYMKDF-UONOGXRCSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cn(C)nn3)[C@@H](C)C2)C1 ZINC000947701464 719248050 /nfs/dbraw/zinc/24/80/50/719248050.db2.gz CFHZXVFCNYMKDF-UONOGXRCSA-N 1 2 317.437 1.641 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC000968466789 719602424 /nfs/dbraw/zinc/60/24/24/719602424.db2.gz IITQTMYIFJECKI-BXKDBHETSA-N 1 2 314.349 1.143 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC000948927387 719789902 /nfs/dbraw/zinc/78/99/02/719789902.db2.gz AQSAIPXKACNLMH-OAHLLOKOSA-N 1 2 324.384 1.386 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC000948927387 719789904 /nfs/dbraw/zinc/78/99/04/719789904.db2.gz AQSAIPXKACNLMH-OAHLLOKOSA-N 1 2 324.384 1.386 20 30 DDEDLO C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)[C@H]1CCCS1(=O)=O ZINC000969153820 719980428 /nfs/dbraw/zinc/98/04/28/719980428.db2.gz PMENBLICDKNDJS-UONOGXRCSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CC[N@H+]1CCCCC[C@H]1CNC(=O)[C@H]1CCCS1(=O)=O ZINC000969153820 719980431 /nfs/dbraw/zinc/98/04/31/719980431.db2.gz PMENBLICDKNDJS-UONOGXRCSA-N 1 2 314.451 1.110 20 30 DDEDLO CC[C@H](F)C[N@@H+]1CCOC2(CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000949320475 720015685 /nfs/dbraw/zinc/01/56/85/720015685.db2.gz NUZXWZGBNIRMDM-KBPBESRZSA-N 1 2 311.401 1.588 20 30 DDEDLO CC[C@H](F)C[N@H+]1CCOC2(CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000949320475 720015688 /nfs/dbraw/zinc/01/56/88/720015688.db2.gz NUZXWZGBNIRMDM-KBPBESRZSA-N 1 2 311.401 1.588 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2c(C)nc(CC)nc2C)CC1 ZINC000949364024 720041223 /nfs/dbraw/zinc/04/12/23/720041223.db2.gz ZIFPDEFEELHISQ-UHFFFAOYSA-N 1 2 302.422 1.529 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@H](C)C3)CC2)C1 ZINC000949487121 720117423 /nfs/dbraw/zinc/11/74/23/720117423.db2.gz NPKNNMHQMKEEIF-HOTGVXAUSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@H](C)C3)CC2)C1 ZINC000949487121 720117427 /nfs/dbraw/zinc/11/74/27/720117427.db2.gz NPKNNMHQMKEEIF-HOTGVXAUSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3nc(C)oc3C)CC2)C1 ZINC000949500710 720125573 /nfs/dbraw/zinc/12/55/73/720125573.db2.gz LQSLYRHYWFETKK-UHFFFAOYSA-N 1 2 317.389 1.232 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3nc(C)oc3C)CC2)C1 ZINC000949500710 720125575 /nfs/dbraw/zinc/12/55/75/720125575.db2.gz LQSLYRHYWFETKK-UHFFFAOYSA-N 1 2 317.389 1.232 20 30 DDEDLO CC#CCN1CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000949523387 720139373 /nfs/dbraw/zinc/13/93/73/720139373.db2.gz QBDDQRFUVBVTQB-UHFFFAOYSA-N 1 2 308.385 1.653 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCCN2C(=O)C(C)(C)C)C1 ZINC000950389344 720610997 /nfs/dbraw/zinc/61/09/97/720610997.db2.gz SIQJYCFDLWNZMM-OAHLLOKOSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)N(C(CC)CC)C2)C1 ZINC000950462799 720643520 /nfs/dbraw/zinc/64/35/20/720643520.db2.gz PODNJPIKEIWAAQ-AWEZNQCLSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnn3cccnc23)C1 ZINC000970253259 720679704 /nfs/dbraw/zinc/67/97/04/720679704.db2.gz KBFSCLDQULBRTG-NSHDSACASA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccnn2CCOC)C1 ZINC000950589401 720696062 /nfs/dbraw/zinc/69/60/62/720696062.db2.gz BZFMAMGSJRNIBG-UHFFFAOYSA-N 1 2 306.410 1.252 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC000970734288 720914073 /nfs/dbraw/zinc/91/40/73/720914073.db2.gz DAWLNIUCHQLFMA-GHMZBOCLSA-N 1 2 323.828 1.099 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(-c3ccncc3)on2)C1 ZINC000951573842 721081240 /nfs/dbraw/zinc/08/12/40/721081240.db2.gz OFLKHBCFDRCIIC-UHFFFAOYSA-N 1 2 324.384 1.906 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(Cn2cccn2)o1 ZINC001038281922 735290280 /nfs/dbraw/zinc/29/02/80/735290280.db2.gz RGHALGYPCZFONE-CYBMUJFWSA-N 1 2 300.362 1.515 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(Cn2cccn2)o1 ZINC001038281922 735290284 /nfs/dbraw/zinc/29/02/84/735290284.db2.gz RGHALGYPCZFONE-CYBMUJFWSA-N 1 2 300.362 1.515 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc2c1OCCO2 ZINC001038325458 736426934 /nfs/dbraw/zinc/42/69/34/736426934.db2.gz DOPSRUSANPNUMH-CYBMUJFWSA-N 1 2 300.358 1.285 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc2c1OCCO2 ZINC001038325458 736426935 /nfs/dbraw/zinc/42/69/35/736426935.db2.gz DOPSRUSANPNUMH-CYBMUJFWSA-N 1 2 300.358 1.285 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)c[nH]1 ZINC001038175711 732660465 /nfs/dbraw/zinc/66/04/65/732660465.db2.gz STLTTWTZMAJZPL-KRWDZBQOSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)c[nH]1 ZINC001038175711 732660466 /nfs/dbraw/zinc/66/04/66/732660466.db2.gz STLTTWTZMAJZPL-KRWDZBQOSA-N 1 2 319.368 1.762 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(CCC)on3)[C@H]2C1 ZINC001083237269 734635465 /nfs/dbraw/zinc/63/54/65/734635465.db2.gz ULEXNRRVTCVVNE-JKSUJKDBSA-N 1 2 317.389 1.176 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(CCC)on3)[C@H]2C1 ZINC001083237269 734635467 /nfs/dbraw/zinc/63/54/67/734635467.db2.gz ULEXNRRVTCVVNE-JKSUJKDBSA-N 1 2 317.389 1.176 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)c2ccsc2)C1=O ZINC001038041990 734655229 /nfs/dbraw/zinc/65/52/29/734655229.db2.gz JSEQYVNQNOJREU-KGLIPLIRSA-N 1 2 319.430 1.339 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)c2ccsc2)C1=O ZINC001038041990 734655230 /nfs/dbraw/zinc/65/52/30/734655230.db2.gz JSEQYVNQNOJREU-KGLIPLIRSA-N 1 2 319.430 1.339 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1[nH]nc(CC)c1Cl ZINC001027938739 738838703 /nfs/dbraw/zinc/83/87/03/738838703.db2.gz WLMLBJMSFSGFDX-NSHDSACASA-N 1 2 308.813 1.843 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H]1CNC(=O)c1[nH]nc(CC)c1Cl ZINC001027938739 738838707 /nfs/dbraw/zinc/83/87/07/738838707.db2.gz WLMLBJMSFSGFDX-NSHDSACASA-N 1 2 308.813 1.843 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@H](C)c3ccco3)[C@H]2C1 ZINC001083247879 734805674 /nfs/dbraw/zinc/80/56/74/734805674.db2.gz ICEWSCVLPMIHSW-OFQRWUPVSA-N 1 2 302.374 1.318 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@H](C)c3ccco3)[C@H]2C1 ZINC001083247879 734805677 /nfs/dbraw/zinc/80/56/77/734805677.db2.gz ICEWSCVLPMIHSW-OFQRWUPVSA-N 1 2 302.374 1.318 20 30 DDEDLO C[C@H]1CN(c2ccc(C#N)nc2)CC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067440945 735014897 /nfs/dbraw/zinc/01/48/97/735014897.db2.gz RLULAUSEWWESEL-BLLLJJGKSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC34CCOCC4)C2)C1 ZINC000972706633 735556073 /nfs/dbraw/zinc/55/60/73/735556073.db2.gz BTKFIUPSLJOJNM-QAPCUYQASA-N 1 2 320.433 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC34CCOCC4)C2)C1 ZINC000972706633 735556075 /nfs/dbraw/zinc/55/60/75/735556075.db2.gz BTKFIUPSLJOJNM-QAPCUYQASA-N 1 2 320.433 1.292 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]2[C@@H](NC(=O)c3cn[nH]n3)C(C)(C)[C@H]21 ZINC001087293742 735962739 /nfs/dbraw/zinc/96/27/39/735962739.db2.gz JFPPRRKTNKVEOR-RTXFEEFZSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]2[C@@H](NC(=O)c3cn[nH]n3)C(C)(C)[C@H]21 ZINC001087293742 735962742 /nfs/dbraw/zinc/96/27/42/735962742.db2.gz JFPPRRKTNKVEOR-RTXFEEFZSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]2[C@@H](NC(=O)c3cnn[nH]3)C(C)(C)[C@H]21 ZINC001087293742 735962746 /nfs/dbraw/zinc/96/27/46/735962746.db2.gz JFPPRRKTNKVEOR-RTXFEEFZSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]2[C@@H](NC(=O)c3cnn[nH]3)C(C)(C)[C@H]21 ZINC001087293742 735962750 /nfs/dbraw/zinc/96/27/50/735962750.db2.gz JFPPRRKTNKVEOR-RTXFEEFZSA-N 1 2 323.828 1.776 20 30 DDEDLO CC1(C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H]2CCCN(CC#N)[C@H]21 ZINC001087306926 736012705 /nfs/dbraw/zinc/01/27/05/736012705.db2.gz QNEJNONRJOFEFW-NWANDNLSSA-N 1 2 301.394 1.081 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](NC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)cn1 ZINC001058591175 736026262 /nfs/dbraw/zinc/02/62/62/736026262.db2.gz YGNJCRNXOGXGDR-BPLDGKMQSA-N 1 2 322.372 1.175 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](NC(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)cn1 ZINC001058591175 736026265 /nfs/dbraw/zinc/02/62/65/736026265.db2.gz YGNJCRNXOGXGDR-BPLDGKMQSA-N 1 2 322.372 1.175 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@H]2CNC(=O)c2ncn[nH]2)cc1 ZINC001024718530 736044496 /nfs/dbraw/zinc/04/44/96/736044496.db2.gz UXAXURLARQAPJV-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@H]2CNC(=O)c2ncn[nH]2)cc1 ZINC001024718530 736044502 /nfs/dbraw/zinc/04/45/02/736044502.db2.gz UXAXURLARQAPJV-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001024733839 736054877 /nfs/dbraw/zinc/05/48/77/736054877.db2.gz OREHCAZDBKMISC-GFCCVEGCSA-N 1 2 324.812 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001024733839 736054880 /nfs/dbraw/zinc/05/48/80/736054880.db2.gz OREHCAZDBKMISC-GFCCVEGCSA-N 1 2 324.812 1.450 20 30 DDEDLO C=CC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001024755554 736069478 /nfs/dbraw/zinc/06/94/78/736069478.db2.gz JWANUOYWPKBILJ-QWHCGFSZSA-N 1 2 303.410 1.066 20 30 DDEDLO C=CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001024755554 736069484 /nfs/dbraw/zinc/06/94/84/736069484.db2.gz JWANUOYWPKBILJ-QWHCGFSZSA-N 1 2 303.410 1.066 20 30 DDEDLO C=CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001024755554 736069496 /nfs/dbraw/zinc/06/94/96/736069496.db2.gz JWANUOYWPKBILJ-QWHCGFSZSA-N 1 2 303.410 1.066 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001025225545 736375006 /nfs/dbraw/zinc/37/50/06/736375006.db2.gz FTFBSHYGDBXXJD-CABCVRRESA-N 1 2 315.421 1.711 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)Cc1ncn[nH]1 ZINC001025288696 736408767 /nfs/dbraw/zinc/40/87/67/736408767.db2.gz VWKGIBPNINYPBL-CABCVRRESA-N 1 2 315.421 1.216 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)Cc1ncn[nH]1 ZINC001025288696 736408770 /nfs/dbraw/zinc/40/87/70/736408770.db2.gz VWKGIBPNINYPBL-CABCVRRESA-N 1 2 315.421 1.216 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccnc2n[nH]nc21 ZINC001028015032 738936413 /nfs/dbraw/zinc/93/64/13/738936413.db2.gz SXOORVMGGZBJMT-SNVBAGLBSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccnc2n[nH]nc21 ZINC001028015032 738936417 /nfs/dbraw/zinc/93/64/17/738936417.db2.gz SXOORVMGGZBJMT-SNVBAGLBSA-N 1 2 320.784 1.300 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C[C@@H]3C=CCC3)C2)nn1 ZINC001098607920 737222395 /nfs/dbraw/zinc/22/23/95/737222395.db2.gz IWZZMYPOFKUDGV-GDBMZVCRSA-N 1 2 313.405 1.131 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CNC(=O)c1scnc1C(F)(F)F ZINC001038362624 737321344 /nfs/dbraw/zinc/32/13/44/737321344.db2.gz RRCFVDYNOMGRJJ-VIFPVBQESA-N 1 2 317.336 1.989 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1scnc1C(F)(F)F ZINC001038362624 737321348 /nfs/dbraw/zinc/32/13/48/737321348.db2.gz RRCFVDYNOMGRJJ-VIFPVBQESA-N 1 2 317.336 1.989 20 30 DDEDLO C=CCOCC(=O)N[C@H](CNc1cc[nH+]c(C)n1)CC(C)C ZINC001104917519 737367842 /nfs/dbraw/zinc/36/78/42/737367842.db2.gz XOXHNBCNBQVJKR-AWEZNQCLSA-N 1 2 306.410 1.930 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H](Nc1cc[nH+]c(C)n1)C(C)C ZINC001105225302 737632479 /nfs/dbraw/zinc/63/24/79/737632479.db2.gz OKSAIXZUWZOZMQ-OCCSQVGLSA-N 1 2 304.394 1.376 20 30 DDEDLO CN(CCN(C)c1ccc(C#N)nc1)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001105595864 742145858 /nfs/dbraw/zinc/14/58/58/742145858.db2.gz OAXFWANDOWIBGR-HUUCEWRRSA-N 1 2 324.388 1.375 20 30 DDEDLO CN(CCN(C)c1ccc(C#N)nc1)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001105595864 742145861 /nfs/dbraw/zinc/14/58/61/742145861.db2.gz OAXFWANDOWIBGR-HUUCEWRRSA-N 1 2 324.388 1.375 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+][C@@H](C)c1nc(C)no1 ZINC001149129575 738378955 /nfs/dbraw/zinc/37/89/55/738378955.db2.gz FOTKHMICMLXZFW-LBPRGKRZSA-N 1 2 312.373 1.369 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)[C@@H](C)CC)C2)nn1 ZINC001105354393 738990170 /nfs/dbraw/zinc/99/01/70/738990170.db2.gz JPGUSOCTYKKWNE-STQMWFEESA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098196741 739020248 /nfs/dbraw/zinc/02/02/48/739020248.db2.gz VEMFAPYRVBNDCQ-NEPJUHHUSA-N 1 2 320.441 1.765 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1nccn1C ZINC001028142812 739087336 /nfs/dbraw/zinc/08/73/36/739087336.db2.gz QRYFQUQAXXMZSY-KFWWJZLASA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1nccn1C ZINC001028142812 739087337 /nfs/dbraw/zinc/08/73/37/739087337.db2.gz QRYFQUQAXXMZSY-KFWWJZLASA-N 1 2 318.421 1.092 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@H+]2Cc2cc(C)on2)cn1 ZINC001028273054 739263078 /nfs/dbraw/zinc/26/30/78/739263078.db2.gz YRYBLSXXXOXPBD-QGZVFWFLSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2cc(C)on2)cn1 ZINC001028273054 739263081 /nfs/dbraw/zinc/26/30/81/739263081.db2.gz YRYBLSXXXOXPBD-QGZVFWFLSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001028338213 739375419 /nfs/dbraw/zinc/37/54/19/739375419.db2.gz ZRKHYJNIOIQIHZ-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001028338213 739375421 /nfs/dbraw/zinc/37/54/21/739375421.db2.gz ZRKHYJNIOIQIHZ-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3C=CC=CC=C3)C2)nn1 ZINC001098681443 739721342 /nfs/dbraw/zinc/72/13/42/739721342.db2.gz STIGSIIETYRTDF-QGZVFWFLSA-N 1 2 323.400 1.073 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)CCCN(C)c1cc[nH+]c(C)n1 ZINC001112125530 739721546 /nfs/dbraw/zinc/72/15/46/739721546.db2.gz HELLDJVHFRTYKP-CYBMUJFWSA-N 1 2 304.394 1.108 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001035427863 751485460 /nfs/dbraw/zinc/48/54/60/751485460.db2.gz SPRKPXJLEMOYRP-HNNXBMFYSA-N 1 2 320.437 1.645 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001035427863 751485463 /nfs/dbraw/zinc/48/54/63/751485463.db2.gz SPRKPXJLEMOYRP-HNNXBMFYSA-N 1 2 320.437 1.645 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001035428365 751486364 /nfs/dbraw/zinc/48/63/64/751486364.db2.gz YSFXNHAGJXKERC-HNNXBMFYSA-N 1 2 318.421 1.092 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001035428365 751486366 /nfs/dbraw/zinc/48/63/66/751486366.db2.gz YSFXNHAGJXKERC-HNNXBMFYSA-N 1 2 318.421 1.092 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc3c(cn2)CCCC3)C1 ZINC001035439640 751514876 /nfs/dbraw/zinc/51/48/76/751514876.db2.gz DUBZRUAHZSNGFD-MRXNPFEDSA-N 1 2 315.417 1.577 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc3c(cn2)CCCC3)C1 ZINC001035439640 751514878 /nfs/dbraw/zinc/51/48/78/751514878.db2.gz DUBZRUAHZSNGFD-MRXNPFEDSA-N 1 2 315.417 1.577 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ncc(Cl)s2)C1 ZINC001035447384 751524704 /nfs/dbraw/zinc/52/47/04/751524704.db2.gz HHNOPAVQZLJXRY-JTQLQIEISA-N 1 2 313.810 1.250 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ncc(Cl)s2)C1 ZINC001035447384 751524709 /nfs/dbraw/zinc/52/47/09/751524709.db2.gz HHNOPAVQZLJXRY-JTQLQIEISA-N 1 2 313.810 1.250 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)n(C(CC)CC)n1 ZINC001038435054 740456588 /nfs/dbraw/zinc/45/65/88/740456588.db2.gz MWSHGIAMESOLSN-OAHLLOKOSA-N 1 2 302.422 1.990 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)n(C(CC)CC)n1 ZINC001038435054 740456592 /nfs/dbraw/zinc/45/65/92/740456592.db2.gz MWSHGIAMESOLSN-OAHLLOKOSA-N 1 2 302.422 1.990 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccnc3ccncc32)C1 ZINC001035461207 751546775 /nfs/dbraw/zinc/54/67/75/751546775.db2.gz PCBVCWWTKVGWHY-CQSZACIVSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccnc3ccncc32)C1 ZINC001035461207 751546781 /nfs/dbraw/zinc/54/67/81/751546781.db2.gz PCBVCWWTKVGWHY-CQSZACIVSA-N 1 2 324.384 1.084 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(OC)cs2)C1 ZINC001035466123 751550842 /nfs/dbraw/zinc/55/08/42/751550842.db2.gz FUOFXOFAVOJSMR-ZDUSSCGKSA-N 1 2 310.419 1.763 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(OC)cs2)C1 ZINC001035466123 751550846 /nfs/dbraw/zinc/55/08/46/751550846.db2.gz FUOFXOFAVOJSMR-ZDUSSCGKSA-N 1 2 310.419 1.763 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ocnc2C2CC2)C1 ZINC001035509156 751563707 /nfs/dbraw/zinc/56/37/07/751563707.db2.gz BNYKSGMGKLENJP-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ocnc2C2CC2)C1 ZINC001035509156 751563716 /nfs/dbraw/zinc/56/37/16/751563716.db2.gz BNYKSGMGKLENJP-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098703767 740654628 /nfs/dbraw/zinc/65/46/28/740654628.db2.gz AXKJQMWUCRYOAV-DZGCQCFKSA-N 1 2 316.405 1.251 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)[C@H]1CC ZINC001087572441 740661153 /nfs/dbraw/zinc/66/11/53/740661153.db2.gz REYPXRUWXSFUFN-RRQGHBQHSA-N 1 2 314.433 1.822 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)[C@H]1CC ZINC001087572441 740661154 /nfs/dbraw/zinc/66/11/54/740661154.db2.gz REYPXRUWXSFUFN-RRQGHBQHSA-N 1 2 314.433 1.822 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)C ZINC001029420080 740751539 /nfs/dbraw/zinc/75/15/39/740751539.db2.gz RFLLMBFHESNROD-OKILXGFUSA-N 1 2 307.438 1.352 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(C)C ZINC001029420080 740751542 /nfs/dbraw/zinc/75/15/42/740751542.db2.gz RFLLMBFHESNROD-OKILXGFUSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098710493 740870257 /nfs/dbraw/zinc/87/02/57/740870257.db2.gz DOUQHDCSQROGCX-AWEZNQCLSA-N 1 2 309.389 1.467 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029828639 741364758 /nfs/dbraw/zinc/36/47/58/741364758.db2.gz HHKFPTOMVUWLGF-RHSMWYFYSA-N 1 2 319.453 1.996 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029828639 741364761 /nfs/dbraw/zinc/36/47/61/741364761.db2.gz HHKFPTOMVUWLGF-RHSMWYFYSA-N 1 2 319.453 1.996 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)c(CC)o2)C1 ZINC001035576460 751665041 /nfs/dbraw/zinc/66/50/41/751665041.db2.gz HDIIDMBZDGPKIC-CQSZACIVSA-N 1 2 304.390 1.604 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)c(CC)o2)C1 ZINC001035576460 751665047 /nfs/dbraw/zinc/66/50/47/751665047.db2.gz HDIIDMBZDGPKIC-CQSZACIVSA-N 1 2 304.390 1.604 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)C2CCCCC2)C1=O ZINC001038021415 751679154 /nfs/dbraw/zinc/67/91/54/751679154.db2.gz WPUMSQKKOKRXSN-JKSUJKDBSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)C2CCCCC2)C1=O ZINC001038021415 751679159 /nfs/dbraw/zinc/67/91/59/751679159.db2.gz WPUMSQKKOKRXSN-JKSUJKDBSA-N 1 2 319.449 1.544 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2occ3c2CCCC3)C1 ZINC001035586722 751679570 /nfs/dbraw/zinc/67/95/70/751679570.db2.gz JJGWIKXHIAEKLE-HNNXBMFYSA-N 1 2 316.401 1.612 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2occ3c2CCCC3)C1 ZINC001035586722 751679572 /nfs/dbraw/zinc/67/95/72/751679572.db2.gz JJGWIKXHIAEKLE-HNNXBMFYSA-N 1 2 316.401 1.612 20 30 DDEDLO C#CC[N@H+]1CCC[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001040216873 741947261 /nfs/dbraw/zinc/94/72/61/741947261.db2.gz QPVBOZXOEIIOLS-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CCC[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001040216873 741947264 /nfs/dbraw/zinc/94/72/64/741947264.db2.gz QPVBOZXOEIIOLS-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@H+]1CCC[C@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001040216873 741947268 /nfs/dbraw/zinc/94/72/68/741947268.db2.gz QPVBOZXOEIIOLS-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CCC[C@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001040216873 741947271 /nfs/dbraw/zinc/94/72/71/741947271.db2.gz QPVBOZXOEIIOLS-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C=C/C3CC3)C2)nn1 ZINC001098648844 742055619 /nfs/dbraw/zinc/05/56/19/742055619.db2.gz FUJSRKGJGVDNHA-FYCOFBDGSA-N 1 2 313.405 1.131 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3cccc(C)c3O2)C1 ZINC001035628636 751700614 /nfs/dbraw/zinc/70/06/14/751700614.db2.gz WXMLMHURAFBICK-HZPDHXFCSA-N 1 2 316.401 1.302 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3cccc(C)c3O2)C1 ZINC001035628636 751700618 /nfs/dbraw/zinc/70/06/18/751700618.db2.gz WXMLMHURAFBICK-HZPDHXFCSA-N 1 2 316.401 1.302 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001076302778 742647439 /nfs/dbraw/zinc/64/74/39/742647439.db2.gz YEISMKXKWLEMAW-AWEZNQCLSA-N 1 2 304.394 1.088 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076320882 742664332 /nfs/dbraw/zinc/66/43/32/742664332.db2.gz XFOBTDQHYBTHKB-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO N#Cc1cc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)CC3CC3)C2)ccc1F ZINC001076672032 742895446 /nfs/dbraw/zinc/89/54/46/742895446.db2.gz GIXWTXKLOQZMND-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1cc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)CC3CC3)C2)ccc1F ZINC001076672032 742895458 /nfs/dbraw/zinc/89/54/58/742895458.db2.gz GIXWTXKLOQZMND-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)CC3CC3)C2)s1 ZINC001076672549 742896435 /nfs/dbraw/zinc/89/64/35/742896435.db2.gz YTIMVVRUKOMIRA-ZIAGYGMSSA-N 1 2 305.403 1.081 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)CC3CC3)C2)s1 ZINC001076672549 742896448 /nfs/dbraw/zinc/89/64/48/742896448.db2.gz YTIMVVRUKOMIRA-ZIAGYGMSSA-N 1 2 305.403 1.081 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(N(C)C)nc2)C1 ZINC001108045834 743199192 /nfs/dbraw/zinc/19/91/92/743199192.db2.gz BZMFPMLKYKKOPQ-KRWDZBQOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(N(C)C)nc2)C1 ZINC001108045834 743199193 /nfs/dbraw/zinc/19/91/93/743199193.db2.gz BZMFPMLKYKKOPQ-KRWDZBQOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H](C)OCC)c2C1 ZINC001128245393 743363115 /nfs/dbraw/zinc/36/31/15/743363115.db2.gz NYTLTOQJKRHQNG-ZDUSSCGKSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H](C)OCC)c2C1 ZINC001128245393 743363123 /nfs/dbraw/zinc/36/31/23/743363123.db2.gz NYTLTOQJKRHQNG-ZDUSSCGKSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001181890908 743380119 /nfs/dbraw/zinc/38/01/19/743380119.db2.gz TVGVPALRVARIFX-GFCCVEGCSA-N 1 2 310.423 1.211 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2nc3cnccc3s2)C1 ZINC001077357577 743424075 /nfs/dbraw/zinc/42/40/75/743424075.db2.gz ASDIKBZQMPAGJG-VXGBXAGGSA-N 1 2 318.402 1.042 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2nc3cnccc3s2)C1 ZINC001077357577 743424080 /nfs/dbraw/zinc/42/40/80/743424080.db2.gz ASDIKBZQMPAGJG-VXGBXAGGSA-N 1 2 318.402 1.042 20 30 DDEDLO N#CCSCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001110301078 743628757 /nfs/dbraw/zinc/62/87/57/743628757.db2.gz YTWONYFXWSRVAA-RTXFEEFZSA-N 1 2 322.459 1.621 20 30 DDEDLO N#CCSCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001110301078 743628761 /nfs/dbraw/zinc/62/87/61/743628761.db2.gz YTWONYFXWSRVAA-RTXFEEFZSA-N 1 2 322.459 1.621 20 30 DDEDLO C#CCCCC(=O)NCC[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060235669 743708718 /nfs/dbraw/zinc/70/87/18/743708718.db2.gz QDSYQUMYMFVSJX-AWEZNQCLSA-N 1 2 316.405 1.111 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001060257588 743743282 /nfs/dbraw/zinc/74/32/82/743743282.db2.gz LDYFVJJYGIZSLV-OAHLLOKOSA-N 1 2 324.388 1.065 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([NH2+][C@@H](C)c3nnc(C)o3)C2)CCC1 ZINC001183194243 743794408 /nfs/dbraw/zinc/79/44/08/743794408.db2.gz ZJOXXAAFFLFXIN-GXTWGEPZSA-N 1 2 316.405 1.823 20 30 DDEDLO CCCC#CC(=O)N1CCCn2nnc(Cn3cc[nH+]c3)c2C1 ZINC001184268728 744006920 /nfs/dbraw/zinc/00/69/20/744006920.db2.gz WECMDPIXJRSDMD-UHFFFAOYSA-N 1 2 312.377 1.059 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)c3ccn[nH]3)C2)s1 ZINC001006804090 751885979 /nfs/dbraw/zinc/88/59/79/751885979.db2.gz HUJAYYPNGYJFRK-LLVKDONJSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccn[nH]3)C2)s1 ZINC001006804090 751885988 /nfs/dbraw/zinc/88/59/88/751885988.db2.gz HUJAYYPNGYJFRK-LLVKDONJSA-N 1 2 315.402 1.737 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1ncccn1)CC2 ZINC001035822151 751901846 /nfs/dbraw/zinc/90/18/46/751901846.db2.gz VGNSWIJSRYGXPQ-UHFFFAOYSA-N 1 2 306.797 1.767 20 30 DDEDLO N#Cc1cnnc(-c2ccccc2)c1NC(=O)C[N@H+]1CC[C@@H](O)C1 ZINC001186036047 744330181 /nfs/dbraw/zinc/33/01/81/744330181.db2.gz QPLQTGRFIKZPEV-CQSZACIVSA-N 1 2 323.356 1.020 20 30 DDEDLO N#Cc1cnnc(-c2ccccc2)c1NC(=O)C[N@@H+]1CC[C@@H](O)C1 ZINC001186036047 744330185 /nfs/dbraw/zinc/33/01/85/744330185.db2.gz QPLQTGRFIKZPEV-CQSZACIVSA-N 1 2 323.356 1.020 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2nsc3ccc(C)cc32)C1 ZINC001077510362 744738354 /nfs/dbraw/zinc/73/83/54/744738354.db2.gz QYIGBHRGPMHQHJ-CHWSQXEVSA-N 1 2 315.398 1.013 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2nsc3ccc(C)cc32)C1 ZINC001077510362 744738355 /nfs/dbraw/zinc/73/83/55/744738355.db2.gz QYIGBHRGPMHQHJ-CHWSQXEVSA-N 1 2 315.398 1.013 20 30 DDEDLO C=C1CCC(C(=O)NC2C[NH+](CCc3cnn(CC)c3)C2)CC1 ZINC001030899345 744797849 /nfs/dbraw/zinc/79/78/49/744797849.db2.gz ALGSOCPVQCPBNQ-UHFFFAOYSA-N 1 2 316.449 1.992 20 30 DDEDLO Cc1csc(C[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188957864 744799202 /nfs/dbraw/zinc/79/92/02/744799202.db2.gz BHQCSWFOTOENKX-LBPRGKRZSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1csc(C[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188957864 744799204 /nfs/dbraw/zinc/79/92/04/744799204.db2.gz BHQCSWFOTOENKX-LBPRGKRZSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1ncc(C(=O)N[C@H]2CCC[N@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001006946060 751953258 /nfs/dbraw/zinc/95/32/58/751953258.db2.gz MXDFXOJLFURJQN-INIZCTEOSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1ncc(C(=O)N[C@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001006946060 751953262 /nfs/dbraw/zinc/95/32/62/751953262.db2.gz MXDFXOJLFURJQN-INIZCTEOSA-N 1 2 323.400 1.984 20 30 DDEDLO CSc1nc(C)c(C#N)c(NCC2([NH+]3CCCC3)COC2)n1 ZINC001168443447 744915290 /nfs/dbraw/zinc/91/52/90/744915290.db2.gz ITMOGBCOQZKISA-UHFFFAOYSA-N 1 2 319.434 1.077 20 30 DDEDLO C[C@]1(C(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]2O)C=CCC1 ZINC001083704968 744938706 /nfs/dbraw/zinc/93/87/06/744938706.db2.gz MAYRTOHGOPDUAD-HBFSDRIKSA-N 1 2 324.424 1.556 20 30 DDEDLO C[C@]1(C(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]2O)C=CCC1 ZINC001083704968 744938708 /nfs/dbraw/zinc/93/87/08/744938708.db2.gz MAYRTOHGOPDUAD-HBFSDRIKSA-N 1 2 324.424 1.556 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189743278 744965438 /nfs/dbraw/zinc/96/54/38/744965438.db2.gz AEHHQATVKAYKMR-KRWDZBQOSA-N 1 2 316.449 1.955 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189743278 744965441 /nfs/dbraw/zinc/96/54/41/744965441.db2.gz AEHHQATVKAYKMR-KRWDZBQOSA-N 1 2 316.449 1.955 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190003929 745076919 /nfs/dbraw/zinc/07/69/19/745076919.db2.gz JZQJSTBXXKOVQQ-GXTWGEPZSA-N 1 2 304.394 1.631 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190003929 745076925 /nfs/dbraw/zinc/07/69/25/745076925.db2.gz JZQJSTBXXKOVQQ-GXTWGEPZSA-N 1 2 304.394 1.631 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001190105555 745102518 /nfs/dbraw/zinc/10/25/18/745102518.db2.gz OEZXDVQLUVYRDE-UKRRQHHQSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001190105555 745102519 /nfs/dbraw/zinc/10/25/19/745102519.db2.gz OEZXDVQLUVYRDE-UKRRQHHQSA-N 1 2 319.405 1.362 20 30 DDEDLO CC/C=C(\C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191483356 745519568 /nfs/dbraw/zinc/51/95/68/745519568.db2.gz RVZVYLCCDOXRHF-QSWMQRNWSA-N 1 2 319.430 1.637 20 30 DDEDLO CC/C=C(\C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191483356 745519573 /nfs/dbraw/zinc/51/95/73/745519573.db2.gz RVZVYLCCDOXRHF-QSWMQRNWSA-N 1 2 319.430 1.637 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](CCc3ccccn3)CC2)ccn1 ZINC001191548630 745546287 /nfs/dbraw/zinc/54/62/87/745546287.db2.gz ZLYKWMDOMRFATO-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cccc(OCC)n2)C[C@H]1O ZINC001191622347 745556220 /nfs/dbraw/zinc/55/62/20/745556220.db2.gz IVRMYTFHLCZJBE-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(OCC)n2)C[C@H]1O ZINC001191622347 745556224 /nfs/dbraw/zinc/55/62/24/745556224.db2.gz IVRMYTFHLCZJBE-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2oc(CCC)nc2C)C[C@H]1O ZINC001191714385 745581745 /nfs/dbraw/zinc/58/17/45/745581745.db2.gz FHKGSQVLWKTQMD-ZIAGYGMSSA-N 1 2 321.421 1.563 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(CCC)nc2C)C[C@H]1O ZINC001191714385 745581746 /nfs/dbraw/zinc/58/17/46/745581746.db2.gz FHKGSQVLWKTQMD-ZIAGYGMSSA-N 1 2 321.421 1.563 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CCCC(C)(C)C)C1 ZINC001191793676 745613721 /nfs/dbraw/zinc/61/37/21/745613721.db2.gz MFRFMQLLWABUIQ-HUUCEWRRSA-N 1 2 310.438 1.014 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)CCCC(C)(C)C)C1 ZINC001191793676 745613728 /nfs/dbraw/zinc/61/37/28/745613728.db2.gz MFRFMQLLWABUIQ-HUUCEWRRSA-N 1 2 310.438 1.014 20 30 DDEDLO C[C@H](CCNc1ncc(C#N)cc1F)NC(=O)Cc1c[nH]c[nH+]1 ZINC001106598718 745823771 /nfs/dbraw/zinc/82/37/71/745823771.db2.gz OMDHBNCJTHBPNB-SNVBAGLBSA-N 1 2 316.340 1.365 20 30 DDEDLO C[C@@H](CCNc1ccncc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001106624271 745844615 /nfs/dbraw/zinc/84/46/15/745844615.db2.gz BWGKIJOPLKMOEQ-IACUBPJLSA-N 1 2 324.388 1.209 20 30 DDEDLO C[C@@H](CCNc1ccncc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001106624271 745844619 /nfs/dbraw/zinc/84/46/19/745844619.db2.gz BWGKIJOPLKMOEQ-IACUBPJLSA-N 1 2 324.388 1.209 20 30 DDEDLO C[C@@H](c1ccccc1F)[N@@H+]1C[C@@H](O)[C@H](NC(=O)C#CC2CC2)C1 ZINC001192861511 745919378 /nfs/dbraw/zinc/91/93/78/745919378.db2.gz BGKZICHYBONTKG-JCURWCKSSA-N 1 2 316.376 1.461 20 30 DDEDLO C[C@@H](c1ccccc1F)[N@H+]1C[C@@H](O)[C@H](NC(=O)C#CC2CC2)C1 ZINC001192861511 745919384 /nfs/dbraw/zinc/91/93/84/745919384.db2.gz BGKZICHYBONTKG-JCURWCKSSA-N 1 2 316.376 1.461 20 30 DDEDLO CC#CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)CCc1cnn[nH]1 ZINC001099244837 745929895 /nfs/dbraw/zinc/92/98/95/745929895.db2.gz DYIQZIDQVFMFNF-HNNXBMFYSA-N 1 2 317.437 1.367 20 30 DDEDLO CC#CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)CCc1cnn[nH]1 ZINC001099244837 745929898 /nfs/dbraw/zinc/92/98/98/745929898.db2.gz DYIQZIDQVFMFNF-HNNXBMFYSA-N 1 2 317.437 1.367 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cnnn2CC)[C@H]1C ZINC000993261605 745932883 /nfs/dbraw/zinc/93/28/83/745932883.db2.gz ISRIWGFEDMGDLC-NEPJUHHUSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cnnn2CC)[C@H]1C ZINC000993261605 745932887 /nfs/dbraw/zinc/93/28/87/745932887.db2.gz ISRIWGFEDMGDLC-NEPJUHHUSA-N 1 2 311.817 1.633 20 30 DDEDLO C[N@H+](CCCn1cc[nH+]c1)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001192928150 745937615 /nfs/dbraw/zinc/93/76/15/745937615.db2.gz GLMHBJMMDIHVBQ-UHFFFAOYSA-N 1 2 311.349 1.025 20 30 DDEDLO C[N@@H+](CCCn1cc[nH+]c1)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001192928150 745937617 /nfs/dbraw/zinc/93/76/17/745937617.db2.gz GLMHBJMMDIHVBQ-UHFFFAOYSA-N 1 2 311.349 1.025 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)Nc1ccc(OC2CC[NH+](C)CC2)cn1 ZINC001193111437 745982183 /nfs/dbraw/zinc/98/21/83/745982183.db2.gz HAKCTOXQVKKYIZ-LLVKDONJSA-N 1 2 324.406 1.208 20 30 DDEDLO C[C@H]1[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CCCN1CC#N ZINC000993338551 746010224 /nfs/dbraw/zinc/01/02/24/746010224.db2.gz PNFXPMFYINNNJY-DZGCQCFKSA-N 1 2 315.421 1.255 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)cn1 ZINC001007152854 746041922 /nfs/dbraw/zinc/04/19/22/746041922.db2.gz ROPGLOFNCFKFMV-OAHLLOKOSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1ncc(C[N@H+]2CCC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)cn1 ZINC001007152854 746041928 /nfs/dbraw/zinc/04/19/28/746041928.db2.gz ROPGLOFNCFKFMV-OAHLLOKOSA-N 1 2 324.388 1.379 20 30 DDEDLO N#Cc1cnccc1NC1CCC(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001060597555 746194864 /nfs/dbraw/zinc/19/48/64/746194864.db2.gz PBMDOWRWEKHKNZ-UHFFFAOYSA-N 1 2 324.388 1.111 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2cn[nH]n2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000993538443 746203714 /nfs/dbraw/zinc/20/37/14/746203714.db2.gz FMPKXUBWUGWJHL-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2cn[nH]n2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000993538443 746203716 /nfs/dbraw/zinc/20/37/16/746203716.db2.gz FMPKXUBWUGWJHL-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2cnn[nH]2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000993538443 746203722 /nfs/dbraw/zinc/20/37/22/746203722.db2.gz FMPKXUBWUGWJHL-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2cnn[nH]2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000993538443 746203726 /nfs/dbraw/zinc/20/37/26/746203726.db2.gz FMPKXUBWUGWJHL-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C#CCN1CCC[C@H](NC(=O)c2ccn(-c3cc[nH+]cc3)n2)[C@@H]1C ZINC000993581585 746214690 /nfs/dbraw/zinc/21/46/90/746214690.db2.gz XXADYTDFTOSDMO-HOCLYGCPSA-N 1 2 323.400 1.483 20 30 DDEDLO N#Cc1ccc(NC2CCC(NC(=O)Cc3[nH]cc[nH+]3)CC2)cn1 ZINC001060603632 746253341 /nfs/dbraw/zinc/25/33/41/746253341.db2.gz VTEHGIVYGNZMAU-UHFFFAOYSA-N 1 2 324.388 1.758 20 30 DDEDLO COCCC(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC001194622199 746428060 /nfs/dbraw/zinc/42/80/60/746428060.db2.gz VXQRTDGJBWQYRX-UHFFFAOYSA-N 1 2 301.390 1.629 20 30 DDEDLO COCCC(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC001194622199 746428062 /nfs/dbraw/zinc/42/80/62/746428062.db2.gz VXQRTDGJBWQYRX-UHFFFAOYSA-N 1 2 301.390 1.629 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CCCN1CC#N ZINC000994213593 746488726 /nfs/dbraw/zinc/48/87/26/746488726.db2.gz SCTLVUVDZNOFJA-HIFRSBDPSA-N 1 2 324.388 1.374 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC001195314792 746575234 /nfs/dbraw/zinc/57/52/34/746575234.db2.gz UGZQHZXDSIPGGD-UHFFFAOYSA-N 1 2 313.401 1.795 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC001195314792 746575237 /nfs/dbraw/zinc/57/52/37/746575237.db2.gz UGZQHZXDSIPGGD-UHFFFAOYSA-N 1 2 313.401 1.795 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2oc(CC3CC3)nc2C)C1 ZINC001007346602 752106564 /nfs/dbraw/zinc/10/65/64/752106564.db2.gz WRAGLNFNBKXVBU-CQSZACIVSA-N 1 2 301.390 1.763 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2oc(CC3CC3)nc2C)C1 ZINC001007346602 752106571 /nfs/dbraw/zinc/10/65/71/752106571.db2.gz WRAGLNFNBKXVBU-CQSZACIVSA-N 1 2 301.390 1.763 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+](Cc2cncs2)CC1 ZINC001195683220 746671328 /nfs/dbraw/zinc/67/13/28/746671328.db2.gz XUCZSQLFVLBMMM-OAHLLOKOSA-N 1 2 309.435 1.505 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cncs2)CC1 ZINC001195683220 746671331 /nfs/dbraw/zinc/67/13/31/746671331.db2.gz XUCZSQLFVLBMMM-OAHLLOKOSA-N 1 2 309.435 1.505 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C3CC3)no2)C[C@H]1O ZINC001195739434 746695757 /nfs/dbraw/zinc/69/57/57/746695757.db2.gz KIMMTASTJAEOLK-HZPDHXFCSA-N 1 2 319.405 1.570 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C3CC3)no2)C[C@H]1O ZINC001195739434 746695766 /nfs/dbraw/zinc/69/57/66/746695766.db2.gz KIMMTASTJAEOLK-HZPDHXFCSA-N 1 2 319.405 1.570 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3ccccc3F)C[C@@H]2O)C1 ZINC001083756206 746714853 /nfs/dbraw/zinc/71/48/53/746714853.db2.gz IOCLLWSMWZEHAX-CVEARBPZSA-N 1 2 318.392 1.843 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3ccccc3F)C[C@@H]2O)C1 ZINC001083756206 746714856 /nfs/dbraw/zinc/71/48/56/746714856.db2.gz IOCLLWSMWZEHAX-CVEARBPZSA-N 1 2 318.392 1.843 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1O ZINC001195923651 746737242 /nfs/dbraw/zinc/73/72/42/746737242.db2.gz IMIMZGNDWPRBGS-IIAWOOMASA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1O ZINC001195923651 746737249 /nfs/dbraw/zinc/73/72/49/746737249.db2.gz IMIMZGNDWPRBGS-IIAWOOMASA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195923780 746737612 /nfs/dbraw/zinc/73/76/12/746737612.db2.gz LRCCLVNKCDFDLZ-CKEIUWERSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195923780 746737620 /nfs/dbraw/zinc/73/76/20/746737620.db2.gz LRCCLVNKCDFDLZ-CKEIUWERSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1O ZINC001195924430 746738339 /nfs/dbraw/zinc/73/83/39/746738339.db2.gz VWAVVWURRHMWJT-XJKCOSOUSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1O ZINC001195924430 746738343 /nfs/dbraw/zinc/73/83/43/746738343.db2.gz VWAVVWURRHMWJT-XJKCOSOUSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](Cc2cnnn2CC)CC1 ZINC001196010439 746754485 /nfs/dbraw/zinc/75/44/85/746754485.db2.gz NQWUIIAWJHEDEM-UHFFFAOYSA-N 1 2 305.426 1.689 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](Cc2cnnn2CC)CC1 ZINC001196010439 746754489 /nfs/dbraw/zinc/75/44/89/746754489.db2.gz NQWUIIAWJHEDEM-UHFFFAOYSA-N 1 2 305.426 1.689 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CCCN(C(=O)CCC)C2)C1 ZINC001031430075 746791086 /nfs/dbraw/zinc/79/10/86/746791086.db2.gz VDKRARQWIJWGKK-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO N#Cc1ccc(Cl)cc1S(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC001196672215 746930475 /nfs/dbraw/zinc/93/04/75/746930475.db2.gz MGWALQANXREPHG-UHFFFAOYSA-N 1 2 322.777 1.613 20 30 DDEDLO Cc1cnc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)cn1 ZINC001031525488 746958962 /nfs/dbraw/zinc/95/89/62/746958962.db2.gz LCNBCAMWTMKERR-UHFFFAOYSA-N 1 2 321.384 1.519 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC001196901340 747005250 /nfs/dbraw/zinc/00/52/50/747005250.db2.gz MQABWANIKAQBKW-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC001196901340 747005256 /nfs/dbraw/zinc/00/52/56/747005256.db2.gz MQABWANIKAQBKW-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)[C@@H]3CCOC3)C2)ccc1F ZINC001031569238 747068856 /nfs/dbraw/zinc/06/88/56/747068856.db2.gz AADXESBPHVYOPP-CQSZACIVSA-N 1 2 317.364 1.282 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CC[C@@H](C)C2)CC1 ZINC001197338424 747141070 /nfs/dbraw/zinc/14/10/70/747141070.db2.gz AAPKYGNYGOUUJJ-HUUCEWRRSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CC[C@@H](C)C2)CC1 ZINC001197338424 747141073 /nfs/dbraw/zinc/14/10/73/747141073.db2.gz AAPKYGNYGOUUJJ-HUUCEWRRSA-N 1 2 307.438 1.259 20 30 DDEDLO N#Cc1cnccc1NCC1(CCNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001090003455 747201793 /nfs/dbraw/zinc/20/17/93/747201793.db2.gz NKWJEUOOJUYKCC-UHFFFAOYSA-N 1 2 324.388 1.039 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1cncnc1 ZINC001031627771 747249453 /nfs/dbraw/zinc/24/94/53/747249453.db2.gz RKSBBTBVGOYTOZ-UHFFFAOYSA-N 1 2 324.359 1.329 20 30 DDEDLO CC(=O)N1CC[C@H](n2cc(C[NH2+]Cc3ccc(C#N)cc3)nn2)C1 ZINC001089572490 747262590 /nfs/dbraw/zinc/26/25/90/747262590.db2.gz RUUZBAKKRVQCBH-KRWDZBQOSA-N 1 2 324.388 1.233 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccc(F)s2)CC1 ZINC001198357935 747476541 /nfs/dbraw/zinc/47/65/41/747476541.db2.gz GVIHMRTWOFXMLT-UHFFFAOYSA-N 1 2 310.394 1.685 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccc(F)s2)CC1 ZINC001198357935 747476545 /nfs/dbraw/zinc/47/65/45/747476545.db2.gz GVIHMRTWOFXMLT-UHFFFAOYSA-N 1 2 310.394 1.685 20 30 DDEDLO CC[N@H+](CC(=O)Nc1nccs1)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152449861 747491355 /nfs/dbraw/zinc/49/13/55/747491355.db2.gz KMJXJEFLTKVLMT-WDEREUQCSA-N 1 2 323.422 1.068 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1nccs1)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152449861 747491358 /nfs/dbraw/zinc/49/13/58/747491358.db2.gz KMJXJEFLTKVLMT-WDEREUQCSA-N 1 2 323.422 1.068 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCO[C@@H]2C(C)C)C1 ZINC001031723819 747538322 /nfs/dbraw/zinc/53/83/22/747538322.db2.gz VWEGRURIATUYQY-ZIAGYGMSSA-N 1 2 300.830 1.848 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC2(C1)CCN(CC#N)CC2 ZINC001040876857 747595774 /nfs/dbraw/zinc/59/57/74/747595774.db2.gz NOXTUWFCSLSZMM-CQSZACIVSA-N 1 2 315.421 1.426 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC2(C1)CCN(CC#N)CC2 ZINC001040876857 747595775 /nfs/dbraw/zinc/59/57/75/747595775.db2.gz NOXTUWFCSLSZMM-CQSZACIVSA-N 1 2 315.421 1.426 20 30 DDEDLO C=CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC001089689184 747659224 /nfs/dbraw/zinc/65/92/24/747659224.db2.gz BYKZTJGWPMITIP-LBPRGKRZSA-N 1 2 306.410 1.532 20 30 DDEDLO C=CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC001089689184 747659228 /nfs/dbraw/zinc/65/92/28/747659228.db2.gz BYKZTJGWPMITIP-LBPRGKRZSA-N 1 2 306.410 1.532 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]1CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001110535643 747863360 /nfs/dbraw/zinc/86/33/60/747863360.db2.gz FLELDAAQWYXCMT-ZDUSSCGKSA-N 1 2 318.425 1.615 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccnn1C ZINC001110534161 747871905 /nfs/dbraw/zinc/87/19/05/747871905.db2.gz SJEDPRIEBAOART-BMFZPTHFSA-N 1 2 300.406 1.301 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccnn1C ZINC001110534161 747871916 /nfs/dbraw/zinc/87/19/16/747871916.db2.gz SJEDPRIEBAOART-BMFZPTHFSA-N 1 2 300.406 1.301 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2cccs2)n[nH]1 ZINC001032641904 752223993 /nfs/dbraw/zinc/22/39/93/752223993.db2.gz PBYWACMZNUHKMN-RYUDHWBXSA-N 1 2 312.398 1.670 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2cccs2)n[nH]1 ZINC001032641904 752224000 /nfs/dbraw/zinc/22/40/00/752224000.db2.gz PBYWACMZNUHKMN-RYUDHWBXSA-N 1 2 312.398 1.670 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1csnn1 ZINC001031882442 748114939 /nfs/dbraw/zinc/11/49/39/748114939.db2.gz UBURVJQMLHHBON-UHFFFAOYSA-N 1 2 312.398 1.251 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(C2CC2)no1 ZINC001124488230 748279198 /nfs/dbraw/zinc/27/91/98/748279198.db2.gz LWIXBGAGBZSQEC-NSHDSACASA-N 1 2 308.382 1.134 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncc(Cl)s2)C1 ZINC001108069443 748302295 /nfs/dbraw/zinc/30/22/95/748302295.db2.gz DDYUTBVLBFMGMW-ZDUSSCGKSA-N 1 2 315.826 1.803 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncc(Cl)s2)C1 ZINC001108069443 748302308 /nfs/dbraw/zinc/30/23/08/748302308.db2.gz DDYUTBVLBFMGMW-ZDUSSCGKSA-N 1 2 315.826 1.803 20 30 DDEDLO C[C@H](C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1)n1cccn1 ZINC001004345971 748346035 /nfs/dbraw/zinc/34/60/35/748346035.db2.gz CRNUKJFJPRGOFE-ZBFHGGJFSA-N 1 2 315.421 1.671 20 30 DDEDLO C[C@H](C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1)n1cccn1 ZINC001004345971 748346043 /nfs/dbraw/zinc/34/60/43/748346043.db2.gz CRNUKJFJPRGOFE-ZBFHGGJFSA-N 1 2 315.421 1.671 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)C2=COCCC2)CC1 ZINC001004361132 748364938 /nfs/dbraw/zinc/36/49/38/748364938.db2.gz UUAJEWCXUSHWFU-INIZCTEOSA-N 1 2 303.406 1.907 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)C2=COCCC2)CC1 ZINC001004361132 748364945 /nfs/dbraw/zinc/36/49/45/748364945.db2.gz UUAJEWCXUSHWFU-INIZCTEOSA-N 1 2 303.406 1.907 20 30 DDEDLO Cc1ncoc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004396523 748398533 /nfs/dbraw/zinc/39/85/33/748398533.db2.gz YVJAWCVQFICAJY-AWEZNQCLSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1ncoc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004396523 748398542 /nfs/dbraw/zinc/39/85/42/748398542.db2.gz YVJAWCVQFICAJY-AWEZNQCLSA-N 1 2 302.378 1.823 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H](O)CNc2cc[nH+]c(C)n2)CCC1 ZINC001124705009 748440058 /nfs/dbraw/zinc/44/00/58/748440058.db2.gz XJXWCVOYPYRHRY-AWEZNQCLSA-N 1 2 318.421 1.763 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1ncccc1F ZINC001032072560 748572695 /nfs/dbraw/zinc/57/26/95/748572695.db2.gz VKNMBQZSGIYSSI-UHFFFAOYSA-N 1 2 323.371 1.934 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cc(C)ncn3)C2)nc1 ZINC001032137452 748730100 /nfs/dbraw/zinc/73/01/00/748730100.db2.gz QUUWHNVOXLNQFU-UHFFFAOYSA-N 1 2 321.384 1.023 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2[nH]nc3ccccc32)C1 ZINC001032167611 748762078 /nfs/dbraw/zinc/76/20/78/748762078.db2.gz TXTULVIDOHPAPL-UHFFFAOYSA-N 1 2 304.781 1.977 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001033184422 748833030 /nfs/dbraw/zinc/83/30/30/748833030.db2.gz AFIRWCKWQPLOSG-WFASDCNBSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001033184422 748833035 /nfs/dbraw/zinc/83/30/35/748833035.db2.gz AFIRWCKWQPLOSG-WFASDCNBSA-N 1 2 313.829 1.188 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001125252878 749074513 /nfs/dbraw/zinc/07/45/13/749074513.db2.gz QOQMHUNDPCEACZ-UHFFFAOYSA-N 1 2 321.421 1.742 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cn(C)nc2Cl)C1 ZINC001108336598 761930076 /nfs/dbraw/zinc/93/00/76/761930076.db2.gz HNIAEMJAKAEKLN-AWEZNQCLSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cn(C)nc2Cl)C1 ZINC001108336598 761930079 /nfs/dbraw/zinc/93/00/79/761930079.db2.gz HNIAEMJAKAEKLN-AWEZNQCLSA-N 1 2 312.801 1.080 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@@H]2CN(C(=O)C#CC3CC3)CC2(C)C)o1 ZINC000995816793 749259078 /nfs/dbraw/zinc/25/90/78/749259078.db2.gz UKEFQXGMMZYAEA-SMDDNHRTSA-N 1 2 316.405 1.679 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2coc(CCC)n2)C1 ZINC001108341361 761935557 /nfs/dbraw/zinc/93/55/57/761935557.db2.gz YAIMXRNNCIOKMW-MRXNPFEDSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2coc(CCC)n2)C1 ZINC001108341361 761935559 /nfs/dbraw/zinc/93/55/59/761935559.db2.gz YAIMXRNNCIOKMW-MRXNPFEDSA-N 1 2 307.394 1.634 20 30 DDEDLO CC#CC[NH+]1CCC(N(C)C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC001006390688 749547785 /nfs/dbraw/zinc/54/77/85/749547785.db2.gz KOWUAIPDYUUCCK-UHFFFAOYSA-N 1 2 311.389 1.518 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H](C)C3CC3)nn2)C1 ZINC001107238581 749679736 /nfs/dbraw/zinc/67/97/36/749679736.db2.gz FCVJYESNXXDUMM-GFCCVEGCSA-N 1 2 303.410 1.373 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(C(=O)OC)ccc2OC)CC1 ZINC001137248985 749684046 /nfs/dbraw/zinc/68/40/46/749684046.db2.gz ODRCOQXITKGXKP-UHFFFAOYSA-N 1 2 302.374 1.233 20 30 DDEDLO Cn1ccnc1C[N@H+]1CC=C(CNC(=O)c2ccc(C#N)[nH]2)CC1 ZINC001000596886 761978106 /nfs/dbraw/zinc/97/81/06/761978106.db2.gz ULSVXGYFELQOJZ-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CC=C(CNC(=O)c2ccc(C#N)[nH]2)CC1 ZINC001000596886 761978109 /nfs/dbraw/zinc/97/81/09/761978109.db2.gz ULSVXGYFELQOJZ-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001033832215 749953166 /nfs/dbraw/zinc/95/31/66/749953166.db2.gz DQGNIVVAUCFMDU-KGLIPLIRSA-N 1 2 302.422 1.938 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001033832215 749953168 /nfs/dbraw/zinc/95/31/68/749953168.db2.gz DQGNIVVAUCFMDU-KGLIPLIRSA-N 1 2 302.422 1.938 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033854039 749990812 /nfs/dbraw/zinc/99/08/12/749990812.db2.gz SGVBOTSVRDYCMP-CHWSQXEVSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033854039 749990815 /nfs/dbraw/zinc/99/08/15/749990815.db2.gz SGVBOTSVRDYCMP-CHWSQXEVSA-N 1 2 313.829 1.140 20 30 DDEDLO C[C@@H](CC(=O)NC/C=C\CNc1ccc(C#N)cn1)n1cc[nH+]c1 ZINC001107546083 750110654 /nfs/dbraw/zinc/11/06/54/750110654.db2.gz TUUAIHQXRZWZSK-SFAKSCPVSA-N 1 2 324.388 1.885 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCCCN(C)c1cc[nH+]c(C)n1 ZINC001095687084 750146438 /nfs/dbraw/zinc/14/64/38/750146438.db2.gz ONOWGSIGAXHHNH-KRWDZBQOSA-N 1 2 320.437 1.956 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)Cc2ccn[nH]2)cc1 ZINC001032328526 750150032 /nfs/dbraw/zinc/15/00/32/750150032.db2.gz RIVZDCDCVKNVIU-IRXDYDNUSA-N 1 2 321.384 1.309 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)Cc2ccn[nH]2)cc1 ZINC001032328526 750150039 /nfs/dbraw/zinc/15/00/39/750150039.db2.gz RIVZDCDCVKNVIU-IRXDYDNUSA-N 1 2 321.384 1.309 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001033951246 750174022 /nfs/dbraw/zinc/17/40/22/750174022.db2.gz CRRZQUFOOYTUNL-LLVKDONJSA-N 1 2 324.812 1.403 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001033951246 750174023 /nfs/dbraw/zinc/17/40/23/750174023.db2.gz CRRZQUFOOYTUNL-LLVKDONJSA-N 1 2 324.812 1.403 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3c(C)noc3C)C[C@H]2O)C1 ZINC001077640520 750257660 /nfs/dbraw/zinc/25/76/60/750257660.db2.gz GJFVFHPYPWHYNM-HUUCEWRRSA-N 1 2 319.405 1.309 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3c(C)noc3C)C[C@H]2O)C1 ZINC001077640520 750257665 /nfs/dbraw/zinc/25/76/65/750257665.db2.gz GJFVFHPYPWHYNM-HUUCEWRRSA-N 1 2 319.405 1.309 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001108112655 750326851 /nfs/dbraw/zinc/32/68/51/750326851.db2.gz XDAXWVCWORZSPX-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001108112655 750326857 /nfs/dbraw/zinc/32/68/57/750326857.db2.gz XDAXWVCWORZSPX-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO CC(C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#Cc1ccccc1 ZINC001032378994 750448451 /nfs/dbraw/zinc/44/84/51/750448451.db2.gz DCLPRURPBLYLTG-IRXDYDNUSA-N 1 2 312.413 1.484 20 30 DDEDLO CC(C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC#Cc1ccccc1 ZINC001032378994 750448456 /nfs/dbraw/zinc/44/84/56/750448456.db2.gz DCLPRURPBLYLTG-IRXDYDNUSA-N 1 2 312.413 1.484 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H]2CC2(F)F)c1 ZINC001032391697 750523748 /nfs/dbraw/zinc/52/37/48/750523748.db2.gz HHXNSAVWOFPUPN-SOUVJXGZSA-N 1 2 317.339 1.999 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H]2CC2(F)F)c1 ZINC001032391697 750523751 /nfs/dbraw/zinc/52/37/51/750523751.db2.gz HHXNSAVWOFPUPN-SOUVJXGZSA-N 1 2 317.339 1.999 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1COc2ccccc2O1 ZINC001032425856 750793613 /nfs/dbraw/zinc/79/36/13/750793613.db2.gz NSSLTNVNCSJXBP-KKUMJFAQSA-N 1 2 312.369 1.135 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1COc2ccccc2O1 ZINC001032425856 750793615 /nfs/dbraw/zinc/79/36/15/750793615.db2.gz NSSLTNVNCSJXBP-KKUMJFAQSA-N 1 2 312.369 1.135 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cccn2)cc1 ZINC001032446875 750851201 /nfs/dbraw/zinc/85/12/01/750851201.db2.gz PXSPKTBVGQNGIR-IRXDYDNUSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cccn2)cc1 ZINC001032446875 750851211 /nfs/dbraw/zinc/85/12/11/750851211.db2.gz PXSPKTBVGQNGIR-IRXDYDNUSA-N 1 2 306.369 1.404 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1snnc1C1CC1 ZINC001032457551 750878367 /nfs/dbraw/zinc/87/83/67/750878367.db2.gz DNPFDDHYSRNSRE-RYUDHWBXSA-N 1 2 304.419 1.890 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1snnc1C1CC1 ZINC001032457551 750878377 /nfs/dbraw/zinc/87/83/77/750878377.db2.gz DNPFDDHYSRNSRE-RYUDHWBXSA-N 1 2 304.419 1.890 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001107958053 750905325 /nfs/dbraw/zinc/90/53/25/750905325.db2.gz UDJHYQVRJHJXAZ-SFNKJDCFSA-N 1 2 316.445 1.819 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001107958053 750905329 /nfs/dbraw/zinc/90/53/29/750905329.db2.gz UDJHYQVRJHJXAZ-SFNKJDCFSA-N 1 2 316.445 1.819 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213320552 750926905 /nfs/dbraw/zinc/92/69/05/750926905.db2.gz ZNSVFEKEHLWLPZ-DAXOMENPSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213320552 750926907 /nfs/dbraw/zinc/92/69/07/750926907.db2.gz ZNSVFEKEHLWLPZ-DAXOMENPSA-N 1 2 321.421 1.901 20 30 DDEDLO Cc1nc(N2C[C@@H]3CC[C@@H](NC(=O)C#CC4CC4)C[C@H]3C2)cc[nH+]1 ZINC001114656480 750938727 /nfs/dbraw/zinc/93/87/27/750938727.db2.gz HGKPFTCXMRWZGN-YESZJQIVSA-N 1 2 324.428 1.920 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114712971 751015935 /nfs/dbraw/zinc/01/59/35/751015935.db2.gz UORRDQQXRBTKEI-PBOSXPJTSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114712971 751015940 /nfs/dbraw/zinc/01/59/40/751015940.db2.gz UORRDQQXRBTKEI-PBOSXPJTSA-N 1 2 304.394 1.527 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114718523 751019905 /nfs/dbraw/zinc/01/99/05/751019905.db2.gz PTHDRIOJRCOYBT-NYTXWWLZSA-N 1 2 315.421 1.116 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114718523 751019910 /nfs/dbraw/zinc/01/99/10/751019910.db2.gz PTHDRIOJRCOYBT-NYTXWWLZSA-N 1 2 315.421 1.116 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1c[nH+]cn1C ZINC001039531426 762103154 /nfs/dbraw/zinc/10/31/54/762103154.db2.gz OSAJTRSYACWLDN-MCIONIFRSA-N 1 2 301.394 1.145 20 30 DDEDLO C#CC[NH+]1CCC([C@H](C)NC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000997583089 751131677 /nfs/dbraw/zinc/13/16/77/751131677.db2.gz CUUUIKWJSZSIQX-ZFWWWQNUSA-N 1 2 314.433 1.680 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ocnc1C(F)(F)F ZINC001032540252 751227930 /nfs/dbraw/zinc/22/79/30/751227930.db2.gz XKGNZMONNIRDFE-IUCAKERBSA-N 1 2 301.268 1.778 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ocnc1C(F)(F)F ZINC001032540252 751227934 /nfs/dbraw/zinc/22/79/34/751227934.db2.gz XKGNZMONNIRDFE-IUCAKERBSA-N 1 2 301.268 1.778 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC(=O)N1C)C2 ZINC001095906660 751233249 /nfs/dbraw/zinc/23/32/49/751233249.db2.gz HIINCMYJDLRFMM-MROQNXINSA-N 1 2 311.813 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC(=O)N1C)C2 ZINC001095906660 751233252 /nfs/dbraw/zinc/23/32/52/751233252.db2.gz HIINCMYJDLRFMM-MROQNXINSA-N 1 2 311.813 1.081 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](F)Cc2ccccc2)C1 ZINC001108416329 762109135 /nfs/dbraw/zinc/10/91/35/762109135.db2.gz RCIHBIPJGJCTIR-AEFFLSMTSA-N 1 2 320.408 1.960 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](F)Cc2ccccc2)C1 ZINC001108416329 762109138 /nfs/dbraw/zinc/10/91/38/762109138.db2.gz RCIHBIPJGJCTIR-AEFFLSMTSA-N 1 2 320.408 1.960 20 30 DDEDLO C=C1CCC(C(=O)NC2CN(C(=O)CCc3[nH]cc[nH+]3)C2)CC1 ZINC000999084159 752495912 /nfs/dbraw/zinc/49/59/12/752495912.db2.gz OMDGDWQCZVDMQD-UHFFFAOYSA-N 1 2 316.405 1.416 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001032653381 752623090 /nfs/dbraw/zinc/62/30/90/752623090.db2.gz SZWMWQFITKRABJ-STQMWFEESA-N 1 2 310.357 1.191 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001032653381 752623094 /nfs/dbraw/zinc/62/30/94/752623094.db2.gz SZWMWQFITKRABJ-STQMWFEESA-N 1 2 310.357 1.191 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001032679859 752701211 /nfs/dbraw/zinc/70/12/11/752701211.db2.gz MYOSNCKBPWIBDH-ROUUACIJSA-N 1 2 320.396 1.999 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001032679859 752701216 /nfs/dbraw/zinc/70/12/16/752701216.db2.gz MYOSNCKBPWIBDH-ROUUACIJSA-N 1 2 320.396 1.999 20 30 DDEDLO C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(-c2cc[nH+]cc2)c1 ZINC001032702960 752758796 /nfs/dbraw/zinc/75/87/96/752758796.db2.gz GCQRBTDDZNIHNA-IRXDYDNUSA-N 1 2 323.400 1.742 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnc(CC)s2)C1 ZINC001108432856 762182288 /nfs/dbraw/zinc/18/22/88/762182288.db2.gz RCSOLVKUNJXRSQ-OAHLLOKOSA-N 1 2 309.435 1.712 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnc(CC)s2)C1 ZINC001108432856 762182290 /nfs/dbraw/zinc/18/22/90/762182290.db2.gz RCSOLVKUNJXRSQ-OAHLLOKOSA-N 1 2 309.435 1.712 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2CCC(OC)CC2)C1 ZINC001108167668 753260132 /nfs/dbraw/zinc/26/01/32/753260132.db2.gz OMILDRPPLDCMIR-HTWSVDAQSA-N 1 2 322.449 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2CCC(OC)CC2)C1 ZINC001108167668 753260141 /nfs/dbraw/zinc/26/01/41/753260141.db2.gz OMILDRPPLDCMIR-HTWSVDAQSA-N 1 2 322.449 1.422 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CC[C@@H](CNc2ccc(C#N)cn2)C1 ZINC001060894937 753294655 /nfs/dbraw/zinc/29/46/55/753294655.db2.gz UMFKUCSRMHYOFL-AWEZNQCLSA-N 1 2 324.388 1.190 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(NC(C)=O)c1C ZINC001032732461 753383274 /nfs/dbraw/zinc/38/32/74/753383274.db2.gz COQSIDAQXCHJTP-GJZGRUSLSA-N 1 2 311.385 1.485 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(NC(C)=O)c1C ZINC001032732461 753383275 /nfs/dbraw/zinc/38/32/75/753383275.db2.gz COQSIDAQXCHJTP-GJZGRUSLSA-N 1 2 311.385 1.485 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)CCc2[nH+]ccn2C)CCC1 ZINC001062687781 753385709 /nfs/dbraw/zinc/38/57/09/753385709.db2.gz OMGZNPDOJBPWAA-UHFFFAOYSA-N 1 2 318.421 1.474 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC001000799552 762210962 /nfs/dbraw/zinc/21/09/62/762210962.db2.gz ZGSYFODPYVBSDW-JSGCOSHPSA-N 1 2 314.813 1.291 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC001000799552 762210964 /nfs/dbraw/zinc/21/09/64/762210964.db2.gz ZGSYFODPYVBSDW-JSGCOSHPSA-N 1 2 314.813 1.291 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001077930363 753441345 /nfs/dbraw/zinc/44/13/45/753441345.db2.gz WFSQLZAWDXEPLK-LSDHHAIUSA-N 1 2 320.437 1.887 20 30 DDEDLO C#CC[NH+]1CCC(N(C)C(=O)c2cc(C(F)(F)F)[nH]n2)CC1 ZINC001006141521 753638237 /nfs/dbraw/zinc/63/82/37/753638237.db2.gz USQKPQVSVNHEJB-UHFFFAOYSA-N 1 2 314.311 1.598 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ncccc1C#N ZINC001039819609 762229954 /nfs/dbraw/zinc/22/99/54/762229954.db2.gz WCSZJNCOMIUQHL-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cncc(OC)c2C)C1 ZINC001108027901 753706849 /nfs/dbraw/zinc/70/68/49/753706849.db2.gz ZVNRLULCDHTWDE-KRWDZBQOSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cncc(OC)c2C)C1 ZINC001108027901 753706851 /nfs/dbraw/zinc/70/68/51/753706851.db2.gz ZVNRLULCDHTWDE-KRWDZBQOSA-N 1 2 319.405 1.405 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@@H](NC(=O)c3cn[nH]c3)C2)c1 ZINC001010296201 753743907 /nfs/dbraw/zinc/74/39/07/753743907.db2.gz BEONELRAVAJAOH-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@@H](NC(=O)c3cn[nH]c3)C2)c1 ZINC001010296201 753743908 /nfs/dbraw/zinc/74/39/08/753743908.db2.gz BEONELRAVAJAOH-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@H](NC(=O)c3ccon3)C2)c1 ZINC001010330282 753769281 /nfs/dbraw/zinc/76/92/81/753769281.db2.gz HCNBVJJBGMIHHX-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@H](NC(=O)c3ccon3)C2)c1 ZINC001010330282 753769283 /nfs/dbraw/zinc/76/92/83/753769283.db2.gz HCNBVJJBGMIHHX-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3ccon3)C2)c(F)c1 ZINC001010331634 753770703 /nfs/dbraw/zinc/77/07/03/753770703.db2.gz SKAMGQAPVFCPIX-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3ccon3)C2)c(F)c1 ZINC001010331634 753770710 /nfs/dbraw/zinc/77/07/10/753770710.db2.gz SKAMGQAPVFCPIX-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CCC)c(C)s2)C1 ZINC001078143328 753788107 /nfs/dbraw/zinc/78/81/07/753788107.db2.gz OKYWBMDKZDBMRM-HUUCEWRRSA-N 1 2 320.458 1.807 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CCC)c(C)s2)C1 ZINC001078143328 753788111 /nfs/dbraw/zinc/78/81/11/753788111.db2.gz OKYWBMDKZDBMRM-HUUCEWRRSA-N 1 2 320.458 1.807 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1ccc(F)c(F)c1 ZINC001032794573 753876601 /nfs/dbraw/zinc/87/66/01/753876601.db2.gz PNSBFQLWWDDWJS-UBHSHLNASA-N 1 2 304.340 1.987 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1ccc(F)c(F)c1 ZINC001032794573 753876605 /nfs/dbraw/zinc/87/66/05/753876605.db2.gz PNSBFQLWWDDWJS-UBHSHLNASA-N 1 2 304.340 1.987 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001078268997 753926008 /nfs/dbraw/zinc/92/60/08/753926008.db2.gz IPFUOXJIBJTBQK-IAGOWNOFSA-N 1 2 309.369 1.090 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001078268997 753926012 /nfs/dbraw/zinc/92/60/12/753926012.db2.gz IPFUOXJIBJTBQK-IAGOWNOFSA-N 1 2 309.369 1.090 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC001010661642 754086656 /nfs/dbraw/zinc/08/66/56/754086656.db2.gz AHPSWNZBHHZBMY-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC001010661642 754086664 /nfs/dbraw/zinc/08/66/64/754086664.db2.gz AHPSWNZBHHZBMY-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO N#Cc1ccc(N[C@H]2CC[C@H](NC(=O)Cc3c[nH]c[nH+]3)CC2)cn1 ZINC001063407023 754131153 /nfs/dbraw/zinc/13/11/53/754131153.db2.gz VXAOLUDYGIAULU-JOCQHMNTSA-N 1 2 324.388 1.758 20 30 DDEDLO CCn1ccnc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010807908 754178067 /nfs/dbraw/zinc/17/80/67/754178067.db2.gz VKOCVYOLHYVUBO-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1ccnc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010807908 754178071 /nfs/dbraw/zinc/17/80/71/754178071.db2.gz VKOCVYOLHYVUBO-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001066987107 754236877 /nfs/dbraw/zinc/23/68/77/754236877.db2.gz HIGBTPRXNAJCPB-AWEZNQCLSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001066987107 754236882 /nfs/dbraw/zinc/23/68/82/754236882.db2.gz HIGBTPRXNAJCPB-AWEZNQCLSA-N 1 2 320.437 1.861 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)cc[nH+]1 ZINC001039951000 762283911 /nfs/dbraw/zinc/28/39/11/762283911.db2.gz VYPMATAIXTXOSY-TZMCWYRMSA-N 1 2 301.394 1.762 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C[C@H]2C)cc[nH+]1 ZINC001063729764 754300073 /nfs/dbraw/zinc/30/00/73/754300073.db2.gz OUDNAFLEUDECBU-BXUZGUMPSA-N 1 2 324.388 1.948 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064107777 754517305 /nfs/dbraw/zinc/51/73/05/754517305.db2.gz RPZXGJZQSGSXOQ-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001090320869 754886016 /nfs/dbraw/zinc/88/60/16/754886016.db2.gz OWUZUYZDYUXBRK-VHDGCEQUSA-N 1 2 316.405 1.413 20 30 DDEDLO Cc1nc(NC[C@@H]2CCCN2C(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001064945163 754972732 /nfs/dbraw/zinc/97/27/32/754972732.db2.gz TWBDHLYFUWUIKU-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)c2ccc(Cl)s2)[C@@H](O)C1 ZINC001083932055 755093816 /nfs/dbraw/zinc/09/38/16/755093816.db2.gz NLIOOPSHUDIYJC-MXWKQRLJSA-N 1 2 312.822 1.300 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)c2ccc(Cl)s2)[C@@H](O)C1 ZINC001083932055 755093821 /nfs/dbraw/zinc/09/38/21/755093821.db2.gz NLIOOPSHUDIYJC-MXWKQRLJSA-N 1 2 312.822 1.300 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H](C)N(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001013048963 755308459 /nfs/dbraw/zinc/30/84/59/755308459.db2.gz LEPMAALPJQAWTM-KBPBESRZSA-N 1 2 316.405 1.345 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001014366327 755699176 /nfs/dbraw/zinc/69/91/76/755699176.db2.gz BKPKWQJBFJSPIV-LLVKDONJSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001014366327 755699177 /nfs/dbraw/zinc/69/91/77/755699177.db2.gz BKPKWQJBFJSPIV-LLVKDONJSA-N 1 2 315.402 1.656 20 30 DDEDLO C=CCCCC(=O)N1CC(N(CC)C(=O)CCn2cc[nH+]c2)C1 ZINC001080168075 755743625 /nfs/dbraw/zinc/74/36/25/755743625.db2.gz NSYDUDFNOCYZKK-UHFFFAOYSA-N 1 2 318.421 1.689 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC001080169025 755760276 /nfs/dbraw/zinc/76/02/76/755760276.db2.gz KYUIERSBFXTEAY-ZRJCITRHSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC001080169025 755760278 /nfs/dbraw/zinc/76/02/78/755760278.db2.gz KYUIERSBFXTEAY-ZRJCITRHSA-N 1 2 300.830 1.991 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001067084447 755841240 /nfs/dbraw/zinc/84/12/40/755841240.db2.gz MVJJPMHYXHCSHD-SWLSCSKDSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001080311496 755844036 /nfs/dbraw/zinc/84/40/36/755844036.db2.gz GFOCNYSRXSOOMU-CQSZACIVSA-N 1 2 318.421 1.545 20 30 DDEDLO C[C@@H]1CN(C(=O)C#CC2CC2)C[C@@H]1Nc1[nH+]cnc2c1cnn2C ZINC001067089482 755919697 /nfs/dbraw/zinc/91/96/97/755919697.db2.gz KAHMFULEWDJZSU-RISCZKNCSA-N 1 2 324.388 1.036 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001080479612 755941939 /nfs/dbraw/zinc/94/19/39/755941939.db2.gz XEBRZVJZFHWBMR-AQNFWKISSA-N 1 2 322.840 1.713 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001080479612 755941942 /nfs/dbraw/zinc/94/19/42/755941942.db2.gz XEBRZVJZFHWBMR-AQNFWKISSA-N 1 2 322.840 1.713 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001014874894 755993851 /nfs/dbraw/zinc/99/38/51/755993851.db2.gz RWCDEUNSXDNXKP-AWEZNQCLSA-N 1 2 323.356 1.058 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001014874894 755993853 /nfs/dbraw/zinc/99/38/53/755993853.db2.gz RWCDEUNSXDNXKP-AWEZNQCLSA-N 1 2 323.356 1.058 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(CCC)nn2)C1 ZINC001080684192 756047508 /nfs/dbraw/zinc/04/75/08/756047508.db2.gz PENICAFLZGDINU-ZYHUDNBSSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(CCC)nn2)C1 ZINC001080684192 756047516 /nfs/dbraw/zinc/04/75/16/756047516.db2.gz PENICAFLZGDINU-ZYHUDNBSSA-N 1 2 311.817 1.491 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccc(Cl)cc2)C[C@H]1NC(C)=O ZINC001081236185 756274034 /nfs/dbraw/zinc/27/40/34/756274034.db2.gz WXMPUIBKMLYCQK-HZPDHXFCSA-N 1 2 306.793 1.527 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccc(Cl)cc2)C[C@H]1NC(C)=O ZINC001081236185 756274039 /nfs/dbraw/zinc/27/40/39/756274039.db2.gz WXMPUIBKMLYCQK-HZPDHXFCSA-N 1 2 306.793 1.527 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C(C)C ZINC001081376724 756318153 /nfs/dbraw/zinc/31/81/53/756318153.db2.gz VSKMXWBMHLVUKZ-IAGOWNOFSA-N 1 2 300.402 1.510 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C(C)C ZINC001081376724 756318158 /nfs/dbraw/zinc/31/81/58/756318158.db2.gz VSKMXWBMHLVUKZ-IAGOWNOFSA-N 1 2 300.402 1.510 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(-c3cn[nH]c3)cc2)[C@H](OC)C1 ZINC001082231583 756693197 /nfs/dbraw/zinc/69/31/97/756693197.db2.gz XCLFBXBAJJFRIW-IAGOWNOFSA-N 1 2 324.384 1.139 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(-c3cn[nH]c3)cc2)[C@H](OC)C1 ZINC001082231583 756693200 /nfs/dbraw/zinc/69/32/00/756693200.db2.gz XCLFBXBAJJFRIW-IAGOWNOFSA-N 1 2 324.384 1.139 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCC=CCCC3)[C@H]2C1 ZINC001083119007 757121560 /nfs/dbraw/zinc/12/15/60/757121560.db2.gz YSKVJVMYOQJTDQ-IXDOHACOSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCC=CCCC3)[C@H]2C1 ZINC001083119007 757121571 /nfs/dbraw/zinc/12/15/71/757121571.db2.gz YSKVJVMYOQJTDQ-IXDOHACOSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@@H]4C=C[C@H]3CC4)[C@H]2C1 ZINC001083087435 757128753 /nfs/dbraw/zinc/12/87/53/757128753.db2.gz JKPBAAVWIYGQML-BPKGMFCQSA-N 1 2 300.402 1.134 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@@H]4C=C[C@H]3CC4)[C@H]2C1 ZINC001083087435 757128759 /nfs/dbraw/zinc/12/87/59/757128759.db2.gz JKPBAAVWIYGQML-BPKGMFCQSA-N 1 2 300.402 1.134 20 30 DDEDLO CC#CC[NH+]1CCC2(C[C@@H]2NC(=O)c2[nH]nc(C)c2C)CC1 ZINC001016545230 757149568 /nfs/dbraw/zinc/14/95/68/757149568.db2.gz CINQVZCWAZQQBY-AWEZNQCLSA-N 1 2 300.406 1.634 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(Cl)c2Cl)[C@@H](O)C1 ZINC001083990202 757212468 /nfs/dbraw/zinc/21/24/68/757212468.db2.gz RUDSJRDLTVTBOO-NEPJUHHUSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(Cl)c2Cl)[C@@H](O)C1 ZINC001083990202 757212470 /nfs/dbraw/zinc/21/24/70/757212470.db2.gz RUDSJRDLTVTBOO-NEPJUHHUSA-N 1 2 313.184 1.402 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cnn(C)c1)C2 ZINC001111451317 757235893 /nfs/dbraw/zinc/23/58/93/757235893.db2.gz XCTZTOOPHSCSAY-KFWWJZLASA-N 1 2 322.840 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cnn(C)c1)C2 ZINC001111451317 757235901 /nfs/dbraw/zinc/23/59/01/757235901.db2.gz XCTZTOOPHSCSAY-KFWWJZLASA-N 1 2 322.840 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3nnc(C)o3)[C@@H]2C1 ZINC001084324415 757486944 /nfs/dbraw/zinc/48/69/44/757486944.db2.gz CXENLBILPXQMNH-VXGBXAGGSA-N 1 2 310.785 1.206 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3nnc(C)o3)[C@@H]2C1 ZINC001084324415 757486950 /nfs/dbraw/zinc/48/69/50/757486950.db2.gz CXENLBILPXQMNH-VXGBXAGGSA-N 1 2 310.785 1.206 20 30 DDEDLO C[C@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1ncc(C#N)cc1F ZINC001097792304 757527278 /nfs/dbraw/zinc/52/72/78/757527278.db2.gz OZSCKZJCVPNFSK-SNVBAGLBSA-N 1 2 316.340 1.365 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cnn4cc[nH]c34)[C@@H]2C1 ZINC001084797215 757919778 /nfs/dbraw/zinc/91/97/78/757919778.db2.gz MLCPZQFJAMXLGN-DGCLKSJQSA-N 1 2 319.796 1.561 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cnn4cc[nH]c34)[C@@H]2C1 ZINC001084797215 757919783 /nfs/dbraw/zinc/91/97/83/757919783.db2.gz MLCPZQFJAMXLGN-DGCLKSJQSA-N 1 2 319.796 1.561 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(=O)[nH]c3)[C@@H]2C1 ZINC001084809153 757938045 /nfs/dbraw/zinc/93/80/45/757938045.db2.gz ADCYGMXMSADBLE-CHWSQXEVSA-N 1 2 307.781 1.686 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(=O)[nH]c3)[C@@H]2C1 ZINC001084809153 757938050 /nfs/dbraw/zinc/93/80/50/757938050.db2.gz ADCYGMXMSADBLE-CHWSQXEVSA-N 1 2 307.781 1.686 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)[C@H]1CCCCO1 ZINC001017559916 758029829 /nfs/dbraw/zinc/02/98/29/758029829.db2.gz XHIMSBGNNFKXRZ-OWCLPIDISA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)[C@H]1CCCCO1 ZINC001017559916 758029840 /nfs/dbraw/zinc/02/98/40/758029840.db2.gz XHIMSBGNNFKXRZ-OWCLPIDISA-N 1 2 304.434 1.890 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)n1 ZINC001084906797 758047863 /nfs/dbraw/zinc/04/78/63/758047863.db2.gz IEQAZJQJNRYFJY-UPJWGTAASA-N 1 2 317.393 1.214 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)n1 ZINC001084906797 758047872 /nfs/dbraw/zinc/04/78/72/758047872.db2.gz IEQAZJQJNRYFJY-UPJWGTAASA-N 1 2 317.393 1.214 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099849422 758071857 /nfs/dbraw/zinc/07/18/57/758071857.db2.gz DZPXMUTWCRANPB-UONOGXRCSA-N 1 2 323.462 1.797 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099849422 758071869 /nfs/dbraw/zinc/07/18/69/758071869.db2.gz DZPXMUTWCRANPB-UONOGXRCSA-N 1 2 323.462 1.797 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COc2ccccc2C1 ZINC001017719502 758180690 /nfs/dbraw/zinc/18/06/90/758180690.db2.gz DMNHLJSGNGNKKB-ZACQAIPSSA-N 1 2 310.397 1.546 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COc2ccccc2C1 ZINC001017719502 758180696 /nfs/dbraw/zinc/18/06/96/758180696.db2.gz DMNHLJSGNGNKKB-ZACQAIPSSA-N 1 2 310.397 1.546 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@H]2CCN(CC#N)[C@H]2C1 ZINC001085052954 758180805 /nfs/dbraw/zinc/18/08/05/758180805.db2.gz UTOOGFMSNZKLOE-GUTXKFCHSA-N 1 2 301.394 1.035 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@H]2CCN(CC#N)[C@H]2C1 ZINC001085052954 758180810 /nfs/dbraw/zinc/18/08/10/758180810.db2.gz UTOOGFMSNZKLOE-GUTXKFCHSA-N 1 2 301.394 1.035 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]12CCO[C@@H]1CCCC2 ZINC001017726345 758186228 /nfs/dbraw/zinc/18/62/28/758186228.db2.gz JUSNHQRCWVPHSY-HPFXQQBRSA-N 1 2 302.418 1.644 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]12CCO[C@@H]1CCCC2 ZINC001017726345 758186236 /nfs/dbraw/zinc/18/62/36/758186236.db2.gz JUSNHQRCWVPHSY-HPFXQQBRSA-N 1 2 302.418 1.644 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(F)c(F)c1 ZINC001017861269 758306707 /nfs/dbraw/zinc/30/67/07/758306707.db2.gz RMKXFPDRUKRZHH-OKILXGFUSA-N 1 2 304.340 1.816 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(F)c(F)c1 ZINC001017861269 758306716 /nfs/dbraw/zinc/30/67/16/758306716.db2.gz RMKXFPDRUKRZHH-OKILXGFUSA-N 1 2 304.340 1.816 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-n2cncn2)c1 ZINC001017924873 758394736 /nfs/dbraw/zinc/39/47/36/758394736.db2.gz IVASASJSEMNYFT-CALCHBBNSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-n2cncn2)c1 ZINC001017924873 758394745 /nfs/dbraw/zinc/39/47/45/758394745.db2.gz IVASASJSEMNYFT-CALCHBBNSA-N 1 2 321.384 1.189 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CCN(c3cc[nH+]c(C)n3)C2)nc1 ZINC001065269975 758426544 /nfs/dbraw/zinc/42/65/44/758426544.db2.gz UIIXJYACMSJNJU-GOSISDBHSA-N 1 2 321.384 1.560 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2cc(OC)ccc12 ZINC001018073303 758524691 /nfs/dbraw/zinc/52/46/91/758524691.db2.gz PYBXHLOFUOTPDL-OKILXGFUSA-N 1 2 324.384 1.265 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2cc(OC)ccc12 ZINC001018073303 758524699 /nfs/dbraw/zinc/52/46/99/758524699.db2.gz PYBXHLOFUOTPDL-OKILXGFUSA-N 1 2 324.384 1.265 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2ccccc2O1 ZINC001018075469 758527275 /nfs/dbraw/zinc/52/72/75/758527275.db2.gz NHVPAIJEYRNXOR-JZXOWHBKSA-N 1 2 310.397 1.689 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2ccccc2O1 ZINC001018075469 758527283 /nfs/dbraw/zinc/52/72/83/758527283.db2.gz NHVPAIJEYRNXOR-JZXOWHBKSA-N 1 2 310.397 1.689 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cc1cnn(C)c1 ZINC001018120991 758563592 /nfs/dbraw/zinc/56/35/92/758563592.db2.gz ARWZKBBOXCTXHY-BHYGNILZSA-N 1 2 314.433 1.297 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cc1cnn(C)c1 ZINC001018120991 758563600 /nfs/dbraw/zinc/56/36/00/758563600.db2.gz ARWZKBBOXCTXHY-BHYGNILZSA-N 1 2 314.433 1.297 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c2ccccc2nn1C ZINC001018142024 758576700 /nfs/dbraw/zinc/57/67/00/758576700.db2.gz JQZQMKQBFFXHSQ-OKILXGFUSA-N 1 2 308.385 1.495 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c2ccccc2nn1C ZINC001018142024 758576708 /nfs/dbraw/zinc/57/67/08/758576708.db2.gz JQZQMKQBFFXHSQ-OKILXGFUSA-N 1 2 308.385 1.495 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1nccn1CC)O2 ZINC001053595133 758615232 /nfs/dbraw/zinc/61/52/32/758615232.db2.gz ZXANZMGRNAWCHO-CQSZACIVSA-N 1 2 318.421 1.442 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC3(C[NH+](CC=C)C3)O2)cc1 ZINC001053635369 758651577 /nfs/dbraw/zinc/65/15/77/758651577.db2.gz BFXPQLPDGLLTRC-QGZVFWFLSA-N 1 2 310.397 1.817 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC2(C[NH+](CC(=C)C)C2)O1 ZINC001053663319 758676032 /nfs/dbraw/zinc/67/60/32/758676032.db2.gz MPBPTVFEUWKERS-XHSDSOJGSA-N 1 2 320.433 1.503 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1F)O2 ZINC001053679924 758690808 /nfs/dbraw/zinc/69/08/08/758690808.db2.gz SAIXTRUZQNVLHG-ZDUSSCGKSA-N 1 2 319.380 1.760 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(C)nc1C)O2 ZINC001053701230 758709598 /nfs/dbraw/zinc/70/95/98/758709598.db2.gz PEWWPYIROMOBMQ-HNNXBMFYSA-N 1 2 315.417 1.848 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CCC)CC2)C1 ZINC001065693325 758710749 /nfs/dbraw/zinc/71/07/49/758710749.db2.gz ZSSWICVTHCJLJE-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065712525 758730470 /nfs/dbraw/zinc/73/04/70/758730470.db2.gz ZWJWGDILQZDBIZ-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnn(CC)c1)CO2 ZINC001053763501 758781836 /nfs/dbraw/zinc/78/18/36/758781836.db2.gz RRBBPWKCERRNBR-AWEZNQCLSA-N 1 2 304.394 1.052 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccn(C)c1C)CO2 ZINC001053918918 758954162 /nfs/dbraw/zinc/95/41/62/758954162.db2.gz YYADMLIHYZHSSC-CQSZACIVSA-N 1 2 303.406 1.483 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001065971027 758965416 /nfs/dbraw/zinc/96/54/16/758965416.db2.gz LBJPTYVWLHOCKM-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1coc(CCC)n1)CO2 ZINC001053982106 759022107 /nfs/dbraw/zinc/02/21/07/759022107.db2.gz CZRCJSJFXHFNTC-ZDUSSCGKSA-N 1 2 317.389 1.224 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(OC)cc1)CO2 ZINC001053991630 759038347 /nfs/dbraw/zinc/03/83/47/759038347.db2.gz ZNEOFRJOGVVGCQ-OAHLLOKOSA-N 1 2 314.385 1.292 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cocn1 ZINC001054035914 759093006 /nfs/dbraw/zinc/09/30/06/759093006.db2.gz XQHRACSFRKIGTD-MRXNPFEDSA-N 1 2 309.369 1.855 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cocn1 ZINC001054035914 759093013 /nfs/dbraw/zinc/09/30/13/759093013.db2.gz XQHRACSFRKIGTD-MRXNPFEDSA-N 1 2 309.369 1.855 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C1=CCOCC1 ZINC001054038468 759095418 /nfs/dbraw/zinc/09/54/18/759095418.db2.gz CNZXFBMUHLEPKM-GOSISDBHSA-N 1 2 310.397 1.509 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C1=CCOCC1 ZINC001054038468 759095425 /nfs/dbraw/zinc/09/54/25/759095425.db2.gz CNZXFBMUHLEPKM-GOSISDBHSA-N 1 2 310.397 1.509 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1CCO[C@H]1C=C ZINC001054053446 759107223 /nfs/dbraw/zinc/10/72/23/759107223.db2.gz UXJYWHGFEGOWBB-QRVBRYPASA-N 1 2 324.424 1.754 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1CCO[C@H]1C=C ZINC001054053446 759107227 /nfs/dbraw/zinc/10/72/27/759107227.db2.gz UXJYWHGFEGOWBB-QRVBRYPASA-N 1 2 324.424 1.754 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1OCC[C@H]1C ZINC001054052609 759107911 /nfs/dbraw/zinc/10/79/11/759107911.db2.gz BYOAKXKCBSGWMB-JLSDUUJJSA-N 1 2 312.413 1.588 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1OCC[C@H]1C ZINC001054052609 759107913 /nfs/dbraw/zinc/10/79/13/759107913.db2.gz BYOAKXKCBSGWMB-JLSDUUJJSA-N 1 2 312.413 1.588 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnon1 ZINC001054057010 759110673 /nfs/dbraw/zinc/11/06/73/759110673.db2.gz ZGACCFAFDQSMIX-OAHLLOKOSA-N 1 2 310.357 1.250 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnon1 ZINC001054057010 759110679 /nfs/dbraw/zinc/11/06/79/759110679.db2.gz ZGACCFAFDQSMIX-OAHLLOKOSA-N 1 2 310.357 1.250 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@]3(C2)CCCN(C(=O)[C@@H](C)C#N)C3)[nH]1 ZINC001054102167 759166808 /nfs/dbraw/zinc/16/68/08/759166808.db2.gz KZBQORHFIIZTNQ-LRDDRELGSA-N 1 2 316.409 1.087 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@]3(C2)CCCN(C(=O)[C@@H](C)C#N)C3)[nH]1 ZINC001054102167 759166812 /nfs/dbraw/zinc/16/68/12/759166812.db2.gz KZBQORHFIIZTNQ-LRDDRELGSA-N 1 2 316.409 1.087 20 30 DDEDLO CC(C)=C(C)CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131044160 767854282 /nfs/dbraw/zinc/85/42/82/767854282.db2.gz QLGIOKPWGGKDJK-HUUCEWRRSA-N 1 2 315.421 1.574 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3c[nH]nc3CC)cc2C1 ZINC001054272401 759377976 /nfs/dbraw/zinc/37/79/76/759377976.db2.gz PZSUUKMOHNMQGG-UHFFFAOYSA-N 1 2 308.385 1.851 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3c[nH]nc3CC)cc2C1 ZINC001054272401 759377981 /nfs/dbraw/zinc/37/79/81/759377981.db2.gz PZSUUKMOHNMQGG-UHFFFAOYSA-N 1 2 308.385 1.851 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@@H]3CCO[C@H]3C=C)cc2C1 ZINC001054281909 759389879 /nfs/dbraw/zinc/38/98/79/759389879.db2.gz IFMCQIKDRLSQCX-MSOLQXFVSA-N 1 2 310.397 1.843 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@@H]3CCO[C@H]3C=C)cc2C1 ZINC001054281909 759389891 /nfs/dbraw/zinc/38/98/91/759389891.db2.gz IFMCQIKDRLSQCX-MSOLQXFVSA-N 1 2 310.397 1.843 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@@]34CCOC4)cc2C1 ZINC001054288774 759396881 /nfs/dbraw/zinc/39/68/81/759396881.db2.gz IWFQUEGJRCOTGL-PKOBYXMFSA-N 1 2 310.397 1.678 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@@]34CCOC4)cc2C1 ZINC001054288774 759396893 /nfs/dbraw/zinc/39/68/93/759396893.db2.gz IWFQUEGJRCOTGL-PKOBYXMFSA-N 1 2 310.397 1.678 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@H](C)N(C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001069114837 767857290 /nfs/dbraw/zinc/85/72/90/767857290.db2.gz XBPNBVLIORHKSV-ZFWWWQNUSA-N 1 2 324.388 1.560 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1csc([C@@H]2CCCO2)n1 ZINC001085594349 759794250 /nfs/dbraw/zinc/79/42/50/759794250.db2.gz DNXYVWIFINSATO-JSGCOSHPSA-N 1 2 319.430 1.774 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1csc([C@@H]2CCCO2)n1 ZINC001085594349 759794253 /nfs/dbraw/zinc/79/42/53/759794253.db2.gz DNXYVWIFINSATO-JSGCOSHPSA-N 1 2 319.430 1.774 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn2c(n1)CC[C@@H](C)C2 ZINC001085670931 759980626 /nfs/dbraw/zinc/98/06/26/759980626.db2.gz ISYADPSYCHFYRZ-KGLIPLIRSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn2c(n1)CC[C@@H](C)C2 ZINC001085670931 759980632 /nfs/dbraw/zinc/98/06/32/759980632.db2.gz ISYADPSYCHFYRZ-KGLIPLIRSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001085707164 760077373 /nfs/dbraw/zinc/07/73/73/760077373.db2.gz FHHYWCDVDGNONE-GDBMZVCRSA-N 1 2 318.804 1.809 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001085707164 760077382 /nfs/dbraw/zinc/07/73/82/760077382.db2.gz FHHYWCDVDGNONE-GDBMZVCRSA-N 1 2 318.804 1.809 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C(F)(F)F)cn1 ZINC001085753247 760157098 /nfs/dbraw/zinc/15/70/98/760157098.db2.gz BJKZNXVMUVUEGH-LBPRGKRZSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C(F)(F)F)cn1 ZINC001085753247 760157103 /nfs/dbraw/zinc/15/71/03/760157103.db2.gz BJKZNXVMUVUEGH-LBPRGKRZSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(-c2nc[nH]n2)c1 ZINC001085759241 760182252 /nfs/dbraw/zinc/18/22/52/760182252.db2.gz BYJYKAXFZPYMPL-OAHLLOKOSA-N 1 2 309.373 1.251 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(-c2nc[nH]n2)c1 ZINC001085759241 760182254 /nfs/dbraw/zinc/18/22/54/760182254.db2.gz BYJYKAXFZPYMPL-OAHLLOKOSA-N 1 2 309.373 1.251 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)Nc1ccc(C#N)c(C)n1 ZINC001097975426 760327247 /nfs/dbraw/zinc/32/72/47/760327247.db2.gz RSWDOJNJLBMSDK-JTQLQIEISA-N 1 2 312.377 1.453 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nn(C)c(C)c1Cl ZINC001085848714 760352152 /nfs/dbraw/zinc/35/21/52/760352152.db2.gz FLXUMWXXUPXRSK-GFCCVEGCSA-N 1 2 308.813 1.552 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nn(C)c(C)c1Cl ZINC001085848714 760352161 /nfs/dbraw/zinc/35/21/61/760352161.db2.gz FLXUMWXXUPXRSK-GFCCVEGCSA-N 1 2 308.813 1.552 20 30 DDEDLO N#Cc1cccnc1NCC[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001066337487 760478287 /nfs/dbraw/zinc/47/82/87/760478287.db2.gz HMYUPGQNYREHKT-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2ncon2)cc1 ZINC001085931671 760560336 /nfs/dbraw/zinc/56/03/36/760560336.db2.gz FKNDMOYEAROTKU-OAHLLOKOSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2ncon2)cc1 ZINC001085931671 760560342 /nfs/dbraw/zinc/56/03/42/760560342.db2.gz FKNDMOYEAROTKU-OAHLLOKOSA-N 1 2 310.357 1.516 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2C[C@H](F)CC)cn1 ZINC001085933074 760563191 /nfs/dbraw/zinc/56/31/91/760563191.db2.gz PHFOUHKCHSGZSC-GDBMZVCRSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2C[C@H](F)CC)cn1 ZINC001085933074 760563198 /nfs/dbraw/zinc/56/31/98/760563198.db2.gz PHFOUHKCHSGZSC-GDBMZVCRSA-N 1 2 303.381 1.957 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1Cc2cc(C)c(C)cc2O1 ZINC001085980628 760650642 /nfs/dbraw/zinc/65/06/42/760650642.db2.gz TWRKMIFELXCWOY-SJLPKXTDSA-N 1 2 312.413 1.773 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1Cc2cc(C)c(C)cc2O1 ZINC001085980628 760650644 /nfs/dbraw/zinc/65/06/44/760650644.db2.gz TWRKMIFELXCWOY-SJLPKXTDSA-N 1 2 312.413 1.773 20 30 DDEDLO Cn1cnc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)c1 ZINC001038164594 760873395 /nfs/dbraw/zinc/87/33/95/760873395.db2.gz VNCZWVIFQLGOSE-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cnc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)c1 ZINC001038164594 760873409 /nfs/dbraw/zinc/87/34/09/760873409.db2.gz VNCZWVIFQLGOSE-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001038424626 761106737 /nfs/dbraw/zinc/10/67/37/761106737.db2.gz SLUMBJLOHVOGNX-OCCSQVGLSA-N 1 2 308.813 1.389 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1COc2ccc(Cl)cc21 ZINC001038513486 761167724 /nfs/dbraw/zinc/16/77/24/761167724.db2.gz IVXJZNOUKWYETA-GXTWGEPZSA-N 1 2 304.777 1.640 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1COc2ccc(Cl)cc21 ZINC001038513486 761167728 /nfs/dbraw/zinc/16/77/28/761167728.db2.gz IVXJZNOUKWYETA-GXTWGEPZSA-N 1 2 304.777 1.640 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)CC(=C)C)CC2=O)C1 ZINC001108566894 762710230 /nfs/dbraw/zinc/71/02/30/762710230.db2.gz QAMHFXPWOPJZAI-KGLIPLIRSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H](CC)CCC)CC2=O)C1 ZINC001108585173 762760486 /nfs/dbraw/zinc/76/04/86/762760486.db2.gz PQQDYVYNYHGBBQ-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001108589065 762774106 /nfs/dbraw/zinc/77/41/06/762774106.db2.gz WVAVIWVZENOVIS-STQMWFEESA-N 1 2 324.388 1.329 20 30 DDEDLO Cc1nsc(NC[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001108718645 762875609 /nfs/dbraw/zinc/87/56/09/762875609.db2.gz NCNHSOZDMCIFMJ-QMMMGPOBSA-N 1 2 304.379 1.206 20 30 DDEDLO C=CCCC1(C(=O)N2CCC(=O)NCC[N@@H+](CC=C)CC2)CC1 ZINC001131381072 768094844 /nfs/dbraw/zinc/09/48/44/768094844.db2.gz HGHLAXLAPYSDGA-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CCCC1(C(=O)N2CCC(=O)NCC[N@H+](CC=C)CC2)CC1 ZINC001131381072 768094850 /nfs/dbraw/zinc/09/48/50/768094850.db2.gz HGHLAXLAPYSDGA-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCCN(C(=O)CCc3nnc[nH]3)[C@@H]2C1 ZINC001050013305 763021296 /nfs/dbraw/zinc/02/12/96/763021296.db2.gz ORNZIETYKGBGSH-QWHCGFSZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCCN(C(=O)CCc3nnc[nH]3)[C@@H]2C1 ZINC001050013305 763021300 /nfs/dbraw/zinc/02/13/00/763021300.db2.gz ORNZIETYKGBGSH-QWHCGFSZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCCN(C(=O)CCc3nc[nH]n3)[C@@H]2C1 ZINC001050013305 763021301 /nfs/dbraw/zinc/02/13/01/763021301.db2.gz ORNZIETYKGBGSH-QWHCGFSZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCCN(C(=O)CCc3nc[nH]n3)[C@@H]2C1 ZINC001050013305 763021306 /nfs/dbraw/zinc/02/13/06/763021306.db2.gz ORNZIETYKGBGSH-QWHCGFSZSA-N 1 2 323.828 1.413 20 30 DDEDLO CC#CC[N@@H+]1CC[C@]2(CCN(C(=O)c3cc(C(F)F)[nH]n3)C2)C1 ZINC001041664480 763207086 /nfs/dbraw/zinc/20/70/86/763207086.db2.gz MMVDNBUPBXQSKS-INIZCTEOSA-N 1 2 322.359 1.909 20 30 DDEDLO CC#CC[N@H+]1CC[C@]2(CCN(C(=O)c3cc(C(F)F)[nH]n3)C2)C1 ZINC001041664480 763207096 /nfs/dbraw/zinc/20/70/96/763207096.db2.gz MMVDNBUPBXQSKS-INIZCTEOSA-N 1 2 322.359 1.909 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1nnc[nH]1)C2 ZINC001109126697 763375172 /nfs/dbraw/zinc/37/51/72/763375172.db2.gz APDUPZQFSWBTDN-WOPDTQHZSA-N 1 2 309.801 1.211 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1nnc[nH]1)C2 ZINC001109126697 763375181 /nfs/dbraw/zinc/37/51/81/763375181.db2.gz APDUPZQFSWBTDN-WOPDTQHZSA-N 1 2 309.801 1.211 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC1CCC1)C2 ZINC001109129440 763377104 /nfs/dbraw/zinc/37/71/04/763377104.db2.gz BKHXMYHCWUKFPF-PMPSAXMXSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC1CCC1)C2 ZINC001109129440 763377113 /nfs/dbraw/zinc/37/71/13/763377113.db2.gz BKHXMYHCWUKFPF-PMPSAXMXSA-N 1 2 317.433 1.038 20 30 DDEDLO C[C@H](CN(C)c1ccc(C#N)cn1)NC(=O)CCn1cc[nH+]c1 ZINC001109172459 763427584 /nfs/dbraw/zinc/42/75/84/763427584.db2.gz POXJEWQPBKZUEK-CYBMUJFWSA-N 1 2 312.377 1.181 20 30 DDEDLO Cc1ccc(C#N)c(N(C)C[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001109255409 763519992 /nfs/dbraw/zinc/51/99/92/763519992.db2.gz RNTZGUKOLSQMLH-GFCCVEGCSA-N 1 2 312.377 1.168 20 30 DDEDLO C[C@@H](CN(C)c1ccc(C#N)cn1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001109294699 763555810 /nfs/dbraw/zinc/55/58/10/763555810.db2.gz WWGJKHHKFHJVAE-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@@H](CN(C)c1ccc(C#N)cn1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001109294699 763555817 /nfs/dbraw/zinc/55/58/17/763555817.db2.gz WWGJKHHKFHJVAE-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@@H]21 ZINC001042066505 763610134 /nfs/dbraw/zinc/61/01/34/763610134.db2.gz GHPBXFWSNIOHBH-BBRMVZONSA-N 1 2 323.400 1.518 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@@H]21 ZINC001042066505 763610138 /nfs/dbraw/zinc/61/01/38/763610138.db2.gz GHPBXFWSNIOHBH-BBRMVZONSA-N 1 2 323.400 1.518 20 30 DDEDLO Cc1nc(NCCCN(C)C(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001109506435 763761660 /nfs/dbraw/zinc/76/16/60/763761660.db2.gz HJXXDEYIMWTAII-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)CCCNc2cc[nH+]c(C)n2)c1 ZINC001109611979 763861963 /nfs/dbraw/zinc/86/19/63/763861963.db2.gz DSIKNDSNSGZRRL-UHFFFAOYSA-N 1 2 309.373 1.736 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N(C)C1C[NH+](Cc2ccc(OCC#N)cc2)C1 ZINC001042437294 764044463 /nfs/dbraw/zinc/04/44/63/764044463.db2.gz ZYWMEOQGQUGUOS-GUYCJALGSA-N 1 2 313.401 1.888 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C3=COCCC3)c2C1 ZINC001069855309 768185446 /nfs/dbraw/zinc/18/54/46/768185446.db2.gz VKCVEXIGLWYLKF-UHFFFAOYSA-N 1 2 316.405 1.585 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C3=COCCC3)c2C1 ZINC001069855309 768185447 /nfs/dbraw/zinc/18/54/47/768185447.db2.gz VKCVEXIGLWYLKF-UHFFFAOYSA-N 1 2 316.405 1.585 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nn1C ZINC001050832831 764148034 /nfs/dbraw/zinc/14/80/34/764148034.db2.gz AFMANRDQWBMUDY-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nn1C ZINC001050832831 764148040 /nfs/dbraw/zinc/14/80/40/764148040.db2.gz AFMANRDQWBMUDY-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](NC(=O)Cn2cc[nH+]c2)C2CC2)n1 ZINC001109865891 764148108 /nfs/dbraw/zinc/14/81/08/764148108.db2.gz KXDUNNNHUQRUPT-OAHLLOKOSA-N 1 2 324.388 1.465 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001050882955 764232381 /nfs/dbraw/zinc/23/23/81/764232381.db2.gz CURDZBPIEWDIJV-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn(C)nc2-c2ccco2)C1 ZINC001042709394 764377455 /nfs/dbraw/zinc/37/74/55/764377455.db2.gz SBYDCLXNVUHAOC-UHFFFAOYSA-N 1 2 312.373 1.460 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCCn2nccc21 ZINC001050989912 764388379 /nfs/dbraw/zinc/38/83/79/764388379.db2.gz YZPVGJKJCVAJFB-HUUCEWRRSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCCn2nccc21 ZINC001050989912 764388388 /nfs/dbraw/zinc/38/83/88/764388388.db2.gz YZPVGJKJCVAJFB-HUUCEWRRSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn([C@H]3CCOC3)nc2C)C1 ZINC001042722749 764389154 /nfs/dbraw/zinc/38/91/54/764389154.db2.gz PLSFGJBLZFKPII-AWEZNQCLSA-N 1 2 318.421 1.485 20 30 DDEDLO C[C@@H]1CN(c2ccncc2C#N)CC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067408584 764435697 /nfs/dbraw/zinc/43/56/97/764435697.db2.gz VCCMGLVGDOMAAB-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1occ2c1CCC2 ZINC001051052776 764455742 /nfs/dbraw/zinc/45/57/42/764455742.db2.gz WAKZQPPXHNLPPA-CQSZACIVSA-N 1 2 304.390 1.775 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1occ2c1CCC2 ZINC001051052776 764455749 /nfs/dbraw/zinc/45/57/49/764455749.db2.gz WAKZQPPXHNLPPA-CQSZACIVSA-N 1 2 304.390 1.775 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ncccc1C#N ZINC001051069512 764472815 /nfs/dbraw/zinc/47/28/15/764472815.db2.gz ZAPKQKMCCVPTEW-RISCZKNCSA-N 1 2 310.361 1.002 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001112616481 764487901 /nfs/dbraw/zinc/48/79/01/764487901.db2.gz UFLKVXLJSFQFRN-HNNXBMFYSA-N 1 2 318.421 1.805 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2COCC[N@H+]2C[C@@H](CC)OC)CC1 ZINC001051098320 764503781 /nfs/dbraw/zinc/50/37/81/764503781.db2.gz DMXIYZKLSCBLDR-DLBZAZTESA-N 1 2 324.465 1.975 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2COCC[N@@H+]2C[C@@H](CC)OC)CC1 ZINC001051098320 764503786 /nfs/dbraw/zinc/50/37/86/764503786.db2.gz DMXIYZKLSCBLDR-DLBZAZTESA-N 1 2 324.465 1.975 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2COCC[N@H+]2CCC(=C)C)c1 ZINC001051107368 764511062 /nfs/dbraw/zinc/51/10/62/764511062.db2.gz SJZZKXVDNADXBS-KRWDZBQOSA-N 1 2 313.401 1.460 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2COCC[N@@H+]2CCC(=C)C)c1 ZINC001051107368 764511071 /nfs/dbraw/zinc/51/10/71/764511071.db2.gz SJZZKXVDNADXBS-KRWDZBQOSA-N 1 2 313.401 1.460 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](CCOC2CCC2)CC1 ZINC001112671891 764568486 /nfs/dbraw/zinc/56/84/86/764568486.db2.gz VIGAWUZWCCOTCG-HNNXBMFYSA-N 1 2 310.438 1.681 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)cc[nH+]1 ZINC001051321566 764744415 /nfs/dbraw/zinc/74/44/15/764744415.db2.gz VYPMATAIXTXOSY-OCCSQVGLSA-N 1 2 301.394 1.762 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC(CNc2cc[nH+]c(C)n2)C1 ZINC001112838186 764847746 /nfs/dbraw/zinc/84/77/46/764847746.db2.gz LCLHRZAKKKFFOX-ZUEPYMLJSA-N 1 2 304.394 1.419 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051428063 764868078 /nfs/dbraw/zinc/86/80/78/764868078.db2.gz UXEXNYCIYLNGPE-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001051463558 764906863 /nfs/dbraw/zinc/90/68/63/764906863.db2.gz DZBBHFFDYZAJGR-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(F)c(COC)c2)C1 ZINC001043821511 765116173 /nfs/dbraw/zinc/11/61/73/765116173.db2.gz LKKOQBOHGHKBCV-UHFFFAOYSA-N 1 2 304.365 1.752 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C1C[NH+](Cc2ccccc2)C1 ZINC001043902171 765157049 /nfs/dbraw/zinc/15/70/49/765157049.db2.gz DLTDAMUEIFDPDF-SJORKVTESA-N 1 2 300.402 1.920 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCO[C@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001051803973 765175549 /nfs/dbraw/zinc/17/55/49/765175549.db2.gz ULDSBBRWVCIVEN-CZUORRHYSA-N 1 2 322.409 1.297 20 30 DDEDLO C[C@@H](NC(=O)Cn1cc[nH+]c1)[C@@H](C)Nc1ncc(C#N)cc1F ZINC001113081719 765234237 /nfs/dbraw/zinc/23/42/37/765234237.db2.gz IOXLIHFBTBSHGM-GHMZBOCLSA-N 1 2 316.340 1.294 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2onc3c2CCCC3)CC1 ZINC001113100065 765266518 /nfs/dbraw/zinc/26/65/18/765266518.db2.gz ZQLSVFRJUWVKLB-UHFFFAOYSA-N 1 2 319.405 1.514 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccc(C)s3)C2)CC1 ZINC001051968282 765325188 /nfs/dbraw/zinc/32/51/88/765325188.db2.gz BIQDOUIOPLECML-HNNXBMFYSA-N 1 2 317.458 1.522 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccc(C)s3)C2)CC1 ZINC001051968282 765325196 /nfs/dbraw/zinc/32/51/96/765325196.db2.gz BIQDOUIOPLECML-HNNXBMFYSA-N 1 2 317.458 1.522 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)CC(C)(C)C)C2)CC1 ZINC001051983884 765349027 /nfs/dbraw/zinc/34/90/27/765349027.db2.gz XKPDNMWOBXUIJC-INIZCTEOSA-N 1 2 305.466 1.664 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ncoc3C)C2)CC1 ZINC001051991054 765356135 /nfs/dbraw/zinc/35/61/35/765356135.db2.gz CFZINMZWYLINMF-CQSZACIVSA-N 1 2 304.394 1.001 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C3=CCOCC3)C2)CC1 ZINC001052016980 765388504 /nfs/dbraw/zinc/38/85/04/765388504.db2.gz CHPXDIYMGINCDP-KRWDZBQOSA-N 1 2 319.449 1.128 20 30 DDEDLO CCc1occc1C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052022912 765397368 /nfs/dbraw/zinc/39/73/68/765397368.db2.gz OVBJGJRPFSPNPG-AWEZNQCLSA-N 1 2 316.405 1.198 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H](C)C3CCCC3)C2)CC1 ZINC001052106072 765476076 /nfs/dbraw/zinc/47/60/76/765476076.db2.gz AMBQMQGFQZJLTB-WMZOPIPTSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCN(C(=O)CCC)C2)C1 ZINC001044328201 765485455 /nfs/dbraw/zinc/48/54/55/765485455.db2.gz TWQKEXJCUQRINR-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+](CCO[C@@H]2CC2(F)F)CC1 ZINC001113283148 765511090 /nfs/dbraw/zinc/51/10/90/765511090.db2.gz CIDAOGILWMMHCF-CYBMUJFWSA-N 1 2 302.365 1.911 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)c2cc(=O)[nH]c3c2CCCC3)C1 ZINC001044378329 765521123 /nfs/dbraw/zinc/52/11/23/765521123.db2.gz NZMXMCXGOTYIEL-UHFFFAOYSA-N 1 2 301.390 1.608 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ncoc2C(C)(C)C)CC1 ZINC001113509971 765768125 /nfs/dbraw/zinc/76/81/25/765768125.db2.gz SSCWHTOHITXSAP-UHFFFAOYSA-N 1 2 321.421 1.933 20 30 DDEDLO N#CCSCC(=O)NC1[C@H]2C[N@@H+](C/C(Cl)=C/Cl)C[C@@H]12 ZINC001113897411 766362658 /nfs/dbraw/zinc/36/26/58/766362658.db2.gz UXAGPVJMAJUVOE-FCOCUJIPSA-N 1 2 320.245 1.609 20 30 DDEDLO N#CCSCC(=O)NC1[C@H]2C[N@H+](C/C(Cl)=C/Cl)C[C@@H]12 ZINC001113897411 766362667 /nfs/dbraw/zinc/36/26/67/766362667.db2.gz UXAGPVJMAJUVOE-FCOCUJIPSA-N 1 2 320.245 1.609 20 30 DDEDLO Cc1cc(N(C)[C@H](C)CNC(=O)Cc2c[nH]c[nH+]2)c(C#N)cn1 ZINC001113898388 766365131 /nfs/dbraw/zinc/36/51/31/766365131.db2.gz SQVQLWFFGKETQJ-GFCCVEGCSA-N 1 2 312.377 1.168 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001113952241 766455032 /nfs/dbraw/zinc/45/50/32/766455032.db2.gz AWFSFRCDNUHGKB-XGVBPZLHSA-N 1 2 317.364 1.279 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001113952241 766455035 /nfs/dbraw/zinc/45/50/35/766455035.db2.gz AWFSFRCDNUHGKB-XGVBPZLHSA-N 1 2 317.364 1.279 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001113999486 766513177 /nfs/dbraw/zinc/51/31/77/766513177.db2.gz PKIBHDSPVNAXTM-SCUASFONSA-N 1 2 322.434 1.066 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001113999486 766513180 /nfs/dbraw/zinc/51/31/80/766513180.db2.gz PKIBHDSPVNAXTM-SCUASFONSA-N 1 2 322.434 1.066 20 30 DDEDLO C=CCCCC(=O)N(C)CCCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001067881468 766778650 /nfs/dbraw/zinc/77/86/50/766778650.db2.gz CVTFOZXHCDIBTC-UHFFFAOYSA-N 1 2 320.437 1.924 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C3CCCCC3)CC2)C1 ZINC001045997988 766781842 /nfs/dbraw/zinc/78/18/42/766781842.db2.gz YHKVNYFLHMKPCX-MRXNPFEDSA-N 1 2 304.438 1.309 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)oc1C ZINC001114237278 766802608 /nfs/dbraw/zinc/80/26/08/766802608.db2.gz JKBYVINIQDDFOD-HALDLXJZSA-N 1 2 301.390 1.497 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)oc1C ZINC001114237278 766802614 /nfs/dbraw/zinc/80/26/14/766802614.db2.gz JKBYVINIQDDFOD-HALDLXJZSA-N 1 2 301.390 1.497 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)C ZINC001114237288 766802764 /nfs/dbraw/zinc/80/27/64/766802764.db2.gz JQYQFAHEFQAXLO-HALDLXJZSA-N 1 2 300.406 1.109 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)C ZINC001114237288 766802773 /nfs/dbraw/zinc/80/27/73/766802773.db2.gz JQYQFAHEFQAXLO-HALDLXJZSA-N 1 2 300.406 1.109 20 30 DDEDLO CC1(C)CC(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)C1 ZINC001046025482 766830194 /nfs/dbraw/zinc/83/01/94/766830194.db2.gz GTCRRFYULPLZJU-HNNXBMFYSA-N 1 2 304.438 1.165 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)CC2)C1 ZINC001046047719 766852281 /nfs/dbraw/zinc/85/22/81/766852281.db2.gz XIDNDKXZLYYCOR-BBWFWOEESA-N 1 2 316.449 1.165 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+][C@@H](C)c1nc(C)no1 ZINC001129523088 766926021 /nfs/dbraw/zinc/92/60/21/766926021.db2.gz UAVKVMWHBGUVNX-NSHDSACASA-N 1 2 303.366 1.446 20 30 DDEDLO CC1(C)CN(c2ccc(C#N)cn2)C[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001068119551 766931615 /nfs/dbraw/zinc/93/16/15/766931615.db2.gz PVWBPMAZMZEFIY-ZDUSSCGKSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001046361522 767469234 /nfs/dbraw/zinc/46/92/34/767469234.db2.gz DEQOGBYYFDKKRJ-DOMZBBRYSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001046361522 767469238 /nfs/dbraw/zinc/46/92/38/767469238.db2.gz DEQOGBYYFDKKRJ-DOMZBBRYSA-N 1 2 313.829 1.188 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)[C@H]2C)n1 ZINC001068863615 767667800 /nfs/dbraw/zinc/66/78/00/767667800.db2.gz ADBNBLGSKWZGCD-DZGCQCFKSA-N 1 2 324.388 1.560 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2Cl)C1 ZINC001047390306 768443360 /nfs/dbraw/zinc/44/33/60/768443360.db2.gz BWYMHPOEXDFNBA-RYUDHWBXSA-N 1 2 312.822 1.542 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2Cl)C1 ZINC001047390306 768443363 /nfs/dbraw/zinc/44/33/63/768443363.db2.gz BWYMHPOEXDFNBA-RYUDHWBXSA-N 1 2 312.822 1.542 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(F)c(C)cc2F)C1 ZINC001047418176 768463732 /nfs/dbraw/zinc/46/37/32/768463732.db2.gz FWVROGVSWYVJRM-GJZGRUSLSA-N 1 2 308.328 1.024 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(F)c(C)cc2F)C1 ZINC001047418176 768463736 /nfs/dbraw/zinc/46/37/36/768463736.db2.gz FWVROGVSWYVJRM-GJZGRUSLSA-N 1 2 308.328 1.024 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2snnc2C)C1 ZINC001131947330 768506336 /nfs/dbraw/zinc/50/63/36/768506336.db2.gz SRMJXGYVZDUNSP-WCQYABFASA-N 1 2 324.450 1.518 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2snnc2C)C1 ZINC001131947330 768506338 /nfs/dbraw/zinc/50/63/38/768506338.db2.gz SRMJXGYVZDUNSP-WCQYABFASA-N 1 2 324.450 1.518 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CCC)[nH]n2)C1 ZINC001047479271 768506518 /nfs/dbraw/zinc/50/65/18/768506518.db2.gz HRFVYSOPFUTEPR-GJZGRUSLSA-N 1 2 306.410 1.055 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CCC)[nH]n2)C1 ZINC001047479271 768506526 /nfs/dbraw/zinc/50/65/26/768506526.db2.gz HRFVYSOPFUTEPR-GJZGRUSLSA-N 1 2 306.410 1.055 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C2CC(C)(C)C2)C[N@@H+]1CC(=O)NCC#N ZINC001131998312 768549656 /nfs/dbraw/zinc/54/96/56/768549656.db2.gz CQLLEZVEURRMHW-TZMCWYRMSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C2CC(C)(C)C2)C[N@H+]1CC(=O)NCC#N ZINC001131998312 768549658 /nfs/dbraw/zinc/54/96/58/768549658.db2.gz CQLLEZVEURRMHW-TZMCWYRMSA-N 1 2 320.437 1.031 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)csc2Cl)C1 ZINC001047610987 768610135 /nfs/dbraw/zinc/61/01/35/768610135.db2.gz HKWABUWAFCTRKT-QWRGUYRKSA-N 1 2 312.822 1.460 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)csc2Cl)C1 ZINC001047610987 768610139 /nfs/dbraw/zinc/61/01/39/768610139.db2.gz HKWABUWAFCTRKT-QWRGUYRKSA-N 1 2 312.822 1.460 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C2(C(C)C)CC2)CC[C@@H]1C ZINC001132273193 768729408 /nfs/dbraw/zinc/72/94/08/768729408.db2.gz DVUWSTGCCIVULN-LSDHHAIUSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C2(C(C)C)CC2)CC[C@@H]1C ZINC001132273193 768729412 /nfs/dbraw/zinc/72/94/12/768729412.db2.gz DVUWSTGCCIVULN-LSDHHAIUSA-N 1 2 319.449 1.141 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001096242239 768733678 /nfs/dbraw/zinc/73/36/78/768733678.db2.gz ZIKODMMPKWDXGC-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001096242239 768733683 /nfs/dbraw/zinc/73/36/83/768733683.db2.gz ZIKODMMPKWDXGC-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CC2CCCC2)CC1 ZINC001070933226 768791509 /nfs/dbraw/zinc/79/15/09/768791509.db2.gz ABBUWOUPCOAXQO-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CC2CCCC2)CC1 ZINC001070933226 768791513 /nfs/dbraw/zinc/79/15/13/768791513.db2.gz ABBUWOUPCOAXQO-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2CC(C)(C)C2)CC1 ZINC001070962026 768815011 /nfs/dbraw/zinc/81/50/11/768815011.db2.gz DOKCYALUVNXKHQ-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2CC(C)(C)C2)CC1 ZINC001070962026 768815018 /nfs/dbraw/zinc/81/50/18/768815018.db2.gz DOKCYALUVNXKHQ-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C2CCC2)CC1 ZINC001070973539 768825806 /nfs/dbraw/zinc/82/58/06/768825806.db2.gz ORQLYYQIEUTVOV-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C2CCC2)CC1 ZINC001070973539 768825819 /nfs/dbraw/zinc/82/58/19/768825819.db2.gz ORQLYYQIEUTVOV-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2(C(C)C)CC2)CC1 ZINC001070997878 768862129 /nfs/dbraw/zinc/86/21/29/768862129.db2.gz YRTRCHOSJXWRRT-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2(C(C)C)CC2)CC1 ZINC001070997878 768862140 /nfs/dbraw/zinc/86/21/40/768862140.db2.gz YRTRCHOSJXWRRT-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001071057247 768920183 /nfs/dbraw/zinc/92/01/83/768920183.db2.gz JCRLTZTWJPOHQN-CQSZACIVSA-N 1 2 316.405 1.171 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001132650320 769066406 /nfs/dbraw/zinc/06/64/06/769066406.db2.gz JNLYWNIJVUUVGR-RYUDHWBXSA-N 1 2 310.398 1.585 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001132667605 769076617 /nfs/dbraw/zinc/07/66/17/769076617.db2.gz OPHFHQVWDMKBTO-VXGBXAGGSA-N 1 2 310.398 1.585 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001132670848 769079698 /nfs/dbraw/zinc/07/96/98/769079698.db2.gz CPOJAYYPAWKIOX-LBPRGKRZSA-N 1 2 324.450 1.910 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071176781 769101247 /nfs/dbraw/zinc/10/12/47/769101247.db2.gz AWDCTRHPQBRXNU-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CN1CC#N ZINC001071528408 769567431 /nfs/dbraw/zinc/56/74/31/769567431.db2.gz TULXFBMNMSFKCE-KGLIPLIRSA-N 1 2 315.421 1.255 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2C)CC[C@H]1C ZINC001071557967 769620551 /nfs/dbraw/zinc/62/05/51/769620551.db2.gz XSDDVGRXMVQVDW-DOMZBBRYSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2C)CC[C@H]1C ZINC001071557967 769620559 /nfs/dbraw/zinc/62/05/59/769620559.db2.gz XSDDVGRXMVQVDW-DOMZBBRYSA-N 1 2 324.856 1.993 20 30 DDEDLO C=CCCC1(C(=O)NCC[NH2+]Cc2nc(CCOC)no2)CC1 ZINC001133375766 769745072 /nfs/dbraw/zinc/74/50/72/769745072.db2.gz IENLEYXSZZSHOY-UHFFFAOYSA-N 1 2 322.409 1.211 20 30 DDEDLO C[C@@H]1CCN(C(=O)CCCn2cc[nH+]c2)C[C@H]1CNCC#N ZINC001133363322 769747169 /nfs/dbraw/zinc/74/71/69/769747169.db2.gz GUYNPIHKFCLKKE-HUUCEWRRSA-N 1 2 303.410 1.261 20 30 DDEDLO C=CCCC1(C(=O)NCC[NH2+]Cc2nc(CC3CC3)no2)CC1 ZINC001133373991 769755885 /nfs/dbraw/zinc/75/58/85/769755885.db2.gz MOPFTCVICJDBSV-UHFFFAOYSA-N 1 2 318.421 1.974 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)CC[C@H]1C ZINC001071659960 769810488 /nfs/dbraw/zinc/81/04/88/769810488.db2.gz NDLMTECCZIKNDU-KGLIPLIRSA-N 1 2 323.396 1.744 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)CC[C@H]1C ZINC001071659960 769810500 /nfs/dbraw/zinc/81/05/00/769810500.db2.gz NDLMTECCZIKNDU-KGLIPLIRSA-N 1 2 323.396 1.744 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cc(C)nn2C)CC[C@H]1C ZINC001071682580 769867496 /nfs/dbraw/zinc/86/74/96/769867496.db2.gz NWOUCNDROAWJNV-KGLIPLIRSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cc(C)nn2C)CC[C@H]1C ZINC001071682580 769867510 /nfs/dbraw/zinc/86/75/10/769867510.db2.gz NWOUCNDROAWJNV-KGLIPLIRSA-N 1 2 324.856 1.993 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001071775112 770045622 /nfs/dbraw/zinc/04/56/22/770045622.db2.gz XMRHYDJPZVSDJX-KGLIPLIRSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)CCc2c[nH+]cn2C)[C@@H](C)C1 ZINC001071786922 770069876 /nfs/dbraw/zinc/06/98/76/770069876.db2.gz KOTYRJRQGJFEQC-ZFWWWQNUSA-N 1 2 318.421 1.282 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2ncn[nH]2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071912172 770272562 /nfs/dbraw/zinc/27/25/62/770272562.db2.gz XFOGWEOIPYUADZ-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2ncn[nH]2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071912172 770272564 /nfs/dbraw/zinc/27/25/64/770272564.db2.gz XFOGWEOIPYUADZ-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2nc[nH]n2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071912172 770272570 /nfs/dbraw/zinc/27/25/70/770272570.db2.gz XFOGWEOIPYUADZ-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2nc[nH]n2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071912172 770272574 /nfs/dbraw/zinc/27/25/74/770272574.db2.gz XFOGWEOIPYUADZ-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cnc(COC)s2)CC[C@H]1C ZINC001072109555 770553411 /nfs/dbraw/zinc/55/34/11/770553411.db2.gz IZCPCYCFQPIXDN-NEPJUHHUSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cnc(COC)s2)CC[C@H]1C ZINC001072109555 770553416 /nfs/dbraw/zinc/55/34/16/770553416.db2.gz IZCPCYCFQPIXDN-NEPJUHHUSA-N 1 2 307.419 1.505 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001096505842 770608135 /nfs/dbraw/zinc/60/81/35/770608135.db2.gz SBMNXTSWRSZVLI-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1nccn1C ZINC001049380424 770759604 /nfs/dbraw/zinc/75/96/04/770759604.db2.gz FAEMBLVPQUAECP-MGPQQGTHSA-N 1 2 301.394 1.145 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1nccn1C ZINC001049380424 770759612 /nfs/dbraw/zinc/75/96/12/770759612.db2.gz FAEMBLVPQUAECP-MGPQQGTHSA-N 1 2 301.394 1.145 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)co1 ZINC001049467976 770893211 /nfs/dbraw/zinc/89/32/11/770893211.db2.gz RMJGNXNXEXTPKX-IRXDYDNUSA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)co1 ZINC001049467976 770893221 /nfs/dbraw/zinc/89/32/21/770893221.db2.gz RMJGNXNXEXTPKX-IRXDYDNUSA-N 1 2 313.401 1.962 20 30 DDEDLO C[C@@H](C[C@H](C)NC(=O)c1ccc(-n2cc[nH+]c2)cc1)NCC#N ZINC001135097099 771321879 /nfs/dbraw/zinc/32/18/79/771321879.db2.gz HKVRABAXKJSHSK-KBPBESRZSA-N 1 2 311.389 1.882 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C(N)=O)cs1)C2 ZINC001096621385 771356388 /nfs/dbraw/zinc/35/63/88/771356388.db2.gz ZYRHYAAMTHKISX-AGIUHOORSA-N 1 2 317.414 1.205 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C(N)=O)cs1)C2 ZINC001096621385 771356394 /nfs/dbraw/zinc/35/63/94/771356394.db2.gz ZYRHYAAMTHKISX-AGIUHOORSA-N 1 2 317.414 1.205 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](Nc1ccc(C#N)nc1)C1CC1 ZINC001096705964 771403868 /nfs/dbraw/zinc/40/38/68/771403868.db2.gz FVSCXRGHYXIUDO-MRXNPFEDSA-N 1 2 324.388 1.534 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C2CC2)n1 ZINC001096820626 771467603 /nfs/dbraw/zinc/46/76/03/771467603.db2.gz WGAAWZPNLIFPAA-OAHLLOKOSA-N 1 2 324.388 1.534 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](Nc2ncnc3c2C[N@H+](C)CC3)C1 ZINC001096929594 771521605 /nfs/dbraw/zinc/52/16/05/771521605.db2.gz LTEUGGNRMVEZBO-ZDUSSCGKSA-N 1 2 315.421 1.444 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](Nc2ncnc3c2C[N@@H+](C)CC3)C1 ZINC001096929594 771521610 /nfs/dbraw/zinc/52/16/10/771521610.db2.gz LTEUGGNRMVEZBO-ZDUSSCGKSA-N 1 2 315.421 1.444 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001097020559 771582914 /nfs/dbraw/zinc/58/29/14/771582914.db2.gz OGRLNDQJUVYDRO-HAQNSBGRSA-N 1 2 312.377 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2csc(C)c2)[C@@H](O)C1 ZINC001090644115 772068538 /nfs/dbraw/zinc/06/85/38/772068538.db2.gz JHVNMYIJRPVSSE-OLZOCXBDSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2csc(C)c2)[C@@H](O)C1 ZINC001090644115 772068545 /nfs/dbraw/zinc/06/85/45/772068545.db2.gz JHVNMYIJRPVSSE-OLZOCXBDSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CCSC)C1 ZINC001149109190 772816924 /nfs/dbraw/zinc/81/69/24/772816924.db2.gz IKOAZXCADOYOTB-LBPRGKRZSA-N 1 2 306.859 1.699 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CCSC)C1 ZINC001149109190 772816929 /nfs/dbraw/zinc/81/69/29/772816929.db2.gz IKOAZXCADOYOTB-LBPRGKRZSA-N 1 2 306.859 1.699 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(CC)o1)C2 ZINC001147165094 773056619 /nfs/dbraw/zinc/05/66/19/773056619.db2.gz AGJWAAJJGPMCAC-UHFFFAOYSA-N 1 2 304.394 1.633 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1nnc(CC)o1)C2 ZINC001147165094 773056623 /nfs/dbraw/zinc/05/66/23/773056623.db2.gz AGJWAAJJGPMCAC-UHFFFAOYSA-N 1 2 304.394 1.633 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001147514077 773156702 /nfs/dbraw/zinc/15/67/02/773156702.db2.gz BDEZSSICSUTFDA-ZDUSSCGKSA-N 1 2 316.405 1.633 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001147514077 773156706 /nfs/dbraw/zinc/15/67/06/773156706.db2.gz BDEZSSICSUTFDA-ZDUSSCGKSA-N 1 2 316.405 1.633 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001147640067 773188354 /nfs/dbraw/zinc/18/83/54/773188354.db2.gz OKBDFROPIMMYAG-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001147640067 773188358 /nfs/dbraw/zinc/18/83/58/773188358.db2.gz OKBDFROPIMMYAG-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001073806670 773431024 /nfs/dbraw/zinc/43/10/24/773431024.db2.gz XPDRWIARLUIFNZ-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2ncc(C3CC3)o2)nc1 ZINC001148369991 773458189 /nfs/dbraw/zinc/45/81/89/773458189.db2.gz CUQUEBJWDSRHQQ-UHFFFAOYSA-N 1 2 310.357 1.448 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)o1 ZINC001073973276 773566670 /nfs/dbraw/zinc/56/66/70/773566670.db2.gz XVNMOJOAGZTZII-GXTWGEPZSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)o1 ZINC001073973276 773566673 /nfs/dbraw/zinc/56/66/73/773566673.db2.gz XVNMOJOAGZTZII-GXTWGEPZSA-N 1 2 304.394 1.956 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001074035392 773598247 /nfs/dbraw/zinc/59/82/47/773598247.db2.gz CJYWMSJFTZDWHO-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1C)C2 ZINC001148893915 773627076 /nfs/dbraw/zinc/62/70/76/773627076.db2.gz WDZCEYHVBNHLPH-UHFFFAOYSA-N 1 2 318.421 1.047 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@H+](Cc1nccn1C)C2 ZINC001148893915 773627082 /nfs/dbraw/zinc/62/70/82/773627082.db2.gz WDZCEYHVBNHLPH-UHFFFAOYSA-N 1 2 318.421 1.047 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)cc3)C[C@@H]21 ZINC001074141401 773667389 /nfs/dbraw/zinc/66/73/89/773667389.db2.gz WZCZTBNWECFBRI-DLBZAZTESA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)cc3)C[C@@H]21 ZINC001074141401 773667392 /nfs/dbraw/zinc/66/73/92/773667392.db2.gz WZCZTBNWECFBRI-DLBZAZTESA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccco3)C[C@@H]21 ZINC001074151548 773677201 /nfs/dbraw/zinc/67/72/01/773677201.db2.gz OSEPUSCCKQAGNB-YMPXZSTISA-N 1 2 314.385 1.618 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccco3)C[C@@H]21 ZINC001074151548 773677207 /nfs/dbraw/zinc/67/72/07/773677207.db2.gz OSEPUSCCKQAGNB-YMPXZSTISA-N 1 2 314.385 1.618 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(C)c3)C[C@H]21 ZINC001074151749 773677777 /nfs/dbraw/zinc/67/77/77/773677777.db2.gz SOPHMJLOBSOXSR-QZTJIDSGSA-N 1 2 312.413 1.934 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(C)c3)C[C@H]21 ZINC001074151749 773677780 /nfs/dbraw/zinc/67/77/80/773677780.db2.gz SOPHMJLOBSOXSR-QZTJIDSGSA-N 1 2 312.413 1.934 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]ccc3C)C[C@H]21 ZINC001074230233 773754890 /nfs/dbraw/zinc/75/48/90/773754890.db2.gz VXSPTYKJIRCPKS-HUUCEWRRSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]ccc3C)C[C@H]21 ZINC001074230233 773754892 /nfs/dbraw/zinc/75/48/92/773754892.db2.gz VXSPTYKJIRCPKS-HUUCEWRRSA-N 1 2 303.406 1.815 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@@H]21 ZINC001074319065 773819888 /nfs/dbraw/zinc/81/98/88/773819888.db2.gz FPHQHOJHVSRCTO-JZAWBGDQSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@@H]21 ZINC001074319065 773819893 /nfs/dbraw/zinc/81/98/93/773819893.db2.gz FPHQHOJHVSRCTO-JZAWBGDQSA-N 1 2 302.418 1.358 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)C#CC3CC3)[C@H]2C)c(C)c(C)[nH+]1 ZINC001091962061 773872751 /nfs/dbraw/zinc/87/27/51/773872751.db2.gz NTLMFLIOCDGPKT-CJNGLKHVSA-N 1 2 312.417 1.899 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)[C@H](C)C#N)[C@H]2C)c(C)c(C)[nH+]1 ZINC001091944437 773885289 /nfs/dbraw/zinc/88/52/89/773885289.db2.gz APKSAONBHKZECG-IUPBHXKESA-N 1 2 301.394 1.645 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccn3CC)C[C@H]21 ZINC001074406010 773900848 /nfs/dbraw/zinc/90/08/48/773900848.db2.gz ZFTFJKQIHQDJPD-IAGOWNOFSA-N 1 2 317.433 1.999 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccn3CC)C[C@H]21 ZINC001074406010 773900852 /nfs/dbraw/zinc/90/08/52/773900852.db2.gz ZFTFJKQIHQDJPD-IAGOWNOFSA-N 1 2 317.433 1.999 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccnnc1C)c1nccn12 ZINC001092379286 774094645 /nfs/dbraw/zinc/09/46/45/774094645.db2.gz DLHMBCBSKJLEOL-CQSZACIVSA-N 1 2 324.388 1.053 20 30 DDEDLO C=CCOCC(=O)N1CCN(c2cc(C)[nH+]c(C3CC3)n2)CC1 ZINC001093141271 774445906 /nfs/dbraw/zinc/44/59/06/774445906.db2.gz QDKCTQZYOZDVIY-UHFFFAOYSA-N 1 2 316.405 1.514 20 30 DDEDLO C[C@@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1cnc(C#N)cn1 ZINC001098302085 774530026 /nfs/dbraw/zinc/53/00/26/774530026.db2.gz CAZQOKGFUKDECF-RYUDHWBXSA-N 1 2 313.365 1.113 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@H](C)Nc2nccnc2C#N)c(C)[nH+]1 ZINC001098431479 774578353 /nfs/dbraw/zinc/57/83/53/774578353.db2.gz PFDFDYXNUNDAMT-LBPRGKRZSA-N 1 2 324.388 1.899 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)c1cnn(C)c1)C2 ZINC001098537814 774606604 /nfs/dbraw/zinc/60/66/04/774606604.db2.gz RQAHILUIORKIKR-NGFQHRJXSA-N 1 2 322.840 1.998 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)c1cnn(C)c1)C2 ZINC001098537814 774606607 /nfs/dbraw/zinc/60/66/07/774606607.db2.gz RQAHILUIORKIKR-NGFQHRJXSA-N 1 2 322.840 1.998 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3CN(c4cc[nH+]c(C)n4)C[C@H]32)CCC1 ZINC001093316623 774614253 /nfs/dbraw/zinc/61/42/53/774614253.db2.gz PWQYFLLLFFVKDT-FOLVSLTJSA-N 1 2 310.401 1.529 20 30 DDEDLO Cc1nc(N[C@H]2CCC[C@@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001098598108 774617591 /nfs/dbraw/zinc/61/75/91/774617591.db2.gz VHDXBWMBXDIVFG-QWHCGFSZSA-N 1 2 319.434 1.881 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001093354629 774652070 /nfs/dbraw/zinc/65/20/70/774652070.db2.gz AKPKHAMSPAPRKU-RPCCPQHDSA-N 1 2 300.406 1.938 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C3=COCCC3)CC2)C1 ZINC001093513299 774762097 /nfs/dbraw/zinc/76/20/97/774762097.db2.gz MNKIWQJYFLQTSU-UHFFFAOYSA-N 1 2 320.433 1.949 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001093567382 774838302 /nfs/dbraw/zinc/83/83/02/774838302.db2.gz MWBKUHUTNYQSST-SFHVURJKSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cnco3)CC2)C1 ZINC001093557647 774882727 /nfs/dbraw/zinc/88/27/27/774882727.db2.gz DRRXKSSEOVCMEF-UHFFFAOYSA-N 1 2 305.378 1.556 20 30 DDEDLO CC#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)C3CC3)c2)nn1 ZINC001099598637 775058023 /nfs/dbraw/zinc/05/80/23/775058023.db2.gz IJEOIXJGLGMZRF-UHFFFAOYSA-N 1 2 323.400 1.406 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099804014 775298955 /nfs/dbraw/zinc/29/89/55/775298955.db2.gz GOANOIFWWOQXJX-UONOGXRCSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099804014 775298960 /nfs/dbraw/zinc/29/89/60/775298960.db2.gz GOANOIFWWOQXJX-UONOGXRCSA-N 1 2 321.446 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(CCF)CC2)[C@H](O)C1 ZINC001099931406 775329474 /nfs/dbraw/zinc/32/94/74/775329474.db2.gz QIEPMPVPVKLSQC-VXGBXAGGSA-N 1 2 304.793 1.430 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(CCF)CC2)[C@H](O)C1 ZINC001099931406 775329486 /nfs/dbraw/zinc/32/94/86/775329486.db2.gz QIEPMPVPVKLSQC-VXGBXAGGSA-N 1 2 304.793 1.430 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099975772 775507036 /nfs/dbraw/zinc/50/70/36/775507036.db2.gz GOFPWLYUPRASDR-JKSUJKDBSA-N 1 2 321.396 1.628 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099975772 775507045 /nfs/dbraw/zinc/50/70/45/775507045.db2.gz GOFPWLYUPRASDR-JKSUJKDBSA-N 1 2 321.396 1.628 20 30 DDEDLO Cc1cc(C#N)nc(NCCNC(=O)C[C@@H](C)n2cc[nH+]c2)n1 ZINC001094167563 775511016 /nfs/dbraw/zinc/51/10/16/775511016.db2.gz HQAVMNUWUQBYNZ-GFCCVEGCSA-N 1 2 313.365 1.033 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001100005307 775558219 /nfs/dbraw/zinc/55/82/19/775558219.db2.gz YVLJEVYBOKZXMC-NSHDSACASA-N 1 2 301.394 1.376 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@]34C[C@H]3CCC4)nn2)C1 ZINC001094308066 775659547 /nfs/dbraw/zinc/65/95/47/775659547.db2.gz BRWICLWZZMTQIY-MLGOLLRUSA-N 1 2 301.394 1.127 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@@H]2CCn3c[nH+]cc3C2)cn1 ZINC001094639656 776160635 /nfs/dbraw/zinc/16/06/35/776160635.db2.gz ZGRQQFPOSMKHFD-CYBMUJFWSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H]3CC=CCC3)CC2=O)C1 ZINC001094772715 776262379 /nfs/dbraw/zinc/26/23/79/776262379.db2.gz FAYIVCBVBIXMJK-CABCVRRESA-N 1 2 317.433 1.320 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@@H]1CCCN1c1ccc(C#N)cn1 ZINC001100849784 776630037 /nfs/dbraw/zinc/63/00/37/776630037.db2.gz ZBZWJTSWJGXNAO-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO Cc1cc(N2CCC[C@H]2CNC(=O)Cc2c[nH]c[nH+]2)c(C#N)cn1 ZINC001100910704 776694729 /nfs/dbraw/zinc/69/47/29/776694729.db2.gz QPCQZARSTIXUTB-HNNXBMFYSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1nc(N2CC[C@@H](CNC(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001100950255 776749929 /nfs/dbraw/zinc/74/99/29/776749929.db2.gz QNOCINOBBPLRSD-YGRLFVJLSA-N 1 2 301.394 1.504 20 30 DDEDLO C#CCC1(C(=O)NC[C@H]2CCN(c3cc[nH+]c(C)n3)C2)CCC1 ZINC001101027208 776835723 /nfs/dbraw/zinc/83/57/23/776835723.db2.gz JFDCHKMRNHNFPI-OAHLLOKOSA-N 1 2 312.417 1.921 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095300527 777478746 /nfs/dbraw/zinc/47/87/46/777478746.db2.gz CFSWHBGVESNCRJ-GXTWGEPZSA-N 1 2 304.394 1.779 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2nnc(C3CC3)[nH]2)C[C@H]1C ZINC001101824046 777569466 /nfs/dbraw/zinc/56/94/66/777569466.db2.gz UQKLSCGUFUBBEO-DMDPSCGWSA-N 1 2 316.409 1.026 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2nnc(C3CC3)[nH]2)C[C@H]1C ZINC001101824046 777569472 /nfs/dbraw/zinc/56/94/72/777569472.db2.gz UQKLSCGUFUBBEO-DMDPSCGWSA-N 1 2 316.409 1.026 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001101848081 777599241 /nfs/dbraw/zinc/59/92/41/777599241.db2.gz ULXYWFFSDSOVSR-AWEZNQCLSA-N 1 2 324.388 1.283 20 30 DDEDLO Cc1nc(NCCN(C)C(=O)CCn2cc[nH+]c2)ccc1C#N ZINC001101889135 777648526 /nfs/dbraw/zinc/64/85/26/777648526.db2.gz JXXYQGUGMIPSKV-UHFFFAOYSA-N 1 2 312.377 1.419 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NC[C@@H]2CN(CC#N)C[C@H]2C)c[nH+]1 ZINC001102079953 777859262 /nfs/dbraw/zinc/85/92/62/777859262.db2.gz LNSCKNZDYGYRPP-TZMCWYRMSA-N 1 2 303.410 1.134 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102321220 778054651 /nfs/dbraw/zinc/05/46/51/778054651.db2.gz IFXGHUFCGPQRPJ-PBHICJAKSA-N 1 2 318.421 1.445 20 30 DDEDLO Cc1nc(N2CC[C@H](N(C)C(=O)C#CC3CC3)C2)c(C)c(C)[nH+]1 ZINC001102700228 778326528 /nfs/dbraw/zinc/32/65/28/778326528.db2.gz MLYGTKYFJCWUFR-INIZCTEOSA-N 1 2 312.417 1.852 20 30 DDEDLO Cc1nc(N2CC[C@@H](N(C)C(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001102702367 778329358 /nfs/dbraw/zinc/32/93/58/778329358.db2.gz RDYNQPJNGMLIKB-QMTHXVAHSA-N 1 2 301.394 1.599 20 30 DDEDLO CC(C)=CC[N@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)C)C[C@H]21 ZINC001177019723 778395843 /nfs/dbraw/zinc/39/58/43/778395843.db2.gz RANJWSBWMMFQEC-SJORKVTESA-N 1 2 304.434 1.914 20 30 DDEDLO CC(C)=CC[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)C)C[C@H]21 ZINC001177019723 778395847 /nfs/dbraw/zinc/39/58/47/778395847.db2.gz RANJWSBWMMFQEC-SJORKVTESA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@H]2OCC[N@H+](CCC#C)[C@@H]2C1 ZINC001177061481 778421918 /nfs/dbraw/zinc/42/19/18/778421918.db2.gz MIGAJFNSLPZGTN-QZTJIDSGSA-N 1 2 316.445 1.895 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@H]2OCC[N@@H+](CCC#C)[C@@H]2C1 ZINC001177061481 778421923 /nfs/dbraw/zinc/42/19/23/778421923.db2.gz MIGAJFNSLPZGTN-QZTJIDSGSA-N 1 2 316.445 1.895 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CCCO)[C@H]3C2)CC1 ZINC001177102472 778447848 /nfs/dbraw/zinc/44/78/48/778447848.db2.gz WCNHVRQNDPXSQP-JKSUJKDBSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@H]3OCC[N@H+](CCCO)[C@H]3C2)CC1 ZINC001177102472 778447851 /nfs/dbraw/zinc/44/78/51/778447851.db2.gz WCNHVRQNDPXSQP-JKSUJKDBSA-N 1 2 322.449 1.417 20 30 DDEDLO Cc1nc(N2CCC[C@@H](CCNC(=O)C#CC3CC3)C2)cc[nH+]1 ZINC001102920334 778489704 /nfs/dbraw/zinc/48/97/04/778489704.db2.gz BMAKWVHPXUVGRF-INIZCTEOSA-N 1 2 312.417 1.921 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001177272934 778527124 /nfs/dbraw/zinc/52/71/24/778527124.db2.gz BSHGJSHDZSIZAZ-GFCCVEGCSA-N 1 2 322.409 1.524 20 30 DDEDLO C#CCC[NH+]1CC(O)(CN(Cc2ccccc2)C(=O)C(C)C)C1 ZINC001177512645 778629089 /nfs/dbraw/zinc/62/90/89/778629089.db2.gz GWLPUCSPHBHJCM-UHFFFAOYSA-N 1 2 314.429 1.741 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1nc(C)ccc1C#N ZINC001103161475 778679668 /nfs/dbraw/zinc/67/96/68/778679668.db2.gz CJTFSHZNGKWQMX-ZDUSSCGKSA-N 1 2 312.377 1.534 20 30 DDEDLO CC(C)(C)[C@H](C[NH+]1CCOCC1)NC(=O)C#Cc1cccnc1 ZINC001177782258 778735109 /nfs/dbraw/zinc/73/51/09/778735109.db2.gz IDJZVJKKVLGZND-INIZCTEOSA-N 1 2 315.417 1.296 20 30 DDEDLO C=CCOCC(=O)NC1(CNc2cc[nH+]c(C)n2)CCCC1 ZINC001103419055 778855942 /nfs/dbraw/zinc/85/59/42/778855942.db2.gz KQKAWSJWXAJCJH-UHFFFAOYSA-N 1 2 304.394 1.829 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2CCc3[nH+]ccn3C2)Cc2ccccc2C1 ZINC001178122674 778914321 /nfs/dbraw/zinc/91/43/21/778914321.db2.gz ZXCNNXZEVDVWDE-OAHLLOKOSA-N 1 2 306.369 1.623 20 30 DDEDLO Cc1nc(NC[C@@](C)(NC(=O)c2cc(C#N)c[nH]2)C2CC2)cc[nH+]1 ZINC001103512357 778915696 /nfs/dbraw/zinc/91/56/96/778915696.db2.gz IYDLGYMCFAAWRH-QGZVFWFLSA-N 1 2 324.388 1.995 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001103938262 779188741 /nfs/dbraw/zinc/18/87/41/779188741.db2.gz WVNONBLPNNWXSN-LBPRGKRZSA-N 1 2 318.425 1.214 20 30 DDEDLO C[C@H](CNC(=O)Cn1cc[nH+]c1)CNc1ncc(C#N)cc1F ZINC001104001845 779234920 /nfs/dbraw/zinc/23/49/20/779234920.db2.gz FAHVOFSXQNTMCE-LLVKDONJSA-N 1 2 316.340 1.153 20 30 DDEDLO C[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)CNc1cccc(F)c1C#N ZINC001104053047 779273267 /nfs/dbraw/zinc/27/32/67/779273267.db2.gz PSIQCZSLDWCANH-LLVKDONJSA-N 1 2 315.352 1.827 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1C ZINC001112405321 779762521 /nfs/dbraw/zinc/76/25/21/779762521.db2.gz OBRLMMVZOKDBDP-DZGCQCFKSA-N 1 2 300.406 1.920 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cnon4)C[C@H]32)CCCC1 ZINC001115364746 780069433 /nfs/dbraw/zinc/06/94/33/780069433.db2.gz JIGWVJFOBNMIJL-FICVDOATSA-N 1 2 316.405 1.753 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cnon4)C[C@H]32)CCCC1 ZINC001115364746 780069443 /nfs/dbraw/zinc/06/94/43/780069443.db2.gz JIGWVJFOBNMIJL-FICVDOATSA-N 1 2 316.405 1.753 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC001117864396 780920725 /nfs/dbraw/zinc/92/07/25/780920725.db2.gz ODAYKFMKHSMALW-KFWWJZLASA-N 1 2 318.421 1.728 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)C1 ZINC001118893331 781266234 /nfs/dbraw/zinc/26/62/34/781266234.db2.gz VLOPQQUPNVHWCK-CYBMUJFWSA-N 1 2 316.405 1.540 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)C1 ZINC001118893331 781266237 /nfs/dbraw/zinc/26/62/37/781266237.db2.gz VLOPQQUPNVHWCK-CYBMUJFWSA-N 1 2 316.405 1.540 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](CCCS(=O)(=O)CC)C1 ZINC001266323138 836119540 /nfs/dbraw/zinc/11/95/40/836119540.db2.gz VUJPCAJCNIUNMB-AWEZNQCLSA-N 1 2 316.467 1.358 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](CCCS(=O)(=O)CC)C1 ZINC001266323138 836119542 /nfs/dbraw/zinc/11/95/42/836119542.db2.gz VUJPCAJCNIUNMB-AWEZNQCLSA-N 1 2 316.467 1.358 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]([NH2+]Cc1nc(C2CC2)no1)C1CC1 ZINC001266407339 836215620 /nfs/dbraw/zinc/21/56/20/836215620.db2.gz VGZGZGYFCHBCII-AWEZNQCLSA-N 1 2 314.389 1.345 20 30 DDEDLO CCC[N@H+](CCNC(=O)C#CC(C)C)[C@@H](C)c1nc(C)no1 ZINC001266560172 836468897 /nfs/dbraw/zinc/46/88/97/836468897.db2.gz CCIHZPXNMHQXMY-ZDUSSCGKSA-N 1 2 306.410 1.927 20 30 DDEDLO CCC[N@@H+](CCNC(=O)C#CC(C)C)[C@@H](C)c1nc(C)no1 ZINC001266560172 836468902 /nfs/dbraw/zinc/46/89/02/836468902.db2.gz CCIHZPXNMHQXMY-ZDUSSCGKSA-N 1 2 306.410 1.927 20 30 DDEDLO C=CC[N@@H+](CCNC(=O)C1(S(C)(=O)=O)CCCC1)C1CC1 ZINC001266614277 836536566 /nfs/dbraw/zinc/53/65/66/836536566.db2.gz YZCQWUWPPJGEPH-UHFFFAOYSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CC[N@H+](CCNC(=O)C1(S(C)(=O)=O)CCCC1)C1CC1 ZINC001266614277 836536569 /nfs/dbraw/zinc/53/65/69/836536569.db2.gz YZCQWUWPPJGEPH-UHFFFAOYSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@H+](Cc3cnon3)CC[C@H]21 ZINC001266660311 836609864 /nfs/dbraw/zinc/60/98/64/836609864.db2.gz WGNHQRCCDADNNF-DZGCQCFKSA-N 1 2 304.394 1.849 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@@H+](Cc3cnon3)CC[C@H]21 ZINC001266660311 836609870 /nfs/dbraw/zinc/60/98/70/836609870.db2.gz WGNHQRCCDADNNF-DZGCQCFKSA-N 1 2 304.394 1.849 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@@H+](Cc2nnc(CC)o2)C1 ZINC001266747854 836770516 /nfs/dbraw/zinc/77/05/16/836770516.db2.gz YEZXWOSZKDMMGP-AWEZNQCLSA-N 1 2 318.421 1.764 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@H+](Cc2nnc(CC)o2)C1 ZINC001266747854 836770521 /nfs/dbraw/zinc/77/05/21/836770521.db2.gz YEZXWOSZKDMMGP-AWEZNQCLSA-N 1 2 318.421 1.764 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@@H]([C@H](C)NC(=O)C#CC3CC3)C2)n1 ZINC001266892163 837007029 /nfs/dbraw/zinc/00/70/29/837007029.db2.gz TWLYZDIGLAAJHW-SWLSCSKDSA-N 1 2 316.405 1.508 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@@H]([C@H](C)NC(=O)C#CC3CC3)C2)n1 ZINC001266892163 837007039 /nfs/dbraw/zinc/00/70/39/837007039.db2.gz TWLYZDIGLAAJHW-SWLSCSKDSA-N 1 2 316.405 1.508 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(CCC)C(=O)c2snnc2C)C1 ZINC001267449943 838074378 /nfs/dbraw/zinc/07/43/78/838074378.db2.gz HBCYBMIWDFTQQH-ZDUSSCGKSA-N 1 2 306.435 1.796 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(CCC)C(=O)c2snnc2C)C1 ZINC001267449943 838074396 /nfs/dbraw/zinc/07/43/96/838074396.db2.gz HBCYBMIWDFTQQH-ZDUSSCGKSA-N 1 2 306.435 1.796 20 30 DDEDLO CC(C)C[C@@H](C(=O)NCC[C@@H]1CCN(CC#N)C1)n1cc[nH+]c1 ZINC001267558112 838303135 /nfs/dbraw/zinc/30/31/35/838303135.db2.gz SHDUCQYVQXBNAE-CVEARBPZSA-N 1 2 317.437 1.822 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC001267573342 838337518 /nfs/dbraw/zinc/33/75/18/838337518.db2.gz OZZVOKPLKSILKI-OAGGEKHMSA-N 1 2 304.438 1.022 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)c2cccc(C)n2)CC1 ZINC001267574208 838341063 /nfs/dbraw/zinc/34/10/63/838341063.db2.gz YIXDAHUYWJTUKR-UHFFFAOYSA-N 1 2 314.433 1.103 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)CC1CCCCC1 ZINC001267604701 838424573 /nfs/dbraw/zinc/42/45/73/838424573.db2.gz BHUKNLKTBWNYFM-UHFFFAOYSA-N 1 2 306.454 1.556 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001267615943 838479098 /nfs/dbraw/zinc/47/90/98/838479098.db2.gz XJWXSGSBEVRSKG-ZIAGYGMSSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001267615943 838479102 /nfs/dbraw/zinc/47/91/02/838479102.db2.gz XJWXSGSBEVRSKG-ZIAGYGMSSA-N 1 2 309.454 1.551 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2conc2C2CC2)C1 ZINC001267616876 838489800 /nfs/dbraw/zinc/48/98/00/838489800.db2.gz FRWKRCOAMYEGMJ-CQSZACIVSA-N 1 2 317.389 1.396 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2conc2C2CC2)C1 ZINC001267616876 838489803 /nfs/dbraw/zinc/48/98/03/838489803.db2.gz FRWKRCOAMYEGMJ-CQSZACIVSA-N 1 2 317.389 1.396 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1C[NH+](C[C@@H](OC)c2ccccc2)C1 ZINC001267644807 838564978 /nfs/dbraw/zinc/56/49/78/838564978.db2.gz WSMRHHYKSWKOGG-AEFFLSMTSA-N 1 2 318.417 1.502 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)CCc2cccc(OC)c2F)C1 ZINC001267648935 838575404 /nfs/dbraw/zinc/57/54/04/838575404.db2.gz PIQFUJILHYZTQW-UHFFFAOYSA-N 1 2 304.365 1.591 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCC12CC2 ZINC001267710005 838693884 /nfs/dbraw/zinc/69/38/84/838693884.db2.gz ZGBBCUHJHTUOAC-HRCADAONSA-N 1 2 302.418 1.502 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCC12CC2 ZINC001267710005 838693888 /nfs/dbraw/zinc/69/38/88/838693888.db2.gz ZGBBCUHJHTUOAC-HRCADAONSA-N 1 2 302.418 1.502 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@@H+](CC(=O)NCCC)C2)CC1 ZINC001267730633 838771630 /nfs/dbraw/zinc/77/16/30/838771630.db2.gz UDSZWVDOZDRIKB-HNNXBMFYSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@H+](CC(=O)NCCC)C2)CC1 ZINC001267730633 838771636 /nfs/dbraw/zinc/77/16/36/838771636.db2.gz UDSZWVDOZDRIKB-HNNXBMFYSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCCCCNC(C)=O)C1 ZINC001267754992 838864649 /nfs/dbraw/zinc/86/46/49/838864649.db2.gz WSXLOLWEJLPPHJ-CQSZACIVSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCCCCNC(C)=O)C1 ZINC001267754992 838864666 /nfs/dbraw/zinc/86/46/66/838864666.db2.gz WSXLOLWEJLPPHJ-CQSZACIVSA-N 1 2 315.845 1.626 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H]1CC[N@@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001267765466 838907711 /nfs/dbraw/zinc/90/77/11/838907711.db2.gz ZQHWUMUMAXCKNY-GXTWGEPZSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H]1CC[N@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001267765466 838907722 /nfs/dbraw/zinc/90/77/22/838907722.db2.gz ZQHWUMUMAXCKNY-GXTWGEPZSA-N 1 2 304.394 1.775 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2C[N@H+](CCOCC3CC3)CCO2)C1 ZINC001268026656 839429096 /nfs/dbraw/zinc/42/90/96/839429096.db2.gz PPQQSZWRADYIFU-INIZCTEOSA-N 1 2 322.449 1.586 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2C[N@@H+](CCOCC3CC3)CCO2)C1 ZINC001268026656 839429111 /nfs/dbraw/zinc/42/91/11/839429111.db2.gz PPQQSZWRADYIFU-INIZCTEOSA-N 1 2 322.449 1.586 20 30 DDEDLO C=C[C@@H](CC(=O)NC[C@H]1C[N@H+](CCO)CCO1)c1ccccc1 ZINC001268029859 839446072 /nfs/dbraw/zinc/44/60/72/839446072.db2.gz ZZEXJFFPJPFTQH-RDJZCZTQSA-N 1 2 318.417 1.156 20 30 DDEDLO C=C[C@@H](CC(=O)NC[C@H]1C[N@@H+](CCO)CCO1)c1ccccc1 ZINC001268029859 839446073 /nfs/dbraw/zinc/44/60/73/839446073.db2.gz ZZEXJFFPJPFTQH-RDJZCZTQSA-N 1 2 318.417 1.156 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC/C=C\C[NH2+]Cc1ncc(C)o1 ZINC001268593202 840513424 /nfs/dbraw/zinc/51/34/24/840513424.db2.gz RQKXJVVMMGEUKV-VSVUCHCSSA-N 1 2 305.378 1.336 20 30 DDEDLO C#CCC1(C(=O)N[C@H](C)C[NH2+]Cc2nc(C)no2)CCCCC1 ZINC001268676770 840660145 /nfs/dbraw/zinc/66/01/45/840660145.db2.gz ZHNGPCXFWMWSNH-CYBMUJFWSA-N 1 2 318.421 1.946 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@H](C)NC(=O)CSCC#N)cs1 ZINC001268723131 840716513 /nfs/dbraw/zinc/71/65/13/840716513.db2.gz XKKZMIDNDADTJP-JTQLQIEISA-N 1 2 312.464 1.645 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@H](C)NC(=O)CSCC#N)cs1 ZINC001268723131 840716515 /nfs/dbraw/zinc/71/65/15/840716515.db2.gz XKKZMIDNDADTJP-JTQLQIEISA-N 1 2 312.464 1.645 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001269278348 841458881 /nfs/dbraw/zinc/45/88/81/841458881.db2.gz HKLBLZZTCQGFAP-CQSZACIVSA-N 1 2 307.438 1.285 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@H+]1CC(=O)NC(C)(C)C ZINC001269278348 841458885 /nfs/dbraw/zinc/45/88/85/841458885.db2.gz HKLBLZZTCQGFAP-CQSZACIVSA-N 1 2 307.438 1.285 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)C1(Cc2ccccc2F)CC1 ZINC001269320262 841513347 /nfs/dbraw/zinc/51/33/47/841513347.db2.gz AAEHAOWRZRWYQM-HNNXBMFYSA-N 1 2 300.377 1.972 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)C1(Cc2ccccc2F)CC1 ZINC001269320262 841513352 /nfs/dbraw/zinc/51/33/52/841513352.db2.gz AAEHAOWRZRWYQM-HNNXBMFYSA-N 1 2 300.377 1.972 20 30 DDEDLO C=CCN1CC[C@@]2(CCCN2C(=O)c2cc3c[nH+]ccc3[nH]2)C1=O ZINC001269386881 841590410 /nfs/dbraw/zinc/59/04/10/841590410.db2.gz RKSHCOPDJBTUCG-SFHVURJKSA-N 1 2 324.384 1.956 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2([NH2+]Cc3coc(C)n3)CC2)cn1 ZINC001269521565 841723004 /nfs/dbraw/zinc/72/30/04/841723004.db2.gz CDFHBHJBNFEYJR-UHFFFAOYSA-N 1 2 310.357 1.412 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CC[C@@H]2CC(C)(C)CO2)C1 ZINC001269882134 842128325 /nfs/dbraw/zinc/12/83/25/842128325.db2.gz ZLFRPBCPMOWGGZ-MRXNPFEDSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]1C[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001270016496 842228237 /nfs/dbraw/zinc/22/82/37/842228237.db2.gz UEBUZLBRZVDQDK-STQMWFEESA-N 1 2 322.409 1.824 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)COc2cccc(CC)c2)C1 ZINC001270700492 842831642 /nfs/dbraw/zinc/83/16/42/842831642.db2.gz IADVJNVOCJPOCI-KRWDZBQOSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)COc2cccc(CC)c2)C1 ZINC001270700492 842831650 /nfs/dbraw/zinc/83/16/50/842831650.db2.gz IADVJNVOCJPOCI-KRWDZBQOSA-N 1 2 318.417 1.631 20 30 DDEDLO C=C[C@H](CC(=O)N(C)CC[N@H+](C)CC(N)=O)c1ccccc1 ZINC001272179167 844681235 /nfs/dbraw/zinc/68/12/35/844681235.db2.gz YXMPICRWTUULDT-CQSZACIVSA-N 1 2 303.406 1.222 20 30 DDEDLO C=C[C@H](CC(=O)N(C)CC[N@@H+](C)CC(N)=O)c1ccccc1 ZINC001272179167 844681239 /nfs/dbraw/zinc/68/12/39/844681239.db2.gz YXMPICRWTUULDT-CQSZACIVSA-N 1 2 303.406 1.222 20 30 DDEDLO CCO[C@@H](C[N@@H+]1CCOC[C@@H]1CNC(=O)C#CC1CC1)C1CC1 ZINC001326633517 861496514 /nfs/dbraw/zinc/49/65/14/861496514.db2.gz ODXFHHSPRAQCSG-IRXDYDNUSA-N 1 2 320.433 1.032 20 30 DDEDLO CCO[C@@H](C[N@H+]1CCOC[C@@H]1CNC(=O)C#CC1CC1)C1CC1 ZINC001326633517 861496525 /nfs/dbraw/zinc/49/65/25/861496525.db2.gz ODXFHHSPRAQCSG-IRXDYDNUSA-N 1 2 320.433 1.032 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)COCC2CC2)C1 ZINC001149247712 861497220 /nfs/dbraw/zinc/49/72/20/861497220.db2.gz LQEBYJALBBQRAE-AWEZNQCLSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)COCC2CC2)C1 ZINC001149247712 861497230 /nfs/dbraw/zinc/49/72/30/861497230.db2.gz LQEBYJALBBQRAE-AWEZNQCLSA-N 1 2 316.829 1.373 20 30 DDEDLO CC[C@@H](CNC(=O)[C@@H](C)C#N)NC(=O)c1cccc2[nH+]ccn21 ZINC001409385655 845014195 /nfs/dbraw/zinc/01/41/95/845014195.db2.gz ZUHPVYIMFFYMLD-RYUDHWBXSA-N 1 2 313.361 1.119 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1conc1COC ZINC001326666985 861526093 /nfs/dbraw/zinc/52/60/93/861526093.db2.gz JQNLOISJYPWUGU-CYBMUJFWSA-N 1 2 323.393 1.218 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1conc1COC ZINC001326666985 861526100 /nfs/dbraw/zinc/52/61/00/861526100.db2.gz JQNLOISJYPWUGU-CYBMUJFWSA-N 1 2 323.393 1.218 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@H+](CC(=C)Cl)CCCO1 ZINC001149432380 861612699 /nfs/dbraw/zinc/61/26/99/861612699.db2.gz SJEWJURZMGJQEU-CYBMUJFWSA-N 1 2 302.802 1.149 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@@H+](CC(=C)Cl)CCCO1 ZINC001149432380 861612704 /nfs/dbraw/zinc/61/27/04/861612704.db2.gz SJEWJURZMGJQEU-CYBMUJFWSA-N 1 2 302.802 1.149 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2Cc2ccnc(OC)n2)C1=O ZINC001272636769 846436447 /nfs/dbraw/zinc/43/64/47/846436447.db2.gz HESCSNWCGQUXLV-MRXNPFEDSA-N 1 2 302.378 1.238 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2Cc2ccnc(OC)n2)C1=O ZINC001272636769 846436452 /nfs/dbraw/zinc/43/64/52/846436452.db2.gz HESCSNWCGQUXLV-MRXNPFEDSA-N 1 2 302.378 1.238 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)Cc2ccoc2)C1 ZINC001149608092 861745099 /nfs/dbraw/zinc/74/50/99/861745099.db2.gz LCGAFDJEEKWILX-AWEZNQCLSA-N 1 2 312.797 1.782 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)Cc2ccoc2)C1 ZINC001149608092 861745105 /nfs/dbraw/zinc/74/51/05/861745105.db2.gz LCGAFDJEEKWILX-AWEZNQCLSA-N 1 2 312.797 1.782 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)OCCCC)C1 ZINC001107791431 847087600 /nfs/dbraw/zinc/08/76/00/847087600.db2.gz BNDNMSKOCGLTLL-DOTOQJQBSA-N 1 2 310.438 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)OCCCC)C1 ZINC001107791431 847087611 /nfs/dbraw/zinc/08/76/11/847087611.db2.gz BNDNMSKOCGLTLL-DOTOQJQBSA-N 1 2 310.438 1.422 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)CCCn1cc[nH+]c1 ZINC001107807554 847145365 /nfs/dbraw/zinc/14/53/65/847145365.db2.gz FHYBPLJFQSFTCB-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@]1(C)C[N@H+](Cc2ccc(F)cc2)CCO1 ZINC001107818885 847195002 /nfs/dbraw/zinc/19/50/02/847195002.db2.gz HWDPNDRRXOHFPJ-DYVFJYSZSA-N 1 2 319.380 1.692 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@]1(C)C[N@@H+](Cc2ccc(F)cc2)CCO1 ZINC001107818885 847195009 /nfs/dbraw/zinc/19/50/09/847195009.db2.gz HWDPNDRRXOHFPJ-DYVFJYSZSA-N 1 2 319.380 1.692 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cncc(C)c2)C1 ZINC001107837667 847273465 /nfs/dbraw/zinc/27/34/65/847273465.db2.gz MJIVLWOSDXTWSG-KRWDZBQOSA-N 1 2 301.390 1.234 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cncc(C)c2)C1 ZINC001107837667 847273471 /nfs/dbraw/zinc/27/34/71/847273471.db2.gz MJIVLWOSDXTWSG-KRWDZBQOSA-N 1 2 301.390 1.234 20 30 DDEDLO C#CCN1c2ccccc2[C@]2(CC[N@H+](Cc3csnn3)C2)C1=O ZINC001272780688 847417459 /nfs/dbraw/zinc/41/74/59/847417459.db2.gz MOANITIALIFDFY-QGZVFWFLSA-N 1 2 324.409 1.662 20 30 DDEDLO C#CCN1c2ccccc2[C@]2(CC[N@@H+](Cc3csnn3)C2)C1=O ZINC001272780688 847417461 /nfs/dbraw/zinc/41/74/61/847417461.db2.gz MOANITIALIFDFY-QGZVFWFLSA-N 1 2 324.409 1.662 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1ccc(F)cn1)C2 ZINC001272803711 847450546 /nfs/dbraw/zinc/45/05/46/847450546.db2.gz XEMVFFRBJXMBRZ-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1ccc(F)cn1)C2 ZINC001272803711 847450551 /nfs/dbraw/zinc/45/05/51/847450551.db2.gz XEMVFFRBJXMBRZ-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1COCC[N@H+]1C[C@@H](CC)OC ZINC001272893296 847600639 /nfs/dbraw/zinc/60/06/39/847600639.db2.gz KMTJCEQDWAJCPS-LSDHHAIUSA-N 1 2 312.454 1.831 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1COCC[N@@H+]1C[C@@H](CC)OC ZINC001272893296 847600644 /nfs/dbraw/zinc/60/06/44/847600644.db2.gz KMTJCEQDWAJCPS-LSDHHAIUSA-N 1 2 312.454 1.831 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](CCc1ccccc1F)C2 ZINC001273037361 847824011 /nfs/dbraw/zinc/82/40/11/847824011.db2.gz ZFSQLLMRIVQYCP-UHFFFAOYSA-N 1 2 304.365 1.467 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@H+](CC)Cc1nonc1C ZINC001155325440 861960900 /nfs/dbraw/zinc/96/09/00/861960900.db2.gz APGOBRRBWIUYQK-KYOSRNDESA-N 1 2 322.409 1.296 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nonc1C ZINC001155325440 861960908 /nfs/dbraw/zinc/96/09/08/861960908.db2.gz APGOBRRBWIUYQK-KYOSRNDESA-N 1 2 322.409 1.296 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cc(F)ccc1O)C2 ZINC001273286086 849334810 /nfs/dbraw/zinc/33/48/10/849334810.db2.gz YPAUQZVLYTXBJE-UHFFFAOYSA-N 1 2 306.337 1.131 20 30 DDEDLO CSc1nc(C[N@@H+]2CCC[C@@]23CCN(C)C3=O)ccc1C#N ZINC001273330015 849554190 /nfs/dbraw/zinc/55/41/90/849554190.db2.gz CCBLMFCSRNZSFX-INIZCTEOSA-N 1 2 316.430 1.872 20 30 DDEDLO CSc1nc(C[N@H+]2CCC[C@@]23CCN(C)C3=O)ccc1C#N ZINC001273330015 849554195 /nfs/dbraw/zinc/55/41/95/849554195.db2.gz CCBLMFCSRNZSFX-INIZCTEOSA-N 1 2 316.430 1.872 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)CCc1cccnc1)O2 ZINC001327359311 862100497 /nfs/dbraw/zinc/10/04/97/862100497.db2.gz MYNDOJNMNIXDHS-MRXNPFEDSA-N 1 2 315.417 1.550 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](O)CN(C)C(=O)c1ccccc1O ZINC001411159811 850306349 /nfs/dbraw/zinc/30/63/49/850306349.db2.gz VXIBWAQUWRDZMS-GFCCVEGCSA-N 1 2 312.797 1.509 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](O)CN(C)C(=O)c1ccccc1O ZINC001411159811 850306359 /nfs/dbraw/zinc/30/63/59/850306359.db2.gz VXIBWAQUWRDZMS-GFCCVEGCSA-N 1 2 312.797 1.509 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)C1CC(C)(C)C1)CO2 ZINC001327385430 862124198 /nfs/dbraw/zinc/12/41/98/862124198.db2.gz AFEQZTURMOUIFF-HNNXBMFYSA-N 1 2 322.449 1.585 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)CCNC(=O)C(C)(C)C ZINC001440952368 850746188 /nfs/dbraw/zinc/74/61/88/850746188.db2.gz CSOSBDOOUTVOOE-LBPRGKRZSA-N 1 2 317.861 1.728 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)CCNC(=O)C(C)(C)C ZINC001440952368 850746193 /nfs/dbraw/zinc/74/61/93/850746193.db2.gz CSOSBDOOUTVOOE-LBPRGKRZSA-N 1 2 317.861 1.728 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](CCCc3ccncc3)C[C@@]2(F)C1=O ZINC001273492273 851025705 /nfs/dbraw/zinc/02/57/05/851025705.db2.gz DTWDUDLSPGJSOH-DLBZAZTESA-N 1 2 319.355 1.222 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](CCCc3ccncc3)C[C@@]2(F)C1=O ZINC001273492273 851025718 /nfs/dbraw/zinc/02/57/18/851025718.db2.gz DTWDUDLSPGJSOH-DLBZAZTESA-N 1 2 319.355 1.222 20 30 DDEDLO C=CCCCC[NH+]1CC2(C1)COCC(=O)N2CC(=O)C(C)(C)C ZINC001273494946 851026693 /nfs/dbraw/zinc/02/66/93/851026693.db2.gz JRLMBLOKNSDKII-UHFFFAOYSA-N 1 2 322.449 1.871 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)COC1CCCCC1)CO2 ZINC001273705639 851247382 /nfs/dbraw/zinc/24/73/82/851247382.db2.gz UZRDCEZUWCHPEU-HNNXBMFYSA-N 1 2 322.449 1.871 20 30 DDEDLO C=CCN1CC2(C[NH+](C[C@H](C)CC(F)(F)F)C2)OCC1=O ZINC001273748035 851296210 /nfs/dbraw/zinc/29/62/10/851296210.db2.gz VVVLPCRMTGVICY-LLVKDONJSA-N 1 2 306.328 1.674 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc(C#N)cc1CC)C2 ZINC001273902836 851486210 /nfs/dbraw/zinc/48/62/10/851486210.db2.gz QLASVBAWDZWZCB-UHFFFAOYSA-N 1 2 323.396 1.167 20 30 DDEDLO C[C@@H](C#N)C(=O)NC/C=C\C[NH2+]Cc1nnc(-c2ccco2)o1 ZINC001273911634 851491090 /nfs/dbraw/zinc/49/10/90/851491090.db2.gz UVUPDIDDGNDFQD-QUCGXOGASA-N 1 2 315.333 1.251 20 30 DDEDLO C[C@H](C#N)C(=O)NC/C=C/C[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001273910659 851495018 /nfs/dbraw/zinc/49/50/18/851495018.db2.gz QMMOIBLTMSIONV-JIVBQCDMSA-N 1 2 319.409 1.850 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3c(C)cccc3CC)C2)OCC1=O ZINC001274223906 852053561 /nfs/dbraw/zinc/05/35/61/852053561.db2.gz LKQKWVVWMJSEJR-UHFFFAOYSA-N 1 2 312.413 1.604 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC/C=C\C[NH2+]Cc1nnc(CC)o1 ZINC001274255067 852094377 /nfs/dbraw/zinc/09/43/77/852094377.db2.gz XEKWACSSZPWKIT-FPLPWBNLSA-N 1 2 306.410 1.996 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@H+](Cc3cc(F)ccn3)C2)OCC1=O ZINC001274585251 852416077 /nfs/dbraw/zinc/41/60/77/852416077.db2.gz NLYKYSAYCQKGOG-QGZVFWFLSA-N 1 2 319.380 1.600 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@@H+](Cc3cc(F)ccn3)C2)OCC1=O ZINC001274585251 852416083 /nfs/dbraw/zinc/41/60/83/852416083.db2.gz NLYKYSAYCQKGOG-QGZVFWFLSA-N 1 2 319.380 1.600 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001299362359 852529444 /nfs/dbraw/zinc/52/94/44/852529444.db2.gz GWVWQCVEPBNZIE-UHFFFAOYSA-N 1 2 304.394 1.155 20 30 DDEDLO C=CCOCCCNC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC001327786938 862461661 /nfs/dbraw/zinc/46/16/61/862461661.db2.gz GDZJDAKQEQTZAI-HNNXBMFYSA-N 1 2 320.437 1.977 20 30 DDEDLO N#C[C@@H]1CC=C(Nc2cnn(CC[NH+]3CCOCC3)c2)CC1 ZINC001212857330 855166746 /nfs/dbraw/zinc/16/67/46/855166746.db2.gz GXTCKTUTESWBFD-CQSZACIVSA-N 1 2 301.394 1.835 20 30 DDEDLO C#CCCCC(=O)N1CCN(C2C[NH+](C[C@@H](F)CC)C2)CC1 ZINC001328116901 862705741 /nfs/dbraw/zinc/70/57/41/862705741.db2.gz QRQVWTGKLBCPOB-HNNXBMFYSA-N 1 2 309.429 1.366 20 30 DDEDLO N#Cc1cccc(-c2nc(NC(=O)[C@H]3CCC[N@@H+]3C3CC3)n[nH]2)c1 ZINC001412870090 855743715 /nfs/dbraw/zinc/74/37/15/855743715.db2.gz UKOFZPNMRYTCQL-CQSZACIVSA-N 1 2 322.372 1.909 20 30 DDEDLO N#Cc1cccc(-c2nc(NC(=O)[C@H]3CCC[N@H+]3C3CC3)n[nH]2)c1 ZINC001412870090 855743718 /nfs/dbraw/zinc/74/37/18/855743718.db2.gz UKOFZPNMRYTCQL-CQSZACIVSA-N 1 2 322.372 1.909 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072800996 857716311 /nfs/dbraw/zinc/71/63/11/857716311.db2.gz JIMCDUGLWJQLAX-WCQYABFASA-N 1 2 304.394 1.128 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072801660 857718587 /nfs/dbraw/zinc/71/85/87/857718587.db2.gz XBUWQNVXBKTKOR-HIFRSBDPSA-N 1 2 316.405 1.109 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1F ZINC001413794456 858540325 /nfs/dbraw/zinc/54/03/25/858540325.db2.gz AHSNIIUMCUFWHR-ZDUSSCGKSA-N 1 2 313.336 1.708 20 30 DDEDLO C=C(C)CCC(=O)NC1(CO)CC[NH+](Cc2ccn(C)n2)CC1 ZINC001328529717 863037210 /nfs/dbraw/zinc/03/72/10/863037210.db2.gz QSMWTZKYHMTBDF-UHFFFAOYSA-N 1 2 320.437 1.220 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001123759053 859398648 /nfs/dbraw/zinc/39/86/48/859398648.db2.gz BXQLOEBIWSXEIV-KGLIPLIRSA-N 1 2 304.394 1.684 20 30 DDEDLO N#CCSCC(=O)NCC[NH2+]Cc1nc(C2CCCC2)no1 ZINC001123792033 859416371 /nfs/dbraw/zinc/41/63/71/859416371.db2.gz FEPLNGCGMUGAKA-UHFFFAOYSA-N 1 2 323.422 1.190 20 30 DDEDLO C=CCCC(=O)NCC[NH2+]Cc1nc(Cc2ccccc2)no1 ZINC001124651167 859766495 /nfs/dbraw/zinc/76/64/95/859766495.db2.gz PYBVXSQGVLENMC-UHFFFAOYSA-N 1 2 314.389 1.832 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccc(-c3cc(C#N)ccn3)cc2)CC(=O)N1 ZINC001139400342 860387956 /nfs/dbraw/zinc/38/79/56/860387956.db2.gz OZVMNCAXGQSHOD-CYBMUJFWSA-N 1 2 306.369 1.941 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccc(-c3cc(C#N)ccn3)cc2)CC(=O)N1 ZINC001139400342 860387961 /nfs/dbraw/zinc/38/79/61/860387961.db2.gz OZVMNCAXGQSHOD-CYBMUJFWSA-N 1 2 306.369 1.941 20 30 DDEDLO N#Cc1ccccc1N1CC[NH+](CC2C(=O)N=CNC2=O)CC1 ZINC001140468633 860638947 /nfs/dbraw/zinc/63/89/47/860638947.db2.gz RHNHEEMXBRAAJR-UHFFFAOYSA-N 1 2 311.345 1.082 20 30 DDEDLO COC(=O)[C@H]1COCCC12C[NH+](Cc1ccccc1C#N)C2 ZINC001204373860 860724763 /nfs/dbraw/zinc/72/47/63/860724763.db2.gz WAIWZAJUUYZAKK-OAHLLOKOSA-N 1 2 300.358 1.570 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1nc(C)no1 ZINC001325899448 860936297 /nfs/dbraw/zinc/93/62/97/860936297.db2.gz LVTNUCQGLYUSFD-CABCVRRESA-N 1 2 316.405 1.747 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1nc(C)no1 ZINC001325899448 860936304 /nfs/dbraw/zinc/93/63/04/860936304.db2.gz LVTNUCQGLYUSFD-CABCVRRESA-N 1 2 316.405 1.747 20 30 DDEDLO N#C[C@@H]1CN(C(=O)/C=C/c2ccc(Cn3cc[nH+]c3)cc2)CCO1 ZINC001142367758 861136604 /nfs/dbraw/zinc/13/66/04/861136604.db2.gz BUZMFWIFBZTNPF-FUTAKVPZSA-N 1 2 322.368 1.696 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H](C)[NH2+]Cc1nc(C(F)F)no1 ZINC001328993969 863403754 /nfs/dbraw/zinc/40/37/54/863403754.db2.gz AMRSTKBOOFHLTL-RKDXNWHRSA-N 1 2 302.325 1.956 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nncn3C)C[C@H]21 ZINC001330338506 864251272 /nfs/dbraw/zinc/25/12/72/864251272.db2.gz GIRCJZZSIVRAJB-ZSOGYDGISA-N 1 2 317.437 1.211 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nncn3C)C[C@H]21 ZINC001330338506 864251260 /nfs/dbraw/zinc/25/12/60/864251260.db2.gz GIRCJZZSIVRAJB-ZSOGYDGISA-N 1 2 317.437 1.211 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001158090214 864420735 /nfs/dbraw/zinc/42/07/35/864420735.db2.gz OSGPGPZMPNTRLN-MGPQQGTHSA-N 1 2 301.394 1.350 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001158090214 864420745 /nfs/dbraw/zinc/42/07/45/864420745.db2.gz OSGPGPZMPNTRLN-MGPQQGTHSA-N 1 2 301.394 1.350 20 30 DDEDLO C[C@H](CC(=O)N1CCCC[C@@H]1CN(C)CC#N)n1cc[nH+]c1 ZINC001158533781 864707253 /nfs/dbraw/zinc/70/72/53/864707253.db2.gz GVVTYBUSRPNSSX-HUUCEWRRSA-N 1 2 303.410 1.671 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2csc(C)c2)C1 ZINC001331857962 865392317 /nfs/dbraw/zinc/39/23/17/865392317.db2.gz OQPCNKGGCONIBW-GFCCVEGCSA-N 1 2 305.403 1.209 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2csc(C)c2)C1 ZINC001331857962 865392332 /nfs/dbraw/zinc/39/23/32/865392332.db2.gz OQPCNKGGCONIBW-GFCCVEGCSA-N 1 2 305.403 1.209 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C(C)(C)C(N)=O)[C@H]1C ZINC001332184214 865648192 /nfs/dbraw/zinc/64/81/92/865648192.db2.gz HOLPYCJEFXVPPY-GHMZBOCLSA-N 1 2 301.818 1.220 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)C(N)=O)[C@H]1C ZINC001332184214 865648200 /nfs/dbraw/zinc/64/82/00/865648200.db2.gz HOLPYCJEFXVPPY-GHMZBOCLSA-N 1 2 301.818 1.220 20 30 DDEDLO Cc1nnc(C[N@H+]2CC=C(CCNC(=O)C#CC(C)C)CC2)o1 ZINC001160054919 865656878 /nfs/dbraw/zinc/65/68/78/865656878.db2.gz MKZIORPCXZWYMP-UHFFFAOYSA-N 1 2 316.405 1.676 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC=C(CCNC(=O)C#CC(C)C)CC2)o1 ZINC001160054919 865656882 /nfs/dbraw/zinc/65/68/82/865656882.db2.gz MKZIORPCXZWYMP-UHFFFAOYSA-N 1 2 316.405 1.676 20 30 DDEDLO COc1cc2[nH+]c(N[C@@H]3C(=O)N(O)C[C@@H]3C)ccc2c(OC)c1 ZINC001160178290 865714541 /nfs/dbraw/zinc/71/45/41/865714541.db2.gz FBFBILMWEQHOHL-VFZGTOFNSA-N 1 2 317.345 1.900 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1([NH2+][C@H](C)c2ncc(C)o2)CC1 ZINC001277055386 881909632 /nfs/dbraw/zinc/90/96/32/881909632.db2.gz OWPAFIZRPKGALA-OLZOCXBDSA-N 1 2 305.378 1.321 20 30 DDEDLO C#CCOCCOCCNc1[nH+]cc(C)cc1/C=C/C(=O)OC ZINC001160671145 866010282 /nfs/dbraw/zinc/01/02/82/866010282.db2.gz IRXDJLNCKLRTSY-AATRIKPKSA-N 1 2 318.373 1.655 20 30 DDEDLO COCC[N@H+](CCNC(=O)C#CC1CC1)[C@@H](C)c1cnccn1 ZINC001332914528 866248059 /nfs/dbraw/zinc/24/80/59/866248059.db2.gz VNYFODMAAHQCRW-AWEZNQCLSA-N 1 2 316.405 1.016 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C#CC1CC1)[C@@H](C)c1cnccn1 ZINC001332914528 866248075 /nfs/dbraw/zinc/24/80/75/866248075.db2.gz VNYFODMAAHQCRW-AWEZNQCLSA-N 1 2 316.405 1.016 20 30 DDEDLO N#Cc1cncc(CNc2cc(N3CCSCC3)nc[nH+]2)c1 ZINC001160995465 866256536 /nfs/dbraw/zinc/25/65/36/866256536.db2.gz KMZDTZORESWFKL-UHFFFAOYSA-N 1 2 312.402 1.909 20 30 DDEDLO N#Cc1cncc(CNc2cc(N3CCSCC3)[nH+]cn2)c1 ZINC001160995465 866256551 /nfs/dbraw/zinc/25/65/51/866256551.db2.gz KMZDTZORESWFKL-UHFFFAOYSA-N 1 2 312.402 1.909 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001320000324 866425677 /nfs/dbraw/zinc/42/56/77/866425677.db2.gz UWOAWDYHXANCEG-OWCLPIDISA-N 1 2 319.449 1.281 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001320000324 866425679 /nfs/dbraw/zinc/42/56/79/866425679.db2.gz UWOAWDYHXANCEG-OWCLPIDISA-N 1 2 319.449 1.281 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CC[N@H+]1Cc1cn(C)nc1C ZINC001323214337 866437661 /nfs/dbraw/zinc/43/76/61/866437661.db2.gz KGPRFZHITCXUAE-INIZCTEOSA-N 1 2 302.422 1.613 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CC[N@@H+]1Cc1cn(C)nc1C ZINC001323214337 866437664 /nfs/dbraw/zinc/43/76/64/866437664.db2.gz KGPRFZHITCXUAE-INIZCTEOSA-N 1 2 302.422 1.613 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CC[N@@H+](C)CC(=O)NC(C)(C)C ZINC001320054355 866448851 /nfs/dbraw/zinc/44/88/51/866448851.db2.gz GHVTVDUYBXESNI-UHFFFAOYSA-N 1 2 311.470 1.894 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CC[N@H+](C)CC(=O)NC(C)(C)C ZINC001320054355 866448850 /nfs/dbraw/zinc/44/88/50/866448850.db2.gz GHVTVDUYBXESNI-UHFFFAOYSA-N 1 2 311.470 1.894 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)cc(C)n1 ZINC001323258034 866477333 /nfs/dbraw/zinc/47/73/33/866477333.db2.gz GGEPABSZAMYXNO-HNNXBMFYSA-N 1 2 301.390 1.152 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C)cc(C)n1 ZINC001323258034 866477338 /nfs/dbraw/zinc/47/73/38/866477338.db2.gz GGEPABSZAMYXNO-HNNXBMFYSA-N 1 2 301.390 1.152 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)C(C)(C)CC(F)(F)F ZINC001323335682 866540696 /nfs/dbraw/zinc/54/06/96/866540696.db2.gz NUTODEANACZHFE-GFCCVEGCSA-N 1 2 320.355 1.805 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)C(C)(C)CC(F)(F)F ZINC001323335682 866540706 /nfs/dbraw/zinc/54/07/06/866540706.db2.gz NUTODEANACZHFE-GFCCVEGCSA-N 1 2 320.355 1.805 20 30 DDEDLO C#CCCCCCC(=O)N(C)C1C[NH+](CCn2cccn2)C1 ZINC001323964622 866983275 /nfs/dbraw/zinc/98/32/75/866983275.db2.gz UAFPQSPHICUSIK-UHFFFAOYSA-N 1 2 302.422 1.609 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ncccn1 ZINC001324528778 867334064 /nfs/dbraw/zinc/33/40/64/867334064.db2.gz UTDHFEGMMHWIOH-CVEARBPZSA-N 1 2 312.417 1.845 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1ncccn1 ZINC001324528778 867334082 /nfs/dbraw/zinc/33/40/82/867334082.db2.gz UTDHFEGMMHWIOH-CVEARBPZSA-N 1 2 312.417 1.845 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@@]1(C)CC[N@H+](CC(=O)NCC#N)C1 ZINC001324609354 867402929 /nfs/dbraw/zinc/40/29/29/867402929.db2.gz VTBHCKCXHDNGLT-BBRMVZONSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@@]1(C)CC[N@@H+](CC(=O)NCC#N)C1 ZINC001324609354 867402937 /nfs/dbraw/zinc/40/29/37/867402937.db2.gz VTBHCKCXHDNGLT-BBRMVZONSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H](C)N(C)C(=O)Cn1cc[nH+]c1 ZINC001334475690 867602378 /nfs/dbraw/zinc/60/23/78/867602378.db2.gz BJBUABSKQCKTGX-UONOGXRCSA-N 1 2 306.410 1.449 20 30 DDEDLO C=CCOCC(=O)NC[C@@]1(C)CCC[N@H+](Cc2ccon2)C1 ZINC001324922346 867628599 /nfs/dbraw/zinc/62/85/99/867628599.db2.gz QWYSVVPCAFZDNI-MRXNPFEDSA-N 1 2 307.394 1.596 20 30 DDEDLO C=CCOCC(=O)NC[C@@]1(C)CCC[N@@H+](Cc2ccon2)C1 ZINC001324922346 867628610 /nfs/dbraw/zinc/62/86/10/867628610.db2.gz QWYSVVPCAFZDNI-MRXNPFEDSA-N 1 2 307.394 1.596 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](C)C[NH2+]Cc1nc(C2CCC2)no1 ZINC001321831333 867820775 /nfs/dbraw/zinc/82/07/75/867820775.db2.gz HYGCREIZQPQXMS-ZBEGNZNMSA-N 1 2 322.409 1.259 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@@H](C)c2c(F)cccc2F)C1 ZINC001325245895 867887353 /nfs/dbraw/zinc/88/73/53/867887353.db2.gz KIDXQYXWXANBKG-LBPRGKRZSA-N 1 2 324.371 1.807 20 30 DDEDLO CCc1nc(C[NH2+]CC2(CCNC(=O)[C@@H](C)C#N)CC2)no1 ZINC001163854304 868756608 /nfs/dbraw/zinc/75/66/08/868756608.db2.gz MSZCHNZHEFRENV-NSHDSACASA-N 1 2 305.382 1.168 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]2CCC(NC(=O)CSCC#N)CC2)o1 ZINC001226152572 882235367 /nfs/dbraw/zinc/23/53/67/882235367.db2.gz JXZCSZCETIYTKK-JTQLQIEISA-N 1 2 323.422 1.276 20 30 DDEDLO CCn1ccc(C[NH+]2CCC(NC(=O)CSCC#N)CC2)n1 ZINC001226152655 882235907 /nfs/dbraw/zinc/23/59/07/882235907.db2.gz PIMFOAIOVLAUEW-UHFFFAOYSA-N 1 2 321.450 1.240 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001337357377 869451121 /nfs/dbraw/zinc/45/11/21/869451121.db2.gz KCBFHGVOJWSNPU-OLZOCXBDSA-N 1 2 320.437 1.764 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001337357377 869451126 /nfs/dbraw/zinc/45/11/26/869451126.db2.gz KCBFHGVOJWSNPU-OLZOCXBDSA-N 1 2 320.437 1.764 20 30 DDEDLO Cc1ncc(C#N)c(N2CCc3onc(Cn4cc[nH+]c4)c3C2)n1 ZINC001165549107 869638015 /nfs/dbraw/zinc/63/80/15/869638015.db2.gz JEWXQDMIIAMABU-UHFFFAOYSA-N 1 2 321.344 1.452 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)c2[nH]c(C)cc2C)CC1 ZINC001316964961 870003265 /nfs/dbraw/zinc/00/32/65/870003265.db2.gz LRTNOFFQALHVPF-UHFFFAOYSA-N 1 2 302.422 1.002 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cnc(C)nc2)C1 ZINC001316972313 870023242 /nfs/dbraw/zinc/02/32/42/870023242.db2.gz RFYKTBGEUWKFHB-BBRMVZONSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001316972313 870023254 /nfs/dbraw/zinc/02/32/54/870023254.db2.gz RFYKTBGEUWKFHB-BBRMVZONSA-N 1 2 318.421 1.457 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H](NC(=O)CCS(=O)(=O)CC(C)C)C1 ZINC001316982212 870061330 /nfs/dbraw/zinc/06/13/30/870061330.db2.gz LMOMJXLSHFZNGA-CQSZACIVSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H](NC(=O)CCS(=O)(=O)CC(C)C)C1 ZINC001316982212 870061338 /nfs/dbraw/zinc/06/13/38/870061338.db2.gz LMOMJXLSHFZNGA-CQSZACIVSA-N 1 2 316.467 1.214 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@@H](CNC(=O)C#CC(C)(C)C)C2CC2)no1 ZINC001317011525 870116798 /nfs/dbraw/zinc/11/67/98/870116798.db2.gz BLOSTLOFQMTRPQ-RISCZKNCSA-N 1 2 318.421 1.973 20 30 DDEDLO N#CC[C@H]1CCCN(c2cc(N3CCC[C@@H]3C(N)=O)nc[nH+]2)C1 ZINC001166742049 870211346 /nfs/dbraw/zinc/21/13/46/870211346.db2.gz YOWCBTZDETUDOH-CHWSQXEVSA-N 1 2 314.393 1.061 20 30 DDEDLO N#CC[C@H]1CCCN(c2cc(N3CCC[C@@H]3C(N)=O)[nH+]cn2)C1 ZINC001166742049 870211360 /nfs/dbraw/zinc/21/13/60/870211360.db2.gz YOWCBTZDETUDOH-CHWSQXEVSA-N 1 2 314.393 1.061 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCCC[C@H]1CC ZINC001338897016 870228972 /nfs/dbraw/zinc/22/89/72/870228972.db2.gz ZUXBXEHTIOKAAW-ILXRZTDVSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCCC[C@H]1CC ZINC001338897016 870228990 /nfs/dbraw/zinc/22/89/90/870228990.db2.gz ZUXBXEHTIOKAAW-ILXRZTDVSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)CCOCC ZINC001338895162 870230204 /nfs/dbraw/zinc/23/02/04/870230204.db2.gz NSPGSPQMHQCQMW-UONOGXRCSA-N 1 2 323.441 1.064 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)CCOCC ZINC001338895162 870230216 /nfs/dbraw/zinc/23/02/16/870230216.db2.gz NSPGSPQMHQCQMW-UONOGXRCSA-N 1 2 323.441 1.064 20 30 DDEDLO COCC#CC[NH2+][C@@H]1CCCC[C@H]1NC(=O)C(F)C(F)(F)F ZINC001226365559 882386892 /nfs/dbraw/zinc/38/68/92/882386892.db2.gz IKZOCMOHFXZTNZ-IJLUTSLNSA-N 1 2 324.318 1.554 20 30 DDEDLO COCC#CC[NH2+][C@@H]1CCCC[C@H]1NC(=O)[C@@H](F)C(F)(F)F ZINC001226365559 882386910 /nfs/dbraw/zinc/38/69/10/882386910.db2.gz IKZOCMOHFXZTNZ-IJLUTSLNSA-N 1 2 324.318 1.554 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)c3ccccn3)n2C)CC1 ZINC001339054109 870322757 /nfs/dbraw/zinc/32/27/57/870322757.db2.gz WXVXXYKOAHYAEN-AWEZNQCLSA-N 1 2 310.405 1.117 20 30 DDEDLO C=CCn1c(N2CC[C@H](CF)C2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001339195583 870399863 /nfs/dbraw/zinc/39/98/63/870399863.db2.gz HNADKXHNTAULRU-XJKCOSOUSA-N 1 2 323.416 1.172 20 30 DDEDLO C=CCn1c(N2CC[C@H](CF)C2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001339195583 870399877 /nfs/dbraw/zinc/39/98/77/870399877.db2.gz HNADKXHNTAULRU-XJKCOSOUSA-N 1 2 323.416 1.172 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1nc(C)oc1C ZINC001317167738 870401287 /nfs/dbraw/zinc/40/12/87/870401287.db2.gz UHQYDZIZNHOPOJ-HNNXBMFYSA-N 1 2 321.421 1.965 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1nc(C)oc1C ZINC001317167738 870401289 /nfs/dbraw/zinc/40/12/89/870401289.db2.gz UHQYDZIZNHOPOJ-HNNXBMFYSA-N 1 2 321.421 1.965 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCCC[N@H+]1Cc1ncc(C)o1 ZINC001317171575 870411987 /nfs/dbraw/zinc/41/19/87/870411987.db2.gz VKDGZKAIFKVBQI-OAHLLOKOSA-N 1 2 319.405 1.494 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1ncc(C)o1 ZINC001317171575 870411991 /nfs/dbraw/zinc/41/19/91/870411991.db2.gz VKDGZKAIFKVBQI-OAHLLOKOSA-N 1 2 319.405 1.494 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc(C)cc(C)n3)n2C)CC1 ZINC001339705310 870645669 /nfs/dbraw/zinc/64/56/69/870645669.db2.gz XGAYLONPTYRNJT-UHFFFAOYSA-N 1 2 310.405 1.249 20 30 DDEDLO C[C@H](CCNC(=O)c1c[nH]c(C#N)c1)[NH2+]CC(F)(F)C(F)F ZINC001317388864 870754813 /nfs/dbraw/zinc/75/48/13/870754813.db2.gz IPFXYRMCDWPBHI-MRVPVSSYSA-N 1 2 320.290 1.885 20 30 DDEDLO C#CCOCCC(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001317448578 870859570 /nfs/dbraw/zinc/85/95/70/870859570.db2.gz WVDMIPUILIMQMB-UHFFFAOYSA-N 1 2 317.364 1.285 20 30 DDEDLO C#CCOCCC(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001317448578 870859589 /nfs/dbraw/zinc/85/95/89/870859589.db2.gz WVDMIPUILIMQMB-UHFFFAOYSA-N 1 2 317.364 1.285 20 30 DDEDLO C=CCOCC[N@@H+](C)CCNC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC001317455803 870870137 /nfs/dbraw/zinc/87/01/37/870870137.db2.gz UOBYPUDJQCHTSI-CZUORRHYSA-N 1 2 320.437 1.326 20 30 DDEDLO C=CCOCC[N@H+](C)CCNC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC001317455803 870870157 /nfs/dbraw/zinc/87/01/57/870870157.db2.gz UOBYPUDJQCHTSI-CZUORRHYSA-N 1 2 320.437 1.326 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1CC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001317536157 871018592 /nfs/dbraw/zinc/01/85/92/871018592.db2.gz JRUKFQKPGBSEDY-GJZGRUSLSA-N 1 2 319.453 1.838 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1CC[N@H+](Cc2cnn(CC)n2)C1 ZINC001317536157 871018571 /nfs/dbraw/zinc/01/85/71/871018571.db2.gz JRUKFQKPGBSEDY-GJZGRUSLSA-N 1 2 319.453 1.838 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N(C)C[C@H]1CCOC1 ZINC001340422333 871098684 /nfs/dbraw/zinc/09/86/84/871098684.db2.gz ROQNXYMGXXZRJE-OAHLLOKOSA-N 1 2 319.453 1.923 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC[NH2+]Cc1nc(CCC(C)C)no1 ZINC001317586620 871146028 /nfs/dbraw/zinc/14/60/28/871146028.db2.gz YCVWWYGRNDDUDL-UHFFFAOYSA-N 1 2 320.437 1.866 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CC[NH2+]Cc1nc(N(C)C)no1 ZINC001317591321 871156683 /nfs/dbraw/zinc/15/66/83/871156683.db2.gz JUKJTTQGBCQJCQ-UHFFFAOYSA-N 1 2 309.414 1.286 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccns1 ZINC001317734224 871452386 /nfs/dbraw/zinc/45/23/86/871452386.db2.gz HFZMQHHVLPERBQ-DVOMOZLQSA-N 1 2 321.446 1.645 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccns1 ZINC001317734224 871452399 /nfs/dbraw/zinc/45/23/99/871452399.db2.gz HFZMQHHVLPERBQ-DVOMOZLQSA-N 1 2 321.446 1.645 20 30 DDEDLO COC(=O)[C@H]1COCCC12C[NH+](Cc1ccc(F)c(C#N)c1)C2 ZINC001205496605 871588621 /nfs/dbraw/zinc/58/86/21/871588621.db2.gz HBQDPJJYLDLGQX-CQSZACIVSA-N 1 2 318.348 1.709 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001317932305 871633207 /nfs/dbraw/zinc/63/32/07/871633207.db2.gz YBVHZGHDMRFFKD-GDBMZVCRSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[N@H+]1CCC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001317932305 871633211 /nfs/dbraw/zinc/63/32/11/871633211.db2.gz YBVHZGHDMRFFKD-GDBMZVCRSA-N 1 2 319.449 1.141 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001317940028 871638926 /nfs/dbraw/zinc/63/89/26/871638926.db2.gz AHXDPDXHPYDERE-UONOGXRCSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001317940028 871638930 /nfs/dbraw/zinc/63/89/30/871638930.db2.gz AHXDPDXHPYDERE-UONOGXRCSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NC(C)C)C1 ZINC001317943116 871640021 /nfs/dbraw/zinc/64/00/21/871640021.db2.gz AAKMXBKPJUXMOP-HUUCEWRRSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC(C)C)C1 ZINC001317943116 871640041 /nfs/dbraw/zinc/64/00/41/871640041.db2.gz AAKMXBKPJUXMOP-HUUCEWRRSA-N 1 2 309.454 1.694 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@H](NC(=O)CSCC#N)C2)cn1 ZINC001317956265 871651292 /nfs/dbraw/zinc/65/12/92/871651292.db2.gz MZQDVNHTZPMUJU-ZDUSSCGKSA-N 1 2 319.434 1.122 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@H](NC(=O)CSCC#N)C2)cn1 ZINC001317956265 871651297 /nfs/dbraw/zinc/65/12/97/871651297.db2.gz MZQDVNHTZPMUJU-ZDUSSCGKSA-N 1 2 319.434 1.122 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001317994930 871677408 /nfs/dbraw/zinc/67/74/08/871677408.db2.gz IXRDXKGAKLSYCH-QWHCGFSZSA-N 1 2 315.845 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001317994930 871677410 /nfs/dbraw/zinc/67/74/10/871677410.db2.gz IXRDXKGAKLSYCH-QWHCGFSZSA-N 1 2 315.845 1.467 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H](CC)Oc2ccccc2C)C1 ZINC001318067446 871725138 /nfs/dbraw/zinc/72/51/38/871725138.db2.gz STLIAJKXOHNQBO-MRXNPFEDSA-N 1 2 300.402 1.976 20 30 DDEDLO C=CCOCC(=O)NCC1C[NH+](CC#Cc2ccc(F)cc2)C1 ZINC001318108655 871750942 /nfs/dbraw/zinc/75/09/42/871750942.db2.gz XSWIZBCCNKVCNA-UHFFFAOYSA-N 1 2 316.376 1.428 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)c(F)c2)C1 ZINC001318329330 871935519 /nfs/dbraw/zinc/93/55/19/871935519.db2.gz ILUXWUKDMWSMGM-DYVFJYSZSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)c(F)c2)C1 ZINC001318329330 871935536 /nfs/dbraw/zinc/93/55/36/871935536.db2.gz ILUXWUKDMWSMGM-DYVFJYSZSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)CCCCC(=O)NC)C1 ZINC001318446691 872058356 /nfs/dbraw/zinc/05/83/56/872058356.db2.gz XEVRPYKEKUHKOA-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)CCCCC(=O)NC)C1 ZINC001318446691 872058375 /nfs/dbraw/zinc/05/83/75/872058375.db2.gz XEVRPYKEKUHKOA-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(F)=C2CCCC2)C1 ZINC001316942179 872428571 /nfs/dbraw/zinc/42/85/71/872428571.db2.gz ZZRTZIBJEICNEK-AWEZNQCLSA-N 1 2 321.396 1.114 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(F)=C2CCCC2)C1 ZINC001316942179 872428583 /nfs/dbraw/zinc/42/85/83/872428583.db2.gz ZZRTZIBJEICNEK-AWEZNQCLSA-N 1 2 321.396 1.114 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2cnnn2C)C1 ZINC001316949029 872446438 /nfs/dbraw/zinc/44/64/38/872446438.db2.gz KHVRFMXWBHRAFM-CQSZACIVSA-N 1 2 303.410 1.089 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cnnn2C)C1 ZINC001316949029 872446446 /nfs/dbraw/zinc/44/64/46/872446446.db2.gz KHVRFMXWBHRAFM-CQSZACIVSA-N 1 2 303.410 1.089 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nc(C)no2)C[C@H]1C ZINC001206586125 872453639 /nfs/dbraw/zinc/45/36/39/872453639.db2.gz OPYCGDGNKGYIEL-NTZNESFSSA-N 1 2 308.382 1.078 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nc(C)no2)C[C@H]1C ZINC001206586125 872453657 /nfs/dbraw/zinc/45/36/57/872453657.db2.gz OPYCGDGNKGYIEL-NTZNESFSSA-N 1 2 308.382 1.078 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@@H+]([C@@H](C)C(N)=O)C2)CCCC1 ZINC001316955237 872463865 /nfs/dbraw/zinc/46/38/65/872463865.db2.gz ZMMLNOSHRSSIJG-UONOGXRCSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@H+]([C@@H](C)C(N)=O)C2)CCCC1 ZINC001316955237 872463870 /nfs/dbraw/zinc/46/38/70/872463870.db2.gz ZMMLNOSHRSSIJG-UONOGXRCSA-N 1 2 307.438 1.577 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc([C@H]3CCC[N@@H+]3C)n2CCOC)C1 ZINC001343216213 872540988 /nfs/dbraw/zinc/54/09/88/872540988.db2.gz FQLGYMBEPSUKJX-LSDHHAIUSA-N 1 2 317.437 1.541 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc([C@H]3CCC[N@H+]3C)n2CCOC)C1 ZINC001343216213 872540993 /nfs/dbraw/zinc/54/09/93/872540993.db2.gz FQLGYMBEPSUKJX-LSDHHAIUSA-N 1 2 317.437 1.541 20 30 DDEDLO C[C@@H](NC(=O)C(F)F)C1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001381767009 882625892 /nfs/dbraw/zinc/62/58/92/882625892.db2.gz GKYDHZKTHSGOJL-SECBINFHSA-N 1 2 311.307 1.899 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@@H](C)c2ccco2)C1 ZINC001319323002 872575751 /nfs/dbraw/zinc/57/57/51/872575751.db2.gz SLYIRVPPNQGTOO-LSDHHAIUSA-N 1 2 322.405 1.403 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@@H](C)c2ccco2)C1 ZINC001319323002 872575755 /nfs/dbraw/zinc/57/57/55/872575755.db2.gz SLYIRVPPNQGTOO-LSDHHAIUSA-N 1 2 322.405 1.403 20 30 DDEDLO C=CCOCCCC(=O)NC1CC[NH+](Cc2ncccn2)CC1 ZINC001226760283 882636779 /nfs/dbraw/zinc/63/67/79/882636779.db2.gz MFXAZRUWXIOJDS-UHFFFAOYSA-N 1 2 318.421 1.540 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)cn2)C[C@H]1C ZINC001206885019 872703634 /nfs/dbraw/zinc/70/36/34/872703634.db2.gz PWBRPJITQABBDT-HZPDHXFCSA-N 1 2 314.433 1.915 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2ncc(C)cn2)C[C@H]1C ZINC001206885019 872703638 /nfs/dbraw/zinc/70/36/38/872703638.db2.gz PWBRPJITQABBDT-HZPDHXFCSA-N 1 2 314.433 1.915 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2nonc2C)C[C@H]1C ZINC001206884942 872704416 /nfs/dbraw/zinc/70/44/16/872704416.db2.gz MLHHUPHIPRYNLS-TZMCWYRMSA-N 1 2 304.394 1.508 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2nonc2C)C[C@H]1C ZINC001206884942 872704425 /nfs/dbraw/zinc/70/44/25/872704425.db2.gz MLHHUPHIPRYNLS-TZMCWYRMSA-N 1 2 304.394 1.508 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nnc(CC)o2)C[C@H]1C ZINC001206911444 872749754 /nfs/dbraw/zinc/74/97/54/872749754.db2.gz QBQAWFLJXKGXHN-HZSPNIEDSA-N 1 2 318.421 1.933 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nnc(CC)o2)C[C@H]1C ZINC001206911444 872749775 /nfs/dbraw/zinc/74/97/75/872749775.db2.gz QBQAWFLJXKGXHN-HZSPNIEDSA-N 1 2 318.421 1.933 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001206963467 872853159 /nfs/dbraw/zinc/85/31/59/872853159.db2.gz FTHNZFMJGMOHLC-VNHYZAJKSA-N 1 2 319.405 1.212 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001206963467 872853169 /nfs/dbraw/zinc/85/31/69/872853169.db2.gz FTHNZFMJGMOHLC-VNHYZAJKSA-N 1 2 319.405 1.212 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001206963466 872853511 /nfs/dbraw/zinc/85/35/11/872853511.db2.gz FTHNZFMJGMOHLC-UMVBOHGHSA-N 1 2 319.405 1.212 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001206963466 872853519 /nfs/dbraw/zinc/85/35/19/872853519.db2.gz FTHNZFMJGMOHLC-UMVBOHGHSA-N 1 2 319.405 1.212 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC001345109868 873260006 /nfs/dbraw/zinc/26/00/06/873260006.db2.gz GFQFPTNIZRVDNC-AWEZNQCLSA-N 1 2 316.405 1.567 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]([NH2+][C@H](C)c2nc(COC)no2)C1 ZINC001207654918 873445860 /nfs/dbraw/zinc/44/58/60/873445860.db2.gz XEQHILNWTPDKSM-CHWSQXEVSA-N 1 2 322.409 1.824 20 30 DDEDLO Cc1noc(C[NH2+][C@H]2CCCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001208008361 873741701 /nfs/dbraw/zinc/74/17/01/873741701.db2.gz HOZGTUAURYXFJO-ZDUSSCGKSA-N 1 2 304.394 1.508 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(OC)no2)C[C@H]1C ZINC001208315196 873996254 /nfs/dbraw/zinc/99/62/54/873996254.db2.gz OEUHFFKVAKLAAT-DGCLKSJQSA-N 1 2 307.394 1.832 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(OC)no2)C[C@H]1C ZINC001208315196 873996256 /nfs/dbraw/zinc/99/62/56/873996256.db2.gz OEUHFFKVAKLAAT-DGCLKSJQSA-N 1 2 307.394 1.832 20 30 DDEDLO COC[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001378100286 874143100 /nfs/dbraw/zinc/14/31/00/874143100.db2.gz BIHYOXNISLYJPG-SWLSCSKDSA-N 1 2 319.380 1.670 20 30 DDEDLO COC[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001378100286 874143107 /nfs/dbraw/zinc/14/31/07/874143107.db2.gz BIHYOXNISLYJPG-SWLSCSKDSA-N 1 2 319.380 1.670 20 30 DDEDLO CN(C)[C@H](C(=O)Nc1ccc(OCC#N)cc1)c1c[nH+]cn1C ZINC001362013829 882823581 /nfs/dbraw/zinc/82/35/81/882823581.db2.gz DUKFJGWCCGWQPW-HNNXBMFYSA-N 1 2 313.361 1.564 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1C[C@H]1CCC=CO1 ZINC001208876490 874499158 /nfs/dbraw/zinc/49/91/58/874499158.db2.gz IMCAGRSGQGWDNH-HIFRSBDPSA-N 1 2 304.394 1.610 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1C[C@H]1CCC=CO1 ZINC001208876490 874499169 /nfs/dbraw/zinc/49/91/69/874499169.db2.gz IMCAGRSGQGWDNH-HIFRSBDPSA-N 1 2 304.394 1.610 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cnc3onc(C)c3c2)C1=O ZINC001350320201 875627446 /nfs/dbraw/zinc/62/74/46/875627446.db2.gz WUNSJOJOACGWHH-CQSZACIVSA-N 1 2 300.362 1.750 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cnc3onc(C)c3c2)C1=O ZINC001350320201 875627453 /nfs/dbraw/zinc/62/74/53/875627453.db2.gz WUNSJOJOACGWHH-CQSZACIVSA-N 1 2 300.362 1.750 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001350601703 875781958 /nfs/dbraw/zinc/78/19/58/875781958.db2.gz XCWWJMUWGFFUKG-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001350601703 875781965 /nfs/dbraw/zinc/78/19/65/875781965.db2.gz XCWWJMUWGFFUKG-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)on2)C[C@H]1C ZINC001211422698 875799962 /nfs/dbraw/zinc/79/99/62/875799962.db2.gz QKFMQZDWAVUFLH-OSAQELSMSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)on2)C[C@H]1C ZINC001211422698 875799969 /nfs/dbraw/zinc/79/99/69/875799969.db2.gz QKFMQZDWAVUFLH-OSAQELSMSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2nccc(C)n2)C[C@H]1C ZINC001211420466 875800337 /nfs/dbraw/zinc/80/03/37/875800337.db2.gz GIUGHEZXUGBMIC-UKRRQHHQSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2nccc(C)n2)C[C@H]1C ZINC001211420466 875800344 /nfs/dbraw/zinc/80/03/44/875800344.db2.gz GIUGHEZXUGBMIC-UKRRQHHQSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001211426025 875802097 /nfs/dbraw/zinc/80/20/97/875802097.db2.gz WSGYGAOXVNPTGI-KCPJHIHWSA-N 1 2 321.421 1.819 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001211426025 875802106 /nfs/dbraw/zinc/80/21/06/875802106.db2.gz WSGYGAOXVNPTGI-KCPJHIHWSA-N 1 2 321.421 1.819 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3C[C@H]3c3ccccc3)n2C)CC1 ZINC001350649335 875807779 /nfs/dbraw/zinc/80/77/79/875807779.db2.gz MKKXUVPYZYXKGR-DLBZAZTESA-N 1 2 321.428 1.841 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCc3cccc(C)c3)n2C)CC1 ZINC001350760553 875874420 /nfs/dbraw/zinc/87/44/20/875874420.db2.gz UTBVJWZMAQPJBA-UHFFFAOYSA-N 1 2 323.444 1.664 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213303405 875888722 /nfs/dbraw/zinc/88/87/22/875888722.db2.gz MXQOLFWQPGHHJW-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213303405 875888729 /nfs/dbraw/zinc/88/87/29/875888729.db2.gz MXQOLFWQPGHHJW-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213322891 875899163 /nfs/dbraw/zinc/89/91/63/875899163.db2.gz VPGABUPNALSKBF-ARFHVFGLSA-N 1 2 308.422 1.054 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213322891 875899175 /nfs/dbraw/zinc/89/91/75/875899175.db2.gz VPGABUPNALSKBF-ARFHVFGLSA-N 1 2 308.422 1.054 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CCC)c2ccccn2)[C@H](OC)C1 ZINC001213335648 875900733 /nfs/dbraw/zinc/90/07/33/875900733.db2.gz IDIXPOHDWCKAKE-USXIJHARSA-N 1 2 315.417 1.414 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CCC)c2ccccn2)[C@H](OC)C1 ZINC001213335648 875900742 /nfs/dbraw/zinc/90/07/42/875900742.db2.gz IDIXPOHDWCKAKE-USXIJHARSA-N 1 2 315.417 1.414 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2(C)CCCCC2)[C@H](OC)C1 ZINC001213369420 875912803 /nfs/dbraw/zinc/91/28/03/875912803.db2.gz SXZPQDMHWQPLPK-HZPDHXFCSA-N 1 2 322.449 1.422 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2(C)CCCCC2)[C@H](OC)C1 ZINC001213369420 875912812 /nfs/dbraw/zinc/91/28/12/875912812.db2.gz SXZPQDMHWQPLPK-HZPDHXFCSA-N 1 2 322.449 1.422 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)[C@@H](CC#N)c1ccccc1 ZINC001362084111 882993915 /nfs/dbraw/zinc/99/39/15/882993915.db2.gz CFJGRGFMWFGYFE-HOCLYGCPSA-N 1 2 301.390 1.521 20 30 DDEDLO C=CCn1c([C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)nnc1N(C)OC ZINC001351534258 876309333 /nfs/dbraw/zinc/30/93/33/876309333.db2.gz CUCJSPNJLPRQHY-OLZOCXBDSA-N 1 2 307.398 1.388 20 30 DDEDLO C=CCn1c([C@@H]2C[N@H+]3CCCC[C@@H]3CO2)nnc1N(C)OC ZINC001351534258 876309342 /nfs/dbraw/zinc/30/93/42/876309342.db2.gz CUCJSPNJLPRQHY-OLZOCXBDSA-N 1 2 307.398 1.388 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001215363345 876678268 /nfs/dbraw/zinc/67/82/68/876678268.db2.gz JRZLMMGIAIHFTI-ZIAGYGMSSA-N 1 2 318.421 1.762 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](CN(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001353235343 877205694 /nfs/dbraw/zinc/20/56/94/877205694.db2.gz CGVVDOLJRPLLSJ-OAHLLOKOSA-N 1 2 318.421 1.546 20 30 DDEDLO CC#CC[C@H](NC(=O)OC(C)(C)C)C(=O)NCc1[nH]c[nH+]c1C ZINC001353597783 877440577 /nfs/dbraw/zinc/44/05/77/877440577.db2.gz FSAOHPZVDNKLFM-LBPRGKRZSA-N 1 2 320.393 1.641 20 30 DDEDLO C[C@@H](C[N@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1cnon1 ZINC001379763695 877953051 /nfs/dbraw/zinc/95/30/51/877953051.db2.gz MHHQVXRVMMXFHS-JTQLQIEISA-N 1 2 317.324 1.331 20 30 DDEDLO C[C@@H](C[N@@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1cnon1 ZINC001379763695 877953063 /nfs/dbraw/zinc/95/30/63/877953063.db2.gz MHHQVXRVMMXFHS-JTQLQIEISA-N 1 2 317.324 1.331 20 30 DDEDLO N#CC[C@H](N)C(=O)Nc1ccc(O[C@H]2CC[NH2+]CC2(F)F)cc1 ZINC001219457193 878243512 /nfs/dbraw/zinc/24/35/12/878243512.db2.gz XXIYARNVHSUHIY-STQMWFEESA-N 1 2 324.331 1.242 20 30 DDEDLO C[C@H](CCNC(=O)C#CC(C)(C)C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001355146462 878446154 /nfs/dbraw/zinc/44/61/54/878446154.db2.gz LMOOPRSGTGMQJB-GFCCVEGCSA-N 1 2 304.394 1.013 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001219706907 878467820 /nfs/dbraw/zinc/46/78/20/878467820.db2.gz UIVRQEQJTQXELC-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001219706907 878467832 /nfs/dbraw/zinc/46/78/32/878467832.db2.gz UIVRQEQJTQXELC-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@@H]1O ZINC001220133995 878723427 /nfs/dbraw/zinc/72/34/27/878723427.db2.gz UOEAQWPTFSSITA-OLZOCXBDSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@@H]1O ZINC001220133995 878723438 /nfs/dbraw/zinc/72/34/38/878723438.db2.gz UOEAQWPTFSSITA-OLZOCXBDSA-N 1 2 309.435 1.407 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3cc(C)no3)C[C@@H]2O)CC1 ZINC001220280486 878876319 /nfs/dbraw/zinc/87/63/19/878876319.db2.gz KUBYJRYEWKXTCP-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cc(C)no3)C[C@@H]2O)CC1 ZINC001220280486 878876330 /nfs/dbraw/zinc/87/63/30/878876330.db2.gz KUBYJRYEWKXTCP-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCn1c(N(C)CCC(C)C)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001356134495 878881617 /nfs/dbraw/zinc/88/16/17/878881617.db2.gz NTSOOLXKOBLWHR-YOEHRIQHSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(C)CCC(C)C)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001356134495 878881632 /nfs/dbraw/zinc/88/16/32/878881632.db2.gz NTSOOLXKOBLWHR-YOEHRIQHSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccccc3)[C@@H]2C1 ZINC001221230757 879598136 /nfs/dbraw/zinc/59/81/36/879598136.db2.gz HHUVGVFMTCDVFK-HZPDHXFCSA-N 1 2 313.401 1.135 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccccc3)[C@@H]2C1 ZINC001221230757 879598145 /nfs/dbraw/zinc/59/81/45/879598145.db2.gz HHUVGVFMTCDVFK-HZPDHXFCSA-N 1 2 313.401 1.135 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001357033816 879727478 /nfs/dbraw/zinc/72/74/78/879727478.db2.gz ABUINOBHSLFRCM-LRDDRELGSA-N 1 2 306.410 1.423 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)CC(C)(C)CC ZINC001276862458 880818942 /nfs/dbraw/zinc/81/89/42/880818942.db2.gz VHFHWIJKYATUMN-KGLIPLIRSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)CC(C)(C)CC ZINC001276862458 880818958 /nfs/dbraw/zinc/81/89/58/880818958.db2.gz VHFHWIJKYATUMN-KGLIPLIRSA-N 1 2 307.438 1.141 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(C(=O)C[C@@H]3CCOC3)CC2)c1 ZINC001381082989 880983302 /nfs/dbraw/zinc/98/33/02/880983302.db2.gz PFDKGCOFUFPDJG-KRWDZBQOSA-N 1 2 313.401 1.629 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)cc(F)c1 ZINC001276930032 881141520 /nfs/dbraw/zinc/14/15/20/881141520.db2.gz CGCNZSFNDQHDLW-INIZCTEOSA-N 1 2 304.365 1.588 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C)cc(F)c1 ZINC001276930032 881141532 /nfs/dbraw/zinc/14/15/32/881141532.db2.gz CGCNZSFNDQHDLW-INIZCTEOSA-N 1 2 304.365 1.588 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001287997786 912650976 /nfs/dbraw/zinc/65/09/76/912650976.db2.gz FFWDFXNJGXZQDL-QWHCGFSZSA-N 1 2 304.394 1.414 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc(C(N)=O)c(Cl)c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227953702 883252448 /nfs/dbraw/zinc/25/24/48/883252448.db2.gz LZPAOALVJVIYFU-UBYSCORNSA-N 1 2 308.765 1.430 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc(C(N)=O)c(Cl)c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227953702 883252464 /nfs/dbraw/zinc/25/24/64/883252464.db2.gz LZPAOALVJVIYFU-UBYSCORNSA-N 1 2 308.765 1.430 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001288045827 912692440 /nfs/dbraw/zinc/69/24/40/912692440.db2.gz SIGGMBCLKGMLIG-UONOGXRCSA-N 1 2 318.421 1.330 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001228747503 883650930 /nfs/dbraw/zinc/65/09/30/883650930.db2.gz BUVKMWFCCRDRHF-NWDGAFQWSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001228748631 883653255 /nfs/dbraw/zinc/65/32/55/883653255.db2.gz MXFTUCCIOZNSAQ-KBPBESRZSA-N 1 2 304.438 1.959 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2nccn2C)CC1 ZINC001230411801 884478101 /nfs/dbraw/zinc/47/81/01/884478101.db2.gz UCFNNWKONGKXSF-UHFFFAOYSA-N 1 2 306.410 1.093 20 30 DDEDLO COCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230537662 884553917 /nfs/dbraw/zinc/55/39/17/884553917.db2.gz GBAGBHNDPCPSGD-AWEZNQCLSA-N 1 2 305.353 1.376 20 30 DDEDLO COCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230537662 884553926 /nfs/dbraw/zinc/55/39/26/884553926.db2.gz GBAGBHNDPCPSGD-AWEZNQCLSA-N 1 2 305.353 1.376 20 30 DDEDLO COCC(C)(C)C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230578918 884611284 /nfs/dbraw/zinc/61/12/84/884611284.db2.gz ACXUIHLDXKYOJT-OAHLLOKOSA-N 1 2 307.438 1.886 20 30 DDEDLO COCC(C)(C)C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230578918 884611295 /nfs/dbraw/zinc/61/12/95/884611295.db2.gz ACXUIHLDXKYOJT-OAHLLOKOSA-N 1 2 307.438 1.886 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1CCCCC1 ZINC001230646605 884697540 /nfs/dbraw/zinc/69/75/40/884697540.db2.gz SEHQYDFPFZPBPH-HNNXBMFYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1CCCCC1 ZINC001230646605 884697552 /nfs/dbraw/zinc/69/75/52/884697552.db2.gz SEHQYDFPFZPBPH-HNNXBMFYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccoc1 ZINC001230758987 884841177 /nfs/dbraw/zinc/84/11/77/884841177.db2.gz MZNAGZZFCASQCF-JSGCOSHPSA-N 1 2 305.378 1.117 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1ccoc1 ZINC001230758987 884841193 /nfs/dbraw/zinc/84/11/93/884841193.db2.gz MZNAGZZFCASQCF-JSGCOSHPSA-N 1 2 305.378 1.117 20 30 DDEDLO C=CCCC(=O)NCC1=CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001288381449 912869963 /nfs/dbraw/zinc/86/99/63/912869963.db2.gz SIQTXTXWHLXWBJ-UHFFFAOYSA-N 1 2 302.378 1.193 20 30 DDEDLO C=CC[N@H+](CCCNC(=O)c1nc[nH]n1)Cc1cccnc1 ZINC001230872008 884996287 /nfs/dbraw/zinc/99/62/87/884996287.db2.gz XRZFMYDMOWEEJE-UHFFFAOYSA-N 1 2 300.366 1.008 20 30 DDEDLO C=CC[N@@H+](CCCNC(=O)c1nc[nH]n1)Cc1cccnc1 ZINC001230872008 884996307 /nfs/dbraw/zinc/99/63/07/884996307.db2.gz XRZFMYDMOWEEJE-UHFFFAOYSA-N 1 2 300.366 1.008 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC001230939536 885065929 /nfs/dbraw/zinc/06/59/29/885065929.db2.gz UCFBDIITDQCLAG-RHSMWYFYSA-N 1 2 318.392 1.745 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccc(F)cc1 ZINC001230939536 885065948 /nfs/dbraw/zinc/06/59/48/885065948.db2.gz UCFBDIITDQCLAG-RHSMWYFYSA-N 1 2 318.392 1.745 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@@H](C)C(C)C ZINC001231122627 885257661 /nfs/dbraw/zinc/25/76/61/885257661.db2.gz LVYKHAHVTHPCRF-LSDHHAIUSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@@H](C)C(C)C ZINC001231122627 885257673 /nfs/dbraw/zinc/25/76/73/885257673.db2.gz LVYKHAHVTHPCRF-LSDHHAIUSA-N 1 2 309.454 1.646 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231215013 885377656 /nfs/dbraw/zinc/37/76/56/885377656.db2.gz DSYBPFHLBZPNAM-SJLPKXTDSA-N 1 2 314.429 1.853 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231215013 885377677 /nfs/dbraw/zinc/37/76/77/885377677.db2.gz DSYBPFHLBZPNAM-SJLPKXTDSA-N 1 2 314.429 1.853 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCCCCC1 ZINC001231248535 885432481 /nfs/dbraw/zinc/43/24/81/885432481.db2.gz WJJFAIKZOPEIRQ-GJZGRUSLSA-N 1 2 320.437 1.081 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCCCCC1 ZINC001231248535 885432488 /nfs/dbraw/zinc/43/24/88/885432488.db2.gz WJJFAIKZOPEIRQ-GJZGRUSLSA-N 1 2 320.437 1.081 20 30 DDEDLO COC(=O)[C@@H]1COCCC12C[NH+](Cc1ccc(C#N)s1)C2 ZINC001232845703 886631972 /nfs/dbraw/zinc/63/19/72/886631972.db2.gz KZDCGDPIGMOGDU-ZDUSSCGKSA-N 1 2 306.387 1.631 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(Cc3cc(F)ccc3C#N)C2)CCO1 ZINC001232892802 886655380 /nfs/dbraw/zinc/65/53/80/886655380.db2.gz GUVFRXAPJPDDLK-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO CC1(C)C[N@H+](C2CN(Cc3cc(F)ccc3C#N)C2)CCO1 ZINC001232892802 886655394 /nfs/dbraw/zinc/65/53/94/886655394.db2.gz GUVFRXAPJPDDLK-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO C[C@H]1C[NH+](C2CN(Cc3cc(F)ccc3C#N)C2)C[C@H](C)O1 ZINC001232892282 886655778 /nfs/dbraw/zinc/65/57/78/886655778.db2.gz GHJSCKJKMVXVEP-STQMWFEESA-N 1 2 303.381 1.991 20 30 DDEDLO CCOC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cc1F ZINC001233595848 887137022 /nfs/dbraw/zinc/13/70/22/887137022.db2.gz YEOKWPZPINJKLP-BDOHNGBXSA-N 1 2 321.348 1.994 20 30 DDEDLO CCOC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cc1F ZINC001233595848 887137024 /nfs/dbraw/zinc/13/70/24/887137024.db2.gz YEOKWPZPINJKLP-BDOHNGBXSA-N 1 2 321.348 1.994 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)cn1 ZINC001233937664 887475454 /nfs/dbraw/zinc/47/54/54/887475454.db2.gz PFWNHAQTPNUKOI-NVXWUHKLSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)cn1 ZINC001233937664 887475456 /nfs/dbraw/zinc/47/54/56/887475456.db2.gz PFWNHAQTPNUKOI-NVXWUHKLSA-N 1 2 318.421 1.145 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@@H+]1CCn1cc(Cl)cn1 ZINC001234159982 887696851 /nfs/dbraw/zinc/69/68/51/887696851.db2.gz FAWQTJDURCFYOA-OAHLLOKOSA-N 1 2 322.840 1.729 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@H+]1CCn1cc(Cl)cn1 ZINC001234159982 887696856 /nfs/dbraw/zinc/69/68/56/887696856.db2.gz FAWQTJDURCFYOA-OAHLLOKOSA-N 1 2 322.840 1.729 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196816 887738456 /nfs/dbraw/zinc/73/84/56/887738456.db2.gz VUUYBIVFUNHLGP-GDBMZVCRSA-N 1 2 319.449 1.093 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196816 887738465 /nfs/dbraw/zinc/73/84/65/887738465.db2.gz VUUYBIVFUNHLGP-GDBMZVCRSA-N 1 2 319.449 1.093 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001234216359 887757692 /nfs/dbraw/zinc/75/76/92/887757692.db2.gz WJJOZWZEHJEVKX-LSDHHAIUSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001234216359 887757706 /nfs/dbraw/zinc/75/77/06/887757706.db2.gz WJJOZWZEHJEVKX-LSDHHAIUSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001234264538 887801679 /nfs/dbraw/zinc/80/16/79/887801679.db2.gz CQHWZGOOVYHSMV-HIFRSBDPSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001234264538 887801690 /nfs/dbraw/zinc/80/16/90/887801690.db2.gz CQHWZGOOVYHSMV-HIFRSBDPSA-N 1 2 305.378 1.054 20 30 DDEDLO CCC(=O)CN1CC[C@H]2[C@@H]1CC[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001277851438 887918142 /nfs/dbraw/zinc/91/81/42/887918142.db2.gz HYAZBSOBRHBODP-IRXDYDNUSA-N 1 2 300.406 1.524 20 30 DDEDLO CCC(=O)CN1CC[C@H]2[C@@H]1CC[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001277851438 887918147 /nfs/dbraw/zinc/91/81/47/887918147.db2.gz HYAZBSOBRHBODP-IRXDYDNUSA-N 1 2 300.406 1.524 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)Cc2cc(C#N)ccc2F)c[nH+]1 ZINC001364132072 887991911 /nfs/dbraw/zinc/99/19/11/887991911.db2.gz OCOFLSGPEAWSOE-UHFFFAOYSA-N 1 2 322.365 1.602 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)Cc2cc(C#N)ccc2F)[nH+]1 ZINC001364132072 887991919 /nfs/dbraw/zinc/99/19/19/887991919.db2.gz OCOFLSGPEAWSOE-UHFFFAOYSA-N 1 2 322.365 1.602 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H]1CCNC1=O ZINC001234517029 888047933 /nfs/dbraw/zinc/04/79/33/888047933.db2.gz ICZIOHAGKARSNH-HUUCEWRRSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@@H]1CCNC1=O ZINC001234517029 888047948 /nfs/dbraw/zinc/04/79/48/888047948.db2.gz ICZIOHAGKARSNH-HUUCEWRRSA-N 1 2 305.422 1.176 20 30 DDEDLO C[C@H]([C@@H](C)S(C)(=O)=O)[N@H+](C)C[C@@H](O)c1cccc(C#N)c1 ZINC001364347430 888431164 /nfs/dbraw/zinc/43/11/64/888431164.db2.gz QEMJMGLWCNRRJZ-LALPHHSUSA-N 1 2 310.419 1.345 20 30 DDEDLO C[C@H]([C@@H](C)S(C)(=O)=O)[N@@H+](C)C[C@@H](O)c1cccc(C#N)c1 ZINC001364347430 888431173 /nfs/dbraw/zinc/43/11/73/888431173.db2.gz QEMJMGLWCNRRJZ-LALPHHSUSA-N 1 2 310.419 1.345 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](C)CC[N@@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001364389429 888519249 /nfs/dbraw/zinc/51/92/49/888519249.db2.gz ZDRLHRQWFOFZCV-UHOFOFEASA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](C)CC[N@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001364389429 888519260 /nfs/dbraw/zinc/51/92/60/888519260.db2.gz ZDRLHRQWFOFZCV-UHOFOFEASA-N 1 2 302.374 1.865 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)Cc1nc([C@H](C)OC)no1 ZINC001235480643 888671036 /nfs/dbraw/zinc/67/10/36/888671036.db2.gz OVNKVHIJDXLLOD-LBPRGKRZSA-N 1 2 310.398 1.681 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)Cc1nc([C@H](C)OC)no1 ZINC001235480643 888671042 /nfs/dbraw/zinc/67/10/42/888671042.db2.gz OVNKVHIJDXLLOD-LBPRGKRZSA-N 1 2 310.398 1.681 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1CCCCCO ZINC001235488722 888675221 /nfs/dbraw/zinc/67/52/21/888675221.db2.gz LEFDWRSIRUGVPC-OAHLLOKOSA-N 1 2 308.426 1.562 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1CCCCCO ZINC001235488722 888675227 /nfs/dbraw/zinc/67/52/27/888675227.db2.gz LEFDWRSIRUGVPC-OAHLLOKOSA-N 1 2 308.426 1.562 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@H]1C=CCCC1 ZINC001235758793 888932676 /nfs/dbraw/zinc/93/26/76/888932676.db2.gz MDFVZVHOUUTWPV-JKSUJKDBSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@H]1C=CCCC1 ZINC001235758793 888932680 /nfs/dbraw/zinc/93/26/80/888932680.db2.gz MDFVZVHOUUTWPV-JKSUJKDBSA-N 1 2 317.433 1.015 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC2(CN(C(=O)c3cn(C)ccc3=O)C2)C1 ZINC001365608888 891152934 /nfs/dbraw/zinc/15/29/34/891152934.db2.gz VATOAIGDZRFDOT-UHFFFAOYSA-N 1 2 321.808 1.286 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC2(CN(C(=O)c3cn(C)ccc3=O)C2)C1 ZINC001365608888 891152938 /nfs/dbraw/zinc/15/29/38/891152938.db2.gz VATOAIGDZRFDOT-UHFFFAOYSA-N 1 2 321.808 1.286 20 30 DDEDLO CN1CC[NH+](CCNc2ccc(-c3cnc(C#N)nc3)cn2)CC1 ZINC001241562004 891205868 /nfs/dbraw/zinc/20/58/68/891205868.db2.gz LIPDLAMWOZIUAW-UHFFFAOYSA-N 1 2 323.404 1.070 20 30 DDEDLO C=CCN1CC[N@@H+](C)C2(CCN(C(=S)NCCC)CC2)C1=O ZINC001244867486 891953075 /nfs/dbraw/zinc/95/30/75/891953075.db2.gz GZVHUWDVMKOSRP-UHFFFAOYSA-N 1 2 324.494 1.066 20 30 DDEDLO C=CCN1CC[N@H+](C)C2(CCN(C(=S)NCCC)CC2)C1=O ZINC001244867486 891953094 /nfs/dbraw/zinc/95/30/94/891953094.db2.gz GZVHUWDVMKOSRP-UHFFFAOYSA-N 1 2 324.494 1.066 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2snnc2C)[C@H]1C ZINC001278496303 893501954 /nfs/dbraw/zinc/50/19/54/893501954.db2.gz YSNAWOAHKQYRPE-CHWSQXEVSA-N 1 2 322.434 1.079 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2snnc2C)[C@H]1C ZINC001278496303 893501989 /nfs/dbraw/zinc/50/19/89/893501989.db2.gz YSNAWOAHKQYRPE-CHWSQXEVSA-N 1 2 322.434 1.079 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2ccn(C)n2)[C@@H]1C ZINC001278504289 893606420 /nfs/dbraw/zinc/60/64/20/893606420.db2.gz CLODMTDZLOSXAA-GDBMZVCRSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccn(C)n2)[C@@H]1C ZINC001278504289 893606424 /nfs/dbraw/zinc/60/64/24/893606424.db2.gz CLODMTDZLOSXAA-GDBMZVCRSA-N 1 2 302.422 1.693 20 30 DDEDLO CN1CC[NH+](CCNc2ccc(CCCCC#N)cn2)CC1 ZINC001250065952 894193040 /nfs/dbraw/zinc/19/30/40/894193040.db2.gz KGJRKAGYGFKSAY-UHFFFAOYSA-N 1 2 301.438 1.977 20 30 DDEDLO CC(C)(C)n1cc(C#N)cc1NC[C@H](O)C[NH+]1CCOCC1 ZINC001251006710 894563400 /nfs/dbraw/zinc/56/34/00/894563400.db2.gz KKEOQMNKFPVOOI-AWEZNQCLSA-N 1 2 306.410 1.220 20 30 DDEDLO N#Cc1nc2ccc(NC[C@H](O)C[NH+]3CCOCC3)cc2s1 ZINC001251026248 894576357 /nfs/dbraw/zinc/57/63/57/894576357.db2.gz PVRBPDIYUQCYTO-LBPRGKRZSA-N 1 2 318.402 1.273 20 30 DDEDLO C=CCOC[C@@H](O)C[N@@H+]1CCc2[nH]nc(C(F)(F)F)c2C1 ZINC001252471287 895186791 /nfs/dbraw/zinc/18/67/91/895186791.db2.gz VSLLHHUAWVYMJN-VIFPVBQESA-N 1 2 305.300 1.350 20 30 DDEDLO C=CCOC[C@@H](O)C[N@H+]1CCc2[nH]nc(C(F)(F)F)c2C1 ZINC001252471287 895186797 /nfs/dbraw/zinc/18/67/97/895186797.db2.gz VSLLHHUAWVYMJN-VIFPVBQESA-N 1 2 305.300 1.350 20 30 DDEDLO C=CCOC[C@@H](O)C[NH2+][C@@H](CC(C)C)C(=O)OC(C)(C)C ZINC001252483615 895201943 /nfs/dbraw/zinc/20/19/43/895201943.db2.gz WCPPGFOBYKUVKK-KBPBESRZSA-N 1 2 301.427 1.896 20 30 DDEDLO CCO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001367000133 895529985 /nfs/dbraw/zinc/52/99/85/895529985.db2.gz HDIJXWFLUOUKBL-COXVUDFISA-N 1 2 313.401 1.768 20 30 DDEDLO CCO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001367000133 895530001 /nfs/dbraw/zinc/53/00/01/895530001.db2.gz HDIJXWFLUOUKBL-COXVUDFISA-N 1 2 313.401 1.768 20 30 DDEDLO CC(C)NC(=O)c1noc2c1C[N@@H+]([C@@H]1CC[C@H](C#N)C1)CC2 ZINC001254663455 896560332 /nfs/dbraw/zinc/56/03/32/896560332.db2.gz BWLPNQPDOMBIQI-NWDGAFQWSA-N 1 2 302.378 1.863 20 30 DDEDLO CC(C)NC(=O)c1noc2c1C[N@H+]([C@@H]1CC[C@H](C#N)C1)CC2 ZINC001254663455 896560345 /nfs/dbraw/zinc/56/03/45/896560345.db2.gz BWLPNQPDOMBIQI-NWDGAFQWSA-N 1 2 302.378 1.863 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)C#N)C1 ZINC001389357816 897021471 /nfs/dbraw/zinc/02/14/71/897021471.db2.gz HPMKBCSNJACWJK-TZMCWYRMSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H](C)C#N)C1 ZINC001389357816 897021481 /nfs/dbraw/zinc/02/14/81/897021481.db2.gz HPMKBCSNJACWJK-TZMCWYRMSA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@@H]1C[C@@H](N2CC[NH2+]C[C@@H]2C#N)CCN1C(=O)OC(C)(C)C ZINC001256474335 897449128 /nfs/dbraw/zinc/44/91/28/897449128.db2.gz WMKKIMTXYWDFFU-RDBSUJKOSA-N 1 2 308.426 1.572 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001256532054 897467309 /nfs/dbraw/zinc/46/73/09/897467309.db2.gz GWVOLJUCWHUDPD-IRXDYDNUSA-N 1 2 315.417 1.327 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001256532054 897467317 /nfs/dbraw/zinc/46/73/17/897467317.db2.gz GWVOLJUCWHUDPD-IRXDYDNUSA-N 1 2 315.417 1.327 20 30 DDEDLO C#CC[C@H]([NH2+]C1CCN(c2cccc(F)c2)CC1)C(=O)OC ZINC001258415505 898186817 /nfs/dbraw/zinc/18/68/17/898186817.db2.gz YKGCTDIXBNEDAU-INIZCTEOSA-N 1 2 304.365 1.949 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001367934114 898224875 /nfs/dbraw/zinc/22/48/75/898224875.db2.gz DPOMFZNNFQCSEX-CQSZACIVSA-N 1 2 322.840 1.705 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@@H]([NH2+]Cc2noc(-c3ccoc3)n2)C1 ZINC001389961419 898381975 /nfs/dbraw/zinc/38/19/75/898381975.db2.gz INMLGTHKYSSOHL-JLLWLGSASA-N 1 2 315.333 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)COC[C@H]2CCCO2)C1 ZINC001368169824 898884552 /nfs/dbraw/zinc/88/45/52/898884552.db2.gz HIHMRKLSFYCMCR-HIFRSBDPSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)COC[C@H]2CCCO2)C1 ZINC001368169824 898884561 /nfs/dbraw/zinc/88/45/61/898884561.db2.gz HIHMRKLSFYCMCR-HIFRSBDPSA-N 1 2 316.829 1.515 20 30 DDEDLO CC[C@@H](CNC(=O)[C@@H](C)C#N)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001390643227 899845396 /nfs/dbraw/zinc/84/53/96/899845396.db2.gz AHLQRCOGSCZIRK-JQWIXIFHSA-N 1 2 313.361 1.347 20 30 DDEDLO C[C@H]([NH2+]Cc1nnc2c(=O)n(C)ccn12)c1cccc(C#N)c1 ZINC001262034381 899903640 /nfs/dbraw/zinc/90/36/40/899903640.db2.gz BKGZIEXWOMMEJU-NSHDSACASA-N 1 2 308.345 1.150 20 30 DDEDLO COc1cc2c(cc1C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)OCO2 ZINC001262112514 899949727 /nfs/dbraw/zinc/94/97/27/899949727.db2.gz LKNXSCMIJZJTQP-BETUJISGSA-N 1 2 317.389 1.842 20 30 DDEDLO COc1cc2c(cc1C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)OCO2 ZINC001262112514 899949732 /nfs/dbraw/zinc/94/97/32/899949732.db2.gz LKNXSCMIJZJTQP-BETUJISGSA-N 1 2 317.389 1.842 20 30 DDEDLO C[N@H+](CCNC(=O)c1csnn1)Cc1ccccc1C#N ZINC001390791570 900193600 /nfs/dbraw/zinc/19/36/00/900193600.db2.gz CQVVCSLFAKXOSE-UHFFFAOYSA-N 1 2 301.375 1.272 20 30 DDEDLO C[N@@H+](CCNC(=O)c1csnn1)Cc1ccccc1C#N ZINC001390791570 900193612 /nfs/dbraw/zinc/19/36/12/900193612.db2.gz CQVVCSLFAKXOSE-UHFFFAOYSA-N 1 2 301.375 1.272 20 30 DDEDLO C#CCNC(=O)c1ccc(N2CCC(c3c[nH]c[nH+]3)CC2)nc1 ZINC001262685705 900306431 /nfs/dbraw/zinc/30/64/31/900306431.db2.gz KOTURBRRJAPRRW-UHFFFAOYSA-N 1 2 309.373 1.552 20 30 DDEDLO C#CCN(CC#CC)c1nnc(C2CC[NH+](CCC#N)CC2)n1C ZINC001262873642 900403975 /nfs/dbraw/zinc/40/39/75/900403975.db2.gz OIWQRTGQTHQZOK-UHFFFAOYSA-N 1 2 324.432 1.371 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cnoc3C)n2CC)CC1 ZINC001263015764 900468446 /nfs/dbraw/zinc/46/84/46/900468446.db2.gz YVLAJNXBUHLEOI-UHFFFAOYSA-N 1 2 300.366 1.017 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)CCCF)C1=O ZINC001263807745 900720299 /nfs/dbraw/zinc/72/02/99/900720299.db2.gz QJUYGIFKAYDAAN-UONOGXRCSA-N 1 2 311.401 1.056 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CCCF)C1=O ZINC001263807745 900720327 /nfs/dbraw/zinc/72/03/27/900720327.db2.gz QJUYGIFKAYDAAN-UONOGXRCSA-N 1 2 311.401 1.056 20 30 DDEDLO C[C@H]1CCCN(C(=O)CCCn2cc[nH+]c2)[C@@H]1CCNCC#N ZINC001264520814 901167330 /nfs/dbraw/zinc/16/73/30/901167330.db2.gz PVZLCGBZJBUFRV-JKSUJKDBSA-N 1 2 317.437 1.794 20 30 DDEDLO C[N@H+](CCCNC(=O)C#CC1CC1)Cc1nnc(C2CC2)[nH]1 ZINC001264985117 901394117 /nfs/dbraw/zinc/39/41/17/901394117.db2.gz NDONMBHWDGEJEI-UHFFFAOYSA-N 1 2 301.394 1.034 20 30 DDEDLO C[N@@H+](CCCNC(=O)C#CC1CC1)Cc1nnc(C2CC2)[nH]1 ZINC001264985117 901394127 /nfs/dbraw/zinc/39/41/27/901394127.db2.gz NDONMBHWDGEJEI-UHFFFAOYSA-N 1 2 301.394 1.034 20 30 DDEDLO CCOCC(=O)N[C@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391382574 901628423 /nfs/dbraw/zinc/62/84/23/901628423.db2.gz GHJUUWFHUBXPOC-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391382574 901628430 /nfs/dbraw/zinc/62/84/30/901628430.db2.gz GHJUUWFHUBXPOC-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CCCCCC)C1 ZINC001265233427 901753550 /nfs/dbraw/zinc/75/35/50/901753550.db2.gz SSDWBDFNADUXMC-OAHLLOKOSA-N 1 2 307.438 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CCCCCC)C1 ZINC001265233427 901753569 /nfs/dbraw/zinc/75/35/69/901753569.db2.gz SSDWBDFNADUXMC-OAHLLOKOSA-N 1 2 307.438 1.287 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)[C@H]2CC3CCC2CC3)CC1 ZINC001265266474 901790627 /nfs/dbraw/zinc/79/06/27/901790627.db2.gz OJYJEUJWJBJIDJ-GPANFISMSA-N 1 2 304.438 1.070 20 30 DDEDLO CC(C)OCC(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001391577875 902052673 /nfs/dbraw/zinc/05/26/73/902052673.db2.gz MVQVIZGIMZNASD-MRXNPFEDSA-N 1 2 301.390 1.674 20 30 DDEDLO CC(C)OCC(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001391577875 902052677 /nfs/dbraw/zinc/05/26/77/902052677.db2.gz MVQVIZGIMZNASD-MRXNPFEDSA-N 1 2 301.390 1.674 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1nccs1 ZINC001265645374 902242300 /nfs/dbraw/zinc/24/23/00/902242300.db2.gz NYCFIBCYAGDMMQ-AWEZNQCLSA-N 1 2 321.446 1.654 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1nccs1 ZINC001265645374 902242316 /nfs/dbraw/zinc/24/23/16/902242316.db2.gz NYCFIBCYAGDMMQ-AWEZNQCLSA-N 1 2 321.446 1.654 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001293693795 914638098 /nfs/dbraw/zinc/63/80/98/914638098.db2.gz SCBHNBNAJKBOBW-AWEZNQCLSA-N 1 2 316.405 1.205 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001266094203 902924737 /nfs/dbraw/zinc/92/47/37/902924737.db2.gz QISALPNTBWOFOL-CQSZACIVSA-N 1 2 321.421 1.862 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001266094203 902924746 /nfs/dbraw/zinc/92/47/46/902924746.db2.gz QISALPNTBWOFOL-CQSZACIVSA-N 1 2 321.421 1.862 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@H]2CCN(C)C2=O)CCC1 ZINC001316601101 903514916 /nfs/dbraw/zinc/51/49/16/903514916.db2.gz AWULBCQALQKNLO-GJZGRUSLSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@H]2CCN(C)C2=O)CCC1 ZINC001316601101 903514925 /nfs/dbraw/zinc/51/49/25/903514925.db2.gz AWULBCQALQKNLO-GJZGRUSLSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nn(C)c1F ZINC001280421509 903658394 /nfs/dbraw/zinc/65/83/94/903658394.db2.gz OASWJTWSSACULC-ZDUSSCGKSA-N 1 2 324.400 1.264 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nn(C)c1F ZINC001280421509 903658406 /nfs/dbraw/zinc/65/84/06/903658406.db2.gz OASWJTWSSACULC-ZDUSSCGKSA-N 1 2 324.400 1.264 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CCC2(C[NH+](CCOC)C2)O1 ZINC001280929914 904202222 /nfs/dbraw/zinc/20/22/22/904202222.db2.gz MAXYLMKDKBFWEH-AWEZNQCLSA-N 1 2 310.438 1.585 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H](OCC)C(C)C)CO2 ZINC001280945986 904226891 /nfs/dbraw/zinc/22/68/91/904226891.db2.gz XCVHQXDMLLCZTO-CVEARBPZSA-N 1 2 322.449 1.420 20 30 DDEDLO C#CCC[N@H+]1Cc2ccc(CNC(=O)Cc3nnc[nH]3)cc2C1 ZINC001281300492 904663985 /nfs/dbraw/zinc/66/39/85/904663985.db2.gz WXBKHPYSODIRBN-UHFFFAOYSA-N 1 2 309.373 1.003 20 30 DDEDLO C#CCC[N@@H+]1Cc2ccc(CNC(=O)Cc3nnc[nH]3)cc2C1 ZINC001281300492 904663999 /nfs/dbraw/zinc/66/39/99/904663999.db2.gz WXBKHPYSODIRBN-UHFFFAOYSA-N 1 2 309.373 1.003 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H](NC(=O)CSCC#N)C[C@H]2C)co1 ZINC001281653530 905122266 /nfs/dbraw/zinc/12/22/66/905122266.db2.gz UDCBPNPOUUOVKV-DGCLKSJQSA-N 1 2 322.434 1.709 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H](NC(=O)CSCC#N)C[C@H]2C)co1 ZINC001281653530 905122274 /nfs/dbraw/zinc/12/22/74/905122274.db2.gz UDCBPNPOUUOVKV-DGCLKSJQSA-N 1 2 322.434 1.709 20 30 DDEDLO Cc1ccnc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)C)C[C@H]2C)n1 ZINC001281665230 905139615 /nfs/dbraw/zinc/13/96/15/905139615.db2.gz PZMWHWVSIDARFR-CVEARBPZSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)C)C[C@H]2C)n1 ZINC001281665230 905139620 /nfs/dbraw/zinc/13/96/20/905139620.db2.gz PZMWHWVSIDARFR-CVEARBPZSA-N 1 2 314.433 1.914 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)[N@H+](C)CC(=O)Nc1nccs1 ZINC001282442632 905789389 /nfs/dbraw/zinc/78/93/89/905789389.db2.gz CIZLHJUEQBPULT-LLVKDONJSA-N 1 2 324.450 1.730 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)[N@@H+](C)CC(=O)Nc1nccs1 ZINC001282442632 905789398 /nfs/dbraw/zinc/78/93/98/905789398.db2.gz CIZLHJUEQBPULT-LLVKDONJSA-N 1 2 324.450 1.730 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2CCC[C@@H]2CNC(=O)[C@H](C)C#N)n1 ZINC001377382646 921181012 /nfs/dbraw/zinc/18/10/12/921181012.db2.gz LAIFSIAZPDNATO-UKRRQHHQSA-N 1 2 303.410 1.704 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2CCC[C@@H]2CNC(=O)[C@H](C)C#N)n1 ZINC001377382646 921181020 /nfs/dbraw/zinc/18/10/20/921181020.db2.gz LAIFSIAZPDNATO-UKRRQHHQSA-N 1 2 303.410 1.704 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCCC[N@H+]1Cc1nnc(C)[nH]1 ZINC001282663070 905928143 /nfs/dbraw/zinc/92/81/43/905928143.db2.gz UVOPEVWWPRHBMN-CQSZACIVSA-N 1 2 305.426 1.940 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCCC[N@@H+]1Cc1nnc(C)[nH]1 ZINC001282663070 905928156 /nfs/dbraw/zinc/92/81/56/905928156.db2.gz UVOPEVWWPRHBMN-CQSZACIVSA-N 1 2 305.426 1.940 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCC2(C)C)C1 ZINC001282734268 905993584 /nfs/dbraw/zinc/99/35/84/905993584.db2.gz NPFGLJORDWBNBW-GXTWGEPZSA-N 1 2 307.438 1.161 20 30 DDEDLO C=C1CCC(C(=O)N[C@H](C)C2C[NH+](CC(=O)NCC)C2)CC1 ZINC001282734986 905997356 /nfs/dbraw/zinc/99/73/56/905997356.db2.gz ILSZKNIAMMKYQO-CYBMUJFWSA-N 1 2 307.438 1.305 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](OCC)C3CC3)C2)C1 ZINC001282774374 906026852 /nfs/dbraw/zinc/02/68/52/906026852.db2.gz YCYKLVWANQRGNK-WMZOPIPTSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](OCC)C3CC3)C2)C1 ZINC001282774374 906026869 /nfs/dbraw/zinc/02/68/69/906026869.db2.gz YCYKLVWANQRGNK-WMZOPIPTSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C[C@@H](C(=O)NCCNC(=O)Cc1[nH]cc[nH+]1)c1ccccc1 ZINC001283049294 906533934 /nfs/dbraw/zinc/53/39/34/906533934.db2.gz DBJHRIRKQSDAAD-CQSZACIVSA-N 1 2 312.373 1.154 20 30 DDEDLO C=C(C)CCC(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001283271045 907034681 /nfs/dbraw/zinc/03/46/81/907034681.db2.gz ZKXSWJPGSJNUOJ-CHWSQXEVSA-N 1 2 304.394 1.492 20 30 DDEDLO C=C(C)CCC(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001283271045 907034699 /nfs/dbraw/zinc/03/46/99/907034699.db2.gz ZKXSWJPGSJNUOJ-CHWSQXEVSA-N 1 2 304.394 1.492 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCCN(CC)C(=O)Cn1cc[nH+]c1 ZINC001283744946 907886219 /nfs/dbraw/zinc/88/62/19/907886219.db2.gz RABIKDIGGJZRTH-UHFFFAOYSA-N 1 2 320.437 1.840 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](CNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001283956388 908235716 /nfs/dbraw/zinc/23/57/16/908235716.db2.gz BITPIDJIZSJZIL-GFCCVEGCSA-N 1 2 304.394 1.175 20 30 DDEDLO N#Cc1ccccc1C[N@@H+](CCNC(=O)c1ccnnc1)C1CC1 ZINC001372859058 908443922 /nfs/dbraw/zinc/44/39/22/908443922.db2.gz MISXSRKJSONKEV-UHFFFAOYSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@H+](CCNC(=O)c1ccnnc1)C1CC1 ZINC001372859058 908443933 /nfs/dbraw/zinc/44/39/33/908443933.db2.gz MISXSRKJSONKEV-UHFFFAOYSA-N 1 2 321.384 1.743 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2cccs2)[C@H]1C ZINC001284100563 908477093 /nfs/dbraw/zinc/47/70/93/908477093.db2.gz GDYUTOAHXORBKC-CHWSQXEVSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2cccs2)[C@H]1C ZINC001284100563 908477102 /nfs/dbraw/zinc/47/71/02/908477102.db2.gz GDYUTOAHXORBKC-CHWSQXEVSA-N 1 2 319.430 1.080 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)CCCC(C)=O)[C@@H]1C ZINC001284102305 908478670 /nfs/dbraw/zinc/47/86/70/908478670.db2.gz NMSKYPQVRDBKSE-HOTGVXAUSA-N 1 2 308.422 1.365 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)CCCC(C)=O)[C@@H]1C ZINC001284102305 908478672 /nfs/dbraw/zinc/47/86/72/908478672.db2.gz NMSKYPQVRDBKSE-HOTGVXAUSA-N 1 2 308.422 1.365 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(OC)no2)[C@H]1C ZINC001284118609 908504238 /nfs/dbraw/zinc/50/42/38/908504238.db2.gz AGCCZPCOVOAJBX-DZGCQCFKSA-N 1 2 319.405 1.956 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(OC)no2)[C@H]1C ZINC001284118609 908504253 /nfs/dbraw/zinc/50/42/53/908504253.db2.gz AGCCZPCOVOAJBX-DZGCQCFKSA-N 1 2 319.405 1.956 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CC[C@@H](CNCC#N)C3)ccn12 ZINC001284173954 908592777 /nfs/dbraw/zinc/59/27/77/908592777.db2.gz MGRDCESOARWWLQ-HIFRSBDPSA-N 1 2 311.389 1.654 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@]1(C)CCNC(=O)C1 ZINC001394073868 908712015 /nfs/dbraw/zinc/71/20/15/908712015.db2.gz KMKVGHSXCUSJCG-SMDDNHRTSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@]1(C)CCNC(=O)C1 ZINC001394073868 908712020 /nfs/dbraw/zinc/71/20/20/908712020.db2.gz KMKVGHSXCUSJCG-SMDDNHRTSA-N 1 2 301.818 1.092 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001284273354 908756969 /nfs/dbraw/zinc/75/69/69/908756969.db2.gz YAXSGDJOUCZHRF-JHJVBQTASA-N 1 2 318.421 1.736 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001284273354 908756977 /nfs/dbraw/zinc/75/69/77/908756977.db2.gz YAXSGDJOUCZHRF-JHJVBQTASA-N 1 2 318.421 1.736 20 30 DDEDLO CC#CC[N@H+](C)CCN(C(=O)c1cccc2nn[nH]c21)C(C)C ZINC001284537279 909156952 /nfs/dbraw/zinc/15/69/52/909156952.db2.gz MHOXEDVJGQKFJH-UHFFFAOYSA-N 1 2 313.405 1.764 20 30 DDEDLO CC#CC[N@@H+](C)CCN(C(=O)c1cccc2nn[nH]c21)C(C)C ZINC001284537279 909156963 /nfs/dbraw/zinc/15/69/63/909156963.db2.gz MHOXEDVJGQKFJH-UHFFFAOYSA-N 1 2 313.405 1.764 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001284673963 909340130 /nfs/dbraw/zinc/34/01/30/909340130.db2.gz JBPYFSFHNXZRND-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO COCCC(=O)N[C@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373386035 909702360 /nfs/dbraw/zinc/70/23/60/909702360.db2.gz GAAIACGWCRGCBX-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO COCCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373386035 909702365 /nfs/dbraw/zinc/70/23/65/909702365.db2.gz GAAIACGWCRGCBX-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO CCOCC(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001373386587 909704599 /nfs/dbraw/zinc/70/45/99/909704599.db2.gz PQMAXJWIIRBMOR-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001373386587 909704608 /nfs/dbraw/zinc/70/46/08/909704608.db2.gz PQMAXJWIIRBMOR-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)Cn1cc[nH+]c1 ZINC001285006520 909929294 /nfs/dbraw/zinc/92/92/94/909929294.db2.gz PHXFUBKXSPDHPI-KBPBESRZSA-N 1 2 316.405 1.297 20 30 DDEDLO C=CCCC(=O)NC[C@@](C)(NC(=O)Cc1[nH]c[nH+]c1C)C1CC1 ZINC001285143475 910116411 /nfs/dbraw/zinc/11/64/11/910116411.db2.gz OFHNABPRYDMPMP-QGZVFWFLSA-N 1 2 318.421 1.628 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)CC(C)C ZINC001285255751 910276059 /nfs/dbraw/zinc/27/60/59/910276059.db2.gz SNQLNKGWSKYXNV-CQSZACIVSA-N 1 2 318.421 1.259 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2[C@H](CCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001285386230 910449920 /nfs/dbraw/zinc/44/99/20/910449920.db2.gz BLQLTLBMWYBVIN-HIFRSBDPSA-N 1 2 316.405 1.368 20 30 DDEDLO CC[C@@H](CNC(=O)c1[nH]ncc1F)[NH2+]Cc1cccc(C#N)c1 ZINC001373796378 911019773 /nfs/dbraw/zinc/01/97/73/911019773.db2.gz ZIKNCBRJMNNOHH-ZDUSSCGKSA-N 1 2 315.352 1.719 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001285682321 911029629 /nfs/dbraw/zinc/02/96/29/911029629.db2.gz KIEPMRFGELQLHL-UONOGXRCSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(C)CCC(=O)NCC1(NC(=O)CCc2c[nH+]cn2C)CC1 ZINC001285931829 911464095 /nfs/dbraw/zinc/46/40/95/911464095.db2.gz GWJZYIYKZWQQLI-UHFFFAOYSA-N 1 2 318.421 1.474 20 30 DDEDLO C=CCCC(=O)N(C)C1CN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001285999284 911539286 /nfs/dbraw/zinc/53/92/86/911539286.db2.gz OKQPOLYBXCXENW-ZDUSSCGKSA-N 1 2 316.405 1.465 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(COCC)n2[C@@H]2C[C@H]2CF)CC1 ZINC001286484481 911996919 /nfs/dbraw/zinc/99/69/19/911996919.db2.gz OYVQPFNCXOUPRA-UONOGXRCSA-N 1 2 321.400 1.100 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001294361189 915079488 /nfs/dbraw/zinc/07/94/88/915079488.db2.gz BGNVAVSPEXZWCA-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001294784921 915363287 /nfs/dbraw/zinc/36/32/87/915363287.db2.gz VTDAESWVHOZKCL-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CCCN2C(=O)Cn2cc[nH+]c2)C1 ZINC001296467261 916438754 /nfs/dbraw/zinc/43/87/54/916438754.db2.gz IQRYPFJEKZGZBW-AWEZNQCLSA-N 1 2 316.405 1.347 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)C[C@H]1COC(=O)C1 ZINC001376562957 918761869 /nfs/dbraw/zinc/76/18/69/918761869.db2.gz BCLTWHCFSISAQR-OLZOCXBDSA-N 1 2 314.813 1.663 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)C[C@H]1COC(=O)C1 ZINC001376562957 918761882 /nfs/dbraw/zinc/76/18/82/918761882.db2.gz BCLTWHCFSISAQR-OLZOCXBDSA-N 1 2 314.813 1.663 20 30 DDEDLO CCO[C@H](C)C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001377133091 920423002 /nfs/dbraw/zinc/42/30/02/920423002.db2.gz SRFIBCSPTGJFNW-GFCCVEGCSA-N 1 2 307.369 1.670 20 30 DDEDLO CCO[C@H](C)C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001377133091 920423010 /nfs/dbraw/zinc/42/30/10/920423010.db2.gz SRFIBCSPTGJFNW-GFCCVEGCSA-N 1 2 307.369 1.670 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CC[C@@H](n3cncn3)C2)c1C#N ZINC000614420520 361846584 /nfs/dbraw/zinc/84/65/84/361846584.db2.gz YGOUNDLYFLGGTA-CYBMUJFWSA-N 1 2 311.345 1.383 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CC[C@@H](n3cncn3)C2)c1C#N ZINC000614420520 361846591 /nfs/dbraw/zinc/84/65/91/361846591.db2.gz YGOUNDLYFLGGTA-CYBMUJFWSA-N 1 2 311.345 1.383 20 30 DDEDLO C[C@H]1CCC[C@H](CO)[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000305824305 231067938 /nfs/dbraw/zinc/06/79/38/231067938.db2.gz BZFLFVYEQXLGFY-DZGCQCFKSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@H]1CCC[C@H](CO)[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000305824305 231067941 /nfs/dbraw/zinc/06/79/41/231067941.db2.gz BZFLFVYEQXLGFY-DZGCQCFKSA-N 1 2 322.430 1.567 20 30 DDEDLO Cn1cc(C[N@H+](C)[C@@H]2CCN(c3sccc3C#N)C2=O)cn1 ZINC000083128656 185213658 /nfs/dbraw/zinc/21/36/58/185213658.db2.gz QYLHGMFISQZDQJ-CYBMUJFWSA-N 1 2 315.402 1.591 20 30 DDEDLO Cn1cc(C[N@@H+](C)[C@@H]2CCN(c3sccc3C#N)C2=O)cn1 ZINC000083128656 185213659 /nfs/dbraw/zinc/21/36/59/185213659.db2.gz QYLHGMFISQZDQJ-CYBMUJFWSA-N 1 2 315.402 1.591 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC000092843813 185345597 /nfs/dbraw/zinc/34/55/97/185345597.db2.gz KXMAVFHNUKDHMY-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC000092843813 185345598 /nfs/dbraw/zinc/34/55/98/185345598.db2.gz KXMAVFHNUKDHMY-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(CC(=O)Nc3sccc3C#N)C[C@H]21 ZINC000330358388 529790900 /nfs/dbraw/zinc/79/09/00/529790900.db2.gz UTWCHKVFOICTSS-NEPJUHHUSA-N 1 2 306.391 1.223 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(CC(=O)Nc3sccc3C#N)C[C@H]21 ZINC000330358388 529790901 /nfs/dbraw/zinc/79/09/01/529790901.db2.gz UTWCHKVFOICTSS-NEPJUHHUSA-N 1 2 306.391 1.223 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)C[C@@H](CC#N)c1ccccc1 ZINC000355553496 529910906 /nfs/dbraw/zinc/91/09/06/529910906.db2.gz VNAHMIDSFYCJGD-QGZVFWFLSA-N 1 2 315.417 1.865 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)[C@H]2CCC[N@@H+]2Cc2ccccn2)C1 ZINC000615825181 362455291 /nfs/dbraw/zinc/45/52/91/362455291.db2.gz NZAAUNZDYBVJGF-GDBMZVCRSA-N 1 2 302.353 1.510 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)[C@H]2CCC[N@H+]2Cc2ccccn2)C1 ZINC000615825181 362455293 /nfs/dbraw/zinc/45/52/93/362455293.db2.gz NZAAUNZDYBVJGF-GDBMZVCRSA-N 1 2 302.353 1.510 20 30 DDEDLO CN(Cc1[nH+]ccn1C)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000299650907 530027481 /nfs/dbraw/zinc/02/74/81/530027481.db2.gz KYLCRIOPKZIYDD-LBPRGKRZSA-N 1 2 315.402 1.591 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)Cc2csc3nccn23)C1 ZINC000330064514 530070553 /nfs/dbraw/zinc/07/05/53/530070553.db2.gz CITCBBXTMVKIGW-GFCCVEGCSA-N 1 2 307.423 1.141 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)Cc2csc3nccn23)C1 ZINC000330064514 530070554 /nfs/dbraw/zinc/07/05/54/530070554.db2.gz CITCBBXTMVKIGW-GFCCVEGCSA-N 1 2 307.423 1.141 20 30 DDEDLO Cc1cc(C(=O)N2CCN(c3cccc[nH+]3)CC2)ncc1C#N ZINC000457398479 232049363 /nfs/dbraw/zinc/04/93/63/232049363.db2.gz WPFYDLFABJXONM-UHFFFAOYSA-N 1 2 307.357 1.619 20 30 DDEDLO Cc1cc(C(=O)N(C)CC[N@@H+]2CCOC(C)(C)C2)ncc1C#N ZINC000457759638 232121636 /nfs/dbraw/zinc/12/16/36/232121636.db2.gz HBQHZRORIVFWEN-UHFFFAOYSA-N 1 2 316.405 1.445 20 30 DDEDLO Cc1cc(C(=O)N(C)CC[N@H+]2CCOC(C)(C)C2)ncc1C#N ZINC000457759638 232121639 /nfs/dbraw/zinc/12/16/39/232121639.db2.gz HBQHZRORIVFWEN-UHFFFAOYSA-N 1 2 316.405 1.445 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CC[NH+](C2CCC2)CC1 ZINC000172105259 198040427 /nfs/dbraw/zinc/04/04/27/198040427.db2.gz RJMZMKMBYFVAIT-UHFFFAOYSA-N 1 2 305.403 1.417 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000046886509 352468638 /nfs/dbraw/zinc/46/86/38/352468638.db2.gz UGHIOUBRPIJDKW-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000063589917 352916479 /nfs/dbraw/zinc/91/64/79/352916479.db2.gz URSGZNIHESCMNK-UHFFFAOYSA-N 1 2 314.357 1.294 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)CCCCC1 ZINC000063662594 352918007 /nfs/dbraw/zinc/91/80/07/352918007.db2.gz ZFOFPKSHDGHEAR-HNNXBMFYSA-N 1 2 305.422 1.784 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2cccc(C#N)c2)CC1 ZINC000173649219 198236782 /nfs/dbraw/zinc/23/67/82/198236782.db2.gz SCNHANGLOSSURM-UHFFFAOYSA-N 1 2 301.390 1.741 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@H](O)COc1cc(C)ccc1C ZINC000072689901 353209624 /nfs/dbraw/zinc/20/96/24/353209624.db2.gz VNJKPGHHRJPWIV-INIZCTEOSA-N 1 2 306.406 1.905 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@H](O)COc1cc(C)ccc1C ZINC000072689901 353209625 /nfs/dbraw/zinc/20/96/25/353209625.db2.gz VNJKPGHHRJPWIV-INIZCTEOSA-N 1 2 306.406 1.905 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(C(=O)N(C)C)CC1 ZINC000075699604 353376397 /nfs/dbraw/zinc/37/63/97/353376397.db2.gz GTECVXKWFOPJDR-CQSZACIVSA-N 1 2 310.442 1.099 20 30 DDEDLO N#C[C@@H](c1ccccc1)[C@H]1CCC[N@H+]1CCN1C(=O)CNC1=O ZINC000076153408 353401920 /nfs/dbraw/zinc/40/19/20/353401920.db2.gz HENDCXOTADRQAH-LSDHHAIUSA-N 1 2 312.373 1.310 20 30 DDEDLO N#C[C@@H](c1ccccc1)[C@H]1CCC[N@@H+]1CCN1C(=O)CNC1=O ZINC000076153408 353401923 /nfs/dbraw/zinc/40/19/23/353401923.db2.gz HENDCXOTADRQAH-LSDHHAIUSA-N 1 2 312.373 1.310 20 30 DDEDLO C[N@H+](CCC(=O)Nc1ccc(C#N)c(Cl)c1)Cc1nnc[nH]1 ZINC000174805555 198387456 /nfs/dbraw/zinc/38/74/56/198387456.db2.gz BBDLFJXRFVTRPJ-UHFFFAOYSA-N 1 2 318.768 1.790 20 30 DDEDLO C[N@@H+](CCC(=O)Nc1ccc(C#N)c(Cl)c1)Cc1nnc[nH]1 ZINC000174805555 198387458 /nfs/dbraw/zinc/38/74/58/198387458.db2.gz BBDLFJXRFVTRPJ-UHFFFAOYSA-N 1 2 318.768 1.790 20 30 DDEDLO O=C(C#Cc1ccccc1)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000080651020 353620858 /nfs/dbraw/zinc/62/08/58/353620858.db2.gz RBRMPONCEAWGFI-SFHVURJKSA-N 1 2 312.413 1.751 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[NH+]1CCC(c2nc[nH]n2)CC1 ZINC000083445485 353711095 /nfs/dbraw/zinc/71/10/95/353711095.db2.gz LJZYEHNPNZHLDH-GFCCVEGCSA-N 1 2 324.388 1.883 20 30 DDEDLO CCOC(=O)C(C)(C)N(C)Cc1c[nH+]c2ccc(C#N)cn12 ZINC000093359476 353899458 /nfs/dbraw/zinc/89/94/58/353899458.db2.gz UFRHZZJLKPAAEU-UHFFFAOYSA-N 1 2 300.362 1.979 20 30 DDEDLO Cc1nnc2n1C[C@H](CNC(=O)C(C)(C)[NH+]1CCOCC1)CC2 ZINC000328742621 222890768 /nfs/dbraw/zinc/89/07/68/222890768.db2.gz OADPEKOPGJQRHH-ZDUSSCGKSA-N 1 2 321.425 1.216 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCC([C@@H](O)C(F)(F)F)CC1 ZINC000305127171 354468381 /nfs/dbraw/zinc/46/83/81/354468381.db2.gz ITKLFSGSNYCCAM-CYBMUJFWSA-N 1 2 321.343 1.384 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@@H](O)COc1ccccc1C#N ZINC000316078859 354499913 /nfs/dbraw/zinc/49/99/13/354499913.db2.gz IJZYSHUKWOCJPU-OAHLLOKOSA-N 1 2 303.362 1.160 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@@H](O)COc1ccccc1C#N ZINC000316078859 354499916 /nfs/dbraw/zinc/49/99/16/354499916.db2.gz IJZYSHUKWOCJPU-OAHLLOKOSA-N 1 2 303.362 1.160 20 30 DDEDLO Cc1nnc(-c2cccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c2)o1 ZINC000581196501 354731400 /nfs/dbraw/zinc/73/14/00/354731400.db2.gz WSHYSLULULOJNW-INIZCTEOSA-N 1 2 313.361 1.619 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NC[C@@H]1CCN(c2ccccc2)C1 ZINC000579855196 354724232 /nfs/dbraw/zinc/72/42/32/354724232.db2.gz XAKNMGIKAABYLC-JKSUJKDBSA-N 1 2 314.433 1.911 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc2nccnc2cc1F ZINC000580956742 354728593 /nfs/dbraw/zinc/72/85/93/354728593.db2.gz CEICPBHSMZLPHO-OAHLLOKOSA-N 1 2 301.325 1.343 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H](F)C[C@H]2C(=O)N2CCOCC2)cc1 ZINC000585792313 354847147 /nfs/dbraw/zinc/84/71/47/354847147.db2.gz YZSIWTAJXAFQID-CVEARBPZSA-N 1 2 317.364 1.329 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H](F)C[C@H]2C(=O)N2CCOCC2)cc1 ZINC000585792313 354847151 /nfs/dbraw/zinc/84/71/51/354847151.db2.gz YZSIWTAJXAFQID-CVEARBPZSA-N 1 2 317.364 1.329 20 30 DDEDLO Cc1cc(N2CCO[C@H](C)C2)c(C#N)c(N2CCO[C@H](C)C2)[nH+]1 ZINC000589104294 354959602 /nfs/dbraw/zinc/95/96/02/354959602.db2.gz IGOWGPZKRMGFMV-ZIAGYGMSSA-N 1 2 316.405 1.712 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000590705422 355152894 /nfs/dbraw/zinc/15/28/94/355152894.db2.gz RRESCHQFSUKZKR-HNNXBMFYSA-N 1 2 319.361 1.290 20 30 DDEDLO CC(=O)Nc1ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc1Cl ZINC000591773642 355382413 /nfs/dbraw/zinc/38/24/13/355382413.db2.gz WJNMHDAAULREIO-ZDUSSCGKSA-N 1 2 324.812 1.917 20 30 DDEDLO CC(=O)Nc1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc1Cl ZINC000591773642 355382416 /nfs/dbraw/zinc/38/24/16/355382416.db2.gz WJNMHDAAULREIO-ZDUSSCGKSA-N 1 2 324.812 1.917 20 30 DDEDLO Cc1cc(N2CCC[C@@](O)(CC(=O)N(C)C)C2)c(C#N)c[nH+]1 ZINC000591618050 355340313 /nfs/dbraw/zinc/34/03/13/355340313.db2.gz NSGNGSWQONJIKC-MRXNPFEDSA-N 1 2 302.378 1.071 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@@H]2CCN(C(C)(C)C)C2=O)CC1 ZINC000591845759 355394537 /nfs/dbraw/zinc/39/45/37/355394537.db2.gz WCMPRQTUULBAKK-CABCVRRESA-N 1 2 306.454 1.552 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)N[C@@H](c2ccccc2)C2CC2)C1 ZINC000592149610 355516129 /nfs/dbraw/zinc/51/61/29/355516129.db2.gz SABYLPPNPYZBTK-ZWKOTPCHSA-N 1 2 313.401 1.604 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)N[C@@H](c2ccccc2)C2CC2)C1 ZINC000592149610 355516133 /nfs/dbraw/zinc/51/61/33/355516133.db2.gz SABYLPPNPYZBTK-ZWKOTPCHSA-N 1 2 313.401 1.604 20 30 DDEDLO CSc1ccc(NC(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592149335 355516751 /nfs/dbraw/zinc/51/67/51/355516751.db2.gz NDOUJNBYFPSCSA-OAHLLOKOSA-N 1 2 305.403 1.697 20 30 DDEDLO CSc1ccc(NC(=O)C[N@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592149335 355516754 /nfs/dbraw/zinc/51/67/54/355516754.db2.gz NDOUJNBYFPSCSA-OAHLLOKOSA-N 1 2 305.403 1.697 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000592152645 355518660 /nfs/dbraw/zinc/51/86/60/355518660.db2.gz ZLRANVQKDFHZDI-HOCLYGCPSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000592152645 355518661 /nfs/dbraw/zinc/51/86/61/355518661.db2.gz ZLRANVQKDFHZDI-HOCLYGCPSA-N 1 2 319.792 1.796 20 30 DDEDLO C[C@H](COCC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1ccccc1 ZINC000593153133 355812804 /nfs/dbraw/zinc/81/28/04/355812804.db2.gz BVKYMDYVBSVTQX-PBHICJAKSA-N 1 2 303.406 1.767 20 30 DDEDLO CC(C)(C)CN1CCC[C@H]([NH+]2CCN(C(=O)CC#N)CC2)C1=O ZINC000594001067 356084150 /nfs/dbraw/zinc/08/41/50/356084150.db2.gz IJNJCLWUURQAPU-AWEZNQCLSA-N 1 2 320.437 1.081 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000594235691 356147414 /nfs/dbraw/zinc/14/74/14/356147414.db2.gz ZSPSYIIFPKUYQO-CABCVRRESA-N 1 2 315.417 1.574 20 30 DDEDLO N#Cc1ccc2c(c1)CN(C(=O)N[C@H]1CCc3[nH+]c[nH]c3C1)C2 ZINC000594244467 356151465 /nfs/dbraw/zinc/15/14/65/356151465.db2.gz JHTNDDWCRLCTLS-AWEZNQCLSA-N 1 2 307.357 1.864 20 30 DDEDLO N#Cc1ccc2c(c1)CN(C(=O)N[C@H]1CCc3[nH]c[nH+]c3C1)C2 ZINC000594244467 356151470 /nfs/dbraw/zinc/15/14/70/356151470.db2.gz JHTNDDWCRLCTLS-AWEZNQCLSA-N 1 2 307.357 1.864 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2C[C@@H]3CCCC[C@@H]32)nc1 ZINC000594714612 356293723 /nfs/dbraw/zinc/29/37/23/356293723.db2.gz QMUPDNJPIZVXGB-KBPBESRZSA-N 1 2 305.403 1.601 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2C[C@@H]3CCCC[C@@H]32)nc1 ZINC000594714612 356293727 /nfs/dbraw/zinc/29/37/27/356293727.db2.gz QMUPDNJPIZVXGB-KBPBESRZSA-N 1 2 305.403 1.601 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ncns3)CC2)cc1F ZINC000595516823 356529009 /nfs/dbraw/zinc/52/90/09/356529009.db2.gz UUYSBNHPNPETOY-UHFFFAOYSA-N 1 2 303.366 1.871 20 30 DDEDLO CN(C(=O)C[NH+]1CCN(c2ccsc2C#N)CC1)C1CC1 ZINC000595630642 356584322 /nfs/dbraw/zinc/58/43/22/356584322.db2.gz IRKAFBLZSDMIDI-UHFFFAOYSA-N 1 2 304.419 1.363 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](CC(=O)OC)C1CCCCC1 ZINC000595668317 356601540 /nfs/dbraw/zinc/60/15/40/356601540.db2.gz NUOLMXDJGHGZRL-CQSZACIVSA-N 1 2 323.437 1.802 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CC(=O)OC)C1CCCCC1 ZINC000595668317 356601542 /nfs/dbraw/zinc/60/15/42/356601542.db2.gz NUOLMXDJGHGZRL-CQSZACIVSA-N 1 2 323.437 1.802 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+](C)C[C@@H]2COCCO2)c(C#N)c1C ZINC000595737499 356629477 /nfs/dbraw/zinc/62/94/77/356629477.db2.gz QXONMQDQLJVTJU-WCQYABFASA-N 1 2 321.377 1.442 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+](C)C[C@@H]2COCCO2)c(C#N)c1C ZINC000595737499 356629478 /nfs/dbraw/zinc/62/94/78/356629478.db2.gz QXONMQDQLJVTJU-WCQYABFASA-N 1 2 321.377 1.442 20 30 DDEDLO CN(C)C(=O)N(C)[C@H]1CC[N@H+](Cc2cc(F)ccc2C#N)C1 ZINC000595826320 356665670 /nfs/dbraw/zinc/66/56/70/356665670.db2.gz MTVABIJGEGFFJV-HNNXBMFYSA-N 1 2 304.369 1.885 20 30 DDEDLO CN(C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2cc(F)ccc2C#N)C1 ZINC000595826320 356665673 /nfs/dbraw/zinc/66/56/73/356665673.db2.gz MTVABIJGEGFFJV-HNNXBMFYSA-N 1 2 304.369 1.885 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H](C)[C@@](C)(CO)C1 ZINC000595880811 356689318 /nfs/dbraw/zinc/68/93/18/356689318.db2.gz ZOVBHTMRAYCGNU-ISTRZQFTSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@@H](C)[C@@](C)(CO)C1 ZINC000595880811 356689322 /nfs/dbraw/zinc/68/93/22/356689322.db2.gz ZOVBHTMRAYCGNU-ISTRZQFTSA-N 1 2 302.374 1.723 20 30 DDEDLO N#Cc1cnc(N2CCC[C@@H]([NH+]3CCOCC3)C2)c(Cl)c1 ZINC000596247922 356831835 /nfs/dbraw/zinc/83/18/35/356831835.db2.gz SLFYGJOAQLPJMP-CYBMUJFWSA-N 1 2 306.797 1.908 20 30 DDEDLO Cc1cc(C#N)nc(N(CC[NH+]2CCOCC2)CC2CC2)n1 ZINC000596516053 356903098 /nfs/dbraw/zinc/90/30/98/356903098.db2.gz SVCBGZOWQJNJCV-UHFFFAOYSA-N 1 2 301.394 1.205 20 30 DDEDLO C[C@H](CC#N)C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000596921900 357015117 /nfs/dbraw/zinc/01/51/17/357015117.db2.gz YAAHKXFJRHHFBF-CQSZACIVSA-N 1 2 300.406 1.922 20 30 DDEDLO C[C@@H](NC(=O)c1cc(F)c(C#N)c(F)c1)[C@H](C)[NH+]1CCOCC1 ZINC000597162129 357077313 /nfs/dbraw/zinc/07/73/13/357077313.db2.gz RRSPUKQBARNGSV-MNOVXSKESA-N 1 2 323.343 1.675 20 30 DDEDLO CC1CC(C#N)(C(=O)NC[C@H]2CCC[N@H+](Cc3ncon3)C2)C1 ZINC000597641004 357265792 /nfs/dbraw/zinc/26/57/92/357265792.db2.gz OCKVJXCNXOAZLA-MFOWVQHXSA-N 1 2 317.393 1.338 20 30 DDEDLO CC1CC(C#N)(C(=O)NC[C@H]2CCC[N@@H+](Cc3ncon3)C2)C1 ZINC000597641004 357265795 /nfs/dbraw/zinc/26/57/95/357265795.db2.gz OCKVJXCNXOAZLA-MFOWVQHXSA-N 1 2 317.393 1.338 20 30 DDEDLO C[C@H](C#N)N(C(=O)C1CC[NH+](Cc2nccn2C)CC1)C1CC1 ZINC000598131633 357469861 /nfs/dbraw/zinc/46/98/61/357469861.db2.gz JPLSJAHTILVDBT-CYBMUJFWSA-N 1 2 315.421 1.535 20 30 DDEDLO CO[C@@H]1C[N@@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C[C@@H]1OC ZINC000276819343 213114896 /nfs/dbraw/zinc/11/48/96/213114896.db2.gz FLGIIGJGKITERR-TUKIKUTGSA-N 1 2 303.362 1.231 20 30 DDEDLO CO[C@@H]1C[N@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C[C@@H]1OC ZINC000276819343 213114898 /nfs/dbraw/zinc/11/48/98/213114898.db2.gz FLGIIGJGKITERR-TUKIKUTGSA-N 1 2 303.362 1.231 20 30 DDEDLO CCN(C(=O)C1CC[NH+](Cc2nccn2C)CC1)[C@@H](C)C#N ZINC000598348432 357548721 /nfs/dbraw/zinc/54/87/21/357548721.db2.gz AVPGCKFMUONJEU-ZDUSSCGKSA-N 1 2 303.410 1.393 20 30 DDEDLO CC(C)OCc1nc([C@H](C)[NH2+]C[C@H](O)CC(C)(C)C#N)no1 ZINC000598616261 357655800 /nfs/dbraw/zinc/65/58/00/357655800.db2.gz LIMQLPGJUUHCAO-NWDGAFQWSA-N 1 2 310.398 1.946 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1nccc(C#N)c1[N+](=O)[O-] ZINC000286426503 291131234 /nfs/dbraw/zinc/13/12/34/291131234.db2.gz RLRGZPZSVZZKAE-AAEUAGOBSA-N 1 2 317.349 1.161 20 30 DDEDLO N#CCCCCC(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000600680292 358281231 /nfs/dbraw/zinc/28/12/31/358281231.db2.gz AVWWMEPHTMGGAU-INIZCTEOSA-N 1 2 316.405 1.483 20 30 DDEDLO N#CCCCCC(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000600680292 358281233 /nfs/dbraw/zinc/28/12/33/358281233.db2.gz AVWWMEPHTMGGAU-INIZCTEOSA-N 1 2 316.405 1.483 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)C2(C#N)CC3(CC3)C2)C[C@H](C)O1 ZINC000601536212 358592886 /nfs/dbraw/zinc/59/28/86/358592886.db2.gz VXLKGNFVIYSGOU-OKILXGFUSA-N 1 2 305.422 1.638 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)C2(C#N)CC3(CC3)C2)C[C@H](C)O1 ZINC000601536212 358592888 /nfs/dbraw/zinc/59/28/88/358592888.db2.gz VXLKGNFVIYSGOU-OKILXGFUSA-N 1 2 305.422 1.638 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCO[C@H](C(F)F)CC1 ZINC000601978669 358764079 /nfs/dbraw/zinc/76/40/79/358764079.db2.gz ZQMPPECNXIPFIV-MNOVXSKESA-N 1 2 301.337 1.293 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCO[C@H](C(F)F)CC1 ZINC000601978669 358764082 /nfs/dbraw/zinc/76/40/82/358764082.db2.gz ZQMPPECNXIPFIV-MNOVXSKESA-N 1 2 301.337 1.293 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)NC(C)(C)c1cccc(C#N)c1 ZINC000602371090 358950655 /nfs/dbraw/zinc/95/06/55/358950655.db2.gz UNJWXSRRWYHFTR-HNNXBMFYSA-N 1 2 316.405 1.423 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)NC(C)(C)c1cccc(C#N)c1 ZINC000602371090 358950658 /nfs/dbraw/zinc/95/06/58/358950658.db2.gz UNJWXSRRWYHFTR-HNNXBMFYSA-N 1 2 316.405 1.423 20 30 DDEDLO CC[N@@H+](Cc1ccc(Cl)c(S(N)(=O)=O)c1)C[C@@H](C)C#N ZINC000602448087 358983675 /nfs/dbraw/zinc/98/36/75/358983675.db2.gz RZPMMRDIVYHKOP-JTQLQIEISA-N 1 2 315.826 1.969 20 30 DDEDLO CC[N@H+](Cc1ccc(Cl)c(S(N)(=O)=O)c1)C[C@@H](C)C#N ZINC000602448087 358983678 /nfs/dbraw/zinc/98/36/78/358983678.db2.gz RZPMMRDIVYHKOP-JTQLQIEISA-N 1 2 315.826 1.969 20 30 DDEDLO C=CCC[C@H]([NH2+][C@H]1CCCS(=O)(=O)CC1)C(=O)OCC ZINC000602679747 359121449 /nfs/dbraw/zinc/12/14/49/359121449.db2.gz IUIHWWRYADSWIU-STQMWFEESA-N 1 2 303.424 1.441 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@H+](CC1(CC#N)CC1)C2 ZINC000602824423 359218594 /nfs/dbraw/zinc/21/85/94/359218594.db2.gz CKFHSUVDQLXOSU-UHFFFAOYSA-N 1 2 319.430 1.647 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@@H+](CC1(CC#N)CC1)C2 ZINC000602824423 359218597 /nfs/dbraw/zinc/21/85/97/359218597.db2.gz CKFHSUVDQLXOSU-UHFFFAOYSA-N 1 2 319.430 1.647 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCO[C@H](Cn2ccnn2)C1 ZINC000602903770 359284117 /nfs/dbraw/zinc/28/41/17/359284117.db2.gz BLNSXOYIQDHDML-HNNXBMFYSA-N 1 2 313.361 1.059 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCO[C@H](Cn2ccnn2)C1 ZINC000602903770 359284122 /nfs/dbraw/zinc/28/41/22/359284122.db2.gz BLNSXOYIQDHDML-HNNXBMFYSA-N 1 2 313.361 1.059 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCC[C@@H]2c2cn[nH]c2)cc1 ZINC000602879386 359262438 /nfs/dbraw/zinc/26/24/38/359262438.db2.gz CXDAWESOOWGTKV-MRXNPFEDSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCC[C@@H]2c2cn[nH]c2)cc1 ZINC000602879386 359262442 /nfs/dbraw/zinc/26/24/42/359262442.db2.gz CXDAWESOOWGTKV-MRXNPFEDSA-N 1 2 309.373 1.735 20 30 DDEDLO CN(Cc1cccs1)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000603017839 359360351 /nfs/dbraw/zinc/36/03/51/359360351.db2.gz RSYJSVAZBFIGSE-UHFFFAOYSA-N 1 2 306.435 1.238 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NC1CCCCC1)[C@H]1CCC[C@H]1C#N ZINC000602978929 359337061 /nfs/dbraw/zinc/33/70/61/359337061.db2.gz YRSPJBSJPLKJKP-JSGCOSHPSA-N 1 2 306.410 1.769 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NC1CCCCC1)[C@H]1CCC[C@H]1C#N ZINC000602978929 359337064 /nfs/dbraw/zinc/33/70/64/359337064.db2.gz YRSPJBSJPLKJKP-JSGCOSHPSA-N 1 2 306.410 1.769 20 30 DDEDLO C[C@H]1CN(C(=O)Nc2ccn3cc[nH+]c3c2)C[C@H](C)N1CC#N ZINC000603007015 359353615 /nfs/dbraw/zinc/35/36/15/359353615.db2.gz UWUOGNIQYPWLCQ-STQMWFEESA-N 1 2 312.377 1.784 20 30 DDEDLO C=C[C@H](C(=O)OC)n1cc(Cn2c(C)[nH+]c3ccccc32)nn1 ZINC000603233529 359502572 /nfs/dbraw/zinc/50/25/72/359502572.db2.gz IISRDPXTLLAYKF-CQSZACIVSA-N 1 2 311.345 1.885 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H]2CCC[N@H+](C)C2)cc1C#N ZINC000604198324 359724775 /nfs/dbraw/zinc/72/47/75/359724775.db2.gz GMERMVVQFDXUKA-SNVBAGLBSA-N 1 2 306.391 1.205 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H]2CCC[N@@H+](C)C2)cc1C#N ZINC000604198324 359724778 /nfs/dbraw/zinc/72/47/78/359724778.db2.gz GMERMVVQFDXUKA-SNVBAGLBSA-N 1 2 306.391 1.205 20 30 DDEDLO N#CCCN(Cc1ccco1)C(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000608813915 360246278 /nfs/dbraw/zinc/24/62/78/360246278.db2.gz IABRCFUGULOAEW-UHFFFAOYSA-N 1 2 322.328 1.811 20 30 DDEDLO CCCN(CCC)C(=O)C[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609487211 360313545 /nfs/dbraw/zinc/31/35/45/360313545.db2.gz YMCHXUYRZIWWLV-MRXNPFEDSA-N 1 2 308.470 1.801 20 30 DDEDLO CCC(C)(C)NC(=O)[C@@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609486958 360313723 /nfs/dbraw/zinc/31/37/23/360313723.db2.gz USLPJQBQNDINRL-HUUCEWRRSA-N 1 2 308.470 1.845 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N2CCC[C@H](C)C2)CC1 ZINC000609538304 360321515 /nfs/dbraw/zinc/32/15/15/360321515.db2.gz PWRTZOJANNAQOG-HOTGVXAUSA-N 1 2 306.454 1.411 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)C[C@H]1NC(=O)C1(S(C)(=O)=O)CCC1 ZINC000330009849 223062402 /nfs/dbraw/zinc/06/24/02/223062402.db2.gz HPFHSYDTYACTGH-ZYHUDNBSSA-N 1 2 300.424 1.393 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)C[C@H]1NC(=O)C1(S(C)(=O)=O)CCC1 ZINC000330009849 223062405 /nfs/dbraw/zinc/06/24/05/223062405.db2.gz HPFHSYDTYACTGH-ZYHUDNBSSA-N 1 2 300.424 1.393 20 30 DDEDLO O=C(NCc1ccc(N2CCCC2)[nH+]c1)N[C@@H]1CC[S@@](=O)C1 ZINC000330029842 223065304 /nfs/dbraw/zinc/06/53/04/223065304.db2.gz QRIJZPAXIACEDE-DMZKTXOQSA-N 1 2 322.434 1.206 20 30 DDEDLO CCc1nnc(NCC[N@@H+]2CCOC[C@H]2C)c(C#N)c1CC ZINC000610715373 360514898 /nfs/dbraw/zinc/51/48/98/360514898.db2.gz BERARANJQWAFMZ-GFCCVEGCSA-N 1 2 303.410 1.606 20 30 DDEDLO CCc1nnc(NCC[N@H+]2CCOC[C@H]2C)c(C#N)c1CC ZINC000610715373 360514906 /nfs/dbraw/zinc/51/49/06/360514906.db2.gz BERARANJQWAFMZ-GFCCVEGCSA-N 1 2 303.410 1.606 20 30 DDEDLO Cc1ccc(CNC(=O)[C@@H](C)[NH+]2CCN(CCC#N)CC2)cc1 ZINC000611173667 360646651 /nfs/dbraw/zinc/64/66/51/360646651.db2.gz GAFNTBXECHYYEX-MRXNPFEDSA-N 1 2 314.433 1.531 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cccc(C)c2C#N)C[C@H]1C ZINC000611083966 360617761 /nfs/dbraw/zinc/61/77/61/360617761.db2.gz WCWZPIWCXPPSMQ-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cccc(C)c2C#N)C[C@H]1C ZINC000611083966 360617763 /nfs/dbraw/zinc/61/77/63/360617763.db2.gz WCWZPIWCXPPSMQ-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO N#Cc1cccc(CN2CC[NH+](CC(=O)NC3CC3)CC2)c1F ZINC000611266361 360684501 /nfs/dbraw/zinc/68/45/01/360684501.db2.gz BRORAXQJYZLWAR-UHFFFAOYSA-N 1 2 316.380 1.094 20 30 DDEDLO CS(=O)(=O)N[C@H]1CCC[N@H+](Cc2cccc(C#N)c2F)C1 ZINC000611266341 360684556 /nfs/dbraw/zinc/68/45/56/360684556.db2.gz PFKRMVJNQRVPKO-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CS(=O)(=O)N[C@H]1CCC[N@@H+](Cc2cccc(C#N)c2F)C1 ZINC000611266341 360684562 /nfs/dbraw/zinc/68/45/62/360684562.db2.gz PFKRMVJNQRVPKO-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)N(C)CCC#N)C[C@@H](C(F)(F)F)O1 ZINC000611302611 360695664 /nfs/dbraw/zinc/69/56/64/360695664.db2.gz CRQQHEZSZWSOKD-MNOVXSKESA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)N(C)CCC#N)C[C@@H](C(F)(F)F)O1 ZINC000611302611 360695669 /nfs/dbraw/zinc/69/56/69/360695669.db2.gz CRQQHEZSZWSOKD-MNOVXSKESA-N 1 2 307.316 1.400 20 30 DDEDLO N#C[C@H](c1ccc(F)cc1)N1CC[NH+](CC(=O)N2CCC2)CC1 ZINC000611552166 360756663 /nfs/dbraw/zinc/75/66/63/360756663.db2.gz QURFQFZMRNUSEV-MRXNPFEDSA-N 1 2 316.380 1.240 20 30 DDEDLO CC(C)(CNC(=O)N1CCC[C@](C)(C#N)C1)[NH+]1CCOCC1 ZINC000612090487 360915901 /nfs/dbraw/zinc/91/59/01/360915901.db2.gz WFCNLXZYIKVLJS-MRXNPFEDSA-N 1 2 308.426 1.432 20 30 DDEDLO C=C[C@](C)(O)C(=O)N[C@H]1CC[N@@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000613137426 361286405 /nfs/dbraw/zinc/28/64/05/361286405.db2.gz BBGSKVCJWLWPPM-LZWOXQAQSA-N 1 2 310.344 1.763 20 30 DDEDLO C=C[C@](C)(O)C(=O)N[C@H]1CC[N@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000613137426 361286407 /nfs/dbraw/zinc/28/64/07/361286407.db2.gz BBGSKVCJWLWPPM-LZWOXQAQSA-N 1 2 310.344 1.763 20 30 DDEDLO C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000619494722 364037127 /nfs/dbraw/zinc/03/71/27/364037127.db2.gz GEUBWNIKAJFFKQ-STQMWFEESA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000619494722 364037136 /nfs/dbraw/zinc/03/71/36/364037136.db2.gz GEUBWNIKAJFFKQ-STQMWFEESA-N 1 2 307.419 1.466 20 30 DDEDLO C=C[C@@H]([NH2+]CCCN1c2ccccc2OCC1=O)C(=O)OC ZINC000619702950 364120977 /nfs/dbraw/zinc/12/09/77/364120977.db2.gz UQLLMNHCWAORHZ-GFCCVEGCSA-N 1 2 304.346 1.119 20 30 DDEDLO C=C[C@H]([NH2+]C1CCC(Oc2ncccc2C#N)CC1)C(=O)OC ZINC000619702561 364121077 /nfs/dbraw/zinc/12/10/77/364121077.db2.gz HKPSKYOFSMWOQN-NRXISQOPSA-N 1 2 315.373 1.960 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCN(Cc4ccon4)CC3)n2c1 ZINC000093560938 193268200 /nfs/dbraw/zinc/26/82/00/193268200.db2.gz OLGPSMFFESJOSQ-UHFFFAOYSA-N 1 2 322.372 1.512 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)Nc1c(C(=O)OCC)cnn1C ZINC000264988573 204325226 /nfs/dbraw/zinc/32/52/26/204325226.db2.gz MKVKLCSYDVVZQH-UHFFFAOYSA-N 1 2 306.366 1.209 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@H](C(=O)OC)C1 ZINC000083170426 284294488 /nfs/dbraw/zinc/29/44/88/284294488.db2.gz IFGXURKOKSDXPB-GJZGRUSLSA-N 1 2 316.357 1.657 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCC[C@H](C(=O)OC)C1 ZINC000083170426 284294489 /nfs/dbraw/zinc/29/44/89/284294489.db2.gz IFGXURKOKSDXPB-GJZGRUSLSA-N 1 2 316.357 1.657 20 30 DDEDLO CCN(CC)C(=O)CN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000041106081 183166144 /nfs/dbraw/zinc/16/61/44/183166144.db2.gz SXWGSANMCGNNOO-UHFFFAOYSA-N 1 2 314.433 1.544 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000041106081 183166146 /nfs/dbraw/zinc/16/61/46/183166146.db2.gz SXWGSANMCGNNOO-UHFFFAOYSA-N 1 2 314.433 1.544 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1-n1cc[nH+]c1)n1cnc(C#N)n1 ZINC000336896381 249284606 /nfs/dbraw/zinc/28/46/06/249284606.db2.gz RUOAIUWMOLABHX-LLVKDONJSA-N 1 2 307.317 1.535 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)n1cnc(C#N)n1 ZINC000336939896 249294075 /nfs/dbraw/zinc/29/40/75/249294075.db2.gz HGQIFUPMANGSRY-SNVBAGLBSA-N 1 2 307.317 1.740 20 30 DDEDLO Cc1sc(NC(=O)C[NH2+][C@H](C)c2nncn2C)c(C#N)c1C ZINC000274044350 211302131 /nfs/dbraw/zinc/30/21/31/211302131.db2.gz OTJMSZCBGFCQBU-SECBINFHSA-N 1 2 318.406 1.654 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1C[C@H](C)[NH+](C)[C@@H](C)C1 ZINC000339073568 250282191 /nfs/dbraw/zinc/28/21/91/250282191.db2.gz KLZMKYVGFOLOGA-RYUDHWBXSA-N 1 2 323.418 1.280 20 30 DDEDLO N#C[C@H]1CN(C(=O)/C=C/c2ccc(-n3cc[nH+]c3)cc2)CCO1 ZINC000106052771 194182997 /nfs/dbraw/zinc/18/29/97/194182997.db2.gz YQCSYMIXZORKDC-GIZXNFQBSA-N 1 2 308.341 1.636 20 30 DDEDLO COCCO[C@H]1CCC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000340767015 251200487 /nfs/dbraw/zinc/20/04/87/251200487.db2.gz CMXZZKFLSTYWDX-HOTGVXAUSA-N 1 2 317.389 1.212 20 30 DDEDLO COCCO[C@H]1CCC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000340767015 251200491 /nfs/dbraw/zinc/20/04/91/251200491.db2.gz CMXZZKFLSTYWDX-HOTGVXAUSA-N 1 2 317.389 1.212 20 30 DDEDLO C[NH+](C)Cc1nc(C(C)(C)NC(=O)c2cccc(C#N)c2)no1 ZINC000065757500 184379260 /nfs/dbraw/zinc/37/92/60/184379260.db2.gz DMXRKLXIYOLKRO-UHFFFAOYSA-N 1 2 313.361 1.668 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N1CC[NH+](Cc2ccco2)CC1 ZINC000273017578 210398379 /nfs/dbraw/zinc/39/83/79/210398379.db2.gz BQRUUXCAIVOSDE-UHFFFAOYSA-N 1 2 313.317 1.777 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@@H+]1Cc1ccc(CC#N)cc1 ZINC000343674160 533067200 /nfs/dbraw/zinc/06/72/00/533067200.db2.gz AJFPYTQXTAEQCC-CVEARBPZSA-N 1 2 302.374 1.905 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@H+]1Cc1ccc(CC#N)cc1 ZINC000343674160 533067203 /nfs/dbraw/zinc/06/72/03/533067203.db2.gz AJFPYTQXTAEQCC-CVEARBPZSA-N 1 2 302.374 1.905 20 30 DDEDLO COCCC(=O)N1CC[NH+]([C@@H](C)c2ccc(C#N)cc2)CC1 ZINC000331038238 533171688 /nfs/dbraw/zinc/17/16/88/533171688.db2.gz AYNAWDINQLWJNR-AWEZNQCLSA-N 1 2 301.390 1.800 20 30 DDEDLO Cc1csc(NC(=O)N[C@H](C)C[NH+]2CCOCC2)c1C#N ZINC000579669204 422808596 /nfs/dbraw/zinc/80/85/96/422808596.db2.gz KYDGUIYTQAXJEK-LLVKDONJSA-N 1 2 308.407 1.770 20 30 DDEDLO CN(C(=O)c1cc(C#N)cs1)C(C)(C)C[NH+]1CCOCC1 ZINC000414052251 224296237 /nfs/dbraw/zinc/29/62/37/224296237.db2.gz PEEGKKIKUGXLFC-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO COCc1nc(C(=O)NC(C)(C)C[NH+]2CCOCC2)cs1 ZINC000331359936 533269642 /nfs/dbraw/zinc/26/96/42/533269642.db2.gz XDULLRGNVWNODS-UHFFFAOYSA-N 1 2 313.423 1.705 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)c2cnc(C)s2)C1 ZINC000330593683 533504073 /nfs/dbraw/zinc/50/40/73/533504073.db2.gz AKBHQZRGCXXKSG-NSHDSACASA-N 1 2 311.407 1.641 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNC(=O)c2cnc(C)s2)C1 ZINC000330593683 533504079 /nfs/dbraw/zinc/50/40/79/533504079.db2.gz AKBHQZRGCXXKSG-NSHDSACASA-N 1 2 311.407 1.641 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](C)CC(=O)Nc1cccc(Cl)c1 ZINC000029863684 406927585 /nfs/dbraw/zinc/92/75/85/406927585.db2.gz FGHRXUGPQAPHBZ-LLVKDONJSA-N 1 2 307.781 1.348 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](C)CC(=O)Nc1cccc(Cl)c1 ZINC000029863684 406927588 /nfs/dbraw/zinc/92/75/88/406927588.db2.gz FGHRXUGPQAPHBZ-LLVKDONJSA-N 1 2 307.781 1.348 20 30 DDEDLO C=CCNC(=O)CN(CC[NH+]1CCOCC1)c1ccccc1 ZINC000081017791 407073429 /nfs/dbraw/zinc/07/34/29/407073429.db2.gz UCSKALJSCDDMNU-UHFFFAOYSA-N 1 2 303.406 1.127 20 30 DDEDLO N#CCCCOc1cccc(C[N@@H+]2CCO[C@H](C(N)=O)C2)c1 ZINC000092735149 407192094 /nfs/dbraw/zinc/19/20/94/407192094.db2.gz MWHQFBKXPKFFAD-HNNXBMFYSA-N 1 2 303.362 1.055 20 30 DDEDLO N#CCCCOc1cccc(C[N@H+]2CCO[C@H](C(N)=O)C2)c1 ZINC000092735149 407192096 /nfs/dbraw/zinc/19/20/96/407192096.db2.gz MWHQFBKXPKFFAD-HNNXBMFYSA-N 1 2 303.362 1.055 20 30 DDEDLO C=CC[N@@H+](CC(=O)N[C@@H](C)C(=O)N(C)C)Cc1ccccc1 ZINC000051400828 407139838 /nfs/dbraw/zinc/13/98/38/407139838.db2.gz MFFHQYDWNIVNEL-AWEZNQCLSA-N 1 2 303.406 1.268 20 30 DDEDLO C=CC[N@H+](CC(=O)N[C@@H](C)C(=O)N(C)C)Cc1ccccc1 ZINC000051400828 407139840 /nfs/dbraw/zinc/13/98/40/407139840.db2.gz MFFHQYDWNIVNEL-AWEZNQCLSA-N 1 2 303.406 1.268 20 30 DDEDLO Cc1noc([C@@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)n1 ZINC000052861737 407159446 /nfs/dbraw/zinc/15/94/46/407159446.db2.gz BZWPKWBTMTUFPR-WBMJQRKESA-N 1 2 317.393 1.366 20 30 DDEDLO Cc1noc([C@@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)n1 ZINC000052861737 407159448 /nfs/dbraw/zinc/15/94/48/407159448.db2.gz BZWPKWBTMTUFPR-WBMJQRKESA-N 1 2 317.393 1.366 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N(C)CC[NH+]2CCCC2)cc1C#N ZINC000103204161 407333526 /nfs/dbraw/zinc/33/35/26/407333526.db2.gz VERAHJCYEZUGJA-UHFFFAOYSA-N 1 2 320.418 1.136 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000104111623 407346954 /nfs/dbraw/zinc/34/69/54/407346954.db2.gz DFYMVKHFOMQZMP-UHFFFAOYSA-N 1 2 318.402 1.655 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000106029724 407358114 /nfs/dbraw/zinc/35/81/14/407358114.db2.gz JBKYJGDQTLDKRI-CQSZACIVSA-N 1 2 306.410 1.046 20 30 DDEDLO CC1(O)CC[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000125318135 407385128 /nfs/dbraw/zinc/38/51/28/407385128.db2.gz ZYJCGNJQMKXNOF-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO C=CCNC(=O)c1ccc(N[C@@H](C)C[NH+]2CCOCC2)nc1 ZINC000112514630 407431148 /nfs/dbraw/zinc/43/11/48/407431148.db2.gz GLURHCCEBAIUDF-ZDUSSCGKSA-N 1 2 304.394 1.130 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)N[C@@H](C)Cn2cc[nH+]c2)c1C#N ZINC000266591650 407572708 /nfs/dbraw/zinc/57/27/08/407572708.db2.gz VXIUDIFHALGBRB-LBPRGKRZSA-N 1 2 311.345 1.207 20 30 DDEDLO N#CCSc1cccc(C(=O)NC[C@H]2C[NH+]3CCN2CC3)c1 ZINC000178636617 407521722 /nfs/dbraw/zinc/52/17/22/407521722.db2.gz VZPNPNGQNJRFTB-AWEZNQCLSA-N 1 2 316.430 1.032 20 30 DDEDLO CCC[N@H+](CC(=O)Nc1oc(C)c(C)c1C#N)CC(=O)N(C)C ZINC000128433852 407535733 /nfs/dbraw/zinc/53/57/33/407535733.db2.gz ZGIOEJNWKLSKLN-UHFFFAOYSA-N 1 2 320.393 1.507 20 30 DDEDLO CCC[N@@H+](CC(=O)Nc1oc(C)c(C)c1C#N)CC(=O)N(C)C ZINC000128433852 407535737 /nfs/dbraw/zinc/53/57/37/407535737.db2.gz ZGIOEJNWKLSKLN-UHFFFAOYSA-N 1 2 320.393 1.507 20 30 DDEDLO CC(C)C[NH+]1CCN(S(=O)(=O)c2ccc(C#N)s2)CC1 ZINC000230339577 407688015 /nfs/dbraw/zinc/68/80/15/407688015.db2.gz PUMFMJDALXEABC-UHFFFAOYSA-N 1 2 313.448 1.582 20 30 DDEDLO C[C@H]1CC[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)[C@H](C(N)=O)C1 ZINC000187435022 407857033 /nfs/dbraw/zinc/85/70/33/407857033.db2.gz BMDSDNYNCISERK-KBPBESRZSA-N 1 2 320.437 1.257 20 30 DDEDLO C[C@H]1CC[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)[C@H](C(N)=O)C1 ZINC000187435022 407857048 /nfs/dbraw/zinc/85/70/48/407857048.db2.gz BMDSDNYNCISERK-KBPBESRZSA-N 1 2 320.437 1.257 20 30 DDEDLO C#CC[N@@H+](CCCCCC(=O)OCC)[C@H]1CCS(=O)(=O)C1 ZINC000117879610 407867436 /nfs/dbraw/zinc/86/74/36/407867436.db2.gz VYHLPELDNFEZQQ-AWEZNQCLSA-N 1 2 315.435 1.232 20 30 DDEDLO C#CC[N@H+](CCCCCC(=O)OCC)[C@H]1CCS(=O)(=O)C1 ZINC000117879610 407867439 /nfs/dbraw/zinc/86/74/39/407867439.db2.gz VYHLPELDNFEZQQ-AWEZNQCLSA-N 1 2 315.435 1.232 20 30 DDEDLO N#Cc1ccccc1OCC[NH+]1CCN(Cc2ccon2)CC1 ZINC000173937696 407905248 /nfs/dbraw/zinc/90/52/48/407905248.db2.gz IBWPKEXDORBBPY-UHFFFAOYSA-N 1 2 312.373 1.743 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1nc2ccccc2o1 ZINC000153823213 407910630 /nfs/dbraw/zinc/91/06/30/407910630.db2.gz MXDDZWOQUZQTCO-LLVKDONJSA-N 1 2 316.361 1.660 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1nc2ccccc2o1 ZINC000153823213 407910636 /nfs/dbraw/zinc/91/06/36/407910636.db2.gz MXDDZWOQUZQTCO-LLVKDONJSA-N 1 2 316.361 1.660 20 30 DDEDLO O=C(C#Cc1ccccc1)N1CC[NH+](Cc2ccccn2)CC1 ZINC000174922061 408008534 /nfs/dbraw/zinc/00/85/34/408008534.db2.gz ITGFCXGYOWHZIH-UHFFFAOYSA-N 1 2 305.381 1.778 20 30 DDEDLO CC#CCNC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000136002916 408067176 /nfs/dbraw/zinc/06/71/76/408067176.db2.gz CFIGAIXXBNQZAE-UHFFFAOYSA-N 1 2 301.390 1.416 20 30 DDEDLO COCCO[C@@H]1CC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000189736919 408096430 /nfs/dbraw/zinc/09/64/30/408096430.db2.gz SBVRWECYTBFVCY-CYBMUJFWSA-N 1 2 321.377 1.444 20 30 DDEDLO COCCO[C@@H]1CC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000189736919 408096437 /nfs/dbraw/zinc/09/64/37/408096437.db2.gz SBVRWECYTBFVCY-CYBMUJFWSA-N 1 2 321.377 1.444 20 30 DDEDLO CC(C)N1CC[C@H]([NH+]2CCN(c3ccc(C#N)cn3)CC2)C1=O ZINC000175117920 408055096 /nfs/dbraw/zinc/05/50/96/408055096.db2.gz DDWVYTCVNABPDP-HNNXBMFYSA-N 1 2 313.405 1.085 20 30 DDEDLO CO[C@@H](C)c1noc(C[N@H+](C)C[C@@H](O)c2ccc(C#N)cc2)n1 ZINC000268679097 408152399 /nfs/dbraw/zinc/15/23/99/408152399.db2.gz LYUYRXOZYKIQHK-SMDDNHRTSA-N 1 2 316.361 1.814 20 30 DDEDLO CO[C@@H](C)c1noc(C[N@@H+](C)C[C@@H](O)c2ccc(C#N)cc2)n1 ZINC000268679097 408152403 /nfs/dbraw/zinc/15/24/03/408152403.db2.gz LYUYRXOZYKIQHK-SMDDNHRTSA-N 1 2 316.361 1.814 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000274173880 408313877 /nfs/dbraw/zinc/31/38/77/408313877.db2.gz WYRANIFVRQLIEF-MRXNPFEDSA-N 1 2 307.415 1.708 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000274173880 408313881 /nfs/dbraw/zinc/31/38/81/408313881.db2.gz WYRANIFVRQLIEF-MRXNPFEDSA-N 1 2 307.415 1.708 20 30 DDEDLO Cc1ccn2cc(CNS(=O)(=O)CC3(C#N)CC3)[nH+]c2c1 ZINC000274252436 408342173 /nfs/dbraw/zinc/34/21/73/408342173.db2.gz YVKYMVSSMREQNZ-UHFFFAOYSA-N 1 2 304.375 1.366 20 30 DDEDLO COC[C@H](C)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000264045032 408351592 /nfs/dbraw/zinc/35/15/92/408351592.db2.gz TZTXEYOKHKHZGP-AWEZNQCLSA-N 1 2 301.390 1.485 20 30 DDEDLO CCOC(=O)C1(O)CC[NH+](Cc2ccc(OC)c(C#N)c2)CC1 ZINC000269690581 408394776 /nfs/dbraw/zinc/39/47/76/408394776.db2.gz IHFNJRFMMJFTOW-UHFFFAOYSA-N 1 2 318.373 1.457 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)c1ccccc1F)[C@H]1CCS(=O)(=O)C1 ZINC000264572149 408537892 /nfs/dbraw/zinc/53/78/92/408537892.db2.gz SAIXOXPAULJUJZ-SWLSCSKDSA-N 1 2 313.394 1.534 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)c1ccccc1F)[C@H]1CCS(=O)(=O)C1 ZINC000264572149 408537895 /nfs/dbraw/zinc/53/78/95/408537895.db2.gz SAIXOXPAULJUJZ-SWLSCSKDSA-N 1 2 313.394 1.534 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNc1nccc(C#N)c1[N+](=O)[O-] ZINC000178036260 408761075 /nfs/dbraw/zinc/76/10/75/408761075.db2.gz ZGCJCGPCYPNEDB-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNc1nccc(C#N)c1[N+](=O)[O-] ZINC000178036260 408761080 /nfs/dbraw/zinc/76/10/80/408761080.db2.gz ZGCJCGPCYPNEDB-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Cc1ccsc1 ZINC000194058513 408761273 /nfs/dbraw/zinc/76/12/73/408761273.db2.gz GMSAVVVGHRPPFR-UHFFFAOYSA-N 1 2 307.419 1.365 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)Nc2cccc(F)c2)C1=O ZINC000281180163 408874463 /nfs/dbraw/zinc/87/44/63/408874463.db2.gz AWYYSTSCTXCMQF-WFASDCNBSA-N 1 2 319.380 1.871 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)Nc2cccc(F)c2)C1=O ZINC000281180163 408874465 /nfs/dbraw/zinc/87/44/65/408874465.db2.gz AWYYSTSCTXCMQF-WFASDCNBSA-N 1 2 319.380 1.871 20 30 DDEDLO CCN(CCC#N)C(=O)CN1CCN(c2cc(C)cc[nH+]2)CC1 ZINC000166133230 408815847 /nfs/dbraw/zinc/81/58/47/408815847.db2.gz UBKPZZJWSCVISW-UHFFFAOYSA-N 1 2 315.421 1.274 20 30 DDEDLO N#Cc1cc(NCC2([NH+]3CCOCC3)CC2)ccc1[N+](=O)[O-] ZINC000277401704 408934729 /nfs/dbraw/zinc/93/47/29/408934729.db2.gz JWEMTKSTPMVFDH-UHFFFAOYSA-N 1 2 302.334 1.743 20 30 DDEDLO N#Cc1ccnc(N2CCN(c3nc[nH+]c(N)c3Cl)CC2)c1 ZINC000277452327 408943684 /nfs/dbraw/zinc/94/36/84/408943684.db2.gz NLRZREMJFJSRBN-UHFFFAOYSA-N 1 2 315.768 1.305 20 30 DDEDLO N#Cc1ccnc(N2CCN(c3[nH+]cnc(N)c3Cl)CC2)c1 ZINC000277452327 408943688 /nfs/dbraw/zinc/94/36/88/408943688.db2.gz NLRZREMJFJSRBN-UHFFFAOYSA-N 1 2 315.768 1.305 20 30 DDEDLO CC#CCC[NH+]1CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000292976695 409047699 /nfs/dbraw/zinc/04/76/99/409047699.db2.gz AZDVRLHRSPJNCL-UHFFFAOYSA-N 1 2 301.346 1.766 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccc(F)cc3)n2C)CC1 ZINC000282490495 409055835 /nfs/dbraw/zinc/05/58/35/409055835.db2.gz NYGLRIMHSZJYND-UHFFFAOYSA-N 1 2 313.380 1.300 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](C)[C@H](Cc1ccccc1)C(=O)OCC ZINC000293176077 409063313 /nfs/dbraw/zinc/06/33/13/409063313.db2.gz SHFSXKUNUCAZPB-MRXNPFEDSA-N 1 2 316.401 1.232 20 30 DDEDLO C#CCNC(=O)CC[N@H+](C)[C@H](Cc1ccccc1)C(=O)OCC ZINC000293176077 409063316 /nfs/dbraw/zinc/06/33/16/409063316.db2.gz SHFSXKUNUCAZPB-MRXNPFEDSA-N 1 2 316.401 1.232 20 30 DDEDLO Cc1ccn2cc(CNS(=O)(=O)N(C)[C@@H](C)CC#N)[nH+]c2c1 ZINC000282903436 409070423 /nfs/dbraw/zinc/07/04/23/409070423.db2.gz FQDLLBLKACTYFF-LBPRGKRZSA-N 1 2 321.406 1.211 20 30 DDEDLO N#Cc1sc(N2CCC[C@H]2C[NH+]2CCOCC2)nc1Cl ZINC000278713972 409086562 /nfs/dbraw/zinc/08/65/62/409086562.db2.gz OGAHNARRFLSJRW-JTQLQIEISA-N 1 2 312.826 1.969 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)c1ccc([N+](=O)[O-])cc1C#N ZINC000278939009 409116872 /nfs/dbraw/zinc/11/68/72/409116872.db2.gz WANDVMPTJCYWOO-LBPRGKRZSA-N 1 2 304.350 1.623 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCn2c(C3CCCC3)nnc2[C@H]1C ZINC000293606294 409146417 /nfs/dbraw/zinc/14/64/17/409146417.db2.gz PHKAUBRNXJGYEN-CYBMUJFWSA-N 1 2 315.421 1.452 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCn2c(C3CCCC3)nnc2[C@H]1C ZINC000293606294 409146420 /nfs/dbraw/zinc/14/64/20/409146420.db2.gz PHKAUBRNXJGYEN-CYBMUJFWSA-N 1 2 315.421 1.452 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCc1ccc(N(CC)CC)[nH+]c1 ZINC000283943894 409244716 /nfs/dbraw/zinc/24/47/16/409244716.db2.gz FZSHDUIXAWEIIJ-UHFFFAOYSA-N 1 2 318.421 1.579 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)N1CCc2ccccc21 ZINC000283943891 409245016 /nfs/dbraw/zinc/24/50/16/409245016.db2.gz FNIVTCDDQFPDQP-RISCZKNCSA-N 1 2 303.362 1.432 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cncc(C(F)(F)F)c2)CC1 ZINC000289829563 409288321 /nfs/dbraw/zinc/28/83/21/409288321.db2.gz CSYGMUPOFAHIDE-UHFFFAOYSA-N 1 2 311.307 1.882 20 30 DDEDLO C=CCOc1ccc(CC(=O)N[C@@H](C)C[NH+]2CCOCC2)cc1 ZINC000294626334 409295435 /nfs/dbraw/zinc/29/54/35/409295435.db2.gz WTZWGADLZKEGTI-HNNXBMFYSA-N 1 2 318.417 1.631 20 30 DDEDLO NC(=[NH+]OCc1ccc(Cl)cc1-n1cncn1)[C@@H]1CCCO1 ZINC000284421102 409341891 /nfs/dbraw/zinc/34/18/91/409341891.db2.gz OFJPVTLQSGIWET-ZDUSSCGKSA-N 1 2 321.768 1.889 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)c1cc(-c2cccc(C#N)c2)no1 ZINC000345131091 409639525 /nfs/dbraw/zinc/63/95/25/409639525.db2.gz ATPZZJDIOYRYLN-UHFFFAOYSA-N 1 2 307.313 1.877 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000354430203 409848068 /nfs/dbraw/zinc/84/80/68/409848068.db2.gz WTGUZXFUHJFENA-ZDUSSCGKSA-N 1 2 308.344 1.800 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000354430203 409848072 /nfs/dbraw/zinc/84/80/72/409848072.db2.gz WTGUZXFUHJFENA-ZDUSSCGKSA-N 1 2 308.344 1.800 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](CCC(F)(F)F)CC2)c1C#N ZINC000349875396 409911045 /nfs/dbraw/zinc/91/10/45/409911045.db2.gz GGXWMIPMMFOMPD-UHFFFAOYSA-N 1 2 301.316 1.675 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@H+](C)Cc1cnc2ccc(C#N)cn12 ZINC000342777855 409897688 /nfs/dbraw/zinc/89/76/88/409897688.db2.gz MTKIQTOIRIKNCF-LBPRGKRZSA-N 1 2 313.405 1.941 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@@H+](C)Cc1cnc2ccc(C#N)cn12 ZINC000342777855 409897694 /nfs/dbraw/zinc/89/76/94/409897694.db2.gz MTKIQTOIRIKNCF-LBPRGKRZSA-N 1 2 313.405 1.941 20 30 DDEDLO COc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)ccn1 ZINC000342858569 409952679 /nfs/dbraw/zinc/95/26/79/409952679.db2.gz AWBSLZWPDRICIH-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO COc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)ccn1 ZINC000342858569 409952682 /nfs/dbraw/zinc/95/26/82/409952682.db2.gz AWBSLZWPDRICIH-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO CCN1CC[NH+]([C@@H](C)CNc2ccc(C#N)c([N+](=O)[O-])c2)CC1 ZINC000357299959 409963577 /nfs/dbraw/zinc/96/35/77/409963577.db2.gz KEUVDYLKCSJFKP-ZDUSSCGKSA-N 1 2 317.393 1.904 20 30 DDEDLO O=C(C[NH+]1CCN(c2cccnn2)CC1)NC1CCCCC1 ZINC000328737046 409966221 /nfs/dbraw/zinc/96/62/21/409966221.db2.gz ZWEXDCXWCFHCFW-UHFFFAOYSA-N 1 2 303.410 1.888 20 30 DDEDLO Cc1nc(C)c(CCC(=O)NC[C@H]2C[N@H+](C)CCO2)c(=O)[nH]1 ZINC000328759335 409971232 /nfs/dbraw/zinc/97/12/32/409971232.db2.gz WIVAZVHIDYVJJE-LBPRGKRZSA-N 1 2 308.382 1.019 20 30 DDEDLO Cc1nc(C)c(CCC(=O)NC[C@H]2C[N@@H+](C)CCO2)c(=O)[nH]1 ZINC000328759335 409971240 /nfs/dbraw/zinc/97/12/40/409971240.db2.gz WIVAZVHIDYVJJE-LBPRGKRZSA-N 1 2 308.382 1.019 20 30 DDEDLO C#Cc1ccc(CNC(=O)N(C)[C@H](C)C[NH+]2CCOCC2)cc1 ZINC000297720978 409971816 /nfs/dbraw/zinc/97/18/16/409971816.db2.gz SLSRVMTVRAURCC-OAHLLOKOSA-N 1 2 315.417 1.530 20 30 DDEDLO CC(C)[C@H](NC(=O)CCc1c[nH+]cn1C)C(=O)N1CCOCC1 ZINC000328782948 409977928 /nfs/dbraw/zinc/97/79/28/409977928.db2.gz NHIROUZTTHYUHD-HNNXBMFYSA-N 1 2 322.409 1.193 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)N[C@@H]1CCCCNC1=O ZINC000328832334 409989381 /nfs/dbraw/zinc/98/93/81/409989381.db2.gz ROCKVOITLCZEAX-CYBMUJFWSA-N 1 2 319.409 1.775 20 30 DDEDLO COC[C@@H]1CN(C(=O)NC[C@H]2CCCn3cc(C)[nH+]c32)CCO1 ZINC000328646272 409946932 /nfs/dbraw/zinc/94/69/32/409946932.db2.gz GTBKTYHDPXAFMS-KGLIPLIRSA-N 1 2 322.409 1.330 20 30 DDEDLO Cn1cnnc1-c1cncc(NC(=O)[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000328667762 409948828 /nfs/dbraw/zinc/94/88/28/409948828.db2.gz DSUQTHLMDOMUTG-LLVKDONJSA-N 1 2 323.360 1.924 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cccc(O)c2Cl)CC1 ZINC000350438790 409948869 /nfs/dbraw/zinc/94/88/69/409948869.db2.gz LBGIDHMUZJHTLJ-UHFFFAOYSA-N 1 2 323.824 1.465 20 30 DDEDLO CN1CCOC[C@@H]1C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000328954879 410020394 /nfs/dbraw/zinc/02/03/94/410020394.db2.gz OOOKWZYQZDWAGC-CQSZACIVSA-N 1 2 304.394 1.338 20 30 DDEDLO Cc1nnc(CN2CC[NH+]([C@H](C)C(=O)NC3CCCC3)CC2)o1 ZINC000328974627 410028936 /nfs/dbraw/zinc/02/89/36/410028936.db2.gz AZZRRHNBDBAONM-GFCCVEGCSA-N 1 2 321.425 1.783 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000297793664 410001427 /nfs/dbraw/zinc/00/14/27/410001427.db2.gz LSEPYIIAVPSQBJ-GJZGRUSLSA-N 1 2 318.421 1.717 20 30 DDEDLO C=CCNC(=O)NC(=O)CN1CCCC[C@H]1c1[nH+]ccn1CC ZINC000339154300 410065788 /nfs/dbraw/zinc/06/57/88/410065788.db2.gz ZWQHBBGPZUTVDL-ZDUSSCGKSA-N 1 2 319.409 1.442 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CCC[C@](C)(O)C1)CCC2 ZINC000329125060 410116184 /nfs/dbraw/zinc/11/61/84/410116184.db2.gz MDLSGRZFMPVBGY-BBRMVZONSA-N 1 2 306.410 1.830 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CCC[C@@](C)(O)C1)CCC2 ZINC000329125062 410116552 /nfs/dbraw/zinc/11/65/52/410116552.db2.gz MDLSGRZFMPVBGY-XJKSGUPXSA-N 1 2 306.410 1.830 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1C[C@@H](NC(=O)c2cc(C#N)ccn2)CCO1 ZINC000332391242 410122801 /nfs/dbraw/zinc/12/28/01/410122801.db2.gz CYCLWDXVEJTCQE-SWLSCSKDSA-N 1 2 311.345 1.337 20 30 DDEDLO COc1cc(CNc2[nH+]cnc(-n3cccn3)c2N)ccc1C#N ZINC000357616998 410140798 /nfs/dbraw/zinc/14/07/98/410140798.db2.gz KWUSBEBCOPXOIT-UHFFFAOYSA-N 1 2 321.344 1.737 20 30 DDEDLO O=C(NCc1cn2ccccc2[nH+]1)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000329225334 410174943 /nfs/dbraw/zinc/17/49/43/410174943.db2.gz GEWVHSXYHQLROX-CHWSQXEVSA-N 1 2 313.361 1.799 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1)c1nncn1C ZINC000329231703 410179273 /nfs/dbraw/zinc/17/92/73/410179273.db2.gz FJCHEZDWTNDPQH-AAEUAGOBSA-N 1 2 307.398 1.476 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1)c1nncn1C ZINC000329231703 410179280 /nfs/dbraw/zinc/17/92/80/410179280.db2.gz FJCHEZDWTNDPQH-AAEUAGOBSA-N 1 2 307.398 1.476 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@H+](C)CC[C@H]2C)cc1C#N ZINC000354951814 410199547 /nfs/dbraw/zinc/19/95/47/410199547.db2.gz NZEZXAXFLYJZBR-SNVBAGLBSA-N 1 2 320.418 1.547 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@@H+](C)CC[C@H]2C)cc1C#N ZINC000354951814 410199551 /nfs/dbraw/zinc/19/95/51/410199551.db2.gz NZEZXAXFLYJZBR-SNVBAGLBSA-N 1 2 320.418 1.547 20 30 DDEDLO CC[C@H]1CO[C@@H](C)C[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000298479400 410250978 /nfs/dbraw/zinc/25/09/78/410250978.db2.gz TWHVYQAUVUOEGC-BBRMVZONSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H]1CO[C@@H](C)C[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000298479400 410250982 /nfs/dbraw/zinc/25/09/82/410250982.db2.gz TWHVYQAUVUOEGC-BBRMVZONSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)[C@H]1CCCOC1 ZINC000329316375 410230104 /nfs/dbraw/zinc/23/01/04/410230104.db2.gz CYWPPNSSZFTSDJ-KBPBESRZSA-N 1 2 313.442 1.416 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)[C@H]1CCCOC1 ZINC000329316375 410230107 /nfs/dbraw/zinc/23/01/07/410230107.db2.gz CYWPPNSSZFTSDJ-KBPBESRZSA-N 1 2 313.442 1.416 20 30 DDEDLO C=C(C)CC[NH+]1CCN(S(=O)(=O)c2ccccc2C#N)CC1 ZINC000351859897 410298299 /nfs/dbraw/zinc/29/82/99/410298299.db2.gz PIDTWHDKPPHDSY-UHFFFAOYSA-N 1 2 319.430 1.831 20 30 DDEDLO Cc1nc(N2CCN(C(=O)NCC[C@@H]3CCOC3)CC2)cc[nH+]1 ZINC000329569651 410362192 /nfs/dbraw/zinc/36/21/92/410362192.db2.gz LZDPFEWXIHMXEC-CQSZACIVSA-N 1 2 319.409 1.248 20 30 DDEDLO Cn1ncc(C(=O)NCc2cn3cc(Cl)ccc3[nH+]2)c1C#N ZINC000347187519 410378426 /nfs/dbraw/zinc/37/84/26/410378426.db2.gz CDFJZNUDIMRDKE-UHFFFAOYSA-N 1 2 314.736 1.523 20 30 DDEDLO CC(C)(C#N)CNC(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000358624423 410499807 /nfs/dbraw/zinc/49/98/07/410499807.db2.gz FLMDUIPSDTUUIT-UHFFFAOYSA-N 1 2 321.450 1.910 20 30 DDEDLO CC(C)(C#N)CNC(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000358624423 410499811 /nfs/dbraw/zinc/49/98/11/410499811.db2.gz FLMDUIPSDTUUIT-UHFFFAOYSA-N 1 2 321.450 1.910 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC(=O)NC2CC2)C[C@]2(CCCOC2)O1 ZINC000330097285 410543144 /nfs/dbraw/zinc/54/31/44/410543144.db2.gz APQTXLALRFCINI-ABAIWWIYSA-N 1 2 311.382 1.289 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC(=O)NC2CC2)C[C@]2(CCCOC2)O1 ZINC000330097285 410543148 /nfs/dbraw/zinc/54/31/48/410543148.db2.gz APQTXLALRFCINI-ABAIWWIYSA-N 1 2 311.382 1.289 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)C[C@@H]1NC(=O)[C@@H]1CCCCS1(=O)=O ZINC000330095364 410543548 /nfs/dbraw/zinc/54/35/48/410543548.db2.gz VIOSSWDPQNFMFM-WXHSDQCUSA-N 1 2 300.424 1.393 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)[C@@H]1CCCCS1(=O)=O ZINC000330095364 410543553 /nfs/dbraw/zinc/54/35/53/410543553.db2.gz VIOSSWDPQNFMFM-WXHSDQCUSA-N 1 2 300.424 1.393 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[N@@H+]3CCCC[C@H]3C2)s1 ZINC000300708621 410646099 /nfs/dbraw/zinc/64/60/99/410646099.db2.gz ZXBZQOKHLQZGMV-NSHDSACASA-N 1 2 311.432 1.479 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[N@H+]3CCCC[C@H]3C2)s1 ZINC000300708621 410646102 /nfs/dbraw/zinc/64/61/02/410646102.db2.gz ZXBZQOKHLQZGMV-NSHDSACASA-N 1 2 311.432 1.479 20 30 DDEDLO Cc1cc(N2CC[C@H]([NH+]3CCC(F)(C#N)CC3)C2=O)n(C)n1 ZINC000352484086 410658320 /nfs/dbraw/zinc/65/83/20/410658320.db2.gz LFNAJLYSDYMESN-LBPRGKRZSA-N 1 2 305.357 1.162 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000330464098 410712117 /nfs/dbraw/zinc/71/21/17/410712117.db2.gz UOXVFPYHUGLCFG-BLLLJJGKSA-N 1 2 314.389 1.486 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000330464098 410712124 /nfs/dbraw/zinc/71/21/24/410712124.db2.gz UOXVFPYHUGLCFG-BLLLJJGKSA-N 1 2 314.389 1.486 20 30 DDEDLO N#Cc1csc(C[NH2+]Cc2cccnc2N2CCOCC2)n1 ZINC000352833191 410679449 /nfs/dbraw/zinc/67/94/49/410679449.db2.gz GXQFALDTTOWIDG-UHFFFAOYSA-N 1 2 315.402 1.536 20 30 DDEDLO N#Cc1csc(C[N@H+](CCO)CCOCC(F)(F)F)n1 ZINC000356235763 410913070 /nfs/dbraw/zinc/91/30/70/410913070.db2.gz XBTZBFRKVMKVAC-UHFFFAOYSA-N 1 2 309.313 1.388 20 30 DDEDLO N#Cc1csc(C[N@@H+](CCO)CCOCC(F)(F)F)n1 ZINC000356235763 410913075 /nfs/dbraw/zinc/91/30/75/410913075.db2.gz XBTZBFRKVMKVAC-UHFFFAOYSA-N 1 2 309.313 1.388 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(c2nccc(C#N)c2[N+](=O)[O-])CC1 ZINC000359930933 410956059 /nfs/dbraw/zinc/95/60/59/410956059.db2.gz GPXBYXTYUCWTFV-UHFFFAOYSA-N 1 2 324.344 1.892 20 30 DDEDLO C[C@@H]1C[N@@H+](C[C@H](O)COc2ccc(C#N)cc2)C[C@H](C)[S@@]1=O ZINC000331184246 411003927 /nfs/dbraw/zinc/00/39/27/411003927.db2.gz SZIIPHBANHAMJV-VQOAGZRVSA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@@H]1C[N@H+](C[C@H](O)COc2ccc(C#N)cc2)C[C@H](C)[S@@]1=O ZINC000331184246 411003932 /nfs/dbraw/zinc/00/39/32/411003932.db2.gz SZIIPHBANHAMJV-VQOAGZRVSA-N 1 2 322.430 1.139 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[NH+]1CCC(F)(C#N)CC1 ZINC000348706278 411062254 /nfs/dbraw/zinc/06/22/54/411062254.db2.gz HDZFUJLJQIFUDV-UHFFFAOYSA-N 1 2 321.400 1.393 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(C#N)c(F)c1 ZINC000353562935 411064194 /nfs/dbraw/zinc/06/41/94/411064194.db2.gz SXFSJFUHEZAKGM-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(C#N)c(F)c1 ZINC000353562935 411064196 /nfs/dbraw/zinc/06/41/96/411064196.db2.gz SXFSJFUHEZAKGM-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1cnccc1C#N)[NH+]1CCOCC1 ZINC000341447375 411042448 /nfs/dbraw/zinc/04/24/48/411042448.db2.gz GCPNWVZOMACGQA-OAHLLOKOSA-N 1 2 302.378 1.040 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CC[NH2+][C@H](c3cccnc3)C2)cc1 ZINC000374373772 418524737 /nfs/dbraw/zinc/52/47/37/418524737.db2.gz JKXMBDJOUOQZDN-SFHVURJKSA-N 1 2 321.380 1.880 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2cc(C3CC3)[nH]n2)CC1 ZINC000189671212 222052545 /nfs/dbraw/zinc/05/25/45/222052545.db2.gz TVDFEBOKZDIZHW-LBPRGKRZSA-N 1 2 303.410 1.100 20 30 DDEDLO CC#CCNC(=O)C(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000190520754 222081169 /nfs/dbraw/zinc/08/11/69/222081169.db2.gz LOEYKYSQROMKNK-UHFFFAOYSA-N 1 2 309.325 1.952 20 30 DDEDLO Cc1c([C@H]2CCC[N@H+]2CC(=O)N[C@](C)(C#N)C(C)C)cnn1C ZINC000367263914 418578058 /nfs/dbraw/zinc/57/80/58/418578058.db2.gz WXCQTUKLKRBYKD-NVXWUHKLSA-N 1 2 317.437 1.920 20 30 DDEDLO Cc1c([C@H]2CCC[N@@H+]2CC(=O)N[C@](C)(C#N)C(C)C)cnn1C ZINC000367263914 418578061 /nfs/dbraw/zinc/57/80/61/418578061.db2.gz WXCQTUKLKRBYKD-NVXWUHKLSA-N 1 2 317.437 1.920 20 30 DDEDLO CC[N@H+]1CCC[C@@H]1CNC(=[NH2+])NCCCCNc1cccc[nH+]1 ZINC000264234419 222328482 /nfs/dbraw/zinc/32/84/82/222328482.db2.gz CVAKIYOFVOMYSP-OAHLLOKOSA-N 1 2 318.469 1.662 20 30 DDEDLO CC(C)CO[C@@H](C)C(N)=[NH+]OCC(=O)N(C)c1ccccc1 ZINC000266249301 222361473 /nfs/dbraw/zinc/36/14/73/222361473.db2.gz ZJLPMPIVOKMNIH-ZDUSSCGKSA-N 1 2 307.394 1.999 20 30 DDEDLO N#CCN1CCC[C@@H](CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000267100648 222371816 /nfs/dbraw/zinc/37/18/16/222371816.db2.gz OJULLUZGWBYBCM-HNNXBMFYSA-N 1 2 323.400 1.838 20 30 DDEDLO Cn1ccnc1CN1CC[NH+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000361829299 418715487 /nfs/dbraw/zinc/71/54/87/418715487.db2.gz HIIIZTVTUWRUCX-UHFFFAOYSA-N 1 2 313.380 1.749 20 30 DDEDLO CC(C)CO[C@@H](C)C(N)=[NH+]OCc1cc(-c2cnn(C)c2)no1 ZINC000274466457 222480129 /nfs/dbraw/zinc/48/01/29/222480129.db2.gz YNNZSDBAJXMPKA-NSHDSACASA-N 1 2 321.381 1.925 20 30 DDEDLO COC(=O)C(C)(C)N1CC[NH+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000361750603 418704739 /nfs/dbraw/zinc/70/47/39/418704739.db2.gz ODGZGUJMTAJUNI-UHFFFAOYSA-N 1 2 319.380 1.767 20 30 DDEDLO N#Cc1cc(CN2CCC[C@H]([NH+]3CCOCC3)C2)ccc1F ZINC000382591748 418731237 /nfs/dbraw/zinc/73/12/37/418731237.db2.gz QMQRGIZTXZDENW-INIZCTEOSA-N 1 2 303.381 1.994 20 30 DDEDLO CC1(C)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1O ZINC000388163954 418745318 /nfs/dbraw/zinc/74/53/18/418745318.db2.gz JGYVARIVOQIZBG-AWEZNQCLSA-N 1 2 308.403 1.035 20 30 DDEDLO CC1(C)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1O ZINC000388163954 418745319 /nfs/dbraw/zinc/74/53/19/418745319.db2.gz JGYVARIVOQIZBG-AWEZNQCLSA-N 1 2 308.403 1.035 20 30 DDEDLO Cc1nc(F)ccc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000279617641 222521562 /nfs/dbraw/zinc/52/15/62/222521562.db2.gz XZTDCUFQIIBUPA-UHFFFAOYSA-N 1 2 306.385 1.577 20 30 DDEDLO N#CCC1(CNc2cc(N3CCCC[C@H]3CO)nc[nH+]2)CC1 ZINC000362366210 418753099 /nfs/dbraw/zinc/75/30/99/418753099.db2.gz SZIHMSPHAIHRDX-ZDUSSCGKSA-N 1 2 301.394 1.934 20 30 DDEDLO N#CCC1(CNc2cc(N3CCCC[C@H]3CO)[nH+]cn2)CC1 ZINC000362366210 418753101 /nfs/dbraw/zinc/75/31/01/418753101.db2.gz SZIHMSPHAIHRDX-ZDUSSCGKSA-N 1 2 301.394 1.934 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)CCN2C[C@H]3CC[C@@H](C2)O3)n1 ZINC000364838596 418813626 /nfs/dbraw/zinc/81/36/26/418813626.db2.gz GCONKAAUEYCKOU-CALCHBBNSA-N 1 2 302.422 1.201 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)CCN2C[C@H]3CC[C@@H](C2)O3)n1 ZINC000364838596 418813628 /nfs/dbraw/zinc/81/36/28/418813628.db2.gz GCONKAAUEYCKOU-CALCHBBNSA-N 1 2 302.422 1.201 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000420990827 419427481 /nfs/dbraw/zinc/42/74/81/419427481.db2.gz VDCFHDYWTVTBTR-CYBMUJFWSA-N 1 2 320.780 1.361 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000420990827 419427490 /nfs/dbraw/zinc/42/74/90/419427490.db2.gz VDCFHDYWTVTBTR-CYBMUJFWSA-N 1 2 320.780 1.361 20 30 DDEDLO COC(=O)[C@]1(C)CCC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000412060098 419603865 /nfs/dbraw/zinc/60/38/65/419603865.db2.gz DWGLPPVKKPXGQJ-OAHLLOKOSA-N 1 2 321.402 1.833 20 30 DDEDLO COC(=O)[C@]1(C)CCC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000412060098 419603869 /nfs/dbraw/zinc/60/38/69/419603869.db2.gz DWGLPPVKKPXGQJ-OAHLLOKOSA-N 1 2 321.402 1.833 20 30 DDEDLO N#Cc1c(F)cccc1Cn1c2ccccc2[nH+]c1NCCO ZINC000427562743 419684091 /nfs/dbraw/zinc/68/40/91/419684091.db2.gz JEVROBMEKWBFOK-UHFFFAOYSA-N 1 2 310.332 2.500 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)c1ccc(C#N)c(C)c1 ZINC000431581761 229043103 /nfs/dbraw/zinc/04/31/03/229043103.db2.gz DGDZUSJIMQOXTJ-UHFFFAOYSA-N 1 2 317.370 1.749 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000431594730 229044874 /nfs/dbraw/zinc/04/48/74/229044874.db2.gz GPUVTOQEXQIIKX-UHFFFAOYSA-N 1 2 321.333 1.579 20 30 DDEDLO COCc1cccc(CNC(=O)C[N@H+](C)CC(C)(C)C#N)c1 ZINC000433318033 229185234 /nfs/dbraw/zinc/18/52/34/229185234.db2.gz MTIGWZXHCFBNJS-UHFFFAOYSA-N 1 2 303.406 1.931 20 30 DDEDLO COCc1cccc(CNC(=O)C[N@@H+](C)CC(C)(C)C#N)c1 ZINC000433318033 229185238 /nfs/dbraw/zinc/18/52/38/229185238.db2.gz MTIGWZXHCFBNJS-UHFFFAOYSA-N 1 2 303.406 1.931 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1cccc(SCC#N)c1 ZINC000430698486 420180483 /nfs/dbraw/zinc/18/04/83/420180483.db2.gz ZMTAHUSKYUVQDK-CYBMUJFWSA-N 1 2 319.430 1.753 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1cccc(SCC#N)c1 ZINC000430698486 420180486 /nfs/dbraw/zinc/18/04/86/420180486.db2.gz ZMTAHUSKYUVQDK-CYBMUJFWSA-N 1 2 319.430 1.753 20 30 DDEDLO N#Cc1cncc(N2C[C@@H]3[C@H](C2)OCC[N@@H+]3Cc2ccccc2)n1 ZINC000420630330 420334755 /nfs/dbraw/zinc/33/47/55/420334755.db2.gz ROBVPKNFGLJZRQ-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO N#Cc1cncc(N2C[C@@H]3[C@H](C2)OCC[N@H+]3Cc2ccccc2)n1 ZINC000420630330 420334757 /nfs/dbraw/zinc/33/47/57/420334757.db2.gz ROBVPKNFGLJZRQ-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+]1Cc1ccc(C#N)cc1OC(F)F ZINC000437124564 420396650 /nfs/dbraw/zinc/39/66/50/420396650.db2.gz CTISEZQXUBMFLX-GFCCVEGCSA-N 1 2 309.316 1.870 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+]1Cc1ccc(C#N)cc1OC(F)F ZINC000437124564 420396655 /nfs/dbraw/zinc/39/66/55/420396655.db2.gz CTISEZQXUBMFLX-GFCCVEGCSA-N 1 2 309.316 1.870 20 30 DDEDLO CNC(=O)Cc1noc(C[N@H+](C)[C@H](C)c2cccc(C#N)c2)n1 ZINC000425537185 420411891 /nfs/dbraw/zinc/41/18/91/420411891.db2.gz PVSGWGFASHTTCL-LLVKDONJSA-N 1 2 313.361 1.423 20 30 DDEDLO CNC(=O)Cc1noc(C[N@@H+](C)[C@H](C)c2cccc(C#N)c2)n1 ZINC000425537185 420411896 /nfs/dbraw/zinc/41/18/96/420411896.db2.gz PVSGWGFASHTTCL-LLVKDONJSA-N 1 2 313.361 1.423 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)NCc2ccc(C#N)cc2)c(C)[nH+]1 ZINC000447142862 230337226 /nfs/dbraw/zinc/33/72/26/230337226.db2.gz SQEHLSOJFHNJTH-UHFFFAOYSA-N 1 2 308.341 1.825 20 30 DDEDLO CC#CCCNC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000440716608 420600670 /nfs/dbraw/zinc/60/06/70/420600670.db2.gz PXZOCBFCKMMOSZ-UHFFFAOYSA-N 1 2 324.384 1.919 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)/C=C\c1ccc(-n2cc[nH+]c2)cc1 ZINC000492598183 420602808 /nfs/dbraw/zinc/60/28/08/420602808.db2.gz UYHLCSAHUOMIOJ-CGQZWFTASA-N 1 2 309.369 1.776 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCC[C@@H](C2N=NC(=O)O2)C1 ZINC000450901812 420554505 /nfs/dbraw/zinc/55/45/05/420554505.db2.gz IHWQLOPZCLOUTO-QWHCGFSZSA-N 1 2 320.393 1.544 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCC[C@@H](C2N=NC(=O)O2)C1 ZINC000450901812 420554508 /nfs/dbraw/zinc/55/45/08/420554508.db2.gz IHWQLOPZCLOUTO-QWHCGFSZSA-N 1 2 320.393 1.544 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1cnc(C(C)C)nc1 ZINC000440459502 420578223 /nfs/dbraw/zinc/57/82/23/420578223.db2.gz QZYDMCZTIJFFLT-GFCCVEGCSA-N 1 2 319.409 1.432 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1cnc(C(C)C)nc1 ZINC000440459502 420578226 /nfs/dbraw/zinc/57/82/26/420578226.db2.gz QZYDMCZTIJFFLT-GFCCVEGCSA-N 1 2 319.409 1.432 20 30 DDEDLO C=C(C)CCNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000452716962 420649709 /nfs/dbraw/zinc/64/97/09/420649709.db2.gz JXJCEOSMFWHIGI-AWEZNQCLSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)CCNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000452716962 420649710 /nfs/dbraw/zinc/64/97/10/420649710.db2.gz JXJCEOSMFWHIGI-AWEZNQCLSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)CCNC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000454236575 420822040 /nfs/dbraw/zinc/82/20/40/420822040.db2.gz VGBUSYVSCNGKNZ-UHFFFAOYSA-N 1 2 304.394 1.684 20 30 DDEDLO C[C@H](CNC(=O)NCc1ccc(C#N)c(F)c1)[NH+]1CCOCC1 ZINC000454250576 420824502 /nfs/dbraw/zinc/82/45/02/420824502.db2.gz RSVWHYXNHGLGAX-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO N#Cc1cccnc1-n1cc(NC(=O)C=Cc2c[nH]c[nH+]2)cn1 ZINC000493633305 420903072 /nfs/dbraw/zinc/90/30/72/420903072.db2.gz QJLMDHNBDDNEHW-ONEGZZNKSA-N 1 2 305.301 1.514 20 30 DDEDLO CC(C)C[C@@H]1C[C@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000448837286 420903591 /nfs/dbraw/zinc/90/35/91/420903591.db2.gz SJASCTBUBXVXSL-HZPDHXFCSA-N 1 2 307.438 1.743 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000459858435 420893260 /nfs/dbraw/zinc/89/32/60/420893260.db2.gz FIPDEXZZUVBIAR-UHFFFAOYSA-N 1 2 321.446 1.427 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CC[NH+]([C@@H](C)C(=O)NC2CC2)CC1 ZINC000455359305 421003237 /nfs/dbraw/zinc/00/32/37/421003237.db2.gz AORXRPGBXRTXML-ZDUSSCGKSA-N 1 2 322.453 1.193 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NCC[N@H+]2CCOC[C@H]2C)c1 ZINC000456014232 421088622 /nfs/dbraw/zinc/08/86/22/421088622.db2.gz XGNLFMJAKRTFHG-CYBMUJFWSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NCC[N@@H+]2CCOC[C@H]2C)c1 ZINC000456014232 421088625 /nfs/dbraw/zinc/08/86/25/421088625.db2.gz XGNLFMJAKRTFHG-CYBMUJFWSA-N 1 2 302.378 1.709 20 30 DDEDLO C[N@@H+]1CCN(C(=O)OC(C)(C)C)C[C@@H]1CNC(=O)C#CC1CC1 ZINC000495934185 421098278 /nfs/dbraw/zinc/09/82/78/421098278.db2.gz ZEQDGLBEEQRTHT-AWEZNQCLSA-N 1 2 321.421 1.067 20 30 DDEDLO C[N@H+]1CCN(C(=O)OC(C)(C)C)C[C@@H]1CNC(=O)C#CC1CC1 ZINC000495934185 421098282 /nfs/dbraw/zinc/09/82/82/421098282.db2.gz ZEQDGLBEEQRTHT-AWEZNQCLSA-N 1 2 321.421 1.067 20 30 DDEDLO C=CCOCC[N@@H+]1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000495795402 421065886 /nfs/dbraw/zinc/06/58/86/421065886.db2.gz YIOCITRXKLSDNB-UHFFFAOYSA-N 1 2 312.410 1.511 20 30 DDEDLO C=CCOCC[N@H+]1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000495795402 421065890 /nfs/dbraw/zinc/06/58/90/421065890.db2.gz YIOCITRXKLSDNB-UHFFFAOYSA-N 1 2 312.410 1.511 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)[C@H](C)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000450563434 421210302 /nfs/dbraw/zinc/21/03/02/421210302.db2.gz UGMZNXLSOLUULW-OIISXLGYSA-N 1 2 323.458 1.826 20 30 DDEDLO C=CC[N@H+](C[C@H](O)[C@H](C)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000450563434 421210304 /nfs/dbraw/zinc/21/03/04/421210304.db2.gz UGMZNXLSOLUULW-OIISXLGYSA-N 1 2 323.458 1.826 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)N[C@H]1CCCc2ccccc21 ZINC000491264314 421195623 /nfs/dbraw/zinc/19/56/23/421195623.db2.gz FTTPFYZUIGJYHZ-WMZOPIPTSA-N 1 2 312.413 1.904 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)N[C@H]1CCCc2ccccc21 ZINC000491264314 421195625 /nfs/dbraw/zinc/19/56/25/421195625.db2.gz FTTPFYZUIGJYHZ-WMZOPIPTSA-N 1 2 312.413 1.904 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@@H]2C[C@H](C)n3cc[nH+]c32)s1 ZINC000560777361 421293892 /nfs/dbraw/zinc/29/38/92/421293892.db2.gz ZNVWUVMYZCPALJ-VHSXEESVSA-N 1 2 303.391 2.373 20 30 DDEDLO CC1(C)C[S@](=O)CC[N@@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000528463793 421492201 /nfs/dbraw/zinc/49/22/01/421492201.db2.gz AYFOZRVUYRYKQA-JLCFBVMHSA-N 1 2 322.430 1.141 20 30 DDEDLO CC1(C)C[S@](=O)CC[N@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000528463793 421492204 /nfs/dbraw/zinc/49/22/04/421492204.db2.gz AYFOZRVUYRYKQA-JLCFBVMHSA-N 1 2 322.430 1.141 20 30 DDEDLO CC(C)(C(=O)N1CCN(c2ccc(C#N)cn2)CC1)c1c[nH+]c[nH]1 ZINC000553237415 421608705 /nfs/dbraw/zinc/60/87/05/421608705.db2.gz AREKDYVQSYDYCP-UHFFFAOYSA-N 1 2 324.388 1.303 20 30 DDEDLO CC1(C#N)CN(CC(=O)N2CC[NH+](Cc3ccsc3)CC2)C1 ZINC000567483087 421612445 /nfs/dbraw/zinc/61/24/45/421612445.db2.gz JYEBSKMESRYMQN-UHFFFAOYSA-N 1 2 318.446 1.238 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[NH2+]Cc1nc(C)no1 ZINC000567725991 421615141 /nfs/dbraw/zinc/61/51/41/421615141.db2.gz TUPZLSGQFLYAAX-AWEZNQCLSA-N 1 2 303.362 1.636 20 30 DDEDLO CN(C)C[C@@](C)(C#N)NC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000567995624 421616196 /nfs/dbraw/zinc/61/61/96/421616196.db2.gz QJDOOKXKWJCTCI-QGZVFWFLSA-N 1 2 311.389 1.505 20 30 DDEDLO CC(C)[NH+]1CCN(S(=O)(=O)Cc2ccc(CC#N)cc2)CC1 ZINC000569839974 421627381 /nfs/dbraw/zinc/62/73/81/421627381.db2.gz OYMPUZQVYURPKU-UHFFFAOYSA-N 1 2 321.446 1.608 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000517755064 421627990 /nfs/dbraw/zinc/62/79/90/421627990.db2.gz MGLTXIWOAWGZDC-JTQLQIEISA-N 1 2 324.331 1.301 20 30 DDEDLO CN(C)c1cccc(CCC(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000519739684 421722166 /nfs/dbraw/zinc/72/21/66/421722166.db2.gz SKDKUXYEDLUONW-QGZVFWFLSA-N 1 2 302.422 1.645 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)N[C@H](Cc1ccccc1)C(C)=O ZINC000519832627 421733365 /nfs/dbraw/zinc/73/33/65/421733365.db2.gz KDVFGGBBSIGUCN-HZPDHXFCSA-N 1 2 301.390 1.585 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@@H+]1CCCc2c(cnn2C)C1 ZINC000556817112 421740384 /nfs/dbraw/zinc/74/03/84/421740384.db2.gz YARREGGEDGTRJW-SUMWQHHRSA-N 1 2 317.437 1.611 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@H+]1CCCc2c(cnn2C)C1 ZINC000556817112 421740386 /nfs/dbraw/zinc/74/03/86/421740386.db2.gz YARREGGEDGTRJW-SUMWQHHRSA-N 1 2 317.437 1.611 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000572144516 421765972 /nfs/dbraw/zinc/76/59/72/421765972.db2.gz XRHRQWFIVZKEHK-UKRRQHHQSA-N 1 2 314.389 1.197 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])[C@@H](C(N)=O)C1 ZINC000580957001 421872167 /nfs/dbraw/zinc/87/21/67/421872167.db2.gz KGFDZKFCBXFIPD-IINYFYTJSA-N 1 2 302.334 1.552 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])[C@@H](C(N)=O)C1 ZINC000580957001 421872171 /nfs/dbraw/zinc/87/21/71/421872171.db2.gz KGFDZKFCBXFIPD-IINYFYTJSA-N 1 2 302.334 1.552 20 30 DDEDLO CC1(C)C[N@H+](CCNc2ncc3c(c2C#N)CCC3)CCO1 ZINC000581722478 422034135 /nfs/dbraw/zinc/03/41/35/422034135.db2.gz VZWHSAWKNRADFJ-UHFFFAOYSA-N 1 2 300.406 1.965 20 30 DDEDLO CC1(C)C[N@@H+](CCNc2ncc3c(c2C#N)CCC3)CCO1 ZINC000581722478 422034141 /nfs/dbraw/zinc/03/41/41/422034141.db2.gz VZWHSAWKNRADFJ-UHFFFAOYSA-N 1 2 300.406 1.965 20 30 DDEDLO C=C(C)COCCNC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635689211 422243198 /nfs/dbraw/zinc/24/31/98/422243198.db2.gz JPUNBTPUUBXPNH-QGZVFWFLSA-N 1 2 318.417 1.978 20 30 DDEDLO C=C(C)COCCNC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635689211 422243205 /nfs/dbraw/zinc/24/32/05/422243205.db2.gz JPUNBTPUUBXPNH-QGZVFWFLSA-N 1 2 318.417 1.978 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)c2ccc(C[NH+]3CCOCC3)cn2)C1 ZINC000628492082 422194506 /nfs/dbraw/zinc/19/45/06/422194506.db2.gz NOEPIFRRYXQAQJ-HNNXBMFYSA-N 1 2 315.417 1.952 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCCN(Cc3[nH+]ccn3C)C2=O)C1 ZINC000632395762 422305052 /nfs/dbraw/zinc/30/50/52/422305052.db2.gz UGVBRGSJZLAFNR-CYBMUJFWSA-N 1 2 316.405 1.384 20 30 DDEDLO CCCC[C@@H](C(=O)Nc1cc(C)on1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149740 422332025 /nfs/dbraw/zinc/33/20/25/422332025.db2.gz VRJGOBOOBICVNC-XJKSGUPXSA-N 1 2 320.393 1.831 20 30 DDEDLO CCCC[C@@H](C(=O)Nc1cc(C)on1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149740 422332031 /nfs/dbraw/zinc/33/20/31/422332031.db2.gz VRJGOBOOBICVNC-XJKSGUPXSA-N 1 2 320.393 1.831 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000628825710 422341442 /nfs/dbraw/zinc/34/14/42/422341442.db2.gz PGAZRGITEXQGEM-INIZCTEOSA-N 1 2 306.410 1.764 20 30 DDEDLO C=C(C)CS(=O)(=O)NCC[NH+]1CCN(c2ccccc2)CC1 ZINC000576657857 422375520 /nfs/dbraw/zinc/37/55/20/422375520.db2.gz KXFKYYVBDXBYIH-UHFFFAOYSA-N 1 2 323.462 1.304 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000576947090 422380024 /nfs/dbraw/zinc/38/00/24/422380024.db2.gz JBWPMTZOTMNOLB-LRDDRELGSA-N 1 2 300.362 1.286 20 30 DDEDLO C=C(C)C[C@@H]1NC(=O)N(C2CCN(c3cccc[nH+]3)CC2)C1=O ZINC000630969109 422448347 /nfs/dbraw/zinc/44/83/47/422448347.db2.gz DAGCDEIYHCRXKV-AWEZNQCLSA-N 1 2 314.389 1.937 20 30 DDEDLO Cc1nn(C(F)F)c(C)c1CC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000577168751 422382584 /nfs/dbraw/zinc/38/25/84/422382584.db2.gz JLKXQDAYNHWILE-AWEZNQCLSA-N 1 2 313.352 1.398 20 30 DDEDLO C=CCNC(=O)C[NH2+]Cc1ncc(Br)cc1Cl ZINC000631108891 422534689 /nfs/dbraw/zinc/53/46/89/422534689.db2.gz HWGFIIRNSHLNHG-UHFFFAOYSA-N 1 2 318.602 1.889 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)Nc2cccc(F)c2)nn1 ZINC000640881325 423253065 /nfs/dbraw/zinc/25/30/65/423253065.db2.gz KSCDUMLSQSEOOA-GFCCVEGCSA-N 1 2 315.352 1.730 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)c2cc(C)n(CC)c2C)nn1 ZINC000640849920 423229254 /nfs/dbraw/zinc/22/92/54/423229254.db2.gz IYHFVBWBCYGRSI-UHFFFAOYSA-N 1 2 313.405 1.712 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H]2[C@H](O)C(F)(F)F)nn1 ZINC000653554701 423526135 /nfs/dbraw/zinc/52/61/35/423526135.db2.gz RPMOIVCUFZBNKB-RYUDHWBXSA-N 1 2 304.316 1.742 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H]2[C@H](O)C(F)(F)F)nn1 ZINC000653554701 423526141 /nfs/dbraw/zinc/52/61/41/423526141.db2.gz RPMOIVCUFZBNKB-RYUDHWBXSA-N 1 2 304.316 1.742 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC([C@@H]3NC(=O)C3(C)C)CC2)nn1 ZINC000653708324 423587130 /nfs/dbraw/zinc/58/71/30/423587130.db2.gz ZGURFQDOGBBPKV-HNNXBMFYSA-N 1 2 317.437 1.591 20 30 DDEDLO N#Cc1csc(C[N@H+]2CCC[C@@H](C(=O)N3CCCC3)C2)n1 ZINC000356127558 269993023 /nfs/dbraw/zinc/99/30/23/269993023.db2.gz WMXKEEISQYHGOD-GFCCVEGCSA-N 1 2 304.419 1.849 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CCC[C@@H](C(=O)N3CCCC3)C2)n1 ZINC000356127558 269993024 /nfs/dbraw/zinc/99/30/24/269993024.db2.gz WMXKEEISQYHGOD-GFCCVEGCSA-N 1 2 304.419 1.849 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCc3nc(COC)ncc3C2)nn1 ZINC000639913706 423918954 /nfs/dbraw/zinc/91/89/54/423918954.db2.gz SICGGYNSBIBRFT-UHFFFAOYSA-N 1 2 314.393 1.349 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCc3nc(COC)ncc3C2)nn1 ZINC000639913706 423918966 /nfs/dbraw/zinc/91/89/66/423918966.db2.gz SICGGYNSBIBRFT-UHFFFAOYSA-N 1 2 314.393 1.349 20 30 DDEDLO C=CCNC(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000660205884 424595936 /nfs/dbraw/zinc/59/59/36/424595936.db2.gz KIAZYEUXURYEGK-MRXNPFEDSA-N 1 2 302.422 1.288 20 30 DDEDLO C=CCNC(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000660205884 424595941 /nfs/dbraw/zinc/59/59/41/424595941.db2.gz KIAZYEUXURYEGK-MRXNPFEDSA-N 1 2 302.422 1.288 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccccc2C#N)C[C@@H]1C ZINC000345506212 266157720 /nfs/dbraw/zinc/15/77/20/266157720.db2.gz LNQLIKMBLVHOTJ-QWHCGFSZSA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccccc2C#N)C[C@@H]1C ZINC000345506212 266157722 /nfs/dbraw/zinc/15/77/22/266157722.db2.gz LNQLIKMBLVHOTJ-QWHCGFSZSA-N 1 2 307.419 1.661 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+](Cc2ccc(C#N)c(C)c2)CC1 ZINC000362914797 266338661 /nfs/dbraw/zinc/33/86/61/266338661.db2.gz ZJXBVQVKRFMISW-UHFFFAOYSA-N 1 2 307.419 1.334 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355105219 266363667 /nfs/dbraw/zinc/36/36/67/266363667.db2.gz VZIGFEIVLYJZFE-KGLIPLIRSA-N 1 2 315.421 1.378 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)c2ccc(F)c(C#N)c2)CCO1 ZINC000355565243 266380166 /nfs/dbraw/zinc/38/01/66/266380166.db2.gz JOZUPYDBEBOFPJ-CQSZACIVSA-N 1 2 305.353 1.538 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)c2ccc(F)c(C#N)c2)CCO1 ZINC000355565243 266380169 /nfs/dbraw/zinc/38/01/69/266380169.db2.gz JOZUPYDBEBOFPJ-CQSZACIVSA-N 1 2 305.353 1.538 20 30 DDEDLO COC(=O)[C@@H](C1CC1)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355119871 266636824 /nfs/dbraw/zinc/63/68/24/266636824.db2.gz DNYNHSVQVNPFMU-OAHLLOKOSA-N 1 2 300.362 1.027 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1sccc1C#N ZINC000376172378 267079092 /nfs/dbraw/zinc/07/90/92/267079092.db2.gz MKNWCNKYYYPGIO-DGCLKSJQSA-N 1 2 305.403 1.555 20 30 DDEDLO Cc1cc(NC(=O)N2CCC(n3cnc(C#N)n3)CC2)cc[nH+]1 ZINC000365557141 267298938 /nfs/dbraw/zinc/29/89/38/267298938.db2.gz QLGIYPUFRJHQPY-UHFFFAOYSA-N 1 2 311.349 1.144 20 30 DDEDLO CN1C[C@H](C[NH+]2CCN(Cc3ccc(C#N)cc3)CC2)OC1=O ZINC000495593172 267343196 /nfs/dbraw/zinc/34/31/96/267343196.db2.gz JULJCGKFDOAAMN-MRXNPFEDSA-N 1 2 314.389 1.126 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC(=O)Nc3c[nH+]ccc32)cc1 ZINC000348053812 268190381 /nfs/dbraw/zinc/19/03/81/268190381.db2.gz WKJOSMHFBOXKNV-UHFFFAOYSA-N 1 2 314.326 1.101 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCc3ncccc3C2)c1 ZINC000366449217 268251192 /nfs/dbraw/zinc/25/11/92/268251192.db2.gz VNDQPLFJNRKNRK-UHFFFAOYSA-N 1 2 306.369 1.628 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCc3ncccc3C2)c1 ZINC000366449217 268251194 /nfs/dbraw/zinc/25/11/94/268251194.db2.gz VNDQPLFJNRKNRK-UHFFFAOYSA-N 1 2 306.369 1.628 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](CCOCC(F)(F)F)CC2)n1 ZINC000355109390 268261902 /nfs/dbraw/zinc/26/19/02/268261902.db2.gz UTTWVPXQBPHUTK-UHFFFAOYSA-N 1 2 314.311 1.654 20 30 DDEDLO N#Cc1csc(C[NH2+]CCS(=O)(=O)c2ccccc2)n1 ZINC000352843142 268320058 /nfs/dbraw/zinc/32/00/58/268320058.db2.gz XUWFXSHYUOHAFQ-UHFFFAOYSA-N 1 2 307.400 1.578 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000355180392 279212253 /nfs/dbraw/zinc/21/22/53/279212253.db2.gz APVGZEYOJPVCKA-RDTXWAMCSA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000355180392 279212255 /nfs/dbraw/zinc/21/22/55/279212255.db2.gz APVGZEYOJPVCKA-RDTXWAMCSA-N 1 2 321.384 1.574 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)c(F)c2)C[C@@H](C)[NH+]1C ZINC000339071264 288239644 /nfs/dbraw/zinc/23/96/44/288239644.db2.gz CMCIXWBHPYXHNF-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(c2ncc(C#N)cc2[N+](=O)[O-])CC1 ZINC000450501129 281490035 /nfs/dbraw/zinc/49/00/35/281490035.db2.gz OWQQDBDYHFZJNV-UHFFFAOYSA-N 1 2 324.344 1.892 20 30 DDEDLO O=C(CCc1[nH]cc[nH+]1)NC[C@@H]1CCC2(CCOCC2)[C@@H]1O ZINC000329313524 295389399 /nfs/dbraw/zinc/38/93/99/295389399.db2.gz GUYMIHUWBHTVME-SWLSCSKDSA-N 1 2 307.394 1.867 20 30 DDEDLO N#Cc1cc(F)ccc1S(=O)(=O)N1CCOc2cc[nH+]cc21 ZINC000125705422 303184111 /nfs/dbraw/zinc/18/41/11/303184111.db2.gz LBMGISSXMGEVJI-UHFFFAOYSA-N 1 2 319.317 1.680 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[NH+]2CC3(C2)CCOCC3)c1 ZINC000544698383 303476607 /nfs/dbraw/zinc/47/66/07/303476607.db2.gz SMNKEOMNXDYICZ-UHFFFAOYSA-N 1 2 320.414 1.444 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](S(=O)(=O)NC3CC3)C2)cc1F ZINC000365276405 307063344 /nfs/dbraw/zinc/06/33/44/307063344.db2.gz RGWCPERAWZNWLJ-AWEZNQCLSA-N 1 2 323.393 1.353 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](S(=O)(=O)NC3CC3)C2)cc1F ZINC000365276405 307063345 /nfs/dbraw/zinc/06/33/45/307063345.db2.gz RGWCPERAWZNWLJ-AWEZNQCLSA-N 1 2 323.393 1.353 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCCN(CC(F)F)CC3)cnc12 ZINC000564985246 308015919 /nfs/dbraw/zinc/01/59/19/308015919.db2.gz FFIYJXUGHSIONQ-UHFFFAOYSA-N 1 2 320.347 1.374 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCCN(CC(F)F)CC3)cnc12 ZINC000564985246 308015920 /nfs/dbraw/zinc/01/59/20/308015920.db2.gz FFIYJXUGHSIONQ-UHFFFAOYSA-N 1 2 320.347 1.374 20 30 DDEDLO CC[C@@H](C(=O)OC)N1CC[NH+](Cc2ccc(C#N)cn2)CC1 ZINC000577528790 308396073 /nfs/dbraw/zinc/39/60/73/308396073.db2.gz ZNKOKBMQBSZJFC-HNNXBMFYSA-N 1 2 302.378 1.022 20 30 DDEDLO Cn1cc([C@@H](CO)[NH2+]Cc2ccc(C#N)cc2[N+](=O)[O-])cn1 ZINC000577734721 308410678 /nfs/dbraw/zinc/41/06/78/308410678.db2.gz ICLNHCAYLUOVLG-CYBMUJFWSA-N 1 2 301.306 1.023 20 30 DDEDLO C[C@H]1C[C@H](NS(=O)(=O)c2ccc(F)c(C#N)c2)c2[nH+]ccn21 ZINC000577817774 308415640 /nfs/dbraw/zinc/41/56/40/308415640.db2.gz CNLLHILSHYVILE-ZANVPECISA-N 1 2 320.349 1.878 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@H]1CC12CCCC2 ZINC000531116485 332418589 /nfs/dbraw/zinc/41/85/89/332418589.db2.gz MIDOPLJUXHBTDU-OAHLLOKOSA-N 1 2 305.422 1.641 20 30 DDEDLO Cc1cccc([C@@H](CNC(=O)[C@H](C)C#N)[NH+]2CCOCC2)c1 ZINC000345841819 335354822 /nfs/dbraw/zinc/35/48/22/335354822.db2.gz KJLJYAIXDKJYHL-GDBMZVCRSA-N 1 2 301.390 1.644 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCN(C(=O)C2CC2)CC1(C)C ZINC000345935056 335362029 /nfs/dbraw/zinc/36/20/29/335362029.db2.gz PMKZCWOGZYIYNT-UHFFFAOYSA-N 1 2 319.449 1.520 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCN(C(=O)C2CC2)CC1(C)C ZINC000345935056 335362031 /nfs/dbraw/zinc/36/20/31/335362031.db2.gz PMKZCWOGZYIYNT-UHFFFAOYSA-N 1 2 319.449 1.520 20 30 DDEDLO CNc1ccc(C#N)cc1NC(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000582980685 337222593 /nfs/dbraw/zinc/22/25/93/337222593.db2.gz CHPNXWZWSOEJHF-UHFFFAOYSA-N 1 2 319.328 1.828 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000516249158 338008813 /nfs/dbraw/zinc/00/88/13/338008813.db2.gz IGSNPPUXVRXXCJ-KGLIPLIRSA-N 1 2 304.394 1.405 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CC[C@H](NC(=O)OC(C)(C)C)C2)C1=O ZINC000496366137 340004009 /nfs/dbraw/zinc/00/40/09/340004009.db2.gz QYPGEYWJNCVZKA-ZDUSSCGKSA-N 1 2 324.425 1.467 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CC[C@H](NC(=O)OC(C)(C)C)C2)C1=O ZINC000496366137 340004010 /nfs/dbraw/zinc/00/40/10/340004010.db2.gz QYPGEYWJNCVZKA-ZDUSSCGKSA-N 1 2 324.425 1.467 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H]2CCN(C(=O)OC(C)(C)C)C[C@H]21 ZINC000496601009 340008564 /nfs/dbraw/zinc/00/85/64/340008564.db2.gz UGOXTLRKDARCQI-CHWSQXEVSA-N 1 2 309.410 1.230 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H]2CCN(C(=O)OC(C)(C)C)C[C@H]21 ZINC000496601009 340008565 /nfs/dbraw/zinc/00/85/65/340008565.db2.gz UGOXTLRKDARCQI-CHWSQXEVSA-N 1 2 309.410 1.230 20 30 DDEDLO C=C(C)CN(Cc1ccc(CO)o1)C(=O)NCCn1cc[nH+]c1 ZINC000505744911 340176403 /nfs/dbraw/zinc/17/64/03/340176403.db2.gz IFLXOLJCVMHTKV-UHFFFAOYSA-N 1 2 318.377 1.756 20 30 DDEDLO CCC(C#N)(CC)C(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000529351303 340753252 /nfs/dbraw/zinc/75/32/52/340753252.db2.gz XEFJBNVDJHEKEB-ZDUSSCGKSA-N 1 2 318.421 1.487 20 30 DDEDLO C=CCOc1ccc(C(=O)NCCc2c[nH+]cn2C)cc1OC ZINC000544366098 341078386 /nfs/dbraw/zinc/07/83/86/341078386.db2.gz VZUMBPDHZCGBBM-UHFFFAOYSA-N 1 2 315.373 1.966 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](C)c1ncc(Br)s1 ZINC000134402700 341214731 /nfs/dbraw/zinc/21/47/31/341214731.db2.gz ATIKWKRPKCMSQM-ZETCQYMHSA-N 1 2 304.213 1.858 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2csc(C3CC3)n2)CC1 ZINC000134826182 341224763 /nfs/dbraw/zinc/22/47/63/341224763.db2.gz WNLXXZZJMDICPA-UHFFFAOYSA-N 1 2 320.462 1.440 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+](C)Cc1ccc([S@@](C)=O)cc1 ZINC000247156502 341361594 /nfs/dbraw/zinc/36/15/94/341361594.db2.gz ULUDJLVTGXFGJM-WHEQGISXSA-N 1 2 321.446 1.616 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+](C)Cc1ccc([S@@](C)=O)cc1 ZINC000247156502 341361595 /nfs/dbraw/zinc/36/15/95/341361595.db2.gz ULUDJLVTGXFGJM-WHEQGISXSA-N 1 2 321.446 1.616 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](CC(=O)N(CC#N)C1CC1)C(F)(F)F ZINC000568189415 341657501 /nfs/dbraw/zinc/65/75/01/341657501.db2.gz HMCAPAZDLDAXGS-SNVBAGLBSA-N 1 2 300.284 1.971 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnnc(-c2ccccc2)c1 ZINC000576758900 341861889 /nfs/dbraw/zinc/86/18/89/341861889.db2.gz KXMPQBOZVFLAQD-QGZVFWFLSA-N 1 2 309.373 1.717 20 30 DDEDLO C=CCOCCNC(=O)N1CCN(c2c[nH+]ccc2C)CC1 ZINC000684918388 486459709 /nfs/dbraw/zinc/45/97/09/486459709.db2.gz ODTPNPDGYZFXEH-UHFFFAOYSA-N 1 2 304.394 1.424 20 30 DDEDLO C[C@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@]1(C)CO ZINC000595875523 490371941 /nfs/dbraw/zinc/37/19/41/490371941.db2.gz QIZKJMWMNYEZBB-XJKSGUPXSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@]1(C)CO ZINC000595875523 490371945 /nfs/dbraw/zinc/37/19/45/490371945.db2.gz QIZKJMWMNYEZBB-XJKSGUPXSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)c1coc(C2CCOCC2)n1 ZINC000329924023 533848196 /nfs/dbraw/zinc/84/81/96/533848196.db2.gz USPRWFHVVPOAAJ-LBPRGKRZSA-N 1 2 323.393 1.594 20 30 DDEDLO O=C(C[N@@H+]1CC[C@H](Oc2ccccn2)C1)NC(=O)NC1CC1 ZINC000330090431 534336936 /nfs/dbraw/zinc/33/69/36/534336936.db2.gz PIJGRIJPYJWHDX-LBPRGKRZSA-N 1 2 304.350 1.568 20 30 DDEDLO O=C(C[N@H+]1CC[C@H](Oc2ccccn2)C1)NC(=O)NC1CC1 ZINC000330090431 534336944 /nfs/dbraw/zinc/33/69/44/534336944.db2.gz PIJGRIJPYJWHDX-LBPRGKRZSA-N 1 2 304.350 1.568 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNS(=O)(=O)CCCCC#N ZINC000344966527 534690571 /nfs/dbraw/zinc/69/05/71/534690571.db2.gz OYJYHLIQJQSKKL-UHFFFAOYSA-N 1 2 320.418 1.958 20 30 DDEDLO CC#CC[N@H+](C)[C@H](C)C(=O)NCC(=O)Nc1c(C)cccc1C ZINC000339766101 526361111 /nfs/dbraw/zinc/36/11/11/526361111.db2.gz OIXWUTGGEQQFSL-OAHLLOKOSA-N 1 2 315.417 1.702 20 30 DDEDLO CC#CC[N@@H+](C)[C@H](C)C(=O)NCC(=O)Nc1c(C)cccc1C ZINC000339766101 526361118 /nfs/dbraw/zinc/36/11/18/526361118.db2.gz OIXWUTGGEQQFSL-OAHLLOKOSA-N 1 2 315.417 1.702 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000330959940 526400398 /nfs/dbraw/zinc/40/03/98/526400398.db2.gz XLDIEJWWYPPPOO-OLZOCXBDSA-N 1 2 301.350 1.342 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000330959940 526400404 /nfs/dbraw/zinc/40/04/04/526400404.db2.gz XLDIEJWWYPPPOO-OLZOCXBDSA-N 1 2 301.350 1.342 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000330944002 526401402 /nfs/dbraw/zinc/40/14/02/526401402.db2.gz HZCPJKJFSFKOKW-SNVBAGLBSA-N 1 2 312.757 1.956 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000330944002 526401407 /nfs/dbraw/zinc/40/14/07/526401407.db2.gz HZCPJKJFSFKOKW-SNVBAGLBSA-N 1 2 312.757 1.956 20 30 DDEDLO C=CCN1C(=O)c2ccc(C(=O)NCc3[nH+]ccn3C)cc2C1=O ZINC000343284270 526411058 /nfs/dbraw/zinc/41/10/58/526411058.db2.gz GDOHUBWQHNUBKS-UHFFFAOYSA-N 1 2 324.340 1.132 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(C(=O)C3CCCCC3)CC2)C1=O ZINC000337123191 526481120 /nfs/dbraw/zinc/48/11/20/526481120.db2.gz BXKFTQJAEALEIC-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(C)[C@@H](C)CC(C)C)C1=O ZINC000337200018 526499871 /nfs/dbraw/zinc/49/98/71/526499871.db2.gz BKUVHLFUQPDPNT-GJZGRUSLSA-N 1 2 309.454 1.598 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(C)[C@@H](C)CC(C)C)C1=O ZINC000337200018 526499875 /nfs/dbraw/zinc/49/98/75/526499875.db2.gz BKUVHLFUQPDPNT-GJZGRUSLSA-N 1 2 309.454 1.598 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C)OCC ZINC000342257764 526810846 /nfs/dbraw/zinc/81/08/46/526810846.db2.gz GEFUJJBGRBFITD-PMPSAXMXSA-N 1 2 310.438 1.679 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C([O-])=[NH+]CCCn2cc[nH+]c2C)C1 ZINC000330407878 526845367 /nfs/dbraw/zinc/84/53/67/526845367.db2.gz ZYDGPNBSYVHFLI-CQSZACIVSA-N 1 2 307.398 1.096 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000330453932 526855547 /nfs/dbraw/zinc/85/55/47/526855547.db2.gz MESAFTIFDJTVDL-BJJXKVORSA-N 1 2 308.426 1.030 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000330453932 526855552 /nfs/dbraw/zinc/85/55/52/526855552.db2.gz MESAFTIFDJTVDL-BJJXKVORSA-N 1 2 308.426 1.030 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cnn(-c3ccccc3)c2)CC1 ZINC000491228773 526952176 /nfs/dbraw/zinc/95/21/76/526952176.db2.gz BXYOUYRXRNRPAD-UHFFFAOYSA-N 1 2 323.400 1.062 20 30 DDEDLO CC(C)(CC#N)CNC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000459234263 526953596 /nfs/dbraw/zinc/95/35/96/526953596.db2.gz JMEQNLJGMPXABN-UHFFFAOYSA-N 1 2 315.421 1.849 20 30 DDEDLO CC(C)(CC#N)CNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000459256082 526953727 /nfs/dbraw/zinc/95/37/27/526953727.db2.gz HXJRFPLFXLPVLQ-CQSZACIVSA-N 1 2 308.426 1.432 20 30 DDEDLO C#CCNC(=O)C1CCN(c2nc(C)[nH+]c3c2CCCC3)CC1 ZINC000491155861 526972922 /nfs/dbraw/zinc/97/29/22/526972922.db2.gz VUIBIQBIFZOYJJ-UHFFFAOYSA-N 1 2 312.417 1.630 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](C)Cc1csc([C@@H](C)OCC)n1 ZINC000491707342 526973701 /nfs/dbraw/zinc/97/37/01/526973701.db2.gz XXUMEXYIBRPRPG-GFCCVEGCSA-N 1 2 309.435 1.812 20 30 DDEDLO C#CCNC(=O)CC[N@H+](C)Cc1csc([C@@H](C)OCC)n1 ZINC000491707342 526973705 /nfs/dbraw/zinc/97/37/05/526973705.db2.gz XXUMEXYIBRPRPG-GFCCVEGCSA-N 1 2 309.435 1.812 20 30 DDEDLO C#CCNC(=O)CC[NH2+][C@H](c1nccn1C)c1ccc(F)cc1 ZINC000491626669 526987155 /nfs/dbraw/zinc/98/71/55/526987155.db2.gz LQOZRLWKTCBTJK-INIZCTEOSA-N 1 2 314.364 1.378 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CC[C@H](NC(=O)NC)C2)c1C ZINC000342372264 527295886 /nfs/dbraw/zinc/29/58/86/527295886.db2.gz MKVHHYXAZTXKJY-AWEZNQCLSA-N 1 2 318.421 1.477 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CC[C@H](NC(=O)NC)C2)c1C ZINC000342372264 527295892 /nfs/dbraw/zinc/29/58/92/527295892.db2.gz MKVHHYXAZTXKJY-AWEZNQCLSA-N 1 2 318.421 1.477 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1 ZINC000491643448 527331548 /nfs/dbraw/zinc/33/15/48/527331548.db2.gz FUWJTVPFUFUYON-QWHCGFSZSA-N 1 2 319.380 1.073 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1 ZINC000491643448 527331554 /nfs/dbraw/zinc/33/15/54/527331554.db2.gz FUWJTVPFUFUYON-QWHCGFSZSA-N 1 2 319.380 1.073 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330428384 527522289 /nfs/dbraw/zinc/52/22/89/527522289.db2.gz UKXFLWLPOOGUPV-RHSMWYFYSA-N 1 2 322.453 1.277 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330428384 527522290 /nfs/dbraw/zinc/52/22/90/527522290.db2.gz UKXFLWLPOOGUPV-RHSMWYFYSA-N 1 2 322.453 1.277 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000346153086 527546354 /nfs/dbraw/zinc/54/63/54/527546354.db2.gz PWVRRXUNLVHXCS-FUHWJXTLSA-N 1 2 318.417 1.503 20 30 DDEDLO C=CC[N@@H+](CC(=O)OCC)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000341887523 527585075 /nfs/dbraw/zinc/58/50/75/527585075.db2.gz YRVUUKAEJAIQJZ-ZDUSSCGKSA-N 1 2 315.377 1.774 20 30 DDEDLO C=CC[N@H+](CC(=O)OCC)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000341887523 527585078 /nfs/dbraw/zinc/58/50/78/527585078.db2.gz YRVUUKAEJAIQJZ-ZDUSSCGKSA-N 1 2 315.377 1.774 20 30 DDEDLO CC1(C)C[S@@](=O)CC[N@@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000330976587 527772057 /nfs/dbraw/zinc/77/20/57/527772057.db2.gz CYQGHKQNBCYYMU-PEBXRYMYSA-N 1 2 322.430 1.141 20 30 DDEDLO CC1(C)C[S@@](=O)CC[N@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000330976587 527772062 /nfs/dbraw/zinc/77/20/62/527772062.db2.gz CYQGHKQNBCYYMU-PEBXRYMYSA-N 1 2 322.430 1.141 20 30 DDEDLO CC(C)[C@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000330738060 527855126 /nfs/dbraw/zinc/85/51/26/527855126.db2.gz NFSRDPVQUYDGCS-MRXNPFEDSA-N 1 2 301.390 1.531 20 30 DDEDLO CC(C)[C@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000330738060 527855130 /nfs/dbraw/zinc/85/51/30/527855130.db2.gz NFSRDPVQUYDGCS-MRXNPFEDSA-N 1 2 301.390 1.531 20 30 DDEDLO CC(C)OC[C@@H](CO)[N@H+](C)CC(=O)Nc1cccc(C#N)c1 ZINC000433135298 528009970 /nfs/dbraw/zinc/00/99/70/528009970.db2.gz IVRFTXNWOHBHKX-OAHLLOKOSA-N 1 2 305.378 1.214 20 30 DDEDLO CC(C)OC[C@@H](CO)[N@@H+](C)CC(=O)Nc1cccc(C#N)c1 ZINC000433135298 528009975 /nfs/dbraw/zinc/00/99/75/528009975.db2.gz IVRFTXNWOHBHKX-OAHLLOKOSA-N 1 2 305.378 1.214 20 30 DDEDLO CCN(CC)C(=O)[C@H]1CCC[N@@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000343521092 528063082 /nfs/dbraw/zinc/06/30/82/528063082.db2.gz CLUPRNKZRILDOC-RHSMWYFYSA-N 1 2 320.437 1.128 20 30 DDEDLO CCN(CC)C(=O)[C@H]1CCC[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000343521092 528063086 /nfs/dbraw/zinc/06/30/86/528063086.db2.gz CLUPRNKZRILDOC-RHSMWYFYSA-N 1 2 320.437 1.128 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)CC(C)C ZINC000343324962 528792127 /nfs/dbraw/zinc/79/21/27/528792127.db2.gz ZFYQQROSCWZNSJ-INIZCTEOSA-N 1 2 311.426 1.562 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)CC(C)C ZINC000343324962 528792130 /nfs/dbraw/zinc/79/21/30/528792130.db2.gz ZFYQQROSCWZNSJ-INIZCTEOSA-N 1 2 311.426 1.562 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)c2ccc(CO)c(F)c2)CCO1 ZINC000331334480 529115991 /nfs/dbraw/zinc/11/59/91/529115991.db2.gz UAZMXCRDJYSIPW-UHFFFAOYSA-N 1 2 310.369 1.733 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)c2ccc(CO)c(F)c2)CCO1 ZINC000331334480 529115995 /nfs/dbraw/zinc/11/59/95/529115995.db2.gz UAZMXCRDJYSIPW-UHFFFAOYSA-N 1 2 310.369 1.733 20 30 DDEDLO CC[C@](C)([NH2+]CCC(=O)Nc1ccccc1C#N)C(=O)OC ZINC000299623423 529370486 /nfs/dbraw/zinc/37/04/86/529370486.db2.gz JOMYZPLSAOINPC-INIZCTEOSA-N 1 2 303.362 1.818 20 30 DDEDLO N#CCCC[NH+]1CCN(c2ccc(-c3nn[nH]n3)cc2F)CC1 ZINC000737688094 598256695 /nfs/dbraw/zinc/25/66/95/598256695.db2.gz PKXTWVXUWFBAIA-UHFFFAOYSA-N 1 2 315.356 1.432 20 30 DDEDLO CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[N@H+](C)C[C@H]2C)o1 ZINC000820753092 606460770 /nfs/dbraw/zinc/46/07/70/606460770.db2.gz WIHIOGFCEGCQLN-MRVPVSSYSA-N 1 2 304.358 1.328 20 30 DDEDLO CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[N@@H+](C)C[C@H]2C)o1 ZINC000820753092 606460772 /nfs/dbraw/zinc/46/07/72/606460772.db2.gz WIHIOGFCEGCQLN-MRVPVSSYSA-N 1 2 304.358 1.328 20 30 DDEDLO CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[C@@H](C)[N@H+](C)C2)o1 ZINC000820198430 606776739 /nfs/dbraw/zinc/77/67/39/606776739.db2.gz VPSQQYBOGKGTRQ-MRVPVSSYSA-N 1 2 304.358 1.470 20 30 DDEDLO CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[C@@H](C)[N@@H+](C)C2)o1 ZINC000820198430 606776741 /nfs/dbraw/zinc/77/67/41/606776741.db2.gz VPSQQYBOGKGTRQ-MRVPVSSYSA-N 1 2 304.358 1.470 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2c(O)cccc2Cl)CC1 ZINC000122236610 696720068 /nfs/dbraw/zinc/72/00/68/696720068.db2.gz SFIKWAUJQPTIMY-UHFFFAOYSA-N 1 2 323.824 1.465 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCc3nccn3C2)C1 ZINC000971811050 695100358 /nfs/dbraw/zinc/10/03/58/695100358.db2.gz ONOKBEXBCGQSQP-KGLIPLIRSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCc3nccn3C2)C1 ZINC000971811050 695100361 /nfs/dbraw/zinc/10/03/61/695100361.db2.gz ONOKBEXBCGQSQP-KGLIPLIRSA-N 1 2 322.840 1.731 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(C)coc3C)C2)C1 ZINC000972366967 695230977 /nfs/dbraw/zinc/23/09/77/695230977.db2.gz FMGYTKIDBBTXRR-GOSISDBHSA-N 1 2 316.401 1.837 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(C)coc3C)C2)C1 ZINC000972366967 695230979 /nfs/dbraw/zinc/23/09/79/695230979.db2.gz FMGYTKIDBBTXRR-GOSISDBHSA-N 1 2 316.401 1.837 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCC(F)(F)C3)C2)C1 ZINC000972410946 695242605 /nfs/dbraw/zinc/24/26/05/695242605.db2.gz YCHGBWNAWYLQFW-UKRRQHHQSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCC(F)(F)C3)C2)C1 ZINC000972410946 695242606 /nfs/dbraw/zinc/24/26/06/695242606.db2.gz YCHGBWNAWYLQFW-UKRRQHHQSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCC(F)(F)C3)C2)C1 ZINC000972410944 695242653 /nfs/dbraw/zinc/24/26/53/695242653.db2.gz YCHGBWNAWYLQFW-DZGCQCFKSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCC(F)(F)C3)C2)C1 ZINC000972410944 695242656 /nfs/dbraw/zinc/24/26/56/695242656.db2.gz YCHGBWNAWYLQFW-DZGCQCFKSA-N 1 2 314.376 1.911 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccccc3)C2)C1 ZINC000972441655 695252537 /nfs/dbraw/zinc/25/25/37/695252537.db2.gz ULQKSNCXRHREPR-IBGZPJMESA-N 1 2 312.413 1.556 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccccc3)C2)C1 ZINC000972441655 695252538 /nfs/dbraw/zinc/25/25/38/695252538.db2.gz ULQKSNCXRHREPR-IBGZPJMESA-N 1 2 312.413 1.556 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C(C)(F)F)C2)C1 ZINC000972556404 695282180 /nfs/dbraw/zinc/28/21/80/695282180.db2.gz GJFHZMFWSXFKNX-WFASDCNBSA-N 1 2 300.349 1.214 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C(C)(F)F)C2)C1 ZINC000972556404 695282181 /nfs/dbraw/zinc/28/21/81/695282181.db2.gz GJFHZMFWSXFKNX-WFASDCNBSA-N 1 2 300.349 1.214 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCCCO3)C2)C1 ZINC000972577826 695287718 /nfs/dbraw/zinc/28/77/18/695287718.db2.gz OVVGOLNDPDBVTB-AEFFLSMTSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCCCO3)C2)C1 ZINC000972577826 695287720 /nfs/dbraw/zinc/28/77/20/695287720.db2.gz OVVGOLNDPDBVTB-AEFFLSMTSA-N 1 2 320.433 1.272 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)C1 ZINC000972591220 695291981 /nfs/dbraw/zinc/29/19/81/695291981.db2.gz ZBLKAJHMUUYEGU-JZXOWHBKSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)C1 ZINC000972591220 695291983 /nfs/dbraw/zinc/29/19/83/695291983.db2.gz ZBLKAJHMUUYEGU-JZXOWHBKSA-N 1 2 304.434 1.912 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(CC)CCOCC3)C2)C1 ZINC000972615948 695299817 /nfs/dbraw/zinc/29/98/17/695299817.db2.gz UYMXXOWOOUSSRZ-GOSISDBHSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(CC)CCOCC3)C2)C1 ZINC000972615948 695299819 /nfs/dbraw/zinc/29/98/19/695299819.db2.gz UYMXXOWOOUSSRZ-GOSISDBHSA-N 1 2 320.433 1.130 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](OC)C3CCCC3)C2)C1 ZINC000972657131 695311535 /nfs/dbraw/zinc/31/15/35/695311535.db2.gz XYGLHXLXAFZAMT-SJLPKXTDSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](OC)C3CCCC3)C2)C1 ZINC000972657131 695311536 /nfs/dbraw/zinc/31/15/36/695311536.db2.gz XYGLHXLXAFZAMT-SJLPKXTDSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(c4ccccc4)CC3)C2)C1 ZINC000972662196 695312729 /nfs/dbraw/zinc/31/27/29/695312729.db2.gz NGWDBTGAMMZDHL-LJQANCHMSA-N 1 2 324.424 1.655 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(c4ccccc4)CC3)C2)C1 ZINC000972662196 695312732 /nfs/dbraw/zinc/31/27/32/695312732.db2.gz NGWDBTGAMMZDHL-LJQANCHMSA-N 1 2 324.424 1.655 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)n(C)c3C)C2)C1 ZINC000972669396 695314215 /nfs/dbraw/zinc/31/42/15/695314215.db2.gz LRPXLWGONIZIJS-SFHVURJKSA-N 1 2 315.417 1.192 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)n(C)c3C)C2)C1 ZINC000972669396 695314217 /nfs/dbraw/zinc/31/42/17/695314217.db2.gz LRPXLWGONIZIJS-SFHVURJKSA-N 1 2 315.417 1.192 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@H]2CCCOC2)C(C)(C)C1 ZINC000972850864 695342527 /nfs/dbraw/zinc/34/25/27/695342527.db2.gz BKESDXXKVZSBGV-STQMWFEESA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@H]2CCCOC2)C(C)(C)C1 ZINC000972850864 695342529 /nfs/dbraw/zinc/34/25/29/695342529.db2.gz BKESDXXKVZSBGV-STQMWFEESA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(C)nn2)C(C)(C)C1 ZINC000974600658 695694300 /nfs/dbraw/zinc/69/43/00/695694300.db2.gz XIJMDBKZXLGTHQ-CYBMUJFWSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(C)nn2)C(C)(C)C1 ZINC000974600658 695694301 /nfs/dbraw/zinc/69/43/01/695694301.db2.gz XIJMDBKZXLGTHQ-CYBMUJFWSA-N 1 2 308.813 1.978 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(Cc2ccc(OCC#N)cc2)CC1 ZINC000746465420 700025323 /nfs/dbraw/zinc/02/53/23/700025323.db2.gz SWHVCVAYMKRZAX-JOCHJYFZSA-N 1 2 321.446 1.085 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2c(C)ncn2C)C(C)(C)C1 ZINC000974686095 695711775 /nfs/dbraw/zinc/71/17/75/695711775.db2.gz JLXDBJWRHVFFRL-GFCCVEGCSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2c(C)ncn2C)C(C)(C)C1 ZINC000974686095 695711776 /nfs/dbraw/zinc/71/17/76/695711776.db2.gz JLXDBJWRHVFFRL-GFCCVEGCSA-N 1 2 310.829 1.921 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CN(CC(=C)Cl)CC1(C)C ZINC000974707525 695715870 /nfs/dbraw/zinc/71/58/70/695715870.db2.gz YYDXAESQQDCWMW-LSDHHAIUSA-N 1 2 323.868 1.663 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CN(CC(=C)Cl)CC1(C)C ZINC000974707525 695715871 /nfs/dbraw/zinc/71/58/71/695715871.db2.gz YYDXAESQQDCWMW-LSDHHAIUSA-N 1 2 323.868 1.663 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2cccc(F)c2C#N)CC1(C)C ZINC000070398294 696387934 /nfs/dbraw/zinc/38/79/34/696387934.db2.gz IDJOVAOVPIFPPT-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2cccc(F)c2C#N)CC1(C)C ZINC000070398294 696387935 /nfs/dbraw/zinc/38/79/35/696387935.db2.gz IDJOVAOVPIFPPT-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO CC(C)(CO)N1CC[NH+](CCCOc2ccc(C#N)cc2)CC1 ZINC000747839999 700089385 /nfs/dbraw/zinc/08/93/85/700089385.db2.gz GVRHOJNKGKFWNQ-UHFFFAOYSA-N 1 2 317.433 1.716 20 30 DDEDLO C=C(Br)CNC(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000105196507 696621894 /nfs/dbraw/zinc/62/18/94/696621894.db2.gz WJNCSXYPUYYXPR-GHMZBOCLSA-N 1 2 320.231 1.303 20 30 DDEDLO COCC(=O)N1CCC[N@H+](Cc2ccc(C#N)cc2F)CC1 ZINC000980577279 696804594 /nfs/dbraw/zinc/80/45/94/696804594.db2.gz NGCULSNWZSBTRX-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO COCC(=O)N1CCC[N@@H+](Cc2ccc(C#N)cc2F)CC1 ZINC000980577279 696804595 /nfs/dbraw/zinc/80/45/95/696804595.db2.gz NGCULSNWZSBTRX-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO C#CC[NH2+][C@H]1CCC[C@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000981261747 697049842 /nfs/dbraw/zinc/04/98/42/697049842.db2.gz IKJXQIMPEJOAQS-HOTGVXAUSA-N 1 2 323.400 1.736 20 30 DDEDLO C#CCC[N@H+]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC000983600169 697282947 /nfs/dbraw/zinc/28/29/47/697282947.db2.gz QCFXGODZOQWKCP-UHFFFAOYSA-N 1 2 323.400 1.643 20 30 DDEDLO C#CCC[N@@H+]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC000983600169 697282948 /nfs/dbraw/zinc/28/29/48/697282948.db2.gz QCFXGODZOQWKCP-UHFFFAOYSA-N 1 2 323.400 1.643 20 30 DDEDLO C#CCSCCNC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000173881176 697381475 /nfs/dbraw/zinc/38/14/75/697381475.db2.gz BSIGTOJBSTVSMR-OAHLLOKOSA-N 1 2 312.479 1.606 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C1CCN(C(=O)[C@H](C)S(C)(=O)=O)CC1 ZINC000985498433 697520375 /nfs/dbraw/zinc/52/03/75/697520375.db2.gz ILDQVLVQQAUESJ-NSHDSACASA-N 1 2 322.858 1.095 20 30 DDEDLO C=C(Cl)C[N@H+](C)C1CCN(C(=O)[C@H](C)S(C)(=O)=O)CC1 ZINC000985498433 697520376 /nfs/dbraw/zinc/52/03/76/697520376.db2.gz ILDQVLVQQAUESJ-NSHDSACASA-N 1 2 322.858 1.095 20 30 DDEDLO COc1ccc(CN2CC[NH+](CC[S@](C)=O)CC2)cc1C#N ZINC000749523122 700168563 /nfs/dbraw/zinc/16/85/63/700168563.db2.gz QESYVVSIHHJESJ-QFIPXVFZSA-N 1 2 321.446 1.063 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)NCc2ccc(C#N)cn2)C1 ZINC000780642294 698541927 /nfs/dbraw/zinc/54/19/27/698541927.db2.gz VRYXDDJDGPTWPO-ZDUSSCGKSA-N 1 2 310.361 1.386 20 30 DDEDLO C[C@@H]1CCN(C(=O)[C@H](O)c2ccc(C#N)cc2)C[C@@H]1n1cc[nH+]c1 ZINC000780970103 698578464 /nfs/dbraw/zinc/57/84/64/698578464.db2.gz UNRMMSUGCRNZCJ-XOKHGSTOSA-N 1 2 324.384 1.898 20 30 DDEDLO O=S1(=O)CC[C@H](NN=Cc2cc(-n3cc[nH+]c3)cs2)C1 ZINC000783769078 698876224 /nfs/dbraw/zinc/87/62/24/698876224.db2.gz CDFYIKHHIZKVRD-JTQLQIEISA-N 1 2 310.404 1.044 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(Cc2ccc(CC#N)cc2)CC1 ZINC000784190786 698914793 /nfs/dbraw/zinc/91/47/93/698914793.db2.gz FTAUCIMFRCBWTF-NRFANRHFSA-N 1 2 305.447 1.249 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)OC(=O)COc1cccc(C#N)c1 ZINC000784908433 699046242 /nfs/dbraw/zinc/04/62/42/699046242.db2.gz ZYNNYQVBXJBSET-ZDUSSCGKSA-N 1 2 304.346 1.201 20 30 DDEDLO CO[C@H]1CC(=O)N(C[N@H+]2CC=C(c3ccc(C#N)cc3)CC2)C1 ZINC000787225284 699197747 /nfs/dbraw/zinc/19/77/47/699197747.db2.gz CVOGNBIPSJOHDS-KRWDZBQOSA-N 1 2 311.385 1.852 20 30 DDEDLO CO[C@H]1CC(=O)N(C[N@@H+]2CC=C(c3ccc(C#N)cc3)CC2)C1 ZINC000787225284 699197748 /nfs/dbraw/zinc/19/77/48/699197748.db2.gz CVOGNBIPSJOHDS-KRWDZBQOSA-N 1 2 311.385 1.852 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000787609422 699223114 /nfs/dbraw/zinc/22/31/14/699223114.db2.gz IUPNQELEMMXCLM-ZDUSSCGKSA-N 1 2 316.405 1.962 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCn2ccccc2=O)c1 ZINC000726186347 699352985 /nfs/dbraw/zinc/35/29/85/699352985.db2.gz VQWPOPYGRZUABP-UHFFFAOYSA-N 1 2 309.369 1.400 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCn2ccccc2=O)c1 ZINC000726186347 699352988 /nfs/dbraw/zinc/35/29/88/699352988.db2.gz VQWPOPYGRZUABP-UHFFFAOYSA-N 1 2 309.369 1.400 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[N@@H+]2C[C@@H](C)O[C@@H](C)C2)nc1 ZINC000790121693 699443607 /nfs/dbraw/zinc/44/36/07/699443607.db2.gz KPDPDPSXZQMLLU-OKILXGFUSA-N 1 2 301.390 1.244 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[N@H+]2C[C@@H](C)O[C@@H](C)C2)nc1 ZINC000790121693 699443608 /nfs/dbraw/zinc/44/36/08/699443608.db2.gz KPDPDPSXZQMLLU-OKILXGFUSA-N 1 2 301.390 1.244 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)OC)c(C)c1 ZINC000732071042 699545519 /nfs/dbraw/zinc/54/55/19/699545519.db2.gz ALHLQZYVGAJBEG-HNNXBMFYSA-N 1 2 300.358 1.818 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)OC)c(C)c1 ZINC000732071042 699545520 /nfs/dbraw/zinc/54/55/20/699545520.db2.gz ALHLQZYVGAJBEG-HNNXBMFYSA-N 1 2 300.358 1.818 20 30 DDEDLO CON=Cc1ccc(C(=O)N2CC(Oc3cc[nH+]cc3)C2)cc1 ZINC000732760789 699569001 /nfs/dbraw/zinc/56/90/01/699569001.db2.gz MAQLMSIGXSCNAK-UHFFFAOYSA-N 1 2 311.341 1.965 20 30 DDEDLO C=C(CO)C(=O)N(Cc1ccccc1)[C@@H](C)C[NH+]1CCOCC1 ZINC000791352302 699626276 /nfs/dbraw/zinc/62/62/76/699626276.db2.gz WMGRJXBUEVOYKI-INIZCTEOSA-N 1 2 318.417 1.284 20 30 DDEDLO C[C@@H](OC(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)Nc1ccccc1C#N ZINC000741147547 699817998 /nfs/dbraw/zinc/81/79/98/699817998.db2.gz VLNOWXRDBFSDAZ-DOMZBBRYSA-N 1 2 315.373 1.913 20 30 DDEDLO C[C@@H](OC(=O)[C@@H]1CCCC[N@H+]1C)C(=O)Nc1ccccc1C#N ZINC000741147547 699818000 /nfs/dbraw/zinc/81/80/00/699818000.db2.gz VLNOWXRDBFSDAZ-DOMZBBRYSA-N 1 2 315.373 1.913 20 30 DDEDLO C#CC[NH+]1CCN(Cn2ccc(-c3ccc(C#N)cc3)n2)CC1 ZINC000744130319 699936393 /nfs/dbraw/zinc/93/63/93/699936393.db2.gz HXBFDURNDYHPNK-UHFFFAOYSA-N 1 2 305.385 1.630 20 30 DDEDLO S=c1[nH][nH]c(=S)n1N=Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000744762018 699960342 /nfs/dbraw/zinc/96/03/42/699960342.db2.gz ULHKRIKRCFOCGW-UHFFFAOYSA-N 1 2 302.388 1.923 20 30 DDEDLO N#Cc1cccc(NC(=O)CC[N@@H+]2CCO[C@H](C(F)F)C2)c1 ZINC000801559965 700321106 /nfs/dbraw/zinc/32/11/06/700321106.db2.gz GIYOBTLRSWRAKK-ZDUSSCGKSA-N 1 2 309.316 1.853 20 30 DDEDLO N#Cc1cccc(NC(=O)CC[N@H+]2CCO[C@H](C(F)F)C2)c1 ZINC000801559965 700321109 /nfs/dbraw/zinc/32/11/09/700321109.db2.gz GIYOBTLRSWRAKK-ZDUSSCGKSA-N 1 2 309.316 1.853 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)CC)n2CCOC)CC1 ZINC000802397169 700400005 /nfs/dbraw/zinc/40/00/05/700400005.db2.gz CILFKXBWSUWDMM-AWEZNQCLSA-N 1 2 305.426 1.193 20 30 DDEDLO C#CC(=O)NCCc1cn2cc(Br)cc(C)c2[nH+]1 ZINC000756326995 700615860 /nfs/dbraw/zinc/61/58/60/700615860.db2.gz PMRRSBACXKFIKA-UHFFFAOYSA-N 1 2 306.163 1.697 20 30 DDEDLO COc1ccccc1OCC[N@H+](C)Cn1cccc(C#N)c1=O ZINC000758193619 700688400 /nfs/dbraw/zinc/68/84/00/700688400.db2.gz VFOUCSLBNYUBKK-UHFFFAOYSA-N 1 2 313.357 1.697 20 30 DDEDLO COc1ccccc1OCC[N@@H+](C)Cn1cccc(C#N)c1=O ZINC000758193619 700688402 /nfs/dbraw/zinc/68/84/02/700688402.db2.gz VFOUCSLBNYUBKK-UHFFFAOYSA-N 1 2 313.357 1.697 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1COc2c1cccc2Cl ZINC000758201186 700689389 /nfs/dbraw/zinc/68/93/89/700689389.db2.gz MBHZBNXRAFENIV-KGLIPLIRSA-N 1 2 304.777 1.987 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1COc2c1cccc2Cl ZINC000758201186 700689392 /nfs/dbraw/zinc/68/93/92/700689392.db2.gz MBHZBNXRAFENIV-KGLIPLIRSA-N 1 2 304.777 1.987 20 30 DDEDLO Cc1ccn(C[NH+]2CCC(C3OCCO3)CC2)c(=O)c1C#N ZINC000758221175 700690931 /nfs/dbraw/zinc/69/09/31/700690931.db2.gz CXROFZJSQCYZOQ-UHFFFAOYSA-N 1 2 303.362 1.071 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)OCc2ccccc2)CC1 ZINC000767119812 701085084 /nfs/dbraw/zinc/08/50/84/701085084.db2.gz PADXOIHIKMEBCB-MRXNPFEDSA-N 1 2 304.390 1.878 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)N2CCC[C@H](c3[nH+]ccn3C)C2)n1 ZINC000770546739 701283160 /nfs/dbraw/zinc/28/31/60/701283160.db2.gz VFGGECOJUGHFSW-NSHDSACASA-N 1 2 313.365 1.437 20 30 DDEDLO CCCNC(=O)OC[C@H]1CCCC[N@@H+]1CC(=O)NCCC#N ZINC000805776981 701409038 /nfs/dbraw/zinc/40/90/38/701409038.db2.gz XNEGZOFBZURKOI-CYBMUJFWSA-N 1 2 310.398 1.007 20 30 DDEDLO CCCNC(=O)OC[C@H]1CCCC[N@H+]1CC(=O)NCCC#N ZINC000805776981 701409039 /nfs/dbraw/zinc/40/90/39/701409039.db2.gz XNEGZOFBZURKOI-CYBMUJFWSA-N 1 2 310.398 1.007 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCC[C@@](O)(C(F)(F)F)CC2)C1=O ZINC000840074821 701953811 /nfs/dbraw/zinc/95/38/11/701953811.db2.gz YGMZLRDPFHKDSW-ZDUSSCGKSA-N 1 2 321.343 1.647 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCC[C@@](O)(C(F)(F)F)CC2)C1=O ZINC000840074821 701953816 /nfs/dbraw/zinc/95/38/16/701953816.db2.gz YGMZLRDPFHKDSW-ZDUSSCGKSA-N 1 2 321.343 1.647 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CC3(CCC3)[C@H]2[C@H]2CCCO2)C1=O ZINC000840076160 701955172 /nfs/dbraw/zinc/95/51/72/701955172.db2.gz OQOKAEUYRXLWSC-HUUCEWRRSA-N 1 2 305.422 1.901 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CC3(CCC3)[C@H]2[C@H]2CCCO2)C1=O ZINC000840076160 701955177 /nfs/dbraw/zinc/95/51/77/701955177.db2.gz OQOKAEUYRXLWSC-HUUCEWRRSA-N 1 2 305.422 1.901 20 30 DDEDLO C[C@H](C#N)OCCOC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000811325962 701959713 /nfs/dbraw/zinc/95/97/13/701959713.db2.gz HNZMLGUEEGUTHO-CQSZACIVSA-N 1 2 313.357 1.946 20 30 DDEDLO C#C[C@@H](C)NC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000868513203 702170781 /nfs/dbraw/zinc/17/07/81/702170781.db2.gz BFUFYSMOQAMLCQ-GFCCVEGCSA-N 1 2 304.419 1.456 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)c1ccc(F)cc1 ZINC000813052439 702277813 /nfs/dbraw/zinc/27/78/13/702277813.db2.gz CUDHTQBXRIZGSF-BBRMVZONSA-N 1 2 319.380 1.520 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1CCO[C@@H](C)C1)c1ccc(F)cc1 ZINC000813052439 702277816 /nfs/dbraw/zinc/27/78/16/702277816.db2.gz CUDHTQBXRIZGSF-BBRMVZONSA-N 1 2 319.380 1.520 20 30 DDEDLO COc1ccc2c(c1)OC(C)(C)C[N@@H+](CC(=O)NCCC#N)C2 ZINC000817297777 702333673 /nfs/dbraw/zinc/33/36/73/702333673.db2.gz AUILZJZKCPFZBJ-UHFFFAOYSA-N 1 2 317.389 1.698 20 30 DDEDLO COc1ccc2c(c1)OC(C)(C)C[N@H+](CC(=O)NCCC#N)C2 ZINC000817297777 702333683 /nfs/dbraw/zinc/33/36/83/702333683.db2.gz AUILZJZKCPFZBJ-UHFFFAOYSA-N 1 2 317.389 1.698 20 30 DDEDLO COC[C@@]1(C(=O)OC(C)(C)C)C[N@@H+]([C@H](C)CCC#N)CCO1 ZINC000879360139 706660336 /nfs/dbraw/zinc/66/03/36/706660336.db2.gz ZQXSSNYPUAUOHG-CZUORRHYSA-N 1 2 312.410 1.738 20 30 DDEDLO COC[C@@]1(C(=O)OC(C)(C)C)C[N@H+]([C@H](C)CCC#N)CCO1 ZINC000879360139 706660339 /nfs/dbraw/zinc/66/03/39/706660339.db2.gz ZQXSSNYPUAUOHG-CZUORRHYSA-N 1 2 312.410 1.738 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC(F)(F)[C@H](C(=O)OCC)C2)C1=O ZINC000879368481 706664573 /nfs/dbraw/zinc/66/45/73/706664573.db2.gz QDQMXGFMRJPHKQ-NWDGAFQWSA-N 1 2 316.348 1.294 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC(F)(F)[C@H](C(=O)OCC)C2)C1=O ZINC000879368481 706664575 /nfs/dbraw/zinc/66/45/75/706664575.db2.gz QDQMXGFMRJPHKQ-NWDGAFQWSA-N 1 2 316.348 1.294 20 30 DDEDLO C[C@H]([NH2+]C[C@H]1CN(C2CC2)C(=O)O1)c1cccc(C#N)c1O ZINC000866387104 706687500 /nfs/dbraw/zinc/68/75/00/706687500.db2.gz NCOUXHWVIHGKQH-GWCFXTLKSA-N 1 2 301.346 1.898 20 30 DDEDLO Nc1nc(Cl)c(C=[NH+]NC23CC(C2)C3)c(N2CCCC2)n1 ZINC000842547710 702733617 /nfs/dbraw/zinc/73/36/17/702733617.db2.gz VKLWNKQPEIEEBQ-UHFFFAOYSA-N 1 2 306.801 1.788 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)COC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845277941 703137824 /nfs/dbraw/zinc/13/78/24/703137824.db2.gz LHGQAXMNLXQSRL-WFASDCNBSA-N 1 2 306.366 1.432 20 30 DDEDLO N#CC1(COC(=O)Cc2cn3c([nH+]2)CCCC3)CCOCC1 ZINC000845510074 703166024 /nfs/dbraw/zinc/16/60/24/703166024.db2.gz WBCISFFGMRJKSM-UHFFFAOYSA-N 1 2 303.362 1.625 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CC(=O)Nc2cccc(C(=O)OC)n2)C1 ZINC000847019570 703366496 /nfs/dbraw/zinc/36/64/96/703366496.db2.gz ROLXCIMIDWXCIH-LBPRGKRZSA-N 1 2 301.346 1.152 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CC(=O)Nc2cccc(C(=O)OC)n2)C1 ZINC000847019570 703366497 /nfs/dbraw/zinc/36/64/97/703366497.db2.gz ROLXCIMIDWXCIH-LBPRGKRZSA-N 1 2 301.346 1.152 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CC(=O)Nc2nnc(-c3ccco3)o2)C1 ZINC000847021373 703367051 /nfs/dbraw/zinc/36/70/51/703367051.db2.gz KLHNWVSMNRMNDR-NSHDSACASA-N 1 2 300.318 1.613 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CC(=O)Nc2nnc(-c3ccco3)o2)C1 ZINC000847021373 703367054 /nfs/dbraw/zinc/36/70/54/703367054.db2.gz KLHNWVSMNRMNDR-NSHDSACASA-N 1 2 300.318 1.613 20 30 DDEDLO COc1cc(OC)c(C=NNCCCn2cc[nH+]c2)c(OC)c1 ZINC000848419280 703548401 /nfs/dbraw/zinc/54/84/01/703548401.db2.gz SLQXMLLMLKWHJN-UHFFFAOYSA-N 1 2 318.377 1.923 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)NCCCn1cc[nH+]c1 ZINC000849227469 703626444 /nfs/dbraw/zinc/62/64/44/703626444.db2.gz ISHKLBHEYJAACG-UHFFFAOYSA-N 1 2 320.393 1.260 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1Cc2cccnc2N2CCC[C@H]2C1 ZINC000879693237 706762294 /nfs/dbraw/zinc/76/22/94/706762294.db2.gz KQNQJLYFWGYCNN-LSDHHAIUSA-N 1 2 301.390 1.984 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1Cc2cccnc2N2CCC[C@H]2C1 ZINC000879693237 706762299 /nfs/dbraw/zinc/76/22/99/706762299.db2.gz KQNQJLYFWGYCNN-LSDHHAIUSA-N 1 2 301.390 1.984 20 30 DDEDLO C[C@H](C#N)Oc1ccccc1NC[C@H](O)C[NH+]1CCOCC1 ZINC000819467402 704130700 /nfs/dbraw/zinc/13/07/00/704130700.db2.gz FQTIUJUIALAJSK-KGLIPLIRSA-N 1 2 305.378 1.082 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C(C)(C)[C@@H]1c1cnn(C)c1)[C@H](C)COC ZINC000853535636 704262023 /nfs/dbraw/zinc/26/20/23/704262023.db2.gz YHAUBPRNSNTWQV-HIFRSBDPSA-N 1 2 320.437 1.810 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C(C)(C)[C@@H]1c1cnn(C)c1)[C@H](C)COC ZINC000853535636 704262026 /nfs/dbraw/zinc/26/20/26/704262026.db2.gz YHAUBPRNSNTWQV-HIFRSBDPSA-N 1 2 320.437 1.810 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)CCOC2CCOCC2)n1 ZINC000859283166 704848493 /nfs/dbraw/zinc/84/84/93/704848493.db2.gz KIGJIZRRROLLBY-UHFFFAOYSA-N 1 2 321.421 1.160 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)CCOC2CCOCC2)n1 ZINC000859283166 704848499 /nfs/dbraw/zinc/84/84/99/704848499.db2.gz KIGJIZRRROLLBY-UHFFFAOYSA-N 1 2 321.421 1.160 20 30 DDEDLO C[N@H+](CC(F)F)C1CCN(C(=O)Cc2ccc(C#N)nc2)CC1 ZINC000859681436 704972337 /nfs/dbraw/zinc/97/23/37/704972337.db2.gz QLBLQAAJIPVIFM-UHFFFAOYSA-N 1 2 322.359 1.684 20 30 DDEDLO C[N@@H+](CC(F)F)C1CCN(C(=O)Cc2ccc(C#N)nc2)CC1 ZINC000859681436 704972339 /nfs/dbraw/zinc/97/23/39/704972339.db2.gz QLBLQAAJIPVIFM-UHFFFAOYSA-N 1 2 322.359 1.684 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)C1CCOCC1 ZINC000823186150 705165907 /nfs/dbraw/zinc/16/59/07/705165907.db2.gz JBHQUIMTBLZVIF-LSDHHAIUSA-N 1 2 316.405 1.727 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000875920174 705560147 /nfs/dbraw/zinc/56/01/47/705560147.db2.gz WWSVRMSWBXHIKQ-GJZGRUSLSA-N 1 2 304.394 1.658 20 30 DDEDLO C[C@H](NC(=O)c1cc(C#N)ccc1F)[C@H](C)[NH+]1CCOCC1 ZINC000826571384 705818016 /nfs/dbraw/zinc/81/80/16/705818016.db2.gz HCNUFLJZSHXLHX-RYUDHWBXSA-N 1 2 305.353 1.536 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)n1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000876735145 705848036 /nfs/dbraw/zinc/84/80/36/705848036.db2.gz LFQKJLCHMJXZLO-LBPRGKRZSA-N 1 2 312.295 1.548 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)n1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000876735145 705848037 /nfs/dbraw/zinc/84/80/37/705848037.db2.gz LFQKJLCHMJXZLO-LBPRGKRZSA-N 1 2 312.295 1.548 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+]1CCN2C(=O)OC[C@@H]2C1 ZINC000876763324 705864405 /nfs/dbraw/zinc/86/44/05/705864405.db2.gz GEZUSIYVPYEHRS-AWEZNQCLSA-N 1 2 300.362 1.261 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+]1CCN2C(=O)OC[C@@H]2C1 ZINC000876763324 705864407 /nfs/dbraw/zinc/86/44/07/705864407.db2.gz GEZUSIYVPYEHRS-AWEZNQCLSA-N 1 2 300.362 1.261 20 30 DDEDLO CCC(C#N)(CC)C(=O)N1CCC(N2CC[NH+](C)CC2)CC1 ZINC000827430605 705998857 /nfs/dbraw/zinc/99/88/57/705998857.db2.gz RVVUTVZQPLRLST-UHFFFAOYSA-N 1 2 306.454 1.555 20 30 DDEDLO C#Cc1cccc(CNC(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)c1 ZINC000836314955 707438653 /nfs/dbraw/zinc/43/86/53/707438653.db2.gz ABUQOZHHPBDNBY-LSDHHAIUSA-N 1 2 315.417 1.576 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCN(CC(F)(F)F)C[C@@H](C)C1 ZINC000878685831 706469021 /nfs/dbraw/zinc/46/90/21/706469021.db2.gz NLKHJXWPOWNAQE-VXGBXAGGSA-N 1 2 308.344 1.920 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCN(CC(F)(F)F)C[C@@H](C)C1 ZINC000878685831 706469025 /nfs/dbraw/zinc/46/90/25/706469025.db2.gz NLKHJXWPOWNAQE-VXGBXAGGSA-N 1 2 308.344 1.920 20 30 DDEDLO C#C[C@@](C)(CC)NC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000878765639 706493994 /nfs/dbraw/zinc/49/39/94/706493994.db2.gz OMVVYLBZBHFMRX-INIZCTEOSA-N 1 2 319.409 1.139 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@@H+]1C[C@@H]2C[C@H]1C[S@@]2=O)c1ccccc1 ZINC000878811734 706506106 /nfs/dbraw/zinc/50/61/06/706506106.db2.gz NOFAUNAMKVMSLZ-DOWNOZBLSA-N 1 2 316.426 1.632 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@H+]1C[C@@H]2C[C@H]1C[S@@]2=O)c1ccccc1 ZINC000878811734 706506108 /nfs/dbraw/zinc/50/61/08/706506108.db2.gz NOFAUNAMKVMSLZ-DOWNOZBLSA-N 1 2 316.426 1.632 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@H]2CCc3ncnn32)C1 ZINC000878887282 706525554 /nfs/dbraw/zinc/52/55/54/706525554.db2.gz KQASIFJFUHGLIG-RHSMWYFYSA-N 1 2 308.389 1.718 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@H]2CCc3ncnn32)C1 ZINC000878887282 706525556 /nfs/dbraw/zinc/52/55/56/706525556.db2.gz KQASIFJFUHGLIG-RHSMWYFYSA-N 1 2 308.389 1.718 20 30 DDEDLO C#CCC[N@@H+](CCOC)Cc1nnc(-c2cccc(O)c2)o1 ZINC000878999676 706557843 /nfs/dbraw/zinc/55/78/43/706557843.db2.gz QKGCSDONQBKQJY-UHFFFAOYSA-N 1 2 301.346 1.914 20 30 DDEDLO C#CCC[N@H+](CCOC)Cc1nnc(-c2cccc(O)c2)o1 ZINC000878999676 706557845 /nfs/dbraw/zinc/55/78/45/706557845.db2.gz QKGCSDONQBKQJY-UHFFFAOYSA-N 1 2 301.346 1.914 20 30 DDEDLO CO[C@]1(C(F)(F)F)CC[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000880306053 706935127 /nfs/dbraw/zinc/93/51/27/706935127.db2.gz KMJXZDOUBQTWSV-GXFFZTMASA-N 1 2 319.327 1.591 20 30 DDEDLO CO[C@]1(C(F)(F)F)CC[N@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000880306053 706935131 /nfs/dbraw/zinc/93/51/31/706935131.db2.gz KMJXZDOUBQTWSV-GXFFZTMASA-N 1 2 319.327 1.591 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2nccc(C)c2C(=O)OCC)CC1 ZINC000880482256 706988586 /nfs/dbraw/zinc/98/85/86/706988586.db2.gz CEKOLNXIDRQFRU-UHFFFAOYSA-N 1 2 316.401 1.917 20 30 DDEDLO CN(c1cccc(C#N)c1)[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC000880655241 707049037 /nfs/dbraw/zinc/04/90/37/707049037.db2.gz BPAHBSLQZRHFKK-QGZVFWFLSA-N 1 2 323.400 1.951 20 30 DDEDLO CN(c1cccc(C#N)c1)[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC000880655241 707049040 /nfs/dbraw/zinc/04/90/40/707049040.db2.gz BPAHBSLQZRHFKK-QGZVFWFLSA-N 1 2 323.400 1.951 20 30 DDEDLO NC(=[NH+]OCc1nc(CC2CC2)no1)c1ccc2c(c1)CCO2 ZINC000871737992 707182433 /nfs/dbraw/zinc/18/24/33/707182433.db2.gz RLLRCTPPCFTIKX-UHFFFAOYSA-N 1 2 314.345 1.794 20 30 DDEDLO C#CCN(Cc1ccccc1)S(=O)(=O)CCn1cc[nH+]c1 ZINC000882192974 707531746 /nfs/dbraw/zinc/53/17/46/707531746.db2.gz AZWXAMCAUVAODE-UHFFFAOYSA-N 1 2 303.387 1.348 20 30 DDEDLO C#CCC1(O)CCN(C(=O)[C@@H](CC(C)C)n2cc[nH+]c2)CC1 ZINC000882529512 707684397 /nfs/dbraw/zinc/68/43/97/707684397.db2.gz MMHGBSOKEMVUGJ-OAHLLOKOSA-N 1 2 303.406 1.847 20 30 DDEDLO N#CCCCS(=O)(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882837982 707812157 /nfs/dbraw/zinc/81/21/57/707812157.db2.gz VPNKXWCLTDRXLS-HNNXBMFYSA-N 1 2 318.402 1.848 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)n1cc(C[NH+]2CCC(O)CC2)nn1 ZINC000883991229 708093722 /nfs/dbraw/zinc/09/37/22/708093722.db2.gz VQZLFAGLXKNPPP-CYBMUJFWSA-N 1 2 311.389 1.716 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(OCC)cc1 ZINC000884074439 708127572 /nfs/dbraw/zinc/12/75/72/708127572.db2.gz PXKGYHOYDDNEPO-AWEZNQCLSA-N 1 2 306.362 1.148 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)Oc1ccc(C)cc1 ZINC000884083172 708131522 /nfs/dbraw/zinc/13/15/22/708131522.db2.gz GJDBWDSPXYSICA-ZFWWWQNUSA-N 1 2 320.389 1.325 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)C(C)(C)c1ccccc1 ZINC000884117133 708146471 /nfs/dbraw/zinc/14/64/71/708146471.db2.gz QNLPNJTUUXXEQF-HIFRSBDPSA-N 1 2 318.417 1.916 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H](C)Cc1ccc(C)cc1 ZINC000884146357 708160263 /nfs/dbraw/zinc/16/02/63/708160263.db2.gz HOXSHVHDBHIZJJ-ZBFHGGJFSA-N 1 2 318.417 1.831 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@@H](OC)C1(CC)CC ZINC000884173576 708172123 /nfs/dbraw/zinc/17/21/23/708172123.db2.gz ZKVBUNRCHQLKRB-YNEHKIRRSA-N 1 2 312.410 1.143 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)c1ncc(C)s1 ZINC000884323979 708245187 /nfs/dbraw/zinc/24/51/87/708245187.db2.gz CXSQQSHKNIHUKX-ONGXEEELSA-N 1 2 311.407 1.118 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1cc2ccccc2[nH]1 ZINC000884380124 708271228 /nfs/dbraw/zinc/27/12/28/708271228.db2.gz UPKAUQGZECEAHZ-AWEZNQCLSA-N 1 2 315.373 1.273 20 30 DDEDLO Cc1ccc(C(=O)/C=C\C(=O)N2CC[N@@H+](CCO)C[C@@H]2C)cc1 ZINC000909436583 713017900 /nfs/dbraw/zinc/01/79/00/713017900.db2.gz RCWLDWHGSKNMGS-LZNQSLFOSA-N 1 2 316.401 1.259 20 30 DDEDLO Cc1ccc(C(=O)/C=C\C(=O)N2CC[N@H+](CCO)C[C@@H]2C)cc1 ZINC000909436583 713017903 /nfs/dbraw/zinc/01/79/03/713017903.db2.gz RCWLDWHGSKNMGS-LZNQSLFOSA-N 1 2 316.401 1.259 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](Nc2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000884613255 708338436 /nfs/dbraw/zinc/33/84/36/708338436.db2.gz IDYZEZBGLWPRFW-VIFPVBQESA-N 1 2 324.310 1.881 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](Nc2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000884613255 708338441 /nfs/dbraw/zinc/33/84/41/708338441.db2.gz IDYZEZBGLWPRFW-VIFPVBQESA-N 1 2 324.310 1.881 20 30 DDEDLO C=CC[N@@H+](CCSC(F)(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000886064553 708691115 /nfs/dbraw/zinc/69/11/15/708691115.db2.gz FGRLVRAWQPVVMG-SECBINFHSA-N 1 2 303.371 1.915 20 30 DDEDLO C=CC[N@H+](CCSC(F)(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000886064553 708691117 /nfs/dbraw/zinc/69/11/17/708691117.db2.gz FGRLVRAWQPVVMG-SECBINFHSA-N 1 2 303.371 1.915 20 30 DDEDLO CCOC(=O)[C@H]([NH2+]C[C@H](O)CC1(C#N)CCC1)[C@@H]1CCCOC1 ZINC000886120382 708701841 /nfs/dbraw/zinc/70/18/41/708701841.db2.gz CLVLZBFWLOEIIS-RBSFLKMASA-N 1 2 324.421 1.379 20 30 DDEDLO CCOC(=O)[C@H]([NH2+]C[C@H](O)CC1(C#N)CCC1)[C@H]1CCCOC1 ZINC000886120383 708701981 /nfs/dbraw/zinc/70/19/81/708701981.db2.gz CLVLZBFWLOEIIS-RRFJBIMHSA-N 1 2 324.421 1.379 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000927764719 713050233 /nfs/dbraw/zinc/05/02/33/713050233.db2.gz HPUIGOHNTKSEOW-UHFFFAOYSA-N 1 2 303.391 1.345 20 30 DDEDLO C=CC[C@H]1CCN1C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000890558861 709914191 /nfs/dbraw/zinc/91/41/91/709914191.db2.gz IDYAMXHYYIILRE-HNNXBMFYSA-N 1 2 302.422 1.809 20 30 DDEDLO C/C(=C\C(=O)Nc1cc(C)n(CCC#N)n1)C[NH+]1CCOCC1 ZINC000901284093 709996993 /nfs/dbraw/zinc/99/69/93/709996993.db2.gz VEHLWZSWRKFDRG-JLHYYAGUSA-N 1 2 317.393 1.322 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccccc2CC)C1 ZINC000891605783 710246670 /nfs/dbraw/zinc/24/66/70/710246670.db2.gz IKEWAFCASFMFCP-HNNXBMFYSA-N 1 2 313.401 1.401 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccccc2CC)C1 ZINC000891605783 710246672 /nfs/dbraw/zinc/24/66/72/710246672.db2.gz IKEWAFCASFMFCP-HNNXBMFYSA-N 1 2 313.401 1.401 20 30 DDEDLO CN(C)c1cc(N2CCN(c3ccccc3C#N)CC2)nc[nH+]1 ZINC000892245713 710403208 /nfs/dbraw/zinc/40/32/08/710403208.db2.gz FAVGKDRECTYYKO-UHFFFAOYSA-N 1 2 308.389 1.741 20 30 DDEDLO CN(C)c1cc(N2CCN(c3ccccc3C#N)CC2)[nH+]cn1 ZINC000892245713 710403210 /nfs/dbraw/zinc/40/32/10/710403210.db2.gz FAVGKDRECTYYKO-UHFFFAOYSA-N 1 2 308.389 1.741 20 30 DDEDLO CC(C)[C@H]1CCN(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1=O ZINC000902608340 710814221 /nfs/dbraw/zinc/81/42/21/710814221.db2.gz KTQIJDLISKJZNP-OAHLLOKOSA-N 1 2 306.406 1.786 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)c3ccccc3CC#N)CC[NH2+]2)cn1 ZINC000913473607 713231649 /nfs/dbraw/zinc/23/16/49/713231649.db2.gz BNVSDANQPDXQFW-MRXNPFEDSA-N 1 2 309.373 1.273 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)/C=C\c1ccccc1C#N ZINC000928643558 713247564 /nfs/dbraw/zinc/24/75/64/713247564.db2.gz IWXGQSFEXYYHKI-ZPUOCTKUSA-N 1 2 313.401 1.798 20 30 DDEDLO C[C@H](c1ccccc1)[N@@H+]1CC[C@@H](N[C@@H]2CCCN(O)C2=O)C1 ZINC000895162332 711438133 /nfs/dbraw/zinc/43/81/33/711438133.db2.gz KNQLDDDMSXXADO-FVQBIDKESA-N 1 2 303.406 1.792 20 30 DDEDLO C[C@H](c1ccccc1)[N@H+]1CC[C@@H](N[C@@H]2CCCN(O)C2=O)C1 ZINC000895162332 711438134 /nfs/dbraw/zinc/43/81/34/711438134.db2.gz KNQLDDDMSXXADO-FVQBIDKESA-N 1 2 303.406 1.792 20 30 DDEDLO C#CC1(O)CN(C(=O)N[C@@H](Cn2cc[nH+]c2)c2ccccc2)C1 ZINC000896478889 711731000 /nfs/dbraw/zinc/73/10/00/711731000.db2.gz FISFFAKPVUEERY-HNNXBMFYSA-N 1 2 310.357 1.014 20 30 DDEDLO C/C(=C/C(=O)NOc1cccc(C#N)c1)C[NH+]1CCOCC1 ZINC000913666664 713277882 /nfs/dbraw/zinc/27/78/82/713277882.db2.gz GTUVEVVSMLQPKN-LCYFTJDESA-N 1 2 301.346 1.247 20 30 DDEDLO CNC(=O)N(C)c1ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000905429185 712035558 /nfs/dbraw/zinc/03/55/58/712035558.db2.gz GFNIDWLVQKSJIY-HNNXBMFYSA-N 1 2 319.409 1.080 20 30 DDEDLO CNC(=O)N(C)c1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000905429185 712035559 /nfs/dbraw/zinc/03/55/59/712035559.db2.gz GFNIDWLVQKSJIY-HNNXBMFYSA-N 1 2 319.409 1.080 20 30 DDEDLO Cc1ccn(C[N@H+]2CCC[C@@H](c3ccn(C)n3)C2)c(=O)c1C#N ZINC000905715526 712129579 /nfs/dbraw/zinc/12/95/79/712129579.db2.gz HVRHWGZSUVTIHQ-CQSZACIVSA-N 1 2 311.389 1.599 20 30 DDEDLO Cc1ccn(C[N@@H+]2CCC[C@@H](c3ccn(C)n3)C2)c(=O)c1C#N ZINC000905715526 712129580 /nfs/dbraw/zinc/12/95/80/712129580.db2.gz HVRHWGZSUVTIHQ-CQSZACIVSA-N 1 2 311.389 1.599 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+]2CCO[C@H](C)C2)cc(C)c1C#N ZINC000906487400 712338132 /nfs/dbraw/zinc/33/81/32/712338132.db2.gz CKPFHMURQDWQSP-CQSZACIVSA-N 1 2 301.390 1.626 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+]2CCO[C@H](C)C2)cc(C)c1C#N ZINC000906487400 712338134 /nfs/dbraw/zinc/33/81/34/712338134.db2.gz CKPFHMURQDWQSP-CQSZACIVSA-N 1 2 301.390 1.626 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N2CCC(c3[nH]cc[nH+]3)CC2)cc1 ZINC000907026313 712468540 /nfs/dbraw/zinc/46/85/40/712468540.db2.gz LUDGGVKJHVMEFP-UHFFFAOYSA-N 1 2 315.398 1.959 20 30 DDEDLO CC(=NN[C@@H]1CCS(=O)(=O)C1)c1ccc(-n2cc[nH+]c2)cc1 ZINC000916239087 713448274 /nfs/dbraw/zinc/44/82/74/713448274.db2.gz MUEJYVPMVVLWHD-CQSZACIVSA-N 1 2 318.402 1.373 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000930505846 713852439 /nfs/dbraw/zinc/85/24/39/713852439.db2.gz PEQHIACMQROXGE-ZBFHGGJFSA-N 1 2 312.479 1.913 20 30 DDEDLO NS(=O)(=O)C[C@H]1CCCC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC000931230065 714042948 /nfs/dbraw/zinc/04/29/48/714042948.db2.gz SIXKMXPAFZDWMQ-OAHLLOKOSA-N 1 2 310.394 1.320 20 30 DDEDLO NS(=O)(=O)C[C@H]1CCCC[N@H+]1CC#Cc1ccc(F)cc1 ZINC000931230065 714042952 /nfs/dbraw/zinc/04/29/52/714042952.db2.gz SIXKMXPAFZDWMQ-OAHLLOKOSA-N 1 2 310.394 1.320 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)CCCCC1 ZINC000931296879 714060326 /nfs/dbraw/zinc/06/03/26/714060326.db2.gz OYNYSLILBOEFFS-CABCVRRESA-N 1 2 321.421 1.209 20 30 DDEDLO N#CCc1cccc2c1CC[N@@H+](C[C@H]1CCCS1(=O)=O)C2 ZINC000931333354 714069769 /nfs/dbraw/zinc/06/97/69/714069769.db2.gz SWBIGRGEAFYCGE-OAHLLOKOSA-N 1 2 304.415 1.688 20 30 DDEDLO N#CCc1cccc2c1CC[N@H+](C[C@H]1CCCS1(=O)=O)C2 ZINC000931333354 714069770 /nfs/dbraw/zinc/06/97/70/714069770.db2.gz SWBIGRGEAFYCGE-OAHLLOKOSA-N 1 2 304.415 1.688 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)C1(C#N)CCSCC1 ZINC000931845014 714195676 /nfs/dbraw/zinc/19/56/76/714195676.db2.gz KGCJFTIJLJNBGG-UHFFFAOYSA-N 1 2 311.451 1.250 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)C1(C#N)CCSCC1 ZINC000931845014 714195677 /nfs/dbraw/zinc/19/56/77/714195677.db2.gz KGCJFTIJLJNBGG-UHFFFAOYSA-N 1 2 311.451 1.250 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH2+][C@H](c1ncccn1)C1CC1 ZINC000932362029 714317912 /nfs/dbraw/zinc/31/79/12/714317912.db2.gz ZMPAHMLGXBTQFL-DOMZBBRYSA-N 1 2 301.394 1.525 20 30 DDEDLO COCC#CC[NH+]1CCN(c2cccc3[nH]c(=O)[nH]c32)CC1 ZINC000932511175 714350882 /nfs/dbraw/zinc/35/08/82/714350882.db2.gz BBRXYJVSNFRRAO-UHFFFAOYSA-N 1 2 300.362 1.040 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)N[C@H](CC#N)C(F)(F)F)C1 ZINC000932912078 714436070 /nfs/dbraw/zinc/43/60/70/714436070.db2.gz WMCOATWLOSYNLP-NXEZZACHSA-N 1 2 315.299 1.764 20 30 DDEDLO N#CC[C@@H](NC(=O)NCCNc1cccc[nH+]1)C(F)(F)F ZINC000932928549 714439117 /nfs/dbraw/zinc/43/91/17/714439117.db2.gz NWADHDBGULPHRF-SECBINFHSA-N 1 2 301.272 1.637 20 30 DDEDLO Cc1cc(C)[nH+]c(CN2C[C@@H]3CS(=O)(=O)C[C@]3(C#N)C2)c1 ZINC000932961478 714444923 /nfs/dbraw/zinc/44/49/23/714444923.db2.gz GVJGHORMIPHURM-HIFRSBDPSA-N 1 2 305.403 1.069 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+](C)Cc1cccc(=O)[nH]1 ZINC000933394259 714581437 /nfs/dbraw/zinc/58/14/37/714581437.db2.gz MRGVWTAPXOPGOG-WBMJQRKESA-N 1 2 304.394 1.662 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+](C)Cc1cccc(=O)[nH]1 ZINC000933394259 714581438 /nfs/dbraw/zinc/58/14/38/714581438.db2.gz MRGVWTAPXOPGOG-WBMJQRKESA-N 1 2 304.394 1.662 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[NH+]1CCC2(CC1)CC(=O)C=CO2)C1CC1 ZINC000933653385 714639624 /nfs/dbraw/zinc/63/96/24/714639624.db2.gz DXLPVOHSYQQWMK-INIZCTEOSA-N 1 2 317.389 1.133 20 30 DDEDLO C=CCCC[C@H]1NC(=O)N([C@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000924719172 714648469 /nfs/dbraw/zinc/64/84/69/714648469.db2.gz XPTZBRJJWLFDGY-UONOGXRCSA-N 1 2 314.389 1.937 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1Cc2ncccc2N2CCC[C@H]2C1 ZINC000934492797 714832783 /nfs/dbraw/zinc/83/27/83/714832783.db2.gz GRZVSTUDVUYZAG-BBRMVZONSA-N 1 2 301.390 1.984 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1Cc2ncccc2N2CCC[C@H]2C1 ZINC000934492797 714832787 /nfs/dbraw/zinc/83/27/87/714832787.db2.gz GRZVSTUDVUYZAG-BBRMVZONSA-N 1 2 301.390 1.984 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn3c2CCCC3)C1 ZINC000957102251 715746682 /nfs/dbraw/zinc/74/66/82/715746682.db2.gz NTGWAAMQWDJNCZ-UHFFFAOYSA-N 1 2 300.406 1.389 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn(CC)c2C2CC2)C1 ZINC000957113501 715754104 /nfs/dbraw/zinc/75/41/04/715754104.db2.gz NKUTWTZZFBYEMS-UHFFFAOYSA-N 1 2 314.433 1.950 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc(N(C)C)nn2)C1 ZINC000957287884 715817142 /nfs/dbraw/zinc/81/71/42/715817142.db2.gz ACYVQVWZQAGENM-UHFFFAOYSA-N 1 2 303.410 1.265 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@H]2OCCc3ccsc32)CC1 ZINC000957384297 715874045 /nfs/dbraw/zinc/87/40/45/715874045.db2.gz KIEAALQZMJTZGO-OAHLLOKOSA-N 1 2 318.442 1.920 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2coc(CC)n2)C1 ZINC000957997529 716268076 /nfs/dbraw/zinc/26/80/76/716268076.db2.gz ZLFCQHIJFFQAPB-JQWIXIFHSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2coc(CC)n2)C1 ZINC000957997529 716268081 /nfs/dbraw/zinc/26/80/81/716268081.db2.gz ZLFCQHIJFFQAPB-JQWIXIFHSA-N 1 2 313.785 1.012 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@@H]1CCCN1C(=O)[C@H](C)C#N ZINC000960498264 716581714 /nfs/dbraw/zinc/58/17/14/716581714.db2.gz CNIULOKTEHFSBO-PWSUYJOCSA-N 1 2 307.423 1.429 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@@H]1CCCN1C(=O)[C@H](C)C#N ZINC000960498264 716581718 /nfs/dbraw/zinc/58/17/18/716581718.db2.gz CNIULOKTEHFSBO-PWSUYJOCSA-N 1 2 307.423 1.429 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]2C[N@@H+](C)Cc2cn(C)nn2)C1 ZINC000960847166 716712895 /nfs/dbraw/zinc/71/28/95/716712895.db2.gz NZZNMNQLDKOZSU-HNNXBMFYSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]2C[N@H+](C)Cc2cn(C)nn2)C1 ZINC000960847166 716712898 /nfs/dbraw/zinc/71/28/98/716712898.db2.gz NZZNMNQLDKOZSU-HNNXBMFYSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ncc(C)cn4)C[C@H]32)C1 ZINC000961696422 717063071 /nfs/dbraw/zinc/06/30/71/717063071.db2.gz MHTHYCYRVQMLLQ-FOLVSLTJSA-N 1 2 312.417 1.688 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ncc(C)cn4)C[C@H]32)C1 ZINC000961696422 717063075 /nfs/dbraw/zinc/06/30/75/717063075.db2.gz MHTHYCYRVQMLLQ-FOLVSLTJSA-N 1 2 312.417 1.688 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+]([C@H](C)c4nnc(C)[nH]4)C[C@H]32)C1 ZINC000961732171 717077987 /nfs/dbraw/zinc/07/79/87/717077987.db2.gz LJDPNQMNCBHZHX-ZZVYKPCYSA-N 1 2 315.421 1.577 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+]([C@H](C)c4nnc(C)[nH]4)C[C@H]32)C1 ZINC000961732171 717077992 /nfs/dbraw/zinc/07/79/92/717077992.db2.gz LJDPNQMNCBHZHX-ZZVYKPCYSA-N 1 2 315.421 1.577 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC000961828563 717221735 /nfs/dbraw/zinc/22/17/35/717221735.db2.gz YEEAWXYJHDGCGC-OWYFMNJBSA-N 1 2 317.389 1.121 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC000961828563 717221737 /nfs/dbraw/zinc/22/17/37/717221737.db2.gz YEEAWXYJHDGCGC-OWYFMNJBSA-N 1 2 317.389 1.121 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3C[C@@]3(C)CC)CC2)C1 ZINC000941622586 717233341 /nfs/dbraw/zinc/23/33/41/717233341.db2.gz KDNVNMUOSDBMEZ-SJLPKXTDSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)Cc3ccc(C)s3)CC2)C1 ZINC000941676858 717252323 /nfs/dbraw/zinc/25/23/23/717252323.db2.gz FQYVIYYAYNFJBI-UHFFFAOYSA-N 1 2 317.458 1.061 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccs4)C[C@H]32)nc1 ZINC000962324776 717406693 /nfs/dbraw/zinc/40/66/93/717406693.db2.gz OPFCALSGZFUIQC-VIKVFOODSA-N 1 2 324.409 1.380 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccs4)C[C@H]32)nc1 ZINC000962324776 717406696 /nfs/dbraw/zinc/40/66/96/717406696.db2.gz OPFCALSGZFUIQC-VIKVFOODSA-N 1 2 324.409 1.380 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)[C@@H](C)C1 ZINC000945184235 718416854 /nfs/dbraw/zinc/41/68/54/718416854.db2.gz VWBVVPMHLBONGH-DZGCQCFKSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)[C@@H](C)C1 ZINC000945184235 718416856 /nfs/dbraw/zinc/41/68/56/718416856.db2.gz VWBVVPMHLBONGH-DZGCQCFKSA-N 1 2 323.400 1.545 20 30 DDEDLO C[C@@H]1CCN(CC#N)C[C@@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000967498936 718917792 /nfs/dbraw/zinc/91/77/92/718917792.db2.gz QDGQDCSCEWRVHT-PBHICJAKSA-N 1 2 323.400 1.836 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC000967728861 718992678 /nfs/dbraw/zinc/99/26/78/718992678.db2.gz NOYRPWYWGLISSS-WDEREUQCSA-N 1 2 305.382 1.217 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1cnon1 ZINC000947218005 719077005 /nfs/dbraw/zinc/07/70/05/719077005.db2.gz VAROBGPTOQJHQU-MNOVXSKESA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1cnon1 ZINC000947218005 719077010 /nfs/dbraw/zinc/07/70/10/719077010.db2.gz VAROBGPTOQJHQU-MNOVXSKESA-N 1 2 314.349 1.052 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2n[nH]c(C)n2)C[C@H]1C ZINC000947297437 719107781 /nfs/dbraw/zinc/10/77/81/719107781.db2.gz WURGLHROUUVITD-PWSUYJOCSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2n[nH]c(C)n2)C[C@H]1C ZINC000947297437 719107782 /nfs/dbraw/zinc/10/77/82/719107782.db2.gz WURGLHROUUVITD-PWSUYJOCSA-N 1 2 311.817 1.377 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCN1CC#N ZINC000947572550 719215971 /nfs/dbraw/zinc/21/59/71/719215971.db2.gz PPXIWXXXNAZOSB-HOCLYGCPSA-N 1 2 323.400 1.979 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCN1CC#N ZINC000947891559 719299773 /nfs/dbraw/zinc/29/97/73/719299773.db2.gz SVLTUGZMMOCXPA-KBPBESRZSA-N 1 2 324.388 1.374 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)C[C@H]1C ZINC000948025943 719336332 /nfs/dbraw/zinc/33/63/32/719336332.db2.gz PDDRBZJOBKRJIO-OCCSQVGLSA-N 1 2 311.389 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)C[C@H]1C ZINC000948025943 719336333 /nfs/dbraw/zinc/33/63/33/719336333.db2.gz PDDRBZJOBKRJIO-OCCSQVGLSA-N 1 2 311.389 1.564 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230262 719465059 /nfs/dbraw/zinc/46/50/59/719465059.db2.gz DHDDZTXSOVCEPC-MWLCHTKSSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230262 719465055 /nfs/dbraw/zinc/46/50/55/719465055.db2.gz DHDDZTXSOVCEPC-MWLCHTKSSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[N@@H+](Cc3cnnn3C)CC[C@@H]2C)C1 ZINC000968275289 719483632 /nfs/dbraw/zinc/48/36/32/719483632.db2.gz QRTLYQPXFJKRHA-ZFWWWQNUSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[N@H+](Cc3cnnn3C)CC[C@@H]2C)C1 ZINC000968275289 719483635 /nfs/dbraw/zinc/48/36/35/719483635.db2.gz QRTLYQPXFJKRHA-ZFWWWQNUSA-N 1 2 317.437 1.498 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CC(=O)N(C(CC)CC)C2)CC1 ZINC000948614722 719589929 /nfs/dbraw/zinc/58/99/29/719589929.db2.gz KTZQASPPPJCYQC-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968635193 719705624 /nfs/dbraw/zinc/70/56/24/719705624.db2.gz GYBXTKRBFCWPLR-LRDDRELGSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968635193 719705627 /nfs/dbraw/zinc/70/56/27/719705627.db2.gz GYBXTKRBFCWPLR-LRDDRELGSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1OCC[C@H]1C ZINC000948962677 719807601 /nfs/dbraw/zinc/80/76/01/719807601.db2.gz RKHHPBSMDRNEAE-JLSDUUJJSA-N 1 2 312.413 1.760 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1OCC[C@H]1C ZINC000948962677 719807606 /nfs/dbraw/zinc/80/76/06/719807606.db2.gz RKHHPBSMDRNEAE-JLSDUUJJSA-N 1 2 312.413 1.760 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn(C)n1 ZINC000948969347 719815929 /nfs/dbraw/zinc/81/59/29/719815929.db2.gz GXZLBEJLMIDWTJ-KRWDZBQOSA-N 1 2 323.400 1.168 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn(C)n1 ZINC000948969347 719815937 /nfs/dbraw/zinc/81/59/37/719815937.db2.gz GXZLBEJLMIDWTJ-KRWDZBQOSA-N 1 2 323.400 1.168 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnon1 ZINC000948974840 719819003 /nfs/dbraw/zinc/81/90/03/719819003.db2.gz MHLUQNSKWSEAGG-MRXNPFEDSA-N 1 2 310.357 1.422 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnon1 ZINC000948974840 719819009 /nfs/dbraw/zinc/81/90/09/719819009.db2.gz MHLUQNSKWSEAGG-MRXNPFEDSA-N 1 2 310.357 1.422 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn(CC)cn1 ZINC000948982506 719823131 /nfs/dbraw/zinc/82/31/31/719823131.db2.gz MZQYQYJQRUOSBZ-SFHVURJKSA-N 1 2 322.412 1.865 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn(CC)cn1 ZINC000948982506 719823136 /nfs/dbraw/zinc/82/31/36/719823136.db2.gz MZQYQYJQRUOSBZ-SFHVURJKSA-N 1 2 322.412 1.865 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(C(=O)CCc3ccon3)CC2)c1 ZINC000949299172 720001519 /nfs/dbraw/zinc/00/15/19/720001519.db2.gz DHTHDEBEPUOMQF-UHFFFAOYSA-N 1 2 324.384 1.823 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3scnc3C)CC2)C1 ZINC000949308125 720006960 /nfs/dbraw/zinc/00/69/60/720006960.db2.gz VVDUBYYFYMOIJA-UHFFFAOYSA-N 1 2 319.430 1.392 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3scnc3C)CC2)C1 ZINC000949308125 720006962 /nfs/dbraw/zinc/00/69/62/720006962.db2.gz VVDUBYYFYMOIJA-UHFFFAOYSA-N 1 2 319.430 1.392 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CC3CCOCC3)CC2)C1 ZINC000949320498 720015191 /nfs/dbraw/zinc/01/51/91/720015191.db2.gz OERYNDWYWOPVND-UHFFFAOYSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CC3CCOCC3)CC2)C1 ZINC000949320498 720015194 /nfs/dbraw/zinc/01/51/94/720015194.db2.gz OERYNDWYWOPVND-UHFFFAOYSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@]34C[C@@H]3CCCC4)CC2)C1 ZINC000949468765 720108977 /nfs/dbraw/zinc/10/89/77/720108977.db2.gz LHBXFNHNNPSROW-LPHOPBHVSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@]34C[C@@H]3CCCC4)CC2)C1 ZINC000949468765 720108978 /nfs/dbraw/zinc/10/89/78/720108978.db2.gz LHBXFNHNNPSROW-LPHOPBHVSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cc(Cl)no3)CC2)C1 ZINC000949515233 720135183 /nfs/dbraw/zinc/13/51/83/720135183.db2.gz GMMGKDIKGMMZFV-UHFFFAOYSA-N 1 2 323.780 1.268 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cc(Cl)no3)CC2)C1 ZINC000949515233 720135185 /nfs/dbraw/zinc/13/51/85/720135185.db2.gz GMMGKDIKGMMZFV-UHFFFAOYSA-N 1 2 323.780 1.268 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000949869634 720392549 /nfs/dbraw/zinc/39/25/49/720392549.db2.gz SEDVJMWWHKSGPK-LBPRGKRZSA-N 1 2 305.344 1.201 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC000950039275 720463298 /nfs/dbraw/zinc/46/32/98/720463298.db2.gz UWORLJZOBMYRMD-QGZVFWFLSA-N 1 2 319.453 1.641 20 30 DDEDLO C#CC[NH+]1CC([C@@H](C)NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC000970044730 720609721 /nfs/dbraw/zinc/60/97/21/720609721.db2.gz NEYSOLQGRCKWQY-SECBINFHSA-N 1 2 306.797 1.624 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccn(C(C)(C)C)n2)C1 ZINC000950447445 720637062 /nfs/dbraw/zinc/63/70/62/720637062.db2.gz OEPHAUXNDCFWTK-UHFFFAOYSA-N 1 2 302.422 1.808 20 30 DDEDLO C=CC[NH+]1CC([C@H](C)NC(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC000970165424 720648790 /nfs/dbraw/zinc/64/87/90/720648790.db2.gz DBFICRFFFUNBON-QMMMGPOBSA-N 1 2 316.327 1.973 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cc(=O)[nH]c3ncn(C)c32)C1 ZINC000950496561 720658303 /nfs/dbraw/zinc/65/83/03/720658303.db2.gz BKSIUIOIISWHEY-UHFFFAOYSA-N 1 2 315.377 1.006 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)N[C@@H](CC(C)C)C2)C1 ZINC000950687080 720735390 /nfs/dbraw/zinc/73/53/90/720735390.db2.gz JWPMOWFRMWCINI-CABCVRRESA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC000970409530 720750800 /nfs/dbraw/zinc/75/08/00/720750800.db2.gz AFJCYFBVKJRFMT-WCQYABFASA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2COCCN2CCCC)C1 ZINC000950989786 720866908 /nfs/dbraw/zinc/86/69/08/720866908.db2.gz MWYYHFYTYIILLX-KRWDZBQOSA-N 1 2 323.481 1.596 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C2C[NH+](Cc3ccn(C)n3)C2)cn1 ZINC000970767387 720928337 /nfs/dbraw/zinc/92/83/37/720928337.db2.gz QUYKNJJAENQHRS-CYBMUJFWSA-N 1 2 323.400 1.047 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)C2CCN(CC(F)F)CC2)C1 ZINC000951371762 721005843 /nfs/dbraw/zinc/00/58/43/721005843.db2.gz HDNZCAFPYFGWJU-UHFFFAOYSA-N 1 2 315.408 1.682 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@H]2CCN2C(=O)[C@@H](C)C#N)c(C)[nH+]1 ZINC000951490244 721050008 /nfs/dbraw/zinc/05/00/08/721050008.db2.gz MUAWJKNADDLSTJ-SMDDNHRTSA-N 1 2 314.389 1.497 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971218748 721185952 /nfs/dbraw/zinc/18/59/52/721185952.db2.gz RXAGBRVCCFOELZ-LRDDRELGSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971218748 721185956 /nfs/dbraw/zinc/18/59/56/721185956.db2.gz RXAGBRVCCFOELZ-LRDDRELGSA-N 1 2 314.364 1.890 20 30 DDEDLO CCc1noc([C@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971505480 721327219 /nfs/dbraw/zinc/32/72/19/721327219.db2.gz SSFZAGXFILZHPU-GXTWGEPZSA-N 1 2 316.405 1.639 20 30 DDEDLO CCc1noc([C@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971505480 721327222 /nfs/dbraw/zinc/32/72/22/721327222.db2.gz SSFZAGXFILZHPU-GXTWGEPZSA-N 1 2 316.405 1.639 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3n[nH]c4ccccc43)[C@H]2C1 ZINC001083263830 735427141 /nfs/dbraw/zinc/42/71/41/735427141.db2.gz RNXXYLWLZYMPAK-JKSUJKDBSA-N 1 2 324.384 1.111 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCCN(C(=O)c2c[nH]c(C)cc2=O)C1 ZINC001027638306 738498941 /nfs/dbraw/zinc/49/89/41/738498941.db2.gz QJEIUKLUXDIDED-CYBMUJFWSA-N 1 2 323.824 1.972 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCCN(C(=O)c2c[nH]c(C)cc2=O)C1 ZINC001027638306 738498946 /nfs/dbraw/zinc/49/89/46/738498946.db2.gz QJEIUKLUXDIDED-CYBMUJFWSA-N 1 2 323.824 1.972 20 30 DDEDLO N#Cc1cccnc1N1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c[nH+]c[nH]1 ZINC001058806586 738612069 /nfs/dbraw/zinc/61/20/69/738612069.db2.gz ITKCXPUWKDQYBK-GASCZTMLSA-N 1 2 322.372 1.099 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098525760 732546885 /nfs/dbraw/zinc/54/68/85/732546885.db2.gz AFXWAWRKLJXDCI-XJKSGUPXSA-N 1 2 304.394 1.373 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(NC(C)=O)ccc1F ZINC001039071922 732627876 /nfs/dbraw/zinc/62/78/76/732627876.db2.gz FSWCILKLICHWAZ-ZDUSSCGKSA-N 1 2 303.337 1.221 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(NC(C)=O)ccc1F ZINC001039071922 732627879 /nfs/dbraw/zinc/62/78/79/732627879.db2.gz FSWCILKLICHWAZ-ZDUSSCGKSA-N 1 2 303.337 1.221 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CNC(=O)c1cnc([C@@H]2CCCO2)s1 ZINC001038793150 738675361 /nfs/dbraw/zinc/67/53/61/738675361.db2.gz ZVJKNMQLAAHTCO-STQMWFEESA-N 1 2 319.430 1.822 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1cnc([C@@H]2CCCO2)s1 ZINC001038793150 738675363 /nfs/dbraw/zinc/67/53/63/738675363.db2.gz ZVJKNMQLAAHTCO-STQMWFEESA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cc(F)c3)[C@H]2C1 ZINC001083203008 733154637 /nfs/dbraw/zinc/15/46/37/733154637.db2.gz XBJWEXDCJNZTHV-JKSUJKDBSA-N 1 2 302.349 1.293 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cc(F)c3)[C@H]2C1 ZINC001083203008 733154638 /nfs/dbraw/zinc/15/46/38/733154638.db2.gz XBJWEXDCJNZTHV-JKSUJKDBSA-N 1 2 302.349 1.293 20 30 DDEDLO C=CCOCC[N@H+]1C[C@@H](C)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001207028712 733193117 /nfs/dbraw/zinc/19/31/17/733193117.db2.gz BTSMMENVSQPKQI-MXWKQRLJSA-N 1 2 312.307 1.526 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001207028712 733193119 /nfs/dbraw/zinc/19/31/19/733193119.db2.gz BTSMMENVSQPKQI-MXWKQRLJSA-N 1 2 312.307 1.526 20 30 DDEDLO C=CCOCC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001207028712 733193122 /nfs/dbraw/zinc/19/31/22/733193122.db2.gz BTSMMENVSQPKQI-MXWKQRLJSA-N 1 2 312.307 1.526 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001207028712 733193124 /nfs/dbraw/zinc/19/31/24/733193124.db2.gz BTSMMENVSQPKQI-MXWKQRLJSA-N 1 2 312.307 1.526 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(OCC)c3)[C@H]2C1 ZINC001083206085 733232184 /nfs/dbraw/zinc/23/21/84/733232184.db2.gz GWTFRHULAPKXHR-DLBZAZTESA-N 1 2 314.385 1.244 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(OCC)c3)[C@H]2C1 ZINC001083206085 733232187 /nfs/dbraw/zinc/23/21/87/733232187.db2.gz GWTFRHULAPKXHR-DLBZAZTESA-N 1 2 314.385 1.244 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2C[N@H+](Cc3cnon3)CC[C@@H]21 ZINC001021582254 733340194 /nfs/dbraw/zinc/34/01/94/733340194.db2.gz VHFCWLCEQSIIBY-ZBFHGGJFSA-N 1 2 314.389 1.296 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2C[N@@H+](Cc3cnon3)CC[C@@H]21 ZINC001021582254 733340197 /nfs/dbraw/zinc/34/01/97/733340197.db2.gz VHFCWLCEQSIIBY-ZBFHGGJFSA-N 1 2 314.389 1.296 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2C[N@H+](Cc3cnon3)CC[C@H]21 ZINC001021582247 733340458 /nfs/dbraw/zinc/34/04/58/733340458.db2.gz VHFCWLCEQSIIBY-GDBMZVCRSA-N 1 2 314.389 1.296 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2C[N@@H+](Cc3cnon3)CC[C@H]21 ZINC001021582247 733340461 /nfs/dbraw/zinc/34/04/61/733340461.db2.gz VHFCWLCEQSIIBY-GDBMZVCRSA-N 1 2 314.389 1.296 20 30 DDEDLO N#CCN1CC[C@H]2[C@@H](CCCN2C(=O)CCn2cc[nH+]c2)C1 ZINC001021647226 733400590 /nfs/dbraw/zinc/40/05/90/733400590.db2.gz WXPSHWDODHRSIA-GJZGRUSLSA-N 1 2 301.394 1.110 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H]2CN(CC#N)CC[C@@H]21 ZINC001021720967 733483369 /nfs/dbraw/zinc/48/33/69/733483369.db2.gz ANSFMTQVORSOPM-HIFRSBDPSA-N 1 2 301.394 1.097 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)C)no3)[C@H]2C1 ZINC001083214697 733559187 /nfs/dbraw/zinc/55/91/87/733559187.db2.gz MLZRWXSXIJOQQO-GOEBONIOSA-N 1 2 317.389 1.347 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)C)no3)[C@H]2C1 ZINC001083214697 733559193 /nfs/dbraw/zinc/55/91/93/733559193.db2.gz MLZRWXSXIJOQQO-GOEBONIOSA-N 1 2 317.389 1.347 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)C[C@H]1CCNCC#N ZINC001104352250 733658436 /nfs/dbraw/zinc/65/84/36/733658436.db2.gz LQOBXEONTLPYPZ-CHWSQXEVSA-N 1 2 311.389 1.774 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCN(C(=O)[C@H](C)C#N)CC[C@@H]3C2)cc[nH+]1 ZINC001067453346 734352869 /nfs/dbraw/zinc/35/28/69/734352869.db2.gz UKDCOMQGQAHVFC-YUELXQCFSA-N 1 2 313.405 1.620 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001058548527 734738429 /nfs/dbraw/zinc/73/84/29/734738429.db2.gz QXBXUGZUDCLPTC-MJBXVCDLSA-N 1 2 302.378 1.071 20 30 DDEDLO COCC(=O)N1CC[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001075464350 735071488 /nfs/dbraw/zinc/07/14/88/735071488.db2.gz ZGUORASGPPSJCB-XJKSGUPXSA-N 1 2 317.364 1.376 20 30 DDEDLO COCC(=O)N1CC[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001075464350 735071491 /nfs/dbraw/zinc/07/14/91/735071491.db2.gz ZGUORASGPPSJCB-XJKSGUPXSA-N 1 2 317.364 1.376 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCn2cncc2C1 ZINC001027976523 738896293 /nfs/dbraw/zinc/89/62/93/738896293.db2.gz CGCKBXRBBZORPG-ZIAGYGMSSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCn2cncc2C1 ZINC001027976523 738896297 /nfs/dbraw/zinc/89/62/97/738896297.db2.gz CGCKBXRBBZORPG-ZIAGYGMSSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001024796780 736097875 /nfs/dbraw/zinc/09/78/75/736097875.db2.gz HFLQLQRQVYPBBE-GFCCVEGCSA-N 1 2 324.812 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001024796780 736097880 /nfs/dbraw/zinc/09/78/80/736097880.db2.gz HFLQLQRQVYPBBE-GFCCVEGCSA-N 1 2 324.812 1.450 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nnc(OCC)s2)CCC1 ZINC001129143360 751367549 /nfs/dbraw/zinc/36/75/49/751367549.db2.gz QYHLZEYECPGXMM-UHFFFAOYSA-N 1 2 322.434 1.336 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCCC[C@H]1[C@@H]1CCCN1CC#N ZINC001025287972 736408313 /nfs/dbraw/zinc/40/83/13/736408313.db2.gz IGKNGNQMTUHALM-HOTGVXAUSA-N 1 2 315.421 1.332 20 30 DDEDLO Cc1nc(NC[C@@H](C)N(C)C(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001104603690 736445856 /nfs/dbraw/zinc/44/58/56/736445856.db2.gz JDKNGBRCSRDTKH-GFCCVEGCSA-N 1 2 312.377 1.417 20 30 DDEDLO CCN(CCNC(=O)Cc1c[nH]c[nH+]1)c1ccc(C#N)c(C)n1 ZINC001100735719 736519831 /nfs/dbraw/zinc/51/98/31/736519831.db2.gz VHHDMNIKRMEWLH-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)c3ccoc3)C2)nn1 ZINC001098611867 737363998 /nfs/dbraw/zinc/36/39/98/737363998.db2.gz TZEJKDVURSQREO-OAHLLOKOSA-N 1 2 313.361 1.071 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CCC(C)C)C2)nn1 ZINC001098614875 737412726 /nfs/dbraw/zinc/41/27/26/737412726.db2.gz BUXZWWLMTLWMEW-MRXNPFEDSA-N 1 2 317.437 1.601 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@H+](CC#Cc2cccc(Cl)c2)C[C@@H]1O ZINC001083296768 737489263 /nfs/dbraw/zinc/48/92/63/737489263.db2.gz GXTBCLXATOLFTJ-CABCVRRESA-N 1 2 306.793 1.263 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@@H+](CC#Cc2cccc(Cl)c2)C[C@@H]1O ZINC001083296768 737489265 /nfs/dbraw/zinc/48/92/65/737489265.db2.gz GXTBCLXATOLFTJ-CABCVRRESA-N 1 2 306.793 1.263 20 30 DDEDLO C=CCCCC(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105317098 737828608 /nfs/dbraw/zinc/82/86/08/737828608.db2.gz IMLJJHUTLKNQDV-UHFFFAOYSA-N 1 2 301.394 1.131 20 30 DDEDLO N#Cc1ccc(N2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001058771386 738250676 /nfs/dbraw/zinc/25/06/76/738250676.db2.gz BVSPVLYNVRZGSX-OKILXGFUSA-N 1 2 322.372 1.099 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)c(C)o1 ZINC001075615912 739187503 /nfs/dbraw/zinc/18/75/03/739187503.db2.gz CTERFYUQULUGKM-VZJVUDMVSA-N 1 2 302.378 1.484 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)c(C)o1 ZINC001075615912 739187505 /nfs/dbraw/zinc/18/75/05/739187505.db2.gz CTERFYUQULUGKM-VZJVUDMVSA-N 1 2 302.378 1.484 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cn(CCC)nn1 ZINC001028231243 739203121 /nfs/dbraw/zinc/20/31/21/739203121.db2.gz YXHDTHBVPXTBAI-GFCCVEGCSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cn(CCC)nn1 ZINC001028231243 739203123 /nfs/dbraw/zinc/20/31/23/739203123.db2.gz YXHDTHBVPXTBAI-GFCCVEGCSA-N 1 2 311.817 1.635 20 30 DDEDLO C[C@H](CCCNc1ccc(C#N)nc1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114983204 739212503 /nfs/dbraw/zinc/21/25/03/739212503.db2.gz DQLDQMKUMYMMRL-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001035369464 751433623 /nfs/dbraw/zinc/43/36/23/751433623.db2.gz CMVARHHYEFOQBH-GJZGRUSLSA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001035369464 751433627 /nfs/dbraw/zinc/43/36/27/751433627.db2.gz CMVARHHYEFOQBH-GJZGRUSLSA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cncc(C(N)=O)c1 ZINC001028322421 739354714 /nfs/dbraw/zinc/35/47/14/739354714.db2.gz DCDDDIFFBIITRN-ZDUSSCGKSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cncc(C(N)=O)c1 ZINC001028322421 739354720 /nfs/dbraw/zinc/35/47/20/739354720.db2.gz DCDDDIFFBIITRN-ZDUSSCGKSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)cn1 ZINC001028345348 739381884 /nfs/dbraw/zinc/38/18/84/739381884.db2.gz DXYGQLMCTHOALW-GFCCVEGCSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)cn1 ZINC001028345348 739381888 /nfs/dbraw/zinc/38/18/88/739381888.db2.gz DXYGQLMCTHOALW-GFCCVEGCSA-N 1 2 322.796 1.127 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@@H](C)C(C)(C)C)C2)nn1 ZINC001105366450 739392911 /nfs/dbraw/zinc/39/29/11/739392911.db2.gz QEGDWUHZUISQMZ-CYBMUJFWSA-N 1 2 317.437 1.457 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccnn2CC(C)C)C1 ZINC001035386390 751456717 /nfs/dbraw/zinc/45/67/17/751456717.db2.gz NIWWNRQBWJQLHV-CQSZACIVSA-N 1 2 306.410 1.156 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccnn2CC(C)C)C1 ZINC001035386390 751456722 /nfs/dbraw/zinc/45/67/22/751456722.db2.gz NIWWNRQBWJQLHV-CQSZACIVSA-N 1 2 306.410 1.156 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+]Cc1nc(CCC(C)C)no1 ZINC001126385709 739681629 /nfs/dbraw/zinc/68/16/29/739681629.db2.gz YFGRJXFZZUSDTE-INIZCTEOSA-N 1 2 324.425 1.191 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C(C)C)nc2)C1 ZINC001035400272 751470187 /nfs/dbraw/zinc/47/01/87/751470187.db2.gz BBROJGOISGSIQM-OAHLLOKOSA-N 1 2 303.406 1.822 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C(C)C)nc2)C1 ZINC001035400272 751470191 /nfs/dbraw/zinc/47/01/91/751470191.db2.gz BBROJGOISGSIQM-OAHLLOKOSA-N 1 2 303.406 1.822 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H](C)C3CCC3)C2)nn1 ZINC001098685856 739761668 /nfs/dbraw/zinc/76/16/68/739761668.db2.gz RZKDIZHPRNAWCG-BBRMVZONSA-N 1 2 315.421 1.211 20 30 DDEDLO CC1CC(C(=O)N[C@@H]2C[N@H+](Cc3ccc(C#N)s3)C[C@@H]2O)C1 ZINC001083398025 739765382 /nfs/dbraw/zinc/76/53/82/739765382.db2.gz KHOHTLLRVNLUBX-FBDPFYNOSA-N 1 2 319.430 1.327 20 30 DDEDLO CC1CC(C(=O)N[C@@H]2C[N@@H+](Cc3ccc(C#N)s3)C[C@@H]2O)C1 ZINC001083398025 739765385 /nfs/dbraw/zinc/76/53/85/739765385.db2.gz KHOHTLLRVNLUBX-FBDPFYNOSA-N 1 2 319.430 1.327 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001035429914 751479339 /nfs/dbraw/zinc/47/93/39/751479339.db2.gz VGUSQZWOFBKFHH-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001035429914 751479345 /nfs/dbraw/zinc/47/93/45/751479345.db2.gz VGUSQZWOFBKFHH-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001035443027 751519751 /nfs/dbraw/zinc/51/97/51/751519751.db2.gz AXYNUJHRRIDJLO-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001035443027 751519753 /nfs/dbraw/zinc/51/97/53/751519753.db2.gz AXYNUJHRRIDJLO-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)[C@H]1CC ZINC001087780703 740748091 /nfs/dbraw/zinc/74/80/91/740748091.db2.gz UNFYBTMNAIVYHC-VBNZEHGJSA-N 1 2 314.433 1.750 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)[C@H]1CC ZINC001087780703 740748093 /nfs/dbraw/zinc/74/80/93/740748093.db2.gz UNFYBTMNAIVYHC-VBNZEHGJSA-N 1 2 314.433 1.750 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3[C@@H](CC)C(N)=O)CC1 ZINC001032607542 751593101 /nfs/dbraw/zinc/59/31/01/751593101.db2.gz WISBFBCJRVYOFX-KKUMJFAQSA-N 1 2 305.422 1.282 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3[C@@H](CC)C(N)=O)CC1 ZINC001032607542 751593107 /nfs/dbraw/zinc/59/31/07/751593107.db2.gz WISBFBCJRVYOFX-KKUMJFAQSA-N 1 2 305.422 1.282 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)cc2Cl)C1 ZINC001035546893 751612867 /nfs/dbraw/zinc/61/28/67/751612867.db2.gz AHYIOSIYGJRHMZ-ZDUSSCGKSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)cc2Cl)C1 ZINC001035546893 751612876 /nfs/dbraw/zinc/61/28/76/751612876.db2.gz AHYIOSIYGJRHMZ-ZDUSSCGKSA-N 1 2 324.808 1.965 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2csc(Cl)c2)C1 ZINC001035533270 751614467 /nfs/dbraw/zinc/61/44/67/751614467.db2.gz NELIGQVBESCICF-GFCCVEGCSA-N 1 2 312.822 1.855 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2csc(Cl)c2)C1 ZINC001035533270 751614471 /nfs/dbraw/zinc/61/44/71/751614471.db2.gz NELIGQVBESCICF-GFCCVEGCSA-N 1 2 312.822 1.855 20 30 DDEDLO CCc1nnc(C[N@H+](C)C[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC001029678840 741219400 /nfs/dbraw/zinc/21/94/00/741219400.db2.gz TXMJYWPIQPBGGA-RYUDHWBXSA-N 1 2 321.450 1.540 20 30 DDEDLO CCc1nnc(C[N@@H+](C)C[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC001029678840 741219403 /nfs/dbraw/zinc/21/94/03/741219403.db2.gz TXMJYWPIQPBGGA-RYUDHWBXSA-N 1 2 321.450 1.540 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001035570492 751623954 /nfs/dbraw/zinc/62/39/54/751623954.db2.gz ANJMRVHFCQVVMB-ZDUSSCGKSA-N 1 2 320.437 1.714 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001035570492 751623962 /nfs/dbraw/zinc/62/39/62/751623962.db2.gz ANJMRVHFCQVVMB-ZDUSSCGKSA-N 1 2 320.437 1.714 20 30 DDEDLO CN(CCN(C)c1cccc(F)c1C#N)C(=O)Cn1cc[nH+]c1 ZINC001105419438 741407806 /nfs/dbraw/zinc/40/78/06/741407806.db2.gz YVRSUPDQNIWMCL-UHFFFAOYSA-N 1 2 315.352 1.489 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](NC(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001059665773 741515241 /nfs/dbraw/zinc/51/52/41/741515241.db2.gz NMYIIHINGVIVOW-OKILXGFUSA-N 1 2 310.361 1.218 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)CCC3)C1 ZINC001035601261 751659099 /nfs/dbraw/zinc/65/90/99/751659099.db2.gz WGIOPIMJCUMNSC-KRWDZBQOSA-N 1 2 300.402 1.792 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)CCC3)C1 ZINC001035601261 751659104 /nfs/dbraw/zinc/65/91/04/751659104.db2.gz WGIOPIMJCUMNSC-KRWDZBQOSA-N 1 2 300.402 1.792 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncccc2OC)C1 ZINC001035583812 751676705 /nfs/dbraw/zinc/67/67/05/751676705.db2.gz MNUOMLSZPPIISP-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncccc2OC)C1 ZINC001035583812 751676706 /nfs/dbraw/zinc/67/67/06/751676706.db2.gz MNUOMLSZPPIISP-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO N#CC1(c2cc[nH+]c(NCC3CCS(=O)(=O)CC3)c2)CC1 ZINC001168293816 742158129 /nfs/dbraw/zinc/15/81/29/742158129.db2.gz QEYGZWVXZJGIOA-UHFFFAOYSA-N 1 2 305.403 1.873 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001014445181 742184768 /nfs/dbraw/zinc/18/47/68/742184768.db2.gz WPGSDJUMUBYLIE-NWDGAFQWSA-N 1 2 307.419 1.678 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001014445181 742184770 /nfs/dbraw/zinc/18/47/70/742184770.db2.gz WPGSDJUMUBYLIE-NWDGAFQWSA-N 1 2 307.419 1.678 20 30 DDEDLO Cc1nc(NC[C@@H]2CCCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001060011862 742204530 /nfs/dbraw/zinc/20/45/30/742204530.db2.gz JACBIDZIADTSAP-ZDUSSCGKSA-N 1 2 324.388 1.949 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc3nnn(C)c3c2)C1 ZINC001016102017 751744651 /nfs/dbraw/zinc/74/46/51/751744651.db2.gz SBEPHVHEHIWVDQ-GFCCVEGCSA-N 1 2 319.796 1.525 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc3nnn(C)c3c2)C1 ZINC001016102017 751744656 /nfs/dbraw/zinc/74/46/56/751744656.db2.gz SBEPHVHEHIWVDQ-GFCCVEGCSA-N 1 2 319.796 1.525 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cc[n+]([O-])cc1)CC2 ZINC001035644747 751749630 /nfs/dbraw/zinc/74/96/30/751749630.db2.gz FJQAHZOWXOCUNI-UHFFFAOYSA-N 1 2 321.808 1.611 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2nsc3ccccc32)C1 ZINC001077050184 743196751 /nfs/dbraw/zinc/19/67/51/743196751.db2.gz ZCRSHEOMVHUTCQ-CHWSQXEVSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2nsc3ccccc32)C1 ZINC001077050184 743196756 /nfs/dbraw/zinc/19/67/56/743196756.db2.gz ZCRSHEOMVHUTCQ-CHWSQXEVSA-N 1 2 315.398 1.095 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@H](C)C1 ZINC001121509290 782542100 /nfs/dbraw/zinc/54/21/00/782542100.db2.gz DIXJEGCHAKBSAP-MJBXVCDLSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@H](C)C1 ZINC001121509290 782542105 /nfs/dbraw/zinc/54/21/05/782542105.db2.gz DIXJEGCHAKBSAP-MJBXVCDLSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccccc2COC)C1 ZINC001108047494 743234627 /nfs/dbraw/zinc/23/46/27/743234627.db2.gz HLSHXWJXUQDLAC-SFHVURJKSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccccc2COC)C1 ZINC001108047494 743234633 /nfs/dbraw/zinc/23/46/33/743234633.db2.gz HLSHXWJXUQDLAC-SFHVURJKSA-N 1 2 318.417 1.840 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001061104397 743241051 /nfs/dbraw/zinc/24/10/51/743241051.db2.gz CHMIDFLMZOEVIU-KGLIPLIRSA-N 1 2 324.388 1.758 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001077116653 743253944 /nfs/dbraw/zinc/25/39/44/743253944.db2.gz VNAWTEXZNXXHEG-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC001077130481 743261889 /nfs/dbraw/zinc/26/18/89/743261889.db2.gz AOLZYZSCDUOKIU-VXGBXAGGSA-N 1 2 320.418 1.055 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC001077130481 743261894 /nfs/dbraw/zinc/26/18/94/743261894.db2.gz AOLZYZSCDUOKIU-VXGBXAGGSA-N 1 2 320.418 1.055 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([NH2+]Cc2ncc(C(C)C)o2)C1 ZINC001182204500 743516599 /nfs/dbraw/zinc/51/65/99/743516599.db2.gz QKBQFXYQSXRCIJ-DYVFJYSZSA-N 1 2 321.421 1.816 20 30 DDEDLO C#CCCCC(=O)NCc1cnn2c1C[N@H+](C[C@@H](C)OC)CC2 ZINC001128326899 743598632 /nfs/dbraw/zinc/59/86/32/743598632.db2.gz HBVJIPBXNHJOIA-CQSZACIVSA-N 1 2 318.421 1.153 20 30 DDEDLO C#CCCCC(=O)NCc1cnn2c1C[N@@H+](C[C@@H](C)OC)CC2 ZINC001128326899 743598637 /nfs/dbraw/zinc/59/86/37/743598637.db2.gz HBVJIPBXNHJOIA-CQSZACIVSA-N 1 2 318.421 1.153 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098672442 743637679 /nfs/dbraw/zinc/63/76/79/743637679.db2.gz FKZHXHNIZPSYJJ-AWEZNQCLSA-N 1 2 321.400 1.160 20 30 DDEDLO C=CCCC(=O)NC[C@@H](O)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001105739057 743771363 /nfs/dbraw/zinc/77/13/63/743771363.db2.gz FSGBNOJLXOCJKR-ZDUSSCGKSA-N 1 2 304.394 1.518 20 30 DDEDLO C=C1CN(C(=O)OC(C)(C)C)[C@](C)(C(=O)NC2C[NH+](C)C2)C1 ZINC001183626451 743876232 /nfs/dbraw/zinc/87/62/32/743876232.db2.gz NYXLIWGETNTGCN-INIZCTEOSA-N 1 2 309.410 1.372 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](O)CNc2cc[nH+]c(C)n2)CCCC1 ZINC001105886878 744012829 /nfs/dbraw/zinc/01/28/29/744012829.db2.gz VZYXMBHQACVISM-AWEZNQCLSA-N 1 2 318.421 1.811 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1 ZINC001184645400 744077634 /nfs/dbraw/zinc/07/76/34/744077634.db2.gz DJNDVPQBGGVWOD-IUODEOHRSA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCn1cccc1C(=O)NC1C[NH+](C[C@@H]2CCCCO2)C1 ZINC001030447594 744168278 /nfs/dbraw/zinc/16/82/78/744168278.db2.gz DHHPAISQALJTFI-HNNXBMFYSA-N 1 2 303.406 1.657 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1c[nH]c(=O)cn1)CC2 ZINC001035778507 751894522 /nfs/dbraw/zinc/89/45/22/751894522.db2.gz LFMAMRGNKBBMOH-UHFFFAOYSA-N 1 2 322.796 1.060 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)c3ccco3)C2)nn1 ZINC001185855961 744300708 /nfs/dbraw/zinc/30/07/08/744300708.db2.gz KXIJXNGHOPFIEI-AWEZNQCLSA-N 1 2 313.361 1.071 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CC3CCC3)C2)nn1 ZINC001185898778 744304902 /nfs/dbraw/zinc/30/49/02/744304902.db2.gz GFHSYAJBGFHYPX-INIZCTEOSA-N 1 2 315.421 1.355 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](NC(=O)OCCCC)C2CC2)CC1 ZINC001186288729 744372012 /nfs/dbraw/zinc/37/20/12/744372012.db2.gz FWJBFBQLHDPNOS-OAHLLOKOSA-N 1 2 323.437 1.622 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@H]1C ZINC001088944183 744391824 /nfs/dbraw/zinc/39/18/24/744391824.db2.gz VTGSSILGXPZPCB-KOLCDFICSA-N 1 2 310.785 1.059 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@H]1C ZINC001088944183 744391826 /nfs/dbraw/zinc/39/18/26/744391826.db2.gz VTGSSILGXPZPCB-KOLCDFICSA-N 1 2 310.785 1.059 20 30 DDEDLO COc1ccnc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H](C)C#N)C3)c1 ZINC001110395056 744576248 /nfs/dbraw/zinc/57/62/48/744576248.db2.gz USNMDTFGXMKUKA-ZCDTZLGTSA-N 1 2 314.389 1.471 20 30 DDEDLO COc1ccnc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H](C)C#N)C3)c1 ZINC001110395056 744576251 /nfs/dbraw/zinc/57/62/51/744576251.db2.gz USNMDTFGXMKUKA-ZCDTZLGTSA-N 1 2 314.389 1.471 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](CCn3nc(C)cc3C)C2)cc1 ZINC001030777100 744611925 /nfs/dbraw/zinc/61/19/25/744611925.db2.gz KNVFJNUAAGYLBT-UHFFFAOYSA-N 1 2 322.412 1.595 20 30 DDEDLO N#CCNC[C@]12CCC[C@H]1CN(C(=O)CCc1[nH]cc[nH+]1)C2 ZINC001112331866 744794314 /nfs/dbraw/zinc/79/43/14/744794314.db2.gz UXVCLTNCCFGHKC-BBRMVZONSA-N 1 2 301.394 1.084 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)cn2)C1 ZINC001189379085 744879541 /nfs/dbraw/zinc/87/95/41/744879541.db2.gz GHAKZCZRVNXRLZ-AWEZNQCLSA-N 1 2 304.394 1.020 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)cn2)C1 ZINC001189379085 744879546 /nfs/dbraw/zinc/87/95/46/744879546.db2.gz GHAKZCZRVNXRLZ-AWEZNQCLSA-N 1 2 304.394 1.020 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2nc(C)no2)C1 ZINC001189925589 745038275 /nfs/dbraw/zinc/03/82/75/745038275.db2.gz CJXRBSBMMHWBJZ-JSGCOSHPSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2nc(C)no2)C1 ZINC001189925589 745038280 /nfs/dbraw/zinc/03/82/80/745038280.db2.gz CJXRBSBMMHWBJZ-JSGCOSHPSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001189927440 745039684 /nfs/dbraw/zinc/03/96/84/745039684.db2.gz XWJSVNVVBVIRQR-GXTWGEPZSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001189927440 745039689 /nfs/dbraw/zinc/03/96/89/745039689.db2.gz XWJSVNVVBVIRQR-GXTWGEPZSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001190094794 745097501 /nfs/dbraw/zinc/09/75/01/745097501.db2.gz NWCHGOCBEMEZCU-UONOGXRCSA-N 1 2 319.405 1.308 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001190094794 745097505 /nfs/dbraw/zinc/09/75/05/745097505.db2.gz NWCHGOCBEMEZCU-UONOGXRCSA-N 1 2 319.405 1.308 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001190441166 745220182 /nfs/dbraw/zinc/22/01/82/745220182.db2.gz OPOXHJOWOKBRDX-SOUVJXGZSA-N 1 2 318.421 1.044 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001190441166 745220183 /nfs/dbraw/zinc/22/01/83/745220183.db2.gz OPOXHJOWOKBRDX-SOUVJXGZSA-N 1 2 318.421 1.044 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@H]([NH+]3CCCC3)C(F)(F)C2)nc1 ZINC001190590720 745266672 /nfs/dbraw/zinc/26/66/72/745266672.db2.gz QUISTTDALGGMBU-AWEZNQCLSA-N 1 2 320.343 1.899 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2cc(C#N)ccc2F)CC1(C)C ZINC001190639636 745282582 /nfs/dbraw/zinc/28/25/82/745282582.db2.gz GVDDAOVMIHCSFW-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2cc(C#N)ccc2F)CC1(C)C ZINC001190639636 745282589 /nfs/dbraw/zinc/28/25/89/745282589.db2.gz GVDDAOVMIHCSFW-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cccc3c2OCCCO3)C1 ZINC001031141375 745480144 /nfs/dbraw/zinc/48/01/44/745480144.db2.gz IRQQSYXUEOBZPO-UHFFFAOYSA-N 1 2 302.374 1.838 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cccc(C(C)C)n2)C[C@H]1O ZINC001191622629 745557101 /nfs/dbraw/zinc/55/71/01/745557101.db2.gz TXVAUFIUSAYCAW-IAGOWNOFSA-N 1 2 317.433 1.833 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(C(C)C)n2)C[C@H]1O ZINC001191622629 745557105 /nfs/dbraw/zinc/55/71/05/745557105.db2.gz TXVAUFIUSAYCAW-IAGOWNOFSA-N 1 2 317.433 1.833 20 30 DDEDLO N#Cc1cc(F)c(C(=O)NCC2([NH+]3CCCC3)COC2)c(F)c1 ZINC001191936367 745650254 /nfs/dbraw/zinc/65/02/54/745650254.db2.gz PEHBPEOTXPOIHR-UHFFFAOYSA-N 1 2 321.327 1.431 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@H]1O ZINC001192503858 745798863 /nfs/dbraw/zinc/79/88/63/745798863.db2.gz PLISQHPJZDRUIV-HZPDHXFCSA-N 1 2 320.820 1.805 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@H]1O ZINC001192503858 745798864 /nfs/dbraw/zinc/79/88/64/745798864.db2.gz PLISQHPJZDRUIV-HZPDHXFCSA-N 1 2 320.820 1.805 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3ccns3)C2)[nH]1 ZINC001007152786 752039534 /nfs/dbraw/zinc/03/95/34/752039534.db2.gz QOZOQBMIMHJINF-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3ccns3)C2)[nH]1 ZINC001007152786 752039539 /nfs/dbraw/zinc/03/95/39/752039539.db2.gz QOZOQBMIMHJINF-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO N#CCS(=O)(=O)NCc1c[nH+]cn1Cc1ccc(Cl)cc1 ZINC001193001001 745947285 /nfs/dbraw/zinc/94/72/85/745947285.db2.gz ITOGHSWMAAXHPR-UHFFFAOYSA-N 1 2 324.793 1.528 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1ccc(C#N)cn1)NC(=O)Cn1cc[nH+]c1 ZINC001089342953 745956647 /nfs/dbraw/zinc/95/66/47/745956647.db2.gz BNXXOUXXPYHAMB-STQMWFEESA-N 1 2 312.377 1.545 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1O ZINC001193327937 746064482 /nfs/dbraw/zinc/06/44/82/746064482.db2.gz XBPXELGYFFHMBG-HUUCEWRRSA-N 1 2 321.421 1.501 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1O ZINC001193327937 746064486 /nfs/dbraw/zinc/06/44/86/746064486.db2.gz XBPXELGYFFHMBG-HUUCEWRRSA-N 1 2 321.421 1.501 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)C[C@H]2OCCc3ccsc32)C1 ZINC001031279817 746126031 /nfs/dbraw/zinc/12/60/31/746126031.db2.gz OJTSHBGXWWVBMQ-CQSZACIVSA-N 1 2 304.415 1.576 20 30 DDEDLO CC(C)(O)CC[NH+]1CCN(C(=O)c2cccc(C#N)c2O)CC1 ZINC001193646839 746165911 /nfs/dbraw/zinc/16/59/11/746165911.db2.gz OQZCMWSITHYVKG-UHFFFAOYSA-N 1 2 317.389 1.183 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2c(C)nc[nH]c2=O)[C@@H]1C ZINC000993717761 746285878 /nfs/dbraw/zinc/28/58/78/746285878.db2.gz IDGWPDFQGXSEKE-NWDGAFQWSA-N 1 2 324.812 1.826 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2c(C)nc[nH]c2=O)[C@@H]1C ZINC000993717761 746285882 /nfs/dbraw/zinc/28/58/82/746285882.db2.gz IDGWPDFQGXSEKE-NWDGAFQWSA-N 1 2 324.812 1.826 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001007304962 752087019 /nfs/dbraw/zinc/08/70/19/752087019.db2.gz SIPPFAFIWBVYSB-QGZVFWFLSA-N 1 2 322.412 1.964 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001007304962 752087022 /nfs/dbraw/zinc/08/70/22/752087022.db2.gz SIPPFAFIWBVYSB-QGZVFWFLSA-N 1 2 322.412 1.964 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2cc(Cl)c[nH]2)CC1 ZINC001194819378 746467484 /nfs/dbraw/zinc/46/74/84/746467484.db2.gz IUVWVOJPGLLYTN-UHFFFAOYSA-N 1 2 324.812 1.118 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2cc(Cl)c[nH]2)CC1 ZINC001194819378 746467486 /nfs/dbraw/zinc/46/74/86/746467486.db2.gz IUVWVOJPGLLYTN-UHFFFAOYSA-N 1 2 324.812 1.118 20 30 DDEDLO CCCC[C@H](CC)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001194840744 746471949 /nfs/dbraw/zinc/47/19/49/746471949.db2.gz NCEYWHPUXACJIW-GVDBMIGSSA-N 1 2 324.465 1.404 20 30 DDEDLO CCCC[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001194840744 746471952 /nfs/dbraw/zinc/47/19/52/746471952.db2.gz NCEYWHPUXACJIW-GVDBMIGSSA-N 1 2 324.465 1.404 20 30 DDEDLO CC(C)N(C(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)C#N)CC1)C(C)C ZINC001195315085 746575292 /nfs/dbraw/zinc/57/52/92/746575292.db2.gz XNLKCADEDDUVTM-OAHLLOKOSA-N 1 2 322.453 1.326 20 30 DDEDLO CC(C)N(C(=O)C[N@H+]1CCCN(C(=O)[C@H](C)C#N)CC1)C(C)C ZINC001195315085 746575294 /nfs/dbraw/zinc/57/52/94/746575294.db2.gz XNLKCADEDDUVTM-OAHLLOKOSA-N 1 2 322.453 1.326 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@H]1O ZINC001195548862 746642419 /nfs/dbraw/zinc/64/24/19/746642419.db2.gz IXFHPEWNLUPLNQ-APHBMKBZSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@H]1O ZINC001195548862 746642423 /nfs/dbraw/zinc/64/24/23/746642423.db2.gz IXFHPEWNLUPLNQ-APHBMKBZSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2CC(C)(C)C2)CC1 ZINC001195702737 746681793 /nfs/dbraw/zinc/68/17/93/746681793.db2.gz JYQXBIRGQVDTML-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2CC(C)(C)C2)CC1 ZINC001195702737 746681795 /nfs/dbraw/zinc/68/17/95/746681795.db2.gz JYQXBIRGQVDTML-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3cnoc3C)C[C@@H]2O)CC1 ZINC001083754466 746693491 /nfs/dbraw/zinc/69/34/91/746693491.db2.gz SHBGHEKOCXADDY-CVEARBPZSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3cnoc3C)C[C@@H]2O)CC1 ZINC001083754466 746693493 /nfs/dbraw/zinc/69/34/93/746693493.db2.gz SHBGHEKOCXADDY-CVEARBPZSA-N 1 2 319.405 1.391 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2cnc(COC)s2)[C@@H]1C ZINC000994517037 746713777 /nfs/dbraw/zinc/71/37/77/746713777.db2.gz IBKSIFNCTBAYER-STQMWFEESA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc(COC)s2)[C@@H]1C ZINC000994517037 746713782 /nfs/dbraw/zinc/71/37/82/746713782.db2.gz IBKSIFNCTBAYER-STQMWFEESA-N 1 2 321.446 1.896 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cccnc3)C2)s1 ZINC001031512340 746925163 /nfs/dbraw/zinc/92/51/63/746925163.db2.gz KJLOUFFOPCEMQN-UHFFFAOYSA-N 1 2 312.398 1.877 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)N(C)CC)CC1 ZINC001196881805 746988556 /nfs/dbraw/zinc/98/85/56/746988556.db2.gz YIJURTYFHPVGBM-HNNXBMFYSA-N 1 2 309.454 1.601 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[N@H+](CC(=O)N(C)CC)CC1 ZINC001196881805 746988558 /nfs/dbraw/zinc/98/85/58/746988558.db2.gz YIJURTYFHPVGBM-HNNXBMFYSA-N 1 2 309.454 1.601 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)n(C)n1 ZINC001031565663 747056828 /nfs/dbraw/zinc/05/68/28/747056828.db2.gz CVFPLQHOQHXXRP-UHFFFAOYSA-N 1 2 322.412 1.442 20 30 DDEDLO Cn1nccc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031575713 747086964 /nfs/dbraw/zinc/08/69/64/747086964.db2.gz FWBKMYGNVOGUQG-UHFFFAOYSA-N 1 2 308.385 1.133 20 30 DDEDLO C[C@H](C[C@H](C)Nc1ncccc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089490223 747087967 /nfs/dbraw/zinc/08/79/67/747087967.db2.gz OSEBKORJJZSKCK-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO Cc1nc(N2CCCO[C@H](CNC(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001089539523 747172055 /nfs/dbraw/zinc/17/20/55/747172055.db2.gz HZEGCSMJPBJART-OAHLLOKOSA-N 1 2 316.405 1.156 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+]([C@H](C)c3cnccn3)C[C@@H]2O)C1 ZINC001083762736 747453392 /nfs/dbraw/zinc/45/33/92/747453392.db2.gz ORYOYAKWYLHVAY-YUELXQCFSA-N 1 2 316.405 1.055 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+]([C@H](C)c3cnccn3)C[C@@H]2O)C1 ZINC001083762736 747453401 /nfs/dbraw/zinc/45/34/01/747453401.db2.gz ORYOYAKWYLHVAY-YUELXQCFSA-N 1 2 316.405 1.055 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2ccns2)CC1 ZINC001198342885 747458844 /nfs/dbraw/zinc/45/88/44/747458844.db2.gz COGQXGBWUQRPES-CYBMUJFWSA-N 1 2 309.435 1.769 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccns2)CC1 ZINC001198342885 747458847 /nfs/dbraw/zinc/45/88/47/747458847.db2.gz COGQXGBWUQRPES-CYBMUJFWSA-N 1 2 309.435 1.769 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001031710470 747477185 /nfs/dbraw/zinc/47/71/85/747477185.db2.gz MBKWBRHATLPWPN-ZDUSSCGKSA-N 1 2 313.829 1.044 20 30 DDEDLO COc1ccccc1[C@@H](CNC(=O)C#CC1CC1)[NH2+]CCF ZINC001198723388 747579304 /nfs/dbraw/zinc/57/93/04/747579304.db2.gz FUTPMRCFRADDMD-OAHLLOKOSA-N 1 2 304.365 1.825 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccsn3)C2)s1 ZINC001031759994 747764166 /nfs/dbraw/zinc/76/41/66/747764166.db2.gz QXVORNCHERMQAQ-UHFFFAOYSA-N 1 2 318.427 1.938 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001212339237 747922144 /nfs/dbraw/zinc/92/21/44/747922144.db2.gz ZWBFJSGQUYKQIG-HUUCEWRRSA-N 1 2 308.422 1.054 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001212339237 747922147 /nfs/dbraw/zinc/92/21/47/747922147.db2.gz ZWBFJSGQUYKQIG-HUUCEWRRSA-N 1 2 308.422 1.054 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1cnco1 ZINC001031880737 748107647 /nfs/dbraw/zinc/10/76/47/748107647.db2.gz QGQUETGKKQGAPK-UHFFFAOYSA-N 1 2 313.332 1.527 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@]23CCC[C@H]2OCC3)C1 ZINC001108068056 748224670 /nfs/dbraw/zinc/22/46/70/748224670.db2.gz KEJQPPMGHCXFHT-NJAFHUGGSA-N 1 2 320.433 1.176 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@]23CCC[C@H]2OCC3)C1 ZINC001108068056 748224678 /nfs/dbraw/zinc/22/46/78/748224678.db2.gz KEJQPPMGHCXFHT-NJAFHUGGSA-N 1 2 320.433 1.176 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2cc[n+]([O-])cc2)CC1 ZINC001004332712 748329981 /nfs/dbraw/zinc/32/99/81/748329981.db2.gz RVHZEGAZOJODIF-MRXNPFEDSA-N 1 2 314.389 1.160 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2cc[n+]([O-])cc2)CC1 ZINC001004332712 748329994 /nfs/dbraw/zinc/32/99/94/748329994.db2.gz RVHZEGAZOJODIF-MRXNPFEDSA-N 1 2 314.389 1.160 20 30 DDEDLO C[C@@H](C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1)n1cccn1 ZINC001004345969 748346651 /nfs/dbraw/zinc/34/66/51/748346651.db2.gz CRNUKJFJPRGOFE-GOEBONIOSA-N 1 2 315.421 1.671 20 30 DDEDLO C[C@@H](C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1)n1cccn1 ZINC001004345969 748346659 /nfs/dbraw/zinc/34/66/59/748346659.db2.gz CRNUKJFJPRGOFE-GOEBONIOSA-N 1 2 315.421 1.671 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncc3ccccn32)C1 ZINC001108070872 748389341 /nfs/dbraw/zinc/38/93/41/748389341.db2.gz IVLUVXWXHOCHIS-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncc3ccccn32)C1 ZINC001108070872 748389346 /nfs/dbraw/zinc/38/93/46/748389346.db2.gz IVLUVXWXHOCHIS-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2cncnc2)CC1 ZINC001004426478 748423220 /nfs/dbraw/zinc/42/32/20/748423220.db2.gz RGIMSNKYKAIXHX-MRXNPFEDSA-N 1 2 313.405 1.246 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2cncnc2)CC1 ZINC001004426478 748423223 /nfs/dbraw/zinc/42/32/23/748423223.db2.gz RGIMSNKYKAIXHX-MRXNPFEDSA-N 1 2 313.405 1.246 20 30 DDEDLO Cn1cncc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004478508 748468169 /nfs/dbraw/zinc/46/81/69/748468169.db2.gz WIIHPMYDIJGXGH-AWEZNQCLSA-N 1 2 301.394 1.260 20 30 DDEDLO Cn1cncc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004478508 748468170 /nfs/dbraw/zinc/46/81/70/748468170.db2.gz WIIHPMYDIJGXGH-AWEZNQCLSA-N 1 2 301.394 1.260 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)cc1C#N ZINC001201303400 748470891 /nfs/dbraw/zinc/47/08/91/748470891.db2.gz JSBQJLZNJNQINC-UHFFFAOYSA-N 1 2 319.430 1.583 20 30 DDEDLO C=CCOCC(=O)NCC[NH2+]Cc1nc(C2CCCC2)no1 ZINC001124765053 748472182 /nfs/dbraw/zinc/47/21/82/748472182.db2.gz JHGLRAQMRWHOPF-UHFFFAOYSA-N 1 2 308.382 1.136 20 30 DDEDLO Cc1n[nH]cc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004498916 748486659 /nfs/dbraw/zinc/48/66/59/748486659.db2.gz JDYKBUUPMAVXAN-OAHLLOKOSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1n[nH]cc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004498916 748486660 /nfs/dbraw/zinc/48/66/60/748486660.db2.gz JDYKBUUPMAVXAN-OAHLLOKOSA-N 1 2 301.394 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001033134350 748496048 /nfs/dbraw/zinc/49/60/48/748496048.db2.gz AJAVGUOLKXRLBU-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001033134350 748496053 /nfs/dbraw/zinc/49/60/53/748496053.db2.gz AJAVGUOLKXRLBU-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[NH2+]Cc1c(F)c(F)c(F)c(F)c1F ZINC001124894753 748608416 /nfs/dbraw/zinc/60/84/16/748608416.db2.gz BBGWGEWQPIJSOB-ZCFIWIBFSA-N 1 2 321.249 1.748 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+][C@H](C)c1nc(C)no1)OCC ZINC001128540455 748762387 /nfs/dbraw/zinc/76/23/87/748762387.db2.gz WHGKLEKSQUAYJR-YPMHNXCESA-N 1 2 310.398 1.516 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)c2cnsn2)c1 ZINC001038075961 748848818 /nfs/dbraw/zinc/84/88/18/748848818.db2.gz JQANFMOKTKVYML-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cnsn2)c1 ZINC001038075961 748848822 /nfs/dbraw/zinc/84/88/22/748848822.db2.gz JQANFMOKTKVYML-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)CCCCNc1cc[nH+]c(C)n1 ZINC001090117151 748880713 /nfs/dbraw/zinc/88/07/13/748880713.db2.gz JZNIDACSPRUMQT-ZIAGYGMSSA-N 1 2 318.421 1.910 20 30 DDEDLO N#CCN[C@H]1C[C@H](CNC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001086524076 761901350 /nfs/dbraw/zinc/90/13/50/761901350.db2.gz ZIKDMGMQMKAYCI-CTYIDZIISA-N 1 2 309.373 1.494 20 30 DDEDLO Cc1cc(N2CC[C@@](C)(NC(=O)[C@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001110735649 748953924 /nfs/dbraw/zinc/95/39/24/748953924.db2.gz IXDHCBFHMBIOSY-PIGZYNQJSA-N 1 2 313.405 1.907 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@](C)(NC(=O)Cn3cc[nH+]c3)C2)n1 ZINC001110768179 748995646 /nfs/dbraw/zinc/99/56/46/748995646.db2.gz PCUPHBNVFANVAA-KRWDZBQOSA-N 1 2 324.388 1.243 20 30 DDEDLO Cc1nc(N2CC[C@](C)(NC(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001110778917 749004509 /nfs/dbraw/zinc/00/45/09/749004509.db2.gz RMIKQOAYYIPNMD-KRWDZBQOSA-N 1 2 324.388 1.313 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2nc3cnccc3s2)C1 ZINC001033251981 749054278 /nfs/dbraw/zinc/05/42/78/749054278.db2.gz AXZNLVCUAKQGHY-LLVKDONJSA-N 1 2 300.387 1.471 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2nc3cnccc3s2)C1 ZINC001033251981 749054283 /nfs/dbraw/zinc/05/42/83/749054283.db2.gz AXZNLVCUAKQGHY-LLVKDONJSA-N 1 2 300.387 1.471 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001114326309 749057835 /nfs/dbraw/zinc/05/78/35/749057835.db2.gz ORLUEMHRIGYOMK-ZSHCYNCHSA-N 1 2 301.390 1.989 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001114326309 749057842 /nfs/dbraw/zinc/05/78/42/749057842.db2.gz ORLUEMHRIGYOMK-ZSHCYNCHSA-N 1 2 301.390 1.989 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001114352758 749103426 /nfs/dbraw/zinc/10/34/26/749103426.db2.gz GWQAPVCPYVVYIH-NYTXWWLZSA-N 1 2 300.406 1.940 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001114352758 749103430 /nfs/dbraw/zinc/10/34/30/749103430.db2.gz GWQAPVCPYVVYIH-NYTXWWLZSA-N 1 2 300.406 1.940 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@]1(C)C[N@H+](CC#CC)CCO1 ZINC001108100268 749117375 /nfs/dbraw/zinc/11/73/75/749117375.db2.gz TWLNEZBDMUICBL-QZTJIDSGSA-N 1 2 322.449 1.446 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001108100268 749117379 /nfs/dbraw/zinc/11/73/79/749117379.db2.gz TWLNEZBDMUICBL-QZTJIDSGSA-N 1 2 322.449 1.446 20 30 DDEDLO C[C@H](CNc1ccncc1C#N)NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001108100145 749118039 /nfs/dbraw/zinc/11/80/39/749118039.db2.gz FTGBUDNHQYFDBZ-YPMHNXCESA-N 1 2 324.388 1.135 20 30 DDEDLO CN(C(=O)c1cnco1)[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001033304239 749154284 /nfs/dbraw/zinc/15/42/84/749154284.db2.gz QWMMJWJKNMPTOC-MRXNPFEDSA-N 1 2 309.369 1.873 20 30 DDEDLO CN(C(=O)c1cnco1)[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001033304239 749154289 /nfs/dbraw/zinc/15/42/89/749154289.db2.gz QWMMJWJKNMPTOC-MRXNPFEDSA-N 1 2 309.369 1.873 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@@H]2CN(C(=O)C#CC3CC3)CC2(C)C)n1 ZINC000995793274 749247309 /nfs/dbraw/zinc/24/73/09/749247309.db2.gz QSDWEWLFYAHYPY-BXUZGUMPSA-N 1 2 316.405 1.679 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](C)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001108337787 761938950 /nfs/dbraw/zinc/93/89/50/761938950.db2.gz MZYQDVPDMKLXGN-SJCJKPOMSA-N 1 2 318.421 1.906 20 30 DDEDLO C#CCCCCC(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107161941 749459564 /nfs/dbraw/zinc/45/95/64/749459564.db2.gz FGODODZVCJFNBN-UHFFFAOYSA-N 1 2 315.421 1.521 20 30 DDEDLO Cn1cncc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C#N)[nH]1)C2 ZINC001095328010 749503393 /nfs/dbraw/zinc/50/33/93/749503393.db2.gz QMISVBOHCYHOOG-KCXAZCMYSA-N 1 2 324.388 1.155 20 30 DDEDLO Cn1cncc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C#N)[nH]1)C2 ZINC001095328010 749503397 /nfs/dbraw/zinc/50/33/97/749503397.db2.gz QMISVBOHCYHOOG-KCXAZCMYSA-N 1 2 324.388 1.155 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107198818 749567479 /nfs/dbraw/zinc/56/74/79/749567479.db2.gz UWRGBRQRZSJIOH-AWEZNQCLSA-N 1 2 317.437 1.929 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001033739859 749804038 /nfs/dbraw/zinc/80/40/38/749804038.db2.gz PHCMYNJNQSQMBS-NSHDSACASA-N 1 2 310.785 1.471 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001033739859 749804045 /nfs/dbraw/zinc/80/40/45/749804045.db2.gz PHCMYNJNQSQMBS-NSHDSACASA-N 1 2 310.785 1.471 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cncnc2C2CC2)C1 ZINC001108371971 761992023 /nfs/dbraw/zinc/99/20/23/761992023.db2.gz CWCWSBVRXKHNPC-QGZVFWFLSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cncnc2C2CC2)C1 ZINC001108371971 761992031 /nfs/dbraw/zinc/99/20/31/761992031.db2.gz CWCWSBVRXKHNPC-QGZVFWFLSA-N 1 2 316.405 1.361 20 30 DDEDLO C[NH+](C)[C@H](C(=O)N1C[C@@H](NCC#N)C(C)(C)C1)c1cccnc1 ZINC000996694108 749918296 /nfs/dbraw/zinc/91/82/96/749918296.db2.gz MVUYWKBWTJNIBQ-CABCVRRESA-N 1 2 315.421 1.034 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)C2CN(C(C)=O)C2)C1 ZINC001033805885 749930804 /nfs/dbraw/zinc/93/08/04/749930804.db2.gz ZBGALRRZNQHJKW-CQSZACIVSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)C2CN(C(C)=O)C2)C1 ZINC001033805885 749930807 /nfs/dbraw/zinc/93/08/07/749930807.db2.gz ZBGALRRZNQHJKW-CQSZACIVSA-N 1 2 313.829 1.140 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc(N(C)C)c2)C1 ZINC001108363731 761994859 /nfs/dbraw/zinc/99/48/59/761994859.db2.gz BDQXGQJVJYFKFG-GOSISDBHSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc(N(C)C)c2)C1 ZINC001108363731 761994861 /nfs/dbraw/zinc/99/48/61/761994861.db2.gz BDQXGQJVJYFKFG-GOSISDBHSA-N 1 2 317.433 1.759 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)C#N ZINC001032318329 749960986 /nfs/dbraw/zinc/96/09/86/749960986.db2.gz MJVBKFGGLQCUSG-DRZSPHRISA-N 1 2 302.378 1.490 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)C#N ZINC001032318329 749960991 /nfs/dbraw/zinc/96/09/91/749960991.db2.gz MJVBKFGGLQCUSG-DRZSPHRISA-N 1 2 302.378 1.490 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)Oc2ccccc2)C1 ZINC001108376406 761997852 /nfs/dbraw/zinc/99/78/52/761997852.db2.gz KQNNNCOHCJUKEP-QAPCUYQASA-N 1 2 318.417 1.847 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)Oc2ccccc2)C1 ZINC001108376406 761997857 /nfs/dbraw/zinc/99/78/57/761997857.db2.gz KQNNNCOHCJUKEP-QAPCUYQASA-N 1 2 318.417 1.847 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn3cc(C)nc3s1)C2 ZINC001095532652 750016998 /nfs/dbraw/zinc/01/69/98/750016998.db2.gz KHAGMIMDERZMMG-AGIUHOORSA-N 1 2 314.414 1.673 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn3cc(C)nc3s1)C2 ZINC001095532652 750017009 /nfs/dbraw/zinc/01/70/09/750017009.db2.gz KHAGMIMDERZMMG-AGIUHOORSA-N 1 2 314.414 1.673 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C\CNC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001107539626 750103659 /nfs/dbraw/zinc/10/36/59/750103659.db2.gz RAYXFARBMAUSPR-IHWYPQMZSA-N 1 2 310.361 1.312 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncoc2CC)[C@H](O)C1 ZINC001090151591 750106056 /nfs/dbraw/zinc/10/60/56/750106056.db2.gz SIBIYIAJSKYQPC-WDEREUQCSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncoc2CC)[C@H](O)C1 ZINC001090151591 750106059 /nfs/dbraw/zinc/10/60/59/750106059.db2.gz SIBIYIAJSKYQPC-WDEREUQCSA-N 1 2 313.785 1.155 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@H]1C[C@H]3CC[C@@H]1N3CC#N)C2 ZINC001095647085 750108826 /nfs/dbraw/zinc/10/88/26/750108826.db2.gz DCGOQLDFWVMHJX-VZAMPYOESA-N 1 2 313.405 1.068 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@H]1C[C@H]3CC[C@@H]1N3CC#N)CC2 ZINC001095647085 750108830 /nfs/dbraw/zinc/10/88/30/750108830.db2.gz DCGOQLDFWVMHJX-VZAMPYOESA-N 1 2 313.405 1.068 20 30 DDEDLO N#Cc1ccc(NC/C=C\CNC(=O)CCc2c[nH]c[nH+]2)nc1 ZINC001107565970 750151217 /nfs/dbraw/zinc/15/12/17/750151217.db2.gz IOKGKYIXWIQUQJ-UPHRSURJSA-N 1 2 310.361 1.393 20 30 DDEDLO N#Cc1ccc(NC/C=C\CNC(=O)CCc2c[nH+]c[nH]2)nc1 ZINC001107565970 750151219 /nfs/dbraw/zinc/15/12/19/750151219.db2.gz IOKGKYIXWIQUQJ-UPHRSURJSA-N 1 2 310.361 1.393 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(=O)C(C)(C)C)CC2(CCOCC2)C1 ZINC001099569951 750254529 /nfs/dbraw/zinc/25/45/29/750254529.db2.gz KOVFHMWCNUVRSB-AWEZNQCLSA-N 1 2 320.433 1.222 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(=O)C(C)(C)C)CC2(CCOCC2)C1 ZINC001099569951 750254532 /nfs/dbraw/zinc/25/45/32/750254532.db2.gz KOVFHMWCNUVRSB-AWEZNQCLSA-N 1 2 320.433 1.222 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001111072085 750348469 /nfs/dbraw/zinc/34/84/69/750348469.db2.gz APEXFFHWRYOPHA-YUELXQCFSA-N 1 2 317.437 1.639 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001111072085 750348477 /nfs/dbraw/zinc/34/84/77/750348477.db2.gz APEXFFHWRYOPHA-YUELXQCFSA-N 1 2 317.437 1.639 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCOc2ccccc2)C1 ZINC001108393698 762035775 /nfs/dbraw/zinc/03/57/75/762035775.db2.gz YLTMWRPWMNNBDH-GOSISDBHSA-N 1 2 318.417 1.849 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCOc2ccccc2)C1 ZINC001108393698 762035780 /nfs/dbraw/zinc/03/57/80/762035780.db2.gz YLTMWRPWMNNBDH-GOSISDBHSA-N 1 2 318.417 1.849 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2CC=CCC2)C1 ZINC001108130245 750544748 /nfs/dbraw/zinc/54/47/48/750544748.db2.gz UVFJNZDKYJKUGU-SJLPKXTDSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2CC=CCC2)C1 ZINC001108130245 750544751 /nfs/dbraw/zinc/54/47/51/750544751.db2.gz UVFJNZDKYJKUGU-SJLPKXTDSA-N 1 2 304.434 1.963 20 30 DDEDLO CN(C)c1cc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)ccn1 ZINC001032397506 750568803 /nfs/dbraw/zinc/56/88/03/750568803.db2.gz IMOGVVPARQMBHR-IRXDYDNUSA-N 1 2 324.428 1.346 20 30 DDEDLO CN(C)c1cc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)ccn1 ZINC001032397506 750568806 /nfs/dbraw/zinc/56/88/06/750568806.db2.gz IMOGVVPARQMBHR-IRXDYDNUSA-N 1 2 324.428 1.346 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)ccc2ccncc21 ZINC001032413269 750667725 /nfs/dbraw/zinc/66/77/25/750667725.db2.gz LSHUUHJWWVXHDJ-KBPBESRZSA-N 1 2 309.344 1.906 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)ccc2ccncc21 ZINC001032413269 750667728 /nfs/dbraw/zinc/66/77/28/750667728.db2.gz LSHUUHJWWVXHDJ-KBPBESRZSA-N 1 2 309.344 1.906 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(-c2ccccc2)cn1 ZINC001032414762 750680149 /nfs/dbraw/zinc/68/01/49/750680149.db2.gz GHJQFKGSVWWYBK-IRXDYDNUSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(-c2ccccc2)cn1 ZINC001032414762 750680150 /nfs/dbraw/zinc/68/01/50/750680150.db2.gz GHJQFKGSVWWYBK-IRXDYDNUSA-N 1 2 320.396 1.794 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@H]1CC[C@H](NCC#N)CC1)C2 ZINC001034677409 750685787 /nfs/dbraw/zinc/68/57/87/750685787.db2.gz JRWMQQCAVCKOBR-IHRRRGAJSA-N 1 2 315.421 1.364 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@H]1CC[C@H](NCC#N)CC1)CC2 ZINC001034677409 750685791 /nfs/dbraw/zinc/68/57/91/750685791.db2.gz JRWMQQCAVCKOBR-IHRRRGAJSA-N 1 2 315.421 1.364 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(Cl)cnn2C)C1 ZINC001107947076 750792679 /nfs/dbraw/zinc/79/26/79/750792679.db2.gz CAYZYBFGJZREJQ-AWEZNQCLSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(Cl)cnn2C)C1 ZINC001107947076 750792682 /nfs/dbraw/zinc/79/26/82/750792682.db2.gz CAYZYBFGJZREJQ-AWEZNQCLSA-N 1 2 312.801 1.080 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)CNc1cc(C)[nH+]c(C(C)C)n1 ZINC001107947865 750798000 /nfs/dbraw/zinc/79/80/00/750798000.db2.gz FUQJNCKFLXBZQE-ZIAGYGMSSA-N 1 2 318.421 1.863 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001107952056 750824979 /nfs/dbraw/zinc/82/49/79/750824979.db2.gz YBNMMWGTUKQVPP-BQFCYCMXSA-N 1 2 322.449 1.278 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001107952056 750824983 /nfs/dbraw/zinc/82/49/83/750824983.db2.gz YBNMMWGTUKQVPP-BQFCYCMXSA-N 1 2 322.449 1.278 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2oc(=O)[nH]c2c1 ZINC001032460699 750885337 /nfs/dbraw/zinc/88/53/37/750885337.db2.gz ZEKLGLCILWKKEU-STQMWFEESA-N 1 2 311.341 1.455 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2oc(=O)[nH]c2c1 ZINC001032460699 750885344 /nfs/dbraw/zinc/88/53/44/750885344.db2.gz ZEKLGLCILWKKEU-STQMWFEESA-N 1 2 311.341 1.455 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001107956484 750885755 /nfs/dbraw/zinc/88/57/55/750885755.db2.gz KSCIGGRZPINENW-ZYHUDNBSSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCO[C@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001107956484 750885764 /nfs/dbraw/zinc/88/57/64/750885764.db2.gz KSCIGGRZPINENW-ZYHUDNBSSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001107956484 750885774 /nfs/dbraw/zinc/88/57/74/750885774.db2.gz KSCIGGRZPINENW-ZYHUDNBSSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001107956484 750885783 /nfs/dbraw/zinc/88/57/83/750885783.db2.gz KSCIGGRZPINENW-ZYHUDNBSSA-N 1 2 312.307 1.670 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001034882108 750976372 /nfs/dbraw/zinc/97/63/72/750976372.db2.gz SWNCZJVQNGNMGB-NWDGAFQWSA-N 1 2 319.409 1.607 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001032485097 751003552 /nfs/dbraw/zinc/00/35/52/751003552.db2.gz ZOLGVAHPPYLHOD-STQMWFEESA-N 1 2 322.368 1.257 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001032485097 751003559 /nfs/dbraw/zinc/00/35/59/751003559.db2.gz ZOLGVAHPPYLHOD-STQMWFEESA-N 1 2 322.368 1.257 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1C(F)(F)F ZINC001032485591 751005767 /nfs/dbraw/zinc/00/57/67/751005767.db2.gz WDLYWVFGNYSKNU-IUCAKERBSA-N 1 2 301.268 1.778 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1C(F)(F)F ZINC001032485591 751005775 /nfs/dbraw/zinc/00/57/75/751005775.db2.gz WDLYWVFGNYSKNU-IUCAKERBSA-N 1 2 301.268 1.778 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001114725889 751027681 /nfs/dbraw/zinc/02/76/81/751027681.db2.gz DCBKDTHJMLFMEZ-WVZRYYJFSA-N 1 2 302.422 1.569 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001114725889 751027687 /nfs/dbraw/zinc/02/76/87/751027687.db2.gz DCBKDTHJMLFMEZ-WVZRYYJFSA-N 1 2 302.422 1.569 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCCN(C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001000685154 762090224 /nfs/dbraw/zinc/09/02/24/762090224.db2.gz BGOKMQNPJCBFJL-RYUDHWBXSA-N 1 2 323.828 1.243 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCCN(C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001000685154 762090226 /nfs/dbraw/zinc/09/02/26/762090226.db2.gz BGOKMQNPJCBFJL-RYUDHWBXSA-N 1 2 323.828 1.243 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1C[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001114762142 751064850 /nfs/dbraw/zinc/06/48/50/751064850.db2.gz VHGYXZPUVVSRMV-MQMHXKEQSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1C[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001114802310 751096438 /nfs/dbraw/zinc/09/64/38/751096438.db2.gz CACVNRCYQBHDCC-CTYIDZIISA-N 1 2 324.388 1.547 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001114822487 751139710 /nfs/dbraw/zinc/13/97/10/751139710.db2.gz GXZOIGNKCFQJBO-CTYIDZIISA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001114822487 751139712 /nfs/dbraw/zinc/13/97/12/751139712.db2.gz GXZOIGNKCFQJBO-CTYIDZIISA-N 1 2 324.388 1.616 20 30 DDEDLO CN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CCN(CC#N)CC1 ZINC001005791605 751202405 /nfs/dbraw/zinc/20/24/05/751202405.db2.gz SIEDLSJJPUOLHQ-UHFFFAOYSA-N 1 2 323.400 1.932 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccoc1 ZINC001032537516 751220908 /nfs/dbraw/zinc/22/09/08/751220908.db2.gz AUHQPRZANFAFOQ-KBPBESRZSA-N 1 2 310.357 1.592 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccoc1 ZINC001032537516 751220912 /nfs/dbraw/zinc/22/09/12/751220912.db2.gz AUHQPRZANFAFOQ-KBPBESRZSA-N 1 2 310.357 1.592 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)nc2C)C1 ZINC001108416594 762109402 /nfs/dbraw/zinc/10/94/02/762109402.db2.gz UMYUETVXKYBUON-KRWDZBQOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)nc2C)C1 ZINC001108416594 762109408 /nfs/dbraw/zinc/10/94/08/762109408.db2.gz UMYUETVXKYBUON-KRWDZBQOSA-N 1 2 303.406 1.705 20 30 DDEDLO CC(C)(C(=O)N1CCC2(CCN(CC#N)C2)CC1)c1c[nH+]c[nH]1 ZINC001035245622 751326332 /nfs/dbraw/zinc/32/63/32/751326332.db2.gz VOOXJKBZGIPXKI-UHFFFAOYSA-N 1 2 315.421 1.525 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1cnco1 ZINC001008104952 752551662 /nfs/dbraw/zinc/55/16/62/752551662.db2.gz XTOBCZKCHNFOHB-MRXNPFEDSA-N 1 2 309.369 1.921 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1cnco1 ZINC001008104952 752551668 /nfs/dbraw/zinc/55/16/68/752551668.db2.gz XTOBCZKCHNFOHB-MRXNPFEDSA-N 1 2 309.369 1.921 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccccc1-n1cccn1 ZINC001032648973 752593688 /nfs/dbraw/zinc/59/36/88/752593688.db2.gz YWEQKDXBTNUDDM-GJZGRUSLSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccccc1-n1cccn1 ZINC001032648973 752593696 /nfs/dbraw/zinc/59/36/96/752593696.db2.gz YWEQKDXBTNUDDM-GJZGRUSLSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)CC1CCOCC1 ZINC001032709021 752787029 /nfs/dbraw/zinc/78/70/29/752787029.db2.gz KMBNTHRQMIKUGL-HOTGVXAUSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)CC1CCOCC1 ZINC001032709021 752787033 /nfs/dbraw/zinc/78/70/33/752787033.db2.gz KMBNTHRQMIKUGL-HOTGVXAUSA-N 1 2 304.434 1.748 20 30 DDEDLO CN(C[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1)c1ccc(C#N)nc1 ZINC001062182825 752815621 /nfs/dbraw/zinc/81/56/21/752815621.db2.gz XMFFVGSIYNJXNL-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[NH2+]Cc1nc(CCOC)no1 ZINC001128081601 752893441 /nfs/dbraw/zinc/89/34/41/752893441.db2.gz VBFPIZDVWPDFTD-UHFFFAOYSA-N 1 2 322.409 1.233 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H]([NH2+]Cc3nnc(C)o3)C2)cc1 ZINC000999871761 753220036 /nfs/dbraw/zinc/22/00/36/753220036.db2.gz OKIWIRTUWHSHHU-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc3cccnc3c2)[C@@H](O)C1 ZINC001083872595 753239966 /nfs/dbraw/zinc/23/99/66/753239966.db2.gz MHMYGWOAQKZKQH-SJORKVTESA-N 1 2 309.369 1.033 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3cccnc3c2)[C@@H](O)C1 ZINC001083872595 753239972 /nfs/dbraw/zinc/23/99/72/753239972.db2.gz MHMYGWOAQKZKQH-SJORKVTESA-N 1 2 309.369 1.033 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccccc2N(C)C)C1 ZINC001108436856 762197936 /nfs/dbraw/zinc/19/79/36/762197936.db2.gz CXYRCGPLRLBUAJ-SFHVURJKSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccccc2N(C)C)C1 ZINC001108436856 762197942 /nfs/dbraw/zinc/19/79/42/762197942.db2.gz CXYRCGPLRLBUAJ-SFHVURJKSA-N 1 2 317.433 1.759 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@]2(C)CCCc3ccccc32)C1 ZINC001077843885 753285465 /nfs/dbraw/zinc/28/54/65/753285465.db2.gz MHYPZFTVDSNJGD-LMMKCTJWSA-N 1 2 312.413 1.075 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@]2(C)CCCc3ccccc32)C1 ZINC001077843885 753285469 /nfs/dbraw/zinc/28/54/69/753285469.db2.gz MHYPZFTVDSNJGD-LMMKCTJWSA-N 1 2 312.413 1.075 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001108002534 753478395 /nfs/dbraw/zinc/47/83/95/753478395.db2.gz JPJDMMOWLKASTG-OLZOCXBDSA-N 1 2 324.388 1.329 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCCC23CC3)C1 ZINC001108023750 753569769 /nfs/dbraw/zinc/56/97/69/753569769.db2.gz FONYIVVSMIOAFP-DOTOQJQBSA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCCC23CC3)C1 ZINC001108023750 753569775 /nfs/dbraw/zinc/56/97/75/753569775.db2.gz FONYIVVSMIOAFP-DOTOQJQBSA-N 1 2 304.434 1.797 20 30 DDEDLO C=CCCCCC[N@@H+]1CCn2ncc(CNC(=O)COC)c2C1 ZINC001128136784 753596311 /nfs/dbraw/zinc/59/63/11/753596311.db2.gz UAMPCBWXXSQCOC-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C=CCCCCC[N@H+]1CCn2ncc(CNC(=O)COC)c2C1 ZINC001128136784 753596314 /nfs/dbraw/zinc/59/63/14/753596314.db2.gz UAMPCBWXXSQCOC-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO CC1(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C[C@H]2O)CC1 ZINC001090286614 753634053 /nfs/dbraw/zinc/63/40/53/753634053.db2.gz PGUAVUAXOQOPRI-JKSUJKDBSA-N 1 2 313.401 1.410 20 30 DDEDLO CC1(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C[C@H]2O)CC1 ZINC001090286614 753634058 /nfs/dbraw/zinc/63/40/58/753634058.db2.gz PGUAVUAXOQOPRI-JKSUJKDBSA-N 1 2 313.401 1.410 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ccc(C#N)nc1 ZINC001039819521 762229875 /nfs/dbraw/zinc/22/98/75/762229875.db2.gz VPAWJIRGARFGMA-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)[nH]n1 ZINC001010343122 753786242 /nfs/dbraw/zinc/78/62/42/753786242.db2.gz SHHPPYGKJYNDLN-NSHDSACASA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)[nH]n1 ZINC001010343122 753786246 /nfs/dbraw/zinc/78/62/46/753786246.db2.gz SHHPPYGKJYNDLN-NSHDSACASA-N 1 2 315.402 1.656 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2csc3c2CCCC3)C1 ZINC001078142291 753787332 /nfs/dbraw/zinc/78/73/32/753787332.db2.gz PZLPBBCMAQNBJZ-HUUCEWRRSA-N 1 2 318.442 1.425 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2csc3c2CCCC3)C1 ZINC001078142291 753787335 /nfs/dbraw/zinc/78/73/35/753787335.db2.gz PZLPBBCMAQNBJZ-HUUCEWRRSA-N 1 2 318.442 1.425 20 30 DDEDLO Cc1ocnc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001010348883 753794356 /nfs/dbraw/zinc/79/43/56/753794356.db2.gz YHKCYXYXLORPTH-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1ocnc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001010348883 753794359 /nfs/dbraw/zinc/79/43/59/753794359.db2.gz YHKCYXYXLORPTH-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC001010453095 753932549 /nfs/dbraw/zinc/93/25/49/753932549.db2.gz CDESNQPQRQSNNV-OAHLLOKOSA-N 1 2 322.368 1.663 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC001010453095 753932554 /nfs/dbraw/zinc/93/25/54/753932554.db2.gz CDESNQPQRQSNNV-OAHLLOKOSA-N 1 2 322.368 1.663 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCO[C@H](C[NH2+]Cc2csnn2)C1 ZINC001078440808 754080154 /nfs/dbraw/zinc/08/01/54/754080154.db2.gz HLUJLAJLNHZXFQ-CYBMUJFWSA-N 1 2 324.450 1.457 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)c(C)o1 ZINC001010694200 754104421 /nfs/dbraw/zinc/10/44/21/754104421.db2.gz BYKRZWSQFBTOPI-CYBMUJFWSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)c(C)o1 ZINC001010694200 754104424 /nfs/dbraw/zinc/10/44/24/754104424.db2.gz BYKRZWSQFBTOPI-CYBMUJFWSA-N 1 2 313.361 1.496 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H](CNc3cc[nH+]c(C)n3)C2)c1 ZINC001060986953 754122394 /nfs/dbraw/zinc/12/23/94/754122394.db2.gz BVEFTWFMUZPJHX-HNNXBMFYSA-N 1 2 321.384 1.736 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN2C(=O)CCc2[nH]cc[nH+]2)cn1 ZINC001063480698 754185457 /nfs/dbraw/zinc/18/54/57/754185457.db2.gz HINXFMKYAXBQQK-OAHLLOKOSA-N 1 2 324.388 1.712 20 30 DDEDLO Cc1nc(NC[C@H]2CCCN2C(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001063496522 754192979 /nfs/dbraw/zinc/19/29/79/754192979.db2.gz DSFJAWGESVOOIO-OAHLLOKOSA-N 1 2 324.388 1.561 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001108036285 754233693 /nfs/dbraw/zinc/23/36/93/754233693.db2.gz OVYNOSJRTOBTLL-QGZVFWFLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001108036285 754233699 /nfs/dbraw/zinc/23/36/99/754233699.db2.gz OVYNOSJRTOBTLL-QGZVFWFLSA-N 1 2 318.421 1.154 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001060997493 754248068 /nfs/dbraw/zinc/24/80/68/754248068.db2.gz CEPPTGDDGUPYOH-LBPRGKRZSA-N 1 2 310.361 1.179 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccsn2)[C@H](O)C1 ZINC001090351983 755033039 /nfs/dbraw/zinc/03/30/39/755033039.db2.gz BSWSSELESMECJE-MWLCHTKSSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccsn2)[C@H](O)C1 ZINC001090351983 755033043 /nfs/dbraw/zinc/03/30/43/755033043.db2.gz BSWSSELESMECJE-MWLCHTKSSA-N 1 2 301.799 1.061 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3ncc[nH]3)C2)s1 ZINC001014382630 755709681 /nfs/dbraw/zinc/70/96/81/755709681.db2.gz VPHSLIAMJINTFK-JTQLQIEISA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3ncc[nH]3)C2)s1 ZINC001014382630 755709683 /nfs/dbraw/zinc/70/96/83/755709683.db2.gz VPHSLIAMJINTFK-JTQLQIEISA-N 1 2 301.375 1.347 20 30 DDEDLO C=C(C)CCC(=O)N1CC(N(CC)C(=O)CCn2cc[nH+]c2)C1 ZINC001080168073 755743854 /nfs/dbraw/zinc/74/38/54/755743854.db2.gz NOSBFGMZZWGXHN-UHFFFAOYSA-N 1 2 318.421 1.689 20 30 DDEDLO C#CCCCC(=O)N1CC(N(CC)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001080198483 755772116 /nfs/dbraw/zinc/77/21/16/755772116.db2.gz LZGNDLHQBWRISU-UHFFFAOYSA-N 1 2 316.405 1.123 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001080200056 755773953 /nfs/dbraw/zinc/77/39/53/755773953.db2.gz ATHHWEKICBYLAU-RAIGVLPGSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001080200056 755773956 /nfs/dbraw/zinc/77/39/56/755773956.db2.gz ATHHWEKICBYLAU-RAIGVLPGSA-N 1 2 313.829 1.092 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080353479 755867001 /nfs/dbraw/zinc/86/70/01/755867001.db2.gz ANAZQSVMYZIFNA-UHFFFAOYSA-N 1 2 304.394 1.224 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2nc3cccnc3s2)C1 ZINC001014747943 755918364 /nfs/dbraw/zinc/91/83/64/755918364.db2.gz WFROZDRHNQONDS-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2nc3cccnc3s2)C1 ZINC001014747943 755918369 /nfs/dbraw/zinc/91/83/69/755918369.db2.gz WFROZDRHNQONDS-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)(C)C)cn2)[C@H](OC)C1 ZINC001081686053 756428864 /nfs/dbraw/zinc/42/88/64/756428864.db2.gz DEKCOFDMUPQOAH-HZPDHXFCSA-N 1 2 315.417 1.441 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)(C)C)cn2)[C@H](OC)C1 ZINC001081686053 756428866 /nfs/dbraw/zinc/42/88/66/756428866.db2.gz DEKCOFDMUPQOAH-HZPDHXFCSA-N 1 2 315.417 1.441 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(CC)ccn2)[C@H](OC)C1 ZINC001081723269 756442954 /nfs/dbraw/zinc/44/29/54/756442954.db2.gz XRXGVCQKFVHYBH-HZPDHXFCSA-N 1 2 301.390 1.096 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(CC)ccn2)[C@H](OC)C1 ZINC001081723269 756442956 /nfs/dbraw/zinc/44/29/56/756442956.db2.gz XRXGVCQKFVHYBH-HZPDHXFCSA-N 1 2 301.390 1.096 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+]([C@H](C)c3cnccn3)C2)c1 ZINC001015678808 756460523 /nfs/dbraw/zinc/46/05/23/756460523.db2.gz OJZSVDWDZMUCSV-CZUORRHYSA-N 1 2 321.384 1.418 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+]([C@H](C)c3cnccn3)C2)c1 ZINC001015678808 756460526 /nfs/dbraw/zinc/46/05/26/756460526.db2.gz OJZSVDWDZMUCSV-CZUORRHYSA-N 1 2 321.384 1.418 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccnn2C(CC)CC)[C@H](OC)C1 ZINC001081796093 756461344 /nfs/dbraw/zinc/46/13/44/756461344.db2.gz CNHYYDYYZJJVHP-GDBMZVCRSA-N 1 2 318.421 1.306 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccnn2C(CC)CC)[C@H](OC)C1 ZINC001081796093 756461350 /nfs/dbraw/zinc/46/13/50/756461350.db2.gz CNHYYDYYZJJVHP-GDBMZVCRSA-N 1 2 318.421 1.306 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c3ccccc3n2)[C@H](OC)C1 ZINC001081865140 756498035 /nfs/dbraw/zinc/49/80/35/756498035.db2.gz ZDTCFPLXYPTWTJ-QZTJIDSGSA-N 1 2 323.396 1.605 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c3ccccc3n2)[C@H](OC)C1 ZINC001081865140 756498038 /nfs/dbraw/zinc/49/80/38/756498038.db2.gz ZDTCFPLXYPTWTJ-QZTJIDSGSA-N 1 2 323.396 1.605 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1CNC(=O)Cc1c[nH+]cn1C ZINC001081946877 756559265 /nfs/dbraw/zinc/55/92/65/756559265.db2.gz YTESKBZRWYWRTG-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)[C@H](OC)C1 ZINC001081976651 756583165 /nfs/dbraw/zinc/58/31/65/756583165.db2.gz VSSXITJLRVKLHW-CHWSQXEVSA-N 1 2 324.783 1.850 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)[C@H](OC)C1 ZINC001081976651 756583168 /nfs/dbraw/zinc/58/31/68/756583168.db2.gz VSSXITJLRVKLHW-CHWSQXEVSA-N 1 2 324.783 1.850 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)cc2OC)[C@H](OC)C1 ZINC001082076879 756613975 /nfs/dbraw/zinc/61/39/75/756613975.db2.gz LZXDUYRVULYDBY-UKRRQHHQSA-N 1 2 322.792 1.411 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)cc2OC)[C@H](OC)C1 ZINC001082076879 756613977 /nfs/dbraw/zinc/61/39/77/756613977.db2.gz LZXDUYRVULYDBY-UKRRQHHQSA-N 1 2 322.792 1.411 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1nccs1 ZINC001015893721 756626727 /nfs/dbraw/zinc/62/67/27/756626727.db2.gz QFGNIKYPODCIIZ-OAHLLOKOSA-N 1 2 311.410 1.999 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1nccs1 ZINC001015893721 756626733 /nfs/dbraw/zinc/62/67/33/756626733.db2.gz QFGNIKYPODCIIZ-OAHLLOKOSA-N 1 2 311.410 1.999 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)cn2)[C@H](OC)C1 ZINC001082133941 756647767 /nfs/dbraw/zinc/64/77/67/756647767.db2.gz VMWNJURFSJGAJP-HZPDHXFCSA-N 1 2 301.390 1.267 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)cn2)[C@H](OC)C1 ZINC001082133941 756647769 /nfs/dbraw/zinc/64/77/69/756647769.db2.gz VMWNJURFSJGAJP-HZPDHXFCSA-N 1 2 301.390 1.267 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)c(F)c(F)c2)[C@H](OC)C1 ZINC001082162710 756667952 /nfs/dbraw/zinc/66/79/52/756667952.db2.gz RNDMLJGKPKHSSJ-CHWSQXEVSA-N 1 2 312.291 1.166 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)c(F)c(F)c2)[C@H](OC)C1 ZINC001082162710 756667953 /nfs/dbraw/zinc/66/79/53/756667953.db2.gz RNDMLJGKPKHSSJ-CHWSQXEVSA-N 1 2 312.291 1.166 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ncoc2C(C)(C)C)[C@H](OC)C1 ZINC001082311757 756730333 /nfs/dbraw/zinc/73/03/33/756730333.db2.gz YZPXIFXUTXUAGY-CHWSQXEVSA-N 1 2 319.405 1.424 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ncoc2C(C)(C)C)[C@H](OC)C1 ZINC001082311757 756730336 /nfs/dbraw/zinc/73/03/36/756730336.db2.gz YZPXIFXUTXUAGY-CHWSQXEVSA-N 1 2 319.405 1.424 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3conc3C)C2)cn1 ZINC001016029791 756732404 /nfs/dbraw/zinc/73/24/04/756732404.db2.gz GSSZOMIWBINUMO-INIZCTEOSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3conc3C)C2)cn1 ZINC001016029791 756732406 /nfs/dbraw/zinc/73/24/06/756732406.db2.gz GSSZOMIWBINUMO-INIZCTEOSA-N 1 2 310.357 1.364 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001082320472 756736943 /nfs/dbraw/zinc/73/69/43/756736943.db2.gz UWWROQLBKUETQZ-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccoc2CCC)[C@H](OC)C1 ZINC001082325748 756739333 /nfs/dbraw/zinc/73/93/33/756739333.db2.gz YRAPHCAWXCWQHW-GDBMZVCRSA-N 1 2 304.390 1.684 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccoc2CCC)[C@H](OC)C1 ZINC001082325748 756739335 /nfs/dbraw/zinc/73/93/35/756739335.db2.gz YRAPHCAWXCWQHW-GDBMZVCRSA-N 1 2 304.390 1.684 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001082451006 756791429 /nfs/dbraw/zinc/79/14/29/756791429.db2.gz NPQKZFYUFHDVCF-CKYFFXLPSA-N 1 2 303.366 1.043 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3[nH]ccc3C3CC3)[C@H]2C1 ZINC001083102991 757138502 /nfs/dbraw/zinc/13/85/02/757138502.db2.gz IZTYVELQKVUVBO-JKSUJKDBSA-N 1 2 313.401 1.441 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3[nH]ccc3C3CC3)[C@H]2C1 ZINC001083102991 757138507 /nfs/dbraw/zinc/13/85/07/757138507.db2.gz IZTYVELQKVUVBO-JKSUJKDBSA-N 1 2 313.401 1.441 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2OC(C)C)[C@@H](O)C1 ZINC001083979274 757201573 /nfs/dbraw/zinc/20/15/73/757201573.db2.gz URZYELVBTMDCBY-CVEARBPZSA-N 1 2 316.401 1.272 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2OC(C)C)[C@@H](O)C1 ZINC001083979274 757201576 /nfs/dbraw/zinc/20/15/76/757201576.db2.gz URZYELVBTMDCBY-CVEARBPZSA-N 1 2 316.401 1.272 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc([C@@H](C)CC)cc2)[C@@H](O)C1 ZINC001084094794 757279964 /nfs/dbraw/zinc/27/99/64/757279964.db2.gz GNJJZUPVAFLEHD-XKQJLSEDSA-N 1 2 300.402 1.608 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc([C@@H](C)CC)cc2)[C@@H](O)C1 ZINC001084094794 757279973 /nfs/dbraw/zinc/27/99/73/757279973.db2.gz GNJJZUPVAFLEHD-XKQJLSEDSA-N 1 2 300.402 1.608 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2CC(NC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC001016996465 757540764 /nfs/dbraw/zinc/54/07/64/757540764.db2.gz OORVPHBRYAAECK-UHFFFAOYSA-N 1 2 316.405 1.414 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](Cc3cnon3)CC2)C1 ZINC001052693693 757654013 /nfs/dbraw/zinc/65/40/13/757654013.db2.gz XPHOBHAKOPRJHF-ZDUSSCGKSA-N 1 2 304.394 1.897 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](Cc3cnon3)CC2)C1 ZINC001052693693 757654016 /nfs/dbraw/zinc/65/40/16/757654016.db2.gz XPHOBHAKOPRJHF-ZDUSSCGKSA-N 1 2 304.394 1.897 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(C(N)=O)s3)[C@@H]2C1 ZINC001084719288 757817873 /nfs/dbraw/zinc/81/78/73/757817873.db2.gz MWAPEMOSNBHDSX-VXGBXAGGSA-N 1 2 317.414 1.017 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(C(N)=O)s3)[C@@H]2C1 ZINC001084719288 757817887 /nfs/dbraw/zinc/81/78/87/757817887.db2.gz MWAPEMOSNBHDSX-VXGBXAGGSA-N 1 2 317.414 1.017 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2n1[C@@H](C)CCC2 ZINC001017572121 758042274 /nfs/dbraw/zinc/04/22/74/758042274.db2.gz BPMPSWYQGYIDSP-SOUVJXGZSA-N 1 2 312.417 1.702 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2n1[C@@H](C)CCC2 ZINC001017572121 758042284 /nfs/dbraw/zinc/04/22/84/758042284.db2.gz BPMPSWYQGYIDSP-SOUVJXGZSA-N 1 2 312.417 1.702 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)(C)C)n[nH]1 ZINC001017698987 758162032 /nfs/dbraw/zinc/16/20/32/758162032.db2.gz VGPMTZWSLOVWNQ-BETUJISGSA-N 1 2 300.406 1.629 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)(C)C)n[nH]1 ZINC001017698987 758162039 /nfs/dbraw/zinc/16/20/39/758162039.db2.gz VGPMTZWSLOVWNQ-BETUJISGSA-N 1 2 300.406 1.629 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1ccoc1 ZINC001017763658 758213600 /nfs/dbraw/zinc/21/36/00/758213600.db2.gz IPGHZAFMRGSLLL-GASCZTMLSA-N 1 2 324.384 1.982 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1ccoc1 ZINC001017763658 758213610 /nfs/dbraw/zinc/21/36/10/758213610.db2.gz IPGHZAFMRGSLLL-GASCZTMLSA-N 1 2 324.384 1.982 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c(F)cncc1F)CCO2 ZINC001053275823 758330272 /nfs/dbraw/zinc/33/02/72/758330272.db2.gz BPBFESKCYAUVCF-UHFFFAOYSA-N 1 2 323.343 1.463 20 30 DDEDLO C=CCOc1ccccc1C(=O)N1CCOC2(C[NH+](CC)C2)C1 ZINC001053313200 758366037 /nfs/dbraw/zinc/36/60/37/758366037.db2.gz YMRAROWBGZLOML-UHFFFAOYSA-N 1 2 316.401 1.798 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)COC1CCCCC1)CCO2 ZINC001053320241 758371963 /nfs/dbraw/zinc/37/19/63/758371963.db2.gz AQOQMJDQENZFDG-UHFFFAOYSA-N 1 2 322.449 1.825 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@@H]1C[C@H]1C1CC1)CCO2 ZINC001053346842 758392353 /nfs/dbraw/zinc/39/23/53/758392353.db2.gz QCGHTOFVJTWQSR-HOTGVXAUSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CCC[C@H](C)[N@@H+]1CCO[C@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108195365 758419524 /nfs/dbraw/zinc/41/95/24/758419524.db2.gz AFGCOTZECOXOGG-BLLLJJGKSA-N 1 2 324.400 1.724 20 30 DDEDLO C=CCC[C@H](C)[N@H+]1CCO[C@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108195365 758419527 /nfs/dbraw/zinc/41/95/27/758419527.db2.gz AFGCOTZECOXOGG-BLLLJJGKSA-N 1 2 324.400 1.724 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccn(C(C)C)n1)CCO2 ZINC001053454117 758473465 /nfs/dbraw/zinc/47/34/65/758473465.db2.gz RLBXSACNTWQRBU-UHFFFAOYSA-N 1 2 318.421 1.567 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001018059673 758513820 /nfs/dbraw/zinc/51/38/20/758513820.db2.gz OAKLOEMJGVEWDX-HDICACEKSA-N 1 2 320.396 1.999 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001018059673 758513826 /nfs/dbraw/zinc/51/38/26/758513826.db2.gz OAKLOEMJGVEWDX-HDICACEKSA-N 1 2 320.396 1.999 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108196046 758521690 /nfs/dbraw/zinc/52/16/90/758521690.db2.gz QWIVWUJXQYARTL-OAHLLOKOSA-N 1 2 310.373 1.336 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108196046 758521692 /nfs/dbraw/zinc/52/16/92/758521692.db2.gz QWIVWUJXQYARTL-OAHLLOKOSA-N 1 2 310.373 1.336 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nccnc21 ZINC001018094212 758543636 /nfs/dbraw/zinc/54/36/36/758543636.db2.gz TZINPZCPHSWBME-OKILXGFUSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nccnc21 ZINC001018094212 758543643 /nfs/dbraw/zinc/54/36/43/758543643.db2.gz TZINPZCPHSWBME-OKILXGFUSA-N 1 2 306.369 1.552 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N[C@@H](C)CNc1cc[nH+]c(C)n1 ZINC001108541867 762642713 /nfs/dbraw/zinc/64/27/13/762642713.db2.gz JOLJKWQUVIREOY-FZMZJTMJSA-N 1 2 319.409 1.173 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1O)O2 ZINC001053594564 758614017 /nfs/dbraw/zinc/61/40/17/758614017.db2.gz OKDCNDXCXMDNED-ZDUSSCGKSA-N 1 2 317.389 1.327 20 30 DDEDLO CC(C)=CC[NH+]1CC(N2C[C@@H](NC(=O)C#CC(C)C)CC2=O)C1 ZINC001108543623 762646428 /nfs/dbraw/zinc/64/64/28/762646428.db2.gz YOVMCGVBXZOZQH-HNNXBMFYSA-N 1 2 317.433 1.013 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C(C)C)no1)O2 ZINC001053674333 758684559 /nfs/dbraw/zinc/68/45/59/758684559.db2.gz LYJOXUTXCGWSOI-CYBMUJFWSA-N 1 2 319.405 1.947 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CC(C)(C)CC)CC2=O)C1 ZINC001108549515 762651654 /nfs/dbraw/zinc/65/16/54/762651654.db2.gz MCMVYFLFKPFODQ-AWEZNQCLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)/C(C)=C\C)C2)CC1 ZINC001065699120 758715959 /nfs/dbraw/zinc/71/59/59/758715959.db2.gz KRUGKGGOLNHCCV-STJUIISESA-N 1 2 319.449 1.664 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ncoc1CC)CO2 ZINC001053762527 758780662 /nfs/dbraw/zinc/78/06/62/758780662.db2.gz AXELTBQBTFFLLN-LBPRGKRZSA-N 1 2 305.378 1.386 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c(F)cncc1F)CO2 ZINC001053815587 758843529 /nfs/dbraw/zinc/84/35/29/758843529.db2.gz QAARVXCCIOHBSH-NSHDSACASA-N 1 2 323.343 1.509 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1n[nH]c3c1CCC3)CO2 ZINC001053861593 758895497 /nfs/dbraw/zinc/89/54/97/758895497.db2.gz OIUNHZQUCWTRPI-LBPRGKRZSA-N 1 2 316.405 1.048 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(Cl)[nH]1)CO2 ZINC001053916919 758951406 /nfs/dbraw/zinc/95/14/06/758951406.db2.gz VSOILEXJAPUNGW-LLVKDONJSA-N 1 2 307.781 1.265 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)[nH]c1=O)CO2 ZINC001053940040 758976847 /nfs/dbraw/zinc/97/68/47/758976847.db2.gz VCPWZSDDUZONEJ-ZDUSSCGKSA-N 1 2 317.389 1.245 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1cscn1)CO2 ZINC001053947383 758984753 /nfs/dbraw/zinc/98/47/53/758984753.db2.gz QFWJXCNPPXREKW-ZDUSSCGKSA-N 1 2 307.419 1.221 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066101390 759061813 /nfs/dbraw/zinc/06/18/13/759061813.db2.gz FWKHHNHEIFBGBQ-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cncc(OC)n2)CC1 ZINC001001234184 762681676 /nfs/dbraw/zinc/68/16/76/762681676.db2.gz FCWJBOSLLNBCIL-UHFFFAOYSA-N 1 2 322.796 1.600 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cncc(OC)n2)CC1 ZINC001001234184 762681681 /nfs/dbraw/zinc/68/16/81/762681681.db2.gz FCWJBOSLLNBCIL-UHFFFAOYSA-N 1 2 322.796 1.600 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cncn1C ZINC001054045149 759101443 /nfs/dbraw/zinc/10/14/43/759101443.db2.gz LPFAKPJQVJLNAF-INIZCTEOSA-N 1 2 308.385 1.210 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cncn1C ZINC001054045149 759101445 /nfs/dbraw/zinc/10/14/45/759101445.db2.gz LPFAKPJQVJLNAF-INIZCTEOSA-N 1 2 308.385 1.210 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1c[nH]nc1C ZINC001054048434 759104697 /nfs/dbraw/zinc/10/46/97/759104697.db2.gz BFPFURMVQHTVDN-KRWDZBQOSA-N 1 2 322.412 1.898 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1c[nH]nc1C ZINC001054048434 759104703 /nfs/dbraw/zinc/10/47/03/759104703.db2.gz BFPFURMVQHTVDN-KRWDZBQOSA-N 1 2 322.412 1.898 20 30 DDEDLO C#CC[NH+]1CCC(N(C)C(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC001006236916 759178460 /nfs/dbraw/zinc/17/84/60/759178460.db2.gz PTLIJEKPRVQIJZ-UHFFFAOYSA-N 1 2 323.400 1.641 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2snnc2C)C[C@@H]1O ZINC001099960415 759232743 /nfs/dbraw/zinc/23/27/43/759232743.db2.gz UDSLZGLXKRGEJT-NEPJUHHUSA-N 1 2 324.450 1.110 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2snnc2C)C[C@@H]1O ZINC001099960415 759232746 /nfs/dbraw/zinc/23/27/46/759232746.db2.gz UDSLZGLXKRGEJT-NEPJUHHUSA-N 1 2 324.450 1.110 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3nn(C)cc3C)cc2C1 ZINC001054265129 759369733 /nfs/dbraw/zinc/36/97/33/759369733.db2.gz FISMXOZBODHEDV-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3nn(C)cc3C)cc2C1 ZINC001054265129 759369743 /nfs/dbraw/zinc/36/97/43/759369743.db2.gz FISMXOZBODHEDV-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H](Nc3cc[nH+]c(C)n3)C[C@@H]2C)cn1 ZINC001069124002 767861565 /nfs/dbraw/zinc/86/15/65/767861565.db2.gz IUDOATUEKDZLHG-BLLLJJGKSA-N 1 2 321.384 1.876 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)CCCC2CC2)C[C@H]1NCC#N ZINC001131055753 767862440 /nfs/dbraw/zinc/86/24/40/767862440.db2.gz KUOYCRVEIJXRJS-HUUCEWRRSA-N 1 2 315.421 1.408 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cn[nH]c1 ZINC001085498867 759542081 /nfs/dbraw/zinc/54/20/81/759542081.db2.gz OAMNOYPTNQCTHW-QGZVFWFLSA-N 1 2 308.385 1.608 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cn[nH]c1 ZINC001085498867 759542088 /nfs/dbraw/zinc/54/20/88/759542088.db2.gz OAMNOYPTNQCTHW-QGZVFWFLSA-N 1 2 308.385 1.608 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131079816 767868803 /nfs/dbraw/zinc/86/88/03/767868803.db2.gz WITYCPSWBYGJNW-ZIAGYGMSSA-N 1 2 315.421 1.430 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1CNc1ncccc1C#N ZINC001054439474 759648561 /nfs/dbraw/zinc/64/85/61/759648561.db2.gz PGFKDDGAUUZTQN-TZMCWYRMSA-N 1 2 324.388 1.425 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cn(C)cn1 ZINC001085547929 759674602 /nfs/dbraw/zinc/67/46/02/759674602.db2.gz HUJAZEARQKZPLN-QGZVFWFLSA-N 1 2 322.412 1.618 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cn(C)cn1 ZINC001085547929 759674604 /nfs/dbraw/zinc/67/46/04/759674604.db2.gz HUJAZEARQKZPLN-QGZVFWFLSA-N 1 2 322.412 1.618 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001019332720 759813252 /nfs/dbraw/zinc/81/32/52/759813252.db2.gz WNEUUQUSHFHFBI-BLLLJJGKSA-N 1 2 306.410 1.925 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(Cl)n[nH]c1CC ZINC001085634879 759900193 /nfs/dbraw/zinc/90/01/93/759900193.db2.gz CQRBKLPELRCGMF-LLVKDONJSA-N 1 2 308.813 1.795 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(Cl)n[nH]c1CC ZINC001085634879 759900199 /nfs/dbraw/zinc/90/01/99/759900199.db2.gz CQRBKLPELRCGMF-LLVKDONJSA-N 1 2 308.813 1.795 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1sccc1-n1ccnn1 ZINC001085661154 759962348 /nfs/dbraw/zinc/96/23/48/759962348.db2.gz QAMCWYQIPXMHTG-GFCCVEGCSA-N 1 2 315.402 1.108 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1sccc1-n1ccnn1 ZINC001085661154 759962353 /nfs/dbraw/zinc/96/23/53/759962353.db2.gz QAMCWYQIPXMHTG-GFCCVEGCSA-N 1 2 315.402 1.108 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)c2cc[n+]([O-])cc2)c1 ZINC001038034946 760110063 /nfs/dbraw/zinc/11/00/63/760110063.db2.gz CZJPYCGHRKTPGZ-KRWDZBQOSA-N 1 2 322.368 1.196 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cc[n+]([O-])cc2)c1 ZINC001038034946 760110070 /nfs/dbraw/zinc/11/00/70/760110070.db2.gz CZJPYCGHRKTPGZ-KRWDZBQOSA-N 1 2 322.368 1.196 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1conc1C(F)(F)F ZINC001085723978 760123037 /nfs/dbraw/zinc/12/30/37/760123037.db2.gz JWFCPUVTFYAZNZ-VIFPVBQESA-N 1 2 301.268 1.473 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1conc1C(F)(F)F ZINC001085723978 760123041 /nfs/dbraw/zinc/12/30/41/760123041.db2.gz JWFCPUVTFYAZNZ-VIFPVBQESA-N 1 2 301.268 1.473 20 30 DDEDLO Cc1nc(NCC[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001066303877 760134201 /nfs/dbraw/zinc/13/42/01/760134201.db2.gz UNBAKPRLTWIVFX-ZDUSSCGKSA-N 1 2 324.388 1.949 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(C)nc1C(C)C ZINC001085854923 760389074 /nfs/dbraw/zinc/38/90/74/760389074.db2.gz KJUXDMFFBPITKF-CQSZACIVSA-N 1 2 300.406 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(C)nc1C(C)C ZINC001085854923 760389082 /nfs/dbraw/zinc/38/90/82/760389082.db2.gz KJUXDMFFBPITKF-CQSZACIVSA-N 1 2 300.406 1.688 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(CCC)c1C1CC1 ZINC001085916130 760522849 /nfs/dbraw/zinc/52/28/49/760522849.db2.gz RUWNKBXOVRGVBL-OAHLLOKOSA-N 1 2 314.433 1.950 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(CCC)c1C1CC1 ZINC001085916130 760522854 /nfs/dbraw/zinc/52/28/54/760522854.db2.gz RUWNKBXOVRGVBL-OAHLLOKOSA-N 1 2 314.433 1.950 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cnn(C)c2)nc1 ZINC001085930051 760556067 /nfs/dbraw/zinc/55/60/67/760556067.db2.gz MPLYGHHHEUQJOP-MRXNPFEDSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cnn(C)c2)nc1 ZINC001085930051 760556072 /nfs/dbraw/zinc/55/60/72/760556072.db2.gz MPLYGHHHEUQJOP-MRXNPFEDSA-N 1 2 323.400 1.143 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c2c1CCCCC2 ZINC001085969052 760625190 /nfs/dbraw/zinc/62/51/90/760625190.db2.gz UHAGJVIEOZYVED-AWEZNQCLSA-N 1 2 314.433 1.848 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c2c1CCCCC2 ZINC001085969052 760625201 /nfs/dbraw/zinc/62/52/01/760625201.db2.gz UHAGJVIEOZYVED-AWEZNQCLSA-N 1 2 314.433 1.848 20 30 DDEDLO CCn1ccnc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038201908 760915311 /nfs/dbraw/zinc/91/53/11/760915311.db2.gz NQRASYBBYUSUCU-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1ccnc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038201908 760915314 /nfs/dbraw/zinc/91/53/14/760915314.db2.gz NQRASYBBYUSUCU-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cc(CC)n(C)n2)C1 ZINC001046873824 768003323 /nfs/dbraw/zinc/00/33/23/768003323.db2.gz MEOFNTDESXGMNH-HNNXBMFYSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cc(CC)n(C)n2)C1 ZINC001046873824 768003331 /nfs/dbraw/zinc/00/33/31/768003331.db2.gz MEOFNTDESXGMNH-HNNXBMFYSA-N 1 2 310.829 1.929 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CCN2C(=O)Cc1[nH]cc[nH+]1 ZINC001056298429 761101246 /nfs/dbraw/zinc/10/12/46/761101246.db2.gz QOEOVTMBSVKDAE-KGLIPLIRSA-N 1 2 316.405 1.510 20 30 DDEDLO Cn1cncc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038470962 761144398 /nfs/dbraw/zinc/14/43/98/761144398.db2.gz QVTROLMJYCYOJE-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cncc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038470962 761144403 /nfs/dbraw/zinc/14/44/03/761144403.db2.gz QVTROLMJYCYOJE-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066445555 761183467 /nfs/dbraw/zinc/18/34/67/761183467.db2.gz JCOBSXLMVCMOIV-CQSZACIVSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCC2CCOCC2)C1 ZINC001108256009 761212789 /nfs/dbraw/zinc/21/27/89/761212789.db2.gz PSMVDYMICSQPSE-KRWDZBQOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCC2CCOCC2)C1 ZINC001108256009 761212795 /nfs/dbraw/zinc/21/27/95/761212795.db2.gz PSMVDYMICSQPSE-KRWDZBQOSA-N 1 2 310.438 1.586 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccnc2)c1 ZINC001038684781 761285660 /nfs/dbraw/zinc/28/56/60/761285660.db2.gz MLGDIEKXQLRVFA-QGZVFWFLSA-N 1 2 306.369 1.462 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccnc2)c1 ZINC001038684781 761285663 /nfs/dbraw/zinc/28/56/63/761285663.db2.gz MLGDIEKXQLRVFA-QGZVFWFLSA-N 1 2 306.369 1.462 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H](Nc3cc[nH+]c(C)n3)C2)cn1 ZINC001056672879 761332728 /nfs/dbraw/zinc/33/27/28/761332728.db2.gz MUSAIIQCHALHRP-OAHLLOKOSA-N 1 2 307.357 1.488 20 30 DDEDLO Cc1nc(NC[C@@H]2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001069457627 768027876 /nfs/dbraw/zinc/02/78/76/768027876.db2.gz DFKWIFPBSIUXHO-OCCSQVGLSA-N 1 2 324.388 1.995 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001069483303 768034156 /nfs/dbraw/zinc/03/41/56/768034156.db2.gz HQMMIQUQQWWCRU-CHWSQXEVSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001069483560 768034651 /nfs/dbraw/zinc/03/46/51/768034651.db2.gz KQZYDKIQBBRYDL-JSGCOSHPSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)c2ccncn2)c1 ZINC001038821755 761437229 /nfs/dbraw/zinc/43/72/29/761437229.db2.gz UABGENBRARGPEY-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2ccncn2)c1 ZINC001038821755 761437234 /nfs/dbraw/zinc/43/72/34/761437234.db2.gz UABGENBRARGPEY-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(CC2CCCC2)c1 ZINC001038863950 761487188 /nfs/dbraw/zinc/48/71/88/761487188.db2.gz FWMHOLUAWSIQDV-QGZVFWFLSA-N 1 2 314.433 1.901 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(CC2CCCC2)c1 ZINC001038863950 761487191 /nfs/dbraw/zinc/48/71/91/761487191.db2.gz FWMHOLUAWSIQDV-QGZVFWFLSA-N 1 2 314.433 1.901 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1csc(C(F)(F)F)n1 ZINC001038884722 761508732 /nfs/dbraw/zinc/50/87/32/761508732.db2.gz NWOPGHHULFNSFR-QMMMGPOBSA-N 1 2 303.309 1.599 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1csc(C(F)(F)F)n1 ZINC001038884722 761508738 /nfs/dbraw/zinc/50/87/38/761508738.db2.gz NWOPGHHULFNSFR-QMMMGPOBSA-N 1 2 303.309 1.599 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2CCC(F)(F)F)nc1 ZINC001038930769 761559116 /nfs/dbraw/zinc/55/91/16/761559116.db2.gz IWIRLIBOOFTTPU-GFCCVEGCSA-N 1 2 311.307 1.819 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2CCC(F)(F)F)nc1 ZINC001038930769 761559124 /nfs/dbraw/zinc/55/91/24/761559124.db2.gz IWIRLIBOOFTTPU-GFCCVEGCSA-N 1 2 311.307 1.819 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1COc2ccccc2O1 ZINC001038993100 761625474 /nfs/dbraw/zinc/62/54/74/761625474.db2.gz UVXFCVKFHOFZEV-BBRMVZONSA-N 1 2 300.358 1.040 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1COc2ccccc2O1 ZINC001038993100 761625475 /nfs/dbraw/zinc/62/54/75/761625475.db2.gz UVXFCVKFHOFZEV-BBRMVZONSA-N 1 2 300.358 1.040 20 30 DDEDLO C=CCCCCC[N@H+]1CCO[C@@](C)(CNC(=O)c2nc[nH]n2)C1 ZINC001108287510 761782214 /nfs/dbraw/zinc/78/22/14/761782214.db2.gz VGBMNLIJSOYPAV-INIZCTEOSA-N 1 2 321.425 1.372 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cc(C)n(C)n2)CC1 ZINC001000490123 761801784 /nfs/dbraw/zinc/80/17/84/761801784.db2.gz NVVCGHXNODPEGN-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cc(C)n(C)n2)CC1 ZINC001000490123 761801792 /nfs/dbraw/zinc/80/17/92/761801792.db2.gz NVVCGHXNODPEGN-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC001000515068 761860517 /nfs/dbraw/zinc/86/05/17/761860517.db2.gz HKTLZVQNCOFNAR-QWHCGFSZSA-N 1 2 312.797 1.296 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC001000515068 761860528 /nfs/dbraw/zinc/86/05/28/761860528.db2.gz HKTLZVQNCOFNAR-QWHCGFSZSA-N 1 2 312.797 1.296 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cn(C(C)C)nn2)CC1 ZINC001001309150 762747686 /nfs/dbraw/zinc/74/76/86/762747686.db2.gz DWWRITRPNJTURG-UHFFFAOYSA-N 1 2 323.828 1.973 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cn(C(C)C)nn2)CC1 ZINC001001309150 762747689 /nfs/dbraw/zinc/74/76/89/762747689.db2.gz DWWRITRPNJTURG-UHFFFAOYSA-N 1 2 323.828 1.973 20 30 DDEDLO CC#CC[N@H+]1CC=C(CNC(=O)c2n[nH]c3ccccc32)CC1 ZINC001001422245 762849695 /nfs/dbraw/zinc/84/96/95/762849695.db2.gz CDXUALNLWNXAAA-UHFFFAOYSA-N 1 2 308.385 1.948 20 30 DDEDLO CC#CC[N@@H+]1CC=C(CNC(=O)c2n[nH]c3ccccc32)CC1 ZINC001001422245 762849704 /nfs/dbraw/zinc/84/97/04/762849704.db2.gz CDXUALNLWNXAAA-UHFFFAOYSA-N 1 2 308.385 1.948 20 30 DDEDLO Cc1cc(N2CCCN(C(=O)Cc3c[nH+]c[nH]3)CC2)c(C#N)cn1 ZINC001057240145 763033563 /nfs/dbraw/zinc/03/35/63/763033563.db2.gz QIQZQFKXXPEABA-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO Cc1nc(N(C)C[C@@H](C)NC(=O)C#CC2CC2)c(C)c(C)[nH+]1 ZINC001108940689 763123848 /nfs/dbraw/zinc/12/38/48/763123848.db2.gz HKRAKMPKPMXERF-LLVKDONJSA-N 1 2 300.406 1.756 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1scnc1C)C2 ZINC001108959610 763168433 /nfs/dbraw/zinc/16/84/33/763168433.db2.gz BGOWGRAYZKXLRW-RDBSUJKOSA-N 1 2 319.430 1.436 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1scnc1C)C2 ZINC001108959610 763168439 /nfs/dbraw/zinc/16/84/39/763168439.db2.gz BGOWGRAYZKXLRW-RDBSUJKOSA-N 1 2 319.430 1.436 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CN(C)c1ccncc1C#N ZINC001109043689 763258917 /nfs/dbraw/zinc/25/89/17/763258917.db2.gz CXPANJBTWAMVEK-NSHDSACASA-N 1 2 312.377 1.168 20 30 DDEDLO COc1ccnc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H](C)C#N)C3)c1 ZINC001109078982 763315208 /nfs/dbraw/zinc/31/52/08/763315208.db2.gz USNMDTFGXMKUKA-YOENINGUSA-N 1 2 314.389 1.471 20 30 DDEDLO COc1ccnc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H](C)C#N)C3)c1 ZINC001109078982 763315215 /nfs/dbraw/zinc/31/52/15/763315215.db2.gz USNMDTFGXMKUKA-YOENINGUSA-N 1 2 314.389 1.471 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2CC(Nc3cc[nH+]c(C)n3)C2)nc1 ZINC001069755690 768128626 /nfs/dbraw/zinc/12/86/26/768128626.db2.gz IRNBCJKCKYKMJY-UHFFFAOYSA-N 1 2 321.384 1.876 20 30 DDEDLO C[C@H](CN(C)c1ncccc1C#N)NC(=O)CCn1cc[nH+]c1 ZINC001109172642 763427605 /nfs/dbraw/zinc/42/76/05/763427605.db2.gz RBJVJTHQLFKRON-CYBMUJFWSA-N 1 2 312.377 1.181 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)c3cc(C#N)c[nH]3)[C@H](C)C2)cc[nH+]1 ZINC001067383630 763595403 /nfs/dbraw/zinc/59/54/03/763595403.db2.gz JSSVHMUBLLPRGP-BXUZGUMPSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@H]4CCN(CC#N)[C@@H]4C3)ccn12 ZINC001042061319 763604761 /nfs/dbraw/zinc/60/47/61/763604761.db2.gz DEWUNZDCZBJYBU-GDBMZVCRSA-N 1 2 323.400 1.703 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CN(c3cc[nH+]c(C)n3)C[C@H]2C)nc1 ZINC001042080073 763625244 /nfs/dbraw/zinc/62/52/44/763625244.db2.gz IKJMWPIBLNIATF-MLGOLLRUSA-N 1 2 321.384 1.416 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC ZINC001109632733 763896159 /nfs/dbraw/zinc/89/61/59/763896159.db2.gz AOFRUVFKIGMZIK-KBXIAJHMSA-N 1 2 307.438 1.446 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC ZINC001109632733 763896165 /nfs/dbraw/zinc/89/61/65/763896165.db2.gz AOFRUVFKIGMZIK-KBXIAJHMSA-N 1 2 307.438 1.446 20 30 DDEDLO CN(CCCNc1ccncc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001109656947 763918569 /nfs/dbraw/zinc/91/85/69/763918569.db2.gz SIHOVIJWOAFFGJ-ZIAGYGMSSA-N 1 2 324.388 1.162 20 30 DDEDLO CN(CCCNc1ccncc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001109656947 763918577 /nfs/dbraw/zinc/91/85/77/763918577.db2.gz SIHOVIJWOAFFGJ-ZIAGYGMSSA-N 1 2 324.388 1.162 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@](C)(C=C)CC)C2 ZINC001109678667 763948019 /nfs/dbraw/zinc/94/80/19/763948019.db2.gz CTTVMVBJVUCQGQ-LLDVTBCESA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@](C)(C=C)CC)C2 ZINC001109678667 763948025 /nfs/dbraw/zinc/94/80/25/763948025.db2.gz CTTVMVBJVUCQGQ-LLDVTBCESA-N 1 2 317.433 1.060 20 30 DDEDLO N#Cc1ccc(NCC2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)nc1 ZINC001057544981 763955461 /nfs/dbraw/zinc/95/54/61/763955461.db2.gz LXJYMKPETVFFEE-UHFFFAOYSA-N 1 2 324.388 1.570 20 30 DDEDLO CC(C)CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109748119 763989137 /nfs/dbraw/zinc/98/91/37/763989137.db2.gz HVLFKWFFJQPMFL-ILXRZTDVSA-N 1 2 320.437 1.174 20 30 DDEDLO CC(C)CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109748119 763989144 /nfs/dbraw/zinc/98/91/44/763989144.db2.gz HVLFKWFFJQPMFL-ILXRZTDVSA-N 1 2 320.437 1.174 20 30 DDEDLO N#Cc1cccnc1NC[C@@H](NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001109865634 764148441 /nfs/dbraw/zinc/14/84/41/764148441.db2.gz HARGPHACEWTXEI-CQSZACIVSA-N 1 2 310.361 1.157 20 30 DDEDLO C=CCCOCC(=O)N[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109867464 764150951 /nfs/dbraw/zinc/15/09/51/764150951.db2.gz LUPUEPCEQLPUPP-AWEZNQCLSA-N 1 2 304.394 1.684 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001057660189 764261135 /nfs/dbraw/zinc/26/11/35/764261135.db2.gz KQCMZLPHSORCOW-CQSZACIVSA-N 1 2 310.361 1.253 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1occ2c1CCOC2 ZINC001051040770 764444469 /nfs/dbraw/zinc/44/44/69/764444469.db2.gz QZMBPFMOLKIWAS-AWEZNQCLSA-N 1 2 320.389 1.359 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1occ2c1CCOC2 ZINC001051040770 764444476 /nfs/dbraw/zinc/44/44/76/764444476.db2.gz QZMBPFMOLKIWAS-AWEZNQCLSA-N 1 2 320.389 1.359 20 30 DDEDLO CSCCC(=O)N1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC001112604934 764454914 /nfs/dbraw/zinc/45/49/14/764454914.db2.gz YSJMSCVFRWBSKQ-UHFFFAOYSA-N 1 2 303.431 1.956 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001051055570 764459078 /nfs/dbraw/zinc/45/90/78/764459078.db2.gz SLPZMOHXPNLVKO-AWEZNQCLSA-N 1 2 306.410 1.044 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001051055570 764459084 /nfs/dbraw/zinc/45/90/84/764459084.db2.gz SLPZMOHXPNLVKO-AWEZNQCLSA-N 1 2 306.410 1.044 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nn1CC ZINC001051132611 764533379 /nfs/dbraw/zinc/53/33/79/764533379.db2.gz XNKVXVXMHMLTEX-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nn1CC ZINC001051132611 764533384 /nfs/dbraw/zinc/53/33/84/764533384.db2.gz XNKVXVXMHMLTEX-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc2c1OCC2 ZINC001051134202 764535427 /nfs/dbraw/zinc/53/54/27/764535427.db2.gz SGUWFZGRIVSJDQ-OAHLLOKOSA-N 1 2 316.401 1.628 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc2c1OCC2 ZINC001051134202 764535432 /nfs/dbraw/zinc/53/54/32/764535432.db2.gz SGUWFZGRIVSJDQ-OAHLLOKOSA-N 1 2 316.401 1.628 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn2c1CCCC2 ZINC001051195588 764604873 /nfs/dbraw/zinc/60/48/73/764604873.db2.gz UWGITZHKIALAQR-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn2c1CCCC2 ZINC001051195588 764604876 /nfs/dbraw/zinc/60/48/76/764604876.db2.gz UWGITZHKIALAQR-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001051311795 764732523 /nfs/dbraw/zinc/73/25/23/764732523.db2.gz MBLTUQGHHWZSDL-TZMCWYRMSA-N 1 2 318.421 1.580 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@H]2C)n1 ZINC001043227707 764777376 /nfs/dbraw/zinc/77/73/76/764777376.db2.gz KKMHNPGDBWFLHY-IUODEOHRSA-N 1 2 324.388 1.417 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001112837215 764846366 /nfs/dbraw/zinc/84/63/66/764846366.db2.gz XVMMBHMEJBLNCG-INIZCTEOSA-N 1 2 322.449 1.376 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2ccc(C)cc2)CC1 ZINC001112837905 764847903 /nfs/dbraw/zinc/84/79/03/764847903.db2.gz ZTWZEPRJTVNDPU-INIZCTEOSA-N 1 2 300.402 1.678 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2CCCN2c2nccs2)C1 ZINC001043464056 764920478 /nfs/dbraw/zinc/92/04/78/764920478.db2.gz MSRSYRJJDARFGP-AWEZNQCLSA-N 1 2 318.446 1.278 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098186698 765122588 /nfs/dbraw/zinc/12/25/88/765122588.db2.gz GIOMMGXHZSXGOQ-LLVKDONJSA-N 1 2 320.441 1.765 20 30 DDEDLO C=CCC[NH+]1CC(N(C)C(=O)c2ccc(CNC(C)=O)s2)C1 ZINC001043974404 765197873 /nfs/dbraw/zinc/19/78/73/765197873.db2.gz AGIBONFVVQVCON-UHFFFAOYSA-N 1 2 321.446 1.717 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccnn2C2CCOCC2)C1 ZINC001044025349 765240905 /nfs/dbraw/zinc/24/09/05/765240905.db2.gz OTASXEMLAMOGIZ-UHFFFAOYSA-N 1 2 318.421 1.567 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn3cc(OC)ccc23)C1 ZINC001044056485 765260254 /nfs/dbraw/zinc/26/02/54/765260254.db2.gz ACGLHIVRSYOPBY-UHFFFAOYSA-N 1 2 312.373 1.122 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3CC=CCC3)C2)CC1 ZINC001051981057 765343817 /nfs/dbraw/zinc/34/38/17/765343817.db2.gz MUNUXERQKWCKLY-ROUUACIJSA-N 1 2 315.461 1.585 20 30 DDEDLO CC#CCN1CC(N(C)C(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC001044176435 765366814 /nfs/dbraw/zinc/36/68/14/765366814.db2.gz REVLCFPYCAKTAP-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C3=CCCC3)C2)CC1 ZINC001052013138 765382530 /nfs/dbraw/zinc/38/25/30/765382530.db2.gz RRDJFNGNGCJHNJ-QGZVFWFLSA-N 1 2 301.434 1.339 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H](CC)SC)C2)CC1 ZINC001052015167 765386010 /nfs/dbraw/zinc/38/60/10/765386010.db2.gz OJJSMLRUWHERIQ-HUUCEWRRSA-N 1 2 311.495 1.533 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc(Cl)[nH]3)C2)CC1 ZINC001052077422 765448638 /nfs/dbraw/zinc/44/86/38/765448638.db2.gz QUZWFHBHMYMOCL-GFCCVEGCSA-N 1 2 321.812 1.024 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2Oc3ccccc3O[C@H]2C)C1 ZINC001044284213 765449197 /nfs/dbraw/zinc/44/91/97/765449197.db2.gz POGAIQLIHIJAOJ-SUMWQHHRSA-N 1 2 314.385 1.381 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C3CC4(CC4)C3)C2)CC1 ZINC001052082877 765456191 /nfs/dbraw/zinc/45/61/91/765456191.db2.gz XVDGLSATZWMZSY-KRWDZBQOSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(OC)c(OC)cc2C)C1 ZINC001044324400 765482410 /nfs/dbraw/zinc/48/24/10/765482410.db2.gz HKTMGRTWYKBDDM-UHFFFAOYSA-N 1 2 316.401 1.792 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCCCN2C(=O)CCC)C1 ZINC001044328448 765485134 /nfs/dbraw/zinc/48/51/34/765485134.db2.gz ZUCDPPSAQFAOIA-HNNXBMFYSA-N 1 2 307.438 1.496 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2CCCN2CC(F)(F)F)C1 ZINC001044335913 765490572 /nfs/dbraw/zinc/49/05/72/765490572.db2.gz QXVNLCCZPQSTIK-CYBMUJFWSA-N 1 2 317.355 1.179 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)CCn2cc[nH+]c2)C1 ZINC001052186347 765562014 /nfs/dbraw/zinc/56/20/14/765562014.db2.gz AFILCAQVIQMMPO-GJZGRUSLSA-N 1 2 318.421 1.450 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1cncc(C#N)n1 ZINC001113352328 765617655 /nfs/dbraw/zinc/61/76/55/765617655.db2.gz QAGKMZZAKKRTBQ-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1cncc(C#N)n1 ZINC001113352328 765617661 /nfs/dbraw/zinc/61/76/61/765617661.db2.gz QAGKMZZAKKRTBQ-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccc(OC)c2C)CC1 ZINC001113383847 765642145 /nfs/dbraw/zinc/64/21/45/765642145.db2.gz VBDQSWBDDOBLNB-UHFFFAOYSA-N 1 2 318.417 1.964 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccccc2)CC[C@@H]1C ZINC001131747556 768321331 /nfs/dbraw/zinc/32/13/31/768321331.db2.gz LUJNKKOCLPSBQV-GOEBONIOSA-N 1 2 313.401 1.019 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccccc2)CC[C@@H]1C ZINC001131747556 768321337 /nfs/dbraw/zinc/32/13/37/768321337.db2.gz LUJNKKOCLPSBQV-GOEBONIOSA-N 1 2 313.401 1.019 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)Cn2cncc2C)C1 ZINC001086599634 765896637 /nfs/dbraw/zinc/89/66/37/765896637.db2.gz ZVWBIUOCSNYLEP-ZWKOTPCHSA-N 1 2 322.412 1.409 20 30 DDEDLO C#CC[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)Cn2cncc2C)C1 ZINC001086599634 765896643 /nfs/dbraw/zinc/89/66/43/765896643.db2.gz ZVWBIUOCSNYLEP-ZWKOTPCHSA-N 1 2 322.412 1.409 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](C)OCCc2ccccc2)CC1 ZINC001113593648 765924152 /nfs/dbraw/zinc/92/41/52/765924152.db2.gz NRLDXONYJCZBEC-MRXNPFEDSA-N 1 2 302.418 1.964 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2cccs2)CC[C@@H]1C ZINC001131770905 768337923 /nfs/dbraw/zinc/33/79/23/768337923.db2.gz BAXGOYVBKHPPAQ-QWHCGFSZSA-N 1 2 321.446 1.633 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2cccs2)CC[C@@H]1C ZINC001131770905 768337930 /nfs/dbraw/zinc/33/79/30/768337930.db2.gz BAXGOYVBKHPPAQ-QWHCGFSZSA-N 1 2 321.446 1.633 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)N(C)c1ccncc1C#N ZINC001113682089 766014098 /nfs/dbraw/zinc/01/40/98/766014098.db2.gz QZSQKLLDNYAYQJ-LLVKDONJSA-N 1 2 312.377 1.168 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](CCc2cnn(CC)c2)CC1 ZINC001113709673 766048880 /nfs/dbraw/zinc/04/88/80/766048880.db2.gz YABHTUSXGCGFPY-UHFFFAOYSA-N 1 2 320.437 1.182 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C3CC3)CCC2)[C@@H](O)C1 ZINC001090462741 766171823 /nfs/dbraw/zinc/17/18/23/766171823.db2.gz IOGPXAFQPRFZKB-KGLIPLIRSA-N 1 2 312.841 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C3CC3)CCC2)[C@@H](O)C1 ZINC001090462741 766171826 /nfs/dbraw/zinc/17/18/26/766171826.db2.gz IOGPXAFQPRFZKB-KGLIPLIRSA-N 1 2 312.841 1.871 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](CC(=C)Br)C[C@H]21 ZINC001114196686 766759492 /nfs/dbraw/zinc/75/94/92/766759492.db2.gz KZFCEIUTCTZCLC-IMRBUKKESA-N 1 2 311.223 1.745 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](CC(=C)Br)C[C@H]21 ZINC001114196686 766759499 /nfs/dbraw/zinc/75/94/99/766759499.db2.gz KZFCEIUTCTZCLC-IMRBUKKESA-N 1 2 311.223 1.745 20 30 DDEDLO Cc1sccc1C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046028871 766834942 /nfs/dbraw/zinc/83/49/42/766834942.db2.gz IFLPSIZACYHWAJ-AWEZNQCLSA-N 1 2 318.446 1.412 20 30 DDEDLO C[C@H]1CCN(c2ccc(C#N)nc2)C[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067992652 766859571 /nfs/dbraw/zinc/85/95/71/766859571.db2.gz ACEKJBSTFUQUQL-BLLLJJGKSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H]1CCN(c2ccc(C#N)cn2)C[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067994386 766861179 /nfs/dbraw/zinc/86/11/79/766861179.db2.gz URSBLZVQUIMUIU-WFASDCNBSA-N 1 2 324.388 1.250 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C(C)(CC)CC)[C@@H](n2ccnn2)C1 ZINC001129453911 766886720 /nfs/dbraw/zinc/88/67/20/766886720.db2.gz OSHVNFMADVQJNU-CABCVRRESA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C(C)(CC)CC)[C@@H](n2ccnn2)C1 ZINC001129453911 766886731 /nfs/dbraw/zinc/88/67/31/766886731.db2.gz OSHVNFMADVQJNU-CABCVRRESA-N 1 2 317.437 1.469 20 30 DDEDLO C[C@H]1C[C@@H](CNc2ccc(C#N)nc2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068376451 767220453 /nfs/dbraw/zinc/22/04/53/767220453.db2.gz UYECGFVNLLGBOY-STQMWFEESA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1c[nH+]cn1C ZINC001068871982 767672470 /nfs/dbraw/zinc/67/24/70/767672470.db2.gz CCCRGTVEVZMMGD-BLLLJJGKSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C1CCC(C(=O)N[C@]2(C)CC[N@@H+](Cc3cn(C)nn3)C2)CC1 ZINC001046594843 767719250 /nfs/dbraw/zinc/71/92/50/767719250.db2.gz QDCRPZYOJANOMM-QGZVFWFLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CCC(C(=O)N[C@]2(C)CC[N@H+](Cc3cn(C)nn3)C2)CC1 ZINC001046594843 767719253 /nfs/dbraw/zinc/71/92/53/767719253.db2.gz QDCRPZYOJANOMM-QGZVFWFLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001046631937 767756183 /nfs/dbraw/zinc/75/61/83/767756183.db2.gz NWVWOCWFGZRLEP-VYDXJSESSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2ccn(C)n2)C1 ZINC001046631937 767756190 /nfs/dbraw/zinc/75/61/90/767756190.db2.gz NWVWOCWFGZRLEP-VYDXJSESSA-N 1 2 318.421 1.092 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccncc2C#N)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069077880 767826900 /nfs/dbraw/zinc/82/69/00/767826900.db2.gz AMEDFWJSXJMVMS-TZMCWYRMSA-N 1 2 324.388 1.132 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+][C@H](C)c1ncc(C)o1 ZINC001131867092 768442516 /nfs/dbraw/zinc/44/25/16/768442516.db2.gz ISTCPTUFQHNFCR-CJNGLKHVSA-N 1 2 309.410 1.979 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2C[N@H+](C/C=C/Cl)C[C@@H]2O)cc1 ZINC001047480777 768507744 /nfs/dbraw/zinc/50/77/44/768507744.db2.gz RMPKWOBCMZDLMX-HSXPWJGJSA-N 1 2 318.804 1.538 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2C[N@@H+](C/C=C/Cl)C[C@@H]2O)cc1 ZINC001047480777 768507748 /nfs/dbraw/zinc/50/77/48/768507748.db2.gz RMPKWOBCMZDLMX-HSXPWJGJSA-N 1 2 318.804 1.538 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cccc(F)c2Cl)C1 ZINC001047545979 768559147 /nfs/dbraw/zinc/55/91/47/768559147.db2.gz VQWCGLHNBWZORY-STQMWFEESA-N 1 2 310.756 1.229 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cccc(F)c2Cl)C1 ZINC001047545979 768559152 /nfs/dbraw/zinc/55/91/52/768559152.db2.gz VQWCGLHNBWZORY-STQMWFEESA-N 1 2 310.756 1.229 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scnc2C(C)(C)C)C1 ZINC001047557341 768569636 /nfs/dbraw/zinc/56/96/36/768569636.db2.gz QWYHWBFQVDBHRQ-RYUDHWBXSA-N 1 2 323.462 1.744 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scnc2C(C)(C)C)C1 ZINC001047557341 768569638 /nfs/dbraw/zinc/56/96/38/768569638.db2.gz QWYHWBFQVDBHRQ-RYUDHWBXSA-N 1 2 323.462 1.744 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001132018694 768576488 /nfs/dbraw/zinc/57/64/88/768576488.db2.gz YTOBLJXJTXQRJC-LSDHHAIUSA-N 1 2 302.422 1.549 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001132018694 768576490 /nfs/dbraw/zinc/57/64/90/768576490.db2.gz YTOBLJXJTXQRJC-LSDHHAIUSA-N 1 2 302.422 1.549 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2nocc2C)C1 ZINC001132028801 768587424 /nfs/dbraw/zinc/58/74/24/768587424.db2.gz BTCREXOGRLHANP-CABCVRRESA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2nocc2C)C1 ZINC001132028801 768587427 /nfs/dbraw/zinc/58/74/27/768587427.db2.gz BTCREXOGRLHANP-CABCVRRESA-N 1 2 319.405 1.492 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2coc(C3CCC3)n2)C1 ZINC001047590794 768593958 /nfs/dbraw/zinc/59/39/58/768593958.db2.gz KGMBWBREAALMEU-GJZGRUSLSA-N 1 2 317.389 1.083 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2coc(C3CCC3)n2)C1 ZINC001047590794 768593961 /nfs/dbraw/zinc/59/39/61/768593961.db2.gz KGMBWBREAALMEU-GJZGRUSLSA-N 1 2 317.389 1.083 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C(C)C)s2)C1 ZINC001047643443 768633868 /nfs/dbraw/zinc/63/38/68/768633868.db2.gz LNBONUIFIGDELN-STQMWFEESA-N 1 2 306.431 1.622 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C(C)C)s2)C1 ZINC001047643443 768633871 /nfs/dbraw/zinc/63/38/71/768633871.db2.gz LNBONUIFIGDELN-STQMWFEESA-N 1 2 306.431 1.622 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCn2cc[nH+]c2C1)Nc1ccc(C#N)cn1 ZINC001098126066 768666719 /nfs/dbraw/zinc/66/67/19/768666719.db2.gz UEAQVGWPNUXEFQ-OCCSQVGLSA-N 1 2 324.388 1.329 20 30 DDEDLO CC(C)C1(C(=O)N[C@H]2CC[C@@H](C)[N@H+](CC(=O)NCC#N)C2)CC1 ZINC001132282276 768726990 /nfs/dbraw/zinc/72/69/90/768726990.db2.gz ISWMBJVUKRTCNC-KGLIPLIRSA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)C1(C(=O)N[C@H]2CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C2)CC1 ZINC001132282276 768726992 /nfs/dbraw/zinc/72/69/92/768726992.db2.gz ISWMBJVUKRTCNC-KGLIPLIRSA-N 1 2 320.437 1.031 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+][C@H](C)c1nc(COC)no1 ZINC001132401969 768806260 /nfs/dbraw/zinc/80/62/60/768806260.db2.gz HVNVIAZGJZDYLN-CYBMUJFWSA-N 1 2 322.409 1.567 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)COCCCOC)CC[C@@H]1C ZINC001132410641 768824810 /nfs/dbraw/zinc/82/48/10/768824810.db2.gz MSBRAHFCVIQPEP-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)COCCCOC)CC[C@@H]1C ZINC001132410641 768824827 /nfs/dbraw/zinc/82/48/27/768824827.db2.gz MSBRAHFCVIQPEP-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2(C)CCCC2)CC1 ZINC001070982593 768834061 /nfs/dbraw/zinc/83/40/61/768834061.db2.gz BNGBFSZACAMALI-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2(C)CCCC2)CC1 ZINC001070982593 768834073 /nfs/dbraw/zinc/83/40/73/768834073.db2.gz BNGBFSZACAMALI-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001070990213 768844180 /nfs/dbraw/zinc/84/41/80/768844180.db2.gz WJLDWBXOSZCQAO-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001070990213 768844193 /nfs/dbraw/zinc/84/41/93/768844193.db2.gz WJLDWBXOSZCQAO-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C(C2CC2)C2CC2)CC1 ZINC001071013334 768879314 /nfs/dbraw/zinc/87/93/14/768879314.db2.gz YVBFGYNIDIFNPQ-UHFFFAOYSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C(C2CC2)C2CC2)CC1 ZINC001071013334 768879320 /nfs/dbraw/zinc/87/93/20/768879320.db2.gz YVBFGYNIDIFNPQ-UHFFFAOYSA-N 1 2 319.449 1.259 20 30 DDEDLO CC(C)C(=O)NCC[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC001096273507 768908669 /nfs/dbraw/zinc/90/86/69/768908669.db2.gz QTQULLWOZKXKMQ-UHFFFAOYSA-N 1 2 318.396 1.592 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001132653535 769055367 /nfs/dbraw/zinc/05/53/67/769055367.db2.gz OACOIOLVKRKONK-VIFPVBQESA-N 1 2 302.325 1.815 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCN(c2cc(C)[nH+]c(C3CC3)n2)C1 ZINC001096334440 769261961 /nfs/dbraw/zinc/26/19/61/769261961.db2.gz KHMZVCLWRXBZRI-CQSZACIVSA-N 1 2 316.405 1.560 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnn(C)c2N)CC[C@@H]1C ZINC001071447261 769446154 /nfs/dbraw/zinc/44/61/54/769446154.db2.gz KSZTUKGOTZJCHM-QWRGUYRKSA-N 1 2 311.817 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnn(C)c2N)CC[C@@H]1C ZINC001071447261 769446165 /nfs/dbraw/zinc/44/61/65/769446165.db2.gz KSZTUKGOTZJCHM-QWRGUYRKSA-N 1 2 311.817 1.338 20 30 DDEDLO C=CCCCC(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001133154683 769534596 /nfs/dbraw/zinc/53/45/96/769534596.db2.gz PRBNDKSSZVTRBF-UHFFFAOYSA-N 1 2 310.423 1.664 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCCC3)CC[C@@H]1C ZINC001071635123 769762715 /nfs/dbraw/zinc/76/27/15/769762715.db2.gz NYRICJMGIYJGGT-KBPBESRZSA-N 1 2 314.433 1.895 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCCC3)CC[C@@H]1C ZINC001071635123 769762722 /nfs/dbraw/zinc/76/27/22/769762722.db2.gz NYRICJMGIYJGGT-KBPBESRZSA-N 1 2 314.433 1.895 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nc([C@H](C)OC)no2)CCC1 ZINC001133392181 769772959 /nfs/dbraw/zinc/77/29/59/769772959.db2.gz LBFWORXUYLXPHH-LBPRGKRZSA-N 1 2 322.409 1.729 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC001134062317 770609184 /nfs/dbraw/zinc/60/91/84/770609184.db2.gz WWZJJUAWKSDGFO-OLZOCXBDSA-N 1 2 321.421 1.769 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072221775 770675832 /nfs/dbraw/zinc/67/58/32/770675832.db2.gz BZSPITSZSMXPKU-OCCSQVGLSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001134428439 771008235 /nfs/dbraw/zinc/00/82/35/771008235.db2.gz NFCHTYJRBWMXDP-UHFFFAOYSA-N 1 2 324.450 1.910 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1nncn1C ZINC001049637344 771039941 /nfs/dbraw/zinc/03/99/41/771039941.db2.gz XOPBDQOQWXNWOI-KBPBESRZSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1nncn1C ZINC001049637344 771039946 /nfs/dbraw/zinc/03/99/46/771039946.db2.gz XOPBDQOQWXNWOI-KBPBESRZSA-N 1 2 317.437 1.593 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001049998737 771353011 /nfs/dbraw/zinc/35/30/11/771353011.db2.gz LOEAEWGYGHJBKK-MELADBBJSA-N 1 2 301.394 1.003 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001049998737 771353017 /nfs/dbraw/zinc/35/30/17/771353017.db2.gz LOEAEWGYGHJBKK-MELADBBJSA-N 1 2 301.394 1.003 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096637623 771362965 /nfs/dbraw/zinc/36/29/65/771362965.db2.gz UNMZJJHESBKCGG-CJNGLKHVSA-N 1 2 304.394 1.419 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096682170 771390706 /nfs/dbraw/zinc/39/07/06/771390706.db2.gz UUBVRLZARJDMEU-RISCZKNCSA-N 1 2 304.394 1.683 20 30 DDEDLO N#Cc1ccc(N[C@@H](CNC(=O)Cn2cc[nH+]c2)C2CC2)nc1 ZINC001096682705 771390862 /nfs/dbraw/zinc/39/08/62/771390862.db2.gz BQIHVOLEBSTEOQ-AWEZNQCLSA-N 1 2 310.361 1.157 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1cc(C)cn1)C2 ZINC001096850826 771485296 /nfs/dbraw/zinc/48/52/96/771485296.db2.gz CGRNKHBKYDFCKA-MCIONIFRSA-N 1 2 308.813 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1cc(C)cn1)C2 ZINC001096850826 771485300 /nfs/dbraw/zinc/48/53/00/771485300.db2.gz CGRNKHBKYDFCKA-MCIONIFRSA-N 1 2 308.813 1.666 20 30 DDEDLO C#CCC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCc3[nH]ncc31)C2 ZINC001097079068 771613881 /nfs/dbraw/zinc/61/38/81/771613881.db2.gz HGCNVMAZMCGPEQ-GFOFROLCSA-N 1 2 312.417 1.574 20 30 DDEDLO C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCc3[nH]ncc31)C2 ZINC001097079068 771613883 /nfs/dbraw/zinc/61/38/83/771613883.db2.gz HGCNVMAZMCGPEQ-GFOFROLCSA-N 1 2 312.417 1.574 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@H+]([C@H](C)c2nnnn2C)CC1 ZINC001159544650 771666743 /nfs/dbraw/zinc/66/67/43/771666743.db2.gz XBEFQFDZSFVQBR-CYBMUJFWSA-N 1 2 318.425 1.376 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@@H+]([C@H](C)c2nnnn2C)CC1 ZINC001159544650 771666746 /nfs/dbraw/zinc/66/67/46/771666746.db2.gz XBEFQFDZSFVQBR-CYBMUJFWSA-N 1 2 318.425 1.376 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2occc2CC)[C@H](O)C1 ZINC001090548322 771981067 /nfs/dbraw/zinc/98/10/67/771981067.db2.gz XAUOVAFNQOPTPI-CHWSQXEVSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2occc2CC)[C@H](O)C1 ZINC001090548322 771981070 /nfs/dbraw/zinc/98/10/70/771981070.db2.gz XAUOVAFNQOPTPI-CHWSQXEVSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H](NC(=O)c2cccc3nc[nH]c32)[C@@H](O)C1 ZINC001090670479 772095919 /nfs/dbraw/zinc/09/59/19/772095919.db2.gz DWOGGAUTHJIBIX-HIFRSBDPSA-N 1 2 314.389 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2cccc3nc[nH]c32)[C@@H](O)C1 ZINC001090670479 772095924 /nfs/dbraw/zinc/09/59/24/772095924.db2.gz DWOGGAUTHJIBIX-HIFRSBDPSA-N 1 2 314.389 1.304 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@@H](CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001091336285 772686217 /nfs/dbraw/zinc/68/62/17/772686217.db2.gz SBBPBMLYYCDGHW-XBXGTLAGSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001073802931 773424605 /nfs/dbraw/zinc/42/46/05/773424605.db2.gz MRCHZAMFESJTIA-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3F)C[C@@H]21 ZINC001074151491 773677180 /nfs/dbraw/zinc/67/71/80/773677180.db2.gz NKLKILWXZKNNFJ-IRXDYDNUSA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3F)C[C@@H]21 ZINC001074151491 773677183 /nfs/dbraw/zinc/67/71/83/773677183.db2.gz NKLKILWXZKNNFJ-IRXDYDNUSA-N 1 2 316.376 1.764 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@H]21 ZINC001074195572 773719252 /nfs/dbraw/zinc/71/92/52/773719252.db2.gz WSMBIPPJBVSWBW-KGLIPLIRSA-N 1 2 308.422 1.479 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@H]21 ZINC001074195572 773719258 /nfs/dbraw/zinc/71/92/58/773719258.db2.gz WSMBIPPJBVSWBW-KGLIPLIRSA-N 1 2 308.422 1.479 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C34CCC(CC3)C4)C[C@H]21 ZINC001074276394 773788358 /nfs/dbraw/zinc/78/83/58/773788358.db2.gz MPYBLBWKRZRVLV-VZDIDWBNSA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C34CCC(CC3)C4)C[C@H]21 ZINC001074276394 773788361 /nfs/dbraw/zinc/78/83/61/773788361.db2.gz MPYBLBWKRZRVLV-VZDIDWBNSA-N 1 2 316.445 1.892 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCOCC3)C[C@H]21 ZINC001074354111 773849718 /nfs/dbraw/zinc/84/97/18/773849718.db2.gz LAMGGRAGLWPKHN-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCOCC3)C[C@H]21 ZINC001074354111 773849721 /nfs/dbraw/zinc/84/97/21/773849721.db2.gz LAMGGRAGLWPKHN-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3oncc3C)C[C@H]21 ZINC001074375598 773868553 /nfs/dbraw/zinc/86/85/53/773868553.db2.gz WTMQHRMJBZPVTC-KGLIPLIRSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3oncc3C)C[C@H]21 ZINC001074375598 773868560 /nfs/dbraw/zinc/86/85/60/773868560.db2.gz WTMQHRMJBZPVTC-KGLIPLIRSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3nocc3C)C[C@@H]21 ZINC001074377518 773870441 /nfs/dbraw/zinc/87/04/41/773870441.db2.gz MPPFVRQYRRWTEU-UONOGXRCSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3nocc3C)C[C@@H]21 ZINC001074377518 773870443 /nfs/dbraw/zinc/87/04/43/773870443.db2.gz MPPFVRQYRRWTEU-UONOGXRCSA-N 1 2 305.378 1.474 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c[nH]cc3C)C[C@H]21 ZINC001074409704 773904815 /nfs/dbraw/zinc/90/48/15/773904815.db2.gz GRUAZYSVTCPNNP-HZPDHXFCSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3c[nH]cc3C)C[C@H]21 ZINC001074409704 773904817 /nfs/dbraw/zinc/90/48/17/773904817.db2.gz GRUAZYSVTCPNNP-HZPDHXFCSA-N 1 2 303.406 1.815 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(C(C)(C)C)no2)[C@@H]1C ZINC001074570099 774002038 /nfs/dbraw/zinc/00/20/38/774002038.db2.gz MAFGJUCGTAOHFO-SRVKXCTJSA-N 1 2 319.409 1.606 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccco1)c1nccn12 ZINC001092345516 774065847 /nfs/dbraw/zinc/06/58/47/774065847.db2.gz MQJHFSUATASNAT-CYBMUJFWSA-N 1 2 310.357 1.385 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cnc(C)cn1)c1nccn12 ZINC001092343472 774092960 /nfs/dbraw/zinc/09/29/60/774092960.db2.gz DJMRIBGIUKCACZ-ZDUSSCGKSA-N 1 2 324.388 1.053 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccccc1)c1nccn12 ZINC001092377847 774093838 /nfs/dbraw/zinc/09/38/38/774093838.db2.gz CKQZXKREDDJOCI-MRXNPFEDSA-N 1 2 322.412 1.884 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@@H](CNc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001092640479 774194749 /nfs/dbraw/zinc/19/47/49/774194749.db2.gz AMTQGLVDTDHJMX-SUYBPPKGSA-N 1 2 318.421 1.619 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001075036419 774313551 /nfs/dbraw/zinc/31/35/51/774313551.db2.gz KPWAXULINFYFIS-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001075036419 774313560 /nfs/dbraw/zinc/31/35/60/774313560.db2.gz KPWAXULINFYFIS-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001075044758 774318537 /nfs/dbraw/zinc/31/85/37/774318537.db2.gz ANOPCLXEUNNHLU-LSDHHAIUSA-N 1 2 318.421 1.735 20 30 DDEDLO Cc1nc(N2CCN(C(=O)CSCC#N)CC2)c(C)c(C)[nH+]1 ZINC001093144633 774449099 /nfs/dbraw/zinc/44/90/99/774449099.db2.gz MIJRTGGOMSMQHX-UHFFFAOYSA-N 1 2 319.434 1.307 20 30 DDEDLO C[C@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)Nc1cnc(C#N)cn1 ZINC001098380397 774555910 /nfs/dbraw/zinc/55/59/10/774555910.db2.gz XSRKIJABACBZEE-SNVBAGLBSA-N 1 2 321.344 1.455 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC(OC3C[NH+](CCOC)C3)CC2)C1 ZINC001093571261 774843050 /nfs/dbraw/zinc/84/30/50/774843050.db2.gz ODUUBKLOSYOYNW-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO C[C@@H](CC(=O)NC[C@@H]1CCC(C)(C)CN1CC#N)n1cc[nH+]c1 ZINC001099251181 774918749 /nfs/dbraw/zinc/91/87/49/774918749.db2.gz HJASDMINTIIXBQ-GJZGRUSLSA-N 1 2 317.437 1.965 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099726805 775191832 /nfs/dbraw/zinc/19/18/32/775191832.db2.gz JYKLCIAPZDHOEB-LSDHHAIUSA-N 1 2 307.369 1.238 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099726805 775191838 /nfs/dbraw/zinc/19/18/38/775191838.db2.gz JYKLCIAPZDHOEB-LSDHHAIUSA-N 1 2 307.369 1.238 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@H]2CCn3cc[nH+]c3C2)ccc1C#N ZINC001093959044 775270945 /nfs/dbraw/zinc/27/09/45/775270945.db2.gz UEEYQGXWEBWCFD-ZDUSSCGKSA-N 1 2 324.388 1.249 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001099847936 775348930 /nfs/dbraw/zinc/34/89/30/775348930.db2.gz QZUUJSMMPSPIMP-DZGCQCFKSA-N 1 2 321.421 1.637 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001099847936 775348933 /nfs/dbraw/zinc/34/89/33/775348933.db2.gz QZUUJSMMPSPIMP-DZGCQCFKSA-N 1 2 321.421 1.637 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccoc3Cl)nn2)C1 ZINC001094285603 775684840 /nfs/dbraw/zinc/68/48/40/775684840.db2.gz RHSFWFAWRIPVLF-UHFFFAOYSA-N 1 2 321.768 1.497 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094710286 776178996 /nfs/dbraw/zinc/17/89/96/776178996.db2.gz JYSAKXSJUKKAAB-LBPRGKRZSA-N 1 2 320.441 1.767 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C=C3CCCCC3)CC2=O)C1 ZINC001094706911 776217168 /nfs/dbraw/zinc/21/71/68/776217168.db2.gz IDARUDCHRBTRMG-HNNXBMFYSA-N 1 2 317.433 1.464 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3(F)CCCCC3)CC2=O)C1 ZINC001094803325 776302766 /nfs/dbraw/zinc/30/27/66/776302766.db2.gz BPLPUUYDJWSUSY-ZDUSSCGKSA-N 1 2 323.412 1.246 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CNC(=O)CCc2[nH]cc[nH+]2)cn1 ZINC001100823519 776597828 /nfs/dbraw/zinc/59/78/28/776597828.db2.gz MFPOMUQMVVTVLY-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1nc(N2CC[C@@H](CNC(=O)C#CC(C)(C)C)C2)cc[nH+]1 ZINC001100972488 776780282 /nfs/dbraw/zinc/78/02/82/776780282.db2.gz RDOGNYVIJRHFLS-AWEZNQCLSA-N 1 2 300.406 1.777 20 30 DDEDLO N#CCN[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1cccc3[nH+]ccn31)C2 ZINC001094962950 776910094 /nfs/dbraw/zinc/91/00/94/776910094.db2.gz MJYXPFKMWQFRRG-IHRRRGAJSA-N 1 2 309.373 1.441 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCN(CCC)c1cc[nH+]c(C)n1 ZINC001101126678 776928372 /nfs/dbraw/zinc/92/83/72/776928372.db2.gz MARHDCWDLZTJIY-MRXNPFEDSA-N 1 2 306.410 1.445 20 30 DDEDLO C=CCCOCC(=O)NCCN(CCC)c1cc[nH+]c(C)n1 ZINC001101154414 776951237 /nfs/dbraw/zinc/95/12/37/776951237.db2.gz FVTRJOGCYAVHRD-UHFFFAOYSA-N 1 2 306.410 1.710 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001095038192 777015878 /nfs/dbraw/zinc/01/58/78/777015878.db2.gz XEPSMNYUIRMVQY-CYBMUJFWSA-N 1 2 316.409 1.062 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C(F)=C(C)C)c1nccn12 ZINC001101622962 777316473 /nfs/dbraw/zinc/31/64/73/777316473.db2.gz UJVMTMTUHKATGR-ZDUSSCGKSA-N 1 2 316.380 1.742 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC2(C[NH+](CC#CC)C2)n2ccnc21 ZINC001101639039 777336900 /nfs/dbraw/zinc/33/69/00/777336900.db2.gz FEVYIRSUOWPYKZ-AWEZNQCLSA-N 1 2 312.417 1.691 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(CF)CCC1)c1nccn12 ZINC001101645038 777342020 /nfs/dbraw/zinc/34/20/20/777342020.db2.gz VWWAUCRVJFIOJH-ZDUSSCGKSA-N 1 2 318.396 1.781 20 30 DDEDLO N#Cc1ccc(Nc2cnn(CC[NH+]3CCOCC3)c2)c(O)c1 ZINC001174493853 777487830 /nfs/dbraw/zinc/48/78/30/777487830.db2.gz OPQZALHFFNEVET-UHFFFAOYSA-N 1 2 313.361 1.536 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001101826274 777572748 /nfs/dbraw/zinc/57/27/48/777572748.db2.gz LYNOFXFOAWARQF-AWEZNQCLSA-N 1 2 324.388 1.283 20 30 DDEDLO N#Cc1cc(N)c(Nc2ccc(N3CCOCC3)[nH+]c2)cc1C#N ZINC001174978210 777645787 /nfs/dbraw/zinc/64/57/87/777645787.db2.gz TXUQLYJVCWTXML-UHFFFAOYSA-N 1 2 320.356 1.987 20 30 DDEDLO C=CCOCC(=O)N(CCC)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102220021 777948038 /nfs/dbraw/zinc/94/80/38/777948038.db2.gz CVCOIPIUQODGKZ-HNNXBMFYSA-N 1 2 318.421 1.805 20 30 DDEDLO Cc1nc(N2CC[C@H](CCNC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001102303576 778037712 /nfs/dbraw/zinc/03/77/12/778037712.db2.gz HXCGTPLMMVTTAS-ZDUSSCGKSA-N 1 2 324.388 1.631 20 30 DDEDLO C[C@@H](CCCCNCC#N)NC(=O)[C@@H](c1cccnc1)[NH+](C)C ZINC001176775680 778234353 /nfs/dbraw/zinc/23/43/53/778234353.db2.gz PVDZHEAURVSUGH-GOEBONIOSA-N 1 2 317.437 1.472 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccco3)C[C@@H]21 ZINC001176889031 778296485 /nfs/dbraw/zinc/29/64/85/778296485.db2.gz ZCWJHYHSDOLLFO-GJZGRUSLSA-N 1 2 320.389 1.398 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccco3)C[C@@H]21 ZINC001176889031 778296490 /nfs/dbraw/zinc/29/64/90/778296490.db2.gz ZCWJHYHSDOLLFO-GJZGRUSLSA-N 1 2 320.389 1.398 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2OCC[N@H+](CCOCC=C)[C@@H]2C1 ZINC001177089604 778441934 /nfs/dbraw/zinc/44/19/34/778441934.db2.gz TVDYFQFNUHXEFC-IAGOWNOFSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOCC=C)[C@@H]2C1 ZINC001177089604 778441937 /nfs/dbraw/zinc/44/19/37/778441937.db2.gz TVDYFQFNUHXEFC-IAGOWNOFSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCO)[C@@H]3C2)CCC1 ZINC001177101476 778446752 /nfs/dbraw/zinc/44/67/52/778446752.db2.gz MTPICFFNJDBWCE-CVEARBPZSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CCCO)[C@@H]3C2)CCC1 ZINC001177101476 778446755 /nfs/dbraw/zinc/44/67/55/778446755.db2.gz MTPICFFNJDBWCE-CVEARBPZSA-N 1 2 322.449 1.417 20 30 DDEDLO N#CCNCCCN(CC1CCCC1)C(=O)CCn1cc[nH+]c1 ZINC001177378498 778556861 /nfs/dbraw/zinc/55/68/61/778556861.db2.gz DEDXUPFRJUTQCE-UHFFFAOYSA-N 1 2 317.437 1.795 20 30 DDEDLO N#Cc1cnccc1NCC1(NC(=O)Cn2cc[nH+]c2)CCCC1 ZINC001103433076 778864255 /nfs/dbraw/zinc/86/42/55/778864255.db2.gz SKVMDXFGWZXGKY-UHFFFAOYSA-N 1 2 324.388 1.113 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CCNC(=O)Cn2cc[nH+]c2)nc1 ZINC001103749234 779028064 /nfs/dbraw/zinc/02/80/64/779028064.db2.gz MHYDSCVHTPEHNH-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)no1 ZINC001111660653 779423251 /nfs/dbraw/zinc/42/32/51/779423251.db2.gz REKLYMBSTLDMGV-FFSVYQOJSA-N 1 2 302.378 1.613 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)no1 ZINC001111660653 779423254 /nfs/dbraw/zinc/42/32/54/779423254.db2.gz REKLYMBSTLDMGV-FFSVYQOJSA-N 1 2 302.378 1.613 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1(Nc2cc[nH+]c(C)n2)CCC1 ZINC001111848190 779515436 /nfs/dbraw/zinc/51/54/36/779515436.db2.gz XKGWZEDMLSHQRR-LBPRGKRZSA-N 1 2 302.378 1.274 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)Cc3c[nH]c[nH+]3)CCC2)nc1 ZINC001111910793 779554445 /nfs/dbraw/zinc/55/44/45/779554445.db2.gz HNRWRLTXLBDKAW-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ccon1)C2 ZINC001112017346 779597869 /nfs/dbraw/zinc/59/78/69/779597869.db2.gz BXUUOEFZGVPXGA-ZQIUZPCESA-N 1 2 319.405 1.736 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ccon1)C2 ZINC001112017346 779597876 /nfs/dbraw/zinc/59/78/76/779597876.db2.gz BXUUOEFZGVPXGA-ZQIUZPCESA-N 1 2 319.405 1.736 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001112405322 779762367 /nfs/dbraw/zinc/76/23/67/779762367.db2.gz OBRLMMVZOKDBDP-HIFRSBDPSA-N 1 2 300.406 1.920 20 30 DDEDLO C[C@@H](CCCNc1cnc(C#N)cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115088501 779868753 /nfs/dbraw/zinc/86/87/53/779868753.db2.gz JNJCGBTXZZNYRQ-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=C(C)CCC(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115229888 779961735 /nfs/dbraw/zinc/96/17/35/779961735.db2.gz KALKVHXSWQFLEJ-UHFFFAOYSA-N 1 2 306.410 1.710 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)[C@@H](CNc1ccc(C#N)nc1)C1CC1 ZINC001115577098 780245266 /nfs/dbraw/zinc/24/52/66/780245266.db2.gz NDWFOEFJHXZXJH-INIZCTEOSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC(=O)c1ccc(OC)cc1)CC1CC1 ZINC001117992916 780978822 /nfs/dbraw/zinc/97/88/22/780978822.db2.gz IHINILPVPXGQJD-UHFFFAOYSA-N 1 2 300.358 1.297 20 30 DDEDLO C#CC[N@H+](CC(=O)NC(=O)c1ccc(OC)cc1)CC1CC1 ZINC001117992916 780978824 /nfs/dbraw/zinc/97/88/24/780978824.db2.gz IHINILPVPXGQJD-UHFFFAOYSA-N 1 2 300.358 1.297 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@@H](C)C[NH+]2CCN(C)CC2)C1 ZINC001118580752 781165114 /nfs/dbraw/zinc/16/51/14/781165114.db2.gz OWVPDOOEBMGHRD-JKSUJKDBSA-N 1 2 306.454 1.067 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@H]2CCC(C)(C)O2)CC1 ZINC001118853382 781257075 /nfs/dbraw/zinc/25/70/75/781257075.db2.gz JAYJESDNKKGSGK-OAHLLOKOSA-N 1 2 317.437 1.299 20 30 DDEDLO C#CCC1(NC(=O)NCC[N@H+]2CCOC[C@@H]2C)CCCCC1 ZINC001119673801 781557371 /nfs/dbraw/zinc/55/73/71/781557371.db2.gz WXAFQMCQTSQORB-HNNXBMFYSA-N 1 2 307.438 1.733 20 30 DDEDLO C#CCC1(NC(=O)NCC[N@@H+]2CCOC[C@@H]2C)CCCCC1 ZINC001119673801 781557379 /nfs/dbraw/zinc/55/73/79/781557379.db2.gz WXAFQMCQTSQORB-HNNXBMFYSA-N 1 2 307.438 1.733 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[N@H+](C)Cc1cnc(Cl)s1 ZINC001267194064 837512282 /nfs/dbraw/zinc/51/22/82/837512282.db2.gz HVTRGLHDDYORIJ-SNVBAGLBSA-N 1 2 315.826 1.383 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[N@@H+](C)Cc1cnc(Cl)s1 ZINC001267194064 837512292 /nfs/dbraw/zinc/51/22/92/837512292.db2.gz HVTRGLHDDYORIJ-SNVBAGLBSA-N 1 2 315.826 1.383 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@@H+](C)Cc2cccnc2OC)C1 ZINC001267216212 837549841 /nfs/dbraw/zinc/54/98/41/837549841.db2.gz SXBNRICPURQRHJ-UHFFFAOYSA-N 1 2 303.406 1.995 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@H+](C)Cc2cccnc2OC)C1 ZINC001267216212 837549847 /nfs/dbraw/zinc/54/98/47/837549847.db2.gz SXBNRICPURQRHJ-UHFFFAOYSA-N 1 2 303.406 1.995 20 30 DDEDLO CC(C)(C)CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001266319023 836112396 /nfs/dbraw/zinc/11/23/96/836112396.db2.gz FUHAXPZUWLNJHZ-OAHLLOKOSA-N 1 2 319.449 1.143 20 30 DDEDLO CC(C)(C)CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001266319023 836112403 /nfs/dbraw/zinc/11/24/03/836112403.db2.gz FUHAXPZUWLNJHZ-OAHLLOKOSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](CCCS(C)(=O)=O)C1 ZINC001266320717 836115657 /nfs/dbraw/zinc/11/56/57/836115657.db2.gz DYOQPRRQKOFSGB-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](CCCS(C)(=O)=O)C1 ZINC001266320717 836115660 /nfs/dbraw/zinc/11/56/60/836115660.db2.gz DYOQPRRQKOFSGB-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1ccn(CC)n1 ZINC001266464907 836300505 /nfs/dbraw/zinc/30/05/05/836300505.db2.gz KXWJXZURXPTPPA-HOCLYGCPSA-N 1 2 318.421 1.022 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccn(CC)n1 ZINC001266464907 836300519 /nfs/dbraw/zinc/30/05/19/836300519.db2.gz KXWJXZURXPTPPA-HOCLYGCPSA-N 1 2 318.421 1.022 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([NH2+][C@H](C)c2nc(C3CC3)no2)C1 ZINC001266524289 836411694 /nfs/dbraw/zinc/41/16/94/836411694.db2.gz JRWMWDPZAHGZAR-OCCSQVGLSA-N 1 2 316.405 1.858 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CCCC[N@H+]2Cc2cnnn2C)C1 ZINC001266855495 836942728 /nfs/dbraw/zinc/94/27/28/836942728.db2.gz DCKDEUPVLFSMQF-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CCCC[N@@H+]2Cc2cnnn2C)C1 ZINC001266855495 836942738 /nfs/dbraw/zinc/94/27/38/836942738.db2.gz DCKDEUPVLFSMQF-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C#CCCCC(=O)NCC[C@@H]1CC[N@H+](Cc2nncs2)C1 ZINC001267524130 838247330 /nfs/dbraw/zinc/24/73/30/838247330.db2.gz PJUUNVNZJLUGAJ-CYBMUJFWSA-N 1 2 306.435 1.670 20 30 DDEDLO C#CCCCC(=O)NCC[C@@H]1CC[N@@H+](Cc2nncs2)C1 ZINC001267524130 838247336 /nfs/dbraw/zinc/24/73/36/838247336.db2.gz PJUUNVNZJLUGAJ-CYBMUJFWSA-N 1 2 306.435 1.670 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@H]1CCCC12CC2 ZINC001267607547 838436161 /nfs/dbraw/zinc/43/61/61/838436161.db2.gz MDVIKKYKLIXWBL-OAHLLOKOSA-N 1 2 304.438 1.166 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)CCn1ccccc1=O ZINC001419973869 838453191 /nfs/dbraw/zinc/45/31/91/838453191.db2.gz LZANJOLYYSBOBY-UHFFFAOYSA-N 1 2 311.813 1.381 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)CCn1ccccc1=O ZINC001419973869 838453196 /nfs/dbraw/zinc/45/31/96/838453196.db2.gz LZANJOLYYSBOBY-UHFFFAOYSA-N 1 2 311.813 1.381 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@@H+](Cc2c(C)nnn2CC)C1 ZINC001267623592 838516273 /nfs/dbraw/zinc/51/62/73/838516273.db2.gz SYRLANZCWHAUOP-AWEZNQCLSA-N 1 2 305.426 1.653 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@H+](Cc2c(C)nnn2CC)C1 ZINC001267623592 838516278 /nfs/dbraw/zinc/51/62/78/838516278.db2.gz SYRLANZCWHAUOP-AWEZNQCLSA-N 1 2 305.426 1.653 20 30 DDEDLO C=CCC[C@@H](C(=O)NC1C[NH+](C[C@@H](C)O)C1)c1ccccc1 ZINC001267673064 838619541 /nfs/dbraw/zinc/61/95/41/838619541.db2.gz VULCUKYRJRWHHZ-RHSMWYFYSA-N 1 2 302.418 1.918 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)OCCC(C)C ZINC001267716613 838715924 /nfs/dbraw/zinc/71/59/24/838715924.db2.gz ODIHNVRNMRVHCN-IKGGRYGDSA-N 1 2 322.449 1.373 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)OCCC(C)C ZINC001267716613 838715932 /nfs/dbraw/zinc/71/59/32/838715932.db2.gz ODIHNVRNMRVHCN-IKGGRYGDSA-N 1 2 322.449 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H](C)OCCOCC)C1 ZINC001267754379 838859749 /nfs/dbraw/zinc/85/97/49/838859749.db2.gz NHCMYBISXSHDNU-QWHCGFSZSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H](C)OCCOCC)C1 ZINC001267754379 838859763 /nfs/dbraw/zinc/85/97/63/838859763.db2.gz NHCMYBISXSHDNU-QWHCGFSZSA-N 1 2 304.818 1.371 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001268023293 839402664 /nfs/dbraw/zinc/40/26/64/839402664.db2.gz IFBPUMHBUXGZIV-HOTGVXAUSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001268023293 839402673 /nfs/dbraw/zinc/40/26/73/839402673.db2.gz IFBPUMHBUXGZIV-HOTGVXAUSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)CC2CC(C)(C)C2)C1 ZINC001268026353 839428093 /nfs/dbraw/zinc/42/80/93/839428093.db2.gz IRYFWMHHLGXDND-MRXNPFEDSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)CC2CC(C)(C)C2)C1 ZINC001268026353 839428100 /nfs/dbraw/zinc/42/81/00/839428100.db2.gz IRYFWMHHLGXDND-MRXNPFEDSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)CCc2ccc(F)cc2F)C1 ZINC001268030667 839456915 /nfs/dbraw/zinc/45/69/15/839456915.db2.gz UGZAQUUJBFHXGX-HNNXBMFYSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)CCc2ccc(F)cc2F)C1 ZINC001268030667 839456924 /nfs/dbraw/zinc/45/69/24/839456924.db2.gz UGZAQUUJBFHXGX-HNNXBMFYSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CC(CNC(=O)c2oc(C)cc2C)C1 ZINC001268818285 840859819 /nfs/dbraw/zinc/85/98/19/840859819.db2.gz JHMIDNZUIIBWMP-CYBMUJFWSA-N 1 2 319.405 1.249 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1C[NH+](Cc2snnc2C)C1 ZINC001268883795 840951523 /nfs/dbraw/zinc/95/15/23/840951523.db2.gz VIPWLECTVOMOJN-UHFFFAOYSA-N 1 2 308.451 1.997 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2ccc(Cl)nc2)C1 ZINC001269019118 841117722 /nfs/dbraw/zinc/11/77/22/841117722.db2.gz SDZPAROPTXAJMM-BBRMVZONSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2ccc(Cl)nc2)C1 ZINC001269019118 841117731 /nfs/dbraw/zinc/11/77/31/841117731.db2.gz SDZPAROPTXAJMM-BBRMVZONSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@H]1CC[N@H+](Cc2cncn2C)C1 ZINC001269046409 841144259 /nfs/dbraw/zinc/14/42/59/841144259.db2.gz JENSRAJJNJDPNU-WMLDXEAASA-N 1 2 320.437 1.339 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@H]1CC[N@@H+](Cc2cncn2C)C1 ZINC001269046409 841144266 /nfs/dbraw/zinc/14/42/66/841144266.db2.gz JENSRAJJNJDPNU-WMLDXEAASA-N 1 2 320.437 1.339 20 30 DDEDLO CC(C)(O)CC(=O)NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001269229451 841388940 /nfs/dbraw/zinc/38/89/40/841388940.db2.gz JLSXQUWNSGBDPB-INIZCTEOSA-N 1 2 300.402 1.390 20 30 DDEDLO CC(C)(O)CC(=O)NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001269229451 841388951 /nfs/dbraw/zinc/38/89/51/841388951.db2.gz JLSXQUWNSGBDPB-INIZCTEOSA-N 1 2 300.402 1.390 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001269235331 841395794 /nfs/dbraw/zinc/39/57/94/841395794.db2.gz JVIYIUGBXRTJOR-UKRRQHHQSA-N 1 2 304.365 1.807 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001269235331 841395807 /nfs/dbraw/zinc/39/58/07/841395807.db2.gz JVIYIUGBXRTJOR-UKRRQHHQSA-N 1 2 304.365 1.807 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@H]1CCN1Cc1c[nH+]cn1C ZINC001269336405 841534965 /nfs/dbraw/zinc/53/49/65/841534965.db2.gz BSNGMYLJKYUOOY-KRWDZBQOSA-N 1 2 322.412 1.335 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCN(C(=O)CCn3cc[nH+]c3)C2)C1=O ZINC001269581888 841788554 /nfs/dbraw/zinc/78/85/54/841788554.db2.gz WULAKVQLLHQURA-QGZVFWFLSA-N 1 2 316.405 1.300 20 30 DDEDLO C=CCCOCC(=O)N(C)C1C[NH+](CCn2nc(C)cc2C)C1 ZINC001269899051 842139129 /nfs/dbraw/zinc/13/91/29/842139129.db2.gz IUZLPSKUQYRWLO-UHFFFAOYSA-N 1 2 320.437 1.235 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H]1C[NH2+][C@H](C)c1noc(C)n1 ZINC001270206149 842384822 /nfs/dbraw/zinc/38/48/22/842384822.db2.gz UHJRNLWXABBWHY-TZMCWYRMSA-N 1 2 320.393 1.060 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@H]1C[N@H+](CC(=C)C)CCO1 ZINC001270664463 842794773 /nfs/dbraw/zinc/79/47/73/842794773.db2.gz FRQHNJFEZOPEKZ-DOTOQJQBSA-N 1 2 310.438 1.608 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@H]1C[N@@H+](CC(=C)C)CCO1 ZINC001270664463 842794780 /nfs/dbraw/zinc/79/47/80/842794780.db2.gz FRQHNJFEZOPEKZ-DOTOQJQBSA-N 1 2 310.438 1.608 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)Cc2c(C)nn(CC)c2C)C1 ZINC001270690513 842821533 /nfs/dbraw/zinc/82/15/33/842821533.db2.gz DOKMHTGCGQJSFY-OAHLLOKOSA-N 1 2 320.437 1.065 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)Cc2c(C)nn(CC)c2C)C1 ZINC001270690513 842821540 /nfs/dbraw/zinc/82/15/40/842821540.db2.gz DOKMHTGCGQJSFY-OAHLLOKOSA-N 1 2 320.437 1.065 20 30 DDEDLO C=CCCC(=O)NCC1(O)C[NH+](CCCc2ccsc2)C1 ZINC001271254035 843447796 /nfs/dbraw/zinc/44/77/96/843447796.db2.gz HUJOVKMEULLKML-UHFFFAOYSA-N 1 2 308.447 1.810 20 30 DDEDLO CCc1noc(C[NH2+][C@@H](C)[C@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001409330073 844909640 /nfs/dbraw/zinc/90/96/40/844909640.db2.gz FUKINDSEJNCUDX-UWVGGRQHSA-N 1 2 316.365 1.128 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)Cc1ccc(F)c(F)c1 ZINC001326655665 861513591 /nfs/dbraw/zinc/51/35/91/861513591.db2.gz OZHHVOKIWONZKQ-AWEZNQCLSA-N 1 2 322.355 1.348 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)Cc1ccc(F)c(F)c1 ZINC001326655665 861513597 /nfs/dbraw/zinc/51/35/97/861513597.db2.gz OZHHVOKIWONZKQ-AWEZNQCLSA-N 1 2 322.355 1.348 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@@H+](C)[C@@H](C)CNC(=O)[C@H](C)C#N ZINC001409500835 845192538 /nfs/dbraw/zinc/19/25/38/845192538.db2.gz HHTIVQHTYQEOCK-KGLIPLIRSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@H+](C)[C@@H](C)CNC(=O)[C@H](C)C#N ZINC001409500835 845192544 /nfs/dbraw/zinc/19/25/44/845192544.db2.gz HHTIVQHTYQEOCK-KGLIPLIRSA-N 1 2 316.405 1.530 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](Cc3cc(C)ccc3O)C[C@@]2(F)C1=O ZINC001272623087 846422113 /nfs/dbraw/zinc/42/21/13/846422113.db2.gz WOHURDJPEJOSQU-DLBZAZTESA-N 1 2 322.355 1.961 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](Cc3cc(C)ccc3O)C[C@@]2(F)C1=O ZINC001272623087 846422116 /nfs/dbraw/zinc/42/21/16/846422116.db2.gz WOHURDJPEJOSQU-DLBZAZTESA-N 1 2 322.355 1.961 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cc(C)c(O)c(C)c1)C2 ZINC001272631551 846432589 /nfs/dbraw/zinc/43/25/89/846432589.db2.gz PDHFUZBZJUUJDQ-UHFFFAOYSA-N 1 2 314.385 1.055 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)/C=C/c2ccc[nH]2)C1 ZINC001149607056 861744225 /nfs/dbraw/zinc/74/42/25/861744225.db2.gz BIGALFJGRRRXKE-LLYBFZRZSA-N 1 2 323.824 1.988 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)/C=C/c2ccc[nH]2)C1 ZINC001149607056 861744233 /nfs/dbraw/zinc/74/42/33/861744233.db2.gz BIGALFJGRRRXKE-LLYBFZRZSA-N 1 2 323.824 1.988 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1ncccc1F)C2 ZINC001272795986 847438350 /nfs/dbraw/zinc/43/83/50/847438350.db2.gz TXRGUVVUMXBLEX-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1ncccc1F)C2 ZINC001272795986 847438358 /nfs/dbraw/zinc/43/83/58/847438358.db2.gz TXRGUVVUMXBLEX-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1COCC[N@H+]1C[C@@H](OC)C1CCC1 ZINC001272843251 847538538 /nfs/dbraw/zinc/53/85/38/847538538.db2.gz PHUNZRSRTCYIDE-HZPDHXFCSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1COCC[N@@H+]1C[C@@H](OC)C1CCC1 ZINC001272843251 847538545 /nfs/dbraw/zinc/53/85/45/847538545.db2.gz PHUNZRSRTCYIDE-HZPDHXFCSA-N 1 2 310.438 1.585 20 30 DDEDLO COCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)C#CC(C)C ZINC001272860184 847560046 /nfs/dbraw/zinc/56/00/46/847560046.db2.gz YZNNFLAYIKJUFE-MRXNPFEDSA-N 1 2 310.438 1.280 20 30 DDEDLO COCCCCC[N@H+]1CCOC[C@H]1CNC(=O)C#CC(C)C ZINC001272860184 847560052 /nfs/dbraw/zinc/56/00/52/847560052.db2.gz YZNNFLAYIKJUFE-MRXNPFEDSA-N 1 2 310.438 1.280 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1ncc[nH]1 ZINC001272921967 847635474 /nfs/dbraw/zinc/63/54/74/847635474.db2.gz QSBHRBSAZWVTEV-INIZCTEOSA-N 1 2 301.394 1.670 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1ncc[nH]1 ZINC001272921967 847635483 /nfs/dbraw/zinc/63/54/83/847635483.db2.gz QSBHRBSAZWVTEV-INIZCTEOSA-N 1 2 301.394 1.670 20 30 DDEDLO Cc1ccc(C[NH+]2CC3(C2)COCC(=O)N3CCCC#N)s1 ZINC001272934689 847660475 /nfs/dbraw/zinc/66/04/75/847660475.db2.gz UYKBKVKKDIBWIJ-UHFFFAOYSA-N 1 2 319.430 1.774 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3cc(Cl)ccn3)C2)OCC1=O ZINC001272966245 847717685 /nfs/dbraw/zinc/71/76/85/847717685.db2.gz PUNRRYPQIWIYFF-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3cc(Cl)ccn3)C2)OCC1=O ZINC001272966245 847717690 /nfs/dbraw/zinc/71/76/90/847717690.db2.gz PUNRRYPQIWIYFF-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CC[N@@H+]1CCCC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001034211768 848118646 /nfs/dbraw/zinc/11/86/46/848118646.db2.gz OEUZDDNAMXVYFR-UONOGXRCSA-N 1 2 302.422 1.986 20 30 DDEDLO C=CC[N@H+]1CCCC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001034211768 848118650 /nfs/dbraw/zinc/11/86/50/848118650.db2.gz OEUZDDNAMXVYFR-UONOGXRCSA-N 1 2 302.422 1.986 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@@H]1CCCCN(CC#N)C1)C2 ZINC001034279501 848243894 /nfs/dbraw/zinc/24/38/94/848243894.db2.gz UIQZTMVIOPDMAU-UONOGXRCSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@@H]1CCCCN(CC#N)C1)CC2 ZINC001034279501 848243897 /nfs/dbraw/zinc/24/38/97/848243897.db2.gz UIQZTMVIOPDMAU-UONOGXRCSA-N 1 2 315.421 1.317 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2coc(CC)n2)[C@@H](O)C1 ZINC001090205553 848298813 /nfs/dbraw/zinc/29/88/13/848298813.db2.gz GPYSBOHAJHAIHH-JQWIXIFHSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2coc(CC)n2)[C@@H](O)C1 ZINC001090205553 848298824 /nfs/dbraw/zinc/29/88/24/848298824.db2.gz GPYSBOHAJHAIHH-JQWIXIFHSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001034362905 848414236 /nfs/dbraw/zinc/41/42/36/848414236.db2.gz JUIKUIHLYCTCMX-OCCSQVGLSA-N 1 2 304.793 1.838 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001034362905 848414234 /nfs/dbraw/zinc/41/42/34/848414234.db2.gz JUIKUIHLYCTCMX-OCCSQVGLSA-N 1 2 304.793 1.838 20 30 DDEDLO C#CCCCC(=O)N(CCN(C)C(=O)Cc1c[nH+]c[nH]1)C(C)C ZINC001288162226 848997967 /nfs/dbraw/zinc/99/79/67/848997967.db2.gz FUVSEMFCULPQNY-UHFFFAOYSA-N 1 2 318.421 1.451 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)OCC(C)C ZINC001230977591 849199015 /nfs/dbraw/zinc/19/90/15/849199015.db2.gz QFVUPRJOFRJCID-JKSUJKDBSA-N 1 2 310.438 1.230 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)OCC(C)C ZINC001230977591 849199018 /nfs/dbraw/zinc/19/90/18/849199018.db2.gz QFVUPRJOFRJCID-JKSUJKDBSA-N 1 2 310.438 1.230 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cccc(OCC)c1)C2 ZINC001273303613 849371637 /nfs/dbraw/zinc/37/16/37/849371637.db2.gz MRSRHDQSYHIBPZ-UHFFFAOYSA-N 1 2 314.385 1.132 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC2(C[NH+](CCn3cccn3)C2)O1 ZINC001327343489 862081291 /nfs/dbraw/zinc/08/12/91/862081291.db2.gz IHNXXLNYZTXMQI-HNNXBMFYSA-N 1 2 318.421 1.199 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1nn(C)c2c1CCCC2 ZINC001437519366 850004250 /nfs/dbraw/zinc/00/42/50/850004250.db2.gz UXJFBLJWNMKPGX-UHFFFAOYSA-N 1 2 310.829 1.713 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1nn(C)c2c1CCCC2 ZINC001437519366 850004257 /nfs/dbraw/zinc/00/42/57/850004257.db2.gz UXJFBLJWNMKPGX-UHFFFAOYSA-N 1 2 310.829 1.713 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](F)C(C)C)C1 ZINC001150116376 862096464 /nfs/dbraw/zinc/09/64/64/862096464.db2.gz RQMMKWSPVNJSTC-QWHCGFSZSA-N 1 2 306.809 1.940 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H](F)C(C)C)C1 ZINC001150116376 862096470 /nfs/dbraw/zinc/09/64/70/862096470.db2.gz RQMMKWSPVNJSTC-QWHCGFSZSA-N 1 2 306.809 1.940 20 30 DDEDLO C=CCN1CC2(C[NH+](CCc3ccc(Cl)cc3)C2)OCC1=O ZINC001273431781 850275537 /nfs/dbraw/zinc/27/55/37/850275537.db2.gz JKKXGIBSRKLJBI-UHFFFAOYSA-N 1 2 320.820 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@H]1CC(=O)N(C)C1)C(C)C ZINC001411195524 850374614 /nfs/dbraw/zinc/37/46/14/850374614.db2.gz PUTLXGYOAPJYKO-ZDUSSCGKSA-N 1 2 315.845 1.386 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@H]1CC(=O)N(C)C1)C(C)C ZINC001411195524 850374619 /nfs/dbraw/zinc/37/46/19/850374619.db2.gz PUTLXGYOAPJYKO-ZDUSSCGKSA-N 1 2 315.845 1.386 20 30 DDEDLO C=CCCCC[N@@H+]1C[C@]2(F)CN(C3COC3)C(=O)[C@]2(F)C1 ZINC001273500857 851033390 /nfs/dbraw/zinc/03/33/90/851033390.db2.gz NCKDTCGCAQRSRS-LSDHHAIUSA-N 1 2 300.349 1.316 20 30 DDEDLO C=CCCCC[N@H+]1C[C@]2(F)CN(C3COC3)C(=O)[C@]2(F)C1 ZINC001273500857 851033397 /nfs/dbraw/zinc/03/33/97/851033397.db2.gz NCKDTCGCAQRSRS-LSDHHAIUSA-N 1 2 300.349 1.316 20 30 DDEDLO N#Cc1ccc(F)c(S(=O)(=O)NCCCn2cc[nH+]c2)c1 ZINC001190608534 851138375 /nfs/dbraw/zinc/13/83/75/851138375.db2.gz UMFFUNRLVHGHLX-UHFFFAOYSA-N 1 2 308.338 1.262 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CCC2(C[NH+](CCOCC)C2)O1 ZINC001273661948 851198627 /nfs/dbraw/zinc/19/86/27/851198627.db2.gz KIEZCGPFMPDKNF-OAHLLOKOSA-N 1 2 324.465 1.975 20 30 DDEDLO C#Cc1ccc(C[NH+]2CC3(C2)COCC(=O)N3CC(C)C)cc1 ZINC001273673771 851209506 /nfs/dbraw/zinc/20/95/06/851209506.db2.gz DLUBLOQYXMZNPY-UHFFFAOYSA-N 1 2 312.413 1.737 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1COC2(C[NH+]([C@H](C)COC)C2)C1 ZINC001273712985 851255701 /nfs/dbraw/zinc/25/57/01/851255701.db2.gz LLFWPXNVAXPBMT-ZIAGYGMSSA-N 1 2 310.438 1.583 20 30 DDEDLO C#CCNC(=O)[C@H]1CC12CC[NH+](CC(=O)c1cccs1)CC2 ZINC001273778041 851331614 /nfs/dbraw/zinc/33/16/14/851331614.db2.gz HMYWNHVOYOKKHR-CYBMUJFWSA-N 1 2 316.426 1.782 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3[C@H]2CCC(=O)N3CCCC#N)o1 ZINC001273792369 851348701 /nfs/dbraw/zinc/34/87/01/851348701.db2.gz QDIFNIUIIMPPEC-CHWSQXEVSA-N 1 2 303.366 1.247 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3[C@H]2CCC(=O)N3CCCC#N)o1 ZINC001273792369 851348708 /nfs/dbraw/zinc/34/87/08/851348708.db2.gz QDIFNIUIIMPPEC-CHWSQXEVSA-N 1 2 303.366 1.247 20 30 DDEDLO C=C(C)CN1CC2(CCC1=O)CC[NH+](Cc1nncn1C)CC2 ZINC001273825727 851390890 /nfs/dbraw/zinc/39/08/90/851390890.db2.gz KPGJSBMMLGOXMR-UHFFFAOYSA-N 1 2 317.437 1.596 20 30 DDEDLO N#CCC[N@H+]1CCOC[C@@]2(CC(=O)N(CCC(F)(F)F)C2)C1 ZINC001274030998 851869875 /nfs/dbraw/zinc/86/98/75/851869875.db2.gz DMZUQIRIGZQZHQ-ZDUSSCGKSA-N 1 2 319.327 1.403 20 30 DDEDLO N#CCC[N@@H+]1CCOC[C@@]2(CC(=O)N(CCC(F)(F)F)C2)C1 ZINC001274030998 851869880 /nfs/dbraw/zinc/86/98/80/851869880.db2.gz DMZUQIRIGZQZHQ-ZDUSSCGKSA-N 1 2 319.327 1.403 20 30 DDEDLO N#CCC[N@H+]1CCOC[C@@]2(CC(=O)N(Cc3ccncc3)C2)C1 ZINC001274032729 851872149 /nfs/dbraw/zinc/87/21/49/851872149.db2.gz VONZDDNNGUXEPW-KRWDZBQOSA-N 1 2 314.389 1.046 20 30 DDEDLO N#CCC[N@@H+]1CCOC[C@@]2(CC(=O)N(Cc3ccncc3)C2)C1 ZINC001274032729 851872155 /nfs/dbraw/zinc/87/21/55/851872155.db2.gz VONZDDNNGUXEPW-KRWDZBQOSA-N 1 2 314.389 1.046 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@@H+]2Cc2cncc(O)c2)C1=O ZINC001274284442 852125116 /nfs/dbraw/zinc/12/51/16/852125116.db2.gz XQJWVUVKEQIKDQ-QGZVFWFLSA-N 1 2 301.390 1.930 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@H+]2Cc2cncc(O)c2)C1=O ZINC001274284442 852125117 /nfs/dbraw/zinc/12/51/17/852125117.db2.gz XQJWVUVKEQIKDQ-QGZVFWFLSA-N 1 2 301.390 1.930 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](Cc3c(C)cccc3O)C[C@@]2(F)C1=O ZINC001274301195 852139137 /nfs/dbraw/zinc/13/91/37/852139137.db2.gz MMIPJTQRKZLBLF-DLBZAZTESA-N 1 2 320.339 1.408 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](Cc3c(C)cccc3O)C[C@@]2(F)C1=O ZINC001274301195 852139147 /nfs/dbraw/zinc/13/91/47/852139147.db2.gz MMIPJTQRKZLBLF-DLBZAZTESA-N 1 2 320.339 1.408 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H](CC)CC(C)C)CC2)C1 ZINC001274480380 852313145 /nfs/dbraw/zinc/31/31/45/852313145.db2.gz WENXSFHYMFTRCY-KRWDZBQOSA-N 1 2 319.493 1.910 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3[C@H]2CCC(=O)N3CCCC#N)c[nH]1 ZINC001274577104 852411659 /nfs/dbraw/zinc/41/16/59/852411659.db2.gz VGWJGXXCHWJOGW-HUUCEWRRSA-N 1 2 301.394 1.587 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3[C@H]2CCC(=O)N3CCCC#N)c[nH]1 ZINC001274577104 852411662 /nfs/dbraw/zinc/41/16/62/852411662.db2.gz VGWJGXXCHWJOGW-HUUCEWRRSA-N 1 2 301.394 1.587 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H]3[C@H]2CCC(=O)N3CCCC#N)[nH]1 ZINC001274577104 852411666 /nfs/dbraw/zinc/41/16/66/852411666.db2.gz VGWJGXXCHWJOGW-HUUCEWRRSA-N 1 2 301.394 1.587 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H]3[C@H]2CCC(=O)N3CCCC#N)[nH]1 ZINC001274577104 852411669 /nfs/dbraw/zinc/41/16/69/852411669.db2.gz VGWJGXXCHWJOGW-HUUCEWRRSA-N 1 2 301.394 1.587 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1C[C@]2(F)CN([C@@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274619932 852453797 /nfs/dbraw/zinc/45/37/97/852453797.db2.gz FOKUWVRSOCULRD-DARAHFNDSA-N 1 2 316.392 1.686 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1C[C@]2(F)CN([C@@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274619932 852453802 /nfs/dbraw/zinc/45/38/02/852453802.db2.gz FOKUWVRSOCULRD-DARAHFNDSA-N 1 2 316.392 1.686 20 30 DDEDLO Cc1cc(C[NH+]2CC3(CN(Cc4cncc(C#N)c4)C3)C2)n(C)n1 ZINC001275028232 852753759 /nfs/dbraw/zinc/75/37/59/852753759.db2.gz YOILIXLQFRSSIU-UHFFFAOYSA-N 1 2 322.416 1.313 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC3(CCN3CCn3cc[nH+]c3)C2)cc1 ZINC001275139504 852834498 /nfs/dbraw/zinc/83/44/98/852834498.db2.gz QCKFGUGQFDMMFA-UHFFFAOYSA-N 1 2 320.396 1.465 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC3(CC[N@@H+]3CCn3ccnc3)C2)cc1 ZINC001275139504 852834502 /nfs/dbraw/zinc/83/45/02/852834502.db2.gz QCKFGUGQFDMMFA-UHFFFAOYSA-N 1 2 320.396 1.465 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC3(CC[N@H+]3CCn3ccnc3)C2)cc1 ZINC001275139504 852834510 /nfs/dbraw/zinc/83/45/10/852834510.db2.gz QCKFGUGQFDMMFA-UHFFFAOYSA-N 1 2 320.396 1.465 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(CCC(F)(F)F)C3)C2)cc1C#N ZINC001275162828 852851430 /nfs/dbraw/zinc/85/14/30/852851430.db2.gz HFQNXGFQZNYJLS-UHFFFAOYSA-N 1 2 312.339 1.967 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)[C@@H](C)[NH2+]Cc1nc(C2CC2)no1 ZINC001275394740 853061427 /nfs/dbraw/zinc/06/14/27/853061427.db2.gz QIADPIJKBGJSEM-GHMZBOCLSA-N 1 2 302.378 1.343 20 30 DDEDLO C=CCOCC(=O)NC[C@H](C)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001275781346 853737352 /nfs/dbraw/zinc/73/73/52/853737352.db2.gz KKWVDEARCQLHBB-ZDUSSCGKSA-N 1 2 319.380 1.836 20 30 DDEDLO C=CCOCC(=O)NC[C@H](C)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001275781346 853737358 /nfs/dbraw/zinc/73/73/58/853737358.db2.gz KKWVDEARCQLHBB-ZDUSSCGKSA-N 1 2 319.380 1.836 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@H+]3CC[C@@](O)(C(F)F)C3)o2)cc1 ZINC001412991716 855890194 /nfs/dbraw/zinc/89/01/94/855890194.db2.gz LBTJCUQENYKMLS-HNNXBMFYSA-N 1 2 320.299 1.810 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@@H+]3CC[C@@](O)(C(F)F)C3)o2)cc1 ZINC001412991716 855890199 /nfs/dbraw/zinc/89/01/99/855890199.db2.gz LBTJCUQENYKMLS-HNNXBMFYSA-N 1 2 320.299 1.810 20 30 DDEDLO CC(C)n1ncnc1C[N@@H+]1CCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001072552845 857438320 /nfs/dbraw/zinc/43/83/20/857438320.db2.gz SPGSXXQCIJEDHN-ZDUSSCGKSA-N 1 2 316.409 1.053 20 30 DDEDLO CC(C)n1ncnc1C[N@H+]1CCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001072552845 857438322 /nfs/dbraw/zinc/43/83/22/857438322.db2.gz SPGSXXQCIJEDHN-ZDUSSCGKSA-N 1 2 316.409 1.053 20 30 DDEDLO CC(C)C#CC(=O)NC1(C)CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001073215573 858184093 /nfs/dbraw/zinc/18/40/93/858184093.db2.gz UGGPPPGSWDLHQT-UHFFFAOYSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](CO)Nc2cc[nH+]c(C)n2)CCCC1 ZINC001121743523 858587943 /nfs/dbraw/zinc/58/79/43/858587943.db2.gz RXRRGNXMUAROJL-CQSZACIVSA-N 1 2 318.421 1.811 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C[NH+]2CCCC2)n1C[C@H]1CCOC1 ZINC001123236440 859139190 /nfs/dbraw/zinc/13/91/90/859139190.db2.gz MCSWSPNEDAFRCG-HUUCEWRRSA-N 1 2 317.437 1.368 20 30 DDEDLO C[NH+](C)Cc1nc(CNC(=O)c2cccc(C#CCO)c2)co1 ZINC001123970236 859503406 /nfs/dbraw/zinc/50/34/06/859503406.db2.gz JOTUVGNBILWPOW-UHFFFAOYSA-N 1 2 313.357 1.010 20 30 DDEDLO C=CCOCC(=O)NCC[NH2+][C@H](C)c1ncc(C(C)(C)C)o1 ZINC001124773079 859806012 /nfs/dbraw/zinc/80/60/12/859806012.db2.gz MRSBOTBSNAEQNT-GFCCVEGCSA-N 1 2 309.410 1.942 20 30 DDEDLO COc1ccc(CN2CC([N@H+]3C[C@@H](C)O[C@@H](C)C3)C2)c(C#N)c1 ZINC001137706925 859965170 /nfs/dbraw/zinc/96/51/70/859965170.db2.gz WRCPGPLQMQNVSN-OKILXGFUSA-N 1 2 315.417 1.860 20 30 DDEDLO COc1ccc(CN2CC([N@@H+]3C[C@@H](C)O[C@@H](C)C3)C2)c(C#N)c1 ZINC001137706925 859965178 /nfs/dbraw/zinc/96/51/78/859965178.db2.gz WRCPGPLQMQNVSN-OKILXGFUSA-N 1 2 315.417 1.860 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224681967 881496176 /nfs/dbraw/zinc/49/61/76/881496176.db2.gz FWPDXSFXZUFMAI-UHFFFAOYSA-N 1 2 319.453 1.746 20 30 DDEDLO CCn1nnc2c1[C@@H](COC)C[N@H+](Cc1ccc(C#N)nc1)C2 ZINC001140542050 860653144 /nfs/dbraw/zinc/65/31/44/860653144.db2.gz ZHSQDOKGGOYEPN-CYBMUJFWSA-N 1 2 312.377 1.310 20 30 DDEDLO CCn1nnc2c1[C@@H](COC)C[N@@H+](Cc1ccc(C#N)nc1)C2 ZINC001140542050 860653145 /nfs/dbraw/zinc/65/31/45/860653145.db2.gz ZHSQDOKGGOYEPN-CYBMUJFWSA-N 1 2 312.377 1.310 20 30 DDEDLO C[N@@H+]1CCc2nc(C(=O)N[C@H]3CC[C@H](C#N)CC3)sc2C1 ZINC001154222817 860890877 /nfs/dbraw/zinc/89/08/77/860890877.db2.gz LZRIPZCPLHWNOL-XYPYZODXSA-N 1 2 304.419 1.943 20 30 DDEDLO C[N@H+]1CCc2nc(C(=O)N[C@H]3CC[C@H](C#N)CC3)sc2C1 ZINC001154222817 860890882 /nfs/dbraw/zinc/89/08/82/860890882.db2.gz LZRIPZCPLHWNOL-XYPYZODXSA-N 1 2 304.419 1.943 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1nc(C)no1 ZINC001325899451 860937344 /nfs/dbraw/zinc/93/73/44/860937344.db2.gz LVTNUCQGLYUSFD-GJZGRUSLSA-N 1 2 316.405 1.747 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1nc(C)no1 ZINC001325899451 860937359 /nfs/dbraw/zinc/93/73/59/860937359.db2.gz LVTNUCQGLYUSFD-GJZGRUSLSA-N 1 2 316.405 1.747 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1C ZINC001152228726 863230710 /nfs/dbraw/zinc/23/07/10/863230710.db2.gz YLNLZWFVMAPTER-HUUCEWRRSA-N 1 2 322.453 1.728 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1C ZINC001152228726 863230721 /nfs/dbraw/zinc/23/07/21/863230721.db2.gz YLNLZWFVMAPTER-HUUCEWRRSA-N 1 2 322.453 1.728 20 30 DDEDLO CS(=O)(=O)c1cccnc1-c1noc([C@H]([NH3+])CCCC#N)n1 ZINC001329181097 863522178 /nfs/dbraw/zinc/52/21/78/863522178.db2.gz YHCBPHDFNRTEHL-SECBINFHSA-N 1 2 321.362 1.229 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@H](C)CNC(=O)CSCC#N)n1 ZINC001329386925 863636317 /nfs/dbraw/zinc/63/63/17/863636317.db2.gz UHJOAOVCCLBQTO-GFCCVEGCSA-N 1 2 309.439 1.096 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@H](C)CNC(=O)CSCC#N)n1 ZINC001329386925 863636321 /nfs/dbraw/zinc/63/63/21/863636321.db2.gz UHJOAOVCCLBQTO-GFCCVEGCSA-N 1 2 309.439 1.096 20 30 DDEDLO CCc1nc(C#N)c(C#N)nc1N(C(N)=[NH2+])c1ccc(F)cc1 ZINC001157230952 863667498 /nfs/dbraw/zinc/66/74/98/863667498.db2.gz OAYUKNBTSKWRAH-UHFFFAOYSA-N 1 2 309.308 1.953 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)[N@H+](C)Cc1snnc1C ZINC001329522457 863710837 /nfs/dbraw/zinc/71/08/37/863710837.db2.gz GZUWUSBLULQVKW-LBPRGKRZSA-N 1 2 308.451 1.977 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)[N@@H+](C)Cc1snnc1C ZINC001329522457 863710841 /nfs/dbraw/zinc/71/08/41/863710841.db2.gz GZUWUSBLULQVKW-LBPRGKRZSA-N 1 2 308.451 1.977 20 30 DDEDLO CCn1ccnc1C[N@H+](CC)[C@H](C)CNC(=O)C#CC(C)C ZINC001153207260 863763938 /nfs/dbraw/zinc/76/39/38/863763938.db2.gz DFQYLZALGHENRU-OAHLLOKOSA-N 1 2 304.438 1.889 20 30 DDEDLO CCn1ccnc1C[N@@H+](CC)[C@H](C)CNC(=O)C#CC(C)C ZINC001153207260 863763947 /nfs/dbraw/zinc/76/39/47/863763947.db2.gz DFQYLZALGHENRU-OAHLLOKOSA-N 1 2 304.438 1.889 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)C1C[NH+](CC(=O)NCCC)C1 ZINC001330049557 864048560 /nfs/dbraw/zinc/04/85/60/864048560.db2.gz VCNBCAUECRHMBC-SUMWQHHRSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CCCOCC(=O)N[C@@H](C)C1C[NH+](Cc2ccns2)C1 ZINC001330085178 864074002 /nfs/dbraw/zinc/07/40/02/864074002.db2.gz JYCUXOJLKKPUKK-LBPRGKRZSA-N 1 2 309.435 1.672 20 30 DDEDLO CCC[C@H](C)CC(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)CC1(C)C ZINC001330179386 864131123 /nfs/dbraw/zinc/13/11/23/864131123.db2.gz WPRBPAIUGUBHJJ-UONOGXRCSA-N 1 2 322.453 1.279 20 30 DDEDLO CCC[C@H](C)CC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)CC1(C)C ZINC001330179386 864131129 /nfs/dbraw/zinc/13/11/29/864131129.db2.gz WPRBPAIUGUBHJJ-UONOGXRCSA-N 1 2 322.453 1.279 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)C(C)(C)C1 ZINC001330200694 864150660 /nfs/dbraw/zinc/15/06/60/864150660.db2.gz AVHWKEWFBYQCCQ-CQSZACIVSA-N 1 2 319.449 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)C(C)(C)C1 ZINC001330200694 864150664 /nfs/dbraw/zinc/15/06/64/864150664.db2.gz AVHWKEWFBYQCCQ-CQSZACIVSA-N 1 2 319.449 1.165 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1C[N@@H+](Cc2nocc2C)CC1(C)C ZINC001330201716 864151040 /nfs/dbraw/zinc/15/10/40/864151040.db2.gz FYEDNORFFNCDAC-HNNXBMFYSA-N 1 2 319.405 1.350 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1C[N@H+](Cc2nocc2C)CC1(C)C ZINC001330201716 864151044 /nfs/dbraw/zinc/15/10/44/864151044.db2.gz FYEDNORFFNCDAC-HNNXBMFYSA-N 1 2 319.405 1.350 20 30 DDEDLO C=CCOCC(=O)N1CCC([N@@H+](C)[C@@H](C)c2noc(C)n2)CC1 ZINC001331000264 864766559 /nfs/dbraw/zinc/76/65/59/864766559.db2.gz CIVUGRGIIBAMAU-LBPRGKRZSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CCOCC(=O)N1CCC([N@H+](C)[C@@H](C)c2noc(C)n2)CC1 ZINC001331000264 864766563 /nfs/dbraw/zinc/76/65/63/864766563.db2.gz CIVUGRGIIBAMAU-LBPRGKRZSA-N 1 2 322.409 1.564 20 30 DDEDLO N#Cc1ncc(N2CC[C@H]([NH+]3CCCC3)C(F)(F)C2)nc1C#N ZINC001158628098 864769815 /nfs/dbraw/zinc/76/98/15/864769815.db2.gz JMKSUJZDJWFFJY-ZDUSSCGKSA-N 1 2 318.331 1.530 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H](CO)[NH2+]Cc1csc(C2CC2)n1 ZINC001331713334 865267124 /nfs/dbraw/zinc/26/71/24/865267124.db2.gz ZOHAEXJWCFPQEC-CYBMUJFWSA-N 1 2 319.430 1.001 20 30 DDEDLO Cc1ccnc(C[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001159587494 865414911 /nfs/dbraw/zinc/41/49/11/865414911.db2.gz SGVNFCPPFBNXNF-ZDUSSCGKSA-N 1 2 313.405 1.583 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001159587494 865414916 /nfs/dbraw/zinc/41/49/16/865414916.db2.gz SGVNFCPPFBNXNF-ZDUSSCGKSA-N 1 2 313.405 1.583 20 30 DDEDLO Cc1nc(Cl)nc(N[C@@H]2CCC[C@H]2[NH+]2CCOCC2)c1C#N ZINC001160722840 866066862 /nfs/dbraw/zinc/06/68/62/866066862.db2.gz CYDUYILPKFNYGZ-CHWSQXEVSA-N 1 2 321.812 1.397 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@@H](NC(=O)C#CC2CC2)C2CC2)n1 ZINC001322990636 866245593 /nfs/dbraw/zinc/24/55/93/866245593.db2.gz IVRNRKXNLUPQDX-CQSZACIVSA-N 1 2 316.405 1.591 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@H+](C)CCN(C)C(=O)C#CC1CC1 ZINC001319999422 866422701 /nfs/dbraw/zinc/42/27/01/866422701.db2.gz GMYPWISUBYSYNR-CQSZACIVSA-N 1 2 307.438 1.095 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@@H+](C)CCN(C)C(=O)C#CC1CC1 ZINC001319999422 866422712 /nfs/dbraw/zinc/42/27/12/866422712.db2.gz GMYPWISUBYSYNR-CQSZACIVSA-N 1 2 307.438 1.095 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+]([C@@H]2CC(=O)N(c3ccccc3F)C2=O)C1 ZINC001320885236 867101000 /nfs/dbraw/zinc/10/10/00/867101000.db2.gz IOUMGCKFTAXWAS-SWLSCSKDSA-N 1 2 318.348 1.735 20 30 DDEDLO C=CCO[C@H]1CC[N@H+]([C@@H]2CC(=O)N(c3ccccc3F)C2=O)C1 ZINC001320885236 867101004 /nfs/dbraw/zinc/10/10/04/867101004.db2.gz IOUMGCKFTAXWAS-SWLSCSKDSA-N 1 2 318.348 1.735 20 30 DDEDLO C[C@H](NC(=O)C#CC1CC1)[C@H](C)NC(=O)CCCn1cc[nH+]c1 ZINC001333902571 867138482 /nfs/dbraw/zinc/13/84/82/867138482.db2.gz LEJWECRVFVNKKF-KBPBESRZSA-N 1 2 316.405 1.086 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@]1(C)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001324609178 867403295 /nfs/dbraw/zinc/40/32/95/867403295.db2.gz URVIYZKGRJDQBU-HZPDHXFCSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@]1(C)CC[N@H+](Cc2cc(C)on2)C1 ZINC001324609178 867403303 /nfs/dbraw/zinc/40/33/03/867403303.db2.gz URVIYZKGRJDQBU-HZPDHXFCSA-N 1 2 307.394 1.391 20 30 DDEDLO C#Cc1cncc(C(=O)NC/C=C\C[NH2+]Cc2nc(C)c(C)o2)c1 ZINC001321243631 867431117 /nfs/dbraw/zinc/43/11/17/867431117.db2.gz AWIZSQTVMVPESX-WAYWQWQTSA-N 1 2 324.384 1.744 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)[C@H](C)CNC(=O)Cn1cc[nH+]c1 ZINC001334370561 867521106 /nfs/dbraw/zinc/52/11/06/867521106.db2.gz SEMAJOSLJBIENO-KGLIPLIRSA-N 1 2 306.410 1.449 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H](C)C[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001321500314 867631587 /nfs/dbraw/zinc/63/15/87/867631587.db2.gz RDJRQWAXBWJIMY-BZNIZROVSA-N 1 2 324.425 1.289 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1C[N@H+]([C@H](C)C(N)=O)CCC1(F)F ZINC001325049958 867721683 /nfs/dbraw/zinc/72/16/83/867721683.db2.gz GHCUYMPDPOAKTB-NEPJUHHUSA-N 1 2 317.380 1.290 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1C[N@@H+]([C@H](C)C(N)=O)CCC1(F)F ZINC001325049958 867721687 /nfs/dbraw/zinc/72/16/87/867721687.db2.gz GHCUYMPDPOAKTB-NEPJUHHUSA-N 1 2 317.380 1.290 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNc2ccc(C#N)c(C(F)(F)F)n2)C1 ZINC001162683729 867728150 /nfs/dbraw/zinc/72/81/50/867728150.db2.gz YOVCUUYCBRBKNA-SNVBAGLBSA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCO[C@H](CNc2ccc(C#N)c(C(F)(F)F)n2)C1 ZINC001162683729 867728157 /nfs/dbraw/zinc/72/81/57/867728157.db2.gz YOVCUUYCBRBKNA-SNVBAGLBSA-N 1 2 300.284 1.715 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]([NH3+])CNc1nc(Cl)c(F)cc1C#N ZINC001162849818 867868549 /nfs/dbraw/zinc/86/85/49/867868549.db2.gz QSNIHRVGSSQREJ-VIFPVBQESA-N 1 2 314.748 1.827 20 30 DDEDLO C=CCCCN(CC)C(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001336616297 869051639 /nfs/dbraw/zinc/05/16/39/869051639.db2.gz XQCMUOIRLOMUCU-UHFFFAOYSA-N 1 2 318.421 1.930 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]CC2(CCNC(=O)C#CC3CC3)CC2)no1 ZINC001164479470 869222616 /nfs/dbraw/zinc/22/26/16/869222616.db2.gz NRQAUWJMIHYACK-GFCCVEGCSA-N 1 2 316.405 1.729 20 30 DDEDLO C[C@@H]1CCCN(C(=O)c2ccc(N3CC[NH2+]C[C@H]3C#N)nc2)C1 ZINC001164660292 869344656 /nfs/dbraw/zinc/34/46/56/869344656.db2.gz BQRJWOYSBRRIAI-UKRRQHHQSA-N 1 2 313.405 1.255 20 30 DDEDLO CCN(c1nc(SC)nc(Cl)c1C=NO)C1C[NH+](C)C1 ZINC001165188300 869420868 /nfs/dbraw/zinc/42/08/68/869420868.db2.gz PGPHDQQSVORRMQ-UHFFFAOYSA-N 1 2 315.830 1.800 20 30 DDEDLO Cn1nc(N)c2ccc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@H+]4C)cc21 ZINC001226286972 882328064 /nfs/dbraw/zinc/32/80/64/882328064.db2.gz ZUYBFADIJPYHSG-BSRWDCPYSA-N 1 2 300.362 1.147 20 30 DDEDLO Cn1nc(N)c2ccc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@@H+]4C)cc21 ZINC001226286972 882328078 /nfs/dbraw/zinc/32/80/78/882328078.db2.gz ZUYBFADIJPYHSG-BSRWDCPYSA-N 1 2 300.362 1.147 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2ccncc2Cl)C1 ZINC001316975133 870035207 /nfs/dbraw/zinc/03/52/07/870035207.db2.gz XUKXGPAKMVHCHJ-CYBMUJFWSA-N 1 2 309.797 1.628 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](Cc2ccncc2Cl)C1 ZINC001316975133 870035211 /nfs/dbraw/zinc/03/52/11/870035211.db2.gz XUKXGPAKMVHCHJ-CYBMUJFWSA-N 1 2 309.797 1.628 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCCC1 ZINC001297521676 870087354 /nfs/dbraw/zinc/08/73/54/870087354.db2.gz SLDCAVDBWIQTOR-UHFFFAOYSA-N 1 2 318.421 1.785 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C)C[C@H]1C ZINC001338771966 870158395 /nfs/dbraw/zinc/15/83/95/870158395.db2.gz IAHSXGQUJASAQM-APIJFGDWSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C)C[C@H]1C ZINC001338771966 870158403 /nfs/dbraw/zinc/15/84/03/870158403.db2.gz IAHSXGQUJASAQM-APIJFGDWSA-N 1 2 319.453 1.826 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](OCC)C1CCOCC1 ZINC001317050761 870167763 /nfs/dbraw/zinc/16/77/63/870167763.db2.gz LQLVTHDHJOUWPS-BBWFWOEESA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](OCC)C1CCOCC1 ZINC001317050761 870167768 /nfs/dbraw/zinc/16/77/68/870167768.db2.gz LQLVTHDHJOUWPS-BBWFWOEESA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)C(C)(C)O)n2CC=C)CC1 ZINC001338905936 870236108 /nfs/dbraw/zinc/23/61/08/870236108.db2.gz NETJSKCVOGTEJM-AWEZNQCLSA-N 1 2 317.437 1.094 20 30 DDEDLO C=C(C)CCC(=O)NC1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1nnnn1C ZINC001317095911 870237908 /nfs/dbraw/zinc/23/79/08/870237908.db2.gz MLQGOFYYCJEFGG-AGUYFDCRSA-N 1 2 318.425 1.178 20 30 DDEDLO C=C(C)CCC(=O)NC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1nnnn1C ZINC001317095911 870237918 /nfs/dbraw/zinc/23/79/18/870237918.db2.gz MLQGOFYYCJEFGG-AGUYFDCRSA-N 1 2 318.425 1.178 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001298136164 870305783 /nfs/dbraw/zinc/30/57/83/870305783.db2.gz NMWYTBPXRNPGKE-QWHCGFSZSA-N 1 2 318.421 1.566 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H](C)C#N ZINC001317170200 870404695 /nfs/dbraw/zinc/40/46/95/870404695.db2.gz WACGTHWZMJCEHD-UONOGXRCSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H](C)C#N ZINC001317170200 870404701 /nfs/dbraw/zinc/40/47/01/870404701.db2.gz WACGTHWZMJCEHD-UONOGXRCSA-N 1 2 308.426 1.033 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCCC[N@H+]1Cc1cc(C)n(C)n1 ZINC001317169064 870405948 /nfs/dbraw/zinc/40/59/48/870405948.db2.gz YSIZYMZDNPCNAJ-MRXNPFEDSA-N 1 2 320.437 1.402 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1cc(C)n(C)n1 ZINC001317169064 870405960 /nfs/dbraw/zinc/40/59/60/870405960.db2.gz YSIZYMZDNPCNAJ-MRXNPFEDSA-N 1 2 320.437 1.402 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC ZINC001339240638 870417107 /nfs/dbraw/zinc/41/71/07/870417107.db2.gz PLJXWPGOJYNHRS-BBRMVZONSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC ZINC001339240638 870417111 /nfs/dbraw/zinc/41/71/11/870417111.db2.gz PLJXWPGOJYNHRS-BBRMVZONSA-N 1 2 305.426 1.388 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCC1(C[NH2+]Cc2nnn(C)n2)CC1 ZINC001167025925 870481730 /nfs/dbraw/zinc/48/17/30/870481730.db2.gz RKOVDAUVTFXGFA-OAHLLOKOSA-N 1 2 320.441 1.189 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)CC[C@@H]1C ZINC001339696098 870638677 /nfs/dbraw/zinc/63/86/77/870638677.db2.gz NAIOVGBJWGPIBC-LSDHHAIUSA-N 1 2 316.405 1.182 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCOC[C@@](O)(C(F)(F)F)C2)c1 ZINC001203757416 870742882 /nfs/dbraw/zinc/74/28/82/870742882.db2.gz MGEKNVVTOAGLJE-CYBMUJFWSA-N 1 2 300.280 1.684 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCOC[C@@](O)(C(F)(F)F)C2)c1 ZINC001203757416 870742887 /nfs/dbraw/zinc/74/28/87/870742887.db2.gz MGEKNVVTOAGLJE-CYBMUJFWSA-N 1 2 300.280 1.684 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H](N3CCOCC3)[C@@H](F)C2)c1 ZINC001203765224 870747062 /nfs/dbraw/zinc/74/70/62/870747062.db2.gz VVZGMLBCEDXSPR-DLBZAZTESA-N 1 2 303.381 1.803 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H](N3CCOCC3)[C@@H](F)C2)c1 ZINC001203765224 870747075 /nfs/dbraw/zinc/74/70/75/870747075.db2.gz VVZGMLBCEDXSPR-DLBZAZTESA-N 1 2 303.381 1.803 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@@H](CC)OC)CC1 ZINC001226463440 882445111 /nfs/dbraw/zinc/44/51/11/882445111.db2.gz PZAUKQXDQPYLBB-GFCCVEGCSA-N 1 2 319.243 1.901 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3C[C@@H](C2)N3C[C@H]2CCCO2)cc1C#N ZINC001276433570 870874001 /nfs/dbraw/zinc/87/40/01/870874001.db2.gz NHTMSMVFHVDZHS-IXDOHACOSA-N 1 2 300.406 1.334 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3C[C@@H](C2)N3C[C@H]2CCCO2)cc1C#N ZINC001276433570 870874005 /nfs/dbraw/zinc/87/40/05/870874005.db2.gz NHTMSMVFHVDZHS-IXDOHACOSA-N 1 2 300.406 1.334 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@H]2C[C@H](O)C[N@H+]2C)n1CC=C ZINC001340258399 870991684 /nfs/dbraw/zinc/99/16/84/870991684.db2.gz IWSVWNAVHHGKPI-ILXRZTDVSA-N 1 2 315.421 1.194 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@H]2C[C@H](O)C[N@@H+]2C)n1CC=C ZINC001340258399 870991692 /nfs/dbraw/zinc/99/16/92/870991692.db2.gz IWSVWNAVHHGKPI-ILXRZTDVSA-N 1 2 315.421 1.194 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)c1[nH]c2ccccc2c1C#N ZINC001301546782 870999143 /nfs/dbraw/zinc/99/91/43/870999143.db2.gz HKIQPVADEATMAH-ZDUSSCGKSA-N 1 2 309.329 1.236 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)CC[NH2+][C@@H](C)c1nc(C)no1 ZINC001317637898 871275272 /nfs/dbraw/zinc/27/52/72/871275272.db2.gz AREWLFPFRGRVRV-UBHSHLNASA-N 1 2 322.409 1.468 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)/C=C\CC)n2CC)CC1 ZINC001340829467 871374818 /nfs/dbraw/zinc/37/48/18/871374818.db2.gz KXWQPPAIEOKACR-DANTVBBOSA-N 1 2 303.410 1.053 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H](C)C2CCCC2)C1 ZINC001317987471 871670284 /nfs/dbraw/zinc/67/02/84/871670284.db2.gz HKULXKUZXRPHRY-ZBFHGGJFSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)C2CCCC2)C1 ZINC001317987471 871670297 /nfs/dbraw/zinc/67/02/97/871670297.db2.gz HKULXKUZXRPHRY-ZBFHGGJFSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)Cc2c[nH]c3ccccc23)C1 ZINC001318081816 871734002 /nfs/dbraw/zinc/73/40/02/871734002.db2.gz OSHMQZXTFKTEON-UHFFFAOYSA-N 1 2 313.401 1.713 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@@H]3C[N@H+](C(C)C)CCO3)n2C)C1 ZINC001341798697 871830936 /nfs/dbraw/zinc/83/09/36/871830936.db2.gz UNCFOIXTVQKKDR-CABCVRRESA-N 1 2 317.437 1.446 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@@H]3C[N@@H+](C(C)C)CCO3)n2C)C1 ZINC001341798697 871830951 /nfs/dbraw/zinc/83/09/51/871830951.db2.gz UNCFOIXTVQKKDR-CABCVRRESA-N 1 2 317.437 1.446 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cc3n(n2)CCCC3)C1 ZINC001318329126 871933621 /nfs/dbraw/zinc/93/36/21/871933621.db2.gz FBJPOEHFUBKRIM-OAHLLOKOSA-N 1 2 314.433 1.713 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc3n(n2)CCCC3)C1 ZINC001318329126 871933628 /nfs/dbraw/zinc/93/36/28/871933628.db2.gz FBJPOEHFUBKRIM-OAHLLOKOSA-N 1 2 314.433 1.713 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2ccc(F)c(C)c2)C1 ZINC001318351472 871950968 /nfs/dbraw/zinc/95/09/68/871950968.db2.gz KEVMKQODFJTQMA-CQSZACIVSA-N 1 2 319.380 1.240 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(F)c(C)c2)C1 ZINC001318351472 871950984 /nfs/dbraw/zinc/95/09/84/871950984.db2.gz KEVMKQODFJTQMA-CQSZACIVSA-N 1 2 319.380 1.240 20 30 DDEDLO CC(C)c1nnc(C[N@H+](C)CCCNC(=O)C#CC2CC2)[nH]1 ZINC001316823194 871968121 /nfs/dbraw/zinc/96/81/21/871968121.db2.gz DVXAKXPHHCFTSP-UHFFFAOYSA-N 1 2 303.410 1.280 20 30 DDEDLO CC(C)c1nnc(C[N@@H+](C)CCCNC(=O)C#CC2CC2)[nH]1 ZINC001316823194 871968128 /nfs/dbraw/zinc/96/81/28/871968128.db2.gz DVXAKXPHHCFTSP-UHFFFAOYSA-N 1 2 303.410 1.280 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2ccncc2OC)C1 ZINC001206500513 872387225 /nfs/dbraw/zinc/38/72/25/872387225.db2.gz YPDZKLYYFDQZKT-CQSZACIVSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2ccncc2OC)C1 ZINC001206500513 872387247 /nfs/dbraw/zinc/38/72/47/872387247.db2.gz YPDZKLYYFDQZKT-CQSZACIVSA-N 1 2 305.378 1.967 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H](Cc3[nH+]ccn3C)C2)cn1 ZINC001319233811 872512263 /nfs/dbraw/zinc/51/22/63/872512263.db2.gz SZKSOAITFAXNKJ-CQSZACIVSA-N 1 2 308.385 1.891 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001319308889 872556973 /nfs/dbraw/zinc/55/69/73/872556973.db2.gz GVDVQORWNWJJLO-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001319308889 872556981 /nfs/dbraw/zinc/55/69/81/872556981.db2.gz GVDVQORWNWJJLO-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@]2(C)CC=CCC2)C1 ZINC001319307800 872558841 /nfs/dbraw/zinc/55/88/41/872558841.db2.gz BIXICMVDCLXATF-FUHWJXTLSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@]2(C)CC=CCC2)C1 ZINC001319307800 872558850 /nfs/dbraw/zinc/55/88/50/872558850.db2.gz BIXICMVDCLXATF-FUHWJXTLSA-N 1 2 322.449 1.752 20 30 DDEDLO C#C[C@H](CO)[NH2+]Cc1cccc(F)c1I ZINC001206837861 872635472 /nfs/dbraw/zinc/63/54/72/872635472.db2.gz IHESXCZXQUBHBF-SECBINFHSA-N 1 2 319.117 1.514 20 30 DDEDLO C=CCOCCCC(=O)NC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001226759850 882636488 /nfs/dbraw/zinc/63/64/88/882636488.db2.gz CNIWUIRZCMHJFF-UHFFFAOYSA-N 1 2 322.409 1.441 20 30 DDEDLO N#Cc1ccnc(CN2C[C@@H]3COC[C@H](C2)[N@@H+]3C2CCCC2)c1 ZINC001276688940 875509121 /nfs/dbraw/zinc/50/91/21/875509121.db2.gz VPRVUCKLFPMCMP-HDICACEKSA-N 1 2 312.417 1.781 20 30 DDEDLO N#Cc1ccnc(CN2C[C@@H]3COC[C@H](C2)[N@H+]3C2CCCC2)c1 ZINC001276688940 875509125 /nfs/dbraw/zinc/50/91/25/875509125.db2.gz VPRVUCKLFPMCMP-HDICACEKSA-N 1 2 312.417 1.781 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001350507010 875734578 /nfs/dbraw/zinc/73/45/78/875734578.db2.gz RMYOSGQLKOHGMZ-CQSZACIVSA-N 1 2 316.405 1.040 20 30 DDEDLO CN(C)c1ccc(CN2CC[NH2+]CC(F)(F)C2)c(F)c1C#N ZINC001211347610 875764595 /nfs/dbraw/zinc/76/45/95/875764595.db2.gz QFYIPASKRKRHRA-UHFFFAOYSA-N 1 2 312.339 1.804 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2snnc2C)C[C@H]1C ZINC001211426017 875802021 /nfs/dbraw/zinc/80/20/21/875802021.db2.gz WNZMPBDJIXCDNH-RAIGVLPGSA-N 1 2 324.450 1.374 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2snnc2C)C[C@H]1C ZINC001211426017 875802033 /nfs/dbraw/zinc/80/20/33/875802033.db2.gz WNZMPBDJIXCDNH-RAIGVLPGSA-N 1 2 324.450 1.374 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213258475 875863251 /nfs/dbraw/zinc/86/32/51/875863251.db2.gz RMHUUCUHJJLSKE-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213258475 875863263 /nfs/dbraw/zinc/86/32/63/875863263.db2.gz RMHUUCUHJJLSKE-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001213303225 875888126 /nfs/dbraw/zinc/88/81/26/875888126.db2.gz IMRMBKXVGWHISN-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001213303225 875888142 /nfs/dbraw/zinc/88/81/42/875888142.db2.gz IMRMBKXVGWHISN-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1OC ZINC001213310404 875889853 /nfs/dbraw/zinc/88/98/53/875889853.db2.gz MKRYDYWAEWFWFD-HUUCEWRRSA-N 1 2 321.446 1.652 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1OC ZINC001213310404 875889866 /nfs/dbraw/zinc/88/98/66/875889866.db2.gz MKRYDYWAEWFWFD-HUUCEWRRSA-N 1 2 321.446 1.652 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213389972 875917519 /nfs/dbraw/zinc/91/75/19/875917519.db2.gz MWHJMCGZUXLCHQ-BFYDXBDKSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213389972 875917530 /nfs/dbraw/zinc/91/75/30/875917530.db2.gz MWHJMCGZUXLCHQ-BFYDXBDKSA-N 1 2 320.437 1.338 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001213495936 875953444 /nfs/dbraw/zinc/95/34/44/875953444.db2.gz CUIXKXYRZQSQHN-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001213495936 875953452 /nfs/dbraw/zinc/95/34/52/875953452.db2.gz CUIXKXYRZQSQHN-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCCC(=O)N1CCC(CNC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001350956959 875984657 /nfs/dbraw/zinc/98/46/57/875984657.db2.gz HJPLWULNBXSYJX-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO CCc1nnc([C@H](C)[NH2+]C[C@@H](C)NC(=O)c2ccc(C#N)[nH]2)o1 ZINC001379353311 876899701 /nfs/dbraw/zinc/89/97/01/876899701.db2.gz PWVVYAFFVFEEEE-ZJUUUORDSA-N 1 2 316.365 1.301 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2[nH+]ccn2C)C1 ZINC001353369782 877283390 /nfs/dbraw/zinc/28/33/90/877283390.db2.gz VMFIAHNSGAFEEZ-ZDUSSCGKSA-N 1 2 304.394 1.036 20 30 DDEDLO CC[C@@H](F)C[N@@H+]1C[C@H]2OCCN(C(=O)C#CC(C)(C)C)[C@H]2C1 ZINC001217844125 877340708 /nfs/dbraw/zinc/34/07/08/877340708.db2.gz PWBMVJLWPUQMFB-QLFBSQMISA-N 1 2 310.413 1.696 20 30 DDEDLO CC[C@@H](F)C[N@H+]1C[C@H]2OCCN(C(=O)C#CC(C)(C)C)[C@H]2C1 ZINC001217844125 877340724 /nfs/dbraw/zinc/34/07/24/877340724.db2.gz PWBMVJLWPUQMFB-QLFBSQMISA-N 1 2 310.413 1.696 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001353480349 877361788 /nfs/dbraw/zinc/36/17/88/877361788.db2.gz ONVARRYLSZPUIQ-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO N#CCOc1ccc(C[NH+]2CC3(CCN3Cc3cn[nH]c3)C2)cc1 ZINC001276775051 877413633 /nfs/dbraw/zinc/41/36/33/877413633.db2.gz DDKYVHSKIMYCCF-UHFFFAOYSA-N 1 2 323.400 1.772 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC001353568011 877423404 /nfs/dbraw/zinc/42/34/04/877423404.db2.gz IVXIGQVKJYTVBD-MGPQQGTHSA-N 1 2 316.405 1.175 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)CC1CCCC1 ZINC001276782327 877459752 /nfs/dbraw/zinc/45/97/52/877459752.db2.gz HYGSSBLCXJJJQU-DZGCQCFKSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)CC1CCCC1 ZINC001276782327 877459759 /nfs/dbraw/zinc/45/97/59/877459759.db2.gz HYGSSBLCXJJJQU-DZGCQCFKSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001353640445 877460497 /nfs/dbraw/zinc/46/04/97/877460497.db2.gz CODBTHTWAWYFMG-PBFPGSCMSA-N 1 2 318.421 1.328 20 30 DDEDLO CC[NH+]1CCN(C(=O)C(=O)Nc2ccc(CCC#N)cc2)CC1 ZINC001354069065 877729637 /nfs/dbraw/zinc/72/96/37/877729637.db2.gz BNVWSNQMQVCHKH-UHFFFAOYSA-N 1 2 314.389 1.245 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001379811652 878086028 /nfs/dbraw/zinc/08/60/28/878086028.db2.gz NXWMWOHJPSXYPZ-WCQYABFASA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001379811652 878086043 /nfs/dbraw/zinc/08/60/43/878086043.db2.gz NXWMWOHJPSXYPZ-WCQYABFASA-N 1 2 317.861 1.726 20 30 DDEDLO CC/C=C(/C)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219299379 878102719 /nfs/dbraw/zinc/10/27/19/878102719.db2.gz ALINJEHMCSFUGZ-FOTNCHMCSA-N 1 2 313.401 1.576 20 30 DDEDLO CC/C=C(/C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219299379 878102727 /nfs/dbraw/zinc/10/27/27/878102727.db2.gz ALINJEHMCSFUGZ-FOTNCHMCSA-N 1 2 313.401 1.576 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219532137 878303373 /nfs/dbraw/zinc/30/33/73/878303373.db2.gz KSVDCYPJQVDDQO-CABCVRRESA-N 1 2 317.414 1.085 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219532137 878303359 /nfs/dbraw/zinc/30/33/59/878303359.db2.gz KSVDCYPJQVDDQO-CABCVRRESA-N 1 2 317.414 1.085 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CN(C)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001287617342 912354427 /nfs/dbraw/zinc/35/44/27/912354427.db2.gz STTGPLMBJULKNV-UONOGXRCSA-N 1 2 306.410 1.764 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(C)CCNC(=O)CCn1cc[nH+]c1 ZINC001355765369 878707272 /nfs/dbraw/zinc/70/72/72/878707272.db2.gz GVDBGDDJKCKBPB-UONOGXRCSA-N 1 2 306.410 1.306 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCCCCCC1 ZINC001355919981 878778529 /nfs/dbraw/zinc/77/85/29/878778529.db2.gz MBYDDNQCRBCLPN-GJZGRUSLSA-N 1 2 319.453 1.972 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCCCCCC1 ZINC001355919981 878778546 /nfs/dbraw/zinc/77/85/46/878778546.db2.gz MBYDDNQCRBCLPN-GJZGRUSLSA-N 1 2 319.453 1.972 20 30 DDEDLO C=C(C)Cn1c(N(C)CC(C)C)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001355947211 878790647 /nfs/dbraw/zinc/79/06/47/878790647.db2.gz RGNXFDFIGZILIH-YOEHRIQHSA-N 1 2 321.469 1.858 20 30 DDEDLO C=C(C)Cn1c(N(C)CC(C)C)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001355947211 878790656 /nfs/dbraw/zinc/79/06/56/878790656.db2.gz RGNXFDFIGZILIH-YOEHRIQHSA-N 1 2 321.469 1.858 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220201198 878814827 /nfs/dbraw/zinc/81/48/27/878814827.db2.gz IXFHPEWNLUPLNQ-WQVCFCJDSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220201198 878814831 /nfs/dbraw/zinc/81/48/31/878814831.db2.gz IXFHPEWNLUPLNQ-WQVCFCJDSA-N 1 2 318.421 1.049 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CCC)c2ccccc2)[C@@H](O)C1 ZINC001220915619 879379666 /nfs/dbraw/zinc/37/96/66/879379666.db2.gz JASORNYJYWDGOZ-ZACQAIPSSA-N 1 2 300.402 1.365 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CCC)c2ccccc2)[C@@H](O)C1 ZINC001220915619 879379687 /nfs/dbraw/zinc/37/96/87/879379687.db2.gz JASORNYJYWDGOZ-ZACQAIPSSA-N 1 2 300.402 1.365 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@](C)(NC(=O)[C@H](C)C#N)C2)sn1 ZINC001380345408 879402356 /nfs/dbraw/zinc/40/23/56/879402356.db2.gz HMIZVDRVKKJNLM-YGRLFVJLSA-N 1 2 308.407 1.392 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@](C)(NC(=O)[C@H](C)C#N)C2)sn1 ZINC001380345408 879402365 /nfs/dbraw/zinc/40/23/65/879402365.db2.gz HMIZVDRVKKJNLM-YGRLFVJLSA-N 1 2 308.407 1.392 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC)c2ccc(Cl)cc2)[C@@H](O)C1 ZINC001221042439 879463936 /nfs/dbraw/zinc/46/39/36/879463936.db2.gz KSNQKUBIECCKMP-OAGGEKHMSA-N 1 2 320.820 1.628 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)c2ccc(Cl)cc2)[C@@H](O)C1 ZINC001221042439 879463943 /nfs/dbraw/zinc/46/39/43/879463943.db2.gz KSNQKUBIECCKMP-OAGGEKHMSA-N 1 2 320.820 1.628 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](NC(=O)CCc1c[nH]c[nH+]1)C(C)C ZINC001357112022 879803449 /nfs/dbraw/zinc/80/34/49/879803449.db2.gz JSXOXAAQMYXXFH-AWEZNQCLSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](NC(=O)CCc1c[nH+]c[nH]1)C(C)C ZINC001357112022 879803457 /nfs/dbraw/zinc/80/34/57/879803457.db2.gz JSXOXAAQMYXXFH-AWEZNQCLSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@H]2CC[N@@H+](Cc3nocc3C)C[C@H]21 ZINC001221518054 879911904 /nfs/dbraw/zinc/91/19/04/879911904.db2.gz RQSWKYKZZNBRBN-UNEWFSDZSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@H]2CC[N@H+](Cc3nocc3C)C[C@H]21 ZINC001221518054 879911916 /nfs/dbraw/zinc/91/19/16/879911916.db2.gz RQSWKYKZZNBRBN-UNEWFSDZSA-N 1 2 319.405 1.343 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](CC)C1 ZINC001358576039 880547391 /nfs/dbraw/zinc/54/73/91/880547391.db2.gz NFDROGIESWPVEV-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001276836356 880688333 /nfs/dbraw/zinc/68/83/33/880688333.db2.gz WGMJMPYLIDXGRU-INIZCTEOSA-N 1 2 319.449 1.383 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001276836356 880688342 /nfs/dbraw/zinc/68/83/42/880688342.db2.gz WGMJMPYLIDXGRU-INIZCTEOSA-N 1 2 319.449 1.383 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(F)c1 ZINC001276854422 880765296 /nfs/dbraw/zinc/76/52/96/880765296.db2.gz WCYCZEURDYUWAR-XJKSGUPXSA-N 1 2 304.365 1.555 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(F)c1 ZINC001276854422 880765300 /nfs/dbraw/zinc/76/53/00/880765300.db2.gz WCYCZEURDYUWAR-XJKSGUPXSA-N 1 2 304.365 1.555 20 30 DDEDLO COc1ccc(OC)c(/C(=N/O)O[C@@H]2C[NH+]3CCC2CC3)c1 ZINC001223892931 881136516 /nfs/dbraw/zinc/13/65/16/881136516.db2.gz NWBLDLKMIJNNJM-KSPIOAOGSA-N 1 2 306.362 1.950 20 30 DDEDLO C=CC1(CC(=O)NC[C@H]2CC[N@@H+]2[C@H]2CCNC2=O)CCCCC1 ZINC001276939326 881184043 /nfs/dbraw/zinc/18/40/43/881184043.db2.gz DWOGKXBCDPTVED-CABCVRRESA-N 1 2 319.449 1.592 20 30 DDEDLO C=CC1(CC(=O)NC[C@H]2CC[N@H+]2[C@H]2CCNC2=O)CCCCC1 ZINC001276939326 881184056 /nfs/dbraw/zinc/18/40/56/881184056.db2.gz DWOGKXBCDPTVED-CABCVRRESA-N 1 2 319.449 1.592 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@]2(NC(=O)COC)CCC[C@@H]12 ZINC001382319199 883811951 /nfs/dbraw/zinc/81/19/51/883811951.db2.gz WZUTUUNEZJGQDI-DGCLKSJQSA-N 1 2 317.227 1.655 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@]2(NC(=O)COC)CCC[C@@H]12 ZINC001382319199 883811962 /nfs/dbraw/zinc/81/19/62/883811962.db2.gz WZUTUUNEZJGQDI-DGCLKSJQSA-N 1 2 317.227 1.655 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)NC2CC2)cc1C#N ZINC001277361921 883857498 /nfs/dbraw/zinc/85/74/98/883857498.db2.gz UJVKPJYTTYEPIP-GASCZTMLSA-N 1 2 313.405 1.417 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)NC2CC2)cc1C#N ZINC001277361921 883857511 /nfs/dbraw/zinc/85/75/11/883857511.db2.gz UJVKPJYTTYEPIP-GASCZTMLSA-N 1 2 313.405 1.417 20 30 DDEDLO Cc1nnsc1C(=O)N1CCC([N@H+](CC#N)CC2CC2)CC1 ZINC001277381869 883954323 /nfs/dbraw/zinc/95/43/23/883954323.db2.gz CUXDGVALKUYAHT-UHFFFAOYSA-N 1 2 319.434 1.687 20 30 DDEDLO Cc1nnsc1C(=O)N1CCC([N@@H+](CC#N)CC2CC2)CC1 ZINC001277381869 883954327 /nfs/dbraw/zinc/95/43/27/883954327.db2.gz CUXDGVALKUYAHT-UHFFFAOYSA-N 1 2 319.434 1.687 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC3(C[C@@H]3C(N)=O)C2)c(Br)c1 ZINC001277430760 884230116 /nfs/dbraw/zinc/23/01/16/884230116.db2.gz LXNYBKZEPQGJBH-LLVKDONJSA-N 1 2 320.190 1.628 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncnc4c(F)cccc34)C[C@H]1[C@@H]1O[C@@H]12 ZINC001230358462 884445023 /nfs/dbraw/zinc/44/50/23/884445023.db2.gz PSYNJABAVQJJDO-QCRWRKDUSA-N 1 2 301.321 1.760 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncnc4c(F)cccc34)C[C@H]1[C@@H]1O[C@@H]12 ZINC001230358462 884445027 /nfs/dbraw/zinc/44/50/27/884445027.db2.gz PSYNJABAVQJJDO-QCRWRKDUSA-N 1 2 301.321 1.760 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C=C(C)C ZINC001230683119 884743778 /nfs/dbraw/zinc/74/37/78/884743778.db2.gz ZREZJSKMKAWBMT-INIZCTEOSA-N 1 2 319.449 1.686 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C=C(C)C ZINC001230683119 884743790 /nfs/dbraw/zinc/74/37/90/884743790.db2.gz ZREZJSKMKAWBMT-INIZCTEOSA-N 1 2 319.449 1.686 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CCC(C)C)C1=O ZINC001230731277 884804532 /nfs/dbraw/zinc/80/45/32/884804532.db2.gz UOBJORUVLSOOTQ-CVEARBPZSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CCC(C)C)C1=O ZINC001230731277 884804544 /nfs/dbraw/zinc/80/45/44/884804544.db2.gz UOBJORUVLSOOTQ-CVEARBPZSA-N 1 2 321.465 1.742 20 30 DDEDLO CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CCC#N ZINC001230795110 884887551 /nfs/dbraw/zinc/88/75/51/884887551.db2.gz DZJFGVXLQAQWLH-HNNXBMFYSA-N 1 2 322.453 1.471 20 30 DDEDLO CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CCC#N ZINC001230795110 884887559 /nfs/dbraw/zinc/88/75/59/884887559.db2.gz DZJFGVXLQAQWLH-HNNXBMFYSA-N 1 2 322.453 1.471 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C(/C)C1CC1 ZINC001230958652 885087366 /nfs/dbraw/zinc/08/73/66/885087366.db2.gz QJRRXACWGUBVRE-PRPKNLAESA-N 1 2 319.449 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C(/C)C1CC1 ZINC001230958652 885087379 /nfs/dbraw/zinc/08/73/79/885087379.db2.gz QJRRXACWGUBVRE-PRPKNLAESA-N 1 2 319.449 1.566 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231225241 885396921 /nfs/dbraw/zinc/39/69/21/885396921.db2.gz BPKWFNFDFXJZJM-GOSISDBHSA-N 1 2 312.413 1.773 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231225241 885396930 /nfs/dbraw/zinc/39/69/30/885396930.db2.gz BPKWFNFDFXJZJM-GOSISDBHSA-N 1 2 312.413 1.773 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCCCC1 ZINC001383203241 885399103 /nfs/dbraw/zinc/39/91/03/885399103.db2.gz IJECUKKNAYLTEV-LBPRGKRZSA-N 1 2 317.393 1.047 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231294127 885477558 /nfs/dbraw/zinc/47/75/58/885477558.db2.gz VASSPBMIAVXPSE-AAEUAGOBSA-N 1 2 304.394 1.738 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231294127 885477564 /nfs/dbraw/zinc/47/75/64/885477564.db2.gz VASSPBMIAVXPSE-AAEUAGOBSA-N 1 2 304.394 1.738 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001288535065 912967086 /nfs/dbraw/zinc/96/70/86/912967086.db2.gz IJZNKAWBACKMJH-KGLIPLIRSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CC(N3CCN(C)C(=O)C3)C2)c1 ZINC001231625164 885765701 /nfs/dbraw/zinc/76/57/01/885765701.db2.gz OOOAGQODQREUQF-UHFFFAOYSA-N 1 2 315.417 1.210 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)C[C@@H]1CCOC1 ZINC001374477164 912994886 /nfs/dbraw/zinc/99/48/86/912994886.db2.gz OLSVYOCYYJGUPW-HNNXBMFYSA-N 1 2 315.417 1.875 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)C[C@@H]1CCOC1 ZINC001374477164 912994902 /nfs/dbraw/zinc/99/49/02/912994902.db2.gz OLSVYOCYYJGUPW-HNNXBMFYSA-N 1 2 315.417 1.875 20 30 DDEDLO N#Cc1ccccc1OCCN1CC2(C1)C[NH+](Cc1cn[nH]c1)C2 ZINC001277596294 885993718 /nfs/dbraw/zinc/99/37/18/885993718.db2.gz WWQWKGIYWFWAEV-UHFFFAOYSA-N 1 2 323.400 1.478 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)c(C)n1 ZINC001232335326 886251585 /nfs/dbraw/zinc/25/15/85/886251585.db2.gz XOYXKZZZNBBIQA-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO Cc1cc(C)nc(N2CC[NH+](Cc3cc(C#N)ccc3O)CC2)n1 ZINC001232680050 886535436 /nfs/dbraw/zinc/53/54/36/886535436.db2.gz XJICIUQRQGTORE-UHFFFAOYSA-N 1 2 323.400 1.993 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1CCC(OCC(=O)NC2CC2)CC1 ZINC001233047811 886757386 /nfs/dbraw/zinc/75/73/86/886757386.db2.gz LZULBYUZLCYERX-UHFFFAOYSA-N 1 2 302.378 1.146 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CCc1ccoc1 ZINC001233580852 887128438 /nfs/dbraw/zinc/12/84/38/887128438.db2.gz NZUDIQBPXJWGCY-OAHLLOKOSA-N 1 2 319.405 1.047 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CCc1ccoc1 ZINC001233580852 887128445 /nfs/dbraw/zinc/12/84/45/887128445.db2.gz NZUDIQBPXJWGCY-OAHLLOKOSA-N 1 2 319.405 1.047 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C=C1CCC1 ZINC001233636422 887179091 /nfs/dbraw/zinc/17/90/91/887179091.db2.gz YRZNAPZLJNEPFM-DZGCQCFKSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C=C1CCC1 ZINC001233636422 887179098 /nfs/dbraw/zinc/17/90/98/887179098.db2.gz YRZNAPZLJNEPFM-DZGCQCFKSA-N 1 2 305.422 1.320 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@@H](C)CC ZINC001233761495 887291411 /nfs/dbraw/zinc/29/14/11/887291411.db2.gz LFJXYPRWUCJPIG-HOTGVXAUSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@@H](C)CC ZINC001233761495 887291422 /nfs/dbraw/zinc/29/14/22/887291422.db2.gz LFJXYPRWUCJPIG-HOTGVXAUSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001233762808 887296487 /nfs/dbraw/zinc/29/64/87/887296487.db2.gz WBIBIFGKBJWSCH-INIZCTEOSA-N 1 2 319.449 1.334 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001233762808 887296502 /nfs/dbraw/zinc/29/65/02/887296502.db2.gz WBIBIFGKBJWSCH-INIZCTEOSA-N 1 2 319.449 1.334 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccns1 ZINC001233976684 887513522 /nfs/dbraw/zinc/51/35/22/887513522.db2.gz HSWOFVCKCPARDH-SWLSCSKDSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccns1 ZINC001233976684 887513531 /nfs/dbraw/zinc/51/35/31/887513531.db2.gz HSWOFVCKCPARDH-SWLSCSKDSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001233976034 887514802 /nfs/dbraw/zinc/51/48/02/887514802.db2.gz BKOBWVAUZXYBOB-XJKSGUPXSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001233976034 887514811 /nfs/dbraw/zinc/51/48/11/887514811.db2.gz BKOBWVAUZXYBOB-XJKSGUPXSA-N 1 2 307.394 1.343 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)CCCC ZINC001234039507 887581094 /nfs/dbraw/zinc/58/10/94/887581094.db2.gz MYJSQMYLVYOQNW-CABCVRRESA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)CCCC ZINC001234039507 887581103 /nfs/dbraw/zinc/58/11/03/887581103.db2.gz MYJSQMYLVYOQNW-CABCVRRESA-N 1 2 309.454 1.648 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)C)ncn1 ZINC001234162782 887700310 /nfs/dbraw/zinc/70/03/10/887700310.db2.gz VEBPVWYZCIQABW-INIZCTEOSA-N 1 2 300.406 1.477 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)C)ncn1 ZINC001234162782 887700325 /nfs/dbraw/zinc/70/03/25/887700325.db2.gz VEBPVWYZCIQABW-INIZCTEOSA-N 1 2 300.406 1.477 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234196339 887739654 /nfs/dbraw/zinc/73/96/54/887739654.db2.gz ROSFBWRSMRWZKG-INIZCTEOSA-N 1 2 316.449 1.811 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234196339 887739667 /nfs/dbraw/zinc/73/96/67/887739667.db2.gz ROSFBWRSMRWZKG-INIZCTEOSA-N 1 2 316.449 1.811 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)ns1 ZINC001234247284 887790107 /nfs/dbraw/zinc/79/01/07/887790107.db2.gz ZCFBJNBREQCMSG-CQSZACIVSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)ns1 ZINC001234247284 887790121 /nfs/dbraw/zinc/79/01/21/887790121.db2.gz ZCFBJNBREQCMSG-CQSZACIVSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001234265187 887805203 /nfs/dbraw/zinc/80/52/03/887805203.db2.gz HUQAODAERRHZAG-TZMCWYRMSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001234265187 887805206 /nfs/dbraw/zinc/80/52/06/887805206.db2.gz HUQAODAERRHZAG-TZMCWYRMSA-N 1 2 305.378 1.054 20 30 DDEDLO C[C@H]([C@@H](C)S(C)(=O)=O)[N@H+](C)C[C@H](O)c1cccc(C#N)c1 ZINC001364347419 888430200 /nfs/dbraw/zinc/43/02/00/888430200.db2.gz QEMJMGLWCNRRJZ-JMSVASOKSA-N 1 2 310.419 1.345 20 30 DDEDLO C[C@H]([C@@H](C)S(C)(=O)=O)[N@@H+](C)C[C@H](O)c1cccc(C#N)c1 ZINC001364347419 888430206 /nfs/dbraw/zinc/43/02/06/888430206.db2.gz QEMJMGLWCNRRJZ-JMSVASOKSA-N 1 2 310.419 1.345 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)N2CCc3cc[nH+]c(N)c3C2)cc1 ZINC001364374319 888485287 /nfs/dbraw/zinc/48/52/87/888485287.db2.gz KKSZBXXITSADCK-OAHLLOKOSA-N 1 2 308.341 1.154 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1cnns1 ZINC001235229022 888493074 /nfs/dbraw/zinc/49/30/74/888493074.db2.gz MBPOSKBTMMLCSX-GFCCVEGCSA-N 1 2 312.439 1.457 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1cnns1 ZINC001235229022 888493081 /nfs/dbraw/zinc/49/30/81/888493081.db2.gz MBPOSKBTMMLCSX-GFCCVEGCSA-N 1 2 312.439 1.457 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1nnc(CC)o1 ZINC001235243486 888498384 /nfs/dbraw/zinc/49/83/84/888498384.db2.gz CNWROIGBDSFAOY-ZDUSSCGKSA-N 1 2 324.425 1.551 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1nnc(CC)o1 ZINC001235243486 888498393 /nfs/dbraw/zinc/49/83/93/888498393.db2.gz CNWROIGBDSFAOY-ZDUSSCGKSA-N 1 2 324.425 1.551 20 30 DDEDLO CC1=CCC=C[C@H]1C(=O)N1C[C@@H]2C[N@@H+](CCCC#N)C[C@H](C1)O2 ZINC001277941997 888604114 /nfs/dbraw/zinc/60/41/14/888604114.db2.gz YNRNVMRMFRAVTG-IXDOHACOSA-N 1 2 315.417 1.724 20 30 DDEDLO CC1=CCC=C[C@H]1C(=O)N1C[C@@H]2C[N@H+](CCCC#N)C[C@H](C1)O2 ZINC001277941997 888604130 /nfs/dbraw/zinc/60/41/30/888604130.db2.gz YNRNVMRMFRAVTG-IXDOHACOSA-N 1 2 315.417 1.724 20 30 DDEDLO C=CCOC[C@@H]1C[N@@H+](CCCCO)Cc2nnn(CC3CC3)c21 ZINC001235532445 888715558 /nfs/dbraw/zinc/71/55/58/888715558.db2.gz ZTZWHIGJOFJWIH-HNNXBMFYSA-N 1 2 320.437 1.562 20 30 DDEDLO C=CCOC[C@@H]1C[N@H+](CCCCO)Cc2nnn(CC3CC3)c21 ZINC001235532445 888715565 /nfs/dbraw/zinc/71/55/65/888715565.db2.gz ZTZWHIGJOFJWIH-HNNXBMFYSA-N 1 2 320.437 1.562 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1ncc(Cl)s1 ZINC001235684272 888881118 /nfs/dbraw/zinc/88/11/18/888881118.db2.gz VZZPAWFBOZFLPF-UHFFFAOYSA-N 1 2 317.842 1.937 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1ncc(Cl)s1 ZINC001235684272 888881133 /nfs/dbraw/zinc/88/11/33/888881133.db2.gz VZZPAWFBOZFLPF-UHFFFAOYSA-N 1 2 317.842 1.937 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@]2(CCOC2=O)C1 ZINC001364559490 888925612 /nfs/dbraw/zinc/92/56/12/888925612.db2.gz CGKPVTQGYGLCFC-RHSMWYFYSA-N 1 2 314.341 1.411 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@]2(CCOC2=O)C1 ZINC001364559490 888925626 /nfs/dbraw/zinc/92/56/26/888925626.db2.gz CGKPVTQGYGLCFC-RHSMWYFYSA-N 1 2 314.341 1.411 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCn3ncc(Br)c3C2)ccn1 ZINC001237462011 889646322 /nfs/dbraw/zinc/64/63/22/889646322.db2.gz GFRSCACOGBFRRV-UHFFFAOYSA-N 1 2 318.178 1.928 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCn3ncc(Br)c3C2)ccn1 ZINC001237462011 889646328 /nfs/dbraw/zinc/64/63/28/889646328.db2.gz GFRSCACOGBFRRV-UHFFFAOYSA-N 1 2 318.178 1.928 20 30 DDEDLO CCN(CC)C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001278280001 890345318 /nfs/dbraw/zinc/34/53/18/890345318.db2.gz VEYONNJOSGOQSZ-UHFFFAOYSA-N 1 2 315.421 1.476 20 30 DDEDLO Cc1oc(NC(=O)C[NH2+][C@@H](C)c2ccn(C)n2)c(C#N)c1C ZINC001365305952 890553235 /nfs/dbraw/zinc/55/32/35/890553235.db2.gz QEKKZERKRMOCGI-JTQLQIEISA-N 1 2 301.350 1.791 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2ccc(C#N)cc2OC)c[nH+]1 ZINC001365468255 890853219 /nfs/dbraw/zinc/85/32/19/890853219.db2.gz JUOOJJYYKRFRQD-UHFFFAOYSA-N 1 2 320.374 1.331 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)c2ccc(C#N)cc2OC)[nH+]1 ZINC001365468255 890853225 /nfs/dbraw/zinc/85/32/25/890853225.db2.gz JUOOJJYYKRFRQD-UHFFFAOYSA-N 1 2 320.374 1.331 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)NCc2ccc(OCC#N)cc2)c1 ZINC001365555115 891048775 /nfs/dbraw/zinc/04/87/75/891048775.db2.gz QTPYHFRVLLZMCK-UHFFFAOYSA-N 1 2 313.361 1.364 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCn2cc(C)cn2)C1 ZINC001365954851 892028650 /nfs/dbraw/zinc/02/86/50/892028650.db2.gz YZTIADGOQAEBKS-CQSZACIVSA-N 1 2 310.829 1.915 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCn2cc(C)cn2)C1 ZINC001365954851 892028669 /nfs/dbraw/zinc/02/86/69/892028669.db2.gz YZTIADGOQAEBKS-CQSZACIVSA-N 1 2 310.829 1.915 20 30 DDEDLO C=C[C@@H]1C[C@]1([NH3+])c1nc(-c2ccc(S(C)(=O)=O)cc2)no1 ZINC001247620834 893255935 /nfs/dbraw/zinc/25/59/35/893255935.db2.gz HJHJUPWOFUUDMV-QMTHXVAHSA-N 1 2 305.359 1.500 20 30 DDEDLO CO[C@@H](C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F)C(C)C ZINC001366558939 894026952 /nfs/dbraw/zinc/02/69/52/894026952.db2.gz RDJUSYRLKBWMSG-MRXNPFEDSA-N 1 2 321.396 1.916 20 30 DDEDLO CO[C@@H](C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F)C(C)C ZINC001366558939 894026968 /nfs/dbraw/zinc/02/69/68/894026968.db2.gz RDJUSYRLKBWMSG-MRXNPFEDSA-N 1 2 321.396 1.916 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1ccc(C(=O)NC)nc1 ZINC001366638025 894393994 /nfs/dbraw/zinc/39/39/94/894393994.db2.gz JLZCXAQCOXIRLV-UHFFFAOYSA-N 1 2 324.812 1.245 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1ccc(C(=O)NC)nc1 ZINC001366638025 894394010 /nfs/dbraw/zinc/39/40/10/894394010.db2.gz JLZCXAQCOXIRLV-UHFFFAOYSA-N 1 2 324.812 1.245 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](C[C@@H](O)COc2ccccc2)CC1 ZINC001251198563 894663807 /nfs/dbraw/zinc/66/38/07/894663807.db2.gz BOIVLQYMBTUDAF-OAHLLOKOSA-N 1 2 320.389 1.367 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1CCc2c(nnn2CC2CC2)[C@H]1COC ZINC001252546877 895258142 /nfs/dbraw/zinc/25/81/42/895258142.db2.gz BWJSZJXBEGQBEF-GDBMZVCRSA-N 1 2 306.410 1.171 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1CCc2c(nnn2CC2CC2)[C@H]1COC ZINC001252546877 895258149 /nfs/dbraw/zinc/25/81/49/895258149.db2.gz BWJSZJXBEGQBEF-GDBMZVCRSA-N 1 2 306.410 1.171 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@H](C)CNC(=O)[C@@H](C)C#N)c(C)[nH+]1 ZINC001388475166 895297344 /nfs/dbraw/zinc/29/73/44/895297344.db2.gz HWWJUEMGHPYAHA-PWSUYJOCSA-N 1 2 316.405 1.649 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](C)OCc2ccncc2)C1 ZINC001367013334 895572639 /nfs/dbraw/zinc/57/26/39/895572639.db2.gz NSAOSVQYFDMIAO-ZFWWWQNUSA-N 1 2 323.824 1.930 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)OCc2ccncc2)C1 ZINC001367013334 895572652 /nfs/dbraw/zinc/57/26/52/895572652.db2.gz NSAOSVQYFDMIAO-ZFWWWQNUSA-N 1 2 323.824 1.930 20 30 DDEDLO CCc1noc(C[NH2+][C@H](CC)CNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001367093462 895843544 /nfs/dbraw/zinc/84/35/44/895843544.db2.gz ZEEKDPFHSRIYDR-LLVKDONJSA-N 1 2 316.365 1.130 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](C)NC(=O)CC)[C@H]1C ZINC001388786304 895906251 /nfs/dbraw/zinc/90/62/51/895906251.db2.gz GNIHLNXGZBJWSF-TUAOUCFPSA-N 1 2 301.818 1.233 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)NC(=O)CC)[C@H]1C ZINC001388786304 895906263 /nfs/dbraw/zinc/90/62/63/895906263.db2.gz GNIHLNXGZBJWSF-TUAOUCFPSA-N 1 2 301.818 1.233 20 30 DDEDLO N#Cc1cccc(O[C@H]2CCC[N@@H+]([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC001254340749 896375140 /nfs/dbraw/zinc/37/51/40/896375140.db2.gz HEPMNAMEWXGOMP-ZBFHGGJFSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1cccc(O[C@H]2CCC[N@H+]([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC001254340749 896375160 /nfs/dbraw/zinc/37/51/60/896375160.db2.gz HEPMNAMEWXGOMP-ZBFHGGJFSA-N 1 2 320.414 1.589 20 30 DDEDLO C#CCN(CC1CC1)C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC001255525148 896985896 /nfs/dbraw/zinc/98/58/96/896985896.db2.gz CVZMUEGQBQDEHX-UHFFFAOYSA-N 1 2 314.389 1.266 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC(C)(C)C ZINC001390112751 898710864 /nfs/dbraw/zinc/71/08/64/898710864.db2.gz QVQPWDZTOIOUQP-ZIAGYGMSSA-N 1 2 322.453 1.279 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC(C)(C)C ZINC001390112751 898710875 /nfs/dbraw/zinc/71/08/75/898710875.db2.gz QVQPWDZTOIOUQP-ZIAGYGMSSA-N 1 2 322.453 1.279 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001390788650 900184473 /nfs/dbraw/zinc/18/44/73/900184473.db2.gz CQMWAQOSDQIGKV-NSHDSACASA-N 1 2 303.834 1.338 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001390788650 900184482 /nfs/dbraw/zinc/18/44/82/900184482.db2.gz CQMWAQOSDQIGKV-NSHDSACASA-N 1 2 303.834 1.338 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)CCCOC ZINC001263816623 900729249 /nfs/dbraw/zinc/72/92/49/900729249.db2.gz FZKSHKCXUZWBLL-HZPDHXFCSA-N 1 2 310.438 1.232 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)CCCOC ZINC001263816623 900729262 /nfs/dbraw/zinc/72/92/62/900729262.db2.gz FZKSHKCXUZWBLL-HZPDHXFCSA-N 1 2 310.438 1.232 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)(C)C)[C@H]2C)cn1 ZINC001264140959 900975607 /nfs/dbraw/zinc/97/56/07/900975607.db2.gz ZONYJZYZBACFSC-ZBFHGGJFSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)(C)C)[C@H]2C)cn1 ZINC001264140959 900975625 /nfs/dbraw/zinc/97/56/25/900975625.db2.gz ZONYJZYZBACFSC-ZBFHGGJFSA-N 1 2 314.433 1.914 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CCC)C(=O)c2cnnn2C)C1 ZINC001391280942 901378895 /nfs/dbraw/zinc/37/88/95/901378895.db2.gz OBNZZSADPOFQOU-GFCCVEGCSA-N 1 2 311.817 1.494 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CCC)C(=O)c2cnnn2C)C1 ZINC001391280942 901378913 /nfs/dbraw/zinc/37/89/13/901378913.db2.gz OBNZZSADPOFQOU-GFCCVEGCSA-N 1 2 311.817 1.494 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@@H+](C)Cc2cnn(C)n2)cc1 ZINC001265019945 901442638 /nfs/dbraw/zinc/44/26/38/901442638.db2.gz QYLQBNHZFAXEIP-UHFFFAOYSA-N 1 2 311.389 1.048 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@H+](C)Cc2cnn(C)n2)cc1 ZINC001265019945 901442647 /nfs/dbraw/zinc/44/26/47/901442647.db2.gz QYLQBNHZFAXEIP-UHFFFAOYSA-N 1 2 311.389 1.048 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CC(C)(C)C=C)C1 ZINC001265214583 901719919 /nfs/dbraw/zinc/71/99/19/901719919.db2.gz LQILLVHMEQSDLT-AWEZNQCLSA-N 1 2 307.438 1.472 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CC(C)(C)C=C)C1 ZINC001265214583 901719931 /nfs/dbraw/zinc/71/99/31/901719931.db2.gz LQILLVHMEQSDLT-AWEZNQCLSA-N 1 2 307.438 1.472 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)COCCCOC)C1 ZINC001265230265 901748395 /nfs/dbraw/zinc/74/83/95/901748395.db2.gz AIXYAIVOKBZGGB-CYBMUJFWSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)COCCCOC)C1 ZINC001265230265 901748403 /nfs/dbraw/zinc/74/84/03/901748403.db2.gz AIXYAIVOKBZGGB-CYBMUJFWSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccco2)C1 ZINC001265267701 901791086 /nfs/dbraw/zinc/79/10/86/901791086.db2.gz ANYMZZHIDBSVTE-AWEZNQCLSA-N 1 2 319.405 1.508 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H](NC(=O)c2ccco2)C1 ZINC001265267701 901791101 /nfs/dbraw/zinc/79/11/01/901791101.db2.gz ANYMZZHIDBSVTE-AWEZNQCLSA-N 1 2 319.405 1.508 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ncoc2C(C)C)C1 ZINC001265283479 901821290 /nfs/dbraw/zinc/82/12/90/901821290.db2.gz FSWRVZJQVPWKLX-KGLIPLIRSA-N 1 2 319.405 1.527 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ncoc2C(C)C)C1 ZINC001265283479 901821294 /nfs/dbraw/zinc/82/12/94/901821294.db2.gz FSWRVZJQVPWKLX-KGLIPLIRSA-N 1 2 319.405 1.527 20 30 DDEDLO CC[C@@H](F)C(=O)NCC1C[NH+](Cc2ccc(C#N)c(F)c2)C1 ZINC001391494134 901844909 /nfs/dbraw/zinc/84/49/09/901844909.db2.gz QEQZVKGVNDVQHV-CQSZACIVSA-N 1 2 307.344 1.993 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001265297638 901845661 /nfs/dbraw/zinc/84/56/61/901845661.db2.gz UYKVDOOYYIXGFO-ZDUSSCGKSA-N 1 2 304.394 1.388 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001265297638 901845667 /nfs/dbraw/zinc/84/56/67/901845667.db2.gz UYKVDOOYYIXGFO-ZDUSSCGKSA-N 1 2 304.394 1.388 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H](F)c2ccccc2)C1 ZINC001265306371 901860540 /nfs/dbraw/zinc/86/05/40/901860540.db2.gz OFSBONSVICOYRL-HOCLYGCPSA-N 1 2 319.380 1.190 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H](F)c2ccccc2)C1 ZINC001265306371 901860548 /nfs/dbraw/zinc/86/05/48/901860548.db2.gz OFSBONSVICOYRL-HOCLYGCPSA-N 1 2 319.380 1.190 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC12CC[NH+]([C@H](C)c1nnnn1C)CC2 ZINC001265324331 901888369 /nfs/dbraw/zinc/88/83/69/901888369.db2.gz XWSCHKLPFNOJFN-CHWSQXEVSA-N 1 2 318.425 1.208 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H]1CC12CCN(CC#N)CC2 ZINC001265334238 901898779 /nfs/dbraw/zinc/89/87/79/901898779.db2.gz MTCYWSIBVDISSI-GXTWGEPZSA-N 1 2 301.394 1.083 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H]1CC12CCN(CC#N)CC2 ZINC001265334238 901898787 /nfs/dbraw/zinc/89/87/87/901898787.db2.gz MTCYWSIBVDISSI-GXTWGEPZSA-N 1 2 301.394 1.083 20 30 DDEDLO CCc1cnc(C[NH2+][C@H]2CCN(C(=O)C#CC(C)(C)C)C2)o1 ZINC001265428947 902005188 /nfs/dbraw/zinc/00/51/88/902005188.db2.gz GYNGDAZFMTZLIB-ZDUSSCGKSA-N 1 2 303.406 1.977 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2nc(COC)no2)C1 ZINC001265444491 902023033 /nfs/dbraw/zinc/02/30/33/902023033.db2.gz UKVPXZSPPVFGIC-STQMWFEESA-N 1 2 322.409 1.824 20 30 DDEDLO CO[C@@H](C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1)C1CC1 ZINC001369979583 902328400 /nfs/dbraw/zinc/32/84/00/902328400.db2.gz XHDJNCPDWCMVHO-IAGOWNOFSA-N 1 2 313.401 1.674 20 30 DDEDLO CO[C@@H](C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1)C1CC1 ZINC001369979583 902328415 /nfs/dbraw/zinc/32/84/15/902328415.db2.gz XHDJNCPDWCMVHO-IAGOWNOFSA-N 1 2 313.401 1.674 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([N@@H+](C)[C@H](C)c2ncccn2)C1 ZINC001266094512 902928150 /nfs/dbraw/zinc/92/81/50/902928150.db2.gz VPCOSSLZVJUFDK-HUUCEWRRSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([N@H+](C)[C@H](C)c2ncccn2)C1 ZINC001266094512 902928157 /nfs/dbraw/zinc/92/81/57/902928157.db2.gz VPCOSSLZVJUFDK-HUUCEWRRSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCCC(=O)N1CCCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001293739918 914665917 /nfs/dbraw/zinc/66/59/17/914665917.db2.gz BESOHQYBSDCXKR-UHFFFAOYSA-N 1 2 318.421 1.690 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C1CC[NH+](Cc2cc(C)on2)CC1 ZINC001266181469 903071822 /nfs/dbraw/zinc/07/18/22/903071822.db2.gz GFBULLFMCYXQSZ-QGZVFWFLSA-N 1 2 321.421 1.733 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C3CC3)on2)C1 ZINC001266231021 903156773 /nfs/dbraw/zinc/15/67/73/903156773.db2.gz YNZTWWCNQNYXCT-AWEZNQCLSA-N 1 2 317.389 1.396 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2cc(C3CC3)on2)C1 ZINC001266231021 903156783 /nfs/dbraw/zinc/15/67/83/903156783.db2.gz YNZTWWCNQNYXCT-AWEZNQCLSA-N 1 2 317.389 1.396 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H]1CCCNC1=O ZINC001316602549 903579733 /nfs/dbraw/zinc/57/97/33/903579733.db2.gz MJXZFOYZRKAKIC-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H]1CCCNC1=O ZINC001316602549 903579742 /nfs/dbraw/zinc/57/97/42/903579742.db2.gz MJXZFOYZRKAKIC-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001280625972 903855849 /nfs/dbraw/zinc/85/58/49/903855849.db2.gz DODVANMTSSLEQV-ZDUSSCGKSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001280625972 903855860 /nfs/dbraw/zinc/85/58/60/903855860.db2.gz DODVANMTSSLEQV-ZDUSSCGKSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCC[N@H+](C)Cc1cnn(C)n1 ZINC001280865375 904132612 /nfs/dbraw/zinc/13/26/12/904132612.db2.gz HTUUHZJPVDSMHB-UHFFFAOYSA-N 1 2 307.442 1.698 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCC[N@@H+](C)Cc1cnn(C)n1 ZINC001280865375 904132618 /nfs/dbraw/zinc/13/26/18/904132618.db2.gz HTUUHZJPVDSMHB-UHFFFAOYSA-N 1 2 307.442 1.698 20 30 DDEDLO N#CCN1CCC(CCCCCNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001281063738 904369067 /nfs/dbraw/zinc/36/90/67/904369067.db2.gz SEJGATMUVYAIAP-UHFFFAOYSA-N 1 2 317.437 1.864 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)[C@@H]1C ZINC001281149870 904479016 /nfs/dbraw/zinc/47/90/16/904479016.db2.gz PGTCTILDEZQLPA-DZGCQCFKSA-N 1 2 318.421 1.425 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NCc1ccc2c(c1)CN(CC#N)C2 ZINC001281297930 904661407 /nfs/dbraw/zinc/66/14/07/904661407.db2.gz HEWSFSFNHRWTFI-UHFFFAOYSA-N 1 2 323.400 1.508 20 30 DDEDLO C=CCCC(=O)NC1(CO)CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001281799926 905229703 /nfs/dbraw/zinc/22/97/03/905229703.db2.gz RCURTKBLKGHJJB-AWEZNQCLSA-N 1 2 318.421 1.447 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001282104277 905546713 /nfs/dbraw/zinc/54/67/13/905546713.db2.gz TWRQYPDLBUXFFU-LSDHHAIUSA-N 1 2 318.421 1.641 20 30 DDEDLO CCCC[C@H](CNCC#N)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001282643142 905915158 /nfs/dbraw/zinc/91/51/58/905915158.db2.gz JVISZKSYHZUOHS-UONOGXRCSA-N 1 2 303.410 1.234 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](CC(=C)Cl)CC1(C)C ZINC001282799856 906051620 /nfs/dbraw/zinc/05/16/20/906051620.db2.gz FPEJOHJGOUACFM-DOMZBBRYSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](CC(=C)Cl)CC1(C)C ZINC001282799856 906051631 /nfs/dbraw/zinc/05/16/31/906051631.db2.gz FPEJOHJGOUACFM-DOMZBBRYSA-N 1 2 300.830 1.893 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)CCCNC(=O)[C@@H](C)C#N)c(C)[nH+]1 ZINC001283640991 907729469 /nfs/dbraw/zinc/72/94/69/907729469.db2.gz JCTFXGCBYWFTPI-LBPRGKRZSA-N 1 2 316.405 1.745 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)c2cccc3[nH+]ccn32)C1 ZINC001284001391 908288802 /nfs/dbraw/zinc/28/88/02/908288802.db2.gz OFIYADAPVHJQGM-ZDUSSCGKSA-N 1 2 312.373 1.631 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2cccs2)[C@@H]1C ZINC001284100565 908476248 /nfs/dbraw/zinc/47/62/48/908476248.db2.gz GDYUTOAHXORBKC-QWHCGFSZSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2cccs2)[C@@H]1C ZINC001284100565 908476258 /nfs/dbraw/zinc/47/62/58/908476258.db2.gz GDYUTOAHXORBKC-QWHCGFSZSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001284399211 908941947 /nfs/dbraw/zinc/94/19/47/908941947.db2.gz WQRTXHZANOFNDM-OAHLLOKOSA-N 1 2 316.405 1.136 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCNC(=O)CCc1c[nH+]cn1C ZINC001284569903 909196015 /nfs/dbraw/zinc/19/60/15/909196015.db2.gz PBAGCNUGMVMCQQ-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO CCc1nc(C[NH2+]C[C@@H](NC(=O)C#CC2CC2)C(C)(C)C)no1 ZINC001284586603 909220127 /nfs/dbraw/zinc/22/01/27/909220127.db2.gz OKUXHUYWGGIKFY-CYBMUJFWSA-N 1 2 318.421 1.666 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)CCCF)CC1 ZINC001284820787 909524836 /nfs/dbraw/zinc/52/48/36/909524836.db2.gz ZWYSZYFKJFJWKW-UHFFFAOYSA-N 1 2 313.417 1.305 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284935224 909766719 /nfs/dbraw/zinc/76/67/19/909766719.db2.gz YNKULZSSBOUIQX-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284935224 909766732 /nfs/dbraw/zinc/76/67/32/909766732.db2.gz YNKULZSSBOUIQX-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO CC(C)(C)C(=O)NC[C@@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001394651710 910178174 /nfs/dbraw/zinc/17/81/74/910178174.db2.gz XUDKCLAMBTULEE-AWEZNQCLSA-N 1 2 307.369 1.310 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001285194218 910188227 /nfs/dbraw/zinc/18/82/27/910188227.db2.gz RSUHXKJZENBKPA-CQSZACIVSA-N 1 2 318.421 1.724 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001285653211 910976205 /nfs/dbraw/zinc/97/62/05/910976205.db2.gz APQYPJDYCGRHQN-CYBMUJFWSA-N 1 2 306.410 1.330 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001285758227 911161637 /nfs/dbraw/zinc/16/16/37/911161637.db2.gz FJWFFNGQVPLRGT-CYBMUJFWSA-N 1 2 306.410 1.449 20 30 DDEDLO CCc1nc(C[NH2+]CC2(NC(=O)[C@H](C)C#N)CCCC2)no1 ZINC001373841304 911167137 /nfs/dbraw/zinc/16/71/37/911167137.db2.gz GOUPEGMQQQEQGJ-LLVKDONJSA-N 1 2 305.382 1.310 20 30 DDEDLO C#CCCCCC(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001285946678 911482193 /nfs/dbraw/zinc/48/21/93/911482193.db2.gz PBOSCWDGHJZTDZ-UHFFFAOYSA-N 1 2 316.405 1.219 20 30 DDEDLO C=CCCC(=O)N(C)C1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001286000066 911541510 /nfs/dbraw/zinc/54/15/10/911541510.db2.gz VXRWIFPAXSDBEH-UHFFFAOYSA-N 1 2 312.373 1.812 20 30 DDEDLO C[C@@]1(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)s2)CCOC1 ZINC001375359349 915708736 /nfs/dbraw/zinc/70/87/36/915708736.db2.gz LXRDTDYWAZWARS-MLGOLLRUSA-N 1 2 319.430 1.737 20 30 DDEDLO C[C@@]1(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)s2)CCOC1 ZINC001375359349 915708748 /nfs/dbraw/zinc/70/87/48/915708748.db2.gz LXRDTDYWAZWARS-MLGOLLRUSA-N 1 2 319.430 1.737 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001295639182 915948956 /nfs/dbraw/zinc/94/89/56/915948956.db2.gz XHHKHQZHXDKBLS-GFCCVEGCSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(CC)CCNC(=O)Cn1cc[nH+]c1 ZINC001296329616 916344195 /nfs/dbraw/zinc/34/41/95/916344195.db2.gz GMOZGDGHQIAGHD-ZIAGYGMSSA-N 1 2 306.410 1.306 20 30 DDEDLO C=CCC1(C(=O)N2CC(NC(=O)Cc3[nH]cc[nH+]3)C2)CCC1 ZINC001297151249 916762768 /nfs/dbraw/zinc/76/27/68/916762768.db2.gz POVFXQJAZONSHH-UHFFFAOYSA-N 1 2 302.378 1.026 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@H](C)CNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001376714154 919143412 /nfs/dbraw/zinc/14/34/12/919143412.db2.gz QDILJAWQHZIWOZ-ZJUUUORDSA-N 1 2 316.365 1.301 20 30 DDEDLO CC(C)c1nnc(C[N@@H+]2CC[C@@H](CCNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001377681822 922688005 /nfs/dbraw/zinc/68/80/05/922688005.db2.gz ZNOHEKKYHKXUOH-CHWSQXEVSA-N 1 2 318.425 1.416 20 30 DDEDLO CC(C)c1nnc(C[N@H+]2CC[C@@H](CCNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001377681822 922688014 /nfs/dbraw/zinc/68/80/14/922688014.db2.gz ZNOHEKKYHKXUOH-CHWSQXEVSA-N 1 2 318.425 1.416 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C[C@H]2CCOC[C@@H]2OC)C1 ZINC001377977937 923569970 /nfs/dbraw/zinc/56/99/70/923569970.db2.gz VWCKOCHOGMKRRD-KGLIPLIRSA-N 1 2 316.829 1.229 20 30 DDEDLO N#Cc1ccc(CNC(=O)NCC2([NH+]3CCOCC3)CC2)cc1 ZINC000278356131 214111142 /nfs/dbraw/zinc/11/11/42/214111142.db2.gz LHAYXXODLTVNPQ-UHFFFAOYSA-N 1 2 314.389 1.222 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2)CCN1S(=O)(=O)CCCC#N ZINC000076854968 185047355 /nfs/dbraw/zinc/04/73/55/185047355.db2.gz ACHONUIDWADARB-OAHLLOKOSA-N 1 2 321.446 1.826 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2)CCN1S(=O)(=O)CCCC#N ZINC000076854968 185047356 /nfs/dbraw/zinc/04/73/56/185047356.db2.gz ACHONUIDWADARB-OAHLLOKOSA-N 1 2 321.446 1.826 20 30 DDEDLO C#CCC(CC#C)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000278547339 214228512 /nfs/dbraw/zinc/22/85/12/214228512.db2.gz GNHWMYFHSVLDJR-UHFFFAOYSA-N 1 2 311.385 1.197 20 30 DDEDLO CC(=O)Nc1cccc(NC(=O)CO[NH+]=C(N)[C@H]2CCCO2)c1 ZINC000284075339 222613488 /nfs/dbraw/zinc/61/34/88/222613488.db2.gz KEVDLBKHHLEJKG-CYBMUJFWSA-N 1 2 320.349 1.051 20 30 DDEDLO COCCO[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000249397407 282149856 /nfs/dbraw/zinc/14/98/56/282149856.db2.gz RZZUZPJXJAEXDW-DZGCQCFKSA-N 1 2 317.389 1.623 20 30 DDEDLO COCCO[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000249397407 282149858 /nfs/dbraw/zinc/14/98/58/282149858.db2.gz RZZUZPJXJAEXDW-DZGCQCFKSA-N 1 2 317.389 1.623 20 30 DDEDLO Cc1noc([C@H]2C[C@@H](O)C[N@H+]2Cc2cc(C#N)n(C)c2C)n1 ZINC000328652970 231290149 /nfs/dbraw/zinc/29/01/49/231290149.db2.gz WRMZDAKZXGGFRY-ZIAGYGMSSA-N 1 2 301.350 1.205 20 30 DDEDLO Cc1noc([C@H]2C[C@@H](O)C[N@@H+]2Cc2cc(C#N)n(C)c2C)n1 ZINC000328652970 231290151 /nfs/dbraw/zinc/29/01/51/231290151.db2.gz WRMZDAKZXGGFRY-ZIAGYGMSSA-N 1 2 301.350 1.205 20 30 DDEDLO CCn1cc[nH+]c1CNS(=O)(=O)c1cccc(C)c1C#N ZINC000295603993 529579914 /nfs/dbraw/zinc/57/99/14/529579914.db2.gz XMZAYLDDEWZJAI-UHFFFAOYSA-N 1 2 304.375 1.562 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(C[C@H](C#N)CCC#N)CC1 ZINC000088616152 185259899 /nfs/dbraw/zinc/25/98/99/185259899.db2.gz FENMCACPPBEKBL-HOTGVXAUSA-N 1 2 317.437 1.058 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccc2c(c1)OCCO2)[C@@]1(CO)CCOC1 ZINC000329741539 529852725 /nfs/dbraw/zinc/85/27/25/529852725.db2.gz OTAWBBZBFPSVDA-MRXNPFEDSA-N 1 2 322.361 1.129 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccc2c(c1)OCCO2)[C@@]1(CO)CCOC1 ZINC000329741539 529852726 /nfs/dbraw/zinc/85/27/26/529852726.db2.gz OTAWBBZBFPSVDA-MRXNPFEDSA-N 1 2 322.361 1.129 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)c2cccc(C[NH+]3CCOCC3)c2)C1 ZINC000615824935 362454586 /nfs/dbraw/zinc/45/45/86/362454586.db2.gz DOJGGBQSBOAICO-KRWDZBQOSA-N 1 2 317.364 1.597 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@H+](C)C[C@@H](O)c1ccc(C#N)cc1 ZINC000268739911 186373507 /nfs/dbraw/zinc/37/35/07/186373507.db2.gz ZFBUSOUZCRUKQP-SWLSCSKDSA-N 1 2 310.419 1.347 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@@H+](C)C[C@@H](O)c1ccc(C#N)cc1 ZINC000268739911 186373508 /nfs/dbraw/zinc/37/35/08/186373508.db2.gz ZFBUSOUZCRUKQP-SWLSCSKDSA-N 1 2 310.419 1.347 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2cccc(OC)c2)CC1 ZINC000008529954 352135605 /nfs/dbraw/zinc/13/56/05/352135605.db2.gz IDYAVEIAEYQSGO-AWEZNQCLSA-N 1 2 303.406 1.508 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(C(=O)C(C)C)CC1 ZINC000042353623 352335620 /nfs/dbraw/zinc/33/56/20/352335620.db2.gz OSNGFSGWKNEQCV-OAHLLOKOSA-N 1 2 307.438 1.376 20 30 DDEDLO Cc1nc(C)n(C[C@@H]2C[N@H+](Cc3ccc(C#N)cc3)CCO2)n1 ZINC000331751488 234081284 /nfs/dbraw/zinc/08/12/84/234081284.db2.gz ZHOPFDDIZKCPMW-KRWDZBQOSA-N 1 2 311.389 1.668 20 30 DDEDLO Cc1nc(C)n(C[C@@H]2C[N@@H+](Cc3ccc(C#N)cc3)CCO2)n1 ZINC000331751488 234081288 /nfs/dbraw/zinc/08/12/88/234081288.db2.gz ZHOPFDDIZKCPMW-KRWDZBQOSA-N 1 2 311.389 1.668 20 30 DDEDLO C=CCNC(=O)NCc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1 ZINC000047605573 352504297 /nfs/dbraw/zinc/50/42/97/352504297.db2.gz PZJJCMPFDUSVAA-CHWSQXEVSA-N 1 2 304.394 1.680 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)N1CCN(c2cccc(Cl)c2)CC1 ZINC000067407171 353046942 /nfs/dbraw/zinc/04/69/42/353046942.db2.gz XLDURPUDSWWNSX-CYBMUJFWSA-N 1 2 320.824 1.880 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C(=O)C[C@@](C)(c2ccc(F)cc2)C1=O ZINC000491819614 234304474 /nfs/dbraw/zinc/30/44/74/234304474.db2.gz OVFKXBCPZOIGBJ-PXAZEXFGSA-N 1 2 302.349 1.753 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C(=O)C[C@@](C)(c2ccc(F)cc2)C1=O ZINC000491819614 234304477 /nfs/dbraw/zinc/30/44/77/234304477.db2.gz OVFKXBCPZOIGBJ-PXAZEXFGSA-N 1 2 302.349 1.753 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCc1ccsc1 ZINC000070920644 353186273 /nfs/dbraw/zinc/18/62/73/353186273.db2.gz BQCNOBPGMVVGOT-UHFFFAOYSA-N 1 2 321.446 1.755 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(C(=O)C2CC2)CC1 ZINC000073621614 353262811 /nfs/dbraw/zinc/26/28/11/353262811.db2.gz ZOXNXARCCKOWSE-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@H]1CCC[N@H+]1CCN1C(=O)CNC1=O ZINC000076153406 353402060 /nfs/dbraw/zinc/40/20/60/353402060.db2.gz HENDCXOTADRQAH-HUUCEWRRSA-N 1 2 312.373 1.310 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@H]1CCC[N@@H+]1CCN1C(=O)CNC1=O ZINC000076153406 353402063 /nfs/dbraw/zinc/40/20/63/353402063.db2.gz HENDCXOTADRQAH-HUUCEWRRSA-N 1 2 312.373 1.310 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@H](CCO)C1 ZINC000083174044 353707225 /nfs/dbraw/zinc/70/72/25/353707225.db2.gz JOTLOPUEGNRAMJ-CJNGLKHVSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCC[C@H](CCO)C1 ZINC000083174044 353707227 /nfs/dbraw/zinc/70/72/27/353707227.db2.gz JOTLOPUEGNRAMJ-CJNGLKHVSA-N 1 2 302.374 1.867 20 30 DDEDLO CCOC(=O)C1(C)CC[NH+](CC(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000092823176 353870868 /nfs/dbraw/zinc/87/08/68/353870868.db2.gz PZIIBQCDLVZYHH-QGZVFWFLSA-N 1 2 323.437 1.706 20 30 DDEDLO C=CC[N@H+](CCC(=O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000182652617 354274717 /nfs/dbraw/zinc/27/47/17/354274717.db2.gz FCAJUSDTJDMPFS-HNNXBMFYSA-N 1 2 307.415 1.935 20 30 DDEDLO C=CC[N@@H+](CCC(=O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000182652617 354274720 /nfs/dbraw/zinc/27/47/20/354274720.db2.gz FCAJUSDTJDMPFS-HNNXBMFYSA-N 1 2 307.415 1.935 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3nc4c(s3)CCCC4)C[C@@H]21 ZINC000329808182 283013428 /nfs/dbraw/zinc/01/34/28/283013428.db2.gz BPOXWHGWQGZBJY-NWDGAFQWSA-N 1 2 322.434 1.582 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3nc4c(s3)CCCC4)C[C@@H]21 ZINC000329808182 283013429 /nfs/dbraw/zinc/01/34/29/283013429.db2.gz BPOXWHGWQGZBJY-NWDGAFQWSA-N 1 2 322.434 1.582 20 30 DDEDLO CC(C)[C@H](NC[C@@H](O)C[N@H+](C)CCC#N)c1nc(C2CC2)no1 ZINC000578186316 354704847 /nfs/dbraw/zinc/70/48/47/354704847.db2.gz DZNPLXVMMTXRKJ-KGLIPLIRSA-N 1 2 321.425 1.440 20 30 DDEDLO CC(C)[C@H](NC[C@@H](O)C[N@@H+](C)CCC#N)c1nc(C2CC2)no1 ZINC000578186316 354704850 /nfs/dbraw/zinc/70/48/50/354704850.db2.gz DZNPLXVMMTXRKJ-KGLIPLIRSA-N 1 2 321.425 1.440 20 30 DDEDLO CN1CCN(S(=O)(=O)CC2(C#N)CCC2)c2ccc[nH+]c21 ZINC000579104275 354715444 /nfs/dbraw/zinc/71/54/44/354715444.db2.gz USHVPCIQRMPUDZ-UHFFFAOYSA-N 1 2 306.391 1.361 20 30 DDEDLO C=CCCn1cc(C(=O)N2CC[NH2+][C@H](c3ccncc3)C2)nn1 ZINC000579223662 354716824 /nfs/dbraw/zinc/71/68/24/354716824.db2.gz KEGQOPQMKULMCP-AWEZNQCLSA-N 1 2 312.377 1.036 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1noc2ccc(F)cc12 ZINC000581729753 354736667 /nfs/dbraw/zinc/73/66/67/354736667.db2.gz JGUXBQQLMHTLLW-HNNXBMFYSA-N 1 2 304.325 1.469 20 30 DDEDLO N#Cc1cccc(C(=O)NCc2[nH+]ccn2CC(F)(F)F)n1 ZINC000589386068 354987158 /nfs/dbraw/zinc/98/71/58/354987158.db2.gz SHKSISAQAQNDQX-UHFFFAOYSA-N 1 2 309.251 1.642 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cccc(C#N)n1 ZINC000589503172 354998048 /nfs/dbraw/zinc/99/80/48/354998048.db2.gz QVUBUEKZYMLPSE-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cccc(C#N)n1 ZINC000589503172 354998051 /nfs/dbraw/zinc/99/80/51/354998051.db2.gz QVUBUEKZYMLPSE-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@@H]2NC(=O)N1CCn2c[nH+]cc2C1 ZINC000589648440 355009547 /nfs/dbraw/zinc/00/95/47/355009547.db2.gz KFJOKPHHMCWOAF-INIZCTEOSA-N 1 2 307.357 1.967 20 30 DDEDLO Cc1ccsc1[C@H](CO)[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000494644449 235066868 /nfs/dbraw/zinc/06/68/68/235066868.db2.gz BNLXKOKGUNUYDJ-KBPBESRZSA-N 1 2 315.398 1.778 20 30 DDEDLO N#Cc1cscc1C(=O)N1CC[NH+](C[C@H]2CCCO2)CC1 ZINC000590085434 355047101 /nfs/dbraw/zinc/04/71/01/355047101.db2.gz VBMBHMRVHFVVCM-CYBMUJFWSA-N 1 2 305.403 1.557 20 30 DDEDLO Cc1c[nH+]ccc1NC(=O)N1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000590824464 355188451 /nfs/dbraw/zinc/18/84/51/355188451.db2.gz ZZNYGQMUCXQTOL-AWEZNQCLSA-N 1 2 323.356 1.764 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CN1CC(F)(c2ccccc2F)C1 ZINC000591241491 355270477 /nfs/dbraw/zinc/27/04/77/355270477.db2.gz HZWDKLVLHPRDNX-CYBMUJFWSA-N 1 2 309.360 1.512 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CN1CC(F)(c2ccccc2F)C1 ZINC000591241491 355270479 /nfs/dbraw/zinc/27/04/79/355270479.db2.gz HZWDKLVLHPRDNX-CYBMUJFWSA-N 1 2 309.360 1.512 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc2[nH]c(=O)ccc2c1 ZINC000591773766 355382336 /nfs/dbraw/zinc/38/23/36/355382336.db2.gz OQUZYDOKDQQTHA-CQSZACIVSA-N 1 2 300.362 1.146 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc2[nH]c(=O)ccc2c1 ZINC000591773766 355382339 /nfs/dbraw/zinc/38/23/39/355382339.db2.gz OQUZYDOKDQQTHA-CQSZACIVSA-N 1 2 300.362 1.146 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@H+]1CCC[C@@](O)(CC(N)=O)C1 ZINC000591646758 355350655 /nfs/dbraw/zinc/35/06/55/355350655.db2.gz ZEFFPQKABJEJNZ-OAHLLOKOSA-N 1 2 307.781 1.414 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@@H+]1CCC[C@@](O)(CC(N)=O)C1 ZINC000591646758 355350659 /nfs/dbraw/zinc/35/06/59/355350659.db2.gz ZEFFPQKABJEJNZ-OAHLLOKOSA-N 1 2 307.781 1.414 20 30 DDEDLO Cc1cc(-c2nnc(C[N@@H+]3CC[C@](O)(CC#N)C3)o2)c(C)o1 ZINC000592147235 355512665 /nfs/dbraw/zinc/51/26/65/355512665.db2.gz KCTMMLPVKBPHTF-OAHLLOKOSA-N 1 2 302.334 1.797 20 30 DDEDLO Cc1cc(-c2nnc(C[N@H+]3CC[C@](O)(CC#N)C3)o2)c(C)o1 ZINC000592147235 355512667 /nfs/dbraw/zinc/51/26/67/355512667.db2.gz KCTMMLPVKBPHTF-OAHLLOKOSA-N 1 2 302.334 1.797 20 30 DDEDLO C[C@@H](NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1)c1ccc(F)cc1 ZINC000592147283 355513056 /nfs/dbraw/zinc/51/30/56/355513056.db2.gz NEYPBYGMPNYILF-MLGOLLRUSA-N 1 2 305.353 1.353 20 30 DDEDLO C[C@@H](NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1)c1ccc(F)cc1 ZINC000592147283 355513061 /nfs/dbraw/zinc/51/30/61/355513061.db2.gz NEYPBYGMPNYILF-MLGOLLRUSA-N 1 2 305.353 1.353 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)NC2(c3ccccc3)CCC2)C1 ZINC000592150955 355517434 /nfs/dbraw/zinc/51/74/34/355517434.db2.gz KVTARHRYYZGYRT-KRWDZBQOSA-N 1 2 313.401 1.532 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)NC2(c3ccccc3)CCC2)C1 ZINC000592150955 355517438 /nfs/dbraw/zinc/51/74/38/355517438.db2.gz KVTARHRYYZGYRT-KRWDZBQOSA-N 1 2 313.401 1.532 20 30 DDEDLO Cc1ccccc1C[C@H](C)NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592150754 355517513 /nfs/dbraw/zinc/51/75/13/355517513.db2.gz IMVQYXZXTGSOBS-YJBOKZPZSA-N 1 2 315.417 1.393 20 30 DDEDLO Cc1ccccc1C[C@H](C)NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592150754 355517517 /nfs/dbraw/zinc/51/75/17/355517517.db2.gz IMVQYXZXTGSOBS-YJBOKZPZSA-N 1 2 315.417 1.393 20 30 DDEDLO CNC(=O)[C@@]1(C(F)(F)F)CC[N@H+](Cc2nc(C#N)cs2)C1 ZINC000592186738 355529312 /nfs/dbraw/zinc/52/93/12/355529312.db2.gz XWZFUULCMJPBDT-LLVKDONJSA-N 1 2 318.324 1.515 20 30 DDEDLO CNC(=O)[C@@]1(C(F)(F)F)CC[N@@H+](Cc2nc(C#N)cs2)C1 ZINC000592186738 355529313 /nfs/dbraw/zinc/52/93/13/355529313.db2.gz XWZFUULCMJPBDT-LLVKDONJSA-N 1 2 318.324 1.515 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@H](CO)O1 ZINC000593036780 355776128 /nfs/dbraw/zinc/77/61/28/355776128.db2.gz UBSODZPUQZMZSY-CYBMUJFWSA-N 1 2 305.334 1.438 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@H](CO)O1 ZINC000593036780 355776131 /nfs/dbraw/zinc/77/61/31/355776131.db2.gz UBSODZPUQZMZSY-CYBMUJFWSA-N 1 2 305.334 1.438 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2C[S@@]3=O)c(OC(F)F)c1 ZINC000593097850 355795531 /nfs/dbraw/zinc/79/55/31/355795531.db2.gz RKZFLECWAKEIAE-OABGYEMISA-N 1 2 312.341 1.865 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2C[S@@]3=O)c(OC(F)F)c1 ZINC000593097850 355795533 /nfs/dbraw/zinc/79/55/33/355795533.db2.gz RKZFLECWAKEIAE-OABGYEMISA-N 1 2 312.341 1.865 20 30 DDEDLO COc1ccc2c(c1)OC[C@@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)C2 ZINC000593155383 355813755 /nfs/dbraw/zinc/81/37/55/355813755.db2.gz RYFRJPNKDMSEPD-GUYCJALGSA-N 1 2 317.389 1.206 20 30 DDEDLO N#CC1(CNC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)CCCC1 ZINC000593426480 355881540 /nfs/dbraw/zinc/88/15/40/355881540.db2.gz FEMBJQJQGJLBPT-HNNXBMFYSA-N 1 2 320.437 1.577 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)N[C@H](C)c1[nH+]ccn1C ZINC000595219816 356410609 /nfs/dbraw/zinc/41/06/09/356410609.db2.gz RZCXJTLFDFRANU-LLVKDONJSA-N 1 2 311.345 1.416 20 30 DDEDLO COc1ccccc1-c1nc(NC(=O)[C@H]2C[N@H+](C)CCO2)n[nH]1 ZINC000081283973 192244394 /nfs/dbraw/zinc/24/43/94/192244394.db2.gz ILMKASNRYOBKIA-GFCCVEGCSA-N 1 2 317.349 1.399 20 30 DDEDLO COc1ccccc1-c1nc(NC(=O)[C@H]2C[N@@H+](C)CCO2)n[nH]1 ZINC000081283973 192244396 /nfs/dbraw/zinc/24/43/96/192244396.db2.gz ILMKASNRYOBKIA-GFCCVEGCSA-N 1 2 317.349 1.399 20 30 DDEDLO CC[N@H+](C[C@H](C)C#N)[C@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595305795 356441311 /nfs/dbraw/zinc/44/13/11/356441311.db2.gz QWLOKYJZKJOIQG-OLZOCXBDSA-N 1 2 309.410 1.411 20 30 DDEDLO CC[N@@H+](C[C@H](C)C#N)[C@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595305795 356441315 /nfs/dbraw/zinc/44/13/15/356441315.db2.gz QWLOKYJZKJOIQG-OLZOCXBDSA-N 1 2 309.410 1.411 20 30 DDEDLO COc1ccc(-c2noc(C[N@@H+]3CCC[C@@H](CC#N)C3)n2)nn1 ZINC000595623248 356582434 /nfs/dbraw/zinc/58/24/34/356582434.db2.gz KYWWDJMCEZDRNI-NSHDSACASA-N 1 2 314.349 1.661 20 30 DDEDLO COc1ccc(-c2noc(C[N@H+]3CCC[C@@H](CC#N)C3)n2)nn1 ZINC000595623248 356582437 /nfs/dbraw/zinc/58/24/37/356582437.db2.gz KYWWDJMCEZDRNI-NSHDSACASA-N 1 2 314.349 1.661 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CC[C@@]3(O)C[C@H]3C2)c(C#N)c1C ZINC000595758611 356639575 /nfs/dbraw/zinc/63/95/75/356639575.db2.gz SSSTWGDLUIWIPM-KNHMANMVSA-N 1 2 303.362 1.552 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CC[C@@]3(O)C[C@H]3C2)c(C#N)c1C ZINC000595758611 356639578 /nfs/dbraw/zinc/63/95/78/356639578.db2.gz SSSTWGDLUIWIPM-KNHMANMVSA-N 1 2 303.362 1.552 20 30 DDEDLO C[C@]1(C#N)CC[N@H+](C[C@@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000595842853 356674588 /nfs/dbraw/zinc/67/45/88/356674588.db2.gz HTRLFESGLKEVKR-IUODEOHRSA-N 1 2 305.334 1.570 20 30 DDEDLO C[C@]1(C#N)CC[N@@H+](C[C@@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000595842853 356674590 /nfs/dbraw/zinc/67/45/90/356674590.db2.gz HTRLFESGLKEVKR-IUODEOHRSA-N 1 2 305.334 1.570 20 30 DDEDLO CCOc1ccc(N2CC[NH+](Cc3ccc(C#N)cn3)CC2)nn1 ZINC000595889720 356693368 /nfs/dbraw/zinc/69/33/68/356693368.db2.gz BJSDVJQVRQAYRR-UHFFFAOYSA-N 1 2 324.388 1.464 20 30 DDEDLO Cc1cc(N2CC[C@](C)(O)C2)c(C#N)c(N2CC[C@](C)(O)C2)[nH+]1 ZINC000596151556 356792447 /nfs/dbraw/zinc/79/24/47/356792447.db2.gz RXUMXVGXPNTPLV-IRXDYDNUSA-N 1 2 316.405 1.184 20 30 DDEDLO C[C@@H](CC#N)C(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000597209853 357093601 /nfs/dbraw/zinc/09/36/01/357093601.db2.gz DOEXKJSYSCHOTP-DOTOQJQBSA-N 1 2 315.417 1.596 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000597219650 357098576 /nfs/dbraw/zinc/09/85/76/357098576.db2.gz FRVZURBXYVTGBA-KRWDZBQOSA-N 1 2 318.373 1.721 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000597219650 357098579 /nfs/dbraw/zinc/09/85/79/357098579.db2.gz FRVZURBXYVTGBA-KRWDZBQOSA-N 1 2 318.373 1.721 20 30 DDEDLO N#CCN1CCN(C(=O)C[C@H](c2[nH]cc[nH+]2)c2ccccc2)CC1 ZINC000597274793 357117563 /nfs/dbraw/zinc/11/75/63/357117563.db2.gz PNUWFHVPIPLVLP-INIZCTEOSA-N 1 2 323.400 1.599 20 30 DDEDLO N#CCCCC(=O)N(CC[NH+]1CCOCC1)[C@H]1CCSC1 ZINC000597677542 357280902 /nfs/dbraw/zinc/28/09/02/357280902.db2.gz GBPAWBXFMLISQA-AWEZNQCLSA-N 1 2 311.451 1.347 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N(C)Cc1c[nH+]c[nH]1 ZINC000597862413 357363077 /nfs/dbraw/zinc/36/30/77/357363077.db2.gz JHQFLDZRRRRTJO-UHFFFAOYSA-N 1 2 300.318 1.327 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N(C)Cc1c[nH]c[nH+]1 ZINC000597862413 357363078 /nfs/dbraw/zinc/36/30/78/357363078.db2.gz JHQFLDZRRRRTJO-UHFFFAOYSA-N 1 2 300.318 1.327 20 30 DDEDLO CCN(C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)[C@@H](C)C#N ZINC000598363674 357552122 /nfs/dbraw/zinc/55/21/22/357552122.db2.gz SUVVEPGICZFCLW-JSGCOSHPSA-N 1 2 319.409 1.244 20 30 DDEDLO CCN(C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)[C@@H](C)C#N ZINC000598363674 357552125 /nfs/dbraw/zinc/55/21/25/357552125.db2.gz SUVVEPGICZFCLW-JSGCOSHPSA-N 1 2 319.409 1.244 20 30 DDEDLO O=C(Nc1ccn2cc[nH+]c2c1)N1CCC(F)(F)[C@@H](CO)C1 ZINC000329612916 223002545 /nfs/dbraw/zinc/00/25/45/223002545.db2.gz KEFQAHOBLFBOOH-SNVBAGLBSA-N 1 2 310.304 1.829 20 30 DDEDLO C[C@H](NC(=O)NC[C@@H]1CCCCS1)[C@H](C)[NH+]1CCOCC1 ZINC000329674156 223012211 /nfs/dbraw/zinc/01/22/11/223012211.db2.gz VHXRROAXNCLPRX-IHRRRGAJSA-N 1 2 315.483 1.885 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)N[C@H](CCC#N)c1ccccc1 ZINC000599012720 357793618 /nfs/dbraw/zinc/79/36/18/357793618.db2.gz BISBVUOVCKETCI-HZPDHXFCSA-N 1 2 316.405 1.661 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)N[C@H](CCC#N)c1ccccc1 ZINC000599012720 357793623 /nfs/dbraw/zinc/79/36/23/357793623.db2.gz BISBVUOVCKETCI-HZPDHXFCSA-N 1 2 316.405 1.661 20 30 DDEDLO C[C@H](NC(=O)NC[C@H]1COCC[N@@H+]1C)c1ccc(C#N)cc1 ZINC000598977913 357780825 /nfs/dbraw/zinc/78/08/25/357780825.db2.gz PUILKNWZTVLDES-WFASDCNBSA-N 1 2 302.378 1.249 20 30 DDEDLO C[C@H](NC(=O)NC[C@H]1COCC[N@H+]1C)c1ccc(C#N)cc1 ZINC000598977913 357780827 /nfs/dbraw/zinc/78/08/27/357780827.db2.gz PUILKNWZTVLDES-WFASDCNBSA-N 1 2 302.378 1.249 20 30 DDEDLO N#Cc1ccnc(NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)c1 ZINC000599183104 357841793 /nfs/dbraw/zinc/84/17/93/357841793.db2.gz GUOSMLBZFLFGQS-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ccnc(NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)c1 ZINC000599183104 357841796 /nfs/dbraw/zinc/84/17/96/357841796.db2.gz GUOSMLBZFLFGQS-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCOC3(CCOCC3)C2)c([N+](=O)[O-])c1 ZINC000565429249 304068162 /nfs/dbraw/zinc/06/81/62/304068162.db2.gz VSXFQNHDTKGWDB-UHFFFAOYSA-N 1 2 317.345 1.848 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCOC3(CCOCC3)C2)c([N+](=O)[O-])c1 ZINC000565429249 304068163 /nfs/dbraw/zinc/06/81/63/304068163.db2.gz VSXFQNHDTKGWDB-UHFFFAOYSA-N 1 2 317.345 1.848 20 30 DDEDLO CCOC(=O)C[N@H+](CC)C[C@@H](O)COc1ccccc1C#N ZINC000599281566 357873824 /nfs/dbraw/zinc/87/38/24/357873824.db2.gz FXYHNCDTTRGQQA-CQSZACIVSA-N 1 2 306.362 1.183 20 30 DDEDLO CCOC(=O)C[N@@H+](CC)C[C@@H](O)COc1ccccc1C#N ZINC000599281566 357873828 /nfs/dbraw/zinc/87/38/28/357873828.db2.gz FXYHNCDTTRGQQA-CQSZACIVSA-N 1 2 306.362 1.183 20 30 DDEDLO C[C@@H](NC(=O)C[NH+]1CCN(CCC#N)CC1)c1ccccc1 ZINC000599337394 357900030 /nfs/dbraw/zinc/90/00/30/357900030.db2.gz VELUTXBQPXCQEV-OAHLLOKOSA-N 1 2 300.406 1.395 20 30 DDEDLO CC(C)(C)CNC(=O)C[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000599429838 357931923 /nfs/dbraw/zinc/93/19/23/357931923.db2.gz UHZZIJTVTFXZJD-AWEZNQCLSA-N 1 2 316.405 1.569 20 30 DDEDLO CC(C)(C)CNC(=O)C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000599429838 357931925 /nfs/dbraw/zinc/93/19/25/357931925.db2.gz UHZZIJTVTFXZJD-AWEZNQCLSA-N 1 2 316.405 1.569 20 30 DDEDLO C[C@H](CC#N)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000601856727 358717647 /nfs/dbraw/zinc/71/76/47/358717647.db2.gz ZRGPEDSSQXNHPR-GFCCVEGCSA-N 1 2 304.419 1.801 20 30 DDEDLO COCCN(CC#N)C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000602157968 358853605 /nfs/dbraw/zinc/85/36/05/358853605.db2.gz IQSNHHSLAYJKGT-JTQLQIEISA-N 1 2 304.272 1.375 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)N1CC[NH+](CC2(CC#N)CC2)CC1 ZINC000602772719 359179825 /nfs/dbraw/zinc/17/98/25/359179825.db2.gz NSSHGONVEQKPNU-OAHLLOKOSA-N 1 2 318.465 1.745 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[NH+]1CCN(CC2(CC#N)CC2)CC1 ZINC000602772719 359179833 /nfs/dbraw/zinc/17/98/33/359179833.db2.gz NSSHGONVEQKPNU-OAHLLOKOSA-N 1 2 318.465 1.745 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@@H](C)C(=O)N(C)C[C@H]1COc2ccccc2O1 ZINC000602860360 359246323 /nfs/dbraw/zinc/24/63/23/359246323.db2.gz CPXLFUZGWGCDOT-RDBSUJKOSA-N 1 2 317.389 1.565 20 30 DDEDLO COC(=O)c1sccc1C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602864947 359248616 /nfs/dbraw/zinc/24/86/16/359248616.db2.gz RETCBUXTVHPFSV-RYUDHWBXSA-N 1 2 307.419 1.953 20 30 DDEDLO N#CCc1ccc(CN2CC[NH+](CCc3cnccn3)CC2)cc1 ZINC000602998850 359346008 /nfs/dbraw/zinc/34/60/08/359346008.db2.gz OBAFZHFGKHUTIL-UHFFFAOYSA-N 1 2 321.428 1.903 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@H+](CCOc2ccccc2C#N)CCO1 ZINC000603002605 359349571 /nfs/dbraw/zinc/34/95/71/359349571.db2.gz JAVYAMCWABFHJZ-KRWDZBQOSA-N 1 2 318.373 1.591 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@@H+](CCOc2ccccc2C#N)CCO1 ZINC000603002605 359349577 /nfs/dbraw/zinc/34/95/77/359349577.db2.gz JAVYAMCWABFHJZ-KRWDZBQOSA-N 1 2 318.373 1.591 20 30 DDEDLO N#Cc1cc(Cl)ccc1Cn1cc([C@@H]2COCC[NH2+]2)nn1 ZINC000603244673 359511205 /nfs/dbraw/zinc/51/12/05/359511205.db2.gz WQDJCAHHPXPJFH-AWEZNQCLSA-N 1 2 303.753 1.512 20 30 DDEDLO N#CCNCc1cn(Cc2c[nH+]cn2Cc2ccccc2)nn1 ZINC000603218686 359489724 /nfs/dbraw/zinc/48/97/24/359489724.db2.gz TUYHKEMQAKEAPC-UHFFFAOYSA-N 1 2 307.361 1.184 20 30 DDEDLO Cc1nn(C)c(N2CCN(c3cc[nH+]cc3F)CC2)c1C#N ZINC000603350695 359588481 /nfs/dbraw/zinc/58/84/81/359588481.db2.gz DKUITLVYMWZFSD-UHFFFAOYSA-N 1 2 300.341 1.461 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2cccc(CC#N)c2)CC1(C)C ZINC000603373416 359599826 /nfs/dbraw/zinc/59/98/26/359599826.db2.gz CZVUFWDNJGKSHA-UHFFFAOYSA-N 1 2 307.419 1.467 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2cccc(CC#N)c2)CC1(C)C ZINC000603373416 359599831 /nfs/dbraw/zinc/59/98/31/359599831.db2.gz CZVUFWDNJGKSHA-UHFFFAOYSA-N 1 2 307.419 1.467 20 30 DDEDLO CC(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C#N)c(O)c2)C1 ZINC000188233036 200214152 /nfs/dbraw/zinc/21/41/52/200214152.db2.gz LCANOWZWHGYYIV-HNNXBMFYSA-N 1 2 317.389 1.350 20 30 DDEDLO CC(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C#N)c(O)c2)C1 ZINC000188233036 200214153 /nfs/dbraw/zinc/21/41/53/200214153.db2.gz LCANOWZWHGYYIV-HNNXBMFYSA-N 1 2 317.389 1.350 20 30 DDEDLO CC(F)(F)CCC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000604538710 359763076 /nfs/dbraw/zinc/76/30/76/359763076.db2.gz CIRFCMGYQQVPJE-UHFFFAOYSA-N 1 2 303.353 1.496 20 30 DDEDLO Cc1nc(C2(NC(=O)[C@H]3C[N@H+](C)CCO3)CCCCC2)no1 ZINC000329837534 223036593 /nfs/dbraw/zinc/03/65/93/223036593.db2.gz MWNQOMMJTVDGDH-GFCCVEGCSA-N 1 2 308.382 1.825 20 30 DDEDLO Cc1nc(C2(NC(=O)[C@H]3C[N@@H+](C)CCO3)CCCCC2)no1 ZINC000329837534 223036596 /nfs/dbraw/zinc/03/65/96/223036596.db2.gz MWNQOMMJTVDGDH-GFCCVEGCSA-N 1 2 308.382 1.825 20 30 DDEDLO O=C(NC[C@H](O)C[NH+]1CCOCC1)c1coc(C2CCCC2)n1 ZINC000329919863 223046392 /nfs/dbraw/zinc/04/63/92/223046392.db2.gz RWOXIICULULDSZ-ZDUSSCGKSA-N 1 2 323.393 1.330 20 30 DDEDLO Cc1cccn2cc(CC(=O)N[C@]3(C#N)CCSC3)[nH+]c12 ZINC000608783187 360242055 /nfs/dbraw/zinc/24/20/55/360242055.db2.gz VQOAILPAXNEJSN-HNNXBMFYSA-N 1 2 300.387 1.701 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2C(=O)N2CC(n3cc[nH+]c3)C2)cc1 ZINC000609624590 360337571 /nfs/dbraw/zinc/33/75/71/360337571.db2.gz PKDSMZKNZBXFCY-QGZVFWFLSA-N 1 2 321.384 1.807 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609484873 360312730 /nfs/dbraw/zinc/31/27/30/360312730.db2.gz GMAIJGOXOZKATO-INIZCTEOSA-N 1 2 306.454 1.577 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000609486271 360313586 /nfs/dbraw/zinc/31/35/86/360313586.db2.gz HBMIUJMXVNLBAH-GOEBONIOSA-N 1 2 306.454 1.599 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N(C)C2CCCCC2)CC1 ZINC000609537795 360320398 /nfs/dbraw/zinc/32/03/98/360320398.db2.gz DRCSDZUCUCYJJD-KRWDZBQOSA-N 1 2 320.481 1.943 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](C[C@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000612162764 360937652 /nfs/dbraw/zinc/93/76/52/360937652.db2.gz QUTGRLLBCPLYRF-BBRMVZONSA-N 1 2 319.361 1.960 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](C[C@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000612162764 360937655 /nfs/dbraw/zinc/93/76/55/360937655.db2.gz QUTGRLLBCPLYRF-BBRMVZONSA-N 1 2 319.361 1.960 20 30 DDEDLO COCC1(CNC(=O)N2CCn3cc[nH+]c3C2)CCOCC1 ZINC000330233943 223091295 /nfs/dbraw/zinc/09/12/95/223091295.db2.gz YCMCZHDFLVBBMT-UHFFFAOYSA-N 1 2 308.382 1.056 20 30 DDEDLO Cc1nccc(NC(=O)N2CCN(C)[C@H](c3[nH+]ccn3C)C2)n1 ZINC000330276737 223098769 /nfs/dbraw/zinc/09/87/69/223098769.db2.gz NIEALAGTMIBTFZ-LBPRGKRZSA-N 1 2 315.381 1.053 20 30 DDEDLO C[C@@H]1CC=CC[C@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000330503528 223122717 /nfs/dbraw/zinc/12/27/17/223122717.db2.gz FDRKPDGXUNRVEF-HZPDHXFCSA-N 1 2 305.422 1.663 20 30 DDEDLO COC1CCC(N(C)C(=O)NC[C@H](C)[NH+]2CCOCC2)CC1 ZINC000330801785 223145145 /nfs/dbraw/zinc/14/51/45/223145145.db2.gz JLFMAEKREXZPPA-NFOMZHRRSA-N 1 2 313.442 1.511 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+](Cc2ccc(CC#N)cc2)CC1 ZINC000330843347 223147921 /nfs/dbraw/zinc/14/79/21/223147921.db2.gz NAUJYUFEJCEAHL-UHFFFAOYSA-N 1 2 307.419 1.220 20 30 DDEDLO COC[C@@H]1C[N@@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C[C@@H](C)O1 ZINC000331257974 223198161 /nfs/dbraw/zinc/19/81/61/223198161.db2.gz DVWOKDHKKJGUGV-NYTXWWLZSA-N 1 2 311.426 1.165 20 30 DDEDLO COC[C@@H]1C[N@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C[C@@H](C)O1 ZINC000331257974 223198162 /nfs/dbraw/zinc/19/81/62/223198162.db2.gz DVWOKDHKKJGUGV-NYTXWWLZSA-N 1 2 311.426 1.165 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(F)cc1C#N ZINC000193668340 201099600 /nfs/dbraw/zinc/09/96/00/201099600.db2.gz GIZSZAKIRBMWOR-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)CC2(C#N)CCCCC2)C[C@@H]1C ZINC000613938116 361636898 /nfs/dbraw/zinc/63/68/98/361636898.db2.gz KHKTYYYPMHRPGJ-AWEZNQCLSA-N 1 2 313.467 1.816 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)CC2(C#N)CCCCC2)C[C@@H]1C ZINC000613938116 361636904 /nfs/dbraw/zinc/63/69/04/361636904.db2.gz KHKTYYYPMHRPGJ-AWEZNQCLSA-N 1 2 313.467 1.816 20 30 DDEDLO Cc1nc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)co1 ZINC000619784880 364150039 /nfs/dbraw/zinc/15/00/39/364150039.db2.gz ZUNPZSITAUNQOU-UHFFFAOYSA-N 1 2 310.357 1.813 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1OC ZINC000092007159 193140322 /nfs/dbraw/zinc/14/03/22/193140322.db2.gz LMEOCCJZYFHWRR-MLGOLLRUSA-N 1 2 320.389 1.335 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1OC ZINC000092007159 193140324 /nfs/dbraw/zinc/14/03/24/193140324.db2.gz LMEOCCJZYFHWRR-MLGOLLRUSA-N 1 2 320.389 1.335 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000625405035 366901691 /nfs/dbraw/zinc/90/16/91/366901691.db2.gz AGXGGYRRJZVABG-OAHLLOKOSA-N 1 2 324.446 1.765 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000625405035 366901699 /nfs/dbraw/zinc/90/16/99/366901699.db2.gz AGXGGYRRJZVABG-OAHLLOKOSA-N 1 2 324.446 1.765 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000625447594 366935626 /nfs/dbraw/zinc/93/56/26/366935626.db2.gz YYSIMBWEZIHRFI-UHFFFAOYSA-N 1 2 318.421 1.834 20 30 DDEDLO C[C@@H]1C[C@H](O)C[N@@H+]1CC(=O)Nc1ccccc1SCC#N ZINC000349848277 223397284 /nfs/dbraw/zinc/39/72/84/223397284.db2.gz ADNUTCHFIIFHNV-NEPJUHHUSA-N 1 2 305.403 1.696 20 30 DDEDLO C[C@@H]1C[C@H](O)C[N@H+]1CC(=O)Nc1ccccc1SCC#N ZINC000349848277 223397286 /nfs/dbraw/zinc/39/72/86/223397286.db2.gz ADNUTCHFIIFHNV-NEPJUHHUSA-N 1 2 305.403 1.696 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000182907507 335004630 /nfs/dbraw/zinc/00/46/30/335004630.db2.gz SKCJMJHVAQCJJQ-CQSZACIVSA-N 1 2 304.394 1.418 20 30 DDEDLO C#CCCOc1ccc(C[N@H+](CC)CCS(C)(=O)=O)cc1 ZINC000093816111 193311261 /nfs/dbraw/zinc/31/12/61/193311261.db2.gz YGVHVQKVDHSEDX-UHFFFAOYSA-N 1 2 309.431 1.955 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+](CC)CCS(C)(=O)=O)cc1 ZINC000093816111 193311263 /nfs/dbraw/zinc/31/12/63/193311263.db2.gz YGVHVQKVDHSEDX-UHFFFAOYSA-N 1 2 309.431 1.955 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)C[N@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000245771632 284186100 /nfs/dbraw/zinc/18/61/00/284186100.db2.gz RYIZELBVMNAGMD-KCXAZCMYSA-N 1 2 318.373 1.740 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)C[N@@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000245771632 284186102 /nfs/dbraw/zinc/18/61/02/284186102.db2.gz RYIZELBVMNAGMD-KCXAZCMYSA-N 1 2 318.373 1.740 20 30 DDEDLO C[N@H+](CCOCCO)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000282636744 217143229 /nfs/dbraw/zinc/14/32/29/217143229.db2.gz YZSUCCGGOHQHLJ-UHFFFAOYSA-N 1 2 311.769 1.091 20 30 DDEDLO C[N@@H+](CCOCCO)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000282636744 217143232 /nfs/dbraw/zinc/14/32/32/217143232.db2.gz YZSUCCGGOHQHLJ-UHFFFAOYSA-N 1 2 311.769 1.091 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)c1cc(C#N)ccc1Cl ZINC000285053670 218271388 /nfs/dbraw/zinc/27/13/88/218271388.db2.gz FZDPXXXUCUECNV-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO CCN(CC)c1ccc(CNC(=O)N2CCO[C@@H](C#N)C2)c[nH+]1 ZINC000106330906 194198241 /nfs/dbraw/zinc/19/82/41/194198241.db2.gz RSIICPTWRWAJQB-AWEZNQCLSA-N 1 2 317.393 1.362 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1Cc2ccccc2C[C@H]1C(N)=O ZINC000060465209 184149767 /nfs/dbraw/zinc/14/97/67/184149767.db2.gz XOCHNENBQBPXGU-KRWDZBQOSA-N 1 2 321.380 1.849 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1Cc2ccccc2C[C@H]1C(N)=O ZINC000060465209 184149769 /nfs/dbraw/zinc/14/97/69/184149769.db2.gz XOCHNENBQBPXGU-KRWDZBQOSA-N 1 2 321.380 1.849 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@H](C)O[C@]2(CCO[C@@H]2C)C1 ZINC000369290163 285040145 /nfs/dbraw/zinc/04/01/45/285040145.db2.gz JFBWTVDJMBTJPT-JYYAWHABSA-N 1 2 323.437 1.263 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@H](C)O[C@]2(CCO[C@@H]2C)C1 ZINC000369290163 285040146 /nfs/dbraw/zinc/04/01/46/285040146.db2.gz JFBWTVDJMBTJPT-JYYAWHABSA-N 1 2 323.437 1.263 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3ncc(C#N)cc3F)CC2)cn1 ZINC000413123711 224138714 /nfs/dbraw/zinc/13/87/14/224138714.db2.gz CXLVFVOXMSXJMA-UHFFFAOYSA-N 1 2 300.341 1.148 20 30 DDEDLO C[C@H](CC#N)NC(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000157367538 197182940 /nfs/dbraw/zinc/18/29/40/197182940.db2.gz GTWAVXAXECSKHG-CYBMUJFWSA-N 1 2 302.378 1.942 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N(C)[C@@H](C)C[NH+]1CCOCC1 ZINC000568118643 304268611 /nfs/dbraw/zinc/26/86/11/304268611.db2.gz GAZHTGSTFFVULZ-ZDUSSCGKSA-N 1 2 302.378 1.054 20 30 DDEDLO CCCC[N@H+](CC(N)=O)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000178713978 407558590 /nfs/dbraw/zinc/55/85/90/407558590.db2.gz IYBUAGMCPKTLHC-UHFFFAOYSA-N 1 2 306.366 1.294 20 30 DDEDLO CCCC[N@@H+](CC(N)=O)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000178713978 407558592 /nfs/dbraw/zinc/55/85/92/407558592.db2.gz IYBUAGMCPKTLHC-UHFFFAOYSA-N 1 2 306.366 1.294 20 30 DDEDLO COC(=O)C1CC[NH+](CC(=O)Nc2cccc(C#N)c2)CC1 ZINC000007636844 406763731 /nfs/dbraw/zinc/76/37/31/406763731.db2.gz ABVUJCDEDYKVPD-UHFFFAOYSA-N 1 2 301.346 1.382 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(C(=O)NCC)CC1 ZINC000078653974 407038703 /nfs/dbraw/zinc/03/87/03/407038703.db2.gz FMRGWYIXKVBCQG-AWEZNQCLSA-N 1 2 310.442 1.147 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[NH+](C(C)C)CC1 ZINC000077373948 406987867 /nfs/dbraw/zinc/98/78/67/406987867.db2.gz GRKYWFFHOBIPPH-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO C=CCNC(=O)[C@@H](C)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000081018883 407073878 /nfs/dbraw/zinc/07/38/78/407073878.db2.gz DBPWYUUCXYVDOS-CQSZACIVSA-N 1 2 303.406 1.201 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(Cc2cccc(O)c2)CC1 ZINC000081018883 407073880 /nfs/dbraw/zinc/07/38/80/407073880.db2.gz DBPWYUUCXYVDOS-CQSZACIVSA-N 1 2 303.406 1.201 20 30 DDEDLO CC(=O)NC1CC[NH+](CC(=O)Nc2sccc2C#N)CC1 ZINC000050991523 407134526 /nfs/dbraw/zinc/13/45/26/407134526.db2.gz BGLMOEDKCKWWKO-UHFFFAOYSA-N 1 2 306.391 1.159 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](CCOc2ccccc2C#N)C[C@@H](C)O1 ZINC000123897741 407342634 /nfs/dbraw/zinc/34/26/34/407342634.db2.gz BYLZGYGTUWXIJX-IUODEOHRSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](CCOc2ccccc2C#N)C[C@@H](C)O1 ZINC000123897741 407342636 /nfs/dbraw/zinc/34/26/36/407342636.db2.gz BYLZGYGTUWXIJX-IUODEOHRSA-N 1 2 304.346 1.199 20 30 DDEDLO C[C@@H](C[S@](C)=O)[N@H+](C)CC(=O)N(CCC#N)c1ccccc1 ZINC000126125146 407405568 /nfs/dbraw/zinc/40/55/68/407405568.db2.gz OHNOLDWTFHOKGJ-RCDICMHDSA-N 1 2 321.446 1.632 20 30 DDEDLO C[C@@H](C[S@](C)=O)[N@@H+](C)CC(=O)N(CCC#N)c1ccccc1 ZINC000126125146 407405570 /nfs/dbraw/zinc/40/55/70/407405570.db2.gz OHNOLDWTFHOKGJ-RCDICMHDSA-N 1 2 321.446 1.632 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(Cc2cccc(Cl)c2)CC1 ZINC000126721341 407420448 /nfs/dbraw/zinc/42/04/48/407420448.db2.gz XPQFSLUYPMHTML-AWEZNQCLSA-N 1 2 319.836 1.596 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CC[NH+](Cc2cccc(Cl)c2)CC1 ZINC000126721341 407420450 /nfs/dbraw/zinc/42/04/50/407420450.db2.gz XPQFSLUYPMHTML-AWEZNQCLSA-N 1 2 319.836 1.596 20 30 DDEDLO CCCOc1ccc(/C=[NH+]/CCN2C(=O)CCC2=O)c(O)c1 ZINC000113771294 407524021 /nfs/dbraw/zinc/52/40/21/407524021.db2.gz SWTREJYEABBIJM-GZTJUZNOSA-N 1 2 304.346 1.749 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000152430573 407632594 /nfs/dbraw/zinc/63/25/94/407632594.db2.gz PGZQUCPJNKJEJE-IRXDYDNUSA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000152430573 407632604 /nfs/dbraw/zinc/63/26/04/407632604.db2.gz PGZQUCPJNKJEJE-IRXDYDNUSA-N 1 2 302.374 1.817 20 30 DDEDLO C=CCSCCNc1[nH+]cnc2c1cnn2-c1ncccn1 ZINC000178890316 407644699 /nfs/dbraw/zinc/64/46/99/407644699.db2.gz XSPDTTUWFPWDFL-UHFFFAOYSA-N 1 2 313.390 1.937 20 30 DDEDLO COCCOC1CC[NH+]([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000116885334 407792349 /nfs/dbraw/zinc/79/23/49/407792349.db2.gz ZKUUSJDNLIKYCX-INIZCTEOSA-N 1 2 317.389 1.212 20 30 DDEDLO C[C@@H](C#N)CNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000172121838 407807689 /nfs/dbraw/zinc/80/76/89/407807689.db2.gz RMBXKOMDUDDPBL-UONOGXRCSA-N 1 2 318.396 1.612 20 30 DDEDLO COc1ccc(O)c(/C=[NH+]/C[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000133095466 407819728 /nfs/dbraw/zinc/81/97/28/407819728.db2.gz NUFLCZHLEQNKSE-BTAWCLGRSA-N 1 2 312.391 1.101 20 30 DDEDLO CCC[C@@]1(C)C(=O)NCC[N@H+]1CCOc1ccccc1C#N ZINC000187309092 407842220 /nfs/dbraw/zinc/84/22/20/407842220.db2.gz NFDRUGCHUHRMGQ-KRWDZBQOSA-N 1 2 301.390 1.928 20 30 DDEDLO CCC[C@@]1(C)C(=O)NCC[N@@H+]1CCOc1ccccc1C#N ZINC000187309092 407842227 /nfs/dbraw/zinc/84/22/27/407842227.db2.gz NFDRUGCHUHRMGQ-KRWDZBQOSA-N 1 2 301.390 1.928 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(c2cc(C)[nH]n2)CC1 ZINC000187169507 407829809 /nfs/dbraw/zinc/82/98/09/407829809.db2.gz HJJWKLZLHRFEEJ-OAHLLOKOSA-N 1 2 317.437 1.429 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(CC#N)cc3)C[C@@H]21 ZINC000134544913 407907746 /nfs/dbraw/zinc/90/77/46/407907746.db2.gz PKBHWUMBCHXTBO-GJZGRUSLSA-N 1 2 300.362 1.299 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(CC#N)cc3)C[C@@H]21 ZINC000134544913 407907753 /nfs/dbraw/zinc/90/77/53/407907753.db2.gz PKBHWUMBCHXTBO-GJZGRUSLSA-N 1 2 300.362 1.299 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+]1CCCN(C(=O)C2(C#N)CCC2)CC1 ZINC000134928535 407950696 /nfs/dbraw/zinc/95/06/96/407950696.db2.gz YPIHFQLAEUVPJS-UHFFFAOYSA-N 1 2 320.437 1.083 20 30 DDEDLO CCN(CC)C(=O)C[N@H+]1CCCN(C(=O)C2(C#N)CCC2)CC1 ZINC000134928535 407950704 /nfs/dbraw/zinc/95/07/04/407950704.db2.gz YPIHFQLAEUVPJS-UHFFFAOYSA-N 1 2 320.437 1.083 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCCN(c2ccccc2C#N)CC1 ZINC000188401121 407942300 /nfs/dbraw/zinc/94/23/00/407942300.db2.gz SFMWUTNZFSZKBI-UHFFFAOYSA-N 1 2 323.400 1.573 20 30 DDEDLO NC(=[NH+]OCC(=O)NCC1CC1)c1cccc(C(F)(F)F)c1 ZINC000154203026 407993740 /nfs/dbraw/zinc/99/37/40/407993740.db2.gz DZDMOKDFXHTNHN-UHFFFAOYSA-N 1 2 315.295 1.869 20 30 DDEDLO CC(C)(O)C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C1CC1 ZINC000189881021 408124939 /nfs/dbraw/zinc/12/49/39/408124939.db2.gz VYIYAPXUNCBBHJ-UHFFFAOYSA-N 1 2 322.430 1.567 20 30 DDEDLO CC(C)(O)C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C1CC1 ZINC000189881021 408124945 /nfs/dbraw/zinc/12/49/45/408124945.db2.gz VYIYAPXUNCBBHJ-UHFFFAOYSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@H+](C[C@@H](O)c1ccc(C#N)cc1)C1CC1 ZINC000268731934 408178495 /nfs/dbraw/zinc/17/84/95/408178495.db2.gz XMHDBVZTXXMQRA-MLGOLLRUSA-N 1 2 322.430 1.489 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@@H+](C[C@@H](O)c1ccc(C#N)cc1)C1CC1 ZINC000268731934 408178500 /nfs/dbraw/zinc/17/85/00/408178500.db2.gz XMHDBVZTXXMQRA-MLGOLLRUSA-N 1 2 322.430 1.489 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCC[C@H]3C(=O)NC3CC3)n2c1 ZINC000121464887 408216454 /nfs/dbraw/zinc/21/64/54/408216454.db2.gz SFUKKDFQAWWYQI-HNNXBMFYSA-N 1 2 309.373 1.449 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCC[C@H]3C(=O)NC3CC3)n2c1 ZINC000121464887 408216461 /nfs/dbraw/zinc/21/64/61/408216461.db2.gz SFUKKDFQAWWYQI-HNNXBMFYSA-N 1 2 309.373 1.449 20 30 DDEDLO CCOC(=O)C(C)(C)[NH2+]CCC(=O)Nc1sccc1C#N ZINC000121492551 408222890 /nfs/dbraw/zinc/22/28/90/408222890.db2.gz LYLZFRLMMXRMLT-UHFFFAOYSA-N 1 2 309.391 1.880 20 30 DDEDLO NC(=[NH+]OCC(=O)NCc1ccco1)c1ccc2c(c1)CCO2 ZINC000158220876 408330893 /nfs/dbraw/zinc/33/08/93/408330893.db2.gz CHNDHJPNACJJAU-UHFFFAOYSA-N 1 2 315.329 1.168 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cnc(C(C)C)s2)CC1 ZINC000122210681 408267180 /nfs/dbraw/zinc/26/71/80/408267180.db2.gz GAMOESZCZDBPCL-UHFFFAOYSA-N 1 2 322.478 1.686 20 30 DDEDLO N#CC[N@@H+](CCC(=O)Nc1ccc(C(N)=O)cc1)C1CCCC1 ZINC000156737827 408272917 /nfs/dbraw/zinc/27/29/17/408272917.db2.gz POWQBJVEVWLDRR-UHFFFAOYSA-N 1 2 314.389 1.882 20 30 DDEDLO N#CC[N@H+](CCC(=O)Nc1ccc(C(N)=O)cc1)C1CCCC1 ZINC000156737827 408272923 /nfs/dbraw/zinc/27/29/23/408272923.db2.gz POWQBJVEVWLDRR-UHFFFAOYSA-N 1 2 314.389 1.882 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC[C@@H](OCCO)C1 ZINC000246816215 408348633 /nfs/dbraw/zinc/34/86/33/408348633.db2.gz OIQJORLQRZWZJH-DZGCQCFKSA-N 1 2 317.389 1.359 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC[C@@H](OCCO)C1 ZINC000246816215 408348638 /nfs/dbraw/zinc/34/86/38/408348638.db2.gz OIQJORLQRZWZJH-DZGCQCFKSA-N 1 2 317.389 1.359 20 30 DDEDLO CC(C)COC[C@H](O)C[NH+]1CC(Oc2ccc(C#N)cc2)C1 ZINC000158585146 408346820 /nfs/dbraw/zinc/34/68/20/408346820.db2.gz AMCKGFYUNBBOCX-OAHLLOKOSA-N 1 2 304.390 1.655 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)c1ccc(C#N)cc1Cl ZINC000169268924 162183638 /nfs/dbraw/zinc/18/36/38/162183638.db2.gz JELNJPYZACFAFD-UHFFFAOYSA-N 1 2 324.793 1.766 20 30 DDEDLO C#CCC(C)(C)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000274340584 408369020 /nfs/dbraw/zinc/36/90/20/408369020.db2.gz MDQHEOYJOROTEC-UHFFFAOYSA-N 1 2 304.394 1.203 20 30 DDEDLO C#CCCCCNC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000160128690 408458287 /nfs/dbraw/zinc/45/82/87/408458287.db2.gz XRZJYZFJUMVQDK-UHFFFAOYSA-N 1 2 316.405 1.521 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2[C@H](C)CC(O)C[C@@H]2C)c(C#N)c1C ZINC000191251251 408394438 /nfs/dbraw/zinc/39/44/38/408394438.db2.gz ZZRCPALQXFHERU-HWYHXSKPSA-N 1 2 305.378 1.940 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2[C@H](C)CC(O)C[C@@H]2C)c(C#N)c1C ZINC000191251251 408394442 /nfs/dbraw/zinc/39/44/42/408394442.db2.gz ZZRCPALQXFHERU-HWYHXSKPSA-N 1 2 305.378 1.940 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)c1C#N ZINC000269710144 408399639 /nfs/dbraw/zinc/39/96/39/408399639.db2.gz BLJZOYWVTMXSIG-UHFFFAOYSA-N 1 2 319.430 1.726 20 30 DDEDLO Cn1cc(C[N@@H+]2CCn3c(nnc3C(F)(F)F)C2)cc1C#N ZINC000191373945 408415878 /nfs/dbraw/zinc/41/58/78/408415878.db2.gz WTUZGYIICPRQCH-UHFFFAOYSA-N 1 2 310.283 1.523 20 30 DDEDLO Cn1cc(C[N@H+]2CCn3c(nnc3C(F)(F)F)C2)cc1C#N ZINC000191373945 408415883 /nfs/dbraw/zinc/41/58/83/408415883.db2.gz WTUZGYIICPRQCH-UHFFFAOYSA-N 1 2 310.283 1.523 20 30 DDEDLO C=C1CC[NH+](CC(=O)NC(=O)Nc2ccc(OC)cc2)CC1 ZINC000264474910 408503802 /nfs/dbraw/zinc/50/38/02/408503802.db2.gz OMZDHXCEOIWNII-UHFFFAOYSA-N 1 2 303.362 1.995 20 30 DDEDLO C=C1CC[NH+](CC(=O)Nc2cccc(C(=O)N(C)C)c2)CC1 ZINC000264512645 408518915 /nfs/dbraw/zinc/51/89/15/408518915.db2.gz YDWQIFONOGEVKE-UHFFFAOYSA-N 1 2 301.390 1.979 20 30 DDEDLO CC[C@H]1C[C@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)CCO1 ZINC000183974140 408520758 /nfs/dbraw/zinc/52/07/58/408520758.db2.gz PHRFYJIBPIFKGW-CVEARBPZSA-N 1 2 323.437 1.266 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2cccc(F)c2F)CC1 ZINC000265260094 408593726 /nfs/dbraw/zinc/59/37/26/408593726.db2.gz YBFKRIISKMZXOD-GFCCVEGCSA-N 1 2 309.360 1.778 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C[C@H]1O ZINC000270869915 408716251 /nfs/dbraw/zinc/71/62/51/408716251.db2.gz JASZJKSAZFKGEI-WCQYABFASA-N 1 2 307.419 1.878 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C[C@H]1O ZINC000270869915 408716253 /nfs/dbraw/zinc/71/62/53/408716253.db2.gz JASZJKSAZFKGEI-WCQYABFASA-N 1 2 307.419 1.878 20 30 DDEDLO Cc1cnc([C@@H](C)[NH2+]CC(=O)N(CCC#N)CCC#N)s1 ZINC000185027705 408738890 /nfs/dbraw/zinc/73/88/90/408738890.db2.gz LEZBGPBNSGRGAL-GFCCVEGCSA-N 1 2 305.407 1.758 20 30 DDEDLO CC[C@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1O ZINC000270831896 408700018 /nfs/dbraw/zinc/70/00/18/408700018.db2.gz CUAUWKBTZDXOBB-DZGCQCFKSA-N 1 2 308.403 1.035 20 30 DDEDLO CC[C@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1O ZINC000270831896 408700024 /nfs/dbraw/zinc/70/00/24/408700024.db2.gz CUAUWKBTZDXOBB-DZGCQCFKSA-N 1 2 308.403 1.035 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@@H](C)c1nc(Cc2ccccc2)no1 ZINC000185383831 408802226 /nfs/dbraw/zinc/80/22/26/408802226.db2.gz AEVFODZXPPYKSR-STQMWFEESA-N 1 2 312.373 1.449 20 30 DDEDLO CC(=O)NCCC[NH+]1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000164742451 408804415 /nfs/dbraw/zinc/80/44/15/408804415.db2.gz NIRROUUOZXIWLC-UHFFFAOYSA-N 1 2 317.393 1.072 20 30 DDEDLO C#C[C@H](NC(=O)NCc1ccc(N2CCOCC2)[nH+]c1)C(C)C ZINC000281169522 408874323 /nfs/dbraw/zinc/87/43/23/408874323.db2.gz XPBIBTLRJSAGBB-HNNXBMFYSA-N 1 2 316.405 1.375 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)Nc2cc(C)no2)C1=O ZINC000281430797 408884673 /nfs/dbraw/zinc/88/46/73/408884673.db2.gz SKGMBXIICGYFLZ-NEPJUHHUSA-N 1 2 306.366 1.029 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)Nc2cc(C)no2)C1=O ZINC000281430797 408884674 /nfs/dbraw/zinc/88/46/74/408884674.db2.gz SKGMBXIICGYFLZ-NEPJUHHUSA-N 1 2 306.366 1.029 20 30 DDEDLO Cn1nccc1C1=CC[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)CC1 ZINC000285671925 408839863 /nfs/dbraw/zinc/83/98/63/408839863.db2.gz QKZSSIXMBDPUDG-KRWDZBQOSA-N 1 2 313.405 1.318 20 30 DDEDLO Cn1nccc1C1=CC[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)CC1 ZINC000285671925 408839864 /nfs/dbraw/zinc/83/98/64/408839864.db2.gz QKZSSIXMBDPUDG-KRWDZBQOSA-N 1 2 313.405 1.318 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000291153077 408859902 /nfs/dbraw/zinc/85/99/02/408859902.db2.gz XVKBDHJWBYSYHV-TZMCWYRMSA-N 1 2 300.362 1.779 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000291135422 408860348 /nfs/dbraw/zinc/86/03/48/408860348.db2.gz YZYLORMFKRMSEN-WBVHZDCISA-N 1 2 315.417 1.251 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000281493745 408887702 /nfs/dbraw/zinc/88/77/02/408887702.db2.gz QIGYKBWGZRICKE-CJNGLKHVSA-N 1 2 312.373 1.978 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3cc(F)ccc3F)CC2)C1=O ZINC000281938373 408957092 /nfs/dbraw/zinc/95/70/92/408957092.db2.gz IPGMHRABWVIOBY-OAHLLOKOSA-N 1 2 321.371 1.874 20 30 DDEDLO C=CCSCCNC(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000286443889 408986628 /nfs/dbraw/zinc/98/66/28/408986628.db2.gz IIQLOPAVXBHICN-GFCCVEGCSA-N 1 2 322.434 1.151 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1nc2c(cc1C#N)CCC2 ZINC000286464609 408989220 /nfs/dbraw/zinc/98/92/20/408989220.db2.gz VGKOFJRBIHPVOC-CZUORRHYSA-N 1 2 312.417 1.741 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NCc1ccc(N2CCCCCC2)[nH+]c1 ZINC000292514299 409034595 /nfs/dbraw/zinc/03/45/95/409034595.db2.gz RQOOIOSEMJHDRH-HNNXBMFYSA-N 1 2 318.421 1.808 20 30 DDEDLO CC(C)(C#N)CC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000278193182 409077822 /nfs/dbraw/zinc/07/78/22/409077822.db2.gz FARUDXBFZCXISF-INIZCTEOSA-N 1 2 315.417 1.943 20 30 DDEDLO CC(C)(C#N)CC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000278193182 409077825 /nfs/dbraw/zinc/07/78/25/409077825.db2.gz FARUDXBFZCXISF-INIZCTEOSA-N 1 2 315.417 1.943 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H]1c1cc[nH]n1 ZINC000278636522 409087180 /nfs/dbraw/zinc/08/71/80/409087180.db2.gz OPRQGDQTBAUTGC-AWEZNQCLSA-N 1 2 300.366 1.203 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCC[C@H]1c1cc[nH]n1 ZINC000278636522 409087181 /nfs/dbraw/zinc/08/71/81/409087181.db2.gz OPRQGDQTBAUTGC-AWEZNQCLSA-N 1 2 300.366 1.203 20 30 DDEDLO Cn1nc([C@@H]2CCC[N@@H+]2CCOc2cccc(C#N)c2)nc1N ZINC000283639752 409189333 /nfs/dbraw/zinc/18/93/33/409189333.db2.gz AODNMCNCCQCTAQ-AWEZNQCLSA-N 1 2 312.377 1.485 20 30 DDEDLO Cn1nc([C@@H]2CCC[N@H+]2CCOc2cccc(C#N)c2)nc1N ZINC000283639752 409189335 /nfs/dbraw/zinc/18/93/35/409189335.db2.gz AODNMCNCCQCTAQ-AWEZNQCLSA-N 1 2 312.377 1.485 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000279183039 409165365 /nfs/dbraw/zinc/16/53/65/409165365.db2.gz KKYACTDFFVHSSR-FUHWJXTLSA-N 1 2 318.417 1.331 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000279183039 409165369 /nfs/dbraw/zinc/16/53/69/409165369.db2.gz KKYACTDFFVHSSR-FUHWJXTLSA-N 1 2 318.417 1.331 20 30 DDEDLO CO/N=C/C(=O)N1CC[NH+]([C@H](C)c2cccc(OC)c2)CC1 ZINC000289545960 409281483 /nfs/dbraw/zinc/28/14/83/409281483.db2.gz QUYMYMKAVDHXGZ-LVLBFHFTSA-N 1 2 305.378 1.533 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNc1cc(N2CCOCC2)nc[nH+]1 ZINC000280499291 409329857 /nfs/dbraw/zinc/32/98/57/409329857.db2.gz BWUUYNGKTAVABM-XJKSGUPXSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNc1cc(N2CCOCC2)[nH+]cn1 ZINC000280499291 409329861 /nfs/dbraw/zinc/32/98/61/409329861.db2.gz BWUUYNGKTAVABM-XJKSGUPXSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CCC(F)(F)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000294303350 409275062 /nfs/dbraw/zinc/27/50/62/409275062.db2.gz YMPXDDTVANITQM-UHFFFAOYSA-N 1 2 311.332 1.746 20 30 DDEDLO C[C@@H]1OCC[C@@]12C[N@H+](CC(=O)Nc1cccc(C#N)c1)CCO2 ZINC000280611822 409378724 /nfs/dbraw/zinc/37/87/24/409378724.db2.gz XSTRAAVNZHUDKX-SUMWQHHRSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@@H]1OCC[C@@]12C[N@@H+](CC(=O)Nc1cccc(C#N)c1)CCO2 ZINC000280611822 409378728 /nfs/dbraw/zinc/37/87/28/409378728.db2.gz XSTRAAVNZHUDKX-SUMWQHHRSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@@H](CNS(=O)(=O)CCC#N)[N@@H+]1CCc2sccc2C1 ZINC000295221560 409383102 /nfs/dbraw/zinc/38/31/02/409383102.db2.gz RDMHQFCMCVLQPD-NSHDSACASA-N 1 2 313.448 1.328 20 30 DDEDLO C[C@@H](CNS(=O)(=O)CCC#N)[N@H+]1CCc2sccc2C1 ZINC000295221560 409383106 /nfs/dbraw/zinc/38/31/06/409383106.db2.gz RDMHQFCMCVLQPD-NSHDSACASA-N 1 2 313.448 1.328 20 30 DDEDLO Cc1cc(C#N)cc(NC[C@@H](C(C)C)N2CC[NH+](C)CC2)n1 ZINC000319769479 164038779 /nfs/dbraw/zinc/03/87/79/164038779.db2.gz ZLOQMOHIMOYROX-INIZCTEOSA-N 1 2 301.438 1.946 20 30 DDEDLO C=CCOCC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000354061667 409618788 /nfs/dbraw/zinc/61/87/88/409618788.db2.gz RCENQYLMSMYCAI-UHFFFAOYSA-N 1 2 304.390 1.338 20 30 DDEDLO C[C@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H]1CO ZINC000305158400 409706341 /nfs/dbraw/zinc/70/63/41/409706341.db2.gz DGKZTWRIBHOFEF-BBRMVZONSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H]1CO ZINC000305158400 409706349 /nfs/dbraw/zinc/70/63/49/409706349.db2.gz DGKZTWRIBHOFEF-BBRMVZONSA-N 1 2 322.430 1.425 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000338118949 409728708 /nfs/dbraw/zinc/72/87/08/409728708.db2.gz IIUPLXMOFIFEKH-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000338118949 409728718 /nfs/dbraw/zinc/72/87/18/409728718.db2.gz IIUPLXMOFIFEKH-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)NCCNc1cccc[nH+]1 ZINC000338284684 409875317 /nfs/dbraw/zinc/87/53/17/409875317.db2.gz WHLTWCVEZNFMOK-ZDUSSCGKSA-N 1 2 310.357 1.949 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000332093516 409879678 /nfs/dbraw/zinc/87/96/78/409879678.db2.gz CSJYPFZIOYLHTN-RISCZKNCSA-N 1 2 302.378 1.130 20 30 DDEDLO CN(C[C@@H]1CCC[C@@H]1O)C(=O)NC[C@H]1CCCn2cc[nH+]c21 ZINC000328816854 409985737 /nfs/dbraw/zinc/98/57/37/409985737.db2.gz GRXBCLCPEZBQFM-RDBSUJKOSA-N 1 2 306.410 1.767 20 30 DDEDLO CN(C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)[C@H]1CCNC1=O ZINC000328814604 409986390 /nfs/dbraw/zinc/98/63/90/409986390.db2.gz GHHJMRPYEYZEDU-NEPJUHHUSA-N 1 2 303.366 1.235 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2sccc2C#N)C1 ZINC000328628015 409941112 /nfs/dbraw/zinc/94/11/12/409941112.db2.gz GUEZBSBXIPAJMK-AWEZNQCLSA-N 1 2 319.430 1.803 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2sccc2C#N)C1 ZINC000328628015 409941119 /nfs/dbraw/zinc/94/11/19/409941119.db2.gz GUEZBSBXIPAJMK-AWEZNQCLSA-N 1 2 319.430 1.803 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)N[C@@H]1CC(=O)N(C)C1 ZINC000328967408 410024414 /nfs/dbraw/zinc/02/44/14/410024414.db2.gz TWBQWOGKJFOXHP-JHJVBQTASA-N 1 2 302.378 1.341 20 30 DDEDLO CN(C)C(=O)c1ccnc(NC(=O)[C@H]2CCn3c[nH+]cc3C2)c1 ZINC000328984203 410038241 /nfs/dbraw/zinc/03/82/41/410038241.db2.gz ZEJYGXRIPMJGGY-NSHDSACASA-N 1 2 313.361 1.831 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](N3CCn4cc[nH+]c4C3)C2=O)cc1 ZINC000329005560 410047772 /nfs/dbraw/zinc/04/77/72/410047772.db2.gz MQZGYEXTKZNYIQ-HNNXBMFYSA-N 1 2 307.357 1.376 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(c2nccc(C#N)c2Cl)[C@@H](C)C1 ZINC000342914376 409994478 /nfs/dbraw/zinc/99/44/78/409994478.db2.gz AOCKCSYJOCSDIQ-NSHDSACASA-N 1 2 322.796 1.680 20 30 DDEDLO C[C@@H](C(=O)NC1CCCC1)N1CC[NH+](CC(=O)N2CCC2)CC1 ZINC000329017020 410053098 /nfs/dbraw/zinc/05/30/98/410053098.db2.gz QWPCXBDRYBULOK-AWEZNQCLSA-N 1 2 322.453 1.124 20 30 DDEDLO C[C@@H](C(=O)NC1CCCC1)[NH+]1CCN(CC(=O)N2CCC2)CC1 ZINC000329017020 410053106 /nfs/dbraw/zinc/05/31/06/410053106.db2.gz QWPCXBDRYBULOK-AWEZNQCLSA-N 1 2 322.453 1.124 20 30 DDEDLO C#CC[N@H+](Cc1ccccc1)[C@H](C)C(=O)NC[C@H]1CCCO1 ZINC000297945361 410057748 /nfs/dbraw/zinc/05/77/48/410057748.db2.gz APQIYSNDNGTHFJ-NVXWUHKLSA-N 1 2 300.402 1.806 20 30 DDEDLO C#CC[N@@H+](Cc1ccccc1)[C@H](C)C(=O)NC[C@H]1CCCO1 ZINC000297945361 410057751 /nfs/dbraw/zinc/05/77/51/410057751.db2.gz APQIYSNDNGTHFJ-NVXWUHKLSA-N 1 2 300.402 1.806 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(c2cccc(C#N)n2)C1 ZINC000357622778 410142851 /nfs/dbraw/zinc/14/28/51/410142851.db2.gz FUYLUSYYNRANLL-KRWDZBQOSA-N 1 2 316.405 1.853 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CCc3[nH+]c(C(C)(C)C)cn3C2)nn1 ZINC000329315893 410229369 /nfs/dbraw/zinc/22/93/69/410229369.db2.gz DXADKWQQPZQQGB-JTQLQIEISA-N 1 2 302.382 1.629 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)c3ccc(C4(C#N)CC4)cc3)C[C@@H]21 ZINC000329508945 410334796 /nfs/dbraw/zinc/33/47/96/410334796.db2.gz XDCDEULHLKBGBA-HOTGVXAUSA-N 1 2 311.385 1.397 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)c3ccc(C4(C#N)CC4)cc3)C[C@@H]21 ZINC000329508945 410334802 /nfs/dbraw/zinc/33/48/02/410334802.db2.gz XDCDEULHLKBGBA-HOTGVXAUSA-N 1 2 311.385 1.397 20 30 DDEDLO COC(=O)c1ccc(Cl)c(C[NH+]2CCN(CC#N)CC2)c1 ZINC000358027945 410340880 /nfs/dbraw/zinc/34/08/80/410340880.db2.gz DLJDRFXKYFNFMD-UHFFFAOYSA-N 1 2 307.781 1.768 20 30 DDEDLO CC(C)(CNc1nc2c(cc1C#N)CCC2)[NH+]1CCOCC1 ZINC000298699240 410353473 /nfs/dbraw/zinc/35/34/73/410353473.db2.gz DUUXHYROPIXWPS-UHFFFAOYSA-N 1 2 300.406 1.965 20 30 DDEDLO C[C@@H](NC(=O)C[N@@H+]1CCOCC2(CC2)C1)C(=O)N1CCCCC1 ZINC000329452889 410306093 /nfs/dbraw/zinc/30/60/93/410306093.db2.gz MHRVXNFMBXHERE-CQSZACIVSA-N 1 2 323.437 1.456 20 30 DDEDLO C[C@@H](NC(=O)C[N@H+]1CCOCC2(CC2)C1)C(=O)N1CCCCC1 ZINC000329452889 410306100 /nfs/dbraw/zinc/30/61/00/410306100.db2.gz MHRVXNFMBXHERE-CQSZACIVSA-N 1 2 323.437 1.456 20 30 DDEDLO CCC(C)(C)NC(=O)C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355098936 410315794 /nfs/dbraw/zinc/31/57/94/410315794.db2.gz FNRHKRFRWDXUMX-UHFFFAOYSA-N 1 2 315.421 1.380 20 30 DDEDLO Cc1cn2cc(NC(=O)N3C[C@@H]4CC[C@@H](O)[C@@H]4C3)ccc2[nH+]1 ZINC000329564477 410362442 /nfs/dbraw/zinc/36/24/42/410362442.db2.gz NXIRGEZYZIRVRZ-IACUBPJLSA-N 1 2 300.362 1.891 20 30 DDEDLO O=C(N[C@@H]1CCc2c[nH]nc2C1)N1CC[N@@H+]2CCCC[C@H]2C1 ZINC000329859291 410464971 /nfs/dbraw/zinc/46/49/71/410464971.db2.gz OQLQISXYOTVKHB-KGLIPLIRSA-N 1 2 303.410 1.351 20 30 DDEDLO O=C(N[C@@H]1CCc2c[nH]nc2C1)N1CC[N@H+]2CCCC[C@H]2C1 ZINC000329859291 410464979 /nfs/dbraw/zinc/46/49/79/410464979.db2.gz OQLQISXYOTVKHB-KGLIPLIRSA-N 1 2 303.410 1.351 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@@]2(CCOC2)O1 ZINC000330067242 410533874 /nfs/dbraw/zinc/53/38/74/410533874.db2.gz FKYHROBETRDWBJ-DAXOMENPSA-N 1 2 307.394 1.515 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@@]2(CCOC2)O1 ZINC000330067242 410533882 /nfs/dbraw/zinc/53/38/82/410533882.db2.gz FKYHROBETRDWBJ-DAXOMENPSA-N 1 2 307.394 1.515 20 30 DDEDLO C=CC[N@@H+](Cc1ccc2c(c1)nnn2C)[C@@H]1CCS(=O)(=O)C1 ZINC000352551364 410665541 /nfs/dbraw/zinc/66/55/41/410665541.db2.gz XBIULPZYEGOUCE-CYBMUJFWSA-N 1 2 320.418 1.143 20 30 DDEDLO C=CC[N@H+](Cc1ccc2c(c1)nnn2C)[C@@H]1CCS(=O)(=O)C1 ZINC000352551364 410665545 /nfs/dbraw/zinc/66/55/45/410665545.db2.gz XBIULPZYEGOUCE-CYBMUJFWSA-N 1 2 320.418 1.143 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2OC)[C@H](C)C1 ZINC000352625885 410670534 /nfs/dbraw/zinc/67/05/34/410670534.db2.gz KJCGJRYWEDFBGQ-GFCCVEGCSA-N 1 2 323.418 1.282 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2OC)[C@H](C)C1 ZINC000352625885 410670536 /nfs/dbraw/zinc/67/05/36/410670536.db2.gz KJCGJRYWEDFBGQ-GFCCVEGCSA-N 1 2 323.418 1.282 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCO[C@]2(CCCOC2)C1 ZINC000352370632 410651378 /nfs/dbraw/zinc/65/13/78/410651378.db2.gz BDQPWVSHERNTJE-DOTOQJQBSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCO[C@]2(CCCOC2)C1 ZINC000352370632 410651382 /nfs/dbraw/zinc/65/13/82/410651382.db2.gz BDQPWVSHERNTJE-DOTOQJQBSA-N 1 2 310.438 1.681 20 30 DDEDLO CC[C@@H](CC#N)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000355805870 410685007 /nfs/dbraw/zinc/68/50/07/410685007.db2.gz LFLWRIZDSOWWQD-JSGCOSHPSA-N 1 2 319.409 1.292 20 30 DDEDLO CC[C@@H](CC#N)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000355805870 410685015 /nfs/dbraw/zinc/68/50/15/410685015.db2.gz LFLWRIZDSOWWQD-JSGCOSHPSA-N 1 2 319.409 1.292 20 30 DDEDLO C[C@H]1OCC[C@@H]1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000330633613 410840486 /nfs/dbraw/zinc/84/04/86/410840486.db2.gz KFMXPPSEMUSEOA-PBHICJAKSA-N 1 2 313.401 1.627 20 30 DDEDLO N#Cc1cccc(NCC(=O)N2CC[NH2+][C@@H](c3ccncc3)C2)c1 ZINC000337596419 410886012 /nfs/dbraw/zinc/88/60/12/410886012.db2.gz PDMYMXOPCDWTOH-QGZVFWFLSA-N 1 2 321.384 1.538 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@H+](CC)C[C@H](C)C#N ZINC000353285068 410900019 /nfs/dbraw/zinc/90/00/19/410900019.db2.gz YFEXJAIUIULXGC-KGLIPLIRSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@@H+](CC)C[C@H](C)C#N ZINC000353285068 410900025 /nfs/dbraw/zinc/90/00/25/410900025.db2.gz YFEXJAIUIULXGC-KGLIPLIRSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@H+](C)C[C@H](C)C#N)CC1 ZINC000353463576 411002300 /nfs/dbraw/zinc/00/23/00/411002300.db2.gz MPFFQXJJOYVYTC-OLZOCXBDSA-N 1 2 309.410 1.268 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@@H+](C)C[C@H](C)C#N)CC1 ZINC000353463576 411002306 /nfs/dbraw/zinc/00/23/06/411002306.db2.gz MPFFQXJJOYVYTC-OLZOCXBDSA-N 1 2 309.410 1.268 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CCCSCC#N)[C@@H](C(=O)OC)C1 ZINC000356460613 411044268 /nfs/dbraw/zinc/04/42/68/411044268.db2.gz SFFDQKCMVLFYPW-VXGBXAGGSA-N 1 2 314.407 1.060 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CCCSCC#N)[C@@H](C(=O)OC)C1 ZINC000356460613 411044274 /nfs/dbraw/zinc/04/42/74/411044274.db2.gz SFFDQKCMVLFYPW-VXGBXAGGSA-N 1 2 314.407 1.060 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+]1C[C@@H]2C[C@]2(O)C1 ZINC000640480970 422982029 /nfs/dbraw/zinc/98/20/29/422982029.db2.gz YFBQHRBOBRAWGE-LRDDRELGSA-N 1 2 304.415 1.970 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+]1C[C@@H]2C[C@]2(O)C1 ZINC000640480970 422982032 /nfs/dbraw/zinc/98/20/32/422982032.db2.gz YFBQHRBOBRAWGE-LRDDRELGSA-N 1 2 304.415 1.970 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCc2cnc(N)nc2C1 ZINC000623294486 416798178 /nfs/dbraw/zinc/79/81/78/416798178.db2.gz DEJSRIZLDNPBND-GFCCVEGCSA-N 1 2 301.394 1.006 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCc2cnc(N)nc2C1 ZINC000623294486 416798185 /nfs/dbraw/zinc/79/81/85/416798185.db2.gz DEJSRIZLDNPBND-GFCCVEGCSA-N 1 2 301.394 1.006 20 30 DDEDLO CC[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cc2Cl)CC1 ZINC000169257637 221744548 /nfs/dbraw/zinc/74/45/48/221744548.db2.gz FAOHOHBHFWCBPH-UHFFFAOYSA-N 1 2 313.810 1.538 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[NH2+][C@H](C)c1nnc2ccccn21 ZINC000172612678 221769151 /nfs/dbraw/zinc/76/91/51/221769151.db2.gz DPYZEHQBIIQTJG-WBMJQRKESA-N 1 2 314.393 1.434 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1ccccc1C#N)CC2 ZINC000373309065 418420261 /nfs/dbraw/zinc/42/02/61/418420261.db2.gz CVTFSDBBIPGDFH-UHFFFAOYSA-N 1 2 302.359 1.268 20 30 DDEDLO CC1(C#N)CCN(C(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)CC1 ZINC000366575052 418492311 /nfs/dbraw/zinc/49/23/11/418492311.db2.gz VJZNLNJTIYVPMP-AWEZNQCLSA-N 1 2 306.410 1.185 20 30 DDEDLO CC1(C#N)CCN(C(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)CC1 ZINC000366575052 418492314 /nfs/dbraw/zinc/49/23/14/418492314.db2.gz VJZNLNJTIYVPMP-AWEZNQCLSA-N 1 2 306.410 1.185 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)NCC1([NH+]2CCOCC2)CCCC1 ZINC000366661545 418502298 /nfs/dbraw/zinc/50/22/98/418502298.db2.gz CWDLCLQJJSMSFI-UHFFFAOYSA-N 1 2 317.389 1.835 20 30 DDEDLO C#CCN(Cc1ccc(F)cc1)C(=O)c1n[nH]c2c1C[NH2+]CC2 ZINC000188871651 222030411 /nfs/dbraw/zinc/03/04/11/222030411.db2.gz ZVEDQPLFDIKKIP-UHFFFAOYSA-N 1 2 312.348 1.470 20 30 DDEDLO C[C@@H](NC(=O)c1cccc(F)c1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000189187326 222038659 /nfs/dbraw/zinc/03/86/59/222038659.db2.gz BMWPLCUXAHHGEL-NEPJUHHUSA-N 1 2 305.353 1.536 20 30 DDEDLO C=CCOC[C@@H]([NH2+][C@H]1CCc2c(cccc2OC)C1)C(=O)OC ZINC000361112880 418586323 /nfs/dbraw/zinc/58/63/23/418586323.db2.gz JZALXAHRFXUUBP-GOEBONIOSA-N 1 2 319.401 1.886 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@H](O)C[N@H+](C)[C@H](C)CC#N)c1 ZINC000247686944 222231300 /nfs/dbraw/zinc/23/13/00/222231300.db2.gz SFPBDBGWEWJRCL-UKRRQHHQSA-N 1 2 320.389 1.837 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@H](O)C[N@@H+](C)[C@H](C)CC#N)c1 ZINC000247686944 222231305 /nfs/dbraw/zinc/23/13/05/222231305.db2.gz SFPBDBGWEWJRCL-UKRRQHHQSA-N 1 2 320.389 1.837 20 30 DDEDLO CCc1cc(N2CCN(CC#N)CC2)nc(-c2ccncc2)[nH+]1 ZINC000264541988 222333414 /nfs/dbraw/zinc/33/34/14/222333414.db2.gz CMWZYLKEEHVGIJ-UHFFFAOYSA-N 1 2 308.389 1.747 20 30 DDEDLO CC#CCCNC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000361231271 418609597 /nfs/dbraw/zinc/60/95/97/418609597.db2.gz HUBZVYCVPJHCRD-QGZVFWFLSA-N 1 2 315.417 1.773 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccccc1SCC#N)[C@@H]1CCOC1 ZINC000266756039 222363273 /nfs/dbraw/zinc/36/32/73/222363273.db2.gz ROMMZRPEDRSIIM-GFCCVEGCSA-N 1 2 305.403 1.961 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccccc1SCC#N)[C@@H]1CCOC1 ZINC000266756039 222363276 /nfs/dbraw/zinc/36/32/76/222363276.db2.gz ROMMZRPEDRSIIM-GFCCVEGCSA-N 1 2 305.403 1.961 20 30 DDEDLO COC(=O)c1ccoc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000266861657 222364132 /nfs/dbraw/zinc/36/41/32/222364132.db2.gz KGABPDAHIYZWAU-UHFFFAOYSA-N 1 2 321.377 1.114 20 30 DDEDLO COCCCCCO[NH+]=C(N)COc1cccc(C(=O)OC)c1 ZINC000266998811 222366556 /nfs/dbraw/zinc/36/65/56/222366556.db2.gz FZGNNLJYLMVUAQ-UHFFFAOYSA-N 1 2 324.377 1.958 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@H]1C ZINC000291405366 222369351 /nfs/dbraw/zinc/36/93/51/222369351.db2.gz JCUDRTAINBAHDK-IAQYHMDHSA-N 1 2 303.362 1.395 20 30 DDEDLO C=CC[N@H+](CCC(=O)Nc1cccnc1)[C@H]1CCS(=O)(=O)C1 ZINC000361868484 418720182 /nfs/dbraw/zinc/72/01/82/418720182.db2.gz NHKUTOSKQAEMKX-AWEZNQCLSA-N 1 2 323.418 1.085 20 30 DDEDLO C=CC[N@@H+](CCC(=O)Nc1cccnc1)[C@H]1CCS(=O)(=O)C1 ZINC000361868484 418720183 /nfs/dbraw/zinc/72/01/83/418720183.db2.gz NHKUTOSKQAEMKX-AWEZNQCLSA-N 1 2 323.418 1.085 20 30 DDEDLO C#Cc1ccc(C[NH+]2CCN([C@H]3CCCCNC3=O)CC2)cc1 ZINC000368009346 418673081 /nfs/dbraw/zinc/67/30/81/418673081.db2.gz MXNNIKPDACUJLF-SFHVURJKSA-N 1 2 311.429 1.454 20 30 DDEDLO N#CCc1cccc(C(=O)N2CC[NH2+][C@H](c3ccncc3)C2)c1 ZINC000368526174 418721111 /nfs/dbraw/zinc/72/11/11/418721111.db2.gz HBXUYNSLSIDOKK-KRWDZBQOSA-N 1 2 306.369 1.934 20 30 DDEDLO CCOCC[C@@H](O)C[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000369318707 418731673 /nfs/dbraw/zinc/73/16/73/418731673.db2.gz GOUFERNSDXORCK-IAGOWNOFSA-N 1 2 304.390 1.719 20 30 DDEDLO CCOCC[C@@H](O)C[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000369318707 418731675 /nfs/dbraw/zinc/73/16/75/418731675.db2.gz GOUFERNSDXORCK-IAGOWNOFSA-N 1 2 304.390 1.719 20 30 DDEDLO CCn1ccnc1C[NH2+]CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000385215392 418736235 /nfs/dbraw/zinc/73/62/35/418736235.db2.gz QECCRYSUKVXESR-UHFFFAOYSA-N 1 2 318.402 1.338 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N1CCC(OCc2ccccc2)CC1 ZINC000369815837 418738278 /nfs/dbraw/zinc/73/82/78/418738278.db2.gz HVSGLQCUJSVPBL-UHFFFAOYSA-N 1 2 324.424 1.763 20 30 DDEDLO C#CC[C@H](C)NC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000369896545 418739310 /nfs/dbraw/zinc/73/93/10/418739310.db2.gz RWFOWLDBIHKHAQ-DOTOQJQBSA-N 1 2 315.417 1.598 20 30 DDEDLO C#CC[C@H](C)NC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000369896545 418739314 /nfs/dbraw/zinc/73/93/14/418739314.db2.gz RWFOWLDBIHKHAQ-DOTOQJQBSA-N 1 2 315.417 1.598 20 30 DDEDLO N#C[C@@H]1CN(C(=O)c2ccnc(-n3cc[nH+]c3)c2)CCN1C1CC1 ZINC000371000478 418756017 /nfs/dbraw/zinc/75/60/17/418756017.db2.gz PYGZAWFDBWPYQA-OAHLLOKOSA-N 1 2 322.372 1.080 20 30 DDEDLO CC[C@H]1C[N@H+](CCNc2cc(C#N)ccc2[N+](=O)[O-])CCO1 ZINC000362666041 418757328 /nfs/dbraw/zinc/75/73/28/418757328.db2.gz DUTXWWOSMOCOHW-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNc2cc(C#N)ccc2[N+](=O)[O-])CCO1 ZINC000362666041 418757330 /nfs/dbraw/zinc/75/73/30/418757330.db2.gz DUTXWWOSMOCOHW-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000362658085 418757814 /nfs/dbraw/zinc/75/78/14/418757814.db2.gz KZCPTLXKUADZNZ-GOEBONIOSA-N 1 2 303.406 1.761 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000362658085 418757816 /nfs/dbraw/zinc/75/78/16/418757816.db2.gz KZCPTLXKUADZNZ-GOEBONIOSA-N 1 2 303.406 1.761 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)[nH]n1 ZINC000364016007 418772027 /nfs/dbraw/zinc/77/20/27/418772027.db2.gz WPGKMNSGNXOHLG-DYVFJYSZSA-N 1 2 315.421 1.706 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)[nH]n1 ZINC000364016007 418772030 /nfs/dbraw/zinc/77/20/30/418772030.db2.gz WPGKMNSGNXOHLG-DYVFJYSZSA-N 1 2 315.421 1.706 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)n[nH]1 ZINC000364016007 418772031 /nfs/dbraw/zinc/77/20/31/418772031.db2.gz WPGKMNSGNXOHLG-DYVFJYSZSA-N 1 2 315.421 1.706 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)n[nH]1 ZINC000364016007 418772033 /nfs/dbraw/zinc/77/20/33/418772033.db2.gz WPGKMNSGNXOHLG-DYVFJYSZSA-N 1 2 315.421 1.706 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH+]1CC(Cc2ccccc2F)C1 ZINC000371345656 418781287 /nfs/dbraw/zinc/78/12/87/418781287.db2.gz RWZOXAQTVLKWQT-GFCCVEGCSA-N 1 2 319.380 1.700 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(c3ccc(C)c(C#N)n3)C2)no1 ZINC000368951795 418727084 /nfs/dbraw/zinc/72/70/84/418727084.db2.gz RZPDTDQJGZUVRO-ZDUSSCGKSA-N 1 2 312.377 1.664 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(c3ccc(C)c(C#N)n3)C2)no1 ZINC000368951795 418727086 /nfs/dbraw/zinc/72/70/86/418727086.db2.gz RZPDTDQJGZUVRO-ZDUSSCGKSA-N 1 2 312.377 1.664 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2cnc(C)c(C#N)c2)C[C@@H]1C ZINC000408362366 418799254 /nfs/dbraw/zinc/79/92/54/418799254.db2.gz NVLYSQFSLGSANE-NWDGAFQWSA-N 1 2 322.434 1.365 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2cnc(C)c(C#N)c2)C[C@@H]1C ZINC000408362366 418799256 /nfs/dbraw/zinc/79/92/56/418799256.db2.gz NVLYSQFSLGSANE-NWDGAFQWSA-N 1 2 322.434 1.365 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000410688910 418839288 /nfs/dbraw/zinc/83/92/88/418839288.db2.gz ONIKDGBAFRNMNX-OAHLLOKOSA-N 1 2 317.389 1.486 20 30 DDEDLO CCN(CCC#N)C(=O)CN(C)Cc1cn2c([nH+]1)CCCC2 ZINC000372995202 418919576 /nfs/dbraw/zinc/91/95/76/418919576.db2.gz AQDKULMCICTMCG-UHFFFAOYSA-N 1 2 303.410 1.413 20 30 DDEDLO N#CCCC[C@@H]([NH3+])C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000424191514 228243876 /nfs/dbraw/zinc/24/38/76/228243876.db2.gz NSIUNWHZGIUMGL-CHWSQXEVSA-N 1 2 316.409 1.409 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC000412033088 419576852 /nfs/dbraw/zinc/57/68/52/419576852.db2.gz SAFHFUDHRWBVDW-GXTWGEPZSA-N 1 2 305.426 1.716 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC000412033088 419576857 /nfs/dbraw/zinc/57/68/57/419576857.db2.gz SAFHFUDHRWBVDW-GXTWGEPZSA-N 1 2 305.426 1.716 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CC[C@H](c2n[nH]c(C)n2)C1 ZINC000412036644 419583187 /nfs/dbraw/zinc/58/31/87/419583187.db2.gz VTMPLNIRXMEWHU-OCCSQVGLSA-N 1 2 303.410 1.492 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC[C@H](c2n[nH]c(C)n2)C1 ZINC000412036644 419583200 /nfs/dbraw/zinc/58/32/00/419583200.db2.gz VTMPLNIRXMEWHU-OCCSQVGLSA-N 1 2 303.410 1.492 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CC[C@@H](O)[C@H](CO)C2)c1C ZINC000412115488 419676734 /nfs/dbraw/zinc/67/67/34/419676734.db2.gz YNWFBBCUNOHQAD-GOEBONIOSA-N 1 2 306.406 1.149 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CC[C@@H](O)[C@H](CO)C2)c1C ZINC000412115488 419676742 /nfs/dbraw/zinc/67/67/42/419676742.db2.gz YNWFBBCUNOHQAD-GOEBONIOSA-N 1 2 306.406 1.149 20 30 DDEDLO N#Cc1cscc1C(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000432047700 229075206 /nfs/dbraw/zinc/07/52/06/229075206.db2.gz OKINNAOVKYQNRR-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[C@@H](C)[S@](=O)CC1 ZINC000429592566 420028800 /nfs/dbraw/zinc/02/88/00/420028800.db2.gz QMCARIRIPHMTAV-MCMMXHMISA-N 1 2 322.430 1.789 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[C@@H](C)[S@](=O)CC1 ZINC000429592566 420028804 /nfs/dbraw/zinc/02/88/04/420028804.db2.gz QMCARIRIPHMTAV-MCMMXHMISA-N 1 2 322.430 1.789 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)CN(C)CC[N@@H+]1CCOC(C)(C)C1 ZINC000434710140 420261081 /nfs/dbraw/zinc/26/10/81/420261081.db2.gz WTDGRHWYNGPGRZ-KRWDZBQOSA-N 1 2 324.469 1.083 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)CN(C)CC[N@H+]1CCOC(C)(C)C1 ZINC000434710140 420261087 /nfs/dbraw/zinc/26/10/87/420261087.db2.gz WTDGRHWYNGPGRZ-KRWDZBQOSA-N 1 2 324.469 1.083 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)NC(C)(C)c1noc(C[NH+](C)C)n1 ZINC000435682293 420275358 /nfs/dbraw/zinc/27/53/58/420275358.db2.gz LRXHPBFHNBMLRU-UHFFFAOYSA-N 1 2 317.349 1.569 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CCC[C@@H]1c1[nH+]c2c(n1C)CCCC2 ZINC000416468035 420382804 /nfs/dbraw/zinc/38/28/04/420382804.db2.gz DNRYWDMNXPPOJE-SMDDNHRTSA-N 1 2 322.434 1.678 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000437635526 420415800 /nfs/dbraw/zinc/41/58/00/420415800.db2.gz PEVAGRXSSWVLSS-GOEBONIOSA-N 1 2 318.421 1.928 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000437980035 420433035 /nfs/dbraw/zinc/43/30/35/420433035.db2.gz ZVNUWGOYTZUPBY-SJORKVTESA-N 1 2 316.401 1.301 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000437980035 420433039 /nfs/dbraw/zinc/43/30/39/420433039.db2.gz ZVNUWGOYTZUPBY-SJORKVTESA-N 1 2 316.401 1.301 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(C(=O)OC(C)(C)C)C[C@H]2C)C1=O ZINC000492818023 420674592 /nfs/dbraw/zinc/67/45/92/420674592.db2.gz GREGVYZIJDSJPF-KGLIPLIRSA-N 1 2 323.437 1.715 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(C(=O)OC(C)(C)C)C[C@H]2C)C1=O ZINC000492818023 420674596 /nfs/dbraw/zinc/67/45/96/420674596.db2.gz GREGVYZIJDSJPF-KGLIPLIRSA-N 1 2 323.437 1.715 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CC(=O)Nc2c[nH+]ccc21 ZINC000443324318 420752673 /nfs/dbraw/zinc/75/26/73/420752673.db2.gz XPJDRDIWGYTGMK-UHFFFAOYSA-N 1 2 314.326 1.101 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)C(=O)N2CC[NH+](C3CC3)CC2)c1 ZINC000453636409 420738618 /nfs/dbraw/zinc/73/86/18/420738618.db2.gz KLONIRSGXHRMEW-UHFFFAOYSA-N 1 2 312.373 1.112 20 30 DDEDLO C=CC(C)(C)CNC(=O)N(CC1CC1)C[C@@H]1C[N@H+](C)CCO1 ZINC000458985674 420775624 /nfs/dbraw/zinc/77/56/24/420775624.db2.gz IOQIBQOOOLAKIC-HNNXBMFYSA-N 1 2 309.454 1.951 20 30 DDEDLO C=CC(C)(C)CNC(=O)N(CC1CC1)C[C@@H]1C[N@@H+](C)CCO1 ZINC000458985674 420775627 /nfs/dbraw/zinc/77/56/27/420775627.db2.gz IOQIBQOOOLAKIC-HNNXBMFYSA-N 1 2 309.454 1.951 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CCOC)Cc1cncs1 ZINC000447835976 420806079 /nfs/dbraw/zinc/80/60/79/420806079.db2.gz VSIHFMLHCIWTRG-UHFFFAOYSA-N 1 2 310.423 1.354 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CCOC)Cc1cncs1 ZINC000447835976 420806082 /nfs/dbraw/zinc/80/60/82/420806082.db2.gz VSIHFMLHCIWTRG-UHFFFAOYSA-N 1 2 310.423 1.354 20 30 DDEDLO C=C(C)CCNC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000454295886 420833204 /nfs/dbraw/zinc/83/32/04/420833204.db2.gz ACDSKDPFVARFFN-UHFFFAOYSA-N 1 2 304.394 1.684 20 30 DDEDLO C=CCOc1ccc(CC(=O)NCC[N@H+]2CCOC[C@H]2C)cc1 ZINC000448917393 420915319 /nfs/dbraw/zinc/91/53/19/420915319.db2.gz ZYNNZJBPMREDAA-OAHLLOKOSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CCOc1ccc(CC(=O)NCC[N@@H+]2CCOC[C@H]2C)cc1 ZINC000448917393 420915324 /nfs/dbraw/zinc/91/53/24/420915324.db2.gz ZYNNZJBPMREDAA-OAHLLOKOSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+]1C[C@H](O)[C@@H](CO)C1 ZINC000459695732 420848830 /nfs/dbraw/zinc/84/88/30/420848830.db2.gz LSYITNXUNJKMLF-OCCSQVGLSA-N 1 2 322.430 1.188 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+]1C[C@H](O)[C@@H](CO)C1 ZINC000459695732 420848833 /nfs/dbraw/zinc/84/88/33/420848833.db2.gz LSYITNXUNJKMLF-OCCSQVGLSA-N 1 2 322.430 1.188 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)[C@@H](C)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000450563436 421210324 /nfs/dbraw/zinc/21/03/24/421210324.db2.gz UGMZNXLSOLUULW-UAGQMJEPSA-N 1 2 323.458 1.826 20 30 DDEDLO C=CC[N@H+](C[C@H](O)[C@@H](C)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000450563436 421210327 /nfs/dbraw/zinc/21/03/27/421210327.db2.gz UGMZNXLSOLUULW-UAGQMJEPSA-N 1 2 323.458 1.826 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000562323043 421352089 /nfs/dbraw/zinc/35/20/89/421352089.db2.gz KZIZQESJCUNDIR-LRDDRELGSA-N 1 2 300.362 1.286 20 30 DDEDLO Cc1[nH+]c2cc(NC(=O)C(=O)NCC3(C#N)CC3)ccc2n1C ZINC000562387826 421360251 /nfs/dbraw/zinc/36/02/51/421360251.db2.gz KXTPKWXWFHMKDV-UHFFFAOYSA-N 1 2 311.345 1.240 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@@H+]1CCOCC12CC2 ZINC000528492601 421494170 /nfs/dbraw/zinc/49/41/70/421494170.db2.gz NVGGRTRARQHGEO-UHFFFAOYSA-N 1 2 310.353 1.151 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@H+]1CCOCC12CC2 ZINC000528492601 421494172 /nfs/dbraw/zinc/49/41/72/421494172.db2.gz NVGGRTRARQHGEO-UHFFFAOYSA-N 1 2 310.353 1.151 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000549572083 421502474 /nfs/dbraw/zinc/50/24/74/421502474.db2.gz OHACOWSYSOKPRR-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO N#Cc1ccc(NCc2ccc(N3CCCCC3)[nH+]c2)nc1N ZINC000565315180 421597415 /nfs/dbraw/zinc/59/74/15/421597415.db2.gz SQURLJPZEHDEDW-UHFFFAOYSA-N 1 2 308.389 2.533 20 30 DDEDLO CCOC(=O)c1cnn(CC[NH+]2C[C@H](C)O[C@@H](C)C2)c1C#N ZINC000517042199 421592128 /nfs/dbraw/zinc/59/21/28/421592128.db2.gz NKUHPXFRYQICST-RYUDHWBXSA-N 1 2 306.366 1.041 20 30 DDEDLO N#CCCC1CCN(C(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)CC1 ZINC000564895037 421594668 /nfs/dbraw/zinc/59/46/68/421594668.db2.gz CEOOPUBESSYADU-CYBMUJFWSA-N 1 2 301.394 1.992 20 30 DDEDLO N#CCCC1CCN(C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)CC1 ZINC000564895037 421594671 /nfs/dbraw/zinc/59/46/71/421594671.db2.gz CEOOPUBESSYADU-CYBMUJFWSA-N 1 2 301.394 1.992 20 30 DDEDLO CCC(C#N)(CC)C(=O)NC[C@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000529345970 421530579 /nfs/dbraw/zinc/53/05/79/421530579.db2.gz BMIUURGBEIXUAO-CYBMUJFWSA-N 1 2 319.409 1.728 20 30 DDEDLO CCC(C#N)(CC)C(=O)NC[C@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000529345970 421530581 /nfs/dbraw/zinc/53/05/81/421530581.db2.gz BMIUURGBEIXUAO-CYBMUJFWSA-N 1 2 319.409 1.728 20 30 DDEDLO CC(C)(C#N)N1CC[NH+](Cc2ccc3c(c2)OCCO3)CC1 ZINC000552874959 421595786 /nfs/dbraw/zinc/59/57/86/421595786.db2.gz MHAIKFYAWIQYBD-UHFFFAOYSA-N 1 2 301.390 1.878 20 30 DDEDLO COc1cccc2c1[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)CC2 ZINC000566307899 421604705 /nfs/dbraw/zinc/60/47/05/421604705.db2.gz BUOSAAPETNMZOR-DYVFJYSZSA-N 1 2 301.390 1.685 20 30 DDEDLO Cc1nc(CC2CC2)sc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000569345785 421626052 /nfs/dbraw/zinc/62/60/52/421626052.db2.gz ZMUJANHJQWFMBO-HNNXBMFYSA-N 1 2 306.435 1.978 20 30 DDEDLO N#Cc1ccc(N2CC[NH+]([C@H]3CCCNC3=O)CC2)c(F)c1 ZINC000532521126 421659686 /nfs/dbraw/zinc/65/96/86/421659686.db2.gz IQRKVAWWWGPWQY-HNNXBMFYSA-N 1 2 302.353 1.098 20 30 DDEDLO Cc1oncc1C[N@@H+]1C[C@@H](F)C[C@H]1CNc1ccc(C#N)cn1 ZINC000571720129 421737443 /nfs/dbraw/zinc/73/74/43/421737443.db2.gz JKMZBVRWDULATD-GJZGRUSLSA-N 1 2 315.352 2.274 20 30 DDEDLO Cc1oncc1C[N@H+]1C[C@@H](F)C[C@H]1CNc1ccc(C#N)cn1 ZINC000571720129 421737444 /nfs/dbraw/zinc/73/74/44/421737444.db2.gz JKMZBVRWDULATD-GJZGRUSLSA-N 1 2 315.352 2.274 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)Cc2cccc(C#N)c2)CCO1 ZINC000572573630 421800541 /nfs/dbraw/zinc/80/05/41/421800541.db2.gz QKIMASGIIZWNSW-INIZCTEOSA-N 1 2 301.390 1.328 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)Cc2cccc(C#N)c2)CCO1 ZINC000572573630 421800544 /nfs/dbraw/zinc/80/05/44/421800544.db2.gz QKIMASGIIZWNSW-INIZCTEOSA-N 1 2 301.390 1.328 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC1CC([NH+]2CCOCC2)C1 ZINC000627938352 421960065 /nfs/dbraw/zinc/96/00/65/421960065.db2.gz MMVSIQIOPFRCNG-UHFFFAOYSA-N 1 2 317.389 1.239 20 30 DDEDLO C=CC[N@H+](Cc1cccc2n[nH]cc21)[C@H]1CCS(=O)(=O)C1 ZINC000628151862 422059583 /nfs/dbraw/zinc/05/95/83/422059583.db2.gz DIZVTLZINJEQDL-ZDUSSCGKSA-N 1 2 305.403 1.738 20 30 DDEDLO C=CC[N@@H+](Cc1cccc2n[nH]cc21)[C@H]1CCS(=O)(=O)C1 ZINC000628151862 422059588 /nfs/dbraw/zinc/05/95/88/422059588.db2.gz DIZVTLZINJEQDL-ZDUSSCGKSA-N 1 2 305.403 1.738 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000633897901 422141745 /nfs/dbraw/zinc/14/17/45/422141745.db2.gz PDFFNWJMGGTLQT-QGZVFWFLSA-N 1 2 304.390 1.364 20 30 DDEDLO CN(CC[N@H+](C)C1CC[NH+](C)CC1)Cc1ccc(C#N)cn1 ZINC000584060822 422251696 /nfs/dbraw/zinc/25/16/96/422251696.db2.gz FAIKZCUBAUMJKU-UHFFFAOYSA-N 1 2 301.438 1.411 20 30 DDEDLO C=CCCC(C)(C)CNC(=O)C(=O)N(C)Cc1[nH+]ccn1C ZINC000632365608 422277811 /nfs/dbraw/zinc/27/78/11/422277811.db2.gz CDVAOPNKULDOFQ-UHFFFAOYSA-N 1 2 306.410 1.487 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)[C@H](CO)COC(C)C)c1C#N ZINC000575116064 422260069 /nfs/dbraw/zinc/26/00/69/422260069.db2.gz JIGHSORCCFHQLX-CQSZACIVSA-N 1 2 320.389 1.563 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)[C@H](CO)COC(C)C)c1C#N ZINC000575116064 422260074 /nfs/dbraw/zinc/26/00/74/422260074.db2.gz JIGHSORCCFHQLX-CQSZACIVSA-N 1 2 320.389 1.563 20 30 DDEDLO N#Cc1nc(NCC[NH+]2CCOCC2)ccc1C(F)(F)F ZINC000619693804 422531332 /nfs/dbraw/zinc/53/13/32/422531332.db2.gz AJDMNJXWQSZNCI-UHFFFAOYSA-N 1 2 300.284 1.716 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCCOc2ccc(F)cc2)nn1 ZINC000640847144 423227631 /nfs/dbraw/zinc/22/76/31/423227631.db2.gz HULGDKLABYIOPV-UHFFFAOYSA-N 1 2 302.353 1.999 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc(-c3cc(C)ccn3)no2)nn1 ZINC000641110857 423393201 /nfs/dbraw/zinc/39/32/01/423393201.db2.gz OAPNGVAFNZHWNL-UHFFFAOYSA-N 1 2 323.360 1.193 20 30 DDEDLO C=C[C@@H](O)C(=O)NCc1ccc(N2CCc3ccccc3C2)[nH+]c1 ZINC000646687517 423575695 /nfs/dbraw/zinc/57/56/95/423575695.db2.gz NQASXPCCGNUWLO-QGZVFWFLSA-N 1 2 323.396 1.807 20 30 DDEDLO C=CCOCCCNC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000661793484 424179460 /nfs/dbraw/zinc/17/94/60/424179460.db2.gz ZMQLRTWDUNSHHX-GJZGRUSLSA-N 1 2 311.426 1.084 20 30 DDEDLO C=CCCNC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000664086850 424383930 /nfs/dbraw/zinc/38/39/30/424383930.db2.gz ZVCVESVRQVJRTO-QGZVFWFLSA-N 1 2 317.433 1.805 20 30 DDEDLO C=CC[N@@H+](C[C@H](OC)C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000660070384 424500862 /nfs/dbraw/zinc/50/08/62/424500862.db2.gz PUUZGYOGVJRYSZ-GJZGRUSLSA-N 1 2 301.452 1.867 20 30 DDEDLO C=CC[N@H+](C[C@H](OC)C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000660070384 424500866 /nfs/dbraw/zinc/50/08/66/424500866.db2.gz PUUZGYOGVJRYSZ-GJZGRUSLSA-N 1 2 301.452 1.867 20 30 DDEDLO C=CCN(C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)[C@@H](C)COC ZINC000664518645 424622425 /nfs/dbraw/zinc/62/24/25/424622425.db2.gz DITVFZKOCSGLKF-GJZGRUSLSA-N 1 2 318.421 1.893 20 30 DDEDLO C=CCCOCCNC(=O)N[C@@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000664532274 424627983 /nfs/dbraw/zinc/62/79/83/424627983.db2.gz QXOKQXRCHKDUDT-ZDUSSCGKSA-N 1 2 324.425 1.372 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1ccc(C)[nH+]c1C)[C@@H](C)COC ZINC000658373355 424650384 /nfs/dbraw/zinc/65/03/84/424650384.db2.gz BLFBHMLLKKMTNQ-LBPRGKRZSA-N 1 2 305.378 1.686 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C ZINC000660638581 424737615 /nfs/dbraw/zinc/73/76/15/424737615.db2.gz NCLRGWCXTMSDBP-PMPSAXMXSA-N 1 2 308.470 1.666 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NC(=O)NC[C@H]1CN(C)CC[N@H+]1C ZINC000660638581 424737617 /nfs/dbraw/zinc/73/76/17/424737617.db2.gz NCLRGWCXTMSDBP-PMPSAXMXSA-N 1 2 308.470 1.666 20 30 DDEDLO C[C@@H]1[C@@H]([NH+]2CCOCC2)CCN1Cc1cccc(C#N)c1F ZINC000352008347 267105441 /nfs/dbraw/zinc/10/54/41/267105441.db2.gz OZHZCDSXLFFXHN-CJNGLKHVSA-N 1 2 303.381 1.992 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3cnccn3)CC2)c([N+](=O)[O-])c1 ZINC000521050562 268220960 /nfs/dbraw/zinc/22/09/60/268220960.db2.gz TZBFRPZHTAJCEL-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO COc1ccncc1C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000347246860 272642761 /nfs/dbraw/zinc/64/27/61/272642761.db2.gz KDFGWZVXONYKGC-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C#CCN1C(=O)C[C@@H]([N@H+]2CCc3cccc(Cl)c3C2)C1=O ZINC000491337462 275381578 /nfs/dbraw/zinc/38/15/78/275381578.db2.gz AKNGELZHBRLARK-CQSZACIVSA-N 1 2 302.761 1.459 20 30 DDEDLO C#CCN1C(=O)C[C@@H]([N@@H+]2CCc3cccc(Cl)c3C2)C1=O ZINC000491337462 275381580 /nfs/dbraw/zinc/38/15/80/275381580.db2.gz AKNGELZHBRLARK-CQSZACIVSA-N 1 2 302.761 1.459 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1c1nc(Cl)c(C#N)s1 ZINC000291074282 278101028 /nfs/dbraw/zinc/10/10/28/278101028.db2.gz HIKYKAMNLCEDIO-NXEZZACHSA-N 1 2 312.826 1.968 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1C[C@@H](C)O[C@]2(CCO[C@H]2C)C1 ZINC000366098962 280102271 /nfs/dbraw/zinc/10/22/71/280102271.db2.gz YAWKMSDHHYLBAK-YALNPMBYSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](C)O[C@]2(CCO[C@H]2C)C1 ZINC000366098962 280102272 /nfs/dbraw/zinc/10/22/72/280102272.db2.gz YAWKMSDHHYLBAK-YALNPMBYSA-N 1 2 323.437 1.309 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+]Cc2cccc([N+](=O)[O-])c2)C(N)=O)cc1 ZINC000115598509 281078513 /nfs/dbraw/zinc/07/85/13/281078513.db2.gz WKGKEMRQPARWAK-OAHLLOKOSA-N 1 2 310.313 1.783 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@H]3CCCC34CCOCC4)C[C@@H]21 ZINC000329596361 290088174 /nfs/dbraw/zinc/08/81/74/290088174.db2.gz INCZOWWMXKFYMW-ZNMIVQPWSA-N 1 2 323.437 1.265 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@H]3CCCC34CCOCC4)C[C@@H]21 ZINC000329596361 290088178 /nfs/dbraw/zinc/08/81/78/290088178.db2.gz INCZOWWMXKFYMW-ZNMIVQPWSA-N 1 2 323.437 1.265 20 30 DDEDLO CO[C@H]1C[C@@H](NC(=O)N2CCC(C#N)([NH+](C)C)CC2)C1(C)C ZINC000332496767 294627690 /nfs/dbraw/zinc/62/76/90/294627690.db2.gz YJZUNCUTVQFUEC-OLZOCXBDSA-N 1 2 308.426 1.429 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000329727069 295381285 /nfs/dbraw/zinc/38/12/85/295381285.db2.gz FBWFIBCTQMJSMG-YJNKXOJESA-N 1 2 316.405 1.277 20 30 DDEDLO C[C@H](CC#N)N(C)S(=O)(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000282356714 298274759 /nfs/dbraw/zinc/27/47/59/298274759.db2.gz SWFMABNXEDEZTP-VXGBXAGGSA-N 1 2 311.411 1.415 20 30 DDEDLO Cn1c[nH+]cc1CN1CCN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000285414686 303027183 /nfs/dbraw/zinc/02/71/83/303027183.db2.gz GCTHIWBESYRZCV-GFCCVEGCSA-N 1 2 305.382 1.365 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000550721651 303627074 /nfs/dbraw/zinc/62/70/74/303627074.db2.gz OHHQKXSSAKZIAV-CHWSQXEVSA-N 1 2 302.378 1.101 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000564885019 331904617 /nfs/dbraw/zinc/90/46/17/331904617.db2.gz NPIDGLQXPKYUGL-UGUYLWEFSA-N 1 2 323.437 1.082 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+][C@@H]1CCN(c2ccc(F)cc2)C1=O ZINC000518500748 332068456 /nfs/dbraw/zinc/06/84/56/332068456.db2.gz ZHYDDXZSHOCFTJ-IUODEOHRSA-N 1 2 300.337 1.964 20 30 DDEDLO CCn1cc(C[N@H+](C)CC(=O)Nc2sccc2C#N)cn1 ZINC000014187633 332352716 /nfs/dbraw/zinc/35/27/16/332352716.db2.gz VSDKCYNPRXNVLL-UHFFFAOYSA-N 1 2 303.391 1.907 20 30 DDEDLO CCn1cc(C[N@@H+](C)CC(=O)Nc2sccc2C#N)cn1 ZINC000014187633 332352717 /nfs/dbraw/zinc/35/27/17/332352717.db2.gz VSDKCYNPRXNVLL-UHFFFAOYSA-N 1 2 303.391 1.907 20 30 DDEDLO COc1ccc([N+](=O)[O-])c(OC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000564983934 332371950 /nfs/dbraw/zinc/37/19/50/332371950.db2.gz JYLGQTRAWKHOMQ-NSHDSACASA-N 1 2 309.322 1.189 20 30 DDEDLO COc1ccc([N+](=O)[O-])c(OC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000564983934 332371951 /nfs/dbraw/zinc/37/19/51/332371951.db2.gz JYLGQTRAWKHOMQ-NSHDSACASA-N 1 2 309.322 1.189 20 30 DDEDLO N#CCC[NH2+]CCNS(=O)(=O)c1ccc(F)cc1Cl ZINC000570325247 332740750 /nfs/dbraw/zinc/74/07/50/332740750.db2.gz CZXVWIMZESTROS-UHFFFAOYSA-N 1 2 305.762 1.261 20 30 DDEDLO CCc1ccc([C@@H](COC)[NH2+]CC(=O)N[C@@](C)(C#N)C2CC2)o1 ZINC000184811243 333201512 /nfs/dbraw/zinc/20/15/12/333201512.db2.gz OZRKOKJHTZLOFY-PBHICJAKSA-N 1 2 319.405 1.928 20 30 DDEDLO C=CCN(CCC#N)CC[NH+]1CCN(C(=O)OC(C)(C)C)CC1 ZINC000496761404 333399756 /nfs/dbraw/zinc/39/97/56/333399756.db2.gz YSBKKKLGOHGECO-UHFFFAOYSA-N 1 2 322.453 1.941 20 30 DDEDLO CC[C@H](CC#N)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000443033616 338442298 /nfs/dbraw/zinc/44/22/98/338442298.db2.gz AVJYQLJQFBUDDY-CQSZACIVSA-N 1 2 318.402 1.902 20 30 DDEDLO C[C@@H](NC(=O)NCC(C)(C)CCC#N)[C@@H](C)[NH+]1CCOCC1 ZINC000352820778 336256185 /nfs/dbraw/zinc/25/61/85/336256185.db2.gz RDFUBUMEWHGJQC-ZIAGYGMSSA-N 1 2 310.442 1.725 20 30 DDEDLO C=CCN1CCN(C[NH+]2CCC(OC(=O)N(C)C)CC2)C1=O ZINC000496560081 340007801 /nfs/dbraw/zinc/00/78/01/340007801.db2.gz VUGYEBSFUJTMLY-UHFFFAOYSA-N 1 2 310.398 1.030 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000131259242 341070716 /nfs/dbraw/zinc/07/07/16/341070716.db2.gz PVMVAOXZKXCPJD-GFCCVEGCSA-N 1 2 316.361 1.713 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000131259242 341070717 /nfs/dbraw/zinc/07/07/17/341070717.db2.gz PVMVAOXZKXCPJD-GFCCVEGCSA-N 1 2 316.361 1.713 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNS(=O)(=O)CC1(C#N)CC1)CCC2 ZINC000547331208 341206363 /nfs/dbraw/zinc/20/63/63/341206363.db2.gz FNHWMPWIUMZTOB-LBPRGKRZSA-N 1 2 308.407 1.292 20 30 DDEDLO Cc1noc([C@H](NC(=O)c2c[nH+]c(C)[nH]2)C2CCOCC2)n1 ZINC000328610962 341734540 /nfs/dbraw/zinc/73/45/40/341734540.db2.gz JTOSANIYNAEJLB-GFCCVEGCSA-N 1 2 305.338 1.882 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@]23CCOC3)c(C#N)c1C ZINC000367459800 484538927 /nfs/dbraw/zinc/53/89/27/484538927.db2.gz WHSIUACCRNLTLW-MRXNPFEDSA-N 1 2 303.362 1.962 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@]23CCOC3)c(C#N)c1C ZINC000367459800 484538932 /nfs/dbraw/zinc/53/89/32/484538932.db2.gz WHSIUACCRNLTLW-MRXNPFEDSA-N 1 2 303.362 1.962 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000667667716 484724340 /nfs/dbraw/zinc/72/43/40/484724340.db2.gz UKBRRTMEWNMCQK-OAHLLOKOSA-N 1 2 304.394 1.518 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1ccc[nH+]c1N(C)C ZINC000666367082 485186651 /nfs/dbraw/zinc/18/66/51/485186651.db2.gz USHIJBMBKSWNQQ-CQSZACIVSA-N 1 2 304.394 1.235 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)c2ccc(OC)cc2)CC1 ZINC000677476582 486476521 /nfs/dbraw/zinc/47/65/21/486476521.db2.gz VJIFOJMJKQSPHD-UHFFFAOYSA-N 1 2 302.374 1.103 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)COc2ccc(C)cc2)CC1 ZINC000677818409 486548825 /nfs/dbraw/zinc/54/88/25/486548825.db2.gz MGDGWAUHKFSGPG-UHFFFAOYSA-N 1 2 316.401 1.168 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNc1c(C#N)cnn1-c1ccccc1 ZINC000603287022 490392905 /nfs/dbraw/zinc/39/29/05/490392905.db2.gz BCOWLDPAURNXSP-AWEZNQCLSA-N 1 2 311.389 1.877 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNc1c(C#N)cnn1-c1ccccc1 ZINC000603287022 490392910 /nfs/dbraw/zinc/39/29/10/490392910.db2.gz BCOWLDPAURNXSP-AWEZNQCLSA-N 1 2 311.389 1.877 20 30 DDEDLO Cc1ccn2cc(CNC(=O)N[C@@H]3CC[S@](=O)C3)[nH+]c2c1 ZINC000330029521 534359023 /nfs/dbraw/zinc/35/90/23/534359023.db2.gz QDKFXFPTVBPQTN-FIKIJFGZSA-N 1 2 306.391 1.167 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)N1CCC[C@@H]1CO ZINC000330815360 534397485 /nfs/dbraw/zinc/39/74/85/534397485.db2.gz LEEZOZTUIFAFJB-SECBINFHSA-N 1 2 306.288 1.316 20 30 DDEDLO NC(=O)c1n[nH]c2ccc(NC(=O)[C@H]3CCC[N@@H+]3C3CC3)cc21 ZINC000330219733 534526280 /nfs/dbraw/zinc/52/62/80/534526280.db2.gz KJSCRQMAHMJGAM-CYBMUJFWSA-N 1 2 313.361 1.877 20 30 DDEDLO NC(=O)c1n[nH]c2ccc(NC(=O)[C@H]3CCC[N@H+]3C3CC3)cc21 ZINC000330219733 534526286 /nfs/dbraw/zinc/52/62/86/534526286.db2.gz KJSCRQMAHMJGAM-CYBMUJFWSA-N 1 2 313.361 1.877 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000156094520 523973287 /nfs/dbraw/zinc/97/32/87/523973287.db2.gz PEMBFZISGQCGDI-OAHLLOKOSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000156094520 523973290 /nfs/dbraw/zinc/97/32/90/523973290.db2.gz PEMBFZISGQCGDI-OAHLLOKOSA-N 1 2 304.346 1.201 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CCO[C@]2(CCCOC2)C1 ZINC000329630367 534764799 /nfs/dbraw/zinc/76/47/99/534764799.db2.gz VKDYSEJJIUVZKN-MLGOLLRUSA-N 1 2 320.393 1.062 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CCO[C@]2(CCCOC2)C1 ZINC000329630367 534764803 /nfs/dbraw/zinc/76/48/03/534764803.db2.gz VKDYSEJJIUVZKN-MLGOLLRUSA-N 1 2 320.393 1.062 20 30 DDEDLO CC(C)(C)N1C[C@H]([NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)CC1=O ZINC000330188155 526296681 /nfs/dbraw/zinc/29/66/81/526296681.db2.gz KXUIVVFZLIGJTQ-VXGBXAGGSA-N 1 2 319.409 1.101 20 30 DDEDLO CC(C)(C)N1C[C@H](NC([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)CC1=O ZINC000330188155 526296686 /nfs/dbraw/zinc/29/66/86/526296686.db2.gz KXUIVVFZLIGJTQ-VXGBXAGGSA-N 1 2 319.409 1.101 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NCCC2CCCCC2)C1=O ZINC000337203816 526469470 /nfs/dbraw/zinc/46/94/70/526469470.db2.gz GZMJNNWXGRRPIK-MRXNPFEDSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NCCC2CCCCC2)C1=O ZINC000337203816 526469477 /nfs/dbraw/zinc/46/94/77/526469477.db2.gz GZMJNNWXGRRPIK-MRXNPFEDSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccc(C)c(F)c2)C1=O ZINC000337201786 526499418 /nfs/dbraw/zinc/49/94/18/526499418.db2.gz DLHUFAPNSRZOJO-HNNXBMFYSA-N 1 2 319.380 1.791 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccc(C)c(F)c2)C1=O ZINC000337201786 526499423 /nfs/dbraw/zinc/49/94/23/526499423.db2.gz DLHUFAPNSRZOJO-HNNXBMFYSA-N 1 2 319.380 1.791 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(Cc2ncc[nH]2)CC1 ZINC000358461285 526542302 /nfs/dbraw/zinc/54/23/02/526542302.db2.gz XGKDYNFJWDBTDB-HNNXBMFYSA-N 1 2 319.453 1.340 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000337903354 526760024 /nfs/dbraw/zinc/76/00/24/526760024.db2.gz SCMQWSVDVZQRRI-IRXDYDNUSA-N 1 2 315.417 1.707 20 30 DDEDLO C=CCOCC[N@@H+]1CCNC(=O)[C@H]1Cc1nc2ccccc2o1 ZINC000339098673 526772768 /nfs/dbraw/zinc/77/27/68/526772768.db2.gz ZXBZHJDWKBZEPS-CQSZACIVSA-N 1 2 315.373 1.373 20 30 DDEDLO C=CCOCC[N@H+]1CCNC(=O)[C@H]1Cc1nc2ccccc2o1 ZINC000339098673 526772775 /nfs/dbraw/zinc/77/27/75/526772775.db2.gz ZXBZHJDWKBZEPS-CQSZACIVSA-N 1 2 315.373 1.373 20 30 DDEDLO C#CCN(C(=O)Nc1c(C)cc[nH+]c1C)[C@H]1CCS(=O)(=O)C1 ZINC000491141465 526773782 /nfs/dbraw/zinc/77/37/82/526773782.db2.gz ALKKJNGAZREMDN-ZDUSSCGKSA-N 1 2 321.402 1.353 20 30 DDEDLO C=C(CNC(=O)C[N@@H+](C)CC(=O)NC(C)C)c1ccccc1 ZINC000345702239 526864778 /nfs/dbraw/zinc/86/47/78/526864778.db2.gz AGUBRUKVMDMRNX-UHFFFAOYSA-N 1 2 303.406 1.272 20 30 DDEDLO C=C(CNC(=O)C[N@H+](C)CC(=O)NC(C)C)c1ccccc1 ZINC000345702239 526864780 /nfs/dbraw/zinc/86/47/80/526864780.db2.gz AGUBRUKVMDMRNX-UHFFFAOYSA-N 1 2 303.406 1.272 20 30 DDEDLO C#CC[N@@H+](C[C@@H](O)CCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000490937108 526903470 /nfs/dbraw/zinc/90/34/70/526903470.db2.gz SGWQEBSJWZKBCH-SJORKVTESA-N 1 2 321.442 1.102 20 30 DDEDLO C#CC[N@H+](C[C@@H](O)CCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000490937108 526903474 /nfs/dbraw/zinc/90/34/74/526903474.db2.gz SGWQEBSJWZKBCH-SJORKVTESA-N 1 2 321.442 1.102 20 30 DDEDLO C#CCN(Cc1c[nH+]c2cccc(C)n12)[C@@H]1CCS(=O)(=O)C1 ZINC000490901126 526912172 /nfs/dbraw/zinc/91/21/72/526912172.db2.gz ZGJIYHPZGPMWRF-CQSZACIVSA-N 1 2 317.414 1.265 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc3ncccc3c2)CC1 ZINC000490763789 526953088 /nfs/dbraw/zinc/95/30/88/526953088.db2.gz WRGPPKUFBWXZCA-UHFFFAOYSA-N 1 2 309.369 1.391 20 30 DDEDLO CC(C)(CC#N)CNC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000458042070 526955860 /nfs/dbraw/zinc/95/58/60/526955860.db2.gz NIYSNJJLXCYLHW-UHFFFAOYSA-N 1 2 316.405 1.583 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3Cc4ccccc4O3)n2C)CC1 ZINC000491675889 526957987 /nfs/dbraw/zinc/95/79/87/526957987.db2.gz VFJLCMFQOASHMZ-MRXNPFEDSA-N 1 2 323.400 1.247 20 30 DDEDLO C#CCNC(=O)C1CC[NH+]([C@@H](C)c2nc(CCCC)no2)CC1 ZINC000491154714 526972086 /nfs/dbraw/zinc/97/20/86/526972086.db2.gz LQWYATWABASESO-ZDUSSCGKSA-N 1 2 318.421 1.935 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000491776672 527203369 /nfs/dbraw/zinc/20/33/69/527203369.db2.gz YOEUIYIXNCVLMT-ZIAGYGMSSA-N 1 2 316.405 1.470 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1CC(=O)N(C)[C@H](C)C1 ZINC000337477464 527260332 /nfs/dbraw/zinc/26/03/32/527260332.db2.gz ZEOOQNYJOMKXCF-ZBFHGGJFSA-N 1 2 318.417 1.317 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1CC(=O)N(C)[C@H](C)C1 ZINC000337477464 527260338 /nfs/dbraw/zinc/26/03/38/527260338.db2.gz ZEOOQNYJOMKXCF-ZBFHGGJFSA-N 1 2 318.417 1.317 20 30 DDEDLO C#C[C@@H](NC(=O)[C@H](c1c[nH+]cn1C)N(C)C)c1ccc(F)cc1 ZINC000491566329 527285721 /nfs/dbraw/zinc/28/57/21/527285721.db2.gz FSYRRHFMSBTHSC-ZBFHGGJFSA-N 1 2 314.364 1.653 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc(OC)c(O)cc2[N+](=O)[O-])nn1 ZINC000424176592 527372318 /nfs/dbraw/zinc/37/23/18/527372318.db2.gz YDCYECWGGUNFHR-UHFFFAOYSA-N 1 2 319.321 1.376 20 30 DDEDLO CC1(C)[C@H]([NH+]=C([O-])NC[C@@H]2CCc3[nH+]ccn3C2)[C@@H]2CCO[C@H]21 ZINC000329960431 527852468 /nfs/dbraw/zinc/85/24/68/527852468.db2.gz RCUFLFHGGKZJHB-DDHJSBNISA-N 1 2 318.421 1.763 20 30 DDEDLO CC1(C)[C@H](NC([O-])=[NH+]C[C@@H]2CCc3[nH+]ccn3C2)[C@@H]2CCO[C@H]21 ZINC000329960431 527852472 /nfs/dbraw/zinc/85/24/72/527852472.db2.gz RCUFLFHGGKZJHB-DDHJSBNISA-N 1 2 318.421 1.763 20 30 DDEDLO CCO[C@@H]1C[C@H](NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)C12CCC2 ZINC000329770008 527906473 /nfs/dbraw/zinc/90/64/73/527906473.db2.gz IIWWEVZSENTDIU-SOUVJXGZSA-N 1 2 324.469 1.084 20 30 DDEDLO CCO[C@@H]1C[C@H](NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)C12CCC2 ZINC000329770008 527906475 /nfs/dbraw/zinc/90/64/75/527906475.db2.gz IIWWEVZSENTDIU-SOUVJXGZSA-N 1 2 324.469 1.084 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCCN1C(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000330935220 528051498 /nfs/dbraw/zinc/05/14/98/528051498.db2.gz MDTMVMNCJYYEKT-KGLIPLIRSA-N 1 2 321.425 1.518 20 30 DDEDLO CCC[N@H+](Cc1cn(CC(N)=O)nn1)Cc1ccc(C#N)cc1 ZINC000459304132 528148852 /nfs/dbraw/zinc/14/88/52/528148852.db2.gz MUMGNLCRJITPLQ-UHFFFAOYSA-N 1 2 312.377 1.047 20 30 DDEDLO CCC[N@@H+](Cc1cn(CC(N)=O)nn1)Cc1ccc(C#N)cc1 ZINC000459304132 528148857 /nfs/dbraw/zinc/14/88/57/528148857.db2.gz MUMGNLCRJITPLQ-UHFFFAOYSA-N 1 2 312.377 1.047 20 30 DDEDLO CCNC(=O)[C@@H]([NH2+]Cc1c(C#N)cccc1[N+](=O)[O-])C(C)C ZINC000459491840 528212285 /nfs/dbraw/zinc/21/22/85/528212285.db2.gz VVAVOERKNSCARD-AWEZNQCLSA-N 1 2 304.350 1.717 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](CCOc2cccc(-c3nn[nH]n3)c2)C1 ZINC000824777979 608125807 /nfs/dbraw/zinc/12/58/07/608125807.db2.gz MAZHUZUZTSKROH-INIZCTEOSA-N 1 2 312.377 1.871 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](CCOc2cccc(-c3nn[nH]n3)c2)C1 ZINC000824777979 608125808 /nfs/dbraw/zinc/12/58/08/608125808.db2.gz MAZHUZUZTSKROH-INIZCTEOSA-N 1 2 312.377 1.871 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCOCC3)C2)C1 ZINC000972362237 695229220 /nfs/dbraw/zinc/22/92/20/695229220.db2.gz NXPYKBJABHKDHV-WBVHZDCISA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCOCC3)C2)C1 ZINC000972362237 695229222 /nfs/dbraw/zinc/22/92/22/695229222.db2.gz NXPYKBJABHKDHV-WBVHZDCISA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(Cl)cnn3C)C2)C1 ZINC000972380135 695235336 /nfs/dbraw/zinc/23/53/36/695235336.db2.gz FGLJBCVNSAZADX-OAHLLOKOSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(Cl)cnn3C)C2)C1 ZINC000972380135 695235337 /nfs/dbraw/zinc/23/53/37/695235337.db2.gz FGLJBCVNSAZADX-OAHLLOKOSA-N 1 2 324.812 1.176 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCCC34CC4)C2)C1 ZINC000972436350 695250653 /nfs/dbraw/zinc/25/06/53/695250653.db2.gz WQDXWIDTMLHUKE-QFBILLFUSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCCC34CC4)C2)C1 ZINC000972436350 695250656 /nfs/dbraw/zinc/25/06/56/695250656.db2.gz WQDXWIDTMLHUKE-QFBILLFUSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3csc(C)n3)C2)C1 ZINC000972520063 695271712 /nfs/dbraw/zinc/27/17/12/695271712.db2.gz OGHROGDXJJZRED-MRXNPFEDSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3csc(C)n3)C2)C1 ZINC000972520063 695271713 /nfs/dbraw/zinc/27/17/13/695271713.db2.gz OGHROGDXJJZRED-MRXNPFEDSA-N 1 2 319.430 1.392 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3ccsc3)C2)C1 ZINC000972547494 695278858 /nfs/dbraw/zinc/27/88/58/695278858.db2.gz BNMMHVYHTUYESI-PBHICJAKSA-N 1 2 318.442 1.788 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3ccsc3)C2)C1 ZINC000972547494 695278860 /nfs/dbraw/zinc/27/88/60/695278860.db2.gz BNMMHVYHTUYESI-PBHICJAKSA-N 1 2 318.442 1.788 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC[C@H](C)CC3)C2)C1 ZINC000972642752 695307684 /nfs/dbraw/zinc/30/76/84/695307684.db2.gz LHHRIIWDXBJMOG-JFIYKMOQSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC[C@H](C)CC3)C2)C1 ZINC000972642752 695307685 /nfs/dbraw/zinc/30/76/85/695307685.db2.gz LHHRIIWDXBJMOG-JFIYKMOQSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(Cl)cc3)C2)C1 ZINC000972647460 695308565 /nfs/dbraw/zinc/30/85/65/695308565.db2.gz RCCREIUFTGQHBB-KRWDZBQOSA-N 1 2 318.804 1.890 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(Cl)cc3)C2)C1 ZINC000972647460 695308566 /nfs/dbraw/zinc/30/85/66/695308566.db2.gz RCCREIUFTGQHBB-KRWDZBQOSA-N 1 2 318.804 1.890 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cc1 ZINC000973493565 695483099 /nfs/dbraw/zinc/48/30/99/695483099.db2.gz LTUFXVVYZLOAPI-SHTZXODSSA-N 1 2 322.368 1.011 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@H](C)n2cncn2)C(C)(C)C1 ZINC000974484275 695678451 /nfs/dbraw/zinc/67/84/51/695678451.db2.gz LWKNPAQJMAMADX-NWDGAFQWSA-N 1 2 311.817 1.418 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@H](C)n2cncn2)C(C)(C)C1 ZINC000974484275 695678453 /nfs/dbraw/zinc/67/84/53/695678453.db2.gz LWKNPAQJMAMADX-NWDGAFQWSA-N 1 2 311.817 1.418 20 30 DDEDLO COc1ncnc(N)c1C=[NH+]NCc1cccc2cccnc21 ZINC000747632434 700078541 /nfs/dbraw/zinc/07/85/41/700078541.db2.gz CWGDGUQUCXADLT-UHFFFAOYSA-N 1 2 308.345 1.739 20 30 DDEDLO CNC(=O)[C@H](C)C[N@H+](C)[C@@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000747967260 700093830 /nfs/dbraw/zinc/09/38/30/700093830.db2.gz QMMYUFPEMYVJJB-BXUZGUMPSA-N 1 2 303.362 1.086 20 30 DDEDLO CNC(=O)[C@H](C)C[N@@H+](C)[C@@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000747967260 700093831 /nfs/dbraw/zinc/09/38/31/700093831.db2.gz QMMYUFPEMYVJJB-BXUZGUMPSA-N 1 2 303.362 1.086 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CCC[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC000981312996 697063506 /nfs/dbraw/zinc/06/35/06/697063506.db2.gz ATUFZZQMXVMQMB-NXEZZACHSA-N 1 2 300.765 1.932 20 30 DDEDLO Cc1nonc1C[N@H+]1C[C@@]2(C)CN(C(=O)[C@H](C)C#N)C[C@@]2(C)C1 ZINC000982237234 697069625 /nfs/dbraw/zinc/06/96/25/697069625.db2.gz YAGFLZXOLBMCBK-LYRGGWFBSA-N 1 2 317.393 1.208 20 30 DDEDLO Cc1nonc1C[N@@H+]1C[C@@]2(C)CN(C(=O)[C@H](C)C#N)C[C@@]2(C)C1 ZINC000982237234 697069627 /nfs/dbraw/zinc/06/96/27/697069627.db2.gz YAGFLZXOLBMCBK-LYRGGWFBSA-N 1 2 317.393 1.208 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)C(=O)N(Cc2cccs2)C1=O ZINC000182616686 697477405 /nfs/dbraw/zinc/47/74/05/697477405.db2.gz XPDIWFQVXYGFMT-UHFFFAOYSA-N 1 2 307.375 1.504 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)C(=O)N(Cc2cccs2)C1=O ZINC000182616686 697477407 /nfs/dbraw/zinc/47/74/07/697477407.db2.gz XPDIWFQVXYGFMT-UHFFFAOYSA-N 1 2 307.375 1.504 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000775426364 697995333 /nfs/dbraw/zinc/99/53/33/697995333.db2.gz HLUJGRFWBZJVHM-CYBMUJFWSA-N 1 2 307.394 1.691 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CC[C@@H](CN(C)C(=O)OC(C)(C)C)C1 ZINC000800337646 700216861 /nfs/dbraw/zinc/21/68/61/700216861.db2.gz CGSLBQVOZKZBMY-STQMWFEESA-N 1 2 312.410 1.903 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CC[C@@H](CN(C)C(=O)OC(C)(C)C)C1 ZINC000800337646 700216866 /nfs/dbraw/zinc/21/68/66/700216866.db2.gz CGSLBQVOZKZBMY-STQMWFEESA-N 1 2 312.410 1.903 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@H](CNC(=O)OC(C)(C)C)C[C@H]1C ZINC000800364956 700218604 /nfs/dbraw/zinc/21/86/04/700218604.db2.gz RCDDICZXEJKFKT-FRRDWIJNSA-N 1 2 312.410 1.949 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@H](CNC(=O)OC(C)(C)C)C[C@H]1C ZINC000800364956 700218606 /nfs/dbraw/zinc/21/86/06/700218606.db2.gz RCDDICZXEJKFKT-FRRDWIJNSA-N 1 2 312.410 1.949 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+]2CC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000800534548 700231594 /nfs/dbraw/zinc/23/15/94/700231594.db2.gz MORWIRSEEWMDJY-IUODEOHRSA-N 1 2 308.403 1.596 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+]2CC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000800534548 700231595 /nfs/dbraw/zinc/23/15/95/700231595.db2.gz MORWIRSEEWMDJY-IUODEOHRSA-N 1 2 308.403 1.596 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCC[C@H](CO)C3)nc[nH+]2)nc1 ZINC000780611625 698538061 /nfs/dbraw/zinc/53/80/61/698538061.db2.gz RLOWIWYRIUONDQ-AWEZNQCLSA-N 1 2 324.388 1.564 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCC[C@H](CO)C3)[nH+]cn2)nc1 ZINC000780611625 698538062 /nfs/dbraw/zinc/53/80/62/698538062.db2.gz RLOWIWYRIUONDQ-AWEZNQCLSA-N 1 2 324.388 1.564 20 30 DDEDLO CC(C)CN1C(=O)C(=O)N(C[NH+]2CCC(CC#N)CC2)C1=O ZINC000781571292 698631463 /nfs/dbraw/zinc/63/14/63/698631463.db2.gz BLBMDOIQLKUSPE-UHFFFAOYSA-N 1 2 306.366 1.016 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cc(=O)c3ccccc3o2)CC1 ZINC000989591386 698685379 /nfs/dbraw/zinc/68/53/79/698685379.db2.gz RJFSJPCZUDSODN-UHFFFAOYSA-N 1 2 310.353 1.574 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cc(=O)c3ccccc3o2)CC1 ZINC000989591386 698685380 /nfs/dbraw/zinc/68/53/80/698685380.db2.gz RJFSJPCZUDSODN-UHFFFAOYSA-N 1 2 310.353 1.574 20 30 DDEDLO C[C@H]1C[C@@H](NCC#N)CN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000989635466 698702407 /nfs/dbraw/zinc/70/24/07/698702407.db2.gz MAYYWQJFTISZBX-DZGCQCFKSA-N 1 2 309.373 1.588 20 30 DDEDLO C#CCOCCN1CC(=O)C(=C2N(C)c3ccccc3N2C)C1=[NH2+] ZINC000783683643 698867253 /nfs/dbraw/zinc/86/72/53/698867253.db2.gz LAVXRVNZUBLRLL-UHFFFAOYSA-N 1 2 324.384 1.296 20 30 DDEDLO COc1cccc([C@@H](C)[NH+]2CCN(C(=O)NCCC#N)CC2)c1 ZINC000426426702 699154353 /nfs/dbraw/zinc/15/43/53/699154353.db2.gz ZHPHBUSEVXHFJJ-CQSZACIVSA-N 1 2 316.405 1.997 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[N@H+](Cc2ccccc2)[C@@H](C)C1 ZINC000710146648 699253415 /nfs/dbraw/zinc/25/34/15/699253415.db2.gz ZQDZUXPAPLWPFT-INIZCTEOSA-N 1 2 320.458 1.936 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[N@@H+](Cc2ccccc2)[C@@H](C)C1 ZINC000710146648 699253416 /nfs/dbraw/zinc/25/34/16/699253416.db2.gz ZQDZUXPAPLWPFT-INIZCTEOSA-N 1 2 320.458 1.936 20 30 DDEDLO CC[N@@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000712275785 699261088 /nfs/dbraw/zinc/26/10/88/699261088.db2.gz CVIOVMVZSCMQBO-NSHDSACASA-N 1 2 313.810 1.584 20 30 DDEDLO CC[N@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000712275785 699261089 /nfs/dbraw/zinc/26/10/89/699261089.db2.gz CVIOVMVZSCMQBO-NSHDSACASA-N 1 2 313.810 1.584 20 30 DDEDLO C#CCCOc1ccc(C[NH2+]Cc2nnc3n2CCOC3)cc1 ZINC000791008437 699606624 /nfs/dbraw/zinc/60/66/24/699606624.db2.gz UZJHNHNPBNODQK-UHFFFAOYSA-N 1 2 312.373 1.500 20 30 DDEDLO C=C(Br)C[NH+]1CCC(CNS(C)(=O)=O)CC1 ZINC000796259210 699922002 /nfs/dbraw/zinc/92/20/02/699922002.db2.gz QZLIMQZUXANVSB-UHFFFAOYSA-N 1 2 311.245 1.156 20 30 DDEDLO C#CCOCCC(=O)N[C@H](Cc1[nH+]ccn1C)c1ccccc1 ZINC000755939860 700594098 /nfs/dbraw/zinc/59/40/98/700594098.db2.gz LLGKLHJPYQVJST-MRXNPFEDSA-N 1 2 311.385 1.860 20 30 DDEDLO N#CC[C@@H](O)C[NH+]1CCN(c2ncnc3sccc32)CC1 ZINC000809576810 701671445 /nfs/dbraw/zinc/67/14/45/701671445.db2.gz FWAJKHNIBKMKJY-LLVKDONJSA-N 1 2 303.391 1.088 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cccc(OC)c2[N+](=O)[O-])CC1 ZINC000767795898 701136574 /nfs/dbraw/zinc/13/65/74/701136574.db2.gz NDDUNWFKWHYOHR-UHFFFAOYSA-N 1 2 317.345 1.385 20 30 DDEDLO C[C@H](NC(=S)Nc1ccccc1C#N)[C@H]1C[N@H+](C)CCO1 ZINC000769791110 701256164 /nfs/dbraw/zinc/25/61/64/701256164.db2.gz FTBNPDXVGXKZSD-SMDDNHRTSA-N 1 2 304.419 1.564 20 30 DDEDLO C[C@H](NC(=S)Nc1ccccc1C#N)[C@H]1C[N@@H+](C)CCO1 ZINC000769791110 701256166 /nfs/dbraw/zinc/25/61/66/701256166.db2.gz FTBNPDXVGXKZSD-SMDDNHRTSA-N 1 2 304.419 1.564 20 30 DDEDLO COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)c1ccc(C#N)cc1C ZINC000807285125 701473080 /nfs/dbraw/zinc/47/30/80/701473080.db2.gz TXVJRWHBEPRXLL-CQSZACIVSA-N 1 2 312.329 1.035 20 30 DDEDLO N#CC1(COC(=O)Cc2c[nH+]cn2Cc2ccccc2)COC1 ZINC000811044775 701900298 /nfs/dbraw/zinc/90/02/98/701900298.db2.gz SBLQRXVJVZWHIK-UHFFFAOYSA-N 1 2 311.341 1.557 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2cccc(OC)n2)CC1 ZINC000811123951 701918151 /nfs/dbraw/zinc/91/81/51/701918151.db2.gz PRBWMIRAGBZDHH-UHFFFAOYSA-N 1 2 316.357 1.161 20 30 DDEDLO C=CCOc1ccc(CNC(=O)/C=C\C[NH+]2CCOCC2)cc1 ZINC000868248879 702021323 /nfs/dbraw/zinc/02/13/23/702021323.db2.gz XRHWYSAZBVWAEL-ARJAWSKDSA-N 1 2 316.401 1.756 20 30 DDEDLO C#CC[C@H](Cc1ccccc1)NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000868276737 702037303 /nfs/dbraw/zinc/03/73/03/702037303.db2.gz GMYPBXGVUJWPSW-WGLAMXGCSA-N 1 2 312.413 1.626 20 30 DDEDLO CN(C(=O)C#Cc1cccs1)C(C)(C)C[NH+]1CCOCC1 ZINC000840568705 702158137 /nfs/dbraw/zinc/15/81/37/702158137.db2.gz RCXBIIMXLYIOAC-UHFFFAOYSA-N 1 2 306.431 1.669 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)OC(=O)[C@@H]1C[C@H]1c1cccc(C#N)c1 ZINC000840605170 702170560 /nfs/dbraw/zinc/17/05/60/702170560.db2.gz JRMLXVQSFWRRCF-RRQGHBQHSA-N 1 2 314.385 1.926 20 30 DDEDLO C[C@H]1CC(=O)Oc2cc(OC[C@@H](O)C[N@H+](C)CCC#N)ccc21 ZINC000840762201 702230754 /nfs/dbraw/zinc/23/07/54/702230754.db2.gz NQYQANWHEBXSDP-STQMWFEESA-N 1 2 318.373 1.684 20 30 DDEDLO C[C@H]1CC(=O)Oc2cc(OC[C@@H](O)C[N@@H+](C)CCC#N)ccc21 ZINC000840762201 702230758 /nfs/dbraw/zinc/23/07/58/702230758.db2.gz NQYQANWHEBXSDP-STQMWFEESA-N 1 2 318.373 1.684 20 30 DDEDLO CC[C@H](C(=O)NCc1ccc(C#N)cn1)[N@@H+]1CCO[C@H](CC)C1 ZINC000813709619 702403086 /nfs/dbraw/zinc/40/30/86/702403086.db2.gz RXMHYGPKSWSHHA-HZPDHXFCSA-N 1 2 316.405 1.459 20 30 DDEDLO CC[C@H](C(=O)NCc1ccc(C#N)cn1)[N@H+]1CCO[C@H](CC)C1 ZINC000813709619 702403089 /nfs/dbraw/zinc/40/30/89/702403089.db2.gz RXMHYGPKSWSHHA-HZPDHXFCSA-N 1 2 316.405 1.459 20 30 DDEDLO C[C@H]([NH2+]C[C@H]1CC[C@H](C(=O)N(C)C)O1)c1cccc(C#N)c1O ZINC000866316508 706671963 /nfs/dbraw/zinc/67/19/63/706671963.db2.gz ZSGKSDLAMZQPCY-NJZAAPMLSA-N 1 2 317.389 1.550 20 30 DDEDLO C[C@@H]([NH2+][C@H](C)C1(S(C)(=O)=O)CC1)c1cccc(C#N)c1O ZINC000866357467 706680744 /nfs/dbraw/zinc/68/07/44/706680744.db2.gz HVVLYFMZXFXNRG-GHMZBOCLSA-N 1 2 308.403 1.880 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+]CC(=O)N(CC)OC ZINC000842770062 702771000 /nfs/dbraw/zinc/77/10/00/702771000.db2.gz XSQXCEBCNLATOP-UHFFFAOYSA-N 1 2 310.781 1.852 20 30 DDEDLO COc1c(O)c(C[N@@H+]2CC[C@](O)(CC#N)C2)ccc1[N+](=O)[O-] ZINC000843425796 702878948 /nfs/dbraw/zinc/87/89/48/702878948.db2.gz VXNIXJMCUDXGSN-CQSZACIVSA-N 1 2 307.306 1.159 20 30 DDEDLO COc1c(O)c(C[N@H+]2CC[C@](O)(CC#N)C2)ccc1[N+](=O)[O-] ZINC000843425796 702878951 /nfs/dbraw/zinc/87/89/51/702878951.db2.gz VXNIXJMCUDXGSN-CQSZACIVSA-N 1 2 307.306 1.159 20 30 DDEDLO C[C@@H](CC(=O)[C@H](C#N)C(=O)NCc1ccccc1)n1cc[nH+]c1 ZINC000845440322 703157279 /nfs/dbraw/zinc/15/72/79/703157279.db2.gz DKQHWMXGFZBRQZ-ZFWWWQNUSA-N 1 2 310.357 1.859 20 30 DDEDLO CC[C@@H](C#N)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000845756810 703197508 /nfs/dbraw/zinc/19/75/08/703197508.db2.gz MOMQVXJLMZFPTO-STQMWFEESA-N 1 2 324.425 1.203 20 30 DDEDLO CC[C@@H](C#N)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000845756810 703197510 /nfs/dbraw/zinc/19/75/10/703197510.db2.gz MOMQVXJLMZFPTO-STQMWFEESA-N 1 2 324.425 1.203 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000846332060 703266018 /nfs/dbraw/zinc/26/60/18/703266018.db2.gz DYKOKLRAYLAFGM-DOTOQJQBSA-N 1 2 324.384 1.509 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC000831576779 706733301 /nfs/dbraw/zinc/73/33/01/706733301.db2.gz JZGMJGHTZXDWNJ-GMTAPVOTSA-N 1 2 320.311 1.057 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC000831576779 706733303 /nfs/dbraw/zinc/73/33/03/706733303.db2.gz JZGMJGHTZXDWNJ-GMTAPVOTSA-N 1 2 320.311 1.057 20 30 DDEDLO C=CCCn1cc(COC(=O)CCc2ccc(N)[nH+]c2)nn1 ZINC000848736079 703581824 /nfs/dbraw/zinc/58/18/24/703581824.db2.gz WNKOGKUWNSHVJF-UHFFFAOYSA-N 1 2 301.350 1.507 20 30 DDEDLO C=CCCn1cc(C(=O)OCc2cc[nH+]c(N(C)C)c2)nn1 ZINC000820570653 704302181 /nfs/dbraw/zinc/30/21/81/704302181.db2.gz AGXBOMVSSHKQAQ-UHFFFAOYSA-N 1 2 301.350 1.672 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C#N)ccc1F ZINC000854424172 704394941 /nfs/dbraw/zinc/39/49/41/704394941.db2.gz PRUDOZOENQRSLR-GXTWGEPZSA-N 1 2 317.364 1.633 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)c1cc(C#N)ccc1F ZINC000854941188 704462962 /nfs/dbraw/zinc/46/29/62/704462962.db2.gz UEPCTWNNSRTJFZ-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO CN(C[C@H]1CCCC[N@@H+]1CC(=O)NCC#N)C(=O)OC(C)(C)C ZINC000880343285 706948084 /nfs/dbraw/zinc/94/80/84/706948084.db2.gz JIMIIRDAHOUMFT-CYBMUJFWSA-N 1 2 324.425 1.348 20 30 DDEDLO CN(C[C@H]1CCCC[N@H+]1CC(=O)NCC#N)C(=O)OC(C)(C)C ZINC000880343285 706948087 /nfs/dbraw/zinc/94/80/87/706948087.db2.gz JIMIIRDAHOUMFT-CYBMUJFWSA-N 1 2 324.425 1.348 20 30 DDEDLO C#CCCOC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000858972063 704778659 /nfs/dbraw/zinc/77/86/59/704778659.db2.gz DANYYOWHLQLOEH-QGZVFWFLSA-N 1 2 316.401 1.679 20 30 DDEDLO C[N@H+](C[C@@H](O)COc1ccccc1C#N)[C@@H]1CC(C)(C)OC1=O ZINC000859313803 704860806 /nfs/dbraw/zinc/86/08/06/704860806.db2.gz HYKWWTXHVMTJHQ-ZIAGYGMSSA-N 1 2 318.373 1.324 20 30 DDEDLO C[N@@H+](C[C@@H](O)COc1ccccc1C#N)[C@@H]1CC(C)(C)OC1=O ZINC000859313803 704860809 /nfs/dbraw/zinc/86/08/09/704860809.db2.gz HYKWWTXHVMTJHQ-ZIAGYGMSSA-N 1 2 318.373 1.324 20 30 DDEDLO C#CCCN(CCOC)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000822085648 704874068 /nfs/dbraw/zinc/87/40/68/704874068.db2.gz GZUOKQZDMSSVPT-UHFFFAOYSA-N 1 2 304.394 1.960 20 30 DDEDLO C#C[C@H](NC(=O)Cc1ccc(-n2cc[nH+]c2)cc1)[C@@H]1CCCO1 ZINC000867499521 707020169 /nfs/dbraw/zinc/02/01/69/707020169.db2.gz LYMOQSQOEHYDAX-IRXDYDNUSA-N 1 2 309.369 1.712 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(CC#N)cc1 ZINC000874708092 705141978 /nfs/dbraw/zinc/14/19/78/705141978.db2.gz RMLOBMDCYXVQHM-MRXNPFEDSA-N 1 2 301.390 1.593 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(CC#N)cc1 ZINC000874708092 705141980 /nfs/dbraw/zinc/14/19/80/705141980.db2.gz RMLOBMDCYXVQHM-MRXNPFEDSA-N 1 2 301.390 1.593 20 30 DDEDLO C=CC(C)(C)CCCNC(=O)C(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000875090310 705278765 /nfs/dbraw/zinc/27/87/65/705278765.db2.gz KBMPEEMSGYWPBD-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000862193776 705674366 /nfs/dbraw/zinc/67/43/66/705674366.db2.gz UJSNJELWVNOSGD-CQSZACIVSA-N 1 2 317.389 1.490 20 30 DDEDLO CC(C)(O)CN[NH+]=Cc1c(Cl)nc(N)nc1N1CCCC1 ZINC000863140692 705869073 /nfs/dbraw/zinc/86/90/73/705869073.db2.gz QSBPEEZGOTVFQP-UHFFFAOYSA-N 1 2 312.805 1.007 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CCC(N2CC[NH+](C)CC2)CC1 ZINC000827439591 706002058 /nfs/dbraw/zinc/00/20/58/706002058.db2.gz GXWFSSPIHCGVLG-SFHVURJKSA-N 1 2 323.481 1.454 20 30 DDEDLO C#CCCCCCC[N@H+]1CC[C@H](C(=O)OC)C[C@H]1C(=O)OC ZINC000877185281 706010260 /nfs/dbraw/zinc/01/02/60/706010260.db2.gz RDNLQXDKABQHFP-GJZGRUSLSA-N 1 2 309.406 1.997 20 30 DDEDLO C#CCCCCCC[N@@H+]1CC[C@H](C(=O)OC)C[C@H]1C(=O)OC ZINC000877185281 706010262 /nfs/dbraw/zinc/01/02/62/706010262.db2.gz RDNLQXDKABQHFP-GJZGRUSLSA-N 1 2 309.406 1.997 20 30 DDEDLO CCN1CCC[N@H+](Cc2cc(C#N)ccc2N(C)C)CC1=O ZINC000877465547 706114921 /nfs/dbraw/zinc/11/49/21/706114921.db2.gz BCPSWEKNLAPHGF-UHFFFAOYSA-N 1 2 300.406 1.678 20 30 DDEDLO CCN1CCC[N@@H+](Cc2cc(C#N)ccc2N(C)C)CC1=O ZINC000877465547 706114923 /nfs/dbraw/zinc/11/49/23/706114923.db2.gz BCPSWEKNLAPHGF-UHFFFAOYSA-N 1 2 300.406 1.678 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@@H]2C[C@@H]3CCC[C@@H]3O2)n1 ZINC000878525962 706416759 /nfs/dbraw/zinc/41/67/59/706416759.db2.gz HFPBNPOMYGZWMO-SZMVWBNQSA-N 1 2 317.433 1.922 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@@H]2C[C@@H]3CCC[C@@H]3O2)n1 ZINC000878525962 706416762 /nfs/dbraw/zinc/41/67/62/706416762.db2.gz HFPBNPOMYGZWMO-SZMVWBNQSA-N 1 2 317.433 1.922 20 30 DDEDLO COC(=O)c1csc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)c1 ZINC000878771074 706495574 /nfs/dbraw/zinc/49/55/74/706495574.db2.gz BSJPIBUORUHGAJ-VXGBXAGGSA-N 1 2 307.419 1.953 20 30 DDEDLO Cc1cccnc1NC(=O)C[N@H+](C)C[C@@H](O)c1cccc(C#N)c1 ZINC000878800693 706502877 /nfs/dbraw/zinc/50/28/77/706502877.db2.gz FREOMWZHEOKBFE-MRXNPFEDSA-N 1 2 324.384 1.866 20 30 DDEDLO Cc1cccnc1NC(=O)C[N@@H+](C)C[C@@H](O)c1cccc(C#N)c1 ZINC000878800693 706502880 /nfs/dbraw/zinc/50/28/80/706502880.db2.gz FREOMWZHEOKBFE-MRXNPFEDSA-N 1 2 324.384 1.866 20 30 DDEDLO C=CC[C@H](C(=O)OC)[NH+]1CCC(O)(Cc2nc(C)cs2)CC1 ZINC000878927211 706534682 /nfs/dbraw/zinc/53/46/82/706534682.db2.gz BEVGTBHVOKBWMV-CYBMUJFWSA-N 1 2 324.446 1.939 20 30 DDEDLO C[C@@](C#N)(NC(=O)/C=C\C[NH+]1CCOCC1)C1CCCCC1 ZINC000865891013 706554209 /nfs/dbraw/zinc/55/42/09/706554209.db2.gz LQWZNBANLXBYAQ-AFCDSYGPSA-N 1 2 305.422 1.854 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H](C(F)(F)F)O[C@@H](C)C1 ZINC000880061533 706867751 /nfs/dbraw/zinc/86/77/51/706867751.db2.gz WHQKUUOEWVHDMV-RYUDHWBXSA-N 1 2 321.343 1.790 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H](C(F)(F)F)O[C@@H](C)C1 ZINC000880061533 706867753 /nfs/dbraw/zinc/86/77/53/706867753.db2.gz WHQKUUOEWVHDMV-RYUDHWBXSA-N 1 2 321.343 1.790 20 30 DDEDLO C[C@H](CNS(=O)(=O)c1cc(F)cc(C#N)c1)Cn1cc[nH+]c1 ZINC000872004497 707278203 /nfs/dbraw/zinc/27/82/03/707278203.db2.gz IXRHOZSYZTYMIE-LLVKDONJSA-N 1 2 322.365 1.508 20 30 DDEDLO CC[C@H]([NH2+][C@H]1CCc2nnn(C)c2C1)c1cccc(C#N)c1O ZINC000927146112 712946958 /nfs/dbraw/zinc/94/69/58/712946958.db2.gz ZUIFNGDCEOJKHL-JSGCOSHPSA-N 1 2 311.389 1.991 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(NC(=O)N(C)C)c1 ZINC000836946852 707552087 /nfs/dbraw/zinc/55/20/87/707552087.db2.gz REQIZBCSTWXOPN-HNNXBMFYSA-N 1 2 314.389 1.816 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(NC(=O)N(C)C)c1 ZINC000836946852 707552091 /nfs/dbraw/zinc/55/20/91/707552091.db2.gz REQIZBCSTWXOPN-HNNXBMFYSA-N 1 2 314.389 1.816 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[NH+](C[C@@H](O)c2ccccc2)CC1 ZINC000837399291 707637150 /nfs/dbraw/zinc/63/71/50/707637150.db2.gz QXAPGGGPUJZZCF-QGZVFWFLSA-N 1 2 314.429 1.914 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000883247940 707959937 /nfs/dbraw/zinc/95/99/37/707959937.db2.gz BVILBTJVCKBRGF-ZDUSSCGKSA-N 1 2 307.394 1.833 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H]1Cc1ccccc1 ZINC000884066017 708123984 /nfs/dbraw/zinc/12/39/84/708123984.db2.gz IKXGURGKLWQRMO-CVEARBPZSA-N 1 2 316.401 1.667 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@H](c2ccccc2)C1 ZINC000884082394 708130990 /nfs/dbraw/zinc/13/09/90/708130990.db2.gz FFITXABPRYZLRS-GJZGRUSLSA-N 1 2 302.374 1.449 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC(C)(C)c1ccc(F)cc1 ZINC000884126074 708150667 /nfs/dbraw/zinc/15/06/67/708150667.db2.gz QSYASKIFNFYJRM-AWEZNQCLSA-N 1 2 322.380 1.666 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2c(Cl)cccc2C1 ZINC000884131036 708153322 /nfs/dbraw/zinc/15/33/22/708153322.db2.gz YZADZUAXPYIPLE-AWEZNQCLSA-N 1 2 322.792 1.671 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)Cc1cccs1 ZINC000884155300 708163739 /nfs/dbraw/zinc/16/37/39/708163739.db2.gz ZFSVIWXDRWLKLZ-AAEUAGOBSA-N 1 2 310.419 1.490 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@@H](c2ccccc2)[C@@H]1C ZINC000884158227 708165080 /nfs/dbraw/zinc/16/50/80/708165080.db2.gz UZJFOFZSBPMSMS-IMJJTQAJSA-N 1 2 316.401 1.838 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1CCC(OC(C)C)CC1 ZINC000884378108 708270617 /nfs/dbraw/zinc/27/06/17/708270617.db2.gz IALQHJNCIQLYOL-RUXDESIVSA-N 1 2 312.410 1.285 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)N2CC[N@@H+](CCO)C[C@H]2C)cc1 ZINC000909436584 713017787 /nfs/dbraw/zinc/01/77/87/713017787.db2.gz RCWLDWHGSKNMGS-MVGZEHJDSA-N 1 2 316.401 1.259 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)N2CC[N@H+](CCO)C[C@H]2C)cc1 ZINC000909436584 713017790 /nfs/dbraw/zinc/01/77/90/713017790.db2.gz RCWLDWHGSKNMGS-MVGZEHJDSA-N 1 2 316.401 1.259 20 30 DDEDLO C#C[C@H]1CCCCN1c1nc(NCCO)[nH+]c(NC(C)C)n1 ZINC000897360910 708304829 /nfs/dbraw/zinc/30/48/29/708304829.db2.gz TYPRNZQRZPEXGF-LBPRGKRZSA-N 1 2 304.398 1.088 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000897360954 708305068 /nfs/dbraw/zinc/30/50/68/708305068.db2.gz VGZVKLMLIYNSOK-SOUVJXGZSA-N 1 2 300.406 1.676 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000897360954 708305072 /nfs/dbraw/zinc/30/50/72/708305072.db2.gz VGZVKLMLIYNSOK-SOUVJXGZSA-N 1 2 300.406 1.676 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(F)c(C)c2)C1 ZINC000885512632 708563266 /nfs/dbraw/zinc/56/32/66/708563266.db2.gz XGRYFJQXCOXFEN-CYBMUJFWSA-N 1 2 310.394 1.510 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(F)c(C)c2)C1 ZINC000885512632 708563270 /nfs/dbraw/zinc/56/32/70/708563270.db2.gz XGRYFJQXCOXFEN-CYBMUJFWSA-N 1 2 310.394 1.510 20 30 DDEDLO N#Cc1cnc(C(=O)N[C@H]2CCC[N@@H+](CCF)C2)c(Cl)c1 ZINC000898497064 708658853 /nfs/dbraw/zinc/65/88/53/708658853.db2.gz ZHUDLELLEIWRTJ-NSHDSACASA-N 1 2 310.760 1.770 20 30 DDEDLO N#Cc1cnc(C(=O)N[C@H]2CCC[N@H+](CCF)C2)c(Cl)c1 ZINC000898497064 708658855 /nfs/dbraw/zinc/65/88/55/708658855.db2.gz ZHUDLELLEIWRTJ-NSHDSACASA-N 1 2 310.760 1.770 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000912612418 713050168 /nfs/dbraw/zinc/05/01/68/713050168.db2.gz NCICPJMNGFHCCY-UXTNIJRTSA-N 1 2 302.378 1.290 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCCn2nncc2C1 ZINC000886455182 708763184 /nfs/dbraw/zinc/76/31/84/708763184.db2.gz DFTSGGOJYLQRKN-UHFFFAOYSA-N 1 2 313.361 1.443 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCCn2nncc2C1 ZINC000886455182 708763185 /nfs/dbraw/zinc/76/31/85/708763185.db2.gz DFTSGGOJYLQRKN-UHFFFAOYSA-N 1 2 313.361 1.443 20 30 DDEDLO CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1cc(C#N)ccc1C ZINC000886470150 708765502 /nfs/dbraw/zinc/76/55/02/708765502.db2.gz BCQGYPPORVECOM-CQSZACIVSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cc(C#N)ccc1C ZINC000886470150 708765503 /nfs/dbraw/zinc/76/55/03/708765503.db2.gz BCQGYPPORVECOM-CQSZACIVSA-N 1 2 307.419 1.581 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000899234956 709029584 /nfs/dbraw/zinc/02/95/84/709029584.db2.gz VPPNRBWMPXZTJH-GFCCVEGCSA-N 1 2 301.346 1.635 20 30 DDEDLO C/C(=C\C(=O)N(C)Cc1cc(C#N)cs1)C[NH+]1CCOCC1 ZINC000887965376 709182216 /nfs/dbraw/zinc/18/22/16/709182216.db2.gz FVOBZOHCRSKOKI-NTUHNPAUSA-N 1 2 319.430 1.857 20 30 DDEDLO CC[C@H](O)[C@@H](C)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000899967499 709252777 /nfs/dbraw/zinc/25/27/77/709252777.db2.gz MWJHJDVMXHHJNH-PBHICJAKSA-N 1 2 315.417 1.609 20 30 DDEDLO CC[C@H](O)[C@H](C)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000899967502 709253145 /nfs/dbraw/zinc/25/31/45/709253145.db2.gz MWJHJDVMXHHJNH-YOEHRIQHSA-N 1 2 315.417 1.609 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CCN(c3cccc[nH+]3)CC2)nc1 ZINC000889219653 709463524 /nfs/dbraw/zinc/46/35/24/709463524.db2.gz VBIYEIQRRFLBRQ-UHFFFAOYSA-N 1 2 308.345 1.702 20 30 DDEDLO CCn1c[nH+]cc1/C=C1/CCn2nc(C(=O)OC)cc2C1=O ZINC000901561145 710075483 /nfs/dbraw/zinc/07/54/83/710075483.db2.gz PIGBFLKSWFYUSU-POHAHGRESA-N 1 2 300.318 1.556 20 30 DDEDLO CC[C@H](C(=O)NCC#C[C@H]1CCCCO1)[N@@H+]1CCO[C@H](CC)C1 ZINC000891117900 710098683 /nfs/dbraw/zinc/09/86/83/710098683.db2.gz ZHKFKPCIFPIRNP-BRWVUGGUSA-N 1 2 322.449 1.565 20 30 DDEDLO CC[C@H](C(=O)NCC#C[C@H]1CCCCO1)[N@H+]1CCO[C@H](CC)C1 ZINC000891117900 710098688 /nfs/dbraw/zinc/09/86/88/710098688.db2.gz ZHKFKPCIFPIRNP-BRWVUGGUSA-N 1 2 322.449 1.565 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C)c(F)c2)C1 ZINC000891606853 710246858 /nfs/dbraw/zinc/24/68/58/710246858.db2.gz PGIOPHNVLZTETL-CQSZACIVSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C)c(F)c2)C1 ZINC000891606853 710246860 /nfs/dbraw/zinc/24/68/60/710246860.db2.gz PGIOPHNVLZTETL-CQSZACIVSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911472505 710812502 /nfs/dbraw/zinc/81/25/02/710812502.db2.gz LFNZVEPAZSNGHA-ZDUSSCGKSA-N 1 2 323.299 1.587 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1cc([N+](=O)[O-])c(F)cc1F ZINC000911472505 710812505 /nfs/dbraw/zinc/81/25/05/710812505.db2.gz LFNZVEPAZSNGHA-ZDUSSCGKSA-N 1 2 323.299 1.587 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)c3cscc3C#N)CC[NH2+]2)cn1 ZINC000913459996 713226011 /nfs/dbraw/zinc/22/60/11/713226011.db2.gz KBBFVKPKCQWPAE-CYBMUJFWSA-N 1 2 301.375 1.140 20 30 DDEDLO C#CCN(C(=O)Cc1ccc(-n2cc[nH+]c2)cc1)C1CSC1 ZINC000912361627 711291749 /nfs/dbraw/zinc/29/17/49/711291749.db2.gz KJTSWOQNDNPFKV-UHFFFAOYSA-N 1 2 311.410 1.992 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2cc(C)cc(C)c2)no1 ZINC000904085254 711377143 /nfs/dbraw/zinc/37/71/43/711377143.db2.gz WIUGADYXQJSYFP-AWEZNQCLSA-N 1 2 315.373 1.876 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)CC#N)CC2)c(-c2ccccc2)n1 ZINC000895065413 711394090 /nfs/dbraw/zinc/39/40/90/711394090.db2.gz CUSYMKGVGBKGNA-UHFFFAOYSA-N 1 2 323.400 1.645 20 30 DDEDLO CC(C)(CNC(=O)[C@]1(C#N)CC2CCC1CC2)[NH+]1CCOCC1 ZINC000905207030 711968401 /nfs/dbraw/zinc/96/84/01/711968401.db2.gz GBTKQVBXELVEHI-JMLCCBQJSA-N 1 2 319.449 1.933 20 30 DDEDLO N#Cc1sc2ccccc2c1S(=O)(=O)NCC[NH+]1CCC1 ZINC000907065071 712478378 /nfs/dbraw/zinc/47/83/78/712478378.db2.gz CMLLXMGXEPCQOA-UHFFFAOYSA-N 1 2 321.427 1.757 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[N@@H+](C[C@@H](C)O)C[C@H]2C)s1 ZINC000907517998 712589219 /nfs/dbraw/zinc/58/92/19/712589219.db2.gz LPCRYSRIWPWOBK-VXGBXAGGSA-N 1 2 324.450 1.268 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[N@H+](C[C@@H](C)O)C[C@H]2C)s1 ZINC000907517998 712589220 /nfs/dbraw/zinc/58/92/20/712589220.db2.gz LPCRYSRIWPWOBK-VXGBXAGGSA-N 1 2 324.450 1.268 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000908649582 712828847 /nfs/dbraw/zinc/82/88/47/712828847.db2.gz AOPQYHSVTMLBKJ-ZIAGYGMSSA-N 1 2 301.390 1.972 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)CCCc2ccccc2)C1 ZINC000919875394 713640184 /nfs/dbraw/zinc/64/01/84/713640184.db2.gz SWMBXNBYZTWIOX-KRWDZBQOSA-N 1 2 320.458 1.636 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)CCCc2ccccc2)C1 ZINC000919875394 713640187 /nfs/dbraw/zinc/64/01/87/713640187.db2.gz SWMBXNBYZTWIOX-KRWDZBQOSA-N 1 2 320.458 1.636 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccccc2OCC(=O)OC)nn1 ZINC000922197563 713988284 /nfs/dbraw/zinc/98/82/84/713988284.db2.gz GOVMZSSYYAZVTK-UHFFFAOYSA-N 1 2 316.361 1.306 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)c1 ZINC000931097432 714000061 /nfs/dbraw/zinc/00/00/61/714000061.db2.gz VVYATTBMWZAOKY-DLBZAZTESA-N 1 2 321.384 1.304 20 30 DDEDLO N#Cc1cncc(C[N@H+]2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)c1 ZINC000931097432 714000064 /nfs/dbraw/zinc/00/00/64/714000064.db2.gz VVYATTBMWZAOKY-DLBZAZTESA-N 1 2 321.384 1.304 20 30 DDEDLO C[C@@H]1CN(CC#CC[N@H+]2Cc3c[nH]nc3[C@H](C)C2)Cc2c[nH]nc21 ZINC000931460819 714103040 /nfs/dbraw/zinc/10/30/40/714103040.db2.gz MUFNGPALSRXZEU-ZIAGYGMSSA-N 1 2 324.432 1.675 20 30 DDEDLO C[C@@H]1CN(CC#CC[N@@H+]2Cc3c[nH]nc3[C@H](C)C2)Cc2c[nH]nc21 ZINC000931460819 714103041 /nfs/dbraw/zinc/10/30/41/714103041.db2.gz MUFNGPALSRXZEU-ZIAGYGMSSA-N 1 2 324.432 1.675 20 30 DDEDLO COC1(OC)CC[N@H+](CC#Cc2ccccc2Cl)C[C@@H]1O ZINC000931632057 714143709 /nfs/dbraw/zinc/14/37/09/714143709.db2.gz NBSYKUAIMJPRLV-HNNXBMFYSA-N 1 2 309.793 1.747 20 30 DDEDLO COC1(OC)CC[N@@H+](CC#Cc2ccccc2Cl)C[C@@H]1O ZINC000931632057 714143711 /nfs/dbraw/zinc/14/37/11/714143711.db2.gz NBSYKUAIMJPRLV-HNNXBMFYSA-N 1 2 309.793 1.747 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2cc(C#N)ccc2F)CCO1 ZINC000931712226 714167147 /nfs/dbraw/zinc/16/71/47/714167147.db2.gz HPOCAUVVOCVLDH-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2cc(C#N)ccc2F)CCO1 ZINC000931712226 714167148 /nfs/dbraw/zinc/16/71/48/714167148.db2.gz HPOCAUVVOCVLDH-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO CC(C)(CNC(=O)Nc1sccc1C#N)[NH+]1CCOCC1 ZINC000931849088 714197524 /nfs/dbraw/zinc/19/75/24/714197524.db2.gz UERMUVQXKHWZOQ-UHFFFAOYSA-N 1 2 308.407 1.852 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932888664 714431025 /nfs/dbraw/zinc/43/10/25/714431025.db2.gz JLVFJIVVTXUKBY-QWRGUYRKSA-N 1 2 322.331 1.241 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[N@@H+]2CC(=O)NC[C@@H]2c2ccccc2)cc1 ZINC000933328050 714563263 /nfs/dbraw/zinc/56/32/63/714563263.db2.gz WMHYKHSTVFDRHT-MSOLQXFVSA-N 1 2 321.380 1.765 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[N@H+]2CC(=O)NC[C@@H]2c2ccccc2)cc1 ZINC000933328050 714563266 /nfs/dbraw/zinc/56/32/66/714563266.db2.gz WMHYKHSTVFDRHT-MSOLQXFVSA-N 1 2 321.380 1.765 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@H]1C[C@H]1CCCO1 ZINC000933680462 714647112 /nfs/dbraw/zinc/64/71/12/714647112.db2.gz XGERTTORHWWRAZ-HZPDHXFCSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@H]1C[C@H]1CCCO1 ZINC000933680462 714647114 /nfs/dbraw/zinc/64/71/14/714647114.db2.gz XGERTTORHWWRAZ-HZPDHXFCSA-N 1 2 310.438 1.681 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000934513046 714839543 /nfs/dbraw/zinc/83/95/43/714839543.db2.gz FZBODDXVBICYFJ-CQSZACIVSA-N 1 2 313.357 1.972 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000934513046 714839544 /nfs/dbraw/zinc/83/95/44/714839544.db2.gz FZBODDXVBICYFJ-CQSZACIVSA-N 1 2 313.357 1.972 20 30 DDEDLO C#CCC1(NC(=O)[C@@H](CC)[N@@H+]2CCO[C@H](CC)C2)CCOCC1 ZINC000925423036 714851678 /nfs/dbraw/zinc/85/16/78/714851678.db2.gz CKPIJTJJQZAJOA-HZPDHXFCSA-N 1 2 322.449 1.565 20 30 DDEDLO C#CCC1(NC(=O)[C@@H](CC)[N@H+]2CCO[C@H](CC)C2)CCOCC1 ZINC000925423036 714851679 /nfs/dbraw/zinc/85/16/79/714851679.db2.gz CKPIJTJJQZAJOA-HZPDHXFCSA-N 1 2 322.449 1.565 20 30 DDEDLO C#CC[NH2+][C@@H](CO)c1ccccc1I ZINC000934828641 714911886 /nfs/dbraw/zinc/91/18/86/714911886.db2.gz CPSMSXCEUHQPQR-NSHDSACASA-N 1 2 301.127 1.547 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000935060889 714966663 /nfs/dbraw/zinc/96/66/63/714966663.db2.gz OEXBHNZWBUIELO-CQSZACIVSA-N 1 2 322.368 1.971 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC000957124857 715758933 /nfs/dbraw/zinc/75/89/33/715758933.db2.gz TUJWFEPNLBRCPN-UHFFFAOYSA-N 1 2 315.377 1.157 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC000957130019 715761670 /nfs/dbraw/zinc/76/16/70/715761670.db2.gz MXHONVUQGPABGJ-GFCCVEGCSA-N 1 2 303.410 1.018 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(C)n(C)nc2Cl)C1 ZINC000957333554 715843676 /nfs/dbraw/zinc/84/36/76/715843676.db2.gz DMQVXRVRHOHYGY-UHFFFAOYSA-N 1 2 308.813 1.552 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN2C(=O)CCC)C1 ZINC000957420833 715894684 /nfs/dbraw/zinc/89/46/84/715894684.db2.gz VLVBNXVJJIPSDP-INIZCTEOSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CC(=O)N([C@H](C)CCC)C2)CC1 ZINC000957767981 716034740 /nfs/dbraw/zinc/03/47/40/716034740.db2.gz QINNZUGGDJRBAA-HUUCEWRRSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3nc(CC)no3)C2)C1 ZINC000939103327 716135519 /nfs/dbraw/zinc/13/55/19/716135519.db2.gz QEEIKUUJYAWDJN-CHWSQXEVSA-N 1 2 318.421 1.925 20 30 DDEDLO Cn1ccnc1C[N@H+](C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960622484 716635290 /nfs/dbraw/zinc/63/52/90/716635290.db2.gz HZKRZEWJPPLXPV-HNNXBMFYSA-N 1 2 300.406 1.256 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960622484 716635294 /nfs/dbraw/zinc/63/52/94/716635294.db2.gz HZKRZEWJPPLXPV-HNNXBMFYSA-N 1 2 300.406 1.256 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3(C4CC4)CCC3)CC2)C1 ZINC000941502196 717187916 /nfs/dbraw/zinc/18/79/16/717187916.db2.gz MDGZNOMLDNDCHV-UHFFFAOYSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC[C@H](C)C3)CC2)C1 ZINC000941540519 717199921 /nfs/dbraw/zinc/19/99/21/717199921.db2.gz FQBMRXKYMOHVGG-JKSUJKDBSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCCC[C@@H]3C)CC2)C1 ZINC000941541418 717200690 /nfs/dbraw/zinc/20/06/90/717200690.db2.gz ZLUWBRKNXYRCLE-DOTOQJQBSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H](C)c3ccsc3)CC2)C1 ZINC000941565280 717212879 /nfs/dbraw/zinc/21/28/79/717212879.db2.gz VBGYGPJHUWHFEC-AWEZNQCLSA-N 1 2 317.458 1.313 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3(CC)CCCCC3)CC2)C1 ZINC000941675270 717251694 /nfs/dbraw/zinc/25/16/94/717251694.db2.gz WNYMMBDOKOQCKS-UHFFFAOYSA-N 1 2 317.477 1.809 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000942081002 717470070 /nfs/dbraw/zinc/47/00/70/717470070.db2.gz LQDOBXPIJCFWLG-KRWDZBQOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cnn(C)c2C)C1 ZINC000965151529 717555482 /nfs/dbraw/zinc/55/54/82/717555482.db2.gz MWAYMEBGFLYAAX-IINYFYTJSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cnn(C)c2C)C1 ZINC000965151529 717555486 /nfs/dbraw/zinc/55/54/86/717555486.db2.gz MWAYMEBGFLYAAX-IINYFYTJSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC000965846136 717780626 /nfs/dbraw/zinc/78/06/26/717780626.db2.gz VUYZCSHWIUYFSW-MFKMUULPSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC000965846136 717780630 /nfs/dbraw/zinc/78/06/30/717780630.db2.gz VUYZCSHWIUYFSW-MFKMUULPSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cncnc2)C1 ZINC000965963351 717836108 /nfs/dbraw/zinc/83/61/08/717836108.db2.gz IDWHGOOXAGJYKV-RISCZKNCSA-N 1 2 308.813 1.598 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cncnc2)C1 ZINC000965963351 717836114 /nfs/dbraw/zinc/83/61/14/717836114.db2.gz IDWHGOOXAGJYKV-RISCZKNCSA-N 1 2 308.813 1.598 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)Cn2cc[nH+]c2C)[C@@H](C)C1 ZINC000944965085 718370775 /nfs/dbraw/zinc/37/07/75/718370775.db2.gz PXRYZGWXTHQDGB-FZMZJTMJSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@H]2C)C1 ZINC000966873424 718689048 /nfs/dbraw/zinc/68/90/48/718689048.db2.gz PUAMDOIXXDBVKE-OCCSQVGLSA-N 1 2 316.405 1.272 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966883384 718693209 /nfs/dbraw/zinc/69/32/09/718693209.db2.gz DDSBSNPOXGFSDS-KCJUWKMLSA-N 1 2 312.801 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966883384 718693211 /nfs/dbraw/zinc/69/32/11/718693211.db2.gz DDSBSNPOXGFSDS-KCJUWKMLSA-N 1 2 312.801 1.616 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3nncn3C)[C@@H](C)C2)C1 ZINC000947702465 719248597 /nfs/dbraw/zinc/24/85/97/719248597.db2.gz OJTQBGXCWSXTAB-KBPBESRZSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3nncn3C)[C@@H](C)C2)C1 ZINC000947702465 719248600 /nfs/dbraw/zinc/24/86/00/719248600.db2.gz OJTQBGXCWSXTAB-KBPBESRZSA-N 1 2 317.437 1.641 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3ccon3)[C@H](C)C2)c1 ZINC000947710745 719250546 /nfs/dbraw/zinc/25/05/46/719250546.db2.gz PAQJSQURBBBAKY-CZUORRHYSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccon3)[C@H](C)C2)c1 ZINC000947710745 719250547 /nfs/dbraw/zinc/25/05/47/719250547.db2.gz PAQJSQURBBBAKY-CZUORRHYSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccon3)[C@H](C)C2)nc1 ZINC000947948444 719315679 /nfs/dbraw/zinc/31/56/79/719315679.db2.gz NTBFQSJHQRKWNZ-UKRRQHHQSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccon3)[C@H](C)C2)nc1 ZINC000947948444 719315682 /nfs/dbraw/zinc/31/56/82/719315682.db2.gz NTBFQSJHQRKWNZ-UKRRQHHQSA-N 1 2 324.384 1.834 20 30 DDEDLO C[C@@H]1CC[N@H+](CC#Cc2ccccc2)C[C@@H]1NC(=O)c1cnon1 ZINC000968449543 719594237 /nfs/dbraw/zinc/59/42/37/719594237.db2.gz JXCNYYWNHUHLOA-PBHICJAKSA-N 1 2 324.384 1.562 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C[C@@H]1NC(=O)c1cnon1 ZINC000968449543 719594242 /nfs/dbraw/zinc/59/42/42/719594242.db2.gz JXCNYYWNHUHLOA-PBHICJAKSA-N 1 2 324.384 1.562 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2nonc2C)C1 ZINC000968493913 719622246 /nfs/dbraw/zinc/62/22/46/719622246.db2.gz ZKVBZMJVEMCALQ-ZANVPECISA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2nonc2C)C1 ZINC000968493913 719622247 /nfs/dbraw/zinc/62/22/47/719622247.db2.gz ZKVBZMJVEMCALQ-ZANVPECISA-N 1 2 312.801 1.500 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(C)n3C)CC2)C1 ZINC000949291876 719997296 /nfs/dbraw/zinc/99/72/96/719997296.db2.gz ZVUJFDKHRKDUFT-UHFFFAOYSA-N 1 2 315.417 1.274 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(C)n3C)CC2)C1 ZINC000949291876 719997300 /nfs/dbraw/zinc/99/73/00/719997300.db2.gz ZVUJFDKHRKDUFT-UHFFFAOYSA-N 1 2 315.417 1.274 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@H]3CCCCO3)CC2)C1 ZINC000949338425 720026204 /nfs/dbraw/zinc/02/62/04/720026204.db2.gz MTWINCAYPGZIOD-MRXNPFEDSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@H]3CCCCO3)CC2)C1 ZINC000949338425 720026206 /nfs/dbraw/zinc/02/62/06/720026206.db2.gz MTWINCAYPGZIOD-MRXNPFEDSA-N 1 2 320.433 1.272 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC000969587904 720202891 /nfs/dbraw/zinc/20/28/91/720202891.db2.gz ZLWUAOSNNXRMBL-LLVKDONJSA-N 1 2 307.398 1.038 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc(C)nnc2C)C1 ZINC000969748879 720276125 /nfs/dbraw/zinc/27/61/25/720276125.db2.gz JQPIEPRJPHBDQA-LLVKDONJSA-N 1 2 308.813 1.896 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC000969993582 720589812 /nfs/dbraw/zinc/58/98/12/720589812.db2.gz UKPVIVUZGLDXEZ-OLZOCXBDSA-N 1 2 322.840 1.635 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccn(CC(C)C)n2)C1 ZINC000950438291 720633341 /nfs/dbraw/zinc/63/33/41/720633341.db2.gz ZNKBMGWLFINWNJ-UHFFFAOYSA-N 1 2 302.422 1.709 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC000950453355 720639890 /nfs/dbraw/zinc/63/98/90/720639890.db2.gz UHRLDVHPCFCCHP-CQSZACIVSA-N 1 2 307.438 1.352 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cc3c(cn2)OCCO3)C1 ZINC000950528375 720671278 /nfs/dbraw/zinc/67/12/78/720671278.db2.gz ILNWSTCFWNGBPW-UHFFFAOYSA-N 1 2 317.389 1.575 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3nccnc3c2)C1 ZINC000950528610 720671360 /nfs/dbraw/zinc/67/13/60/720671360.db2.gz WUSZHZFTLRGVCX-UHFFFAOYSA-N 1 2 309.373 1.194 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc(C(N)=O)co2)C1 ZINC000970406951 720750075 /nfs/dbraw/zinc/75/00/75/720750075.db2.gz BOEMZABPZXFTOS-SECBINFHSA-N 1 2 311.769 1.181 20 30 DDEDLO O=C(Cc1c[nH+]c[nH]1)N1CCN(CC#Cc2ccccc2)CC1 ZINC000950787563 720775075 /nfs/dbraw/zinc/77/50/75/720775075.db2.gz RVJNRIHUNMFUJC-UHFFFAOYSA-N 1 2 308.385 1.148 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000950917229 720825982 /nfs/dbraw/zinc/82/59/82/720825982.db2.gz SCLQBMJUKROKRP-JQWIXIFHSA-N 1 2 311.345 1.053 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cccnc2N(C)C)C1 ZINC000970871929 720966113 /nfs/dbraw/zinc/96/61/13/720966113.db2.gz HYGOZLMAQLTPHZ-LBPRGKRZSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCN(Cc2c[nH+]cn2C)CC1 ZINC000951710581 721149114 /nfs/dbraw/zinc/14/91/14/721149114.db2.gz SISNLLDMAFVSQF-HOTGVXAUSA-N 1 2 318.421 1.046 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000971217411 721184314 /nfs/dbraw/zinc/18/43/14/721184314.db2.gz DVRCUWQDUZAKSG-ZYHUDNBSSA-N 1 2 323.828 1.574 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+](C)[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000971217411 721184315 /nfs/dbraw/zinc/18/43/15/721184315.db2.gz DVRCUWQDUZAKSG-ZYHUDNBSSA-N 1 2 323.828 1.574 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2sc(N(C)C)nc2C)C1 ZINC000952409229 721440120 /nfs/dbraw/zinc/44/01/20/721440120.db2.gz HWYUKVULRRWWQS-UHFFFAOYSA-N 1 2 320.462 1.687 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2ncccc2OC)C1 ZINC001008316420 737506974 /nfs/dbraw/zinc/50/69/74/737506974.db2.gz SJNZTPUTKNIPHC-ZDUSSCGKSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2ncccc2OC)C1 ZINC001008316420 737506980 /nfs/dbraw/zinc/50/69/80/737506980.db2.gz SJNZTPUTKNIPHC-ZDUSSCGKSA-N 1 2 323.824 1.966 20 30 DDEDLO N#CCNCC1(CCNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001167167064 732796126 /nfs/dbraw/zinc/79/61/26/732796126.db2.gz FDDONXNAOMHZAQ-LBPRGKRZSA-N 1 2 301.394 1.229 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CNC(=O)c1cnc([C@@H]2CCCO2)s1 ZINC001038793148 738674720 /nfs/dbraw/zinc/67/47/20/738674720.db2.gz ZVJKNMQLAAHTCO-OLZOCXBDSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc([C@@H]2CCCO2)s1 ZINC001038793148 738674723 /nfs/dbraw/zinc/67/47/23/738674723.db2.gz ZVJKNMQLAAHTCO-OLZOCXBDSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3sc(C)cc3C)[C@H]2C1 ZINC001083261258 735273353 /nfs/dbraw/zinc/27/33/53/735273353.db2.gz MGAXAMMQLSIKHI-LSDHHAIUSA-N 1 2 318.442 1.452 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3sc(C)cc3C)[C@H]2C1 ZINC001083261258 735273354 /nfs/dbraw/zinc/27/33/54/735273354.db2.gz MGAXAMMQLSIKHI-LSDHHAIUSA-N 1 2 318.442 1.452 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1C ZINC001121426234 782505249 /nfs/dbraw/zinc/50/52/49/782505249.db2.gz AEBTUQJCUDZRIP-CQSZACIVSA-N 1 2 305.426 1.775 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1C ZINC001121426234 782505253 /nfs/dbraw/zinc/50/52/53/782505253.db2.gz AEBTUQJCUDZRIP-CQSZACIVSA-N 1 2 305.426 1.775 20 30 DDEDLO Cc1nc(N(C)C)nc(NC[C@H](C)N(C)C(=O)C#CC(C)C)[nH+]1 ZINC001104542870 736209482 /nfs/dbraw/zinc/20/94/82/736209482.db2.gz QOENEHDVPGPLCK-LBPRGKRZSA-N 1 2 318.425 1.164 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104543123 736209883 /nfs/dbraw/zinc/20/98/83/736209883.db2.gz VTHSBVHFICGHOD-LBPRGKRZSA-N 1 2 314.393 1.282 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3cccc(F)c3)[C@H]2C1 ZINC001083280175 736461164 /nfs/dbraw/zinc/46/11/64/736461164.db2.gz IBYRTUHNICGUOZ-RRQGHBQHSA-N 1 2 316.376 1.474 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3cccc(F)c3)[C@H]2C1 ZINC001083280175 736461165 /nfs/dbraw/zinc/46/11/65/736461165.db2.gz IBYRTUHNICGUOZ-RRQGHBQHSA-N 1 2 316.376 1.474 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001038353301 737121124 /nfs/dbraw/zinc/12/11/24/737121124.db2.gz MMFJADZKEDKJBD-OAHLLOKOSA-N 1 2 313.405 1.412 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001038353301 737121129 /nfs/dbraw/zinc/12/11/29/737121129.db2.gz MMFJADZKEDKJBD-OAHLLOKOSA-N 1 2 313.405 1.412 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1C[C@@H](C)[C@H](CCNCC#N)C1 ZINC001104776094 737193765 /nfs/dbraw/zinc/19/37/65/737193765.db2.gz XXSIQYBDHBPEAT-MGPQQGTHSA-N 1 2 303.410 1.186 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@@H](C)C1 ZINC001105038246 737475784 /nfs/dbraw/zinc/47/57/84/737475784.db2.gz KMOSSWAPQYHVEP-JSGCOSHPSA-N 1 2 304.394 1.637 20 30 DDEDLO CC(C)[C@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1ncccc1C#N ZINC001105214147 737616629 /nfs/dbraw/zinc/61/66/29/737616629.db2.gz DBFUUMVMXTZEBW-ZDUSSCGKSA-N 1 2 312.377 1.472 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H](C)CC)C2)nn1 ZINC001098622589 737903868 /nfs/dbraw/zinc/90/38/68/737903868.db2.gz LUOGPPRFZIBHNV-ZFWWWQNUSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)CCCC(C)C)C2)nn1 ZINC001105335310 737964632 /nfs/dbraw/zinc/96/46/32/737964632.db2.gz WOUBSLORIHSXPL-UHFFFAOYSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CCC[N@@H+](C/C=C\Cl)C2)nn1 ZINC001008801300 738039742 /nfs/dbraw/zinc/03/97/42/738039742.db2.gz WAJUVILQRJZPDM-RNZFLTOJSA-N 1 2 309.801 1.411 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CCC[N@H+](C/C=C\Cl)C2)nn1 ZINC001008801300 738039743 /nfs/dbraw/zinc/03/97/43/738039743.db2.gz WAJUVILQRJZPDM-RNZFLTOJSA-N 1 2 309.801 1.411 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001038683725 738049247 /nfs/dbraw/zinc/04/92/47/738049247.db2.gz JXKZOLBOJUKRID-RYUDHWBXSA-N 1 2 308.813 1.773 20 30 DDEDLO Cc1conc1C[N@@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027408040 738268003 /nfs/dbraw/zinc/26/80/03/738268003.db2.gz GZZXGGFZEHYPRH-HNNXBMFYSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1conc1C[N@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027408040 738268005 /nfs/dbraw/zinc/26/80/05/738268005.db2.gz GZZXGGFZEHYPRH-HNNXBMFYSA-N 1 2 301.390 1.819 20 30 DDEDLO C[N@H+](Cc1cnns1)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405094 738268975 /nfs/dbraw/zinc/26/89/75/738268975.db2.gz AVMMMZHOTOVDQX-ZDUSSCGKSA-N 1 2 304.419 1.374 20 30 DDEDLO C[N@@H+](Cc1cnns1)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405094 738268979 /nfs/dbraw/zinc/26/89/79/738268979.db2.gz AVMMMZHOTOVDQX-ZDUSSCGKSA-N 1 2 304.419 1.374 20 30 DDEDLO CN1CCN(c2cc(N[C@H]3CC[C@H](C#N)CC3)[nH+]cn2)CC1=O ZINC001167842080 739019873 /nfs/dbraw/zinc/01/98/73/739019873.db2.gz DNEJXSDHXMOYNS-JOCQHMNTSA-N 1 2 314.393 1.249 20 30 DDEDLO CN1CCN(c2cc(N[C@H]3CC[C@H](C#N)CC3)nc[nH+]2)CC1=O ZINC001167842080 739019876 /nfs/dbraw/zinc/01/98/76/739019876.db2.gz DNEJXSDHXMOYNS-JOCQHMNTSA-N 1 2 314.393 1.249 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001028102925 739036951 /nfs/dbraw/zinc/03/69/51/739036951.db2.gz IUHKWCWCNBQSIJ-RYUDHWBXSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001028102925 739036955 /nfs/dbraw/zinc/03/69/55/739036955.db2.gz IUHKWCWCNBQSIJ-RYUDHWBXSA-N 1 2 323.828 1.558 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]2CNC(=O)c2ncn[nH]2)cc1 ZINC001028211768 739165616 /nfs/dbraw/zinc/16/56/16/739165616.db2.gz VTFNTZBYKVNABD-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]2CNC(=O)c2nc[nH]n2)cc1 ZINC001028211768 739165619 /nfs/dbraw/zinc/16/56/19/739165619.db2.gz VTFNTZBYKVNABD-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]2CNC(=O)c2nc[nH]n2)cc1 ZINC001028211768 739165620 /nfs/dbraw/zinc/16/56/20/739165620.db2.gz VTFNTZBYKVNABD-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001087439990 739252513 /nfs/dbraw/zinc/25/25/13/739252513.db2.gz DFOBAUZELKEOQJ-HZPDHXFCSA-N 1 2 323.400 1.450 20 30 DDEDLO C[C@H](CCCNc1cnc(C#N)cn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114983640 739283819 /nfs/dbraw/zinc/28/38/19/739283819.db2.gz OHRCIEUVJFCTMZ-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001028338214 739375370 /nfs/dbraw/zinc/37/53/70/739375370.db2.gz ZRKHYJNIOIQIHZ-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001028338214 739375374 /nfs/dbraw/zinc/37/53/74/739375374.db2.gz ZRKHYJNIOIQIHZ-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncccc2CC)C1 ZINC001035421690 751480308 /nfs/dbraw/zinc/48/03/08/751480308.db2.gz ASYKMEZQVLILRD-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncccc2CC)C1 ZINC001035421690 751480315 /nfs/dbraw/zinc/48/03/15/751480315.db2.gz ASYKMEZQVLILRD-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)n3cncn3)[C@@H]2C1 ZINC001075705489 740054024 /nfs/dbraw/zinc/05/40/24/740054024.db2.gz OBPYOTNFBKVYOD-FRRDWIJNSA-N 1 2 309.801 1.124 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)n3cncn3)[C@@H]2C1 ZINC001075705489 740054027 /nfs/dbraw/zinc/05/40/27/740054027.db2.gz OBPYOTNFBKVYOD-FRRDWIJNSA-N 1 2 309.801 1.124 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(C(C)C)n2)C1 ZINC001035431770 751509012 /nfs/dbraw/zinc/50/90/12/751509012.db2.gz KDCAPEWSNXFWEP-CQSZACIVSA-N 1 2 303.406 1.822 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc(C(C)C)n2)C1 ZINC001035431770 751509017 /nfs/dbraw/zinc/50/90/17/751509017.db2.gz KDCAPEWSNXFWEP-CQSZACIVSA-N 1 2 303.406 1.822 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001028840501 740101146 /nfs/dbraw/zinc/10/11/46/740101146.db2.gz GSFOVGJZMZIEOF-LBPRGKRZSA-N 1 2 310.357 1.020 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001028840501 740101150 /nfs/dbraw/zinc/10/11/50/740101150.db2.gz GSFOVGJZMZIEOF-LBPRGKRZSA-N 1 2 310.357 1.020 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)sc2C)C1 ZINC001035438314 751515022 /nfs/dbraw/zinc/51/50/22/751515022.db2.gz KXNFPNVIPYAYDB-CQSZACIVSA-N 1 2 306.431 1.819 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)sc2C)C1 ZINC001035438314 751515026 /nfs/dbraw/zinc/51/50/26/751515026.db2.gz KXNFPNVIPYAYDB-CQSZACIVSA-N 1 2 306.431 1.819 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(C)cn2)C1 ZINC001035459091 751526754 /nfs/dbraw/zinc/52/67/54/751526754.db2.gz GXVGNFQDTBJXGW-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(C)cn2)C1 ZINC001035459091 751526756 /nfs/dbraw/zinc/52/67/56/751526756.db2.gz GXVGNFQDTBJXGW-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@H]1CCc2[nH+]c(C)[nH]c2C1 ZINC001038429792 740371442 /nfs/dbraw/zinc/37/14/42/740371442.db2.gz JHBROHKGNQBDSR-QWHCGFSZSA-N 1 2 322.840 1.766 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@H]1CCc2[nH]c(C)[nH+]c2C1 ZINC001038429792 740371445 /nfs/dbraw/zinc/37/14/45/740371445.db2.gz JHBROHKGNQBDSR-QWHCGFSZSA-N 1 2 322.840 1.766 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)on2)C1 ZINC001035465019 751551097 /nfs/dbraw/zinc/55/10/97/751551097.db2.gz DIJDSRITLNTNGE-ZDUSSCGKSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)on2)C1 ZINC001035465019 751551099 /nfs/dbraw/zinc/55/10/99/751551099.db2.gz DIJDSRITLNTNGE-ZDUSSCGKSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC001035505249 751560728 /nfs/dbraw/zinc/56/07/28/751560728.db2.gz ZXLXUESCPRBSEH-SNVBAGLBSA-N 1 2 314.336 1.354 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC001035505249 751560733 /nfs/dbraw/zinc/56/07/33/751560733.db2.gz ZXLXUESCPRBSEH-SNVBAGLBSA-N 1 2 314.336 1.354 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cn(C)nn3)[C@@H]2CC)C1 ZINC001087717961 740719865 /nfs/dbraw/zinc/71/98/65/740719865.db2.gz UFKALBMOYOYEGQ-LSDHHAIUSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cn(C)nn3)[C@@H]2CC)C1 ZINC001087717961 740719866 /nfs/dbraw/zinc/71/98/66/740719866.db2.gz UFKALBMOYOYEGQ-LSDHHAIUSA-N 1 2 317.437 1.641 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C[C@@H](C=C)CC)C2)nn1 ZINC001098706002 740760288 /nfs/dbraw/zinc/76/02/88/740760288.db2.gz OQMLMHBXUJTYKV-HOCLYGCPSA-N 1 2 315.421 1.377 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@H]1CC ZINC001087830269 740772050 /nfs/dbraw/zinc/77/20/50/740772050.db2.gz WUNAXAUHTUMVBA-JKSUJKDBSA-N 1 2 314.433 1.895 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@H]1CC ZINC001087830269 740772051 /nfs/dbraw/zinc/77/20/51/740772051.db2.gz WUNAXAUHTUMVBA-JKSUJKDBSA-N 1 2 314.433 1.895 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3cn[nH]n3)C[C@@H]2C1 ZINC001088111927 741039342 /nfs/dbraw/zinc/03/93/42/741039342.db2.gz CTFORQVLVMDMKX-OLZOCXBDSA-N 1 2 323.828 1.270 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3c[nH]nn3)C[C@@H]2C1 ZINC001088111927 741039347 /nfs/dbraw/zinc/03/93/47/741039347.db2.gz CTFORQVLVMDMKX-OLZOCXBDSA-N 1 2 323.828 1.270 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2scnc2C(C)C)C1 ZINC001035534733 751615926 /nfs/dbraw/zinc/61/59/26/751615926.db2.gz BPWVXGHNIGUWMM-ZDUSSCGKSA-N 1 2 321.446 1.720 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2scnc2C(C)C)C1 ZINC001035534733 751615931 /nfs/dbraw/zinc/61/59/31/751615931.db2.gz BPWVXGHNIGUWMM-ZDUSSCGKSA-N 1 2 321.446 1.720 20 30 DDEDLO CCCn1ncnc1C[N@H+](C)C[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001029679309 741220530 /nfs/dbraw/zinc/22/05/30/741220530.db2.gz ZLGWGRXOLRZAAZ-KGLIPLIRSA-N 1 2 318.425 1.128 20 30 DDEDLO CCCn1ncnc1C[N@@H+](C)C[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001029679309 741220531 /nfs/dbraw/zinc/22/05/31/741220531.db2.gz ZLGWGRXOLRZAAZ-KGLIPLIRSA-N 1 2 318.425 1.128 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3cnccc3c2)C1 ZINC001035566497 751620038 /nfs/dbraw/zinc/62/00/38/751620038.db2.gz GUPNCPZYZGSMIF-QGZVFWFLSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3cnccc3c2)C1 ZINC001035566497 751620044 /nfs/dbraw/zinc/62/00/44/751620044.db2.gz GUPNCPZYZGSMIF-QGZVFWFLSA-N 1 2 311.385 1.851 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn(CC)c2C)C1 ZINC001035555388 751637023 /nfs/dbraw/zinc/63/70/23/751637023.db2.gz IMBUPDGCMZDPFV-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn(CC)c2C)C1 ZINC001035555388 751637026 /nfs/dbraw/zinc/63/70/26/751637026.db2.gz IMBUPDGCMZDPFV-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc(OC(C)C)c2)C1 ZINC001035576905 751664750 /nfs/dbraw/zinc/66/47/50/751664750.db2.gz JOTYIJFOZSSCSM-HNNXBMFYSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccnc(OC(C)C)c2)C1 ZINC001035576905 751664754 /nfs/dbraw/zinc/66/47/54/751664754.db2.gz JOTYIJFOZSSCSM-HNNXBMFYSA-N 1 2 319.405 1.485 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccn(C)c1=O)C2 ZINC001098240140 741758954 /nfs/dbraw/zinc/75/89/54/741758954.db2.gz IJLUMKKYYPIRFP-BNOWGMLFSA-N 1 2 321.808 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccn(C)c1=O)C2 ZINC001098240140 741758957 /nfs/dbraw/zinc/75/89/57/741758957.db2.gz IJLUMKKYYPIRFP-BNOWGMLFSA-N 1 2 321.808 1.473 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2csc(Cl)n2)C1 ZINC001035578682 751667502 /nfs/dbraw/zinc/66/75/02/751667502.db2.gz UDRCXHVWMRIHCI-JTQLQIEISA-N 1 2 315.826 1.803 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2csc(Cl)n2)C1 ZINC001035578682 751667510 /nfs/dbraw/zinc/66/75/10/751667510.db2.gz UDRCXHVWMRIHCI-JTQLQIEISA-N 1 2 315.826 1.803 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C)cc2OC)C1 ZINC001035589279 751683757 /nfs/dbraw/zinc/68/37/57/751683757.db2.gz ODKHAIDLXSKUPU-AWEZNQCLSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C)cc2OC)C1 ZINC001035589279 751683760 /nfs/dbraw/zinc/68/37/60/751683760.db2.gz ODKHAIDLXSKUPU-AWEZNQCLSA-N 1 2 304.390 1.620 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCC2CCC2)[C@H](OC)C1 ZINC001212100446 741992290 /nfs/dbraw/zinc/99/22/90/741992290.db2.gz MHKXRSYASZYWGL-HZPDHXFCSA-N 1 2 308.422 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCC2CCC2)[C@H](OC)C1 ZINC001212100446 741992293 /nfs/dbraw/zinc/99/22/93/741992293.db2.gz MHKXRSYASZYWGL-HZPDHXFCSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2sc(C3CC3)nc2C)[C@@H](O)C1 ZINC001083456848 741996569 /nfs/dbraw/zinc/99/65/69/741996569.db2.gz PMBCIWRAXPKQFU-OLZOCXBDSA-N 1 2 319.430 1.127 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2sc(C3CC3)nc2C)[C@@H](O)C1 ZINC001083456848 741996570 /nfs/dbraw/zinc/99/65/70/741996570.db2.gz PMBCIWRAXPKQFU-OLZOCXBDSA-N 1 2 319.430 1.127 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(OCC)n[nH]2)[C@H]1C ZINC001088652620 742050148 /nfs/dbraw/zinc/05/01/48/742050148.db2.gz FIKCUWGLGSMJKB-MNOVXSKESA-N 1 2 312.801 1.754 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(OCC)n[nH]2)[C@H]1C ZINC001088652620 742050153 /nfs/dbraw/zinc/05/01/53/742050153.db2.gz FIKCUWGLGSMJKB-MNOVXSKESA-N 1 2 312.801 1.754 20 30 DDEDLO Cc1nc(NC[C@H]2CCCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001060016137 742210990 /nfs/dbraw/zinc/21/09/90/742210990.db2.gz SQSVDEQBCHUUCH-CYBMUJFWSA-N 1 2 324.388 1.949 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038131790 742334241 /nfs/dbraw/zinc/33/42/41/742334241.db2.gz BLLGYCVNGIUDOG-CYBMUJFWSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038131790 742334247 /nfs/dbraw/zinc/33/42/47/742334247.db2.gz BLLGYCVNGIUDOG-CYBMUJFWSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1c(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)cnn1C ZINC001038063053 742423191 /nfs/dbraw/zinc/42/31/91/742423191.db2.gz IBZPJFABOBTQPG-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1c(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)cnn1C ZINC001038063053 742423193 /nfs/dbraw/zinc/42/31/93/742423193.db2.gz IBZPJFABOBTQPG-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC1CC1)NC(=O)CCCn1cc[nH+]c1 ZINC001076432460 742731038 /nfs/dbraw/zinc/73/10/38/742731038.db2.gz RCDOBMMEYJZETF-AWEZNQCLSA-N 1 2 316.405 1.088 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001016304361 743122461 /nfs/dbraw/zinc/12/24/61/743122461.db2.gz GTQVVFWGNTVROL-WCQYABFASA-N 1 2 308.813 1.458 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001016304361 743122466 /nfs/dbraw/zinc/12/24/66/743122466.db2.gz GTQVVFWGNTVROL-WCQYABFASA-N 1 2 308.813 1.458 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCCN(C(=O)Cc2cc[nH+]c(N)c2)C1 ZINC001181257807 743145544 /nfs/dbraw/zinc/14/55/44/743145544.db2.gz VRNITIXZSOSMHI-CYBMUJFWSA-N 1 2 318.377 1.110 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CCCC(C)=O)c2C1 ZINC001128191851 743224751 /nfs/dbraw/zinc/22/47/51/743224751.db2.gz KTIGHRVIEFCLBS-UHFFFAOYSA-N 1 2 318.421 1.650 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CCCC(C)=O)c2C1 ZINC001128191851 743224756 /nfs/dbraw/zinc/22/47/56/743224756.db2.gz KTIGHRVIEFCLBS-UHFFFAOYSA-N 1 2 318.421 1.650 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccccc3nc2C)C1 ZINC001077082024 743228625 /nfs/dbraw/zinc/22/86/25/743228625.db2.gz XAZJJHOKIGHCRZ-QZTJIDSGSA-N 1 2 323.396 1.341 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccccc3nc2C)C1 ZINC001077082024 743228627 /nfs/dbraw/zinc/22/86/27/743228627.db2.gz XAZJJHOKIGHCRZ-QZTJIDSGSA-N 1 2 323.396 1.341 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC001077361188 743426083 /nfs/dbraw/zinc/42/60/83/743426083.db2.gz RRCXPABIYMJTNP-VXGBXAGGSA-N 1 2 302.330 1.501 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC001077361188 743426085 /nfs/dbraw/zinc/42/60/85/743426085.db2.gz RRCXPABIYMJTNP-VXGBXAGGSA-N 1 2 302.330 1.501 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001182450438 743631638 /nfs/dbraw/zinc/63/16/38/743631638.db2.gz VQECMGWNOGZENO-CYBMUJFWSA-N 1 2 318.421 1.762 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2ncc(C3CC3)o2)C1 ZINC001182505131 743650163 /nfs/dbraw/zinc/65/01/63/743650163.db2.gz QIWAVDDBKVRDKX-TZMCWYRMSA-N 1 2 317.389 1.281 20 30 DDEDLO COC1CC(C(=O)NC[C@@H]2CC[N@@H+]2CC#Cc2ccccc2)C1 ZINC001038383244 743687673 /nfs/dbraw/zinc/68/76/73/743687673.db2.gz JKFPNQRNRKUBDO-ADKAHSJRSA-N 1 2 312.413 1.654 20 30 DDEDLO COC1CC(C(=O)NC[C@@H]2CC[N@H+]2CC#Cc2ccccc2)C1 ZINC001038383244 743687675 /nfs/dbraw/zinc/68/76/75/743687675.db2.gz JKFPNQRNRKUBDO-ADKAHSJRSA-N 1 2 312.413 1.654 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001212187132 744265280 /nfs/dbraw/zinc/26/52/80/744265280.db2.gz KLDVJRAIHRTTBU-HUUCEWRRSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001212187132 744265282 /nfs/dbraw/zinc/26/52/82/744265282.db2.gz KLDVJRAIHRTTBU-HUUCEWRRSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)/C=C/C3CC3)C2)nn1 ZINC001185915301 744313583 /nfs/dbraw/zinc/31/35/83/744313583.db2.gz BZXHXKRPBUIYKU-KXPUMZMLSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1ccnnc1)CC2 ZINC001035805077 751908703 /nfs/dbraw/zinc/90/87/03/751908703.db2.gz AWHYALUXBMWMQK-UHFFFAOYSA-N 1 2 306.797 1.767 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)CSCC#N)[C@@H]3C2)co1 ZINC001186917383 744473185 /nfs/dbraw/zinc/47/31/85/744473185.db2.gz MQTYDASUCPOBRN-GXTWGEPZSA-N 1 2 320.418 1.273 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)CSCC#N)[C@@H]3C2)co1 ZINC001186917383 744473189 /nfs/dbraw/zinc/47/31/89/744473189.db2.gz MQTYDASUCPOBRN-GXTWGEPZSA-N 1 2 320.418 1.273 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001187295724 744543246 /nfs/dbraw/zinc/54/32/46/744543246.db2.gz FKESRWHRYNYNLK-LSDHHAIUSA-N 1 2 318.421 1.138 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001187295724 744543248 /nfs/dbraw/zinc/54/32/48/744543248.db2.gz FKESRWHRYNYNLK-LSDHHAIUSA-N 1 2 318.421 1.138 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](CCc3cnn(C)c3)C2)cc1 ZINC001030775519 744608986 /nfs/dbraw/zinc/60/89/86/744608986.db2.gz OXUUBNDKECAAIL-UHFFFAOYSA-N 1 2 308.385 1.058 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)oc1C ZINC001187804552 744610059 /nfs/dbraw/zinc/61/00/59/744610059.db2.gz NDQPBAUMESUJFK-JKSUJKDBSA-N 1 2 315.417 1.984 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)oc1C ZINC001187804552 744610062 /nfs/dbraw/zinc/61/00/62/744610062.db2.gz NDQPBAUMESUJFK-JKSUJKDBSA-N 1 2 315.417 1.984 20 30 DDEDLO Cc1nnc(C[NH2+][C@@H]2CCN(C(=O)CC#Cc3ccccc3)C2)o1 ZINC001188046421 744649288 /nfs/dbraw/zinc/64/92/88/744649288.db2.gz INPOUXTZLYIYBY-MRXNPFEDSA-N 1 2 324.384 1.510 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001188081068 744657425 /nfs/dbraw/zinc/65/74/25/744657425.db2.gz WKULGHDAMSUAFI-LSDHHAIUSA-N 1 2 300.406 1.866 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001188081068 744657427 /nfs/dbraw/zinc/65/74/27/744657427.db2.gz WKULGHDAMSUAFI-LSDHHAIUSA-N 1 2 300.406 1.866 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188982713 744803096 /nfs/dbraw/zinc/80/30/96/744803096.db2.gz LQKAIPFTAKWZTL-PWSUYJOCSA-N 1 2 323.422 1.229 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188982713 744803099 /nfs/dbraw/zinc/80/30/99/744803099.db2.gz LQKAIPFTAKWZTL-PWSUYJOCSA-N 1 2 323.422 1.229 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)c1cc(OC)ccc1C#N ZINC001189096315 744827684 /nfs/dbraw/zinc/82/76/84/744827684.db2.gz ILRKBMUYOGJHCL-UHFFFAOYSA-N 1 2 314.345 1.340 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)CCOCC)C1 ZINC001189300840 744862820 /nfs/dbraw/zinc/86/28/20/744862820.db2.gz NOZYLNGTMUTZOR-GFCCVEGCSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)CCOCC)C1 ZINC001189300840 744862823 /nfs/dbraw/zinc/86/28/23/744862823.db2.gz NOZYLNGTMUTZOR-GFCCVEGCSA-N 1 2 319.243 1.854 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001006977495 751968050 /nfs/dbraw/zinc/96/80/50/751968050.db2.gz NQXUZTAJFAMJEC-KRWDZBQOSA-N 1 2 323.400 1.605 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001006977495 751968054 /nfs/dbraw/zinc/96/80/54/751968054.db2.gz NQXUZTAJFAMJEC-KRWDZBQOSA-N 1 2 323.400 1.605 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001190439682 745220840 /nfs/dbraw/zinc/22/08/40/745220840.db2.gz BOPLZLQNRCSKPY-OFQRWUPVSA-N 1 2 318.421 1.044 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001190439682 745220848 /nfs/dbraw/zinc/22/08/48/745220848.db2.gz BOPLZLQNRCSKPY-OFQRWUPVSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCCC[N@H+]2C)nnc1N1CCOCC1 ZINC001122537276 745554919 /nfs/dbraw/zinc/55/49/19/745554919.db2.gz SKHCZKFJMJQXCW-AWEZNQCLSA-N 1 2 305.426 1.848 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1CCOCC1 ZINC001122537276 745554922 /nfs/dbraw/zinc/55/49/22/745554922.db2.gz SKHCZKFJMJQXCW-AWEZNQCLSA-N 1 2 305.426 1.848 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@H]1O ZINC001192503353 745798977 /nfs/dbraw/zinc/79/89/77/745798977.db2.gz BHCDBMLFHANLBT-HZPDHXFCSA-N 1 2 320.820 1.805 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@H]1O ZINC001192503353 745798980 /nfs/dbraw/zinc/79/89/80/745798980.db2.gz BHCDBMLFHANLBT-HZPDHXFCSA-N 1 2 320.820 1.805 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)CCNc1cc[nH+]c(C)n1 ZINC001106572726 745801506 /nfs/dbraw/zinc/80/15/06/745801506.db2.gz XDADTWFSYJDGCF-YUTCNCBUSA-N 1 2 304.394 1.683 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CN2CCOCC2)[C@H]1C ZINC000993228315 745921147 /nfs/dbraw/zinc/92/11/47/745921147.db2.gz DJUUBQAGNGWWNR-KGLIPLIRSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CN2CCOCC2)[C@H]1C ZINC000993228315 745921152 /nfs/dbraw/zinc/92/11/52/745921152.db2.gz DJUUBQAGNGWWNR-KGLIPLIRSA-N 1 2 315.845 1.040 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NCc1c[nH+]cn1Cc1cccc(F)c1 ZINC001193179083 746020355 /nfs/dbraw/zinc/02/03/55/746020355.db2.gz XOEAZAHSDRYTIT-NSHDSACASA-N 1 2 322.365 1.402 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1O ZINC001193400072 746091779 /nfs/dbraw/zinc/09/17/79/746091779.db2.gz IIVSIWZIDXTRMJ-HUUCEWRRSA-N 1 2 307.394 1.255 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1O ZINC001193400072 746091783 /nfs/dbraw/zinc/09/17/83/746091783.db2.gz IIVSIWZIDXTRMJ-HUUCEWRRSA-N 1 2 307.394 1.255 20 30 DDEDLO C#CCOc1ncccc1C(=O)NC1C[NH+](CC[C@H](C)F)C1 ZINC001031276016 746115934 /nfs/dbraw/zinc/11/59/34/746115934.db2.gz GPVWDXAUMKLVNI-LBPRGKRZSA-N 1 2 305.353 1.256 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)cn2)C1 ZINC001194381732 746361316 /nfs/dbraw/zinc/36/13/16/746361316.db2.gz MKDRCUVTFDBJKN-OAHLLOKOSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)cn2)C1 ZINC001194381732 746361322 /nfs/dbraw/zinc/36/13/22/746361322.db2.gz MKDRCUVTFDBJKN-OAHLLOKOSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001194396520 746365964 /nfs/dbraw/zinc/36/59/64/746365964.db2.gz DZUYCEKZIAKISO-HIFRSBDPSA-N 1 2 306.410 1.044 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001194396520 746365968 /nfs/dbraw/zinc/36/59/68/746365968.db2.gz DZUYCEKZIAKISO-HIFRSBDPSA-N 1 2 306.410 1.044 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(CC)n2)C1 ZINC001194407336 746369387 /nfs/dbraw/zinc/36/93/87/746369387.db2.gz KWLOVIKQGXIONP-GOEBONIOSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(CC)n2)C1 ZINC001194407336 746369390 /nfs/dbraw/zinc/36/93/90/746369390.db2.gz KWLOVIKQGXIONP-GOEBONIOSA-N 1 2 320.437 1.527 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCC(F)(F)F ZINC001032638025 752086016 /nfs/dbraw/zinc/08/60/16/752086016.db2.gz GDVDZBZBMVURHD-RVMXOQNASA-N 1 2 318.339 1.815 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCC(F)(F)F ZINC001032638025 752086022 /nfs/dbraw/zinc/08/60/22/752086022.db2.gz GDVDZBZBMVURHD-RVMXOQNASA-N 1 2 318.339 1.815 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CCC[N@H+](CC(=C)Cl)[C@@H]2C)nn1 ZINC000993950206 746403726 /nfs/dbraw/zinc/40/37/26/746403726.db2.gz LOZXLTPOSHZGGB-OLZOCXBDSA-N 1 2 323.828 1.799 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CCC[N@@H+](CC(=C)Cl)[C@@H]2C)nn1 ZINC000993950206 746403729 /nfs/dbraw/zinc/40/37/29/746403729.db2.gz LOZXLTPOSHZGGB-OLZOCXBDSA-N 1 2 323.828 1.799 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2C)CC1 ZINC001194700130 746429434 /nfs/dbraw/zinc/42/94/34/746429434.db2.gz ZJCFGLUAPLVWNN-HZPDHXFCSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@H]2C)CC1 ZINC001194700130 746429435 /nfs/dbraw/zinc/42/94/35/746429435.db2.gz ZJCFGLUAPLVWNN-HZPDHXFCSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001194700131 746429486 /nfs/dbraw/zinc/42/94/86/746429486.db2.gz ZJCFGLUAPLVWNN-JKSUJKDBSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001194700131 746429491 /nfs/dbraw/zinc/42/94/91/746429491.db2.gz ZJCFGLUAPLVWNN-JKSUJKDBSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cccn(C)c2=O)[C@H]1C ZINC000994239722 746506218 /nfs/dbraw/zinc/50/62/18/746506218.db2.gz KCDGLVZPIKHEBF-OCCSQVGLSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cccn(C)c2=O)[C@H]1C ZINC000994239722 746506219 /nfs/dbraw/zinc/50/62/19/746506219.db2.gz KCDGLVZPIKHEBF-OCCSQVGLSA-N 1 2 323.824 1.721 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](C[C@@H](C)CS(C)(=O)=O)CC1 ZINC001195232755 746546421 /nfs/dbraw/zinc/54/64/21/746546421.db2.gz NORRJNMCOHWQAD-CQSZACIVSA-N 1 2 316.467 1.168 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](C[C@@H](C)CS(C)(=O)=O)CC1 ZINC001195232755 746546425 /nfs/dbraw/zinc/54/64/25/746546425.db2.gz NORRJNMCOHWQAD-CQSZACIVSA-N 1 2 316.467 1.168 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2ccc(C#N)s2)CC1 ZINC001195314585 746574636 /nfs/dbraw/zinc/57/46/36/746574636.db2.gz NKCMQHBNLVSCAV-UHFFFAOYSA-N 1 2 319.430 1.857 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2ccc(C#N)s2)CC1 ZINC001195314585 746574637 /nfs/dbraw/zinc/57/46/37/746574637.db2.gz NKCMQHBNLVSCAV-UHFFFAOYSA-N 1 2 319.430 1.857 20 30 DDEDLO CC[C@H](C)NC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195754668 746686909 /nfs/dbraw/zinc/68/69/09/746686909.db2.gz RNNOSYWJYYYDTB-HOTGVXAUSA-N 1 2 321.465 1.483 20 30 DDEDLO CC[C@H](C)NC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195754668 746686911 /nfs/dbraw/zinc/68/69/11/746686911.db2.gz RNNOSYWJYYYDTB-HOTGVXAUSA-N 1 2 321.465 1.483 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1)Nc1ccc(C#N)nc1 ZINC001089381988 746760305 /nfs/dbraw/zinc/76/03/05/746760305.db2.gz WJSDPEHHWSMZSK-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001196474823 746870359 /nfs/dbraw/zinc/87/03/59/746870359.db2.gz LRSJZSLJULMXHX-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001196474823 746870365 /nfs/dbraw/zinc/87/03/65/746870365.db2.gz LRSJZSLJULMXHX-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001196580780 746912332 /nfs/dbraw/zinc/91/23/32/746912332.db2.gz BJCXMTHGSILUIT-RHSMWYFYSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001196580780 746912336 /nfs/dbraw/zinc/91/23/36/746912336.db2.gz BJCXMTHGSILUIT-RHSMWYFYSA-N 1 2 305.422 1.013 20 30 DDEDLO Cn1c[nH+]cc1CNS(=O)(=O)c1cc(Cl)ccc1C#N ZINC001196639924 746919871 /nfs/dbraw/zinc/91/98/71/746919871.db2.gz VQLGGVIRSWUACA-UHFFFAOYSA-N 1 2 310.766 1.424 20 30 DDEDLO C[C@H]1C[C@H]1C(=O)NCC1C[NH+](Cc2ccc(C#N)cc2F)C1 ZINC001031521405 746951454 /nfs/dbraw/zinc/95/14/54/746951454.db2.gz DFOMBUORABGVHD-XHDPSFHLSA-N 1 2 301.365 1.901 20 30 DDEDLO CC#CCCCC(=O)N(C)CCOCCNc1cc[nH+]c(C)n1 ZINC001127447769 746970488 /nfs/dbraw/zinc/97/04/88/746970488.db2.gz PYRRDTMNUIAWKR-UHFFFAOYSA-N 1 2 318.421 1.866 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)[C@H]3CCCO3)C2)c1 ZINC001031535530 746978353 /nfs/dbraw/zinc/97/83/53/746978353.db2.gz WBZXRXFCMOMZOO-MRXNPFEDSA-N 1 2 317.364 1.424 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@H+](Cc2ccnn2C)CC1 ZINC001196853865 746991286 /nfs/dbraw/zinc/99/12/86/746991286.db2.gz MPWLAFVXJDJZMP-CABCVRRESA-N 1 2 304.438 1.913 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccnn2C)CC1 ZINC001196853865 746991289 /nfs/dbraw/zinc/99/12/89/746991289.db2.gz MPWLAFVXJDJZMP-CABCVRRESA-N 1 2 304.438 1.913 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001128414218 747037524 /nfs/dbraw/zinc/03/75/24/747037524.db2.gz WJPSFIXEHRSBDE-UHFFFAOYSA-N 1 2 310.423 1.664 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1cscn1 ZINC001031574385 747077071 /nfs/dbraw/zinc/07/70/71/747077071.db2.gz OBWHNNWBJLDBOX-UHFFFAOYSA-N 1 2 311.410 1.856 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCCC ZINC001110493294 747173487 /nfs/dbraw/zinc/17/34/87/747173487.db2.gz LIOAMWWVBXUZDJ-OAGGEKHMSA-N 1 2 319.449 1.428 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCCC ZINC001110493294 747173488 /nfs/dbraw/zinc/17/34/88/747173488.db2.gz LIOAMWWVBXUZDJ-OAGGEKHMSA-N 1 2 319.449 1.428 20 30 DDEDLO CC#CC[NH+]1CCC(NC(=O)c2nnc3ccccc3c2O)CC1 ZINC001003723421 747410126 /nfs/dbraw/zinc/41/01/26/747410126.db2.gz VAJOVWQTUGXPFZ-UHFFFAOYSA-N 1 2 324.384 1.553 20 30 DDEDLO C=CCC[N@H+]1CCCN(C(=O)CS(=O)(=O)CCCC)CC1 ZINC001198282941 747435466 /nfs/dbraw/zinc/43/54/66/747435466.db2.gz KMIRKBQANKDOKR-UHFFFAOYSA-N 1 2 316.467 1.312 20 30 DDEDLO C=CCC[N@@H+]1CCCN(C(=O)CS(=O)(=O)CCCC)CC1 ZINC001198282941 747435469 /nfs/dbraw/zinc/43/54/69/747435469.db2.gz KMIRKBQANKDOKR-UHFFFAOYSA-N 1 2 316.467 1.312 20 30 DDEDLO CC[N@H+](Cc1nccn1C(F)F)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152449854 747491782 /nfs/dbraw/zinc/49/17/82/747491782.db2.gz KJRHPQIPDXFLEI-WDEREUQCSA-N 1 2 313.352 1.764 20 30 DDEDLO CC[N@@H+](Cc1nccn1C(F)F)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152449854 747491787 /nfs/dbraw/zinc/49/17/87/747491787.db2.gz KJRHPQIPDXFLEI-WDEREUQCSA-N 1 2 313.352 1.764 20 30 DDEDLO C=CCCC(=O)NC[C@@H]([NH2+]CC(=O)NC)c1ccccc1OC ZINC001198595949 747542640 /nfs/dbraw/zinc/54/26/40/747542640.db2.gz NXFXZCMLSWBKDP-CQSZACIVSA-N 1 2 319.405 1.154 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CCC(NC(=O)c2nnc[nH]2)CC1 ZINC001003814204 747545578 /nfs/dbraw/zinc/54/55/78/747545578.db2.gz QOYVOWNKUNUBNB-UHFFFAOYSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC000998745366 752191927 /nfs/dbraw/zinc/19/19/27/752191927.db2.gz HFJVAUBFESUUKL-RKDXNWHRSA-N 1 2 313.308 1.247 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1O ZINC001199284446 747774481 /nfs/dbraw/zinc/77/44/81/747774481.db2.gz BSKAUSMRRSKDJS-HZPDHXFCSA-N 1 2 322.380 1.080 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1O ZINC001199284446 747774482 /nfs/dbraw/zinc/77/44/82/747774482.db2.gz BSKAUSMRRSKDJS-HZPDHXFCSA-N 1 2 322.380 1.080 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(C)(C)C ZINC001110533285 747856293 /nfs/dbraw/zinc/85/62/93/747856293.db2.gz PSPKIVMLAXAELG-KFWWJZLASA-N 1 2 319.449 1.282 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC(C)(C)C ZINC001110533285 747856303 /nfs/dbraw/zinc/85/63/03/747856303.db2.gz PSPKIVMLAXAELG-KFWWJZLASA-N 1 2 319.449 1.282 20 30 DDEDLO CC#CC[NH2+]C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200554872 748276749 /nfs/dbraw/zinc/27/67/49/748276749.db2.gz MMNSZRXAMVWLJW-WDEREUQCSA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[NH2+]C[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCCO1 ZINC001200554872 748276754 /nfs/dbraw/zinc/27/67/54/748276754.db2.gz MMNSZRXAMVWLJW-WDEREUQCSA-N 1 2 310.291 1.117 20 30 DDEDLO CCc1nocc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031955840 748294544 /nfs/dbraw/zinc/29/45/44/748294544.db2.gz RRIGWHLNLAZWFS-UHFFFAOYSA-N 1 2 324.384 1.970 20 30 DDEDLO Cc1c[nH]c(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)n1 ZINC001032040638 748483347 /nfs/dbraw/zinc/48/33/47/748483347.db2.gz OHZLPOTWGAYUIF-UHFFFAOYSA-N 1 2 308.385 1.431 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(CC)[nH]c(=O)c2)C1 ZINC001108076039 748505666 /nfs/dbraw/zinc/50/56/66/748505666.db2.gz HQMYRYBLAQTGNY-QGZVFWFLSA-N 1 2 319.405 1.356 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(CC)[nH]c(=O)c2)C1 ZINC001108076039 748505670 /nfs/dbraw/zinc/50/56/70/748505670.db2.gz HQMYRYBLAQTGNY-QGZVFWFLSA-N 1 2 319.405 1.356 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2cccnn2)C1 ZINC001032066395 748554247 /nfs/dbraw/zinc/55/42/47/748554247.db2.gz QSTZVEMSEBRNRV-UHFFFAOYSA-N 1 2 307.357 1.210 20 30 DDEDLO CCn1cc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)nn1 ZINC001004592657 748572626 /nfs/dbraw/zinc/57/26/26/748572626.db2.gz NQOVPIMFJUZSES-OAHLLOKOSA-N 1 2 316.409 1.138 20 30 DDEDLO CCn1cc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)nn1 ZINC001004592657 748572631 /nfs/dbraw/zinc/57/26/31/748572631.db2.gz NQOVPIMFJUZSES-OAHLLOKOSA-N 1 2 316.409 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnc3n2CCC3)C1 ZINC001033157432 748669720 /nfs/dbraw/zinc/66/97/20/748669720.db2.gz MDSKEGOFVPIIMT-LBPRGKRZSA-N 1 2 308.813 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnc3n2CCC3)C1 ZINC001033157432 748669724 /nfs/dbraw/zinc/66/97/24/748669724.db2.gz MDSKEGOFVPIIMT-LBPRGKRZSA-N 1 2 308.813 1.728 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnc3n2CCOC3)C1 ZINC001033163359 748691623 /nfs/dbraw/zinc/69/16/23/748691623.db2.gz JQMVCIOPBQUMLK-LBPRGKRZSA-N 1 2 324.812 1.312 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnc3n2CCOC3)C1 ZINC001033163359 748691632 /nfs/dbraw/zinc/69/16/32/748691632.db2.gz JQMVCIOPBQUMLK-LBPRGKRZSA-N 1 2 324.812 1.312 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2COc3ccccc3O2)C1 ZINC001032166471 748761257 /nfs/dbraw/zinc/76/12/57/748761257.db2.gz ZGYQNCWHNPBOLB-HNNXBMFYSA-N 1 2 322.792 1.627 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2nccc3occc32)C1 ZINC001108089496 748781646 /nfs/dbraw/zinc/78/16/46/748781646.db2.gz GWCLUDCNEPKRQB-KRWDZBQOSA-N 1 2 315.373 1.835 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2nccc3occc32)C1 ZINC001108089496 748781647 /nfs/dbraw/zinc/78/16/47/748781647.db2.gz GWCLUDCNEPKRQB-KRWDZBQOSA-N 1 2 315.373 1.835 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001108320479 761896292 /nfs/dbraw/zinc/89/62/92/761896292.db2.gz HDGFGIMQKAJPPG-KRWDZBQOSA-N 1 2 319.405 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001108320479 761896298 /nfs/dbraw/zinc/89/62/98/761896298.db2.gz HDGFGIMQKAJPPG-KRWDZBQOSA-N 1 2 319.405 1.080 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2CN(C(=O)[C@@H](C)C#N)CC2(C)C)n1 ZINC000995581489 748958683 /nfs/dbraw/zinc/95/86/83/748958683.db2.gz MZUJQFZRLDGOPP-NWDGAFQWSA-N 1 2 319.409 1.679 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CC[C@H]2CCCOC2)C1 ZINC001108312992 761907900 /nfs/dbraw/zinc/90/79/00/761907900.db2.gz VHFSXSGAKCMZGO-NVXWUHKLSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CC[C@H]2CCCOC2)C1 ZINC001108312992 761907908 /nfs/dbraw/zinc/90/79/08/761907908.db2.gz VHFSXSGAKCMZGO-NVXWUHKLSA-N 1 2 310.438 1.586 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnc3nccn3c2)C1 ZINC001033265729 749087111 /nfs/dbraw/zinc/08/71/11/749087111.db2.gz MRBKJGVWIQHTKW-CYBMUJFWSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc3nccn3c2)C1 ZINC001033265729 749087115 /nfs/dbraw/zinc/08/71/15/749087115.db2.gz MRBKJGVWIQHTKW-CYBMUJFWSA-N 1 2 319.796 1.628 20 30 DDEDLO CN(C(=O)c1cnco1)[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001033306196 749157838 /nfs/dbraw/zinc/15/78/38/749157838.db2.gz BAHHMMNPWJTCGT-NSHDSACASA-N 1 2 316.386 1.954 20 30 DDEDLO CN(C(=O)c1cnco1)[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001033306196 749157840 /nfs/dbraw/zinc/15/78/40/749157840.db2.gz BAHHMMNPWJTCGT-NSHDSACASA-N 1 2 316.386 1.954 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2CC[N@H+](Cc3ccn(C)n3)C2)C1 ZINC001033394886 749286200 /nfs/dbraw/zinc/28/62/00/749286200.db2.gz AJCOKFTWPPSVBI-HNNXBMFYSA-N 1 2 302.422 1.809 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2CC[N@@H+](Cc3ccn(C)n3)C2)C1 ZINC001033394886 749286204 /nfs/dbraw/zinc/28/62/04/749286204.db2.gz AJCOKFTWPPSVBI-HNNXBMFYSA-N 1 2 302.422 1.809 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2c[nH]c(=O)cc2C)C1 ZINC001033409392 749304266 /nfs/dbraw/zinc/30/42/66/749304266.db2.gz HFPFRQAQOJEZFY-LBPRGKRZSA-N 1 2 309.797 1.994 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2c[nH]c(=O)cc2C)C1 ZINC001033409392 749304276 /nfs/dbraw/zinc/30/42/76/749304276.db2.gz HFPFRQAQOJEZFY-LBPRGKRZSA-N 1 2 309.797 1.994 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@H]2CC[N@H+](Cc3ccn(C)n3)C2)c1 ZINC001033404572 749324448 /nfs/dbraw/zinc/32/44/48/749324448.db2.gz CULSWPZHKBIWKA-KRWDZBQOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3ccn(C)n3)C2)c1 ZINC001033404572 749324450 /nfs/dbraw/zinc/32/44/50/749324450.db2.gz CULSWPZHKBIWKA-KRWDZBQOSA-N 1 2 323.400 1.143 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H](C)C3CC3)nn2)C1 ZINC001107153170 749430446 /nfs/dbraw/zinc/43/04/46/749430446.db2.gz WHSRJVRANXDJNP-LBPRGKRZSA-N 1 2 303.410 1.373 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C[C@H](C)CC)nn2)C1 ZINC001107165108 749451258 /nfs/dbraw/zinc/45/12/58/749451258.db2.gz OFBGPXAQSUXXNL-CYBMUJFWSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001066657328 749467113 /nfs/dbraw/zinc/46/71/13/749467113.db2.gz INXKIQIQGBMRRI-ZDUSSCGKSA-N 1 2 304.394 1.637 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C(C)=C3CCC3)nn2)C1 ZINC001107167695 749473887 /nfs/dbraw/zinc/47/38/87/749473887.db2.gz HFZKZJCRJZLNCF-UHFFFAOYSA-N 1 2 301.394 1.437 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CC(C)(C)CC)nn2)C1 ZINC001107174723 749493228 /nfs/dbraw/zinc/49/32/28/749493228.db2.gz YXBQHKNXAJEGCR-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)COc2ccsc2)C1 ZINC001108345177 761963540 /nfs/dbraw/zinc/96/35/40/761963540.db2.gz XYILKFSAGCSETM-HNNXBMFYSA-N 1 2 310.419 1.520 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)COc2ccsc2)C1 ZINC001108345177 761963541 /nfs/dbraw/zinc/96/35/41/761963541.db2.gz XYILKFSAGCSETM-HNNXBMFYSA-N 1 2 310.419 1.520 20 30 DDEDLO N#Cc1cccnc1N1CCC(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001095359318 749597788 /nfs/dbraw/zinc/59/77/88/749597788.db2.gz HNGQIBBITYNJDS-UHFFFAOYSA-N 1 2 324.388 1.183 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)CC(C)C)nn2)C1 ZINC001107224766 749650901 /nfs/dbraw/zinc/65/09/01/749650901.db2.gz BYELJHDKDHHOAM-ZDUSSCGKSA-N 1 2 305.426 1.619 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](OC)C2CCCC2)C1 ZINC001108369227 761988537 /nfs/dbraw/zinc/98/85/37/761988537.db2.gz MXJIUFNUVPVYKJ-SJLPKXTDSA-N 1 2 322.449 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](OC)C2CCCC2)C1 ZINC001108369227 761988541 /nfs/dbraw/zinc/98/85/41/761988541.db2.gz MXJIUFNUVPVYKJ-SJLPKXTDSA-N 1 2 322.449 1.422 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095418515 749880857 /nfs/dbraw/zinc/88/08/57/749880857.db2.gz NQGYFFJWKRAIDQ-LBPRGKRZSA-N 1 2 304.394 1.461 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]1C[NH2+]Cc1nnc(C2CC2)o1 ZINC001044962881 749919432 /nfs/dbraw/zinc/91/94/32/749919432.db2.gz QWCVNFJGNPUWCE-PWSUYJOCSA-N 1 2 303.366 1.187 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001033832214 749953143 /nfs/dbraw/zinc/95/31/43/749953143.db2.gz DQGNIVVAUCFMDU-KBPBESRZSA-N 1 2 302.422 1.938 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001033832214 749953146 /nfs/dbraw/zinc/95/31/46/749953146.db2.gz DQGNIVVAUCFMDU-KBPBESRZSA-N 1 2 302.422 1.938 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)Cc2ccon2)c1 ZINC001032319575 749966077 /nfs/dbraw/zinc/96/60/77/749966077.db2.gz YOQSRORZCKHXNS-IRXDYDNUSA-N 1 2 322.368 1.574 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)Cc2ccon2)c1 ZINC001032319575 749966078 /nfs/dbraw/zinc/96/60/78/749966078.db2.gz YOQSRORZCKHXNS-IRXDYDNUSA-N 1 2 322.368 1.574 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033854043 749990859 /nfs/dbraw/zinc/99/08/59/749990859.db2.gz SGVBOTSVRDYCMP-STQMWFEESA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033854043 749990863 /nfs/dbraw/zinc/99/08/63/749990863.db2.gz SGVBOTSVRDYCMP-STQMWFEESA-N 1 2 313.829 1.140 20 30 DDEDLO N#CCN[C@@H]1CCCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001000614090 762004713 /nfs/dbraw/zinc/00/47/13/762004713.db2.gz MGNYMUKHZHUKLJ-OAHLLOKOSA-N 1 2 309.373 1.590 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCCCN(C)c1cc[nH+]c(C)n1 ZINC001095687085 750146539 /nfs/dbraw/zinc/14/65/39/750146539.db2.gz ONOWGSIGAXHHNH-QGZVFWFLSA-N 1 2 320.437 1.956 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@H]2O)CC=CC1 ZINC001077623489 750154194 /nfs/dbraw/zinc/15/41/94/750154194.db2.gz MITNCXPASPCIQD-QZTJIDSGSA-N 1 2 324.424 1.556 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@H]2O)CC=CC1 ZINC001077623489 750154196 /nfs/dbraw/zinc/15/41/96/750154196.db2.gz MITNCXPASPCIQD-QZTJIDSGSA-N 1 2 324.424 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2C(C)(C)C2(C)C)[C@@H](O)C1 ZINC001090169061 750158526 /nfs/dbraw/zinc/15/85/26/750158526.db2.gz FMVUTLJICSCENK-RYUDHWBXSA-N 1 2 314.857 1.973 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2C(C)(C)C2(C)C)[C@@H](O)C1 ZINC001090169061 750158528 /nfs/dbraw/zinc/15/85/28/750158528.db2.gz FMVUTLJICSCENK-RYUDHWBXSA-N 1 2 314.857 1.973 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cc(=O)n(C)o2)CC1 ZINC001000621367 762016215 /nfs/dbraw/zinc/01/62/15/762016215.db2.gz BYBCLARJMWJWNC-UHFFFAOYSA-N 1 2 311.769 1.093 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cc(=O)n(C)o2)CC1 ZINC001000621367 762016223 /nfs/dbraw/zinc/01/62/23/762016223.db2.gz BYBCLARJMWJWNC-UHFFFAOYSA-N 1 2 311.769 1.093 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nc(C)no1)C2 ZINC001111730076 750284321 /nfs/dbraw/zinc/28/43/21/750284321.db2.gz URHDDODSMSXOHK-YOEHRIQHSA-N 1 2 316.405 1.652 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nc(C)no1)C2 ZINC001111730076 750284326 /nfs/dbraw/zinc/28/43/26/750284326.db2.gz URHDDODSMSXOHK-YOEHRIQHSA-N 1 2 316.405 1.652 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCCS2(=O)=O)C1 ZINC001034045255 750310507 /nfs/dbraw/zinc/31/05/07/750310507.db2.gz JAPBFDDLUPNPJS-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCCS2(=O)=O)C1 ZINC001034045255 750310513 /nfs/dbraw/zinc/31/05/13/750310513.db2.gz JAPBFDDLUPNPJS-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C[C@H](CNc1ncc(C#N)cc1F)NC(=O)CCc1[nH]cc[nH+]1 ZINC001107707477 750411863 /nfs/dbraw/zinc/41/18/63/750411863.db2.gz OWYDMGPZOGCPJG-SNVBAGLBSA-N 1 2 316.340 1.365 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)/C=C/c2ccc[nH]2)C1 ZINC001107897679 750593075 /nfs/dbraw/zinc/59/30/75/750593075.db2.gz MOLQPQCJUPCPAX-OZSKJFCKSA-N 1 2 301.390 1.258 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)/C=C/c2ccc[nH]2)C1 ZINC001107897679 750593080 /nfs/dbraw/zinc/59/30/80/750593080.db2.gz MOLQPQCJUPCPAX-OZSKJFCKSA-N 1 2 301.390 1.258 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccn2CC)C1 ZINC001108401645 762054711 /nfs/dbraw/zinc/05/47/11/762054711.db2.gz UGFBENGJQPMRRI-KRWDZBQOSA-N 1 2 303.406 1.352 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccn2CC)C1 ZINC001108401645 762054717 /nfs/dbraw/zinc/05/47/17/762054717.db2.gz UGFBENGJQPMRRI-KRWDZBQOSA-N 1 2 303.406 1.352 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@H]1CC[C@H](NCC#N)CC1)C2 ZINC001034677410 750685829 /nfs/dbraw/zinc/68/58/29/750685829.db2.gz JRWMQQCAVCKOBR-MGPQQGTHSA-N 1 2 315.421 1.364 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@H]1CC[C@H](NCC#N)CC1)CC2 ZINC001034677410 750685832 /nfs/dbraw/zinc/68/58/32/750685832.db2.gz JRWMQQCAVCKOBR-MGPQQGTHSA-N 1 2 315.421 1.364 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)CCC1CC1)CC2 ZINC001127965060 750868459 /nfs/dbraw/zinc/86/84/59/750868459.db2.gz QUROMZCSVNVVIC-CQSZACIVSA-N 1 2 315.421 1.012 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]([C@@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001114634575 750895961 /nfs/dbraw/zinc/89/59/61/750895961.db2.gz SAOJUEVFRHERMP-TZMCWYRMSA-N 1 2 304.394 1.779 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCN(c2ccccc2)C1 ZINC001032469794 750944438 /nfs/dbraw/zinc/94/44/38/750944438.db2.gz CJZYHSUVROFYID-WDSOQIARSA-N 1 2 323.440 1.821 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCN(c2ccccc2)C1 ZINC001032469794 750944441 /nfs/dbraw/zinc/94/44/41/750944441.db2.gz CJZYHSUVROFYID-WDSOQIARSA-N 1 2 323.440 1.821 20 30 DDEDLO Cc1nc(NC[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001060834283 750954462 /nfs/dbraw/zinc/95/44/62/750954462.db2.gz JOQSRNSBNNNANS-GFCCVEGCSA-N 1 2 310.361 1.559 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nnc(C2CC2)o1 ZINC001034885215 750982458 /nfs/dbraw/zinc/98/24/58/750982458.db2.gz QWCVNFJGNPUWCE-CMPLNLGQSA-N 1 2 303.366 1.187 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001032482605 750986166 /nfs/dbraw/zinc/98/61/66/750986166.db2.gz FYVDXIPMBZELEW-QEJZJMRPSA-N 1 2 320.824 1.483 20 30 DDEDLO CC#CC[NH+]1CCC([C@H](C)NC(=O)c2[nH]nc(C)c2C)CC1 ZINC000997519689 751044504 /nfs/dbraw/zinc/04/45/04/751044504.db2.gz SRWPWHBIMGUOOY-AWEZNQCLSA-N 1 2 302.422 1.880 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001060864629 751053363 /nfs/dbraw/zinc/05/33/63/751053363.db2.gz XDJJGKVOILGRKO-HDJSIYSDSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2ccccc2c1O ZINC001032494689 751064783 /nfs/dbraw/zinc/06/47/83/751064783.db2.gz WKJMBUHUFYRFLU-STQMWFEESA-N 1 2 309.369 1.613 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2ccccc2c1O ZINC001032494689 751064788 /nfs/dbraw/zinc/06/47/88/751064788.db2.gz WKJMBUHUFYRFLU-STQMWFEESA-N 1 2 309.369 1.613 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc3[nH]c(=O)oc3c2)CC1 ZINC000957799526 751104605 /nfs/dbraw/zinc/10/46/05/751104605.db2.gz PSBAWDSFFALTHO-UHFFFAOYSA-N 1 2 301.346 1.867 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(Br)c1 ZINC001032525061 751192960 /nfs/dbraw/zinc/19/29/60/751192960.db2.gz BHEFNWNDHWXUKS-RYUDHWBXSA-N 1 2 322.206 1.699 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(Br)c1 ZINC001032525061 751192964 /nfs/dbraw/zinc/19/29/64/751192964.db2.gz BHEFNWNDHWXUKS-RYUDHWBXSA-N 1 2 322.206 1.699 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)C[C@@H](C)CC)CC2 ZINC001127991307 751197953 /nfs/dbraw/zinc/19/79/53/751197953.db2.gz UEPIYDWXKMKYEL-UONOGXRCSA-N 1 2 317.437 1.258 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001032658348 752626788 /nfs/dbraw/zinc/62/67/88/752626788.db2.gz GVFPICZDMOCKPR-YQQAZPJKSA-N 1 2 314.360 1.438 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001032658348 752626791 /nfs/dbraw/zinc/62/67/91/752626791.db2.gz GVFPICZDMOCKPR-YQQAZPJKSA-N 1 2 314.360 1.438 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1c(C)noc1C ZINC001032669131 752681878 /nfs/dbraw/zinc/68/18/78/752681878.db2.gz YDINLPPYQBEPGK-KCXAZCMYSA-N 1 2 315.417 1.778 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1c(C)noc1C ZINC001032669131 752681881 /nfs/dbraw/zinc/68/18/81/752681881.db2.gz YDINLPPYQBEPGK-KCXAZCMYSA-N 1 2 315.417 1.778 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccnn3C)C2)C1 ZINC001008437870 752728078 /nfs/dbraw/zinc/72/80/78/752728078.db2.gz QXKZHZFEOVCMHV-CQSZACIVSA-N 1 2 302.422 1.857 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](Cc3ccnn3C)C2)C1 ZINC001008437870 752728082 /nfs/dbraw/zinc/72/80/82/752728082.db2.gz QXKZHZFEOVCMHV-CQSZACIVSA-N 1 2 302.422 1.857 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CCC[N@@H+](Cc3cncn3C)C2)c1 ZINC001008467644 752748236 /nfs/dbraw/zinc/74/82/36/752748236.db2.gz FKTPSXKMHLZRTA-INIZCTEOSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CCC[N@H+](Cc3cncn3C)C2)c1 ZINC001008467644 752748237 /nfs/dbraw/zinc/74/82/37/752748237.db2.gz FKTPSXKMHLZRTA-INIZCTEOSA-N 1 2 323.400 1.191 20 30 DDEDLO CN(C[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1)c1ccc(C#N)cn1 ZINC001062181487 752814467 /nfs/dbraw/zinc/81/44/67/752814467.db2.gz DGDGWNRFGIGFNY-CQSZACIVSA-N 1 2 324.388 1.204 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001128081299 752877361 /nfs/dbraw/zinc/87/73/61/752877361.db2.gz KVLFIHVVVUHPCD-GFCCVEGCSA-N 1 2 322.409 1.751 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C)c2Cl)[C@@H](O)C1 ZINC001083872863 753250141 /nfs/dbraw/zinc/25/01/41/753250141.db2.gz AKXWVQHMKSTFNY-KGLIPLIRSA-N 1 2 306.793 1.447 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C)c2Cl)[C@@H](O)C1 ZINC001083872863 753250148 /nfs/dbraw/zinc/25/01/48/753250148.db2.gz AKXWVQHMKSTFNY-KGLIPLIRSA-N 1 2 306.793 1.447 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001009651710 753297490 /nfs/dbraw/zinc/29/74/90/753297490.db2.gz SZYJGTXJDUSWCJ-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001009651710 753297496 /nfs/dbraw/zinc/29/74/96/753297496.db2.gz SZYJGTXJDUSWCJ-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)CN1c1ccc(C#N)nc1 ZINC001039758767 762204867 /nfs/dbraw/zinc/20/48/67/762204867.db2.gz ZTSYSCAITBTWLB-ZFWWWQNUSA-N 1 2 324.388 1.323 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001108171386 753368217 /nfs/dbraw/zinc/36/82/17/753368217.db2.gz GZEIAVXLNDMTPJ-MJGOQNOKSA-N 1 2 312.413 1.557 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001108171386 753368220 /nfs/dbraw/zinc/36/82/20/753368220.db2.gz GZEIAVXLNDMTPJ-MJGOQNOKSA-N 1 2 312.413 1.557 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ncccc1C#N ZINC001039767959 762208965 /nfs/dbraw/zinc/20/89/65/762208965.db2.gz TZKIFCOSMMKLPG-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@]1(C)C[N@H+](CC=C)CCO1 ZINC001107998426 753408761 /nfs/dbraw/zinc/40/87/61/753408761.db2.gz LAEQPAZSSURUFI-KRWDZBQOSA-N 1 2 303.406 1.681 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@]1(C)C[N@@H+](CC=C)CCO1 ZINC001107998426 753408764 /nfs/dbraw/zinc/40/87/64/753408764.db2.gz LAEQPAZSSURUFI-KRWDZBQOSA-N 1 2 303.406 1.681 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)c1cccc2[nH+]ccn21 ZINC001108008536 753497814 /nfs/dbraw/zinc/49/78/14/753497814.db2.gz WPLDWZCDBFQSOP-GFCCVEGCSA-N 1 2 320.356 1.831 20 30 DDEDLO Cc1cnc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)cn1 ZINC001009998086 753532899 /nfs/dbraw/zinc/53/28/99/753532899.db2.gz CKTOQLBHJAHKHI-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cnc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)cn1 ZINC001009998086 753532905 /nfs/dbraw/zinc/53/29/05/753532905.db2.gz CKTOQLBHJAHKHI-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(=O)c2ccccc2o1 ZINC001032761654 753541156 /nfs/dbraw/zinc/54/11/56/753541156.db2.gz BPYXAQKRUXRIJJ-STQMWFEESA-N 1 2 310.353 1.878 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(=O)c2ccccc2o1 ZINC001032761654 753541162 /nfs/dbraw/zinc/54/11/62/753541162.db2.gz BPYXAQKRUXRIJJ-STQMWFEESA-N 1 2 310.353 1.878 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@]2(c3ccccc3)CC2(C)C)[C@@H](O)C1 ZINC001083884728 753558032 /nfs/dbraw/zinc/55/80/32/753558032.db2.gz LMARCSWXSLCHHA-GJYPPUQNSA-N 1 2 312.413 1.149 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@]2(c3ccccc3)CC2(C)C)[C@@H](O)C1 ZINC001083884728 753558035 /nfs/dbraw/zinc/55/80/35/753558035.db2.gz LMARCSWXSLCHHA-GJYPPUQNSA-N 1 2 312.413 1.149 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc2c(c1)CCC2 ZINC001032766831 753569664 /nfs/dbraw/zinc/56/96/64/753569664.db2.gz RXNFGIWTYPYQRL-ROUUACIJSA-N 1 2 324.424 1.863 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc2c(c1)CCC2 ZINC001032766831 753569669 /nfs/dbraw/zinc/56/96/69/753569669.db2.gz RXNFGIWTYPYQRL-ROUUACIJSA-N 1 2 324.424 1.863 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)c(C)c(OC)c1 ZINC001032769313 753578332 /nfs/dbraw/zinc/57/83/32/753578332.db2.gz DSDUZTWZRSLMEY-GJZGRUSLSA-N 1 2 314.385 1.544 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)c(C)c(OC)c1 ZINC001032769313 753578339 /nfs/dbraw/zinc/57/83/39/753578339.db2.gz DSDUZTWZRSLMEY-GJZGRUSLSA-N 1 2 314.385 1.544 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H](C)C(=O)NC ZINC001039821566 762230625 /nfs/dbraw/zinc/23/06/25/762230625.db2.gz TZLCVXFEKHKFEX-MCIONIFRSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)NC ZINC001039821566 762230628 /nfs/dbraw/zinc/23/06/28/762230628.db2.gz TZLCVXFEKHKFEX-MCIONIFRSA-N 1 2 307.438 1.398 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC001032784118 753656227 /nfs/dbraw/zinc/65/62/27/753656227.db2.gz IBYBEJPSMANZKF-KBPBESRZSA-N 1 2 324.384 1.900 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC001032784118 753656230 /nfs/dbraw/zinc/65/62/30/753656230.db2.gz IBYBEJPSMANZKF-KBPBESRZSA-N 1 2 324.384 1.900 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncccc2OCC)C1 ZINC001108448938 762235572 /nfs/dbraw/zinc/23/55/72/762235572.db2.gz GBYYAEPWAWQFTQ-QGZVFWFLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncccc2OCC)C1 ZINC001108448938 762235574 /nfs/dbraw/zinc/23/55/74/762235574.db2.gz GBYYAEPWAWQFTQ-QGZVFWFLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncc(F)cc2F)C1 ZINC001108028913 753735203 /nfs/dbraw/zinc/73/52/03/753735203.db2.gz NQDLSUHRGGDJQW-OAHLLOKOSA-N 1 2 311.332 1.367 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncc(F)cc2F)C1 ZINC001108028913 753735208 /nfs/dbraw/zinc/73/52/08/753735208.db2.gz NQDLSUHRGGDJQW-OAHLLOKOSA-N 1 2 311.332 1.367 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C23CCC(CC2)C3)C1 ZINC001108030186 753751008 /nfs/dbraw/zinc/75/10/08/753751008.db2.gz BNLRFURFSZUYIM-IIIMJFFVSA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C23CCC(CC2)C3)C1 ZINC001108030186 753751013 /nfs/dbraw/zinc/75/10/13/753751013.db2.gz BNLRFURFSZUYIM-IIIMJFFVSA-N 1 2 304.434 1.797 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)[nH]n1 ZINC001010336233 753776077 /nfs/dbraw/zinc/77/60/77/753776077.db2.gz BQYPIPOCYQFPNJ-MRXNPFEDSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)[nH]n1 ZINC001010336233 753776079 /nfs/dbraw/zinc/77/60/79/753776079.db2.gz BQYPIPOCYQFPNJ-MRXNPFEDSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1ocnc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010348481 753792626 /nfs/dbraw/zinc/79/26/26/753792626.db2.gz UIQOACZXRAIYPX-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1ocnc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010348481 753792630 /nfs/dbraw/zinc/79/26/30/753792630.db2.gz UIQOACZXRAIYPX-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1[nH]nc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)c1C ZINC001010397191 753864469 /nfs/dbraw/zinc/86/44/69/753864469.db2.gz NFRVOHHMQMBCJR-MRXNPFEDSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1[nH]nc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1C ZINC001010397191 753864474 /nfs/dbraw/zinc/86/44/74/753864474.db2.gz NFRVOHHMQMBCJR-MRXNPFEDSA-N 1 2 323.400 1.903 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2cc(C)c(C#N)c([N+](=O)[O-])c2)C[NH2+]1 ZINC001169136644 762251499 /nfs/dbraw/zinc/25/14/99/762251499.db2.gz MVBNLCUAGSBSNB-PWSUYJOCSA-N 1 2 304.306 1.090 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccns3)C2)[nH]1 ZINC001010656036 754083398 /nfs/dbraw/zinc/08/33/98/754083398.db2.gz LPLKISPXZFHVJA-LLVKDONJSA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccns3)C2)[nH]1 ZINC001010656036 754083401 /nfs/dbraw/zinc/08/34/01/754083401.db2.gz LPLKISPXZFHVJA-LLVKDONJSA-N 1 2 301.375 1.347 20 30 DDEDLO C=CCn1cc(C(=O)N2C[C@H]3CCC[C@@H](C2)[N@H+]3CC#CC)nn1 ZINC001039940863 762277861 /nfs/dbraw/zinc/27/78/61/762277861.db2.gz NJNNSGHSYKFUGO-GASCZTMLSA-N 1 2 313.405 1.166 20 30 DDEDLO C=CCn1cc(C(=O)N2C[C@H]3CCC[C@@H](C2)[N@@H+]3CC#CC)nn1 ZINC001039940863 762277868 /nfs/dbraw/zinc/27/78/68/762277868.db2.gz NJNNSGHSYKFUGO-GASCZTMLSA-N 1 2 313.405 1.166 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](C)NC(=O)CCn2cc[nH+]c2)n1 ZINC001108035817 754208906 /nfs/dbraw/zinc/20/89/06/754208906.db2.gz PLMRZFPENPSPLT-CYBMUJFWSA-N 1 2 312.377 1.465 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C[C@@H]2C)cc[nH+]1 ZINC001063716745 754313296 /nfs/dbraw/zinc/31/32/96/754313296.db2.gz RVWRWEALVPVBGA-NHYWBVRUSA-N 1 2 324.388 1.948 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC1 ZINC001011650918 754658998 /nfs/dbraw/zinc/65/89/98/754658998.db2.gz YFBMYBVVIAQFQH-UHFFFAOYSA-N 1 2 318.421 1.570 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2ccnnc2C)CC1 ZINC001000909552 762332401 /nfs/dbraw/zinc/33/24/01/762332401.db2.gz UKCNUTRNTHGCRD-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2ccnnc2C)CC1 ZINC001000909552 762332404 /nfs/dbraw/zinc/33/24/04/762332404.db2.gz UKCNUTRNTHGCRD-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001079588903 755463068 /nfs/dbraw/zinc/46/30/68/755463068.db2.gz PAERCFCQHDGYLP-QMTHXVAHSA-N 1 2 313.361 1.433 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001079588903 755463071 /nfs/dbraw/zinc/46/30/71/755463071.db2.gz PAERCFCQHDGYLP-QMTHXVAHSA-N 1 2 313.361 1.433 20 30 DDEDLO C=CCCCC(=O)N1CC(N(CC)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001079966445 755658764 /nfs/dbraw/zinc/65/87/64/755658764.db2.gz VQJOVMFCCAEZHR-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCCC(=O)N1CC(N(CC)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001079966445 755658766 /nfs/dbraw/zinc/65/87/66/755658766.db2.gz VQJOVMFCCAEZHR-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(CC)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001080199632 755772903 /nfs/dbraw/zinc/77/29/03/755772903.db2.gz JGYNKCZUZMIXCI-UHFFFAOYSA-N 1 2 318.421 1.532 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]2C)C1 ZINC001080404519 755898530 /nfs/dbraw/zinc/89/85/30/755898530.db2.gz LNOCYUSDLOADQL-UKRRQHHQSA-N 1 2 302.422 1.713 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]2C)C1 ZINC001080404519 755898535 /nfs/dbraw/zinc/89/85/35/755898535.db2.gz LNOCYUSDLOADQL-UKRRQHHQSA-N 1 2 302.422 1.713 20 30 DDEDLO C[C@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001040173235 762422579 /nfs/dbraw/zinc/42/25/79/762422579.db2.gz QIWMHQLHISSYJC-AAEUAGOBSA-N 1 2 310.361 1.320 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccnn2CCOC)C1 ZINC001014860143 755983649 /nfs/dbraw/zinc/98/36/49/755983649.db2.gz QPCYILLERQFNBV-GFCCVEGCSA-N 1 2 312.801 1.086 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccnn2CCOC)C1 ZINC001014860143 755983650 /nfs/dbraw/zinc/98/36/50/755983650.db2.gz QPCYILLERQFNBV-GFCCVEGCSA-N 1 2 312.801 1.086 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)cc2F)C[C@H]1NC(=O)C(C)C ZINC001081376696 756317740 /nfs/dbraw/zinc/31/77/40/756317740.db2.gz UDGOLUIROACTAR-HZPDHXFCSA-N 1 2 319.380 1.669 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2F)C[C@H]1NC(=O)C(C)C ZINC001081376696 756317745 /nfs/dbraw/zinc/31/77/45/756317745.db2.gz UDGOLUIROACTAR-HZPDHXFCSA-N 1 2 319.380 1.669 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)cc3ccoc32)[C@H](OC)C1 ZINC001081875579 756503199 /nfs/dbraw/zinc/50/31/99/756503199.db2.gz LKSFXKVXJRYAMD-HUUCEWRRSA-N 1 2 316.332 1.634 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)cc3ccoc32)[C@H](OC)C1 ZINC001081875579 756503203 /nfs/dbraw/zinc/50/32/03/756503203.db2.gz LKSFXKVXJRYAMD-HUUCEWRRSA-N 1 2 316.332 1.634 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2CCC)[C@H](OC)C1 ZINC001081927910 756548418 /nfs/dbraw/zinc/54/84/18/756548418.db2.gz CXMNBLQLZMLUAN-IAGOWNOFSA-N 1 2 300.402 1.701 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2CCC)[C@H](OC)C1 ZINC001081927910 756548423 /nfs/dbraw/zinc/54/84/23/756548423.db2.gz CXMNBLQLZMLUAN-IAGOWNOFSA-N 1 2 300.402 1.701 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cnc3ccccc3c2C)[C@H](OC)C1 ZINC001081955000 756562012 /nfs/dbraw/zinc/56/20/12/756562012.db2.gz RIILWEZQQIYZFI-QZTJIDSGSA-N 1 2 323.396 1.605 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cnc3ccccc3c2C)[C@H](OC)C1 ZINC001081955000 756562015 /nfs/dbraw/zinc/56/20/15/756562015.db2.gz RIILWEZQQIYZFI-QZTJIDSGSA-N 1 2 323.396 1.605 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC001016101063 756763056 /nfs/dbraw/zinc/76/30/56/756763056.db2.gz MYUKVUAZELDUGD-QWHCGFSZSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC001016101063 756763060 /nfs/dbraw/zinc/76/30/60/756763060.db2.gz MYUKVUAZELDUGD-QWHCGFSZSA-N 1 2 313.829 1.378 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@H]2C)ccc1C#N ZINC001067140569 756812044 /nfs/dbraw/zinc/81/20/44/756812044.db2.gz WJUPAIGJSLWRBD-RISCZKNCSA-N 1 2 324.388 1.486 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097310768 757081331 /nfs/dbraw/zinc/08/13/31/757081331.db2.gz BPAKUYLWWNQINM-DZGCQCFKSA-N 1 2 318.421 1.709 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)c(C)o3)[C@H]2C1 ZINC001083050845 757103968 /nfs/dbraw/zinc/10/39/68/757103968.db2.gz DXHOOAIJJXGSIM-GOEBONIOSA-N 1 2 302.374 1.445 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)c(C)o3)[C@H]2C1 ZINC001083050845 757103971 /nfs/dbraw/zinc/10/39/71/757103971.db2.gz DXHOOAIJJXGSIM-GOEBONIOSA-N 1 2 302.374 1.445 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@@H]1C[C@H]3CC[C@@H]1N3CC#N)C2 ZINC001097374633 757120303 /nfs/dbraw/zinc/12/03/03/757120303.db2.gz DCGOQLDFWVMHJX-OJDYBEQGSA-N 1 2 313.405 1.068 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@@H]1C[C@H]3CC[C@@H]1N3CC#N)CC2 ZINC001097374633 757120306 /nfs/dbraw/zinc/12/03/06/757120306.db2.gz DCGOQLDFWVMHJX-OJDYBEQGSA-N 1 2 313.405 1.068 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCCC4(CC4)C3)[C@H]2C1 ZINC001083081569 757124844 /nfs/dbraw/zinc/12/48/44/757124844.db2.gz PGNOOPUJSQIZPX-OWCLPIDISA-N 1 2 302.418 1.502 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCCC4(CC4)C3)[C@H]2C1 ZINC001083081569 757124852 /nfs/dbraw/zinc/12/48/52/757124852.db2.gz PGNOOPUJSQIZPX-OWCLPIDISA-N 1 2 302.418 1.502 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(CC)o3)[C@H]2C1 ZINC001083122768 757128777 /nfs/dbraw/zinc/12/87/77/757128777.db2.gz SKKKPKUACHUFCS-GOEBONIOSA-N 1 2 302.374 1.391 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(CC)o3)[C@H]2C1 ZINC001083122768 757128782 /nfs/dbraw/zinc/12/87/82/757128782.db2.gz SKKKPKUACHUFCS-GOEBONIOSA-N 1 2 302.374 1.391 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)cc3F)[C@H]2C1 ZINC001083136173 757150241 /nfs/dbraw/zinc/15/02/41/757150241.db2.gz JYJKNKDHGRWEBZ-LSDHHAIUSA-N 1 2 322.767 1.638 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)cc3F)[C@H]2C1 ZINC001083136173 757150244 /nfs/dbraw/zinc/15/02/44/757150244.db2.gz JYJKNKDHGRWEBZ-LSDHHAIUSA-N 1 2 322.767 1.638 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001097584084 757276319 /nfs/dbraw/zinc/27/63/19/757276319.db2.gz ZXGJXEISMWCKFG-LWTNXATQSA-N 1 2 300.406 1.794 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cc(CC)n[nH]3)[C@@H]2C1 ZINC001084198973 757387415 /nfs/dbraw/zinc/38/74/15/757387415.db2.gz LNFPVIGFDGVMJS-BXUZGUMPSA-N 1 2 308.813 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(CC)n[nH]3)[C@@H]2C1 ZINC001084198973 757387425 /nfs/dbraw/zinc/38/74/25/757387425.db2.gz LNFPVIGFDGVMJS-BXUZGUMPSA-N 1 2 308.813 1.871 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)/C(C)=C\CC)CC2=O)C1 ZINC001108516281 762556553 /nfs/dbraw/zinc/55/65/53/762556553.db2.gz VUAHMZXHFMJAPD-CNTYAEFGSA-N 1 2 305.422 1.320 20 30 DDEDLO C[C@@H](CNC(=O)C#CC(C)(C)C)Nc1[nH+]cnc2c1cnn2C ZINC001097806503 757552452 /nfs/dbraw/zinc/55/24/52/757552452.db2.gz NWKOXGNMMVXKNE-NSHDSACASA-N 1 2 314.393 1.329 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@H]1C(C)C ZINC001017605946 758073730 /nfs/dbraw/zinc/07/37/30/758073730.db2.gz LKMDFIWAYVSCMV-YVSFHVDLSA-N 1 2 304.434 1.746 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@H]1C(C)C ZINC001017605946 758073740 /nfs/dbraw/zinc/07/37/40/758073740.db2.gz LKMDFIWAYVSCMV-YVSFHVDLSA-N 1 2 304.434 1.746 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnn2ccccc12 ZINC001017626090 758097181 /nfs/dbraw/zinc/09/71/81/758097181.db2.gz DWJQAWLKUIIZMC-IYBDPMFKSA-N 1 2 308.385 1.185 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnn2ccccc12 ZINC001017626090 758097197 /nfs/dbraw/zinc/09/71/97/758097197.db2.gz DWJQAWLKUIIZMC-IYBDPMFKSA-N 1 2 308.385 1.185 20 30 DDEDLO N#CCN1CCC[C@H]([C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001053044233 758104431 /nfs/dbraw/zinc/10/44/31/758104431.db2.gz NVAIJLSTSVQVNT-LSDHHAIUSA-N 1 2 315.421 1.426 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2ccccc2O1 ZINC001017718016 758179182 /nfs/dbraw/zinc/17/91/82/758179182.db2.gz ZLBDPVMCSPQAMC-SOLBZPMBSA-N 1 2 310.397 1.689 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2ccccc2O1 ZINC001017718016 758179186 /nfs/dbraw/zinc/17/91/86/758179186.db2.gz ZLBDPVMCSPQAMC-SOLBZPMBSA-N 1 2 310.397 1.689 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)F)n(C)n1 ZINC001017734158 758193132 /nfs/dbraw/zinc/19/31/32/758193132.db2.gz MLCTXIAOPZUWCC-TXEJJXNPSA-N 1 2 322.359 1.670 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)F)n(C)n1 ZINC001017734158 758193139 /nfs/dbraw/zinc/19/31/39/758193139.db2.gz MLCTXIAOPZUWCC-TXEJJXNPSA-N 1 2 322.359 1.670 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1c2c[nH]nc2CC[C@@H]1C ZINC001017747006 758203601 /nfs/dbraw/zinc/20/36/01/758203601.db2.gz NCFKSVIBJHRNCN-SZOQZIPDSA-N 1 2 312.417 1.384 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1c2c[nH]nc2CC[C@@H]1C ZINC001017747006 758203613 /nfs/dbraw/zinc/20/36/13/758203613.db2.gz NCFKSVIBJHRNCN-SZOQZIPDSA-N 1 2 312.417 1.384 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(C2CC2)CCOCC1 ZINC001017766066 758215674 /nfs/dbraw/zinc/21/56/74/758215674.db2.gz QVIWRLWOXJHGSS-IYBDPMFKSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(C2CC2)CCOCC1 ZINC001017766066 758215684 /nfs/dbraw/zinc/21/56/84/758215684.db2.gz QVIWRLWOXJHGSS-IYBDPMFKSA-N 1 2 302.418 1.502 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC)nnc1C ZINC001017767550 758217659 /nfs/dbraw/zinc/21/76/59/758217659.db2.gz NORDBRMJOKZYMY-GASCZTMLSA-N 1 2 300.406 1.822 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC)nnc1C ZINC001017767550 758217666 /nfs/dbraw/zinc/21/76/66/758217666.db2.gz NORDBRMJOKZYMY-GASCZTMLSA-N 1 2 300.406 1.822 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ncn(C)c2n1 ZINC001017946995 758415021 /nfs/dbraw/zinc/41/50/21/758415021.db2.gz RROZNJYLUUSWKS-OKILXGFUSA-N 1 2 323.400 1.280 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ncn(C)c2n1 ZINC001017946995 758415026 /nfs/dbraw/zinc/41/50/26/758415026.db2.gz RROZNJYLUUSWKS-OKILXGFUSA-N 1 2 323.400 1.280 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2ccncc2C1 ZINC001017947820 758416193 /nfs/dbraw/zinc/41/61/93/758416193.db2.gz CSAZEYJRNZCNMR-NXHRZFHOSA-N 1 2 309.413 1.495 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2ccncc2C1 ZINC001017947820 758416196 /nfs/dbraw/zinc/41/61/96/758416196.db2.gz CSAZEYJRNZCNMR-NXHRZFHOSA-N 1 2 309.413 1.495 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nccnc21 ZINC001018092738 758541463 /nfs/dbraw/zinc/54/14/63/758541463.db2.gz BYMAZWHLLVVKCX-GASCZTMLSA-N 1 2 320.396 1.942 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nccnc21 ZINC001018092738 758541465 /nfs/dbraw/zinc/54/14/65/758541465.db2.gz BYMAZWHLLVVKCX-GASCZTMLSA-N 1 2 320.396 1.942 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(F)cc1)O2 ZINC001053564525 758570787 /nfs/dbraw/zinc/57/07/87/758570787.db2.gz CBAHXVLAOSSSIB-HNNXBMFYSA-N 1 2 304.365 1.975 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cncc(C)c1)O2 ZINC001053585038 758600002 /nfs/dbraw/zinc/60/00/02/758600002.db2.gz BUTGTNHFUKRNLW-MRXNPFEDSA-N 1 2 315.417 1.929 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1csnc1C)O2 ZINC001053592291 758611267 /nfs/dbraw/zinc/61/12/67/758611267.db2.gz JRVLNPWHSUWKIV-LBPRGKRZSA-N 1 2 307.419 1.601 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(NC(C)=O)cc1 ZINC001018203663 758631562 /nfs/dbraw/zinc/63/15/62/758631562.db2.gz VAGDJXQSFKMDOM-CALCHBBNSA-N 1 2 311.385 1.567 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(NC(C)=O)cc1 ZINC001018203663 758631568 /nfs/dbraw/zinc/63/15/68/758631568.db2.gz VAGDJXQSFKMDOM-CALCHBBNSA-N 1 2 311.385 1.567 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1C[C@H]1c1ccco1)O2 ZINC001053666373 758678313 /nfs/dbraw/zinc/67/83/13/758678313.db2.gz CMHXPPIFNJXUEM-RBSFLKMASA-N 1 2 316.401 1.919 20 30 DDEDLO C[C@H](CNc1cncc(C#N)n1)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001108196774 758680982 /nfs/dbraw/zinc/68/09/82/758680982.db2.gz YVXPCUIKSYJVSQ-SNVBAGLBSA-N 1 2 321.344 1.455 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2cc(C)c(C)cc2O1 ZINC001018287438 758700299 /nfs/dbraw/zinc/70/02/99/758700299.db2.gz BLSCXPNLGFZNIM-ZIFCJYIRSA-N 1 2 324.424 1.915 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2cc(C)c(C)cc2O1 ZINC001018287438 758700315 /nfs/dbraw/zinc/70/03/15/758700315.db2.gz BLSCXPNLGFZNIM-ZIFCJYIRSA-N 1 2 324.424 1.915 20 30 DDEDLO C[C@H](Nc1ncccc1C#N)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065721229 758721729 /nfs/dbraw/zinc/72/17/29/758721729.db2.gz AWJNVZCUUPIQHL-DZGCQCFKSA-N 1 2 324.388 1.499 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065711432 758729185 /nfs/dbraw/zinc/72/91/85/758729185.db2.gz MJYJZOUIMLQSOY-CVEARBPZSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC1CCC(OC)CC1 ZINC001018341954 758743302 /nfs/dbraw/zinc/74/33/02/758743302.db2.gz GFSZVERIUIDYGA-HPZPOOBXSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC1CCC(OC)CC1 ZINC001018341954 758743307 /nfs/dbraw/zinc/74/33/07/758743307.db2.gz GFSZVERIUIDYGA-HPZPOOBXSA-N 1 2 304.434 1.890 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cn1)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065733522 758750385 /nfs/dbraw/zinc/75/03/85/758750385.db2.gz LTHBPRUPVOBWJC-OCCSQVGLSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnccc1C)CO2 ZINC001053764866 758783246 /nfs/dbraw/zinc/78/32/46/758783246.db2.gz ANWGKDPQGQFOGI-CQSZACIVSA-N 1 2 301.390 1.539 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ncccc1C)CO2 ZINC001053769720 758790736 /nfs/dbraw/zinc/79/07/36/758790736.db2.gz HAPMQODITRHZGM-AWEZNQCLSA-N 1 2 301.390 1.539 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc(C)c1F)CO2 ZINC001053812386 758840510 /nfs/dbraw/zinc/84/05/10/758840510.db2.gz DMYYRFZTLFFPSG-AWEZNQCLSA-N 1 2 316.376 1.731 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccnc(OC)c1)CO2 ZINC001053855733 758887952 /nfs/dbraw/zinc/88/79/52/758887952.db2.gz VFKJWFYETRNZIE-CQSZACIVSA-N 1 2 317.389 1.239 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3(CC(C)C)CC3)CC2=O)C1 ZINC001108551466 762678031 /nfs/dbraw/zinc/67/80/31/762678031.db2.gz AMDNHFOJVUOMHP-CQSZACIVSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1[nH]cnc1CC)CO2 ZINC001053994628 759040376 /nfs/dbraw/zinc/04/03/76/759040376.db2.gz KDHTVIYTYUEBSN-LBPRGKRZSA-N 1 2 304.394 1.121 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1c(C)n[nH]c1C)CO2 ZINC001054004641 759051012 /nfs/dbraw/zinc/05/10/12/759051012.db2.gz SMISZFAENOAQFI-AWEZNQCLSA-N 1 2 318.421 1.105 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnc(C)cn1 ZINC001054031729 759084452 /nfs/dbraw/zinc/08/44/52/759084452.db2.gz JUPQPPDCFPOGLD-KRWDZBQOSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnc(C)cn1 ZINC001054031729 759084461 /nfs/dbraw/zinc/08/44/61/759084461.db2.gz JUPQPPDCFPOGLD-KRWDZBQOSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nc(C)c[nH]1 ZINC001054055317 759108973 /nfs/dbraw/zinc/10/89/73/759108973.db2.gz JKRJOTHJCHTOIB-MRXNPFEDSA-N 1 2 308.385 1.508 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nc(C)c[nH]1 ZINC001054055317 759108979 /nfs/dbraw/zinc/10/89/79/759108979.db2.gz JKRJOTHJCHTOIB-MRXNPFEDSA-N 1 2 308.385 1.508 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@]2(CC[N@H+](Cc3cnon3)C2)C1 ZINC001054130795 759205254 /nfs/dbraw/zinc/20/52/54/759205254.db2.gz YTTKIXVPSKCQMR-QGZVFWFLSA-N 1 2 314.389 1.298 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@]2(CC[N@@H+](Cc3cnon3)C2)C1 ZINC001054130795 759205257 /nfs/dbraw/zinc/20/52/57/759205257.db2.gz YTTKIXVPSKCQMR-QGZVFWFLSA-N 1 2 314.389 1.298 20 30 DDEDLO C=CC[C@H](Nc1c[nH+]c(N2CCOCC2)cc1C)C(=O)OC ZINC001169837452 762693791 /nfs/dbraw/zinc/69/37/91/762693791.db2.gz CJYOVOJIZJRDCE-ZDUSSCGKSA-N 1 2 305.378 1.756 20 30 DDEDLO COC(=O)C1(C#N)CCN(Cc2[nH+]c3ccccn3c2C)CC1 ZINC001137275599 759406224 /nfs/dbraw/zinc/40/62/24/759406224.db2.gz CXBLPRZWIOJLGM-UHFFFAOYSA-N 1 2 312.373 1.922 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C2CC=CC2)C1=O ZINC001085526734 759627821 /nfs/dbraw/zinc/62/78/21/759627821.db2.gz IYXZGXQBFYKXFO-HZPDHXFCSA-N 1 2 317.433 1.272 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C2CC=CC2)C1=O ZINC001085526734 759627825 /nfs/dbraw/zinc/62/78/25/759627825.db2.gz IYXZGXQBFYKXFO-HZPDHXFCSA-N 1 2 317.433 1.272 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001019238291 759708634 /nfs/dbraw/zinc/70/86/34/759708634.db2.gz UUHCKSPVBCPUJG-NSHDSACASA-N 1 2 308.382 1.119 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccncc2C#N)CN1C(=O)CCn1cc[nH+]c1 ZINC001069181216 767896542 /nfs/dbraw/zinc/89/65/42/767896542.db2.gz OPTOBQNXSYPCDZ-HIFRSBDPSA-N 1 2 324.388 1.063 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]cnc1C(F)(F)F ZINC001085640937 759915915 /nfs/dbraw/zinc/91/59/15/759915915.db2.gz ZHBGKWYEJDZXNR-SECBINFHSA-N 1 2 302.300 1.761 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]cnc1C(F)(F)F ZINC001085640937 759915918 /nfs/dbraw/zinc/91/59/18/759915918.db2.gz ZHBGKWYEJDZXNR-SECBINFHSA-N 1 2 302.300 1.761 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nc[nH]c1C(F)(F)F ZINC001085640937 759915922 /nfs/dbraw/zinc/91/59/22/759915922.db2.gz ZHBGKWYEJDZXNR-SECBINFHSA-N 1 2 302.300 1.761 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nc[nH]c1C(F)(F)F ZINC001085640937 759915926 /nfs/dbraw/zinc/91/59/26/759915926.db2.gz ZHBGKWYEJDZXNR-SECBINFHSA-N 1 2 302.300 1.761 20 30 DDEDLO C[C@@H]1CN(C(=O)C#CC2CC2)C[C@H]1[NH2+]Cc1nc(C(F)F)no1 ZINC001054637090 759928412 /nfs/dbraw/zinc/92/84/12/759928412.db2.gz LUFMNNRTLNWEDJ-MWLCHTKSSA-N 1 2 324.331 1.357 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn2c(n1)CC[C@H](C)C2 ZINC001085670932 759980053 /nfs/dbraw/zinc/98/00/53/759980053.db2.gz ISYADPSYCHFYRZ-UONOGXRCSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn2c(n1)CC[C@H](C)C2 ZINC001085670932 759980058 /nfs/dbraw/zinc/98/00/58/759980058.db2.gz ISYADPSYCHFYRZ-UONOGXRCSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(Br)c[nH]1 ZINC001085705031 760072568 /nfs/dbraw/zinc/07/25/68/760072568.db2.gz GHCAFJJXGRAUGT-NSHDSACASA-N 1 2 310.195 1.557 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(Br)c[nH]1 ZINC001085705031 760072573 /nfs/dbraw/zinc/07/25/73/760072573.db2.gz GHCAFJJXGRAUGT-NSHDSACASA-N 1 2 310.195 1.557 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001054970868 760244978 /nfs/dbraw/zinc/24/49/78/760244978.db2.gz JPLNORHHXFICIK-DGCLKSJQSA-N 1 2 306.410 1.917 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2conc2C2CC2)C1 ZINC001108209471 760252577 /nfs/dbraw/zinc/25/25/77/760252577.db2.gz QFNQEKXQVNCKQN-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2conc2C2CC2)C1 ZINC001108209471 760252584 /nfs/dbraw/zinc/25/25/84/760252584.db2.gz QFNQEKXQVNCKQN-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnnn1-c1ccccc1 ZINC001085845759 760358382 /nfs/dbraw/zinc/35/83/82/760358382.db2.gz ULTDRZDUPQXBCS-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnnn1-c1ccccc1 ZINC001085845759 760358393 /nfs/dbraw/zinc/35/83/93/760358393.db2.gz ULTDRZDUPQXBCS-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(OC2CCC2)c1 ZINC001085896843 760496709 /nfs/dbraw/zinc/49/67/09/760496709.db2.gz OOXNRPPMLPARSH-OAHLLOKOSA-N 1 2 313.401 1.792 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(OC2CCC2)c1 ZINC001085896843 760496711 /nfs/dbraw/zinc/49/67/11/760496711.db2.gz OOXNRPPMLPARSH-OAHLLOKOSA-N 1 2 313.401 1.792 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001046855472 767986992 /nfs/dbraw/zinc/98/69/92/767986992.db2.gz TVLMPIQQLUDEDJ-MLGOLLRUSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001046855472 767986996 /nfs/dbraw/zinc/98/69/96/767986996.db2.gz TVLMPIQQLUDEDJ-MLGOLLRUSA-N 1 2 322.840 1.848 20 30 DDEDLO C[C@@H](CC(=O)N1CCN(c2ccncc2C#N)CC1)n1cc[nH+]c1 ZINC001056039317 760923266 /nfs/dbraw/zinc/92/32/66/760923266.db2.gz LUESLXBYXCPQFB-AWEZNQCLSA-N 1 2 324.388 1.450 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(F)cc1NC(C)=O ZINC001038326125 761008990 /nfs/dbraw/zinc/00/89/90/761008990.db2.gz FSQHRVWTBHIOOK-AWEZNQCLSA-N 1 2 317.364 1.612 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(F)cc1NC(C)=O ZINC001038326125 761009005 /nfs/dbraw/zinc/00/90/05/761009005.db2.gz FSQHRVWTBHIOOK-AWEZNQCLSA-N 1 2 317.364 1.612 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)OCCCO2 ZINC001038344320 761030003 /nfs/dbraw/zinc/03/00/03/761030003.db2.gz HLQVHPDUADCITD-OAHLLOKOSA-N 1 2 314.385 1.675 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)OCCCO2 ZINC001038344320 761030014 /nfs/dbraw/zinc/03/00/14/761030014.db2.gz HLQVHPDUADCITD-OAHLLOKOSA-N 1 2 314.385 1.675 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2[C@@H]2CCN(C)C2=O)CC1 ZINC001038667261 761267225 /nfs/dbraw/zinc/26/72/25/761267225.db2.gz MJIFNUUNPHLPGZ-LSDHHAIUSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2[C@@H]2CCN(C)C2=O)CC1 ZINC001038667261 761267231 /nfs/dbraw/zinc/26/72/31/761267231.db2.gz MJIFNUUNPHLPGZ-LSDHHAIUSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H]2CC[N@H+]2C[C@H](F)CC)nn1 ZINC001038791192 761411292 /nfs/dbraw/zinc/41/12/92/761411292.db2.gz WIZVIQIRNJUMPO-CHWSQXEVSA-N 1 2 309.389 1.406 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H]2CC[N@@H+]2C[C@H](F)CC)nn1 ZINC001038791192 761411296 /nfs/dbraw/zinc/41/12/96/761411296.db2.gz WIZVIQIRNJUMPO-CHWSQXEVSA-N 1 2 309.389 1.406 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@@H](Nc2ccncc2C#N)C1)n1cc[nH+]c1 ZINC001056792947 761434388 /nfs/dbraw/zinc/43/43/88/761434388.db2.gz NISNTDCEAWFZIR-UKRRQHHQSA-N 1 2 324.388 1.236 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2oc(C3CC3)nc2C)C1 ZINC001108268093 761447678 /nfs/dbraw/zinc/44/76/78/761447678.db2.gz RIIWRQWPLGEXPP-KRWDZBQOSA-N 1 2 319.405 1.867 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2oc(C3CC3)nc2C)C1 ZINC001108268093 761447682 /nfs/dbraw/zinc/44/76/82/761447682.db2.gz RIIWRQWPLGEXPP-KRWDZBQOSA-N 1 2 319.405 1.867 20 30 DDEDLO CCn1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)nn1 ZINC001038855177 761479259 /nfs/dbraw/zinc/47/92/59/761479259.db2.gz XMANDFRPTQEYPZ-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)nn1 ZINC001038855177 761479262 /nfs/dbraw/zinc/47/92/62/761479262.db2.gz XMANDFRPTQEYPZ-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001038866106 761489832 /nfs/dbraw/zinc/48/98/32/761489832.db2.gz WNMGAYLIYRWLFZ-OLZOCXBDSA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001038866106 761489837 /nfs/dbraw/zinc/48/98/37/761489837.db2.gz WNMGAYLIYRWLFZ-OLZOCXBDSA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccccc1C(=O)N(C)C ZINC001038939883 761572376 /nfs/dbraw/zinc/57/23/76/761572376.db2.gz UVGULRRBXWKLJJ-CQSZACIVSA-N 1 2 313.401 1.216 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccccc1C(=O)N(C)C ZINC001038939883 761572380 /nfs/dbraw/zinc/57/23/80/761572380.db2.gz UVGULRRBXWKLJJ-CQSZACIVSA-N 1 2 313.401 1.216 20 30 DDEDLO C#CCCCC(=O)N(C)CCCN(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001067286028 761732646 /nfs/dbraw/zinc/73/26/46/761732646.db2.gz ILCUHPHTIYUCGY-UHFFFAOYSA-N 1 2 318.421 1.453 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)c2c(C)n[nH]c2C)C1 ZINC001108284318 761753590 /nfs/dbraw/zinc/75/35/90/761753590.db2.gz RXDZGWIIOMNWIY-YVEFUNNKSA-N 1 2 320.437 1.523 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)c2c(C)n[nH]c2C)C1 ZINC001108284318 761753598 /nfs/dbraw/zinc/75/35/98/761753598.db2.gz RXDZGWIIOMNWIY-YVEFUNNKSA-N 1 2 320.437 1.523 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnc(C)nc2C)C1 ZINC001108284895 761758190 /nfs/dbraw/zinc/75/81/90/761758190.db2.gz FBZREBPHGNNEGL-INIZCTEOSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnc(C)nc2C)C1 ZINC001108284895 761758196 /nfs/dbraw/zinc/75/81/96/761758196.db2.gz FBZREBPHGNNEGL-INIZCTEOSA-N 1 2 304.394 1.100 20 30 DDEDLO N#CCN1CC[C@@H](C2CCN(C(=O)CCc3[nH]cc[nH+]3)CC2)C1 ZINC001039122889 761759789 /nfs/dbraw/zinc/75/97/89/761759789.db2.gz ZCPXZYPBTWZDLF-OAHLLOKOSA-N 1 2 315.421 1.426 20 30 DDEDLO C[C@@H](CNc1nccnc1C#N)NC(=O)c1cccc2[nH+]ccn21 ZINC001108603123 762788469 /nfs/dbraw/zinc/78/84/69/762788469.db2.gz SIIYICYQZXYWJB-NSHDSACASA-N 1 2 321.344 1.226 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001108686372 762848744 /nfs/dbraw/zinc/84/87/44/762848744.db2.gz GNRYIMIWJOYWMT-WCQYABFASA-N 1 2 324.388 1.713 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(CC)CCCC2)[C@@H](n2ccnn2)C1 ZINC001129359545 762872503 /nfs/dbraw/zinc/87/25/03/762872503.db2.gz HBAXTOVYQZJGHS-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(CC)CCCC2)[C@@H](n2ccnn2)C1 ZINC001129359545 762872513 /nfs/dbraw/zinc/87/25/13/762872513.db2.gz HBAXTOVYQZJGHS-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)C(C)C)C2 ZINC001109058703 763300919 /nfs/dbraw/zinc/30/09/19/763300919.db2.gz IGJDLPYWXQKHSU-WCVJEAGWSA-N 1 2 319.449 1.140 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)C(C)C)C2 ZINC001109058703 763300929 /nfs/dbraw/zinc/30/09/29/763300929.db2.gz IGJDLPYWXQKHSU-WCVJEAGWSA-N 1 2 319.449 1.140 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccc(F)cn1 ZINC001109072871 763307178 /nfs/dbraw/zinc/30/71/78/763307178.db2.gz SJSVWCYUJRSQHU-PMPSAXMXSA-N 1 2 319.380 1.645 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(F)cn1 ZINC001109072871 763307182 /nfs/dbraw/zinc/30/71/82/763307182.db2.gz SJSVWCYUJRSQHU-PMPSAXMXSA-N 1 2 319.380 1.645 20 30 DDEDLO CC(C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)(C)C)C2 ZINC001109260282 763524740 /nfs/dbraw/zinc/52/47/40/763524740.db2.gz FWFWICWWZDDVPM-ILXRZTDVSA-N 1 2 319.449 1.282 20 30 DDEDLO CC(C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)(C)C)C2 ZINC001109260282 763524747 /nfs/dbraw/zinc/52/47/47/763524747.db2.gz FWFWICWWZDDVPM-ILXRZTDVSA-N 1 2 319.449 1.282 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001042058195 763602156 /nfs/dbraw/zinc/60/21/56/763602156.db2.gz PKCDDKAGWAMGIB-HOCLYGCPSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001042058195 763602157 /nfs/dbraw/zinc/60/21/57/763602157.db2.gz PKCDDKAGWAMGIB-HOCLYGCPSA-N 1 2 314.389 1.214 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001109632980 763895444 /nfs/dbraw/zinc/89/54/44/763895444.db2.gz KCSIOIFTQHJWPC-OJLVUWQFSA-N 1 2 319.449 1.589 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001109632980 763895455 /nfs/dbraw/zinc/89/54/55/763895455.db2.gz KCSIOIFTQHJWPC-OJLVUWQFSA-N 1 2 319.449 1.589 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC ZINC001109632734 763896297 /nfs/dbraw/zinc/89/62/97/763896297.db2.gz AOFRUVFKIGMZIK-XGUBFFRZSA-N 1 2 307.438 1.446 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC ZINC001109632734 763896302 /nfs/dbraw/zinc/89/63/02/763896302.db2.gz AOFRUVFKIGMZIK-XGUBFFRZSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cc(C)n[nH]3)c2C1 ZINC001069853880 768183075 /nfs/dbraw/zinc/18/30/75/768183075.db2.gz LWPXUIBWEBSGBS-UHFFFAOYSA-N 1 2 314.393 1.236 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cc(C)n[nH]3)c2C1 ZINC001069853880 768183080 /nfs/dbraw/zinc/18/30/80/768183080.db2.gz LWPXUIBWEBSGBS-UHFFFAOYSA-N 1 2 314.393 1.236 20 30 DDEDLO N#Cc1ccc(NC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C2CC2)cn1 ZINC001109820159 764087340 /nfs/dbraw/zinc/08/73/40/764087340.db2.gz JLQGUMRGYOOZTA-OAHLLOKOSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(OC)no1 ZINC001050879618 764226319 /nfs/dbraw/zinc/22/63/19/764226319.db2.gz DENQUGGYALGJHE-GFCCVEGCSA-N 1 2 309.366 1.080 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(OC)no1 ZINC001050879618 764226326 /nfs/dbraw/zinc/22/63/26/764226326.db2.gz DENQUGGYALGJHE-GFCCVEGCSA-N 1 2 309.366 1.080 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H](CNc2cc[nH+]c(C)n2)C2CC2)c1 ZINC001109971211 764242691 /nfs/dbraw/zinc/24/26/91/764242691.db2.gz QJZHHAFAXSVSNN-INIZCTEOSA-N 1 2 321.384 1.782 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc2cccn2cn1 ZINC001050984593 764384023 /nfs/dbraw/zinc/38/40/23/764384023.db2.gz ZKZYYPQNCVPHKU-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc2cccn2cn1 ZINC001050984593 764384028 /nfs/dbraw/zinc/38/40/28/764384028.db2.gz ZKZYYPQNCVPHKU-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccn2ccccc12 ZINC001051019128 764423655 /nfs/dbraw/zinc/42/36/55/764423655.db2.gz BWJVBFRCXHXLJJ-HNNXBMFYSA-N 1 2 313.401 1.946 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccn2ccccc12 ZINC001051019128 764423662 /nfs/dbraw/zinc/42/36/62/764423662.db2.gz BWJVBFRCXHXLJJ-HNNXBMFYSA-N 1 2 313.401 1.946 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cn2nc(C)cc2C(F)F)C1 ZINC001042790784 764446161 /nfs/dbraw/zinc/44/61/61/764446161.db2.gz MDWTYZCALJSOGI-UHFFFAOYSA-N 1 2 312.364 1.848 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2ccc3c(c2)CCCO3)C1 ZINC001043006161 764578772 /nfs/dbraw/zinc/57/87/72/764578772.db2.gz DQSUKMHGUSUFMM-UHFFFAOYSA-N 1 2 300.402 1.883 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(CCC)on1 ZINC001051186404 764594785 /nfs/dbraw/zinc/59/47/85/764594785.db2.gz MYGMVDUANUUMLV-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(CCC)on1 ZINC001051186404 764594793 /nfs/dbraw/zinc/59/47/93/764594793.db2.gz MYGMVDUANUUMLV-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncoc1C1CC1 ZINC001051194635 764604940 /nfs/dbraw/zinc/60/49/40/764604940.db2.gz JSZQEBQBRPGHTD-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ncoc1C1CC1 ZINC001051194635 764604942 /nfs/dbraw/zinc/60/49/42/764604942.db2.gz JSZQEBQBRPGHTD-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CCOc2ccccc2C)CC1 ZINC001112703661 764622366 /nfs/dbraw/zinc/62/23/66/764622366.db2.gz BVVMYBZNYBTHBC-UHFFFAOYSA-N 1 2 318.417 1.721 20 30 DDEDLO C=CCOc1ccccc1C(=O)N(C)C1C[NH+](C[C@@H](C)O)C1 ZINC001043117289 764684442 /nfs/dbraw/zinc/68/44/42/764684442.db2.gz HJYQDQWEWIRAGA-CYBMUJFWSA-N 1 2 304.390 1.388 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)N[C@@H](CC(C)C)C2)C1 ZINC001043188771 764749102 /nfs/dbraw/zinc/74/91/02/764749102.db2.gz UINODYMSVNORBE-KBPBESRZSA-N 1 2 307.438 1.256 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2ccc(C)nc2C)CC1 ZINC001112800989 764790239 /nfs/dbraw/zinc/79/02/39/764790239.db2.gz AWCJZBIAZVUABB-GOSISDBHSA-N 1 2 317.433 1.670 20 30 DDEDLO C#CCOCCC(=O)NC1CC(CNc2cc[nH+]c(C)n2)C1 ZINC001112853306 764879753 /nfs/dbraw/zinc/87/97/53/764879753.db2.gz SPGCIEJHACEQMC-UHFFFAOYSA-N 1 2 302.378 1.132 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCN2c2nccs2)C1 ZINC001043458985 764918158 /nfs/dbraw/zinc/91/81/58/764918158.db2.gz NXPBGPRWMJHUQR-CQSZACIVSA-N 1 2 320.462 1.831 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCN(CC(F)(F)F)C2)C1 ZINC001043655507 765029747 /nfs/dbraw/zinc/02/97/47/765029747.db2.gz ROCYMKUOEJGSOA-LLVKDONJSA-N 1 2 305.344 1.199 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCO[C@@H](C[NH2+]Cc2nc(C)no2)C1 ZINC001051752994 765125476 /nfs/dbraw/zinc/12/54/76/765125476.db2.gz UUXLQKOYIYLMND-ZDUSSCGKSA-N 1 2 322.409 1.297 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)Cc2noc3ccc(F)cc32)C1 ZINC001044042934 765250737 /nfs/dbraw/zinc/25/07/37/765250737.db2.gz SMFWDQURZSQTMJ-UHFFFAOYSA-N 1 2 315.348 1.675 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-c3cn[nH]c3)cc2)C1 ZINC001044043217 765252193 /nfs/dbraw/zinc/25/21/93/765252193.db2.gz CUBCPYGAHPTBDK-UHFFFAOYSA-N 1 2 308.385 1.856 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)CCC3CC3)C2)CC1 ZINC001052000072 765365919 /nfs/dbraw/zinc/36/59/19/765365919.db2.gz KZXZIFKLWIHIAJ-KRWDZBQOSA-N 1 2 305.466 1.971 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C[C@@H]3CCOC3)C2)CC1 ZINC001052003378 765368161 /nfs/dbraw/zinc/36/81/61/765368161.db2.gz SQXNDRRJDXOKTF-DLBZAZTESA-N 1 2 321.465 1.208 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3C(C)(C)C3(C)C)C2)CC1 ZINC001052005001 765372074 /nfs/dbraw/zinc/37/20/74/765372074.db2.gz SOJMWOUMWWMKNI-HNNXBMFYSA-N 1 2 317.477 1.520 20 30 DDEDLO Cc1cscc1C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052006164 765373483 /nfs/dbraw/zinc/37/34/83/765373483.db2.gz MVQSHVOBERSJCS-AWEZNQCLSA-N 1 2 318.446 1.412 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)c3cc[nH]c3C)C2)CC1 ZINC001052009806 765378337 /nfs/dbraw/zinc/37/83/37/765378337.db2.gz OWLMYIDZYVMTMS-INIZCTEOSA-N 1 2 314.433 1.179 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@@H]3CC[C@H](C)O3)C2)cn1 ZINC001044205905 765394529 /nfs/dbraw/zinc/39/45/29/765394529.db2.gz NYEUJLQULVWYQS-GUYCJALGSA-N 1 2 313.401 1.387 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH+]2CCN(CC(=C)C)CC2)C1 ZINC001052061047 765432355 /nfs/dbraw/zinc/43/23/55/765432355.db2.gz QZCPJCRMHUMLQH-MRXNPFEDSA-N 1 2 305.466 1.993 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](N2CC[NH+](CC#CC)CC2)C1 ZINC001052077452 765450275 /nfs/dbraw/zinc/45/02/75/765450275.db2.gz RHGWJKGIDRCGMO-MJGOQNOKSA-N 1 2 317.477 1.831 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)C1CN(Cc2cccc(C#N)c2)C1 ZINC001044364508 765510865 /nfs/dbraw/zinc/51/08/65/765510865.db2.gz ZKPWHHRRYMBXNA-UHFFFAOYSA-N 1 2 309.373 1.098 20 30 DDEDLO C=CCCC1(C(=O)N2CCN(Cc3c[nH+]cn3C)CC2)CC1 ZINC001113310580 765550634 /nfs/dbraw/zinc/55/06/34/765550634.db2.gz LEJIYOAQWRZUFV-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO Cc1nsc(N[C@H](C)[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001113314963 765557068 /nfs/dbraw/zinc/55/70/68/765557068.db2.gz WSTVVLKRNMENID-DTWKUNHWSA-N 1 2 318.406 1.594 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113352035 765615351 /nfs/dbraw/zinc/61/53/51/765615351.db2.gz LYQSXZLDTWKCDQ-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113352035 765615355 /nfs/dbraw/zinc/61/53/55/765615355.db2.gz LYQSXZLDTWKCDQ-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113352214 765617299 /nfs/dbraw/zinc/61/72/99/765617299.db2.gz OTDULRZMUIKIME-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113352214 765617302 /nfs/dbraw/zinc/61/73/02/765617302.db2.gz OTDULRZMUIKIME-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@H]1C[C@H](NCC#N)CCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001044605606 765693558 /nfs/dbraw/zinc/69/35/58/765693558.db2.gz BDECOUMNEZRNMS-UONOGXRCSA-N 1 2 315.421 1.255 20 30 DDEDLO CCCCCCCCC(=O)N1CC[NH+](CCOCCO)CC1 ZINC001113575879 765897659 /nfs/dbraw/zinc/89/76/59/765897659.db2.gz VKWWAWWLFDEIEF-UHFFFAOYSA-N 1 2 314.470 1.890 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCOc2ccccc2F)CC1 ZINC001113603369 765932008 /nfs/dbraw/zinc/93/20/08/765932008.db2.gz BCFLTOVXLOKCDB-UHFFFAOYSA-N 1 2 304.365 1.762 20 30 DDEDLO Cc1c(CC[NH3+])c(=O)[nH]n1-c1cc(CC#N)ccc1[N+](=O)[O-] ZINC001170344146 766275743 /nfs/dbraw/zinc/27/57/43/766275743.db2.gz GLNDNUQALSLCBT-UHFFFAOYSA-N 1 2 301.306 1.362 20 30 DDEDLO Cc1nc(N(C)[C@@H](C)CNC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001113898091 766363665 /nfs/dbraw/zinc/36/36/65/766363665.db2.gz LCPARVIUQVNEOV-NSHDSACASA-N 1 2 312.377 1.168 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC[C@H]1C ZINC001114023601 766551340 /nfs/dbraw/zinc/55/13/40/766551340.db2.gz HJVLSMFQJZKUQR-UKRRQHHQSA-N 1 2 300.406 1.920 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ncccc1C#N ZINC001067550832 766610853 /nfs/dbraw/zinc/61/08/53/766610853.db2.gz YLWFUAAGOYTABB-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067717014 766648350 /nfs/dbraw/zinc/64/83/50/766648350.db2.gz ZSENKFIYPSBYCU-HNNXBMFYSA-N 1 2 320.437 1.792 20 30 DDEDLO CN(C[C@@H]1CCCN1C(=O)Cn1cc[nH+]c1)c1ccc(C#N)cn1 ZINC001067765144 766689755 /nfs/dbraw/zinc/68/97/55/766689755.db2.gz FIDGGGYCODHHIP-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO N#Cc1ccc(NCC2CC(NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001067860512 766762380 /nfs/dbraw/zinc/76/23/80/766762380.db2.gz DCTHOTQGOXVLGW-UHFFFAOYSA-N 1 2 310.361 1.226 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C[C@H](CC)C(C)C)[C@@H](n2ccnn2)C1 ZINC001129396808 766869108 /nfs/dbraw/zinc/86/91/08/766869108.db2.gz MORYSHBSRQTESN-XHSDSOJGSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C[C@H](CC)C(C)C)[C@@H](n2ccnn2)C1 ZINC001129396808 766869118 /nfs/dbraw/zinc/86/91/18/766869118.db2.gz MORYSHBSRQTESN-XHSDSOJGSA-N 1 2 317.437 1.325 20 30 DDEDLO CC(C)(C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C(F)F ZINC001046059325 766870140 /nfs/dbraw/zinc/87/01/40/766870140.db2.gz FBHURIWUWCYSPA-GFCCVEGCSA-N 1 2 314.380 1.020 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)C1 ZINC001046059763 766871694 /nfs/dbraw/zinc/87/16/94/766871694.db2.gz OJZRXVJZEIBBEU-OAHLLOKOSA-N 1 2 302.422 1.085 20 30 DDEDLO C=CCn1c(N2CCC(=C)CC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001121607104 782589507 /nfs/dbraw/zinc/58/95/07/782589507.db2.gz ISVJCLHDOGFFER-YOEHRIQHSA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1c(N2CCC(=C)CC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121607104 782589514 /nfs/dbraw/zinc/58/95/14/782589514.db2.gz ISVJCLHDOGFFER-YOEHRIQHSA-N 1 2 317.437 1.532 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](CC)OCC)n2CC=C)CC1 ZINC001121644495 782610654 /nfs/dbraw/zinc/61/06/54/782610654.db2.gz QYESZTJVTQVZFW-HNNXBMFYSA-N 1 2 317.437 1.707 20 30 DDEDLO C[C@H]1C[C@H](CNc2ccc(C#N)nc2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068376450 767220561 /nfs/dbraw/zinc/22/05/61/767220561.db2.gz UYECGFVNLLGBOY-QWHCGFSZSA-N 1 2 324.388 1.568 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2C[C@H](CNc3cc[nH+]c(C)n3)C2)c1 ZINC001068584279 767437682 /nfs/dbraw/zinc/43/76/82/767437682.db2.gz KNXNRNMOTUPALW-KOMQPUFPSA-N 1 2 321.384 1.782 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[NH2+]Cc2nncs2)cc1 ZINC001130371339 767472111 /nfs/dbraw/zinc/47/21/11/767472111.db2.gz NSTLBNVZNRNZAS-UHFFFAOYSA-N 1 2 318.402 1.623 20 30 DDEDLO C[C@@]1(NC(=O)c2cn[nH]n2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046438276 767569701 /nfs/dbraw/zinc/56/97/01/767569701.db2.gz BBUKMPCKJOPQBH-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@@]1(NC(=O)c2cn[nH]n2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046438276 767569706 /nfs/dbraw/zinc/56/97/06/767569706.db2.gz BBUKMPCKJOPQBH-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C=CCCOCC(=O)N(C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001096112981 767726590 /nfs/dbraw/zinc/72/65/90/767726590.db2.gz KUWCSNIZPXCABX-UHFFFAOYSA-N 1 2 318.421 1.805 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccncc2C#N)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001068971622 767736970 /nfs/dbraw/zinc/73/69/70/767736970.db2.gz LLBWTTLJCLQKGO-DOMZBBRYSA-N 1 2 324.388 1.132 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccncc2C#N)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001068971622 767736975 /nfs/dbraw/zinc/73/69/75/767736975.db2.gz LLBWTTLJCLQKGO-DOMZBBRYSA-N 1 2 324.388 1.132 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001096119762 767788759 /nfs/dbraw/zinc/78/87/59/767788759.db2.gz ZAZGMONBSVFDPT-CYBMUJFWSA-N 1 2 316.405 1.251 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](C)N(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001069073188 767821690 /nfs/dbraw/zinc/82/16/90/767821690.db2.gz HZLGLTLEISPXHO-GWCFXTLKSA-N 1 2 310.361 1.700 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001047308588 768393013 /nfs/dbraw/zinc/39/30/13/768393013.db2.gz OTCWKBCGCLOQBX-IRXDYDNUSA-N 1 2 318.392 1.337 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001047308588 768393020 /nfs/dbraw/zinc/39/30/20/768393020.db2.gz OTCWKBCGCLOQBX-IRXDYDNUSA-N 1 2 318.392 1.337 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(C3CC3)nc2C)C1 ZINC001047376904 768434539 /nfs/dbraw/zinc/43/45/39/768434539.db2.gz ZVQYQWHEXAUIQR-STQMWFEESA-N 1 2 319.430 1.079 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(C3CC3)nc2C)C1 ZINC001047376904 768434542 /nfs/dbraw/zinc/43/45/42/768434542.db2.gz ZVQYQWHEXAUIQR-STQMWFEESA-N 1 2 319.430 1.079 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+]Cc1ncc(CC)o1 ZINC001131867825 768444439 /nfs/dbraw/zinc/44/44/39/768444439.db2.gz WMUXKZZCGWISML-MRXNPFEDSA-N 1 2 309.410 1.672 20 30 DDEDLO CN(C(=O)C1(C)CC1)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047391253 768444877 /nfs/dbraw/zinc/44/48/77/768444877.db2.gz OHLHJUABVBXIFP-IRXDYDNUSA-N 1 2 312.413 1.342 20 30 DDEDLO CN(C(=O)C1(C)CC1)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047391253 768444880 /nfs/dbraw/zinc/44/48/80/768444880.db2.gz OHLHJUABVBXIFP-IRXDYDNUSA-N 1 2 312.413 1.342 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2ncc(C)o2)C1 ZINC001131947927 768507388 /nfs/dbraw/zinc/50/73/88/768507388.db2.gz ZLLLXEHJNKBEDX-OCCSQVGLSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2ncc(C)o2)C1 ZINC001131947927 768507393 /nfs/dbraw/zinc/50/73/93/768507393.db2.gz ZLLLXEHJNKBEDX-OCCSQVGLSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC(C)(C)C)CC[C@@H]1C ZINC001132033529 768579255 /nfs/dbraw/zinc/57/92/55/768579255.db2.gz HOBXAAWXFPVJPQ-LSDHHAIUSA-N 1 2 319.449 1.307 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC(C)(C)C)CC[C@@H]1C ZINC001132033529 768579261 /nfs/dbraw/zinc/57/92/61/768579261.db2.gz HOBXAAWXFPVJPQ-LSDHHAIUSA-N 1 2 319.449 1.307 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCc2[nH+]ccn2C1)Nc1ncccc1C#N ZINC001098125212 768639501 /nfs/dbraw/zinc/63/95/01/768639501.db2.gz BANOXLMMMBLIOZ-OCCSQVGLSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCc2[nH+]ccn2C1)Nc1ccc(C#N)nc1 ZINC001098125318 768646120 /nfs/dbraw/zinc/64/61/20/768646120.db2.gz CYXIKQHAJFHFGH-QWHCGFSZSA-N 1 2 324.388 1.329 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C2(C)CC(=C)C2)CC[C@@H]1C ZINC001132273879 768730752 /nfs/dbraw/zinc/73/07/52/768730752.db2.gz LHHIVDLIPAWDPQ-GJZGRUSLSA-N 1 2 317.433 1.061 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C2(C)CC(=C)C2)CC[C@@H]1C ZINC001132273879 768730757 /nfs/dbraw/zinc/73/07/57/768730757.db2.gz LHHIVDLIPAWDPQ-GJZGRUSLSA-N 1 2 317.433 1.061 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001132302887 768740632 /nfs/dbraw/zinc/74/06/32/768740632.db2.gz ZLMHFNMJDSGIKP-UHFFFAOYSA-N 1 2 302.325 1.815 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C2CC2)CC1 ZINC001070950060 768805118 /nfs/dbraw/zinc/80/51/18/768805118.db2.gz JRWRLBJGGFCUSU-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C2CC2)CC1 ZINC001070950060 768805124 /nfs/dbraw/zinc/80/51/24/768805124.db2.gz JRWRLBJGGFCUSU-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001071032707 768900102 /nfs/dbraw/zinc/90/01/02/768900102.db2.gz UKCHXXWDRLTKPT-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001071084476 768936120 /nfs/dbraw/zinc/93/61/20/768936120.db2.gz VSTOZLGSRYZFHR-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO CCC(C)(CC)C(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132505907 768936346 /nfs/dbraw/zinc/93/63/46/768936346.db2.gz WNLXOGFLSTYGRK-KGLIPLIRSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(C)(CC)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132505907 768936354 /nfs/dbraw/zinc/93/63/54/768936354.db2.gz WNLXOGFLSTYGRK-KGLIPLIRSA-N 1 2 322.453 1.422 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001132601511 769004211 /nfs/dbraw/zinc/00/42/11/769004211.db2.gz AIJVAQWQBXGBAT-LBPRGKRZSA-N 1 2 304.394 1.907 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)CSCC#N)C2)c(C)c(C)[nH+]1 ZINC001096329722 769203100 /nfs/dbraw/zinc/20/31/00/769203100.db2.gz XHWDELVDKGKEJP-CYBMUJFWSA-N 1 2 319.434 1.354 20 30 DDEDLO C#CCC[N@H+]1CCN([C@H]2CCCN(C(=O)/C(C)=C\C)C2)C(=O)C1 ZINC001071262473 769208521 /nfs/dbraw/zinc/20/85/21/769208521.db2.gz LKGGNSIKDVPFJX-DNBBOTNYSA-N 1 2 317.433 1.111 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@H]2CCCN(C(=O)/C(C)=C\C)C2)C(=O)C1 ZINC001071262473 769208528 /nfs/dbraw/zinc/20/85/28/769208528.db2.gz LKGGNSIKDVPFJX-DNBBOTNYSA-N 1 2 317.433 1.111 20 30 DDEDLO C=CCCCC(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001133154610 769534340 /nfs/dbraw/zinc/53/43/40/769534340.db2.gz MUWGJSSKMRWOFX-UHFFFAOYSA-N 1 2 304.350 1.892 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[NH2+]Cc1nc(CCOC)no1 ZINC001133378973 769760811 /nfs/dbraw/zinc/76/08/11/769760811.db2.gz PVFPMMVEFFFPDS-OAHLLOKOSA-N 1 2 310.398 1.067 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001071788633 770077261 /nfs/dbraw/zinc/07/72/61/770077261.db2.gz ZVRAPDCGJDLANH-RISCZKNCSA-N 1 2 304.394 1.190 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@@H]2C1 ZINC001049034311 770275906 /nfs/dbraw/zinc/27/59/06/770275906.db2.gz DPWVZQRBAIDLMA-JKIFEVAISA-N 1 2 317.437 1.606 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@@H]2C1 ZINC001049034311 770275916 /nfs/dbraw/zinc/27/59/16/770275916.db2.gz DPWVZQRBAIDLMA-JKIFEVAISA-N 1 2 317.437 1.606 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2nonc2C)CC[C@H]1C ZINC001071950234 770331269 /nfs/dbraw/zinc/33/12/69/770331269.db2.gz GQLDTZBLTDLUIJ-ZYHUDNBSSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2nonc2C)CC[C@H]1C ZINC001071950234 770331281 /nfs/dbraw/zinc/33/12/81/770331281.db2.gz GQLDTZBLTDLUIJ-ZYHUDNBSSA-N 1 2 312.801 1.642 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001071989616 770396625 /nfs/dbraw/zinc/39/66/25/770396625.db2.gz XSXSVTXDPXGAKH-KGLIPLIRSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](NC(=O)CCc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001072000527 770415077 /nfs/dbraw/zinc/41/50/77/770415077.db2.gz VYNPKEPANQCWKA-KBPBESRZSA-N 1 2 316.405 1.109 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2cnc(COC)s2)CC[C@H]1C ZINC001072112879 770557524 /nfs/dbraw/zinc/55/75/24/770557524.db2.gz IVTKFGUGLNXGRB-CHWSQXEVSA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2cnc(COC)s2)CC[C@H]1C ZINC001072112879 770557529 /nfs/dbraw/zinc/55/75/29/770557529.db2.gz IVTKFGUGLNXGRB-CHWSQXEVSA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2cnc(COC)s2)CC[C@@H]1C ZINC001072112881 770557806 /nfs/dbraw/zinc/55/78/06/770557806.db2.gz IVTKFGUGLNXGRB-QWHCGFSZSA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2cnc(COC)s2)CC[C@@H]1C ZINC001072112881 770557811 /nfs/dbraw/zinc/55/78/11/770557811.db2.gz IVTKFGUGLNXGRB-QWHCGFSZSA-N 1 2 321.446 1.896 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001134062245 770609330 /nfs/dbraw/zinc/60/93/30/770609330.db2.gz UKSPAGWUMKFSLF-UHTWSYAYSA-N 1 2 320.393 1.305 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1ccn[nH]1 ZINC001049386948 770766586 /nfs/dbraw/zinc/76/65/86/770766586.db2.gz KOMJLPJNCRFNQK-ZIAGYGMSSA-N 1 2 308.813 1.770 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1ccn[nH]1 ZINC001049386948 770766597 /nfs/dbraw/zinc/76/65/97/770766597.db2.gz KOMJLPJNCRFNQK-ZIAGYGMSSA-N 1 2 308.813 1.770 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)CCc1nc[nH]n1 ZINC001049410010 770792045 /nfs/dbraw/zinc/79/20/45/770792045.db2.gz FWXFKYLEISFIJC-QWHCGFSZSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)CCc1nc[nH]n1 ZINC001049410010 770792047 /nfs/dbraw/zinc/79/20/47/770792047.db2.gz FWXFKYLEISFIJC-QWHCGFSZSA-N 1 2 323.828 1.555 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049467640 770891550 /nfs/dbraw/zinc/89/15/50/770891550.db2.gz PLFALKMPKPRUCT-HUUCEWRRSA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049467640 770891559 /nfs/dbraw/zinc/89/15/59/770891559.db2.gz PLFALKMPKPRUCT-HUUCEWRRSA-N 1 2 314.389 1.357 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001072483196 770959695 /nfs/dbraw/zinc/95/96/95/770959695.db2.gz FEOZWHVFSVCQOA-IUODEOHRSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)C(C)(C)S(C)(=O)=O ZINC001049770850 771147790 /nfs/dbraw/zinc/14/77/90/771147790.db2.gz YCOAJNMBLWNLEH-STQMWFEESA-N 1 2 314.451 1.061 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)C(C)(C)S(C)(=O)=O ZINC001049770850 771147791 /nfs/dbraw/zinc/14/77/91/771147791.db2.gz YCOAJNMBLWNLEH-STQMWFEESA-N 1 2 314.451 1.061 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](NC(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001097083921 771616673 /nfs/dbraw/zinc/61/66/73/771616673.db2.gz CVAMJQPDMUJUCS-HDJSIYSDSA-N 1 2 310.361 1.299 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C)CC(F)(F)C2)[C@@H](O)C1 ZINC001090715823 772135949 /nfs/dbraw/zinc/13/59/49/772135949.db2.gz KIOVFWBCZJYZGC-QWRGUYRKSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C)CC(F)(F)C2)[C@@H](O)C1 ZINC001090715823 772135950 /nfs/dbraw/zinc/13/59/50/772135950.db2.gz KIOVFWBCZJYZGC-QWRGUYRKSA-N 1 2 322.783 1.726 20 30 DDEDLO CC1(C)CN(Cc2c(Cl)cccc2C#N)CC[N@@H+]1CC(N)=O ZINC001143726683 772299188 /nfs/dbraw/zinc/29/91/88/772299188.db2.gz YCGIIFTUGXRKNI-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO CC1(C)CN(Cc2c(Cl)cccc2C#N)CC[N@H+]1CC(N)=O ZINC001143726683 772299193 /nfs/dbraw/zinc/29/91/93/772299193.db2.gz YCGIIFTUGXRKNI-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)cn2)C1 ZINC001144169695 772436471 /nfs/dbraw/zinc/43/64/71/772436471.db2.gz RQDYOCYTVGNKOA-HNNXBMFYSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)cn2)C1 ZINC001144169695 772436473 /nfs/dbraw/zinc/43/64/73/772436473.db2.gz RQDYOCYTVGNKOA-HNNXBMFYSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](OC(C)=O)c2ccccc2)CC1 ZINC001144913219 772702557 /nfs/dbraw/zinc/70/25/57/772702557.db2.gz FQYWYBIKDQTRGC-INIZCTEOSA-N 1 2 302.374 1.621 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCO[C@@H](CNC(=O)[C@H](C)C#N)C2)s1 ZINC001149405890 772782257 /nfs/dbraw/zinc/78/22/57/772782257.db2.gz FJYNQWSMSUSHPJ-YPMHNXCESA-N 1 2 322.434 1.318 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCO[C@@H](CNC(=O)[C@H](C)C#N)C2)s1 ZINC001149405890 772782256 /nfs/dbraw/zinc/78/22/56/772782256.db2.gz FJYNQWSMSUSHPJ-YPMHNXCESA-N 1 2 322.434 1.318 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cnns1)C2 ZINC001147428337 773130827 /nfs/dbraw/zinc/13/08/27/773130827.db2.gz QNBQIJJQUKFBGQ-UHFFFAOYSA-N 1 2 304.419 1.376 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnns1)C2 ZINC001147428337 773130828 /nfs/dbraw/zinc/13/08/28/773130828.db2.gz QNBQIJJQUKFBGQ-UHFFFAOYSA-N 1 2 304.419 1.376 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001148357754 773455328 /nfs/dbraw/zinc/45/53/28/773455328.db2.gz QRAYKVWSWSQUDJ-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001148357754 773455329 /nfs/dbraw/zinc/45/53/29/773455329.db2.gz QRAYKVWSWSQUDJ-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)[C@H](C)C1 ZINC001074080320 773619440 /nfs/dbraw/zinc/61/94/40/773619440.db2.gz QQIDDSUDVADLTN-HIFRSBDPSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001074103682 773633488 /nfs/dbraw/zinc/63/34/88/773633488.db2.gz HCRMSQVLOBISTD-UONOGXRCSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(C)C[N@@H+]1CCn2c(nnc2C2CCN(C(C)=O)CC2)C1 ZINC001074108253 773636829 /nfs/dbraw/zinc/63/68/29/773636829.db2.gz FOBHKGZAJGGDOD-UHFFFAOYSA-N 1 2 303.410 1.396 20 30 DDEDLO C=C(C)C[N@H+]1CCn2c(nnc2C2CCN(C(C)=O)CC2)C1 ZINC001074108253 773636833 /nfs/dbraw/zinc/63/68/33/773636833.db2.gz FOBHKGZAJGGDOD-UHFFFAOYSA-N 1 2 303.410 1.396 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074150320 773675352 /nfs/dbraw/zinc/67/53/52/773675352.db2.gz WALWDSGVQFCZSH-ZIAGYGMSSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001074168017 773690979 /nfs/dbraw/zinc/69/09/79/773690979.db2.gz HPZPOZJGKJQOBV-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC(F)(F)F)C[C@H]21 ZINC001074185345 773706895 /nfs/dbraw/zinc/70/68/95/773706895.db2.gz ZTIUQYDHBVPENJ-NEPJUHHUSA-N 1 2 306.328 1.817 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC(F)(F)F)C[C@H]21 ZINC001074185345 773706900 /nfs/dbraw/zinc/70/69/00/773706900.db2.gz ZTIUQYDHBVPENJ-NEPJUHHUSA-N 1 2 306.328 1.817 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)ccn3C)C[C@H]21 ZINC001074226625 773751504 /nfs/dbraw/zinc/75/15/04/773751504.db2.gz UEIRMHMWDPECJL-HZPDHXFCSA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)ccn3C)C[C@H]21 ZINC001074226625 773751510 /nfs/dbraw/zinc/75/15/10/773751510.db2.gz UEIRMHMWDPECJL-HZPDHXFCSA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C(C)C)C[C@@H]21 ZINC001074253536 773771890 /nfs/dbraw/zinc/77/18/90/773771890.db2.gz WRGALHQXRQRIQT-HOTGVXAUSA-N 1 2 306.450 1.994 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C(C)C)C[C@@H]21 ZINC001074253536 773771894 /nfs/dbraw/zinc/77/18/94/773771894.db2.gz WRGALHQXRQRIQT-HOTGVXAUSA-N 1 2 306.450 1.994 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCCO)[C@H]2C1 ZINC001074315223 773815936 /nfs/dbraw/zinc/81/59/36/773815936.db2.gz SHKLNZMMWMEENC-LSDHHAIUSA-N 1 2 310.438 1.273 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCCO)[C@H]2C1 ZINC001074315223 773815939 /nfs/dbraw/zinc/81/59/39/773815939.db2.gz SHKLNZMMWMEENC-LSDHHAIUSA-N 1 2 310.438 1.273 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCCO)[C@@H]2C1 ZINC001074315222 773815983 /nfs/dbraw/zinc/81/59/83/773815983.db2.gz SHKLNZMMWMEENC-HUUCEWRRSA-N 1 2 310.438 1.273 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCCO)[C@@H]2C1 ZINC001074315222 773815987 /nfs/dbraw/zinc/81/59/87/773815987.db2.gz SHKLNZMMWMEENC-HUUCEWRRSA-N 1 2 310.438 1.273 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3conc3CC)C[C@H]21 ZINC001074336511 773834386 /nfs/dbraw/zinc/83/43/86/773834386.db2.gz QRHFJCNEQRYEKJ-HZPDHXFCSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3conc3CC)C[C@H]21 ZINC001074336511 773834391 /nfs/dbraw/zinc/83/43/91/773834391.db2.gz QRHFJCNEQRYEKJ-HZPDHXFCSA-N 1 2 319.405 1.728 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCc2[nH]cc[nH+]2)CCN1c1ccc(C#N)cn1 ZINC001091958073 773897307 /nfs/dbraw/zinc/89/73/07/773897307.db2.gz GYHYHAPQLGDQMG-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(CC)CC)C2 ZINC001110127508 773929213 /nfs/dbraw/zinc/92/92/13/773929213.db2.gz PJHYEECBEGUZJT-ILXRZTDVSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(CC)CC)C2 ZINC001110127508 773929216 /nfs/dbraw/zinc/92/92/16/773929216.db2.gz PJHYEECBEGUZJT-ILXRZTDVSA-N 1 2 319.449 1.284 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(C3CC3)no2)[C@@H]1C ZINC001074570028 774002241 /nfs/dbraw/zinc/00/22/41/774002241.db2.gz FPKGKGFSKVAOIU-NHCYSSNCSA-N 1 2 303.366 1.186 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)C1CC1)c1nccn12 ZINC001092358976 774073837 /nfs/dbraw/zinc/07/38/37/774073837.db2.gz KARUVAUTQHNYJD-JSGCOSHPSA-N 1 2 300.406 1.687 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1conc1C)c1nccn12 ZINC001092391873 774098416 /nfs/dbraw/zinc/09/84/16/774098416.db2.gz CEVQKVLZQHZCAJ-ZDUSSCGKSA-N 1 2 313.361 1.251 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cnnc(C)c1)c1nccn12 ZINC001092367156 774105664 /nfs/dbraw/zinc/10/56/64/774105664.db2.gz KAMGUOSGBKTSPF-AWEZNQCLSA-N 1 2 324.388 1.053 20 30 DDEDLO CCc1nc(N2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H](C)C#N)C3)cc(C)[nH+]1 ZINC001092811170 774276698 /nfs/dbraw/zinc/27/66/98/774276698.db2.gz BVLXATLDXAYECW-ZZVYKPCYSA-N 1 2 313.405 1.733 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001075088438 774342973 /nfs/dbraw/zinc/34/29/73/774342973.db2.gz JDQHLAIGFKDLQN-JSGCOSHPSA-N 1 2 318.421 1.723 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098702806 774646576 /nfs/dbraw/zinc/64/65/76/774646576.db2.gz BKKWSWOMKVYVEK-OAHLLOKOSA-N 1 2 321.400 1.304 20 30 DDEDLO C[C@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1nccnc1C#N ZINC001099032650 774784544 /nfs/dbraw/zinc/78/45/44/774784544.db2.gz WBALWAWNHSRNFD-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)Cc3cncs3)CC2)C1 ZINC001093545091 774819952 /nfs/dbraw/zinc/81/99/52/774819952.db2.gz SDQMEQJDFROXBS-UHFFFAOYSA-N 1 2 321.446 1.563 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001093559047 774884798 /nfs/dbraw/zinc/88/47/98/774884798.db2.gz JIEZAVLHWWMFLK-UHFFFAOYSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)COCCOCC)C2 ZINC001111468609 774890636 /nfs/dbraw/zinc/89/06/36/774890636.db2.gz HVRCJGIMYWFNBF-MCIONIFRSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)COCCOCC)C2 ZINC001111468609 774890643 /nfs/dbraw/zinc/89/06/43/774890643.db2.gz HVRCJGIMYWFNBF-MCIONIFRSA-N 1 2 316.829 1.514 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(F)ccc2F)C[C@@H]1O ZINC001099726731 775190936 /nfs/dbraw/zinc/19/09/36/775190936.db2.gz JNLKMCUMDASHOH-CVEARBPZSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(F)ccc2F)C[C@@H]1O ZINC001099726731 775190941 /nfs/dbraw/zinc/19/09/41/775190941.db2.gz JNLKMCUMDASHOH-CVEARBPZSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccnc(Cl)c2)C[C@@H]1O ZINC001099726473 775191135 /nfs/dbraw/zinc/19/11/35/775191135.db2.gz HVEBIGJESRLFNR-KBPBESRZSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ccnc(Cl)c2)C[C@@H]1O ZINC001099726473 775191138 /nfs/dbraw/zinc/19/11/38/775191138.db2.gz HVEBIGJESRLFNR-KBPBESRZSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](Cc2ccn(C)n2)C[C@@H]1O ZINC001099849329 775351931 /nfs/dbraw/zinc/35/19/31/775351931.db2.gz CSBGMIHYQKJQAX-GJZGRUSLSA-N 1 2 320.437 1.074 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](Cc2ccn(C)n2)C[C@@H]1O ZINC001099849329 775351938 /nfs/dbraw/zinc/35/19/38/775351938.db2.gz CSBGMIHYQKJQAX-GJZGRUSLSA-N 1 2 320.437 1.074 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001099961695 775484390 /nfs/dbraw/zinc/48/43/90/775484390.db2.gz AVOHJCSNLSSAJB-GOEBONIOSA-N 1 2 319.405 1.228 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001099961695 775484395 /nfs/dbraw/zinc/48/43/95/775484395.db2.gz AVOHJCSNLSSAJB-GOEBONIOSA-N 1 2 319.405 1.228 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099975595 775507350 /nfs/dbraw/zinc/50/73/50/775507350.db2.gz DUHBUOJSETZKBH-UONOGXRCSA-N 1 2 323.462 1.859 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099975595 775507361 /nfs/dbraw/zinc/50/73/61/775507361.db2.gz DUHBUOJSETZKBH-UONOGXRCSA-N 1 2 323.462 1.859 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100255171 775899309 /nfs/dbraw/zinc/89/93/09/775899309.db2.gz BZQLCSHUJYVGOT-UHFFFAOYSA-N 1 2 302.382 1.128 20 30 DDEDLO N#Cc1c(F)cccc1NCCCNC(=O)Cn1cc[nH+]c1 ZINC001094471233 775924922 /nfs/dbraw/zinc/92/49/22/775924922.db2.gz FAFWGXMPVTTYQT-UHFFFAOYSA-N 1 2 301.325 1.512 20 30 DDEDLO N#Cc1cnc(NCCCNC(=O)Cc2[nH]cc[nH+]2)c(Cl)c1 ZINC001094549645 776059975 /nfs/dbraw/zinc/05/99/75/776059975.db2.gz OPBHBALRXAVJQG-UHFFFAOYSA-N 1 2 318.768 1.491 20 30 DDEDLO Cc1ccc(C#N)c(N(C)CCNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)n1 ZINC001100391911 776090352 /nfs/dbraw/zinc/09/03/52/776090352.db2.gz KAFLTYRWGXKZEX-ZIAGYGMSSA-N 1 2 324.388 1.341 20 30 DDEDLO Cc1ccc(C#N)c(N(C)CCNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)n1 ZINC001100391911 776090355 /nfs/dbraw/zinc/09/03/55/776090355.db2.gz KAFLTYRWGXKZEX-ZIAGYGMSSA-N 1 2 324.388 1.341 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[NH2+]CC(F)(F)C(F)F)nc1 ZINC001171590254 776337095 /nfs/dbraw/zinc/33/70/95/776337095.db2.gz YVCHVRMQESGWSA-UHFFFAOYSA-N 1 2 317.286 1.673 20 30 DDEDLO C[C@@H](CC(=O)NCCCNc1ncccc1C#N)n1cc[nH+]c1 ZINC001094823303 776338335 /nfs/dbraw/zinc/33/83/35/776338335.db2.gz OEHYBXCWMHGOAM-ZDUSSCGKSA-N 1 2 312.377 1.719 20 30 DDEDLO CCN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)c1ccncc1C#N ZINC001100755133 776502956 /nfs/dbraw/zinc/50/29/56/776502956.db2.gz BODZIYOLURJFKZ-ZIAGYGMSSA-N 1 2 324.388 1.423 20 30 DDEDLO CCN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)c1ccncc1C#N ZINC001100755133 776502958 /nfs/dbraw/zinc/50/29/58/776502958.db2.gz BODZIYOLURJFKZ-ZIAGYGMSSA-N 1 2 324.388 1.423 20 30 DDEDLO CCN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)c1ccc(C#N)nc1 ZINC001100755512 776503065 /nfs/dbraw/zinc/50/30/65/776503065.db2.gz WKPACGMTMDNDHS-HUUCEWRRSA-N 1 2 324.388 1.423 20 30 DDEDLO CCN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)c1ccc(C#N)nc1 ZINC001100755512 776503068 /nfs/dbraw/zinc/50/30/68/776503068.db2.gz WKPACGMTMDNDHS-HUUCEWRRSA-N 1 2 324.388 1.423 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1[C@H]1CCCN(C(=O)c2ccccc2)CC1 ZINC001171886786 776504419 /nfs/dbraw/zinc/50/44/19/776504419.db2.gz DOQOPTCVWFJYHR-DLBZAZTESA-N 1 2 312.417 1.479 20 30 DDEDLO COC(=O)[C@H](Cc1ccc(C#N)cc1)[NH2+]C1C[C@@H]2C=C[C@H](C1)O2 ZINC001172241222 776728174 /nfs/dbraw/zinc/72/81/74/776728174.db2.gz ZUESBPYMOVZDKC-HMQMGEFJSA-N 1 2 312.369 1.718 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001100946686 776745398 /nfs/dbraw/zinc/74/53/98/776745398.db2.gz XSNIXYZSLQQCAP-OAHLLOKOSA-N 1 2 318.421 1.547 20 30 DDEDLO C=CCOCCCC(=O)NC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100953248 776754771 /nfs/dbraw/zinc/75/47/71/776754771.db2.gz FPACFWWUOHHEAO-OAHLLOKOSA-N 1 2 318.421 1.710 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](CNC(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001101069747 776876547 /nfs/dbraw/zinc/87/65/47/776876547.db2.gz XUQAXQQRDFHLNU-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](CNC(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001101069747 776876552 /nfs/dbraw/zinc/87/65/52/776876552.db2.gz XUQAXQQRDFHLNU-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCN(CCC)c1cc[nH+]c(C)n1 ZINC001101154451 776950554 /nfs/dbraw/zinc/95/05/54/776950554.db2.gz HMIIFFLWFLQJME-ZDUSSCGKSA-N 1 2 306.410 1.709 20 30 DDEDLO COC1(C)C[NH+]([C@@H]2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)C1 ZINC001172981752 776952273 /nfs/dbraw/zinc/95/22/73/776952273.db2.gz YVOHWDSNCSYFRL-OLZOCXBDSA-N 1 2 309.410 1.999 20 30 DDEDLO CCCN(CCNC(=O)Cc1c[nH]c[nH+]1)c1ccc(C#N)nc1 ZINC001101245767 777009768 /nfs/dbraw/zinc/00/97/68/777009768.db2.gz DHWNNHRVDWRLEO-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(C)nc1C)C2 ZINC001095236280 777289768 /nfs/dbraw/zinc/28/97/68/777289768.db2.gz COJBBYUGTAUZDD-XBFCOCLRSA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(C)nc1C)C2 ZINC001095236280 777289772 /nfs/dbraw/zinc/28/97/72/777289772.db2.gz COJBBYUGTAUZDD-XBFCOCLRSA-N 1 2 308.813 1.816 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C#CC(C)(C)C)c1nccn12 ZINC001101628243 777322879 /nfs/dbraw/zinc/32/28/79/777322879.db2.gz ZBKFOPUNEYJBKO-OAHLLOKOSA-N 1 2 324.428 1.528 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](OC)C(C)C)c1nccn12 ZINC001101640465 777337460 /nfs/dbraw/zinc/33/74/60/777337460.db2.gz AQDUVKMTRKZYGZ-KBPBESRZSA-N 1 2 318.421 1.312 20 30 DDEDLO C=C1CC(C)(C(=O)N(CCO)CCNc2cc[nH+]c(C)n2)C1 ZINC001111558362 777840907 /nfs/dbraw/zinc/84/09/07/777840907.db2.gz LTGPPTWEIWGRBS-UHFFFAOYSA-N 1 2 304.394 1.374 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)COCC3CC3)C[C@@H]21 ZINC001176925938 778315721 /nfs/dbraw/zinc/31/57/21/778315721.db2.gz ZTHBVLOCXCHOEQ-HOTGVXAUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)COCC3CC3)C[C@@H]21 ZINC001176925938 778315728 /nfs/dbraw/zinc/31/57/28/778315728.db2.gz ZTHBVLOCXCHOEQ-HOTGVXAUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccoc3)C[C@H]21 ZINC001176905968 778333145 /nfs/dbraw/zinc/33/31/45/778333145.db2.gz BPMLQQBEHRSPCV-HZPDHXFCSA-N 1 2 320.389 1.398 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccoc3)C[C@H]21 ZINC001176905968 778333153 /nfs/dbraw/zinc/33/31/53/778333153.db2.gz BPMLQQBEHRSPCV-HZPDHXFCSA-N 1 2 320.389 1.398 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)C)C[C@@H]21 ZINC001176913637 778337552 /nfs/dbraw/zinc/33/75/52/778337552.db2.gz VCENSCYDOOFXOG-JKSUJKDBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)C)C[C@@H]21 ZINC001176913637 778337555 /nfs/dbraw/zinc/33/75/55/778337555.db2.gz VCENSCYDOOFXOG-JKSUJKDBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C(\C)CC)C[C@H]21 ZINC001176998746 778380861 /nfs/dbraw/zinc/38/08/61/778380861.db2.gz CKXCIFDVSPXUHZ-IDGBWPAGSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C(\C)CC)C[C@H]21 ZINC001176998746 778380868 /nfs/dbraw/zinc/38/08/68/778380868.db2.gz CKXCIFDVSPXUHZ-IDGBWPAGSA-N 1 2 322.449 1.847 20 30 DDEDLO CN(C(=O)CCc1c[nH]c[nH+]1)[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001102767303 778381023 /nfs/dbraw/zinc/38/10/23/778381023.db2.gz XDAHQZUQESHSMC-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(C(=O)CCc1c[nH+]c[nH]1)[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001102767303 778381029 /nfs/dbraw/zinc/38/10/29/778381029.db2.gz XDAHQZUQESHSMC-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC3(COC)CC3)C[C@H]21 ZINC001177014008 778393505 /nfs/dbraw/zinc/39/35/05/778393505.db2.gz WYLRIPJKJWOJDD-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC3(COC)CC3)C[C@H]21 ZINC001177014008 778393507 /nfs/dbraw/zinc/39/35/07/778393507.db2.gz WYLRIPJKJWOJDD-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2CCN(c3ncccn3)CC2)CC1 ZINC001177126843 778438985 /nfs/dbraw/zinc/43/89/85/778438985.db2.gz ZIBCJUWOYJXLPX-UHFFFAOYSA-N 1 2 315.421 1.023 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CCOC)[C@@H]3C2)CC1 ZINC001177102381 778446695 /nfs/dbraw/zinc/44/66/95/778446695.db2.gz UXEDUNREWXNTKZ-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CCOC)[C@@H]3C2)CC1 ZINC001177102381 778446698 /nfs/dbraw/zinc/44/66/98/778446698.db2.gz UXEDUNREWXNTKZ-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO CC[C@@H](CNC(=O)Cn1cc[nH+]c1)Nc1ccc(C#N)c(C)n1 ZINC001103117861 778649726 /nfs/dbraw/zinc/64/97/26/778649726.db2.gz MVIJLTDBZBIAOU-AWEZNQCLSA-N 1 2 312.377 1.465 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1cccc(F)c1C#N ZINC001103162351 778680725 /nfs/dbraw/zinc/68/07/25/778680725.db2.gz WTIIDCGAAOWGFT-NSHDSACASA-N 1 2 315.352 1.970 20 30 DDEDLO C[N@@H+]1CCN(C(=O)C#Cc2ccccn2)[C@H](c2ccccc2)C1 ZINC001177797657 778739681 /nfs/dbraw/zinc/73/96/81/778739681.db2.gz WVPGXUSTWIWWBB-SFHVURJKSA-N 1 2 305.381 1.948 20 30 DDEDLO C[N@H+]1CCN(C(=O)C#Cc2ccccn2)[C@H](c2ccccc2)C1 ZINC001177797657 778739684 /nfs/dbraw/zinc/73/96/84/778739684.db2.gz WVPGXUSTWIWWBB-SFHVURJKSA-N 1 2 305.381 1.948 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cn(C)nn1)C2 ZINC001111764117 779470296 /nfs/dbraw/zinc/47/02/96/779470296.db2.gz ZZFRVSXPQSURGG-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cn(C)nn1)C2 ZINC001111764117 779470299 /nfs/dbraw/zinc/47/02/99/779470299.db2.gz ZZFRVSXPQSURGG-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC1(Nc2cc[nH+]c(C)n2)CCC1 ZINC001111817702 779495862 /nfs/dbraw/zinc/49/58/62/779495862.db2.gz YRGNQKIXAOYMMM-LBPRGKRZSA-N 1 2 304.394 1.827 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@](CO)(Nc2cc[nH+]c(C)n2)C1 ZINC001112229891 779656230 /nfs/dbraw/zinc/65/62/30/779656230.db2.gz MQELZCSWIGTCMI-QGZVFWFLSA-N 1 2 318.421 1.907 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)C#CC(C)C)[C@H](C)C2)cc[nH+]1 ZINC001112409558 779765177 /nfs/dbraw/zinc/76/51/77/779765177.db2.gz MPJCGHNRHVJGIP-UKRRQHHQSA-N 1 2 300.406 1.775 20 30 DDEDLO C=CCCCC(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115249154 779979088 /nfs/dbraw/zinc/97/90/88/779979088.db2.gz WCYLJHYLPMZCKR-UHFFFAOYSA-N 1 2 306.410 1.710 20 30 DDEDLO C#CCOCC[N@@H+](C)Cc1nc(-c2ccc(OC)cc2)no1 ZINC001116397094 780504726 /nfs/dbraw/zinc/50/47/26/780504726.db2.gz ZZISTOFAKRRLPW-UHFFFAOYSA-N 1 2 301.346 1.827 20 30 DDEDLO C#CCOCC[N@H+](C)Cc1nc(-c2ccc(OC)cc2)no1 ZINC001116397094 780504735 /nfs/dbraw/zinc/50/47/35/780504735.db2.gz ZZISTOFAKRRLPW-UHFFFAOYSA-N 1 2 301.346 1.827 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CC(=O)Nc2ccccc2C(=O)OC)C1 ZINC001116540448 780520561 /nfs/dbraw/zinc/52/05/61/780520561.db2.gz QWSDFTIQQMXRPD-ZDUSSCGKSA-N 1 2 318.373 1.689 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CC(=O)Nc2ccccc2C(=O)OC)C1 ZINC001116540448 780520567 /nfs/dbraw/zinc/52/05/67/780520567.db2.gz QWSDFTIQQMXRPD-ZDUSSCGKSA-N 1 2 318.373 1.689 20 30 DDEDLO C[C@H](O[NH+]=C(N)c1ccc(OCc2cccnc2)cc1)C(N)=O ZINC001116596305 780529670 /nfs/dbraw/zinc/52/96/70/780529670.db2.gz QDKIRZCPKYTWCW-NSHDSACASA-N 1 2 314.345 1.171 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001117779792 780899315 /nfs/dbraw/zinc/89/93/15/780899315.db2.gz ZAZLOOJEXSAVSO-IKGGRYGDSA-N 1 2 315.417 1.896 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001117779792 780899322 /nfs/dbraw/zinc/89/93/22/780899322.db2.gz ZAZLOOJEXSAVSO-IKGGRYGDSA-N 1 2 315.417 1.896 20 30 DDEDLO C[C@H](CCNC(=O)C#CC1CC1)[NH2+]Cc1noc(C2CC2)n1 ZINC001267124761 837392086 /nfs/dbraw/zinc/39/20/86/837392086.db2.gz BRAKNYDOFYIEKZ-LLVKDONJSA-N 1 2 302.378 1.345 20 30 DDEDLO C=C(Cl)C[N@H+](CCC)CCNC(=O)C(=O)NCC1CC1 ZINC001267319191 837817010 /nfs/dbraw/zinc/81/70/10/837817010.db2.gz YKXOUDFQNUFSSZ-UHFFFAOYSA-N 1 2 301.818 1.093 20 30 DDEDLO C=C(Cl)C[N@@H+](CCC)CCNC(=O)C(=O)NCC1CC1 ZINC001267319191 837817021 /nfs/dbraw/zinc/81/70/21/837817021.db2.gz YKXOUDFQNUFSSZ-UHFFFAOYSA-N 1 2 301.818 1.093 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1cc2ccsc2[nH]1 ZINC001266303996 836084705 /nfs/dbraw/zinc/08/47/05/836084705.db2.gz CPIAZMATJRVSCG-UHFFFAOYSA-N 1 2 320.418 1.193 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1cc2ccsc2[nH]1 ZINC001266303996 836084714 /nfs/dbraw/zinc/08/47/14/836084714.db2.gz CPIAZMATJRVSCG-UHFFFAOYSA-N 1 2 320.418 1.193 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccn(CC)n2)C1 ZINC001266315637 836106527 /nfs/dbraw/zinc/10/65/27/836106527.db2.gz ZRRMQVAVLQILEZ-OAHLLOKOSA-N 1 2 302.422 1.787 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccn(CC)n2)C1 ZINC001266315637 836106534 /nfs/dbraw/zinc/10/65/34/836106534.db2.gz ZRRMQVAVLQILEZ-OAHLLOKOSA-N 1 2 302.422 1.787 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NC(C)C)C1 ZINC001266321779 836117033 /nfs/dbraw/zinc/11/70/33/836117033.db2.gz WJJPUVNBLWSXNF-OAHLLOKOSA-N 1 2 307.438 1.470 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1CC[N@H+](CC(=O)NC(C)C)C1 ZINC001266321779 836117040 /nfs/dbraw/zinc/11/70/40/836117040.db2.gz WJJPUVNBLWSXNF-OAHLLOKOSA-N 1 2 307.438 1.470 20 30 DDEDLO Cn1cc(Cl)c(C[NH2+][C@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001267010331 837181074 /nfs/dbraw/zinc/18/10/74/837181074.db2.gz SJYDQDAUJIVLQO-LBPRGKRZSA-N 1 2 322.840 1.814 20 30 DDEDLO CCCN(C(=O)C(=O)C(C)(C)C)[C@H]1CC[N@H+](CC#CCOC)C1 ZINC001267454174 838074535 /nfs/dbraw/zinc/07/45/35/838074535.db2.gz LNHIHUSTJVSNPS-HNNXBMFYSA-N 1 2 322.449 1.564 20 30 DDEDLO CCCN(C(=O)C(=O)C(C)(C)C)[C@H]1CC[N@@H+](CC#CCOC)C1 ZINC001267454174 838074543 /nfs/dbraw/zinc/07/45/43/838074543.db2.gz LNHIHUSTJVSNPS-HNNXBMFYSA-N 1 2 322.449 1.564 20 30 DDEDLO C=CCOCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1conc1C ZINC001267706392 838684870 /nfs/dbraw/zinc/68/48/70/838684870.db2.gz ADGMJIPQWVHHBO-HOTGVXAUSA-N 1 2 319.405 1.751 20 30 DDEDLO C=CCOCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1conc1C ZINC001267706392 838684874 /nfs/dbraw/zinc/68/48/74/838684874.db2.gz ADGMJIPQWVHHBO-HOTGVXAUSA-N 1 2 319.405 1.751 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001267710258 838694537 /nfs/dbraw/zinc/69/45/37/838694537.db2.gz NECGMEKTPDSOPO-ADHGMGHFSA-N 1 2 316.445 1.748 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001267710258 838694541 /nfs/dbraw/zinc/69/45/41/838694541.db2.gz NECGMEKTPDSOPO-ADHGMGHFSA-N 1 2 316.445 1.748 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccsc1C ZINC001267712921 838701198 /nfs/dbraw/zinc/70/11/98/838701198.db2.gz ICRSBGZMNQCIJF-HOTGVXAUSA-N 1 2 318.442 1.534 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccsc1C ZINC001267712921 838701205 /nfs/dbraw/zinc/70/12/05/838701205.db2.gz ICRSBGZMNQCIJF-HOTGVXAUSA-N 1 2 318.442 1.534 20 30 DDEDLO CCN(C(=O)C#CC(C)C)[C@H]1CC[N@H+](Cc2ccn(CC)n2)C1 ZINC001267782628 838968759 /nfs/dbraw/zinc/96/87/59/838968759.db2.gz AINCMNXWEKBGOX-KRWDZBQOSA-N 1 2 316.449 1.985 20 30 DDEDLO CCN(C(=O)C#CC(C)C)[C@H]1CC[N@@H+](Cc2ccn(CC)n2)C1 ZINC001267782628 838968763 /nfs/dbraw/zinc/96/87/63/838968763.db2.gz AINCMNXWEKBGOX-KRWDZBQOSA-N 1 2 316.449 1.985 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1C[N@H+](CCOCCC(C)C)CCO1 ZINC001268021285 839395008 /nfs/dbraw/zinc/39/50/08/839395008.db2.gz DUYIQJXURATEDJ-QGZVFWFLSA-N 1 2 324.465 1.526 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1C[N@@H+](CCOCCC(C)C)CCO1 ZINC001268021285 839395018 /nfs/dbraw/zinc/39/50/18/839395018.db2.gz DUYIQJXURATEDJ-QGZVFWFLSA-N 1 2 324.465 1.526 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](c2cccnc2)C(C)C)C1 ZINC001268029950 839446254 /nfs/dbraw/zinc/44/62/54/839446254.db2.gz JPRKIMCKXUNTRP-DLBZAZTESA-N 1 2 317.433 1.824 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H](c2cccnc2)C(C)C)C1 ZINC001268029950 839446264 /nfs/dbraw/zinc/44/62/64/839446264.db2.gz JPRKIMCKXUNTRP-DLBZAZTESA-N 1 2 317.433 1.824 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CCc2ccco2)C1 ZINC001268158705 839771029 /nfs/dbraw/zinc/77/10/29/839771029.db2.gz WKAWZNRIAJEADZ-AWEZNQCLSA-N 1 2 319.405 1.095 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CCc2ccco2)C1 ZINC001268158705 839771032 /nfs/dbraw/zinc/77/10/32/839771032.db2.gz WKAWZNRIAJEADZ-AWEZNQCLSA-N 1 2 319.405 1.095 20 30 DDEDLO CC#CC[N@H+](C)CCN(C)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001268253636 839900336 /nfs/dbraw/zinc/90/03/36/839900336.db2.gz WOTCVCGFJWSUCU-UHFFFAOYSA-N 1 2 302.300 1.456 20 30 DDEDLO CC#CC[N@@H+](C)CCN(C)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001268253636 839900339 /nfs/dbraw/zinc/90/03/39/839900339.db2.gz WOTCVCGFJWSUCU-UHFFFAOYSA-N 1 2 302.300 1.456 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2[C@@H](CC[N@@H+]2Cc2csnn2)C1 ZINC001268264255 839918995 /nfs/dbraw/zinc/91/89/95/839918995.db2.gz RVNGHALAFVDVHJ-ZFWWWQNUSA-N 1 2 318.446 1.620 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2[C@@H](CC[N@H+]2Cc2csnn2)C1 ZINC001268264255 839918997 /nfs/dbraw/zinc/91/89/97/839918997.db2.gz RVNGHALAFVDVHJ-ZFWWWQNUSA-N 1 2 318.446 1.620 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)CCCC(C)=O)[C@H]1C ZINC001284102306 840242119 /nfs/dbraw/zinc/24/21/19/840242119.db2.gz NMSKYPQVRDBKSE-HZPDHXFCSA-N 1 2 308.422 1.365 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)CCCC(C)=O)[C@H]1C ZINC001284102306 840242127 /nfs/dbraw/zinc/24/21/27/840242127.db2.gz NMSKYPQVRDBKSE-HZPDHXFCSA-N 1 2 308.422 1.365 20 30 DDEDLO C#CCCCCCC(=O)NCC1C[NH+](Cc2cc(C)ncn2)C1 ZINC001268862268 840913920 /nfs/dbraw/zinc/91/39/20/840913920.db2.gz FURQOBAUCGYKHC-UHFFFAOYSA-N 1 2 314.433 1.917 20 30 DDEDLO CCC#CC(=O)N1CCC[C@]2(C1)C[N@H+](Cc1ccc[nH]1)CCO2 ZINC001268951206 841032225 /nfs/dbraw/zinc/03/22/25/841032225.db2.gz HXVAVHKRBYIWNE-GOSISDBHSA-N 1 2 315.417 1.622 20 30 DDEDLO CCC#CC(=O)N1CCC[C@]2(C1)C[N@@H+](Cc1ccc[nH]1)CCO2 ZINC001268951206 841032229 /nfs/dbraw/zinc/03/22/29/841032229.db2.gz HXVAVHKRBYIWNE-GOSISDBHSA-N 1 2 315.417 1.622 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC2(C1)CC[N@@H+](COCCOC)C2 ZINC001268985056 841086092 /nfs/dbraw/zinc/08/60/92/841086092.db2.gz FMTQHMLVNAFXOF-UHFFFAOYSA-N 1 2 310.438 1.744 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC2(C1)CC[N@H+](COCCOC)C2 ZINC001268985056 841086103 /nfs/dbraw/zinc/08/61/03/841086103.db2.gz FMTQHMLVNAFXOF-UHFFFAOYSA-N 1 2 310.438 1.744 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001269068824 841169264 /nfs/dbraw/zinc/16/92/64/841169264.db2.gz CIWWPUQSOOPLCW-ZDUSSCGKSA-N 1 2 318.421 1.778 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cncc(OC)n2)C1 ZINC001269068824 841169269 /nfs/dbraw/zinc/16/92/69/841169269.db2.gz CIWWPUQSOOPLCW-ZDUSSCGKSA-N 1 2 318.421 1.778 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2cc3n(n2)CCC3)C1 ZINC001269134434 841249994 /nfs/dbraw/zinc/24/99/94/841249994.db2.gz OIWSPZWEUMVDFC-CQSZACIVSA-N 1 2 302.422 1.876 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2cc3n(n2)CCC3)C1 ZINC001269134434 841250000 /nfs/dbraw/zinc/25/00/00/841250000.db2.gz OIWSPZWEUMVDFC-CQSZACIVSA-N 1 2 302.422 1.876 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCCN2C(=O)CCn2cc[nH+]c2)C1=O ZINC001269581060 841787063 /nfs/dbraw/zinc/78/70/63/841787063.db2.gz BGDGUYZJSMISMA-QGZVFWFLSA-N 1 2 316.405 1.443 20 30 DDEDLO C=CCNC(=O)[C@H]1CC12CCN(C(=O)CCn1cc[nH+]c1)CC2 ZINC001269581208 841788398 /nfs/dbraw/zinc/78/83/98/841788398.db2.gz HKLAQBPMJDEFDD-CQSZACIVSA-N 1 2 316.405 1.204 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)[N@H+](CC)CC(=O)Nc1ccon1 ZINC001154605544 861268851 /nfs/dbraw/zinc/26/88/51/861268851.db2.gz KDLUWMRHISMWFR-GFCCVEGCSA-N 1 2 322.409 1.652 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)[N@@H+](CC)CC(=O)Nc1ccon1 ZINC001154605544 861268867 /nfs/dbraw/zinc/26/88/67/861268867.db2.gz KDLUWMRHISMWFR-GFCCVEGCSA-N 1 2 322.409 1.652 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2ccc3nccn3c2)C1 ZINC001269876742 842124634 /nfs/dbraw/zinc/12/46/34/842124634.db2.gz XSRBSTKYQVFMTJ-UHFFFAOYSA-N 1 2 314.389 1.293 20 30 DDEDLO C#CCN(C(=O)[C@H]1CC12CC2)C1CC[NH+](Cc2ncccn2)CC1 ZINC001270345989 842502363 /nfs/dbraw/zinc/50/23/63/842502363.db2.gz VZJWOBIXKTTWMN-MRXNPFEDSA-N 1 2 324.428 1.703 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@](C)(NC(=O)c2cc(C)cs2)C1 ZINC001270585021 842688177 /nfs/dbraw/zinc/68/81/77/842688177.db2.gz WSEXEVWMJIKNAO-MRXNPFEDSA-N 1 2 321.446 1.553 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc(C)cs2)C1 ZINC001270585021 842688180 /nfs/dbraw/zinc/68/81/80/842688180.db2.gz WSEXEVWMJIKNAO-MRXNPFEDSA-N 1 2 321.446 1.553 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H](CC)OC2CCCC2)C1 ZINC001270682367 842810484 /nfs/dbraw/zinc/81/04/84/842810484.db2.gz LALJRLYQSXCVNC-HZPDHXFCSA-N 1 2 310.438 1.727 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H](CC)OC2CCCC2)C1 ZINC001270682367 842810493 /nfs/dbraw/zinc/81/04/93/842810493.db2.gz LALJRLYQSXCVNC-HZPDHXFCSA-N 1 2 310.438 1.727 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)CCc2csc(C)n2)C1 ZINC001270682002 842815233 /nfs/dbraw/zinc/81/52/33/842815233.db2.gz HJQPVALVSBOIGS-OAHLLOKOSA-N 1 2 323.462 1.777 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)CCc2csc(C)n2)C1 ZINC001270682002 842815236 /nfs/dbraw/zinc/81/52/36/842815236.db2.gz HJQPVALVSBOIGS-OAHLLOKOSA-N 1 2 323.462 1.777 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)C[C@H](C)OC)CC1 ZINC001226510394 842994397 /nfs/dbraw/zinc/99/43/97/842994397.db2.gz IYNADQZQDCFKRZ-NSHDSACASA-N 1 2 319.243 1.901 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)c(N)n1 ZINC001143208619 861414900 /nfs/dbraw/zinc/41/49/00/861414900.db2.gz IBRQMJNSLSRTOP-UHFFFAOYSA-N 1 2 308.389 1.561 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@@H](C)Cc2ccc(C)cc2)C1 ZINC001271394198 843553946 /nfs/dbraw/zinc/55/39/46/843553946.db2.gz WRBUDDJKGFTMOR-INIZCTEOSA-N 1 2 316.445 1.913 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](O)C[NH2+]Cc2nc(C)no2)CCCC1 ZINC001272591992 846371225 /nfs/dbraw/zinc/37/12/25/846371225.db2.gz KUDGTGLTJPTPLY-CYBMUJFWSA-N 1 2 322.409 1.081 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CCCC[C@@]12CC(=O)N(CCCC#N)C2 ZINC001272679072 846578568 /nfs/dbraw/zinc/57/85/68/846578568.db2.gz WZACWAOUHSDYOS-KRWDZBQOSA-N 1 2 315.421 1.681 20 30 DDEDLO Cn1ccnc1C[N@H+]1CCCC[C@@]12CC(=O)N(CCCC#N)C2 ZINC001272679072 846578578 /nfs/dbraw/zinc/57/85/78/846578578.db2.gz WZACWAOUHSDYOS-KRWDZBQOSA-N 1 2 315.421 1.681 20 30 DDEDLO CC#CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC001089690152 846588131 /nfs/dbraw/zinc/58/81/31/846588131.db2.gz MHCMDJPILRHHSS-ZDUSSCGKSA-N 1 2 318.421 1.369 20 30 DDEDLO CC#CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC001089690152 846588132 /nfs/dbraw/zinc/58/81/32/846588132.db2.gz MHCMDJPILRHHSS-ZDUSSCGKSA-N 1 2 318.421 1.369 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O ZINC001155067177 861699192 /nfs/dbraw/zinc/69/91/92/861699192.db2.gz UTGAUWWGVJECTQ-HIFRSBDPSA-N 1 2 318.483 1.460 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O ZINC001155067177 861699198 /nfs/dbraw/zinc/69/91/98/861699198.db2.gz UTGAUWWGVJECTQ-HIFRSBDPSA-N 1 2 318.483 1.460 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]1(C)C[N@H+](CCc2ccns2)CCO1 ZINC001107821131 847224937 /nfs/dbraw/zinc/22/49/37/847224937.db2.gz JQASWYRBMXAMNI-SWLSCSKDSA-N 1 2 322.434 1.052 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]1(C)C[N@@H+](CCc2ccns2)CCO1 ZINC001107821131 847224949 /nfs/dbraw/zinc/22/49/49/847224949.db2.gz JQASWYRBMXAMNI-SWLSCSKDSA-N 1 2 322.434 1.052 20 30 DDEDLO C=CC[N@H+]1CCCC[C@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001034213559 848120397 /nfs/dbraw/zinc/12/03/97/848120397.db2.gz ZPNWZEAUDMYPCR-LSDHHAIUSA-N 1 2 302.422 1.986 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@@H](NC(=O)c3cnn[nH]3)C2)c1 ZINC001034282552 848249872 /nfs/dbraw/zinc/24/98/72/848249872.db2.gz LCKWNLMDXSXHMR-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@@H](NC(=O)c3cnn[nH]3)C2)c1 ZINC001034282552 848249882 /nfs/dbraw/zinc/24/98/82/848249882.db2.gz LCKWNLMDXSXHMR-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CCC[N@H+](Cc2nncs2)CC1 ZINC001327126941 861912235 /nfs/dbraw/zinc/91/22/35/861912235.db2.gz SYTZUBXDFUZGRD-OLZOCXBDSA-N 1 2 322.434 1.047 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CCC[N@@H+](Cc2nncs2)CC1 ZINC001327126941 861912249 /nfs/dbraw/zinc/91/22/49/861912249.db2.gz SYTZUBXDFUZGRD-OLZOCXBDSA-N 1 2 322.434 1.047 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001273268267 849275753 /nfs/dbraw/zinc/27/57/53/849275753.db2.gz OBECIDIMOJDUMJ-ZDUSSCGKSA-N 1 2 308.382 1.051 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCC[N@@H+](C)[C@H](C)c2nnnn2C)C1 ZINC001327257454 862003163 /nfs/dbraw/zinc/00/31/63/862003163.db2.gz GXLVOSFYXYIQMY-CYBMUJFWSA-N 1 2 320.441 1.408 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCC[N@H+](C)[C@H](C)c2nnnn2C)C1 ZINC001327257454 862003179 /nfs/dbraw/zinc/00/31/79/862003179.db2.gz GXLVOSFYXYIQMY-CYBMUJFWSA-N 1 2 320.441 1.408 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](CCNC(=O)[C@@H](C)S(C)(=O)=O)C1 ZINC001437940625 850120824 /nfs/dbraw/zinc/12/08/24/850120824.db2.gz WKFBRTMTBYOFIF-VXGBXAGGSA-N 1 2 322.858 1.000 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](CCNC(=O)[C@@H](C)S(C)(=O)=O)C1 ZINC001437940625 850120829 /nfs/dbraw/zinc/12/08/29/850120829.db2.gz WKFBRTMTBYOFIF-VXGBXAGGSA-N 1 2 322.858 1.000 20 30 DDEDLO C=C(C)CN1CC2(CN(c3cc[nH+]c(OC)c3)C2)OCC1=O ZINC001273585549 851115576 /nfs/dbraw/zinc/11/55/76/851115576.db2.gz QZDODSPGWQCQAW-UHFFFAOYSA-N 1 2 303.362 1.084 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3cccc4cc[nH]c43)C2)OCC1=O ZINC001273682748 851221442 /nfs/dbraw/zinc/22/14/42/851221442.db2.gz OMAZPWNVIYJMLG-UHFFFAOYSA-N 1 2 309.369 1.214 20 30 DDEDLO Cc1cnc([C@H](C)[NH2+]C/C=C\CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001273939923 851532198 /nfs/dbraw/zinc/53/21/98/851532198.db2.gz QHOMCQPIDWDSCH-MVZIDQBPSA-N 1 2 313.361 1.820 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3ncccc3Cl)C2)OCC1=O ZINC001274246388 852091031 /nfs/dbraw/zinc/09/10/31/852091031.db2.gz QEUSKFHLAPHOMZ-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3ncccc3Cl)C2)OCC1=O ZINC001274246388 852091037 /nfs/dbraw/zinc/09/10/37/852091037.db2.gz QEUSKFHLAPHOMZ-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CCCCC(C)C)CC2)C1 ZINC001274479221 852313779 /nfs/dbraw/zinc/31/37/79/852313779.db2.gz BGUPQOSTVFDSDS-UHFFFAOYSA-N 1 2 305.466 1.664 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](Cc2coc(C)n2)[C@H](C)C1 ZINC001274611595 852441783 /nfs/dbraw/zinc/44/17/83/852441783.db2.gz LZCMKUMNORWHPM-TZMCWYRMSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2coc(C)n2)[C@H](C)C1 ZINC001274611595 852441786 /nfs/dbraw/zinc/44/17/86/852441786.db2.gz LZCMKUMNORWHPM-TZMCWYRMSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCCCC[NH+]1CC2(CCN2S(=O)(=O)c2cn(C)cn2)C1 ZINC001274977982 852732983 /nfs/dbraw/zinc/73/29/83/852732983.db2.gz DPVGSNOZTJIWQR-UHFFFAOYSA-N 1 2 324.450 1.225 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NCc1ccc2c(c1)CN(CC#N)C2 ZINC001327685247 862388066 /nfs/dbraw/zinc/38/80/66/862388066.db2.gz MZRKWIIRRWTBFU-UHFFFAOYSA-N 1 2 323.400 1.508 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1coc(C)n1 ZINC001275100898 852805507 /nfs/dbraw/zinc/80/55/07/852805507.db2.gz UIOKMDQKZQCRNE-DOTOQJQBSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]1C[N@H+](C)Cc1coc(C)n1 ZINC001275100898 852805508 /nfs/dbraw/zinc/80/55/08/852805508.db2.gz UIOKMDQKZQCRNE-DOTOQJQBSA-N 1 2 321.421 1.733 20 30 DDEDLO C[C@@H](C[NH2+]Cc1nnc(C(C)(C)C)o1)NC(=O)C#CC1CC1 ZINC001275149960 852841764 /nfs/dbraw/zinc/84/17/64/852841764.db2.gz STGBSBPBIDOKNC-NSHDSACASA-N 1 2 304.394 1.375 20 30 DDEDLO Cc1cncc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)n1 ZINC001275223302 852907716 /nfs/dbraw/zinc/90/77/16/852907716.db2.gz HYXVXPZMLIZMOX-UHFFFAOYSA-N 1 2 322.416 1.313 20 30 DDEDLO N#Cc1cc(F)cc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)c1 ZINC001275396278 853060824 /nfs/dbraw/zinc/06/08/24/853060824.db2.gz LLNFNDPZJCBQCD-UHFFFAOYSA-N 1 2 324.359 1.983 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H](C)C[N@H+](C)Cc1cc(C)on1 ZINC001275671579 853538764 /nfs/dbraw/zinc/53/87/64/853538764.db2.gz BKJNCLDLBKPVIP-RCBQFDQVSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H](C)C[N@@H+](C)Cc1cc(C)on1 ZINC001275671579 853538767 /nfs/dbraw/zinc/53/87/67/853538767.db2.gz BKJNCLDLBKPVIP-RCBQFDQVSA-N 1 2 321.421 1.901 20 30 DDEDLO O=C(CC#Cc1ccccc1)NC1(CCO)C[NH+](CCCF)C1 ZINC001276108833 854769520 /nfs/dbraw/zinc/76/95/20/854769520.db2.gz ROHVFXPVMPGYKZ-UHFFFAOYSA-N 1 2 318.392 1.341 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC001328294547 862874429 /nfs/dbraw/zinc/87/44/29/862874429.db2.gz YNWMDSDLICVGDB-MCIONIFRSA-N 1 2 302.378 1.097 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)C1C[NH+](CC(=O)NCC(C)C)C1 ZINC001276381959 857224982 /nfs/dbraw/zinc/22/49/82/857224982.db2.gz PSNOGONQEKVTFE-AWEZNQCLSA-N 1 2 323.481 1.797 20 30 DDEDLO O=C([C@H]1CCn2cc[nH+]c2C1)N1CCC2(CC1)CC(=O)C=CO2 ZINC001413709618 857381741 /nfs/dbraw/zinc/38/17/41/857381741.db2.gz AIJMFFOBSZAECK-ZDUSSCGKSA-N 1 2 315.373 1.310 20 30 DDEDLO CCc1noc([C@H](C)[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001072544671 857425907 /nfs/dbraw/zinc/42/59/07/857425907.db2.gz TXHWYDIVXAWYCR-NEPJUHHUSA-N 1 2 317.393 1.387 20 30 DDEDLO CCc1noc([C@H](C)[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001072544671 857425909 /nfs/dbraw/zinc/42/59/09/857425909.db2.gz TXHWYDIVXAWYCR-NEPJUHHUSA-N 1 2 317.393 1.387 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)[nH]1 ZINC001072552859 857438640 /nfs/dbraw/zinc/43/86/40/857438640.db2.gz SWOQIDZBTNBGBD-VXGBXAGGSA-N 1 2 316.409 1.122 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)[nH]1 ZINC001072552859 857438645 /nfs/dbraw/zinc/43/86/45/857438645.db2.gz SWOQIDZBTNBGBD-VXGBXAGGSA-N 1 2 316.409 1.122 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072800995 857716690 /nfs/dbraw/zinc/71/66/90/857716690.db2.gz JIMCDUGLWJQLAX-DGCLKSJQSA-N 1 2 304.394 1.128 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(C)C[C@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125224387 859925881 /nfs/dbraw/zinc/92/58/81/859925881.db2.gz VNDPDAKSSXLOKA-DZGCQCFKSA-N 1 2 320.437 1.643 20 30 DDEDLO N#Cc1ccc(Oc2ccc(C[NH+]3CC(C(N)=O)C3)cn2)cc1 ZINC001139427422 860395436 /nfs/dbraw/zinc/39/54/36/860395436.db2.gz FFVYPVSYILNBBQ-UHFFFAOYSA-N 1 2 308.341 1.663 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@@H]([NH2+]Cc2nnn(C)n2)C12CCC2 ZINC001202988164 860524846 /nfs/dbraw/zinc/52/48/46/860524846.db2.gz QYLICKBZWOTTOA-CHWSQXEVSA-N 1 2 318.425 1.083 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)c3ccccn3)C2)cn1 ZINC001140547168 860654685 /nfs/dbraw/zinc/65/46/85/860654685.db2.gz KNLRTVOQJZITQY-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccccn3)C2)cn1 ZINC001140547168 860654687 /nfs/dbraw/zinc/65/46/87/860654687.db2.gz KNLRTVOQJZITQY-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1nnc(C)o1 ZINC001325902027 860940472 /nfs/dbraw/zinc/94/04/72/860940472.db2.gz ZCRSJZSLLWMQCD-CABCVRRESA-N 1 2 316.405 1.747 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1nnc(C)o1 ZINC001325902027 860940483 /nfs/dbraw/zinc/94/04/83/860940483.db2.gz ZCRSJZSLLWMQCD-CABCVRRESA-N 1 2 316.405 1.747 20 30 DDEDLO N#C[C@@H]1COCCN1C(=O)/C=C/c1ccc(Cn2cc[nH+]c2)cc1 ZINC001142368193 861136225 /nfs/dbraw/zinc/13/62/25/861136225.db2.gz IOWMGFPOITUWMB-FUTAKVPZSA-N 1 2 322.368 1.696 20 30 DDEDLO N#CC1(NC(=O)c2ccc3[nH+]c(N)[nH]c3c2)Cc2ccccc2C1 ZINC001156977089 863491525 /nfs/dbraw/zinc/49/15/25/863491525.db2.gz MUHSIUCLCWJGTH-UHFFFAOYSA-N 1 2 317.352 1.936 20 30 DDEDLO C[C@@H](CNC(=O)C#CC(C)(C)C)[N@H+](C)CC(=O)Nc1ccon1 ZINC001329433951 863664982 /nfs/dbraw/zinc/66/49/82/863664982.db2.gz ZXBRSKJXKRBWAD-LBPRGKRZSA-N 1 2 320.393 1.099 20 30 DDEDLO C[C@@H](CNC(=O)C#CC(C)(C)C)[N@@H+](C)CC(=O)Nc1ccon1 ZINC001329433951 863664985 /nfs/dbraw/zinc/66/49/85/863664985.db2.gz ZXBRSKJXKRBWAD-LBPRGKRZSA-N 1 2 320.393 1.099 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(CCC(C)C)no1 ZINC001153160456 863738669 /nfs/dbraw/zinc/73/86/69/863738669.db2.gz RLIGSQFKTVOMDV-ZDUSSCGKSA-N 1 2 324.425 1.455 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)oc1C ZINC001153306704 863816254 /nfs/dbraw/zinc/81/62/54/863816254.db2.gz OVDWNWAZMZVDRS-OCCSQVGLSA-N 1 2 321.421 1.656 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)oc1C ZINC001153306704 863816262 /nfs/dbraw/zinc/81/62/62/863816262.db2.gz OVDWNWAZMZVDRS-OCCSQVGLSA-N 1 2 321.421 1.656 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3(COC)CC3)C2)C1 ZINC001330161965 864114532 /nfs/dbraw/zinc/11/45/32/864114532.db2.gz SARGEEUBIXMLPE-GOSISDBHSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3(COC)CC3)C2)C1 ZINC001330161965 864114536 /nfs/dbraw/zinc/11/45/36/864114536.db2.gz SARGEEUBIXMLPE-GOSISDBHSA-N 1 2 320.433 1.130 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nc(N(C)C)no2)CCCC1 ZINC001153816631 864129110 /nfs/dbraw/zinc/12/91/10/864129110.db2.gz GAERQFZEBBTHET-UHFFFAOYSA-N 1 2 321.425 1.478 20 30 DDEDLO N#CCc1cc[nH+]c(N2CCC[C@@H](NC(=O)c3ccncc3)C2)c1 ZINC001157989574 864337794 /nfs/dbraw/zinc/33/77/94/864337794.db2.gz MZGPTCRHQQSOLM-MRXNPFEDSA-N 1 2 321.384 1.941 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@@H](C[NH2+]Cc1nnn(C)n1)C1CC1 ZINC001331309656 865003605 /nfs/dbraw/zinc/00/36/05/865003605.db2.gz RASQYATYMLBZPJ-ZDUSSCGKSA-N 1 2 320.441 1.139 20 30 DDEDLO C[C@H](CN(C)C(=O)CSCC#N)[NH2+][C@H](C)c1csnn1 ZINC001331385496 865050338 /nfs/dbraw/zinc/05/03/38/865050338.db2.gz FMIPWHMGMRZKJC-NXEZZACHSA-N 1 2 313.452 1.292 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001159594435 865418996 /nfs/dbraw/zinc/41/89/96/865418996.db2.gz RBMOLSLRBDGZLN-NWDGAFQWSA-N 1 2 317.393 1.737 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001159594435 865419004 /nfs/dbraw/zinc/41/90/04/865419004.db2.gz RBMOLSLRBDGZLN-NWDGAFQWSA-N 1 2 317.393 1.737 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@H+](Cc2nccn2C)CC1 ZINC001159600883 865421286 /nfs/dbraw/zinc/42/12/86/865421286.db2.gz HQTRQMKTLAYHGN-UHFFFAOYSA-N 1 2 318.421 1.261 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@@H+](Cc2nccn2C)CC1 ZINC001159600883 865421290 /nfs/dbraw/zinc/42/12/90/865421290.db2.gz HQTRQMKTLAYHGN-UHFFFAOYSA-N 1 2 318.421 1.261 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@H+](Cc2nc(C)no2)CC1 ZINC001159957519 865615089 /nfs/dbraw/zinc/61/50/89/865615089.db2.gz GLUIEUDBBOLJCM-UHFFFAOYSA-N 1 2 316.405 1.820 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@@H+](Cc2nc(C)no2)CC1 ZINC001159957519 865615095 /nfs/dbraw/zinc/61/50/95/865615095.db2.gz GLUIEUDBBOLJCM-UHFFFAOYSA-N 1 2 316.405 1.820 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(F)cn2)[C@@H]1C ZINC001332162288 865625744 /nfs/dbraw/zinc/62/57/44/865625744.db2.gz BBALPWYXPJTEQF-CZUORRHYSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(F)cn2)[C@@H]1C ZINC001332162288 865625752 /nfs/dbraw/zinc/62/57/52/865625752.db2.gz BBALPWYXPJTEQF-CZUORRHYSA-N 1 2 321.396 1.892 20 30 DDEDLO Cc1cnc(C[N@H+]2CC=C(CCNC(=O)C#CC3CC3)CC2)nc1 ZINC001160081624 865671987 /nfs/dbraw/zinc/67/19/87/865671987.db2.gz RPAQJYVPQVWLHH-UHFFFAOYSA-N 1 2 324.428 1.837 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC=C(CCNC(=O)C#CC3CC3)CC2)nc1 ZINC001160081624 865671989 /nfs/dbraw/zinc/67/19/89/865671989.db2.gz RPAQJYVPQVWLHH-UHFFFAOYSA-N 1 2 324.428 1.837 20 30 DDEDLO C=CCCOCCNC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC001332337597 865770435 /nfs/dbraw/zinc/77/04/35/865770435.db2.gz WODVWOVXLUHZQH-UHFFFAOYSA-N 1 2 312.439 1.597 20 30 DDEDLO C=CCCC[NH2+]C[C@@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001332519123 865903123 /nfs/dbraw/zinc/90/31/23/865903123.db2.gz YXBRDBVESJFOSX-ZJUUUORDSA-N 1 2 300.296 1.262 20 30 DDEDLO C=CCCC[NH2+]C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001332519123 865903113 /nfs/dbraw/zinc/90/31/13/865903113.db2.gz YXBRDBVESJFOSX-ZJUUUORDSA-N 1 2 300.296 1.262 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCC[C@H]1[NH+]1CCOCC1 ZINC001160724134 866070476 /nfs/dbraw/zinc/07/04/76/866070476.db2.gz OQIDFPOTJJYRTM-ZIAGYGMSSA-N 1 2 317.349 1.527 20 30 DDEDLO C#CC[N@@H+](CC(=O)N[C@H](Cc1ccccc1)C(C)=O)CC1CC1 ZINC001319666140 866199596 /nfs/dbraw/zinc/19/95/96/866199596.db2.gz FBHJIVIYFYZQCS-GOSISDBHSA-N 1 2 312.413 1.648 20 30 DDEDLO C#CC[N@H+](CC(=O)N[C@H](Cc1ccccc1)C(C)=O)CC1CC1 ZINC001319666140 866199601 /nfs/dbraw/zinc/19/96/01/866199601.db2.gz FBHJIVIYFYZQCS-GOSISDBHSA-N 1 2 312.413 1.648 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001322969030 866224491 /nfs/dbraw/zinc/22/44/91/866224491.db2.gz OYWQDMWIOQWKQK-UHFFFAOYSA-N 1 2 302.378 1.035 20 30 DDEDLO C[C@H](NC(=O)C#CC(C)(C)C)C1CC[NH+](Cc2cnon2)CC1 ZINC001332985510 866311245 /nfs/dbraw/zinc/31/12/45/866311245.db2.gz PIYFABIJVPXLFI-ZDUSSCGKSA-N 1 2 318.421 1.836 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1c(C)cccc1F ZINC001320089473 866462017 /nfs/dbraw/zinc/46/20/17/866462017.db2.gz CBDWLJQMICKVJH-UHFFFAOYSA-N 1 2 321.396 1.440 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1c(C)cccc1F ZINC001320089473 866462021 /nfs/dbraw/zinc/46/20/21/866462021.db2.gz CBDWLJQMICKVJH-UHFFFAOYSA-N 1 2 321.396 1.440 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1cccc2[nH]ccc21 ZINC001333184147 866496803 /nfs/dbraw/zinc/49/68/03/866496803.db2.gz RWQDHUMYDSMWNL-ZDUSSCGKSA-N 1 2 301.346 1.231 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H](Cc3[nH+]ccn3C)C2)nc1 ZINC001333478262 866782406 /nfs/dbraw/zinc/78/24/06/866782406.db2.gz LABCKEGRYMXUPI-HNNXBMFYSA-N 1 2 308.385 1.891 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)NC1CC1 ZINC001323764008 866828216 /nfs/dbraw/zinc/82/82/16/866828216.db2.gz WIHYBVIFPJXGSQ-CWRNSKLLSA-N 1 2 319.449 1.685 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H](C)C(=O)NC1CC1 ZINC001323764008 866828238 /nfs/dbraw/zinc/82/82/38/866828238.db2.gz WIHYBVIFPJXGSQ-CWRNSKLLSA-N 1 2 319.449 1.685 20 30 DDEDLO C=CCC1(C(=O)N(C)C2C[NH+](C[C@H](O)COC)C2)CCCCC1 ZINC001324030557 867019680 /nfs/dbraw/zinc/01/96/80/867019680.db2.gz KEDFLNHKQUNBLU-INIZCTEOSA-N 1 2 324.465 1.663 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)[C@@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001333899948 867133410 /nfs/dbraw/zinc/13/34/10/867133410.db2.gz OZZHYDUMNGXSQS-CHWSQXEVSA-N 1 2 304.394 1.155 20 30 DDEDLO C#Cc1cncc(C(=O)NC/C=C\C[NH2+]Cc2nccc(C)n2)c1 ZINC001321244976 867433594 /nfs/dbraw/zinc/43/35/94/867433594.db2.gz HPRGZEFSMKNSIG-PLNGDYQASA-N 1 2 321.384 1.237 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC/C=C\C[NH2+]Cc1coc(C)n1 ZINC001321363191 867530169 /nfs/dbraw/zinc/53/01/69/867530169.db2.gz LGBXUIBVDDXAGI-SREVYHEPSA-N 1 2 323.396 1.969 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNc2cc(C#N)cc(C(F)(F)F)n2)C1 ZINC001162682566 867723378 /nfs/dbraw/zinc/72/33/78/867723378.db2.gz DKVFFPOWAOZQDH-SNVBAGLBSA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCO[C@H](CNc2cc(C#N)cc(C(F)(F)F)n2)C1 ZINC001162682566 867723387 /nfs/dbraw/zinc/72/33/87/867723387.db2.gz DKVFFPOWAOZQDH-SNVBAGLBSA-N 1 2 300.284 1.715 20 30 DDEDLO CCCC[C@H](CNC(=O)C#CC(C)C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001334863856 867872307 /nfs/dbraw/zinc/87/23/07/867872307.db2.gz ORXQCFAAEFHJEH-CQSZACIVSA-N 1 2 318.421 1.403 20 30 DDEDLO N#CCNCCN(CCc1ccccc1)C(=O)Cc1c[nH+]c[nH]1 ZINC001162946561 867934869 /nfs/dbraw/zinc/93/48/69/867934869.db2.gz LRZJQTIBEUVUNU-UHFFFAOYSA-N 1 2 311.389 1.137 20 30 DDEDLO C#CCCCCC(=O)N[C@H](C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001334996627 867984490 /nfs/dbraw/zinc/98/44/90/867984490.db2.gz HCRASQQNTMIZJV-CYBMUJFWSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)C[N@H+](C)CC=C(Cl)Cl ZINC001322159013 868015323 /nfs/dbraw/zinc/01/53/23/868015323.db2.gz XUOQRRBGTJWLIU-GHMZBOCLSA-N 1 2 307.221 1.780 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)C[N@@H+](C)CC=C(Cl)Cl ZINC001322159013 868015344 /nfs/dbraw/zinc/01/53/44/868015344.db2.gz XUOQRRBGTJWLIU-GHMZBOCLSA-N 1 2 307.221 1.780 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)CCc1ccnc(C)n1 ZINC001381557352 882160378 /nfs/dbraw/zinc/16/03/78/882160378.db2.gz MUZWEKWTLKHCHQ-LBPRGKRZSA-N 1 2 310.829 1.907 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)CCc1ccnc(C)n1 ZINC001381557352 882160381 /nfs/dbraw/zinc/16/03/81/882160381.db2.gz MUZWEKWTLKHCHQ-LBPRGKRZSA-N 1 2 310.829 1.907 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001335510394 868350991 /nfs/dbraw/zinc/35/09/91/868350991.db2.gz HLRPZFGJKRLISR-KBPBESRZSA-N 1 2 316.405 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1ccnc2c1nnn2C ZINC001381571401 882196183 /nfs/dbraw/zinc/19/61/83/882196183.db2.gz JEJXMPBANMITBL-SNVBAGLBSA-N 1 2 322.800 1.166 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1ccnc2c1nnn2C ZINC001381571401 882196191 /nfs/dbraw/zinc/19/61/91/882196191.db2.gz JEJXMPBANMITBL-SNVBAGLBSA-N 1 2 322.800 1.166 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001164354808 869147635 /nfs/dbraw/zinc/14/76/35/869147635.db2.gz RSKNNPORWFSPKR-CYBMUJFWSA-N 1 2 324.425 1.457 20 30 DDEDLO C[C@H](CNC(=O)c1nc[nH]n1)[N@H+](C)Cc1ccc(C#N)c(F)c1 ZINC001381601353 882287392 /nfs/dbraw/zinc/28/73/92/882287392.db2.gz DKEBNEFNVNIGGE-SNVBAGLBSA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@H](CNC(=O)c1nc[nH]n1)[N@@H+](C)Cc1ccc(C#N)c(F)c1 ZINC001381601353 882287401 /nfs/dbraw/zinc/28/74/01/882287401.db2.gz DKEBNEFNVNIGGE-SNVBAGLBSA-N 1 2 316.340 1.066 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)c1nc2ccc(Cl)nc2[nH]1 ZINC001164662267 869346114 /nfs/dbraw/zinc/34/61/14/869346114.db2.gz UGINWZHULLJBRH-SSDOTTSWSA-N 1 2 312.711 1.458 20 30 DDEDLO C=CCn1c(C(F)F)nnc1N(C)CC[NH+]1CCOCC1 ZINC001339046663 870320087 /nfs/dbraw/zinc/32/00/87/870320087.db2.gz BKULRWDKWLGBHJ-UHFFFAOYSA-N 1 2 301.341 1.170 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH2+][C@@H](c2ccc(Cl)cc2)C1 ZINC001339116388 870351764 /nfs/dbraw/zinc/35/17/64/870351764.db2.gz NPFOTUUKWNLSDU-SWLSCSKDSA-N 1 2 306.793 1.851 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[NH2+]Cc1noc(C(C)C)n1 ZINC001166982243 870448180 /nfs/dbraw/zinc/44/81/80/870448180.db2.gz KKZKLVCFTNMTCJ-OLZOCXBDSA-N 1 2 322.409 1.380 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H]([C@H](C)NC(=O)c2[nH]ncc2F)C1 ZINC001317211219 870477579 /nfs/dbraw/zinc/47/75/79/870477579.db2.gz XIWJCVHUSPXJQN-QWHCGFSZSA-N 1 2 322.384 1.029 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H]([C@H](C)NC(=O)c2[nH]ncc2F)C1 ZINC001317211219 870477584 /nfs/dbraw/zinc/47/75/84/870477584.db2.gz XIWJCVHUSPXJQN-QWHCGFSZSA-N 1 2 322.384 1.029 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCC1(C[NH2+]Cc2nnn(C)n2)CC1 ZINC001167025924 870482502 /nfs/dbraw/zinc/48/25/02/870482502.db2.gz RKOVDAUVTFXGFA-HNNXBMFYSA-N 1 2 320.441 1.189 20 30 DDEDLO C=C[C@@H](O)c1nnc(N2CCN(c3cccc[nH+]3)CC2)n1CC ZINC001339486357 870521134 /nfs/dbraw/zinc/52/11/34/870521134.db2.gz FFGHHUGPLNTOGW-CYBMUJFWSA-N 1 2 314.393 1.239 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)CC[C@@H]1C ZINC001339700510 870643852 /nfs/dbraw/zinc/64/38/52/870643852.db2.gz XABCMEWAJHDLGH-LSDHHAIUSA-N 1 2 318.421 1.735 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc(C)on3)n2CC)CC1 ZINC001339794307 870697495 /nfs/dbraw/zinc/69/74/95/870697495.db2.gz PZWMNVCDPMGDOT-UHFFFAOYSA-N 1 2 300.366 1.017 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@H]1CCCN(C(=O)C#CC(C)C)C1 ZINC001317374987 870731596 /nfs/dbraw/zinc/73/15/96/870731596.db2.gz YUQCXCUHHGWYKA-AWEZNQCLSA-N 1 2 320.462 1.929 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@H]1CCCN(C(=O)C#CC(C)C)C1 ZINC001317374987 870731610 /nfs/dbraw/zinc/73/16/10/870731610.db2.gz YUQCXCUHHGWYKA-AWEZNQCLSA-N 1 2 320.462 1.929 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+](Cc2nnnn2C(C)(C)C)C1 ZINC001317522009 870985067 /nfs/dbraw/zinc/98/50/67/870985067.db2.gz JGQOSHOEPPQKOI-CYBMUJFWSA-N 1 2 320.441 1.332 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+](Cc2nnnn2C(C)(C)C)C1 ZINC001317522009 870985084 /nfs/dbraw/zinc/98/50/84/870985084.db2.gz JGQOSHOEPPQKOI-CYBMUJFWSA-N 1 2 320.441 1.332 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)[C@@H](O)CC)n2CC=C)CC1 ZINC001340302791 871021073 /nfs/dbraw/zinc/02/10/73/871021073.db2.gz QGVMILRDAAPQHK-GJZGRUSLSA-N 1 2 317.437 1.094 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@@H](C)C[C@H](C)C1 ZINC001340375634 871068406 /nfs/dbraw/zinc/06/84/06/871068406.db2.gz UMHKARRQGNZXKQ-AJNGGQMLSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@@H](C)C[C@H](C)C1 ZINC001340375634 871068420 /nfs/dbraw/zinc/06/84/20/871068420.db2.gz UMHKARRQGNZXKQ-AJNGGQMLSA-N 1 2 319.453 1.684 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC[NH2+]Cc1nc(C2CCCC2)no1 ZINC001317586625 871146512 /nfs/dbraw/zinc/14/65/12/871146512.db2.gz YIKXQNQYSRYSQF-UHFFFAOYSA-N 1 2 318.421 1.935 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@@H+](C)[C@H](C)c2nncn2C)C1 ZINC001316847176 871292547 /nfs/dbraw/zinc/29/25/47/871292547.db2.gz IXRRBXCUMYPWSH-CYBMUJFWSA-N 1 2 305.426 1.671 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@H+](C)[C@H](C)c2nncn2C)C1 ZINC001316847176 871292558 /nfs/dbraw/zinc/29/25/58/871292558.db2.gz IXRRBXCUMYPWSH-CYBMUJFWSA-N 1 2 305.426 1.671 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@@H]2CCO[C@@H](C)C2)CC1 ZINC001317823098 871547243 /nfs/dbraw/zinc/54/72/43/871547243.db2.gz PZDLTRLTFKPGMV-JKSUJKDBSA-N 1 2 309.454 1.064 20 30 DDEDLO Cc1ncc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)o1 ZINC001276483984 871730151 /nfs/dbraw/zinc/73/01/51/871730151.db2.gz CJFSYVXSJINJKX-UHFFFAOYSA-N 1 2 311.389 1.511 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1CC[NH+](Cc2ccc(Cl)nc2)CC1 ZINC001226617717 882542883 /nfs/dbraw/zinc/54/28/83/882542883.db2.gz NREJAPOFWIEOCY-NSHDSACASA-N 1 2 306.797 1.975 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCC(C)C ZINC001318184451 871800045 /nfs/dbraw/zinc/80/00/45/871800045.db2.gz JICYJPOEEGTHRE-ILXRZTDVSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCC(C)C ZINC001318184451 871800055 /nfs/dbraw/zinc/80/00/55/871800055.db2.gz JICYJPOEEGTHRE-ILXRZTDVSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)CC ZINC001318187407 871805238 /nfs/dbraw/zinc/80/52/38/871805238.db2.gz LDXWWGWJDIZZDZ-PMPSAXMXSA-N 1 2 319.449 1.518 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)CC ZINC001318187407 871805257 /nfs/dbraw/zinc/80/52/57/871805257.db2.gz LDXWWGWJDIZZDZ-PMPSAXMXSA-N 1 2 319.449 1.518 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1ccc(C)o1 ZINC001318219530 871843630 /nfs/dbraw/zinc/84/36/30/871843630.db2.gz HOVCXGUVQUSXLT-JYJNAYRXSA-N 1 2 316.401 1.626 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1ccc(C)o1 ZINC001318219530 871843635 /nfs/dbraw/zinc/84/36/35/871843635.db2.gz HOVCXGUVQUSXLT-JYJNAYRXSA-N 1 2 316.401 1.626 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@@H](C)CCC)C1 ZINC001316939374 871855908 /nfs/dbraw/zinc/85/59/08/871855908.db2.gz IAFXHIBCBDERBJ-GJZGRUSLSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H](C)CCC)C1 ZINC001316939374 871855924 /nfs/dbraw/zinc/85/59/24/871855924.db2.gz IAFXHIBCBDERBJ-GJZGRUSLSA-N 1 2 307.438 1.143 20 30 DDEDLO CC#CC[NH+]1CCN(CCCNC(=O)c2occc2C)CC1 ZINC001316954835 871869302 /nfs/dbraw/zinc/86/93/02/871869302.db2.gz YLTYIHVPKPXZSA-UHFFFAOYSA-N 1 2 303.406 1.349 20 30 DDEDLO CN(CCCCCCNCC#N)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001316726095 871920946 /nfs/dbraw/zinc/92/09/46/871920946.db2.gz GWMREIJTAZTJAA-HNNXBMFYSA-N 1 2 317.437 1.577 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C2(C)CCC(C)CC2)C1 ZINC001318374438 871967047 /nfs/dbraw/zinc/96/70/47/871967047.db2.gz RKKVABVDBLUNGX-SWKXRBFHSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C2(C)CCC(C)CC2)C1 ZINC001318374438 871967064 /nfs/dbraw/zinc/96/70/64/871967064.db2.gz RKKVABVDBLUNGX-SWKXRBFHSA-N 1 2 319.449 1.143 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@H+](Cc2ccc(Cl)nc2)[C@@H]1C ZINC001316777982 872029998 /nfs/dbraw/zinc/02/99/98/872029998.db2.gz BLEWMHDHXYCJSD-WZRBSPASSA-N 1 2 306.797 1.974 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(Cl)nc2)[C@@H]1C ZINC001316777982 872030016 /nfs/dbraw/zinc/03/00/16/872030016.db2.gz BLEWMHDHXYCJSD-WZRBSPASSA-N 1 2 306.797 1.974 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2coc(C)n2)C[C@H]1C ZINC001206428671 872312344 /nfs/dbraw/zinc/31/23/44/872312344.db2.gz AUUXAVDEOPBOCX-XJKCOSOUSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2coc(C)n2)C[C@H]1C ZINC001206428671 872312355 /nfs/dbraw/zinc/31/23/55/872312355.db2.gz AUUXAVDEOPBOCX-XJKCOSOUSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC2CC2)C1 ZINC001316949097 872449479 /nfs/dbraw/zinc/44/94/79/872449479.db2.gz LAAFXGOWDYPYRZ-HOCLYGCPSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001316949097 872449489 /nfs/dbraw/zinc/44/94/89/872449489.db2.gz LAAFXGOWDYPYRZ-HOCLYGCPSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@@H]1CCCO1 ZINC001343068143 872489209 /nfs/dbraw/zinc/48/92/09/872489209.db2.gz INOJVWSYROLLFQ-KBPBESRZSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@@H]1CCCO1 ZINC001343068143 872489218 /nfs/dbraw/zinc/48/92/18/872489218.db2.gz INOJVWSYROLLFQ-KBPBESRZSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](CCOc2ccccc2)CCO1 ZINC001319297365 872549021 /nfs/dbraw/zinc/54/90/21/872549021.db2.gz OIMQXUNYCMNROP-QGZVFWFLSA-N 1 2 318.417 1.849 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](CCOc2ccccc2)CCO1 ZINC001319297365 872549036 /nfs/dbraw/zinc/54/90/36/872549036.db2.gz OIMQXUNYCMNROP-QGZVFWFLSA-N 1 2 318.417 1.849 20 30 DDEDLO CC[C@@H](N[NH+]=Cc1ccc(N2CC[NH+](C)CC2)c(F)c1)C(=O)[O-] ZINC001343775993 872754456 /nfs/dbraw/zinc/75/44/56/872754456.db2.gz WNENQBLFQRODDA-CQSZACIVSA-N 1 2 322.384 1.364 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1C[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001344215204 872951783 /nfs/dbraw/zinc/95/17/83/872951783.db2.gz RVTGOSSXFCVSSL-OKILXGFUSA-N 1 2 318.421 1.330 20 30 DDEDLO CCOC[C@H]1C[N@H+](Cc2cc(C#N)n(C)c2)Cc2nnn(C)c21 ZINC001207104628 873018807 /nfs/dbraw/zinc/01/88/07/873018807.db2.gz FRZAZXOBODGUED-CYBMUJFWSA-N 1 2 314.393 1.161 20 30 DDEDLO CCOC[C@H]1C[N@@H+](Cc2cc(C#N)n(C)c2)Cc2nnn(C)c21 ZINC001207104628 873018817 /nfs/dbraw/zinc/01/88/17/873018817.db2.gz FRZAZXOBODGUED-CYBMUJFWSA-N 1 2 314.393 1.161 20 30 DDEDLO C=CCN(CC[N@H+]1CCCN(C)C(=O)C1)C(=O)OC(C)(C)C ZINC001207206278 873121495 /nfs/dbraw/zinc/12/14/95/873121495.db2.gz UIRZTSVGCMEJSJ-UHFFFAOYSA-N 1 2 311.426 1.574 20 30 DDEDLO C=CCN(CC[N@@H+]1CCCN(C)C(=O)C1)C(=O)OC(C)(C)C ZINC001207206278 873121501 /nfs/dbraw/zinc/12/15/01/873121501.db2.gz UIRZTSVGCMEJSJ-UHFFFAOYSA-N 1 2 311.426 1.574 20 30 DDEDLO CO[C@@H](C)C(=O)OCC#Cc1csc(C[NH+]2CCOCC2)c1 ZINC001345546993 873436059 /nfs/dbraw/zinc/43/60/59/873436059.db2.gz IMYXIVDVXUHEHO-ZDUSSCGKSA-N 1 2 323.414 1.510 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(F)F)n2CC2CC2)CC1 ZINC001346113479 873615291 /nfs/dbraw/zinc/61/52/91/873615291.db2.gz KRZFZMNCIPEVOV-UHFFFAOYSA-N 1 2 309.364 1.555 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001207863855 873622357 /nfs/dbraw/zinc/62/23/57/873622357.db2.gz YYBOOKVMSAHCPU-AWEZNQCLSA-N 1 2 318.421 1.906 20 30 DDEDLO C#CCCC[N@H+]1C[C@H](NC(=O)OC(C)(C)C)C[C@H]1C(=O)OC ZINC001209266471 874765413 /nfs/dbraw/zinc/76/54/13/874765413.db2.gz QMYUEKDLOPZURU-OLZOCXBDSA-N 1 2 310.394 1.540 20 30 DDEDLO C#CCCC[N@@H+]1C[C@H](NC(=O)OC(C)(C)C)C[C@H]1C(=O)OC ZINC001209266471 874765418 /nfs/dbraw/zinc/76/54/18/874765418.db2.gz QMYUEKDLOPZURU-OLZOCXBDSA-N 1 2 310.394 1.540 20 30 DDEDLO C=CCn1cnn(C[N@H+]2C[C@@H](C(=O)OC)[C@H](C3CC3)C2)c1=S ZINC001349508803 875214432 /nfs/dbraw/zinc/21/44/32/875214432.db2.gz AIANXNCDSNPOCC-QWHCGFSZSA-N 1 2 322.434 1.689 20 30 DDEDLO C=CCn1cnn(C[N@@H+]2C[C@@H](C(=O)OC)[C@H](C3CC3)C2)c1=S ZINC001349508803 875214447 /nfs/dbraw/zinc/21/44/47/875214447.db2.gz AIANXNCDSNPOCC-QWHCGFSZSA-N 1 2 322.434 1.689 20 30 DDEDLO CC(C)NC(=O)N1CCC2(C[NH+](Cc3cc(C#N)ccn3)C2)C1 ZINC001276688736 875502500 /nfs/dbraw/zinc/50/25/00/875502500.db2.gz QRCVMENRERGXBJ-UHFFFAOYSA-N 1 2 313.405 1.579 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)[C@@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001350686289 875833682 /nfs/dbraw/zinc/83/36/82/875833682.db2.gz OAPWFIXOEWTHEC-CYBMUJFWSA-N 1 2 316.405 1.061 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C[NH2+]Cc1csnn1)C1CCCCC1 ZINC001378883469 875841086 /nfs/dbraw/zinc/84/10/86/875841086.db2.gz KKNXRBQHDJCIAI-BXUZGUMPSA-N 1 2 321.450 1.852 20 30 DDEDLO C#CCCCC(=O)N1CCCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001350790067 875893624 /nfs/dbraw/zinc/89/36/24/875893624.db2.gz CHMUSVJTJNAZHA-UHFFFAOYSA-N 1 2 316.405 1.207 20 30 DDEDLO C#CCCCC(=O)N1CCCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001350790067 875893629 /nfs/dbraw/zinc/89/36/29/875893629.db2.gz CHMUSVJTJNAZHA-UHFFFAOYSA-N 1 2 316.405 1.207 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC)c2c(C)noc2C)[C@H](OC)C1 ZINC001213575040 875984824 /nfs/dbraw/zinc/98/48/24/875984824.db2.gz SKLIJXFNHYFQDO-RRFJBIMHSA-N 1 2 319.405 1.234 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)c2c(C)noc2C)[C@H](OC)C1 ZINC001213575040 875984837 /nfs/dbraw/zinc/98/48/37/875984837.db2.gz SKLIJXFNHYFQDO-RRFJBIMHSA-N 1 2 319.405 1.234 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](F)Cc2ccccc2)[C@H](OC)C1 ZINC001213905919 876101411 /nfs/dbraw/zinc/10/14/11/876101411.db2.gz SNFAMDUAABVUQQ-BRWVUGGUSA-N 1 2 318.392 1.406 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@H](F)Cc2ccccc2)[C@H](OC)C1 ZINC001213905919 876101412 /nfs/dbraw/zinc/10/14/12/876101412.db2.gz SNFAMDUAABVUQQ-BRWVUGGUSA-N 1 2 318.392 1.406 20 30 DDEDLO C=CCOCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2csnn2)C1 ZINC001214405210 876322794 /nfs/dbraw/zinc/32/27/94/876322794.db2.gz ZFYNGKJSDLEGJZ-TZMCWYRMSA-N 1 2 324.450 1.457 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cc(OCC)no1 ZINC001379082143 876342407 /nfs/dbraw/zinc/34/24/07/876342407.db2.gz WBJJDGVLVQLBFG-UHFFFAOYSA-N 1 2 301.774 1.830 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cc(OCC)no1 ZINC001379082143 876342417 /nfs/dbraw/zinc/34/24/17/876342417.db2.gz WBJJDGVLVQLBFG-UHFFFAOYSA-N 1 2 301.774 1.830 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-n1ccc(C#N)c1N ZINC001215547176 876747850 /nfs/dbraw/zinc/74/78/50/876747850.db2.gz NHLZFSCCCGBXDK-UHFFFAOYSA-N 1 2 312.373 1.772 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001353533158 877405533 /nfs/dbraw/zinc/40/55/33/877405533.db2.gz UPYJSQAVFPVEII-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001353807889 877581336 /nfs/dbraw/zinc/58/13/36/877581336.db2.gz DNRFEHXDDCYUTL-BETUJISGSA-N 1 2 318.421 1.328 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCOC[C@H]1Nc1cc[nH+]c(C)n1 ZINC001353836155 877597801 /nfs/dbraw/zinc/59/78/01/877597801.db2.gz SZZFZPZJMSQZJB-UONOGXRCSA-N 1 2 320.393 1.063 20 30 DDEDLO C#CCN(C)c1nnc([C@H](C)CC)n1C[C@@H](C)[NH+]1CCOCC1 ZINC001300431262 877616714 /nfs/dbraw/zinc/61/67/14/877616714.db2.gz VXDLMRDHXBULDY-HUUCEWRRSA-N 1 2 319.453 1.582 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001379814584 878091416 /nfs/dbraw/zinc/09/14/16/878091416.db2.gz RZCBCLMHCKTRFE-DOMZBBRYSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001379814584 878091434 /nfs/dbraw/zinc/09/14/34/878091434.db2.gz RZCBCLMHCKTRFE-DOMZBBRYSA-N 1 2 315.845 1.624 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)/C=C\C3CC3)[C@@H](O)C2)s1 ZINC001219428080 878200108 /nfs/dbraw/zinc/20/01/08/878200108.db2.gz FHRIUYLCRNWLKK-IDQBRBTGSA-N 1 2 317.414 1.247 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)/C=C\C3CC3)[C@@H](O)C2)s1 ZINC001219428080 878200131 /nfs/dbraw/zinc/20/01/31/878200131.db2.gz FHRIUYLCRNWLKK-IDQBRBTGSA-N 1 2 317.414 1.247 20 30 DDEDLO CC[C@H](C)CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219515593 878287163 /nfs/dbraw/zinc/28/71/63/878287163.db2.gz PUTRKPSSOVRXMM-GLQYFDAESA-N 1 2 321.446 1.717 20 30 DDEDLO CC[C@H](C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219515593 878287180 /nfs/dbraw/zinc/28/71/80/878287180.db2.gz PUTRKPSSOVRXMM-GLQYFDAESA-N 1 2 321.446 1.717 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@@H]1O ZINC001219686670 878449599 /nfs/dbraw/zinc/44/95/99/878449599.db2.gz REKSVFDIGXOWPI-SJORKVTESA-N 1 2 315.417 1.511 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@@H]1O ZINC001219686670 878449596 /nfs/dbraw/zinc/44/95/96/878449596.db2.gz REKSVFDIGXOWPI-SJORKVTESA-N 1 2 315.417 1.511 20 30 DDEDLO CC1(CC(=O)N[C@@H]2C[N@H+](Cc3ccc(C#N)s3)C[C@@H]2O)CC1 ZINC001220037007 878662948 /nfs/dbraw/zinc/66/29/48/878662948.db2.gz DQAFJVRPVGXDEZ-KGLIPLIRSA-N 1 2 319.430 1.471 20 30 DDEDLO CC1(CC(=O)N[C@@H]2C[N@@H+](Cc3ccc(C#N)s3)C[C@@H]2O)CC1 ZINC001220037007 878662955 /nfs/dbraw/zinc/66/29/55/878662955.db2.gz DQAFJVRPVGXDEZ-KGLIPLIRSA-N 1 2 319.430 1.471 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220133793 878723515 /nfs/dbraw/zinc/72/35/15/878723515.db2.gz NUSHXYIODHDVTL-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220133793 878723524 /nfs/dbraw/zinc/72/35/24/878723524.db2.gz NUSHXYIODHDVTL-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnc(C)cn2)C[C@@H]1O ZINC001220247411 878848456 /nfs/dbraw/zinc/84/84/56/878848456.db2.gz APQPJHIJHXSDPG-IMJJTQAJSA-N 1 2 318.421 1.364 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnc(C)cn2)C[C@@H]1O ZINC001220247411 878848463 /nfs/dbraw/zinc/84/84/63/878848463.db2.gz APQPJHIJHXSDPG-IMJJTQAJSA-N 1 2 318.421 1.364 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220293446 878890942 /nfs/dbraw/zinc/89/09/42/878890942.db2.gz HSHOZVLKUASFLX-VYDXJSESSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220293446 878890947 /nfs/dbraw/zinc/89/09/47/878890947.db2.gz HSHOZVLKUASFLX-VYDXJSESSA-N 1 2 318.421 1.049 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](c2ccccc2)C(C)C)[C@@H](O)C1 ZINC001220432754 879010392 /nfs/dbraw/zinc/01/03/92/879010392.db2.gz YFNWHWKVTKFKKJ-IXDOHACOSA-N 1 2 300.402 1.221 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](c2ccccc2)C(C)C)[C@@H](O)C1 ZINC001220432754 879010404 /nfs/dbraw/zinc/01/04/04/879010404.db2.gz YFNWHWKVTKFKKJ-IXDOHACOSA-N 1 2 300.402 1.221 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1CN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001356789826 879362954 /nfs/dbraw/zinc/36/29/54/879362954.db2.gz GVICKRHEBSQVFK-XNJGSVPQSA-N 1 2 316.405 1.443 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1CN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001356789826 879362970 /nfs/dbraw/zinc/36/29/70/879362970.db2.gz GVICKRHEBSQVFK-XNJGSVPQSA-N 1 2 316.405 1.443 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCCC2CCCC2)[C@@H](O)C1 ZINC001221116774 879511426 /nfs/dbraw/zinc/51/14/26/879511426.db2.gz RIFXWCJALPCHQT-SJORKVTESA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCCC2CCCC2)[C@@H](O)C1 ZINC001221116774 879511439 /nfs/dbraw/zinc/51/14/39/879511439.db2.gz RIFXWCJALPCHQT-SJORKVTESA-N 1 2 322.449 1.158 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001357011283 879693855 /nfs/dbraw/zinc/69/38/55/879693855.db2.gz ILNISWQVSBOQDO-CYBMUJFWSA-N 1 2 314.389 1.783 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)CNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001357012510 879699581 /nfs/dbraw/zinc/69/95/81/879699581.db2.gz FJJMPRAXHUIJDA-ZDUSSCGKSA-N 1 2 320.437 1.761 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC(CC)CC)C[C@H]21 ZINC001221424994 879778794 /nfs/dbraw/zinc/77/87/94/879778794.db2.gz URUGUNMQKRIOIA-GDBMZVCRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NC(CC)CC)C[C@H]21 ZINC001221424994 879778802 /nfs/dbraw/zinc/77/88/02/879778802.db2.gz URUGUNMQKRIOIA-GDBMZVCRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCC(CC=C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC)C[C@H]21 ZINC001221568498 879947748 /nfs/dbraw/zinc/94/77/48/879947748.db2.gz PIPMVOZRWCJKTK-HUUCEWRRSA-N 1 2 305.422 1.034 20 30 DDEDLO C=CCC(CC=C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC)C[C@H]21 ZINC001221568498 879947751 /nfs/dbraw/zinc/94/77/51/879947751.db2.gz PIPMVOZRWCJKTK-HUUCEWRRSA-N 1 2 305.422 1.034 20 30 DDEDLO CCn1nc(C)c(C[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001222659445 880626314 /nfs/dbraw/zinc/62/63/14/880626314.db2.gz XXQKOOKHQSBCHH-LBPRGKRZSA-N 1 2 318.425 1.094 20 30 DDEDLO CC(C)NC(=O)N1CC2(C1)CCC[N@H+](Cc1[nH]ccc1C#N)C2 ZINC001276840872 880711667 /nfs/dbraw/zinc/71/16/67/880711667.db2.gz XIMRYNOOZXVFJK-UHFFFAOYSA-N 1 2 315.421 1.902 20 30 DDEDLO CC(C)NC(=O)N1CC2(C1)CCC[N@@H+](Cc1[nH]ccc1C#N)C2 ZINC001276840872 880711671 /nfs/dbraw/zinc/71/16/71/880711671.db2.gz XIMRYNOOZXVFJK-UHFFFAOYSA-N 1 2 315.421 1.902 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)COc1cc(C)ccc1F ZINC001276853574 880759831 /nfs/dbraw/zinc/75/98/31/880759831.db2.gz ODJDODHXMDAUSH-CQSZACIVSA-N 1 2 304.365 1.727 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)COc1cc(C)ccc1F ZINC001276853574 880759836 /nfs/dbraw/zinc/75/98/36/880759836.db2.gz ODJDODHXMDAUSH-CQSZACIVSA-N 1 2 304.365 1.727 20 30 DDEDLO COCC[N@@H+]1CCc2cc(C(=O)NC3(C#N)CCC3)[nH]c2C1 ZINC001276896935 880989871 /nfs/dbraw/zinc/98/98/71/880989871.db2.gz MNUMRXXOMNWSOS-UHFFFAOYSA-N 1 2 302.378 1.195 20 30 DDEDLO COCC[N@H+]1CCc2cc(C(=O)NC3(C#N)CCC3)[nH]c2C1 ZINC001276896935 880989877 /nfs/dbraw/zinc/98/98/77/880989877.db2.gz MNUMRXXOMNWSOS-UHFFFAOYSA-N 1 2 302.378 1.195 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@](C)(OC)c1ccccc1 ZINC001276956831 881274685 /nfs/dbraw/zinc/27/46/85/881274685.db2.gz OINCOGVJIMTPTK-AEFFLSMTSA-N 1 2 300.402 1.762 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@](C)(OC)c1ccccc1 ZINC001276956831 881274697 /nfs/dbraw/zinc/27/46/97/881274697.db2.gz OINCOGVJIMTPTK-AEFFLSMTSA-N 1 2 300.402 1.762 20 30 DDEDLO Cn1ncc2c1nc(Cl)nc2O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001228771280 883659960 /nfs/dbraw/zinc/65/99/60/883659960.db2.gz ANDMZJZFCRDTHB-FRQULTQSSA-N 1 2 321.768 1.008 20 30 DDEDLO Cn1ncc2c1nc(Cl)nc2O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001228771280 883659973 /nfs/dbraw/zinc/65/99/73/883659973.db2.gz ANDMZJZFCRDTHB-FRQULTQSSA-N 1 2 321.768 1.008 20 30 DDEDLO COCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1cccc(Cl)c1 ZINC001230538655 884557658 /nfs/dbraw/zinc/55/76/58/884557658.db2.gz LTIUWMPWVYXOJQ-INIZCTEOSA-N 1 2 320.820 1.871 20 30 DDEDLO COCC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1cccc(Cl)c1 ZINC001230538655 884557664 /nfs/dbraw/zinc/55/76/64/884557664.db2.gz LTIUWMPWVYXOJQ-INIZCTEOSA-N 1 2 320.820 1.871 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)C ZINC001230651709 884702201 /nfs/dbraw/zinc/70/22/01/884702201.db2.gz QGJDYNOEWITLNF-HNNXBMFYSA-N 1 2 322.453 1.327 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)C ZINC001230651709 884702218 /nfs/dbraw/zinc/70/22/18/884702218.db2.gz QGJDYNOEWITLNF-HNNXBMFYSA-N 1 2 322.453 1.327 20 30 DDEDLO Cn1[nH]c([C@@H]2CCC[N@@H+]2Cc2ccc(OCC#N)cc2)nc1=N ZINC001363222314 885749118 /nfs/dbraw/zinc/74/91/18/885749118.db2.gz DVXYSVMMZAZNLR-AWEZNQCLSA-N 1 2 312.377 1.467 20 30 DDEDLO Cn1[nH]c([C@@H]2CCC[N@H+]2Cc2ccc(OCC#N)cc2)nc1=N ZINC001363222314 885749129 /nfs/dbraw/zinc/74/91/29/885749129.db2.gz DVXYSVMMZAZNLR-AWEZNQCLSA-N 1 2 312.377 1.467 20 30 DDEDLO C[C@@H]1C(=O)N(C)[C@H](C)[C@H](C)[N@@H+]1CCOc1ccccc1C#N ZINC001363266553 885862212 /nfs/dbraw/zinc/86/22/12/885862212.db2.gz PIALGICEOVTDRP-HZSPNIEDSA-N 1 2 301.390 1.877 20 30 DDEDLO C[C@@H]1C(=O)N(C)[C@H](C)[C@H](C)[N@H+]1CCOc1ccccc1C#N ZINC001363266553 885862230 /nfs/dbraw/zinc/86/22/30/885862230.db2.gz PIALGICEOVTDRP-HZSPNIEDSA-N 1 2 301.390 1.877 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(OC)ccn2)C1 ZINC001231972308 885982395 /nfs/dbraw/zinc/98/23/95/885982395.db2.gz JZAQELRVXSCMRN-CYBMUJFWSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2cc(OC)ccn2)C1 ZINC001231972308 885982401 /nfs/dbraw/zinc/98/24/01/885982401.db2.gz JZAQELRVXSCMRN-CYBMUJFWSA-N 1 2 305.378 1.967 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@](O)(C(F)(F)F)C[C@H]2CO)s1 ZINC001232842339 886629111 /nfs/dbraw/zinc/62/91/11/886629111.db2.gz YYWWLIGQQFVGHN-KWQFWETISA-N 1 2 306.309 1.480 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@](O)(C(F)(F)F)C[C@H]2CO)s1 ZINC001232842339 886629115 /nfs/dbraw/zinc/62/91/15/886629115.db2.gz YYWWLIGQQFVGHN-KWQFWETISA-N 1 2 306.309 1.480 20 30 DDEDLO N#Cc1cc(C[N@@H+]2C[C@@H](O)[C@H](CO)C2)ccc1Br ZINC001232893114 886656031 /nfs/dbraw/zinc/65/60/31/886656031.db2.gz BNYRXMFLOPLQOD-WCQYABFASA-N 1 2 311.179 1.106 20 30 DDEDLO N#Cc1cc(C[N@H+]2C[C@@H](O)[C@H](CO)C2)ccc1Br ZINC001232893114 886656041 /nfs/dbraw/zinc/65/60/41/886656041.db2.gz BNYRXMFLOPLQOD-WCQYABFASA-N 1 2 311.179 1.106 20 30 DDEDLO N#CC1(C(=O)N2CCC23CN(c2cccc[nH+]2)C3)CCOCC1 ZINC001277752165 886743637 /nfs/dbraw/zinc/74/36/37/886743637.db2.gz DCKRYOXIRXPNIM-UHFFFAOYSA-N 1 2 312.373 1.193 20 30 DDEDLO C[C@H]1C[C@H]([NH+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[N@@H+]1C ZINC001363777958 887195672 /nfs/dbraw/zinc/19/56/72/887195672.db2.gz XBYDEIWGCAOPEY-SMDDNHRTSA-N 1 2 314.393 1.221 20 30 DDEDLO C[C@H]1C[C@H]([NH+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[N@H+]1C ZINC001363777958 887195682 /nfs/dbraw/zinc/19/56/82/887195682.db2.gz XBYDEIWGCAOPEY-SMDDNHRTSA-N 1 2 314.393 1.221 20 30 DDEDLO C[C@H]1C[C@H]([N@H+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[NH+]1C ZINC001363777958 887195686 /nfs/dbraw/zinc/19/56/86/887195686.db2.gz XBYDEIWGCAOPEY-SMDDNHRTSA-N 1 2 314.393 1.221 20 30 DDEDLO C[C@H]1C[C@H]([N@@H+](C)Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[NH+]1C ZINC001363777958 887195695 /nfs/dbraw/zinc/19/56/95/887195695.db2.gz XBYDEIWGCAOPEY-SMDDNHRTSA-N 1 2 314.393 1.221 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CC[NH+](CCNC(=O)C2CC2)CC1 ZINC001363778492 887197357 /nfs/dbraw/zinc/19/73/57/887197357.db2.gz HOEXWOXPNQOZQY-KRWDZBQOSA-N 1 2 312.417 1.395 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(F)=C(C)C ZINC001233664810 887203777 /nfs/dbraw/zinc/20/37/77/887203777.db2.gz WXPIDJHPJRSNIJ-QWHCGFSZSA-N 1 2 311.401 1.473 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(F)=C(C)C ZINC001233664810 887203793 /nfs/dbraw/zinc/20/37/93/887203793.db2.gz WXPIDJHPJRSNIJ-QWHCGFSZSA-N 1 2 311.401 1.473 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cccnc1OC ZINC001233965974 887506362 /nfs/dbraw/zinc/50/63/62/887506362.db2.gz HLTSCTMJEAFXCI-INIZCTEOSA-N 1 2 315.417 1.926 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cccnc1OC ZINC001233965974 887506373 /nfs/dbraw/zinc/50/63/73/887506373.db2.gz HLTSCTMJEAFXCI-INIZCTEOSA-N 1 2 315.417 1.926 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1CC ZINC001233979301 887521214 /nfs/dbraw/zinc/52/12/14/887521214.db2.gz ZAFBOORUVHTOEL-YOEHRIQHSA-N 1 2 321.421 1.597 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1CC ZINC001233979301 887521231 /nfs/dbraw/zinc/52/12/31/887521231.db2.gz ZAFBOORUVHTOEL-YOEHRIQHSA-N 1 2 321.421 1.597 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nc(Cl)nc4c3CCCC4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234064673 887607491 /nfs/dbraw/zinc/60/74/91/887607491.db2.gz GIRGFBZVRXXZMM-UBYSCORNSA-N 1 2 321.808 2.000 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nc(Cl)nc4c3CCCC4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234064673 887607494 /nfs/dbraw/zinc/60/74/94/887607494.db2.gz GIRGFBZVRXXZMM-UBYSCORNSA-N 1 2 321.808 2.000 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C(/C)CC ZINC001234131146 887674375 /nfs/dbraw/zinc/67/43/75/887674375.db2.gz PQFJZNGOAJWEGW-WJAHIIFUSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C(/C)CC ZINC001234131146 887674385 /nfs/dbraw/zinc/67/43/85/887674385.db2.gz PQFJZNGOAJWEGW-WJAHIIFUSA-N 1 2 305.422 1.013 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1ccn[nH]1 ZINC001234243361 887783659 /nfs/dbraw/zinc/78/36/59/887783659.db2.gz GWLFDYRYVYESGR-UHFFFAOYSA-N 1 2 301.188 1.370 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1ccn[nH]1 ZINC001234243361 887783671 /nfs/dbraw/zinc/78/36/71/887783671.db2.gz GWLFDYRYVYESGR-UHFFFAOYSA-N 1 2 301.188 1.370 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)C[C@H]1CCCO1 ZINC001234360623 887904996 /nfs/dbraw/zinc/90/49/96/887904996.db2.gz MIBJTZMEGSFRCD-GFCCVEGCSA-N 1 2 319.243 1.902 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)C[C@H]1CCCO1 ZINC001234360623 887905006 /nfs/dbraw/zinc/90/50/06/887905006.db2.gz MIBJTZMEGSFRCD-GFCCVEGCSA-N 1 2 319.243 1.902 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NCC ZINC001234509477 888038190 /nfs/dbraw/zinc/03/81/90/888038190.db2.gz MGAWNXQQHQGNEC-HNNXBMFYSA-N 1 2 307.438 1.424 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NCC ZINC001234509477 888038203 /nfs/dbraw/zinc/03/82/03/888038203.db2.gz MGAWNXQQHQGNEC-HNNXBMFYSA-N 1 2 307.438 1.424 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H]1CCNC1=O ZINC001234517031 888047830 /nfs/dbraw/zinc/04/78/30/888047830.db2.gz ICZIOHAGKARSNH-LSDHHAIUSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H]1CCNC1=O ZINC001234517031 888047841 /nfs/dbraw/zinc/04/78/41/888047841.db2.gz ICZIOHAGKARSNH-LSDHHAIUSA-N 1 2 305.422 1.176 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCC(F)(F)C1 ZINC001235070546 888394995 /nfs/dbraw/zinc/39/49/95/888394995.db2.gz JKHJTFMOOIXJDU-KGLIPLIRSA-N 1 2 314.376 1.604 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCC(F)(F)C1 ZINC001235070546 888395007 /nfs/dbraw/zinc/39/50/07/888395007.db2.gz JKHJTFMOOIXJDU-KGLIPLIRSA-N 1 2 314.376 1.604 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)COCCC ZINC001235136749 888428442 /nfs/dbraw/zinc/42/84/42/888428442.db2.gz FSJQXPJIQIKTQE-UHFFFAOYSA-N 1 2 307.232 1.760 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)COCCC ZINC001235136749 888428453 /nfs/dbraw/zinc/42/84/53/888428453.db2.gz FSJQXPJIQIKTQE-UHFFFAOYSA-N 1 2 307.232 1.760 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccc(C#N)cc1)C1CCS(=O)CC1 ZINC001364354266 888443372 /nfs/dbraw/zinc/44/33/72/888443372.db2.gz PRPKUBNGRDLXQZ-UHFFFAOYSA-N 1 2 305.403 1.340 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccc(C#N)cc1)C1CCS(=O)CC1 ZINC001364354266 888443374 /nfs/dbraw/zinc/44/33/74/888443374.db2.gz PRPKUBNGRDLXQZ-UHFFFAOYSA-N 1 2 305.403 1.340 20 30 DDEDLO CC(C)C[C@H](C(=O)N(C)C[C@@H]1CCN1CC#N)n1cc[nH+]c1 ZINC001235632420 888820563 /nfs/dbraw/zinc/82/05/63/888820563.db2.gz IDSUNSFLJHVFKY-LSDHHAIUSA-N 1 2 303.410 1.527 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)CC(=C)Br ZINC001235698906 888894910 /nfs/dbraw/zinc/89/49/10/888894910.db2.gz PEJLDGOFBXMYES-UHFFFAOYSA-N 1 2 305.216 1.536 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)CC(=C)Br ZINC001235698906 888894923 /nfs/dbraw/zinc/89/49/23/888894923.db2.gz PEJLDGOFBXMYES-UHFFFAOYSA-N 1 2 305.216 1.536 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)[C@@H](C)c1nc(C(C)C)no1 ZINC001235699445 888893865 /nfs/dbraw/zinc/89/38/65/888893865.db2.gz WDTZACCTSVWAJN-ZDUSSCGKSA-N 1 2 324.425 1.895 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)[C@@H](C)c1nc(C(C)C)no1 ZINC001235699445 888893877 /nfs/dbraw/zinc/89/38/77/888893877.db2.gz WDTZACCTSVWAJN-ZDUSSCGKSA-N 1 2 324.425 1.895 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCC12CC2 ZINC001235795393 888953526 /nfs/dbraw/zinc/95/35/26/888953526.db2.gz HXVOHCPQXXJHCH-HZPDHXFCSA-N 1 2 304.434 1.749 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCC12CC2 ZINC001235795393 888953533 /nfs/dbraw/zinc/95/35/33/888953533.db2.gz HXVOHCPQXXJHCH-HZPDHXFCSA-N 1 2 304.434 1.749 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001290024269 913341535 /nfs/dbraw/zinc/34/15/35/913341535.db2.gz WWOLFJFPNBIGDA-XJFOESAGSA-N 1 2 316.405 1.490 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001290024269 913341545 /nfs/dbraw/zinc/34/15/45/913341545.db2.gz WWOLFJFPNBIGDA-XJFOESAGSA-N 1 2 316.405 1.490 20 30 DDEDLO N#Cc1cc(C[NH+]2CCC3(CC2)CNC(=O)CO3)c(F)cc1F ZINC001237531157 889682383 /nfs/dbraw/zinc/68/23/83/889682383.db2.gz WBZOWKGNBFHAPN-UHFFFAOYSA-N 1 2 321.327 1.317 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@@H+]2CCO[C@H]([C@H]3CCCO3)C2)c1 ZINC001365277499 890488446 /nfs/dbraw/zinc/48/84/46/890488446.db2.gz ONQLTCQZGUJEEF-ZACQAIPSSA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@H+]2CCO[C@H]([C@H]3CCCO3)C2)c1 ZINC001365277499 890488454 /nfs/dbraw/zinc/48/84/54/890488454.db2.gz ONQLTCQZGUJEEF-ZACQAIPSSA-N 1 2 302.374 1.471 20 30 DDEDLO Cc1oc(NC(=O)C[NH2+][C@H](C)c2ccn(C)n2)c(C#N)c1C ZINC001365305957 890553628 /nfs/dbraw/zinc/55/36/28/890553628.db2.gz QEKKZERKRMOCGI-SNVBAGLBSA-N 1 2 301.350 1.791 20 30 DDEDLO CCn1ccc(C[N@@H+]2CC[C@@](C)(NC(=O)C#CC3CC3)C2)n1 ZINC001278388058 891909777 /nfs/dbraw/zinc/90/97/77/891909777.db2.gz IIZSEBRAWRJCGU-QGZVFWFLSA-N 1 2 300.406 1.397 20 30 DDEDLO CCn1ccc(C[N@H+]2CC[C@@](C)(NC(=O)C#CC3CC3)C2)n1 ZINC001278388058 891909790 /nfs/dbraw/zinc/90/97/90/891909790.db2.gz IIZSEBRAWRJCGU-QGZVFWFLSA-N 1 2 300.406 1.397 20 30 DDEDLO CC(C)OCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001365969340 892073416 /nfs/dbraw/zinc/07/34/16/892073416.db2.gz FXTMMUHGZCPCLP-HNNXBMFYSA-N 1 2 319.380 1.813 20 30 DDEDLO CC(C)OCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001365969340 892073442 /nfs/dbraw/zinc/07/34/42/892073442.db2.gz FXTMMUHGZCPCLP-HNNXBMFYSA-N 1 2 319.380 1.813 20 30 DDEDLO C#CCCCC(=O)N[C@@]12CCC[C@H]1[N@H+](Cc1ncnn1C)CC2 ZINC001278446564 892622804 /nfs/dbraw/zinc/62/28/04/892622804.db2.gz QKYHCZHXOSFWOM-RHSMWYFYSA-N 1 2 315.421 1.232 20 30 DDEDLO C#CCCCC(=O)N[C@@]12CCC[C@H]1[N@@H+](Cc1ncnn1C)CC2 ZINC001278446564 892622810 /nfs/dbraw/zinc/62/28/10/892622810.db2.gz QKYHCZHXOSFWOM-RHSMWYFYSA-N 1 2 315.421 1.232 20 30 DDEDLO C=C[C@@H]1C[C@]1([NH3+])c1nc(-c2ccccc2S(C)(=O)=O)no1 ZINC001247621149 893256065 /nfs/dbraw/zinc/25/60/65/893256065.db2.gz IECZGPFQWQVZLM-YMTOWFKASA-N 1 2 305.359 1.500 20 30 DDEDLO CCNC(=O)CO[C@H]1CCC[N@H+](Cc2cccc(C#N)c2F)C1 ZINC001249687773 894107098 /nfs/dbraw/zinc/10/70/98/894107098.db2.gz OIKWCMNYEFNEHS-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO CCNC(=O)CO[C@H]1CCC[N@@H+](Cc2cccc(C#N)c2F)C1 ZINC001249687773 894107102 /nfs/dbraw/zinc/10/71/02/894107102.db2.gz OIKWCMNYEFNEHS-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H]1COc2ccccc2O1 ZINC001366587153 894156177 /nfs/dbraw/zinc/15/61/77/894156177.db2.gz AWYVRGMHZOVZQD-AWEZNQCLSA-N 1 2 310.781 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H]1COc2ccccc2O1 ZINC001366587153 894156185 /nfs/dbraw/zinc/15/61/85/894156185.db2.gz AWYVRGMHZOVZQD-AWEZNQCLSA-N 1 2 310.781 1.627 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)Cc1c(C)nc(C)[nH]c1=O ZINC001366592176 894171203 /nfs/dbraw/zinc/17/12/03/894171203.db2.gz JNZWBTJRAXCGFT-UHFFFAOYSA-N 1 2 312.801 1.142 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)Cc1c(C)nc(C)[nH]c1=O ZINC001366592176 894171213 /nfs/dbraw/zinc/17/12/13/894171213.db2.gz JNZWBTJRAXCGFT-UHFFFAOYSA-N 1 2 312.801 1.142 20 30 DDEDLO N#Cc1c(F)c(F)cc(NC[C@@H](O)C[NH+]2CCOCC2)c1F ZINC001251034765 894594127 /nfs/dbraw/zinc/59/41/27/894594127.db2.gz TVBQENDKCLICEH-SECBINFHSA-N 1 2 315.295 1.081 20 30 DDEDLO C[C@](C#N)(NC[C@H](O)C[NH+]1CCOCC1)c1ccccc1Cl ZINC001251040905 894599279 /nfs/dbraw/zinc/59/92/79/894599279.db2.gz ZHLAOOWBSAAAMU-XJKSGUPXSA-N 1 2 323.824 1.361 20 30 DDEDLO C#CCOC[C@@H](O)C[N@@H+]1CCc2ncc(C(F)(F)F)cc2C1 ZINC001251847343 894838834 /nfs/dbraw/zinc/83/88/34/894838834.db2.gz DJDYKSZGYFQLBT-ZDUSSCGKSA-N 1 2 314.307 1.469 20 30 DDEDLO C#CCOC[C@@H](O)C[N@H+]1CCc2ncc(C(F)(F)F)cc2C1 ZINC001251847343 894838845 /nfs/dbraw/zinc/83/88/45/894838845.db2.gz DJDYKSZGYFQLBT-ZDUSSCGKSA-N 1 2 314.307 1.469 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CCC)C(=O)[C@@H](C)CC(N)=O)C1 ZINC001366807478 894973676 /nfs/dbraw/zinc/97/36/76/894973676.db2.gz RREDRMRHCMQCEG-WCQYABFASA-N 1 2 315.845 1.563 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CCC)C(=O)[C@@H](C)CC(N)=O)C1 ZINC001366807478 894973680 /nfs/dbraw/zinc/97/36/80/894973680.db2.gz RREDRMRHCMQCEG-WCQYABFASA-N 1 2 315.845 1.563 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001366900053 895278549 /nfs/dbraw/zinc/27/85/49/895278549.db2.gz XCQCWGQMTYIMJX-DOMZBBRYSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001366900053 895278558 /nfs/dbraw/zinc/27/85/58/895278558.db2.gz XCQCWGQMTYIMJX-DOMZBBRYSA-N 1 2 314.364 1.938 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)CCc2nc(C)no2)C1 ZINC001367049795 895673720 /nfs/dbraw/zinc/67/37/20/895673720.db2.gz KAHZNGCECHQFIM-GFCCVEGCSA-N 1 2 312.801 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)CCc2nc(C)no2)C1 ZINC001367049795 895673729 /nfs/dbraw/zinc/67/37/29/895673729.db2.gz KAHZNGCECHQFIM-GFCCVEGCSA-N 1 2 312.801 1.596 20 30 DDEDLO N#Cc1ccccc1O[C@H]1CCC[N@@H+]([C@@H]2CCS(=O)(=O)C2)C1 ZINC001254340983 896374875 /nfs/dbraw/zinc/37/48/75/896374875.db2.gz IUNTYGFTZKMMPZ-CABCVRRESA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1ccccc1O[C@H]1CCC[N@H+]([C@@H]2CCS(=O)(=O)C2)C1 ZINC001254340983 896374890 /nfs/dbraw/zinc/37/48/90/896374890.db2.gz IUNTYGFTZKMMPZ-CABCVRRESA-N 1 2 320.414 1.589 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C[C@@H](C)N(C)C(=O)c2cc(C#N)c[nH]2)n1 ZINC001367356861 896545145 /nfs/dbraw/zinc/54/51/45/896545145.db2.gz QOROGXSSFKYFIM-ZJUUUORDSA-N 1 2 316.365 1.389 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1(O)C[NH+](C[C@H]2CC[C@@H](C)O2)C1 ZINC001278872785 897027511 /nfs/dbraw/zinc/02/75/11/897027511.db2.gz SKGGRRQGWJDLIW-ZIAGYGMSSA-N 1 2 310.438 1.319 20 30 DDEDLO CCOC(=O)CCC(CCC(=O)OCC)[N@@H+]1CC[C@@H](C#N)C1 ZINC001256006931 897201492 /nfs/dbraw/zinc/20/14/92/897201492.db2.gz HGVYEAPPZPAIKW-ZDUSSCGKSA-N 1 2 310.394 1.887 20 30 DDEDLO CCOC(=O)CCC(CCC(=O)OCC)[N@H+]1CC[C@@H](C#N)C1 ZINC001256006931 897201508 /nfs/dbraw/zinc/20/15/08/897201508.db2.gz HGVYEAPPZPAIKW-ZDUSSCGKSA-N 1 2 310.394 1.887 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2scnc2C(C)C)C1 ZINC001278914524 897294079 /nfs/dbraw/zinc/29/40/79/897294079.db2.gz YTUBOQDJWVMIHX-UHFFFAOYSA-N 1 2 307.419 1.066 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)c1cc(F)c[nH]1)C(C)C ZINC001278915632 897296552 /nfs/dbraw/zinc/29/65/52/897296552.db2.gz XVTYKHOEYSNVBY-UHFFFAOYSA-N 1 2 324.400 1.238 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)c1cc(F)c[nH]1)C(C)C ZINC001278915632 897296570 /nfs/dbraw/zinc/29/65/70/897296570.db2.gz XVTYKHOEYSNVBY-UHFFFAOYSA-N 1 2 324.400 1.238 20 30 DDEDLO C[N@H+](CCNC(=O)C1CCC(O)CC1)Cc1ccccc1C#N ZINC001390759675 900118171 /nfs/dbraw/zinc/11/81/71/900118171.db2.gz PIRBJRSZWHEISN-UHFFFAOYSA-N 1 2 315.417 1.657 20 30 DDEDLO C[N@@H+](CCNC(=O)C1CCC(O)CC1)Cc1ccccc1C#N ZINC001390759675 900118184 /nfs/dbraw/zinc/11/81/84/900118184.db2.gz PIRBJRSZWHEISN-UHFFFAOYSA-N 1 2 315.417 1.657 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCC3CCCC3)n2C)CC1 ZINC001262901136 900413495 /nfs/dbraw/zinc/41/34/95/900413495.db2.gz RUXWYJJACNSHJJ-UHFFFAOYSA-N 1 2 301.438 1.693 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)CCC#N)n2CC)CC1 ZINC001263051309 900476663 /nfs/dbraw/zinc/47/66/63/900476663.db2.gz ULJYYTLIADJVKN-CQSZACIVSA-N 1 2 300.410 1.460 20 30 DDEDLO CC[N@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1snnc1C ZINC001390906927 900483288 /nfs/dbraw/zinc/48/32/88/900483288.db2.gz PIHIRSMBLTZZJW-UHFFFAOYSA-N 1 2 318.406 1.298 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1snnc1C ZINC001390906927 900483295 /nfs/dbraw/zinc/48/32/95/900483295.db2.gz PIHIRSMBLTZZJW-UHFFFAOYSA-N 1 2 318.406 1.298 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3C[C@H]3C)n2CC(C)C)CC1 ZINC001263407283 900603055 /nfs/dbraw/zinc/60/30/55/900603055.db2.gz CZFRQPPQIADERR-HUUCEWRRSA-N 1 2 301.438 1.813 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1csc(=O)[nH]1)C1CC1 ZINC001391174731 901138694 /nfs/dbraw/zinc/13/86/94/901138694.db2.gz UNMIMVUYSTWSDY-UHFFFAOYSA-N 1 2 301.799 1.795 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1csc(=O)[nH]1)C1CC1 ZINC001391174731 901138684 /nfs/dbraw/zinc/13/86/84/901138684.db2.gz UNMIMVUYSTWSDY-UHFFFAOYSA-N 1 2 301.799 1.795 20 30 DDEDLO CC1(C)[C@H](NC(=O)CCn2cc[nH+]c2)[C@H]2CCCN(CC#N)[C@H]21 ZINC001264585626 901196745 /nfs/dbraw/zinc/19/67/45/901196745.db2.gz DTTIJODYPAEIHZ-FVQBIDKESA-N 1 2 315.421 1.402 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@@H+](CC)[C@H](C)c2nnnn2C)C1 ZINC001265132444 901607036 /nfs/dbraw/zinc/60/70/36/901607036.db2.gz GFYFXCGNSHETGH-CYBMUJFWSA-N 1 2 320.441 1.456 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@H+](CC)[C@H](C)c2nnnn2C)C1 ZINC001265132444 901607046 /nfs/dbraw/zinc/60/70/46/901607046.db2.gz GFYFXCGNSHETGH-CYBMUJFWSA-N 1 2 320.441 1.456 20 30 DDEDLO CC(C)c1nnc(C[N@H+](CCCNC(=O)[C@H](C)C#N)C2CC2)o1 ZINC001265156358 901641021 /nfs/dbraw/zinc/64/10/21/901641021.db2.gz WXDMVADYRZOIPW-GFCCVEGCSA-N 1 2 319.409 1.823 20 30 DDEDLO CC(C)c1nnc(C[N@@H+](CCCNC(=O)[C@H](C)C#N)C2CC2)o1 ZINC001265156358 901641027 /nfs/dbraw/zinc/64/10/27/901641027.db2.gz WXDMVADYRZOIPW-GFCCVEGCSA-N 1 2 319.409 1.823 20 30 DDEDLO C#CCCCC(=O)N(C)C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001265190212 901684197 /nfs/dbraw/zinc/68/41/97/901684197.db2.gz IUVJCWMKWMTMRD-UHFFFAOYSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C1CC[NH+](Cc2ncc(C)o2)CC1 ZINC001265191802 901685638 /nfs/dbraw/zinc/68/56/38/901685638.db2.gz DYDMERYESARRHQ-AWEZNQCLSA-N 1 2 319.405 1.444 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(Cc2ncc(C)o2)CC1 ZINC001265262872 901784835 /nfs/dbraw/zinc/78/48/35/901784835.db2.gz LFRXRASUPICLDJ-UHFFFAOYSA-N 1 2 320.437 1.573 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001265277602 901808371 /nfs/dbraw/zinc/80/83/71/901808371.db2.gz RMHPXILCGIVFMK-HNNXBMFYSA-N 1 2 320.437 1.402 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001265277602 901808377 /nfs/dbraw/zinc/80/83/77/901808377.db2.gz RMHPXILCGIVFMK-HNNXBMFYSA-N 1 2 320.437 1.402 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC001265311950 901870522 /nfs/dbraw/zinc/87/05/22/901870522.db2.gz DJTQCIKNARPVET-BZUAXINKSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC001265311950 901870525 /nfs/dbraw/zinc/87/05/25/901870525.db2.gz DJTQCIKNARPVET-BZUAXINKSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001265318531 901881126 /nfs/dbraw/zinc/88/11/26/901881126.db2.gz AIKXUGMEHPMDEE-HNNXBMFYSA-N 1 2 307.369 1.504 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C1 ZINC001265318531 901881133 /nfs/dbraw/zinc/88/11/33/901881133.db2.gz AIKXUGMEHPMDEE-HNNXBMFYSA-N 1 2 307.369 1.504 20 30 DDEDLO COCC#CC[N@@H+]1CCCC[C@@H]1CNC(=O)CCCC(C)=O ZINC001265638076 902232040 /nfs/dbraw/zinc/23/20/40/902232040.db2.gz CLCUZZBCGCDSQB-MRXNPFEDSA-N 1 2 308.422 1.366 20 30 DDEDLO COCC#CC[N@H+]1CCCC[C@@H]1CNC(=O)CCCC(C)=O ZINC001265638076 902232053 /nfs/dbraw/zinc/23/20/53/902232053.db2.gz CLCUZZBCGCDSQB-MRXNPFEDSA-N 1 2 308.422 1.366 20 30 DDEDLO CCOCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001369944383 902254640 /nfs/dbraw/zinc/25/46/40/902254640.db2.gz UDCSGIANPUKASI-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001369944383 902254645 /nfs/dbraw/zinc/25/46/45/902254645.db2.gz UDCSGIANPUKASI-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001265721150 902339953 /nfs/dbraw/zinc/33/99/53/902339953.db2.gz KTIAYXGISFXFHL-QDEPRCCBSA-N 1 2 317.437 1.525 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001265721150 902339964 /nfs/dbraw/zinc/33/99/64/902339964.db2.gz KTIAYXGISFXFHL-QDEPRCCBSA-N 1 2 317.437 1.525 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C2(C)CC(=C)C2)C1 ZINC001266240589 903175968 /nfs/dbraw/zinc/17/59/68/903175968.db2.gz YFWPJLMOJNAVMK-CQSZACIVSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2(C)CC(=C)C2)C1 ZINC001266240589 903175971 /nfs/dbraw/zinc/17/59/71/903175971.db2.gz YFWPJLMOJNAVMK-CQSZACIVSA-N 1 2 305.422 1.226 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC(C)C)o1 ZINC001279555081 903323575 /nfs/dbraw/zinc/32/35/75/903323575.db2.gz LOKYLFMSLUDYOX-HUUCEWRRSA-N 1 2 316.405 1.603 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC(C)C)o1 ZINC001279555081 903323592 /nfs/dbraw/zinc/32/35/92/903323592.db2.gz LOKYLFMSLUDYOX-HUUCEWRRSA-N 1 2 316.405 1.603 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)Cc1cc(C)cc(C)c1 ZINC001280414646 903650990 /nfs/dbraw/zinc/65/09/90/903650990.db2.gz CKYLTOLBPVIHSX-SFHVURJKSA-N 1 2 314.429 1.686 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)Cc1cc(C)cc(C)c1 ZINC001280414646 903650997 /nfs/dbraw/zinc/65/09/97/903650997.db2.gz CKYLTOLBPVIHSX-SFHVURJKSA-N 1 2 314.429 1.686 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001280517895 903754131 /nfs/dbraw/zinc/75/41/31/903754131.db2.gz KTCDFUKCSLKVJU-MGPQQGTHSA-N 1 2 318.421 1.833 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001280517895 903754138 /nfs/dbraw/zinc/75/41/38/903754138.db2.gz KTCDFUKCSLKVJU-MGPQQGTHSA-N 1 2 318.421 1.833 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C(=O)Nc1ccc(C)cc1 ZINC001316607836 904251933 /nfs/dbraw/zinc/25/19/33/904251933.db2.gz DMVOKZUKRHEFIW-MRXNPFEDSA-N 1 2 313.401 1.490 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C(=O)Nc1ccc(C)cc1 ZINC001316607836 904251946 /nfs/dbraw/zinc/25/19/46/904251946.db2.gz DMVOKZUKRHEFIW-MRXNPFEDSA-N 1 2 313.401 1.490 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001281032051 904336531 /nfs/dbraw/zinc/33/65/31/904336531.db2.gz KQWIZKYBRQEDEN-ZDUSSCGKSA-N 1 2 318.421 1.417 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@](CO)([NH2+]Cc2ccon2)C1 ZINC001281168016 904518699 /nfs/dbraw/zinc/51/86/99/904518699.db2.gz PDRPHFLKMRPTMY-KRWDZBQOSA-N 1 2 321.421 1.720 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](C)N(C(=O)CCc2[nH+]ccn2C)C1 ZINC001281177882 904533998 /nfs/dbraw/zinc/53/39/98/904533998.db2.gz UKPLAFJDPQOWTL-KGLIPLIRSA-N 1 2 318.421 1.425 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001282017546 905411604 /nfs/dbraw/zinc/41/16/04/905411604.db2.gz BMMQYZWITWSFAH-HUUCEWRRSA-N 1 2 318.421 1.852 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C2(CNC(=O)c3ccc(C#N)[nH]3)CC2)n1 ZINC001392902428 905646698 /nfs/dbraw/zinc/64/66/98/905646698.db2.gz ODDUKPSWTTXQHT-SECBINFHSA-N 1 2 314.349 1.191 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@H+](C)[C@@H](C)C(=O)Nc1nccs1 ZINC001282364936 905715767 /nfs/dbraw/zinc/71/57/67/905715767.db2.gz SMIGNOYMMGRJNN-NEPJUHHUSA-N 1 2 324.450 1.873 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@@H+](C)[C@@H](C)C(=O)Nc1nccs1 ZINC001282364936 905715777 /nfs/dbraw/zinc/71/57/77/905715777.db2.gz SMIGNOYMMGRJNN-NEPJUHHUSA-N 1 2 324.450 1.873 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCCC[N@H+]1Cc1nnc(C)[nH]1 ZINC001282663066 905927941 /nfs/dbraw/zinc/92/79/41/905927941.db2.gz UVOPEVWWPRHBMN-AWEZNQCLSA-N 1 2 305.426 1.940 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCCC[N@@H+]1Cc1nnc(C)[nH]1 ZINC001282663066 905927959 /nfs/dbraw/zinc/92/79/59/905927959.db2.gz UVOPEVWWPRHBMN-AWEZNQCLSA-N 1 2 305.426 1.940 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(CCF)CC3)C2)C1 ZINC001282772268 906020867 /nfs/dbraw/zinc/02/08/67/906020867.db2.gz IBXZYFYLYNZYID-QGZVFWFLSA-N 1 2 308.397 1.453 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(CCF)CC3)C2)C1 ZINC001282772268 906020878 /nfs/dbraw/zinc/02/08/78/906020878.db2.gz IBXZYFYLYNZYID-QGZVFWFLSA-N 1 2 308.397 1.453 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC[N@@H+]1Cc1cc2n(n1)CCC2 ZINC001377389907 921203255 /nfs/dbraw/zinc/20/32/55/921203255.db2.gz UUOQDQFUJSOKNU-IUODEOHRSA-N 1 2 301.394 1.070 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC[N@H+]1Cc1cc2n(n1)CCC2 ZINC001377389907 921203263 /nfs/dbraw/zinc/20/32/63/921203263.db2.gz UUOQDQFUJSOKNU-IUODEOHRSA-N 1 2 301.394 1.070 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H](C)[NH2+][C@H](C)c1nc(CC)no1 ZINC001283605495 907665898 /nfs/dbraw/zinc/66/58/98/907665898.db2.gz FNJZRDCFFVWQHR-CHWSQXEVSA-N 1 2 306.410 1.933 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001283885434 908131683 /nfs/dbraw/zinc/13/16/83/908131683.db2.gz COBFIJDWDKGMBE-ZIAGYGMSSA-N 1 2 318.421 1.764 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)C1 ZINC001284017765 908324861 /nfs/dbraw/zinc/32/48/61/908324861.db2.gz JJFANEODSXWAMU-KGLIPLIRSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001284059608 908409785 /nfs/dbraw/zinc/40/97/85/908409785.db2.gz QFQYBXXHJPUMQA-MGPQQGTHSA-N 1 2 304.394 1.249 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001284099638 908474326 /nfs/dbraw/zinc/47/43/26/908474326.db2.gz CAXJXWCIUZFSAK-WVWOOGAGSA-N 1 2 318.421 1.482 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C#CC(C)(C)C)[C@@H]1C ZINC001284130983 908527283 /nfs/dbraw/zinc/52/72/83/908527283.db2.gz YBPSSZTWSLOKJO-GJZGRUSLSA-N 1 2 319.449 1.307 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C#CC(C)(C)C)[C@@H]1C ZINC001284130983 908527290 /nfs/dbraw/zinc/52/72/90/908527290.db2.gz YBPSSZTWSLOKJO-GJZGRUSLSA-N 1 2 319.449 1.307 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)Cn1ccc(C)cc1=O ZINC001394119115 908866422 /nfs/dbraw/zinc/86/64/22/908866422.db2.gz UFYZRHMAXWFCGO-CYBMUJFWSA-N 1 2 311.813 1.346 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)Cn1ccc(C)cc1=O ZINC001394119115 908866441 /nfs/dbraw/zinc/86/64/41/908866441.db2.gz UFYZRHMAXWFCGO-CYBMUJFWSA-N 1 2 311.813 1.346 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001284379101 908914001 /nfs/dbraw/zinc/91/40/01/908914001.db2.gz OEJHHSQSYZEDAV-CQSZACIVSA-N 1 2 318.421 1.582 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001284532163 909151273 /nfs/dbraw/zinc/15/12/73/909151273.db2.gz ZUHKZAQUTJMEKU-LBPRGKRZSA-N 1 2 306.410 1.375 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001284532163 909151281 /nfs/dbraw/zinc/15/12/81/909151281.db2.gz ZUHKZAQUTJMEKU-LBPRGKRZSA-N 1 2 306.410 1.375 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(CC)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001284636220 909280270 /nfs/dbraw/zinc/28/02/70/909280270.db2.gz OFFVTJXYESIAAK-KRWDZBQOSA-N 1 2 320.437 1.828 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001284865708 909596934 /nfs/dbraw/zinc/59/69/34/909596934.db2.gz QTTJMIJDIRPNPU-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO COCC(=O)N[C@H]1CCC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001373386747 909704484 /nfs/dbraw/zinc/70/44/84/909704484.db2.gz LFNDNFIRACGDME-AWEZNQCLSA-N 1 2 305.353 1.424 20 30 DDEDLO COCC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001373386747 909704499 /nfs/dbraw/zinc/70/44/99/909704499.db2.gz LFNDNFIRACGDME-AWEZNQCLSA-N 1 2 305.353 1.424 20 30 DDEDLO Cc1cc(C[N@H+]2CC=C(CNC(=O)C#CC(C)C)CC2)no1 ZINC001284909085 909710412 /nfs/dbraw/zinc/71/04/12/909710412.db2.gz HSGDQFDTQVLOSK-UHFFFAOYSA-N 1 2 301.390 1.891 20 30 DDEDLO Cc1cc(C[N@@H+]2CC=C(CNC(=O)C#CC(C)C)CC2)no1 ZINC001284909085 909710426 /nfs/dbraw/zinc/71/04/26/909710426.db2.gz HSGDQFDTQVLOSK-UHFFFAOYSA-N 1 2 301.390 1.891 20 30 DDEDLO CC#CCCCC(=O)NCC1=CC[N@H+](Cc2ccn(C)n2)CC1 ZINC001284948428 909794117 /nfs/dbraw/zinc/79/41/17/909794117.db2.gz IOHCTLDBEBBLKN-UHFFFAOYSA-N 1 2 314.433 1.862 20 30 DDEDLO CC#CCCCC(=O)NCC1=CC[N@@H+](Cc2ccn(C)n2)CC1 ZINC001284948428 909794126 /nfs/dbraw/zinc/79/41/26/909794126.db2.gz IOHCTLDBEBBLKN-UHFFFAOYSA-N 1 2 314.433 1.862 20 30 DDEDLO CC[C@H](CNC(=O)C#CC(C)C)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001285051925 910003492 /nfs/dbraw/zinc/00/34/92/910003492.db2.gz SUBUHWYVFUOEMS-HUUCEWRRSA-N 1 2 318.421 1.505 20 30 DDEDLO N#Cc1ccc(F)cc1C[NH2+][C@@H](CO)CNC(=O)C1CCC1 ZINC001394565332 910005091 /nfs/dbraw/zinc/00/50/91/910005091.db2.gz PRVPIHJBNJPKIK-OAHLLOKOSA-N 1 2 305.353 1.064 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001285758698 911161616 /nfs/dbraw/zinc/16/16/16/911161616.db2.gz OVXSPMTVRBWWQX-YOEHRIQHSA-N 1 2 320.437 1.839 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCN1C(=O)CCCn1cc[nH+]c1 ZINC001285851126 911298615 /nfs/dbraw/zinc/29/86/15/911298615.db2.gz JMMWWVHQSIWPQT-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(C)CCC(=O)N(C)C1CN(C(=O)CCc2c[nH+]cn2C)C1 ZINC001286016086 911574548 /nfs/dbraw/zinc/57/45/48/911574548.db2.gz VODIUUDUVIXDSR-UHFFFAOYSA-N 1 2 318.421 1.378 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(C)C(=O)CCc2c[nH+]cn2C)C1 ZINC001286028984 911593177 /nfs/dbraw/zinc/59/31/77/911593177.db2.gz ZOYKXOMRICNOHM-UHFFFAOYSA-N 1 2 318.421 1.234 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001286037761 911611518 /nfs/dbraw/zinc/61/15/18/911611518.db2.gz ZTHUHLJWMZXAJS-NEPJUHHUSA-N 1 2 304.394 1.080 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001375075787 914880705 /nfs/dbraw/zinc/88/07/05/914880705.db2.gz HBMNCHHXUDULHE-NWDGAFQWSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001375075787 914880725 /nfs/dbraw/zinc/88/07/25/914880725.db2.gz HBMNCHHXUDULHE-NWDGAFQWSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001294782992 915362251 /nfs/dbraw/zinc/36/22/51/915362251.db2.gz CILDVEWQJKSZQW-ZIAGYGMSSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001294941521 915472052 /nfs/dbraw/zinc/47/20/52/915472052.db2.gz BEZFODMBXICAOQ-WFASDCNBSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(C(=O)Cc2c[nH+]c[nH]2)C2CC2)C1 ZINC001296628050 916512604 /nfs/dbraw/zinc/51/26/04/916512604.db2.gz YUJLUFYBIGBJNU-UHFFFAOYSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(C)CCC(=O)NC1CN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001297067743 916708185 /nfs/dbraw/zinc/70/81/85/916708185.db2.gz POSLDANVIPAXIV-CHWSQXEVSA-N 1 2 302.378 1.197 20 30 DDEDLO C=C(C)CCC(=O)NC1CN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001297067743 916708202 /nfs/dbraw/zinc/70/82/02/916708202.db2.gz POSLDANVIPAXIV-CHWSQXEVSA-N 1 2 302.378 1.197 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1CN(C(=O)CCn2cc[nH+]c2)C1 ZINC001297111833 916734460 /nfs/dbraw/zinc/73/44/60/916734460.db2.gz BMAJNNSHQBMEMQ-UHFFFAOYSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001297251226 916839060 /nfs/dbraw/zinc/83/90/60/916839060.db2.gz ICJHPWGTHBBFRF-ZDUSSCGKSA-N 1 2 318.421 1.438 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](O)(CNC(=O)c2ccsn2)C1 ZINC001376061285 917590416 /nfs/dbraw/zinc/59/04/16/917590416.db2.gz XHMGYLUFJOMQQQ-LBPRGKRZSA-N 1 2 301.799 1.062 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](O)(CNC(=O)c2ccsn2)C1 ZINC001376061285 917590430 /nfs/dbraw/zinc/59/04/30/917590430.db2.gz XHMGYLUFJOMQQQ-LBPRGKRZSA-N 1 2 301.799 1.062 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000081777607 192322513 /nfs/dbraw/zinc/32/25/13/192322513.db2.gz NDKWODRDAZOVQG-UHFFFAOYSA-N 1 2 324.384 1.560 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CCC[C@H](c2[nH+]cc3n2CCCC3)C1 ZINC000614481856 361880175 /nfs/dbraw/zinc/88/01/75/361880175.db2.gz ZCRUOYVXISKKAR-SUMWQHHRSA-N 1 2 303.406 1.862 20 30 DDEDLO CCOCC[NH+]1CCN(c2nc(Cl)c(C#N)s2)CC1 ZINC000278735114 214364290 /nfs/dbraw/zinc/36/42/90/214364290.db2.gz QIUOMMNACUSFFR-UHFFFAOYSA-N 1 2 300.815 1.827 20 30 DDEDLO CC[C@@H](C)n1ncc(NC(=O)NCC[NH+]2CCOCC2)c1C ZINC000331170316 529441444 /nfs/dbraw/zinc/44/14/44/529441444.db2.gz ZFIBBPXFANXCIT-GFCCVEGCSA-N 1 2 309.414 1.821 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@H+](Cc2ccc(F)cc2C#N)C1 ZINC000451989144 231260062 /nfs/dbraw/zinc/26/00/62/231260062.db2.gz HUUNEYODYAPSDK-HNNXBMFYSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(F)cc2C#N)C1 ZINC000451989144 231260065 /nfs/dbraw/zinc/26/00/65/231260065.db2.gz HUUNEYODYAPSDK-HNNXBMFYSA-N 1 2 304.369 1.933 20 30 DDEDLO Cc1cc2c[nH]nc2c(C(=O)NC[C@@H](C)[NH+]2CCOCC2)c1 ZINC000328704779 539298517 /nfs/dbraw/zinc/29/85/17/539298517.db2.gz OZMIUSXQVSRZKU-GFCCVEGCSA-N 1 2 302.378 1.897 20 30 DDEDLO Cc1cnc([C@H](C)NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)o1 ZINC000329262656 539302128 /nfs/dbraw/zinc/30/21/28/539302128.db2.gz DIOHXXSQFFJAMQ-UONOGXRCSA-N 1 2 322.409 1.755 20 30 DDEDLO O=C(CN1CC(=O)NC1=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000329490911 539304986 /nfs/dbraw/zinc/30/49/86/539304986.db2.gz VFTQBAWYQQKFLB-UHFFFAOYSA-N 1 2 317.349 1.399 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)NCC3CCC(C#N)CC3)C[C@H]21 ZINC000332764049 539311772 /nfs/dbraw/zinc/31/17/72/539311772.db2.gz ZOGBNZSTXURQOC-FJBKBRRZSA-N 1 2 320.437 1.431 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)NCC3CCC(C#N)CC3)C[C@H]21 ZINC000332764049 539311773 /nfs/dbraw/zinc/31/17/73/539311773.db2.gz ZOGBNZSTXURQOC-FJBKBRRZSA-N 1 2 320.437 1.431 20 30 DDEDLO CCc1ncc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)cn1 ZINC000414435663 529754017 /nfs/dbraw/zinc/75/40/17/529754017.db2.gz RCICSLWMQPYNCD-UHFFFAOYSA-N 1 2 308.389 1.628 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)Nc2cccc(C(=O)N3CCCC3)c2)C1 ZINC000329736590 529782094 /nfs/dbraw/zinc/78/20/94/529782094.db2.gz LNBYXARQYAVBET-OAHLLOKOSA-N 1 2 317.389 1.841 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)Nc2cccc(C(=O)N3CCCC3)c2)C1 ZINC000329736590 529782095 /nfs/dbraw/zinc/78/20/95/529782095.db2.gz LNBYXARQYAVBET-OAHLLOKOSA-N 1 2 317.389 1.841 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)N2CCC(OCC3CC3)CC2)C1 ZINC000329770337 529782453 /nfs/dbraw/zinc/78/24/53/529782453.db2.gz IRPFVLVEGGJUBL-HNNXBMFYSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)N2CCC(OCC3CC3)CC2)C1 ZINC000329770337 529782454 /nfs/dbraw/zinc/78/24/54/529782454.db2.gz IRPFVLVEGGJUBL-HNNXBMFYSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC(C)(C)CO ZINC000451253483 529928202 /nfs/dbraw/zinc/92/82/02/529928202.db2.gz MUBKYVPTFFBLQX-UHFFFAOYSA-N 1 2 310.419 1.282 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC(C)(C)CO ZINC000451253483 529928203 /nfs/dbraw/zinc/92/82/03/529928203.db2.gz MUBKYVPTFFBLQX-UHFFFAOYSA-N 1 2 310.419 1.282 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)C1 ZINC000615536652 362326119 /nfs/dbraw/zinc/32/61/19/362326119.db2.gz FZFXOKNWQOXAEB-NSHDSACASA-N 1 2 319.262 1.593 20 30 DDEDLO C[N@H+](CCN1CCCS1(=O)=O)Cc1ccc(C#N)c(F)c1 ZINC000616757971 362806552 /nfs/dbraw/zinc/80/65/52/362806552.db2.gz SIGCWUUDTQZKJE-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO C[N@@H+](CCN1CCCS1(=O)=O)Cc1ccc(C#N)c(F)c1 ZINC000616757971 362806561 /nfs/dbraw/zinc/80/65/61/362806561.db2.gz SIGCWUUDTQZKJE-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO C[C@H]1OCC[C@@H]1[N@H+](CCO)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459451041 233209793 /nfs/dbraw/zinc/20/97/93/233209793.db2.gz VEKVVKFPCIKRAY-RISCZKNCSA-N 1 2 305.334 1.438 20 30 DDEDLO C[C@H]1OCC[C@@H]1[N@@H+](CCO)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459451041 233209797 /nfs/dbraw/zinc/20/97/97/233209797.db2.gz VEKVVKFPCIKRAY-RISCZKNCSA-N 1 2 305.334 1.438 20 30 DDEDLO Cc1onc(CC(=O)N(C)Cc2cn3c([nH+]2)CCCC3)c1C#N ZINC000331407430 233353990 /nfs/dbraw/zinc/35/39/90/233353990.db2.gz BJKZUOSVCRTFEC-UHFFFAOYSA-N 1 2 313.361 1.589 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1ccc(F)cc1C#N ZINC000177899963 186200860 /nfs/dbraw/zinc/20/08/60/186200860.db2.gz XGBGTVCVEAYGNN-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1ccc(F)cc1C#N ZINC000177899963 186200861 /nfs/dbraw/zinc/20/08/61/186200861.db2.gz XGBGTVCVEAYGNN-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO C=CC[C@H](C)NC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000172056547 198032516 /nfs/dbraw/zinc/03/25/16/198032516.db2.gz ASTZTUWZXUIKTH-ZDUSSCGKSA-N 1 2 304.394 1.682 20 30 DDEDLO Cn1ccc(CN2CC[NH+](Cc3ccc(C#N)cc3)CC2)cc1=O ZINC000042112367 352330186 /nfs/dbraw/zinc/33/01/86/352330186.db2.gz GHMWRPLVCLZUDC-UHFFFAOYSA-N 1 2 322.412 1.575 20 30 DDEDLO COCCCC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000042381553 352336710 /nfs/dbraw/zinc/33/67/10/352336710.db2.gz NPGSWKIDRVAJOF-UHFFFAOYSA-N 1 2 301.390 1.629 20 30 DDEDLO C=C(C)C[NH+]1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000046517162 352456003 /nfs/dbraw/zinc/45/60/03/352456003.db2.gz BLXKIBMNGJEULG-UHFFFAOYSA-N 1 2 315.483 1.689 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](CC(=O)N2CCCCC2)CC1 ZINC000053216117 352645537 /nfs/dbraw/zinc/64/55/37/352645537.db2.gz BUWHIOCJTYQCIM-UHFFFAOYSA-N 1 2 313.405 1.088 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C\c1cccnc1 ZINC000491419811 234164218 /nfs/dbraw/zinc/16/42/18/234164218.db2.gz QXRGXJMZOSIZIR-PLNGDYQASA-N 1 2 314.389 1.169 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@@H](C)c2cc(F)ccc2F)CC1 ZINC000056490027 352775366 /nfs/dbraw/zinc/77/53/66/352775366.db2.gz KSSYMTCLEUKFCV-ZDUSSCGKSA-N 1 2 323.387 1.946 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@H+](C)CC(=O)N(C)C ZINC000072878504 191246664 /nfs/dbraw/zinc/24/66/64/191246664.db2.gz CFUVURLCZYHZCW-SNVBAGLBSA-N 1 2 322.796 1.559 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@@H+](C)CC(=O)N(C)C ZINC000072878504 191246668 /nfs/dbraw/zinc/24/66/68/191246668.db2.gz CFUVURLCZYHZCW-SNVBAGLBSA-N 1 2 322.796 1.559 20 30 DDEDLO C[C@@H](C#N)CN(C)[C@H](C)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000064795588 352944076 /nfs/dbraw/zinc/94/40/76/352944076.db2.gz OQXRWCKZSPZEPJ-LSDHHAIUSA-N 1 2 315.421 1.210 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)C[C@@H](O)C(F)(F)F ZINC000305764615 222872826 /nfs/dbraw/zinc/87/28/26/222872826.db2.gz GNNVINDSOLJVLL-GHMZBOCLSA-N 1 2 321.343 1.573 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)C[C@@H](O)C(F)(F)F ZINC000305764615 222872827 /nfs/dbraw/zinc/87/28/27/222872827.db2.gz GNNVINDSOLJVLL-GHMZBOCLSA-N 1 2 321.343 1.573 20 30 DDEDLO C=CC[C@@H](C)NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000076297825 353411638 /nfs/dbraw/zinc/41/16/38/353411638.db2.gz NMBCALMDHYUQNY-SNVBAGLBSA-N 1 2 300.362 1.901 20 30 DDEDLO N#C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)CCN1Cc1ccccc1 ZINC000081408129 353671063 /nfs/dbraw/zinc/67/10/63/353671063.db2.gz NAWRLZMTYSFMKL-MRXNPFEDSA-N 1 2 309.373 1.120 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(C)CC(C)C)CC1 ZINC000084599664 353724299 /nfs/dbraw/zinc/72/42/99/353724299.db2.gz VOIOLWNFSZEGNF-UHFFFAOYSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)CC(C)C)CC1 ZINC000084599664 353724302 /nfs/dbraw/zinc/72/43/02/353724302.db2.gz VOIOLWNFSZEGNF-UHFFFAOYSA-N 1 2 321.465 1.342 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1ncc[nH]1 ZINC000287445593 354435155 /nfs/dbraw/zinc/43/51/55/354435155.db2.gz LDYJVFUCSNTAHI-DOMZBBRYSA-N 1 2 309.373 1.733 20 30 DDEDLO C=CCCn1cc(-c2nc([C@H]3C[N@H+](C(C)C)CCO3)no2)nn1 ZINC000351289369 354616758 /nfs/dbraw/zinc/61/67/58/354616758.db2.gz IMSJBOBESDDYCM-CYBMUJFWSA-N 1 2 318.381 1.686 20 30 DDEDLO C=CCCn1cc(-c2nc([C@H]3C[N@@H+](C(C)C)CCO3)no2)nn1 ZINC000351289369 354616765 /nfs/dbraw/zinc/61/67/65/354616765.db2.gz IMSJBOBESDDYCM-CYBMUJFWSA-N 1 2 318.381 1.686 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000578482699 354708966 /nfs/dbraw/zinc/70/89/66/354708966.db2.gz OPUQZIJAKLCKGT-UHFFFAOYSA-N 1 2 305.426 1.901 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000578482699 354708968 /nfs/dbraw/zinc/70/89/68/354708968.db2.gz OPUQZIJAKLCKGT-UHFFFAOYSA-N 1 2 305.426 1.901 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1ccccc1SCC(N)=O ZINC000579022410 354715163 /nfs/dbraw/zinc/71/51/63/354715163.db2.gz WLLHZWVPDDDPRF-NSHDSACASA-N 1 2 320.418 1.484 20 30 DDEDLO COc1cccc(CO[C@@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000581541498 354735022 /nfs/dbraw/zinc/73/50/22/354735022.db2.gz GKVMTSVTCDSGQJ-GUYCJALGSA-N 1 2 319.405 1.560 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)NCc2ccc(C#N)cc2F)c1 ZINC000590922857 355214377 /nfs/dbraw/zinc/21/43/77/355214377.db2.gz FBJUMBHHSSUZQO-UHFFFAOYSA-N 1 2 301.325 1.473 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)N2CCC[C@@H]3CCCC[C@H]32)CC1 ZINC000591020522 355233094 /nfs/dbraw/zinc/23/30/94/355233094.db2.gz KXXKUEWBKVZCRM-DLBZAZTESA-N 1 2 318.465 1.699 20 30 DDEDLO COC[C@@]1(C(N)=O)CCC[N@@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000591628034 355343615 /nfs/dbraw/zinc/34/36/15/355343615.db2.gz KDJUDNCSVCWVTN-MRXNPFEDSA-N 1 2 303.362 1.033 20 30 DDEDLO COC[C@@]1(C(N)=O)CCC[N@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000591628034 355343616 /nfs/dbraw/zinc/34/36/16/355343616.db2.gz KDJUDNCSVCWVTN-MRXNPFEDSA-N 1 2 303.362 1.033 20 30 DDEDLO C[C@@H]1CCc2ccccc2N1C(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592145312 355510535 /nfs/dbraw/zinc/51/05/35/355510535.db2.gz SCBUWBOTJDDHKP-RDTXWAMCSA-N 1 2 313.401 1.705 20 30 DDEDLO C[C@@H]1CCc2ccccc2N1C(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592145312 355510539 /nfs/dbraw/zinc/51/05/39/355510539.db2.gz SCBUWBOTJDDHKP-RDTXWAMCSA-N 1 2 313.401 1.705 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC000592149974 355516717 /nfs/dbraw/zinc/51/67/17/355516717.db2.gz AOVOOWYGBQYKBK-GOEBONIOSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC000592149974 355516720 /nfs/dbraw/zinc/51/67/20/355516720.db2.gz AOVOOWYGBQYKBK-GOEBONIOSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2cc(F)cc3cccnc32)CC1 ZINC000594016270 356088692 /nfs/dbraw/zinc/08/86/92/356088692.db2.gz LYGAEIDZKGYAGI-UHFFFAOYSA-N 1 2 312.348 1.932 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H](C#N)[C@]3(C2)C(=O)Nc2ccccc23)o1 ZINC000371461287 283143730 /nfs/dbraw/zinc/14/37/30/283143730.db2.gz WUWQSQKSLBLYIN-SGTLLEGYSA-N 1 2 318.336 1.997 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H](C#N)[C@]3(C2)C(=O)Nc2ccccc23)o1 ZINC000371461287 283143732 /nfs/dbraw/zinc/14/37/32/283143732.db2.gz WUWQSQKSLBLYIN-SGTLLEGYSA-N 1 2 318.336 1.997 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000081034479 192217081 /nfs/dbraw/zinc/21/70/81/192217081.db2.gz KVASJMHBANHLJL-UHFFFAOYSA-N 1 2 324.384 1.981 20 30 DDEDLO C=CCN(CCC#N)C(=O)C(=O)Nc1ccn2cc(C)[nH+]c2c1 ZINC000595030386 356374744 /nfs/dbraw/zinc/37/47/44/356374744.db2.gz XMAVQRBNICKYHQ-UHFFFAOYSA-N 1 2 311.345 1.510 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cc(C2CC2)no1 ZINC000595338545 356454764 /nfs/dbraw/zinc/45/47/64/356454764.db2.gz JHDCJELPGNJFAZ-LBPRGKRZSA-N 1 2 324.388 1.924 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cc(C2CC2)no1 ZINC000595338545 356454766 /nfs/dbraw/zinc/45/47/66/356454766.db2.gz JHDCJELPGNJFAZ-LBPRGKRZSA-N 1 2 324.388 1.924 20 30 DDEDLO CCN1OC[C@@H]([NH+]2CCC(Oc3cccc(C#N)c3)CC2)C1=O ZINC000595300982 356440142 /nfs/dbraw/zinc/44/01/42/356440142.db2.gz CYRYZYREBYSIMY-MRXNPFEDSA-N 1 2 315.373 1.564 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000595547023 356542977 /nfs/dbraw/zinc/54/29/77/356542977.db2.gz LECJYUOZECHDPK-UHFFFAOYSA-N 1 2 315.483 1.706 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000595547023 356542979 /nfs/dbraw/zinc/54/29/79/356542979.db2.gz LECJYUOZECHDPK-UHFFFAOYSA-N 1 2 315.483 1.706 20 30 DDEDLO N#CC[C@@H]1CCCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000595570989 356556776 /nfs/dbraw/zinc/55/67/76/356556776.db2.gz RGWKUOSYCBDPHR-GJZGRUSLSA-N 1 2 313.405 1.996 20 30 DDEDLO N#CC[C@H]1CCCN(C(=O)NCC2([NH+]3CCOCC3)CC2)C1 ZINC000595591940 356566148 /nfs/dbraw/zinc/56/61/48/356566148.db2.gz LWSBYVCTXMWZGM-CQSZACIVSA-N 1 2 306.410 1.186 20 30 DDEDLO C=CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@H](C)COC ZINC000595648323 356591560 /nfs/dbraw/zinc/59/15/60/356591560.db2.gz SWDZUECCAZJHDI-CQSZACIVSA-N 1 2 322.430 1.855 20 30 DDEDLO C=CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@H](C)COC ZINC000595648323 356591563 /nfs/dbraw/zinc/59/15/63/356591563.db2.gz SWDZUECCAZJHDI-CQSZACIVSA-N 1 2 322.430 1.855 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)C[C@H]2COCCO2)c1C#N ZINC000595737516 356629278 /nfs/dbraw/zinc/62/92/78/356629278.db2.gz RBKAZRXCWFGMLV-ZDUSSCGKSA-N 1 2 304.346 1.192 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)C[C@H]2COCCO2)c1C#N ZINC000595737516 356629280 /nfs/dbraw/zinc/62/92/80/356629280.db2.gz RBKAZRXCWFGMLV-ZDUSSCGKSA-N 1 2 304.346 1.192 20 30 DDEDLO C[N@H+](C[C@@H]1COCCO1)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000595738051 356629543 /nfs/dbraw/zinc/62/95/43/356629543.db2.gz VNIJHSXOMLBAFR-CHWSQXEVSA-N 1 2 321.402 1.072 20 30 DDEDLO C[N@@H+](C[C@@H]1COCCO1)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000595738051 356629544 /nfs/dbraw/zinc/62/95/44/356629544.db2.gz VNIJHSXOMLBAFR-CHWSQXEVSA-N 1 2 321.402 1.072 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@]1(COC)C(=O)OC ZINC000595816893 356661810 /nfs/dbraw/zinc/66/18/10/356661810.db2.gz MFXKMXQGNWIPHM-INIZCTEOSA-N 1 2 312.410 1.065 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@]1(COC)C(=O)OC ZINC000595816893 356661812 /nfs/dbraw/zinc/66/18/12/356661812.db2.gz MFXKMXQGNWIPHM-INIZCTEOSA-N 1 2 312.410 1.065 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000595913149 356703305 /nfs/dbraw/zinc/70/33/05/356703305.db2.gz CEAVZQGYWCSYBO-GYSYKLTISA-N 1 2 303.353 1.491 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000595913149 356703310 /nfs/dbraw/zinc/70/33/10/356703310.db2.gz CEAVZQGYWCSYBO-GYSYKLTISA-N 1 2 303.353 1.491 20 30 DDEDLO Cc1ncccc1Cn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000596284918 356844647 /nfs/dbraw/zinc/84/46/47/356844647.db2.gz HFMSGJIMJYKJGU-UHFFFAOYSA-N 1 2 324.384 1.304 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000596590265 356926520 /nfs/dbraw/zinc/92/65/20/356926520.db2.gz FHZLEWIRSVXGQG-CQSZACIVSA-N 1 2 302.378 1.476 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000596590265 356926523 /nfs/dbraw/zinc/92/65/23/356926523.db2.gz FHZLEWIRSVXGQG-CQSZACIVSA-N 1 2 302.378 1.476 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N(CCC#N)Cc1cccnc1 ZINC000596965318 357028725 /nfs/dbraw/zinc/02/87/25/357028725.db2.gz TZPWBHTWCCAZRS-HUUCEWRRSA-N 1 2 309.373 1.861 20 30 DDEDLO Cc1ccn2cc(CNC(=O)CC3(C#N)CCOCC3)[nH+]c2c1 ZINC000596888078 357007635 /nfs/dbraw/zinc/00/76/35/357007635.db2.gz MGCUPGIDEAFZJC-UHFFFAOYSA-N 1 2 312.373 1.969 20 30 DDEDLO N#Cc1c(F)cc(C(=O)NCC2([NH+]3CCOCC3)CC2)cc1F ZINC000597570244 357233085 /nfs/dbraw/zinc/23/30/85/357233085.db2.gz GADWAPGSQRHBRD-UHFFFAOYSA-N 1 2 321.327 1.431 20 30 DDEDLO N#Cc1ccncc1C(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000597943429 357392965 /nfs/dbraw/zinc/39/29/65/357392965.db2.gz LGBCMJBXCGOXDI-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1cccc(F)c1C#N ZINC000597996467 357411663 /nfs/dbraw/zinc/41/16/63/357411663.db2.gz OTFGLYOTJXCVLA-NEPJUHHUSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1cccc(F)c1C#N ZINC000597996467 357411667 /nfs/dbraw/zinc/41/16/67/357411667.db2.gz OTFGLYOTJXCVLA-NEPJUHHUSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@H](NC(=O)[C@H](C#N)Cc1ccc(C#N)cc1)c1[nH+]ccn1C ZINC000598466102 357593139 /nfs/dbraw/zinc/59/31/39/357593139.db2.gz XAYZOWPLGILJFY-WFASDCNBSA-N 1 2 307.357 1.851 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@H]1CCCC12CC2 ZINC000599229606 357856631 /nfs/dbraw/zinc/85/66/31/357856631.db2.gz GAKHGQGLOUQSPD-OAHLLOKOSA-N 1 2 305.422 1.641 20 30 DDEDLO CCC[C@](C)([NH2+]C[C@H](O)CC1(C#N)CCOCC1)C(=O)OC ZINC000599302991 357884449 /nfs/dbraw/zinc/88/44/49/357884449.db2.gz LIVRUTLVMLITCD-HIFRSBDPSA-N 1 2 312.410 1.379 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCOC[C@H]2CC(F)F)CCOCC1 ZINC000599416565 357927703 /nfs/dbraw/zinc/92/77/03/357927703.db2.gz FLAWTNWGUOQMLK-CHWSQXEVSA-N 1 2 318.364 1.414 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCOC[C@H]2CC(F)F)CCOCC1 ZINC000599416565 357927707 /nfs/dbraw/zinc/92/77/07/357927707.db2.gz FLAWTNWGUOQMLK-CHWSQXEVSA-N 1 2 318.364 1.414 20 30 DDEDLO COC(=O)c1ccc(C[N@H+](C)[C@H](C)C(=O)N(C)CCC#N)nc1 ZINC000599443803 357939014 /nfs/dbraw/zinc/93/90/14/357939014.db2.gz MDTDOYCTTVIILM-GFCCVEGCSA-N 1 2 318.377 1.061 20 30 DDEDLO COC(=O)c1ccc(C[N@@H+](C)[C@H](C)C(=O)N(C)CCC#N)nc1 ZINC000599443803 357939017 /nfs/dbraw/zinc/93/90/17/357939017.db2.gz MDTDOYCTTVIILM-GFCCVEGCSA-N 1 2 318.377 1.061 20 30 DDEDLO COC(=O)[C@@H]1CC[C@@H](C(=O)OC)[N@H+](Cc2cccc(C#N)c2)C1 ZINC000599587866 357984001 /nfs/dbraw/zinc/98/40/01/357984001.db2.gz UMWMAMWXMMAHBC-CABCVRRESA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@@H]1CC[C@@H](C(=O)OC)[N@@H+](Cc2cccc(C#N)c2)C1 ZINC000599587866 357984005 /nfs/dbraw/zinc/98/40/05/357984005.db2.gz UMWMAMWXMMAHBC-CABCVRRESA-N 1 2 316.357 1.485 20 30 DDEDLO N#CCCOCCN(CC[NH+]1CCOCC1)c1ccccc1F ZINC000599613194 357992920 /nfs/dbraw/zinc/99/29/20/357992920.db2.gz KACWHSBLVWBLCC-UHFFFAOYSA-N 1 2 321.396 1.895 20 30 DDEDLO Cn1cc(C[N@@H+]2CCN(C)C(=O)C2)c(-c2ccc(C#N)cc2)n1 ZINC000180167190 199125665 /nfs/dbraw/zinc/12/56/65/199125665.db2.gz QUMPGMXBOAROSO-UHFFFAOYSA-N 1 2 309.373 1.233 20 30 DDEDLO Cn1cc(C[N@H+]2CCN(C)C(=O)C2)c(-c2ccc(C#N)cc2)n1 ZINC000180167190 199125667 /nfs/dbraw/zinc/12/56/67/199125667.db2.gz QUMPGMXBOAROSO-UHFFFAOYSA-N 1 2 309.373 1.233 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccccc2CC#N)[C@@H](C)C1 ZINC000601414212 358541901 /nfs/dbraw/zinc/54/19/01/358541901.db2.gz SVMMDLOPAXUDOL-ZDUSSCGKSA-N 1 2 307.419 1.467 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccccc2CC#N)[C@@H](C)C1 ZINC000601414212 358541903 /nfs/dbraw/zinc/54/19/03/358541903.db2.gz SVMMDLOPAXUDOL-ZDUSSCGKSA-N 1 2 307.419 1.467 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccccc2CC#N)C[C@@H]1C ZINC000601382962 358527905 /nfs/dbraw/zinc/52/79/05/358527905.db2.gz KAGKGRVBBHZEPF-KBPBESRZSA-N 1 2 321.446 1.856 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccccc2CC#N)C[C@@H]1C ZINC000601382962 358527907 /nfs/dbraw/zinc/52/79/07/358527907.db2.gz KAGKGRVBBHZEPF-KBPBESRZSA-N 1 2 321.446 1.856 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)OC ZINC000601780907 358692521 /nfs/dbraw/zinc/69/25/21/358692521.db2.gz FEVYWPHRAOUPAQ-NWDGAFQWSA-N 1 2 300.424 1.048 20 30 DDEDLO COc1ccnc(N2CC[NH+](Cc3cccc(C#N)n3)CC2)n1 ZINC000602438926 358976759 /nfs/dbraw/zinc/97/67/59/358976759.db2.gz DBIWVZYUELWTFG-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO Cc1cn2cc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)nc2s1 ZINC000602334382 358935595 /nfs/dbraw/zinc/93/55/95/358935595.db2.gz QVIWHIYSACBFRP-CQSZACIVSA-N 1 2 305.407 1.207 20 30 DDEDLO C[C@H](C#N)C[N@H+](C)Cc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC000602447664 358982468 /nfs/dbraw/zinc/98/24/68/358982468.db2.gz ODXSAMVPHUQYQU-SECBINFHSA-N 1 2 301.799 1.579 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C)Cc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC000602447664 358982471 /nfs/dbraw/zinc/98/24/71/358982471.db2.gz ODXSAMVPHUQYQU-SECBINFHSA-N 1 2 301.799 1.579 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@H]2C(=O)N2CCOCC2)n1 ZINC000602458694 358991069 /nfs/dbraw/zinc/99/10/69/358991069.db2.gz IMXMWVRKTPTQBT-INIZCTEOSA-N 1 2 314.389 1.167 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@H]2C(=O)N2CCOCC2)n1 ZINC000602458694 358991076 /nfs/dbraw/zinc/99/10/76/358991076.db2.gz IMXMWVRKTPTQBT-INIZCTEOSA-N 1 2 314.389 1.167 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)n1 ZINC000602854705 359241006 /nfs/dbraw/zinc/24/10/06/359241006.db2.gz QKIORMJYIHLGCJ-BETUJISGSA-N 1 2 302.378 1.286 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)n1 ZINC000602854705 359241011 /nfs/dbraw/zinc/24/10/11/359241011.db2.gz QKIORMJYIHLGCJ-BETUJISGSA-N 1 2 302.378 1.286 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854329 359241243 /nfs/dbraw/zinc/24/12/43/359241243.db2.gz BFFAJWNPWDRCAJ-UGUYLWEFSA-N 1 2 306.454 1.599 20 30 DDEDLO C[C@@H]1C[C@H](C)CN(C(=O)C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)C1 ZINC000602856281 359242535 /nfs/dbraw/zinc/24/25/35/359242535.db2.gz JQQRCBCLZHKJMY-QKPAOTATSA-N 1 2 306.454 1.409 20 30 DDEDLO CC(C)(C#N)c1ccccc1C[NH+]1CCN(Cc2nc[nH]n2)CC1 ZINC000602861490 359246448 /nfs/dbraw/zinc/24/64/48/359246448.db2.gz APDKZPXTBGBJNS-UHFFFAOYSA-N 1 2 324.432 1.924 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@@H](Cn2ccnn2)C1 ZINC000602910402 359289658 /nfs/dbraw/zinc/28/96/58/359289658.db2.gz XZUHZIKSTQNGCT-OAHLLOKOSA-N 1 2 313.361 1.059 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@@H](Cn2ccnn2)C1 ZINC000602910402 359289663 /nfs/dbraw/zinc/28/96/63/359289663.db2.gz XZUHZIKSTQNGCT-OAHLLOKOSA-N 1 2 313.361 1.059 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NCc1nc(C#N)cs1)C(C)(C)CO ZINC000602882579 359266149 /nfs/dbraw/zinc/26/61/49/359266149.db2.gz NMHDXIAWYNMQGD-GFCCVEGCSA-N 1 2 305.407 1.598 20 30 DDEDLO Cn1cc(C2=CCC[N@@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C2)cn1 ZINC000602885217 359269578 /nfs/dbraw/zinc/26/95/78/359269578.db2.gz ZGAOYLUXUNJMNE-KRWDZBQOSA-N 1 2 321.384 1.607 20 30 DDEDLO Cn1cc(C2=CCC[N@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C2)cn1 ZINC000602885217 359269579 /nfs/dbraw/zinc/26/95/79/359269579.db2.gz ZGAOYLUXUNJMNE-KRWDZBQOSA-N 1 2 321.384 1.607 20 30 DDEDLO Cc1cc(C)c(NC(=O)N2CCN(C(=O)CC#N)CC2)c(C)[nH+]1 ZINC000603018408 359359923 /nfs/dbraw/zinc/35/99/23/359359923.db2.gz ZAZAEFUAUPTLDO-UHFFFAOYSA-N 1 2 315.377 1.597 20 30 DDEDLO CO[C@H]1C[N@H+](CC(=O)Nc2sccc2C#N)[C@](C)(CO)C1 ZINC000602965083 359329071 /nfs/dbraw/zinc/32/90/71/359329071.db2.gz YVDBSXYTQOAEQF-RISCZKNCSA-N 1 2 309.391 1.030 20 30 DDEDLO CO[C@H]1C[N@@H+](CC(=O)Nc2sccc2C#N)[C@](C)(CO)C1 ZINC000602965083 359329075 /nfs/dbraw/zinc/32/90/75/359329075.db2.gz YVDBSXYTQOAEQF-RISCZKNCSA-N 1 2 309.391 1.030 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@H+](C)[C@@H]2CCC[C@@H]2C#N)CCCCC1 ZINC000602980249 359336830 /nfs/dbraw/zinc/33/68/30/359336830.db2.gz NTNLJSDIICKNNB-ZIAGYGMSSA-N 1 2 321.421 1.603 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@@H+](C)[C@@H]2CCC[C@@H]2C#N)CCCCC1 ZINC000602980249 359336835 /nfs/dbraw/zinc/33/68/35/359336835.db2.gz NTNLJSDIICKNNB-ZIAGYGMSSA-N 1 2 321.421 1.603 20 30 DDEDLO C=CCOCCCNC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000618524843 363660075 /nfs/dbraw/zinc/66/00/75/363660075.db2.gz SATCCQWECYQFBF-UHFFFAOYSA-N 1 2 319.405 1.236 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1cc(F)cc(C#N)c1 ZINC000603079215 359391398 /nfs/dbraw/zinc/39/13/98/359391398.db2.gz SNAPYGOZZFZKCK-GFCCVEGCSA-N 1 2 319.384 1.455 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)n1cc(CC[NH+]2CCOCC2)nn1 ZINC000603237342 359505506 /nfs/dbraw/zinc/50/55/06/359505506.db2.gz WBCDCRDUQZGXNE-CQSZACIVSA-N 1 2 311.389 1.634 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(C(=O)CC(C)(C)C)CC1 ZINC000603555074 359658329 /nfs/dbraw/zinc/65/83/29/359658329.db2.gz XRGWQEUBFQJEDZ-CQSZACIVSA-N 1 2 322.453 1.327 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)NCc2ccccc2)CC1 ZINC000609485375 360312613 /nfs/dbraw/zinc/31/26/13/360312613.db2.gz OSZPUBOWMJURMJ-KRWDZBQOSA-N 1 2 314.433 1.469 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2cccc(F)c2[C@@H]1C ZINC000610004455 360371545 /nfs/dbraw/zinc/37/15/45/360371545.db2.gz LDRHYVLPXRZVAA-NSHDSACASA-N 1 2 305.353 1.757 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2cccc(F)c2[C@@H]1C ZINC000610004455 360371547 /nfs/dbraw/zinc/37/15/47/360371547.db2.gz LDRHYVLPXRZVAA-NSHDSACASA-N 1 2 305.353 1.757 20 30 DDEDLO O=C(N[C@H]1CC[S@@](=O)C1)NC1CCN(c2cccc[nH+]2)CC1 ZINC000330000522 223061296 /nfs/dbraw/zinc/06/12/96/223061296.db2.gz WOJJABWBIWVNJJ-XMHCIUCPSA-N 1 2 322.434 1.075 20 30 DDEDLO N#CCCN1CC[NH+](CCOc2ccccc2[N+](=O)[O-])CC1 ZINC000611175713 360649079 /nfs/dbraw/zinc/64/90/79/360649079.db2.gz LSFCLZDOOVUQTH-UHFFFAOYSA-N 1 2 304.350 1.505 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CCC[N@H+](Cc2cccc(C#N)c2F)C1 ZINC000611266340 360683688 /nfs/dbraw/zinc/68/36/88/360683688.db2.gz PFKRMVJNQRVPKO-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CCC[N@@H+](Cc2cccc(C#N)c2F)C1 ZINC000611266340 360683691 /nfs/dbraw/zinc/68/36/91/360683691.db2.gz PFKRMVJNQRVPKO-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC[C@H](OC(F)F)C2)cc1 ZINC000611578391 360766799 /nfs/dbraw/zinc/76/67/99/360766799.db2.gz CEDOBEOROGMVPH-QWHCGFSZSA-N 1 2 309.316 1.788 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC[C@H](OC(F)F)C2)cc1 ZINC000611578391 360766803 /nfs/dbraw/zinc/76/68/03/360766803.db2.gz CEDOBEOROGMVPH-QWHCGFSZSA-N 1 2 309.316 1.788 20 30 DDEDLO Cc1nn(C)c(C)c1C[NH+]=C([O-])N[C@H]1CCn2cc[nH+]c2C1 ZINC000330197444 223086467 /nfs/dbraw/zinc/08/64/67/223086467.db2.gz RTXGHFZAEYHNJR-LBPRGKRZSA-N 1 2 302.382 1.252 20 30 DDEDLO Cc1nn(C)c(C)c1CNC([O-])=[NH+][C@H]1CCn2cc[nH+]c2C1 ZINC000330197444 223086470 /nfs/dbraw/zinc/08/64/70/223086470.db2.gz RTXGHFZAEYHNJR-LBPRGKRZSA-N 1 2 302.382 1.252 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)N1CCC[C@@](C)(C#N)C1 ZINC000612100619 360919068 /nfs/dbraw/zinc/91/90/68/360919068.db2.gz FRSQLRZZHRKCHH-INIZCTEOSA-N 1 2 301.394 1.983 20 30 DDEDLO Cc1ccnc(N2CC[NH+]([C@@H](C)C(=O)NC3CC3)CC2)c1C#N ZINC000612070960 360909707 /nfs/dbraw/zinc/90/97/07/360909707.db2.gz HIJNNNDKCQRVFD-ZDUSSCGKSA-N 1 2 313.405 1.051 20 30 DDEDLO O=C(CN1CC[NH+]([C@H]2CCNC2=O)CC1)NCc1ccccc1 ZINC000330311628 223102515 /nfs/dbraw/zinc/10/25/15/223102515.db2.gz VWECRBVRNMQEKA-HNNXBMFYSA-N 1 2 316.405 1.490 20 30 DDEDLO CCn1nc(C)c(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)c1C ZINC000330452395 223118266 /nfs/dbraw/zinc/11/82/66/223118266.db2.gz KUQIBHFHWCCNNZ-UHFFFAOYSA-N 1 2 308.426 1.935 20 30 DDEDLO CCn1nc(C)c(C(=O)NCC[N@H+]2CCOC(C)(C)C2)c1C ZINC000330452395 223118269 /nfs/dbraw/zinc/11/82/69/223118269.db2.gz KUQIBHFHWCCNNZ-UHFFFAOYSA-N 1 2 308.426 1.935 20 30 DDEDLO COC(=O)[C@@H](CC(C)C)NC(=O)C[NH+]1CCC(C)(C#N)CC1 ZINC000356476075 291246945 /nfs/dbraw/zinc/24/69/45/291246945.db2.gz NHVNQAVUKQEZBH-CYBMUJFWSA-N 1 2 309.410 1.316 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCSC[C@H]2CCO)CCCCC1 ZINC000330976082 223160342 /nfs/dbraw/zinc/16/03/42/223160342.db2.gz OFZKBQULOVXXNR-CYBMUJFWSA-N 1 2 311.451 1.129 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCSC[C@H]2CCO)CCCCC1 ZINC000330976082 223160344 /nfs/dbraw/zinc/16/03/44/223160344.db2.gz OFZKBQULOVXXNR-CYBMUJFWSA-N 1 2 311.451 1.129 20 30 DDEDLO CCOc1nccc(C)c1C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000331208405 223193910 /nfs/dbraw/zinc/19/39/10/223193910.db2.gz FWANWIDAIKNLHR-ZDUSSCGKSA-N 1 2 307.394 1.814 20 30 DDEDLO CCOc1nccc(C)c1C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000331208405 223193913 /nfs/dbraw/zinc/19/39/13/223193913.db2.gz FWANWIDAIKNLHR-ZDUSSCGKSA-N 1 2 307.394 1.814 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCC[C@@H]1C#N)C(=O)N1CC(=O)Nc2ccccc21 ZINC000331750309 223208617 /nfs/dbraw/zinc/20/86/17/223208617.db2.gz ARUPDDCGDGCSNQ-XQQFMLRXSA-N 1 2 312.373 1.642 20 30 DDEDLO CNC(=O)[C@H]1CCCC[N@@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000194187183 201194169 /nfs/dbraw/zinc/19/41/69/201194169.db2.gz BWXXOSYZWIHJRB-CQSZACIVSA-N 1 2 300.362 1.097 20 30 DDEDLO CNC(=O)[C@H]1CCCC[N@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000194187183 201194172 /nfs/dbraw/zinc/19/41/72/201194172.db2.gz BWXXOSYZWIHJRB-CQSZACIVSA-N 1 2 300.362 1.097 20 30 DDEDLO COC(=O)C1CC[NH+](CC(=O)Nc2ccc(C#N)cc2)CC1 ZINC000006440796 181341202 /nfs/dbraw/zinc/34/12/02/181341202.db2.gz GWNCYUBYYKSVLK-UHFFFAOYSA-N 1 2 301.346 1.382 20 30 DDEDLO Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)N1CC(O)(CC#N)C1 ZINC000619444185 364012586 /nfs/dbraw/zinc/01/25/86/364012586.db2.gz WWUGEPPXEYXZCV-UHFFFAOYSA-N 1 2 320.299 1.110 20 30 DDEDLO CC(C)[NH+]1CCN(S(=O)(=O)c2ccccc2CC#N)CC1 ZINC000619572202 364065101 /nfs/dbraw/zinc/06/51/01/364065101.db2.gz RNPWERMBUPWEEW-UHFFFAOYSA-N 1 2 307.419 1.467 20 30 DDEDLO C=CC[N@H+](Cc1cccs1)Cc1cc(=O)n2nc(N)[nH]c2n1 ZINC000619969961 364220271 /nfs/dbraw/zinc/22/02/71/364220271.db2.gz QEQURBJEXBMAJN-UHFFFAOYSA-N 1 2 316.390 1.080 20 30 DDEDLO C=CC[N@@H+](Cc1cccs1)Cc1cc(=O)n2nc(N)[nH]c2n1 ZINC000619969961 364220280 /nfs/dbraw/zinc/22/02/80/364220280.db2.gz QEQURBJEXBMAJN-UHFFFAOYSA-N 1 2 316.390 1.080 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000620722119 364532706 /nfs/dbraw/zinc/53/27/06/364532706.db2.gz BCXOWTUORDVMIZ-KRWDZBQOSA-N 1 2 301.434 1.885 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000620722119 364532709 /nfs/dbraw/zinc/53/27/09/364532709.db2.gz BCXOWTUORDVMIZ-KRWDZBQOSA-N 1 2 301.434 1.885 20 30 DDEDLO N#CC1(F)CCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CC1 ZINC000345428969 223345522 /nfs/dbraw/zinc/34/55/22/223345522.db2.gz FWGUXQDZEYUFHB-ZDUSSCGKSA-N 1 2 317.368 1.698 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)[C@@H](C)C[NH+]2CCOCC2)c1 ZINC000091540643 193071111 /nfs/dbraw/zinc/07/11/11/193071111.db2.gz KXSRRGQGYIHCFQ-HNNXBMFYSA-N 1 2 315.417 1.259 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCc1nccs1 ZINC000346527823 223357931 /nfs/dbraw/zinc/35/79/31/223357931.db2.gz HXHWGIHRQPDKQL-UHFFFAOYSA-N 1 2 322.434 1.150 20 30 DDEDLO COC[C@H]([NH2+][C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)c1ccco1 ZINC000347614834 223373204 /nfs/dbraw/zinc/37/32/04/223373204.db2.gz IAJAQGAHQCDEKZ-XEZPLFJOSA-N 1 2 307.394 2.000 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)[C@H]1CCn2cc(C)[nH+]c2C1 ZINC000626832589 367786455 /nfs/dbraw/zinc/78/64/55/367786455.db2.gz OQPKLXNDOABXEK-DZKIICNBSA-N 1 2 303.406 1.851 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CC)[C@@H]1CCN(c2ccccc2)C1=O ZINC000264623042 204035536 /nfs/dbraw/zinc/03/55/36/204035536.db2.gz OJSQZJGNRSDCLB-OAHLLOKOSA-N 1 2 301.390 1.416 20 30 DDEDLO C=CCNC(=O)C[N@H+](CC)[C@@H]1CCN(c2ccccc2)C1=O ZINC000264623042 204035539 /nfs/dbraw/zinc/03/55/39/204035539.db2.gz OJSQZJGNRSDCLB-OAHLLOKOSA-N 1 2 301.390 1.416 20 30 DDEDLO N#CCOc1ccc(/C=C/C(=O)N[C@H]2CCc3[nH+]ccn3C2)cc1 ZINC000364499459 223564767 /nfs/dbraw/zinc/56/47/67/223564767.db2.gz DBJPNCAAMPZZGB-GZTQLTBSSA-N 1 2 322.368 1.930 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2ncc(C)s2)CC1 ZINC000267453718 206077792 /nfs/dbraw/zinc/07/77/92/206077792.db2.gz SDQFEESMNLTDOP-UHFFFAOYSA-N 1 2 320.462 1.774 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)Sc1[nH+]cc2ccccn21 ZINC000025348058 182410046 /nfs/dbraw/zinc/41/00/46/182410046.db2.gz PKYJDSSFLBINCS-JTQLQIEISA-N 1 2 304.375 1.827 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)NCc1cc(C#N)ccc1F ZINC000175111617 248392085 /nfs/dbraw/zinc/39/20/85/248392085.db2.gz XFXZEKGBXIZWMG-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1cc(C)nn1C)Cc1ccc(F)cc1 ZINC000062363747 184232934 /nfs/dbraw/zinc/23/29/34/184232934.db2.gz ZNAQNJVNHBPISJ-UHFFFAOYSA-N 1 2 314.364 1.942 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1cc(C)nn1C)Cc1ccc(F)cc1 ZINC000062363747 184232936 /nfs/dbraw/zinc/23/29/36/184232936.db2.gz ZNAQNJVNHBPISJ-UHFFFAOYSA-N 1 2 314.364 1.942 20 30 DDEDLO CC#CC[NH+]1CCN(CC(=O)Nc2ccccc2OC)CC1 ZINC000156581293 197125063 /nfs/dbraw/zinc/12/50/63/197125063.db2.gz CETIPAUOTKQUOS-UHFFFAOYSA-N 1 2 301.390 1.275 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(C)c2)[C@@H](C)C1 ZINC000285329718 285328266 /nfs/dbraw/zinc/32/82/66/285328266.db2.gz NSHWQEZLEDVSIV-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(C)c2)[C@@H](C)C1 ZINC000285329718 285328268 /nfs/dbraw/zinc/32/82/68/285328268.db2.gz NSHWQEZLEDVSIV-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO COc1cccc(NC(=O)CN2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c1 ZINC000329976746 533589787 /nfs/dbraw/zinc/58/97/87/533589787.db2.gz YYCPKVOPJDHWNM-CABCVRRESA-N 1 2 305.378 1.298 20 30 DDEDLO COc1cccc(NC(=O)CN2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c1 ZINC000329976746 533589795 /nfs/dbraw/zinc/58/97/95/533589795.db2.gz YYCPKVOPJDHWNM-CABCVRRESA-N 1 2 305.378 1.298 20 30 DDEDLO COc1cccc(NC(=O)C[N@H+]2C[C@@H]3[C@H](C2)OCCN3C)c1 ZINC000329976746 533589806 /nfs/dbraw/zinc/58/98/06/533589806.db2.gz YYCPKVOPJDHWNM-CABCVRRESA-N 1 2 305.378 1.298 20 30 DDEDLO COc1cccc(NC(=O)C[N@@H+]2C[C@@H]3[C@H](C2)OCCN3C)c1 ZINC000329976746 533589816 /nfs/dbraw/zinc/58/98/16/533589816.db2.gz YYCPKVOPJDHWNM-CABCVRRESA-N 1 2 305.378 1.298 20 30 DDEDLO COc1ccccc1C[N@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000011199655 406825026 /nfs/dbraw/zinc/82/50/26/406825026.db2.gz VBAVXTDDBADMNZ-KRWDZBQOSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccccc1C[N@@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000011199655 406825028 /nfs/dbraw/zinc/82/50/28/406825028.db2.gz VBAVXTDDBADMNZ-KRWDZBQOSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)N2CCC(C)CC2)cc1 ZINC000071965267 406870530 /nfs/dbraw/zinc/87/05/30/406870530.db2.gz PTSILROLVMAUQO-UHFFFAOYSA-N 1 2 305.378 1.591 20 30 DDEDLO C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)Cc1ccccc1[N+](=O)[O-] ZINC000026347558 406904791 /nfs/dbraw/zinc/90/47/91/406904791.db2.gz UVOFAUYQISJHLX-INIZCTEOSA-N 1 2 316.361 1.835 20 30 DDEDLO C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)Cc1ccccc1[N+](=O)[O-] ZINC000026347558 406904792 /nfs/dbraw/zinc/90/47/92/406904792.db2.gz UVOFAUYQISJHLX-INIZCTEOSA-N 1 2 316.361 1.835 20 30 DDEDLO Cc1ncsc1CCN(CCC#N)CC[NH+]1CCOCC1 ZINC000081515474 407078190 /nfs/dbraw/zinc/07/81/90/407078190.db2.gz DIHNVEOSERHNMA-UHFFFAOYSA-N 1 2 308.451 1.542 20 30 DDEDLO Cc1ncsc1CC[N@H+](CCC#N)CCN1CCOCC1 ZINC000081515474 407078192 /nfs/dbraw/zinc/07/81/92/407078192.db2.gz DIHNVEOSERHNMA-UHFFFAOYSA-N 1 2 308.451 1.542 20 30 DDEDLO Cc1ncsc1CC[N@@H+](CCC#N)CCN1CCOCC1 ZINC000081515474 407078197 /nfs/dbraw/zinc/07/81/97/407078197.db2.gz DIHNVEOSERHNMA-UHFFFAOYSA-N 1 2 308.451 1.542 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)N[C@H]2CCCC[C@@H]2C)cs1 ZINC000054391032 407183749 /nfs/dbraw/zinc/18/37/49/407183749.db2.gz AKCYEPRFXINMAZ-GWCFXTLKSA-N 1 2 324.450 1.978 20 30 DDEDLO C=CC[N@@H+](CCOc1cccc(F)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000066559803 407254501 /nfs/dbraw/zinc/25/45/01/407254501.db2.gz RICZFRRJGZAIAJ-CQSZACIVSA-N 1 2 313.394 1.880 20 30 DDEDLO C=CC[N@H+](CCOc1cccc(F)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000066559803 407254503 /nfs/dbraw/zinc/25/45/03/407254503.db2.gz RICZFRRJGZAIAJ-CQSZACIVSA-N 1 2 313.394 1.880 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCO[C@@H](CC(=O)OC)C2)cc1 ZINC000093458558 407198035 /nfs/dbraw/zinc/19/80/35/407198035.db2.gz BTKCOYFHFMNMJD-INIZCTEOSA-N 1 2 303.358 1.463 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCO[C@@H](CC(=O)OC)C2)cc1 ZINC000093458558 407198037 /nfs/dbraw/zinc/19/80/37/407198037.db2.gz BTKCOYFHFMNMJD-INIZCTEOSA-N 1 2 303.358 1.463 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1ccccc1F ZINC000060634258 407226279 /nfs/dbraw/zinc/22/62/79/407226279.db2.gz QSIXDYJSVJYDOD-UHFFFAOYSA-N 1 2 305.353 1.514 20 30 DDEDLO N#CCCC[NH+]1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000097474190 407298443 /nfs/dbraw/zinc/29/84/43/407298443.db2.gz VVQZRMZNTDCNHF-UHFFFAOYSA-N 1 2 305.353 1.652 20 30 DDEDLO C[C@@H](C[S@@](C)=O)[N@H+](C)CC(=O)N(CCC#N)c1ccccc1 ZINC000126125604 407405406 /nfs/dbraw/zinc/40/54/06/407405406.db2.gz OHNOLDWTFHOKGJ-FPTDNZKUSA-N 1 2 321.446 1.632 20 30 DDEDLO C[C@@H](C[S@@](C)=O)[N@@H+](C)CC(=O)N(CCC#N)c1ccccc1 ZINC000126125604 407405408 /nfs/dbraw/zinc/40/54/08/407405408.db2.gz OHNOLDWTFHOKGJ-FPTDNZKUSA-N 1 2 321.446 1.632 20 30 DDEDLO C#CCCC[NH+]1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000271007973 407467835 /nfs/dbraw/zinc/46/78/35/407467835.db2.gz HEYUJSRJRJCNRL-UHFFFAOYSA-N 1 2 322.430 1.415 20 30 DDEDLO N#CCN1CCN(C(=O)/C=C\c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000255341189 407473396 /nfs/dbraw/zinc/47/33/96/407473396.db2.gz TYMDRKHVBIVRHQ-UTCJRWHESA-N 1 2 321.384 1.553 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(c2nc(C)ccc2C#N)CC1 ZINC000127690150 407454517 /nfs/dbraw/zinc/45/45/17/407454517.db2.gz LCAPXXDSJBHDCZ-CYBMUJFWSA-N 1 2 315.421 1.298 20 30 DDEDLO C#CCOc1ccccc1C[NH+]1CCN(C(C)(C)C(N)=O)CC1 ZINC000271206097 407574046 /nfs/dbraw/zinc/57/40/46/407574046.db2.gz ZTCWVONYBXEVJP-UHFFFAOYSA-N 1 2 315.417 1.080 20 30 DDEDLO C#CCOc1ccccc1CN1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000271206097 407574052 /nfs/dbraw/zinc/57/40/52/407574052.db2.gz ZTCWVONYBXEVJP-UHFFFAOYSA-N 1 2 315.417 1.080 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1C[C@@H](C)[N@H+](C)[C@@H](C)C1 ZINC000219271457 407529220 /nfs/dbraw/zinc/52/92/20/407529220.db2.gz SFYVQGVCGHWNGW-BETUJISGSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)[C@@H](C)C1 ZINC000219271457 407529226 /nfs/dbraw/zinc/52/92/26/407529226.db2.gz SFYVQGVCGHWNGW-BETUJISGSA-N 1 2 307.419 1.580 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[C@@H]2CCCC[C@@H]2[N@@H+]1CC(=O)NCC#N ZINC000185945993 407548213 /nfs/dbraw/zinc/54/82/13/407548213.db2.gz IEEFIANPRMWJHT-IHRRRGAJSA-N 1 2 321.421 1.601 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[C@@H]2CCCC[C@@H]2[N@H+]1CC(=O)NCC#N ZINC000185945993 407548216 /nfs/dbraw/zinc/54/82/16/407548216.db2.gz IEEFIANPRMWJHT-IHRRRGAJSA-N 1 2 321.421 1.601 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccccc3)CC2)cn1 ZINC000114995213 407649132 /nfs/dbraw/zinc/64/91/32/407649132.db2.gz OEMSGXPDAPPRRU-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccc[nH+]c2N2CCOCC2)cn1 ZINC000115019280 407650660 /nfs/dbraw/zinc/65/06/60/407650660.db2.gz GNMQFQYDSVFTOG-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO CO/N=C(/C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)c1ccco1 ZINC000179166273 407739749 /nfs/dbraw/zinc/73/97/49/407739749.db2.gz GAGVOHWPOWOTTH-OGAOFSLMSA-N 1 2 314.345 1.420 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000153200278 407775578 /nfs/dbraw/zinc/77/55/78/407775578.db2.gz ZLNUFVGVTMSKGE-LBPRGKRZSA-N 1 2 316.361 1.713 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000153200278 407775586 /nfs/dbraw/zinc/77/55/86/407775586.db2.gz ZLNUFVGVTMSKGE-LBPRGKRZSA-N 1 2 316.361 1.713 20 30 DDEDLO C=CCN(C)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000153630733 407866836 /nfs/dbraw/zinc/86/68/36/407866836.db2.gz CWRORSNTQCVPTK-INIZCTEOSA-N 1 2 303.406 1.887 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000245401880 407963161 /nfs/dbraw/zinc/96/31/61/407963161.db2.gz GCJYAAKONRKPMQ-GXTWGEPZSA-N 1 2 315.373 1.770 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000245401880 407963164 /nfs/dbraw/zinc/96/31/64/407963164.db2.gz GCJYAAKONRKPMQ-GXTWGEPZSA-N 1 2 315.373 1.770 20 30 DDEDLO COc1ccc(C[N@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)cc1C#N ZINC000135186762 407989580 /nfs/dbraw/zinc/98/95/80/407989580.db2.gz MZOINSFZMWSNTE-HNNXBMFYSA-N 1 2 320.414 1.718 20 30 DDEDLO COc1ccc(C[N@@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)cc1C#N ZINC000135186762 407989587 /nfs/dbraw/zinc/98/95/87/407989587.db2.gz MZOINSFZMWSNTE-HNNXBMFYSA-N 1 2 320.414 1.718 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+](C)[C@H](C)[C@H](C)S(C)(=O)=O ZINC000154303323 408014242 /nfs/dbraw/zinc/01/42/42/408014242.db2.gz DNVAMNNXUPTBRO-NEPJUHHUSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+](C)[C@H](C)[C@H](C)S(C)(=O)=O ZINC000154303323 408014247 /nfs/dbraw/zinc/01/42/47/408014247.db2.gz DNVAMNNXUPTBRO-NEPJUHHUSA-N 1 2 310.419 1.820 20 30 DDEDLO NC(CCO)=[NH+]OCC(=O)Nc1ccc(Br)cc1 ZINC000136072769 408074232 /nfs/dbraw/zinc/07/42/32/408074232.db2.gz UIKSSIGNDBIRTE-UHFFFAOYSA-N 1 2 316.155 1.059 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+](CCO)Cc1cccnc1 ZINC000268621508 408124018 /nfs/dbraw/zinc/12/40/18/408124018.db2.gz AHGLHIIQSBRDMB-CQSZACIVSA-N 1 2 324.384 1.775 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+](CCO)Cc1cccnc1 ZINC000268621508 408124022 /nfs/dbraw/zinc/12/40/22/408124022.db2.gz AHGLHIIQSBRDMB-CQSZACIVSA-N 1 2 324.384 1.775 20 30 DDEDLO Cc1ccnc(Nc2cnn(CC[NH+]3CCOCC3)c2)c1C#N ZINC000273424260 408194372 /nfs/dbraw/zinc/19/43/72/408194372.db2.gz ZIOUCWFIZYKROY-UHFFFAOYSA-N 1 2 312.377 1.534 20 30 DDEDLO N#Cc1ccc([C@@H](O)CN2CC[NH+](Cc3ccccn3)CC2)cc1 ZINC000268636025 408131442 /nfs/dbraw/zinc/13/14/42/408131442.db2.gz RJMLJLCQRXYDCW-IBGZPJMESA-N 1 2 322.412 1.804 20 30 DDEDLO C=CC[C@@H](CO)Nc1nc2cc(OC)c(OC)cc2c(N)[nH+]1 ZINC000273346494 408163755 /nfs/dbraw/zinc/16/37/55/408163755.db2.gz ULXYYVGWKRPIKU-VIFPVBQESA-N 1 2 304.350 1.578 20 30 DDEDLO N#Cc1nccnc1NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000263937055 408320719 /nfs/dbraw/zinc/32/07/19/408320719.db2.gz XLQBSBYHALJWOU-OAHLLOKOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1nccnc1NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000263937055 408320723 /nfs/dbraw/zinc/32/07/23/408320723.db2.gz XLQBSBYHALJWOU-OAHLLOKOSA-N 1 2 309.373 1.661 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCC[N@H+](CCOc2ccccc2C#N)C1 ZINC000274242933 408336812 /nfs/dbraw/zinc/33/68/12/408336812.db2.gz YBDFLYMYTVAUIS-CQSZACIVSA-N 1 2 308.403 1.446 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCC[N@@H+](CCOc2ccccc2C#N)C1 ZINC000274242933 408336815 /nfs/dbraw/zinc/33/68/15/408336815.db2.gz YBDFLYMYTVAUIS-CQSZACIVSA-N 1 2 308.403 1.446 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)[C@@H]2C1 ZINC000247115890 408412761 /nfs/dbraw/zinc/41/27/61/408412761.db2.gz WRDINWMIMMTQEL-PNBKFKSVSA-N 1 2 323.437 1.309 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)[C@@H]2C1 ZINC000247115890 408412768 /nfs/dbraw/zinc/41/27/68/408412768.db2.gz WRDINWMIMMTQEL-PNBKFKSVSA-N 1 2 323.437 1.309 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)N1CCN(c2ccccc2C#N)CC1 ZINC000264225940 408413041 /nfs/dbraw/zinc/41/30/41/408413041.db2.gz UXSKFCMRYJKWJA-UHFFFAOYSA-N 1 2 324.388 1.324 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1CC#Cc1ccccc1 ZINC000192068682 408538417 /nfs/dbraw/zinc/53/84/17/408538417.db2.gz CYQBZSTVVLOXMG-INIZCTEOSA-N 1 2 317.396 1.910 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1CC#Cc1ccccc1 ZINC000192068682 408538422 /nfs/dbraw/zinc/53/84/22/408538422.db2.gz CYQBZSTVVLOXMG-INIZCTEOSA-N 1 2 317.396 1.910 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@H]1C[C@H]1c1ccco1 ZINC000162517070 408709953 /nfs/dbraw/zinc/70/99/53/408709953.db2.gz AHQSCMLBBMYTRT-CABCVRRESA-N 1 2 317.389 1.458 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000185283685 408791420 /nfs/dbraw/zinc/79/14/20/408791420.db2.gz OVJCMYOGLRABPT-ZBFHGGJFSA-N 1 2 312.479 1.913 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C(=O)N(C)C)c2ccccc2)C1=O ZINC000281185897 408874537 /nfs/dbraw/zinc/87/45/37/408874537.db2.gz BFIGXDAIGQIPBY-HZPDHXFCSA-N 1 2 315.417 1.535 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C(=O)N(C)C)c2ccccc2)C1=O ZINC000281185897 408874539 /nfs/dbraw/zinc/87/45/39/408874539.db2.gz BFIGXDAIGQIPBY-HZPDHXFCSA-N 1 2 315.417 1.535 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NCc2ccc(C)cc2)C1=O ZINC000281323683 408881087 /nfs/dbraw/zinc/88/10/87/408881087.db2.gz LLWDPFOAISFUDC-INIZCTEOSA-N 1 2 315.417 1.330 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NCc2ccc(C)cc2)C1=O ZINC000281323683 408881089 /nfs/dbraw/zinc/88/10/89/408881089.db2.gz LLWDPFOAISFUDC-INIZCTEOSA-N 1 2 315.417 1.330 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc([N+](=O)[O-])cc2F)C1=O ZINC000281375985 408884034 /nfs/dbraw/zinc/88/40/34/408884034.db2.gz VXHQOSZXZXJKIS-CQSZACIVSA-N 1 2 307.325 1.953 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc([N+](=O)[O-])cc2F)C1=O ZINC000281375985 408884037 /nfs/dbraw/zinc/88/40/37/408884037.db2.gz VXHQOSZXZXJKIS-CQSZACIVSA-N 1 2 307.325 1.953 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCn2cc[nH+]c2)c(Cl)c1 ZINC000169266328 408820022 /nfs/dbraw/zinc/82/00/22/408820022.db2.gz UKZSGAATQLBDHV-UHFFFAOYSA-N 1 2 310.766 1.387 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000281420353 408884627 /nfs/dbraw/zinc/88/46/27/408884627.db2.gz BDEYGFDKANONJE-JTQLQIEISA-N 1 2 309.316 1.676 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NCc2cccc(C)c2)C1=O ZINC000281414636 408885438 /nfs/dbraw/zinc/88/54/38/408885438.db2.gz RKIBOTKSANQRSV-INIZCTEOSA-N 1 2 315.417 1.330 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NCc2cccc(C)c2)C1=O ZINC000281414636 408885440 /nfs/dbraw/zinc/88/54/40/408885440.db2.gz RKIBOTKSANQRSV-INIZCTEOSA-N 1 2 315.417 1.330 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCCC[C@H]1c1ccnn1C ZINC000281514538 408888408 /nfs/dbraw/zinc/88/84/08/408888408.db2.gz VDXALNVVRJZJJW-HOCLYGCPSA-N 1 2 317.437 1.955 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCCC[C@H]1c1ccnn1C ZINC000281514538 408888411 /nfs/dbraw/zinc/88/84/11/408888411.db2.gz VDXALNVVRJZJJW-HOCLYGCPSA-N 1 2 317.437 1.955 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3ccccc3O)CC2)C1=O ZINC000282061030 408982150 /nfs/dbraw/zinc/98/21/50/408982150.db2.gz SDTFCJWSJBIDSQ-OAHLLOKOSA-N 1 2 301.390 1.301 20 30 DDEDLO C#CCN(C)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000292523267 409035639 /nfs/dbraw/zinc/03/56/39/409035639.db2.gz SBLRSVYACZHLQA-ZDUSSCGKSA-N 1 2 302.378 1.081 20 30 DDEDLO C#CCOc1ccccc1C[NH+]1CCC(CS(C)(=O)=O)CC1 ZINC000292865264 409045227 /nfs/dbraw/zinc/04/52/27/409045227.db2.gz VSONQIHZNJANPX-UHFFFAOYSA-N 1 2 321.442 1.955 20 30 DDEDLO CC(C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)CC1 ZINC000293149377 409059220 /nfs/dbraw/zinc/05/92/20/409059220.db2.gz WYTCLHLQBLYXNK-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO CCOC1CC(CNc2cccc(C#N)n2)([NH+]2CCOCC2)C1 ZINC000278080551 409061678 /nfs/dbraw/zinc/06/16/78/409061678.db2.gz UQCOQEISRKFBGG-UHFFFAOYSA-N 1 2 316.405 1.635 20 30 DDEDLO N#Cc1ccnc(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)c1 ZINC000283126106 409092894 /nfs/dbraw/zinc/09/28/94/409092894.db2.gz ZFNSEVXNRFDMPX-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO C=CCN1CC[C@H](N(C)c2cc(N3CCCC3)[nH+]cn2)C1=O ZINC000287856025 409105082 /nfs/dbraw/zinc/10/50/82/409105082.db2.gz SFSHGUDAFLENNL-ZDUSSCGKSA-N 1 2 301.394 1.300 20 30 DDEDLO C=CCN1CC[C@H](N(C)c2cc(N3CCCC3)nc[nH+]2)C1=O ZINC000287856025 409105085 /nfs/dbraw/zinc/10/50/85/409105085.db2.gz SFSHGUDAFLENNL-ZDUSSCGKSA-N 1 2 301.394 1.300 20 30 DDEDLO N#C[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)CCN1Cc1ccccc1 ZINC000279311124 409189878 /nfs/dbraw/zinc/18/98/78/409189878.db2.gz ATCFCSDCJKXCSC-MRXNPFEDSA-N 1 2 323.400 1.579 20 30 DDEDLO N#CCC(=O)N1CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000288156767 409155208 /nfs/dbraw/zinc/15/52/08/409155208.db2.gz OYZCAOLPCGCZAO-UHFFFAOYSA-N 1 2 323.356 1.070 20 30 DDEDLO Cc1nsc(N2CC[NH+](CCOCCC#N)CC2)c1C#N ZINC000293719156 409168118 /nfs/dbraw/zinc/16/81/18/409168118.db2.gz YICBIHRTSQKBKL-UHFFFAOYSA-N 1 2 305.407 1.375 20 30 DDEDLO Cc1nsc(N2CC[NH+](CCn3cccn3)CC2)c1C#N ZINC000288802379 409181398 /nfs/dbraw/zinc/18/13/98/409181398.db2.gz GUQIXLVYYXQVRC-UHFFFAOYSA-N 1 2 302.407 1.342 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@H](CO)C[C@@H]2C)c(C#N)c1C ZINC000289121858 409221863 /nfs/dbraw/zinc/22/18/63/409221863.db2.gz WZMABWLHUSJHKW-GWCFXTLKSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@H](CO)C[C@@H]2C)c(C#N)c1C ZINC000289121858 409221867 /nfs/dbraw/zinc/22/18/67/409221867.db2.gz WZMABWLHUSJHKW-GWCFXTLKSA-N 1 2 305.378 1.799 20 30 DDEDLO NC(=[NH+]OCC(=O)N1CCCc2ccccc21)[C@H]1CCCO1 ZINC000283886579 409233727 /nfs/dbraw/zinc/23/37/27/409233727.db2.gz CVFOKUJEQNOQGH-CQSZACIVSA-N 1 2 303.362 1.434 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)NCCc1cn2ccccc2[nH+]1 ZINC000284242948 409302412 /nfs/dbraw/zinc/30/24/12/409302412.db2.gz FVAJBHZXYNTQKU-UHFFFAOYSA-N 1 2 314.389 1.418 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000290117251 409304252 /nfs/dbraw/zinc/30/42/52/409304252.db2.gz YHIPECLXFSDFGE-GOSISDBHSA-N 1 2 313.401 1.530 20 30 DDEDLO CC(=O)c1cccc(NC(=O)CO[NH+]=C(N)[C@H]2CCCO2)c1 ZINC000284451215 409342198 /nfs/dbraw/zinc/34/21/98/409342198.db2.gz QAANPYOKHZVRGQ-CYBMUJFWSA-N 1 2 305.334 1.296 20 30 DDEDLO NC(=[NH+]OCC(=O)N1CCc2sccc2C1)[C@H]1CCCO1 ZINC000284570162 409351416 /nfs/dbraw/zinc/35/14/16/409351416.db2.gz WWACPTUODIRQQU-LLVKDONJSA-N 1 2 309.391 1.101 20 30 DDEDLO CCn1nc(C)c(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000296213484 409465654 /nfs/dbraw/zinc/46/56/54/409465654.db2.gz ZWNYWKIXJBDUQE-CQSZACIVSA-N 1 2 312.377 1.526 20 30 DDEDLO CCn1nc(C)c(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000296213484 409465659 /nfs/dbraw/zinc/46/56/59/409465659.db2.gz ZWNYWKIXJBDUQE-CQSZACIVSA-N 1 2 312.377 1.526 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2C[C@@H](C)[N@@H+](C)[C@@H](C)C2)cc1C#N ZINC000408169600 164250347 /nfs/dbraw/zinc/25/03/47/164250347.db2.gz RMRBXYCNTOGHIO-AOOOYVTPSA-N 1 2 320.418 1.545 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2C[C@@H](C)[N@H+](C)[C@@H](C)C2)cc1C#N ZINC000408169600 164250348 /nfs/dbraw/zinc/25/03/48/164250348.db2.gz RMRBXYCNTOGHIO-AOOOYVTPSA-N 1 2 320.418 1.545 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2ccc(C#N)c(Cl)c2)CC(=O)N1 ZINC000296278132 409488054 /nfs/dbraw/zinc/48/80/54/409488054.db2.gz QVWUAHFCJBKPKL-SNVBAGLBSA-N 1 2 320.780 1.361 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2ccc(C#N)c(Cl)c2)CC(=O)N1 ZINC000296278132 409488058 /nfs/dbraw/zinc/48/80/58/409488058.db2.gz QVWUAHFCJBKPKL-SNVBAGLBSA-N 1 2 320.780 1.361 20 30 DDEDLO C[N@H+](Cc1cc(C#N)cs1)Cc1ccccc1S(N)(=O)=O ZINC000353910129 409558642 /nfs/dbraw/zinc/55/86/42/409558642.db2.gz FMSFUKHVVAOCJG-UHFFFAOYSA-N 1 2 321.427 1.899 20 30 DDEDLO C[N@@H+](Cc1cc(C#N)cs1)Cc1ccccc1S(N)(=O)=O ZINC000353910129 409558644 /nfs/dbraw/zinc/55/86/44/409558644.db2.gz FMSFUKHVVAOCJG-UHFFFAOYSA-N 1 2 321.427 1.899 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2cscc2C#N)C[C@@H]1C ZINC000345225975 409668086 /nfs/dbraw/zinc/66/80/86/409668086.db2.gz VMXACJUXLPTZCG-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2cscc2C#N)C[C@@H]1C ZINC000345225975 409668097 /nfs/dbraw/zinc/66/80/97/409668097.db2.gz VMXACJUXLPTZCG-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)c2cc(C#N)cs2)CC1 ZINC000332087248 409865970 /nfs/dbraw/zinc/86/59/70/409865970.db2.gz IKXYONLOCKAGEO-UHFFFAOYSA-N 1 2 315.402 1.311 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+](CCO)Cc1cccnc1 ZINC000357314439 409968606 /nfs/dbraw/zinc/96/86/06/409968606.db2.gz XYMCFHJBSHWVIM-PBHICJAKSA-N 1 2 318.421 1.319 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+](CCO)Cc1cccnc1 ZINC000357314439 409968615 /nfs/dbraw/zinc/96/86/15/409968615.db2.gz XYMCFHJBSHWVIM-PBHICJAKSA-N 1 2 318.421 1.319 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)N[C@H]1CCCCNC1=O ZINC000328832336 409989225 /nfs/dbraw/zinc/98/92/25/409989225.db2.gz ROCKVOITLCZEAX-ZDUSSCGKSA-N 1 2 319.409 1.775 20 30 DDEDLO C[C@@H]1CN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)C[C@@H](C(N)=O)O1 ZINC000328603386 409933615 /nfs/dbraw/zinc/93/36/15/409933615.db2.gz HRRDTKUEPBGZDV-WOPDTQHZSA-N 1 2 321.381 1.299 20 30 DDEDLO CC[C@H](C)[N@H+](CC(=O)OC)Cc1cnc2ccc(C#N)cn12 ZINC000342854958 409947883 /nfs/dbraw/zinc/94/78/83/409947883.db2.gz HGZMABHURDKDDG-LBPRGKRZSA-N 1 2 300.362 1.979 20 30 DDEDLO CC[C@H](C)[N@@H+](CC(=O)OC)Cc1cnc2ccc(C#N)cn12 ZINC000342854958 409947886 /nfs/dbraw/zinc/94/78/86/409947886.db2.gz HGZMABHURDKDDG-LBPRGKRZSA-N 1 2 300.362 1.979 20 30 DDEDLO CC[C@H](C)N(CC(=O)OC)Cc1c[nH+]c2ccc(C#N)cn12 ZINC000342854958 409947892 /nfs/dbraw/zinc/94/78/92/409947892.db2.gz HGZMABHURDKDDG-LBPRGKRZSA-N 1 2 300.362 1.979 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)N[C@@H]1CCO[C@H]1C1CC1 ZINC000328887153 410001687 /nfs/dbraw/zinc/00/16/87/410001687.db2.gz OKCIJIZWSXPPGK-FMKPAKJESA-N 1 2 316.405 1.731 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)N[C@@H]3CCO[C@H](C4CC4)C3)C[C@H]21 ZINC000328888493 410003628 /nfs/dbraw/zinc/00/36/28/410003628.db2.gz QDOONMPGEKCGBE-FPCVCCKLSA-N 1 2 323.437 1.263 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)N[C@@H]3CCO[C@H](C4CC4)C3)C[C@H]21 ZINC000328888493 410003633 /nfs/dbraw/zinc/00/36/33/410003633.db2.gz QDOONMPGEKCGBE-FPCVCCKLSA-N 1 2 323.437 1.263 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](C(=O)OC)[C@H]1C ZINC000346675621 410073595 /nfs/dbraw/zinc/07/35/95/410073595.db2.gz WOFLHJIDAQYNIO-KCPJHIHWSA-N 1 2 316.357 1.656 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](C(=O)OC)[C@H]1C ZINC000346675621 410073602 /nfs/dbraw/zinc/07/36/02/410073602.db2.gz WOFLHJIDAQYNIO-KCPJHIHWSA-N 1 2 316.357 1.656 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)N2CCC(c3nccs3)CC2)C1 ZINC000329109865 410107397 /nfs/dbraw/zinc/10/73/97/410107397.db2.gz JBMQSLVTQOFBEH-ZDUSSCGKSA-N 1 2 324.450 1.567 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)N2CCC(c3nccs3)CC2)C1 ZINC000329109865 410107400 /nfs/dbraw/zinc/10/74/00/410107400.db2.gz JBMQSLVTQOFBEH-ZDUSSCGKSA-N 1 2 324.450 1.567 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)N2CC[NH+](Cc3cnn(C)c3)CC2)CCO1 ZINC000329119195 410112266 /nfs/dbraw/zinc/11/22/66/410112266.db2.gz VGTJITOFHXEZPS-HIFRSBDPSA-N 1 2 321.425 1.019 20 30 DDEDLO N#CCCOCC[NH+]1CCN(c2ncccc2[N+](=O)[O-])CC1 ZINC000298159951 410122978 /nfs/dbraw/zinc/12/29/78/410122978.db2.gz SKGBVVAPRHNHPI-UHFFFAOYSA-N 1 2 305.338 1.042 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NC[C@H]1CCCOC1 ZINC000329267128 410203148 /nfs/dbraw/zinc/20/31/48/410203148.db2.gz HRHVKUJNRIXTPZ-KFWWJZLASA-N 1 2 311.426 1.122 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)NCC1CCSCC1)[NH+]1CCOCC1 ZINC000329382349 410268770 /nfs/dbraw/zinc/26/87/70/410268770.db2.gz OJGUPLBSCDLJIB-QWHCGFSZSA-N 1 2 315.483 1.742 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCN2CCOC[C@H]2C1 ZINC000329403238 410278792 /nfs/dbraw/zinc/27/87/92/410278792.db2.gz CBGKFLHJDDCTPH-XJKSGUPXSA-N 1 2 314.389 1.551 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCN2CCOC[C@H]2C1 ZINC000329403238 410278802 /nfs/dbraw/zinc/27/88/02/410278802.db2.gz CBGKFLHJDDCTPH-XJKSGUPXSA-N 1 2 314.389 1.551 20 30 DDEDLO O=C(C[N@@H+]1CCN2C(=O)NC(=O)[C@H]2C1)NC1CCCCCC1 ZINC000329315475 410230188 /nfs/dbraw/zinc/23/01/88/410230188.db2.gz AZOXPBDZQRPRMP-GFCCVEGCSA-N 1 2 308.382 1.742 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)NC(=O)[C@H]2C1)NC1CCCCCC1 ZINC000329315475 410230193 /nfs/dbraw/zinc/23/01/93/410230193.db2.gz AZOXPBDZQRPRMP-GFCCVEGCSA-N 1 2 308.382 1.742 20 30 DDEDLO N#Cc1ccc(N2CC[NH+]([C@H](C(N)=O)c3ccccc3)CC2)cc1 ZINC000298443320 410231837 /nfs/dbraw/zinc/23/18/37/410231837.db2.gz ROIFZCKSYCXEDO-SFHVURJKSA-N 1 2 320.396 1.907 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@H+]1CCC[C@H](CO)C1 ZINC000298665353 410337537 /nfs/dbraw/zinc/33/75/37/410337537.db2.gz MCBHSOWIXZNRAB-NSHDSACASA-N 1 2 307.781 1.854 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1CCC[C@H](CO)C1 ZINC000298665353 410337541 /nfs/dbraw/zinc/33/75/41/410337541.db2.gz MCBHSOWIXZNRAB-NSHDSACASA-N 1 2 307.781 1.854 20 30 DDEDLO CS(=O)(=O)C1(C(=O)NC[C@@H]2CCCC[N@@H+]2C2CC2)CC1 ZINC000329418760 410290473 /nfs/dbraw/zinc/29/04/73/410290473.db2.gz GSVROMZCKVQRRT-LBPRGKRZSA-N 1 2 300.424 1.537 20 30 DDEDLO CS(=O)(=O)C1(C(=O)NC[C@@H]2CCCC[N@H+]2C2CC2)CC1 ZINC000329418760 410290480 /nfs/dbraw/zinc/29/04/80/410290480.db2.gz GSVROMZCKVQRRT-LBPRGKRZSA-N 1 2 300.424 1.537 20 30 DDEDLO C=C(C)CC[NH+]1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000351873621 410310846 /nfs/dbraw/zinc/31/08/46/410310846.db2.gz HWBAUUQZZJGZQD-UHFFFAOYSA-N 1 2 315.464 1.724 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000355180389 410364364 /nfs/dbraw/zinc/36/43/64/410364364.db2.gz APVGZEYOJPVCKA-KBXCAEBGSA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000355180389 410364370 /nfs/dbraw/zinc/36/43/70/410364370.db2.gz APVGZEYOJPVCKA-KBXCAEBGSA-N 1 2 321.384 1.574 20 30 DDEDLO CC[N@H+](CC(=O)[C@H](C#N)c1nc2ccccc2c(=O)[nH]1)C1CC1 ZINC000298756375 410386375 /nfs/dbraw/zinc/38/63/75/410386375.db2.gz VYKJGSCAZAULEL-ZDUSSCGKSA-N 1 2 310.357 1.996 20 30 DDEDLO CC[N@@H+](CC(=O)[C@H](C#N)c1nc2ccccc2c(=O)[nH]1)C1CC1 ZINC000298756375 410386378 /nfs/dbraw/zinc/38/63/78/410386378.db2.gz VYKJGSCAZAULEL-ZDUSSCGKSA-N 1 2 310.357 1.996 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[NH+]2CCC(CO)CC2)c(C#N)c1C ZINC000298790092 410401050 /nfs/dbraw/zinc/40/10/50/410401050.db2.gz YKYBXNJVXLOOGC-LLVKDONJSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCCN(C(=O)[C@H](C)C#N)CC2)no1 ZINC000358224382 410410179 /nfs/dbraw/zinc/41/01/79/410410179.db2.gz PLDJNAMXYKHWDY-DGCLKSJQSA-N 1 2 305.382 1.351 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCCN(C(=O)[C@H](C)C#N)CC2)no1 ZINC000358224382 410410184 /nfs/dbraw/zinc/41/01/84/410410184.db2.gz PLDJNAMXYKHWDY-DGCLKSJQSA-N 1 2 305.382 1.351 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCCO1)[N@@H+]1CCO[C@]2(CCO[C@@H]2C)C1 ZINC000329922127 410487307 /nfs/dbraw/zinc/48/73/07/410487307.db2.gz SYLSTFNWURCNEI-HGTKMLMNSA-N 1 2 312.410 1.390 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCCO1)[N@H+]1CCO[C@]2(CCO[C@@H]2C)C1 ZINC000329922127 410487314 /nfs/dbraw/zinc/48/73/14/410487314.db2.gz SYLSTFNWURCNEI-HGTKMLMNSA-N 1 2 312.410 1.390 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+]([C@H]2CCCNC2=O)CC1 ZINC000330141554 410559827 /nfs/dbraw/zinc/55/98/27/410559827.db2.gz XQTRKIHPSFJTKL-HNNXBMFYSA-N 1 2 302.353 1.938 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)NC(=O)NCc2ccccc2)CC1 ZINC000352484697 410660504 /nfs/dbraw/zinc/66/05/04/410660504.db2.gz SGEQTXWCQNOSTH-UHFFFAOYSA-N 1 2 318.352 1.340 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCO[C@]2(CCCOC2)C1 ZINC000352370633 410652327 /nfs/dbraw/zinc/65/23/27/410652327.db2.gz BDQPWVSHERNTJE-NVXWUHKLSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCO[C@]2(CCCOC2)C1 ZINC000352370633 410652328 /nfs/dbraw/zinc/65/23/28/410652328.db2.gz BDQPWVSHERNTJE-NVXWUHKLSA-N 1 2 310.438 1.681 20 30 DDEDLO CN(C(=O)c1cnn(C)c1C#N)[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000352772845 410678627 /nfs/dbraw/zinc/67/86/27/410678627.db2.gz RRWHBFOBHDQONW-HNNXBMFYSA-N 1 2 320.356 1.876 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCC[C@H](S(C)(=O)=O)CC1 ZINC000337501113 410829588 /nfs/dbraw/zinc/82/95/88/410829588.db2.gz BJJXXRVVNMEQJX-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCC[C@H](S(C)(=O)=O)CC1 ZINC000337501113 410829594 /nfs/dbraw/zinc/82/95/94/410829594.db2.gz BJJXXRVVNMEQJX-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO CN(C)C(=O)CC1CCN(C(=O)NCCCn2cc[nH+]c2)CC1 ZINC000330770017 410892222 /nfs/dbraw/zinc/89/22/22/410892222.db2.gz NJDKDZRZLUOOND-UHFFFAOYSA-N 1 2 321.425 1.378 20 30 DDEDLO CN(C)C(=O)CC1CCN(C([O-])=[NH+]CCCn2cc[nH+]c2)CC1 ZINC000330770017 410892229 /nfs/dbraw/zinc/89/22/29/410892229.db2.gz NJDKDZRZLUOOND-UHFFFAOYSA-N 1 2 321.425 1.378 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[NH+]1CCC(O)(C(F)F)CC1 ZINC000331136508 410972384 /nfs/dbraw/zinc/97/23/84/410972384.db2.gz ISRIDMIARHEOET-NSHDSACASA-N 1 2 323.343 1.977 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)c1ccn(-c2cccc(C#N)c2)n1 ZINC000344286382 411073588 /nfs/dbraw/zinc/07/35/88/411073588.db2.gz TXLVMDMYNNPDAQ-UHFFFAOYSA-N 1 2 320.356 1.750 20 30 DDEDLO Cc1nc(N2CCO[C@H](c3cccc(C#N)c3)C2)nc(N(C)C)[nH+]1 ZINC000341393524 411034847 /nfs/dbraw/zinc/03/48/47/411034847.db2.gz MJNXFRNNOJEENA-HNNXBMFYSA-N 1 2 324.388 1.696 20 30 DDEDLO Cc1nc(N(C)C)nc(N2CCO[C@H](c3cccc(C#N)c3)C2)[nH+]1 ZINC000341393524 411034850 /nfs/dbraw/zinc/03/48/50/411034850.db2.gz MJNXFRNNOJEENA-HNNXBMFYSA-N 1 2 324.388 1.696 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc(Cl)nc1 ZINC000174830422 221799929 /nfs/dbraw/zinc/79/99/29/221799929.db2.gz GYFKBDAKLVLWRE-UHFFFAOYSA-N 1 2 308.813 1.783 20 30 DDEDLO CCn1ncnc1C[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000373262204 418415554 /nfs/dbraw/zinc/41/55/54/418415554.db2.gz UPUOUPAZEPMOFQ-UHFFFAOYSA-N 1 2 311.393 1.277 20 30 DDEDLO CCn1ncnc1C[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000373262204 418415557 /nfs/dbraw/zinc/41/55/57/418415557.db2.gz UPUOUPAZEPMOFQ-UHFFFAOYSA-N 1 2 311.393 1.277 20 30 DDEDLO C#CCN(C(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1)C1CCCC1 ZINC000366465518 418477763 /nfs/dbraw/zinc/47/77/63/418477763.db2.gz YAHWKIMYOZFDPK-INIZCTEOSA-N 1 2 305.422 1.437 20 30 DDEDLO C#CCN(C(=O)NC[C@H]1C[N@H+](C2CC2)CCO1)C1CCCC1 ZINC000366465518 418477766 /nfs/dbraw/zinc/47/77/66/418477766.db2.gz YAHWKIMYOZFDPK-INIZCTEOSA-N 1 2 305.422 1.437 20 30 DDEDLO COc1cc(CNC(=O)N(C)Cc2[nH+]ccn2C)ccc1C#N ZINC000360636821 418482333 /nfs/dbraw/zinc/48/23/33/418482333.db2.gz VJZJADYAOBHOQX-UHFFFAOYSA-N 1 2 313.361 1.642 20 30 DDEDLO N#CCc1ccc(C(=O)N2CC[NH2+][C@@H](c3cccnc3)C2)cc1 ZINC000374362976 418523487 /nfs/dbraw/zinc/52/34/87/418523487.db2.gz HGKCINZGOKBMLN-QGZVFWFLSA-N 1 2 306.369 1.934 20 30 DDEDLO COc1cccc(CN(CCC#N)CC[NH+]2CCOCC2)c1F ZINC000192933412 418528226 /nfs/dbraw/zinc/52/82/26/418528226.db2.gz GEDSUGQAZJNIJD-UHFFFAOYSA-N 1 2 321.396 1.882 20 30 DDEDLO C=CCOC[C@H](NCc1c[nH+]c2ccc(Cl)cn12)C(=O)OC ZINC000361112018 418586609 /nfs/dbraw/zinc/58/66/09/418586609.db2.gz WILIDBJELQSRKE-ZDUSSCGKSA-N 1 2 323.780 1.822 20 30 DDEDLO C=C(C)CN(CC)C(=O)CN(C)CC[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000195309262 222197923 /nfs/dbraw/zinc/19/79/23/222197923.db2.gz BVNUMDCMSZKSTB-IYBDPMFKSA-N 1 2 311.470 1.452 20 30 DDEDLO C=C(C)CN(CC)C(=O)CN(C)CC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000195309262 222197925 /nfs/dbraw/zinc/19/79/25/222197925.db2.gz BVNUMDCMSZKSTB-IYBDPMFKSA-N 1 2 311.470 1.452 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]([C@H](C)C(=O)OC)C1CCC1 ZINC000248888090 222237828 /nfs/dbraw/zinc/23/78/28/222237828.db2.gz RLWBGHIYBIKCHB-CHWSQXEVSA-N 1 2 309.410 1.411 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]([C@H](C)C(=O)OC)C1CCC1 ZINC000248888090 222237832 /nfs/dbraw/zinc/23/78/32/222237832.db2.gz RLWBGHIYBIKCHB-CHWSQXEVSA-N 1 2 309.410 1.411 20 30 DDEDLO COC(=O)[C@H]1C[C@H](O)C[N@@H+]1Cc1ccc(N(C)CCC#N)cc1 ZINC000253311391 222248559 /nfs/dbraw/zinc/24/85/59/222248559.db2.gz OCPSYWOSZLYMQP-JKSUJKDBSA-N 1 2 317.389 1.145 20 30 DDEDLO COC(=O)[C@H]1C[C@H](O)C[N@H+]1Cc1ccc(N(C)CCC#N)cc1 ZINC000253311391 222248560 /nfs/dbraw/zinc/24/85/60/222248560.db2.gz OCPSYWOSZLYMQP-JKSUJKDBSA-N 1 2 317.389 1.145 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@H](n3cncn3)C2)c(C#N)c1C ZINC000375316215 418627966 /nfs/dbraw/zinc/62/79/66/418627966.db2.gz CNLTYQCWGLDKDN-LBPRGKRZSA-N 1 2 314.349 1.245 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@H](n3cncn3)C2)c(C#N)c1C ZINC000375316215 418627968 /nfs/dbraw/zinc/62/79/68/418627968.db2.gz CNLTYQCWGLDKDN-LBPRGKRZSA-N 1 2 314.349 1.245 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@H](NC(=O)COc1ccccc1C#N)C2 ZINC000377296525 418708100 /nfs/dbraw/zinc/70/81/00/418708100.db2.gz GBNJVIVXFBQVKV-AWEZNQCLSA-N 1 2 310.357 1.573 20 30 DDEDLO COC(=O)c1ccccc1CO[NH+]=C(N)C(C)(C)NC(C)=O ZINC000272968889 222465794 /nfs/dbraw/zinc/46/57/94/222465794.db2.gz QTBMULPIZCJKAU-UHFFFAOYSA-N 1 2 307.350 1.177 20 30 DDEDLO Cc1nc([C@H]2CCC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000375756743 418682342 /nfs/dbraw/zinc/68/23/42/418682342.db2.gz ILQPLZGHZSSFML-AAEUAGOBSA-N 1 2 305.382 1.318 20 30 DDEDLO Cc1nc([C@H]2CCC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000375756743 418682344 /nfs/dbraw/zinc/68/23/44/418682344.db2.gz ILQPLZGHZSSFML-AAEUAGOBSA-N 1 2 305.382 1.318 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+](CCO)[C@H]2CCO[C@@H]2C)c1C ZINC000361577568 418682736 /nfs/dbraw/zinc/68/27/36/418682736.db2.gz LLCCGCYVVFNPAA-WBVHZDCISA-N 1 2 320.433 1.945 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+](CCO)[C@H]2CCO[C@@H]2C)c1C ZINC000361577568 418682738 /nfs/dbraw/zinc/68/27/38/418682738.db2.gz LLCCGCYVVFNPAA-WBVHZDCISA-N 1 2 320.433 1.945 20 30 DDEDLO C[C@@H]1[C@H](CO)CC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000386349332 418739742 /nfs/dbraw/zinc/73/97/42/418739742.db2.gz YCPPABGFXINSSO-OCCSQVGLSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1[C@H](CO)CC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000386349332 418739743 /nfs/dbraw/zinc/73/97/43/418739743.db2.gz YCPPABGFXINSSO-OCCSQVGLSA-N 1 2 308.403 1.035 20 30 DDEDLO C=C(Cl)CNC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000363631831 418768029 /nfs/dbraw/zinc/76/80/29/418768029.db2.gz SLPBVQUGOMVJPH-OAHLLOKOSA-N 1 2 323.824 1.939 20 30 DDEDLO C=C(Cl)CNC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000363631831 418768031 /nfs/dbraw/zinc/76/80/31/418768031.db2.gz SLPBVQUGOMVJPH-OAHLLOKOSA-N 1 2 323.824 1.939 20 30 DDEDLO Cc1cc(NC(=O)[C@@H]2CC[N@@H+]2Cc2ccccc2)nn1CCC#N ZINC000363951789 418772520 /nfs/dbraw/zinc/77/25/20/418772520.db2.gz XCBJAGVYHOYKRY-INIZCTEOSA-N 1 2 323.400 2.318 20 30 DDEDLO Cc1cc(NC(=O)[C@@H]2CC[N@H+]2Cc2ccccc2)nn1CCC#N ZINC000363951789 418772523 /nfs/dbraw/zinc/77/25/23/418772523.db2.gz XCBJAGVYHOYKRY-INIZCTEOSA-N 1 2 323.400 2.318 20 30 DDEDLO C=C(Br)C[NH+]1CCC2(CC1)SCC(=O)N2C ZINC000378616249 418725061 /nfs/dbraw/zinc/72/50/61/418725061.db2.gz BMWSAOJXEWTAPK-UHFFFAOYSA-N 1 2 305.241 1.892 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(c2nnc(C)c(C)c2C#N)CC1 ZINC000408104576 418788809 /nfs/dbraw/zinc/78/88/09/418788809.db2.gz FQTJCXHHLREDTI-UHFFFAOYSA-N 1 2 308.389 1.995 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)[C@@H]2CCc3[nH+]ccn3C2)n1 ZINC000364622049 418794218 /nfs/dbraw/zinc/79/42/18/418794218.db2.gz JBPNCWXXNUUHQP-CQSZACIVSA-N 1 2 311.389 1.324 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)c(C)c2)C[C@H]1C ZINC000408436838 418803393 /nfs/dbraw/zinc/80/33/93/418803393.db2.gz KJBMLAIUKDIWRY-KGLIPLIRSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)c(C)c2)C[C@H]1C ZINC000408436838 418803395 /nfs/dbraw/zinc/80/33/95/418803395.db2.gz KJBMLAIUKDIWRY-KGLIPLIRSA-N 1 2 321.446 1.970 20 30 DDEDLO C=CC[NH+]1CCN([C@@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000371633951 418806390 /nfs/dbraw/zinc/80/63/90/418806390.db2.gz NMSQVJAOMWIQCU-GOSISDBHSA-N 1 2 313.445 1.981 20 30 DDEDLO C=CC[N@H+](Cc1cccc([N+](=O)[O-])c1)[C@@H](C)C(=O)NC(=O)NC ZINC000411194577 418878531 /nfs/dbraw/zinc/87/85/31/418878531.db2.gz QTAKVWKCHHYWCI-NSHDSACASA-N 1 2 320.349 1.427 20 30 DDEDLO C=CC[N@@H+](Cc1cccc([N+](=O)[O-])c1)[C@@H](C)C(=O)NC(=O)NC ZINC000411194577 418878532 /nfs/dbraw/zinc/87/85/32/418878532.db2.gz QTAKVWKCHHYWCI-NSHDSACASA-N 1 2 320.349 1.427 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(OC)c1 ZINC000372807473 418904740 /nfs/dbraw/zinc/90/47/40/418904740.db2.gz WUZKCGFUZMBTAU-IUODEOHRSA-N 1 2 312.373 1.839 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000410815007 418849552 /nfs/dbraw/zinc/84/95/52/418849552.db2.gz SDWAGOAHTWCYRR-KBPBESRZSA-N 1 2 304.394 1.327 20 30 DDEDLO CC(C)[N@@H+](Cc1c(C#N)cccc1[N+](=O)[O-])C[C@H]1CCC(=O)N1 ZINC000412062186 419609195 /nfs/dbraw/zinc/60/91/95/419609195.db2.gz ARJDGBSDTZZSAU-CYBMUJFWSA-N 1 2 316.361 1.955 20 30 DDEDLO CC(C)[N@H+](Cc1c(C#N)cccc1[N+](=O)[O-])C[C@H]1CCC(=O)N1 ZINC000412062186 419609201 /nfs/dbraw/zinc/60/92/01/419609201.db2.gz ARJDGBSDTZZSAU-CYBMUJFWSA-N 1 2 316.361 1.955 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)NCc1ccc(C#N)s1 ZINC000422217095 419882923 /nfs/dbraw/zinc/88/29/23/419882923.db2.gz KJEYYGULCYDXSX-GFCCVEGCSA-N 1 2 322.434 1.482 20 30 DDEDLO COCc1ccccc1CNC(=O)C[N@H+](C)CC(C)(C)C#N ZINC000433313223 229185588 /nfs/dbraw/zinc/18/55/88/229185588.db2.gz PJBWZILNVFOPES-UHFFFAOYSA-N 1 2 303.406 1.931 20 30 DDEDLO COCc1ccccc1CNC(=O)C[N@@H+](C)CC(C)(C)C#N ZINC000433313223 229185591 /nfs/dbraw/zinc/18/55/91/229185591.db2.gz PJBWZILNVFOPES-UHFFFAOYSA-N 1 2 303.406 1.931 20 30 DDEDLO N#Cc1ccc(OCC(=O)N2CCC(n3cc[nH+]c3)CC2)cc1 ZINC000299580160 229229075 /nfs/dbraw/zinc/22/90/75/229229075.db2.gz VBZVIOPHMYRYPQ-UHFFFAOYSA-N 1 2 310.357 1.997 20 30 DDEDLO CCC[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)[C@H](C)C(=O)OCC ZINC000429723983 420041197 /nfs/dbraw/zinc/04/11/97/420041197.db2.gz ORBMYGBYVTXJKY-WBMJQRKESA-N 1 2 309.410 1.458 20 30 DDEDLO CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)[C@H](C)C(=O)OCC ZINC000429723983 420041200 /nfs/dbraw/zinc/04/12/00/420041200.db2.gz ORBMYGBYVTXJKY-WBMJQRKESA-N 1 2 309.410 1.458 20 30 DDEDLO N#CC1(CNC(=O)c2ccccc2C[NH+]2CCOCC2)CCC1 ZINC000416347764 420338577 /nfs/dbraw/zinc/33/85/77/420338577.db2.gz QLTALMXUDPHGBE-UHFFFAOYSA-N 1 2 313.401 1.942 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)[C@H](C)[C@@H](C)O1 ZINC000446067323 230226236 /nfs/dbraw/zinc/22/62/36/230226236.db2.gz APQHLZYFVSNPFY-MGPQQGTHSA-N 1 2 301.390 1.672 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)[C@H](C)[C@@H](C)O1 ZINC000446067323 230226239 /nfs/dbraw/zinc/22/62/39/230226239.db2.gz APQHLZYFVSNPFY-MGPQQGTHSA-N 1 2 301.390 1.672 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C(=O)OCC ZINC000456608643 420514333 /nfs/dbraw/zinc/51/43/33/420514333.db2.gz NREUTGSAQNUVJN-JSGCOSHPSA-N 1 2 305.378 1.460 20 30 DDEDLO Cc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)n(C)n1 ZINC000417802544 420483165 /nfs/dbraw/zinc/48/31/65/420483165.db2.gz VQDUOBNPSLTMIC-CYBMUJFWSA-N 1 2 311.393 1.101 20 30 DDEDLO Cc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)n(C)n1 ZINC000417802544 420483168 /nfs/dbraw/zinc/48/31/68/420483168.db2.gz VQDUOBNPSLTMIC-CYBMUJFWSA-N 1 2 311.393 1.101 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2[nH+]ccn2CC(F)(F)F)cc1O ZINC000442642012 420691740 /nfs/dbraw/zinc/69/17/40/420691740.db2.gz BMLZXVSZXRWAIB-UHFFFAOYSA-N 1 2 324.262 1.953 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CC[N@H+](C)C[C@H]1c1ccccc1 ZINC000459872783 420894752 /nfs/dbraw/zinc/89/47/52/420894752.db2.gz IEJOHAZYZFIXHJ-INIZCTEOSA-N 1 2 315.417 1.536 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CC[N@@H+](C)C[C@H]1c1ccccc1 ZINC000459872783 420894755 /nfs/dbraw/zinc/89/47/55/420894755.db2.gz IEJOHAZYZFIXHJ-INIZCTEOSA-N 1 2 315.417 1.536 20 30 DDEDLO CCn1cc[nH+]c1CNC(=O)NCc1cc(C#N)ccc1F ZINC000454567012 420884714 /nfs/dbraw/zinc/88/47/14/420884714.db2.gz NHOVUMXRYFAZQB-UHFFFAOYSA-N 1 2 301.325 1.913 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)s1 ZINC000487040258 420998234 /nfs/dbraw/zinc/99/82/34/420998234.db2.gz CWJLIXNJPAJMCH-UHFFFAOYSA-N 1 2 301.375 1.301 20 30 DDEDLO COc1cc(C[N@@H+]2CCCC[C@@H]2CS(C)(=O)=O)ccc1C#N ZINC000449537645 421023736 /nfs/dbraw/zinc/02/37/36/421023736.db2.gz GKQHDWIQYCFJRW-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1cc(C[N@H+]2CCCC[C@@H]2CS(C)(=O)=O)ccc1C#N ZINC000449537645 421023739 /nfs/dbraw/zinc/02/37/39/421023739.db2.gz GKQHDWIQYCFJRW-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO N#CC1(C[N@H+]2CCCC[C@@H]2COC(=O)N[C@H]2CCOC2)CC1 ZINC000495812887 421068303 /nfs/dbraw/zinc/06/83/03/421068303.db2.gz DBFWQQBVVQPLAI-UONOGXRCSA-N 1 2 307.394 1.660 20 30 DDEDLO N#CC1(C[N@@H+]2CCCC[C@@H]2COC(=O)N[C@H]2CCOC2)CC1 ZINC000495812887 421068304 /nfs/dbraw/zinc/06/83/04/421068304.db2.gz DBFWQQBVVQPLAI-UONOGXRCSA-N 1 2 307.394 1.660 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCC[C@@H](OC(=O)N(C)C)C2)C1=O ZINC000496146842 421139529 /nfs/dbraw/zinc/13/95/29/421139529.db2.gz MSNVIDAEEYRMJU-CYBMUJFWSA-N 1 2 310.398 1.030 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCC[C@@H](OC(=O)N(C)C)C2)C1=O ZINC000496146842 421139532 /nfs/dbraw/zinc/13/95/32/421139532.db2.gz MSNVIDAEEYRMJU-CYBMUJFWSA-N 1 2 310.398 1.030 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@]2(C1)CC(=O)c1ccccc1O2 ZINC000491386519 421197239 /nfs/dbraw/zinc/19/72/39/421197239.db2.gz VBIFRSCINYYMPK-UGSOOPFHSA-N 1 2 312.369 1.234 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@]2(C1)CC(=O)c1ccccc1O2 ZINC000491386519 421197241 /nfs/dbraw/zinc/19/72/41/421197241.db2.gz VBIFRSCINYYMPK-UGSOOPFHSA-N 1 2 312.369 1.234 20 30 DDEDLO Cc1nc(N2CCN(c3cccc(C#N)c3[N+](=O)[O-])CC2)cc[nH+]1 ZINC000450436624 421197363 /nfs/dbraw/zinc/19/73/63/421197363.db2.gz HIORAUREAONWBD-UHFFFAOYSA-N 1 2 324.344 1.892 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@]12C[C@H]1CN(C(=O)OC(C)(C)C)C2 ZINC000491620587 421200396 /nfs/dbraw/zinc/20/03/96/421200396.db2.gz NHTNPCXJXXTTIT-VBQJREDUSA-N 1 2 306.406 1.577 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@]12C[C@H]1CN(C(=O)OC(C)(C)C)C2 ZINC000491620587 421200397 /nfs/dbraw/zinc/20/03/97/421200397.db2.gz NHTNPCXJXXTTIT-VBQJREDUSA-N 1 2 306.406 1.577 20 30 DDEDLO C#C[C@H](NC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C)C(C)(C)C ZINC000491804095 421203377 /nfs/dbraw/zinc/20/33/77/421203377.db2.gz KGPHWMHBBKNFKZ-ILXRZTDVSA-N 1 2 307.438 1.539 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2ccc(C#N)c(Cl)c2)CCO1 ZINC000548304687 421420509 /nfs/dbraw/zinc/42/05/09/421420509.db2.gz NLWYXUIGEIVFGW-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2ccc(C#N)c(Cl)c2)CCO1 ZINC000548304687 421420511 /nfs/dbraw/zinc/42/05/11/421420511.db2.gz NLWYXUIGEIVFGW-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC000515412093 421500838 /nfs/dbraw/zinc/50/08/38/421500838.db2.gz GGVIBKGMVKOZNQ-KGLIPLIRSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC000515412093 421500840 /nfs/dbraw/zinc/50/08/40/421500840.db2.gz GGVIBKGMVKOZNQ-KGLIPLIRSA-N 1 2 321.421 1.207 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NCc1cc(F)c(C#N)c(F)c1 ZINC000551952877 421559162 /nfs/dbraw/zinc/55/91/62/421559162.db2.gz CAQGOAIEZCZEBB-UHFFFAOYSA-N 1 2 304.300 1.819 20 30 DDEDLO Cn1cc(-c2ncc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)s2)cn1 ZINC000567995596 421616361 /nfs/dbraw/zinc/61/63/61/421616361.db2.gz PLZLNPSHFQLQGK-AWEZNQCLSA-N 1 2 318.406 1.117 20 30 DDEDLO N#Cc1csc(C[NH2+][C@H]2CCCN(CC(F)(F)F)C2=O)n1 ZINC000570204451 421631876 /nfs/dbraw/zinc/63/18/76/421631876.db2.gz FUMSHWBBFAJJON-VIFPVBQESA-N 1 2 318.324 1.658 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)ccc1C#N ZINC000571505516 421721043 /nfs/dbraw/zinc/72/10/43/421721043.db2.gz LMSOLMXVRJICRE-UHFFFAOYSA-N 1 2 302.359 1.309 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnn(Cc2ccccc2)c1 ZINC000572125004 421764845 /nfs/dbraw/zinc/76/48/45/421764845.db2.gz LTQZIBCWMHBKDV-QGZVFWFLSA-N 1 2 311.389 1.505 20 30 DDEDLO Cc1cccc(S(=O)(=O)NCCCCn2cc[nH+]c2)c1C#N ZINC000543728707 421840627 /nfs/dbraw/zinc/84/06/27/421840627.db2.gz NPUIXMPHJJNMOG-UHFFFAOYSA-N 1 2 318.402 1.822 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)cc1Cl ZINC000543908579 421842479 /nfs/dbraw/zinc/84/24/79/421842479.db2.gz KHEWEWTZVAGUBZ-UHFFFAOYSA-N 1 2 322.777 1.654 20 30 DDEDLO C[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)c1ccc([N+](=O)[O-])cc1F ZINC000574162500 422068048 /nfs/dbraw/zinc/06/80/48/422068048.db2.gz LQDWBJRUPVOWMG-ZUZCIYMTSA-N 1 2 322.340 1.797 20 30 DDEDLO N#Cc1cnnc(NC[C@@H](c2cccs2)[NH+]2CCOCC2)c1 ZINC000596057168 422362578 /nfs/dbraw/zinc/36/25/78/422362578.db2.gz ISSAIHATZXXXIF-ZDUSSCGKSA-N 1 2 315.402 1.895 20 30 DDEDLO N#CCC(=O)N1CCN(Cc2[nH+]ccn2Cc2ccccc2)CC1 ZINC000577862052 422445169 /nfs/dbraw/zinc/44/51/69/422445169.db2.gz VFAQTMBYYZDKAI-UHFFFAOYSA-N 1 2 323.400 1.489 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2C)cc1OC ZINC000628924083 422401596 /nfs/dbraw/zinc/40/15/96/422401596.db2.gz BWWWEJDUQDCDGS-AWEZNQCLSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@H]2COCC[N@H+]2C)cc1OC ZINC000628924083 422401600 /nfs/dbraw/zinc/40/16/00/422401600.db2.gz BWWWEJDUQDCDGS-AWEZNQCLSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCCc1cn2c(cccc2C)[nH+]1 ZINC000645930536 423246805 /nfs/dbraw/zinc/24/68/05/423246805.db2.gz ALHOICXZCFTHFW-OAHLLOKOSA-N 1 2 316.405 1.812 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H](CC(=O)NC)c2ccccc2)nn1 ZINC000653656395 423566542 /nfs/dbraw/zinc/56/65/42/423566542.db2.gz AMTISYCOYQYUEC-MRXNPFEDSA-N 1 2 313.405 1.821 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)c2ccccc2OCC)CC1 ZINC000649249285 423699740 /nfs/dbraw/zinc/69/97/40/423699740.db2.gz GMLRTWXTJZVNGQ-INIZCTEOSA-N 1 2 318.417 1.780 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC1(c2ccc(C#N)cc2)CCOCC1 ZINC000530060106 269995019 /nfs/dbraw/zinc/99/50/19/269995019.db2.gz IDCFVQFTBIJHBN-UHFFFAOYSA-N 1 2 324.384 1.656 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000645174693 424210839 /nfs/dbraw/zinc/21/08/39/424210839.db2.gz QWJUUBUHIKQMPB-HNNXBMFYSA-N 1 2 316.405 1.124 20 30 DDEDLO C=CCCC(C)(C)NS(=O)(=O)CCC[NH+]1CCOCC1 ZINC000660143827 424561014 /nfs/dbraw/zinc/56/10/14/424561014.db2.gz ZNDWAIXDUNYSHN-UHFFFAOYSA-N 1 2 304.456 1.373 20 30 DDEDLO CCS(=O)(=O)N1CC[N@H+](Cc2cccc(C#N)c2)[C@@H](C)C1 ZINC000347290977 266338852 /nfs/dbraw/zinc/33/88/52/266338852.db2.gz MVUVORCDVDFKIR-ZDUSSCGKSA-N 1 2 307.419 1.414 20 30 DDEDLO CCS(=O)(=O)N1CC[N@@H+](Cc2cccc(C#N)c2)[C@@H](C)C1 ZINC000347290977 266338855 /nfs/dbraw/zinc/33/88/55/266338855.db2.gz MVUVORCDVDFKIR-ZDUSSCGKSA-N 1 2 307.419 1.414 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)Cc1ccc(C#N)cc1F ZINC000358031240 266577730 /nfs/dbraw/zinc/57/77/30/266577730.db2.gz OSQKHLPRBXUSEB-UHFFFAOYSA-N 1 2 322.365 1.393 20 30 DDEDLO C[C@@H]1CC(=O)NCC[N@@H+]1Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000366901138 267008569 /nfs/dbraw/zinc/00/85/69/267008569.db2.gz BAJKBTJDVGZWSG-LLVKDONJSA-N 1 2 311.345 1.319 20 30 DDEDLO C[C@@H]1CC(=O)NCC[N@H+]1Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000366901138 267008571 /nfs/dbraw/zinc/00/85/71/267008571.db2.gz BAJKBTJDVGZWSG-LLVKDONJSA-N 1 2 311.345 1.319 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C#N)c(O)c1 ZINC000342277575 267079292 /nfs/dbraw/zinc/07/92/92/267079292.db2.gz DTMLAXFXWYZTFA-IUODEOHRSA-N 1 2 315.373 1.199 20 30 DDEDLO C[C@@H]1C[C@H](CO)CC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000344145291 267089862 /nfs/dbraw/zinc/08/98/62/267089862.db2.gz OWRHBZDAJVEVMZ-UKRRQHHQSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1C[C@H](CO)CC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000344145291 267089863 /nfs/dbraw/zinc/08/98/63/267089863.db2.gz OWRHBZDAJVEVMZ-UKRRQHHQSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1Cc1ccc(C#N)c(F)c1 ZINC000377733009 267109447 /nfs/dbraw/zinc/10/94/47/267109447.db2.gz RGIPQZUATQNWTP-CXAGYDPISA-N 1 2 303.381 1.992 20 30 DDEDLO C[C@H](C[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1)N1CCCC[C@H]1C ZINC000360061524 267163569 /nfs/dbraw/zinc/16/35/69/267163569.db2.gz SBRJJZBIPMCERK-CKEIUWERSA-N 1 2 314.433 1.937 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)c1ccc(C#N)cc1F ZINC000515461814 267259340 /nfs/dbraw/zinc/25/93/40/267259340.db2.gz MVBBXJRATDEACB-JTQLQIEISA-N 1 2 322.365 1.953 20 30 DDEDLO Cc1onc(CC(=O)NCc2cn3cc(C)ccc3[nH+]2)c1C#N ZINC000354411583 267747240 /nfs/dbraw/zinc/74/72/40/267747240.db2.gz VKSQZOHHKUGJLK-UHFFFAOYSA-N 1 2 309.329 1.670 20 30 DDEDLO N#Cc1ccc(C[N@@H+](CCC(F)(F)F)C[C@@H](O)CO)c(F)c1 ZINC000354019667 268062845 /nfs/dbraw/zinc/06/28/45/268062845.db2.gz ZSGPBHQKDHKUDE-GFCCVEGCSA-N 1 2 320.286 1.805 20 30 DDEDLO N#Cc1ccc(C[N@H+](CCC(F)(F)F)C[C@@H](O)CO)c(F)c1 ZINC000354019667 268062848 /nfs/dbraw/zinc/06/28/48/268062848.db2.gz ZSGPBHQKDHKUDE-GFCCVEGCSA-N 1 2 320.286 1.805 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2C[C@H](O)C3(CC3)C2)cc1Cl ZINC000373512074 268141275 /nfs/dbraw/zinc/14/12/75/268141275.db2.gz GQMWTRZOAFTGDJ-ZDUSSCGKSA-N 1 2 305.765 1.607 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2C[C@H](O)C3(CC3)C2)cc1Cl ZINC000373512074 268141276 /nfs/dbraw/zinc/14/12/76/268141276.db2.gz GQMWTRZOAFTGDJ-ZDUSSCGKSA-N 1 2 305.765 1.607 20 30 DDEDLO N#Cc1ccc(NC[C@]2([NH+]3CCOCC3)CCCOC2)c(F)c1 ZINC000372006194 268157245 /nfs/dbraw/zinc/15/72/45/268157245.db2.gz IBWRPBXXLAECQG-QGZVFWFLSA-N 1 2 319.380 1.991 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@@H+]2CCOC[C@H]2[C@H]2CCCC2=O)cc1 ZINC000368937025 268214494 /nfs/dbraw/zinc/21/44/94/268214494.db2.gz DTZMICARXZVQIZ-SOLBZPMBSA-N 1 2 314.385 1.662 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@H+]2CCOC[C@H]2[C@H]2CCCC2=O)cc1 ZINC000368937025 268214495 /nfs/dbraw/zinc/21/44/95/268214495.db2.gz DTZMICARXZVQIZ-SOLBZPMBSA-N 1 2 314.385 1.662 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@@H+]2C[C@H](O)C3(CC3)C2)C1=O ZINC000364437453 268305644 /nfs/dbraw/zinc/30/56/44/268305644.db2.gz ANGVYJONXNJBCI-RYUDHWBXSA-N 1 2 303.387 1.182 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@H+]2C[C@H](O)C3(CC3)C2)C1=O ZINC000364437453 268305646 /nfs/dbraw/zinc/30/56/46/268305646.db2.gz ANGVYJONXNJBCI-RYUDHWBXSA-N 1 2 303.387 1.182 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@H](O)c1ccc(Br)cc1 ZINC000491427996 275386406 /nfs/dbraw/zinc/38/64/06/275386406.db2.gz MWMMVOKPDDIXOO-ZIAGYGMSSA-N 1 2 310.191 1.817 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@H](O)c1ccc(Br)cc1 ZINC000491427996 275386407 /nfs/dbraw/zinc/38/64/07/275386407.db2.gz MWMMVOKPDDIXOO-ZIAGYGMSSA-N 1 2 310.191 1.817 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)cc2C)C[C@@H]1C ZINC000408040134 276242602 /nfs/dbraw/zinc/24/26/02/276242602.db2.gz DFNAAZGTRSLZTI-UONOGXRCSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)cc2C)C[C@@H]1C ZINC000408040134 276242604 /nfs/dbraw/zinc/24/26/04/276242604.db2.gz DFNAAZGTRSLZTI-UONOGXRCSA-N 1 2 321.446 1.970 20 30 DDEDLO CC(C)(C)N1C[C@H](C(=O)N[C@H]2CCn3cc[nH+]c3C2)CC1=O ZINC000330294155 277158866 /nfs/dbraw/zinc/15/88/66/277158866.db2.gz FBILHZBWTPLJOR-NEPJUHHUSA-N 1 2 304.394 1.801 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+]([C@@H]2CCCCNC2=O)CC1 ZINC000370442608 277822558 /nfs/dbraw/zinc/82/25/58/277822558.db2.gz VTMDVOBYJZRZAA-MRXNPFEDSA-N 1 2 319.449 1.568 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000333046998 277902948 /nfs/dbraw/zinc/90/29/48/277902948.db2.gz AZXBWVFKUPISDB-JJXSEGSLSA-N 1 2 323.437 1.225 20 30 DDEDLO CC(C)c1[nH+]c2c(n1C)CCN(C(=O)N[C@H]1[C@@H]3COC[C@@H]31)C2 ZINC000330004997 278073960 /nfs/dbraw/zinc/07/39/60/278073960.db2.gz BNOJVQFKLVIRSW-YABSGUDNSA-N 1 2 304.394 1.460 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000329824753 279117255 /nfs/dbraw/zinc/11/72/55/279117255.db2.gz HWDJPBJOJMSQOX-FRFSOERESA-N 1 2 320.437 1.430 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)N[C@@H]1CCO[C@@]2(CCSC2)C1 ZINC000329630811 279390918 /nfs/dbraw/zinc/39/09/18/279390918.db2.gz VQBSEMQHMVZGBU-RISCZKNCSA-N 1 2 310.423 1.478 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000352362347 280102694 /nfs/dbraw/zinc/10/26/94/280102694.db2.gz OBYFJEJSOKGARK-OIISXLGYSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000352362347 280102696 /nfs/dbraw/zinc/10/26/96/280102696.db2.gz OBYFJEJSOKGARK-OIISXLGYSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000408348548 280103295 /nfs/dbraw/zinc/10/32/95/280103295.db2.gz HTJSMBACPOKQDE-SRABZTEZSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000408348548 280103298 /nfs/dbraw/zinc/10/32/98/280103298.db2.gz HTJSMBACPOKQDE-SRABZTEZSA-N 1 2 323.437 1.309 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C\c1cccc([N+](=O)[O-])c1 ZINC000493188832 289219372 /nfs/dbraw/zinc/21/93/72/289219372.db2.gz KZLHTYCJPVIIOU-LZNQSLFOSA-N 1 2 302.334 1.568 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000346265029 298134789 /nfs/dbraw/zinc/13/47/89/298134789.db2.gz QRTXAAHPNMRSDH-MFKMUULPSA-N 1 2 319.327 1.327 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000346265029 298134793 /nfs/dbraw/zinc/13/47/93/298134793.db2.gz QRTXAAHPNMRSDH-MFKMUULPSA-N 1 2 319.327 1.327 20 30 DDEDLO N#Cc1ccc(CN2CC[N@@H+](CCc3ccncc3)CC2=O)cc1 ZINC000363058855 300205055 /nfs/dbraw/zinc/20/50/55/300205055.db2.gz XMEYUQKBVAWBLA-UHFFFAOYSA-N 1 2 320.396 1.840 20 30 DDEDLO N#Cc1ccc(CN2CC[N@H+](CCc3ccncc3)CC2=O)cc1 ZINC000363058855 300205058 /nfs/dbraw/zinc/20/50/58/300205058.db2.gz XMEYUQKBVAWBLA-UHFFFAOYSA-N 1 2 320.396 1.840 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[N@@H+](C)[C@H](C)[C@@H]1C ZINC000408084896 301075675 /nfs/dbraw/zinc/07/56/75/301075675.db2.gz UWAHNQCYWDXTAJ-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[N@H+](C)[C@H](C)[C@@H]1C ZINC000408084896 301075677 /nfs/dbraw/zinc/07/56/77/301075677.db2.gz UWAHNQCYWDXTAJ-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO N#Cc1cccc(CS(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000548295707 307762861 /nfs/dbraw/zinc/76/28/61/307762861.db2.gz WHGIFKCKJONZAU-CQSZACIVSA-N 1 2 316.386 1.189 20 30 DDEDLO CCC(=O)N1CC[N@H+](CCC(=O)Nc2cccc(C#N)c2)C1 ZINC000577056957 308359562 /nfs/dbraw/zinc/35/95/62/308359562.db2.gz FGNUBSFUKSPMGR-UHFFFAOYSA-N 1 2 300.362 1.399 20 30 DDEDLO CCC(=O)N1CC[N@@H+](CCC(=O)Nc2cccc(C#N)c2)C1 ZINC000577056957 308359563 /nfs/dbraw/zinc/35/95/63/308359563.db2.gz FGNUBSFUKSPMGR-UHFFFAOYSA-N 1 2 300.362 1.399 20 30 DDEDLO CC[C@H](C(=O)OC)N1CC[NH+](Cc2ccc(C#N)cn2)CC1 ZINC000577528792 308396129 /nfs/dbraw/zinc/39/61/29/308396129.db2.gz ZNKOKBMQBSZJFC-OAHLLOKOSA-N 1 2 302.378 1.022 20 30 DDEDLO Cc1c(C(=O)NCC[N@H+]2CCOC[C@H]2C)cnn1C(C)(C)C ZINC000330618467 533699663 /nfs/dbraw/zinc/69/96/63/533699663.db2.gz HKBCFNGLXAPVBJ-GFCCVEGCSA-N 1 2 308.426 1.972 20 30 DDEDLO Cc1c(C(=O)NCC[N@@H+]2CCOC[C@H]2C)cnn1C(C)(C)C ZINC000330618467 533699673 /nfs/dbraw/zinc/69/96/73/533699673.db2.gz HKBCFNGLXAPVBJ-GFCCVEGCSA-N 1 2 308.426 1.972 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCc3c([nH+]c(C)n3CCO)C2)CCC1 ZINC000556836392 331739219 /nfs/dbraw/zinc/73/92/19/331739219.db2.gz LURKUPYJODYGIK-CQSZACIVSA-N 1 2 317.433 1.904 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000564885018 331904596 /nfs/dbraw/zinc/90/45/96/331904596.db2.gz NPIDGLQXPKYUGL-QXSJWSMHSA-N 1 2 323.437 1.082 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1N(S(=O)(=O)CC2(C#N)CCC2)CC1(C)C ZINC000580157255 332967950 /nfs/dbraw/zinc/96/79/50/332967950.db2.gz YZXZIFNIBLPHRX-LBPRGKRZSA-N 1 2 322.434 1.827 20 30 DDEDLO C=CCN(Cc1c[nH+]c2cccc(C)n12)[C@@H]1CCS(=O)(=O)C1 ZINC000352570657 336225138 /nfs/dbraw/zinc/22/51/38/336225138.db2.gz KKCCYAANVLMUCZ-CQSZACIVSA-N 1 2 319.430 1.818 20 30 DDEDLO C#CC[N@@H+](CCO[C@@H]1CCCC[C@@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000104094497 336245474 /nfs/dbraw/zinc/24/54/74/336245474.db2.gz AZJDAQIMYCNRGS-ARFHVFGLSA-N 1 2 313.463 1.704 20 30 DDEDLO C#CC[N@H+](CCO[C@@H]1CCCC[C@@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000104094497 336245475 /nfs/dbraw/zinc/24/54/75/336245475.db2.gz AZJDAQIMYCNRGS-ARFHVFGLSA-N 1 2 313.463 1.704 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)C(=O)NC1(C#N)CCC1)c1nnc2ccccn21 ZINC000527049987 336281305 /nfs/dbraw/zinc/28/13/05/336281305.db2.gz QUKRJYSUSMGTLT-NEPJUHHUSA-N 1 2 312.377 1.331 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000583259534 337292559 /nfs/dbraw/zinc/29/25/59/337292559.db2.gz CNNNNACIUUPXTP-ZNMIVQPWSA-N 1 2 318.421 1.959 20 30 DDEDLO CCOC(=O)Nc1ccc(OC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000496434344 340005392 /nfs/dbraw/zinc/00/53/92/340005392.db2.gz VGQHUSWBDFGSNA-CQSZACIVSA-N 1 2 321.377 1.840 20 30 DDEDLO CCOC(=O)Nc1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000496434344 340005393 /nfs/dbraw/zinc/00/53/93/340005393.db2.gz VGQHUSWBDFGSNA-CQSZACIVSA-N 1 2 321.377 1.840 20 30 DDEDLO COC(=O)NCc1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000496874259 340015068 /nfs/dbraw/zinc/01/50/68/340015068.db2.gz KCBYRQWICSHFDN-OAHLLOKOSA-N 1 2 320.393 1.161 20 30 DDEDLO COC(=O)NCc1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000496874259 340015069 /nfs/dbraw/zinc/01/50/69/340015069.db2.gz KCBYRQWICSHFDN-OAHLLOKOSA-N 1 2 320.393 1.161 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CN(C(=O)OC(C)(C)C)C1CC1 ZINC000496979443 340017477 /nfs/dbraw/zinc/01/74/77/340017477.db2.gz PABNPVGPLHNBMW-MRXNPFEDSA-N 1 2 324.425 1.346 20 30 DDEDLO CC(C)OCC[NH+]1CCN(S(=O)(=O)CCCCC#N)CC1 ZINC000173528298 340367072 /nfs/dbraw/zinc/36/70/72/340367072.db2.gz IDZKMLDVQDOGBJ-UHFFFAOYSA-N 1 2 317.455 1.053 20 30 DDEDLO COC(=O)[C@@H]([NH2+]CCC(=O)N(C)CCC#N)C1CCCCC1 ZINC000542619543 341015126 /nfs/dbraw/zinc/01/51/26/341015126.db2.gz HPWOPTJZVOHAEP-HNNXBMFYSA-N 1 2 309.410 1.460 20 30 DDEDLO C[N@H+](Cc1nccs1)[C@@H]1CC12CCN(C(=O)CC#N)CC2 ZINC000548680676 341269234 /nfs/dbraw/zinc/26/92/34/341269234.db2.gz OONLFYFTNNDSHN-GFCCVEGCSA-N 1 2 304.419 1.870 20 30 DDEDLO C[N@@H+](Cc1nccs1)[C@@H]1CC12CCN(C(=O)CC#N)CC2 ZINC000548680676 341269235 /nfs/dbraw/zinc/26/92/35/341269235.db2.gz OONLFYFTNNDSHN-GFCCVEGCSA-N 1 2 304.419 1.870 20 30 DDEDLO COc1ccc(C[NH+]2CCC(C#N)(c3ccccn3)CC2)nn1 ZINC000549164273 341287621 /nfs/dbraw/zinc/28/76/21/341287621.db2.gz CKZKQAHIJAPBJR-UHFFFAOYSA-N 1 2 309.373 1.938 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000146621323 484038452 /nfs/dbraw/zinc/03/84/52/484038452.db2.gz SFCKLONZXMMSTM-KRWDZBQOSA-N 1 2 318.417 1.769 20 30 DDEDLO C=CC[N@H+](Cc1nc2ccccc2c(=O)n1C)[C@H](C)COC ZINC000661244576 484997385 /nfs/dbraw/zinc/99/73/85/484997385.db2.gz WESSIWKVIXXXNW-CYBMUJFWSA-N 1 2 301.390 1.956 20 30 DDEDLO C=CC[N@@H+](Cc1nc2ccccc2c(=O)n1C)[C@H](C)COC ZINC000661244576 484997390 /nfs/dbraw/zinc/99/73/90/484997390.db2.gz WESSIWKVIXXXNW-CYBMUJFWSA-N 1 2 301.390 1.956 20 30 DDEDLO C=CCCCNC(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC000673288637 485404840 /nfs/dbraw/zinc/40/48/40/485404840.db2.gz OACCCDUZPVEUPY-OAHLLOKOSA-N 1 2 301.390 1.372 20 30 DDEDLO C=CCCCNC(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC000673288637 485404842 /nfs/dbraw/zinc/40/48/42/485404842.db2.gz OACCCDUZPVEUPY-OAHLLOKOSA-N 1 2 301.390 1.372 20 30 DDEDLO Cc1cc(N2CCN(C(=O)c3ccc(O)cc3)CC2)c(C#N)c[nH+]1 ZINC000425202497 533897586 /nfs/dbraw/zinc/89/75/86/533897586.db2.gz CDCLZZAYKSZDBK-UHFFFAOYSA-N 1 2 322.368 1.930 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)cc1OC ZINC000329917522 534023838 /nfs/dbraw/zinc/02/38/38/534023838.db2.gz FJVLQLSVDCRUJG-DOMZBBRYSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)cc1OC ZINC000329917522 534023845 /nfs/dbraw/zinc/02/38/45/534023845.db2.gz FJVLQLSVDCRUJG-DOMZBBRYSA-N 1 2 321.377 1.264 20 30 DDEDLO C[C@](O)(CNC(=O)C[NH+]1CCN(c2ccccn2)CC1)C1CC1 ZINC000329936464 534155097 /nfs/dbraw/zinc/15/50/97/534155097.db2.gz DCVRYVWXKPEAAO-KRWDZBQOSA-N 1 2 318.421 1.321 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC[C@@H](C(=O)NCc3[nH]cc[nH+]3)C2)n1 ZINC000331386401 534254917 /nfs/dbraw/zinc/25/49/17/534254917.db2.gz IDOKIVKBEUOTPN-CQSZACIVSA-N 1 2 324.388 1.518 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)CC[S@@]1=O ZINC000330841307 534459791 /nfs/dbraw/zinc/45/97/91/534459791.db2.gz JRJLYHJBGMTXOG-CFMSYZGJSA-N 1 2 311.432 1.401 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)CC[S@@]1=O ZINC000330841307 534459800 /nfs/dbraw/zinc/45/98/00/534459800.db2.gz JRJLYHJBGMTXOG-CFMSYZGJSA-N 1 2 311.432 1.401 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@H](C)C[S@](C)=O)CCC2 ZINC000330823167 534512137 /nfs/dbraw/zinc/51/21/37/534512137.db2.gz GPZGPUCERVDWON-IDFMNXDXSA-N 1 2 312.439 1.340 20 30 DDEDLO C=CC[N@H+](Cc1ccc(-c2cc[nH]n2)o1)[C@H]1CCS(=O)(=O)C1 ZINC000435130633 526332084 /nfs/dbraw/zinc/33/20/84/526332084.db2.gz QQURPMCQSQOPIS-LBPRGKRZSA-N 1 2 321.402 1.845 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(-c2cc[nH]n2)o1)[C@H]1CCS(=O)(=O)C1 ZINC000435130633 526332088 /nfs/dbraw/zinc/33/20/88/526332088.db2.gz QQURPMCQSQOPIS-LBPRGKRZSA-N 1 2 321.402 1.845 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)N(c2ccccc2)C(C)C)C1 ZINC000330939699 526400910 /nfs/dbraw/zinc/40/09/10/526400910.db2.gz CYRLKGSPSIWLGP-CQSZACIVSA-N 1 2 318.421 1.636 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)N(c2ccccc2)C(C)C)C1 ZINC000330939699 526400913 /nfs/dbraw/zinc/40/09/13/526400913.db2.gz CYRLKGSPSIWLGP-CQSZACIVSA-N 1 2 318.421 1.636 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000424601300 526433952 /nfs/dbraw/zinc/43/39/52/526433952.db2.gz DGVSNMBBLBTJDK-INIZCTEOSA-N 1 2 312.479 1.913 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(C)Cc2cccs2)C1=O ZINC000337233778 526467838 /nfs/dbraw/zinc/46/78/38/526467838.db2.gz WOEAUPNCIKYOEQ-CQSZACIVSA-N 1 2 321.446 1.425 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(C)Cc2cccs2)C1=O ZINC000337233778 526467845 /nfs/dbraw/zinc/46/78/45/526467845.db2.gz WOEAUPNCIKYOEQ-CQSZACIVSA-N 1 2 321.446 1.425 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(C(=O)OCC(C)C)CC2)C1=O ZINC000491301463 526479623 /nfs/dbraw/zinc/47/96/23/526479623.db2.gz BQRSBVMAVUBVEK-CQSZACIVSA-N 1 2 309.410 1.184 20 30 DDEDLO C=CCN1CC[C@H](N(C)Cc2[nH+]ccn2CC(F)(F)F)C1=O ZINC000337144073 526502666 /nfs/dbraw/zinc/50/26/66/526502666.db2.gz WEDSOOLEUPKSEB-NSHDSACASA-N 1 2 316.327 1.664 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCc3c(ccc(OC)c3OC)C2)C1=O ZINC000337192382 526511136 /nfs/dbraw/zinc/51/11/36/526511136.db2.gz URKXUDGOXMBWJH-HNNXBMFYSA-N 1 2 316.401 1.849 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCc3c(ccc(OC)c3OC)C2)C1=O ZINC000337192382 526511141 /nfs/dbraw/zinc/51/11/41/526511141.db2.gz URKXUDGOXMBWJH-HNNXBMFYSA-N 1 2 316.401 1.849 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H](c3ccccc3)[C@](F)(CO)C2)C1=O ZINC000451281830 526512444 /nfs/dbraw/zinc/51/24/44/526512444.db2.gz JZHSHIYRUWIODI-SOLBZPMBSA-N 1 2 318.392 1.573 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H](c3ccccc3)[C@](F)(CO)C2)C1=O ZINC000451281830 526512447 /nfs/dbraw/zinc/51/24/47/526512447.db2.gz JZHSHIYRUWIODI-SOLBZPMBSA-N 1 2 318.392 1.573 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCN(C(=O)N(C)C)CC1(C)C ZINC000348924075 526522314 /nfs/dbraw/zinc/52/23/14/526522314.db2.gz XUUYDTBIXPCPEB-UHFFFAOYSA-N 1 2 324.469 1.489 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCN(C(=O)N(C)C)CC1(C)C ZINC000348924075 526522317 /nfs/dbraw/zinc/52/23/17/526522317.db2.gz XUUYDTBIXPCPEB-UHFFFAOYSA-N 1 2 324.469 1.489 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](C)c1nc(C)c(C(=O)OCC)s1 ZINC000348491538 526571571 /nfs/dbraw/zinc/57/15/71/526571571.db2.gz JXABUDRQJIGLCS-JTQLQIEISA-N 1 2 311.407 1.581 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)c2c[nH]c3cccnc23)CC1 ZINC000329906122 526625189 /nfs/dbraw/zinc/62/51/89/526625189.db2.gz RXJVQGQDYXTYCR-UHFFFAOYSA-N 1 2 315.377 1.032 20 30 DDEDLO C#CCCCC(=O)N1CCC2(CC1)NC(=O)C1(CCCCC1)[NH2+]2 ZINC000368890197 526677770 /nfs/dbraw/zinc/67/77/70/526677770.db2.gz WIIVMGSQGUYLHJ-UHFFFAOYSA-N 1 2 317.433 1.531 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+]([C@@H](C)C(=O)NC2CC2)CC1 ZINC000332103443 526696564 /nfs/dbraw/zinc/69/65/64/526696564.db2.gz CBRZXJQDLLKHQE-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(C)Cn1c(C2CCC2)nnc1N(C)CC[NH+]1CCOCC1 ZINC000338804902 526724747 /nfs/dbraw/zinc/72/47/47/526724747.db2.gz FXABUBKXIAFXFH-UHFFFAOYSA-N 1 2 319.453 1.890 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1)OCC ZINC000433104248 526744528 /nfs/dbraw/zinc/74/45/28/526744528.db2.gz LHIBYXXYVWFNFQ-HUUCEWRRSA-N 1 2 320.437 1.986 20 30 DDEDLO C#CCN(CC#CC)C(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000490690043 526860648 /nfs/dbraw/zinc/86/06/48/526860648.db2.gz QLWZNKGMASXOQQ-UHFFFAOYSA-N 1 2 322.324 1.879 20 30 DDEDLO C#CC[N@H+](Cc1nnnn1CC1CCOCC1)C1CCCCC1 ZINC000491370854 526913199 /nfs/dbraw/zinc/91/31/99/526913199.db2.gz BLLCAXDUNPSBDR-UHFFFAOYSA-N 1 2 317.437 1.868 20 30 DDEDLO C#CC[N@@H+](Cc1nnnn1CC1CCOCC1)C1CCCCC1 ZINC000491370854 526913206 /nfs/dbraw/zinc/91/32/06/526913206.db2.gz BLLCAXDUNPSBDR-UHFFFAOYSA-N 1 2 317.437 1.868 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(CC)c2cccc(OC)c2)CC1 ZINC000490851008 526946983 /nfs/dbraw/zinc/94/69/83/526946983.db2.gz DSRFYDIBWQNQLZ-UHFFFAOYSA-N 1 2 315.417 1.299 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN2Cc3cccc(Cl)c3C2)CC1 ZINC000491192936 526949727 /nfs/dbraw/zinc/94/97/27/526949727.db2.gz UDUGULVANNIYKL-UHFFFAOYSA-N 1 2 317.820 1.433 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cccc3oc(C)nc32)CC1 ZINC000491473173 526953029 /nfs/dbraw/zinc/95/30/29/526953029.db2.gz BIHUDHWHCQFKKA-UHFFFAOYSA-N 1 2 313.357 1.292 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nn(C)c(C)c2Cl)CC1 ZINC000491472995 526971726 /nfs/dbraw/zinc/97/17/26/526971726.db2.gz AHGAUOYDGOFGGU-UHFFFAOYSA-N 1 2 308.813 1.343 20 30 DDEDLO C=CC1CC[NH+](CCS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000343877355 526978381 /nfs/dbraw/zinc/97/83/81/526978381.db2.gz RGOFFGVEMPGBBY-UHFFFAOYSA-N 1 2 305.403 1.625 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)/C=C\c2[nH+]ccn2CC)n1 ZINC000491806978 527177318 /nfs/dbraw/zinc/17/73/18/527177318.db2.gz RORQJQLVIQMCMD-FPLPWBNLSA-N 1 2 311.389 1.795 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2cccc(C(=O)OC)n2)n1 ZINC000491428947 527184314 /nfs/dbraw/zinc/18/43/14/527184314.db2.gz RHNOLRNMJCAJQV-UHFFFAOYSA-N 1 2 312.373 1.720 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2cccc(C(=O)OC)n2)n1 ZINC000491428947 527184317 /nfs/dbraw/zinc/18/43/17/527184317.db2.gz RHNOLRNMJCAJQV-UHFFFAOYSA-N 1 2 312.373 1.720 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)Cc2cccn2CC)n1 ZINC000491525732 527191796 /nfs/dbraw/zinc/19/17/96/527191796.db2.gz UWSSHAQKMDSKSL-UHFFFAOYSA-N 1 2 300.406 1.986 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)Cc2cccn2CC)n1 ZINC000491525732 527191802 /nfs/dbraw/zinc/19/18/02/527191802.db2.gz UWSSHAQKMDSKSL-UHFFFAOYSA-N 1 2 300.406 1.986 20 30 DDEDLO C=CCn1c(C2CC2)nnc1N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000337821315 527306709 /nfs/dbraw/zinc/30/67/09/527306709.db2.gz RXCJUWOQLKGMEF-AWEZNQCLSA-N 1 2 303.410 1.252 20 30 DDEDLO C#C[C@H](C)N(C)CC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000491553651 527330941 /nfs/dbraw/zinc/33/09/41/527330941.db2.gz BNTKQPHYHQWIGG-HNNXBMFYSA-N 1 2 315.417 1.411 20 30 DDEDLO C#C[C@H](CC)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000491801485 527358367 /nfs/dbraw/zinc/35/83/67/527358367.db2.gz CPRPWJYMQZNRDW-GOEBONIOSA-N 1 2 317.408 1.864 20 30 DDEDLO C=CCn1cc(CNC(=O)C2CC[NH+](CC(F)F)CC2)nn1 ZINC000424909884 527363908 /nfs/dbraw/zinc/36/39/08/527363908.db2.gz WQRHLOKASKNLBO-UHFFFAOYSA-N 1 2 313.352 1.057 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc(OC)c3c(c2)OCCO3)nn1 ZINC000424166719 527371941 /nfs/dbraw/zinc/37/19/41/527371941.db2.gz BASLBDXBYOIKSF-UHFFFAOYSA-N 1 2 316.361 1.534 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cncc(Br)c2)nn1 ZINC000424173830 527372425 /nfs/dbraw/zinc/37/24/25/527372425.db2.gz QFOXTTSVAMZAHL-UHFFFAOYSA-N 1 2 308.183 1.911 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000451767248 527636136 /nfs/dbraw/zinc/63/61/36/527636136.db2.gz ZBPHRDDYCYHEIN-QKKBWIMNSA-N 1 2 312.479 1.809 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000451767248 527636142 /nfs/dbraw/zinc/63/61/42/527636142.db2.gz ZBPHRDDYCYHEIN-QKKBWIMNSA-N 1 2 312.479 1.809 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCCN1C(=O)NCc1[nH+]ccn1C ZINC000330933268 528051530 /nfs/dbraw/zinc/05/15/30/528051530.db2.gz CBZAYJLLIONLIL-LBPRGKRZSA-N 1 2 307.398 1.167 20 30 DDEDLO CCCC[N@@H+]1CCOC[C@@H]1C(=O)NCC#Cc1cccc(F)c1 ZINC000338254232 528474907 /nfs/dbraw/zinc/47/49/07/528474907.db2.gz WFYXVRBHDJVYGX-QGZVFWFLSA-N 1 2 318.392 1.794 20 30 DDEDLO CCCC[N@H+]1CCOC[C@@H]1C(=O)NCC#Cc1cccc(F)c1 ZINC000338254232 528474911 /nfs/dbraw/zinc/47/49/11/528474911.db2.gz WFYXVRBHDJVYGX-QGZVFWFLSA-N 1 2 318.392 1.794 20 30 DDEDLO CCCCO[C@H](C)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000346494836 528643164 /nfs/dbraw/zinc/64/31/64/528643164.db2.gz AUOHDBFKLNQJGT-OAHLLOKOSA-N 1 2 311.426 1.266 20 30 DDEDLO CCN1CCN(C(=O)NCCCSC)C[C@@H]1c1[nH]cc[nH+]1 ZINC000331027295 529107734 /nfs/dbraw/zinc/10/77/34/529107734.db2.gz CBQFTWMZQBSIIM-GFCCVEGCSA-N 1 2 311.455 1.755 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)NC[C@@H]2CCCCS2)CCO1 ZINC000329610809 529116033 /nfs/dbraw/zinc/11/60/33/529116033.db2.gz CDHYXVBDDNFCLR-ZDUSSCGKSA-N 1 2 315.483 1.887 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)NC[C@@H]2CCCCS2)CCO1 ZINC000329610809 529116036 /nfs/dbraw/zinc/11/60/36/529116036.db2.gz CDHYXVBDDNFCLR-ZDUSSCGKSA-N 1 2 315.483 1.887 20 30 DDEDLO CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)NCc2[nH+]ccn2C)C1 ZINC000330841048 529145745 /nfs/dbraw/zinc/14/57/45/529145745.db2.gz XVUSZYIOCZIBMO-CHWSQXEVSA-N 1 2 321.425 1.507 20 30 DDEDLO Cn1c[nH+]cc1CN=Nc1cc(-c2nn[nH]n2)cc(Cl)n1 ZINC000826365625 608540022 /nfs/dbraw/zinc/54/00/22/608540022.db2.gz WGKOTBDMZVJAHU-UHFFFAOYSA-N 1 2 303.717 1.095 20 30 DDEDLO CCC[C@@H](NC(=O)[C@@H](C)O[NH+]=C(N)CCO)c1ccccc1 ZINC000121374343 696709235 /nfs/dbraw/zinc/70/92/35/696709235.db2.gz QBTUUJFCSIUCDD-TZMCWYRMSA-N 1 2 307.394 1.704 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCc3nccn3C2)C1 ZINC000971811053 695100428 /nfs/dbraw/zinc/10/04/28/695100428.db2.gz ONOKBEXBCGQSQP-ZIAGYGMSSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCc3nccn3C2)C1 ZINC000971811053 695100429 /nfs/dbraw/zinc/10/04/29/695100429.db2.gz ONOKBEXBCGQSQP-ZIAGYGMSSA-N 1 2 322.840 1.731 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cscc3C)C2)C1 ZINC000972318253 695214898 /nfs/dbraw/zinc/21/48/98/695214898.db2.gz DNICOYXJDBNIQF-QGZVFWFLSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cscc3C)C2)C1 ZINC000972318253 695214901 /nfs/dbraw/zinc/21/49/01/695214901.db2.gz DNICOYXJDBNIQF-QGZVFWFLSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3coc(CC)n3)C2)C1 ZINC000972354355 695225041 /nfs/dbraw/zinc/22/50/41/695225041.db2.gz YCLISUFYQXINTO-KRWDZBQOSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3coc(CC)n3)C2)C1 ZINC000972354355 695225042 /nfs/dbraw/zinc/22/50/42/695225042.db2.gz YCLISUFYQXINTO-KRWDZBQOSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCCOCC3)C2)C1 ZINC000972361739 695228715 /nfs/dbraw/zinc/22/87/15/695228715.db2.gz JVEJYGUVECYASM-WMZOPIPTSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCCOCC3)C2)C1 ZINC000972361739 695228716 /nfs/dbraw/zinc/22/87/16/695228716.db2.gz JVEJYGUVECYASM-WMZOPIPTSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3C[C@@H]3C(F)F)C2)C1 ZINC000972367894 695231294 /nfs/dbraw/zinc/23/12/94/695231294.db2.gz OHVAXKHWNDTGCQ-XEZPLFJOSA-N 1 2 312.360 1.214 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3C[C@@H]3C(F)F)C2)C1 ZINC000972367894 695231295 /nfs/dbraw/zinc/23/12/95/695231295.db2.gz OHVAXKHWNDTGCQ-XEZPLFJOSA-N 1 2 312.360 1.214 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([N@H+](C)Cc2ccon2)C1 ZINC000972372986 695233052 /nfs/dbraw/zinc/23/30/52/695233052.db2.gz JFMSWQXVSJFNIT-ZNMIVQPWSA-N 1 2 305.378 1.298 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([N@@H+](C)Cc2ccon2)C1 ZINC000972372986 695233053 /nfs/dbraw/zinc/23/30/53/695233053.db2.gz JFMSWQXVSJFNIT-ZNMIVQPWSA-N 1 2 305.378 1.298 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C(C)(C)C)C2)C1 ZINC000972435351 695250299 /nfs/dbraw/zinc/25/02/99/695250299.db2.gz NVDNGNIGUWCWHK-QAPCUYQASA-N 1 2 306.450 1.995 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C(C)(C)C)C2)C1 ZINC000972435351 695250300 /nfs/dbraw/zinc/25/03/00/695250300.db2.gz NVDNGNIGUWCWHK-QAPCUYQASA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc4[nH]ccc4c3)C2)C1 ZINC000972525896 695272538 /nfs/dbraw/zinc/27/25/38/695272538.db2.gz FHEBSPZPOIJGQS-IBGZPJMESA-N 1 2 323.396 1.718 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc4[nH]ccc4c3)C2)C1 ZINC000972525896 695272539 /nfs/dbraw/zinc/27/25/39/695272539.db2.gz FHEBSPZPOIJGQS-IBGZPJMESA-N 1 2 323.396 1.718 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CC4(CC4)C3)C2)C1 ZINC000972549498 695279452 /nfs/dbraw/zinc/27/94/52/695279452.db2.gz OSSMETZAZJRQMS-GOSISDBHSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CC4(CC4)C3)C2)C1 ZINC000972549498 695279453 /nfs/dbraw/zinc/27/94/53/695279453.db2.gz OSSMETZAZJRQMS-GOSISDBHSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(CF)CCC3)C2)C1 ZINC000972561725 695283909 /nfs/dbraw/zinc/28/39/09/695283909.db2.gz WBNYKCUMCDJQTE-QGZVFWFLSA-N 1 2 308.397 1.453 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(CF)CCC3)C2)C1 ZINC000972561725 695283910 /nfs/dbraw/zinc/28/39/10/695283910.db2.gz WBNYKCUMCDJQTE-QGZVFWFLSA-N 1 2 308.397 1.453 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C(F)(F)F)CC3)C2)C1 ZINC000972562864 695284333 /nfs/dbraw/zinc/28/43/33/695284333.db2.gz HOJMUAAAJKOBBZ-CYBMUJFWSA-N 1 2 316.323 1.265 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C(F)(F)F)CC3)C2)C1 ZINC000972562864 695284334 /nfs/dbraw/zinc/28/43/34/695284334.db2.gz HOJMUAAAJKOBBZ-CYBMUJFWSA-N 1 2 316.323 1.265 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c[nH]cc3C)C2)C1 ZINC000972676649 695315665 /nfs/dbraw/zinc/31/56/65/695315665.db2.gz LJLVYHDUNDDALX-QGZVFWFLSA-N 1 2 301.390 1.263 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c[nH]cc3C)C2)C1 ZINC000972676649 695315667 /nfs/dbraw/zinc/31/56/67/695315667.db2.gz LJLVYHDUNDDALX-QGZVFWFLSA-N 1 2 301.390 1.263 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(C)nc3)C2)C1 ZINC000972677053 695315731 /nfs/dbraw/zinc/31/57/31/695315731.db2.gz OKOFVSWKFCSIHW-GOSISDBHSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(C)nc3)C2)C1 ZINC000972677053 695315732 /nfs/dbraw/zinc/31/57/32/695315732.db2.gz OKOFVSWKFCSIHW-GOSISDBHSA-N 1 2 315.417 1.422 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@H+](C)Cc3ccon3)C2)nc1 ZINC000972750999 695328916 /nfs/dbraw/zinc/32/89/16/695328916.db2.gz GZGZVIDJZPDENY-OAHLLOKOSA-N 1 2 310.357 1.397 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ccon3)C2)nc1 ZINC000972750999 695328919 /nfs/dbraw/zinc/32/89/19/695328919.db2.gz GZGZVIDJZPDENY-OAHLLOKOSA-N 1 2 310.357 1.397 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)[C@H](C)n2cnc(C#N)n2)c1C ZINC000798415752 700063576 /nfs/dbraw/zinc/06/35/76/700063576.db2.gz KMDJNFGYJWQWKD-NSHDSACASA-N 1 2 314.349 1.048 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C(C)(C)C1 ZINC000977572522 696218186 /nfs/dbraw/zinc/21/81/86/696218186.db2.gz OVMDANUHZATNSR-OAHLLOKOSA-N 1 2 313.405 1.974 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C(C)(C)C1 ZINC000977572522 696218187 /nfs/dbraw/zinc/21/81/87/696218187.db2.gz OVMDANUHZATNSR-OAHLLOKOSA-N 1 2 313.405 1.974 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)Cc2ccc(C(N)=O)cc2)c1 ZINC000052130942 696252437 /nfs/dbraw/zinc/25/24/37/696252437.db2.gz NHJJFNBNOOWQES-UHFFFAOYSA-N 1 2 321.380 1.837 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)Cc2ccc(C(N)=O)cc2)c1 ZINC000052130942 696252439 /nfs/dbraw/zinc/25/24/39/696252439.db2.gz NHJJFNBNOOWQES-UHFFFAOYSA-N 1 2 321.380 1.837 20 30 DDEDLO C=C(Br)CNC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000069244201 696381864 /nfs/dbraw/zinc/38/18/64/696381864.db2.gz MXNRLCJRPPLXOW-UHFFFAOYSA-N 1 2 320.231 1.305 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000980786849 696889181 /nfs/dbraw/zinc/88/91/81/696889181.db2.gz ZELWACQTQLQZDX-CYBMUJFWSA-N 1 2 319.380 1.767 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000980786849 696889183 /nfs/dbraw/zinc/88/91/83/696889183.db2.gz ZELWACQTQLQZDX-CYBMUJFWSA-N 1 2 319.380 1.767 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@H+](CC(=O)N2CCC2)CC1 ZINC000981736277 696896547 /nfs/dbraw/zinc/89/65/47/696896547.db2.gz UHXFRYKWFGVRRX-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N2CCC2)CC1 ZINC000981736277 696896552 /nfs/dbraw/zinc/89/65/52/696896552.db2.gz UHXFRYKWFGVRRX-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](Cc2ccc(C#N)s2)CC1 ZINC000980847383 696915874 /nfs/dbraw/zinc/91/58/74/696915874.db2.gz AJEZGKVBGCEUMA-GFCCVEGCSA-N 1 2 302.403 1.814 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)s2)CC1 ZINC000980847383 696915877 /nfs/dbraw/zinc/91/58/77/696915877.db2.gz AJEZGKVBGCEUMA-GFCCVEGCSA-N 1 2 302.403 1.814 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)c2cccnn2)CC1 ZINC000982401762 697123119 /nfs/dbraw/zinc/12/31/19/697123119.db2.gz PLDQLBWSQPYYQV-UHFFFAOYSA-N 1 2 321.384 1.696 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)c2cccnn2)CC1 ZINC000982401762 697123120 /nfs/dbraw/zinc/12/31/20/697123120.db2.gz PLDQLBWSQPYYQV-UHFFFAOYSA-N 1 2 321.384 1.696 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)C(=O)N(Cc2ccccc2)C1=O ZINC000182616743 697477373 /nfs/dbraw/zinc/47/73/73/697477373.db2.gz QCANOKVTIVICFU-UHFFFAOYSA-N 1 2 301.346 1.443 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)C(=O)N(Cc2ccccc2)C1=O ZINC000182616743 697477375 /nfs/dbraw/zinc/47/73/75/697477375.db2.gz QCANOKVTIVICFU-UHFFFAOYSA-N 1 2 301.346 1.443 20 30 DDEDLO C#C[C@H](NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)[C@H]1CCCO1 ZINC000773421728 697757756 /nfs/dbraw/zinc/75/77/56/697757756.db2.gz GRFOPZNHTUZDMA-SOUVJXGZSA-N 1 2 314.389 1.140 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC(n2cc[nH+]c2)CC1)[C@@H]1CCCO1 ZINC000776225739 698083529 /nfs/dbraw/zinc/08/35/29/698083529.db2.gz CIFSWZXWQSXYKD-CABCVRRESA-N 1 2 302.378 1.410 20 30 DDEDLO C[C@H]1[C@H](NCC#N)CCN1C(=O)C[N@@H+]1CCc2sccc2C1 ZINC000987567103 698152710 /nfs/dbraw/zinc/15/27/10/698152710.db2.gz MNLISVTVLVNVGX-GXTWGEPZSA-N 1 2 318.446 1.209 20 30 DDEDLO C[C@H]1[C@H](NCC#N)CCN1C(=O)C[N@H+]1CCc2sccc2C1 ZINC000987567103 698152712 /nfs/dbraw/zinc/15/27/12/698152712.db2.gz MNLISVTVLVNVGX-GXTWGEPZSA-N 1 2 318.446 1.209 20 30 DDEDLO C[C@H]1C[C@H]([NH2+]Cc2cnsn2)CN1C(=O)c1cc(C#N)c[nH]1 ZINC000988500985 698392047 /nfs/dbraw/zinc/39/20/47/698392047.db2.gz LVQSIUHPWOJSSU-ONGXEEELSA-N 1 2 316.390 1.131 20 30 DDEDLO Cc1onc(CC(=O)N[C@@H](Cn2cc[nH+]c2)C(C)(C)C)c1C#N ZINC000779497267 698428152 /nfs/dbraw/zinc/42/81/52/698428152.db2.gz FEIKGWJQGHVDJL-AWEZNQCLSA-N 1 2 315.377 1.825 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=Cc2cn(C)nc2-c2ccc(C#N)cc2)N1 ZINC000779799236 698467608 /nfs/dbraw/zinc/46/76/08/698467608.db2.gz JMQAAJANANGIFQ-NSHDSACASA-N 1 2 307.361 1.230 20 30 DDEDLO CCOCCON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000782320342 698708900 /nfs/dbraw/zinc/70/89/00/698708900.db2.gz FRXJUXRILZPQKF-UHFFFAOYSA-N 1 2 307.394 1.192 20 30 DDEDLO CC(=O)NC[C@@H]1CCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000329195258 698751448 /nfs/dbraw/zinc/75/14/48/698751448.db2.gz UBPGIHQAKQQDJE-GOEBONIOSA-N 1 2 323.437 1.455 20 30 DDEDLO CC(=O)NC[C@@H]1CCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000329195258 698751452 /nfs/dbraw/zinc/75/14/52/698751452.db2.gz UBPGIHQAKQQDJE-GOEBONIOSA-N 1 2 323.437 1.455 20 30 DDEDLO COC(=O)[C@@H](C)Oc1ccc(C=NNc2[nH+]ccn2C)cc1 ZINC000790300036 699452705 /nfs/dbraw/zinc/45/27/05/699452705.db2.gz OBJWUCBFNJDWGD-LLVKDONJSA-N 1 2 302.334 1.806 20 30 DDEDLO C#CCCCS(=O)(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000791420832 699633073 /nfs/dbraw/zinc/63/30/73/699633073.db2.gz SQWVXNCBTNPVIA-UHFFFAOYSA-N 1 2 307.419 1.515 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000792879966 699720338 /nfs/dbraw/zinc/72/03/38/699720338.db2.gz MTYGUTYHIYBQFT-OCCSQVGLSA-N 1 2 305.290 1.773 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(Cc2cccc(F)c2C#N)CC1 ZINC000794419195 699808999 /nfs/dbraw/zinc/80/89/99/699808999.db2.gz WPIFPLCXDDLKIU-OAQYLSRUSA-N 1 2 309.410 1.193 20 30 DDEDLO CNC(=S)NN=C1CCN(c2ccc([NH+](C)C)cc2)CC1 ZINC000741451737 699840925 /nfs/dbraw/zinc/84/09/25/699840925.db2.gz NVCOSPXLAHSXBU-UHFFFAOYSA-N 1 2 305.451 1.803 20 30 DDEDLO C[C@H]1C[N@@H+](C2CC2)CC1=NNS(=O)(=O)Cc1ccccc1 ZINC000755890570 700590307 /nfs/dbraw/zinc/59/03/07/700590307.db2.gz MVMKUDSHRCIKBC-LBPRGKRZSA-N 1 2 307.419 1.576 20 30 DDEDLO C[C@H]1C[N@H+](C2CC2)CC1=NNS(=O)(=O)Cc1ccccc1 ZINC000755890570 700590309 /nfs/dbraw/zinc/59/03/09/700590309.db2.gz MVMKUDSHRCIKBC-LBPRGKRZSA-N 1 2 307.419 1.576 20 30 DDEDLO Clc1cnccc1N[NH+]=Cc1cnc(N2CCCC2)nc1 ZINC000756842216 700644158 /nfs/dbraw/zinc/64/41/58/700644158.db2.gz MIBATLHFTPVMIV-UHFFFAOYSA-N 1 2 302.769 1.993 20 30 DDEDLO CC(C)C(=O)NC[C@H]1CCC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758216682 700690283 /nfs/dbraw/zinc/69/02/83/700690283.db2.gz MSITURADWUTODL-CQSZACIVSA-N 1 2 316.405 1.162 20 30 DDEDLO CC(C)C(=O)NC[C@H]1CCC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758216682 700690284 /nfs/dbraw/zinc/69/02/84/700690284.db2.gz MSITURADWUTODL-CQSZACIVSA-N 1 2 316.405 1.162 20 30 DDEDLO N#CCCNC(=O)C[N@@H+]1CCC[C@H]1CC(=O)c1cccs1 ZINC000758726872 700720291 /nfs/dbraw/zinc/72/02/91/700720291.db2.gz UUWRRRQSWLBIEO-LBPRGKRZSA-N 1 2 305.403 1.815 20 30 DDEDLO N#CCCNC(=O)C[N@H+]1CCC[C@H]1CC(=O)c1cccs1 ZINC000758726872 700720293 /nfs/dbraw/zinc/72/02/93/700720293.db2.gz UUWRRRQSWLBIEO-LBPRGKRZSA-N 1 2 305.403 1.815 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(Cc2cc(F)ccc2C#N)CC1 ZINC000759345902 700747987 /nfs/dbraw/zinc/74/79/87/700747987.db2.gz UJSVRBORLUNOQR-OAQYLSRUSA-N 1 2 309.410 1.193 20 30 DDEDLO CC#CCCON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000764937310 700992052 /nfs/dbraw/zinc/99/20/52/700992052.db2.gz OZOPQDFTBQJAIR-UHFFFAOYSA-N 1 2 301.390 1.569 20 30 DDEDLO NC(=[NH+]OCCC1OCCO1)c1ccc(Br)cc1 ZINC000767553607 701115991 /nfs/dbraw/zinc/11/59/91/701115991.db2.gz BBMDKBGEWKLTJF-UHFFFAOYSA-N 1 2 315.167 1.849 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ncn(-c3ccccc3)n2)CC1 ZINC000768338548 701169843 /nfs/dbraw/zinc/16/98/43/701169843.db2.gz LYMOEIWLKLUCND-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO C#CCNc1nc(N2CCC[C@@H]3C[C@@H]32)nc(N2CCC[C@@H]3C[C@@H]32)[nH+]1 ZINC000804780567 701212461 /nfs/dbraw/zinc/21/24/61/701212461.db2.gz CXOIZBUYQLVUIH-KBXIAJHMSA-N 1 2 324.432 1.316 20 30 DDEDLO C#CCNc1nc(N2CCC[C@@H]3C[C@@H]32)[nH+]c(N2CCC[C@@H]3C[C@@H]32)n1 ZINC000804780567 701212465 /nfs/dbraw/zinc/21/24/65/701212465.db2.gz CXOIZBUYQLVUIH-KBXIAJHMSA-N 1 2 324.432 1.316 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCCCC2(C#N)CCOCC2)C1 ZINC000805478001 701385135 /nfs/dbraw/zinc/38/51/35/701385135.db2.gz CQPBHJBZCGASAR-CQSZACIVSA-N 1 2 310.394 1.351 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCCCC2(C#N)CCOCC2)C1 ZINC000805478001 701385136 /nfs/dbraw/zinc/38/51/36/701385136.db2.gz CQPBHJBZCGASAR-CQSZACIVSA-N 1 2 310.394 1.351 20 30 DDEDLO CC(N=Nc1nc[nH]n1)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000807996429 701493615 /nfs/dbraw/zinc/49/36/15/701493615.db2.gz SAQIXPUMSMCQNH-CQSZACIVSA-N 1 2 300.366 1.494 20 30 DDEDLO CC(N=Nc1nc[nH]n1)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000807996429 701493617 /nfs/dbraw/zinc/49/36/17/701493617.db2.gz SAQIXPUMSMCQNH-CQSZACIVSA-N 1 2 300.366 1.494 20 30 DDEDLO COc1cccc(C[NH+]2CCN(C(=O)c3c[nH]c(C#N)c3)CC2)c1 ZINC000866103789 706618627 /nfs/dbraw/zinc/61/86/27/706618627.db2.gz GONZNYXBUDADMB-UHFFFAOYSA-N 1 2 324.384 1.853 20 30 DDEDLO COc1cc(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)ccc1C#N ZINC000831071409 706629771 /nfs/dbraw/zinc/62/97/71/706629771.db2.gz DYIDBHQMKOKFCS-OAHLLOKOSA-N 1 2 322.368 1.971 20 30 DDEDLO CCc1ccc(C#CC(=O)NC(C)(C)C[NH+]2CCOCC2)cc1 ZINC000840522738 702139664 /nfs/dbraw/zinc/13/96/64/702139664.db2.gz MTMQUVQZVRFATD-UHFFFAOYSA-N 1 2 314.429 1.828 20 30 DDEDLO C#C[C@H](C)NC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000868513204 702170915 /nfs/dbraw/zinc/17/09/15/702170915.db2.gz BFUFYSMOQAMLCQ-LBPRGKRZSA-N 1 2 304.419 1.456 20 30 DDEDLO COC(=O)c1cnoc1C[N@H+](C)C[C@@H](O)c1cccc(C#N)c1 ZINC000844371634 703021811 /nfs/dbraw/zinc/02/18/11/703021811.db2.gz PJOJKEIMNQQKHF-CQSZACIVSA-N 1 2 315.329 1.498 20 30 DDEDLO COC(=O)c1cnoc1C[N@@H+](C)C[C@@H](O)c1cccc(C#N)c1 ZINC000844371634 703021813 /nfs/dbraw/zinc/02/18/13/703021813.db2.gz PJOJKEIMNQQKHF-CQSZACIVSA-N 1 2 315.329 1.498 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)NCc1c[nH+]cn1C ZINC000845200345 703127406 /nfs/dbraw/zinc/12/74/06/703127406.db2.gz FTTOKAXFHDLXMA-OAHLLOKOSA-N 1 2 313.361 1.167 20 30 DDEDLO C[C@@H](CNC(=O)C#Cc1cccs1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000848292462 703536672 /nfs/dbraw/zinc/53/66/72/703536672.db2.gz IIZYLRUAYQVMDG-MELADBBJSA-N 1 2 306.431 1.714 20 30 DDEDLO C[C@@H](CNC(=O)C#Cc1cccs1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000848292462 703536673 /nfs/dbraw/zinc/53/66/73/703536673.db2.gz IIZYLRUAYQVMDG-MELADBBJSA-N 1 2 306.431 1.714 20 30 DDEDLO CC(=NNCCCn1cc[nH+]c1)c1ccccc1-n1ccnn1 ZINC000848416966 703547952 /nfs/dbraw/zinc/54/79/52/703547952.db2.gz FZKLXUPQVFXECR-UHFFFAOYSA-N 1 2 309.377 1.868 20 30 DDEDLO C[C@@H]1CCC(=NNCCCn2cc[nH+]c2)[C@@H](n2cncn2)C1 ZINC000848417145 703548142 /nfs/dbraw/zinc/54/81/42/703548142.db2.gz MNDPWBVMTNZSJR-HIFRSBDPSA-N 1 2 301.398 1.872 20 30 DDEDLO CCn1cc(C[NH2+][C@@H]2CCCN(c3ccc(C#N)cc3)C2=O)nn1 ZINC000849323413 703634980 /nfs/dbraw/zinc/63/49/80/703634980.db2.gz PTGZPYIDYJQYNT-MRXNPFEDSA-N 1 2 324.388 1.455 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC000851712946 703834995 /nfs/dbraw/zinc/83/49/95/703834995.db2.gz VSZCVRLIJILSEL-UHFFFAOYSA-N 1 2 306.793 1.748 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000851716552 703835692 /nfs/dbraw/zinc/83/56/92/703835692.db2.gz JOUBLYGPHAQGPP-UHFFFAOYSA-N 1 2 308.328 1.372 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH2+][C@H](c2cccc(OC)c2)C1 ZINC000870153732 703935372 /nfs/dbraw/zinc/93/53/72/703935372.db2.gz XGNSUVKHXKAGDR-RDJZCZTQSA-N 1 2 304.390 1.495 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCC3=C(CCC(=O)N3)C2)cc1 ZINC000852298724 704000826 /nfs/dbraw/zinc/00/08/26/704000826.db2.gz FQWBYZQXYRMSGC-UHFFFAOYSA-N 1 2 310.357 1.367 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCC3=C(CCC(=O)N3)C2)cc1 ZINC000852298724 704000832 /nfs/dbraw/zinc/00/08/32/704000832.db2.gz FQWBYZQXYRMSGC-UHFFFAOYSA-N 1 2 310.357 1.367 20 30 DDEDLO C[C@H](C#N)Oc1ccccc1NC[C@@H](O)C[N@H+](C)CCC#N ZINC000819465263 704130407 /nfs/dbraw/zinc/13/04/07/704130407.db2.gz JNQRPGQXUVBRGW-ZIAGYGMSSA-N 1 2 302.378 1.596 20 30 DDEDLO C[C@H](C#N)Oc1ccccc1NC[C@@H](O)C[N@@H+](C)CCC#N ZINC000819465263 704130409 /nfs/dbraw/zinc/13/04/09/704130409.db2.gz JNQRPGQXUVBRGW-ZIAGYGMSSA-N 1 2 302.378 1.596 20 30 DDEDLO C[C@@]12C(=O)N(C[N@@H+]3CCC[C@@H](CC#N)C3)C(=O)[C@H]1[C@@H]1CC[C@H]2C1 ZINC000853525273 704259614 /nfs/dbraw/zinc/25/96/14/704259614.db2.gz UNXFQUVUPGCQHT-KCTHJMFYSA-N 1 2 315.417 1.991 20 30 DDEDLO C[C@@]12C(=O)N(C[N@H+]3CCC[C@@H](CC#N)C3)C(=O)[C@H]1[C@@H]1CC[C@H]2C1 ZINC000853525273 704259618 /nfs/dbraw/zinc/25/96/18/704259618.db2.gz UNXFQUVUPGCQHT-KCTHJMFYSA-N 1 2 315.417 1.991 20 30 DDEDLO COC(=O)CCN(CC#N)C(=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000871341552 704268933 /nfs/dbraw/zinc/26/89/33/704268933.db2.gz YZKYMULIODVDRP-UHFFFAOYSA-N 1 2 314.345 1.101 20 30 DDEDLO CN(CCC#N)C(=O)CC[NH+]1CC(O)(c2ccc(Cl)cc2)C1 ZINC000821257716 704384061 /nfs/dbraw/zinc/38/40/61/704384061.db2.gz BHMOKMWWHQUVLM-UHFFFAOYSA-N 1 2 321.808 1.605 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000855494173 704487903 /nfs/dbraw/zinc/48/79/03/704487903.db2.gz AEKQSDBDELQSEX-ZDUSSCGKSA-N 1 2 317.389 1.249 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CO)c1ccc(F)cc1F ZINC000856274331 704521078 /nfs/dbraw/zinc/52/10/78/704521078.db2.gz FOKWVVZYTRTMGW-CABCVRRESA-N 1 2 308.328 1.212 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CO)c1ccc(F)cc1F ZINC000856274331 704521079 /nfs/dbraw/zinc/52/10/79/704521079.db2.gz FOKWVVZYTRTMGW-CABCVRRESA-N 1 2 308.328 1.212 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@H]2CCn3c[nH+]cc3C2)s1 ZINC000857952702 704649221 /nfs/dbraw/zinc/64/92/21/704649221.db2.gz WJSRNSKUOFAWAA-JTQLQIEISA-N 1 2 303.391 1.682 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2C(=O)N[C@@H]2CCn3c[nH+]cc32)cc1 ZINC000857984212 704655525 /nfs/dbraw/zinc/65/55/25/704655525.db2.gz HPUAAZCSOCPVEB-HZPDHXFCSA-N 1 2 321.384 1.985 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N[C@H]1CCn2c[nH+]cc21 ZINC000857989671 704657589 /nfs/dbraw/zinc/65/75/89/704657589.db2.gz SIXKANCCLFEBHE-LBPRGKRZSA-N 1 2 312.329 1.403 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCO[C@H](C(F)F)C2)CCOCC1 ZINC000859296803 704853950 /nfs/dbraw/zinc/85/39/50/704853950.db2.gz OJYFWNNYADBCMQ-RYUDHWBXSA-N 1 2 304.337 1.024 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCO[C@H](C(F)F)C2)CCOCC1 ZINC000859296803 704853955 /nfs/dbraw/zinc/85/39/55/704853955.db2.gz OJYFWNNYADBCMQ-RYUDHWBXSA-N 1 2 304.337 1.024 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC(n2cc[nH+]c2)CC1)C1CCOCC1 ZINC000823185936 705164919 /nfs/dbraw/zinc/16/49/19/705164919.db2.gz HCYQQOZMSPDPFQ-INIZCTEOSA-N 1 2 316.405 1.658 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(NC(=O)NCCC#N)CC1 ZINC000875541113 705423639 /nfs/dbraw/zinc/42/36/39/705423639.db2.gz ULQKEDSUYAJEDL-UHFFFAOYSA-N 1 2 310.398 1.005 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCO[C@@H](CC(N)=O)C2)cc1 ZINC000862535654 705728937 /nfs/dbraw/zinc/72/89/37/705728937.db2.gz UZRXMKLMZRIRGM-INIZCTEOSA-N 1 2 302.374 1.165 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCO[C@@H](CC(N)=O)C2)cc1 ZINC000862535654 705728939 /nfs/dbraw/zinc/72/89/39/705728939.db2.gz UZRXMKLMZRIRGM-INIZCTEOSA-N 1 2 302.374 1.165 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@@H](CNC(=O)[C@H](C)CC#N)C2)cc1 ZINC000826590123 705820479 /nfs/dbraw/zinc/82/04/79/705820479.db2.gz PASQRMOKUVNTGB-WBVHZDCISA-N 1 2 315.417 1.862 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@@H](CNC(=O)[C@H](C)CC#N)C2)cc1 ZINC000826590123 705820483 /nfs/dbraw/zinc/82/04/83/705820483.db2.gz PASQRMOKUVNTGB-WBVHZDCISA-N 1 2 315.417 1.862 20 30 DDEDLO Cc1nccn1CCNC(=O)[C@H]1[NH2+]CCc2cc(C#N)ccc21 ZINC000876747263 705854938 /nfs/dbraw/zinc/85/49/38/705854938.db2.gz ZMLXXKVRAWCKEP-INIZCTEOSA-N 1 2 309.373 1.066 20 30 DDEDLO COC(=O)C[C@H](C)[NH+]1CCN(C(=O)C#Cc2cccs2)CC1 ZINC000826746421 705863293 /nfs/dbraw/zinc/86/32/93/705863293.db2.gz AEICRUFTMUMPDI-ZDUSSCGKSA-N 1 2 320.414 1.195 20 30 DDEDLO C#Cc1cnc(NC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)nc1 ZINC000827294877 705969922 /nfs/dbraw/zinc/96/99/22/705969922.db2.gz UNICCUTUGJNVCK-QGZVFWFLSA-N 1 2 308.385 1.771 20 30 DDEDLO C#Cc1cnc(NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)nc1 ZINC000827294877 705969926 /nfs/dbraw/zinc/96/99/26/705969926.db2.gz UNICCUTUGJNVCK-QGZVFWFLSA-N 1 2 308.385 1.771 20 30 DDEDLO C#Cc1cnc(N[C@@H](C[NH+]2CCOCC2)c2ccccc2)nc1 ZINC000827327156 705975931 /nfs/dbraw/zinc/97/59/31/705975931.db2.gz JCBDHFJQPNMHQL-KRWDZBQOSA-N 1 2 308.385 1.943 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)OCC ZINC000881753189 707351861 /nfs/dbraw/zinc/35/18/61/707351861.db2.gz JOBMXGJCIVQVAB-CHWSQXEVSA-N 1 2 314.451 1.438 20 30 DDEDLO CCOC(=O)[C@@H](C)C1C[NH+](Cc2cnc3ccc(C#N)cn23)C1 ZINC000877829688 706226578 /nfs/dbraw/zinc/22/65/78/706226578.db2.gz ZTOVYFCIDZHOMU-LBPRGKRZSA-N 1 2 312.373 1.837 20 30 DDEDLO CC[N@H+](C[C@H](C)C#N)C[C@H](O)c1cc(Br)no1 ZINC000878021086 706266314 /nfs/dbraw/zinc/26/63/14/706266314.db2.gz WHGAQYYXUGTPHP-BDAKNGLRSA-N 1 2 302.172 1.952 20 30 DDEDLO CC[N@@H+](C[C@H](C)C#N)C[C@H](O)c1cc(Br)no1 ZINC000878021086 706266316 /nfs/dbraw/zinc/26/63/16/706266316.db2.gz WHGAQYYXUGTPHP-BDAKNGLRSA-N 1 2 302.172 1.952 20 30 DDEDLO CCOc1ccc(C#N)cc1NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000864996608 706321491 /nfs/dbraw/zinc/32/14/91/706321491.db2.gz JOCFRXWMCZXKSN-ONEGZZNKSA-N 1 2 315.373 1.784 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)[N@@H+]2Cc2ccc(C#N)o2)O1 ZINC000878566346 706429246 /nfs/dbraw/zinc/42/92/46/706429246.db2.gz YUTAMDCKAROZEO-RABLLNBGSA-N 1 2 304.346 1.835 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)[N@H+]2Cc2ccc(C#N)o2)O1 ZINC000878566346 706429249 /nfs/dbraw/zinc/42/92/49/706429249.db2.gz YUTAMDCKAROZEO-RABLLNBGSA-N 1 2 304.346 1.835 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1c(OC)cc(F)cc1OC ZINC000865524272 706454503 /nfs/dbraw/zinc/45/45/03/706454503.db2.gz KNWQRAZESMLYGK-UHFFFAOYSA-N 1 2 317.382 1.533 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC000878796244 706501329 /nfs/dbraw/zinc/50/13/29/706501329.db2.gz DZYVQHIDYHVFJM-MRVPVSSYSA-N 1 2 300.156 1.586 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC000878796244 706501332 /nfs/dbraw/zinc/50/13/32/706501332.db2.gz DZYVQHIDYHVFJM-MRVPVSSYSA-N 1 2 300.156 1.586 20 30 DDEDLO CS(=O)(=O)CC[NH+]1CCC(Nc2ccccc2C#N)CC1 ZINC000878963498 706545193 /nfs/dbraw/zinc/54/51/93/706545193.db2.gz JQSATBZGXRKMQL-UHFFFAOYSA-N 1 2 307.419 1.479 20 30 DDEDLO C#CCC[N@@H+](CCOC)Cc1nnc(-c2ccccc2O)o1 ZINC000878999162 706557282 /nfs/dbraw/zinc/55/72/82/706557282.db2.gz FTPYLZLPHDGWQA-UHFFFAOYSA-N 1 2 301.346 1.914 20 30 DDEDLO C#CCC[N@H+](CCOC)Cc1nnc(-c2ccccc2O)o1 ZINC000878999162 706557288 /nfs/dbraw/zinc/55/72/88/706557288.db2.gz FTPYLZLPHDGWQA-UHFFFAOYSA-N 1 2 301.346 1.914 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCNC(=O)CC23CCC3)c([N+](=O)[O-])c1 ZINC000880167981 706898257 /nfs/dbraw/zinc/89/82/57/706898257.db2.gz WJTLEYZJYHERRR-UHFFFAOYSA-N 1 2 314.345 1.711 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCNC(=O)CC23CCC3)c([N+](=O)[O-])c1 ZINC000880167981 706898261 /nfs/dbraw/zinc/89/82/61/706898261.db2.gz WJTLEYZJYHERRR-UHFFFAOYSA-N 1 2 314.345 1.711 20 30 DDEDLO COC(=O)CC[N@H+](CC(=O)OC(C)(C)C)[C@@H]1CC[C@H](C#N)C1 ZINC000880573504 707023014 /nfs/dbraw/zinc/02/30/14/707023014.db2.gz IGTUWSSIHVILFZ-QWHCGFSZSA-N 1 2 310.394 1.885 20 30 DDEDLO COC(=O)CC[N@@H+](CC(=O)OC(C)(C)C)[C@@H]1CC[C@H](C#N)C1 ZINC000880573504 707023015 /nfs/dbraw/zinc/02/30/15/707023015.db2.gz IGTUWSSIHVILFZ-QWHCGFSZSA-N 1 2 310.394 1.885 20 30 DDEDLO C#CC1CCN(C(=O)C(=O)Nc2ccc3[nH+]c(C)cn3c2)CC1 ZINC000834303589 707026038 /nfs/dbraw/zinc/02/60/38/707026038.db2.gz IBDMCUHWFGJBQC-UHFFFAOYSA-N 1 2 310.357 1.453 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cc(C#N)ccc2F)C[C@H](C)O1 ZINC000834709425 707105359 /nfs/dbraw/zinc/10/53/59/707105359.db2.gz QFHNWVZYKIQBKF-BETUJISGSA-N 1 2 319.380 1.879 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2cc(C#N)ccc2F)C[C@H](C)O1 ZINC000834709425 707105362 /nfs/dbraw/zinc/10/53/62/707105362.db2.gz QFHNWVZYKIQBKF-BETUJISGSA-N 1 2 319.380 1.879 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC000834770979 707113157 /nfs/dbraw/zinc/11/31/57/707113157.db2.gz NJZWZGXGKSHBDR-AWEZNQCLSA-N 1 2 324.384 1.212 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCCN(C)C(=O)OC(C)(C)C)nn1 ZINC000881347220 707206725 /nfs/dbraw/zinc/20/67/25/707206725.db2.gz DUVKMLUHAGQMSL-UHFFFAOYSA-N 1 2 321.425 1.648 20 30 DDEDLO Cc1ccc(S(=O)(=O)N[C@@H](C)Cn2cc[nH+]c2)c(C#N)c1 ZINC000872437876 707410794 /nfs/dbraw/zinc/41/07/94/707410794.db2.gz ROSMXKGFCIEGIL-LBPRGKRZSA-N 1 2 304.375 1.430 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)C[C@@H]1C ZINC000872468506 707429714 /nfs/dbraw/zinc/42/97/14/707429714.db2.gz WHROSWOBQJFQJM-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1C[C@@H](C)[N@H+](C)C[C@@H]1C ZINC000872468506 707429721 /nfs/dbraw/zinc/42/97/21/707429721.db2.gz WHROSWOBQJFQJM-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[N@@H+](C)[C@H](C)[C@@H]1C ZINC000872468442 707429823 /nfs/dbraw/zinc/42/98/23/707429823.db2.gz UMIVWAARQWAMNU-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[N@H+](C)[C@H](C)[C@@H]1C ZINC000872468442 707429828 /nfs/dbraw/zinc/42/98/28/707429828.db2.gz UMIVWAARQWAMNU-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO CSC1CC[NH+](Cn2cc3c(c(C#N)c2=O)CCC3)CC1 ZINC000872627887 707522023 /nfs/dbraw/zinc/52/20/23/707522023.db2.gz LCVXVDNLZWWBJD-UHFFFAOYSA-N 1 2 303.431 1.994 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNc1c(C#N)cccc1[N+](=O)[O-] ZINC000872773535 707594451 /nfs/dbraw/zinc/59/44/51/707594451.db2.gz OUIOFPXRAWMAMD-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNc1c(C#N)cccc1[N+](=O)[O-] ZINC000872773535 707594455 /nfs/dbraw/zinc/59/44/55/707594455.db2.gz OUIOFPXRAWMAMD-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](CC)c1ccccc1 ZINC000884058210 708121197 /nfs/dbraw/zinc/12/11/97/708121197.db2.gz DOMALPNENZAVDV-ZFWWWQNUSA-N 1 2 304.390 1.743 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000884062006 708122518 /nfs/dbraw/zinc/12/25/18/708122518.db2.gz BXHXQHRWDNWVRL-YJNKXOJESA-N 1 2 308.422 1.908 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc(COC(C)C)c1 ZINC000884106216 708141992 /nfs/dbraw/zinc/14/19/92/708141992.db2.gz KLQDFDNKKOLLMQ-HNNXBMFYSA-N 1 2 320.389 1.997 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1[C@@H]2CCc3ccccc3[C@@H]21 ZINC000884328368 708247689 /nfs/dbraw/zinc/24/76/89/708247689.db2.gz ONLMPDJCPWZESQ-YQFWSFKMSA-N 1 2 314.385 1.278 20 30 DDEDLO CC(C)(C#N)c1ccc(C[NH2+]Cc2nnc3c(=O)[nH]ccn23)cc1 ZINC000886291030 708736375 /nfs/dbraw/zinc/73/63/75/708736375.db2.gz VFTRVIPYLYYURR-UHFFFAOYSA-N 1 2 322.372 1.509 20 30 DDEDLO C#CCC1(NC(=O)N[C@@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC000888832608 709387462 /nfs/dbraw/zinc/38/74/62/709387462.db2.gz KCBLKALLSHBKMC-CYBMUJFWSA-N 1 2 302.378 1.070 20 30 DDEDLO CN(C)c1ccc(C(=O)[O-])cc1C=[NH+]NCC[NH+]1CCCCC1 ZINC000901169968 709953323 /nfs/dbraw/zinc/95/33/23/709953323.db2.gz QPSRHBDVSCLUCP-UHFFFAOYSA-N 1 2 318.421 1.860 20 30 DDEDLO N#Cc1ccnc(N2CCN(c3nccn4c[nH+]cc34)CC2)c1 ZINC000891676835 710263729 /nfs/dbraw/zinc/26/37/29/710263729.db2.gz CDYMJGBVVXJYOQ-UHFFFAOYSA-N 1 2 305.345 1.323 20 30 DDEDLO CN(C)c1cc(N2CCN(c3cc(C#N)ccn3)CC2)nc[nH+]1 ZINC000891678655 710263955 /nfs/dbraw/zinc/26/39/55/710263955.db2.gz KTGQTEJAPSDKGH-UHFFFAOYSA-N 1 2 309.377 1.136 20 30 DDEDLO CN(C)c1cc(N2CCN(c3cc(C#N)ccn3)CC2)[nH+]cn1 ZINC000891678655 710263957 /nfs/dbraw/zinc/26/39/57/710263957.db2.gz KTGQTEJAPSDKGH-UHFFFAOYSA-N 1 2 309.377 1.136 20 30 DDEDLO Cn1nc2c(c1C[NH+]1CCN(c3cccc(C#N)n3)CC1)CCC2 ZINC000893598720 710735087 /nfs/dbraw/zinc/73/50/87/710735087.db2.gz VNWOVDOJDXSLRI-UHFFFAOYSA-N 1 2 322.416 1.498 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCC(=O)N2CCOC(C)(C)C2)ccc1F ZINC000902699869 710868369 /nfs/dbraw/zinc/86/83/69/710868369.db2.gz UULOPEDMILLVDX-UHFFFAOYSA-N 1 2 323.368 1.408 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)c3cscc3C#N)CC[NH2+]2)cn1 ZINC000913459997 713225986 /nfs/dbraw/zinc/22/59/86/713225986.db2.gz KBBFVKPKCQWPAE-ZDUSSCGKSA-N 1 2 301.375 1.140 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1cccc(F)c1C#N ZINC000928645514 713248467 /nfs/dbraw/zinc/24/84/67/713248467.db2.gz YGCZMSVMIIZUBW-GFCCVEGCSA-N 1 2 305.353 1.538 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)N2CC[N@H+](CCO)[C@@H](C)C2)cc1 ZINC000907542892 712592231 /nfs/dbraw/zinc/59/22/31/712592231.db2.gz FISJEXDDDIKXPT-KIUWMYQTSA-N 1 2 316.401 1.259 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)N2CC[N@@H+](CCO)[C@@H](C)C2)cc1 ZINC000907542892 712592233 /nfs/dbraw/zinc/59/22/33/712592233.db2.gz FISJEXDDDIKXPT-KIUWMYQTSA-N 1 2 316.401 1.259 20 30 DDEDLO C#CCCCc1nnc(N2CC[NH+](Cc3ccco3)CC2)n1C ZINC000908538787 712801304 /nfs/dbraw/zinc/80/13/04/712801304.db2.gz XIKOTKDGLKBZCG-UHFFFAOYSA-N 1 2 313.405 1.686 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(F)c(C#N)c1 ZINC000930189162 713774734 /nfs/dbraw/zinc/77/47/34/713774734.db2.gz VLKCGOWNAXUJTM-ZDUSSCGKSA-N 1 2 320.368 1.930 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2cccc(SC)c2)CC1 ZINC000931143197 714014296 /nfs/dbraw/zinc/01/42/96/714014296.db2.gz GVFIUKQGOXOPLL-UHFFFAOYSA-N 1 2 304.415 1.807 20 30 DDEDLO CC1(C)CC(=O)NCC[N@@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000931202046 714034283 /nfs/dbraw/zinc/03/42/83/714034283.db2.gz BMSOQKVDFYWWPX-UHFFFAOYSA-N 1 2 302.334 1.567 20 30 DDEDLO CC1(C)CC(=O)NCC[N@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000931202046 714034284 /nfs/dbraw/zinc/03/42/84/714034284.db2.gz BMSOQKVDFYWWPX-UHFFFAOYSA-N 1 2 302.334 1.567 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CCCC[C@@H]1C#N ZINC000923253171 714285597 /nfs/dbraw/zinc/28/55/97/714285597.db2.gz TXCIWPBDHFQZSO-KFWWJZLASA-N 1 2 308.426 1.479 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000923486174 714372364 /nfs/dbraw/zinc/37/23/64/714372364.db2.gz CFYBDMIWFUDRPV-GFCCVEGCSA-N 1 2 324.450 1.432 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](c2ncc(C(=O)OC)s2)C1 ZINC000932660965 714380552 /nfs/dbraw/zinc/38/05/52/714380552.db2.gz UNHGQUINAIDTDA-JTQLQIEISA-N 1 2 309.391 1.021 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](c2ncc(C(=O)OC)s2)C1 ZINC000932660965 714380556 /nfs/dbraw/zinc/38/05/56/714380556.db2.gz UNHGQUINAIDTDA-JTQLQIEISA-N 1 2 309.391 1.021 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]c3c(c2C)C(=O)CCC3)C1 ZINC000923590799 714420559 /nfs/dbraw/zinc/42/05/59/714420559.db2.gz DEXHLWOSBYEBGT-CYBMUJFWSA-N 1 2 313.401 1.670 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]c3c(c2C)C(=O)CCC3)C1 ZINC000923590799 714420561 /nfs/dbraw/zinc/42/05/61/714420561.db2.gz DEXHLWOSBYEBGT-CYBMUJFWSA-N 1 2 313.401 1.670 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2[nH]c(C)c(C(C)=O)c2C)C1 ZINC000923595995 714423311 /nfs/dbraw/zinc/42/33/11/714423311.db2.gz YWOWDQQMZKYKBJ-AWEZNQCLSA-N 1 2 301.390 1.662 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]c(C)c(C(C)=O)c2C)C1 ZINC000923595995 714423312 /nfs/dbraw/zinc/42/33/12/714423312.db2.gz YWOWDQQMZKYKBJ-AWEZNQCLSA-N 1 2 301.390 1.662 20 30 DDEDLO C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)Cc1cc(C#N)cs1 ZINC000933778026 714669805 /nfs/dbraw/zinc/66/98/05/714669805.db2.gz IMCIBPNXNWXNLG-OAHLLOKOSA-N 1 2 302.403 1.860 20 30 DDEDLO C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)Cc1cc(C#N)cs1 ZINC000933778026 714669806 /nfs/dbraw/zinc/66/98/06/714669806.db2.gz IMCIBPNXNWXNLG-OAHLLOKOSA-N 1 2 302.403 1.860 20 30 DDEDLO C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)Cc1cc(C#N)cs1 ZINC000933778025 714669933 /nfs/dbraw/zinc/66/99/33/714669933.db2.gz IMCIBPNXNWXNLG-HNNXBMFYSA-N 1 2 302.403 1.860 20 30 DDEDLO C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)Cc1cc(C#N)cs1 ZINC000933778025 714669935 /nfs/dbraw/zinc/66/99/35/714669935.db2.gz IMCIBPNXNWXNLG-HNNXBMFYSA-N 1 2 302.403 1.860 20 30 DDEDLO C=CC[C@H]([NH2+][C@H](C)C1(C(=O)OCC)CCOCC1)C(=O)OC ZINC000933876940 714690211 /nfs/dbraw/zinc/69/02/11/714690211.db2.gz PFHVUNNXJSRBDM-OLZOCXBDSA-N 1 2 313.394 1.442 20 30 DDEDLO CO[C@@H]1CC[C@H]1[N@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000934117191 714746087 /nfs/dbraw/zinc/74/60/87/714746087.db2.gz ZNUCRISDPBJMFW-HUUCEWRRSA-N 1 2 308.403 1.441 20 30 DDEDLO CO[C@@H]1CC[C@H]1[N@@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000934117191 714746089 /nfs/dbraw/zinc/74/60/89/714746089.db2.gz ZNUCRISDPBJMFW-HUUCEWRRSA-N 1 2 308.403 1.441 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCN(c2ccccc2)[C@H](C)C1 ZINC000934326298 714795414 /nfs/dbraw/zinc/79/54/14/714795414.db2.gz WISDUPXCUPGHRH-CQSZACIVSA-N 1 2 316.405 1.209 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCN(c2ccccc2)[C@H](C)C1 ZINC000934326298 714795417 /nfs/dbraw/zinc/79/54/17/714795417.db2.gz WISDUPXCUPGHRH-CQSZACIVSA-N 1 2 316.405 1.209 20 30 DDEDLO C=CC[C@H]1CC[N@H+]1CC(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000934462097 714826932 /nfs/dbraw/zinc/82/69/32/714826932.db2.gz VBEIHNVWEQDMCE-NSHDSACASA-N 1 2 316.340 1.390 20 30 DDEDLO C=CC[C@H]1CC[N@@H+]1CC(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000934462097 714826935 /nfs/dbraw/zinc/82/69/35/714826935.db2.gz VBEIHNVWEQDMCE-NSHDSACASA-N 1 2 316.340 1.390 20 30 DDEDLO CC[C@H]([NH2+]C[C@H](CO)[C@@H]1CCOC1)c1cccc(C#N)c1O ZINC000926340736 715050440 /nfs/dbraw/zinc/05/04/40/715050440.db2.gz IAQPFMFDEWICCH-FMKPAKJESA-N 1 2 304.390 1.950 20 30 DDEDLO CC[C@@H]([NH2+]C1CN(c2ccncn2)C1)c1cccc(C#N)c1O ZINC000926793238 715125110 /nfs/dbraw/zinc/12/51/10/715125110.db2.gz JUZVITXWPUABOK-OAHLLOKOSA-N 1 2 309.373 1.983 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC000956566854 715471662 /nfs/dbraw/zinc/47/16/62/715471662.db2.gz HZJIXENLSJDPIZ-MAUKXSAKSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(N(C)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000954932534 715551123 /nfs/dbraw/zinc/55/11/23/715551123.db2.gz YWDYJPASPHHEIH-UHFFFAOYSA-N 1 2 318.421 1.532 20 30 DDEDLO C=C(C)CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC000957130528 715761736 /nfs/dbraw/zinc/76/17/36/715761736.db2.gz RNASCQPKWQAWCM-CYBMUJFWSA-N 1 2 317.437 1.409 20 30 DDEDLO C=C(C)CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC000957130528 715761737 /nfs/dbraw/zinc/76/17/37/715761737.db2.gz RNASCQPKWQAWCM-CYBMUJFWSA-N 1 2 317.437 1.409 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)N([C@H](C)CCC)C2)C1 ZINC000957422110 715895718 /nfs/dbraw/zinc/89/57/18/715895718.db2.gz JLNGCHFLYSKJHT-HUUCEWRRSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2ccccc2-n2ccnn2)C1 ZINC000957466694 715913292 /nfs/dbraw/zinc/91/32/92/715913292.db2.gz TXZDWZLKPFCHAT-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CC(=O)N(C3CCCC3)C2)CC1 ZINC000957613948 715969060 /nfs/dbraw/zinc/96/90/60/715969060.db2.gz TXQTYOVNJNIETP-AWEZNQCLSA-N 1 2 305.422 1.108 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc3c(c2)nnn3CC)CC1 ZINC000957620231 715970865 /nfs/dbraw/zinc/97/08/65/715970865.db2.gz YZNQTDDNLIWWOZ-UHFFFAOYSA-N 1 2 313.405 1.785 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1C[C@H](O)[C@H](CNC(=O)C2CC2)C1 ZINC000957783409 716041917 /nfs/dbraw/zinc/04/19/17/716041917.db2.gz GYYVONXYKOREDS-ZBFHGGJFSA-N 1 2 317.364 1.016 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1C[C@H](O)[C@H](CNC(=O)C2CC2)C1 ZINC000957783409 716041921 /nfs/dbraw/zinc/04/19/21/716041921.db2.gz GYYVONXYKOREDS-ZBFHGGJFSA-N 1 2 317.364 1.016 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)nc1 ZINC000960498698 716581907 /nfs/dbraw/zinc/58/19/07/716581907.db2.gz CYYJCKIOEDKSCR-UONOGXRCSA-N 1 2 301.394 1.368 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)nc1 ZINC000960498698 716581913 /nfs/dbraw/zinc/58/19/13/716581913.db2.gz CYYJCKIOEDKSCR-UONOGXRCSA-N 1 2 301.394 1.368 20 30 DDEDLO Cn1ccnc1C[N@H+](C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960622485 716635265 /nfs/dbraw/zinc/63/52/65/716635265.db2.gz HZKRZEWJPPLXPV-OAHLLOKOSA-N 1 2 300.406 1.256 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960622485 716635266 /nfs/dbraw/zinc/63/52/66/716635266.db2.gz HZKRZEWJPPLXPV-OAHLLOKOSA-N 1 2 300.406 1.256 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4coc(C)n4)C[C@H]32)c1 ZINC000961723506 717074072 /nfs/dbraw/zinc/07/40/72/717074072.db2.gz RZUTZYMLZCGKTB-FVQHAEBGSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4coc(C)n4)C[C@H]32)c1 ZINC000961723506 717074078 /nfs/dbraw/zinc/07/40/78/717074078.db2.gz RZUTZYMLZCGKTB-FVQHAEBGSA-N 1 2 322.368 1.220 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@H]3CC(C)(C)C)CC2)C1 ZINC000941413127 717172670 /nfs/dbraw/zinc/17/26/70/717172670.db2.gz QSILKSASYKETRD-DOTOQJQBSA-N 1 2 317.477 1.520 20 30 DDEDLO C=C(C)C[NH+]1CC(N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC000941416576 717173129 /nfs/dbraw/zinc/17/31/29/717173129.db2.gz GLCOBWZSWXBULN-LBPRGKRZSA-N 1 2 323.334 1.291 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCN(C2C[NH+](CC#CC)C2)CC1 ZINC000941421926 717174361 /nfs/dbraw/zinc/17/43/61/717174361.db2.gz FABORQPGRSNXEE-UHFFFAOYSA-N 1 2 323.868 1.617 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H](C)C3CCC3)CC2)C1 ZINC000941455472 717180058 /nfs/dbraw/zinc/18/00/58/717180058.db2.gz RUZLGYSSWJWBJE-HNNXBMFYSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3(C4CCC4)CCC3)CC2)C1 ZINC000941506843 717189173 /nfs/dbraw/zinc/18/91/73/717189173.db2.gz PWPQZUUNBPDSDT-UHFFFAOYSA-N 1 2 315.461 1.418 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC[C@H](C)C3)CC2)C1 ZINC000941540603 717200657 /nfs/dbraw/zinc/20/06/57/717200657.db2.gz IEMDLTJVPMKRFX-DLBZAZTESA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000941934669 717394900 /nfs/dbraw/zinc/39/49/00/717394900.db2.gz VSCRBLLUTABSAE-CYBMUJFWSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964905222 717472501 /nfs/dbraw/zinc/47/25/01/717472501.db2.gz NUZRKPDVBRUPRL-JOYOIKCWSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964905222 717472504 /nfs/dbraw/zinc/47/25/04/717472504.db2.gz NUZRKPDVBRUPRL-JOYOIKCWSA-N 1 2 310.785 1.375 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@H]([C@@H]2CCCN2CC#N)C1 ZINC000963382505 717769177 /nfs/dbraw/zinc/76/91/77/717769177.db2.gz CEDPGRHEUSCRCT-HOCLYGCPSA-N 1 2 315.421 1.189 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965958173 717834464 /nfs/dbraw/zinc/83/44/64/717834464.db2.gz JSHQSYZBVXAAPU-GXSJLCMTSA-N 1 2 313.785 1.171 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965958173 717834458 /nfs/dbraw/zinc/83/44/58/717834458.db2.gz JSHQSYZBVXAAPU-GXSJLCMTSA-N 1 2 313.785 1.171 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000966666036 718622165 /nfs/dbraw/zinc/62/21/65/718622165.db2.gz JUJTVZLEXXZADD-OLZOCXBDSA-N 1 2 304.394 1.058 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966883383 718693048 /nfs/dbraw/zinc/69/30/48/718693048.db2.gz DDSBSNPOXGFSDS-GZMMTYOYSA-N 1 2 312.801 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966883383 718693051 /nfs/dbraw/zinc/69/30/51/718693051.db2.gz DDSBSNPOXGFSDS-GZMMTYOYSA-N 1 2 312.801 1.616 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967182257 718785685 /nfs/dbraw/zinc/78/56/85/718785685.db2.gz JMCAGCHEQZXLHX-CJNGLKHVSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967182257 718785689 /nfs/dbraw/zinc/78/56/89/718785689.db2.gz JMCAGCHEQZXLHX-CJNGLKHVSA-N 1 2 314.433 1.752 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cnn(C)n3)[C@H](C)C2)C1 ZINC000947702704 719248527 /nfs/dbraw/zinc/24/85/27/719248527.db2.gz RMKOJDUQCJLUKJ-ZIAGYGMSSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cnn(C)n3)[C@H](C)C2)C1 ZINC000947702704 719248530 /nfs/dbraw/zinc/24/85/30/719248530.db2.gz RMKOJDUQCJLUKJ-ZIAGYGMSSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cnon3)[C@@H](C)C2)C1 ZINC000947703107 719248952 /nfs/dbraw/zinc/24/89/52/719248952.db2.gz VSOSGMMSQVTWEI-QWHCGFSZSA-N 1 2 304.394 1.895 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cnon3)[C@@H](C)C2)C1 ZINC000947703107 719248953 /nfs/dbraw/zinc/24/89/53/719248953.db2.gz VSOSGMMSQVTWEI-QWHCGFSZSA-N 1 2 304.394 1.895 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1C[N@@H+](CCF)CC[C@@H]1C ZINC000968561352 719665863 /nfs/dbraw/zinc/66/58/63/719665863.db2.gz KIYKYJLMMDDZCY-ZFWWWQNUSA-N 1 2 319.380 1.503 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1C[N@H+](CCF)CC[C@@H]1C ZINC000968561352 719665868 /nfs/dbraw/zinc/66/58/68/719665868.db2.gz KIYKYJLMMDDZCY-ZFWWWQNUSA-N 1 2 319.380 1.503 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC000968795268 719790769 /nfs/dbraw/zinc/79/07/69/719790769.db2.gz KDBXMMVKJFTECN-LLVKDONJSA-N 1 2 312.801 1.847 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@]3(C)C=CCC3)CC2)C1 ZINC000949441208 720089134 /nfs/dbraw/zinc/08/91/34/720089134.db2.gz ONBZZKALRYUMOM-QGZVFWFLSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@]3(C)C=CCC3)CC2)C1 ZINC000949441208 720089137 /nfs/dbraw/zinc/08/91/37/720089137.db2.gz ONBZZKALRYUMOM-QGZVFWFLSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H](C)[C@H]3CCCO3)CC2)C1 ZINC000949466960 720107692 /nfs/dbraw/zinc/10/76/92/720107692.db2.gz XQUXWJHTHIROEH-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H](C)[C@H]3CCCO3)CC2)C1 ZINC000949466960 720107695 /nfs/dbraw/zinc/10/76/95/720107695.db2.gz XQUXWJHTHIROEH-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](OC)c2cccc(OC)c2)CC1 ZINC000949498592 720124142 /nfs/dbraw/zinc/12/41/42/720124142.db2.gz NDVZANUGUIBQJO-INIZCTEOSA-N 1 2 304.390 1.713 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(C)nc3onc(C)c32)CC1 ZINC000949543301 720151659 /nfs/dbraw/zinc/15/16/59/720151659.db2.gz MEQVEESFZHIEEI-UHFFFAOYSA-N 1 2 300.362 1.783 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+](Cc2ccn(C)c(=O)c2)CC1 ZINC000949631594 720225186 /nfs/dbraw/zinc/22/51/86/720225186.db2.gz ILKCDBZLOIUFFX-UHFFFAOYSA-N 1 2 317.433 1.632 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC000969688422 720251155 /nfs/dbraw/zinc/25/11/55/720251155.db2.gz VWTHVTGTDTWTBO-SUZMYJTESA-N 1 2 313.829 1.090 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc(OC)ns2)C1 ZINC000969692868 720253021 /nfs/dbraw/zinc/25/30/21/720253021.db2.gz QCXRADOZUTWJOU-VIFPVBQESA-N 1 2 315.826 1.954 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC000969993581 720590141 /nfs/dbraw/zinc/59/01/41/720590141.db2.gz UKPVIVUZGLDXEZ-CHWSQXEVSA-N 1 2 322.840 1.635 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3c(cc[nH]c3=O)n2)C1 ZINC000950672927 720730671 /nfs/dbraw/zinc/73/06/71/720730671.db2.gz OLEOKITVJYBKPF-UHFFFAOYSA-N 1 2 324.384 1.505 20 30 DDEDLO C=CCN1CC(N(CC)C(=O)[C@H]2COCC[N@@H+]2CC2CCC2)C1 ZINC000950988571 720866532 /nfs/dbraw/zinc/86/65/32/720866532.db2.gz AECSZGBDKWTOJJ-QGZVFWFLSA-N 1 2 321.465 1.206 20 30 DDEDLO C=CCN1CC(N(CC)C(=O)[C@H]2COCC[N@H+]2CC2CCC2)C1 ZINC000950988571 720866535 /nfs/dbraw/zinc/86/65/35/720866535.db2.gz AECSZGBDKWTOJJ-QGZVFWFLSA-N 1 2 321.465 1.206 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2[nH]nc(C(F)(F)F)c2C)CC1 ZINC000951192254 720951856 /nfs/dbraw/zinc/95/18/56/720951856.db2.gz DVKABTSUIMWTNW-UHFFFAOYSA-N 1 2 302.300 1.681 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC000970861047 720961098 /nfs/dbraw/zinc/96/10/98/720961098.db2.gz LDTLXNKOTLVCSA-SNVBAGLBSA-N 1 2 319.796 1.761 20 30 DDEDLO C[N@H+](Cc1cc(F)ccc1C#N)[C@@H]1CCN(C(=O)C(F)F)C1 ZINC000970923949 720990094 /nfs/dbraw/zinc/99/00/94/720990094.db2.gz KQWISCRWPFQDBC-CYBMUJFWSA-N 1 2 311.307 1.995 20 30 DDEDLO C[N@@H+](Cc1cc(F)ccc1C#N)[C@@H]1CCN(C(=O)C(F)F)C1 ZINC000970923949 720990096 /nfs/dbraw/zinc/99/00/96/720990096.db2.gz KQWISCRWPFQDBC-CYBMUJFWSA-N 1 2 311.307 1.995 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@@H]2CCN2C(=O)[C@H](C)C#N)c(C)[nH+]1 ZINC000951490243 721050277 /nfs/dbraw/zinc/05/02/77/721050277.db2.gz MUAWJKNADDLSTJ-RISCZKNCSA-N 1 2 314.389 1.497 20 30 DDEDLO C#Cc1cncc(C(=O)N(CC)C2CN(Cc3c[nH+]cn3C)C2)c1 ZINC000951719573 721152130 /nfs/dbraw/zinc/15/21/30/721152130.db2.gz UHIBSQQKJUWRGU-UHFFFAOYSA-N 1 2 323.400 1.143 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnn3cccc(OC)c23)CC1 ZINC000951780990 721180522 /nfs/dbraw/zinc/18/05/22/721180522.db2.gz HRUHSLXITJBAEN-UHFFFAOYSA-N 1 2 314.389 1.677 20 30 DDEDLO CCc1nc(C[N@H+](C)[C@H]2CCN(C(=O)[C@H](C)C#N)C2)cs1 ZINC000971211640 721181304 /nfs/dbraw/zinc/18/13/04/721181304.db2.gz UCIHUCDLBMHTIP-YPMHNXCESA-N 1 2 306.435 1.898 20 30 DDEDLO CCc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)[C@H](C)C#N)C2)cs1 ZINC000971211640 721181307 /nfs/dbraw/zinc/18/13/07/721181307.db2.gz UCIHUCDLBMHTIP-YPMHNXCESA-N 1 2 306.435 1.898 20 30 DDEDLO CC(C)c1ocnc1C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000971222709 721189002 /nfs/dbraw/zinc/18/90/02/721189002.db2.gz ZXSGTBOHGCPJSF-QWHCGFSZSA-N 1 2 304.394 1.990 20 30 DDEDLO CC(C)c1ocnc1C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000971222709 721189004 /nfs/dbraw/zinc/18/90/04/721189004.db2.gz ZXSGTBOHGCPJSF-QWHCGFSZSA-N 1 2 304.394 1.990 20 30 DDEDLO O=C(NC[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1ncn[nH]1 ZINC001023656852 735377713 /nfs/dbraw/zinc/37/77/13/735377713.db2.gz INSWRXXJENCGNS-MRXNPFEDSA-N 1 2 323.400 1.298 20 30 DDEDLO O=C(NC[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1ncn[nH]1 ZINC001023656852 735377714 /nfs/dbraw/zinc/37/77/14/735377714.db2.gz INSWRXXJENCGNS-MRXNPFEDSA-N 1 2 323.400 1.298 20 30 DDEDLO O=C(NC[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1nc[nH]n1 ZINC001023656852 735377719 /nfs/dbraw/zinc/37/77/19/735377719.db2.gz INSWRXXJENCGNS-MRXNPFEDSA-N 1 2 323.400 1.298 20 30 DDEDLO O=C(NC[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1nc[nH]n1 ZINC001023656852 735377720 /nfs/dbraw/zinc/37/77/20/735377720.db2.gz INSWRXXJENCGNS-MRXNPFEDSA-N 1 2 323.400 1.298 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC4CCC3CC4)C2)C1 ZINC000972696809 735485735 /nfs/dbraw/zinc/48/57/35/735485735.db2.gz ZODFOPMQSNUUAN-AKSNENBUSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC4CCC3CC4)C2)C1 ZINC000972696809 735485736 /nfs/dbraw/zinc/48/57/36/735485736.db2.gz ZODFOPMQSNUUAN-AKSNENBUSA-N 1 2 316.445 1.749 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NCC[NH2+][C@H](C)c1nc(C)no1 ZINC001128199043 743254544 /nfs/dbraw/zinc/25/45/44/743254544.db2.gz APPLOSKYEZJZRO-MRVPVSSYSA-N 1 2 300.790 1.924 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(OC(C)C)cn1 ZINC001039093339 732658529 /nfs/dbraw/zinc/65/85/29/732658529.db2.gz RWHILNXVSSKCDC-CQSZACIVSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(OC(C)C)cn1 ZINC001039093339 732658531 /nfs/dbraw/zinc/65/85/31/732658531.db2.gz RWHILNXVSSKCDC-CQSZACIVSA-N 1 2 301.390 1.696 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H]1CNC(=O)c1ccon1 ZINC001027765817 738635729 /nfs/dbraw/zinc/63/57/29/738635729.db2.gz KMJLKDSKNBIWIB-HNNXBMFYSA-N 1 2 310.357 1.941 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H]1CNC(=O)c1ccon1 ZINC001027765817 738635732 /nfs/dbraw/zinc/63/57/32/738635732.db2.gz KMJLKDSKNBIWIB-HNNXBMFYSA-N 1 2 310.357 1.941 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CNC(=O)c1cnc([C@H]2CCCO2)s1 ZINC001038793147 738674477 /nfs/dbraw/zinc/67/44/77/738674477.db2.gz ZVJKNMQLAAHTCO-CHWSQXEVSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc([C@H]2CCCO2)s1 ZINC001038793147 738674478 /nfs/dbraw/zinc/67/44/78/738674478.db2.gz ZVJKNMQLAAHTCO-CHWSQXEVSA-N 1 2 319.430 1.822 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3csc(Cl)c3)[C@H]2C1 ZINC001083214131 733549923 /nfs/dbraw/zinc/54/99/23/733549923.db2.gz KQYIHVWZXDJGIG-QWHCGFSZSA-N 1 2 324.833 1.950 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3csc(Cl)c3)[C@H]2C1 ZINC001083214131 733549924 /nfs/dbraw/zinc/54/99/24/733549924.db2.gz KQYIHVWZXDJGIG-QWHCGFSZSA-N 1 2 324.833 1.950 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cncc2[nH]cnc21 ZINC001027900049 738787572 /nfs/dbraw/zinc/78/75/72/738787572.db2.gz USUZTTHQSUFANQ-LLVKDONJSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cncc2[nH]cnc21 ZINC001027900049 738787573 /nfs/dbraw/zinc/78/75/73/738787573.db2.gz USUZTTHQSUFANQ-LLVKDONJSA-N 1 2 319.796 1.905 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3csc(C(C)C)n3)[C@H]2C1 ZINC001083225267 734485867 /nfs/dbraw/zinc/48/58/67/734485867.db2.gz OTDZZFGNVQSNAF-UONOGXRCSA-N 1 2 321.446 1.978 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3csc(C(C)C)n3)[C@H]2C1 ZINC001083225267 734485869 /nfs/dbraw/zinc/48/58/69/734485869.db2.gz OTDZZFGNVQSNAF-UONOGXRCSA-N 1 2 321.446 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc2n[nH]cc2c1 ZINC001027962184 738872552 /nfs/dbraw/zinc/87/25/52/738872552.db2.gz NKVRSVBPTBILRY-CYBMUJFWSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnc2n[nH]cc2c1 ZINC001027962184 738872557 /nfs/dbraw/zinc/87/25/57/738872557.db2.gz NKVRSVBPTBILRY-CYBMUJFWSA-N 1 2 319.796 1.905 20 30 DDEDLO Cc1nc(NC[C@@H](NC(=O)CSCC#N)C(C)(C)C)cc[nH+]1 ZINC001125778308 735603464 /nfs/dbraw/zinc/60/34/64/735603464.db2.gz ZRRBLWHDTJFYSC-GFCCVEGCSA-N 1 2 321.450 1.985 20 30 DDEDLO C=CCCC(=O)NCC1(Nc2nc(N(C)C)nc(C)[nH+]2)CCC1 ZINC001111773314 735822735 /nfs/dbraw/zinc/82/27/35/735822735.db2.gz RJIVVEWSUNUGLR-UHFFFAOYSA-N 1 2 318.425 1.663 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001025237208 736384699 /nfs/dbraw/zinc/38/46/99/736384699.db2.gz KFTSKROSFRFUMY-HZPDHXFCSA-N 1 2 315.421 1.711 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001025237208 736384700 /nfs/dbraw/zinc/38/47/00/736384700.db2.gz KFTSKROSFRFUMY-HZPDHXFCSA-N 1 2 315.421 1.711 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001025273693 736401780 /nfs/dbraw/zinc/40/17/80/736401780.db2.gz GTOLAJCPCWNQNY-HUUCEWRRSA-N 1 2 301.394 1.321 20 30 DDEDLO Cc1nsc(NC[C@H](C)N(C)C(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001104649676 736488159 /nfs/dbraw/zinc/48/81/59/736488159.db2.gz IXVSFHVJTQFRDI-VIFPVBQESA-N 1 2 318.406 1.548 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001025616619 736788954 /nfs/dbraw/zinc/78/89/54/736788954.db2.gz BIBLZOGEGMBTHT-TZMCWYRMSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001025616619 736788957 /nfs/dbraw/zinc/78/89/57/736788957.db2.gz BIBLZOGEGMBTHT-TZMCWYRMSA-N 1 2 311.389 1.421 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001083294567 737406575 /nfs/dbraw/zinc/40/65/75/737406575.db2.gz IGHUWRUUZKSSAD-CABCVRRESA-N 1 2 306.793 1.263 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001083294567 737406576 /nfs/dbraw/zinc/40/65/76/737406576.db2.gz IGHUWRUUZKSSAD-CABCVRRESA-N 1 2 306.793 1.263 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@H](C)CC)C2)nn1 ZINC001105227379 737633401 /nfs/dbraw/zinc/63/34/01/737633401.db2.gz HYSGHDWPJPWFNI-CYBMUJFWSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001125944766 737843071 /nfs/dbraw/zinc/84/30/71/737843071.db2.gz FPGHVABFCHXDJX-UHFFFAOYSA-N 1 2 316.361 1.729 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@H]1CCCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC001027375898 738246392 /nfs/dbraw/zinc/24/63/92/738246392.db2.gz ZFOYCTCPZIUFJE-HNNXBMFYSA-N 1 2 324.388 1.413 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@H]1CCCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC001027375898 738246396 /nfs/dbraw/zinc/24/63/96/738246396.db2.gz ZFOYCTCPZIUFJE-HNNXBMFYSA-N 1 2 324.388 1.413 20 30 DDEDLO C#CC[N@@H+](C)[C@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001027410617 738270947 /nfs/dbraw/zinc/27/09/47/738270947.db2.gz FLDKMJPJIAZFHZ-UONOGXRCSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@H+](C)[C@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001027410617 738270949 /nfs/dbraw/zinc/27/09/49/738270949.db2.gz FLDKMJPJIAZFHZ-UONOGXRCSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C(C)(C)CC(C)C)C2)nn1 ZINC001105359464 739136802 /nfs/dbraw/zinc/13/68/02/739136802.db2.gz IRUPQNCQNCDSKU-UHFFFAOYSA-N 1 2 317.437 1.457 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3cccc(Cl)n3)C[C@H]21 ZINC001075619646 739217584 /nfs/dbraw/zinc/21/75/84/739217584.db2.gz KOZLSJQFDAFYKW-SGMGOOAPSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3cccc(Cl)n3)C[C@H]21 ZINC001075619646 739217588 /nfs/dbraw/zinc/21/75/88/739217588.db2.gz KOZLSJQFDAFYKW-SGMGOOAPSA-N 1 2 318.808 1.927 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cccnc1C(N)=O ZINC001028330211 739367732 /nfs/dbraw/zinc/36/77/32/739367732.db2.gz CNUNLTQVSMAILV-NSHDSACASA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cccnc1C(N)=O ZINC001028330211 739367735 /nfs/dbraw/zinc/36/77/35/739367735.db2.gz CNUNLTQVSMAILV-NSHDSACASA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccn(CC(C)C)n2)C1 ZINC001035386644 751457120 /nfs/dbraw/zinc/45/71/20/751457120.db2.gz LGAITCYXYLFABF-HNNXBMFYSA-N 1 2 320.437 1.546 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccn(CC(C)C)n2)C1 ZINC001035386644 751457121 /nfs/dbraw/zinc/45/71/21/751457121.db2.gz LGAITCYXYLFABF-HNNXBMFYSA-N 1 2 320.437 1.546 20 30 DDEDLO Cc1cc(N(C)CCN(C)C(=O)[C@@H](C)C#N)nc(C(C)C)[nH+]1 ZINC001105371972 739707012 /nfs/dbraw/zinc/70/70/12/739707012.db2.gz NVBXEPYDGVNARO-LBPRGKRZSA-N 1 2 303.410 1.963 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccn3nccc23)C1 ZINC001035433576 751510609 /nfs/dbraw/zinc/51/06/09/751510609.db2.gz QUMAZLZVQMGJLU-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccn3nccc23)C1 ZINC001035433576 751510610 /nfs/dbraw/zinc/51/06/10/751510610.db2.gz QUMAZLZVQMGJLU-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2nc(C)c(C)nc2C)C1 ZINC001035441687 751518759 /nfs/dbraw/zinc/51/87/59/751518759.db2.gz JXNOHCOUVLCUHG-AWEZNQCLSA-N 1 2 304.394 1.018 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2nc(C)c(C)nc2C)C1 ZINC001035441687 751518764 /nfs/dbraw/zinc/51/87/64/751518764.db2.gz JXNOHCOUVLCUHG-AWEZNQCLSA-N 1 2 304.394 1.018 20 30 DDEDLO CC[C@@H]1CN(C(=O)CCc2[nH+]ccn2C)CC[C@@H]1NCC#N ZINC001037896535 751544245 /nfs/dbraw/zinc/54/42/45/751544245.db2.gz XZFAFOUWWORMKY-KGLIPLIRSA-N 1 2 303.410 1.093 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3C/C=C/Cl)c[nH]1 ZINC001029277904 740515493 /nfs/dbraw/zinc/51/54/93/740515493.db2.gz CBWKXJNZYSLWEK-ZLOZOKHJSA-N 1 2 304.781 1.928 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3C/C=C/Cl)c[nH]1 ZINC001029277904 740515496 /nfs/dbraw/zinc/51/54/96/740515496.db2.gz CBWKXJNZYSLWEK-ZLOZOKHJSA-N 1 2 304.781 1.928 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)on2)C1 ZINC001035469454 751554025 /nfs/dbraw/zinc/55/40/25/751554025.db2.gz ZBCALQRXIVZJEO-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)on2)C1 ZINC001035469454 751554027 /nfs/dbraw/zinc/55/40/27/751554027.db2.gz ZBCALQRXIVZJEO-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)C[C@@H]3C2)o1 ZINC001087962211 740889904 /nfs/dbraw/zinc/88/99/04/740889904.db2.gz DCAJGDKEDDYOJC-CABCVRRESA-N 1 2 314.389 1.072 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)C[C@@H]3C2)o1 ZINC001087962211 740889909 /nfs/dbraw/zinc/88/99/09/740889909.db2.gz DCAJGDKEDDYOJC-CABCVRRESA-N 1 2 314.389 1.072 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3cnccc3n2)C1 ZINC001035521430 751597376 /nfs/dbraw/zinc/59/73/76/751597376.db2.gz KTHQAVGJZBDYGI-CQSZACIVSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3cnccc3n2)C1 ZINC001035521430 751597384 /nfs/dbraw/zinc/59/73/84/751597384.db2.gz KTHQAVGJZBDYGI-CQSZACIVSA-N 1 2 312.373 1.246 20 30 DDEDLO CC[C@@H]1CN(C(=O)CCc2c[nH+]cn2C)CC[C@@H]1NCC#N ZINC001037961952 751597979 /nfs/dbraw/zinc/59/79/79/751597979.db2.gz LKZFKEDOHDFSFL-HIFRSBDPSA-N 1 2 303.410 1.093 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)C2Cc3ccccc3C2)C1 ZINC001035529698 751609621 /nfs/dbraw/zinc/60/96/21/751609621.db2.gz OEIIMWVQCIKCHD-SFHVURJKSA-N 1 2 314.429 1.795 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)C2Cc3ccccc3C2)C1 ZINC001035529698 751609629 /nfs/dbraw/zinc/60/96/29/751609629.db2.gz OEIIMWVQCIKCHD-SFHVURJKSA-N 1 2 314.429 1.795 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2csc(Cl)c2)C1 ZINC001035533272 751614985 /nfs/dbraw/zinc/61/49/85/751614985.db2.gz NELIGQVBESCICF-LBPRGKRZSA-N 1 2 312.822 1.855 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2csc(Cl)c2)C1 ZINC001035533272 751614987 /nfs/dbraw/zinc/61/49/87/751614987.db2.gz NELIGQVBESCICF-LBPRGKRZSA-N 1 2 312.822 1.855 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nn(C)cc2Cl)C1 ZINC001029676399 741217034 /nfs/dbraw/zinc/21/70/34/741217034.db2.gz AMCJTQUAOQVBDF-NEPJUHHUSA-N 1 2 323.828 1.513 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nn(C)cc2Cl)C1 ZINC001029676399 741217037 /nfs/dbraw/zinc/21/70/37/741217037.db2.gz AMCJTQUAOQVBDF-NEPJUHHUSA-N 1 2 323.828 1.513 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)onc2CC)C1 ZINC001035601508 751659504 /nfs/dbraw/zinc/65/95/04/751659504.db2.gz BJCFMSHGRSUAAL-ZDUSSCGKSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)onc2CC)C1 ZINC001035601508 751659508 /nfs/dbraw/zinc/65/95/08/751659508.db2.gz BJCFMSHGRSUAAL-ZDUSSCGKSA-N 1 2 307.394 1.552 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001075884608 741705565 /nfs/dbraw/zinc/70/55/65/741705565.db2.gz HSHOQMQTEXWKID-STQMWFEESA-N 1 2 318.421 1.807 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCN(C)c1[nH+]cnc2c1cnn2C ZINC001105475466 742046731 /nfs/dbraw/zinc/04/67/31/742046731.db2.gz XEGXWVKCCFUDPL-UHFFFAOYSA-N 1 2 316.409 1.614 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001088695969 742186330 /nfs/dbraw/zinc/18/63/30/742186330.db2.gz FWWAEYSATCWPIJ-SKDRFNHKSA-N 1 2 316.390 1.131 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CC[N@H+]1Cc1ccc(C#N)s1 ZINC001088695969 742186332 /nfs/dbraw/zinc/18/63/32/742186332.db2.gz FWWAEYSATCWPIJ-SKDRFNHKSA-N 1 2 316.390 1.131 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2nc(C)c(C)s2)C1 ZINC001035606858 751710832 /nfs/dbraw/zinc/71/08/32/751710832.db2.gz JKYZPFHAUIKTIJ-ZDUSSCGKSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2nc(C)c(C)s2)C1 ZINC001035606858 751710836 /nfs/dbraw/zinc/71/08/36/751710836.db2.gz JKYZPFHAUIKTIJ-ZDUSSCGKSA-N 1 2 307.419 1.214 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001060048275 742280601 /nfs/dbraw/zinc/28/06/01/742280601.db2.gz GTIMIGPVIYLTGL-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cnc(CC3CC3)s2)[C@H]1C ZINC001088768093 742334827 /nfs/dbraw/zinc/33/48/27/742334827.db2.gz MMMHLEPNUQDCQE-YPMHNXCESA-N 1 2 303.431 1.921 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cnc(CC3CC3)s2)[C@H]1C ZINC001088768093 742334832 /nfs/dbraw/zinc/33/48/32/742334832.db2.gz MMMHLEPNUQDCQE-YPMHNXCESA-N 1 2 303.431 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3c(C)nn(C)c3C)[C@@H]2C1 ZINC001076106094 742543712 /nfs/dbraw/zinc/54/37/12/742543712.db2.gz VLIMMLFXFUNUQO-UONOGXRCSA-N 1 2 322.840 1.936 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3c(C)nn(C)c3C)[C@@H]2C1 ZINC001076106094 742543713 /nfs/dbraw/zinc/54/37/13/742543713.db2.gz VLIMMLFXFUNUQO-UONOGXRCSA-N 1 2 322.840 1.936 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC(C)(C)C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001076436481 742734065 /nfs/dbraw/zinc/73/40/65/742734065.db2.gz LMOOPRSGTGMQJB-LBPRGKRZSA-N 1 2 304.394 1.013 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C[C@H](C)CC)C2)nn1 ZINC001098664573 742813756 /nfs/dbraw/zinc/81/37/56/742813756.db2.gz PIXZVMRYKFYGEK-ZBFHGGJFSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001076711764 742933539 /nfs/dbraw/zinc/93/35/39/742933539.db2.gz MYARBQGFGAUKFF-OLZOCXBDSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001076711764 742933542 /nfs/dbraw/zinc/93/35/42/742933542.db2.gz MYARBQGFGAUKFF-OLZOCXBDSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001016304358 743122008 /nfs/dbraw/zinc/12/20/08/743122008.db2.gz GTQVVFWGNTVROL-DGCLKSJQSA-N 1 2 308.813 1.458 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001016304358 743122012 /nfs/dbraw/zinc/12/20/12/743122012.db2.gz GTQVVFWGNTVROL-DGCLKSJQSA-N 1 2 308.813 1.458 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)[C@H]3C[C@H]3C)CC2)C1 ZINC001105685116 743132547 /nfs/dbraw/zinc/13/25/47/743132547.db2.gz UCXMYGKYJUNPFQ-PBHICJAKSA-N 1 2 322.449 1.537 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077015621 743179707 /nfs/dbraw/zinc/17/97/07/743179707.db2.gz FCMJSCQLWKXWMN-ZDUSSCGKSA-N 1 2 306.410 1.710 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077015621 743179711 /nfs/dbraw/zinc/17/97/11/743179711.db2.gz FCMJSCQLWKXWMN-ZDUSSCGKSA-N 1 2 306.410 1.710 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001077261861 743360755 /nfs/dbraw/zinc/36/07/55/743360755.db2.gz PBIIKFGTHPWCFG-CHWSQXEVSA-N 1 2 310.756 1.196 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001077261861 743360765 /nfs/dbraw/zinc/36/07/65/743360765.db2.gz PBIIKFGTHPWCFG-CHWSQXEVSA-N 1 2 310.756 1.196 20 30 DDEDLO C[C@@H](F)CC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](C)C#N)c2C1 ZINC001128264158 743403349 /nfs/dbraw/zinc/40/33/49/743403349.db2.gz JTHKOXZMWATDGY-NWDGAFQWSA-N 1 2 307.373 1.223 20 30 DDEDLO C[C@@H](F)CC[N@H+]1CCn2ncc(CNC(=O)[C@@H](C)C#N)c2C1 ZINC001128264158 743403353 /nfs/dbraw/zinc/40/33/53/743403353.db2.gz JTHKOXZMWATDGY-NWDGAFQWSA-N 1 2 307.373 1.223 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)OCC(C)C)CC2)C1 ZINC001105693960 743473552 /nfs/dbraw/zinc/47/35/52/743473552.db2.gz JKICHZOCWZQLLC-OAHLLOKOSA-N 1 2 324.465 1.925 20 30 DDEDLO Cn1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)cn1 ZINC001038055051 743709381 /nfs/dbraw/zinc/70/93/81/743709381.db2.gz MDBWVHCRJSUVKT-INIZCTEOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)cn1 ZINC001038055051 743709384 /nfs/dbraw/zinc/70/93/84/743709384.db2.gz MDBWVHCRJSUVKT-INIZCTEOSA-N 1 2 309.373 1.296 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)[C@@H](O)C1 ZINC001083670943 743714824 /nfs/dbraw/zinc/71/48/24/743714824.db2.gz UCJYLQHTGADILA-CABCVRRESA-N 1 2 306.450 1.633 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)[C@@H](O)C1 ZINC001083670943 743714827 /nfs/dbraw/zinc/71/48/27/743714827.db2.gz UCJYLQHTGADILA-CABCVRRESA-N 1 2 306.450 1.633 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001105719583 743757585 /nfs/dbraw/zinc/75/75/85/743757585.db2.gz LOHMTQKIGVWHHW-UONOGXRCSA-N 1 2 310.438 1.129 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCc3ccccc3[C@@H]2O)CC1 ZINC001183390105 743829643 /nfs/dbraw/zinc/82/96/43/743829643.db2.gz LBRRQRSTTHGAKJ-IRXDYDNUSA-N 1 2 300.402 1.613 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001185064863 744146660 /nfs/dbraw/zinc/14/66/60/744146660.db2.gz YOUYVKOTQVDFEK-QWHCGFSZSA-N 1 2 322.409 1.509 20 30 DDEDLO C=CCCc1ccc(C(=O)NCC[N@H+]2CCOC[C@H]2C)c(=O)[nH]1 ZINC001185362466 744206717 /nfs/dbraw/zinc/20/67/17/744206717.db2.gz BKANZPBIPSUHRJ-CYBMUJFWSA-N 1 2 319.405 1.356 20 30 DDEDLO C=CCCc1ccc(C(=O)NCC[N@@H+]2CCOC[C@H]2C)c(=O)[nH]1 ZINC001185362466 744206718 /nfs/dbraw/zinc/20/67/18/744206718.db2.gz BKANZPBIPSUHRJ-CYBMUJFWSA-N 1 2 319.405 1.356 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)[C@H]1C ZINC001088860877 744247874 /nfs/dbraw/zinc/24/78/74/744247874.db2.gz GBQLFEYLDOSHCF-XQHKEYJVSA-N 1 2 313.829 1.042 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)[C@H]1C ZINC001088860877 744247877 /nfs/dbraw/zinc/24/78/77/744247877.db2.gz GBQLFEYLDOSHCF-XQHKEYJVSA-N 1 2 313.829 1.042 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001185908686 744306638 /nfs/dbraw/zinc/30/66/38/744306638.db2.gz YUAPQZHCCZTTKH-AWEZNQCLSA-N 1 2 309.389 1.467 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001185908687 744306857 /nfs/dbraw/zinc/30/68/57/744306857.db2.gz YUAPQZHCCZTTKH-CQSZACIVSA-N 1 2 309.389 1.467 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(OC)no1 ZINC001110379025 744376983 /nfs/dbraw/zinc/37/69/83/744376983.db2.gz SDXITXGSUNWABG-BNOWGMLFSA-N 1 2 305.378 1.871 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(OC)no1 ZINC001110379025 744376986 /nfs/dbraw/zinc/37/69/86/744376986.db2.gz SDXITXGSUNWABG-BNOWGMLFSA-N 1 2 305.378 1.871 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001187191844 744514388 /nfs/dbraw/zinc/51/43/88/744514388.db2.gz RKYOZJUVFJMAQG-LSDHHAIUSA-N 1 2 300.406 1.784 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001187191844 744514391 /nfs/dbraw/zinc/51/43/91/744514391.db2.gz RKYOZJUVFJMAQG-LSDHHAIUSA-N 1 2 300.406 1.784 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2CCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001187977961 744642071 /nfs/dbraw/zinc/64/20/71/744642071.db2.gz CDLIWJFRAIWALK-QJPTWQEYSA-N 1 2 322.302 1.069 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2CCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001187977961 744642074 /nfs/dbraw/zinc/64/20/74/744642074.db2.gz CDLIWJFRAIWALK-QJPTWQEYSA-N 1 2 322.302 1.069 20 30 DDEDLO CCCCCCCCS(=O)(=O)NCC[NH+]1CC2(COC2)C1 ZINC001188029480 744653005 /nfs/dbraw/zinc/65/30/05/744653005.db2.gz RXLBVWSHEKLDGU-UHFFFAOYSA-N 1 2 318.483 1.599 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001188081077 744657266 /nfs/dbraw/zinc/65/72/66/744657266.db2.gz WMWYDTJQSAONEM-SOUVJXGZSA-N 1 2 317.437 1.765 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001188081077 744657270 /nfs/dbraw/zinc/65/72/70/744657270.db2.gz WMWYDTJQSAONEM-SOUVJXGZSA-N 1 2 317.437 1.765 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H]2C[N@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001188909724 744792997 /nfs/dbraw/zinc/79/29/97/744792997.db2.gz XRFZSINLUVDKCJ-OFQRWUPVSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H]2C[N@@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001188909724 744792999 /nfs/dbraw/zinc/79/29/99/744792999.db2.gz XRFZSINLUVDKCJ-OFQRWUPVSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C1CCC(C(=O)NC2C[NH+](CCCN3CCCC3=O)C2)CC1 ZINC001030903682 744803492 /nfs/dbraw/zinc/80/34/92/744803492.db2.gz RDOHCJYTYLKOPR-UHFFFAOYSA-N 1 2 319.449 1.546 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(Cl)cc2C)[C@@H](O)C1 ZINC001083692691 744832264 /nfs/dbraw/zinc/83/22/64/744832264.db2.gz YYXATDUOIUMWBO-CABCVRRESA-N 1 2 306.793 1.365 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(Cl)cc2C)[C@@H](O)C1 ZINC001083692691 744832266 /nfs/dbraw/zinc/83/22/66/744832266.db2.gz YYXATDUOIUMWBO-CABCVRRESA-N 1 2 306.793 1.365 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001189242989 744852306 /nfs/dbraw/zinc/85/23/06/744852306.db2.gz OHRVFPPMZFGYHS-CJNGLKHVSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001189242989 744852307 /nfs/dbraw/zinc/85/23/07/744852307.db2.gz OHRVFPPMZFGYHS-CJNGLKHVSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([N@H+](C)CC(=O)Nc2cc(C)on2)C1 ZINC001189280320 744858071 /nfs/dbraw/zinc/85/80/71/744858071.db2.gz RBIDICVXYLSXLP-ZDUSSCGKSA-N 1 2 320.393 1.420 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([N@@H+](C)CC(=O)Nc2cc(C)on2)C1 ZINC001189280320 744858075 /nfs/dbraw/zinc/85/80/75/744858075.db2.gz RBIDICVXYLSXLP-ZDUSSCGKSA-N 1 2 320.393 1.420 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2ccon2)C1 ZINC001189565674 744925270 /nfs/dbraw/zinc/92/52/70/744925270.db2.gz USUKIDRTCBICRG-OAHLLOKOSA-N 1 2 307.394 1.690 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccon2)C1 ZINC001189565674 744925272 /nfs/dbraw/zinc/92/52/72/744925272.db2.gz USUKIDRTCBICRG-OAHLLOKOSA-N 1 2 307.394 1.690 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)c2cccc(C(C)(C)C#N)c2)C1 ZINC001190942171 745377170 /nfs/dbraw/zinc/37/71/70/745377170.db2.gz DDSGGBVTJXNBQC-OAHLLOKOSA-N 1 2 301.390 1.548 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)c2cccc(C(C)(C)C#N)c2)C1 ZINC001190942171 745377177 /nfs/dbraw/zinc/37/71/77/745377177.db2.gz DDSGGBVTJXNBQC-OAHLLOKOSA-N 1 2 301.390 1.548 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[C@@H]([N@H+](C)Cc2ccon2)C1 ZINC001191576644 745542235 /nfs/dbraw/zinc/54/22/35/745542235.db2.gz LXEIMMYVEPCHCW-NVXWUHKLSA-N 1 2 321.421 1.936 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccon2)C1 ZINC001191576644 745542238 /nfs/dbraw/zinc/54/22/38/745542238.db2.gz LXEIMMYVEPCHCW-NVXWUHKLSA-N 1 2 321.421 1.936 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cnn(C(C)(C)C)c2)C[C@H]1O ZINC001191724519 745583712 /nfs/dbraw/zinc/58/37/12/745583712.db2.gz JQGGCRUDUNVVFG-HUUCEWRRSA-N 1 2 320.437 1.266 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cnn(C(C)(C)C)c2)C[C@H]1O ZINC001191724519 745583714 /nfs/dbraw/zinc/58/37/14/745583714.db2.gz JQGGCRUDUNVVFG-HUUCEWRRSA-N 1 2 320.437 1.266 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001191838384 745627316 /nfs/dbraw/zinc/62/73/16/745627316.db2.gz JRGDGVBODJFAJN-HNNXBMFYSA-N 1 2 317.437 1.524 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001191838384 745627319 /nfs/dbraw/zinc/62/73/19/745627319.db2.gz JRGDGVBODJFAJN-HNNXBMFYSA-N 1 2 317.437 1.524 20 30 DDEDLO Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)[C@H](C)C#N)C2)c(Cl)c1 ZINC001191873472 745635922 /nfs/dbraw/zinc/63/59/22/745635922.db2.gz WZHMDGTXBSTULF-KCPJHIHWSA-N 1 2 321.808 1.469 20 30 DDEDLO Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)[C@H](C)C#N)C2)c(Cl)c1 ZINC001191873472 745635925 /nfs/dbraw/zinc/63/59/25/745635925.db2.gz WZHMDGTXBSTULF-KCPJHIHWSA-N 1 2 321.808 1.469 20 30 DDEDLO C[C@@H](CCNc1ncc(C#N)cc1F)NC(=O)Cc1c[nH]c[nH+]1 ZINC001106598717 745824592 /nfs/dbraw/zinc/82/45/92/745824592.db2.gz OMDHBNCJTHBPNB-JTQLQIEISA-N 1 2 316.340 1.365 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[NH+]([C@H](C)c2ccccc2)CC1 ZINC001193128341 745986837 /nfs/dbraw/zinc/98/68/37/745986837.db2.gz FHKHQQZAYIWJID-ZIAGYGMSSA-N 1 2 307.419 1.607 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NCC1CCN(c2cccc[nH+]2)CC1 ZINC001193172597 745989548 /nfs/dbraw/zinc/98/95/48/745989548.db2.gz DUKUNJLKUSIVPD-LBPRGKRZSA-N 1 2 308.407 1.129 20 30 DDEDLO CC#CC[N@H+](C)[C@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001193236374 746026900 /nfs/dbraw/zinc/02/69/00/746026900.db2.gz ZSPOYKIYHPQZGW-INIZCTEOSA-N 1 2 323.400 1.641 20 30 DDEDLO CC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001193236374 746026907 /nfs/dbraw/zinc/02/69/07/746026907.db2.gz ZSPOYKIYHPQZGW-INIZCTEOSA-N 1 2 323.400 1.641 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cnc[nH]c2=O)[C@H]1C ZINC000993465639 746173985 /nfs/dbraw/zinc/17/39/85/746173985.db2.gz HWPJMSLDBBOICL-PWSUYJOCSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cnc[nH]c2=O)[C@H]1C ZINC000993465639 746173987 /nfs/dbraw/zinc/17/39/87/746173987.db2.gz HWPJMSLDBBOICL-PWSUYJOCSA-N 1 2 310.785 1.517 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@H]1CCCN(CC#N)[C@H]1C ZINC000993486427 746188625 /nfs/dbraw/zinc/18/86/25/746188625.db2.gz FUAGCHVWTBAJHD-JSGCOSHPSA-N 1 2 302.378 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccc(=O)n(C)c2)[C@H]1C ZINC000993546610 746207929 /nfs/dbraw/zinc/20/79/29/746207929.db2.gz XNRCQNAFMOBELK-OCCSQVGLSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(=O)n(C)c2)[C@H]1C ZINC000993546610 746207931 /nfs/dbraw/zinc/20/79/31/746207931.db2.gz XNRCQNAFMOBELK-OCCSQVGLSA-N 1 2 323.824 1.721 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)c2ccoc2C)CC1 ZINC001194762217 746459958 /nfs/dbraw/zinc/45/99/58/746459958.db2.gz SDOFDFWAPRFTKB-ZDUSSCGKSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)c2ccoc2C)CC1 ZINC001194762217 746459959 /nfs/dbraw/zinc/45/99/59/746459959.db2.gz SDOFDFWAPRFTKB-ZDUSSCGKSA-N 1 2 319.405 1.427 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@H]2CC=CCC2)CC1 ZINC001194802732 746463705 /nfs/dbraw/zinc/46/37/05/746463705.db2.gz PXCBQKHEEDVGKC-CVEARBPZSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@H]2CC=CCC2)CC1 ZINC001194802732 746463708 /nfs/dbraw/zinc/46/37/08/746463708.db2.gz PXCBQKHEEDVGKC-CVEARBPZSA-N 1 2 317.433 1.015 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(Cl)c2F)[C@@H](O)C1 ZINC001083745643 746533326 /nfs/dbraw/zinc/53/33/26/746533326.db2.gz QDTSIJCYVKSHGJ-OLZOCXBDSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(Cl)c2F)[C@@H](O)C1 ZINC001083745643 746533329 /nfs/dbraw/zinc/53/33/29/746533329.db2.gz QDTSIJCYVKSHGJ-OLZOCXBDSA-N 1 2 310.756 1.277 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H](C)C(C)C)CC1 ZINC001195192172 746541725 /nfs/dbraw/zinc/54/17/25/746541725.db2.gz TYFKLHYDRYMGBV-HNNXBMFYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H](C)C(C)C)CC1 ZINC001195192172 746541727 /nfs/dbraw/zinc/54/17/27/746541727.db2.gz TYFKLHYDRYMGBV-HNNXBMFYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3cccnc3)C[C@@H]2O)CC1 ZINC001083750170 746576869 /nfs/dbraw/zinc/57/68/69/746576869.db2.gz IKYDLHUGMGWKKW-SJORKVTESA-N 1 2 315.417 1.489 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3cccnc3)C[C@@H]2O)CC1 ZINC001083750170 746576873 /nfs/dbraw/zinc/57/68/73/746576873.db2.gz IKYDLHUGMGWKKW-SJORKVTESA-N 1 2 315.417 1.489 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195923818 746737200 /nfs/dbraw/zinc/73/72/00/746737200.db2.gz MSJDZHHAOSVXTN-IIAWOOMASA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195923818 746737205 /nfs/dbraw/zinc/73/72/05/746737205.db2.gz MSJDZHHAOSVXTN-IIAWOOMASA-N 1 2 307.394 1.247 20 30 DDEDLO CC(F)(F)CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001195948834 746747235 /nfs/dbraw/zinc/74/72/35/746747235.db2.gz PIWUXKHDYOFFQX-HUUCEWRRSA-N 1 2 322.355 1.245 20 30 DDEDLO CC(F)(F)CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001195948834 746747238 /nfs/dbraw/zinc/74/72/38/746747238.db2.gz PIWUXKHDYOFFQX-HUUCEWRRSA-N 1 2 322.355 1.245 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](CCCS(C)(=O)=O)CC1 ZINC001196018386 746757951 /nfs/dbraw/zinc/75/79/51/746757951.db2.gz RFUNPLIBRRTPEC-UHFFFAOYSA-N 1 2 316.467 1.312 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](CCCS(C)(=O)=O)CC1 ZINC001196018386 746757952 /nfs/dbraw/zinc/75/79/52/746757952.db2.gz RFUNPLIBRRTPEC-UHFFFAOYSA-N 1 2 316.467 1.312 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C3(C(F)F)CC3)c2C1 ZINC001128347204 746887747 /nfs/dbraw/zinc/88/77/47/746887747.db2.gz PSIGTRQTMBFOIN-UHFFFAOYSA-N 1 2 324.375 1.936 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C3(C(F)F)CC3)c2C1 ZINC001128347204 746887750 /nfs/dbraw/zinc/88/77/50/746887750.db2.gz PSIGTRQTMBFOIN-UHFFFAOYSA-N 1 2 324.375 1.936 20 30 DDEDLO COc1cc(N2CCN(C(=O)c3ncccc3C#N)CC2)cc[nH+]1 ZINC001196553623 746904282 /nfs/dbraw/zinc/90/42/82/746904282.db2.gz URYBDHRSFHFMDR-UHFFFAOYSA-N 1 2 323.356 1.319 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cccnc3)C2)c(F)c1 ZINC001031512186 746924897 /nfs/dbraw/zinc/92/48/97/746924897.db2.gz HTTNRVCTYIMOTP-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO C#CCCCCCC(=O)N1CCC[N@@H+](Cc2cnnn2C)CC1 ZINC001196771906 746969023 /nfs/dbraw/zinc/96/90/23/746969023.db2.gz ZDVPCBZYTHZMLU-UHFFFAOYSA-N 1 2 317.437 1.433 20 30 DDEDLO C#CCCCCCC(=O)N1CCC[N@H+](Cc2cnnn2C)CC1 ZINC001196771906 746969029 /nfs/dbraw/zinc/96/90/29/746969029.db2.gz ZDVPCBZYTHZMLU-UHFFFAOYSA-N 1 2 317.437 1.433 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H](C=C)CC)CC1 ZINC001196902054 747005621 /nfs/dbraw/zinc/00/56/21/747005621.db2.gz VFWXZPWGXHSNHK-OAHLLOKOSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H](C=C)CC)CC1 ZINC001196902054 747005626 /nfs/dbraw/zinc/00/56/26/747005626.db2.gz VFWXZPWGXHSNHK-OAHLLOKOSA-N 1 2 307.438 1.425 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)c3cn[nH]c3)C2)c1 ZINC001031559472 747032469 /nfs/dbraw/zinc/03/24/69/747032469.db2.gz NKHVPJQIPOHCTC-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)N[C@@H](C)CC)CC2)C1 ZINC001197093400 747048968 /nfs/dbraw/zinc/04/89/68/747048968.db2.gz SJZGLQZIVVGJRD-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)N[C@@H](C)CC)CC2)C1 ZINC001197093400 747048976 /nfs/dbraw/zinc/04/89/76/747048976.db2.gz SJZGLQZIVVGJRD-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccncc2Cl)CC1 ZINC001197244734 747114809 /nfs/dbraw/zinc/11/48/09/747114809.db2.gz CSZCJYTWHQDZOF-UHFFFAOYSA-N 1 2 321.808 1.533 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccncc2Cl)CC1 ZINC001197244734 747114811 /nfs/dbraw/zinc/11/48/11/747114811.db2.gz CSZCJYTWHQDZOF-UHFFFAOYSA-N 1 2 321.808 1.533 20 30 DDEDLO CCn1nncc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031654609 747322911 /nfs/dbraw/zinc/32/29/11/747322911.db2.gz AZTCEUMWKXPJCE-UHFFFAOYSA-N 1 2 324.388 1.031 20 30 DDEDLO CCCCCCCCC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001198081754 747361558 /nfs/dbraw/zinc/36/15/58/747361558.db2.gz SJLZWEXFWIFNQF-IAGOWNOFSA-N 1 2 324.465 1.548 20 30 DDEDLO CCCCCCCCC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001198081754 747361569 /nfs/dbraw/zinc/36/15/69/747361569.db2.gz SJLZWEXFWIFNQF-IAGOWNOFSA-N 1 2 324.465 1.548 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001031676951 747364730 /nfs/dbraw/zinc/36/47/30/747364730.db2.gz RZTJZJURTDOWMH-KBPBESRZSA-N 1 2 300.830 1.848 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cc[nH]c3)C2)c(F)c1 ZINC001031692293 747391637 /nfs/dbraw/zinc/39/16/37/747391637.db2.gz PDZARGRPWHKONB-UHFFFAOYSA-N 1 2 312.348 1.887 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccn(C3CCC3)c(=O)c2)CC1 ZINC001198751797 747598722 /nfs/dbraw/zinc/59/87/22/747598722.db2.gz SBIKJKVTRTYOKU-UHFFFAOYSA-N 1 2 301.390 1.517 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)cc(=O)oc2C)C1 ZINC001108061262 747638194 /nfs/dbraw/zinc/63/81/94/747638194.db2.gz HMAFZJRMROKUBJ-KRWDZBQOSA-N 1 2 320.389 1.263 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)cc(=O)oc2C)C1 ZINC001108061262 747638198 /nfs/dbraw/zinc/63/81/98/747638198.db2.gz HMAFZJRMROKUBJ-KRWDZBQOSA-N 1 2 320.389 1.263 20 30 DDEDLO CCN(c1ccncc1C#N)[C@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001089679098 747643822 /nfs/dbraw/zinc/64/38/22/747643822.db2.gz UCZACQNVHDBYOS-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC000998748853 752200419 /nfs/dbraw/zinc/20/04/19/752200419.db2.gz XXOSJASANBMUSH-PWSUYJOCSA-N 1 2 303.366 1.187 20 30 DDEDLO CCc1cc(N2CC=C(CNC(=O)[C@H](C)C#N)CC2)nc(C)[nH+]1 ZINC001127587222 747684698 /nfs/dbraw/zinc/68/46/98/747684698.db2.gz ILMIORFOVPFPIM-GFCCVEGCSA-N 1 2 313.405 1.760 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2CCCCN2C(=O)C(C)C)C1 ZINC001044327366 747710384 /nfs/dbraw/zinc/71/03/84/747710384.db2.gz ZYVWZJXWUONIOD-MRXNPFEDSA-N 1 2 319.449 1.189 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCCN2C(=O)CCC)C1 ZINC001044327327 747710831 /nfs/dbraw/zinc/71/08/31/747710831.db2.gz CTZUZIMULPPVKY-INIZCTEOSA-N 1 2 321.465 1.886 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2CCC(C)(C)CC2)C1 ZINC001199215979 747745910 /nfs/dbraw/zinc/74/59/10/747745910.db2.gz DBNOXZSXVOIGPP-HZPDHXFCSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2CCC(C)(C)CC2)C1 ZINC001199215979 747745915 /nfs/dbraw/zinc/74/59/15/747745915.db2.gz DBNOXZSXVOIGPP-HZPDHXFCSA-N 1 2 322.449 1.014 20 30 DDEDLO C=CCSc1ncccc1C(=O)N1CC[NH+](CCOC)CC1 ZINC001199736041 747989987 /nfs/dbraw/zinc/98/99/87/747989987.db2.gz WUYODERQFSHRPS-UHFFFAOYSA-N 1 2 321.446 1.764 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCC[C@@H](OC)C2)C1 ZINC001031936347 748250378 /nfs/dbraw/zinc/25/03/78/748250378.db2.gz LOIRPPFYNPZFFI-UONOGXRCSA-N 1 2 300.830 1.992 20 30 DDEDLO Cc1nocc1C(=O)NCC1C[NH+](Cc2ccc(C#N)s2)C1 ZINC001031945712 748271078 /nfs/dbraw/zinc/27/10/78/748271078.db2.gz RHCYYCSMMHCRSS-UHFFFAOYSA-N 1 2 316.386 1.778 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(C2CCC2)no1 ZINC001124487917 748279352 /nfs/dbraw/zinc/27/93/52/748279352.db2.gz JBXRCQHHBYCZMX-GFCCVEGCSA-N 1 2 322.409 1.524 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1ccnnc1 ZINC001032003627 748398344 /nfs/dbraw/zinc/39/83/44/748398344.db2.gz ASCXDZYWNVLOKF-UHFFFAOYSA-N 1 2 306.369 1.190 20 30 DDEDLO Cc1c[nH]nc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004429758 748425954 /nfs/dbraw/zinc/42/59/54/748425954.db2.gz ORLFHJKZULOJBP-AWEZNQCLSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1c[nH]nc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004429758 748425956 /nfs/dbraw/zinc/42/59/56/748425956.db2.gz ORLFHJKZULOJBP-AWEZNQCLSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1cn(C)nc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004440280 748430407 /nfs/dbraw/zinc/43/04/07/748430407.db2.gz YRHKQRSWRNMGSD-OAHLLOKOSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1cn(C)nc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004440280 748430409 /nfs/dbraw/zinc/43/04/09/748430409.db2.gz YRHKQRSWRNMGSD-OAHLLOKOSA-N 1 2 315.421 1.569 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2onc3c2CCCC3)C1 ZINC001108073714 748451432 /nfs/dbraw/zinc/45/14/32/748451432.db2.gz QVKPMLNSWCTBQB-KRWDZBQOSA-N 1 2 319.405 1.560 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2onc3c2CCCC3)C1 ZINC001108073714 748451436 /nfs/dbraw/zinc/45/14/36/748451436.db2.gz QVKPMLNSWCTBQB-KRWDZBQOSA-N 1 2 319.405 1.560 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2ccsn2)CC1 ZINC001004471483 748460933 /nfs/dbraw/zinc/46/09/33/748460933.db2.gz XAYLPRHJSWRSLI-CQSZACIVSA-N 1 2 304.419 1.983 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2ccsn2)CC1 ZINC001004471483 748460939 /nfs/dbraw/zinc/46/09/39/748460939.db2.gz XAYLPRHJSWRSLI-CQSZACIVSA-N 1 2 304.419 1.983 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@@H+]3CCCC[C@H]3C2)cc1C#N ZINC001201304004 748471001 /nfs/dbraw/zinc/47/10/01/748471001.db2.gz WLIXNSMSQWRHOT-HNNXBMFYSA-N 1 2 319.430 1.726 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@H+]3CCCC[C@H]3C2)cc1C#N ZINC001201304004 748471004 /nfs/dbraw/zinc/47/10/04/748471004.db2.gz WLIXNSMSQWRHOT-HNNXBMFYSA-N 1 2 319.430 1.726 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)CC2=CCOCC2)CC1 ZINC001004527547 748516962 /nfs/dbraw/zinc/51/69/62/748516962.db2.gz FSCVRBXVLLKRBT-QGZVFWFLSA-N 1 2 317.433 1.950 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)CC2=CCOCC2)CC1 ZINC001004527547 748516965 /nfs/dbraw/zinc/51/69/65/748516965.db2.gz FSCVRBXVLLKRBT-QGZVFWFLSA-N 1 2 317.433 1.950 20 30 DDEDLO C[C@@H]1OCC[C@@H]1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001032074595 748576626 /nfs/dbraw/zinc/57/66/26/748576626.db2.gz TXKPGUPBKVHQJD-GUYCJALGSA-N 1 2 313.401 1.531 20 30 DDEDLO N#CCN1CCC[C@@H]1C1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001004624159 748590225 /nfs/dbraw/zinc/59/02/25/748590225.db2.gz JOORVUZYHJJBJW-OAHLLOKOSA-N 1 2 301.394 1.110 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2CCC(C)(C)CO2)C1 ZINC001108085093 748744912 /nfs/dbraw/zinc/74/49/12/748744912.db2.gz RHGBLCFTIIDTRM-YJBOKZPZSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2CCC(C)(C)CO2)C1 ZINC001108085093 748744916 /nfs/dbraw/zinc/74/49/16/748744916.db2.gz RHGBLCFTIIDTRM-YJBOKZPZSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+][C@@H](C)c1nnc(C)o1)OCC ZINC001128539597 748765111 /nfs/dbraw/zinc/76/51/11/748765111.db2.gz HZJMCSWUHAWYKW-WCQYABFASA-N 1 2 310.398 1.516 20 30 DDEDLO Cc1nc(N2CC[C@H](CNC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001061560381 748781602 /nfs/dbraw/zinc/78/16/02/748781602.db2.gz QCWQRPHXDGQQAP-GFCCVEGCSA-N 1 2 310.361 1.241 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)NC(=O)CC)C2 ZINC001110587766 748799740 /nfs/dbraw/zinc/79/97/40/748799740.db2.gz YFWAWGRKCQNVKF-LOWDOPEQSA-N 1 2 313.829 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)NC(=O)CC)C2 ZINC001110587766 748799744 /nfs/dbraw/zinc/79/97/44/748799744.db2.gz YFWAWGRKCQNVKF-LOWDOPEQSA-N 1 2 313.829 1.375 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc(OC)n2)C1 ZINC001108317226 761889788 /nfs/dbraw/zinc/88/97/88/761889788.db2.gz HCDCMPRRGWZRGC-MRXNPFEDSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc(OC)n2)C1 ZINC001108317226 761889797 /nfs/dbraw/zinc/88/97/97/761889797.db2.gz HCDCMPRRGWZRGC-MRXNPFEDSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C[C@@H](C)COC)c2C1 ZINC001128549732 748809492 /nfs/dbraw/zinc/80/94/92/748809492.db2.gz SUXIIPBKYATYMO-CQSZACIVSA-N 1 2 320.437 1.564 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C[C@@H](C)COC)c2C1 ZINC001128549732 748809495 /nfs/dbraw/zinc/80/94/95/748809495.db2.gz SUXIIPBKYATYMO-CQSZACIVSA-N 1 2 320.437 1.564 20 30 DDEDLO C#CCN(C(=O)[C@@H]1C[C@H]1C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110616205 748829672 /nfs/dbraw/zinc/82/96/72/748829672.db2.gz YUKREPDMYDPTSP-CZUORRHYSA-N 1 2 312.417 1.872 20 30 DDEDLO Cc1nc(NC/C=C\CNC(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001107079940 748954107 /nfs/dbraw/zinc/95/41/07/748954107.db2.gz FLBMCDVXHOEATO-FOQNGQEVSA-N 1 2 313.405 1.908 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@@]1(C)C[N@H+](CC#CC)CCO1 ZINC001108100270 749117968 /nfs/dbraw/zinc/11/79/68/749117968.db2.gz TWLNEZBDMUICBL-ROUUACIJSA-N 1 2 322.449 1.446 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001108100270 749117972 /nfs/dbraw/zinc/11/79/72/749117972.db2.gz TWLNEZBDMUICBL-ROUUACIJSA-N 1 2 322.449 1.446 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccns2)C[C@H]1OC ZINC001212349395 749126819 /nfs/dbraw/zinc/12/68/19/749126819.db2.gz TVZKTHLCOVKCLC-ZIAGYGMSSA-N 1 2 309.435 1.815 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccns2)C[C@H]1OC ZINC001212349395 749126822 /nfs/dbraw/zinc/12/68/22/749126822.db2.gz TVZKTHLCOVKCLC-ZIAGYGMSSA-N 1 2 309.435 1.815 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccc(C#N)cc2)on1 ZINC001032276876 749163776 /nfs/dbraw/zinc/16/37/76/749163776.db2.gz IXTMDYOAJJAAHE-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccc(C#N)cc2)on1 ZINC001032276876 749163783 /nfs/dbraw/zinc/16/37/83/749163783.db2.gz IXTMDYOAJJAAHE-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCc1cnn2c1C[N@H+](CCCF)CC2 ZINC001128602628 749242323 /nfs/dbraw/zinc/24/23/23/749242323.db2.gz QWJVNNGFVLBESO-CYBMUJFWSA-N 1 2 324.400 1.266 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCc1cnn2c1C[N@@H+](CCCF)CC2 ZINC001128602628 749242325 /nfs/dbraw/zinc/24/23/25/749242325.db2.gz QWJVNNGFVLBESO-CYBMUJFWSA-N 1 2 324.400 1.266 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)/C=C(/C)C3CC3)nn2)C1 ZINC001107137534 749319156 /nfs/dbraw/zinc/31/91/56/749319156.db2.gz XTPMCLVWZXCAJB-MLPAPPSSSA-N 1 2 315.421 1.683 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cn2cc(Cl)cn2)C1 ZINC001033476857 749399920 /nfs/dbraw/zinc/39/99/20/749399920.db2.gz WLDNZLZBDTVHFL-LBPRGKRZSA-N 1 2 317.220 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cn2cc(Cl)cn2)C1 ZINC001033476857 749399926 /nfs/dbraw/zinc/39/99/26/749399926.db2.gz WLDNZLZBDTVHFL-LBPRGKRZSA-N 1 2 317.220 1.822 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001033483310 749409240 /nfs/dbraw/zinc/40/92/40/749409240.db2.gz GZMCILBZNLJSJE-STQMWFEESA-N 1 2 319.430 1.774 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001033483310 749409241 /nfs/dbraw/zinc/40/92/41/749409241.db2.gz GZMCILBZNLJSJE-STQMWFEESA-N 1 2 319.430 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1C ZINC001039342110 761949359 /nfs/dbraw/zinc/94/93/59/761949359.db2.gz UIKLMDVAVQSQAS-OLZOCXBDSA-N 1 2 308.813 1.852 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1C ZINC001039342110 761949361 /nfs/dbraw/zinc/94/93/61/761949361.db2.gz UIKLMDVAVQSQAS-OLZOCXBDSA-N 1 2 308.813 1.852 20 30 DDEDLO C=CCNC(=O)N(C)C[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001202568854 749435139 /nfs/dbraw/zinc/43/51/39/749435139.db2.gz IRIJBNGERYRNMB-MRXNPFEDSA-N 1 2 303.406 1.715 20 30 DDEDLO C=CCNC(=O)N(C)C[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001202568854 749435144 /nfs/dbraw/zinc/43/51/44/749435144.db2.gz IRIJBNGERYRNMB-MRXNPFEDSA-N 1 2 303.406 1.715 20 30 DDEDLO C=C(C)CCC(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107176782 749480218 /nfs/dbraw/zinc/48/02/18/749480218.db2.gz NESNLFFAWDGCQX-UHFFFAOYSA-N 1 2 303.410 1.683 20 30 DDEDLO C=CCC(CC=C)C(=O)NCc1cn(C2C[NH+](CC=C)C2)nn1 ZINC001107173752 749490308 /nfs/dbraw/zinc/49/03/08/749490308.db2.gz HOBZIMSPOSTJNM-UHFFFAOYSA-N 1 2 315.421 1.705 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(CC(C)C)CC3)nn2)C1 ZINC001107178261 749502196 /nfs/dbraw/zinc/50/21/96/749502196.db2.gz PDYHVSUWJPYWHA-UHFFFAOYSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@H]3CC=CCC3)nn2)C1 ZINC001107193892 749538909 /nfs/dbraw/zinc/53/89/09/749538909.db2.gz LFPIEIUBWLVJNH-AWEZNQCLSA-N 1 2 315.421 1.683 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3(CC)CC3)nn2)C1 ZINC001107205829 749600446 /nfs/dbraw/zinc/60/04/46/749600446.db2.gz FZZCCBGSVJSLFA-UHFFFAOYSA-N 1 2 303.410 1.517 20 30 DDEDLO C#CCOCCC(=O)NCC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095366208 749604445 /nfs/dbraw/zinc/60/44/45/749604445.db2.gz PSVRNBVCDIBOMN-UHFFFAOYSA-N 1 2 316.405 1.158 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](OC)C2CCCC2)C1 ZINC001108369727 761989400 /nfs/dbraw/zinc/98/94/00/761989400.db2.gz YIDWYKWKAOFWMS-RDJZCZTQSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](OC)C2CCCC2)C1 ZINC001108369727 761989406 /nfs/dbraw/zinc/98/94/06/761989406.db2.gz YIDWYKWKAOFWMS-RDJZCZTQSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2oc(C)cc2C)[C@@H](O)C1 ZINC001090144612 749901819 /nfs/dbraw/zinc/90/18/19/749901819.db2.gz INDJMJFNMHNMFF-STQMWFEESA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2oc(C)cc2C)[C@@H](O)C1 ZINC001090144612 749901824 /nfs/dbraw/zinc/90/18/24/749901824.db2.gz INDJMJFNMHNMFF-STQMWFEESA-N 1 2 312.797 1.814 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc(OC)c2)C1 ZINC001108364885 761995986 /nfs/dbraw/zinc/99/59/86/761995986.db2.gz WZNQFMNZQFYYNY-SFHVURJKSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc(OC)c2)C1 ZINC001108364885 761995988 /nfs/dbraw/zinc/99/59/88/761995988.db2.gz WZNQFMNZQFYYNY-SFHVURJKSA-N 1 2 316.401 1.539 20 30 DDEDLO COc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H](C)C#N)cc1C#N ZINC001032319053 749968945 /nfs/dbraw/zinc/96/89/45/749968945.db2.gz HOPKPABWUBWBGE-KCXAZCMYSA-N 1 2 324.384 1.512 20 30 DDEDLO COc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H](C)C#N)cc1C#N ZINC001032319053 749968950 /nfs/dbraw/zinc/96/89/50/749968950.db2.gz HOPKPABWUBWBGE-KCXAZCMYSA-N 1 2 324.384 1.512 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC[C@]12CCC[C@H]1N(CC#N)CC2 ZINC001107481106 750012292 /nfs/dbraw/zinc/01/22/92/750012292.db2.gz HJAICRJCUOGLEB-RHSMWYFYSA-N 1 2 315.421 1.237 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nc(CC)c[nH]1)C2 ZINC001095559086 750038580 /nfs/dbraw/zinc/03/85/80/750038580.db2.gz HNRMVDLJBVOQTR-RDBSUJKOSA-N 1 2 322.840 1.989 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nc(CC)c[nH]1)C2 ZINC001095559086 750038588 /nfs/dbraw/zinc/03/85/88/750038588.db2.gz HNRMVDLJBVOQTR-RDBSUJKOSA-N 1 2 322.840 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]c(=O)[nH]c1C)C2 ZINC001095578899 750052668 /nfs/dbraw/zinc/05/26/68/750052668.db2.gz DLGAOAVJTNIVOK-VWYCJHECSA-N 1 2 310.785 1.511 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]c(=O)[nH]c1C)C2 ZINC001095578899 750052671 /nfs/dbraw/zinc/05/26/71/750052671.db2.gz DLGAOAVJTNIVOK-VWYCJHECSA-N 1 2 310.785 1.511 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)COc1cnn(C)c1)C2 ZINC001110950596 750250245 /nfs/dbraw/zinc/25/02/45/750250245.db2.gz QSEJHLOSSCZBAX-BNOWGMLFSA-N 1 2 324.812 1.273 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)COc1cnn(C)c1)C2 ZINC001110950596 750250251 /nfs/dbraw/zinc/25/02/51/750250251.db2.gz QSEJHLOSSCZBAX-BNOWGMLFSA-N 1 2 324.812 1.273 20 30 DDEDLO C=CCCC(=O)NCC1(Nc2cc[nH+]c(C)n2)CCOCC1 ZINC001110980654 750276162 /nfs/dbraw/zinc/27/61/62/750276162.db2.gz NNWQTQGWJCGJCL-UHFFFAOYSA-N 1 2 304.394 1.829 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NCC)CC1 ZINC001110982033 750278334 /nfs/dbraw/zinc/27/83/34/750278334.db2.gz DODCBPVXMSOEBZ-OAGGEKHMSA-N 1 2 319.449 1.590 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NCC)CC1 ZINC001110982033 750278339 /nfs/dbraw/zinc/27/83/39/750278339.db2.gz DODCBPVXMSOEBZ-OAGGEKHMSA-N 1 2 319.449 1.590 20 30 DDEDLO C[C@]1(CNC(=O)C2CC2)C[N@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107673181 750333493 /nfs/dbraw/zinc/33/34/93/750333493.db2.gz GMUIEGOJWDKZAY-SFHVURJKSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@]1(CNC(=O)C2CC2)C[N@@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107673181 750333499 /nfs/dbraw/zinc/33/34/99/750333499.db2.gz GMUIEGOJWDKZAY-SFHVURJKSA-N 1 2 313.401 1.675 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)CNc1nc(C)[nH+]c2c1CCCC2 ZINC001107665332 750336474 /nfs/dbraw/zinc/33/64/74/750336474.db2.gz WYFZIDFCAAQNEA-GFCCVEGCSA-N 1 2 318.421 1.783 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CC13CCC3)C2 ZINC001111069237 750346757 /nfs/dbraw/zinc/34/67/57/750346757.db2.gz MHFHOGIPJSIIOY-BARDWOONSA-N 1 2 317.433 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CC13CCC3)C2 ZINC001111069237 750346766 /nfs/dbraw/zinc/34/67/66/750346766.db2.gz MHFHOGIPJSIIOY-BARDWOONSA-N 1 2 317.433 1.200 20 30 DDEDLO CN(c1ncccc1C#N)[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001056906703 762030439 /nfs/dbraw/zinc/03/04/39/762030439.db2.gz NUTIBUCVQBVAAH-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CCN(c3cc[nH+]c(C)n3)C2)c1 ZINC001061725413 750365975 /nfs/dbraw/zinc/36/59/75/750365975.db2.gz PACLYCFOIXQESD-HNNXBMFYSA-N 1 2 321.384 1.418 20 30 DDEDLO C=CC[NH+]1CCC([C@@H](C)NC(=O)c2cncc3nc[nH]c32)CC1 ZINC000997100653 750440902 /nfs/dbraw/zinc/44/09/02/750440902.db2.gz MYBHHWZLLPKZKP-GFCCVEGCSA-N 1 2 313.405 1.974 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C(C)(C)C)nc1C ZINC001032400732 750574492 /nfs/dbraw/zinc/57/44/92/750574492.db2.gz SXMWJAJPJMXXJL-GJZGRUSLSA-N 1 2 314.433 1.869 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C(C)(C)C)nc1C ZINC001032400732 750574496 /nfs/dbraw/zinc/57/44/96/750574496.db2.gz SXMWJAJPJMXXJL-GJZGRUSLSA-N 1 2 314.433 1.869 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1CC(F)F ZINC001032404216 750594371 /nfs/dbraw/zinc/59/43/71/750594371.db2.gz BRWOEAFJFJHEKU-RYUDHWBXSA-N 1 2 308.332 1.070 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1CC(F)F ZINC001032404216 750594374 /nfs/dbraw/zinc/59/43/74/750594374.db2.gz BRWOEAFJFJHEKU-RYUDHWBXSA-N 1 2 308.332 1.070 20 30 DDEDLO C#CCCCC(=O)NC[C@]1(C)C[N@H+](CCC(F)(F)F)CCO1 ZINC001107903288 750603713 /nfs/dbraw/zinc/60/37/13/750603713.db2.gz TVIYPGJSLPHUIQ-CQSZACIVSA-N 1 2 320.355 1.949 20 30 DDEDLO C#CCCCC(=O)NC[C@]1(C)C[N@@H+](CCC(F)(F)F)CCO1 ZINC001107903288 750603716 /nfs/dbraw/zinc/60/37/16/750603716.db2.gz TVIYPGJSLPHUIQ-CQSZACIVSA-N 1 2 320.355 1.949 20 30 DDEDLO C[C@H](CNc1cnc(C#N)cn1)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108136912 750662614 /nfs/dbraw/zinc/66/26/14/750662614.db2.gz GESYSRGEBQLDEK-NEPJUHHUSA-N 1 2 313.365 1.113 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N(C)C2CCN(CC#N)CC2)c[nH+]1 ZINC001005586732 750670651 /nfs/dbraw/zinc/67/06/51/750670651.db2.gz WYLNSNGLJKDVPB-UHFFFAOYSA-N 1 2 303.410 1.373 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Oc1cccc(F)c1 ZINC001032417914 750720012 /nfs/dbraw/zinc/72/00/12/750720012.db2.gz QHFYVDYTVCQTRL-QEJZJMRPSA-N 1 2 302.349 1.511 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Oc1cccc(F)c1 ZINC001032417914 750720014 /nfs/dbraw/zinc/72/00/14/750720014.db2.gz QHFYVDYTVCQTRL-QEJZJMRPSA-N 1 2 302.349 1.511 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2ccccc2F)[C@@H](O)C1 ZINC001083863983 750740692 /nfs/dbraw/zinc/74/06/92/750740692.db2.gz RNMTZQGWZGOYFG-CVEARBPZSA-N 1 2 318.392 1.288 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2ccccc2F)[C@@H](O)C1 ZINC001083863983 750740696 /nfs/dbraw/zinc/74/06/96/750740696.db2.gz RNMTZQGWZGOYFG-CVEARBPZSA-N 1 2 318.392 1.288 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc[nH]c2CC)[C@@H](O)C1 ZINC001090247916 750753860 /nfs/dbraw/zinc/75/38/60/750753860.db2.gz YHPSFGFKEYPSJK-KBPBESRZSA-N 1 2 311.813 1.495 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc[nH]c2CC)[C@@H](O)C1 ZINC001090247916 750753863 /nfs/dbraw/zinc/75/38/63/750753863.db2.gz YHPSFGFKEYPSJK-KBPBESRZSA-N 1 2 311.813 1.495 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2cc[nH]cc-2c1=O ZINC001032427201 750802050 /nfs/dbraw/zinc/80/20/50/750802050.db2.gz OTBFVCFMDDDWSX-RYUDHWBXSA-N 1 2 310.357 1.008 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2cc[nH]cc-2c1=O ZINC001032427201 750802058 /nfs/dbraw/zinc/80/20/58/750802058.db2.gz OTBFVCFMDDDWSX-RYUDHWBXSA-N 1 2 310.357 1.008 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnc3n2CCCC3)C1 ZINC001108157516 750861059 /nfs/dbraw/zinc/86/10/59/750861059.db2.gz YLSJTUFPMPKCRB-QGZVFWFLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnc3n2CCCC3)C1 ZINC001108157516 750861067 /nfs/dbraw/zinc/86/10/67/750861067.db2.gz YLSJTUFPMPKCRB-QGZVFWFLSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCN(C)c2ccccc21 ZINC001032452963 750872073 /nfs/dbraw/zinc/87/20/73/750872073.db2.gz OEFBMCZPFCTIFL-YQQAZPJKSA-N 1 2 309.413 1.528 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCN(C)c2ccccc21 ZINC001032452963 750872080 /nfs/dbraw/zinc/87/20/80/750872080.db2.gz OEFBMCZPFCTIFL-YQQAZPJKSA-N 1 2 309.413 1.528 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(C(F)F)no1 ZINC001034886674 750984112 /nfs/dbraw/zinc/98/41/12/750984112.db2.gz NGADOVCYVSDQBV-DTWKUNHWSA-N 1 2 313.308 1.247 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(N(C)C)no1 ZINC001035014035 751122799 /nfs/dbraw/zinc/12/27/99/751122799.db2.gz ILNNKKZTEXKDQV-GFCCVEGCSA-N 1 2 321.425 1.428 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CC[C@H](Cc2ccccc2)O1 ZINC001032526127 751195285 /nfs/dbraw/zinc/19/52/85/751195285.db2.gz NTZWZVGHDQXWPV-OKYOBFRVSA-N 1 2 324.424 1.695 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CC[C@H](Cc2ccccc2)O1 ZINC001032526127 751195289 /nfs/dbraw/zinc/19/52/89/751195289.db2.gz NTZWZVGHDQXWPV-OKYOBFRVSA-N 1 2 324.424 1.695 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cn2ccnn2)o1 ZINC001032534097 751217250 /nfs/dbraw/zinc/21/72/50/751217250.db2.gz MVPOLZBUWFPWSV-STQMWFEESA-N 1 2 313.361 1.004 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cn2ccnn2)o1 ZINC001032534097 751217254 /nfs/dbraw/zinc/21/72/54/751217254.db2.gz MVPOLZBUWFPWSV-STQMWFEESA-N 1 2 313.361 1.004 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CC(=O)Nc2ccccc21 ZINC001032550065 751275822 /nfs/dbraw/zinc/27/58/22/751275822.db2.gz BNWFPCPNSUCZSU-YDHLFZDLSA-N 1 2 309.369 1.031 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CC(=O)Nc2ccccc21 ZINC001032550065 751275827 /nfs/dbraw/zinc/27/58/27/751275827.db2.gz BNWFPCPNSUCZSU-YDHLFZDLSA-N 1 2 309.369 1.031 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cnn(C)c2)cc1 ZINC001032554088 751289967 /nfs/dbraw/zinc/28/99/67/751289967.db2.gz QGLJRXWGGYDWKM-ROUUACIJSA-N 1 2 320.396 1.500 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cnn(C)c2)cc1 ZINC001032554088 751289971 /nfs/dbraw/zinc/28/99/71/751289971.db2.gz QGLJRXWGGYDWKM-ROUUACIJSA-N 1 2 320.396 1.500 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001066794951 751332402 /nfs/dbraw/zinc/33/24/02/751332402.db2.gz XHPPULQEUIHVGQ-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001032678199 752697391 /nfs/dbraw/zinc/69/73/91/752697391.db2.gz DPBDXTBIULZGLQ-IRXDYDNUSA-N 1 2 306.369 1.609 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001032678199 752697397 /nfs/dbraw/zinc/69/73/97/752697397.db2.gz DPBDXTBIULZGLQ-IRXDYDNUSA-N 1 2 306.369 1.609 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)OCCC(C)C)C1 ZINC001108432393 762160440 /nfs/dbraw/zinc/16/04/40/762160440.db2.gz IMYJAAYEKVFWFH-WMZOPIPTSA-N 1 2 324.465 1.668 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)OCCC(C)C)C1 ZINC001108432393 762160444 /nfs/dbraw/zinc/16/04/44/762160444.db2.gz IMYJAAYEKVFWFH-WMZOPIPTSA-N 1 2 324.465 1.668 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnoc3C)C2)nc1 ZINC001009270223 753135017 /nfs/dbraw/zinc/13/50/17/753135017.db2.gz REKBLGGKBVBXHH-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3cnoc3C)C2)nc1 ZINC001009270223 753135026 /nfs/dbraw/zinc/13/50/26/753135026.db2.gz REKBLGGKBVBXHH-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)CN1c1ccncc1C#N ZINC001039758216 762203697 /nfs/dbraw/zinc/20/36/97/762203697.db2.gz RTMBRCUOZOHVHV-DZGCQCFKSA-N 1 2 324.388 1.323 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H](CNc3cc[nH+]c(C)n3)C2)nc1 ZINC001060898734 753348530 /nfs/dbraw/zinc/34/85/30/753348530.db2.gz XGWKTDOTRIPKBR-OAHLLOKOSA-N 1 2 321.384 1.736 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn([C@H](C)CC)c1C ZINC001032723366 753357525 /nfs/dbraw/zinc/35/75/25/753357525.db2.gz FABCKVFAPCBRNM-SNPRPXQTSA-N 1 2 300.406 1.694 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn([C@H](C)CC)c1C ZINC001032723366 753357528 /nfs/dbraw/zinc/35/75/28/753357528.db2.gz FABCKVFAPCBRNM-SNPRPXQTSA-N 1 2 300.406 1.694 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c[nH]c2cccc(C)c12 ZINC001032738120 753408596 /nfs/dbraw/zinc/40/85/96/753408596.db2.gz BCKQAWYHHXSTQQ-HOTGVXAUSA-N 1 2 307.397 1.937 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c[nH]c2cccc(C)c12 ZINC001032738120 753408600 /nfs/dbraw/zinc/40/86/00/753408600.db2.gz BCKQAWYHHXSTQQ-HOTGVXAUSA-N 1 2 307.397 1.937 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001077930412 753441695 /nfs/dbraw/zinc/44/16/95/753441695.db2.gz XGCDNWHAFCIIKL-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO Cc1c(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)cnn1C ZINC001010201153 753677188 /nfs/dbraw/zinc/67/71/88/753677188.db2.gz WBQOMEWXNSAUNY-KRWDZBQOSA-N 1 2 322.412 1.584 20 30 DDEDLO Cc1c(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)cnn1C ZINC001010201153 753677191 /nfs/dbraw/zinc/67/71/91/753677191.db2.gz WBQOMEWXNSAUNY-KRWDZBQOSA-N 1 2 322.412 1.584 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](OC)C2CCCCC2)C1 ZINC001108449848 762238492 /nfs/dbraw/zinc/23/84/92/762238492.db2.gz GQYZOPMGMOMSEQ-SJLPKXTDSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](OC)C2CCCCC2)C1 ZINC001108449848 762238495 /nfs/dbraw/zinc/23/84/95/762238495.db2.gz GQYZOPMGMOMSEQ-SJLPKXTDSA-N 1 2 324.465 1.975 20 30 DDEDLO Cc1nn(C)cc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010387457 753850353 /nfs/dbraw/zinc/85/03/53/753850353.db2.gz GRBFVVOAKYJDNW-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1nn(C)cc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010387457 753850358 /nfs/dbraw/zinc/85/03/58/753850358.db2.gz GRBFVVOAKYJDNW-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cc(C)c(C)cc2O1 ZINC001032794765 753884789 /nfs/dbraw/zinc/88/47/89/753884789.db2.gz QLZWLOSYYNJXJG-XYJFISCASA-N 1 2 310.397 1.525 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cc(C)c(C)cc2O1 ZINC001032794765 753884801 /nfs/dbraw/zinc/88/48/01/753884801.db2.gz QLZWLOSYYNJXJG-XYJFISCASA-N 1 2 310.397 1.525 20 30 DDEDLO Cn1cnc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)c1 ZINC001010551299 754017194 /nfs/dbraw/zinc/01/71/94/754017194.db2.gz LSGHQVYLQVUSOE-MRXNPFEDSA-N 1 2 308.385 1.276 20 30 DDEDLO Cn1cnc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)c1 ZINC001010551299 754017200 /nfs/dbraw/zinc/01/72/00/754017200.db2.gz LSGHQVYLQVUSOE-MRXNPFEDSA-N 1 2 308.385 1.276 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](F)Cc1ccccc1 ZINC001032799412 754032555 /nfs/dbraw/zinc/03/25/55/754032555.db2.gz VZSWGVDCICOMAQ-ULQDDVLXSA-N 1 2 300.377 1.876 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](F)Cc1ccccc1 ZINC001032799412 754032560 /nfs/dbraw/zinc/03/25/60/754032560.db2.gz VZSWGVDCICOMAQ-ULQDDVLXSA-N 1 2 300.377 1.876 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cncc(F)c3)C2)[nH]1 ZINC001010642748 754074909 /nfs/dbraw/zinc/07/49/09/754074909.db2.gz JVUMESBILZPSKC-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cncc(F)c3)C2)[nH]1 ZINC001010642748 754074913 /nfs/dbraw/zinc/07/49/13/754074913.db2.gz JVUMESBILZPSKC-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@@H]1CNc1ccc(C#N)nc1 ZINC001063500142 754194774 /nfs/dbraw/zinc/19/47/74/754194774.db2.gz QSMNXEMDLOBBGI-OAHLLOKOSA-N 1 2 324.388 1.332 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN2C(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001063510713 754201368 /nfs/dbraw/zinc/20/13/68/754201368.db2.gz SBLBLKHJRMLPKM-CYBMUJFWSA-N 1 2 310.361 1.322 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2[nH]c(=O)oc21 ZINC001032823273 754534060 /nfs/dbraw/zinc/53/40/60/754534060.db2.gz WEPBHHUORJNZSH-RYUDHWBXSA-N 1 2 311.341 1.455 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2[nH]c(=O)oc21 ZINC001032823273 754534063 /nfs/dbraw/zinc/53/40/63/754534063.db2.gz WEPBHHUORJNZSH-RYUDHWBXSA-N 1 2 311.341 1.455 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCC[C@@H]2NC(=O)Cc2c[nH]c[nH+]2)cn1 ZINC001064141344 754538679 /nfs/dbraw/zinc/53/86/79/754538679.db2.gz SATNALOAHSZLSL-WBMJQRKESA-N 1 2 324.388 1.616 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCN(C(=O)Cc2c[nH+]cn2C)CC1 ZINC001011645429 754653746 /nfs/dbraw/zinc/65/37/46/754653746.db2.gz CCZZYZILHGOXHS-KRWDZBQOSA-N 1 2 318.421 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccns2)[C@H](O)C1 ZINC001090304541 754811848 /nfs/dbraw/zinc/81/18/48/754811848.db2.gz UIJKTDDFFZVPHP-NXEZZACHSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccns2)[C@H](O)C1 ZINC001090304541 754811852 /nfs/dbraw/zinc/81/18/52/754811852.db2.gz UIJKTDDFFZVPHP-NXEZZACHSA-N 1 2 301.799 1.061 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064792457 754858519 /nfs/dbraw/zinc/85/85/19/754858519.db2.gz OGNQABOBCHQGEU-OLZOCXBDSA-N 1 2 324.388 1.710 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@H]1CC[N@@H+](CC(=C)Cl)C[C@@H]1O ZINC001090324416 754928235 /nfs/dbraw/zinc/92/82/35/754928235.db2.gz XZYJMWJNAPRUJI-ZFWWWQNUSA-N 1 2 323.824 1.592 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@H]1CC[N@H+](CC(=C)Cl)C[C@@H]1O ZINC001090324416 754928239 /nfs/dbraw/zinc/92/82/39/754928239.db2.gz XZYJMWJNAPRUJI-ZFWWWQNUSA-N 1 2 323.824 1.592 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)c2cc3ccccc3o2)[C@@H](O)C1 ZINC001083933697 755108318 /nfs/dbraw/zinc/10/83/18/755108318.db2.gz OSLPPQPIUQVNHY-YUELXQCFSA-N 1 2 312.369 1.331 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)c2cc3ccccc3o2)[C@@H](O)C1 ZINC001083933697 755108324 /nfs/dbraw/zinc/10/83/24/755108324.db2.gz OSLPPQPIUQVNHY-YUELXQCFSA-N 1 2 312.369 1.331 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)c3c[nH]c(C#N)c3)C[C@@H]2C)cc[nH+]1 ZINC001067059925 755483561 /nfs/dbraw/zinc/48/35/61/755483561.db2.gz FNKKHKIRGSEICB-IINYFYTJSA-N 1 2 310.361 1.557 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccnc3ccnn32)C1 ZINC001079838867 755603432 /nfs/dbraw/zinc/60/34/32/755603432.db2.gz PCSZOMZVRJZFQG-ZYHUDNBSSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccnc3ccnn32)C1 ZINC001079838867 755603434 /nfs/dbraw/zinc/60/34/34/755603434.db2.gz PCSZOMZVRJZFQG-ZYHUDNBSSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(C)CCC(=O)N(CC)C1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001079879927 755618653 /nfs/dbraw/zinc/61/86/53/755618653.db2.gz NUNXWRDHEMHUCN-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(C)CCC(=O)N(CC)C1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001079879927 755618655 /nfs/dbraw/zinc/61/86/55/755618655.db2.gz NUNXWRDHEMHUCN-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)c2cncnc2)C1 ZINC001080320740 755834363 /nfs/dbraw/zinc/83/43/63/755834363.db2.gz LGRQDLPKPCDGRD-SCDSUCTJSA-N 1 2 308.813 1.769 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)c2cncnc2)C1 ZINC001080320740 755834366 /nfs/dbraw/zinc/83/43/66/755834366.db2.gz LGRQDLPKPCDGRD-SCDSUCTJSA-N 1 2 308.813 1.769 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001080709782 756062655 /nfs/dbraw/zinc/06/26/55/756062655.db2.gz YATVGIFVOUAJDP-CZUORRHYSA-N 1 2 309.373 1.446 20 30 DDEDLO N#CCN[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1c1ccccc1 ZINC001081187442 756240723 /nfs/dbraw/zinc/24/07/23/756240723.db2.gz GHJTWQCDGQTQSA-JKSUJKDBSA-N 1 2 309.373 1.060 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H](NC(=O)c3cc(C)co3)C2)C1=O ZINC001015585051 756390508 /nfs/dbraw/zinc/39/05/08/756390508.db2.gz PAAAPFFTJIXEBR-UONOGXRCSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H](NC(=O)c3cc(C)co3)C2)C1=O ZINC001015585051 756390518 /nfs/dbraw/zinc/39/05/18/756390518.db2.gz PAAAPFFTJIXEBR-UONOGXRCSA-N 1 2 317.389 1.179 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)o3)C2)c1 ZINC001015678417 756460317 /nfs/dbraw/zinc/46/03/17/756460317.db2.gz HPJNNIQOABYIDQ-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)o3)C2)c1 ZINC001015678417 756460319 /nfs/dbraw/zinc/46/03/19/756460319.db2.gz HPJNNIQOABYIDQ-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+]([C@@H](C)c3cnccn3)C2)c1 ZINC001015678809 756460592 /nfs/dbraw/zinc/46/05/92/756460592.db2.gz OJZSVDWDZMUCSV-XJKSGUPXSA-N 1 2 321.384 1.418 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+]([C@@H](C)c3cnccn3)C2)c1 ZINC001015678809 756460595 /nfs/dbraw/zinc/46/05/95/756460595.db2.gz OJZSVDWDZMUCSV-XJKSGUPXSA-N 1 2 321.384 1.418 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cnoc3C)C[C@H]2OC)C1 ZINC001082111681 756645513 /nfs/dbraw/zinc/64/55/13/756645513.db2.gz IQGMFWUJBYODRX-HUUCEWRRSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cnoc3C)C[C@H]2OC)C1 ZINC001082111681 756645515 /nfs/dbraw/zinc/64/55/15/756645515.db2.gz IQGMFWUJBYODRX-HUUCEWRRSA-N 1 2 319.405 1.655 20 30 DDEDLO Cc1conc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015951694 756673003 /nfs/dbraw/zinc/67/30/03/756673003.db2.gz OYDZHGRPZPOFCQ-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1conc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015951694 756673010 /nfs/dbraw/zinc/67/30/10/756673010.db2.gz OYDZHGRPZPOFCQ-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2sc(Cl)nc2Cl)C1 ZINC001016266967 756889213 /nfs/dbraw/zinc/88/92/13/756889213.db2.gz ANHTXDHWHCMHPL-SSDOTTSWSA-N 1 2 304.202 1.887 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2sc(Cl)nc2Cl)C1 ZINC001016266967 756889218 /nfs/dbraw/zinc/88/92/18/756889218.db2.gz ANHTXDHWHCMHPL-SSDOTTSWSA-N 1 2 304.202 1.887 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)C2CCOCC2)C1 ZINC001016431558 757040235 /nfs/dbraw/zinc/04/02/35/757040235.db2.gz HOCMRKKRHJDFSZ-JSGCOSHPSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)C2CCOCC2)C1 ZINC001016431558 757040239 /nfs/dbraw/zinc/04/02/39/757040239.db2.gz HOCMRKKRHJDFSZ-JSGCOSHPSA-N 1 2 300.830 1.992 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](CNC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001097307509 757070457 /nfs/dbraw/zinc/07/04/57/757070457.db2.gz IQQDXZADZJTTMZ-AWEZNQCLSA-N 1 2 324.388 1.183 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001097348204 757102455 /nfs/dbraw/zinc/10/24/55/757102455.db2.gz ATHOROKVQMPDBI-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO N#Cc1cccnc1N1CCCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001097467153 757192996 /nfs/dbraw/zinc/19/29/96/757192996.db2.gz UBRDGOIBTINYKW-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCOCC(=O)NC1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001097621370 757286309 /nfs/dbraw/zinc/28/63/09/757286309.db2.gz XQNRJOZDPDYTBE-UHFFFAOYSA-N 1 2 304.394 1.827 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc[nH]c3=O)[C@@H]2C1 ZINC001084438570 757596745 /nfs/dbraw/zinc/59/67/45/757596745.db2.gz OFILNWANHYROCM-ZYHUDNBSSA-N 1 2 308.769 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cnc[nH]c3=O)[C@@H]2C1 ZINC001084438570 757596748 /nfs/dbraw/zinc/59/67/48/757596748.db2.gz OFILNWANHYROCM-ZYHUDNBSSA-N 1 2 308.769 1.081 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099807804 757709484 /nfs/dbraw/zinc/70/94/84/757709484.db2.gz WEZBKRXUPFQQLA-HUUCEWRRSA-N 1 2 319.405 1.146 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099807804 757709494 /nfs/dbraw/zinc/70/94/94/757709494.db2.gz WEZBKRXUPFQQLA-HUUCEWRRSA-N 1 2 319.405 1.146 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](OC)C(C)C)[C@@H]2C1 ZINC001084633878 757724322 /nfs/dbraw/zinc/72/43/22/757724322.db2.gz WVHCMRILXCYZBA-MGPQQGTHSA-N 1 2 300.830 1.943 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](OC)C(C)C)[C@@H]2C1 ZINC001084633878 757724326 /nfs/dbraw/zinc/72/43/26/757724326.db2.gz WVHCMRILXCYZBA-MGPQQGTHSA-N 1 2 300.830 1.943 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(=O)c4ccccc4o3)[C@@H]2C1 ZINC001084807695 757935764 /nfs/dbraw/zinc/93/57/64/757935764.db2.gz DTHPXLUXLSYNJG-UKRRQHHQSA-N 1 2 322.364 1.573 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(=O)c4ccccc4o3)[C@@H]2C1 ZINC001084807695 757935774 /nfs/dbraw/zinc/93/57/74/757935774.db2.gz DTHPXLUXLSYNJG-UKRRQHHQSA-N 1 2 322.364 1.573 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)N1CCCCC1=O ZINC001017554034 758023174 /nfs/dbraw/zinc/02/31/74/758023174.db2.gz ULMGTXDDZQAKLJ-OAGGEKHMSA-N 1 2 317.433 1.086 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)N1CCCCC1=O ZINC001017554034 758023185 /nfs/dbraw/zinc/02/31/85/758023185.db2.gz ULMGTXDDZQAKLJ-OAGGEKHMSA-N 1 2 317.433 1.086 20 30 DDEDLO N#CCN1CCC[C@@H]([C@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001053059552 758118211 /nfs/dbraw/zinc/11/82/11/758118211.db2.gz VVVFVTZPHXLIRB-CVEARBPZSA-N 1 2 315.421 1.357 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2ncnn21 ZINC001017709609 758172961 /nfs/dbraw/zinc/17/29/61/758172961.db2.gz QZLUKIWXCYZQAY-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2ncnn21 ZINC001017709609 758172970 /nfs/dbraw/zinc/17/29/70/758172970.db2.gz QZLUKIWXCYZQAY-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001053132491 758190780 /nfs/dbraw/zinc/19/07/80/758190780.db2.gz MHRUABNCTHKZGC-STQMWFEESA-N 1 2 318.421 1.375 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cnccc2c1 ZINC001017739542 758197833 /nfs/dbraw/zinc/19/78/33/758197833.db2.gz PXCJOJSSEAGSBE-CALCHBBNSA-N 1 2 320.396 1.942 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cnccc2c1 ZINC001017739542 758197834 /nfs/dbraw/zinc/19/78/34/758197834.db2.gz PXCJOJSSEAGSBE-CALCHBBNSA-N 1 2 320.396 1.942 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C)c(C)o1)CCO2 ZINC001053220720 758277081 /nfs/dbraw/zinc/27/70/81/758277081.db2.gz BOAGEWHQUMJYRF-UHFFFAOYSA-N 1 2 304.390 1.999 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCCO[C@H]1C)CCO2 ZINC001053232689 758288313 /nfs/dbraw/zinc/28/83/13/758288313.db2.gz WLUQJPKSRNYFTA-LSDHHAIUSA-N 1 2 308.422 1.291 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ncccc1F ZINC001017854212 758299083 /nfs/dbraw/zinc/29/90/83/758299083.db2.gz ZPRSSUJHECIRJC-OKILXGFUSA-N 1 2 301.365 1.462 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ncccc1F ZINC001017854212 758299091 /nfs/dbraw/zinc/29/90/91/758299091.db2.gz ZPRSSUJHECIRJC-OKILXGFUSA-N 1 2 301.365 1.462 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(F)cccc1F ZINC001017854865 758299928 /nfs/dbraw/zinc/29/99/28/758299928.db2.gz DTGVPIDTMQEABX-BETUJISGSA-N 1 2 304.340 1.816 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(F)cccc1F ZINC001017854865 758299935 /nfs/dbraw/zinc/29/99/35/758299935.db2.gz DTGVPIDTMQEABX-BETUJISGSA-N 1 2 304.340 1.816 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cn(CC)nc1C)CCO2 ZINC001053453123 758471711 /nfs/dbraw/zinc/47/17/11/758471711.db2.gz PVWCVPOZWDIQHQ-UHFFFAOYSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cncc(Cl)c1)CCO2 ZINC001053470677 758485404 /nfs/dbraw/zinc/48/54/04/758485404.db2.gz DVDURUQARUABKQ-UHFFFAOYSA-N 1 2 321.808 1.838 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C(C1CC1)C1CC1)CCO2 ZINC001053502430 758507094 /nfs/dbraw/zinc/50/70/94/758507094.db2.gz UFIQXBHPSZQQPT-UHFFFAOYSA-N 1 2 304.434 1.912 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CCOC2(C[NH+](CCC)C2)C1 ZINC001053518818 758527219 /nfs/dbraw/zinc/52/72/19/758527219.db2.gz CMUUZQJSZRJVLZ-UHFFFAOYSA-N 1 2 312.413 1.534 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]c(C)nc2c1 ZINC001018113471 758556409 /nfs/dbraw/zinc/55/64/09/758556409.db2.gz ROFPLOWNQOZSRJ-GASCZTMLSA-N 1 2 308.385 1.793 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]c(C)nc2c1 ZINC001018113471 758556414 /nfs/dbraw/zinc/55/64/14/758556414.db2.gz ROFPLOWNQOZSRJ-GASCZTMLSA-N 1 2 308.385 1.793 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)no1)O2 ZINC001053574079 758583233 /nfs/dbraw/zinc/58/32/33/758583233.db2.gz UZZRZFFQEKGWJH-ZDUSSCGKSA-N 1 2 305.378 1.522 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(CC)ccn1)O2 ZINC001053604882 758624764 /nfs/dbraw/zinc/62/47/64/758624764.db2.gz ROVVZWANGPSFIV-OAHLLOKOSA-N 1 2 315.417 1.793 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1conc1C(C)C)O2 ZINC001053611527 758630152 /nfs/dbraw/zinc/63/01/52/758630152.db2.gz SXTATQHSWCCRFS-CYBMUJFWSA-N 1 2 319.405 1.947 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1onc(C)c1C)O2 ZINC001053615105 758634022 /nfs/dbraw/zinc/63/40/22/758634022.db2.gz AXTNHAPCPHEPHE-AWEZNQCLSA-N 1 2 319.405 1.831 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1n[nH]c3c1CCC3)O2 ZINC001053621421 758640151 /nfs/dbraw/zinc/64/01/51/758640151.db2.gz GMMNEPSLKJDJHE-GFCCVEGCSA-N 1 2 316.405 1.048 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1snnc1CC)O2 ZINC001053627318 758645184 /nfs/dbraw/zinc/64/51/84/758645184.db2.gz VBFOHUJVKIVLNG-NSHDSACASA-N 1 2 322.434 1.250 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)nnn2CC ZINC001018258758 758674585 /nfs/dbraw/zinc/67/45/85/758674585.db2.gz ORBIBRZIEXEYGX-GASCZTMLSA-N 1 2 323.400 1.373 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)nnn2CC ZINC001018258758 758674590 /nfs/dbraw/zinc/67/45/90/758674590.db2.gz ORBIBRZIEXEYGX-GASCZTMLSA-N 1 2 323.400 1.373 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(OC)o1)O2 ZINC001053679559 758688845 /nfs/dbraw/zinc/68/88/45/758688845.db2.gz HDRYPVUSNGLQSO-CYBMUJFWSA-N 1 2 320.389 1.828 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(CC)on1)O2 ZINC001053690142 758698409 /nfs/dbraw/zinc/69/84/09/758698409.db2.gz FFHOAWYLPRLXIN-CYBMUJFWSA-N 1 2 305.378 1.386 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(C)nsc1C)O2 ZINC001053701953 758710443 /nfs/dbraw/zinc/71/04/43/758710443.db2.gz JYWNNUMNSZOOPX-ZDUSSCGKSA-N 1 2 321.446 1.909 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065712381 758730800 /nfs/dbraw/zinc/73/08/00/758730800.db2.gz XANQGFHHGVTRMB-HOCLYGCPSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(Cl)o1)CO2 ZINC001053740115 758754467 /nfs/dbraw/zinc/75/44/67/758754467.db2.gz BLWJZKWGRCOSTL-NSHDSACASA-N 1 2 308.765 1.529 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CCCCCC1)CO2 ZINC001053754705 758770918 /nfs/dbraw/zinc/77/09/18/758770918.db2.gz QGBWHKJIHLXBMV-MRXNPFEDSA-N 1 2 304.434 1.940 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnc(C)s1)CO2 ZINC001053757832 758775967 /nfs/dbraw/zinc/77/59/67/758775967.db2.gz FKDASFVKMXZZBK-LBPRGKRZSA-N 1 2 307.419 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1oc(C)nc1C)CO2 ZINC001053764766 758783509 /nfs/dbraw/zinc/78/35/09/758783509.db2.gz YARCITDJGNLDMS-ZDUSSCGKSA-N 1 2 305.378 1.441 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1sccc1F)CO2 ZINC001053792724 758820851 /nfs/dbraw/zinc/82/08/51/758820851.db2.gz YXVRKXCCCDTQTJ-NSHDSACASA-N 1 2 308.378 1.484 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H]1COC2(C[NH+](CC(=C)C)C2)C1 ZINC001053805248 758832616 /nfs/dbraw/zinc/83/26/16/758832616.db2.gz NFGXRAYRTWZTTB-OAGGEKHMSA-N 1 2 320.433 1.503 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc(C)c1F)CO2 ZINC001053812392 758840765 /nfs/dbraw/zinc/84/07/65/758840765.db2.gz DMYYRFZTLFFPSG-CQSZACIVSA-N 1 2 316.376 1.731 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)nnc1C)CO2 ZINC001053818280 758846393 /nfs/dbraw/zinc/84/63/93/758846393.db2.gz CKCRWBBSAVHAKU-CQSZACIVSA-N 1 2 316.405 1.243 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1[nH]ccc1C1CC1)CO2 ZINC001053826788 758855851 /nfs/dbraw/zinc/85/58/51/758855851.db2.gz KKMPXOYHZPHPKD-AWEZNQCLSA-N 1 2 313.401 1.489 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)C(C)(C)C)CC2=O)C1 ZINC001108553112 762665152 /nfs/dbraw/zinc/66/51/52/762665152.db2.gz GADSLNZVBUHJBQ-ZIAGYGMSSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ncccc1CC)CO2 ZINC001053854308 758885553 /nfs/dbraw/zinc/88/55/53/758885553.db2.gz VYTKUAWZSTZUAL-HNNXBMFYSA-N 1 2 315.417 1.793 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)c(C)n1)CO2 ZINC001053870145 758903682 /nfs/dbraw/zinc/90/36/82/758903682.db2.gz DDQQPDIUYLTLEF-HNNXBMFYSA-N 1 2 313.401 1.295 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1(C3CCC3)CCC1)CO2 ZINC001053884543 758918711 /nfs/dbraw/zinc/91/87/11/758918711.db2.gz SHNOSGBPVOYEQD-INIZCTEOSA-N 1 2 316.445 1.940 20 30 DDEDLO C=C[C@@H](CO)Nc1ccc(I)c(N)[nH+]1 ZINC001169781147 762670463 /nfs/dbraw/zinc/67/04/63/762670463.db2.gz NKLMQLSVRMCJQX-LURJTMIESA-N 1 2 305.119 1.227 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C(C)C)no1)CO2 ZINC001053945643 758981929 /nfs/dbraw/zinc/98/19/29/758981929.db2.gz BYXNOSVSNFDGFP-CYBMUJFWSA-N 1 2 317.389 1.394 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1nc(CC)oc1C)CO2 ZINC001053951987 758989891 /nfs/dbraw/zinc/98/98/91/758989891.db2.gz JPKFBUQQTSSXHU-CYBMUJFWSA-N 1 2 319.405 1.695 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc3[nH]cnc31)CO2 ZINC001053964813 759000158 /nfs/dbraw/zinc/00/01/58/759000158.db2.gz OGYMTKJUXWDFFQ-ZDUSSCGKSA-N 1 2 324.384 1.159 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1c(C)noc1C)CO2 ZINC001054005043 759052420 /nfs/dbraw/zinc/05/24/20/759052420.db2.gz DJNDRYXSYFLTPJ-AWEZNQCLSA-N 1 2 319.405 1.370 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)noc1CC)CO2 ZINC001054006362 759053813 /nfs/dbraw/zinc/05/38/13/759053813.db2.gz YTJVMBVCLMLFHO-CYBMUJFWSA-N 1 2 317.389 1.142 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC3CCC1CC3)CO2 ZINC001054019511 759069698 /nfs/dbraw/zinc/06/96/98/759069698.db2.gz XIIWXCCZZOURMU-GQGLESIBSA-N 1 2 316.445 1.796 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C)n[nH]1 ZINC001054034858 759090199 /nfs/dbraw/zinc/09/01/99/759090199.db2.gz BACPDSWYHSQHJC-KRWDZBQOSA-N 1 2 322.412 1.898 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C)n[nH]1 ZINC001054034858 759090206 /nfs/dbraw/zinc/09/02/06/759090206.db2.gz BACPDSWYHSQHJC-KRWDZBQOSA-N 1 2 322.412 1.898 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(CCN(C(=O)c3[nH]nnc3C)C2)CC1 ZINC001040795998 762684280 /nfs/dbraw/zinc/68/42/80/762684280.db2.gz ISDBTWJOKONESC-UHFFFAOYSA-N 1 2 323.828 1.794 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1)c1csnn1 ZINC001018682243 759130899 /nfs/dbraw/zinc/13/08/99/759130899.db2.gz NEFBCUYAFSFCKS-ONGXEEELSA-N 1 2 316.390 1.303 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC001018747619 759216508 /nfs/dbraw/zinc/21/65/08/759216508.db2.gz IITQTMYIFJECKI-SKDRFNHKSA-N 1 2 314.349 1.143 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001018972617 759466233 /nfs/dbraw/zinc/46/62/33/759466233.db2.gz GDWIZFKDHUBUDX-ZDUSSCGKSA-N 1 2 315.377 1.370 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](Nc2ccc(C#N)nc2)C[C@H]1C ZINC001069128986 767864027 /nfs/dbraw/zinc/86/40/27/767864027.db2.gz CAAXJXQSCSKAJU-ABAIWWIYSA-N 1 2 324.388 1.629 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@H](Nc2ncccc2C#N)C[C@@H]1C ZINC001069129069 767865000 /nfs/dbraw/zinc/86/50/00/767865000.db2.gz CYRNEPUHUZWHQI-SMDDNHRTSA-N 1 2 324.388 1.629 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3nocc3C)C2)cn1 ZINC001046779372 767918316 /nfs/dbraw/zinc/91/83/16/767918316.db2.gz SELRPBZDXSFUGP-SFHVURJKSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@H+](Cc3nocc3C)C2)cn1 ZINC001046779372 767918321 /nfs/dbraw/zinc/91/83/21/767918321.db2.gz SELRPBZDXSFUGP-SFHVURJKSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001019708875 760193315 /nfs/dbraw/zinc/19/33/15/760193315.db2.gz WPMWCVQMTLMUBO-INIZCTEOSA-N 1 2 324.384 1.293 20 30 DDEDLO C=CCCC(=O)N1CCC(CC)(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001069239257 767934938 /nfs/dbraw/zinc/93/49/38/767934938.db2.gz HLRYWDXDVTYZAX-UHFFFAOYSA-N 1 2 318.421 1.737 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc(C(C)C)nc1C ZINC001085857984 760377929 /nfs/dbraw/zinc/37/79/29/760377929.db2.gz LYZZFIMARFBRAI-AWEZNQCLSA-N 1 2 300.406 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc(C(C)C)nc1C ZINC001085857984 760377938 /nfs/dbraw/zinc/37/79/38/760377938.db2.gz LYZZFIMARFBRAI-AWEZNQCLSA-N 1 2 300.406 1.688 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@H]2CC[N@H+]2C[C@H](F)CC)nn1 ZINC001085863527 760406200 /nfs/dbraw/zinc/40/62/00/760406200.db2.gz CZWZZPKGIABWOI-ZIAGYGMSSA-N 1 2 323.416 1.749 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2C[C@H](F)CC)nn1 ZINC001085863527 760406207 /nfs/dbraw/zinc/40/62/07/760406207.db2.gz CZWZZPKGIABWOI-ZIAGYGMSSA-N 1 2 323.416 1.749 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(CNC(C)=O)o1 ZINC001085877081 760435067 /nfs/dbraw/zinc/43/50/67/760435067.db2.gz RJCKNGIZGUUIFR-CQSZACIVSA-N 1 2 317.389 1.085 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(CNC(C)=O)o1 ZINC001085877081 760435070 /nfs/dbraw/zinc/43/50/70/760435070.db2.gz RJCKNGIZGUUIFR-CQSZACIVSA-N 1 2 317.389 1.085 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2ccccn2)no1 ZINC001085896129 760483076 /nfs/dbraw/zinc/48/30/76/760483076.db2.gz UOCOLVNPVJKUBY-ZDUSSCGKSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2ccccn2)no1 ZINC001085896129 760483081 /nfs/dbraw/zinc/48/30/81/760483081.db2.gz UOCOLVNPVJKUBY-ZDUSSCGKSA-N 1 2 310.357 1.516 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001046840553 767973067 /nfs/dbraw/zinc/97/30/67/767973067.db2.gz GNUKGZLRFNREOO-AWEZNQCLSA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001046840553 767973068 /nfs/dbraw/zinc/97/30/68/767973068.db2.gz GNUKGZLRFNREOO-AWEZNQCLSA-N 1 2 310.785 1.060 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnc(-n2cccc2)c1 ZINC001038380357 761065583 /nfs/dbraw/zinc/06/55/83/761065583.db2.gz BAXFAICWNOCQAK-INIZCTEOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnc(-n2cccc2)c1 ZINC001038380357 761065592 /nfs/dbraw/zinc/06/55/92/761065592.db2.gz BAXFAICWNOCQAK-INIZCTEOSA-N 1 2 308.385 1.700 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccc(C)cc2)[C@H](O)C1 ZINC001090407563 761172854 /nfs/dbraw/zinc/17/28/54/761172854.db2.gz OTPZVTYYSNDKQE-HZPDHXFCSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccc(C)cc2)[C@H](O)C1 ZINC001090407563 761172861 /nfs/dbraw/zinc/17/28/61/761172861.db2.gz OTPZVTYYSNDKQE-HZPDHXFCSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2[C@H]2CCCNC2=O)CC1 ZINC001038662578 761261749 /nfs/dbraw/zinc/26/17/49/761261749.db2.gz SCMGAHMXKCFDIH-GJZGRUSLSA-N 1 2 305.422 1.202 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2[C@H]2CCCNC2=O)CC1 ZINC001038662578 761261751 /nfs/dbraw/zinc/26/17/51/761261751.db2.gz SCMGAHMXKCFDIH-GJZGRUSLSA-N 1 2 305.422 1.202 20 30 DDEDLO N#Cc1cnc(N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)c(F)c1 ZINC001056687822 761344764 /nfs/dbraw/zinc/34/47/64/761344764.db2.gz SUEWDGPBDVCTSL-LLVKDONJSA-N 1 2 314.324 1.071 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccccn1 ZINC001038809206 761426807 /nfs/dbraw/zinc/42/68/07/761426807.db2.gz XYPOYDBHKQVFTI-IKGGRYGDSA-N 1 2 315.417 1.753 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1ccccn1 ZINC001038809206 761426808 /nfs/dbraw/zinc/42/68/08/761426808.db2.gz XYPOYDBHKQVFTI-IKGGRYGDSA-N 1 2 315.417 1.753 20 30 DDEDLO C#CC[NH+]1CCC(C2(NC(=O)c3[nH]nc4c3CCC4)CC2)CC1 ZINC001000194576 761566864 /nfs/dbraw/zinc/56/68/64/761566864.db2.gz LISJVOJEPICQIN-UHFFFAOYSA-N 1 2 312.417 1.506 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2ccccc2)[C@H](O)C1 ZINC001100087588 761634196 /nfs/dbraw/zinc/63/41/96/761634196.db2.gz OJZXANZHQGKVBY-HZPDHXFCSA-N 1 2 322.836 1.923 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2ccccc2)[C@H](O)C1 ZINC001100087588 761634200 /nfs/dbraw/zinc/63/42/00/761634200.db2.gz OJZXANZHQGKVBY-HZPDHXFCSA-N 1 2 322.836 1.923 20 30 DDEDLO Cn1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)n1 ZINC001039023698 761660511 /nfs/dbraw/zinc/66/05/11/761660511.db2.gz YMPMMKYOBZCFOR-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)n1 ZINC001039023698 761660513 /nfs/dbraw/zinc/66/05/13/761660513.db2.gz YMPMMKYOBZCFOR-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001039100142 761739446 /nfs/dbraw/zinc/73/94/46/761739446.db2.gz XLCDNLOXVDLORI-CQSZACIVSA-N 1 2 317.364 1.612 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001039100142 761739453 /nfs/dbraw/zinc/73/94/53/761739453.db2.gz XLCDNLOXVDLORI-CQSZACIVSA-N 1 2 317.364 1.612 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)o1)C2 ZINC001108888993 763078746 /nfs/dbraw/zinc/07/87/46/763078746.db2.gz ZXPIFNBSSUGWAZ-ILXRZTDVSA-N 1 2 302.374 1.573 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)o1)C2 ZINC001108888993 763078752 /nfs/dbraw/zinc/07/87/52/763078752.db2.gz ZXPIFNBSSUGWAZ-ILXRZTDVSA-N 1 2 302.374 1.573 20 30 DDEDLO C[C@@H](CN(C)c1cccc(F)c1C#N)NC(=O)Cn1cc[nH+]c1 ZINC001109014147 763229932 /nfs/dbraw/zinc/22/99/32/763229932.db2.gz GKKGNNWIAIUTPF-LBPRGKRZSA-N 1 2 315.352 1.535 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2C[N@H+](CCF)CCC2(F)F)c1 ZINC001046929506 768126283 /nfs/dbraw/zinc/12/62/83/768126283.db2.gz REVPSXPFYIAIHM-NSHDSACASA-N 1 2 314.311 1.543 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2C[N@@H+](CCF)CCC2(F)F)c1 ZINC001046929506 768126286 /nfs/dbraw/zinc/12/62/86/768126286.db2.gz REVPSXPFYIAIHM-NSHDSACASA-N 1 2 314.311 1.543 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001042058194 763601928 /nfs/dbraw/zinc/60/19/28/763601928.db2.gz PKCDDKAGWAMGIB-GOEBONIOSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001042058194 763601931 /nfs/dbraw/zinc/60/19/31/763601931.db2.gz PKCDDKAGWAMGIB-GOEBONIOSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001042058196 763602102 /nfs/dbraw/zinc/60/21/02/763602102.db2.gz PKCDDKAGWAMGIB-ZBFHGGJFSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001042058196 763602104 /nfs/dbraw/zinc/60/21/04/763602104.db2.gz PKCDDKAGWAMGIB-ZBFHGGJFSA-N 1 2 314.389 1.214 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CN(c3cc[nH+]c(C)n3)C[C@H]2C)cn1 ZINC001042080448 763626798 /nfs/dbraw/zinc/62/67/98/763626798.db2.gz SRMBAMTUBLDTON-MLGOLLRUSA-N 1 2 321.384 1.416 20 30 DDEDLO N#CCN1CC[C@@H]2CCN(C(=O)c3cccc4[nH+]ccn43)C[C@@H]21 ZINC001042148990 763709865 /nfs/dbraw/zinc/70/98/65/763709865.db2.gz OBNVNDWAOJBYID-HIFRSBDPSA-N 1 2 309.373 1.394 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@@H+](Cc3ncnn3C)[C@@H]2C1 ZINC001042188934 763756795 /nfs/dbraw/zinc/75/67/95/763756795.db2.gz XYMUULJQEYSYKL-UONOGXRCSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@H+](Cc3ncnn3C)[C@@H]2C1 ZINC001042188934 763756799 /nfs/dbraw/zinc/75/67/99/763756799.db2.gz XYMUULJQEYSYKL-UONOGXRCSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)CCc3cn[nH]n3)C[C@H]21 ZINC001042241419 763806650 /nfs/dbraw/zinc/80/66/50/763806650.db2.gz XVRLNLNBVLFIBJ-GXTWGEPZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)CCc3cn[nH]n3)C[C@H]21 ZINC001042241419 763806662 /nfs/dbraw/zinc/80/66/62/763806662.db2.gz XVRLNLNBVLFIBJ-GXTWGEPZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)CCc3c[nH]nn3)C[C@H]21 ZINC001042241419 763806675 /nfs/dbraw/zinc/80/66/75/763806675.db2.gz XVRLNLNBVLFIBJ-GXTWGEPZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)CCc3c[nH]nn3)C[C@H]21 ZINC001042241419 763806687 /nfs/dbraw/zinc/80/66/87/763806687.db2.gz XVRLNLNBVLFIBJ-GXTWGEPZSA-N 1 2 323.828 1.413 20 30 DDEDLO CN(CCCNc1ccc(C#N)nc1)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001109656756 763919011 /nfs/dbraw/zinc/91/90/11/763919011.db2.gz JSFYQECMOMLHRQ-HUUCEWRRSA-N 1 2 324.388 1.740 20 30 DDEDLO CN(CCCNc1ccc(C#N)nc1)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001109656756 763919015 /nfs/dbraw/zinc/91/90/15/763919015.db2.gz JSFYQECMOMLHRQ-HUUCEWRRSA-N 1 2 324.388 1.740 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC(C)C)C2 ZINC001109748297 763988736 /nfs/dbraw/zinc/98/87/36/763988736.db2.gz PZUWMKHIBQYSJV-PMPSAXMXSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC(C)C)C2 ZINC001109748297 763988743 /nfs/dbraw/zinc/98/87/43/763988743.db2.gz PZUWMKHIBQYSJV-PMPSAXMXSA-N 1 2 319.449 1.284 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109868038 764151182 /nfs/dbraw/zinc/15/11/82/764151182.db2.gz YZSNCPWQLKTLEW-RISCZKNCSA-N 1 2 304.394 1.683 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(C)nc1C ZINC001050848223 764172408 /nfs/dbraw/zinc/17/24/08/764172408.db2.gz DUQCMCUCRAXXDK-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(C)nc1C ZINC001050848223 764172413 /nfs/dbraw/zinc/17/24/13/764172413.db2.gz DUQCMCUCRAXXDK-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H](Nc3cc[nH+]c(C)n3)C2)cn1 ZINC001057673075 764294464 /nfs/dbraw/zinc/29/44/64/764294464.db2.gz RWOUUOBPPMSFLZ-INIZCTEOSA-N 1 2 321.384 1.878 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(CC)ccn1 ZINC001050934697 764303368 /nfs/dbraw/zinc/30/33/68/764303368.db2.gz SJWIZGCFGLGGGV-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(CC)ccn1 ZINC001050934697 764303372 /nfs/dbraw/zinc/30/33/72/764303372.db2.gz SJWIZGCFGLGGGV-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cn2)CC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067407232 764399379 /nfs/dbraw/zinc/39/93/79/764399379.db2.gz CYLRBIFVRMGBDE-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(Cl)cn1C ZINC001051015151 764418934 /nfs/dbraw/zinc/41/89/34/764418934.db2.gz GGOZJLYWMUSSJJ-ZDUSSCGKSA-N 1 2 311.813 1.685 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(Cl)cn1C ZINC001051015151 764418942 /nfs/dbraw/zinc/41/89/42/764418942.db2.gz GGOZJLYWMUSSJJ-ZDUSSCGKSA-N 1 2 311.813 1.685 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sccc2C)[C@@H](n2ccnn2)C1 ZINC001069889105 768214862 /nfs/dbraw/zinc/21/48/62/768214862.db2.gz GFCQSBRQHXJHOZ-OLZOCXBDSA-N 1 2 317.418 1.489 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sccc2C)[C@@H](n2ccnn2)C1 ZINC001069889105 768214864 /nfs/dbraw/zinc/21/48/64/768214864.db2.gz GFCQSBRQHXJHOZ-OLZOCXBDSA-N 1 2 317.418 1.489 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(C(C)C)cn1 ZINC001051044430 764447488 /nfs/dbraw/zinc/44/74/88/764447488.db2.gz QEYYFAJCMAUXAP-AWEZNQCLSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(C(C)C)cn1 ZINC001051044430 764447490 /nfs/dbraw/zinc/44/74/90/764447490.db2.gz QEYYFAJCMAUXAP-AWEZNQCLSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)C1CCC(OC)CC1 ZINC001051091341 764497721 /nfs/dbraw/zinc/49/77/21/764497721.db2.gz NVFVTIUVBVDONH-HWOWSKLDSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)C1CCC(OC)CC1 ZINC001051091341 764497727 /nfs/dbraw/zinc/49/77/27/764497727.db2.gz NVFVTIUVBVDONH-HWOWSKLDSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CCOc2cccc(F)c2)CC1 ZINC001112703915 764622239 /nfs/dbraw/zinc/62/22/39/764622239.db2.gz IKJXLWKUGIKRMT-UHFFFAOYSA-N 1 2 322.380 1.551 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncccc1OC ZINC001051219955 764636569 /nfs/dbraw/zinc/63/65/69/764636569.db2.gz ANNRZTFATLBYFL-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncccc1OC ZINC001051219955 764636573 /nfs/dbraw/zinc/63/65/73/764636573.db2.gz ANNRZTFATLBYFL-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncccc1OC ZINC001051219956 764636898 /nfs/dbraw/zinc/63/68/98/764636898.db2.gz ANNRZTFATLBYFL-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncccc1OC ZINC001051219956 764636904 /nfs/dbraw/zinc/63/69/04/764636904.db2.gz ANNRZTFATLBYFL-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC001043135986 764699492 /nfs/dbraw/zinc/69/94/92/764699492.db2.gz KPJXMPMXCDHHAF-GFCCVEGCSA-N 1 2 308.813 1.341 20 30 DDEDLO N#Cc1ccc(NCC2CC(NC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001112832527 764825171 /nfs/dbraw/zinc/82/51/71/764825171.db2.gz CDDBBTBVRVTLFB-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC001112880449 764916531 /nfs/dbraw/zinc/91/65/31/764916531.db2.gz ABTMJVLPWHJCJD-UHFFFAOYSA-N 1 2 319.449 1.521 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[NH+]([C@@H]2CCCN(C)C2=O)CC1 ZINC001112894638 764936882 /nfs/dbraw/zinc/93/68/82/764936882.db2.gz IGSJBCIUBOJTTB-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H](C[NH2+][C@@H](C)c2nnc(C)o2)C1 ZINC001051756279 765128487 /nfs/dbraw/zinc/12/84/87/765128487.db2.gz PAYQAHMAEOHOMV-AAEUAGOBSA-N 1 2 322.409 1.468 20 30 DDEDLO C[C@@H](NC(=O)Cc1[nH]cc[nH+]1)[C@H](C)Nc1ncc(C#N)cc1F ZINC001113155034 765344194 /nfs/dbraw/zinc/34/41/94/765344194.db2.gz MCYQYGRYUHPQSX-ZJUUUORDSA-N 1 2 316.340 1.363 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc(F)cn3)C2)CC1 ZINC001052017890 765388626 /nfs/dbraw/zinc/38/86/26/765388626.db2.gz XVDMPHYVOOJOBA-OAHLLOKOSA-N 1 2 318.396 1.239 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3CCCC34CC4)C2)CC1 ZINC001052042627 765414863 /nfs/dbraw/zinc/41/48/63/765414863.db2.gz FCEULNOPTRQZBW-DLBZAZTESA-N 1 2 315.461 1.418 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2Oc3ccccc3O[C@@H]2C)C1 ZINC001044279731 765444515 /nfs/dbraw/zinc/44/45/15/765444515.db2.gz GDQAFXSMCAISMG-DYVFJYSZSA-N 1 2 316.401 1.934 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3CC34CC4)C2)CC1 ZINC001052091739 765463103 /nfs/dbraw/zinc/46/31/03/765463103.db2.gz KYKBUNRXBNFEMK-HOTGVXAUSA-N 1 2 301.434 1.028 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CCCCN2C(=O)C(C)C)C1 ZINC001044326064 765483477 /nfs/dbraw/zinc/48/34/77/765483477.db2.gz NAULRXDCUOMOTM-OAHLLOKOSA-N 1 2 307.438 1.352 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCN2CC(F)(F)F)C1 ZINC001044335989 765491877 /nfs/dbraw/zinc/49/18/77/765491877.db2.gz UCSFIZIQHNFQHD-ZDUSSCGKSA-N 1 2 319.371 1.732 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](CNC(=O)Cc2c[nH+]cn2C)[C@H](C)C1 ZINC001052180681 765552148 /nfs/dbraw/zinc/55/21/48/765552148.db2.gz GATUABCBOGMIGR-ZIAGYGMSSA-N 1 2 318.421 1.140 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H](C)[C@H](C)Nc2cc[nH+]c(C)n2)nn1 ZINC001113334259 765576427 /nfs/dbraw/zinc/57/64/27/765576427.db2.gz IAUWJFRDYXOOQI-QWRGUYRKSA-N 1 2 315.381 1.181 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(C)nn(CC)c2C)CC1 ZINC001113434726 765695978 /nfs/dbraw/zinc/69/59/78/765695978.db2.gz XYJSTAKLKDBLRB-UHFFFAOYSA-N 1 2 320.437 1.480 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCOc2ccccc2C)CC1 ZINC001113631788 765961836 /nfs/dbraw/zinc/96/18/36/765961836.db2.gz LNMBTQAOUMJTMF-UHFFFAOYSA-N 1 2 300.402 1.931 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@H](C)OC[C@H]2CCCCO2)CC1 ZINC001113718931 766059062 /nfs/dbraw/zinc/05/90/62/766059062.db2.gz OZNVFIPJYANHGV-JKSUJKDBSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C[C@@H](C)NC(=O)C2CCCC2)CC1 ZINC001113735159 766079318 /nfs/dbraw/zinc/07/93/18/766079318.db2.gz HBMUHNJGEGYKCK-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C[C@H](CNC(=O)CCn1cc[nH+]c1)N(C)c1ncccc1C#N ZINC001113821837 766207738 /nfs/dbraw/zinc/20/77/38/766207738.db2.gz HQUFKZSLAGSEHX-CYBMUJFWSA-N 1 2 312.377 1.181 20 30 DDEDLO CN(C(=O)C1CC1)[C@H]1C[N@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001047273885 768357995 /nfs/dbraw/zinc/35/79/95/768357995.db2.gz UASZJEBQLYLMJO-HOTGVXAUSA-N 1 2 317.364 1.111 20 30 DDEDLO CN(C(=O)C1CC1)[C@H]1C[N@@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001047273885 768358001 /nfs/dbraw/zinc/35/80/01/768358001.db2.gz UASZJEBQLYLMJO-HOTGVXAUSA-N 1 2 317.364 1.111 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CSC ZINC001113830741 766262347 /nfs/dbraw/zinc/26/23/47/766262347.db2.gz AIXCNCRAJMOHNN-JZYVYDRUSA-N 1 2 305.241 1.304 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CSC ZINC001113830741 766262353 /nfs/dbraw/zinc/26/23/53/766262353.db2.gz AIXCNCRAJMOHNN-JZYVYDRUSA-N 1 2 305.241 1.304 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CCCN(c3cc[nH+]c(C)n3)C2)c1 ZINC001058239148 766318729 /nfs/dbraw/zinc/31/87/29/766318729.db2.gz DVWKFMOPVOKUBW-MRXNPFEDSA-N 1 2 321.384 1.560 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1ccc(C)n1)C2 ZINC001096033784 766388502 /nfs/dbraw/zinc/38/85/02/766388502.db2.gz RMVXDWYNEYFBPU-RDBSUJKOSA-N 1 2 308.813 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1ccc(C)n1)C2 ZINC001096033784 766388511 /nfs/dbraw/zinc/38/85/11/766388511.db2.gz RMVXDWYNEYFBPU-RDBSUJKOSA-N 1 2 308.813 1.666 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001113996142 766498282 /nfs/dbraw/zinc/49/82/82/766498282.db2.gz ORQHHTBJFYJFAO-NYTXWWLZSA-N 1 2 319.405 1.511 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001113996142 766498290 /nfs/dbraw/zinc/49/82/90/766498290.db2.gz ORQHHTBJFYJFAO-NYTXWWLZSA-N 1 2 319.405 1.511 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H]2C(NC(=O)/C=C\C3CC3)[C@@H]2C1 ZINC001114114094 766658851 /nfs/dbraw/zinc/65/88/51/766658851.db2.gz KOYRLAHOCZERDZ-GQUKUXKBSA-N 1 2 311.223 1.908 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H]2C(NC(=O)/C=C\C3CC3)[C@@H]2C1 ZINC001114114094 766658855 /nfs/dbraw/zinc/65/88/55/766658855.db2.gz KOYRLAHOCZERDZ-GQUKUXKBSA-N 1 2 311.223 1.908 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114162462 766714873 /nfs/dbraw/zinc/71/48/73/766714873.db2.gz UBABXZDHFPMINW-YYWXWVFPSA-N 1 2 315.421 1.414 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114162462 766714881 /nfs/dbraw/zinc/71/48/81/766714881.db2.gz UBABXZDHFPMINW-YYWXWVFPSA-N 1 2 315.421 1.414 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C(C3CC3)C3CC3)CC2)C1 ZINC001046078430 766893306 /nfs/dbraw/zinc/89/33/06/766893306.db2.gz XJSHFOOBUJQHSE-INIZCTEOSA-N 1 2 316.449 1.165 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+][C@@H](C)c1nc(CC)no1 ZINC001129522646 766925762 /nfs/dbraw/zinc/92/57/62/766925762.db2.gz HJHMNBFDVRWYKC-LBPRGKRZSA-N 1 2 317.393 1.700 20 30 DDEDLO C[C@H]1C[C@H](CNc2ncccc2C#N)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068376410 767220255 /nfs/dbraw/zinc/22/02/55/767220255.db2.gz UJURQMDVTSSMOU-QWHCGFSZSA-N 1 2 324.388 1.568 20 30 DDEDLO Cn1cc(C(=O)N[C@]2(C)CC[N@H+](Cc3ccccc3C#N)C2)nn1 ZINC001046293573 767375796 /nfs/dbraw/zinc/37/57/96/767375796.db2.gz NCPLIMWWYRNURH-QGZVFWFLSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cc(C(=O)N[C@]2(C)CC[N@@H+](Cc3ccccc3C#N)C2)nn1 ZINC001046293573 767375803 /nfs/dbraw/zinc/37/58/03/767375803.db2.gz NCPLIMWWYRNURH-QGZVFWFLSA-N 1 2 324.388 1.081 20 30 DDEDLO C[C@@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)Cn1cc[nH+]c1 ZINC001068865951 767669784 /nfs/dbraw/zinc/66/97/84/767669784.db2.gz VVUWWFFHXWAOCM-TZMCWYRMSA-N 1 2 310.361 1.251 20 30 DDEDLO CN(C)C(=O)C1C[NH+](Cc2ccc(C#N)cc2Br)C1 ZINC001137638021 767800504 /nfs/dbraw/zinc/80/05/04/767800504.db2.gz KOKOVINZTKVCED-UHFFFAOYSA-N 1 2 322.206 1.841 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001131947528 768506549 /nfs/dbraw/zinc/50/65/49/768506549.db2.gz VMIIDWSVEWPAOE-ZIAGYGMSSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001131947528 768506555 /nfs/dbraw/zinc/50/65/55/768506555.db2.gz VMIIDWSVEWPAOE-ZIAGYGMSSA-N 1 2 306.410 1.092 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ncsc2C2CC2)C1 ZINC001047527146 768545584 /nfs/dbraw/zinc/54/55/84/768545584.db2.gz XTFXLRKHHHFONP-STQMWFEESA-N 1 2 319.430 1.161 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ncsc2C2CC2)C1 ZINC001047527146 768545589 /nfs/dbraw/zinc/54/55/89/768545589.db2.gz XTFXLRKHHHFONP-STQMWFEESA-N 1 2 319.430 1.161 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(Cl)c(F)c2)C1 ZINC001047542171 768555266 /nfs/dbraw/zinc/55/52/66/768555266.db2.gz STRROWBGUXKZRC-KBPBESRZSA-N 1 2 310.756 1.229 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(Cl)c(F)c2)C1 ZINC001047542171 768555271 /nfs/dbraw/zinc/55/52/71/768555271.db2.gz STRROWBGUXKZRC-KBPBESRZSA-N 1 2 310.756 1.229 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132047585 768599928 /nfs/dbraw/zinc/59/99/28/768599928.db2.gz YJQZGBQPMKBRHA-RISCZKNCSA-N 1 2 318.446 1.729 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132047585 768599931 /nfs/dbraw/zinc/59/99/31/768599931.db2.gz YJQZGBQPMKBRHA-RISCZKNCSA-N 1 2 318.446 1.729 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C2(C)CCCC2)CC[C@@H]1C ZINC001132201461 768684602 /nfs/dbraw/zinc/68/46/02/768684602.db2.gz YUHOAPWRGOHOAG-GJZGRUSLSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C2(C)CCCC2)CC[C@@H]1C ZINC001132201461 768684604 /nfs/dbraw/zinc/68/46/04/768684604.db2.gz YUHOAPWRGOHOAG-GJZGRUSLSA-N 1 2 319.449 1.285 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[C@@H](C)[N@H+](CC(=O)NCCC)C2)C1 ZINC001132274680 768732207 /nfs/dbraw/zinc/73/22/07/768732207.db2.gz YDSNPMLJHGWUSP-HUUCEWRRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[C@@H](C)[N@@H+](CC(=O)NCCC)C2)C1 ZINC001132274680 768732212 /nfs/dbraw/zinc/73/22/12/768732212.db2.gz YDSNPMLJHGWUSP-HUUCEWRRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001070822788 768744662 /nfs/dbraw/zinc/74/46/62/768744662.db2.gz QCGQJRQQBWOBNF-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)c2occc2C)CC1 ZINC001070939532 768799865 /nfs/dbraw/zinc/79/98/65/768799865.db2.gz PIHSAFCZAMFQCM-UHFFFAOYSA-N 1 2 319.405 1.428 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)c2occc2C)CC1 ZINC001070939532 768799869 /nfs/dbraw/zinc/79/98/69/768799869.db2.gz PIHSAFCZAMFQCM-UHFFFAOYSA-N 1 2 319.405 1.428 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+]Cc1nc(COC)no1 ZINC001132398459 768805287 /nfs/dbraw/zinc/80/52/87/768805287.db2.gz AGQTYNIJFLFAJS-UHFFFAOYSA-N 1 2 308.382 1.006 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001070981621 768832295 /nfs/dbraw/zinc/83/22/95/768832295.db2.gz KMIIEFMSUAXUSM-CRAIPNDOSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001070981621 768832305 /nfs/dbraw/zinc/83/23/05/768832305.db2.gz KMIIEFMSUAXUSM-CRAIPNDOSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)CS(=O)(=O)C(C)C)CC[C@@H]1C ZINC001132493157 768925952 /nfs/dbraw/zinc/92/59/52/768925952.db2.gz JRXYWDPQUIDMSB-KBPBESRZSA-N 1 2 316.467 1.355 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)CS(=O)(=O)C(C)C)CC[C@@H]1C ZINC001132493157 768925953 /nfs/dbraw/zinc/92/59/53/768925953.db2.gz JRXYWDPQUIDMSB-KBPBESRZSA-N 1 2 316.467 1.355 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@H](C)O2 ZINC001071166057 769085925 /nfs/dbraw/zinc/08/59/25/769085925.db2.gz BHXJFSGOSHVFHC-SWLSCSKDSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@H](C)O2 ZINC001071166057 769085933 /nfs/dbraw/zinc/08/59/33/769085933.db2.gz BHXJFSGOSHVFHC-SWLSCSKDSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CC2OCCCO2)CC[C@@H]1C ZINC001071458450 769464982 /nfs/dbraw/zinc/46/49/82/769464982.db2.gz SOCKEVZVQLOQHL-STQMWFEESA-N 1 2 316.829 1.861 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CC2OCCCO2)CC[C@@H]1C ZINC001071458450 769464988 /nfs/dbraw/zinc/46/49/88/769464988.db2.gz SOCKEVZVQLOQHL-STQMWFEESA-N 1 2 316.829 1.861 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)CC[C@@H]1C ZINC001071659959 769811647 /nfs/dbraw/zinc/81/16/47/769811647.db2.gz NDLMTECCZIKNDU-KBPBESRZSA-N 1 2 323.396 1.744 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)CC[C@@H]1C ZINC001071659959 769811660 /nfs/dbraw/zinc/81/16/60/769811660.db2.gz NDLMTECCZIKNDU-KBPBESRZSA-N 1 2 323.396 1.744 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CN1CC#N ZINC001071705456 769919781 /nfs/dbraw/zinc/91/97/81/769919781.db2.gz PJUALLRFJRYTOR-GOEBONIOSA-N 1 2 323.400 1.979 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)CCn3cc[nH+]c3)C2)ccc1C#N ZINC001096461488 770216642 /nfs/dbraw/zinc/21/66/42/770216642.db2.gz ZDCKDXHIDRHSCT-OAHLLOKOSA-N 1 2 324.388 1.243 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001071989001 770396281 /nfs/dbraw/zinc/39/62/81/770396281.db2.gz SNWVMBCGCCIPNR-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1C ZINC001072425966 770875553 /nfs/dbraw/zinc/87/55/53/770875553.db2.gz BEXZQYGBDKCHGG-DZGCQCFKSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001072483198 770959797 /nfs/dbraw/zinc/95/97/97/770959797.db2.gz FEOZWHVFSVCQOA-WFASDCNBSA-N 1 2 318.421 1.580 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001049715163 771108162 /nfs/dbraw/zinc/10/81/62/771108162.db2.gz SYZBUEKFUOGGAO-NWANDNLSSA-N 1 2 313.405 1.418 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)C(C)(C)S(C)(=O)=O ZINC001049770848 771147738 /nfs/dbraw/zinc/14/77/38/771147738.db2.gz YCOAJNMBLWNLEH-OLZOCXBDSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)C(C)(C)S(C)(=O)=O ZINC001049770848 771147743 /nfs/dbraw/zinc/14/77/43/771147743.db2.gz YCOAJNMBLWNLEH-OLZOCXBDSA-N 1 2 314.451 1.061 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+][C@@H](C)c1nc(C)no1 ZINC001135067787 771304982 /nfs/dbraw/zinc/30/49/82/771304982.db2.gz MHJJQVGSVOOKJO-UBHSHLNASA-N 1 2 322.409 1.516 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001097018723 771581464 /nfs/dbraw/zinc/58/14/64/771581464.db2.gz ZPLSOPLQUBHLFB-HDJSIYSDSA-N 1 2 310.361 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2nccs2)[C@H](O)C1 ZINC001090666620 772093697 /nfs/dbraw/zinc/09/36/97/772093697.db2.gz FJIXCWHXWVVIIJ-VHSXEESVSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2nccs2)[C@H](O)C1 ZINC001090666620 772093702 /nfs/dbraw/zinc/09/37/02/772093702.db2.gz FJIXCWHXWVVIIJ-VHSXEESVSA-N 1 2 301.799 1.061 20 30 DDEDLO CC(C)(C)OC(=O)NCC1C[NH+](Cc2ccc(C#N)cn2)C1 ZINC001144153572 772428028 /nfs/dbraw/zinc/42/80/28/772428028.db2.gz FRYYCGULKWPTRN-UHFFFAOYSA-N 1 2 302.378 1.910 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@@H](CNC(=O)Cn3cc[nH+]c3)C2)n1 ZINC001091351804 772692611 /nfs/dbraw/zinc/69/26/11/772692611.db2.gz FCEQVYUTIGVIOT-OTVXOJSOSA-N 1 2 324.388 1.465 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091353936 772701700 /nfs/dbraw/zinc/70/17/00/772701700.db2.gz JQTFISCCMWOPCA-FPMFFAJLSA-N 1 2 304.394 1.683 20 30 DDEDLO Cc1csc(C[N@@H+]2CCCO[C@H](CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001149398304 772765274 /nfs/dbraw/zinc/76/52/74/772765274.db2.gz KZXRHLQLHPVWCG-WCQYABFASA-N 1 2 322.434 1.318 20 30 DDEDLO Cc1csc(C[N@H+]2CCCO[C@H](CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001149398304 772765277 /nfs/dbraw/zinc/76/52/77/772765277.db2.gz KZXRHLQLHPVWCG-WCQYABFASA-N 1 2 322.434 1.318 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nc(C)no1)C2 ZINC001147186789 773077847 /nfs/dbraw/zinc/07/78/47/773077847.db2.gz CMESGCRBNGEAAH-LBPRGKRZSA-N 1 2 304.394 1.940 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nc(C)no1)C2 ZINC001147186789 773077851 /nfs/dbraw/zinc/07/78/51/773077851.db2.gz CMESGCRBNGEAAH-LBPRGKRZSA-N 1 2 304.394 1.940 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)o1)C2 ZINC001148110805 773353175 /nfs/dbraw/zinc/35/31/75/773353175.db2.gz GRIFXKGNHNOVQB-UHFFFAOYSA-N 1 2 316.405 1.606 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)o1)C2 ZINC001148110805 773353169 /nfs/dbraw/zinc/35/31/69/773353169.db2.gz GRIFXKGNHNOVQB-UHFFFAOYSA-N 1 2 316.405 1.606 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001074035582 773598178 /nfs/dbraw/zinc/59/81/78/773598178.db2.gz DOYARZNWNOQIJQ-CABCVRRESA-N 1 2 316.405 1.040 20 30 DDEDLO CCC(=O)NC[C@H]1C[N@H+](Cc2ccc(C#N)cc2F)CCCO1 ZINC001148961664 773649601 /nfs/dbraw/zinc/64/96/01/773649601.db2.gz LLTBDUMOTGELTN-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO CCC(=O)NC[C@H]1C[N@@H+](Cc2ccc(C#N)cc2F)CCCO1 ZINC001148961664 773649604 /nfs/dbraw/zinc/64/96/04/773649604.db2.gz LLTBDUMOTGELTN-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)no3)C[C@H]21 ZINC001074170420 773691885 /nfs/dbraw/zinc/69/18/85/773691885.db2.gz NFRQRSXUPWAJGT-ZIAGYGMSSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)no3)C[C@H]21 ZINC001074170420 773691889 /nfs/dbraw/zinc/69/18/89/773691889.db2.gz NFRQRSXUPWAJGT-ZIAGYGMSSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cnsn3)C[C@H]21 ZINC001074178218 773699895 /nfs/dbraw/zinc/69/98/95/773699895.db2.gz OTOKYQOOVWRTNQ-OLZOCXBDSA-N 1 2 308.407 1.030 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cnsn3)C[C@H]21 ZINC001074178218 773699896 /nfs/dbraw/zinc/69/98/96/773699896.db2.gz OTOKYQOOVWRTNQ-OLZOCXBDSA-N 1 2 308.407 1.030 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)nc3)C[C@@H]21 ZINC001074179411 773701881 /nfs/dbraw/zinc/70/18/81/773701881.db2.gz ZOPSLUFDVHZVRZ-IRXDYDNUSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)nc3)C[C@@H]21 ZINC001074179411 773701885 /nfs/dbraw/zinc/70/18/85/773701885.db2.gz ZOPSLUFDVHZVRZ-IRXDYDNUSA-N 1 2 313.401 1.329 20 30 DDEDLO C[C@@H]1CC2(CN1C(=O)CCn1cc[nH+]c1)CCN(CC#N)CC2 ZINC001086934837 773726701 /nfs/dbraw/zinc/72/67/01/773726701.db2.gz BMOPWBFUHUJJCL-OAHLLOKOSA-N 1 2 315.421 1.500 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(OC)no3)C[C@H]21 ZINC001074218855 773740738 /nfs/dbraw/zinc/74/07/38/773740738.db2.gz GLJYLNPREVRXCR-CHWSQXEVSA-N 1 2 321.377 1.175 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(OC)no3)C[C@H]21 ZINC001074218855 773740741 /nfs/dbraw/zinc/74/07/41/773740741.db2.gz GLJYLNPREVRXCR-CHWSQXEVSA-N 1 2 321.377 1.175 20 30 DDEDLO C[C@H]1CC2(CN1C(=O)Cc1c[nH+]cn1C)CCN(CC#N)CC2 ZINC001086952857 773742198 /nfs/dbraw/zinc/74/21/98/773742198.db2.gz RXTQRWADBXQGQT-AWEZNQCLSA-N 1 2 315.421 1.189 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001074264284 773777836 /nfs/dbraw/zinc/77/78/36/773777836.db2.gz UPDBQULHABOZNJ-OCCSQVGLSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCN1CCO[C@@H]2CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@@H]21 ZINC001074279041 773790196 /nfs/dbraw/zinc/79/01/96/773790196.db2.gz FEYVNOGSVBGWDY-DLBZAZTESA-N 1 2 324.384 1.111 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2ccncc2)[C@@H](O)C1 ZINC001099903542 773808284 /nfs/dbraw/zinc/80/82/84/773808284.db2.gz ICDFHGZPWBEBCA-GJZGRUSLSA-N 1 2 323.824 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2ccncc2)[C@@H](O)C1 ZINC001099903542 773808290 /nfs/dbraw/zinc/80/82/90/773808290.db2.gz ICDFHGZPWBEBCA-GJZGRUSLSA-N 1 2 323.824 1.318 20 30 DDEDLO C#CCCCC(=O)N(C)CCOCCN(C)c1cc[nH+]c(C)n1 ZINC001091888665 773837748 /nfs/dbraw/zinc/83/77/48/773837748.db2.gz DQCQCVUPEGYQCA-UHFFFAOYSA-N 1 2 318.421 1.500 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ncccc3F)C[C@H]21 ZINC001074372096 773865000 /nfs/dbraw/zinc/86/50/00/773865000.db2.gz RIEOQQDRMZTNLP-HUUCEWRRSA-N 1 2 319.380 1.712 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ncccc3F)C[C@H]21 ZINC001074372096 773865007 /nfs/dbraw/zinc/86/50/07/773865007.db2.gz RIEOQQDRMZTNLP-HUUCEWRRSA-N 1 2 319.380 1.712 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3nocc3C)C[C@H]21 ZINC001074377519 773870745 /nfs/dbraw/zinc/87/07/45/773870745.db2.gz MPPFVRQYRRWTEU-ZIAGYGMSSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3nocc3C)C[C@H]21 ZINC001074377519 773870749 /nfs/dbraw/zinc/87/07/49/773870749.db2.gz MPPFVRQYRRWTEU-ZIAGYGMSSA-N 1 2 305.378 1.474 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(C(C)(C)C)no2)[C@@H]1C ZINC001074570100 774002259 /nfs/dbraw/zinc/00/22/59/774002259.db2.gz MAFGJUCGTAOHFO-WOPDTQHZSA-N 1 2 319.409 1.606 20 30 DDEDLO C#CCOCCC(=O)NCC1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001092239309 774025641 /nfs/dbraw/zinc/02/56/41/774025641.db2.gz AOCKIDIJYIFWSR-UHFFFAOYSA-N 1 2 302.378 1.132 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC1(C)C)c1nccn12 ZINC001092361214 774075655 /nfs/dbraw/zinc/07/56/55/774075655.db2.gz DXTMSHXXZUYHRZ-OLZOCXBDSA-N 1 2 300.406 1.687 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3CCOC3)CC2)C1 ZINC001093513338 774761857 /nfs/dbraw/zinc/76/18/57/774761857.db2.gz NNZCQTWHUGNOPH-AWEZNQCLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccc(F)nc3)CC2)C1 ZINC001093517265 774768241 /nfs/dbraw/zinc/76/82/41/774768241.db2.gz GVBLBVMGOYSVHY-UHFFFAOYSA-N 1 2 319.380 1.712 20 30 DDEDLO Cc1nc(N(C)C)nc(N[C@H](C)CCNC(=O)C#CC(C)C)[nH+]1 ZINC001099065967 774806277 /nfs/dbraw/zinc/80/62/77/774806277.db2.gz YJCWVFCAGVMBBT-GFCCVEGCSA-N 1 2 318.425 1.212 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CC[C@@H](OC)C3)CC2)C1 ZINC001093548878 774825975 /nfs/dbraw/zinc/82/59/75/774825975.db2.gz MSSIZISXALSIBH-GOEBONIOSA-N 1 2 322.449 1.679 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001093569357 774839878 /nfs/dbraw/zinc/83/98/78/774839878.db2.gz CEGYNMTUVGTILT-QGZVFWFLSA-N 1 2 310.438 1.273 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CC[C@@H](C)O3)CC2)C1 ZINC001093577160 774851191 /nfs/dbraw/zinc/85/11/91/774851191.db2.gz KHKDJUWXRIUMCJ-CZUORRHYSA-N 1 2 308.422 1.432 20 30 DDEDLO N#Cc1ccc(NCCNC(=O)Cc2cn3c([nH+]2)CCCC3)cn1 ZINC001094203610 775560200 /nfs/dbraw/zinc/56/02/00/775560200.db2.gz XRHOKUGTAWBMLZ-UHFFFAOYSA-N 1 2 324.388 1.257 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3cscn3)nn2)C1 ZINC001094278142 775631029 /nfs/dbraw/zinc/63/10/29/775631029.db2.gz ABBNBYZXIOJBAJ-UHFFFAOYSA-N 1 2 318.406 1.097 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccc(Cl)[nH]3)nn2)C1 ZINC001094318743 775678898 /nfs/dbraw/zinc/67/88/98/775678898.db2.gz XCRYYTAVGAAOTJ-UHFFFAOYSA-N 1 2 320.784 1.232 20 30 DDEDLO C=CCOCC(=O)NCCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001094335779 775720613 /nfs/dbraw/zinc/72/06/13/775720613.db2.gz FNANLJMJBQOQDB-UHFFFAOYSA-N 1 2 304.394 1.783 20 30 DDEDLO CN(CCNC(=O)c1cc2c[nH+]ccc2[nH]1)c1nccnc1C#N ZINC001100396163 776096134 /nfs/dbraw/zinc/09/61/34/776096134.db2.gz NLXVWLGFTFJBBE-UHFFFAOYSA-N 1 2 321.344 1.091 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3ccc(F)cc3)CC2=O)C1 ZINC001094690357 776199038 /nfs/dbraw/zinc/19/90/38/776199038.db2.gz RVRGIAMNTXSLRO-AWEZNQCLSA-N 1 2 317.364 1.027 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H]3CC34CCCC4)CC2=O)C1 ZINC001094800769 776254653 /nfs/dbraw/zinc/25/46/53/776254653.db2.gz CKIXTWMAPCETQR-UKRRQHHQSA-N 1 2 317.433 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@]3(C)C=CCC3)CC2=O)C1 ZINC001094770984 776260024 /nfs/dbraw/zinc/26/00/24/776260024.db2.gz GBMLIJPHQZSCQR-KSSFIOAISA-N 1 2 317.433 1.320 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3CC4(CC4)C3)CC2=O)C1 ZINC001094784224 776274508 /nfs/dbraw/zinc/27/45/08/776274508.db2.gz DYHOCSGPRLQIJX-CQSZACIVSA-N 1 2 317.433 1.154 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H]3CCC(C)(C)C3)CC2=O)C1 ZINC001094786610 776278756 /nfs/dbraw/zinc/27/87/56/776278756.db2.gz XFVBQHWTAWIROW-UONOGXRCSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3C[C@H]3CC)CC2=O)C1 ZINC001094790434 776281387 /nfs/dbraw/zinc/28/13/87/776281387.db2.gz JLZULCGMJZHJAJ-VNHYZAJKSA-N 1 2 305.422 1.010 20 30 DDEDLO C[C@H](CC(=O)NCCCNc1ccc(C#N)cn1)n1cc[nH+]c1 ZINC001094824830 776332286 /nfs/dbraw/zinc/33/22/86/776332286.db2.gz ZIEDNBCHIMZBQB-CYBMUJFWSA-N 1 2 312.377 1.719 20 30 DDEDLO CCOC(=O)[C@H](CS)[NH2+]C1CCC(C)(C(=O)OCC)CC1 ZINC001171935432 776536754 /nfs/dbraw/zinc/53/67/54/776536754.db2.gz VWJJGJMJILQBMJ-AVERBVTBSA-N 1 2 317.451 1.950 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CNC(=O)CCc2[nH]cc[nH+]2)nc1 ZINC001100823115 776598346 /nfs/dbraw/zinc/59/83/46/776598346.db2.gz CRFXXOFEKMLNSP-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C#Cc1ccc(C(=O)NCCN(CCC)c2cc[nH+]c(C)n2)nc1 ZINC001101167121 776959259 /nfs/dbraw/zinc/95/92/59/776959259.db2.gz NNRNKGFKBYBVMR-UHFFFAOYSA-N 1 2 323.400 1.808 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001095081641 777079156 /nfs/dbraw/zinc/07/91/56/777079156.db2.gz REHHIEQBPITWLC-GFCCVEGCSA-N 1 2 314.393 1.732 20 30 DDEDLO N#CCC1CCC([NH+]2CC3(C2)[C@H](CF)CCS3(=O)=O)CC1 ZINC001173337453 777117368 /nfs/dbraw/zinc/11/73/68/777117368.db2.gz KXNANWGBWHCSRZ-MOKVOYLWSA-N 1 2 314.426 1.917 20 30 DDEDLO O=C(N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccccc1)c1cn[nH]c1 ZINC001095204236 777233072 /nfs/dbraw/zinc/23/30/72/777233072.db2.gz LVVWCSUZORNEKB-SQNIBIBYSA-N 1 2 320.396 1.797 20 30 DDEDLO O=C(N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccccc1)c1cn[nH]c1 ZINC001095204236 777233077 /nfs/dbraw/zinc/23/30/77/777233077.db2.gz LVVWCSUZORNEKB-SQNIBIBYSA-N 1 2 320.396 1.797 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC2(C[NH+](CC#CC)C2)n2ccnc21 ZINC001101639962 777336424 /nfs/dbraw/zinc/33/64/24/777336424.db2.gz RRWMZBUFOFBXPH-MRXNPFEDSA-N 1 2 324.428 1.672 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N(C)CCNc1ncccc1C#N ZINC001101991803 777773380 /nfs/dbraw/zinc/77/33/80/777773380.db2.gz LHFMAZSEWIBRPZ-GFCCVEGCSA-N 1 2 312.377 1.425 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N(C)CCNc1ncccc1C#N ZINC001101991803 777773385 /nfs/dbraw/zinc/77/33/85/777773385.db2.gz LHFMAZSEWIBRPZ-GFCCVEGCSA-N 1 2 312.377 1.425 20 30 DDEDLO CC(C)C[C@H](C(=O)NC[C@@H]1CN(CC#N)C[C@H]1C)n1cc[nH+]c1 ZINC001102071224 777849727 /nfs/dbraw/zinc/84/97/27/777849727.db2.gz HWCFQEGTZGLXGL-BZUAXINKSA-N 1 2 317.437 1.678 20 30 DDEDLO CN(CCCNC(=O)COc1ccc(C#N)cc1)c1cccc[nH+]1 ZINC001175697698 777863716 /nfs/dbraw/zinc/86/37/16/777863716.db2.gz YAAILDHCNCZJRN-UHFFFAOYSA-N 1 2 324.384 1.975 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](CCNC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001102338655 778075064 /nfs/dbraw/zinc/07/50/64/778075064.db2.gz RKALRTSSSORYEY-AWEZNQCLSA-N 1 2 324.388 1.183 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCSCC)C[C@H]21 ZINC001176923631 778311304 /nfs/dbraw/zinc/31/13/04/778311304.db2.gz HTXDSJOPTJTPKJ-HUUCEWRRSA-N 1 2 310.463 1.455 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCSCC)C[C@H]21 ZINC001176923631 778311312 /nfs/dbraw/zinc/31/13/12/778311312.db2.gz HTXDSJOPTJTPKJ-HUUCEWRRSA-N 1 2 310.463 1.455 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]2OCC[N@@H+](CC(=C)C)[C@@H]2C1 ZINC001176978600 778363018 /nfs/dbraw/zinc/36/30/18/778363018.db2.gz VDEQDHLVDDPOJU-SJORKVTESA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]2OCC[N@H+](CC(=C)C)[C@@H]2C1 ZINC001176978600 778363023 /nfs/dbraw/zinc/36/30/23/778363023.db2.gz VDEQDHLVDDPOJU-SJORKVTESA-N 1 2 322.449 1.847 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC(F)F)C[C@@H]21 ZINC001177049940 778411517 /nfs/dbraw/zinc/41/15/17/778411517.db2.gz XSSNQPQVJJZFDH-STQMWFEESA-N 1 2 300.349 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC(F)F)C[C@@H]21 ZINC001177049940 778411520 /nfs/dbraw/zinc/41/15/20/778411520.db2.gz XSSNQPQVJJZFDH-STQMWFEESA-N 1 2 300.349 1.357 20 30 DDEDLO CO/N=C(\C(=O)NCc1c[nH+]cn1C1CCC1)c1ccco1 ZINC001177355313 778566863 /nfs/dbraw/zinc/56/68/63/778566863.db2.gz JDHCKCGHJMOBTM-JXAWBTAJSA-N 1 2 302.334 1.868 20 30 DDEDLO C=C[C@@H]1C[C@]1(NC(=O)CN(C)c1cccc[nH+]1)C(=O)OCC ZINC001177416430 778589208 /nfs/dbraw/zinc/58/92/08/778589208.db2.gz BWXCPRUXCULIRZ-MLGOLLRUSA-N 1 2 303.362 1.142 20 30 DDEDLO CC[C@@H](CNC(=O)C#CC(C)C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001103081619 778627432 /nfs/dbraw/zinc/62/74/32/778627432.db2.gz OYTIVVMNAFXISD-ZDUSSCGKSA-N 1 2 318.425 1.212 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001103357469 778813411 /nfs/dbraw/zinc/81/34/11/778813411.db2.gz SLGIJSAGKUTWAO-CYBMUJFWSA-N 1 2 312.377 1.616 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001103357469 778813417 /nfs/dbraw/zinc/81/34/17/778813417.db2.gz SLGIJSAGKUTWAO-CYBMUJFWSA-N 1 2 312.377 1.616 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc(N(C)C)no2)[C@@H]1C ZINC001178320062 779001309 /nfs/dbraw/zinc/00/13/09/779001309.db2.gz CYQOQUYLINOXCT-RYUDHWBXSA-N 1 2 307.398 1.181 20 30 DDEDLO COCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccc(F)c(C#N)c1 ZINC001110223249 779150469 /nfs/dbraw/zinc/15/04/69/779150469.db2.gz FUMGIFWOMRDPBL-BMFZPTHFSA-N 1 2 317.364 1.565 20 30 DDEDLO COCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(F)c(C#N)c1 ZINC001110223249 779150471 /nfs/dbraw/zinc/15/04/71/779150471.db2.gz FUMGIFWOMRDPBL-BMFZPTHFSA-N 1 2 317.364 1.565 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)C(F)C(F)(F)F)C1 ZINC001111756576 779466752 /nfs/dbraw/zinc/46/67/52/779466752.db2.gz UWQJJDSOGSZIID-LOWVWBTDSA-N 1 2 306.303 1.881 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)C(F)C(F)(F)F)C1 ZINC001111756576 779466754 /nfs/dbraw/zinc/46/67/54/779466754.db2.gz UWQJJDSOGSZIID-LOWVWBTDSA-N 1 2 306.303 1.881 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001111756576 779466759 /nfs/dbraw/zinc/46/67/59/779466759.db2.gz UWQJJDSOGSZIID-LOWVWBTDSA-N 1 2 306.303 1.881 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001111756576 779466763 /nfs/dbraw/zinc/46/67/63/779466763.db2.gz UWQJJDSOGSZIID-LOWVWBTDSA-N 1 2 306.303 1.881 20 30 DDEDLO Cc1cc(NC2(CNC(=O)Cc3c[nH]c[nH+]3)CCC2)c(C#N)cn1 ZINC001111910739 779554765 /nfs/dbraw/zinc/55/47/65/779554765.db2.gz DDIAARDKPNNPBW-UHFFFAOYSA-N 1 2 324.388 1.100 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+][C@H](C)c2noc(C)n2)[C@@H]1C ZINC001180260819 779683626 /nfs/dbraw/zinc/68/36/26/779683626.db2.gz NNENCAOQSNYEIF-DYEKYZERSA-N 1 2 322.409 1.611 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC(N(C)c2cc[nH+]c(C)n2)CC1 ZINC001115147957 779904534 /nfs/dbraw/zinc/90/45/34/779904534.db2.gz RNCJHAQOMFZKMC-CYBMUJFWSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C1CC(C)(C(=O)NCCOCCN(C)c2cc[nH+]c(C)n2)C1 ZINC001115254364 779982622 /nfs/dbraw/zinc/98/26/22/779982622.db2.gz RMKJQRYZKFDEKH-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001115332354 780046435 /nfs/dbraw/zinc/04/64/35/780046435.db2.gz NEMJCFXDOJANGW-YYWXWVFPSA-N 1 2 319.405 1.375 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001115332354 780046442 /nfs/dbraw/zinc/04/64/42/780046442.db2.gz NEMJCFXDOJANGW-YYWXWVFPSA-N 1 2 319.405 1.375 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCOCCCNc1cc[nH+]c(C)n1 ZINC001115402314 780105812 /nfs/dbraw/zinc/10/58/12/780105812.db2.gz NNHXHEBCRKNOGC-INIZCTEOSA-N 1 2 322.409 1.047 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)[C@@H](CNc1ccc(C#N)nc1)C1CC1 ZINC001115534411 780220648 /nfs/dbraw/zinc/22/06/48/780220648.db2.gz LZHRGSSIXMRMBP-HNNXBMFYSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC001116401284 780505345 /nfs/dbraw/zinc/50/53/45/780505345.db2.gz FZXIKHVHFIDXEM-UHFFFAOYSA-N 1 2 321.333 1.124 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC001116401284 780505350 /nfs/dbraw/zinc/50/53/50/780505350.db2.gz FZXIKHVHFIDXEM-UHFFFAOYSA-N 1 2 321.333 1.124 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@H](c2nc(C)cs2)C1 ZINC001119299827 781398076 /nfs/dbraw/zinc/39/80/76/781398076.db2.gz XTKXHMARWVBUCC-RYUDHWBXSA-N 1 2 323.418 1.214 20 30 DDEDLO C=CCCC[C@@H](NC(=O)CN1CC[NH2+]CC1=O)c1ccccc1 ZINC001119377139 781428506 /nfs/dbraw/zinc/42/85/06/781428506.db2.gz KGSGSPLIMHITTE-MRXNPFEDSA-N 1 2 315.417 1.632 20 30 DDEDLO C=CCCC[C@H](NC(=O)CN1CC[NH2+]CC1=O)c1ccccc1 ZINC001119377138 781428743 /nfs/dbraw/zinc/42/87/43/781428743.db2.gz KGSGSPLIMHITTE-INIZCTEOSA-N 1 2 315.417 1.632 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[N@@H+](C)CC(=O)N1CCC(C)CC1 ZINC001267218829 837552082 /nfs/dbraw/zinc/55/20/82/837552082.db2.gz GKECSYPRVORDMG-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[N@H+](C)CC(=O)N1CCC(C)CC1 ZINC001267218829 837552086 /nfs/dbraw/zinc/55/20/86/837552086.db2.gz GKECSYPRVORDMG-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1sccc1CC ZINC001267230888 837570457 /nfs/dbraw/zinc/57/04/57/837570457.db2.gz HFMCIMSVCBGUED-UHFFFAOYSA-N 1 2 309.435 1.274 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1sccc1CC ZINC001267230888 837570462 /nfs/dbraw/zinc/57/04/62/837570462.db2.gz HFMCIMSVCBGUED-UHFFFAOYSA-N 1 2 309.435 1.274 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@@H+](C)CC(=O)N(CC)C2CC2)CC1 ZINC001266320413 836114766 /nfs/dbraw/zinc/11/47/66/836114766.db2.gz KXQAIHDIHFNTSR-UHFFFAOYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@H+](C)CC(=O)N(CC)C2CC2)CC1 ZINC001266320413 836114770 /nfs/dbraw/zinc/11/47/70/836114770.db2.gz KXQAIHDIHFNTSR-UHFFFAOYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H](C)C2CCCC2)C1 ZINC001266353474 836161732 /nfs/dbraw/zinc/16/17/32/836161732.db2.gz WADGQTTWPUKJAW-DZGCQCFKSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)C2CCCC2)C1 ZINC001266353474 836161737 /nfs/dbraw/zinc/16/17/37/836161737.db2.gz WADGQTTWPUKJAW-DZGCQCFKSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C(C)C[N@H+]1CCCCC[C@@H]1CNC(=O)[C@@H](C)S(C)(=O)=O ZINC001282666265 836198458 /nfs/dbraw/zinc/19/84/58/836198458.db2.gz QJXVSPFPALYOOM-ZIAGYGMSSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)C[N@@H+]1CCCCC[C@@H]1CNC(=O)[C@@H](C)S(C)(=O)=O ZINC001282666265 836198462 /nfs/dbraw/zinc/19/84/62/836198462.db2.gz QJXVSPFPALYOOM-ZIAGYGMSSA-N 1 2 316.467 1.356 20 30 DDEDLO CCCNC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001266842888 836917694 /nfs/dbraw/zinc/91/76/94/836917694.db2.gz WWFRQFGXKNZCES-OAHLLOKOSA-N 1 2 321.465 1.533 20 30 DDEDLO CCCNC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001266842888 836917701 /nfs/dbraw/zinc/91/77/01/836917701.db2.gz WWFRQFGXKNZCES-OAHLLOKOSA-N 1 2 321.465 1.533 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1CCCC[N@@H+]1Cc1cnnn1C ZINC001266853579 836939527 /nfs/dbraw/zinc/93/95/27/836939527.db2.gz OOQHYRNGDLUCBY-HUUCEWRRSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1CCCC[N@H+]1Cc1cnnn1C ZINC001266853579 836939536 /nfs/dbraw/zinc/93/95/36/836939536.db2.gz OOQHYRNGDLUCBY-HUUCEWRRSA-N 1 2 319.453 1.888 20 30 DDEDLO CC1(CCC(=O)NC[C@H]2CCCC[N@@H+]2CC(=O)NCC#N)CC1 ZINC001266859431 836950577 /nfs/dbraw/zinc/95/05/77/836950577.db2.gz YLARJVNYRRJGHQ-CQSZACIVSA-N 1 2 320.437 1.177 20 30 DDEDLO CC1(CCC(=O)NC[C@H]2CCCC[N@H+]2CC(=O)NCC#N)CC1 ZINC001266859431 836950590 /nfs/dbraw/zinc/95/05/90/836950590.db2.gz YLARJVNYRRJGHQ-CQSZACIVSA-N 1 2 320.437 1.177 20 30 DDEDLO CCO[C@@H](C)c1noc(C[NH2+][C@H](C)CNC(=O)C#CC(C)C)n1 ZINC001266937219 837083505 /nfs/dbraw/zinc/08/35/05/837083505.db2.gz DTYZETXDTHNUQO-OLZOCXBDSA-N 1 2 322.409 1.421 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001267009034 837179631 /nfs/dbraw/zinc/17/96/31/837179631.db2.gz MZZSMSXNYGKNMV-LRDDRELGSA-N 1 2 322.409 1.040 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnoc1C ZINC001267405669 838001203 /nfs/dbraw/zinc/00/12/03/838001203.db2.gz OXFPXWGVIWNWIH-CWRNSKLLSA-N 1 2 317.389 1.197 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnoc1C ZINC001267405669 838001207 /nfs/dbraw/zinc/00/12/07/838001207.db2.gz OXFPXWGVIWNWIH-CWRNSKLLSA-N 1 2 317.389 1.197 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1=CCCC1 ZINC001267707418 838690250 /nfs/dbraw/zinc/69/02/50/838690250.db2.gz WUPJKUOHAHQVQH-QEJZJMRPSA-N 1 2 303.406 1.073 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1=CCCC1 ZINC001267707418 838690252 /nfs/dbraw/zinc/69/02/52/838690252.db2.gz WUPJKUOHAHQVQH-QEJZJMRPSA-N 1 2 303.406 1.073 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001267724172 838739907 /nfs/dbraw/zinc/73/99/07/838739907.db2.gz UQKPONBFDTXKCC-ZDUSSCGKSA-N 1 2 304.394 1.532 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001267724172 838739913 /nfs/dbraw/zinc/73/99/13/838739913.db2.gz UQKPONBFDTXKCC-ZDUSSCGKSA-N 1 2 304.394 1.532 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C2(C3CCC3)CCC2)C1 ZINC001267746815 838831552 /nfs/dbraw/zinc/83/15/52/838831552.db2.gz XUKNVCXOQRMYEO-OAHLLOKOSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C2(C3CCC3)CCC2)C1 ZINC001267746815 838831561 /nfs/dbraw/zinc/83/15/61/838831561.db2.gz XUKNVCXOQRMYEO-OAHLLOKOSA-N 1 2 319.449 1.450 20 30 DDEDLO N#CCN1CCC(CCNC(=O)c2cccc3[nH+]ccn32)CC1 ZINC001267945217 839237322 /nfs/dbraw/zinc/23/73/22/839237322.db2.gz BVGNFMPECGTROV-UHFFFAOYSA-N 1 2 311.389 1.690 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)CS(=O)(=O)CCC ZINC001272042715 844420242 /nfs/dbraw/zinc/42/02/42/844420242.db2.gz IJURVEKKJBVRLZ-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)CS(=O)(=O)CCC ZINC001272042715 844420255 /nfs/dbraw/zinc/42/02/55/844420255.db2.gz IJURVEKKJBVRLZ-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCC[N@@H+](Cc2cnnn2C)C1 ZINC001268217090 839850579 /nfs/dbraw/zinc/85/05/79/839850579.db2.gz YYGQNBRIEAAQLB-ZDUSSCGKSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCC[N@H+](Cc2cnnn2C)C1 ZINC001268217090 839850583 /nfs/dbraw/zinc/85/05/83/839850583.db2.gz YYGQNBRIEAAQLB-ZDUSSCGKSA-N 1 2 305.426 1.498 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)CCN(C)C(=O)CSCC#N)o1 ZINC001268230017 839864736 /nfs/dbraw/zinc/86/47/36/839864736.db2.gz FNVRJDSAERAHJD-GFCCVEGCSA-N 1 2 310.423 1.691 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)CCN(C)C(=O)CSCC#N)o1 ZINC001268230017 839864743 /nfs/dbraw/zinc/86/47/43/839864743.db2.gz FNVRJDSAERAHJD-GFCCVEGCSA-N 1 2 310.423 1.691 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@H]1CCCC12CC2 ZINC001268241231 839881865 /nfs/dbraw/zinc/88/18/65/839881865.db2.gz WNVWLGGAIDLCTF-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@H]1CCCC12CC2 ZINC001268241231 839881869 /nfs/dbraw/zinc/88/18/69/839881869.db2.gz WNVWLGGAIDLCTF-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC/C=C/C[NH2+]Cc1nc(C)c(C)o1 ZINC001268534653 840405134 /nfs/dbraw/zinc/40/51/34/840405134.db2.gz VPSCAIBBWCUICT-MOEXGYKKSA-N 1 2 307.394 1.381 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1C[NH+](CC=C(Cl)Cl)C1 ZINC001268829068 840876042 /nfs/dbraw/zinc/87/60/42/840876042.db2.gz VLWUWUAMBUVZNC-SNVBAGLBSA-N 1 2 305.205 1.392 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC(=O)N(C)C1CC1 ZINC001268965285 841053878 /nfs/dbraw/zinc/05/38/78/841053878.db2.gz MYJODQVMCNTRNS-GJZGRUSLSA-N 1 2 305.422 1.249 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC(=O)N(C)C1CC1 ZINC001268965285 841053882 /nfs/dbraw/zinc/05/38/82/841053882.db2.gz MYJODQVMCNTRNS-GJZGRUSLSA-N 1 2 305.422 1.249 20 30 DDEDLO C=CC[C@H](C)C(=O)N1C[C@@H]2OCCN(CCn3cc[nH+]c3)[C@H]2C1 ZINC001268968652 841063792 /nfs/dbraw/zinc/06/37/92/841063792.db2.gz VYVQAKJXBWTSSN-JYJNAYRXSA-N 1 2 318.421 1.007 20 30 DDEDLO C=CCOCCC(=O)N1CC2(C1)C[NH+](Cc1scnc1C)C2 ZINC001268991043 841093788 /nfs/dbraw/zinc/09/37/88/841093788.db2.gz XUZZDMDDGSFSHW-UHFFFAOYSA-N 1 2 321.446 1.688 20 30 DDEDLO C=CCN1CCC2(CCN(C(=O)[C@@H](C)n3cc[nH+]c3)CC2)C1=O ZINC001269157523 841277687 /nfs/dbraw/zinc/27/76/87/841277687.db2.gz NIDVHZXUMQTSNV-CQSZACIVSA-N 1 2 316.405 1.471 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CC[N@H+]1Cc1cnc(C)s1 ZINC001269224036 841371816 /nfs/dbraw/zinc/37/18/16/841371816.db2.gz TZNSTQMRYNDATN-SWLSCSKDSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CC[N@@H+]1Cc1cnc(C)s1 ZINC001269224036 841371818 /nfs/dbraw/zinc/37/18/18/841371818.db2.gz TZNSTQMRYNDATN-SWLSCSKDSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@H](C)C(=O)N(C)C(C)C ZINC001269278321 841459133 /nfs/dbraw/zinc/45/91/33/841459133.db2.gz GXKVGTAUQAGMHI-CABCVRRESA-N 1 2 309.454 1.789 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)C(=O)N(C)C(C)C ZINC001269278321 841459134 /nfs/dbraw/zinc/45/91/34/841459134.db2.gz GXKVGTAUQAGMHI-CABCVRRESA-N 1 2 309.454 1.789 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@H]1CCCN(C)C1=O ZINC001269312750 841503208 /nfs/dbraw/zinc/50/32/08/841503208.db2.gz XNOKWNZKVKDQBO-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@H]1CCCN(C)C1=O ZINC001269312750 841503218 /nfs/dbraw/zinc/50/32/18/841503218.db2.gz XNOKWNZKVKDQBO-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)Cc1csc(C(C)(C)C)n1 ZINC001269320292 841513756 /nfs/dbraw/zinc/51/37/56/841513756.db2.gz ALPGHHROLOVUPW-CYBMUJFWSA-N 1 2 305.447 1.807 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)Cc1csc(C(C)(C)C)n1 ZINC001269320292 841513766 /nfs/dbraw/zinc/51/37/66/841513766.db2.gz ALPGHHROLOVUPW-CYBMUJFWSA-N 1 2 305.447 1.807 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)Cc1cccc(F)c1 ZINC001269336801 841534237 /nfs/dbraw/zinc/53/42/37/841534237.db2.gz DYIYXVIMLJNVAC-MRXNPFEDSA-N 1 2 304.365 1.209 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)Cc1cccc(F)c1 ZINC001269336801 841534244 /nfs/dbraw/zinc/53/42/44/841534244.db2.gz DYIYXVIMLJNVAC-MRXNPFEDSA-N 1 2 304.365 1.209 20 30 DDEDLO C=CCN1CC[C@@]2(CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C2)C1=O ZINC001269385944 841588865 /nfs/dbraw/zinc/58/88/65/841588865.db2.gz CNNDEZHPIHJFMZ-SFHVURJKSA-N 1 2 324.384 1.814 20 30 DDEDLO C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)CCc1c[nH]c[nH+]1 ZINC001269661789 841912219 /nfs/dbraw/zinc/91/22/19/841912219.db2.gz YTZDBURXJUOLKD-HUUCEWRRSA-N 1 2 316.405 1.510 20 30 DDEDLO C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)CCc1c[nH+]c[nH]1 ZINC001269661789 841912232 /nfs/dbraw/zinc/91/22/32/841912232.db2.gz YTZDBURXJUOLKD-HUUCEWRRSA-N 1 2 316.405 1.510 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)C[C@@H]2CCC[C@H](OC)C2)C1 ZINC001269799791 842059027 /nfs/dbraw/zinc/05/90/27/842059027.db2.gz HIULZRNSOZMXPF-WBVHZDCISA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@]1(C)CC[N@H+](Cc2cc(C)on2)C1 ZINC001270585159 842689516 /nfs/dbraw/zinc/68/95/16/842689516.db2.gz XZYSMAMLZMOLAA-XJKSGUPXSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@]1(C)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001270585159 842689521 /nfs/dbraw/zinc/68/95/21/842689521.db2.gz XZYSMAMLZMOLAA-XJKSGUPXSA-N 1 2 305.378 1.102 20 30 DDEDLO C=C(F)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001270932301 843060549 /nfs/dbraw/zinc/06/05/49/843060549.db2.gz MYNZGYITYITRTG-OKILXGFUSA-N 1 2 302.353 1.555 20 30 DDEDLO C=C(F)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001270932301 843060560 /nfs/dbraw/zinc/06/05/60/843060560.db2.gz MYNZGYITYITRTG-OKILXGFUSA-N 1 2 302.353 1.555 20 30 DDEDLO CC#CCN1CCC(F)(F)[C@@H](CNC(=O)Cn2cc[nH+]c2C)C1 ZINC001271108368 843218064 /nfs/dbraw/zinc/21/80/64/843218064.db2.gz BRVSNNLVHKNXTA-AWEZNQCLSA-N 1 2 324.375 1.288 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@@H+](C)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001409500845 845191542 /nfs/dbraw/zinc/19/15/42/845191542.db2.gz HHTIVQHTYQEOCK-UONOGXRCSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@H+](C)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001409500845 845191547 /nfs/dbraw/zinc/19/15/47/845191547.db2.gz HHTIVQHTYQEOCK-UONOGXRCSA-N 1 2 316.405 1.530 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2cnsn2)CCOCC1 ZINC001149317260 861542504 /nfs/dbraw/zinc/54/25/04/861542504.db2.gz HBBVHBCSMNNTED-UHFFFAOYSA-N 1 2 310.423 1.117 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cn(C)nc1COC ZINC001409581332 845355594 /nfs/dbraw/zinc/35/55/94/845355594.db2.gz LFMSFROFFILBQD-LLVKDONJSA-N 1 2 314.817 1.369 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cn(C)nc1COC ZINC001409581332 845355603 /nfs/dbraw/zinc/35/56/03/845355603.db2.gz LFMSFROFFILBQD-LLVKDONJSA-N 1 2 314.817 1.369 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)s1 ZINC001149405887 861595347 /nfs/dbraw/zinc/59/53/47/861595347.db2.gz FJYNQWSMSUSHPJ-AAEUAGOBSA-N 1 2 322.434 1.318 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)s1 ZINC001149405887 861595352 /nfs/dbraw/zinc/59/53/52/861595352.db2.gz FJYNQWSMSUSHPJ-AAEUAGOBSA-N 1 2 322.434 1.318 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3ccccc3CC)C2)OCC1=O ZINC001272423274 846125083 /nfs/dbraw/zinc/12/50/83/846125083.db2.gz YRRLMFFADBSNAW-UHFFFAOYSA-N 1 2 300.402 1.848 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](O)C[NH2+][C@H](C)c2csnn2)CCC1 ZINC001272514419 846254384 /nfs/dbraw/zinc/25/43/84/846254384.db2.gz VNOFSBJABPSKNF-NEPJUHHUSA-N 1 2 324.450 1.412 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3ccc(C)cc3C)C2)OCC1=O ZINC001272638338 846442284 /nfs/dbraw/zinc/44/22/84/846442284.db2.gz GQHCKEYQLZAOMG-UHFFFAOYSA-N 1 2 300.402 1.903 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(F)F)c(F)c2)C1 ZINC001077667054 846864554 /nfs/dbraw/zinc/86/45/54/846864554.db2.gz MZQACGLQPQNZKS-CHWSQXEVSA-N 1 2 312.291 1.171 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(F)F)c(F)c2)C1 ZINC001077667054 846864558 /nfs/dbraw/zinc/86/45/58/846864558.db2.gz MZQACGLQPQNZKS-CHWSQXEVSA-N 1 2 312.291 1.171 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)CCCn1cc[nH+]c1 ZINC001107808659 847147461 /nfs/dbraw/zinc/14/74/61/847147461.db2.gz JJLCQTYLVBUOIJ-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3csc(C)n3)C2)OCC1=O ZINC001272775137 847411551 /nfs/dbraw/zinc/41/15/51/847411551.db2.gz XBWGKEOPWVKKRG-OAHLLOKOSA-N 1 2 307.419 1.441 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3csc(C)n3)C2)OCC1=O ZINC001272775137 847411557 /nfs/dbraw/zinc/41/15/57/847411557.db2.gz XBWGKEOPWVKKRG-OAHLLOKOSA-N 1 2 307.419 1.441 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](CCc1ccccc1F)C2 ZINC001273041381 847828211 /nfs/dbraw/zinc/82/82/11/847828211.db2.gz SXHYCPGGWQYQLQ-UHFFFAOYSA-N 1 2 318.392 1.858 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC001034154252 848043789 /nfs/dbraw/zinc/04/37/89/848043789.db2.gz KJFCGCZOBGENSW-ZDUSSCGKSA-N 1 2 310.829 1.984 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC001034154252 848043797 /nfs/dbraw/zinc/04/37/97/848043797.db2.gz KJFCGCZOBGENSW-ZDUSSCGKSA-N 1 2 310.829 1.984 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC001034154252 848043803 /nfs/dbraw/zinc/04/38/03/848043803.db2.gz KJFCGCZOBGENSW-ZDUSSCGKSA-N 1 2 310.829 1.984 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC001034154252 848043808 /nfs/dbraw/zinc/04/38/08/848043808.db2.gz KJFCGCZOBGENSW-ZDUSSCGKSA-N 1 2 310.829 1.984 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CCC(F)F)C1 ZINC001149975344 862001326 /nfs/dbraw/zinc/00/13/26/862001326.db2.gz UXDGVMWYNJCNTQ-LLVKDONJSA-N 1 2 310.772 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CCC(F)F)C1 ZINC001149975344 862001341 /nfs/dbraw/zinc/00/13/41/862001341.db2.gz UXDGVMWYNJCNTQ-LLVKDONJSA-N 1 2 310.772 1.991 20 30 DDEDLO C=CCC[C@@H](C)[NH+]1CC2(C1)CN(C(=O)c1cnc(C)[nH]1)CCO2 ZINC001273613906 851151654 /nfs/dbraw/zinc/15/16/54/851151654.db2.gz JCMZJUOXKSBOCK-CYBMUJFWSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2CC(=O)c1ccc(C#N)cc1 ZINC001273727314 851278044 /nfs/dbraw/zinc/27/80/44/851278044.db2.gz IHWNLODQIAYPIA-IAGOWNOFSA-N 1 2 323.396 1.992 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2CC(=O)c1ccc(C#N)cc1 ZINC001273727314 851278050 /nfs/dbraw/zinc/27/80/50/851278050.db2.gz IHWNLODQIAYPIA-IAGOWNOFSA-N 1 2 323.396 1.992 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C/C[NH2+]Cc1nn(C)cc1Cl ZINC001274009771 851843307 /nfs/dbraw/zinc/84/33/07/851843307.db2.gz MBKLMFANTPXYGT-SNAWJCMRSA-N 1 2 308.813 1.495 20 30 DDEDLO CC(C)(C)C(=O)OCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2CCC#N ZINC001274031050 851869665 /nfs/dbraw/zinc/86/96/65/851869665.db2.gz GGTRALDOSUPIHM-CHWSQXEVSA-N 1 2 307.394 1.512 20 30 DDEDLO CC(C)(C)C(=O)OCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2CCC#N ZINC001274031050 851869676 /nfs/dbraw/zinc/86/96/76/851869676.db2.gz GGTRALDOSUPIHM-CHWSQXEVSA-N 1 2 307.394 1.512 20 30 DDEDLO N#Cc1ccnc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)c1 ZINC001274210023 852038612 /nfs/dbraw/zinc/03/86/12/852038612.db2.gz QFLSWNDJGXCONF-UHFFFAOYSA-N 1 2 307.357 1.239 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](Cc2ccon2)CC1(C)C ZINC001274435662 852266444 /nfs/dbraw/zinc/26/64/44/852266444.db2.gz LSNYHXHQGXSKMH-HNNXBMFYSA-N 1 2 319.405 1.431 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](Cc2ccon2)CC1(C)C ZINC001274435662 852266455 /nfs/dbraw/zinc/26/64/55/852266455.db2.gz LSNYHXHQGXSKMH-HNNXBMFYSA-N 1 2 319.405 1.431 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C\C[NH2+][C@@H](C)c2ncc(C)o2)nc1 ZINC001274512089 852345969 /nfs/dbraw/zinc/34/59/69/852345969.db2.gz UNCCQGHCBITZLT-GXMNPTEKSA-N 1 2 324.384 1.996 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1C[C@]2(F)CN([C@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274619934 852452662 /nfs/dbraw/zinc/45/26/62/852452662.db2.gz FOKUWVRSOCULRD-LUYZLQTOSA-N 1 2 316.392 1.686 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1C[C@]2(F)CN([C@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274619934 852452668 /nfs/dbraw/zinc/45/26/68/852452668.db2.gz FOKUWVRSOCULRD-LUYZLQTOSA-N 1 2 316.392 1.686 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1CCC2(C[C@H]2C(=O)NCC(F)F)CC1 ZINC001274855746 852643645 /nfs/dbraw/zinc/64/36/45/852643645.db2.gz RKGQQDKWHKUKCY-LBPRGKRZSA-N 1 2 322.359 1.870 20 30 DDEDLO Cc1cc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)nn1C ZINC001275660568 853514069 /nfs/dbraw/zinc/51/40/69/853514069.db2.gz VAPWHQDUHWSWIR-UHFFFAOYSA-N 1 2 324.432 1.257 20 30 DDEDLO CC[N@H+](Cc1ccccc1C#N)[C@H](C)CNC(=O)c1nnc[nH]1 ZINC001155930259 862566599 /nfs/dbraw/zinc/56/65/99/862566599.db2.gz NTSALXZLRCQBDK-GFCCVEGCSA-N 1 2 312.377 1.317 20 30 DDEDLO CC[N@@H+](Cc1ccccc1C#N)[C@H](C)CNC(=O)c1nnc[nH]1 ZINC001155930259 862566604 /nfs/dbraw/zinc/56/66/04/862566604.db2.gz NTSALXZLRCQBDK-GFCCVEGCSA-N 1 2 312.377 1.317 20 30 DDEDLO CC[N@H+](Cc1ccccc1C#N)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001155930259 862566617 /nfs/dbraw/zinc/56/66/17/862566617.db2.gz NTSALXZLRCQBDK-GFCCVEGCSA-N 1 2 312.377 1.317 20 30 DDEDLO CC[N@@H+](Cc1ccccc1C#N)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001155930259 862566629 /nfs/dbraw/zinc/56/66/29/862566629.db2.gz NTSALXZLRCQBDK-GFCCVEGCSA-N 1 2 312.377 1.317 20 30 DDEDLO COCC#CC[NH2+]C1(C)CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001327991701 862615371 /nfs/dbraw/zinc/61/53/71/862615371.db2.gz ZTZKSEMDSSPJSQ-LLVKDONJSA-N 1 2 324.318 1.507 20 30 DDEDLO COCC#CC[NH2+]C1(C)CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001327991701 862615374 /nfs/dbraw/zinc/61/53/74/862615374.db2.gz ZTZKSEMDSSPJSQ-LLVKDONJSA-N 1 2 324.318 1.507 20 30 DDEDLO CCC(C)(C)NC(=O)C[NH+]1CC([C@@H](C)NC(=O)C#CC(C)C)C1 ZINC001276375900 856300671 /nfs/dbraw/zinc/30/06/71/856300671.db2.gz JEDGBADVLMHPJK-CQSZACIVSA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C1C[NH+](Cc2snnc2C)C1 ZINC001276376983 856302855 /nfs/dbraw/zinc/30/28/55/856302855.db2.gz QUBDRVSIRZSWRB-NSHDSACASA-N 1 2 306.435 1.587 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H](NC(=O)CSCC#N)C[C@@H]2C)o1 ZINC001328243305 862814826 /nfs/dbraw/zinc/81/48/26/862814826.db2.gz OZPUTWLZYVCNDG-JQWIXIFHSA-N 1 2 323.422 1.104 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H](NC(=O)CSCC#N)C[C@@H]2C)o1 ZINC001328243305 862814841 /nfs/dbraw/zinc/81/48/41/862814841.db2.gz OZPUTWLZYVCNDG-JQWIXIFHSA-N 1 2 323.422 1.104 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001049558249 856974215 /nfs/dbraw/zinc/97/42/15/856974215.db2.gz OFXDZITXQSAQQM-CWRNSKLLSA-N 1 2 313.405 1.034 20 30 DDEDLO COc1ncc(NC(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1C#N ZINC001413648152 857282607 /nfs/dbraw/zinc/28/26/07/857282607.db2.gz FNSPUHBQLCYXOL-LLVKDONJSA-N 1 2 312.333 1.295 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC001072559673 857448683 /nfs/dbraw/zinc/44/86/83/857448683.db2.gz NLBYZKZHODKYAW-CYBMUJFWSA-N 1 2 301.394 1.097 20 30 DDEDLO CCn1ccnc1C[N@H+]1CCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC001072559673 857448686 /nfs/dbraw/zinc/44/86/86/857448686.db2.gz NLBYZKZHODKYAW-CYBMUJFWSA-N 1 2 301.394 1.097 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](NC(=O)CCn2cc[nH+]c2)[C@@H](C)C1 ZINC001072641125 857546221 /nfs/dbraw/zinc/54/62/21/857546221.db2.gz KWSAEJYTKLHZLP-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C[C@H]1CCCN(C(=O)c2ccc(N3CC[NH2+]C[C@@H]3C#N)nc2)C1 ZINC001156327499 862938500 /nfs/dbraw/zinc/93/85/00/862938500.db2.gz BQRJWOYSBRRIAI-ZFWWWQNUSA-N 1 2 313.405 1.255 20 30 DDEDLO C=CCCC(=O)NC1(C)CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001073215496 858184328 /nfs/dbraw/zinc/18/43/28/858184328.db2.gz RPYDEONKPNLRCK-UHFFFAOYSA-N 1 2 304.394 1.416 20 30 DDEDLO CC(C)OCCCNC(=O)[C@H](C#N)C(=O)[C@@H]1CCC[N@@H+]1C(C)C ZINC001122442728 858815422 /nfs/dbraw/zinc/81/54/22/858815422.db2.gz UXEBRYMYJDZWSF-CABCVRRESA-N 1 2 323.437 1.499 20 30 DDEDLO CC(C)OCCCNC(=O)[C@H](C#N)C(=O)[C@@H]1CCC[N@H+]1C(C)C ZINC001122442728 858815431 /nfs/dbraw/zinc/81/54/31/858815431.db2.gz UXEBRYMYJDZWSF-CABCVRRESA-N 1 2 323.437 1.499 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@H](CF)C1 ZINC001122490575 858848836 /nfs/dbraw/zinc/84/88/36/858848836.db2.gz OSJWNODCZNKOMO-CYBMUJFWSA-N 1 2 318.400 1.974 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)[C@@H](F)C(C)C)CC1 ZINC001381253502 881376671 /nfs/dbraw/zinc/37/66/71/881376671.db2.gz HHBSMZMMZCGKAG-LBPRGKRZSA-N 1 2 306.809 1.676 20 30 DDEDLO C=CCN(C)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001123419582 859229658 /nfs/dbraw/zinc/22/96/58/859229658.db2.gz DCURMWGYOUHJEY-CJNGLKHVSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCN(C)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC1CC1 ZINC001123419582 859229668 /nfs/dbraw/zinc/22/96/68/859229668.db2.gz DCURMWGYOUHJEY-CJNGLKHVSA-N 1 2 305.426 1.222 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CC(N3CCOCC3)C2)cc1OC ZINC001138345950 860064755 /nfs/dbraw/zinc/06/47/55/860064755.db2.gz SPDUNVWGDRLYLC-UHFFFAOYSA-N 1 2 316.401 1.224 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1CC1(CC)COC1 ZINC001202936490 860405943 /nfs/dbraw/zinc/40/59/43/860405943.db2.gz LPSZKBAXTZPUPE-OAHLLOKOSA-N 1 2 320.437 1.826 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1CC1(CC)COC1 ZINC001202936490 860405946 /nfs/dbraw/zinc/40/59/46/860405946.db2.gz LPSZKBAXTZPUPE-OAHLLOKOSA-N 1 2 320.437 1.826 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCOC[C@](O)(C(F)(F)F)C2)cc1C#N ZINC001139723307 860462634 /nfs/dbraw/zinc/46/26/34/860462634.db2.gz ZWVOELLQTVSJER-AWEZNQCLSA-N 1 2 314.307 1.992 20 30 DDEDLO Cc1ccc(C[N@H+]2CCOC[C@](O)(C(F)(F)F)C2)cc1C#N ZINC001139723307 860462636 /nfs/dbraw/zinc/46/26/36/860462636.db2.gz ZWVOELLQTVSJER-AWEZNQCLSA-N 1 2 314.307 1.992 20 30 DDEDLO O=C1C=C2C[N@@H+](Cc3cccc(-c4nn[nH]n4)c3)CC[C@@H]2S1 ZINC001139850304 860498708 /nfs/dbraw/zinc/49/87/08/860498708.db2.gz VMNBUAKVDHDPND-ZDUSSCGKSA-N 1 2 313.386 1.641 20 30 DDEDLO O=C1C=C2C[N@H+](Cc3cccc(-c4nn[nH]n4)c3)CC[C@@H]2S1 ZINC001139850304 860498711 /nfs/dbraw/zinc/49/87/11/860498711.db2.gz VMNBUAKVDHDPND-ZDUSSCGKSA-N 1 2 313.386 1.641 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(F)cc(C#N)c2)C1 ZINC001139878848 860506808 /nfs/dbraw/zinc/50/68/08/860506808.db2.gz YJRUHJJGHSLTBC-OAHLLOKOSA-N 1 2 305.353 1.424 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(F)cc(C#N)c2)C1 ZINC001139878848 860506814 /nfs/dbraw/zinc/50/68/14/860506814.db2.gz YJRUHJJGHSLTBC-OAHLLOKOSA-N 1 2 305.353 1.424 20 30 DDEDLO CNc1nccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)n1 ZINC001140042286 860560907 /nfs/dbraw/zinc/56/09/07/860560907.db2.gz FFRIUGDGKMYQHU-UHFFFAOYSA-N 1 2 309.377 1.107 20 30 DDEDLO CC1(C)CN(Cc2ccc(C#N)cc2F)CC[N@@H+]1CC(N)=O ZINC001140139466 860582153 /nfs/dbraw/zinc/58/21/53/860582153.db2.gz SJOKYJUILNQUMI-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC1(C)CN(Cc2ccc(C#N)cc2F)CC[N@H+]1CC(N)=O ZINC001140139466 860582159 /nfs/dbraw/zinc/58/21/59/860582159.db2.gz SJOKYJUILNQUMI-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CCOC(=O)COc1ccc(C=NNc2[nH+]ccn2C)cc1 ZINC001326188018 861172981 /nfs/dbraw/zinc/17/29/81/861172981.db2.gz RQNKINZCKDMZQI-UHFFFAOYSA-N 1 2 302.334 1.808 20 30 DDEDLO COCC#CC[N@H+](C)C[C@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001328737612 863184133 /nfs/dbraw/zinc/18/41/33/863184133.db2.gz PARGRLGMXURVKX-VXGBXAGGSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001328737612 863184136 /nfs/dbraw/zinc/18/41/36/863184136.db2.gz PARGRLGMXURVKX-VXGBXAGGSA-N 1 2 324.318 1.459 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001153081360 863699089 /nfs/dbraw/zinc/69/90/89/863699089.db2.gz PXZVVJIIXVAFPQ-UHFFFAOYSA-N 1 2 320.349 1.128 20 30 DDEDLO C#CCCCCC(=O)NC1(CCO)C[NH+](C[C@@H]2CCCCO2)C1 ZINC001329652725 863772853 /nfs/dbraw/zinc/77/28/53/863772853.db2.gz YSCRYUVSFOYWMF-INIZCTEOSA-N 1 2 322.449 1.302 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C[C@@H](C)c2ccco2)C1 ZINC001329707325 863802695 /nfs/dbraw/zinc/80/26/95/863802695.db2.gz FYFINYWQZCXZTA-CQSZACIVSA-N 1 2 304.390 1.350 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(CC)o1 ZINC001153326630 863826756 /nfs/dbraw/zinc/82/67/56/863826756.db2.gz BDSRPCYBGNRUNM-KGLIPLIRSA-N 1 2 321.421 1.602 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(CC)o1 ZINC001153326630 863826763 /nfs/dbraw/zinc/82/67/63/863826763.db2.gz BDSRPCYBGNRUNM-KGLIPLIRSA-N 1 2 321.421 1.602 20 30 DDEDLO C#CCN1CCC[C@H](NC(=O)N(C)CCCn2cc[nH+]c2C)C1 ZINC001330915023 864699892 /nfs/dbraw/zinc/69/98/92/864699892.db2.gz CYHLOJCEFCGQQE-INIZCTEOSA-N 1 2 317.437 1.321 20 30 DDEDLO C[C@@H](CC(=O)N1CCCC[C@@H]1CN(C)CC#N)n1cc[nH+]c1 ZINC001158533783 864706718 /nfs/dbraw/zinc/70/67/18/864706718.db2.gz GVVTYBUSRPNSSX-LSDHHAIUSA-N 1 2 303.410 1.671 20 30 DDEDLO C#CCN(Cc1ncccn1)C1CC[NH+](Cc2ncccn2)CC1 ZINC001331818274 865358099 /nfs/dbraw/zinc/35/80/99/865358099.db2.gz POQFWQCYIAGEHD-UHFFFAOYSA-N 1 2 322.416 1.366 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC001322969674 866226578 /nfs/dbraw/zinc/22/65/78/866226578.db2.gz WENHXTXBVDTDSM-ZDUSSCGKSA-N 1 2 302.378 1.035 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)CC1(C)CCCC1 ZINC001323231600 866453700 /nfs/dbraw/zinc/45/37/00/866453700.db2.gz LFEFVHKOHLXQOQ-CABCVRRESA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)CC1(C)CCCC1 ZINC001323231600 866453705 /nfs/dbraw/zinc/45/37/05/866453705.db2.gz LFEFVHKOHLXQOQ-CABCVRRESA-N 1 2 321.465 1.838 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H](C)c1ccccc1F ZINC001323325359 866530698 /nfs/dbraw/zinc/53/06/98/866530698.db2.gz SSSDOMSPXHIWIA-LSDHHAIUSA-N 1 2 318.392 1.770 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H](C)c1ccccc1F ZINC001323325359 866530708 /nfs/dbraw/zinc/53/07/08/866530708.db2.gz SSSDOMSPXHIWIA-LSDHHAIUSA-N 1 2 318.392 1.770 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cncc(Br)c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225724169 881995248 /nfs/dbraw/zinc/99/52/48/881995248.db2.gz SQWDTEXTGSNGIG-DERRMCRCSA-N 1 2 311.179 1.835 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cncc(Br)c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225724169 881995255 /nfs/dbraw/zinc/99/52/55/881995255.db2.gz SQWDTEXTGSNGIG-DERRMCRCSA-N 1 2 311.179 1.835 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1([NH2+][C@H](C)c2nnnn2C)CC1 ZINC001323509415 866652250 /nfs/dbraw/zinc/65/22/50/866652250.db2.gz XIZNOCSRIFTBRT-LLVKDONJSA-N 1 2 306.414 1.112 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H](C)OCc2ccc(F)cc2)C1 ZINC001324016617 867011838 /nfs/dbraw/zinc/01/18/38/867011838.db2.gz CJECURXKWVAVKZ-CQSZACIVSA-N 1 2 318.392 1.897 20 30 DDEDLO CC#CC[N@H+]1CC=C(CCNC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC001161848954 867028500 /nfs/dbraw/zinc/02/85/00/867028500.db2.gz FWBPEQXRKZXFCP-UHFFFAOYSA-N 1 2 323.400 1.733 20 30 DDEDLO CC#CC[N@@H+]1CC=C(CCNC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC001161848954 867028512 /nfs/dbraw/zinc/02/85/12/867028512.db2.gz FWBPEQXRKZXFCP-UHFFFAOYSA-N 1 2 323.400 1.733 20 30 DDEDLO N#CCN1CC=C(CCNC(=O)CCCn2cc[nH+]c2)CC1 ZINC001161994068 867168286 /nfs/dbraw/zinc/16/82/86/867168286.db2.gz RTEXGIJMCJETTF-UHFFFAOYSA-N 1 2 301.394 1.325 20 30 DDEDLO CC(C)n1cc(N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)cn1 ZINC001277076449 882060851 /nfs/dbraw/zinc/06/08/51/882060851.db2.gz KBEQSUMWYYMMCX-UHFFFAOYSA-N 1 2 324.432 1.996 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC/C=C\C[NH2+]Cc1nc(C)c(C)o1 ZINC001321057829 867274639 /nfs/dbraw/zinc/27/46/39/867274639.db2.gz TVKAVQROKKTNBE-AFNCTOJWSA-N 1 2 305.378 1.092 20 30 DDEDLO C=C[C@H](COC)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC001321092370 867306378 /nfs/dbraw/zinc/30/63/78/867306378.db2.gz DBQYJKYYUHUXBW-OAHLLOKOSA-N 1 2 304.390 1.450 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC001324516913 867323211 /nfs/dbraw/zinc/32/32/11/867323211.db2.gz VMRRVLAUSBEHSS-UHFFFAOYSA-N 1 2 305.334 1.139 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC001324516913 867323221 /nfs/dbraw/zinc/32/32/21/867323221.db2.gz VMRRVLAUSBEHSS-UHFFFAOYSA-N 1 2 305.334 1.139 20 30 DDEDLO C=CC[NH+]1CCC(CNC(=O)c2[nH]ncc2F)(COC)CC1 ZINC001162471098 867558236 /nfs/dbraw/zinc/55/82/36/867558236.db2.gz VCUGREJZDOLMPC-UHFFFAOYSA-N 1 2 310.373 1.193 20 30 DDEDLO CCOC(=O)c1cc(C#N)c(C)nc1NC[C@@H]1C[N@H+](C)CCO1 ZINC001162681263 867723473 /nfs/dbraw/zinc/72/34/73/867723473.db2.gz YTZSEDITYJTCDZ-CYBMUJFWSA-N 1 2 318.377 1.181 20 30 DDEDLO CCOC(=O)c1cc(C#N)c(C)nc1NC[C@@H]1C[N@@H+](C)CCO1 ZINC001162681263 867723478 /nfs/dbraw/zinc/72/34/78/867723478.db2.gz YTZSEDITYJTCDZ-CYBMUJFWSA-N 1 2 318.377 1.181 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C[NH2+][C@H](C)c2csnn2)nc1 ZINC001321713122 867751029 /nfs/dbraw/zinc/75/10/29/867751029.db2.gz MFTZLYSTIZSLPO-GHMZBOCLSA-N 1 2 315.402 1.384 20 30 DDEDLO CC(C)c1noc([C@@H](C)[NH2+]C[C@H](C)NC(=O)C#CC2CC2)n1 ZINC001321842429 867827812 /nfs/dbraw/zinc/82/78/12/867827812.db2.gz QOUAJCCBAWXKEV-NWDGAFQWSA-N 1 2 304.394 1.762 20 30 DDEDLO CCO[C@H](C)c1noc(C[NH2+]C[C@H](C)NC(=O)C#CC(C)C)n1 ZINC001321843326 867828741 /nfs/dbraw/zinc/82/87/41/867828741.db2.gz PNBXTGABKYEOID-QWHCGFSZSA-N 1 2 322.409 1.421 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)C1 ZINC001321979535 867915082 /nfs/dbraw/zinc/91/50/82/867915082.db2.gz HYPYBQNYRAZWOJ-HUUCEWRRSA-N 1 2 318.421 1.609 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC001321979535 867915094 /nfs/dbraw/zinc/91/50/94/867915094.db2.gz HYPYBQNYRAZWOJ-HUUCEWRRSA-N 1 2 318.421 1.609 20 30 DDEDLO CC#CC[NH2+]CC1(CCNC(=O)c2cncc3nc[nH]c32)CC1 ZINC001164604247 869320930 /nfs/dbraw/zinc/32/09/30/869320930.db2.gz GBUBTEWRHVRRPI-UHFFFAOYSA-N 1 2 311.389 1.471 20 30 DDEDLO COc1ccc2nc(Cl)cc(N3CC[NH2+]C[C@H]3C#N)c2n1 ZINC001164653039 869342902 /nfs/dbraw/zinc/34/29/02/869342902.db2.gz ZRWONIMVYDPZFS-SECBINFHSA-N 1 2 303.753 1.594 20 30 DDEDLO C=CC[C@H](NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1)C(=O)OCC ZINC001338420073 869970981 /nfs/dbraw/zinc/97/09/81/869970981.db2.gz TYJZPDBPFYDYPE-FZMZJTMJSA-N 1 2 320.393 1.414 20 30 DDEDLO C=CC[C@H](NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1)C(=O)OCC ZINC001338420073 869970991 /nfs/dbraw/zinc/97/09/91/869970991.db2.gz TYJZPDBPFYDYPE-FZMZJTMJSA-N 1 2 320.393 1.414 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](CC)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001297457102 870055073 /nfs/dbraw/zinc/05/50/73/870055073.db2.gz IKDQVMPRMWMMNB-CYBMUJFWSA-N 1 2 306.410 1.628 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(C)(C)C#N)n2CC=C)CC1 ZINC001338735690 870140814 /nfs/dbraw/zinc/14/08/14/870140814.db2.gz SJSCUPZXDWBJPH-UHFFFAOYSA-N 1 2 312.421 1.312 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)C[C@@H]1CC1(C)C ZINC001338775104 870162462 /nfs/dbraw/zinc/16/24/62/870162462.db2.gz UNGQOLVHZNVJNT-MJBXVCDLSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)C[C@@H]1CC1(C)C ZINC001338775104 870162470 /nfs/dbraw/zinc/16/24/70/870162470.db2.gz UNGQOLVHZNVJNT-MJBXVCDLSA-N 1 2 319.453 1.684 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC[C@H]1OC ZINC001317048625 870164030 /nfs/dbraw/zinc/16/40/30/870164030.db2.gz YPXASOCKDDRZIO-VVLHAWIVSA-N 1 2 320.433 1.127 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC[C@H]1OC ZINC001317048625 870164037 /nfs/dbraw/zinc/16/40/37/870164037.db2.gz YPXASOCKDDRZIO-VVLHAWIVSA-N 1 2 320.433 1.127 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+][C@H](C)c2nnc(C)o2)C1 ZINC001317063246 870187311 /nfs/dbraw/zinc/18/73/11/870187311.db2.gz XKUSCXPFLBUFBC-OCCSQVGLSA-N 1 2 304.394 1.823 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)N[C@@]2(C#N)CCSC2)[nH]n1 ZINC001339038097 870312387 /nfs/dbraw/zinc/31/23/87/870312387.db2.gz UJAWAURQTXLOJP-TZMCWYRMSA-N 1 2 305.407 1.305 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)N[C@@]2(C#N)CCSC2)[nH]n1 ZINC001339038097 870312407 /nfs/dbraw/zinc/31/24/07/870312407.db2.gz UJAWAURQTXLOJP-TZMCWYRMSA-N 1 2 305.407 1.305 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001317203365 870464375 /nfs/dbraw/zinc/46/43/75/870464375.db2.gz YXTDLCBYYOMJKN-GJZGRUSLSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCC[N@H+](Cc2cnn(CC)n2)C1 ZINC001317203365 870464377 /nfs/dbraw/zinc/46/43/77/870464377.db2.gz YXTDLCBYYOMJKN-GJZGRUSLSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C1C[NH+](Cc2cc(C)n(C)n2)C1 ZINC001276414406 870677087 /nfs/dbraw/zinc/67/70/87/870677087.db2.gz PXQYNXLETLXKGK-ZDUSSCGKSA-N 1 2 304.438 1.877 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001298786008 870727934 /nfs/dbraw/zinc/72/79/34/870727934.db2.gz KFVJRXIKBROLJG-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]([N@H+](C)Cc2cnns2)C1 ZINC001317373298 870729983 /nfs/dbraw/zinc/72/99/83/870729983.db2.gz MQEOMHRNKRNYOY-IUODEOHRSA-N 1 2 324.450 1.288 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]([N@@H+](C)Cc2cnns2)C1 ZINC001317373298 870729994 /nfs/dbraw/zinc/72/99/94/870729994.db2.gz MQEOMHRNKRNYOY-IUODEOHRSA-N 1 2 324.450 1.288 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]1CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001299034170 870853973 /nfs/dbraw/zinc/85/39/73/870853973.db2.gz VMYBBOLAZYHMBU-HUUCEWRRSA-N 1 2 318.421 1.908 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2[C@H](C1)OCCN2c1cnccn1 ZINC001204367657 871079130 /nfs/dbraw/zinc/07/91/30/871079130.db2.gz OJGCGNBCRMQPPY-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2[C@H](C1)OCCN2c1cnccn1 ZINC001204367657 871079142 /nfs/dbraw/zinc/07/91/42/871079142.db2.gz OJGCGNBCRMQPPY-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO Cc1[nH+]c[nH]c1CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC001204587719 871164159 /nfs/dbraw/zinc/16/41/59/871164159.db2.gz JDQNKIHKKZUWJL-LBPRGKRZSA-N 1 2 305.382 1.663 20 30 DDEDLO CCc1ocnc1C(=O)N[C@H]1CCC[N@H+](CC#CCOC)C1 ZINC001317961305 871654120 /nfs/dbraw/zinc/65/41/20/871654120.db2.gz NDVOJVJRVMEDOZ-ZDUSSCGKSA-N 1 2 305.378 1.081 20 30 DDEDLO CCc1ocnc1C(=O)N[C@H]1CCC[N@@H+](CC#CCOC)C1 ZINC001317961305 871654128 /nfs/dbraw/zinc/65/41/28/871654128.db2.gz NDVOJVJRVMEDOZ-ZDUSSCGKSA-N 1 2 305.378 1.081 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001317979367 871669218 /nfs/dbraw/zinc/66/92/18/871669218.db2.gz JEOVRWAMNVLNJQ-GJZGRUSLSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001317979367 871669223 /nfs/dbraw/zinc/66/92/23/871669223.db2.gz JEOVRWAMNVLNJQ-GJZGRUSLSA-N 1 2 319.449 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](CCCOC(C)C)C2)nc1 ZINC001318079500 871731862 /nfs/dbraw/zinc/73/18/62/871731862.db2.gz HAHCKVOLRRGYSO-UHFFFAOYSA-N 1 2 301.390 1.292 20 30 DDEDLO N#CCSCC(=O)NCC1C[NH+](Cc2ccncc2Cl)C1 ZINC001318100946 871746574 /nfs/dbraw/zinc/74/65/74/871746574.db2.gz DXYUFKOFWHGGGN-UHFFFAOYSA-N 1 2 324.837 1.540 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H]1CCN(CC=C)C1=O ZINC001318191181 871810269 /nfs/dbraw/zinc/81/02/69/871810269.db2.gz YBZPEASDRZKCGU-SOUVJXGZSA-N 1 2 303.406 1.025 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H]1CCN(CC=C)C1=O ZINC001318191181 871810280 /nfs/dbraw/zinc/81/02/80/871810280.db2.gz YBZPEASDRZKCGU-SOUVJXGZSA-N 1 2 303.406 1.025 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ocnc1C ZINC001318195256 871817022 /nfs/dbraw/zinc/81/70/22/871817022.db2.gz CIVWPLZTIRANST-GJZGRUSLSA-N 1 2 301.390 1.962 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ocnc1C ZINC001318195256 871817041 /nfs/dbraw/zinc/81/70/41/871817041.db2.gz CIVWPLZTIRANST-GJZGRUSLSA-N 1 2 301.390 1.962 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(C)=C(C)C ZINC001318210104 871835794 /nfs/dbraw/zinc/83/57/94/871835794.db2.gz UZFKNICYYAVKTE-JYJNAYRXSA-N 1 2 319.449 1.709 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(C)=C(C)C ZINC001318210104 871835802 /nfs/dbraw/zinc/83/58/02/871835802.db2.gz UZFKNICYYAVKTE-JYJNAYRXSA-N 1 2 319.449 1.709 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cc3n(n2)CCCC3)C1 ZINC001318249873 871882835 /nfs/dbraw/zinc/88/28/35/871882835.db2.gz FBJPOEHFUBKRIM-HNNXBMFYSA-N 1 2 314.433 1.713 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cc3n(n2)CCCC3)C1 ZINC001318249873 871882860 /nfs/dbraw/zinc/88/28/60/871882860.db2.gz FBJPOEHFUBKRIM-HNNXBMFYSA-N 1 2 314.433 1.713 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CCO[C@@H](C)C1 ZINC001341903113 871888472 /nfs/dbraw/zinc/88/84/72/871888472.db2.gz LDZBUBFRABNZNK-KBPBESRZSA-N 1 2 305.426 1.846 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N1CCO[C@@H](C)C1 ZINC001341903113 871888488 /nfs/dbraw/zinc/88/84/88/871888488.db2.gz LDZBUBFRABNZNK-KBPBESRZSA-N 1 2 305.426 1.846 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCOCC[N@H+](C)[C@@H](C)c1ncccn1 ZINC001316761212 872002354 /nfs/dbraw/zinc/00/23/54/872002354.db2.gz LVYHAQNVCYDOPL-KBPBESRZSA-N 1 2 319.409 1.104 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCOCC[N@@H+](C)[C@@H](C)c1ncccn1 ZINC001316761212 872002380 /nfs/dbraw/zinc/00/23/80/872002380.db2.gz LVYHAQNVCYDOPL-KBPBESRZSA-N 1 2 319.409 1.104 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(CC)C(=O)C[C@H]2CCCS2(=O)=O)C1 ZINC001318479567 872103961 /nfs/dbraw/zinc/10/39/61/872103961.db2.gz RKBMIOFMQMSLOE-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(CC)C(=O)C[C@H]2CCCS2(=O)=O)C1 ZINC001318479567 872103969 /nfs/dbraw/zinc/10/39/69/872103969.db2.gz RKBMIOFMQMSLOE-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C#CCN(C)c1nnc(C[NH+]2CCCC2)n1CCCOCC ZINC001342472713 872225460 /nfs/dbraw/zinc/22/54/60/872225460.db2.gz DIGPEHVKUADVFU-UHFFFAOYSA-N 1 2 305.426 1.370 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C2(CF)CCC2)C1 ZINC001316948357 872446978 /nfs/dbraw/zinc/44/69/78/872446978.db2.gz RIBBUZJWKMHIGV-CYBMUJFWSA-N 1 2 311.401 1.009 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2(CF)CCC2)C1 ZINC001316948357 872446999 /nfs/dbraw/zinc/44/69/99/872446999.db2.gz RIBBUZJWKMHIGV-CYBMUJFWSA-N 1 2 311.401 1.009 20 30 DDEDLO C#CCN(CC)c1nnc(C[NH+]2CCCC2)n1CCCOCC ZINC001343238038 872547604 /nfs/dbraw/zinc/54/76/04/872547604.db2.gz PXLVFRGOFGRURF-UHFFFAOYSA-N 1 2 319.453 1.760 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C[C@@H]2Cc3ccccc3O2)C1 ZINC001319307648 872557730 /nfs/dbraw/zinc/55/77/30/872557730.db2.gz AIHOOHKBQUHXQN-JKSUJKDBSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C[C@@H]2Cc3ccccc3O2)C1 ZINC001319307648 872557746 /nfs/dbraw/zinc/55/77/46/872557746.db2.gz AIHOOHKBQUHXQN-JKSUJKDBSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C(C)(C)[C@H]2CCCCO2)C1 ZINC001319311081 872560586 /nfs/dbraw/zinc/56/05/86/872560586.db2.gz SRZVPRBZTWUGGI-LSDHHAIUSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C(C)(C)[C@H]2CCCCO2)C1 ZINC001319311081 872560602 /nfs/dbraw/zinc/56/06/02/872560602.db2.gz SRZVPRBZTWUGGI-LSDHHAIUSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N1CCC2(COC2)C1 ZINC001343456121 872631700 /nfs/dbraw/zinc/63/17/00/872631700.db2.gz ARAGCLPTCKEPJT-AWEZNQCLSA-N 1 2 317.437 1.848 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CCC2(COC2)C1 ZINC001343456121 872631714 /nfs/dbraw/zinc/63/17/14/872631714.db2.gz ARAGCLPTCKEPJT-AWEZNQCLSA-N 1 2 317.437 1.848 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2c(C)coc2C)C1 ZINC001206920500 872767420 /nfs/dbraw/zinc/76/74/20/872767420.db2.gz SBOPKMMBENYOAQ-BXUZGUMPSA-N 1 2 319.405 1.249 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2c(C)coc2C)C1 ZINC001206920500 872767431 /nfs/dbraw/zinc/76/74/31/872767431.db2.gz SBOPKMMBENYOAQ-BXUZGUMPSA-N 1 2 319.405 1.249 20 30 DDEDLO C=CCN(CC[NH+]1CCN(CCF)CC1)C(=O)OC(C)(C)C ZINC001207203681 873120328 /nfs/dbraw/zinc/12/03/28/873120328.db2.gz NAKZMKWJHUJMDE-UHFFFAOYSA-N 1 2 315.433 1.997 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)NCc1c[nH+]c(C)cc1C ZINC001345397374 873387902 /nfs/dbraw/zinc/38/79/02/873387902.db2.gz JTLKXOPWDQAZLV-HNNXBMFYSA-N 1 2 301.390 1.882 20 30 DDEDLO C#CCCCCC(=O)NC1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001226951847 882740392 /nfs/dbraw/zinc/74/03/92/882740392.db2.gz KLUFSFYJIOAMGO-UHFFFAOYSA-N 1 2 302.422 1.694 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001378190362 874382439 /nfs/dbraw/zinc/38/24/39/874382439.db2.gz JTDPBSBDRNVUCN-GXTWGEPZSA-N 1 2 317.393 1.068 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001378190362 874382456 /nfs/dbraw/zinc/38/24/56/874382456.db2.gz JTDPBSBDRNVUCN-GXTWGEPZSA-N 1 2 317.393 1.068 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[N@H+](Cc2cncc(F)c2)CC1(C)C ZINC001381858655 882828008 /nfs/dbraw/zinc/82/80/08/882828008.db2.gz UMDHJOAMGCQBEI-RISCZKNCSA-N 1 2 304.369 1.707 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[N@@H+](Cc2cncc(F)c2)CC1(C)C ZINC001381858655 882828024 /nfs/dbraw/zinc/82/80/24/882828024.db2.gz UMDHJOAMGCQBEI-RISCZKNCSA-N 1 2 304.369 1.707 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001227124214 882856460 /nfs/dbraw/zinc/85/64/60/882856460.db2.gz PMPGQIPTZGFGGF-SUMWQHHRSA-N 1 2 318.421 1.445 20 30 DDEDLO CC(C)(C)C#CC(=O)NCCNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001348930779 874880212 /nfs/dbraw/zinc/88/02/12/874880212.db2.gz BKIIMFHPZVDICG-LBPRGKRZSA-N 1 2 316.405 1.112 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCCNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001349237855 875053441 /nfs/dbraw/zinc/05/34/41/875053441.db2.gz ZIUWPQSSAWJHJA-UONOGXRCSA-N 1 2 318.421 1.280 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@H](O)C(C)C)CC1 ZINC001227173734 882890160 /nfs/dbraw/zinc/89/01/60/882890160.db2.gz CKOYHBUYBSDIMV-GFCCVEGCSA-N 1 2 319.243 1.493 20 30 DDEDLO Cc1nc(C[NH+]2CCC(NC(=O)C#CC3CC3)CC2)oc1C ZINC001227232771 882923187 /nfs/dbraw/zinc/92/31/87/882923187.db2.gz KMBHOFXJLSUGQK-UHFFFAOYSA-N 1 2 301.390 1.785 20 30 DDEDLO CC(C)C#CC(=O)NC1CC[NH+]([C@H](C)c2ncccn2)CC1 ZINC001227265359 882942110 /nfs/dbraw/zinc/94/21/10/882942110.db2.gz ILZJXRYOEFWDGC-CQSZACIVSA-N 1 2 300.406 1.778 20 30 DDEDLO O=C(C#CC1CC1)NC1CC[NH+](Cc2cc3n(n2)CCC3)CC1 ZINC001227299110 882960695 /nfs/dbraw/zinc/96/06/95/882960695.db2.gz KWTFTLKYAGNBAI-UHFFFAOYSA-N 1 2 312.417 1.323 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccns2)C[C@H]1OC ZINC001213310478 875894597 /nfs/dbraw/zinc/89/45/97/875894597.db2.gz PFJNNYUMBZQYFW-HUUCEWRRSA-N 1 2 321.446 1.652 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccns2)C[C@H]1OC ZINC001213310478 875894611 /nfs/dbraw/zinc/89/46/11/875894611.db2.gz PFJNNYUMBZQYFW-HUUCEWRRSA-N 1 2 321.446 1.652 20 30 DDEDLO C=CCn1c([C@@H]2CCC[N@H+]2C)nnc1N1CCC[C@@H](C#N)C1 ZINC001351948409 876529410 /nfs/dbraw/zinc/52/94/10/876529410.db2.gz FOZOXTONYQCZFI-KBPBESRZSA-N 1 2 300.410 1.971 20 30 DDEDLO C=CCn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CCC[C@@H](C#N)C1 ZINC001351948409 876529417 /nfs/dbraw/zinc/52/94/17/876529417.db2.gz FOZOXTONYQCZFI-KBPBESRZSA-N 1 2 300.410 1.971 20 30 DDEDLO CC[C@@H](C)CC(=O)NCCC[NH+]1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC001352652100 876851450 /nfs/dbraw/zinc/85/14/50/876851450.db2.gz GXUMCZYOTLLJAY-CABCVRRESA-N 1 2 322.453 1.233 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@H](CC#N)c1ccccc1 ZINC001362117000 883081831 /nfs/dbraw/zinc/08/18/31/883081831.db2.gz UPMFERDUVKGPDP-RDJZCZTQSA-N 1 2 314.433 1.436 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001352810764 876945413 /nfs/dbraw/zinc/94/54/13/876945413.db2.gz LAPHVBVCSFWWBK-LSDHHAIUSA-N 1 2 316.405 1.355 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001352874520 876983665 /nfs/dbraw/zinc/98/36/65/876983665.db2.gz SDMJUFGGEUAAOF-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO CC(C)C#CC(=O)NCC1CC([NH2+]Cc2noc(C(C)C)n2)C1 ZINC001100309428 877326140 /nfs/dbraw/zinc/32/61/40/877326140.db2.gz VCTPKRVPSFACCA-UHFFFAOYSA-N 1 2 318.421 1.837 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219208876 878018989 /nfs/dbraw/zinc/01/89/89/878018989.db2.gz SYAWBMQBAPBXDK-XYPHTWIQSA-N 1 2 318.392 1.385 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219208876 878019002 /nfs/dbraw/zinc/01/90/02/878019002.db2.gz SYAWBMQBAPBXDK-XYPHTWIQSA-N 1 2 318.392 1.385 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001355045378 878394387 /nfs/dbraw/zinc/39/43/87/878394387.db2.gz HYPYSPHMZSUNRB-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccc(OC)nc2)C[C@@H]1O ZINC001219716082 878474717 /nfs/dbraw/zinc/47/47/17/878474717.db2.gz ZXUKWXJOGFKYMY-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(OC)nc2)C[C@@H]1O ZINC001219716082 878474725 /nfs/dbraw/zinc/47/47/25/878474725.db2.gz ZXUKWXJOGFKYMY-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1CC[C@@H](COC)C1 ZINC001355942802 878787932 /nfs/dbraw/zinc/78/79/32/878787932.db2.gz XWZBJBYBJCBGBL-OAHLLOKOSA-N 1 2 319.453 1.923 20 30 DDEDLO C=CCCC1(C(=O)N2CC(NC(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC001356787183 879356721 /nfs/dbraw/zinc/35/67/21/879356721.db2.gz PFMBIAAXFFFFHG-UHFFFAOYSA-N 1 2 302.378 1.026 20 30 DDEDLO C=CCCCC(=O)N1CC(NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001356793818 879369618 /nfs/dbraw/zinc/36/96/18/879369618.db2.gz GIRQHOQWKPUWSH-ZIAGYGMSSA-N 1 2 316.405 1.207 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H]3CC=CCC3)[C@@H]2C1 ZINC001221256391 879622974 /nfs/dbraw/zinc/62/29/74/879622974.db2.gz WSBAWURUTRWEPR-ARFHVFGLSA-N 1 2 317.433 1.178 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3CC=CCC3)[C@@H]2C1 ZINC001221256391 879622980 /nfs/dbraw/zinc/62/29/80/879622980.db2.gz WSBAWURUTRWEPR-ARFHVFGLSA-N 1 2 317.433 1.178 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccc(OC)nn3)C[C@H]21 ZINC001221424986 879780542 /nfs/dbraw/zinc/78/05/42/879780542.db2.gz UDJGOKCHDHYEOZ-UKRRQHHQSA-N 1 2 316.405 1.484 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3ccc(OC)nn3)C[C@H]21 ZINC001221424986 879780550 /nfs/dbraw/zinc/78/05/50/879780550.db2.gz UDJGOKCHDHYEOZ-UKRRQHHQSA-N 1 2 316.405 1.484 20 30 DDEDLO CCS(=O)(=O)C1CC[NH+](C[C@@H](O)c2cccc(C#N)c2)CC1 ZINC001413984231 880477970 /nfs/dbraw/zinc/47/79/70/880477970.db2.gz GDNNPQURUSKDEM-MRXNPFEDSA-N 1 2 322.430 1.491 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001358607475 880617446 /nfs/dbraw/zinc/61/74/46/880617446.db2.gz FUWGQXIHFKRLCJ-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001358607475 880617452 /nfs/dbraw/zinc/61/74/52/880617452.db2.gz FUWGQXIHFKRLCJ-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nnc([C@@H](C)[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)s1 ZINC001222641992 880617703 /nfs/dbraw/zinc/61/77/03/880617703.db2.gz WLYKIQYWHLVWIL-GHMZBOCLSA-N 1 2 321.450 1.895 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]2CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001358619927 880630702 /nfs/dbraw/zinc/63/07/02/880630702.db2.gz MWPBMXSWHFVDPG-AWEZNQCLSA-N 1 2 316.405 1.347 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001358662616 880722632 /nfs/dbraw/zinc/72/26/32/880722632.db2.gz CPFCCRNEJBEXGZ-UHFFFAOYSA-N 1 2 304.394 1.250 20 30 DDEDLO N#Cc1cc(Cl)cc(C[N@@H+]2CC[C@H]3OCC(=O)N[C@@H]3C2)c1 ZINC001414001777 880750639 /nfs/dbraw/zinc/75/06/39/880750639.db2.gz YMEGQSKAGFPLLO-ZIAGYGMSSA-N 1 2 305.765 1.301 20 30 DDEDLO N#Cc1cc(Cl)cc(C[N@H+]2CC[C@H]3OCC(=O)N[C@@H]3C2)c1 ZINC001414001777 880750656 /nfs/dbraw/zinc/75/06/56/880750656.db2.gz YMEGQSKAGFPLLO-ZIAGYGMSSA-N 1 2 305.765 1.301 20 30 DDEDLO Cc1nnc([C@H]2CC[N@H+](CC(=O)NCc3ccc(C#N)cc3)C2)[nH]1 ZINC001414002616 880816143 /nfs/dbraw/zinc/81/61/43/880816143.db2.gz YNMQLXSAKZSCTK-HNNXBMFYSA-N 1 2 324.388 1.091 20 30 DDEDLO Cc1nnc([C@H]2CC[N@@H+](CC(=O)NCc3ccc(C#N)cc3)C2)[nH]1 ZINC001414002616 880816159 /nfs/dbraw/zinc/81/61/59/880816159.db2.gz YNMQLXSAKZSCTK-HNNXBMFYSA-N 1 2 324.388 1.091 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1nncc2ccccc21 ZINC001276884443 880934053 /nfs/dbraw/zinc/93/40/53/880934053.db2.gz SLSPDKOUFMTLCL-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1nncc2ccccc21 ZINC001276884443 880934061 /nfs/dbraw/zinc/93/40/61/880934061.db2.gz SLSPDKOUFMTLCL-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@](C)(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001358803412 880940808 /nfs/dbraw/zinc/94/08/08/880940808.db2.gz AOTCFFCUPZDQDF-SUMWQHHRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1C ZINC001276899259 880999706 /nfs/dbraw/zinc/99/97/06/880999706.db2.gz OACMHFAIZPBCCL-RBSFLKMASA-N 1 2 307.438 1.258 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1C ZINC001276899259 880999715 /nfs/dbraw/zinc/99/97/15/880999715.db2.gz OACMHFAIZPBCCL-RBSFLKMASA-N 1 2 307.438 1.258 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@H+](Cc2ncccn2)CC1(C)C ZINC001381127992 881083342 /nfs/dbraw/zinc/08/33/42/881083342.db2.gz HFXATASSXQWIKO-STQMWFEESA-N 1 2 301.394 1.353 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@@H+](Cc2ncccn2)CC1(C)C ZINC001381127992 881083359 /nfs/dbraw/zinc/08/33/59/881083359.db2.gz HFXATASSXQWIKO-STQMWFEESA-N 1 2 301.394 1.353 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)C2(C)CC(=C)C2)C1=O ZINC001276923525 881109561 /nfs/dbraw/zinc/10/95/61/881109561.db2.gz JQSUDYBEECCYMK-CABCVRRESA-N 1 2 317.433 1.320 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)C2(C)CC(=C)C2)C1=O ZINC001276923525 881109564 /nfs/dbraw/zinc/10/95/64/881109564.db2.gz JQSUDYBEECCYMK-CABCVRRESA-N 1 2 317.433 1.320 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2CC[N@H+](Cc3ccon3)[C@H]2C1 ZINC001224271592 881302875 /nfs/dbraw/zinc/30/28/75/881302875.db2.gz QUNFMNIJCKQHKZ-ZBFHGGJFSA-N 1 2 319.405 1.690 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2CC[N@@H+](Cc3ccon3)[C@H]2C1 ZINC001224271592 881302890 /nfs/dbraw/zinc/30/28/90/881302890.db2.gz QUNFMNIJCKQHKZ-ZBFHGGJFSA-N 1 2 319.405 1.690 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001288048013 912691940 /nfs/dbraw/zinc/69/19/40/912691940.db2.gz SWHMYYVZTBHBCB-ZIAGYGMSSA-N 1 2 318.421 1.496 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)C[C@@H]2CCOC2)CC1 ZINC001277388128 883988924 /nfs/dbraw/zinc/98/89/24/883988924.db2.gz GLMGFFZYNYRPLY-HNNXBMFYSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)C[C@@H]2CCOC2)CC1 ZINC001277388128 883988938 /nfs/dbraw/zinc/98/89/38/883988938.db2.gz GLMGFFZYNYRPLY-HNNXBMFYSA-N 1 2 305.422 1.640 20 30 DDEDLO COC(=O)c1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c(C)cc1F ZINC001230036869 884292510 /nfs/dbraw/zinc/29/25/10/884292510.db2.gz MLLXHXIJVKOSEM-KIMJBNCKSA-N 1 2 321.348 1.912 20 30 DDEDLO COC(=O)c1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c(C)cc1F ZINC001230036869 884292532 /nfs/dbraw/zinc/29/25/32/884292532.db2.gz MLLXHXIJVKOSEM-KIMJBNCKSA-N 1 2 321.348 1.912 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1ccc(Cl)c(C#N)c1 ZINC001362720561 884482301 /nfs/dbraw/zinc/48/23/01/884482301.db2.gz RPUUXNMSNQXKIT-GFCCVEGCSA-N 1 2 304.737 1.409 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1CC1 ZINC001230584327 884618941 /nfs/dbraw/zinc/61/89/41/884618941.db2.gz LLFJPAGWAUOGSE-OAHLLOKOSA-N 1 2 320.437 1.081 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC1CC1 ZINC001230584327 884618945 /nfs/dbraw/zinc/61/89/45/884618945.db2.gz LLFJPAGWAUOGSE-OAHLLOKOSA-N 1 2 320.437 1.081 20 30 DDEDLO C=CCCC(=O)NCC1=CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001288381441 912870807 /nfs/dbraw/zinc/87/08/07/912870807.db2.gz SCDSLFLEXZBLQM-UHFFFAOYSA-N 1 2 316.405 1.514 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001231094021 885214874 /nfs/dbraw/zinc/21/48/74/885214874.db2.gz DVEFCYRBAUUMPZ-ZBFHGGJFSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001231094021 885214893 /nfs/dbraw/zinc/21/48/93/885214893.db2.gz DVEFCYRBAUUMPZ-ZBFHGGJFSA-N 1 2 320.437 1.434 20 30 DDEDLO CC[C@@H](OC)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231103819 885228665 /nfs/dbraw/zinc/22/86/65/885228665.db2.gz SPELVCTYGXQVCE-QZTJIDSGSA-N 1 2 314.429 1.996 20 30 DDEDLO CC[C@@H](OC)C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231103819 885228682 /nfs/dbraw/zinc/22/86/82/885228682.db2.gz SPELVCTYGXQVCE-QZTJIDSGSA-N 1 2 314.429 1.996 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCCCCC1 ZINC001231248536 885434016 /nfs/dbraw/zinc/43/40/16/885434016.db2.gz WJJFAIKZOPEIRQ-HUUCEWRRSA-N 1 2 320.437 1.081 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCCCCC1 ZINC001231248536 885434030 /nfs/dbraw/zinc/43/40/30/885434030.db2.gz WJJFAIKZOPEIRQ-HUUCEWRRSA-N 1 2 320.437 1.081 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C/C(C)(C)C ZINC001231254161 885435938 /nfs/dbraw/zinc/43/59/38/885435938.db2.gz DRGCCINTCVMFTH-AZHOBDGFSA-N 1 2 319.449 1.259 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C/C(C)(C)C ZINC001231254161 885435957 /nfs/dbraw/zinc/43/59/57/885435957.db2.gz DRGCCINTCVMFTH-AZHOBDGFSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C/C(C)(C)C ZINC001231281239 885465068 /nfs/dbraw/zinc/46/50/68/885465068.db2.gz GMSDBIBRKZMALC-RCQQVGEISA-N 1 2 307.438 1.424 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C/C(C)(C)C ZINC001231281239 885465087 /nfs/dbraw/zinc/46/50/87/885465087.db2.gz GMSDBIBRKZMALC-RCQQVGEISA-N 1 2 307.438 1.424 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCC[C@@H](NCC#N)C(C)C)ccn12 ZINC001277569816 885887256 /nfs/dbraw/zinc/88/72/56/885887256.db2.gz JAONFJRLECTLIR-OAHLLOKOSA-N 1 2 313.405 1.900 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCN(c3cccc(C#N)c3)CC2)[nH]1 ZINC001232078348 886074775 /nfs/dbraw/zinc/07/47/75/886074775.db2.gz RGHGQESXGLXHPQ-UHFFFAOYSA-N 1 2 324.384 1.995 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(C#N)ccc2O)C1 ZINC001232680648 886533735 /nfs/dbraw/zinc/53/37/35/886533735.db2.gz FYTYNCMWIORIQL-CQSZACIVSA-N 1 2 302.378 1.499 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C#N)ccc2O)C1 ZINC001232680648 886533751 /nfs/dbraw/zinc/53/37/51/886533751.db2.gz FYTYNCMWIORIQL-CQSZACIVSA-N 1 2 302.378 1.499 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2ccc(C#N)cc2C)CCN1C(C)=O ZINC001233083489 886780414 /nfs/dbraw/zinc/78/04/14/886780414.db2.gz NDSJXDJGLKNQDH-MRXNPFEDSA-N 1 2 315.373 1.072 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2ccc(C#N)cc2C)CCN1C(C)=O ZINC001233083489 886780421 /nfs/dbraw/zinc/78/04/21/886780421.db2.gz NDSJXDJGLKNQDH-MRXNPFEDSA-N 1 2 315.373 1.072 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(c3nccnc3C#N)CC2)cc1O ZINC001233234182 886865664 /nfs/dbraw/zinc/86/56/64/886865664.db2.gz MDRQDRHQASJJTG-UHFFFAOYSA-N 1 2 309.373 1.685 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1C ZINC001233488792 887031304 /nfs/dbraw/zinc/03/13/04/887031304.db2.gz HKXQNSQDHGKIEE-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1C ZINC001233488792 887031310 /nfs/dbraw/zinc/03/13/10/887031310.db2.gz HKXQNSQDHGKIEE-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1C ZINC001233528882 887077298 /nfs/dbraw/zinc/07/72/98/887077298.db2.gz CWULBYUHIZBMMO-OAHLLOKOSA-N 1 2 301.390 1.186 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1C ZINC001233528882 887077310 /nfs/dbraw/zinc/07/73/10/887077310.db2.gz CWULBYUHIZBMMO-OAHLLOKOSA-N 1 2 301.390 1.186 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H]1CCN(CC=C)C1=O ZINC001233947346 887486829 /nfs/dbraw/zinc/48/68/29/887486829.db2.gz KSXIVDYTXURBMS-HZPDHXFCSA-N 1 2 317.433 1.110 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H]1CCN(CC=C)C1=O ZINC001233947346 887486837 /nfs/dbraw/zinc/48/68/37/887486837.db2.gz KSXIVDYTXURBMS-HZPDHXFCSA-N 1 2 317.433 1.110 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)ns1 ZINC001233976540 887514757 /nfs/dbraw/zinc/51/47/57/887514757.db2.gz GLYDWODYJBHYOC-XJKSGUPXSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)ns1 ZINC001233976540 887514760 /nfs/dbraw/zinc/51/47/60/887514760.db2.gz GLYDWODYJBHYOC-XJKSGUPXSA-N 1 2 323.462 1.811 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)CCCNC(=O)CSCC#N)n1 ZINC001234162321 887699280 /nfs/dbraw/zinc/69/92/80/887699280.db2.gz NJYUICVRPNPZOI-JTQLQIEISA-N 1 2 311.411 1.134 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)CCCNC(=O)CSCC#N)n1 ZINC001234162321 887699282 /nfs/dbraw/zinc/69/92/82/887699282.db2.gz NJYUICVRPNPZOI-JTQLQIEISA-N 1 2 311.411 1.134 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196819 887739413 /nfs/dbraw/zinc/73/94/13/887739413.db2.gz VUUYBIVFUNHLGP-HOCLYGCPSA-N 1 2 319.449 1.093 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196819 887739424 /nfs/dbraw/zinc/73/94/24/887739424.db2.gz VUUYBIVFUNHLGP-HOCLYGCPSA-N 1 2 319.449 1.093 20 30 DDEDLO CCCC[C@H](C(N)=O)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214748 887752913 /nfs/dbraw/zinc/75/29/13/887752913.db2.gz DSVPWXCUXRDSSG-HUUCEWRRSA-N 1 2 321.465 1.613 20 30 DDEDLO CCCC[C@H](C(N)=O)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214748 887752928 /nfs/dbraw/zinc/75/29/28/887752928.db2.gz DSVPWXCUXRDSSG-HUUCEWRRSA-N 1 2 321.465 1.613 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)ns1 ZINC001234267113 887805281 /nfs/dbraw/zinc/80/52/81/887805281.db2.gz WKEVESHPBITVTK-ZIAGYGMSSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)ns1 ZINC001234267113 887805290 /nfs/dbraw/zinc/80/52/90/887805290.db2.gz WKEVESHPBITVTK-ZIAGYGMSSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cncs1 ZINC001234266409 887805438 /nfs/dbraw/zinc/80/54/38/887805438.db2.gz PUQDZJXRILUCMB-STQMWFEESA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cncs1 ZINC001234266409 887805445 /nfs/dbraw/zinc/80/54/45/887805445.db2.gz PUQDZJXRILUCMB-STQMWFEESA-N 1 2 307.419 1.214 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2ccc(F)c(C#N)c2)c[nH+]1 ZINC001364135098 888000053 /nfs/dbraw/zinc/00/00/53/888000053.db2.gz UUXIDSFRPSQURQ-UHFFFAOYSA-N 1 2 308.338 1.461 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)c2ccc(F)c(C#N)c2)[nH+]1 ZINC001364135098 888000061 /nfs/dbraw/zinc/00/00/61/888000061.db2.gz UUXIDSFRPSQURQ-UHFFFAOYSA-N 1 2 308.338 1.461 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NCC ZINC001234509478 888037756 /nfs/dbraw/zinc/03/77/56/888037756.db2.gz MGAWNXQQHQGNEC-OAHLLOKOSA-N 1 2 307.438 1.424 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NCC ZINC001234509478 888037764 /nfs/dbraw/zinc/03/77/64/888037764.db2.gz MGAWNXQQHQGNEC-OAHLLOKOSA-N 1 2 307.438 1.424 20 30 DDEDLO Cc1cc(N(CC[NH+]2CCOCC2)CC2CC2)ncc1C#N ZINC001364227804 888179222 /nfs/dbraw/zinc/17/92/22/888179222.db2.gz PIWNBEHNUUZKCT-UHFFFAOYSA-N 1 2 300.406 1.810 20 30 DDEDLO CC(=O)n1ncc2cc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@H+]4C)ccc21 ZINC001234867663 888231521 /nfs/dbraw/zinc/23/15/21/888231521.db2.gz LKASAEYLCAIALP-WLARWPFNSA-N 1 2 313.357 1.688 20 30 DDEDLO CC(=O)n1ncc2cc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@@H+]4C)ccc21 ZINC001234867663 888231540 /nfs/dbraw/zinc/23/15/40/888231540.db2.gz LKASAEYLCAIALP-WLARWPFNSA-N 1 2 313.357 1.688 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NC[C@H](C)[NH+]1CCN(C)CC1 ZINC001364315453 888363427 /nfs/dbraw/zinc/36/34/27/888363427.db2.gz DBHROZNRRUKETL-ZDUSSCGKSA-N 1 2 315.421 1.624 20 30 DDEDLO CC(C)[N@H+](CCS(C)(=O)=O)C[C@H](O)c1cccc(C#N)c1 ZINC001364359320 888452798 /nfs/dbraw/zinc/45/27/98/888452798.db2.gz NJMMFWYWOVHNQP-HNNXBMFYSA-N 1 2 310.419 1.347 20 30 DDEDLO CC(C)[N@@H+](CCS(C)(=O)=O)C[C@H](O)c1cccc(C#N)c1 ZINC001364359320 888452803 /nfs/dbraw/zinc/45/28/03/888452803.db2.gz NJMMFWYWOVHNQP-HNNXBMFYSA-N 1 2 310.419 1.347 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#CCOC)CCC1 ZINC001235208758 888478941 /nfs/dbraw/zinc/47/89/41/888478941.db2.gz GIMPIHSXXMDTEG-INIZCTEOSA-N 1 2 302.418 1.363 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#CCOC)CCC1 ZINC001235208758 888478952 /nfs/dbraw/zinc/47/89/52/888478952.db2.gz GIMPIHSXXMDTEG-INIZCTEOSA-N 1 2 302.418 1.363 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2CC(=O)NC(C)C)CCC1 ZINC001235210720 888481348 /nfs/dbraw/zinc/48/13/48/888481348.db2.gz VLDGCDVLPQMJBD-HNNXBMFYSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC(=O)NC(C)C)CCC1 ZINC001235210720 888481352 /nfs/dbraw/zinc/48/13/52/888481352.db2.gz VLDGCDVLPQMJBD-HNNXBMFYSA-N 1 2 319.449 1.237 20 30 DDEDLO COC(=O)CC[C@@H]1COCC[N@@H+]1Cc1ccccc1CC#N ZINC001235529361 888714773 /nfs/dbraw/zinc/71/47/73/888714773.db2.gz ZCUXJQQRVFGUEP-MRXNPFEDSA-N 1 2 302.374 1.907 20 30 DDEDLO COC(=O)CC[C@@H]1COCC[N@H+]1Cc1ccccc1CC#N ZINC001235529361 888714790 /nfs/dbraw/zinc/71/47/90/888714790.db2.gz ZCUXJQQRVFGUEP-MRXNPFEDSA-N 1 2 302.374 1.907 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1(C2CC2)CC1 ZINC001235700401 888900927 /nfs/dbraw/zinc/90/09/27/888900927.db2.gz KMJDLDZJUKMOAE-DZGCQCFKSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1(C2CC2)CC1 ZINC001235700401 888900936 /nfs/dbraw/zinc/90/09/36/888900936.db2.gz KMJDLDZJUKMOAE-DZGCQCFKSA-N 1 2 319.449 1.400 20 30 DDEDLO C#CCN(C(=O)C(C)C)C1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001278121775 889757827 /nfs/dbraw/zinc/75/78/27/889757827.db2.gz MMLGPWZWXCRATH-UHFFFAOYSA-N 1 2 317.437 1.380 20 30 DDEDLO CC(C)CC[N@@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278177418 889978458 /nfs/dbraw/zinc/97/84/58/889978458.db2.gz AGPRAQDRJBQSJF-UHFFFAOYSA-N 1 2 315.421 1.800 20 30 DDEDLO CC(C)CC[N@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278177418 889978464 /nfs/dbraw/zinc/97/84/64/889978464.db2.gz AGPRAQDRJBQSJF-UHFFFAOYSA-N 1 2 315.421 1.800 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCN3CCOC[C@]3(CO)C2)c(Cl)c1 ZINC001365338421 890617656 /nfs/dbraw/zinc/61/76/56/890617656.db2.gz NAKSXTZLJVITLI-MRXNPFEDSA-N 1 2 321.808 1.091 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCN3CCOC[C@]3(CO)C2)c(Cl)c1 ZINC001365338421 890617662 /nfs/dbraw/zinc/61/76/62/890617662.db2.gz NAKSXTZLJVITLI-MRXNPFEDSA-N 1 2 321.808 1.091 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CC[C@@](C)(NC(=O)CC)C2)c1 ZINC001278350466 891468025 /nfs/dbraw/zinc/46/80/25/891468025.db2.gz WWWGBIZBNFWXSU-GOSISDBHSA-N 1 2 313.401 1.597 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CC[C@@](C)(NC(=O)CC)C2)c1 ZINC001278350466 891468028 /nfs/dbraw/zinc/46/80/28/891468028.db2.gz WWWGBIZBNFWXSU-GOSISDBHSA-N 1 2 313.401 1.597 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]([NH2+]Cc2nc(C3CC3)no2)C[C@@H]1C ZINC001278354345 891543940 /nfs/dbraw/zinc/54/39/40/891543940.db2.gz BJCRJSUUGRPBTB-WCQYABFASA-N 1 2 320.393 1.229 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH]c[nH+]1)c1nc(-c2ccc(C#N)cn2)no1 ZINC001247126368 893112905 /nfs/dbraw/zinc/11/29/05/893112905.db2.gz GANQOHVASHZUPK-CYBMUJFWSA-N 1 2 323.316 1.146 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH+]c[nH]1)c1nc(-c2ccc(C#N)cn2)no1 ZINC001247126368 893112917 /nfs/dbraw/zinc/11/29/17/893112917.db2.gz GANQOHVASHZUPK-CYBMUJFWSA-N 1 2 323.316 1.146 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H]1CCCc2nn(C)cc21 ZINC001366559047 894029194 /nfs/dbraw/zinc/02/91/94/894029194.db2.gz ADOKXRGJQAJJOT-GFCCVEGCSA-N 1 2 310.829 1.641 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H]1CCCc2nn(C)cc21 ZINC001366559047 894029212 /nfs/dbraw/zinc/02/92/12/894029212.db2.gz ADOKXRGJQAJJOT-GFCCVEGCSA-N 1 2 310.829 1.641 20 30 DDEDLO C[C@H]1C[NH+](C2CN(Cc3cccc(C#N)c3F)C2)C[C@H](C)O1 ZINC001249694121 894106224 /nfs/dbraw/zinc/10/62/24/894106224.db2.gz XNTIAIJOSZGARW-STQMWFEESA-N 1 2 303.381 1.991 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cc(C(N)=O)cs1 ZINC001366596099 894184672 /nfs/dbraw/zinc/18/46/72/894184672.db2.gz PGLNESAAYUIHRM-UHFFFAOYSA-N 1 2 301.799 1.261 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cc(C(N)=O)cs1 ZINC001366596099 894184676 /nfs/dbraw/zinc/18/46/76/894184676.db2.gz PGLNESAAYUIHRM-UHFFFAOYSA-N 1 2 301.799 1.261 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCc2cn(C)nn2)C1 ZINC001366904827 895294583 /nfs/dbraw/zinc/29/45/83/895294583.db2.gz SKGRNXLHCAGKEX-GFCCVEGCSA-N 1 2 311.817 1.081 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCc2cn(C)nn2)C1 ZINC001366904827 895294602 /nfs/dbraw/zinc/29/46/02/895294602.db2.gz SKGRNXLHCAGKEX-GFCCVEGCSA-N 1 2 311.817 1.081 20 30 DDEDLO C#CCOCC[N@@H+](C)[C@H](C)C(=O)Nc1ccc(C(C)=O)cc1 ZINC001253749851 896059538 /nfs/dbraw/zinc/05/95/38/896059538.db2.gz MXMUSGPLGDXRKM-CYBMUJFWSA-N 1 2 302.374 1.798 20 30 DDEDLO C#CCOCC[N@H+](C)[C@H](C)C(=O)Nc1ccc(C(C)=O)cc1 ZINC001253749851 896059549 /nfs/dbraw/zinc/05/95/49/896059549.db2.gz MXMUSGPLGDXRKM-CYBMUJFWSA-N 1 2 302.374 1.798 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@H+](Cc2cscn2)C1 ZINC001278800838 896547788 /nfs/dbraw/zinc/54/77/88/896547788.db2.gz QKAOKIQRYLWHFY-HNNXBMFYSA-N 1 2 309.435 1.552 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2cscn2)C1 ZINC001278800838 896547804 /nfs/dbraw/zinc/54/78/04/896547804.db2.gz QKAOKIQRYLWHFY-HNNXBMFYSA-N 1 2 309.435 1.552 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)CC[C@]1(C)CCC(=O)N1 ZINC001367552969 897145231 /nfs/dbraw/zinc/14/52/31/897145231.db2.gz ILCVLXPQJMXIBU-HNNXBMFYSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)CC[C@]1(C)CCC(=O)N1 ZINC001367552969 897145234 /nfs/dbraw/zinc/14/52/34/897145234.db2.gz ILCVLXPQJMXIBU-HNNXBMFYSA-N 1 2 315.845 1.578 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)[C@@H]1CC1(C)C)C(C)C ZINC001278904927 897215211 /nfs/dbraw/zinc/21/52/11/897215211.db2.gz ZRWZVFJJVVGJSM-AWEZNQCLSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)[C@@H]1CC1(C)C)C(C)C ZINC001278904927 897215227 /nfs/dbraw/zinc/21/52/27/897215227.db2.gz ZRWZVFJJVVGJSM-AWEZNQCLSA-N 1 2 309.454 1.504 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@@H](C)c2cccc(Cl)c2)C1 ZINC001278932303 897401220 /nfs/dbraw/zinc/40/12/20/897401220.db2.gz QFDIPFMCMAUHHS-ZDUSSCGKSA-N 1 2 320.820 1.630 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N2CCc3[nH+]ccn3CC2)ccc1F ZINC001259416443 898657739 /nfs/dbraw/zinc/65/77/39/898657739.db2.gz SZLGUEXKWIXRIY-UHFFFAOYSA-N 1 2 320.349 1.141 20 30 DDEDLO CCO[C@@H]1C[C@H]1C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001390737833 900058366 /nfs/dbraw/zinc/05/83/66/900058366.db2.gz NZAQLLITTMOCJF-GDBMZVCRSA-N 1 2 319.380 1.670 20 30 DDEDLO CCO[C@@H]1C[C@H]1C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001390737833 900058372 /nfs/dbraw/zinc/05/83/72/900058372.db2.gz NZAQLLITTMOCJF-GDBMZVCRSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@H](C#N)C(=O)NC1(CNC(=O)CCc2[nH]cc[nH+]2)CCCC1 ZINC001390770333 900143889 /nfs/dbraw/zinc/14/38/89/900143889.db2.gz KEQXXOTXMZAVAK-GFCCVEGCSA-N 1 2 317.393 1.047 20 30 DDEDLO C=CC[C@@H]1CCN1C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC001262774647 900367574 /nfs/dbraw/zinc/36/75/74/900367574.db2.gz IMXVUXZSULJNBU-GFCCVEGCSA-N 1 2 302.378 1.572 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)C1CCN(C(C)=O)CC1 ZINC001390864196 900391925 /nfs/dbraw/zinc/39/19/25/900391925.db2.gz NEZIGEFZIRNHKE-UHFFFAOYSA-N 1 2 301.818 1.045 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)C1CCN(C(C)=O)CC1 ZINC001390864196 900391938 /nfs/dbraw/zinc/39/19/38/900391938.db2.gz NEZIGEFZIRNHKE-UHFFFAOYSA-N 1 2 301.818 1.045 20 30 DDEDLO CC[N@H+](CCNC(=O)c1cc(C#N)c[nH]1)Cc1nc(C)c(C)o1 ZINC001390896378 900463251 /nfs/dbraw/zinc/46/32/51/900463251.db2.gz AGPGRUDOBPCNQJ-UHFFFAOYSA-N 1 2 315.377 1.743 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1cc(C#N)c[nH]1)Cc1nc(C)c(C)o1 ZINC001390896378 900463261 /nfs/dbraw/zinc/46/32/61/900463261.db2.gz AGPGRUDOBPCNQJ-UHFFFAOYSA-N 1 2 315.377 1.743 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@H+](Cc1cc2n(n1)CCCC2)C1CC1 ZINC001391153199 901073482 /nfs/dbraw/zinc/07/34/82/901073482.db2.gz GEPDHVHUIISWDT-CYBMUJFWSA-N 1 2 315.421 1.460 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@@H+](Cc1cc2n(n1)CCCC2)C1CC1 ZINC001391153199 901073490 /nfs/dbraw/zinc/07/34/90/901073490.db2.gz GEPDHVHUIISWDT-CYBMUJFWSA-N 1 2 315.421 1.460 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+](Cc2coc(C)n2)[C@@H]1C ZINC001264734832 901314158 /nfs/dbraw/zinc/31/41/58/901314158.db2.gz FXTQHMRASZGQBZ-DOMZBBRYSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2coc(C)n2)[C@@H]1C ZINC001264734832 901314168 /nfs/dbraw/zinc/31/41/68/901314168.db2.gz FXTQHMRASZGQBZ-DOMZBBRYSA-N 1 2 307.394 1.655 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CCC)C(=O)c2cn(C)nn2)C1 ZINC001391283046 901384452 /nfs/dbraw/zinc/38/44/52/901384452.db2.gz QZYJRLGZMFCSIJ-GFCCVEGCSA-N 1 2 311.817 1.494 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CCC)C(=O)c2cn(C)nn2)C1 ZINC001391283046 901384464 /nfs/dbraw/zinc/38/44/64/901384464.db2.gz QZYJRLGZMFCSIJ-GFCCVEGCSA-N 1 2 311.817 1.494 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](CC(=O)NCC2CCCCC2)C1 ZINC001265275147 901805146 /nfs/dbraw/zinc/80/51/46/901805146.db2.gz MBSWTQUOCYLGIO-INIZCTEOSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC2CCCCC2)C1 ZINC001265275147 901805151 /nfs/dbraw/zinc/80/51/51/901805151.db2.gz MBSWTQUOCYLGIO-INIZCTEOSA-N 1 2 321.465 1.840 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@H+](CC(=O)N2CCC[C@H](C)C2)C1 ZINC001265280490 901814874 /nfs/dbraw/zinc/81/48/74/901814874.db2.gz CEYLYTHTMGWIHX-HOTGVXAUSA-N 1 2 319.449 1.095 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@@H+](CC(=O)N2CCC[C@H](C)C2)C1 ZINC001265280490 901814884 /nfs/dbraw/zinc/81/48/84/901814884.db2.gz CEYLYTHTMGWIHX-HOTGVXAUSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cc3n(n2)CCC3)C1 ZINC001265297790 901846363 /nfs/dbraw/zinc/84/63/63/901846363.db2.gz XWNWKQJVXMGSTK-ZDUSSCGKSA-N 1 2 302.422 1.732 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cc3n(n2)CCC3)C1 ZINC001265297790 901846381 /nfs/dbraw/zinc/84/63/81/901846381.db2.gz XWNWKQJVXMGSTK-ZDUSSCGKSA-N 1 2 302.422 1.732 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001265301407 901853824 /nfs/dbraw/zinc/85/38/24/901853824.db2.gz JZSOZYBAYJGQEY-HNNXBMFYSA-N 1 2 307.438 1.592 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001265301407 901853835 /nfs/dbraw/zinc/85/38/35/901853835.db2.gz JZSOZYBAYJGQEY-HNNXBMFYSA-N 1 2 307.438 1.592 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1cnnn1C ZINC001265652357 902256853 /nfs/dbraw/zinc/25/68/53/902256853.db2.gz UKGQKTHCCFQCAY-HNNXBMFYSA-N 1 2 317.437 1.479 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1cnnn1C ZINC001265652357 902256867 /nfs/dbraw/zinc/25/68/67/902256867.db2.gz UKGQKTHCCFQCAY-HNNXBMFYSA-N 1 2 317.437 1.479 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001265759397 902376719 /nfs/dbraw/zinc/37/67/19/902376719.db2.gz VABUXPXPLXEUNZ-LLVKDONJSA-N 1 2 310.398 1.164 20 30 DDEDLO CCCN(C(=O)[C@H](C)C#N)C1CC[NH+](Cc2nccn2C)CC1 ZINC001370091281 902530315 /nfs/dbraw/zinc/53/03/15/902530315.db2.gz UIGYZHKQGSIWEU-CQSZACIVSA-N 1 2 317.437 1.783 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+]Cc2nc(CC(C)C)no2)C1 ZINC001265896045 902555520 /nfs/dbraw/zinc/55/55/20/902555520.db2.gz ZMYQKGHBMNEKLU-ZDUSSCGKSA-N 1 2 322.409 1.161 20 30 DDEDLO C=CCCC(=O)N1CCCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001293721683 914658268 /nfs/dbraw/zinc/65/82/68/914658268.db2.gz JNSSJRIKEMGASL-UHFFFAOYSA-N 1 2 304.394 1.369 20 30 DDEDLO C=CCCC(=O)N1CCCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001293721683 914658280 /nfs/dbraw/zinc/65/82/80/914658280.db2.gz JNSSJRIKEMGASL-UHFFFAOYSA-N 1 2 304.394 1.369 20 30 DDEDLO C=CCCC(=O)NCC1=CC[N@H+](Cc2ccc(OC)nn2)CC1 ZINC001279510766 903294590 /nfs/dbraw/zinc/29/45/90/903294590.db2.gz FARSUVNQONTICP-UHFFFAOYSA-N 1 2 316.405 1.700 20 30 DDEDLO C=CCCC(=O)NCC1=CC[N@@H+](Cc2ccc(OC)nn2)CC1 ZINC001279510766 903294610 /nfs/dbraw/zinc/29/46/10/903294610.db2.gz FARSUVNQONTICP-UHFFFAOYSA-N 1 2 316.405 1.700 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001280504015 903742812 /nfs/dbraw/zinc/74/28/12/903742812.db2.gz OMARCSBBCQNHNE-DYVFJYSZSA-N 1 2 320.437 1.908 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001280504015 903742819 /nfs/dbraw/zinc/74/28/19/903742819.db2.gz OMARCSBBCQNHNE-DYVFJYSZSA-N 1 2 320.437 1.908 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cn(C)nc1OC ZINC001392300510 903815471 /nfs/dbraw/zinc/81/54/71/903815471.db2.gz MMDDDXFLXKWRBR-UHFFFAOYSA-N 1 2 300.790 1.185 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cn(C)nc1OC ZINC001392300510 903815484 /nfs/dbraw/zinc/81/54/84/903815484.db2.gz MMDDDXFLXKWRBR-UHFFFAOYSA-N 1 2 300.790 1.185 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001293902664 914756950 /nfs/dbraw/zinc/75/69/50/914756950.db2.gz BKKWGADUXRMHOF-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)CCCC(C)=O)O2 ZINC001280922489 904193488 /nfs/dbraw/zinc/19/34/88/904193488.db2.gz VVSCYYGZVAIYES-OAHLLOKOSA-N 1 2 308.422 1.671 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)CCc1ccon1)CO2 ZINC001280945100 904224176 /nfs/dbraw/zinc/22/41/76/904224176.db2.gz QGKVBPYBWNVMPQ-CQSZACIVSA-N 1 2 305.378 1.143 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)(C)CC)CO2 ZINC001280968685 904254403 /nfs/dbraw/zinc/25/44/03/904254403.db2.gz LIKYGXHOFMQGRD-CQSZACIVSA-N 1 2 310.438 1.585 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H](C)CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001371165605 904392720 /nfs/dbraw/zinc/39/27/20/904392720.db2.gz LRCPEEVJRQDXOF-JTQLQIEISA-N 1 2 316.365 1.301 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001281141550 904468497 /nfs/dbraw/zinc/46/84/97/904468497.db2.gz XKPRLIQABAEANQ-UONOGXRCSA-N 1 2 318.421 1.591 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)[C@@H]1C ZINC001281150784 904478875 /nfs/dbraw/zinc/47/88/75/904478875.db2.gz VDPIMPLRBVCBNQ-OLZOCXBDSA-N 1 2 318.421 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001375075788 914849024 /nfs/dbraw/zinc/84/90/24/914849024.db2.gz HBMNCHHXUDULHE-RYUDHWBXSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001375075788 914849034 /nfs/dbraw/zinc/84/90/34/914849034.db2.gz HBMNCHHXUDULHE-RYUDHWBXSA-N 1 2 317.861 1.726 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)Oc1cccc(C)c1 ZINC001316611354 904924341 /nfs/dbraw/zinc/92/43/41/904924341.db2.gz ZVBXVSMOWAWCAE-JKSUJKDBSA-N 1 2 300.402 1.928 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)Oc1cccc(C)c1 ZINC001316611354 904924350 /nfs/dbraw/zinc/92/43/50/904924350.db2.gz ZVBXVSMOWAWCAE-JKSUJKDBSA-N 1 2 300.402 1.928 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001282518422 905845961 /nfs/dbraw/zinc/84/59/61/905845961.db2.gz PQVDECTYAPKKKO-LSDHHAIUSA-N 1 2 306.450 1.635 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2ccc(OC)c(C)c2)C1 ZINC001282538630 905857754 /nfs/dbraw/zinc/85/77/54/905857754.db2.gz BCLKPMMJWLFTQR-UHFFFAOYSA-N 1 2 316.401 1.194 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001283466065 907399897 /nfs/dbraw/zinc/39/98/97/907399897.db2.gz PHOMHRIGMXVPFX-HNNXBMFYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001283466065 907399914 /nfs/dbraw/zinc/39/99/14/907399914.db2.gz PHOMHRIGMXVPFX-HNNXBMFYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(C)CCCNC(=O)Cn1cc[nH+]c1 ZINC001283582003 907635022 /nfs/dbraw/zinc/63/50/22/907635022.db2.gz QGQGNUUTGQBLCP-KBPBESRZSA-N 1 2 306.410 1.306 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(CC)CCCNC(=O)Cc1c[nH]c[nH+]1 ZINC001283767725 907927293 /nfs/dbraw/zinc/92/72/93/907927293.db2.gz IAODPQKCWZVWPN-UHFFFAOYSA-N 1 2 320.437 1.909 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001283956389 908235588 /nfs/dbraw/zinc/23/55/88/908235588.db2.gz BITPIDJIZSJZIL-LBPRGKRZSA-N 1 2 304.394 1.175 20 30 DDEDLO CCN(CCNC(=O)CCCn1cc[nH+]c1)C(=O)C#CC(C)C ZINC001284627337 909273696 /nfs/dbraw/zinc/27/36/96/909273696.db2.gz IQMWGEWUYJHEKO-UHFFFAOYSA-N 1 2 318.421 1.287 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)cc1 ZINC001285391711 910455332 /nfs/dbraw/zinc/45/53/32/910455332.db2.gz MYQSDNLXGJPSEX-STQMWFEESA-N 1 2 324.384 1.257 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373659431 910520841 /nfs/dbraw/zinc/52/08/41/910520841.db2.gz IVVGAFGUVFEESR-SWLSCSKDSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373659431 910520854 /nfs/dbraw/zinc/52/08/54/910520854.db2.gz IVVGAFGUVFEESR-SWLSCSKDSA-N 1 2 319.380 1.765 20 30 DDEDLO CC(C)Cc1nc(C[NH2+]C2CC(N(C)C(=O)[C@H](C)C#N)C2)no1 ZINC001394853205 910748183 /nfs/dbraw/zinc/74/81/83/910748183.db2.gz LIKVSLJPEKFXLC-PNESKVBLSA-N 1 2 319.409 1.507 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)CNC(=O)CCc2[nH+]ccn2C)C1 ZINC001285691577 911045982 /nfs/dbraw/zinc/04/59/82/911045982.db2.gz HUPFCQYVSXOKHQ-CYBMUJFWSA-N 1 2 318.421 1.330 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001285735457 911124773 /nfs/dbraw/zinc/12/47/73/911124773.db2.gz YCKXGNJGTKQREJ-OLZOCXBDSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001285735457 911124785 /nfs/dbraw/zinc/12/47/85/911124785.db2.gz YCKXGNJGTKQREJ-OLZOCXBDSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1(CNC(=O)Cc2c[nH+]cn2C)CC1 ZINC001285945066 911478297 /nfs/dbraw/zinc/47/82/97/911478297.db2.gz SZZNJGPJCALDHD-UHFFFAOYSA-N 1 2 318.421 1.330 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001286014660 911572649 /nfs/dbraw/zinc/57/26/49/911572649.db2.gz UEWYTDOHOFYYQU-UHFFFAOYSA-N 1 2 318.421 1.532 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](CN(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001294945937 915475076 /nfs/dbraw/zinc/47/50/76/915475076.db2.gz OXCVGWPVKKFJFI-AWEZNQCLSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001295793292 916060545 /nfs/dbraw/zinc/06/05/45/916060545.db2.gz WOZWVNOVMNZGBT-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CCNC(=O)CCc2c[nH]c[nH+]2)cc1 ZINC001296115276 916241309 /nfs/dbraw/zinc/24/13/09/916241309.db2.gz XPWMEZHKHIKFLU-UHFFFAOYSA-N 1 2 324.384 1.212 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CCNC(=O)CCc2c[nH+]c[nH]2)cc1 ZINC001296115276 916241329 /nfs/dbraw/zinc/24/13/29/916241329.db2.gz XPWMEZHKHIKFLU-UHFFFAOYSA-N 1 2 324.384 1.212 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)c1c[nH+]ccc1Nc1ccccc1 ZINC001296956072 916641293 /nfs/dbraw/zinc/64/12/93/916641293.db2.gz QPLYONHVYYZHCC-OAHLLOKOSA-N 1 2 309.369 1.751 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)CCNC(=O)c1coc(C)c1 ZINC001377148073 920474710 /nfs/dbraw/zinc/47/47/10/920474710.db2.gz SPTBEENPLYFWCX-UHFFFAOYSA-N 1 2 320.393 1.012 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)CCNC(=O)c1coc(C)c1 ZINC001377148073 920474721 /nfs/dbraw/zinc/47/47/21/920474721.db2.gz SPTBEENPLYFWCX-UHFFFAOYSA-N 1 2 320.393 1.012 20 30 DDEDLO Cc1nc[nH]c1C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001377274077 920857693 /nfs/dbraw/zinc/85/76/93/920857693.db2.gz FBCDKWAIFLVVIO-UHFFFAOYSA-N 1 2 315.352 1.591 20 30 DDEDLO Cc1nc[nH]c1C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001377274077 920857708 /nfs/dbraw/zinc/85/77/08/920857708.db2.gz FBCDKWAIFLVVIO-UHFFFAOYSA-N 1 2 315.352 1.591 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@H+](Cc2cccc(C#N)c2F)C1 ZINC000452006830 231267010 /nfs/dbraw/zinc/26/70/10/231267010.db2.gz GDABYWLHZLNGMI-AWEZNQCLSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@@H+](Cc2cccc(C#N)c2F)C1 ZINC000452006830 231267013 /nfs/dbraw/zinc/26/70/13/231267013.db2.gz GDABYWLHZLNGMI-AWEZNQCLSA-N 1 2 304.369 1.933 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)N1CCc2ccccc2C1 ZINC000614908519 362071656 /nfs/dbraw/zinc/07/16/56/362071656.db2.gz OBWRDORMQGHZIF-UHFFFAOYSA-N 1 2 315.417 1.823 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)N1CCc2ccccc2C1 ZINC000614908519 362071663 /nfs/dbraw/zinc/07/16/63/362071663.db2.gz OBWRDORMQGHZIF-UHFFFAOYSA-N 1 2 315.417 1.823 20 30 DDEDLO CCN1CC[NH+]([C@@H]2CCN(C(=O)NCc3cscn3)C2)CC1 ZINC000329186294 539301806 /nfs/dbraw/zinc/30/18/06/539301806.db2.gz QLVSHYFDHYGPPZ-CQSZACIVSA-N 1 2 323.466 1.269 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)[C@@H]1CCCCO1 ZINC000329479166 539304908 /nfs/dbraw/zinc/30/49/08/539304908.db2.gz OWLQZHUCWNQETH-KBPBESRZSA-N 1 2 313.442 1.558 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)[C@@H]1CCCCO1 ZINC000329479166 539304911 /nfs/dbraw/zinc/30/49/11/539304911.db2.gz OWLQZHUCWNQETH-KBPBESRZSA-N 1 2 313.442 1.558 20 30 DDEDLO C=CCS(=O)(=O)CCNc1cc(C)[nH+]c(-c2cccnc2)n1 ZINC000272813121 210212486 /nfs/dbraw/zinc/21/24/86/210212486.db2.gz HNUSDLQTGSSRPK-UHFFFAOYSA-N 1 2 318.402 1.860 20 30 DDEDLO CNC(=O)NC1C[NH+](Cc2cccc(OCCCC#N)c2)C1 ZINC000459615534 529884198 /nfs/dbraw/zinc/88/41/98/529884198.db2.gz WUKZMOVDVJZZKR-UHFFFAOYSA-N 1 2 302.378 1.482 20 30 DDEDLO CN(C[C@@H]1CCC[N@H+](C[C@H](C#N)CCC#N)C1)S(C)(=O)=O ZINC000352543639 529948882 /nfs/dbraw/zinc/94/88/82/529948882.db2.gz HQELGNDEIOJSTJ-KBPBESRZSA-N 1 2 312.439 1.033 20 30 DDEDLO CN(C[C@@H]1CCC[N@@H+](C[C@H](C#N)CCC#N)C1)S(C)(=O)=O ZINC000352543639 529948884 /nfs/dbraw/zinc/94/88/84/529948884.db2.gz HQELGNDEIOJSTJ-KBPBESRZSA-N 1 2 312.439 1.033 20 30 DDEDLO CNC(=O)c1cccc(NC(=O)[C@H](C)[NH2+][C@H]2CCC[C@H]2C#N)c1 ZINC000459457326 529950295 /nfs/dbraw/zinc/95/02/95/529950295.db2.gz DDRBQBMCBXSAGG-WHOFXGATSA-N 1 2 314.389 1.655 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000615547566 362332632 /nfs/dbraw/zinc/33/26/32/362332632.db2.gz PKXQJPYIENYARV-SWLSCSKDSA-N 1 2 303.341 1.307 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC000331006031 530019286 /nfs/dbraw/zinc/01/92/86/530019286.db2.gz WJHYHAMPHQBUGJ-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC000331006031 530019287 /nfs/dbraw/zinc/01/92/87/530019287.db2.gz WJHYHAMPHQBUGJ-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CN1CCC[C@H](NC(=O)[C@H]2CC[N@@H+]2Cc2ccccc2)C1=O ZINC000330151511 530060124 /nfs/dbraw/zinc/06/01/24/530060124.db2.gz NMKYMCUSPXBUHE-LSDHHAIUSA-N 1 2 301.390 1.838 20 30 DDEDLO CN1CCC[C@H](NC(=O)[C@H]2CC[N@H+]2Cc2ccccc2)C1=O ZINC000330151511 530060125 /nfs/dbraw/zinc/06/01/25/530060125.db2.gz NMKYMCUSPXBUHE-LSDHHAIUSA-N 1 2 301.390 1.838 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000616861262 362849389 /nfs/dbraw/zinc/84/93/89/362849389.db2.gz IXRBAPGEQIZMQY-FRRDWIJNSA-N 1 2 307.394 1.187 20 30 DDEDLO C[N@H+](Cc1ccc(Br)cc1C#N)[C@@H]1COC[C@H]1O ZINC000286954024 219242472 /nfs/dbraw/zinc/24/24/72/219242472.db2.gz OMXHEVDUWKAJDQ-CHWSQXEVSA-N 1 2 311.179 1.512 20 30 DDEDLO C[N@@H+](Cc1ccc(Br)cc1C#N)[C@@H]1COC[C@H]1O ZINC000286954024 219242475 /nfs/dbraw/zinc/24/24/75/219242475.db2.gz OMXHEVDUWKAJDQ-CHWSQXEVSA-N 1 2 311.179 1.512 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H](c1[nH+]ccn1C)C(C)(C)CO)OCC ZINC000616864840 362851354 /nfs/dbraw/zinc/85/13/54/362851354.db2.gz MHVFSAFKURDSGQ-HIFRSBDPSA-N 1 2 323.437 1.967 20 30 DDEDLO C#C[C@H](NC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1)C(C)C ZINC000294162228 222778720 /nfs/dbraw/zinc/77/87/20/222778720.db2.gz IETPTLXJILOEAS-AWEZNQCLSA-N 1 2 301.394 1.274 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCO[C@H](CCc2ccccc2)C1 ZINC000186067365 186237854 /nfs/dbraw/zinc/23/78/54/186237854.db2.gz PIISSFHBKJTBSA-NVXWUHKLSA-N 1 2 300.402 1.458 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCO[C@H](CCc2ccccc2)C1 ZINC000186067365 186237855 /nfs/dbraw/zinc/23/78/55/186237855.db2.gz PIISSFHBKJTBSA-NVXWUHKLSA-N 1 2 300.402 1.458 20 30 DDEDLO C=C[C@H](CC(=O)NC[C@H]1CN(C)CC[N@@H+]1C)c1ccccc1 ZINC000270454858 186394441 /nfs/dbraw/zinc/39/44/41/186394441.db2.gz VMTIVMQZCQHLAP-WBVHZDCISA-N 1 2 301.434 1.708 20 30 DDEDLO C=C[C@H](CC(=O)NC[C@H]1CN(C)CC[N@H+]1C)c1ccccc1 ZINC000270454858 186394443 /nfs/dbraw/zinc/39/44/43/186394443.db2.gz VMTIVMQZCQHLAP-WBVHZDCISA-N 1 2 301.434 1.708 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000171726496 197974461 /nfs/dbraw/zinc/97/44/61/197974461.db2.gz KYIHMAMPIRYUIG-UHFFFAOYSA-N 1 2 322.430 1.470 20 30 DDEDLO COc1cc2c(cc1OC)C[N@H+](CC(=O)N(C)CCC#N)CC2 ZINC000016341127 352158814 /nfs/dbraw/zinc/15/88/14/352158814.db2.gz LVTWJBBPMPTYRE-UHFFFAOYSA-N 1 2 317.389 1.434 20 30 DDEDLO COc1cc2c(cc1OC)C[N@@H+](CC(=O)N(C)CCC#N)CC2 ZINC000016341127 352158817 /nfs/dbraw/zinc/15/88/17/352158817.db2.gz LVTWJBBPMPTYRE-UHFFFAOYSA-N 1 2 317.389 1.434 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000029446080 352239129 /nfs/dbraw/zinc/23/91/29/352239129.db2.gz LKEGQQYKRAJVPW-CQSZACIVSA-N 1 2 315.421 1.236 20 30 DDEDLO N#Cc1ccc(NCC(=O)N2CC[NH+](Cc3ccco3)CC2)cc1 ZINC000050025684 352561129 /nfs/dbraw/zinc/56/11/29/352561129.db2.gz CCRKDXIMNPZJFK-UHFFFAOYSA-N 1 2 324.384 1.908 20 30 DDEDLO CCCCCNC(=O)C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000050071664 352561867 /nfs/dbraw/zinc/56/18/67/352561867.db2.gz QITQNERDHKJUMT-UHFFFAOYSA-N 1 2 315.421 1.382 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCc1ccc(OC(F)(F)F)cc1 ZINC000072906918 191250565 /nfs/dbraw/zinc/25/05/65/191250565.db2.gz MFZVVSSDDSTQKB-UHFFFAOYSA-N 1 2 324.302 1.770 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000060481718 352873683 /nfs/dbraw/zinc/87/36/83/352873683.db2.gz VWYCJCSTTFRJNK-CQSZACIVSA-N 1 2 313.405 1.086 20 30 DDEDLO C=CCNC(=O)[C@H](C)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000065681515 352957929 /nfs/dbraw/zinc/95/79/29/352957929.db2.gz GZCWDGQHTNEDCS-ZDUSSCGKSA-N 1 2 306.410 1.100 20 30 DDEDLO C=CCN(Cc1cn2cccc(C)c2[nH+]1)[C@H]1CCS(=O)(=O)C1 ZINC000067400001 353047033 /nfs/dbraw/zinc/04/70/33/353047033.db2.gz SVDIQMOJPWCHCF-HNNXBMFYSA-N 1 2 319.430 1.818 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CCC[C@H]2Cn2cc[nH+]c2)c1 ZINC000173729438 198244965 /nfs/dbraw/zinc/24/49/65/198244965.db2.gz ZFJHIFCFAKWJPN-AWEZNQCLSA-N 1 2 316.386 1.608 20 30 DDEDLO COC[C@H](C)NC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000073383850 353249969 /nfs/dbraw/zinc/24/99/69/353249969.db2.gz YLDMHGBKFDLSCB-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCCCO[C@H](C)c1ccccc1 ZINC000174530234 198348224 /nfs/dbraw/zinc/34/82/24/198348224.db2.gz HATTYRJQVLEMDW-QGZVFWFLSA-N 1 2 312.413 1.839 20 30 DDEDLO COC1CC[NH+]([C@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000083132950 353706444 /nfs/dbraw/zinc/70/64/44/353706444.db2.gz BRPGGVZSWDLANN-ZDUSSCGKSA-N 1 2 305.403 1.836 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)CCOCC1 ZINC000617721301 363251756 /nfs/dbraw/zinc/25/17/56/363251756.db2.gz NOBSDIMQBSHDEX-LSDHHAIUSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)CCOCC1 ZINC000617721301 363251761 /nfs/dbraw/zinc/25/17/61/363251761.db2.gz NOBSDIMQBSHDEX-LSDHHAIUSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000093706271 353903875 /nfs/dbraw/zinc/90/38/75/353903875.db2.gz DHOWVTUGCZKXHI-AWEZNQCLSA-N 1 2 304.390 1.276 20 30 DDEDLO C[C@H](C(=O)N[C@]1(C#N)CCSC1)[N@@H+]1CCc2ccccc2C1 ZINC000111566202 353967407 /nfs/dbraw/zinc/96/74/07/353967407.db2.gz XCOCPKGVVBXXFC-DYVFJYSZSA-N 1 2 315.442 1.949 20 30 DDEDLO C[C@H](C(=O)N[C@]1(C#N)CCSC1)[N@H+]1CCc2ccccc2C1 ZINC000111566202 353967408 /nfs/dbraw/zinc/96/74/08/353967408.db2.gz XCOCPKGVVBXXFC-DYVFJYSZSA-N 1 2 315.442 1.949 20 30 DDEDLO N#Cc1cc(Br)cnc1NCC[NH+]1CCOCC1 ZINC000115678400 353987063 /nfs/dbraw/zinc/98/70/63/353987063.db2.gz BUMAFZDNYQPJIR-UHFFFAOYSA-N 1 2 311.183 1.460 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@@H](CO)CC1 ZINC000293267394 354454882 /nfs/dbraw/zinc/45/48/82/354454882.db2.gz UTCPSSSFZUWHAF-CJNGLKHVSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCC[C@@H](CO)CC1 ZINC000293267394 354454884 /nfs/dbraw/zinc/45/48/84/354454884.db2.gz UTCPSSSFZUWHAF-CJNGLKHVSA-N 1 2 302.374 1.867 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000578642916 354710672 /nfs/dbraw/zinc/71/06/72/354710672.db2.gz GSKDWEMPFLPVGO-CQSZACIVSA-N 1 2 318.421 1.978 20 30 DDEDLO CCOC(=O)CN(C(=O)C[NH2+][C@H](CC)CC#N)c1ccccc1 ZINC000581080775 354730323 /nfs/dbraw/zinc/73/03/23/354730323.db2.gz YCUZEJHCJDBIEX-CQSZACIVSA-N 1 2 317.389 1.865 20 30 DDEDLO C[C@H]1CO[C@@H](C(F)(F)F)C[N@@H+]1CCC(=O)N(C)CCC#N ZINC000586777468 354864572 /nfs/dbraw/zinc/86/45/72/354864572.db2.gz PQJWMNCAKAFTTP-WDEREUQCSA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@H]1CO[C@@H](C(F)(F)F)C[N@H+]1CCC(=O)N(C)CCC#N ZINC000586777468 354864574 /nfs/dbraw/zinc/86/45/74/354864574.db2.gz PQJWMNCAKAFTTP-WDEREUQCSA-N 1 2 307.316 1.400 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2Cc3ccc(O)cc3C3(CC3)C2)CCC1 ZINC000589752600 355017422 /nfs/dbraw/zinc/01/74/22/355017422.db2.gz HSCZXJRQWIFMFP-UHFFFAOYSA-N 1 2 311.385 1.802 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2Cc3ccc(O)cc3C3(CC3)C2)CCC1 ZINC000589752600 355017425 /nfs/dbraw/zinc/01/74/25/355017425.db2.gz HSCZXJRQWIFMFP-UHFFFAOYSA-N 1 2 311.385 1.802 20 30 DDEDLO N#CC1(CC(=O)NCc2ccc[nH+]c2N2CCOCC2)CC1 ZINC000590146160 355053840 /nfs/dbraw/zinc/05/38/40/355053840.db2.gz CQAQTLANWZLWHG-UHFFFAOYSA-N 1 2 300.362 1.228 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2cccc(OC)c2C1 ZINC000590759927 355169699 /nfs/dbraw/zinc/16/96/99/355169699.db2.gz ZKETXIPQLCOYKG-UHFFFAOYSA-N 1 2 303.362 1.065 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2cccc(OC)c2C1 ZINC000590759927 355169703 /nfs/dbraw/zinc/16/97/03/355169703.db2.gz ZKETXIPQLCOYKG-UHFFFAOYSA-N 1 2 303.362 1.065 20 30 DDEDLO COCCN(CCC#N)C(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000591020950 355233965 /nfs/dbraw/zinc/23/39/65/355233965.db2.gz HWYSQBTTXMWIRC-UHFFFAOYSA-N 1 2 318.377 1.334 20 30 DDEDLO CCc1cc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cc2)on1 ZINC000591742630 355375542 /nfs/dbraw/zinc/37/55/42/355375542.db2.gz HOYTVAGMTMDDGJ-UHFFFAOYSA-N 1 2 319.386 1.672 20 30 DDEDLO CCC[N@H+](CC(=O)NC)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000128431163 283099563 /nfs/dbraw/zinc/09/95/63/283099563.db2.gz ODIKRSQERBKXSL-UHFFFAOYSA-N 1 2 306.366 1.165 20 30 DDEDLO CCC[N@@H+](CC(=O)NC)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000128431163 283099569 /nfs/dbraw/zinc/09/95/69/283099569.db2.gz ODIKRSQERBKXSL-UHFFFAOYSA-N 1 2 306.366 1.165 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@@H+]2Cc3cccnc3C2)cc1 ZINC000591846191 355394636 /nfs/dbraw/zinc/39/46/36/355394636.db2.gz RNIIKGLIMMSLNT-MRXNPFEDSA-N 1 2 309.369 1.903 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@H+]2Cc3cccnc3C2)cc1 ZINC000591846191 355394638 /nfs/dbraw/zinc/39/46/38/355394638.db2.gz RNIIKGLIMMSLNT-MRXNPFEDSA-N 1 2 309.369 1.903 20 30 DDEDLO C[C@@H](NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1)c1ccc(Cl)cc1 ZINC000592148525 355515245 /nfs/dbraw/zinc/51/52/45/355515245.db2.gz VZBRWYBCVNAMKS-WBMJQRKESA-N 1 2 321.808 1.868 20 30 DDEDLO C[C@@H](NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1)c1ccc(Cl)cc1 ZINC000592148525 355515248 /nfs/dbraw/zinc/51/52/48/355515248.db2.gz VZBRWYBCVNAMKS-WBMJQRKESA-N 1 2 321.808 1.868 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000592149460 355516025 /nfs/dbraw/zinc/51/60/25/355516025.db2.gz PKNYLXJSNHWINL-RDJZCZTQSA-N 1 2 317.364 1.496 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000592149460 355516028 /nfs/dbraw/zinc/51/60/28/355516028.db2.gz PKNYLXJSNHWINL-RDJZCZTQSA-N 1 2 317.364 1.496 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@](O)(CC#N)C2)c([N+](=O)[O-])cc1F ZINC000592151465 355517557 /nfs/dbraw/zinc/51/75/57/355517557.db2.gz LXDYTFUFBQDUAQ-CQSZACIVSA-N 1 2 309.297 1.593 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@](O)(CC#N)C2)c([N+](=O)[O-])cc1F ZINC000592151465 355517560 /nfs/dbraw/zinc/51/75/60/355517560.db2.gz LXDYTFUFBQDUAQ-CQSZACIVSA-N 1 2 309.297 1.593 20 30 DDEDLO CC[N@@H+](Cc1cnc2c(C#N)cnn2c1)C[C@@H](O)C(F)(F)F ZINC000592508000 355606675 /nfs/dbraw/zinc/60/66/75/355606675.db2.gz NLAUDSNPALCNAX-LLVKDONJSA-N 1 2 313.283 1.346 20 30 DDEDLO CC[N@H+](Cc1cnc2c(C#N)cnn2c1)C[C@@H](O)C(F)(F)F ZINC000592508000 355606680 /nfs/dbraw/zinc/60/66/80/355606680.db2.gz NLAUDSNPALCNAX-LLVKDONJSA-N 1 2 313.283 1.346 20 30 DDEDLO CC[N@H+](CCC#N)Cc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000593016345 355769993 /nfs/dbraw/zinc/76/99/93/355769993.db2.gz NOXIGKJGDGTOAJ-UHFFFAOYSA-N 1 2 307.419 1.863 20 30 DDEDLO CC[N@@H+](CCC#N)Cc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000593016345 355769995 /nfs/dbraw/zinc/76/99/95/355769995.db2.gz NOXIGKJGDGTOAJ-UHFFFAOYSA-N 1 2 307.419 1.863 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)s1)C[C@@H](O)C(F)(F)F ZINC000594018279 356089698 /nfs/dbraw/zinc/08/96/98/356089698.db2.gz UYAPVPUBNNQEPK-LLVKDONJSA-N 1 2 308.325 1.991 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)s1)C[C@@H](O)C(F)(F)F ZINC000594018279 356089705 /nfs/dbraw/zinc/08/97/05/356089705.db2.gz UYAPVPUBNNQEPK-LLVKDONJSA-N 1 2 308.325 1.991 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3nncn3C)CC2)ccc1C#N ZINC000594614333 356267343 /nfs/dbraw/zinc/26/73/43/356267343.db2.gz VBRIXZNQPABMTP-UHFFFAOYSA-N 1 2 312.377 1.018 20 30 DDEDLO N#CC1(Cn2cc(CC[NH+]3CCOCC3)nn2)CCCCC1 ZINC000594658577 356278461 /nfs/dbraw/zinc/27/84/61/356278461.db2.gz VPPREHJKCRERPF-UHFFFAOYSA-N 1 2 303.410 1.627 20 30 DDEDLO O=C(NC[C@H](O)C[NH+]1CCOCC1)[C@@H]1Cc2ccccc2S1 ZINC000329555339 222993657 /nfs/dbraw/zinc/99/36/57/222993657.db2.gz QDTVLCASGXTMFF-ZFWWWQNUSA-N 1 2 322.430 1.353 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCCN(c2cccc(F)c2F)CC1 ZINC000595506960 356524162 /nfs/dbraw/zinc/52/41/62/356524162.db2.gz PGFXQDIDLJBMTE-UHFFFAOYSA-N 1 2 308.332 1.117 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCCN(c2cccc(F)c2F)CC1 ZINC000595506960 356524163 /nfs/dbraw/zinc/52/41/63/356524163.db2.gz PGFXQDIDLJBMTE-UHFFFAOYSA-N 1 2 308.332 1.117 20 30 DDEDLO CN(Cc1c[nH+]c[nH]1)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000595592201 356566305 /nfs/dbraw/zinc/56/63/05/356566305.db2.gz MZMFVKWXPRWFAR-LBPRGKRZSA-N 1 2 301.375 1.580 20 30 DDEDLO CN(Cc1c[nH]c[nH+]1)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000595592201 356566307 /nfs/dbraw/zinc/56/63/07/356566307.db2.gz MZMFVKWXPRWFAR-LBPRGKRZSA-N 1 2 301.375 1.580 20 30 DDEDLO N#CC[C@@H]1CCCN(C(=O)NCC2([NH+]3CCOCC3)CC2)C1 ZINC000595591938 356566332 /nfs/dbraw/zinc/56/63/32/356566332.db2.gz LWSBYVCTXMWZGM-AWEZNQCLSA-N 1 2 306.410 1.186 20 30 DDEDLO CC(C)N(C)C(=O)[C@@H](C)[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595630585 356584059 /nfs/dbraw/zinc/58/40/59/356584059.db2.gz FTTZMJCUTCHPEY-CYBMUJFWSA-N 1 2 320.462 1.997 20 30 DDEDLO COc1cc(CNC(=O)N[C@H](C)c2[nH+]ccn2C)ccc1C#N ZINC000595636303 356587345 /nfs/dbraw/zinc/58/73/45/356587345.db2.gz RKIKCWIFLKLQEL-LLVKDONJSA-N 1 2 313.361 1.861 20 30 DDEDLO CN(C)C(=O)Cc1nc(C[NH2+][C@H]2CCCC[C@@H]2C#N)cs1 ZINC000595690270 356611370 /nfs/dbraw/zinc/61/13/70/356611370.db2.gz ATSXUIVVLOZUAN-YPMHNXCESA-N 1 2 306.435 1.946 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)NCc1ccc(C#N)cc1)c1cscn1 ZINC000595667815 356600535 /nfs/dbraw/zinc/60/05/35/356600535.db2.gz JNNILBGSFAYXKB-LLVKDONJSA-N 1 2 300.387 1.982 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+](C)C[C@H]2COCCO2)c(C#N)c1C ZINC000595737972 356629516 /nfs/dbraw/zinc/62/95/16/356629516.db2.gz SUCZAFQPFSZISN-LBPRGKRZSA-N 1 2 323.418 1.522 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+](C)C[C@H]2COCCO2)c(C#N)c1C ZINC000595737972 356629518 /nfs/dbraw/zinc/62/95/18/356629518.db2.gz SUCZAFQPFSZISN-LBPRGKRZSA-N 1 2 323.418 1.522 20 30 DDEDLO COC(=O)[C@@](C)(CCF)[NH2+]CCC(=O)Nc1ccccc1C#N ZINC000595773775 356647319 /nfs/dbraw/zinc/64/73/19/356647319.db2.gz FGGFZGXJSBJPIE-MRXNPFEDSA-N 1 2 321.352 1.768 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2CC[C@@]3(O)C[C@H]3C2)c(C#N)c1C ZINC000595758617 356640038 /nfs/dbraw/zinc/64/00/38/356640038.db2.gz SSSTWGDLUIWIPM-RSAASHCRSA-N 1 2 303.362 1.552 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2CC[C@@]3(O)C[C@H]3C2)c(C#N)c1C ZINC000595758617 356640040 /nfs/dbraw/zinc/64/00/40/356640040.db2.gz SSSTWGDLUIWIPM-RSAASHCRSA-N 1 2 303.362 1.552 20 30 DDEDLO CC[N@H+](CCC(=O)NC(=O)NCc1ccccc1)[C@@H](C)C#N ZINC000595811401 356661310 /nfs/dbraw/zinc/66/13/10/356661310.db2.gz BJBKQPYRCBPMQT-ZDUSSCGKSA-N 1 2 302.378 1.636 20 30 DDEDLO CC[N@@H+](CCC(=O)NC(=O)NCc1ccccc1)[C@@H](C)C#N ZINC000595811401 356661315 /nfs/dbraw/zinc/66/13/15/356661315.db2.gz BJBKQPYRCBPMQT-ZDUSSCGKSA-N 1 2 302.378 1.636 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCc2nccnc2C1 ZINC000595862041 356682036 /nfs/dbraw/zinc/68/20/36/356682036.db2.gz AUHDPUWPTIECET-UHFFFAOYSA-N 1 2 310.357 1.794 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCc2nccnc2C1 ZINC000595862041 356682038 /nfs/dbraw/zinc/68/20/38/356682038.db2.gz AUHDPUWPTIECET-UHFFFAOYSA-N 1 2 310.357 1.794 20 30 DDEDLO N#Cc1nc(NC(=O)c2ccc3c(c2)[C@@H](C[NH3+])CCC3)[nH]c1C#N ZINC000595932845 356710268 /nfs/dbraw/zinc/71/02/68/356710268.db2.gz SRKBXNVMRFPTOC-GFCCVEGCSA-N 1 2 320.356 1.784 20 30 DDEDLO CCc1nc(C#N)cc(N2CC[NH+](Cc3cccnc3)CC2)n1 ZINC000596064689 356758730 /nfs/dbraw/zinc/75/87/30/356758730.db2.gz HMPCGBSGXLSQSH-UHFFFAOYSA-N 1 2 308.389 1.628 20 30 DDEDLO C[C@H](O)[C@@H]1CCN(c2cc(N3CCC[C@@H](CC#N)C3)[nH+]cn2)C1 ZINC000596325372 356858013 /nfs/dbraw/zinc/85/80/13/356858013.db2.gz KAKXGBWVKOTCOV-SOUVJXGZSA-N 1 2 315.421 1.814 20 30 DDEDLO C[C@H](O)[C@@H]1CCN(c2cc(N3CCC[C@@H](CC#N)C3)nc[nH+]2)C1 ZINC000596325372 356858017 /nfs/dbraw/zinc/85/80/17/356858017.db2.gz KAKXGBWVKOTCOV-SOUVJXGZSA-N 1 2 315.421 1.814 20 30 DDEDLO C=CCOCCCNC(=O)N1CC[C@H]([NH+]2CCN(CC)CC2)C1 ZINC000596818840 356985253 /nfs/dbraw/zinc/98/52/53/356985253.db2.gz MQIGJQDWPWKHSK-INIZCTEOSA-N 1 2 324.469 1.001 20 30 DDEDLO Cc1cc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)ncc1C#N ZINC000597709813 357296108 /nfs/dbraw/zinc/29/61/08/357296108.db2.gz MERSEZYEEYMPMQ-HNNXBMFYSA-N 1 2 314.389 1.199 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)CC1(C#N)CCOCC1 ZINC000597647197 357268419 /nfs/dbraw/zinc/26/84/19/357268419.db2.gz KRIAOCGBJUGHSB-GJZGRUSLSA-N 1 2 321.421 1.018 20 30 DDEDLO COc1cc(CNC(=O)[C@@H]2CCc3[nH+]c[nH]c3C2)ccc1C#N ZINC000597666898 357275782 /nfs/dbraw/zinc/27/57/82/357275782.db2.gz SDYSLUULQFYXPT-GFCCVEGCSA-N 1 2 310.357 1.711 20 30 DDEDLO COc1cc(CNC(=O)[C@@H]2CCc3[nH]c[nH+]c3C2)ccc1C#N ZINC000597666898 357275785 /nfs/dbraw/zinc/27/57/85/357275785.db2.gz SDYSLUULQFYXPT-GFCCVEGCSA-N 1 2 310.357 1.711 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N[C@@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000597849195 357357710 /nfs/dbraw/zinc/35/77/10/357357710.db2.gz ZWUWLJWUWIERJO-ZDUSSCGKSA-N 1 2 316.361 1.683 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)CNc1ccc(C#N)cc1 ZINC000598000946 357416371 /nfs/dbraw/zinc/41/63/71/357416371.db2.gz WBIDJLNKHILGHG-KGLIPLIRSA-N 1 2 316.405 1.196 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)CNc1ccc(C#N)cc1 ZINC000598000946 357416374 /nfs/dbraw/zinc/41/63/74/357416374.db2.gz WBIDJLNKHILGHG-KGLIPLIRSA-N 1 2 316.405 1.196 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccc(CC#N)cc2)[C@H](C)CO1 ZINC000598001310 357417783 /nfs/dbraw/zinc/41/77/83/357417783.db2.gz YZXDPDSCNCRQTE-ZIAGYGMSSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(CC#N)cc2)[C@H](C)CO1 ZINC000598001310 357417785 /nfs/dbraw/zinc/41/77/85/357417785.db2.gz YZXDPDSCNCRQTE-ZIAGYGMSSA-N 1 2 301.390 1.592 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@@H+]1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000598603223 357649283 /nfs/dbraw/zinc/64/92/83/357649283.db2.gz YSGBRBUSUZEHAQ-CYBMUJFWSA-N 1 2 304.350 1.649 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@H+]1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000598603223 357649287 /nfs/dbraw/zinc/64/92/87/357649287.db2.gz YSGBRBUSUZEHAQ-CYBMUJFWSA-N 1 2 304.350 1.649 20 30 DDEDLO COCCOCC[N@H+](CCO)Cc1ccc(Cl)cc1C#N ZINC000599542012 357967340 /nfs/dbraw/zinc/96/73/40/357967340.db2.gz WRCROXIGLXARRV-UHFFFAOYSA-N 1 2 312.797 1.669 20 30 DDEDLO COCCOCC[N@@H+](CCO)Cc1ccc(Cl)cc1C#N ZINC000599542012 357967342 /nfs/dbraw/zinc/96/73/42/357967342.db2.gz WRCROXIGLXARRV-UHFFFAOYSA-N 1 2 312.797 1.669 20 30 DDEDLO COCCCNC(=O)C[N@@H+]1CCc2c(CC#N)cccc2C1 ZINC000599686603 358025151 /nfs/dbraw/zinc/02/51/51/358025151.db2.gz UDMAQGCFGPUOIO-UHFFFAOYSA-N 1 2 301.390 1.263 20 30 DDEDLO COCCCNC(=O)C[N@H+]1CCc2c(CC#N)cccc2C1 ZINC000599686603 358025153 /nfs/dbraw/zinc/02/51/53/358025153.db2.gz UDMAQGCFGPUOIO-UHFFFAOYSA-N 1 2 301.390 1.263 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000599779648 358057129 /nfs/dbraw/zinc/05/71/29/358057129.db2.gz OQLGUOGNGNIPQR-GFCCVEGCSA-N 1 2 304.350 1.019 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)NC[C@@H]1COCC[N@H+]1C ZINC000599779648 358057130 /nfs/dbraw/zinc/05/71/30/358057130.db2.gz OQLGUOGNGNIPQR-GFCCVEGCSA-N 1 2 304.350 1.019 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[NH2+][C@@H](CCO)c1ccco1 ZINC000185116361 199810526 /nfs/dbraw/zinc/81/05/26/199810526.db2.gz AAHYEJUXCOKEAM-NSHDSACASA-N 1 2 305.359 1.865 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000600418506 358215826 /nfs/dbraw/zinc/21/58/26/358215826.db2.gz DWJKVFBKEQNIJQ-CZUORRHYSA-N 1 2 301.390 1.184 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)NC[C@@H]1COCC[N@H+]1C ZINC000600418506 358215828 /nfs/dbraw/zinc/21/58/28/358215828.db2.gz DWJKVFBKEQNIJQ-CZUORRHYSA-N 1 2 301.390 1.184 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)C(C)(C)Oc1ccc(C#N)cc1 ZINC000600421313 358216547 /nfs/dbraw/zinc/21/65/47/358216547.db2.gz MMAXYRVQDBQTAY-CQSZACIVSA-N 1 2 317.389 1.162 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)C(C)(C)Oc1ccc(C#N)cc1 ZINC000600421313 358216551 /nfs/dbraw/zinc/21/65/51/358216551.db2.gz MMAXYRVQDBQTAY-CQSZACIVSA-N 1 2 317.389 1.162 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[NH2+]Cc1noc(C2CC2)n1 ZINC000600730004 358299286 /nfs/dbraw/zinc/29/92/86/358299286.db2.gz CLEFOMIGENCLSJ-ZDUSSCGKSA-N 1 2 314.345 1.348 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)N1CC[C@H](c2cc(C)cc[nH+]2)C1 ZINC000601459948 358562573 /nfs/dbraw/zinc/56/25/73/358562573.db2.gz LNVYZLFQIYDABV-AWEZNQCLSA-N 1 2 322.434 1.660 20 30 DDEDLO N#CCCCCNC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000601572244 358605972 /nfs/dbraw/zinc/60/59/72/358605972.db2.gz QXYWOXPLDCOWRN-UHFFFAOYSA-N 1 2 316.409 1.040 20 30 DDEDLO C=C(C)C[C@H](NC(=O)Cc1cn2ccccc2[nH+]1)C(=O)OC ZINC000601778456 358691334 /nfs/dbraw/zinc/69/13/34/358691334.db2.gz OBXCPXHFVCOBNM-ZDUSSCGKSA-N 1 2 301.346 1.501 20 30 DDEDLO CC(C)(C#N)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000601850931 358715148 /nfs/dbraw/zinc/71/51/48/358715148.db2.gz IPHFVDHNTKRENN-UHFFFAOYSA-N 1 2 304.419 1.801 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N(C)C[C@H](C)C#N)cc1 ZINC000602079206 358803473 /nfs/dbraw/zinc/80/34/73/358803473.db2.gz OARWFQWZKCFXBK-CJNGLKHVSA-N 1 2 301.390 1.888 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2C(=O)N(C)C[C@H](C)C#N)cc1 ZINC000602079206 358803476 /nfs/dbraw/zinc/80/34/76/358803476.db2.gz OARWFQWZKCFXBK-CJNGLKHVSA-N 1 2 301.390 1.888 20 30 DDEDLO CCc1c[nH]c(CC(=O)N2CCN([C@@H](C#N)C(C)C)CC2)[nH+]1 ZINC000602088314 358808200 /nfs/dbraw/zinc/80/82/00/358808200.db2.gz KXUKPLGADABRAV-AWEZNQCLSA-N 1 2 303.410 1.207 20 30 DDEDLO Cc1ccccc1CO[C@@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000602331156 358933915 /nfs/dbraw/zinc/93/39/15/358933915.db2.gz AQIFLTZVXFROCC-WMLDXEAASA-N 1 2 303.406 1.860 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cccc(OC(C)(C)C)n1 ZINC000602336626 358937117 /nfs/dbraw/zinc/93/71/17/358937117.db2.gz YTCSEUWIWIKZJY-INIZCTEOSA-N 1 2 304.394 1.833 20 30 DDEDLO CC[N@@H+]1CCOC[C@H]1C(=O)N(C)[C@@H](C)c1cccc(C#N)c1 ZINC000602350018 358942689 /nfs/dbraw/zinc/94/26/89/358942689.db2.gz YBKCIMAPHPJDQL-BBRMVZONSA-N 1 2 301.390 1.798 20 30 DDEDLO CC[N@H+]1CCOC[C@H]1C(=O)N(C)[C@@H](C)c1cccc(C#N)c1 ZINC000602350018 358942693 /nfs/dbraw/zinc/94/26/93/358942693.db2.gz YBKCIMAPHPJDQL-BBRMVZONSA-N 1 2 301.390 1.798 20 30 DDEDLO COC(=O)C(C)(C)N1CC[NH+](Cc2cccc(C#N)n2)CC1 ZINC000602623817 359081690 /nfs/dbraw/zinc/08/16/90/359081690.db2.gz RDCWNSIVHATTFS-UHFFFAOYSA-N 1 2 302.378 1.022 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](C[C@H]2COc3ccccc3O2)CC1 ZINC000602793603 359196523 /nfs/dbraw/zinc/19/65/23/359196523.db2.gz AYUYOCPSFMTSQV-JKSUJKDBSA-N 1 2 315.417 1.992 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)N2CCc3ccccc32)C[C@@H](C)N1CC#N ZINC000602857485 359243864 /nfs/dbraw/zinc/24/38/64/359243864.db2.gz RSSXBEWDNQTEII-HUUCEWRRSA-N 1 2 312.417 1.494 20 30 DDEDLO C[C@H](C(=O)NCC1CCCCC1)[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602857231 359244032 /nfs/dbraw/zinc/24/40/32/359244032.db2.gz PQUYCFZWGHKHDP-OWCLPIDISA-N 1 2 320.481 1.990 20 30 DDEDLO C[C@H](C(=O)NCC1CCCCC1)[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602857231 359244037 /nfs/dbraw/zinc/24/40/37/359244037.db2.gz PQUYCFZWGHKHDP-OWCLPIDISA-N 1 2 320.481 1.990 20 30 DDEDLO CCCn1nccc1NC(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602859513 359244576 /nfs/dbraw/zinc/24/45/76/359244576.db2.gz ZCXWIXFDVLLYRJ-KBPBESRZSA-N 1 2 318.425 1.150 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000602860544 359245671 /nfs/dbraw/zinc/24/56/71/359245671.db2.gz DJWLRWKSGPRSQM-DOMZBBRYSA-N 1 2 305.353 1.617 20 30 DDEDLO COc1ccc(CCNC(=O)[C@H](C)[NH2+][C@H](C)CC#N)cc1OC ZINC000602863603 359248588 /nfs/dbraw/zinc/24/85/88/359248588.db2.gz OTBFFJGJFXCPCJ-OLZOCXBDSA-N 1 2 319.405 1.643 20 30 DDEDLO C[C@H](CC#N)[NH2+]Cc1cc(=O)n2cc(Br)ccc2n1 ZINC000602869255 359253735 /nfs/dbraw/zinc/25/37/35/359253735.db2.gz NCHMKRXZFPHJKC-SECBINFHSA-N 1 2 321.178 1.849 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000602868556 359253809 /nfs/dbraw/zinc/25/38/09/359253809.db2.gz JGNKJDQDXFBDRA-CYBMUJFWSA-N 1 2 304.369 1.366 20 30 DDEDLO Cc1cc(C)c(NC(=O)CNC(=O)C[NH2+][C@@H](C)CC#N)c(C)c1 ZINC000602871176 359254961 /nfs/dbraw/zinc/25/49/61/359254961.db2.gz YTXCTMXCVDKTRX-AWEZNQCLSA-N 1 2 316.405 1.558 20 30 DDEDLO COC(=O)[C@H](Cc1ccccc1)[NH2+]C[C@H](O)CC(C)(C)C#N ZINC000602878185 359261172 /nfs/dbraw/zinc/26/11/72/359261172.db2.gz RUQXZAYBAZQTTG-CABCVRRESA-N 1 2 304.390 1.661 20 30 DDEDLO C[C@@H](NS(=O)(=O)CCn1cc[nH+]c1)c1ccc(C#N)cc1 ZINC000603270772 359530149 /nfs/dbraw/zinc/53/01/49/359530149.db2.gz LTENXUFYDXOUFK-GFCCVEGCSA-N 1 2 304.375 1.435 20 30 DDEDLO C[N@@H+](Cc1ccc(Cl)cc1C#N)C[C@H](O)CN1CCOCC1 ZINC000187142652 200067709 /nfs/dbraw/zinc/06/77/09/200067709.db2.gz YOCDSLKABWTLMU-INIZCTEOSA-N 1 2 323.824 1.337 20 30 DDEDLO C[N@H+](Cc1ccc(Cl)cc1C#N)C[C@H](O)CN1CCOCC1 ZINC000187142652 200067711 /nfs/dbraw/zinc/06/77/11/200067711.db2.gz YOCDSLKABWTLMU-INIZCTEOSA-N 1 2 323.824 1.337 20 30 DDEDLO CN(Cc1ccc(Cl)cc1C#N)C[C@H](O)C[NH+]1CCOCC1 ZINC000187142652 200067712 /nfs/dbraw/zinc/06/77/12/200067712.db2.gz YOCDSLKABWTLMU-INIZCTEOSA-N 1 2 323.824 1.337 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000606432443 359919105 /nfs/dbraw/zinc/91/91/05/359919105.db2.gz AZZXCGFHSCFSEF-KRWDZBQOSA-N 1 2 316.380 1.883 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000606432443 359919108 /nfs/dbraw/zinc/91/91/08/359919108.db2.gz AZZXCGFHSCFSEF-KRWDZBQOSA-N 1 2 316.380 1.883 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CC(=O)N3CCC3)CC2)c(F)c1 ZINC000608779948 360242421 /nfs/dbraw/zinc/24/24/21/360242421.db2.gz NKOWMMDMVAYWAV-UHFFFAOYSA-N 1 2 302.353 1.052 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329943868 223051317 /nfs/dbraw/zinc/05/13/17/223051317.db2.gz WLSKFHXYUUFIKT-KCXAZCMYSA-N 1 2 314.389 1.550 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329943868 223051319 /nfs/dbraw/zinc/05/13/19/223051319.db2.gz WLSKFHXYUUFIKT-KCXAZCMYSA-N 1 2 314.389 1.550 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1C[C@@H]2OCCN(C)[C@H]2C1 ZINC000329943868 223051322 /nfs/dbraw/zinc/05/13/22/223051322.db2.gz WLSKFHXYUUFIKT-KCXAZCMYSA-N 1 2 314.389 1.550 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1C[C@@H]2OCCN(C)[C@H]2C1 ZINC000329943868 223051324 /nfs/dbraw/zinc/05/13/24/223051324.db2.gz WLSKFHXYUUFIKT-KCXAZCMYSA-N 1 2 314.389 1.550 20 30 DDEDLO CCC(C)(C)NC(=O)[C@@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609486956 360313693 /nfs/dbraw/zinc/31/36/93/360313693.db2.gz USLPJQBQNDINRL-CABCVRRESA-N 1 2 308.470 1.845 20 30 DDEDLO COc1cc(OC)cc(N2CC[C@H]([NH2+][C@H](C)CC#N)C2=O)c1 ZINC000610483081 360447459 /nfs/dbraw/zinc/44/74/59/360447459.db2.gz YLJCEYTXYFKBRH-ABAIWWIYSA-N 1 2 303.362 1.701 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)N[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC000330023889 223064587 /nfs/dbraw/zinc/06/45/87/223064587.db2.gz JGWRYLDEXFCPRM-OJLVUWQFSA-N 1 2 316.405 1.319 20 30 DDEDLO CC(C)c1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)o1 ZINC000610726853 360518420 /nfs/dbraw/zinc/51/84/20/360518420.db2.gz RUQPSCUREWYOSX-CHWSQXEVSA-N 1 2 304.394 1.990 20 30 DDEDLO CCOC[C@H](C(=O)OC)[N@H+](C)CCOc1ccccc1C#N ZINC000610998868 360596437 /nfs/dbraw/zinc/59/64/37/360596437.db2.gz YRRWPZCHVBWTEZ-CQSZACIVSA-N 1 2 306.362 1.447 20 30 DDEDLO CCOC[C@H](C(=O)OC)[N@@H+](C)CCOc1ccccc1C#N ZINC000610998868 360596443 /nfs/dbraw/zinc/59/64/43/360596443.db2.gz YRRWPZCHVBWTEZ-CQSZACIVSA-N 1 2 306.362 1.447 20 30 DDEDLO CCN(C(=O)C[NH+]1CCN(CCC#N)CC1)C1CCCCC1 ZINC000611176273 360649528 /nfs/dbraw/zinc/64/95/28/360649528.db2.gz YBFHACUFNUMSJO-UHFFFAOYSA-N 1 2 306.454 1.699 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2nccc(C)c2C#N)CC1 ZINC000612069271 360909138 /nfs/dbraw/zinc/90/91/38/360909138.db2.gz LCPRWGNSJJGRDX-UHFFFAOYSA-N 1 2 315.421 1.252 20 30 DDEDLO O=C(NC[C@H]1CCCn2cc[nH+]c21)N1CCO[C@H]2CCC[C@H]21 ZINC000330247639 223094446 /nfs/dbraw/zinc/09/44/46/223094446.db2.gz FTEMSTJWZSKFRE-MCIONIFRSA-N 1 2 304.394 1.928 20 30 DDEDLO COc1cc[nH+]cc1CNC(=O)N1CCC2(CNC(=O)C2)CC1 ZINC000330317546 223102504 /nfs/dbraw/zinc/10/25/04/223102504.db2.gz BSEDDLIPLPHVFG-UHFFFAOYSA-N 1 2 318.377 1.947 20 30 DDEDLO CCOc1nccc(C)c1C(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000331211980 223194365 /nfs/dbraw/zinc/19/43/65/223194365.db2.gz JVAUAQFYRFCIEK-CYBMUJFWSA-N 1 2 307.394 1.814 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)CC2(C#N)CCCCC2)C[C@H](C)[N@H+]1C ZINC000339065826 223266326 /nfs/dbraw/zinc/26/63/26/223266326.db2.gz HQOVFRJKUZSOMS-OKILXGFUSA-N 1 2 313.467 1.815 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)CC2(C#N)CCCCC2)C[C@H](C)[N@@H+]1C ZINC000339065826 223266327 /nfs/dbraw/zinc/26/63/27/223266327.db2.gz HQOVFRJKUZSOMS-OKILXGFUSA-N 1 2 313.467 1.815 20 30 DDEDLO CCS(=O)(=O)C1CC[NH+](Cc2ccc(C#N)cc2OC)CC1 ZINC000193257396 201014320 /nfs/dbraw/zinc/01/43/20/201014320.db2.gz MLDCRLMNRXHJGP-UHFFFAOYSA-N 1 2 322.430 1.966 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000193768672 201120598 /nfs/dbraw/zinc/12/05/98/201120598.db2.gz WUVLNUWWIOBMRV-KGLIPLIRSA-N 1 2 315.421 1.378 20 30 DDEDLO N#CCc1cccc(S(=O)(=O)NCCNc2cccc[nH+]2)c1 ZINC000619490855 364034922 /nfs/dbraw/zinc/03/49/22/364034922.db2.gz NCQRQNJQNUVPJD-UHFFFAOYSA-N 1 2 316.386 1.538 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@@H](c2nnc3n2CCCCC3)C1 ZINC000619716358 364126139 /nfs/dbraw/zinc/12/61/39/364126139.db2.gz MUMLPNBLTPPWRW-ZIAGYGMSSA-N 1 2 318.421 1.912 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@@H](c2nnc3n2CCCCC3)C1 ZINC000619716358 364126144 /nfs/dbraw/zinc/12/61/44/364126144.db2.gz MUMLPNBLTPPWRW-ZIAGYGMSSA-N 1 2 318.421 1.912 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cncc(Cl)c2)CC1 ZINC000621242693 364743976 /nfs/dbraw/zinc/74/39/76/364743976.db2.gz UOKLNCJUSCVSBO-UHFFFAOYSA-N 1 2 308.813 1.155 20 30 DDEDLO C#CCOc1ccc(C[N@@H+](C)[C@@H](C)CS(=O)(=O)CC)cc1 ZINC000093417165 193247417 /nfs/dbraw/zinc/24/74/17/193247417.db2.gz FYIWAQCJRKTYIE-AWEZNQCLSA-N 1 2 309.431 1.954 20 30 DDEDLO C#CCOc1ccc(C[N@H+](C)[C@@H](C)CS(=O)(=O)CC)cc1 ZINC000093417165 193247419 /nfs/dbraw/zinc/24/74/19/193247419.db2.gz FYIWAQCJRKTYIE-AWEZNQCLSA-N 1 2 309.431 1.954 20 30 DDEDLO COC(=O)[C@@H]1[C@H](O)CCC[N@@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000249778794 284213968 /nfs/dbraw/zinc/21/39/68/284213968.db2.gz KXSLHMNHAYVTDG-WQVCFCJDSA-N 1 2 318.373 1.476 20 30 DDEDLO COC(=O)[C@@H]1[C@H](O)CCC[N@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000249778794 284213970 /nfs/dbraw/zinc/21/39/70/284213970.db2.gz KXSLHMNHAYVTDG-WQVCFCJDSA-N 1 2 318.373 1.476 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](C3(C(N)=O)CCCCC3)CC2)CCC1 ZINC000363681028 223557541 /nfs/dbraw/zinc/55/75/41/223557541.db2.gz YJBOSNVJLZNHMZ-UHFFFAOYSA-N 1 2 318.421 1.013 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cccc(F)c2C)CC1 ZINC000266144266 205133499 /nfs/dbraw/zinc/13/34/99/205133499.db2.gz IFEWTBINDIKWRE-UHFFFAOYSA-N 1 2 310.394 1.464 20 30 DDEDLO N#Cc1ccnc(C(=O)NCc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000282911400 217319268 /nfs/dbraw/zinc/31/92/68/217319268.db2.gz HWLUSQNIXSRZRB-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO Cn1cccc1C(=O)NC1CC[NH+](C[C@H](C#N)CCC#N)CC1 ZINC000377484725 223706471 /nfs/dbraw/zinc/70/64/71/223706471.db2.gz HNMMNNQUDJDZIO-AWEZNQCLSA-N 1 2 313.405 1.663 20 30 DDEDLO COC(=O)[C@]1(F)CC[N@H+](CCOc2ccc(C#N)cc2OC)C1 ZINC000267646406 206193446 /nfs/dbraw/zinc/19/34/46/206193446.db2.gz JWEHVIBUWJXYJT-INIZCTEOSA-N 1 2 322.336 1.533 20 30 DDEDLO COC(=O)[C@]1(F)CC[N@@H+](CCOc2ccc(C#N)cc2OC)C1 ZINC000267646406 206193451 /nfs/dbraw/zinc/19/34/51/206193451.db2.gz JWEHVIBUWJXYJT-INIZCTEOSA-N 1 2 322.336 1.533 20 30 DDEDLO Cc1cnc(CN2CC[NH+](Cc3ccc(C#N)cc3)CC2)cn1 ZINC000336872912 249279577 /nfs/dbraw/zinc/27/95/77/249279577.db2.gz RJCJBYWYEVNMCR-UHFFFAOYSA-N 1 2 307.401 1.975 20 30 DDEDLO CN(C)c1[nH]c2ccc(NC(=O)c3cnn(C)c3C#N)cc2[nH+]1 ZINC000338916315 250194664 /nfs/dbraw/zinc/19/46/64/250194664.db2.gz AIGABBVDCPQYJK-UHFFFAOYSA-N 1 2 309.333 1.486 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCc2cccc(F)c2C1 ZINC000157927347 197228124 /nfs/dbraw/zinc/22/81/24/197228124.db2.gz JXWBTAHBYHUGHR-NSHDSACASA-N 1 2 305.353 1.584 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCc2cccc(F)c2C1 ZINC000157927347 197228126 /nfs/dbraw/zinc/22/81/26/197228126.db2.gz JXWBTAHBYHUGHR-NSHDSACASA-N 1 2 305.353 1.584 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccc(C#N)c(F)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000289855392 221271461 /nfs/dbraw/zinc/27/14/61/221271461.db2.gz QRGHRDBINLUUBB-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000330803417 533272559 /nfs/dbraw/zinc/27/25/59/533272559.db2.gz BBTRRPVGTWHOHQ-LBPRGKRZSA-N 1 2 304.350 1.223 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC000330803417 533272562 /nfs/dbraw/zinc/27/25/62/533272562.db2.gz BBTRRPVGTWHOHQ-LBPRGKRZSA-N 1 2 304.350 1.223 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)C[C@H](O)C(F)(F)F ZINC000305778260 533331592 /nfs/dbraw/zinc/33/15/92/533331592.db2.gz QNQBRVKIKKEJII-CABZTGNLSA-N 1 2 315.295 1.740 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)C[C@H](O)C(F)(F)F ZINC000305778260 533331596 /nfs/dbraw/zinc/33/15/96/533331596.db2.gz QNQBRVKIKKEJII-CABZTGNLSA-N 1 2 315.295 1.740 20 30 DDEDLO COC(=O)CN1CCN(C(=O)Nc2c(C)cc(C)[nH+]c2C)CC1 ZINC000331339189 533511441 /nfs/dbraw/zinc/51/14/41/533511441.db2.gz RQGABQMKAITYMR-UHFFFAOYSA-N 1 2 320.393 1.343 20 30 DDEDLO COc1cc(C)ccc1NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C ZINC000330730718 533672875 /nfs/dbraw/zinc/67/28/75/533672875.db2.gz IHQDBTXGIZGPRR-CYBMUJFWSA-N 1 2 306.410 1.575 20 30 DDEDLO COc1cc(C)ccc1NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C ZINC000330730718 533672873 /nfs/dbraw/zinc/67/28/73/533672873.db2.gz IHQDBTXGIZGPRR-CYBMUJFWSA-N 1 2 306.410 1.575 20 30 DDEDLO COC(=O)[C@H]([NH2+]Cc1cc(C#N)n(C)c1)[C@@H]1CCC[C@H](OC)C1 ZINC000568642168 304303581 /nfs/dbraw/zinc/30/35/81/304303581.db2.gz YGSJHLAUPRJRCD-VNQPRFMTSA-N 1 2 319.405 1.733 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000071140647 406832655 /nfs/dbraw/zinc/83/26/55/406832655.db2.gz DZMZJXDWTFMUPF-JTQLQIEISA-N 1 2 308.338 1.261 20 30 DDEDLO N#Cc1ccccc1N1CC[NH+]([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000073771579 406893814 /nfs/dbraw/zinc/89/38/14/406893814.db2.gz SFVKOAFFBSPMMD-GOSISDBHSA-N 1 2 320.396 1.907 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(OC)c(F)c2)CC1 ZINC000032775389 406953221 /nfs/dbraw/zinc/95/32/21/406953221.db2.gz VEAITYGXEYHVRB-UHFFFAOYSA-N 1 2 321.396 1.254 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(OC)c(F)c2)CC1 ZINC000032775389 406953223 /nfs/dbraw/zinc/95/32/23/406953223.db2.gz VEAITYGXEYHVRB-UHFFFAOYSA-N 1 2 321.396 1.254 20 30 DDEDLO CN(CCC#N)C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000085573780 407103422 /nfs/dbraw/zinc/10/34/22/407103422.db2.gz ZFSOQWFLTNTKKR-UHFFFAOYSA-N 1 2 302.378 1.896 20 30 DDEDLO CC(C)n1ccc(CN(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000081158410 407074882 /nfs/dbraw/zinc/07/48/82/407074882.db2.gz BILJWDBBHBEOQG-UHFFFAOYSA-N 1 2 305.426 1.512 20 30 DDEDLO N#CC1CC[NH+](CC(=O)Nc2cccc3nsnc32)CC1 ZINC000057907819 407218501 /nfs/dbraw/zinc/21/85/01/407218501.db2.gz IKERNZVUYOYATQ-UHFFFAOYSA-N 1 2 301.375 1.865 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2cccc(F)c2)CC1 ZINC000126700572 407420087 /nfs/dbraw/zinc/42/00/87/407420087.db2.gz FNCPIGUOVWXBNX-UHFFFAOYSA-N 1 2 319.380 1.276 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2cccc(F)c2)CC1 ZINC000126700572 407420089 /nfs/dbraw/zinc/42/00/89/407420089.db2.gz FNCPIGUOVWXBNX-UHFFFAOYSA-N 1 2 319.380 1.276 20 30 DDEDLO Cc1cc(N)nc(S[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)[nH+]1 ZINC000198117096 407471807 /nfs/dbraw/zinc/47/18/07/407471807.db2.gz UVIKZBTWPSJBLK-YGRLFVJLSA-N 1 2 307.423 1.902 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000178561664 407493738 /nfs/dbraw/zinc/49/37/38/407493738.db2.gz NTHHWAHJLZUNRH-GFCCVEGCSA-N 1 2 302.378 1.709 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2nc3cc(F)ccc3o2)CC1 ZINC000114446655 407572557 /nfs/dbraw/zinc/57/25/57/407572557.db2.gz HGZKUEBTOIVSDL-UHFFFAOYSA-N 1 2 318.352 1.391 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)CCc2cnccn2)c(C#N)c1C ZINC000271300136 407623689 /nfs/dbraw/zinc/62/36/89/407623689.db2.gz FBBQZNZOZWROIO-UHFFFAOYSA-N 1 2 313.361 1.671 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)CCc2cnccn2)c(C#N)c1C ZINC000271300136 407623696 /nfs/dbraw/zinc/62/36/96/407623696.db2.gz FBBQZNZOZWROIO-UHFFFAOYSA-N 1 2 313.361 1.671 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCc2ccc(C(=O)OC)cc2C1 ZINC000271418222 407676222 /nfs/dbraw/zinc/67/62/22/407676222.db2.gz ZXZXMQKTSMHVQF-GFCCVEGCSA-N 1 2 302.374 1.522 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCc2ccc(C(=O)OC)cc2C1 ZINC000271418222 407676227 /nfs/dbraw/zinc/67/62/27/407676227.db2.gz ZXZXMQKTSMHVQF-GFCCVEGCSA-N 1 2 302.374 1.522 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)N(C)CCCn1cc[nH+]c1 ZINC000130390794 407684548 /nfs/dbraw/zinc/68/45/48/407684548.db2.gz KTTYOORORRRFMD-INIZCTEOSA-N 1 2 312.373 1.991 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1C[C@@H](CO)OC[C@@H]1C ZINC000153141521 407762815 /nfs/dbraw/zinc/76/28/15/407762815.db2.gz GUSDREOXFMRNPK-XIRDDKMYSA-N 1 2 321.417 1.236 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1C[C@@H](CO)OC[C@@H]1C ZINC000153141521 407762817 /nfs/dbraw/zinc/76/28/17/407762817.db2.gz GUSDREOXFMRNPK-XIRDDKMYSA-N 1 2 321.417 1.236 20 30 DDEDLO C[C@@H]1C(=O)N(C)CC[N@H+]1CCC(=O)Nc1ccccc1C#N ZINC000186943227 407799249 /nfs/dbraw/zinc/79/92/49/407799249.db2.gz GMHHMJSNKSXJEO-GFCCVEGCSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@@H]1C(=O)N(C)CC[N@@H+]1CCC(=O)Nc1ccccc1C#N ZINC000186943227 407799251 /nfs/dbraw/zinc/79/92/51/407799251.db2.gz GMHHMJSNKSXJEO-GFCCVEGCSA-N 1 2 300.362 1.049 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)Nc1ccn(CCC#N)n1 ZINC000173365384 407877184 /nfs/dbraw/zinc/87/71/84/407877184.db2.gz XYECBYHIMMIJSV-UHFFFAOYSA-N 1 2 315.381 1.971 20 30 DDEDLO CCOC(=O)c1cnn(Cc2cn3cccc(C)c3[nH+]2)c1C#N ZINC000272371728 407889534 /nfs/dbraw/zinc/88/95/34/407889534.db2.gz BSEHUSMVQXZOQG-UHFFFAOYSA-N 1 2 309.329 1.936 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(F)cc3C#N)C[C@@H]21 ZINC000135152615 407981034 /nfs/dbraw/zinc/98/10/34/407981034.db2.gz HTUUKNCZYDCDBG-UONOGXRCSA-N 1 2 304.325 1.244 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(F)cc3C#N)C[C@@H]21 ZINC000135152615 407981042 /nfs/dbraw/zinc/98/10/42/407981042.db2.gz HTUUKNCZYDCDBG-UONOGXRCSA-N 1 2 304.325 1.244 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+](CC(=O)N2CCCCC2)CC1 ZINC000175139921 408063094 /nfs/dbraw/zinc/06/30/94/408063094.db2.gz RCSLIQSKHVTEGQ-UHFFFAOYSA-N 1 2 307.438 1.499 20 30 DDEDLO CN(C)c1ccc(CN(CCC#N)CC[NH+]2CCOCC2)cc1 ZINC000154777848 408083043 /nfs/dbraw/zinc/08/30/43/408083043.db2.gz CPPFTFGRDURZCU-UHFFFAOYSA-N 1 2 316.449 1.800 20 30 DDEDLO CN(C)c1ccc(C[N@H+](CCC#N)CCN2CCOCC2)cc1 ZINC000154777848 408083053 /nfs/dbraw/zinc/08/30/53/408083053.db2.gz CPPFTFGRDURZCU-UHFFFAOYSA-N 1 2 316.449 1.800 20 30 DDEDLO CN(C)c1ccc(C[N@@H+](CCC#N)CCN2CCOCC2)cc1 ZINC000154777848 408083061 /nfs/dbraw/zinc/08/30/61/408083061.db2.gz CPPFTFGRDURZCU-UHFFFAOYSA-N 1 2 316.449 1.800 20 30 DDEDLO N#CCCCNC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000273158145 408086415 /nfs/dbraw/zinc/08/64/15/408086415.db2.gz NBNWTFKEKDGHCL-UHFFFAOYSA-N 1 2 303.366 1.021 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+](CCO)Cc2cccnc2)cc1 ZINC000268621678 408123517 /nfs/dbraw/zinc/12/35/17/408123517.db2.gz AKOWVCMVGOXFMZ-UHFFFAOYSA-N 1 2 324.384 1.064 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+](CCO)Cc2cccnc2)cc1 ZINC000268621678 408123524 /nfs/dbraw/zinc/12/35/24/408123524.db2.gz AKOWVCMVGOXFMZ-UHFFFAOYSA-N 1 2 324.384 1.064 20 30 DDEDLO C#CC[N@@H+](CC1=Nc2ccccc2S(=O)(=O)N1)C1CCCC1 ZINC000155774956 408206506 /nfs/dbraw/zinc/20/65/06/408206506.db2.gz ATOIOSOATIVOPF-UHFFFAOYSA-N 1 2 317.414 1.886 20 30 DDEDLO C#CC[N@H+](CC1=Nc2ccccc2S(=O)(=O)N1)C1CCCC1 ZINC000155774956 408206511 /nfs/dbraw/zinc/20/65/11/408206511.db2.gz ATOIOSOATIVOPF-UHFFFAOYSA-N 1 2 317.414 1.886 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC000121467972 408215994 /nfs/dbraw/zinc/21/59/94/408215994.db2.gz KRCBKVFWOBBASB-AWEZNQCLSA-N 1 2 300.406 1.232 20 30 DDEDLO C=C(C)CN(CC)C(=O)CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158215851 408331866 /nfs/dbraw/zinc/33/18/66/408331866.db2.gz RVCAPULIDAWRQZ-UHFFFAOYSA-N 1 2 317.389 1.683 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000274173878 408314001 /nfs/dbraw/zinc/31/40/01/408314001.db2.gz WYRANIFVRQLIEF-INIZCTEOSA-N 1 2 307.415 1.708 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000274173878 408314004 /nfs/dbraw/zinc/31/40/04/408314004.db2.gz WYRANIFVRQLIEF-INIZCTEOSA-N 1 2 307.415 1.708 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000171063828 162204440 /nfs/dbraw/zinc/20/44/40/162204440.db2.gz LPWXLAWKOIWAFO-CYBMUJFWSA-N 1 2 301.346 1.382 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000171063828 162204441 /nfs/dbraw/zinc/20/44/41/162204441.db2.gz LPWXLAWKOIWAFO-CYBMUJFWSA-N 1 2 301.346 1.382 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@@H]1O ZINC000159446560 408407695 /nfs/dbraw/zinc/40/76/95/408407695.db2.gz OMQNGRLJRWXZPH-DOMZBBRYSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@@H]1O ZINC000159446560 408407699 /nfs/dbraw/zinc/40/76/99/408407699.db2.gz OMQNGRLJRWXZPH-DOMZBBRYSA-N 1 2 308.403 1.035 20 30 DDEDLO CC(C)[N@H+](CCS(C)(=O)=O)C[C@H](O)c1ccc(C#N)cc1 ZINC000270362177 408502985 /nfs/dbraw/zinc/50/29/85/408502985.db2.gz XZLUAMPVBPCHLQ-HNNXBMFYSA-N 1 2 310.419 1.347 20 30 DDEDLO CC(C)[N@@H+](CCS(C)(=O)=O)C[C@H](O)c1ccc(C#N)cc1 ZINC000270362177 408502988 /nfs/dbraw/zinc/50/29/88/408502988.db2.gz XZLUAMPVBPCHLQ-HNNXBMFYSA-N 1 2 310.419 1.347 20 30 DDEDLO C#CCOc1ccccc1NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C ZINC000274873361 408562469 /nfs/dbraw/zinc/56/24/69/408562469.db2.gz UDMNOVNAJPSMGD-CQSZACIVSA-N 1 2 316.405 1.066 20 30 DDEDLO C#CCOc1ccccc1NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C ZINC000274873361 408562472 /nfs/dbraw/zinc/56/24/72/408562472.db2.gz UDMNOVNAJPSMGD-CQSZACIVSA-N 1 2 316.405 1.066 20 30 DDEDLO N#Cc1cc(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)ccn1 ZINC000192600023 408616825 /nfs/dbraw/zinc/61/68/25/408616825.db2.gz RDENEEMFQXNHHI-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO C=CCS(=O)(=O)CCN[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000275636854 408628671 /nfs/dbraw/zinc/62/86/71/408628671.db2.gz CCABAPGMWOGJTO-AWEZNQCLSA-N 1 2 305.403 1.690 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+][C@H](c1ncc[nH]1)c1ccccc1 ZINC000275636854 408628673 /nfs/dbraw/zinc/62/86/73/408628673.db2.gz CCABAPGMWOGJTO-AWEZNQCLSA-N 1 2 305.403 1.690 20 30 DDEDLO COC[C@@H]([NH2+]CC(=O)N[C@](C)(C#N)C1CC1)c1ccc(C)o1 ZINC000184689061 408667774 /nfs/dbraw/zinc/66/77/74/408667774.db2.gz WFARPLWPMWZXGB-CZUORRHYSA-N 1 2 305.378 1.674 20 30 DDEDLO COc1cccc(OCC[NH+]2CCN(C(=O)CC#N)CC2)c1 ZINC000184871874 408707002 /nfs/dbraw/zinc/70/70/02/408707002.db2.gz JDGZLBAWXAWIGS-UHFFFAOYSA-N 1 2 303.362 1.132 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2ncccn2)C1 ZINC000275988628 408742029 /nfs/dbraw/zinc/74/20/29/408742029.db2.gz ORZKMWMWEISSCZ-OAHLLOKOSA-N 1 2 300.406 1.703 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000275988628 408742033 /nfs/dbraw/zinc/74/20/33/408742033.db2.gz ORZKMWMWEISSCZ-OAHLLOKOSA-N 1 2 300.406 1.703 20 30 DDEDLO N#CC1(C(=O)NCc2ccc[nH+]c2N2CCOCC2)CCC1 ZINC000265559324 408693102 /nfs/dbraw/zinc/69/31/02/408693102.db2.gz FBWDJOLLACAAGO-UHFFFAOYSA-N 1 2 300.362 1.228 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000178326923 408805300 /nfs/dbraw/zinc/80/53/00/408805300.db2.gz ANGMJIRMIGRGRK-QGZVFWFLSA-N 1 2 317.389 1.164 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000178326923 408805303 /nfs/dbraw/zinc/80/53/03/408805303.db2.gz ANGMJIRMIGRGRK-QGZVFWFLSA-N 1 2 317.389 1.164 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000185434107 408807832 /nfs/dbraw/zinc/80/78/32/408807832.db2.gz WIKWZJMNIBXTGQ-BLLLJJGKSA-N 1 2 314.364 1.376 20 30 DDEDLO CC[N@H+](CCCNC(=O)Cn1cnc(C#N)n1)c1ccccc1 ZINC000178340774 408808988 /nfs/dbraw/zinc/80/89/88/408808988.db2.gz ZGHWTSFPKNFDEG-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO CC[N@@H+](CCCNC(=O)Cn1cnc(C#N)n1)c1ccccc1 ZINC000178340774 408808991 /nfs/dbraw/zinc/80/89/91/408808991.db2.gz ZGHWTSFPKNFDEG-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)N(C)Cc2ccco2)cs1 ZINC000178145443 408779835 /nfs/dbraw/zinc/77/98/35/408779835.db2.gz VIGOJEXXGQBFJO-UHFFFAOYSA-N 1 2 322.390 1.534 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCOc2ccc(C)cc2C1 ZINC000285695159 408844782 /nfs/dbraw/zinc/84/47/82/408844782.db2.gz VPHKFUHFNBRPFN-CYBMUJFWSA-N 1 2 317.389 1.590 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCOc2ccc(C)cc2C1 ZINC000285695159 408844784 /nfs/dbraw/zinc/84/47/84/408844784.db2.gz VPHKFUHFNBRPFN-CYBMUJFWSA-N 1 2 317.389 1.590 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)N1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O ZINC000286115586 408926232 /nfs/dbraw/zinc/92/62/32/408926232.db2.gz YACCVSMJRVQXGB-YGRLFVJLSA-N 1 2 309.329 1.505 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)N1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O ZINC000286115586 408926234 /nfs/dbraw/zinc/92/62/34/408926234.db2.gz YACCVSMJRVQXGB-YGRLFVJLSA-N 1 2 309.329 1.505 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccc(OC)cc2)C1=O ZINC000281999271 408968547 /nfs/dbraw/zinc/96/85/47/408968547.db2.gz NKRGYTAZVDSKGT-OAHLLOKOSA-N 1 2 317.389 1.352 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccc(OC)cc2)C1=O ZINC000281999271 408968550 /nfs/dbraw/zinc/96/85/50/408968550.db2.gz NKRGYTAZVDSKGT-OAHLLOKOSA-N 1 2 317.389 1.352 20 30 DDEDLO C[C@](O)(C[NH+]1CCN(c2ccc(C#N)cn2)CC1)C(F)(F)F ZINC000282012469 408971603 /nfs/dbraw/zinc/97/16/03/408971603.db2.gz WZVPQTAUGITTDG-ZDUSSCGKSA-N 1 2 314.311 1.389 20 30 DDEDLO C[N@H+](Cc1cc(F)ccc1C#N)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000292252747 409010862 /nfs/dbraw/zinc/01/08/62/409010862.db2.gz QZSKXCWKOXFEPY-CQSZACIVSA-N 1 2 311.382 1.163 20 30 DDEDLO C[N@@H+](Cc1cc(F)ccc1C#N)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000292252747 409010865 /nfs/dbraw/zinc/01/08/65/409010865.db2.gz QZSKXCWKOXFEPY-CQSZACIVSA-N 1 2 311.382 1.163 20 30 DDEDLO C=CCCCS(=O)(=O)NCC[N@@H+]1CCc2sccc2C1 ZINC000292984413 409047171 /nfs/dbraw/zinc/04/71/71/409047171.db2.gz MBCAZGDCYXGFJH-UHFFFAOYSA-N 1 2 314.476 1.992 20 30 DDEDLO C=CCCCS(=O)(=O)NCC[N@H+]1CCc2sccc2C1 ZINC000292984413 409047174 /nfs/dbraw/zinc/04/71/74/409047174.db2.gz MBCAZGDCYXGFJH-UHFFFAOYSA-N 1 2 314.476 1.992 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1ccc(N2CCCCC2)nc1 ZINC000293149095 409058811 /nfs/dbraw/zinc/05/88/11/409058811.db2.gz OAFLGAYPNGDSNR-UHFFFAOYSA-N 1 2 300.406 1.966 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1ccc(N2CCCCC2)nc1 ZINC000293149095 409058815 /nfs/dbraw/zinc/05/88/15/409058815.db2.gz OAFLGAYPNGDSNR-UHFFFAOYSA-N 1 2 300.406 1.966 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)ccc1C#N ZINC000278135166 409071684 /nfs/dbraw/zinc/07/16/84/409071684.db2.gz ZVKLBNMFOAXCED-UHFFFAOYSA-N 1 2 319.430 1.583 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccnn2CCCOC)C1=O ZINC000283118175 409091880 /nfs/dbraw/zinc/09/18/80/409091880.db2.gz VCDIZTDRQVPVPB-OAHLLOKOSA-N 1 2 306.410 1.138 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccnn2CCCOC)C1=O ZINC000283118175 409091884 /nfs/dbraw/zinc/09/18/84/409091884.db2.gz VCDIZTDRQVPVPB-OAHLLOKOSA-N 1 2 306.410 1.138 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N(C)[C@H](C)C[NH+]1CCOCC1 ZINC000279075422 409144369 /nfs/dbraw/zinc/14/43/69/409144369.db2.gz FOTUSKAZJHBTJG-CQSZACIVSA-N 1 2 301.390 1.659 20 30 DDEDLO Cn1nc([C@H]2CCC[N@@H+]2CCOc2ccccc2C#N)nc1N ZINC000283893476 409234408 /nfs/dbraw/zinc/23/44/08/409234408.db2.gz FIFXALSZVBNDCQ-CYBMUJFWSA-N 1 2 312.377 1.485 20 30 DDEDLO Cn1nc([C@H]2CCC[N@H+]2CCOc2ccccc2C#N)nc1N ZINC000283893476 409234410 /nfs/dbraw/zinc/23/44/10/409234410.db2.gz FIFXALSZVBNDCQ-CYBMUJFWSA-N 1 2 312.377 1.485 20 30 DDEDLO C=CCOCC[N@@H+](CCNC(C)=O)[C@@H](C)C(=O)OC(C)(C)C ZINC000294378950 409289778 /nfs/dbraw/zinc/28/97/78/409289778.db2.gz BJSVYRPTPKLVSB-ZDUSSCGKSA-N 1 2 314.426 1.357 20 30 DDEDLO C=CCOCC[N@H+](CCNC(C)=O)[C@@H](C)C(=O)OC(C)(C)C ZINC000294378950 409289781 /nfs/dbraw/zinc/28/97/81/409289781.db2.gz BJSVYRPTPKLVSB-ZDUSSCGKSA-N 1 2 314.426 1.357 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000294530192 409292944 /nfs/dbraw/zinc/29/29/44/409292944.db2.gz ZHOQFLLMUQFDSH-OAHLLOKOSA-N 1 2 311.385 1.241 20 30 DDEDLO O=c1[nH]nc([C@@H]2CCC[N@@H+](CC#Cc3ccc(F)cc3)C2)o1 ZINC000284246228 409303766 /nfs/dbraw/zinc/30/37/66/409303766.db2.gz LEJLPSQLWRRDAH-CYBMUJFWSA-N 1 2 301.321 1.733 20 30 DDEDLO O=c1[nH]nc([C@@H]2CCC[N@H+](CC#Cc3ccc(F)cc3)C2)o1 ZINC000284246228 409303769 /nfs/dbraw/zinc/30/37/69/409303769.db2.gz LEJLPSQLWRRDAH-CYBMUJFWSA-N 1 2 301.321 1.733 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NCCc1cn2ccccc2[nH+]1 ZINC000284281870 409310458 /nfs/dbraw/zinc/31/04/58/409310458.db2.gz IEWGGNGDPZKZDD-UHFFFAOYSA-N 1 2 314.389 1.418 20 30 DDEDLO C=CCNC(=O)C[S@](=O)Cc1[nH+]ccn1Cc1ccccc1 ZINC000285019353 409395347 /nfs/dbraw/zinc/39/53/47/409395347.db2.gz HVJOEMDMARRKJP-JOCHJYFZSA-N 1 2 317.414 1.482 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)Nc1ccc(F)c(F)c1 ZINC000284440209 409340436 /nfs/dbraw/zinc/34/04/36/409340436.db2.gz PFRWJSHWHQYCPZ-QPUJVOFHSA-N 1 2 313.304 1.760 20 30 DDEDLO C#CCCNC(=O)[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1c[nH+]cn1C ZINC000284473000 409344234 /nfs/dbraw/zinc/34/42/34/409344234.db2.gz IIUHOJSVOBPJRC-CZUORRHYSA-N 1 2 314.389 1.002 20 30 DDEDLO C[N@H+](Cc1ccccc1)C1CN(S(=O)(=O)CC2(C#N)CC2)C1 ZINC000285416179 409438275 /nfs/dbraw/zinc/43/82/75/409438275.db2.gz VHNXYNALCSYYOW-UHFFFAOYSA-N 1 2 319.430 1.436 20 30 DDEDLO C[N@@H+](Cc1ccccc1)C1CN(S(=O)(=O)CC2(C#N)CC2)C1 ZINC000285416179 409438281 /nfs/dbraw/zinc/43/82/81/409438281.db2.gz VHNXYNALCSYYOW-UHFFFAOYSA-N 1 2 319.430 1.436 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@H]1CCOC1 ZINC000314918087 164016954 /nfs/dbraw/zinc/01/69/54/164016954.db2.gz PCCCYLRWXUEUAO-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@H]1CCOC1 ZINC000314918087 164016955 /nfs/dbraw/zinc/01/69/55/164016955.db2.gz PCCCYLRWXUEUAO-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCCC[C@@H]1c1cc[nH]n1 ZINC000285529192 409480327 /nfs/dbraw/zinc/48/03/27/409480327.db2.gz ZSKARNGTCBUKQP-DZGCQCFKSA-N 1 2 303.410 1.945 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCCC[C@@H]1c1cc[nH]n1 ZINC000285529192 409480330 /nfs/dbraw/zinc/48/03/30/409480330.db2.gz ZSKARNGTCBUKQP-DZGCQCFKSA-N 1 2 303.410 1.945 20 30 DDEDLO C#Cc1ccc(CNC(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)cc1 ZINC000296341566 409508977 /nfs/dbraw/zinc/50/89/77/409508977.db2.gz ICRMJWVKLOQEKC-GJZGRUSLSA-N 1 2 315.417 1.576 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000349168069 409726294 /nfs/dbraw/zinc/72/62/94/409726294.db2.gz OUIRQNFONMWYCT-AWEZNQCLSA-N 1 2 310.361 1.023 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000349168069 409726302 /nfs/dbraw/zinc/72/63/02/409726302.db2.gz OUIRQNFONMWYCT-AWEZNQCLSA-N 1 2 310.361 1.023 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000338117954 409729322 /nfs/dbraw/zinc/72/93/22/409729322.db2.gz DFRPYWXPGGGZIL-UHFFFAOYSA-N 1 2 309.373 1.548 20 30 DDEDLO N#C[C@@H]1CN(C(=O)Cc2c[nH+]cn2Cc2ccccc2)CCO1 ZINC000354245148 409736851 /nfs/dbraw/zinc/73/68/51/409736851.db2.gz TWYCTTHMQWZGMD-MRXNPFEDSA-N 1 2 310.357 1.225 20 30 DDEDLO C=CCOCC(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000354320467 409766253 /nfs/dbraw/zinc/76/62/53/409766253.db2.gz YGAXGIZCMCCUAV-UHFFFAOYSA-N 1 2 314.389 1.181 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(S(=O)(=O)c2cccc(C#N)c2)C1 ZINC000332083419 409860381 /nfs/dbraw/zinc/86/03/81/409860381.db2.gz OXMWPGDXHVACEM-ZDUSSCGKSA-N 1 2 316.386 1.470 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2ccc(C#N)s2)C[C@@H]1[NH+]1CCOCC1 ZINC000332107692 409891657 /nfs/dbraw/zinc/89/16/57/409891657.db2.gz IGDKNEBCPFWEAD-OCCSQVGLSA-N 1 2 319.430 1.803 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)N[C@H]2CCCCNC2=O)C1 ZINC000328797090 409982439 /nfs/dbraw/zinc/98/24/39/409982439.db2.gz VJVZUTDSJBZANN-NEPJUHHUSA-N 1 2 305.382 1.632 20 30 DDEDLO C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@H+](C)[C@@H]1CCNC1=O ZINC000328804212 409982467 /nfs/dbraw/zinc/98/24/67/409982467.db2.gz BRAQNSSEBKKFHP-YRTQGLMCSA-N 1 2 320.437 1.966 20 30 DDEDLO C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@@H+](C)[C@@H]1CCNC1=O ZINC000328804212 409982469 /nfs/dbraw/zinc/98/24/69/409982469.db2.gz BRAQNSSEBKKFHP-YRTQGLMCSA-N 1 2 320.437 1.966 20 30 DDEDLO N#C[C@@H]1CC[C@@H]([NH+]2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC000328837105 409988561 /nfs/dbraw/zinc/98/85/61/409988561.db2.gz MXNNXAZYYWGUGB-ZIAGYGMSSA-N 1 2 320.418 1.080 20 30 DDEDLO C#CCN(C)C(=O)C(=O)N1CC[NH+]([C@@H](C)c2ccsc2)CC1 ZINC000297594222 409934600 /nfs/dbraw/zinc/93/46/00/409934600.db2.gz ABDCINMBGQVVEF-ZDUSSCGKSA-N 1 2 319.430 1.045 20 30 DDEDLO CCC(=O)N1CC[C@H](NC(=O)NC[C@H]2CCCn3cc[nH+]c32)C1 ZINC000328614313 409938571 /nfs/dbraw/zinc/93/85/71/409938571.db2.gz QZFLGIXNDWQTMR-OLZOCXBDSA-N 1 2 319.409 1.275 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1cc(F)cc2n[nH]nc21 ZINC000328645355 409947167 /nfs/dbraw/zinc/94/71/67/409947167.db2.gz GJOCTRYUTFLKTP-VIFPVBQESA-N 1 2 307.329 1.122 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1cc(F)cc2n[nH]nc21 ZINC000328645355 409947171 /nfs/dbraw/zinc/94/71/71/409947171.db2.gz GJOCTRYUTFLKTP-VIFPVBQESA-N 1 2 307.329 1.122 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NCc1nc(C)no1)CCC2 ZINC000328660167 409948773 /nfs/dbraw/zinc/94/87/73/409948773.db2.gz UXKVHLGBROXXLI-NSHDSACASA-N 1 2 304.354 1.464 20 30 DDEDLO Cc1cc2ncc(C(=O)N[C@H]3CCc4[nH+]c[nH]c4C3)c(C)n2n1 ZINC000328958635 410019139 /nfs/dbraw/zinc/01/91/39/410019139.db2.gz PRJPCFXASFMXSP-NSHDSACASA-N 1 2 310.361 1.931 20 30 DDEDLO Cc1cc2ncc(C(=O)N[C@H]3CCc4[nH]c[nH+]c4C3)c(C)n2n1 ZINC000328958635 410019142 /nfs/dbraw/zinc/01/91/42/410019142.db2.gz PRJPCFXASFMXSP-NSHDSACASA-N 1 2 310.361 1.931 20 30 DDEDLO CC(C)N1C(=O)N[C@@H]2C[N@@H+](CC(=O)NC(=O)NC3CC3)CC[C@@H]21 ZINC000328977028 410030695 /nfs/dbraw/zinc/03/06/95/410030695.db2.gz QIHRLGCOMLKZCD-NEPJUHHUSA-N 1 2 323.397 1.100 20 30 DDEDLO CC(C)N1C(=O)N[C@@H]2C[N@H+](CC(=O)NC(=O)NC3CC3)CC[C@@H]21 ZINC000328977028 410030702 /nfs/dbraw/zinc/03/07/02/410030702.db2.gz QIHRLGCOMLKZCD-NEPJUHHUSA-N 1 2 323.397 1.100 20 30 DDEDLO C[C@@H](C(=O)NC1CCCC1)[NH+]1CCN(Cc2cn(C)nn2)CC1 ZINC000328877841 410000583 /nfs/dbraw/zinc/00/05/83/410000583.db2.gz KSCZZZSYZVLLEN-ZDUSSCGKSA-N 1 2 320.441 1.220 20 30 DDEDLO CCn1nncc1C(=O)N[C@@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000329174954 410144246 /nfs/dbraw/zinc/14/42/46/410144246.db2.gz LZJXSHFXCOPCCB-CYBMUJFWSA-N 1 2 318.381 1.504 20 30 DDEDLO O=C(NC[C@H]1CCCS(=O)(=O)C1)[C@H]1CCC[N@@H+]1C1CC1 ZINC000329326591 410237452 /nfs/dbraw/zinc/23/74/52/410237452.db2.gz JJRRWLPELGWWLH-DGCLKSJQSA-N 1 2 300.424 1.395 20 30 DDEDLO O=C(NC[C@H]1CCCS(=O)(=O)C1)[C@H]1CCC[N@H+]1C1CC1 ZINC000329326591 410237457 /nfs/dbraw/zinc/23/74/57/410237457.db2.gz JJRRWLPELGWWLH-DGCLKSJQSA-N 1 2 300.424 1.395 20 30 DDEDLO O=C(CN1CCn2cc[nH+]c2C1)Nc1nc2c(s1)CCC2 ZINC000329357282 410252453 /nfs/dbraw/zinc/25/24/53/410252453.db2.gz WNTCYHLUFOISKK-UHFFFAOYSA-N 1 2 303.391 1.932 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)N[C@@H]1[C@@H]2CCCO[C@H]2C1(C)C ZINC000329410575 410281371 /nfs/dbraw/zinc/28/13/71/410281371.db2.gz XZVLHVGRMHEKHZ-IACUBPJLSA-N 1 2 306.410 1.970 20 30 DDEDLO Cc1noc([C@@H]2CCCCN2C(=O)NC[C@H]2C[N@H+](C)CCO2)n1 ZINC000329409857 410282785 /nfs/dbraw/zinc/28/27/85/410282785.db2.gz CVVDOEAXUQJUMG-STQMWFEESA-N 1 2 323.397 1.150 20 30 DDEDLO Cc1noc([C@@H]2CCCCN2C(=O)NC[C@H]2C[N@@H+](C)CCO2)n1 ZINC000329409857 410282789 /nfs/dbraw/zinc/28/27/89/410282789.db2.gz CVVDOEAXUQJUMG-STQMWFEESA-N 1 2 323.397 1.150 20 30 DDEDLO CC[C@@H]1CN(C(=O)NCC[N@@H+]2CCOCC2(C)C)CCCO1 ZINC000329312058 410227034 /nfs/dbraw/zinc/22/70/34/410227034.db2.gz RHABAXAEBCWKGK-CQSZACIVSA-N 1 2 313.442 1.512 20 30 DDEDLO CC[C@@H]1CN(C(=O)NCC[N@H+]2CCOCC2(C)C)CCCO1 ZINC000329312058 410227036 /nfs/dbraw/zinc/22/70/36/410227036.db2.gz RHABAXAEBCWKGK-CQSZACIVSA-N 1 2 313.442 1.512 20 30 DDEDLO Cn1cc[nH+]c1CNS(=O)(=O)c1ccc(Cl)cc1C#N ZINC000355117595 410324006 /nfs/dbraw/zinc/32/40/06/410324006.db2.gz ZHXMYYJJWRFRTQ-UHFFFAOYSA-N 1 2 310.766 1.424 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)N[C@@H]3CCCOCC3)CC2)cn1 ZINC000329487788 410324200 /nfs/dbraw/zinc/32/42/00/410324200.db2.gz ROANCNJEOQNMSZ-OAHLLOKOSA-N 1 2 321.425 1.021 20 30 DDEDLO CC[C@@H](C)n1ncc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)c1C ZINC000329510986 410336123 /nfs/dbraw/zinc/33/61/23/410336123.db2.gz KGDFFONIFGXHRS-BYCMXARLSA-N 1 2 321.425 1.723 20 30 DDEDLO CC[C@@H](C)n1ncc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)c1C ZINC000329510986 410336130 /nfs/dbraw/zinc/33/61/30/410336130.db2.gz KGDFFONIFGXHRS-BYCMXARLSA-N 1 2 321.425 1.723 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)NC[C@@H]2CCCSC2)CCO1 ZINC000329445893 410303343 /nfs/dbraw/zinc/30/33/43/410303343.db2.gz NSSKTUCXYRDVAU-ZDUSSCGKSA-N 1 2 315.483 1.744 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)NC[C@@H]2CCCSC2)CCO1 ZINC000329445893 410303346 /nfs/dbraw/zinc/30/33/46/410303346.db2.gz NSSKTUCXYRDVAU-ZDUSSCGKSA-N 1 2 315.483 1.744 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCCC2)CN1C([O-])=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000329703638 410418110 /nfs/dbraw/zinc/41/81/10/410418110.db2.gz ILEZURLPAMPZBJ-MCIONIFRSA-N 1 2 317.437 1.740 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000298822783 410412922 /nfs/dbraw/zinc/41/29/22/410412922.db2.gz ZYBQBTKEDLSZOA-NSHDSACASA-N 1 2 305.334 1.202 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000298822783 410412926 /nfs/dbraw/zinc/41/29/26/410412926.db2.gz ZYBQBTKEDLSZOA-NSHDSACASA-N 1 2 305.334 1.202 20 30 DDEDLO CCn1cc(C[N@H+](CCO)Cc2cnc3ccc(C#N)cn23)cn1 ZINC000355538327 410567842 /nfs/dbraw/zinc/56/78/42/410567842.db2.gz YESGRBSXEJVJOC-UHFFFAOYSA-N 1 2 324.388 1.417 20 30 DDEDLO CCn1cc(C[N@@H+](CCO)Cc2cnc3ccc(C#N)cn23)cn1 ZINC000355538327 410567851 /nfs/dbraw/zinc/56/78/51/410567851.db2.gz YESGRBSXEJVJOC-UHFFFAOYSA-N 1 2 324.388 1.417 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCc1ccccn1 ZINC000299585870 410599227 /nfs/dbraw/zinc/59/92/27/410599227.db2.gz ZKPGTSSOJVQMCJ-UHFFFAOYSA-N 1 2 316.405 1.089 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@@H+]3CCO[C@H](C4CC4)C3)C2=O)cc1 ZINC000330115460 410548098 /nfs/dbraw/zinc/54/80/98/410548098.db2.gz FQBUXWQURMRUFX-SJORKVTESA-N 1 2 311.385 1.774 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@H+]3CCO[C@H](C4CC4)C3)C2=O)cc1 ZINC000330115460 410548102 /nfs/dbraw/zinc/54/81/02/410548102.db2.gz FQBUXWQURMRUFX-SJORKVTESA-N 1 2 311.385 1.774 20 30 DDEDLO C=CCN(C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C1CC1 ZINC000355514859 410558947 /nfs/dbraw/zinc/55/89/47/410558947.db2.gz QCCNYZAMGYYLIV-OAHLLOKOSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCN(C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C1CC1 ZINC000355514859 410558955 /nfs/dbraw/zinc/55/89/55/410558955.db2.gz QCCNYZAMGYYLIV-OAHLLOKOSA-N 1 2 318.421 1.660 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)N2CCc3sccc3C2)CC1 ZINC000352466112 410660011 /nfs/dbraw/zinc/66/00/11/410660011.db2.gz AAEDOSCRUMCTPS-UHFFFAOYSA-N 1 2 307.394 1.960 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000352742269 410676326 /nfs/dbraw/zinc/67/63/26/410676326.db2.gz ALTJBAHLUQYDNP-UHFFFAOYSA-N 1 2 310.442 1.726 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)NCC[N@H+]1CCOCC1(C)C ZINC000352742269 410676333 /nfs/dbraw/zinc/67/63/33/410676333.db2.gz ALTJBAHLUQYDNP-UHFFFAOYSA-N 1 2 310.442 1.726 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)CC(=O)NCC(=O)NC(C)(C)C ZINC000352719872 410676407 /nfs/dbraw/zinc/67/64/07/410676407.db2.gz JQLDQVLNNSTIQH-UHFFFAOYSA-N 1 2 321.421 1.341 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)CC(=O)NCC(=O)NC(C)(C)C ZINC000352719872 410676411 /nfs/dbraw/zinc/67/64/11/410676411.db2.gz JQLDQVLNNSTIQH-UHFFFAOYSA-N 1 2 321.421 1.341 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)NC(=O)Nc2ccccc2)CC1 ZINC000352458693 410658843 /nfs/dbraw/zinc/65/88/43/410658843.db2.gz NWJALBBIBFXETB-UHFFFAOYSA-N 1 2 304.325 1.662 20 30 DDEDLO COc1ccc(C[NH+]2CCN(Cc3ncc[nH]3)CC2)cc1C#N ZINC000340197405 410743729 /nfs/dbraw/zinc/74/37/29/410743729.db2.gz UYPKOMAUWCGRGV-UHFFFAOYSA-N 1 2 311.389 1.608 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)c1 ZINC000359683997 410816063 /nfs/dbraw/zinc/81/60/63/410816063.db2.gz XJYQBDHERLGJFQ-CYBMUJFWSA-N 1 2 302.359 1.807 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)CC(C)(C)O1 ZINC000330656696 410852274 /nfs/dbraw/zinc/85/22/74/410852274.db2.gz QRUXRTCADZQNHQ-CYBMUJFWSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)CC(C)(C)O1 ZINC000330656696 410852283 /nfs/dbraw/zinc/85/22/83/410852283.db2.gz QRUXRTCADZQNHQ-CYBMUJFWSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@H](C#N)C[N@H+](C)C[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419182609 226064284 /nfs/dbraw/zinc/06/42/84/226064284.db2.gz CNOAEGWNALVXJC-TZMCWYRMSA-N 1 2 317.389 1.402 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C)C[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419182609 226064288 /nfs/dbraw/zinc/06/42/88/226064288.db2.gz CNOAEGWNALVXJC-TZMCWYRMSA-N 1 2 317.389 1.402 20 30 DDEDLO CC#CCNC(=O)N[C@H](c1[nH+]ccn1C)c1cccc(OC)c1 ZINC000367123442 418561957 /nfs/dbraw/zinc/56/19/57/418561957.db2.gz RKMFBBCXCPXTGW-HNNXBMFYSA-N 1 2 312.373 1.841 20 30 DDEDLO C#CCCCC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000192075407 222119159 /nfs/dbraw/zinc/11/91/59/222119159.db2.gz IMKIJKMEFXHJLE-CQSZACIVSA-N 1 2 301.390 1.726 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCC(C(=O)Nc2ccccc2)CC1 ZINC000192218600 222122037 /nfs/dbraw/zinc/12/20/37/222122037.db2.gz ABEUPFVYBNRUGY-UHFFFAOYSA-N 1 2 313.401 1.429 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCC(C(=O)Nc2ccccc2)CC1 ZINC000192218600 222122041 /nfs/dbraw/zinc/12/20/41/222122041.db2.gz ABEUPFVYBNRUGY-UHFFFAOYSA-N 1 2 313.401 1.429 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCC[C@H]1c1ccc(OC)c(OC)c1 ZINC000192236489 222123399 /nfs/dbraw/zinc/12/33/99/222123399.db2.gz FRCFEKHFXWMLBO-HNNXBMFYSA-N 1 2 316.401 1.932 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCC[C@H]1c1ccc(OC)c(OC)c1 ZINC000192236489 222123403 /nfs/dbraw/zinc/12/34/03/222123403.db2.gz FRCFEKHFXWMLBO-HNNXBMFYSA-N 1 2 316.401 1.932 20 30 DDEDLO C#CC[C@@H](Cc1ccccc1)NC(=O)[C@H]1COCC[N@H+]1CC ZINC000367345254 418589132 /nfs/dbraw/zinc/58/91/32/418589132.db2.gz GEVCRXXKUONIAA-DLBZAZTESA-N 1 2 300.402 1.458 20 30 DDEDLO C#CC[C@@H](Cc1ccccc1)NC(=O)[C@H]1COCC[N@@H+]1CC ZINC000367345254 418589135 /nfs/dbraw/zinc/58/91/35/418589135.db2.gz GEVCRXXKUONIAA-DLBZAZTESA-N 1 2 300.402 1.458 20 30 DDEDLO CCn1ccnc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000291383012 222355998 /nfs/dbraw/zinc/35/59/98/222355998.db2.gz BKIQIYKTZKPESA-UHFFFAOYSA-N 1 2 323.400 1.733 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCC[C@H](c2ccncn2)C1)C1CC1 ZINC000361636325 418694695 /nfs/dbraw/zinc/69/46/95/418694695.db2.gz KXKYLUGCGPQASH-GUYCJALGSA-N 1 2 313.405 1.464 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@H](c2ccncn2)C1)C1CC1 ZINC000361636325 418694697 /nfs/dbraw/zinc/69/46/97/418694697.db2.gz KXKYLUGCGPQASH-GUYCJALGSA-N 1 2 313.405 1.464 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCOC[C@H]1Cc1c[nH]c2ccccc12 ZINC000369705358 418737176 /nfs/dbraw/zinc/73/71/76/418737176.db2.gz XGGNGMGRVBLORX-OAHLLOKOSA-N 1 2 313.401 1.713 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCOC[C@H]1Cc1c[nH]c2ccccc12 ZINC000369705358 418737177 /nfs/dbraw/zinc/73/71/77/418737177.db2.gz XGGNGMGRVBLORX-OAHLLOKOSA-N 1 2 313.401 1.713 20 30 DDEDLO COc1cc(C[N@@H+]2CCN3C(=O)N(C)C[C@H]3C2)ccc1C#N ZINC000370240020 418742289 /nfs/dbraw/zinc/74/22/89/418742289.db2.gz YKJPDCCBMISFGH-AWEZNQCLSA-N 1 2 300.362 1.118 20 30 DDEDLO COc1cc(C[N@H+]2CCN3C(=O)N(C)C[C@H]3C2)ccc1C#N ZINC000370240020 418742291 /nfs/dbraw/zinc/74/22/91/418742291.db2.gz YKJPDCCBMISFGH-AWEZNQCLSA-N 1 2 300.362 1.118 20 30 DDEDLO N#C[C@@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CCN1C1CC1 ZINC000370968767 418752811 /nfs/dbraw/zinc/75/28/11/418752811.db2.gz NLOBCVJFMOCMOF-QGZVFWFLSA-N 1 2 321.384 1.685 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+](C)[C@@H](C)C(=O)NC(C)(C)C ZINC000362584682 418756500 /nfs/dbraw/zinc/75/65/00/418756500.db2.gz XDJHZWZTGAJHQO-LRDDRELGSA-N 1 2 310.442 1.276 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+](C)[C@@H](C)C(=O)NC(C)(C)C ZINC000362584682 418756501 /nfs/dbraw/zinc/75/65/01/418756501.db2.gz XDJHZWZTGAJHQO-LRDDRELGSA-N 1 2 310.442 1.276 20 30 DDEDLO C[C@H]1C[C@@H](CO)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000398662633 418763405 /nfs/dbraw/zinc/76/34/05/418763405.db2.gz PBHNPTWDEUAQIP-DZGCQCFKSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H]1C[C@@H](CO)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000398662633 418763406 /nfs/dbraw/zinc/76/34/06/418763406.db2.gz PBHNPTWDEUAQIP-DZGCQCFKSA-N 1 2 322.430 1.282 20 30 DDEDLO CNC(=O)C[N@@H+]1CCCN([C@@H](C)c2ccc(C#N)cc2)CC1 ZINC000363545247 418767261 /nfs/dbraw/zinc/76/72/61/418767261.db2.gz JCGJDCARUYWWRG-AWEZNQCLSA-N 1 2 300.406 1.373 20 30 DDEDLO CNC(=O)C[N@H+]1CCCN([C@@H](C)c2ccc(C#N)cc2)CC1 ZINC000363545247 418767262 /nfs/dbraw/zinc/76/72/62/418767262.db2.gz JCGJDCARUYWWRG-AWEZNQCLSA-N 1 2 300.406 1.373 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC=C(c2cnccc2C)CC1 ZINC000364026872 418772211 /nfs/dbraw/zinc/77/22/11/418772211.db2.gz ANMGCOUYKZKMLE-UHFFFAOYSA-N 1 2 314.389 1.491 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC=C(c2cnccc2C)CC1 ZINC000364026872 418772213 /nfs/dbraw/zinc/77/22/13/418772213.db2.gz ANMGCOUYKZKMLE-UHFFFAOYSA-N 1 2 314.389 1.491 20 30 DDEDLO O=C([C@H]1CCCO1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000364474119 418779326 /nfs/dbraw/zinc/77/93/26/418779326.db2.gz WMKVOQXBLKQCIQ-GOSISDBHSA-N 1 2 312.413 1.751 20 30 DDEDLO O=C([C@H]1CCCO1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000364474119 418779327 /nfs/dbraw/zinc/77/93/27/418779327.db2.gz WMKVOQXBLKQCIQ-GOSISDBHSA-N 1 2 312.413 1.751 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)cc2)C[C@H]1C ZINC000408043962 418784046 /nfs/dbraw/zinc/78/40/46/418784046.db2.gz ZTXLSLCRCUJQIG-OLZOCXBDSA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)cc2)C[C@H]1C ZINC000408043962 418784047 /nfs/dbraw/zinc/78/40/47/418784047.db2.gz ZTXLSLCRCUJQIG-OLZOCXBDSA-N 1 2 307.419 1.661 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](CC(=O)Nc2sccc2C#N)CC1(C)C ZINC000364763012 418809066 /nfs/dbraw/zinc/80/90/66/418809066.db2.gz COEDCVUZQYVELS-LLVKDONJSA-N 1 2 321.402 1.689 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](CC(=O)Nc2sccc2C#N)CC1(C)C ZINC000364763012 418809069 /nfs/dbraw/zinc/80/90/69/418809069.db2.gz COEDCVUZQYVELS-LLVKDONJSA-N 1 2 321.402 1.689 20 30 DDEDLO C=CC[C@H](C)NC(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC000371732019 418812256 /nfs/dbraw/zinc/81/22/56/418812256.db2.gz IXIOEBDSHPDAHL-AWEZNQCLSA-N 1 2 324.469 1.583 20 30 DDEDLO C=CC[C@H](C)NC(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC000371732019 418812260 /nfs/dbraw/zinc/81/22/60/418812260.db2.gz IXIOEBDSHPDAHL-AWEZNQCLSA-N 1 2 324.469 1.583 20 30 DDEDLO C=Cn1cc(C[N@@H+]2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000365827899 418913477 /nfs/dbraw/zinc/91/34/77/418913477.db2.gz SFWLCESOADGFTR-KDOFPFPSSA-N 1 2 319.368 1.829 20 30 DDEDLO C=Cn1cc(C[N@H+]2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000365827899 418913478 /nfs/dbraw/zinc/91/34/78/418913478.db2.gz SFWLCESOADGFTR-KDOFPFPSSA-N 1 2 319.368 1.829 20 30 DDEDLO CN(C)c1ccc(CNC(=O)CNc2cccc(C#N)c2)c[nH+]1 ZINC000426466739 419476411 /nfs/dbraw/zinc/47/64/11/419476411.db2.gz DQRLSSWEZJUWMM-UHFFFAOYSA-N 1 2 309.373 1.748 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](C(=O)N(C)C)C1 ZINC000411962255 419524108 /nfs/dbraw/zinc/52/41/08/419524108.db2.gz ZLMBJHAVWNXBLZ-CABCVRRESA-N 1 2 315.373 1.182 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](C(=O)N(C)C)C1 ZINC000411962255 419524117 /nfs/dbraw/zinc/52/41/17/419524117.db2.gz ZLMBJHAVWNXBLZ-CABCVRRESA-N 1 2 315.373 1.182 20 30 DDEDLO COC(=O)Cn1cc(C[N@H+](C)[C@H](C)c2cccc(C#N)c2)nn1 ZINC000425366746 420362777 /nfs/dbraw/zinc/36/27/77/420362777.db2.gz QUJRXGVKRNTPKJ-GFCCVEGCSA-N 1 2 313.361 1.516 20 30 DDEDLO COC(=O)Cn1cc(C[N@@H+](C)[C@H](C)c2cccc(C#N)c2)nn1 ZINC000425366746 420362783 /nfs/dbraw/zinc/36/27/83/420362783.db2.gz QUJRXGVKRNTPKJ-GFCCVEGCSA-N 1 2 313.361 1.516 20 30 DDEDLO C=CCC1(C(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@H]2C)CCOCC1 ZINC000424830218 420322219 /nfs/dbraw/zinc/32/22/19/420322219.db2.gz HNAVVRZARAGWSZ-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO Cc1cc(N2CCN3C(=O)N(CC(C)C)C[C@H]3C2)c(C#N)c[nH+]1 ZINC000425253328 420329986 /nfs/dbraw/zinc/32/99/86/420329986.db2.gz CRDQNCNVUBQNMW-OAHLLOKOSA-N 1 2 313.405 1.844 20 30 DDEDLO C=CC[C@H](C(=O)N[C@@H](CO)C[NH+]1CCOCC1)c1ccccc1 ZINC000425294785 420342111 /nfs/dbraw/zinc/34/21/11/420342111.db2.gz NXQCGQHOCLZQGD-SJORKVTESA-N 1 2 318.417 1.156 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1C[C@@H](C)[N@H+](Cc2ccccc2)C[C@H]1C ZINC000416449370 420375964 /nfs/dbraw/zinc/37/59/64/420375964.db2.gz JOZQJQDMDUWXIP-KFWWJZLASA-N 1 2 321.446 1.823 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1C[C@@H](C)[N@@H+](Cc2ccccc2)C[C@H]1C ZINC000416449370 420375968 /nfs/dbraw/zinc/37/59/68/420375968.db2.gz JOZQJQDMDUWXIP-KFWWJZLASA-N 1 2 321.446 1.823 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+]1Cc1ccc(C#N)cc1OC(F)F ZINC000437124567 420397350 /nfs/dbraw/zinc/39/73/50/420397350.db2.gz CTISEZQXUBMFLX-LBPRGKRZSA-N 1 2 309.316 1.870 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+]1Cc1ccc(C#N)cc1OC(F)F ZINC000437124567 420397354 /nfs/dbraw/zinc/39/73/54/420397354.db2.gz CTISEZQXUBMFLX-LBPRGKRZSA-N 1 2 309.316 1.870 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N(CC1CC1)C[C@@H]1C[N@H+](C)CCO1 ZINC000450909833 420557372 /nfs/dbraw/zinc/55/73/72/420557372.db2.gz OYIIPNOOQUVZQX-HNNXBMFYSA-N 1 2 316.405 1.378 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N(CC1CC1)C[C@@H]1C[N@@H+](C)CCO1 ZINC000450909833 420557382 /nfs/dbraw/zinc/55/73/82/420557382.db2.gz OYIIPNOOQUVZQX-HNNXBMFYSA-N 1 2 316.405 1.378 20 30 DDEDLO C=C(CC)CNC(=O)NC[C@@H]1CCCN1c1cc(NC)[nH+]cn1 ZINC000458467045 420665625 /nfs/dbraw/zinc/66/56/25/420665625.db2.gz CUCMOUQGVNFTQR-ZDUSSCGKSA-N 1 2 318.425 1.753 20 30 DDEDLO C=C(CC)CNC(=O)NC[C@@H]1CCCN1c1cc(NC)nc[nH+]1 ZINC000458467045 420665629 /nfs/dbraw/zinc/66/56/29/420665629.db2.gz CUCMOUQGVNFTQR-ZDUSSCGKSA-N 1 2 318.425 1.753 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C/c1ccccc1-n1cccn1 ZINC000493704460 420919589 /nfs/dbraw/zinc/91/95/89/420919589.db2.gz HMWJFANATATEKB-QZEKMECESA-N 1 2 323.400 1.846 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cccc(O)c3)CC2)c1C#N ZINC000471811954 420951067 /nfs/dbraw/zinc/95/10/67/420951067.db2.gz PRPSDJSFFKTDRU-UHFFFAOYSA-N 1 2 311.389 1.628 20 30 DDEDLO CCn1nnc(C)c1C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000449009200 420933650 /nfs/dbraw/zinc/93/36/50/420933650.db2.gz MRUJGEZJAKXODX-UHFFFAOYSA-N 1 2 310.405 1.800 20 30 DDEDLO CCn1nnc(C)c1C[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000449009678 420933950 /nfs/dbraw/zinc/93/39/50/420933950.db2.gz PBIWDPNIMZMNLP-UHFFFAOYSA-N 1 2 311.393 1.195 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489687842 421170503 /nfs/dbraw/zinc/17/05/03/421170503.db2.gz YNOWSDKMYAMHMF-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489687842 421170504 /nfs/dbraw/zinc/17/05/04/421170504.db2.gz YNOWSDKMYAMHMF-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO COCC[N@H+](Cc1ccnn1C)Cc1ccc(OC)c(C#N)c1 ZINC000489883044 421178283 /nfs/dbraw/zinc/17/82/83/421178283.db2.gz IKJLJZGDXUWEQX-UHFFFAOYSA-N 1 2 314.389 1.949 20 30 DDEDLO COCC[N@@H+](Cc1ccnn1C)Cc1ccc(OC)c(C#N)c1 ZINC000489883044 421178285 /nfs/dbraw/zinc/17/82/85/421178285.db2.gz IKJLJZGDXUWEQX-UHFFFAOYSA-N 1 2 314.389 1.949 20 30 DDEDLO Cc1nn(C)c(C)c1C[NH+]1CCN(CC2(C#N)CCC2)CC1 ZINC000450369801 421189740 /nfs/dbraw/zinc/18/97/40/421189740.db2.gz SGQMAIOLOMNVGB-UHFFFAOYSA-N 1 2 301.438 1.848 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000562323045 421352138 /nfs/dbraw/zinc/35/21/38/421352138.db2.gz KZIZQESJCUNDIR-WBMJQRKESA-N 1 2 300.362 1.286 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@H](C)O[C@@]2(CCO[C@@H]2C)C1 ZINC000562502853 421375046 /nfs/dbraw/zinc/37/50/46/421375046.db2.gz VXZSPMMXJWZXRO-WBOJAVRRSA-N 1 2 321.421 1.206 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@H](C)O[C@@]2(CCO[C@@H]2C)C1 ZINC000562502853 421375049 /nfs/dbraw/zinc/37/50/49/421375049.db2.gz VXZSPMMXJWZXRO-WBOJAVRRSA-N 1 2 321.421 1.206 20 30 DDEDLO N#Cc1ccc(CC(=O)NCc2cc[nH+]c(N3CCCC3)c2)cn1 ZINC000527781820 421440616 /nfs/dbraw/zinc/44/06/16/421440616.db2.gz YYWVHOHREFIHJO-UHFFFAOYSA-N 1 2 321.384 1.807 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H]2CC[C@@H](C1)[S@]2=O ZINC000528781470 421516229 /nfs/dbraw/zinc/51/62/29/421516229.db2.gz HOUBIQHHZIJVBA-KDMSSLPHSA-N 1 2 311.451 1.026 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@H]2CC[C@@H](C1)[S@]2=O ZINC000528781470 421516232 /nfs/dbraw/zinc/51/62/32/421516232.db2.gz HOUBIQHHZIJVBA-KDMSSLPHSA-N 1 2 311.451 1.026 20 30 DDEDLO C[C@H]1CCN(Cc2c[nH+]c3ccc(C#N)cn23)CC[S@@]1=O ZINC000514906670 421465157 /nfs/dbraw/zinc/46/51/57/421465157.db2.gz XJGRQVLIJDBACR-QKVFXAPYSA-N 1 2 302.403 1.549 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@@H+]1CCOC2(CCC2)C1 ZINC000528432969 421488875 /nfs/dbraw/zinc/48/88/75/421488875.db2.gz QLAKNRHHSUDRCU-UHFFFAOYSA-N 1 2 324.380 1.541 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@H+]1CCOC2(CCC2)C1 ZINC000528432969 421488876 /nfs/dbraw/zinc/48/88/76/421488876.db2.gz QLAKNRHHSUDRCU-UHFFFAOYSA-N 1 2 324.380 1.541 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2cnccc2C#N)CC1 ZINC000563401058 421502705 /nfs/dbraw/zinc/50/27/05/421502705.db2.gz FVDYZUMWQAZJGU-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2cnccc2C#N)CC1 ZINC000563401058 421502708 /nfs/dbraw/zinc/50/27/08/421502708.db2.gz FVDYZUMWQAZJGU-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(OC(C)(C)C)cn1 ZINC000516870814 421574910 /nfs/dbraw/zinc/57/49/10/421574910.db2.gz OXUSWJBROYGBKA-INIZCTEOSA-N 1 2 304.394 1.833 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C1CCC(OC(F)F)CC1 ZINC000566308564 421604527 /nfs/dbraw/zinc/60/45/27/421604527.db2.gz IVQFOERCKBHTPM-MGULZYLOSA-N 1 2 303.353 1.744 20 30 DDEDLO COCCOc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000567995267 421616868 /nfs/dbraw/zinc/61/68/68/421616868.db2.gz GHXRMWPWDWTQPG-MRXNPFEDSA-N 1 2 305.378 1.285 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NCCc1ccc(OC)c(OC)c1 ZINC000568180925 421618841 /nfs/dbraw/zinc/61/88/41/421618841.db2.gz GHYGNXHOMCMGOZ-CQSZACIVSA-N 1 2 319.405 1.644 20 30 DDEDLO Cc1cc2c(cc1C)O[C@@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)C2 ZINC000568344630 421619619 /nfs/dbraw/zinc/61/96/19/421619619.db2.gz WTDCRILMEVLMHR-NVXWUHKLSA-N 1 2 301.390 1.567 20 30 DDEDLO C[C@H]([NH2+]C[C@@H](O)CN(C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000570391207 421643497 /nfs/dbraw/zinc/64/34/97/421643497.db2.gz OJETVXSTAKJDRN-NWDGAFQWSA-N 1 2 309.414 1.224 20 30 DDEDLO C[C@H](NC[C@@H](O)C[N@H+](C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000570391207 421643500 /nfs/dbraw/zinc/64/35/00/421643500.db2.gz OJETVXSTAKJDRN-NWDGAFQWSA-N 1 2 309.414 1.224 20 30 DDEDLO C[C@H](NC[C@@H](O)C[N@@H+](C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000570391207 421643502 /nfs/dbraw/zinc/64/35/02/421643502.db2.gz OJETVXSTAKJDRN-NWDGAFQWSA-N 1 2 309.414 1.224 20 30 DDEDLO C[C@@H](c1nccc(N)n1)[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000571175164 421693511 /nfs/dbraw/zinc/69/35/11/421693511.db2.gz BPSSFGJYIHMYGY-WCQYABFASA-N 1 2 310.361 1.540 20 30 DDEDLO C[C@@H](c1nccc(N)n1)[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000571175164 421693513 /nfs/dbraw/zinc/69/35/13/421693513.db2.gz BPSSFGJYIHMYGY-WCQYABFASA-N 1 2 310.361 1.540 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@H]2C(=O)NCC(F)F)nc1 ZINC000570862299 421673563 /nfs/dbraw/zinc/67/35/63/421673563.db2.gz BWQGYOGIBUEPHG-ZDUSSCGKSA-N 1 2 308.332 1.689 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@H]2C(=O)NCC(F)F)nc1 ZINC000570862299 421673566 /nfs/dbraw/zinc/67/35/66/421673566.db2.gz BWQGYOGIBUEPHG-ZDUSSCGKSA-N 1 2 308.332 1.689 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@]2(CCOC2)OC(C)(C)C1 ZINC000558824118 421826654 /nfs/dbraw/zinc/82/66/54/421826654.db2.gz IVGDNHWCQQKJMQ-DYVFJYSZSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@]2(CCOC2)OC(C)(C)C1 ZINC000558824118 421826655 /nfs/dbraw/zinc/82/66/55/421826655.db2.gz IVGDNHWCQQKJMQ-DYVFJYSZSA-N 1 2 321.421 1.207 20 30 DDEDLO CC[C@H]1C[N@H+](CCNc2nc3c(cc2C#N)CCC3)CCO1 ZINC000572576463 421801330 /nfs/dbraw/zinc/80/13/30/421801330.db2.gz YEYZRRNPOSABTB-HNNXBMFYSA-N 1 2 300.406 1.965 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNc2nc3c(cc2C#N)CCC3)CCO1 ZINC000572576463 421801331 /nfs/dbraw/zinc/80/13/31/421801331.db2.gz YEYZRRNPOSABTB-HNNXBMFYSA-N 1 2 300.406 1.965 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])[C@@H](C(N)=O)C1 ZINC000580957002 421871142 /nfs/dbraw/zinc/87/11/42/421871142.db2.gz KGFDZKFCBXFIPD-QMTHXVAHSA-N 1 2 302.334 1.552 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])[C@@H](C(N)=O)C1 ZINC000580957002 421871149 /nfs/dbraw/zinc/87/11/49/421871149.db2.gz KGFDZKFCBXFIPD-QMTHXVAHSA-N 1 2 302.334 1.552 20 30 DDEDLO N#Cc1ccc(CN2CCCC[C@H]2C[NH+]2CCOCC2)nc1 ZINC000581615887 422014158 /nfs/dbraw/zinc/01/41/58/422014158.db2.gz WJKJTESUXXEKQZ-KRWDZBQOSA-N 1 2 300.406 1.640 20 30 DDEDLO Cc1nc(N)c(CNC(=O)C[C@H](CC#N)c2ccccc2)c[nH+]1 ZINC000574694707 422161514 /nfs/dbraw/zinc/16/15/14/422161514.db2.gz WRXQUZBKABRRAO-AWEZNQCLSA-N 1 2 309.373 2.071 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)COc1ccsc1Cl ZINC000583503218 422175565 /nfs/dbraw/zinc/17/55/65/422175565.db2.gz UGPRLJIBMJFJQG-GFCCVEGCSA-N 1 2 301.799 1.740 20 30 DDEDLO C[C@H](CNc1nccc(C#N)c1[N+](=O)[O-])[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594590756 422351674 /nfs/dbraw/zinc/35/16/74/422351674.db2.gz ACWPHGWXBZSZJG-UTUOFQBUSA-N 1 2 319.365 1.771 20 30 DDEDLO C[C@H](CNc1nccc(C#N)c1[N+](=O)[O-])[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594590756 422351680 /nfs/dbraw/zinc/35/16/80/422351680.db2.gz ACWPHGWXBZSZJG-UTUOFQBUSA-N 1 2 319.365 1.771 20 30 DDEDLO Cc1cc(C#N)cc(NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)n1 ZINC000599184967 422404607 /nfs/dbraw/zinc/40/46/07/422404607.db2.gz OAIQPIMBRUPVBX-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cc(C#N)cc(NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)n1 ZINC000599184967 422404613 /nfs/dbraw/zinc/40/46/13/422404613.db2.gz OAIQPIMBRUPVBX-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](CCOCCOC)CC2)CCCC1 ZINC000652997856 423253052 /nfs/dbraw/zinc/25/30/52/423253052.db2.gz PTUWGPQQVWRNLB-UHFFFAOYSA-N 1 2 324.465 1.930 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@H](C)O[C@]3(CCO[C@@H]3C)C2)nn1 ZINC000653650166 423565527 /nfs/dbraw/zinc/56/55/27/423565527.db2.gz QKGOIUYYQQOVKG-SQWLQELKSA-N 1 2 306.410 1.623 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@H](C)O[C@]3(CCO[C@@H]3C)C2)nn1 ZINC000653650166 423565533 /nfs/dbraw/zinc/56/55/33/423565533.db2.gz QKGOIUYYQQOVKG-SQWLQELKSA-N 1 2 306.410 1.623 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@@H]([C@H](O)C(F)(F)F)C2)nn1 ZINC000653751487 423594876 /nfs/dbraw/zinc/59/48/76/423594876.db2.gz ZTBNFZYRGRNUGZ-PWSUYJOCSA-N 1 2 304.316 1.599 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@@H]([C@H](O)C(F)(F)F)C2)nn1 ZINC000653751487 423594882 /nfs/dbraw/zinc/59/48/82/423594882.db2.gz ZTBNFZYRGRNUGZ-PWSUYJOCSA-N 1 2 304.316 1.599 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000649187806 423666657 /nfs/dbraw/zinc/66/66/57/423666657.db2.gz XHGINABZUARWGL-HOTGVXAUSA-N 1 2 310.438 1.014 20 30 DDEDLO C=C[C@H](O)C(=O)N1CC[NH+](CCOc2ccccc2Cl)CC1 ZINC000665785592 423840217 /nfs/dbraw/zinc/84/02/17/423840217.db2.gz XLOPBIITDODWKC-AWEZNQCLSA-N 1 2 324.808 1.410 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H]2CCN(c3ccccc3)C2=O)nn1 ZINC000657357840 424305701 /nfs/dbraw/zinc/30/57/01/424305701.db2.gz FUAUHZXEZXLOMQ-INIZCTEOSA-N 1 2 311.389 1.749 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000371307390 266993692 /nfs/dbraw/zinc/99/36/92/266993692.db2.gz DLGNOCHEEQPEAC-DGCLKSJQSA-N 1 2 310.357 1.722 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000371307390 266993694 /nfs/dbraw/zinc/99/36/94/266993694.db2.gz DLGNOCHEEQPEAC-DGCLKSJQSA-N 1 2 310.357 1.722 20 30 DDEDLO C[C@@H]1OCC[C@@H]1[N@H+](CCO)CC(=O)Nc1sccc1C#N ZINC000361550366 267097923 /nfs/dbraw/zinc/09/79/23/267097923.db2.gz FBWMMLBPJHWWNG-JQWIXIFHSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@@H]1OCC[C@@H]1[N@@H+](CCO)CC(=O)Nc1sccc1C#N ZINC000361550366 267097925 /nfs/dbraw/zinc/09/79/25/267097925.db2.gz FBWMMLBPJHWWNG-JQWIXIFHSA-N 1 2 309.391 1.030 20 30 DDEDLO N#Cc1ccc2[nH+]cc(/C=C/c3cc(=O)n4[nH]cnc4n3)n2c1 ZINC000352035339 268224158 /nfs/dbraw/zinc/22/41/58/268224158.db2.gz PNZAOLDDERKTJP-NSCUHMNNSA-N 1 2 303.285 1.107 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@@H]1C ZINC000358126107 277916970 /nfs/dbraw/zinc/91/69/70/277916970.db2.gz FJIMXGADDSEXJG-ZMSDIMECSA-N 1 2 310.438 1.537 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1C[C@@H](C)O[C@]2(CCO[C@@H]2C)C1 ZINC000366098942 280102052 /nfs/dbraw/zinc/10/20/52/280102052.db2.gz YAWKMSDHHYLBAK-MUIFIZLQSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](C)O[C@]2(CCO[C@@H]2C)C1 ZINC000366098942 280102054 /nfs/dbraw/zinc/10/20/54/280102054.db2.gz YAWKMSDHHYLBAK-MUIFIZLQSA-N 1 2 323.437 1.309 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[NH+](C3CC3)CC2)c(F)c1 ZINC000151109955 281667553 /nfs/dbraw/zinc/66/75/53/281667553.db2.gz BSKZWXYNUPKCGD-UHFFFAOYSA-N 1 2 309.366 1.166 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000493433210 289218767 /nfs/dbraw/zinc/21/87/67/289218767.db2.gz MAHGXQDRVDLIGH-PKYBCLHXSA-N 1 2 320.324 1.707 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1)[C@H]1CCCCO1 ZINC000328887729 289233479 /nfs/dbraw/zinc/23/34/79/289233479.db2.gz PQMRHPBBRFKZEJ-YJNKXOJESA-N 1 2 311.426 1.263 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1)[C@H]1CCCCO1 ZINC000328887729 289233480 /nfs/dbraw/zinc/23/34/80/289233480.db2.gz PQMRHPBBRFKZEJ-YJNKXOJESA-N 1 2 311.426 1.263 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329958218 289394111 /nfs/dbraw/zinc/39/41/11/289394111.db2.gz MMYGPXLOBRXLRB-KGYLQXTDSA-N 1 2 324.812 1.726 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329958218 289394112 /nfs/dbraw/zinc/39/41/12/289394112.db2.gz MMYGPXLOBRXLRB-KGYLQXTDSA-N 1 2 324.812 1.726 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)[N@@H+]1C[C@H]2OCCN(C)[C@H]2C1 ZINC000329958218 289394114 /nfs/dbraw/zinc/39/41/14/289394114.db2.gz MMYGPXLOBRXLRB-KGYLQXTDSA-N 1 2 324.812 1.726 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)[N@H+]1C[C@H]2OCCN(C)[C@H]2C1 ZINC000329958218 289394116 /nfs/dbraw/zinc/39/41/16/289394116.db2.gz MMYGPXLOBRXLRB-KGYLQXTDSA-N 1 2 324.812 1.726 20 30 DDEDLO C[C@H](CNC(=O)N[C@]1(C)CCO[C@@H]1C1CC1)[NH+]1CCOCC1 ZINC000329759447 289394933 /nfs/dbraw/zinc/39/49/33/289394933.db2.gz OWTQTKUCMKMTSU-XNRPHZJLSA-N 1 2 311.426 1.168 20 30 DDEDLO Cc1oncc1C[N@@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000364607229 294064276 /nfs/dbraw/zinc/06/42/76/294064276.db2.gz MCQYOXCWAZCEKC-SUMWQHHRSA-N 1 2 308.341 1.829 20 30 DDEDLO Cc1oncc1C[N@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000364607229 294064277 /nfs/dbraw/zinc/06/42/77/294064277.db2.gz MCQYOXCWAZCEKC-SUMWQHHRSA-N 1 2 308.341 1.829 20 30 DDEDLO [O-]C(N[C@@H]1CCn2cc[nH+]c2C1)=[NH+][C@H]1CCCc2c[nH]nc21 ZINC000330198778 295385705 /nfs/dbraw/zinc/38/57/05/295385705.db2.gz UNOHKZLAIAMEJG-NEPJUHHUSA-N 1 2 300.366 1.502 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1c(C#N)cccc1[N+](=O)[O-] ZINC000425255941 297266695 /nfs/dbraw/zinc/26/66/95/297266695.db2.gz QQJUHGBLHBCFKS-TZMCWYRMSA-N 1 2 316.361 1.766 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000413961275 298131720 /nfs/dbraw/zinc/13/17/20/298131720.db2.gz SBMSKDYVGJNSOB-AWEZNQCLSA-N 1 2 315.417 1.889 20 30 DDEDLO Cc1oc(NC(=O)C[NH+]2CCC(CCO)CC2)c(C#N)c1C ZINC000401174298 302371592 /nfs/dbraw/zinc/37/15/92/302371592.db2.gz YAYKNEDSMRLFBM-UHFFFAOYSA-N 1 2 305.378 1.801 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1CCC[C@H](N2CCNC2=O)C1 ZINC000372735828 307173922 /nfs/dbraw/zinc/17/39/22/307173922.db2.gz AVFIJAUTTKDPJO-HNNXBMFYSA-N 1 2 302.353 1.687 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1CCC[C@H](N2CCNC2=O)C1 ZINC000372735828 307173923 /nfs/dbraw/zinc/17/39/23/307173923.db2.gz AVFIJAUTTKDPJO-HNNXBMFYSA-N 1 2 302.353 1.687 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)[C@@H]1C[C@H]1c1cccc(C#N)c1 ZINC000576445955 308314686 /nfs/dbraw/zinc/31/46/86/308314686.db2.gz KZJBWRUUWSTIPE-RRQGHBQHSA-N 1 2 313.401 1.499 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)[C@@H]1C[C@H]1c1cccc(C#N)c1 ZINC000576445955 308314688 /nfs/dbraw/zinc/31/46/88/308314688.db2.gz KZJBWRUUWSTIPE-RRQGHBQHSA-N 1 2 313.401 1.499 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000577392712 308385509 /nfs/dbraw/zinc/38/55/09/308385509.db2.gz KBTWESDECUXUSA-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO COc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc(OC)c1OC ZINC000570899269 332837480 /nfs/dbraw/zinc/83/74/80/332837480.db2.gz BGBLTFSFGSONPV-INIZCTEOSA-N 1 2 321.377 1.286 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1 ZINC000559526516 332268395 /nfs/dbraw/zinc/26/83/95/332268395.db2.gz KCGYZDGMCKKUDS-CQSZACIVSA-N 1 2 312.373 1.270 20 30 DDEDLO CCOc1ccc(OCC[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000519176772 335810931 /nfs/dbraw/zinc/81/09/31/335810931.db2.gz JAJMCHQLGCEYIW-UHFFFAOYSA-N 1 2 317.389 1.522 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+]C(C)(C)c1cc(C(=O)OC)on1 ZINC000352037171 336190909 /nfs/dbraw/zinc/19/09/09/336190909.db2.gz QURBSKPCTWGKGH-UHFFFAOYSA-N 1 2 322.365 1.048 20 30 DDEDLO CCC1CCC([NH2+]CCC(=O)N(C)CCC#N)(C(=O)OC)CC1 ZINC000357008886 336569834 /nfs/dbraw/zinc/56/98/34/336569834.db2.gz QMWHBEORDUAHEA-UHFFFAOYSA-N 1 2 323.437 1.850 20 30 DDEDLO N#Cc1nccc(N2CCC[N@H+](Cc3cscn3)CC2)n1 ZINC000583762829 337331407 /nfs/dbraw/zinc/33/14/07/337331407.db2.gz HMFQEILDBVNCIR-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO N#Cc1nccc(N2CCC[N@@H+](Cc3cscn3)CC2)n1 ZINC000583762829 337331408 /nfs/dbraw/zinc/33/14/08/337331408.db2.gz HMFQEILDBVNCIR-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO COC(=O)c1cc(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)c[nH]1 ZINC000330476821 533717088 /nfs/dbraw/zinc/71/70/88/533717088.db2.gz IBLXCQWELWGYJB-GHMZBOCLSA-N 1 2 309.366 1.215 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1C[N@H+](CC2(C#N)CC2)CCCO1 ZINC000496793827 340013075 /nfs/dbraw/zinc/01/30/75/340013075.db2.gz IKRPXFRULNMJCK-ZDUSSCGKSA-N 1 2 309.410 1.906 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1C[N@@H+](CC2(C#N)CC2)CCCO1 ZINC000496793827 340013076 /nfs/dbraw/zinc/01/30/76/340013076.db2.gz IKRPXFRULNMJCK-ZDUSSCGKSA-N 1 2 309.410 1.906 20 30 DDEDLO C#CCN(Cc1cn2c(cccc2C)[nH+]1)[C@H]1CCS(=O)(=O)C1 ZINC000066538045 340848163 /nfs/dbraw/zinc/84/81/63/340848163.db2.gz MYFLKVQYOHVCFW-HNNXBMFYSA-N 1 2 317.414 1.265 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2ccc3c(c2)OCCO3)CC1 ZINC000548443899 341260964 /nfs/dbraw/zinc/26/09/64/341260964.db2.gz BJGUQWKSJJGKHM-UHFFFAOYSA-N 1 2 301.346 1.016 20 30 DDEDLO CCOC(=O)N1CCN(C(=O)[C@@H](C)[N@H+](CC)C[C@H](C)C#N)CC1 ZINC000245774866 341314473 /nfs/dbraw/zinc/31/44/73/341314473.db2.gz LGBZETZOTJICBV-ZIAGYGMSSA-N 1 2 324.425 1.157 20 30 DDEDLO CCOC(=O)N1CCN(C(=O)[C@@H](C)[N@@H+](CC)C[C@H](C)C#N)CC1 ZINC000245774866 341314474 /nfs/dbraw/zinc/31/44/74/341314474.db2.gz LGBZETZOTJICBV-ZIAGYGMSSA-N 1 2 324.425 1.157 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000566734639 341566635 /nfs/dbraw/zinc/56/66/35/341566635.db2.gz FZFWVEAINGJYSE-ZFWWWQNUSA-N 1 2 318.421 1.652 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc(=O)[nH]c2cc(F)ccc21 ZINC000566741322 341566776 /nfs/dbraw/zinc/56/67/76/341566776.db2.gz VYSYPEBUSBNWQO-INIZCTEOSA-N 1 2 316.336 1.653 20 30 DDEDLO C[C@@H](NC(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C)c1[nH]cc[nH+]1 ZINC000577124981 341888257 /nfs/dbraw/zinc/88/82/57/341888257.db2.gz VSAYNHTWVPFDKH-BMIGLBTASA-N 1 2 306.366 1.849 20 30 DDEDLO Cc1nsc(N2CCC([C@H](O)c3[nH]cc[nH+]3)CC2)c1C#N ZINC000367442564 484539093 /nfs/dbraw/zinc/53/90/93/484539093.db2.gz ITGVOAOZKWGMSQ-LBPRGKRZSA-N 1 2 303.391 1.996 20 30 DDEDLO C=CC[N@H+](C[C@H]1COc2ccccc2O1)[C@H]1CCS(=O)(=O)C1 ZINC000676700689 486294123 /nfs/dbraw/zinc/29/41/23/486294123.db2.gz CBEMICQJOPDZTN-KBPBESRZSA-N 1 2 323.414 1.502 20 30 DDEDLO C=CC[N@@H+](C[C@H]1COc2ccccc2O1)[C@H]1CCS(=O)(=O)C1 ZINC000676700689 486294127 /nfs/dbraw/zinc/29/41/27/486294127.db2.gz CBEMICQJOPDZTN-KBPBESRZSA-N 1 2 323.414 1.502 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cnc(C#N)c(Cl)c1 ZINC000455760177 534064577 /nfs/dbraw/zinc/06/45/77/534064577.db2.gz TZDQSQSMBPKQKV-SNVBAGLBSA-N 1 2 323.784 1.449 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@H]1CCCC[C@@H]1O)CCC2 ZINC000330269421 534510908 /nfs/dbraw/zinc/51/09/08/534510908.db2.gz JGUAFMSADYGNDV-IHRRRGAJSA-N 1 2 306.410 1.876 20 30 DDEDLO N#Cc1ccnc(N2CCN(C(=O)C=Cc3c[nH]c[nH+]3)CC2)c1 ZINC000492861838 534822501 /nfs/dbraw/zinc/82/25/01/534822501.db2.gz QTDDHHJAWDDYNG-UPHRSURJSA-N 1 2 308.345 1.038 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N1CCN(c2cccc(Cl)c2)CC1 ZINC000339759615 526358646 /nfs/dbraw/zinc/35/86/46/526358646.db2.gz GXBBLJZDVOZLLH-UHFFFAOYSA-N 1 2 319.836 1.944 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N1CCN(c2cccc(Cl)c2)CC1 ZINC000339759615 526358656 /nfs/dbraw/zinc/35/86/56/526358656.db2.gz GXBBLJZDVOZLLH-UHFFFAOYSA-N 1 2 319.836 1.944 20 30 DDEDLO C=CCN1C(=O)c2ccc(C(=O)NCCc3[nH]cc[nH+]3)cc2C1=O ZINC000345342695 526409898 /nfs/dbraw/zinc/40/98/98/526409898.db2.gz NSBPABOCGCMRCI-UHFFFAOYSA-N 1 2 324.340 1.164 20 30 DDEDLO C=CCN1CC[C@@H](N(C)c2[nH+]c3cccc(F)c3n2C)C1=O ZINC000336932026 526474071 /nfs/dbraw/zinc/47/40/71/526474071.db2.gz QLLWJJZFNHVJKA-CYBMUJFWSA-N 1 2 302.353 1.936 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N2CCCC[C@@H]2CC)C1=O ZINC000337202374 526499410 /nfs/dbraw/zinc/49/94/10/526499410.db2.gz DXIDXGARLWOGBI-GJZGRUSLSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N2CCCC[C@@H]2CC)C1=O ZINC000337202374 526499413 /nfs/dbraw/zinc/49/94/13/526499413.db2.gz DXIDXGARLWOGBI-GJZGRUSLSA-N 1 2 307.438 1.496 20 30 DDEDLO C=C(C)Cn1c(C2CC2)nnc1N(C)CC[NH+]1CCOCC1 ZINC000338859132 526724925 /nfs/dbraw/zinc/72/49/25/526724925.db2.gz ICCNHZDUBUUPTB-UHFFFAOYSA-N 1 2 305.426 1.500 20 30 DDEDLO C#CCN(C)C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccc(C)cc1 ZINC000491744705 526794109 /nfs/dbraw/zinc/79/41/09/526794109.db2.gz OGSRAYBKSFWQJW-KRWDZBQOSA-N 1 2 315.417 1.643 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000332908632 526799812 /nfs/dbraw/zinc/79/98/12/526799812.db2.gz PKLTXERWWDBXJI-XIRDDKMYSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000332908632 526799818 /nfs/dbraw/zinc/79/98/18/526799818.db2.gz PKLTXERWWDBXJI-XIRDDKMYSA-N 1 2 322.449 1.727 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000451217453 526943142 /nfs/dbraw/zinc/94/31/42/526943142.db2.gz PKKOLXVETAJMGV-UHFFFAOYSA-N 1 2 310.438 1.585 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cccc3c2ccn3C)CC1 ZINC000490781168 526951542 /nfs/dbraw/zinc/95/15/42/526951542.db2.gz XSBFAQFWLJSLGQ-UHFFFAOYSA-N 1 2 310.401 1.368 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3OCC[C@@H]3C)n2C2CC2)CC1 ZINC000491667605 526957586 /nfs/dbraw/zinc/95/75/86/526957586.db2.gz LNNRQAQFIMWIPT-DZGCQCFKSA-N 1 2 315.421 1.466 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(Cc2cccc(Cl)c2F)CC1 ZINC000491126083 527002830 /nfs/dbraw/zinc/00/28/30/527002830.db2.gz KMPUPOFJMLWNNU-UHFFFAOYSA-N 1 2 323.799 1.346 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000491733744 527003537 /nfs/dbraw/zinc/00/35/37/527003537.db2.gz KLBBTWJNJFKZDH-IRXDYDNUSA-N 1 2 315.392 1.049 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN([C@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000491733744 527003540 /nfs/dbraw/zinc/00/35/40/527003540.db2.gz KLBBTWJNJFKZDH-IRXDYDNUSA-N 1 2 315.392 1.049 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000413219634 527190282 /nfs/dbraw/zinc/19/02/82/527190282.db2.gz DUEFROFJCFOBFK-IHRRRGAJSA-N 1 2 306.410 1.280 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000413219634 527190291 /nfs/dbraw/zinc/19/02/91/527190291.db2.gz DUEFROFJCFOBFK-IHRRRGAJSA-N 1 2 306.410 1.280 20 30 DDEDLO C#CCn1ccc(CN(CCOC)Cc2[nH+]ccn2CC)n1 ZINC000491628203 527192045 /nfs/dbraw/zinc/19/20/45/527192045.db2.gz UXCGAGXIMGZFSH-UHFFFAOYSA-N 1 2 301.394 1.381 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000339988534 527206370 /nfs/dbraw/zinc/20/63/70/527206370.db2.gz WPQJHQRJBVRQSP-UHFFFAOYSA-N 1 2 320.780 1.686 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000491657905 527236659 /nfs/dbraw/zinc/23/66/59/527236659.db2.gz KPZLHBWUBKVNKT-IRXDYDNUSA-N 1 2 315.417 1.771 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000491232000 527237800 /nfs/dbraw/zinc/23/78/00/527237800.db2.gz XEKMBMWJFCWINY-HIFRSBDPSA-N 1 2 316.405 1.518 20 30 DDEDLO CC(C)C(=O)Nc1cccc(OC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000414143782 527549476 /nfs/dbraw/zinc/54/94/76/527549476.db2.gz IXWQJDKUVXQAOG-HNNXBMFYSA-N 1 2 319.405 1.866 20 30 DDEDLO CC(C)C(=O)Nc1cccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000414143782 527549480 /nfs/dbraw/zinc/54/94/80/527549480.db2.gz IXWQJDKUVXQAOG-HNNXBMFYSA-N 1 2 319.405 1.866 20 30 DDEDLO CC(C)CNC(=O)NC(=O)C[N@H+]1CC=C(C(F)(F)F)CC1 ZINC000330467065 527590677 /nfs/dbraw/zinc/59/06/77/527590677.db2.gz IGWJFWSUXWIAKX-UHFFFAOYSA-N 1 2 307.316 1.867 20 30 DDEDLO CC(C)CNC(=O)NC(=O)C[N@@H+]1CC=C(C(F)(F)F)CC1 ZINC000330467065 527590681 /nfs/dbraw/zinc/59/06/81/527590681.db2.gz IGWJFWSUXWIAKX-UHFFFAOYSA-N 1 2 307.316 1.867 20 30 DDEDLO CC(C)[C@@H](NS(=O)(=O)c1ccc(C#N)nc1)c1[nH]cc[nH+]1 ZINC000451550401 528594475 /nfs/dbraw/zinc/59/44/75/528594475.db2.gz RMJASGQEZJGKAM-GFCCVEGCSA-N 1 2 305.363 1.352 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCCc1cccc(C)[nH+]1 ZINC000337150157 528643189 /nfs/dbraw/zinc/64/31/89/528643189.db2.gz IOAVKONAIMURPR-LBPRGKRZSA-N 1 2 310.423 1.249 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH+]1CCC(C#N)(COC)CC1 ZINC000341990129 528690489 /nfs/dbraw/zinc/69/04/89/528690489.db2.gz LXTWSMFPCHHGEX-CQSZACIVSA-N 1 2 306.410 1.247 20 30 DDEDLO CCNC(=O)c1ccc(C)c(NC(=O)[C@H]2C[N@H+](C)CCO2)c1 ZINC000330991337 528828536 /nfs/dbraw/zinc/82/85/36/528828536.db2.gz NDLFAEQZBICOKP-CQSZACIVSA-N 1 2 305.378 1.589 20 30 DDEDLO CCNC(=O)c1ccc(C)c(NC(=O)[C@H]2C[N@@H+](C)CCO2)c1 ZINC000330991337 528828540 /nfs/dbraw/zinc/82/85/40/528828540.db2.gz NDLFAEQZBICOKP-CQSZACIVSA-N 1 2 305.378 1.589 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1oc(C)c(C)c1-c1nn[nH]n1)C(C)C ZINC000819740105 607363725 /nfs/dbraw/zinc/36/37/25/607363725.db2.gz OZFCOKUXGATLBH-UHFFFAOYSA-N 1 2 318.381 1.911 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1oc(C)c(C)c1-c1nn[nH]n1)C(C)C ZINC000819740105 607363728 /nfs/dbraw/zinc/36/37/28/607363728.db2.gz OZFCOKUXGATLBH-UHFFFAOYSA-N 1 2 318.381 1.911 20 30 DDEDLO C[C@@H](c1ccc(F)c(F)c1)N(C)C(=O)CO[NH+]=C(N)CCO ZINC000121345571 696708812 /nfs/dbraw/zinc/70/88/12/696708812.db2.gz QUXYZXLBWWPSPK-VIFPVBQESA-N 1 2 315.320 1.155 20 30 DDEDLO C[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1ccc(C#N)cc1 ZINC000745080927 699970709 /nfs/dbraw/zinc/97/07/09/699970709.db2.gz YOHLMWVZUMOJNZ-AWEZNQCLSA-N 1 2 301.346 1.524 20 30 DDEDLO C[N@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1ccc(C#N)cc1 ZINC000745080927 699970710 /nfs/dbraw/zinc/97/07/10/699970710.db2.gz YOHLMWVZUMOJNZ-AWEZNQCLSA-N 1 2 301.346 1.524 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)/C=C\c3ccco3)C2)C1 ZINC000972229426 695182806 /nfs/dbraw/zinc/18/28/06/695182806.db2.gz SSUQNIFIZLGDDU-KEGWNNHHSA-N 1 2 300.358 1.229 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)/C=C\c3ccco3)C2)C1 ZINC000972229426 695182808 /nfs/dbraw/zinc/18/28/08/695182808.db2.gz SSUQNIFIZLGDDU-KEGWNNHHSA-N 1 2 300.358 1.229 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccoc3C)C2)C1 ZINC000972249450 695190025 /nfs/dbraw/zinc/19/00/25/695190025.db2.gz OTUIMJVRMNUGBD-QGZVFWFLSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccoc3C)C2)C1 ZINC000972249450 695190028 /nfs/dbraw/zinc/19/00/28/695190028.db2.gz OTUIMJVRMNUGBD-QGZVFWFLSA-N 1 2 302.374 1.528 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cnc(C)o3)C2)C1 ZINC000972405418 695241408 /nfs/dbraw/zinc/24/14/08/695241408.db2.gz OZTGKLWWQRZMHN-INIZCTEOSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cnc(C)o3)C2)C1 ZINC000972405418 695241410 /nfs/dbraw/zinc/24/14/10/695241410.db2.gz OZTGKLWWQRZMHN-INIZCTEOSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3nc(CC)c[nH]3)C2)C1 ZINC000972422070 695245753 /nfs/dbraw/zinc/24/57/53/695245753.db2.gz LTDGTMAJKZWDOU-KRWDZBQOSA-N 1 2 318.421 1.004 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3nc(CC)c[nH]3)C2)C1 ZINC000972422070 695245755 /nfs/dbraw/zinc/24/57/55/695245755.db2.gz LTDGTMAJKZWDOU-KRWDZBQOSA-N 1 2 318.421 1.004 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3cccs3)C2)C1 ZINC000972547861 695278957 /nfs/dbraw/zinc/27/89/57/695278957.db2.gz DWSZVGAUFHNDIU-PBHICJAKSA-N 1 2 318.442 1.788 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3cccs3)C2)C1 ZINC000972547861 695278958 /nfs/dbraw/zinc/27/89/58/695278958.db2.gz DWSZVGAUFHNDIU-PBHICJAKSA-N 1 2 318.442 1.788 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCn3cccc3)C2)C1 ZINC000972611262 695298229 /nfs/dbraw/zinc/29/82/29/695298229.db2.gz DZACFBTVLODZCX-SFHVURJKSA-N 1 2 315.417 1.205 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCn3cccc3)C2)C1 ZINC000972611262 695298230 /nfs/dbraw/zinc/29/82/30/695298230.db2.gz DZACFBTVLODZCX-SFHVURJKSA-N 1 2 315.417 1.205 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3OC)C2)C1 ZINC000972654305 695309921 /nfs/dbraw/zinc/30/99/21/695309921.db2.gz OMLQTYDFBXHTRJ-KRWDZBQOSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3OC)C2)C1 ZINC000972654305 695309924 /nfs/dbraw/zinc/30/99/24/695309924.db2.gz OMLQTYDFBXHTRJ-KRWDZBQOSA-N 1 2 317.389 1.193 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccccc3C)C2)C1 ZINC000972669680 695313811 /nfs/dbraw/zinc/31/38/11/695313811.db2.gz RMSVZPPXKOZDIH-LJQANCHMSA-N 1 2 312.413 1.474 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccccc3C)C2)C1 ZINC000972669680 695313813 /nfs/dbraw/zinc/31/38/13/695313813.db2.gz RMSVZPPXKOZDIH-LJQANCHMSA-N 1 2 312.413 1.474 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cn2cc(C)cn2)C(C)(C)C1 ZINC000972843994 695341514 /nfs/dbraw/zinc/34/15/14/695341514.db2.gz JYXRKPMLTIMTKO-ZDUSSCGKSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cn2cc(C)cn2)C(C)(C)C1 ZINC000972843994 695341515 /nfs/dbraw/zinc/34/15/15/695341515.db2.gz JYXRKPMLTIMTKO-ZDUSSCGKSA-N 1 2 310.829 1.771 20 30 DDEDLO CC1=CCC[N@H+](CN2C(=O)N[C@](C)(c3cccc(C#N)c3)C2=O)C1 ZINC000797823186 700025640 /nfs/dbraw/zinc/02/56/40/700025640.db2.gz NILHFBLLMPKNHP-GOSISDBHSA-N 1 2 324.384 1.935 20 30 DDEDLO CC1=CCC[N@@H+](CN2C(=O)N[C@](C)(c3cccc(C#N)c3)C2=O)C1 ZINC000797823186 700025641 /nfs/dbraw/zinc/02/56/41/700025641.db2.gz NILHFBLLMPKNHP-GOSISDBHSA-N 1 2 324.384 1.935 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2nc3c(s2)CCC3)C(C)(C)C1 ZINC000974825055 695743625 /nfs/dbraw/zinc/74/36/25/695743625.db2.gz GQYZWLYAEWXOEC-ZDUSSCGKSA-N 1 2 303.431 1.705 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2nc3c(s2)CCC3)C(C)(C)C1 ZINC000974825055 695743627 /nfs/dbraw/zinc/74/36/27/695743627.db2.gz GQYZWLYAEWXOEC-ZDUSSCGKSA-N 1 2 303.431 1.705 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)[C@@H](C)n2cnc(C#N)n2)c1C ZINC000798415751 700063471 /nfs/dbraw/zinc/06/34/71/700063471.db2.gz KMDJNFGYJWQWKD-LLVKDONJSA-N 1 2 314.349 1.048 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)C[NH+]2CCC(C)CC2)C(C)(C)C1 ZINC000977390166 696141033 /nfs/dbraw/zinc/14/10/33/696141033.db2.gz QCLMMERYRAELFX-INIZCTEOSA-N 1 2 305.466 1.568 20 30 DDEDLO CC#CCN1C[C@H](NC(=O)C[NH+]2CCC(C)CC2)C(C)(C)C1 ZINC000977390166 696141036 /nfs/dbraw/zinc/14/10/36/696141036.db2.gz QCLMMERYRAELFX-INIZCTEOSA-N 1 2 305.466 1.568 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H]([C@H](C)[NH2+]Cc2csnn2)C1 ZINC000979382943 696542004 /nfs/dbraw/zinc/54/20/04/696542004.db2.gz YPXWZUHLHLTAME-WCQYABFASA-N 1 2 324.450 1.456 20 30 DDEDLO C#CCOCCC(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000120338488 696694108 /nfs/dbraw/zinc/69/41/08/696694108.db2.gz DKVCZMFQWPXTED-UHFFFAOYSA-N 1 2 301.390 1.728 20 30 DDEDLO C[N@H+](CC(=O)[C@H](C#N)C(=O)NC1CCCC1)[C@@H]1CCSC1 ZINC000123749412 696734793 /nfs/dbraw/zinc/73/47/93/696734793.db2.gz GXKVNUCUCCSIPG-OLZOCXBDSA-N 1 2 309.435 1.191 20 30 DDEDLO C[N@@H+](CC(=O)[C@H](C#N)C(=O)NC1CCCC1)[C@@H]1CCSC1 ZINC000123749412 696734795 /nfs/dbraw/zinc/73/47/95/696734795.db2.gz GXKVNUCUCCSIPG-OLZOCXBDSA-N 1 2 309.435 1.191 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1nc2c(s1)CCCC2 ZINC000748305747 700109680 /nfs/dbraw/zinc/10/96/80/700109680.db2.gz BNOMSCZAOOQRNJ-ZDUSSCGKSA-N 1 2 303.431 1.736 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1nc2c(s1)CCCC2 ZINC000748305747 700109682 /nfs/dbraw/zinc/10/96/82/700109682.db2.gz BNOMSCZAOOQRNJ-ZDUSSCGKSA-N 1 2 303.431 1.736 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCc1cnn(-c2ccccc2)n1 ZINC000137639837 696858886 /nfs/dbraw/zinc/85/88/86/696858886.db2.gz YKMFSACIGPOXJL-UHFFFAOYSA-N 1 2 308.345 1.694 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCCN(C(=O)C(F)=C(C)C)CC2)C1=O ZINC000980960827 696961820 /nfs/dbraw/zinc/96/18/20/696961820.db2.gz VYYCGFHNABUYIS-CQSZACIVSA-N 1 2 323.412 1.571 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCCN(C(=O)C(F)=C(C)C)CC2)C1=O ZINC000980960827 696961824 /nfs/dbraw/zinc/96/18/24/696961824.db2.gz VYYCGFHNABUYIS-CQSZACIVSA-N 1 2 323.412 1.571 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC000981965730 696988012 /nfs/dbraw/zinc/98/80/12/696988012.db2.gz DNFPZYFPHGYLCS-OLZOCXBDSA-N 1 2 313.829 1.175 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC000981965730 696988016 /nfs/dbraw/zinc/98/80/16/696988016.db2.gz DNFPZYFPHGYLCS-OLZOCXBDSA-N 1 2 313.829 1.175 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[N@H+](Cc2cc(C3CC3)no2)CC1 ZINC000981126109 697011453 /nfs/dbraw/zinc/01/14/53/697011453.db2.gz PGZDEZSHPXWORP-UHFFFAOYSA-N 1 2 313.401 2.000 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[N@@H+](Cc2cc(C3CC3)no2)CC1 ZINC000981126109 697011455 /nfs/dbraw/zinc/01/14/55/697011455.db2.gz PGZDEZSHPXWORP-UHFFFAOYSA-N 1 2 313.401 2.000 20 30 DDEDLO Cc1cccn2cc(CC(=O)N3CCCN(CC#N)CC3)[nH+]c12 ZINC000982212601 697061753 /nfs/dbraw/zinc/06/17/53/697061753.db2.gz JCGSOYRARRGEDO-UHFFFAOYSA-N 1 2 311.389 1.243 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)c2ccc(=O)n(C)c2)CC1 ZINC000981536656 697121854 /nfs/dbraw/zinc/12/18/54/697121854.db2.gz IBLGCRZEBFEVBA-UHFFFAOYSA-N 1 2 309.797 1.286 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)c2ccc(=O)n(C)c2)CC1 ZINC000981536656 697121855 /nfs/dbraw/zinc/12/18/55/697121855.db2.gz IBLGCRZEBFEVBA-UHFFFAOYSA-N 1 2 309.797 1.286 20 30 DDEDLO CS(=O)(=O)c1cccc(N[NH2+]C2=CC(=O)N3CCCC[C@@H]23)c1 ZINC000799486037 700144718 /nfs/dbraw/zinc/14/47/18/700144718.db2.gz XRQOQLUNIQVGAB-AWEZNQCLSA-N 1 2 321.402 1.643 20 30 DDEDLO C[C@H]1[C@H]([NH2+]Cc2csnn2)CCN1C(=O)c1c[nH]c(C#N)c1 ZINC000986183859 697723657 /nfs/dbraw/zinc/72/36/57/697723657.db2.gz LPTZRMDZKCYOJP-TVQRCGJNSA-N 1 2 316.390 1.131 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+][C@H](C)C(=O)NCCF ZINC000800291828 700213305 /nfs/dbraw/zinc/21/33/05/700213305.db2.gz GYABJHOKLUISKO-LLVKDONJSA-N 1 2 312.772 1.916 20 30 DDEDLO C[C@H]1C[C@@H]([NH2+]Cc2nn(C)cc2Cl)CN1C(=O)C#CC1CC1 ZINC000988811184 698473186 /nfs/dbraw/zinc/47/31/86/698473186.db2.gz ITGKXGUSQVEWBQ-WCQYABFASA-N 1 2 320.824 1.566 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=Cc2cccn2Cc2ccccc2C#N)N1 ZINC000780243513 698500099 /nfs/dbraw/zinc/50/00/99/698500099.db2.gz UUBGRVLJCWCDTC-ZDUSSCGKSA-N 1 2 306.373 1.679 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](C/C=C/Cl)CC2)cn1 ZINC000989513139 698652253 /nfs/dbraw/zinc/65/22/53/698652253.db2.gz XRONVDJQGNLVEQ-XVNBXDOJSA-N 1 2 303.793 1.963 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](C/C=C/Cl)CC2)cn1 ZINC000989513139 698652256 /nfs/dbraw/zinc/65/22/56/698652256.db2.gz XRONVDJQGNLVEQ-XVNBXDOJSA-N 1 2 303.793 1.963 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@H+]1C[C@@H]2C[C@]2(CO)C1 ZINC000782625229 698754004 /nfs/dbraw/zinc/75/40/04/698754004.db2.gz YBTSCOHZRGTHKB-XHDPSFHLSA-N 1 2 305.765 1.464 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1C[C@@H]2C[C@]2(CO)C1 ZINC000782625229 698754008 /nfs/dbraw/zinc/75/40/08/698754008.db2.gz YBTSCOHZRGTHKB-XHDPSFHLSA-N 1 2 305.765 1.464 20 30 DDEDLO C#CCNC(=S)N1CCC(c2[nH+]cc3n2CCCC3)CC1 ZINC000788338803 699304905 /nfs/dbraw/zinc/30/49/05/699304905.db2.gz SWMXJJNFJZMUDB-UHFFFAOYSA-N 1 2 302.447 1.907 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)N(C)Cc2cccc(C#N)c2)CCO1 ZINC000726256811 699357502 /nfs/dbraw/zinc/35/75/02/699357502.db2.gz PPSXKNCBDDAJQB-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)N(C)Cc2cccc(C#N)c2)CCO1 ZINC000726256811 699357505 /nfs/dbraw/zinc/35/75/05/699357505.db2.gz PPSXKNCBDDAJQB-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(-n2cc[nH]c2=O)c1 ZINC000730280186 699506333 /nfs/dbraw/zinc/50/63/33/699506333.db2.gz OGBKDSMRJBMZGQ-HNNXBMFYSA-N 1 2 310.357 1.614 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(-n2cc[nH]c2=O)c1 ZINC000730280186 699506334 /nfs/dbraw/zinc/50/63/34/699506334.db2.gz OGBKDSMRJBMZGQ-HNNXBMFYSA-N 1 2 310.357 1.614 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000792445818 699696270 /nfs/dbraw/zinc/69/62/70/699696270.db2.gz ZKANVQRNDAPTAC-LBPRGKRZSA-N 1 2 302.378 1.313 20 30 DDEDLO Cc1cn2cc(NC(=O)N3CCN(C4CC4)[C@@H](C#N)C3)ccc2[nH+]1 ZINC000738920648 699767537 /nfs/dbraw/zinc/76/75/37/699767537.db2.gz UPQNAFGFWZMSLX-HNNXBMFYSA-N 1 2 324.388 1.847 20 30 DDEDLO C#CCCOC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000796087923 699911391 /nfs/dbraw/zinc/91/13/91/699911391.db2.gz LMKPKCYKKJHLKS-CZUORRHYSA-N 1 2 317.389 1.676 20 30 DDEDLO CO[C@H](C)C[N@H+](C)Cc1nc2ccccc2c(=O)n1CC#N ZINC000796641259 699944336 /nfs/dbraw/zinc/94/43/36/699944336.db2.gz LAWUZXCCXSKHPN-GFCCVEGCSA-N 1 2 300.362 1.387 20 30 DDEDLO CO[C@H](C)C[N@@H+](C)Cc1nc2ccccc2c(=O)n1CC#N ZINC000796641259 699944340 /nfs/dbraw/zinc/94/43/40/699944340.db2.gz LAWUZXCCXSKHPN-GFCCVEGCSA-N 1 2 300.362 1.387 20 30 DDEDLO COc1ccccc1C(=O)N1CC[NH+](CCO[C@H](C)C#N)CC1 ZINC000801909975 700352387 /nfs/dbraw/zinc/35/23/87/700352387.db2.gz JJMPSTREGCRCGJ-CQSZACIVSA-N 1 2 317.389 1.382 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1c(F)c(F)cc(F)c1F ZINC000752505407 700368856 /nfs/dbraw/zinc/36/88/56/700368856.db2.gz UESMIAWISWIQQJ-LBPRGKRZSA-N 1 2 314.282 1.957 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1c(F)c(F)cc(F)c1F ZINC000752505407 700368858 /nfs/dbraw/zinc/36/88/58/700368858.db2.gz UESMIAWISWIQQJ-LBPRGKRZSA-N 1 2 314.282 1.957 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1COc2c1cccc2Cl ZINC000758201185 700689354 /nfs/dbraw/zinc/68/93/54/700689354.db2.gz MBHZBNXRAFENIV-KBPBESRZSA-N 1 2 304.777 1.987 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1COc2c1cccc2Cl ZINC000758201185 700689356 /nfs/dbraw/zinc/68/93/56/700689356.db2.gz MBHZBNXRAFENIV-KBPBESRZSA-N 1 2 304.777 1.987 20 30 DDEDLO NC(=[NH+]OCC(=O)N1CCOC[C@@H]1C1CC1)c1ccc(F)cc1 ZINC000765530057 701018388 /nfs/dbraw/zinc/01/83/88/701018388.db2.gz PDSMWQXEHPHBNE-CQSZACIVSA-N 1 2 321.352 1.100 20 30 DDEDLO C[C@@](O)(C1CC[NH+](CC(=O)NCCC#N)CC1)C(F)(F)F ZINC000767897441 701144176 /nfs/dbraw/zinc/14/41/76/701144176.db2.gz MBGQWNLDCZAEEP-GFCCVEGCSA-N 1 2 307.316 1.042 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1nc2cc([N+](=O)[O-])ccc2[nH]1)[C@@H]1CCCO1 ZINC000769790736 701255890 /nfs/dbraw/zinc/25/58/90/701255890.db2.gz WUXREKHVXJGNGY-RISCZKNCSA-N 1 2 300.318 1.742 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCc2ccc(C#N)cc2OC)C1 ZINC000805470538 701383546 /nfs/dbraw/zinc/38/35/46/701383546.db2.gz VFEUBZZHINWMRR-HNNXBMFYSA-N 1 2 304.346 1.331 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCc2ccc(C#N)cc2OC)C1 ZINC000805470538 701383547 /nfs/dbraw/zinc/38/35/47/701383547.db2.gz VFEUBZZHINWMRR-HNNXBMFYSA-N 1 2 304.346 1.331 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCCOc2ccccc2C#N)C1 ZINC000805479298 701385045 /nfs/dbraw/zinc/38/50/45/701385045.db2.gz MGTHENASXPQCKW-OAHLLOKOSA-N 1 2 304.346 1.201 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCCOc2ccccc2C#N)C1 ZINC000805479298 701385046 /nfs/dbraw/zinc/38/50/46/701385046.db2.gz MGTHENASXPQCKW-OAHLLOKOSA-N 1 2 304.346 1.201 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)C(F)(F)F)[C@@H]1C ZINC000805785767 701409390 /nfs/dbraw/zinc/40/93/90/701409390.db2.gz OHJOFZDKAJWDAE-SRVKXCTJSA-N 1 2 306.328 1.414 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)C(F)(F)F)[C@@H]1C ZINC000805785767 701409391 /nfs/dbraw/zinc/40/93/91/701409391.db2.gz OHJOFZDKAJWDAE-SRVKXCTJSA-N 1 2 306.328 1.414 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000879155324 706600908 /nfs/dbraw/zinc/60/09/08/706600908.db2.gz ZLDYYPRTXSWKAD-UXLLHSPISA-N 1 2 318.373 1.244 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000879155324 706600910 /nfs/dbraw/zinc/60/09/10/706600910.db2.gz ZLDYYPRTXSWKAD-UXLLHSPISA-N 1 2 318.373 1.244 20 30 DDEDLO CC[C@H](C#N)Oc1cccc(CNC(=O)Cc2c[nH+]cn2C)c1 ZINC000806857359 701451274 /nfs/dbraw/zinc/45/12/74/701451274.db2.gz QAUSTQXFRISFKU-OAHLLOKOSA-N 1 2 312.373 1.960 20 30 DDEDLO C[C@@H](CC#N)N(C)S(=O)(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC000867794222 701753007 /nfs/dbraw/zinc/75/30/07/701753007.db2.gz FXCLVMOGJZDTLR-SWLSCSKDSA-N 1 2 322.434 1.241 20 30 DDEDLO C[C@@H](CC#N)N(C)S(=O)(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC000867794222 701753009 /nfs/dbraw/zinc/75/30/09/701753009.db2.gz FXCLVMOGJZDTLR-SWLSCSKDSA-N 1 2 322.434 1.241 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)OC(C)(C)C)C[N@@H+]1CC(=O)NCCC#N ZINC000879221266 706619244 /nfs/dbraw/zinc/61/92/44/706619244.db2.gz JWBHUKLARAKCAA-RYUDHWBXSA-N 1 2 310.398 1.004 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)OC(C)(C)C)C[N@H+]1CC(=O)NCCC#N ZINC000879221266 706619247 /nfs/dbraw/zinc/61/92/47/706619247.db2.gz JWBHUKLARAKCAA-RYUDHWBXSA-N 1 2 310.398 1.004 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCc2c(CC(N)=O)cccc2C1 ZINC000879233887 706623969 /nfs/dbraw/zinc/62/39/69/706623969.db2.gz LKLMOZPCFJNPHO-HNNXBMFYSA-N 1 2 302.374 1.190 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCc2c(CC(N)=O)cccc2C1 ZINC000879233887 706623972 /nfs/dbraw/zinc/62/39/72/706623972.db2.gz LKLMOZPCFJNPHO-HNNXBMFYSA-N 1 2 302.374 1.190 20 30 DDEDLO C#CCCNC(=O)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000868253892 702024329 /nfs/dbraw/zinc/02/43/29/702024329.db2.gz YOWSVPSGLTYXTG-KRWDZBQOSA-N 1 2 315.417 1.518 20 30 DDEDLO C#CCCNC(=O)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000868253892 702024334 /nfs/dbraw/zinc/02/43/34/702024334.db2.gz YOWSVPSGLTYXTG-KRWDZBQOSA-N 1 2 315.417 1.518 20 30 DDEDLO C=C(C)CNC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000868518031 702173162 /nfs/dbraw/zinc/17/31/62/702173162.db2.gz HGLJWJIDXWHJPT-UHFFFAOYSA-N 1 2 311.426 1.668 20 30 DDEDLO COC[C@]1(C(=O)OC(C)(C)C)C[N@@H+]([C@H]2CC[C@H](C#N)C2)CCO1 ZINC000879358242 706659825 /nfs/dbraw/zinc/65/98/25/706659825.db2.gz FZTIQQNXCASCDU-ZQIUZPCESA-N 1 2 324.421 1.738 20 30 DDEDLO COC[C@]1(C(=O)OC(C)(C)C)C[N@H+]([C@H]2CC[C@H](C#N)C2)CCO1 ZINC000879358242 706659827 /nfs/dbraw/zinc/65/98/27/706659827.db2.gz FZTIQQNXCASCDU-ZQIUZPCESA-N 1 2 324.421 1.738 20 30 DDEDLO COCC(C)(C)[NH+]1CCN(C(=O)c2sccc2CC#N)CC1 ZINC000868960420 702406628 /nfs/dbraw/zinc/40/66/28/702406628.db2.gz JAWPFLSQAYRNHX-UHFFFAOYSA-N 1 2 321.446 1.997 20 30 DDEDLO C#C[C@@H](NC(=O)Cc1ccc(-n2cc[nH+]c2)cc1)C1CCOCC1 ZINC000869287536 702555040 /nfs/dbraw/zinc/55/50/40/702555040.db2.gz GWTLJPMJJGCUEC-GOSISDBHSA-N 1 2 323.396 1.959 20 30 DDEDLO C[C@@H]([NH2+]C[C@@]1(OCCO)CCOC1)c1cccc(C#N)c1O ZINC000866389156 706687740 /nfs/dbraw/zinc/68/77/40/706687740.db2.gz QFZDRVDTXWFIAV-WBMJQRKESA-N 1 2 306.362 1.082 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@H+](CC#Cc3ccc(F)cc3)C2)C1 ZINC000879484119 706692829 /nfs/dbraw/zinc/69/28/29/706692829.db2.gz PTIAZIKKVMDRQH-KRWDZBQOSA-N 1 2 316.332 1.571 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@@H+](CC#Cc3ccc(F)cc3)C2)C1 ZINC000879484119 706692830 /nfs/dbraw/zinc/69/28/30/706692830.db2.gz PTIAZIKKVMDRQH-KRWDZBQOSA-N 1 2 316.332 1.571 20 30 DDEDLO C#CC1CC[NH+](Cn2cc(C)n(-c3cc(C)on3)c2=O)CC1 ZINC000842634275 702746701 /nfs/dbraw/zinc/74/67/01/702746701.db2.gz RSYDZEWJDVMOOD-UHFFFAOYSA-N 1 2 300.362 1.547 20 30 DDEDLO COC[C@@H](C[N@@H+]1CCO[C@H](C)C1)OC(=O)c1cc(C#N)[nH]c1C ZINC000842969833 702796360 /nfs/dbraw/zinc/79/63/60/702796360.db2.gz XVRFRQSDWYZUTQ-BXUZGUMPSA-N 1 2 321.377 1.087 20 30 DDEDLO COC[C@@H](C[N@H+]1CCO[C@H](C)C1)OC(=O)c1cc(C#N)[nH]c1C ZINC000842969833 702796361 /nfs/dbraw/zinc/79/63/61/702796361.db2.gz XVRFRQSDWYZUTQ-BXUZGUMPSA-N 1 2 321.377 1.087 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCCC[C@H]3C3OCCO3)cnc12 ZINC000843393486 702872458 /nfs/dbraw/zinc/87/24/58/702872458.db2.gz LWKCGJFDYKIMHA-AWEZNQCLSA-N 1 2 313.361 1.328 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCCC[C@H]3C3OCCO3)cnc12 ZINC000843393486 702872461 /nfs/dbraw/zinc/87/24/61/702872461.db2.gz LWKCGJFDYKIMHA-AWEZNQCLSA-N 1 2 313.361 1.328 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)C[C@H]2CN(C3CC3)C(=O)O2)n1 ZINC000844324100 703013959 /nfs/dbraw/zinc/01/39/59/703013959.db2.gz LNCIKRHNXNFYFN-HNNXBMFYSA-N 1 2 302.378 1.321 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)C[C@H]2CN(C3CC3)C(=O)O2)n1 ZINC000844324100 703013961 /nfs/dbraw/zinc/01/39/61/703013961.db2.gz LNCIKRHNXNFYFN-HNNXBMFYSA-N 1 2 302.378 1.321 20 30 DDEDLO C[N@H+](C[C@@H](O)c1cccc(C#N)c1)CC1(CS(C)(=O)=O)CC1 ZINC000844366859 703020036 /nfs/dbraw/zinc/02/00/36/703020036.db2.gz PALGMOANCXTMSU-OAHLLOKOSA-N 1 2 322.430 1.348 20 30 DDEDLO C[N@@H+](C[C@@H](O)c1cccc(C#N)c1)CC1(CS(C)(=O)=O)CC1 ZINC000844366859 703020039 /nfs/dbraw/zinc/02/00/39/703020039.db2.gz PALGMOANCXTMSU-OAHLLOKOSA-N 1 2 322.430 1.348 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845276122 703137648 /nfs/dbraw/zinc/13/76/48/703137648.db2.gz IGPXMRISIFBGGB-DVOMOZLQSA-N 1 2 320.393 1.820 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(O[C@@H](C)C#N)cc2)C[C@H](C)O1 ZINC000846060073 703231735 /nfs/dbraw/zinc/23/17/35/703231735.db2.gz UDHXVLSYPLIMEY-XEZPLFJOSA-N 1 2 318.373 1.740 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(O[C@@H](C)C#N)cc2)C[C@H](C)O1 ZINC000846060073 703231738 /nfs/dbraw/zinc/23/17/38/703231738.db2.gz UDHXVLSYPLIMEY-XEZPLFJOSA-N 1 2 318.373 1.740 20 30 DDEDLO Cn1cc(CN(CCn2cc[nH+]c2)C(=O)C#CC(C)(C)C)cn1 ZINC000846097526 703237637 /nfs/dbraw/zinc/23/76/37/703237637.db2.gz QTIANVUUPGIPSS-UHFFFAOYSA-N 1 2 313.405 1.695 20 30 DDEDLO C=C(C)CONC(=O)C[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000846537931 703293197 /nfs/dbraw/zinc/29/31/97/703293197.db2.gz QIHCJLXOQVSXAC-MRXNPFEDSA-N 1 2 304.390 1.901 20 30 DDEDLO C=C(C)CONC(=O)C[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000846537931 703293198 /nfs/dbraw/zinc/29/31/98/703293198.db2.gz QIHCJLXOQVSXAC-MRXNPFEDSA-N 1 2 304.390 1.901 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2ccc(-n3ccnc3)nc2)nn1 ZINC000850024448 703697775 /nfs/dbraw/zinc/69/77/75/703697775.db2.gz YWRNUOIRRHWXFU-UHFFFAOYSA-N 1 2 309.377 1.725 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccccc1OCC(F)F ZINC000819460856 704129635 /nfs/dbraw/zinc/12/96/35/704129635.db2.gz WTJZZUSRMUIXCS-GFCCVEGCSA-N 1 2 313.348 1.949 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccccc1OCC(F)F ZINC000819460856 704129636 /nfs/dbraw/zinc/12/96/36/704129636.db2.gz WTJZZUSRMUIXCS-GFCCVEGCSA-N 1 2 313.348 1.949 20 30 DDEDLO COc1nc(N)nc(N2CCCC2)c1C=[NH+]N1CCCC[C@H]1C ZINC000853380659 704237608 /nfs/dbraw/zinc/23/76/08/704237608.db2.gz RIMWKFAPMCTXOP-GFCCVEGCSA-N 1 2 318.425 1.876 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cccc(CC#N)c1 ZINC000879845239 706800375 /nfs/dbraw/zinc/80/03/75/706800375.db2.gz BZUVASZAOIYMOZ-INIZCTEOSA-N 1 2 316.405 1.985 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1cccc(CC#N)c1 ZINC000879845239 706800377 /nfs/dbraw/zinc/80/03/77/706800377.db2.gz BZUVASZAOIYMOZ-INIZCTEOSA-N 1 2 316.405 1.985 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C(C)(C)[C@@H]1[C@@H]1CCCO1)[C@H](C)COC ZINC000853534844 704261570 /nfs/dbraw/zinc/26/15/70/704261570.db2.gz NAFYPIABBNTSJL-ILXRZTDVSA-N 1 2 310.438 1.883 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C(C)(C)[C@@H]1[C@@H]1CCCO1)[C@H](C)COC ZINC000853534844 704261575 /nfs/dbraw/zinc/26/15/75/704261575.db2.gz NAFYPIABBNTSJL-ILXRZTDVSA-N 1 2 310.438 1.883 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)CC2(CCC(C)CC2)C1=O ZINC000853621287 704287913 /nfs/dbraw/zinc/28/79/13/704287913.db2.gz XHCSORNZGJBTPG-UHFFFAOYSA-N 1 2 320.433 1.871 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)CC2(CCC(C)CC2)C1=O ZINC000853621287 704287915 /nfs/dbraw/zinc/28/79/15/704287915.db2.gz XHCSORNZGJBTPG-UHFFFAOYSA-N 1 2 320.433 1.871 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCCCn1cc(CO)nn1 ZINC000853638202 704291242 /nfs/dbraw/zinc/29/12/42/704291242.db2.gz ZRADCMJPWQCSNA-UHFFFAOYSA-N 1 2 315.377 1.460 20 30 DDEDLO COC(=O)Cc1cc[nH+]c(N2CCC(C#N)(C(=O)OC)CC2)c1 ZINC000853665095 704295539 /nfs/dbraw/zinc/29/55/39/704295539.db2.gz XXASVVZMFRNUHR-UHFFFAOYSA-N 1 2 317.345 1.080 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CCC(C)(O)CC3)[nH+]cn2)C1 ZINC000853716596 704304489 /nfs/dbraw/zinc/30/44/89/704304489.db2.gz WNJMBWCASSYOIY-CQSZACIVSA-N 1 2 300.406 1.677 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CCC(C)(O)CC3)nc[nH+]2)C1 ZINC000853716596 704304491 /nfs/dbraw/zinc/30/44/91/704304491.db2.gz WNJMBWCASSYOIY-CQSZACIVSA-N 1 2 300.406 1.677 20 30 DDEDLO N#Cc1ccc(F)c(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)c1 ZINC000854250235 704371733 /nfs/dbraw/zinc/37/17/33/704371733.db2.gz OPRPFBSNWRHOEF-AWEZNQCLSA-N 1 2 317.364 1.634 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC000855496128 704488120 /nfs/dbraw/zinc/48/81/20/704488120.db2.gz FFWBGOXQRGJTDV-UHFFFAOYSA-N 1 2 305.378 1.491 20 30 DDEDLO CC(C)(C)OC(=O)C[C@](C)(C#N)C(=O)N[C@@H]1CCn2c[nH+]cc21 ZINC000857981752 704654900 /nfs/dbraw/zinc/65/49/00/704654900.db2.gz IPFMTZZPLRORNM-BDJLRTHQSA-N 1 2 318.377 1.706 20 30 DDEDLO Cc1c[nH+]c2c(c1)N(C(=O)CC1(C#N)CCOCC1)CCN2 ZINC000858002528 704659483 /nfs/dbraw/zinc/65/94/83/704659483.db2.gz VOPYRFUAEOCNJG-UHFFFAOYSA-N 1 2 300.362 1.859 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@@H+]2C[C@@H]3COC(=O)[C@@H]3C2)c1C#N ZINC000860164872 705121078 /nfs/dbraw/zinc/12/10/78/705121078.db2.gz OWCSFHLAXGFNTM-CZUORRHYSA-N 1 2 322.368 1.657 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@H+]2C[C@@H]3COC(=O)[C@@H]3C2)c1C#N ZINC000860164872 705121081 /nfs/dbraw/zinc/12/10/81/705121081.db2.gz OWCSFHLAXGFNTM-CZUORRHYSA-N 1 2 322.368 1.657 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C)c(C#N)c1 ZINC000874709015 705143400 /nfs/dbraw/zinc/14/34/00/705143400.db2.gz VNWZTVOWGPWHKU-INIZCTEOSA-N 1 2 301.390 1.707 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(C)c(C#N)c1 ZINC000874709015 705143401 /nfs/dbraw/zinc/14/34/01/705143401.db2.gz VNWZTVOWGPWHKU-INIZCTEOSA-N 1 2 301.390 1.707 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1cc(C#N)ccc1F ZINC000874710415 705144865 /nfs/dbraw/zinc/14/48/65/705144865.db2.gz IFTAIFAJASPKJH-CYBMUJFWSA-N 1 2 305.353 1.538 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1cc(C#N)ccc1F ZINC000874710415 705144866 /nfs/dbraw/zinc/14/48/66/705144866.db2.gz IFTAIFAJASPKJH-CYBMUJFWSA-N 1 2 305.353 1.538 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@@H](CNC(=O)NCCC#N)C2)c1 ZINC000875541275 705423543 /nfs/dbraw/zinc/42/35/43/705423543.db2.gz ZXXKWGBIDWJEJJ-INIZCTEOSA-N 1 2 316.405 1.409 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@@H](CNC(=O)NCCC#N)C2)c1 ZINC000875541275 705423546 /nfs/dbraw/zinc/42/35/46/705423546.db2.gz ZXXKWGBIDWJEJJ-INIZCTEOSA-N 1 2 316.405 1.409 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(/C=C/C(=O)OC)o2)CC1 ZINC000825077932 705595361 /nfs/dbraw/zinc/59/53/61/705595361.db2.gz MQJWVWOQQDTRPJ-SOFGYWHQSA-N 1 2 316.357 1.247 20 30 DDEDLO COc1cccc([C@H](C#N)NC(=O)Cc2[nH]cc[nH+]2)c1OC ZINC000826142485 705777049 /nfs/dbraw/zinc/77/70/49/705777049.db2.gz CXJMFDBKEVJQNV-NSHDSACASA-N 1 2 300.318 1.350 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000826603231 705823662 /nfs/dbraw/zinc/82/36/62/705823662.db2.gz CTEVNSJBJGWMMB-QGZVFWFLSA-N 1 2 318.417 1.515 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000826603231 705823666 /nfs/dbraw/zinc/82/36/66/705823666.db2.gz CTEVNSJBJGWMMB-QGZVFWFLSA-N 1 2 318.417 1.515 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCC[C@]2(COC)C(=O)OC)cc1 ZINC000827489169 706012614 /nfs/dbraw/zinc/01/26/14/706012614.db2.gz ONAFDHXRARPBFO-SFHVURJKSA-N 1 2 317.385 1.853 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCC[C@]2(COC)C(=O)OC)cc1 ZINC000827489169 706012618 /nfs/dbraw/zinc/01/26/18/706012618.db2.gz ONAFDHXRARPBFO-SFHVURJKSA-N 1 2 317.385 1.853 20 30 DDEDLO N#CCc1ccsc1C(=O)N1CC[NH+](C[C@@H]2CCCO2)CC1 ZINC000864536688 706189622 /nfs/dbraw/zinc/18/96/22/706189622.db2.gz MBARDAGUQJNPIU-AWEZNQCLSA-N 1 2 319.430 1.751 20 30 DDEDLO C[C@]12C[N@H+](Cc3ccc(C#N)cc3)C[C@@]1(C)CS(=O)(=O)C2 ZINC000877815292 706223231 /nfs/dbraw/zinc/22/32/31/706223231.db2.gz WWVWEYHMBAVDHZ-IYBDPMFKSA-N 1 2 304.415 1.815 20 30 DDEDLO C[C@]12C[N@@H+](Cc3ccc(C#N)cc3)C[C@@]1(C)CS(=O)(=O)C2 ZINC000877815292 706223233 /nfs/dbraw/zinc/22/32/33/706223233.db2.gz WWVWEYHMBAVDHZ-IYBDPMFKSA-N 1 2 304.415 1.815 20 30 DDEDLO CCC[N@H+](CC[C@](C#N)(C(C)=O)c1ccccc1)CC(=O)NC ZINC000877986978 706258487 /nfs/dbraw/zinc/25/84/87/706258487.db2.gz WKGITHYYCVSFCF-SFHVURJKSA-N 1 2 315.417 1.885 20 30 DDEDLO CCC[N@@H+](CC[C@](C#N)(C(C)=O)c1ccccc1)CC(=O)NC ZINC000877986978 706258491 /nfs/dbraw/zinc/25/84/91/706258491.db2.gz WKGITHYYCVSFCF-SFHVURJKSA-N 1 2 315.417 1.885 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)[C@@H]1CCn3cc[nH+]c3C1)C2 ZINC000829486458 706343165 /nfs/dbraw/zinc/34/31/65/706343165.db2.gz WJZAQLFFGGNTKF-GDBMZVCRSA-N 1 2 306.369 1.601 20 30 DDEDLO C=C(Cl)C[C@@H]1NC(=O)N(CCc2cn3c([nH+]2)CCCC3)C1=O ZINC000865298930 706398062 /nfs/dbraw/zinc/39/80/62/706398062.db2.gz JJNWGMSDXKAOIM-LBPRGKRZSA-N 1 2 322.796 1.825 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@H]2CC(C)(C)CO2)n1 ZINC000878526392 706416662 /nfs/dbraw/zinc/41/66/62/706416662.db2.gz OZRPBGFBOGSXCI-MRXNPFEDSA-N 1 2 305.422 1.780 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@H]2CC(C)(C)CO2)n1 ZINC000878526392 706416666 /nfs/dbraw/zinc/41/66/66/706416666.db2.gz OZRPBGFBOGSXCI-MRXNPFEDSA-N 1 2 305.422 1.780 20 30 DDEDLO C#C[C@H](CO)NC(=O)Nc1cccc([C@@H](C)[NH+]2CCOCC2)c1 ZINC000878626934 706449142 /nfs/dbraw/zinc/44/91/42/706449142.db2.gz IXURKTMPGXYJCN-UKRRQHHQSA-N 1 2 317.389 1.195 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)C[C@H]2CCCC2(F)F)CC1 ZINC000830195044 706472476 /nfs/dbraw/zinc/47/24/76/706472476.db2.gz JGJYNBIHZBHYRB-CYBMUJFWSA-N 1 2 320.405 1.393 20 30 DDEDLO CCS(=O)(=O)CC[NH+]1CCC(Nc2ccccc2C#N)CC1 ZINC000878963213 706545258 /nfs/dbraw/zinc/54/52/58/706545258.db2.gz AEJZZSHKJUWDNA-UHFFFAOYSA-N 1 2 321.446 1.869 20 30 DDEDLO C#CCn1cc(CNC(=O)[C@@H](CC)[N@@H+]2CCO[C@H](CC)C2)cn1 ZINC000834615038 707094046 /nfs/dbraw/zinc/09/40/46/707094046.db2.gz ZLMNIVOUWKOGAW-HZPDHXFCSA-N 1 2 318.421 1.022 20 30 DDEDLO C#CCn1cc(CNC(=O)[C@@H](CC)[N@H+]2CCO[C@H](CC)C2)cn1 ZINC000834615038 707094047 /nfs/dbraw/zinc/09/40/47/707094047.db2.gz ZLMNIVOUWKOGAW-HZPDHXFCSA-N 1 2 318.421 1.022 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H]2CCC3(CCOCC3)CO2)nn1 ZINC000880951498 707097799 /nfs/dbraw/zinc/09/77/99/707097799.db2.gz CSVKIQXCWOAIII-INIZCTEOSA-N 1 2 318.421 1.367 20 30 DDEDLO COC(=O)c1cc(N[NH+]=Cc2cnc(N(C)C)n2C)ccc1F ZINC000835012105 707156693 /nfs/dbraw/zinc/15/66/93/707156693.db2.gz QRWIQKYITLJZMP-UHFFFAOYSA-N 1 2 319.340 1.858 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2cc(CC#N)ccn2)[C@@H](C)CO1 ZINC000871735240 707181325 /nfs/dbraw/zinc/18/13/25/707181325.db2.gz JTDHCASQJQBVIM-STQMWFEESA-N 1 2 317.393 1.378 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2cc(CC#N)ccn2)[C@@H](C)CO1 ZINC000871735240 707181328 /nfs/dbraw/zinc/18/13/28/707181328.db2.gz JTDHCASQJQBVIM-STQMWFEESA-N 1 2 317.393 1.378 20 30 DDEDLO C=C(Br)C[N@@H+]1CCNC(=O)CC12CCOCC2 ZINC000872290027 707367321 /nfs/dbraw/zinc/36/73/21/707367321.db2.gz URZCIAWDRODNMU-UHFFFAOYSA-N 1 2 303.200 1.266 20 30 DDEDLO C=C(Br)C[N@H+]1CCNC(=O)CC12CCOCC2 ZINC000872290027 707367324 /nfs/dbraw/zinc/36/73/24/707367324.db2.gz URZCIAWDRODNMU-UHFFFAOYSA-N 1 2 303.200 1.266 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNc1nc2c(cc1C#N)CCC2 ZINC000872772269 707593212 /nfs/dbraw/zinc/59/32/12/707593212.db2.gz RYSGSQAOOTZYDW-OAHLLOKOSA-N 1 2 300.406 1.965 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNc1nc2c(cc1C#N)CCC2 ZINC000872772269 707593216 /nfs/dbraw/zinc/59/32/16/707593216.db2.gz RYSGSQAOOTZYDW-OAHLLOKOSA-N 1 2 300.406 1.965 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1ccc(OCC)cc1 ZINC000884051669 708118253 /nfs/dbraw/zinc/11/82/53/708118253.db2.gz OKQFUGIGNYZMLO-HNNXBMFYSA-N 1 2 320.389 1.490 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC(OCC(C)C)CC1 ZINC000884098123 708137838 /nfs/dbraw/zinc/13/78/38/708137838.db2.gz AHJVPKXSRVSHFI-AWEZNQCLSA-N 1 2 312.410 1.097 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1C[C@@H]1c1cccc(F)c1 ZINC000884106215 708141717 /nfs/dbraw/zinc/14/17/17/708141717.db2.gz KIPIEEAIGFXCNJ-RDBSUJKOSA-N 1 2 306.337 1.244 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC(C)(C)Cc1ccccc1C ZINC000884124344 708149449 /nfs/dbraw/zinc/14/94/49/708149449.db2.gz VITLJEKMTNEMJF-HNNXBMFYSA-N 1 2 318.417 1.879 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@@H]1c1cccc(F)c1 ZINC000884160634 708165893 /nfs/dbraw/zinc/16/58/93/708165893.db2.gz JPKYXBGVOQRWNR-UONOGXRCSA-N 1 2 306.337 1.546 20 30 DDEDLO CN(C)c1cc(N2CCO[C@H](c3ccc(C#N)cc3)C2)nc[nH+]1 ZINC000896872196 708169780 /nfs/dbraw/zinc/16/97/80/708169780.db2.gz CBSPQUZFKUFEOY-HNNXBMFYSA-N 1 2 309.373 1.992 20 30 DDEDLO CN(C)c1cc(N2CCO[C@H](c3ccc(C#N)cc3)C2)[nH+]cn1 ZINC000896872196 708169782 /nfs/dbraw/zinc/16/97/82/708169782.db2.gz CBSPQUZFKUFEOY-HNNXBMFYSA-N 1 2 309.373 1.992 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H](OC2CCC2)CC1 ZINC000884467505 708308574 /nfs/dbraw/zinc/30/85/74/708308574.db2.gz XIDQKVGGNBNCJR-GJZGRUSLSA-N 1 2 324.421 1.383 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H]1CCC[N@H+](CCF)C1 ZINC000898483905 708652614 /nfs/dbraw/zinc/65/26/14/708652614.db2.gz PGHWQGWFIOHECB-UKRRQHHQSA-N 1 2 319.380 1.876 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H]1CCC[N@@H+](CCF)C1 ZINC000898483905 708652617 /nfs/dbraw/zinc/65/26/17/708652617.db2.gz PGHWQGWFIOHECB-UKRRQHHQSA-N 1 2 319.380 1.876 20 30 DDEDLO C[N@@H+](Cc1ncnn1CC(F)F)C[C@@H](O)CC1(C#N)CCC1 ZINC000886176412 708710242 /nfs/dbraw/zinc/71/02/42/708710242.db2.gz BFXOQUFXDAJBRT-NSHDSACASA-N 1 2 313.352 1.420 20 30 DDEDLO C[N@H+](Cc1ncnn1CC(F)F)C[C@@H](O)CC1(C#N)CCC1 ZINC000886176412 708710244 /nfs/dbraw/zinc/71/02/44/708710244.db2.gz BFXOQUFXDAJBRT-NSHDSACASA-N 1 2 313.352 1.420 20 30 DDEDLO C=CCC1(O)CC[NH+](CC(=O)Nc2ccnn2CCC)CC1 ZINC000886763843 708839989 /nfs/dbraw/zinc/83/99/89/708839989.db2.gz GOPDZBQWIDKSAV-UHFFFAOYSA-N 1 2 306.410 1.635 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1cccc(-c2ccon2)c1 ZINC000899900410 709231119 /nfs/dbraw/zinc/23/11/19/709231119.db2.gz PSPASCUOTDDRPI-OAHLLOKOSA-N 1 2 300.362 1.960 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1cccc(-c2ccon2)c1 ZINC000899900410 709231121 /nfs/dbraw/zinc/23/11/21/709231121.db2.gz PSPASCUOTDDRPI-OAHLLOKOSA-N 1 2 300.362 1.960 20 30 DDEDLO N#CCCN(C(=O)Cc1c[nH]c[nH+]1)c1ccc2c(c1)OCCO2 ZINC000900553565 709648927 /nfs/dbraw/zinc/64/89/27/709648927.db2.gz FGSWHVQLTJQNQV-UHFFFAOYSA-N 1 2 312.329 1.670 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)NCC[NH+]2CCSCC2)cc1 ZINC000900672349 709705389 /nfs/dbraw/zinc/70/53/89/709705389.db2.gz HPXSFWFYIZQCRF-VOTSOKGWSA-N 1 2 318.442 1.899 20 30 DDEDLO C#CCCCc1nnc(N2CC[NH+](CC#C)CC2)n1CC1CC1 ZINC000910422284 709933578 /nfs/dbraw/zinc/93/35/78/709933578.db2.gz NNDBWANFFUOYNB-UHFFFAOYSA-N 1 2 311.433 1.399 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(C)c(C)c2)C1 ZINC000891606467 710246769 /nfs/dbraw/zinc/24/67/69/710246769.db2.gz NALDJENJIQASQY-INIZCTEOSA-N 1 2 313.401 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(C)c(C)c2)C1 ZINC000891606467 710246770 /nfs/dbraw/zinc/24/67/70/710246770.db2.gz NALDJENJIQASQY-INIZCTEOSA-N 1 2 313.401 1.456 20 30 DDEDLO CCCS(=O)(=O)c1ccccc1C(=O)NCC#CC[NH+](C)C ZINC000913517097 713242655 /nfs/dbraw/zinc/24/26/55/713242655.db2.gz RZXSRDZJZNDCLR-UHFFFAOYSA-N 1 2 322.430 1.165 20 30 DDEDLO CC(C)(C)[C@@](C)(C#N)NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000904182245 711402766 /nfs/dbraw/zinc/40/27/66/711402766.db2.gz XQOIGUKBTYRJPL-JKIFEVAISA-N 1 2 323.437 1.311 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)Nc1cc(C#N)ccc1[N+](=O)[O-] ZINC000895801849 711609758 /nfs/dbraw/zinc/60/97/58/711609758.db2.gz UGXZLBHDLFCGCC-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO CC(C)(CNC(=O)[C@@]1(C#N)CC2CCC1CC2)[NH+]1CCOCC1 ZINC000905207037 711968363 /nfs/dbraw/zinc/96/83/63/711968363.db2.gz GBTKQVBXELVEHI-JTTJXQCZSA-N 1 2 319.449 1.933 20 30 DDEDLO C#CC[N@H+](CN1C(=O)C[C@]2(CCc3ccccc32)C1=O)C(C)C ZINC000905748187 712138078 /nfs/dbraw/zinc/13/80/78/712138078.db2.gz HBBIVECFQBKYAO-IBGZPJMESA-N 1 2 310.397 1.931 20 30 DDEDLO C#CC[N@@H+](CN1C(=O)C[C@]2(CCc3ccccc32)C1=O)C(C)C ZINC000905748187 712138081 /nfs/dbraw/zinc/13/80/81/712138081.db2.gz HBBIVECFQBKYAO-IBGZPJMESA-N 1 2 310.397 1.931 20 30 DDEDLO C=CC(C)(C)CNC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000908938368 712891838 /nfs/dbraw/zinc/89/18/38/712891838.db2.gz AQPFNSHQIDQSMM-UHFFFAOYSA-N 1 2 305.422 1.762 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@H](Nc2ccc(C#N)cn2)C1 ZINC000928719958 713471337 /nfs/dbraw/zinc/47/13/37/713471337.db2.gz HBAOFTNRKVWSRB-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1ccc(NC(=O)N2CCO[C@@](C)(C#N)C2)c(N(C)C)[nH+]1 ZINC000930505217 713852762 /nfs/dbraw/zinc/85/27/62/713852762.db2.gz IQNRFOSWKLWRGD-HNNXBMFYSA-N 1 2 303.366 1.602 20 30 DDEDLO C[N@H+](C[C@H](O)c1cccc(C#N)c1)C[C@@H]1CCCS1(=O)=O ZINC000930845260 713936002 /nfs/dbraw/zinc/93/60/02/713936002.db2.gz MICMYQUKKTZUJT-GJZGRUSLSA-N 1 2 308.403 1.101 20 30 DDEDLO C[N@@H+](C[C@H](O)c1cccc(C#N)c1)C[C@@H]1CCCS1(=O)=O ZINC000930845260 713936004 /nfs/dbraw/zinc/93/60/04/713936004.db2.gz MICMYQUKKTZUJT-GJZGRUSLSA-N 1 2 308.403 1.101 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CC[C@@H](c2n[nH]cc2C(=O)OCC)C1 ZINC000931011679 713981948 /nfs/dbraw/zinc/98/19/48/713981948.db2.gz MKDRIFNRQLOMMF-DGCLKSJQSA-N 1 2 321.377 1.493 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CC[C@@H](c2n[nH]cc2C(=O)OCC)C1 ZINC000931011679 713981950 /nfs/dbraw/zinc/98/19/50/713981950.db2.gz MKDRIFNRQLOMMF-DGCLKSJQSA-N 1 2 321.377 1.493 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)c2[nH]c(C)c(C(=O)OC)c2C)CC1 ZINC000931145513 714015062 /nfs/dbraw/zinc/01/50/62/714015062.db2.gz AXRUGLSWQJZAPG-UHFFFAOYSA-N 1 2 318.373 1.061 20 30 DDEDLO Cc1cc(C)c(CNC(=O)N2C[C@@H](C)N(CC#N)[C@H](C)C2)c[nH+]1 ZINC000922779551 714145654 /nfs/dbraw/zinc/14/56/54/714145654.db2.gz XGQLBQOFRDLHQD-HUUCEWRRSA-N 1 2 315.421 1.826 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[NH2+][C@@H](c2ncccn2)C2CC2)c1 ZINC000932361379 714317884 /nfs/dbraw/zinc/31/78/84/714317884.db2.gz VBEKLXVWJBXSSD-QGZVFWFLSA-N 1 2 321.384 1.705 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cccc([S@@](C)=O)c2)C1 ZINC000923566783 714408327 /nfs/dbraw/zinc/40/83/27/714408327.db2.gz SGURDMIRGGGWPO-LHSJRXKWSA-N 1 2 304.415 1.251 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cccc([S@@](C)=O)c2)C1 ZINC000923566783 714408328 /nfs/dbraw/zinc/40/83/28/714408328.db2.gz SGURDMIRGGGWPO-LHSJRXKWSA-N 1 2 304.415 1.251 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC000923589518 714419529 /nfs/dbraw/zinc/41/95/29/714419529.db2.gz SGDWROFGJLWWTO-GFCCVEGCSA-N 1 2 316.430 1.771 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC000923589518 714419530 /nfs/dbraw/zinc/41/95/30/714419530.db2.gz SGDWROFGJLWWTO-GFCCVEGCSA-N 1 2 316.430 1.771 20 30 DDEDLO N#C[C@@]12C[N@H+]([C@H]3CCc4ccccc43)C[C@H]1CS(=O)(=O)C2 ZINC000933112742 714516497 /nfs/dbraw/zinc/51/64/97/714516497.db2.gz CARZHBITGDPEAY-BPUTZDHNSA-N 1 2 302.399 1.544 20 30 DDEDLO N#C[C@@]12C[N@@H+]([C@H]3CCc4ccccc43)C[C@H]1CS(=O)(=O)C2 ZINC000933112742 714516499 /nfs/dbraw/zinc/51/64/99/714516499.db2.gz CARZHBITGDPEAY-BPUTZDHNSA-N 1 2 302.399 1.544 20 30 DDEDLO COC(=O)[C@]12C[C@H]1CCC[N@@H+]2CCOc1ccc(C#N)cc1 ZINC000933162090 714525483 /nfs/dbraw/zinc/52/54/83/714525483.db2.gz QWUGUWCCWWGORM-PBHICJAKSA-N 1 2 300.358 1.965 20 30 DDEDLO COC(=O)[C@]12C[C@H]1CCC[N@H+]2CCOc1ccc(C#N)cc1 ZINC000933162090 714525484 /nfs/dbraw/zinc/52/54/84/714525484.db2.gz QWUGUWCCWWGORM-PBHICJAKSA-N 1 2 300.358 1.965 20 30 DDEDLO CC(C)OC[C@H](O)C[N@@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933625598 714633856 /nfs/dbraw/zinc/63/38/56/714633856.db2.gz QUKVLGRYQNNRIR-SJORKVTESA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@H](O)C[N@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933625598 714633857 /nfs/dbraw/zinc/63/38/57/714633857.db2.gz QUKVLGRYQNNRIR-SJORKVTESA-N 1 2 304.390 1.717 20 30 DDEDLO CCCS(=O)(=O)CC[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000933652684 714639283 /nfs/dbraw/zinc/63/92/83/714639283.db2.gz LGHRIVSEAVZZKR-UHFFFAOYSA-N 1 2 301.408 1.149 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@H]1C[C@@H]1CCOC1 ZINC000933677049 714645912 /nfs/dbraw/zinc/64/59/12/714645912.db2.gz SVTNYFZFBDAJSU-JKSUJKDBSA-N 1 2 310.438 1.538 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@H]1C[C@@H]1CCOC1 ZINC000933677049 714645914 /nfs/dbraw/zinc/64/59/14/714645914.db2.gz SVTNYFZFBDAJSU-JKSUJKDBSA-N 1 2 310.438 1.538 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000933727044 714656491 /nfs/dbraw/zinc/65/64/91/714656491.db2.gz RARBNAHYHWOVGC-OKILXGFUSA-N 1 2 306.387 1.043 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000933727044 714656493 /nfs/dbraw/zinc/65/64/93/714656493.db2.gz RARBNAHYHWOVGC-OKILXGFUSA-N 1 2 306.387 1.043 20 30 DDEDLO CO[C@@H]1CC[C@@H]1[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000934131558 714751403 /nfs/dbraw/zinc/75/14/03/714751403.db2.gz POZIGJICSWJWEY-LSDHHAIUSA-N 1 2 308.403 1.441 20 30 DDEDLO CO[C@@H]1CC[C@@H]1[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000934131558 714751406 /nfs/dbraw/zinc/75/14/06/714751406.db2.gz POZIGJICSWJWEY-LSDHHAIUSA-N 1 2 308.403 1.441 20 30 DDEDLO C=CC[C@H]1CC[N@H+]1CC(=O)Nc1c(C(=O)OCC)cnn1C ZINC000934469076 714828010 /nfs/dbraw/zinc/82/80/10/714828010.db2.gz NVMJUDMVENFHAM-NSHDSACASA-N 1 2 306.366 1.186 20 30 DDEDLO C=CC[C@H]1CC[N@@H+]1CC(=O)Nc1c(C(=O)OCC)cnn1C ZINC000934469076 714828011 /nfs/dbraw/zinc/82/80/11/714828011.db2.gz NVMJUDMVENFHAM-NSHDSACASA-N 1 2 306.366 1.186 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000934512275 714839629 /nfs/dbraw/zinc/83/96/29/714839629.db2.gz IWVFRAAZYJJDFN-WBVHZDCISA-N 1 2 313.401 1.078 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000934512275 714839631 /nfs/dbraw/zinc/83/96/31/714839631.db2.gz IWVFRAAZYJJDFN-WBVHZDCISA-N 1 2 313.401 1.078 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@@H](C)N(CC(F)(F)F)[C@@H](C)C2)C1=O ZINC000934791611 714902804 /nfs/dbraw/zinc/90/28/04/714902804.db2.gz OZMCAQWNMKPYKS-XQQFMLRXSA-N 1 2 319.371 1.730 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@@H](C)N(CC(F)(F)F)[C@@H](C)C2)C1=O ZINC000934791611 714902805 /nfs/dbraw/zinc/90/28/05/714902805.db2.gz OZMCAQWNMKPYKS-XQQFMLRXSA-N 1 2 319.371 1.730 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC000956599199 715483832 /nfs/dbraw/zinc/48/38/32/715483832.db2.gz LHRHETVQLUXRNF-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C(F)(F)F)n[nH]2)C1 ZINC000956950488 715678702 /nfs/dbraw/zinc/67/87/02/715678702.db2.gz AAQKIFXJRDVHRP-UHFFFAOYSA-N 1 2 314.311 1.598 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn3c2C[C@@H](C)CC3)C1 ZINC000957333663 715843222 /nfs/dbraw/zinc/84/32/22/715843222.db2.gz DRVXRRFMPDBLSO-AWEZNQCLSA-N 1 2 314.433 1.635 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2ccc(CNC(N)=O)cc2)C1 ZINC000957372212 715866870 /nfs/dbraw/zinc/86/68/70/715866870.db2.gz FCYKOLUQJSZOJL-UHFFFAOYSA-N 1 2 316.405 1.187 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(-c3ccc(C)o3)n[nH]2)CC1 ZINC000957661612 715991046 /nfs/dbraw/zinc/99/10/46/715991046.db2.gz MVQQUYNMTBSFNA-UHFFFAOYSA-N 1 2 300.362 1.922 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cc2n(n1)CCC2 ZINC000960499624 716582411 /nfs/dbraw/zinc/58/24/11/716582411.db2.gz FTHJCKFGWBDTEV-CZUORRHYSA-N 1 2 315.421 1.412 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC000960499624 716582412 /nfs/dbraw/zinc/58/24/12/716582412.db2.gz FTHJCKFGWBDTEV-CZUORRHYSA-N 1 2 315.421 1.412 20 30 DDEDLO CCn1ccnc1C[N@H+](C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960627370 716636722 /nfs/dbraw/zinc/63/67/22/716636722.db2.gz OWXNHCOYHNPSPW-INIZCTEOSA-N 1 2 314.433 1.739 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960627370 716636725 /nfs/dbraw/zinc/63/67/25/716636725.db2.gz OWXNHCOYHNPSPW-INIZCTEOSA-N 1 2 314.433 1.739 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC000960781056 716687901 /nfs/dbraw/zinc/68/79/01/716687901.db2.gz BGKOIYRONFUYKP-HNNXBMFYSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC000960781056 716687903 /nfs/dbraw/zinc/68/79/03/716687903.db2.gz BGKOIYRONFUYKP-HNNXBMFYSA-N 1 2 319.453 1.840 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccs4)C[C@H]32)c1 ZINC000961723140 717074195 /nfs/dbraw/zinc/07/41/95/717074195.db2.gz QNNFABFCHUOONJ-FOLVSLTJSA-N 1 2 324.409 1.380 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccs4)C[C@H]32)c1 ZINC000961723140 717074199 /nfs/dbraw/zinc/07/41/99/717074199.db2.gz QNNFABFCHUOONJ-FOLVSLTJSA-N 1 2 324.409 1.380 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccccc3C)CC2)C1 ZINC000941289314 717147418 /nfs/dbraw/zinc/14/74/18/717147418.db2.gz PCQSOKQYDGCPMT-UHFFFAOYSA-N 1 2 311.429 1.460 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CC3CCCCC3)CC2)C1 ZINC000941297666 717149180 /nfs/dbraw/zinc/14/91/80/717149180.db2.gz NDWINGGEDKTULA-UHFFFAOYSA-N 1 2 303.450 1.418 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H](C)C(C)(C)C)CC2)C1 ZINC000941456034 717180597 /nfs/dbraw/zinc/18/05/97/717180597.db2.gz KRXWBMMQTLGPHI-HNNXBMFYSA-N 1 2 305.466 1.520 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC[C@@H]4C[C@@H]43)CC2)C1 ZINC000941465484 717182184 /nfs/dbraw/zinc/18/21/84/717182184.db2.gz QAWHBXFUJMNWPL-NXHRZFHOSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCCC(C)(C)C3)CC2)C1 ZINC000941681619 717254995 /nfs/dbraw/zinc/25/49/95/717254995.db2.gz UFYKTSXDVGETHH-INIZCTEOSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3CCCC[C@@H]3C)CC2)C1 ZINC000941681971 717255066 /nfs/dbraw/zinc/25/50/66/717255066.db2.gz AYIIZGRWJBHPSM-IRXDYDNUSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cnnc(C)c2)C1 ZINC000965957501 717834503 /nfs/dbraw/zinc/83/45/03/717834503.db2.gz DEXILILXOBFTLZ-IINYFYTJSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cnnc(C)c2)C1 ZINC000965957501 717834506 /nfs/dbraw/zinc/83/45/06/717834506.db2.gz DEXILILXOBFTLZ-IINYFYTJSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)[C@@H](C)C2)C1 ZINC000966799084 718664650 /nfs/dbraw/zinc/66/46/50/718664650.db2.gz LFQYOMOBPWEGAX-STQMWFEESA-N 1 2 316.405 1.272 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)C(F)C(F)(F)F)CC[C@@H]2C1 ZINC000946597922 718847464 /nfs/dbraw/zinc/84/74/64/718847464.db2.gz QBKPPLYDOIAVLH-GRYCIOLGSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)C(F)C(F)(F)F)CC[C@@H]2C1 ZINC000946597922 718847470 /nfs/dbraw/zinc/84/74/70/718847470.db2.gz QBKPPLYDOIAVLH-GRYCIOLGSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)CC[C@@H]2C1 ZINC000946597922 718847473 /nfs/dbraw/zinc/84/74/73/718847473.db2.gz QBKPPLYDOIAVLH-GRYCIOLGSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)[C@@H](F)C(F)(F)F)CC[C@@H]2C1 ZINC000946597922 718847478 /nfs/dbraw/zinc/84/74/78/718847478.db2.gz QBKPPLYDOIAVLH-GRYCIOLGSA-N 1 2 306.303 1.690 20 30 DDEDLO N#CCN1C[C@@H]2CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)CC[C@@H]2C1 ZINC000946642241 718863632 /nfs/dbraw/zinc/86/36/32/718863632.db2.gz AYEHRNBWJVFRGZ-OKILXGFUSA-N 1 2 323.400 1.870 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nc(C)c[nH]1 ZINC000948970293 719816414 /nfs/dbraw/zinc/81/64/14/719816414.db2.gz WMCRXDGYJHNXAQ-INIZCTEOSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nc(C)c[nH]1 ZINC000948970293 719816419 /nfs/dbraw/zinc/81/64/19/719816419.db2.gz WMCRXDGYJHNXAQ-INIZCTEOSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3occc3C)CC2)C1 ZINC000949301183 720002103 /nfs/dbraw/zinc/00/21/03/720002103.db2.gz QGJBUTSJLJDTJY-UHFFFAOYSA-N 1 2 302.374 1.528 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3occc3C)CC2)C1 ZINC000949301183 720002106 /nfs/dbraw/zinc/00/21/06/720002106.db2.gz QGJBUTSJLJDTJY-UHFFFAOYSA-N 1 2 302.374 1.528 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CC[C@H]3CCOC3)CC2)C1 ZINC000949335548 720024346 /nfs/dbraw/zinc/02/43/46/720024346.db2.gz BUADGJVLLPSWGT-INIZCTEOSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CC[C@H]3CCOC3)CC2)C1 ZINC000949335548 720024347 /nfs/dbraw/zinc/02/43/47/720024347.db2.gz BUADGJVLLPSWGT-INIZCTEOSA-N 1 2 320.433 1.130 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2snnc2C)C1 ZINC000969280376 720038918 /nfs/dbraw/zinc/03/89/18/720038918.db2.gz JQSOIDPYRIVNOQ-QMMMGPOBSA-N 1 2 300.815 1.649 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ncccc3F)CC2)C1 ZINC000949499671 720125640 /nfs/dbraw/zinc/12/56/40/720125640.db2.gz YDRKMMRUOWTWQA-UHFFFAOYSA-N 1 2 317.364 1.161 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ncccc3F)CC2)C1 ZINC000949499671 720125642 /nfs/dbraw/zinc/12/56/42/720125642.db2.gz YDRKMMRUOWTWQA-UHFFFAOYSA-N 1 2 317.364 1.161 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnc3cc(C)nn3c2C)CC1 ZINC000949561122 720166052 /nfs/dbraw/zinc/16/60/52/720166052.db2.gz ZBKKVXWWOYDWDE-UHFFFAOYSA-N 1 2 313.405 1.680 20 30 DDEDLO C#CCC[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000969667962 720243402 /nfs/dbraw/zinc/24/34/02/720243402.db2.gz OOUVSZFYUPXUFY-TZMCWYRMSA-N 1 2 300.406 1.289 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN(C(=O)C3CC3)C2)C1 ZINC000950410820 720621453 /nfs/dbraw/zinc/62/14/53/720621453.db2.gz UHPZTNLAVFOEJY-OAHLLOKOSA-N 1 2 319.449 1.354 20 30 DDEDLO C#CC[NH+]1CC([C@H](C)NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC000970084682 720622359 /nfs/dbraw/zinc/62/23/59/720622359.db2.gz WXVHSZONKPXUAP-LBPRGKRZSA-N 1 2 309.369 1.211 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(-c3cn[nH]c3)cn2)C1 ZINC000950658715 720723932 /nfs/dbraw/zinc/72/39/32/720723932.db2.gz VPEJOSQMNKWEIE-UHFFFAOYSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn(C(C)(C)C)c2)C1 ZINC000950697493 720739825 /nfs/dbraw/zinc/73/98/25/720739825.db2.gz SNRITKMNBHYZBK-UHFFFAOYSA-N 1 2 302.422 1.808 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3c(n2)OCCO3)C1 ZINC000950721141 720750226 /nfs/dbraw/zinc/75/02/26/720750226.db2.gz RDOPQQPSUSVUCS-UHFFFAOYSA-N 1 2 315.373 1.022 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H](C)C2C[NH+](CC(=C)Cl)C2)nn1 ZINC000970550938 720812313 /nfs/dbraw/zinc/81/23/13/720812313.db2.gz ALNKYKDZJQTFKY-LBPRGKRZSA-N 1 2 323.828 1.657 20 30 DDEDLO C#Cc1cncc(C(=O)N(CC)C2C[NH+](CCn3cccn3)C2)c1 ZINC000951719059 721152022 /nfs/dbraw/zinc/15/20/22/721152022.db2.gz HKIYTHJFHJLJRZ-UHFFFAOYSA-N 1 2 323.400 1.106 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000951817128 721201254 /nfs/dbraw/zinc/20/12/54/721201254.db2.gz QRVZSBDZIHNGAW-CYBMUJFWSA-N 1 2 316.405 1.416 20 30 DDEDLO Cc1csc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971512321 721332670 /nfs/dbraw/zinc/33/26/70/721332670.db2.gz AKXSQXYFVYSICF-CQSZACIVSA-N 1 2 303.431 1.898 20 30 DDEDLO Cc1csc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971512321 721332674 /nfs/dbraw/zinc/33/26/74/721332674.db2.gz AKXSQXYFVYSICF-CQSZACIVSA-N 1 2 303.431 1.898 20 30 DDEDLO N#CCN1CCC[C@H](CNC(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC001023697710 735406371 /nfs/dbraw/zinc/40/63/71/735406371.db2.gz FMDZXWMKVGBEQQ-CQSZACIVSA-N 1 2 324.388 1.233 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[C@@H]([N@H+](C)Cc3ccon3)C2)c1 ZINC001027568432 738416081 /nfs/dbraw/zinc/41/60/81/738416081.db2.gz HVICGGIMRVTDAP-QGZVFWFLSA-N 1 2 324.384 1.788 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[C@@H]([N@@H+](C)Cc3ccon3)C2)c1 ZINC001027568432 738416083 /nfs/dbraw/zinc/41/60/83/738416083.db2.gz HVICGGIMRVTDAP-QGZVFWFLSA-N 1 2 324.384 1.788 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C(C)C)cn3)[C@H]2C1 ZINC001083192453 732556416 /nfs/dbraw/zinc/55/64/16/732556416.db2.gz CFJZPWDSMRVSEI-DLBZAZTESA-N 1 2 313.401 1.363 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C(C)C)cn3)[C@H]2C1 ZINC001083192453 732556421 /nfs/dbraw/zinc/55/64/21/732556421.db2.gz CFJZPWDSMRVSEI-DLBZAZTESA-N 1 2 313.401 1.363 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cncs1 ZINC001038798478 738680769 /nfs/dbraw/zinc/68/07/69/738680769.db2.gz PCMUHNKPZSIERY-SNPRPXQTSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cncs1 ZINC001038798478 738680772 /nfs/dbraw/zinc/68/07/72/738680772.db2.gz PCMUHNKPZSIERY-SNPRPXQTSA-N 1 2 321.446 1.815 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cc(F)c3)[C@H]2C1 ZINC001083202983 733154274 /nfs/dbraw/zinc/15/42/74/733154274.db2.gz VOMDNNTZZPVLBY-DLBZAZTESA-N 1 2 316.376 1.683 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cc(F)c3)[C@H]2C1 ZINC001083202983 733154277 /nfs/dbraw/zinc/15/42/77/733154277.db2.gz VOMDNNTZZPVLBY-DLBZAZTESA-N 1 2 316.376 1.683 20 30 DDEDLO Cn1ccc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001027812430 738685938 /nfs/dbraw/zinc/68/59/38/738685938.db2.gz FTGQDOKOCBBDIR-CQSZACIVSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1ccc(C[N@H+]2CCC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001027812430 738685940 /nfs/dbraw/zinc/68/59/40/738685940.db2.gz FTGQDOKOCBBDIR-CQSZACIVSA-N 1 2 312.377 1.014 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)CC3CCCC3)[C@H]2C1 ZINC001083212941 733518409 /nfs/dbraw/zinc/51/84/09/733518409.db2.gz HRSMEQLANICFDN-BHYGNILZSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)CC3CCCC3)[C@H]2C1 ZINC001083212941 733518412 /nfs/dbraw/zinc/51/84/12/733518412.db2.gz HRSMEQLANICFDN-BHYGNILZSA-N 1 2 304.434 1.748 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1C ZINC001121280158 782438687 /nfs/dbraw/zinc/43/86/87/782438687.db2.gz YIXRRSQDVFPOSY-BBRMVZONSA-N 1 2 307.442 1.519 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1C ZINC001121280158 782438688 /nfs/dbraw/zinc/43/86/88/782438688.db2.gz YIXRRSQDVFPOSY-BBRMVZONSA-N 1 2 307.442 1.519 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc2nc[nH]c2n1 ZINC001027954588 738859643 /nfs/dbraw/zinc/85/96/43/738859643.db2.gz RWNFXPVIUBPZMI-NSHDSACASA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc2nc[nH]c2n1 ZINC001027954588 738859648 /nfs/dbraw/zinc/85/96/48/738859648.db2.gz RWNFXPVIUBPZMI-NSHDSACASA-N 1 2 319.796 1.905 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CCC(C#C)CC3)n2C)CC1 ZINC001121418259 782499656 /nfs/dbraw/zinc/49/96/56/782499656.db2.gz OWYYQDROEYQVFR-UHFFFAOYSA-N 1 2 311.433 1.477 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)c3cccs3)C2)nn1 ZINC001098605444 737055977 /nfs/dbraw/zinc/05/59/77/737055977.db2.gz ZDFFHCOPJUWPCU-ZDUSSCGKSA-N 1 2 315.402 1.150 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001028069403 738987413 /nfs/dbraw/zinc/98/74/13/738987413.db2.gz ICJKHGADEPSBLD-HNNXBMFYSA-N 1 2 311.389 1.852 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001028069403 738987415 /nfs/dbraw/zinc/98/74/15/738987415.db2.gz ICJKHGADEPSBLD-HNNXBMFYSA-N 1 2 311.389 1.852 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001028069290 738987476 /nfs/dbraw/zinc/98/74/76/738987476.db2.gz FXWMOQDYTNIOKV-MRXNPFEDSA-N 1 2 323.400 1.689 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C3(F)CCCC3)C2)nn1 ZINC001105272388 737700383 /nfs/dbraw/zinc/70/03/83/737700383.db2.gz JLQNODHXKBMQFB-UHFFFAOYSA-N 1 2 319.384 1.057 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001105662096 742269955 /nfs/dbraw/zinc/26/99/55/742269955.db2.gz BFVDKVFTPKPIHM-ZIAGYGMSSA-N 1 2 304.394 1.684 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)Cc2cnoc2)c1 ZINC001032582122 751393659 /nfs/dbraw/zinc/39/36/59/751393659.db2.gz NZFIRECCFVZTFU-IRXDYDNUSA-N 1 2 322.368 1.574 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)Cc2cnoc2)c1 ZINC001032582122 751393664 /nfs/dbraw/zinc/39/36/64/751393664.db2.gz NZFIRECCFVZTFU-IRXDYDNUSA-N 1 2 322.368 1.574 20 30 DDEDLO CC(C)=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC001035325098 751412213 /nfs/dbraw/zinc/41/22/13/751412213.db2.gz BMCRYWSGZHJBRA-AWEZNQCLSA-N 1 2 302.378 1.283 20 30 DDEDLO CC(C)=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC001035325098 751412218 /nfs/dbraw/zinc/41/22/18/751412218.db2.gz BMCRYWSGZHJBRA-AWEZNQCLSA-N 1 2 302.378 1.283 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001035369639 751433493 /nfs/dbraw/zinc/43/34/93/751433493.db2.gz HQNQPDMLUDOTNR-MRXNPFEDSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001035369639 751433497 /nfs/dbraw/zinc/43/34/97/751433497.db2.gz HQNQPDMLUDOTNR-MRXNPFEDSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001035372520 751437532 /nfs/dbraw/zinc/43/75/32/751437532.db2.gz OOPPGWMCXRFGER-IXDOHACOSA-N 1 2 315.417 1.578 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001035372520 751437535 /nfs/dbraw/zinc/43/75/35/751437535.db2.gz OOPPGWMCXRFGER-IXDOHACOSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1n1ccnn1 ZINC001129175125 751473250 /nfs/dbraw/zinc/47/32/50/751473250.db2.gz PAGPEXUUNBFBME-PBJSTTKNSA-N 1 2 323.828 1.584 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1n1ccnn1 ZINC001129175125 751473251 /nfs/dbraw/zinc/47/32/51/751473251.db2.gz PAGPEXUUNBFBME-PBJSTTKNSA-N 1 2 323.828 1.584 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn3c(n2)CC[C@H](C)C3)C1 ZINC001035418953 751477167 /nfs/dbraw/zinc/47/71/67/751477167.db2.gz DYCAWZCYETTZNL-UONOGXRCSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn3c(n2)CC[C@H](C)C3)C1 ZINC001035418953 751477172 /nfs/dbraw/zinc/47/71/72/751477172.db2.gz DYCAWZCYETTZNL-UONOGXRCSA-N 1 2 318.421 1.082 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(N(C)C)nc2)C1 ZINC001035423156 751481202 /nfs/dbraw/zinc/48/12/02/751481202.db2.gz IKVSLRVFFDPYIV-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(N(C)C)nc2)C1 ZINC001035423156 751481205 /nfs/dbraw/zinc/48/12/05/751481205.db2.gz IKVSLRVFFDPYIV-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114894966 751483833 /nfs/dbraw/zinc/48/38/33/751483833.db2.gz ORDSQUQETDNSNA-MQYQWHSLSA-N 1 2 317.437 1.525 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114894966 751483839 /nfs/dbraw/zinc/48/38/39/751483839.db2.gz ORDSQUQETDNSNA-MQYQWHSLSA-N 1 2 317.437 1.525 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@H](Nc2ncccc2C#N)C1 ZINC001059088239 739904699 /nfs/dbraw/zinc/90/46/99/739904699.db2.gz WBHRZBMDIHDJPO-JSGCOSHPSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@H](Nc2ncccc2C#N)C1 ZINC001059088239 739904703 /nfs/dbraw/zinc/90/47/03/739904703.db2.gz WBHRZBMDIHDJPO-JSGCOSHPSA-N 1 2 324.388 1.568 20 30 DDEDLO CC[C@H]1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC[C@@H]1NCC#N ZINC001037884258 751533002 /nfs/dbraw/zinc/53/30/02/751533002.db2.gz NTZCDYZHHSUBKT-JSGCOSHPSA-N 1 2 311.389 1.917 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(C)cn2)C1 ZINC001035459519 751545620 /nfs/dbraw/zinc/54/56/20/751545620.db2.gz JECPHFVXTBOKNN-HNNXBMFYSA-N 1 2 301.390 1.152 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(C)cn2)C1 ZINC001035459519 751545621 /nfs/dbraw/zinc/54/56/21/751545621.db2.gz JECPHFVXTBOKNN-HNNXBMFYSA-N 1 2 301.390 1.152 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc[nH]c2C2CCC2)C1 ZINC001035505324 751561199 /nfs/dbraw/zinc/56/11/99/751561199.db2.gz BFSXCAWUINCNRN-CQSZACIVSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc[nH]c2C2CCC2)C1 ZINC001035505324 751561202 /nfs/dbraw/zinc/56/12/02/751561202.db2.gz BFSXCAWUINCNRN-CQSZACIVSA-N 1 2 303.406 1.899 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](NC(=O)c2c[nH]cc3ncnc2-3)[C@H]1CC ZINC001087580048 740662495 /nfs/dbraw/zinc/66/24/95/740662495.db2.gz KHOQVTCOCROUGL-DZGCQCFKSA-N 1 2 311.389 1.564 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](NC(=O)c2c[nH]cc3ncnc2-3)[C@H]1CC ZINC001087580048 740662497 /nfs/dbraw/zinc/66/24/97/740662497.db2.gz KHOQVTCOCROUGL-DZGCQCFKSA-N 1 2 311.389 1.564 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3ncnn3C)CC[C@@H]2C1 ZINC001088067254 740980403 /nfs/dbraw/zinc/98/04/03/740980403.db2.gz VZSTTZQYYSHLAE-KGLIPLIRSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3ncnn3C)CC[C@@H]2C1 ZINC001088067254 740980405 /nfs/dbraw/zinc/98/04/05/740980405.db2.gz VZSTTZQYYSHLAE-KGLIPLIRSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccn(C(CC)CC)n2)C1 ZINC001035532179 751613130 /nfs/dbraw/zinc/61/31/30/751613130.db2.gz DGFYHAHIHBQHAQ-OAHLLOKOSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccn(C(CC)CC)n2)C1 ZINC001035532179 751613135 /nfs/dbraw/zinc/61/31/35/751613135.db2.gz DGFYHAHIHBQHAQ-OAHLLOKOSA-N 1 2 320.437 1.861 20 30 DDEDLO Cc1nc(N2CCC([C@@H](C)NC(=O)[C@H](C)C#N)CC2)cc[nH+]1 ZINC001126621580 741361850 /nfs/dbraw/zinc/36/18/50/741361850.db2.gz FSOJMRLLTUOHQS-VXGBXAGGSA-N 1 2 301.394 1.666 20 30 DDEDLO CC(C)(C(=O)N1CCCCC[C@@H](NCC#N)C1)c1c[nH+]c[nH]1 ZINC001088413537 741473004 /nfs/dbraw/zinc/47/30/04/741473004.db2.gz INYMRTUDHSIURJ-CYBMUJFWSA-N 1 2 303.410 1.572 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2nnn(C(C)C)c2C)C1 ZINC001035560603 751642690 /nfs/dbraw/zinc/64/26/90/751642690.db2.gz YVBCXBXWSWXDES-AWEZNQCLSA-N 1 2 321.425 1.174 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2nnn(C(C)C)c2C)C1 ZINC001035560603 751642692 /nfs/dbraw/zinc/64/26/92/751642692.db2.gz YVBCXBXWSWXDES-AWEZNQCLSA-N 1 2 321.425 1.174 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001059746265 741671567 /nfs/dbraw/zinc/67/15/67/741671567.db2.gz SETFCQAVTFTJCJ-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2sccc2COC)C1 ZINC001035578714 751667394 /nfs/dbraw/zinc/66/73/94/751667394.db2.gz YWKFBJRPSXQWLM-CQSZACIVSA-N 1 2 322.430 1.348 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2sccc2COC)C1 ZINC001035578714 751667396 /nfs/dbraw/zinc/66/73/96/751667396.db2.gz YWKFBJRPSXQWLM-CQSZACIVSA-N 1 2 322.430 1.348 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1OC ZINC001211972580 741907205 /nfs/dbraw/zinc/90/72/05/741907205.db2.gz RWWBUUSPNNWFIB-HIDUINHUSA-N 1 2 316.829 1.536 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1OC ZINC001211972580 741907207 /nfs/dbraw/zinc/90/72/07/741907207.db2.gz RWWBUUSPNNWFIB-HIDUINHUSA-N 1 2 316.829 1.536 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(OCC)nc2)C1 ZINC001107983579 751682367 /nfs/dbraw/zinc/68/23/67/751682367.db2.gz OFCBZFLPJVKEGR-QGZVFWFLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(OCC)nc2)C1 ZINC001107983579 751682372 /nfs/dbraw/zinc/68/23/72/751682372.db2.gz OFCBZFLPJVKEGR-QGZVFWFLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C)cc2OC)C1 ZINC001035589281 751683644 /nfs/dbraw/zinc/68/36/44/751683644.db2.gz ODKHAIDLXSKUPU-CQSZACIVSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C)cc2OC)C1 ZINC001035589281 751683646 /nfs/dbraw/zinc/68/36/46/751683646.db2.gz ODKHAIDLXSKUPU-CQSZACIVSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnn(CC(C)C)c2C)C1 ZINC001035591301 751686250 /nfs/dbraw/zinc/68/62/50/751686250.db2.gz AGBBKWOGTZXCAR-OAHLLOKOSA-N 1 2 320.437 1.464 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnn(CC(C)C)c2C)C1 ZINC001035591301 751686253 /nfs/dbraw/zinc/68/62/53/751686253.db2.gz AGBBKWOGTZXCAR-OAHLLOKOSA-N 1 2 320.437 1.464 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc3n(c2)CCCC3)C1 ZINC001035625300 751696487 /nfs/dbraw/zinc/69/64/87/751696487.db2.gz NSBCOAMJHKURDL-MRXNPFEDSA-N 1 2 303.406 1.441 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc3n(c2)CCCC3)C1 ZINC001035625300 751696488 /nfs/dbraw/zinc/69/64/88/751696488.db2.gz NSBCOAMJHKURDL-MRXNPFEDSA-N 1 2 303.406 1.441 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cncnc2CC)C1 ZINC001035606971 751711048 /nfs/dbraw/zinc/71/10/48/751711048.db2.gz SCJDHNLOMVRCPL-CYBMUJFWSA-N 1 2 304.394 1.046 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cncnc2CC)C1 ZINC001035606971 751711053 /nfs/dbraw/zinc/71/10/53/751711053.db2.gz SCJDHNLOMVRCPL-CYBMUJFWSA-N 1 2 304.394 1.046 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+]Cc1nc(-c2ccsc2)no1 ZINC001126822713 742304164 /nfs/dbraw/zinc/30/41/64/742304164.db2.gz HVANZCBKXVLXSO-UHFFFAOYSA-N 1 2 318.402 1.663 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001076691787 742914027 /nfs/dbraw/zinc/91/40/27/742914027.db2.gz AOYUKTUGCZVFGC-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001076691787 742914034 /nfs/dbraw/zinc/91/40/34/742914034.db2.gz AOYUKTUGCZVFGC-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2noc(-c3ccoc3)n2)C1 ZINC001181535584 743229522 /nfs/dbraw/zinc/22/95/22/743229522.db2.gz XXQOXWFMGDOPAW-CYBMUJFWSA-N 1 2 316.361 1.986 20 30 DDEDLO CC#CC[NH2+]C[C@]1(C)CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001181563904 743263287 /nfs/dbraw/zinc/26/32/87/743263287.db2.gz HOIOPTDZXKNIRS-NTZNESFSSA-N 1 2 308.319 1.984 20 30 DDEDLO CC#CC[NH2+]C[C@]1(C)CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1C ZINC001181563904 743263290 /nfs/dbraw/zinc/26/32/90/743263290.db2.gz HOIOPTDZXKNIRS-NTZNESFSSA-N 1 2 308.319 1.984 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](CC)OCC)CC2)C1 ZINC001105694151 743477462 /nfs/dbraw/zinc/47/74/62/743477462.db2.gz LXTZFZLDWIQYTJ-MRXNPFEDSA-N 1 2 310.438 1.679 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCc2ccc(F)cc2O1 ZINC001038513236 743487284 /nfs/dbraw/zinc/48/72/84/743487284.db2.gz HJWBDMQJJFGWMP-CVEARBPZSA-N 1 2 316.376 1.733 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCc2ccc(F)cc2O1 ZINC001038513236 743487291 /nfs/dbraw/zinc/48/72/91/743487291.db2.gz HJWBDMQJJFGWMP-CVEARBPZSA-N 1 2 316.376 1.733 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCc1cnn2c1C[N@H+](C(C)C)CC2 ZINC001128326809 743599044 /nfs/dbraw/zinc/59/90/44/743599044.db2.gz CGJXYPTWRXXMDD-MRXNPFEDSA-N 1 2 306.410 1.050 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCc1cnn2c1C[N@@H+](C(C)C)CC2 ZINC001128326809 743599048 /nfs/dbraw/zinc/59/90/48/743599048.db2.gz CGJXYPTWRXXMDD-MRXNPFEDSA-N 1 2 306.410 1.050 20 30 DDEDLO C[C@@H](C(=O)NCC[N@@H+]1CCOC[C@H]1C)c1ccc(C#N)cc1 ZINC001182798433 743719509 /nfs/dbraw/zinc/71/95/09/743719509.db2.gz HXTMAOHUNHRXBF-ZIAGYGMSSA-N 1 2 301.390 1.499 20 30 DDEDLO C[C@@H](C(=O)NCC[N@H+]1CCOC[C@H]1C)c1ccc(C#N)cc1 ZINC001182798433 743719510 /nfs/dbraw/zinc/71/95/10/743719510.db2.gz HXTMAOHUNHRXBF-ZIAGYGMSSA-N 1 2 301.390 1.499 20 30 DDEDLO CN(C(=O)CCc1c[nH]c[nH+]1)C1CC(Nc2ncccc2C#N)C1 ZINC001127091993 743736351 /nfs/dbraw/zinc/73/63/51/743736351.db2.gz MJANQFSPYFIDMT-UHFFFAOYSA-N 1 2 324.388 1.710 20 30 DDEDLO CN(C(=O)CCc1c[nH+]c[nH]1)C1CC(Nc2ncccc2C#N)C1 ZINC001127091993 743736354 /nfs/dbraw/zinc/73/63/54/743736354.db2.gz MJANQFSPYFIDMT-UHFFFAOYSA-N 1 2 324.388 1.710 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+][C@H](C)c1nc(C)no1 ZINC001127129659 743847715 /nfs/dbraw/zinc/84/77/15/743847715.db2.gz WKCRTPIWRGECHB-CYBMUJFWSA-N 1 2 324.425 1.908 20 30 DDEDLO C=C(C)CN1CC(NC(=O)c2cc([C@@H]3CCC[N@H+]3C)n[nH]2)C1 ZINC001030340815 744062263 /nfs/dbraw/zinc/06/22/63/744062263.db2.gz GAOZUYBYMYXTAT-HNNXBMFYSA-N 1 2 303.410 1.167 20 30 DDEDLO C=C(C)CN1CC(NC(=O)c2cc([C@@H]3CCC[N@@H+]3C)n[nH]2)C1 ZINC001030340815 744062268 /nfs/dbraw/zinc/06/22/68/744062268.db2.gz GAOZUYBYMYXTAT-HNNXBMFYSA-N 1 2 303.410 1.167 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001184890713 744115469 /nfs/dbraw/zinc/11/54/69/744115469.db2.gz WMKZXULEFWZSPN-CYBMUJFWSA-N 1 2 306.435 1.812 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2CCCC[C@@H]2n2cccn2)C1 ZINC001030463261 744177379 /nfs/dbraw/zinc/17/73/79/744177379.db2.gz HYNCCFRNKBMMJS-CVEARBPZSA-N 1 2 300.406 1.438 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(COC)no2)C1 ZINC001185305325 744201551 /nfs/dbraw/zinc/20/15/51/744201551.db2.gz UKVPXZSPPVFGIC-QWHCGFSZSA-N 1 2 322.409 1.824 20 30 DDEDLO C=CCCc1ccc(C(=O)N(C(N)=[NH2+])c2cc(C)[nH]n2)c(=O)[nH]1 ZINC001185371474 744218541 /nfs/dbraw/zinc/21/85/41/744218541.db2.gz IMQPWSNBDSIUHH-UHFFFAOYSA-N 1 2 314.349 1.478 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1CC ZINC001110368782 744282031 /nfs/dbraw/zinc/28/20/31/744282031.db2.gz MHWLKSDPWYIGME-YUELXQCFSA-N 1 2 303.410 1.486 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1CC ZINC001110368782 744282034 /nfs/dbraw/zinc/28/20/34/744282034.db2.gz MHWLKSDPWYIGME-YUELXQCFSA-N 1 2 303.410 1.486 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@H]3C[C@@H]3C)C2)nn1 ZINC001185984036 744316895 /nfs/dbraw/zinc/31/68/95/744316895.db2.gz JCUMAKXSTGFCSH-IMJJTQAJSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CCc3ccc(F)cc3O2)C1 ZINC001030679436 744438868 /nfs/dbraw/zinc/43/88/68/744438868.db2.gz GOYIEZCALFBOHH-OAHLLOKOSA-N 1 2 304.365 1.896 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCc3nncn3C2)[C@H]1C ZINC001089057642 744480857 /nfs/dbraw/zinc/48/08/57/744480857.db2.gz HHYZWRNBSVSXSA-AGIUHOORSA-N 1 2 323.828 1.172 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCc3nncn3C2)[C@H]1C ZINC001089057642 744480860 /nfs/dbraw/zinc/48/08/60/744480860.db2.gz HHYZWRNBSVSXSA-AGIUHOORSA-N 1 2 323.828 1.172 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](C)c2cnn(C)c2)[C@H]1C ZINC001089098095 744527119 /nfs/dbraw/zinc/52/71/19/744527119.db2.gz BCOVDDMBVJZPCO-BZPMIXESSA-N 1 2 310.829 1.855 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)c2cnn(C)c2)[C@H]1C ZINC001089098095 744527121 /nfs/dbraw/zinc/52/71/21/744527121.db2.gz BCOVDDMBVJZPCO-BZPMIXESSA-N 1 2 310.829 1.855 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C#N)C2 ZINC001110395088 744576450 /nfs/dbraw/zinc/57/64/50/744576450.db2.gz VRXGSDHOLSVZPJ-DGAVXFQQSA-N 1 2 320.437 1.172 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C#N)C2 ZINC001110395088 744576453 /nfs/dbraw/zinc/57/64/53/744576453.db2.gz VRXGSDHOLSVZPJ-DGAVXFQQSA-N 1 2 320.437 1.172 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@H]1C[N@H+](C)Cc1ccn(C)n1 ZINC001089139698 744585162 /nfs/dbraw/zinc/58/51/62/744585162.db2.gz JZRFDHVGPYYPHW-HIFRSBDPSA-N 1 2 303.410 1.393 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@H]1C[N@@H+](C)Cc1ccn(C)n1 ZINC001089139698 744585165 /nfs/dbraw/zinc/58/51/65/744585165.db2.gz JZRFDHVGPYYPHW-HIFRSBDPSA-N 1 2 303.410 1.393 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001187882865 744632367 /nfs/dbraw/zinc/63/23/67/744632367.db2.gz YFTUUYOLFFASJV-SOUVJXGZSA-N 1 2 317.389 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001187882865 744632369 /nfs/dbraw/zinc/63/23/69/744632369.db2.gz YFTUUYOLFFASJV-SOUVJXGZSA-N 1 2 317.389 1.054 20 30 DDEDLO C#Cc1cncc(C(=O)NC2C[NH+](Cc3ccc(C)cc3)C2)c1 ZINC001030929550 744859571 /nfs/dbraw/zinc/85/95/71/744859571.db2.gz WZYZMXRFHDRGCR-UHFFFAOYSA-N 1 2 305.381 1.986 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)[C@@H](O)C1 ZINC001083697313 744875281 /nfs/dbraw/zinc/87/52/81/744875281.db2.gz QRQAIBKWIABLDJ-SJORKVTESA-N 1 2 311.385 1.193 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)[C@@H](O)C1 ZINC001083697313 744875283 /nfs/dbraw/zinc/87/52/83/744875283.db2.gz QRQAIBKWIABLDJ-SJORKVTESA-N 1 2 311.385 1.193 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(C)cc2Cl)[C@@H](O)C1 ZINC001083697378 744875765 /nfs/dbraw/zinc/87/57/65/744875765.db2.gz ULTVPRJHGUTDHV-CABCVRRESA-N 1 2 306.793 1.365 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(C)cc2Cl)[C@@H](O)C1 ZINC001083697378 744875768 /nfs/dbraw/zinc/87/57/68/744875768.db2.gz ULTVPRJHGUTDHV-CABCVRRESA-N 1 2 306.793 1.365 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]2O)CCC1 ZINC001083703417 744920642 /nfs/dbraw/zinc/92/06/42/744920642.db2.gz PHWAYQQQJGROCB-SJORKVTESA-N 1 2 312.413 1.390 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]2O)CCC1 ZINC001083703417 744920643 /nfs/dbraw/zinc/92/06/43/744920643.db2.gz PHWAYQQQJGROCB-SJORKVTESA-N 1 2 312.413 1.390 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001189573351 744926329 /nfs/dbraw/zinc/92/63/29/744926329.db2.gz FDNZJOPLTCHFDX-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001189573351 744926332 /nfs/dbraw/zinc/92/63/32/744926332.db2.gz FDNZJOPLTCHFDX-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1nonc1C)CC2 ZINC001035866280 751962482 /nfs/dbraw/zinc/96/24/82/751962482.db2.gz NDCKKFJUXPEFPE-UHFFFAOYSA-N 1 2 310.785 1.669 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001189927445 745040278 /nfs/dbraw/zinc/04/02/78/745040278.db2.gz XWJSVNVVBVIRQR-TZMCWYRMSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001189927445 745040284 /nfs/dbraw/zinc/04/02/84/745040284.db2.gz XWJSVNVVBVIRQR-TZMCWYRMSA-N 1 2 304.394 1.775 20 30 DDEDLO COc1cc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)on1 ZINC001190053389 745090635 /nfs/dbraw/zinc/09/06/35/745090635.db2.gz HWQSXKFYVZKNBY-ZDUSSCGKSA-N 1 2 305.378 1.375 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)on1 ZINC001190053389 745090639 /nfs/dbraw/zinc/09/06/39/745090639.db2.gz HWQSXKFYVZKNBY-ZDUSSCGKSA-N 1 2 305.378 1.375 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC1C[NH+](C[C@H]2CCCCO2)C1 ZINC001031089386 745346448 /nfs/dbraw/zinc/34/64/48/745346448.db2.gz JOPILSYFQAJHHG-PMPSAXMXSA-N 1 2 308.422 1.337 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2oc(CC)nc2C)C[C@H]1O ZINC001191724952 745583500 /nfs/dbraw/zinc/58/35/00/745583500.db2.gz YBPZVXANMRUUQT-CHWSQXEVSA-N 1 2 307.394 1.173 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(CC)nc2C)C[C@H]1O ZINC001191724952 745583503 /nfs/dbraw/zinc/58/35/03/745583503.db2.gz YBPZVXANMRUUQT-CHWSQXEVSA-N 1 2 307.394 1.173 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@H]1O ZINC001191854971 745617303 /nfs/dbraw/zinc/61/73/03/745617303.db2.gz TVHCDUTZCCWHGL-LERXQTSPSA-N 1 2 307.781 1.161 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@H]1O ZINC001191854971 745617306 /nfs/dbraw/zinc/61/73/06/745617306.db2.gz TVHCDUTZCCWHGL-LERXQTSPSA-N 1 2 307.781 1.161 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001191837600 745626537 /nfs/dbraw/zinc/62/65/37/745626537.db2.gz AEGJKSDUOWKMFE-CQSZACIVSA-N 1 2 303.410 1.041 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001191837600 745626540 /nfs/dbraw/zinc/62/65/40/745626540.db2.gz AEGJKSDUOWKMFE-CQSZACIVSA-N 1 2 303.410 1.041 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001191838306 745626903 /nfs/dbraw/zinc/62/69/03/745626903.db2.gz IQKVEBWFMCEOMK-MRXNPFEDSA-N 1 2 302.422 1.646 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001191838306 745626906 /nfs/dbraw/zinc/62/69/06/745626906.db2.gz IQKVEBWFMCEOMK-MRXNPFEDSA-N 1 2 302.422 1.646 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001192073434 745682112 /nfs/dbraw/zinc/68/21/12/745682112.db2.gz UDDWMURSSZTBNW-AWEZNQCLSA-N 1 2 305.426 1.687 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001192073434 745682115 /nfs/dbraw/zinc/68/21/15/745682115.db2.gz UDDWMURSSZTBNW-AWEZNQCLSA-N 1 2 305.426 1.687 20 30 DDEDLO Cc1nsc(NCC[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001106426251 745686052 /nfs/dbraw/zinc/68/60/52/745686052.db2.gz GCOBCTJRLLRYBH-SECBINFHSA-N 1 2 318.406 1.596 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CC1(C)C)C2 ZINC001110448731 745845872 /nfs/dbraw/zinc/84/58/72/745845872.db2.gz IPUZZVLVRYXHCU-RQJABVFESA-N 1 2 305.422 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CC1(C)C)C2 ZINC001110448731 745845877 /nfs/dbraw/zinc/84/58/77/745845877.db2.gz IPUZZVLVRYXHCU-RQJABVFESA-N 1 2 305.422 1.056 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ccnc(O[C@@H](C)CC)c2)C1 ZINC001031226836 745907413 /nfs/dbraw/zinc/90/74/13/745907413.db2.gz PWGBVXBBGPJPRO-ZDUSSCGKSA-N 1 2 301.390 1.696 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001194388132 746364612 /nfs/dbraw/zinc/36/46/12/746364612.db2.gz MOGAWVVUNGIKHH-HNNXBMFYSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001194388132 746364620 /nfs/dbraw/zinc/36/46/20/746364620.db2.gz MOGAWVVUNGIKHH-HNNXBMFYSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nocc2C)C1 ZINC001194407065 746369759 /nfs/dbraw/zinc/36/97/59/746369759.db2.gz GRQOEKBBFGDDKP-ZIAGYGMSSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001194407065 746369763 /nfs/dbraw/zinc/36/97/63/746369763.db2.gz GRQOEKBBFGDDKP-ZIAGYGMSSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nocc2C)C1 ZINC001194407064 746369858 /nfs/dbraw/zinc/36/98/58/746369858.db2.gz GRQOEKBBFGDDKP-UONOGXRCSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001194407064 746369864 /nfs/dbraw/zinc/36/98/64/746369864.db2.gz GRQOEKBBFGDDKP-UONOGXRCSA-N 1 2 307.394 1.607 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cccc(C)n2)CC1 ZINC001194863712 746475392 /nfs/dbraw/zinc/47/53/92/746475392.db2.gz OPNALWOBNIFMJM-UHFFFAOYSA-N 1 2 301.390 1.188 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cccc(C)n2)CC1 ZINC001194863712 746475394 /nfs/dbraw/zinc/47/53/94/746475394.db2.gz OPNALWOBNIFMJM-UHFFFAOYSA-N 1 2 301.390 1.188 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C=C2CCCCC2)CC1 ZINC001195053411 746516711 /nfs/dbraw/zinc/51/67/11/746516711.db2.gz JNIGENQRBLAPGV-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C=C2CCCCC2)CC1 ZINC001195053411 746516713 /nfs/dbraw/zinc/51/67/13/746516713.db2.gz JNIGENQRBLAPGV-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCCc2c(cnn2C)C1 ZINC001195112349 746530280 /nfs/dbraw/zinc/53/02/80/746530280.db2.gz HZXLMBJUILVAKV-LBPRGKRZSA-N 1 2 301.394 1.119 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCCc2c(cnn2C)C1 ZINC001195112349 746530284 /nfs/dbraw/zinc/53/02/84/746530284.db2.gz HZXLMBJUILVAKV-LBPRGKRZSA-N 1 2 301.394 1.119 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2CCCCCC2)CC1 ZINC001195167526 746544190 /nfs/dbraw/zinc/54/41/90/746544190.db2.gz LMYXPZRTHDJLIT-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2CCCCCC2)CC1 ZINC001195167526 746544194 /nfs/dbraw/zinc/54/41/94/746544194.db2.gz LMYXPZRTHDJLIT-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195525990 746638084 /nfs/dbraw/zinc/63/80/84/746638084.db2.gz SPABWWUDTCUWBK-KCPJHIHWSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195525990 746638087 /nfs/dbraw/zinc/63/80/87/746638087.db2.gz SPABWWUDTCUWBK-KCPJHIHWSA-N 1 2 323.462 1.715 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cnoc2C)CC1 ZINC001195791978 746705791 /nfs/dbraw/zinc/70/57/91/746705791.db2.gz MXOCWGKPVZEZNX-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cnoc2C)CC1 ZINC001195791978 746705793 /nfs/dbraw/zinc/70/57/93/746705793.db2.gz MXOCWGKPVZEZNX-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2conc2C)CC1 ZINC001195792619 746706411 /nfs/dbraw/zinc/70/64/11/746706411.db2.gz WVYLWHNBEMAXIX-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2conc2C)CC1 ZINC001195792619 746706417 /nfs/dbraw/zinc/70/64/17/746706417.db2.gz WVYLWHNBEMAXIX-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@@H+](CC=C(Cl)Cl)CC1 ZINC001195832987 746720813 /nfs/dbraw/zinc/72/08/13/746720813.db2.gz VAVKMLRGGKHJMX-UHFFFAOYSA-N 1 2 319.232 1.880 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@H+](CC=C(Cl)Cl)CC1 ZINC001195832987 746720814 /nfs/dbraw/zinc/72/08/14/746720814.db2.gz VAVKMLRGGKHJMX-UHFFFAOYSA-N 1 2 319.232 1.880 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccccn3)C[C@H]2O)CCC1 ZINC001195896815 746724146 /nfs/dbraw/zinc/72/41/46/746724146.db2.gz AFBXGTVGEBSRRR-HZPDHXFCSA-N 1 2 315.417 1.489 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3ccccn3)C[C@H]2O)CCC1 ZINC001195896815 746724150 /nfs/dbraw/zinc/72/41/50/746724150.db2.gz AFBXGTVGEBSRRR-HZPDHXFCSA-N 1 2 315.417 1.489 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001196469681 746868914 /nfs/dbraw/zinc/86/89/14/746868914.db2.gz ZIARBVMXSBQBPM-ZIAGYGMSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001196469681 746868920 /nfs/dbraw/zinc/86/89/20/746868920.db2.gz ZIARBVMXSBQBPM-ZIAGYGMSSA-N 1 2 323.462 1.715 20 30 DDEDLO CN(c1ccc(C#N)nc1)[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001061218002 746895397 /nfs/dbraw/zinc/89/53/97/746895397.db2.gz OFJSKQMTGJBSJS-INIZCTEOSA-N 1 2 324.388 1.277 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1cnccn1 ZINC001031523101 746953535 /nfs/dbraw/zinc/95/35/35/746953535.db2.gz OZBKVJZRKCCSCC-UHFFFAOYSA-N 1 2 306.369 1.190 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+]([C@@H](C)C(=O)NCC(C)C)CC1 ZINC001196770759 746968527 /nfs/dbraw/zinc/96/85/27/746968527.db2.gz ROEHTXASDMIRPN-HNNXBMFYSA-N 1 2 323.481 1.894 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+]([C@@H](C)C(=O)NCC(C)C)CC1 ZINC001196770759 746968533 /nfs/dbraw/zinc/96/85/33/746968533.db2.gz ROEHTXASDMIRPN-HNNXBMFYSA-N 1 2 323.481 1.894 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CCCC2CC2)CC1 ZINC001196862817 746993664 /nfs/dbraw/zinc/99/36/64/746993664.db2.gz WZWMDFYCTXCICN-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CCCC2CC2)CC1 ZINC001196862817 746993668 /nfs/dbraw/zinc/99/36/68/746993668.db2.gz WZWMDFYCTXCICN-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC001196901339 747004912 /nfs/dbraw/zinc/00/49/12/747004912.db2.gz MQABWANIKAQBKW-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC001196901339 747004917 /nfs/dbraw/zinc/00/49/17/747004917.db2.gz MQABWANIKAQBKW-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](CC(=O)N(C)CC2CC2)CC1 ZINC001196984456 747024226 /nfs/dbraw/zinc/02/42/26/747024226.db2.gz DXIQOUVUPDLROH-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](CC(=O)N(C)CC2CC2)CC1 ZINC001196984456 747024234 /nfs/dbraw/zinc/02/42/34/747024234.db2.gz DXIQOUVUPDLROH-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1ccnc(F)c1 ZINC001031574983 747081330 /nfs/dbraw/zinc/08/13/30/747081330.db2.gz ZGXRRCYFHDFXJV-UHFFFAOYSA-N 1 2 323.371 1.934 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)CC=C)CC1 ZINC001197277785 747121564 /nfs/dbraw/zinc/12/15/64/747121564.db2.gz LKUMREJTVPUTSO-HNNXBMFYSA-N 1 2 321.465 1.814 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C(C)(C)CC=C)CC1 ZINC001197277785 747121567 /nfs/dbraw/zinc/12/15/67/747121567.db2.gz LKUMREJTVPUTSO-HNNXBMFYSA-N 1 2 321.465 1.814 20 30 DDEDLO CCc1oncc1C(=O)N1CCC[N@H+](CC#CCOC)CC1 ZINC001197300426 747125054 /nfs/dbraw/zinc/12/50/54/747125054.db2.gz KDHSEYXQZDVDLU-UHFFFAOYSA-N 1 2 305.378 1.035 20 30 DDEDLO CCc1oncc1C(=O)N1CCC[N@@H+](CC#CCOC)CC1 ZINC001197300426 747125056 /nfs/dbraw/zinc/12/50/56/747125056.db2.gz KDHSEYXQZDVDLU-UHFFFAOYSA-N 1 2 305.378 1.035 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CN(c2cc[nH+]c(C)n2)CCCO1 ZINC001089547983 747192458 /nfs/dbraw/zinc/19/24/58/747192458.db2.gz ZOCQWGMDOXFCRM-HNNXBMFYSA-N 1 2 318.421 1.853 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@]2(C)CC)CC1 ZINC001197628820 747219410 /nfs/dbraw/zinc/21/94/10/747219410.db2.gz DNWCXNBNPBQNBF-YOEHRIQHSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@]2(C)CC)CC1 ZINC001197628820 747219414 /nfs/dbraw/zinc/21/94/14/747219414.db2.gz DNWCXNBNPBQNBF-YOEHRIQHSA-N 1 2 307.438 1.259 20 30 DDEDLO CN(c1ncccc1C#N)[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001061270957 747249780 /nfs/dbraw/zinc/24/97/80/747249780.db2.gz DKMKYOZQVOFCOZ-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1ncc[nH]1 ZINC001031657815 747328219 /nfs/dbraw/zinc/32/82/19/747328219.db2.gz BAYBIESQTPIBDR-UHFFFAOYSA-N 1 2 312.348 1.262 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@@H+]([C@H](C)C(N)=O)CC2)CCCCC1 ZINC001198315929 747449926 /nfs/dbraw/zinc/44/99/26/747449926.db2.gz NOXVULZWIQABGJ-OAHLLOKOSA-N 1 2 319.449 1.368 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@H+]([C@H](C)C(N)=O)CC2)CCCCC1 ZINC001198315929 747449933 /nfs/dbraw/zinc/44/99/33/747449933.db2.gz NOXVULZWIQABGJ-OAHLLOKOSA-N 1 2 319.449 1.368 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2cc(C)ncn2)CC1 ZINC001198330996 747453825 /nfs/dbraw/zinc/45/38/25/747453825.db2.gz SRMUUSJRJPZSTO-UHFFFAOYSA-N 1 2 318.421 1.412 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2cc(C)ncn2)CC1 ZINC001198330996 747453827 /nfs/dbraw/zinc/45/38/27/747453827.db2.gz SRMUUSJRJPZSTO-UHFFFAOYSA-N 1 2 318.421 1.412 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cc(C)ncn2)CC1 ZINC001198344960 747460939 /nfs/dbraw/zinc/46/09/39/747460939.db2.gz YAMHBLAPOCNDFB-OAHLLOKOSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cc(C)ncn2)CC1 ZINC001198344960 747460941 /nfs/dbraw/zinc/46/09/41/747460941.db2.gz YAMHBLAPOCNDFB-OAHLLOKOSA-N 1 2 318.421 1.410 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(C)c2)[C@H](O)C1 ZINC001090039521 747489615 /nfs/dbraw/zinc/48/96/15/747489615.db2.gz VEJHYZAWCAUMIR-LSDHHAIUSA-N 1 2 308.809 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(C)c2)[C@H](O)C1 ZINC001090039521 747489618 /nfs/dbraw/zinc/48/96/18/747489618.db2.gz VEJHYZAWCAUMIR-LSDHHAIUSA-N 1 2 308.809 1.913 20 30 DDEDLO CC[N@H+](Cc1nccn1C(F)F)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152449848 747491391 /nfs/dbraw/zinc/49/13/91/747491391.db2.gz KJRHPQIPDXFLEI-GHMZBOCLSA-N 1 2 313.352 1.764 20 30 DDEDLO CC[N@@H+](Cc1nccn1C(F)F)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152449848 747491393 /nfs/dbraw/zinc/49/13/93/747491393.db2.gz KJRHPQIPDXFLEI-GHMZBOCLSA-N 1 2 313.352 1.764 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH2+][C@@H](CNC(C)=O)c1ccccc1OC ZINC001198463627 747500635 /nfs/dbraw/zinc/50/06/35/747500635.db2.gz POCAFZPFSKSKHF-WFASDCNBSA-N 1 2 319.405 1.153 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cncs3)C[C@@H]2O)C1 ZINC001083763619 747500831 /nfs/dbraw/zinc/50/08/31/747500831.db2.gz SWVODGPIXNTSSF-OLZOCXBDSA-N 1 2 307.419 1.161 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cncs3)C[C@@H]2O)C1 ZINC001083763619 747500837 /nfs/dbraw/zinc/50/08/37/747500837.db2.gz SWVODGPIXNTSSF-OLZOCXBDSA-N 1 2 307.419 1.161 20 30 DDEDLO C=CCCC(=O)NC[C@@H]([NH2+][C@H](C)C(N)=O)c1ccccc1OC ZINC001198597197 747543561 /nfs/dbraw/zinc/54/35/61/747543561.db2.gz XZXIGDPBXJUHAB-TZMCWYRMSA-N 1 2 319.405 1.282 20 30 DDEDLO C=CS(=O)(=O)NC1CC[NH+](Cc2ccccc2C#N)CC1 ZINC001198643795 747561073 /nfs/dbraw/zinc/56/10/73/747561073.db2.gz HOWZBXMRHGLANX-UHFFFAOYSA-N 1 2 305.403 1.586 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccn3ccccc23)C1 ZINC001108064653 748027154 /nfs/dbraw/zinc/02/71/54/748027154.db2.gz LCWGRGAKSZHDRF-SFHVURJKSA-N 1 2 313.401 1.946 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccn3ccccc23)C1 ZINC001108064653 748027164 /nfs/dbraw/zinc/02/71/64/748027164.db2.gz LCWGRGAKSZHDRF-SFHVURJKSA-N 1 2 313.401 1.946 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@H](C[NH2+]Cc2nc(CC)no2)C1 ZINC001200093190 748120957 /nfs/dbraw/zinc/12/09/57/748120957.db2.gz RGOOLTGEIVMDIB-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cn(C3CCC3)nn2)C1 ZINC001033088446 748232964 /nfs/dbraw/zinc/23/29/64/748232964.db2.gz NHPAVKGJAJMSFN-ZDUSSCGKSA-N 1 2 323.828 1.902 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cn(C3CCC3)nn2)C1 ZINC001033088446 748232972 /nfs/dbraw/zinc/23/29/72/748232972.db2.gz NHPAVKGJAJMSFN-ZDUSSCGKSA-N 1 2 323.828 1.902 20 30 DDEDLO C=CCC[NH2+]C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200555544 748277398 /nfs/dbraw/zinc/27/73/98/748277398.db2.gz RVQXZDHIKLIEPR-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[NH2+]C[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCCO1 ZINC001200555544 748277399 /nfs/dbraw/zinc/27/73/99/748277399.db2.gz RVQXZDHIKLIEPR-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C1CCC(C(=O)NCC2C[NH+](Cc3cnnn3CC)C2)CC1 ZINC001031951745 748285233 /nfs/dbraw/zinc/28/52/33/748285233.db2.gz NVCCFQMXRVRBKY-UHFFFAOYSA-N 1 2 317.437 1.592 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nonc1C ZINC001152867011 748455464 /nfs/dbraw/zinc/45/54/64/748455464.db2.gz BMSBCLSIZMIUBG-CYBMUJFWSA-N 1 2 306.410 1.898 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nonc1C ZINC001152867011 748455468 /nfs/dbraw/zinc/45/54/68/748455468.db2.gz BMSBCLSIZMIUBG-CYBMUJFWSA-N 1 2 306.410 1.898 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc3n(n2)CCO3)C1 ZINC001033154462 748655067 /nfs/dbraw/zinc/65/50/67/748655067.db2.gz QQZNEQOIMQHFLH-LLVKDONJSA-N 1 2 310.785 1.174 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc3n(n2)CCO3)C1 ZINC001033154462 748655072 /nfs/dbraw/zinc/65/50/72/748655072.db2.gz QQZNEQOIMQHFLH-LLVKDONJSA-N 1 2 310.785 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnc3n2CCOC3)C1 ZINC001033163358 748691068 /nfs/dbraw/zinc/69/10/68/748691068.db2.gz JQMVCIOPBQUMLK-GFCCVEGCSA-N 1 2 324.812 1.312 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc3n2CCOC3)C1 ZINC001033163358 748691071 /nfs/dbraw/zinc/69/10/71/748691071.db2.gz JQMVCIOPBQUMLK-GFCCVEGCSA-N 1 2 324.812 1.312 20 30 DDEDLO Cc1nc(N2CC[C@@H](CNC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001061560382 748781721 /nfs/dbraw/zinc/78/17/21/748781721.db2.gz QCWQRPHXDGQQAP-LBPRGKRZSA-N 1 2 310.361 1.241 20 30 DDEDLO Cc1n[nH]c(C(=O)NCC[NH2+]Cc2ccc(C#N)s2)c1C ZINC001125039521 748808154 /nfs/dbraw/zinc/80/81/54/748808154.db2.gz JMKHQYXCQQMCNZ-UHFFFAOYSA-N 1 2 303.391 1.479 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc(OCC)n[nH]2)C1 ZINC001033187858 748846989 /nfs/dbraw/zinc/84/69/89/748846989.db2.gz WNZQDJGAGKUHDX-LLVKDONJSA-N 1 2 312.801 1.707 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(OCC)n[nH]2)C1 ZINC001033187858 748846993 /nfs/dbraw/zinc/84/69/93/748846993.db2.gz WNZQDJGAGKUHDX-LLVKDONJSA-N 1 2 312.801 1.707 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnn(CCF)c2)C1 ZINC001033214642 748940943 /nfs/dbraw/zinc/94/09/43/748940943.db2.gz NZIZQQMMDCHDJR-ZDUSSCGKSA-N 1 2 314.792 1.751 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnn(CCF)c2)C1 ZINC001033214642 748940947 /nfs/dbraw/zinc/94/09/47/748940947.db2.gz NZIZQQMMDCHDJR-ZDUSSCGKSA-N 1 2 314.792 1.751 20 30 DDEDLO C[C@]1(NC(=O)CCc2[nH]cc[nH+]2)CCN(c2ccc(C#N)cn2)C1 ZINC001110751914 748980999 /nfs/dbraw/zinc/98/09/99/748980999.db2.gz KQLGXFJCWZYFIR-KRWDZBQOSA-N 1 2 324.388 1.394 20 30 DDEDLO C[C@]1(NC(=O)Cc2c[nH]c[nH+]2)CCN(c2ccc(C#N)nc2)C1 ZINC001110817595 749040134 /nfs/dbraw/zinc/04/01/34/749040134.db2.gz GJVBCXUFASXLHP-INIZCTEOSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114328098 749059325 /nfs/dbraw/zinc/05/93/25/749059325.db2.gz IMUYNSHCXSCDNS-JYAVWHMHSA-N 1 2 304.394 1.527 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114328098 749059328 /nfs/dbraw/zinc/05/93/28/749059328.db2.gz IMUYNSHCXSCDNS-JYAVWHMHSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H](C)SC)nn2)C1 ZINC001107132063 749200319 /nfs/dbraw/zinc/20/03/19/749200319.db2.gz IGYYOQYDOPXEBB-LLVKDONJSA-N 1 2 309.439 1.079 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CCSCC)nn2)C1 ZINC001107135282 749215255 /nfs/dbraw/zinc/21/52/55/749215255.db2.gz RGVJIVUQDUMOFS-UHFFFAOYSA-N 1 2 323.466 1.470 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2CC[N@@H+](Cc3cnnn3CC)C2)C1 ZINC001033397748 749289967 /nfs/dbraw/zinc/28/99/67/749289967.db2.gz DCWDMKZNCDILMA-AWEZNQCLSA-N 1 2 317.437 1.687 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2CC[N@H+](Cc3cnnn3CC)C2)C1 ZINC001033397748 749289971 /nfs/dbraw/zinc/28/99/71/749289971.db2.gz DCWDMKZNCDILMA-AWEZNQCLSA-N 1 2 317.437 1.687 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001033413115 749308870 /nfs/dbraw/zinc/30/88/70/749308870.db2.gz MKMADYKUPBGWRY-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001033413115 749308874 /nfs/dbraw/zinc/30/88/74/749308874.db2.gz MKMADYKUPBGWRY-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=CC(C)(C)CC(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107173961 749491448 /nfs/dbraw/zinc/49/14/48/749491448.db2.gz KQSILIJXXOZUGV-UHFFFAOYSA-N 1 2 317.437 1.929 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C(C)(C)C3CCC3)nn2)C1 ZINC001107189704 749524954 /nfs/dbraw/zinc/52/49/54/749524954.db2.gz FKWSGOZMVBQYTO-UHFFFAOYSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(CC)CCCC3)nn2)C1 ZINC001107226334 749641294 /nfs/dbraw/zinc/64/12/94/749641294.db2.gz QGQMRVZRJBWDME-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C(C)(C)C(F)(F)F)C1 ZINC001108354254 761973485 /nfs/dbraw/zinc/97/34/85/761973485.db2.gz BJZNJPHIXKGMRY-CYBMUJFWSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)C(F)(F)F)C1 ZINC001108354254 761973489 /nfs/dbraw/zinc/97/34/89/761973489.db2.gz BJZNJPHIXKGMRY-CYBMUJFWSA-N 1 2 308.344 1.968 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)nc2)C1 ZINC001033707067 749745880 /nfs/dbraw/zinc/74/58/80/749745880.db2.gz QAVBFPOXNRGPQI-LBPRGKRZSA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)nc2)C1 ZINC001033707067 749745884 /nfs/dbraw/zinc/74/58/84/749745884.db2.gz QAVBFPOXNRGPQI-LBPRGKRZSA-N 1 2 322.796 1.079 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001039377597 761978781 /nfs/dbraw/zinc/97/87/81/761978781.db2.gz GVCKDWYNPXAZLH-GRYCIOLGSA-N 1 2 306.303 1.975 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001039377597 761978787 /nfs/dbraw/zinc/97/87/87/761978787.db2.gz GVCKDWYNPXAZLH-GRYCIOLGSA-N 1 2 306.303 1.975 20 30 DDEDLO Cc1nsc(NC/C=C\CNC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001107312374 749765890 /nfs/dbraw/zinc/76/58/90/749765890.db2.gz MXNPEJMNEJQNCC-IHWYPQMZSA-N 1 2 316.390 1.373 20 30 DDEDLO C=CCC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]cc3ncnc1-3)C2 ZINC001095447110 749908182 /nfs/dbraw/zinc/90/81/82/749908182.db2.gz YLGJSVOCJIJYNO-ZLDLUXBVSA-N 1 2 311.389 1.869 20 30 DDEDLO C=CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]cc3ncnc1-3)C2 ZINC001095447110 749908186 /nfs/dbraw/zinc/90/81/86/749908186.db2.gz YLGJSVOCJIJYNO-ZLDLUXBVSA-N 1 2 311.389 1.869 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2ncc(OC)cn2)C1 ZINC001033892182 750070488 /nfs/dbraw/zinc/07/04/88/750070488.db2.gz KTZZILZEIWUFRW-GFCCVEGCSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2ncc(OC)cn2)C1 ZINC001033892182 750070492 /nfs/dbraw/zinc/07/04/92/750070492.db2.gz KTZZILZEIWUFRW-GFCCVEGCSA-N 1 2 324.812 1.774 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCCN(C)c1ncccc1C#N ZINC001095606437 750074048 /nfs/dbraw/zinc/07/40/48/750074048.db2.gz KBPPXBRHQMCUKX-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnccc2C)[C@H](O)C1 ZINC001090158946 750134002 /nfs/dbraw/zinc/13/40/02/750134002.db2.gz OPCIICIESHPGIG-UONOGXRCSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnccc2C)[C@H](O)C1 ZINC001090158946 750134022 /nfs/dbraw/zinc/13/40/22/750134022.db2.gz OPCIICIESHPGIG-UONOGXRCSA-N 1 2 309.797 1.308 20 30 DDEDLO N#Cc1ccc(NCC=CCNC(=O)CCc2c[nH]c[nH+]2)cn1 ZINC001107566037 750151691 /nfs/dbraw/zinc/15/16/91/750151691.db2.gz KGPWSVSLCLPFPH-OWOJBTEDSA-N 1 2 310.361 1.393 20 30 DDEDLO N#Cc1ccc(NCC=CCNC(=O)CCc2c[nH+]c[nH]2)cn1 ZINC001107566037 750151694 /nfs/dbraw/zinc/15/16/94/750151694.db2.gz KGPWSVSLCLPFPH-OWOJBTEDSA-N 1 2 310.361 1.393 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](COC)OC)C2 ZINC001111000948 750293577 /nfs/dbraw/zinc/29/35/77/750293577.db2.gz ZFHXQHUISHOSDF-NDBYEHHHSA-N 1 2 302.802 1.122 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](COC)OC)C2 ZINC001111000948 750293581 /nfs/dbraw/zinc/29/35/81/750293581.db2.gz ZFHXQHUISHOSDF-NDBYEHHHSA-N 1 2 302.802 1.122 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2CC(F)(F)C2)[C@@H](O)C1 ZINC001090183908 750358566 /nfs/dbraw/zinc/35/85/66/750358566.db2.gz YXIOJRRPJNSWOK-MNOVXSKESA-N 1 2 308.756 1.336 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2CC(F)(F)C2)[C@@H](O)C1 ZINC001090183908 750358573 /nfs/dbraw/zinc/35/85/73/750358573.db2.gz YXIOJRRPJNSWOK-MNOVXSKESA-N 1 2 308.756 1.336 20 30 DDEDLO CC[C@H](C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001111177215 750410300 /nfs/dbraw/zinc/41/03/00/750410300.db2.gz XUHLGHNPJFAKNK-YJNKXOJESA-N 1 2 320.437 1.174 20 30 DDEDLO CC[C@H](C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001111177215 750410303 /nfs/dbraw/zinc/41/03/03/750410303.db2.gz XUHLGHNPJFAKNK-YJNKXOJESA-N 1 2 320.437 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)ccn2C)[C@H](O)C1 ZINC001090219361 750497146 /nfs/dbraw/zinc/49/71/46/750497146.db2.gz OQGVZJVTABDYDT-QWHCGFSZSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)ccn2C)[C@H](O)C1 ZINC001090219361 750497150 /nfs/dbraw/zinc/49/71/50/750497150.db2.gz OQGVZJVTABDYDT-QWHCGFSZSA-N 1 2 311.813 1.251 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(CC(C)C)[nH]1 ZINC001032403128 750590710 /nfs/dbraw/zinc/59/07/10/750590710.db2.gz SRWLKVNBLPQNES-KBPBESRZSA-N 1 2 300.406 1.530 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(CC(C)C)[nH]1 ZINC001032403128 750590714 /nfs/dbraw/zinc/59/07/14/750590714.db2.gz SRWLKVNBLPQNES-KBPBESRZSA-N 1 2 300.406 1.530 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc([C@H](C)OC)s1 ZINC001032406337 750602285 /nfs/dbraw/zinc/60/22/85/750602285.db2.gz XKYCBJMRVBTGFL-AVGNSLFASA-N 1 2 319.430 1.773 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc([C@H](C)OC)s1 ZINC001032406337 750602286 /nfs/dbraw/zinc/60/22/86/750602286.db2.gz XKYCBJMRVBTGFL-AVGNSLFASA-N 1 2 319.430 1.773 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCCN(C(=O)C#CC(C)C)[C@@H]3C2)cc[nH+]1 ZINC001111403401 750616327 /nfs/dbraw/zinc/61/63/27/750616327.db2.gz NJJYDKPQPCNZES-JKSUJKDBSA-N 1 2 312.417 1.872 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cn1ccc(C)n1 ZINC001032421846 750776935 /nfs/dbraw/zinc/77/69/35/750776935.db2.gz SQSJQOGQNJWOPW-KBMXLJTQSA-N 1 2 300.406 1.136 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cn1ccc(C)n1 ZINC001032421846 750776937 /nfs/dbraw/zinc/77/69/37/750776937.db2.gz SQSJQOGQNJWOPW-KBMXLJTQSA-N 1 2 300.406 1.136 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2c1cccc2OC ZINC001032431168 750799049 /nfs/dbraw/zinc/79/90/49/750799049.db2.gz VZGWWUSWFOCVTJ-KBPBESRZSA-N 1 2 324.384 1.265 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2c1cccc2OC ZINC001032431168 750799052 /nfs/dbraw/zinc/79/90/52/750799052.db2.gz VZGWWUSWFOCVTJ-KBPBESRZSA-N 1 2 324.384 1.265 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(CC)noc1CC ZINC001032451192 750868925 /nfs/dbraw/zinc/86/89/25/750868925.db2.gz SKOSMQABVIDAOA-KBPBESRZSA-N 1 2 315.417 1.650 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(CC)noc1CC ZINC001032451192 750868931 /nfs/dbraw/zinc/86/89/31/750868931.db2.gz SKOSMQABVIDAOA-KBPBESRZSA-N 1 2 315.417 1.650 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001114677990 750980171 /nfs/dbraw/zinc/98/01/71/750980171.db2.gz ILXZEBCAPIVPDS-NHAGDIPZSA-N 1 2 300.406 1.625 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001114677990 750980173 /nfs/dbraw/zinc/98/01/73/750980173.db2.gz ILXZEBCAPIVPDS-NHAGDIPZSA-N 1 2 300.406 1.625 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114726285 751027559 /nfs/dbraw/zinc/02/75/59/751027559.db2.gz JYBDAQBPJSVTLR-OIPACUDHSA-N 1 2 314.433 1.934 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114726285 751027565 /nfs/dbraw/zinc/02/75/65/751027565.db2.gz JYBDAQBPJSVTLR-OIPACUDHSA-N 1 2 314.433 1.934 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)n([C@@H](C)C2CC2)n1 ZINC001032488997 751037182 /nfs/dbraw/zinc/03/71/82/751037182.db2.gz CBGXKEAFJNWMDT-BPUTZDHNSA-N 1 2 312.417 1.694 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)n([C@@H](C)C2CC2)n1 ZINC001032488997 751037188 /nfs/dbraw/zinc/03/71/88/751037188.db2.gz CBGXKEAFJNWMDT-BPUTZDHNSA-N 1 2 312.417 1.694 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114760216 751056807 /nfs/dbraw/zinc/05/68/07/751056807.db2.gz WPFWYVAIQXEWLJ-FOLVSLTJSA-N 1 2 303.410 1.201 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114760216 751056813 /nfs/dbraw/zinc/05/68/13/751056813.db2.gz WPFWYVAIQXEWLJ-FOLVSLTJSA-N 1 2 303.410 1.201 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114791373 751082832 /nfs/dbraw/zinc/08/28/32/751082832.db2.gz CUQOICWVWFAYMJ-DMEJVMROSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114791373 751082836 /nfs/dbraw/zinc/08/28/36/751082836.db2.gz CUQOICWVWFAYMJ-DMEJVMROSA-N 1 2 304.394 1.527 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)/C=C(\C)CC)CC2 ZINC001127984825 751109107 /nfs/dbraw/zinc/10/91/07/751109107.db2.gz RZFGABTVGKSFQQ-CMPYXILNSA-N 1 2 315.421 1.178 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2[nH+]ccn21 ZINC001032517369 751173285 /nfs/dbraw/zinc/17/32/85/751173285.db2.gz MZKHUAXTXOYZTR-STQMWFEESA-N 1 2 316.792 1.986 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(Br)c1 ZINC001032525147 751191480 /nfs/dbraw/zinc/19/14/80/751191480.db2.gz FNVQCCDIRJWQFU-QWRGUYRKSA-N 1 2 308.179 1.309 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(Br)c1 ZINC001032525147 751191482 /nfs/dbraw/zinc/19/14/82/751191482.db2.gz FNVQCCDIRJWQFU-QWRGUYRKSA-N 1 2 308.179 1.309 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](OC)c1cccc(OC)c1 ZINC001032541727 751233062 /nfs/dbraw/zinc/23/30/62/751233062.db2.gz DCFBHPRYKKXTHQ-ZOBUZTSGSA-N 1 2 314.385 1.301 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](OC)c1cccc(OC)c1 ZINC001032541727 751233065 /nfs/dbraw/zinc/23/30/65/751233065.db2.gz DCFBHPRYKKXTHQ-ZOBUZTSGSA-N 1 2 314.385 1.301 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnn2C(F)F)C1 ZINC001107971733 751255949 /nfs/dbraw/zinc/25/59/49/751255949.db2.gz XHFDLOOMGZJKPE-CQSZACIVSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnn2C(F)F)C1 ZINC001107971733 751255952 /nfs/dbraw/zinc/25/59/52/751255952.db2.gz XHFDLOOMGZJKPE-CQSZACIVSA-N 1 2 314.336 1.285 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[C@H]([NH2+]Cc3csnn3)C2)[nH]1 ZINC000998963396 752391666 /nfs/dbraw/zinc/39/16/66/752391666.db2.gz XKCGOSGFYKTYFI-NSHDSACASA-N 1 2 316.390 1.132 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001007862253 752396321 /nfs/dbraw/zinc/39/63/21/752396321.db2.gz IEDNSJRWVCAPRA-QWHCGFSZSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001007862253 752396330 /nfs/dbraw/zinc/39/63/30/752396330.db2.gz IEDNSJRWVCAPRA-QWHCGFSZSA-N 1 2 313.829 1.378 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccsc1Cl ZINC001032677405 752698070 /nfs/dbraw/zinc/69/80/70/752698070.db2.gz JNMLVLWVZGDUHC-QWRGUYRKSA-N 1 2 310.806 1.699 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccsc1Cl ZINC001032677405 752698076 /nfs/dbraw/zinc/69/80/76/752698076.db2.gz JNMLVLWVZGDUHC-QWRGUYRKSA-N 1 2 310.806 1.699 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)cc1Cl ZINC001032688928 752704528 /nfs/dbraw/zinc/70/45/28/752704528.db2.gz KVAGIWLQRPCEDD-RYUDHWBXSA-N 1 2 304.777 1.881 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)cc1Cl ZINC001032688928 752704530 /nfs/dbraw/zinc/70/45/30/752704530.db2.gz KVAGIWLQRPCEDD-RYUDHWBXSA-N 1 2 304.777 1.881 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CC)c1c(C)noc1C ZINC001032684732 752718424 /nfs/dbraw/zinc/71/84/24/752718424.db2.gz SQDBSZHIOQTKMX-SOUVJXGZSA-N 1 2 301.390 1.703 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CC)c1c(C)noc1C ZINC001032684732 752718429 /nfs/dbraw/zinc/71/84/29/752718429.db2.gz SQDBSZHIOQTKMX-SOUVJXGZSA-N 1 2 301.390 1.703 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccsc1 ZINC001032702965 752759754 /nfs/dbraw/zinc/75/97/54/752759754.db2.gz GMDWQNRXVLFINP-STQMWFEESA-N 1 2 312.398 1.670 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccsc1 ZINC001032702965 752759761 /nfs/dbraw/zinc/75/97/61/752759761.db2.gz GMDWQNRXVLFINP-STQMWFEESA-N 1 2 312.398 1.670 20 30 DDEDLO Cn1ncc(C(=O)N[C@H]2CCC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001008860779 752950038 /nfs/dbraw/zinc/95/00/38/752950038.db2.gz BGEYGPXRDDMPBI-INIZCTEOSA-N 1 2 323.400 1.061 20 30 DDEDLO Cn1ncc(C(=O)N[C@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001008860779 752950041 /nfs/dbraw/zinc/95/00/41/752950041.db2.gz BGEYGPXRDDMPBI-INIZCTEOSA-N 1 2 323.400 1.061 20 30 DDEDLO Cc1conc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032719462 753088221 /nfs/dbraw/zinc/08/82/21/753088221.db2.gz IMWPIUYHIRRRHV-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1conc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032719462 753088223 /nfs/dbraw/zinc/08/82/23/753088223.db2.gz IMWPIUYHIRRRHV-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCCN(CC)c1cc[nH+]c(C)n1 ZINC001095921876 753203039 /nfs/dbraw/zinc/20/30/39/753203039.db2.gz SETGODOXJDHADY-CYBMUJFWSA-N 1 2 304.394 1.156 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)ccc(OC)c1F ZINC001032745280 753447009 /nfs/dbraw/zinc/44/70/09/753447009.db2.gz UPCNUKFPDQLLQG-RYUDHWBXSA-N 1 2 320.339 1.895 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)ccc(OC)c1F ZINC001032745280 753447013 /nfs/dbraw/zinc/44/70/13/753447013.db2.gz UPCNUKFPDQLLQG-RYUDHWBXSA-N 1 2 320.339 1.895 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c(=O)c2ccccc21 ZINC001032745879 753450755 /nfs/dbraw/zinc/45/07/55/753450755.db2.gz OTTOXEWCMYXYRT-STQMWFEESA-N 1 2 322.368 1.257 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c(=O)c2ccccc21 ZINC001032745879 753450761 /nfs/dbraw/zinc/45/07/61/753450761.db2.gz OTTOXEWCMYXYRT-STQMWFEESA-N 1 2 322.368 1.257 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001108177225 753498416 /nfs/dbraw/zinc/49/84/16/753498416.db2.gz RCLOCLPOOOWARJ-OCCSQVGLSA-N 1 2 320.355 1.805 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001108177225 753498421 /nfs/dbraw/zinc/49/84/21/753498421.db2.gz RCLOCLPOOOWARJ-OCCSQVGLSA-N 1 2 320.355 1.805 20 30 DDEDLO Cc1nc(N2CC[C@@H](N(C)C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001062908615 753828726 /nfs/dbraw/zinc/82/87/26/753828726.db2.gz UKQQGAVFPFUYBX-CYBMUJFWSA-N 1 2 310.361 1.336 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001078221722 753854764 /nfs/dbraw/zinc/85/47/64/753854764.db2.gz GKKOJMHVYLOUMP-XJKSGUPXSA-N 1 2 306.410 1.496 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001062959655 753870047 /nfs/dbraw/zinc/87/00/47/753870047.db2.gz DFMFEXSWKURSCU-CQSZACIVSA-N 1 2 324.388 1.265 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cscc2C(F)(F)F)C1 ZINC001078291736 753939489 /nfs/dbraw/zinc/93/94/89/753939489.db2.gz BTKGLKJPTRVYLM-GHMZBOCLSA-N 1 2 318.320 1.175 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cscc2C(F)(F)F)C1 ZINC001078291736 753939495 /nfs/dbraw/zinc/93/94/95/753939495.db2.gz BTKGLKJPTRVYLM-GHMZBOCLSA-N 1 2 318.320 1.175 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001078303697 753945783 /nfs/dbraw/zinc/94/57/83/753945783.db2.gz FTOGLAGHBJRYTQ-QZTJIDSGSA-N 1 2 310.397 1.662 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001078303697 753945789 /nfs/dbraw/zinc/94/57/89/753945789.db2.gz FTOGLAGHBJRYTQ-QZTJIDSGSA-N 1 2 310.397 1.662 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)n1nc(C)cc1C ZINC001032811581 754213393 /nfs/dbraw/zinc/21/33/93/754213393.db2.gz DWGHNUORBTZTCZ-PMPSAXMXSA-N 1 2 300.406 1.369 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)n1nc(C)cc1C ZINC001032811581 754213399 /nfs/dbraw/zinc/21/33/99/754213399.db2.gz DWGHNUORBTZTCZ-PMPSAXMXSA-N 1 2 300.406 1.369 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(COC)n1 ZINC001032813648 754262845 /nfs/dbraw/zinc/26/28/45/754262845.db2.gz DLVJCEMCTPVZEM-RYUDHWBXSA-N 1 2 305.403 1.212 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(COC)n1 ZINC001032813648 754262847 /nfs/dbraw/zinc/26/28/47/754262847.db2.gz DLVJCEMCTPVZEM-RYUDHWBXSA-N 1 2 305.403 1.212 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001066988572 754269434 /nfs/dbraw/zinc/26/94/34/754269434.db2.gz SDGYCEKHMRKRBQ-UHFFFAOYSA-N 1 2 306.410 1.615 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001066988572 754269437 /nfs/dbraw/zinc/26/94/37/754269437.db2.gz SDGYCEKHMRKRBQ-UHFFFAOYSA-N 1 2 306.410 1.615 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)CCn2cc[nH+]c2)CCC1 ZINC001063785114 754347194 /nfs/dbraw/zinc/34/71/94/754347194.db2.gz RAQDJKFWTYPUTN-UHFFFAOYSA-N 1 2 304.394 1.395 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064069535 754481748 /nfs/dbraw/zinc/48/17/48/754481748.db2.gz WAILMJUNEVYVSB-OLZOCXBDSA-N 1 2 318.421 1.566 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC=C(CNC(=O)c2cn[nH]n2)CC1 ZINC001000892855 762311686 /nfs/dbraw/zinc/31/16/86/762311686.db2.gz DLNVQQABSGWUEF-UHFFFAOYSA-N 1 2 322.372 1.238 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC=C(CNC(=O)c2cn[nH]n2)CC1 ZINC001000892855 762311692 /nfs/dbraw/zinc/31/16/92/762311692.db2.gz DLNVQQABSGWUEF-UHFFFAOYSA-N 1 2 322.372 1.238 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC=C(CNC(=O)c2cnn[nH]2)CC1 ZINC001000892855 762311699 /nfs/dbraw/zinc/31/16/99/762311699.db2.gz DLNVQQABSGWUEF-UHFFFAOYSA-N 1 2 322.372 1.238 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC=C(CNC(=O)c2cnn[nH]2)CC1 ZINC001000892855 762311709 /nfs/dbraw/zinc/31/17/09/762311709.db2.gz DLNVQQABSGWUEF-UHFFFAOYSA-N 1 2 322.372 1.238 20 30 DDEDLO O=C(NCC1CC[NH+](CC#Cc2ccccc2)CC1)c1nc[nH]n1 ZINC001001952440 754593083 /nfs/dbraw/zinc/59/30/83/754593083.db2.gz CFZQAAABKKZANZ-UHFFFAOYSA-N 1 2 323.400 1.298 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2ccc(=O)n(C)c2)CC1 ZINC001000896392 762315627 /nfs/dbraw/zinc/31/56/27/762315627.db2.gz ZHDHUMKTOKLYLX-UHFFFAOYSA-N 1 2 321.808 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2ccc(=O)n(C)c2)CC1 ZINC001000896392 762315638 /nfs/dbraw/zinc/31/56/38/762315638.db2.gz ZHDHUMKTOKLYLX-UHFFFAOYSA-N 1 2 321.808 1.500 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001064401492 754664657 /nfs/dbraw/zinc/66/46/57/754664657.db2.gz AVZPOIJDFRZFDP-MRXNPFEDSA-N 1 2 318.421 1.641 20 30 DDEDLO C=CCC1(C(=O)NC[C@@]2(C)C[N@H+](CC#CC)CCO2)CCC1 ZINC001108188577 754750461 /nfs/dbraw/zinc/75/04/61/754750461.db2.gz VVBSZUOZZHGAKC-KRWDZBQOSA-N 1 2 304.434 1.963 20 30 DDEDLO C=CCC1(C(=O)NC[C@@]2(C)C[N@@H+](CC#CC)CCO2)CCC1 ZINC001108188577 754750465 /nfs/dbraw/zinc/75/04/65/754750465.db2.gz VVBSZUOZZHGAKC-KRWDZBQOSA-N 1 2 304.434 1.963 20 30 DDEDLO C=CCC1(C(=O)NC[C@]2(C)C[N@H+](CC#CC)CCO2)CCC1 ZINC001108188578 754772793 /nfs/dbraw/zinc/77/27/93/754772793.db2.gz VVBSZUOZZHGAKC-QGZVFWFLSA-N 1 2 304.434 1.963 20 30 DDEDLO C=CCC1(C(=O)NC[C@]2(C)C[N@@H+](CC#CC)CCO2)CCC1 ZINC001108188578 754772795 /nfs/dbraw/zinc/77/27/95/754772795.db2.gz VVBSZUOZZHGAKC-QGZVFWFLSA-N 1 2 304.434 1.963 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2C=CC=CC=C2)[C@@H](O)C1 ZINC001090300800 754786685 /nfs/dbraw/zinc/78/66/85/754786685.db2.gz MMZFGTTUTGBFTI-GJZGRUSLSA-N 1 2 308.809 1.589 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2C=CC=CC=C2)[C@@H](O)C1 ZINC001090300800 754786688 /nfs/dbraw/zinc/78/66/88/754786688.db2.gz MMZFGTTUTGBFTI-GJZGRUSLSA-N 1 2 308.809 1.589 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@@H]2C)C1 ZINC001012099691 754844003 /nfs/dbraw/zinc/84/40/03/754844003.db2.gz HEKVJOPUPFSMAB-TZMCWYRMSA-N 1 2 316.405 1.414 20 30 DDEDLO Cc1nc(NC[C@H](C)NC(=O)Cc2c[nH+]cn2C)ccc1C#N ZINC001108456267 762348526 /nfs/dbraw/zinc/34/85/26/762348526.db2.gz VTXFWNDTFULZGA-NSHDSACASA-N 1 2 312.377 1.155 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN2C(=O)CCc2c[nH]c[nH+]2)nc1 ZINC001065034742 755037908 /nfs/dbraw/zinc/03/79/08/755037908.db2.gz UHKASUMEUYYDKW-HNNXBMFYSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN2C(=O)CCc2c[nH+]c[nH]2)nc1 ZINC001065034742 755037910 /nfs/dbraw/zinc/03/79/10/755037910.db2.gz UHKASUMEUYYDKW-HNNXBMFYSA-N 1 2 324.388 1.712 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001079539220 755413919 /nfs/dbraw/zinc/41/39/19/755413919.db2.gz ATFRKTFEAWIPCO-LALPHHSUSA-N 1 2 324.388 1.488 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001079539220 755413922 /nfs/dbraw/zinc/41/39/22/755413922.db2.gz ATFRKTFEAWIPCO-LALPHHSUSA-N 1 2 324.388 1.488 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001079601458 755481160 /nfs/dbraw/zinc/48/11/60/755481160.db2.gz ZIEOVDYTOLVJTK-LALPHHSUSA-N 1 2 324.388 1.488 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001079601458 755481163 /nfs/dbraw/zinc/48/11/63/755481163.db2.gz ZIEOVDYTOLVJTK-LALPHHSUSA-N 1 2 324.388 1.488 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)c3c[nH]c(C#N)c3)C[C@@H]2C)cc[nH+]1 ZINC001067059924 755483538 /nfs/dbraw/zinc/48/35/38/755483538.db2.gz FNKKHKIRGSEICB-HZMBPMFUSA-N 1 2 310.361 1.557 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc(C)nc2C)C1 ZINC001080636703 756015565 /nfs/dbraw/zinc/01/55/65/756015565.db2.gz KXFLHQMAMNUTPG-YMTOWFKASA-N 1 2 308.813 1.896 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc(C)nc2C)C1 ZINC001080636703 756015570 /nfs/dbraw/zinc/01/55/70/756015570.db2.gz KXFLHQMAMNUTPG-YMTOWFKASA-N 1 2 308.813 1.896 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@H+](CC(=O)NC3CC3)C2)CC1 ZINC001015660319 756444047 /nfs/dbraw/zinc/44/40/47/756444047.db2.gz XLUOZJRXOQNRHA-HNNXBMFYSA-N 1 2 305.422 1.202 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@@H+](CC(=O)NC3CC3)C2)CC1 ZINC001015660319 756444051 /nfs/dbraw/zinc/44/40/51/756444051.db2.gz XLUOZJRXOQNRHA-HNNXBMFYSA-N 1 2 305.422 1.202 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001015720460 756493005 /nfs/dbraw/zinc/49/30/05/756493005.db2.gz BGFZPIGPUVKADZ-XHSDSOJGSA-N 1 2 319.380 1.502 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C1 ZINC001015720460 756493010 /nfs/dbraw/zinc/49/30/10/756493010.db2.gz BGFZPIGPUVKADZ-XHSDSOJGSA-N 1 2 319.380 1.502 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1cnon1 ZINC001015875740 756609681 /nfs/dbraw/zinc/60/96/81/756609681.db2.gz BRBLFYDJQHGCMC-AWEZNQCLSA-N 1 2 314.320 1.065 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1cnon1 ZINC001015875740 756609686 /nfs/dbraw/zinc/60/96/86/756609686.db2.gz BRBLFYDJQHGCMC-AWEZNQCLSA-N 1 2 314.320 1.065 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@@H+](C[C@H](F)CC)C[C@H]2OC)c1 ZINC001082117299 756637677 /nfs/dbraw/zinc/63/76/77/756637677.db2.gz WXQZWVFCGGCQGR-BZUAXINKSA-N 1 2 319.380 1.240 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@H+](C[C@H](F)CC)C[C@H]2OC)c1 ZINC001082117299 756637680 /nfs/dbraw/zinc/63/76/80/756637680.db2.gz WXQZWVFCGGCQGR-BZUAXINKSA-N 1 2 319.380 1.240 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)[C@@H]1CC12CC2 ZINC001082171263 756660580 /nfs/dbraw/zinc/66/05/80/756660580.db2.gz QVFYKXQGALIAQD-RCCFBDPRSA-N 1 2 324.424 1.654 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)[C@@H]1CC12CC2 ZINC001082171263 756660581 /nfs/dbraw/zinc/66/05/81/756660581.db2.gz QVFYKXQGALIAQD-RCCFBDPRSA-N 1 2 324.424 1.654 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)cc2Cl)[C@H](OC)C1 ZINC001082160545 756666464 /nfs/dbraw/zinc/66/64/64/756666464.db2.gz IVMUTUFKCWYVQS-HUUCEWRRSA-N 1 2 306.793 1.711 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)cc2Cl)[C@H](OC)C1 ZINC001082160545 756666465 /nfs/dbraw/zinc/66/64/65/756666465.db2.gz IVMUTUFKCWYVQS-HUUCEWRRSA-N 1 2 306.793 1.711 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)[C@H](OC)C1 ZINC001082201303 756675182 /nfs/dbraw/zinc/67/51/82/756675182.db2.gz VZJYETYIPOEWRX-BORJPKMPSA-N 1 2 306.450 1.897 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)[C@H](OC)C1 ZINC001082201303 756675187 /nfs/dbraw/zinc/67/51/87/756675187.db2.gz VZJYETYIPOEWRX-BORJPKMPSA-N 1 2 306.450 1.897 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(C)(C)C)oc2C)[C@H](OC)C1 ZINC001082180961 756676210 /nfs/dbraw/zinc/67/62/10/756676210.db2.gz NDRUMYGLSGRHGL-HUUCEWRRSA-N 1 2 318.417 1.948 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(C)(C)C)oc2C)[C@H](OC)C1 ZINC001082180961 756676214 /nfs/dbraw/zinc/67/62/14/756676214.db2.gz NDRUMYGLSGRHGL-HUUCEWRRSA-N 1 2 318.417 1.948 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccsc2Cl)[C@H](OC)C1 ZINC001082203527 756681676 /nfs/dbraw/zinc/68/16/76/756681676.db2.gz CMMCPONKAXNCMY-VXGBXAGGSA-N 1 2 312.822 1.854 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccsc2Cl)[C@H](OC)C1 ZINC001082203527 756681680 /nfs/dbraw/zinc/68/16/80/756681680.db2.gz CMMCPONKAXNCMY-VXGBXAGGSA-N 1 2 312.822 1.854 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1OC ZINC001082217124 756689479 /nfs/dbraw/zinc/68/94/79/756689479.db2.gz KVFZYKKFOJVNNE-YJNKXOJESA-N 1 2 314.401 1.143 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1OC ZINC001082217124 756689482 /nfs/dbraw/zinc/68/94/82/756689482.db2.gz KVFZYKKFOJVNNE-YJNKXOJESA-N 1 2 314.401 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+]([C@@H](C)c3cnccn3)C2)nc1 ZINC001016015979 756724173 /nfs/dbraw/zinc/72/41/73/756724173.db2.gz DZOXFJMBKURLFV-ZFWWWQNUSA-N 1 2 321.384 1.418 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+]([C@@H](C)c3cnccn3)C2)nc1 ZINC001016015979 756724174 /nfs/dbraw/zinc/72/41/74/756724174.db2.gz DZOXFJMBKURLFV-ZFWWWQNUSA-N 1 2 321.384 1.418 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2sccc2OC)[C@H](OC)C1 ZINC001082337134 756743870 /nfs/dbraw/zinc/74/38/70/756743870.db2.gz BTFBMZHPZKUPSG-DGCLKSJQSA-N 1 2 308.403 1.209 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2sccc2OC)[C@H](OC)C1 ZINC001082337134 756743872 /nfs/dbraw/zinc/74/38/72/756743872.db2.gz BTFBMZHPZKUPSG-DGCLKSJQSA-N 1 2 308.403 1.209 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)n2c(C)ccc2C)[C@H](OC)C1 ZINC001082383218 756762069 /nfs/dbraw/zinc/76/20/69/756762069.db2.gz NCDMWULMNHMICP-BZUAXINKSA-N 1 2 303.406 1.115 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)n2c(C)ccc2C)[C@H](OC)C1 ZINC001082383218 756762074 /nfs/dbraw/zinc/76/20/74/756762074.db2.gz NCDMWULMNHMICP-BZUAXINKSA-N 1 2 303.406 1.115 20 30 DDEDLO Cc1nccc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001016287948 756907274 /nfs/dbraw/zinc/90/72/74/756907274.db2.gz QKAPPLMLOJCZNH-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001016287948 756907277 /nfs/dbraw/zinc/90/72/77/756907277.db2.gz QKAPPLMLOJCZNH-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3coc(C(C)(C)C)n3)[C@H]2C1 ZINC001083081011 757125742 /nfs/dbraw/zinc/12/57/42/757125742.db2.gz PSDWWZWXKDDFDQ-UONOGXRCSA-N 1 2 319.405 1.683 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3coc(C(C)(C)C)n3)[C@H]2C1 ZINC001083081011 757125744 /nfs/dbraw/zinc/12/57/44/757125744.db2.gz PSDWWZWXKDDFDQ-UONOGXRCSA-N 1 2 319.405 1.683 20 30 DDEDLO C=C(C)CC[N@H+]1C[C@@H](NC(=O)c2n[nH]c3ccccc32)[C@@H](O)C1 ZINC001084019032 757251532 /nfs/dbraw/zinc/25/15/32/757251532.db2.gz CBESKISAXSJUOI-CABCVRRESA-N 1 2 314.389 1.304 20 30 DDEDLO C=C(C)CC[N@@H+]1C[C@@H](NC(=O)c2n[nH]c3ccccc32)[C@@H](O)C1 ZINC001084019032 757251537 /nfs/dbraw/zinc/25/15/37/757251537.db2.gz CBESKISAXSJUOI-CABCVRRESA-N 1 2 314.389 1.304 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cn(CC)nn1)C2 ZINC001097571177 757253155 /nfs/dbraw/zinc/25/31/55/757253155.db2.gz ATUAMEDOCXVYBQ-MCIONIFRSA-N 1 2 323.828 1.315 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cn(CC)nn1)C2 ZINC001097571177 757253158 /nfs/dbraw/zinc/25/31/58/757253158.db2.gz ATUAMEDOCXVYBQ-MCIONIFRSA-N 1 2 323.828 1.315 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2CC[C@H](Nc3cc[nH+]c(C)n3)[C@H]2C1 ZINC001097597756 757270983 /nfs/dbraw/zinc/27/09/83/757270983.db2.gz APGPAZOQCHXQDM-KKUMJFAQSA-N 1 2 316.405 1.637 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](C[N@@H+](C)Cc2nnnn2C)C1 ZINC001017179201 757693453 /nfs/dbraw/zinc/69/34/53/757693453.db2.gz FWABQANETFGUFV-CYBMUJFWSA-N 1 2 320.441 1.093 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](C[N@H+](C)Cc2nnnn2C)C1 ZINC001017179201 757693460 /nfs/dbraw/zinc/69/34/60/757693460.db2.gz FWABQANETFGUFV-CYBMUJFWSA-N 1 2 320.441 1.093 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001052866694 757903069 /nfs/dbraw/zinc/90/30/69/757903069.db2.gz IKIYUOMEWSMMKE-LSDHHAIUSA-N 1 2 318.421 1.450 20 30 DDEDLO CC[C@@H](F)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C#N)c[nH]1 ZINC001017454163 757945132 /nfs/dbraw/zinc/94/51/32/757945132.db2.gz GKHRGUQZFLGSDA-MCIONIFRSA-N 1 2 304.369 1.923 20 30 DDEDLO CC[C@@H](F)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C#N)c[nH]1 ZINC001017454163 757945142 /nfs/dbraw/zinc/94/51/42/757945142.db2.gz GKHRGUQZFLGSDA-MCIONIFRSA-N 1 2 304.369 1.923 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001084824895 757951290 /nfs/dbraw/zinc/95/12/90/757951290.db2.gz YOTGQSTZVZCRDB-CZUORRHYSA-N 1 2 311.389 1.680 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001084824895 757951293 /nfs/dbraw/zinc/95/12/93/757951293.db2.gz YOTGQSTZVZCRDB-CZUORRHYSA-N 1 2 311.389 1.680 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cnc(CC)s3)[C@@H]2C1 ZINC001084862921 757990577 /nfs/dbraw/zinc/99/05/77/757990577.db2.gz KTXGLJVYMSLORE-CHWSQXEVSA-N 1 2 303.431 1.875 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc(CC)s3)[C@@H]2C1 ZINC001084862921 757990580 /nfs/dbraw/zinc/99/05/80/757990580.db2.gz KTXGLJVYMSLORE-CHWSQXEVSA-N 1 2 303.431 1.875 20 30 DDEDLO N#CCN1CCC[C@@H]([C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001053044231 758104586 /nfs/dbraw/zinc/10/45/86/758104586.db2.gz NVAIJLSTSVQVNT-HUUCEWRRSA-N 1 2 315.421 1.426 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cnc2ccccc21 ZINC001017719099 758179403 /nfs/dbraw/zinc/17/94/03/758179403.db2.gz NFZCAKVSMIBGQL-IYBDPMFKSA-N 1 2 322.412 1.735 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cnc2ccccc21 ZINC001017719099 758179407 /nfs/dbraw/zinc/17/94/07/758179407.db2.gz NFZCAKVSMIBGQL-IYBDPMFKSA-N 1 2 322.412 1.735 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)ccnc1OCC ZINC001017767237 758216556 /nfs/dbraw/zinc/21/65/56/758216556.db2.gz KAAWMZZFHRTOTD-GASCZTMLSA-N 1 2 313.401 1.711 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)ccnc1OCC ZINC001017767237 758216560 /nfs/dbraw/zinc/21/65/60/758216560.db2.gz KAAWMZZFHRTOTD-GASCZTMLSA-N 1 2 313.401 1.711 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CC[C@@H]3C[C@@H]3C1)CCO2 ZINC001053314438 758367244 /nfs/dbraw/zinc/36/72/44/758367244.db2.gz QXOSUKAAAYOLPU-BZUAXINKSA-N 1 2 304.434 1.912 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(Cl)[nH]2)C1 ZINC001108195391 758420786 /nfs/dbraw/zinc/42/07/86/758420786.db2.gz AUVAXTSWKQBQBI-HNNXBMFYSA-N 1 2 309.797 1.512 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(Cl)[nH]2)C1 ZINC001108195391 758420793 /nfs/dbraw/zinc/42/07/93/758420793.db2.gz AUVAXTSWKQBQBI-HNNXBMFYSA-N 1 2 309.797 1.512 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-c2ccco2)n[nH]1 ZINC001017987075 758443127 /nfs/dbraw/zinc/44/31/27/758443127.db2.gz KJNTWVVMDCUDOM-BETUJISGSA-N 1 2 310.357 1.592 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-c2ccco2)n[nH]1 ZINC001017987075 758443134 /nfs/dbraw/zinc/44/31/34/758443134.db2.gz KJNTWVVMDCUDOM-BETUJISGSA-N 1 2 310.357 1.592 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCO[C@H](C)C1)CCO2 ZINC001053487193 758494086 /nfs/dbraw/zinc/49/40/86/758494086.db2.gz DAFUYKDAQBIAKR-CABCVRRESA-N 1 2 308.422 1.291 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2ccccc2O1 ZINC001018075472 758526655 /nfs/dbraw/zinc/52/66/55/758526655.db2.gz NHVPAIJEYRNXOR-SOLBZPMBSA-N 1 2 310.397 1.689 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2ccccc2O1 ZINC001018075472 758526657 /nfs/dbraw/zinc/52/66/57/758526657.db2.gz NHVPAIJEYRNXOR-SOLBZPMBSA-N 1 2 310.397 1.689 20 30 DDEDLO C=C[C@H](C(=O)N1CCOC2(C[NH+](CC)C2)C1)c1ccccc1 ZINC001053539033 758543165 /nfs/dbraw/zinc/54/31/65/758543165.db2.gz LZOGDAQAKREFKO-INIZCTEOSA-N 1 2 300.402 1.889 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccncc1C)O2 ZINC001053596195 758618158 /nfs/dbraw/zinc/61/81/58/758618158.db2.gz MRUPLGXPEHTZLI-HNNXBMFYSA-N 1 2 315.417 1.929 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c[nH]nc1CC)O2 ZINC001053621418 758639732 /nfs/dbraw/zinc/63/97/32/758639732.db2.gz GKGDPKOHFLEODC-ZDUSSCGKSA-N 1 2 318.421 1.511 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccnc1OCC ZINC001018229410 758652116 /nfs/dbraw/zinc/65/21/16/758652116.db2.gz GWPBEFQSPIRMIV-GASCZTMLSA-N 1 2 313.401 1.792 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccnc1OCC ZINC001018229410 758652119 /nfs/dbraw/zinc/65/21/19/758652119.db2.gz GWPBEFQSPIRMIV-GASCZTMLSA-N 1 2 313.401 1.792 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cccnc1C)O2 ZINC001053655995 758668718 /nfs/dbraw/zinc/66/87/18/758668718.db2.gz SYPXZAOXYZBCBV-AWEZNQCLSA-N 1 2 301.390 1.539 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CCC)CC2)C1 ZINC001065693323 758710331 /nfs/dbraw/zinc/71/03/31/758710331.db2.gz ZSSWICVTHCJLJE-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)nc1)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065780636 758795470 /nfs/dbraw/zinc/79/54/70/758795470.db2.gz STBDXPPOWBRBDJ-CHWSQXEVSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc[nH]c1CCC)CO2 ZINC001053901709 758933155 /nfs/dbraw/zinc/93/31/55/758933155.db2.gz NIQOSKWEURFDBG-CQSZACIVSA-N 1 2 315.417 1.564 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2COC3(C[NH+](C[C@H](C)OC)C3)C2)C1 ZINC001053919631 758955747 /nfs/dbraw/zinc/95/57/47/758955747.db2.gz PTUFPCHQPZHWNL-UONOGXRCSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1occc1C)CO2 ZINC001053921030 758956372 /nfs/dbraw/zinc/95/63/72/758956372.db2.gz XPEJUNBMXGDXRX-AWEZNQCLSA-N 1 2 304.390 1.666 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]c(=O)cc1C)CO2 ZINC001053922660 758958204 /nfs/dbraw/zinc/95/82/04/758958204.db2.gz GBWOOWXAADEIKU-CYBMUJFWSA-N 1 2 317.389 1.245 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc3c([nH]1)CCC3)CO2 ZINC001053979783 759019522 /nfs/dbraw/zinc/01/95/22/759019522.db2.gz XCRJZRWNBGABEO-AWEZNQCLSA-N 1 2 313.401 1.100 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC[C@H](C)CC1)CO2 ZINC001053987163 759029547 /nfs/dbraw/zinc/02/95/47/759029547.db2.gz IRPNVDRGERIIHY-BZUAXINKSA-N 1 2 304.434 1.796 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1sccc1OC)CO2 ZINC001053988097 759030669 /nfs/dbraw/zinc/03/06/69/759030669.db2.gz ZEXNENJZZGVMCK-LBPRGKRZSA-N 1 2 322.430 1.906 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccccc1C#C)CO2 ZINC001053993566 759039765 /nfs/dbraw/zinc/03/97/65/759039765.db2.gz OUBAULSSHZZMSL-GOSISDBHSA-N 1 2 322.408 1.193 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108559435 762680913 /nfs/dbraw/zinc/68/09/13/762680913.db2.gz ACKSDEPDGDMTLQ-NSHDSACASA-N 1 2 320.441 1.765 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CCCC[C@H]3NCC#N)ccn12 ZINC001085198201 759110160 /nfs/dbraw/zinc/11/01/60/759110160.db2.gz HVWIJEVECWDXMX-HUUCEWRRSA-N 1 2 311.389 1.797 20 30 DDEDLO N#CCN1CC[C@]2(C1)CCCN(C(=O)c1cccc3[nH+]ccn31)C2 ZINC001054164551 759246744 /nfs/dbraw/zinc/24/67/44/759246744.db2.gz MBRUHYYOGWARII-SFHVURJKSA-N 1 2 323.400 1.786 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001018818301 759302102 /nfs/dbraw/zinc/30/21/02/759302102.db2.gz FGLKKRQIVRXDAC-YPMHNXCESA-N 1 2 302.378 1.297 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCC[C@@]2(CCN(CC#N)C2)C1 ZINC001054235258 759324847 /nfs/dbraw/zinc/32/48/47/759324847.db2.gz RPCWXQXLESDLEE-KRWDZBQOSA-N 1 2 315.421 1.191 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccc(=O)n(C)c3)cc2C1 ZINC001054272597 759379303 /nfs/dbraw/zinc/37/93/03/759379303.db2.gz YUKCAFCOVWZXPU-UHFFFAOYSA-N 1 2 321.380 1.264 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccc(=O)n(C)c3)cc2C1 ZINC001054272597 759379314 /nfs/dbraw/zinc/37/93/14/759379314.db2.gz YUKCAFCOVWZXPU-UHFFFAOYSA-N 1 2 321.380 1.264 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)Cc1c[nH+]cn1C ZINC001069122551 767861309 /nfs/dbraw/zinc/86/13/09/767861309.db2.gz VCLZLJOWXDZTSI-GXTWGEPZSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@H]([NH2+]Cc2csnn2)C1 ZINC001018972618 759466312 /nfs/dbraw/zinc/46/63/12/759466312.db2.gz GFTKLIAGAAUKAA-LBPRGKRZSA-N 1 2 317.418 1.530 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1csc([C@H](C)OC)n1 ZINC001085595785 759797312 /nfs/dbraw/zinc/79/73/12/759797312.db2.gz MWIYPUUXKSAWPC-NWDGAFQWSA-N 1 2 307.419 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1csc([C@H](C)OC)n1 ZINC001085595785 759797317 /nfs/dbraw/zinc/79/73/17/759797317.db2.gz MWIYPUUXKSAWPC-NWDGAFQWSA-N 1 2 307.419 1.630 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1sccc1-n1ccnn1 ZINC001085661155 759961743 /nfs/dbraw/zinc/96/17/43/759961743.db2.gz QAMCWYQIPXMHTG-LBPRGKRZSA-N 1 2 315.402 1.108 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1sccc1-n1ccnn1 ZINC001085661155 759961748 /nfs/dbraw/zinc/96/17/48/759961748.db2.gz QAMCWYQIPXMHTG-LBPRGKRZSA-N 1 2 315.402 1.108 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc2n(n1)CCCC2 ZINC001085661064 759961847 /nfs/dbraw/zinc/96/18/47/759961847.db2.gz OLOJZXKVRPCCSX-HNNXBMFYSA-N 1 2 300.406 1.389 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc2n(n1)CCCC2 ZINC001085661064 759961850 /nfs/dbraw/zinc/96/18/50/759961850.db2.gz OLOJZXKVRPCCSX-HNNXBMFYSA-N 1 2 300.406 1.389 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1onc2c1CCCC2 ZINC001085719696 760104515 /nfs/dbraw/zinc/10/45/15/760104515.db2.gz AWJXJCUDNCQWEN-ZDUSSCGKSA-N 1 2 301.390 1.723 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1onc2c1CCCC2 ZINC001085719696 760104518 /nfs/dbraw/zinc/10/45/18/760104518.db2.gz AWJXJCUDNCQWEN-ZDUSSCGKSA-N 1 2 301.390 1.723 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)ccnc1OCC ZINC001085740779 760138732 /nfs/dbraw/zinc/13/87/32/760138732.db2.gz ATNGDIVVWOYHEM-AWEZNQCLSA-N 1 2 301.390 1.568 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)ccnc1OCC ZINC001085740779 760138733 /nfs/dbraw/zinc/13/87/33/760138733.db2.gz ATNGDIVVWOYHEM-AWEZNQCLSA-N 1 2 301.390 1.568 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1)C(=O)c1cnco1 ZINC001085749427 760163601 /nfs/dbraw/zinc/16/36/01/760163601.db2.gz HIALWECOESTRHW-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1)C(=O)c1cnco1 ZINC001085749427 760163607 /nfs/dbraw/zinc/16/36/07/760163607.db2.gz HIALWECOESTRHW-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cnoc2C)c1 ZINC001085809722 760277844 /nfs/dbraw/zinc/27/78/44/760277844.db2.gz MILACVDQVOYUQU-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cnoc2C)c1 ZINC001085809722 760277849 /nfs/dbraw/zinc/27/78/49/760277849.db2.gz MILACVDQVOYUQU-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnnn1-c1ccccc1 ZINC001085845130 760356058 /nfs/dbraw/zinc/35/60/58/760356058.db2.gz GBGBWRXJLQUUBL-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnnn1-c1ccccc1 ZINC001085845130 760356070 /nfs/dbraw/zinc/35/60/70/760356070.db2.gz GBGBWRXJLQUUBL-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(CC2CCCC2)c1 ZINC001085894675 760480373 /nfs/dbraw/zinc/48/03/73/760480373.db2.gz IOYYMTCWRIXRGC-QGZVFWFLSA-N 1 2 314.433 1.853 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(CC2CCCC2)c1 ZINC001085894675 760480379 /nfs/dbraw/zinc/48/03/79/760480379.db2.gz IOYYMTCWRIXRGC-QGZVFWFLSA-N 1 2 314.433 1.853 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cnoc2C)cn1 ZINC001085933141 760562767 /nfs/dbraw/zinc/56/27/67/760562767.db2.gz QJEGPGHEJSYXJB-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cnoc2C)cn1 ZINC001085933141 760562770 /nfs/dbraw/zinc/56/27/70/760562770.db2.gz QJEGPGHEJSYXJB-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccnc1OCC ZINC001085954341 760605862 /nfs/dbraw/zinc/60/58/62/760605862.db2.gz XXPXNIDDHZLTPH-CQSZACIVSA-N 1 2 301.390 1.650 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccnc1OCC ZINC001085954341 760605871 /nfs/dbraw/zinc/60/58/71/760605871.db2.gz XXPXNIDDHZLTPH-CQSZACIVSA-N 1 2 301.390 1.650 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(NC(C)=O)ccc1F ZINC001085996637 760674164 /nfs/dbraw/zinc/67/41/64/760674164.db2.gz SLQJPTPVAUPDBL-CQSZACIVSA-N 1 2 317.364 1.564 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(NC(C)=O)ccc1F ZINC001085996637 760674170 /nfs/dbraw/zinc/67/41/70/760674170.db2.gz SLQJPTPVAUPDBL-CQSZACIVSA-N 1 2 317.364 1.564 20 30 DDEDLO Cc1nc(N2CCN(C(=O)C#CC3CC3)CC2)c2c([nH+]1)CCCC2 ZINC001055795459 760736320 /nfs/dbraw/zinc/73/63/20/760736320.db2.gz WDCRMCUIIXQMPX-UHFFFAOYSA-N 1 2 324.428 1.726 20 30 DDEDLO Cc1ncsc1C[N@@H+]1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038169452 760881018 /nfs/dbraw/zinc/88/10/18/760881018.db2.gz NWAOXKCYFUOMNM-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1ncsc1C[N@H+]1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038169452 760881031 /nfs/dbraw/zinc/88/10/31/760881031.db2.gz NWAOXKCYFUOMNM-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001038265170 760959300 /nfs/dbraw/zinc/95/93/00/760959300.db2.gz CUCFUDMUGJWWTC-OAGGEKHMSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001038265170 760959305 /nfs/dbraw/zinc/95/93/05/760959305.db2.gz CUCFUDMUGJWWTC-OAGGEKHMSA-N 1 2 319.405 1.655 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001038428435 761109758 /nfs/dbraw/zinc/10/97/58/761109758.db2.gz XISGUKFCPQLSEG-OAHLLOKOSA-N 1 2 309.373 1.299 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001038428435 761109760 /nfs/dbraw/zinc/10/97/60/761109760.db2.gz XISGUKFCPQLSEG-OAHLLOKOSA-N 1 2 309.373 1.299 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC[C@@H]2CCCCO2)C1 ZINC001108251473 761153032 /nfs/dbraw/zinc/15/30/32/761153032.db2.gz FUKVLPITLIMLPP-RDJZCZTQSA-N 1 2 310.438 1.729 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CC[C@@H]2CCCCO2)C1 ZINC001108251473 761153036 /nfs/dbraw/zinc/15/30/36/761153036.db2.gz FUKVLPITLIMLPP-RDJZCZTQSA-N 1 2 310.438 1.729 20 30 DDEDLO C#CCCN1CC[C@@H]1CNC(=O)c1ccn(-c2cc[nH+]cc2)n1 ZINC001038501345 761160777 /nfs/dbraw/zinc/16/07/77/761160777.db2.gz HQLXPJLOOSUPDJ-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ccc(C#N)nc1 ZINC001069415467 768016287 /nfs/dbraw/zinc/01/62/87/768016287.db2.gz QHOPNEWODQLGGZ-MLGOLLRUSA-N 1 2 324.388 1.393 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cnoc2C)cc1 ZINC001038590662 761220931 /nfs/dbraw/zinc/22/09/31/761220931.db2.gz ZDVNJBZWXGWXMP-KRWDZBQOSA-N 1 2 309.369 1.969 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cnoc2C)cc1 ZINC001038590662 761220933 /nfs/dbraw/zinc/22/09/33/761220933.db2.gz ZDVNJBZWXGWXMP-KRWDZBQOSA-N 1 2 309.369 1.969 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccnc2C)c1 ZINC001038684577 761285584 /nfs/dbraw/zinc/28/55/84/761285584.db2.gz KLJMISZCVVSCHJ-GOSISDBHSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccnc2C)c1 ZINC001038684577 761285588 /nfs/dbraw/zinc/28/55/88/761285588.db2.gz KLJMISZCVVSCHJ-GOSISDBHSA-N 1 2 320.396 1.771 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ncccc1C(F)(F)F ZINC001038878524 761503282 /nfs/dbraw/zinc/50/32/82/761503282.db2.gz CQXJQYMUMYIVEK-LLVKDONJSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ncccc1C(F)(F)F ZINC001038878524 761503285 /nfs/dbraw/zinc/50/32/85/761503285.db2.gz CQXJQYMUMYIVEK-LLVKDONJSA-N 1 2 311.307 1.928 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnc(O[C@@H](C)CC)c1 ZINC001038895945 761518852 /nfs/dbraw/zinc/51/88/52/761518852.db2.gz XBDKSCCNVNIGJS-DZGCQCFKSA-N 1 2 301.390 1.696 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnc(O[C@@H](C)CC)c1 ZINC001038895945 761518860 /nfs/dbraw/zinc/51/88/60/761518860.db2.gz XBDKSCCNVNIGJS-DZGCQCFKSA-N 1 2 301.390 1.696 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(OCC)c(OC)c1 ZINC001039020376 761657185 /nfs/dbraw/zinc/65/71/85/761657185.db2.gz YNJNRBDAAMZJDU-AWEZNQCLSA-N 1 2 302.374 1.531 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(OCC)c(OC)c1 ZINC001039020376 761657187 /nfs/dbraw/zinc/65/71/87/761657187.db2.gz YNJNRBDAAMZJDU-AWEZNQCLSA-N 1 2 302.374 1.531 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(C(C)(C)C)c1CC ZINC001039033111 761672360 /nfs/dbraw/zinc/67/23/60/761672360.db2.gz PMFBJIMXVUQLBV-ZDUSSCGKSA-N 1 2 302.422 1.638 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(C(C)(C)C)c1CC ZINC001039033111 761672365 /nfs/dbraw/zinc/67/23/65/761672365.db2.gz PMFBJIMXVUQLBV-ZDUSSCGKSA-N 1 2 302.422 1.638 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001066561161 761798319 /nfs/dbraw/zinc/79/83/19/761798319.db2.gz GEGATMCGQSAXNV-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC([C@H]2CCN(CC#N)C2)CC1 ZINC001039189199 761813822 /nfs/dbraw/zinc/81/38/22/761813822.db2.gz RNXXTMSMCLBBAR-HNNXBMFYSA-N 1 2 315.421 1.345 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108566821 762709391 /nfs/dbraw/zinc/70/93/91/762709391.db2.gz OJQNXAWFSBBWHF-HUUCEWRRSA-N 1 2 319.449 1.566 20 30 DDEDLO Cc1nc(NC[C@H](C)NC(=O)CCc2c[nH]c[nH+]2)ccc1C#N ZINC001108763032 762905952 /nfs/dbraw/zinc/90/59/52/762905952.db2.gz NSVYWARHZRPUOL-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO Cc1nc(NC[C@H](C)NC(=O)CCc2c[nH+]c[nH]2)ccc1C#N ZINC001108763032 762905957 /nfs/dbraw/zinc/90/59/57/762905957.db2.gz NSVYWARHZRPUOL-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO C#CCC[N@H+]1CC[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041303222 762946413 /nfs/dbraw/zinc/94/64/13/762946413.db2.gz DAEKNKKJZWSSDK-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041303222 762946417 /nfs/dbraw/zinc/94/64/17/762946417.db2.gz DAEKNKKJZWSSDK-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@H+]1CC[C@@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001041303222 762946424 /nfs/dbraw/zinc/94/64/24/762946424.db2.gz DAEKNKKJZWSSDK-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001041303222 762946432 /nfs/dbraw/zinc/94/64/32/762946432.db2.gz DAEKNKKJZWSSDK-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccccc1C#N ZINC001108892375 763084875 /nfs/dbraw/zinc/08/48/75/763084875.db2.gz SCAKWKFDORYZMU-IKGGRYGDSA-N 1 2 313.401 1.816 20 30 DDEDLO CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccccc1C#N ZINC001108892375 763084881 /nfs/dbraw/zinc/08/48/81/763084881.db2.gz SCAKWKFDORYZMU-IKGGRYGDSA-N 1 2 313.401 1.816 20 30 DDEDLO Cc1nccnc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)C)C2 ZINC001109249497 763490193 /nfs/dbraw/zinc/49/01/93/763490193.db2.gz CQAJGLPGGIMDDS-VYDXJSESSA-N 1 2 312.417 1.666 20 30 DDEDLO Cc1nccnc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)C)C2 ZINC001109249497 763490195 /nfs/dbraw/zinc/49/01/95/763490195.db2.gz CQAJGLPGGIMDDS-VYDXJSESSA-N 1 2 312.417 1.666 20 30 DDEDLO Cc1cc(NC2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)c(C#N)cn1 ZINC001057433491 763686403 /nfs/dbraw/zinc/68/64/03/763686403.db2.gz KECCQNIHSYTIGC-UHFFFAOYSA-N 1 2 324.388 1.052 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)NC(C)=O)C2 ZINC001109745632 763987832 /nfs/dbraw/zinc/98/78/32/763987832.db2.gz WDCMYBBVTGFEQQ-RFGFWPKPSA-N 1 2 313.829 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)NC(C)=O)C2 ZINC001109745632 763987839 /nfs/dbraw/zinc/98/78/39/763987839.db2.gz WDCMYBBVTGFEQQ-RFGFWPKPSA-N 1 2 313.829 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)NC(C)=O)C2 ZINC001109852299 764133546 /nfs/dbraw/zinc/13/35/46/764133546.db2.gz SNMDCZWCBYZSMX-AGIUHOORSA-N 1 2 313.829 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)NC(C)=O)C2 ZINC001109852299 764133547 /nfs/dbraw/zinc/13/35/47/764133547.db2.gz SNMDCZWCBYZSMX-AGIUHOORSA-N 1 2 313.829 1.375 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(C)C)n(C)n1 ZINC001050915401 764274343 /nfs/dbraw/zinc/27/43/43/764274343.db2.gz UVOXCRLYKGRJDP-AWEZNQCLSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(C)C)n(C)n1 ZINC001050915401 764274345 /nfs/dbraw/zinc/27/43/45/764274345.db2.gz UVOXCRLYKGRJDP-AWEZNQCLSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3conc3C)c2C1 ZINC001069872379 768203330 /nfs/dbraw/zinc/20/33/30/768203330.db2.gz LPCUURXKGMYCRF-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3conc3C)c2C1 ZINC001069872379 768203333 /nfs/dbraw/zinc/20/33/33/768203333.db2.gz LPCUURXKGMYCRF-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCC(F)(F)C1 ZINC001050949934 764331221 /nfs/dbraw/zinc/33/12/21/764331221.db2.gz RMXYLXHQQACRBO-QWHCGFSZSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCC(F)(F)C1 ZINC001050949934 764331225 /nfs/dbraw/zinc/33/12/25/764331225.db2.gz RMXYLXHQQACRBO-QWHCGFSZSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3CC34CC4)c2C1 ZINC001069875204 768206228 /nfs/dbraw/zinc/20/62/28/768206228.db2.gz PCRIVNSOAVETAC-AWEZNQCLSA-N 1 2 300.406 1.691 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3CC34CC4)c2C1 ZINC001069875204 768206233 /nfs/dbraw/zinc/20/62/33/768206233.db2.gz PCRIVNSOAVETAC-AWEZNQCLSA-N 1 2 300.406 1.691 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccn2c1CCCC2 ZINC001050955175 764345495 /nfs/dbraw/zinc/34/54/95/764345495.db2.gz JHBRZADRZVRSJT-HNNXBMFYSA-N 1 2 317.433 1.831 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccn2c1CCCC2 ZINC001050955175 764345506 /nfs/dbraw/zinc/34/55/06/764345506.db2.gz JHBRZADRZVRSJT-HNNXBMFYSA-N 1 2 317.433 1.831 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn([C@H](C)COC)nc2C)C1 ZINC001042723248 764388720 /nfs/dbraw/zinc/38/87/20/764388720.db2.gz SOQBJNKHNNMTFH-CYBMUJFWSA-N 1 2 318.421 1.178 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCO[C@H]2c2ccncc2)C1 ZINC001042737736 764402816 /nfs/dbraw/zinc/40/28/16/764402816.db2.gz SJFAUTWODNQOGF-SJORKVTESA-N 1 2 315.417 1.878 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1nc(C)c(C)nc1C ZINC001051014208 764417362 /nfs/dbraw/zinc/41/73/62/764417362.db2.gz SLRGNHGLSIINGL-OAHLLOKOSA-N 1 2 318.421 1.409 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1nc(C)c(C)nc1C ZINC001051014208 764417367 /nfs/dbraw/zinc/41/73/67/764417367.db2.gz SLRGNHGLSIINGL-OAHLLOKOSA-N 1 2 318.421 1.409 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C(C)C)on1 ZINC001051051490 764454821 /nfs/dbraw/zinc/45/48/21/764454821.db2.gz MLGQIUQOAKYGTJ-CYBMUJFWSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C(C)C)on1 ZINC001051051490 764454825 /nfs/dbraw/zinc/45/48/25/764454825.db2.gz MLGQIUQOAKYGTJ-CYBMUJFWSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)N(C(CC)CC)C2)C1 ZINC001042836176 764476446 /nfs/dbraw/zinc/47/64/46/764476446.db2.gz YFLATSZLXULNDC-CYBMUJFWSA-N 1 2 307.438 1.352 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C=C2CCCCC2)[C@@H](n2ccnn2)C1 ZINC001069900214 768219387 /nfs/dbraw/zinc/21/93/87/768219387.db2.gz SXJCTQZKOGVAOT-CVEARBPZSA-N 1 2 313.405 1.143 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C=C2CCCCC2)[C@@H](n2ccnn2)C1 ZINC001069900214 768219391 /nfs/dbraw/zinc/21/93/91/768219391.db2.gz SXJCTQZKOGVAOT-CVEARBPZSA-N 1 2 313.405 1.143 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(C2CC2)n1 ZINC001051146238 764551179 /nfs/dbraw/zinc/55/11/79/764551179.db2.gz QHDDKCIUZLJREH-AWEZNQCLSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(C2CC2)n1 ZINC001051146238 764551185 /nfs/dbraw/zinc/55/11/85/764551185.db2.gz QHDDKCIUZLJREH-AWEZNQCLSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1nc(CC)oc1C ZINC001051155524 764561536 /nfs/dbraw/zinc/56/15/36/764561536.db2.gz OJJADEYSEBKMRO-CYBMUJFWSA-N 1 2 307.394 1.552 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1nc(CC)oc1C ZINC001051155524 764561543 /nfs/dbraw/zinc/56/15/43/764561543.db2.gz OJJADEYSEBKMRO-CYBMUJFWSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)n[nH]c1C ZINC001051170030 764575442 /nfs/dbraw/zinc/57/54/42/764575442.db2.gz IYSNTTANLKWESX-CQSZACIVSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)n[nH]c1C ZINC001051170030 764575447 /nfs/dbraw/zinc/57/54/47/764575447.db2.gz IYSNTTANLKWESX-CQSZACIVSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(CCC)nc1C ZINC001051182110 764588223 /nfs/dbraw/zinc/58/82/23/764588223.db2.gz ARMLHEWOAMEGSZ-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(CCC)nc1C ZINC001051182110 764588234 /nfs/dbraw/zinc/58/82/34/764588234.db2.gz ARMLHEWOAMEGSZ-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1nc(C2CC2)oc1C ZINC001051186362 764595474 /nfs/dbraw/zinc/59/54/74/764595474.db2.gz LOCMPKZWDDCPST-AWEZNQCLSA-N 1 2 319.405 1.867 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nc(C2CC2)oc1C ZINC001051186362 764595480 /nfs/dbraw/zinc/59/54/80/764595480.db2.gz LOCMPKZWDDCPST-AWEZNQCLSA-N 1 2 319.405 1.867 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2cc(F)ccc2C)CC1 ZINC001112708786 764629441 /nfs/dbraw/zinc/62/94/41/764629441.db2.gz XQGAJVYAJDSGLC-UHFFFAOYSA-N 1 2 306.381 1.981 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(N(C)C)cc1 ZINC001051226123 764643767 /nfs/dbraw/zinc/64/37/67/764643767.db2.gz MJKPEBPEXWJCDV-QGZVFWFLSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(N(C)C)cc1 ZINC001051226123 764643771 /nfs/dbraw/zinc/64/37/71/764643771.db2.gz MJKPEBPEXWJCDV-QGZVFWFLSA-N 1 2 317.433 1.759 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112800253 764788771 /nfs/dbraw/zinc/78/87/71/764788771.db2.gz KVRMFHULEWTQHZ-HNNXBMFYSA-N 1 2 316.405 1.252 20 30 DDEDLO C=CCC[NH+]1CC(N(C)C(=O)Cc2cc(=O)n(CC(C)C)[nH]2)C1 ZINC001043243862 764789142 /nfs/dbraw/zinc/78/91/42/764789142.db2.gz WEAWFSWWBFVNEK-UHFFFAOYSA-N 1 2 320.437 1.094 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)C[C@@H]2CC[C@H](C(F)(F)F)O2)C1 ZINC001043419380 764894712 /nfs/dbraw/zinc/89/47/12/764894712.db2.gz IHNNMSVQLSNGTM-NWDGAFQWSA-N 1 2 306.328 1.815 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)C2CCC2)[C@@H](n2ccnn2)C1 ZINC001069957209 768252167 /nfs/dbraw/zinc/25/21/67/768252167.db2.gz ULGMGPSJMVPHMQ-BMFZPTHFSA-N 1 2 315.421 1.079 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)C2CCC2)[C@@H](n2ccnn2)C1 ZINC001069957209 768252169 /nfs/dbraw/zinc/25/21/69/768252169.db2.gz ULGMGPSJMVPHMQ-BMFZPTHFSA-N 1 2 315.421 1.079 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2CN(Cc3c[nH+]cn3C)C2)cc1 ZINC001043505170 764948146 /nfs/dbraw/zinc/94/81/46/764948146.db2.gz DUDTZMTWHNTMII-UHFFFAOYSA-N 1 2 308.385 1.358 20 30 DDEDLO C[C@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001112993509 765103274 /nfs/dbraw/zinc/10/32/74/765103274.db2.gz IUMUSTNFVHGSJN-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001112995543 765108780 /nfs/dbraw/zinc/10/87/80/765108780.db2.gz DJWZBHZNZHADAN-OLZOCXBDSA-N 1 2 317.437 1.736 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001112995543 765108784 /nfs/dbraw/zinc/10/87/84/765108784.db2.gz DJWZBHZNZHADAN-OLZOCXBDSA-N 1 2 317.437 1.736 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ncccc2CC)CC1 ZINC001113052076 765183871 /nfs/dbraw/zinc/18/38/71/765183871.db2.gz KJEGCIGSXPTXQC-UHFFFAOYSA-N 1 2 303.406 1.604 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn(C(C)(C)C)c2)CC1 ZINC001113057557 765193121 /nfs/dbraw/zinc/19/31/21/765193121.db2.gz WTTDPQNVEREIKE-UHFFFAOYSA-N 1 2 320.437 1.599 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@]23CCC[C@H]2OCC3)CC1 ZINC001113092868 765251356 /nfs/dbraw/zinc/25/13/56/765251356.db2.gz PQNQWPXPMPGKRB-WBVHZDCISA-N 1 2 308.422 1.292 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@@]23CCO[C@H]2CCCC3)CC1 ZINC001113092732 765251547 /nfs/dbraw/zinc/25/15/47/765251547.db2.gz ILIYICOHJUELKT-WMZOPIPTSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131716036 768281936 /nfs/dbraw/zinc/28/19/36/768281936.db2.gz BNEJNXLKLKXCRA-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131716036 768281941 /nfs/dbraw/zinc/28/19/41/768281941.db2.gz BNEJNXLKLKXCRA-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)CC3CC3)C2)CC1 ZINC001051964941 765322569 /nfs/dbraw/zinc/32/25/69/765322569.db2.gz PKFNIRXBIZSSDZ-OAHLLOKOSA-N 1 2 311.857 1.758 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccc(F)cc3)C2)CC1 ZINC001051968665 765326396 /nfs/dbraw/zinc/32/63/96/765326396.db2.gz DTFDPPNDBRXPSQ-KRWDZBQOSA-N 1 2 315.392 1.291 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2n[nH]c3c2C[C@@H](C)CC3)C1 ZINC001044127426 765327547 /nfs/dbraw/zinc/32/75/47/765327547.db2.gz RNKMGGJCLJMAPS-LBPRGKRZSA-N 1 2 300.406 1.314 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](C)NC(=O)c2cccs2)CC1 ZINC001113174339 765366966 /nfs/dbraw/zinc/36/69/66/765366966.db2.gz FICGJLCKRWSNFM-LBPRGKRZSA-N 1 2 307.419 1.197 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3coc(C)c3)C2)CC1 ZINC001052018504 765389697 /nfs/dbraw/zinc/38/96/97/765389697.db2.gz KWAUMFLDBSJTJW-MRXNPFEDSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H](C)C(C)(C)C)C2)CC1 ZINC001052042974 765416126 /nfs/dbraw/zinc/41/61/26/765416126.db2.gz LRNSELKCARTIDL-DLBZAZTESA-N 1 2 319.493 1.910 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)CC1 ZINC001052069309 765441397 /nfs/dbraw/zinc/44/13/97/765441397.db2.gz XIQJDTTWFZHXBN-VSZNYVQBSA-N 1 2 317.477 1.827 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3CC34CCC4)C2)CC1 ZINC001052086735 765458420 /nfs/dbraw/zinc/45/84/20/765458420.db2.gz HDQDGZCRNKJTAW-HZPDHXFCSA-N 1 2 301.434 1.028 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC001113246448 765468466 /nfs/dbraw/zinc/46/84/66/765468466.db2.gz NFAVTBXNPGTHSK-MRXNPFEDSA-N 1 2 319.453 1.641 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@]3(C)CCOC3)C2)CC1 ZINC001052103312 765474293 /nfs/dbraw/zinc/47/42/93/765474293.db2.gz PBRDIYGLLNYNLC-SJLPKXTDSA-N 1 2 321.465 1.208 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cncc(OCCOC)c2)CC1 ZINC001113479732 765736444 /nfs/dbraw/zinc/73/64/44/765736444.db2.gz ULNVEHDRWOWNKQ-UHFFFAOYSA-N 1 2 319.405 1.441 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccccc2)CC[C@@H]1C ZINC001131747557 768320696 /nfs/dbraw/zinc/32/06/96/768320696.db2.gz LUJNKKOCLPSBQV-HOCLYGCPSA-N 1 2 313.401 1.019 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccccc2)CC[C@@H]1C ZINC001131747557 768320700 /nfs/dbraw/zinc/32/07/00/768320700.db2.gz LUJNKKOCLPSBQV-HOCLYGCPSA-N 1 2 313.401 1.019 20 30 DDEDLO Cc1nc(N(C)[C@@H](C)CNC(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001113536958 765821623 /nfs/dbraw/zinc/82/16/23/765821623.db2.gz FAFWJVFOGLSTCN-RYUDHWBXSA-N 1 2 315.421 1.764 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@H](OC)C2CCCC2)CC1 ZINC001113590097 765917701 /nfs/dbraw/zinc/91/77/01/765917701.db2.gz SAALTVKPIATGRH-MRXNPFEDSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CCC[C@@H](C)[NH+]1CCN(C(=O)[C@H](C)CS(C)(=O)=O)CC1 ZINC001113693801 766023960 /nfs/dbraw/zinc/02/39/60/766023960.db2.gz NMAWCQRZCNDPID-ZIAGYGMSSA-N 1 2 316.467 1.166 20 30 DDEDLO Cc1nc(N(C)[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001113695791 766027924 /nfs/dbraw/zinc/02/79/24/766027924.db2.gz LAJXLLRUEARUQW-NSHDSACASA-N 1 2 312.377 1.168 20 30 DDEDLO COCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001131762200 768350258 /nfs/dbraw/zinc/35/02/58/768350258.db2.gz ZNEUANXFGHHDGV-DOMZBBRYSA-N 1 2 319.380 1.813 20 30 DDEDLO COCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001131762200 768350264 /nfs/dbraw/zinc/35/02/64/768350264.db2.gz ZNEUANXFGHHDGV-DOMZBBRYSA-N 1 2 319.380 1.813 20 30 DDEDLO CC(C)(S)CNc1ccc(OCC[NH+]2CCOCC2)nc1 ZINC001170309512 766259599 /nfs/dbraw/zinc/25/95/99/766259599.db2.gz UYYDOBKJPGDNRE-UHFFFAOYSA-N 1 2 311.451 1.913 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001058130731 766259909 /nfs/dbraw/zinc/25/99/09/766259909.db2.gz QGBAVFPHUKLQSB-ZDUSSCGKSA-N 1 2 310.361 1.384 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001058187971 766295116 /nfs/dbraw/zinc/29/51/16/766295116.db2.gz FJAQZTIUBOLKHC-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO CC#CC[NH+]1CCC(C)(NC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001045439766 766308495 /nfs/dbraw/zinc/30/84/95/766308495.db2.gz XPOBCRPPWISAAU-CQSZACIVSA-N 1 2 314.433 1.824 20 30 DDEDLO CC1(NC(=O)c2cn[nH]n2)CC[NH+](Cc2ccccc2C#N)CC1 ZINC001045489351 766347565 /nfs/dbraw/zinc/34/75/65/766347565.db2.gz GAYPHIBTDWXTSJ-UHFFFAOYSA-N 1 2 324.388 1.461 20 30 DDEDLO CC1(NC(=O)c2cnn[nH]2)CC[NH+](Cc2ccccc2C#N)CC1 ZINC001045489351 766347575 /nfs/dbraw/zinc/34/75/75/766347575.db2.gz GAYPHIBTDWXTSJ-UHFFFAOYSA-N 1 2 324.388 1.461 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CCN(c2nc(C)ccc2C#N)C1 ZINC001058412725 766503563 /nfs/dbraw/zinc/50/35/63/766503563.db2.gz ARRWXGGZXHDDIY-AWEZNQCLSA-N 1 2 324.388 1.231 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(C(C)(C)C)nn3)C[C@H]21 ZINC001114025268 766573290 /nfs/dbraw/zinc/57/32/90/766573290.db2.gz JTFMEWPTIXQGOL-FOLVSLTJSA-N 1 2 317.437 1.546 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(C(C)(C)C)nn3)C[C@H]21 ZINC001114025268 766573295 /nfs/dbraw/zinc/57/32/95/766573295.db2.gz JTFMEWPTIXQGOL-FOLVSLTJSA-N 1 2 317.437 1.546 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC(CNc3cc[nH+]c(C)n3)C2)nc1 ZINC001067858696 766761156 /nfs/dbraw/zinc/76/11/56/766761156.db2.gz OOKDFRBPYJHLKM-UHFFFAOYSA-N 1 2 321.384 1.782 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)c(C)o1 ZINC001046001341 766787175 /nfs/dbraw/zinc/78/71/75/766787175.db2.gz AQQWEQPDRCNFLC-HNNXBMFYSA-N 1 2 316.405 1.252 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)co1 ZINC001114255086 766826132 /nfs/dbraw/zinc/82/61/32/766826132.db2.gz BVXQETVVFAZLAK-FOLVSLTJSA-N 1 2 301.390 1.579 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)co1 ZINC001114255086 766826146 /nfs/dbraw/zinc/82/61/46/766826146.db2.gz BVXQETVVFAZLAK-FOLVSLTJSA-N 1 2 301.390 1.579 20 30 DDEDLO C=CCn1c(N(C)CC2CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121606709 782587901 /nfs/dbraw/zinc/58/79/01/782587901.db2.gz CIAHXMTZBJJTPI-CZUORRHYSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCn1c(N(C)CC2CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121606709 782587911 /nfs/dbraw/zinc/58/79/11/782587911.db2.gz CIAHXMTZBJJTPI-CZUORRHYSA-N 1 2 305.426 1.222 20 30 DDEDLO CN(c1ccc(C#N)nc1)C1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001068734085 767553125 /nfs/dbraw/zinc/55/31/25/767553125.db2.gz IYJLNMZZZPSGQM-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001046450073 767586022 /nfs/dbraw/zinc/58/60/22/767586022.db2.gz OTFYOBJJYAZJJZ-OAHLLOKOSA-N 1 2 319.796 1.856 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001046450073 767586024 /nfs/dbraw/zinc/58/60/24/767586024.db2.gz OTFYOBJJYAZJJZ-OAHLLOKOSA-N 1 2 319.796 1.856 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1c[nH+]cn1C ZINC001068872546 767672537 /nfs/dbraw/zinc/67/25/37/767672537.db2.gz MOQFJYKSABNLPM-DOMZBBRYSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001046575373 767704042 /nfs/dbraw/zinc/70/40/42/767704042.db2.gz PJFUILUHZWTAAT-NHYWBVRUSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001046575373 767704044 /nfs/dbraw/zinc/70/40/44/767704044.db2.gz PJFUILUHZWTAAT-NHYWBVRUSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2nc3ncccn3n2)C1 ZINC001046715488 767839437 /nfs/dbraw/zinc/83/94/37/767839437.db2.gz PHCSMUMCBIIXKP-CQSZACIVSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2nc3ncccn3n2)C1 ZINC001046715488 767839444 /nfs/dbraw/zinc/83/94/44/767839444.db2.gz PHCSMUMCBIIXKP-CQSZACIVSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001098084971 767846624 /nfs/dbraw/zinc/84/66/24/767846624.db2.gz ZICHFMCCLGBFIM-CYBMUJFWSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001098084971 767846631 /nfs/dbraw/zinc/84/66/31/767846631.db2.gz ZICHFMCCLGBFIM-CYBMUJFWSA-N 1 2 317.437 1.737 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CSCC#N)C[N@@H+]1Cc1ccnn1C ZINC001131825936 768393357 /nfs/dbraw/zinc/39/33/57/768393357.db2.gz MNXDBEQZTUBUEH-CHWSQXEVSA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CSCC#N)C[N@H+]1Cc1ccnn1C ZINC001131825936 768393365 /nfs/dbraw/zinc/39/33/65/768393365.db2.gz MNXDBEQZTUBUEH-CHWSQXEVSA-N 1 2 321.450 1.146 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+]Cc1nc(C)c(C)o1 ZINC001131867130 768442547 /nfs/dbraw/zinc/44/25/47/768442547.db2.gz JXUXACXJXMPCMB-INIZCTEOSA-N 1 2 309.410 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCc2nnc[nH]2)CC[C@@H]1C ZINC001131954414 768511570 /nfs/dbraw/zinc/51/15/70/768511570.db2.gz CJRFUVIOOSFROP-NWDGAFQWSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCc2nnc[nH]2)CC[C@@H]1C ZINC001131954414 768511574 /nfs/dbraw/zinc/51/15/74/768511574.db2.gz CJRFUVIOOSFROP-NWDGAFQWSA-N 1 2 311.817 1.459 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2nccn2C)C1 ZINC001132002631 768554782 /nfs/dbraw/zinc/55/47/82/768554782.db2.gz LUACRLGHKLADIW-HUUCEWRRSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2nccn2C)C1 ZINC001132002631 768554784 /nfs/dbraw/zinc/55/47/84/768554784.db2.gz LUACRLGHKLADIW-HUUCEWRRSA-N 1 2 302.422 1.693 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001070538693 768561442 /nfs/dbraw/zinc/56/14/42/768561442.db2.gz IIHXFKCLKGSEBC-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001070538693 768561448 /nfs/dbraw/zinc/56/14/48/768561448.db2.gz IIHXFKCLKGSEBC-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C[C@H](C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1)C(C)(C)C ZINC001070660778 768650234 /nfs/dbraw/zinc/65/02/34/768650234.db2.gz QWLXJUGXHAQLGF-MGPQQGTHSA-N 1 2 317.437 1.510 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)c2cccc(F)c2)CC1 ZINC001070934986 768795038 /nfs/dbraw/zinc/79/50/38/768795038.db2.gz UVMMIWUDGSQWSV-UHFFFAOYSA-N 1 2 319.380 1.276 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)c2cccc(F)c2)CC1 ZINC001070934986 768795042 /nfs/dbraw/zinc/79/50/42/768795042.db2.gz UVMMIWUDGSQWSV-UHFFFAOYSA-N 1 2 319.380 1.276 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH2+]Cc1nnc([C@H]2CCCOC2)o1 ZINC001132391916 768800191 /nfs/dbraw/zinc/80/01/91/768800191.db2.gz SNMGNNJMHGMOKC-LBPRGKRZSA-N 1 2 322.409 1.382 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCC2(C)C)CC1 ZINC001070990113 768844665 /nfs/dbraw/zinc/84/46/65/768844665.db2.gz NTANPOMMLKGBNX-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCC2(C)C)CC1 ZINC001070990113 768844676 /nfs/dbraw/zinc/84/46/76/768844676.db2.gz NTANPOMMLKGBNX-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067989541 768921165 /nfs/dbraw/zinc/92/11/65/768921165.db2.gz NMKIRFDXQAJCQP-AWEZNQCLSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(c2ccnc(C)n2)CC1 ZINC001096288686 768997697 /nfs/dbraw/zinc/99/76/97/768997697.db2.gz RMZOZQDPYOSOTQ-UHFFFAOYSA-N 1 2 317.437 1.380 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3c(=O)ccoc3c1)C2 ZINC001096364249 769419035 /nfs/dbraw/zinc/41/90/35/769419035.db2.gz OTJLCKKQXLKJIV-KBMXLJTQSA-N 1 2 322.364 1.761 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3c(=O)ccoc3c1)C2 ZINC001096364249 769419037 /nfs/dbraw/zinc/41/90/37/769419037.db2.gz OTJLCKKQXLKJIV-KBMXLJTQSA-N 1 2 322.364 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@@H]1C ZINC001071738942 769980412 /nfs/dbraw/zinc/98/04/12/769980412.db2.gz LFZDJPAIXIKZMH-WDEREUQCSA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@@H]1C ZINC001071738942 769980419 /nfs/dbraw/zinc/98/04/19/769980419.db2.gz LFZDJPAIXIKZMH-WDEREUQCSA-N 1 2 312.801 1.461 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[C@H](C)[N@@H+](Cc3cnnn3C)C2)C1 ZINC001071807151 770105493 /nfs/dbraw/zinc/10/54/93/770105493.db2.gz NOMVENLDIHTMIO-KBPBESRZSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[C@H](C)[N@H+](Cc3cnnn3C)C2)C1 ZINC001071807151 770105496 /nfs/dbraw/zinc/10/54/96/770105496.db2.gz NOMVENLDIHTMIO-KBPBESRZSA-N 1 2 317.437 1.641 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(N)=O)s2)CC[C@@H]1C ZINC001071893014 770238989 /nfs/dbraw/zinc/23/89/89/770238989.db2.gz KDSKHBGUKYAZJK-QWRGUYRKSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(N)=O)s2)CC[C@@H]1C ZINC001071893014 770238994 /nfs/dbraw/zinc/23/89/94/770238994.db2.gz KDSKHBGUKYAZJK-QWRGUYRKSA-N 1 2 305.403 1.063 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@@H]2C1 ZINC001049034312 770275678 /nfs/dbraw/zinc/27/56/78/770275678.db2.gz DPWVZQRBAIDLMA-VBQJREDUSA-N 1 2 317.437 1.606 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@@H]2C1 ZINC001049034312 770275684 /nfs/dbraw/zinc/27/56/84/770275684.db2.gz DPWVZQRBAIDLMA-VBQJREDUSA-N 1 2 317.437 1.606 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[NH2+][C@@H](C)c1nc(C(C)C)no1 ZINC001134061906 770609575 /nfs/dbraw/zinc/60/95/75/770609575.db2.gz IYEHFSFMHGJVDN-XQQFMLRXSA-N 1 2 322.409 1.551 20 30 DDEDLO C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3[nH]nnc3c1)C2 ZINC001096526473 770838161 /nfs/dbraw/zinc/83/81/61/770838161.db2.gz ZDNBIXHMXPDUQQ-KCXAZCMYSA-N 1 2 311.389 1.869 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc([C@H](C)OC)s1)C2 ZINC001097080230 771614566 /nfs/dbraw/zinc/61/45/66/771614566.db2.gz XEPQRKLRBUTTNZ-LOWDOPEQSA-N 1 2 319.430 1.819 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc([C@H](C)OC)s1)C2 ZINC001097080230 771614567 /nfs/dbraw/zinc/61/45/67/771614567.db2.gz XEPQRKLRBUTTNZ-LOWDOPEQSA-N 1 2 319.430 1.819 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@H](Nc2ncccc2C#N)C1 ZINC001097106272 771625613 /nfs/dbraw/zinc/62/56/13/771625613.db2.gz MQBUPYKVJZHHBS-UBHSHLNASA-N 1 2 324.388 1.614 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@H](Nc2ncccc2C#N)C1 ZINC001097106272 771625615 /nfs/dbraw/zinc/62/56/15/771625615.db2.gz MQBUPYKVJZHHBS-UBHSHLNASA-N 1 2 324.388 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C)CCOCC2)[C@@H](O)C1 ZINC001090642806 772066792 /nfs/dbraw/zinc/06/67/92/772066792.db2.gz ZZEIANYTEUGEBU-OLZOCXBDSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C)CCOCC2)[C@@H](O)C1 ZINC001090642806 772066797 /nfs/dbraw/zinc/06/67/97/772066797.db2.gz ZZEIANYTEUGEBU-OLZOCXBDSA-N 1 2 316.829 1.107 20 30 DDEDLO Cc1cnc2ncc(NC(=[NH2+])c3ccc(C(N)=O)cc3)cc2n1 ZINC001171256015 772746028 /nfs/dbraw/zinc/74/60/28/772746028.db2.gz FZOHKLFAQNBZLY-UHFFFAOYSA-N 1 2 306.329 1.469 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091605887 772962453 /nfs/dbraw/zinc/96/24/53/772962453.db2.gz HCWARFXUJYBZIF-AEGPPILISA-N 1 2 316.405 1.520 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C)o1)C2 ZINC001147261014 773094379 /nfs/dbraw/zinc/09/43/79/773094379.db2.gz LSLKKEGUUFBUPB-UHFFFAOYSA-N 1 2 305.378 1.220 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C)o1)C2 ZINC001147261014 773094383 /nfs/dbraw/zinc/09/43/83/773094383.db2.gz LSLKKEGUUFBUPB-UHFFFAOYSA-N 1 2 305.378 1.220 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C)cn1)C2 ZINC001147261336 773095210 /nfs/dbraw/zinc/09/52/10/773095210.db2.gz XIXQZPYJMAVVEI-UHFFFAOYSA-N 1 2 316.405 1.022 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C)cn1)C2 ZINC001147261336 773095214 /nfs/dbraw/zinc/09/52/14/773095214.db2.gz XIXQZPYJMAVVEI-UHFFFAOYSA-N 1 2 316.405 1.022 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2sc(NC3CC3)nc2C)CC1 ZINC001147291204 773102981 /nfs/dbraw/zinc/10/29/81/773102981.db2.gz LZVXNTJXDOPGEI-UHFFFAOYSA-N 1 2 318.446 1.807 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2sc(NC3CC3)nc2C)CC1 ZINC001147291204 773102982 /nfs/dbraw/zinc/10/29/82/773102982.db2.gz LZVXNTJXDOPGEI-UHFFFAOYSA-N 1 2 318.446 1.807 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001147373839 773123747 /nfs/dbraw/zinc/12/37/47/773123747.db2.gz CBPRGAXLPPWPIV-UHFFFAOYSA-N 1 2 315.421 1.043 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001147373839 773123748 /nfs/dbraw/zinc/12/37/48/773123748.db2.gz CBPRGAXLPPWPIV-UHFFFAOYSA-N 1 2 315.421 1.043 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)o1)C2 ZINC001148089059 773361686 /nfs/dbraw/zinc/36/16/86/773361686.db2.gz RUIKLYXNNPXXCF-STQMWFEESA-N 1 2 318.421 1.871 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)o1)C2 ZINC001148089059 773361692 /nfs/dbraw/zinc/36/16/92/773361692.db2.gz RUIKLYXNNPXXCF-STQMWFEESA-N 1 2 318.421 1.871 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)o1 ZINC001073973277 773565915 /nfs/dbraw/zinc/56/59/15/773565915.db2.gz XVNMOJOAGZTZII-TZMCWYRMSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)o1 ZINC001073973277 773565918 /nfs/dbraw/zinc/56/59/18/773565918.db2.gz XVNMOJOAGZTZII-TZMCWYRMSA-N 1 2 304.394 1.956 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)nc3)C[C@@H]21 ZINC001074179407 773701283 /nfs/dbraw/zinc/70/12/83/773701283.db2.gz ZOPSLUFDVHZVRZ-DLBZAZTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)nc3)C[C@@H]21 ZINC001074179407 773701287 /nfs/dbraw/zinc/70/12/87/773701287.db2.gz ZOPSLUFDVHZVRZ-DLBZAZTESA-N 1 2 313.401 1.329 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cscn3)C[C@H]21 ZINC001074184386 773706171 /nfs/dbraw/zinc/70/61/71/773706171.db2.gz LVLJQEMFOBYHTG-KGLIPLIRSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cscn3)C[C@H]21 ZINC001074184386 773706174 /nfs/dbraw/zinc/70/61/74/773706174.db2.gz LVLJQEMFOBYHTG-KGLIPLIRSA-N 1 2 307.419 1.635 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)nc3)C[C@@H]21 ZINC001074190022 773712805 /nfs/dbraw/zinc/71/28/05/773712805.db2.gz LINCUIPBPLZOLI-LSDHHAIUSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)nc3)C[C@@H]21 ZINC001074190022 773712806 /nfs/dbraw/zinc/71/28/06/773712806.db2.gz LINCUIPBPLZOLI-LSDHHAIUSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cnccc3C)C[C@H]21 ZINC001074200132 773724136 /nfs/dbraw/zinc/72/41/36/773724136.db2.gz OMSPVKDALUNEDE-IAGOWNOFSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cnccc3C)C[C@H]21 ZINC001074200132 773724140 /nfs/dbraw/zinc/72/41/40/773724140.db2.gz OMSPVKDALUNEDE-IAGOWNOFSA-N 1 2 313.401 1.329 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccn(C)c3)C[C@H]21 ZINC001074215269 773736868 /nfs/dbraw/zinc/73/68/68/773736868.db2.gz FSDASSKSACXREK-HZPDHXFCSA-N 1 2 303.406 1.517 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccn(C)c3)C[C@H]21 ZINC001074215269 773736869 /nfs/dbraw/zinc/73/68/69/773736869.db2.gz FSDASSKSACXREK-HZPDHXFCSA-N 1 2 303.406 1.517 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccncc3C)C[C@H]21 ZINC001074232554 773755894 /nfs/dbraw/zinc/75/58/94/773755894.db2.gz BKHTZMIRRARWDZ-SJORKVTESA-N 1 2 315.417 1.881 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccncc3C)C[C@H]21 ZINC001074232554 773755898 /nfs/dbraw/zinc/75/58/98/773755898.db2.gz BKHTZMIRRARWDZ-SJORKVTESA-N 1 2 315.417 1.881 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccncc3C)C[C@@H]21 ZINC001074233111 773756569 /nfs/dbraw/zinc/75/65/69/773756569.db2.gz LEOGHWDBCCLXRF-DLBZAZTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccncc3C)C[C@@H]21 ZINC001074233111 773756574 /nfs/dbraw/zinc/75/65/74/773756574.db2.gz LEOGHWDBCCLXRF-DLBZAZTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C34CCC(CC3)C4)C[C@H]21 ZINC001074276392 773787946 /nfs/dbraw/zinc/78/79/46/773787946.db2.gz MPYBLBWKRZRVLV-ISXWCOSWSA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C34CCC(CC3)C4)C[C@H]21 ZINC001074276392 773787950 /nfs/dbraw/zinc/78/79/50/773787950.db2.gz MPYBLBWKRZRVLV-ISXWCOSWSA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCN1CCO[C@H]2CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@@H]21 ZINC001074279043 773789593 /nfs/dbraw/zinc/78/95/93/773789593.db2.gz FEYVNOGSVBGWDY-IRXDYDNUSA-N 1 2 324.384 1.111 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]c(C)nc3C)C[C@H]21 ZINC001074341702 773838164 /nfs/dbraw/zinc/83/81/64/773838164.db2.gz WJFRVTWRMMNGSW-HUUCEWRRSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]c(C)nc3C)C[C@H]21 ZINC001074341702 773838169 /nfs/dbraw/zinc/83/81/69/773838169.db2.gz WJFRVTWRMMNGSW-HUUCEWRRSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092173838 773981912 /nfs/dbraw/zinc/98/19/12/773981912.db2.gz PHGWVBMOONQMOB-UONOGXRCSA-N 1 2 304.394 1.197 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]cn2C)[C@H](C)C1 ZINC001074883461 774214631 /nfs/dbraw/zinc/21/46/31/774214631.db2.gz GTXWYONQAQEAFE-ZIAGYGMSSA-N 1 2 318.421 1.425 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@@H]1C ZINC001075210696 774424953 /nfs/dbraw/zinc/42/49/53/774424953.db2.gz FPKVBAWXIPPESE-KBPBESRZSA-N 1 2 316.405 1.107 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H]3C[C@H]3CC)C2)nn1 ZINC001098733818 774655466 /nfs/dbraw/zinc/65/54/66/774655466.db2.gz NTKVJFFTJUWMSM-VNQPRFMTSA-N 1 2 315.421 1.211 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3OCC[C@H]3CC)CC2)C1 ZINC001093542769 774815649 /nfs/dbraw/zinc/81/56/49/774815649.db2.gz DEEYNVJYRVMUIL-PBHICJAKSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCC[C@H]3OC)CC2)C1 ZINC001093549098 774826676 /nfs/dbraw/zinc/82/66/76/774826676.db2.gz QDEAALKVBVRXQI-IAGOWNOFSA-N 1 2 322.449 1.679 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)C)[C@H](O)C2)s1 ZINC001099821488 775325338 /nfs/dbraw/zinc/32/53/38/775325338.db2.gz VIGGDJSDVQCLTL-UONOGXRCSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)C)[C@H](O)C2)s1 ZINC001099821488 775325340 /nfs/dbraw/zinc/32/53/40/775325340.db2.gz VIGGDJSDVQCLTL-UONOGXRCSA-N 1 2 321.446 1.162 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099854979 775357059 /nfs/dbraw/zinc/35/70/59/775357059.db2.gz AKSJIKFFRNFAHR-JKSUJKDBSA-N 1 2 321.396 1.628 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099854979 775357075 /nfs/dbraw/zinc/35/70/75/775357075.db2.gz AKSJIKFFRNFAHR-JKSUJKDBSA-N 1 2 321.396 1.628 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCC[C@@H](C)C3)nn2)C1 ZINC001094314403 775670633 /nfs/dbraw/zinc/67/06/33/775670633.db2.gz UKYDOSIVANOWTF-ZIAGYGMSSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCC[C@H]3CC)nn2)C1 ZINC001094316660 775674817 /nfs/dbraw/zinc/67/48/17/775674817.db2.gz MSBCKCQRZAVTHO-CZUORRHYSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@@H]3C(C)C)nn2)C1 ZINC001094332747 775716822 /nfs/dbraw/zinc/71/68/22/775716822.db2.gz YMKPOAHDIFNFJN-CABCVRRESA-N 1 2 303.410 1.229 20 30 DDEDLO Cc1ccc(C#N)c(N(C)CCNC(=O)CCn2cc[nH+]c2)n1 ZINC001100250448 775892143 /nfs/dbraw/zinc/89/21/43/775892143.db2.gz CUJGFSIVLAOKQY-UHFFFAOYSA-N 1 2 312.377 1.101 20 30 DDEDLO O=C(C#CC1CC1)NCC1CC([NH2+]Cc2nnsc2Cl)C1 ZINC001100314758 775984214 /nfs/dbraw/zinc/98/42/14/775984214.db2.gz CNYYRQWNJLMNTE-UHFFFAOYSA-N 1 2 324.837 1.589 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@@H]3CC=CCC3)CC2=O)C1 ZINC001094772717 776262010 /nfs/dbraw/zinc/26/20/10/776262010.db2.gz FAYIVCBVBIXMJK-HUUCEWRRSA-N 1 2 317.433 1.320 20 30 DDEDLO C[C@H](CC(=O)NCCCNc1ccc(C#N)nc1)n1cc[nH+]c1 ZINC001094824475 776347075 /nfs/dbraw/zinc/34/70/75/776347075.db2.gz NSQMWISYPXWIFJ-CYBMUJFWSA-N 1 2 312.377 1.719 20 30 DDEDLO COC(=O)[C@H](Cc1ccc(O)c(O)c1)[NH2+][C@H](C)CCCC#N ZINC001172476661 776785306 /nfs/dbraw/zinc/78/53/06/776785306.db2.gz PMNJULQPXLWSFO-YPMHNXCESA-N 1 2 306.362 1.854 20 30 DDEDLO C=CCCOCC(=O)NCC1CC([NH2+][C@H](C)c2noc(C)n2)C1 ZINC001101055317 776864090 /nfs/dbraw/zinc/86/40/90/776864090.db2.gz SZKMLCQFALBIJW-LMWSTFAQSA-N 1 2 322.409 1.516 20 30 DDEDLO C[C@H]1COCC[N@@H+]1[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001172978919 776949525 /nfs/dbraw/zinc/94/95/25/776949525.db2.gz BAYRVDOAACWPRZ-MJBXVCDLSA-N 1 2 309.410 1.999 20 30 DDEDLO C[C@H]1COCC[N@H+]1[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001172978919 776949530 /nfs/dbraw/zinc/94/95/30/776949530.db2.gz BAYRVDOAACWPRZ-MJBXVCDLSA-N 1 2 309.410 1.999 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCN(C(=O)CCCn3cc[nH+]c3)C2)nc1 ZINC001095047226 777032825 /nfs/dbraw/zinc/03/28/25/777032825.db2.gz TYHXTKDHBHLUOJ-OAHLLOKOSA-N 1 2 324.388 1.643 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)SC)c1nccn12 ZINC001101611789 777301752 /nfs/dbraw/zinc/30/17/52/777301752.db2.gz JMHQBLBOHPBBQQ-VXGBXAGGSA-N 1 2 306.435 1.393 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CC(F)(F)F)c1nccn12 ZINC001101614159 777305737 /nfs/dbraw/zinc/30/57/37/777305737.db2.gz OXTFUDGPCNDGRK-JTQLQIEISA-N 1 2 314.311 1.593 20 30 DDEDLO Cn1cncc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C#N)c[nH]1)C2 ZINC001095282130 777411989 /nfs/dbraw/zinc/41/19/89/777411989.db2.gz OVZZBHBGSCWXJR-INWMFGNUSA-N 1 2 324.388 1.155 20 30 DDEDLO Cn1cncc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C#N)c[nH]1)C2 ZINC001095282130 777411993 /nfs/dbraw/zinc/41/19/93/777411993.db2.gz OVZZBHBGSCWXJR-INWMFGNUSA-N 1 2 324.388 1.155 20 30 DDEDLO N#Cc1ccc(Nc2cnn(CC[NH+]3CCOCC3)c2)s1 ZINC001174490975 777484966 /nfs/dbraw/zinc/48/49/66/777484966.db2.gz AZDKMCOKVLVCQG-UHFFFAOYSA-N 1 2 303.391 1.892 20 30 DDEDLO N#Cc1cc(Nc2cnn(CC[NH+]3CCOCC3)c2)ccc1O ZINC001174492509 777486123 /nfs/dbraw/zinc/48/61/23/777486123.db2.gz IKPMTKMQFAVXJH-UHFFFAOYSA-N 1 2 313.361 1.536 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N(C)CCNc1cc[nH+]c(C)n1 ZINC001101788066 777517695 /nfs/dbraw/zinc/51/76/95/777517695.db2.gz ODPDUYBKJDZEOS-AWEZNQCLSA-N 1 2 319.409 1.126 20 30 DDEDLO CN(CCNc1cnc(C#N)cn1)C(=O)c1cccc2[nH+]ccn21 ZINC001101854852 777608098 /nfs/dbraw/zinc/60/80/98/777608098.db2.gz KOHMVMUBMHVOBA-UHFFFAOYSA-N 1 2 321.344 1.180 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1C[N@@H+]([C@@H](C)c2csnn2)C[C@H]1C ZINC001101949052 777719320 /nfs/dbraw/zinc/71/93/20/777719320.db2.gz RASPVYBSDZFLQM-HZSPNIEDSA-N 1 2 320.462 1.943 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1C[N@H+]([C@@H](C)c2csnn2)C[C@H]1C ZINC001101949052 777719324 /nfs/dbraw/zinc/71/93/24/777719324.db2.gz RASPVYBSDZFLQM-HZSPNIEDSA-N 1 2 320.462 1.943 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(c2cc(C)ncn2)CC1 ZINC001102414236 778124858 /nfs/dbraw/zinc/12/48/58/778124858.db2.gz SMIBBZXYTJNRPA-UHFFFAOYSA-N 1 2 317.437 1.332 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC1(Nc2ccc(C#N)c(C)n2)CC1 ZINC001110195699 778134343 /nfs/dbraw/zinc/13/43/43/778134343.db2.gz WWYYXBDXOGYSAM-UHFFFAOYSA-N 1 2 324.388 1.597 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3(OC)CCC3)C[C@H]21 ZINC001177004767 778384545 /nfs/dbraw/zinc/38/45/45/778384545.db2.gz BNRWTDKUIUIYDN-HZPDHXFCSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3(OC)CCC3)C[C@H]21 ZINC001177004767 778384550 /nfs/dbraw/zinc/38/45/50/778384550.db2.gz BNRWTDKUIUIYDN-HZPDHXFCSA-N 1 2 320.433 1.271 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]2OCC[N@H+](CCCO)[C@H]2C1 ZINC001177020029 778396228 /nfs/dbraw/zinc/39/62/28/778396228.db2.gz VHADUWRPZBLTEP-GJZGRUSLSA-N 1 2 310.438 1.273 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]2OCC[N@@H+](CCCO)[C@H]2C1 ZINC001177020029 778396233 /nfs/dbraw/zinc/39/62/33/778396233.db2.gz VHADUWRPZBLTEP-GJZGRUSLSA-N 1 2 310.438 1.273 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CCOC)CC3)C[C@H]21 ZINC001177029355 778399143 /nfs/dbraw/zinc/39/91/43/778399143.db2.gz FOEJFLNQGKTFKA-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CCOC)CC3)C[C@H]21 ZINC001177029355 778399149 /nfs/dbraw/zinc/39/91/49/778399149.db2.gz FOEJFLNQGKTFKA-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102806756 778416970 /nfs/dbraw/zinc/41/69/70/778416970.db2.gz OFLCPETUMJPTDB-WMLDXEAASA-N 1 2 318.421 1.539 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001177272935 778526903 /nfs/dbraw/zinc/52/69/03/778526903.db2.gz BSHGJSHDZSIZAZ-LBPRGKRZSA-N 1 2 322.409 1.524 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H](C)[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001112326760 779719789 /nfs/dbraw/zinc/71/97/89/779719789.db2.gz FKQPNKLMCCUXOD-GXTWGEPZSA-N 1 2 302.378 1.084 20 30 DDEDLO C#CC[NH2+]C[C@]12CCC[C@H]1CN(C(=O)C(F)C(F)(F)F)C2 ZINC001112360792 779736077 /nfs/dbraw/zinc/73/60/77/779736077.db2.gz LFDNAQCPFPVKTG-LOWVWBTDSA-N 1 2 306.303 1.738 20 30 DDEDLO C#CC[NH2+]C[C@]12CCC[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C2 ZINC001112360792 779736083 /nfs/dbraw/zinc/73/60/83/779736083.db2.gz LFDNAQCPFPVKTG-LOWVWBTDSA-N 1 2 306.303 1.738 20 30 DDEDLO O=C(CC#Cc1ccccc1)N[C@@H]1[C@H]2C[N@H+](Cc3cnon3)C[C@H]21 ZINC001115280956 780003292 /nfs/dbraw/zinc/00/32/92/780003292.db2.gz XMNPTEQHMOKTKB-VQFNDLOPSA-N 1 2 322.368 1.058 20 30 DDEDLO O=C(CC#Cc1ccccc1)N[C@@H]1[C@H]2C[N@@H+](Cc3cnon3)C[C@H]21 ZINC001115280956 780003300 /nfs/dbraw/zinc/00/33/00/780003300.db2.gz XMNPTEQHMOKTKB-VQFNDLOPSA-N 1 2 322.368 1.058 20 30 DDEDLO Cc1nsc(N[C@H](C)CN(C)C(=O)Cn2cc[nH+]c2)c1C#N ZINC001115766707 780412924 /nfs/dbraw/zinc/41/29/24/780412924.db2.gz OPUZWWRWAYEJST-SNVBAGLBSA-N 1 2 318.406 1.479 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1cc(C)ccc1F ZINC001267219230 837552344 /nfs/dbraw/zinc/55/23/44/837552344.db2.gz PGQVDCKRVFNXKQ-UHFFFAOYSA-N 1 2 307.369 1.098 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1cc(C)ccc1F ZINC001267219230 837552350 /nfs/dbraw/zinc/55/23/50/837552350.db2.gz PGQVDCKRVFNXKQ-UHFFFAOYSA-N 1 2 307.369 1.098 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+](Cc2ncnn2CCF)C1 ZINC001267299356 837780864 /nfs/dbraw/zinc/78/08/64/837780864.db2.gz YGGOTZMQZWNCCD-CYBMUJFWSA-N 1 2 323.416 1.398 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+](Cc2ncnn2CCF)C1 ZINC001267299356 837780870 /nfs/dbraw/zinc/78/08/70/837780870.db2.gz YGGOTZMQZWNCCD-CYBMUJFWSA-N 1 2 323.416 1.398 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](Cc2cccc(F)c2F)C1 ZINC001266318982 836111123 /nfs/dbraw/zinc/11/11/23/836111123.db2.gz FNHJIPRXCISREU-AWEZNQCLSA-N 1 2 322.355 1.695 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](Cc2cccc(F)c2F)C1 ZINC001266318982 836111127 /nfs/dbraw/zinc/11/11/27/836111127.db2.gz FNHJIPRXCISREU-AWEZNQCLSA-N 1 2 322.355 1.695 20 30 DDEDLO CC[N@H+](CCNC(=O)C#CC(C)C)Cc1cc2n(n1)CCC2 ZINC001266388069 836195488 /nfs/dbraw/zinc/19/54/88/836195488.db2.gz QOSRKZSGMPTEOE-UHFFFAOYSA-N 1 2 302.422 1.427 20 30 DDEDLO CC[N@@H+](CCNC(=O)C#CC(C)C)Cc1cc2n(n1)CCC2 ZINC001266388069 836195491 /nfs/dbraw/zinc/19/54/91/836195491.db2.gz QOSRKZSGMPTEOE-UHFFFAOYSA-N 1 2 302.422 1.427 20 30 DDEDLO CC#CC[N@H+](CC)CCNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001266425611 836236855 /nfs/dbraw/zinc/23/68/55/836236855.db2.gz WBMAYPZAVRKOHA-UHFFFAOYSA-N 1 2 311.389 1.547 20 30 DDEDLO CC#CC[N@@H+](CC)CCNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001266425611 836236870 /nfs/dbraw/zinc/23/68/70/836236870.db2.gz WBMAYPZAVRKOHA-UHFFFAOYSA-N 1 2 311.389 1.547 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H]1CNC(=O)c1ccoc1CC ZINC001266461519 836295200 /nfs/dbraw/zinc/29/52/00/836295200.db2.gz QRFVKMYHXDBLEW-ZDUSSCGKSA-N 1 2 319.405 1.338 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccoc1CC ZINC001266461519 836295212 /nfs/dbraw/zinc/29/52/12/836295212.db2.gz QRFVKMYHXDBLEW-ZDUSSCGKSA-N 1 2 319.405 1.338 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CCC[N@H+]1Cc1ccn(CC)n1 ZINC001266464905 836298555 /nfs/dbraw/zinc/29/85/55/836298555.db2.gz KXWJXZURXPTPPA-GDBMZVCRSA-N 1 2 318.421 1.022 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CCC[N@@H+]1Cc1ccn(CC)n1 ZINC001266464905 836298564 /nfs/dbraw/zinc/29/85/64/836298564.db2.gz KXWJXZURXPTPPA-GDBMZVCRSA-N 1 2 318.421 1.022 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NCCC ZINC001267423284 838018897 /nfs/dbraw/zinc/01/88/97/838018897.db2.gz MWIPOJBZVYEHRL-OAGGEKHMSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NCCC ZINC001267423284 838018906 /nfs/dbraw/zinc/01/89/06/838018906.db2.gz MWIPOJBZVYEHRL-OAGGEKHMSA-N 1 2 321.465 1.790 20 30 DDEDLO CC(C)C[C@@H](C(=O)NCC[C@H]1CCN(CC#N)C1)n1cc[nH+]c1 ZINC001267558113 838303560 /nfs/dbraw/zinc/30/35/60/838303560.db2.gz SHDUCQYVQXBNAE-HOTGVXAUSA-N 1 2 317.437 1.822 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](C[N@@H+](C)Cc2nc(N(C)C)no2)C1 ZINC001267578357 838350818 /nfs/dbraw/zinc/35/08/18/838350818.db2.gz JPRGZRUFGYUCAB-ZDUSSCGKSA-N 1 2 321.425 1.382 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](C[N@H+](C)Cc2nc(N(C)C)no2)C1 ZINC001267578357 838350820 /nfs/dbraw/zinc/35/08/20/838350820.db2.gz JPRGZRUFGYUCAB-ZDUSSCGKSA-N 1 2 321.425 1.382 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)C[C@@H]2C=CCCC2)CC1 ZINC001267607687 838436971 /nfs/dbraw/zinc/43/69/71/838436971.db2.gz QYTDMDRGLAIQJN-QGZVFWFLSA-N 1 2 305.466 1.995 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](NC(=O)c2cc(C)co2)C1 ZINC001267619038 838504699 /nfs/dbraw/zinc/50/46/99/838504699.db2.gz YDDVOCNZXPZJQT-UONOGXRCSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](NC(=O)c2cc(C)co2)C1 ZINC001267619038 838504703 /nfs/dbraw/zinc/50/47/03/838504703.db2.gz YDDVOCNZXPZJQT-UONOGXRCSA-N 1 2 319.405 1.473 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1C[NH+](Cc2ccc(CCC)cc2)C1 ZINC001267645021 838564591 /nfs/dbraw/zinc/56/45/91/838564591.db2.gz JAKMQWDGJUKHDT-OAHLLOKOSA-N 1 2 314.429 1.978 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)CCc2cccc(F)c2F)C1 ZINC001267672685 838620702 /nfs/dbraw/zinc/62/07/02/838620702.db2.gz IMEJDPSHUUBIBI-UHFFFAOYSA-N 1 2 324.371 1.900 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+]([C@H](C)c2ncc(C)o2)C1 ZINC001267724535 838742068 /nfs/dbraw/zinc/74/20/68/838742068.db2.gz WOHBCEZHDJVQAD-KGLIPLIRSA-N 1 2 305.378 1.274 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+]([C@H](C)c2ncc(C)o2)C1 ZINC001267724535 838742075 /nfs/dbraw/zinc/74/20/75/838742075.db2.gz WOHBCEZHDJVQAD-KGLIPLIRSA-N 1 2 305.378 1.274 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1C(F)(F)F ZINC001038705029 839405941 /nfs/dbraw/zinc/40/59/41/839405941.db2.gz JBBMTIDLXUPRBM-VIFPVBQESA-N 1 2 300.284 1.174 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1C(F)(F)F ZINC001038705029 839405949 /nfs/dbraw/zinc/40/59/49/839405949.db2.gz JBBMTIDLXUPRBM-VIFPVBQESA-N 1 2 300.284 1.174 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)CCc2nccs2)C1 ZINC001268029855 839445860 /nfs/dbraw/zinc/44/58/60/839445860.db2.gz ZXZTUTPFCXOHQK-CYBMUJFWSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)CCc2nccs2)C1 ZINC001268029855 839445867 /nfs/dbraw/zinc/44/58/67/839445867.db2.gz ZXZTUTPFCXOHQK-CYBMUJFWSA-N 1 2 309.435 1.469 20 30 DDEDLO CN(CC[N@H+](C)CC#Cc1ccccc1)C(=O)Cc1ccn[nH]1 ZINC001268232742 839867586 /nfs/dbraw/zinc/86/75/86/839867586.db2.gz KCCSFHJQZQKXCA-UHFFFAOYSA-N 1 2 310.401 1.394 20 30 DDEDLO CN(CC[N@@H+](C)CC#Cc1ccccc1)C(=O)Cc1ccn[nH]1 ZINC001268232742 839867591 /nfs/dbraw/zinc/86/75/91/839867591.db2.gz KCCSFHJQZQKXCA-UHFFFAOYSA-N 1 2 310.401 1.394 20 30 DDEDLO C=CCC1(C(=O)N(C)CC[N@H+](C)Cc2cnnn2C)CCCC1 ZINC001268260348 839908843 /nfs/dbraw/zinc/90/88/43/839908843.db2.gz UGWYHXQLQJMZCL-UHFFFAOYSA-N 1 2 319.453 1.842 20 30 DDEDLO C=CCC1(C(=O)N(C)CC[N@@H+](C)Cc2cnnn2C)CCCC1 ZINC001268260348 839908850 /nfs/dbraw/zinc/90/88/50/839908850.db2.gz UGWYHXQLQJMZCL-UHFFFAOYSA-N 1 2 319.453 1.842 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2C[NH+](Cc3ccnc(OC)n3)C2)C1 ZINC001268878690 840939510 /nfs/dbraw/zinc/93/95/10/840939510.db2.gz BSDRDHDUHLTQHN-UHFFFAOYSA-N 1 2 316.405 1.390 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](CC(=O)N(C)c2ccccc2)C1 ZINC001269005572 841109064 /nfs/dbraw/zinc/10/90/64/841109064.db2.gz UBBDHPULCMZNLP-HNNXBMFYSA-N 1 2 315.417 1.806 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](CC(=O)N(C)c2ccccc2)C1 ZINC001269005572 841109068 /nfs/dbraw/zinc/10/90/68/841109068.db2.gz UBBDHPULCMZNLP-HNNXBMFYSA-N 1 2 315.417 1.806 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2csc(Cl)n2)C1 ZINC001269078683 841183015 /nfs/dbraw/zinc/18/30/15/841183015.db2.gz NPNFUORELRDXLR-JTQLQIEISA-N 1 2 313.810 1.250 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2csc(Cl)n2)C1 ZINC001269078683 841183020 /nfs/dbraw/zinc/18/30/20/841183020.db2.gz NPNFUORELRDXLR-JTQLQIEISA-N 1 2 313.810 1.250 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCCN2C(=O)CCc2[nH]cc[nH+]2)C1=O ZINC001269175115 841296620 /nfs/dbraw/zinc/29/66/20/841296620.db2.gz HUDMMPCYTMSMSX-QGZVFWFLSA-N 1 2 316.405 1.512 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2cc(Cl)cs2)C1 ZINC001269177813 841300449 /nfs/dbraw/zinc/30/04/49/841300449.db2.gz PTNJMOMORRDCQG-GFCCVEGCSA-N 1 2 312.822 1.855 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2cc(Cl)cs2)C1 ZINC001269177813 841300457 /nfs/dbraw/zinc/30/04/57/841300457.db2.gz PTNJMOMORRDCQG-GFCCVEGCSA-N 1 2 312.822 1.855 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)CCC2CC2)C1=O ZINC001269209592 841350817 /nfs/dbraw/zinc/35/08/17/841350817.db2.gz BZJWXXNUISIRTE-HUUCEWRRSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)CCC2CC2)C1=O ZINC001269209592 841350826 /nfs/dbraw/zinc/35/08/26/841350826.db2.gz BZJWXXNUISIRTE-HUUCEWRRSA-N 1 2 305.422 1.154 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)C(C)(C)[C@H]1CCCCO1 ZINC001269235833 841400848 /nfs/dbraw/zinc/40/08/48/841400848.db2.gz PUQOYWYSYRCFRO-HZPDHXFCSA-N 1 2 322.449 1.422 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)C(C)(C)[C@H]1CCCCO1 ZINC001269235833 841400855 /nfs/dbraw/zinc/40/08/55/841400855.db2.gz PUQOYWYSYRCFRO-HZPDHXFCSA-N 1 2 322.449 1.422 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@H+]2CC(=O)N(C)C(C)C)CCC1 ZINC001269284447 841467064 /nfs/dbraw/zinc/46/70/64/841467064.db2.gz CUHPXOSGRCVFBK-HNNXBMFYSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@@H+]2CC(=O)N(C)C(C)C)CCC1 ZINC001269284447 841467070 /nfs/dbraw/zinc/46/70/70/841467070.db2.gz CUHPXOSGRCVFBK-HNNXBMFYSA-N 1 2 321.465 1.790 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCC(C)=O ZINC001269580727 841787080 /nfs/dbraw/zinc/78/70/80/841787080.db2.gz ZFTDAOCVUIMLEK-SJORKVTESA-N 1 2 320.433 1.461 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCC(C)=O ZINC001269580727 841787086 /nfs/dbraw/zinc/78/70/86/841787086.db2.gz ZFTDAOCVUIMLEK-SJORKVTESA-N 1 2 320.433 1.461 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2nc(CC)ncc2Cl)CC1 ZINC001142803581 861264930 /nfs/dbraw/zinc/26/49/30/861264930.db2.gz MVCPKADPXAUJJJ-UHFFFAOYSA-N 1 2 306.797 1.474 20 30 DDEDLO CC(C)C#CC(=O)NCc1ccc(C[NH2+]Cc2cnon2)cc1 ZINC001270060680 842262252 /nfs/dbraw/zinc/26/22/52/842262252.db2.gz RIVQKHKIMBYARO-UHFFFAOYSA-N 1 2 312.373 1.635 20 30 DDEDLO C#CCN1CC[C@@]2(CCN(C(=O)c3c[nH]c4cc[nH+]cc34)C2)C1=O ZINC001270139662 842327343 /nfs/dbraw/zinc/32/73/43/842327343.db2.gz YIVNONAQIJLEBN-SFHVURJKSA-N 1 2 322.368 1.261 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@@H+]1Cc1c[nH]c2cc(C#N)ccc12 ZINC001142922527 861310544 /nfs/dbraw/zinc/31/05/44/861310544.db2.gz LHXUFYNOYOGAPL-AWEZNQCLSA-N 1 2 313.357 1.803 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@H+]1Cc1c[nH]c2cc(C#N)ccc12 ZINC001142922527 861310554 /nfs/dbraw/zinc/31/05/54/861310554.db2.gz LHXUFYNOYOGAPL-AWEZNQCLSA-N 1 2 313.357 1.803 20 30 DDEDLO CCC(CC)[C@@H](C)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001270520110 842637832 /nfs/dbraw/zinc/63/78/32/842637832.db2.gz KKAWVTWUEJYMPL-NVXWUHKLSA-N 1 2 320.481 1.801 20 30 DDEDLO CCCC1(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)CCC1 ZINC001270521316 842637942 /nfs/dbraw/zinc/63/79/42/842637942.db2.gz XWKDAUMVMHZTNE-INIZCTEOSA-N 1 2 318.465 1.699 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H](C)Oc2cccc(F)c2)C1 ZINC001270648109 842771734 /nfs/dbraw/zinc/77/17/34/842771734.db2.gz AHNNEFUUQMXKML-CZUORRHYSA-N 1 2 322.380 1.596 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H](C)Oc2cccc(F)c2)C1 ZINC001270648109 842771741 /nfs/dbraw/zinc/77/17/41/842771741.db2.gz AHNNEFUUQMXKML-CZUORRHYSA-N 1 2 322.380 1.596 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1C[N@H+](CCOC2CCC2)CCO1 ZINC001270657686 842784528 /nfs/dbraw/zinc/78/45/28/842784528.db2.gz LMHXLHLWRHBSIL-INIZCTEOSA-N 1 2 310.438 1.729 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1C[N@@H+](CCOC2CCC2)CCO1 ZINC001270657686 842784535 /nfs/dbraw/zinc/78/45/35/842784535.db2.gz LMHXLHLWRHBSIL-INIZCTEOSA-N 1 2 310.438 1.729 20 30 DDEDLO CC(C)C[C@H](CNCC#N)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001271278688 843464879 /nfs/dbraw/zinc/46/48/79/843464879.db2.gz OXZJGNRFKNHTBV-UONOGXRCSA-N 1 2 303.410 1.090 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)c2ccc(OC)c(OC)c2)C1 ZINC001271387880 843547614 /nfs/dbraw/zinc/54/76/14/843547614.db2.gz ILCUNLMVOGMBRO-UHFFFAOYSA-N 1 2 320.389 1.056 20 30 DDEDLO N#CCSCC(=O)NCCC[NH2+]CC(F)(F)C(F)F ZINC001154815054 861478797 /nfs/dbraw/zinc/47/87/97/861478797.db2.gz UTUMUDCQBLMYGV-UHFFFAOYSA-N 1 2 301.309 1.239 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1COCCN1Cc1c[nH+]cn1C ZINC001326648367 861509563 /nfs/dbraw/zinc/50/95/63/861509563.db2.gz SZHAHEIOLBHNFT-GDBMZVCRSA-N 1 2 320.437 1.339 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1C1CC1 ZINC001326658385 861517008 /nfs/dbraw/zinc/51/70/08/861517008.db2.gz IZGGWXONZODJOR-AWEZNQCLSA-N 1 2 318.421 1.684 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1C1CC1 ZINC001326658385 861517016 /nfs/dbraw/zinc/51/70/16/861517016.db2.gz IZGGWXONZODJOR-AWEZNQCLSA-N 1 2 318.421 1.684 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@]3(C2)CN(CCCC#N)C(=O)CO3)co1 ZINC001272726685 846725151 /nfs/dbraw/zinc/72/51/51/846725151.db2.gz SPVCWPSOOVQAPU-INIZCTEOSA-N 1 2 318.377 1.090 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@]3(C2)CN(CCCC#N)C(=O)CO3)co1 ZINC001272726685 846725157 /nfs/dbraw/zinc/72/51/57/846725157.db2.gz SPVCWPSOOVQAPU-INIZCTEOSA-N 1 2 318.377 1.090 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001409927716 846794948 /nfs/dbraw/zinc/79/49/48/846794948.db2.gz SWSLBUBUDDFIHI-LLVKDONJSA-N 1 2 315.845 1.338 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077680068 846948937 /nfs/dbraw/zinc/94/89/37/846948937.db2.gz PKJREHCQPBIHGX-LBPRGKRZSA-N 1 2 320.437 1.874 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cn3cccc(C)c3n2)C1=O ZINC001272820550 847501448 /nfs/dbraw/zinc/50/14/48/847501448.db2.gz AVIMKSAOTHIZEE-LJQANCHMSA-N 1 2 322.412 1.843 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cn3cccc(C)c3n2)C1=O ZINC001272820550 847501458 /nfs/dbraw/zinc/50/14/58/847501458.db2.gz AVIMKSAOTHIZEE-LJQANCHMSA-N 1 2 322.412 1.843 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)C[C@@H]1CC(C)(C)CO1 ZINC001272869478 847569857 /nfs/dbraw/zinc/56/98/57/847569857.db2.gz RSAVKTZSYOZFKX-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)C[C@@H]1CC(C)(C)CO1 ZINC001272869478 847569864 /nfs/dbraw/zinc/56/98/64/847569864.db2.gz RSAVKTZSYOZFKX-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO N#CCCCN1C(=O)COCC12C[NH+](Cc1cccs1)C2 ZINC001272932066 847658212 /nfs/dbraw/zinc/65/82/12/847658212.db2.gz JLTNHXSECPCTBR-UHFFFAOYSA-N 1 2 305.403 1.465 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](CC[C@@H](C)SC)C[C@@]2(F)C1=O ZINC001273115253 847940820 /nfs/dbraw/zinc/94/08/20/847940820.db2.gz JVADCBZGELDXIS-KWCYVHTRSA-N 1 2 302.390 1.336 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](CC[C@@H](C)SC)C[C@@]2(F)C1=O ZINC001273115253 847940831 /nfs/dbraw/zinc/94/08/31/847940831.db2.gz JVADCBZGELDXIS-KWCYVHTRSA-N 1 2 302.390 1.336 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C=C(CC)CC)C2)CC1 ZINC001327080931 861860159 /nfs/dbraw/zinc/86/01/59/861860159.db2.gz FWQZFDNZYMIJQY-GOSISDBHSA-N 1 2 317.477 1.975 20 30 DDEDLO C=CCC[N@@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746543 861871168 /nfs/dbraw/zinc/87/11/68/861871168.db2.gz UBERPHVXSWZIPU-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746543 861871190 /nfs/dbraw/zinc/87/11/90/861871190.db2.gz UBERPHVXSWZIPU-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149746543 861871213 /nfs/dbraw/zinc/87/12/13/861871213.db2.gz UBERPHVXSWZIPU-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCCO[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149746543 861871233 /nfs/dbraw/zinc/87/12/33/861871233.db2.gz UBERPHVXSWZIPU-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746544 861869657 /nfs/dbraw/zinc/86/96/57/861869657.db2.gz UBERPHVXSWZIPU-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746544 861869674 /nfs/dbraw/zinc/86/96/74/861869674.db2.gz UBERPHVXSWZIPU-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@@H+]1CCCO[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149746544 861869688 /nfs/dbraw/zinc/86/96/88/861869688.db2.gz UBERPHVXSWZIPU-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCCO[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149746544 861869708 /nfs/dbraw/zinc/86/97/08/861869708.db2.gz UBERPHVXSWZIPU-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@@H]1CCCCN(CC#N)C1)C2 ZINC001034279502 848242911 /nfs/dbraw/zinc/24/29/11/848242911.db2.gz UIQZTMVIOPDMAU-ZIAGYGMSSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@@H]1CCCCN(CC#N)C1)CC2 ZINC001034279502 848242921 /nfs/dbraw/zinc/24/29/21/848242921.db2.gz UIQZTMVIOPDMAU-ZIAGYGMSSA-N 1 2 315.421 1.317 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@H](NC(=O)c3cnn[nH]3)C2)cc1 ZINC001034286640 848256159 /nfs/dbraw/zinc/25/61/59/848256159.db2.gz UKKHZSRLMMKXNB-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@H](NC(=O)c3cnn[nH]3)C2)cc1 ZINC001034286640 848256166 /nfs/dbraw/zinc/25/61/66/848256166.db2.gz UKKHZSRLMMKXNB-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO CCC[N@H+](Cc1ccc(C#N)cc1)[C@H]1CS(=O)(=O)C[C@H]1OC ZINC001413941179 848792033 /nfs/dbraw/zinc/79/20/33/848792033.db2.gz ATZVSKCBPDWJDD-JKSUJKDBSA-N 1 2 322.430 1.582 20 30 DDEDLO CCC[N@@H+](Cc1ccc(C#N)cc1)[C@H]1CS(=O)(=O)C[C@H]1OC ZINC001413941179 848792044 /nfs/dbraw/zinc/79/20/44/848792044.db2.gz ATZVSKCBPDWJDD-JKSUJKDBSA-N 1 2 322.430 1.582 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cncc3ccccc31)C2 ZINC001273239736 848982956 /nfs/dbraw/zinc/98/29/56/848982956.db2.gz VAHVXIIPUDBCDY-UHFFFAOYSA-N 1 2 321.380 1.281 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cc3ccccc3cn1)C2 ZINC001273273922 849318057 /nfs/dbraw/zinc/31/80/57/849318057.db2.gz GHXXWJVBXHFCKJ-UHFFFAOYSA-N 1 2 323.396 1.834 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)C[C@H](C)NC(N)=O)C(C)C ZINC001411188073 850353420 /nfs/dbraw/zinc/35/34/20/850353420.db2.gz RFVXOSGQCGHOMI-LBPRGKRZSA-N 1 2 318.849 1.355 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)C[C@H](C)NC(N)=O)C(C)C ZINC001411188073 850353412 /nfs/dbraw/zinc/35/34/12/850353412.db2.gz RFVXOSGQCGHOMI-LBPRGKRZSA-N 1 2 318.849 1.355 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cnn(C)n2)CC1 ZINC001273445802 850814596 /nfs/dbraw/zinc/81/45/96/850814596.db2.gz OLVUBECSGPFZNN-CQSZACIVSA-N 1 2 305.426 1.642 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2cnn(C)n2)CC1 ZINC001273445802 850814602 /nfs/dbraw/zinc/81/46/02/850814602.db2.gz OLVUBECSGPFZNN-CQSZACIVSA-N 1 2 305.426 1.642 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2nc(C)c(C)s2)C1=O ZINC001273656939 851192618 /nfs/dbraw/zinc/19/26/18/851192618.db2.gz HHPJHHFLLYEOQN-MRXNPFEDSA-N 1 2 303.431 1.960 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2nc(C)c(C)s2)C1=O ZINC001273656939 851192626 /nfs/dbraw/zinc/19/26/26/851192626.db2.gz HHPJHHFLLYEOQN-MRXNPFEDSA-N 1 2 303.431 1.960 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)CCn1cccc1)O2 ZINC001273669685 851205852 /nfs/dbraw/zinc/20/58/52/851205852.db2.gz XDFINXZRIMHIAE-OAHLLOKOSA-N 1 2 303.406 1.414 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc(CC)s1)C2 ZINC001273727027 851277725 /nfs/dbraw/zinc/27/77/25/851277725.db2.gz BXQRAMASQHIWCJ-UHFFFAOYSA-N 1 2 304.415 1.357 20 30 DDEDLO N#Cc1cnccc1C(=O)N1CC2(C1)C[NH+](Cc1ccc[nH]1)C2 ZINC001273746085 851293824 /nfs/dbraw/zinc/29/38/24/851293824.db2.gz NPRZGWXQJKBWBN-UHFFFAOYSA-N 1 2 307.357 1.239 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)C[C@H](C)COC ZINC001273825171 851389512 /nfs/dbraw/zinc/38/95/12/851389512.db2.gz OFVQALUTUZMKTQ-YJBOKZPZSA-N 1 2 314.429 1.835 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)C[C@H](C)COC ZINC001273825171 851389520 /nfs/dbraw/zinc/38/95/20/851389520.db2.gz OFVQALUTUZMKTQ-YJBOKZPZSA-N 1 2 314.429 1.835 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1nc(C)cc(C)n1 ZINC001274017423 851854937 /nfs/dbraw/zinc/85/49/37/851854937.db2.gz MGASCJSZXDUDGD-SFHVURJKSA-N 1 2 312.417 1.684 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1nc(C)cc(C)n1 ZINC001274017423 851854946 /nfs/dbraw/zinc/85/49/46/851854946.db2.gz MGASCJSZXDUDGD-SFHVURJKSA-N 1 2 312.417 1.684 20 30 DDEDLO N#CCC[N@H+]1CCOC[C@]2(CC(=O)N(CC3CCCC3)C2)C1 ZINC001274032462 851873843 /nfs/dbraw/zinc/87/38/43/851873843.db2.gz PNEVUHJVLCSHCG-QGZVFWFLSA-N 1 2 305.422 1.641 20 30 DDEDLO N#CCC[N@@H+]1CCOC[C@]2(CC(=O)N(CC3CCCC3)C2)C1 ZINC001274032462 851873847 /nfs/dbraw/zinc/87/38/47/851873847.db2.gz PNEVUHJVLCSHCG-QGZVFWFLSA-N 1 2 305.422 1.641 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001274178464 852008814 /nfs/dbraw/zinc/00/88/14/852008814.db2.gz AFONWYAYGVMADD-NEPJUHHUSA-N 1 2 308.382 1.119 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH+]2CCN(CCC#C)CC2)C1 ZINC001280679804 852260849 /nfs/dbraw/zinc/26/08/49/852260849.db2.gz VFZWSTAWWSOIDZ-SFHVURJKSA-N 1 2 315.461 1.422 20 30 DDEDLO C=CCNC(=O)[C@@H]1CC12CC[NH+](Cc1nnc(C)s1)CC2 ZINC001274523555 852359618 /nfs/dbraw/zinc/35/96/18/852359618.db2.gz INDCOFGIWKRWPJ-LBPRGKRZSA-N 1 2 306.435 1.751 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1C[NH2+]Cc1nc(CC)no1 ZINC001274979155 852725115 /nfs/dbraw/zinc/72/51/15/852725115.db2.gz ORJQUFTXGITSAD-ZDUSSCGKSA-N 1 2 322.409 1.305 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)C[NH2+]Cc2nc([C@H](C)OC)no2)C1 ZINC001275303715 852966790 /nfs/dbraw/zinc/96/67/90/852966790.db2.gz OYXGAJAKXRXGPC-RYUDHWBXSA-N 1 2 322.409 1.728 20 30 DDEDLO C[N@H+]1C[C@H]2CN(C(=O)c3c(F)cc(C#N)cc3Cl)C[C@@H](C1)O2 ZINC001275313210 852973869 /nfs/dbraw/zinc/97/38/69/852973869.db2.gz DOBIOPVRMNPQBT-PHIMTYICSA-N 1 2 323.755 1.506 20 30 DDEDLO C[N@@H+]1C[C@H]2CN(C(=O)c3c(F)cc(C#N)cc3Cl)C[C@@H](C1)O2 ZINC001275313210 852973872 /nfs/dbraw/zinc/97/38/72/852973872.db2.gz DOBIOPVRMNPQBT-PHIMTYICSA-N 1 2 323.755 1.506 20 30 DDEDLO COCCOCN1C[C@H]2C[C@@H](C1)[N@H+]2Cc1ccc(C#N)cc1 ZINC001275829320 853831341 /nfs/dbraw/zinc/83/13/41/853831341.db2.gz WLZSDWGFOWYXID-CALCHBBNSA-N 1 2 301.390 1.437 20 30 DDEDLO COCCOCN1C[C@H]2C[C@@H](C1)[N@@H+]2Cc1ccc(C#N)cc1 ZINC001275829320 853831342 /nfs/dbraw/zinc/83/13/42/853831342.db2.gz WLZSDWGFOWYXID-CALCHBBNSA-N 1 2 301.390 1.437 20 30 DDEDLO Cc1noc(C[NH2+][C@H]2CN(C(=O)C#CC(C)(C)C)C[C@H]2C)n1 ZINC001327864178 862524280 /nfs/dbraw/zinc/52/42/80/862524280.db2.gz XHRJUXKRYBUWRN-YPMHNXCESA-N 1 2 304.394 1.364 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)Cc2cccc(C)c2)C1 ZINC001276076542 854710073 /nfs/dbraw/zinc/71/00/73/854710073.db2.gz WZDMOKOYEXYWDW-UHFFFAOYSA-N 1 2 302.418 1.667 20 30 DDEDLO C=CCOCC[NH+]1CC(CCO)(NC(=O)[C@@]23C[C@@H]2CCCC3)C1 ZINC001276082437 854721873 /nfs/dbraw/zinc/72/18/73/854721873.db2.gz HXRFZQPLVNOREE-YJBOKZPZSA-N 1 2 322.449 1.322 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([C@@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001111223934 855196275 /nfs/dbraw/zinc/19/62/75/855196275.db2.gz UCKNFVXYRYSJQP-UKRRQHHQSA-N 1 2 316.405 1.474 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nnc(C)o2)CC1(C)C ZINC001328081909 862682208 /nfs/dbraw/zinc/68/22/08/862682208.db2.gz MEOYPAXENZYHBK-AWEZNQCLSA-N 1 2 318.421 1.898 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nnc(C)o2)CC1(C)C ZINC001328081909 862682212 /nfs/dbraw/zinc/68/22/12/862682212.db2.gz MEOYPAXENZYHBK-AWEZNQCLSA-N 1 2 318.421 1.898 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)S(C)(=O)=O ZINC001049548327 856958419 /nfs/dbraw/zinc/95/84/19/856958419.db2.gz JMFYNICJOZRQQP-BFHYXJOUSA-N 1 2 314.451 1.061 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)S(C)(=O)=O ZINC001049548327 856958425 /nfs/dbraw/zinc/95/84/25/856958425.db2.gz JMFYNICJOZRQQP-BFHYXJOUSA-N 1 2 314.451 1.061 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072800798 857717858 /nfs/dbraw/zinc/71/78/58/857717858.db2.gz FJMZSGCMGAUVCD-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072801161 857720190 /nfs/dbraw/zinc/72/01/90/857720190.db2.gz MUOZKLLSCKOPRW-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H](OC)C1CC1 ZINC001328469065 862993082 /nfs/dbraw/zinc/99/30/82/862993082.db2.gz ONWDEUMZFYONIW-MSOLQXFVSA-N 1 2 312.413 1.760 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H](OC)C1CC1 ZINC001328469065 862993094 /nfs/dbraw/zinc/99/30/94/862993094.db2.gz ONWDEUMZFYONIW-MSOLQXFVSA-N 1 2 312.413 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cnccn2)C1 ZINC001073522880 858410072 /nfs/dbraw/zinc/41/00/72/858410072.db2.gz NMAGTQFHTYSBLC-GFCCVEGCSA-N 1 2 310.785 1.050 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cnccn2)C1 ZINC001073522880 858410076 /nfs/dbraw/zinc/41/00/76/858410076.db2.gz NMAGTQFHTYSBLC-GFCCVEGCSA-N 1 2 310.785 1.050 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccncc2C)C1 ZINC001073597996 858471509 /nfs/dbraw/zinc/47/15/09/858471509.db2.gz NIFXLSHVWBNVOG-CQSZACIVSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccncc2C)C1 ZINC001073597996 858471511 /nfs/dbraw/zinc/47/15/11/858471511.db2.gz NIFXLSHVWBNVOG-CQSZACIVSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N1CCO[C@@H](C#N)C1 ZINC001122487642 858847968 /nfs/dbraw/zinc/84/79/68/858847968.db2.gz FBFRQGVOTFYDAH-AWEZNQCLSA-N 1 2 316.409 1.179 20 30 DDEDLO C=C(CC(C)C)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001123644363 859341642 /nfs/dbraw/zinc/34/16/42/859341642.db2.gz IUDOXDYCXKSJAN-UHFFFAOYSA-N 1 2 306.410 1.756 20 30 DDEDLO C#CCN(C(=O)N[C@@H](C)[C@@H]1CN(C)CC[N@@H+]1C)C1CCCCC1 ZINC001123693638 859361520 /nfs/dbraw/zinc/36/15/20/859361520.db2.gz BSUAYBHUTVIOLU-RDJZCZTQSA-N 1 2 320.481 1.598 20 30 DDEDLO C#CCN(C(=O)N[C@@H](C)[C@@H]1CN(C)CC[N@H+]1C)C1CCCCC1 ZINC001123693638 859361524 /nfs/dbraw/zinc/36/15/24/859361524.db2.gz BSUAYBHUTVIOLU-RDJZCZTQSA-N 1 2 320.481 1.598 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2CC[NH+](Cc3cnon3)CC2)C1 ZINC001224476672 881413261 /nfs/dbraw/zinc/41/32/61/881413261.db2.gz JBZYXQOBYNQFGM-UHFFFAOYSA-N 1 2 304.394 1.754 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@H](Nc2cc[nH+]c(C)n2)C(C)(C)C1 ZINC001123946243 859489329 /nfs/dbraw/zinc/48/93/29/859489329.db2.gz GUFXBSWXSAWHBO-SUMWQHHRSA-N 1 2 318.421 1.761 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@@H](Nc2cc[nH+]c(C)n2)C(C)(C)C1 ZINC001123972105 859503683 /nfs/dbraw/zinc/50/36/83/859503683.db2.gz LLFYIBFDNCVOEU-TZMCWYRMSA-N 1 2 316.405 1.472 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H](C)OCCC(C)C ZINC001276986754 881480221 /nfs/dbraw/zinc/48/02/21/881480221.db2.gz ZSRUXWPTPUZYKY-JKSUJKDBSA-N 1 2 310.438 1.278 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H](C)OCCC(C)C ZINC001276986754 881480228 /nfs/dbraw/zinc/48/02/28/881480228.db2.gz ZSRUXWPTPUZYKY-JKSUJKDBSA-N 1 2 310.438 1.278 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CC(C(=O)OCC)C2)cc1OC ZINC001138345821 860064180 /nfs/dbraw/zinc/06/41/80/860064180.db2.gz QMJBVOZTKYRMDT-UHFFFAOYSA-N 1 2 303.358 1.702 20 30 DDEDLO COC(=O)[C@H]1COCCC12C[NH+](Cc1cc(C#N)ccc1F)C2 ZINC001139649573 860447237 /nfs/dbraw/zinc/44/72/37/860447237.db2.gz PEOZHIMKONDWSG-CQSZACIVSA-N 1 2 318.348 1.709 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@@H+](Cc1ccc(CO)o1)CC2 ZINC001140680087 860672562 /nfs/dbraw/zinc/67/25/62/860672562.db2.gz NXWLEFCUOZCZSK-UHFFFAOYSA-N 1 2 317.389 1.766 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@H+](Cc1ccc(CO)o1)CC2 ZINC001140680087 860672564 /nfs/dbraw/zinc/67/25/64/860672564.db2.gz NXWLEFCUOZCZSK-UHFFFAOYSA-N 1 2 317.389 1.766 20 30 DDEDLO CC[N@H+](Cc1cn(C(C)(C)C)nn1)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152412976 863337066 /nfs/dbraw/zinc/33/70/66/863337066.db2.gz HHHJVKIPLWPZRH-CHWSQXEVSA-N 1 2 320.441 1.519 20 30 DDEDLO CC[N@@H+](Cc1cn(C(C)(C)C)nn1)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152412976 863337077 /nfs/dbraw/zinc/33/70/77/863337077.db2.gz HHHJVKIPLWPZRH-CHWSQXEVSA-N 1 2 320.441 1.519 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(CC)nn1 ZINC001157218003 863659824 /nfs/dbraw/zinc/65/98/24/863659824.db2.gz ZVVDTICLORPZQC-ZIAGYGMSSA-N 1 2 323.441 1.216 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(CC)nn1 ZINC001157218003 863659832 /nfs/dbraw/zinc/65/98/32/863659832.db2.gz ZVVDTICLORPZQC-ZIAGYGMSSA-N 1 2 323.441 1.216 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(CCCC)no1 ZINC001153161036 863739034 /nfs/dbraw/zinc/73/90/34/863739034.db2.gz WKTLRTYIJUMCTL-OLZOCXBDSA-N 1 2 324.425 1.770 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)CN(C2CCCC2)C1=O ZINC001330059447 864055218 /nfs/dbraw/zinc/05/52/18/864055218.db2.gz GYDSRALJENDPRI-UHFFFAOYSA-N 1 2 307.394 1.122 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)CN(C2CCCC2)C1=O ZINC001330059447 864055229 /nfs/dbraw/zinc/05/52/29/864055229.db2.gz GYDSRALJENDPRI-UHFFFAOYSA-N 1 2 307.394 1.122 20 30 DDEDLO COCCOC[N@@H+]1CC[C@H]2[C@@H]1CCN2c1cc(C#N)ccc1F ZINC001277026667 881734255 /nfs/dbraw/zinc/73/42/55/881734255.db2.gz WKPCJYSFTJMOHP-HOTGVXAUSA-N 1 2 319.380 1.971 20 30 DDEDLO COCCOC[N@H+]1CC[C@H]2[C@@H]1CCN2c1cc(C#N)ccc1F ZINC001277026667 881734274 /nfs/dbraw/zinc/73/42/74/881734274.db2.gz WKPCJYSFTJMOHP-HOTGVXAUSA-N 1 2 319.380 1.971 20 30 DDEDLO CC[N@H+](Cc1ccccc1C#N)[C@H](C)CNC(=O)c1cn[nH]n1 ZINC001154134713 864438248 /nfs/dbraw/zinc/43/82/48/864438248.db2.gz UTISVXNXPWRBNY-GFCCVEGCSA-N 1 2 312.377 1.317 20 30 DDEDLO CC[N@@H+](Cc1ccccc1C#N)[C@H](C)CNC(=O)c1cn[nH]n1 ZINC001154134713 864438253 /nfs/dbraw/zinc/43/82/53/864438253.db2.gz UTISVXNXPWRBNY-GFCCVEGCSA-N 1 2 312.377 1.317 20 30 DDEDLO CC[N@H+](Cc1ccccc1C#N)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001154134713 864438255 /nfs/dbraw/zinc/43/82/55/864438255.db2.gz UTISVXNXPWRBNY-GFCCVEGCSA-N 1 2 312.377 1.317 20 30 DDEDLO CC[N@@H+](Cc1ccccc1C#N)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001154134713 864438257 /nfs/dbraw/zinc/43/82/57/864438257.db2.gz UTISVXNXPWRBNY-GFCCVEGCSA-N 1 2 312.377 1.317 20 30 DDEDLO C=CCSCCNC(=O)C(=O)NCc1c[nH+]c(C)cc1C ZINC001331600291 865176552 /nfs/dbraw/zinc/17/65/52/865176552.db2.gz VHBJSQMNPBVWNV-UHFFFAOYSA-N 1 2 307.419 1.350 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@]3(NC(=O)C#CC(C)C)CCC[C@H]23)o1 ZINC001332087689 865566898 /nfs/dbraw/zinc/56/68/98/865566898.db2.gz CJZNDNSCJIXPGL-WMLDXEAASA-N 1 2 316.405 1.651 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@]3(NC(=O)C#CC(C)C)CCC[C@H]23)o1 ZINC001332087689 865566907 /nfs/dbraw/zinc/56/69/07/865566907.db2.gz CJZNDNSCJIXPGL-WMLDXEAASA-N 1 2 316.405 1.651 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1cc(Cl)ncc1C#N ZINC001160690802 866029123 /nfs/dbraw/zinc/02/91/23/866029123.db2.gz IFHHCULKKQQIQW-LBPRGKRZSA-N 1 2 319.752 1.536 20 30 DDEDLO CC(C)N(C(=O)C[N@@H+]1CC[C@H]1CNC(=O)C#CC1CC1)C(C)C ZINC001323225527 866445541 /nfs/dbraw/zinc/44/55/41/866445541.db2.gz IMEWIQCPCGVQAC-INIZCTEOSA-N 1 2 319.449 1.236 20 30 DDEDLO CC(C)N(C(=O)C[N@H+]1CC[C@H]1CNC(=O)C#CC1CC1)C(C)C ZINC001323225527 866445543 /nfs/dbraw/zinc/44/55/43/866445543.db2.gz IMEWIQCPCGVQAC-INIZCTEOSA-N 1 2 319.449 1.236 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@H](C)C(=O)NC(C)(C)CC ZINC001323229302 866450450 /nfs/dbraw/zinc/45/04/50/866450450.db2.gz AXGSDYNHFKTNTF-CABCVRRESA-N 1 2 321.465 1.674 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NC(C)(C)CC ZINC001323229302 866450452 /nfs/dbraw/zinc/45/04/52/866450452.db2.gz AXGSDYNHFKTNTF-CABCVRRESA-N 1 2 321.465 1.674 20 30 DDEDLO N#CCc1cc[nH+]c(N[C@@H]2CCN(C(=O)c3ccccn3)C2)c1 ZINC001161231705 866457694 /nfs/dbraw/zinc/45/76/94/866457694.db2.gz ZJGRYMQOBFIYFY-CQSZACIVSA-N 1 2 307.357 1.869 20 30 DDEDLO C=CC[C@H](C(=O)N(C)CC[N@@H+](C)CC(=O)NC)c1ccccc1 ZINC001320086097 866460783 /nfs/dbraw/zinc/46/07/83/866460783.db2.gz WPCHCERFNKSJQE-INIZCTEOSA-N 1 2 317.433 1.483 20 30 DDEDLO C=CC[C@H](C(=O)N(C)CC[N@H+](C)CC(=O)NC)c1ccccc1 ZINC001320086097 866460790 /nfs/dbraw/zinc/46/07/90/866460790.db2.gz WPCHCERFNKSJQE-INIZCTEOSA-N 1 2 317.433 1.483 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccccc1COC ZINC001323258672 866478640 /nfs/dbraw/zinc/47/86/40/866478640.db2.gz NYZFABOULOMWLI-MRXNPFEDSA-N 1 2 316.401 1.287 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccccc1COC ZINC001323258672 866478652 /nfs/dbraw/zinc/47/86/52/866478652.db2.gz NYZFABOULOMWLI-MRXNPFEDSA-N 1 2 316.401 1.287 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001323271845 866489689 /nfs/dbraw/zinc/48/96/89/866489689.db2.gz AQGIHEYVOUZJAD-LUKYLMHMSA-N 1 2 304.434 1.653 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001323271845 866489696 /nfs/dbraw/zinc/48/96/96/866489696.db2.gz AQGIHEYVOUZJAD-LUKYLMHMSA-N 1 2 304.434 1.653 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001323286297 866499743 /nfs/dbraw/zinc/49/97/43/866499743.db2.gz DTTUMWLNHTWJKX-JKSUJKDBSA-N 1 2 321.465 1.531 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001323286297 866499748 /nfs/dbraw/zinc/49/97/48/866499748.db2.gz DTTUMWLNHTWJKX-JKSUJKDBSA-N 1 2 321.465 1.531 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1([NH2+]Cc2cnn(CC)n2)CC1 ZINC001323529966 866668394 /nfs/dbraw/zinc/66/83/94/866668394.db2.gz FZRCYSVULHWYOH-OAHLLOKOSA-N 1 2 305.426 1.639 20 30 DDEDLO N#CCc1ccc(S(=O)(=O)NCCNc2cccc[nH+]2)cc1 ZINC001323875793 866916062 /nfs/dbraw/zinc/91/60/62/866916062.db2.gz HJEVAEAKUTVPAH-UHFFFAOYSA-N 1 2 316.386 1.538 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C1C[NH+](CCOc2ccccc2)C1 ZINC001323921744 866951511 /nfs/dbraw/zinc/95/15/11/866951511.db2.gz RZTYXOZJCVEVDJ-HNNXBMFYSA-N 1 2 316.401 1.246 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)COCc2ccccc2C)C1 ZINC001323993123 866998050 /nfs/dbraw/zinc/99/80/50/866998050.db2.gz OUYFZBNEFWGFJD-UHFFFAOYSA-N 1 2 300.402 1.678 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001333916647 867152545 /nfs/dbraw/zinc/15/25/45/867152545.db2.gz OIFXKLOWTDMSME-QWHCGFSZSA-N 1 2 306.410 1.495 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@@H]2C[C@]2(C)c2ccccc2)C1 ZINC001325246733 867886920 /nfs/dbraw/zinc/88/69/20/867886920.db2.gz SSDFQPXGKUNYAP-FUHWJXTLSA-N 1 2 312.413 1.150 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001381546707 882136086 /nfs/dbraw/zinc/13/60/86/882136086.db2.gz TZIIGFCUVNYLCX-ZOBORPQBSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001381546707 882136094 /nfs/dbraw/zinc/13/60/94/882136094.db2.gz TZIIGFCUVNYLCX-ZOBORPQBSA-N 1 2 300.830 1.991 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)C[N@H+](C)Cc1ccn(C(C)C)n1 ZINC001322155641 868009939 /nfs/dbraw/zinc/00/99/39/868009939.db2.gz FCFWSLSBYUQLOA-CABCVRRESA-N 1 2 320.437 1.439 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)C[N@@H+](C)Cc1ccn(C(C)C)n1 ZINC001322155641 868009955 /nfs/dbraw/zinc/00/99/55/868009955.db2.gz FCFWSLSBYUQLOA-CABCVRRESA-N 1 2 320.437 1.439 20 30 DDEDLO Cc1cc(CNC(=O)C(=O)N2CCC(CC#N)CC2)cc(C)[nH+]1 ZINC001325705341 868272996 /nfs/dbraw/zinc/27/29/96/868272996.db2.gz PMZIKZDYXPYICI-UHFFFAOYSA-N 1 2 314.389 1.467 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(c3ccc4c(C#N)c[nH]c4n3)C2)CCO1 ZINC001165130678 869398494 /nfs/dbraw/zinc/39/84/94/869398494.db2.gz HYHSXJCNUYLGNN-UHFFFAOYSA-N 1 2 311.389 1.734 20 30 DDEDLO CC1(C)C[N@H+](C2CN(c3ccc4c(C#N)c[nH]c4n3)C2)CCO1 ZINC001165130678 869398506 /nfs/dbraw/zinc/39/85/06/869398506.db2.gz HYHSXJCNUYLGNN-UHFFFAOYSA-N 1 2 311.389 1.734 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H]1C ZINC001338017751 869746337 /nfs/dbraw/zinc/74/63/37/869746337.db2.gz MXLKIRSNVFTVTP-JSGCOSHPSA-N 1 2 304.394 1.414 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3sc(C)nc3C)n2C)CC1 ZINC001338169723 869849345 /nfs/dbraw/zinc/84/93/45/869849345.db2.gz GBBFSIZQTHCCPI-UHFFFAOYSA-N 1 2 316.434 1.311 20 30 DDEDLO C=CCCC(=O)N1CC[C@@]2(NC(=O)Cc3c[nH]c[nH+]3)CCC[C@H]12 ZINC001338223338 869873983 /nfs/dbraw/zinc/87/39/83/869873983.db2.gz MCOCSUWWYRMNPH-YOEHRIQHSA-N 1 2 316.405 1.558 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001316974586 870032000 /nfs/dbraw/zinc/03/20/00/870032000.db2.gz TXIJOVBUFNJOOK-CQSZACIVSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001316974586 870032015 /nfs/dbraw/zinc/03/20/15/870032015.db2.gz TXIJOVBUFNJOOK-CQSZACIVSA-N 1 2 307.394 1.656 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC[C@@H]1OC ZINC001317048624 870165036 /nfs/dbraw/zinc/16/50/36/870165036.db2.gz YPXASOCKDDRZIO-TWMKSMIVSA-N 1 2 320.433 1.127 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC[C@@H]1OC ZINC001317048624 870165042 /nfs/dbraw/zinc/16/50/42/870165042.db2.gz YPXASOCKDDRZIO-TWMKSMIVSA-N 1 2 320.433 1.127 20 30 DDEDLO CN(C1CCN(c2cc(CC#N)cc[nH+]2)CC1)S(C)(=O)=O ZINC001166922427 870376138 /nfs/dbraw/zinc/37/61/38/870376138.db2.gz QLZMXDZUERQCCK-UHFFFAOYSA-N 1 2 308.407 1.008 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001317203366 870464570 /nfs/dbraw/zinc/46/45/70/870464570.db2.gz YXTDLCBYYOMJKN-HUUCEWRRSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCC[N@H+](Cc2cnn(CC)n2)C1 ZINC001317203366 870464571 /nfs/dbraw/zinc/46/45/71/870464571.db2.gz YXTDLCBYYOMJKN-HUUCEWRRSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC2CC1(C)C2 ZINC001339532158 870542699 /nfs/dbraw/zinc/54/26/99/870542699.db2.gz WJESBEVSBIYSNJ-WULFKBJJSA-N 1 2 303.410 1.190 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC2CC1(C)C2 ZINC001339532158 870542709 /nfs/dbraw/zinc/54/27/09/870542709.db2.gz WJESBEVSBIYSNJ-WULFKBJJSA-N 1 2 303.410 1.190 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)CC(C)C)n2CC)CC1 ZINC001339567943 870560739 /nfs/dbraw/zinc/56/07/39/870560739.db2.gz JESXLWHBJUJICZ-AWEZNQCLSA-N 1 2 305.426 1.133 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]([N@H+](C)Cc2ccon2)C1 ZINC001317373575 870728359 /nfs/dbraw/zinc/72/83/59/870728359.db2.gz PKSGBTZOLPMCBP-GDBMZVCRSA-N 1 2 307.394 1.425 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]([N@@H+](C)Cc2ccon2)C1 ZINC001317373575 870728377 /nfs/dbraw/zinc/72/83/77/870728377.db2.gz PKSGBTZOLPMCBP-GDBMZVCRSA-N 1 2 307.394 1.425 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCC[N@@H+]1Cc1cncc(F)c1 ZINC001317500150 870928362 /nfs/dbraw/zinc/92/83/62/870928362.db2.gz WEOTVWYNGDIPRB-MRXNPFEDSA-N 1 2 303.381 1.961 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCC[N@H+]1Cc1cncc(F)c1 ZINC001317500150 870928371 /nfs/dbraw/zinc/92/83/71/870928371.db2.gz WEOTVWYNGDIPRB-MRXNPFEDSA-N 1 2 303.381 1.961 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@](C)(CC)CCC)C1 ZINC001276446973 870984608 /nfs/dbraw/zinc/98/46/08/870984608.db2.gz ASSMYZBORBQWIQ-RDTXWAMCSA-N 1 2 321.465 1.389 20 30 DDEDLO COc1cc(C[N@H+](CCNC(=O)C#CC2CC2)C2CC2)sn1 ZINC001317552986 871061356 /nfs/dbraw/zinc/06/13/56/871061356.db2.gz OSAZWXDPXWZLTA-UHFFFAOYSA-N 1 2 319.430 1.646 20 30 DDEDLO COc1cc(C[N@@H+](CCNC(=O)C#CC2CC2)C2CC2)sn1 ZINC001317552986 871061377 /nfs/dbraw/zinc/06/13/77/871061377.db2.gz OSAZWXDPXWZLTA-UHFFFAOYSA-N 1 2 319.430 1.646 20 30 DDEDLO C#CCOCCC(=O)N(C)CC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001317585331 871143633 /nfs/dbraw/zinc/14/36/33/871143633.db2.gz ARSHGVMHMYPCRL-UHFFFAOYSA-N 1 2 319.405 1.141 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1C[C@H](C)[C@@H](C)C1 ZINC001340732406 871314889 /nfs/dbraw/zinc/31/48/89/871314889.db2.gz GQIJULJWGZKMJP-STQMWFEESA-N 1 2 300.410 1.881 20 30 DDEDLO CCO[C@H](CC(=O)N(C)CC[NH+]1CCN(CC#N)CC1)C(C)C ZINC001317819335 871544352 /nfs/dbraw/zinc/54/43/52/871544352.db2.gz XGMHAVDOWNMETK-MRXNPFEDSA-N 1 2 324.469 1.037 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)CC=C)C1 ZINC001317945076 871643959 /nfs/dbraw/zinc/64/39/59/871643959.db2.gz WLDMSLUPSIZAKY-LSDHHAIUSA-N 1 2 319.449 1.307 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](NC(=O)C(C)(C)CC=C)C1 ZINC001317945076 871643971 /nfs/dbraw/zinc/64/39/71/871643971.db2.gz WLDMSLUPSIZAKY-LSDHHAIUSA-N 1 2 319.449 1.307 20 30 DDEDLO C#CCCCC(=O)NC1C[NH+](Cc2cccc3c2CCOC3)C1 ZINC001318018078 871689887 /nfs/dbraw/zinc/68/98/87/871689887.db2.gz KLRMNEYBYYNWNI-UHFFFAOYSA-N 1 2 312.413 1.863 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1C[NH+](Cc2ccc3c(c2)CCC3)C1 ZINC001318024367 871694377 /nfs/dbraw/zinc/69/43/77/871694377.db2.gz HJEASGURCDLHBK-AWEZNQCLSA-N 1 2 312.413 1.514 20 30 DDEDLO C=C(C)CCC(=O)NCC1C[NH+]([C@H](C)C(=O)NC2CCCC2)C1 ZINC001318126775 871764376 /nfs/dbraw/zinc/76/43/76/871764376.db2.gz JUYYSDOJKMXUCL-CQSZACIVSA-N 1 2 321.465 1.838 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cnoc1C ZINC001318209087 871831912 /nfs/dbraw/zinc/83/19/12/871831912.db2.gz GMZPKVVQWFORKN-HOTGVXAUSA-N 1 2 301.390 1.962 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cnoc1C ZINC001318209087 871831938 /nfs/dbraw/zinc/83/19/38/871831938.db2.gz GMZPKVVQWFORKN-HOTGVXAUSA-N 1 2 301.390 1.962 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cscn3)n2CC)CC1 ZINC001342606043 872292282 /nfs/dbraw/zinc/29/22/82/872292282.db2.gz YNUNRJRKQIYYFN-UHFFFAOYSA-N 1 2 302.407 1.177 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001206613820 872475157 /nfs/dbraw/zinc/47/51/57/872475157.db2.gz FHWKJQLIYSCACI-BXUZGUMPSA-N 1 2 307.394 1.431 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001206613820 872475167 /nfs/dbraw/zinc/47/51/67/872475167.db2.gz FHWKJQLIYSCACI-BXUZGUMPSA-N 1 2 307.394 1.431 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2ncccn2)C[C@H]1C ZINC001206614273 872477683 /nfs/dbraw/zinc/47/76/83/872477683.db2.gz TUPSQHPHGGXZQI-HZSPNIEDSA-N 1 2 304.394 1.177 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2ncccn2)C[C@H]1C ZINC001206614273 872477686 /nfs/dbraw/zinc/47/76/86/872477686.db2.gz TUPSQHPHGGXZQI-HZSPNIEDSA-N 1 2 304.394 1.177 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@H]1CCCO1 ZINC001343160495 872522631 /nfs/dbraw/zinc/52/26/31/872522631.db2.gz ZKCIYNBYABEUKT-RRFJBIMHSA-N 1 2 317.437 1.682 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@H]1CCCO1 ZINC001343160495 872522638 /nfs/dbraw/zinc/52/26/38/872522638.db2.gz ZKCIYNBYABEUKT-RRFJBIMHSA-N 1 2 317.437 1.682 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](CNC(=O)CCc2c[nH+]cn2C)C1 ZINC001344226906 872957689 /nfs/dbraw/zinc/95/76/89/872957689.db2.gz XLIYZRFPVOAGPJ-OKILXGFUSA-N 1 2 318.421 1.330 20 30 DDEDLO C=CCN(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC(C)C ZINC001344500869 873022920 /nfs/dbraw/zinc/02/29/20/873022920.db2.gz ROBKDVBAFQGOJW-CZUORRHYSA-N 1 2 307.442 1.468 20 30 DDEDLO C=CCN(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC(C)C ZINC001344500869 873022935 /nfs/dbraw/zinc/02/29/35/873022935.db2.gz ROBKDVBAFQGOJW-CZUORRHYSA-N 1 2 307.442 1.468 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)OCCCC)C2)C1 ZINC001276532012 873258287 /nfs/dbraw/zinc/25/82/87/873258287.db2.gz HZPXKBXKVIPKNI-NVXWUHKLSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)OCCCC)C2)C1 ZINC001276532012 873258290 /nfs/dbraw/zinc/25/82/90/873258290.db2.gz HZPXKBXKVIPKNI-NVXWUHKLSA-N 1 2 308.422 1.128 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001207951466 873682979 /nfs/dbraw/zinc/68/29/79/873682979.db2.gz ULOVNFHOGHUALN-WBMJQRKESA-N 1 2 322.409 1.040 20 30 DDEDLO COC[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001378051927 874001450 /nfs/dbraw/zinc/00/14/50/874001450.db2.gz BIHYOXNISLYJPG-DOMZBBRYSA-N 1 2 319.380 1.670 20 30 DDEDLO COC[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001378051927 874001466 /nfs/dbraw/zinc/00/14/66/874001466.db2.gz BIHYOXNISLYJPG-DOMZBBRYSA-N 1 2 319.380 1.670 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001378062966 874042434 /nfs/dbraw/zinc/04/24/34/874042434.db2.gz ZKDDOTNRBXYECP-GOEBONIOSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001378062966 874042451 /nfs/dbraw/zinc/04/24/51/874042451.db2.gz ZKDDOTNRBXYECP-GOEBONIOSA-N 1 2 319.380 1.405 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cc(OC)n(C)n1 ZINC001378090493 874124743 /nfs/dbraw/zinc/12/47/43/874124743.db2.gz FCGFPLWDQIZBHG-JTQLQIEISA-N 1 2 300.790 1.231 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cc(OC)n(C)n1 ZINC001378090493 874124753 /nfs/dbraw/zinc/12/47/53/874124753.db2.gz FCGFPLWDQIZBHG-JTQLQIEISA-N 1 2 300.790 1.231 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208508984 874206780 /nfs/dbraw/zinc/20/67/80/874206780.db2.gz NQKJWVWSYLLERO-UNEWFSDZSA-N 1 2 304.438 1.959 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208508984 874206788 /nfs/dbraw/zinc/20/67/88/874206788.db2.gz NQKJWVWSYLLERO-UNEWFSDZSA-N 1 2 304.438 1.959 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H](C)Oc2ccccn2)C1 ZINC001378131926 874212393 /nfs/dbraw/zinc/21/23/93/874212393.db2.gz ZXJJCTOZWYRZOE-QWHCGFSZSA-N 1 2 309.797 1.792 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H](C)Oc2ccccn2)C1 ZINC001378131926 874212403 /nfs/dbraw/zinc/21/24/03/874212403.db2.gz ZXJJCTOZWYRZOE-QWHCGFSZSA-N 1 2 309.797 1.792 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1CCCC1OCCO1 ZINC001209301413 874788364 /nfs/dbraw/zinc/78/83/64/874788364.db2.gz MVDWTLYOBOGPAJ-CQSZACIVSA-N 1 2 322.409 1.070 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1CCCC1OCCO1 ZINC001209301413 874788367 /nfs/dbraw/zinc/78/83/67/874788367.db2.gz MVDWTLYOBOGPAJ-CQSZACIVSA-N 1 2 322.409 1.070 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001349081606 874961475 /nfs/dbraw/zinc/96/14/75/874961475.db2.gz SZEPYOGRKYLJOI-ZDUSSCGKSA-N 1 2 318.421 1.280 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001349238592 875052956 /nfs/dbraw/zinc/05/29/56/875052956.db2.gz PFDUMKVUUOUNSU-CXAGYDPISA-N 1 2 318.421 1.280 20 30 DDEDLO C=CCCC(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001349802377 875379739 /nfs/dbraw/zinc/37/97/39/875379739.db2.gz LSRRJLMFEUXUSR-CHWSQXEVSA-N 1 2 304.394 1.112 20 30 DDEDLO CCCc1nc(C[NH2+]C2(CNC(=O)[C@@H](C)C#N)CCCC2)no1 ZINC001378686824 875418195 /nfs/dbraw/zinc/41/81/95/875418195.db2.gz UZNNMHILOHHJLE-LBPRGKRZSA-N 1 2 319.409 1.700 20 30 DDEDLO COc1cc(Nc2c(C#N)cnn2-c2ncccn2)cc(C)[nH+]1 ZINC001210774087 875524004 /nfs/dbraw/zinc/52/40/04/875524004.db2.gz IQTIHKBOUPHCQR-UHFFFAOYSA-N 1 2 307.317 1.990 20 30 DDEDLO COc1nscc1C[NH+]1CCC(NC(=O)C#CC2CC2)CC1 ZINC001227299711 882962376 /nfs/dbraw/zinc/96/23/76/882962376.db2.gz ZBRKWVKVMKCWRB-UHFFFAOYSA-N 1 2 319.430 1.646 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001211428252 875805491 /nfs/dbraw/zinc/80/54/91/875805491.db2.gz YMYOEFCLTQQDHB-OSAQELSMSA-N 1 2 321.421 1.819 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001211428252 875805499 /nfs/dbraw/zinc/80/54/99/875805499.db2.gz YMYOEFCLTQQDHB-OSAQELSMSA-N 1 2 321.421 1.819 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001214529070 876379779 /nfs/dbraw/zinc/37/97/79/876379779.db2.gz LDODRLKFNNQKFF-ZIAGYGMSSA-N 1 2 318.421 1.933 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@H](C)C#N)[NH2+]Cc1nnc(C(F)F)s1 ZINC001379109159 876425242 /nfs/dbraw/zinc/42/52/42/876425242.db2.gz SSFITRBUUGKOCE-HTQZYQBOSA-N 1 2 317.365 1.572 20 30 DDEDLO C=CC(C)(C)C(=O)NCCCN(C(=O)Cn1cc[nH+]c1)C1CC1 ZINC001352568308 876809226 /nfs/dbraw/zinc/80/92/26/876809226.db2.gz WBVBBCKPEDYWDY-UHFFFAOYSA-N 1 2 318.421 1.593 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001379362886 876924143 /nfs/dbraw/zinc/92/41/43/876924143.db2.gz UCBAGEKGZQSTCQ-RKDXNWHRSA-N 1 2 302.338 1.047 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001352946315 877030191 /nfs/dbraw/zinc/03/01/91/877030191.db2.gz YCNRKRBYVVVUII-WBMJQRKESA-N 1 2 304.394 1.272 20 30 DDEDLO CCC(C)(C)C(=O)NC[C@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001379475487 877162460 /nfs/dbraw/zinc/16/24/60/877162460.db2.gz GZEMCUXHODFZAT-OAHLLOKOSA-N 1 2 321.396 1.700 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cccnc3)C[C@@H]21 ZINC001217476126 877206401 /nfs/dbraw/zinc/20/64/01/877206401.db2.gz VNORNFZFKOVBBW-JKSUJKDBSA-N 1 2 301.390 1.459 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cccnc3)C[C@@H]21 ZINC001217476126 877206406 /nfs/dbraw/zinc/20/64/06/877206406.db2.gz VNORNFZFKOVBBW-JKSUJKDBSA-N 1 2 301.390 1.459 20 30 DDEDLO CCCC[C@H](C)C(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001217794460 877322615 /nfs/dbraw/zinc/32/26/15/877322615.db2.gz IADIDLYLGFMUSU-YESZJQIVSA-N 1 2 322.449 1.374 20 30 DDEDLO CCCC[C@H](C)C(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001217794460 877322636 /nfs/dbraw/zinc/32/26/36/877322636.db2.gz IADIDLYLGFMUSU-YESZJQIVSA-N 1 2 322.449 1.374 20 30 DDEDLO C=C1CC[NH2+]C[C@@H]1Oc1[nH]c(=O)nc2cc(OC)c(OC)cc21 ZINC001218203085 877418035 /nfs/dbraw/zinc/41/80/35/877418035.db2.gz BKQZJMAXVINTKB-AWEZNQCLSA-N 1 2 317.345 1.650 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](CC)CC(F)(F)F)[C@H]2C1 ZINC001218975776 877846676 /nfs/dbraw/zinc/84/66/76/877846676.db2.gz FCESAOYQHXVFIN-RWMBFGLXSA-N 1 2 318.339 1.510 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](CC)CC(F)(F)F)[C@H]2C1 ZINC001218975776 877846685 /nfs/dbraw/zinc/84/66/85/877846685.db2.gz FCESAOYQHXVFIN-RWMBFGLXSA-N 1 2 318.339 1.510 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219201056 878006524 /nfs/dbraw/zinc/00/65/24/878006524.db2.gz OEJGXZGAJLZHTN-CVEARBPZSA-N 1 2 319.380 1.549 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219201056 878006543 /nfs/dbraw/zinc/00/65/43/878006543.db2.gz OEJGXZGAJLZHTN-CVEARBPZSA-N 1 2 319.380 1.549 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001354509365 878026943 /nfs/dbraw/zinc/02/69/43/878026943.db2.gz MHDMONISIQVSEL-YNEHKIRRSA-N 1 2 316.405 1.490 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001354509365 878026962 /nfs/dbraw/zinc/02/69/62/878026962.db2.gz MHDMONISIQVSEL-YNEHKIRRSA-N 1 2 316.405 1.490 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CC[C@@H]2CCCCO2)CC1 ZINC001300686072 878140438 /nfs/dbraw/zinc/14/04/38/878140438.db2.gz WZIGQLZMAAZWNQ-INIZCTEOSA-N 1 2 317.437 1.301 20 30 DDEDLO CC/C(C)=C/C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219576183 878353095 /nfs/dbraw/zinc/35/30/95/878353095.db2.gz JIUMDMJISYMLBC-QDWFBIQASA-N 1 2 312.413 1.556 20 30 DDEDLO CC/C(C)=C/C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219576183 878353115 /nfs/dbraw/zinc/35/31/15/878353115.db2.gz JIUMDMJISYMLBC-QDWFBIQASA-N 1 2 312.413 1.556 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001219715520 878475964 /nfs/dbraw/zinc/47/59/64/878475964.db2.gz BYMBTBSXRCTMPM-KGLIPLIRSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001219715520 878475968 /nfs/dbraw/zinc/47/59/68/878475968.db2.gz BYMBTBSXRCTMPM-KGLIPLIRSA-N 1 2 309.435 1.469 20 30 DDEDLO CO[C@H](C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(C)C ZINC001380020855 878587144 /nfs/dbraw/zinc/58/71/44/878587144.db2.gz VZDYHUFOMXYMFG-SJORKVTESA-N 1 2 315.417 1.920 20 30 DDEDLO CO[C@H](C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(C)C ZINC001380020855 878587158 /nfs/dbraw/zinc/58/71/58/878587158.db2.gz VZDYHUFOMXYMFG-SJORKVTESA-N 1 2 315.417 1.920 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@@H]1O ZINC001220145161 878736301 /nfs/dbraw/zinc/73/63/01/878736301.db2.gz UZMSABPYNYBXSL-SJORKVTESA-N 1 2 315.417 1.327 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@@H]1O ZINC001220145161 878736309 /nfs/dbraw/zinc/73/63/09/878736309.db2.gz UZMSABPYNYBXSL-SJORKVTESA-N 1 2 315.417 1.327 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC[C@@H]1CC ZINC001355919041 878777854 /nfs/dbraw/zinc/77/78/54/878777854.db2.gz GVSBTWCYTCNDLW-IHRRRGAJSA-N 1 2 305.426 1.580 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC[C@@H]1CC ZINC001355919041 878777872 /nfs/dbraw/zinc/77/78/72/878777872.db2.gz GVSBTWCYTCNDLW-IHRRRGAJSA-N 1 2 305.426 1.580 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220190633 878804123 /nfs/dbraw/zinc/80/41/23/878804123.db2.gz SPABWWUDTCUWBK-DFBGVHRSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220190633 878804133 /nfs/dbraw/zinc/80/41/33/878804133.db2.gz SPABWWUDTCUWBK-DFBGVHRSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@@H]1O ZINC001220201686 878814460 /nfs/dbraw/zinc/81/44/60/878814460.db2.gz XOCIAZRJZMSCGQ-MAZHCROVSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@@H]1O ZINC001220201686 878814467 /nfs/dbraw/zinc/81/44/67/878814467.db2.gz XOCIAZRJZMSCGQ-MAZHCROVSA-N 1 2 321.421 1.501 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3cnc(C)o3)C[C@@H]2O)CCC1 ZINC001220295120 878893402 /nfs/dbraw/zinc/89/34/02/878893402.db2.gz HKRWIPBGEQAODK-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cnc(C)o3)C[C@@H]2O)CCC1 ZINC001220295120 878893411 /nfs/dbraw/zinc/89/34/11/878893411.db2.gz HKRWIPBGEQAODK-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO CCCCCC[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001220589261 879118100 /nfs/dbraw/zinc/11/81/00/879118100.db2.gz ZCYMBPQWNBSFOS-ZACQAIPSSA-N 1 2 324.465 1.404 20 30 DDEDLO CCCCCC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001220589261 879118106 /nfs/dbraw/zinc/11/81/06/879118106.db2.gz ZCYMBPQWNBSFOS-ZACQAIPSSA-N 1 2 324.465 1.404 20 30 DDEDLO C=C(C)CCC(=O)N1CC(NC(=O)c2cccc3[nH+]ccn32)C1 ZINC001356777054 879334549 /nfs/dbraw/zinc/33/45/49/879334549.db2.gz MSYPCYOBDHABBZ-UHFFFAOYSA-N 1 2 312.373 1.631 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](CC)NC(=O)Cc1c[nH]c[nH+]1 ZINC001356921905 879591599 /nfs/dbraw/zinc/59/15/99/879591599.db2.gz WQNUMMZIUOUFMQ-BLLLJJGKSA-N 1 2 306.410 1.566 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C=C(CC)CC ZINC001276821023 879702275 /nfs/dbraw/zinc/70/22/75/879702275.db2.gz FAPRYSOUOQFCAN-HIFRSBDPSA-N 1 2 305.422 1.061 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C=C(CC)CC ZINC001276821023 879702289 /nfs/dbraw/zinc/70/22/89/879702289.db2.gz FAPRYSOUOQFCAN-HIFRSBDPSA-N 1 2 305.422 1.061 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001357033318 879728039 /nfs/dbraw/zinc/72/80/39/879728039.db2.gz PWJPBNVXMLATRA-OLZOCXBDSA-N 1 2 320.437 1.731 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@@H+](Cc3nc(C)cs3)C[C@H]21 ZINC001221443106 879807431 /nfs/dbraw/zinc/80/74/31/879807431.db2.gz LDFUQADVLHQGBB-ZIAGYGMSSA-N 1 2 321.446 1.687 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@H+](Cc3nc(C)cs3)C[C@H]21 ZINC001221443106 879807450 /nfs/dbraw/zinc/80/74/50/879807450.db2.gz LDFUQADVLHQGBB-ZIAGYGMSSA-N 1 2 321.446 1.687 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC)C[C@H]21 ZINC001221839124 880114601 /nfs/dbraw/zinc/11/46/01/880114601.db2.gz GCIGGFVSQJXNIA-ZIAGYGMSSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC)C[C@H]21 ZINC001221839124 880114608 /nfs/dbraw/zinc/11/46/08/880114608.db2.gz GCIGGFVSQJXNIA-ZIAGYGMSSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)OCCOC)[C@@H]2C1 ZINC001221847064 880117339 /nfs/dbraw/zinc/11/73/39/880117339.db2.gz YKXJITWSIGDAHG-MGPQQGTHSA-N 1 2 316.829 1.323 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)OCCOC)[C@@H]2C1 ZINC001221847064 880117346 /nfs/dbraw/zinc/11/73/46/880117346.db2.gz YKXJITWSIGDAHG-MGPQQGTHSA-N 1 2 316.829 1.323 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H](C)N(C)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001380888731 880611195 /nfs/dbraw/zinc/61/11/95/880611195.db2.gz KWTWRUKZWHSOOQ-GHMZBOCLSA-N 1 2 313.361 1.299 20 30 DDEDLO CC(C)NC(=O)N1CC[C@H]2[C@@H]1CC[N@@H+]2Cc1[nH]ccc1C#N ZINC001276840565 880709392 /nfs/dbraw/zinc/70/93/92/880709392.db2.gz RZOMUGBAJJCSRK-GJZGRUSLSA-N 1 2 301.394 1.653 20 30 DDEDLO CC(C)NC(=O)N1CC[C@H]2[C@@H]1CC[N@H+]2Cc1[nH]ccc1C#N ZINC001276840565 880709403 /nfs/dbraw/zinc/70/94/03/880709403.db2.gz RZOMUGBAJJCSRK-GJZGRUSLSA-N 1 2 301.394 1.653 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H](CC)Oc1cccc(C)c1 ZINC001276938664 881183426 /nfs/dbraw/zinc/18/34/26/881183426.db2.gz AQSXHYRDDGZBEM-WBVHZDCISA-N 1 2 300.402 1.976 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H](CC)Oc1cccc(C)c1 ZINC001276938664 881183431 /nfs/dbraw/zinc/18/34/31/881183431.db2.gz AQSXHYRDDGZBEM-WBVHZDCISA-N 1 2 300.402 1.976 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H](OC)c1ccccc1 ZINC001276956999 881273487 /nfs/dbraw/zinc/27/34/87/881273487.db2.gz QFUXKKVOHIFUSN-DLBZAZTESA-N 1 2 316.401 1.214 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H](OC)c1ccccc1 ZINC001276956999 881273507 /nfs/dbraw/zinc/27/35/07/881273507.db2.gz QFUXKKVOHIFUSN-DLBZAZTESA-N 1 2 316.401 1.214 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001228809742 883682050 /nfs/dbraw/zinc/68/20/50/883682050.db2.gz KXTLYUHLXJRUCD-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)COCC(F)F)[C@@H]1C ZINC001382342712 883863242 /nfs/dbraw/zinc/86/32/42/883863242.db2.gz QPAUMFCFLVDQMG-WDEREUQCSA-N 1 2 310.772 1.990 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)COCC(F)F)[C@@H]1C ZINC001382342712 883863259 /nfs/dbraw/zinc/86/32/59/883863259.db2.gz QPAUMFCFLVDQMG-WDEREUQCSA-N 1 2 310.772 1.990 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccoc1 ZINC001230758990 884841597 /nfs/dbraw/zinc/84/15/97/884841597.db2.gz MZNAGZZFCASQCF-TZMCWYRMSA-N 1 2 305.378 1.117 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccoc1 ZINC001230758990 884841610 /nfs/dbraw/zinc/84/16/10/884841610.db2.gz MZNAGZZFCASQCF-TZMCWYRMSA-N 1 2 305.378 1.117 20 30 DDEDLO C=CCCCC(=O)NCCC[N@@H+](CC(N)=O)Cc1cccnc1 ZINC001230829348 884933867 /nfs/dbraw/zinc/93/38/67/884933867.db2.gz GFSLUJQOYZOMAZ-UHFFFAOYSA-N 1 2 318.421 1.232 20 30 DDEDLO C=CCCCC(=O)NCCC[N@H+](CC(N)=O)Cc1cccnc1 ZINC001230829348 884933888 /nfs/dbraw/zinc/93/38/88/884933888.db2.gz GFSLUJQOYZOMAZ-UHFFFAOYSA-N 1 2 318.421 1.232 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C(\C)C1CC1 ZINC001230956824 885084323 /nfs/dbraw/zinc/08/43/23/885084323.db2.gz BWJLDFYWEWTMLK-BALAJYPESA-N 1 2 317.433 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C(\C)C1CC1 ZINC001230956824 885084337 /nfs/dbraw/zinc/08/43/37/885084337.db2.gz BWJLDFYWEWTMLK-BALAJYPESA-N 1 2 317.433 1.013 20 30 DDEDLO COC(=O)C[C@H](C#N)c1ccc(OC[C@@H]2C[N@H+](C)CCO2)cc1 ZINC001230997658 885116770 /nfs/dbraw/zinc/11/67/70/885116770.db2.gz CVGZPYATNTZQBU-ZBFHGGJFSA-N 1 2 318.373 1.566 20 30 DDEDLO COC(=O)C[C@H](C#N)c1ccc(OC[C@@H]2C[N@@H+](C)CCO2)cc1 ZINC001230997658 885116776 /nfs/dbraw/zinc/11/67/76/885116776.db2.gz CVGZPYATNTZQBU-ZBFHGGJFSA-N 1 2 318.373 1.566 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CCN1Cc1c[nH+]cn1C ZINC001231094845 885213374 /nfs/dbraw/zinc/21/33/74/885213374.db2.gz KBLXQVJJELKKNF-LSDHHAIUSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1CCCCCC1 ZINC001231114542 885248982 /nfs/dbraw/zinc/24/89/82/885248982.db2.gz OOYJXXKAEIDRIQ-INIZCTEOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1CCCCCC1 ZINC001231114542 885248998 /nfs/dbraw/zinc/24/89/98/885248998.db2.gz OOYJXXKAEIDRIQ-INIZCTEOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@H](C)CCC ZINC001231179929 885334372 /nfs/dbraw/zinc/33/43/72/885334372.db2.gz XVIMHCCWXFTKBN-HUUCEWRRSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@H](C)CCC ZINC001231179929 885334393 /nfs/dbraw/zinc/33/43/93/885334393.db2.gz XVIMHCCWXFTKBN-HUUCEWRRSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)s1 ZINC001231226358 885401472 /nfs/dbraw/zinc/40/14/72/885401472.db2.gz OOGUWYUVECKFPF-CYBMUJFWSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)s1 ZINC001231226358 885401476 /nfs/dbraw/zinc/40/14/76/885401476.db2.gz OOGUWYUVECKFPF-CYBMUJFWSA-N 1 2 309.435 1.687 20 30 DDEDLO C[C@@H]1C(=O)N(C)[C@H](C)[C@@H](C)[N@@H+]1CCOc1ccccc1C#N ZINC001363266568 885863097 /nfs/dbraw/zinc/86/30/97/885863097.db2.gz PIALGICEOVTDRP-MGPQQGTHSA-N 1 2 301.390 1.877 20 30 DDEDLO C[C@@H]1C(=O)N(C)[C@H](C)[C@@H](C)[N@H+]1CCOc1ccccc1C#N ZINC001363266568 885863110 /nfs/dbraw/zinc/86/31/10/885863110.db2.gz PIALGICEOVTDRP-MGPQQGTHSA-N 1 2 301.390 1.877 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)C2(COC)CCCCC2)C1 ZINC001277602627 886037659 /nfs/dbraw/zinc/03/76/59/886037659.db2.gz BHNXVNOUTPYDGF-UHFFFAOYSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)C[C@H](C)c2cnn(C)c2)C1 ZINC001277630637 886165889 /nfs/dbraw/zinc/16/58/89/886165889.db2.gz AAYOVZUITHBHMG-AWEZNQCLSA-N 1 2 320.437 1.259 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCCN(c3ccc(C#N)cn3)CC2)s1 ZINC001232245217 886181163 /nfs/dbraw/zinc/18/11/63/886181163.db2.gz OHLUDPRKZGGHMY-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO Cc1nnc(C[N@H+]2CCCN(c3ccc(C#N)cn3)CC2)s1 ZINC001232245217 886181168 /nfs/dbraw/zinc/18/11/68/886181168.db2.gz OHLUDPRKZGGHMY-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO N#Cc1ccnc(C[N@H+]2C[C@@H]3CCN(C(=O)c4ccco4)[C@@H]3C2)c1 ZINC001232477316 886419218 /nfs/dbraw/zinc/41/92/18/886419218.db2.gz BDMZFIBDTVHUGZ-GOEBONIOSA-N 1 2 322.368 1.893 20 30 DDEDLO N#Cc1ccnc(C[N@@H+]2C[C@@H]3CCN(C(=O)c4ccco4)[C@@H]3C2)c1 ZINC001232477316 886419228 /nfs/dbraw/zinc/41/92/28/886419228.db2.gz BDMZFIBDTVHUGZ-GOEBONIOSA-N 1 2 322.368 1.893 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2cc(F)ccc2N)CC1 ZINC001232511414 886445113 /nfs/dbraw/zinc/44/51/13/886445113.db2.gz GBIPBSZLPARJGT-UHFFFAOYSA-N 1 2 312.352 1.392 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(Cc3cc(F)ccc3C#N)C2)C[C@H](C)O1 ZINC001232892280 886656290 /nfs/dbraw/zinc/65/62/90/886656290.db2.gz GHJSCKJKMVXVEP-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(Cc3cc(F)ccc3C#N)C2)C[C@H](C)O1 ZINC001232892280 886656296 /nfs/dbraw/zinc/65/62/96/886656296.db2.gz GHJSCKJKMVXVEP-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)n1cccc1 ZINC001233742890 887270290 /nfs/dbraw/zinc/27/02/90/887270290.db2.gz RYWGHEZKYZAEMN-HZPDHXFCSA-N 1 2 303.406 1.232 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)n1cccc1 ZINC001233742890 887270300 /nfs/dbraw/zinc/27/03/00/887270300.db2.gz RYWGHEZKYZAEMN-HZPDHXFCSA-N 1 2 303.406 1.232 20 30 DDEDLO CCOC(=O)C[NH+]1CCC2(C[C@H]2C(=O)N2CC(CC#N)C2)CC1 ZINC001277816275 887434254 /nfs/dbraw/zinc/43/42/54/887434254.db2.gz LTLTVLPWPFIUDB-AWEZNQCLSA-N 1 2 319.405 1.024 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@H](C)CN(C)C(=O)C#CC(C)(C)C)o1 ZINC001277820003 887485166 /nfs/dbraw/zinc/48/51/66/887485166.db2.gz UYZNVJMOEUZWKV-NEPJUHHUSA-N 1 2 306.410 1.925 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@H](C)C(C)C ZINC001233966903 887509559 /nfs/dbraw/zinc/50/95/59/887509559.db2.gz RTEUHKBTWZNSCD-CVEARBPZSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@H](C)C(C)C ZINC001233966903 887509565 /nfs/dbraw/zinc/50/95/65/887509565.db2.gz RTEUHKBTWZNSCD-CVEARBPZSA-N 1 2 321.465 1.483 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]ccc1C ZINC001233990905 887530473 /nfs/dbraw/zinc/53/04/73/887530473.db2.gz NKMOTUNHTIIQBZ-KBPBESRZSA-N 1 2 318.421 1.160 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]ccc1C ZINC001233990905 887530484 /nfs/dbraw/zinc/53/04/84/887530484.db2.gz NKMOTUNHTIIQBZ-KBPBESRZSA-N 1 2 318.421 1.160 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccccc1F ZINC001234214969 887751197 /nfs/dbraw/zinc/75/11/97/887751197.db2.gz GMAJRODGKHBMCY-ZBFHGGJFSA-N 1 2 318.392 1.897 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccccc1F ZINC001234214969 887751209 /nfs/dbraw/zinc/75/12/09/887751209.db2.gz GMAJRODGKHBMCY-ZBFHGGJFSA-N 1 2 318.392 1.897 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)CCCOC ZINC001234397425 887935290 /nfs/dbraw/zinc/93/52/90/887935290.db2.gz CMKAQINWHFDVRJ-UHFFFAOYSA-N 1 2 307.232 1.760 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)CCCOC ZINC001234397425 887935299 /nfs/dbraw/zinc/93/52/99/887935299.db2.gz CMKAQINWHFDVRJ-UHFFFAOYSA-N 1 2 307.232 1.760 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1(C)CC1 ZINC001234454006 887984364 /nfs/dbraw/zinc/98/43/64/887984364.db2.gz FXNNKFIFWREUAB-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1(C)CC1 ZINC001234454006 887984372 /nfs/dbraw/zinc/98/43/72/887984372.db2.gz FXNNKFIFWREUAB-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C1(COC)CCCC1 ZINC001235090142 888406938 /nfs/dbraw/zinc/40/69/38/888406938.db2.gz LTLYKAIWTSKUSM-MRXNPFEDSA-N 1 2 322.449 1.376 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C1(COC)CCCC1 ZINC001235090142 888406947 /nfs/dbraw/zinc/40/69/47/888406947.db2.gz LTLYKAIWTSKUSM-MRXNPFEDSA-N 1 2 322.449 1.376 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1ccnn1C ZINC001235288818 888532144 /nfs/dbraw/zinc/53/21/44/888532144.db2.gz GSHKPMKAOSEYQU-UHFFFAOYSA-N 1 2 315.215 1.380 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1ccnn1C ZINC001235288818 888532160 /nfs/dbraw/zinc/53/21/60/888532160.db2.gz GSHKPMKAOSEYQU-UHFFFAOYSA-N 1 2 315.215 1.380 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)COCC1CCCC1 ZINC001235440925 888646185 /nfs/dbraw/zinc/64/61/85/888646185.db2.gz ZYMVYCQPEHAZCN-KRWDZBQOSA-N 1 2 322.449 1.376 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)COCC1CCCC1 ZINC001235440925 888646197 /nfs/dbraw/zinc/64/61/97/888646197.db2.gz ZYMVYCQPEHAZCN-KRWDZBQOSA-N 1 2 322.449 1.376 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1ncc(Cl)n1C ZINC001235698952 888896071 /nfs/dbraw/zinc/89/60/71/888896071.db2.gz QIZWOVNWXPHIHQ-UHFFFAOYSA-N 1 2 314.817 1.214 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1ncc(Cl)n1C ZINC001235698952 888896084 /nfs/dbraw/zinc/89/60/84/888896084.db2.gz QIZWOVNWXPHIHQ-UHFFFAOYSA-N 1 2 314.817 1.214 20 30 DDEDLO N#Cc1c(N)sc2c1CC[N@H+]([C@H]1CCN(C3CCC3)C1=O)C2 ZINC001364837840 889515700 /nfs/dbraw/zinc/51/57/00/889515700.db2.gz WOBMSXWMPTXNER-ZDUSSCGKSA-N 1 2 316.430 1.713 20 30 DDEDLO N#Cc1c(N)sc2c1CC[N@@H+]([C@H]1CCN(C3CCC3)C1=O)C2 ZINC001364837840 889515712 /nfs/dbraw/zinc/51/57/12/889515712.db2.gz WOBMSXWMPTXNER-ZDUSSCGKSA-N 1 2 316.430 1.713 20 30 DDEDLO N#Cc1cc(CN2CCc3onc(Cn4cc[nH+]c4)c3C2)ccn1 ZINC001237465382 889647934 /nfs/dbraw/zinc/64/79/34/889647934.db2.gz XWVVWHHEBXQSGE-UHFFFAOYSA-N 1 2 320.356 1.744 20 30 DDEDLO CCOC[C@@H]1C[N@H+](Cc2ccnc(C#N)c2)Cc2nnn(C)c21 ZINC001237466278 889652105 /nfs/dbraw/zinc/65/21/05/889652105.db2.gz XNMMBZXKIQDKDS-ZDUSSCGKSA-N 1 2 312.377 1.218 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](Cc2ccnc(C#N)c2)Cc2nnn(C)c21 ZINC001237466278 889652111 /nfs/dbraw/zinc/65/21/11/889652111.db2.gz XNMMBZXKIQDKDS-ZDUSSCGKSA-N 1 2 312.377 1.218 20 30 DDEDLO C#CCN(C(=O)[C@H]1C[C@@H]1C)C1CC[NH+](Cc2ncccn2)CC1 ZINC001278115194 889706217 /nfs/dbraw/zinc/70/62/17/889706217.db2.gz IXWLDQCAJPBLQB-HOCLYGCPSA-N 1 2 312.417 1.559 20 30 DDEDLO C#CCN(C(=O)CC(C)C)C1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001278115421 889708443 /nfs/dbraw/zinc/70/84/43/889708443.db2.gz MEMQUHBQWFJZJP-UHFFFAOYSA-N 1 2 317.437 1.287 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+]Cc2nc(CCC)no2)[C@H]1C ZINC001278234739 890222397 /nfs/dbraw/zinc/22/23/97/890222397.db2.gz SYDQGOLYSMZXPD-OLZOCXBDSA-N 1 2 322.409 1.304 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC3(C[C@@H]3C(=O)NCC(F)F)C2)c(F)c1 ZINC001278305074 890779645 /nfs/dbraw/zinc/77/96/45/890779645.db2.gz FKVPSZFVIJMWMX-GFCCVEGCSA-N 1 2 323.318 1.901 20 30 DDEDLO Cc1cc(CNS(=O)(=O)c2ccc(C#N)cn2)cc(C)[nH+]1 ZINC001365715635 891385605 /nfs/dbraw/zinc/38/56/05/891385605.db2.gz ARFOSDYIEYJWBZ-UHFFFAOYSA-N 1 2 302.359 1.444 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365972072 892086306 /nfs/dbraw/zinc/08/63/06/892086306.db2.gz LYQKIQWCFNJBDX-FZMZJTMJSA-N 1 2 305.353 1.423 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365972072 892086328 /nfs/dbraw/zinc/08/63/28/892086328.db2.gz LYQKIQWCFNJBDX-FZMZJTMJSA-N 1 2 305.353 1.423 20 30 DDEDLO C=CCCC(=O)N1CCN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC001292389706 913647702 /nfs/dbraw/zinc/64/77/02/913647702.db2.gz LYGCVXXSQZTMLP-CYBMUJFWSA-N 1 2 304.394 1.225 20 30 DDEDLO C=CCCC(=O)N1CCN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC001292389706 913647712 /nfs/dbraw/zinc/64/77/12/913647712.db2.gz LYGCVXXSQZTMLP-CYBMUJFWSA-N 1 2 304.394 1.225 20 30 DDEDLO CC(C)Cc1nc(C[NH2+][C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)no1 ZINC001366110158 892490335 /nfs/dbraw/zinc/49/03/35/892490335.db2.gz OZDZOOULVBNZDP-IJLUTSLNSA-N 1 2 305.382 1.165 20 30 DDEDLO CCCCc1nc(C[NH2+][C@H]2C[C@@H](NC(=O)[C@H](C)C#N)C2)no1 ZINC001366138940 892560547 /nfs/dbraw/zinc/56/05/47/892560547.db2.gz APZPKVXVZUYCQX-UTUOFQBUSA-N 1 2 305.382 1.309 20 30 DDEDLO N#Cc1ccn2ncc(C[N@H+]3CC[C@](O)(C(F)(F)F)C3)c2c1 ZINC001249081980 893809899 /nfs/dbraw/zinc/80/98/99/893809899.db2.gz CPAARWGRZJXJEV-CYBMUJFWSA-N 1 2 310.279 1.705 20 30 DDEDLO N#Cc1ccn2ncc(C[N@@H+]3CC[C@](O)(C(F)(F)F)C3)c2c1 ZINC001249081980 893809918 /nfs/dbraw/zinc/80/99/18/893809918.db2.gz CPAARWGRZJXJEV-CYBMUJFWSA-N 1 2 310.279 1.705 20 30 DDEDLO C#CC[NH+]1CCN(Cc2c(C)nnn2-c2ccc(F)cc2)CC1 ZINC001249450578 893994292 /nfs/dbraw/zinc/99/42/92/893994292.db2.gz XYIUXZAVLFQXFP-UHFFFAOYSA-N 1 2 313.380 1.466 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](CC(=O)NCCC)[C@@H]2C)C1 ZINC001278522281 894069003 /nfs/dbraw/zinc/06/90/03/894069003.db2.gz WAEJAIIJICTMSM-HUUCEWRRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](CC(=O)NCCC)[C@@H]2C)C1 ZINC001278522281 894069014 /nfs/dbraw/zinc/06/90/14/894069014.db2.gz WAEJAIIJICTMSM-HUUCEWRRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cccc(-n2cncn2)n1 ZINC001366575352 894114727 /nfs/dbraw/zinc/11/47/27/894114727.db2.gz GPRSYEYLWHUKIN-UHFFFAOYSA-N 1 2 320.784 1.076 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cccc(-n2cncn2)n1 ZINC001366575352 894114729 /nfs/dbraw/zinc/11/47/29/894114729.db2.gz GPRSYEYLWHUKIN-UHFFFAOYSA-N 1 2 320.784 1.076 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+](CC(=O)OCC)Cc1ccc(OC)cc1 ZINC001252554821 895275227 /nfs/dbraw/zinc/27/52/27/895275227.db2.gz MEFICQCDZQKALE-QGZVFWFLSA-N 1 2 307.390 1.997 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+](CC(=O)OCC)Cc1ccc(OC)cc1 ZINC001252554821 895275234 /nfs/dbraw/zinc/27/52/34/895275234.db2.gz MEFICQCDZQKALE-QGZVFWFLSA-N 1 2 307.390 1.997 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1CCc2c(ncn2C(C)C)[C@H]1CNC(C)=O ZINC001252552869 895275279 /nfs/dbraw/zinc/27/52/79/895275279.db2.gz NEYHOUHDJDFDCW-WBVHZDCISA-N 1 2 320.437 1.436 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1CCc2c(ncn2C(C)C)[C@H]1CNC(C)=O ZINC001252552869 895275287 /nfs/dbraw/zinc/27/52/87/895275287.db2.gz NEYHOUHDJDFDCW-WBVHZDCISA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001292747664 913974670 /nfs/dbraw/zinc/97/46/70/913974670.db2.gz IVRGOGKKHTZBIH-LBPRGKRZSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001292747664 913974682 /nfs/dbraw/zinc/97/46/82/913974682.db2.gz IVRGOGKKHTZBIH-LBPRGKRZSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)c2ccnn2C(CC)CC)C1 ZINC001278872754 897026368 /nfs/dbraw/zinc/02/63/68/897026368.db2.gz QZMMMBBOENGFJQ-UHFFFAOYSA-N 1 2 320.437 1.597 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CC([NH+]3CCCCC3)C2)c1 ZINC001259122587 898480515 /nfs/dbraw/zinc/48/05/15/898480515.db2.gz UEHWKRQLGLGEPW-UHFFFAOYSA-N 1 2 305.403 1.417 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@]1(C)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001299563331 898527897 /nfs/dbraw/zinc/52/78/97/898527897.db2.gz DKTLYXQPNAJGSW-WMLDXEAASA-N 1 2 318.421 1.593 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)Cc1ccc(C#N)cc1 ZINC001260041973 899024794 /nfs/dbraw/zinc/02/47/94/899024794.db2.gz RYLRBCKMOZZLEF-GASCZTMLSA-N 1 2 305.403 1.166 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)Cc1ccc(C#N)cc1 ZINC001260041973 899024802 /nfs/dbraw/zinc/02/48/02/899024802.db2.gz RYLRBCKMOZZLEF-GASCZTMLSA-N 1 2 305.403 1.166 20 30 DDEDLO C#CCSCC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC001262112173 899950501 /nfs/dbraw/zinc/95/05/01/899950501.db2.gz AJYQVJKWPUIMQT-UHFFFAOYSA-N 1 2 318.442 1.501 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)C[C@H]2[C@@H](C(=O)OC)C2(F)F)n1 ZINC001262111084 899950797 /nfs/dbraw/zinc/95/07/97/899950797.db2.gz WLURKVDXADVJLK-STQMWFEESA-N 1 2 311.332 1.393 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)C[C@H]2[C@@H](C(=O)OC)C2(F)F)n1 ZINC001262111084 899950801 /nfs/dbraw/zinc/95/08/01/899950801.db2.gz WLURKVDXADVJLK-STQMWFEESA-N 1 2 311.332 1.393 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@](C)(OC)c1ccccc1 ZINC001262174613 900000280 /nfs/dbraw/zinc/00/02/80/900000280.db2.gz BKIHDQRDLYBHQU-WMZOPIPTSA-N 1 2 300.402 1.762 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@](C)(OC)c1ccccc1 ZINC001262174613 900000291 /nfs/dbraw/zinc/00/02/91/900000291.db2.gz BKIHDQRDLYBHQU-WMZOPIPTSA-N 1 2 300.402 1.762 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCCC(C)C ZINC001263812442 900727317 /nfs/dbraw/zinc/72/73/17/900727317.db2.gz NJJRHOVPSZLHTM-JKSUJKDBSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCCC(C)C ZINC001263812442 900727328 /nfs/dbraw/zinc/72/73/28/900727328.db2.gz NJJRHOVPSZLHTM-JKSUJKDBSA-N 1 2 321.465 1.483 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C(F)(F)F ZINC001263818677 900732945 /nfs/dbraw/zinc/73/29/45/900732945.db2.gz CRYYHLYUAKPHHQ-LBPRGKRZSA-N 1 2 320.355 1.757 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C(F)(F)F ZINC001263818677 900732950 /nfs/dbraw/zinc/73/29/50/900732950.db2.gz CRYYHLYUAKPHHQ-LBPRGKRZSA-N 1 2 320.355 1.757 20 30 DDEDLO CC#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@@H](C)COC)C2 ZINC001264188274 901006422 /nfs/dbraw/zinc/00/64/22/901006422.db2.gz BSAZTASXFMIHPH-LBPRGKRZSA-N 1 2 321.446 1.423 20 30 DDEDLO N#Cc1ccc(F)cc1C[NH2+][C@H](CO)CNC(=O)c1ccoc1 ZINC001369304990 901023695 /nfs/dbraw/zinc/02/36/95/901023695.db2.gz FDFRPZLIBXBLIM-HNNXBMFYSA-N 1 2 317.320 1.171 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@H]2CCNC2=O)CCC1 ZINC001264371171 901052568 /nfs/dbraw/zinc/05/25/68/901052568.db2.gz RITCRVRLMJPXOD-KBPBESRZSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@H]2CCNC2=O)CCC1 ZINC001264371171 901052577 /nfs/dbraw/zinc/05/25/77/901052577.db2.gz RITCRVRLMJPXOD-KBPBESRZSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](CCNC(=O)CCS(C)(=O)=O)C1 ZINC001391293671 901412950 /nfs/dbraw/zinc/41/29/50/901412950.db2.gz AYWDCUIFGGZWSK-GFCCVEGCSA-N 1 2 322.858 1.002 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](CCNC(=O)CCS(C)(=O)=O)C1 ZINC001391293671 901412957 /nfs/dbraw/zinc/41/29/57/901412957.db2.gz AYWDCUIFGGZWSK-GFCCVEGCSA-N 1 2 322.858 1.002 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(OC)no2)C1 ZINC001265234830 901755678 /nfs/dbraw/zinc/75/56/78/901755678.db2.gz BXZSJGHPMXJUNU-ZDUSSCGKSA-N 1 2 323.393 1.357 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@H+](Cc2cc(OC)no2)C1 ZINC001265234830 901755690 /nfs/dbraw/zinc/75/56/90/901755690.db2.gz BXZSJGHPMXJUNU-ZDUSSCGKSA-N 1 2 323.393 1.357 20 30 DDEDLO C#CCCCC(=O)NCCN1CC[NH+](Cc2cnc(C)o2)CC1 ZINC001265258743 901781694 /nfs/dbraw/zinc/78/16/94/901781694.db2.gz PCWOJJFXDKNJLV-UHFFFAOYSA-N 1 2 318.421 1.020 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC2CC2)C1 ZINC001265301093 901849533 /nfs/dbraw/zinc/84/95/33/901849533.db2.gz CZAZAPVMQAHLGS-WMLDXEAASA-N 1 2 307.438 1.305 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001265301093 901849537 /nfs/dbraw/zinc/84/95/37/901849537.db2.gz CZAZAPVMQAHLGS-WMLDXEAASA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001265301788 901853030 /nfs/dbraw/zinc/85/30/30/901853030.db2.gz RNRHEWYBHNAHOA-CQSZACIVSA-N 1 2 304.394 1.532 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001265301788 901853038 /nfs/dbraw/zinc/85/30/38/901853038.db2.gz RNRHEWYBHNAHOA-CQSZACIVSA-N 1 2 304.394 1.532 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]([NH2+]Cc1cnsn1)C1CC1 ZINC001265372906 901937022 /nfs/dbraw/zinc/93/70/22/901937022.db2.gz SBLJFZVVHWSCER-RDBSUJKOSA-N 1 2 322.434 1.114 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COC1CCCC1 ZINC001265401678 901975108 /nfs/dbraw/zinc/97/51/08/901975108.db2.gz AYTCGFGUPURGSX-IYBDPMFKSA-N 1 2 320.433 1.271 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COC1CCCC1 ZINC001265401678 901975124 /nfs/dbraw/zinc/97/51/24/901975124.db2.gz AYTCGFGUPURGSX-IYBDPMFKSA-N 1 2 320.433 1.271 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)CNC(=O)C(C)(C)C)C1 ZINC001391634068 902196205 /nfs/dbraw/zinc/19/62/05/902196205.db2.gz RSDGDMPRLNHERS-LBPRGKRZSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)CNC(=O)C(C)(C)C)C1 ZINC001391634068 902196216 /nfs/dbraw/zinc/19/62/16/902196216.db2.gz RSDGDMPRLNHERS-LBPRGKRZSA-N 1 2 315.845 1.434 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)[NH2+]Cc2nnc(C3CC3)o2)cc1 ZINC001265806422 902424033 /nfs/dbraw/zinc/42/40/33/902424033.db2.gz DJKKZSNRVOBDDB-LBPRGKRZSA-N 1 2 324.384 1.836 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001266211520 903117857 /nfs/dbraw/zinc/11/78/57/903117857.db2.gz FGFNRQZZWGBWTO-HNNXBMFYSA-N 1 2 304.390 1.740 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001266211520 903117858 /nfs/dbraw/zinc/11/78/58/903117858.db2.gz FGFNRQZZWGBWTO-HNNXBMFYSA-N 1 2 304.390 1.740 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2[C@H](CC)C(N)=O)CCC1 ZINC001316601132 903500115 /nfs/dbraw/zinc/50/01/15/903500115.db2.gz BDDFXYCTJHIGBC-ZIAGYGMSSA-N 1 2 307.438 1.529 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@H](CC)C(N)=O)CCC1 ZINC001316601132 903500130 /nfs/dbraw/zinc/50/01/30/903500130.db2.gz BDDFXYCTJHIGBC-ZIAGYGMSSA-N 1 2 307.438 1.529 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncnc1C ZINC001280396760 903630555 /nfs/dbraw/zinc/63/05/55/903630555.db2.gz KURVSAZGVMWKHN-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncnc1C ZINC001280396760 903630565 /nfs/dbraw/zinc/63/05/65/903630565.db2.gz KURVSAZGVMWKHN-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)[C@@H]1CCOC1 ZINC001392260956 903683139 /nfs/dbraw/zinc/68/31/39/903683139.db2.gz WYQVMBBNYPBOGO-MRXNPFEDSA-N 1 2 301.390 1.485 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)[C@@H]1CCOC1 ZINC001392260956 903683154 /nfs/dbraw/zinc/68/31/54/903683154.db2.gz WYQVMBBNYPBOGO-MRXNPFEDSA-N 1 2 301.390 1.485 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)/C(C)=C\CC)O2 ZINC001280917704 904187457 /nfs/dbraw/zinc/18/74/57/904187457.db2.gz CRVSQOFPTGDZJS-PJVYJYFWSA-N 1 2 322.449 1.895 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)CCCn1cccn1)O2 ZINC001280937418 904216161 /nfs/dbraw/zinc/21/61/61/904216161.db2.gz VKVCZVSLZUXSNW-HNNXBMFYSA-N 1 2 318.421 1.199 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1COC2(C[NH+]([C@H](C)COC)C2)C1 ZINC001280944963 904223809 /nfs/dbraw/zinc/22/38/09/904223809.db2.gz ONXZJMPSJHSSTP-ZBFHGGJFSA-N 1 2 322.449 1.749 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H](OCC)C(C)C)CO2 ZINC001280945992 904227228 /nfs/dbraw/zinc/22/72/28/904227228.db2.gz XCVHQXDMLLCZTO-JKSUJKDBSA-N 1 2 322.449 1.420 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](Cc2cnn(C)n2)[C@H](C)C1 ZINC001281660428 905135791 /nfs/dbraw/zinc/13/57/91/905135791.db2.gz XHEJDYQCVLBKBO-CABCVRRESA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnn(C)n2)[C@H](C)C1 ZINC001281660428 905135799 /nfs/dbraw/zinc/13/57/99/905135799.db2.gz XHEJDYQCVLBKBO-CABCVRRESA-N 1 2 317.437 1.478 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H](C)OC ZINC001281777032 905212542 /nfs/dbraw/zinc/21/25/42/905212542.db2.gz RPDIDFXJOMQJSE-RHSMWYFYSA-N 1 2 300.402 1.760 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H](C)OC ZINC001281777032 905212559 /nfs/dbraw/zinc/21/25/59/905212559.db2.gz RPDIDFXJOMQJSE-RHSMWYFYSA-N 1 2 300.402 1.760 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)C[C@@H](C)c2ccco2)C1 ZINC001282539167 905861627 /nfs/dbraw/zinc/86/16/27/905861627.db2.gz FLFYTRKBDAKKTK-CQSZACIVSA-N 1 2 306.406 1.902 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@@H](C)Cc2ccco2)C1 ZINC001282540301 905863601 /nfs/dbraw/zinc/86/36/01/905863601.db2.gz VADQFQMCHUSWQL-AWEZNQCLSA-N 1 2 304.390 1.035 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C[C@@H](C)C2CC2)C1 ZINC001282739978 906004898 /nfs/dbraw/zinc/00/48/98/906004898.db2.gz MRLHKKPYFNCUIT-OLZOCXBDSA-N 1 2 307.438 1.161 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001283288097 907057591 /nfs/dbraw/zinc/05/75/91/907057591.db2.gz TULVRLWFQCENJR-MGPQQGTHSA-N 1 2 318.421 1.738 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001283288097 907057605 /nfs/dbraw/zinc/05/76/05/907057605.db2.gz TULVRLWFQCENJR-MGPQQGTHSA-N 1 2 318.421 1.738 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC001283333199 907151263 /nfs/dbraw/zinc/15/12/63/907151263.db2.gz NGSYNSMUBPRKLH-ZIAGYGMSSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001283473214 907409771 /nfs/dbraw/zinc/40/97/71/907409771.db2.gz CPXKZMRVFOWUIG-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nnc(C[N@@H+](C)CCCN(C)C(=O)c2c[nH]c(C#N)c2)o1 ZINC001393614863 907491844 /nfs/dbraw/zinc/49/18/44/907491844.db2.gz QQWMQHDZANGEFC-UHFFFAOYSA-N 1 2 316.365 1.172 20 30 DDEDLO Cc1nnc(C[N@H+](C)CCCN(C)C(=O)c2c[nH]c(C#N)c2)o1 ZINC001393614863 907491852 /nfs/dbraw/zinc/49/18/52/907491852.db2.gz QQWMQHDZANGEFC-UHFFFAOYSA-N 1 2 316.365 1.172 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@H](C)CN(C)C(=O)CSCC#N)o1 ZINC001283571695 907620881 /nfs/dbraw/zinc/62/08/81/907620881.db2.gz IUPGCWSVXDSCIA-NXEZZACHSA-N 1 2 311.411 1.132 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cc[nH+]c1 ZINC001284165132 908581009 /nfs/dbraw/zinc/58/10/09/908581009.db2.gz BNWRTBYYTQYLFF-WVZRYYJFSA-N 1 2 316.405 1.058 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)[C@H](C)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001394054230 908651854 /nfs/dbraw/zinc/65/18/54/908651854.db2.gz MDLZVJIRESFPDD-PWSUYJOCSA-N 1 2 315.377 1.994 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)[C@H](C)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001394054230 908651860 /nfs/dbraw/zinc/65/18/60/908651860.db2.gz MDLZVJIRESFPDD-PWSUYJOCSA-N 1 2 315.377 1.994 20 30 DDEDLO C=CCCC1(C(=O)N[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001284316553 908819039 /nfs/dbraw/zinc/81/90/39/908819039.db2.gz LVDRGVLOSWLKIO-GFCCVEGCSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(C)C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001284583771 909214561 /nfs/dbraw/zinc/21/45/61/909214561.db2.gz FHCWBKONTWLMEF-UHFFFAOYSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284909816 909711303 /nfs/dbraw/zinc/71/13/03/909711303.db2.gz HOBOUXCIUSIRDK-LLVKDONJSA-N 1 2 304.394 1.128 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284909816 909711323 /nfs/dbraw/zinc/71/13/23/909711323.db2.gz HOBOUXCIUSIRDK-LLVKDONJSA-N 1 2 304.394 1.128 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H](C)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001285693132 911047744 /nfs/dbraw/zinc/04/77/44/911047744.db2.gz NZQVEQIOWYCMET-OLZOCXBDSA-N 1 2 320.437 1.764 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H](C)CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001285693132 911047760 /nfs/dbraw/zinc/04/77/60/911047760.db2.gz NZQVEQIOWYCMET-OLZOCXBDSA-N 1 2 320.437 1.764 20 30 DDEDLO CCCC[C@H](CNC(=O)Cc1[nH]cc[nH+]1)NC(=O)C#CC1CC1 ZINC001286075467 911646683 /nfs/dbraw/zinc/64/66/83/911646683.db2.gz JKSXVKRYHYUGEA-CQSZACIVSA-N 1 2 316.405 1.157 20 30 DDEDLO CCCN(CCNC(=O)[C@H](C)C#N)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001374169621 912063229 /nfs/dbraw/zinc/06/32/29/912063229.db2.gz VMGLQKLLTTZYIQ-CHWSQXEVSA-N 1 2 319.409 1.103 20 30 DDEDLO CCCN(CCNC(=O)[C@H](C)C#N)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001374169621 912063238 /nfs/dbraw/zinc/06/32/38/912063238.db2.gz VMGLQKLLTTZYIQ-CHWSQXEVSA-N 1 2 319.409 1.103 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C[NH2+]Cc1nc(C(C)(C)C)no1)C1CC1 ZINC001375219345 915297611 /nfs/dbraw/zinc/29/76/11/915297611.db2.gz SDOVLACDTHRDQK-ZYHUDNBSSA-N 1 2 319.409 1.511 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@@H+]1CCc1c(F)cccc1F ZINC001375294274 915496625 /nfs/dbraw/zinc/49/66/25/915496625.db2.gz JGOHCYNNPMONEE-VXGBXAGGSA-N 1 2 307.344 1.857 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@H+]1CCc1c(F)cccc1F ZINC001375294274 915496630 /nfs/dbraw/zinc/49/66/30/915496630.db2.gz JGOHCYNNPMONEE-VXGBXAGGSA-N 1 2 307.344 1.857 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001295038920 915526402 /nfs/dbraw/zinc/52/64/02/915526402.db2.gz OVTVKUUOFKDZTP-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001295122961 915593388 /nfs/dbraw/zinc/59/33/88/915593388.db2.gz UVKUVXIZJIXQFO-AAVRWANBSA-N 1 2 316.405 1.633 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001295122961 915593402 /nfs/dbraw/zinc/59/34/02/915593402.db2.gz UVKUVXIZJIXQFO-AAVRWANBSA-N 1 2 316.405 1.633 20 30 DDEDLO CC(C)c1nsc(C[NH2+]C2(CNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001375403554 915829624 /nfs/dbraw/zinc/82/96/24/915829624.db2.gz MXWJHWWXOKVUTP-JTQLQIEISA-N 1 2 307.423 1.560 20 30 DDEDLO Cc1nc(C[NH2+]C2(CNC(=O)c3cc(C#N)c[nH]3)CC2)oc1C ZINC001375405279 915835716 /nfs/dbraw/zinc/83/57/16/915835716.db2.gz KPJNZPLXDQDVRH-UHFFFAOYSA-N 1 2 313.361 1.543 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001295587426 915913816 /nfs/dbraw/zinc/91/38/16/915913816.db2.gz PYWKIXKFMXSDMO-BDJLRTHQSA-N 1 2 306.410 1.484 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CNC(=O)CCCn2cc[nH+]c2)C1 ZINC001295688970 915986493 /nfs/dbraw/zinc/98/64/93/915986493.db2.gz VYLFHIPDMFZNMX-HNNXBMFYSA-N 1 2 318.421 1.594 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001375992696 917430125 /nfs/dbraw/zinc/43/01/25/917430125.db2.gz PCCMYFXDJPTBTC-VXGBXAGGSA-N 1 2 324.799 1.829 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001375992696 917430132 /nfs/dbraw/zinc/43/01/32/917430132.db2.gz PCCMYFXDJPTBTC-VXGBXAGGSA-N 1 2 324.799 1.829 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+](C)CCCN(C)C(=O)[C@H](C)C#N)[nH]1 ZINC001376912933 919765750 /nfs/dbraw/zinc/76/57/50/919765750.db2.gz XRBSZAQJFPOEFM-VXGBXAGGSA-N 1 2 306.414 1.368 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+](C)CCCN(C)C(=O)[C@H](C)C#N)[nH]1 ZINC001376912933 919765769 /nfs/dbraw/zinc/76/57/69/919765769.db2.gz XRBSZAQJFPOEFM-VXGBXAGGSA-N 1 2 306.414 1.368 20 30 DDEDLO C[N@H+](CCNC(=O)C[C@H]1CCOC1)Cc1ccccc1C#N ZINC001377127614 920402932 /nfs/dbraw/zinc/40/29/32/920402932.db2.gz LBPMLZKHODNSCT-CQSZACIVSA-N 1 2 301.390 1.533 20 30 DDEDLO C[N@@H+](CCNC(=O)C[C@H]1CCOC1)Cc1ccccc1C#N ZINC001377127614 920402935 /nfs/dbraw/zinc/40/29/35/920402935.db2.gz LBPMLZKHODNSCT-CQSZACIVSA-N 1 2 301.390 1.533 20 30 DDEDLO C[N@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1ccccc1C#N ZINC001377139053 920442400 /nfs/dbraw/zinc/44/24/00/920442400.db2.gz BCQJBWDQTBZUHA-UHFFFAOYSA-N 1 2 307.357 1.620 20 30 DDEDLO C[N@@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1ccccc1C#N ZINC001377139053 920442405 /nfs/dbraw/zinc/44/24/05/920442405.db2.gz BCQJBWDQTBZUHA-UHFFFAOYSA-N 1 2 307.357 1.620 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)[C@]2(F)CCOC2)C1 ZINC001377987413 923603446 /nfs/dbraw/zinc/60/34/46/923603446.db2.gz XYFAEIBOSJMRNZ-KRWDZBQOSA-N 1 2 317.364 1.235 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCCC(=O)OC)Cc1ccccc1F ZINC000079394074 185168975 /nfs/dbraw/zinc/16/89/75/185168975.db2.gz FFAGKOJJPRUCLT-UHFFFAOYSA-N 1 2 308.353 1.493 20 30 DDEDLO C=CC[N@H+](CC(=O)NCCC(=O)OC)Cc1ccccc1F ZINC000079394074 185168976 /nfs/dbraw/zinc/16/89/76/185168976.db2.gz FFAGKOJJPRUCLT-UHFFFAOYSA-N 1 2 308.353 1.493 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C[C@H](O)COc1ccc(CC#N)cc1)C(C)C ZINC000614912412 362072097 /nfs/dbraw/zinc/07/20/97/362072097.db2.gz QYILQEQIQYUCQH-HOCLYGCPSA-N 1 2 320.389 1.280 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](Cc3cccc(F)c3)CC2)c[nH]1 ZINC000181350959 199284438 /nfs/dbraw/zinc/28/44/38/199284438.db2.gz YBQLHPGIIAFTHS-UHFFFAOYSA-N 1 2 312.348 1.983 20 30 DDEDLO CC(=O)N1CC[C@H](C(=O)NC[C@@H]2CCCn3cc(C)[nH+]c32)C1 ZINC000328846075 539299253 /nfs/dbraw/zinc/29/92/53/539299253.db2.gz VLAMCHHTNAJXKX-KBPBESRZSA-N 1 2 304.394 1.894 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H]([NH+]=C([O-])N1CCOCC1)C2 ZINC000329301740 539303017 /nfs/dbraw/zinc/30/30/17/539303017.db2.gz VYAAUEYFPKAHHY-LBPRGKRZSA-N 1 2 306.410 1.742 20 30 DDEDLO CCN1CC[NH+]([C@H]2CCN(C(=O)NCc3cscn3)C2)CC1 ZINC000329186292 539301765 /nfs/dbraw/zinc/30/17/65/539301765.db2.gz QLVSHYFDHYGPPZ-AWEZNQCLSA-N 1 2 323.466 1.269 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)COCC1CCCC1 ZINC000455224047 231687729 /nfs/dbraw/zinc/68/77/29/231687729.db2.gz QDTZWGXGODXNFN-UHFFFAOYSA-N 1 2 323.437 1.268 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@H]21 ZINC000329787107 529786251 /nfs/dbraw/zinc/78/62/51/529786251.db2.gz QXPQTGZPOGEBNB-ZIAGYGMSSA-N 1 2 304.325 1.258 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@H]21 ZINC000329787107 529786253 /nfs/dbraw/zinc/78/62/53/529786253.db2.gz QXPQTGZPOGEBNB-ZIAGYGMSSA-N 1 2 304.325 1.258 20 30 DDEDLO CNC(=O)C[NH+]1CCN(c2nc3ccccc3c(C)c2C#N)CC1 ZINC000296579286 529863480 /nfs/dbraw/zinc/86/34/80/529863480.db2.gz RAMFXNMFVDCKQF-UHFFFAOYSA-N 1 2 323.400 1.283 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000414109906 529868323 /nfs/dbraw/zinc/86/83/23/529868323.db2.gz NSTWGPPCOBCAGZ-ZDUSSCGKSA-N 1 2 303.362 1.156 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000414109906 529868324 /nfs/dbraw/zinc/86/83/24/529868324.db2.gz NSTWGPPCOBCAGZ-ZDUSSCGKSA-N 1 2 303.362 1.156 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C#N)c(O)c1 ZINC000331332804 529909650 /nfs/dbraw/zinc/90/96/50/529909650.db2.gz IOODRPXXYRMBEI-UHFFFAOYSA-N 1 2 317.389 1.447 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C#N)c(O)c1 ZINC000331332804 529909651 /nfs/dbraw/zinc/90/96/51/529909651.db2.gz IOODRPXXYRMBEI-UHFFFAOYSA-N 1 2 317.389 1.447 20 30 DDEDLO Cc1ccn2cc(CNC(=O)N3CC[C@@](F)(C#N)C3)[nH+]c2c1 ZINC000615540772 362328533 /nfs/dbraw/zinc/32/85/33/362328533.db2.gz YQSPHUWRNGJNAU-OAHLLOKOSA-N 1 2 301.325 1.790 20 30 DDEDLO CN(Cc1[nH+]ccn1CC(F)(F)F)S(=O)(=O)CCCC#N ZINC000348116716 530027932 /nfs/dbraw/zinc/02/79/32/530027932.db2.gz JEOQZQMUSWXHCW-UHFFFAOYSA-N 1 2 324.328 1.511 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000179714224 186209223 /nfs/dbraw/zinc/20/92/23/186209223.db2.gz RYEMSSWULXIKGG-SFHVURJKSA-N 1 2 316.401 1.426 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000179714224 186209225 /nfs/dbraw/zinc/20/92/25/186209225.db2.gz RYEMSSWULXIKGG-SFHVURJKSA-N 1 2 316.401 1.426 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000186045613 186235327 /nfs/dbraw/zinc/23/53/27/186235327.db2.gz BLHKUEZJYXZHTJ-GOEBONIOSA-N 1 2 301.390 1.381 20 30 DDEDLO C[C@@](C#N)(NC(=O)CN1CC[NH+](Cc2ccco2)CC1)C1CC1 ZINC000022849878 352180679 /nfs/dbraw/zinc/18/06/79/352180679.db2.gz CJLBRWANSQVGEX-KRWDZBQOSA-N 1 2 316.405 1.206 20 30 DDEDLO CCN(CC)C(=O)CN1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC000053432623 352655590 /nfs/dbraw/zinc/65/55/90/352655590.db2.gz VHJPDKZYZKCRMA-UHFFFAOYSA-N 1 2 314.433 1.544 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(Cc2cccc(C#N)c2)CC1 ZINC000053432623 352655593 /nfs/dbraw/zinc/65/55/93/352655593.db2.gz VHJPDKZYZKCRMA-UHFFFAOYSA-N 1 2 314.433 1.544 20 30 DDEDLO C=CC[N@H+](CCc1ccc2c(c1)CCO2)[C@@H]1CCS(=O)(=O)C1 ZINC000173360487 198206862 /nfs/dbraw/zinc/20/68/62/198206862.db2.gz MUZQOCTXPNHYNN-MRXNPFEDSA-N 1 2 321.442 1.839 20 30 DDEDLO C=CC[N@@H+](CCc1ccc2c(c1)CCO2)[C@@H]1CCS(=O)(=O)C1 ZINC000173360487 198206864 /nfs/dbraw/zinc/20/68/64/198206864.db2.gz MUZQOCTXPNHYNN-MRXNPFEDSA-N 1 2 321.442 1.839 20 30 DDEDLO C=CCCNC(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000617616996 363201274 /nfs/dbraw/zinc/20/12/74/363201274.db2.gz QTAZQKRBMCTPSZ-UHFFFAOYSA-N 1 2 304.390 1.754 20 30 DDEDLO C=CCCNC(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000617616996 363201279 /nfs/dbraw/zinc/20/12/79/363201279.db2.gz QTAZQKRBMCTPSZ-UHFFFAOYSA-N 1 2 304.390 1.754 20 30 DDEDLO COCC[N@H+](CCC#N)CCc1nc2ccccc2c(=O)[nH]1 ZINC000072690182 353209566 /nfs/dbraw/zinc/20/95/66/353209566.db2.gz ZQQPKZGLUMKWQN-UHFFFAOYSA-N 1 2 300.362 1.328 20 30 DDEDLO COCC[N@@H+](CCC#N)CCc1nc2ccccc2c(=O)[nH]1 ZINC000072690182 353209568 /nfs/dbraw/zinc/20/95/68/353209568.db2.gz ZQQPKZGLUMKWQN-UHFFFAOYSA-N 1 2 300.362 1.328 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)c1 ZINC000084868139 353729049 /nfs/dbraw/zinc/72/90/49/353729049.db2.gz VJDKHQWXNJLOBF-LBPRGKRZSA-N 1 2 320.286 1.805 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)c1 ZINC000084868139 353729051 /nfs/dbraw/zinc/72/90/51/353729051.db2.gz VJDKHQWXNJLOBF-LBPRGKRZSA-N 1 2 320.286 1.805 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@@H]([C@@H](C)O)C2)c(C#N)c1C ZINC000089928180 353783715 /nfs/dbraw/zinc/78/37/15/353783715.db2.gz MZEIDIFSPBRLPN-DGCLKSJQSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@@H]([C@@H](C)O)C2)c(C#N)c1C ZINC000089928180 353783718 /nfs/dbraw/zinc/78/37/18/353783718.db2.gz MZEIDIFSPBRLPN-DGCLKSJQSA-N 1 2 305.378 1.799 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000103427896 353929459 /nfs/dbraw/zinc/92/94/59/353929459.db2.gz BQOMKKUZQZCKAP-OAHLLOKOSA-N 1 2 303.362 1.160 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000103427896 353929464 /nfs/dbraw/zinc/92/94/64/353929464.db2.gz BQOMKKUZQZCKAP-OAHLLOKOSA-N 1 2 303.362 1.160 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000182609938 354273731 /nfs/dbraw/zinc/27/37/31/354273731.db2.gz BZCVOSSJGJRWKW-NSHDSACASA-N 1 2 301.325 1.970 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)Cc3cc[nH]n3)CC2)cc1 ZINC000266553881 354403402 /nfs/dbraw/zinc/40/34/02/354403402.db2.gz IQXBGIIMTHDMRV-UHFFFAOYSA-N 1 2 309.373 1.168 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)Cc3ccn[nH]3)CC2)cc1 ZINC000266553881 354403404 /nfs/dbraw/zinc/40/34/04/354403404.db2.gz IQXBGIIMTHDMRV-UHFFFAOYSA-N 1 2 309.373 1.168 20 30 DDEDLO CN1CC[N@H+](C)C[C@@H]1c1noc(C2(c3ccc(C#N)cc3)CC2)n1 ZINC000350048725 354596607 /nfs/dbraw/zinc/59/66/07/354596607.db2.gz QWBMATVOLGYBPD-OAHLLOKOSA-N 1 2 323.400 1.939 20 30 DDEDLO CN1CC[N@@H+](C)C[C@@H]1c1noc(C2(c3ccc(C#N)cc3)CC2)n1 ZINC000350048725 354596612 /nfs/dbraw/zinc/59/66/12/354596612.db2.gz QWBMATVOLGYBPD-OAHLLOKOSA-N 1 2 323.400 1.939 20 30 DDEDLO Cn1ncc(-c2nc([C@H]3C[N@@H+]4CCCC[C@H]4CO3)no2)c1C#N ZINC000350192330 354599047 /nfs/dbraw/zinc/59/90/47/354599047.db2.gz VXHJAHWLHNVGTG-GXFFZTMASA-N 1 2 314.349 1.268 20 30 DDEDLO Cn1ncc(-c2nc([C@H]3C[N@H+]4CCCC[C@H]4CO3)no2)c1C#N ZINC000350192330 354599050 /nfs/dbraw/zinc/59/90/50/354599050.db2.gz VXHJAHWLHNVGTG-GXFFZTMASA-N 1 2 314.349 1.268 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000579916268 354724607 /nfs/dbraw/zinc/72/46/07/354724607.db2.gz NFQSFZGBPUALIJ-KFWWJZLASA-N 1 2 318.421 1.973 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000579916268 354724613 /nfs/dbraw/zinc/72/46/13/354724613.db2.gz NFQSFZGBPUALIJ-KFWWJZLASA-N 1 2 318.421 1.973 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[NH+]2CCN(CCCF)CC2)cc1 ZINC000402253955 354669032 /nfs/dbraw/zinc/66/90/32/354669032.db2.gz QGIIXENZQFDQEO-INIZCTEOSA-N 1 2 321.396 1.275 20 30 DDEDLO Cc1ccnn1-c1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000584723245 354754369 /nfs/dbraw/zinc/75/43/69/354754369.db2.gz HKEQGVKHPFEDSU-KRWDZBQOSA-N 1 2 311.389 1.754 20 30 DDEDLO Cc1nc(C(F)(F)F)ccc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000589827883 355022587 /nfs/dbraw/zinc/02/25/87/355022587.db2.gz WHFBFWPMFYVOFS-ZDUSSCGKSA-N 1 2 314.311 1.983 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)CN1CCn2c[nH+]cc2C1 ZINC000590599053 355126303 /nfs/dbraw/zinc/12/63/03/355126303.db2.gz FOPLLELNIZHKED-UHFFFAOYSA-N 1 2 315.764 1.862 20 30 DDEDLO N#CCCN1CC[NH+](Cc2cc(=O)[nH]c(-c3ccccc3)n2)CC1 ZINC000590954547 355221852 /nfs/dbraw/zinc/22/18/52/355221852.db2.gz JGOOLBGBNVTPNB-UHFFFAOYSA-N 1 2 323.400 1.880 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(c3nc[nH+]c4c3OCCN4)C2)nc1 ZINC000591163019 355258523 /nfs/dbraw/zinc/25/85/23/355258523.db2.gz HMMRXCWMWISGOM-LBPRGKRZSA-N 1 2 324.344 1.205 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(c3[nH+]cnc4c3OCCN4)C2)nc1 ZINC000591163019 355258526 /nfs/dbraw/zinc/25/85/26/355258526.db2.gz HMMRXCWMWISGOM-LBPRGKRZSA-N 1 2 324.344 1.205 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)N1CC[C@](O)(CC#N)C1 ZINC000591967194 355448823 /nfs/dbraw/zinc/44/88/23/355448823.db2.gz ZWFRJYDVAVPRFA-OAHLLOKOSA-N 1 2 305.382 1.099 20 30 DDEDLO CCC[N@H+](CC(=O)NC1(C#N)CCCCC1)[C@H](C)C(=O)OCC ZINC000429705845 283103343 /nfs/dbraw/zinc/10/33/43/283103343.db2.gz BULFPLQADPZOOU-CQSZACIVSA-N 1 2 323.437 1.993 20 30 DDEDLO CCC[N@@H+](CC(=O)NC1(C#N)CCCCC1)[C@H](C)C(=O)OCC ZINC000429705845 283103345 /nfs/dbraw/zinc/10/33/45/283103345.db2.gz BULFPLQADPZOOU-CQSZACIVSA-N 1 2 323.437 1.993 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@H](C)OC2(CCCC2)C1 ZINC000592191517 355530734 /nfs/dbraw/zinc/53/07/34/355530734.db2.gz DKOCVTGPLZQWRC-KBPBESRZSA-N 1 2 305.422 1.971 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@H](C)OC2(CCCC2)C1 ZINC000592191517 355530736 /nfs/dbraw/zinc/53/07/36/355530736.db2.gz DKOCVTGPLZQWRC-KBPBESRZSA-N 1 2 305.422 1.971 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(F)c(F)c1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592146377 355511859 /nfs/dbraw/zinc/51/18/59/355511859.db2.gz HHYCWPBYAQVLQW-MEBBXXQBSA-N 1 2 309.316 1.642 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(F)c(F)c1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592146377 355511862 /nfs/dbraw/zinc/51/18/62/355511862.db2.gz HHYCWPBYAQVLQW-MEBBXXQBSA-N 1 2 309.316 1.642 20 30 DDEDLO CN(Cc1ccccc1F)C(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592147222 355512997 /nfs/dbraw/zinc/51/29/97/355512997.db2.gz JUSLHHJSTQRDKI-INIZCTEOSA-N 1 2 305.353 1.135 20 30 DDEDLO CN(Cc1ccccc1F)C(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592147222 355513001 /nfs/dbraw/zinc/51/30/01/355513001.db2.gz JUSLHHJSTQRDKI-INIZCTEOSA-N 1 2 305.353 1.135 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@H]2CCN(c3ccc(C#N)cc3)C2=O)C1 ZINC000592149018 355515500 /nfs/dbraw/zinc/51/55/00/355515500.db2.gz GZNKMYGGMDCWOB-RDJZCZTQSA-N 1 2 310.357 1.014 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@H]2CCN(c3ccc(C#N)cc3)C2=O)C1 ZINC000592149018 355515505 /nfs/dbraw/zinc/51/55/05/355515505.db2.gz GZNKMYGGMDCWOB-RDJZCZTQSA-N 1 2 310.357 1.014 20 30 DDEDLO CC[C@@H](C#N)C(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000593462248 355892275 /nfs/dbraw/zinc/89/22/75/355892275.db2.gz BZYQXFDHCGDOKP-DLBZAZTESA-N 1 2 315.417 1.596 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC000081051379 192221496 /nfs/dbraw/zinc/22/14/96/192221496.db2.gz LKLBOIHGDLDKEB-UHFFFAOYSA-N 1 2 324.384 1.981 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@@H](O)COc2ccc(C#N)cc2)o1 ZINC000595705720 356615667 /nfs/dbraw/zinc/61/56/67/356615667.db2.gz VSMZAWFMFAOEDL-CYBMUJFWSA-N 1 2 302.334 1.121 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@@H](O)COc2ccc(C#N)cc2)o1 ZINC000595705720 356615671 /nfs/dbraw/zinc/61/56/71/356615671.db2.gz VSMZAWFMFAOEDL-CYBMUJFWSA-N 1 2 302.334 1.121 20 30 DDEDLO CCN(CC(=O)N1CC[NH+](Cc2cccs2)CC1)[C@H](C)C#N ZINC000595811513 356661098 /nfs/dbraw/zinc/66/10/98/356661098.db2.gz CQWPBXXPUUCZDS-CQSZACIVSA-N 1 2 320.462 1.626 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCc1ccccc1)[N@@H+]1CC[C@](C)(C#N)C1 ZINC000595842118 356674172 /nfs/dbraw/zinc/67/41/72/356674172.db2.gz XRJKLOAAOXXASK-CXAGYDPISA-N 1 2 314.389 1.636 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCc1ccccc1)[N@H+]1CC[C@](C)(C#N)C1 ZINC000595842118 356674177 /nfs/dbraw/zinc/67/41/77/356674177.db2.gz XRJKLOAAOXXASK-CXAGYDPISA-N 1 2 314.389 1.636 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@H](C)[C@@](C)(CO)C1 ZINC000595880814 356689530 /nfs/dbraw/zinc/68/95/30/356689530.db2.gz ZOVBHTMRAYCGNU-YLQAJVPDSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@H](C)[C@@](C)(CO)C1 ZINC000595880814 356689534 /nfs/dbraw/zinc/68/95/34/356689534.db2.gz ZOVBHTMRAYCGNU-YLQAJVPDSA-N 1 2 302.374 1.723 20 30 DDEDLO CCN1CC[NH+](CCNc2cc(C#N)nc3ccccc32)CC1 ZINC000596074992 356763693 /nfs/dbraw/zinc/76/36/93/356763693.db2.gz WBKMZKYPEBRCIG-UHFFFAOYSA-N 1 2 309.417 1.578 20 30 DDEDLO COc1ccc(N2C[C@@H](Nc3cc(C)[nH+]cc3C#N)CC2=O)cc1 ZINC000596428778 356876258 /nfs/dbraw/zinc/87/62/58/356876258.db2.gz CNQZQCNDYUSIHL-AWEZNQCLSA-N 1 2 322.368 1.910 20 30 DDEDLO CN1CC[N@H+](Cc2ccccc2)[C@@H](CNc2cncc(C#N)n2)C1 ZINC000596553599 356914797 /nfs/dbraw/zinc/91/47/97/356914797.db2.gz ZUZZBNDBZHKKDW-KRWDZBQOSA-N 1 2 322.416 1.576 20 30 DDEDLO CN1CC[N@@H+](Cc2ccccc2)[C@@H](CNc2cncc(C#N)n2)C1 ZINC000596553599 356914801 /nfs/dbraw/zinc/91/48/01/356914801.db2.gz ZUZZBNDBZHKKDW-KRWDZBQOSA-N 1 2 322.416 1.576 20 30 DDEDLO N#Cc1ccnc(N2CCN(Cc3c[nH+]c4n3CCC4)CC2)c1 ZINC000596983214 357034142 /nfs/dbraw/zinc/03/41/42/357034142.db2.gz QWQJQCFGPWADGJ-UHFFFAOYSA-N 1 2 308.389 1.418 20 30 DDEDLO CC[C@H](C#N)C(=O)N(CC[NH+]1CCOCC1)[C@@H]1CCSC1 ZINC000597678563 357281461 /nfs/dbraw/zinc/28/14/61/357281461.db2.gz LDKRBXWFHKVSAZ-ZIAGYGMSSA-N 1 2 311.451 1.202 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)[C@H]2CCc3[nH+]c[nH]c3C2)cc1F ZINC000597617501 357256754 /nfs/dbraw/zinc/25/67/54/357256754.db2.gz OZJSYBSVCITXHW-JTQLQIEISA-N 1 2 316.311 1.981 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)[C@H]2CCc3[nH]c[nH+]c3C2)cc1F ZINC000597617501 357256758 /nfs/dbraw/zinc/25/67/58/357256758.db2.gz OZJSYBSVCITXHW-JTQLQIEISA-N 1 2 316.311 1.981 20 30 DDEDLO CN(C)[C@H](C(=O)NCCc1ccc(C#N)cc1)c1c[nH+]cn1C ZINC000597889794 357372507 /nfs/dbraw/zinc/37/25/07/357372507.db2.gz MDIOLJFCDURSCX-INIZCTEOSA-N 1 2 311.389 1.253 20 30 DDEDLO C=CCCSCCNC(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000597973206 357404779 /nfs/dbraw/zinc/40/47/79/357404779.db2.gz VTWBZZHQABZGTG-UHFFFAOYSA-N 1 2 303.391 1.701 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@@]2(C#N)CC23CCCC3)[C@H](C)CO1 ZINC000597993528 357409791 /nfs/dbraw/zinc/40/97/91/357409791.db2.gz GIAYQBFJPRXSCB-CPUCHLNUSA-N 1 2 305.422 1.686 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@@]2(C#N)CC23CCCC3)[C@H](C)CO1 ZINC000597993528 357409796 /nfs/dbraw/zinc/40/97/96/357409796.db2.gz GIAYQBFJPRXSCB-CPUCHLNUSA-N 1 2 305.422 1.686 20 30 DDEDLO CCC[N@@H+]1CC[C@H](NC(=O)c2cc(C#N)c(SC)[nH]c2=O)C1 ZINC000598342085 357544011 /nfs/dbraw/zinc/54/40/11/357544011.db2.gz DMDLWABSTUOWRN-NSHDSACASA-N 1 2 320.418 1.595 20 30 DDEDLO CCC[N@H+]1CC[C@H](NC(=O)c2cc(C#N)c(SC)[nH]c2=O)C1 ZINC000598342085 357544017 /nfs/dbraw/zinc/54/40/17/357544017.db2.gz DMDLWABSTUOWRN-NSHDSACASA-N 1 2 320.418 1.595 20 30 DDEDLO CCN(C(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1)[C@@H](C)C#N ZINC000598360805 357551547 /nfs/dbraw/zinc/55/15/47/357551547.db2.gz VKADMKRESJGSNN-GOEBONIOSA-N 1 2 301.390 1.648 20 30 DDEDLO CCN(C(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1)[C@@H](C)C#N ZINC000598360805 357551550 /nfs/dbraw/zinc/55/15/50/357551550.db2.gz VKADMKRESJGSNN-GOEBONIOSA-N 1 2 301.390 1.648 20 30 DDEDLO C[C@H](CNC(=O)NCC[C@@H]1CCO[C@H](C)C1)[NH+]1CCOCC1 ZINC000329612579 223002254 /nfs/dbraw/zinc/00/22/54/223002254.db2.gz CMRVRNOLFDMBBY-RBSFLKMASA-N 1 2 313.442 1.416 20 30 DDEDLO Cc1nn(C)c(C)c1[C@@H]1COCC[N@@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598599567 357648853 /nfs/dbraw/zinc/64/88/53/357648853.db2.gz KDUZLNXJYNYJEL-GJZGRUSLSA-N 1 2 320.437 1.711 20 30 DDEDLO Cc1nn(C)c(C)c1[C@@H]1COCC[N@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598599567 357648857 /nfs/dbraw/zinc/64/88/57/357648857.db2.gz KDUZLNXJYNYJEL-GJZGRUSLSA-N 1 2 320.437 1.711 20 30 DDEDLO C[N@@H+]1C2(CCC2)COC[C@]1(CO)CNc1ncc(C#N)cc1F ZINC000599129581 357824198 /nfs/dbraw/zinc/82/41/98/357824198.db2.gz FMFNIJGPJIAWCY-MRXNPFEDSA-N 1 2 320.368 1.120 20 30 DDEDLO C[N@H+]1C2(CCC2)COC[C@]1(CO)CNc1ncc(C#N)cc1F ZINC000599129581 357824200 /nfs/dbraw/zinc/82/42/00/357824200.db2.gz FMFNIJGPJIAWCY-MRXNPFEDSA-N 1 2 320.368 1.120 20 30 DDEDLO CC(C)(C#N)c1ccc(S(=O)(=O)NCc2c[nH+]c[nH]2)cc1 ZINC000599354556 357906475 /nfs/dbraw/zinc/90/64/75/357906475.db2.gz QIRRVDSWXZEKGL-UHFFFAOYSA-N 1 2 304.375 1.689 20 30 DDEDLO CC(C)(C#N)c1ccc(S(=O)(=O)NCc2c[nH]c[nH+]2)cc1 ZINC000599354556 357906478 /nfs/dbraw/zinc/90/64/78/357906478.db2.gz QIRRVDSWXZEKGL-UHFFFAOYSA-N 1 2 304.375 1.689 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](C)Cc1ccc(C(=O)OC)cn1 ZINC000599444477 357939658 /nfs/dbraw/zinc/93/96/58/357939658.db2.gz XUNLFGUHGAOGAK-UHFFFAOYSA-N 1 2 317.389 1.501 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](C)Cc1ccc(C(=O)OC)cn1 ZINC000599444477 357939661 /nfs/dbraw/zinc/93/96/61/357939661.db2.gz XUNLFGUHGAOGAK-UHFFFAOYSA-N 1 2 317.389 1.501 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCC(c2ncc(C(=O)OC)s2)CC1 ZINC000599554737 357971560 /nfs/dbraw/zinc/97/15/60/357971560.db2.gz NSHDDUOHAVWTLM-UHFFFAOYSA-N 1 2 323.418 1.411 20 30 DDEDLO CC(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NC1(C#N)CCCCC1 ZINC000599699207 358031225 /nfs/dbraw/zinc/03/12/25/358031225.db2.gz DRIFQSWWDMOQTE-HNNXBMFYSA-N 1 2 320.437 1.320 20 30 DDEDLO CC(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NC1(C#N)CCCCC1 ZINC000599699207 358031229 /nfs/dbraw/zinc/03/12/29/358031229.db2.gz DRIFQSWWDMOQTE-HNNXBMFYSA-N 1 2 320.437 1.320 20 30 DDEDLO COC(=O)CC[N@H+](Cc1cc(C#N)ccc1F)CC(OC)OC ZINC000180604168 199183062 /nfs/dbraw/zinc/18/30/62/199183062.db2.gz OBSYVNIBTCUWAV-UHFFFAOYSA-N 1 2 324.352 1.681 20 30 DDEDLO COC(=O)CC[N@@H+](Cc1cc(C#N)ccc1F)CC(OC)OC ZINC000180604168 199183063 /nfs/dbraw/zinc/18/30/63/199183063.db2.gz OBSYVNIBTCUWAV-UHFFFAOYSA-N 1 2 324.352 1.681 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](Cc3cccnc3)CC2)CC2(CC2)C1 ZINC000600985637 358370449 /nfs/dbraw/zinc/37/04/49/358370449.db2.gz RJUWDFHXXQAMEP-UHFFFAOYSA-N 1 2 310.401 1.810 20 30 DDEDLO N#Cc1csc(C[NH2+]C[C@@H](n2cncn2)C(F)(F)F)n1 ZINC000601144059 358419090 /nfs/dbraw/zinc/41/90/90/358419090.db2.gz OBMVFROGLUTGFZ-MRVPVSSYSA-N 1 2 302.285 1.499 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccccc2CC#N)C[C@@H]1C ZINC000601377745 358523920 /nfs/dbraw/zinc/52/39/20/358523920.db2.gz IULOELGYGTVWFS-ZDUSSCGKSA-N 1 2 307.419 1.467 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccccc2CC#N)C[C@@H]1C ZINC000601377745 358523921 /nfs/dbraw/zinc/52/39/21/358523921.db2.gz IULOELGYGTVWFS-ZDUSSCGKSA-N 1 2 307.419 1.467 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCc3[nH]c[nH+]c3C23CCOCC3)c1 ZINC000601650690 358637457 /nfs/dbraw/zinc/63/74/57/358637457.db2.gz DVIYIYPTHIXYQZ-UHFFFAOYSA-N 1 2 311.345 1.314 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)Cn1cc(C#N)c2ccccc2c1=O ZINC000602420322 358966395 /nfs/dbraw/zinc/96/63/95/358966395.db2.gz CWISTQIFRZIWEF-AWEZNQCLSA-N 1 2 310.357 1.080 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)Cn1cc(C#N)c2ccccc2c1=O ZINC000602420322 358966398 /nfs/dbraw/zinc/96/63/98/358966398.db2.gz CWISTQIFRZIWEF-AWEZNQCLSA-N 1 2 310.357 1.080 20 30 DDEDLO Cc1cc(C[NH2+]Cc2cccc(C#N)n2)nc(N2CCCC2)n1 ZINC000602671824 359116944 /nfs/dbraw/zinc/11/69/44/359116944.db2.gz KBWUVRUKNGGDAV-UHFFFAOYSA-N 1 2 308.389 1.942 20 30 DDEDLO N#CCC1(C[N@@H+](C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000602793543 359196235 /nfs/dbraw/zinc/19/62/35/359196235.db2.gz AGKXVLMKTQCYCS-KGLIPLIRSA-N 1 2 312.435 1.348 20 30 DDEDLO N#CCC1(C[N@H+](C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000602793543 359196241 /nfs/dbraw/zinc/19/62/41/359196241.db2.gz AGKXVLMKTQCYCS-KGLIPLIRSA-N 1 2 312.435 1.348 20 30 DDEDLO N#Cc1csc(CN2CC[NH+](CCc3cnccn3)CC2)c1 ZINC000602743004 359162001 /nfs/dbraw/zinc/16/20/01/359162001.db2.gz KIIZIDNRGGLHNT-UHFFFAOYSA-N 1 2 313.430 1.770 20 30 DDEDLO N#Cc1csc(C[NH+]2CCN(CCc3cnccn3)CC2)c1 ZINC000602743004 359162004 /nfs/dbraw/zinc/16/20/04/359162004.db2.gz KIIZIDNRGGLHNT-UHFFFAOYSA-N 1 2 313.430 1.770 20 30 DDEDLO COc1ccc(CN(C)C(=O)C[NH2+][C@@H](C)CC#N)cc1OC ZINC000602862865 359247314 /nfs/dbraw/zinc/24/73/14/359247314.db2.gz KHSMUIXOLACRLG-LBPRGKRZSA-N 1 2 305.378 1.554 20 30 DDEDLO C[C@H](CC#N)NCC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000602866106 359250212 /nfs/dbraw/zinc/25/02/12/359250212.db2.gz YOAHISBCMIEGLY-CQSZACIVSA-N 1 2 316.405 1.349 20 30 DDEDLO CCN(CC(=O)NCc1cccs1)C(=O)C[NH2+][C@H](C)CC#N ZINC000602865226 359250494 /nfs/dbraw/zinc/25/04/94/359250494.db2.gz UYJFCYSAOJALLR-GFCCVEGCSA-N 1 2 322.434 1.105 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@H](C)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000602870081 359254219 /nfs/dbraw/zinc/25/42/19/359254219.db2.gz SJISLQRCNZIIGS-ZIAGYGMSSA-N 1 2 316.405 1.321 20 30 DDEDLO Cc1cc(C#N)ccc1C[NH+]1CCN(CC(F)(F)CO)CC1 ZINC000602874632 359257580 /nfs/dbraw/zinc/25/75/80/359257580.db2.gz FZPORGGZMPFCGS-UHFFFAOYSA-N 1 2 309.360 1.612 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](C)Cc1c(C)nn(CC)c1C ZINC000602924038 359296204 /nfs/dbraw/zinc/29/62/04/359296204.db2.gz AHKQFBWWYCAFHS-ZDUSSCGKSA-N 1 2 319.453 1.960 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)Cc1c(C)nn(CC)c1C ZINC000602924038 359296206 /nfs/dbraw/zinc/29/62/06/359296206.db2.gz AHKQFBWWYCAFHS-ZDUSSCGKSA-N 1 2 319.453 1.960 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H](CO)C1 ZINC000602931751 359301599 /nfs/dbraw/zinc/30/15/99/359301599.db2.gz QDAVKLCQLWFDPJ-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H](CO)C1 ZINC000602931751 359301602 /nfs/dbraw/zinc/30/16/02/359301602.db2.gz QDAVKLCQLWFDPJ-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO Cc1n[nH]cc1C[N@@H+](C)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000602937385 359306437 /nfs/dbraw/zinc/30/64/37/359306437.db2.gz JLXBWICFVRJIFN-ZDUSSCGKSA-N 1 2 303.410 1.881 20 30 DDEDLO Cc1n[nH]cc1C[N@H+](C)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000602937385 359306445 /nfs/dbraw/zinc/30/64/45/359306445.db2.gz JLXBWICFVRJIFN-ZDUSSCGKSA-N 1 2 303.410 1.881 20 30 DDEDLO C[N@H+](CC(=O)NCCOc1ccccc1)[C@H]1CCC[C@@H]1C#N ZINC000602974608 359333453 /nfs/dbraw/zinc/33/34/53/359333453.db2.gz QPGMPPSNEZRSNZ-ZBFHGGJFSA-N 1 2 301.390 1.806 20 30 DDEDLO C[N@@H+](CC(=O)NCCOc1ccccc1)[C@H]1CCC[C@@H]1C#N ZINC000602974608 359333457 /nfs/dbraw/zinc/33/34/57/359333457.db2.gz QPGMPPSNEZRSNZ-ZBFHGGJFSA-N 1 2 301.390 1.806 20 30 DDEDLO O=C(NCc1nnc2n1CCCCC2)N1CCn2cc[nH+]c2C1 ZINC000329853807 223038329 /nfs/dbraw/zinc/03/83/29/223038329.db2.gz SGMUXUOZPCPHEI-UHFFFAOYSA-N 1 2 315.381 1.131 20 30 DDEDLO CCCCCNC(=O)[C@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609484342 360312508 /nfs/dbraw/zinc/31/25/08/360312508.db2.gz AUKDWAHTHUYMMS-JKSUJKDBSA-N 1 2 308.470 1.847 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)C(=O)C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000609521871 360317116 /nfs/dbraw/zinc/31/71/16/360317116.db2.gz ULDVOLXBTWATMW-UHFFFAOYSA-N 1 2 317.736 1.532 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[NH+]1CC(c2nc3ccccc3[nH]2)C1)C1CC1 ZINC000610701318 360510261 /nfs/dbraw/zinc/51/02/61/360510261.db2.gz TXJYQKVGRXSIGI-SFHVURJKSA-N 1 2 323.400 1.771 20 30 DDEDLO CN(Cc1ccccc1F)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611173722 360646326 /nfs/dbraw/zinc/64/63/26/360646326.db2.gz IBDZWVKBCLPGML-UHFFFAOYSA-N 1 2 318.396 1.315 20 30 DDEDLO CC[C@H](C(N)=O)[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000611552373 360756420 /nfs/dbraw/zinc/75/64/20/360756420.db2.gz XCJDDLRYJBOIMQ-HUUCEWRRSA-N 1 2 304.369 1.272 20 30 DDEDLO C[C@@H]1CC[N@@H+]([C@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C[C@H]1F ZINC000611491206 360733976 /nfs/dbraw/zinc/73/39/76/360733976.db2.gz QADNTJPTLJSXPH-DFBGVHRSSA-N 1 2 315.348 1.870 20 30 DDEDLO C[C@@H]1CC[N@H+]([C@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C[C@H]1F ZINC000611491206 360733980 /nfs/dbraw/zinc/73/39/80/360733980.db2.gz QADNTJPTLJSXPH-DFBGVHRSSA-N 1 2 315.348 1.870 20 30 DDEDLO [O-]C(=[NH+][C@H]1CCn2cc[nH+]c2C1)N1CCC(n2ccnc2)CC1 ZINC000330268648 223097468 /nfs/dbraw/zinc/09/74/68/223097468.db2.gz IUCYXAHUSVJPDF-ZDUSSCGKSA-N 1 2 314.393 1.646 20 30 DDEDLO C[C@H](NC(=O)N1CCC[C@@](C)(C#N)C1)[C@H](C)[NH+]1CCOCC1 ZINC000612131982 360927823 /nfs/dbraw/zinc/92/78/23/360927823.db2.gz IUEQEZWGTBIXSE-DZKIICNBSA-N 1 2 308.426 1.431 20 30 DDEDLO O=C(NC1CC1)[C@@H]1CCCN1C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330277992 223099097 /nfs/dbraw/zinc/09/90/97/223099097.db2.gz PANHHZYVUDAYLB-OLZOCXBDSA-N 1 2 317.393 1.695 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N1CCC[C@](C)(C#N)C1 ZINC000612270666 360955568 /nfs/dbraw/zinc/95/55/68/360955568.db2.gz QOLGYRLUXFQNFU-OAHLLOKOSA-N 1 2 302.334 1.181 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@@H](C(=O)Nc2ccc(C)cn2)C1 ZINC000619719669 364130947 /nfs/dbraw/zinc/13/09/47/364130947.db2.gz STTPBCXPJOXVKH-KGLIPLIRSA-N 1 2 317.389 1.768 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@@H](C(=O)Nc2ccc(C)cn2)C1 ZINC000619719669 364130951 /nfs/dbraw/zinc/13/09/51/364130951.db2.gz STTPBCXPJOXVKH-KGLIPLIRSA-N 1 2 317.389 1.768 20 30 DDEDLO C[NH+](C)[C@@H](CNS(=O)(=O)CC1(CC#N)CC1)c1ccco1 ZINC000346473077 223357395 /nfs/dbraw/zinc/35/73/95/223357395.db2.gz ACMVSTLVUTWTDD-LBPRGKRZSA-N 1 2 311.407 1.496 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@@H](C)C(=O)NC2(C#N)CCCCC2)n1 ZINC000348100501 223379523 /nfs/dbraw/zinc/37/95/23/223379523.db2.gz ZRBSWBSIFHQTEF-WDEREUQCSA-N 1 2 305.382 1.760 20 30 DDEDLO CCS(=O)(=O)N(C)C1CC[NH+](Cc2ccc(C#N)o2)CC1 ZINC000093320986 193231923 /nfs/dbraw/zinc/23/19/23/193231923.db2.gz WGYQJXAAWWEYSW-UHFFFAOYSA-N 1 2 311.407 1.397 20 30 DDEDLO C=CC[N@@H+](CCc1ccc(OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000264584661 204011880 /nfs/dbraw/zinc/01/18/80/204011880.db2.gz WTRZHCCXNKSLQE-OAHLLOKOSA-N 1 2 309.431 1.913 20 30 DDEDLO C=CC[N@H+](CCc1ccc(OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000264584661 204011883 /nfs/dbraw/zinc/01/18/83/204011883.db2.gz WTRZHCCXNKSLQE-OAHLLOKOSA-N 1 2 309.431 1.913 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)OC(C)(C)C)CC1 ZINC000264890803 204242845 /nfs/dbraw/zinc/24/28/45/204242845.db2.gz FPYUJLOXJNXFCS-CYBMUJFWSA-N 1 2 311.426 1.620 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)OC(C)(C)C)CC1 ZINC000264890803 204242848 /nfs/dbraw/zinc/24/28/48/204242848.db2.gz FPYUJLOXJNXFCS-CYBMUJFWSA-N 1 2 311.426 1.620 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C1 ZINC000267426467 206059638 /nfs/dbraw/zinc/05/96/38/206059638.db2.gz VRIBOBYRKOFACB-LLVKDONJSA-N 1 2 321.402 1.670 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C1 ZINC000267426467 206059644 /nfs/dbraw/zinc/05/96/44/206059644.db2.gz VRIBOBYRKOFACB-LLVKDONJSA-N 1 2 321.402 1.670 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](C(=O)OC)C1 ZINC000267571942 206151400 /nfs/dbraw/zinc/15/14/00/206151400.db2.gz DOYAMCHZNACJQF-KGLIPLIRSA-N 1 2 302.330 1.267 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](C(=O)OC)C1 ZINC000267571942 206151404 /nfs/dbraw/zinc/15/14/04/206151404.db2.gz DOYAMCHZNACJQF-KGLIPLIRSA-N 1 2 302.330 1.267 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[NH+]1CCC(C2OCCO2)CC1 ZINC000110459759 194332436 /nfs/dbraw/zinc/33/24/36/194332436.db2.gz PKPWNBNEUMGWLR-UHFFFAOYSA-N 1 2 321.402 1.643 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](CC(=O)N2CCCCC2)CC1 ZINC000269991841 208045163 /nfs/dbraw/zinc/04/51/63/208045163.db2.gz VAZNACVIJURZCI-UHFFFAOYSA-N 1 2 313.405 1.088 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC(n3cc[nH+]c3)CC2)o1 ZINC000286483778 219013290 /nfs/dbraw/zinc/01/32/90/219013290.db2.gz ATIMBSMAVBREKH-UHFFFAOYSA-N 1 2 306.347 1.374 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCc2cccc(F)c2C1 ZINC000158026745 197237084 /nfs/dbraw/zinc/23/70/84/197237084.db2.gz AGWRSIFUITWYAJ-UHFFFAOYSA-N 1 2 314.364 1.840 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCc2cccc(F)c2C1 ZINC000158026745 197237086 /nfs/dbraw/zinc/23/70/86/197237086.db2.gz AGWRSIFUITWYAJ-UHFFFAOYSA-N 1 2 314.364 1.840 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000330591035 533181185 /nfs/dbraw/zinc/18/11/85/533181185.db2.gz YZWYWPNHIUMKHQ-IOASZLSFSA-N 1 2 302.378 1.199 20 30 DDEDLO CS(=O)(=O)C1CCC(NC(=O)[C@H]2CCC[N@@H+]2C2CC2)CC1 ZINC000330248302 533316638 /nfs/dbraw/zinc/31/66/38/533316638.db2.gz ZFMGCHRURBABJO-UXUKBGGZSA-N 1 2 314.451 1.926 20 30 DDEDLO CS(=O)(=O)C1CCC(NC(=O)[C@H]2CCC[N@H+]2C2CC2)CC1 ZINC000330248302 533316641 /nfs/dbraw/zinc/31/66/41/533316641.db2.gz ZFMGCHRURBABJO-UXUKBGGZSA-N 1 2 314.451 1.926 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)C(=O)NC1(C#N)CCCCC1)c1ccn(C)n1 ZINC000459779457 533493825 /nfs/dbraw/zinc/49/38/25/533493825.db2.gz FXEMRRZITBUATQ-OLZOCXBDSA-N 1 2 303.410 1.802 20 30 DDEDLO C[C@H](CC#N)N(C)C(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000330722280 533600980 /nfs/dbraw/zinc/60/09/80/533600980.db2.gz YFUBPOUOYSLMOK-CQSZACIVSA-N 1 2 301.390 1.893 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000007437007 406757746 /nfs/dbraw/zinc/75/77/46/406757746.db2.gz CRCYJBOSZIKCFF-AWEZNQCLSA-N 1 2 315.373 1.772 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000007437007 406757748 /nfs/dbraw/zinc/75/77/48/406757748.db2.gz CRCYJBOSZIKCFF-AWEZNQCLSA-N 1 2 315.373 1.772 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@H](C)c2ccc(F)cc2F)CC1 ZINC000072014105 406871585 /nfs/dbraw/zinc/87/15/85/406871585.db2.gz HHNPDZWUBHYJPM-CYBMUJFWSA-N 1 2 323.387 1.946 20 30 DDEDLO CCCCNC(=O)C[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000026227186 406903711 /nfs/dbraw/zinc/90/37/11/406903711.db2.gz MMDPLCWJNZASSL-UHFFFAOYSA-N 1 2 318.396 1.736 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CC[N@@H+]3CCCC[C@@H]3C2)c1 ZINC000045815971 407049931 /nfs/dbraw/zinc/04/99/31/407049931.db2.gz ISTTZNIQDYYQHV-CQSZACIVSA-N 1 2 305.403 1.417 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CC[N@H+]3CCCC[C@@H]3C2)c1 ZINC000045815971 407049933 /nfs/dbraw/zinc/04/99/33/407049933.db2.gz ISTTZNIQDYYQHV-CQSZACIVSA-N 1 2 305.403 1.417 20 30 DDEDLO C#CC[N@H+](Cc1cccc2cccnc21)[C@@H]1CCS(=O)(=O)C1 ZINC000066538830 407254472 /nfs/dbraw/zinc/25/44/72/407254472.db2.gz LPQHCVANYVBOEI-MRXNPFEDSA-N 1 2 314.410 1.857 20 30 DDEDLO C#CC[N@@H+](Cc1cccc2cccnc21)[C@@H]1CCS(=O)(=O)C1 ZINC000066538830 407254474 /nfs/dbraw/zinc/25/44/74/407254474.db2.gz LPQHCVANYVBOEI-MRXNPFEDSA-N 1 2 314.410 1.857 20 30 DDEDLO C=CCCOCCNC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000122642204 407307348 /nfs/dbraw/zinc/30/73/48/407307348.db2.gz RIZKKVVGNIVMEW-UHFFFAOYSA-N 1 2 318.421 1.942 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](C)CC(=O)Nc1ccccc1CC ZINC000126183513 407407758 /nfs/dbraw/zinc/40/77/58/407407758.db2.gz GTOVFNQBXAUIPN-ZDUSSCGKSA-N 1 2 301.390 1.257 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](C)CC(=O)Nc1ccccc1CC ZINC000126183513 407407759 /nfs/dbraw/zinc/40/77/59/407407759.db2.gz GTOVFNQBXAUIPN-ZDUSSCGKSA-N 1 2 301.390 1.257 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[NH+](CC(=O)N3CCCC3)CC2)n1 ZINC000127562496 407440041 /nfs/dbraw/zinc/44/00/41/407440041.db2.gz UCUJVFBCYUPMAD-UHFFFAOYSA-N 1 2 313.405 1.006 20 30 DDEDLO CC[C@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000170646451 407463788 /nfs/dbraw/zinc/46/37/88/407463788.db2.gz XZDWYROOHUNIDW-LSDHHAIUSA-N 1 2 314.433 1.983 20 30 DDEDLO Cc1cc(N)nc(SC[C@@H](O)COc2ccc(C#N)cc2)[nH+]1 ZINC000253476867 407451285 /nfs/dbraw/zinc/45/12/85/407451285.db2.gz BTMHBUTUSCBYCX-LBPRGKRZSA-N 1 2 316.386 1.771 20 30 DDEDLO Cc1cc(S(=O)(=O)N(C)Cc2[nH+]ccn2C)ccc1C#N ZINC000234299261 407709440 /nfs/dbraw/zinc/70/94/40/407709440.db2.gz UHFONNAVOQAJMZ-UHFFFAOYSA-N 1 2 304.375 1.421 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CC[C@H](O)[C@@H](C)C2)c(C#N)c1C ZINC000229446133 407662331 /nfs/dbraw/zinc/66/23/31/407662331.db2.gz YXVGFILCXYXNND-ZANVPECISA-N 1 2 307.419 1.878 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CC[C@H](O)[C@@H](C)C2)c(C#N)c1C ZINC000229446133 407662340 /nfs/dbraw/zinc/66/23/40/407662340.db2.gz YXVGFILCXYXNND-ZANVPECISA-N 1 2 307.419 1.878 20 30 DDEDLO C=CC[C@H](C)NC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000267197899 407786719 /nfs/dbraw/zinc/78/67/19/407786719.db2.gz RXIUOLMJAWHYJL-ZDUSSCGKSA-N 1 2 307.398 1.301 20 30 DDEDLO C=CC[C@@H](C)NC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000116948785 407801786 /nfs/dbraw/zinc/80/17/86/407801786.db2.gz OZVCWEUXTWOLOU-UKRRQHHQSA-N 1 2 313.467 1.458 20 30 DDEDLO C[C@H](C#N)CNC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000172121843 407806508 /nfs/dbraw/zinc/80/65/08/407806508.db2.gz RMBXKOMDUDDPBL-KGLIPLIRSA-N 1 2 318.396 1.612 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@H+](C)Cc2ccco2)CC1 ZINC000180484983 407891809 /nfs/dbraw/zinc/89/18/09/407891809.db2.gz NZUBHQXXKOFRFP-INIZCTEOSA-N 1 2 318.421 1.404 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@@H+](C)Cc2ccco2)CC1 ZINC000180484983 407891819 /nfs/dbraw/zinc/89/18/19/407891819.db2.gz NZUBHQXXKOFRFP-INIZCTEOSA-N 1 2 318.421 1.404 20 30 DDEDLO C=CCC(CC=C)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000268252200 407971299 /nfs/dbraw/zinc/97/12/99/407971299.db2.gz ZRLCLPAMFURAFB-UHFFFAOYSA-N 1 2 305.422 1.829 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)NC(=O)NCc2ccco2)CC1 ZINC000272643134 408003596 /nfs/dbraw/zinc/00/35/96/408003596.db2.gz XZNZNHLWQZZDJQ-UHFFFAOYSA-N 1 2 304.350 1.231 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2ncc(Cl)c(C)c2C#N)CC1 ZINC000273427005 408193973 /nfs/dbraw/zinc/19/39/73/408193973.db2.gz ZXDYPEHRBNZMAU-UHFFFAOYSA-N 1 2 321.812 1.491 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH2+][C@H](C)c1nnc2ccccn21 ZINC000182737223 408237116 /nfs/dbraw/zinc/23/71/16/408237116.db2.gz ZYEHXEKMOQKDOU-QWHCGFSZSA-N 1 2 314.393 1.388 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1nc(CC(=O)OCC)cs1)C1CC1 ZINC000274156334 408311470 /nfs/dbraw/zinc/31/14/70/408311470.db2.gz JRPGYHASXVILGC-UHFFFAOYSA-N 1 2 321.402 1.285 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1nc(CC(=O)OCC)cs1)C1CC1 ZINC000274156334 408311476 /nfs/dbraw/zinc/31/14/76/408311476.db2.gz JRPGYHASXVILGC-UHFFFAOYSA-N 1 2 321.402 1.285 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1CCN(c2ccc(F)cc2)CC1)C1CC1 ZINC000274244150 408339711 /nfs/dbraw/zinc/33/97/11/408339711.db2.gz YGCBFDMWVVFQGR-UHFFFAOYSA-N 1 2 315.392 1.572 20 30 DDEDLO C#CC[N@H+](CC(=O)N1CCN(c2ccc(F)cc2)CC1)C1CC1 ZINC000274244150 408339718 /nfs/dbraw/zinc/33/97/18/408339718.db2.gz YGCBFDMWVVFQGR-UHFFFAOYSA-N 1 2 315.392 1.572 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)c1ccc(C#N)cc1 ZINC000134544677 162080108 /nfs/dbraw/zinc/08/01/08/162080108.db2.gz RNXBFCCKWREPEM-UHFFFAOYSA-N 1 2 304.375 1.466 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccccc1C#N ZINC000176372777 408348902 /nfs/dbraw/zinc/34/89/02/408348902.db2.gz DNEHXXHVFXUNBA-CQSZACIVSA-N 1 2 318.384 1.931 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccccc1C#N ZINC000176372777 408348910 /nfs/dbraw/zinc/34/89/10/408348910.db2.gz DNEHXXHVFXUNBA-CQSZACIVSA-N 1 2 318.384 1.931 20 30 DDEDLO C[C@@H]1CCC[C@H](CO)[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000269704348 408398165 /nfs/dbraw/zinc/39/81/65/408398165.db2.gz NEFMYUWAFDWWEY-UKRRQHHQSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@@H]1CCC[C@H](CO)[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000269704348 408398172 /nfs/dbraw/zinc/39/81/72/408398172.db2.gz NEFMYUWAFDWWEY-UKRRQHHQSA-N 1 2 322.430 1.567 20 30 DDEDLO C=CCCS(=O)(=O)N(C)CC(C)(C)C[NH+]1CCOCC1 ZINC000159430958 408407390 /nfs/dbraw/zinc/40/73/90/408407390.db2.gz SITUPALOSQVPTL-UHFFFAOYSA-N 1 2 304.456 1.183 20 30 DDEDLO Cn1cc(C[N@@H+]2CCOC[C@H]2C[C@H](O)c2ccco2)cc1C#N ZINC000191446585 408428451 /nfs/dbraw/zinc/42/84/51/408428451.db2.gz IIEATBLTBAOETK-CVEARBPZSA-N 1 2 315.373 1.814 20 30 DDEDLO Cn1cc(C[N@H+]2CCOC[C@H]2C[C@H](O)c2ccco2)cc1C#N ZINC000191446585 408428458 /nfs/dbraw/zinc/42/84/58/408428458.db2.gz IIEATBLTBAOETK-CVEARBPZSA-N 1 2 315.373 1.814 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](C)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000265206350 408580116 /nfs/dbraw/zinc/58/01/16/408580116.db2.gz KTQWLQUJTGMLHV-GDBMZVCRSA-N 1 2 313.401 1.252 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](C)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000265206350 408580119 /nfs/dbraw/zinc/58/01/19/408580119.db2.gz KTQWLQUJTGMLHV-GDBMZVCRSA-N 1 2 313.401 1.252 20 30 DDEDLO COCC[NH+]1CCN(C(=O)[C@H](C)Oc2ccccc2C#N)CC1 ZINC000192943812 408671634 /nfs/dbraw/zinc/67/16/34/408671634.db2.gz VICOUFPRTRYRAM-AWEZNQCLSA-N 1 2 317.389 1.116 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CC[C@@H](NC(N)=O)C2)c1C ZINC000270710922 408648207 /nfs/dbraw/zinc/64/82/07/408648207.db2.gz ZPGAADSKTRTYJN-CYBMUJFWSA-N 1 2 304.394 1.216 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CC[C@@H](NC(N)=O)C2)c1C ZINC000270710922 408648209 /nfs/dbraw/zinc/64/82/09/408648209.db2.gz ZPGAADSKTRTYJN-CYBMUJFWSA-N 1 2 304.394 1.216 20 30 DDEDLO C[C@@H]1[C@H](C)[S@](=O)CC[N@@H+]1CCC(=O)Nc1ccccc1C#N ZINC000265484737 408669277 /nfs/dbraw/zinc/66/92/77/408669277.db2.gz APCWDMNKVULNHM-GPAUDHNESA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1[C@H](C)[S@](=O)CC[N@H+]1CCC(=O)Nc1ccccc1C#N ZINC000265484737 408669281 /nfs/dbraw/zinc/66/92/81/408669281.db2.gz APCWDMNKVULNHM-GPAUDHNESA-N 1 2 319.430 1.728 20 30 DDEDLO COC(=O)[C@@H]1[C@H](O)CCC[N@@H+]1Cc1ccccc1C(C)(C)C#N ZINC000249352634 408717570 /nfs/dbraw/zinc/71/75/70/408717570.db2.gz KPUVNLQVOWFQRF-CVEARBPZSA-N 1 2 316.401 1.986 20 30 DDEDLO COC(=O)[C@@H]1[C@H](O)CCC[N@H+]1Cc1ccccc1C(C)(C)C#N ZINC000249352634 408717574 /nfs/dbraw/zinc/71/75/74/408717574.db2.gz KPUVNLQVOWFQRF-CVEARBPZSA-N 1 2 316.401 1.986 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@@H](C)c1nc(C2CCCCC2)no1 ZINC000185239922 408786277 /nfs/dbraw/zinc/78/62/77/408786277.db2.gz PVDYHIZZQNLVBM-RYUDHWBXSA-N 1 2 304.394 1.906 20 30 DDEDLO C#CCC(C)(C)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000185050061 408745440 /nfs/dbraw/zinc/74/54/40/408745440.db2.gz BESKPPCTNHICES-UHFFFAOYSA-N 1 2 301.390 1.584 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N[C@H](C)c2cccs2)C1=O ZINC000281199939 408874620 /nfs/dbraw/zinc/87/46/20/408874620.db2.gz CKGMPFCZOSDRLY-CHWSQXEVSA-N 1 2 321.446 1.644 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N[C@H](C)c2cccs2)C1=O ZINC000281199939 408874622 /nfs/dbraw/zinc/87/46/22/408874622.db2.gz CKGMPFCZOSDRLY-CHWSQXEVSA-N 1 2 321.446 1.644 20 30 DDEDLO C=C[C@H](CO)Nc1nc(N[C@@H](C=C)CO)c2ccccc2[nH+]1 ZINC000290947927 408855565 /nfs/dbraw/zinc/85/55/65/408855565.db2.gz COKIKOKCSOKOSK-NWDGAFQWSA-N 1 2 300.362 1.547 20 30 DDEDLO CC(C)(C)n1ncnc1CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000282159566 409003130 /nfs/dbraw/zinc/00/31/30/409003130.db2.gz CUKZCFUFYRPUEX-UHFFFAOYSA-N 1 2 315.377 1.805 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](Cc3nnc4n3CCCC4)C2)nc1 ZINC000292117026 408983939 /nfs/dbraw/zinc/98/39/39/408983939.db2.gz HRVMHYGBTCUCLI-CQSZACIVSA-N 1 2 324.388 1.534 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](Cc3nnc4n3CCCC4)C2)nc1 ZINC000292117026 408983942 /nfs/dbraw/zinc/98/39/42/408983942.db2.gz HRVMHYGBTCUCLI-CQSZACIVSA-N 1 2 324.388 1.534 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N(CC)CC(=O)NCc1cccs1 ZINC000292995232 409047964 /nfs/dbraw/zinc/04/79/64/409047964.db2.gz CLTWHVNABQBIQO-UHFFFAOYSA-N 1 2 321.446 1.168 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N(CC)CC(=O)NCc1cccs1 ZINC000292995232 409047965 /nfs/dbraw/zinc/04/79/65/409047965.db2.gz CLTWHVNABQBIQO-UHFFFAOYSA-N 1 2 321.446 1.168 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(CCOCCC#N)CC1 ZINC000293035875 409049196 /nfs/dbraw/zinc/04/91/96/409049196.db2.gz JHDQQJYFUJHIJO-UHFFFAOYSA-N 1 2 303.406 1.743 20 30 DDEDLO C=CCn1c([C@H](C)CC)nnc1N(C)CC[NH+]1CCOCC1 ZINC000282635423 409059946 /nfs/dbraw/zinc/05/99/46/409059946.db2.gz PGKMULCLWGTHGK-CQSZACIVSA-N 1 2 307.442 1.746 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000279311223 409190053 /nfs/dbraw/zinc/19/00/53/409190053.db2.gz AUXUOABOQBTAHA-SFHVURJKSA-N 1 2 318.417 1.667 20 30 DDEDLO C=C[C@](C)(O)CC(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000279149754 409159225 /nfs/dbraw/zinc/15/92/25/409159225.db2.gz FFAQUGPOOXMGGR-KRWDZBQOSA-N 1 2 304.390 1.784 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)C=Cc3c[nH]cn3)CC2)cc1 ZINC000283588901 409181038 /nfs/dbraw/zinc/18/10/38/409181038.db2.gz LNWCASKDKNMGBK-AATRIKPKSA-N 1 2 321.384 1.639 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[NH+](Cc2cccnc2)CC1)C(C)(C)C ZINC000289122603 409221587 /nfs/dbraw/zinc/22/15/87/409221587.db2.gz IRJFWAZBFIFBKJ-MRXNPFEDSA-N 1 2 314.433 1.957 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)N1CCc2ccccc21 ZINC000283943895 409244381 /nfs/dbraw/zinc/24/43/81/409244381.db2.gz FNIVTCDDQFPDQP-SMDDNHRTSA-N 1 2 303.362 1.432 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)NCc1cn2ccccc2[nH+]1 ZINC000284014416 409257512 /nfs/dbraw/zinc/25/75/12/409257512.db2.gz PVIBWNWEEWOQAO-UHFFFAOYSA-N 1 2 300.362 1.375 20 30 DDEDLO N#Cc1ccccc1N1CC[NH+](C[C@H]2CCS(=O)(=O)C2)CC1 ZINC000280170069 409262434 /nfs/dbraw/zinc/26/24/34/409262434.db2.gz YJQUREIVSMYFFJ-CQSZACIVSA-N 1 2 319.430 1.115 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1F ZINC000294522784 409293490 /nfs/dbraw/zinc/29/34/90/409293490.db2.gz RKZBBQSAFKBSCC-WFASDCNBSA-N 1 2 315.348 1.803 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000290117254 409304022 /nfs/dbraw/zinc/30/40/22/409304022.db2.gz YHIPECLXFSDFGE-SFHVURJKSA-N 1 2 313.401 1.530 20 30 DDEDLO CCS[C@@H](C)c1noc(CO[NH+]=C(N)[C@H]2CCCO2)n1 ZINC000284471928 409345725 /nfs/dbraw/zinc/34/57/25/409345725.db2.gz RHEACWRAHNJUNG-DTWKUNHWSA-N 1 2 300.384 1.852 20 30 DDEDLO C=CCSCCNC(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC000285323520 409410242 /nfs/dbraw/zinc/41/02/42/409410242.db2.gz TXUFWZJOTJSNLA-UHFFFAOYSA-N 1 2 322.434 1.035 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@@H](c2[nH+]cc3n2CCCC3)C1 ZINC000296161461 409450068 /nfs/dbraw/zinc/45/00/68/409450068.db2.gz KOMUYOHOVIWJKN-LSDHHAIUSA-N 1 2 315.417 1.964 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3cccc(C#N)c3C)CC2)cc[nH+]1 ZINC000338119931 409733947 /nfs/dbraw/zinc/73/39/47/409733947.db2.gz QDUACIRYKJBTIZ-UHFFFAOYSA-N 1 2 321.384 1.928 20 30 DDEDLO CC(C)CO[C@@H]1CC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000345929461 409739145 /nfs/dbraw/zinc/73/91/45/409739145.db2.gz PKTMJEDGPLIKNW-HZPDHXFCSA-N 1 2 301.390 1.832 20 30 DDEDLO CC(C)CO[C@@H]1CC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000345929461 409739148 /nfs/dbraw/zinc/73/91/48/409739148.db2.gz PKTMJEDGPLIKNW-HZPDHXFCSA-N 1 2 301.390 1.832 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000354305765 409750509 /nfs/dbraw/zinc/75/05/09/409750509.db2.gz SSEVGCAUAKECEJ-MRXNPFEDSA-N 1 2 304.390 1.206 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000354305765 409750516 /nfs/dbraw/zinc/75/05/16/409750516.db2.gz SSEVGCAUAKECEJ-MRXNPFEDSA-N 1 2 304.390 1.206 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000297463509 409917739 /nfs/dbraw/zinc/91/77/39/409917739.db2.gz IEBYQJBOOPNHCE-LSDHHAIUSA-N 1 2 316.405 1.493 20 30 DDEDLO Cc1sc(NC(=O)CN2CCn3cc[nH+]c3C2)c(C#N)c1C ZINC000332095591 409877335 /nfs/dbraw/zinc/87/73/35/409877335.db2.gz NRGIDYOISDUUAL-UHFFFAOYSA-N 1 2 315.402 1.887 20 30 DDEDLO C[C@H](O)C[C@@H]1CCCN1C(=O)NC[C@@H]1CCCn2cc[nH+]c21 ZINC000328699173 409957164 /nfs/dbraw/zinc/95/71/64/409957164.db2.gz HZAAFMJAJOLHAN-IHRRRGAJSA-N 1 2 306.410 1.910 20 30 DDEDLO CNC(=O)[C@@H]1CCCN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)C1 ZINC000328623332 409935916 /nfs/dbraw/zinc/93/59/16/409935916.db2.gz YTLHWSZICPRIHV-QWHCGFSZSA-N 1 2 319.409 1.973 20 30 DDEDLO CCN1CCN(C(=O)NCC2(OC)CCC2)C[C@H]1c1[nH]cc[nH+]1 ZINC000328621243 409937383 /nfs/dbraw/zinc/93/73/83/409937383.db2.gz HPKQIBFJIBVTSU-ZDUSSCGKSA-N 1 2 321.425 1.571 20 30 DDEDLO Cc1c(C#N)c(NCCC[N@@H+]2CCNC(=O)C2)nc2ccccc12 ZINC000297653481 409943919 /nfs/dbraw/zinc/94/39/19/409943919.db2.gz YODYQLIZFMHCCO-UHFFFAOYSA-N 1 2 323.400 1.649 20 30 DDEDLO Cc1c(C#N)c(NCCC[N@H+]2CCNC(=O)C2)nc2ccccc12 ZINC000297653481 409943924 /nfs/dbraw/zinc/94/39/24/409943924.db2.gz YODYQLIZFMHCCO-UHFFFAOYSA-N 1 2 323.400 1.649 20 30 DDEDLO Cc1noc([C@H]2C[C@@H](O)C[N@@H+]2CCC(=O)NC2CCCC2)n1 ZINC000328644366 409946991 /nfs/dbraw/zinc/94/69/91/409946991.db2.gz ZEUGIAZUVPWBGE-CHWSQXEVSA-N 1 2 308.382 1.775 20 30 DDEDLO Cc1noc([C@H]2C[C@@H](O)C[N@H+]2CCC(=O)NC2CCCC2)n1 ZINC000328644366 409946999 /nfs/dbraw/zinc/94/69/99/409946999.db2.gz ZEUGIAZUVPWBGE-CHWSQXEVSA-N 1 2 308.382 1.775 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)NCc1[nH+]ccn1CC(C)C ZINC000357224004 409949191 /nfs/dbraw/zinc/94/91/91/409949191.db2.gz BPKQGSVMACZDCO-UHFFFAOYSA-N 1 2 306.410 1.580 20 30 DDEDLO CN1CCOC[C@@H]1C(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000328955981 410020668 /nfs/dbraw/zinc/02/06/68/410020668.db2.gz NKZXXVAKMOQJLQ-OAHLLOKOSA-N 1 2 318.421 1.859 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CCCC[C@@H]2[C@H]2CNC(=O)C2)C1 ZINC000328961148 410022831 /nfs/dbraw/zinc/02/28/31/410022831.db2.gz PXVYPMIUNIECLH-MGPQQGTHSA-N 1 2 324.425 1.062 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CCCC[C@@H]2[C@H]2CNC(=O)C2)C1 ZINC000328961148 410022838 /nfs/dbraw/zinc/02/28/38/410022838.db2.gz PXVYPMIUNIECLH-MGPQQGTHSA-N 1 2 324.425 1.062 20 30 DDEDLO CC1=CCN(C(=O)NCc2ccc[nH+]c2N2CCOCC2)CC1 ZINC000328858744 409997033 /nfs/dbraw/zinc/99/70/33/409997033.db2.gz LBASDZJRXIOQJN-UHFFFAOYSA-N 1 2 316.405 1.984 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)CNC(=O)CC3CCCC3)C[C@H]21 ZINC000328887299 410002855 /nfs/dbraw/zinc/00/28/55/410002855.db2.gz PJBPSLOGQNMVSI-HUUCEWRRSA-N 1 2 323.437 1.455 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)CNC(=O)CC3CCCC3)C[C@H]21 ZINC000328887299 410002860 /nfs/dbraw/zinc/00/28/60/410002860.db2.gz PJBPSLOGQNMVSI-HUUCEWRRSA-N 1 2 323.437 1.455 20 30 DDEDLO CC(C)(C#N)CC(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000357498363 410069693 /nfs/dbraw/zinc/06/96/93/410069693.db2.gz JVQIHZBUYVDLEZ-UHFFFAOYSA-N 1 2 314.389 1.408 20 30 DDEDLO CC(C)(C#N)CC(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000357498363 410069701 /nfs/dbraw/zinc/06/97/01/410069701.db2.gz JVQIHZBUYVDLEZ-UHFFFAOYSA-N 1 2 314.389 1.408 20 30 DDEDLO CCc1[nH+]ccn1[C@@H]1CCCN(C(=O)NCc2ccn(C)n2)C1 ZINC000329062561 410080597 /nfs/dbraw/zinc/08/05/97/410080597.db2.gz VEGHZSXHWGBVEY-CQSZACIVSA-N 1 2 316.409 1.930 20 30 DDEDLO CCOc1cc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)ccc1C ZINC000329130502 410118910 /nfs/dbraw/zinc/11/89/10/410118910.db2.gz OKYGRPIERGATKR-GOEBONIOSA-N 1 2 319.405 1.954 20 30 DDEDLO CCOc1cc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)ccc1C ZINC000329130502 410118915 /nfs/dbraw/zinc/11/89/15/410118915.db2.gz OKYGRPIERGATKR-GOEBONIOSA-N 1 2 319.405 1.954 20 30 DDEDLO CCn1ccnc1[C@H]1OCC[C@@H]1NC(=O)NCc1[nH+]ccn1C ZINC000329136277 410123357 /nfs/dbraw/zinc/12/33/57/410123357.db2.gz QYZXAOBZZOUZNG-AAEUAGOBSA-N 1 2 318.381 1.170 20 30 DDEDLO Cc1nnccc1NC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000329240966 410186847 /nfs/dbraw/zinc/18/68/47/410186847.db2.gz NCQLWRYNMYBEJM-YPMHNXCESA-N 1 2 305.382 1.126 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@H](C)c1nc[nH]n1)CCC2 ZINC000329245296 410190508 /nfs/dbraw/zinc/19/05/08/410190508.db2.gz AEJYOEZTBLGAIO-MNOVXSKESA-N 1 2 303.370 1.452 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)c1ccc(C#N)o1 ZINC000343192934 410225527 /nfs/dbraw/zinc/22/55/27/410225527.db2.gz ZXQLZNQZKRSKGP-UHFFFAOYSA-N 1 2 312.329 1.944 20 30 DDEDLO Cc1cnc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cn1 ZINC000354992635 410227026 /nfs/dbraw/zinc/22/70/26/410227026.db2.gz MBMBSAIWWDQBOG-CYBMUJFWSA-N 1 2 309.377 1.157 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cn1 ZINC000354992635 410227028 /nfs/dbraw/zinc/22/70/28/410227028.db2.gz MBMBSAIWWDQBOG-CYBMUJFWSA-N 1 2 309.377 1.157 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1CCOC[C@H]1C ZINC000329508017 410336950 /nfs/dbraw/zinc/33/69/50/410336950.db2.gz WYFVHCWOLLTHEH-TUVASFSCSA-N 1 2 311.426 1.120 20 30 DDEDLO O=C(NC[C@H]1COc2ccccc2O1)N1CCn2cc[nH+]c2C1 ZINC000329444092 410303271 /nfs/dbraw/zinc/30/32/71/410303271.db2.gz NLTGXHHYOHURMI-LBPRGKRZSA-N 1 2 314.345 1.453 20 30 DDEDLO CCC[N@H+](CC(=O)Nc1sccc1C#N)CC(=O)N(C)C ZINC000299112486 410527846 /nfs/dbraw/zinc/52/78/46/410527846.db2.gz BSFUJVFLZQUTLX-UHFFFAOYSA-N 1 2 308.407 1.358 20 30 DDEDLO CCC[N@@H+](CC(=O)Nc1sccc1C#N)CC(=O)N(C)C ZINC000299112486 410527849 /nfs/dbraw/zinc/52/78/49/410527849.db2.gz BSFUJVFLZQUTLX-UHFFFAOYSA-N 1 2 308.407 1.358 20 30 DDEDLO Cc1cc(NC[C@@H]2CCC3(CCOCC3)[C@@H]2O)c(C#N)c[nH+]1 ZINC000333462815 410561587 /nfs/dbraw/zinc/56/15/87/410561587.db2.gz LZRCTKWKVDWEDI-XJKSGUPXSA-N 1 2 301.390 1.663 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000352456596 410659977 /nfs/dbraw/zinc/65/99/77/410659977.db2.gz SUXAXWPLOANTAH-MRXNPFEDSA-N 1 2 306.410 1.283 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CC[S@@](=O)[C@@H](C)C1 ZINC000352757212 410676630 /nfs/dbraw/zinc/67/66/30/410676630.db2.gz GGUYDQJXZSSVRH-GZWGPKMKSA-N 1 2 323.458 1.608 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CC[S@@](=O)[C@@H](C)C1 ZINC000352757212 410676635 /nfs/dbraw/zinc/67/66/35/410676635.db2.gz GGUYDQJXZSSVRH-GZWGPKMKSA-N 1 2 323.458 1.608 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N2CCn3c[nH+]cc3C2)ccc1Cl ZINC000352723321 410676989 /nfs/dbraw/zinc/67/69/89/410676989.db2.gz BBKVFVZTPXCRJL-UHFFFAOYSA-N 1 2 322.777 1.613 20 30 DDEDLO COC[C@H](C)NC(=O)NC(=O)C[N@@H+]1CCCC[C@H]1c1ccco1 ZINC000330788774 410895347 /nfs/dbraw/zinc/89/53/47/410895347.db2.gz ALWRXEQATKKZIZ-STQMWFEESA-N 1 2 323.393 1.872 20 30 DDEDLO COC[C@H](C)NC(=O)NC(=O)C[N@H+]1CCCC[C@H]1c1ccco1 ZINC000330788774 410895350 /nfs/dbraw/zinc/89/53/50/410895350.db2.gz ALWRXEQATKKZIZ-STQMWFEESA-N 1 2 323.393 1.872 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)[C@@]1(CO)CCOC1 ZINC000359829020 410905193 /nfs/dbraw/zinc/90/51/93/410905193.db2.gz FCKKSRNAXYNKCK-OAHLLOKOSA-N 1 2 323.780 1.233 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)[C@@]1(CO)CCOC1 ZINC000359829020 410905200 /nfs/dbraw/zinc/90/52/00/410905200.db2.gz FCKKSRNAXYNKCK-OAHLLOKOSA-N 1 2 323.780 1.233 20 30 DDEDLO CC[N@@H+](CC(=O)NC[C@H]1COc2ccccc2O1)C[C@H](C)C#N ZINC000353305780 410911291 /nfs/dbraw/zinc/91/12/91/410911291.db2.gz DTKVIDIJZDCXKG-KGLIPLIRSA-N 1 2 317.389 1.424 20 30 DDEDLO CC[N@H+](CC(=O)NC[C@H]1COc2ccccc2O1)C[C@H](C)C#N ZINC000353305780 410911295 /nfs/dbraw/zinc/91/12/95/410911295.db2.gz DTKVIDIJZDCXKG-KGLIPLIRSA-N 1 2 317.389 1.424 20 30 DDEDLO CC(C)OC[C@H](O)C[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000341813965 411061378 /nfs/dbraw/zinc/06/13/78/411061378.db2.gz LUWUBKBMKHJYBH-SJORKVTESA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@H](O)C[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000341813965 411061382 /nfs/dbraw/zinc/06/13/82/411061382.db2.gz LUWUBKBMKHJYBH-SJORKVTESA-N 1 2 304.390 1.717 20 30 DDEDLO C=CCOC[C@H]1NC(=O)N(C[C@@H]2CCCn3cc(C)[nH+]c32)C1=O ZINC000348617401 411037879 /nfs/dbraw/zinc/03/78/79/411037879.db2.gz UCSLKHFDLJHKAC-QWHCGFSZSA-N 1 2 318.377 1.192 20 30 DDEDLO N#CCN(C1CC1)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000353795614 411131353 /nfs/dbraw/zinc/13/13/53/411131353.db2.gz PPGZNRWMALXWSB-UHFFFAOYSA-N 1 2 316.386 1.608 20 30 DDEDLO C=CCN(Cc1ccc(OC)cc1)C(=O)[C@@H]1COCC[N@H+]1CC ZINC000636693990 422945060 /nfs/dbraw/zinc/94/50/60/422945060.db2.gz IKVIHULLJXWCII-KRWDZBQOSA-N 1 2 318.417 1.931 20 30 DDEDLO C=CCN(Cc1ccc(OC)cc1)C(=O)[C@@H]1COCC[N@@H+]1CC ZINC000636693990 422945061 /nfs/dbraw/zinc/94/50/61/422945061.db2.gz IKVIHULLJXWCII-KRWDZBQOSA-N 1 2 318.417 1.931 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCc2ccc(F)cc2C1 ZINC000134011000 196352893 /nfs/dbraw/zinc/35/28/93/196352893.db2.gz PVORVLZSAOXHMK-LLVKDONJSA-N 1 2 305.353 1.584 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCc2ccc(F)cc2C1 ZINC000134011000 196352895 /nfs/dbraw/zinc/35/28/95/196352895.db2.gz PVORVLZSAOXHMK-LLVKDONJSA-N 1 2 305.353 1.584 20 30 DDEDLO CN(C)C(=O)O[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000296654369 226091207 /nfs/dbraw/zinc/09/12/07/226091207.db2.gz JGTPDEQVRSIINH-CQSZACIVSA-N 1 2 316.361 1.269 20 30 DDEDLO CN(C)C(=O)O[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000296654369 226091211 /nfs/dbraw/zinc/09/12/11/226091211.db2.gz JGTPDEQVRSIINH-CQSZACIVSA-N 1 2 316.361 1.269 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1C[C@@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000373264762 418416676 /nfs/dbraw/zinc/41/66/76/418416676.db2.gz RCGONVXIEHOIBJ-QBPKDAKJSA-N 1 2 322.449 1.537 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H](O)c2ccccc2C1 ZINC000374823019 418567192 /nfs/dbraw/zinc/56/71/92/418567192.db2.gz VDMUVFTUFNVAQH-FUHWJXTLSA-N 1 2 315.417 1.980 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@H](O)c2ccccc2C1 ZINC000374823019 418567195 /nfs/dbraw/zinc/56/71/95/418567195.db2.gz VDMUVFTUFNVAQH-FUHWJXTLSA-N 1 2 315.417 1.980 20 30 DDEDLO CC(C)C[C@@H]1C(=O)NCC[N@H+]1CCOc1ccccc1C#N ZINC000189797768 222056545 /nfs/dbraw/zinc/05/65/45/222056545.db2.gz DCXYYYUVSVTYSA-OAHLLOKOSA-N 1 2 301.390 1.784 20 30 DDEDLO CC(C)C[C@@H]1C(=O)NCC[N@@H+]1CCOc1ccccc1C#N ZINC000189797768 222056547 /nfs/dbraw/zinc/05/65/47/222056547.db2.gz DCXYYYUVSVTYSA-OAHLLOKOSA-N 1 2 301.390 1.784 20 30 DDEDLO N#CCC[N@H+](CCN1CCOCC1)C[C@@H](O)C1CCCCC1 ZINC000190628400 222084687 /nfs/dbraw/zinc/08/46/87/222084687.db2.gz OBOQLEPABYUHEO-QGZVFWFLSA-N 1 2 309.454 1.475 20 30 DDEDLO N#CCC[N@@H+](CCN1CCOCC1)C[C@@H](O)C1CCCCC1 ZINC000190628400 222084688 /nfs/dbraw/zinc/08/46/88/222084688.db2.gz OBOQLEPABYUHEO-QGZVFWFLSA-N 1 2 309.454 1.475 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H](O)C1CCCCC1 ZINC000190628400 222084690 /nfs/dbraw/zinc/08/46/90/222084690.db2.gz OBOQLEPABYUHEO-QGZVFWFLSA-N 1 2 309.454 1.475 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCN(Cc2cccc(Cl)c2)CC1 ZINC000192224249 222123737 /nfs/dbraw/zinc/12/37/37/222123737.db2.gz ZXHKIFLLVQVAIY-UHFFFAOYSA-N 1 2 319.836 1.549 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCN(Cc2cccc(Cl)c2)CC1 ZINC000192224249 222123740 /nfs/dbraw/zinc/12/37/40/222123740.db2.gz ZXHKIFLLVQVAIY-UHFFFAOYSA-N 1 2 319.836 1.549 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1ccc(N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000192234463 222124364 /nfs/dbraw/zinc/12/43/64/222124364.db2.gz YDRGOEPVPLXHCI-GJZGRUSLSA-N 1 2 315.417 1.804 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1ccc(N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000192234463 222124366 /nfs/dbraw/zinc/12/43/66/222124366.db2.gz YDRGOEPVPLXHCI-GJZGRUSLSA-N 1 2 315.417 1.804 20 30 DDEDLO C=CCOC[C@H](NCc1c[nH+]c2ccc(C)cn12)C(=O)OC ZINC000361106231 418584815 /nfs/dbraw/zinc/58/48/15/418584815.db2.gz FCIMZJMAMCAPAM-AWEZNQCLSA-N 1 2 303.362 1.477 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1 ZINC000245798873 222218601 /nfs/dbraw/zinc/21/86/01/222218601.db2.gz YXOMTIMKDBQLJX-WCQYABFASA-N 1 2 303.410 1.552 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1 ZINC000245798873 222218605 /nfs/dbraw/zinc/21/86/05/222218605.db2.gz YXOMTIMKDBQLJX-WCQYABFASA-N 1 2 303.410 1.552 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCNC(=O)[C@@H]1c1ccc(F)cc1C ZINC000248607868 222236702 /nfs/dbraw/zinc/23/67/02/222236702.db2.gz DOUCWBCYQJSSNB-HOCLYGCPSA-N 1 2 306.381 1.934 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCNC(=O)[C@@H]1c1ccc(F)cc1C ZINC000248607868 222236703 /nfs/dbraw/zinc/23/67/03/222236703.db2.gz DOUCWBCYQJSSNB-HOCLYGCPSA-N 1 2 306.381 1.934 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@H](CS(C)(=O)=O)C1 ZINC000367847370 418645977 /nfs/dbraw/zinc/64/59/77/418645977.db2.gz FWUVXVCGDBCNAK-ZDUSSCGKSA-N 1 2 308.403 1.433 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@H](CS(C)(=O)=O)C1 ZINC000367847370 418645980 /nfs/dbraw/zinc/64/59/80/418645980.db2.gz FWUVXVCGDBCNAK-ZDUSSCGKSA-N 1 2 308.403 1.433 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(S(=O)(=O)c2ccc(C#N)o2)C1 ZINC000367789546 418634712 /nfs/dbraw/zinc/63/47/12/418634712.db2.gz ZIEUHBPAKQGQLL-LLVKDONJSA-N 1 2 320.374 1.453 20 30 DDEDLO CO/N=C/C(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1OC ZINC000377691563 418713189 /nfs/dbraw/zinc/71/31/89/418713189.db2.gz HRNPMGBBNIWDID-NGWPFTMJSA-N 1 2 302.334 1.267 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCOC[C@H]2CC(=O)OC)cc1 ZINC000377868343 418716381 /nfs/dbraw/zinc/71/63/81/418716381.db2.gz HJZSYXXMALDMAY-MRXNPFEDSA-N 1 2 317.385 1.853 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCOC[C@H]2CC(=O)OC)cc1 ZINC000377868343 418716385 /nfs/dbraw/zinc/71/63/85/418716385.db2.gz HJZSYXXMALDMAY-MRXNPFEDSA-N 1 2 317.385 1.853 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@@H](c2noc(C)n2)C1 ZINC000375763449 418682488 /nfs/dbraw/zinc/68/24/88/418682488.db2.gz JSJUYSXOYUAJKQ-CQSZACIVSA-N 1 2 304.394 1.758 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@@H](c2noc(C)n2)C1 ZINC000375763449 418682491 /nfs/dbraw/zinc/68/24/91/418682491.db2.gz JSJUYSXOYUAJKQ-CQSZACIVSA-N 1 2 304.394 1.758 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H](c2ccncn2)C1 ZINC000361638208 418694749 /nfs/dbraw/zinc/69/47/49/418694749.db2.gz ZGFGEJPFRNQLAV-RHSMWYFYSA-N 1 2 315.421 1.710 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H](c2ccncn2)C1 ZINC000361638208 418694750 /nfs/dbraw/zinc/69/47/50/418694750.db2.gz ZGFGEJPFRNQLAV-RHSMWYFYSA-N 1 2 315.421 1.710 20 30 DDEDLO C=CCSc1ccccc1C(=O)N1CC[NH+](CCO)CC1 ZINC000362405804 418753893 /nfs/dbraw/zinc/75/38/93/418753893.db2.gz XMDKHHSZTNMXCD-UHFFFAOYSA-N 1 2 306.431 1.715 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000371059804 418760457 /nfs/dbraw/zinc/76/04/57/418760457.db2.gz SAHIGPDSXLSZPV-CYBMUJFWSA-N 1 2 306.435 1.772 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000371059804 418760458 /nfs/dbraw/zinc/76/04/58/418760458.db2.gz SAHIGPDSXLSZPV-CYBMUJFWSA-N 1 2 306.435 1.772 20 30 DDEDLO CNC(=O)[C@H]1CC[N@H+](Cc2ccc(Br)cc2C#N)C1 ZINC000398499672 418762235 /nfs/dbraw/zinc/76/22/35/418762235.db2.gz XCLIJWIVWMAHRM-NSHDSACASA-N 1 2 322.206 1.889 20 30 DDEDLO CNC(=O)[C@H]1CC[N@@H+](Cc2ccc(Br)cc2C#N)C1 ZINC000398499672 418762237 /nfs/dbraw/zinc/76/22/37/418762237.db2.gz XCLIJWIVWMAHRM-NSHDSACASA-N 1 2 322.206 1.889 20 30 DDEDLO CS(=O)(=O)NCC[N@@H+]1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000363285302 418763805 /nfs/dbraw/zinc/76/38/05/418763805.db2.gz IDKQUWVGOSBMKJ-HUUCEWRRSA-N 1 2 307.419 1.307 20 30 DDEDLO CS(=O)(=O)NCC[N@H+]1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000363285302 418763807 /nfs/dbraw/zinc/76/38/07/418763807.db2.gz IDKQUWVGOSBMKJ-HUUCEWRRSA-N 1 2 307.419 1.307 20 30 DDEDLO N#Cc1ccc(F)c(CNC(=O)C2([NH+]3CCOCC3)CCC2)c1 ZINC000363710695 418769670 /nfs/dbraw/zinc/76/96/70/418769670.db2.gz MJEAWWVQGOLWCR-UHFFFAOYSA-N 1 2 317.364 1.568 20 30 DDEDLO Cc1n[nH]cc1C[N@@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000371469994 418794447 /nfs/dbraw/zinc/79/44/47/418794447.db2.gz HWHSNRLCXAUGNP-DYVFJYSZSA-N 1 2 307.357 1.564 20 30 DDEDLO Cc1n[nH]cc1C[N@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000371469994 418794449 /nfs/dbraw/zinc/79/44/49/418794449.db2.gz HWHSNRLCXAUGNP-DYVFJYSZSA-N 1 2 307.357 1.564 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CCCC[C@@H]1c1[nH+]ccn1CC ZINC000410859987 418852429 /nfs/dbraw/zinc/85/24/29/418852429.db2.gz XLWYYVAJUTVOLW-CQSZACIVSA-N 1 2 318.421 1.991 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000411181753 418877187 /nfs/dbraw/zinc/87/71/87/418877187.db2.gz PIMUBVGRVPIPCL-RHSMWYFYSA-N 1 2 324.469 1.668 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000411181753 418877188 /nfs/dbraw/zinc/87/71/88/418877188.db2.gz PIMUBVGRVPIPCL-RHSMWYFYSA-N 1 2 324.469 1.668 20 30 DDEDLO C=CCCS(=O)(=O)NCC1([NH+]2CCOCC2)CCCC1 ZINC000372289159 418851946 /nfs/dbraw/zinc/85/19/46/418851946.db2.gz XNLIUWIFDPCZPI-UHFFFAOYSA-N 1 2 302.440 1.127 20 30 DDEDLO C[C@@]1(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)C[C@@H]1F ZINC000424551198 228288629 /nfs/dbraw/zinc/28/86/29/228288629.db2.gz ZVXXRJIXFCBRTG-DOTOQJQBSA-N 1 2 301.365 1.951 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000425910402 419365620 /nfs/dbraw/zinc/36/56/20/419365620.db2.gz VOWQPFAHHMIINO-GJZGRUSLSA-N 1 2 317.389 1.022 20 30 DDEDLO COCC(COC)N1CC[NH+]([C@@H](C)c2cccc(C#N)c2)CC1 ZINC000411862277 419442580 /nfs/dbraw/zinc/44/25/80/419442580.db2.gz OQPIJALIMAKAPL-HNNXBMFYSA-N 1 2 317.433 1.898 20 30 DDEDLO C[C@@H]([NH2+][C@H](C)c1csnn1)C(=O)NC1(C#N)CCCCC1 ZINC000412026322 419568822 /nfs/dbraw/zinc/56/88/22/419568822.db2.gz LJKHWBRUDJMNQM-GHMZBOCLSA-N 1 2 307.423 1.920 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC3(CC2)CCS(=O)(=O)CC3)o1 ZINC000430228330 420092840 /nfs/dbraw/zinc/09/28/40/420092840.db2.gz SESMUSDIROKLRS-UHFFFAOYSA-N 1 2 308.403 1.942 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N(CC)CCC#N)C1CCCC1 ZINC000434982494 420260823 /nfs/dbraw/zinc/26/08/23/420260823.db2.gz PLAKENOMXMRNFG-UHFFFAOYSA-N 1 2 309.410 1.556 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N(CC)CCC#N)C1CCCC1 ZINC000434982494 420260826 /nfs/dbraw/zinc/26/08/26/420260826.db2.gz PLAKENOMXMRNFG-UHFFFAOYSA-N 1 2 309.410 1.556 20 30 DDEDLO Cc1cc(N[C@H](C)C(=O)NCCc2ccccc2)c(C#N)c[nH+]1 ZINC000425272372 420335918 /nfs/dbraw/zinc/33/59/18/420335918.db2.gz WRAQENXPGHSJRV-CQSZACIVSA-N 1 2 308.385 1.843 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](CO)C[NH+]2CCOCC2)CCCCC1 ZINC000425297246 420343500 /nfs/dbraw/zinc/34/35/00/420343500.db2.gz USQBZIAEWVUTFV-OAHLLOKOSA-N 1 2 310.438 1.322 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[N@H+](C)[C@@H](Cc2ccccc2)C1 ZINC000416482120 420387392 /nfs/dbraw/zinc/38/73/92/420387392.db2.gz WZEIJYOQMIBXDQ-HIFRSBDPSA-N 1 2 307.419 1.087 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[N@@H+](C)[C@@H](Cc2ccccc2)C1 ZINC000416482120 420387396 /nfs/dbraw/zinc/38/73/96/420387396.db2.gz WZEIJYOQMIBXDQ-HIFRSBDPSA-N 1 2 307.419 1.087 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCc1ccc(S(C)(=O)=O)cc1)C1CC1 ZINC000425464013 420391677 /nfs/dbraw/zinc/39/16/77/420391677.db2.gz WVIAGQRTDCJQEA-UHFFFAOYSA-N 1 2 322.430 1.357 20 30 DDEDLO C=CC[N@H+](CC(=O)NCc1ccc(S(C)(=O)=O)cc1)C1CC1 ZINC000425464013 420391680 /nfs/dbraw/zinc/39/16/80/420391680.db2.gz WVIAGQRTDCJQEA-UHFFFAOYSA-N 1 2 322.430 1.357 20 30 DDEDLO C=CCN(C)S(=O)(=O)c1ccc(NCc2c[nH+]cn2C)cc1 ZINC000439620247 420529164 /nfs/dbraw/zinc/52/91/64/420529164.db2.gz VIZPEBVEFIRBKU-UHFFFAOYSA-N 1 2 320.418 1.839 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000456774359 420542938 /nfs/dbraw/zinc/54/29/38/420542938.db2.gz JIJGBPRONKFCKL-QGZVFWFLSA-N 1 2 318.417 1.576 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(C(=O)OCC)cn2)C1=O ZINC000450909055 420556313 /nfs/dbraw/zinc/55/63/13/420556313.db2.gz SIBJJYYBEIFSPG-OAHLLOKOSA-N 1 2 317.389 1.477 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(C(=O)OCC)cn2)C1=O ZINC000450909055 420556317 /nfs/dbraw/zinc/55/63/17/420556317.db2.gz SIBJJYYBEIFSPG-OAHLLOKOSA-N 1 2 317.389 1.477 20 30 DDEDLO CO[C@@H]1C[N@@H+]([C@H](C)C(=O)N(CCC#N)c2ccccc2)C[C@H]1O ZINC000451763577 420612733 /nfs/dbraw/zinc/61/27/33/420612733.db2.gz SRIOYTSTQBJNNU-FVQBIDKESA-N 1 2 317.389 1.013 20 30 DDEDLO CO[C@@H]1C[N@H+]([C@H](C)C(=O)N(CCC#N)c2ccccc2)C[C@H]1O ZINC000451763577 420612734 /nfs/dbraw/zinc/61/27/34/420612734.db2.gz SRIOYTSTQBJNNU-FVQBIDKESA-N 1 2 317.389 1.013 20 30 DDEDLO CC#CCCNC(=O)N1CC[C@@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000442645165 420692322 /nfs/dbraw/zinc/69/23/22/420692322.db2.gz FXIRFNOZPPRVEE-QGZVFWFLSA-N 1 2 323.437 1.505 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)N[C@H]2CC[C@@H](CC#N)C2)CCO1 ZINC000459005867 420780226 /nfs/dbraw/zinc/78/02/26/420780226.db2.gz INGFULUQJWHSRN-SOUVJXGZSA-N 1 2 308.426 1.479 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)N[C@H]2CC[C@@H](CC#N)C2)CCO1 ZINC000459005867 420780229 /nfs/dbraw/zinc/78/02/29/420780229.db2.gz INGFULUQJWHSRN-SOUVJXGZSA-N 1 2 308.426 1.479 20 30 DDEDLO CCc1cc(N)nc(S[C@H](C(N)=O)c2ccc(C#N)cc2)[nH+]1 ZINC000447788744 420800141 /nfs/dbraw/zinc/80/01/41/420800141.db2.gz PKTVEFRECOLJLE-ZDUSSCGKSA-N 1 2 313.386 1.812 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000448789388 420896715 /nfs/dbraw/zinc/89/67/15/420896715.db2.gz AKRXZZVBLYNRJZ-RDTXWAMCSA-N 1 2 312.417 1.922 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)[C@@]2(C#N)C[C@@H]2C)CC1 ZINC000448903345 420911738 /nfs/dbraw/zinc/91/17/38/420911738.db2.gz YGJMTBMPSZRRGE-KBXCAEBGSA-N 1 2 313.401 1.889 20 30 DDEDLO C[C@H]1C[C@@]1(C#N)C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000448586773 420866398 /nfs/dbraw/zinc/86/63/98/420866398.db2.gz BIJZDHPZJOPKJI-YJBOKZPZSA-N 1 2 313.401 1.759 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)Cc2c(F)cccc2C#N)C1 ZINC000560602991 421283522 /nfs/dbraw/zinc/28/35/22/421283522.db2.gz NLIVNHSREMCLIK-ZDUSSCGKSA-N 1 2 312.348 1.989 20 30 DDEDLO CS(=O)(=O)c1ccc(C[NH2+]Cc2nc(C#N)cs2)cc1 ZINC000352821601 269805285 /nfs/dbraw/zinc/80/52/85/269805285.db2.gz HSNOGDKTAURRCU-UHFFFAOYSA-N 1 2 307.400 1.708 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)NC2(C#N)CCC2)CC2(CCCC2)O1 ZINC000527198998 421364249 /nfs/dbraw/zinc/36/42/49/421364249.db2.gz PTOXNSRGVWYPLW-UHFFFAOYSA-N 1 2 305.422 1.972 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)NC2(C#N)CCC2)CC2(CCCC2)O1 ZINC000527198998 421364252 /nfs/dbraw/zinc/36/42/52/421364252.db2.gz PTOXNSRGVWYPLW-UHFFFAOYSA-N 1 2 305.422 1.972 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCc3nc(C(C)C)ncc3C2)C1=O ZINC000527623380 421426040 /nfs/dbraw/zinc/42/60/40/421426040.db2.gz NXUWKHDYTIWAGD-HNNXBMFYSA-N 1 2 300.406 1.745 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCc3nc(C(C)C)ncc3C2)C1=O ZINC000527623380 421426042 /nfs/dbraw/zinc/42/60/42/421426042.db2.gz NXUWKHDYTIWAGD-HNNXBMFYSA-N 1 2 300.406 1.745 20 30 DDEDLO CC1(C)COCC[N@@H+]1CC#CCN1C(=O)c2ccccc2C1=O ZINC000528361281 421482256 /nfs/dbraw/zinc/48/22/56/421482256.db2.gz ZKVXJJBLOLJRLJ-UHFFFAOYSA-N 1 2 312.369 1.397 20 30 DDEDLO CC1(C)COCC[N@H+]1CC#CCN1C(=O)c2ccccc2C1=O ZINC000528361281 421482257 /nfs/dbraw/zinc/48/22/57/421482257.db2.gz ZKVXJJBLOLJRLJ-UHFFFAOYSA-N 1 2 312.369 1.397 20 30 DDEDLO C[C@H](C(=O)N(C)C1CCCCC1)[NH+]1CCN(C(=O)CC#N)CC1 ZINC000563365583 421493938 /nfs/dbraw/zinc/49/39/38/421493938.db2.gz VAHCQKGDGRHGAE-CQSZACIVSA-N 1 2 320.437 1.224 20 30 DDEDLO N#CCC1(O)CN(C(=O)CCn2c3ccccc3[nH+]c2C2CC2)C1 ZINC000530292012 421593231 /nfs/dbraw/zinc/59/32/31/421593231.db2.gz HZEHWERIQMQCIL-UHFFFAOYSA-N 1 2 324.384 1.791 20 30 DDEDLO COc1ccc2c(c1)[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)CC2 ZINC000567593179 421613063 /nfs/dbraw/zinc/61/30/63/421613063.db2.gz SUMBWDHZXPQECB-PBHICJAKSA-N 1 2 301.390 1.685 20 30 DDEDLO N#CCC[C@@H](C#N)C[NH2+]Cc1cnc(N2CCOCC2)c(F)c1 ZINC000566132654 421602645 /nfs/dbraw/zinc/60/26/45/421602645.db2.gz ZFYIVVXBYAZLTA-ZDUSSCGKSA-N 1 2 317.368 1.590 20 30 DDEDLO Cc1[nH]c(=O)c(C#N)c(C)c1CCC(=O)N[C@H](C)c1[nH]cc[nH+]1 ZINC000571785840 421745055 /nfs/dbraw/zinc/74/50/55/421745055.db2.gz JTEOWEGMPBDVRV-LLVKDONJSA-N 1 2 313.361 1.809 20 30 DDEDLO C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000520926893 421766953 /nfs/dbraw/zinc/76/69/53/421766953.db2.gz PVQLEBVWNQEHPL-HZUKXOBISA-N 1 2 303.362 1.175 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)Nc2cccc(C#N)n2)CCO1 ZINC000572481207 421792895 /nfs/dbraw/zinc/79/28/95/421792895.db2.gz XOJISKRVQCQDNG-ZDUSSCGKSA-N 1 2 303.366 1.186 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)Nc2cccc(C#N)n2)CCO1 ZINC000572481207 421792896 /nfs/dbraw/zinc/79/28/96/421792896.db2.gz XOJISKRVQCQDNG-ZDUSSCGKSA-N 1 2 303.366 1.186 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000627685718 421846265 /nfs/dbraw/zinc/84/62/65/421846265.db2.gz CXUDMWFZVAXVBX-UHFFFAOYSA-N 1 2 300.362 1.991 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000633897560 422142054 /nfs/dbraw/zinc/14/20/54/422142054.db2.gz XLYFVAUMYHZKAS-UHFFFAOYSA-N 1 2 304.390 1.629 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)s1 ZINC000574910094 422212039 /nfs/dbraw/zinc/21/20/39/422212039.db2.gz HKEDOOWITXHKEY-VIFPVBQESA-N 1 2 301.375 1.700 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)s1 ZINC000574910094 422212047 /nfs/dbraw/zinc/21/20/47/422212047.db2.gz HKEDOOWITXHKEY-VIFPVBQESA-N 1 2 301.375 1.700 20 30 DDEDLO N#Cc1ccc2c(c1)CCCN2C[C@H](O)C[NH+]1CCOCC1 ZINC000584002611 422239115 /nfs/dbraw/zinc/23/91/15/422239115.db2.gz GNYDHJMEPTXKCI-MRXNPFEDSA-N 1 2 301.390 1.004 20 30 DDEDLO Cc1nc(N)c(CNC(=O)[C@H](C)Cc2ccc(C#N)cc2)c[nH+]1 ZINC000594357723 422345849 /nfs/dbraw/zinc/34/58/49/422345849.db2.gz MBKAXFQOKZENPQ-LLVKDONJSA-N 1 2 309.373 1.734 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1csc(-c2ccoc2)n1 ZINC000576403519 422374879 /nfs/dbraw/zinc/37/48/79/422374879.db2.gz VOXARMATUUMYQB-HNNXBMFYSA-N 1 2 318.402 1.906 20 30 DDEDLO N#Cc1ccncc1C(=O)NCCNc1ccc2ccccc2[nH+]1 ZINC000589838932 422317780 /nfs/dbraw/zinc/31/77/80/422317780.db2.gz LBJKLQOBBNGIMC-UHFFFAOYSA-N 1 2 317.352 2.343 20 30 DDEDLO C=C(C)C[C@@H]1NC(=O)N(CCc2cn3c([nH+]2)CCCC3)C1=O ZINC000630967200 422446938 /nfs/dbraw/zinc/44/69/38/422446938.db2.gz IRAIOEXLFLNYSX-ZDUSSCGKSA-N 1 2 302.378 1.649 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[NH2+]Cc2noc(C3CC3)n2)cc1 ZINC000578499745 422584258 /nfs/dbraw/zinc/58/42/58/422584258.db2.gz YSCVSIUMPJPRNA-CYBMUJFWSA-N 1 2 314.345 1.348 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N2CCc3ccccc32)nn1 ZINC000640816145 423209505 /nfs/dbraw/zinc/20/95/05/423209505.db2.gz BCTOTPIUKKLGQB-CQSZACIVSA-N 1 2 323.400 1.541 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000649238927 423693996 /nfs/dbraw/zinc/69/39/96/423693996.db2.gz PJCAILDQOPLPHJ-JKSUJKDBSA-N 1 2 311.385 1.822 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H]2CCN(c3ccccc3)C2=O)nn1 ZINC000657357841 424308042 /nfs/dbraw/zinc/30/80/42/424308042.db2.gz FUAUHZXEZXLOMQ-MRXNPFEDSA-N 1 2 311.389 1.749 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@H+]1C[C@@H](C)OC[C@H]1C ZINC000665038747 424733657 /nfs/dbraw/zinc/73/36/57/424733657.db2.gz RSEFPFSLZFBMHC-TUVASFSCSA-N 1 2 311.426 1.128 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@H]1C ZINC000665038747 424733660 /nfs/dbraw/zinc/73/36/60/424733660.db2.gz RSEFPFSLZFBMHC-TUVASFSCSA-N 1 2 311.426 1.128 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2cncc(C#N)c2)C[C@H]1C ZINC000352082399 266159585 /nfs/dbraw/zinc/15/95/85/266159585.db2.gz JDZSJCPZJMQNQB-NEPJUHHUSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2cncc(C#N)c2)C[C@H]1C ZINC000352082399 266159589 /nfs/dbraw/zinc/15/95/89/266159589.db2.gz JDZSJCPZJMQNQB-NEPJUHHUSA-N 1 2 308.407 1.056 20 30 DDEDLO CCOCCOCC[N@H+](C)[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000346683072 266281128 /nfs/dbraw/zinc/28/11/28/266281128.db2.gz FODCYDQZXINHRD-HNNXBMFYSA-N 1 2 305.378 1.070 20 30 DDEDLO CCOCCOCC[N@@H+](C)[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000346683072 266281129 /nfs/dbraw/zinc/28/11/29/266281129.db2.gz FODCYDQZXINHRD-HNNXBMFYSA-N 1 2 305.378 1.070 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)c2ccc(C#N)c(C)n2)CCO1 ZINC000347646591 266379091 /nfs/dbraw/zinc/37/90/91/266379091.db2.gz GMAJROWMDPGASB-CQSZACIVSA-N 1 2 302.378 1.102 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)c2ccc(C#N)c(C)n2)CCO1 ZINC000347646591 266379101 /nfs/dbraw/zinc/37/91/01/266379101.db2.gz GMAJROWMDPGASB-CQSZACIVSA-N 1 2 302.378 1.102 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[S@](=O)CC[C@@H]1C ZINC000375947684 266794817 /nfs/dbraw/zinc/79/48/17/266794817.db2.gz LYLZHVSJFLERHL-WHEQGISXSA-N 1 2 322.430 1.789 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[S@](=O)CC[C@@H]1C ZINC000375947684 266794818 /nfs/dbraw/zinc/79/48/18/266794818.db2.gz LYLZHVSJFLERHL-WHEQGISXSA-N 1 2 322.430 1.789 20 30 DDEDLO C[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)CC[S@@](=O)C1 ZINC000369352177 267056292 /nfs/dbraw/zinc/05/62/92/267056292.db2.gz IAUNYUPKTMLANP-XUSGNXJCSA-N 1 2 302.403 1.406 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CC[C@H](n2cncn2)C1 ZINC000375316152 267140325 /nfs/dbraw/zinc/14/03/25/267140325.db2.gz BXQNGJNIDINRQU-OCCSQVGLSA-N 1 2 310.361 1.424 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CC[C@H](n2cncn2)C1 ZINC000375316152 267140332 /nfs/dbraw/zinc/14/03/32/267140332.db2.gz BXQNGJNIDINRQU-OCCSQVGLSA-N 1 2 310.361 1.424 20 30 DDEDLO C[C@H]1[C@H]([NH+]2CCOCC2)CCN1C(=O)c1ccc(C#N)c(O)c1 ZINC000356709188 267252145 /nfs/dbraw/zinc/25/21/45/267252145.db2.gz NQBGXPSFWHRLDW-SWLSCSKDSA-N 1 2 315.373 1.199 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCc3ncccc3C2)cc1 ZINC000367851818 268068218 /nfs/dbraw/zinc/06/82/18/268068218.db2.gz WRIFLRDIFPJOBV-UHFFFAOYSA-N 1 2 306.369 1.628 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCc3ncccc3C2)cc1 ZINC000367851818 268068224 /nfs/dbraw/zinc/06/82/24/268068224.db2.gz WRIFLRDIFPJOBV-UHFFFAOYSA-N 1 2 306.369 1.628 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CNc3cccc(OCC(N)=O)c3)n2c1 ZINC000355337213 268225359 /nfs/dbraw/zinc/22/53/59/268225359.db2.gz QCZDPUXZAZISIK-UHFFFAOYSA-N 1 2 321.340 1.682 20 30 DDEDLO N#Cc1cccc(-c2c[nH]c(CNC(=O)CCn3cc[nH+]c3)n2)c1 ZINC000371352715 268227533 /nfs/dbraw/zinc/22/75/33/268227533.db2.gz HCJXQTTXADVDKJ-UHFFFAOYSA-N 1 2 320.356 1.851 20 30 DDEDLO N#Cc1cccc(-n2ccc(C(=O)N3CCn4c[nH+]cc4C3)n2)c1 ZINC000365702385 268229886 /nfs/dbraw/zinc/22/98/86/268229886.db2.gz BFPJMJVWUJCMGP-UHFFFAOYSA-N 1 2 318.340 1.596 20 30 DDEDLO CC(C)(C)N1C[C@H](C(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)CC1=O ZINC000328979504 277158937 /nfs/dbraw/zinc/15/89/37/277158937.db2.gz XLXRMCRKPJUGIY-MNOVXSKESA-N 1 2 304.394 1.871 20 30 DDEDLO CC(C)(C)N1C[C@H](C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)CC1=O ZINC000328979504 277158939 /nfs/dbraw/zinc/15/89/39/277158939.db2.gz XLXRMCRKPJUGIY-MNOVXSKESA-N 1 2 304.394 1.871 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000489536881 277829433 /nfs/dbraw/zinc/82/94/33/277829433.db2.gz YGEANIITAQMKAI-HNNXBMFYSA-N 1 2 316.467 1.469 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1CC[C@@](C)(O)C1 ZINC000177989269 278158597 /nfs/dbraw/zinc/15/85/97/278158597.db2.gz LHWNRHPVWDLQDI-QLJPJBMISA-N 1 2 319.430 1.892 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1CC[C@@](C)(O)C1 ZINC000177989269 278158599 /nfs/dbraw/zinc/15/85/99/278158599.db2.gz LHWNRHPVWDLQDI-QLJPJBMISA-N 1 2 319.430 1.892 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CCCO1 ZINC000148137513 288181409 /nfs/dbraw/zinc/18/14/09/288181409.db2.gz FDWTYOSBEGYCST-CYBMUJFWSA-N 1 2 308.403 1.443 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CCCO1 ZINC000148137513 288181411 /nfs/dbraw/zinc/18/14/11/288181411.db2.gz FDWTYOSBEGYCST-CYBMUJFWSA-N 1 2 308.403 1.443 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C\c1ccc2c(c1)OCCO2 ZINC000493543579 289219418 /nfs/dbraw/zinc/21/94/18/289219418.db2.gz BZXAMKZXBGJIBI-WVRJYTFGSA-N 1 2 315.373 1.431 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCC(F)(F)F)[NH+]1CCC(F)(C#N)CC1 ZINC000352457429 289334228 /nfs/dbraw/zinc/33/42/28/289334228.db2.gz CXZKPWAEIKIJAR-MRVPVSSYSA-N 1 2 324.278 1.091 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)CCCCC#N)[C@H](c2ccccc2)C1 ZINC000104108232 290049131 /nfs/dbraw/zinc/04/91/31/290049131.db2.gz KYHVFSYZSYYRKE-INIZCTEOSA-N 1 2 321.446 1.999 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)CCCCC#N)[C@H](c2ccccc2)C1 ZINC000104108232 290049134 /nfs/dbraw/zinc/04/91/34/290049134.db2.gz KYHVFSYZSYYRKE-INIZCTEOSA-N 1 2 321.446 1.999 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)NCC3CCC(C#N)CC3)C[C@H]21 ZINC000333102167 290118150 /nfs/dbraw/zinc/11/81/50/290118150.db2.gz VKZPYUXQBXGBCK-CVSAEHQPSA-N 1 2 306.410 1.041 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)NCC3CCC(C#N)CC3)C[C@H]21 ZINC000333102167 290118154 /nfs/dbraw/zinc/11/81/54/290118154.db2.gz VKZPYUXQBXGBCK-CVSAEHQPSA-N 1 2 306.410 1.041 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)[NH+]1CCN(CCC(=O)N(C)C)CC1 ZINC000268489292 290219765 /nfs/dbraw/zinc/21/97/65/290219765.db2.gz PPMQSAHDRCRBAS-OAHLLOKOSA-N 1 2 314.433 1.715 20 30 DDEDLO CO[C@@H]1[C@@H](C)[C@@H](NC(=O)N2CCC(C#N)([NH+](C)C)CC2)C1(C)C ZINC000332469426 293985702 /nfs/dbraw/zinc/98/57/02/293985702.db2.gz RKHPPSAKRPTLSX-BFHYXJOUSA-N 1 2 322.453 1.675 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1CCC(=O)N[C@H]1C ZINC000329485579 297264068 /nfs/dbraw/zinc/26/40/68/297264068.db2.gz QTYVNHPPAKUZCC-RQJABVFESA-N 1 2 324.425 1.203 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[NH+]1CCC(CCO)CC1 ZINC000576972462 308353480 /nfs/dbraw/zinc/35/34/80/308353480.db2.gz FSPJTTYFGASWEI-MRXNPFEDSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)c1cc(C)cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000584056068 331655286 /nfs/dbraw/zinc/65/52/86/331655286.db2.gz CTMRVEWFUIRTST-INIZCTEOSA-N 1 2 303.362 1.355 20 30 DDEDLO CCC[C@@H](C#N)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000569396609 332075597 /nfs/dbraw/zinc/07/55/97/332075597.db2.gz GGUKJPJXFAMFLX-AWEZNQCLSA-N 1 2 318.402 1.902 20 30 DDEDLO CC[N@@H+](CC(=O)NC(=O)NCc1cccs1)C[C@@H](C)C#N ZINC000108975749 333216980 /nfs/dbraw/zinc/21/69/80/333216980.db2.gz FDGCNWQYUWZNAY-NSHDSACASA-N 1 2 308.407 1.555 20 30 DDEDLO CC[N@H+](CC(=O)NC(=O)NCc1cccs1)C[C@@H](C)C#N ZINC000108975749 333216981 /nfs/dbraw/zinc/21/69/81/333216981.db2.gz FDGCNWQYUWZNAY-NSHDSACASA-N 1 2 308.407 1.555 20 30 DDEDLO C[N@H+](CCNC(=O)OC(C)(C)C)CC(=O)NC1(C#N)CCC1 ZINC000496454027 333393772 /nfs/dbraw/zinc/39/37/72/333393772.db2.gz CVWBOSLGLUSFJW-UHFFFAOYSA-N 1 2 310.398 1.005 20 30 DDEDLO C[N@@H+](CCNC(=O)OC(C)(C)C)CC(=O)NC1(C#N)CCC1 ZINC000496454027 333393773 /nfs/dbraw/zinc/39/37/73/333393773.db2.gz CVWBOSLGLUSFJW-UHFFFAOYSA-N 1 2 310.398 1.005 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc(-c2cccc(C#N)c2)no1 ZINC000563959578 336388534 /nfs/dbraw/zinc/38/85/34/336388534.db2.gz IDJUGOZMXNLLLH-QGZVFWFLSA-N 1 2 323.356 1.787 20 30 DDEDLO C=CC1CC[NH+](CC2=Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000111257341 336397840 /nfs/dbraw/zinc/39/78/40/336397840.db2.gz ABLHPNIRPRLEOF-UHFFFAOYSA-N 1 2 305.403 1.907 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)Nc1ccn(CCC#N)n1 ZINC000118893810 336874048 /nfs/dbraw/zinc/87/40/48/336874048.db2.gz DQFKCJXXSSGMPY-UHFFFAOYSA-N 1 2 313.365 1.579 20 30 DDEDLO CC(C)(C#N)CCS(=O)(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000377919675 337063864 /nfs/dbraw/zinc/06/38/64/337063864.db2.gz QWERUEIXTXWICU-UHFFFAOYSA-N 1 2 324.450 1.621 20 30 DDEDLO COC(=O)c1ccccc1CCC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000580453057 337677566 /nfs/dbraw/zinc/67/75/66/337677566.db2.gz MJSKXNQHJJGTCN-KRWDZBQOSA-N 1 2 317.389 1.366 20 30 DDEDLO C=C[C@@H](C)NC(=O)[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1c[nH+]cn1C ZINC000498238211 339151430 /nfs/dbraw/zinc/15/14/30/339151430.db2.gz ICSNJGVFSQETFC-AXAPSJFSSA-N 1 2 316.405 1.553 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1C[N@H+](CCOCCC#N)CCO1 ZINC000496865912 340014905 /nfs/dbraw/zinc/01/49/05/340014905.db2.gz SCAXGKDEONSXDM-ZDUSSCGKSA-N 1 2 313.398 1.142 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1C[N@@H+](CCOCCC#N)CCO1 ZINC000496865912 340014906 /nfs/dbraw/zinc/01/49/06/340014906.db2.gz SCAXGKDEONSXDM-ZDUSSCGKSA-N 1 2 313.398 1.142 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CN(C(=O)OC(C)(C)C)C1CC1 ZINC000496979442 340017467 /nfs/dbraw/zinc/01/74/67/340017467.db2.gz PABNPVGPLHNBMW-INIZCTEOSA-N 1 2 324.425 1.346 20 30 DDEDLO CC(C)Cc1noc(CN(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000174830647 340396960 /nfs/dbraw/zinc/39/69/60/340396960.db2.gz CYGYWNNLMSHXPV-UHFFFAOYSA-N 1 2 321.425 1.316 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@H](C)C(=O)NC2(C#N)CCCCC2)o1 ZINC000542615415 341015197 /nfs/dbraw/zinc/01/51/97/341015197.db2.gz DQIIPRSVDQRZIM-MNOVXSKESA-N 1 2 305.382 1.760 20 30 DDEDLO N#CC1(CS(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)CCCCC1 ZINC000547967720 341241082 /nfs/dbraw/zinc/24/10/82/341241082.db2.gz LFLSTNQFBYKXPZ-CYBMUJFWSA-N 1 2 322.434 1.591 20 30 DDEDLO N#CCC[C@H](C#N)C[N@H+]1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000246273512 341339426 /nfs/dbraw/zinc/33/94/26/341339426.db2.gz AKALOGYCGBFDTJ-OLZOCXBDSA-N 1 2 314.349 1.920 20 30 DDEDLO N#CCC[C@H](C#N)C[N@@H+]1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000246273512 341339427 /nfs/dbraw/zinc/33/94/27/341339427.db2.gz AKALOGYCGBFDTJ-OLZOCXBDSA-N 1 2 314.349 1.920 20 30 DDEDLO C[C@H]([NH2+][C@@H](C)c1c(F)cncc1F)C(=O)NC1(C#N)CCC1 ZINC000552203684 341378351 /nfs/dbraw/zinc/37/83/51/341378351.db2.gz HWLMJTRLBWNIDY-UWVGGRQHSA-N 1 2 308.332 1.961 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)NCC1(C#N)CC1 ZINC000555757507 341489811 /nfs/dbraw/zinc/48/98/11/341489811.db2.gz JJNDANUCVGSQEH-UHFFFAOYSA-N 1 2 315.377 1.652 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000566441943 341558371 /nfs/dbraw/zinc/55/83/71/341558371.db2.gz AKUKNKXPGVEYHT-JOYOIKCWSA-N 1 2 318.299 1.501 20 30 DDEDLO COc1ccc2c(c1)[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)CC2 ZINC000567593180 341618992 /nfs/dbraw/zinc/61/89/92/341618992.db2.gz SUMBWDHZXPQECB-RHSMWYFYSA-N 1 2 301.390 1.685 20 30 DDEDLO C=CCn1cc(CNC(=O)NCc2cc(C)[nH+]c(C)c2)nn1 ZINC000567724616 341629969 /nfs/dbraw/zinc/62/99/69/341629969.db2.gz PHEBKOWTEFBFCG-UHFFFAOYSA-N 1 2 300.366 1.475 20 30 DDEDLO Cc1nc(COC(C)(C)C)oc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000575185958 484348782 /nfs/dbraw/zinc/34/87/82/484348782.db2.gz OWQGDUDKOOGRDZ-INIZCTEOSA-N 1 2 322.409 1.872 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC[C@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000666346586 485179914 /nfs/dbraw/zinc/17/99/14/485179914.db2.gz DFIPZMFHAKNLPG-SJLPKXTDSA-N 1 2 318.417 1.422 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+]1C[C@H](O)C[C@H](O)C1 ZINC000674496832 485652528 /nfs/dbraw/zinc/65/25/28/485652528.db2.gz YTDUAEIYOHOMFZ-BETUJISGSA-N 1 2 322.430 1.331 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+]1C[C@H](O)C[C@H](O)C1 ZINC000674496832 485652534 /nfs/dbraw/zinc/65/25/34/485652534.db2.gz YTDUAEIYOHOMFZ-BETUJISGSA-N 1 2 322.430 1.331 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cc1 ZINC000675281134 485899884 /nfs/dbraw/zinc/89/98/84/485899884.db2.gz OISJSWWXZMWNIV-INIZCTEOSA-N 1 2 316.401 1.798 20 30 DDEDLO N#C[C@H]1CN(C(=O)/C=C\c2ccc(-n3cc[nH+]c3)cc2)CCO1 ZINC000255223968 490708222 /nfs/dbraw/zinc/70/82/22/490708222.db2.gz YQCSYMIXZORKDC-UYZDIKGJSA-N 1 2 308.341 1.636 20 30 DDEDLO COc1ccc(F)c(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c1 ZINC000329977738 533910080 /nfs/dbraw/zinc/91/00/80/533910080.db2.gz ZZXUCKOADAYYRQ-KGLIPLIRSA-N 1 2 309.341 1.395 20 30 DDEDLO COc1ccc(F)c(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c1 ZINC000329977738 533910087 /nfs/dbraw/zinc/91/00/87/533910087.db2.gz ZZXUCKOADAYYRQ-KGLIPLIRSA-N 1 2 309.341 1.395 20 30 DDEDLO C[C@@H]1CN(C([O-])=[NH+]C[C@@H]2CCc3[nH+]ccn3C2)C[C@@H](C2CC2)O1 ZINC000329983567 534272900 /nfs/dbraw/zinc/27/29/00/534272900.db2.gz BHIIYSFRADBLHA-IPYPFGDCSA-N 1 2 318.421 1.859 20 30 DDEDLO COC(=O)c1cn([C@H]2CCN(c3cc(C)[nH+]cc3C#N)C2)nn1 ZINC000425246014 534305292 /nfs/dbraw/zinc/30/52/92/534305292.db2.gz VJOHQWCELJAYTM-LBPRGKRZSA-N 1 2 312.333 1.091 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2C[C@H](C)C[C@@H](O)C2)c(C#N)c1C ZINC000452073945 534548521 /nfs/dbraw/zinc/54/85/21/534548521.db2.gz ZTWHNZKTFABIFR-SUZMYJTESA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2C[C@H](C)C[C@@H](O)C2)c(C#N)c1C ZINC000452073945 534548525 /nfs/dbraw/zinc/54/85/25/534548525.db2.gz ZTWHNZKTFABIFR-SUZMYJTESA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1nnc([C@@H]2CCCN(C(=O)NCc3c[nH+]cn3C)C2)[nH]1 ZINC000329651266 534557583 /nfs/dbraw/zinc/55/75/83/534557583.db2.gz KHNNRLFKESXRRO-LLVKDONJSA-N 1 2 303.370 1.140 20 30 DDEDLO N#CC1(CS(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)CCCC1 ZINC000330211834 534563886 /nfs/dbraw/zinc/56/38/86/534563886.db2.gz JMFUTFLXWUSMKA-LBPRGKRZSA-N 1 2 308.407 1.201 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNS(=O)(=O)CCCC#N ZINC000348149788 534690871 /nfs/dbraw/zinc/69/08/71/534690871.db2.gz AMHDBZDSNJKKDX-UHFFFAOYSA-N 1 2 306.391 1.568 20 30 DDEDLO O=C(NCc1noc2c1CCCC2)N1CCn2cc[nH+]c2C1 ZINC000329686124 534759202 /nfs/dbraw/zinc/75/92/02/534759202.db2.gz AKGALEUBNVURFI-UHFFFAOYSA-N 1 2 301.350 1.680 20 30 DDEDLO CC(=O)N1CC(NC(=O)c2ccccc2C[NH+]2CCOCC2)C1 ZINC000329880717 526512584 /nfs/dbraw/zinc/51/25/84/526512584.db2.gz AAKFUJLUNITYJR-UHFFFAOYSA-N 1 2 317.389 1.054 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@@H](C)c2c(F)cccc2F)CC1 ZINC000338346323 526538884 /nfs/dbraw/zinc/53/88/84/526538884.db2.gz GBYCQSXEYOAYPW-ZDUSSCGKSA-N 1 2 323.387 1.946 20 30 DDEDLO C=CCOC[C@H](NCc1cn2cc(C)ccc2[nH+]1)C(=O)OC ZINC000342853544 526811054 /nfs/dbraw/zinc/81/10/54/526811054.db2.gz NURXMHJEEDLQBR-AWEZNQCLSA-N 1 2 303.362 1.477 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)N(C)CC(=O)Nc1cccc(OC)c1 ZINC000490866845 526895323 /nfs/dbraw/zinc/89/53/23/526895323.db2.gz WBLLRGWWDKGUTB-UHFFFAOYSA-N 1 2 317.389 1.047 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)N(C)CC(=O)Nc1cccc(OC)c1 ZINC000490866845 526895328 /nfs/dbraw/zinc/89/53/28/526895328.db2.gz WBLLRGWWDKGUTB-UHFFFAOYSA-N 1 2 317.389 1.047 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CSCc2ccccc2OC)CC1 ZINC000490760901 526953451 /nfs/dbraw/zinc/95/34/51/526953451.db2.gz QBXQHTDAVQXRNX-UHFFFAOYSA-N 1 2 318.442 1.706 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CSC2(CO)CCCCC2)CC1 ZINC000491767941 526953664 /nfs/dbraw/zinc/95/36/64/526953664.db2.gz HXMGMXXVNPHFCZ-UHFFFAOYSA-N 1 2 310.463 1.192 20 30 DDEDLO CC(C)(CC#N)CNC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000459238243 526954088 /nfs/dbraw/zinc/95/40/88/526954088.db2.gz SQOMUZVQXLJLLY-UHFFFAOYSA-N 1 2 315.421 1.849 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCCO3)n2C2CC2)CC1 ZINC000491415481 526957364 /nfs/dbraw/zinc/95/73/64/526957364.db2.gz HJWMFEQMZWUXAZ-OAHLLOKOSA-N 1 2 315.421 1.610 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000341546254 526966878 /nfs/dbraw/zinc/96/68/78/526966878.db2.gz MAXRIFCKVPNTPN-ZIAGYGMSSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000342903322 526989959 /nfs/dbraw/zinc/98/99/59/526989959.db2.gz JRVOUJNYMOYSJS-OAHLLOKOSA-N 1 2 310.438 1.538 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](CC)CC(C)C)CC1 ZINC000491627544 526995428 /nfs/dbraw/zinc/99/54/28/526995428.db2.gz UKSDOKZLEKJDTJ-MRXNPFEDSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](CC)CC(C)C)CC1 ZINC000491627544 526995433 /nfs/dbraw/zinc/99/54/33/526995433.db2.gz UKSDOKZLEKJDTJ-MRXNPFEDSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](CC(=C)C)Cc1cnn(C(C)C)c1 ZINC000491125061 527024164 /nfs/dbraw/zinc/02/41/64/527024164.db2.gz AYOOCTFQNBMCSM-HNNXBMFYSA-N 1 2 302.422 1.980 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](CC(=C)C)Cc1cnn(C(C)C)c1 ZINC000491125061 527024168 /nfs/dbraw/zinc/02/41/68/527024168.db2.gz AYOOCTFQNBMCSM-HNNXBMFYSA-N 1 2 302.422 1.980 20 30 DDEDLO CC(C)(CNC(=O)[C@@]1(C#N)CC12CCCC2)[NH+]1CCOCC1 ZINC000333058303 527154388 /nfs/dbraw/zinc/15/43/88/527154388.db2.gz ATVLFAYRQUVSPQ-KRWDZBQOSA-N 1 2 305.422 1.687 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000491814307 527197039 /nfs/dbraw/zinc/19/70/39/527197039.db2.gz JNWGEMVZTVQKGV-GFCCVEGCSA-N 1 2 310.357 1.506 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000491637873 527248640 /nfs/dbraw/zinc/24/86/40/527248640.db2.gz UXWOJKBPKCPQOJ-LBPRGKRZSA-N 1 2 312.373 1.738 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000491220383 527256539 /nfs/dbraw/zinc/25/65/39/527256539.db2.gz MXYIYQWLEMXSEQ-AWEZNQCLSA-N 1 2 319.409 1.139 20 30 DDEDLO C=CCCC[C@H](C)[NH+]1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000347997151 527275630 /nfs/dbraw/zinc/27/56/30/527275630.db2.gz LXBHNDFEYAFGEZ-GJZGRUSLSA-N 1 2 300.468 1.536 20 30 DDEDLO C#C[C@@H](NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C)c1ccc(F)cc1 ZINC000491352439 527278307 /nfs/dbraw/zinc/27/83/07/527278307.db2.gz ZNVGINZWTYLZHR-JKSUJKDBSA-N 1 2 318.396 1.045 20 30 DDEDLO C#C[C@@H](NC(=O)NC[C@H]1CN(C)CC[N@H+]1C)c1ccc(F)cc1 ZINC000491352439 527278311 /nfs/dbraw/zinc/27/83/11/527278311.db2.gz ZNVGINZWTYLZHR-JKSUJKDBSA-N 1 2 318.396 1.045 20 30 DDEDLO C#C[C@H](C)[N@H+](C)Cc1csc(-c2c(C)c(C)nn(C)c2=O)n1 ZINC000491642149 527332694 /nfs/dbraw/zinc/33/26/94/527332694.db2.gz VVBBDWWIORBKCY-JTQLQIEISA-N 1 2 316.430 1.974 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)Cc1csc(-c2c(C)c(C)nn(C)c2=O)n1 ZINC000491642149 527332700 /nfs/dbraw/zinc/33/27/00/527332700.db2.gz VVBBDWWIORBKCY-JTQLQIEISA-N 1 2 316.430 1.974 20 30 DDEDLO C#C[C@H](CC)NC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000491698831 527351809 /nfs/dbraw/zinc/35/18/09/527351809.db2.gz CUFRSCWHSVESII-MRXNPFEDSA-N 1 2 315.417 1.804 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccc(OC)c([N+](=O)[O-])c2)nn1 ZINC000424170780 527372033 /nfs/dbraw/zinc/37/20/33/527372033.db2.gz KQBVILGKCJQARV-UHFFFAOYSA-N 1 2 303.322 1.671 20 30 DDEDLO C#C[C@H](NC(=O)c1ccc(C[NH+]2CCOCC2)cn1)C(C)(C)C ZINC000491523622 527407765 /nfs/dbraw/zinc/40/77/65/527407765.db2.gz GALRIJQQLZAUBL-INIZCTEOSA-N 1 2 315.417 1.692 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)[C@@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000491616692 527423745 /nfs/dbraw/zinc/42/37/45/527423745.db2.gz AGGBCZDCLJRSCD-OLZOCXBDSA-N 1 2 301.390 1.499 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)[C@@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000491616692 527423751 /nfs/dbraw/zinc/42/37/51/527423751.db2.gz AGGBCZDCLJRSCD-OLZOCXBDSA-N 1 2 301.390 1.499 20 30 DDEDLO CC(C)(C#N)CCN1CCC[N@H+](CC(=O)N2CCCC2)CC1 ZINC000345708336 527466534 /nfs/dbraw/zinc/46/65/34/527466534.db2.gz IQRFQVNEIWJYJN-UHFFFAOYSA-N 1 2 306.454 1.556 20 30 DDEDLO CC(C)(C#N)CCN1CCC[N@@H+](CC(=O)N2CCCC2)CC1 ZINC000345708336 527466541 /nfs/dbraw/zinc/46/65/41/527466541.db2.gz IQRFQVNEIWJYJN-UHFFFAOYSA-N 1 2 306.454 1.556 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+]([C@H](C)C(=O)NC2CC2)CC1 ZINC000343621044 527607848 /nfs/dbraw/zinc/60/78/48/527607848.db2.gz BGIANJSMEGMNKV-CXAGYDPISA-N 1 2 307.438 1.400 20 30 DDEDLO CC1(C)C[S@](=O)CC[N@@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000330976588 527772216 /nfs/dbraw/zinc/77/22/16/527772216.db2.gz CYQGHKQNBCYYMU-RCDICMHDSA-N 1 2 322.430 1.141 20 30 DDEDLO CC1(C)C[S@](=O)CC[N@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000330976588 527772218 /nfs/dbraw/zinc/77/22/18/527772218.db2.gz CYQGHKQNBCYYMU-RCDICMHDSA-N 1 2 322.430 1.141 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(c2c(C#N)cnc3ccccc32)CC1 ZINC000299562506 528096016 /nfs/dbraw/zinc/09/60/16/528096016.db2.gz XCICOYCTQPEJDZ-UHFFFAOYSA-N 1 2 323.400 1.365 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCCCS1(=O)=O ZINC000330021972 528998217 /nfs/dbraw/zinc/99/82/17/528998217.db2.gz YTPCJMLSKUSJDU-CHWSQXEVSA-N 1 2 302.440 1.785 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCCCS1(=O)=O ZINC000330021972 528998222 /nfs/dbraw/zinc/99/82/22/528998222.db2.gz YTPCJMLSKUSJDU-CHWSQXEVSA-N 1 2 302.440 1.785 20 30 DDEDLO CC[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)N[C@](C)(C#N)C(C)C ZINC000745084808 699971014 /nfs/dbraw/zinc/97/10/14/699971014.db2.gz BLUDUVTULLMRAA-XJKSGUPXSA-N 1 2 309.410 1.458 20 30 DDEDLO CC[N@H+]1CCCC[C@H]1C(=O)OCC(=O)N[C@](C)(C#N)C(C)C ZINC000745084808 699971016 /nfs/dbraw/zinc/97/10/16/699971016.db2.gz BLUDUVTULLMRAA-XJKSGUPXSA-N 1 2 309.410 1.458 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([N@H+](C)Cc2nocc2C)C1 ZINC000972375873 695234168 /nfs/dbraw/zinc/23/41/68/695234168.db2.gz QNQLYSRQTMPMNC-LZWOXQAQSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([N@@H+](C)Cc2nocc2C)C1 ZINC000972375873 695234171 /nfs/dbraw/zinc/23/41/71/695234171.db2.gz QNQLYSRQTMPMNC-LZWOXQAQSA-N 1 2 319.405 1.607 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCCC34CC4)C2)C1 ZINC000972436348 695250925 /nfs/dbraw/zinc/25/09/25/695250925.db2.gz WQDXWIDTMLHUKE-APWZRJJASA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCCC34CC4)C2)C1 ZINC000972436348 695250927 /nfs/dbraw/zinc/25/09/27/695250927.db2.gz WQDXWIDTMLHUKE-APWZRJJASA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@]3(C)C=CCC3)C2)C1 ZINC000972499007 695265941 /nfs/dbraw/zinc/26/59/41/695265941.db2.gz UWNCTSMGHDOGRR-ZWKOTPCHSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@]3(C)C=CCC3)C2)C1 ZINC000972499007 695265944 /nfs/dbraw/zinc/26/59/44/695265944.db2.gz UWNCTSMGHDOGRR-ZWKOTPCHSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C(F)F)CCC3)C2)C1 ZINC000972520115 695271748 /nfs/dbraw/zinc/27/17/48/695271748.db2.gz OZCVMFMTCZEPQS-OAHLLOKOSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C(F)F)CCC3)C2)C1 ZINC000972520115 695271750 /nfs/dbraw/zinc/27/17/50/695271750.db2.gz OZCVMFMTCZEPQS-OAHLLOKOSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3CC(C)C)C2)C1 ZINC000972563181 695284349 /nfs/dbraw/zinc/28/43/49/695284349.db2.gz NVZXHLDYEMKONX-JFIYKMOQSA-N 1 2 304.434 1.605 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3CC(C)C)C2)C1 ZINC000972563181 695284350 /nfs/dbraw/zinc/28/43/50/695284350.db2.gz NVZXHLDYEMKONX-JFIYKMOQSA-N 1 2 304.434 1.605 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(CC)on3)C2)C1 ZINC000972640033 695306465 /nfs/dbraw/zinc/30/64/65/695306465.db2.gz DKFWWMKALLAVJB-QGZVFWFLSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(CC)on3)C2)C1 ZINC000972640033 695306468 /nfs/dbraw/zinc/30/64/68/695306468.db2.gz DKFWWMKALLAVJB-QGZVFWFLSA-N 1 2 317.389 1.177 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccc(Cl)c3)C2)C1 ZINC000972651717 695309338 /nfs/dbraw/zinc/30/93/38/695309338.db2.gz XQIRIXRKGAZLCW-QGZVFWFLSA-N 1 2 318.804 1.890 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccc(Cl)c3)C2)C1 ZINC000972651717 695309339 /nfs/dbraw/zinc/30/93/39/695309339.db2.gz XQIRIXRKGAZLCW-QGZVFWFLSA-N 1 2 318.804 1.890 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973017258 695396615 /nfs/dbraw/zinc/39/66/15/695396615.db2.gz UBRFLEMOMRMHQF-NWDGAFQWSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973017258 695396616 /nfs/dbraw/zinc/39/66/16/695396616.db2.gz UBRFLEMOMRMHQF-NWDGAFQWSA-N 1 2 313.829 1.092 20 30 DDEDLO N#Cc1ccc(CNC(=O)CO[NH+]=C(N)c2ccccc2)cc1 ZINC000746090135 700004314 /nfs/dbraw/zinc/00/43/14/700004314.db2.gz OCQZZXIZDYCEMO-UHFFFAOYSA-N 1 2 308.341 1.721 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2C)C(C)(C)C1 ZINC000974665825 695707022 /nfs/dbraw/zinc/70/70/22/695707022.db2.gz QKJYZZYEGFRZQD-CQSZACIVSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2C)C(C)(C)C1 ZINC000974665825 695707023 /nfs/dbraw/zinc/70/70/23/695707023.db2.gz QKJYZZYEGFRZQD-CQSZACIVSA-N 1 2 324.856 1.850 20 30 DDEDLO COc1ccc(C[NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)cc1C#N ZINC000746575404 700031339 /nfs/dbraw/zinc/03/13/39/700031339.db2.gz CLOVILOWEUOQRF-KRWDZBQOSA-N 1 2 320.352 1.755 20 30 DDEDLO C[C@@H](C(=O)NCc1ccc(-n2cc[nH+]c2)cc1)n1cnc(C#N)n1 ZINC000798410207 700063041 /nfs/dbraw/zinc/06/30/41/700063041.db2.gz PFPISAAVDXDICK-LBPRGKRZSA-N 1 2 321.344 1.213 20 30 DDEDLO C[N@H+](CC(=O)N(CCC#N)CCC#N)Cc1ccc(Cl)nc1 ZINC000080909973 696538661 /nfs/dbraw/zinc/53/86/61/696538661.db2.gz NETSHBBTVCNMBU-UHFFFAOYSA-N 1 2 319.796 1.823 20 30 DDEDLO C[N@@H+](CC(=O)N(CCC#N)CCC#N)Cc1ccc(Cl)nc1 ZINC000080909973 696538664 /nfs/dbraw/zinc/53/86/64/696538664.db2.gz NETSHBBTVCNMBU-UHFFFAOYSA-N 1 2 319.796 1.823 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158151655 696987158 /nfs/dbraw/zinc/98/71/58/696987158.db2.gz VTLNXGKWVBLIDW-LLVKDONJSA-N 1 2 305.378 1.419 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@H+]([C@H](C)C(N)=O)CC1 ZINC000981242128 697044043 /nfs/dbraw/zinc/04/40/43/697044043.db2.gz CPVYFVYLZPFFHK-SNVBAGLBSA-N 1 2 301.818 1.173 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@@H+]([C@H](C)C(N)=O)CC1 ZINC000981242128 697044041 /nfs/dbraw/zinc/04/40/41/697044041.db2.gz CPVYFVYLZPFFHK-SNVBAGLBSA-N 1 2 301.818 1.173 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2[nH]cnc2C(F)(F)F)CC1 ZINC000981343194 697071586 /nfs/dbraw/zinc/07/15/86/697071586.db2.gz VNKQPNJEGCHIKI-UHFFFAOYSA-N 1 2 314.311 1.600 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2[nH]cnc2C(F)(F)F)CC1 ZINC000981343194 697071588 /nfs/dbraw/zinc/07/15/88/697071588.db2.gz VNKQPNJEGCHIKI-UHFFFAOYSA-N 1 2 314.311 1.600 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2nc[nH]c2C(F)(F)F)CC1 ZINC000981343194 697071590 /nfs/dbraw/zinc/07/15/90/697071590.db2.gz VNKQPNJEGCHIKI-UHFFFAOYSA-N 1 2 314.311 1.600 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2nc[nH]c2C(F)(F)F)CC1 ZINC000981343194 697071592 /nfs/dbraw/zinc/07/15/92/697071592.db2.gz VNKQPNJEGCHIKI-UHFFFAOYSA-N 1 2 314.311 1.600 20 30 DDEDLO CS(=O)(=O)c1cccc(N[NH2+]C2=CC(=O)N3CCC[C@@H]23)c1 ZINC000799484836 700144411 /nfs/dbraw/zinc/14/44/11/700144411.db2.gz IUTFJAXGNHABMU-ZDUSSCGKSA-N 1 2 307.375 1.253 20 30 DDEDLO COC[C@@H](C)ON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181843380 697465580 /nfs/dbraw/zinc/46/55/80/697465580.db2.gz KUZVYPDPXOSANA-HIFRSBDPSA-N 1 2 307.394 1.211 20 30 DDEDLO COC[C@@H](C)ON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181843380 697465581 /nfs/dbraw/zinc/46/55/81/697465581.db2.gz KUZVYPDPXOSANA-HIFRSBDPSA-N 1 2 307.394 1.211 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C)n1 ZINC000986251859 697753369 /nfs/dbraw/zinc/75/33/69/697753369.db2.gz AYPPNVMFPLAXRA-TZMCWYRMSA-N 1 2 316.405 1.685 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCN(c2cccc3cnccc32)C[C@@H]1C ZINC000773961639 697828212 /nfs/dbraw/zinc/82/82/12/697828212.db2.gz SXJKJLIFYBBXDR-HNNXBMFYSA-N 1 2 322.412 1.495 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCN(c2cccc3cnccc32)C[C@@H]1C ZINC000773961639 697828214 /nfs/dbraw/zinc/82/82/14/697828214.db2.gz SXJKJLIFYBBXDR-HNNXBMFYSA-N 1 2 322.412 1.495 20 30 DDEDLO C#C[C@H](NC(=O)[C@H]1CCC[N@@H+]1Cc1ccccn1)[C@@H]1CCCO1 ZINC000775343416 697986017 /nfs/dbraw/zinc/98/60/17/697986017.db2.gz YJVJPQLNXUJQFB-BBWFWOEESA-N 1 2 313.401 1.343 20 30 DDEDLO C#C[C@H](NC(=O)[C@H]1CCC[N@H+]1Cc1ccccn1)[C@@H]1CCCO1 ZINC000775343416 697986022 /nfs/dbraw/zinc/98/60/22/697986022.db2.gz YJVJPQLNXUJQFB-BBWFWOEESA-N 1 2 313.401 1.343 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)CN1C[C@@]2(CCOC2)CC1=O ZINC000778586004 698350195 /nfs/dbraw/zinc/35/01/95/698350195.db2.gz XVQWRWOKRKPIOX-QGZVFWFLSA-N 1 2 304.390 1.907 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)CN1C[C@@]2(CCOC2)CC1=O ZINC000778586004 698350200 /nfs/dbraw/zinc/35/02/00/698350200.db2.gz XVQWRWOKRKPIOX-QGZVFWFLSA-N 1 2 304.390 1.907 20 30 DDEDLO C[C@H]1C[C@@H]([NH2+]Cc2nc(C3CC3)no2)CN1C(=O)C#CC1CC1 ZINC000988827317 698477668 /nfs/dbraw/zinc/47/76/68/698477668.db2.gz RUELZUUKSUCJCH-SMDDNHRTSA-N 1 2 314.389 1.439 20 30 DDEDLO Nc1ncc(C=[NH+]NCc2ccc(Br)cc2)cn1 ZINC000781591755 698633082 /nfs/dbraw/zinc/63/30/82/698633082.db2.gz OZPKDIXHPGSNRC-UHFFFAOYSA-N 1 2 306.167 1.945 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1CC1=C(C(=O)OCC)[C@H](C)NC(=O)N1 ZINC000785998179 699116039 /nfs/dbraw/zinc/11/60/39/699116039.db2.gz YBZJMTNLRJBFHD-NWDGAFQWSA-N 1 2 307.394 1.545 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1CC1=C(C(=O)OCC)[C@H](C)NC(=O)N1 ZINC000785998179 699116041 /nfs/dbraw/zinc/11/60/41/699116041.db2.gz YBZJMTNLRJBFHD-NWDGAFQWSA-N 1 2 307.394 1.545 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@]2(NC(=O)c3c[nH]cc4ncnc3-4)CCC[C@@H]12 ZINC000990850513 699172897 /nfs/dbraw/zinc/17/28/97/699172897.db2.gz MMYKGIXXWWOFOJ-QAPCUYQASA-N 1 2 323.400 1.708 20 30 DDEDLO C#CCC[N@H+]1CC[C@@]2(NC(=O)c3c[nH]cc4ncnc3-4)CCC[C@@H]12 ZINC000990850513 699172899 /nfs/dbraw/zinc/17/28/99/699172899.db2.gz MMYKGIXXWWOFOJ-QAPCUYQASA-N 1 2 323.400 1.708 20 30 DDEDLO C#CCCS(=O)(=O)N1CC2(C1)C[NH+](Cc1ccccc1)C2 ZINC000710889014 699255267 /nfs/dbraw/zinc/25/52/67/699255267.db2.gz XSKHBABAPXDHKN-UHFFFAOYSA-N 1 2 304.415 1.157 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[N@H+](Cc2ccccc2)C(C)(C)C1 ZINC000711174981 699256949 /nfs/dbraw/zinc/25/69/49/699256949.db2.gz OWFSAEGBGBLQFG-UHFFFAOYSA-N 1 2 320.458 1.936 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[N@@H+](Cc2ccccc2)C(C)(C)C1 ZINC000711174981 699256950 /nfs/dbraw/zinc/25/69/50/699256950.db2.gz OWFSAEGBGBLQFG-UHFFFAOYSA-N 1 2 320.458 1.936 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@@H]3CCn4c[nH+]cc4C3)CCC[C@@H]12 ZINC000991447098 699327161 /nfs/dbraw/zinc/32/71/61/699327161.db2.gz NFVBKGDYCWKYJH-FRFSOERESA-N 1 2 313.405 1.082 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cc(-c3cccc(C#N)c3)no2)CC[N@@H+]1C ZINC000789961557 699426191 /nfs/dbraw/zinc/42/61/91/699426191.db2.gz XSICWVMTWZYCNL-GFCCVEGCSA-N 1 2 310.357 1.989 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cc(-c3cccc(C#N)c3)no2)CC[N@H+]1C ZINC000789961557 699426192 /nfs/dbraw/zinc/42/61/92/699426192.db2.gz XSICWVMTWZYCNL-GFCCVEGCSA-N 1 2 310.357 1.989 20 30 DDEDLO Cc1c(C#N)c2[nH]c3ccccc3n2c(=O)c1C=[NH+]NCCCO ZINC000729933312 699495473 /nfs/dbraw/zinc/49/54/73/699495473.db2.gz WZYMLGDNGYALER-UHFFFAOYSA-N 1 2 323.356 1.267 20 30 DDEDLO C#CCOc1ccc(NC(=O)NCC[N@H+]2CCOC[C@@H]2C)cc1 ZINC000731111862 699522447 /nfs/dbraw/zinc/52/24/47/699522447.db2.gz MNXMCGWWRUYCNV-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CCOc1ccc(NC(=O)NCC[N@@H+]2CCOC[C@@H]2C)cc1 ZINC000731111862 699522448 /nfs/dbraw/zinc/52/24/48/699522448.db2.gz MNXMCGWWRUYCNV-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(NC(=O)C2CC2)nc1 ZINC000732097907 699546334 /nfs/dbraw/zinc/54/63/34/699546334.db2.gz ODHUYJVITFPKSA-AWEZNQCLSA-N 1 2 312.373 1.466 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(NC(=O)C2CC2)nc1 ZINC000732097907 699546335 /nfs/dbraw/zinc/54/63/35/699546335.db2.gz ODHUYJVITFPKSA-AWEZNQCLSA-N 1 2 312.373 1.466 20 30 DDEDLO Cc1ncc2c(n1)CCC[C@@H]2[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000734850959 699664498 /nfs/dbraw/zinc/66/44/98/699664498.db2.gz PBTCDBXHOFCDFO-DLBZAZTESA-N 1 2 321.384 1.850 20 30 DDEDLO CO[C@H]1C[C@@H]([N@H+](C)Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000793526366 699763130 /nfs/dbraw/zinc/76/31/30/699763130.db2.gz ZVYBASFXXSMULI-OKILXGFUSA-N 1 2 301.390 1.665 20 30 DDEDLO CO[C@H]1C[C@@H]([N@@H+](C)Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000793526366 699763131 /nfs/dbraw/zinc/76/31/31/699763131.db2.gz ZVYBASFXXSMULI-OKILXGFUSA-N 1 2 301.390 1.665 20 30 DDEDLO C#CCNC(=O)COC(=O)[C@H]1CCCC[N@@H+]1Cc1ccccc1 ZINC000741356180 699833390 /nfs/dbraw/zinc/83/33/90/699833390.db2.gz ZAFCTIRODNTZPM-MRXNPFEDSA-N 1 2 314.385 1.334 20 30 DDEDLO C#CCNC(=O)COC(=O)[C@H]1CCCC[N@H+]1Cc1ccccc1 ZINC000741356180 699833393 /nfs/dbraw/zinc/83/33/93/699833393.db2.gz ZAFCTIRODNTZPM-MRXNPFEDSA-N 1 2 314.385 1.334 20 30 DDEDLO C[C@H](C#N)OCCOC(=O)[C@H](C)[N@@H+]1CCc2ccccc2C1 ZINC000801840640 700344649 /nfs/dbraw/zinc/34/46/49/700344649.db2.gz STAVWXCOPDPSDT-KGLIPLIRSA-N 1 2 302.374 1.905 20 30 DDEDLO C[C@H](C#N)OCCOC(=O)[C@H](C)[N@H+]1CCc2ccccc2C1 ZINC000801840640 700344652 /nfs/dbraw/zinc/34/46/52/700344652.db2.gz STAVWXCOPDPSDT-KGLIPLIRSA-N 1 2 302.374 1.905 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000801910560 700352216 /nfs/dbraw/zinc/35/22/16/700352216.db2.gz UPWSCPAGKJGYAI-HNNXBMFYSA-N 1 2 316.405 1.171 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](CCO[C@H](C)C#N)CC2)c(C)o1 ZINC000801916250 700352971 /nfs/dbraw/zinc/35/29/71/700352971.db2.gz SXSMOVDAEABVFF-CYBMUJFWSA-N 1 2 305.378 1.583 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(c2ccc(Cl)c(C#N)n2)CC1 ZINC000754489880 700505083 /nfs/dbraw/zinc/50/50/83/700505083.db2.gz YNGZAJFCGBJSTM-HXUWFJFHSA-N 1 2 312.826 1.107 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(Cc2ccc(F)cc2C#N)CC1 ZINC000755954292 700595653 /nfs/dbraw/zinc/59/56/53/700595653.db2.gz OTNKDGAKJCVTSG-NRFANRHFSA-N 1 2 309.410 1.193 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)C[C@H]2CCC(F)(F)C2)CC1 ZINC000809392332 701663179 /nfs/dbraw/zinc/66/31/79/701663179.db2.gz PCRMEGGYZWEINX-ZDUSSCGKSA-N 1 2 320.405 1.393 20 30 DDEDLO N#CC[C@@H](O)C[NH+]1CCN(c2ncnc3ccsc32)CC1 ZINC000809573978 701670910 /nfs/dbraw/zinc/67/09/10/701670910.db2.gz BNJGBOUZWFJIKK-LLVKDONJSA-N 1 2 303.391 1.088 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](CCCN3CCCC3=O)CCO2)c1 ZINC000761756704 700868864 /nfs/dbraw/zinc/86/88/64/700868864.db2.gz BYEZQNOJUJCGPY-QGZVFWFLSA-N 1 2 313.401 1.944 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](CCCN3CCCC3=O)CCO2)c1 ZINC000761756704 700868866 /nfs/dbraw/zinc/86/88/66/700868866.db2.gz BYEZQNOJUJCGPY-QGZVFWFLSA-N 1 2 313.401 1.944 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1)[C@@H]1CCCO1 ZINC000767862092 701142099 /nfs/dbraw/zinc/14/20/99/701142099.db2.gz VLJBBXFEIUSWOW-ILXRZTDVSA-N 1 2 316.405 1.490 20 30 DDEDLO CSCCNC(=S)N[NH+]=Cc1ccc(N2CCCC2)nc1 ZINC000769599415 701249955 /nfs/dbraw/zinc/24/99/55/701249955.db2.gz TVLBAXZVBJUBFG-UHFFFAOYSA-N 1 2 323.491 1.843 20 30 DDEDLO C=CCN(CC=C)C(=O)COC(=O)C[N@@H+](C)[C@@H]1CCSC1 ZINC000772050378 701341876 /nfs/dbraw/zinc/34/18/76/701341876.db2.gz QNKNNHVJTXHLIW-CYBMUJFWSA-N 1 2 312.435 1.168 20 30 DDEDLO C=CCN(CC=C)C(=O)COC(=O)C[N@H+](C)[C@@H]1CCSC1 ZINC000772050378 701341877 /nfs/dbraw/zinc/34/18/77/701341877.db2.gz QNKNNHVJTXHLIW-CYBMUJFWSA-N 1 2 312.435 1.168 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)C[N@@H+]1CC(=O)NCCC#N ZINC000879221264 706619303 /nfs/dbraw/zinc/61/93/03/706619303.db2.gz JWBHUKLARAKCAA-NEPJUHHUSA-N 1 2 310.398 1.004 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)C[N@H+]1CC(=O)NCCC#N ZINC000879221264 706619305 /nfs/dbraw/zinc/61/93/05/706619305.db2.gz JWBHUKLARAKCAA-NEPJUHHUSA-N 1 2 310.398 1.004 20 30 DDEDLO C#CC[C@H](CO)NC(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000866106807 706619384 /nfs/dbraw/zinc/61/93/84/706619384.db2.gz OHTRTIMFYGJGMV-GFCCVEGCSA-N 1 2 322.434 1.635 20 30 DDEDLO C[C@@H]1CCN(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1=O ZINC000840075411 701954239 /nfs/dbraw/zinc/95/42/39/701954239.db2.gz HQPUTGOWQSILTQ-OCCSQVGLSA-N 1 2 300.362 1.232 20 30 DDEDLO C[C@@H]1CCN(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1=O ZINC000840075411 701954245 /nfs/dbraw/zinc/95/42/45/701954245.db2.gz HQPUTGOWQSILTQ-OCCSQVGLSA-N 1 2 300.362 1.232 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CCC[C@@H]2[C@@H]2CCC[C@@H]2O)c1=S ZINC000840117341 701978013 /nfs/dbraw/zinc/97/80/13/701978013.db2.gz AVXMYUHKFURBCW-XQQFMLRXSA-N 1 2 309.439 1.578 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CCC[C@@H]2[C@@H]2CCC[C@@H]2O)c1=S ZINC000840117341 701978018 /nfs/dbraw/zinc/97/80/18/701978018.db2.gz AVXMYUHKFURBCW-XQQFMLRXSA-N 1 2 309.439 1.578 20 30 DDEDLO Cn1ccnc1[C@@H](O)[C@H]1CCC[N@H+](Cc2nc(C#N)cs2)C1 ZINC000811467527 701991740 /nfs/dbraw/zinc/99/17/40/701991740.db2.gz NVYYNXKMDVDALH-FZMZJTMJSA-N 1 2 317.418 1.694 20 30 DDEDLO Cn1ccnc1[C@@H](O)[C@H]1CCC[N@@H+](Cc2nc(C#N)cs2)C1 ZINC000811467527 701991745 /nfs/dbraw/zinc/99/17/45/701991745.db2.gz NVYYNXKMDVDALH-FZMZJTMJSA-N 1 2 317.418 1.694 20 30 DDEDLO CN1c2ccccc2N(C)C1=C1C(=[NH2+])N([C@H]2C[C@@H](O)C2)CC1=O ZINC000840703966 702216201 /nfs/dbraw/zinc/21/62/01/702216201.db2.gz LKNPZKLQIBEZFX-PHIMTYICSA-N 1 2 312.373 1.169 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000840755432 702229281 /nfs/dbraw/zinc/22/92/81/702229281.db2.gz YOEJQULRYDMIPT-NSHDSACASA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000840755432 702229285 /nfs/dbraw/zinc/22/92/85/702229285.db2.gz YOEJQULRYDMIPT-NSHDSACASA-N 1 2 313.741 1.833 20 30 DDEDLO C#CC1CCN(C(=O)C[NH+]2CCN(c3ccccn3)CC2)CC1 ZINC000844046106 702962176 /nfs/dbraw/zinc/96/21/76/702962176.db2.gz YPNJCUHQHPHAQJ-UHFFFAOYSA-N 1 2 312.417 1.075 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)COC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845281315 703138357 /nfs/dbraw/zinc/13/83/57/703138357.db2.gz KPUJBVIYCCZECG-QWHCGFSZSA-N 1 2 306.366 1.386 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1n[nH]c2cc(C#N)c(C)cc21 ZINC000846499634 703284366 /nfs/dbraw/zinc/28/43/66/703284366.db2.gz XNIAFVKQEKLYAC-HNNXBMFYSA-N 1 2 307.357 1.779 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1n[nH]c2cc(C#N)c(C)cc21 ZINC000846499634 703284368 /nfs/dbraw/zinc/28/43/68/703284368.db2.gz XNIAFVKQEKLYAC-HNNXBMFYSA-N 1 2 307.357 1.779 20 30 DDEDLO C#C[C@H]1CCC[N@@H+]([C@@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC000847027533 703368274 /nfs/dbraw/zinc/36/82/74/703368274.db2.gz BWAMCEYBSPQNSV-QWRGUYRKSA-N 1 2 305.403 1.519 20 30 DDEDLO C#C[C@H]1CCC[N@H+]([C@@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC000847027533 703368275 /nfs/dbraw/zinc/36/82/75/703368275.db2.gz BWAMCEYBSPQNSV-QWRGUYRKSA-N 1 2 305.403 1.519 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CC(=O)Nc2cc(OC)ccc2OC)C1 ZINC000847028560 703368310 /nfs/dbraw/zinc/36/83/10/703368310.db2.gz ZOLXIZXPNSCXAZ-CYBMUJFWSA-N 1 2 302.374 1.988 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CC(=O)Nc2cc(OC)ccc2OC)C1 ZINC000847028560 703368311 /nfs/dbraw/zinc/36/83/11/703368311.db2.gz ZOLXIZXPNSCXAZ-CYBMUJFWSA-N 1 2 302.374 1.988 20 30 DDEDLO C#C[C@@H]1CCCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000847286403 703397209 /nfs/dbraw/zinc/39/72/09/703397209.db2.gz OLELQOGOUYWINB-CQSZACIVSA-N 1 2 300.406 1.817 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)OCC(=O)NC3(C#N)CCC3)ccn12 ZINC000848782900 703588762 /nfs/dbraw/zinc/58/87/62/703588762.db2.gz QBCZWAIJYITECJ-UHFFFAOYSA-N 1 2 312.329 1.362 20 30 DDEDLO C#CCOCC[NH+]1CCN(c2ncc(C(=O)OCC)s2)CC1 ZINC000851790994 703856438 /nfs/dbraw/zinc/85/64/38/703856438.db2.gz WQZQWXXONNPBGJ-UHFFFAOYSA-N 1 2 323.418 1.092 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+]CCS(=O)(=O)Cc1ccccc1 ZINC000818976639 704040275 /nfs/dbraw/zinc/04/02/75/704040275.db2.gz LIGPRWXXBDQJCB-OAHLLOKOSA-N 1 2 305.403 1.635 20 30 DDEDLO N#Cc1cnnc(Nc2ccc([NH+]3CCC(C(N)=O)CC3)cc2)c1 ZINC000853244210 704223656 /nfs/dbraw/zinc/22/36/56/704223656.db2.gz HIOPFVQDEXZJJD-UHFFFAOYSA-N 1 2 322.372 1.794 20 30 DDEDLO C#CCN(CC#CC)C(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000820317976 704259544 /nfs/dbraw/zinc/25/95/44/704259544.db2.gz YHVVYATVOJPLLB-SFHVURJKSA-N 1 2 310.397 1.755 20 30 DDEDLO C#CCN(CC#CC)C(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000820317976 704259545 /nfs/dbraw/zinc/25/95/45/704259545.db2.gz YHVVYATVOJPLLB-SFHVURJKSA-N 1 2 310.397 1.755 20 30 DDEDLO NC(=[NH+]OC[C@@H]1COCCO1)c1ccc(Br)cc1 ZINC000853685119 704299132 /nfs/dbraw/zinc/29/91/32/704299132.db2.gz PXCOFHNSHNBGTH-NSHDSACASA-N 1 2 315.167 1.501 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCC1(C(N)=O)CCOCC1 ZINC000820659208 704315992 /nfs/dbraw/zinc/31/59/92/704315992.db2.gz VLLTYUXOOIGEFF-UHFFFAOYSA-N 1 2 317.389 1.568 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000855496990 704488197 /nfs/dbraw/zinc/48/81/97/704488197.db2.gz KHHNKJUOBGNZMJ-ZDUSSCGKSA-N 1 2 317.389 1.249 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N[C@H]2CCn3c[nH+]cc32)cc1 ZINC000857980230 704654644 /nfs/dbraw/zinc/65/46/44/704654644.db2.gz VLRQJZWWXCHLNR-GJZGRUSLSA-N 1 2 305.341 1.698 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000859025130 704786612 /nfs/dbraw/zinc/78/66/12/704786612.db2.gz NFIFKDJMLAPNGW-KGLIPLIRSA-N 1 2 304.394 1.347 20 30 DDEDLO C#CCN1CCN(C(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)CC1 ZINC000874468988 705080952 /nfs/dbraw/zinc/08/09/52/705080952.db2.gz XQBQXAIMZJGZQK-GFCCVEGCSA-N 1 2 314.311 1.154 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000874712370 705146532 /nfs/dbraw/zinc/14/65/32/705146532.db2.gz XWQNTBRHQJXJMN-LBPRGKRZSA-N 1 2 323.343 1.677 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000874712370 705146534 /nfs/dbraw/zinc/14/65/34/705146534.db2.gz XWQNTBRHQJXJMN-LBPRGKRZSA-N 1 2 323.343 1.677 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000823542764 705264603 /nfs/dbraw/zinc/26/46/03/705264603.db2.gz ZCLNJDYVEVGXEF-GHMZBOCLSA-N 1 2 301.268 1.237 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)C1 ZINC000826046205 705763013 /nfs/dbraw/zinc/76/30/13/705763013.db2.gz LISJSDITKCMICZ-BZUAXINKSA-N 1 2 307.438 1.541 20 30 DDEDLO C#CC[C@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000826578504 705819261 /nfs/dbraw/zinc/81/92/61/705819261.db2.gz FODDXXYKTSGHKV-JKSUJKDBSA-N 1 2 314.433 1.925 20 30 DDEDLO C#CC[C@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000826578504 705819265 /nfs/dbraw/zinc/81/92/65/705819265.db2.gz FODDXXYKTSGHKV-JKSUJKDBSA-N 1 2 314.433 1.925 20 30 DDEDLO C=CCN1CC(=O)N(CCNc2cc(C)[nH+]c(C(C)C)n2)C1=O ZINC000863525495 705948836 /nfs/dbraw/zinc/94/88/36/705948836.db2.gz OPDWHOVAWGDHQB-UHFFFAOYSA-N 1 2 317.393 1.771 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2cc(C#N)ccc2F)CC1 ZINC000829461527 706337882 /nfs/dbraw/zinc/33/78/82/706337882.db2.gz GAHDHUXTMLGASM-UHFFFAOYSA-N 1 2 319.380 1.880 20 30 DDEDLO CC(C)C#CC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000829908687 706417640 /nfs/dbraw/zinc/41/76/40/706417640.db2.gz UVSPGELXJJAZFE-AWEZNQCLSA-N 1 2 323.437 1.313 20 30 DDEDLO CC(C)C#CC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000829908687 706417642 /nfs/dbraw/zinc/41/76/42/706417642.db2.gz UVSPGELXJJAZFE-AWEZNQCLSA-N 1 2 323.437 1.313 20 30 DDEDLO CCCCC[C@H](C)NC(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000878776167 706496679 /nfs/dbraw/zinc/49/66/79/706496679.db2.gz IIOIUURGKYIXOK-ARFHVFGLSA-N 1 2 308.470 1.990 20 30 DDEDLO N#C[C@]1(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CCCOC1 ZINC000866933294 706848548 /nfs/dbraw/zinc/84/85/48/706848548.db2.gz KAALMIZIUSNVSF-CZUORRHYSA-N 1 2 300.362 1.097 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cccc(C#N)c1 ZINC000871760888 707190609 /nfs/dbraw/zinc/19/06/09/707190609.db2.gz TUENSUHZMVIYHI-OAHLLOKOSA-N 1 2 302.378 1.791 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cccc(C#N)c1 ZINC000871760888 707190611 /nfs/dbraw/zinc/19/06/11/707190611.db2.gz TUENSUHZMVIYHI-OAHLLOKOSA-N 1 2 302.378 1.791 20 30 DDEDLO S=C(NN=C1CCC[N@@H+]2CCSC[C@H]12)Nc1cccnc1 ZINC000872329853 707383447 /nfs/dbraw/zinc/38/34/47/707383447.db2.gz WPXFNNNUWRMDBM-CYBMUJFWSA-N 1 2 321.475 1.935 20 30 DDEDLO S=C(NN=C1CCC[N@H+]2CCSC[C@H]12)Nc1cccnc1 ZINC000872329853 707383457 /nfs/dbraw/zinc/38/34/57/707383457.db2.gz WPXFNNNUWRMDBM-CYBMUJFWSA-N 1 2 321.475 1.935 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@@H+](C)[C@H](C)[C@@H]2C)c(C#N)c1 ZINC000872468477 707430167 /nfs/dbraw/zinc/43/01/67/707430167.db2.gz VMDSYNVPAVKQOM-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@H+](C)[C@H](C)[C@@H]2C)c(C#N)c1 ZINC000872468477 707430169 /nfs/dbraw/zinc/43/01/69/707430169.db2.gz VMDSYNVPAVKQOM-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNc1cccc(C#N)c1[N+](=O)[O-] ZINC000872773093 707594362 /nfs/dbraw/zinc/59/43/62/707594362.db2.gz FJWPHZNLZLXQJJ-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNc1cccc(C#N)c1[N+](=O)[O-] ZINC000872773093 707594365 /nfs/dbraw/zinc/59/43/65/707594365.db2.gz FJWPHZNLZLXQJJ-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@@H+]2CCOC(C)(C)C2)CC1 ZINC000872928136 707657730 /nfs/dbraw/zinc/65/77/30/707657730.db2.gz CSUBEAYJZGBHKF-SHTZXODSSA-N 1 2 307.438 1.588 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@H+]2CCOC(C)(C)C2)CC1 ZINC000872928136 707657734 /nfs/dbraw/zinc/65/77/34/707657734.db2.gz CSUBEAYJZGBHKF-SHTZXODSSA-N 1 2 307.438 1.588 20 30 DDEDLO C#CC1(NC(=O)[C@@H]2C[N@H+](Cc3ccccc3)CCO2)CCCC1 ZINC000882535294 707686188 /nfs/dbraw/zinc/68/61/88/707686188.db2.gz SKGDZYQLDFQZED-KRWDZBQOSA-N 1 2 312.413 1.950 20 30 DDEDLO C#CC1(NC(=O)[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)CCCC1 ZINC000882535294 707686190 /nfs/dbraw/zinc/68/61/90/707686190.db2.gz SKGDZYQLDFQZED-KRWDZBQOSA-N 1 2 312.413 1.950 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000882895196 707842123 /nfs/dbraw/zinc/84/21/23/707842123.db2.gz HWYBXHWELXBKJU-MRXNPFEDSA-N 1 2 318.417 1.576 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000883007072 707894475 /nfs/dbraw/zinc/89/44/75/707894475.db2.gz KWLVQHPQLYSZPS-ZIAGYGMSSA-N 1 2 306.410 1.424 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000883007072 707894478 /nfs/dbraw/zinc/89/44/78/707894478.db2.gz KWLVQHPQLYSZPS-ZIAGYGMSSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CC[N@@H+](Cc1cccc([N+](=O)[O-])c1)[C@@H]1CCC(=O)NC1=O ZINC000839469316 708049930 /nfs/dbraw/zinc/04/99/30/708049930.db2.gz FYAMBFICJJQZSX-CYBMUJFWSA-N 1 2 303.318 1.388 20 30 DDEDLO C=CC[N@H+](Cc1cccc([N+](=O)[O-])c1)[C@@H]1CCC(=O)NC1=O ZINC000839469316 708049932 /nfs/dbraw/zinc/04/99/32/708049932.db2.gz FYAMBFICJJQZSX-CYBMUJFWSA-N 1 2 303.318 1.388 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(Cl)s1 ZINC000884088533 708133857 /nfs/dbraw/zinc/13/38/57/708133857.db2.gz MKKLRCILFFWXBN-VIFPVBQESA-N 1 2 302.783 1.464 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2cccc(F)c2[C@@H]1C ZINC000884139047 708156887 /nfs/dbraw/zinc/15/68/87/708156887.db2.gz HIAGKRAVMUSQTD-FZMZJTMJSA-N 1 2 320.364 1.718 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)[C@H](C)c1ccccc1 ZINC000884142146 708158607 /nfs/dbraw/zinc/15/86/07/708158607.db2.gz SBXPNRHHGLKTGH-GUTXKFCHSA-N 1 2 304.390 1.741 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(C)cc1OC ZINC000884158708 708165023 /nfs/dbraw/zinc/16/50/23/708165023.db2.gz IJJVMQBUGXPLRH-ZDUSSCGKSA-N 1 2 306.362 1.066 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](CC)c1nc(C)cs1 ZINC000884213922 708193306 /nfs/dbraw/zinc/19/33/06/708193306.db2.gz PCNTZSKGZKRVMK-QWRGUYRKSA-N 1 2 311.407 1.465 20 30 DDEDLO CC1=C[C@@H](C)C[C@H](C[N@@H+]2C[C@@H]3CS(=O)(=O)C[C@]3(C#N)C2)C1 ZINC000897060431 708219599 /nfs/dbraw/zinc/21/95/99/708219599.db2.gz KWZOIPZZYIVOHR-BVUBDWEXSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=C[C@@H](C)C[C@H](C[N@H+]2C[C@@H]3CS(=O)(=O)C[C@]3(C#N)C2)C1 ZINC000897060431 708219603 /nfs/dbraw/zinc/21/96/03/708219603.db2.gz KWZOIPZZYIVOHR-BVUBDWEXSA-N 1 2 308.447 1.849 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC2(CCC2)c2ccccc21 ZINC000884334422 708250207 /nfs/dbraw/zinc/25/02/07/708250207.db2.gz PGCQLLAUTWMWAP-AWEZNQCLSA-N 1 2 314.385 1.902 20 30 DDEDLO CC1=C[C@@H](C)C[C@@H](C[N@@H+]2C[C@@H]3CS(=O)(=O)C[C@@]3(C#N)C2)C1 ZINC000897294125 708281702 /nfs/dbraw/zinc/28/17/02/708281702.db2.gz KWZOIPZZYIVOHR-DTZQCDIJSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=C[C@@H](C)C[C@@H](C[N@H+]2C[C@@H]3CS(=O)(=O)C[C@@]3(C#N)C2)C1 ZINC000897294125 708281704 /nfs/dbraw/zinc/28/17/04/708281704.db2.gz KWZOIPZZYIVOHR-DTZQCDIJSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=C[C@H](C)C[C@@H](C[N@@H+]2C[C@@H]3CS(=O)(=O)C[C@@]3(C#N)C2)C1 ZINC000897294126 708281891 /nfs/dbraw/zinc/28/18/91/708281891.db2.gz KWZOIPZZYIVOHR-LCGIIJARSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=C[C@H](C)C[C@@H](C[N@H+]2C[C@@H]3CS(=O)(=O)C[C@@]3(C#N)C2)C1 ZINC000897294126 708281892 /nfs/dbraw/zinc/28/18/92/708281892.db2.gz KWZOIPZZYIVOHR-LCGIIJARSA-N 1 2 308.447 1.849 20 30 DDEDLO C#CC[C@H]1CCN(c2nc(NC)nc(N3CC[C@H](CC#C)C3)[nH+]2)C1 ZINC000884594752 708335001 /nfs/dbraw/zinc/33/50/01/708335001.db2.gz QVHCRFRMRPJGNN-GJZGRUSLSA-N 1 2 324.432 1.034 20 30 DDEDLO COC(=O)C1(C#N)CCN(C(=O)C(C)(C)n2c[nH+]c(C)c2)CC1 ZINC000897903611 708487688 /nfs/dbraw/zinc/48/76/88/708487688.db2.gz YIIWYFYFZHTHAO-UHFFFAOYSA-N 1 2 318.377 1.232 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)N2CCC(CC)CC2)C1 ZINC000885508885 708561813 /nfs/dbraw/zinc/56/18/13/708561813.db2.gz NCODNAAPIXEKEA-HNNXBMFYSA-N 1 2 313.467 1.040 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)N2CCC(CC)CC2)C1 ZINC000885508885 708561815 /nfs/dbraw/zinc/56/18/15/708561815.db2.gz NCODNAAPIXEKEA-HNNXBMFYSA-N 1 2 313.467 1.040 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2ccc(CC)cc2)C1 ZINC000885511273 708562773 /nfs/dbraw/zinc/56/27/73/708562773.db2.gz DSWKNTYQVHDNKF-KRWDZBQOSA-N 1 2 320.458 1.766 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2ccc(CC)cc2)C1 ZINC000885511273 708562776 /nfs/dbraw/zinc/56/27/76/708562776.db2.gz DSWKNTYQVHDNKF-KRWDZBQOSA-N 1 2 320.458 1.766 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2cccc(OC)c2)C1 ZINC000885512450 708562870 /nfs/dbraw/zinc/56/28/70/708562870.db2.gz WEDCELPJWVIWJF-HNNXBMFYSA-N 1 2 322.430 1.212 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2cccc(OC)c2)C1 ZINC000885512450 708562872 /nfs/dbraw/zinc/56/28/72/708562872.db2.gz WEDCELPJWVIWJF-HNNXBMFYSA-N 1 2 322.430 1.212 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@H]2CCC[N@@H+](CCF)C2)cc1 ZINC000898496477 708659019 /nfs/dbraw/zinc/65/90/19/708659019.db2.gz XISJZMHFKLUDST-HNNXBMFYSA-N 1 2 304.365 1.862 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@H]2CCC[N@H+](CCF)C2)cc1 ZINC000898496477 708659020 /nfs/dbraw/zinc/65/90/20/708659020.db2.gz XISJZMHFKLUDST-HNNXBMFYSA-N 1 2 304.365 1.862 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@]1(c2ccccc2)CCCO1 ZINC000885947930 708668778 /nfs/dbraw/zinc/66/87/78/708668778.db2.gz RMVDNTUGIFTAGD-HKUYNNGSSA-N 1 2 312.413 1.906 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@]1(c2ccccc2)CCCO1 ZINC000885947930 708668780 /nfs/dbraw/zinc/66/87/80/708668780.db2.gz RMVDNTUGIFTAGD-HKUYNNGSSA-N 1 2 312.413 1.906 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCCc1c(F)cc(O)cc1F ZINC000886264544 708732131 /nfs/dbraw/zinc/73/21/31/708732131.db2.gz BMKJSRBEXPFIMP-HNNXBMFYSA-N 1 2 308.328 1.427 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCCc1c(F)cc(O)cc1F ZINC000886264544 708732133 /nfs/dbraw/zinc/73/21/33/708732133.db2.gz BMKJSRBEXPFIMP-HNNXBMFYSA-N 1 2 308.328 1.427 20 30 DDEDLO C#CCC1(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)CCCCC1 ZINC000899062262 708970240 /nfs/dbraw/zinc/97/02/40/708970240.db2.gz OEPIXWNEFMFDPY-UHFFFAOYSA-N 1 2 320.433 1.525 20 30 DDEDLO C#CCC1(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)CCCCC1 ZINC000899062262 708970241 /nfs/dbraw/zinc/97/02/41/708970241.db2.gz OEPIXWNEFMFDPY-UHFFFAOYSA-N 1 2 320.433 1.525 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCOCCOC)CC2)cc1 ZINC000899065653 708971864 /nfs/dbraw/zinc/97/18/64/708971864.db2.gz IIHBCVGGRCFWCV-UHFFFAOYSA-N 1 2 316.401 1.089 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000887732665 709113651 /nfs/dbraw/zinc/11/36/51/709113651.db2.gz BEFYZZGJPCEMHF-MRXNPFEDSA-N 1 2 310.357 1.434 20 30 DDEDLO O=C(c1cccc2[nH+]ccn21)N1CCC2(CC1)CC(=O)C=CO2 ZINC000887776836 709122206 /nfs/dbraw/zinc/12/22/06/709122206.db2.gz YNJFQKLKVUTWFI-UHFFFAOYSA-N 1 2 311.341 1.812 20 30 DDEDLO C/C(=C\C(=O)N(C)Cc1cccc(C#N)c1)C[NH+]1CCOCC1 ZINC000900128576 709300693 /nfs/dbraw/zinc/30/06/93/709300693.db2.gz VLISQGAMJSWPSK-XNTDXEJSSA-N 1 2 313.401 1.795 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)/C=C\C(=O)c2ccc(C)cc2)CC1 ZINC000900475374 709613190 /nfs/dbraw/zinc/61/31/90/709613190.db2.gz ZDEUTOSYADYCDR-KTKRTIGZSA-N 1 2 310.397 1.901 20 30 DDEDLO C/C(=C\C(=O)N[C@@H](C#N)C1CCCCC1)C[NH+]1CCOCC1 ZINC000900950435 709844593 /nfs/dbraw/zinc/84/45/93/709844593.db2.gz BFWFAXGUCPQRTC-UKYUDJEDSA-N 1 2 305.422 1.854 20 30 DDEDLO COC(=O)c1ccc(C=NNCCCn2cc[nH+]c2)cc1C ZINC000901262214 709988088 /nfs/dbraw/zinc/98/80/88/709988088.db2.gz WCLWTYZLXKNIBA-UHFFFAOYSA-N 1 2 300.362 1.992 20 30 DDEDLO CN(C1CC[NH+](Cc2csc(C#N)c2)CC1)S(C)(=O)=O ZINC000891815222 710301230 /nfs/dbraw/zinc/30/12/30/710301230.db2.gz OAAXUCNNEOXHCX-UHFFFAOYSA-N 1 2 313.448 1.476 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc3oc(=O)oc3c2)CC1 ZINC000894900164 711313194 /nfs/dbraw/zinc/31/31/94/711313194.db2.gz BLDDSCCBTWGXMX-UHFFFAOYSA-N 1 2 316.313 1.665 20 30 DDEDLO N#Cc1sc(NCC[N@@H+]2CCC3(C2)OCCO3)nc1Cl ZINC000895254574 711467911 /nfs/dbraw/zinc/46/79/11/711467911.db2.gz VKDZTYWTTMPNSO-UHFFFAOYSA-N 1 2 314.798 1.529 20 30 DDEDLO N#Cc1sc(NCC[N@H+]2CCC3(C2)OCCO3)nc1Cl ZINC000895254574 711467912 /nfs/dbraw/zinc/46/79/12/711467912.db2.gz VKDZTYWTTMPNSO-UHFFFAOYSA-N 1 2 314.798 1.529 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000895384794 711499815 /nfs/dbraw/zinc/49/98/15/711499815.db2.gz UFEDWMAANZECGO-CYBMUJFWSA-N 1 2 307.415 1.611 20 30 DDEDLO CCO/C=C\[C@](O)(C[NH2+]Cc1nc(C#N)cs1)C(F)(F)F ZINC000895713132 711585189 /nfs/dbraw/zinc/58/51/89/711585189.db2.gz JPLYRQVDTCBHBZ-BYCRGOAPSA-N 1 2 321.324 1.948 20 30 DDEDLO CCO/C=C/[C@@](O)(C[NH2+]Cc1nc(C#N)cs1)C(F)(F)F ZINC000895713141 711585551 /nfs/dbraw/zinc/58/55/51/711585551.db2.gz JPLYRQVDTCBHBZ-PGLGOXFNSA-N 1 2 321.324 1.948 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)nn2)C1 ZINC000966046265 717907010 /nfs/dbraw/zinc/90/70/10/717907010.db2.gz KZFMGYHKIOLISZ-HZMBPMFUSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)nn2)C1 ZINC000966046265 717907012 /nfs/dbraw/zinc/90/70/12/717907012.db2.gz KZFMGYHKIOLISZ-HZMBPMFUSA-N 1 2 308.813 1.978 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)c(C)nn1 ZINC000929328548 713590492 /nfs/dbraw/zinc/59/04/92/713590492.db2.gz XUAGVENIIPHKSN-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO C[N@H+](CCC#N)C[C@H]1CC2(CN(C(=O)OC(C)(C)C)C2)C(=O)O1 ZINC000929808544 713694751 /nfs/dbraw/zinc/69/47/51/713694751.db2.gz IEODDFLDDMBERA-GFCCVEGCSA-N 1 2 323.393 1.384 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H]1CC2(CN(C(=O)OC(C)(C)C)C2)C(=O)O1 ZINC000929808544 713694753 /nfs/dbraw/zinc/69/47/53/713694753.db2.gz IEODDFLDDMBERA-GFCCVEGCSA-N 1 2 323.393 1.384 20 30 DDEDLO N#CC[C@@H](O)C[NH+]1CCN([C@H](C#N)c2cccc(Cl)c2)CC1 ZINC000930278027 713792161 /nfs/dbraw/zinc/79/21/61/713792161.db2.gz ICUURLOMVYVFCM-HZPDHXFCSA-N 1 2 318.808 1.797 20 30 DDEDLO C/C(=C\C(=O)Nc1cccc(CC#N)n1)C[NH+]1CCOCC1 ZINC000922156513 713977087 /nfs/dbraw/zinc/97/70/87/713977087.db2.gz MEZJZZYYUOALQK-ACCUITESSA-N 1 2 300.362 1.365 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2cc(OC)c(F)cc2[N+](=O)[O-])CC1 ZINC000931150522 714016596 /nfs/dbraw/zinc/01/65/96/714016596.db2.gz FLADCEPPEREVFA-UHFFFAOYSA-N 1 2 308.309 1.703 20 30 DDEDLO COc1ccc(NC(=O)NCC[N@@H+]2CCO[C@@H](C)C2)cc1C#N ZINC000932229039 714288136 /nfs/dbraw/zinc/28/81/36/714288136.db2.gz XVFQDXRSPWJTLM-LBPRGKRZSA-N 1 2 318.377 1.409 20 30 DDEDLO COc1ccc(NC(=O)NCC[N@H+]2CCO[C@@H](C)C2)cc1C#N ZINC000932229039 714288139 /nfs/dbraw/zinc/28/81/39/714288139.db2.gz XVFQDXRSPWJTLM-LBPRGKRZSA-N 1 2 318.377 1.409 20 30 DDEDLO Cn1nccc1[C@H]1CCC[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000932271165 714297354 /nfs/dbraw/zinc/29/73/54/714297354.db2.gz XHXQEVJFLDXARC-QGZVFWFLSA-N 1 2 323.400 1.745 20 30 DDEDLO Cn1nccc1[C@H]1CCC[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000932271165 714297355 /nfs/dbraw/zinc/29/73/55/714297355.db2.gz XHXQEVJFLDXARC-QGZVFWFLSA-N 1 2 323.400 1.745 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000923418559 714349664 /nfs/dbraw/zinc/34/96/64/714349664.db2.gz RGCIZCHNKLSZKP-ZDUSSCGKSA-N 1 2 319.409 1.004 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2sc3ncccc3c2N)C1 ZINC000923549249 714396886 /nfs/dbraw/zinc/39/68/86/714396886.db2.gz GRQZVMYCPREJNQ-NSHDSACASA-N 1 2 314.414 1.706 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2sc3ncccc3c2N)C1 ZINC000923549249 714396888 /nfs/dbraw/zinc/39/68/88/714396888.db2.gz GRQZVMYCPREJNQ-NSHDSACASA-N 1 2 314.414 1.706 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C[C@H](C)n2ccnc2CC)C1 ZINC000923554917 714399923 /nfs/dbraw/zinc/39/99/23/714399923.db2.gz SCXKMXVVRMQKFL-LSDHHAIUSA-N 1 2 302.422 1.610 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C[C@H](C)n2ccnc2CC)C1 ZINC000923554917 714399926 /nfs/dbraw/zinc/39/99/26/714399926.db2.gz SCXKMXVVRMQKFL-LSDHHAIUSA-N 1 2 302.422 1.610 20 30 DDEDLO N#Cc1ccc([C@H]2CN(CC[NH+]3CCOCC3)CCO2)cc1 ZINC000933627351 714634117 /nfs/dbraw/zinc/63/41/17/714634117.db2.gz KGJHNSKSRUZPNJ-QGZVFWFLSA-N 1 2 301.390 1.264 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@H+](CCN3CCOCC3)CCO2)cc1 ZINC000933627351 714634120 /nfs/dbraw/zinc/63/41/20/714634120.db2.gz KGJHNSKSRUZPNJ-QGZVFWFLSA-N 1 2 301.390 1.264 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@@H+](CCN3CCOCC3)CCO2)cc1 ZINC000933627351 714634121 /nfs/dbraw/zinc/63/41/21/714634121.db2.gz KGJHNSKSRUZPNJ-QGZVFWFLSA-N 1 2 301.390 1.264 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@H+](CCCN3CCOCC3)CCO2)cc1 ZINC000933627907 714634168 /nfs/dbraw/zinc/63/41/68/714634168.db2.gz MKJOOQCDPSKHDB-GOSISDBHSA-N 1 2 315.417 1.654 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@@H+](CCCN3CCOCC3)CCO2)cc1 ZINC000933627907 714634170 /nfs/dbraw/zinc/63/41/70/714634170.db2.gz MKJOOQCDPSKHDB-GOSISDBHSA-N 1 2 315.417 1.654 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@H+](C[C@H]3CCC4(COC4)O3)CCO2)cc1 ZINC000933633011 714634794 /nfs/dbraw/zinc/63/47/94/714634794.db2.gz UIETZMVBKQMNTN-IAGOWNOFSA-N 1 2 314.385 1.880 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@@H+](C[C@H]3CCC4(COC4)O3)CCO2)cc1 ZINC000933633011 714634797 /nfs/dbraw/zinc/63/47/97/714634797.db2.gz UIETZMVBKQMNTN-IAGOWNOFSA-N 1 2 314.385 1.880 20 30 DDEDLO C[N@H+](CC(=O)NCc1cccnc1)Cc1cc(C#N)cs1 ZINC000933777287 714669828 /nfs/dbraw/zinc/66/98/28/714669828.db2.gz IZPCNSCQLXFESY-UHFFFAOYSA-N 1 2 300.387 1.763 20 30 DDEDLO C[N@@H+](CC(=O)NCc1cccnc1)Cc1cc(C#N)cs1 ZINC000933777287 714669830 /nfs/dbraw/zinc/66/98/30/714669830.db2.gz IZPCNSCQLXFESY-UHFFFAOYSA-N 1 2 300.387 1.763 20 30 DDEDLO C=C(C)C[C@H]1NC(=O)N(Cc2nc(C[NH+](C)C)cs2)C1=O ZINC000925182501 714769349 /nfs/dbraw/zinc/76/93/49/714769349.db2.gz PQMIIYFEISCCLS-LLVKDONJSA-N 1 2 308.407 1.591 20 30 DDEDLO C=CC1(CC(=O)N(C)C[C@H](O)C[NH+]2CCOCC2)CCCCC1 ZINC000934322029 714794440 /nfs/dbraw/zinc/79/44/40/714794440.db2.gz XIBYZDDCWKQINJ-INIZCTEOSA-N 1 2 324.465 1.665 20 30 DDEDLO C[C@@]1(C2CC2)COCC[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000934481817 714830075 /nfs/dbraw/zinc/83/00/75/714830075.db2.gz XSJIHNSLVJQUJQ-INIZCTEOSA-N 1 2 304.394 1.143 20 30 DDEDLO C[C@@]1(C2CC2)COCC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000934481817 714830076 /nfs/dbraw/zinc/83/00/76/714830076.db2.gz XSJIHNSLVJQUJQ-INIZCTEOSA-N 1 2 304.394 1.143 20 30 DDEDLO C[C@]1(C2CC2)COCC[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000934482137 714830185 /nfs/dbraw/zinc/83/01/85/714830185.db2.gz YIWCSKITJMBRNX-GOSISDBHSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@]1(C2CC2)COCC[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000934482137 714830186 /nfs/dbraw/zinc/83/01/86/714830186.db2.gz YIWCSKITJMBRNX-GOSISDBHSA-N 1 2 313.401 1.675 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@@H+]1CCCNC(=O)[C@@H]1C)c1ccccc1 ZINC000934811646 714908170 /nfs/dbraw/zinc/90/81/70/714908170.db2.gz ZJKJINRTDSSRTF-KSSFIOAISA-N 1 2 313.401 1.637 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@H+]1CCCNC(=O)[C@@H]1C)c1ccccc1 ZINC000934811646 714908172 /nfs/dbraw/zinc/90/81/72/714908172.db2.gz ZJKJINRTDSSRTF-KSSFIOAISA-N 1 2 313.401 1.637 20 30 DDEDLO CN(C(=O)c1cccc2[nH+]ccn21)C1CN(C(=O)C#CC2CC2)C1 ZINC000954766360 715496370 /nfs/dbraw/zinc/49/63/70/715496370.db2.gz QPMIYYJTEHNOMC-UHFFFAOYSA-N 1 2 322.368 1.031 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H](NC(=O)Cn3cc[nH+]c3)C2)CC1 ZINC000938194088 715752494 /nfs/dbraw/zinc/75/24/94/715752494.db2.gz KPORUKIFINLERV-HNNXBMFYSA-N 1 2 316.405 1.347 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc(NC(N)=O)c2)C1 ZINC000957369762 715865174 /nfs/dbraw/zinc/86/51/74/715865174.db2.gz ABKYCRNDPCNURG-UHFFFAOYSA-N 1 2 314.389 1.347 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn(-c3ccccc3)n2)C1 ZINC000957370207 715865321 /nfs/dbraw/zinc/86/53/21/715865321.db2.gz LAXFDPAUXIHUAZ-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(C(=O)Cc3[nH]c[nH+]c3C)C2)C1 ZINC000938697332 715963745 /nfs/dbraw/zinc/96/37/45/715963745.db2.gz NIDNWIJWIVJTGL-ZDUSSCGKSA-N 1 2 316.405 1.334 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ncoc2CC)C1 ZINC000957936095 716239198 /nfs/dbraw/zinc/23/91/98/716239198.db2.gz RZEYSBKVJLVTJU-WDEREUQCSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ncoc2CC)C1 ZINC000957936095 716239202 /nfs/dbraw/zinc/23/92/02/716239202.db2.gz RZEYSBKVJLVTJU-WDEREUQCSA-N 1 2 313.785 1.012 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cn(CC)nn1 ZINC000960786937 716689957 /nfs/dbraw/zinc/68/99/57/716689957.db2.gz GNKZOJDWEGBFKY-HNNXBMFYSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1cn(CC)nn1 ZINC000960786937 716689959 /nfs/dbraw/zinc/68/99/59/716689959.db2.gz GNKZOJDWEGBFKY-HNNXBMFYSA-N 1 2 319.453 1.933 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3cccs3)CC2)C1 ZINC000941293600 717148592 /nfs/dbraw/zinc/14/85/92/717148592.db2.gz WMONPKDBTBEUOD-UHFFFAOYSA-N 1 2 303.431 1.213 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3CCC[C@H]3C)CC2)C1 ZINC000941414110 717173224 /nfs/dbraw/zinc/17/32/24/717173224.db2.gz NWFDUXNUEZZRFP-IAGOWNOFSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@@H]4C=C[C@H]3CC4)CC2)C1 ZINC000941414683 717173278 /nfs/dbraw/zinc/17/32/78/717173278.db2.gz WJTMNKOACCVICH-SOLBZPMBSA-N 1 2 313.445 1.050 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3CCC(=C)CC3)CC2)C1 ZINC000941547546 717203238 /nfs/dbraw/zinc/20/32/38/717203238.db2.gz NNXWPXRBNMEWLF-UHFFFAOYSA-N 1 2 301.434 1.194 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@]3(C)CCC[C@H]3C)CC2)C1 ZINC000941554029 717207483 /nfs/dbraw/zinc/20/74/83/717207483.db2.gz WAEXKDLMRQREAM-APWZRJJASA-N 1 2 317.477 1.664 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCN(C(=O)Cc2c[nH+]cn2C)CC1 ZINC000941671169 717249653 /nfs/dbraw/zinc/24/96/53/717249653.db2.gz CZWNKIJPZBNASQ-UHFFFAOYSA-N 1 2 318.421 1.236 20 30 DDEDLO C[C@H]1CN(CC#N)CC[C@@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000942532239 717725697 /nfs/dbraw/zinc/72/56/97/717725697.db2.gz ICWQSTSWFAMZKH-YOEHRIQHSA-N 1 2 323.400 1.836 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC000965832868 717775549 /nfs/dbraw/zinc/77/55/49/717775549.db2.gz YFKLRDAQOUCOBF-JOYOIKCWSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC000965832868 717775553 /nfs/dbraw/zinc/77/55/53/717775553.db2.gz YFKLRDAQOUCOBF-JOYOIKCWSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(C)ncn2)C1 ZINC000965916321 717813944 /nfs/dbraw/zinc/81/39/44/717813944.db2.gz HNKHGARDWFXXQE-HZMBPMFUSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(C)ncn2)C1 ZINC000965916321 717813947 /nfs/dbraw/zinc/81/39/47/717813947.db2.gz HNKHGARDWFXXQE-HZMBPMFUSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnnn2CC)C1 ZINC000965980895 717845695 /nfs/dbraw/zinc/84/56/95/717845695.db2.gz QEVWUFRLRNIODQ-PWSUYJOCSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnnn2CC)C1 ZINC000965980895 717845698 /nfs/dbraw/zinc/84/56/98/717845698.db2.gz QEVWUFRLRNIODQ-PWSUYJOCSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2c(C)cnn2C)C1 ZINC000967894143 719075998 /nfs/dbraw/zinc/07/59/98/719075998.db2.gz HOZBAORJNHMYGC-ZWNOBZJWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2c(C)cnn2C)C1 ZINC000967894143 719076002 /nfs/dbraw/zinc/07/60/02/719076002.db2.gz HOZBAORJNHMYGC-ZWNOBZJWSA-N 1 2 310.829 1.921 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnon3)[C@H](C)C2)cc1 ZINC000947612427 719226939 /nfs/dbraw/zinc/22/69/39/719226939.db2.gz VUWZNSUCOUIHDN-CJNGLKHVSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnon3)[C@H](C)C2)cc1 ZINC000947612427 719226941 /nfs/dbraw/zinc/22/69/41/719226941.db2.gz VUWZNSUCOUIHDN-CJNGLKHVSA-N 1 2 324.384 1.834 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnn(C)n3)[C@H](C)C2)C1 ZINC000947702702 719248659 /nfs/dbraw/zinc/24/86/59/719248659.db2.gz RMKOJDUQCJLUKJ-KGLIPLIRSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnn(C)n3)[C@H](C)C2)C1 ZINC000947702702 719248661 /nfs/dbraw/zinc/24/86/61/719248661.db2.gz RMKOJDUQCJLUKJ-KGLIPLIRSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968636256 719706544 /nfs/dbraw/zinc/70/65/44/719706544.db2.gz YPZCDEBPOHIJSL-BLLLJJGKSA-N 1 2 313.405 1.974 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968636256 719706548 /nfs/dbraw/zinc/70/65/48/719706548.db2.gz YPZCDEBPOHIJSL-BLLLJJGKSA-N 1 2 313.405 1.974 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCC[C@@H]2n2cccn2)CC1 ZINC000948832027 719736224 /nfs/dbraw/zinc/73/62/24/719736224.db2.gz MQKXJUXKCAIFRQ-CVEARBPZSA-N 1 2 302.422 1.945 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)CC1 ZINC000948940045 719794322 /nfs/dbraw/zinc/79/43/22/719794322.db2.gz XOFXLLXWZRASTE-CYBMUJFWSA-N 1 2 308.813 1.342 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccnc(N3CCCC3)n2)CC1 ZINC000948939774 719794654 /nfs/dbraw/zinc/79/46/54/719794654.db2.gz RWGXOOZGNNRHRF-UHFFFAOYSA-N 1 2 301.394 1.021 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccn(C)n1 ZINC000948986110 719825673 /nfs/dbraw/zinc/82/56/73/719825673.db2.gz IAVLNKMQEWHHRZ-KRWDZBQOSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccn(C)n1 ZINC000948986110 719825679 /nfs/dbraw/zinc/82/56/79/719825679.db2.gz IAVLNKMQEWHHRZ-KRWDZBQOSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@H]3C=CCC3)CC2)C1 ZINC000949295603 719999075 /nfs/dbraw/zinc/99/90/75/719999075.db2.gz PMSVRQJVWPIUGC-INIZCTEOSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@H]3C=CCC3)CC2)C1 ZINC000949295603 719999078 /nfs/dbraw/zinc/99/90/78/719999078.db2.gz PMSVRQJVWPIUGC-INIZCTEOSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cc(Cl)c[nH]3)CC2)C1 ZINC000949298498 720000877 /nfs/dbraw/zinc/00/08/77/720000877.db2.gz KJQDLIWFSGHUGZ-UHFFFAOYSA-N 1 2 321.808 1.608 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cc(Cl)c[nH]3)CC2)C1 ZINC000949298498 720000880 /nfs/dbraw/zinc/00/08/80/720000880.db2.gz KJQDLIWFSGHUGZ-UHFFFAOYSA-N 1 2 321.808 1.608 20 30 DDEDLO C[C@H](NC(=O)c1cnccn1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969230112 720017289 /nfs/dbraw/zinc/01/72/89/720017289.db2.gz HVKCLBWDPDZJQQ-ZDUSSCGKSA-N 1 2 321.384 1.599 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3csnc3C)CC2)C1 ZINC000949343515 720029679 /nfs/dbraw/zinc/02/96/79/720029679.db2.gz GDFGSSUZZUIFQV-UHFFFAOYSA-N 1 2 319.430 1.392 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3csnc3C)CC2)C1 ZINC000949343515 720029681 /nfs/dbraw/zinc/02/96/81/720029681.db2.gz GDFGSSUZZUIFQV-UHFFFAOYSA-N 1 2 319.430 1.392 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)Cc3cncs3)CC2)C1 ZINC000949389158 720054607 /nfs/dbraw/zinc/05/46/07/720054607.db2.gz VGQGVZLKFBHZRQ-UHFFFAOYSA-N 1 2 319.430 1.012 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)Cc3cncs3)CC2)C1 ZINC000949389158 720054610 /nfs/dbraw/zinc/05/46/10/720054610.db2.gz VGQGVZLKFBHZRQ-UHFFFAOYSA-N 1 2 319.430 1.012 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cn(C)nc2-c2ccoc2)CC1 ZINC000949456684 720099797 /nfs/dbraw/zinc/09/97/97/720099797.db2.gz FKKLRTJSZNBPMF-UHFFFAOYSA-N 1 2 300.362 1.624 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H](C)c3ccco3)CC2)C1 ZINC000949470647 720109561 /nfs/dbraw/zinc/10/95/61/720109561.db2.gz GLFZCZNVMFZEHD-OAHLLOKOSA-N 1 2 316.401 1.710 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H](C)c3ccco3)CC2)C1 ZINC000949470647 720109564 /nfs/dbraw/zinc/10/95/64/720109564.db2.gz GLFZCZNVMFZEHD-OAHLLOKOSA-N 1 2 316.401 1.710 20 30 DDEDLO C#CCC[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000969667959 720243275 /nfs/dbraw/zinc/24/32/75/720243275.db2.gz OOUVSZFYUPXUFY-GXTWGEPZSA-N 1 2 300.406 1.289 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc(OCC)n[nH]2)C1 ZINC000969936811 720566958 /nfs/dbraw/zinc/56/69/58/720566958.db2.gz VGVXYFCKGJHENW-SNVBAGLBSA-N 1 2 312.801 1.611 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC000969990136 720588591 /nfs/dbraw/zinc/58/85/91/720588591.db2.gz DDVSZGKEHSAGCT-QWHCGFSZSA-N 1 2 322.840 1.635 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc3ccccc3c(=O)o2)C1 ZINC000950425678 720627589 /nfs/dbraw/zinc/62/75/89/720627589.db2.gz LMKJCXMWEFYXNY-UHFFFAOYSA-N 1 2 324.380 1.963 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cc(=O)[nH]c(C3CC3)c2)C1 ZINC000950497993 720658929 /nfs/dbraw/zinc/65/89/29/720658929.db2.gz YWJCLZMZLXVEFE-UHFFFAOYSA-N 1 2 301.390 1.997 20 30 DDEDLO CCN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CN(CC#N)C1 ZINC000951001879 720872514 /nfs/dbraw/zinc/87/25/14/720872514.db2.gz UGFCQWZVRVGAEY-UHFFFAOYSA-N 1 2 309.373 1.542 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCCO2)C1 ZINC000971025623 721063441 /nfs/dbraw/zinc/06/34/41/721063441.db2.gz NRBHOCXKQCVQKZ-VXGBXAGGSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCCO2)C1 ZINC000971025623 721063443 /nfs/dbraw/zinc/06/34/43/721063443.db2.gz NRBHOCXKQCVQKZ-VXGBXAGGSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC000951557620 721072257 /nfs/dbraw/zinc/07/22/57/721072257.db2.gz NWWQAIQSYUGJLN-CYBMUJFWSA-N 1 2 303.410 1.333 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)c2cocn2)C1 ZINC000971181516 721160061 /nfs/dbraw/zinc/16/00/61/721160061.db2.gz PYBWZBLRCBCCIE-JTQLQIEISA-N 1 2 314.183 1.730 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)c2cocn2)C1 ZINC000971181516 721160062 /nfs/dbraw/zinc/16/00/62/721160062.db2.gz PYBWZBLRCBCCIE-JTQLQIEISA-N 1 2 314.183 1.730 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)nc1 ZINC000971289226 721220663 /nfs/dbraw/zinc/22/06/63/721220663.db2.gz QFJMGLACWJEKBF-AWEZNQCLSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)nc1 ZINC000971289226 721220665 /nfs/dbraw/zinc/22/06/65/721220665.db2.gz QFJMGLACWJEKBF-AWEZNQCLSA-N 1 2 324.388 1.331 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3C[C@@H]3c3ccccc3)C2)C1 ZINC000972586038 735296513 /nfs/dbraw/zinc/29/65/13/735296513.db2.gz UNPKTYWKZIIMEE-HBFSDRIKSA-N 1 2 324.424 1.727 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3C[C@@H]3c3ccccc3)C2)C1 ZINC000972586038 735296516 /nfs/dbraw/zinc/29/65/16/735296516.db2.gz UNPKTYWKZIIMEE-HBFSDRIKSA-N 1 2 324.424 1.727 20 30 DDEDLO N#CCN1CCC[C@H](CNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001023585750 735327777 /nfs/dbraw/zinc/32/77/77/735327777.db2.gz LIWYERXXOXYSHQ-OLZOCXBDSA-N 1 2 301.394 1.181 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C3(C)CCCC3)C2)nn1 ZINC001105294762 737750831 /nfs/dbraw/zinc/75/08/31/737750831.db2.gz FEKMJODOVOREIB-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=CCN(CC[NH+]1CCN(c2cncnc2)CC1)C(=O)OCC ZINC001209021269 732560282 /nfs/dbraw/zinc/56/02/82/732560282.db2.gz UXSINNYZNISJOF-UHFFFAOYSA-N 1 2 319.409 1.243 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@@H](C)CNc2cc[nH+]c(C)n2)nn1 ZINC001104232765 732716288 /nfs/dbraw/zinc/71/62/88/732716288.db2.gz UTHAWQXQZZAWRV-NSHDSACASA-N 1 2 315.381 1.041 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](CC)OC3CCCC3)[C@H]2C1 ZINC001083211520 733466997 /nfs/dbraw/zinc/46/69/97/733466997.db2.gz CRJZGCWXDNOYQY-GVDBMIGSSA-N 1 2 320.433 1.269 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](CC)OC3CCCC3)[C@H]2C1 ZINC001083211520 733467001 /nfs/dbraw/zinc/46/70/01/733467001.db2.gz CRJZGCWXDNOYQY-GVDBMIGSSA-N 1 2 320.433 1.269 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H]1CNC(=O)c1cncnc1 ZINC001027838689 738718246 /nfs/dbraw/zinc/71/82/46/738718246.db2.gz FYNDRKFBCTUQPO-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H]1CNC(=O)c1cncnc1 ZINC001027838689 738718248 /nfs/dbraw/zinc/71/82/48/738718248.db2.gz FYNDRKFBCTUQPO-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3C[C@@H]3c3ccccc3)[C@H]2C1 ZINC001083216841 733608078 /nfs/dbraw/zinc/60/80/78/733608078.db2.gz UZQVDQHPAQHJKG-VSZNYVQBSA-N 1 2 310.397 1.335 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3C[C@@H]3c3ccccc3)[C@H]2C1 ZINC001083216841 733608085 /nfs/dbraw/zinc/60/80/85/733608085.db2.gz UZQVDQHPAQHJKG-VSZNYVQBSA-N 1 2 310.397 1.335 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001098214085 734468903 /nfs/dbraw/zinc/46/89/03/734468903.db2.gz VYBHSXALERMVAR-LBPRGKRZSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001098214085 734468905 /nfs/dbraw/zinc/46/89/05/734468905.db2.gz VYBHSXALERMVAR-LBPRGKRZSA-N 1 2 317.437 1.593 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccccc3F)[C@H]2C1 ZINC001083228616 734518124 /nfs/dbraw/zinc/51/81/24/734518124.db2.gz LKSLIXPJXYZSBL-XOKHGSTOSA-N 1 2 316.376 1.474 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccccc3F)[C@H]2C1 ZINC001083228616 734518125 /nfs/dbraw/zinc/51/81/25/734518125.db2.gz LKSLIXPJXYZSBL-XOKHGSTOSA-N 1 2 316.376 1.474 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cccc3[nH+]ccn32)C[C@H]1CCNCC#N ZINC001104412674 734746693 /nfs/dbraw/zinc/74/66/93/734746693.db2.gz HZESAESKSVQXNH-ZIAGYGMSSA-N 1 2 311.389 1.546 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc2n1CCOC2 ZINC001027946647 738846182 /nfs/dbraw/zinc/84/61/82/738846182.db2.gz VPHDQBSRFGZBDX-GFCCVEGCSA-N 1 2 324.812 1.360 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnc2n1CCOC2 ZINC001027946647 738846185 /nfs/dbraw/zinc/84/61/85/738846185.db2.gz VPHDQBSRFGZBDX-GFCCVEGCSA-N 1 2 324.812 1.360 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccc(C)cc3)[C@H]2C1 ZINC001083251918 734857931 /nfs/dbraw/zinc/85/79/31/734857931.db2.gz ODNJZPKWJHRJRS-BPQIPLTHSA-N 1 2 312.413 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccc(C)cc3)[C@H]2C1 ZINC001083251918 734857936 /nfs/dbraw/zinc/85/79/36/734857936.db2.gz ODNJZPKWJHRJRS-BPQIPLTHSA-N 1 2 312.413 1.643 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc4cc[nH]c4c3)C2)C1 ZINC000972574181 735261156 /nfs/dbraw/zinc/26/11/56/735261156.db2.gz XAWUWNUBGNKQMP-LJQANCHMSA-N 1 2 323.396 1.718 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc4cc[nH]c4c3)C2)C1 ZINC000972574181 735261159 /nfs/dbraw/zinc/26/11/59/735261159.db2.gz XAWUWNUBGNKQMP-LJQANCHMSA-N 1 2 323.396 1.718 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCc2nccn2C1 ZINC001027973532 738893505 /nfs/dbraw/zinc/89/35/05/738893505.db2.gz STQUAYLHDAETRG-KBPBESRZSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCc2nccn2C1 ZINC001027973532 738893506 /nfs/dbraw/zinc/89/35/06/738893506.db2.gz STQUAYLHDAETRG-KBPBESRZSA-N 1 2 322.840 1.779 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001024526992 735904764 /nfs/dbraw/zinc/90/47/64/735904764.db2.gz JXWTZNXTZFKJEZ-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001024526992 735904765 /nfs/dbraw/zinc/90/47/65/735904765.db2.gz JXWTZNXTZFKJEZ-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H]1CNC(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001024540942 735917215 /nfs/dbraw/zinc/91/72/15/735917215.db2.gz RSVPDSSTRULZNB-AWEZNQCLSA-N 1 2 323.396 1.746 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001024540942 735917220 /nfs/dbraw/zinc/91/72/20/735917220.db2.gz RSVPDSSTRULZNB-AWEZNQCLSA-N 1 2 323.396 1.746 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001024540943 735917521 /nfs/dbraw/zinc/91/75/21/735917521.db2.gz RSVPDSSTRULZNB-CQSZACIVSA-N 1 2 323.396 1.746 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001024540943 735917523 /nfs/dbraw/zinc/91/75/23/735917523.db2.gz RSVPDSSTRULZNB-CQSZACIVSA-N 1 2 323.396 1.746 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4cnccc43)[C@H]2C1 ZINC001083275648 736229987 /nfs/dbraw/zinc/22/99/87/736229987.db2.gz PJUGDNIZQFNUNA-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4cnccc43)[C@H]2C1 ZINC001083275648 736229991 /nfs/dbraw/zinc/22/99/91/736229991.db2.gz PJUGDNIZQFNUNA-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCCC[C@H]1C[NH2+]Cc1nnn(C)n1 ZINC001025069779 736277001 /nfs/dbraw/zinc/27/70/01/736277001.db2.gz OORVIBOXYZHDFF-XJKSGUPXSA-N 1 2 320.441 1.283 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCC[C@H]1[C@@H]1CCCN1CC#N ZINC001025293811 736410958 /nfs/dbraw/zinc/41/09/58/736410958.db2.gz USNLOUGIZZPBAO-HOTGVXAUSA-N 1 2 315.421 1.630 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](C)N(C)C(=O)Cn2cc[nH+]c2)n1 ZINC001104602009 736445130 /nfs/dbraw/zinc/44/51/30/736445130.db2.gz ABRHEDQGEVHOLP-CYBMUJFWSA-N 1 2 312.377 1.417 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001104871029 737313968 /nfs/dbraw/zinc/31/39/68/737313968.db2.gz HABKEAVYVHKVQO-IACUBPJLSA-N 1 2 324.388 1.739 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001104871029 737313971 /nfs/dbraw/zinc/31/39/71/737313971.db2.gz HABKEAVYVHKVQO-IACUBPJLSA-N 1 2 324.388 1.739 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NC2CCC(NCC#N)CC2)c[nH+]1 ZINC001026514716 737628706 /nfs/dbraw/zinc/62/87/06/737628706.db2.gz PLYZNCKBIVTJSM-UHFFFAOYSA-N 1 2 303.410 1.467 20 30 DDEDLO CCCCc1noc(C[NH2+]CCNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001125987796 738090536 /nfs/dbraw/zinc/09/05/36/738090536.db2.gz DETICDWIJOFWQE-UHFFFAOYSA-N 1 2 316.365 1.132 20 30 DDEDLO N#CC1(c2cc[nH+]c(N[C@H]3COCCC34OCCO4)c2)CC1 ZINC001168351969 742217334 /nfs/dbraw/zinc/21/73/34/742217334.db2.gz GOCIIHPLNCBJJD-ZDUSSCGKSA-N 1 2 301.346 1.581 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1cc(C)on1 ZINC001028143118 739085251 /nfs/dbraw/zinc/08/52/51/739085251.db2.gz YPYNVLMQRITHMI-OAGGEKHMSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1cc(C)on1 ZINC001028143118 739085253 /nfs/dbraw/zinc/08/52/53/739085253.db2.gz YPYNVLMQRITHMI-OAGGEKHMSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnc(OCC)cn1 ZINC001028349949 739386446 /nfs/dbraw/zinc/38/64/46/739386446.db2.gz BQFHOSQUUNBDHE-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnc(OCC)cn1 ZINC001028349949 739386450 /nfs/dbraw/zinc/38/64/50/739386450.db2.gz BQFHOSQUUNBDHE-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@H](C)C(C)(C)C)C2)nn1 ZINC001105366451 739392403 /nfs/dbraw/zinc/39/24/03/739392403.db2.gz QEGDWUHZUISQMZ-ZDUSSCGKSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+](Cc3cnon3)C2)CC1 ZINC001028780535 740026594 /nfs/dbraw/zinc/02/65/94/740026594.db2.gz KTFBOELMEKURDJ-ZDUSSCGKSA-N 1 2 304.394 1.754 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+](Cc3cnon3)C2)CC1 ZINC001028780535 740026598 /nfs/dbraw/zinc/02/65/98/740026598.db2.gz KTFBOELMEKURDJ-ZDUSSCGKSA-N 1 2 304.394 1.754 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3cccnc32)C1 ZINC001035430280 751506944 /nfs/dbraw/zinc/50/69/44/751506944.db2.gz BOWPZWUIPLBGNC-OAHLLOKOSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3cccnc32)C1 ZINC001035430280 751506947 /nfs/dbraw/zinc/50/69/47/751506947.db2.gz BOWPZWUIPLBGNC-OAHLLOKOSA-N 1 2 311.385 1.851 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccc(COC)c2)C1 ZINC001035430228 751507568 /nfs/dbraw/zinc/50/75/68/751507568.db2.gz AGJDQKZABAKETQ-QGZVFWFLSA-N 1 2 318.417 1.840 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccc(COC)c2)C1 ZINC001035430228 751507575 /nfs/dbraw/zinc/50/75/75/751507575.db2.gz AGJDQKZABAKETQ-QGZVFWFLSA-N 1 2 318.417 1.840 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2snnc2CC)C1 ZINC001035435733 751512628 /nfs/dbraw/zinc/51/26/28/751512628.db2.gz VQHPUONUVBOQDE-LLVKDONJSA-N 1 2 310.423 1.107 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2snnc2CC)C1 ZINC001035435733 751512629 /nfs/dbraw/zinc/51/26/29/751512629.db2.gz VQHPUONUVBOQDE-LLVKDONJSA-N 1 2 310.423 1.107 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)ccc2OC)C1 ZINC001035436740 751514441 /nfs/dbraw/zinc/51/44/41/751514441.db2.gz FRCBBSMRCGARSE-OAHLLOKOSA-N 1 2 316.401 1.458 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)ccc2OC)C1 ZINC001035436740 751514444 /nfs/dbraw/zinc/51/44/44/751514444.db2.gz FRCBBSMRCGARSE-OAHLLOKOSA-N 1 2 316.401 1.458 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2COc3ccccc3C2)C1 ZINC001035437431 751514911 /nfs/dbraw/zinc/51/49/11/751514911.db2.gz HKJITYHIJFQJOL-JKSUJKDBSA-N 1 2 316.401 1.241 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2COc3ccccc3C2)C1 ZINC001035437431 751514914 /nfs/dbraw/zinc/51/49/14/751514914.db2.gz HKJITYHIJFQJOL-JKSUJKDBSA-N 1 2 316.401 1.241 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001012226258 740408615 /nfs/dbraw/zinc/40/86/15/740408615.db2.gz HDLHURAKQRZLNR-INIZCTEOSA-N 1 2 304.394 1.225 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001035465338 751550558 /nfs/dbraw/zinc/55/05/58/751550558.db2.gz GCGYUMGMVRZQLE-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001035465338 751550564 /nfs/dbraw/zinc/55/05/64/751550564.db2.gz GCGYUMGMVRZQLE-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC001035464330 751549577 /nfs/dbraw/zinc/54/95/77/751549577.db2.gz UUTOIAAMXPISBC-CQSZACIVSA-N 1 2 306.410 1.369 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC001035464330 751549582 /nfs/dbraw/zinc/54/95/82/751549582.db2.gz UUTOIAAMXPISBC-CQSZACIVSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001059315892 740609864 /nfs/dbraw/zinc/60/98/64/740609864.db2.gz OLXDCWSOIVYUAR-AWEZNQCLSA-N 1 2 304.394 1.204 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C(C)C)cn2)C1 ZINC001035506801 751563585 /nfs/dbraw/zinc/56/35/85/751563585.db2.gz XLIMSGPDCJLOMV-OAHLLOKOSA-N 1 2 303.406 1.822 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C(C)C)cn2)C1 ZINC001035506801 751563591 /nfs/dbraw/zinc/56/35/91/751563591.db2.gz XLIMSGPDCJLOMV-OAHLLOKOSA-N 1 2 303.406 1.822 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)C(C)(C)n2c[nH+]c(C)c2)CCN1CC#N ZINC001087621921 740680904 /nfs/dbraw/zinc/68/09/04/740680904.db2.gz OTOQBVSYTUUALN-UONOGXRCSA-N 1 2 303.410 1.419 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2oc(C3CC3)nc2C)C1 ZINC001035537198 751582971 /nfs/dbraw/zinc/58/29/71/751582971.db2.gz CZFCBPHTKXHJMM-AWEZNQCLSA-N 1 2 319.405 1.867 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2oc(C3CC3)nc2C)C1 ZINC001035537198 751582977 /nfs/dbraw/zinc/58/29/77/751582977.db2.gz CZFCBPHTKXHJMM-AWEZNQCLSA-N 1 2 319.405 1.867 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccn(C(CC)CC)n2)C1 ZINC001035532176 751613365 /nfs/dbraw/zinc/61/33/65/751613365.db2.gz DGFYHAHIHBQHAQ-HNNXBMFYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccn(C(CC)CC)n2)C1 ZINC001035532176 751613368 /nfs/dbraw/zinc/61/33/68/751613368.db2.gz DGFYHAHIHBQHAQ-HNNXBMFYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCC[NH2+]Cc1noc(CC)n1 ZINC001168094734 741435102 /nfs/dbraw/zinc/43/51/02/741435102.db2.gz BMLBJEMYOXPZNF-WFASDCNBSA-N 1 2 322.409 1.209 20 30 DDEDLO C=CCO[C@H](C)C(=O)N(C)CCN(C)c1nc(C)[nH+]c(C)c1C ZINC001105421811 741442137 /nfs/dbraw/zinc/44/21/37/741442137.db2.gz CYQJHYPYPKWPBG-CQSZACIVSA-N 1 2 320.437 1.888 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)c2ccncc2)c1 ZINC001038007527 751656131 /nfs/dbraw/zinc/65/61/31/751656131.db2.gz IMQGMNMBMRBVIJ-QGZVFWFLSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2ccncc2)c1 ZINC001038007527 751656140 /nfs/dbraw/zinc/65/61/40/751656140.db2.gz IMQGMNMBMRBVIJ-QGZVFWFLSA-N 1 2 306.369 1.958 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3cnccc32)C1 ZINC001035606119 751665191 /nfs/dbraw/zinc/66/51/91/751665191.db2.gz LXFSITMYARQVRG-OAHLLOKOSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3cnccc32)C1 ZINC001035606119 751665193 /nfs/dbraw/zinc/66/51/93/751665193.db2.gz LXFSITMYARQVRG-OAHLLOKOSA-N 1 2 311.385 1.851 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccoc2CCC)C1 ZINC001035578793 751667526 /nfs/dbraw/zinc/66/75/26/751667526.db2.gz ZOTXSEHZLZPUHJ-AWEZNQCLSA-N 1 2 304.390 1.686 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccoc2CCC)C1 ZINC001035578793 751667532 /nfs/dbraw/zinc/66/75/32/751667532.db2.gz ZOTXSEHZLZPUHJ-AWEZNQCLSA-N 1 2 304.390 1.686 20 30 DDEDLO Cc1nc(NC(=N)c2nccs2)cc(N2CCOCC2)[nH+]1 ZINC001168274924 742011215 /nfs/dbraw/zinc/01/12/15/742011215.db2.gz BHPFEFLVJIBOHT-UHFFFAOYSA-N 1 2 304.379 1.115 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccccc2OCC)C1 ZINC001035592090 751687597 /nfs/dbraw/zinc/68/75/97/751687597.db2.gz IKFOKTRKAHYYFI-OAHLLOKOSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccccc2OCC)C1 ZINC001035592090 751687601 /nfs/dbraw/zinc/68/76/01/751687601.db2.gz IKFOKTRKAHYYFI-OAHLLOKOSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@H]1C ZINC001088629234 742027062 /nfs/dbraw/zinc/02/70/62/742027062.db2.gz LXSUIJGPODSXST-PWSUYJOCSA-N 1 2 308.813 1.841 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@H]1C ZINC001088629234 742027066 /nfs/dbraw/zinc/02/70/66/742027066.db2.gz LXSUIJGPODSXST-PWSUYJOCSA-N 1 2 308.813 1.841 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc3nc[nH]c3n2)[C@H]1C ZINC001088652608 742050309 /nfs/dbraw/zinc/05/03/09/742050309.db2.gz DSMSEAIOVRRGEK-MNOVXSKESA-N 1 2 319.796 1.903 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc3nc[nH]c3n2)[C@H]1C ZINC001088652608 742050315 /nfs/dbraw/zinc/05/03/15/742050315.db2.gz DSMSEAIOVRRGEK-MNOVXSKESA-N 1 2 319.796 1.903 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2csc([C@H]3CCCO3)n2)C1 ZINC001042722815 742180423 /nfs/dbraw/zinc/18/04/23/742180423.db2.gz PXSWUHSXLRUUFN-CQSZACIVSA-N 1 2 319.430 1.774 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001014445184 742184823 /nfs/dbraw/zinc/18/48/23/742184823.db2.gz WPGSDJUMUBYLIE-RYUDHWBXSA-N 1 2 307.419 1.678 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001014445184 742184826 /nfs/dbraw/zinc/18/48/26/742184826.db2.gz WPGSDJUMUBYLIE-RYUDHWBXSA-N 1 2 307.419 1.678 20 30 DDEDLO Cc1nc(NC[C@@H]2CCCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001060016138 742210804 /nfs/dbraw/zinc/21/08/04/742210804.db2.gz SQSVDEQBCHUUCH-ZDUSSCGKSA-N 1 2 324.388 1.949 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c(Cl)cccc2OC)C1 ZINC001035612760 751718920 /nfs/dbraw/zinc/71/89/20/751718920.db2.gz BGANMTHNEVUBAN-LBPRGKRZSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c(Cl)cccc2OC)C1 ZINC001035612760 751718924 /nfs/dbraw/zinc/71/89/24/751718924.db2.gz BGANMTHNEVUBAN-LBPRGKRZSA-N 1 2 324.808 1.965 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076320328 742663960 /nfs/dbraw/zinc/66/39/60/742663960.db2.gz HCVFWJDKGWOEPV-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001076532988 742773477 /nfs/dbraw/zinc/77/34/77/742773477.db2.gz WHVRFQAXYZBQGE-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCCN(C)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001067512127 743073546 /nfs/dbraw/zinc/07/35/46/743073546.db2.gz WAMOIYWJFCKIRR-STQMWFEESA-N 1 2 319.409 1.055 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCCN(C)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001067512127 743073559 /nfs/dbraw/zinc/07/35/59/743073559.db2.gz WAMOIYWJFCKIRR-STQMWFEESA-N 1 2 319.409 1.055 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CCOCC)c2C1 ZINC001128251207 743374823 /nfs/dbraw/zinc/37/48/23/743374823.db2.gz UNOCQISBAORFMZ-UHFFFAOYSA-N 1 2 306.410 1.318 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CCOCC)c2C1 ZINC001128251207 743374831 /nfs/dbraw/zinc/37/48/31/743374831.db2.gz UNOCQISBAORFMZ-UHFFFAOYSA-N 1 2 306.410 1.318 20 30 DDEDLO C#CCCCC(=O)N1CCC(OC2C[NH+](CCOCC)C2)CC1 ZINC001105703556 743596753 /nfs/dbraw/zinc/59/67/53/743596753.db2.gz CMSHCIWUXYNGJP-UHFFFAOYSA-N 1 2 322.449 1.518 20 30 DDEDLO Cc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)CSCC#N)C3)on1 ZINC001110305385 743632954 /nfs/dbraw/zinc/63/29/54/743632954.db2.gz SFFVMSOSLWQWQU-BNOWGMLFSA-N 1 2 320.418 1.461 20 30 DDEDLO Cc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)CSCC#N)C3)on1 ZINC001110305385 743632955 /nfs/dbraw/zinc/63/29/55/743632955.db2.gz SFFVMSOSLWQWQU-BNOWGMLFSA-N 1 2 320.418 1.461 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)C[C@@]1(C)CNCC#N ZINC001182579548 743668698 /nfs/dbraw/zinc/66/86/98/743668698.db2.gz LYLSRIOMGJEWFB-IIYDPXPESA-N 1 2 315.421 1.427 20 30 DDEDLO CC#CC[NH2+][C@@H]1CCN(C(=O)c2c(Cl)[nH]nc2C2CC2)C1 ZINC001183169500 743788853 /nfs/dbraw/zinc/78/88/53/743788853.db2.gz WUBRJOHLNZJCFJ-LLVKDONJSA-N 1 2 306.797 1.768 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+][C@@H](C)c1nc(C)no1 ZINC001127129661 743847628 /nfs/dbraw/zinc/84/76/28/743847628.db2.gz WKCRTPIWRGECHB-ZDUSSCGKSA-N 1 2 324.425 1.908 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NC[C@H](O)CNc1cc[nH+]c(C)n1)OCC ZINC001105988481 744160731 /nfs/dbraw/zinc/16/07/31/744160731.db2.gz QFKDJRYATYMBNN-ZIAGYGMSSA-N 1 2 322.409 1.045 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001105991089 744167083 /nfs/dbraw/zinc/16/70/83/744167083.db2.gz YTZPUQJOYVZZHR-AWEZNQCLSA-N 1 2 304.394 1.442 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CCCC=C)C2)nn1 ZINC001185993163 744318866 /nfs/dbraw/zinc/31/88/66/744318866.db2.gz WONXSYZQRJGZOD-MRXNPFEDSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn(CC3CC3)nn2)[C@H]1C ZINC001088945478 744394675 /nfs/dbraw/zinc/39/46/75/744394675.db2.gz GQQIYQMBWIJDRG-YPMHNXCESA-N 1 2 323.828 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn(CC3CC3)nn2)[C@H]1C ZINC001088945478 744394679 /nfs/dbraw/zinc/39/46/79/744394679.db2.gz GQQIYQMBWIJDRG-YPMHNXCESA-N 1 2 323.828 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn(C(C)C)nn2)[C@H]1C ZINC001088946651 744395086 /nfs/dbraw/zinc/39/50/86/744395086.db2.gz KALQOTBKKIVAHI-NEPJUHHUSA-N 1 2 311.817 1.804 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn(C(C)C)nn2)[C@H]1C ZINC001088946651 744395090 /nfs/dbraw/zinc/39/50/90/744395090.db2.gz KALQOTBKKIVAHI-NEPJUHHUSA-N 1 2 311.817 1.804 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCc3c[nH]c[nH+]3)CC2)nc1 ZINC001110383756 744428352 /nfs/dbraw/zinc/42/83/52/744428352.db2.gz XYKUXFVJRLPPOO-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCc3c[nH+]c[nH]3)CC2)nc1 ZINC001110383756 744428353 /nfs/dbraw/zinc/42/83/53/744428353.db2.gz XYKUXFVJRLPPOO-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2CCc3ccc(F)cc3O2)C1 ZINC001030679740 744439246 /nfs/dbraw/zinc/43/92/46/744439246.db2.gz ORUOXCDYKYVIGD-HNNXBMFYSA-N 1 2 302.349 1.343 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@H]1CC[N@H+](Cc2ccon2)[C@@H]1C ZINC001089005423 744442491 /nfs/dbraw/zinc/44/24/91/744442491.db2.gz IIRBKFPABBSLGP-KDOFPFPSSA-N 1 2 323.396 1.978 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@H]1CC[N@@H+](Cc2ccon2)[C@@H]1C ZINC001089005423 744442492 /nfs/dbraw/zinc/44/24/92/744442492.db2.gz IIRBKFPABBSLGP-KDOFPFPSSA-N 1 2 323.396 1.978 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2[C@H](C)c1ncc(C)o1 ZINC001110388885 744497133 /nfs/dbraw/zinc/49/71/33/744497133.db2.gz JFRZDBWFXIIDMW-TUVASFSCSA-N 1 2 319.405 1.968 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2[C@H](C)c1ncc(C)o1 ZINC001110388885 744497137 /nfs/dbraw/zinc/49/71/37/744497137.db2.gz JFRZDBWFXIIDMW-TUVASFSCSA-N 1 2 319.405 1.968 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)C[C@@H]2CC[C@@H](C(F)(F)F)O2)C1 ZINC001030712615 744510090 /nfs/dbraw/zinc/51/00/90/744510090.db2.gz UKQBHNIXNPNWEC-RYUDHWBXSA-N 1 2 304.312 1.310 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC001014982549 744521676 /nfs/dbraw/zinc/52/16/76/744521676.db2.gz FQRVYHJLBOXRST-STQMWFEESA-N 1 2 308.813 1.389 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2[nH]nc3ccc(O)cc32)CC1 ZINC001187236698 744522028 /nfs/dbraw/zinc/52/20/28/744522028.db2.gz OXUDOSCWJKQINM-UHFFFAOYSA-N 1 2 300.362 1.141 20 30 DDEDLO CCn1nncc1C[N@H+](C)C[C@@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001089139559 744584811 /nfs/dbraw/zinc/58/48/11/744584811.db2.gz FESJEDKWHPSJJW-KGLIPLIRSA-N 1 2 318.425 1.271 20 30 DDEDLO CCn1nncc1C[N@@H+](C)C[C@@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001089139559 744584812 /nfs/dbraw/zinc/58/48/12/744584812.db2.gz FESJEDKWHPSJJW-KGLIPLIRSA-N 1 2 318.425 1.271 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3nocc3C)C[C@H]21 ZINC001187888904 744633464 /nfs/dbraw/zinc/63/34/64/744633464.db2.gz AMFKEACZHIWSCM-IJEWVQPXSA-N 1 2 317.389 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3nocc3C)C[C@H]21 ZINC001187888904 744633465 /nfs/dbraw/zinc/63/34/65/744633465.db2.gz AMFKEACZHIWSCM-IJEWVQPXSA-N 1 2 317.389 1.054 20 30 DDEDLO CC1(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)CCCCC1 ZINC001046057341 744688099 /nfs/dbraw/zinc/68/80/99/744688099.db2.gz MSVAXGUGSYPKFE-MRXNPFEDSA-N 1 2 318.465 1.699 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2nnc(CC)o2)C1 ZINC001189363080 744886357 /nfs/dbraw/zinc/88/63/57/744886357.db2.gz LGIKKTSUWKRXPF-CHWSQXEVSA-N 1 2 322.409 1.428 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2nnc(CC)o2)C1 ZINC001189363080 744886358 /nfs/dbraw/zinc/88/63/58/744886358.db2.gz LGIKKTSUWKRXPF-CHWSQXEVSA-N 1 2 322.409 1.428 20 30 DDEDLO N#CCN[C@@H]1CCC[C@H]2CN(C(=O)CCn3cc[nH+]c3)C[C@@H]21 ZINC000992796126 745203221 /nfs/dbraw/zinc/20/32/21/745203221.db2.gz VNIDNIQVOZVALP-SOUVJXGZSA-N 1 2 301.394 1.013 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3nocc3C)C[C@H]21 ZINC001190431407 745218359 /nfs/dbraw/zinc/21/83/59/745218359.db2.gz YCRIARAYKRRBMC-GOEBONIOSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@H+](Cc3nocc3C)C[C@H]21 ZINC001190431407 745218364 /nfs/dbraw/zinc/21/83/64/745218364.db2.gz YCRIARAYKRRBMC-GOEBONIOSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001190431285 745218481 /nfs/dbraw/zinc/21/84/81/745218481.db2.gz WQJFURZRNOZZEI-GXTWGEPZSA-N 1 2 322.434 1.164 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001190431285 745218483 /nfs/dbraw/zinc/21/84/83/745218483.db2.gz WQJFURZRNOZZEI-GXTWGEPZSA-N 1 2 322.434 1.164 20 30 DDEDLO C=CCC1(S(=O)(=O)N2CC([NH+]3CCC(F)CC3)C2)CC1 ZINC001190793476 745333037 /nfs/dbraw/zinc/33/30/37/745333037.db2.gz DDCGMOIKLVWEHB-UHFFFAOYSA-N 1 2 302.415 1.543 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C2=COCCO2)[C@H]1C ZINC000993008135 745365392 /nfs/dbraw/zinc/36/53/92/745365392.db2.gz PGAOGOCBHLUERW-VXGBXAGGSA-N 1 2 300.786 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C2=COCCO2)[C@H]1C ZINC000993008135 745365396 /nfs/dbraw/zinc/36/53/96/745365396.db2.gz PGAOGOCBHLUERW-VXGBXAGGSA-N 1 2 300.786 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001007098560 752015310 /nfs/dbraw/zinc/01/53/10/752015310.db2.gz IYLONLMFMCOKAX-NWDGAFQWSA-N 1 2 300.786 1.273 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001007098560 752015313 /nfs/dbraw/zinc/01/53/13/752015313.db2.gz IYLONLMFMCOKAX-NWDGAFQWSA-N 1 2 300.786 1.273 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2c(C)cc(C)[nH]c2=O)C1 ZINC001015599573 745718806 /nfs/dbraw/zinc/71/88/06/745718806.db2.gz QXQRUPMNDSHUEM-CYBMUJFWSA-N 1 2 323.824 1.890 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2c(C)cc(C)[nH]c2=O)C1 ZINC001015599573 745718810 /nfs/dbraw/zinc/71/88/10/745718810.db2.gz QXQRUPMNDSHUEM-CYBMUJFWSA-N 1 2 323.824 1.890 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007157149 752040196 /nfs/dbraw/zinc/04/01/96/752040196.db2.gz RTVUVVAVTWIKKW-JSGCOSHPSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CCC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007157149 752040200 /nfs/dbraw/zinc/04/02/00/752040200.db2.gz RTVUVVAVTWIKKW-JSGCOSHPSA-N 1 2 324.388 1.632 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C2)s1 ZINC001192813523 745901940 /nfs/dbraw/zinc/90/19/40/745901940.db2.gz KRRNJRWNHHNAAW-ZIAGYGMSSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C2)s1 ZINC001192813523 745901944 /nfs/dbraw/zinc/90/19/44/745901944.db2.gz KRRNJRWNHHNAAW-ZIAGYGMSSA-N 1 2 321.446 1.162 20 30 DDEDLO N#CCS(=O)(=O)N[C@H]1CC[N@@H+](Cc2cccc(Cl)c2)C1 ZINC001193002650 745947946 /nfs/dbraw/zinc/94/79/46/745947946.db2.gz KMQQTLIYCQERFS-ZDUSSCGKSA-N 1 2 313.810 1.357 20 30 DDEDLO N#CCS(=O)(=O)N[C@H]1CC[N@H+](Cc2cccc(Cl)c2)C1 ZINC001193002650 745947948 /nfs/dbraw/zinc/94/79/48/745947948.db2.gz KMQQTLIYCQERFS-ZDUSSCGKSA-N 1 2 313.810 1.357 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2)[C@H](C)CN1S(=O)(=O)CC#N ZINC001192973746 745952619 /nfs/dbraw/zinc/95/26/19/745952619.db2.gz RFOOMGBPNZADHN-ZIAGYGMSSA-N 1 2 307.419 1.435 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2)[C@H](C)CN1S(=O)(=O)CC#N ZINC001192973746 745952620 /nfs/dbraw/zinc/95/26/20/745952620.db2.gz RFOOMGBPNZADHN-ZIAGYGMSSA-N 1 2 307.419 1.435 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cn[nH]c(=O)c2)[C@H]1C ZINC000993469023 746164226 /nfs/dbraw/zinc/16/42/26/746164226.db2.gz WLCOJEFRQUVMPQ-PWSUYJOCSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cn[nH]c(=O)c2)[C@H]1C ZINC000993469023 746164227 /nfs/dbraw/zinc/16/42/27/746164227.db2.gz WLCOJEFRQUVMPQ-PWSUYJOCSA-N 1 2 310.785 1.517 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2nc3cnccc3s2)[C@H]1C ZINC000993571632 746210539 /nfs/dbraw/zinc/21/05/39/746210539.db2.gz KJASCWXARXSSHV-NEPJUHHUSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2nc3cnccc3s2)[C@H]1C ZINC000993571632 746210546 /nfs/dbraw/zinc/21/05/46/746210546.db2.gz KJASCWXARXSSHV-NEPJUHHUSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CCN1CCC[C@@H](NC(=O)c2ccn(-c3cc[nH+]cc3)n2)[C@H]1C ZINC000993581583 746214709 /nfs/dbraw/zinc/21/47/09/746214709.db2.gz XXADYTDFTOSDMO-GDBMZVCRSA-N 1 2 323.400 1.483 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2nnc[nH]2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000994015291 746421001 /nfs/dbraw/zinc/42/10/01/746421001.db2.gz ASRDKUNYJFXHMC-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2nnc[nH]2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000994015291 746421004 /nfs/dbraw/zinc/42/10/04/746421004.db2.gz ASRDKUNYJFXHMC-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2ncn[nH]2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000994015291 746421008 /nfs/dbraw/zinc/42/10/08/746421008.db2.gz ASRDKUNYJFXHMC-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2ncn[nH]2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000994015291 746421011 /nfs/dbraw/zinc/42/10/11/746421011.db2.gz ASRDKUNYJFXHMC-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C(CC)CC)CC1 ZINC001194883293 746479633 /nfs/dbraw/zinc/47/96/33/746479633.db2.gz NWZHCJPPTSJTDA-AWEZNQCLSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C(CC)CC)CC1 ZINC001194883293 746479636 /nfs/dbraw/zinc/47/96/36/746479636.db2.gz NWZHCJPPTSJTDA-AWEZNQCLSA-N 1 2 307.438 1.095 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C(\C)C2CC2)CC1 ZINC001195016945 746506281 /nfs/dbraw/zinc/50/62/81/746506281.db2.gz NDWVMKADTRRJNA-WYMLVPIESA-N 1 2 305.422 1.179 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C(\C)C2CC2)CC1 ZINC001195016945 746506282 /nfs/dbraw/zinc/50/62/82/746506282.db2.gz NDWVMKADTRRJNA-WYMLVPIESA-N 1 2 305.422 1.179 20 30 DDEDLO CCC(CC)NC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195321169 746564079 /nfs/dbraw/zinc/56/40/79/746564079.db2.gz DYBNMYGTGYYNOW-KBPBESRZSA-N 1 2 322.453 1.374 20 30 DDEDLO CCC(CC)NC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195321169 746564080 /nfs/dbraw/zinc/56/40/80/746564080.db2.gz DYBNMYGTGYYNOW-KBPBESRZSA-N 1 2 322.453 1.374 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2ccccc2=O)[C@H]1C ZINC000994377209 746615531 /nfs/dbraw/zinc/61/55/31/746615531.db2.gz PONFWJWFVZRVLB-KGLIPLIRSA-N 1 2 323.824 1.570 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2ccccc2=O)[C@H]1C ZINC000994377209 746615533 /nfs/dbraw/zinc/61/55/33/746615533.db2.gz PONFWJWFVZRVLB-KGLIPLIRSA-N 1 2 323.824 1.570 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001195533399 746639035 /nfs/dbraw/zinc/63/90/35/746639035.db2.gz ZWICYQMFGHYFMB-DAXOMENPSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001195533399 746639039 /nfs/dbraw/zinc/63/90/39/746639039.db2.gz ZWICYQMFGHYFMB-DAXOMENPSA-N 1 2 318.421 1.049 20 30 DDEDLO CCCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195755090 746687206 /nfs/dbraw/zinc/68/72/06/746687206.db2.gz XMGLYFAAZSXZOH-HNNXBMFYSA-N 1 2 307.438 1.095 20 30 DDEDLO CCCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195755090 746687210 /nfs/dbraw/zinc/68/72/10/746687210.db2.gz XMGLYFAAZSXZOH-HNNXBMFYSA-N 1 2 307.438 1.095 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCN(C(=O)C#CC(C)(C)C)CC2)ncn1 ZINC001195785595 746704073 /nfs/dbraw/zinc/70/40/73/746704073.db2.gz QQZBZTVHUHWCEJ-UHFFFAOYSA-N 1 2 314.433 1.869 20 30 DDEDLO Cc1cc(C[N@H+]2CCCN(C(=O)C#CC(C)(C)C)CC2)ncn1 ZINC001195785595 746704078 /nfs/dbraw/zinc/70/40/78/746704078.db2.gz QQZBZTVHUHWCEJ-UHFFFAOYSA-N 1 2 314.433 1.869 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@@H+](Cc2nc(C)oc2C)CC1 ZINC001195832259 746719843 /nfs/dbraw/zinc/71/98/43/746719843.db2.gz HFKICSFYOAUXBR-UHFFFAOYSA-N 1 2 319.405 1.366 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@H+](Cc2nc(C)oc2C)CC1 ZINC001195832259 746719850 /nfs/dbraw/zinc/71/98/50/746719850.db2.gz HFKICSFYOAUXBR-UHFFFAOYSA-N 1 2 319.405 1.366 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CC(C)(C)CC)CC1 ZINC001195974661 746743753 /nfs/dbraw/zinc/74/37/53/746743753.db2.gz OSRVASOAXONANY-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CC(C)(C)CC)CC1 ZINC001195974661 746743759 /nfs/dbraw/zinc/74/37/59/746743759.db2.gz OSRVASOAXONANY-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)C(C)(C)C)CC1 ZINC001196287616 746828213 /nfs/dbraw/zinc/82/82/13/746828213.db2.gz HKDUXSWZEQFABU-LSDHHAIUSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@H](C)C(C)(C)C)CC1 ZINC001196287616 746828218 /nfs/dbraw/zinc/82/82/18/746828218.db2.gz HKDUXSWZEQFABU-LSDHHAIUSA-N 1 2 321.465 1.341 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CC2CCCCCC2)C1 ZINC001196437335 746860983 /nfs/dbraw/zinc/86/09/83/746860983.db2.gz UVYZQCNOBIXJFT-IAGOWNOFSA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)CC2CCCCCC2)C1 ZINC001196437335 746860985 /nfs/dbraw/zinc/86/09/85/746860985.db2.gz UVYZQCNOBIXJFT-IAGOWNOFSA-N 1 2 322.449 1.158 20 30 DDEDLO CN(c1ccncc1C#N)[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061227738 746917525 /nfs/dbraw/zinc/91/75/25/746917525.db2.gz NBVXJYRWPKQNGK-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(c1ccc(C#N)cn1)[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061227693 746917541 /nfs/dbraw/zinc/91/75/41/746917541.db2.gz LZXBANRXGBKZBD-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO C[C@H]1C[C@H]1C(=O)NCC1C[NH+](CC#Cc2ccc(F)cc2)C1 ZINC001031521848 746948945 /nfs/dbraw/zinc/94/89/45/746948945.db2.gz LPJVSKNFTOLPJM-SUMWQHHRSA-N 1 2 300.377 1.881 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)C(C)C)CC1 ZINC001196732134 746952670 /nfs/dbraw/zinc/95/26/70/746952670.db2.gz MYKPGOWHHQJKBG-OAHLLOKOSA-N 1 2 323.481 1.894 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N[C@H](C)C(C)C)CC1 ZINC001196732134 746952674 /nfs/dbraw/zinc/95/26/74/746952674.db2.gz MYKPGOWHHQJKBG-OAHLLOKOSA-N 1 2 323.481 1.894 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H]2CC[C@@H](C)C2)CC1 ZINC001196790450 746962380 /nfs/dbraw/zinc/96/23/80/746962380.db2.gz LYNOYMKAVKEGHJ-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H]2CC[C@@H](C)C2)CC1 ZINC001196790450 746962383 /nfs/dbraw/zinc/96/23/83/746962383.db2.gz LYNOYMKAVKEGHJ-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](Cc2ccnc(OC)n2)CC1 ZINC001196984465 747024874 /nfs/dbraw/zinc/02/48/74/747024874.db2.gz FDNPBXMAEFDHAZ-UHFFFAOYSA-N 1 2 318.421 1.876 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](Cc2ccnc(OC)n2)CC1 ZINC001196984465 747024880 /nfs/dbraw/zinc/02/48/80/747024880.db2.gz FDNPBXMAEFDHAZ-UHFFFAOYSA-N 1 2 318.421 1.876 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(CC)CC2)CC1 ZINC001197055491 747038859 /nfs/dbraw/zinc/03/88/59/747038859.db2.gz REOFCGNLIQEMKA-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(CC)CC2)CC1 ZINC001197055491 747038865 /nfs/dbraw/zinc/03/88/65/747038865.db2.gz REOFCGNLIQEMKA-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO Cc1ocnc1C(=O)NCC1C[NH+](Cc2ccc(C#N)s2)C1 ZINC001031568035 747061891 /nfs/dbraw/zinc/06/18/91/747061891.db2.gz NNKPXLJANVBCDE-UHFFFAOYSA-N 1 2 316.386 1.778 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CC23CCC3)CC1 ZINC001197269382 747121945 /nfs/dbraw/zinc/12/19/45/747121945.db2.gz LXPASTHXEOOMGH-CQSZACIVSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CC23CCC3)CC1 ZINC001197269382 747121946 /nfs/dbraw/zinc/12/19/46/747121946.db2.gz LXPASTHXEOOMGH-CQSZACIVSA-N 1 2 305.422 1.013 20 30 DDEDLO CCc1nc(C(=O)N2CCC[N@H+](CC#CCOC)CC2)c(C)o1 ZINC001197412012 747157146 /nfs/dbraw/zinc/15/71/46/747157146.db2.gz ZPWPKUHRFJURDF-UHFFFAOYSA-N 1 2 319.405 1.343 20 30 DDEDLO CCc1nc(C(=O)N2CCC[N@@H+](CC#CCOC)CC2)c(C)o1 ZINC001197412012 747157147 /nfs/dbraw/zinc/15/71/47/747157147.db2.gz ZPWPKUHRFJURDF-UHFFFAOYSA-N 1 2 319.405 1.343 20 30 DDEDLO CC#CCCCC(=O)NCc1cnn2c1C[N@@H+](C(C)C)CC2 ZINC001128448684 747161523 /nfs/dbraw/zinc/16/15/23/747161523.db2.gz UXWXXPNLBFAWGQ-UHFFFAOYSA-N 1 2 302.422 1.917 20 30 DDEDLO CC#CCCCC(=O)NCc1cnn2c1C[N@H+](C(C)C)CC2 ZINC001128448684 747161526 /nfs/dbraw/zinc/16/15/26/747161526.db2.gz UXWXXPNLBFAWGQ-UHFFFAOYSA-N 1 2 302.422 1.917 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(F)cc2)[C@@H](O)C1 ZINC001090016296 747292863 /nfs/dbraw/zinc/29/28/63/747292863.db2.gz HYMVVPDARMESNL-KBPBESRZSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(F)cc2)[C@@H](O)C1 ZINC001090016296 747292864 /nfs/dbraw/zinc/29/28/64/747292864.db2.gz HYMVVPDARMESNL-KBPBESRZSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2cncc(OC)n2)CC1 ZINC001003743477 747438018 /nfs/dbraw/zinc/43/80/18/747438018.db2.gz XEFPAJFBKUJVIW-UHFFFAOYSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC2CCCC2)[C@H](O)C1 ZINC001090035497 747445197 /nfs/dbraw/zinc/44/51/97/747445197.db2.gz ZCFNWYDIWMQAQJ-UONOGXRCSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC2CCCC2)[C@H](O)C1 ZINC001090035497 747445204 /nfs/dbraw/zinc/44/52/04/747445204.db2.gz ZCFNWYDIWMQAQJ-UONOGXRCSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]([NH2+]CCF)c1ccccc1OC ZINC001198693408 747569115 /nfs/dbraw/zinc/56/91/15/747569115.db2.gz BABISLDALOEWSB-PBHICJAKSA-N 1 2 324.396 1.739 20 30 DDEDLO C=CCn1cccc1C(=O)NCC1C[NH+](Cc2ccnn2C)C1 ZINC001031730963 747589506 /nfs/dbraw/zinc/58/95/06/747589506.db2.gz CYSXSQGXTLDROE-UHFFFAOYSA-N 1 2 313.405 1.269 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@]2(C)CC=CCC2)[C@H](OC)C1 ZINC001212316077 747839124 /nfs/dbraw/zinc/83/91/24/747839124.db2.gz GULHTSKMQFTDTG-NUJGCVRESA-N 1 2 320.433 1.198 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@]2(C)CC=CCC2)[C@H](OC)C1 ZINC001212316077 747839129 /nfs/dbraw/zinc/83/91/29/747839129.db2.gz GULHTSKMQFTDTG-NUJGCVRESA-N 1 2 320.433 1.198 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001033082038 748217556 /nfs/dbraw/zinc/21/75/56/748217556.db2.gz HOFROQDXENCNJK-UONOGXRCSA-N 1 2 302.422 1.938 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001033082038 748217557 /nfs/dbraw/zinc/21/75/57/748217557.db2.gz HOFROQDXENCNJK-UONOGXRCSA-N 1 2 302.422 1.938 20 30 DDEDLO C=CCN1CC[N@@H+](C)C2(CCN(C(=S)NC(C)C)CC2)C1=O ZINC001200780655 748343530 /nfs/dbraw/zinc/34/35/30/748343530.db2.gz JGOVTZRHKIHXGK-UHFFFAOYSA-N 1 2 324.494 1.064 20 30 DDEDLO C=CCN1CC[N@H+](C)C2(CCN(C(=S)NC(C)C)CC2)C1=O ZINC001200780655 748343536 /nfs/dbraw/zinc/34/35/36/748343536.db2.gz JGOVTZRHKIHXGK-UHFFFAOYSA-N 1 2 324.494 1.064 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCC[C@H](C(N)=O)C2)C1 ZINC001032020202 748443555 /nfs/dbraw/zinc/44/35/55/748443555.db2.gz HDBVWANZQWFGJK-QWHCGFSZSA-N 1 2 313.829 1.079 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001033124319 748473026 /nfs/dbraw/zinc/47/30/26/748473026.db2.gz NXINFUNPWRAFLM-OLZOCXBDSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001033124319 748473029 /nfs/dbraw/zinc/47/30/29/748473029.db2.gz NXINFUNPWRAFLM-OLZOCXBDSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2c(C)nnn2CC)C1 ZINC001033142400 748530816 /nfs/dbraw/zinc/53/08/16/748530816.db2.gz RZNVWNWFHMOREP-LBPRGKRZSA-N 1 2 311.817 1.505 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2c(C)nnn2CC)C1 ZINC001033142400 748530820 /nfs/dbraw/zinc/53/08/20/748530820.db2.gz RZNVWNWFHMOREP-LBPRGKRZSA-N 1 2 311.817 1.505 20 30 DDEDLO CC(C)CO[C@@H](C)c1noc(C[NH2+]CCNC(=O)[C@H](C)C#N)n1 ZINC001124902223 748623435 /nfs/dbraw/zinc/62/34/35/748623435.db2.gz HQIMGUMYBHVDLA-NEPJUHHUSA-N 1 2 323.397 1.169 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+]Cc1nc(C)c(C)o1)OCC ZINC001128529114 748740489 /nfs/dbraw/zinc/74/04/89/748740489.db2.gz YRDFDPOGYHBZIC-AWEZNQCLSA-N 1 2 309.410 1.869 20 30 DDEDLO Cc1nc(N2CCC(C)(NC(=O)C#CC(C)C)CC2)cc[nH+]1 ZINC001110588423 748801136 /nfs/dbraw/zinc/80/11/36/748801136.db2.gz REHJYAKNDAPXTF-UHFFFAOYSA-N 1 2 300.406 1.920 20 30 DDEDLO C#CCN(C(=O)COCC)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110616175 748829908 /nfs/dbraw/zinc/82/99/08/748829908.db2.gz XEHSDEZFWJEFFH-UHFFFAOYSA-N 1 2 316.405 1.252 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnoc2C(C)C)C1 ZINC001108321364 761898146 /nfs/dbraw/zinc/89/81/46/761898146.db2.gz XHHMIROEPXOVPS-INIZCTEOSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnoc2C(C)C)C1 ZINC001108321364 761898151 /nfs/dbraw/zinc/89/81/51/761898151.db2.gz XHHMIROEPXOVPS-INIZCTEOSA-N 1 2 307.394 1.805 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H]2CN(C(=O)[C@H](C)C#N)CC2(C)C)n1 ZINC000995581485 748959311 /nfs/dbraw/zinc/95/93/11/748959311.db2.gz MZUJQFZRLDGOPP-NEPJUHHUSA-N 1 2 319.409 1.679 20 30 DDEDLO Cc1cc(N2CC[C@@](C)(NC(=O)Cc3c[nH]c[nH+]3)C2)c(C#N)cn1 ZINC001110817996 749040590 /nfs/dbraw/zinc/04/05/90/749040590.db2.gz PXHGYQPAEWGSNA-QGZVFWFLSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1nc(CC(C)C)no1 ZINC001125247669 749069147 /nfs/dbraw/zinc/06/91/47/749069147.db2.gz FKJZRZPBRLOFID-UHFFFAOYSA-N 1 2 324.425 1.457 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)n2cccn2)C1 ZINC001108332926 761928995 /nfs/dbraw/zinc/92/89/95/761928995.db2.gz JUAZGQLPMQHVAQ-WBVHZDCISA-N 1 2 318.421 1.065 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)n2cccn2)C1 ZINC001108332926 761928999 /nfs/dbraw/zinc/92/89/99/761928999.db2.gz JUAZGQLPMQHVAQ-WBVHZDCISA-N 1 2 318.421 1.065 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(F)CCCCC2)C1 ZINC001108324222 761934647 /nfs/dbraw/zinc/93/46/47/761934647.db2.gz SSWQJZXCNOFMSZ-MRXNPFEDSA-N 1 2 310.413 1.889 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2(F)CCCCC2)C1 ZINC001108324222 761934649 /nfs/dbraw/zinc/93/46/49/761934649.db2.gz SSWQJZXCNOFMSZ-MRXNPFEDSA-N 1 2 310.413 1.889 20 30 DDEDLO CC1(C)CN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C[C@H]1NCC#N ZINC000996280259 749482139 /nfs/dbraw/zinc/48/21/39/749482139.db2.gz XBMRZFHTJQUTSQ-DGCLKSJQSA-N 1 2 301.394 1.180 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cn(C(C)C)nn2)C1 ZINC001033539914 749514127 /nfs/dbraw/zinc/51/41/27/749514127.db2.gz LRBHHRVMSVTSCT-GFCCVEGCSA-N 1 2 311.817 1.758 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cn(C(C)C)nn2)C1 ZINC001033539914 749514133 /nfs/dbraw/zinc/51/41/33/749514133.db2.gz LRBHHRVMSVTSCT-GFCCVEGCSA-N 1 2 311.817 1.758 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C(C)(C)C(=C)C)nn2)C1 ZINC001107192303 749535395 /nfs/dbraw/zinc/53/53/95/749535395.db2.gz FSOBLQFYRXCJRW-UHFFFAOYSA-N 1 2 315.421 1.377 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@](C)(C=C)CC)nn2)C1 ZINC001107208261 749593944 /nfs/dbraw/zinc/59/39/44/749593944.db2.gz WNFFFYZCQIQHLT-QGZVFWFLSA-N 1 2 315.421 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn3c(n1)CCC3)C2 ZINC001095435057 749893249 /nfs/dbraw/zinc/89/32/49/749893249.db2.gz PFAKCEUPPACUMH-DYEKYZERSA-N 1 2 320.824 1.917 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn3c(n1)CCC3)C2 ZINC001095435057 749893255 /nfs/dbraw/zinc/89/32/55/749893255.db2.gz PFAKCEUPPACUMH-DYEKYZERSA-N 1 2 320.824 1.917 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccccc2F)C1 ZINC001108376256 761998299 /nfs/dbraw/zinc/99/82/99/761998299.db2.gz HDNIBMPKROMKGI-GOSISDBHSA-N 1 2 318.392 1.599 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccccc2F)C1 ZINC001108376256 761998304 /nfs/dbraw/zinc/99/83/04/761998304.db2.gz HDNIBMPKROMKGI-GOSISDBHSA-N 1 2 318.392 1.599 20 30 DDEDLO CN(CCCNC(=O)CCn1cc[nH+]c1)c1ccc(C#N)cn1 ZINC001095690754 750146550 /nfs/dbraw/zinc/14/65/50/750146550.db2.gz QWJQIEKKEZBYCQ-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2C(C)(C)C2(C)C)[C@@H](O)C1 ZINC001090169059 750158418 /nfs/dbraw/zinc/15/84/18/750158418.db2.gz FMVUTLJICSCENK-NEPJUHHUSA-N 1 2 314.857 1.973 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2C(C)(C)C2(C)C)[C@@H](O)C1 ZINC001090169059 750158420 /nfs/dbraw/zinc/15/84/20/750158420.db2.gz FMVUTLJICSCENK-NEPJUHHUSA-N 1 2 314.857 1.973 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](N(CC)C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001033953694 750176407 /nfs/dbraw/zinc/17/64/07/750176407.db2.gz WZMXSPCIWIRJJZ-NSHDSACASA-N 1 2 310.348 1.907 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001033953694 750176409 /nfs/dbraw/zinc/17/64/09/750176409.db2.gz WZMXSPCIWIRJJZ-NSHDSACASA-N 1 2 310.348 1.907 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001110888632 750176772 /nfs/dbraw/zinc/17/67/72/750176772.db2.gz MZQURJBJTZKGMJ-KFWWJZLASA-N 1 2 319.449 1.589 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001110888632 750176778 /nfs/dbraw/zinc/17/67/78/750176778.db2.gz MZQURJBJTZKGMJ-KFWWJZLASA-N 1 2 319.449 1.589 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(=O)cn1 ZINC001039426142 762022999 /nfs/dbraw/zinc/02/29/99/762022999.db2.gz RNOGCELYAPOXBJ-NEPJUHHUSA-N 1 2 322.796 1.201 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(=O)cn1 ZINC001039426142 762023009 /nfs/dbraw/zinc/02/30/09/762023009.db2.gz RNOGCELYAPOXBJ-NEPJUHHUSA-N 1 2 322.796 1.201 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)CCCC)[C@@H](n2ccnn2)C1 ZINC001128949966 750436371 /nfs/dbraw/zinc/43/63/71/750436371.db2.gz XINUCACYPHYDHM-KFWWJZLASA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)CCCC)[C@@H](n2ccnn2)C1 ZINC001128949966 750436373 /nfs/dbraw/zinc/43/63/73/750436373.db2.gz XINUCACYPHYDHM-KFWWJZLASA-N 1 2 303.410 1.079 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)CCc1c[nH+]cn1C ZINC001107874907 750518372 /nfs/dbraw/zinc/51/83/72/750518372.db2.gz QFXWTWLGJIBUNG-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO N#CCNC1CCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000997145606 750559700 /nfs/dbraw/zinc/55/97/00/750559700.db2.gz KQUDTIIQSXYAHC-UHFFFAOYSA-N 1 2 309.373 1.590 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@@H]2C[C@H]1C[N@H+]2CCO[C@@H]1CC1(F)F ZINC001032397251 750566749 /nfs/dbraw/zinc/56/67/49/750566749.db2.gz QLCFMRSTBNAFQL-MELADBBJSA-N 1 2 310.344 1.109 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@@H]2C[C@H]1C[N@@H+]2CCO[C@@H]1CC1(F)F ZINC001032397251 750566751 /nfs/dbraw/zinc/56/67/51/750566751.db2.gz QLCFMRSTBNAFQL-MELADBBJSA-N 1 2 310.344 1.109 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2scnc2Cl)C1 ZINC001108400441 762052004 /nfs/dbraw/zinc/05/20/04/762052004.db2.gz HKANPBNYEZQGBM-ZDUSSCGKSA-N 1 2 315.826 1.803 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2scnc2Cl)C1 ZINC001108400441 762052012 /nfs/dbraw/zinc/05/20/12/762052012.db2.gz HKANPBNYEZQGBM-ZDUSSCGKSA-N 1 2 315.826 1.803 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccoc2CC)[C@H](O)C1 ZINC001090232050 750655480 /nfs/dbraw/zinc/65/54/80/750655480.db2.gz RMXIQMLZWVDHHY-CHWSQXEVSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccoc2CC)[C@H](O)C1 ZINC001090232050 750655483 /nfs/dbraw/zinc/65/54/83/750655483.db2.gz RMXIQMLZWVDHHY-CHWSQXEVSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)coc2C)[C@@H](O)C1 ZINC001090235035 750670941 /nfs/dbraw/zinc/67/09/41/750670941.db2.gz CBLLVJOAAUXCAA-STQMWFEESA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)coc2C)[C@@H](O)C1 ZINC001090235035 750670944 /nfs/dbraw/zinc/67/09/44/750670944.db2.gz CBLLVJOAAUXCAA-STQMWFEESA-N 1 2 312.797 1.814 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Br)n[nH]1 ZINC001032430750 750813332 /nfs/dbraw/zinc/81/33/32/750813332.db2.gz GIDAWROQXGZQRX-UWVGGRQHSA-N 1 2 323.194 1.094 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Br)n[nH]1 ZINC001032430750 750813327 /nfs/dbraw/zinc/81/33/27/750813327.db2.gz GIDAWROQXGZQRX-UWVGGRQHSA-N 1 2 323.194 1.094 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC2CC(OCC)C2)C1 ZINC001108156056 750858190 /nfs/dbraw/zinc/85/81/90/750858190.db2.gz WWMUEQWPVLYETJ-HTWSVDAQSA-N 1 2 322.449 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC2CC(OCC)C2)C1 ZINC001108156056 750858199 /nfs/dbraw/zinc/85/81/99/750858199.db2.gz WWMUEQWPVLYETJ-HTWSVDAQSA-N 1 2 322.449 1.422 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2[nH]cnc2C(C)(C)C)C1 ZINC001107954690 750870450 /nfs/dbraw/zinc/87/04/50/750870450.db2.gz UCYSXEYVACVJSY-KRWDZBQOSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2[nH]cnc2C(C)(C)C)C1 ZINC001107954690 750870458 /nfs/dbraw/zinc/87/04/58/750870458.db2.gz UCYSXEYVACVJSY-KRWDZBQOSA-N 1 2 320.437 1.714 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CC(F)F)nc1C ZINC001032463527 750889557 /nfs/dbraw/zinc/88/95/57/750889557.db2.gz XAABQZLVRRRWMB-STQMWFEESA-N 1 2 322.359 1.379 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CC(F)F)nc1C ZINC001032463527 750889564 /nfs/dbraw/zinc/88/95/64/750889564.db2.gz XAABQZLVRRRWMB-STQMWFEESA-N 1 2 322.359 1.379 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H]2CCCOC2)C1 ZINC001108402640 762083034 /nfs/dbraw/zinc/08/30/34/762083034.db2.gz JAKMOHLSOODCQR-WBVHZDCISA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H]2CCCOC2)C1 ZINC001108402640 762083040 /nfs/dbraw/zinc/08/30/40/762083040.db2.gz JAKMOHLSOODCQR-WBVHZDCISA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2CCC=CCC2)C1 ZINC001108402728 762085140 /nfs/dbraw/zinc/08/51/40/762085140.db2.gz LRZKNKOPLXPCGR-SFHVURJKSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2CCC=CCC2)C1 ZINC001108402728 762085147 /nfs/dbraw/zinc/08/51/47/762085147.db2.gz LRZKNKOPLXPCGR-SFHVURJKSA-N 1 2 304.434 1.963 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001114756554 751060396 /nfs/dbraw/zinc/06/03/96/751060396.db2.gz LFTKEIVILXWFKM-HAQNSBGRSA-N 1 2 305.407 1.349 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114792702 751084824 /nfs/dbraw/zinc/08/48/24/751084824.db2.gz WACFXFBPRFCCKD-NENTXLIVSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114792702 751084830 /nfs/dbraw/zinc/08/48/30/751084830.db2.gz WACFXFBPRFCCKD-NENTXLIVSA-N 1 2 318.421 1.781 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(CC)ccn2)C1 ZINC001107965414 751090348 /nfs/dbraw/zinc/09/03/48/751090348.db2.gz XKNCVISUZIRVQL-QGZVFWFLSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(CC)ccn2)C1 ZINC001107965414 751090354 /nfs/dbraw/zinc/09/03/54/751090354.db2.gz XKNCVISUZIRVQL-QGZVFWFLSA-N 1 2 303.406 1.651 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(-c2ccccc2)c1 ZINC001032505328 751109355 /nfs/dbraw/zinc/10/93/55/751109355.db2.gz FAUQQGKDZNTKFI-IRXDYDNUSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(-c2ccccc2)c1 ZINC001032505328 751109357 /nfs/dbraw/zinc/10/93/57/751109357.db2.gz FAUQQGKDZNTKFI-IRXDYDNUSA-N 1 2 306.369 1.404 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1C(F)F ZINC001032512605 751143607 /nfs/dbraw/zinc/14/36/07/751143607.db2.gz UIOZLIZDSNDVHM-RYUDHWBXSA-N 1 2 305.328 1.941 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1C(F)F ZINC001032512605 751143609 /nfs/dbraw/zinc/14/36/09/751143609.db2.gz UIOZLIZDSNDVHM-RYUDHWBXSA-N 1 2 305.328 1.941 20 30 DDEDLO C[C@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C1CCN(CC#N)CC1 ZINC000997641694 751174505 /nfs/dbraw/zinc/17/45/05/751174505.db2.gz IRWUDVLWWRWEAO-ZFWWWQNUSA-N 1 2 315.421 1.186 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(F)(F)F)cn1 ZINC001032551662 751278988 /nfs/dbraw/zinc/27/89/88/751278988.db2.gz AACVCPXBMVNDPC-RYUDHWBXSA-N 1 2 309.291 1.632 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(F)(F)F)cn1 ZINC001032551662 751278992 /nfs/dbraw/zinc/27/89/92/751278992.db2.gz AACVCPXBMVNDPC-RYUDHWBXSA-N 1 2 309.291 1.632 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc(CCCC)no2)CCC1 ZINC001129121319 751296345 /nfs/dbraw/zinc/29/63/45/751296345.db2.gz RZIHRTYRPJTUIT-UHFFFAOYSA-N 1 2 318.421 1.812 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001008140027 752573568 /nfs/dbraw/zinc/57/35/68/752573568.db2.gz RJBNMNTXIOXIQZ-GFCCVEGCSA-N 1 2 324.812 1.746 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001008140027 752573572 /nfs/dbraw/zinc/57/35/72/752573572.db2.gz RJBNMNTXIOXIQZ-GFCCVEGCSA-N 1 2 324.812 1.746 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001008252598 752636166 /nfs/dbraw/zinc/63/61/66/752636166.db2.gz RYKABQFVKYYRSJ-LLVKDONJSA-N 1 2 310.785 1.437 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001008252598 752636170 /nfs/dbraw/zinc/63/61/70/752636170.db2.gz RYKABQFVKYYRSJ-LLVKDONJSA-N 1 2 310.785 1.437 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@](CO)(Nc2cc[nH+]c(C)n2)C1 ZINC001066914837 752645735 /nfs/dbraw/zinc/64/57/35/752645735.db2.gz DPEUICUXAWSLQD-KRWDZBQOSA-N 1 2 318.421 1.763 20 30 DDEDLO C#CC[NH2+]C1CC(N(C)C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000999218806 752647238 /nfs/dbraw/zinc/64/72/38/752647238.db2.gz RCGDFTHMRFWGKX-UHFFFAOYSA-N 1 2 309.373 1.298 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001062060240 752713543 /nfs/dbraw/zinc/71/35/43/752713543.db2.gz NHRFLXPFAOMEPG-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCC[N@H+](Cc2ccns2)C1 ZINC001008573384 752806841 /nfs/dbraw/zinc/80/68/41/752806841.db2.gz BUFHWEHWJFCXSU-CFVMTHIKSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCC[N@@H+](Cc2ccns2)C1 ZINC001008573384 752806846 /nfs/dbraw/zinc/80/68/46/752806846.db2.gz BUFHWEHWJFCXSU-CFVMTHIKSA-N 1 2 321.446 1.815 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001062364448 752907388 /nfs/dbraw/zinc/90/73/88/752907388.db2.gz UWWROQLBKUETQZ-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1cc(N2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001062456887 753014461 /nfs/dbraw/zinc/01/44/61/753014461.db2.gz BUYOIXYJPOPPID-BPNCWPANSA-N 1 2 311.389 1.612 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCCc2ncc(C)o2)C1 ZINC001107990168 753071559 /nfs/dbraw/zinc/07/15/59/753071559.db2.gz BGLPANJNABNHFH-QGZVFWFLSA-N 1 2 321.421 1.699 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCCc2ncc(C)o2)C1 ZINC001107990168 753071562 /nfs/dbraw/zinc/07/15/62/753071562.db2.gz BGLPANJNABNHFH-QGZVFWFLSA-N 1 2 321.421 1.699 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H](CNc3cc[nH+]c(C)n3)C2)cn1 ZINC001060898675 753348629 /nfs/dbraw/zinc/34/86/29/753348629.db2.gz VSAHMNZLZNDZCJ-AWEZNQCLSA-N 1 2 321.384 1.736 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1C1CCCCC1 ZINC001032723574 753352408 /nfs/dbraw/zinc/35/24/08/753352408.db2.gz MCXOTJLPNGZRIV-GJZGRUSLSA-N 1 2 312.417 1.989 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1C1CCCCC1 ZINC001032723574 753352411 /nfs/dbraw/zinc/35/24/11/753352411.db2.gz MCXOTJLPNGZRIV-GJZGRUSLSA-N 1 2 312.417 1.989 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](CNc2ncccc2C#N)C1 ZINC001060901357 753362786 /nfs/dbraw/zinc/36/27/86/753362786.db2.gz BHQYRVBDNOXLIH-ZDUSSCGKSA-N 1 2 324.388 1.488 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCC2(CCC2)CO1 ZINC001032745542 753447051 /nfs/dbraw/zinc/44/70/51/753447051.db2.gz ZFEPWOXLTOFODT-JYJNAYRXSA-N 1 2 302.418 1.644 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCC2(CCC2)CO1 ZINC001032745542 753447053 /nfs/dbraw/zinc/44/70/53/753447053.db2.gz ZFEPWOXLTOFODT-JYJNAYRXSA-N 1 2 302.418 1.644 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2c1C[C@@H](C)CC2 ZINC001032757711 753501300 /nfs/dbraw/zinc/50/13/00/753501300.db2.gz MGYRGZHQSLUIAO-KKUMJFAQSA-N 1 2 312.417 1.387 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2c1C[C@@H](C)CC2 ZINC001032757711 753501305 /nfs/dbraw/zinc/50/13/05/753501305.db2.gz MGYRGZHQSLUIAO-KKUMJFAQSA-N 1 2 312.417 1.387 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2cc[nH]c2)[C@@H](O)C1 ZINC001090286986 753639234 /nfs/dbraw/zinc/63/92/34/753639234.db2.gz RNNFTBIRVRIISM-SJORKVTESA-N 1 2 324.384 1.252 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2cc[nH]c2)[C@@H](O)C1 ZINC001090286986 753639235 /nfs/dbraw/zinc/63/92/35/753639235.db2.gz RNNFTBIRVRIISM-SJORKVTESA-N 1 2 324.384 1.252 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001108446802 762231094 /nfs/dbraw/zinc/23/10/94/762231094.db2.gz JRDBJNYOXUGBFV-RDJZCZTQSA-N 1 2 320.433 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001108446802 762231100 /nfs/dbraw/zinc/23/11/00/762231100.db2.gz JRDBJNYOXUGBFV-RDJZCZTQSA-N 1 2 320.433 1.034 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001108446800 762231325 /nfs/dbraw/zinc/23/13/25/762231325.db2.gz JRDBJNYOXUGBFV-DOTOQJQBSA-N 1 2 320.433 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001108446800 762231327 /nfs/dbraw/zinc/23/13/27/762231327.db2.gz JRDBJNYOXUGBFV-DOTOQJQBSA-N 1 2 320.433 1.034 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)NC ZINC001039823533 762231451 /nfs/dbraw/zinc/23/14/51/762231451.db2.gz SDELFOVDAMBJDU-SOUVJXGZSA-N 1 2 321.465 1.789 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H](C)C(=O)NC ZINC001039823533 762231460 /nfs/dbraw/zinc/23/14/60/762231460.db2.gz SDELFOVDAMBJDU-SOUVJXGZSA-N 1 2 321.465 1.789 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1nc(C)c(Cl)c1C ZINC001032786390 753688456 /nfs/dbraw/zinc/68/84/56/753688456.db2.gz BESIYKMNQJPYRR-KBPBESRZSA-N 1 2 320.824 1.462 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1nc(C)c(Cl)c1C ZINC001032786390 753688461 /nfs/dbraw/zinc/68/84/61/753688461.db2.gz BESIYKMNQJPYRR-KBPBESRZSA-N 1 2 320.824 1.462 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001078096244 753729016 /nfs/dbraw/zinc/72/90/16/753729016.db2.gz MMJJIFFZFXOZFO-AWEZNQCLSA-N 1 2 320.437 1.720 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)nn1C ZINC001010341964 753783626 /nfs/dbraw/zinc/78/36/26/753783626.db2.gz NAESHOHAABWGNK-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)nn1C ZINC001010341964 753783629 /nfs/dbraw/zinc/78/36/29/753783629.db2.gz NAESHOHAABWGNK-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(CC(C)C)cc2)C1 ZINC001078157141 753801937 /nfs/dbraw/zinc/80/19/37/753801937.db2.gz PRLNBVSNQQGLGT-IAGOWNOFSA-N 1 2 300.402 1.293 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(CC(C)C)cc2)C1 ZINC001078157141 753801944 /nfs/dbraw/zinc/80/19/44/753801944.db2.gz PRLNBVSNQQGLGT-IAGOWNOFSA-N 1 2 300.402 1.293 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](N(C)C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001079045438 753833448 /nfs/dbraw/zinc/83/34/48/753833448.db2.gz GDZLQLJAICDEBF-AWEZNQCLSA-N 1 2 318.421 1.758 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2oc3ccccc3c2CC)C1 ZINC001078255669 753899642 /nfs/dbraw/zinc/89/96/42/753899642.db2.gz DIULZFCKARLRPQ-HUUCEWRRSA-N 1 2 312.369 1.403 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2oc3ccccc3c2CC)C1 ZINC001078255669 753899646 /nfs/dbraw/zinc/89/96/46/753899646.db2.gz DIULZFCKARLRPQ-HUUCEWRRSA-N 1 2 312.369 1.403 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1c(C)noc1Cl ZINC001032811642 754213669 /nfs/dbraw/zinc/21/36/69/754213669.db2.gz GEYUTIZLEGGLHJ-RYUDHWBXSA-N 1 2 307.781 1.487 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1c(C)noc1Cl ZINC001032811642 754213674 /nfs/dbraw/zinc/21/36/74/754213674.db2.gz GEYUTIZLEGGLHJ-RYUDHWBXSA-N 1 2 307.781 1.487 20 30 DDEDLO Cc1nc(N2CCC3(C2)CCN(C(=O)[C@H](C)C#N)CC3)cc[nH+]1 ZINC001063594232 754241990 /nfs/dbraw/zinc/24/19/90/754241990.db2.gz YJXRPCROBSVXOV-CYBMUJFWSA-N 1 2 313.405 1.764 20 30 DDEDLO Cc1nc(NC[C@H]2CCC[C@H]2NC(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001064070530 754482298 /nfs/dbraw/zinc/48/22/98/754482298.db2.gz MBLMYQBWLVIPNY-IUODEOHRSA-N 1 2 324.388 1.995 20 30 DDEDLO CC(C)(C)C#CC(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064207261 754561711 /nfs/dbraw/zinc/56/17/11/754561711.db2.gz CIRNOXIBBIOIEF-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@]2(C1)CCC[N@H+](Cc1ncccn1)C2 ZINC001040120670 762394200 /nfs/dbraw/zinc/39/42/00/762394200.db2.gz ONNLSMHURMMEHO-PBHICJAKSA-N 1 2 313.405 1.451 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@]2(C1)CCC[N@@H+](Cc1ncccn1)C2 ZINC001040120670 762394206 /nfs/dbraw/zinc/39/42/06/762394206.db2.gz ONNLSMHURMMEHO-PBHICJAKSA-N 1 2 313.405 1.451 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)[nH]1 ZINC001040125698 762398464 /nfs/dbraw/zinc/39/84/64/762398464.db2.gz HNEBNITYSCRGPQ-WBMJQRKESA-N 1 2 316.409 1.087 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)[nH]1 ZINC001040125698 762398471 /nfs/dbraw/zinc/39/84/71/762398471.db2.gz HNEBNITYSCRGPQ-WBMJQRKESA-N 1 2 316.409 1.087 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](Nc2ncccc2C#N)[C@@H]1C ZINC001040157261 762413990 /nfs/dbraw/zinc/41/39/90/762413990.db2.gz KOLBMNSDNXQOCV-JSGCOSHPSA-N 1 2 324.388 1.629 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080289478 755828388 /nfs/dbraw/zinc/82/83/88/755828388.db2.gz NZXBGZCUDCENAX-OLZOCXBDSA-N 1 2 318.421 1.470 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)C1 ZINC001014827941 755964020 /nfs/dbraw/zinc/96/40/20/755964020.db2.gz DSQXXYSKFZVUBK-LLVKDONJSA-N 1 2 300.387 1.576 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)C1 ZINC001014827941 755964022 /nfs/dbraw/zinc/96/40/22/755964022.db2.gz DSQXXYSKFZVUBK-LLVKDONJSA-N 1 2 300.387 1.576 20 30 DDEDLO C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001080579961 755989377 /nfs/dbraw/zinc/98/93/77/755989377.db2.gz BCJFWDIIJHIDSZ-JHJVBQTASA-N 1 2 319.430 1.678 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001080579961 755989382 /nfs/dbraw/zinc/98/93/82/755989382.db2.gz BCJFWDIIJHIDSZ-JHJVBQTASA-N 1 2 319.430 1.678 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2C[N@@H+](CC(=C)Cl)C[C@H]2C)nn1 ZINC001080578872 755989597 /nfs/dbraw/zinc/98/95/97/755989597.db2.gz DOTHDRUMXRJEFQ-ZYHUDNBSSA-N 1 2 309.801 1.267 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2C[N@H+](CC(=C)Cl)C[C@H]2C)nn1 ZINC001080578872 755989598 /nfs/dbraw/zinc/98/95/98/755989598.db2.gz DOTHDRUMXRJEFQ-ZYHUDNBSSA-N 1 2 309.801 1.267 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080630861 756013541 /nfs/dbraw/zinc/01/35/41/756013541.db2.gz TXWVEAXTFAGGIB-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001080745403 756077005 /nfs/dbraw/zinc/07/70/05/756077005.db2.gz DNNHHRBEHQETTB-NQBHXWOUSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001080745403 756077010 /nfs/dbraw/zinc/07/70/10/756077010.db2.gz DNNHHRBEHQETTB-NQBHXWOUSA-N 1 2 313.829 1.044 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCn1cc[nH+]c1 ZINC001040212635 762441280 /nfs/dbraw/zinc/44/12/80/762441280.db2.gz ZEPMEEYRZCNTHD-BBRMVZONSA-N 1 2 324.388 1.641 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001080771625 756092365 /nfs/dbraw/zinc/09/23/65/756092365.db2.gz RGUHENYCYFFIBB-IXPVHAAZSA-N 1 2 322.840 1.704 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001080771625 756092370 /nfs/dbraw/zinc/09/23/70/756092370.db2.gz RGUHENYCYFFIBB-IXPVHAAZSA-N 1 2 322.840 1.704 20 30 DDEDLO C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001080982958 756164747 /nfs/dbraw/zinc/16/47/47/756164747.db2.gz YBYJMBJHPCXBLL-CZUORRHYSA-N 1 2 318.357 1.618 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001080982958 756164751 /nfs/dbraw/zinc/16/47/51/756164751.db2.gz YBYJMBJHPCXBLL-CZUORRHYSA-N 1 2 318.357 1.618 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccccc2C(N)=O)C1 ZINC001015489838 756338559 /nfs/dbraw/zinc/33/85/59/756338559.db2.gz VRBGDIJVAKBHRZ-ZDUSSCGKSA-N 1 2 321.808 1.271 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccccc2C(N)=O)C1 ZINC001015489838 756338564 /nfs/dbraw/zinc/33/85/64/756338564.db2.gz VRBGDIJVAKBHRZ-ZDUSSCGKSA-N 1 2 321.808 1.271 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cccc(F)c2F)C[C@H]1O ZINC001099727248 756429528 /nfs/dbraw/zinc/42/95/28/756429528.db2.gz ODUSMCHGWRMOQW-LSDHHAIUSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cccc(F)c2F)C[C@H]1O ZINC001099727248 756429535 /nfs/dbraw/zinc/42/95/35/756429535.db2.gz ODUSMCHGWRMOQW-LSDHHAIUSA-N 1 2 324.371 1.982 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3ccns3)C2)c1 ZINC001015679304 756461174 /nfs/dbraw/zinc/46/11/74/756461174.db2.gz YURWWYSVEPUCHN-CQSZACIVSA-N 1 2 312.398 1.524 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccns3)C2)c1 ZINC001015679304 756461178 /nfs/dbraw/zinc/46/11/78/756461178.db2.gz YURWWYSVEPUCHN-CQSZACIVSA-N 1 2 312.398 1.524 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001015721452 756494215 /nfs/dbraw/zinc/49/42/15/756494215.db2.gz JNWBHQMLZGPSQE-XHSDSOJGSA-N 1 2 319.380 1.502 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C1 ZINC001015721452 756494217 /nfs/dbraw/zinc/49/42/17/756494217.db2.gz JNWBHQMLZGPSQE-XHSDSOJGSA-N 1 2 319.380 1.502 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)c(C)cc2F)[C@H](OC)C1 ZINC001081817859 756494456 /nfs/dbraw/zinc/49/44/56/756494456.db2.gz AZRIJPVTPHYALK-HZPDHXFCSA-N 1 2 322.355 1.726 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)c(C)cc2F)[C@H](OC)C1 ZINC001081817859 756494459 /nfs/dbraw/zinc/49/44/59/756494459.db2.gz AZRIJPVTPHYALK-HZPDHXFCSA-N 1 2 322.355 1.726 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ncc(C)cc2C)[C@H](OC)C1 ZINC001081971844 756572076 /nfs/dbraw/zinc/57/20/76/756572076.db2.gz YDTJRNTVJYVCJA-HUUCEWRRSA-N 1 2 301.390 1.151 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ncc(C)cc2C)[C@H](OC)C1 ZINC001081971844 756572081 /nfs/dbraw/zinc/57/20/81/756572081.db2.gz YDTJRNTVJYVCJA-HUUCEWRRSA-N 1 2 301.390 1.151 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015861384 756595768 /nfs/dbraw/zinc/59/57/68/756595768.db2.gz BIMNASOUBHJSKS-INIZCTEOSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015861384 756595770 /nfs/dbraw/zinc/59/57/70/756595770.db2.gz BIMNASOUBHJSKS-INIZCTEOSA-N 1 2 323.400 1.903 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncoc2-c2ccon2)C1 ZINC001016006302 756715640 /nfs/dbraw/zinc/71/56/40/756715640.db2.gz MHFMUGOHHXNHQE-SNVBAGLBSA-N 1 2 322.752 1.886 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncoc2-c2ccon2)C1 ZINC001016006302 756715641 /nfs/dbraw/zinc/71/56/41/756715641.db2.gz MHFMUGOHHXNHQE-SNVBAGLBSA-N 1 2 322.752 1.886 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3conc3C)C2)nc1 ZINC001016021814 756728207 /nfs/dbraw/zinc/72/82/07/756728207.db2.gz AXROEUIQTUHMBS-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3conc3C)C2)nc1 ZINC001016021814 756728210 /nfs/dbraw/zinc/72/82/10/756728210.db2.gz AXROEUIQTUHMBS-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2coc3ccc(F)cc23)[C@H](OC)C1 ZINC001082346847 756741738 /nfs/dbraw/zinc/74/17/38/756741738.db2.gz DMMBNINVZDSMCK-GDBMZVCRSA-N 1 2 316.332 1.634 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2coc3ccc(F)cc23)[C@H](OC)C1 ZINC001082346847 756741742 /nfs/dbraw/zinc/74/17/42/756741742.db2.gz DMMBNINVZDSMCK-GDBMZVCRSA-N 1 2 316.332 1.634 20 30 DDEDLO C=CCC[N@@H+]1C[C@@H](NC(=O)c2n[nH]c3ccccc32)[C@H](OC)C1 ZINC001082353521 756747958 /nfs/dbraw/zinc/74/79/58/756747958.db2.gz ATJGIBRGFUIVJC-HUUCEWRRSA-N 1 2 314.389 1.568 20 30 DDEDLO C=CCC[N@H+]1C[C@@H](NC(=O)c2n[nH]c3ccccc32)[C@H](OC)C1 ZINC001082353521 756747959 /nfs/dbraw/zinc/74/79/59/756747959.db2.gz ATJGIBRGFUIVJC-HUUCEWRRSA-N 1 2 314.389 1.568 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)n1 ZINC001082450879 756791137 /nfs/dbraw/zinc/79/11/37/756791137.db2.gz KHHWWDLCEREEIP-QJPTWQEYSA-N 1 2 305.382 1.289 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)cc(C)n2)[C@@H](O)C1 ZINC001090380923 756924289 /nfs/dbraw/zinc/92/42/89/756924289.db2.gz HNDOQUMFBOWBCS-HIFRSBDPSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)cc(C)n2)[C@@H](O)C1 ZINC001090380923 756924291 /nfs/dbraw/zinc/92/42/91/756924291.db2.gz HNDOQUMFBOWBCS-HIFRSBDPSA-N 1 2 323.824 1.616 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001098888843 756948204 /nfs/dbraw/zinc/94/82/04/756948204.db2.gz FSRRUKWFJBWVLB-ZDUSSCGKSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001098888843 756948206 /nfs/dbraw/zinc/94/82/06/756948206.db2.gz FSRRUKWFJBWVLB-ZDUSSCGKSA-N 1 2 317.437 1.737 20 30 DDEDLO C[C@H](CC(=O)N[C@H]1C[C@@H](Nc2ccncc2C#N)C1)n1cc[nH+]c1 ZINC001097254778 757020181 /nfs/dbraw/zinc/02/01/81/757020181.db2.gz UKGRPTBDHLICOZ-YUELXQCFSA-N 1 2 324.388 1.282 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@@H](NC(=O)CCc3c[nH]c[nH+]3)C2)n1 ZINC001097262028 757028952 /nfs/dbraw/zinc/02/89/52/757028952.db2.gz CJVOPWPGCRXQIK-GASCZTMLSA-N 1 2 324.388 1.677 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@@H](NC(=O)CCc3c[nH+]c[nH]3)C2)n1 ZINC001097262028 757028962 /nfs/dbraw/zinc/02/89/62/757028962.db2.gz CJVOPWPGCRXQIK-GASCZTMLSA-N 1 2 324.388 1.677 20 30 DDEDLO C#CCOCCC(=O)N1CCCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001097495188 757212258 /nfs/dbraw/zinc/21/22/58/757212258.db2.gz FYTGNLORCNCXSI-OAHLLOKOSA-N 1 2 316.405 1.618 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2cnc(C3CC3)s2)[C@@H](O)C1 ZINC001083977050 757227753 /nfs/dbraw/zinc/22/77/53/757227753.db2.gz QXHNUNIBTTXMOD-NEPJUHHUSA-N 1 2 307.419 1.372 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2cnc(C3CC3)s2)[C@@H](O)C1 ZINC001083977050 757227760 /nfs/dbraw/zinc/22/77/60/757227760.db2.gz QXHNUNIBTTXMOD-NEPJUHHUSA-N 1 2 307.419 1.372 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2csc3c2CC[C@H](C)C3)[C@@H](O)C1 ZINC001084022286 757228865 /nfs/dbraw/zinc/22/88/65/757228865.db2.gz AUDITRBKDNXZHN-GLQYFDAESA-N 1 2 318.442 1.281 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2csc3c2CC[C@H](C)C3)[C@@H](O)C1 ZINC001084022286 757228870 /nfs/dbraw/zinc/22/88/70/757228870.db2.gz AUDITRBKDNXZHN-GLQYFDAESA-N 1 2 318.442 1.281 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H](CC)CCCC)CC2=O)C1 ZINC001108509049 762532673 /nfs/dbraw/zinc/53/26/73/762532673.db2.gz DMAHKIXSQXVJEM-HUUCEWRRSA-N 1 2 321.465 1.790 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)sn1 ZINC001084236369 757437619 /nfs/dbraw/zinc/43/76/19/757437619.db2.gz FYBMASOEWXTWHI-DMDPSCGWSA-N 1 2 320.418 1.344 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)sn1 ZINC001084236369 757437628 /nfs/dbraw/zinc/43/76/28/757437628.db2.gz FYBMASOEWXTWHI-DMDPSCGWSA-N 1 2 320.418 1.344 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)C3CCC(O)CC3)[C@@H]2C1 ZINC001084312630 757478196 /nfs/dbraw/zinc/47/81/96/757478196.db2.gz ZKDKHBGIOVLRBN-HXSCFSKGSA-N 1 2 312.841 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)C3CCC(O)CC3)[C@@H]2C1 ZINC001084312630 757478203 /nfs/dbraw/zinc/47/82/03/757478203.db2.gz ZKDKHBGIOVLRBN-HXSCFSKGSA-N 1 2 312.841 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)/C=C/c2ccc[nH]2)[C@H](O)C1 ZINC001099799492 757511203 /nfs/dbraw/zinc/51/12/03/757511203.db2.gz OAORSZMCNZCVFY-OOPLNXAUSA-N 1 2 309.797 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)/C=C/c2ccc[nH]2)[C@H](O)C1 ZINC001099799492 757511206 /nfs/dbraw/zinc/51/12/06/757511206.db2.gz OAORSZMCNZCVFY-OOPLNXAUSA-N 1 2 309.797 1.332 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc(CC(C)C)[nH]3)[C@@H]2C1 ZINC001084343655 757536431 /nfs/dbraw/zinc/53/64/31/757536431.db2.gz VXHYZZKGMNZZEY-GDBMZVCRSA-N 1 2 314.433 1.778 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cnc(CC(C)C)[nH]3)[C@@H]2C1 ZINC001084343655 757536434 /nfs/dbraw/zinc/53/64/34/757536434.db2.gz VXHYZZKGMNZZEY-GDBMZVCRSA-N 1 2 314.433 1.778 20 30 DDEDLO C[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067179608 757753072 /nfs/dbraw/zinc/75/30/72/757753072.db2.gz ZLJMFLWCCPPJHA-ZFWWWQNUSA-N 1 2 324.388 1.499 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3scnc3COC)[C@@H]2C1 ZINC001084709660 757799599 /nfs/dbraw/zinc/79/95/99/757799599.db2.gz OBWSUDZIUZWNHV-DGCLKSJQSA-N 1 2 305.403 1.069 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3scnc3COC)[C@@H]2C1 ZINC001084709660 757799602 /nfs/dbraw/zinc/79/96/02/757799602.db2.gz OBWSUDZIUZWNHV-DGCLKSJQSA-N 1 2 305.403 1.069 20 30 DDEDLO Cn1c[nH+]cc1CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C#N)[nH]1 ZINC001017488196 757969605 /nfs/dbraw/zinc/96/96/05/757969605.db2.gz QHUYPYJRIKKHMF-OKILXGFUSA-N 1 2 324.388 1.109 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(OC)cc1C ZINC001017582893 758049623 /nfs/dbraw/zinc/04/96/23/758049623.db2.gz FNSCEDWCNPJNSF-CALCHBBNSA-N 1 2 312.413 1.855 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(OC)cc1C ZINC001017582893 758049638 /nfs/dbraw/zinc/04/96/38/758049638.db2.gz FNSCEDWCNPJNSF-CALCHBBNSA-N 1 2 312.413 1.855 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C2CC2)n(C)n1 ZINC001017601917 758067615 /nfs/dbraw/zinc/06/76/15/758067615.db2.gz OSMYACOERBROJF-GASCZTMLSA-N 1 2 312.417 1.610 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C2CC2)n(C)n1 ZINC001017601917 758067629 /nfs/dbraw/zinc/06/76/29/758067629.db2.gz OSMYACOERBROJF-GASCZTMLSA-N 1 2 312.417 1.610 20 30 DDEDLO C[C@H](F)CC[NH+]1CC2(C1)CN(C(=O)c1c[nH]c(C#N)c1)CCO2 ZINC001053227228 758283629 /nfs/dbraw/zinc/28/36/29/758283629.db2.gz UIFUTHRCODEOPL-LBPRGKRZSA-N 1 2 320.368 1.161 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@@H]1CC[C@@H]3C[C@@H]31)CCO2 ZINC001053317509 758370250 /nfs/dbraw/zinc/37/02/50/758370250.db2.gz BMPITAPDRZPTSE-PMPSAXMXSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(OC)nc1)CCO2 ZINC001053333816 758382242 /nfs/dbraw/zinc/38/22/42/758382242.db2.gz WUHBNUAYESHHDM-UHFFFAOYSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(C)c(C)n1)CCO2 ZINC001053342010 758389095 /nfs/dbraw/zinc/38/90/95/758389095.db2.gz NQGMUGDWFMKSOE-UHFFFAOYSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@]1(C)CCO[C@@H]1C)CCO2 ZINC001053356795 758399351 /nfs/dbraw/zinc/39/93/51/758399351.db2.gz PEVFQEXSQFUVGI-ZBFHGGJFSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)c1cncnc1)CCO2 ZINC001053393850 758427091 /nfs/dbraw/zinc/42/70/91/758427091.db2.gz PEKBMKHRLPZQKB-AWEZNQCLSA-N 1 2 316.405 1.069 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn(C)c2c1CCCC2 ZINC001017979503 758436685 /nfs/dbraw/zinc/43/66/85/758436685.db2.gz YXUFWKHGVKVBEC-OKILXGFUSA-N 1 2 312.417 1.221 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn(C)c2c1CCCC2 ZINC001017979503 758436692 /nfs/dbraw/zinc/43/66/92/758436692.db2.gz YXUFWKHGVKVBEC-OKILXGFUSA-N 1 2 312.417 1.221 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)[C@@H]1CCCO1)CCO2 ZINC001053418355 758448323 /nfs/dbraw/zinc/44/83/23/758448323.db2.gz QGWGYDHSPPSVNX-GJZGRUSLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)CN1CC2(C1)CN(C(=O)C[C@H](C)n1cc[nH+]c1)CCO2 ZINC001053451704 758471835 /nfs/dbraw/zinc/47/18/35/758471835.db2.gz JTCXSNUFHHGSCC-HNNXBMFYSA-N 1 2 318.421 1.324 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCO[C@H](CC)C1)CCO2 ZINC001053500959 758506516 /nfs/dbraw/zinc/50/65/16/758506516.db2.gz GTALBYYOMNVQSM-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C)n(C)c1C)CCO2 ZINC001053528723 758535317 /nfs/dbraw/zinc/53/53/17/758535317.db2.gz QABVOWDVIWRMKR-UHFFFAOYSA-N 1 2 317.433 1.745 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCc1nccs1)CCO2 ZINC001053536522 758541701 /nfs/dbraw/zinc/54/17/01/758541701.db2.gz SNQLDOHKSOEAJE-UHFFFAOYSA-N 1 2 321.446 1.565 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)nn(CC)c1C ZINC001018182146 758609707 /nfs/dbraw/zinc/60/97/07/758609707.db2.gz YAIPOAOZMXNHQQ-IYBDPMFKSA-N 1 2 314.433 1.371 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)nn(CC)c1C ZINC001018182146 758609713 /nfs/dbraw/zinc/60/97/13/758609713.db2.gz YAIPOAOZMXNHQQ-IYBDPMFKSA-N 1 2 314.433 1.371 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(C)ccn1C)O2 ZINC001053596313 758617322 /nfs/dbraw/zinc/61/73/22/758617322.db2.gz PYFKMMKHIYOAJL-HNNXBMFYSA-N 1 2 317.433 1.873 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1C[C@@H]1C(F)F)O2 ZINC001053596266 758617853 /nfs/dbraw/zinc/61/78/53/758617853.db2.gz OSCJVVZXSKXGCS-WOPDTQHZSA-N 1 2 300.349 1.423 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C(C)(C)C)C1=O ZINC001018200337 758628127 /nfs/dbraw/zinc/62/81/27/758628127.db2.gz FCNFNNMGAGJBQT-ZNMIVQPWSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C(C)(C)C)C1=O ZINC001018200337 758628133 /nfs/dbraw/zinc/62/81/33/758628133.db2.gz FCNFNNMGAGJBQT-ZNMIVQPWSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1onc(C)c1C)O2 ZINC001053615106 758634287 /nfs/dbraw/zinc/63/42/87/758634287.db2.gz AXTNHAPCPHEPHE-CQSZACIVSA-N 1 2 319.405 1.831 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncccc1CC)O2 ZINC001053619834 758637796 /nfs/dbraw/zinc/63/77/96/758637796.db2.gz XOAZONDAMCWKEW-OAHLLOKOSA-N 1 2 315.417 1.793 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1nc(C)c[nH]1)O2 ZINC001053675438 758685115 /nfs/dbraw/zinc/68/51/15/758685115.db2.gz NMKFEERLPOFJHB-CYBMUJFWSA-N 1 2 304.394 1.257 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CC(C)(C)CC)CC2=O)C1 ZINC001108548683 762649876 /nfs/dbraw/zinc/64/98/76/762649876.db2.gz UBPZJOZMHZXBBA-ZDUSSCGKSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1coc(CCC)n1)O2 ZINC001053685903 758696183 /nfs/dbraw/zinc/69/61/83/758696183.db2.gz BBWYBLZQLGWRDN-ZDUSSCGKSA-N 1 2 319.405 1.776 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccccc1F)CO2 ZINC001053725924 758742934 /nfs/dbraw/zinc/74/29/34/758742934.db2.gz QGAPETZLSIGYAD-CYBMUJFWSA-N 1 2 304.365 1.975 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1C[C@@H]1C(F)F)CO2 ZINC001053792969 758820391 /nfs/dbraw/zinc/82/03/91/758820391.db2.gz GFNGLNBLNRLGCF-WOPDTQHZSA-N 1 2 300.349 1.423 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc(CC)n1)CO2 ZINC001053830632 758860162 /nfs/dbraw/zinc/86/01/62/758860162.db2.gz HITFPSREWDTAJU-HNNXBMFYSA-N 1 2 315.417 1.793 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(Cl)cn1C)CO2 ZINC001053864137 758896341 /nfs/dbraw/zinc/89/63/41/758896341.db2.gz VYHPSUNVRYRMHL-CYBMUJFWSA-N 1 2 321.808 1.275 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C3CC3)CCC1)CO2 ZINC001053882524 758917153 /nfs/dbraw/zinc/91/71/53/758917153.db2.gz BXYTVIGSGUXPGA-OAHLLOKOSA-N 1 2 302.418 1.550 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)c1cncnc1)CO2 ZINC001053903351 758936505 /nfs/dbraw/zinc/93/65/05/758936505.db2.gz PRYIKCCABJWYKD-HIFRSBDPSA-N 1 2 316.405 1.116 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2COC3(C[NH+]([C@@H](C)COC)C3)C2)C1 ZINC001053919453 758953926 /nfs/dbraw/zinc/95/39/26/758953926.db2.gz LMHVHUQCRNHBOV-KBPBESRZSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C[C@@H](CO)Nc1cc(C[NH+]2CCN(C)CC2)ccc1OC ZINC001169784611 762672748 /nfs/dbraw/zinc/67/27/48/762672748.db2.gz OBAQWDHSZPMBLZ-HNNXBMFYSA-N 1 2 305.422 1.401 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001065969260 758963241 /nfs/dbraw/zinc/96/32/41/758963241.db2.gz RAWINCJSMDWWAJ-CQSZACIVSA-N 1 2 318.421 1.724 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc3cc[nH]c31)CO2 ZINC001053929625 758965794 /nfs/dbraw/zinc/96/57/94/758965794.db2.gz FBWLBQBBLUIUOU-HNNXBMFYSA-N 1 2 323.396 1.764 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)[nH]c1C)CO2 ZINC001053939478 758975264 /nfs/dbraw/zinc/97/52/64/758975264.db2.gz LQUZUEMYSZXIOP-CQSZACIVSA-N 1 2 303.406 1.781 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C1(C)CCOCC1)CO2 ZINC001053958522 758994421 /nfs/dbraw/zinc/99/44/21/758994421.db2.gz HLYYATHPPNBCPP-AWEZNQCLSA-N 1 2 308.422 1.339 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nnc(C(F)F)s2)C1 ZINC001018633308 759052563 /nfs/dbraw/zinc/05/25/63/759052563.db2.gz VZSHENSJYYLEGO-YUMQZZPRSA-N 1 2 315.349 1.326 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccc(C)s1)CO2 ZINC001054017295 759066917 /nfs/dbraw/zinc/06/69/17/759066917.db2.gz VPRJTWHEQLLAMM-AWEZNQCLSA-N 1 2 318.442 1.582 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)C1CCOCC1)CO2 ZINC001054025543 759076920 /nfs/dbraw/zinc/07/69/20/759076920.db2.gz BGCOFYOPYKSTSG-GDBMZVCRSA-N 1 2 320.433 1.032 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CC(C)=C(C)C)CC2=O)C1 ZINC001108564227 762691131 /nfs/dbraw/zinc/69/11/31/762691131.db2.gz FNAFFJOYFCTZLP-OAHLLOKOSA-N 1 2 319.449 1.710 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108569687 762697153 /nfs/dbraw/zinc/69/71/53/762697153.db2.gz NMIQKEXRKRJDCZ-RDTXWAMCSA-N 1 2 319.449 1.566 20 30 DDEDLO C[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1nc(Cl)c(C#N)s1 ZINC001098306483 759270943 /nfs/dbraw/zinc/27/09/43/759270943.db2.gz GQVFTBQUAHKNLJ-SSDOTTSWSA-N 1 2 324.797 1.551 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001018827450 759314471 /nfs/dbraw/zinc/31/44/71/759314471.db2.gz SQHDZBCLWLYEDA-ZDUSSCGKSA-N 1 2 302.378 1.126 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3c[nH]c(=O)cc3C)cc2C1 ZINC001054281361 759389104 /nfs/dbraw/zinc/38/91/04/759389104.db2.gz JJWCFYLCIAULDU-UHFFFAOYSA-N 1 2 321.380 1.974 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3c[nH]c(=O)cc3C)cc2C1 ZINC001054281361 759389114 /nfs/dbraw/zinc/38/91/14/759389114.db2.gz JJWCFYLCIAULDU-UHFFFAOYSA-N 1 2 321.380 1.974 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H](Nc3cc[nH+]c(C)n3)C[C@H]2C)nc1 ZINC001069124971 767862738 /nfs/dbraw/zinc/86/27/38/767862738.db2.gz XEYWRKKKCAVSBE-IUODEOHRSA-N 1 2 321.384 1.876 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2cccnc2n1 ZINC001085607636 759821396 /nfs/dbraw/zinc/82/13/96/759821396.db2.gz VSSIXBUIVQPEDS-HNNXBMFYSA-N 1 2 308.385 1.799 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc2cccnc2n1 ZINC001085607636 759821410 /nfs/dbraw/zinc/82/14/10/759821410.db2.gz VSSIXBUIVQPEDS-HNNXBMFYSA-N 1 2 308.385 1.799 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2ccccc2c1O ZINC001085691748 760040865 /nfs/dbraw/zinc/04/08/65/760040865.db2.gz OZTBHQXRSMFUDD-CQSZACIVSA-N 1 2 323.396 1.698 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2ccccc2c1O ZINC001085691748 760040875 /nfs/dbraw/zinc/04/08/75/760040875.db2.gz OZTBHQXRSMFUDD-CQSZACIVSA-N 1 2 323.396 1.698 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@@H](CC)C(N)=O)CC1 ZINC001085802297 760259112 /nfs/dbraw/zinc/25/91/12/760259112.db2.gz QGFMSAYZTQQOIB-GJZGRUSLSA-N 1 2 307.438 1.529 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@@H](CC)C(N)=O)CC1 ZINC001085802297 760259115 /nfs/dbraw/zinc/25/91/15/760259115.db2.gz QGFMSAYZTQQOIB-GJZGRUSLSA-N 1 2 307.438 1.529 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2cncs2)C1 ZINC001108216240 760413915 /nfs/dbraw/zinc/41/39/15/760413915.db2.gz VCBVZRRDSRDGME-OAHLLOKOSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2cncs2)C1 ZINC001108216240 760413916 /nfs/dbraw/zinc/41/39/16/760413916.db2.gz VCBVZRRDSRDGME-OAHLLOKOSA-N 1 2 309.435 1.469 20 30 DDEDLO N#Cc1cccnc1NCC[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001066337486 760478159 /nfs/dbraw/zinc/47/81/59/760478159.db2.gz HMYUPGQNYREHKT-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(C(F)(F)F)c1 ZINC001085907902 760504000 /nfs/dbraw/zinc/50/40/00/760504000.db2.gz QULVJOWQDFCWNT-LBPRGKRZSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(C(F)(F)F)c1 ZINC001085907902 760504007 /nfs/dbraw/zinc/50/40/07/760504007.db2.gz QULVJOWQDFCWNT-LBPRGKRZSA-N 1 2 311.307 1.880 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cccnc2)cn1 ZINC001085933814 760564867 /nfs/dbraw/zinc/56/48/67/760564867.db2.gz LEUUREBWDUOZOC-GOSISDBHSA-N 1 2 320.396 1.804 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cccnc2)cn1 ZINC001085933814 760564870 /nfs/dbraw/zinc/56/48/70/760564870.db2.gz LEUUREBWDUOZOC-GOSISDBHSA-N 1 2 320.396 1.804 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)n(C(C)(C)C)n1 ZINC001085974577 760636613 /nfs/dbraw/zinc/63/66/13/760636613.db2.gz XXAOHERZSHEUKD-CQSZACIVSA-N 1 2 302.422 1.726 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)n(C(C)(C)C)n1 ZINC001085974577 760636618 /nfs/dbraw/zinc/63/66/18/760636618.db2.gz XXAOHERZSHEUKD-CQSZACIVSA-N 1 2 302.422 1.726 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066376150 760915406 /nfs/dbraw/zinc/91/54/06/760915406.db2.gz BEDSNOPMGQAWBM-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ncccc1C#N ZINC001069375274 767999742 /nfs/dbraw/zinc/99/97/42/767999742.db2.gz GQWVMEDBAFRWPW-ZFWWWQNUSA-N 1 2 324.388 1.323 20 30 DDEDLO C[C@H]1[C@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ccc(C#N)cn1 ZINC001069376319 768000050 /nfs/dbraw/zinc/00/00/50/768000050.db2.gz VTFIJQHWADHGNX-DZGCQCFKSA-N 1 2 324.388 1.323 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1coc(-c2cccnc2)n1 ZINC001038465867 761142130 /nfs/dbraw/zinc/14/21/30/761142130.db2.gz PGCRWLHQKTUPAQ-AWEZNQCLSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1coc(-c2cccnc2)n1 ZINC001038465867 761142132 /nfs/dbraw/zinc/14/21/32/761142132.db2.gz PGCRWLHQKTUPAQ-AWEZNQCLSA-N 1 2 310.357 1.564 20 30 DDEDLO Cn1cncc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038470733 761144817 /nfs/dbraw/zinc/14/48/17/761144817.db2.gz NLFRKIUWRALCDP-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cncc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038470733 761144822 /nfs/dbraw/zinc/14/48/22/761144822.db2.gz NLFRKIUWRALCDP-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2CC(=O)N(C)C2CC2)CC1 ZINC001038661022 761260609 /nfs/dbraw/zinc/26/06/09/761260609.db2.gz JRCSTGAEHJQDMA-INIZCTEOSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2CC(=O)N(C)C2CC2)CC1 ZINC001038661022 761260613 /nfs/dbraw/zinc/26/06/13/761260613.db2.gz JRCSTGAEHJQDMA-INIZCTEOSA-N 1 2 319.449 1.544 20 30 DDEDLO Cc1nocc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038667946 761268147 /nfs/dbraw/zinc/26/81/47/761268147.db2.gz XKVRPEYYFFRGMB-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1nocc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038667946 761268151 /nfs/dbraw/zinc/26/81/51/761268151.db2.gz XKVRPEYYFFRGMB-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ncccc1OCCC ZINC001038669174 761269381 /nfs/dbraw/zinc/26/93/81/761269381.db2.gz GDLIVVFHRPAJLQ-AWEZNQCLSA-N 1 2 301.390 1.698 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ncccc1OCCC ZINC001038669174 761269384 /nfs/dbraw/zinc/26/93/84/761269384.db2.gz GDLIVVFHRPAJLQ-AWEZNQCLSA-N 1 2 301.390 1.698 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1scc2c1OCCO2 ZINC001038871453 761495967 /nfs/dbraw/zinc/49/59/67/761495967.db2.gz CWVDCEHJZUVRJE-NSHDSACASA-N 1 2 306.387 1.347 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1scc2c1OCCO2 ZINC001038871453 761495972 /nfs/dbraw/zinc/49/59/72/761495972.db2.gz CWVDCEHJZUVRJE-NSHDSACASA-N 1 2 306.387 1.347 20 30 DDEDLO Cc1nc(NC2CC(N(C)C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001069723338 768113335 /nfs/dbraw/zinc/11/33/35/768113335.db2.gz SVLNQDUSYWAKAU-UHFFFAOYSA-N 1 2 310.361 1.700 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)c2cn[nH]c2)C1 ZINC001046921618 768116970 /nfs/dbraw/zinc/11/69/70/768116970.db2.gz NHWWSGGEKZOKIG-LLVKDONJSA-N 1 2 318.755 1.849 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@H](CNC(=O)c2cn[nH]c2)C1 ZINC001046921618 768116973 /nfs/dbraw/zinc/11/69/73/768116973.db2.gz NHWWSGGEKZOKIG-LLVKDONJSA-N 1 2 318.755 1.849 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)N[C@H](C)C1CC1 ZINC001109058903 763302103 /nfs/dbraw/zinc/30/21/03/763302103.db2.gz OLDXRVRWYKFSFX-OJLVUWQFSA-N 1 2 319.449 1.589 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)N[C@H](C)C1CC1 ZINC001109058903 763302112 /nfs/dbraw/zinc/30/21/12/763302112.db2.gz OLDXRVRWYKFSFX-OJLVUWQFSA-N 1 2 319.449 1.589 20 30 DDEDLO N#Cc1ccc(NC2CCN(C(=O)Cn3cc[nH+]c3)CC2)nc1 ZINC001057341802 763476690 /nfs/dbraw/zinc/47/66/90/763476690.db2.gz RYRJSOXLKBEQEJ-UHFFFAOYSA-N 1 2 310.361 1.253 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CN(C)c1[nH+]cnc2c1cnn2C ZINC001109224018 763483195 /nfs/dbraw/zinc/48/31/95/763483195.db2.gz PWRRIVRIHVMNNG-GFCCVEGCSA-N 1 2 316.409 1.661 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1nocc1C ZINC001109270634 763532373 /nfs/dbraw/zinc/53/23/73/763532373.db2.gz PJFZIPHVOVSEND-YCPHGPKFSA-N 1 2 317.389 1.244 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1nocc1C ZINC001109270634 763532380 /nfs/dbraw/zinc/53/23/80/763532380.db2.gz PJFZIPHVOVSEND-YCPHGPKFSA-N 1 2 317.389 1.244 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@H]21 ZINC001042071551 763616463 /nfs/dbraw/zinc/61/64/63/763616463.db2.gz CZKJJNDZKVQPET-IUODEOHRSA-N 1 2 309.373 1.128 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@H]21 ZINC001042071551 763616465 /nfs/dbraw/zinc/61/64/65/763616465.db2.gz CZKJJNDZKVQPET-IUODEOHRSA-N 1 2 309.373 1.128 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@@H]21 ZINC001042071550 763616527 /nfs/dbraw/zinc/61/65/27/763616527.db2.gz CZKJJNDZKVQPET-DOMZBBRYSA-N 1 2 309.373 1.128 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@@H]21 ZINC001042071550 763616528 /nfs/dbraw/zinc/61/65/28/763616528.db2.gz CZKJJNDZKVQPET-DOMZBBRYSA-N 1 2 309.373 1.128 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3cnn(C)n3)[C@H]2C1 ZINC001042181680 763746423 /nfs/dbraw/zinc/74/64/23/763746423.db2.gz ILXBWDHCHNXHAP-HIFRSBDPSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@H+](Cc3cnn(C)n3)[C@H]2C1 ZINC001042181680 763746432 /nfs/dbraw/zinc/74/64/32/763746432.db2.gz ILXBWDHCHNXHAP-HIFRSBDPSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2csc(C)n2)[C@H](O)C1 ZINC001090501052 768166698 /nfs/dbraw/zinc/16/66/98/768166698.db2.gz UBKCXFKUSYZWKQ-ZYHUDNBSSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2csc(C)n2)[C@H](O)C1 ZINC001090501052 768166703 /nfs/dbraw/zinc/16/67/03/768166703.db2.gz UBKCXFKUSYZWKQ-ZYHUDNBSSA-N 1 2 315.826 1.369 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)C(=C)C)C2 ZINC001109591225 763845673 /nfs/dbraw/zinc/84/56/73/763845673.db2.gz WWTQIPSZUPJAMW-ILXRZTDVSA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)C(=C)C)C2 ZINC001109591225 763845678 /nfs/dbraw/zinc/84/56/78/763845678.db2.gz WWTQIPSZUPJAMW-ILXRZTDVSA-N 1 2 319.449 1.612 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC2(C1)CCN(CC#N)CC2 ZINC001050693946 763928134 /nfs/dbraw/zinc/92/81/34/763928134.db2.gz NXIYXHKJUSPXOZ-CYBMUJFWSA-N 1 2 301.394 1.036 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC2(C1)CCN(CC#N)CC2 ZINC001050693946 763928144 /nfs/dbraw/zinc/92/81/44/763928144.db2.gz NXIYXHKJUSPXOZ-CYBMUJFWSA-N 1 2 301.394 1.036 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(C)C ZINC001109681866 763941053 /nfs/dbraw/zinc/94/10/53/763941053.db2.gz KSZVGHLIDOCPEL-LDDOYCOJSA-N 1 2 321.465 1.835 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC(C)C ZINC001109681866 763941060 /nfs/dbraw/zinc/94/10/60/763941060.db2.gz KSZVGHLIDOCPEL-LDDOYCOJSA-N 1 2 321.465 1.835 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cnccn1)C2 ZINC001109931017 764215933 /nfs/dbraw/zinc/21/59/33/764215933.db2.gz ATJLKDSTZIEUIE-ILXRZTDVSA-N 1 2 320.824 1.883 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cnccn1)C2 ZINC001109931017 764215941 /nfs/dbraw/zinc/21/59/41/764215941.db2.gz ATJLKDSTZIEUIE-ILXRZTDVSA-N 1 2 320.824 1.883 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc2occc2[nH]1 ZINC001050940245 764311676 /nfs/dbraw/zinc/31/16/76/764311676.db2.gz OXYIVMULNPJTER-LBPRGKRZSA-N 1 2 303.362 1.768 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc2occc2[nH]1 ZINC001050940245 764311686 /nfs/dbraw/zinc/31/16/86/764311686.db2.gz OXYIVMULNPJTER-LBPRGKRZSA-N 1 2 303.362 1.768 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(F)ccc1F ZINC001050954550 764343864 /nfs/dbraw/zinc/34/38/64/764343864.db2.gz DJSVWHGOUOAYFX-CYBMUJFWSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(F)ccc1F ZINC001050954550 764343873 /nfs/dbraw/zinc/34/38/73/764343873.db2.gz DJSVWHGOUOAYFX-CYBMUJFWSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(N(C)C)nc1 ZINC001050999227 764403527 /nfs/dbraw/zinc/40/35/27/764403527.db2.gz JZWRUNWMEIJIGL-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(N(C)C)nc1 ZINC001050999227 764403532 /nfs/dbraw/zinc/40/35/32/764403532.db2.gz JZWRUNWMEIJIGL-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C[C@H]1CN(c2ccncc2C#N)CC[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067408582 764436581 /nfs/dbraw/zinc/43/65/81/764436581.db2.gz VCCMGLVGDOMAAB-JSGCOSHPSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1coc(OC)n1 ZINC001051046230 764448483 /nfs/dbraw/zinc/44/84/83/764448483.db2.gz JXXJJSSZQLHOKU-LBPRGKRZSA-N 1 2 309.366 1.080 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1coc(OC)n1 ZINC001051046230 764448490 /nfs/dbraw/zinc/44/84/90/764448490.db2.gz JXXJJSSZQLHOKU-LBPRGKRZSA-N 1 2 309.366 1.080 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C3CC3)n(CC)n2)C1 ZINC001042888916 764508872 /nfs/dbraw/zinc/50/88/72/764508872.db2.gz SLQDAZPJWNWREK-UHFFFAOYSA-N 1 2 300.406 1.560 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001042892617 764512396 /nfs/dbraw/zinc/51/23/96/764512396.db2.gz WGVMBLBGSNISBP-CYBMUJFWSA-N 1 2 300.406 1.300 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](CCc2cnn(C)c2)CC1 ZINC001112671544 764566908 /nfs/dbraw/zinc/56/69/08/764566908.db2.gz LHXOQGCWHRAOFL-OAHLLOKOSA-N 1 2 320.437 1.088 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncoc1C(C)C ZINC001051200158 764609713 /nfs/dbraw/zinc/60/97/13/764609713.db2.gz MFBGEMLDALXPAY-CYBMUJFWSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncoc1C(C)C ZINC001051200158 764609717 /nfs/dbraw/zinc/60/97/17/764609717.db2.gz MFBGEMLDALXPAY-CYBMUJFWSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncccc1Cl ZINC001051217578 764631666 /nfs/dbraw/zinc/63/16/66/764631666.db2.gz YNXWDZFEIVDSFJ-LBPRGKRZSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncccc1Cl ZINC001051217578 764631671 /nfs/dbraw/zinc/63/16/71/764631671.db2.gz YNXWDZFEIVDSFJ-LBPRGKRZSA-N 1 2 309.797 1.742 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn3c(n2)C[C@H](C)CC3)C1 ZINC001043074954 764640369 /nfs/dbraw/zinc/64/03/69/764640369.db2.gz VRXBFBOCJFSGDL-CYBMUJFWSA-N 1 2 302.422 1.798 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn3c(n2)CC[C@H](C)C3)C1 ZINC001043077933 764642065 /nfs/dbraw/zinc/64/20/65/764642065.db2.gz ADDNUAIXYMDYHE-ZDUSSCGKSA-N 1 2 300.406 1.245 20 30 DDEDLO CC(C)COCC[NH+]1CCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC001112769571 764729604 /nfs/dbraw/zinc/72/96/04/764729604.db2.gz NCZVNTMNKKAOHX-UHFFFAOYSA-N 1 2 304.394 1.317 20 30 DDEDLO C=C(C)CC[NH+]1CC(N(C)C(=O)c2cc(=O)c(OC)co2)C1 ZINC001043346798 764849739 /nfs/dbraw/zinc/84/97/39/764849739.db2.gz FVKWOQRNDAIRBB-UHFFFAOYSA-N 1 2 306.362 1.371 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2ccc(OC)cc2)CC1 ZINC001112844712 764857434 /nfs/dbraw/zinc/85/74/34/764857434.db2.gz CEFJAQILPAACCH-HNNXBMFYSA-N 1 2 316.401 1.378 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051417820 764857942 /nfs/dbraw/zinc/85/79/42/764857942.db2.gz OBADLTCINNFJAE-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](CCOC3CCC3)C2)cc1 ZINC001043506384 764950449 /nfs/dbraw/zinc/95/04/49/764950449.db2.gz XVUHUYAURYTFHT-UHFFFAOYSA-N 1 2 312.413 1.993 20 30 DDEDLO C=CC[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2cnns2)C1 ZINC001086577284 765250214 /nfs/dbraw/zinc/25/02/14/765250214.db2.gz DETWBCSCZWBOOJ-UONOGXRCSA-N 1 2 314.414 1.922 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2cnns2)C1 ZINC001086577284 765250220 /nfs/dbraw/zinc/25/02/20/765250220.db2.gz DETWBCSCZWBOOJ-UONOGXRCSA-N 1 2 314.414 1.922 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccncc3)C2)CC1 ZINC001051972233 765330412 /nfs/dbraw/zinc/33/04/12/765330412.db2.gz KDYLLPQVTDEWDJ-MRXNPFEDSA-N 1 2 300.406 1.100 20 30 DDEDLO C[C@@H](Nc1cccc(F)c1C#N)[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001113155024 765344924 /nfs/dbraw/zinc/34/49/24/765344924.db2.gz LYAPIBLJTMDRAD-MNOVXSKESA-N 1 2 315.352 1.968 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001113155648 765344998 /nfs/dbraw/zinc/34/49/98/765344998.db2.gz QTXIXBATYCJANQ-NWDGAFQWSA-N 1 2 312.377 1.533 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccccc3O)C2)CC1 ZINC001051986635 765351926 /nfs/dbraw/zinc/35/19/26/765351926.db2.gz JNYARPSGGNQSMM-OAHLLOKOSA-N 1 2 315.417 1.410 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(CCC)n[nH]2)CC1 ZINC001113177073 765380235 /nfs/dbraw/zinc/38/02/35/765380235.db2.gz IZNQETLBBKAZFQ-UHFFFAOYSA-N 1 2 306.410 1.323 20 30 DDEDLO Cc1coc(C)c1C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052023261 765397472 /nfs/dbraw/zinc/39/74/72/765397472.db2.gz RFMCKQAZZAAYMJ-HNNXBMFYSA-N 1 2 316.405 1.252 20 30 DDEDLO C[C@@]1(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)CC=CCC1 ZINC001052030238 765405445 /nfs/dbraw/zinc/40/54/45/765405445.db2.gz KDCPLTFDUZRCSF-SJLPKXTDSA-N 1 2 316.449 1.475 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc(CC)o3)C2)CC1 ZINC001052044051 765417564 /nfs/dbraw/zinc/41/75/64/765417564.db2.gz BSLOPYXWZRPHHA-OAHLLOKOSA-N 1 2 317.433 1.860 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC001113217750 765423238 /nfs/dbraw/zinc/42/32/38/765423238.db2.gz IVRMLVYCTNKVHP-LSDHHAIUSA-N 1 2 307.438 1.211 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H](C)C(F)(F)F)C2)CC1 ZINC001052063321 765434893 /nfs/dbraw/zinc/43/48/93/765434893.db2.gz STGGLPKUDROTQI-QWHCGFSZSA-N 1 2 317.355 1.037 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(C)CC=CC3)C2)CC1 ZINC001052077459 765450380 /nfs/dbraw/zinc/45/03/80/765450380.db2.gz RJNGFXKJPWPAFI-QGZVFWFLSA-N 1 2 315.461 1.585 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3nc(C)oc3C)C2)CC1 ZINC001052100275 765470860 /nfs/dbraw/zinc/47/08/60/765470860.db2.gz BYVSUSBUSIASHO-HNNXBMFYSA-N 1 2 318.421 1.310 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113296803 765526964 /nfs/dbraw/zinc/52/69/64/765526964.db2.gz QVIRVMOSYYSLFT-RYUDHWBXSA-N 1 2 320.441 1.907 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc3c(c2)COC3)CC1 ZINC001113423572 765690185 /nfs/dbraw/zinc/69/01/85/765690185.db2.gz CLAULPLMACDRLM-UHFFFAOYSA-N 1 2 316.401 1.677 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CN(C(C)=O)c2ccccc2)CC1 ZINC001113664660 766002407 /nfs/dbraw/zinc/00/24/07/766002407.db2.gz UOOGBNGLAVLXMV-UHFFFAOYSA-N 1 2 313.401 1.207 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cncc(C)c2)[C@H](O)C1 ZINC001090460734 766083840 /nfs/dbraw/zinc/08/38/40/766083840.db2.gz UCKGRCCWIXONFA-LSDHHAIUSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cncc(C)c2)[C@H](O)C1 ZINC001090460734 766083842 /nfs/dbraw/zinc/08/38/42/766083842.db2.gz UCKGRCCWIXONFA-LSDHHAIUSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]2C[NH2+]Cc2cnsn2)C1 ZINC001045190664 766147016 /nfs/dbraw/zinc/14/70/16/766147016.db2.gz JEDOQVRFHBREGU-ZDUSSCGKSA-N 1 2 306.435 1.975 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001058189445 766297400 /nfs/dbraw/zinc/29/74/00/766297400.db2.gz IEDDSDQZDVPTRQ-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)c(C)c(C)[nH+]1 ZINC001058322696 766403766 /nfs/dbraw/zinc/40/37/66/766403766.db2.gz HZGQSPRWCOTARC-AWEZNQCLSA-N 1 2 324.388 1.610 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCN(c3cc[nH+]c(C)n3)C2)nc1 ZINC001058407843 766497991 /nfs/dbraw/zinc/49/79/91/766497991.db2.gz WNNMPZAXZRCNHA-AWEZNQCLSA-N 1 2 307.357 1.170 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(C(C)C)nn3)C[C@H]21 ZINC001114005787 766524017 /nfs/dbraw/zinc/52/40/17/766524017.db2.gz VDDYNZORMBRVMA-FOLVSLTJSA-N 1 2 303.410 1.372 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(C(C)C)nn3)C[C@H]21 ZINC001114005787 766524027 /nfs/dbraw/zinc/52/40/27/766524027.db2.gz VDDYNZORMBRVMA-FOLVSLTJSA-N 1 2 303.410 1.372 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)COC ZINC001114038597 766571021 /nfs/dbraw/zinc/57/10/21/766571021.db2.gz SMANBUCSWHTCNU-YJQGPUDQSA-N 1 2 317.227 1.224 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)COC ZINC001114038597 766571025 /nfs/dbraw/zinc/57/10/25/766571025.db2.gz SMANBUCSWHTCNU-YJQGPUDQSA-N 1 2 317.227 1.224 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccncc1C#N ZINC001067549940 766609655 /nfs/dbraw/zinc/60/96/55/766609655.db2.gz MRCWDIJFBKAQGS-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO N#CCN1CC[C@]2(C1)CCCCN(C(=O)Cc1c[nH+]c[nH]1)C2 ZINC001045969480 766749038 /nfs/dbraw/zinc/74/90/38/766749038.db2.gz RGTRLSGDMUYQIH-INIZCTEOSA-N 1 2 301.394 1.180 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1[C@H]2C[N@H+](C/C(Cl)=C/Cl)C[C@@H]12 ZINC001114194632 766756141 /nfs/dbraw/zinc/75/61/41/766756141.db2.gz SPDXFHBQWFWZEX-UNSPIEKUSA-N 1 2 319.232 1.679 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1[C@H]2C[N@@H+](C/C(Cl)=C/Cl)C[C@@H]12 ZINC001114194632 766756150 /nfs/dbraw/zinc/75/61/50/766756150.db2.gz SPDXFHBQWFWZEX-UNSPIEKUSA-N 1 2 319.232 1.679 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C3CCCCC3)CC2)C1 ZINC001045997987 766781184 /nfs/dbraw/zinc/78/11/84/766781184.db2.gz YHKVNYFLHMKPCX-INIZCTEOSA-N 1 2 304.438 1.309 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)(C)C ZINC001114255392 766825568 /nfs/dbraw/zinc/82/55/68/766825568.db2.gz HWKFYIRTKYJKBS-VIKVFOODSA-N 1 2 301.390 1.579 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)(C)C ZINC001114255392 766825578 /nfs/dbraw/zinc/82/55/78/766825578.db2.gz HWKFYIRTKYJKBS-VIKVFOODSA-N 1 2 301.390 1.579 20 30 DDEDLO C[C@H](C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)c1ccco1 ZINC001046060561 766871812 /nfs/dbraw/zinc/87/18/12/766871812.db2.gz BVASNXHLBHPQFT-LSDHHAIUSA-N 1 2 316.405 1.125 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCCN(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001068029112 766874810 /nfs/dbraw/zinc/87/48/10/766874810.db2.gz IXJBKFHDQPSSCR-UHFFFAOYSA-N 1 2 318.421 1.615 20 30 DDEDLO CC1(C)CN(c2ncccc2C#N)C[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001068115384 766926776 /nfs/dbraw/zinc/92/67/76/766926776.db2.gz IXXNEGUABMJWHP-CQSZACIVSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(=C)CC1 ZINC001121610516 782591699 /nfs/dbraw/zinc/59/16/99/782591699.db2.gz SQVYNPBAWLDOKW-ZIAGYGMSSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(=C)CC1 ZINC001121610516 782591707 /nfs/dbraw/zinc/59/17/07/782591707.db2.gz SQVYNPBAWLDOKW-ZIAGYGMSSA-N 1 2 303.410 1.358 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCOC2)C1 ZINC001046176797 767058243 /nfs/dbraw/zinc/05/82/43/767058243.db2.gz GGWCHCCMBPSNDU-DGCLKSJQSA-N 1 2 317.227 1.512 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCOC2)C1 ZINC001046176797 767058250 /nfs/dbraw/zinc/05/82/50/767058250.db2.gz GGWCHCCMBPSNDU-DGCLKSJQSA-N 1 2 317.227 1.512 20 30 DDEDLO Cn1ccc(C[N@@H+]2CC[C@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC001046252155 767300724 /nfs/dbraw/zinc/30/07/24/767300724.db2.gz ZPSQEZRIRHBGAU-INIZCTEOSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1ccc(C[N@H+]2CC[C@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC001046252155 767300728 /nfs/dbraw/zinc/30/07/28/767300728.db2.gz ZPSQEZRIRHBGAU-INIZCTEOSA-N 1 2 312.377 1.014 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001068514931 767391673 /nfs/dbraw/zinc/39/16/73/767391673.db2.gz PNDRBNFHQBLCEQ-AULYBMBSSA-N 1 2 310.361 1.226 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001046317496 767414933 /nfs/dbraw/zinc/41/49/33/767414933.db2.gz HFTWVRIOVASVON-WMLDXEAASA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001046317496 767414936 /nfs/dbraw/zinc/41/49/36/767414936.db2.gz HFTWVRIOVASVON-WMLDXEAASA-N 1 2 302.422 1.986 20 30 DDEDLO C#CCC[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001046319078 767417312 /nfs/dbraw/zinc/41/73/12/767417312.db2.gz PDQCQFZFFRACDK-PBHICJAKSA-N 1 2 300.406 1.434 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnc3[nH]cnc3c2)C1 ZINC001046350584 767453475 /nfs/dbraw/zinc/45/34/75/767453475.db2.gz IJHKWSIEYRLLKW-OAHLLOKOSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnc3[nH]cnc3c2)C1 ZINC001046350584 767453481 /nfs/dbraw/zinc/45/34/81/767453481.db2.gz IJHKWSIEYRLLKW-OAHLLOKOSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001046383746 767494902 /nfs/dbraw/zinc/49/49/02/767494902.db2.gz QNSSXGISIAHZRU-SGMGOOAPSA-N 1 2 302.802 1.123 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001046383746 767494905 /nfs/dbraw/zinc/49/49/05/767494905.db2.gz QNSSXGISIAHZRU-SGMGOOAPSA-N 1 2 302.802 1.123 20 30 DDEDLO C[C@@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068963667 767731056 /nfs/dbraw/zinc/73/10/56/767731056.db2.gz ZXAVZUGVMLUPEQ-BXUZGUMPSA-N 1 2 310.361 1.320 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cc(C(N)=O)co2)C1 ZINC001046616804 767742396 /nfs/dbraw/zinc/74/23/96/767742396.db2.gz WYNVSOHFFFTMKU-AWEZNQCLSA-N 1 2 311.769 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cc(C(N)=O)co2)C1 ZINC001046616804 767742400 /nfs/dbraw/zinc/74/24/00/767742400.db2.gz WYNVSOHFFFTMKU-AWEZNQCLSA-N 1 2 311.769 1.325 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2nocc2C)C1 ZINC001046629282 767753561 /nfs/dbraw/zinc/75/35/61/767753561.db2.gz BRBQOTRNPARUJA-UKPHBRMFSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2nocc2C)C1 ZINC001046629282 767753569 /nfs/dbraw/zinc/75/35/69/767753569.db2.gz BRBQOTRNPARUJA-UKPHBRMFSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2cscn2)C1 ZINC001046634182 767758762 /nfs/dbraw/zinc/75/87/62/767758762.db2.gz GJGIPWZDEFIRHI-IJEWVQPXSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2cscn2)C1 ZINC001046634182 767758769 /nfs/dbraw/zinc/75/87/69/767758769.db2.gz GJGIPWZDEFIRHI-IJEWVQPXSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+][C@H](C)c1nnc(C)o1 ZINC001131870781 768432397 /nfs/dbraw/zinc/43/23/97/768432397.db2.gz ZSCXRSOVPKYYDP-ABAIWWIYSA-N 1 2 310.398 1.374 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2cscn2)CC[C@H]1C ZINC001131895486 768477568 /nfs/dbraw/zinc/47/75/68/768477568.db2.gz JKVNUANVLCVCAZ-VXGBXAGGSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2cscn2)CC[C@H]1C ZINC001131895486 768477570 /nfs/dbraw/zinc/47/75/70/768477570.db2.gz JKVNUANVLCVCAZ-VXGBXAGGSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ncc(Cl)s2)C1 ZINC001047457729 768492843 /nfs/dbraw/zinc/49/28/43/768492843.db2.gz KZDHSXABRVQLMW-IUCAKERBSA-N 1 2 301.799 1.100 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ncc(Cl)s2)C1 ZINC001047457729 768492846 /nfs/dbraw/zinc/49/28/46/768492846.db2.gz KZDHSXABRVQLMW-IUCAKERBSA-N 1 2 301.799 1.100 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2ncc(C)o2)C1 ZINC001131947928 768507085 /nfs/dbraw/zinc/50/70/85/768507085.db2.gz ZLLLXEHJNKBEDX-TZMCWYRMSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2ncc(C)o2)C1 ZINC001131947928 768507088 /nfs/dbraw/zinc/50/70/88/768507088.db2.gz ZLLLXEHJNKBEDX-TZMCWYRMSA-N 1 2 307.394 1.655 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2nnc[nH]2)C3)c1 ZINC001096203962 768546101 /nfs/dbraw/zinc/54/61/01/768546101.db2.gz ZQMDNORBDQJTMY-ILXRZTDVSA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1cccc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2nnc[nH]2)C3)c1 ZINC001096203962 768546103 /nfs/dbraw/zinc/54/61/03/768546103.db2.gz ZQMDNORBDQJTMY-ILXRZTDVSA-N 1 2 322.372 1.212 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001047547692 768560228 /nfs/dbraw/zinc/56/02/28/768560228.db2.gz XKJZVTUSPLWJGN-GJZGRUSLSA-N 1 2 318.417 1.636 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001047547692 768560232 /nfs/dbraw/zinc/56/02/32/768560232.db2.gz XKJZVTUSPLWJGN-GJZGRUSLSA-N 1 2 318.417 1.636 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC(C)C)C1 ZINC001132020241 768577330 /nfs/dbraw/zinc/57/73/30/768577330.db2.gz DSVZVIFXKJTHCG-HZPDHXFCSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC(C)C)C1 ZINC001132020241 768577334 /nfs/dbraw/zinc/57/73/34/768577334.db2.gz DSVZVIFXKJTHCG-HZPDHXFCSA-N 1 2 321.465 1.387 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[N@@H+]1Cc1ccn(C)n1 ZINC001132034593 768580763 /nfs/dbraw/zinc/58/07/63/768580763.db2.gz SSXNDYTVDYXBSZ-HUUCEWRRSA-N 1 2 316.449 1.939 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[N@H+]1Cc1ccn(C)n1 ZINC001132034593 768580767 /nfs/dbraw/zinc/58/07/67/768580767.db2.gz SSXNDYTVDYXBSZ-HUUCEWRRSA-N 1 2 316.449 1.939 20 30 DDEDLO CCC(C)(C)CC(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132055113 768592708 /nfs/dbraw/zinc/59/27/08/768592708.db2.gz XLQTVTYNHUSPTL-KBPBESRZSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(C)(C)CC(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132055113 768592712 /nfs/dbraw/zinc/59/27/12/768592712.db2.gz XLQTVTYNHUSPTL-KBPBESRZSA-N 1 2 322.453 1.422 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scnc2Cl)C1 ZINC001047630659 768624895 /nfs/dbraw/zinc/62/48/95/768624895.db2.gz XDFAGCFPFWDAEH-IUCAKERBSA-N 1 2 301.799 1.100 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scnc2Cl)C1 ZINC001047630659 768624897 /nfs/dbraw/zinc/62/48/97/768624897.db2.gz XDFAGCFPFWDAEH-IUCAKERBSA-N 1 2 301.799 1.100 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132068835 768603956 /nfs/dbraw/zinc/60/39/56/768603956.db2.gz KMVLRPZQOPWPHR-KBPBESRZSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132068835 768603957 /nfs/dbraw/zinc/60/39/57/768603957.db2.gz KMVLRPZQOPWPHR-KBPBESRZSA-N 1 2 319.453 1.887 20 30 DDEDLO CCC[C@@H]1C[C@H]1C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070686906 768669845 /nfs/dbraw/zinc/66/98/45/768669845.db2.gz JBRKNWHRFXMTQR-KBUPBQIOSA-N 1 2 315.421 1.264 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+][C@H](C)c1nc(CC)no1 ZINC001132407639 768813142 /nfs/dbraw/zinc/81/31/42/768813142.db2.gz KEKGZZRNVIIWQW-CYBMUJFWSA-N 1 2 306.410 1.983 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2(C3CC3)CCC2)CC1 ZINC001070982643 768834859 /nfs/dbraw/zinc/83/48/59/768834859.db2.gz DQWNSAVUZWJYMR-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2(C3CC3)CCC2)CC1 ZINC001070982643 768834870 /nfs/dbraw/zinc/83/48/70/768834870.db2.gz DQWNSAVUZWJYMR-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C(=C)C)CC1 ZINC001070983742 768836745 /nfs/dbraw/zinc/83/67/45/768836745.db2.gz DAGKBJADZWZQLI-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C(=C)C)CC1 ZINC001070983742 768836751 /nfs/dbraw/zinc/83/67/51/768836751.db2.gz DAGKBJADZWZQLI-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2CCC(=C)CC2)CC1 ZINC001070995103 768855219 /nfs/dbraw/zinc/85/52/19/768855219.db2.gz RISFWKBMKOQLDL-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2CCC(=C)CC2)CC1 ZINC001070995103 768855228 /nfs/dbraw/zinc/85/52/28/768855228.db2.gz RISFWKBMKOQLDL-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)c2ccco2)CC1 ZINC001071000144 768862560 /nfs/dbraw/zinc/86/25/60/768862560.db2.gz PRNSRKSWQNVPPF-CQSZACIVSA-N 1 2 319.405 1.220 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)c2ccco2)CC1 ZINC001071000144 768862567 /nfs/dbraw/zinc/86/25/67/768862567.db2.gz PRNSRKSWQNVPPF-CQSZACIVSA-N 1 2 319.405 1.220 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071122703 768976211 /nfs/dbraw/zinc/97/62/11/768976211.db2.gz AVLXLZQJDVMLFR-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001071137794 769003358 /nfs/dbraw/zinc/00/33/58/769003358.db2.gz NLTDKPKTEUMMDQ-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)c1cc[nH]n1)C[C@H](C)O2 ZINC001071138786 769005935 /nfs/dbraw/zinc/00/59/35/769005935.db2.gz KNPIEOFYJMURJA-SWLSCSKDSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)c1cc[nH]n1)C[C@H](C)O2 ZINC001071138786 769005947 /nfs/dbraw/zinc/00/59/47/769005947.db2.gz KNPIEOFYJMURJA-SWLSCSKDSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@H](C)O2 ZINC001071138786 769005958 /nfs/dbraw/zinc/00/59/58/769005958.db2.gz KNPIEOFYJMURJA-SWLSCSKDSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@H](C)O2 ZINC001071138786 769005970 /nfs/dbraw/zinc/00/59/70/769005970.db2.gz KNPIEOFYJMURJA-SWLSCSKDSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001132671124 769082043 /nfs/dbraw/zinc/08/20/43/769082043.db2.gz JGUHMDQUZPEZPU-NSHDSACASA-N 1 2 312.439 1.745 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)CC#Cc3ccccc3)C2)cc[nH+]1 ZINC001096387793 769567491 /nfs/dbraw/zinc/56/74/91/769567491.db2.gz AXAXEAKNLMXFKA-QGZVFWFLSA-N 1 2 320.396 1.922 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2nc3cccnc3s2)CC[C@H]1C ZINC001071572374 769648162 /nfs/dbraw/zinc/64/81/62/769648162.db2.gz UYUUXAUQLYLSIS-VXGBXAGGSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2nc3cccnc3s2)CC[C@H]1C ZINC001071572374 769648165 /nfs/dbraw/zinc/64/81/65/769648165.db2.gz UYUUXAUQLYLSIS-VXGBXAGGSA-N 1 2 314.414 1.907 20 30 DDEDLO C[C@H](CC(=O)N[C@@H]1CCN(c2ncccc2C#N)C1)n1cc[nH+]c1 ZINC001096488758 770450756 /nfs/dbraw/zinc/45/07/56/770450756.db2.gz SGUIDBMODJQBTL-UKRRQHHQSA-N 1 2 324.388 1.496 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2cnc(COC)s2)CC[C@H]1C ZINC001072109558 770553983 /nfs/dbraw/zinc/55/39/83/770553983.db2.gz IZCPCYCFQPIXDN-VXGBXAGGSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2cnc(COC)s2)CC[C@H]1C ZINC001072109558 770553987 /nfs/dbraw/zinc/55/39/87/770553987.db2.gz IZCPCYCFQPIXDN-VXGBXAGGSA-N 1 2 307.419 1.505 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cnc(COC)s2)CC[C@H]1C ZINC001072112880 770557825 /nfs/dbraw/zinc/55/78/25/770557825.db2.gz IVTKFGUGLNXGRB-OLZOCXBDSA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cnc(COC)s2)CC[C@H]1C ZINC001072112880 770557829 /nfs/dbraw/zinc/55/78/29/770557829.db2.gz IVTKFGUGLNXGRB-OLZOCXBDSA-N 1 2 321.446 1.896 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)n1 ZINC001049373564 770752347 /nfs/dbraw/zinc/75/23/47/770752347.db2.gz VCXFZWWHVKXEKR-RWMBFGLXSA-N 1 2 317.393 1.357 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)n1 ZINC001049373564 770752354 /nfs/dbraw/zinc/75/23/54/770752354.db2.gz VCXFZWWHVKXEKR-RWMBFGLXSA-N 1 2 317.393 1.357 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)C#N ZINC001049383677 770764323 /nfs/dbraw/zinc/76/43/23/770764323.db2.gz STAFDPMKMZFIFE-ZLKJLUDKSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)C#N ZINC001049383677 770764328 /nfs/dbraw/zinc/76/43/28/770764328.db2.gz STAFDPMKMZFIFE-ZLKJLUDKSA-N 1 2 303.366 1.103 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+]Cc1ncc(CC)o1 ZINC001135145401 771350108 /nfs/dbraw/zinc/35/01/08/771350108.db2.gz HBAFSLAWSOHKDI-ZFWWWQNUSA-N 1 2 307.394 1.424 20 30 DDEDLO C[C@H](CCCCNCC#N)NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001170896700 771896489 /nfs/dbraw/zinc/89/64/89/771896489.db2.gz ZKUWWGCMAVLNNF-CQSZACIVSA-N 1 2 317.437 1.550 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)[nH]c2C)[C@@H](O)C1 ZINC001090597883 772026774 /nfs/dbraw/zinc/02/67/74/772026774.db2.gz VRKFQALIYKTXCT-KGLIPLIRSA-N 1 2 311.813 1.549 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)[nH]c2C)[C@@H](O)C1 ZINC001090597883 772026779 /nfs/dbraw/zinc/02/67/79/772026779.db2.gz VRKFQALIYKTXCT-KGLIPLIRSA-N 1 2 311.813 1.549 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)C(F)(F)C2(O)CCCCC2)CC1 ZINC001136862775 772177954 /nfs/dbraw/zinc/17/79/54/772177954.db2.gz ALSLWLIINSQKEB-UHFFFAOYSA-N 1 2 314.376 1.484 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)C(F)(F)C2(O)CCCCC2)CC1 ZINC001136862775 772177956 /nfs/dbraw/zinc/17/79/56/772177956.db2.gz ALSLWLIINSQKEB-UHFFFAOYSA-N 1 2 314.376 1.484 20 30 DDEDLO O=C(CCS)N1Cc2c[nH+]cn2C[C@@H](COCC2CC2)C1 ZINC001143979575 772367621 /nfs/dbraw/zinc/36/76/21/772367621.db2.gz YPFYLNZFQSSRNF-ZDUSSCGKSA-N 1 2 309.435 1.588 20 30 DDEDLO O=C(/C=C/C(=O)c1ccccc1)NCC1([NH+]2CCCC2)COC1 ZINC001144714122 772600947 /nfs/dbraw/zinc/60/09/47/772600947.db2.gz LEPNTOISQGIIRE-CMDGGOBGSA-N 1 2 314.385 1.407 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](CNC(=O)CSCC#N)C2)cc[nH+]1 ZINC001091325347 772690713 /nfs/dbraw/zinc/69/07/13/772690713.db2.gz NLOCPUUBEBQTSC-TXEJJXNPSA-N 1 2 305.407 1.349 20 30 DDEDLO Cc1nc(N[C@@H]2CC[C@H](CNC(=O)CSCC#N)C2)cc[nH+]1 ZINC001091552599 772842800 /nfs/dbraw/zinc/84/28/00/772842800.db2.gz SBRJPMXZVWKPSO-QWHCGFSZSA-N 1 2 319.434 1.739 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091608815 772997434 /nfs/dbraw/zinc/99/74/34/772997434.db2.gz PIXLERJWWUKZDJ-LSDHHAIUSA-N 1 2 316.405 1.522 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[NH2+]Cc1csnn1 ZINC001147290758 773102515 /nfs/dbraw/zinc/10/25/15/773102515.db2.gz SBNLIFWSAFBWFZ-UHFFFAOYSA-N 1 2 319.390 1.018 20 30 DDEDLO C=CC[N@@H+]1CCCO[C@@H](CNC(=O)c2n[nH]c3ccccc32)C1 ZINC001073878153 773495478 /nfs/dbraw/zinc/49/54/78/773495478.db2.gz HQEHVXHCDMGKLL-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCCO[C@@H](CNC(=O)c2n[nH]c3ccccc32)C1 ZINC001073878153 773495481 /nfs/dbraw/zinc/49/54/81/773495481.db2.gz HQEHVXHCDMGKLL-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(C)c3)C[C@@H]21 ZINC001074151751 773677795 /nfs/dbraw/zinc/67/77/95/773677795.db2.gz SOPHMJLOBSOXSR-ZWKOTPCHSA-N 1 2 312.413 1.934 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(C)c3)C[C@@H]21 ZINC001074151751 773677798 /nfs/dbraw/zinc/67/77/98/773677798.db2.gz SOPHMJLOBSOXSR-ZWKOTPCHSA-N 1 2 312.413 1.934 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C[C@@H]21 ZINC001074208636 773732515 /nfs/dbraw/zinc/73/25/15/773732515.db2.gz LEFGSOZLIQWXCB-HOTGVXAUSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C[C@@H]21 ZINC001074208636 773732518 /nfs/dbraw/zinc/73/25/18/773732518.db2.gz LEFGSOZLIQWXCB-HOTGVXAUSA-N 1 2 314.389 1.378 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccoc3CC)C[C@@H]21 ZINC001074229079 773753405 /nfs/dbraw/zinc/75/34/05/773753405.db2.gz JCVYSWCFISUIOA-DOTOQJQBSA-N 1 2 316.401 1.781 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccoc3CC)C[C@@H]21 ZINC001074229079 773753408 /nfs/dbraw/zinc/75/34/08/773753408.db2.gz JCVYSWCFISUIOA-DOTOQJQBSA-N 1 2 316.401 1.781 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCOC)[C@H]2C1 ZINC001074314267 773815859 /nfs/dbraw/zinc/81/58/59/773815859.db2.gz BVRTYBHUXYQAMX-LSDHHAIUSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCOC)[C@H]2C1 ZINC001074314267 773815864 /nfs/dbraw/zinc/81/58/64/773815864.db2.gz BVRTYBHUXYQAMX-LSDHHAIUSA-N 1 2 310.438 1.537 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C(C)C)CC3)C[C@@H]21 ZINC001074334425 773830294 /nfs/dbraw/zinc/83/02/94/773830294.db2.gz RLGRVRVQROALOR-HOTGVXAUSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C(C)C)CC3)C[C@@H]21 ZINC001074334425 773830299 /nfs/dbraw/zinc/83/02/99/773830299.db2.gz RLGRVRVQROALOR-HOTGVXAUSA-N 1 2 304.434 1.748 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3OCC[N@H+](CCCO)[C@H]3C2)C1 ZINC001074333865 773831047 /nfs/dbraw/zinc/83/10/47/773831047.db2.gz JHAHOGKMMHLPDL-GJZGRUSLSA-N 1 2 308.422 1.027 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCO)[C@H]3C2)C1 ZINC001074333865 773831051 /nfs/dbraw/zinc/83/10/51/773831051.db2.gz JHAHOGKMMHLPDL-GJZGRUSLSA-N 1 2 308.422 1.027 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cncc(C#C)c3)C[C@H]21 ZINC001074337141 773834940 /nfs/dbraw/zinc/83/49/40/773834940.db2.gz YXRYVBLLZADUCQ-QZTJIDSGSA-N 1 2 323.396 1.002 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cncc(C#C)c3)C[C@H]21 ZINC001074337141 773834943 /nfs/dbraw/zinc/83/49/43/773834943.db2.gz YXRYVBLLZADUCQ-QZTJIDSGSA-N 1 2 323.396 1.002 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3[nH]c(C)nc3C)C[C@H]21 ZINC001074341700 773838812 /nfs/dbraw/zinc/83/88/12/773838812.db2.gz WJFRVTWRMMNGSW-CABCVRRESA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3[nH]c(C)nc3C)C[C@H]21 ZINC001074341700 773838815 /nfs/dbraw/zinc/83/88/15/773838815.db2.gz WJFRVTWRMMNGSW-CABCVRRESA-N 1 2 318.421 1.518 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CF)CCC3)C[C@@H]21 ZINC001074342748 773839654 /nfs/dbraw/zinc/83/96/54/773839654.db2.gz AQGRGBYJDLEUJA-LSDHHAIUSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CF)CCC3)C[C@@H]21 ZINC001074342748 773839659 /nfs/dbraw/zinc/83/96/59/773839659.db2.gz AQGRGBYJDLEUJA-LSDHHAIUSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3csc(C)c3)C[C@H]21 ZINC001074357140 773852103 /nfs/dbraw/zinc/85/21/03/773852103.db2.gz VYIDRVGHTVHLBV-HZPDHXFCSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3csc(C)c3)C[C@H]21 ZINC001074357140 773852108 /nfs/dbraw/zinc/85/21/08/773852108.db2.gz VYIDRVGHTVHLBV-HZPDHXFCSA-N 1 2 318.442 1.995 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(=O)[nH]c3)C[C@H]21 ZINC001074397606 773891413 /nfs/dbraw/zinc/89/14/13/773891413.db2.gz KEYVYIWBZWCNEJ-HUUCEWRRSA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(=O)[nH]c3)C[C@H]21 ZINC001074397606 773891416 /nfs/dbraw/zinc/89/14/16/773891416.db2.gz KEYVYIWBZWCNEJ-HUUCEWRRSA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(=O)[nH]c3)C[C@@H]21 ZINC001074397605 773891532 /nfs/dbraw/zinc/89/15/32/773891532.db2.gz KEYVYIWBZWCNEJ-GJZGRUSLSA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(=O)[nH]c3)C[C@@H]21 ZINC001074397605 773891534 /nfs/dbraw/zinc/89/15/34/773891534.db2.gz KEYVYIWBZWCNEJ-GJZGRUSLSA-N 1 2 317.389 1.279 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCn2cc[nH+]c2)CCN1c1ccncc1C#N ZINC001092039006 773916336 /nfs/dbraw/zinc/91/63/36/773916336.db2.gz ZTIOCDWCLRKCAO-HIFRSBDPSA-N 1 2 324.388 1.323 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(CC3CC3)no2)[C@@H]1C ZINC001074567932 774000236 /nfs/dbraw/zinc/00/02/36/774000236.db2.gz CVFCLFIQANIYAZ-MDZLAQPJSA-N 1 2 317.393 1.261 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H]1C=CCC1)c1nccn12 ZINC001092348062 774067634 /nfs/dbraw/zinc/06/76/34/774067634.db2.gz NTHVFTCZVUVUAQ-GJZGRUSLSA-N 1 2 312.417 1.997 20 30 DDEDLO C[C@@H]1CN(c2ccncc2C#N)C[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001092381342 774094816 /nfs/dbraw/zinc/09/48/16/774094816.db2.gz GDSGRXVOWRPUGT-UKRRQHHQSA-N 1 2 324.388 1.038 20 30 DDEDLO C[C@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1ncccc1C#N ZINC001098303135 774292520 /nfs/dbraw/zinc/29/25/20/774292520.db2.gz PVIPSJLBPKYRSG-OLZOCXBDSA-N 1 2 312.377 1.718 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc(COC)s1)C2 ZINC001098503165 774596494 /nfs/dbraw/zinc/59/64/94/774596494.db2.gz CAAGZAQAYRBGEX-UTUOFQBUSA-N 1 2 305.403 1.258 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc(COC)s1)C2 ZINC001098503165 774596496 /nfs/dbraw/zinc/59/64/96/774596496.db2.gz CAAGZAQAYRBGEX-UTUOFQBUSA-N 1 2 305.403 1.258 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C3CC(F)(F)C3)CC2)C1 ZINC001093524611 774776313 /nfs/dbraw/zinc/77/63/13/774776313.db2.gz CBOXJFJCOLEFKK-UHFFFAOYSA-N 1 2 314.376 1.910 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CC[C@H](CC)O3)CC2)C1 ZINC001093540217 774809920 /nfs/dbraw/zinc/80/99/20/774809920.db2.gz FSMYLXLBTAFJQO-WMLDXEAASA-N 1 2 322.449 1.822 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2n[nH]c3ccccc32)C1 ZINC001099145924 774863654 /nfs/dbraw/zinc/86/36/54/774863654.db2.gz SAQPJKMNCIFEEM-UGSOOPFHSA-N 1 2 308.385 1.780 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2n[nH]c3ccccc32)C1 ZINC001099145924 774863661 /nfs/dbraw/zinc/86/36/61/774863661.db2.gz SAQPJKMNCIFEEM-UGSOOPFHSA-N 1 2 308.385 1.780 20 30 DDEDLO Cc1nsc(N[C@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001099404828 774981464 /nfs/dbraw/zinc/98/14/64/774981464.db2.gz JWYBTYLAWSUZEE-SECBINFHSA-N 1 2 318.406 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COC2CCCC2)[C@@H](O)C1 ZINC001099710445 775167800 /nfs/dbraw/zinc/16/78/00/775167800.db2.gz YBGDAOCRWALDGG-KBPBESRZSA-N 1 2 316.829 1.250 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COC2CCCC2)[C@@H](O)C1 ZINC001099710445 775167809 /nfs/dbraw/zinc/16/78/09/775167809.db2.gz YBGDAOCRWALDGG-KBPBESRZSA-N 1 2 316.829 1.250 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC(F)(F)F)[C@@H](O)C1 ZINC001099712091 775169565 /nfs/dbraw/zinc/16/95/65/775169565.db2.gz KPGFZVHZLZKGCO-BDAKNGLRSA-N 1 2 300.708 1.243 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC(F)(F)F)[C@@H](O)C1 ZINC001099712091 775169574 /nfs/dbraw/zinc/16/95/74/775169574.db2.gz KPGFZVHZLZKGCO-BDAKNGLRSA-N 1 2 300.708 1.243 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099726800 775191120 /nfs/dbraw/zinc/19/11/20/775191120.db2.gz JYKLCIAPZDHOEB-GJZGRUSLSA-N 1 2 307.369 1.238 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099726800 775191123 /nfs/dbraw/zinc/19/11/23/775191123.db2.gz JYKLCIAPZDHOEB-GJZGRUSLSA-N 1 2 307.369 1.238 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCC2CCC2)[C@@H](O)C1 ZINC001099774778 775264478 /nfs/dbraw/zinc/26/44/78/775264478.db2.gz DQBHSQSQZGZMTN-KBPBESRZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCC2CCC2)[C@@H](O)C1 ZINC001099774778 775264482 /nfs/dbraw/zinc/26/44/82/775264482.db2.gz DQBHSQSQZGZMTN-KBPBESRZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC2(COC)CC2)[C@H](O)C1 ZINC001099816388 775315475 /nfs/dbraw/zinc/31/54/75/775315475.db2.gz XHDNXNWFOGSSEZ-QWHCGFSZSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC2(COC)CC2)[C@H](O)C1 ZINC001099816388 775315484 /nfs/dbraw/zinc/31/54/84/775315484.db2.gz XHDNXNWFOGSSEZ-QWHCGFSZSA-N 1 2 316.829 1.107 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@H+](CC(=C)Cl)C[C@H]1O ZINC001099850088 775353162 /nfs/dbraw/zinc/35/31/62/775353162.db2.gz MJGVWWBNJNUZCP-CHWSQXEVSA-N 1 2 300.830 1.893 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@@H+](CC(=C)Cl)C[C@H]1O ZINC001099850088 775353172 /nfs/dbraw/zinc/35/31/72/775353172.db2.gz MJGVWWBNJNUZCP-CHWSQXEVSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094031112 775357254 /nfs/dbraw/zinc/35/72/54/775357254.db2.gz KVFYZWSILJEVKT-UHFFFAOYSA-N 1 2 317.437 1.595 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094031112 775357265 /nfs/dbraw/zinc/35/72/65/775357265.db2.gz KVFYZWSILJEVKT-UHFFFAOYSA-N 1 2 317.437 1.595 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099959572 775481961 /nfs/dbraw/zinc/48/19/61/775481961.db2.gz HVHPEJIMMLOCLK-DZGCQCFKSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099959572 775481969 /nfs/dbraw/zinc/48/19/69/775481969.db2.gz HVHPEJIMMLOCLK-DZGCQCFKSA-N 1 2 321.421 1.555 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C2CC(CNC(=O)C#CC3CC3)C2)no1 ZINC001100314866 775985460 /nfs/dbraw/zinc/98/54/60/775985460.db2.gz HUSZWSGVOOCFOS-FNBIGBAISA-N 1 2 302.378 1.337 20 30 DDEDLO CCN(CCNC(=O)Cc1[nH]c[nH+]c1C)c1ccc(C#N)nc1 ZINC001100577733 776321613 /nfs/dbraw/zinc/32/16/13/776321613.db2.gz NGWRPJDYKNSKKR-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO CCOC(=O)C1(C)CCC([NH2+][C@@H](CS)C(=O)OC)CC1 ZINC001171935580 776536694 /nfs/dbraw/zinc/53/66/94/776536694.db2.gz CYUGRZKRZNPKGY-CVZZAPKMSA-N 1 2 303.424 1.559 20 30 DDEDLO Cc1cc(N2CCC[C@H]2CNC(=O)Cn2cc[nH+]c2)c(C#N)cn1 ZINC001100843884 776624160 /nfs/dbraw/zinc/62/41/60/776624160.db2.gz RWMHFRNGNQVBHB-HNNXBMFYSA-N 1 2 324.388 1.243 20 30 DDEDLO N#Cc1ccc(N(CCNC(=O)CCc2[nH]cc[nH+]2)C2CC2)cn1 ZINC001101332227 777078171 /nfs/dbraw/zinc/07/81/71/777078171.db2.gz TUHZIFHZNKHNIP-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cnccc1N(CCNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001101452614 777149261 /nfs/dbraw/zinc/14/92/61/777149261.db2.gz WDTPVYPMSXQWMJ-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO CCOC(=O)[C@@H]1CC12CCC([NH2+][C@@H](CS)C(=O)OC)CC2 ZINC001173604265 777275794 /nfs/dbraw/zinc/27/57/94/777275794.db2.gz PLATZEQNHPFUNC-WVIDZAQPSA-N 1 2 315.435 1.559 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001101848916 777600778 /nfs/dbraw/zinc/60/07/78/777600778.db2.gz NZWUZYYLBZWKLA-AWEZNQCLSA-N 1 2 324.388 1.283 20 30 DDEDLO Cc1[nH]c(NC(=O)c2cc3n(n2)CCC[N@@H+](C)C3)c(C#N)c1C ZINC001175036174 777668572 /nfs/dbraw/zinc/66/85/72/777668572.db2.gz OYSNWHDLUYAPRS-UHFFFAOYSA-N 1 2 312.377 1.788 20 30 DDEDLO Cc1[nH]c(NC(=O)c2cc3n(n2)CCC[N@H+](C)C3)c(C#N)c1C ZINC001175036174 777668575 /nfs/dbraw/zinc/66/85/75/777668575.db2.gz OYSNWHDLUYAPRS-UHFFFAOYSA-N 1 2 312.377 1.788 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001176923975 778312635 /nfs/dbraw/zinc/31/26/35/778312635.db2.gz IOCTTZFCKIWYOG-HZPDHXFCSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001176923975 778312639 /nfs/dbraw/zinc/31/26/39/778312639.db2.gz IOCTTZFCKIWYOG-HZPDHXFCSA-N 1 2 318.421 1.600 20 30 DDEDLO N#Cc1cnc(NC(=O)[C@H]2CCCN(c3cccc[nH+]3)C2)cn1 ZINC001176959483 778349843 /nfs/dbraw/zinc/34/98/43/778349843.db2.gz YKSMLDUABSYOEW-LBPRGKRZSA-N 1 2 308.345 1.598 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCOCC=C)C[C@H]21 ZINC001176976154 778362210 /nfs/dbraw/zinc/36/22/10/778362210.db2.gz GPOHRFZBGGBHIV-IAGOWNOFSA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCOCC=C)C[C@H]21 ZINC001176976154 778362216 /nfs/dbraw/zinc/36/22/16/778362216.db2.gz GPOHRFZBGGBHIV-IAGOWNOFSA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCCOC)C[C@@H]21 ZINC001176943211 778371714 /nfs/dbraw/zinc/37/17/14/778371714.db2.gz GUHUAGSDTSFHSJ-JKSUJKDBSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCCOC)C[C@@H]21 ZINC001176943211 778371718 /nfs/dbraw/zinc/37/17/18/778371718.db2.gz GUHUAGSDTSFHSJ-JKSUJKDBSA-N 1 2 308.422 1.128 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(CC)CC3)C[C@@H]21 ZINC001177095972 778445031 /nfs/dbraw/zinc/44/50/31/778445031.db2.gz TVPRVALCOOQXOT-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CC)CC3)C[C@@H]21 ZINC001177095972 778445033 /nfs/dbraw/zinc/44/50/33/778445033.db2.gz TVPRVALCOOQXOT-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCC[NH2+]Cc1nnsc1Cl ZINC001177269568 778524883 /nfs/dbraw/zinc/52/48/83/778524883.db2.gz REAPLWASPIKDHA-VIFPVBQESA-N 1 2 318.830 1.379 20 30 DDEDLO CC[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001103364677 778821886 /nfs/dbraw/zinc/82/18/86/778821886.db2.gz ROCIOVTWKHYAET-BFHYXJOUSA-N 1 2 324.388 1.787 20 30 DDEDLO CC[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001103364677 778821891 /nfs/dbraw/zinc/82/18/91/778821891.db2.gz ROCIOVTWKHYAET-BFHYXJOUSA-N 1 2 324.388 1.787 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001103801940 779056805 /nfs/dbraw/zinc/05/68/05/779056805.db2.gz GIXQPBRASKIXJH-CJNGLKHVSA-N 1 2 304.394 1.515 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([NH2+]Cc2nnc(C(C)C)o2)[C@@H]1C ZINC001178645707 779122566 /nfs/dbraw/zinc/12/25/66/779122566.db2.gz PAMSCWJJXHGNPG-KBPBESRZSA-N 1 2 318.421 1.931 20 30 DDEDLO COCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccc(F)cc1 ZINC001110223139 779149622 /nfs/dbraw/zinc/14/96/22/779149622.db2.gz CKMAEASENJJGEK-ZACQAIPSSA-N 1 2 316.376 1.545 20 30 DDEDLO COCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccc(F)cc1 ZINC001110223139 779149625 /nfs/dbraw/zinc/14/96/25/779149625.db2.gz CKMAEASENJJGEK-ZACQAIPSSA-N 1 2 316.376 1.545 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104176749 779353195 /nfs/dbraw/zinc/35/31/95/779353195.db2.gz BZXBYWRZEUHVNO-NSHDSACASA-N 1 2 316.409 1.740 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+]([C@H](C)c1nnnn1C)C2 ZINC001111650558 779417631 /nfs/dbraw/zinc/41/76/31/779417631.db2.gz WVPDMSIJJDAVME-WWGRRREGSA-N 1 2 318.425 1.208 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+]([C@H](C)c1nnnn1C)C2 ZINC001111650558 779417634 /nfs/dbraw/zinc/41/76/34/779417634.db2.gz WVPDMSIJJDAVME-WWGRRREGSA-N 1 2 318.425 1.208 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)[C@@H]1C ZINC001180268472 779686231 /nfs/dbraw/zinc/68/62/31/779686231.db2.gz BXKMRQJPNRRBMD-XQQFMLRXSA-N 1 2 322.409 1.302 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H](C)[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001112320129 779714965 /nfs/dbraw/zinc/71/49/65/779714965.db2.gz NSAFNBXZPNVZDD-OCCSQVGLSA-N 1 2 304.394 1.637 20 30 DDEDLO C[C@H](CN(C)C(=O)Cn1cc[nH+]c1)Nc1ncc(C#N)cc1F ZINC001115766342 780413116 /nfs/dbraw/zinc/41/31/16/780413116.db2.gz FCCHLBYRRXKFJB-LLVKDONJSA-N 1 2 316.340 1.248 20 30 DDEDLO C[C@H](CN(C)C(=O)Cc1c[nH+]cn1C)Nc1ncccc1C#N ZINC001115779680 780424770 /nfs/dbraw/zinc/42/47/70/780424770.db2.gz ROQNMRMENGFOFY-GFCCVEGCSA-N 1 2 312.377 1.188 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC001117348339 780731081 /nfs/dbraw/zinc/73/10/81/780731081.db2.gz FTZNGHWAOGQYBC-KKUMJFAQSA-N 1 2 318.421 1.764 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCNC(=O)[C@@H]2C[C@@H]2C)c1 ZINC001267183501 837487383 /nfs/dbraw/zinc/48/73/83/837487383.db2.gz RYPYMHOVJPCAAE-XJKSGUPXSA-N 1 2 313.401 1.310 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCNC(=O)[C@@H]2C[C@@H]2C)c1 ZINC001267183501 837487391 /nfs/dbraw/zinc/48/73/91/837487391.db2.gz RYPYMHOVJPCAAE-XJKSGUPXSA-N 1 2 313.401 1.310 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC)C1)c1ccccc1 ZINC001266252758 835989471 /nfs/dbraw/zinc/98/94/71/835989471.db2.gz ZNAXWWPJTXGXDN-CVEARBPZSA-N 1 2 315.417 1.283 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1CCC[N@H+](CC(=O)NC)C1)c1ccccc1 ZINC001266252758 835989479 /nfs/dbraw/zinc/98/94/79/835989479.db2.gz ZNAXWWPJTXGXDN-CVEARBPZSA-N 1 2 315.417 1.283 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC1CC1 ZINC001266481326 836329645 /nfs/dbraw/zinc/32/96/45/836329645.db2.gz OURQRGGTUCYDJN-BMFZPTHFSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC1CC1 ZINC001266481326 836329651 /nfs/dbraw/zinc/32/96/51/836329651.db2.gz OURQRGGTUCYDJN-BMFZPTHFSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+](Cc2nc(COC)no2)C1 ZINC001266526372 836415971 /nfs/dbraw/zinc/41/59/71/836415971.db2.gz UPRLNGZWKRBMMA-LBPRGKRZSA-N 1 2 322.409 1.366 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+](Cc2nc(COC)no2)C1 ZINC001266526372 836415977 /nfs/dbraw/zinc/41/59/77/836415977.db2.gz UPRLNGZWKRBMMA-LBPRGKRZSA-N 1 2 322.409 1.366 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](N(CCC)C(=O)[C@@H](F)CC)C1 ZINC001267547312 838284380 /nfs/dbraw/zinc/28/43/80/838284380.db2.gz WMYHKQANMGOPGH-KGLIPLIRSA-N 1 2 313.417 1.350 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](N(CCC)C(=O)[C@@H](F)CC)C1 ZINC001267547312 838284387 /nfs/dbraw/zinc/28/43/87/838284387.db2.gz WMYHKQANMGOPGH-KGLIPLIRSA-N 1 2 313.417 1.350 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001267586851 838373141 /nfs/dbraw/zinc/37/31/41/838373141.db2.gz AUSCHNRBWRFTGD-HNNXBMFYSA-N 1 2 317.437 1.289 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001267586851 838373148 /nfs/dbraw/zinc/37/31/48/838373148.db2.gz AUSCHNRBWRFTGD-HNNXBMFYSA-N 1 2 317.437 1.289 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1C[NH+](Cc2cccc(N(C)C)c2)C1 ZINC001267644819 838564676 /nfs/dbraw/zinc/56/46/76/838564676.db2.gz WYRBJDRIMUTELQ-SFHVURJKSA-N 1 2 317.433 1.380 20 30 DDEDLO CC(C)C#CC(=O)NCC1C[NH+](Cc2ccccc2[N+](=O)[O-])C1 ZINC001267679763 838634170 /nfs/dbraw/zinc/63/41/70/838634170.db2.gz AXORSYJDEZTYQX-UHFFFAOYSA-N 1 2 315.373 1.802 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC(CNC(=O)C[C@H]2CCCC[C@@H]2C)C1 ZINC001267700411 838676336 /nfs/dbraw/zinc/67/63/36/838676336.db2.gz CINANSAUCWVWDG-GOEBONIOSA-N 1 2 319.449 1.000 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)sc1C ZINC001267709832 838693459 /nfs/dbraw/zinc/69/34/59/838693459.db2.gz DAIFVDBLVAOWBS-GJZGRUSLSA-N 1 2 318.442 1.913 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)sc1C ZINC001267709832 838693465 /nfs/dbraw/zinc/69/34/65/838693465.db2.gz DAIFVDBLVAOWBS-GJZGRUSLSA-N 1 2 318.442 1.913 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001267727442 838758287 /nfs/dbraw/zinc/75/82/87/838758287.db2.gz OKGGUSFWSABWFO-MNOVXSKESA-N 1 2 321.343 1.068 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001267727442 838758293 /nfs/dbraw/zinc/75/82/93/838758293.db2.gz OKGGUSFWSABWFO-MNOVXSKESA-N 1 2 321.343 1.068 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(=O)c(OC)co2)C1 ZINC001267737895 838795960 /nfs/dbraw/zinc/79/59/60/838795960.db2.gz HQLXHGYULINVSO-GFCCVEGCSA-N 1 2 306.362 1.305 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(=O)c(OC)co2)C1 ZINC001267737895 838795964 /nfs/dbraw/zinc/79/59/64/838795964.db2.gz HQLXHGYULINVSO-GFCCVEGCSA-N 1 2 306.362 1.305 20 30 DDEDLO C#CCCCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001267765318 838904908 /nfs/dbraw/zinc/90/49/08/838904908.db2.gz XAMGRGNEPSUKQC-QGZVFWFLSA-N 1 2 316.449 1.955 20 30 DDEDLO C#CCCCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001267765318 838904910 /nfs/dbraw/zinc/90/49/10/838904910.db2.gz XAMGRGNEPSUKQC-QGZVFWFLSA-N 1 2 316.449 1.955 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001267767115 838912899 /nfs/dbraw/zinc/91/28/99/838912899.db2.gz DPMVPOUKQSYGQV-AWEZNQCLSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001267767115 838912906 /nfs/dbraw/zinc/91/29/06/838912906.db2.gz DPMVPOUKQSYGQV-AWEZNQCLSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](CC)[NH2+]Cc1csnn1 ZINC001267820116 839028838 /nfs/dbraw/zinc/02/88/38/839028838.db2.gz MMIDZQOUVNEDGA-RTXFEEFZSA-N 1 2 310.423 1.114 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)Cc2ccc(C)nc2)C1 ZINC001268029446 839445211 /nfs/dbraw/zinc/44/52/11/839445211.db2.gz VEDIWINCGOGHLW-MRXNPFEDSA-N 1 2 303.406 1.326 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)Cc2ccc(C)nc2)C1 ZINC001268029446 839445216 /nfs/dbraw/zinc/44/52/16/839445216.db2.gz VEDIWINCGOGHLW-MRXNPFEDSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CCCC(=O)NC1C[NH+](CCc2ccc3c(c2)OCCO3)C1 ZINC001268256712 839903991 /nfs/dbraw/zinc/90/39/91/839903991.db2.gz GLKIPKMQVMWNGX-UHFFFAOYSA-N 1 2 316.401 1.767 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1C[NH+](CC[C@H]2CC2(Cl)Cl)C1 ZINC001268295080 839959233 /nfs/dbraw/zinc/95/92/33/839959233.db2.gz CXWWLPQEQGTQFS-GXFFZTMASA-N 1 2 321.248 1.698 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1C[NH+](CCCN2CCCC2=O)C1 ZINC001268310301 839980853 /nfs/dbraw/zinc/98/08/53/839980853.db2.gz GENXOGGHCVIKKI-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC/C=C/C[NH2+]Cc1ncc(C)o1 ZINC001268593203 840514108 /nfs/dbraw/zinc/51/41/08/840514108.db2.gz RQKXJVVMMGEUKV-WEHDMVHDSA-N 1 2 305.378 1.336 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C[NH2+]Cc2cnsn2)cc1 ZINC001268657111 840640849 /nfs/dbraw/zinc/64/08/49/840640849.db2.gz JCJSTJLPPDRFEY-LLVKDONJSA-N 1 2 300.387 1.428 20 30 DDEDLO C#CCCCC(=O)NCC1C[NH+](Cc2cnc(OC)nc2C)C1 ZINC001268823425 840869938 /nfs/dbraw/zinc/86/99/38/840869938.db2.gz VHYUQHZPTRMARE-UHFFFAOYSA-N 1 2 316.405 1.145 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc(C)c1 ZINC001268947392 841049315 /nfs/dbraw/zinc/04/93/15/841049315.db2.gz NIVRGEYGVBRQPR-IRXDYDNUSA-N 1 2 313.401 1.247 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc(C)c1 ZINC001268947392 841049333 /nfs/dbraw/zinc/04/93/33/841049333.db2.gz NIVRGEYGVBRQPR-IRXDYDNUSA-N 1 2 313.401 1.247 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@H+](Cc2cn(C)nc2C(F)F)C1 ZINC001269023220 841119570 /nfs/dbraw/zinc/11/95/70/841119570.db2.gz PPNBMINDMUHWCB-ZDUSSCGKSA-N 1 2 324.375 1.708 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@@H+](Cc2cn(C)nc2C(F)F)C1 ZINC001269023220 841119574 /nfs/dbraw/zinc/11/95/74/841119574.db2.gz PPNBMINDMUHWCB-ZDUSSCGKSA-N 1 2 324.375 1.708 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CC[N@H+](Cc2csc(C)n2)C1 ZINC001269221109 841365412 /nfs/dbraw/zinc/36/54/12/841365412.db2.gz GTJNCPYEQMNYPE-CQSZACIVSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2csc(C)n2)C1 ZINC001269221109 841365425 /nfs/dbraw/zinc/36/54/25/841365425.db2.gz GTJNCPYEQMNYPE-CQSZACIVSA-N 1 2 309.435 1.687 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)C#CC2CC2)cc1C#N ZINC001269228853 841382355 /nfs/dbraw/zinc/38/23/55/841382355.db2.gz BFRNOMDMCBDRTD-KRWDZBQOSA-N 1 2 323.396 1.671 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2CNC(=O)C#CC2CC2)cc1C#N ZINC001269228853 841382365 /nfs/dbraw/zinc/38/23/65/841382365.db2.gz BFRNOMDMCBDRTD-KRWDZBQOSA-N 1 2 323.396 1.671 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001269235330 841394891 /nfs/dbraw/zinc/39/48/91/841394891.db2.gz JVIYIUGBXRTJOR-HIFRSBDPSA-N 1 2 304.365 1.807 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001269235330 841394903 /nfs/dbraw/zinc/39/49/03/841394903.db2.gz JVIYIUGBXRTJOR-HIFRSBDPSA-N 1 2 304.365 1.807 20 30 DDEDLO CCCn1ncnc1C[NH2+]C1(CNC(=O)C#CC(C)C)CC1 ZINC001269407877 841615245 /nfs/dbraw/zinc/61/52/45/841615245.db2.gz RQMUCZXVGXFAMP-UHFFFAOYSA-N 1 2 303.410 1.086 20 30 DDEDLO C[C@H]([NH2+]C1(CNC(=O)C#CC(C)(C)C)CC1)c1csnn1 ZINC001269411311 841616465 /nfs/dbraw/zinc/61/64/65/841616465.db2.gz BRSHMULJISBSFD-NSHDSACASA-N 1 2 306.435 1.887 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2([NH2+]Cc3nnnn3CCC)CC2)C1 ZINC001269489115 841688201 /nfs/dbraw/zinc/68/82/01/841688201.db2.gz HLXBLBONHDHPFA-UHFFFAOYSA-N 1 2 318.425 1.178 20 30 DDEDLO C=CCOCC(=O)N(C)C1C[NH+](C[C@H](O)c2ccc(F)cc2)C1 ZINC001269764359 842023279 /nfs/dbraw/zinc/02/32/79/842023279.db2.gz UAPQATOZMHDOOQ-INIZCTEOSA-N 1 2 322.380 1.204 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H](C)NC(=O)c2cccs2)C1 ZINC001269825917 842082838 /nfs/dbraw/zinc/08/28/38/842082838.db2.gz NSDCEFAALMTFQJ-NSHDSACASA-N 1 2 307.419 1.195 20 30 DDEDLO N#CCNCCC1CCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)CC1 ZINC001269877628 842124328 /nfs/dbraw/zinc/12/43/28/842124328.db2.gz QNXYHVZFXYLYDJ-HNNXBMFYSA-N 1 2 315.421 1.187 20 30 DDEDLO CCC(CC)[C@H](C)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001270520108 842638435 /nfs/dbraw/zinc/63/84/35/842638435.db2.gz KKAWVTWUEJYMPL-DOTOQJQBSA-N 1 2 320.481 1.801 20 30 DDEDLO CC#CC(=O)N1C[C@H]2C[C@@]2(C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001271088698 843196457 /nfs/dbraw/zinc/19/64/57/843196457.db2.gz MEHWNQSWBPYNAY-SJKOYZFVSA-N 1 2 308.341 1.145 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@H](C)C[NH2+]Cc1nc(N(C)C)no1 ZINC001271094112 843208385 /nfs/dbraw/zinc/20/83/85/843208385.db2.gz USQFJYUILNHQCZ-MLGOLLRUSA-N 1 2 323.441 1.674 20 30 DDEDLO C#CCCCC(=O)NCC1(O)C[NH+](CCc2cccs2)C1 ZINC001271275977 843462241 /nfs/dbraw/zinc/46/22/41/843462241.db2.gz HMFWDBQVEKGRRB-UHFFFAOYSA-N 1 2 306.431 1.257 20 30 DDEDLO CCc1noc(C[NH2+][C@H](C)[C@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001409330078 844910144 /nfs/dbraw/zinc/91/01/44/844910144.db2.gz FUKINDSEJNCUDX-ZJUUUORDSA-N 1 2 316.365 1.128 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](CC)OC)C1 ZINC001149350372 861557212 /nfs/dbraw/zinc/55/72/12/861557212.db2.gz YQIAHQLOEJAUFK-QWHCGFSZSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](CC)OC)C1 ZINC001149350372 861557220 /nfs/dbraw/zinc/55/72/20/861557220.db2.gz YQIAHQLOEJAUFK-QWHCGFSZSA-N 1 2 304.818 1.371 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)[C@H](C)c1nnc(C)s1 ZINC001235671068 846447738 /nfs/dbraw/zinc/44/77/38/846447738.db2.gz ZQKHDGWVFYCTFG-LLVKDONJSA-N 1 2 312.439 1.548 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)[C@H](C)c1nnc(C)s1 ZINC001235671068 846447745 /nfs/dbraw/zinc/44/77/45/846447745.db2.gz ZQKHDGWVFYCTFG-LLVKDONJSA-N 1 2 312.439 1.548 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001409914268 846591050 /nfs/dbraw/zinc/59/10/50/846591050.db2.gz LPUVUBWYGNRKAK-GXFFZTMASA-N 1 2 301.818 1.090 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)[C@H](OC)C1CCC1 ZINC001272876790 847578504 /nfs/dbraw/zinc/57/85/04/847578504.db2.gz LIRKHWSAXHUHDL-HZPDHXFCSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H](OC)C1CCC1 ZINC001272876790 847578507 /nfs/dbraw/zinc/57/85/07/847578507.db2.gz LIRKHWSAXHUHDL-HZPDHXFCSA-N 1 2 310.438 1.585 20 30 DDEDLO Cc1ccc(C[NH+]2CC3(C2)COCC(=O)N3CCCC#N)cc1 ZINC001272972003 847724490 /nfs/dbraw/zinc/72/44/90/847724490.db2.gz NYDKQXNTKZGGRA-UHFFFAOYSA-N 1 2 313.401 1.712 20 30 DDEDLO C=CCOCCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H](C)CC)C2)CC1 ZINC001327082743 861864445 /nfs/dbraw/zinc/86/44/45/861864445.db2.gz NWQZDJSBVWJXCW-IRXDYDNUSA-N 1 2 323.481 1.454 20 30 DDEDLO C=CC[N@@H+]1CCCC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001034211767 848119007 /nfs/dbraw/zinc/11/90/07/848119007.db2.gz OEUZDDNAMXVYFR-KGLIPLIRSA-N 1 2 302.422 1.986 20 30 DDEDLO C=CC[N@H+]1CCCC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001034211767 848119012 /nfs/dbraw/zinc/11/90/12/848119012.db2.gz OEUZDDNAMXVYFR-KGLIPLIRSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2cncc(OC)n2)C1 ZINC001034432901 848519578 /nfs/dbraw/zinc/51/95/78/848519578.db2.gz ZYBFQYFGECLWHD-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2cncc(OC)n2)C1 ZINC001034432901 848519583 /nfs/dbraw/zinc/51/95/83/848519583.db2.gz ZYBFQYFGECLWHD-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(COC)s1)C2 ZINC001273224016 848819356 /nfs/dbraw/zinc/81/93/56/848819356.db2.gz RYRKVRKRVDLBEF-UHFFFAOYSA-N 1 2 322.430 1.494 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cccc3nccnc13)C2 ZINC001273314373 849407553 /nfs/dbraw/zinc/40/75/53/849407553.db2.gz XMZKVHVDEYFUCS-UHFFFAOYSA-N 1 2 324.384 1.229 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@@H](O)CN(C)C(=O)[C@@H](C)CC ZINC001411156969 850296723 /nfs/dbraw/zinc/29/67/23/850296723.db2.gz SATRDKZQMLOWBM-CMPLNLGQSA-N 1 2 321.259 1.692 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@@H](O)CN(C)C(=O)[C@@H](C)CC ZINC001411156969 850296734 /nfs/dbraw/zinc/29/67/34/850296734.db2.gz SATRDKZQMLOWBM-CMPLNLGQSA-N 1 2 321.259 1.692 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)CC[C@@H]1CCOC1)O2 ZINC001273655983 851191126 /nfs/dbraw/zinc/19/11/26/851191126.db2.gz SEGGCSIDZBZHRX-CABCVRRESA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CCC2(C[NH+](CCOC)C2)O1 ZINC001273668857 851205244 /nfs/dbraw/zinc/20/52/44/851205244.db2.gz IGPSAXNYXFWQOV-CQSZACIVSA-N 1 2 310.438 1.585 20 30 DDEDLO C#CCNC(=O)[C@@H]1CC12CCN(Cc1[nH+]cn3ccccc13)CC2 ZINC001273674725 851211696 /nfs/dbraw/zinc/21/16/96/851211696.db2.gz YAXLVEGYYQCYMK-HNNXBMFYSA-N 1 2 322.412 1.686 20 30 DDEDLO N#CCCCN1C(=O)COCC12C[NH+](CC1OC=CC1=S)C2 ZINC001274178144 852008556 /nfs/dbraw/zinc/00/85/56/852008556.db2.gz RUBJTSZBECAEIB-UHFFFAOYSA-N 1 2 321.402 1.285 20 30 DDEDLO Cc1coc(C[N@@H+]2CC[C@@]3(C2)CN(CCCC#N)C(=O)CO3)n1 ZINC001274372582 852208338 /nfs/dbraw/zinc/20/83/38/852208338.db2.gz PVLPTQAHDUMHDB-MRXNPFEDSA-N 1 2 318.377 1.090 20 30 DDEDLO Cc1coc(C[N@H+]2CC[C@@]3(C2)CN(CCCC#N)C(=O)CO3)n1 ZINC001274372582 852208350 /nfs/dbraw/zinc/20/83/50/852208350.db2.gz PVLPTQAHDUMHDB-MRXNPFEDSA-N 1 2 318.377 1.090 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1ncsc1C)C2 ZINC001274506247 852340354 /nfs/dbraw/zinc/34/03/54/852340354.db2.gz KCLWBONVVYUTDP-INIZCTEOSA-N 1 2 319.430 1.136 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1ncsc1C)C2 ZINC001274506247 852340367 /nfs/dbraw/zinc/34/03/67/852340367.db2.gz KCLWBONVVYUTDP-INIZCTEOSA-N 1 2 319.430 1.136 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)CCCC3CC3)C2)CC1 ZINC001280692060 852405358 /nfs/dbraw/zinc/40/53/58/852405358.db2.gz NICVSPCZEUANST-SFHVURJKSA-N 1 2 317.477 1.809 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1C[C@]2(F)CN(CCCC)C(=O)[C@]2(F)C1 ZINC001274615246 852444721 /nfs/dbraw/zinc/44/47/21/852444721.db2.gz RCRRWCIJXHESHN-QLFBSQMISA-N 1 2 302.365 1.298 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1C[C@]2(F)CN(CCCC)C(=O)[C@]2(F)C1 ZINC001274615246 852444727 /nfs/dbraw/zinc/44/47/27/852444727.db2.gz RCRRWCIJXHESHN-QLFBSQMISA-N 1 2 302.365 1.298 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1C[C@]2(F)CN(CCCC)C(=O)[C@]2(F)C1 ZINC001274620091 852455068 /nfs/dbraw/zinc/45/50/68/852455068.db2.gz GUTOXICUNPIFDN-VNQPRFMTSA-N 1 2 316.392 1.688 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1C[C@]2(F)CN(CCCC)C(=O)[C@]2(F)C1 ZINC001274620091 852455073 /nfs/dbraw/zinc/45/50/73/852455073.db2.gz GUTOXICUNPIFDN-VNQPRFMTSA-N 1 2 316.392 1.688 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@@H+](Cc2nc(COC)no2)CC1 ZINC001280708507 852509578 /nfs/dbraw/zinc/50/95/78/852509578.db2.gz KNQGTYDWWIQZSB-ZDUSSCGKSA-N 1 2 322.409 1.653 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@H+](Cc2nc(COC)no2)CC1 ZINC001280708507 852509583 /nfs/dbraw/zinc/50/95/83/852509583.db2.gz KNQGTYDWWIQZSB-ZDUSSCGKSA-N 1 2 322.409 1.653 20 30 DDEDLO N#CCCCN1C[C@@]2(F)CN(c3cccc[nH+]3)C[C@@]2(F)C1=O ZINC001274739298 852566925 /nfs/dbraw/zinc/56/69/25/852566925.db2.gz FGMIDIZEAJRQHI-HUUCEWRRSA-N 1 2 306.316 1.464 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1ncc(CC)o1 ZINC001275094851 852801108 /nfs/dbraw/zinc/80/11/08/852801108.db2.gz UGRVXNDZDWLLRX-CQSZACIVSA-N 1 2 321.421 1.862 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1ncc(CC)o1 ZINC001275094851 852801114 /nfs/dbraw/zinc/80/11/14/852801114.db2.gz UGRVXNDZDWLLRX-CQSZACIVSA-N 1 2 321.421 1.862 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)C[N@@H+](C)Cc1c(C)nnn1CC ZINC001275622178 853445390 /nfs/dbraw/zinc/44/53/90/853445390.db2.gz LUTARODDFRELDE-AWEZNQCLSA-N 1 2 319.453 1.737 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)C[N@H+](C)Cc1c(C)nnn1CC ZINC001275622178 853445395 /nfs/dbraw/zinc/44/53/95/853445395.db2.gz LUTARODDFRELDE-AWEZNQCLSA-N 1 2 319.453 1.737 20 30 DDEDLO CC#CC[N@H+](C)[C@H](C)CNC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC001275881088 853901120 /nfs/dbraw/zinc/90/11/20/853901120.db2.gz CUIYDYODOBFGSD-SECBINFHSA-N 1 2 316.327 1.810 20 30 DDEDLO CC#CC[N@@H+](C)[C@H](C)CNC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC001275881088 853901121 /nfs/dbraw/zinc/90/11/21/853901121.db2.gz CUIYDYODOBFGSD-SECBINFHSA-N 1 2 316.327 1.810 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2nncn2C)[C@H](C)C1 ZINC001328266493 862842568 /nfs/dbraw/zinc/84/25/68/862842568.db2.gz JNRRATDYEDQBCE-KGLIPLIRSA-N 1 2 305.426 1.641 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nncn2C)[C@H](C)C1 ZINC001328266493 862842576 /nfs/dbraw/zinc/84/25/76/862842576.db2.gz JNRRATDYEDQBCE-KGLIPLIRSA-N 1 2 305.426 1.641 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCC[C@H]3[C@@H]2CCN3CC#N)c[nH+]1 ZINC001049544358 856954987 /nfs/dbraw/zinc/95/49/87/856954987.db2.gz CAZXVQDRTSUNRE-GJZGRUSLSA-N 1 2 315.421 1.516 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC001072721191 857639365 /nfs/dbraw/zinc/63/93/65/857639365.db2.gz IALIXSDNFSCAGJ-CQSZACIVSA-N 1 2 310.401 1.485 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC001072721191 857639371 /nfs/dbraw/zinc/63/93/71/857639371.db2.gz IALIXSDNFSCAGJ-CQSZACIVSA-N 1 2 310.401 1.485 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cnccc2C)C1 ZINC001073562167 858443046 /nfs/dbraw/zinc/44/30/46/858443046.db2.gz MFVAPHXTCDJWRB-AWEZNQCLSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cnccc2C)C1 ZINC001073562167 858443054 /nfs/dbraw/zinc/44/30/54/858443054.db2.gz MFVAPHXTCDJWRB-AWEZNQCLSA-N 1 2 323.824 1.963 20 30 DDEDLO C=CC1CCN(c2nnc(C[NH+]3CCCC3)n2CCOC)CC1 ZINC001122357738 858776046 /nfs/dbraw/zinc/77/60/46/858776046.db2.gz IWFHZRHLJQDLTJ-UHFFFAOYSA-N 1 2 319.453 1.923 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@H](Nc2cc[nH+]c(C)n2)C(C)(C)C1 ZINC001123946241 859489005 /nfs/dbraw/zinc/48/90/05/859489005.db2.gz GUFXBSWXSAWHBO-GUYCJALGSA-N 1 2 318.421 1.761 20 30 DDEDLO C=CCOCC(=O)NCC[NH2+]Cc1noc(-c2ccccc2)n1 ZINC001124773743 859807160 /nfs/dbraw/zinc/80/71/60/859807160.db2.gz WEZOLVBZTSIXJK-UHFFFAOYSA-N 1 2 316.361 1.145 20 30 DDEDLO C=CCc1cccc(C[NH+]2CCN(S(C)(=O)=O)CC2)c1O ZINC001138629249 860148452 /nfs/dbraw/zinc/14/84/52/860148452.db2.gz SBWHTGGMQZDJAR-UHFFFAOYSA-N 1 2 310.419 1.198 20 30 DDEDLO C#CCC1(C(=O)NC2CC[NH+](Cc3cnns3)CC2)CCC1 ZINC001227754402 883156879 /nfs/dbraw/zinc/15/68/79/883156879.db2.gz LXOQPCGURYYNLC-UHFFFAOYSA-N 1 2 318.446 1.812 20 30 DDEDLO C#CCn1cc(CNC(=O)Cc2cn3cccc(C)c3[nH+]2)cn1 ZINC001139861205 860502471 /nfs/dbraw/zinc/50/24/71/860502471.db2.gz QQPZWJXLHJMPAW-UHFFFAOYSA-N 1 2 307.357 1.331 20 30 DDEDLO COC(=O)[C@@H]1COCCC12C[NH+](Cc1ccc(C#N)cc1F)C2 ZINC001140140323 860582251 /nfs/dbraw/zinc/58/22/51/860582251.db2.gz QTHRLWQMBCIITI-AWEZNQCLSA-N 1 2 318.348 1.709 20 30 DDEDLO C[C@H](NC(=O)c1cc(C#N)c[nH]1)[C@H](C)[NH2+]Cc1csnn1 ZINC001381369224 881602268 /nfs/dbraw/zinc/60/22/68/881602268.db2.gz PHJDGSAQKVICPT-IUCAKERBSA-N 1 2 304.379 1.034 20 30 DDEDLO COCC#CC[N@H+](C)C[C@@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001328737607 863182696 /nfs/dbraw/zinc/18/26/96/863182696.db2.gz PARGRLGMXURVKX-NWDGAFQWSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001328737607 863182708 /nfs/dbraw/zinc/18/27/08/863182708.db2.gz PARGRLGMXURVKX-NWDGAFQWSA-N 1 2 324.318 1.459 20 30 DDEDLO C=C[C@@H]1C[C@@]1(NC(=O)c1ccc2[nH+]c(N)[nH]c2c1)C(=O)OCC ZINC001156979737 863495324 /nfs/dbraw/zinc/49/53/24/863495324.db2.gz ZQJWCIVYOWAOJN-HWPZZCPQSA-N 1 2 314.345 1.383 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(C(C)C)ns1 ZINC001153148916 863733178 /nfs/dbraw/zinc/73/31/78/863733178.db2.gz OCHSGPJPIUXCIW-LLVKDONJSA-N 1 2 312.439 1.458 20 30 DDEDLO C=CCC(C)(C)C(=O)NC1(CCO)C[NH+](C[C@@H]2CCCCO2)C1 ZINC001329706155 863802584 /nfs/dbraw/zinc/80/25/84/863802584.db2.gz WDEGSVCXXNGQIB-HNNXBMFYSA-N 1 2 324.465 1.711 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)Cc2csc(CC)n2)C1 ZINC001329717467 863808970 /nfs/dbraw/zinc/80/89/70/863808970.db2.gz GTQMREBBZIOSAP-UHFFFAOYSA-N 1 2 323.462 1.377 20 30 DDEDLO C#CCC1(C(=O)N[C@H](C)C2C[NH+](CC(=O)NC)C2)CCCCC1 ZINC001330084169 864074034 /nfs/dbraw/zinc/07/40/34/864074034.db2.gz DWJMAULMDPAOJP-CQSZACIVSA-N 1 2 319.449 1.143 20 30 DDEDLO COCCOC[N@@H+]1C[C@H]2C[C@@H](C1)N(c1ccc(F)c(C#N)c1)C2 ZINC001277036338 881792042 /nfs/dbraw/zinc/79/20/42/881792042.db2.gz XSRPCSGPYCGRLP-CJNGLKHVSA-N 1 2 319.380 1.828 20 30 DDEDLO COCCOC[N@H+]1C[C@H]2C[C@@H](C1)N(c1ccc(F)c(C#N)c1)C2 ZINC001277036338 881792054 /nfs/dbraw/zinc/79/20/54/881792054.db2.gz XSRPCSGPYCGRLP-CJNGLKHVSA-N 1 2 319.380 1.828 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@H](C2CC2)N(C)C(=O)C#CC2CC2)no1 ZINC001331282679 864987311 /nfs/dbraw/zinc/98/73/11/864987311.db2.gz OUGLYPAMDXZGRL-IAQYHMDHSA-N 1 2 316.405 1.679 20 30 DDEDLO C#CCCCC(=O)NC[C@H](CO)[NH2+]Cc1cc(C(C)(C)C)on1 ZINC001331708401 865262605 /nfs/dbraw/zinc/26/26/05/865262605.db2.gz RPGYOKDBCRFJKY-CQSZACIVSA-N 1 2 321.421 1.342 20 30 DDEDLO CC#CC[N@H+]1CC=C(CCNC(=O)c2[nH]nc(C)c2C)CC1 ZINC001159637395 865444232 /nfs/dbraw/zinc/44/42/32/865444232.db2.gz RWPLGNITTFMGMY-UHFFFAOYSA-N 1 2 300.406 1.802 20 30 DDEDLO CC#CC[N@@H+]1CC=C(CCNC(=O)c2[nH]nc(C)c2C)CC1 ZINC001159637395 865444238 /nfs/dbraw/zinc/44/42/38/865444238.db2.gz RWPLGNITTFMGMY-UHFFFAOYSA-N 1 2 300.406 1.802 20 30 DDEDLO Cc1nonc1C[N@H+]1CC=C(CCNC(=O)C#CC2CC2)CC1 ZINC001160081518 865672274 /nfs/dbraw/zinc/67/22/74/865672274.db2.gz OHRGGUIIFJNPSP-UHFFFAOYSA-N 1 2 314.389 1.430 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC=C(CCNC(=O)C#CC2CC2)CC1 ZINC001160081518 865672281 /nfs/dbraw/zinc/67/22/81/865672281.db2.gz OHRGGUIIFJNPSP-UHFFFAOYSA-N 1 2 314.389 1.430 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001160131289 865689534 /nfs/dbraw/zinc/68/95/34/865689534.db2.gz KCVFGESOWVQCPN-CQSZACIVSA-N 1 2 317.389 1.351 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001160131289 865689541 /nfs/dbraw/zinc/68/95/41/865689541.db2.gz KCVFGESOWVQCPN-CQSZACIVSA-N 1 2 317.389 1.351 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H](O)C[NH2+]Cc1cnsn1 ZINC001332566817 865939236 /nfs/dbraw/zinc/93/92/36/865939236.db2.gz QRZMZDLQGKRMCC-OCCSQVGLSA-N 1 2 312.439 1.049 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](O)C[N@@H+](C)[C@@H](C)c1nc(C)no1 ZINC001332617041 865980744 /nfs/dbraw/zinc/98/07/44/865980744.db2.gz CBVYHDJBOFLTDV-WCQYABFASA-N 1 2 310.398 1.156 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](O)C[N@H+](C)[C@@H](C)c1nc(C)no1 ZINC001332617041 865980751 /nfs/dbraw/zinc/98/07/51/865980751.db2.gz CBVYHDJBOFLTDV-WCQYABFASA-N 1 2 310.398 1.156 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)C[C@H](O)CN(C)C(=O)C#CC2CC2)o1 ZINC001332633447 866000302 /nfs/dbraw/zinc/00/03/02/866000302.db2.gz NAYUMCRIVCKWPS-HIFRSBDPSA-N 1 2 319.405 1.209 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)C[C@H](O)CN(C)C(=O)C#CC2CC2)o1 ZINC001332633447 866000316 /nfs/dbraw/zinc/00/03/16/866000316.db2.gz NAYUMCRIVCKWPS-HIFRSBDPSA-N 1 2 319.405 1.209 20 30 DDEDLO N#Cc1nc(Cl)cnc1N[C@@H]1CCC[C@H]1[NH+]1CCOCC1 ZINC001160721959 866064924 /nfs/dbraw/zinc/06/49/24/866064924.db2.gz DOIFCBLSVCNJSD-ZYHUDNBSSA-N 1 2 307.785 1.667 20 30 DDEDLO Cc1nc(Cl)nc(N[C@H]2CCC[C@H]2[NH+]2CCOCC2)c1C#N ZINC001160722842 866066894 /nfs/dbraw/zinc/06/68/94/866066894.db2.gz CYDUYILPKFNYGZ-QWHCGFSZSA-N 1 2 321.812 1.397 20 30 DDEDLO Cc1nc(Cl)nc(NC2CN(c3cccc[nH+]3)C2)c1C#N ZINC001161320684 866528431 /nfs/dbraw/zinc/52/84/31/866528431.db2.gz NODQRTAHOKPCHA-UHFFFAOYSA-N 1 2 300.753 1.428 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC001323642962 866743775 /nfs/dbraw/zinc/74/37/75/866743775.db2.gz IGLZUUDUDXMMKI-AWEZNQCLSA-N 1 2 316.405 1.046 20 30 DDEDLO C#CCNC(=O)c1cccc(-c2noc([C@H](C)n3cc[nH+]c3)n2)c1 ZINC001320489910 866775823 /nfs/dbraw/zinc/77/58/23/866775823.db2.gz TUGNGOFZQFZZHU-LBPRGKRZSA-N 1 2 321.340 1.905 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1c[nH+]cn1C ZINC001323775947 866836992 /nfs/dbraw/zinc/83/69/92/866836992.db2.gz KTIFLSCDRWTAKU-IYBDPMFKSA-N 1 2 314.433 1.645 20 30 DDEDLO CC(=O)NCCNc1cc(/C=N/[S@](=O)C(C)(C)C)cc(C)[nH+]1 ZINC001161658705 866849539 /nfs/dbraw/zinc/84/95/39/866849539.db2.gz JCBALOUQLQSPJM-HPBYZVIZSA-N 1 2 324.450 1.819 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C1C[NH+](CCc2ccnn2C)C1 ZINC001323894890 866929784 /nfs/dbraw/zinc/92/97/84/866929784.db2.gz HMWAWKALLLNNDK-CQSZACIVSA-N 1 2 320.437 1.086 20 30 DDEDLO C#CCCCCC(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001334233289 867409276 /nfs/dbraw/zinc/40/92/76/867409276.db2.gz BRNMNZIGYUDSAW-ZDUSSCGKSA-N 1 2 304.394 1.109 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@]1(O)CC[N@@H+](Cc2nonc2C)C1 ZINC001325097688 867768239 /nfs/dbraw/zinc/76/82/39/867768239.db2.gz NSRLAQMASXUCLF-INIZCTEOSA-N 1 2 322.409 1.033 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@]1(O)CC[N@H+](Cc2nonc2C)C1 ZINC001325097688 867768247 /nfs/dbraw/zinc/76/82/47/867768247.db2.gz NSRLAQMASXUCLF-INIZCTEOSA-N 1 2 322.409 1.033 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](C)C[NH2+]Cc1nnc(C(C)C)o1 ZINC001321832591 867822507 /nfs/dbraw/zinc/82/25/07/867822507.db2.gz YSYZMICDSPSHNF-NHYWBVRUSA-N 1 2 310.398 1.114 20 30 DDEDLO C=CC[C@@H](NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)OCC ZINC001325357789 867968927 /nfs/dbraw/zinc/96/89/27/867968927.db2.gz XSAFIPUUJAMTDT-VXGBXAGGSA-N 1 2 300.424 1.048 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cnn2c1OCCC2 ZINC001381556316 882158189 /nfs/dbraw/zinc/15/81/89/882158189.db2.gz YRJUQQMQDHLKAH-LLVKDONJSA-N 1 2 312.801 1.468 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cnn2c1OCCC2 ZINC001381556316 882158198 /nfs/dbraw/zinc/15/81/98/882158198.db2.gz YRJUQQMQDHLKAH-LLVKDONJSA-N 1 2 312.801 1.468 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[N@H+]([C@@H](C)c3noc(C)n3)C[C@@H]2C1 ZINC001325720020 868288563 /nfs/dbraw/zinc/28/85/63/868288563.db2.gz FWTYKCRRPPICDF-FPMFFAJLSA-N 1 2 320.393 1.032 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[N@@H+]([C@@H](C)c3noc(C)n3)C[C@@H]2C1 ZINC001325720020 868288576 /nfs/dbraw/zinc/28/85/76/868288576.db2.gz FWTYKCRRPPICDF-FPMFFAJLSA-N 1 2 320.393 1.032 20 30 DDEDLO COC[C@@H]1CN(c2[nH+]ccc3[nH]cc(C#N)c32)Cc2cn(C)nc21 ZINC001165608843 869651688 /nfs/dbraw/zinc/65/16/88/869651688.db2.gz CZNJPSWNVHHQKA-ZDUSSCGKSA-N 1 2 322.372 1.918 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001338117520 869813735 /nfs/dbraw/zinc/81/37/35/869813735.db2.gz QMUZQXUNRZOFPC-HUUCEWRRSA-N 1 2 316.405 1.182 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001338282414 869901757 /nfs/dbraw/zinc/90/17/57/869901757.db2.gz JSJJVPSANLHXGJ-GJZGRUSLSA-N 1 2 316.405 1.182 20 30 DDEDLO C[C@H]1C[C@H](C(=O)NCC[NH+]2CCN(CC#N)CC2)C[C@@H](C)C1 ZINC001316968221 870008925 /nfs/dbraw/zinc/00/89/25/870008925.db2.gz RIGOSJJXVYTNKK-ZSHCYNCHSA-N 1 2 306.454 1.316 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@@H]2C[C@H](O)C[N@@H+]2C)n1C ZINC001338585519 870056007 /nfs/dbraw/zinc/05/60/07/870056007.db2.gz ZPTPSVJFCUDUDS-RDBSUJKOSA-N 1 2 305.426 1.488 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@@H]2C[C@H](O)C[N@H+]2C)n1C ZINC001338585519 870056015 /nfs/dbraw/zinc/05/60/15/870056015.db2.gz ZPTPSVJFCUDUDS-RDBSUJKOSA-N 1 2 305.426 1.488 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC12CC[NH+](Cc1ncnn1C)CC2 ZINC001316998956 870103382 /nfs/dbraw/zinc/10/33/82/870103382.db2.gz SDPDTDUTOSFELG-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]([NH2+]Cc1nc(C)no1)C1CC1 ZINC001317019367 870126092 /nfs/dbraw/zinc/12/60/92/870126092.db2.gz ORWWHUPTNHJGLW-ZDUSSCGKSA-N 1 2 306.410 1.965 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)CCC#N)n2CC=C)CC1 ZINC001338721854 870133926 /nfs/dbraw/zinc/13/39/26/870133926.db2.gz FJAKMKQCVOOCME-HNNXBMFYSA-N 1 2 312.421 1.627 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001317202782 870460066 /nfs/dbraw/zinc/46/00/66/870460066.db2.gz WDGKETCBLDEEKH-KBPBESRZSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001317202782 870460075 /nfs/dbraw/zinc/46/00/75/870460075.db2.gz WDGKETCBLDEEKH-KBPBESRZSA-N 1 2 305.426 1.498 20 30 DDEDLO C[C@H](NC(=O)CCc1[nH+]ccn1C)[C@H]1CCCN(CC#N)C1 ZINC001317211291 870478183 /nfs/dbraw/zinc/47/81/83/870478183.db2.gz XXJIIQJXEJCUAW-KBPBESRZSA-N 1 2 303.410 1.093 20 30 DDEDLO N#Cc1cc(F)cc(C[NH+]2CC3(C[C@H]3C(=O)N3CC=CC3)C2)c1 ZINC001277126847 882418915 /nfs/dbraw/zinc/41/89/15/882418915.db2.gz QFRPVEASEKJEMO-INIZCTEOSA-N 1 2 311.360 1.918 20 30 DDEDLO C#CC[C@@H](CC)c1nnc(N(C)CC[NH+]2CCOCC2)n1C ZINC001339447119 870500789 /nfs/dbraw/zinc/50/07/89/870500789.db2.gz SSFFXCNHKXACLL-CQSZACIVSA-N 1 2 305.426 1.100 20 30 DDEDLO Cc1cc(C[NH+]2CC(CCO)(NC(=O)[C@H](C)C#N)C2)c(C)s1 ZINC001381659291 882436317 /nfs/dbraw/zinc/43/63/17/882436317.db2.gz DRMFSDMDGFVTRF-LLVKDONJSA-N 1 2 321.446 1.578 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H]([N@H+](C)Cc2nccn2C)C1 ZINC001317372972 870727135 /nfs/dbraw/zinc/72/71/35/870727135.db2.gz IGMGUZJBYKCJJF-OAHLLOKOSA-N 1 2 302.422 1.502 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H]([N@@H+](C)Cc2nccn2C)C1 ZINC001317372972 870727143 /nfs/dbraw/zinc/72/71/43/870727143.db2.gz IGMGUZJBYKCJJF-OAHLLOKOSA-N 1 2 302.422 1.502 20 30 DDEDLO N#CCSCC(=O)NC[C@@H]1CCC[N@H+]1Cc1ccc(F)cn1 ZINC001317495001 870916597 /nfs/dbraw/zinc/91/65/97/870916597.db2.gz RAIFIUGHLNSARV-AWEZNQCLSA-N 1 2 322.409 1.558 20 30 DDEDLO N#CCSCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccc(F)cn1 ZINC001317495001 870916609 /nfs/dbraw/zinc/91/66/09/870916609.db2.gz RAIFIUGHLNSARV-AWEZNQCLSA-N 1 2 322.409 1.558 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@@H](CCC)C(C)C)C1 ZINC001276439471 870927483 /nfs/dbraw/zinc/92/74/83/870927483.db2.gz DTIRDUSSZQEJPR-ZBFHGGJFSA-N 1 2 321.465 1.245 20 30 DDEDLO C=CCN(C)c1nnc(COCC)n1C[C@@H](C)[NH+]1CCOCC1 ZINC001301309227 870986249 /nfs/dbraw/zinc/98/62/49/870986249.db2.gz PUCFGDPOBBDFTJ-CQSZACIVSA-N 1 2 323.441 1.158 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001317523793 870988851 /nfs/dbraw/zinc/98/88/51/870988851.db2.gz VEMVBGXQPCZBLI-CYBMUJFWSA-N 1 2 304.394 1.366 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001317523793 870988853 /nfs/dbraw/zinc/98/88/53/870988853.db2.gz VEMVBGXQPCZBLI-CYBMUJFWSA-N 1 2 304.394 1.366 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)CC[C@H]1C ZINC001340257765 870989202 /nfs/dbraw/zinc/98/92/02/870989202.db2.gz ALWDYOSPCHGQMK-KBXIAJHMSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@H](C)CC[C@H]1C ZINC001340257765 870989206 /nfs/dbraw/zinc/98/92/06/870989206.db2.gz ALWDYOSPCHGQMK-KBXIAJHMSA-N 1 2 319.453 1.826 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1[nH]c2ccccc2c1C#N ZINC001301546776 870998651 /nfs/dbraw/zinc/99/86/51/870998651.db2.gz HKIQPVADEATMAH-CYBMUJFWSA-N 1 2 309.329 1.236 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@](O)(C(F)(F)F)C[C@H]1CO ZINC001204368772 871079366 /nfs/dbraw/zinc/07/93/66/871079366.db2.gz XNRGJZBRCVXXOX-STQMWFEESA-N 1 2 300.280 1.418 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@](O)(C(F)(F)F)C[C@H]1CO ZINC001204368772 871079374 /nfs/dbraw/zinc/07/93/74/871079374.db2.gz XNRGJZBRCVXXOX-STQMWFEESA-N 1 2 300.280 1.418 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1CCC[N@@H+]1CCS(C)(=O)=O ZINC001317508029 871702156 /nfs/dbraw/zinc/70/21/56/871702156.db2.gz LWMGAXGOQBILHC-UONOGXRCSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1CCC[N@H+]1CCS(C)(=O)=O ZINC001317508029 871702164 /nfs/dbraw/zinc/70/21/64/871702164.db2.gz LWMGAXGOQBILHC-UONOGXRCSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N1CC(C)(OC)C1 ZINC001341750760 871804034 /nfs/dbraw/zinc/80/40/34/871804034.db2.gz VYGBNQSSCYCXLQ-UHFFFAOYSA-N 1 2 305.426 1.675 20 30 DDEDLO CN(CCCCCCNCC#N)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001316727693 871930961 /nfs/dbraw/zinc/93/09/61/871930961.db2.gz WDWIXZOQPWVXQH-OAHLLOKOSA-N 1 2 317.437 1.577 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001318374430 871966175 /nfs/dbraw/zinc/96/61/75/871966175.db2.gz QVZVQWVXQZTPSM-CQSZACIVSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001318374430 871966178 /nfs/dbraw/zinc/96/61/78/871966178.db2.gz QVZVQWVXQZTPSM-CQSZACIVSA-N 1 2 321.465 1.389 20 30 DDEDLO CN(C(=O)C1=CCCCCC1)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318398775 871992717 /nfs/dbraw/zinc/99/27/17/871992717.db2.gz CGXQVLHKOKYHHC-OAHLLOKOSA-N 1 2 318.421 1.049 20 30 DDEDLO CN(C(=O)C1=CCCCCC1)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318398775 871992724 /nfs/dbraw/zinc/99/27/24/871992724.db2.gz CGXQVLHKOKYHHC-OAHLLOKOSA-N 1 2 318.421 1.049 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001316793063 872051608 /nfs/dbraw/zinc/05/16/08/872051608.db2.gz KXYGKRFORNTAHC-JKIFEVAISA-N 1 2 322.453 1.422 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001316793063 872051627 /nfs/dbraw/zinc/05/16/27/872051627.db2.gz KXYGKRFORNTAHC-JKIFEVAISA-N 1 2 322.453 1.422 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC001342229732 872090017 /nfs/dbraw/zinc/09/00/17/872090017.db2.gz YVJIVKLWNQLUPU-HNNXBMFYSA-N 1 2 320.414 1.609 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC001342229732 872090035 /nfs/dbraw/zinc/09/00/35/872090035.db2.gz YVJIVKLWNQLUPU-HNNXBMFYSA-N 1 2 320.414 1.609 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)CC)n2CC(=C)C)CC1 ZINC001342233987 872094362 /nfs/dbraw/zinc/09/43/62/872094362.db2.gz QEHITGSZOKNSLH-CQSZACIVSA-N 1 2 303.410 1.053 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(COCC3CC3)n2CC=C)CC1 ZINC001342306548 872137546 /nfs/dbraw/zinc/13/75/46/872137546.db2.gz QYRKEXJOTXCELP-UHFFFAOYSA-N 1 2 315.421 1.146 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1cnn(-c2cc[nH+]cc2)c1C ZINC001342582869 872274747 /nfs/dbraw/zinc/27/47/47/872274747.db2.gz HHPRLPJDEQCZFY-MRXNPFEDSA-N 1 2 324.384 1.830 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1cnn(-c2cc[nH+]cc2)c1C ZINC001342582869 872274761 /nfs/dbraw/zinc/27/47/61/872274761.db2.gz HHPRLPJDEQCZFY-MRXNPFEDSA-N 1 2 324.384 1.830 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1cnn(-c2ccncc2)c1C ZINC001342582869 872274784 /nfs/dbraw/zinc/27/47/84/872274784.db2.gz HHPRLPJDEQCZFY-MRXNPFEDSA-N 1 2 324.384 1.830 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1cnn(-c2cc[nH+]cc2)c1C ZINC001342582868 872275213 /nfs/dbraw/zinc/27/52/13/872275213.db2.gz HHPRLPJDEQCZFY-INIZCTEOSA-N 1 2 324.384 1.830 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1cnn(-c2cc[nH+]cc2)c1C ZINC001342582868 872275234 /nfs/dbraw/zinc/27/52/34/872275234.db2.gz HHPRLPJDEQCZFY-INIZCTEOSA-N 1 2 324.384 1.830 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1cnn(-c2ccncc2)c1C ZINC001342582868 872275271 /nfs/dbraw/zinc/27/52/71/872275271.db2.gz HHPRLPJDEQCZFY-INIZCTEOSA-N 1 2 324.384 1.830 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@@H]1CCCO1 ZINC001343160501 872522462 /nfs/dbraw/zinc/52/24/62/872522462.db2.gz ZKCIYNBYABEUKT-SOUVJXGZSA-N 1 2 317.437 1.682 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@@H]1CCCO1 ZINC001343160501 872522467 /nfs/dbraw/zinc/52/24/67/872522467.db2.gz ZKCIYNBYABEUKT-SOUVJXGZSA-N 1 2 317.437 1.682 20 30 DDEDLO N#C[C@H]1CN(Cc2ccc(C[NH+]3CCOCC3)cc2)CCC1=O ZINC001206716893 872532542 /nfs/dbraw/zinc/53/25/42/872532542.db2.gz JRYCQWPISHZUDF-KRWDZBQOSA-N 1 2 313.401 1.433 20 30 DDEDLO N#C[C@@H]1CN(Cc2ccc(C[NH+]3CCOCC3)cc2)CCC1=O ZINC001206716894 872532613 /nfs/dbraw/zinc/53/26/13/872532613.db2.gz JRYCQWPISHZUDF-QGZVFWFLSA-N 1 2 313.401 1.433 20 30 DDEDLO C#CCC[N@@H+](CCO)[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001479654542 872556584 /nfs/dbraw/zinc/55/65/84/872556584.db2.gz MCPHCXLWNMLVKD-RYUDHWBXSA-N 1 2 324.318 1.195 20 30 DDEDLO C#CCC[N@H+](CCO)[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001479654542 872556603 /nfs/dbraw/zinc/55/66/03/872556603.db2.gz MCPHCXLWNMLVKD-RYUDHWBXSA-N 1 2 324.318 1.195 20 30 DDEDLO C#CCC[N@@H+](CCO)[C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001479654542 872556612 /nfs/dbraw/zinc/55/66/12/872556612.db2.gz MCPHCXLWNMLVKD-RYUDHWBXSA-N 1 2 324.318 1.195 20 30 DDEDLO C#CCC[N@H+](CCO)[C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001479654542 872556619 /nfs/dbraw/zinc/55/66/19/872556619.db2.gz MCPHCXLWNMLVKD-RYUDHWBXSA-N 1 2 324.318 1.195 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)CCOc2ccc(F)cc2)C1 ZINC001319318140 872565901 /nfs/dbraw/zinc/56/59/01/872565901.db2.gz IAHUSSKJWHKZFG-INIZCTEOSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)CCOc2ccc(F)cc2)C1 ZINC001319318140 872565911 /nfs/dbraw/zinc/56/59/11/872565911.db2.gz IAHUSSKJWHKZFG-INIZCTEOSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnns2)C[C@H]1C ZINC001206782151 872575266 /nfs/dbraw/zinc/57/52/66/872575266.db2.gz IBCJNRYXKADPRZ-TZMCWYRMSA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@H+](Cc2cnns2)C[C@H]1C ZINC001206782151 872575278 /nfs/dbraw/zinc/57/52/78/872575278.db2.gz IBCJNRYXKADPRZ-TZMCWYRMSA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@H](C)c2ccco2)C1 ZINC001319323001 872576892 /nfs/dbraw/zinc/57/68/92/872576892.db2.gz SLYIRVPPNQGTOO-HUUCEWRRSA-N 1 2 322.405 1.403 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@H](C)c2ccco2)C1 ZINC001319323001 872576900 /nfs/dbraw/zinc/57/69/00/872576900.db2.gz SLYIRVPPNQGTOO-HUUCEWRRSA-N 1 2 322.405 1.403 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)COc2ccccc2OC)C1 ZINC001319331713 872581734 /nfs/dbraw/zinc/58/17/34/872581734.db2.gz HIYQOFCGEDCOCL-CQSZACIVSA-N 1 2 320.389 1.077 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)COc2ccccc2OC)C1 ZINC001319331713 872581740 /nfs/dbraw/zinc/58/17/40/872581740.db2.gz HIYQOFCGEDCOCL-CQSZACIVSA-N 1 2 320.389 1.077 20 30 DDEDLO C[NH+](C)CCN1C[C@H]2C[C@@H](C1)N2Cc1ccc(C#N)cc1O ZINC001276494226 872610812 /nfs/dbraw/zinc/61/08/12/872610812.db2.gz QPKBAMYROBRZMH-IYBDPMFKSA-N 1 2 300.406 1.084 20 30 DDEDLO C[NH+](C)CCN1C[C@H]2C[C@@H](C1)[N@H+]2Cc1ccc(C#N)cc1[O-] ZINC001276494226 872610820 /nfs/dbraw/zinc/61/08/20/872610820.db2.gz QPKBAMYROBRZMH-IYBDPMFKSA-N 1 2 300.406 1.084 20 30 DDEDLO C[NH+](C)CCN1C[C@H]2C[C@@H](C1)[N@@H+]2Cc1ccc(C#N)cc1[O-] ZINC001276494226 872610826 /nfs/dbraw/zinc/61/08/26/872610826.db2.gz QPKBAMYROBRZMH-IYBDPMFKSA-N 1 2 300.406 1.084 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)n(C)n2)C[C@H]1C ZINC001206912370 872753483 /nfs/dbraw/zinc/75/34/83/872753483.db2.gz HUCIVBOEIJRCEX-CZUORRHYSA-N 1 2 302.422 1.469 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)n(C)n2)C[C@H]1C ZINC001206912370 872753494 /nfs/dbraw/zinc/75/34/94/872753494.db2.gz HUCIVBOEIJRCEX-CZUORRHYSA-N 1 2 302.422 1.469 20 30 DDEDLO CCOC[C@@H]1C[N@H+](Cc2cc(C#N)n(C)c2)Cc2nnn(C)c21 ZINC001207104629 873021601 /nfs/dbraw/zinc/02/16/01/873021601.db2.gz FRZAZXOBODGUED-ZDUSSCGKSA-N 1 2 314.393 1.161 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](Cc2cc(C#N)n(C)c2)Cc2nnn(C)c21 ZINC001207104629 873021620 /nfs/dbraw/zinc/02/16/20/873021620.db2.gz FRZAZXOBODGUED-ZDUSSCGKSA-N 1 2 314.393 1.161 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001207943553 873674637 /nfs/dbraw/zinc/67/46/37/873674637.db2.gz AVYYUPXUCAOAKE-CYBMUJFWSA-N 1 2 320.393 1.100 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001207935231 873674666 /nfs/dbraw/zinc/67/46/66/873674666.db2.gz ORJQGHFDPNEZAV-ZDUSSCGKSA-N 1 2 304.394 1.516 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1C[N@H+](Cc2ccon2)C[C@H]1C ZINC001208128907 873867294 /nfs/dbraw/zinc/86/72/94/873867294.db2.gz KYWOYGQGFDFWAN-UNEWFSDZSA-N 1 2 321.421 1.840 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1C[N@@H+](Cc2ccon2)C[C@H]1C ZINC001208128907 873867309 /nfs/dbraw/zinc/86/73/09/873867309.db2.gz KYWOYGQGFDFWAN-UNEWFSDZSA-N 1 2 321.421 1.840 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2nccc(C)n2)C[C@H]1C ZINC001208309763 873990259 /nfs/dbraw/zinc/99/02/59/873990259.db2.gz VYQVWKOVXACFSV-TZMCWYRMSA-N 1 2 302.422 1.934 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2nccc(C)n2)C[C@H]1C ZINC001208309763 873990264 /nfs/dbraw/zinc/99/02/64/873990264.db2.gz VYQVWKOVXACFSV-TZMCWYRMSA-N 1 2 302.422 1.934 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cn(C)nn2)C[C@H]1C ZINC001208392857 874103350 /nfs/dbraw/zinc/10/33/50/874103350.db2.gz DFQSHVBBVJUAJD-UMVBOHGHSA-N 1 2 305.426 1.354 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cn(C)nn2)C[C@H]1C ZINC001208392857 874103356 /nfs/dbraw/zinc/10/33/56/874103356.db2.gz DFQSHVBBVJUAJD-UMVBOHGHSA-N 1 2 305.426 1.354 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC001347967219 874322173 /nfs/dbraw/zinc/32/21/73/874322173.db2.gz LUJHXJMUOGNIEE-ZDUSSCGKSA-N 1 2 304.394 1.161 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1C[N@H+](CC(=O)NCC#N)CC1(C)C ZINC001276602054 874545354 /nfs/dbraw/zinc/54/53/54/874545354.db2.gz WJXFSCJTIQYTTD-KGLIPLIRSA-N 1 2 320.437 1.055 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1C[N@@H+](CC(=O)NCC#N)CC1(C)C ZINC001276602054 874545360 /nfs/dbraw/zinc/54/53/60/874545360.db2.gz WJXFSCJTIQYTTD-KGLIPLIRSA-N 1 2 320.437 1.055 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+](Cc2nccn2CC)CC1 ZINC001227114339 882845523 /nfs/dbraw/zinc/84/55/23/882845523.db2.gz JZVFUFHQYQHOJQ-QGZVFWFLSA-N 1 2 320.437 1.311 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001349000987 874916021 /nfs/dbraw/zinc/91/60/21/874916021.db2.gz GIWWSPGYYXZSHH-KGLIPLIRSA-N 1 2 306.410 1.669 20 30 DDEDLO Cn1cc(CN2CCC23C[NH+](Cc2ccc(N)nc2)C3)cc1C#N ZINC001276654147 874987939 /nfs/dbraw/zinc/98/79/39/874987939.db2.gz HRMGNKMQAZJZDP-UHFFFAOYSA-N 1 2 322.416 1.334 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC001349573093 875242359 /nfs/dbraw/zinc/24/23/59/875242359.db2.gz GTFRLIDCCVHQMM-HUUCEWRRSA-N 1 2 318.421 1.423 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C2(CNC(=O)[C@H](C)C#N)CCCC2)no1 ZINC001378683829 875411775 /nfs/dbraw/zinc/41/17/75/875411775.db2.gz KATDYTFNNMLBLF-GHMZBOCLSA-N 1 2 305.382 1.617 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(C(F)F)CCCC2)[C@H](OC)C1 ZINC001213330852 875898604 /nfs/dbraw/zinc/89/86/04/875898604.db2.gz FNGHMVKECSMLEB-VXGBXAGGSA-N 1 2 300.349 1.261 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(C(F)F)CCCC2)[C@H](OC)C1 ZINC001213330852 875898609 /nfs/dbraw/zinc/89/86/09/875898609.db2.gz FNGHMVKECSMLEB-VXGBXAGGSA-N 1 2 300.349 1.261 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)CC(C)(C)C)[C@H](OC)C1 ZINC001213535003 875969332 /nfs/dbraw/zinc/96/93/32/875969332.db2.gz UGVOYIFIGRCOQZ-BZUAXINKSA-N 1 2 324.465 1.524 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)CC(C)(C)C)[C@H](OC)C1 ZINC001213535003 875969346 /nfs/dbraw/zinc/96/93/46/875969346.db2.gz UGVOYIFIGRCOQZ-BZUAXINKSA-N 1 2 324.465 1.524 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001214331760 876288468 /nfs/dbraw/zinc/28/84/68/876288468.db2.gz QORYFLXGGQQUKH-CHWSQXEVSA-N 1 2 322.409 1.332 20 30 DDEDLO Cc1ccc(S(=O)(=O)NC2(C#N)CC[NH+](C)CC2)c(F)c1 ZINC000404825234 877076327 /nfs/dbraw/zinc/07/63/27/877076327.db2.gz QGPGYGXPXJIQFY-UHFFFAOYSA-N 1 2 311.382 1.400 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(CC)n1C[C@H](C)[NH+]1CCOCC1 ZINC001300312187 877366241 /nfs/dbraw/zinc/36/62/41/877366241.db2.gz XZGUEQKEPDIHIV-KGLIPLIRSA-N 1 2 305.426 1.019 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@H]([C@@H](C)[NH2+]Cc2nc(C)no2)C1 ZINC001276786797 877493148 /nfs/dbraw/zinc/49/31/48/877493148.db2.gz GLJBHXFGTRVQCQ-OCCSQVGLSA-N 1 2 322.409 1.440 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CNC(=O)OC)[C@H]1C ZINC001379631184 877583519 /nfs/dbraw/zinc/58/35/19/877583519.db2.gz KMLFPDJPWPXCKG-MNOVXSKESA-N 1 2 303.790 1.064 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CNC(=O)OC)[C@H]1C ZINC001379631184 877583536 /nfs/dbraw/zinc/58/35/36/877583536.db2.gz KMLFPDJPWPXCKG-MNOVXSKESA-N 1 2 303.790 1.064 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)nn1C ZINC001379647049 877635175 /nfs/dbraw/zinc/63/51/75/877635175.db2.gz HADNPRJYIVMYFQ-LLVKDONJSA-N 1 2 314.393 1.179 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)nn1C ZINC001379647049 877635181 /nfs/dbraw/zinc/63/51/81/877635181.db2.gz HADNPRJYIVMYFQ-LLVKDONJSA-N 1 2 314.393 1.179 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCO[C@@H]2C[N@H+](C/C=C\Cl)C[C@@H]21 ZINC001219066010 877900154 /nfs/dbraw/zinc/90/01/54/877900154.db2.gz UTNXUIUCQRXAJT-SEDMMIDLSA-N 1 2 314.813 1.242 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCO[C@@H]2C[N@@H+](C/C=C\Cl)C[C@@H]21 ZINC001219066010 877900168 /nfs/dbraw/zinc/90/01/68/877900168.db2.gz UTNXUIUCQRXAJT-SEDMMIDLSA-N 1 2 314.813 1.242 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+]2CCN(C(C)C)C(=O)C2)cc1 ZINC001354571095 878078196 /nfs/dbraw/zinc/07/81/96/878078196.db2.gz ADKNBYMCMJVDRO-UHFFFAOYSA-N 1 2 313.401 1.549 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+]2CCN(C(C)C)C(=O)C2)cc1 ZINC001354571095 878078213 /nfs/dbraw/zinc/07/82/13/878078213.db2.gz ADKNBYMCMJVDRO-UHFFFAOYSA-N 1 2 313.401 1.549 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001379814594 878091856 /nfs/dbraw/zinc/09/18/56/878091856.db2.gz RZCBCLMHCKTRFE-IUODEOHRSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001379814594 878091863 /nfs/dbraw/zinc/09/18/63/878091863.db2.gz RZCBCLMHCKTRFE-IUODEOHRSA-N 1 2 315.845 1.624 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001354912909 878309406 /nfs/dbraw/zinc/30/94/06/878309406.db2.gz QGCVCAXAKZXSFS-LBPRGKRZSA-N 1 2 304.394 1.129 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2ccccc2F)C[C@@H]1O ZINC001219573140 878349253 /nfs/dbraw/zinc/34/92/53/878349253.db2.gz MXEQKGDAJHYTRD-XYPHTWIQSA-N 1 2 318.392 1.707 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+]([C@H](C)c2ccccc2F)C[C@@H]1O ZINC001219573140 878349268 /nfs/dbraw/zinc/34/92/68/878349268.db2.gz MXEQKGDAJHYTRD-XYPHTWIQSA-N 1 2 318.392 1.707 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@@H]1O ZINC001219581434 878358838 /nfs/dbraw/zinc/35/88/38/878358838.db2.gz NZPVMNMBSSXWAE-CVEARBPZSA-N 1 2 304.365 1.146 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@@H]1O ZINC001219581434 878358853 /nfs/dbraw/zinc/35/88/53/878358853.db2.gz NZPVMNMBSSXWAE-CVEARBPZSA-N 1 2 304.365 1.146 20 30 DDEDLO Cc1cncc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C2)c1 ZINC001219596425 878376872 /nfs/dbraw/zinc/37/68/72/878376872.db2.gz VAVJLFNTDKWYMV-CVEARBPZSA-N 1 2 315.417 1.101 20 30 DDEDLO Cc1cncc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C2)c1 ZINC001219596425 878376881 /nfs/dbraw/zinc/37/68/81/878376881.db2.gz VAVJLFNTDKWYMV-CVEARBPZSA-N 1 2 315.417 1.101 20 30 DDEDLO C=CCCC(=O)NCC[C@@H](C)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001355093373 878422386 /nfs/dbraw/zinc/42/23/86/878422386.db2.gz HJUJTRIHFJEQSG-ZIAGYGMSSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@H]2C[N@@H+]3CCCC[C@H]3CO2)n1C ZINC001355734230 878694395 /nfs/dbraw/zinc/69/43/95/878694395.db2.gz ORTJILGYUBUCSM-GJZGRUSLSA-N 1 2 317.437 1.919 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@H]2C[N@H+]3CCCC[C@H]3CO2)n1C ZINC001355734230 878694405 /nfs/dbraw/zinc/69/44/05/878694405.db2.gz ORTJILGYUBUCSM-GJZGRUSLSA-N 1 2 317.437 1.919 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N1CCCOCC1 ZINC001355974904 878804714 /nfs/dbraw/zinc/80/47/14/878804714.db2.gz BTTDYBAWOHMQJS-UHFFFAOYSA-N 1 2 316.409 1.405 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220205865 878819937 /nfs/dbraw/zinc/81/99/37/878819937.db2.gz PFSDSRKBQPMMSG-BMFZPTHFSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220205865 878819952 /nfs/dbraw/zinc/81/99/52/878819952.db2.gz PFSDSRKBQPMMSG-BMFZPTHFSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220293821 878893619 /nfs/dbraw/zinc/89/36/19/878893619.db2.gz WALHJWLHAVAEKM-IJEWVQPXSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220293821 878893625 /nfs/dbraw/zinc/89/36/25/878893625.db2.gz WALHJWLHAVAEKM-IJEWVQPXSA-N 1 2 323.462 1.715 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](Cc2ccccc2)C(C)C)[C@@H](O)C1 ZINC001220351966 878948994 /nfs/dbraw/zinc/94/89/94/878948994.db2.gz ONYFCTXYAPMETP-KSZLIROESA-N 1 2 314.429 1.296 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](Cc2ccccc2)C(C)C)[C@@H](O)C1 ZINC001220351966 878949001 /nfs/dbraw/zinc/94/90/01/878949001.db2.gz ONYFCTXYAPMETP-KSZLIROESA-N 1 2 314.429 1.296 20 30 DDEDLO COc1ccc(OCC[NH+]2CC(N(C)C(=O)[C@H](C)C#N)C2)cc1 ZINC001380220775 879084545 /nfs/dbraw/zinc/08/45/45/879084545.db2.gz JHJHVJCQIWXUIX-CYBMUJFWSA-N 1 2 317.389 1.376 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](C[C@H](F)CC)C[C@@H]2O)CCCCC1 ZINC001221133507 879524954 /nfs/dbraw/zinc/52/49/54/879524954.db2.gz FXUJNPPPTZOFQR-OAGGEKHMSA-N 1 2 324.440 1.870 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](C[C@H](F)CC)C[C@@H]2O)CCCCC1 ZINC001221133507 879524963 /nfs/dbraw/zinc/52/49/63/879524963.db2.gz FXUJNPPPTZOFQR-OAGGEKHMSA-N 1 2 324.440 1.870 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]2CN(C(=O)C(F)=C(C)C)[C@@H]2C1 ZINC001221476912 879857534 /nfs/dbraw/zinc/85/75/34/879857534.db2.gz DDVVGXBQQGRVLL-BFHYXJOUSA-N 1 2 323.412 1.473 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]2CN(C(=O)C(F)=C(C)C)[C@@H]2C1 ZINC001221476912 879857549 /nfs/dbraw/zinc/85/75/49/879857549.db2.gz DDVVGXBQQGRVLL-BFHYXJOUSA-N 1 2 323.412 1.473 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@H]2CC[N@@H+](Cc3nocc3C)C[C@H]21 ZINC001221518053 879910613 /nfs/dbraw/zinc/91/06/13/879910613.db2.gz RQSWKYKZZNBRBN-FRFSOERESA-N 1 2 319.405 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@H]2CC[N@H+](Cc3nocc3C)C[C@H]21 ZINC001221518053 879910620 /nfs/dbraw/zinc/91/06/20/879910620.db2.gz RQSWKYKZZNBRBN-FRFSOERESA-N 1 2 319.405 1.343 20 30 DDEDLO CCCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C#CC(C)(C)C)[C@@H]2C1 ZINC001221536159 879932774 /nfs/dbraw/zinc/93/27/74/879932774.db2.gz AKAUWHIGSABRIH-HUUCEWRRSA-N 1 2 319.449 1.095 20 30 DDEDLO CCCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C#CC(C)(C)C)[C@@H]2C1 ZINC001221536159 879932791 /nfs/dbraw/zinc/93/27/91/879932791.db2.gz AKAUWHIGSABRIH-HUUCEWRRSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]2CC[N@H+](Cc3ccns3)C[C@H]21 ZINC001222075386 880221902 /nfs/dbraw/zinc/22/19/02/880221902.db2.gz KUSQPSDUCZVKJA-UKRRQHHQSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccns3)C[C@H]21 ZINC001222075386 880221921 /nfs/dbraw/zinc/22/19/21/880221921.db2.gz KUSQPSDUCZVKJA-UKRRQHHQSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001358512834 880469910 /nfs/dbraw/zinc/46/99/10/880469910.db2.gz ZHAYJKFBQOOGFX-STQMWFEESA-N 1 2 306.410 1.667 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001358531097 880500076 /nfs/dbraw/zinc/50/00/76/880500076.db2.gz NXJPHJVPELFPKR-WCQYABFASA-N 1 2 306.410 1.484 20 30 DDEDLO N#Cc1ccc(C(=O)NCC2([NH2+]Cc3nncs3)CCC2)[nH]1 ZINC001380859263 880545084 /nfs/dbraw/zinc/54/50/84/880545084.db2.gz RWAFLVLRXNBZJD-UHFFFAOYSA-N 1 2 316.390 1.180 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)s1 ZINC001222641993 880617764 /nfs/dbraw/zinc/61/77/64/880617764.db2.gz WLYKIQYWHLVWIL-MNOVXSKESA-N 1 2 321.450 1.895 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001358637334 880661383 /nfs/dbraw/zinc/66/13/83/880661383.db2.gz RHHZIPCQLFKPDY-SUMWQHHRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@](C)(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001358803411 880940902 /nfs/dbraw/zinc/94/09/02/880940902.db2.gz AOTCFFCUPZDQDF-GUYCJALGSA-N 1 2 318.421 1.662 20 30 DDEDLO CCc1ccc(CC(=O)NC[C@H]2CC[N@@H+]2CC#CCOC)nc1 ZINC001276930507 881143955 /nfs/dbraw/zinc/14/39/55/881143955.db2.gz GXMPWTIHFZDUAD-QGZVFWFLSA-N 1 2 315.417 1.027 20 30 DDEDLO CCc1ccc(CC(=O)NC[C@H]2CC[N@H+]2CC#CCOC)nc1 ZINC001276930507 881143961 /nfs/dbraw/zinc/14/39/61/881143961.db2.gz GXMPWTIHFZDUAD-QGZVFWFLSA-N 1 2 315.417 1.027 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CC[NH+](Cc2nc(C)no2)CC1 ZINC001224051347 881193409 /nfs/dbraw/zinc/19/34/09/881193409.db2.gz SKZCTGFZZWZRCY-UHFFFAOYSA-N 1 2 306.410 1.918 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(OC)c1F ZINC001276950259 881240482 /nfs/dbraw/zinc/24/04/82/881240482.db2.gz RAZFPSUNVSRXRD-CYBMUJFWSA-N 1 2 320.364 1.288 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(OC)c1F ZINC001276950259 881240499 /nfs/dbraw/zinc/24/04/99/881240499.db2.gz RAZFPSUNVSRXRD-CYBMUJFWSA-N 1 2 320.364 1.288 20 30 DDEDLO C#CCC1(C(=O)NC2CC[NH+](Cc3nonc3C)CC2)CCC1 ZINC001227753764 883156982 /nfs/dbraw/zinc/15/69/82/883156982.db2.gz CHAMCDMQCRWXEX-UHFFFAOYSA-N 1 2 316.405 1.652 20 30 DDEDLO COC(=O)c1cc(F)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001227774706 883165953 /nfs/dbraw/zinc/16/59/53/883165953.db2.gz JVMJKEPHIJYOGC-HJTUNCCVSA-N 1 2 307.321 1.604 20 30 DDEDLO COC(=O)c1cc(F)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001227774706 883165963 /nfs/dbraw/zinc/16/59/63/883165963.db2.gz JVMJKEPHIJYOGC-HJTUNCCVSA-N 1 2 307.321 1.604 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001288048011 912692923 /nfs/dbraw/zinc/69/29/23/912692923.db2.gz SWHMYYVZTBHBCB-UONOGXRCSA-N 1 2 318.421 1.496 20 30 DDEDLO COc1cc(CC(C)=O)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001228250355 883405784 /nfs/dbraw/zinc/40/57/84/883405784.db2.gz VUBHEXHIHKGVJH-PCIHELECSA-N 1 2 317.385 1.818 20 30 DDEDLO COc1cc(CC(C)=O)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001228250355 883405790 /nfs/dbraw/zinc/40/57/90/883405790.db2.gz VUBHEXHIHKGVJH-PCIHELECSA-N 1 2 317.385 1.818 20 30 DDEDLO CC(C)c1nc(C[NH2+][C@H](CNC(=O)[C@H](C)C#N)C(C)C)no1 ZINC001374415812 912785961 /nfs/dbraw/zinc/78/59/61/912785961.db2.gz QFMIAKCVFWNQOP-VXGBXAGGSA-N 1 2 307.398 1.583 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C(C)(C)C ZINC001288238139 912789088 /nfs/dbraw/zinc/78/90/88/912789088.db2.gz HDUNMVIVXUBJQB-CYBMUJFWSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C\c1ccco1 ZINC001230639200 884681882 /nfs/dbraw/zinc/68/18/82/884681882.db2.gz UFNFMFAZZJIBTI-LMVHVUTASA-N 1 2 317.389 1.128 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C\c1ccco1 ZINC001230639200 884681890 /nfs/dbraw/zinc/68/18/90/884681890.db2.gz UFNFMFAZZJIBTI-LMVHVUTASA-N 1 2 317.389 1.128 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCC[N@H+](CCF)Cc1cccnc1 ZINC001230931879 885055942 /nfs/dbraw/zinc/05/59/42/885055942.db2.gz IFAQYAVYIGTNHS-HNNXBMFYSA-N 1 2 323.412 1.951 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCC[N@@H+](CCF)Cc1cccnc1 ZINC001230931879 885055955 /nfs/dbraw/zinc/05/59/55/885055955.db2.gz IFAQYAVYIGTNHS-HNNXBMFYSA-N 1 2 323.412 1.951 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C/CC ZINC001231006331 885129383 /nfs/dbraw/zinc/12/93/83/885129383.db2.gz TVMGZTTWDOFSFS-DMUBJSPUSA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C/CC ZINC001231006331 885129405 /nfs/dbraw/zinc/12/94/05/885129405.db2.gz TVMGZTTWDOFSFS-DMUBJSPUSA-N 1 2 307.438 1.566 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C=C1CCCCC1 ZINC001231043708 885158686 /nfs/dbraw/zinc/15/86/86/885158686.db2.gz SNZKUUGSIVPFLO-INIZCTEOSA-N 1 2 317.433 1.159 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C=C1CCCCC1 ZINC001231043708 885158698 /nfs/dbraw/zinc/15/86/98/885158698.db2.gz SNZKUUGSIVPFLO-INIZCTEOSA-N 1 2 317.433 1.159 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@@H](C)C(C)C ZINC001231122625 885258651 /nfs/dbraw/zinc/25/86/51/885258651.db2.gz LVYKHAHVTHPCRF-GJZGRUSLSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@@H](C)C(C)C ZINC001231122625 885258664 /nfs/dbraw/zinc/25/86/64/885258664.db2.gz LVYKHAHVTHPCRF-GJZGRUSLSA-N 1 2 309.454 1.646 20 30 DDEDLO CO[C@@H](C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231150472 885294617 /nfs/dbraw/zinc/29/46/17/885294617.db2.gz QBPXGCPKDQYZIF-WMZOPIPTSA-N 1 2 314.429 1.996 20 30 DDEDLO CO[C@@H](C)CC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231150472 885294638 /nfs/dbraw/zinc/29/46/38/885294638.db2.gz QBPXGCPKDQYZIF-WMZOPIPTSA-N 1 2 314.429 1.996 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001231215441 885385042 /nfs/dbraw/zinc/38/50/42/885385042.db2.gz GRVRYVZHTRDMQK-OLZOCXBDSA-N 1 2 321.446 1.935 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001231215441 885385061 /nfs/dbraw/zinc/38/50/61/885385061.db2.gz GRVRYVZHTRDMQK-OLZOCXBDSA-N 1 2 321.446 1.935 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)C[C@H]1CCOC1 ZINC001374477165 912994271 /nfs/dbraw/zinc/99/42/71/912994271.db2.gz OLSVYOCYYJGUPW-OAHLLOKOSA-N 1 2 315.417 1.875 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)C[C@H]1CCOC1 ZINC001374477165 912994279 /nfs/dbraw/zinc/99/42/79/912994279.db2.gz OLSVYOCYYJGUPW-OAHLLOKOSA-N 1 2 315.417 1.875 20 30 DDEDLO COCc1cccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)n1 ZINC001232256287 886187726 /nfs/dbraw/zinc/18/77/26/886187726.db2.gz YZROXJAESQUVKT-UHFFFAOYSA-N 1 2 323.400 1.817 20 30 DDEDLO N#Cc1cc(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)cs1 ZINC001363427110 886308559 /nfs/dbraw/zinc/30/85/59/886308559.db2.gz FTFWGTLOMOWQDR-JTQLQIEISA-N 1 2 308.325 1.727 20 30 DDEDLO N#Cc1cc(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)cs1 ZINC001363427110 886308575 /nfs/dbraw/zinc/30/85/75/886308575.db2.gz FTFWGTLOMOWQDR-JTQLQIEISA-N 1 2 308.325 1.727 20 30 DDEDLO N#Cc1ccnc(CN2CCc3onc(Cn4cc[nH+]c4)c3C2)c1 ZINC001232475296 886417066 /nfs/dbraw/zinc/41/70/66/886417066.db2.gz IAVBRSCYVJQBKG-UHFFFAOYSA-N 1 2 320.356 1.744 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2CC[C@@H](N3CCOCC3)[C@@H](F)C2)c1 ZINC001232682017 886535731 /nfs/dbraw/zinc/53/57/31/886535731.db2.gz YQAKWKTVLQNEGK-JKSUJKDBSA-N 1 2 319.380 1.508 20 30 DDEDLO N#Cc1ccc(O)c(C[N@H+]2CC[C@@H](N3CCOCC3)[C@@H](F)C2)c1 ZINC001232682017 886535743 /nfs/dbraw/zinc/53/57/43/886535743.db2.gz YQAKWKTVLQNEGK-JKSUJKDBSA-N 1 2 319.380 1.508 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCc2cncc(C#N)c2)[nH]n1 ZINC001363554131 886623657 /nfs/dbraw/zinc/62/36/57/886623657.db2.gz DLBHFRNPWMPZIH-OAHLLOKOSA-N 1 2 310.361 1.373 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)NCc2cncc(C#N)c2)[nH]n1 ZINC001363554131 886623667 /nfs/dbraw/zinc/62/36/67/886623667.db2.gz DLBHFRNPWMPZIH-OAHLLOKOSA-N 1 2 310.361 1.373 20 30 DDEDLO CCOC[C@@H]1Cn2nccc2C[N@H+](Cc2cccc(C#N)n2)C1 ZINC001232923965 886676804 /nfs/dbraw/zinc/67/68/04/886676804.db2.gz OETLXKWDEGBBNS-AWEZNQCLSA-N 1 2 311.389 1.818 20 30 DDEDLO CCOC[C@@H]1Cn2nccc2C[N@@H+](Cc2cccc(C#N)n2)C1 ZINC001232923965 886676828 /nfs/dbraw/zinc/67/68/28/886676828.db2.gz OETLXKWDEGBBNS-AWEZNQCLSA-N 1 2 311.389 1.818 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001233491697 887034167 /nfs/dbraw/zinc/03/41/67/887034167.db2.gz YSTUVXRTJUFXNK-INIZCTEOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001233491697 887034177 /nfs/dbraw/zinc/03/41/77/887034177.db2.gz YSTUVXRTJUFXNK-INIZCTEOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C/C1CC1 ZINC001233497963 887039577 /nfs/dbraw/zinc/03/95/77/887039577.db2.gz AGEJCGISOCWFBT-IZYAJXRASA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C=C/C1CC1 ZINC001233497963 887039592 /nfs/dbraw/zinc/03/95/92/887039592.db2.gz AGEJCGISOCWFBT-IZYAJXRASA-N 1 2 305.422 1.176 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCC1CCC1 ZINC001233549367 887101669 /nfs/dbraw/zinc/10/16/69/887101669.db2.gz LSQCCNPEVVAUMZ-HOCLYGCPSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCC1CCC1 ZINC001233549367 887101681 /nfs/dbraw/zinc/10/16/81/887101681.db2.gz LSQCCNPEVVAUMZ-HOCLYGCPSA-N 1 2 319.449 1.237 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1=CCCC1 ZINC001233620912 887157968 /nfs/dbraw/zinc/15/79/68/887157968.db2.gz BUNHHPONUIBVDH-ZFWWWQNUSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1=CCCC1 ZINC001233620912 887157976 /nfs/dbraw/zinc/15/79/76/887157976.db2.gz BUNHHPONUIBVDH-ZFWWWQNUSA-N 1 2 305.422 1.320 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCCC ZINC001233800573 887334106 /nfs/dbraw/zinc/33/41/06/887334106.db2.gz JBNCUQZBYBXSIQ-JKSUJKDBSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCC ZINC001233800573 887334113 /nfs/dbraw/zinc/33/41/13/887334113.db2.gz JBNCUQZBYBXSIQ-JKSUJKDBSA-N 1 2 321.465 1.627 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H](C)CCO1 ZINC001363850207 887394405 /nfs/dbraw/zinc/39/44/05/887394405.db2.gz HTWFZFLQDAPTQC-ZIAGYGMSSA-N 1 2 322.430 1.831 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H](C)CCO1 ZINC001363850207 887394411 /nfs/dbraw/zinc/39/44/11/887394411.db2.gz HTWFZFLQDAPTQC-ZIAGYGMSSA-N 1 2 322.430 1.831 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234159748 887696831 /nfs/dbraw/zinc/69/68/31/887696831.db2.gz CARMHOCTSLDFRM-OAHLLOKOSA-N 1 2 300.406 1.477 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234159748 887696837 /nfs/dbraw/zinc/69/68/37/887696837.db2.gz CARMHOCTSLDFRM-OAHLLOKOSA-N 1 2 300.406 1.477 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)cn1 ZINC001234178869 887715054 /nfs/dbraw/zinc/71/50/54/887715054.db2.gz PNWMIDKMZDEERX-GDBMZVCRSA-N 1 2 312.417 1.792 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)cn1 ZINC001234178869 887715066 /nfs/dbraw/zinc/71/50/66/887715066.db2.gz PNWMIDKMZDEERX-GDBMZVCRSA-N 1 2 312.417 1.792 20 30 DDEDLO CCc1nc(C)c(C[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)o1 ZINC001234179181 887718067 /nfs/dbraw/zinc/71/80/67/887718067.db2.gz TVMVQLUPZDOPRY-OAHLLOKOSA-N 1 2 315.417 1.992 20 30 DDEDLO CCc1nc(C)c(C[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)o1 ZINC001234179181 887718072 /nfs/dbraw/zinc/71/80/72/887718072.db2.gz TVMVQLUPZDOPRY-OAHLLOKOSA-N 1 2 315.417 1.992 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234197243 887741060 /nfs/dbraw/zinc/74/10/60/887741060.db2.gz ZPUSLMNQGXDDCX-HOCLYGCPSA-N 1 2 319.449 1.237 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234197243 887741075 /nfs/dbraw/zinc/74/10/75/887741075.db2.gz ZPUSLMNQGXDDCX-HOCLYGCPSA-N 1 2 319.449 1.237 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(F)c1C ZINC001234426838 887961378 /nfs/dbraw/zinc/96/13/78/887961378.db2.gz YPZVCMNXATVWHF-HNNXBMFYSA-N 1 2 318.392 1.930 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(F)c1C ZINC001234426838 887961382 /nfs/dbraw/zinc/96/13/82/887961382.db2.gz YPZVCMNXATVWHF-HNNXBMFYSA-N 1 2 318.392 1.930 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCC1 ZINC001234537293 888067551 /nfs/dbraw/zinc/06/75/51/888067551.db2.gz MJXPPKXXRKBRBI-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCC1 ZINC001234537293 888067562 /nfs/dbraw/zinc/06/75/62/888067562.db2.gz MJXPPKXXRKBRBI-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234554591 888088556 /nfs/dbraw/zinc/08/85/56/888088556.db2.gz KZUYNPAOBXJOAH-LSDHHAIUSA-N 1 2 319.449 1.259 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234554591 888088567 /nfs/dbraw/zinc/08/85/67/888088567.db2.gz KZUYNPAOBXJOAH-LSDHHAIUSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NC1CC1 ZINC001234562649 888100781 /nfs/dbraw/zinc/10/07/81/888100781.db2.gz IQYMILMCVHSJGF-HIFRSBDPSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CC1 ZINC001234562649 888100784 /nfs/dbraw/zinc/10/07/84/888100784.db2.gz IQYMILMCVHSJGF-HIFRSBDPSA-N 1 2 321.465 1.789 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001234583121 888117950 /nfs/dbraw/zinc/11/79/50/888117950.db2.gz IIHSFDKYRGSFHV-HNNXBMFYSA-N 1 2 307.438 1.496 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001234583121 888117958 /nfs/dbraw/zinc/11/79/58/888117958.db2.gz IIHSFDKYRGSFHV-HNNXBMFYSA-N 1 2 307.438 1.496 20 30 DDEDLO CN1CCc2cc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@H+]4C)ccc2C1=O ZINC001234612240 888139470 /nfs/dbraw/zinc/13/94/70/888139470.db2.gz LNSKJHTXZXEDAG-WLARWPFNSA-N 1 2 314.385 1.306 20 30 DDEDLO CN1CCc2cc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@@H+]4C)ccc2C1=O ZINC001234612240 888139473 /nfs/dbraw/zinc/13/94/73/888139473.db2.gz LNSKJHTXZXEDAG-WLARWPFNSA-N 1 2 314.385 1.306 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CCC(=C)C)C1=O ZINC001234615332 888143946 /nfs/dbraw/zinc/14/39/46/888143946.db2.gz ZYWRQWGKFKSCPG-HZPDHXFCSA-N 1 2 319.449 1.662 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CCC(=C)C)C1=O ZINC001234615332 888143950 /nfs/dbraw/zinc/14/39/50/888143950.db2.gz ZYWRQWGKFKSCPG-HZPDHXFCSA-N 1 2 319.449 1.662 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001364334478 888405628 /nfs/dbraw/zinc/40/56/28/888405628.db2.gz UIFXKFALGNLTNV-NUEKZKHPSA-N 1 2 317.389 1.460 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001364334478 888405634 /nfs/dbraw/zinc/40/56/34/888405634.db2.gz UIFXKFALGNLTNV-NUEKZKHPSA-N 1 2 317.389 1.460 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2ccnn2C)CCC1 ZINC001235210363 888478500 /nfs/dbraw/zinc/47/85/00/888478500.db2.gz ROXBWDLRTINKOZ-INIZCTEOSA-N 1 2 314.433 1.646 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2ccnn2C)CCC1 ZINC001235210363 888478514 /nfs/dbraw/zinc/47/85/14/888478514.db2.gz ROXBWDLRTINKOZ-INIZCTEOSA-N 1 2 314.433 1.646 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)[C@@H](C)c1nc(C)no1 ZINC001235228986 888493553 /nfs/dbraw/zinc/49/35/53/888493553.db2.gz LOVDZPRMZASHKH-STQMWFEESA-N 1 2 324.425 1.858 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)[C@@H](C)c1nc(C)no1 ZINC001235228986 888493559 /nfs/dbraw/zinc/49/35/59/888493559.db2.gz LOVDZPRMZASHKH-STQMWFEESA-N 1 2 324.425 1.858 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001289944270 913279430 /nfs/dbraw/zinc/27/94/30/913279430.db2.gz XQIDHRXIDSEGIP-ZDUSSCGKSA-N 1 2 304.394 1.286 20 30 DDEDLO CC(C)C[C@H](C(=O)N(C)C[C@H]1CCN1CC#N)n1cc[nH+]c1 ZINC001235632419 888821281 /nfs/dbraw/zinc/82/12/81/888821281.db2.gz IDSUNSFLJHVFKY-HUUCEWRRSA-N 1 2 303.410 1.527 20 30 DDEDLO COCC(=O)NC1CC[NH+](Cc2c(F)cc(C#N)cc2F)CC1 ZINC001235656254 888852611 /nfs/dbraw/zinc/85/26/11/888852611.db2.gz BSEMZDPLSIDVGA-UHFFFAOYSA-N 1 2 323.343 1.563 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nnc(C(C)(C)C)[nH]1 ZINC001235697657 888891201 /nfs/dbraw/zinc/89/12/01/888891201.db2.gz CICDOEWFQKZQPR-UHFFFAOYSA-N 1 2 323.441 1.243 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nnc(C(C)(C)C)[nH]1 ZINC001235697657 888891217 /nfs/dbraw/zinc/89/12/17/888891217.db2.gz CICDOEWFQKZQPR-UHFFFAOYSA-N 1 2 323.441 1.243 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H]2CCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001290004683 913329724 /nfs/dbraw/zinc/32/97/24/913329724.db2.gz DMNOPOSOFNPVIQ-CQSZACIVSA-N 1 2 316.405 1.368 20 30 DDEDLO N#CCC1(CS(=O)(=O)NCc2[nH]c3c([nH+]2)CCCC3)CC1 ZINC001364958695 889761168 /nfs/dbraw/zinc/76/11/68/889761168.db2.gz ZKYMFSZEDUDKIN-UHFFFAOYSA-N 1 2 308.407 1.402 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cccc(OCC(=O)OCC)c2)CC1 ZINC001237918030 889940376 /nfs/dbraw/zinc/94/03/76/889940376.db2.gz GAZOHFDZVXUAAK-UHFFFAOYSA-N 1 2 316.401 1.379 20 30 DDEDLO CCCC[N@@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278223476 890166179 /nfs/dbraw/zinc/16/61/79/890166179.db2.gz GLHRZLOYNHGRCG-UHFFFAOYSA-N 1 2 301.394 1.554 20 30 DDEDLO CCCC[N@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278223476 890166191 /nfs/dbraw/zinc/16/61/91/890166191.db2.gz GLHRZLOYNHGRCG-UHFFFAOYSA-N 1 2 301.394 1.554 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@@H+](Cc2coc(C)n2)C1 ZINC001278452054 892661545 /nfs/dbraw/zinc/66/15/45/892661545.db2.gz ZQHQWEBMLXZWGO-INIZCTEOSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@H+](Cc2coc(C)n2)C1 ZINC001278452054 892661552 /nfs/dbraw/zinc/66/15/52/892661552.db2.gz ZQHQWEBMLXZWGO-INIZCTEOSA-N 1 2 307.394 1.656 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1cccc(C(=O)NC)n1 ZINC001366638577 894394929 /nfs/dbraw/zinc/39/49/29/894394929.db2.gz APMMMHLWPLASSH-UHFFFAOYSA-N 1 2 324.812 1.245 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1cccc(C(=O)NC)n1 ZINC001366638577 894394950 /nfs/dbraw/zinc/39/49/50/894394950.db2.gz APMMMHLWPLASSH-UHFFFAOYSA-N 1 2 324.812 1.245 20 30 DDEDLO C=CCOC(=O)c1ccccc1NC[C@@H](O)C[NH+]1CCOCC1 ZINC001251028826 894581589 /nfs/dbraw/zinc/58/15/89/894581589.db2.gz PXSVARSNUSUGOE-CQSZACIVSA-N 1 2 320.389 1.134 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H](CNC(=O)[C@H](C)C#N)C2)n1C1CC1 ZINC001366690146 894598476 /nfs/dbraw/zinc/59/84/76/894598476.db2.gz OVQDERVISMKLBN-DGCLKSJQSA-N 1 2 316.409 1.019 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H](CNC(=O)[C@H](C)C#N)C2)n1C1CC1 ZINC001366690146 894598486 /nfs/dbraw/zinc/59/84/86/894598486.db2.gz OVQDERVISMKLBN-DGCLKSJQSA-N 1 2 316.409 1.019 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H](NC(=O)[C@H](C)C#N)[C@H]2C)sn1 ZINC001388794135 895929509 /nfs/dbraw/zinc/92/95/09/895929509.db2.gz JEFXBJWAWARFHH-FOGDFJRCSA-N 1 2 308.407 1.390 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H](NC(=O)[C@H](C)C#N)[C@H]2C)sn1 ZINC001388794135 895929519 /nfs/dbraw/zinc/92/95/19/895929519.db2.gz JEFXBJWAWARFHH-FOGDFJRCSA-N 1 2 308.407 1.390 20 30 DDEDLO N#C[C@H]1CC[C@@H]([N@@H+]2CCCn3c(Cn4cccn4)nnc3C2)C1 ZINC001254663619 896560291 /nfs/dbraw/zinc/56/02/91/896560291.db2.gz CQRJPVAYDICGFL-UONOGXRCSA-N 1 2 311.393 1.421 20 30 DDEDLO N#C[C@H]1CC[C@@H]([N@H+]2CCCn3c(Cn4cccn4)nnc3C2)C1 ZINC001254663619 896560300 /nfs/dbraw/zinc/56/03/00/896560300.db2.gz CQRJPVAYDICGFL-UONOGXRCSA-N 1 2 311.393 1.421 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H](C)Cn1ccc(C)n1 ZINC001367510240 896981161 /nfs/dbraw/zinc/98/11/61/896981161.db2.gz FFGSBSPRJFFOJZ-GFCCVEGCSA-N 1 2 312.845 1.970 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H](C)Cn1ccc(C)n1 ZINC001367510240 896981170 /nfs/dbraw/zinc/98/11/70/896981170.db2.gz FFGSBSPRJFFOJZ-GFCCVEGCSA-N 1 2 312.845 1.970 20 30 DDEDLO O=C1C=C2C[N@H+](C3CCN(c4ncccn4)CC3)CCC2S1 ZINC001256057793 897258039 /nfs/dbraw/zinc/25/80/39/897258039.db2.gz QAHNBKKGTVPDLO-CQSZACIVSA-N 1 2 316.430 1.720 20 30 DDEDLO O=C1C=C2C[N@@H+](C3CCN(c4ncccn4)CC3)CCC2S1 ZINC001256057793 897258046 /nfs/dbraw/zinc/25/80/46/897258046.db2.gz QAHNBKKGTVPDLO-CQSZACIVSA-N 1 2 316.430 1.720 20 30 DDEDLO O=C1C=C2C[N@H+](C3CCN(c4ncccn4)CC3)CC[C@H]2S1 ZINC001256057793 897258055 /nfs/dbraw/zinc/25/80/55/897258055.db2.gz QAHNBKKGTVPDLO-CQSZACIVSA-N 1 2 316.430 1.720 20 30 DDEDLO O=C1C=C2C[N@@H+](C3CCN(c4ncccn4)CC3)CC[C@H]2S1 ZINC001256057793 897258064 /nfs/dbraw/zinc/25/80/64/897258064.db2.gz QAHNBKKGTVPDLO-CQSZACIVSA-N 1 2 316.430 1.720 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@H](C)c2cccc(Cl)c2)C1 ZINC001278932302 897401624 /nfs/dbraw/zinc/40/16/24/897401624.db2.gz QFDIPFMCMAUHHS-CYBMUJFWSA-N 1 2 320.820 1.630 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001278932539 897402135 /nfs/dbraw/zinc/40/21/35/897402135.db2.gz WDWBJATXDOBKSI-HNNXBMFYSA-N 1 2 302.418 1.604 20 30 DDEDLO C=CCN(C(=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C)C1CC1 ZINC001257537105 897876037 /nfs/dbraw/zinc/87/60/37/897876037.db2.gz RMAUWEUBVDHVHK-UHFFFAOYSA-N 1 2 317.389 1.500 20 30 DDEDLO C#Cc1ccc(C[NH+]2CCN(C(=O)N3CCOCC3)CC2)cc1 ZINC001261169528 899400260 /nfs/dbraw/zinc/40/02/60/899400260.db2.gz QCMHVSDHSQHGBD-UHFFFAOYSA-N 1 2 313.401 1.238 20 30 DDEDLO C[C@H](c1nc(-c2ccco2)no1)[N@@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001390739614 900063280 /nfs/dbraw/zinc/06/32/80/900063280.db2.gz BWQQLQKGVINSFY-WDEREUQCSA-N 1 2 317.349 1.598 20 30 DDEDLO C[C@H](c1nc(-c2ccco2)no1)[N@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001390739614 900063288 /nfs/dbraw/zinc/06/32/88/900063288.db2.gz BWQQLQKGVINSFY-WDEREUQCSA-N 1 2 317.349 1.598 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@H+](C)CC(=O)N(C1CC1)C1CCCC1 ZINC001390740997 900069192 /nfs/dbraw/zinc/06/91/92/900069192.db2.gz GLFSXVBMYMIVLJ-ZDUSSCGKSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@@H+](C)CC(=O)N(C1CC1)C1CCCC1 ZINC001390740997 900069203 /nfs/dbraw/zinc/06/92/03/900069203.db2.gz GLFSXVBMYMIVLJ-ZDUSSCGKSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1(NC(=O)CCc2c[nH]c[nH+]2)CCCC1 ZINC001390753177 900103689 /nfs/dbraw/zinc/10/36/89/900103689.db2.gz OPNPBLKYFMDESV-LBPRGKRZSA-N 1 2 317.393 1.047 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1(NC(=O)CCc2c[nH+]c[nH]2)CCCC1 ZINC001390753177 900103696 /nfs/dbraw/zinc/10/36/96/900103696.db2.gz OPNPBLKYFMDESV-LBPRGKRZSA-N 1 2 317.393 1.047 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1C ZINC001262856705 900397358 /nfs/dbraw/zinc/39/73/58/900397358.db2.gz TYWOJANBXAOKBB-CPUCHLNUSA-N 1 2 319.453 1.662 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1C ZINC001262856705 900397369 /nfs/dbraw/zinc/39/73/69/900397369.db2.gz TYWOJANBXAOKBB-CPUCHLNUSA-N 1 2 319.453 1.662 20 30 DDEDLO C=CCC1(O)CCN(c2nnc([C@@H]3CCCC[N@@H+]3C)n2C)CC1 ZINC001262904705 900413395 /nfs/dbraw/zinc/41/33/95/900413395.db2.gz ZSGZRJLOHOTPCL-AWEZNQCLSA-N 1 2 319.453 1.879 20 30 DDEDLO C=CCC1(O)CCN(c2nnc([C@@H]3CCCC[N@H+]3C)n2C)CC1 ZINC001262904705 900413404 /nfs/dbraw/zinc/41/34/04/900413404.db2.gz ZSGZRJLOHOTPCL-AWEZNQCLSA-N 1 2 319.453 1.879 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@H]3C[C@H](O)C[N@H+]3C)n2CC=C)C1 ZINC001262947748 900431312 /nfs/dbraw/zinc/43/13/12/900431312.db2.gz SOLRQPFHBVVEDA-QLFBSQMISA-N 1 2 315.421 1.051 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@H]3C[C@H](O)C[N@@H+]3C)n2CC=C)C1 ZINC001262947748 900431319 /nfs/dbraw/zinc/43/13/19/900431319.db2.gz SOLRQPFHBVVEDA-QLFBSQMISA-N 1 2 315.421 1.051 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)Cc1ccco1 ZINC001262947373 900432129 /nfs/dbraw/zinc/43/21/29/900432129.db2.gz KDMGVOLQUUKHQK-TZMCWYRMSA-N 1 2 317.393 1.431 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)Cc1ccco1 ZINC001262947373 900432139 /nfs/dbraw/zinc/43/21/39/900432139.db2.gz KDMGVOLQUUKHQK-TZMCWYRMSA-N 1 2 317.393 1.431 20 30 DDEDLO C=C(C)Cn1c(CCC)nnc1N(C)CC[NH+]1CCOCC1 ZINC001263309307 900568217 /nfs/dbraw/zinc/56/82/17/900568217.db2.gz FEJYHNBJOZJSDN-UHFFFAOYSA-N 1 2 307.442 1.575 20 30 DDEDLO C#CC[C@@H](OC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C1CC1 ZINC001263401572 900602889 /nfs/dbraw/zinc/60/28/89/900602889.db2.gz KIEMFPBJZIZJGR-OAGGEKHMSA-N 1 2 307.390 1.211 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(CF)cc1 ZINC001263821725 900739121 /nfs/dbraw/zinc/73/91/21/900739121.db2.gz YMFCSQIIGLOONL-KRWDZBQOSA-N 1 2 318.392 1.952 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(CF)cc1 ZINC001263821725 900739132 /nfs/dbraw/zinc/73/91/32/900739132.db2.gz YMFCSQIIGLOONL-KRWDZBQOSA-N 1 2 318.392 1.952 20 30 DDEDLO C=CCC1(O)CN(C(=O)[C@H](C(CC)CC)[NH+]2CCOCC2)C1 ZINC001263932356 900824034 /nfs/dbraw/zinc/82/40/34/900824034.db2.gz FXZODDHPWQRSMC-HNNXBMFYSA-N 1 2 310.438 1.273 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)[C@@H]1C ZINC001264140802 900974280 /nfs/dbraw/zinc/97/42/80/900974280.db2.gz SXDWOGTZOQHCDR-IOASZLSFSA-N 1 2 319.380 1.338 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)[C@@H]1C ZINC001264140802 900974288 /nfs/dbraw/zinc/97/42/88/900974288.db2.gz SXDWOGTZOQHCDR-IOASZLSFSA-N 1 2 319.380 1.338 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C(C)(C)C ZINC001264363596 901039908 /nfs/dbraw/zinc/03/99/08/901039908.db2.gz VDYOWPJRSRHSRN-KGLIPLIRSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C(C)(C)C ZINC001264363596 901039915 /nfs/dbraw/zinc/03/99/15/901039915.db2.gz VDYOWPJRSRHSRN-KGLIPLIRSA-N 1 2 309.454 1.504 20 30 DDEDLO CC#CC[NH+]1CCN(CCCNC(=O)c2ccc(Cl)o2)CC1 ZINC001265238363 901762748 /nfs/dbraw/zinc/76/27/48/901762748.db2.gz BOADEHFXVHEQET-UHFFFAOYSA-N 1 2 323.824 1.694 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)C#CC(C)C)C1 ZINC001265284590 901823696 /nfs/dbraw/zinc/82/36/96/901823696.db2.gz SPUICLKVFVJULX-CABCVRRESA-N 1 2 307.438 1.141 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)C#CC(C)C)C1 ZINC001265284590 901823705 /nfs/dbraw/zinc/82/37/05/901823705.db2.gz SPUICLKVFVJULX-CABCVRRESA-N 1 2 307.438 1.141 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001265393321 901963039 /nfs/dbraw/zinc/96/30/39/901963039.db2.gz WQGOYJYTRJQORA-AWEZNQCLSA-N 1 2 303.410 1.047 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCCOC1 ZINC001265416074 901992349 /nfs/dbraw/zinc/99/23/49/901992349.db2.gz MCIPPPCDDMJBLQ-ZACQAIPSSA-N 1 2 320.433 1.128 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCCOC1 ZINC001265416074 901992363 /nfs/dbraw/zinc/99/23/63/901992363.db2.gz MCIPPPCDDMJBLQ-ZACQAIPSSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)COc2cccnc2)C1 ZINC001369856607 902103916 /nfs/dbraw/zinc/10/39/16/902103916.db2.gz QVSOOUYLKIDKFF-ZDUSSCGKSA-N 1 2 309.797 1.794 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)COc2cccnc2)C1 ZINC001369856607 902103923 /nfs/dbraw/zinc/10/39/23/902103923.db2.gz QVSOOUYLKIDKFF-ZDUSSCGKSA-N 1 2 309.797 1.794 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCC[C@@H]2CNC(=O)C#CC2CC2)nn1C ZINC001265645105 902243139 /nfs/dbraw/zinc/24/31/39/902243139.db2.gz JNBYVMUEESMHID-QGZVFWFLSA-N 1 2 314.433 1.613 20 30 DDEDLO Cc1cc(C[N@H+]2CCCC[C@@H]2CNC(=O)C#CC2CC2)nn1C ZINC001265645105 902243155 /nfs/dbraw/zinc/24/31/55/902243155.db2.gz JNBYVMUEESMHID-QGZVFWFLSA-N 1 2 314.433 1.613 20 30 DDEDLO COCC#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccns1 ZINC001265647716 902247022 /nfs/dbraw/zinc/24/70/22/902247022.db2.gz RLYGACHTJNWOMU-CYBMUJFWSA-N 1 2 307.419 1.377 20 30 DDEDLO COCC#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1ccns1 ZINC001265647716 902247035 /nfs/dbraw/zinc/24/70/35/902247035.db2.gz RLYGACHTJNWOMU-CYBMUJFWSA-N 1 2 307.419 1.377 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001265721112 902339257 /nfs/dbraw/zinc/33/92/57/902339257.db2.gz FHOXMRMMIQNJNL-ZLQDYUCGSA-N 1 2 317.437 1.823 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001265721112 902339269 /nfs/dbraw/zinc/33/92/69/902339269.db2.gz FHOXMRMMIQNJNL-ZLQDYUCGSA-N 1 2 317.437 1.823 20 30 DDEDLO CCCN(C(=O)[C@@H](C)C#N)C1CC[NH+](Cc2cnns2)CC1 ZINC001370086953 902520824 /nfs/dbraw/zinc/52/08/24/902520824.db2.gz GKNLXCMNFOCYJD-LBPRGKRZSA-N 1 2 321.450 1.901 20 30 DDEDLO C=CCCOCC(=O)N(C)C1CC[NH+](Cc2ncc(C)o2)CC1 ZINC001266197663 903092268 /nfs/dbraw/zinc/09/22/68/903092268.db2.gz MIXRBZSMSVEHFM-UHFFFAOYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCC(=O)NCCC[C@H]1CCC[N@H+]1Cc1nnn(C)n1 ZINC001266204858 903105308 /nfs/dbraw/zinc/10/53/08/903105308.db2.gz ZHERMVWGJXYMAL-ZDUSSCGKSA-N 1 2 306.414 1.037 20 30 DDEDLO C=CCCC(=O)NCCC[C@H]1CCC[N@@H+]1Cc1nnn(C)n1 ZINC001266204858 903105318 /nfs/dbraw/zinc/10/53/18/903105318.db2.gz ZHERMVWGJXYMAL-ZDUSSCGKSA-N 1 2 306.414 1.037 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CCCN1C(=O)Cc1c[nH+]cn1C ZINC001279858182 903437582 /nfs/dbraw/zinc/43/75/82/903437582.db2.gz YWVHYKDCIYMXOK-AWEZNQCLSA-N 1 2 318.421 1.378 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C[NH2+]Cc1cnsn1)C1CCCCC1 ZINC001392173766 903450533 /nfs/dbraw/zinc/45/05/33/903450533.db2.gz YCZPRZHQLAELLZ-BXUZGUMPSA-N 1 2 321.450 1.852 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](OCC)C1CC1)CCO2 ZINC001280899116 904165447 /nfs/dbraw/zinc/16/54/47/904165447.db2.gz NNYRKXPBMTXFIK-HNNXBMFYSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001280948067 904230053 /nfs/dbraw/zinc/23/00/53/904230053.db2.gz FFCAZEBHNWRMBV-ZDUSSCGKSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001281033269 904337013 /nfs/dbraw/zinc/33/70/13/904337013.db2.gz XZLHKDKFBDPTPB-SJCJKPOMSA-N 1 2 320.437 1.826 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H](CCC)OC ZINC001281072733 904374798 /nfs/dbraw/zinc/37/47/98/904374798.db2.gz QFFCWTAVBMWYAF-QZTJIDSGSA-N 1 2 314.429 1.978 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H](CCC)OC ZINC001281072733 904374814 /nfs/dbraw/zinc/37/48/14/904374814.db2.gz QFFCWTAVBMWYAF-QZTJIDSGSA-N 1 2 314.429 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@H](CC(C)C)NC(C)=O ZINC001392718870 905041066 /nfs/dbraw/zinc/04/10/66/905041066.db2.gz KWBPOARFQPOYBH-OCCSQVGLSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@H](CC(C)C)NC(C)=O ZINC001392718870 905041079 /nfs/dbraw/zinc/04/10/79/905041079.db2.gz KWBPOARFQPOYBH-OCCSQVGLSA-N 1 2 317.861 1.726 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)[C@H](C)[NH2+]Cc1nnc(C(C)C)o1 ZINC001282121359 905561907 /nfs/dbraw/zinc/56/19/07/905561907.db2.gz CIBGSPKGYUXTLF-STQMWFEESA-N 1 2 306.410 1.979 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@H](C)[C@H](C)NC(=O)C#CC2CC2)n1 ZINC001282129484 905566746 /nfs/dbraw/zinc/56/67/46/905566746.db2.gz SMXIGLVBBFMJEP-OLZOCXBDSA-N 1 2 318.421 1.664 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)[C@@H](C)[NH2+]Cc2cnsn2)cn1 ZINC001282218547 905621838 /nfs/dbraw/zinc/62/18/38/905621838.db2.gz JDTSAOWHNYKYJM-GHMZBOCLSA-N 1 2 315.402 1.211 20 30 DDEDLO CC[C@@H](OC)C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001371925988 906016630 /nfs/dbraw/zinc/01/66/30/906016630.db2.gz FMOCHSPUERWJBA-OAHLLOKOSA-N 1 2 307.369 1.670 20 30 DDEDLO CC[C@@H](OC)C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001371925988 906016647 /nfs/dbraw/zinc/01/66/47/906016647.db2.gz FMOCHSPUERWJBA-OAHLLOKOSA-N 1 2 307.369 1.670 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001282849787 906093107 /nfs/dbraw/zinc/09/31/07/906093107.db2.gz QVCLCLPQNLETNN-ZSOGYDGISA-N 1 2 316.405 1.230 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001282849787 906093113 /nfs/dbraw/zinc/09/31/13/906093113.db2.gz QVCLCLPQNLETNN-ZSOGYDGISA-N 1 2 316.405 1.230 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)Cc1nc(C2CC2)nn1C ZINC001372243819 906804160 /nfs/dbraw/zinc/80/41/60/906804160.db2.gz SFKTWINSHIKMLF-UHFFFAOYSA-N 1 2 311.817 1.036 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)Cc1nc(C2CC2)nn1C ZINC001372243819 906804169 /nfs/dbraw/zinc/80/41/69/906804169.db2.gz SFKTWINSHIKMLF-UHFFFAOYSA-N 1 2 311.817 1.036 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001283885432 908131198 /nfs/dbraw/zinc/13/11/98/908131198.db2.gz COBFIJDWDKGMBE-KGLIPLIRSA-N 1 2 318.421 1.764 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001283885431 908131454 /nfs/dbraw/zinc/13/14/54/908131454.db2.gz COBFIJDWDKGMBE-KBPBESRZSA-N 1 2 318.421 1.764 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)[C@H]1C ZINC001284129473 908523150 /nfs/dbraw/zinc/52/31/50/908523150.db2.gz QZGNTDXFSPTNTG-UONOGXRCSA-N 1 2 322.453 1.277 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)[C@H]1C ZINC001284129473 908523155 /nfs/dbraw/zinc/52/31/55/908523155.db2.gz QZGNTDXFSPTNTG-UONOGXRCSA-N 1 2 322.453 1.277 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001284289457 908778867 /nfs/dbraw/zinc/77/88/67/908778867.db2.gz RFAZTEFPVGWMIE-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCCCC[N@@H+]1Cc1cnns1 ZINC001394203029 909067052 /nfs/dbraw/zinc/06/70/52/909067052.db2.gz FIDQGZNCEVIPHW-VXGBXAGGSA-N 1 2 307.423 1.559 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCCCC[N@H+]1Cc1cnns1 ZINC001394203029 909067068 /nfs/dbraw/zinc/06/70/68/909067068.db2.gz FIDQGZNCEVIPHW-VXGBXAGGSA-N 1 2 307.423 1.559 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@@H]1CC[N@H+](CC(=O)NC2CCCCC2)C1 ZINC001373672483 910573255 /nfs/dbraw/zinc/57/32/55/910573255.db2.gz YKYXHNFIRCAJPY-DZGCQCFKSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NC2CCCCC2)C1 ZINC001373672483 910573269 /nfs/dbraw/zinc/57/32/69/910573269.db2.gz YKYXHNFIRCAJPY-DZGCQCFKSA-N 1 2 320.437 1.128 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH+]cn1C ZINC001285774192 911189163 /nfs/dbraw/zinc/18/91/63/911189163.db2.gz PWUFUUKXBAYBRC-CYBMUJFWSA-N 1 2 320.437 1.528 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1c[nH+]c[nH]1 ZINC001285977465 911517597 /nfs/dbraw/zinc/51/75/97/911517597.db2.gz HGFKHGYLLFOKJS-CABCVRRESA-N 1 2 316.405 1.510 20 30 DDEDLO C=CCCCC(=O)N1CC[C@](C)(NC(=O)Cn2cc[nH+]c2)C1 ZINC001286099521 911689716 /nfs/dbraw/zinc/68/97/16/911689716.db2.gz ZIDVNHOZVDTSIG-INIZCTEOSA-N 1 2 304.394 1.347 20 30 DDEDLO C=CCCC(=O)N1CC([C@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001286203391 911824137 /nfs/dbraw/zinc/82/41/37/911824137.db2.gz SFNJHTVMXXAUHX-IACUBPJLSA-N 1 2 316.405 1.443 20 30 DDEDLO C=CCCC(=O)N1CC([C@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001286203391 911824147 /nfs/dbraw/zinc/82/41/47/911824147.db2.gz SFNJHTVMXXAUHX-IACUBPJLSA-N 1 2 316.405 1.443 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001286341064 911933402 /nfs/dbraw/zinc/93/34/02/911933402.db2.gz NHPHUVVGCOWCTG-CHWSQXEVSA-N 1 2 318.421 1.708 20 30 DDEDLO C#CCC1(C(=O)N(C)CCCNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001294164002 914953395 /nfs/dbraw/zinc/95/33/95/914953395.db2.gz BVUIPDRPQCBHIL-UHFFFAOYSA-N 1 2 316.405 1.041 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CN(C)C(=O)CCc1c[nH+]cn1C ZINC001397042778 915034423 /nfs/dbraw/zinc/03/44/23/915034423.db2.gz XPRKOKFMTJRDJQ-CQSZACIVSA-N 1 2 310.829 1.638 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001294783142 915361187 /nfs/dbraw/zinc/36/11/87/915361187.db2.gz DAFUOXQCBKUYSF-YNEHKIRRSA-N 1 2 316.405 1.443 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001294783142 915361205 /nfs/dbraw/zinc/36/12/05/915361205.db2.gz DAFUOXQCBKUYSF-YNEHKIRRSA-N 1 2 316.405 1.443 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001294801007 915379059 /nfs/dbraw/zinc/37/90/59/915379059.db2.gz PGFNOYDRYKHOAI-AWEZNQCLSA-N 1 2 316.405 1.438 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@H]21 ZINC001295375504 915748439 /nfs/dbraw/zinc/74/84/39/915748439.db2.gz QYZJTFOQDQANJM-SHFYGJNESA-N 1 2 316.405 1.128 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](C)NC(=O)Cc2c[nH+]cn2C)CCC1 ZINC001295638321 915950292 /nfs/dbraw/zinc/95/02/92/915950292.db2.gz OZTSLHZUZHPVDR-CYBMUJFWSA-N 1 2 318.421 1.330 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001295729294 916023134 /nfs/dbraw/zinc/02/31/34/916023134.db2.gz OMQSGBFPMKOPTJ-WMLDXEAASA-N 1 2 318.421 1.450 20 30 DDEDLO COCCOc1ccc(C#N)cc1NC(=O)Cc1c[nH+]cn1C ZINC001296303763 916335392 /nfs/dbraw/zinc/33/53/92/916335392.db2.gz LPCATZSEUPABCC-UHFFFAOYSA-N 1 2 314.345 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(CC)C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001296372255 916369372 /nfs/dbraw/zinc/36/93/72/916369372.db2.gz NFPJAUYGSYCLEI-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@H]([NH2+]Cc2noc(-c3ccoc3)n2)C1 ZINC001376357416 918310885 /nfs/dbraw/zinc/31/08/85/918310885.db2.gz INMLGTHKYSSOHL-DLOVCJGASA-N 1 2 315.333 1.226 20 30 DDEDLO CC[N@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1nc(C)oc1C ZINC001377303427 920972093 /nfs/dbraw/zinc/97/20/93/920972093.db2.gz SPMRWGHJPZOERW-UHFFFAOYSA-N 1 2 315.377 1.743 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1nc(C)oc1C ZINC001377303427 920972116 /nfs/dbraw/zinc/97/21/16/920972116.db2.gz SPMRWGHJPZOERW-UHFFFAOYSA-N 1 2 315.377 1.743 20 30 DDEDLO CC(C)CC[C@@H](C)NC(=O)C[NH+]1CC(CNC(=O)[C@H](C)C#N)C1 ZINC001377960108 923523332 /nfs/dbraw/zinc/52/33/32/923523332.db2.gz KUXFEDIFAPZRAU-ZIAGYGMSSA-N 1 2 322.453 1.135 20 30 DDEDLO C=CCCOCCNC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000155262718 539209101 /nfs/dbraw/zinc/20/91/01/539209101.db2.gz IVOOKZKIZJAWTH-UHFFFAOYSA-N 1 2 318.417 1.841 20 30 DDEDLO CO[C@@]1(C(F)(F)F)CCC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000614923567 362081783 /nfs/dbraw/zinc/08/17/83/362081783.db2.gz BQSVKTNZNNJVDV-YPMHNXCESA-N 1 2 321.343 1.790 20 30 DDEDLO CO[C@@]1(C(F)(F)F)CCC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000614923567 362081786 /nfs/dbraw/zinc/08/17/86/362081786.db2.gz BQSVKTNZNNJVDV-YPMHNXCESA-N 1 2 321.343 1.790 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1Cc2c(OC)ccc(OC)c2[C@@H](O)C1 ZINC000272826839 210226426 /nfs/dbraw/zinc/22/64/26/210226426.db2.gz GDXMYLVBIJJVGC-AAEUAGOBSA-N 1 2 320.389 1.244 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1Cc2c(OC)ccc(OC)c2[C@@H](O)C1 ZINC000272826839 210226428 /nfs/dbraw/zinc/22/64/28/210226428.db2.gz GDXMYLVBIJJVGC-AAEUAGOBSA-N 1 2 320.389 1.244 20 30 DDEDLO C[C@H](CNC(=O)Nc1cccc2c1OCO2)[NH+]1CCOCC1 ZINC000329293049 539302787 /nfs/dbraw/zinc/30/27/87/539302787.db2.gz BBYQOJODDWSURC-LLVKDONJSA-N 1 2 307.350 1.462 20 30 DDEDLO Cc1cn2cc(NC(=O)N3CCCS(=O)(=O)CC3)ccc2[nH+]1 ZINC000329415001 539304279 /nfs/dbraw/zinc/30/42/79/539304279.db2.gz FVFFXVQNTKNZQC-UHFFFAOYSA-N 1 2 322.390 1.309 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)cc1 ZINC000097002862 185396324 /nfs/dbraw/zinc/39/63/24/185396324.db2.gz YIGMJJNFTGQLLZ-UHFFFAOYSA-N 1 2 305.403 1.275 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N[C@@H]2CCC(=O)NC2(C)C)c[nH+]1 ZINC000330425501 529778727 /nfs/dbraw/zinc/77/87/27/529778727.db2.gz GGAYPBATTKBQLV-GFCCVEGCSA-N 1 2 319.409 1.208 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc(Cl)cc1C(N)=O ZINC000414149883 529868362 /nfs/dbraw/zinc/86/83/62/529868362.db2.gz VEYAOWNGQOAWOJ-NSHDSACASA-N 1 2 311.769 1.024 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc(Cl)cc1C(N)=O ZINC000414149883 529868363 /nfs/dbraw/zinc/86/83/63/529868363.db2.gz VEYAOWNGQOAWOJ-NSHDSACASA-N 1 2 311.769 1.024 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)N2CC[C@](F)(C#N)C2)CC1 ZINC000615595018 362353738 /nfs/dbraw/zinc/35/37/38/362353738.db2.gz RSWPLGNVYACJBS-INIZCTEOSA-N 1 2 319.384 1.096 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)CC(=O)N1C ZINC000564548645 304000249 /nfs/dbraw/zinc/00/02/49/304000249.db2.gz SRNLCFVZCDBQTR-SNVBAGLBSA-N 1 2 306.391 1.111 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)CC(=O)N1C ZINC000564548645 304000250 /nfs/dbraw/zinc/00/02/50/304000250.db2.gz SRNLCFVZCDBQTR-SNVBAGLBSA-N 1 2 306.391 1.111 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cnc(C4CC4)nc3)CC2)nc1 ZINC000459305301 233079229 /nfs/dbraw/zinc/07/92/29/233079229.db2.gz HTOFOLOOAKOLDY-UHFFFAOYSA-N 1 2 320.400 1.943 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CCSC[C@@H]1CCO ZINC000459368679 233138618 /nfs/dbraw/zinc/13/86/18/233138618.db2.gz PYRJYMUEDNQDDS-LBPRGKRZSA-N 1 2 307.375 1.766 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CCSC[C@@H]1CCO ZINC000459368679 233138622 /nfs/dbraw/zinc/13/86/22/233138622.db2.gz PYRJYMUEDNQDDS-LBPRGKRZSA-N 1 2 307.375 1.766 20 30 DDEDLO Cc1cc(C(=O)N2CC[N@H+](CCC(C)(C)C#N)[C@H](C)C2)n[nH]1 ZINC000459591426 233344599 /nfs/dbraw/zinc/34/45/99/233344599.db2.gz OHZXKNUUSZRPIB-CYBMUJFWSA-N 1 2 303.410 1.804 20 30 DDEDLO Cc1cc(C(=O)N2CC[N@@H+](CCC(C)(C)C#N)[C@H](C)C2)n[nH]1 ZINC000459591426 233344607 /nfs/dbraw/zinc/34/46/07/233344607.db2.gz OHZXKNUUSZRPIB-CYBMUJFWSA-N 1 2 303.410 1.804 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](C)CC(=O)Nc1cccc(C)c1C ZINC000014931047 352151786 /nfs/dbraw/zinc/15/17/86/352151786.db2.gz QWALHTBPSATOKV-CQSZACIVSA-N 1 2 303.406 1.864 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](C)CC(=O)Nc1cccc(C)c1C ZINC000014931047 352151788 /nfs/dbraw/zinc/15/17/88/352151788.db2.gz QWALHTBPSATOKV-CQSZACIVSA-N 1 2 303.406 1.864 20 30 DDEDLO COCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)Cc1ccco1 ZINC000046062180 352436086 /nfs/dbraw/zinc/43/60/86/352436086.db2.gz YPBHOJDIJBWTLW-MRXNPFEDSA-N 1 2 307.394 1.782 20 30 DDEDLO COCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)Cc1ccco1 ZINC000046062180 352436087 /nfs/dbraw/zinc/43/60/87/352436087.db2.gz YPBHOJDIJBWTLW-MRXNPFEDSA-N 1 2 307.394 1.782 20 30 DDEDLO C#CCN(CC)C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000056577960 352778040 /nfs/dbraw/zinc/77/80/40/352778040.db2.gz LEUPNNXAPRSZCF-KRWDZBQOSA-N 1 2 315.417 1.725 20 30 DDEDLO C=CC[N@@H+](CC(=O)NC(=O)NCC)Cc1cccc(C#N)c1 ZINC000063300727 352909929 /nfs/dbraw/zinc/90/99/29/352909929.db2.gz WCQTTYVMUAZXPG-UHFFFAOYSA-N 1 2 300.362 1.392 20 30 DDEDLO C=CC[N@H+](CC(=O)NC(=O)NCC)Cc1cccc(C#N)c1 ZINC000063300727 352909931 /nfs/dbraw/zinc/90/99/31/352909931.db2.gz WCQTTYVMUAZXPG-UHFFFAOYSA-N 1 2 300.362 1.392 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(c2ccc(Cl)cc2C#N)CC1 ZINC000068428157 353111007 /nfs/dbraw/zinc/11/10/07/353111007.db2.gz BPEYFHAXBGEHDX-UHFFFAOYSA-N 1 2 306.797 1.422 20 30 DDEDLO Cc1nnc(Nc2ccc(N3CCNC(=O)C3)[nH+]c2)c(C#N)c1C ZINC000075669343 353375059 /nfs/dbraw/zinc/37/50/59/353375059.db2.gz DAIPALUAWSKKJY-UHFFFAOYSA-N 1 2 323.360 1.040 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCOc2ccccc2C1 ZINC000077234072 353459779 /nfs/dbraw/zinc/45/97/79/353459779.db2.gz ZSUFKZACCJBWMK-AWEZNQCLSA-N 1 2 301.390 1.889 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCOc2ccccc2C1 ZINC000077234072 353459780 /nfs/dbraw/zinc/45/97/80/353459780.db2.gz ZSUFKZACCJBWMK-AWEZNQCLSA-N 1 2 301.390 1.889 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+](CC=C)Cc2cccs2)CC1 ZINC000081645828 353686829 /nfs/dbraw/zinc/68/68/29/353686829.db2.gz JXGVRFALYWPOJA-UHFFFAOYSA-N 1 2 317.458 1.514 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+](CC=C)Cc2cccs2)CC1 ZINC000081645828 353686830 /nfs/dbraw/zinc/68/68/30/353686830.db2.gz JXGVRFALYWPOJA-UHFFFAOYSA-N 1 2 317.458 1.514 20 30 DDEDLO Cc1cc(C)c(C#N)c(N2CCN(Cc3[nH+]ccn3C)CC2)n1 ZINC000108952417 353956207 /nfs/dbraw/zinc/95/62/07/353956207.db2.gz HXRFZQDZXBHSDV-UHFFFAOYSA-N 1 2 310.405 1.626 20 30 DDEDLO CCO[C@@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000128543933 354075373 /nfs/dbraw/zinc/07/53/73/354075373.db2.gz ZFZZCWXZRHHCRY-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO CCO[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000128543933 354075378 /nfs/dbraw/zinc/07/53/78/354075378.db2.gz ZFZZCWXZRHHCRY-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)N[C@](C)(C#N)C1CC1)c1nc(C(C)(C)C)no1 ZINC000185165627 354280998 /nfs/dbraw/zinc/28/09/98/354280998.db2.gz JYDSZLGGVRXSMQ-QLJPJBMISA-N 1 2 319.409 1.826 20 30 DDEDLO C[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)c1cccc([N+](=O)[O-])c1 ZINC000580777139 354726904 /nfs/dbraw/zinc/72/69/04/354726904.db2.gz KKIXDLDBCBAZBK-XHDPSFHLSA-N 1 2 304.350 1.658 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000586796810 354867514 /nfs/dbraw/zinc/86/75/14/354867514.db2.gz ARMVLGUUNGGQRF-CQSZACIVSA-N 1 2 317.364 1.173 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000590705423 355152840 /nfs/dbraw/zinc/15/28/40/355152840.db2.gz RRESCHQFSUKZKR-OAHLLOKOSA-N 1 2 319.361 1.290 20 30 DDEDLO C=CCCOCC(=O)N(C)Cc1[nH+]ccn1CC(F)(F)F ZINC000590711021 355153900 /nfs/dbraw/zinc/15/39/00/355153900.db2.gz SEHIMBQIBLEEHZ-UHFFFAOYSA-N 1 2 305.300 1.997 20 30 DDEDLO COc1cccc2c1C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)CC2 ZINC000590759877 355169231 /nfs/dbraw/zinc/16/92/31/355169231.db2.gz BARRMOUSEPSBKX-SFHVURJKSA-N 1 2 313.401 1.862 20 30 DDEDLO COc1cccc2c1C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)CC2 ZINC000590759877 355169233 /nfs/dbraw/zinc/16/92/33/355169233.db2.gz BARRMOUSEPSBKX-SFHVURJKSA-N 1 2 313.401 1.862 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)c1 ZINC000332631240 235221354 /nfs/dbraw/zinc/22/13/54/235221354.db2.gz TTZPTLXYPQCRHX-HOTGVXAUSA-N 1 2 314.389 1.804 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)c1 ZINC000332631240 235221358 /nfs/dbraw/zinc/22/13/58/235221358.db2.gz TTZPTLXYPQCRHX-HOTGVXAUSA-N 1 2 314.389 1.804 20 30 DDEDLO COC[C@@]1(C(N)=O)CCC[N@@H+]1Cc1cn2ccccc2c1C#N ZINC000591646930 355351128 /nfs/dbraw/zinc/35/11/28/355351128.db2.gz IFHPZCSNTUWPHI-QGZVFWFLSA-N 1 2 312.373 1.277 20 30 DDEDLO COC[C@@]1(C(N)=O)CCC[N@H+]1Cc1cn2ccccc2c1C#N ZINC000591646930 355351129 /nfs/dbraw/zinc/35/11/29/355351129.db2.gz IFHPZCSNTUWPHI-QGZVFWFLSA-N 1 2 312.373 1.277 20 30 DDEDLO COc1ccc(-c2nnc(C[N@@H+]3CC[C@](O)(CC#N)C3)o2)cc1 ZINC000592148671 355514921 /nfs/dbraw/zinc/51/49/21/355514921.db2.gz YUKIQJPAJOPEGL-MRXNPFEDSA-N 1 2 314.345 1.596 20 30 DDEDLO COc1ccc(-c2nnc(C[N@H+]3CC[C@](O)(CC#N)C3)o2)cc1 ZINC000592148671 355514925 /nfs/dbraw/zinc/51/49/25/355514925.db2.gz YUKIQJPAJOPEGL-MRXNPFEDSA-N 1 2 314.345 1.596 20 30 DDEDLO COc1ccccc1-n1nccc1C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592523250 355611898 /nfs/dbraw/zinc/61/18/98/355611898.db2.gz XFZTUASDQOXBBC-KRWDZBQOSA-N 1 2 312.373 1.731 20 30 DDEDLO COc1ccccc1-n1nccc1C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592523250 355611900 /nfs/dbraw/zinc/61/19/00/355611900.db2.gz XFZTUASDQOXBBC-KRWDZBQOSA-N 1 2 312.373 1.731 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)CC1 ZINC000592947384 355748464 /nfs/dbraw/zinc/74/84/64/355748464.db2.gz FUNHGHVBUFJLSR-ZBFHGGJFSA-N 1 2 315.421 1.138 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cccc2c1OCCCO2 ZINC000593153510 355812814 /nfs/dbraw/zinc/81/28/14/355812814.db2.gz SFQNNLJJQODGRN-INIZCTEOSA-N 1 2 303.362 1.422 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnn(-c2ccc(F)cc2)c1 ZINC000593153211 355812843 /nfs/dbraw/zinc/81/28/43/355812843.db2.gz DRNHBYUEYLLZPZ-INIZCTEOSA-N 1 2 315.352 1.585 20 30 DDEDLO N#C[C@@H]1N(C(=O)CCc2[nH]cc[nH+]2)CCC[C@]12CCCCO2 ZINC000593895874 356046800 /nfs/dbraw/zinc/04/68/00/356046800.db2.gz SKLYCDLFKILQLA-XJKSGUPXSA-N 1 2 302.378 1.796 20 30 DDEDLO O=C(NCc1cn2ccccc2[nH+]1)N1CCOCC2(CC2)C1 ZINC000329426859 222976515 /nfs/dbraw/zinc/97/65/15/222976515.db2.gz GGXSIDGRGVLATC-UHFFFAOYSA-N 1 2 300.362 1.861 20 30 DDEDLO CCN1CC[C@H]([NH+]2CCN([C@H](C#N)c3ccccc3)CC2)C1=O ZINC000595376506 356470621 /nfs/dbraw/zinc/47/06/21/356470621.db2.gz JRQMAXNRVIJGOR-DLBZAZTESA-N 1 2 312.417 1.490 20 30 DDEDLO COC(=O)C[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1CCCCC1 ZINC000595664860 356599347 /nfs/dbraw/zinc/59/93/47/356599347.db2.gz SRIVLSGZGKKZEY-KRWDZBQOSA-N 1 2 323.437 1.849 20 30 DDEDLO COC(=O)C[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1CCCCC1 ZINC000595664860 356599350 /nfs/dbraw/zinc/59/93/50/356599350.db2.gz SRIVLSGZGKKZEY-KRWDZBQOSA-N 1 2 323.437 1.849 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@](O)(C3CC3)C2)c(C#N)c1C ZINC000595750341 356635010 /nfs/dbraw/zinc/63/50/10/356635010.db2.gz MAIDNXNQEBLXQB-QGZVFWFLSA-N 1 2 317.389 1.944 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@](O)(C3CC3)C2)c(C#N)c1C ZINC000595750341 356635013 /nfs/dbraw/zinc/63/50/13/356635013.db2.gz MAIDNXNQEBLXQB-QGZVFWFLSA-N 1 2 317.389 1.944 20 30 DDEDLO C[C@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@]1(C)CO ZINC000595877396 356688613 /nfs/dbraw/zinc/68/86/13/356688613.db2.gz TWDLVFRHJIIUDZ-BBRMVZONSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@]1(C)CO ZINC000595877396 356688617 /nfs/dbraw/zinc/68/86/17/356688617.db2.gz TWDLVFRHJIIUDZ-BBRMVZONSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000595913150 356703050 /nfs/dbraw/zinc/70/30/50/356703050.db2.gz CEAVZQGYWCSYBO-JTNHKYCSSA-N 1 2 303.353 1.491 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000595913150 356703053 /nfs/dbraw/zinc/70/30/53/356703053.db2.gz CEAVZQGYWCSYBO-JTNHKYCSSA-N 1 2 303.353 1.491 20 30 DDEDLO C[C@H](CNc1nc2ncccc2cc1C#N)[NH+]1CCN(C)CC1 ZINC000596105593 356773699 /nfs/dbraw/zinc/77/36/99/356773699.db2.gz WKNFVAZIKNXUIX-CYBMUJFWSA-N 1 2 310.405 1.549 20 30 DDEDLO COC1(C)CN(c2cc(C)[nH+]c(N3CC(C)(OC)C3)c2C#N)C1 ZINC000596178727 356803617 /nfs/dbraw/zinc/80/36/17/356803617.db2.gz RZXXNCTYZGQJMG-UHFFFAOYSA-N 1 2 316.405 1.712 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)c1nc2ncccc2cc1C#N ZINC000596215515 356817716 /nfs/dbraw/zinc/81/77/16/356817716.db2.gz VJXFRWXBGBNFFY-CYBMUJFWSA-N 1 2 311.389 1.658 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)NCc1cccc(C#N)c1 ZINC000596126010 356783359 /nfs/dbraw/zinc/78/33/59/356783359.db2.gz GZSORGPBVUTUPD-KGLIPLIRSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)NCc1cccc(C#N)c1 ZINC000596126010 356783361 /nfs/dbraw/zinc/78/33/61/356783361.db2.gz GZSORGPBVUTUPD-KGLIPLIRSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2ccc([N+](=O)[O-])cc2C#N)[C@@H](C)CO1 ZINC000596429569 356877311 /nfs/dbraw/zinc/87/73/11/356877311.db2.gz KDWVWWVKRDRJID-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2ccc([N+](=O)[O-])cc2C#N)[C@@H](C)CO1 ZINC000596429569 356877312 /nfs/dbraw/zinc/87/73/12/356877312.db2.gz KDWVWWVKRDRJID-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)NCC1(C#N)CCC1)C(C)(C)CO ZINC000596632250 356937413 /nfs/dbraw/zinc/93/74/13/356937413.db2.gz ITQKOYLOVYIQMB-GFCCVEGCSA-N 1 2 319.409 1.473 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N2CCO[C@@H](C#N)C2)cc1 ZINC000596671269 356948043 /nfs/dbraw/zinc/94/80/43/356948043.db2.gz GRNRNOHUVSCMIT-JKSUJKDBSA-N 1 2 315.373 1.020 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N2CCO[C@@H](C#N)C2)cc1 ZINC000596671269 356948047 /nfs/dbraw/zinc/94/80/47/356948047.db2.gz GRNRNOHUVSCMIT-JKSUJKDBSA-N 1 2 315.373 1.020 20 30 DDEDLO N#Cc1cnc(N2CC[NH+](Cc3ccco3)CC2)c([N+](=O)[O-])c1 ZINC000296521222 283232702 /nfs/dbraw/zinc/23/27/02/283232702.db2.gz MRWDGTGUPZRRTL-UHFFFAOYSA-N 1 2 313.317 1.777 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccnn1CC1CC1 ZINC000596985169 357034402 /nfs/dbraw/zinc/03/44/02/357034402.db2.gz QGNLAVGEIXVMOZ-UHFFFAOYSA-N 1 2 317.437 1.341 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2cc(F)c(C#N)c(F)c2)CC1 ZINC000597204321 357092049 /nfs/dbraw/zinc/09/20/49/357092049.db2.gz HMWOCJNSIIPFKP-UHFFFAOYSA-N 1 2 323.343 1.631 20 30 DDEDLO COCCN(CC#N)C(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000597755141 357318091 /nfs/dbraw/zinc/31/80/91/357318091.db2.gz PRQOLYBQJHSBEV-HNNXBMFYSA-N 1 2 312.373 1.930 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1cc(C#N)c[nH]1)C(C)(C)CO ZINC000597844735 357355167 /nfs/dbraw/zinc/35/51/67/357355167.db2.gz BNGMGJUEJRIMKN-LBPRGKRZSA-N 1 2 301.350 1.109 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1ccc(C#N)cc1)C(C)(C)CO ZINC000597845925 357356165 /nfs/dbraw/zinc/35/61/65/357356165.db2.gz JIBWAVGSUARNTJ-CQSZACIVSA-N 1 2 312.373 1.781 20 30 DDEDLO Cc1nc(C(=O)N2CCN(C)[C@@H](c3[nH+]ccn3C)C2)ccc1C#N ZINC000276810859 213108196 /nfs/dbraw/zinc/10/81/96/213108196.db2.gz WYGNYGYTOXOJHK-OAHLLOKOSA-N 1 2 324.388 1.124 20 30 DDEDLO C=CC[N@@H+](CCS(=O)(=O)NC)Cc1cccc([N+](=O)[O-])c1 ZINC000598587012 357642104 /nfs/dbraw/zinc/64/21/04/357642104.db2.gz LRIHLMLGXFVSSH-UHFFFAOYSA-N 1 2 313.379 1.132 20 30 DDEDLO C=CC[N@H+](CCS(=O)(=O)NC)Cc1cccc([N+](=O)[O-])c1 ZINC000598587012 357642106 /nfs/dbraw/zinc/64/21/06/357642106.db2.gz LRIHLMLGXFVSSH-UHFFFAOYSA-N 1 2 313.379 1.132 20 30 DDEDLO CNS(=O)(=O)CC[N@@H+]1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000598597622 357647934 /nfs/dbraw/zinc/64/79/34/357647934.db2.gz ZOCMRDNXCRHMNV-GJZGRUSLSA-N 1 2 307.419 1.307 20 30 DDEDLO CNS(=O)(=O)CC[N@H+]1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000598597622 357647937 /nfs/dbraw/zinc/64/79/37/357647937.db2.gz ZOCMRDNXCRHMNV-GJZGRUSLSA-N 1 2 307.419 1.307 20 30 DDEDLO N#Cc1cc(C[NH2+][C@@H]2CS(=O)(=O)Cc3ccccc32)ccn1 ZINC000598642386 357666438 /nfs/dbraw/zinc/66/64/38/357666438.db2.gz HVGKCOBVYLGHAD-MRXNPFEDSA-N 1 2 313.382 1.713 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)N1CCc2c(CC#N)cccc2C1 ZINC000598990887 357786138 /nfs/dbraw/zinc/78/61/38/357786138.db2.gz GSVITLZIFNPKAK-UHFFFAOYSA-N 1 2 309.373 1.754 20 30 DDEDLO Cc1ccc(CN2CC[NH+](C(C)(C)C(N)=O)CC2)cc1C#N ZINC000599307260 357886932 /nfs/dbraw/zinc/88/69/32/357886932.db2.gz PNFYWTKUQHMYEN-UHFFFAOYSA-N 1 2 300.406 1.248 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(C(C)(C)C(N)=O)CC2)cc1C#N ZINC000599307260 357886934 /nfs/dbraw/zinc/88/69/34/357886934.db2.gz PNFYWTKUQHMYEN-UHFFFAOYSA-N 1 2 300.406 1.248 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)Cc1ccc(C(=O)OC)cn1 ZINC000599442834 357938423 /nfs/dbraw/zinc/93/84/23/357938423.db2.gz BXFJREXEAFVANE-UHFFFAOYSA-N 1 2 318.377 1.062 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)Cc1ccc(C(=O)OC)cn1 ZINC000599442834 357938425 /nfs/dbraw/zinc/93/84/25/357938425.db2.gz BXFJREXEAFVANE-UHFFFAOYSA-N 1 2 318.377 1.062 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)c1cc(C#N)nc(C2CC2)n1 ZINC000601155607 358424835 /nfs/dbraw/zinc/42/48/35/358424835.db2.gz BSXZLLHMQFTMSO-GFCCVEGCSA-N 1 2 301.394 1.383 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@H]1CCn2cc(C)[nH+]c2C1)C(=O)OC ZINC000601787943 358696278 /nfs/dbraw/zinc/69/62/78/358696278.db2.gz MKUAVLDOTIXRAE-STQMWFEESA-N 1 2 305.378 1.378 20 30 DDEDLO N#CC1(C(=O)NCc2cc[nH+]c(N3CCSCC3)c2)CCC1 ZINC000601851351 358716566 /nfs/dbraw/zinc/71/65/66/358716566.db2.gz LMJULNIITILCIQ-UHFFFAOYSA-N 1 2 316.430 1.945 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000185406128 199849146 /nfs/dbraw/zinc/84/91/46/199849146.db2.gz RQCXEAVOEWYRIJ-CYBMUJFWSA-N 1 2 304.394 1.415 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCC[C@@H]2C#N)c(C)[nH+]1 ZINC000602066421 358798497 /nfs/dbraw/zinc/79/84/97/358798497.db2.gz HJNOTGUKDIKVFC-TZMCWYRMSA-N 1 2 300.362 1.788 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@H]2NC(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000602303825 358921600 /nfs/dbraw/zinc/92/16/00/358921600.db2.gz YHPRBJYFBLQULO-CZUORRHYSA-N 1 2 307.357 1.666 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)n1)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000602476291 359003544 /nfs/dbraw/zinc/00/35/44/359003544.db2.gz MFNIEXXWEWYVED-CABCVRRESA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)n1)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000602476291 359003548 /nfs/dbraw/zinc/00/35/48/359003548.db2.gz MFNIEXXWEWYVED-CABCVRRESA-N 1 2 307.419 1.741 20 30 DDEDLO CCOc1ccc(N2CC[NH+](Cc3cccc(C#N)n3)CC2)nn1 ZINC000602715812 359144296 /nfs/dbraw/zinc/14/42/96/359144296.db2.gz CVEOXSOGVHVLKA-UHFFFAOYSA-N 1 2 324.388 1.464 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)NC[C@H]1CCN(c2ccccc2)C1 ZINC000602865065 359250156 /nfs/dbraw/zinc/25/01/56/359250156.db2.gz VWYGBEYZBJHYSG-HUUCEWRRSA-N 1 2 300.406 1.521 20 30 DDEDLO Cc1nnc(N2CC[NH+](Cc3cc(C#N)ccc3F)CC2)n1C ZINC000602943090 359311552 /nfs/dbraw/zinc/31/15/52/359311552.db2.gz OIIQIAAZCIPRBN-UHFFFAOYSA-N 1 2 314.368 1.457 20 30 DDEDLO Cn1cc(C2=CCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)cn1 ZINC000602888194 359272380 /nfs/dbraw/zinc/27/23/80/359272380.db2.gz PUVMIOAFAPTJEW-QGZVFWFLSA-N 1 2 313.405 1.318 20 30 DDEDLO Cn1cc(C2=CCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)cn1 ZINC000602888194 359272383 /nfs/dbraw/zinc/27/23/83/359272383.db2.gz PUVMIOAFAPTJEW-QGZVFWFLSA-N 1 2 313.405 1.318 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@H+](C)[C@H]2CCC[C@@H]2C#N)CC1 ZINC000602974024 359333872 /nfs/dbraw/zinc/33/38/72/359333872.db2.gz IWRHZTIOLZTNQG-KGLIPLIRSA-N 1 2 307.394 1.022 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@@H+](C)[C@H]2CCC[C@@H]2C#N)CC1 ZINC000602974024 359333878 /nfs/dbraw/zinc/33/38/78/359333878.db2.gz IWRHZTIOLZTNQG-KGLIPLIRSA-N 1 2 307.394 1.022 20 30 DDEDLO CN(CC[NH+]1CCOCC1)c1c(C#N)cnn1-c1ccccc1 ZINC000603288724 359543797 /nfs/dbraw/zinc/54/37/97/359543797.db2.gz YOVZDBRJROCFAZ-UHFFFAOYSA-N 1 2 311.389 1.512 20 30 DDEDLO N#Cc1cccc(C2(NS(=O)(=O)CCn3cc[nH+]c3)CC2)c1 ZINC000603306003 359557474 /nfs/dbraw/zinc/55/74/74/359557474.db2.gz UITFRMSRNIGYGI-UHFFFAOYSA-N 1 2 316.386 1.363 20 30 DDEDLO COCCOCc1cc(C#N)ccc1N1CCn2c[nH+]cc2C1 ZINC000603383098 359606301 /nfs/dbraw/zinc/60/63/01/359606301.db2.gz PDYHVNZXLBSPKF-UHFFFAOYSA-N 1 2 312.373 1.938 20 30 DDEDLO CCN([C@H](C)C#N)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603390429 359610737 /nfs/dbraw/zinc/61/07/37/359610737.db2.gz ZZTUUYXQSDHGSR-GFCCVEGCSA-N 1 2 307.419 1.597 20 30 DDEDLO CCN([C@H](C)C#N)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603390429 359610738 /nfs/dbraw/zinc/61/07/38/359610738.db2.gz ZZTUUYXQSDHGSR-GFCCVEGCSA-N 1 2 307.419 1.597 20 30 DDEDLO O=C([C@@H]1CCCC[N@@H+]1CC#Cc1ccccc1)N1CCOCC1 ZINC000191986759 296010477 /nfs/dbraw/zinc/01/04/77/296010477.db2.gz LONSNCYBCHJMOC-SFHVURJKSA-N 1 2 312.413 1.751 20 30 DDEDLO O=C([C@@H]1CCCC[N@H+]1CC#Cc1ccccc1)N1CCOCC1 ZINC000191986759 296010478 /nfs/dbraw/zinc/01/04/78/296010478.db2.gz LONSNCYBCHJMOC-SFHVURJKSA-N 1 2 312.413 1.751 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CCN1C(=O)NC[C@H]1CCCn2cc[nH+]c21 ZINC000329956881 223053887 /nfs/dbraw/zinc/05/38/87/223053887.db2.gz LNYJRKSWWVIGPO-UNHDLIQDSA-N 1 2 324.450 1.516 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)[NH+]1CCN(S(=O)(=O)N(C)C)CC1 ZINC000609822927 360352980 /nfs/dbraw/zinc/35/29/80/360352980.db2.gz VHIRRGCSLCPLMQ-CYBMUJFWSA-N 1 2 322.434 1.043 20 30 DDEDLO COC(=O)C(C)(C)[NH2+]C[C@H](O)COc1ccc(CC#N)cc1 ZINC000609806745 360352495 /nfs/dbraw/zinc/35/24/95/360352495.db2.gz JZNRWAFKWRMYHK-ZDUSSCGKSA-N 1 2 306.362 1.034 20 30 DDEDLO COC(=O)C[N@H+](C[C@H](O)COc1ccc(CC#N)cc1)C1CC1 ZINC000610605437 360480837 /nfs/dbraw/zinc/48/08/37/360480837.db2.gz HBZQPXWRBOTXJS-HNNXBMFYSA-N 1 2 318.373 1.130 20 30 DDEDLO COC(=O)C[N@@H+](C[C@H](O)COc1ccc(CC#N)cc1)C1CC1 ZINC000610605437 360480842 /nfs/dbraw/zinc/48/08/42/360480842.db2.gz HBZQPXWRBOTXJS-HNNXBMFYSA-N 1 2 318.373 1.130 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)s1 ZINC000610521698 360459571 /nfs/dbraw/zinc/45/95/71/360459571.db2.gz WXMBKCTVCGDPQU-CYBMUJFWSA-N 1 2 319.430 1.947 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)s1 ZINC000610519914 360459771 /nfs/dbraw/zinc/45/97/71/360459771.db2.gz UXFUTQRTYUTBLJ-LBPRGKRZSA-N 1 2 305.403 1.557 20 30 DDEDLO CCOC(=O)C(C)(C)[NH2+]C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000610944765 360578749 /nfs/dbraw/zinc/57/87/49/360578749.db2.gz YXAZUWVMCCUYAV-CQSZACIVSA-N 1 2 320.389 1.424 20 30 DDEDLO C[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)[N@@H+]1CCc2ccccc2C1 ZINC000330072794 223071155 /nfs/dbraw/zinc/07/11/55/223071155.db2.gz ZIBGCTVYJVHATH-SNVBAGLBSA-N 1 2 301.350 1.414 20 30 DDEDLO C[C@H](C(=O)NCc1n[nH]c(=O)[nH]1)[N@H+]1CCc2ccccc2C1 ZINC000330072794 223071157 /nfs/dbraw/zinc/07/11/57/223071157.db2.gz ZIBGCTVYJVHATH-SNVBAGLBSA-N 1 2 301.350 1.414 20 30 DDEDLO C[C@H](C(=O)N(C)C1CCCCC1)[NH+]1CCN(CCC#N)CC1 ZINC000611175853 360649174 /nfs/dbraw/zinc/64/91/74/360649174.db2.gz LRXWBEOVEGEYSR-OAHLLOKOSA-N 1 2 306.454 1.697 20 30 DDEDLO COCC[N@H+](CCC#N)Cc1ccc(-n2cncn2)c(F)c1 ZINC000611268750 360683778 /nfs/dbraw/zinc/68/37/78/360683778.db2.gz PVTIYGUSXIPKLS-UHFFFAOYSA-N 1 2 303.341 1.768 20 30 DDEDLO COCC[N@@H+](CCC#N)Cc1ccc(-n2cncn2)c(F)c1 ZINC000611268750 360683779 /nfs/dbraw/zinc/68/37/79/360683779.db2.gz PVTIYGUSXIPKLS-UHFFFAOYSA-N 1 2 303.341 1.768 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC000611484569 360729917 /nfs/dbraw/zinc/72/99/17/360729917.db2.gz AFIIRVYEWGCQJJ-CABCVRRESA-N 1 2 315.421 1.138 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H](OC(F)F)C1 ZINC000611579705 360766477 /nfs/dbraw/zinc/76/64/77/360766477.db2.gz NLRAVGMPLWFUJE-VXGBXAGGSA-N 1 2 303.353 1.698 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H](OC(F)F)C1 ZINC000611579705 360766478 /nfs/dbraw/zinc/76/64/78/360766478.db2.gz NLRAVGMPLWFUJE-VXGBXAGGSA-N 1 2 303.353 1.698 20 30 DDEDLO COC1CCC(N(C)C(=O)N[C@H](C)C[NH+]2CCOCC2)CC1 ZINC000330833310 223148094 /nfs/dbraw/zinc/14/80/94/223148094.db2.gz ZBNLQJAZASJUIJ-WLYUNCDWSA-N 1 2 313.442 1.511 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000330687641 223137597 /nfs/dbraw/zinc/13/75/97/223137597.db2.gz NTVNZDKNYPKSCT-CYBMUJFWSA-N 1 2 302.378 1.145 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1C[C@]2(CCOC2)OC(C)(C)C1)C1CC1 ZINC000332977631 223216813 /nfs/dbraw/zinc/21/68/13/223216813.db2.gz WZPWIQCNZYOSTM-IRXDYDNUSA-N 1 2 321.421 1.065 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1C[C@]2(CCOC2)OC(C)(C)C1)C1CC1 ZINC000332977631 223216815 /nfs/dbraw/zinc/21/68/15/223216815.db2.gz WZPWIQCNZYOSTM-IRXDYDNUSA-N 1 2 321.421 1.065 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)[C@]1(C#N)CC12CCCC2)[NH+]1CCOCC1 ZINC000333235132 223219251 /nfs/dbraw/zinc/21/92/51/223219251.db2.gz VLKYAFPIUHOASO-JJRVBVJISA-N 1 2 305.422 1.686 20 30 DDEDLO Cc1c(C(=O)NC2(C#N)CC[NH+](C)CC2)oc2c1C(=O)CCC2 ZINC000613716765 361532315 /nfs/dbraw/zinc/53/23/15/361532315.db2.gz GZAGABDENOTSIX-UHFFFAOYSA-N 1 2 315.373 1.825 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)Nc1cc[nH+]cc1N(C)C ZINC000614050557 361676068 /nfs/dbraw/zinc/67/60/68/361676068.db2.gz JWZCXVHMUOOIDE-LBPRGKRZSA-N 1 2 310.357 1.847 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCC([NH+]2CCOCC2)CC1 ZINC000625606686 367045630 /nfs/dbraw/zinc/04/56/30/367045630.db2.gz MHJSUDLIPCVYSW-UHFFFAOYSA-N 1 2 302.440 1.079 20 30 DDEDLO N#C[C@H]1CN(Cc2c[nH+]c3ccc(Br)cn23)CCO1 ZINC000093134723 193205828 /nfs/dbraw/zinc/20/58/28/193205828.db2.gz AWYTXIZNPXMPEK-LBPRGKRZSA-N 1 2 321.178 1.821 20 30 DDEDLO CCc1nc(CN2CC[NH+](Cc3ccc(C#N)o3)CC2)no1 ZINC000093283616 193224624 /nfs/dbraw/zinc/22/46/24/193224624.db2.gz UXVLYOCTAIVKSI-UHFFFAOYSA-N 1 2 301.350 1.414 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+](C)[C@H](C)CS(=O)(=O)CC ZINC000093417216 193246387 /nfs/dbraw/zinc/24/63/87/193246387.db2.gz QAJUHJUWLFDQHX-CQSZACIVSA-N 1 2 309.431 1.954 20 30 DDEDLO C#CCOc1ccccc1C[N@H+](C)[C@H](C)CS(=O)(=O)CC ZINC000093417216 193246389 /nfs/dbraw/zinc/24/63/89/193246389.db2.gz QAJUHJUWLFDQHX-CQSZACIVSA-N 1 2 309.431 1.954 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(Cc2ccc(C#N)o2)CC1 ZINC000093843330 193315855 /nfs/dbraw/zinc/31/58/55/193315855.db2.gz CKBUYCFMMZEJEF-CQSZACIVSA-N 1 2 316.405 1.280 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cncc(O)c3)CC2)cc1 ZINC000264901157 204252833 /nfs/dbraw/zinc/25/28/33/204252833.db2.gz XCUSIAGRPFUPNH-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO N#Cc1cccc(CS(=O)(=O)N2CCOc3cc[nH+]cc32)c1 ZINC000175680775 306686847 /nfs/dbraw/zinc/68/68/47/306686847.db2.gz HKWBKDBDYWRHLU-UHFFFAOYSA-N 1 2 315.354 1.682 20 30 DDEDLO N#C[C@H]1CN(C(=O)NCc2ccccc2-n2cc[nH+]c2)CCO1 ZINC000106335181 194198456 /nfs/dbraw/zinc/19/84/56/194198456.db2.gz KUFRGVRZKRLAQL-AWEZNQCLSA-N 1 2 311.345 1.306 20 30 DDEDLO COc1ccc(NC(=O)C[NH+]2CCC(C#N)CC2)c(OC)c1 ZINC000057907242 184061854 /nfs/dbraw/zinc/06/18/54/184061854.db2.gz YQCZWTFQAISXCH-UHFFFAOYSA-N 1 2 303.362 1.878 20 30 DDEDLO C[N@@H+](CC(=O)NCc1ccc(C#N)cc1)C[C@@H](O)C(F)(F)F ZINC000341131130 251398191 /nfs/dbraw/zinc/39/81/91/251398191.db2.gz CMNKQCQTWYUUFZ-GFCCVEGCSA-N 1 2 315.295 1.029 20 30 DDEDLO C[N@H+](CC(=O)NCc1ccc(C#N)cc1)C[C@@H](O)C(F)(F)F ZINC000341131130 251398193 /nfs/dbraw/zinc/39/81/93/251398193.db2.gz CMNKQCQTWYUUFZ-GFCCVEGCSA-N 1 2 315.295 1.029 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(OC)c([N+](=O)[O-])c2)CC1 ZINC000064442833 184296939 /nfs/dbraw/zinc/29/69/39/184296939.db2.gz FNSHQNBWCSYEJF-UHFFFAOYSA-N 1 2 317.345 1.385 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+]([C@H](C(N)=O)c2ccccc2)CC1 ZINC000270000802 208055166 /nfs/dbraw/zinc/05/51/66/208055166.db2.gz YEWMLNHADGVTCO-KRWDZBQOSA-N 1 2 321.384 1.302 20 30 DDEDLO Cn1cc[nH+]c1CN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC000158567926 197284266 /nfs/dbraw/zinc/28/42/66/197284266.db2.gz HOKVKVWLCWSENF-MRXNPFEDSA-N 1 2 309.329 1.259 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)c1 ZINC000289834815 221257810 /nfs/dbraw/zinc/25/78/10/221257810.db2.gz AQPGOKRPEVNOEC-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)NC[C@H](C)Cn1cc[nH+]c1 ZINC000414468977 224341468 /nfs/dbraw/zinc/34/14/68/224341468.db2.gz LEMQJLQALRIFOK-LBPRGKRZSA-N 1 2 318.402 1.678 20 30 DDEDLO C[C@@H](CNC(=O)N1C[C@@H](C)O[C@H](C2CC2)C1)[NH+]1CCOCC1 ZINC000329957246 533363299 /nfs/dbraw/zinc/36/32/99/533363299.db2.gz QBPALSPJPKAPGU-GUTXKFCHSA-N 1 2 311.426 1.120 20 30 DDEDLO CCCC[NH+]1CCN(S(=O)(=O)c2ccccc2C#N)CC1 ZINC000070979652 406828916 /nfs/dbraw/zinc/82/89/16/406828916.db2.gz XQQSWIJMDQOYPG-UHFFFAOYSA-N 1 2 307.419 1.665 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1ccnc(Cl)c1 ZINC000071970343 406870612 /nfs/dbraw/zinc/87/06/12/406870612.db2.gz GPKHWRWQJOGHFV-UHFFFAOYSA-N 1 2 322.796 1.423 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N(C)Cc1cccc(C#N)c1 ZINC000046480556 407061020 /nfs/dbraw/zinc/06/10/20/407061020.db2.gz DMLNHAIDVISMTB-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO Cc1cc(C)c(C#N)c(SC[C@@H](O)C[NH+]2CCOCC2)n1 ZINC000066400459 407250192 /nfs/dbraw/zinc/25/01/92/407250192.db2.gz QBIJFUBMENPPEN-ZDUSSCGKSA-N 1 2 307.419 1.355 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)Nc1ccc(F)c(C#N)c1 ZINC000067575540 407277021 /nfs/dbraw/zinc/27/70/21/407277021.db2.gz CYNXBSIMMCLKJU-UHFFFAOYSA-N 1 2 306.341 1.493 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](CCC)CC(=O)Nc1ccccc1C ZINC000097061967 407278031 /nfs/dbraw/zinc/27/80/31/407278031.db2.gz FNAJHQZKCMZUPT-OAHLLOKOSA-N 1 2 315.417 1.783 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](CCC)CC(=O)Nc1ccccc1C ZINC000097061967 407278032 /nfs/dbraw/zinc/27/80/32/407278032.db2.gz FNAJHQZKCMZUPT-OAHLLOKOSA-N 1 2 315.417 1.783 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cnc4cnccn34)CC2)c1 ZINC000271032788 407478601 /nfs/dbraw/zinc/47/86/01/407478601.db2.gz HRFIPFUYYBMCAN-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CCN1c1cc[nH+]c(C2CC2)n1 ZINC000130025579 407646179 /nfs/dbraw/zinc/64/61/79/407646179.db2.gz VVRCIKGEZUIZMT-GFCCVEGCSA-N 1 2 321.388 1.731 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)c2ccc([N+](=O)[O-])c(O)c2)CC1 ZINC000171566430 407707678 /nfs/dbraw/zinc/70/76/78/407707678.db2.gz IPGNDIKLJIYPMO-UHFFFAOYSA-N 1 2 305.334 1.681 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)/C=C/c2ccc(F)cc2)CC1 ZINC000267058942 407733786 /nfs/dbraw/zinc/73/37/86/407733786.db2.gz PEJYYRGWJISXTD-RIYZIHGNSA-N 1 2 322.405 1.767 20 30 DDEDLO COC(=O)C[N@H+](C)Cc1ccc(OC)c(OCCCC#N)c1 ZINC000179396674 407782433 /nfs/dbraw/zinc/78/24/33/407782433.db2.gz OOQPBIVQKGRKMC-UHFFFAOYSA-N 1 2 306.362 1.983 20 30 DDEDLO COC(=O)C[N@@H+](C)Cc1ccc(OC)c(OCCCC#N)c1 ZINC000179396674 407782439 /nfs/dbraw/zinc/78/24/39/407782439.db2.gz OOQPBIVQKGRKMC-UHFFFAOYSA-N 1 2 306.362 1.983 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N(CCC#N)CCC(C)C ZINC000133294321 407828683 /nfs/dbraw/zinc/82/86/83/407828683.db2.gz LAABOJXRQDAMKK-UHFFFAOYSA-N 1 2 318.377 1.817 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)CC(=O)NCC(=O)N1CCCC1 ZINC000272297577 407857118 /nfs/dbraw/zinc/85/71/18/407857118.db2.gz PDRLZTYHNAHBDN-UHFFFAOYSA-N 1 2 319.405 1.049 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)CC(=O)NCC(=O)N1CCCC1 ZINC000272297577 407857126 /nfs/dbraw/zinc/85/71/26/407857126.db2.gz PDRLZTYHNAHBDN-UHFFFAOYSA-N 1 2 319.405 1.049 20 30 DDEDLO C=CCNC(=O)[C@H](C)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000118836786 407951195 /nfs/dbraw/zinc/95/11/95/407951195.db2.gz AVDSYUPVGJQACC-GJZGRUSLSA-N 1 2 302.422 1.451 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN([C@@H](C)c2cccnc2)CC1 ZINC000118836786 407951201 /nfs/dbraw/zinc/95/12/01/407951201.db2.gz AVDSYUPVGJQACC-GJZGRUSLSA-N 1 2 302.422 1.451 20 30 DDEDLO CC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C[C@H](O)C(F)(F)F ZINC000189501693 408035819 /nfs/dbraw/zinc/03/58/19/408035819.db2.gz ZVSFKSZXIWBKOZ-JQWIXIFHSA-N 1 2 309.332 1.286 20 30 DDEDLO CC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C[C@H](O)C(F)(F)F ZINC000189501693 408035825 /nfs/dbraw/zinc/03/58/25/408035825.db2.gz ZVSFKSZXIWBKOZ-JQWIXIFHSA-N 1 2 309.332 1.286 20 30 DDEDLO Cc1ccnc(N2CC[NH+](CC(=O)N3CCCC3)CC2)c1C#N ZINC000273056070 408038149 /nfs/dbraw/zinc/03/81/49/408038149.db2.gz RXOKXZPESUKOOC-UHFFFAOYSA-N 1 2 313.405 1.006 20 30 DDEDLO COC(=O)[C@H](O)C1CC[NH+](Cc2cc(F)ccc2C#N)CC1 ZINC000135343326 408006349 /nfs/dbraw/zinc/00/63/49/408006349.db2.gz SZZNCZOWYLVPDJ-OAHLLOKOSA-N 1 2 306.337 1.443 20 30 DDEDLO CN1CC[N@H+](CCC(=O)Nc2ccc(C#N)c(Cl)c2)CC1=O ZINC000119415892 408063266 /nfs/dbraw/zinc/06/32/66/408063266.db2.gz RODGKGCYGGFUEH-UHFFFAOYSA-N 1 2 320.780 1.314 20 30 DDEDLO CN1CC[N@@H+](CCC(=O)Nc2ccc(C#N)c(Cl)c2)CC1=O ZINC000119415892 408063268 /nfs/dbraw/zinc/06/32/68/408063268.db2.gz RODGKGCYGGFUEH-UHFFFAOYSA-N 1 2 320.780 1.314 20 30 DDEDLO CC(C)N(C)C(=O)[C@@H](C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000175150034 408064722 /nfs/dbraw/zinc/06/47/22/408064722.db2.gz DMEOQQCIQMRHPC-OAHLLOKOSA-N 1 2 314.433 1.936 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc2c[nH]nc2c1 ZINC000154814224 408089206 /nfs/dbraw/zinc/08/92/06/408089206.db2.gz TXWJRHQPFHTLQA-UHFFFAOYSA-N 1 2 313.405 1.611 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)NCCCC#N)c[nH+]2)CCO1 ZINC000273182427 408095335 /nfs/dbraw/zinc/09/53/35/408095335.db2.gz QHSYPHXEMMRATI-CYBMUJFWSA-N 1 2 317.393 1.410 20 30 DDEDLO CC[N@H+](C[C@@H](C)C#N)[C@@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000155320008 408153501 /nfs/dbraw/zinc/15/35/01/408153501.db2.gz OKSUHOMGYIVZNL-KBPBESRZSA-N 1 2 316.405 1.882 20 30 DDEDLO CC[N@@H+](C[C@@H](C)C#N)[C@@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000155320008 408153507 /nfs/dbraw/zinc/15/35/07/408153507.db2.gz OKSUHOMGYIVZNL-KBPBESRZSA-N 1 2 316.405 1.882 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(OC)c(F)c2)CC1 ZINC000122075899 408249271 /nfs/dbraw/zinc/24/92/71/408249271.db2.gz BKMWUYNHNDYIDZ-ZDUSSCGKSA-N 1 2 319.380 1.094 20 30 DDEDLO NC(CCO)=[NH+]O[C@@H]1CCCN(c2ccccc2Cl)C1=O ZINC000121398224 408204195 /nfs/dbraw/zinc/20/41/95/408204195.db2.gz ZUSOTNWPYMGLJE-GFCCVEGCSA-N 1 2 311.769 1.507 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)c1c(F)cc(C#N)cc1F ZINC000150933846 408229151 /nfs/dbraw/zinc/22/91/51/408229151.db2.gz SKDIDOPLJNSTBC-UHFFFAOYSA-N 1 2 309.316 1.241 20 30 DDEDLO N#Cc1ccc(CN2CC[C@@H]([NH2+]Cc3csnn3)C2=O)cc1 ZINC000190838798 408327475 /nfs/dbraw/zinc/32/74/75/408327475.db2.gz DIDMVWZJZGFDMV-CQSZACIVSA-N 1 2 313.386 1.300 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1cccnc1-n1cc[nH+]c1 ZINC000182918836 408283893 /nfs/dbraw/zinc/28/38/93/408283893.db2.gz KYGUYTFPDQFYNG-ZDUSSCGKSA-N 1 2 301.350 1.004 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCC(=O)Nc1ccccc1CC)C1CC1 ZINC000274138427 408306222 /nfs/dbraw/zinc/30/62/22/408306222.db2.gz JKIPHDVHUZKTLL-UHFFFAOYSA-N 1 2 313.401 1.401 20 30 DDEDLO C#CC[N@H+](CC(=O)NCC(=O)Nc1ccccc1CC)C1CC1 ZINC000274138427 408306229 /nfs/dbraw/zinc/30/62/29/408306229.db2.gz JKIPHDVHUZKTLL-UHFFFAOYSA-N 1 2 313.401 1.401 20 30 DDEDLO CC#CC[N@@H+](Cc1ccc(OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000158732134 408357379 /nfs/dbraw/zinc/35/73/79/408357379.db2.gz RKMHYCXNNNOTSV-OAHLLOKOSA-N 1 2 307.415 1.708 20 30 DDEDLO CC#CC[N@H+](Cc1ccc(OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000158732134 408357383 /nfs/dbraw/zinc/35/73/83/408357383.db2.gz RKMHYCXNNNOTSV-OAHLLOKOSA-N 1 2 307.415 1.708 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2nn(-c3ccccc3)cc2C)CC1 ZINC000274570648 408451528 /nfs/dbraw/zinc/45/15/28/408451528.db2.gz HGSOMADZZMEVJL-UHFFFAOYSA-N 1 2 322.412 1.962 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCc1cccnc1 ZINC000247755777 408508448 /nfs/dbraw/zinc/50/84/48/408508448.db2.gz WEEHLOPTHJTHGV-UHFFFAOYSA-N 1 2 316.405 1.089 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+](CC(N)=O)CC(C)C)c(C#N)c1C ZINC000177249593 408562941 /nfs/dbraw/zinc/56/29/41/408562941.db2.gz FCNJCSSQGUKMGA-UHFFFAOYSA-N 1 2 322.434 1.618 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+](CC(N)=O)CC(C)C)c(C#N)c1C ZINC000177249593 408562946 /nfs/dbraw/zinc/56/29/46/408562946.db2.gz FCNJCSSQGUKMGA-UHFFFAOYSA-N 1 2 322.434 1.618 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)c1ccccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000264572134 408536244 /nfs/dbraw/zinc/53/62/44/408536244.db2.gz SAIXOXPAULJUJZ-DOMZBBRYSA-N 1 2 313.394 1.534 20 30 DDEDLO C=CC[N@H+](C[C@H](O)c1ccccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000264572134 408536247 /nfs/dbraw/zinc/53/62/47/408536247.db2.gz SAIXOXPAULJUJZ-DOMZBBRYSA-N 1 2 313.394 1.534 20 30 DDEDLO C#CCCCCNC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000177490793 408635549 /nfs/dbraw/zinc/63/55/49/408635549.db2.gz MZHBICVCYXGFOL-UHFFFAOYSA-N 1 2 316.405 1.521 20 30 DDEDLO CC(C)CO[C@H](C)C(N)=[NH+]OCc1nnnn1-c1ccccc1 ZINC000177612856 408669986 /nfs/dbraw/zinc/66/99/86/408669986.db2.gz LNHCSEYEVVEODE-GFCCVEGCSA-N 1 2 318.381 1.512 20 30 DDEDLO C[C@@H]1[C@H](C)[S@](=O)CC[N@@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000265636830 408724601 /nfs/dbraw/zinc/72/46/01/408724601.db2.gz QTQUKZQJOBYRHL-BKZHYRFYSA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@@H]1[C@H](C)[S@](=O)CC[N@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000265636830 408724603 /nfs/dbraw/zinc/72/46/03/408724603.db2.gz QTQUKZQJOBYRHL-BKZHYRFYSA-N 1 2 322.430 1.139 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)[C@H](C)C(=O)N(C)C(C)C)c1 ZINC000177658159 408681061 /nfs/dbraw/zinc/68/10/61/408681061.db2.gz PPWLTLACPKOUEM-CQSZACIVSA-N 1 2 315.417 1.794 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)[C@H](C)C(=O)N(C)C(C)C)c1 ZINC000177658159 408681065 /nfs/dbraw/zinc/68/10/65/408681065.db2.gz PPWLTLACPKOUEM-CQSZACIVSA-N 1 2 315.417 1.794 20 30 DDEDLO C[C@@H]1CCN(S(=O)(=O)CC2(C#N)CC2)C[C@@H]1n1cc[nH+]c1 ZINC000166015688 408814769 /nfs/dbraw/zinc/81/47/69/408814769.db2.gz CTYMDIDAKXBVAK-OLZOCXBDSA-N 1 2 308.407 1.400 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000253375720 408814018 /nfs/dbraw/zinc/81/40/18/408814018.db2.gz QTGXTGJPSHJRJP-ZDUSSCGKSA-N 1 2 315.421 1.378 20 30 DDEDLO C=CC[NH+](CC=C)Cc1c(C)nn(CCS(C)(=O)=O)c1C ZINC000281229667 408875647 /nfs/dbraw/zinc/87/56/47/408875647.db2.gz HTRGQWRPHLXLBW-UHFFFAOYSA-N 1 2 311.451 1.719 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNc1[nH+]cnc(-n2cccn2)c1N ZINC000280833058 408843521 /nfs/dbraw/zinc/84/35/21/408843521.db2.gz WLYMKTWSZVVTIW-DGCLKSJQSA-N 1 2 300.366 1.638 20 30 DDEDLO C#Cc1ccccc1CC(=O)N(C)[C@@H](C)C[NH+]1CCOCC1 ZINC000290947416 408855339 /nfs/dbraw/zinc/85/53/39/408855339.db2.gz UQWUGQCOKFZESR-HNNXBMFYSA-N 1 2 300.402 1.390 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+](CCCO)Cc1ccccn1)C1CC1 ZINC000280954726 408865279 /nfs/dbraw/zinc/86/52/79/408865279.db2.gz IZWNURRYVWQCPC-KRWDZBQOSA-N 1 2 316.405 1.074 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+](CCCO)Cc1ccccn1)C1CC1 ZINC000280954726 408865281 /nfs/dbraw/zinc/86/52/81/408865281.db2.gz IZWNURRYVWQCPC-KRWDZBQOSA-N 1 2 316.405 1.074 20 30 DDEDLO C=CCN(CCC#N)CC1(C[N@@H+](CC=C)CCC#N)COC1 ZINC000292086477 408976846 /nfs/dbraw/zinc/97/68/46/408976846.db2.gz FBGVQJUOWCYEOQ-UHFFFAOYSA-N 1 2 302.422 1.806 20 30 DDEDLO C=CCN(CCC#N)CC1(C[N@H+](CC=C)CCC#N)COC1 ZINC000292086477 408976848 /nfs/dbraw/zinc/97/68/48/408976848.db2.gz FBGVQJUOWCYEOQ-UHFFFAOYSA-N 1 2 302.422 1.806 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCCC[C@@H](S(C)(=O)=O)C1 ZINC000277782812 409010578 /nfs/dbraw/zinc/01/05/78/409010578.db2.gz NZZLHGGDXOJRLJ-CQSZACIVSA-N 1 2 314.451 1.086 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCCC[C@@H](S(C)(=O)=O)C1 ZINC000277782812 409010581 /nfs/dbraw/zinc/01/05/81/409010581.db2.gz NZZLHGGDXOJRLJ-CQSZACIVSA-N 1 2 314.451 1.086 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)o1 ZINC000287827270 409099810 /nfs/dbraw/zinc/09/98/10/409099810.db2.gz NJWAQWNIDSPRJW-LLVKDONJSA-N 1 2 318.358 1.103 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000278917393 409112428 /nfs/dbraw/zinc/11/24/28/409112428.db2.gz RLBCISVLSXIWBM-GOSISDBHSA-N 1 2 317.433 1.700 20 30 DDEDLO C=CCCCNC(=O)N1CC[NH+](CC(=O)N(CC)CC)CC1 ZINC000279303644 409188733 /nfs/dbraw/zinc/18/87/33/409188733.db2.gz NQMUCABZGCBUMY-UHFFFAOYSA-N 1 2 310.442 1.148 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(OC)cc2)CC1 ZINC000293673024 409158180 /nfs/dbraw/zinc/15/81/80/409158180.db2.gz ZQRQRGNAGZMQKR-UHFFFAOYSA-N 1 2 304.390 1.656 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC000279246946 409177127 /nfs/dbraw/zinc/17/71/27/409177127.db2.gz REBRDCTTYRCUNQ-INIZCTEOSA-N 1 2 323.400 1.751 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@@H+]([C@@H]1CC[C@H](C#N)C1)C2 ZINC000293979421 409218375 /nfs/dbraw/zinc/21/83/75/409218375.db2.gz DOTSDFHTPQRLEL-GXTWGEPZSA-N 1 2 319.430 1.645 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@H+]([C@@H]1CC[C@H](C#N)C1)C2 ZINC000293979421 409218378 /nfs/dbraw/zinc/21/83/78/409218378.db2.gz DOTSDFHTPQRLEL-GXTWGEPZSA-N 1 2 319.430 1.645 20 30 DDEDLO CC(C)(C#N)c1ccc(C(=O)NCC[NH+]2CCOCC2)cc1 ZINC000279619721 409244970 /nfs/dbraw/zinc/24/49/70/409244970.db2.gz JVOGRTAYLOXUTH-UHFFFAOYSA-N 1 2 301.390 1.550 20 30 DDEDLO CO/N=C/C(=O)N1CC[NH+]([C@@H](C)c2cccc(OC)c2)CC1 ZINC000289545966 409281937 /nfs/dbraw/zinc/28/19/37/409281937.db2.gz QUYMYMKAVDHXGZ-VLURKWGBSA-N 1 2 305.378 1.533 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CC[C@H](n2cc(Cl)cn2)C1)C1CC1 ZINC000289714172 409284892 /nfs/dbraw/zinc/28/48/92/409284892.db2.gz CMWPFGXCQBRKDN-DZGCQCFKSA-N 1 2 321.812 1.592 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CC[C@H](n2cc(Cl)cn2)C1)C1CC1 ZINC000289714172 409284894 /nfs/dbraw/zinc/28/48/94/409284894.db2.gz CMWPFGXCQBRKDN-DZGCQCFKSA-N 1 2 321.812 1.592 20 30 DDEDLO C[C@@H](CNC(=O)c1ccc(C(C)(C)C#N)cc1)[NH+]1CCOCC1 ZINC000280298606 409288082 /nfs/dbraw/zinc/28/80/82/409288082.db2.gz NXUIILOUBLDHAP-AWEZNQCLSA-N 1 2 315.417 1.938 20 30 DDEDLO CCS[C@H](C)c1noc(CO[NH+]=C(N)[C@@H]2CCCO2)n1 ZINC000284471921 409345343 /nfs/dbraw/zinc/34/53/43/409345343.db2.gz RHEACWRAHNJUNG-BDAKNGLRSA-N 1 2 300.384 1.852 20 30 DDEDLO CC(=O)c1ccc(NC(=O)CO[NH+]=C(N)[C@@H]2CCCO2)cc1 ZINC000284587291 409351105 /nfs/dbraw/zinc/35/11/05/409351105.db2.gz XTJSRCVSFWSIGL-ZDUSSCGKSA-N 1 2 305.334 1.296 20 30 DDEDLO NC(=[NH+]OC[C@@H]1CCCS(=O)(=O)C1)c1cccc(Cl)c1 ZINC000284610701 409354090 /nfs/dbraw/zinc/35/40/90/409354090.db2.gz ZADOXOLWZIFOBZ-JTQLQIEISA-N 1 2 316.810 1.802 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)N[C@@H](C)c1ccc(C#N)cc1 ZINC000342522879 409697796 /nfs/dbraw/zinc/69/77/96/409697796.db2.gz UMBZVJHRFLMVNW-ZDUSSCGKSA-N 1 2 316.405 1.192 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)N[C@@H](C)c1ccc(C#N)cc1 ZINC000342522879 409697805 /nfs/dbraw/zinc/69/78/05/409697805.db2.gz UMBZVJHRFLMVNW-ZDUSSCGKSA-N 1 2 316.405 1.192 20 30 DDEDLO Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)N[C@@]1(C#N)CCOC1 ZINC000356833429 409645155 /nfs/dbraw/zinc/64/51/55/409645155.db2.gz RZORUOKPZPNQAJ-OAHLLOKOSA-N 1 2 320.299 1.422 20 30 DDEDLO CNC(=O)N1CC[NH+](Cc2cccc(OCCCC#N)c2)CC1 ZINC000354106704 409663543 /nfs/dbraw/zinc/66/35/43/409663543.db2.gz KYCIQQUZGRNFFY-UHFFFAOYSA-N 1 2 316.405 1.826 20 30 DDEDLO CC(C)[N@@H+]1CCO[C@H](c2noc(-c3ccc(C#N)n3C)n2)C1 ZINC000351056829 410031136 /nfs/dbraw/zinc/03/11/36/410031136.db2.gz MQMUHYKKCFFCQU-ZDUSSCGKSA-N 1 2 301.350 1.728 20 30 DDEDLO CC(C)[N@H+]1CCO[C@H](c2noc(-c3ccc(C#N)n3C)n2)C1 ZINC000351056829 410031146 /nfs/dbraw/zinc/03/11/46/410031146.db2.gz MQMUHYKKCFFCQU-ZDUSSCGKSA-N 1 2 301.350 1.728 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCO[C@@]2(CCCOC2)C1)C1CC1 ZINC000329028616 410061095 /nfs/dbraw/zinc/06/10/95/410061095.db2.gz AGEYQFAUVFMUSA-CVEARBPZSA-N 1 2 307.394 1.517 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCO[C@@]2(CCCOC2)C1)C1CC1 ZINC000329028616 410061100 /nfs/dbraw/zinc/06/11/00/410061100.db2.gz AGEYQFAUVFMUSA-CVEARBPZSA-N 1 2 307.394 1.517 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CCCCNC1=O ZINC000329030037 410061444 /nfs/dbraw/zinc/06/14/44/410061444.db2.gz WUHSGWOMHSIGNQ-HZSPNIEDSA-N 1 2 324.425 1.204 20 30 DDEDLO Cc1noc([C@H]2CC[N@@H+]([C@H](C)C(=O)Nc3ncccn3)C2)n1 ZINC000329045695 410068953 /nfs/dbraw/zinc/06/89/53/410068953.db2.gz PTCAJIMRGLKXOF-KOLCDFICSA-N 1 2 302.338 1.634 20 30 DDEDLO Cc1noc([C@H]2CC[N@H+]([C@H](C)C(=O)Nc3ncccn3)C2)n1 ZINC000329045695 410068960 /nfs/dbraw/zinc/06/89/60/410068960.db2.gz PTCAJIMRGLKXOF-KOLCDFICSA-N 1 2 302.338 1.634 20 30 DDEDLO N#Cc1cc(C(=O)NCCNc2ccc3ccccc3[nH+]2)c[nH]1 ZINC000346678119 410076113 /nfs/dbraw/zinc/07/61/13/410076113.db2.gz SYNLTTAHGSMQRQ-UHFFFAOYSA-N 1 2 305.341 2.276 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@@H+]2CCCN(C=O)CC2)c1 ZINC000298119308 410124045 /nfs/dbraw/zinc/12/40/45/410124045.db2.gz PCBYSBMSCHDRSF-UHFFFAOYSA-N 1 2 322.206 1.985 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@H+]2CCCN(C=O)CC2)c1 ZINC000298119308 410124047 /nfs/dbraw/zinc/12/40/47/410124047.db2.gz PCBYSBMSCHDRSF-UHFFFAOYSA-N 1 2 322.206 1.985 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)N[C@H]1[C@@H]2COC[C@@H]21)C1CCOCC1 ZINC000329191987 410154107 /nfs/dbraw/zinc/15/41/07/410154107.db2.gz QPJDHDJRTYLSDA-RQJABVFESA-N 1 2 320.393 1.036 20 30 DDEDLO CCn1cc[nH+]c1CN1CCN(c2snc(C)c2C#N)CC1 ZINC000329379528 410265093 /nfs/dbraw/zinc/26/50/93/410265093.db2.gz NAALUVDYNBRGSX-UHFFFAOYSA-N 1 2 316.434 1.862 20 30 DDEDLO COC(=O)c1cc(C#N)c(=O)n(Cc2c(C)[nH+]c3ccccn32)c1 ZINC000358016195 410341669 /nfs/dbraw/zinc/34/16/69/410341669.db2.gz IBIQXJZJPGSYIJ-UHFFFAOYSA-N 1 2 322.324 1.511 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)CN1CC[NH+](Cc2ccco2)CC1 ZINC000298718388 410364458 /nfs/dbraw/zinc/36/44/58/410364458.db2.gz NIUOPVXOOFZSNG-OAHLLOKOSA-N 1 2 318.421 1.405 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)Nc3ccc(C#N)cc3)CC2)cn1 ZINC000358148753 410384378 /nfs/dbraw/zinc/38/43/78/410384378.db2.gz FDJUFKDHMGDZTE-UHFFFAOYSA-N 1 2 324.388 1.641 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)NCc2ccc3c(c2)OCO3)CC1 ZINC000352484488 410660342 /nfs/dbraw/zinc/66/03/42/410660342.db2.gz PZDBMFUIMQIGAV-UHFFFAOYSA-N 1 2 319.336 1.359 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNS(=O)(=O)N(C)[C@@H](C)CC#N ZINC000330403091 410670884 /nfs/dbraw/zinc/67/08/84/410670884.db2.gz GKXBDNLQGUYMRE-LBPRGKRZSA-N 1 2 313.427 1.107 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)C[C@@H](CC#N)c2ccccc2)C1 ZINC000355979290 410758731 /nfs/dbraw/zinc/75/87/31/410758731.db2.gz DDMHGCLNOOONNY-SJORKVTESA-N 1 2 314.433 1.436 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)C[C@@H](CC#N)c2ccccc2)C1 ZINC000355979290 410758737 /nfs/dbraw/zinc/75/87/37/410758737.db2.gz DDMHGCLNOOONNY-SJORKVTESA-N 1 2 314.433 1.436 20 30 DDEDLO C=CCCCNC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000356052681 410799341 /nfs/dbraw/zinc/79/93/41/410799341.db2.gz JQUYIDUDHDFNQD-CQSZACIVSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCCNC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000356052681 410799346 /nfs/dbraw/zinc/79/93/46/410799346.db2.gz JQUYIDUDHDFNQD-CQSZACIVSA-N 1 2 306.410 1.566 20 30 DDEDLO N#Cc1ccc(N[C@H](C[NH+]2CCOCC2)c2ccccc2)nn1 ZINC000301834587 410809166 /nfs/dbraw/zinc/80/91/66/410809166.db2.gz KNDVSOKZBSZUGT-MRXNPFEDSA-N 1 2 309.373 1.834 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)CCc1c[nH+]c[nH]1 ZINC000356167248 410873278 /nfs/dbraw/zinc/87/32/78/410873278.db2.gz NOMPUMBBZJYYEH-UHFFFAOYSA-N 1 2 321.344 1.742 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)CCc1c[nH]c[nH+]1 ZINC000356167248 410873284 /nfs/dbraw/zinc/87/32/84/410873284.db2.gz NOMPUMBBZJYYEH-UHFFFAOYSA-N 1 2 321.344 1.742 20 30 DDEDLO CCNC(=O)NC(=O)[C@@H](C)[NH2+]C1(c2noc(C)n2)CCCCC1 ZINC000330775318 410893327 /nfs/dbraw/zinc/89/33/27/410893327.db2.gz HMBUGLZEPRMVDF-SNVBAGLBSA-N 1 2 323.397 1.566 20 30 DDEDLO CC(C)(C)[C@H]([NH2+]Cc1nnc(-c2cccc(C#N)c2)o1)C(N)=O ZINC000348280504 410895020 /nfs/dbraw/zinc/89/50/20/410895020.db2.gz ZUOGHIBQAMQOEH-CYBMUJFWSA-N 1 2 313.361 1.598 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2cccc(C#N)c2)C[C@H](C)[S@@]1=O ZINC000331159809 410988478 /nfs/dbraw/zinc/98/84/78/410988478.db2.gz KEEBIHKIWMTQBO-SACXKMNMSA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2cccc(C#N)c2)C[C@H](C)[S@@]1=O ZINC000331159809 410988480 /nfs/dbraw/zinc/98/84/80/410988480.db2.gz KEEBIHKIWMTQBO-SACXKMNMSA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H](C(=O)NCC[N@@H+]1CCOC(C)(C)C1)c1cccc(C#N)c1 ZINC000360137897 411069607 /nfs/dbraw/zinc/06/96/07/411069607.db2.gz YALNAFGSWJLNBL-CQSZACIVSA-N 1 2 315.417 1.889 20 30 DDEDLO C[C@@H](C(=O)NCC[N@H+]1CCOC(C)(C)C1)c1cccc(C#N)c1 ZINC000360137897 411069614 /nfs/dbraw/zinc/06/96/14/411069614.db2.gz YALNAFGSWJLNBL-CQSZACIVSA-N 1 2 315.417 1.889 20 30 DDEDLO C=CCC[C@@H]1NC(=O)N([C@@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000642933138 423006377 /nfs/dbraw/zinc/00/63/77/423006377.db2.gz VMMFMAIIELNCGD-OLZOCXBDSA-N 1 2 300.362 1.547 20 30 DDEDLO C#CCNC(=O)c1ccc(NCc2ccc[nH+]c2N(C)C)nc1 ZINC000490656138 416570970 /nfs/dbraw/zinc/57/09/70/416570970.db2.gz HHPCDEKGMWYHJD-UHFFFAOYSA-N 1 2 309.373 1.518 20 30 DDEDLO N#Cc1cnc(NCCC[N@@H+]2CCNC(=O)C2)c2sccc21 ZINC000601135815 416621342 /nfs/dbraw/zinc/62/13/42/416621342.db2.gz YWBUEQTVYAMUDA-UHFFFAOYSA-N 1 2 315.402 1.402 20 30 DDEDLO N#Cc1cnc(NCCC[N@H+]2CCNC(=O)C2)c2sccc21 ZINC000601135815 416621346 /nfs/dbraw/zinc/62/13/46/416621346.db2.gz YWBUEQTVYAMUDA-UHFFFAOYSA-N 1 2 315.402 1.402 20 30 DDEDLO Cc1cc(NC(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)ncc1C#N ZINC000603115152 416632075 /nfs/dbraw/zinc/63/20/75/416632075.db2.gz CDLJIXORLNPLJL-OLZOCXBDSA-N 1 2 317.393 1.492 20 30 DDEDLO COC[C@@]1(C)CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000296666043 226104346 /nfs/dbraw/zinc/10/43/46/226104346.db2.gz KJSFQYKGBMHIKP-HNNXBMFYSA-N 1 2 323.418 1.085 20 30 DDEDLO COC[C@@]1(C)CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000296666043 226104349 /nfs/dbraw/zinc/10/43/49/226104349.db2.gz KJSFQYKGBMHIKP-HNNXBMFYSA-N 1 2 323.418 1.085 20 30 DDEDLO CCO[C@@H](C)c1noc(C[N@@H+]2CCCN([C@@H](C)C#N)CC2)n1 ZINC000373282483 418417480 /nfs/dbraw/zinc/41/74/80/418417480.db2.gz CUDDQQPDQHRFFS-STQMWFEESA-N 1 2 307.398 1.587 20 30 DDEDLO CCO[C@@H](C)c1noc(C[N@H+]2CCCN([C@@H](C)C#N)CC2)n1 ZINC000373282483 418417483 /nfs/dbraw/zinc/41/74/83/418417483.db2.gz CUDDQQPDQHRFFS-STQMWFEESA-N 1 2 307.398 1.587 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000366732635 418509825 /nfs/dbraw/zinc/50/98/25/418509825.db2.gz GSDWHJCUTNONRH-MSOLQXFVSA-N 1 2 312.413 1.902 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000366732635 418509829 /nfs/dbraw/zinc/50/98/29/418509829.db2.gz GSDWHJCUTNONRH-MSOLQXFVSA-N 1 2 312.413 1.902 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCC[C@H](O)[C@@H]2C(=O)OC)cc1 ZINC000188783355 222027570 /nfs/dbraw/zinc/02/75/70/222027570.db2.gz TXQFEZZWTGGXPV-DLBZAZTESA-N 1 2 317.385 1.587 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCC[C@H](O)[C@@H]2C(=O)OC)cc1 ZINC000188783355 222027574 /nfs/dbraw/zinc/02/75/74/222027574.db2.gz TXQFEZZWTGGXPV-DLBZAZTESA-N 1 2 317.385 1.587 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(CC#Cc2ccccc2)CC1 ZINC000191864461 222111949 /nfs/dbraw/zinc/11/19/49/222111949.db2.gz KJZIRPFDLZUVCP-MRXNPFEDSA-N 1 2 311.429 1.323 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCC[C@H]1c1ccc2c(c1)OCCO2 ZINC000192219226 222121970 /nfs/dbraw/zinc/12/19/70/222121970.db2.gz OAFJNLQOIAWBTF-HNNXBMFYSA-N 1 2 314.385 1.686 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCC[C@H]1c1ccc2c(c1)OCCO2 ZINC000192219226 222121972 /nfs/dbraw/zinc/12/19/72/222121972.db2.gz OAFJNLQOIAWBTF-HNNXBMFYSA-N 1 2 314.385 1.686 20 30 DDEDLO C=CCOC[C@@H](NC1CCN(c2cccc[nH+]2)CC1)C(=O)OC ZINC000361106607 418584867 /nfs/dbraw/zinc/58/48/67/418584867.db2.gz FSQDJBVGOOTLTO-OAHLLOKOSA-N 1 2 319.405 1.384 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)Cc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000246209784 222221183 /nfs/dbraw/zinc/22/11/83/222221183.db2.gz AZDAAHFBTOJXRK-JKSUJKDBSA-N 1 2 309.431 1.265 20 30 DDEDLO C=CC[N@H+](C[C@H](O)Cc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000246209784 222221185 /nfs/dbraw/zinc/22/11/85/222221185.db2.gz AZDAAHFBTOJXRK-JKSUJKDBSA-N 1 2 309.431 1.265 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+](C)[C@H]1CCCc2c1cnn2C ZINC000246274762 222221504 /nfs/dbraw/zinc/22/15/04/222221504.db2.gz MUEMXYRNMRRAHA-WMLDXEAASA-N 1 2 317.437 1.784 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+](C)[C@H]1CCCc2c1cnn2C ZINC000246274762 222221509 /nfs/dbraw/zinc/22/15/09/222221509.db2.gz MUEMXYRNMRRAHA-WMLDXEAASA-N 1 2 317.437 1.784 20 30 DDEDLO CC#CCCNC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000361221143 418607693 /nfs/dbraw/zinc/60/76/93/418607693.db2.gz QLQLRKJTOHSRBA-CQSZACIVSA-N 1 2 321.446 1.834 20 30 DDEDLO CC(C)(C)c1nnc([NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)s1 ZINC000330275539 418610863 /nfs/dbraw/zinc/61/08/63/418610863.db2.gz LPUGMWSZDSXTDG-SECBINFHSA-N 1 2 320.422 2.373 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@@H](CS(C)(=O)=O)C1 ZINC000367847367 418646085 /nfs/dbraw/zinc/64/60/85/418646085.db2.gz FWUVXVCGDBCNAK-CYBMUJFWSA-N 1 2 308.403 1.433 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@@H](CS(C)(=O)=O)C1 ZINC000367847367 418646088 /nfs/dbraw/zinc/64/60/88/418646088.db2.gz FWUVXVCGDBCNAK-CYBMUJFWSA-N 1 2 308.403 1.433 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(S(=O)(=O)CCC(C)(C)C#N)C1 ZINC000367720758 418626515 /nfs/dbraw/zinc/62/65/15/418626515.db2.gz MPVUUJQUJVYTQH-ZDUSSCGKSA-N 1 2 324.450 1.869 20 30 DDEDLO Cc1cccn2cc(CNC(=O)CNc3ccc(C#N)cn3)[nH+]c12 ZINC000345403180 418635854 /nfs/dbraw/zinc/63/58/54/418635854.db2.gz BSSGIAZPGVWGMS-UHFFFAOYSA-N 1 2 320.356 1.638 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[C@H]2CCOC(=O)[C@H]21 ZINC000368579799 418721874 /nfs/dbraw/zinc/72/18/74/418721874.db2.gz HHLWGZHXZMSZNN-BBRMVZONSA-N 1 2 316.357 1.583 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[C@H]2CCOC(=O)[C@H]21 ZINC000368579799 418721876 /nfs/dbraw/zinc/72/18/76/418721876.db2.gz HHLWGZHXZMSZNN-BBRMVZONSA-N 1 2 316.357 1.583 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000377486901 418710277 /nfs/dbraw/zinc/71/02/77/418710277.db2.gz VOZKGRWKUYBARK-GFCCVEGCSA-N 1 2 313.361 1.770 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000377486901 418710278 /nfs/dbraw/zinc/71/02/78/418710278.db2.gz VOZKGRWKUYBARK-GFCCVEGCSA-N 1 2 313.361 1.770 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000377534602 418711047 /nfs/dbraw/zinc/71/10/47/418711047.db2.gz VKTWJISLGBTUTM-ARFHVFGLSA-N 1 2 308.422 1.291 20 30 DDEDLO Cc1nnc(N2C[C@H]([NH+]3CCOCC3)C[C@H]2C)c(C#N)c1C ZINC000376199200 418694181 /nfs/dbraw/zinc/69/41/81/418694181.db2.gz MDESYWQDXGQYOI-BXUZGUMPSA-N 1 2 301.394 1.265 20 30 DDEDLO N#Cc1ccc(CN2CCC[C@@H]([NH+]3CCOCC3)C2)c(F)c1 ZINC000382538536 418731332 /nfs/dbraw/zinc/73/13/32/418731332.db2.gz UGPKZCAYFQLCTL-MRXNPFEDSA-N 1 2 303.381 1.994 20 30 DDEDLO CCn1cc[nH+]c1CN1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000369515425 418734026 /nfs/dbraw/zinc/73/40/26/418734026.db2.gz JLPNESLQPMZAHW-UGSOOPFHSA-N 1 2 321.384 1.748 20 30 DDEDLO CC[C@H]1C[N@H+](CCNc2ncc(Cl)c(C)c2C#N)CCO1 ZINC000362678250 418758500 /nfs/dbraw/zinc/75/85/00/418758500.db2.gz AJNHGXRETSQJFS-LBPRGKRZSA-N 1 2 308.813 2.438 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNc2ncc(Cl)c(C)c2C#N)CCO1 ZINC000362678250 418758503 /nfs/dbraw/zinc/75/85/03/418758503.db2.gz AJNHGXRETSQJFS-LBPRGKRZSA-N 1 2 308.813 2.438 20 30 DDEDLO C#CCNC(=O)N[C@@H]1CCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC000362955977 418761630 /nfs/dbraw/zinc/76/16/30/418761630.db2.gz UKHSXTOHSFUTGX-GFCCVEGCSA-N 1 2 307.344 1.862 20 30 DDEDLO C#CCNC(=O)N[C@@H]1CCC[N@H+](Cc2c(F)cccc2F)C1 ZINC000362955977 418761633 /nfs/dbraw/zinc/76/16/33/418761633.db2.gz UKHSXTOHSFUTGX-GFCCVEGCSA-N 1 2 307.344 1.862 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+](CC(=O)NCC)CC2)CCC1 ZINC000364477389 418780024 /nfs/dbraw/zinc/78/00/24/418780024.db2.gz LVHYTBSDGVDCHC-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+](CC(=O)NCC)CC2)CCC1 ZINC000364477389 418780027 /nfs/dbraw/zinc/78/00/27/418780027.db2.gz LVHYTBSDGVDCHC-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C[C@@H]1CCCCN1C(=O)C[NH+]1CCN(CC2(C#N)CC2)CC1 ZINC000408110246 418788093 /nfs/dbraw/zinc/78/80/93/418788093.db2.gz MYIRFLWCPDVDCF-OAHLLOKOSA-N 1 2 304.438 1.309 20 30 DDEDLO C=CC[N@H+](Cc1cccc([N+](=O)[O-])c1)[C@H](C)C(=O)NC(=O)NC ZINC000411194573 418878171 /nfs/dbraw/zinc/87/81/71/418878171.db2.gz QTAKVWKCHHYWCI-LLVKDONJSA-N 1 2 320.349 1.427 20 30 DDEDLO C=CC[N@@H+](Cc1cccc([N+](=O)[O-])c1)[C@H](C)C(=O)NC(=O)NC ZINC000411194573 418878172 /nfs/dbraw/zinc/87/81/72/418878172.db2.gz QTAKVWKCHHYWCI-LLVKDONJSA-N 1 2 320.349 1.427 20 30 DDEDLO CC(C)OC[C@@H]1C[N@H+](C[C@@H](O)c2ccc(C#N)cc2)CCO1 ZINC000372160028 418841630 /nfs/dbraw/zinc/84/16/30/418841630.db2.gz HXFOPKAAVGICPV-DLBZAZTESA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@@H]1C[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)CCO1 ZINC000372160028 418841631 /nfs/dbraw/zinc/84/16/31/418841631.db2.gz HXFOPKAAVGICPV-DLBZAZTESA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@H]1C[N@H+](C[C@@H](O)c2ccc(C#N)cc2)CCO1 ZINC000372160029 418841716 /nfs/dbraw/zinc/84/17/16/418841716.db2.gz HXFOPKAAVGICPV-IAGOWNOFSA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@H]1C[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)CCO1 ZINC000372160029 418841718 /nfs/dbraw/zinc/84/17/18/418841718.db2.gz HXFOPKAAVGICPV-IAGOWNOFSA-N 1 2 304.390 1.717 20 30 DDEDLO C=CCOCCNC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC000365261823 418846874 /nfs/dbraw/zinc/84/68/74/418846874.db2.gz OWBNNYBXAVOEED-AWEZNQCLSA-N 1 2 306.410 1.587 20 30 DDEDLO C[C@H]1C[N@H+](CCC2(C#N)CCCCC2)CCN1S(C)(=O)=O ZINC000411490940 418908550 /nfs/dbraw/zinc/90/85/50/418908550.db2.gz DIUWROSHGYXXPG-AWEZNQCLSA-N 1 2 313.467 1.816 20 30 DDEDLO C[C@H]1C[N@@H+](CCC2(C#N)CCCCC2)CCN1S(C)(=O)=O ZINC000411490940 418908552 /nfs/dbraw/zinc/90/85/52/418908552.db2.gz DIUWROSHGYXXPG-AWEZNQCLSA-N 1 2 313.467 1.816 20 30 DDEDLO CC(C)CN1C[C@H]2C[N@H+](Cc3ccc(C#N)o3)CCN2C1=O ZINC000425992963 419375853 /nfs/dbraw/zinc/37/58/53/419375853.db2.gz ITBFPVJCFCIPFT-CYBMUJFWSA-N 1 2 302.378 1.729 20 30 DDEDLO CC(C)CN1C[C@H]2C[N@@H+](Cc3ccc(C#N)o3)CCN2C1=O ZINC000425992963 419375856 /nfs/dbraw/zinc/37/58/56/419375856.db2.gz ITBFPVJCFCIPFT-CYBMUJFWSA-N 1 2 302.378 1.729 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@H+](CC(=O)N(CCC#N)c2ccccc2)C1 ZINC000420992797 419429618 /nfs/dbraw/zinc/42/96/18/419429618.db2.gz YLIRLHGEYOZRCF-OAHLLOKOSA-N 1 2 314.389 1.144 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@@H+](CC(=O)N(CCC#N)c2ccccc2)C1 ZINC000420992797 419429624 /nfs/dbraw/zinc/42/96/24/419429624.db2.gz YLIRLHGEYOZRCF-OAHLLOKOSA-N 1 2 314.389 1.144 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1CCc2nn[nH]c2C1 ZINC000429288258 419998695 /nfs/dbraw/zinc/99/86/95/419998695.db2.gz ACBUDUCFQKGQLH-AWEZNQCLSA-N 1 2 314.389 1.331 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1CCc2nn[nH]c2C1 ZINC000429288258 419998696 /nfs/dbraw/zinc/99/86/96/419998696.db2.gz ACBUDUCFQKGQLH-AWEZNQCLSA-N 1 2 314.389 1.331 20 30 DDEDLO Cn1cc(C[NH+]2CCC3(CC2)CCS(=O)(=O)CC3)cc1C#N ZINC000430207364 420090293 /nfs/dbraw/zinc/09/02/93/420090293.db2.gz YYISYLYJRLOPGE-UHFFFAOYSA-N 1 2 321.446 1.688 20 30 DDEDLO CCOC(=O)C[N@H+](CCC(=O)Nc1cccc(C#N)c1)C1CC1 ZINC000435640014 420270452 /nfs/dbraw/zinc/27/04/52/420270452.db2.gz XYAGEEBHPOIOSL-UHFFFAOYSA-N 1 2 315.373 1.914 20 30 DDEDLO CCOC(=O)C[N@@H+](CCC(=O)Nc1cccc(C#N)c1)C1CC1 ZINC000435640014 420270456 /nfs/dbraw/zinc/27/04/56/420270456.db2.gz XYAGEEBHPOIOSL-UHFFFAOYSA-N 1 2 315.373 1.914 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@@H](C)c2ncc(C(=O)OC)s2)C1=O ZINC000425495711 420399714 /nfs/dbraw/zinc/39/97/14/420399714.db2.gz ZXKDWALAQKLDRU-VHSXEESVSA-N 1 2 309.391 1.367 20 30 DDEDLO N#CC[C@@H]1CC[C@H](Nc2cc(N3CCC[C@@H]3CO)nc[nH+]2)C1 ZINC000450675949 420507321 /nfs/dbraw/zinc/50/73/21/420507321.db2.gz WBTABCQXPXJBMR-MELADBBJSA-N 1 2 301.394 1.932 20 30 DDEDLO N#CC[C@@H]1CC[C@H](Nc2cc(N3CCC[C@@H]3CO)[nH+]cn2)C1 ZINC000450675949 420507323 /nfs/dbraw/zinc/50/73/23/420507323.db2.gz WBTABCQXPXJBMR-MELADBBJSA-N 1 2 301.394 1.932 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000457776060 420636475 /nfs/dbraw/zinc/63/64/75/420636475.db2.gz ACLRKMNJUDYNGB-LVQVYYBASA-N 1 2 308.422 1.289 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000457776060 420636478 /nfs/dbraw/zinc/63/64/78/420636478.db2.gz ACLRKMNJUDYNGB-LVQVYYBASA-N 1 2 308.422 1.289 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCCCNc1cccc[nH+]1 ZINC000454541459 420878410 /nfs/dbraw/zinc/87/84/10/420878410.db2.gz WGOOYZUHEJWTKB-KGLIPLIRSA-N 1 2 304.394 1.916 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ccc(C)nc3)CC2)c1C#N ZINC000471820047 420951786 /nfs/dbraw/zinc/95/17/86/420951786.db2.gz FSLAKASEFKOPJD-UHFFFAOYSA-N 1 2 310.405 1.626 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccncc2Cl)CC1 ZINC000471714943 420945646 /nfs/dbraw/zinc/94/56/46/420945646.db2.gz JGVBEPMANSIRTA-UHFFFAOYSA-N 1 2 308.813 1.155 20 30 DDEDLO C[C@H]([NH2+]Cc1nc(C#N)cs1)C(=O)NCCc1ccccc1 ZINC000449581207 421032095 /nfs/dbraw/zinc/03/20/95/421032095.db2.gz YAKLMBSGHNWMAO-LBPRGKRZSA-N 1 2 314.414 1.852 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCNc2cccc[nH+]2)cc1F ZINC000489590500 421166623 /nfs/dbraw/zinc/16/66/23/421166623.db2.gz XAJHVPIRNCRZHG-UHFFFAOYSA-N 1 2 320.349 1.483 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNc2ccc(Cl)c(C#N)n2)C1 ZINC000450539775 421207824 /nfs/dbraw/zinc/20/78/24/421207824.db2.gz MHZKDGQYABBDCI-NSHDSACASA-N 1 2 322.796 1.904 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNc2ccc(Cl)c(C#N)n2)C1 ZINC000450539775 421207826 /nfs/dbraw/zinc/20/78/26/421207826.db2.gz MHZKDGQYABBDCI-NSHDSACASA-N 1 2 322.796 1.904 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000563365922 421492664 /nfs/dbraw/zinc/49/26/64/421492664.db2.gz FNVAJRDIABVJFB-HNNXBMFYSA-N 1 2 311.389 1.770 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCc1nnc(C2CCCC2)o1 ZINC000515352670 421495462 /nfs/dbraw/zinc/49/54/62/421495462.db2.gz LFZPTOWJDFYMAM-INIZCTEOSA-N 1 2 319.409 1.620 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)N[C@@](C)(C#N)C1CCCCC1 ZINC000515395408 421499780 /nfs/dbraw/zinc/49/97/80/421499780.db2.gz NBDDJFXVKIUWOI-KRWDZBQOSA-N 1 2 322.453 1.422 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)N[C@@](C)(C#N)C1CCCCC1 ZINC000515395408 421499784 /nfs/dbraw/zinc/49/97/84/421499784.db2.gz NBDDJFXVKIUWOI-KRWDZBQOSA-N 1 2 322.453 1.422 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N(C)CC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000551965877 421560402 /nfs/dbraw/zinc/56/04/02/421560402.db2.gz ZVRVXIOUSSHZHU-OKILXGFUSA-N 1 2 316.405 1.443 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N(C)CC[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000551965877 421560403 /nfs/dbraw/zinc/56/04/03/421560403.db2.gz ZVRVXIOUSSHZHU-OKILXGFUSA-N 1 2 316.405 1.443 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000564885500 421594887 /nfs/dbraw/zinc/59/48/87/421594887.db2.gz RNTAJEMYIKHGRM-KBPBESRZSA-N 1 2 300.362 1.725 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1csc([C@@H]2CCCO2)n1 ZINC000566741230 421608193 /nfs/dbraw/zinc/60/81/93/421608193.db2.gz UJTVRNRMGMCKBQ-SMDDNHRTSA-N 1 2 308.407 1.568 20 30 DDEDLO C[C@@H](CC(=O)N[C@@](C)(C#N)C[NH+](C)C)NC(=O)C1CCCCC1 ZINC000567995574 421616437 /nfs/dbraw/zinc/61/64/37/421616437.db2.gz OPAJYKFETNYGTB-GUYCJALGSA-N 1 2 322.453 1.422 20 30 DDEDLO CCc1ccccc1OCCC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000568986751 421623924 /nfs/dbraw/zinc/62/39/24/421623924.db2.gz DUDPKTWLFFGJSN-QGZVFWFLSA-N 1 2 303.406 1.978 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(N2CCCC2)c(F)c1 ZINC000570048094 421629398 /nfs/dbraw/zinc/62/93/98/421629398.db2.gz HFXBUJIUCQYXCW-QGZVFWFLSA-N 1 2 318.396 2.000 20 30 DDEDLO CC1CC(C#N)(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)C1 ZINC000531562614 421637739 /nfs/dbraw/zinc/63/77/39/421637739.db2.gz CXEPKKTVOSLQFI-UHFFFAOYSA-N 1 2 314.389 1.474 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000556638254 421718164 /nfs/dbraw/zinc/71/81/64/421718164.db2.gz SYFCWMKOCAAJRM-CVEARBPZSA-N 1 2 316.405 1.252 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)C3(c4cccc(C#N)c4)CC3)C[C@H]21 ZINC000533257129 421670815 /nfs/dbraw/zinc/67/08/15/421670815.db2.gz AKUAPXLVHPEDKM-CVEARBPZSA-N 1 2 311.385 1.131 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)C3(c4cccc(C#N)c4)CC3)C[C@H]21 ZINC000533257129 421670817 /nfs/dbraw/zinc/67/08/17/421670817.db2.gz AKUAPXLVHPEDKM-CVEARBPZSA-N 1 2 311.385 1.131 20 30 DDEDLO CCCN(CCC)C(=O)CCC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000570848042 421673807 /nfs/dbraw/zinc/67/38/07/421673807.db2.gz JKXBKSKKHKWNJS-INIZCTEOSA-N 1 2 310.442 1.375 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CN(C(=O)C(C)(C)C=C)CC[N@H+]1C ZINC000572250236 421772643 /nfs/dbraw/zinc/77/26/43/421772643.db2.gz KPCZIPOTWVUGHV-AWEZNQCLSA-N 1 2 321.465 1.670 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CN(C(=O)C(C)(C)C=C)CC[N@@H+]1C ZINC000572250236 421772646 /nfs/dbraw/zinc/77/26/46/421772646.db2.gz KPCZIPOTWVUGHV-AWEZNQCLSA-N 1 2 321.465 1.670 20 30 DDEDLO N#Cc1cccc(CS(=O)(=O)N2CC(n3cc[nH+]c3)C2)c1 ZINC000542980225 421832860 /nfs/dbraw/zinc/83/28/60/421832860.db2.gz PYMNKFRPZPFPSL-UHFFFAOYSA-N 1 2 302.359 1.141 20 30 DDEDLO CC(C)O[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000521621467 421793936 /nfs/dbraw/zinc/79/39/36/421793936.db2.gz ZUAFOYXWKFXNSD-CQSZACIVSA-N 1 2 323.418 1.226 20 30 DDEDLO CC(C)O[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000521621467 421793942 /nfs/dbraw/zinc/79/39/42/421793942.db2.gz ZUAFOYXWKFXNSD-CQSZACIVSA-N 1 2 323.418 1.226 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1cc(OC)c(OC)c(OC)c1 ZINC000572972227 421889061 /nfs/dbraw/zinc/88/90/61/421889061.db2.gz SCFNNVHYZRMDNV-NSHDSACASA-N 1 2 321.377 1.933 20 30 DDEDLO N#Cc1cc2c(nc1NCCc1cn3ccccc3[nH+]1)CCOC2 ZINC000583793384 422213652 /nfs/dbraw/zinc/21/36/52/422213652.db2.gz QFARUGXKAJIFOG-UHFFFAOYSA-N 1 2 319.368 2.328 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)cc1F ZINC000575008095 422235942 /nfs/dbraw/zinc/23/59/42/422235942.db2.gz JLYREHVWQJLVEC-LBPRGKRZSA-N 1 2 313.336 1.777 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)cc1F ZINC000575008095 422235949 /nfs/dbraw/zinc/23/59/49/422235949.db2.gz JLYREHVWQJLVEC-LBPRGKRZSA-N 1 2 313.336 1.777 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NCCN1CCc2ccccc21 ZINC000576289570 422373299 /nfs/dbraw/zinc/37/32/99/422373299.db2.gz IPJVEOXWTUPNDT-OAHLLOKOSA-N 1 2 300.406 1.447 20 30 DDEDLO COc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)ccc1[N+](=O)[O-] ZINC000576587261 422376146 /nfs/dbraw/zinc/37/61/46/422376146.db2.gz HBUBHZQWLFQSER-CQSZACIVSA-N 1 2 306.322 1.177 20 30 DDEDLO N#CCN1CCC(CNc2nc3ccccc3n3c[nH+]cc23)CC1 ZINC000599063399 422402800 /nfs/dbraw/zinc/40/28/00/422402800.db2.gz YXBYCBAJXHABIG-UHFFFAOYSA-N 1 2 320.400 2.530 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2cc(CCCC)ncn2)CC1 ZINC000631047615 422491055 /nfs/dbraw/zinc/49/10/55/422491055.db2.gz OWHOFOUPOACOLU-UHFFFAOYSA-N 1 2 317.437 1.243 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N[C@@H]2CCC[C@@H](C)[C@H]2C)nn1 ZINC000640765027 423172610 /nfs/dbraw/zinc/17/26/10/423172610.db2.gz PDXQLNKVFHSDIM-IIAWOOMASA-N 1 2 317.437 1.332 20 30 DDEDLO C=CCn1cc(C(=O)N2CCN(c3c[nH+]ccc3C)CC2)nn1 ZINC000648381773 423342002 /nfs/dbraw/zinc/34/20/02/423342002.db2.gz SBSXIAQSOSWZMW-UHFFFAOYSA-N 1 2 312.377 1.130 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nnc(C3CC3)n2C2CC2)nn1 ZINC000641158673 423430860 /nfs/dbraw/zinc/43/08/60/423430860.db2.gz GHKAANVZRDHSKO-UHFFFAOYSA-N 1 2 311.393 1.243 20 30 DDEDLO CCNC(=O)C[N@H+](CC)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000008309525 264255017 /nfs/dbraw/zinc/25/50/17/264255017.db2.gz LFHYCNODDGYSDB-GFCCVEGCSA-N 1 2 302.378 1.343 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000008309525 264255018 /nfs/dbraw/zinc/25/50/18/264255018.db2.gz LFHYCNODDGYSDB-GFCCVEGCSA-N 1 2 302.378 1.343 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000645154930 424196597 /nfs/dbraw/zinc/19/65/97/424196597.db2.gz MSTJPMAOYDOWQS-HNNXBMFYSA-N 1 2 316.405 1.255 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc(C(F)(F)F)nn2C)nn1 ZINC000657496040 424379331 /nfs/dbraw/zinc/37/93/31/424379331.db2.gz NRMUJDKMXBSQAO-UHFFFAOYSA-N 1 2 300.288 1.506 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNc1cc(N)c([N+](=O)[O-])c(C#N)c1 ZINC000341977891 267069070 /nfs/dbraw/zinc/06/90/70/267069070.db2.gz CHKPCIFDPDAGDY-SNVBAGLBSA-N 1 2 305.338 1.181 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNc1cc(N)c([N+](=O)[O-])c(C#N)c1 ZINC000341977891 267069073 /nfs/dbraw/zinc/06/90/73/267069073.db2.gz CHKPCIFDPDAGDY-SNVBAGLBSA-N 1 2 305.338 1.181 20 30 DDEDLO C[C@H]1C[N@@H+]2CCCC[C@H]2CN1S(=O)(=O)c1cccc(C#N)c1 ZINC000316401661 267242271 /nfs/dbraw/zinc/24/22/71/267242271.db2.gz HFLSWJVRCHHXDN-ZFWWWQNUSA-N 1 2 319.430 1.806 20 30 DDEDLO C[C@H]1C[N@H+]2CCCC[C@H]2CN1S(=O)(=O)c1cccc(C#N)c1 ZINC000316401661 267242272 /nfs/dbraw/zinc/24/22/72/267242272.db2.gz HFLSWJVRCHHXDN-ZFWWWQNUSA-N 1 2 319.430 1.806 20 30 DDEDLO C[C@H]1OCC[C@H]1[N@H+](CCO)CC(=O)Nc1sccc1C#N ZINC000361550368 267245988 /nfs/dbraw/zinc/24/59/88/267245988.db2.gz FBWMMLBPJHWWNG-ZYHUDNBSSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@H]1OCC[C@H]1[N@@H+](CCO)CC(=O)Nc1sccc1C#N ZINC000361550368 267245993 /nfs/dbraw/zinc/24/59/93/267245993.db2.gz FBWMMLBPJHWWNG-ZYHUDNBSSA-N 1 2 309.391 1.030 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000377971332 267381983 /nfs/dbraw/zinc/38/19/83/267381983.db2.gz UGOXCHYFHDMTKU-GFCCVEGCSA-N 1 2 323.356 1.202 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000377971332 267381985 /nfs/dbraw/zinc/38/19/85/267381985.db2.gz UGOXCHYFHDMTKU-GFCCVEGCSA-N 1 2 323.356 1.202 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)Cc1ccc(C#N)nc1)CCC2 ZINC000528885582 268069247 /nfs/dbraw/zinc/06/92/47/268069247.db2.gz TUSZASPRRVWHTI-CQSZACIVSA-N 1 2 309.373 1.695 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCCC3(CCC3)C2)nc1 ZINC000367376519 268187059 /nfs/dbraw/zinc/18/70/59/268187059.db2.gz BCZGZDUBJHUNPF-UHFFFAOYSA-N 1 2 319.430 1.993 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCCC3(CCC3)C2)nc1 ZINC000367376519 268187060 /nfs/dbraw/zinc/18/70/60/268187060.db2.gz BCZGZDUBJHUNPF-UHFFFAOYSA-N 1 2 319.430 1.993 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@@H+]2C[C@@H](O)C3(CC3)C2)C1=O ZINC000364437454 268304442 /nfs/dbraw/zinc/30/44/42/268304442.db2.gz ANGVYJONXNJBCI-VXGBXAGGSA-N 1 2 303.387 1.182 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@H+]2C[C@@H](O)C3(CC3)C2)C1=O ZINC000364437454 268304444 /nfs/dbraw/zinc/30/44/44/268304444.db2.gz ANGVYJONXNJBCI-VXGBXAGGSA-N 1 2 303.387 1.182 20 30 DDEDLO C#C[C@H](C)[N@H+](C)Cc1nnc2n1c1ccc(C)cc1c(=O)n2C ZINC000491287113 275386598 /nfs/dbraw/zinc/38/65/98/275386598.db2.gz KZLNOGXBUZMHQP-LBPRGKRZSA-N 1 2 309.373 1.343 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)Cc1nnc2n1c1ccc(C)cc1c(=O)n2C ZINC000491287113 275386599 /nfs/dbraw/zinc/38/65/99/275386599.db2.gz KZLNOGXBUZMHQP-LBPRGKRZSA-N 1 2 309.373 1.343 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC000369132668 279214274 /nfs/dbraw/zinc/21/42/74/279214274.db2.gz YWQYCHGTQRAGIA-GJZGRUSLSA-N 1 2 323.437 1.264 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC000369132668 279214276 /nfs/dbraw/zinc/21/42/76/279214276.db2.gz YWQYCHGTQRAGIA-GJZGRUSLSA-N 1 2 323.437 1.264 20 30 DDEDLO CCN1CCN(C(=O)N[C@@H]2CCO[C@@H]2C)C[C@H]1c1[nH]cc[nH+]1 ZINC000329999796 279337104 /nfs/dbraw/zinc/33/71/04/279337104.db2.gz VIXPFQOYVHEARI-UPJWGTAASA-N 1 2 307.398 1.180 20 30 DDEDLO Cc1cc(C(=O)Nc2nc3c(s2)C[N@H+](C)CC3)ncc1C#N ZINC000457457590 280373861 /nfs/dbraw/zinc/37/38/61/280373861.db2.gz OAPQVCWJJHYWQA-UHFFFAOYSA-N 1 2 313.386 1.958 20 30 DDEDLO Cc1cc(C(=O)Nc2nc3c(s2)C[N@@H+](C)CC3)ncc1C#N ZINC000457457590 280373863 /nfs/dbraw/zinc/37/38/63/280373863.db2.gz OAPQVCWJJHYWQA-UHFFFAOYSA-N 1 2 313.386 1.958 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)cc1 ZINC000293226439 281393119 /nfs/dbraw/zinc/39/31/19/281393119.db2.gz XURYAXCGLIAMPJ-CVEARBPZSA-N 1 2 314.429 1.826 20 30 DDEDLO C[C@H](O)[C@H]1CCCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513271 290166711 /nfs/dbraw/zinc/16/67/11/290166711.db2.gz IFUBYKCIPHYJSB-XJKSGUPXSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@H](O)[C@H]1CCCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513271 290166714 /nfs/dbraw/zinc/16/67/14/290166714.db2.gz IFUBYKCIPHYJSB-XJKSGUPXSA-N 1 2 322.430 1.567 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)OC(C)(C)C)CCN1CCC#N ZINC000284674462 302995446 /nfs/dbraw/zinc/99/54/46/302995446.db2.gz HBSVNJPDDXQIOR-ZDUSSCGKSA-N 1 2 319.409 1.928 20 30 DDEDLO N#Cc1ccc(Cl)c(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC000368609363 307106262 /nfs/dbraw/zinc/10/62/62/307106262.db2.gz XQYJQKWHCBCDRG-ZDUSSCGKSA-N 1 2 319.792 1.758 20 30 DDEDLO CCc1cc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cn2)on1 ZINC000567464150 308081070 /nfs/dbraw/zinc/08/10/70/308081070.db2.gz IJSXXKNWXIIDRJ-UHFFFAOYSA-N 1 2 320.374 1.067 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000556835524 331739300 /nfs/dbraw/zinc/73/93/00/331739300.db2.gz JAEXKCWOLVHEPA-AWEZNQCLSA-N 1 2 321.421 1.140 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1cccc(C(F)(F)F)c1 ZINC000111182315 332301834 /nfs/dbraw/zinc/30/18/34/332301834.db2.gz HEJDRXQSBOMDER-UHFFFAOYSA-N 1 2 317.311 1.826 20 30 DDEDLO C#CC[C@H]([NH2+][C@@H]1CCC[C@H](NC(=O)OC(C)(C)C)C1)C(=O)OC ZINC000497294436 333232247 /nfs/dbraw/zinc/23/22/47/333232247.db2.gz QWYJUDPVJAALKM-RDBSUJKOSA-N 1 2 324.421 1.977 20 30 DDEDLO C=CCN1CC[C@H](N2CC[NH+](Cc3ccsc3)CC2)C1=O ZINC000535260218 334383456 /nfs/dbraw/zinc/38/34/56/334383456.db2.gz HLQMTPJSOLXDQR-HNNXBMFYSA-N 1 2 305.447 1.653 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(Cc3ccsc3)CC2)C1=O ZINC000535260218 334383458 /nfs/dbraw/zinc/38/34/58/334383458.db2.gz HLQMTPJSOLXDQR-HNNXBMFYSA-N 1 2 305.447 1.653 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)C[N@@H+](C)CC(=O)NC(C)C ZINC000352597327 336226256 /nfs/dbraw/zinc/22/62/56/336226256.db2.gz IRFHSWUZNQGCLE-UHFFFAOYSA-N 1 2 321.421 1.293 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)C[N@H+](C)CC(=O)NC(C)C ZINC000352597327 336226257 /nfs/dbraw/zinc/22/62/57/336226257.db2.gz IRFHSWUZNQGCLE-UHFFFAOYSA-N 1 2 321.421 1.293 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)N(CC)CCC#N)Cc1ccc(CO)o1 ZINC000352819702 336255377 /nfs/dbraw/zinc/25/53/77/336255377.db2.gz XOGUZNWBSOSTTE-UHFFFAOYSA-N 1 2 319.405 1.912 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)N(CC)CCC#N)Cc1ccc(CO)o1 ZINC000352819702 336255378 /nfs/dbraw/zinc/25/53/78/336255378.db2.gz XOGUZNWBSOSTTE-UHFFFAOYSA-N 1 2 319.405 1.912 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)NC[C@@H]1CCn2cc[nH+]c2C1 ZINC000373336974 336956587 /nfs/dbraw/zinc/95/65/87/336956587.db2.gz JNQMEYIGOYIYMT-IIAWOOMASA-N 1 2 303.406 1.933 20 30 DDEDLO C[C@H]([NH2+]CCS(=O)(=O)c1ccc(C#N)cc1)c1csnn1 ZINC000398260515 337310629 /nfs/dbraw/zinc/31/06/29/337310629.db2.gz AHEUHKOGCNCYRD-JTQLQIEISA-N 1 2 322.415 1.534 20 30 DDEDLO CC(=O)Nc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1F ZINC000572164799 337594525 /nfs/dbraw/zinc/59/45/25/337594525.db2.gz AHAUQRXADKQYGS-OAHLLOKOSA-N 1 2 306.341 1.358 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@H]1COC(=O)NC1CCCCC1 ZINC000497069044 340019585 /nfs/dbraw/zinc/01/95/85/340019585.db2.gz BZVUWXHDPHIYNP-AWEZNQCLSA-N 1 2 322.409 1.149 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@H]1COC(=O)NC1CCCCC1 ZINC000497069044 340019586 /nfs/dbraw/zinc/01/95/86/340019586.db2.gz BZVUWXHDPHIYNP-AWEZNQCLSA-N 1 2 322.409 1.149 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)c2ccn3c(C)c[nH+]c3c2)C1=O ZINC000516583196 340083005 /nfs/dbraw/zinc/08/30/05/340083005.db2.gz TUIGKFWQCSUVQH-AWEZNQCLSA-N 1 2 312.373 1.502 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[NH2+][C@@H](CCO)C(F)F)cc1 ZINC000517567788 340472425 /nfs/dbraw/zinc/47/24/25/340472425.db2.gz RMQQQAXYPUWLHG-OCCSQVGLSA-N 1 2 314.332 1.098 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCOC[C@H]1CC1CCC1)C1CC1 ZINC000550141873 341310944 /nfs/dbraw/zinc/31/09/44/341310944.db2.gz MIAUMBPSSWZWKP-WBVHZDCISA-N 1 2 305.422 1.686 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCOC[C@H]1CC1CCC1)C1CC1 ZINC000550141873 341310945 /nfs/dbraw/zinc/31/09/45/341310945.db2.gz MIAUMBPSSWZWKP-WBVHZDCISA-N 1 2 305.422 1.686 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NCCOc1ccc2c(c1)OCO2 ZINC000565170618 341519116 /nfs/dbraw/zinc/51/91/16/341519116.db2.gz FZZXWVRQHPQBKD-GFCCVEGCSA-N 1 2 319.361 1.192 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(C(=O)NC2CC2)cc1 ZINC000575805355 341805705 /nfs/dbraw/zinc/80/57/05/341805705.db2.gz KNGXNANNONILAJ-QGZVFWFLSA-N 1 2 314.389 1.152 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000665615875 484971826 /nfs/dbraw/zinc/97/18/26/484971826.db2.gz SBJBLSFCDNKXDB-OAHLLOKOSA-N 1 2 316.405 1.255 20 30 DDEDLO C=C[C@](C)(O)C(=O)N[C@@H]1CCC[N@H+](Cc2c(F)cccc2F)C1 ZINC000668574428 485220689 /nfs/dbraw/zinc/22/06/89/485220689.db2.gz WGGCXJFTUMHFNT-PXAZEXFGSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@](C)(O)C(=O)N[C@@H]1CCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC000668574428 485220693 /nfs/dbraw/zinc/22/06/93/485220693.db2.gz WGGCXJFTUMHFNT-PXAZEXFGSA-N 1 2 324.371 1.982 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC[C@H](C(=O)NCc3[nH]cc[nH+]3)C2)n1 ZINC000331386400 534255046 /nfs/dbraw/zinc/25/50/46/534255046.db2.gz IDOKIVKBEUOTPN-AWEZNQCLSA-N 1 2 324.388 1.518 20 30 DDEDLO Cc1nc(N2CCC[C@H](C(=O)NCC3CC3)C2)nc(N(C)C)[nH+]1 ZINC000330057980 534436359 /nfs/dbraw/zinc/43/63/59/534436359.db2.gz YIOPJFGMZVWHOF-ZDUSSCGKSA-N 1 2 318.425 1.829 20 30 DDEDLO Cc1nc(N(C)C)nc(N2CCC[C@H](C(=O)NCC3CC3)C2)[nH+]1 ZINC000330057980 534436365 /nfs/dbraw/zinc/43/63/65/534436365.db2.gz YIOPJFGMZVWHOF-ZDUSSCGKSA-N 1 2 318.425 1.829 20 30 DDEDLO C=CC[N@H+](CCOCC1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000459379076 526307077 /nfs/dbraw/zinc/30/70/77/526307077.db2.gz ZSROWUMCXRAUDQ-HNNXBMFYSA-N 1 2 301.452 1.868 20 30 DDEDLO C=CC[N@@H+](CCOCC1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000459379076 526307081 /nfs/dbraw/zinc/30/70/81/526307081.db2.gz ZSROWUMCXRAUDQ-HNNXBMFYSA-N 1 2 301.452 1.868 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccc(F)cc2)C1=O ZINC000337142726 526469588 /nfs/dbraw/zinc/46/95/88/526469588.db2.gz XNOZBWKDACAQIW-CQSZACIVSA-N 1 2 305.353 1.483 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccc(F)cc2)C1=O ZINC000337142726 526469595 /nfs/dbraw/zinc/46/95/95/526469595.db2.gz XNOZBWKDACAQIW-CQSZACIVSA-N 1 2 305.353 1.483 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)N(C)c2ccccc2)C1=O ZINC000337202428 526473385 /nfs/dbraw/zinc/47/33/85/526473385.db2.gz FAWWNRFMOWJZEO-GDBMZVCRSA-N 1 2 315.417 1.757 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)N(C)c2ccccc2)C1=O ZINC000337202428 526473390 /nfs/dbraw/zinc/47/33/90/526473390.db2.gz FAWWNRFMOWJZEO-GDBMZVCRSA-N 1 2 315.417 1.757 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](S(=O)(=O)NC3CC3)C2)c(F)c1 ZINC000120770317 526617356 /nfs/dbraw/zinc/61/73/56/526617356.db2.gz MSCFZIQPGVLJOF-CQSZACIVSA-N 1 2 323.393 1.353 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](S(=O)(=O)NC3CC3)C2)c(F)c1 ZINC000120770317 526617362 /nfs/dbraw/zinc/61/73/62/526617362.db2.gz MSCFZIQPGVLJOF-CQSZACIVSA-N 1 2 323.393 1.353 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000330818321 526902146 /nfs/dbraw/zinc/90/21/46/526902146.db2.gz RPBCTMRXLJLLDZ-LSDHHAIUSA-N 1 2 300.362 1.735 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000330818321 526902151 /nfs/dbraw/zinc/90/21/51/526902151.db2.gz RPBCTMRXLJLLDZ-LSDHHAIUSA-N 1 2 300.362 1.735 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCc2cc(O)ccc2[N+](=O)[O-])CC1 ZINC000491709797 526934704 /nfs/dbraw/zinc/93/47/04/526934704.db2.gz SFIBWGHAFAIRTI-UHFFFAOYSA-N 1 2 318.329 1.689 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cccc([N+](=O)[O-])c2C)CC1 ZINC000490800992 526951784 /nfs/dbraw/zinc/95/17/84/526951784.db2.gz ZRGCQTGGXAKICE-UHFFFAOYSA-N 1 2 316.361 1.093 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CC3)n2C[C@H]2CCCO2)CC1 ZINC000491202817 526955191 /nfs/dbraw/zinc/95/51/91/526955191.db2.gz QCGNGUFFBCQQFY-OAHLLOKOSA-N 1 2 315.421 1.090 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCS3)n2C2CC2)CC1 ZINC000491673289 526955487 /nfs/dbraw/zinc/95/54/87/526955487.db2.gz IIHSIYZRHQVJMT-AWEZNQCLSA-N 1 2 317.462 1.936 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)C)n2C[C@@H]2CCOC2)CC1 ZINC000491118868 526955618 /nfs/dbraw/zinc/95/56/18/526955618.db2.gz GGDVAYANYCASRY-HNNXBMFYSA-N 1 2 317.437 1.193 20 30 DDEDLO C#CCNC(=O)C1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000491044261 526972737 /nfs/dbraw/zinc/97/27/37/526972737.db2.gz GPGCEWCUBOZDJC-UHFFFAOYSA-N 1 2 300.406 1.874 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)c1ccc(CC#N)cc1 ZINC000331360402 527087124 /nfs/dbraw/zinc/08/71/24/527087124.db2.gz XUBKBFGOQQMOIT-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2cc(=O)n3ccccc3n2)n1 ZINC000491554790 527182670 /nfs/dbraw/zinc/18/26/70/527182670.db2.gz MECLIACKTSBBKZ-UHFFFAOYSA-N 1 2 321.384 1.546 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2cc(=O)n3ccccc3n2)n1 ZINC000491554790 527182677 /nfs/dbraw/zinc/18/26/77/527182677.db2.gz MECLIACKTSBBKZ-UHFFFAOYSA-N 1 2 321.384 1.546 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@@H]2CCC(C)(C)O2)n1 ZINC000491737765 527190681 /nfs/dbraw/zinc/19/06/81/527190681.db2.gz RYIUJVTYAWVYAX-INIZCTEOSA-N 1 2 305.422 1.922 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@@H]2CCC(C)(C)O2)n1 ZINC000491737765 527190686 /nfs/dbraw/zinc/19/06/86/527190686.db2.gz RYIUJVTYAWVYAX-INIZCTEOSA-N 1 2 305.422 1.922 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)NCC(=O)Nc1cccc(Cl)c1C ZINC000491730688 527212188 /nfs/dbraw/zinc/21/21/88/527212188.db2.gz HQECSEZQQGTSHM-LLVKDONJSA-N 1 2 321.808 1.657 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)NCC(=O)Nc1cccc(Cl)c1C ZINC000491730688 527212192 /nfs/dbraw/zinc/21/21/92/527212192.db2.gz HQECSEZQQGTSHM-LLVKDONJSA-N 1 2 321.808 1.657 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)Cc1nc(=O)c2c(C)c(C(=O)OC)sc2[nH]1 ZINC000491750343 527214229 /nfs/dbraw/zinc/21/42/29/527214229.db2.gz IEZLAOFUHYBGNB-MRVPVSSYSA-N 1 2 319.386 1.533 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)Cc1nc(=O)c2c(C)c(C(=O)OC)sc2[nH]1 ZINC000491750343 527214233 /nfs/dbraw/zinc/21/42/33/527214233.db2.gz IEZLAOFUHYBGNB-MRVPVSSYSA-N 1 2 319.386 1.533 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)Cn1nc(C(=O)OC)c(Br)c1C ZINC000491289072 527214538 /nfs/dbraw/zinc/21/45/38/527214538.db2.gz WJAFNLLUGPJSRN-MRVPVSSYSA-N 1 2 314.183 1.652 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)Cn1nc(C(=O)OC)c(Br)c1C ZINC000491289072 527214545 /nfs/dbraw/zinc/21/45/45/527214545.db2.gz WJAFNLLUGPJSRN-MRVPVSSYSA-N 1 2 314.183 1.652 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1 ZINC000491288307 527332716 /nfs/dbraw/zinc/33/27/16/527332716.db2.gz QQUFHWHBFIRCTQ-JTQLQIEISA-N 1 2 301.346 1.806 20 30 DDEDLO C#C[C@H](C)[N@H+](C)Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1 ZINC000491288307 527332720 /nfs/dbraw/zinc/33/27/20/527332720.db2.gz QQUFHWHBFIRCTQ-JTQLQIEISA-N 1 2 301.346 1.806 20 30 DDEDLO C=CCn1cc(C[NH2+][C@H](Cc2ccc(Cl)cc2)C(N)=O)nn1 ZINC000424144437 527373859 /nfs/dbraw/zinc/37/38/59/527373859.db2.gz SFBUDYHNZSHGTL-CQSZACIVSA-N 1 2 319.796 1.304 20 30 DDEDLO C=CCCn1cc(C(=O)NCc2cn3c(cccc3C)[nH+]2)nn1 ZINC000424670004 527524228 /nfs/dbraw/zinc/52/42/28/527524228.db2.gz VMJZGECCBMYROU-UHFFFAOYSA-N 1 2 310.361 1.740 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000330812598 527549792 /nfs/dbraw/zinc/54/97/92/527549792.db2.gz KOCYQEMYRBNNGH-YVEFUNNKSA-N 1 2 305.422 1.939 20 30 DDEDLO CC(C)CC[C@H]1CCC(=O)N(C[C@@H](O)C[N@H+](C)CCC#N)C1=O ZINC000414126795 527852528 /nfs/dbraw/zinc/85/25/28/527852528.db2.gz FLCZZZVQNZKTTH-GJZGRUSLSA-N 1 2 323.437 1.394 20 30 DDEDLO CC(C)CC[C@H]1CCC(=O)N(C[C@@H](O)C[N@@H+](C)CCC#N)C1=O ZINC000414126795 527852531 /nfs/dbraw/zinc/85/25/31/527852531.db2.gz FLCZZZVQNZKTTH-GJZGRUSLSA-N 1 2 323.437 1.394 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H]1[C@@H](O)C(F)(F)F ZINC000330687499 527928121 /nfs/dbraw/zinc/92/81/21/527928121.db2.gz WEQJVCKTBLCPLK-RTXFEEFZSA-N 1 2 321.343 1.428 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H]1[C@@H](O)C(F)(F)F ZINC000330687499 527928124 /nfs/dbraw/zinc/92/81/24/527928124.db2.gz WEQJVCKTBLCPLK-RTXFEEFZSA-N 1 2 321.343 1.428 20 30 DDEDLO CCO[C@H](C)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000298467631 528000377 /nfs/dbraw/zinc/00/03/77/528000377.db2.gz RILUSIXZHKIBPD-CQSZACIVSA-N 1 2 301.390 1.627 20 30 DDEDLO CC(C)Oc1cncc(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)c1 ZINC000331099587 528267711 /nfs/dbraw/zinc/26/77/11/528267711.db2.gz CLIWAUXXQDZQFY-ZDUSSCGKSA-N 1 2 307.394 1.894 20 30 DDEDLO CC(C)Oc1cncc(C(=O)NCC[N@H+]2CCOC[C@@H]2C)c1 ZINC000331099587 528267715 /nfs/dbraw/zinc/26/77/15/528267715.db2.gz CLIWAUXXQDZQFY-ZDUSSCGKSA-N 1 2 307.394 1.894 20 30 DDEDLO CCOC(C)(C)CNC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000331026519 528402618 /nfs/dbraw/zinc/40/26/18/528402618.db2.gz BNDXQTGJXFJMQB-ZDUSSCGKSA-N 1 2 323.441 1.817 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCc1ccn2cc[nH+]c2c1 ZINC000336930723 528643768 /nfs/dbraw/zinc/64/37/68/528643768.db2.gz BFLQWYFSGWUHTO-LBPRGKRZSA-N 1 2 321.406 1.150 20 30 DDEDLO C[C@@H]1CC(N=Nc2oc(C(C)(C)C)nc2-c2nn[nH]n2)C[N@@H+]1C ZINC000820641890 606776479 /nfs/dbraw/zinc/77/64/79/606776479.db2.gz FGQCCAOIQABYSM-MRVPVSSYSA-N 1 2 318.385 1.644 20 30 DDEDLO C[C@@H]1CC(N=Nc2oc(C(C)(C)C)nc2-c2nn[nH]n2)C[N@H+]1C ZINC000820641890 606776481 /nfs/dbraw/zinc/77/64/81/606776481.db2.gz FGQCCAOIQABYSM-MRVPVSSYSA-N 1 2 318.385 1.644 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)[C@H]2CCn3cncc3C2)C1 ZINC000971811035 695100178 /nfs/dbraw/zinc/10/01/78/695100178.db2.gz OGIKRMZGBVZEBE-KBPBESRZSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)[C@H]2CCn3cncc3C2)C1 ZINC000971811035 695100180 /nfs/dbraw/zinc/10/01/80/695100180.db2.gz OGIKRMZGBVZEBE-KBPBESRZSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCc3nccn3C2)C1 ZINC000971811051 695100416 /nfs/dbraw/zinc/10/04/16/695100416.db2.gz ONOKBEXBCGQSQP-UONOGXRCSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCc3nccn3C2)C1 ZINC000971811051 695100419 /nfs/dbraw/zinc/10/04/19/695100419.db2.gz ONOKBEXBCGQSQP-UONOGXRCSA-N 1 2 322.840 1.731 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)s3)C2)C1 ZINC000972229130 695182826 /nfs/dbraw/zinc/18/28/26/695182826.db2.gz RJVCHAWTVPBSFO-QGZVFWFLSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)s3)C2)C1 ZINC000972229130 695182828 /nfs/dbraw/zinc/18/28/28/695182828.db2.gz RJVCHAWTVPBSFO-QGZVFWFLSA-N 1 2 318.442 1.997 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C)=C3CCCC3)C2)C1 ZINC000972337389 695221052 /nfs/dbraw/zinc/22/10/52/695221052.db2.gz XOJGRKKRSYERPY-GOSISDBHSA-N 1 2 302.418 1.813 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C)=C3CCCC3)C2)C1 ZINC000972337389 695221053 /nfs/dbraw/zinc/22/10/53/695221053.db2.gz XOJGRKKRSYERPY-GOSISDBHSA-N 1 2 302.418 1.813 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3csnc3C)C2)C1 ZINC000972348052 695223185 /nfs/dbraw/zinc/22/31/85/695223185.db2.gz GNTCVJKPPXKNOL-OAHLLOKOSA-N 1 2 307.419 1.554 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3csnc3C)C2)C1 ZINC000972348052 695223186 /nfs/dbraw/zinc/22/31/86/695223186.db2.gz GNTCVJKPPXKNOL-OAHLLOKOSA-N 1 2 307.419 1.554 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3O)C2)C1 ZINC000972356517 695226404 /nfs/dbraw/zinc/22/64/04/695226404.db2.gz YUPKILSNHNZXGE-QGZVFWFLSA-N 1 2 317.389 1.280 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3O)C2)C1 ZINC000972356517 695226407 /nfs/dbraw/zinc/22/64/07/695226407.db2.gz YUPKILSNHNZXGE-QGZVFWFLSA-N 1 2 317.389 1.280 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccsc3C)C2)C1 ZINC000972375368 695233946 /nfs/dbraw/zinc/23/39/46/695233946.db2.gz ZTTMASLYVNSQIT-KRWDZBQOSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccsc3C)C2)C1 ZINC000972375368 695233947 /nfs/dbraw/zinc/23/39/47/695233947.db2.gz ZTTMASLYVNSQIT-KRWDZBQOSA-N 1 2 318.442 1.997 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)C1 ZINC000972474479 695259152 /nfs/dbraw/zinc/25/91/52/695259152.db2.gz JHHYAQAWIVTCTH-MVJTYMMSSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)C1 ZINC000972474479 695259154 /nfs/dbraw/zinc/25/91/54/695259154.db2.gz JHHYAQAWIVTCTH-MVJTYMMSSA-N 1 2 302.418 1.359 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C(F)(F)F)C2)C1 ZINC000972493160 695264327 /nfs/dbraw/zinc/26/43/27/695264327.db2.gz BYOIFJRBWRFRLX-DGCLKSJQSA-N 1 2 306.328 1.674 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C(F)(F)F)C2)C1 ZINC000972493160 695264329 /nfs/dbraw/zinc/26/43/29/695264329.db2.gz BYOIFJRBWRFRLX-DGCLKSJQSA-N 1 2 306.328 1.674 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CC34CCC4)C2)C1 ZINC000972578567 695287902 /nfs/dbraw/zinc/28/79/02/695287902.db2.gz SFTCQHBAQCGRQD-YJBOKZPZSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CC34CCC4)C2)C1 ZINC000972578567 695287904 /nfs/dbraw/zinc/28/79/04/695287904.db2.gz SFTCQHBAQCGRQD-YJBOKZPZSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CC34CCC4)C2)C1 ZINC000972578565 695287946 /nfs/dbraw/zinc/28/79/46/695287946.db2.gz SFTCQHBAQCGRQD-MAUKXSAKSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CC34CCC4)C2)C1 ZINC000972578565 695287947 /nfs/dbraw/zinc/28/79/47/695287947.db2.gz SFTCQHBAQCGRQD-MAUKXSAKSA-N 1 2 302.418 1.503 20 30 DDEDLO CN(CC#N)[C@@H]1CCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000972657982 695311342 /nfs/dbraw/zinc/31/13/42/695311342.db2.gz VKCYCJYFHIFFOO-MRXNPFEDSA-N 1 2 309.373 1.542 20 30 DDEDLO CN(CC#N)[C@H]1CCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000972657981 695311543 /nfs/dbraw/zinc/31/15/43/695311543.db2.gz VKCYCJYFHIFFOO-INIZCTEOSA-N 1 2 309.373 1.542 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3csc(C)n3)C2)C1 ZINC000972666611 695313632 /nfs/dbraw/zinc/31/36/32/695313632.db2.gz FTQFGVQFSWSIPU-MRXNPFEDSA-N 1 2 321.446 1.483 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3csc(C)n3)C2)C1 ZINC000972666611 695313634 /nfs/dbraw/zinc/31/36/34/695313634.db2.gz FTQFGVQFSWSIPU-MRXNPFEDSA-N 1 2 321.446 1.483 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccn3CC)C2)C1 ZINC000972675501 695315525 /nfs/dbraw/zinc/31/55/25/695315525.db2.gz YVUKXFQAQHEFPS-QGZVFWFLSA-N 1 2 301.390 1.058 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccn3CC)C2)C1 ZINC000972675501 695315528 /nfs/dbraw/zinc/31/55/28/695315528.db2.gz YVUKXFQAQHEFPS-QGZVFWFLSA-N 1 2 301.390 1.058 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@H+](C)Cc3ccon3)C2)nc1 ZINC000972750998 695328890 /nfs/dbraw/zinc/32/88/90/695328890.db2.gz GZGZVIDJZPDENY-HNNXBMFYSA-N 1 2 310.357 1.397 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@@H+](C)Cc3ccon3)C2)nc1 ZINC000972750998 695328892 /nfs/dbraw/zinc/32/88/92/695328892.db2.gz GZGZVIDJZPDENY-HNNXBMFYSA-N 1 2 310.357 1.397 20 30 DDEDLO N#Cc1cccc(CNC(=O)CO[NH+]=C(N)Cc2ccccc2)c1 ZINC000746095275 700004656 /nfs/dbraw/zinc/00/46/56/700004656.db2.gz JUALYXBOAVYGIK-UHFFFAOYSA-N 1 2 322.368 1.916 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2nn(C)cc2C)C(C)(C)C1 ZINC000974573504 695688027 /nfs/dbraw/zinc/68/80/27/695688027.db2.gz FLAJDVQBBLFUBC-LBPRGKRZSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2nn(C)cc2C)C(C)(C)C1 ZINC000974573504 695688028 /nfs/dbraw/zinc/68/80/28/695688028.db2.gz FLAJDVQBBLFUBC-LBPRGKRZSA-N 1 2 310.829 1.921 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(Cc2ccc(C#N)cc2F)CC1 ZINC000746468986 700025673 /nfs/dbraw/zinc/02/56/73/700025673.db2.gz JFBFWBRIVKWIHZ-OAQYLSRUSA-N 1 2 309.410 1.193 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000974714013 695717142 /nfs/dbraw/zinc/71/71/42/695717142.db2.gz FSMYIKQDDZLIAV-VXGBXAGGSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000974714013 695717145 /nfs/dbraw/zinc/71/71/45/695717145.db2.gz FSMYIKQDDZLIAV-VXGBXAGGSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2c(C)cnn2C)C(C)(C)C1 ZINC000974933600 695762357 /nfs/dbraw/zinc/76/23/57/695762357.db2.gz FLHJARGBTOEVKO-LBPRGKRZSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2c(C)cnn2C)C(C)(C)C1 ZINC000974933600 695762360 /nfs/dbraw/zinc/76/23/60/695762360.db2.gz FLHJARGBTOEVKO-LBPRGKRZSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1[nH]cc[nH+]1 ZINC000977056319 696042372 /nfs/dbraw/zinc/04/23/72/696042372.db2.gz NKYXGOHVGOQRBS-JYAVWHMHSA-N 1 2 316.405 1.128 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1ccc(OC)c(F)c1 ZINC000032538978 696124017 /nfs/dbraw/zinc/12/40/17/696124017.db2.gz SJNZFGOMXQOWET-UHFFFAOYSA-N 1 2 309.341 1.278 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1ccc(OC)c(F)c1 ZINC000032538978 696124018 /nfs/dbraw/zinc/12/40/18/696124018.db2.gz SJNZFGOMXQOWET-UHFFFAOYSA-N 1 2 309.341 1.278 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000798390475 700061310 /nfs/dbraw/zinc/06/13/10/700061310.db2.gz PYABMJFNMOJSPR-INIZCTEOSA-N 1 2 312.373 1.446 20 30 DDEDLO CCC(C)(C)NC(=O)CO[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000060454674 696310611 /nfs/dbraw/zinc/31/06/11/696310611.db2.gz GCUGIUPBYCTHPQ-UHFFFAOYSA-N 1 2 307.394 1.831 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)NCCC1CCCCC1 ZINC000092915439 696598186 /nfs/dbraw/zinc/59/81/86/696598186.db2.gz AFDRJDFGEZNEJE-UHFFFAOYSA-N 1 2 318.421 2.000 20 30 DDEDLO COc1c(C)c[nH+]c(CN[C@@H](C(N)=O)c2ccc(C#N)cc2)c1C ZINC000115598796 696665687 /nfs/dbraw/zinc/66/56/87/696665687.db2.gz DDLUGKPLHDMFMD-MRXNPFEDSA-N 1 2 324.384 1.895 20 30 DDEDLO N#CCN1C[C@@H]2CC[C@H](NC(=O)c3cc4c[nH+]ccc4[nH]3)C[C@@H]2C1 ZINC000979984922 696672925 /nfs/dbraw/zinc/67/29/25/696672925.db2.gz HUTPOIQKHGEVMB-CFVMTHIKSA-N 1 2 323.400 1.917 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000799074751 700114290 /nfs/dbraw/zinc/11/42/90/700114290.db2.gz NXCSOIOHPNPPQS-INIZCTEOSA-N 1 2 316.401 1.023 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC000981669679 696867211 /nfs/dbraw/zinc/86/72/11/696867211.db2.gz TXFHKAIKKWATTR-NEPJUHHUSA-N 1 2 317.393 1.523 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC000981669679 696867215 /nfs/dbraw/zinc/86/72/15/696867215.db2.gz TXFHKAIKKWATTR-NEPJUHHUSA-N 1 2 317.393 1.523 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@H+](Cc2ccc(C#N)cc2F)CC1 ZINC000980784777 696888279 /nfs/dbraw/zinc/88/82/79/696888279.db2.gz BFQKGOGBZDXEKY-CYBMUJFWSA-N 1 2 319.380 1.767 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)cc2F)CC1 ZINC000980784777 696888282 /nfs/dbraw/zinc/88/82/82/696888282.db2.gz BFQKGOGBZDXEKY-CYBMUJFWSA-N 1 2 319.380 1.767 20 30 DDEDLO C=CC[N@H+]1CCCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)CC1 ZINC000981814479 696928044 /nfs/dbraw/zinc/92/80/44/696928044.db2.gz GWMPJIAXTWLOHF-UKRRQHHQSA-N 1 2 302.422 1.796 20 30 DDEDLO C=CC[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)CC1 ZINC000981814479 696928046 /nfs/dbraw/zinc/92/80/46/696928046.db2.gz GWMPJIAXTWLOHF-UKRRQHHQSA-N 1 2 302.422 1.796 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cn2ccc(C)cc2=O)CC1 ZINC000982053894 697016242 /nfs/dbraw/zinc/01/62/42/697016242.db2.gz BRWRGSJHSUKZHN-UHFFFAOYSA-N 1 2 323.824 1.444 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cn2ccc(C)cc2=O)CC1 ZINC000982053894 697016245 /nfs/dbraw/zinc/01/62/45/697016245.db2.gz BRWRGSJHSUKZHN-UHFFFAOYSA-N 1 2 323.824 1.444 20 30 DDEDLO Cc1nonc1C[N@H+]1C[C@@]2(C)CN(C(=O)[C@@H](C)C#N)C[C@@]2(C)C1 ZINC000982237168 697069706 /nfs/dbraw/zinc/06/97/06/697069706.db2.gz YAGFLZXOLBMCBK-KNXALSJPSA-N 1 2 317.393 1.208 20 30 DDEDLO Cc1nonc1C[N@@H+]1C[C@@]2(C)CN(C(=O)[C@@H](C)C#N)C[C@@]2(C)C1 ZINC000982237168 697069708 /nfs/dbraw/zinc/06/97/08/697069708.db2.gz YAGFLZXOLBMCBK-KNXALSJPSA-N 1 2 317.393 1.208 20 30 DDEDLO N#CCN[C@@H]1CCC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC000981453535 697101020 /nfs/dbraw/zinc/10/10/20/697101020.db2.gz JPCYAXDNJSIKJE-ZIAGYGMSSA-N 1 2 324.388 1.421 20 30 DDEDLO CC(=O)NC[C@H]1C[N@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000172184317 697365310 /nfs/dbraw/zinc/36/53/10/697365310.db2.gz NPNVAEIDLXQKJZ-KRWDZBQOSA-N 1 2 317.389 1.164 20 30 DDEDLO CC(=O)NC[C@H]1C[N@@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000172184317 697365312 /nfs/dbraw/zinc/36/53/12/697365312.db2.gz NPNVAEIDLXQKJZ-KRWDZBQOSA-N 1 2 317.389 1.164 20 30 DDEDLO Cc1ccc(C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1C#N ZINC000799550932 700149468 /nfs/dbraw/zinc/14/94/68/700149468.db2.gz NMIWHBQOVIXZJK-IYBDPMFKSA-N 1 2 300.358 1.887 20 30 DDEDLO Cc1ccc(C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1C#N ZINC000799550932 700149470 /nfs/dbraw/zinc/14/94/70/700149470.db2.gz NMIWHBQOVIXZJK-IYBDPMFKSA-N 1 2 300.358 1.887 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@H+](Cc2ccc(O[C@H](C)C#N)cc2)CCO1 ZINC000179711177 697441032 /nfs/dbraw/zinc/44/10/32/697441032.db2.gz XCTKBKZJXKPPGH-CXAGYDPISA-N 1 2 317.389 1.314 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(O[C@H](C)C#N)cc2)CCO1 ZINC000179711177 697441034 /nfs/dbraw/zinc/44/10/34/697441034.db2.gz XCTKBKZJXKPPGH-CXAGYDPISA-N 1 2 317.389 1.314 20 30 DDEDLO CN(CC#N)C1CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC000985442639 697511710 /nfs/dbraw/zinc/51/17/10/697511710.db2.gz KNJHLCFUUUBXKL-UHFFFAOYSA-N 1 2 315.421 1.208 20 30 DDEDLO Cn1cccc1C(O)=CONC(=[NH2+])COc1ccccc1F ZINC000186871074 697538728 /nfs/dbraw/zinc/53/87/28/697538728.db2.gz DASMPJNFIDGQRV-UHFFFAOYSA-N 1 2 305.309 1.715 20 30 DDEDLO CN(CC#N)C1CCN(C(=O)[C@@H](c2cccnc2)[NH+](C)C)CC1 ZINC000985800864 697576587 /nfs/dbraw/zinc/57/65/87/697576587.db2.gz SKXODBSXIKZUCH-MRXNPFEDSA-N 1 2 315.421 1.131 20 30 DDEDLO C[C@H]1[C@@H]([NH2+]Cc2csnn2)CCN1C(=O)c1c[nH]c(C#N)c1 ZINC000986183865 697723513 /nfs/dbraw/zinc/72/35/13/697723513.db2.gz LPTZRMDZKCYOJP-ZANVPECISA-N 1 2 316.390 1.131 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)Nc1nnc(C(C)C)s1)[C@H]1CCCO1 ZINC000775793680 698035424 /nfs/dbraw/zinc/03/54/24/698035424.db2.gz MRRDQNSYZZYPFV-GHMZBOCLSA-N 1 2 308.407 1.370 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)Nc1nnc(C(C)(C)C)s1)[C@@H]1CCCO1 ZINC000775801796 698038840 /nfs/dbraw/zinc/03/88/40/698038840.db2.gz YZNLDNPEIAAYLB-QWRGUYRKSA-N 1 2 322.434 1.545 20 30 DDEDLO CCN(CC)c1ccc(/C=[NH+]\[C@H](COC)C(=O)OC)c(O)c1 ZINC000777868909 698229276 /nfs/dbraw/zinc/22/92/76/698229276.db2.gz BBOLCNDAFPPHIL-AYCSXLNKSA-N 1 2 308.378 1.845 20 30 DDEDLO Cc1c(C=[NH+][N-]c2cc[nH+]cc2)c(=O)n(-c2ccccc2)n1C ZINC000779808549 698469512 /nfs/dbraw/zinc/46/95/12/698469512.db2.gz LUAVQNXHOWKWOD-UHFFFAOYSA-N 1 2 307.357 1.747 20 30 DDEDLO Cc1c(C=NNc2cc[nH+]cc2)c(=O)n(-c2ccccc2)n1C ZINC000779808549 698469520 /nfs/dbraw/zinc/46/95/20/698469520.db2.gz LUAVQNXHOWKWOD-UHFFFAOYSA-N 1 2 307.357 1.747 20 30 DDEDLO C[C@@H]1C[C@H]([NH2+]Cc2nn(C)cc2Cl)CN1C(=O)C#CC1CC1 ZINC000988811188 698473306 /nfs/dbraw/zinc/47/33/06/698473306.db2.gz ITGKXGUSQVEWBQ-YPMHNXCESA-N 1 2 320.824 1.566 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2C[C@@H](C)N(C(=O)C#CC3CC3)C2)o1 ZINC000988826554 698477713 /nfs/dbraw/zinc/47/77/13/698477713.db2.gz OMIKEAQWRQQUKD-DGCLKSJQSA-N 1 2 302.378 1.124 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)[C@H]2CCC(=O)[C@H](C)C2(C)C)CC1 ZINC000780535914 698529176 /nfs/dbraw/zinc/52/91/76/698529176.db2.gz JOIFXQKVCSPYEW-LSDHHAIUSA-N 1 2 304.434 1.795 20 30 DDEDLO C=C(Cl)CN1CCCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC1 ZINC000989486736 698640235 /nfs/dbraw/zinc/64/02/35/698640235.db2.gz TYFDJACZUTVNPJ-UHFFFAOYSA-N 1 2 310.829 1.974 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000783545477 698850736 /nfs/dbraw/zinc/85/07/36/698850736.db2.gz SFIAPDGTAZYBEB-UHFFFAOYSA-N 1 2 319.386 1.203 20 30 DDEDLO COc1ccc(C)cc1C[NH+]1CCN(C(=O)NCCC#N)CC1 ZINC000787371516 699209122 /nfs/dbraw/zinc/20/91/22/699209122.db2.gz MCICEZPDJQDARV-UHFFFAOYSA-N 1 2 316.405 1.745 20 30 DDEDLO C#Cc1cccc(NC(=S)N[C@H](C)C[NH+]2CCOCC2)c1 ZINC000726462858 699363993 /nfs/dbraw/zinc/36/39/93/699363993.db2.gz MKERASMTEBTJCD-CYBMUJFWSA-N 1 2 303.431 1.675 20 30 DDEDLO C[C@H]1CCN(S(=O)(=O)CC(C)(C)C#N)C[C@@H]1n1cc[nH+]c1 ZINC000790037066 699436280 /nfs/dbraw/zinc/43/62/80/699436280.db2.gz MAFHMYCYSBEENS-STQMWFEESA-N 1 2 310.423 1.646 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000730104226 699501373 /nfs/dbraw/zinc/50/13/73/699501373.db2.gz MQKUNFSBKSARCY-INIZCTEOSA-N 1 2 313.401 1.490 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000730104226 699501374 /nfs/dbraw/zinc/50/13/74/699501374.db2.gz MQKUNFSBKSARCY-INIZCTEOSA-N 1 2 313.401 1.490 20 30 DDEDLO C=C(CO)C(=O)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000791304819 699620889 /nfs/dbraw/zinc/62/08/89/699620889.db2.gz FOWVXTGIGLOKPS-UHFFFAOYSA-N 1 2 304.394 1.106 20 30 DDEDLO CO[C@H](C[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1)C(F)(F)F ZINC000734506092 699653321 /nfs/dbraw/zinc/65/33/21/699653321.db2.gz BXXVLDGHZKNDMO-GHMZBOCLSA-N 1 2 301.268 1.252 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@@H+]2CCO[C@@H](C)C2)cc1 ZINC000741685330 699847359 /nfs/dbraw/zinc/84/73/59/699847359.db2.gz SINGUPJUQNIAMH-AWEZNQCLSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@H+]2CCO[C@@H](C)C2)cc1 ZINC000741685330 699847362 /nfs/dbraw/zinc/84/73/62/699847362.db2.gz SINGUPJUQNIAMH-AWEZNQCLSA-N 1 2 302.374 1.149 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1CCOC[C@@H]1C[C@H](O)c1ccccc1 ZINC000801929760 700356051 /nfs/dbraw/zinc/35/60/51/700356051.db2.gz YSQLTIHILSVMKQ-PVAVHDDUSA-N 1 2 304.390 1.740 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1CCOC[C@@H]1C[C@H](O)c1ccccc1 ZINC000801929760 700356054 /nfs/dbraw/zinc/35/60/54/700356054.db2.gz YSQLTIHILSVMKQ-PVAVHDDUSA-N 1 2 304.390 1.740 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(C(=O)c2ccccc2O)CC1 ZINC000801932890 700357276 /nfs/dbraw/zinc/35/72/76/700357276.db2.gz ASVJBFKTRDIUBI-ZDUSSCGKSA-N 1 2 303.362 1.079 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)CC1N=Nc1ccccc1S(C)(=O)=O ZINC000755893233 700590176 /nfs/dbraw/zinc/59/01/76/700590176.db2.gz JMZQWEQUFBDTQF-LLVKDONJSA-N 1 2 307.419 1.972 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)CC1N=Nc1ccccc1S(C)(=O)=O ZINC000755893233 700590179 /nfs/dbraw/zinc/59/01/79/700590179.db2.gz JMZQWEQUFBDTQF-LLVKDONJSA-N 1 2 307.419 1.972 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(CC#Cc2ccc(Cl)cc2)CC1 ZINC000755950875 700595054 /nfs/dbraw/zinc/59/50/54/700595054.db2.gz XHFZYZGCXQHUGO-OAQYLSRUSA-N 1 2 324.877 1.688 20 30 DDEDLO CCCCNC(=O)C1CC[NH+](Cn2cccc(C#N)c2=O)CC1 ZINC000758206514 700689658 /nfs/dbraw/zinc/68/96/58/700689658.db2.gz OVXFLSVFZOAKLV-UHFFFAOYSA-N 1 2 316.405 1.306 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@](C)(O)c1ccccc1Cl ZINC000765760344 701026373 /nfs/dbraw/zinc/02/63/73/701026373.db2.gz CUZLJILJBQSEOK-DOTOQJQBSA-N 1 2 320.820 1.761 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@](C)(O)c1ccccc1Cl ZINC000765760344 701026374 /nfs/dbraw/zinc/02/63/74/701026374.db2.gz CUZLJILJBQSEOK-DOTOQJQBSA-N 1 2 320.820 1.761 20 30 DDEDLO C=C(C)CCOC(=O)CC[NH+]1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000803505172 701123392 /nfs/dbraw/zinc/12/33/92/701123392.db2.gz IERPQDJJLHIIKZ-HNNXBMFYSA-N 1 2 324.421 1.209 20 30 DDEDLO CCCC[C@H](ON=C(C)C)C(=O)N1CC[NH+](CCOC)CC1 ZINC000768125495 701159717 /nfs/dbraw/zinc/15/97/17/701159717.db2.gz NCQVTCBOKDXBHJ-HNNXBMFYSA-N 1 2 313.442 1.748 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(CC(=C)C)Cc1ccc(CO)o1 ZINC000804462186 701191999 /nfs/dbraw/zinc/19/19/99/701191999.db2.gz FGAGBBOGEQTBJQ-KRWDZBQOSA-N 1 2 316.401 1.774 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(CC(=C)C)Cc1ccc(CO)o1 ZINC000804462186 701192002 /nfs/dbraw/zinc/19/20/02/701192002.db2.gz FGAGBBOGEQTBJQ-KRWDZBQOSA-N 1 2 316.401 1.774 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(c2cc(Cl)ccc2C#N)CC1 ZINC000771148326 701311216 /nfs/dbraw/zinc/31/12/16/701311216.db2.gz VMUBJLQPMATLOT-FQEVSTJZSA-N 1 2 311.838 1.712 20 30 DDEDLO C#CCCS(=O)(=O)N[C@@H](Cc1[nH+]ccn1C)c1ccccc1 ZINC000808298642 701512811 /nfs/dbraw/zinc/51/28/11/701512811.db2.gz YPPJZNOUIIUMMV-HNNXBMFYSA-N 1 2 317.414 1.647 20 30 DDEDLO CC(C)[C@H]1N(C[NH+]2CCC(C)(C#N)CC2)C(=O)[C@]12CCCO2 ZINC000840043652 701933745 /nfs/dbraw/zinc/93/37/45/701933745.db2.gz VARIIBUOQXQDNX-PBHICJAKSA-N 1 2 305.422 1.986 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)N[C@@](C)(CCC(=O)OC)C1=O)C(C)C ZINC000811736741 702035110 /nfs/dbraw/zinc/03/51/10/702035110.db2.gz VWZPNDNTXGYTKN-HNNXBMFYSA-N 1 2 311.382 1.104 20 30 DDEDLO C=CC[N@H+](CN1C(=O)N[C@@](C)(CCC(=O)OC)C1=O)C(C)C ZINC000811736741 702035114 /nfs/dbraw/zinc/03/51/14/702035114.db2.gz VWZPNDNTXGYTKN-HNNXBMFYSA-N 1 2 311.382 1.104 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000868513443 702170598 /nfs/dbraw/zinc/17/05/98/702170598.db2.gz DROYDJVDPDFSOQ-WBVHZDCISA-N 1 2 315.417 1.517 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000868513443 702170603 /nfs/dbraw/zinc/17/06/03/702170603.db2.gz DROYDJVDPDFSOQ-WBVHZDCISA-N 1 2 315.417 1.517 20 30 DDEDLO CNC(=O)CN1CCC([NH2+][C@@H](C)c2cccc(C#N)c2O)CC1 ZINC000866230119 706655273 /nfs/dbraw/zinc/65/52/73/706655273.db2.gz YEAJZHHPVXNBCW-LBPRGKRZSA-N 1 2 316.405 1.125 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC(F)(F)[C@@H](C(=O)OCC)C1 ZINC000879371414 706666122 /nfs/dbraw/zinc/66/61/22/706666122.db2.gz RSMAKQGBCBYYSK-GHMZBOCLSA-N 1 2 304.337 1.197 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC(F)(F)[C@@H](C(=O)OCC)C1 ZINC000879371414 706666124 /nfs/dbraw/zinc/66/61/24/706666124.db2.gz RSMAKQGBCBYYSK-GHMZBOCLSA-N 1 2 304.337 1.197 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3ccnc(F)c3)CC2)C1=O ZINC000831463323 706711967 /nfs/dbraw/zinc/71/19/67/706711967.db2.gz RUNXAMAAWYHMIZ-CQSZACIVSA-N 1 2 304.369 1.130 20 30 DDEDLO Cc1cc(F)ccc1[C@@H](O)C[NH+]1CCN(C(=O)CC#N)CC1 ZINC000846953142 703356886 /nfs/dbraw/zinc/35/68/86/703356886.db2.gz ABOYFGUQDDKUIS-HNNXBMFYSA-N 1 2 305.353 1.225 20 30 DDEDLO C#C[C@H]1CCCN(C(=O)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000847559058 703436357 /nfs/dbraw/zinc/43/63/57/703436357.db2.gz DQPRFOWHZVJQIG-AWEZNQCLSA-N 1 2 322.368 1.683 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CN2C(=O)C(=O)N(C3CCCCC3)C2=O)C1 ZINC000848493217 703557439 /nfs/dbraw/zinc/55/74/39/703557439.db2.gz KBWFMOFJHMWRKE-ZDUSSCGKSA-N 1 2 317.389 1.413 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CN2C(=O)C(=O)N(C3CCCCC3)C2=O)C1 ZINC000848493217 703557441 /nfs/dbraw/zinc/55/74/41/703557441.db2.gz KBWFMOFJHMWRKE-ZDUSSCGKSA-N 1 2 317.389 1.413 20 30 DDEDLO N#Cc1ccccc1NC(=S)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000849288430 703632403 /nfs/dbraw/zinc/63/24/03/703632403.db2.gz BLYNTKKVUGSEOY-CQSZACIVSA-N 1 2 316.430 1.662 20 30 DDEDLO C[C@@H](CC#N)C(=O)OCC[N@@H+]1CCC[C@@H]1C(=O)OC(C)(C)C ZINC000850994036 703770986 /nfs/dbraw/zinc/77/09/86/703770986.db2.gz CYPDCVKWDZFWOA-QWHCGFSZSA-N 1 2 310.394 1.885 20 30 DDEDLO C[C@@H](CC#N)C(=O)OCC[N@H+]1CCC[C@@H]1C(=O)OC(C)(C)C ZINC000850994036 703770988 /nfs/dbraw/zinc/77/09/88/703770988.db2.gz CYPDCVKWDZFWOA-QWHCGFSZSA-N 1 2 310.394 1.885 20 30 DDEDLO CC(C)COC[C@@H](O)C[N@@H+]1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852326357 704013102 /nfs/dbraw/zinc/01/31/02/704013102.db2.gz NDNHVMNRAZPZCI-FUHWJXTLSA-N 1 2 317.433 1.972 20 30 DDEDLO CC(C)COC[C@@H](O)C[N@H+]1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852326357 704013108 /nfs/dbraw/zinc/01/31/08/704013108.db2.gz NDNHVMNRAZPZCI-FUHWJXTLSA-N 1 2 317.433 1.972 20 30 DDEDLO Cn1ccc(C[N@@H+]2CCC[C@@](C#N)(c3ccccn3)C2)cc1=O ZINC000852328017 704013408 /nfs/dbraw/zinc/01/34/08/704013408.db2.gz YMGAEICKZDRDFQ-SFHVURJKSA-N 1 2 308.385 1.838 20 30 DDEDLO Cn1ccc(C[N@H+]2CCC[C@@](C#N)(c3ccccn3)C2)cc1=O ZINC000852328017 704013411 /nfs/dbraw/zinc/01/34/11/704013411.db2.gz YMGAEICKZDRDFQ-SFHVURJKSA-N 1 2 308.385 1.838 20 30 DDEDLO CC(C)(C)OC(=O)NCC12CC(C1)C[N@@H+]2CC(=O)NCCC#N ZINC000852526222 704068273 /nfs/dbraw/zinc/06/82/73/704068273.db2.gz JKXTYPVSLVBHDM-UHFFFAOYSA-N 1 2 322.409 1.005 20 30 DDEDLO CC(C)(C)OC(=O)NCC12CC(C1)C[N@H+]2CC(=O)NCCC#N ZINC000852526222 704068274 /nfs/dbraw/zinc/06/82/74/704068274.db2.gz JKXTYPVSLVBHDM-UHFFFAOYSA-N 1 2 322.409 1.005 20 30 DDEDLO N#CCCNC(=O)C[NH2+][C@H](CO)c1cccc(Cl)c1Cl ZINC000852676214 704098104 /nfs/dbraw/zinc/09/81/04/704098104.db2.gz HHSSDHKMPSXJOW-LLVKDONJSA-N 1 2 316.188 1.646 20 30 DDEDLO COc1cc(C)c(NC[C@H](O)C[N@H+](C)CCC#N)cc1OC ZINC000819390410 704119481 /nfs/dbraw/zinc/11/94/81/704119481.db2.gz SAALMRILBGNCTM-ZDUSSCGKSA-N 1 2 307.394 1.631 20 30 DDEDLO COc1cc(C)c(NC[C@H](O)C[N@@H+](C)CCC#N)cc1OC ZINC000819390410 704119482 /nfs/dbraw/zinc/11/94/82/704119482.db2.gz SAALMRILBGNCTM-ZDUSSCGKSA-N 1 2 307.394 1.631 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCCN1C(=O)CCC1=O ZINC000820663865 704316945 /nfs/dbraw/zinc/31/69/45/704316945.db2.gz DPRHAPGCZDQNJQ-UHFFFAOYSA-N 1 2 301.346 1.435 20 30 DDEDLO C#CCN1C(=O)C[C@H]([N@@H+]2CC[C@H](c3c(F)cccc3F)C2)C1=O ZINC000821771630 704441013 /nfs/dbraw/zinc/44/10/13/704441013.db2.gz IHDXPSUZZWQSAO-FZMZJTMJSA-N 1 2 318.323 1.515 20 30 DDEDLO C#CCN1C(=O)C[C@H]([N@H+]2CC[C@H](c3c(F)cccc3F)C2)C1=O ZINC000821771630 704441014 /nfs/dbraw/zinc/44/10/14/704441014.db2.gz IHDXPSUZZWQSAO-FZMZJTMJSA-N 1 2 318.323 1.515 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1cc(Br)no1 ZINC000854707884 704442705 /nfs/dbraw/zinc/44/27/05/704442705.db2.gz LMWDTHJZMSIELQ-JTQLQIEISA-N 1 2 312.167 1.151 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1cc(Br)no1 ZINC000854707884 704442706 /nfs/dbraw/zinc/44/27/06/704442706.db2.gz LMWDTHJZMSIELQ-JTQLQIEISA-N 1 2 312.167 1.151 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)cc1C#N ZINC000855407157 704482847 /nfs/dbraw/zinc/48/28/47/704482847.db2.gz JGNGLVGUYQRWKC-KGLIPLIRSA-N 1 2 301.390 1.706 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)cc1C#N ZINC000855407157 704482848 /nfs/dbraw/zinc/48/28/48/704482848.db2.gz JGNGLVGUYQRWKC-KGLIPLIRSA-N 1 2 301.390 1.706 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)nc1Cl ZINC000855635352 704493984 /nfs/dbraw/zinc/49/39/84/704493984.db2.gz BIAYRGCUQKJAJS-LBPRGKRZSA-N 1 2 320.780 1.153 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000858265080 704686844 /nfs/dbraw/zinc/68/68/44/704686844.db2.gz KLTGDAAMRZMKIU-HNNXBMFYSA-N 1 2 314.389 1.523 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CC[NH2+][C@H](c3ccc(F)cc3)C2)cn1 ZINC000874887617 705216243 /nfs/dbraw/zinc/21/62/43/705216243.db2.gz BJGVGORFTYNYQW-KRWDZBQOSA-N 1 2 324.359 1.808 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000875291569 705342246 /nfs/dbraw/zinc/34/22/46/705342246.db2.gz JLKKIUNFPPLEFI-AWEZNQCLSA-N 1 2 310.357 1.319 20 30 DDEDLO C=CC(C)(C)CCCNC(=O)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000875337280 705356426 /nfs/dbraw/zinc/35/64/26/705356426.db2.gz NEAMXPZFLVPTGI-CYBMUJFWSA-N 1 2 318.421 1.423 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(C(=O)Cc2ccc(C#N)nc2)C1 ZINC000861350221 705439819 /nfs/dbraw/zinc/43/98/19/705439819.db2.gz YPLLHXJLTDZWLO-AWEZNQCLSA-N 1 2 309.373 1.635 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cccc3c2OCCO3)CC1 ZINC000824867448 705545380 /nfs/dbraw/zinc/54/53/80/705545380.db2.gz PDFUJTZCVBEFAQ-UHFFFAOYSA-N 1 2 316.357 1.737 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC[N@@H+]1C[C@@H](C)OC[C@@H]1C ZINC000824950849 705565380 /nfs/dbraw/zinc/56/53/80/705565380.db2.gz QPUDMEUSBVPTPT-QWHCGFSZSA-N 1 2 317.389 1.406 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC[N@H+]1C[C@@H](C)OC[C@@H]1C ZINC000824950849 705565383 /nfs/dbraw/zinc/56/53/83/705565383.db2.gz QPUDMEUSBVPTPT-QWHCGFSZSA-N 1 2 317.389 1.406 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H]([NH2+]Cc2ccc(=O)[nH]c2)[C@@H](C#N)C1 ZINC000877341028 706071106 /nfs/dbraw/zinc/07/11/06/706071106.db2.gz PCLVACMZUCIGHW-STQMWFEESA-N 1 2 318.377 1.636 20 30 DDEDLO C#CCNC(=O)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000864421913 706163667 /nfs/dbraw/zinc/16/36/67/706163667.db2.gz SXALTYDWXHLSPP-MRXNPFEDSA-N 1 2 301.390 1.128 20 30 DDEDLO C#CCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000864421913 706163671 /nfs/dbraw/zinc/16/36/71/706163671.db2.gz SXALTYDWXHLSPP-MRXNPFEDSA-N 1 2 301.390 1.128 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC1CC[NH+](c2ccc(N(C)C)cc2)CC1 ZINC000828768543 706229231 /nfs/dbraw/zinc/22/92/31/706229231.db2.gz RLRCRANOWFVSDK-GOSISDBHSA-N 1 2 317.433 1.775 20 30 DDEDLO C=C[C@@H](O)C(=O)NC1CC[NH+](c2ccc(N(C)C)cc2)CC1 ZINC000828768131 706229366 /nfs/dbraw/zinc/22/93/66/706229366.db2.gz LHJDWJHVNIHSBA-MRXNPFEDSA-N 1 2 303.406 1.385 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc[nH]n3)n2CC(C)C)CC1 ZINC000829508599 706347556 /nfs/dbraw/zinc/34/75/56/706347556.db2.gz JIQWTQFLFBQMMO-UHFFFAOYSA-N 1 2 313.409 1.079 20 30 DDEDLO CC(C)(O)C[C@@H](O)C[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000878321848 706358782 /nfs/dbraw/zinc/35/87/82/706358782.db2.gz CNWKEWBQIMCZDE-HZPDHXFCSA-N 1 2 304.390 1.453 20 30 DDEDLO CC(C)(O)C[C@@H](O)C[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000878321848 706358784 /nfs/dbraw/zinc/35/87/84/706358784.db2.gz CNWKEWBQIMCZDE-HZPDHXFCSA-N 1 2 304.390 1.453 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CC[C@@](C(=O)NC)(C(F)(F)F)C1 ZINC000878768058 706494506 /nfs/dbraw/zinc/49/45/06/706494506.db2.gz CCGNLYZKMBAZHL-BXKDBHETSA-N 1 2 308.300 1.105 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CC[C@@](C(=O)NC)(C(F)(F)F)C1 ZINC000878768058 706494509 /nfs/dbraw/zinc/49/45/09/706494509.db2.gz CCGNLYZKMBAZHL-BXKDBHETSA-N 1 2 308.300 1.105 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@@H](C(F)(F)F)O[C@@H](C)C1 ZINC000880052324 706864805 /nfs/dbraw/zinc/86/48/05/706864805.db2.gz UMMZXAJRYDXEFY-UWVGGRQHSA-N 1 2 323.315 1.040 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@@H](C(F)(F)F)O[C@@H](C)C1 ZINC000880052324 706864808 /nfs/dbraw/zinc/86/48/08/706864808.db2.gz UMMZXAJRYDXEFY-UWVGGRQHSA-N 1 2 323.315 1.040 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)C#Cc1cccs1 ZINC000832383360 706901407 /nfs/dbraw/zinc/90/14/07/706901407.db2.gz AXEMRMLXOLHYOK-KBPBESRZSA-N 1 2 304.415 1.421 20 30 DDEDLO N#C[C@@]1(C(=O)NCc2ccccc2-n2cc[nH+]c2)CCCOC1 ZINC000867211824 706926778 /nfs/dbraw/zinc/92/67/78/706926778.db2.gz WIERZUIMTLMQCK-KRWDZBQOSA-N 1 2 310.357 1.809 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)C(=O)NCc2cc(C)[nH+]c(C)c2)C1 ZINC000834857889 707141032 /nfs/dbraw/zinc/14/10/32/707141032.db2.gz MQMOZRDDDKCPBQ-OAHLLOKOSA-N 1 2 313.401 1.577 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc(C)c3ccccc3n2)nn1 ZINC000881193293 707148785 /nfs/dbraw/zinc/14/87/85/707148785.db2.gz VGZNNTNESIGSHZ-UHFFFAOYSA-N 1 2 306.373 1.691 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)c2cc3ccccc3o2)nn1 ZINC000881425542 707252538 /nfs/dbraw/zinc/25/25/38/707252538.db2.gz RDUHPLIUJQSCSG-OAHLLOKOSA-N 1 2 310.357 1.871 20 30 DDEDLO C(=NNc1cc[nH+]c2ccccc12)c1cn(C[C@H]2CCCO2)nn1 ZINC000872350192 707387409 /nfs/dbraw/zinc/38/74/09/707387409.db2.gz OEJOFROQDZYJSK-CQSZACIVSA-N 1 2 322.372 1.873 20 30 DDEDLO C#CCC1(O)CCN(C(=O)Cc2cn3cccc(C)c3[nH+]2)CC1 ZINC000882524224 707681052 /nfs/dbraw/zinc/68/10/52/707681052.db2.gz ZWBACNHACUYVED-UHFFFAOYSA-N 1 2 311.385 1.562 20 30 DDEDLO CCn1cc(C[N@@H+]2CCCN(c3ccccc3C#N)CC2)nn1 ZINC000883998222 708097237 /nfs/dbraw/zinc/09/72/37/708097237.db2.gz ZLPVLJPAZVHEHW-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO CCn1cc(C[N@H+]2CCCN(c3ccccc3C#N)CC2)nn1 ZINC000883998222 708097240 /nfs/dbraw/zinc/09/72/40/708097240.db2.gz ZLPVLJPAZVHEHW-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H](C)c1ccc(F)cc1 ZINC000884062942 708123040 /nfs/dbraw/zinc/12/30/40/708123040.db2.gz HNNMYUXLNBIZMV-FZMZJTMJSA-N 1 2 308.353 1.792 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC1(c2ccc(F)cc2)CC1 ZINC000884090267 708134592 /nfs/dbraw/zinc/13/45/92/708134592.db2.gz OKVUEDXRHSRJFQ-AWEZNQCLSA-N 1 2 320.364 1.420 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)Cc1ccccc1Cl ZINC000884093609 708135822 /nfs/dbraw/zinc/13/58/22/708135822.db2.gz NXJSFDBQVMIROC-RISCZKNCSA-N 1 2 324.808 1.834 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCc2c1cccc2Cl ZINC000884100942 708139238 /nfs/dbraw/zinc/13/92/38/708139238.db2.gz JXKHAFIQKBDZJD-KBPBESRZSA-N 1 2 322.792 1.890 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)c1cccc(C)c1 ZINC000884151055 708161438 /nfs/dbraw/zinc/16/14/38/708161438.db2.gz CMGKIBBWRSDDAB-HIFRSBDPSA-N 1 2 304.390 1.661 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccn(CCC(C)C)n1 ZINC000884159491 708165394 /nfs/dbraw/zinc/16/53/94/708165394.db2.gz FAIFNTWTMBLKOI-LBPRGKRZSA-N 1 2 308.382 1.314 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1nc2c(cccc2F)n1C ZINC000884161739 708166809 /nfs/dbraw/zinc/16/68/09/708166809.db2.gz MKACBEDXAOAILI-JTQLQIEISA-N 1 2 320.324 1.098 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2cccc(F)c2)CCC1 ZINC000884374199 708268819 /nfs/dbraw/zinc/26/88/19/708268819.db2.gz ITOMCZNQMFQDHK-AWEZNQCLSA-N 1 2 320.364 1.768 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000897360887 708304691 /nfs/dbraw/zinc/30/46/91/708304691.db2.gz SNMKRBBBYGICFY-HUUCEWRRSA-N 1 2 300.406 1.820 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CCC(C)(O)CC3)[nH+]cn2)C1 ZINC000884595442 708335341 /nfs/dbraw/zinc/33/53/41/708335341.db2.gz GVRCHRBWKXLAKN-CQSZACIVSA-N 1 2 300.406 1.677 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CCC(C)(O)CC3)nc[nH+]2)C1 ZINC000884595442 708335345 /nfs/dbraw/zinc/33/53/45/708335345.db2.gz GVRCHRBWKXLAKN-CQSZACIVSA-N 1 2 300.406 1.677 20 30 DDEDLO Cn1c[nH+]c(CCNS(=O)(=O)c2ccc(CCC#N)cc2)c1 ZINC000885230289 708498966 /nfs/dbraw/zinc/49/89/66/708498966.db2.gz CSMYIGQGDRHPCJ-UHFFFAOYSA-N 1 2 318.402 1.397 20 30 DDEDLO C=CCn1ncc2ccc(NC(=O)[C@@H]3C[N@H+](C)CCO3)cc21 ZINC000885574067 708579440 /nfs/dbraw/zinc/57/94/40/708579440.db2.gz XVSWVGXTNMDSNV-HNNXBMFYSA-N 1 2 300.362 1.491 20 30 DDEDLO C=CCn1ncc2ccc(NC(=O)[C@@H]3C[N@@H+](C)CCO3)cc21 ZINC000885574067 708579441 /nfs/dbraw/zinc/57/94/41/708579441.db2.gz XVSWVGXTNMDSNV-HNNXBMFYSA-N 1 2 300.362 1.491 20 30 DDEDLO COCCc1noc(C[N@H+](C)C[C@@H](O)CC2(C#N)CCC2)n1 ZINC000886038762 708686780 /nfs/dbraw/zinc/68/67/80/708686780.db2.gz AAHZUQMEGHXMOG-LBPRGKRZSA-N 1 2 308.382 1.135 20 30 DDEDLO COCCc1noc(C[N@@H+](C)C[C@@H](O)CC2(C#N)CCC2)n1 ZINC000886038762 708686782 /nfs/dbraw/zinc/68/67/82/708686782.db2.gz AAHZUQMEGHXMOG-LBPRGKRZSA-N 1 2 308.382 1.135 20 30 DDEDLO CCOC(=O)[C@@H]([NH2+]C[C@@H](O)CC1(C#N)CCC1)C1CCOCC1 ZINC000886131533 708702647 /nfs/dbraw/zinc/70/26/47/708702647.db2.gz AUOQIKDKKWLPQS-GJZGRUSLSA-N 1 2 324.421 1.379 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H](CC)[C@@](F)(C(=O)OCC)C2)C1=O ZINC000886878818 708878428 /nfs/dbraw/zinc/87/84/28/708878428.db2.gz UNIPPRKEUCJZHH-HEHGZKQESA-N 1 2 312.385 1.387 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H](CC)[C@@](F)(C(=O)OCC)C2)C1=O ZINC000886878818 708878431 /nfs/dbraw/zinc/87/84/31/708878431.db2.gz UNIPPRKEUCJZHH-HEHGZKQESA-N 1 2 312.385 1.387 20 30 DDEDLO C[C@@H](C(=O)N1CCC2(CC1)CC(=O)C=CO2)[NH+]1CCSCC1 ZINC000887776410 709122297 /nfs/dbraw/zinc/12/22/97/709122297.db2.gz GTZYXGOCRSUPLG-ZDUSSCGKSA-N 1 2 324.446 1.288 20 30 DDEDLO N#Cc1ccc(C2(NC(=O)Cc3c[nH]c[nH+]3)CCOCC2)cc1 ZINC000913162457 713173862 /nfs/dbraw/zinc/17/38/62/713173862.db2.gz LKYOUCJOFVCZNS-UHFFFAOYSA-N 1 2 310.357 1.646 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1cc(C)c(C#N)cn1 ZINC000928647802 713249655 /nfs/dbraw/zinc/24/96/55/713249655.db2.gz RDJMBBVQKJYXPE-CQSZACIVSA-N 1 2 302.378 1.102 20 30 DDEDLO COc1cc(Cl)c(C[NH2+][C@@H]2CCCN(O)C2=O)cc1OC ZINC000895156357 711435080 /nfs/dbraw/zinc/43/50/80/711435080.db2.gz CAGHMYRGGDOCIA-LLVKDONJSA-N 1 2 314.769 1.827 20 30 DDEDLO CCO/C=C/[C@](O)(C[NH2+]Cc1nc(C#N)cs1)C(F)(F)F ZINC000895713143 711585492 /nfs/dbraw/zinc/58/54/92/711585492.db2.gz JPLYRQVDTCBHBZ-UFFNRZRYSA-N 1 2 321.324 1.948 20 30 DDEDLO COc1ccc(NC(=O)/C=C(\C)C[NH+]2CCOCC2)cc1C#N ZINC000913776848 713299225 /nfs/dbraw/zinc/29/92/25/713299225.db2.gz AIPYGZZYHJHXKH-UKTHLTGXSA-N 1 2 315.373 1.784 20 30 DDEDLO C#CC[N@H+](CN1C(=O)C(=O)N(Cc2ccccc2)C1=O)C(C)C ZINC000905741641 712136007 /nfs/dbraw/zinc/13/60/07/712136007.db2.gz GBHDYVKJAUURLF-UHFFFAOYSA-N 1 2 313.357 1.279 20 30 DDEDLO C#CC[N@@H+](CN1C(=O)C(=O)N(Cc2ccccc2)C1=O)C(C)C ZINC000905741641 712136011 /nfs/dbraw/zinc/13/60/11/712136011.db2.gz GBHDYVKJAUURLF-UHFFFAOYSA-N 1 2 313.357 1.279 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N2CCC(n3cc[nH+]c3)CC2)cc1 ZINC000906891036 712429487 /nfs/dbraw/zinc/42/94/87/712429487.db2.gz OTYMINRMDUVRRQ-UHFFFAOYSA-N 1 2 315.398 1.890 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N(C)CCCn2cc[nH+]c2)cc1 ZINC000907053677 712475797 /nfs/dbraw/zinc/47/57/97/712475797.db2.gz RIVPUWNPAGUUAD-UHFFFAOYSA-N 1 2 303.387 1.575 20 30 DDEDLO Cc1cc(NC(=O)N2CCC3(CC2)CC(=O)C=CO3)c(C)c[nH+]1 ZINC000930626656 713881165 /nfs/dbraw/zinc/88/11/65/713881165.db2.gz YTGMCXGHYCASDD-UHFFFAOYSA-N 1 2 315.373 1.990 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C[N@@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000931014066 713982963 /nfs/dbraw/zinc/98/29/63/713982963.db2.gz BLOQXIGVBVIUHS-CQSZACIVSA-N 1 2 321.446 1.641 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C[N@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000931014066 713982965 /nfs/dbraw/zinc/98/29/65/713982965.db2.gz BLOQXIGVBVIUHS-CQSZACIVSA-N 1 2 321.446 1.641 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2CCn3ncc(Br)c3C2)c1 ZINC000931034520 713986955 /nfs/dbraw/zinc/98/69/55/713986955.db2.gz PTRDOOIQTXICIZ-UHFFFAOYSA-N 1 2 318.178 1.928 20 30 DDEDLO N#Cc1cncc(C[N@H+]2CCn3ncc(Br)c3C2)c1 ZINC000931034520 713986956 /nfs/dbraw/zinc/98/69/56/713986956.db2.gz PTRDOOIQTXICIZ-UHFFFAOYSA-N 1 2 318.178 1.928 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCc2cc(Cl)cc(C#N)c2)C1 ZINC000922493372 714070132 /nfs/dbraw/zinc/07/01/32/714070132.db2.gz OYTCVJNVDKWZHT-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCc2cc(Cl)cc(C#N)c2)C1 ZINC000922493372 714070134 /nfs/dbraw/zinc/07/01/34/714070134.db2.gz OYTCVJNVDKWZHT-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)c2ccccc2OC)C1 ZINC000923566550 714407792 /nfs/dbraw/zinc/40/77/92/714407792.db2.gz QOIXNJIHDXUAPZ-CYBMUJFWSA-N 1 2 300.358 1.092 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)c2ccccc2OC)C1 ZINC000923566550 714407795 /nfs/dbraw/zinc/40/77/95/714407795.db2.gz QOIXNJIHDXUAPZ-CYBMUJFWSA-N 1 2 300.358 1.092 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2sc(NC3CC3)nc2C)C1 ZINC000923598067 714425012 /nfs/dbraw/zinc/42/50/12/714425012.db2.gz SKDPLRSVMIEHFY-CYBMUJFWSA-N 1 2 318.446 1.853 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc(NC3CC3)nc2C)C1 ZINC000923598067 714425013 /nfs/dbraw/zinc/42/50/13/714425013.db2.gz SKDPLRSVMIEHFY-CYBMUJFWSA-N 1 2 318.446 1.853 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)N[C@H](CC#N)C(F)(F)F ZINC000932898283 714433004 /nfs/dbraw/zinc/43/30/04/714433004.db2.gz KLPQFWIYZPYADB-SNVBAGLBSA-N 1 2 322.331 1.241 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[NH2+][C@@H](C(=O)OC)C(C)C)cc1 ZINC000933150645 714523728 /nfs/dbraw/zinc/52/37/28/714523728.db2.gz VTOSXKQDRGYICG-MRXNPFEDSA-N 1 2 302.374 1.784 20 30 DDEDLO C=CCC1(O)CCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)CC1 ZINC000924437605 714581931 /nfs/dbraw/zinc/58/19/31/714581931.db2.gz SDFSLVGVEQSRDW-MRXNPFEDSA-N 1 2 322.449 1.559 20 30 DDEDLO C=CCC1(O)CCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)CC1 ZINC000924437605 714581934 /nfs/dbraw/zinc/58/19/34/714581934.db2.gz SDFSLVGVEQSRDW-MRXNPFEDSA-N 1 2 322.449 1.559 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000933653691 714639630 /nfs/dbraw/zinc/63/96/30/714639630.db2.gz IBCQXSPXNWTAFP-INIZCTEOSA-N 1 2 319.405 1.379 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000934589256 714860188 /nfs/dbraw/zinc/86/01/88/714860188.db2.gz YJHLYBHRQBNKAR-AWEZNQCLSA-N 1 2 315.373 1.114 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1cnn(C)n1 ZINC000926117286 715008400 /nfs/dbraw/zinc/00/84/00/715008400.db2.gz WPIJBRUTVLYEHB-DOMZBBRYSA-N 1 2 324.388 1.533 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC000956565881 715471317 /nfs/dbraw/zinc/47/13/17/715471317.db2.gz GGHSIYCYCXFCAH-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2noc3c2C[C@@H](C)CC3)CC1 ZINC000957034702 715714055 /nfs/dbraw/zinc/71/40/55/715714055.db2.gz PITSJIQSWWPTBI-ZDUSSCGKSA-N 1 2 301.390 1.581 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cc(=O)[nH]c3c2CCCC3)C1 ZINC000957469374 715914391 /nfs/dbraw/zinc/91/43/91/715914391.db2.gz LNXUTQWQBFXZPN-UHFFFAOYSA-N 1 2 315.417 1.998 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnn3c2C[C@@H](C)CC3)CC1 ZINC000957495081 715927772 /nfs/dbraw/zinc/92/77/72/715927772.db2.gz JGVSJIDVVJMUBS-AWEZNQCLSA-N 1 2 302.422 1.799 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000938696754 715963006 /nfs/dbraw/zinc/96/30/06/715963006.db2.gz CRKUPVITBOLFOO-LBPRGKRZSA-N 1 2 302.378 1.026 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000939008671 716083680 /nfs/dbraw/zinc/08/36/80/716083680.db2.gz LNQNKUHYWIJLRY-GFCCVEGCSA-N 1 2 304.394 1.224 20 30 DDEDLO C[N@@H+](Cc1ccon1)C[C@@H]1CCCN1C(=O)c1cc(C#N)c[nH]1 ZINC000960538423 716602651 /nfs/dbraw/zinc/60/26/51/716602651.db2.gz GISWYKBGOMCWSM-AWEZNQCLSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@H+](Cc1ccon1)C[C@@H]1CCCN1C(=O)c1cc(C#N)c[nH]1 ZINC000960538423 716602655 /nfs/dbraw/zinc/60/26/55/716602655.db2.gz GISWYKBGOMCWSM-AWEZNQCLSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@@H+](Cc1ccon1)C[C@@H]1CCCN1C(=O)c1c[nH]c(C#N)c1 ZINC000960568361 716614707 /nfs/dbraw/zinc/61/47/07/716614707.db2.gz HMVXVMBAFNBJST-HNNXBMFYSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@H+](Cc1ccon1)C[C@@H]1CCCN1C(=O)c1c[nH]c(C#N)c1 ZINC000960568361 716614709 /nfs/dbraw/zinc/61/47/09/716614709.db2.gz HMVXVMBAFNBJST-HNNXBMFYSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@@H+](Cc1nccs1)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960628197 716637679 /nfs/dbraw/zinc/63/76/79/716637679.db2.gz VEJLOKMEDWCWFI-CQSZACIVSA-N 1 2 303.431 1.979 20 30 DDEDLO C[N@H+](Cc1nccs1)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960628197 716637687 /nfs/dbraw/zinc/63/76/87/716637687.db2.gz VEJLOKMEDWCWFI-CQSZACIVSA-N 1 2 303.431 1.979 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cc(Cl)no2)C1 ZINC000958602423 716654941 /nfs/dbraw/zinc/65/49/41/716654941.db2.gz GDCYEHGGYSBMHP-DTWKUNHWSA-N 1 2 320.176 1.103 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cc(Cl)no2)C1 ZINC000958602423 716654944 /nfs/dbraw/zinc/65/49/44/716654944.db2.gz GDCYEHGGYSBMHP-DTWKUNHWSA-N 1 2 320.176 1.103 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1ccon1 ZINC000960884858 716722766 /nfs/dbraw/zinc/72/27/66/716722766.db2.gz RLDFDPSCXWTPHE-OAGGEKHMSA-N 1 2 319.405 1.689 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1ccon1 ZINC000960884858 716722771 /nfs/dbraw/zinc/72/27/71/716722771.db2.gz RLDFDPSCXWTPHE-OAGGEKHMSA-N 1 2 319.405 1.689 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C=C3CCCCC3)CC2)C1 ZINC000941332350 717156690 /nfs/dbraw/zinc/15/66/90/717156690.db2.gz FVYCXAUSYNICKO-UHFFFAOYSA-N 1 2 301.434 1.339 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC(C)=C(C)C3)CC2)C1 ZINC000941419859 717173842 /nfs/dbraw/zinc/17/38/42/717173842.db2.gz IFMIVYUBCKTBLR-KRWDZBQOSA-N 1 2 315.461 1.585 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CC[C@@H]4C[C@@H]4C3)CC2)C1 ZINC000941462816 717181482 /nfs/dbraw/zinc/18/14/82/717181482.db2.gz GNMZKVYBZQYYFA-BRWVUGGUSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3CCCCCCC3)CC2)C1 ZINC000941484242 717185215 /nfs/dbraw/zinc/18/52/15/717185215.db2.gz TYQFBHPGUQYRMC-UHFFFAOYSA-N 1 2 317.477 1.809 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCCC[C@@H]3C)CC2)C1 ZINC000941541083 717200530 /nfs/dbraw/zinc/20/05/30/717200530.db2.gz UTDSUGUCHDNEQP-FUHWJXTLSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCCC[C@@H]3C)CC2)C1 ZINC000941541422 717200740 /nfs/dbraw/zinc/20/07/40/717200740.db2.gz ZLUWBRKNXYRCLE-RDJZCZTQSA-N 1 2 303.450 1.274 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnnc1 ZINC000961860593 717228925 /nfs/dbraw/zinc/22/89/25/717228925.db2.gz VZLUSUCZLRJPNH-GDNZZTSVSA-N 1 2 323.194 1.045 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnnc1 ZINC000961860593 717228926 /nfs/dbraw/zinc/22/89/26/717228926.db2.gz VZLUSUCZLRJPNH-GDNZZTSVSA-N 1 2 323.194 1.045 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC12CC2 ZINC000961863392 717229561 /nfs/dbraw/zinc/22/95/61/717229561.db2.gz ASTQWLIUIZIBKK-WHOHXGKFSA-N 1 2 311.223 1.742 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC12CC2 ZINC000961863392 717229564 /nfs/dbraw/zinc/22/95/64/717229564.db2.gz ASTQWLIUIZIBKK-WHOHXGKFSA-N 1 2 311.223 1.742 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CC[C@@H](C)[C@@H](NC(C)=O)C2)c1 ZINC000964579936 717311030 /nfs/dbraw/zinc/31/10/30/717311030.db2.gz UZRDPXWCRTUXAU-DYVFJYSZSA-N 1 2 313.401 1.453 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CC[C@@H](C)[C@@H](NC(C)=O)C2)c1 ZINC000964579936 717311033 /nfs/dbraw/zinc/31/10/33/717311033.db2.gz UZRDPXWCRTUXAU-DYVFJYSZSA-N 1 2 313.401 1.453 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)C2CCOCC2)C1 ZINC000964628953 717337416 /nfs/dbraw/zinc/33/74/16/717337416.db2.gz VTGWIDVAZPPZEF-SMDDNHRTSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)C2CCOCC2)C1 ZINC000964628953 717337422 /nfs/dbraw/zinc/33/74/22/717337422.db2.gz VTGWIDVAZPPZEF-SMDDNHRTSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000941841076 717345391 /nfs/dbraw/zinc/34/53/91/717345391.db2.gz ZELOOLIPGJWCLM-UHFFFAOYSA-N 1 2 304.394 1.225 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H]2CCN(CC#N)C[C@@H]2C)c(C)[nH+]1 ZINC000942525898 717721377 /nfs/dbraw/zinc/72/13/77/717721377.db2.gz XDJFDOHJTFJVLQ-SWLSCSKDSA-N 1 2 300.406 1.971 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1cnon1 ZINC000947204149 719073390 /nfs/dbraw/zinc/07/33/90/719073390.db2.gz ASZKBEJTXVWBRU-ZYHUDNBSSA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1cnon1 ZINC000947204149 719073394 /nfs/dbraw/zinc/07/33/94/719073394.db2.gz ASZKBEJTXVWBRU-ZYHUDNBSSA-N 1 2 314.349 1.052 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cn(C)nn3)[C@H](C)C2)C1 ZINC000947701463 719248081 /nfs/dbraw/zinc/24/80/81/719248081.db2.gz CFHZXVFCNYMKDF-KGLIPLIRSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cn(C)nn3)[C@H](C)C2)C1 ZINC000947701463 719248084 /nfs/dbraw/zinc/24/80/84/719248084.db2.gz CFHZXVFCNYMKDF-KGLIPLIRSA-N 1 2 317.437 1.641 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C[C@H]1C ZINC000948025944 719336308 /nfs/dbraw/zinc/33/63/08/719336308.db2.gz PDDRBZJOBKRJIO-TZMCWYRMSA-N 1 2 311.389 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C[C@H]1C ZINC000948025944 719336311 /nfs/dbraw/zinc/33/63/11/719336311.db2.gz PDDRBZJOBKRJIO-TZMCWYRMSA-N 1 2 311.389 1.564 20 30 DDEDLO CN(C(=O)c1cccc2[nH+]ccn21)[C@@H]1CCCN(CC#N)CC1 ZINC000948612033 719587449 /nfs/dbraw/zinc/58/74/49/719587449.db2.gz JVGUCUWDZWXIMD-CQSZACIVSA-N 1 2 311.389 1.784 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968692091 719738948 /nfs/dbraw/zinc/73/89/48/719738948.db2.gz LGSIDXMTYZQTFD-VXGBXAGGSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968692091 719738950 /nfs/dbraw/zinc/73/89/50/719738950.db2.gz LGSIDXMTYZQTFD-VXGBXAGGSA-N 1 2 307.419 1.363 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968695504 719741125 /nfs/dbraw/zinc/74/11/25/719741125.db2.gz QLZOKWNVRQMMOU-QWHCGFSZSA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968695504 719741129 /nfs/dbraw/zinc/74/11/29/719741129.db2.gz QLZOKWNVRQMMOU-QWHCGFSZSA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ncc[nH]1 ZINC000948915516 719783046 /nfs/dbraw/zinc/78/30/46/719783046.db2.gz AMYPAXGGTAQACI-INIZCTEOSA-N 1 2 308.385 1.762 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ncc[nH]1 ZINC000948915516 719783050 /nfs/dbraw/zinc/78/30/50/719783050.db2.gz AMYPAXGGTAQACI-INIZCTEOSA-N 1 2 308.385 1.762 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1[nH]nnc1C ZINC000948946524 719796716 /nfs/dbraw/zinc/79/67/16/719796716.db2.gz MQSJFCPCPKQLRM-OAHLLOKOSA-N 1 2 309.373 1.076 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1[nH]nnc1C ZINC000948946524 719796719 /nfs/dbraw/zinc/79/67/19/719796719.db2.gz MQSJFCPCPKQLRM-OAHLLOKOSA-N 1 2 309.373 1.076 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2ccc(NC(C)=O)cc2)CC1 ZINC000949143704 719928089 /nfs/dbraw/zinc/92/80/89/719928089.db2.gz WCFDCVAAELIIOL-UHFFFAOYSA-N 1 2 301.390 1.518 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCc3ccc(F)cc3O2)CC1 ZINC000949302731 720003635 /nfs/dbraw/zinc/00/36/35/720003635.db2.gz MKAOMKMDSZCZHD-HNNXBMFYSA-N 1 2 304.365 1.850 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2cccc(N(C)C)c2)CC1 ZINC000949807341 720354694 /nfs/dbraw/zinc/35/46/94/720354694.db2.gz RFLBZRFBDBFZSR-UHFFFAOYSA-N 1 2 313.445 1.853 20 30 DDEDLO C=C(Cl)CN1CC([C@H](C)NC(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC000969996366 720592056 /nfs/dbraw/zinc/59/20/56/720592056.db2.gz IIGDPSMMVFVPKM-QWHCGFSZSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+](CCN3CCCC3=O)CC2)C1 ZINC000950373211 720601512 /nfs/dbraw/zinc/60/15/12/720601512.db2.gz LNWVTHOQICEJJN-UHFFFAOYSA-N 1 2 305.422 1.109 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+](CCc3cnn(C)c3)CC2)C1 ZINC000950373411 720602016 /nfs/dbraw/zinc/60/20/16/720602016.db2.gz XNISZOXSARMZBC-UHFFFAOYSA-N 1 2 302.422 1.463 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc3[nH]c(=O)[nH]c32)C1 ZINC000950525176 720670834 /nfs/dbraw/zinc/67/08/34/720670834.db2.gz YIKVDRTWEUJQOH-UHFFFAOYSA-N 1 2 312.373 1.438 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@]23CCO[C@H]2CCCC3)C1 ZINC000950856595 720796847 /nfs/dbraw/zinc/79/68/47/720796847.db2.gz LAKXSVHVACCFGL-WMZOPIPTSA-N 1 2 304.434 1.892 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000950917231 720826161 /nfs/dbraw/zinc/82/61/61/720826161.db2.gz SCLQBMJUKROKRP-ZYHUDNBSSA-N 1 2 311.345 1.053 20 30 DDEDLO C=CC[NH+]1CC([C@@H](C)NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000970685487 720888054 /nfs/dbraw/zinc/88/80/54/720888054.db2.gz GIGBPIYEQBBPCI-GFCCVEGCSA-N 1 2 311.389 1.708 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)c2cocn2)C1 ZINC000971181517 721160067 /nfs/dbraw/zinc/16/00/67/721160067.db2.gz PYBWZBLRCBCCIE-SNVBAGLBSA-N 1 2 314.183 1.730 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)c2cocn2)C1 ZINC000971181517 721160072 /nfs/dbraw/zinc/16/00/72/721160072.db2.gz PYBWZBLRCBCCIE-SNVBAGLBSA-N 1 2 314.183 1.730 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ncn(C(C)(C)C)n2)C1 ZINC000951743216 721161980 /nfs/dbraw/zinc/16/19/80/721161980.db2.gz LRIAGUFWCSGVTC-UHFFFAOYSA-N 1 2 305.426 1.756 20 30 DDEDLO Cc1conc1C[N@@H+](C)[C@@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971289218 721221001 /nfs/dbraw/zinc/22/10/01/721221001.db2.gz PXIJGOLVMBULQU-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1conc1C[N@H+](C)[C@@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971289218 721221003 /nfs/dbraw/zinc/22/10/03/721221003.db2.gz PXIJGOLVMBULQU-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO N#Cc1cnc(N2CC[NH+](C[C@H]3CCCO3)CC2)c(Cl)c1 ZINC000609630848 721902103 /nfs/dbraw/zinc/90/21/03/721902103.db2.gz HAFHPVSUZIXKDH-CYBMUJFWSA-N 1 2 306.797 1.908 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)CNc1ccc(C#N)nc1 ZINC001104245948 732850057 /nfs/dbraw/zinc/85/00/57/732850057.db2.gz FUYSAUCUWWOLIE-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)CNc1ccc(C#N)nc1 ZINC001104245948 732850060 /nfs/dbraw/zinc/85/00/60/732850060.db2.gz FUYSAUCUWWOLIE-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2[C@H](CCCN2C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001021733661 733493398 /nfs/dbraw/zinc/49/33/98/733493398.db2.gz QMKRGIIBDHVETB-PBHICJAKSA-N 1 2 323.400 1.518 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2[C@H](CCCN2C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001021733661 733493401 /nfs/dbraw/zinc/49/34/01/733493401.db2.gz QMKRGIIBDHVETB-PBHICJAKSA-N 1 2 323.400 1.518 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@H]3c3cccc(C)c3)[C@H]2C1 ZINC001083220994 734376045 /nfs/dbraw/zinc/37/60/45/734376045.db2.gz FDHATDOLQCZURO-ZSYWTGECSA-N 1 2 324.424 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@H]3c3cccc(C)c3)[C@H]2C1 ZINC001083220994 734376047 /nfs/dbraw/zinc/37/60/47/734376047.db2.gz FDHATDOLQCZURO-ZSYWTGECSA-N 1 2 324.424 1.643 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)C[C@H]1CCNCC#N ZINC001104367188 734387137 /nfs/dbraw/zinc/38/71/37/734387137.db2.gz KGELTDABSMZSPV-RBSFLKMASA-N 1 2 315.421 1.043 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@@H]([NH2+]Cc3noc(CC)n3)C2)C1 ZINC001022675029 734682705 /nfs/dbraw/zinc/68/27/05/734682705.db2.gz MVLRJBPMTSDAAG-TXEJJXNPSA-N 1 2 304.394 1.725 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4cnccc4c3)[C@H]2C1 ZINC001083240776 734691339 /nfs/dbraw/zinc/69/13/39/734691339.db2.gz XJGQUKCPKFQMRD-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4cnccc4c3)[C@H]2C1 ZINC001083240776 734691341 /nfs/dbraw/zinc/69/13/41/734691341.db2.gz XJGQUKCPKFQMRD-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3ccoc3)C2)C1 ZINC000972334293 734794911 /nfs/dbraw/zinc/79/49/11/734794911.db2.gz WCFVQHBHIABPGY-GOSISDBHSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3ccoc3)C2)C1 ZINC000972334293 734794914 /nfs/dbraw/zinc/79/49/14/734794914.db2.gz WCFVQHBHIABPGY-GOSISDBHSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccccc3OC)[C@H]2C1 ZINC001083256187 734995934 /nfs/dbraw/zinc/99/59/34/734995934.db2.gz KPMKNQAQDLVATC-DOTOQJQBSA-N 1 2 314.385 1.244 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccccc3OC)[C@H]2C1 ZINC001083256187 734995940 /nfs/dbraw/zinc/99/59/40/734995940.db2.gz KPMKNQAQDLVATC-DOTOQJQBSA-N 1 2 314.385 1.244 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cc(OCC)n[nH]1 ZINC001027961622 738871186 /nfs/dbraw/zinc/87/11/86/738871186.db2.gz XEDNWFHMMOFBNA-NSHDSACASA-N 1 2 312.801 1.755 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc(OCC)n[nH]1 ZINC001027961622 738871188 /nfs/dbraw/zinc/87/11/88/738871188.db2.gz XEDNWFHMMOFBNA-NSHDSACASA-N 1 2 312.801 1.755 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cc(C)c3)[C@H]2C1 ZINC001083258389 735064338 /nfs/dbraw/zinc/06/43/38/735064338.db2.gz BSGKDCTZWGTNRN-ZWKOTPCHSA-N 1 2 312.413 1.852 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cc(C)c3)[C@H]2C1 ZINC001083258389 735064341 /nfs/dbraw/zinc/06/43/41/735064341.db2.gz BSGKDCTZWGTNRN-ZWKOTPCHSA-N 1 2 312.413 1.852 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001038266196 735133739 /nfs/dbraw/zinc/13/37/39/735133739.db2.gz KOCOSHMLTGMTQS-BMFZPTHFSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001038266196 735133740 /nfs/dbraw/zinc/13/37/40/735133740.db2.gz KOCOSHMLTGMTQS-BMFZPTHFSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc[n+]([O-])cc2)C(C)(C)C1 ZINC000972782261 735620164 /nfs/dbraw/zinc/62/01/64/735620164.db2.gz HYQVYAMQKOUMOS-ZDUSSCGKSA-N 1 2 309.797 1.513 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc[n+]([O-])cc2)C(C)(C)C1 ZINC000972782261 735620166 /nfs/dbraw/zinc/62/01/66/735620166.db2.gz HYQVYAMQKOUMOS-ZDUSSCGKSA-N 1 2 309.797 1.513 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1snnc1CC ZINC001024540583 735916753 /nfs/dbraw/zinc/91/67/53/735916753.db2.gz KYVKBLMUGUFXOI-GFCCVEGCSA-N 1 2 306.435 1.708 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1snnc1CC ZINC001024540583 735916756 /nfs/dbraw/zinc/91/67/56/735916756.db2.gz KYVKBLMUGUFXOI-GFCCVEGCSA-N 1 2 306.435 1.708 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CCCN(CC#N)[C@H]2C1(C)C ZINC001087315357 736063628 /nfs/dbraw/zinc/06/36/28/736063628.db2.gz ADRIVSMFSDZSSO-DAXOMENPSA-N 1 2 315.421 1.389 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+][C@@H](C)c2nc(C3CC3)no2)CCC1 ZINC001129143138 751366930 /nfs/dbraw/zinc/36/69/30/751366930.db2.gz PZMYXENRXLXVAE-LBPRGKRZSA-N 1 2 316.405 1.907 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2cnn(C)c2C)C1 ZINC001107974089 751378902 /nfs/dbraw/zinc/37/89/02/751378902.db2.gz JRDDHWUMSURVMY-KRWDZBQOSA-N 1 2 320.437 1.054 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2cnn(C)c2C)C1 ZINC001107974089 751378908 /nfs/dbraw/zinc/37/89/08/751378908.db2.gz JRDDHWUMSURVMY-KRWDZBQOSA-N 1 2 320.437 1.054 20 30 DDEDLO C[C@H](CNc1ccncc1C#N)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001104871689 737314347 /nfs/dbraw/zinc/31/43/47/737314347.db2.gz QPKSJFBAZMLZJS-MRVWCRGKSA-N 1 2 324.388 1.161 20 30 DDEDLO C[C@H](CNc1ccncc1C#N)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001104871689 737314352 /nfs/dbraw/zinc/31/43/52/737314352.db2.gz QPKSJFBAZMLZJS-MRVWCRGKSA-N 1 2 324.388 1.161 20 30 DDEDLO C=CCCC1(C(=O)N2CC(n3cc(C[NH2+]CCF)nn3)C2)CC1 ZINC001105322347 737850922 /nfs/dbraw/zinc/85/09/22/737850922.db2.gz LHOBZLYTBDSOFX-UHFFFAOYSA-N 1 2 321.400 1.467 20 30 DDEDLO N#Cc1cc(C(=O)NCC[NH2+]Cc2nc(C3CCC3)no2)c[nH]1 ZINC001125995316 738107747 /nfs/dbraw/zinc/10/77/47/738107747.db2.gz ZKCZMVAVUXLOAX-UHFFFAOYSA-N 1 2 314.349 1.056 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC001027408432 738272275 /nfs/dbraw/zinc/27/22/75/738272275.db2.gz PMRDGAVVQUJPCN-DOMZBBRYSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC001027408432 738272276 /nfs/dbraw/zinc/27/22/76/738272276.db2.gz PMRDGAVVQUJPCN-DOMZBBRYSA-N 1 2 316.405 1.775 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCCN(C(=O)c2cn(C)ccc2=O)C1 ZINC001027486738 738341989 /nfs/dbraw/zinc/34/19/89/738341989.db2.gz ISFJWTUSXOEAGT-CYBMUJFWSA-N 1 2 323.824 1.674 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCCN(C(=O)c2cn(C)ccc2=O)C1 ZINC001027486738 738341994 /nfs/dbraw/zinc/34/19/94/738341994.db2.gz ISFJWTUSXOEAGT-CYBMUJFWSA-N 1 2 323.824 1.674 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098195874 738990604 /nfs/dbraw/zinc/99/06/04/738990604.db2.gz MELWAYKVZZYULM-RYUDHWBXSA-N 1 2 316.409 1.882 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccon1 ZINC001028142843 739087405 /nfs/dbraw/zinc/08/74/05/739087405.db2.gz RIUGKHHODMWUNK-ZNMIVQPWSA-N 1 2 305.378 1.346 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1ccon1 ZINC001028142843 739087407 /nfs/dbraw/zinc/08/74/07/739087407.db2.gz RIUGKHHODMWUNK-ZNMIVQPWSA-N 1 2 305.378 1.346 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H]1CNC(=O)c1cnon1 ZINC001028216600 739180198 /nfs/dbraw/zinc/18/01/98/739180198.db2.gz LEZSRFVDCFBOMJ-AWEZNQCLSA-N 1 2 311.345 1.336 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H]1CNC(=O)c1cnon1 ZINC001028216600 739180200 /nfs/dbraw/zinc/18/02/00/739180200.db2.gz LEZSRFVDCFBOMJ-AWEZNQCLSA-N 1 2 311.345 1.336 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001035370304 751434124 /nfs/dbraw/zinc/43/41/24/751434124.db2.gz MUFZQHZKSQTYTH-INIZCTEOSA-N 1 2 316.405 1.062 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001035370304 751434128 /nfs/dbraw/zinc/43/41/28/751434128.db2.gz MUFZQHZKSQTYTH-INIZCTEOSA-N 1 2 316.405 1.062 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncc(F)cc2F)C1 ZINC001035419593 751478118 /nfs/dbraw/zinc/47/81/18/751478118.db2.gz IHEHBNAZFGERRR-LBPRGKRZSA-N 1 2 311.332 1.367 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncc(F)cc2F)C1 ZINC001035419593 751478121 /nfs/dbraw/zinc/47/81/21/751478121.db2.gz IHEHBNAZFGERRR-LBPRGKRZSA-N 1 2 311.332 1.367 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098687279 739890208 /nfs/dbraw/zinc/89/02/08/739890208.db2.gz MOQKBWWCDHNRRX-AWEZNQCLSA-N 1 2 304.394 1.415 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001035413162 751495173 /nfs/dbraw/zinc/49/51/73/751495173.db2.gz WSVRJMHFPNTUBU-RRFJBIMHSA-N 1 2 318.421 1.296 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001035413162 751495176 /nfs/dbraw/zinc/49/51/76/751495176.db2.gz WSVRJMHFPNTUBU-RRFJBIMHSA-N 1 2 318.421 1.296 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114895821 751495327 /nfs/dbraw/zinc/49/53/27/751495327.db2.gz GJNRCKMEKPYYRV-IMRBUKKESA-N 1 2 304.394 1.527 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114895821 751495330 /nfs/dbraw/zinc/49/53/30/751495330.db2.gz GJNRCKMEKPYYRV-IMRBUKKESA-N 1 2 304.394 1.527 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001035418176 751499453 /nfs/dbraw/zinc/49/94/53/751499453.db2.gz WJZJQYLSFKXWSP-CQSZACIVSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001035418176 751499458 /nfs/dbraw/zinc/49/94/58/751499458.db2.gz WJZJQYLSFKXWSP-CQSZACIVSA-N 1 2 312.373 1.017 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CC[C@H](Nc2ccc(C#N)cn2)C1 ZINC001059127618 740147991 /nfs/dbraw/zinc/14/79/91/740147991.db2.gz RSZLMSDDAJQXHW-AWEZNQCLSA-N 1 2 324.388 1.332 20 30 DDEDLO N#CCN1CC[C@@H](CNC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001028907647 740163083 /nfs/dbraw/zinc/16/30/83/740163083.db2.gz PEFDBUIANJOKFB-AWEZNQCLSA-N 1 2 309.373 1.448 20 30 DDEDLO CC[C@@H]1CN(C(=O)C(C)(C)n2c[nH+]c(C)c2)CC[C@@H]1NCC#N ZINC001037872226 751522582 /nfs/dbraw/zinc/52/25/82/751522582.db2.gz NUEITXXCNPCSCD-CABCVRRESA-N 1 2 317.437 1.667 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)cncc2C)C1 ZINC001035445677 751523229 /nfs/dbraw/zinc/52/32/29/751523229.db2.gz UDWNMEAXDZOAGI-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)cncc2C)C1 ZINC001035445677 751523233 /nfs/dbraw/zinc/52/32/33/751523233.db2.gz UDWNMEAXDZOAGI-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21)Nc1ccc(C#N)cn1 ZINC001098268166 740485201 /nfs/dbraw/zinc/48/52/01/740485201.db2.gz PYGMTEDGIKWJPS-YPMHNXCESA-N 1 2 324.388 1.713 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2conc2C2CC2)C1 ZINC001035505818 751561165 /nfs/dbraw/zinc/56/11/65/751561165.db2.gz NXQIZCUOHJFJOV-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2conc2C2CC2)C1 ZINC001035505818 751561169 /nfs/dbraw/zinc/56/11/69/751561169.db2.gz NXQIZCUOHJFJOV-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001035511277 751568386 /nfs/dbraw/zinc/56/83/86/751568386.db2.gz PNKXMZTZJPLWNW-DOTOQJQBSA-N 1 2 315.417 1.190 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001035511277 751568389 /nfs/dbraw/zinc/56/83/89/751568389.db2.gz PNKXMZTZJPLWNW-DOTOQJQBSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)NC1CC1 ZINC001029422163 740759316 /nfs/dbraw/zinc/75/93/16/740759316.db2.gz XBEOADZSXCWOIT-AEGPPILISA-N 1 2 319.449 1.541 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@@H](C)C(=O)NC1CC1 ZINC001029422163 740759317 /nfs/dbraw/zinc/75/93/17/740759317.db2.gz XBEOADZSXCWOIT-AEGPPILISA-N 1 2 319.449 1.541 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCO[C@H]2C(=C)C)C1 ZINC001035544264 751591484 /nfs/dbraw/zinc/59/14/84/751591484.db2.gz YGJFOYVZFGBWMK-JYJNAYRXSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCO[C@H]2C(=C)C)C1 ZINC001035544264 751591488 /nfs/dbraw/zinc/59/14/88/751591488.db2.gz YGJFOYVZFGBWMK-JYJNAYRXSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(-c3ccco3)n[nH]2)C1 ZINC001035529031 751608515 /nfs/dbraw/zinc/60/85/15/751608515.db2.gz XLLSQBNPDQWHDW-GFCCVEGCSA-N 1 2 316.361 1.286 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(-c3ccco3)n[nH]2)C1 ZINC001035529031 751608520 /nfs/dbraw/zinc/60/85/20/751608520.db2.gz XLLSQBNPDQWHDW-GFCCVEGCSA-N 1 2 316.361 1.286 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nn(C)cc2Cl)C1 ZINC001029676401 741216600 /nfs/dbraw/zinc/21/66/00/741216600.db2.gz AMCJTQUAOQVBDF-RYUDHWBXSA-N 1 2 323.828 1.513 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nn(C)cc2Cl)C1 ZINC001029676401 741216602 /nfs/dbraw/zinc/21/66/02/741216602.db2.gz AMCJTQUAOQVBDF-RYUDHWBXSA-N 1 2 323.828 1.513 20 30 DDEDLO CCc1nnc(C[N@H+](C)C[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC001029678838 741219652 /nfs/dbraw/zinc/21/96/52/741219652.db2.gz TXMJYWPIQPBGGA-NWDGAFQWSA-N 1 2 321.450 1.540 20 30 DDEDLO CCc1nnc(C[N@@H+](C)C[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC001029678838 741219654 /nfs/dbraw/zinc/21/96/54/741219654.db2.gz TXMJYWPIQPBGGA-NWDGAFQWSA-N 1 2 321.450 1.540 20 30 DDEDLO Cc1nc([C@H](C)[N@H+](C)C[C@H]2CCN(C(=O)C#CC3CC3)C2)no1 ZINC001029735231 741265655 /nfs/dbraw/zinc/26/56/55/741265655.db2.gz GZMUWBGZYZALIA-SWLSCSKDSA-N 1 2 316.405 1.633 20 30 DDEDLO Cc1nc([C@H](C)[N@@H+](C)C[C@H]2CCN(C(=O)C#CC3CC3)C2)no1 ZINC001029735231 741265656 /nfs/dbraw/zinc/26/56/56/741265656.db2.gz GZMUWBGZYZALIA-SWLSCSKDSA-N 1 2 316.405 1.633 20 30 DDEDLO CCc1nc(C[NH2+][C@@H]2CCCCCN(C(=O)[C@@H](C)C#N)C2)no1 ZINC001088279823 741318191 /nfs/dbraw/zinc/31/81/91/741318191.db2.gz BEYVSDIOSRVLAL-QWHCGFSZSA-N 1 2 319.409 1.652 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn(CC3CC3)c2)C1 ZINC001035572669 751625806 /nfs/dbraw/zinc/62/58/06/751625806.db2.gz GWVYMADWPLNHAT-MRXNPFEDSA-N 1 2 318.421 1.300 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn(CC3CC3)c2)C1 ZINC001035572669 751625815 /nfs/dbraw/zinc/62/58/15/751625815.db2.gz GWVYMADWPLNHAT-MRXNPFEDSA-N 1 2 318.421 1.300 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCCC[C@@H]([NH2+]Cc2nnn(C)n2)C1 ZINC001088360273 741436285 /nfs/dbraw/zinc/43/62/85/741436285.db2.gz UYTVQZLBWFKUAV-CYBMUJFWSA-N 1 2 320.441 1.283 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C(F)F)c2)C1 ZINC001035557976 751639553 /nfs/dbraw/zinc/63/95/53/751639553.db2.gz LFKHHDSNTDTAMN-LBPRGKRZSA-N 1 2 314.336 1.285 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C(F)F)c2)C1 ZINC001035557976 751639556 /nfs/dbraw/zinc/63/95/56/751639556.db2.gz LFKHHDSNTDTAMN-LBPRGKRZSA-N 1 2 314.336 1.285 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2nc(C3CC3)oc2C)C1 ZINC001035564985 751647436 /nfs/dbraw/zinc/64/74/36/751647436.db2.gz GWSPVFCTVUYUBF-CQSZACIVSA-N 1 2 317.389 1.314 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2nc(C3CC3)oc2C)C1 ZINC001035564985 751647442 /nfs/dbraw/zinc/64/74/42/751647442.db2.gz GWSPVFCTVUYUBF-CQSZACIVSA-N 1 2 317.389 1.314 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3ccc(Cl)cc32)C1 ZINC001035596645 751653720 /nfs/dbraw/zinc/65/37/20/751653720.db2.gz SRRWZMDMHYHHOS-ZBFHGGJFSA-N 1 2 320.820 1.983 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3ccc(Cl)cc32)C1 ZINC001035596645 751653724 /nfs/dbraw/zinc/65/37/24/751653724.db2.gz SRRWZMDMHYHHOS-ZBFHGGJFSA-N 1 2 320.820 1.983 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CCN(C)c1ccc(C#N)nc1 ZINC001105443304 741700958 /nfs/dbraw/zinc/70/09/58/741700958.db2.gz FLUOUQBOOPVGJM-UHFFFAOYSA-N 1 2 312.377 1.122 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3cccnc23)C1 ZINC001035576209 751665290 /nfs/dbraw/zinc/66/52/90/751665290.db2.gz IXNBPWONWPVYHY-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3cccnc23)C1 ZINC001035576209 751665292 /nfs/dbraw/zinc/66/52/92/751665292.db2.gz IXNBPWONWPVYHY-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCCC(C)(C)C)[C@H](OC)C1 ZINC001212028699 741945512 /nfs/dbraw/zinc/94/55/12/741945512.db2.gz ZHQFQCRZRQVRCI-HZPDHXFCSA-N 1 2 324.465 1.668 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCCC(C)(C)C)[C@H](OC)C1 ZINC001212028699 741945513 /nfs/dbraw/zinc/94/55/13/741945513.db2.gz ZHQFQCRZRQVRCI-HZPDHXFCSA-N 1 2 324.465 1.668 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(OCC)nc2)C1 ZINC001107983578 751681527 /nfs/dbraw/zinc/68/15/27/751681527.db2.gz OFCBZFLPJVKEGR-KRWDZBQOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(OCC)nc2)C1 ZINC001107983578 751681531 /nfs/dbraw/zinc/68/15/31/751681531.db2.gz OFCBZFLPJVKEGR-KRWDZBQOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C)nc2C)C1 ZINC001035607991 751712028 /nfs/dbraw/zinc/71/20/28/751712028.db2.gz CPRMUADQDGXVSS-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(C)nc2C)C1 ZINC001035607991 751712033 /nfs/dbraw/zinc/71/20/33/751712033.db2.gz CPRMUADQDGXVSS-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001126833969 742329588 /nfs/dbraw/zinc/32/95/88/742329588.db2.gz IHVHUBDHJHWLSI-GFCCVEGCSA-N 1 2 306.410 1.793 20 30 DDEDLO Cc1[nH]nc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)c1C ZINC001038117080 742341707 /nfs/dbraw/zinc/34/17/07/742341707.db2.gz NJKUUVJKWGOTDU-INIZCTEOSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1[nH]nc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)c1C ZINC001038117080 742341712 /nfs/dbraw/zinc/34/17/12/742341712.db2.gz NJKUUVJKWGOTDU-INIZCTEOSA-N 1 2 323.400 1.903 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)C3CCCC3)C2)s1 ZINC001076668053 742881066 /nfs/dbraw/zinc/88/10/66/742881066.db2.gz XWOJTZCILZAYFZ-HUUCEWRRSA-N 1 2 319.430 1.471 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)C3CCCC3)C2)s1 ZINC001076668053 742881073 /nfs/dbraw/zinc/88/10/73/742881073.db2.gz XWOJTZCILZAYFZ-HUUCEWRRSA-N 1 2 319.430 1.471 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CCCC)no2)C1 ZINC001181644178 743268215 /nfs/dbraw/zinc/26/82/15/743268215.db2.gz HHKWMNQBWPADBP-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)CSCC#N)CC2)C1 ZINC001105691031 743309438 /nfs/dbraw/zinc/30/94/38/743309438.db2.gz GLAAZEQKRBFGFR-UHFFFAOYSA-N 1 2 323.462 1.511 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)C(C)(C)C(N)=O)CC2 ZINC001035726716 751818624 /nfs/dbraw/zinc/81/86/24/751818624.db2.gz YEMRWEYXHOGHGL-UHFFFAOYSA-N 1 2 313.829 1.175 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@H+](C[C@@H](C)OC)CC2 ZINC001128259784 743408296 /nfs/dbraw/zinc/40/82/96/743408296.db2.gz STZKKJOUXKPKQR-CYBMUJFWSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@@H+](C[C@@H](C)OC)CC2 ZINC001128259784 743408300 /nfs/dbraw/zinc/40/83/00/743408300.db2.gz STZKKJOUXKPKQR-CYBMUJFWSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCCC(=O)N1CCC(OC2C[NH+](CCOCC=C)C2)CC1 ZINC001105697349 743525916 /nfs/dbraw/zinc/52/59/16/743525916.db2.gz UPOPBAYJBRCPKG-UHFFFAOYSA-N 1 2 322.449 1.847 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001061180975 743542123 /nfs/dbraw/zinc/54/21/23/743542123.db2.gz XYLTXWHQBTXDAV-OAHLLOKOSA-N 1 2 324.388 1.726 20 30 DDEDLO C#C[C@@H](C)NC(=O)C[N@@H+]1CCC[C@@H](N(C)C(=O)OC(C)(C)C)C1 ZINC001182305466 743560750 /nfs/dbraw/zinc/56/07/50/743560750.db2.gz ISCNMJFKIDRWAD-ZIAGYGMSSA-N 1 2 323.437 1.456 20 30 DDEDLO C#C[C@@H](C)NC(=O)C[N@H+]1CCC[C@@H](N(C)C(=O)OC(C)(C)C)C1 ZINC001182305466 743560752 /nfs/dbraw/zinc/56/07/52/743560752.db2.gz ISCNMJFKIDRWAD-ZIAGYGMSSA-N 1 2 323.437 1.456 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001127052464 743677846 /nfs/dbraw/zinc/67/78/46/743677846.db2.gz YBNKCSFDLBWFBF-NWDGAFQWSA-N 1 2 322.409 1.172 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C3(COC)CC3)CC2)C1 ZINC001105717035 743754114 /nfs/dbraw/zinc/75/41/14/743754114.db2.gz DQYXUYKUQIDGJV-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1)OCC ZINC001182973230 743764450 /nfs/dbraw/zinc/76/44/50/743764450.db2.gz BZWJGUTVHPFVSL-CHWSQXEVSA-N 1 2 324.450 1.600 20 30 DDEDLO N#CC1(NC(=O)CCc2ccc(N)[nH+]c2)Cc2ccccc2C1 ZINC001183939418 743931757 /nfs/dbraw/zinc/93/17/57/743931757.db2.gz MYHHHRJJLBVWQA-UHFFFAOYSA-N 1 2 306.369 1.774 20 30 DDEDLO C[C@H]1CN(C(=O)c2cccc3[nH+]ccn32)CC[C@@H]1CNCC#N ZINC001184800769 744103812 /nfs/dbraw/zinc/10/38/12/744103812.db2.gz YWDHKOJUWWXEIA-UONOGXRCSA-N 1 2 311.389 1.546 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)[C@]1(F)CCOC1)CC2 ZINC001035777030 751892744 /nfs/dbraw/zinc/89/27/44/751892744.db2.gz XXMHBRXJZOTUJV-HNNXBMFYSA-N 1 2 316.804 1.792 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cn2cnc3ccc(F)cc32)CC1 ZINC001185338856 744209721 /nfs/dbraw/zinc/20/97/21/744209721.db2.gz CTVIZIHPGYDLQY-UHFFFAOYSA-N 1 2 302.353 1.506 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CC(C)C)C2)nn1 ZINC001185841754 744298436 /nfs/dbraw/zinc/29/84/36/744298436.db2.gz BXNSUHVVKXMDJM-INIZCTEOSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@H]1CC[N@H+](CCF)[C@@H]1C ZINC001088970204 744418116 /nfs/dbraw/zinc/41/81/16/744418116.db2.gz MQRSBOUHJNJWGF-OLZOCXBDSA-N 1 2 307.369 1.809 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@H]1CC[N@@H+](CCF)[C@@H]1C ZINC001088970204 744418119 /nfs/dbraw/zinc/41/81/19/744418119.db2.gz MQRSBOUHJNJWGF-OLZOCXBDSA-N 1 2 307.369 1.809 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+](Cc3cc4n(n3)CCC4)C[C@H]21 ZINC001187240094 744523108 /nfs/dbraw/zinc/52/31/08/744523108.db2.gz MVPGXYXSAQRBMK-WMLDXEAASA-N 1 2 314.433 1.828 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cc4n(n3)CCC4)C[C@H]21 ZINC001187240094 744523111 /nfs/dbraw/zinc/52/31/11/744523111.db2.gz MVPGXYXSAQRBMK-WMLDXEAASA-N 1 2 314.433 1.828 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCOC2)C1 ZINC001006889961 751928703 /nfs/dbraw/zinc/92/87/03/751928703.db2.gz DNZXKOAOALIRMU-DLBZAZTESA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCOC2)C1 ZINC001006889961 751928707 /nfs/dbraw/zinc/92/87/07/751928707.db2.gz DNZXKOAOALIRMU-DLBZAZTESA-N 1 2 313.401 1.675 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)nn1C ZINC001089139708 744585004 /nfs/dbraw/zinc/58/50/04/744585004.db2.gz KJEROWZYAYJMJK-BBRMVZONSA-N 1 2 317.437 1.701 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)nn1C ZINC001089139708 744585006 /nfs/dbraw/zinc/58/50/06/744585006.db2.gz KJEROWZYAYJMJK-BBRMVZONSA-N 1 2 317.437 1.701 20 30 DDEDLO C=C(C)CN1CC(NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC001030831898 744688482 /nfs/dbraw/zinc/68/84/82/744688482.db2.gz RBAKUQNILRZPEE-INIZCTEOSA-N 1 2 307.438 1.006 20 30 DDEDLO C=C(C)CN1CC(NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC001030831898 744688483 /nfs/dbraw/zinc/68/84/83/744688483.db2.gz RBAKUQNILRZPEE-INIZCTEOSA-N 1 2 307.438 1.006 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1cocn1 ZINC001006942428 751950648 /nfs/dbraw/zinc/95/06/48/751950648.db2.gz ZBCRGCTXMOCLIC-INIZCTEOSA-N 1 2 309.369 1.921 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1cocn1 ZINC001006942428 751950650 /nfs/dbraw/zinc/95/06/50/751950650.db2.gz ZBCRGCTXMOCLIC-INIZCTEOSA-N 1 2 309.369 1.921 20 30 DDEDLO Cc1ncc(C(=O)N2CC[C@H]([N@H+](C)CC#Cc3ccccc3)C2)[nH]1 ZINC001189371469 744878640 /nfs/dbraw/zinc/87/86/40/744878640.db2.gz RFZKWPXAXRCSCN-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1ncc(C(=O)N2CC[C@H]([N@@H+](C)CC#Cc3ccccc3)C2)[nH]1 ZINC001189371469 744878641 /nfs/dbraw/zinc/87/86/41/744878641.db2.gz RFZKWPXAXRCSCN-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(CC)n2)C1 ZINC001189917483 745030972 /nfs/dbraw/zinc/03/09/72/745030972.db2.gz HETJWSXMEJDVTB-RDJZCZTQSA-N 1 2 320.437 1.263 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2ccn(CC)n2)C1 ZINC001189917483 745030979 /nfs/dbraw/zinc/03/09/79/745030979.db2.gz HETJWSXMEJDVTB-RDJZCZTQSA-N 1 2 320.437 1.263 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(CC)n2)C1 ZINC001189917485 745031144 /nfs/dbraw/zinc/03/11/44/745031144.db2.gz HETJWSXMEJDVTB-WBVHZDCISA-N 1 2 320.437 1.263 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(CC)n2)C1 ZINC001189917485 745031152 /nfs/dbraw/zinc/03/11/52/745031152.db2.gz HETJWSXMEJDVTB-WBVHZDCISA-N 1 2 320.437 1.263 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])ccc1NCC1([NH+]2CCCC2)COC1 ZINC001168452000 745114197 /nfs/dbraw/zinc/11/41/97/745114197.db2.gz VUOLYVWAEJOQEW-UHFFFAOYSA-N 1 2 302.334 1.743 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001190439736 745220336 /nfs/dbraw/zinc/22/03/36/745220336.db2.gz BXDZQHSGHYADKC-OFQRWUPVSA-N 1 2 319.405 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001190439736 745220341 /nfs/dbraw/zinc/22/03/41/745220341.db2.gz BXDZQHSGHYADKC-OFQRWUPVSA-N 1 2 319.405 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001190450196 745225029 /nfs/dbraw/zinc/22/50/29/745225029.db2.gz SCCOMWAMYFTECU-IVMMDQJWSA-N 1 2 319.405 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001190450196 745225031 /nfs/dbraw/zinc/22/50/31/745225031.db2.gz SCCOMWAMYFTECU-IVMMDQJWSA-N 1 2 319.405 1.607 20 30 DDEDLO N#Cc1cccc(C(=O)NCC2([NH+]3CCCC3)COC2)c1F ZINC001190554727 745251204 /nfs/dbraw/zinc/25/12/04/745251204.db2.gz LPXVEKUZGDAPLC-UHFFFAOYSA-N 1 2 303.337 1.292 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CC[C@H]([NH+](C)C/C=C\Cl)C1 ZINC001190724968 745307001 /nfs/dbraw/zinc/30/70/01/745307001.db2.gz OIGYCMXLSPENQD-PYMSSDGJSA-N 1 2 309.841 1.369 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H]([NH+](C)C/C=C\Cl)C1 ZINC001190724968 745307004 /nfs/dbraw/zinc/30/70/04/745307004.db2.gz OIGYCMXLSPENQD-PYMSSDGJSA-N 1 2 309.841 1.369 20 30 DDEDLO C#CCN1CCC[C@H]1C(=O)N1CC[C@H]([N@@H+](C)C/C=C\Cl)C1 ZINC001190724968 745307008 /nfs/dbraw/zinc/30/70/08/745307008.db2.gz OIGYCMXLSPENQD-PYMSSDGJSA-N 1 2 309.841 1.369 20 30 DDEDLO N#Cc1ccc(Cl)c(S(=O)(=O)N2CC[N@@H+]3CC[C@H]3C2)c1 ZINC001190962753 745388549 /nfs/dbraw/zinc/38/85/49/745388549.db2.gz PCALSBYFCWKJLZ-NSHDSACASA-N 1 2 311.794 1.290 20 30 DDEDLO N#Cc1ccc(Cl)c(S(=O)(=O)N2CC[N@H+]3CC[C@H]3C2)c1 ZINC001190962753 745388553 /nfs/dbraw/zinc/38/85/53/745388553.db2.gz PCALSBYFCWKJLZ-NSHDSACASA-N 1 2 311.794 1.290 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CN2CCCC2=O)[C@@H]1C ZINC000993035020 745395366 /nfs/dbraw/zinc/39/53/66/745395366.db2.gz LEPSAVVPYVBLSH-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CN2CCCC2=O)[C@@H]1C ZINC000993035020 745395369 /nfs/dbraw/zinc/39/53/69/745395369.db2.gz LEPSAVVPYVBLSH-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CCNc1[nH+]cnc2c1cnn2C ZINC001106247475 745456356 /nfs/dbraw/zinc/45/63/56/745456356.db2.gz AQNFCICFBSXGIS-LLVKDONJSA-N 1 2 302.382 1.636 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(NC(C)=O)c(C)c2)CC1 ZINC001191444446 745514227 /nfs/dbraw/zinc/51/42/27/745514227.db2.gz SQWBPHKBIWWAJX-UHFFFAOYSA-N 1 2 301.390 1.897 20 30 DDEDLO CC/C=C(\C)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001191481497 745518690 /nfs/dbraw/zinc/51/86/90/745518690.db2.gz ALINJEHMCSFUGZ-QINFDYIYSA-N 1 2 313.401 1.576 20 30 DDEDLO CC/C=C(\C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001191481497 745518694 /nfs/dbraw/zinc/51/86/94/745518694.db2.gz ALINJEHMCSFUGZ-QINFDYIYSA-N 1 2 313.401 1.576 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001192119990 745695208 /nfs/dbraw/zinc/69/52/08/745695208.db2.gz SAPVUNGQAWVAHM-PQMBVPEPSA-N 1 2 317.364 1.325 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001192119990 745695209 /nfs/dbraw/zinc/69/52/09/745695209.db2.gz SAPVUNGQAWVAHM-PQMBVPEPSA-N 1 2 317.364 1.325 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001192585913 745832881 /nfs/dbraw/zinc/83/28/81/745832881.db2.gz DVKQBGOUMPTAQJ-CYBMUJFWSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001192585913 745832889 /nfs/dbraw/zinc/83/28/89/745832889.db2.gz DVKQBGOUMPTAQJ-CYBMUJFWSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cnnn2CC)[C@@H]1C ZINC000993261610 745932943 /nfs/dbraw/zinc/93/29/43/745932943.db2.gz ISRIWGFEDMGDLC-RYUDHWBXSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cnnn2CC)[C@@H]1C ZINC000993261610 745932948 /nfs/dbraw/zinc/93/29/48/745932948.db2.gz ISRIWGFEDMGDLC-RYUDHWBXSA-N 1 2 311.817 1.633 20 30 DDEDLO Cc1c[nH+]c2n1CCC21CCN(S(=O)(=O)[C@@H](C)C#N)CC1 ZINC001193118689 745983920 /nfs/dbraw/zinc/98/39/20/745983920.db2.gz TVDSZFKUMBLGHL-LBPRGKRZSA-N 1 2 308.407 1.171 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1O ZINC001193232367 746024376 /nfs/dbraw/zinc/02/43/76/746024376.db2.gz XXMDOVUZKOHMAA-HZPDHXFCSA-N 1 2 319.405 1.413 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1O ZINC001193232367 746024380 /nfs/dbraw/zinc/02/43/80/746024380.db2.gz XXMDOVUZKOHMAA-HZPDHXFCSA-N 1 2 319.405 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cc3n(n2)CCO3)[C@H]1C ZINC000993427647 746127515 /nfs/dbraw/zinc/12/75/15/746127515.db2.gz SKSRCTNDNTXDEU-NEPJUHHUSA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cc3n(n2)CCO3)[C@H]1C ZINC000993427647 746127517 /nfs/dbraw/zinc/12/75/17/746127517.db2.gz SKSRCTNDNTXDEU-NEPJUHHUSA-N 1 2 324.812 1.611 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)CCNc2cc[nH+]c(C)n2)nc1 ZINC001106806977 746131632 /nfs/dbraw/zinc/13/16/32/746131632.db2.gz OZFJENNLBVIPKE-UHFFFAOYSA-N 1 2 309.373 1.736 20 30 DDEDLO COc1ccc(C#N)c(S(=O)(=O)Nc2c[nH+]c(C)n2C)c1 ZINC001193653088 746166861 /nfs/dbraw/zinc/16/68/61/746166861.db2.gz CCMQDLSCXZAECH-UHFFFAOYSA-N 1 2 306.347 1.410 20 30 DDEDLO N#Cc1cccnc1NC1CCC(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001060603227 746245351 /nfs/dbraw/zinc/24/53/51/746245351.db2.gz GVNDTTTZIMUYIV-UHFFFAOYSA-N 1 2 324.388 1.758 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2scnc2COC)[C@@H]1C ZINC000993962354 746393666 /nfs/dbraw/zinc/39/36/66/746393666.db2.gz KVNMQGDXMZTHDI-RYUDHWBXSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2scnc2COC)[C@@H]1C ZINC000993962354 746393669 /nfs/dbraw/zinc/39/36/69/746393669.db2.gz KVNMQGDXMZTHDI-RYUDHWBXSA-N 1 2 307.419 1.505 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2cnon2)CCC[N@@H+]1CC#Cc1ccccc1 ZINC000994037341 746429723 /nfs/dbraw/zinc/42/97/23/746429723.db2.gz JCDIRDMGPRXLSW-GDBMZVCRSA-N 1 2 324.384 1.704 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2cnon2)CCC[N@H+]1CC#Cc1ccccc1 ZINC000994037341 746429726 /nfs/dbraw/zinc/42/97/26/746429726.db2.gz JCDIRDMGPRXLSW-GDBMZVCRSA-N 1 2 324.384 1.704 20 30 DDEDLO CCOCC(=O)N1CCC[N@H+](Cc2ccc(C#N)cc2F)CC1 ZINC001194747425 746444632 /nfs/dbraw/zinc/44/46/32/746444632.db2.gz YYFUOHJEXORRNL-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO CCOCC(=O)N1CCC[N@@H+](Cc2ccc(C#N)cc2F)CC1 ZINC001194747425 746444635 /nfs/dbraw/zinc/44/46/35/746444635.db2.gz YYFUOHJEXORRNL-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO COC[C@H](C)C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC001195232414 746546469 /nfs/dbraw/zinc/54/64/69/746546469.db2.gz KGBPNKOBLMTWTO-KRWDZBQOSA-N 1 2 314.429 1.855 20 30 DDEDLO COC[C@H](C)C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC001195232414 746546472 /nfs/dbraw/zinc/54/64/72/746546472.db2.gz KGBPNKOBLMTWTO-KRWDZBQOSA-N 1 2 314.429 1.855 20 30 DDEDLO COC[C@@H](C)C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC001195232416 746546596 /nfs/dbraw/zinc/54/65/96/746546596.db2.gz KGBPNKOBLMTWTO-QGZVFWFLSA-N 1 2 314.429 1.855 20 30 DDEDLO COC[C@@H](C)C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC001195232416 746546599 /nfs/dbraw/zinc/54/65/99/746546599.db2.gz KGBPNKOBLMTWTO-QGZVFWFLSA-N 1 2 314.429 1.855 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195525763 746637313 /nfs/dbraw/zinc/63/73/13/746637313.db2.gz QJFGAXRLLUQMAR-HFBAOOFYSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195525763 746637315 /nfs/dbraw/zinc/63/73/15/746637315.db2.gz QJFGAXRLLUQMAR-HFBAOOFYSA-N 1 2 321.421 1.555 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](Cc2cnnn2CC)CC1 ZINC001195540515 746640030 /nfs/dbraw/zinc/64/00/30/746640030.db2.gz CJAQRMBSGZFCPQ-UHFFFAOYSA-N 1 2 317.437 1.526 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](Cc2cnnn2CC)CC1 ZINC001195540515 746640032 /nfs/dbraw/zinc/64/00/32/746640032.db2.gz CJAQRMBSGZFCPQ-UHFFFAOYSA-N 1 2 317.437 1.526 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195755043 746687467 /nfs/dbraw/zinc/68/74/67/746687467.db2.gz VVNANAASCWAGRY-INIZCTEOSA-N 1 2 321.465 1.485 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195755043 746687470 /nfs/dbraw/zinc/68/74/70/746687470.db2.gz VVNANAASCWAGRY-INIZCTEOSA-N 1 2 321.465 1.485 20 30 DDEDLO Cc1nccnc1C[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195781179 746702830 /nfs/dbraw/zinc/70/28/30/746702830.db2.gz PIYKSJAQFBJCLH-UHFFFAOYSA-N 1 2 300.406 1.479 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195781179 746702835 /nfs/dbraw/zinc/70/28/35/746702835.db2.gz PIYKSJAQFBJCLH-UHFFFAOYSA-N 1 2 300.406 1.479 20 30 DDEDLO CC(C)[N@@H+]1CCn2ncc(CNC(=O)C#CC(C)(C)C)c2C1 ZINC001128350549 746895448 /nfs/dbraw/zinc/89/54/48/746895448.db2.gz DKJFRQKWKDFBPJ-UHFFFAOYSA-N 1 2 302.422 1.773 20 30 DDEDLO CC(C)[N@H+]1CCn2ncc(CNC(=O)C#CC(C)(C)C)c2C1 ZINC001128350549 746895454 /nfs/dbraw/zinc/89/54/54/746895454.db2.gz DKJFRQKWKDFBPJ-UHFFFAOYSA-N 1 2 302.422 1.773 20 30 DDEDLO Cn1cc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)cn1 ZINC001031538972 746982989 /nfs/dbraw/zinc/98/29/89/746982989.db2.gz PSFDBUWESWHXPN-UHFFFAOYSA-N 1 2 308.385 1.133 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)nn1C ZINC001031570894 747067019 /nfs/dbraw/zinc/06/70/19/747067019.db2.gz YMHZJBAMKXMVKI-UHFFFAOYSA-N 1 2 322.412 1.442 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2ccc(C(N)=O)o2)CC1 ZINC001003277772 747098784 /nfs/dbraw/zinc/09/87/84/747098784.db2.gz LJZGOLIJPXZQGS-UHFFFAOYSA-N 1 2 311.769 1.325 20 30 DDEDLO Cc1cnc([C@H](C)[NH+]2CC(CNC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001031620513 747212440 /nfs/dbraw/zinc/21/24/40/747212440.db2.gz KZIRYCSHHRQRAH-NSHDSACASA-N 1 2 313.361 1.606 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2ccc(F)cn2)C1 ZINC001031626148 747240996 /nfs/dbraw/zinc/24/09/96/747240996.db2.gz ZNJKYQNSMMUCQX-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO C=CCC[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCCS2(=O)=O)C1 ZINC001007492381 752171558 /nfs/dbraw/zinc/17/15/58/752171558.db2.gz MQJQEXRGFIHKSW-KGLIPLIRSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCC[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCCS2(=O)=O)C1 ZINC001007492381 752171560 /nfs/dbraw/zinc/17/15/60/752171560.db2.gz MQJQEXRGFIHKSW-KGLIPLIRSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001078875693 747406721 /nfs/dbraw/zinc/40/67/21/747406721.db2.gz GSQYLRCJSVZVHD-CQSZACIVSA-N 1 2 318.421 1.758 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@@H](N(C)C(=O)C(F)F)C1 ZINC001032850274 747436855 /nfs/dbraw/zinc/43/68/55/747436855.db2.gz BJUZMDBWDIXSRJ-CYBMUJFWSA-N 1 2 323.343 1.865 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@@H](N(C)C(=O)C(F)F)C1 ZINC001032850274 747436858 /nfs/dbraw/zinc/43/68/58/747436858.db2.gz BJUZMDBWDIXSRJ-CYBMUJFWSA-N 1 2 323.343 1.865 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC2CCCC2)[C@H](O)C1 ZINC001090035498 747445653 /nfs/dbraw/zinc/44/56/53/747445653.db2.gz ZCFNWYDIWMQAQJ-ZIAGYGMSSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC2CCCC2)[C@H](O)C1 ZINC001090035498 747445654 /nfs/dbraw/zinc/44/56/54/747445654.db2.gz ZCFNWYDIWMQAQJ-ZIAGYGMSSA-N 1 2 300.830 1.871 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)N(CC)c1nc(C)[nH+]c(C)c1C ZINC001089618876 747477571 /nfs/dbraw/zinc/47/75/71/747477571.db2.gz RHVQFXFFBJRSJX-GFCCVEGCSA-N 1 2 320.437 1.935 20 30 DDEDLO C#CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1ncccc1O ZINC001089633360 747513753 /nfs/dbraw/zinc/51/37/53/747513753.db2.gz HWVFMHQQOCQLSH-ZDUSSCGKSA-N 1 2 301.390 1.641 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccsc2)[C@H](O)C1 ZINC001090048513 747589963 /nfs/dbraw/zinc/58/99/63/747589963.db2.gz ZMGZWXDXXCSWTC-NWDGAFQWSA-N 1 2 300.811 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccsc2)[C@H](O)C1 ZINC001090048513 747589966 /nfs/dbraw/zinc/58/99/66/747589966.db2.gz ZMGZWXDXXCSWTC-NWDGAFQWSA-N 1 2 300.811 1.666 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000998744558 752195070 /nfs/dbraw/zinc/19/50/70/752195070.db2.gz BZLSWCHAGKWUCZ-NEPJUHHUSA-N 1 2 305.382 1.262 20 30 DDEDLO Cc1ncn(C)c1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031747264 747722127 /nfs/dbraw/zinc/72/21/27/747722127.db2.gz GLVBNBYFCZJGGH-UHFFFAOYSA-N 1 2 323.400 1.462 20 30 DDEDLO C=C(Cl)CN1CC(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001031784589 747829733 /nfs/dbraw/zinc/82/97/33/747829733.db2.gz VUEDNNYYOQYTKF-ZDUSSCGKSA-N 1 2 308.813 1.246 20 30 DDEDLO CN(C(=O)c1cnnn1C)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033057547 748104100 /nfs/dbraw/zinc/10/41/00/748104100.db2.gz LDYMRQBZAVHNJS-HNNXBMFYSA-N 1 2 324.388 1.033 20 30 DDEDLO CN(C(=O)c1cnnn1C)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033057547 748104108 /nfs/dbraw/zinc/10/41/08/748104108.db2.gz LDYMRQBZAVHNJS-HNNXBMFYSA-N 1 2 324.388 1.033 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(Cl)o2)[C@H](O)C1 ZINC001090084406 748149449 /nfs/dbraw/zinc/14/94/49/748149449.db2.gz UNQDTEWWSMHBTK-VHSXEESVSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(Cl)o2)[C@H](O)C1 ZINC001090084406 748149453 /nfs/dbraw/zinc/14/94/53/748149453.db2.gz UNQDTEWWSMHBTK-VHSXEESVSA-N 1 2 319.188 1.851 20 30 DDEDLO CC(C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C[C@H]1O ZINC001090083860 748150904 /nfs/dbraw/zinc/15/09/04/748150904.db2.gz PVEVTOOWHUUCHZ-JKSUJKDBSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C[C@H]1O ZINC001090083860 748150908 /nfs/dbraw/zinc/15/09/08/748150908.db2.gz PVEVTOOWHUUCHZ-JKSUJKDBSA-N 1 2 319.380 1.405 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2cnsn2)CC1 ZINC001004348743 748340079 /nfs/dbraw/zinc/34/00/79/748340079.db2.gz FOISOTCILUCGPG-CYBMUJFWSA-N 1 2 305.407 1.378 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2cnsn2)CC1 ZINC001004348743 748340085 /nfs/dbraw/zinc/34/00/85/748340085.db2.gz FOISOTCILUCGPG-CYBMUJFWSA-N 1 2 305.407 1.378 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)Cn2cccn2)CC1 ZINC001004352336 748355367 /nfs/dbraw/zinc/35/53/67/748355367.db2.gz LRIAWXPROVZQGH-OAHLLOKOSA-N 1 2 301.394 1.110 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)Cn2cccn2)CC1 ZINC001004352336 748355373 /nfs/dbraw/zinc/35/53/73/748355373.db2.gz LRIAWXPROVZQGH-OAHLLOKOSA-N 1 2 301.394 1.110 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1C[NH+]([C@@H](C)c2ncc(C)o2)C1 ZINC001031987557 748363667 /nfs/dbraw/zinc/36/36/67/748363667.db2.gz TVCCSQCXFYZTJX-CFVMTHIKSA-N 1 2 319.405 1.683 20 30 DDEDLO C=CCn1cc(C(=O)N(CC)CCNc2cc[nH+]c(C)n2)nn1 ZINC001106975134 748456101 /nfs/dbraw/zinc/45/61/01/748456101.db2.gz ZFJZDEGOJKRVGB-UHFFFAOYSA-N 1 2 315.381 1.137 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001032108359 748650908 /nfs/dbraw/zinc/65/09/08/748650908.db2.gz FQWMTCYBQYHZNS-ZDUSSCGKSA-N 1 2 313.829 1.045 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001014690230 748736292 /nfs/dbraw/zinc/73/62/92/748736292.db2.gz XEXGFRWQOUMHCE-OCCSQVGLSA-N 1 2 320.824 1.525 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001014690230 748736297 /nfs/dbraw/zinc/73/62/97/748736297.db2.gz XEXGFRWQOUMHCE-OCCSQVGLSA-N 1 2 320.824 1.525 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+]Cc1nnc(CC)o1)OCC ZINC001128529096 748740541 /nfs/dbraw/zinc/74/05/41/748740541.db2.gz YEFASTNCNJSBMY-GFCCVEGCSA-N 1 2 310.398 1.209 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001108089293 748780707 /nfs/dbraw/zinc/78/07/07/748780707.db2.gz DDBWYWLFTCUFLO-YPMHNXCESA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001108089293 748780714 /nfs/dbraw/zinc/78/07/14/748780714.db2.gz DDBWYWLFTCUFLO-YPMHNXCESA-N 1 2 308.344 1.968 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001033202726 748906625 /nfs/dbraw/zinc/90/66/25/748906625.db2.gz IEMQBWBBLJSMTL-CHWSQXEVSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001033202726 748906630 /nfs/dbraw/zinc/90/66/30/748906630.db2.gz IEMQBWBBLJSMTL-CHWSQXEVSA-N 1 2 313.829 1.140 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001108315765 761911927 /nfs/dbraw/zinc/91/19/27/761911927.db2.gz ZICQFLRONWDCFP-KRWDZBQOSA-N 1 2 320.437 1.091 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001108315765 761911929 /nfs/dbraw/zinc/91/19/29/761911929.db2.gz ZICQFLRONWDCFP-KRWDZBQOSA-N 1 2 320.437 1.091 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114348264 749100536 /nfs/dbraw/zinc/10/05/36/749100536.db2.gz KKLFMTCEGCHUOH-HALDLXJZSA-N 1 2 300.406 1.688 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114348264 749100537 /nfs/dbraw/zinc/10/05/37/749100537.db2.gz KKLFMTCEGCHUOH-HALDLXJZSA-N 1 2 300.406 1.688 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115248848 749165157 /nfs/dbraw/zinc/16/51/57/749165157.db2.gz KEGSIMGIAPYYMX-CQSZACIVSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114457491 749239800 /nfs/dbraw/zinc/23/98/00/749239800.db2.gz RNDHHMXVSPVFFW-CQSZACIVSA-N 1 2 318.421 1.709 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@H]2CN(C(=O)C#CC3CC3)CC2(C)C)n1 ZINC000995793284 749247384 /nfs/dbraw/zinc/24/73/84/749247384.db2.gz QSDWEWLFYAHYPY-FZMZJTMJSA-N 1 2 316.405 1.679 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC001033462710 749386968 /nfs/dbraw/zinc/38/69/68/749386968.db2.gz ACRSLKLZDVQVSF-HNNXBMFYSA-N 1 2 308.385 1.856 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC001033462710 749386973 /nfs/dbraw/zinc/38/69/73/749386973.db2.gz ACRSLKLZDVQVSF-HNNXBMFYSA-N 1 2 308.385 1.856 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1nccnc1N ZINC001039348004 761953144 /nfs/dbraw/zinc/95/31/44/761953144.db2.gz ZNQPYKKWRKDHPQ-NEPJUHHUSA-N 1 2 321.812 1.490 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1nccnc1N ZINC001039348004 761953149 /nfs/dbraw/zinc/95/31/49/761953149.db2.gz ZNQPYKKWRKDHPQ-NEPJUHHUSA-N 1 2 321.812 1.490 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)COc2ccsc2)C1 ZINC001108345205 761962956 /nfs/dbraw/zinc/96/29/56/761962956.db2.gz YMIZXFATMGWSDM-INIZCTEOSA-N 1 2 322.430 1.357 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)COc2ccsc2)C1 ZINC001108345205 761962966 /nfs/dbraw/zinc/96/29/66/761962966.db2.gz YMIZXFATMGWSDM-INIZCTEOSA-N 1 2 322.430 1.357 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@H+](CC(=C)Cl)C2)cn1 ZINC001033598965 749586956 /nfs/dbraw/zinc/58/69/56/749586956.db2.gz NMTXKWNRFMOYLK-OAHLLOKOSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@@H+](CC(=C)Cl)C2)cn1 ZINC001033598965 749586960 /nfs/dbraw/zinc/58/69/60/749586960.db2.gz NMTXKWNRFMOYLK-OAHLLOKOSA-N 1 2 303.793 1.962 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)cc2C)C1 ZINC001108353771 761973106 /nfs/dbraw/zinc/97/31/06/761973106.db2.gz NYWGHVSMOLVDHV-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)cc2C)C1 ZINC001108353771 761973111 /nfs/dbraw/zinc/97/31/11/761973111.db2.gz NYWGHVSMOLVDHV-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncc[nH]1)C2 ZINC001095392791 749696577 /nfs/dbraw/zinc/69/65/77/749696577.db2.gz CWGPENPOFNHZEK-PMPSAXMXSA-N 1 2 321.384 1.817 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncc[nH]1)C2 ZINC001095392791 749696583 /nfs/dbraw/zinc/69/65/83/749696583.db2.gz CWGPENPOFNHZEK-PMPSAXMXSA-N 1 2 321.384 1.817 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCc3nncn3C2)C1 ZINC001033681861 749710493 /nfs/dbraw/zinc/71/04/93/749710493.db2.gz XYFRITMHFOEOEI-QWHCGFSZSA-N 1 2 323.828 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCc3nncn3C2)C1 ZINC001033681861 749710496 /nfs/dbraw/zinc/71/04/96/749710496.db2.gz XYFRITMHFOEOEI-QWHCGFSZSA-N 1 2 323.828 1.126 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCc3nncn3C2)C1 ZINC001033681863 749710590 /nfs/dbraw/zinc/71/05/90/749710590.db2.gz XYFRITMHFOEOEI-STQMWFEESA-N 1 2 323.828 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCc3nncn3C2)C1 ZINC001033681863 749710593 /nfs/dbraw/zinc/71/05/93/749710593.db2.gz XYFRITMHFOEOEI-STQMWFEESA-N 1 2 323.828 1.126 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@](C)(CNc2cc[nH+]c(C)n2)C1 ZINC001110841475 749747629 /nfs/dbraw/zinc/74/76/29/749747629.db2.gz FCFYCDIBDGEQAY-IRXDYDNUSA-N 1 2 318.421 1.763 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@](C)(CNc2cc[nH+]c(C)n2)C1 ZINC001110841474 749747952 /nfs/dbraw/zinc/74/79/52/749747952.db2.gz FCFYCDIBDGEQAY-IAGOWNOFSA-N 1 2 318.421 1.763 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCCN(C)c1cc[nH+]c(C)n1 ZINC001095490973 749963244 /nfs/dbraw/zinc/96/32/44/749963244.db2.gz JBPAHVYGEJNEHD-ZDUSSCGKSA-N 1 2 306.410 1.709 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)Oc2ccccc2)C1 ZINC001108376405 761997840 /nfs/dbraw/zinc/99/78/40/761997840.db2.gz KQNNNCOHCJUKEP-MAUKXSAKSA-N 1 2 318.417 1.847 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)Oc2ccccc2)C1 ZINC001108376405 761997844 /nfs/dbraw/zinc/99/78/44/761997844.db2.gz KQNNNCOHCJUKEP-MAUKXSAKSA-N 1 2 318.417 1.847 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2cn3cc(C)nc3s2)C1 ZINC001033856682 749995229 /nfs/dbraw/zinc/99/52/29/749995229.db2.gz VMRIYHLWVZOHNA-CYBMUJFWSA-N 1 2 316.430 1.874 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cn3cc(C)nc3s2)C1 ZINC001033856682 749995233 /nfs/dbraw/zinc/99/52/33/749995233.db2.gz VMRIYHLWVZOHNA-CYBMUJFWSA-N 1 2 316.430 1.874 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cc3n(n2)CCO3)C1 ZINC001033858648 749999060 /nfs/dbraw/zinc/99/90/60/749999060.db2.gz JFOQSDSWYAMQMN-GFCCVEGCSA-N 1 2 324.812 1.564 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc3n(n2)CCO3)C1 ZINC001033858648 749999065 /nfs/dbraw/zinc/99/90/65/749999065.db2.gz JFOQSDSWYAMQMN-GFCCVEGCSA-N 1 2 324.812 1.564 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cn3ccccc3n2)C1 ZINC001108379980 762002613 /nfs/dbraw/zinc/00/26/13/762002613.db2.gz FLSKQARAULCKJQ-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cn3ccccc3n2)C1 ZINC001108379980 762002621 /nfs/dbraw/zinc/00/26/21/762002621.db2.gz FLSKQARAULCKJQ-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2oc(C)nc2C)[C@H](O)C1 ZINC001090161910 750139028 /nfs/dbraw/zinc/13/90/28/750139028.db2.gz HUAWALFRYVAOSE-NWDGAFQWSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2oc(C)nc2C)[C@H](O)C1 ZINC001090161910 750139032 /nfs/dbraw/zinc/13/90/32/750139032.db2.gz HUAWALFRYVAOSE-NWDGAFQWSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3cncs3)C[C@H]2O)CC1 ZINC001077625083 750157585 /nfs/dbraw/zinc/15/75/85/750157585.db2.gz PYNHSCQHZQKDCX-HUUCEWRRSA-N 1 2 321.446 1.551 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3cncs3)C[C@H]2O)CC1 ZINC001077625083 750157590 /nfs/dbraw/zinc/15/75/90/750157590.db2.gz PYNHSCQHZQKDCX-HUUCEWRRSA-N 1 2 321.446 1.551 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001110934048 750240702 /nfs/dbraw/zinc/24/07/02/750240702.db2.gz WOVXIMSANDIRMK-FPCVCCKLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001110934048 750240709 /nfs/dbraw/zinc/24/07/09/750240709.db2.gz WOVXIMSANDIRMK-FPCVCCKLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001107665028 750336134 /nfs/dbraw/zinc/33/61/34/750336134.db2.gz GMNXEUNWHIASQY-GFCCVEGCSA-N 1 2 304.394 1.782 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)[C@@H]3CC34CC4)C2)s1 ZINC001077732586 750456350 /nfs/dbraw/zinc/45/63/50/750456350.db2.gz MAHCGYBZHLYMAN-BFHYXJOUSA-N 1 2 317.414 1.081 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)[C@@H]3CC34CC4)C2)s1 ZINC001077732586 750456354 /nfs/dbraw/zinc/45/63/54/750456354.db2.gz MAHCGYBZHLYMAN-BFHYXJOUSA-N 1 2 317.414 1.081 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001077740039 750472880 /nfs/dbraw/zinc/47/28/80/750472880.db2.gz VJCQVHNCVYKAQO-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CC)nn1 ZINC001039456107 762046399 /nfs/dbraw/zinc/04/63/99/762046399.db2.gz HFFASEAZTDOKSC-OLZOCXBDSA-N 1 2 323.828 1.729 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CC)nn1 ZINC001039456107 762046409 /nfs/dbraw/zinc/04/64/09/762046409.db2.gz HFFASEAZTDOKSC-OLZOCXBDSA-N 1 2 323.828 1.729 20 30 DDEDLO Cc1nsc(C)c1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C#CC1CC1 ZINC001032397344 750567998 /nfs/dbraw/zinc/56/79/98/750567998.db2.gz VFYSKXGBXFHLTP-GJZGRUSLSA-N 1 2 315.442 1.958 20 30 DDEDLO Cc1nsc(C)c1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C#CC1CC1 ZINC001032397344 750568001 /nfs/dbraw/zinc/56/80/01/750568001.db2.gz VFYSKXGBXFHLTP-GJZGRUSLSA-N 1 2 315.442 1.958 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1n1ccnn1 ZINC001128972424 750585681 /nfs/dbraw/zinc/58/56/81/750585681.db2.gz XMVYFSAQURLACI-UZBOCLDFSA-N 1 2 321.812 1.032 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1n1ccnn1 ZINC001128972424 750585683 /nfs/dbraw/zinc/58/56/83/750585683.db2.gz XMVYFSAQURLACI-UZBOCLDFSA-N 1 2 321.812 1.032 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(-c2ccccc2)cn1 ZINC001032412985 750665528 /nfs/dbraw/zinc/66/55/28/750665528.db2.gz DDNCTMZEKLZBRZ-HOTGVXAUSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(-c2ccccc2)cn1 ZINC001032412985 750665531 /nfs/dbraw/zinc/66/55/31/750665531.db2.gz DDNCTMZEKLZBRZ-HOTGVXAUSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001032417915 750719977 /nfs/dbraw/zinc/71/99/77/750719977.db2.gz QHFYVDYTVCQTRL-SNPRPXQTSA-N 1 2 302.349 1.511 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001032417915 750719978 /nfs/dbraw/zinc/71/99/78/750719978.db2.gz QHFYVDYTVCQTRL-SNPRPXQTSA-N 1 2 302.349 1.511 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](CCC)OCC)C1 ZINC001108148191 750778596 /nfs/dbraw/zinc/77/85/96/750778596.db2.gz JHUVFQZSAXTQEP-WBVHZDCISA-N 1 2 310.438 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](CCC)OCC)C1 ZINC001108148191 750778598 /nfs/dbraw/zinc/77/85/98/750778598.db2.gz JHUVFQZSAXTQEP-WBVHZDCISA-N 1 2 310.438 1.422 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001107945493 750789453 /nfs/dbraw/zinc/78/94/53/750789453.db2.gz ICMOSHFUVSJPPP-GUYCJALGSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001107945493 750789456 /nfs/dbraw/zinc/78/94/56/750789456.db2.gz ICMOSHFUVSJPPP-GUYCJALGSA-N 1 2 318.421 1.223 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1COCC2 ZINC001032440787 750842292 /nfs/dbraw/zinc/84/22/92/750842292.db2.gz VFKGGOAJTYVKOR-HOTGVXAUSA-N 1 2 310.397 1.681 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1COCC2 ZINC001032440787 750842299 /nfs/dbraw/zinc/84/22/99/750842299.db2.gz VFKGGOAJTYVKOR-HOTGVXAUSA-N 1 2 310.397 1.681 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(c3cccnc3)CC2)C1 ZINC001108156145 750858444 /nfs/dbraw/zinc/85/84/44/750858444.db2.gz ZMQHNJKIWMPSHJ-KRWDZBQOSA-N 1 2 315.417 1.506 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(c3cccnc3)CC2)C1 ZINC001108156145 750858454 /nfs/dbraw/zinc/85/84/54/750858454.db2.gz ZMQHNJKIWMPSHJ-KRWDZBQOSA-N 1 2 315.417 1.506 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)CCC1CC1)CC2 ZINC001127965058 750869102 /nfs/dbraw/zinc/86/91/02/750869102.db2.gz QUROMZCSVNVVIC-AWEZNQCLSA-N 1 2 315.421 1.012 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nc(OC)c2)C1 ZINC001108163811 750920690 /nfs/dbraw/zinc/92/06/90/750920690.db2.gz PLUMLUGFLHHVMW-KRWDZBQOSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nc(OC)c2)C1 ZINC001108163811 750920694 /nfs/dbraw/zinc/92/06/94/750920694.db2.gz PLUMLUGFLHHVMW-KRWDZBQOSA-N 1 2 319.405 1.405 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCC3=CCN(CC#N)CC3)ccn12 ZINC001000687112 762093408 /nfs/dbraw/zinc/09/34/08/762093408.db2.gz VMJKPJQFLHKQEN-UHFFFAOYSA-N 1 2 309.373 1.528 20 30 DDEDLO CCN(CCCNC(=O)Cc1[nH]cc[nH+]1)c1ccc(C#N)cn1 ZINC001095904871 751219614 /nfs/dbraw/zinc/21/96/14/751219614.db2.gz ANAKUQKZXUXMMR-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccncc1OC(C)C ZINC001032539441 751225542 /nfs/dbraw/zinc/22/55/42/751225542.db2.gz QEFYLXMTZPMYKE-GJZGRUSLSA-N 1 2 313.401 1.791 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccncc1OC(C)C ZINC001032539441 751225546 /nfs/dbraw/zinc/22/55/46/751225546.db2.gz QEFYLXMTZPMYKE-GJZGRUSLSA-N 1 2 313.401 1.791 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccccc1 ZINC001032546660 751263234 /nfs/dbraw/zinc/26/32/34/751263234.db2.gz CXCIARUEWRNVSN-HOTGVXAUSA-N 1 2 320.396 1.999 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccccc1 ZINC001032546660 751263236 /nfs/dbraw/zinc/26/32/36/751263236.db2.gz CXCIARUEWRNVSN-HOTGVXAUSA-N 1 2 320.396 1.999 20 30 DDEDLO C#CC[NH+]1CCC([C@H](C)NC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000997858275 751325809 /nfs/dbraw/zinc/32/58/09/751325809.db2.gz NUJYICZVLJZOQF-LBPRGKRZSA-N 1 2 311.389 1.421 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CC[C@H]1NCC#N ZINC001036250745 752305299 /nfs/dbraw/zinc/30/52/99/752305299.db2.gz IGDGWWVXXRQMPU-UKRRQHHQSA-N 1 2 324.388 1.231 20 30 DDEDLO C=CCC[N@H+]1CC=C(CNC(=O)c2cncc3nc[nH]c32)CC1 ZINC001000718974 762126728 /nfs/dbraw/zinc/12/67/28/762126728.db2.gz ZBUYGZCJVKLPFJ-UHFFFAOYSA-N 1 2 311.389 1.896 20 30 DDEDLO C=CCC[N@@H+]1CC=C(CNC(=O)c2cncc3nc[nH]c32)CC1 ZINC001000718974 762126731 /nfs/dbraw/zinc/12/67/31/762126731.db2.gz ZBUYGZCJVKLPFJ-UHFFFAOYSA-N 1 2 311.389 1.896 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)[C@@H](C)C(C)C)CC2 ZINC001128053635 752709578 /nfs/dbraw/zinc/70/95/78/752709578.db2.gz BVKIUDUEYGGBBY-UONOGXRCSA-N 1 2 317.437 1.114 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2cccn2)c1 ZINC001032684316 752717684 /nfs/dbraw/zinc/71/76/84/752717684.db2.gz LBOWAJGJUKXZGJ-IRXDYDNUSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2cccn2)c1 ZINC001032684316 752717688 /nfs/dbraw/zinc/71/76/88/752717688.db2.gz LBOWAJGJUKXZGJ-IRXDYDNUSA-N 1 2 306.369 1.404 20 30 DDEDLO Cc1cnoc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032712201 752819556 /nfs/dbraw/zinc/81/95/56/752819556.db2.gz MWWMSZMVWRKMNG-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1cnoc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032712201 752819561 /nfs/dbraw/zinc/81/95/61/752819561.db2.gz MWWMSZMVWRKMNG-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1nc(N(C)CC2CCN(C(=O)[C@@H](C)C#N)CC2)cc[nH+]1 ZINC001062207749 752832373 /nfs/dbraw/zinc/83/23/73/752832373.db2.gz OUMBYYFDYDVJIV-LBPRGKRZSA-N 1 2 301.394 1.620 20 30 DDEDLO C#CC[NH2+][C@H]1CCCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000999862598 753213087 /nfs/dbraw/zinc/21/30/87/753213087.db2.gz DIFHIHJOWLRPFV-HNNXBMFYSA-N 1 2 309.373 1.299 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(CC3CC3)nc2C)C1 ZINC001077901919 753378094 /nfs/dbraw/zinc/37/80/94/753378094.db2.gz KKXFKHKWMKKQCX-CHWSQXEVSA-N 1 2 321.446 1.365 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(CC3CC3)nc2C)C1 ZINC001077901919 753378102 /nfs/dbraw/zinc/37/81/02/753378102.db2.gz KKXFKHKWMKKQCX-CHWSQXEVSA-N 1 2 321.446 1.365 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc(CC)n2)C1 ZINC001107996865 753386388 /nfs/dbraw/zinc/38/63/88/753386388.db2.gz KOOONDVXPBUIJE-QGZVFWFLSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc(CC)n2)C1 ZINC001107996865 753386393 /nfs/dbraw/zinc/38/63/93/753386393.db2.gz KOOONDVXPBUIJE-QGZVFWFLSA-N 1 2 303.406 1.651 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1OCCc2ccsc21 ZINC001032739590 753411042 /nfs/dbraw/zinc/41/10/42/753411042.db2.gz HZVNRKMDMBVGRG-SOUVJXGZSA-N 1 2 316.426 1.670 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1OCCc2ccsc21 ZINC001032739590 753411047 /nfs/dbraw/zinc/41/10/47/753411047.db2.gz HZVNRKMDMBVGRG-SOUVJXGZSA-N 1 2 316.426 1.670 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001077924660 753428969 /nfs/dbraw/zinc/42/89/69/753428969.db2.gz LGGDICMMYUIHCX-STQMWFEESA-N 1 2 320.437 1.874 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CC2CCC(OC)CC2)C1 ZINC001108445891 762215857 /nfs/dbraw/zinc/21/58/57/762215857.db2.gz VKZMXTUZTUNGBU-LEOMRAHMSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CC2CCC(OC)CC2)C1 ZINC001108445891 762215863 /nfs/dbraw/zinc/21/58/63/762215863.db2.gz VKZMXTUZTUNGBU-LEOMRAHMSA-N 1 2 324.465 1.975 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(cccc2OC)[nH]1 ZINC001032779526 753620609 /nfs/dbraw/zinc/62/06/09/753620609.db2.gz DEBHVPMQWANQDB-STQMWFEESA-N 1 2 309.369 1.708 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(cccc2OC)[nH]1 ZINC001032779526 753620614 /nfs/dbraw/zinc/62/06/14/753620614.db2.gz DEBHVPMQWANQDB-STQMWFEESA-N 1 2 309.369 1.708 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1)n1cccn1 ZINC001010287519 753735433 /nfs/dbraw/zinc/73/54/33/753735433.db2.gz UDDOZFCIGVPBHU-RHSMWYFYSA-N 1 2 323.400 1.706 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1)n1cccn1 ZINC001010287519 753735437 /nfs/dbraw/zinc/73/54/37/753735437.db2.gz UDDOZFCIGVPBHU-RHSMWYFYSA-N 1 2 323.400 1.706 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001078162189 753807616 /nfs/dbraw/zinc/80/76/16/753807616.db2.gz CDBYIDGCQHFIBZ-IAGOWNOFSA-N 1 2 311.385 1.193 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001078162189 753807620 /nfs/dbraw/zinc/80/76/20/753807620.db2.gz CDBYIDGCQHFIBZ-IAGOWNOFSA-N 1 2 311.385 1.193 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC001043125196 753830111 /nfs/dbraw/zinc/83/01/11/753830111.db2.gz YJESZJZDRPCOBW-GFCCVEGCSA-N 1 2 308.813 1.341 20 30 DDEDLO C=CCCC1(C(=O)NCC[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001078217664 753849651 /nfs/dbraw/zinc/84/96/51/753849651.db2.gz GFMPWMAIZAIKAS-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[C@H](C)NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001078273730 753911116 /nfs/dbraw/zinc/91/11/16/753911116.db2.gz DKJLJEUZMFWREP-LBPRGKRZSA-N 1 2 318.421 1.628 20 30 DDEDLO N#Cc1cccnc1N1CCCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001063203404 754041253 /nfs/dbraw/zinc/04/12/53/754041253.db2.gz HNFMLZRJXAXRCP-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CN1CCc2sccc2C1 ZINC001032799767 754045385 /nfs/dbraw/zinc/04/53/85/754045385.db2.gz LHZXVZLLZLNOQA-GJZGRUSLSA-N 1 2 315.442 1.025 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CN1CCc2sccc2C1 ZINC001032799767 754045389 /nfs/dbraw/zinc/04/53/89/754045389.db2.gz LHZXVZLLZLNOQA-GJZGRUSLSA-N 1 2 315.442 1.025 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H](CNc3cc[nH+]c(C)n3)C2)c1 ZINC001060986954 754122323 /nfs/dbraw/zinc/12/23/23/754122323.db2.gz BVEFTWFMUZPJHX-OAHLLOKOSA-N 1 2 321.384 1.736 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1C(=O)Nc2ccccc21 ZINC001032813721 754264479 /nfs/dbraw/zinc/26/44/79/754264479.db2.gz HQRFTSDSACGMGV-KCQAQPDRSA-N 1 2 309.369 1.031 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1C(=O)Nc2ccccc21 ZINC001032813721 754264484 /nfs/dbraw/zinc/26/44/84/754264484.db2.gz HQRFTSDSACGMGV-KCQAQPDRSA-N 1 2 309.369 1.031 20 30 DDEDLO Cc1nc(NC[C@H]2CCC[C@H]2NC(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001064075399 754485811 /nfs/dbraw/zinc/48/58/11/754485811.db2.gz DUALMSMCGRDPCZ-ZIAGYGMSSA-N 1 2 324.388 1.995 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCC[C@H]2NC(=O)Cn2cc[nH+]c2)nc1 ZINC001064099787 754500554 /nfs/dbraw/zinc/50/05/54/754500554.db2.gz RMRCZCBQCJJZPJ-HUUCEWRRSA-N 1 2 324.388 1.547 20 30 DDEDLO Cc1nc(N2CC[C@]3(CCN(C(=O)C#CC4CC4)C3)C2)cc[nH+]1 ZINC001064621709 754733812 /nfs/dbraw/zinc/73/38/12/754733812.db2.gz BXQWJDJCZXUNCM-SFHVURJKSA-N 1 2 310.401 1.627 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CCN(C(=O)[C@@H](C)C#N)CC2)c(C)[nH+]1 ZINC001011958990 754786543 /nfs/dbraw/zinc/78/65/43/754786543.db2.gz RRLUMLQFQDQBSZ-LBPRGKRZSA-N 1 2 314.389 1.451 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2onc(C)c2C)[C@H](O)C1 ZINC001090349307 755006820 /nfs/dbraw/zinc/00/68/20/755006820.db2.gz KPRWHIDMWGTSIF-NWDGAFQWSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2onc(C)c2C)[C@H](O)C1 ZINC001090349307 755006826 /nfs/dbraw/zinc/00/68/26/755006826.db2.gz KPRWHIDMWGTSIF-NWDGAFQWSA-N 1 2 313.785 1.209 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001079601218 755480960 /nfs/dbraw/zinc/48/09/60/755480960.db2.gz OVBWORIVWRVJKK-QMTHXVAHSA-N 1 2 313.361 1.433 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001079601218 755480962 /nfs/dbraw/zinc/48/09/62/755480962.db2.gz OVBWORIVWRVJKK-QMTHXVAHSA-N 1 2 313.361 1.433 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2snnc2C2CC2)C1 ZINC001079898927 755623364 /nfs/dbraw/zinc/62/33/64/755623364.db2.gz BXTJKPNHHXKBDI-ZYHUDNBSSA-N 1 2 304.419 1.489 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2snnc2C2CC2)C1 ZINC001079898927 755623368 /nfs/dbraw/zinc/62/33/68/755623368.db2.gz BXTJKPNHHXKBDI-ZYHUDNBSSA-N 1 2 304.419 1.489 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080033691 755692950 /nfs/dbraw/zinc/69/29/50/755692950.db2.gz NOUJAUGLLYTNNZ-QGZVFWFLSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2c(C)nn(C)c2C)C1 ZINC001080224714 755785380 /nfs/dbraw/zinc/78/53/80/755785380.db2.gz ODEWJIVNIWEOCX-NOZJJQNGSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2c(C)nn(C)c2C)C1 ZINC001080224714 755785383 /nfs/dbraw/zinc/78/53/83/755785383.db2.gz ODEWJIVNIWEOCX-NOZJJQNGSA-N 1 2 310.829 1.840 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067085150 755853423 /nfs/dbraw/zinc/85/34/23/755853423.db2.gz WPNZZRKYHUWQJP-JSGCOSHPSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC(N(CC)C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001080629217 756012425 /nfs/dbraw/zinc/01/24/25/756012425.db2.gz AYXPIRPSWFPXJX-UHFFFAOYSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2c(C)nn(C)c2F)C1 ZINC001080863473 756127241 /nfs/dbraw/zinc/12/72/41/756127241.db2.gz OGUTUUASPCRFQL-LDYMZIIASA-N 1 2 314.792 1.670 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2c(C)nn(C)c2F)C1 ZINC001080863473 756127246 /nfs/dbraw/zinc/12/72/46/756127246.db2.gz OGUTUUASPCRFQL-LDYMZIIASA-N 1 2 314.792 1.670 20 30 DDEDLO Cn1cncc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015138065 756140855 /nfs/dbraw/zinc/14/08/55/756140855.db2.gz XPVZFMUUCVNDBK-MRXNPFEDSA-N 1 2 308.385 1.276 20 30 DDEDLO Cn1cncc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015138065 756140860 /nfs/dbraw/zinc/14/08/60/756140860.db2.gz XPVZFMUUCVNDBK-MRXNPFEDSA-N 1 2 308.385 1.276 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCc3nc(C)nn3C2)C1 ZINC001015364330 756265762 /nfs/dbraw/zinc/26/57/62/756265762.db2.gz FAMABJQNDHDEOE-STQMWFEESA-N 1 2 323.828 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCc3nc(C)nn3C2)C1 ZINC001015364330 756265764 /nfs/dbraw/zinc/26/57/64/756265764.db2.gz FAMABJQNDHDEOE-STQMWFEESA-N 1 2 323.828 1.092 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3c(C)nnn3CC)C2)C1 ZINC001015674107 756456666 /nfs/dbraw/zinc/45/66/66/756456666.db2.gz VFBBXHQRZWWPGN-AWEZNQCLSA-N 1 2 317.437 1.653 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3c(C)nnn3CC)C2)C1 ZINC001015674107 756456669 /nfs/dbraw/zinc/45/66/69/756456669.db2.gz VFBBXHQRZWWPGN-AWEZNQCLSA-N 1 2 317.437 1.653 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C1 ZINC001015829183 756576752 /nfs/dbraw/zinc/57/67/52/756576752.db2.gz OTNYUICJBFGGFX-SNPRPXQTSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C1 ZINC001015829183 756576754 /nfs/dbraw/zinc/57/67/54/756576754.db2.gz OTNYUICJBFGGFX-SNPRPXQTSA-N 1 2 321.446 1.815 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cnon3)C2)cc1F ZINC001015876121 756609148 /nfs/dbraw/zinc/60/91/48/756609148.db2.gz FYFLSNCZMRZVDW-GFCCVEGCSA-N 1 2 315.308 1.085 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cnon3)C2)cc1F ZINC001015876121 756609149 /nfs/dbraw/zinc/60/91/49/756609149.db2.gz FYFLSNCZMRZVDW-GFCCVEGCSA-N 1 2 315.308 1.085 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)ccc(C)c2F)[C@H](OC)C1 ZINC001082098010 756628398 /nfs/dbraw/zinc/62/83/98/756628398.db2.gz MLEXMWGQGZVXKT-CHWSQXEVSA-N 1 2 308.328 1.335 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(F)ccc(C)c2F)[C@H](OC)C1 ZINC001082098010 756628401 /nfs/dbraw/zinc/62/84/01/756628401.db2.gz MLEXMWGQGZVXKT-CHWSQXEVSA-N 1 2 308.328 1.335 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@]2(C)CCN(C(C)=O)C2)C1 ZINC001015962976 756680985 /nfs/dbraw/zinc/68/09/85/756680985.db2.gz KHILBOPYPSOBFG-UKRRQHHQSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@]2(C)CCN(C(C)=O)C2)C1 ZINC001015962976 756680988 /nfs/dbraw/zinc/68/09/88/756680988.db2.gz KHILBOPYPSOBFG-UKRRQHHQSA-N 1 2 313.829 1.188 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cnoc2C(C)C)[C@H](OC)C1 ZINC001082293483 756716428 /nfs/dbraw/zinc/71/64/28/756716428.db2.gz TZVNHHHALRLUHS-ZIAGYGMSSA-N 1 2 305.378 1.250 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cnoc2C(C)C)[C@H](OC)C1 ZINC001082293483 756716430 /nfs/dbraw/zinc/71/64/30/756716430.db2.gz TZVNHHHALRLUHS-ZIAGYGMSSA-N 1 2 305.378 1.250 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)csc2Cl)[C@H](OC)C1 ZINC001082346396 756742267 /nfs/dbraw/zinc/74/22/67/756742267.db2.gz GOQQPELIMDXJOW-GHMZBOCLSA-N 1 2 312.822 1.772 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(C)csc2Cl)[C@H](OC)C1 ZINC001082346396 756742272 /nfs/dbraw/zinc/74/22/72/756742272.db2.gz GOQQPELIMDXJOW-GHMZBOCLSA-N 1 2 312.822 1.772 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(C)s2)[C@H](OC)C1 ZINC001082360220 756753122 /nfs/dbraw/zinc/75/31/22/756753122.db2.gz QPBWJVMZMCCOCL-ZIAGYGMSSA-N 1 2 306.431 1.817 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(C)s2)[C@H](OC)C1 ZINC001082360220 756753125 /nfs/dbraw/zinc/75/31/25/756753125.db2.gz QPBWJVMZMCCOCL-ZIAGYGMSSA-N 1 2 306.431 1.817 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2c(C)csc2=O)C1 ZINC001016227357 756845392 /nfs/dbraw/zinc/84/53/92/756845392.db2.gz ZFSCPKSKBRWUMM-NSHDSACASA-N 1 2 315.826 1.161 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2c(C)csc2=O)C1 ZINC001016227357 756845401 /nfs/dbraw/zinc/84/54/01/756845401.db2.gz ZFSCPKSKBRWUMM-NSHDSACASA-N 1 2 315.826 1.161 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001097223868 756992499 /nfs/dbraw/zinc/99/24/99/756992499.db2.gz AGIOKDLERIUMFY-BETUJISGSA-N 1 2 318.425 1.661 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3cc(C)ccc3C)[C@H]2C1 ZINC001083183228 757191203 /nfs/dbraw/zinc/19/12/03/757191203.db2.gz UGNHEADEXJAKOZ-ZWKOTPCHSA-N 1 2 312.413 1.391 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3cc(C)ccc3C)[C@H]2C1 ZINC001083183228 757191206 /nfs/dbraw/zinc/19/12/06/757191206.db2.gz UGNHEADEXJAKOZ-ZWKOTPCHSA-N 1 2 312.413 1.391 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001083981751 757204380 /nfs/dbraw/zinc/20/43/80/757204380.db2.gz INOBGMAGBNIIDS-OLZOCXBDSA-N 1 2 307.419 1.327 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001083981751 757204387 /nfs/dbraw/zinc/20/43/87/757204387.db2.gz INOBGMAGBNIIDS-OLZOCXBDSA-N 1 2 307.419 1.327 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(C[C@H]2NC(=O)Cc2n[nH]c(C)n2)CC1 ZINC001016614275 757205564 /nfs/dbraw/zinc/20/55/64/757205564.db2.gz LSHBFRMXMPMITR-GFCCVEGCSA-N 1 2 323.828 1.379 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc(C3CCCC3)no2)[C@@H](O)C1 ZINC001084070576 757267287 /nfs/dbraw/zinc/26/72/87/757267287.db2.gz STQVBOSKGTZVRZ-KGLIPLIRSA-N 1 2 305.378 1.293 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc(C3CCCC3)no2)[C@@H](O)C1 ZINC001084070576 757267296 /nfs/dbraw/zinc/26/72/96/757267296.db2.gz STQVBOSKGTZVRZ-KGLIPLIRSA-N 1 2 305.378 1.293 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(=O)[nH]n3)[C@@H]2C1 ZINC001084164997 757344885 /nfs/dbraw/zinc/34/48/85/757344885.db2.gz CWUDDZMPDFIJSY-ZYHUDNBSSA-N 1 2 308.769 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(=O)[nH]n3)[C@@H]2C1 ZINC001084164997 757344893 /nfs/dbraw/zinc/34/48/93/757344893.db2.gz CWUDDZMPDFIJSY-ZYHUDNBSSA-N 1 2 308.769 1.081 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CC3OCCCO3)[C@@H]2C1 ZINC001084302659 757473801 /nfs/dbraw/zinc/47/38/01/757473801.db2.gz CAEYRTPYZJCYKV-CHWSQXEVSA-N 1 2 314.813 1.425 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CC3OCCCO3)[C@@H]2C1 ZINC001084302659 757473809 /nfs/dbraw/zinc/47/38/09/757473809.db2.gz CAEYRTPYZJCYKV-CHWSQXEVSA-N 1 2 314.813 1.425 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](Cc3nnnn3C)CC2)C1 ZINC001052689605 757649339 /nfs/dbraw/zinc/64/93/39/757649339.db2.gz IPIDIMMEAJRYGJ-ZDUSSCGKSA-N 1 2 318.425 1.037 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](Cc3nnnn3C)CC2)C1 ZINC001052689605 757649344 /nfs/dbraw/zinc/64/93/44/757649344.db2.gz IPIDIMMEAJRYGJ-ZDUSSCGKSA-N 1 2 318.425 1.037 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](Cc3nnc(C)[nH]3)CC2)C1 ZINC001052692422 757651597 /nfs/dbraw/zinc/65/15/97/757651597.db2.gz BUNFGRKXJPMWGS-AWEZNQCLSA-N 1 2 317.437 1.940 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](Cc3nnc(C)[nH]3)CC2)C1 ZINC001052692422 757651602 /nfs/dbraw/zinc/65/16/02/757651602.db2.gz BUNFGRKXJPMWGS-AWEZNQCLSA-N 1 2 317.437 1.940 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3c(C)nc[nH]c3=O)[C@@H]2C1 ZINC001084596234 757701591 /nfs/dbraw/zinc/70/15/91/757701591.db2.gz ZCSZWPKXRQECFI-VXGBXAGGSA-N 1 2 322.796 1.389 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3c(C)nc[nH]c3=O)[C@@H]2C1 ZINC001084596234 757701596 /nfs/dbraw/zinc/70/15/96/757701596.db2.gz ZCSZWPKXRQECFI-VXGBXAGGSA-N 1 2 322.796 1.389 20 30 DDEDLO CCOC(=O)N1CCC[C@H]([NH2+][C@@H](CS)C(=O)OC)CC1 ZINC001169647358 762569059 /nfs/dbraw/zinc/56/90/59/762569059.db2.gz DZHGSEFZYJDLKU-QWRGUYRKSA-N 1 2 304.412 1.058 20 30 DDEDLO COC(=O)c1ccc(NC[C@H]2COCC[N@@H+]2C)cc1CC#N ZINC001169658034 762581535 /nfs/dbraw/zinc/58/15/35/762581535.db2.gz UADCUCOZHDDPGH-AWEZNQCLSA-N 1 2 303.362 1.282 20 30 DDEDLO COC(=O)c1ccc(NC[C@H]2COCC[N@H+]2C)cc1CC#N ZINC001169658034 762581537 /nfs/dbraw/zinc/58/15/37/762581537.db2.gz UADCUCOZHDDPGH-AWEZNQCLSA-N 1 2 303.362 1.282 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C[C@H](C)CCC)CC2=O)C1 ZINC001108522514 762584004 /nfs/dbraw/zinc/58/40/04/762584004.db2.gz UCZJFZAQQDJASR-HUUCEWRRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cc[nH]cc-2c1=O ZINC001017589256 758054713 /nfs/dbraw/zinc/05/47/13/758054713.db2.gz VNCBFMHUGUZGES-BETUJISGSA-N 1 2 324.384 1.398 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cc[nH]cc-2c1=O ZINC001017589256 758054725 /nfs/dbraw/zinc/05/47/25/758054725.db2.gz VNCBFMHUGUZGES-BETUJISGSA-N 1 2 324.384 1.398 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2c(n1)CC[C@H](C)C2 ZINC001017655437 758123958 /nfs/dbraw/zinc/12/39/58/758123958.db2.gz JOLCDIKZHIGADG-SOUVJXGZSA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2c(n1)CC[C@H](C)C2 ZINC001017655437 758123962 /nfs/dbraw/zinc/12/39/62/758123962.db2.gz JOLCDIKZHIGADG-SOUVJXGZSA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C1CCC1 ZINC001017675136 758145167 /nfs/dbraw/zinc/14/51/67/758145167.db2.gz DSONTIZDLHTACN-GASCZTMLSA-N 1 2 312.417 1.610 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C1CCC1 ZINC001017675136 758145175 /nfs/dbraw/zinc/14/51/75/758145175.db2.gz DSONTIZDLHTACN-GASCZTMLSA-N 1 2 312.417 1.610 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1cc(C)on1)CCO2 ZINC001053195013 758252739 /nfs/dbraw/zinc/25/27/39/758252739.db2.gz JTJUSORGZUCZSF-UHFFFAOYSA-N 1 2 305.378 1.015 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1ocnc1C)CCO2 ZINC001053203519 758260476 /nfs/dbraw/zinc/26/04/76/758260476.db2.gz HWTMPBLVZYSZEF-UHFFFAOYSA-N 1 2 305.378 1.476 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001067208836 758280945 /nfs/dbraw/zinc/28/09/45/758280945.db2.gz XKWFDWWAUHBYGV-SMDDNHRTSA-N 1 2 310.361 1.178 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCC1(F)F)CCO2 ZINC001053302092 758355330 /nfs/dbraw/zinc/35/53/30/758355330.db2.gz AQSMTQRUXASTGB-LBPRGKRZSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C(C)C)on1)CCO2 ZINC001053362039 758403273 /nfs/dbraw/zinc/40/32/73/758403273.db2.gz WQJLXYRXOOSSTO-UHFFFAOYSA-N 1 2 319.405 1.901 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnnn1-c1ccccc1 ZINC001018009017 758469481 /nfs/dbraw/zinc/46/94/81/758469481.db2.gz QVDOIKGWANKJBW-IYBDPMFKSA-N 1 2 323.400 1.742 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnnn1-c1ccccc1 ZINC001018009017 758469485 /nfs/dbraw/zinc/46/94/85/758469485.db2.gz QVDOIKGWANKJBW-IYBDPMFKSA-N 1 2 323.400 1.742 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@H]1CCC[C@@H](C)C1 ZINC001018015511 758477353 /nfs/dbraw/zinc/47/73/53/758477353.db2.gz UNALNASBBMKXKW-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@H]1CCC[C@@H](C)C1 ZINC001018015511 758477361 /nfs/dbraw/zinc/47/73/61/758477361.db2.gz UNALNASBBMKXKW-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001053507922 758513173 /nfs/dbraw/zinc/51/31/73/758513173.db2.gz JIRDMBDLWPBGHS-STQMWFEESA-N 1 2 318.421 1.375 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2nc[nH]n2)cc1 ZINC001018077353 758529521 /nfs/dbraw/zinc/52/95/21/758529521.db2.gz ZMINSVPCEWYPDW-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2nc[nH]n2)cc1 ZINC001018077353 758529527 /nfs/dbraw/zinc/52/95/27/758529527.db2.gz ZMINSVPCEWYPDW-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@@H]1CCCOC1)CCO2 ZINC001053535513 758540623 /nfs/dbraw/zinc/54/06/23/758540623.db2.gz RTQMCXLSYLFZPN-HNNXBMFYSA-N 1 2 308.422 1.292 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@@H](CCC)C1 ZINC001018106531 758552710 /nfs/dbraw/zinc/55/27/10/758552710.db2.gz BXPMFVCRVHZLTR-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@@H](CCC)C1 ZINC001018106531 758552714 /nfs/dbraw/zinc/55/27/14/758552714.db2.gz BXPMFVCRVHZLTR-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H](C)CC)CC2=O)C1 ZINC001108541184 762641628 /nfs/dbraw/zinc/64/16/28/762641628.db2.gz PKUAFRVQGDDQGU-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCC1(F)F)O2 ZINC001053615867 758634364 /nfs/dbraw/zinc/63/43/64/758634364.db2.gz UPEWGBUNNKDZDY-OLZOCXBDSA-N 1 2 314.376 1.957 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccc(OC)cc1 ZINC001018246990 758663539 /nfs/dbraw/zinc/66/35/39/758663539.db2.gz RJPOBGGADRPKDJ-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccc(OC)cc1 ZINC001018246990 758663542 /nfs/dbraw/zinc/66/35/42/758663542.db2.gz RJPOBGGADRPKDJ-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[NH+]([C@@H]2CCN(C(C)=O)C2)CC1 ZINC001065675523 758694043 /nfs/dbraw/zinc/69/40/43/758694043.db2.gz RTNGAXIAGRHVAP-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+]([C@@H]2CCN(C(C)=O)C2)CC1 ZINC001065675285 758694694 /nfs/dbraw/zinc/69/46/94/758694694.db2.gz PGJVBGUCQDVRBA-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001065677178 758696867 /nfs/dbraw/zinc/69/68/67/758696867.db2.gz HPJCBRTZAQEBME-AAEUAGOBSA-N 1 2 324.388 1.948 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CCC=C)CC2)C1 ZINC001065693327 758710313 /nfs/dbraw/zinc/71/03/13/758710313.db2.gz ZSTXGJUUOZUQGF-MRXNPFEDSA-N 1 2 319.449 1.664 20 30 DDEDLO C[C@H](Nc1ncccc1C#N)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065729437 758744981 /nfs/dbraw/zinc/74/49/81/758744981.db2.gz NJFDNUFHPFNQFG-JSGCOSHPSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)coc1C)CO2 ZINC001053792800 758820587 /nfs/dbraw/zinc/82/05/87/758820587.db2.gz AMVQNKQHMGGYLY-CQSZACIVSA-N 1 2 302.374 1.493 20 30 DDEDLO N#CCN1CCC2(CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)CC1 ZINC001040731788 762664323 /nfs/dbraw/zinc/66/43/23/762664323.db2.gz AJXNAWCCIIQOED-ZIAGYGMSSA-N 1 2 313.405 1.351 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cn(C)nc1CC)CO2 ZINC001053842150 758871131 /nfs/dbraw/zinc/87/11/31/758871131.db2.gz DNCGLCLEHBIKQB-ZDUSSCGKSA-N 1 2 318.421 1.132 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@H]1C(C)(C)C)CO2 ZINC001053868994 758901610 /nfs/dbraw/zinc/90/16/10/758901610.db2.gz AJMBDDKTDJMEHK-RBSFLKMASA-N 1 2 304.434 1.651 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](F)c1ccccc1)CO2 ZINC001053941923 758977593 /nfs/dbraw/zinc/97/75/93/758977593.db2.gz HSWUWTTZNURKCZ-JKSUJKDBSA-N 1 2 316.376 1.680 20 30 DDEDLO CC(C)CCc1noc(C[NH2+][C@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001018617158 759037765 /nfs/dbraw/zinc/03/77/65/759037765.db2.gz FUNPAUJLJXQHPT-OLZOCXBDSA-N 1 2 319.409 1.508 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(Cl)s1)CO2 ZINC001053999144 759045848 /nfs/dbraw/zinc/04/58/48/759045848.db2.gz BOEQOMREERQVHA-NSHDSACASA-N 1 2 324.833 1.998 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066101391 759061946 /nfs/dbraw/zinc/06/19/46/759061946.db2.gz FWKHHNHEIFBGBQ-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C[C@@H](CNC(=O)Cn1cc[nH+]c1)Nc1ncc(C#N)cc1Cl ZINC001097921421 759073391 /nfs/dbraw/zinc/07/33/91/759073391.db2.gz IHBHEWKYRKZPIP-JTQLQIEISA-N 1 2 318.768 1.420 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnc(C)cn1 ZINC001054031730 759084928 /nfs/dbraw/zinc/08/49/28/759084928.db2.gz JUPQPPDCFPOGLD-QGZVFWFLSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnc(C)cn1 ZINC001054031730 759084937 /nfs/dbraw/zinc/08/49/37/759084937.db2.gz JUPQPPDCFPOGLD-QGZVFWFLSA-N 1 2 320.396 1.575 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1[nH]nnc1C ZINC001054049375 759104501 /nfs/dbraw/zinc/10/45/01/759104501.db2.gz WDNJVTSBYBDVNY-MRXNPFEDSA-N 1 2 323.400 1.293 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1[nH]nnc1C ZINC001054049375 759104507 /nfs/dbraw/zinc/10/45/07/759104507.db2.gz WDNJVTSBYBDVNY-MRXNPFEDSA-N 1 2 323.400 1.293 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1[nH]cnc1C ZINC001054060518 759114057 /nfs/dbraw/zinc/11/40/57/759114057.db2.gz AEYSFNVYFMKPMH-INIZCTEOSA-N 1 2 308.385 1.508 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1[nH]cnc1C ZINC001054060518 759114061 /nfs/dbraw/zinc/11/40/61/759114061.db2.gz AEYSFNVYFMKPMH-INIZCTEOSA-N 1 2 308.385 1.508 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CCCN(C(=O)c1[nH]nnc1C)C2 ZINC001054174495 759256232 /nfs/dbraw/zinc/25/62/32/759256232.db2.gz RUMHUGHHPAXWBN-OAHLLOKOSA-N 1 2 323.828 1.794 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CCCN(C(=O)c1[nH]nnc1C)C2 ZINC001054174495 759256236 /nfs/dbraw/zinc/25/62/36/759256236.db2.gz RUMHUGHHPAXWBN-OAHLLOKOSA-N 1 2 323.828 1.794 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001099974225 759330618 /nfs/dbraw/zinc/33/06/18/759330618.db2.gz OPAHVKGWRFEENV-DZGCQCFKSA-N 1 2 307.394 1.391 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001099974225 759330621 /nfs/dbraw/zinc/33/06/21/759330621.db2.gz OPAHVKGWRFEENV-DZGCQCFKSA-N 1 2 307.394 1.391 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccc(C)[nH]c3=O)cc2C1 ZINC001054283710 759390057 /nfs/dbraw/zinc/39/00/57/759390057.db2.gz PHLDBMJRBWSPPU-UHFFFAOYSA-N 1 2 321.380 1.974 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccc(C)[nH]c3=O)cc2C1 ZINC001054283710 759390069 /nfs/dbraw/zinc/39/00/69/759390069.db2.gz PHLDBMJRBWSPPU-UHFFFAOYSA-N 1 2 321.380 1.974 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@H](C)N(C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001069117733 767858897 /nfs/dbraw/zinc/85/88/97/767858897.db2.gz ZXGUMUREHKEWIU-SWLSCSKDSA-N 1 2 324.388 1.560 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534452 759646386 /nfs/dbraw/zinc/64/63/86/759646386.db2.gz KWMOABDMAZILLU-AWEZNQCLSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534452 759646390 /nfs/dbraw/zinc/64/63/90/759646390.db2.gz KWMOABDMAZILLU-AWEZNQCLSA-N 1 2 324.388 1.331 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnn1C1CCCC1 ZINC001085622946 759865219 /nfs/dbraw/zinc/86/52/19/759865219.db2.gz HQMOOGVQNCTSCK-HNNXBMFYSA-N 1 2 300.406 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnn1C1CCCC1 ZINC001085622946 759865225 /nfs/dbraw/zinc/86/52/25/759865225.db2.gz HQMOOGVQNCTSCK-HNNXBMFYSA-N 1 2 300.406 1.778 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OC(C)C)nc1 ZINC001085628938 759882181 /nfs/dbraw/zinc/88/21/81/759882181.db2.gz IAWWAZBMZXDTIB-OAHLLOKOSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OC(C)C)nc1 ZINC001085628938 759882188 /nfs/dbraw/zinc/88/21/88/759882188.db2.gz IAWWAZBMZXDTIB-OAHLLOKOSA-N 1 2 301.390 1.648 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncn(-c2ccccc2)n1 ZINC001085661101 759961942 /nfs/dbraw/zinc/96/19/42/759961942.db2.gz PDJUSTQYSBETJJ-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncn(-c2ccccc2)n1 ZINC001085661101 759961948 /nfs/dbraw/zinc/96/19/48/759961948.db2.gz PDJUSTQYSBETJJ-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO C#CC[NH2+][C@H]1CN(C(=O)c2c(Cl)[nH]nc2C2CC2)C[C@H]1C ZINC001054726733 760018650 /nfs/dbraw/zinc/01/86/50/760018650.db2.gz RROPONCSTSVTKM-KOLCDFICSA-N 1 2 306.797 1.624 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1coc(-c2cccnc2)n1 ZINC001085699050 760054086 /nfs/dbraw/zinc/05/40/86/760054086.db2.gz DZPOIYQHPGNWKG-CQSZACIVSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1coc(-c2cccnc2)n1 ZINC001085699050 760054098 /nfs/dbraw/zinc/05/40/98/760054098.db2.gz DZPOIYQHPGNWKG-CQSZACIVSA-N 1 2 310.357 1.516 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2cc(C)on2)C1 ZINC001108206150 760106910 /nfs/dbraw/zinc/10/69/10/760106910.db2.gz FCARCWHBGPUCHE-INIZCTEOSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2cc(C)on2)C1 ZINC001108206150 760106917 /nfs/dbraw/zinc/10/69/17/760106917.db2.gz FCARCWHBGPUCHE-INIZCTEOSA-N 1 2 307.394 1.309 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc2nc(C)n(C)c2c1 ZINC001085759188 760182396 /nfs/dbraw/zinc/18/23/96/760182396.db2.gz AWZFIQYSZILTOM-HNNXBMFYSA-N 1 2 310.401 1.661 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2nc(C)n(C)c2c1 ZINC001085759188 760182400 /nfs/dbraw/zinc/18/24/00/760182400.db2.gz AWZFIQYSZILTOM-HNNXBMFYSA-N 1 2 310.401 1.661 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc2cc(OC)ccc2[nH]1 ZINC001085792944 760251341 /nfs/dbraw/zinc/25/13/41/760251341.db2.gz YKQBHZVATPIIPC-AWEZNQCLSA-N 1 2 311.385 1.956 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc2cc(OC)ccc2[nH]1 ZINC001085792944 760251347 /nfs/dbraw/zinc/25/13/47/760251347.db2.gz YKQBHZVATPIIPC-AWEZNQCLSA-N 1 2 311.385 1.956 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@H+]2C[C@H](F)CC)c1 ZINC001085809498 760277911 /nfs/dbraw/zinc/27/79/11/760277911.db2.gz GCGBMLSVCZTCCF-HZPDHXFCSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@@H+]2C[C@H](F)CC)c1 ZINC001085809498 760277912 /nfs/dbraw/zinc/27/79/12/760277912.db2.gz GCGBMLSVCZTCCF-HZPDHXFCSA-N 1 2 303.381 1.957 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnnn1-c1ccccc1 ZINC001085845758 760359444 /nfs/dbraw/zinc/35/94/44/760359444.db2.gz ULTDRZDUPQXBCS-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnnn1-c1ccccc1 ZINC001085845758 760359454 /nfs/dbraw/zinc/35/94/54/760359454.db2.gz ULTDRZDUPQXBCS-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO N#Cc1cccnc1N1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C2 ZINC001055215977 760397333 /nfs/dbraw/zinc/39/73/33/760397333.db2.gz RGCXLYRNDVRHTN-ILXRZTDVSA-N 1 2 322.372 1.145 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1oc(CC2CC2)nc1C ZINC001038253355 760949409 /nfs/dbraw/zinc/94/94/09/760949409.db2.gz NKMSWHUHDUYOGM-CQSZACIVSA-N 1 2 301.390 1.763 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1oc(CC2CC2)nc1C ZINC001038253355 760949415 /nfs/dbraw/zinc/94/94/15/760949415.db2.gz NKMSWHUHDUYOGM-CQSZACIVSA-N 1 2 301.390 1.763 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnc(-n2cccc2)c1 ZINC001038380361 761065408 /nfs/dbraw/zinc/06/54/08/761065408.db2.gz BAXFAICWNOCQAK-MRXNPFEDSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnc(-n2cccc2)c1 ZINC001038380361 761065414 /nfs/dbraw/zinc/06/54/14/761065414.db2.gz BAXFAICWNOCQAK-MRXNPFEDSA-N 1 2 308.385 1.700 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(OC)c(OC)c1C ZINC001038382480 761067906 /nfs/dbraw/zinc/06/79/06/761067906.db2.gz TWZNPNJPXNHCAO-ZDUSSCGKSA-N 1 2 302.374 1.450 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(OC)c(OC)c1C ZINC001038382480 761067917 /nfs/dbraw/zinc/06/79/17/761067917.db2.gz TWZNPNJPXNHCAO-ZDUSSCGKSA-N 1 2 302.374 1.450 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)c2cccnc2)C1 ZINC001108256405 761216015 /nfs/dbraw/zinc/21/60/15/761216015.db2.gz WPEDBOFPEJJUIU-MAUKXSAKSA-N 1 2 317.433 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)c2cccnc2)C1 ZINC001108256405 761216017 /nfs/dbraw/zinc/21/60/17/761216017.db2.gz WPEDBOFPEJJUIU-MAUKXSAKSA-N 1 2 317.433 1.968 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc(-c2ccccc2)[nH]1 ZINC001038753307 761366809 /nfs/dbraw/zinc/36/68/09/761366809.db2.gz KOWSZPBPLALUTR-HNNXBMFYSA-N 1 2 308.385 1.904 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc(-c2ccccc2)[nH]1 ZINC001038753307 761366818 /nfs/dbraw/zinc/36/68/18/761366818.db2.gz KOWSZPBPLALUTR-HNNXBMFYSA-N 1 2 308.385 1.904 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(COC)c(F)c1 ZINC001038967409 761601488 /nfs/dbraw/zinc/60/14/88/761601488.db2.gz ZZYRWXMVDCMQJF-OAHLLOKOSA-N 1 2 304.365 1.800 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(COC)c(F)c1 ZINC001038967409 761601495 /nfs/dbraw/zinc/60/14/95/761601495.db2.gz ZZYRWXMVDCMQJF-OAHLLOKOSA-N 1 2 304.365 1.800 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)nn(CC)c1Cl ZINC001039029823 761667999 /nfs/dbraw/zinc/66/79/99/761667999.db2.gz PUKSKYIMTRSUIE-LBPRGKRZSA-N 1 2 308.813 1.692 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)nn(CC)c1Cl ZINC001039029823 761668001 /nfs/dbraw/zinc/66/80/01/761668001.db2.gz PUKSKYIMTRSUIE-LBPRGKRZSA-N 1 2 308.813 1.692 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(N(CC)CC)nc1 ZINC001039067836 761707018 /nfs/dbraw/zinc/70/70/18/761707018.db2.gz SQWRRRDIPGRFBP-HNNXBMFYSA-N 1 2 300.406 1.365 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(N(CC)CC)nc1 ZINC001039067836 761707024 /nfs/dbraw/zinc/70/70/24/761707024.db2.gz SQWRRRDIPGRFBP-HNNXBMFYSA-N 1 2 300.406 1.365 20 30 DDEDLO C#CCCCC(=O)N(C)CCCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001067286431 761736817 /nfs/dbraw/zinc/73/68/17/761736817.db2.gz UPZXBOPTCJPPBK-UHFFFAOYSA-N 1 2 318.421 1.371 20 30 DDEDLO N#CCN1CC=C(CNC(=O)c2ccc(-n3cc[nH+]c3)cn2)CC1 ZINC001001366910 762794368 /nfs/dbraw/zinc/79/43/68/762794368.db2.gz DKUKTLXIIVPVND-UHFFFAOYSA-N 1 2 322.372 1.153 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H](C)CNc1cc[nH+]c(C)n1 ZINC001108727972 762887311 /nfs/dbraw/zinc/88/73/11/762887311.db2.gz UBVXEHHSWMBMLM-YUTCNCBUSA-N 1 2 304.394 1.683 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@]2(CC[N@H+](Cc3cscn3)C2)C1 ZINC001041265373 762918627 /nfs/dbraw/zinc/91/86/27/762918627.db2.gz GVBYWFLUTZGIGJ-KRWDZBQOSA-N 1 2 315.442 1.981 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@]2(CC[N@@H+](Cc3cscn3)C2)C1 ZINC001041265373 762918631 /nfs/dbraw/zinc/91/86/31/762918631.db2.gz GVBYWFLUTZGIGJ-KRWDZBQOSA-N 1 2 315.442 1.981 20 30 DDEDLO C#CCC[N@H+]1CC[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041303225 762945995 /nfs/dbraw/zinc/94/59/95/762945995.db2.gz DAEKNKKJZWSSDK-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@@H+]1CC[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041303225 762945999 /nfs/dbraw/zinc/94/59/99/762945999.db2.gz DAEKNKKJZWSSDK-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@H+]1CC[C@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001041303225 762946001 /nfs/dbraw/zinc/94/60/01/762946001.db2.gz DAEKNKKJZWSSDK-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@@H+]1CC[C@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001041303225 762946004 /nfs/dbraw/zinc/94/60/04/762946004.db2.gz DAEKNKKJZWSSDK-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@@]2(CCN(CC#N)C2)C1)n1cc[nH+]c1 ZINC001041717806 763247396 /nfs/dbraw/zinc/24/73/96/763247396.db2.gz TVWDDDBAYWKSPX-GOEBONIOSA-N 1 2 301.394 1.282 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCCN(C(=O)c3cccc4[nH]cnc43)[C@@H]2C1 ZINC001050168811 763254188 /nfs/dbraw/zinc/25/41/88/763254188.db2.gz LTEWMQJNGLPJLS-XJKSGUPXSA-N 1 2 308.385 1.733 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCCN(C(=O)c3cccc4[nH]cnc43)[C@@H]2C1 ZINC001050168811 763254196 /nfs/dbraw/zinc/25/41/96/763254196.db2.gz LTEWMQJNGLPJLS-XJKSGUPXSA-N 1 2 308.385 1.733 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC001046925186 768120396 /nfs/dbraw/zinc/12/03/96/768120396.db2.gz LPJCUKBKUFCLCI-NEPJUHHUSA-N 1 2 322.783 1.849 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC001046925186 768120399 /nfs/dbraw/zinc/12/03/99/768120399.db2.gz LPJCUKBKUFCLCI-NEPJUHHUSA-N 1 2 322.783 1.849 20 30 DDEDLO Cc1nsc(N(C)C[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001109056489 763297338 /nfs/dbraw/zinc/29/73/38/763297338.db2.gz GEMAHUXWSDQRFD-SECBINFHSA-N 1 2 318.406 1.230 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1[C@@H]3CCC[C@@H]31)C2 ZINC001109118766 763356230 /nfs/dbraw/zinc/35/62/30/763356230.db2.gz GGCFJLRKMXOMEC-KFBDQHHASA-N 1 2 317.433 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1[C@@H]3CCC[C@@H]31)C2 ZINC001109118766 763356240 /nfs/dbraw/zinc/35/62/40/763356240.db2.gz GGCFJLRKMXOMEC-KFBDQHHASA-N 1 2 317.433 1.056 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)c3cnsn3)C[C@H]21 ZINC001041955813 763511826 /nfs/dbraw/zinc/51/18/26/763511826.db2.gz WGMKBXNQAJDRGV-CMPLNLGQSA-N 1 2 312.826 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)c3cnsn3)C[C@H]21 ZINC001041955813 763511832 /nfs/dbraw/zinc/51/18/32/763511832.db2.gz WGMKBXNQAJDRGV-CMPLNLGQSA-N 1 2 312.826 1.827 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)(C)C)C2 ZINC001109259705 763525305 /nfs/dbraw/zinc/52/53/05/763525305.db2.gz OLRVRZNYYQPRMV-ILXRZTDVSA-N 1 2 317.433 1.060 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)(C)C)C2 ZINC001109259705 763525307 /nfs/dbraw/zinc/52/53/07/763525307.db2.gz OLRVRZNYYQPRMV-ILXRZTDVSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3[nH]nc4ccccc43)C[C@H]21 ZINC001042338809 763938763 /nfs/dbraw/zinc/93/87/63/763938763.db2.gz MEZZHBZRJPMUDR-XJKSGUPXSA-N 1 2 308.385 1.733 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3[nH]nc4ccccc43)C[C@H]21 ZINC001042338809 763938769 /nfs/dbraw/zinc/93/87/69/763938769.db2.gz MEZZHBZRJPMUDR-XJKSGUPXSA-N 1 2 308.385 1.733 20 30 DDEDLO C=C(Cl)CN1CC[C@H]2CCN(C(=O)Cn3cc[nH+]c3C)C[C@@H]21 ZINC001042355481 763956761 /nfs/dbraw/zinc/95/67/61/763956761.db2.gz MXMIVYLCMIEJAS-GJZGRUSLSA-N 1 2 322.840 1.867 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cnn(C)n1)C2 ZINC001109724649 763970884 /nfs/dbraw/zinc/97/08/84/763970884.db2.gz CEZXEHFEROXNTG-RDBSUJKOSA-N 1 2 323.828 1.222 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cnn(C)n1)C2 ZINC001109724649 763970892 /nfs/dbraw/zinc/97/08/92/763970892.db2.gz CEZXEHFEROXNTG-RDBSUJKOSA-N 1 2 323.828 1.222 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cscn3)c2C1 ZINC001069854426 768184390 /nfs/dbraw/zinc/18/43/90/768184390.db2.gz IMGWGNWJRKSXDK-UHFFFAOYSA-N 1 2 317.418 1.661 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cscn3)c2C1 ZINC001069854426 768184393 /nfs/dbraw/zinc/18/43/93/768184393.db2.gz IMGWGNWJRKSXDK-UHFFFAOYSA-N 1 2 317.418 1.661 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cc(C#N)c[nH]3)c2C1 ZINC001069856930 768188067 /nfs/dbraw/zinc/18/80/67/768188067.db2.gz RHLXNKRJHWRIEY-UHFFFAOYSA-N 1 2 324.388 1.405 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cc(C#N)c[nH]3)c2C1 ZINC001069856930 768188070 /nfs/dbraw/zinc/18/80/70/768188070.db2.gz RHLXNKRJHWRIEY-UHFFFAOYSA-N 1 2 324.388 1.405 20 30 DDEDLO N#Cc1ccc(NC[C@H](NC(=O)CCn2cc[nH+]c2)C2CC2)nc1 ZINC001109954180 764234476 /nfs/dbraw/zinc/23/44/76/764234476.db2.gz KCLXPICHDPYUPB-HNNXBMFYSA-N 1 2 324.388 1.547 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C2CC2)n1 ZINC001109991333 764270589 /nfs/dbraw/zinc/27/05/89/764270589.db2.gz GRWZCCNYOCRPIS-OAHLLOKOSA-N 1 2 324.388 1.534 20 30 DDEDLO C[C@@H]1CC[C@@H](C[NH+]2CC(N(C)C(=O)c3ccc(C#N)[nH]3)C2)O1 ZINC001042628718 764291332 /nfs/dbraw/zinc/29/13/32/764291332.db2.gz NYOUHSHVLJAFAC-RISCZKNCSA-N 1 2 302.378 1.210 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncc(OC)cc1F ZINC001050950573 764333993 /nfs/dbraw/zinc/33/39/93/764333993.db2.gz XLDHIWMZOOAKIT-GFCCVEGCSA-N 1 2 323.368 1.236 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncc(OC)cc1F ZINC001050950573 764333997 /nfs/dbraw/zinc/33/39/97/764333997.db2.gz XLDHIWMZOOAKIT-GFCCVEGCSA-N 1 2 323.368 1.236 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1COCC[N@H+]1CCCC ZINC001050957076 764346519 /nfs/dbraw/zinc/34/65/19/764346519.db2.gz ZFJNSOPSAMCECM-OAHLLOKOSA-N 1 2 305.422 1.905 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1COCC[N@@H+]1CCCC ZINC001050957076 764346522 /nfs/dbraw/zinc/34/65/22/764346522.db2.gz ZFJNSOPSAMCECM-OAHLLOKOSA-N 1 2 305.422 1.905 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnc2n1CCC2 ZINC001050960822 764354727 /nfs/dbraw/zinc/35/47/27/764354727.db2.gz NKRLCBFIOVFPBJ-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnc2n1CCC2 ZINC001050960822 764354731 /nfs/dbraw/zinc/35/47/31/764354731.db2.gz NKRLCBFIOVFPBJ-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncc(F)cc1F ZINC001050981737 764380755 /nfs/dbraw/zinc/38/07/55/764380755.db2.gz KQYRHFAOLZQORX-GFCCVEGCSA-N 1 2 311.332 1.367 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncc(F)cc1F ZINC001050981737 764380761 /nfs/dbraw/zinc/38/07/61/764380761.db2.gz KQYRHFAOLZQORX-GFCCVEGCSA-N 1 2 311.332 1.367 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCn2nccc21 ZINC001050989911 764387978 /nfs/dbraw/zinc/38/79/78/764387978.db2.gz YZPVGJKJCVAJFB-GJZGRUSLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCn2nccc21 ZINC001050989911 764387979 /nfs/dbraw/zinc/38/79/79/764387979.db2.gz YZPVGJKJCVAJFB-GJZGRUSLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001050993693 764393683 /nfs/dbraw/zinc/39/36/83/764393683.db2.gz OAUAQDRKZMCIAW-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001050993693 764393693 /nfs/dbraw/zinc/39/36/93/764393693.db2.gz OAUAQDRKZMCIAW-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccn(CC)c1C ZINC001051080595 764484097 /nfs/dbraw/zinc/48/40/97/764484097.db2.gz BPLZSQNXXBFGTK-HNNXBMFYSA-N 1 2 305.422 1.823 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccn(CC)c1C ZINC001051080595 764484105 /nfs/dbraw/zinc/48/41/05/764484105.db2.gz BPLZSQNXXBFGTK-HNNXBMFYSA-N 1 2 305.422 1.823 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1Cc2ccccc21 ZINC001051091895 764497989 /nfs/dbraw/zinc/49/79/89/764497989.db2.gz SQRRRFXCVAXXSS-DOTOQJQBSA-N 1 2 300.402 1.719 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1Cc2ccccc21 ZINC001051091895 764497998 /nfs/dbraw/zinc/49/79/98/764497998.db2.gz SQRRRFXCVAXXSS-DOTOQJQBSA-N 1 2 300.402 1.719 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@]2(C3CCCC3)CCNC2=O)C1 ZINC001042971073 764559370 /nfs/dbraw/zinc/55/93/70/764559370.db2.gz JBGGBALKWRDKMI-GOSISDBHSA-N 1 2 319.449 1.402 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CC)c1C ZINC001051183018 764588191 /nfs/dbraw/zinc/58/81/91/764588191.db2.gz HIJMPHIYSQZJGU-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CC)c1C ZINC001051183018 764588197 /nfs/dbraw/zinc/58/81/97/764588197.db2.gz HIJMPHIYSQZJGU-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1nnn(C(C)C)c1C ZINC001051184594 764591798 /nfs/dbraw/zinc/59/17/98/764591798.db2.gz GYWNALYZBPZCSX-CQSZACIVSA-N 1 2 321.425 1.174 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1nnn(C(C)C)c1C ZINC001051184594 764591801 /nfs/dbraw/zinc/59/18/01/764591801.db2.gz GYWNALYZBPZCSX-CQSZACIVSA-N 1 2 321.425 1.174 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(COC)cc1 ZINC001051238736 764660950 /nfs/dbraw/zinc/66/09/50/764660950.db2.gz WKKQFLWHKOLVHZ-KRWDZBQOSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(COC)cc1 ZINC001051238736 764660960 /nfs/dbraw/zinc/66/09/60/764660960.db2.gz WKKQFLWHKOLVHZ-KRWDZBQOSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(N(C)C)cn1 ZINC001051263183 764686469 /nfs/dbraw/zinc/68/64/69/764686469.db2.gz RCNLJRDUNOWARP-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(N(C)C)cn1 ZINC001051263183 764686472 /nfs/dbraw/zinc/68/64/72/764686472.db2.gz RCNLJRDUNOWARP-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001043164591 764731563 /nfs/dbraw/zinc/73/15/63/764731563.db2.gz WPNZZRKYHUWQJP-TZMCWYRMSA-N 1 2 324.388 1.568 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](CCOCC3CCC3)CC2)c[nH]1 ZINC001112772819 764737426 /nfs/dbraw/zinc/73/74/26/764737426.db2.gz NFQGVLJLXJRQFP-UHFFFAOYSA-N 1 2 316.405 1.461 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051323285 764745484 /nfs/dbraw/zinc/74/54/84/764745484.db2.gz OIHUSRVEYNBMBL-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2coc(-c3cccnc3)n2)C1 ZINC001043229242 764779399 /nfs/dbraw/zinc/77/93/99/764779399.db2.gz DAOPDYKKBKRXER-UHFFFAOYSA-N 1 2 310.357 1.516 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(CNC(C)=O)cc2)C1 ZINC001043245007 764789191 /nfs/dbraw/zinc/78/91/91/764789191.db2.gz KCFPLNSJLSOWKF-UHFFFAOYSA-N 1 2 315.417 1.655 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001112837216 764846682 /nfs/dbraw/zinc/84/66/82/764846682.db2.gz XVMMBHMEJBLNCG-MRXNPFEDSA-N 1 2 322.449 1.376 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2CCc3cc(C)ccc3O2)C1 ZINC001043344610 764847127 /nfs/dbraw/zinc/84/71/27/764847127.db2.gz QXFWOTPNCLQZSF-SFHVURJKSA-N 1 2 312.413 1.855 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C3CC3)n(C)n2)CC1 ZINC001112927485 764979541 /nfs/dbraw/zinc/97/95/41/764979541.db2.gz KWBSXLFJFBGQQU-UHFFFAOYSA-N 1 2 318.421 1.258 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cn1)[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001112993507 765103147 /nfs/dbraw/zinc/10/31/47/765103147.db2.gz IUMUSTNFVHGSJN-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnccc2C(F)(F)F)C1 ZINC001043974549 765197393 /nfs/dbraw/zinc/19/73/93/765197393.db2.gz HIIOQDYXLJAQRP-UHFFFAOYSA-N 1 2 311.307 1.880 20 30 DDEDLO C[C@H](NC(=O)Cn1cc[nH+]c1)[C@H](C)Nc1cccc(F)c1C#N ZINC001113082257 765235398 /nfs/dbraw/zinc/23/53/98/765235398.db2.gz PWJPUFAWFNIFGL-RYUDHWBXSA-N 1 2 315.352 1.899 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC001051974378 765334184 /nfs/dbraw/zinc/33/41/84/765334184.db2.gz GACLRKNMBHBCLW-GOSISDBHSA-N 1 2 317.477 1.809 20 30 DDEDLO C[C@H](NC(=O)Cc1[nH]cc[nH+]1)[C@H](C)Nc1cccc(F)c1C#N ZINC001113155025 765344860 /nfs/dbraw/zinc/34/48/60/765344860.db2.gz LYAPIBLJTMDRAD-QWRGUYRKSA-N 1 2 315.352 1.968 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)CCC3CCC3)C2)CC1 ZINC001052007134 765376183 /nfs/dbraw/zinc/37/61/83/765376183.db2.gz WMRALTQCZDQSNK-GOSISDBHSA-N 1 2 317.477 1.809 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(C(=O)N(C)C)cc2)C1 ZINC001044198679 765387547 /nfs/dbraw/zinc/38/75/47/765387547.db2.gz XDZKOIBYXULPRH-UHFFFAOYSA-N 1 2 313.401 1.168 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccccc2C(=O)N(C)C)C1 ZINC001044198835 765388742 /nfs/dbraw/zinc/38/87/42/765388742.db2.gz ZTNZLBZEOXHGQQ-UHFFFAOYSA-N 1 2 315.417 1.721 20 30 DDEDLO Cc1sccc1C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052024904 765400139 /nfs/dbraw/zinc/40/01/39/765400139.db2.gz QCYHHMNWFQGVMV-CQSZACIVSA-N 1 2 318.446 1.412 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cccnc3C)C2)CC1 ZINC001052073987 765445258 /nfs/dbraw/zinc/44/52/58/765445258.db2.gz RASWMJBPZOXSKC-MRXNPFEDSA-N 1 2 314.433 1.408 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)(C)C(F)F)C2)CC1 ZINC001052082114 765456562 /nfs/dbraw/zinc/45/65/62/765456562.db2.gz QLSPHDMTBRDDDF-CYBMUJFWSA-N 1 2 313.392 1.129 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3CC34CC4)C2)CC1 ZINC001052091308 765462984 /nfs/dbraw/zinc/46/29/84/765462984.db2.gz FBIYSLBRYPALRT-HZPDHXFCSA-N 1 2 303.450 1.581 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2csc3nccn23)C1 ZINC001044301297 765466541 /nfs/dbraw/zinc/46/65/41/765466541.db2.gz JHVGKIDGQWFACV-UHFFFAOYSA-N 1 2 304.419 1.657 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc3c(c2)[nH]c(=O)n3C)C1 ZINC001044342691 765496102 /nfs/dbraw/zinc/49/61/02/765496102.db2.gz DCKPCKBPASYGGA-UHFFFAOYSA-N 1 2 312.373 1.058 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn(CC3CC3)c2)CC1 ZINC001113502972 765773579 /nfs/dbraw/zinc/77/35/79/765773579.db2.gz YTKFKRXVLFJXQG-UHFFFAOYSA-N 1 2 318.421 1.254 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cn(C(C)C)nc2C)CC1 ZINC001113529172 765814316 /nfs/dbraw/zinc/81/43/16/765814316.db2.gz GULZCBGZOJYWQR-UHFFFAOYSA-N 1 2 320.437 1.733 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113529792 765814926 /nfs/dbraw/zinc/81/49/26/765814926.db2.gz AZDHHSZSKQRUFX-QWHCGFSZSA-N 1 2 306.410 1.707 20 30 DDEDLO C=CCOCC(=O)NC[C@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113541164 765840497 /nfs/dbraw/zinc/84/04/97/765840497.db2.gz MFTTWCNBFVGQQZ-NSHDSACASA-N 1 2 306.410 1.545 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CC2CCCC2)C[N@@H+]1CC(=O)NCC#N ZINC001131767466 768333558 /nfs/dbraw/zinc/33/35/58/768333558.db2.gz AMBZXAJXXDBWCW-DZGCQCFKSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CC2CCCC2)C[N@H+]1CC(=O)NCC#N ZINC001131767466 768333564 /nfs/dbraw/zinc/33/35/64/768333564.db2.gz AMBZXAJXXDBWCW-DZGCQCFKSA-N 1 2 320.437 1.176 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2cccs2)CC[C@@H]1C ZINC001131773076 768342528 /nfs/dbraw/zinc/34/25/28/768342528.db2.gz XRVAGPROUADLKL-QWHCGFSZSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2cccs2)CC[C@@H]1C ZINC001131773076 768342533 /nfs/dbraw/zinc/34/25/33/768342533.db2.gz XRVAGPROUADLKL-QWHCGFSZSA-N 1 2 319.430 1.080 20 30 DDEDLO N#Cc1ccc(N2CCC(NC(=O)Cc3c[nH]c[nH+]3)CC2)cn1 ZINC001057959745 766158833 /nfs/dbraw/zinc/15/88/33/766158833.db2.gz VNWNUTTUYNHBRG-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1cc(C)on1 ZINC001157702257 766184913 /nfs/dbraw/zinc/18/49/13/766184913.db2.gz QDLKPXZHXKXQTI-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1cc(C)on1 ZINC001157702257 766184917 /nfs/dbraw/zinc/18/49/17/766184917.db2.gz QDLKPXZHXKXQTI-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccoc2)CC[C@H]1C ZINC001131788322 768357213 /nfs/dbraw/zinc/35/72/13/768357213.db2.gz RKYXKSRBZKFXOG-OCCSQVGLSA-N 1 2 305.378 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccoc2)CC[C@H]1C ZINC001131788322 768357220 /nfs/dbraw/zinc/35/72/20/768357220.db2.gz RKYXKSRBZKFXOG-OCCSQVGLSA-N 1 2 305.378 1.165 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)C1CCN(c2ncccc2C#N)CC1 ZINC001058089766 766215275 /nfs/dbraw/zinc/21/52/75/766215275.db2.gz SYLSGUKQCIEPSY-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(C(=O)CC1CC1)[C@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047275239 768359556 /nfs/dbraw/zinc/35/95/56/768359556.db2.gz AXOUXJVNBJBOFB-GJZGRUSLSA-N 1 2 319.430 1.423 20 30 DDEDLO CN(C(=O)CC1CC1)[C@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047275239 768359562 /nfs/dbraw/zinc/35/95/62/768359562.db2.gz AXOUXJVNBJBOFB-GJZGRUSLSA-N 1 2 319.430 1.423 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCCN(c3cc[nH+]c(C)n3)C2)nc1 ZINC001058179225 766290467 /nfs/dbraw/zinc/29/04/67/766290467.db2.gz SGZTUGNNNWKZQI-HNNXBMFYSA-N 1 2 321.384 1.560 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ncccc1C#N ZINC001067550834 766611217 /nfs/dbraw/zinc/61/12/17/766611217.db2.gz YLWFUAAGOYTABB-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1nncn1C ZINC001045826685 766617385 /nfs/dbraw/zinc/61/73/85/766617385.db2.gz CYELQMWGBYUXBD-KGLIPLIRSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1nncn1C ZINC001045826685 766617392 /nfs/dbraw/zinc/61/73/92/766617392.db2.gz CYELQMWGBYUXBD-KGLIPLIRSA-N 1 2 317.437 1.593 20 30 DDEDLO CC(C)(CC(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C1CC1 ZINC001046029638 766836528 /nfs/dbraw/zinc/83/65/28/766836528.db2.gz YJSSEKOBNOQMPJ-INIZCTEOSA-N 1 2 318.465 1.555 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)CC1CCCC1 ZINC001121611107 782590547 /nfs/dbraw/zinc/59/05/47/782590547.db2.gz XBIUCGJCFUIZOS-LSDHHAIUSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)CC1CCCC1 ZINC001121611107 782590556 /nfs/dbraw/zinc/59/05/56/782590556.db2.gz XBIUCGJCFUIZOS-LSDHHAIUSA-N 1 2 319.453 1.828 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@H](C)N(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001068302127 767078030 /nfs/dbraw/zinc/07/80/30/767078030.db2.gz QQALICMDDNQKOX-WCQYABFASA-N 1 2 324.388 1.948 20 30 DDEDLO C[C@]1(NC(=O)c2cocn2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046193156 767098448 /nfs/dbraw/zinc/09/84/48/767098448.db2.gz BHJMCJQGCRLGTJ-KRWDZBQOSA-N 1 2 310.357 1.941 20 30 DDEDLO C[C@]1(NC(=O)c2cocn2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046193156 767098458 /nfs/dbraw/zinc/09/84/58/767098458.db2.gz BHJMCJQGCRLGTJ-KRWDZBQOSA-N 1 2 310.357 1.941 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cn(C)nc2CC)C1 ZINC001046399950 767512190 /nfs/dbraw/zinc/51/21/90/767512190.db2.gz FBGSQRGOFNTTLN-OAHLLOKOSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cn(C)nc2CC)C1 ZINC001046399950 767512194 /nfs/dbraw/zinc/51/21/94/767512194.db2.gz FBGSQRGOFNTTLN-OAHLLOKOSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001046416878 767535797 /nfs/dbraw/zinc/53/57/97/767535797.db2.gz DXTRIJCQPAASDY-HNNXBMFYSA-N 1 2 324.812 1.333 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001046416878 767535802 /nfs/dbraw/zinc/53/58/02/767535802.db2.gz DXTRIJCQPAASDY-HNNXBMFYSA-N 1 2 324.812 1.333 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001046430390 767553174 /nfs/dbraw/zinc/55/31/74/767553174.db2.gz AOWUZWZWJLQDJV-XJKSGUPXSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001046430390 767553184 /nfs/dbraw/zinc/55/31/84/767553184.db2.gz AOWUZWZWJLQDJV-XJKSGUPXSA-N 1 2 322.840 1.779 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130964411 767826083 /nfs/dbraw/zinc/82/60/83/767826083.db2.gz JSBNBDJCPCUNRP-HUUCEWRRSA-N 1 2 313.405 1.021 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccsc2)CC[C@H]1C ZINC001131798253 768376120 /nfs/dbraw/zinc/37/61/20/768376120.db2.gz SPEHEZFXOOTWTB-TZMCWYRMSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccsc2)CC[C@H]1C ZINC001131798253 768376126 /nfs/dbraw/zinc/37/61/26/768376126.db2.gz SPEHEZFXOOTWTB-TZMCWYRMSA-N 1 2 319.430 1.080 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)C#CC3CC3)C2)c(C)c(C)[nH+]1 ZINC001096178543 768403239 /nfs/dbraw/zinc/40/32/39/768403239.db2.gz FLDDXBCEJCCUJE-INIZCTEOSA-N 1 2 312.417 1.900 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)[C@H]1C[N@H+](C/C=C/Cl)C[C@@H]1O ZINC001047408911 768458543 /nfs/dbraw/zinc/45/85/43/768458543.db2.gz IYTLWGYBJHLKGI-CJKAQPIMSA-N 1 2 323.824 1.544 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)[C@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1O ZINC001047408911 768458546 /nfs/dbraw/zinc/45/85/46/768458546.db2.gz IYTLWGYBJHLKGI-CJKAQPIMSA-N 1 2 323.824 1.544 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)COCCOC)CC[C@@H]1C ZINC001131907831 768470556 /nfs/dbraw/zinc/47/05/56/768470556.db2.gz DSBKDLQMPMGTPZ-STQMWFEESA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)COCCOC)CC[C@@H]1C ZINC001131907831 768470561 /nfs/dbraw/zinc/47/05/61/768470561.db2.gz DSBKDLQMPMGTPZ-STQMWFEESA-N 1 2 304.818 1.371 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)C(=O)C(C)(C)C)CC[C@H]1C ZINC001131934238 768483723 /nfs/dbraw/zinc/48/37/23/768483723.db2.gz GIVLLAVROPOYCM-ZIAGYGMSSA-N 1 2 308.422 1.221 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)C(=O)C(C)(C)C)CC[C@H]1C ZINC001131934238 768483727 /nfs/dbraw/zinc/48/37/27/768483727.db2.gz GIVLLAVROPOYCM-ZIAGYGMSSA-N 1 2 308.422 1.221 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001070675112 768662087 /nfs/dbraw/zinc/66/20/87/768662087.db2.gz OTQCRYDKKDGMPP-CQSZACIVSA-N 1 2 316.405 1.109 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nn(C)cc2Cl)cc1 ZINC001132222924 768694922 /nfs/dbraw/zinc/69/49/22/768694922.db2.gz ONBPJFOVEVBGIP-UHFFFAOYSA-N 1 2 316.792 1.574 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(C)(C)CC=C)CC[C@H]1C ZINC001132330107 768757125 /nfs/dbraw/zinc/75/71/25/768757125.db2.gz AYWHRDIFIIOTGF-CABCVRRESA-N 1 2 319.449 1.307 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)CC=C)CC[C@H]1C ZINC001132330107 768757127 /nfs/dbraw/zinc/75/71/27/768757127.db2.gz AYWHRDIFIIOTGF-CABCVRRESA-N 1 2 319.449 1.307 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(C)(CC)CC)CC[C@H]1C ZINC001132493575 768926564 /nfs/dbraw/zinc/92/65/64/768926564.db2.gz MGPOMFOTHQTKRR-CABCVRRESA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(C)(CC)CC)CC[C@H]1C ZINC001132493575 768926568 /nfs/dbraw/zinc/92/65/68/768926568.db2.gz MGPOMFOTHQTKRR-CABCVRRESA-N 1 2 321.465 1.531 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001132568693 768990674 /nfs/dbraw/zinc/99/06/74/768990674.db2.gz CCFRBSYLICBEKC-NWDGAFQWSA-N 1 2 318.377 1.994 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001132662530 769073908 /nfs/dbraw/zinc/07/39/08/769073908.db2.gz FHJJTRSXCSDDMP-QWHCGFSZSA-N 1 2 324.425 1.975 20 30 DDEDLO C=CCCC1(C(=O)NCC[NH2+][C@@H](C)c2nc(COC)no2)CC1 ZINC001133374582 769757267 /nfs/dbraw/zinc/75/72/67/769757267.db2.gz YGEXGFMDQLMOMW-LBPRGKRZSA-N 1 2 322.409 1.729 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001071778279 770052423 /nfs/dbraw/zinc/05/24/23/770052423.db2.gz RNMIIHZGZLAROD-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001071989617 770396356 /nfs/dbraw/zinc/39/63/56/770396356.db2.gz XSXSVTXDPXGAKH-UONOGXRCSA-N 1 2 316.405 1.109 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001096492516 770473584 /nfs/dbraw/zinc/47/35/84/770473584.db2.gz VZJDWLNDIJESPF-OCCSQVGLSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001096492516 770473589 /nfs/dbraw/zinc/47/35/89/770473589.db2.gz VZJDWLNDIJESPF-OCCSQVGLSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C[C@@H]1C ZINC001072429526 770881941 /nfs/dbraw/zinc/88/19/41/770881941.db2.gz HLZOCKPWMLJONJ-STQMWFEESA-N 1 2 318.421 1.617 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049472633 770900149 /nfs/dbraw/zinc/90/01/49/770900149.db2.gz UTAKDKKSSYSEJE-JKSUJKDBSA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049472633 770900157 /nfs/dbraw/zinc/90/01/57/770900157.db2.gz UTAKDKKSSYSEJE-JKSUJKDBSA-N 1 2 313.401 1.962 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049494940 770927032 /nfs/dbraw/zinc/92/70/32/770927032.db2.gz MBDRDGFOJFPIFC-HOTGVXAUSA-N 1 2 323.400 1.660 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049494940 770927039 /nfs/dbraw/zinc/92/70/39/770927039.db2.gz MBDRDGFOJFPIFC-HOTGVXAUSA-N 1 2 323.400 1.660 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001134428727 771009130 /nfs/dbraw/zinc/00/91/30/771009130.db2.gz ZAIRJDZNDGFLFU-UHFFFAOYSA-N 1 2 312.439 1.745 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1n[nH]cc1F ZINC001049698034 771094381 /nfs/dbraw/zinc/09/43/81/771094381.db2.gz BCUYLCYIEHOBMC-VXGBXAGGSA-N 1 2 312.776 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1n[nH]cc1F ZINC001049698034 771094384 /nfs/dbraw/zinc/09/43/84/771094384.db2.gz BCUYLCYIEHOBMC-VXGBXAGGSA-N 1 2 312.776 1.980 20 30 DDEDLO C=CCCC(=O)NC[C@@H](Nc1[nH+]cnc2c1cnn2C)C1CC1 ZINC001096593386 771294641 /nfs/dbraw/zinc/29/46/41/771294641.db2.gz GPCTVOZFGYSACX-CYBMUJFWSA-N 1 2 314.393 1.636 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+][C@H](C)c1csnn1 ZINC001135065118 771303621 /nfs/dbraw/zinc/30/36/21/771303621.db2.gz VNZKPDQLRANADF-DYEKYZERSA-N 1 2 324.450 1.676 20 30 DDEDLO N#Cc1cccnc1N[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001096820506 771467805 /nfs/dbraw/zinc/46/78/05/771467805.db2.gz ULFBWJCSVPBXIN-CQSZACIVSA-N 1 2 310.361 1.226 20 30 DDEDLO N#Cc1ccc(N[C@H](CNC(=O)CCc2c[nH]c[nH+]2)C2CC2)cn1 ZINC001096840509 771479845 /nfs/dbraw/zinc/47/98/45/771479845.db2.gz XYTUHTLYXSXAAZ-MRXNPFEDSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(N[C@H](CNC(=O)CCc2c[nH+]c[nH]2)C2CC2)cn1 ZINC001096840509 771479848 /nfs/dbraw/zinc/47/98/48/771479848.db2.gz XYTUHTLYXSXAAZ-MRXNPFEDSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cncnc1)C2 ZINC001097012103 771575407 /nfs/dbraw/zinc/57/54/07/771575407.db2.gz JIHRYWVERUTGSG-MCIONIFRSA-N 1 2 306.797 1.493 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cncnc1)C2 ZINC001097012103 771575410 /nfs/dbraw/zinc/57/54/10/771575410.db2.gz JIHRYWVERUTGSG-MCIONIFRSA-N 1 2 306.797 1.493 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nnc(C)o1)C2 ZINC001097035346 771589128 /nfs/dbraw/zinc/58/91/28/771589128.db2.gz SWLQLUJQQUZUJJ-UTUOFQBUSA-N 1 2 310.785 1.395 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nnc(C)o1)C2 ZINC001097035346 771589132 /nfs/dbraw/zinc/58/91/32/771589132.db2.gz SWLQLUJQQUZUJJ-UTUOFQBUSA-N 1 2 310.785 1.395 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+]([C@H](C)Cc2cccc(C#N)c2)CC1 ZINC001171227843 772641195 /nfs/dbraw/zinc/64/11/95/772641195.db2.gz AZQTYLPTPQUIEQ-CQSZACIVSA-N 1 2 321.446 1.457 20 30 DDEDLO COc1cc(SC)c(NC(=[NH2+])c2ccc(C(N)=O)cc2)cn1 ZINC001171258648 772753123 /nfs/dbraw/zinc/75/31/23/772753123.db2.gz IIZUZPJVHKVEJP-UHFFFAOYSA-N 1 2 316.386 1.948 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2[nH]cnc2C)C1 ZINC001073914335 773519608 /nfs/dbraw/zinc/51/96/08/773519608.db2.gz OZRXBXIGZYALSW-LBPRGKRZSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2[nH]cnc2C)C1 ZINC001073914335 773519613 /nfs/dbraw/zinc/51/96/13/773519613.db2.gz OZRXBXIGZYALSW-LBPRGKRZSA-N 1 2 312.801 1.291 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)[C@H](C)C1 ZINC001074080321 773619410 /nfs/dbraw/zinc/61/94/10/773619410.db2.gz QQIDDSUDVADLTN-UKRRQHHQSA-N 1 2 318.421 1.282 20 30 DDEDLO C[C@H]1CC2(CN1C(=O)Cc1c[nH+]c[nH]1)CCN(CC#N)CC2 ZINC001086945862 773733690 /nfs/dbraw/zinc/73/36/90/773733690.db2.gz KQHZDTMQDDVJEB-ZDUSSCGKSA-N 1 2 301.394 1.179 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3csnc3C)C[C@@H]21 ZINC001074215418 773737947 /nfs/dbraw/zinc/73/79/47/773737947.db2.gz HWISYEJSRYDMDS-LSDHHAIUSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3csnc3C)C[C@@H]21 ZINC001074215418 773737951 /nfs/dbraw/zinc/73/79/51/773737951.db2.gz HWISYEJSRYDMDS-LSDHHAIUSA-N 1 2 321.446 1.943 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3coc(C)c3)C[C@@H]21 ZINC001074226592 773750881 /nfs/dbraw/zinc/75/08/81/773750881.db2.gz SQHASEPHIBVKMF-HOTGVXAUSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3coc(C)c3)C[C@@H]21 ZINC001074226592 773750886 /nfs/dbraw/zinc/75/08/86/773750886.db2.gz SQHASEPHIBVKMF-HOTGVXAUSA-N 1 2 302.374 1.527 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccn(C)c3C)C[C@@H]21 ZINC001074335807 773832915 /nfs/dbraw/zinc/83/29/15/773832915.db2.gz FGNQHDFKOLMJQC-IRXDYDNUSA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccn(C)c3C)C[C@@H]21 ZINC001074335807 773832921 /nfs/dbraw/zinc/83/29/21/773832921.db2.gz FGNQHDFKOLMJQC-IRXDYDNUSA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccn(C)c3C)C[C@@H]21 ZINC001074335805 773833363 /nfs/dbraw/zinc/83/33/63/773833363.db2.gz FGNQHDFKOLMJQC-DLBZAZTESA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccn(C)c3C)C[C@@H]21 ZINC001074335805 773833365 /nfs/dbraw/zinc/83/33/65/773833365.db2.gz FGNQHDFKOLMJQC-DLBZAZTESA-N 1 2 317.433 1.825 20 30 DDEDLO CCc1nc(N2CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]2C)cc(C)[nH+]1 ZINC001091945490 773886461 /nfs/dbraw/zinc/88/64/61/773886461.db2.gz QSFVMBRZFMFYOO-UHTWSYAYSA-N 1 2 301.394 1.591 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3[nH]cnc3C)C[C@H]21 ZINC001074419650 773911811 /nfs/dbraw/zinc/91/18/11/773911811.db2.gz PAJRQNUBVKAGKS-KGLIPLIRSA-N 1 2 304.394 1.210 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3[nH]cnc3C)C[C@H]21 ZINC001074419650 773911816 /nfs/dbraw/zinc/91/18/16/773911816.db2.gz PAJRQNUBVKAGKS-KGLIPLIRSA-N 1 2 304.394 1.210 20 30 DDEDLO N#Cc1cccnc1NC1CC(CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001092269077 774036832 /nfs/dbraw/zinc/03/68/32/774036832.db2.gz IBHFCPILPMOZHG-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cccnc1NC1CC(CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001092269077 774036839 /nfs/dbraw/zinc/03/68/39/774036839.db2.gz IBHFCPILPMOZHG-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H]1C=CCC1)c1nccn12 ZINC001092347716 774066411 /nfs/dbraw/zinc/06/64/11/774066411.db2.gz DLZRYPMLKVJXCU-JKSUJKDBSA-N 1 2 324.428 1.835 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1=CCCC1)c1nccn12 ZINC001092364215 774077656 /nfs/dbraw/zinc/07/76/56/774077656.db2.gz ZSAIILPWRJWOJV-OAHLLOKOSA-N 1 2 310.401 1.589 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)(C)F)c1nccn12 ZINC001092339263 774089640 /nfs/dbraw/zinc/08/96/40/774089640.db2.gz UVHIFGGUPSRZLW-GFCCVEGCSA-N 1 2 304.369 1.226 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1C=CC=CC=C1)c1nccn12 ZINC001092371901 774107471 /nfs/dbraw/zinc/10/74/71/774107471.db2.gz CYJAMYVGTAESOE-MRXNPFEDSA-N 1 2 322.412 1.939 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccc[nH]1)c1nccn12 ZINC001092413333 774124747 /nfs/dbraw/zinc/12/47/47/774124747.db2.gz RFACMSUVTJQTNG-CQSZACIVSA-N 1 2 311.389 1.212 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074884667 774215646 /nfs/dbraw/zinc/21/56/46/774215646.db2.gz UKGLOMNFFZCFSI-QWHCGFSZSA-N 1 2 304.394 1.414 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001074977342 774280714 /nfs/dbraw/zinc/28/07/14/774280714.db2.gz MMZUXMPYRIWLIB-KGLIPLIRSA-N 1 2 316.405 1.107 20 30 DDEDLO C[C@@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1ccc(C#N)nn1 ZINC001098303488 774377436 /nfs/dbraw/zinc/37/74/36/774377436.db2.gz UIZMLQRDLPTOKW-RYUDHWBXSA-N 1 2 313.365 1.113 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)Cn3cccn3)CC2)C1 ZINC001093507291 774751616 /nfs/dbraw/zinc/75/16/16/774751616.db2.gz VKPJVHPLFYPACQ-UHFFFAOYSA-N 1 2 318.421 1.151 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cnsn3)CC2)C1 ZINC001093511643 774759308 /nfs/dbraw/zinc/75/93/08/774759308.db2.gz BFRMQECLEANUTR-UHFFFAOYSA-N 1 2 308.407 1.030 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cnsn3)CC2)C1 ZINC001093512798 774761315 /nfs/dbraw/zinc/76/13/15/774761315.db2.gz VZHXBYDYDGRXBG-UHFFFAOYSA-N 1 2 322.434 1.420 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cc(C)no3)CC2)C1 ZINC001093504990 774796215 /nfs/dbraw/zinc/79/62/15/774796215.db2.gz AEEGPHVAJSKNCY-UHFFFAOYSA-N 1 2 319.405 1.865 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H]3C[C@@H](C)CO3)CC2)C1 ZINC001093553980 774799703 /nfs/dbraw/zinc/79/97/03/774799703.db2.gz ZURSJTOJBWPBBP-PBHICJAKSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCO[C@H]3C)CC2)C1 ZINC001093588527 774870566 /nfs/dbraw/zinc/87/05/66/774870566.db2.gz PMOWAFVIAJDUMR-XJKSGUPXSA-N 1 2 308.422 1.289 20 30 DDEDLO C#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)CCC)c2)nn1 ZINC001099600652 775059483 /nfs/dbraw/zinc/05/94/83/775059483.db2.gz WVJDSESNXDDLDY-UHFFFAOYSA-N 1 2 311.389 1.406 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCNc1ncc(C#N)cc1Cl ZINC001093776525 775101335 /nfs/dbraw/zinc/10/13/35/775101335.db2.gz IDWUYTUPBCQGGJ-UHFFFAOYSA-N 1 2 318.768 1.409 20 30 DDEDLO CCCCC(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099686735 775140778 /nfs/dbraw/zinc/14/07/78/775140778.db2.gz JURZRKWPYKHXOD-IRXDYDNUSA-N 1 2 315.417 1.800 20 30 DDEDLO CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099686735 775140786 /nfs/dbraw/zinc/14/07/86/775140786.db2.gz JURZRKWPYKHXOD-IRXDYDNUSA-N 1 2 315.417 1.800 20 30 DDEDLO Cc1nc(N[C@@H](C)CCNC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001099714098 775172906 /nfs/dbraw/zinc/17/29/06/775172906.db2.gz ZIPIBQOZOHAIOV-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099946892 775457720 /nfs/dbraw/zinc/45/77/20/775457720.db2.gz NECSNOLCXFSZLC-KGLIPLIRSA-N 1 2 323.462 1.797 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099946892 775457725 /nfs/dbraw/zinc/45/77/25/775457725.db2.gz NECSNOLCXFSZLC-KGLIPLIRSA-N 1 2 323.462 1.797 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001099946859 775458250 /nfs/dbraw/zinc/45/82/50/775458250.db2.gz MYFFCJPLGOLDJR-DZGCQCFKSA-N 1 2 321.421 1.637 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001099946859 775458255 /nfs/dbraw/zinc/45/82/55/775458255.db2.gz MYFFCJPLGOLDJR-DZGCQCFKSA-N 1 2 321.421 1.637 20 30 DDEDLO Cc1nc(NCCNC(=O)C[C@H](C)n2cc[nH+]c2)ccc1C#N ZINC001094167679 775510606 /nfs/dbraw/zinc/51/06/06/775510606.db2.gz JQCJJPGZTUSTLG-LBPRGKRZSA-N 1 2 312.377 1.638 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3scnc3C)nn2)C1 ZINC001094277706 775629388 /nfs/dbraw/zinc/62/93/88/775629388.db2.gz UUJBPLPDOPICMT-UHFFFAOYSA-N 1 2 318.406 1.016 20 30 DDEDLO Cc1nsc(NCCCNC(=O)CCc2[nH]cc[nH+]2)c1C#N ZINC001094406798 775867127 /nfs/dbraw/zinc/86/71/27/775867127.db2.gz NPLURXPNEHHKCA-UHFFFAOYSA-N 1 2 318.406 1.597 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CC3CCC3)CC2=O)C1 ZINC001094716840 776184440 /nfs/dbraw/zinc/18/44/40/776184440.db2.gz CXUPBKKEYDWDDR-CQSZACIVSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCCC(=O)NCCN(CC)c1ncnc2c1C[N@H+](C)CC2 ZINC001100464172 776191751 /nfs/dbraw/zinc/19/17/51/776191751.db2.gz MCMXCVSBGDEFCO-UHFFFAOYSA-N 1 2 317.437 1.373 20 30 DDEDLO C=CCCC(=O)NCCN(CC)c1ncnc2c1C[N@@H+](C)CC2 ZINC001100464172 776191753 /nfs/dbraw/zinc/19/17/53/776191753.db2.gz MCMXCVSBGDEFCO-UHFFFAOYSA-N 1 2 317.437 1.373 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CC3CC(C)(C)C3)CC2=O)C1 ZINC001094778110 776267786 /nfs/dbraw/zinc/26/77/86/776267786.db2.gz JERHTOWAWCRJGV-AWEZNQCLSA-N 1 2 319.449 1.400 20 30 DDEDLO N#Cc1cccnc1NCCCNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001094781755 776272569 /nfs/dbraw/zinc/27/25/69/776272569.db2.gz WFKROFRFWRHZMM-CYBMUJFWSA-N 1 2 324.388 1.715 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3occc3CC)CC2=O)C1 ZINC001094782143 776273148 /nfs/dbraw/zinc/27/31/48/776273148.db2.gz LFUAXAOLAUOXPZ-CYBMUJFWSA-N 1 2 317.389 1.043 20 30 DDEDLO N#Cc1sc(NCCCNC(=O)Cc2c[nH]c[nH+]2)nc1Cl ZINC001094809108 776308915 /nfs/dbraw/zinc/30/89/15/776308915.db2.gz XXTUMZZEMGLPIN-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCCCNc1ccc(C#N)cn1 ZINC001094827329 776382551 /nfs/dbraw/zinc/38/25/51/776382551.db2.gz CWFAVSZBSCVDBL-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCCCNc1ccc(C#N)cn1 ZINC001094827329 776382557 /nfs/dbraw/zinc/38/25/57/776382557.db2.gz CWFAVSZBSCVDBL-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NCCCNc1ccc(C#N)cn1 ZINC001094907096 776781790 /nfs/dbraw/zinc/78/17/90/776781790.db2.gz AUMZTXQWZSLDKJ-UHFFFAOYSA-N 1 2 312.377 1.238 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001101005914 776816444 /nfs/dbraw/zinc/81/64/44/776816444.db2.gz SBYPIZYLIAXZCQ-CYBMUJFWSA-N 1 2 324.388 1.170 20 30 DDEDLO C=CCCOCC(=O)NCC1CC([NH2+]Cc2noc(CC)n2)C1 ZINC001101053285 776862149 /nfs/dbraw/zinc/86/21/49/776862149.db2.gz PTZDOQWQVADVFZ-UHFFFAOYSA-N 1 2 322.409 1.209 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@@]2(C)C1 ZINC001101217196 776993634 /nfs/dbraw/zinc/99/36/34/776993634.db2.gz SRWMAEQEEXNRJW-PBHICJAKSA-N 1 2 316.405 1.462 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@@]2(C)C1 ZINC001101217196 776993643 /nfs/dbraw/zinc/99/36/43/776993643.db2.gz SRWMAEQEEXNRJW-PBHICJAKSA-N 1 2 316.405 1.462 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001095038269 777015948 /nfs/dbraw/zinc/01/59/48/777015948.db2.gz ZAAZPAUJVHQLRY-GFCCVEGCSA-N 1 2 312.377 1.180 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001095046929 777032701 /nfs/dbraw/zinc/03/27/01/777032701.db2.gz NNHVRUMFXURMBJ-OAHLLOKOSA-N 1 2 324.388 1.643 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001095226718 777265109 /nfs/dbraw/zinc/26/51/09/777265109.db2.gz WWRTYXGKVCGATR-MRXNPFEDSA-N 1 2 304.394 1.515 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H](C)OC)c1nccn12 ZINC001101616434 777307751 /nfs/dbraw/zinc/30/77/51/777307751.db2.gz AOJIOHSIJZSESY-STQMWFEESA-N 1 2 304.394 1.066 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](CC)SC)c1nccn12 ZINC001101620686 777314105 /nfs/dbraw/zinc/31/41/05/777314105.db2.gz QEURSKRCDWAWAP-QWHCGFSZSA-N 1 2 320.462 1.783 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001102429871 778133489 /nfs/dbraw/zinc/13/34/89/778133489.db2.gz XDWIAXYOQYIVLS-CYBMUJFWSA-N 1 2 319.434 1.327 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)COCC3CC3)C[C@@H]21 ZINC001176925940 778315797 /nfs/dbraw/zinc/31/57/97/778315797.db2.gz ZTHBVLOCXCHOEQ-JKSUJKDBSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)COCC3CC3)C[C@@H]21 ZINC001176925940 778315803 /nfs/dbraw/zinc/31/58/03/778315803.db2.gz ZTHBVLOCXCHOEQ-JKSUJKDBSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CCOC)CC3)C[C@@H]21 ZINC001177029679 778400082 /nfs/dbraw/zinc/40/00/82/778400082.db2.gz HURNPLGYQHRNSN-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CCOC)CC3)C[C@@H]21 ZINC001177029679 778400085 /nfs/dbraw/zinc/40/00/85/778400085.db2.gz HURNPLGYQHRNSN-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC)[C@H]2C1 ZINC001177100597 778446535 /nfs/dbraw/zinc/44/65/35/778446535.db2.gz GQFBAASZGPEENQ-HOTGVXAUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCOCC)[C@H]2C1 ZINC001177100597 778446537 /nfs/dbraw/zinc/44/65/37/778446537.db2.gz GQFBAASZGPEENQ-HOTGVXAUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CCOC)[C@H]3C2)CC1 ZINC001177102382 778446862 /nfs/dbraw/zinc/44/68/62/778446862.db2.gz UXEDUNREWXNTKZ-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CCOC)[C@H]3C2)CC1 ZINC001177102382 778446866 /nfs/dbraw/zinc/44/68/66/778446866.db2.gz UXEDUNREWXNTKZ-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCC[NH+]1CC(O)(CN(Cc2ccccc2)C(=O)C(F)F)C1 ZINC001177496009 778621504 /nfs/dbraw/zinc/62/15/04/778621504.db2.gz PPPYNNRGYNDBAK-UHFFFAOYSA-N 1 2 322.355 1.350 20 30 DDEDLO COc1cc(N2CCN(C(=O)C#Cc3ccccn3)CC2)cc[nH+]1 ZINC001177802459 778742143 /nfs/dbraw/zinc/74/21/43/778742143.db2.gz HYZZUXKLMYBDNH-UHFFFAOYSA-N 1 2 322.368 1.186 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](CC)Nc1cc[nH+]c(C)n1 ZINC001103331469 778794079 /nfs/dbraw/zinc/79/40/79/778794079.db2.gz KWOKZNITSLIYHR-MCIONIFRSA-N 1 2 304.394 1.683 20 30 DDEDLO N#Cc1ccc2c(c1)CCN(C(=O)[C@@H]1CCc3[nH+]ccn3C1)C2 ZINC001178102097 778870760 /nfs/dbraw/zinc/87/07/60/778870760.db2.gz HSXSDUPWBBFWNG-MRXNPFEDSA-N 1 2 306.369 1.902 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@H]2CCN(C(=O)CSCC#N)[C@H]2C)no1 ZINC001178148230 778904552 /nfs/dbraw/zinc/90/45/52/778904552.db2.gz RVIISWRDCGKRRA-NHCYSSNCSA-N 1 2 323.422 1.275 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H](CCNc2cc[nH+]c(C)n2)C1 ZINC001111606547 779399907 /nfs/dbraw/zinc/39/99/07/779399907.db2.gz GUHAXJCIPFBUIM-YOEHRIQHSA-N 1 2 318.421 1.763 20 30 DDEDLO C=CCCC(=O)NCC1(Nc2[nH+]cnc3c2cnn3C)CCC1 ZINC001111773269 779474260 /nfs/dbraw/zinc/47/42/60/779474260.db2.gz PKZSOFWSHPXAFX-UHFFFAOYSA-N 1 2 314.393 1.780 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@](CO)(Nc2cc[nH+]c(C)n2)C1 ZINC001112232698 779658595 /nfs/dbraw/zinc/65/85/95/779658595.db2.gz DMAMOQQBFJTJOF-KRWDZBQOSA-N 1 2 318.421 1.907 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)[C@@H]1C ZINC001180269093 779687370 /nfs/dbraw/zinc/68/73/70/779687370.db2.gz MEZKMGRXCCCJKM-LOWVWBTDSA-N 1 2 308.382 1.048 20 30 DDEDLO C=CCSCC(=O)N1CC[NH+](CCc2ccccn2)CC1 ZINC001180559263 779833027 /nfs/dbraw/zinc/83/30/27/779833027.db2.gz MMQMHVJRBAKVLB-UHFFFAOYSA-N 1 2 305.447 1.688 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001115331980 780045335 /nfs/dbraw/zinc/04/53/35/780045335.db2.gz HPOMNDBSKALPJX-CXTNEJHOSA-N 1 2 305.378 1.121 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001115331980 780045346 /nfs/dbraw/zinc/04/53/46/780045346.db2.gz HPOMNDBSKALPJX-CXTNEJHOSA-N 1 2 305.378 1.121 20 30 DDEDLO Cc1nc(NC[C@@H](C2CC2)N(C)C(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001115496059 780188798 /nfs/dbraw/zinc/18/87/98/780188798.db2.gz XVAUHVYMJYILAH-HNNXBMFYSA-N 1 2 324.388 1.948 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CC(=O)Nc2ccccc2C(=O)OC)C1 ZINC001116540447 780520513 /nfs/dbraw/zinc/52/05/13/780520513.db2.gz QWSDFTIQQMXRPD-CYBMUJFWSA-N 1 2 318.373 1.689 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CC(=O)Nc2ccccc2C(=O)OC)C1 ZINC001116540447 780520519 /nfs/dbraw/zinc/52/05/19/780520519.db2.gz QWSDFTIQQMXRPD-CYBMUJFWSA-N 1 2 318.373 1.689 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(C)cc(F)c2)C1 ZINC001119471549 781466804 /nfs/dbraw/zinc/46/68/04/781466804.db2.gz AIQSRGYKESLTEZ-CQSZACIVSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(C)cc(F)c2)C1 ZINC001119471549 781466807 /nfs/dbraw/zinc/46/68/07/781466807.db2.gz AIQSRGYKESLTEZ-CQSZACIVSA-N 1 2 317.364 1.286 20 30 DDEDLO CC[C@H]1CCCCN1C(=O)C[N@H+](C)CCNC(=O)C#CC1CC1 ZINC001267194440 837512667 /nfs/dbraw/zinc/51/26/67/837512667.db2.gz PRESOOUQFXJVDM-INIZCTEOSA-N 1 2 319.449 1.239 20 30 DDEDLO CC[C@H]1CCCCN1C(=O)C[N@@H+](C)CCNC(=O)C#CC1CC1 ZINC001267194440 837512672 /nfs/dbraw/zinc/51/26/72/837512672.db2.gz PRESOOUQFXJVDM-INIZCTEOSA-N 1 2 319.449 1.239 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)c2ccc(C(F)F)cc2)CC1 ZINC001266294736 836068602 /nfs/dbraw/zinc/06/86/02/836068602.db2.gz JNHIICOQPKMURB-UHFFFAOYSA-N 1 2 322.359 1.495 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cc2F)C1 ZINC001266317904 836111133 /nfs/dbraw/zinc/11/11/33/836111133.db2.gz WCYRPDZZXXOGRQ-YOEHRIQHSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cc2F)C1 ZINC001266317904 836111136 /nfs/dbraw/zinc/11/11/36/836111136.db2.gz WCYRPDZZXXOGRQ-YOEHRIQHSA-N 1 2 324.371 1.982 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2occc2C(C)C)C1 ZINC001266336184 836136444 /nfs/dbraw/zinc/13/64/44/836136444.db2.gz LGEXNEWXMSRURH-CQSZACIVSA-N 1 2 304.390 1.857 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2occc2C(C)C)C1 ZINC001266336184 836136449 /nfs/dbraw/zinc/13/64/49/836136449.db2.gz LGEXNEWXMSRURH-CQSZACIVSA-N 1 2 304.390 1.857 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)c1cccc2ccccc21 ZINC001266354947 836163904 /nfs/dbraw/zinc/16/39/04/836163904.db2.gz UVONDXJJJKQZEA-UHFFFAOYSA-N 1 2 323.396 1.251 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)c1cccc2ccccc21 ZINC001266354947 836163911 /nfs/dbraw/zinc/16/39/11/836163911.db2.gz UVONDXJJJKQZEA-UHFFFAOYSA-N 1 2 323.396 1.251 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[N@@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001271874252 844172611 /nfs/dbraw/zinc/17/26/11/844172611.db2.gz VXPOWNHPZRFXKP-SOUVJXGZSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[N@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001271874252 844172619 /nfs/dbraw/zinc/17/26/19/844172619.db2.gz VXPOWNHPZRFXKP-SOUVJXGZSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1cnnn1C ZINC001266853577 836939224 /nfs/dbraw/zinc/93/92/24/836939224.db2.gz OOQHYRNGDLUCBY-CABCVRRESA-N 1 2 319.453 1.888 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1CCCC[N@H+]1Cc1cnnn1C ZINC001266853577 836939232 /nfs/dbraw/zinc/93/92/32/836939232.db2.gz OOQHYRNGDLUCBY-CABCVRRESA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCC[N@H+]1CCC[C@@H](NC(=O)CS(=O)(=O)CC(C)C)C1 ZINC001267615501 838475859 /nfs/dbraw/zinc/47/58/59/838475859.db2.gz QDJJQOVZRZFFSE-CQSZACIVSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCC[N@@H+]1CCC[C@@H](NC(=O)CS(=O)(=O)CC(C)C)C1 ZINC001267615501 838475864 /nfs/dbraw/zinc/47/58/64/838475864.db2.gz QDJJQOVZRZFFSE-CQSZACIVSA-N 1 2 316.467 1.214 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)C(C1CC1)C1CC1 ZINC001267618414 838503989 /nfs/dbraw/zinc/50/39/89/838503989.db2.gz OAGWUEFJWICCSJ-UHFFFAOYSA-N 1 2 304.438 1.022 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C=C2CCCCC2)C1 ZINC001267622648 838516033 /nfs/dbraw/zinc/51/60/33/838516033.db2.gz JIDVQKBSTKWBHO-INIZCTEOSA-N 1 2 317.433 1.207 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C=C2CCCCC2)C1 ZINC001267622648 838516037 /nfs/dbraw/zinc/51/60/37/838516037.db2.gz JIDVQKBSTKWBHO-INIZCTEOSA-N 1 2 317.433 1.207 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1C[NH+](CCc2ccc(OC)cc2)C1 ZINC001267644737 838563802 /nfs/dbraw/zinc/56/38/02/838563802.db2.gz DKFMBJVHEBOICM-AWEZNQCLSA-N 1 2 316.401 1.076 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1C[NH+](Cc2ccccc2CC)C1 ZINC001267645248 838564844 /nfs/dbraw/zinc/56/48/44/838564844.db2.gz QSRMZZRQZTVMCP-AWEZNQCLSA-N 1 2 300.402 1.588 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001267669898 838611237 /nfs/dbraw/zinc/61/12/37/838611237.db2.gz LUVHXIQGMNUWQF-HNNXBMFYSA-N 1 2 302.418 1.868 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001267732472 838772455 /nfs/dbraw/zinc/77/24/55/838772455.db2.gz REFWWLNGBCZWRM-KKUMJFAQSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001267732472 838772463 /nfs/dbraw/zinc/77/24/63/838772463.db2.gz REFWWLNGBCZWRM-KKUMJFAQSA-N 1 2 307.438 1.305 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2CCC(C)(C)CC2)C1 ZINC001267735607 838786234 /nfs/dbraw/zinc/78/62/34/838786234.db2.gz XKSPKIBPIOOLTJ-HNNXBMFYSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2CCC(C)(C)CC2)C1 ZINC001267735607 838786240 /nfs/dbraw/zinc/78/62/40/838786240.db2.gz XKSPKIBPIOOLTJ-HNNXBMFYSA-N 1 2 319.449 1.143 20 30 DDEDLO COc1ccnc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)C2)c1 ZINC001267741259 838810872 /nfs/dbraw/zinc/81/08/72/838810872.db2.gz PGXWYGLLZUZAES-CQSZACIVSA-N 1 2 301.390 1.440 20 30 DDEDLO COc1ccnc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)C2)c1 ZINC001267741259 838810882 /nfs/dbraw/zinc/81/08/82/838810882.db2.gz PGXWYGLLZUZAES-CQSZACIVSA-N 1 2 301.390 1.440 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](CC)CCCC)C2)nn1 ZINC001105170008 839145744 /nfs/dbraw/zinc/14/57/44/839145744.db2.gz RNAVQDUWJDLUKP-AWEZNQCLSA-N 1 2 317.437 1.601 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1cnsn1 ZINC001267963057 839278270 /nfs/dbraw/zinc/27/82/70/839278270.db2.gz NMFVJIHCMZKJPV-CQSZACIVSA-N 1 2 306.435 1.812 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001268030504 839454657 /nfs/dbraw/zinc/45/46/57/839454657.db2.gz RWZVQNQBXPSWEY-VXGBXAGGSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001268030504 839454661 /nfs/dbraw/zinc/45/46/61/839454661.db2.gz RWZVQNQBXPSWEY-VXGBXAGGSA-N 1 2 308.344 1.968 20 30 DDEDLO CCOCC(=O)N[C@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001268157946 839767489 /nfs/dbraw/zinc/76/74/89/839767489.db2.gz GIKRLPQKRNRREV-KRWDZBQOSA-N 1 2 318.392 1.794 20 30 DDEDLO CCOCC(=O)N[C@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001268157946 839767497 /nfs/dbraw/zinc/76/74/97/839767497.db2.gz GIKRLPQKRNRREV-KRWDZBQOSA-N 1 2 318.392 1.794 20 30 DDEDLO C=C(C)CCC(=O)N(C)CC[N@H+](C)CC(=O)NC1CCCC1 ZINC001268240482 839878617 /nfs/dbraw/zinc/87/86/17/839878617.db2.gz YGXWOCMRJYEQTC-UHFFFAOYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=C(C)CCC(=O)N(C)CC[N@@H+](C)CC(=O)NC1CCCC1 ZINC001268240482 839878626 /nfs/dbraw/zinc/87/86/26/839878626.db2.gz YGXWOCMRJYEQTC-UHFFFAOYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)C[NH2+]Cc1nc(N(C)C)no1 ZINC001268646747 840628940 /nfs/dbraw/zinc/62/89/40/840628940.db2.gz LHLYUFZGHNBENE-LLVKDONJSA-N 1 2 309.414 1.332 20 30 DDEDLO C=C(C)C[N@@H+](C)C[C@H](C)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001268751483 840754522 /nfs/dbraw/zinc/75/45/22/840754522.db2.gz CSIKTNYPBZJCPC-LBPRGKRZSA-N 1 2 316.409 1.436 20 30 DDEDLO C=C(C)C[N@H+](C)C[C@H](C)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001268751483 840754530 /nfs/dbraw/zinc/75/45/30/840754530.db2.gz CSIKTNYPBZJCPC-LBPRGKRZSA-N 1 2 316.409 1.436 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C[C@@H]1CC=CCC1 ZINC001272087485 844580412 /nfs/dbraw/zinc/58/04/12/844580412.db2.gz SVSYDTHIRNUELN-OAHLLOKOSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)C[C@@H]1CC=CCC1 ZINC001272087485 844580420 /nfs/dbraw/zinc/58/04/20/844580420.db2.gz SVSYDTHIRNUELN-OAHLLOKOSA-N 1 2 307.438 1.425 20 30 DDEDLO CC/C=C/CC(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001268964684 841052884 /nfs/dbraw/zinc/05/28/84/841052884.db2.gz BXCIKTMLKOHXTM-SNAWJCMRSA-N 1 2 312.417 1.897 20 30 DDEDLO N#CCCC(=O)N1CC2(C[C@H]2C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001269024388 841120559 /nfs/dbraw/zinc/12/05/59/841120559.db2.gz UZLXOMOHUVKUEQ-LBPRGKRZSA-N 1 2 323.356 1.425 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001269096949 841203945 /nfs/dbraw/zinc/20/39/45/841203945.db2.gz JPYZHBDDGZYEDO-ZDUSSCGKSA-N 1 2 309.435 1.735 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001269096949 841203952 /nfs/dbraw/zinc/20/39/52/841203952.db2.gz JPYZHBDDGZYEDO-ZDUSSCGKSA-N 1 2 309.435 1.735 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2nc(C)cs2)C1 ZINC001269126444 841238277 /nfs/dbraw/zinc/23/82/77/841238277.db2.gz MPLQXCOENAHWLQ-QWHCGFSZSA-N 1 2 307.419 1.180 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)cs2)C1 ZINC001269126444 841238285 /nfs/dbraw/zinc/23/82/85/841238285.db2.gz MPLQXCOENAHWLQ-QWHCGFSZSA-N 1 2 307.419 1.180 20 30 DDEDLO C[C@@H](CC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC#N)C1)CC(C)(C)C ZINC001269172725 841296526 /nfs/dbraw/zinc/29/65/26/841296526.db2.gz UMCDFWSJRLWCRY-UONOGXRCSA-N 1 2 322.453 1.279 20 30 DDEDLO C[C@@H](CC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1)CC(C)(C)C ZINC001269172725 841296537 /nfs/dbraw/zinc/29/65/37/841296537.db2.gz UMCDFWSJRLWCRY-UONOGXRCSA-N 1 2 322.453 1.279 20 30 DDEDLO CCc1cncc(C(=O)NC[C@H]2CC[N@@H+]2CC#CCOC)c1 ZINC001269261695 841436155 /nfs/dbraw/zinc/43/61/55/841436155.db2.gz UTBNFQAWECHRCG-MRXNPFEDSA-N 1 2 301.390 1.098 20 30 DDEDLO CCc1cncc(C(=O)NC[C@H]2CC[N@H+]2CC#CCOC)c1 ZINC001269261695 841436157 /nfs/dbraw/zinc/43/61/57/841436157.db2.gz UTBNFQAWECHRCG-MRXNPFEDSA-N 1 2 301.390 1.098 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc2ncccc21 ZINC001269266441 841443584 /nfs/dbraw/zinc/44/35/84/841443584.db2.gz BWRCEEFVCHXCPP-OAHLLOKOSA-N 1 2 323.396 1.689 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc2ncccc21 ZINC001269266441 841443590 /nfs/dbraw/zinc/44/35/90/841443590.db2.gz BWRCEEFVCHXCPP-OAHLLOKOSA-N 1 2 323.396 1.689 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1(CF)CCC1 ZINC001269290735 841474974 /nfs/dbraw/zinc/47/49/74/841474974.db2.gz DLJAAQVFHHFTQE-OLZOCXBDSA-N 1 2 311.401 1.007 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C1(CF)CCC1 ZINC001269290735 841474981 /nfs/dbraw/zinc/47/49/81/841474981.db2.gz DLJAAQVFHHFTQE-OLZOCXBDSA-N 1 2 311.401 1.007 20 30 DDEDLO C#CCCCC(=O)NCC1([NH2+][C@@H](C)c2nnc(C)s2)CC1 ZINC001269402314 841609863 /nfs/dbraw/zinc/60/98/63/841609863.db2.gz LYMQLIUBQAHMNP-NSHDSACASA-N 1 2 306.435 1.949 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001154584693 861243732 /nfs/dbraw/zinc/24/37/32/861243732.db2.gz UINYCKZKPXPAAU-GFCCVEGCSA-N 1 2 323.441 1.037 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001154584693 861243746 /nfs/dbraw/zinc/24/37/46/861243746.db2.gz UINYCKZKPXPAAU-GFCCVEGCSA-N 1 2 323.441 1.037 20 30 DDEDLO C=CCCC(=O)N1CC[C@@]2(CC[N@@H+](Cc3nnc(C)[nH]3)C2)C1 ZINC001269719140 841971622 /nfs/dbraw/zinc/97/16/22/841971622.db2.gz JVLBHMKSHYOANK-MRXNPFEDSA-N 1 2 303.410 1.504 20 30 DDEDLO C=CCCC(=O)N1CC[C@@]2(CC[N@H+](Cc3nnc(C)[nH]3)C2)C1 ZINC001269719140 841971631 /nfs/dbraw/zinc/97/16/31/841971631.db2.gz JVLBHMKSHYOANK-MRXNPFEDSA-N 1 2 303.410 1.504 20 30 DDEDLO Cc1ccc(C[NH+]2CC(N(C)C(=O)CSCC#N)C2)cc1 ZINC001269754628 842012619 /nfs/dbraw/zinc/01/26/19/842012619.db2.gz BMWDRYMEYIGKCM-UHFFFAOYSA-N 1 2 303.431 1.894 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H](C)NC(=O)C2CCCCC2)C1 ZINC001269892042 842135450 /nfs/dbraw/zinc/13/54/50/842135450.db2.gz CLDUHDYCMFBPCP-ZDUSSCGKSA-N 1 2 307.438 1.400 20 30 DDEDLO CSCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001270435988 842574501 /nfs/dbraw/zinc/57/45/01/842574501.db2.gz FLNWSYIQXIZLOW-OKILXGFUSA-N 1 2 318.446 1.435 20 30 DDEDLO CSCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001270435988 842574513 /nfs/dbraw/zinc/57/45/13/842574513.db2.gz FLNWSYIQXIZLOW-OKILXGFUSA-N 1 2 318.446 1.435 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@]1(C)CC[N@H+](Cc2cc(C)on2)C1 ZINC001270585158 842688471 /nfs/dbraw/zinc/68/84/71/842688471.db2.gz XZYSMAMLZMOLAA-CZUORRHYSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@]1(C)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001270585158 842688474 /nfs/dbraw/zinc/68/84/74/842688474.db2.gz XZYSMAMLZMOLAA-CZUORRHYSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CCC[C@H](C)[N@@H+]1CCO[C@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001270682015 842815771 /nfs/dbraw/zinc/81/57/71/842815771.db2.gz HSJMUGPTMJKAAP-NWDGAFQWSA-N 1 2 310.373 1.334 20 30 DDEDLO C=CCC[C@H](C)[N@H+]1CCO[C@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001270682015 842815776 /nfs/dbraw/zinc/81/57/76/842815776.db2.gz HSJMUGPTMJKAAP-NWDGAFQWSA-N 1 2 310.373 1.334 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@H](CC)OC2CCCC2)C1 ZINC001271361527 843522108 /nfs/dbraw/zinc/52/21/08/843522108.db2.gz RHAGJCKKMMWSKK-HNNXBMFYSA-N 1 2 310.438 1.463 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1COCCN1Cc1c[nH+]cn1C ZINC001326646803 861506643 /nfs/dbraw/zinc/50/66/43/861506643.db2.gz GAXBXHAVTHHJEP-HNNXBMFYSA-N 1 2 320.437 1.339 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H](OC)C1CCCC1 ZINC001326668677 861529286 /nfs/dbraw/zinc/52/92/86/861529286.db2.gz XSHGBYCHNJSJRD-DLBZAZTESA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H](OC)C1CCCC1 ZINC001326668677 861529294 /nfs/dbraw/zinc/52/92/94/861529294.db2.gz XSHGBYCHNJSJRD-DLBZAZTESA-N 1 2 324.465 1.975 20 30 DDEDLO C#CC(C)(C)C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001272346542 846054024 /nfs/dbraw/zinc/05/40/24/846054024.db2.gz VBPBBUWMBLKPKB-UHFFFAOYSA-N 1 2 310.401 1.200 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1ccc(O)c(F)c1F ZINC001272724573 846721540 /nfs/dbraw/zinc/72/15/40/846721540.db2.gz LNKWLVWRYTVXPV-CHWSQXEVSA-N 1 2 320.339 1.869 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1ccc(O)c(F)c1F ZINC001272724573 846721547 /nfs/dbraw/zinc/72/15/47/846721547.db2.gz LNKWLVWRYTVXPV-CHWSQXEVSA-N 1 2 320.339 1.869 20 30 DDEDLO CC(C)C(=O)NC[C@]1(C)C[N@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107747137 846924275 /nfs/dbraw/zinc/92/42/75/846924275.db2.gz RFCJKQOLICRAHL-GOSISDBHSA-N 1 2 315.417 1.921 20 30 DDEDLO CC(C)C(=O)NC[C@]1(C)C[N@@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107747137 846924281 /nfs/dbraw/zinc/92/42/81/846924281.db2.gz RFCJKQOLICRAHL-GOSISDBHSA-N 1 2 315.417 1.921 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077678804 846941152 /nfs/dbraw/zinc/94/11/52/846941152.db2.gz XEVDULYFLGCWSW-YVEFUNNKSA-N 1 2 320.437 1.874 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)nc2C)[C@@H](O)C1 ZINC001083785999 847104513 /nfs/dbraw/zinc/10/45/13/847104513.db2.gz FPHWIZNFIHVVML-SJORKVTESA-N 1 2 315.417 1.312 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)nc2C)[C@@H](O)C1 ZINC001083785999 847104519 /nfs/dbraw/zinc/10/45/19/847104519.db2.gz FPHWIZNFIHVVML-SJORKVTESA-N 1 2 315.417 1.312 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@]3(C2)CN(CCCC#N)C(=O)CO3)no1 ZINC001272773356 847408913 /nfs/dbraw/zinc/40/89/13/847408913.db2.gz STBSKJVFRQKKIY-MRXNPFEDSA-N 1 2 318.377 1.090 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@]3(C2)CN(CCCC#N)C(=O)CO3)no1 ZINC001272773356 847408918 /nfs/dbraw/zinc/40/89/18/847408918.db2.gz STBSKJVFRQKKIY-MRXNPFEDSA-N 1 2 318.377 1.090 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)C[C@@H]1CC(C)(C)CO1 ZINC001272869479 847570206 /nfs/dbraw/zinc/57/02/06/847570206.db2.gz RSAVKTZSYOZFKX-LSDHHAIUSA-N 1 2 308.422 1.032 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)C[C@@H]1CC(C)(C)CO1 ZINC001272869479 847570212 /nfs/dbraw/zinc/57/02/12/847570212.db2.gz RSAVKTZSYOZFKX-LSDHHAIUSA-N 1 2 308.422 1.032 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](C[C@H](C)c3ccccc3)C[C@@]2(F)C1=O ZINC001273079265 847877322 /nfs/dbraw/zinc/87/73/22/847877322.db2.gz ORAAAVBPWVCYQW-JCGIZDLHSA-N 1 2 318.367 1.998 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](C[C@H](C)c3ccccc3)C[C@@]2(F)C1=O ZINC001273079265 847877328 /nfs/dbraw/zinc/87/73/28/847877328.db2.gz ORAAAVBPWVCYQW-JCGIZDLHSA-N 1 2 318.367 1.998 20 30 DDEDLO C=C(C)C[N@@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744767 861866532 /nfs/dbraw/zinc/86/65/32/861866532.db2.gz DDXZZYQKZIYPQZ-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[N@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744767 861866552 /nfs/dbraw/zinc/86/65/52/861866552.db2.gz DDXZZYQKZIYPQZ-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149744767 861866572 /nfs/dbraw/zinc/86/65/72/861866572.db2.gz DDXZZYQKZIYPQZ-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149744767 861866592 /nfs/dbraw/zinc/86/65/92/861866592.db2.gz DDXZZYQKZIYPQZ-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001034362907 848414386 /nfs/dbraw/zinc/41/43/86/848414386.db2.gz JUIKUIHLYCTCMX-TZMCWYRMSA-N 1 2 304.793 1.838 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001034362907 848414388 /nfs/dbraw/zinc/41/43/88/848414388.db2.gz JUIKUIHLYCTCMX-TZMCWYRMSA-N 1 2 304.793 1.838 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@@H+](Cc3cnccc3Cl)C2)OCC1=O ZINC001273172500 848629612 /nfs/dbraw/zinc/62/96/12/848629612.db2.gz OLTQNZBYOOYATN-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@H+](Cc3cnccc3Cl)C2)OCC1=O ZINC001273172500 848629619 /nfs/dbraw/zinc/62/96/19/848629619.db2.gz OLTQNZBYOOYATN-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3c(C)cc(O)cc3C)C2)OCC1=O ZINC001273213640 848796923 /nfs/dbraw/zinc/79/69/23/848796923.db2.gz HRLSKZQNACIVEF-UHFFFAOYSA-N 1 2 316.401 1.608 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@H+](CC)Cc1ccon1 ZINC001155325439 861959675 /nfs/dbraw/zinc/95/96/75/861959675.db2.gz AOQQZAIZWXPHME-YUELXQCFSA-N 1 2 307.394 1.592 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ccon1 ZINC001155325439 861959682 /nfs/dbraw/zinc/95/96/82/861959682.db2.gz AOQQZAIZWXPHME-YUELXQCFSA-N 1 2 307.394 1.592 20 30 DDEDLO CC(C)c1nc(C[NH2+]C[C@H](C2CC2)N(C)C(=O)[C@@H](C)C#N)no1 ZINC001410287954 849021637 /nfs/dbraw/zinc/02/16/37/849021637.db2.gz AZWDEBOHSSPYPY-WCQYABFASA-N 1 2 319.409 1.679 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2c(C)nn(C)c2Cl)C1=O ZINC001273246878 849064314 /nfs/dbraw/zinc/06/43/14/849064314.db2.gz YVFYWBSUGKNWLS-MRXNPFEDSA-N 1 2 320.824 1.582 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2c(C)nn(C)c2Cl)C1=O ZINC001273246878 849064322 /nfs/dbraw/zinc/06/43/22/849064322.db2.gz YVFYWBSUGKNWLS-MRXNPFEDSA-N 1 2 320.824 1.582 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2nnc(CC)o2)CC1 ZINC001273398817 849905690 /nfs/dbraw/zinc/90/56/90/849905690.db2.gz DTAJFVBQVREMSK-ZDUSSCGKSA-N 1 2 322.409 1.305 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@H+](Cc2nnc(CC)o2)CC1 ZINC001273398817 849905707 /nfs/dbraw/zinc/90/57/07/849905707.db2.gz DTAJFVBQVREMSK-ZDUSSCGKSA-N 1 2 322.409 1.305 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCn2cncn2)[C@H]1C ZINC001410921856 849964568 /nfs/dbraw/zinc/96/45/68/849964568.db2.gz PBEOPAJPAHOFGM-OLZOCXBDSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCn2cncn2)[C@H]1C ZINC001410921856 849964572 /nfs/dbraw/zinc/96/45/72/849964572.db2.gz PBEOPAJPAHOFGM-OLZOCXBDSA-N 1 2 311.817 1.390 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[NH2+]Cc1noc(C2CC2)n1 ZINC001155438816 862089507 /nfs/dbraw/zinc/08/95/07/862089507.db2.gz IBSIVLHSTXMWDI-GFCCVEGCSA-N 1 2 322.409 1.524 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@H]1COCCO1)C(C)C ZINC001411190187 850359871 /nfs/dbraw/zinc/35/98/71/850359871.db2.gz DLRFONLNDHGKIW-CYBMUJFWSA-N 1 2 304.818 1.323 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@H]1COCCO1)C(C)C ZINC001411190187 850359877 /nfs/dbraw/zinc/35/98/77/850359877.db2.gz DLRFONLNDHGKIW-CYBMUJFWSA-N 1 2 304.818 1.323 20 30 DDEDLO COc1cc(N2CC[C@]3(CCN(CCCC#N)C3=O)C2)cc[nH+]1 ZINC001273586099 851117176 /nfs/dbraw/zinc/11/71/76/851117176.db2.gz XVKCRJWSPFDUJQ-QGZVFWFLSA-N 1 2 314.389 1.823 20 30 DDEDLO C=CCC[C@H](C)[NH+]1CC2(C1)CN(C(=O)c1cnc(C)[nH]1)CCO2 ZINC001273613907 851152114 /nfs/dbraw/zinc/15/21/14/851152114.db2.gz JCMZJUOXKSBOCK-ZDUSSCGKSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C[C@@H]1CCCCO1)O2 ZINC001273655762 851192582 /nfs/dbraw/zinc/19/25/82/851192582.db2.gz OEYQYQPQSZBAHW-GJZGRUSLSA-N 1 2 308.422 1.481 20 30 DDEDLO C#CCN1CC2(C[NH+](C[C@H](C)CC(F)(F)F)C2)OCC1=O ZINC001273747686 851297156 /nfs/dbraw/zinc/29/71/56/851297156.db2.gz RAUAFOLXYDPVTM-LLVKDONJSA-N 1 2 304.312 1.121 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@@H+]2CCS(C)(=O)=O ZINC001273811647 851369150 /nfs/dbraw/zinc/36/91/50/851369150.db2.gz NREWDODRZFKXHZ-HNNXBMFYSA-N 1 2 314.451 1.064 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@H+]2CCS(C)(=O)=O ZINC001273811647 851369162 /nfs/dbraw/zinc/36/91/62/851369162.db2.gz NREWDODRZFKXHZ-HNNXBMFYSA-N 1 2 314.451 1.064 20 30 DDEDLO N#Cc1ccncc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc[nH]1 ZINC001273943086 851532304 /nfs/dbraw/zinc/53/23/04/851532304.db2.gz VLSNACIRVUJQOS-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1ccncc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc[nH]1 ZINC001273943086 851532309 /nfs/dbraw/zinc/53/23/09/851532309.db2.gz VLSNACIRVUJQOS-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@]1(C)CCN(c2ccncc2C#N)C1 ZINC001110773664 851610796 /nfs/dbraw/zinc/61/07/96/851610796.db2.gz NXTHKVQGDQUTJC-QGZVFWFLSA-N 1 2 324.388 1.313 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C\C[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001274011103 851845999 /nfs/dbraw/zinc/84/59/99/851845999.db2.gz ULXSRCKKIXEXLR-ZFDPJTLLSA-N 1 2 316.405 1.929 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)CO[C@H]3CCOC3)cc2C1 ZINC001274027845 851866251 /nfs/dbraw/zinc/86/62/51/851866251.db2.gz NRWWBGVKESWSIC-KRWDZBQOSA-N 1 2 314.385 1.057 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)CO[C@H]3CCOC3)cc2C1 ZINC001274027845 851866254 /nfs/dbraw/zinc/86/62/54/851866254.db2.gz NRWWBGVKESWSIC-KRWDZBQOSA-N 1 2 314.385 1.057 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]([NH2+]Cc2nc(C)no2)[C@@H](C)C1 ZINC001274237628 852080014 /nfs/dbraw/zinc/08/00/14/852080014.db2.gz BGFGOQLDDGLLNB-JSGCOSHPSA-N 1 2 304.394 1.508 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3cccc4ccoc43)C2)OCC1=O ZINC001274625024 852456784 /nfs/dbraw/zinc/45/67/84/852456784.db2.gz DTDZXHFXVKROPU-UHFFFAOYSA-N 1 2 310.353 1.479 20 30 DDEDLO C=CCn1cc(C(=O)NCc2ccccc2Cn2cc[nH+]c2)nn1 ZINC001274942072 852703653 /nfs/dbraw/zinc/70/36/53/852703653.db2.gz PVQRKTXFGBUQSY-UHFFFAOYSA-N 1 2 322.372 1.639 20 30 DDEDLO N#Cc1ccc(CN2CCCC3(C[NH+](Cc4cn[nH]c4)C3)C2)nc1 ZINC001275032648 852761750 /nfs/dbraw/zinc/76/17/50/852761750.db2.gz XQCHFUITIXZDKI-UHFFFAOYSA-N 1 2 322.416 1.774 20 30 DDEDLO COCC#CC[NH2+]CC1CC(NC(=O)C(F)C(F)(F)F)C1 ZINC001275233172 852916725 /nfs/dbraw/zinc/91/67/25/852916725.db2.gz QXSXYCGODSCEMF-ILDUYXDCSA-N 1 2 310.291 1.021 20 30 DDEDLO COCC#CC[NH2+]CC1CC(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001275233172 852916729 /nfs/dbraw/zinc/91/67/29/852916729.db2.gz QXSXYCGODSCEMF-ILDUYXDCSA-N 1 2 310.291 1.021 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C[NH2+][C@H](C)c2nc(C)no2)cc1 ZINC001275259645 852936028 /nfs/dbraw/zinc/93/60/28/852936028.db2.gz ZHOAIBMRCGRZBZ-NWDGAFQWSA-N 1 2 312.373 1.828 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H](C)CCOC)C1 ZINC001150738355 862444881 /nfs/dbraw/zinc/44/48/81/862444881.db2.gz PIGGMBVLWDWPFR-TZMCWYRMSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H](C)CCOC)C1 ZINC001150738355 862444884 /nfs/dbraw/zinc/44/48/84/862444884.db2.gz PIGGMBVLWDWPFR-TZMCWYRMSA-N 1 2 318.845 1.619 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2CN(C(=O)C#CC(C)C)C[C@@H]2C)o1 ZINC001327842862 862505354 /nfs/dbraw/zinc/50/53/54/862505354.db2.gz KLNQXBFYSXCACN-STQMWFEESA-N 1 2 304.394 1.228 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](CCNC(=O)[C@H](C)C#N)C(C)C ZINC001412318287 854429296 /nfs/dbraw/zinc/42/92/96/854429296.db2.gz CIDPLYAERNXJFT-DGCLKSJQSA-N 1 2 319.409 1.067 20 30 DDEDLO Cc1c(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)cnn1C ZINC001276197046 854941956 /nfs/dbraw/zinc/94/19/56/854941956.db2.gz CIUPHINXTNMSIJ-UHFFFAOYSA-N 1 2 324.432 1.257 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCCCN(C)c1cc[nH+]c(C)n1 ZINC001095759384 855322474 /nfs/dbraw/zinc/32/24/74/855322474.db2.gz AGPGJHSLBYQWGG-CABCVRRESA-N 1 2 318.421 1.709 20 30 DDEDLO N#Cc1cccc([C@@H](O)C[NH+]2CCN(c3ncns3)CC2)c1 ZINC001412989372 855875029 /nfs/dbraw/zinc/87/50/29/855875029.db2.gz GAKXEXGSUCTUPP-AWEZNQCLSA-N 1 2 315.402 1.265 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2noc3c2CCCC3)C[C@H]1C ZINC001206640937 862747673 /nfs/dbraw/zinc/74/76/73/862747673.db2.gz MHSQNQIKNTUTRS-OUCADQQQSA-N 1 2 316.405 1.650 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2noc3c2CCCC3)C[C@H]1C ZINC001206640937 862747678 /nfs/dbraw/zinc/74/76/78/862747678.db2.gz MHSQNQIKNTUTRS-OUCADQQQSA-N 1 2 316.405 1.650 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)C[C@@H]2C)n1 ZINC001328238303 862797966 /nfs/dbraw/zinc/79/79/66/862797966.db2.gz DRBUKSYBQINYBH-GXTWGEPZSA-N 1 2 304.394 1.507 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)C[C@@H]2C)n1 ZINC001328238303 862797977 /nfs/dbraw/zinc/79/79/77/862797977.db2.gz DRBUKSYBQINYBH-GXTWGEPZSA-N 1 2 304.394 1.507 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)C)C[C@H]2C)n1 ZINC001328238305 862798426 /nfs/dbraw/zinc/79/84/26/862798426.db2.gz DRBUKSYBQINYBH-OCCSQVGLSA-N 1 2 304.394 1.507 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)C)C[C@H]2C)n1 ZINC001328238305 862798434 /nfs/dbraw/zinc/79/84/34/862798434.db2.gz DRBUKSYBQINYBH-OCCSQVGLSA-N 1 2 304.394 1.507 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc3cc(OC)ncc3[nH]2)CC1 ZINC001151634846 862893094 /nfs/dbraw/zinc/89/30/94/862893094.db2.gz JAEBGEHCXWXSDE-UHFFFAOYSA-N 1 2 300.362 1.515 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)c1cc(Cl)nc(Cl)n1 ZINC001156320630 862931738 /nfs/dbraw/zinc/93/17/38/862931738.db2.gz BJKKQCWLCCGGNI-LURJTMIESA-N 1 2 308.119 1.630 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(C)(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001073182941 858162588 /nfs/dbraw/zinc/16/25/88/858162588.db2.gz ITIKDNBHURTPGD-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cscn2)C1 ZINC001073552211 858433748 /nfs/dbraw/zinc/43/37/48/858433748.db2.gz LXFJSBDQRQZRIH-NSHDSACASA-N 1 2 315.826 1.716 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cscn2)C1 ZINC001073552211 858433749 /nfs/dbraw/zinc/43/37/49/858433749.db2.gz LXFJSBDQRQZRIH-NSHDSACASA-N 1 2 315.826 1.716 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CC[C@@H](OC)C1 ZINC001122529614 858868438 /nfs/dbraw/zinc/86/84/38/858868438.db2.gz IVIKWRKVHWFQOL-KGLIPLIRSA-N 1 2 305.426 1.846 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N1CC[C@@H](OC)C1 ZINC001122529614 858868446 /nfs/dbraw/zinc/86/84/46/858868446.db2.gz IVIKWRKVHWFQOL-KGLIPLIRSA-N 1 2 305.426 1.846 20 30 DDEDLO CC(C)c1nsc(C[NH2+]CCNC(=O)CSCC#N)n1 ZINC001123799261 859420611 /nfs/dbraw/zinc/42/06/11/859420611.db2.gz OPQGQXWKOPKMBM-UHFFFAOYSA-N 1 2 313.452 1.124 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001123831553 859432890 /nfs/dbraw/zinc/43/28/90/859432890.db2.gz XZOIWNGHYCBDST-CABCVRRESA-N 1 2 316.405 1.522 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(C)C[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001124642877 859759756 /nfs/dbraw/zinc/75/97/56/859759756.db2.gz FHRJGDAEAAHSLW-JSGCOSHPSA-N 1 2 306.410 1.619 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CC(N(C)C3COC3)C2)cc1OC ZINC001138347632 860064037 /nfs/dbraw/zinc/06/40/37/860064037.db2.gz CQEMRIOAFZLAEE-UHFFFAOYSA-N 1 2 316.401 1.222 20 30 DDEDLO CCn1nc(C)c(C[NH+]2CCC(C#N)(C(=O)OC)CC2)c1C ZINC001138646316 860151687 /nfs/dbraw/zinc/15/16/87/860151687.db2.gz FQBVXTPABWYNFQ-UHFFFAOYSA-N 1 2 304.394 1.799 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(c3nccnc3C#N)CC2)cc1C#N ZINC001139726442 860464045 /nfs/dbraw/zinc/46/40/45/860464045.db2.gz NTGFPINTDHZDRL-UHFFFAOYSA-N 1 2 318.384 1.851 20 30 DDEDLO CC#CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1cc(C2CC2)[nH]n1 ZINC001328766464 863205271 /nfs/dbraw/zinc/20/52/71/863205271.db2.gz SKBPRORWXUGXPX-AWEZNQCLSA-N 1 2 300.406 1.847 20 30 DDEDLO CC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)c1cc(C2CC2)[nH]n1 ZINC001328766464 863205278 /nfs/dbraw/zinc/20/52/78/863205278.db2.gz SKBPRORWXUGXPX-AWEZNQCLSA-N 1 2 300.406 1.847 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@H+](CC)CC(=O)Nc1cc(C)on1 ZINC001152352690 863294165 /nfs/dbraw/zinc/29/41/65/863294165.db2.gz KLJDHRJVERLDGZ-GFCCVEGCSA-N 1 2 322.409 1.714 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@@H+](CC)CC(=O)Nc1cc(C)on1 ZINC001152352690 863294174 /nfs/dbraw/zinc/29/41/74/863294174.db2.gz KLJDHRJVERLDGZ-GFCCVEGCSA-N 1 2 322.409 1.714 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(CC)nn1 ZINC001157209862 863654301 /nfs/dbraw/zinc/65/43/01/863654301.db2.gz OBRRHPWIPZLHPR-CQSZACIVSA-N 1 2 323.441 1.217 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(CC)nn1 ZINC001157209862 863654310 /nfs/dbraw/zinc/65/43/10/863654310.db2.gz OBRRHPWIPZLHPR-CQSZACIVSA-N 1 2 323.441 1.217 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1csc(C)n1 ZINC001153306615 863813908 /nfs/dbraw/zinc/81/39/08/863813908.db2.gz MUQTWJZYEZAXFC-CYBMUJFWSA-N 1 2 323.462 1.818 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1csc(C)n1 ZINC001153306615 863813916 /nfs/dbraw/zinc/81/39/16/863813916.db2.gz MUQTWJZYEZAXFC-CYBMUJFWSA-N 1 2 323.462 1.818 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nocc1C ZINC001153306884 863815826 /nfs/dbraw/zinc/81/58/26/863815826.db2.gz XMOSEADJSYQHGX-ZIAGYGMSSA-N 1 2 307.394 1.348 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nocc1C ZINC001153306884 863815830 /nfs/dbraw/zinc/81/58/30/863815830.db2.gz XMOSEADJSYQHGX-ZIAGYGMSSA-N 1 2 307.394 1.348 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3cnn(C)n3)C[C@H]21 ZINC001330329883 864243704 /nfs/dbraw/zinc/24/37/04/864243704.db2.gz UWPBDRGYPHRXJH-MPTYRVRUSA-N 1 2 317.437 1.211 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3cnn(C)n3)C[C@H]21 ZINC001330329883 864243719 /nfs/dbraw/zinc/24/37/19/864243719.db2.gz UWPBDRGYPHRXJH-MPTYRVRUSA-N 1 2 317.437 1.211 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CCC[N@@H+](Cc1cn(C)nn1)C2 ZINC001330488151 864399568 /nfs/dbraw/zinc/39/95/68/864399568.db2.gz MAACCYAYKOPWPB-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CCC[N@H+](Cc1cn(C)nn1)C2 ZINC001330488151 864399586 /nfs/dbraw/zinc/39/95/86/864399586.db2.gz MAACCYAYKOPWPB-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCC[C@@H](c2n[nH]cc2NC(C)=O)C1 ZINC001330672594 864526153 /nfs/dbraw/zinc/52/61/53/864526153.db2.gz NVYFCSMRSBEQEY-TZMCWYRMSA-N 1 2 320.393 1.665 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCC[C@@H](c2n[nH]cc2NC(C)=O)C1 ZINC001330672594 864526156 /nfs/dbraw/zinc/52/61/56/864526156.db2.gz NVYFCSMRSBEQEY-TZMCWYRMSA-N 1 2 320.393 1.665 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2csc(C)c2)C1 ZINC001331857966 865392056 /nfs/dbraw/zinc/39/20/56/865392056.db2.gz OQPCNKGGCONIBW-LBPRGKRZSA-N 1 2 305.403 1.209 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2csc(C)c2)C1 ZINC001331857966 865392073 /nfs/dbraw/zinc/39/20/73/865392073.db2.gz OQPCNKGGCONIBW-LBPRGKRZSA-N 1 2 305.403 1.209 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@H+](Cc2nccn2C)CC1 ZINC001159957682 865614967 /nfs/dbraw/zinc/61/49/67/865614967.db2.gz IYOKGTVNNWDTQN-UHFFFAOYSA-N 1 2 314.433 1.862 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@@H+](Cc2nccn2C)CC1 ZINC001159957682 865614973 /nfs/dbraw/zinc/61/49/73/865614973.db2.gz IYOKGTVNNWDTQN-UHFFFAOYSA-N 1 2 314.433 1.862 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cnnn2CC)[C@H]1C ZINC001332175032 865638124 /nfs/dbraw/zinc/63/81/24/865638124.db2.gz IJGXMFPZZLBBPA-HOCLYGCPSA-N 1 2 317.437 1.571 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2cnnn2CC)[C@H]1C ZINC001332175032 865638133 /nfs/dbraw/zinc/63/81/33/865638133.db2.gz IJGXMFPZZLBBPA-HOCLYGCPSA-N 1 2 317.437 1.571 20 30 DDEDLO C[C@H]1CN(O)C(=O)[C@H]1Nc1cc(N2CCC[C@@H](C)C2)nc[nH+]1 ZINC001160179039 865714444 /nfs/dbraw/zinc/71/44/44/865714444.db2.gz UJWXHMIZDYXHFL-SUNKGSAMSA-N 1 2 305.382 1.361 20 30 DDEDLO C[C@H]1CN(O)C(=O)[C@H]1Nc1cc(N2CCC[C@@H](C)C2)[nH+]cn1 ZINC001160179039 865714446 /nfs/dbraw/zinc/71/44/46/865714446.db2.gz UJWXHMIZDYXHFL-SUNKGSAMSA-N 1 2 305.382 1.361 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)C[C@H](O)CN(C)C(=O)C#CC2CC2)o1 ZINC001332633451 865999842 /nfs/dbraw/zinc/99/98/42/865999842.db2.gz NAYUMCRIVCKWPS-ZFWWWQNUSA-N 1 2 319.405 1.209 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)C[C@H](O)CN(C)C(=O)C#CC2CC2)o1 ZINC001332633451 865999853 /nfs/dbraw/zinc/99/98/53/865999853.db2.gz NAYUMCRIVCKWPS-ZFWWWQNUSA-N 1 2 319.405 1.209 20 30 DDEDLO COCC[N@@H+](CC#Cc1ccccc1)CCNC(=O)C(C)(C)F ZINC001332906123 866238436 /nfs/dbraw/zinc/23/84/36/866238436.db2.gz AALXHZORRWICRO-UHFFFAOYSA-N 1 2 320.408 1.851 20 30 DDEDLO COCC[N@H+](CC#Cc1ccccc1)CCNC(=O)C(C)(C)F ZINC001332906123 866238452 /nfs/dbraw/zinc/23/84/52/866238452.db2.gz AALXHZORRWICRO-UHFFFAOYSA-N 1 2 320.408 1.851 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)C(=O)NCc2c[nH+]c(C)cc2C)CC1 ZINC001333048969 866372259 /nfs/dbraw/zinc/37/22/59/866372259.db2.gz XZKOJKKYQAZRCH-KOMQPUFPSA-N 1 2 313.401 1.623 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001323199334 866424255 /nfs/dbraw/zinc/42/42/55/866424255.db2.gz PVQWTDUTVLQXAH-DZGCQCFKSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001323199334 866424263 /nfs/dbraw/zinc/42/42/63/866424263.db2.gz PVQWTDUTVLQXAH-DZGCQCFKSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCC[C@@H](C)C1 ZINC001323199784 866425563 /nfs/dbraw/zinc/42/55/63/866425563.db2.gz RRUXFCBTNCIJJX-HUUCEWRRSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCC[C@@H](C)C1 ZINC001323199784 866425565 /nfs/dbraw/zinc/42/55/65/866425565.db2.gz RRUXFCBTNCIJJX-HUUCEWRRSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)NC3(CCCCCC3)C2=O)C1 ZINC001320029862 866436640 /nfs/dbraw/zinc/43/66/40/866436640.db2.gz CVRDHRDJJBNWPF-CQSZACIVSA-N 1 2 321.421 1.866 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)NC3(CCCCCC3)C2=O)C1 ZINC001320029862 866436646 /nfs/dbraw/zinc/43/66/46/866436646.db2.gz CVRDHRDJJBNWPF-CQSZACIVSA-N 1 2 321.421 1.866 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H](C)C(=O)NC1CC1 ZINC001323764007 866829003 /nfs/dbraw/zinc/82/90/03/866829003.db2.gz WIHYBVIFPJXGSQ-BMFZPTHFSA-N 1 2 319.449 1.685 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)NC1CC1 ZINC001323764007 866829018 /nfs/dbraw/zinc/82/90/18/866829018.db2.gz WIHYBVIFPJXGSQ-BMFZPTHFSA-N 1 2 319.449 1.685 20 30 DDEDLO COC(=O)C[NH+]1CCC(Nc2ccc(OCC#N)cc2)CC1 ZINC001320586050 866858547 /nfs/dbraw/zinc/85/85/47/866858547.db2.gz ZZNYQCMOHWTASX-UHFFFAOYSA-N 1 2 303.362 1.638 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H](C)NC(=O)c2cccs2)C1 ZINC001323957957 866977547 /nfs/dbraw/zinc/97/75/47/866977547.db2.gz JQYFGEAXOXLJDS-GFCCVEGCSA-N 1 2 319.430 1.032 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNc1nc2c(cc1C#N)CCCC2(C)C ZINC001161950463 867133565 /nfs/dbraw/zinc/13/35/65/867133565.db2.gz HIJODPAJDYXHRP-CYBMUJFWSA-N 1 2 316.405 1.869 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cncc2[nH]cnc21 ZINC001381525908 882070465 /nfs/dbraw/zinc/07/04/65/882070465.db2.gz SEVFORGZUNVMLZ-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cncc2[nH]cnc21 ZINC001381525908 882070486 /nfs/dbraw/zinc/07/04/86/882070486.db2.gz SEVFORGZUNVMLZ-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C/C[NH2+][C@H](C)c2nc(C)no2)cc1 ZINC001321193808 867393913 /nfs/dbraw/zinc/39/39/13/867393913.db2.gz SBXXHPGFIOBURO-URWSZGRFSA-N 1 2 324.384 1.996 20 30 DDEDLO C=CCC1(C(=O)NC/C=C/C[NH2+]Cc2cnn(C)n2)CCCC1 ZINC001321431960 867588822 /nfs/dbraw/zinc/58/88/22/867588822.db2.gz LDSYICHCLCHZBR-VOTSOKGWSA-N 1 2 317.437 1.714 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@]1(O)CC[N@H+](Cc2nocc2C)C1 ZINC001325102140 867771801 /nfs/dbraw/zinc/77/18/01/867771801.db2.gz LWXABYMQVURPFZ-INIZCTEOSA-N 1 2 307.394 1.392 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2nocc2C)C1 ZINC001325102140 867771811 /nfs/dbraw/zinc/77/18/11/867771811.db2.gz LWXABYMQVURPFZ-INIZCTEOSA-N 1 2 307.394 1.392 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C[NH2+]Cc1nnc(OCC)s1 ZINC001321949528 867891597 /nfs/dbraw/zinc/89/15/97/867891597.db2.gz IDRFHFHZUSGZAJ-JTQLQIEISA-N 1 2 312.439 1.743 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1(O)C[NH+](CCc2ccccc2)C1 ZINC001325280686 867909714 /nfs/dbraw/zinc/90/97/14/867909714.db2.gz BRIHNKQDRQCLSS-UHFFFAOYSA-N 1 2 316.445 1.994 20 30 DDEDLO C#CCN(C(=O)C(=O)NCCCn1cc[nH+]c1)C1CCCCC1 ZINC001322839271 868417669 /nfs/dbraw/zinc/41/76/69/868417669.db2.gz ZIANHGCUKSEJMB-UHFFFAOYSA-N 1 2 316.405 1.184 20 30 DDEDLO CC#CC[C@H](NC(=O)OC(C)(C)C)C(=O)NCCn1cc[nH+]c1 ZINC001335707251 868488261 /nfs/dbraw/zinc/48/82/61/868488261.db2.gz HDWMAPNUVNVFBY-ZDUSSCGKSA-N 1 2 320.393 1.306 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CN(C)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001337260576 869407056 /nfs/dbraw/zinc/40/70/56/869407056.db2.gz SIDLXRYJICIUAG-KBPBESRZSA-N 1 2 318.421 1.375 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](NC(=O)C#CC(C)C)[C@@H]1C ZINC001337983722 869728860 /nfs/dbraw/zinc/72/88/60/869728860.db2.gz JRCDIWSCKIUKDO-KBPBESRZSA-N 1 2 316.405 1.026 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc(C(C)C)[nH]n3)n2C)CC1 ZINC001338083329 869791275 /nfs/dbraw/zinc/79/12/75/869791275.db2.gz BAICWEILBFFWRI-UHFFFAOYSA-N 1 2 313.409 1.084 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2cc(F)ccc2F)C1 ZINC001316977575 870042375 /nfs/dbraw/zinc/04/23/75/870042375.db2.gz VFKKEQWIYJXRHM-PBHICJAKSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2cc(F)ccc2F)C1 ZINC001316977575 870042381 /nfs/dbraw/zinc/04/23/81/870042381.db2.gz VFKKEQWIYJXRHM-PBHICJAKSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001316979584 870049893 /nfs/dbraw/zinc/04/98/93/870049893.db2.gz KHYIKGDDJVGOQL-CQSZACIVSA-N 1 2 304.394 1.532 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001316979584 870049904 /nfs/dbraw/zinc/04/99/04/870049904.db2.gz KHYIKGDDJVGOQL-CQSZACIVSA-N 1 2 304.394 1.532 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001297634333 870119502 /nfs/dbraw/zinc/11/95/02/870119502.db2.gz UQGVLJQYHGLDLT-SHTZXODSSA-N 1 2 316.405 1.230 20 30 DDEDLO C#CCCCC(=O)N(C)CCN(C(=O)Cc1[nH]cc[nH+]1)C(C)C ZINC001338775329 870162927 /nfs/dbraw/zinc/16/29/27/870162927.db2.gz KBPQFXMINVLMDY-UHFFFAOYSA-N 1 2 318.421 1.451 20 30 DDEDLO C=CCn1c(CC(F)F)nnc1N(C)CC[NH+]1CCOCC1 ZINC001338831413 870192795 /nfs/dbraw/zinc/19/27/95/870192795.db2.gz UGMORCXQSKRQDO-UHFFFAOYSA-N 1 2 315.368 1.040 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001298628374 870650648 /nfs/dbraw/zinc/65/06/48/870650648.db2.gz FOPDILYXKWKMTC-CHWSQXEVSA-N 1 2 318.421 1.279 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)C[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001339853043 870736145 /nfs/dbraw/zinc/73/61/45/870736145.db2.gz VTVIACZJINZMKO-CHWSQXEVSA-N 1 2 306.410 1.708 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCC[C@H](CF)C1 ZINC001339880379 870758107 /nfs/dbraw/zinc/75/81/07/870758107.db2.gz PSRBSEHLJVCWAK-CYBMUJFWSA-N 1 2 318.400 1.974 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[C@@H]1CCC[N@@H+]1Cc1csnn1 ZINC001317426086 870808775 /nfs/dbraw/zinc/80/87/75/870808775.db2.gz KTFZNPQXBBUCHI-WCQYABFASA-N 1 2 307.423 1.559 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[C@@H]1CCC[N@H+]1Cc1csnn1 ZINC001317426086 870808786 /nfs/dbraw/zinc/80/87/86/870808786.db2.gz KTFZNPQXBBUCHI-WCQYABFASA-N 1 2 307.423 1.559 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@@H+](C)Cc1nc(C)c(C)s1 ZINC001317445658 870851928 /nfs/dbraw/zinc/85/19/28/870851928.db2.gz ZKJUIECLHINQKI-OAHLLOKOSA-N 1 2 311.451 1.635 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@H+](C)Cc1nc(C)c(C)s1 ZINC001317445658 870851934 /nfs/dbraw/zinc/85/19/34/870851934.db2.gz ZKJUIECLHINQKI-OAHLLOKOSA-N 1 2 311.451 1.635 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]1CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001299034167 870853669 /nfs/dbraw/zinc/85/36/69/870853669.db2.gz VMYBBOLAZYHMBU-CABCVRRESA-N 1 2 318.421 1.908 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC001299035423 870856109 /nfs/dbraw/zinc/85/61/09/870856109.db2.gz DACUFNMVXOAQNW-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)Cc1cccc(Cl)c1 ZINC001317459311 870877071 /nfs/dbraw/zinc/87/70/71/870877071.db2.gz MTXBNGJLCNPJEV-UHFFFAOYSA-N 1 2 323.824 1.233 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)Cc1cccc(Cl)c1 ZINC001317459311 870877078 /nfs/dbraw/zinc/87/70/78/870877078.db2.gz MTXBNGJLCNPJEV-UHFFFAOYSA-N 1 2 323.824 1.233 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001299086183 870885039 /nfs/dbraw/zinc/88/50/39/870885039.db2.gz BBMUUPMXCSYXLS-AWEZNQCLSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001299086183 870885049 /nfs/dbraw/zinc/88/50/49/870885049.db2.gz BBMUUPMXCSYXLS-AWEZNQCLSA-N 1 2 304.394 1.416 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)CC1=CCCCC1 ZINC001317494851 870915454 /nfs/dbraw/zinc/91/54/54/870915454.db2.gz GEPMXSXSMGVTMK-OAHLLOKOSA-N 1 2 318.421 1.097 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)CC1=CCCCC1 ZINC001317494851 870915468 /nfs/dbraw/zinc/91/54/68/870915468.db2.gz GEPMXSXSMGVTMK-OAHLLOKOSA-N 1 2 318.421 1.097 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CCC[N@@H+]1Cc1nc(C)c(C)o1 ZINC001317505363 870941587 /nfs/dbraw/zinc/94/15/87/870941587.db2.gz OOGGSQYRMOMIFO-HUUCEWRRSA-N 1 2 319.405 1.410 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CCC[N@H+]1Cc1nc(C)c(C)o1 ZINC001317505363 870941594 /nfs/dbraw/zinc/94/15/94/870941594.db2.gz OOGGSQYRMOMIFO-HUUCEWRRSA-N 1 2 319.405 1.410 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc(O)c(OC)c2)CC1 ZINC001204117784 870945294 /nfs/dbraw/zinc/94/52/94/870945294.db2.gz AJGOZDUJGKLFJI-UHFFFAOYSA-N 1 2 304.346 1.680 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@](C)(CC)CCC)C1 ZINC001276446970 870985990 /nfs/dbraw/zinc/98/59/90/870985990.db2.gz ASSMYZBORBQWIQ-KBXCAEBGSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCCC(=O)NCC[N@@H+](C)CC(=O)N1[C@H](C)CCC[C@H]1C ZINC001317440336 871310806 /nfs/dbraw/zinc/31/08/06/871310806.db2.gz ANEJNEBFUWCMBP-HUUCEWRRSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)NCC[N@H+](C)CC(=O)N1[C@H](C)CCC[C@H]1C ZINC001317440336 871310824 /nfs/dbraw/zinc/31/08/24/871310824.db2.gz ANEJNEBFUWCMBP-HUUCEWRRSA-N 1 2 309.454 1.790 20 30 DDEDLO CC[C@H]1CCCCN1C(=O)C[N@H+](C)CCNC(=O)C#CC(C)C ZINC001317443349 871327265 /nfs/dbraw/zinc/32/72/65/871327265.db2.gz IVEGQEBFPKPHGI-INIZCTEOSA-N 1 2 321.465 1.485 20 30 DDEDLO CC[C@H]1CCCCN1C(=O)C[N@@H+](C)CCNC(=O)C#CC(C)C ZINC001317443349 871327279 /nfs/dbraw/zinc/32/72/79/871327279.db2.gz IVEGQEBFPKPHGI-INIZCTEOSA-N 1 2 321.465 1.485 20 30 DDEDLO COC1(C(F)(F)F)CC[NH+](CC(=O)NC2(C#N)CCC2)CC1 ZINC001307853891 871455427 /nfs/dbraw/zinc/45/54/27/871455427.db2.gz VJHXPORCAKVFNZ-UHFFFAOYSA-N 1 2 319.327 1.592 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1CC[N@@H+]([C@H](C)c2csnn2)C1 ZINC001317778686 871487453 /nfs/dbraw/zinc/48/74/53/871487453.db2.gz LLVPOLLMRMVQSP-CHWSQXEVSA-N 1 2 324.450 1.630 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1CC[N@H+]([C@H](C)c2csnn2)C1 ZINC001317778686 871487457 /nfs/dbraw/zinc/48/74/57/871487457.db2.gz LLVPOLLMRMVQSP-CHWSQXEVSA-N 1 2 324.450 1.630 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[C@H]1CC[N@H+](Cc2csnn2)C1 ZINC001317783510 871497580 /nfs/dbraw/zinc/49/75/80/871497580.db2.gz YEFUKBMRTZEGAE-ZDUSSCGKSA-N 1 2 320.462 1.916 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[C@H]1CC[N@@H+](Cc2csnn2)C1 ZINC001317783510 871497590 /nfs/dbraw/zinc/49/75/90/871497590.db2.gz YEFUKBMRTZEGAE-ZDUSSCGKSA-N 1 2 320.462 1.916 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(F)cc2)C1 ZINC001317918731 871622910 /nfs/dbraw/zinc/62/29/10/871622910.db2.gz OFMLYLWNLKOQOD-OAHLLOKOSA-N 1 2 319.380 1.322 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(F)cc2)C1 ZINC001317918731 871622921 /nfs/dbraw/zinc/62/29/21/871622921.db2.gz OFMLYLWNLKOQOD-OAHLLOKOSA-N 1 2 319.380 1.322 20 30 DDEDLO CCC(C)(CC)C(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001317518770 871725352 /nfs/dbraw/zinc/72/53/52/871725352.db2.gz YUDOBKQOKXILBR-CYBMUJFWSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC(C)(CC)C(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001317518770 871725356 /nfs/dbraw/zinc/72/53/56/871725356.db2.gz YUDOBKQOKXILBR-CYBMUJFWSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1C[NH+](Cc2ccncc2Cl)C1 ZINC001318118104 871760066 /nfs/dbraw/zinc/76/00/66/871760066.db2.gz PIIAWWSYWKBCPW-MRXNPFEDSA-N 1 2 323.824 1.610 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2ccc(C)cc2C)C1 ZINC001318171581 871794931 /nfs/dbraw/zinc/79/49/31/871794931.db2.gz RSSMGQZPVVYAKN-UHFFFAOYSA-N 1 2 315.417 1.267 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+](Cc2cc(C3CC3)no2)C1 ZINC001318309042 871918921 /nfs/dbraw/zinc/91/89/21/871918921.db2.gz FBEVYODBMGJLOG-AWEZNQCLSA-N 1 2 319.405 1.835 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C3CC3)no2)C1 ZINC001318309042 871918927 /nfs/dbraw/zinc/91/89/27/871918927.db2.gz FBEVYODBMGJLOG-AWEZNQCLSA-N 1 2 319.405 1.835 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1C[C@H]2CC[C@@H](C1)O2 ZINC001342100327 872005114 /nfs/dbraw/zinc/00/51/14/872005114.db2.gz QIQSKXYWWVCGCV-GASCZTMLSA-N 1 2 317.437 1.818 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)cs2)[C@@H]1C ZINC001316779137 872028133 /nfs/dbraw/zinc/02/81/33/872028133.db2.gz YZEDWMKZGPBJIO-OLZOCXBDSA-N 1 2 309.435 1.733 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)cs2)[C@@H]1C ZINC001316779137 872028154 /nfs/dbraw/zinc/02/81/54/872028154.db2.gz YZEDWMKZGPBJIO-OLZOCXBDSA-N 1 2 309.435 1.733 20 30 DDEDLO CC[C@H](CC(=O)N(C)[C@H]1CC[N@H+](CC(=O)NCC#N)C1)C(C)C ZINC001318447146 872058896 /nfs/dbraw/zinc/05/88/96/872058896.db2.gz XRBVHRONSDDIEY-CABCVRRESA-N 1 2 322.453 1.231 20 30 DDEDLO CC[C@H](CC(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1)C(C)C ZINC001318447146 872058911 /nfs/dbraw/zinc/05/89/11/872058911.db2.gz XRBVHRONSDDIEY-CABCVRRESA-N 1 2 322.453 1.231 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NC ZINC001234553826 888085431 /nfs/dbraw/zinc/08/54/31/888085431.db2.gz AGDLMLFJAIHMRP-PWSUYJOCSA-N 1 2 315.845 1.432 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NC ZINC001234553826 888085448 /nfs/dbraw/zinc/08/54/48/888085448.db2.gz AGDLMLFJAIHMRP-PWSUYJOCSA-N 1 2 315.845 1.432 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)Cc2nc(C)sc2C)C1 ZINC001319309640 872561568 /nfs/dbraw/zinc/56/15/68/872561568.db2.gz RKIRSGYWJJLQQK-CQSZACIVSA-N 1 2 323.462 1.696 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)Cc2nc(C)sc2C)C1 ZINC001319309640 872561576 /nfs/dbraw/zinc/56/15/76/872561576.db2.gz RKIRSGYWJJLQQK-CQSZACIVSA-N 1 2 323.462 1.696 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)CCCc2cccnc2)C1 ZINC001319329506 872579565 /nfs/dbraw/zinc/57/95/65/872579565.db2.gz AVDOQKQSOVFJRA-INIZCTEOSA-N 1 2 303.406 1.407 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)CCCc2cccnc2)C1 ZINC001319329506 872579577 /nfs/dbraw/zinc/57/95/77/872579577.db2.gz AVDOQKQSOVFJRA-INIZCTEOSA-N 1 2 303.406 1.407 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C2(c3ccccc3F)CC2)C1 ZINC001319330019 872579774 /nfs/dbraw/zinc/57/97/74/872579774.db2.gz LRKZHKAZGHOUQV-CQSZACIVSA-N 1 2 318.392 1.860 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C2(c3ccccc3F)CC2)C1 ZINC001319330019 872579786 /nfs/dbraw/zinc/57/97/86/872579786.db2.gz LRKZHKAZGHOUQV-CQSZACIVSA-N 1 2 318.392 1.860 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccco3)n2CC(=C)C)CC1 ZINC001343378368 872601517 /nfs/dbraw/zinc/60/15/17/872601517.db2.gz OWGIYAWLOFKXQR-UHFFFAOYSA-N 1 2 311.389 1.869 20 30 DDEDLO COCC#CC[NH2+]C[C@H](CC(C)C)NC(=O)c1[nH]nc(C)c1C ZINC001319604990 872713902 /nfs/dbraw/zinc/71/39/02/872713902.db2.gz OXEZDIMCPNETED-HNNXBMFYSA-N 1 2 320.437 1.410 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](CC(=O)NCC(C)(C)C)C[C@H]1C ZINC001206947130 872825663 /nfs/dbraw/zinc/82/56/63/872825663.db2.gz HHINALGHROOBJN-HUUCEWRRSA-N 1 2 321.465 1.245 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC(C)(C)C)C[C@H]1C ZINC001206947130 872825673 /nfs/dbraw/zinc/82/56/73/872825673.db2.gz HHINALGHROOBJN-HUUCEWRRSA-N 1 2 321.465 1.245 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)oc1C ZINC001206947381 872826450 /nfs/dbraw/zinc/82/64/50/872826450.db2.gz RLNKQJSOBPHNNY-IAQYHMDHSA-N 1 2 301.390 1.641 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)oc1C ZINC001206947381 872826457 /nfs/dbraw/zinc/82/64/57/872826457.db2.gz RLNKQJSOBPHNNY-IAQYHMDHSA-N 1 2 301.390 1.641 20 30 DDEDLO C=CCN(C)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC(C)C ZINC001344500870 873024268 /nfs/dbraw/zinc/02/42/68/873024268.db2.gz ROBKDVBAFQGOJW-XJKSGUPXSA-N 1 2 307.442 1.468 20 30 DDEDLO C=CCN(C)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC(C)C ZINC001344500870 873024288 /nfs/dbraw/zinc/02/42/88/873024288.db2.gz ROBKDVBAFQGOJW-XJKSGUPXSA-N 1 2 307.442 1.468 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001207683403 873473920 /nfs/dbraw/zinc/47/39/20/873473920.db2.gz ARRVATJEZYTLTQ-ZDUSSCGKSA-N 1 2 322.409 1.476 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001207860981 873618891 /nfs/dbraw/zinc/61/88/91/873618891.db2.gz TWMXUIDXIAELOJ-CQSZACIVSA-N 1 2 304.394 1.652 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H]([NH2+][C@H](C)c2nc(C)no2)C1 ZINC001208028455 873769471 /nfs/dbraw/zinc/76/94/71/873769471.db2.gz FBGTZAHVLJVOBI-TZMCWYRMSA-N 1 2 320.393 1.060 20 30 DDEDLO COCC#CC(=O)N1CC[NH+]([C@H](C)c2cccc(OC)c2)CC1 ZINC001347394894 874122501 /nfs/dbraw/zinc/12/25/01/874122501.db2.gz NYDWQWHDSVXJPE-OAHLLOKOSA-N 1 2 316.401 1.550 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc(Br)nn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228281799 883418739 /nfs/dbraw/zinc/41/87/39/883418739.db2.gz FJRFPBXCRAEQHU-NTBMCGCHSA-N 1 2 312.167 1.230 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc(Br)nn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228281799 883418747 /nfs/dbraw/zinc/41/87/47/883418747.db2.gz FJRFPBXCRAEQHU-NTBMCGCHSA-N 1 2 312.167 1.230 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)COCc2ccncc2)C1 ZINC001378208764 874433971 /nfs/dbraw/zinc/43/39/71/874433971.db2.gz WPKBFDYPDBFJEA-HNNXBMFYSA-N 1 2 323.824 1.883 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)COCc2ccncc2)C1 ZINC001378208764 874433980 /nfs/dbraw/zinc/43/39/80/874433980.db2.gz WPKBFDYPDBFJEA-HNNXBMFYSA-N 1 2 323.824 1.883 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+](Cc2nccn2CC)CC1 ZINC001227114336 882847715 /nfs/dbraw/zinc/84/77/15/882847715.db2.gz JZVFUFHQYQHOJQ-KRWDZBQOSA-N 1 2 320.437 1.311 20 30 DDEDLO COC(=O)c1c(O)cccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001227149333 882873738 /nfs/dbraw/zinc/87/37/38/882873738.db2.gz MJNJYELGSCUJCJ-HTXLRQDYSA-N 1 2 305.330 1.170 20 30 DDEDLO COC(=O)c1c(O)cccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001227149333 882873756 /nfs/dbraw/zinc/87/37/56/882873756.db2.gz MJNJYELGSCUJCJ-HTXLRQDYSA-N 1 2 305.330 1.170 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+]([C@H](C)c2nnc(C)o2)CC1 ZINC001227322960 882970031 /nfs/dbraw/zinc/97/00/31/882970031.db2.gz KAKGWZXDNZARST-GFCCVEGCSA-N 1 2 320.393 1.060 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@H]1OC ZINC001213268711 875866579 /nfs/dbraw/zinc/86/65/79/875866579.db2.gz PKORFKIXSZBBBU-BPLDGKMQSA-N 1 2 318.421 1.565 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@H]1OC ZINC001213268711 875866586 /nfs/dbraw/zinc/86/65/86/875866586.db2.gz PKORFKIXSZBBBU-BPLDGKMQSA-N 1 2 318.421 1.565 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1OC ZINC001213357237 875907116 /nfs/dbraw/zinc/90/71/16/875907116.db2.gz LCGQJQPMBUCSEL-ZIAGYGMSSA-N 1 2 309.435 1.815 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1OC ZINC001213357237 875907122 /nfs/dbraw/zinc/90/71/22/875907122.db2.gz LCGQJQPMBUCSEL-ZIAGYGMSSA-N 1 2 309.435 1.815 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)Nc2cccc([S@](C)=O)c2)C1 ZINC001351271160 876156532 /nfs/dbraw/zinc/15/65/32/876156532.db2.gz BHXLVZBLGLPTFX-PEBXRYMYSA-N 1 2 319.430 1.643 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)Nc2cccc([S@](C)=O)c2)C1 ZINC001351271160 876156538 /nfs/dbraw/zinc/15/65/38/876156538.db2.gz BHXLVZBLGLPTFX-PEBXRYMYSA-N 1 2 319.430 1.643 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CCOC)no2)C1 ZINC001214302175 876270869 /nfs/dbraw/zinc/27/08/69/876270869.db2.gz VFIJCHOYBMORHZ-CHWSQXEVSA-N 1 2 322.409 1.161 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001214331897 876288435 /nfs/dbraw/zinc/28/84/35/876288435.db2.gz XITRMTQWORUAIT-CHWSQXEVSA-N 1 2 322.409 1.332 20 30 DDEDLO C=CCCC(=O)N(C)CCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001351644662 876361717 /nfs/dbraw/zinc/36/17/17/876361717.db2.gz CINUFIZTUJFGMG-CHWSQXEVSA-N 1 2 304.394 1.444 20 30 DDEDLO C=CCCC(=O)N(C)CCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001351644662 876361726 /nfs/dbraw/zinc/36/17/26/876361726.db2.gz CINUFIZTUJFGMG-CHWSQXEVSA-N 1 2 304.394 1.444 20 30 DDEDLO C[C@@H](CN(C)C(=O)[C@@H](C)C#N)[NH2+]Cc1nnc(C(F)F)s1 ZINC001379109190 876425225 /nfs/dbraw/zinc/42/52/25/876425225.db2.gz SSFITRBUUGKOCE-YUMQZZPRSA-N 1 2 317.365 1.572 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4cnccn4)C3)C2)cc1C#N ZINC001276734809 876859528 /nfs/dbraw/zinc/85/95/28/876859528.db2.gz ZLTPELSPCJWKJV-UHFFFAOYSA-N 1 2 308.389 1.005 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](CC)OCC)n2CC)CC1 ZINC001352809966 876945355 /nfs/dbraw/zinc/94/53/55/876945355.db2.gz MNULFPKIZGGLSY-CQSZACIVSA-N 1 2 305.426 1.541 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001352903403 876997760 /nfs/dbraw/zinc/99/77/60/876997760.db2.gz OXLBYXALCYQWLP-AWEZNQCLSA-N 1 2 316.405 1.171 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C2CC2)C1 ZINC001353225704 877201483 /nfs/dbraw/zinc/20/14/83/877201483.db2.gz MTWXDRQPNMOUJL-AWEZNQCLSA-N 1 2 316.405 1.320 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@H+](Cc3conc3C)C[C@@H]21 ZINC001218037740 877377718 /nfs/dbraw/zinc/37/77/18/877377718.db2.gz QFMRJPSDRUKBHL-JKSUJKDBSA-N 1 2 319.405 1.751 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3conc3C)C[C@@H]21 ZINC001218037740 877377734 /nfs/dbraw/zinc/37/77/34/877377734.db2.gz QFMRJPSDRUKBHL-JKSUJKDBSA-N 1 2 319.405 1.751 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@H](O)CN(C)C(=O)CCC ZINC001379892359 878241038 /nfs/dbraw/zinc/24/10/38/878241038.db2.gz YPTQENXIHJHVCO-NSHDSACASA-N 1 2 307.232 1.446 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@H](O)CN(C)C(=O)CCC ZINC001379892359 878241052 /nfs/dbraw/zinc/24/10/52/878241052.db2.gz YPTQENXIHJHVCO-NSHDSACASA-N 1 2 307.232 1.446 20 30 DDEDLO CC1(CC(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]2O)CC1 ZINC001220036977 878663054 /nfs/dbraw/zinc/66/30/54/878663054.db2.gz BXUXIJZCPXUNHB-SJORKVTESA-N 1 2 312.413 1.390 20 30 DDEDLO CC1(CC(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]2O)CC1 ZINC001220036977 878663069 /nfs/dbraw/zinc/66/30/69/878663069.db2.gz BXUXIJZCPXUNHB-SJORKVTESA-N 1 2 312.413 1.390 20 30 DDEDLO C=C1CCC(C(=O)NCCN(C)C(=O)Cn2cc[nH+]c2)CC1 ZINC001355877707 878759711 /nfs/dbraw/zinc/75/97/11/878759711.db2.gz ZRAPVJYOSNHGPP-UHFFFAOYSA-N 1 2 304.394 1.204 20 30 DDEDLO CC[C@H](CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O)C(C)(C)C ZINC001220748749 879228392 /nfs/dbraw/zinc/22/83/92/879228392.db2.gz IQAKIIOCEUGUNK-OAGGEKHMSA-N 1 2 324.465 1.260 20 30 DDEDLO CC[C@H](CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O)C(C)(C)C ZINC001220748749 879228405 /nfs/dbraw/zinc/22/84/05/879228405.db2.gz IQAKIIOCEUGUNK-OAGGEKHMSA-N 1 2 324.465 1.260 20 30 DDEDLO C=CCCCC(=O)N(CC)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001356860468 879489837 /nfs/dbraw/zinc/48/98/37/879489837.db2.gz GXCLRJXSRUYCNP-AWEZNQCLSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](CC)NC(=O)Cc1c[nH]c[nH+]1 ZINC001356921907 879592379 /nfs/dbraw/zinc/59/23/79/879592379.db2.gz WQNUMMZIUOUFMQ-MLGOLLRUSA-N 1 2 306.410 1.566 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cc(OC)no3)C[C@H]21 ZINC001221514503 879905207 /nfs/dbraw/zinc/90/52/07/879905207.db2.gz BSHXMCCXRNQHRF-UKRRQHHQSA-N 1 2 317.389 1.519 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+](Cc3cc(OC)no3)C[C@H]21 ZINC001221514503 879905215 /nfs/dbraw/zinc/90/52/15/879905215.db2.gz BSHXMCCXRNQHRF-UKRRQHHQSA-N 1 2 317.389 1.519 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@H+](CC(=O)NC(C)(C)C)C[C@H]21 ZINC001221529247 879920798 /nfs/dbraw/zinc/92/07/98/879920798.db2.gz BFMGTQSXTXHTFV-HUUCEWRRSA-N 1 2 319.449 1.093 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC(C)(C)C)C[C@H]21 ZINC001221529247 879920807 /nfs/dbraw/zinc/92/08/07/879920807.db2.gz BFMGTQSXTXHTFV-HUUCEWRRSA-N 1 2 319.449 1.093 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@@H+](CC(=O)NCC)C[C@H]32)CCC1 ZINC001221797944 880092532 /nfs/dbraw/zinc/09/25/32/880092532.db2.gz ORVYVIVBFBVZTL-HUUCEWRRSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@H+](CC(=O)NCC)C[C@H]32)CCC1 ZINC001221797944 880092546 /nfs/dbraw/zinc/09/25/46/880092546.db2.gz ORVYVIVBFBVZTL-HUUCEWRRSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCCC(=O)NC/C=C\CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001357655083 880118904 /nfs/dbraw/zinc/11/89/04/880118904.db2.gz RQKJLMWSHBQQCZ-ZFDPJTLLSA-N 1 2 316.405 1.584 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001222072905 880220312 /nfs/dbraw/zinc/22/03/12/880220312.db2.gz MWQOXNOOMGFHCN-CHWSQXEVSA-N 1 2 322.409 1.304 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)[C@H]2CCCOC2)C1 ZINC001380732783 880299684 /nfs/dbraw/zinc/29/96/84/880299684.db2.gz YGTBYSLDYJLVIF-DZGCQCFKSA-N 1 2 316.829 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)[C@H]2CCCOC2)C1 ZINC001380732783 880299699 /nfs/dbraw/zinc/29/96/99/880299699.db2.gz YGTBYSLDYJLVIF-DZGCQCFKSA-N 1 2 316.829 1.109 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nccn3C)[C@H]2C1 ZINC001222458157 880480896 /nfs/dbraw/zinc/48/08/96/880480896.db2.gz IMGOOXPTVAKCPF-CABCVRRESA-N 1 2 318.421 1.046 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@H+](Cc3nccn3C)[C@H]2C1 ZINC001222458157 880480906 /nfs/dbraw/zinc/48/09/06/880480906.db2.gz IMGOOXPTVAKCPF-CABCVRRESA-N 1 2 318.421 1.046 20 30 DDEDLO COC(=O)[C@]1(C(F)(F)F)CC[N@H+](Cc2cc(C#N)ccn2)C1 ZINC001413990810 880509810 /nfs/dbraw/zinc/50/98/10/880509810.db2.gz HLHJGTQFUZJUSJ-ZDUSSCGKSA-N 1 2 313.279 1.881 20 30 DDEDLO COC(=O)[C@]1(C(F)(F)F)CC[N@@H+](Cc2cc(C#N)ccn2)C1 ZINC001413990810 880509817 /nfs/dbraw/zinc/50/98/17/880509817.db2.gz HLHJGTQFUZJUSJ-ZDUSSCGKSA-N 1 2 313.279 1.881 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](Cc2nn(CC)nc2C)CC1 ZINC001222609857 880598577 /nfs/dbraw/zinc/59/85/77/880598577.db2.gz SJRDYOJRKBHNIW-UHFFFAOYSA-N 1 2 319.453 1.901 20 30 DDEDLO C=CC(C)(C)C(=O)NC1(CNC(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC001358662663 880722082 /nfs/dbraw/zinc/72/20/82/880722082.db2.gz DTPWQVQFQVMPPA-CYBMUJFWSA-N 1 2 318.421 1.811 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001276860458 880804683 /nfs/dbraw/zinc/80/46/83/880804683.db2.gz JJIFVZXOENSPBP-HUUCEWRRSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001276860458 880804690 /nfs/dbraw/zinc/80/46/90/880804690.db2.gz JJIFVZXOENSPBP-HUUCEWRRSA-N 1 2 321.465 1.789 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@](C)(OC)c1ccccc1F ZINC001276861821 880816246 /nfs/dbraw/zinc/81/62/46/880816246.db2.gz QLTKZHOKACOWNF-DYVFJYSZSA-N 1 2 304.365 1.511 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@](C)(OC)c1ccccc1F ZINC001276861821 880816266 /nfs/dbraw/zinc/81/62/66/880816266.db2.gz QLTKZHOKACOWNF-DYVFJYSZSA-N 1 2 304.365 1.511 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)CC)n2CC2(O)CC2)CC1 ZINC001359029256 881159679 /nfs/dbraw/zinc/15/96/79/881159679.db2.gz SCRRQKRBFNFNMI-AWEZNQCLSA-N 1 2 317.437 1.072 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccn3)n2[C@H]2C[C@@H]2C)CC1 ZINC001359033528 881163183 /nfs/dbraw/zinc/16/31/83/881163183.db2.gz FUSMREVXHXFAOG-HOCLYGCPSA-N 1 2 322.416 1.676 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@H+]2Cc3ccccc3C[C@H]2C(N)=O)c1 ZINC001414042069 881174855 /nfs/dbraw/zinc/17/48/55/881174855.db2.gz ZDLQAHAXLBIPCB-ZWKOTPCHSA-N 1 2 321.380 1.504 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@@H+]2Cc3ccccc3C[C@H]2C(N)=O)c1 ZINC001414042069 881174860 /nfs/dbraw/zinc/17/48/60/881174860.db2.gz ZDLQAHAXLBIPCB-ZWKOTPCHSA-N 1 2 321.380 1.504 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001288039435 912688097 /nfs/dbraw/zinc/68/80/97/912688097.db2.gz HPULZCQWMVJLIS-HUUCEWRRSA-N 1 2 318.421 1.641 20 30 DDEDLO C[C@H]1CCN(C(=O)CNc2ccc(C#N)cn2)C[C@@H]1n1cc[nH+]c1 ZINC001362275094 883460955 /nfs/dbraw/zinc/46/09/55/883460955.db2.gz YQSALRAZHKRRBD-ZFWWWQNUSA-N 1 2 324.388 1.671 20 30 DDEDLO CO[C@@H]1CC[N@H+](CCOc2ccc(O)c3c2C(=O)C=CC3=O)C1 ZINC001229408495 883967712 /nfs/dbraw/zinc/96/77/12/883967712.db2.gz ZWKPSNWRPYXOFC-LLVKDONJSA-N 1 2 317.341 1.427 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CCOc2ccc(O)c3c2C(=O)C=CC3=O)C1 ZINC001229408495 883967719 /nfs/dbraw/zinc/96/77/19/883967719.db2.gz ZWKPSNWRPYXOFC-LLVKDONJSA-N 1 2 317.341 1.427 20 30 DDEDLO COc1ccc(NC(C)=O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001230387988 884464839 /nfs/dbraw/zinc/46/48/39/884464839.db2.gz CRTYFLDEFSOQDQ-BSTOKRDTSA-N 1 2 318.373 1.645 20 30 DDEDLO COc1ccc(NC(C)=O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001230387988 884464845 /nfs/dbraw/zinc/46/48/45/884464845.db2.gz CRTYFLDEFSOQDQ-BSTOKRDTSA-N 1 2 318.373 1.645 20 30 DDEDLO CNC(=O)CCCC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230552945 884575756 /nfs/dbraw/zinc/57/57/56/884575756.db2.gz WNRBDKJRSADIHZ-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO CNC(=O)CCCC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230552945 884575765 /nfs/dbraw/zinc/57/57/65/884575765.db2.gz WNRBDKJRSADIHZ-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCC[N@H+](CCF)Cc1cccnc1 ZINC001230763207 884845857 /nfs/dbraw/zinc/84/58/57/884845857.db2.gz USEUGEXFAPMACW-OAHLLOKOSA-N 1 2 321.396 1.398 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCC[N@@H+](CCF)Cc1cccnc1 ZINC001230763207 884845870 /nfs/dbraw/zinc/84/58/70/884845870.db2.gz USEUGEXFAPMACW-OAHLLOKOSA-N 1 2 321.396 1.398 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C(C)C ZINC001230802890 884896357 /nfs/dbraw/zinc/89/63/57/884896357.db2.gz PTBZROMMTHNOEK-HNNXBMFYSA-N 1 2 307.438 1.376 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)C ZINC001230802890 884896371 /nfs/dbraw/zinc/89/63/71/884896371.db2.gz PTBZROMMTHNOEK-HNNXBMFYSA-N 1 2 307.438 1.376 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001230938238 885061074 /nfs/dbraw/zinc/06/10/74/885061074.db2.gz OBNILWKUNVAKMX-NVXWUHKLSA-N 1 2 300.402 1.606 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001230938238 885061089 /nfs/dbraw/zinc/06/10/89/885061089.db2.gz OBNILWKUNVAKMX-NVXWUHKLSA-N 1 2 300.402 1.606 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001230939227 885064943 /nfs/dbraw/zinc/06/49/43/885064943.db2.gz SKTDDUKDVBKSQR-NWDGAFQWSA-N 1 2 307.419 1.687 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001230939227 885064951 /nfs/dbraw/zinc/06/49/51/885064951.db2.gz SKTDDUKDVBKSQR-NWDGAFQWSA-N 1 2 307.419 1.687 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1CCCCCC1 ZINC001231114543 885248786 /nfs/dbraw/zinc/24/87/86/885248786.db2.gz OOYJXXKAEIDRIQ-MRXNPFEDSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CCCCCC1 ZINC001231114543 885248797 /nfs/dbraw/zinc/24/87/97/885248797.db2.gz OOYJXXKAEIDRIQ-MRXNPFEDSA-N 1 2 321.465 1.792 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001231246359 885427262 /nfs/dbraw/zinc/42/72/62/885427262.db2.gz FYWIBPACPCDTAV-NWDGAFQWSA-N 1 2 302.403 1.812 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001231246359 885427272 /nfs/dbraw/zinc/42/72/72/885427272.db2.gz FYWIBPACPCDTAV-NWDGAFQWSA-N 1 2 302.403 1.812 20 30 DDEDLO C=CCOC[C@H]1c2c(ncn2C)CCN1Cc1c[nH+]c(C)[nH]1 ZINC001232462242 886409239 /nfs/dbraw/zinc/40/92/39/886409239.db2.gz LQYUHCXKLVAYSA-HNNXBMFYSA-N 1 2 301.394 1.754 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(F)=C(C)C ZINC001233664807 887201110 /nfs/dbraw/zinc/20/11/10/887201110.db2.gz WXPIDJHPJRSNIJ-CHWSQXEVSA-N 1 2 311.401 1.473 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(F)=C(C)C ZINC001233664807 887201122 /nfs/dbraw/zinc/20/11/22/887201122.db2.gz WXPIDJHPJRSNIJ-CHWSQXEVSA-N 1 2 311.401 1.473 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NCCC ZINC001233800571 887335098 /nfs/dbraw/zinc/33/50/98/887335098.db2.gz JBNCUQZBYBXSIQ-HZPDHXFCSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCCC ZINC001233800571 887335110 /nfs/dbraw/zinc/33/51/10/887335110.db2.gz JBNCUQZBYBXSIQ-HZPDHXFCSA-N 1 2 321.465 1.627 20 30 DDEDLO CCOC(=O)C[NH+]1CCC2(C[C@H]2C(=O)NC2(C#N)CCC2)CC1 ZINC001277814288 887409244 /nfs/dbraw/zinc/40/92/44/887409244.db2.gz HXDZCCUAOOOCES-ZDUSSCGKSA-N 1 2 319.405 1.214 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@@H+]1CCn1cc(Cl)cn1 ZINC001234159981 887696982 /nfs/dbraw/zinc/69/69/82/887696982.db2.gz FAWQTJDURCFYOA-HNNXBMFYSA-N 1 2 322.840 1.729 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@H+]1CCn1cc(Cl)cn1 ZINC001234159981 887696990 /nfs/dbraw/zinc/69/69/90/887696990.db2.gz FAWQTJDURCFYOA-HNNXBMFYSA-N 1 2 322.840 1.729 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)s1 ZINC001234215829 887757440 /nfs/dbraw/zinc/75/74/40/887757440.db2.gz PPHAIEOXIJZHSH-JSGCOSHPSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)s1 ZINC001234215829 887757448 /nfs/dbraw/zinc/75/74/48/887757448.db2.gz PPHAIEOXIJZHSH-JSGCOSHPSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1C ZINC001234226027 887766814 /nfs/dbraw/zinc/76/68/14/887766814.db2.gz QQLZUEUXGPJGNH-ZFWWWQNUSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1C ZINC001234226027 887766828 /nfs/dbraw/zinc/76/68/28/887766828.db2.gz QQLZUEUXGPJGNH-ZFWWWQNUSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234544755 888077677 /nfs/dbraw/zinc/07/76/77/888077677.db2.gz CUEPROAGYZFXDD-GJZGRUSLSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234544755 888077689 /nfs/dbraw/zinc/07/76/89/888077689.db2.gz CUEPROAGYZFXDD-GJZGRUSLSA-N 1 2 321.465 1.483 20 30 DDEDLO Cc1ccccc1[C@@H]1CN(S(=O)(=O)N(C)CCC#N)CC[NH2+]1 ZINC001364182442 888097457 /nfs/dbraw/zinc/09/74/57/888097457.db2.gz WRDOTWCAHGJYFM-HNNXBMFYSA-N 1 2 322.434 1.032 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC(=C)C ZINC001234625620 888152644 /nfs/dbraw/zinc/15/26/44/888152644.db2.gz NALXTEZVUWJZTF-LSDHHAIUSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCC(=C)C ZINC001234625620 888152650 /nfs/dbraw/zinc/15/26/50/888152650.db2.gz NALXTEZVUWJZTF-LSDHHAIUSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCC(=C)C ZINC001234625616 888153164 /nfs/dbraw/zinc/15/31/64/888153164.db2.gz NALXTEZVUWJZTF-GJZGRUSLSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCC(=C)C ZINC001234625616 888153177 /nfs/dbraw/zinc/15/31/77/888153177.db2.gz NALXTEZVUWJZTF-GJZGRUSLSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2CC(=O)NC(C)C)CCC1 ZINC001235210721 888480428 /nfs/dbraw/zinc/48/04/28/888480428.db2.gz VLDGCDVLPQMJBD-OAHLLOKOSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2CC(=O)NC(C)C)CCC1 ZINC001235210721 888480436 /nfs/dbraw/zinc/48/04/36/888480436.db2.gz VLDGCDVLPQMJBD-OAHLLOKOSA-N 1 2 319.449 1.237 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1coc(C2CC2)n1 ZINC001235683255 888875838 /nfs/dbraw/zinc/87/58/38/888875838.db2.gz HJMGJTNQMCYAJF-UHFFFAOYSA-N 1 2 307.394 1.693 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1coc(C2CC2)n1 ZINC001235683255 888875859 /nfs/dbraw/zinc/87/58/59/888875859.db2.gz HJMGJTNQMCYAJF-UHFFFAOYSA-N 1 2 307.394 1.693 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1(C2CC2)CC1 ZINC001235700404 888898103 /nfs/dbraw/zinc/89/81/03/888898103.db2.gz KMJDLDZJUKMOAE-ZFWWWQNUSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1(C2CC2)CC1 ZINC001235700404 888898106 /nfs/dbraw/zinc/89/81/06/888898106.db2.gz KMJDLDZJUKMOAE-ZFWWWQNUSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H]1CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001290010805 913331651 /nfs/dbraw/zinc/33/16/51/913331651.db2.gz NMJWNACYZXOZIC-PBHICJAKSA-N 1 2 318.421 1.614 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2ccc(Cl)c(C#N)c2)CCCO1 ZINC001238198699 890130593 /nfs/dbraw/zinc/13/05/93/890130593.db2.gz MJVSGFLYCBDKGZ-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2ccc(Cl)c(C#N)c2)CCCO1 ZINC001238198699 890130597 /nfs/dbraw/zinc/13/05/97/890130597.db2.gz MJVSGFLYCBDKGZ-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1CC ZINC001290414174 913427962 /nfs/dbraw/zinc/42/79/62/913427962.db2.gz TXGDOJKMUFYAHT-UONOGXRCSA-N 1 2 316.405 1.251 20 30 DDEDLO CC[C@H](OC)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001365979330 892114013 /nfs/dbraw/zinc/11/40/13/892114013.db2.gz WNTQKLWNAHSXRP-CVEARBPZSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H](OC)C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001365979330 892114025 /nfs/dbraw/zinc/11/40/25/892114025.db2.gz WNTQKLWNAHSXRP-CVEARBPZSA-N 1 2 301.390 1.674 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@]3(NC(=O)C#CC(C)C)CCC[C@@H]23)n1 ZINC001278444771 892585891 /nfs/dbraw/zinc/58/58/91/892585891.db2.gz FZUOPLWAQVVCIC-RHSMWYFYSA-N 1 2 316.405 1.651 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@]3(NC(=O)C#CC(C)C)CCC[C@@H]23)n1 ZINC001278444771 892585898 /nfs/dbraw/zinc/58/58/98/892585898.db2.gz FZUOPLWAQVVCIC-RHSMWYFYSA-N 1 2 316.405 1.651 20 30 DDEDLO N#Cc1ccn2ncc(C[NH+]3CCN(c4ncccn4)CC3)c2c1 ZINC001249082190 893807814 /nfs/dbraw/zinc/80/78/14/893807814.db2.gz KHKMOHIFDNGOAY-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO CC(C)N(C(=O)C[N@H+](C)CCNC(=O)[C@@H](C)C#N)C1CCCC1 ZINC001366519292 893836688 /nfs/dbraw/zinc/83/66/88/893836688.db2.gz KZFYLTFLINULSR-AWEZNQCLSA-N 1 2 322.453 1.374 20 30 DDEDLO CC(C)N(C(=O)C[N@@H+](C)CCNC(=O)[C@@H](C)C#N)C1CCCC1 ZINC001366519292 893836704 /nfs/dbraw/zinc/83/67/04/893836704.db2.gz KZFYLTFLINULSR-AWEZNQCLSA-N 1 2 322.453 1.374 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](N2CCCS2(=O)=O)C1 ZINC001250379752 894259186 /nfs/dbraw/zinc/25/91/86/894259186.db2.gz MZNIVTARVCMIEI-OAHLLOKOSA-N 1 2 305.403 1.168 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](N2CCCS2(=O)=O)C1 ZINC001250379752 894259194 /nfs/dbraw/zinc/25/91/94/894259194.db2.gz MZNIVTARVCMIEI-OAHLLOKOSA-N 1 2 305.403 1.168 20 30 DDEDLO N#Cc1c(F)c(Cl)ccc1NC[C@H](O)C[NH+]1CCOCC1 ZINC001251031318 894587630 /nfs/dbraw/zinc/58/76/30/894587630.db2.gz KAYOSFHGGHOHBM-JTQLQIEISA-N 1 2 313.760 1.456 20 30 DDEDLO C#CCOC[C@@H](O)C[NH+](Cc1ccccn1)Cc1ccccn1 ZINC001251821650 894825872 /nfs/dbraw/zinc/82/58/72/894825872.db2.gz UQFVWBHKSISYIC-SFHVURJKSA-N 1 2 311.385 1.490 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CC[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001366767777 894877151 /nfs/dbraw/zinc/87/71/51/894877151.db2.gz ZZNCDZHWKHHQLL-QWRGUYRKSA-N 1 2 307.398 1.636 20 30 DDEDLO C=CCOC[C@H](O)CN1CC[C@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001252472165 895189266 /nfs/dbraw/zinc/18/92/66/895189266.db2.gz OSGHNDOLHYSPNW-KGLIPLIRSA-N 1 2 304.381 1.355 20 30 DDEDLO C=CCOC[C@H](O)C[NH2+][C@H](C(=O)OC(C)(C)C)C(C)(C)C ZINC001252494866 895214620 /nfs/dbraw/zinc/21/46/20/895214620.db2.gz GYYRUPOHFUFDER-CHWSQXEVSA-N 1 2 301.427 1.896 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001366889486 895244074 /nfs/dbraw/zinc/24/40/74/895244074.db2.gz NHUZDSTTYDOTJE-IUODEOHRSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001366889486 895244089 /nfs/dbraw/zinc/24/40/89/895244089.db2.gz NHUZDSTTYDOTJE-IUODEOHRSA-N 1 2 314.364 1.938 20 30 DDEDLO C=C[C@](C)(O)C[NH+]1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC001252548162 895261917 /nfs/dbraw/zinc/26/19/17/895261917.db2.gz AYCJMGVYBBFUFS-INIZCTEOSA-N 1 2 324.446 1.238 20 30 DDEDLO C=C[C@@](C)(O)CNc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC001252564785 895284664 /nfs/dbraw/zinc/28/46/64/895284664.db2.gz KPBOGNXKRZBCNL-QGZVFWFLSA-N 1 2 306.406 1.747 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCc2c(nnn2CC)[C@H]1COCC=C ZINC001252596123 895299582 /nfs/dbraw/zinc/29/95/82/895299582.db2.gz OHEOFPVLCOFFGA-GOEBONIOSA-N 1 2 320.437 1.727 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCc2c(nnn2CC)[C@H]1COCC=C ZINC001252596123 895299593 /nfs/dbraw/zinc/29/95/93/895299593.db2.gz OHEOFPVLCOFFGA-GOEBONIOSA-N 1 2 320.437 1.727 20 30 DDEDLO COC[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001367028618 895618101 /nfs/dbraw/zinc/61/81/01/895618101.db2.gz QRUVOPRNCGJNCA-IUODEOHRSA-N 1 2 319.380 1.670 20 30 DDEDLO COC[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001367028618 895618104 /nfs/dbraw/zinc/61/81/04/895618104.db2.gz QRUVOPRNCGJNCA-IUODEOHRSA-N 1 2 319.380 1.670 20 30 DDEDLO CCc1noc(C[NH2+][C@@H](CC)CNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001367093463 895845020 /nfs/dbraw/zinc/84/50/20/895845020.db2.gz ZEEKDPFHSRIYDR-NSHDSACASA-N 1 2 316.365 1.130 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](C[C@H](O)COC(C)(C)C)CC1 ZINC001253458761 895857585 /nfs/dbraw/zinc/85/75/85/895857585.db2.gz BXPXHIBZVGAHKJ-ZDUSSCGKSA-N 1 2 300.399 1.103 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@H+](Cc2cscn2)C1 ZINC001278800839 896546776 /nfs/dbraw/zinc/54/67/76/896546776.db2.gz QKAOKIQRYLWHFY-OAHLLOKOSA-N 1 2 309.435 1.552 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@@H+](Cc2cscn2)C1 ZINC001278800839 896546784 /nfs/dbraw/zinc/54/67/84/896546784.db2.gz QKAOKIQRYLWHFY-OAHLLOKOSA-N 1 2 309.435 1.552 20 30 DDEDLO CC(C)NC(=O)c1noc2c1C[N@@H+]([C@@H]1CC[C@@H](C#N)C1)CC2 ZINC001254663457 896559246 /nfs/dbraw/zinc/55/92/46/896559246.db2.gz BWLPNQPDOMBIQI-VXGBXAGGSA-N 1 2 302.378 1.863 20 30 DDEDLO CC(C)NC(=O)c1noc2c1C[N@H+]([C@@H]1CC[C@@H](C#N)C1)CC2 ZINC001254663457 896559257 /nfs/dbraw/zinc/55/92/57/896559257.db2.gz BWLPNQPDOMBIQI-VXGBXAGGSA-N 1 2 302.378 1.863 20 30 DDEDLO CCOC(=O)N1CC[C@H]([NH+]2CCC(C#N)(C(=O)OCC)CC2)C1 ZINC001256045730 897244586 /nfs/dbraw/zinc/24/45/86/897244586.db2.gz NZQFWNFQPHWDTK-ZDUSSCGKSA-N 1 2 323.393 1.386 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@@H]1CCCNC1=O)C(C)C ZINC001278912201 897289795 /nfs/dbraw/zinc/28/97/95/897289795.db2.gz LUCZVLNBGBJZRQ-CYBMUJFWSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@@H]1CCCNC1=O)C(C)C ZINC001278912201 897289807 /nfs/dbraw/zinc/28/98/07/897289807.db2.gz LUCZVLNBGBJZRQ-CYBMUJFWSA-N 1 2 315.845 1.434 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC001256347381 897398324 /nfs/dbraw/zinc/39/83/24/897398324.db2.gz RFINLMILTBMIPN-HOTGVXAUSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC001256347381 897398338 /nfs/dbraw/zinc/39/83/38/897398338.db2.gz RFINLMILTBMIPN-HOTGVXAUSA-N 1 2 308.422 1.433 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001389686446 897791535 /nfs/dbraw/zinc/79/15/35/897791535.db2.gz OIJCVXRQNRASEP-GFCCVEGCSA-N 1 2 324.812 1.379 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cnn2ncccc12 ZINC001367801352 897850287 /nfs/dbraw/zinc/85/02/87/897850287.db2.gz DEWFIECFIWXEDW-LLVKDONJSA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cnn2ncccc12 ZINC001367801352 897850296 /nfs/dbraw/zinc/85/02/96/897850296.db2.gz DEWFIECFIWXEDW-LLVKDONJSA-N 1 2 307.785 1.532 20 30 DDEDLO C[C@H]1C[C@H](N2CC[NH2+]C[C@H]2C#N)CCN1C(=O)OC(C)(C)C ZINC001257651041 897902301 /nfs/dbraw/zinc/90/23/01/897902301.db2.gz WMKKIMTXYWDFFU-BFHYXJOUSA-N 1 2 308.426 1.572 20 30 DDEDLO C[C@@H](C[NH2+][C@@H](C)c1csnn1)NC(=O)c1ccc(C#N)[nH]1 ZINC001374876920 914212064 /nfs/dbraw/zinc/21/20/64/914212064.db2.gz PEAKKKPDEJDHQM-IUCAKERBSA-N 1 2 304.379 1.207 20 30 DDEDLO COC(=O)[C@H](CS)[NH2+]C1CCC2(CC1)OCC(C)(C)CO2 ZINC001258518637 898234838 /nfs/dbraw/zinc/23/48/38/898234838.db2.gz VQRUMHBRGHILHW-LBPRGKRZSA-N 1 2 317.451 1.759 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CCc3[nH+]ccn3CC2)c1 ZINC001259122560 898480382 /nfs/dbraw/zinc/48/03/82/898480382.db2.gz RJXAHRIGDDBAGJ-UHFFFAOYSA-N 1 2 302.359 1.002 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H](C)[NH2+]Cc1nnc(C(F)(F)F)s1 ZINC001390315402 899150372 /nfs/dbraw/zinc/15/03/72/899150372.db2.gz QHPAYXQPMYVRIA-RQJHMYQMSA-N 1 2 321.328 1.311 20 30 DDEDLO Cc1cccc(NC(=O)[C@@H](C)[N@@H+](C)CCNC(=O)[C@H](C)C#N)c1 ZINC001390740243 900066394 /nfs/dbraw/zinc/06/63/94/900066394.db2.gz DGCUEOVSFJQYOL-ZIAGYGMSSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1cccc(NC(=O)[C@@H](C)[N@H+](C)CCNC(=O)[C@H](C)C#N)c1 ZINC001390740243 900066404 /nfs/dbraw/zinc/06/64/04/900066404.db2.gz DGCUEOVSFJQYOL-ZIAGYGMSSA-N 1 2 316.405 1.530 20 30 DDEDLO CCO[C@@H](CC)C(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001390743018 900075751 /nfs/dbraw/zinc/07/57/51/900075751.db2.gz RRCIGCMQBHFQMR-INIZCTEOSA-N 1 2 303.406 1.921 20 30 DDEDLO CCO[C@@H](CC)C(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001390743018 900075761 /nfs/dbraw/zinc/07/57/61/900075761.db2.gz RRCIGCMQBHFQMR-INIZCTEOSA-N 1 2 303.406 1.921 20 30 DDEDLO C[C@@H](C(=O)NCC[N@H+](C)Cc1ccccc1C#N)[C@@H]1CCCO1 ZINC001390818311 900269276 /nfs/dbraw/zinc/26/92/76/900269276.db2.gz MTKHRQWQVSDGDD-PBHICJAKSA-N 1 2 315.417 1.921 20 30 DDEDLO C[C@@H](C(=O)NCC[N@@H+](C)Cc1ccccc1C#N)[C@@H]1CCCO1 ZINC001390818311 900269287 /nfs/dbraw/zinc/26/92/87/900269287.db2.gz MTKHRQWQVSDGDD-PBHICJAKSA-N 1 2 315.417 1.921 20 30 DDEDLO C=CC1CCN(c2nnc([C@]3(C)C[C@@H](O)C[N@@H+]3C)n2C)CC1 ZINC001262856080 900395284 /nfs/dbraw/zinc/39/52/84/900395284.db2.gz BUFDLEIGMDKCOG-CJNGLKHVSA-N 1 2 305.426 1.129 20 30 DDEDLO C=CC1CCN(c2nnc([C@]3(C)C[C@@H](O)C[N@H+]3C)n2C)CC1 ZINC001262856080 900395294 /nfs/dbraw/zinc/39/52/94/900395294.db2.gz BUFDLEIGMDKCOG-CJNGLKHVSA-N 1 2 305.426 1.129 20 30 DDEDLO C=C[C@H](OC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccc1)C(=O)OC ZINC001263526873 900631961 /nfs/dbraw/zinc/63/19/61/900631961.db2.gz NAZRPKFMLZWFKX-GJZGRUSLSA-N 1 2 303.358 1.922 20 30 DDEDLO C=C[C@H](OC(=O)[C@@H]1CCC[N@H+]1Cc1ccccc1)C(=O)OC ZINC001263526873 900631970 /nfs/dbraw/zinc/63/19/70/900631970.db2.gz NAZRPKFMLZWFKX-GJZGRUSLSA-N 1 2 303.358 1.922 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001264131699 900964043 /nfs/dbraw/zinc/96/40/43/900964043.db2.gz RZGVFYDIOJVZSE-KFWWJZLASA-N 1 2 322.453 1.422 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001264131699 900964060 /nfs/dbraw/zinc/96/40/60/900964060.db2.gz RZGVFYDIOJVZSE-KFWWJZLASA-N 1 2 322.453 1.422 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cc2n(n1)CCO2)C1CC1 ZINC001391168995 901123236 /nfs/dbraw/zinc/12/32/36/901123236.db2.gz PZKYQQXHLMQCTJ-UHFFFAOYSA-N 1 2 310.785 1.222 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cc2n(n1)CCO2)C1CC1 ZINC001391168995 901123248 /nfs/dbraw/zinc/12/32/48/901123248.db2.gz PZKYQQXHLMQCTJ-UHFFFAOYSA-N 1 2 310.785 1.222 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@H]23)o1 ZINC001369412712 901225951 /nfs/dbraw/zinc/22/59/51/901225951.db2.gz LUCUEYZUSROEPU-DETPVDSQSA-N 1 2 302.378 1.756 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@H]23)o1 ZINC001369412712 901225967 /nfs/dbraw/zinc/22/59/67/901225967.db2.gz LUCUEYZUSROEPU-DETPVDSQSA-N 1 2 302.378 1.756 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)c2cccc3[nH+]ccn32)C1 ZINC001293560810 914550995 /nfs/dbraw/zinc/55/09/95/914550995.db2.gz JWRGJBPARXMLEM-CQSZACIVSA-N 1 2 324.384 1.469 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001265209868 901710813 /nfs/dbraw/zinc/71/08/13/901710813.db2.gz DSHWVQSPYUILBI-CQSZACIVSA-N 1 2 319.405 1.393 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001265209868 901710824 /nfs/dbraw/zinc/71/08/24/901710824.db2.gz DSHWVQSPYUILBI-CQSZACIVSA-N 1 2 319.405 1.393 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001265212654 901712948 /nfs/dbraw/zinc/71/29/48/901712948.db2.gz DFZTYUKBDJADPC-MRXNPFEDSA-N 1 2 315.417 1.830 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001265212654 901712955 /nfs/dbraw/zinc/71/29/55/901712955.db2.gz DFZTYUKBDJADPC-MRXNPFEDSA-N 1 2 315.417 1.830 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CCC[N@@H+]([C@H](C)C(N)=O)C2)CCCCC1 ZINC001265235664 901756405 /nfs/dbraw/zinc/75/64/05/901756405.db2.gz UMKGNVHUUJLXHC-CABCVRRESA-N 1 2 319.449 1.415 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CCC[N@H+]([C@H](C)C(N)=O)C2)CCCCC1 ZINC001265235664 901756416 /nfs/dbraw/zinc/75/64/16/901756416.db2.gz UMKGNVHUUJLXHC-CABCVRRESA-N 1 2 319.449 1.415 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)C23CCC(CC2)CC3)CC1 ZINC001265266289 901790674 /nfs/dbraw/zinc/79/06/74/901790674.db2.gz HKBSMZSDVXVLOV-UHFFFAOYSA-N 1 2 304.438 1.214 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NC(C2CC2)C2CC2)C1 ZINC001265274958 901805415 /nfs/dbraw/zinc/80/54/15/901805415.db2.gz KIIKQOQMOAWOGQ-OAHLLOKOSA-N 1 2 319.449 1.448 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NC(C2CC2)C2CC2)C1 ZINC001265274958 901805422 /nfs/dbraw/zinc/80/54/22/901805422.db2.gz KIIKQOQMOAWOGQ-OAHLLOKOSA-N 1 2 319.449 1.448 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)sc2C)C1 ZINC001265292005 901836901 /nfs/dbraw/zinc/83/69/01/901836901.db2.gz CVMIBHFGVZHVSN-CYBMUJFWSA-N 1 2 321.446 1.471 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)sc2C)C1 ZINC001265292005 901836904 /nfs/dbraw/zinc/83/69/04/901836904.db2.gz CVMIBHFGVZHVSN-CYBMUJFWSA-N 1 2 321.446 1.471 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C[C@@H]2CC(C)(C)CO2)C1 ZINC001391494577 901845387 /nfs/dbraw/zinc/84/53/87/901845387.db2.gz CBXIUTDUFTYLOF-CYBMUJFWSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265306726 901861192 /nfs/dbraw/zinc/86/11/92/901861192.db2.gz WGHZQINITXYICS-CQSZACIVSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265306726 901861197 /nfs/dbraw/zinc/86/11/97/901861197.db2.gz WGHZQINITXYICS-CQSZACIVSA-N 1 2 323.481 1.942 20 30 DDEDLO COCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391572560 902035743 /nfs/dbraw/zinc/03/57/43/902035743.db2.gz AOJSOLQDZCFYRK-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO COCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391572560 902035747 /nfs/dbraw/zinc/03/57/47/902035747.db2.gz AOJSOLQDZCFYRK-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)C2C[C@H]3CCCC[C@@H](C2)C3=O)CC1 ZINC001293715603 914652910 /nfs/dbraw/zinc/65/29/10/914652910.db2.gz FAEWVNOPMQDZJZ-SJPCQFCGSA-N 1 2 316.445 1.939 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)C2C[C@H]3CCCC[C@@H](C2)C3=O)CC1 ZINC001293715603 914652918 /nfs/dbraw/zinc/65/29/18/914652918.db2.gz FAEWVNOPMQDZJZ-SJPCQFCGSA-N 1 2 316.445 1.939 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001266204613 903105342 /nfs/dbraw/zinc/10/53/42/903105342.db2.gz FDVKRCJDYYGTBJ-CABCVRRESA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001266204613 903105349 /nfs/dbraw/zinc/10/53/49/903105349.db2.gz FDVKRCJDYYGTBJ-CABCVRRESA-N 1 2 322.453 1.423 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001293805117 914712233 /nfs/dbraw/zinc/71/22/33/914712233.db2.gz GQJXULZYRPWWEQ-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)NCC(C)(C)CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001280398693 903634129 /nfs/dbraw/zinc/63/41/29/903634129.db2.gz XYPAXSOWIJNPBL-UHFFFAOYSA-N 1 2 320.437 1.828 20 30 DDEDLO N#CCN1Cc2ccccc2C[C@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001281063031 904368727 /nfs/dbraw/zinc/36/87/27/904368727.db2.gz LWYCATXQDSUZNB-KRWDZBQOSA-N 1 2 323.400 1.409 20 30 DDEDLO N#CCN1Cc2ccccc2C[C@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001281063031 904368743 /nfs/dbraw/zinc/36/87/43/904368743.db2.gz LWYCATXQDSUZNB-KRWDZBQOSA-N 1 2 323.400 1.409 20 30 DDEDLO C[N@@H+](Cc1cscn1)C[C@H]1CCCN1C(=O)CSCC#N ZINC001281916368 905307641 /nfs/dbraw/zinc/30/76/41/905307641.db2.gz KKYZRXMPKUNOAV-CYBMUJFWSA-N 1 2 324.475 1.823 20 30 DDEDLO C[N@H+](Cc1cscn1)C[C@H]1CCCN1C(=O)CSCC#N ZINC001281916368 905307656 /nfs/dbraw/zinc/30/76/56/905307656.db2.gz KKYZRXMPKUNOAV-CYBMUJFWSA-N 1 2 324.475 1.823 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CCC[C@H]1CN(C)CC#N)n1cc[nH+]c1 ZINC001281943352 905341761 /nfs/dbraw/zinc/34/17/61/905341761.db2.gz ZLAZSAKKYBXMTJ-JKSUJKDBSA-N 1 2 317.437 1.917 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC[N@@H+]1Cc1cc(C#N)ccc1F ZINC001377373065 921160684 /nfs/dbraw/zinc/16/06/84/921160684.db2.gz AYLQADXHTLZIQQ-SWLSCSKDSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC[N@H+]1Cc1cc(C#N)ccc1F ZINC001377373065 921160690 /nfs/dbraw/zinc/16/06/90/921160690.db2.gz AYLQADXHTLZIQQ-SWLSCSKDSA-N 1 2 314.364 1.938 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](C)Cc1nc(C)c(C)o1 ZINC001282391137 905740074 /nfs/dbraw/zinc/74/00/74/905740074.db2.gz LWLOJMYLWIXWRN-RISCZKNCSA-N 1 2 307.394 1.266 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001282391137 905740088 /nfs/dbraw/zinc/74/00/88/905740088.db2.gz LWLOJMYLWIXWRN-RISCZKNCSA-N 1 2 307.394 1.266 20 30 DDEDLO C[C@H](C#N)C(=O)NC1(C)CC[NH+](Cc2nnc(C3CC3)o2)CC1 ZINC001393057661 906075513 /nfs/dbraw/zinc/07/55/13/906075513.db2.gz KNHGPEOTTGDOCS-LLVKDONJSA-N 1 2 317.393 1.577 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCOCCOC)C(C)(C)C1 ZINC001282831841 906079174 /nfs/dbraw/zinc/07/91/74/906079174.db2.gz DLFUHGWXQNMAPP-CYBMUJFWSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCOCCOC)C(C)(C)C1 ZINC001282831841 906079188 /nfs/dbraw/zinc/07/91/88/906079188.db2.gz DLFUHGWXQNMAPP-CYBMUJFWSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1nn(C)c2ccccc21 ZINC001372186871 906674854 /nfs/dbraw/zinc/67/48/54/906674854.db2.gz BBBCVISSTUOVOM-UHFFFAOYSA-N 1 2 306.797 1.987 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1nn(C)c2ccccc21 ZINC001372186871 906674859 /nfs/dbraw/zinc/67/48/59/906674859.db2.gz BBBCVISSTUOVOM-UHFFFAOYSA-N 1 2 306.797 1.987 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)Cc2ccc[nH]2)C1 ZINC001393468428 907078476 /nfs/dbraw/zinc/07/84/76/907078476.db2.gz VELNPKMZCPBHSS-HNNXBMFYSA-N 1 2 311.813 1.253 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)Cc2ccc[nH]2)C1 ZINC001393468428 907078494 /nfs/dbraw/zinc/07/84/94/907078494.db2.gz VELNPKMZCPBHSS-HNNXBMFYSA-N 1 2 311.813 1.253 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001283338578 907170379 /nfs/dbraw/zinc/17/03/79/907170379.db2.gz HROGEMFYXLXFOF-MGPQQGTHSA-N 1 2 314.389 1.034 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001283338578 907170393 /nfs/dbraw/zinc/17/03/93/907170393.db2.gz HROGEMFYXLXFOF-MGPQQGTHSA-N 1 2 314.389 1.034 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@H]1CCCN1C(=O)CCOC ZINC001393865541 908110836 /nfs/dbraw/zinc/11/08/36/908110836.db2.gz RPRQMEDDHQLKSV-GFCCVEGCSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@H]1CCCN1C(=O)CCOC ZINC001393865541 908110847 /nfs/dbraw/zinc/11/08/47/908110847.db2.gz RPRQMEDDHQLKSV-GFCCVEGCSA-N 1 2 319.243 1.854 20 30 DDEDLO C=CCCOCC(=O)N[C@]12CCC[C@@H]1[N@H+](Cc1ccon1)CC2 ZINC001284067869 908420472 /nfs/dbraw/zinc/42/04/72/908420472.db2.gz CNNJMKBMGZNARI-RDJZCZTQSA-N 1 2 319.405 1.881 20 30 DDEDLO C=CCCOCC(=O)N[C@]12CCC[C@@H]1[N@@H+](Cc1ccon1)CC2 ZINC001284067869 908420483 /nfs/dbraw/zinc/42/04/83/908420483.db2.gz CNNJMKBMGZNARI-RDJZCZTQSA-N 1 2 319.405 1.881 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)[C@@H](C)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001394054223 908651734 /nfs/dbraw/zinc/65/17/34/908651734.db2.gz MDLZVJIRESFPDD-JQWIXIFHSA-N 1 2 315.377 1.994 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)[C@@H](C)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001394054223 908651743 /nfs/dbraw/zinc/65/17/43/908651743.db2.gz MDLZVJIRESFPDD-JQWIXIFHSA-N 1 2 315.377 1.994 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H](C)CNC(=O)CCc1c[nH+]cn1C ZINC001284304109 908798084 /nfs/dbraw/zinc/79/80/84/908798084.db2.gz TWZZYCFPQJHNQV-UONOGXRCSA-N 1 2 320.437 1.576 20 30 DDEDLO C#Cc1ccc(C(=O)NCCN(CC)C(=O)Cc2[nH]cc[nH+]2)cc1 ZINC001284637278 909282297 /nfs/dbraw/zinc/28/22/97/909282297.db2.gz YPIBZHPXCXXUNC-UHFFFAOYSA-N 1 2 324.384 1.212 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(CC)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001284650960 909297785 /nfs/dbraw/zinc/29/77/85/909297785.db2.gz TUWIAUQZBDLGIO-ZDUSSCGKSA-N 1 2 306.410 1.519 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)CC[NH+]1CCN(C(=O)C2CC2)CC1 ZINC001284821480 909527852 /nfs/dbraw/zinc/52/78/52/909527852.db2.gz SJPLOKXWSUFNFF-OAHLLOKOSA-N 1 2 321.465 1.601 20 30 DDEDLO CS[C@H](C)C(=O)NCC1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001373495821 910005464 /nfs/dbraw/zinc/00/54/64/910005464.db2.gz FJWAPTFVDQGDBL-LLVKDONJSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](CC)NC(=O)Cc1c[nH+]cn1C ZINC001285053174 910006201 /nfs/dbraw/zinc/00/62/01/910006201.db2.gz FHQZFBMOXBXLGK-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001285179998 910154886 /nfs/dbraw/zinc/15/48/86/910154886.db2.gz PDQLWMVQFJMYTI-LSDHHAIUSA-N 1 2 318.421 1.908 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)Cc2ccc3c[nH]nc3c2)C1 ZINC001373554465 910165354 /nfs/dbraw/zinc/16/53/54/910165354.db2.gz ZJKUUGUVTRGEIX-UHFFFAOYSA-N 1 2 318.808 1.906 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001285185970 910172838 /nfs/dbraw/zinc/17/28/38/910172838.db2.gz JRGGUXSIFYFDKG-OAHLLOKOSA-N 1 2 316.405 1.109 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001285185970 910172848 /nfs/dbraw/zinc/17/28/48/910172848.db2.gz JRGGUXSIFYFDKG-OAHLLOKOSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001285348743 910390508 /nfs/dbraw/zinc/39/05/08/910390508.db2.gz ZHMDNTAXVXSVCL-DZGCQCFKSA-N 1 2 320.437 1.812 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001285362642 910418730 /nfs/dbraw/zinc/41/87/30/910418730.db2.gz VPCKACVDIMHVMX-ZIAGYGMSSA-N 1 2 318.421 1.022 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)[C@H](C)NC(=O)C#CC(C)(C)C ZINC001285370296 910433421 /nfs/dbraw/zinc/43/34/21/910433421.db2.gz UMQFCDCQPIBYEB-RYUDHWBXSA-N 1 2 318.421 1.320 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001285758810 911161087 /nfs/dbraw/zinc/16/10/87/911161087.db2.gz RNTUJSPGMARIOH-OAHLLOKOSA-N 1 2 318.421 1.430 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCN1C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001285839104 911265921 /nfs/dbraw/zinc/26/59/21/911265921.db2.gz SOXLLONNPSLSLP-KGLIPLIRSA-N 1 2 316.405 1.129 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@](C)(NC(=O)CCn2cc[nH+]c2)C1 ZINC001286100784 911693202 /nfs/dbraw/zinc/69/32/02/911693202.db2.gz UVXLMICUNOHEBV-QGZVFWFLSA-N 1 2 318.421 1.737 20 30 DDEDLO N#Cc1ccccc1NC(=S)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000741871534 915186479 /nfs/dbraw/zinc/18/64/79/915186479.db2.gz DMDORSRANFXBPK-AWEZNQCLSA-N 1 2 316.430 1.662 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001294769180 915354693 /nfs/dbraw/zinc/35/46/93/915354693.db2.gz QKQVXRWLBOZIED-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO CC(C)C#CC(=O)NCCN(C)C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001296057842 916216822 /nfs/dbraw/zinc/21/68/22/916216822.db2.gz IGQMOXUTZYXGPC-ZDUSSCGKSA-N 1 2 316.405 1.064 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCN1C(=O)Cc1c[nH+]cn1C ZINC001296406648 916392515 /nfs/dbraw/zinc/39/25/15/916392515.db2.gz WZSSXJCWGQKRKL-CYBMUJFWSA-N 1 2 304.394 1.036 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC(CNC(=O)CCn2cc[nH+]c2)C1 ZINC001297241948 916829090 /nfs/dbraw/zinc/82/90/90/916829090.db2.gz WFDNTWFHXWWSSG-ZIAGYGMSSA-N 1 2 318.421 1.306 20 30 DDEDLO COc1cc(C[N@@H+]2CCCC[C@@H]2CNC(=O)[C@@H](C)C#N)on1 ZINC001376574723 918792955 /nfs/dbraw/zinc/79/29/55/918792955.db2.gz MVEUOTRFRNCMJT-NWDGAFQWSA-N 1 2 306.366 1.314 20 30 DDEDLO COc1cc(C[N@H+]2CCCC[C@@H]2CNC(=O)[C@@H](C)C#N)on1 ZINC001376574723 918792966 /nfs/dbraw/zinc/79/29/66/918792966.db2.gz MVEUOTRFRNCMJT-NWDGAFQWSA-N 1 2 306.366 1.314 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)s1 ZINC001376624239 918899634 /nfs/dbraw/zinc/89/96/34/918899634.db2.gz QBANKAHXYLFZRP-DKLQEMNFSA-N 1 2 305.407 1.114 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)s1 ZINC001376624239 918899651 /nfs/dbraw/zinc/89/96/51/918899651.db2.gz QBANKAHXYLFZRP-DKLQEMNFSA-N 1 2 305.407 1.114 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@H+](C)[C@H](C)C(=O)Nc1ccccc1F ZINC001377128478 920405590 /nfs/dbraw/zinc/40/55/90/920405590.db2.gz IFNRBRNOKTZZEU-VXGBXAGGSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@@H+](C)[C@H](C)C(=O)Nc1ccccc1F ZINC001377128478 920405598 /nfs/dbraw/zinc/40/55/98/920405598.db2.gz IFNRBRNOKTZZEU-VXGBXAGGSA-N 1 2 320.368 1.360 20 30 DDEDLO CC(C)c1nnc(C[N@@H+]2CC[C@H](CCNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001377681823 922689332 /nfs/dbraw/zinc/68/93/32/922689332.db2.gz ZNOHEKKYHKXUOH-OLZOCXBDSA-N 1 2 318.425 1.416 20 30 DDEDLO CC(C)c1nnc(C[N@H+]2CC[C@H](CCNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001377681823 922689346 /nfs/dbraw/zinc/68/93/46/922689346.db2.gz ZNOHEKKYHKXUOH-OLZOCXBDSA-N 1 2 318.425 1.416 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCN(c3cccc[nH+]3)CC2)o1 ZINC000286553942 219051939 /nfs/dbraw/zinc/05/19/39/219051939.db2.gz PNVKZAILXWUEPL-UHFFFAOYSA-N 1 2 318.358 1.057 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@@H](C)C(=O)Nc2ncccn2)C[C@]2(CCOC2)O1 ZINC000330087614 529401245 /nfs/dbraw/zinc/40/12/45/529401245.db2.gz TZRZCKNBODKESO-ZENOOKHLSA-N 1 2 320.393 1.723 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@@H](C)C(=O)Nc2ncccn2)C[C@]2(CCOC2)O1 ZINC000330087614 529401247 /nfs/dbraw/zinc/40/12/47/529401247.db2.gz TZRZCKNBODKESO-ZENOOKHLSA-N 1 2 320.393 1.723 20 30 DDEDLO COCCN(c1cc[nH+]cc1)S(=O)(=O)CC(C)(C)CC#N ZINC000451316290 231065753 /nfs/dbraw/zinc/06/57/53/231065753.db2.gz SQYYJNJOOVRFNJ-UHFFFAOYSA-N 1 2 311.407 1.804 20 30 DDEDLO CCc1c(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)[nH]c(C)c1C(N)=O ZINC000331256190 529470797 /nfs/dbraw/zinc/47/07/97/529470797.db2.gz BFGSHFPEUFLTJR-JTQLQIEISA-N 1 2 322.409 1.794 20 30 DDEDLO CCc1c(C(=O)NCC[N@H+]2CCOC[C@@H]2C)[nH]c(C)c1C(N)=O ZINC000331256190 529470798 /nfs/dbraw/zinc/47/07/98/529470798.db2.gz BFGSHFPEUFLTJR-JTQLQIEISA-N 1 2 322.409 1.794 20 30 DDEDLO CCn1cc[nH+]c1CNS(=O)(=O)c1ccc(C#N)cc1OC ZINC000451751227 529579560 /nfs/dbraw/zinc/57/95/60/529579560.db2.gz ARPRHSDZKKVACE-UHFFFAOYSA-N 1 2 320.374 1.262 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1Cc2c(OC)ccc(OC)c2[C@@H](O)C1 ZINC000272826841 210227113 /nfs/dbraw/zinc/22/71/13/210227113.db2.gz GDXMYLVBIJJVGC-YPMHNXCESA-N 1 2 320.389 1.244 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1Cc2c(OC)ccc(OC)c2[C@@H](O)C1 ZINC000272826841 210227114 /nfs/dbraw/zinc/22/71/14/210227114.db2.gz GDXMYLVBIJJVGC-YPMHNXCESA-N 1 2 320.389 1.244 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)CCc3ccc(C#N)cc3)C[C@@H]21 ZINC000328902358 529790147 /nfs/dbraw/zinc/79/01/47/529790147.db2.gz GMZKKCVTYNHIGU-IRXDYDNUSA-N 1 2 313.401 1.422 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)CCc3ccc(C#N)cc3)C[C@@H]21 ZINC000328902358 529790148 /nfs/dbraw/zinc/79/01/48/529790148.db2.gz GMZKKCVTYNHIGU-IRXDYDNUSA-N 1 2 313.401 1.422 20 30 DDEDLO CN(C)c1ncccc1C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000330520915 529813625 /nfs/dbraw/zinc/81/36/25/529813625.db2.gz LBQRXZRBJOIFII-UHFFFAOYSA-N 1 2 306.410 1.563 20 30 DDEDLO CN(C)c1ncccc1C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000330520915 529813626 /nfs/dbraw/zinc/81/36/26/529813626.db2.gz LBQRXZRBJOIFII-UHFFFAOYSA-N 1 2 306.410 1.563 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CSCc1ccc2c(c1)OCO2 ZINC000414125107 529868487 /nfs/dbraw/zinc/86/84/87/529868487.db2.gz MBRFYNPUNIXHJN-CYBMUJFWSA-N 1 2 308.403 1.855 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CSCc1ccc2c(c1)OCO2 ZINC000414125107 529868489 /nfs/dbraw/zinc/86/84/89/529868489.db2.gz MBRFYNPUNIXHJN-CYBMUJFWSA-N 1 2 308.403 1.855 20 30 DDEDLO COCCC[N@H+](CCOC)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459291377 233064633 /nfs/dbraw/zinc/06/46/33/233064633.db2.gz CGKSXGVKLGVVIK-UHFFFAOYSA-N 1 2 307.350 1.951 20 30 DDEDLO COCCC[N@@H+](CCOC)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459291377 233064640 /nfs/dbraw/zinc/06/46/40/233064640.db2.gz CGKSXGVKLGVVIK-UHFFFAOYSA-N 1 2 307.350 1.951 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2ccc(F)cc2C#N)CCO1 ZINC000177904292 186201545 /nfs/dbraw/zinc/20/15/45/186201545.db2.gz FDGNTGMDRIQNND-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2ccc(F)cc2C#N)CCO1 ZINC000177904292 186201547 /nfs/dbraw/zinc/20/15/47/186201547.db2.gz FDGNTGMDRIQNND-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2cnc3ccccc3n2)CC1 ZINC000026137773 352205653 /nfs/dbraw/zinc/20/56/53/352205653.db2.gz WQPXYUVQQQSCGL-UHFFFAOYSA-N 1 2 311.389 1.054 20 30 DDEDLO N#Cc1cccc(CNC(=O)N2CCN(c3cccc[nH+]3)CC2)c1 ZINC000046040635 352433624 /nfs/dbraw/zinc/43/36/24/352433624.db2.gz MQVFKZNTOJGUPM-UHFFFAOYSA-N 1 2 321.384 1.985 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000051500745 352588048 /nfs/dbraw/zinc/58/80/48/352588048.db2.gz BMHPKEHQBTXEBU-UHFFFAOYSA-N 1 2 318.377 1.327 20 30 DDEDLO Cc1cc(C)cc(N(CCC#N)C(=O)[C@H](C)[N@H+](C)CC(N)=O)c1 ZINC000052831514 352627284 /nfs/dbraw/zinc/62/72/84/352627284.db2.gz CQGUDUBJMVEBCO-AWEZNQCLSA-N 1 2 316.405 1.356 20 30 DDEDLO Cc1cc(C)cc(N(CCC#N)C(=O)[C@H](C)[N@@H+](C)CC(N)=O)c1 ZINC000052831514 352627286 /nfs/dbraw/zinc/62/72/86/352627286.db2.gz CQGUDUBJMVEBCO-AWEZNQCLSA-N 1 2 316.405 1.356 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000063589865 352916680 /nfs/dbraw/zinc/91/66/80/352916680.db2.gz BMKBVQBEVOTTJU-UHFFFAOYSA-N 1 2 314.357 1.294 20 30 DDEDLO N#CCCN(C(=O)C[N@H+]1CCCC[C@H]1C(N)=O)c1ccccc1 ZINC000066563084 353004788 /nfs/dbraw/zinc/00/47/88/353004788.db2.gz PBCQOQQZKHHLMC-HNNXBMFYSA-N 1 2 314.389 1.273 20 30 DDEDLO N#CCCN(C(=O)C[N@@H+]1CCCC[C@H]1C(N)=O)c1ccccc1 ZINC000066563084 353004790 /nfs/dbraw/zinc/00/47/90/353004790.db2.gz PBCQOQQZKHHLMC-HNNXBMFYSA-N 1 2 314.389 1.273 20 30 DDEDLO C#CC[NH+]1CCN(c2ccc(C(=O)Nc3ccccc3)nn2)CC1 ZINC000077909494 353497892 /nfs/dbraw/zinc/49/78/92/353497892.db2.gz XHSFHOBFXKPNBH-UHFFFAOYSA-N 1 2 321.384 1.484 20 30 DDEDLO C[C@H](C#N)CNC(=O)NCCc1[nH+]ccn1Cc1ccccc1 ZINC000182413912 354272410 /nfs/dbraw/zinc/27/24/10/354272410.db2.gz HLVOELLSPBNSFY-CQSZACIVSA-N 1 2 311.389 1.933 20 30 DDEDLO C[C@@H](Oc1ccc(F)c(F)c1)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000578657499 354711278 /nfs/dbraw/zinc/71/12/78/354711278.db2.gz NYCOCYDTZPIHIE-MEBBXXQBSA-N 1 2 311.332 1.692 20 30 DDEDLO N#CCCC1CC[NH+]([C@@H]2CC(=O)N(c3cnccn3)C2=O)CC1 ZINC000578748916 354711724 /nfs/dbraw/zinc/71/17/24/354711724.db2.gz JIMXORHVGVJEDV-CYBMUJFWSA-N 1 2 313.361 1.124 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc(NC(=O)C2CC2)cc1 ZINC000578759731 354711789 /nfs/dbraw/zinc/71/17/89/354711789.db2.gz HKDFFRMKICSWRQ-INIZCTEOSA-N 1 2 316.405 1.653 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc(NC(=O)C2CC2)cc1 ZINC000578759731 354711790 /nfs/dbraw/zinc/71/17/90/354711790.db2.gz HKDFFRMKICSWRQ-INIZCTEOSA-N 1 2 316.405 1.653 20 30 DDEDLO N#Cc1ccc(SCC(=O)N[C@H]2CCc3c[nH+]cn3C2)cc1 ZINC000587045376 354879157 /nfs/dbraw/zinc/87/91/57/354879157.db2.gz OYUQLGAMKUVRNP-ZDUSSCGKSA-N 1 2 312.398 1.978 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cc(O)cc(C#N)c1 ZINC000587972036 354888086 /nfs/dbraw/zinc/88/80/86/354888086.db2.gz SFAOAVPRCCRDBB-DOMZBBRYSA-N 1 2 315.373 1.199 20 30 DDEDLO N#CC1(C(=O)NC[C@@H]2CCC[N@H+](Cc3ncon3)C2)CCCC1 ZINC000588842945 354941087 /nfs/dbraw/zinc/94/10/87/354941087.db2.gz RXPBVMDOVVPAHJ-ZDUSSCGKSA-N 1 2 317.393 1.482 20 30 DDEDLO N#CC1(C(=O)NC[C@@H]2CCC[N@@H+](Cc3ncon3)C2)CCCC1 ZINC000588842945 354941088 /nfs/dbraw/zinc/94/10/88/354941088.db2.gz RXPBVMDOVVPAHJ-ZDUSSCGKSA-N 1 2 317.393 1.482 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC[C@@H](OCC3CC3)C2)cc1 ZINC000494708782 235076597 /nfs/dbraw/zinc/07/65/97/235076597.db2.gz MCVRVVPLMVPHAC-IAGOWNOFSA-N 1 2 313.401 1.976 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC[C@@H](OCC3CC3)C2)cc1 ZINC000494708782 235076599 /nfs/dbraw/zinc/07/65/99/235076599.db2.gz MCVRVVPLMVPHAC-IAGOWNOFSA-N 1 2 313.401 1.976 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)[C@H]2CCCN2c2ccc(C#N)cc2)c1 ZINC000590913077 355212174 /nfs/dbraw/zinc/21/21/74/355212174.db2.gz XPXUHYADMFVXEL-QGZVFWFLSA-N 1 2 323.400 1.619 20 30 DDEDLO C[N@@H+]1CCc2nc3ccccc3c(C(=O)N3CC(C#N)C3)c2C1 ZINC000591320921 355288050 /nfs/dbraw/zinc/28/80/50/355288050.db2.gz HNZXNVMQJPHKTA-UHFFFAOYSA-N 1 2 306.369 1.818 20 30 DDEDLO C[N@H+]1CCc2nc3ccccc3c(C(=O)N3CC(C#N)C3)c2C1 ZINC000591320921 355288051 /nfs/dbraw/zinc/28/80/51/355288051.db2.gz HNZXNVMQJPHKTA-UHFFFAOYSA-N 1 2 306.369 1.818 20 30 DDEDLO CCOC(=O)C1(COC)CC[NH+](Cc2ccncc2C#N)CC1 ZINC000592120894 355505606 /nfs/dbraw/zinc/50/56/06/355505606.db2.gz ITWRAWLMNJMLIB-UHFFFAOYSA-N 1 2 317.389 1.745 20 30 DDEDLO N#Cc1cnccc1C[NH+]1CCC(C(=O)N2CCOCC2)CC1 ZINC000592058420 355479505 /nfs/dbraw/zinc/47/95/05/355479505.db2.gz BKYNLQGNTAEGKG-UHFFFAOYSA-N 1 2 314.389 1.024 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149795 355516140 /nfs/dbraw/zinc/51/61/40/355516140.db2.gz WNIYYMABQHUAHB-NHYWBVRUSA-N 1 2 318.333 1.272 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149795 355516143 /nfs/dbraw/zinc/51/61/43/355516143.db2.gz WNIYYMABQHUAHB-NHYWBVRUSA-N 1 2 318.333 1.272 20 30 DDEDLO CCn1cc(C[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C(C)C)cn1 ZINC000593083217 355790193 /nfs/dbraw/zinc/79/01/93/355790193.db2.gz VOFWZEQNRUENHY-KRWDZBQOSA-N 1 2 317.437 1.922 20 30 DDEDLO CCn1cc(C[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C(C)C)cn1 ZINC000593083217 355790194 /nfs/dbraw/zinc/79/01/94/355790194.db2.gz VOFWZEQNRUENHY-KRWDZBQOSA-N 1 2 317.437 1.922 20 30 DDEDLO Cc1noc(C[N@H+](C)C[C@H](O)COc2ccc(CC#N)cc2)n1 ZINC000594053102 356100210 /nfs/dbraw/zinc/10/02/10/356100210.db2.gz LPPAOJYODVUHJE-AWEZNQCLSA-N 1 2 316.361 1.316 20 30 DDEDLO Cc1noc(C[N@@H+](C)C[C@H](O)COc2ccc(CC#N)cc2)n1 ZINC000594053102 356100216 /nfs/dbraw/zinc/10/02/16/356100216.db2.gz LPPAOJYODVUHJE-AWEZNQCLSA-N 1 2 316.361 1.316 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC000594259936 356156091 /nfs/dbraw/zinc/15/60/91/356156091.db2.gz OIOFLHIGRQVGMS-HIFRSBDPSA-N 1 2 303.410 1.281 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC000594259936 356156092 /nfs/dbraw/zinc/15/60/92/356156092.db2.gz OIOFLHIGRQVGMS-HIFRSBDPSA-N 1 2 303.410 1.281 20 30 DDEDLO Cc1nn(-c2ccccc2F)cc1C[NH+]1CCN(CC#N)CC1 ZINC000594604656 356262695 /nfs/dbraw/zinc/26/26/95/356262695.db2.gz ZFSQCEQDBFPWFR-UHFFFAOYSA-N 1 2 313.380 1.961 20 30 DDEDLO COCC[N@H+](C)Cc1cn(Cc2ccc(C#N)cc2F)nn1 ZINC000594650837 356275066 /nfs/dbraw/zinc/27/50/66/356275066.db2.gz YIRDAEPGZFCNOD-UHFFFAOYSA-N 1 2 303.341 1.415 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(Cc2ccc(C#N)cc2F)nn1 ZINC000594650837 356275069 /nfs/dbraw/zinc/27/50/69/356275069.db2.gz YIRDAEPGZFCNOD-UHFFFAOYSA-N 1 2 303.341 1.415 20 30 DDEDLO N#Cc1cccc(C2([NH2+]Cc3coc(S(N)(=O)=O)c3)CC2)c1 ZINC000595416228 356484062 /nfs/dbraw/zinc/48/40/62/356484062.db2.gz HMSUNNDXJXLSHP-UHFFFAOYSA-N 1 2 317.370 1.578 20 30 DDEDLO C[N@H+](CCC(=O)Nc1sccc1C#N)Cc1n[nH]c(=O)o1 ZINC000595547799 356544463 /nfs/dbraw/zinc/54/44/63/356544463.db2.gz SCHKMNMULFJITI-UHFFFAOYSA-N 1 2 307.335 1.169 20 30 DDEDLO C[N@@H+](CCC(=O)Nc1sccc1C#N)Cc1n[nH]c(=O)o1 ZINC000595547799 356544469 /nfs/dbraw/zinc/54/44/69/356544469.db2.gz SCHKMNMULFJITI-UHFFFAOYSA-N 1 2 307.335 1.169 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNC(=O)c1cc(C#N)cn1C ZINC000081568671 192293177 /nfs/dbraw/zinc/29/31/77/192293177.db2.gz KGXYMUILDSAXAC-UHFFFAOYSA-N 1 2 307.357 1.985 20 30 DDEDLO C[C@@]1(C2CC2)C[N@H+](CC(=O)NC2(C#N)CCCCC2)CCO1 ZINC000595617746 356580051 /nfs/dbraw/zinc/58/00/51/356580051.db2.gz JTKRYNKOSRRWGK-INIZCTEOSA-N 1 2 305.422 1.830 20 30 DDEDLO C[C@@]1(C2CC2)C[N@@H+](CC(=O)NC2(C#N)CCCCC2)CCO1 ZINC000595617746 356580053 /nfs/dbraw/zinc/58/00/53/356580053.db2.gz JTKRYNKOSRRWGK-INIZCTEOSA-N 1 2 305.422 1.830 20 30 DDEDLO C[C@@]1(C#N)CCN(CC(=O)N2CC[NH+](Cc3ccco3)CC2)C1 ZINC000595840462 356673512 /nfs/dbraw/zinc/67/35/12/356673512.db2.gz OEWRSBRXRGDURT-KRWDZBQOSA-N 1 2 316.405 1.159 20 30 DDEDLO C[C@@H](CNc1nc2ncccc2cc1C#N)[NH+]1CCN(C)CC1 ZINC000596105594 356773529 /nfs/dbraw/zinc/77/35/29/356773529.db2.gz WKNFVAZIKNXUIX-ZDUSSCGKSA-N 1 2 310.405 1.549 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1nc2ncccc2cc1C#N ZINC000596231928 356826284 /nfs/dbraw/zinc/82/62/84/356826284.db2.gz MUYRDQQSOGVWNT-CZUORRHYSA-N 1 2 323.400 1.801 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2ccc(C#N)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596429436 356877002 /nfs/dbraw/zinc/87/70/02/356877002.db2.gz JIDCYZNQIAEYMG-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2ccc(C#N)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596429436 356877004 /nfs/dbraw/zinc/87/70/04/356877004.db2.gz JIDCYZNQIAEYMG-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO CCCn1nccc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000596985177 357033933 /nfs/dbraw/zinc/03/39/33/357033933.db2.gz QPZRGVLIDDJYEZ-UHFFFAOYSA-N 1 2 305.426 1.341 20 30 DDEDLO CO[C@@H]1C[N@@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C[C@@H]1OC ZINC000276865473 213142476 /nfs/dbraw/zinc/14/24/76/213142476.db2.gz KYEWAWHGTUEEFY-DFBGVHRSSA-N 1 2 303.362 1.231 20 30 DDEDLO CO[C@@H]1C[N@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C[C@@H]1OC ZINC000276865473 213142478 /nfs/dbraw/zinc/14/24/78/213142478.db2.gz KYEWAWHGTUEEFY-DFBGVHRSSA-N 1 2 303.362 1.231 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NCc1nc(C[NH+]2CCCCC2)no1 ZINC000597622317 357259555 /nfs/dbraw/zinc/25/95/55/357259555.db2.gz UKKGXPSZVLIJOV-HNNXBMFYSA-N 1 2 305.382 1.612 20 30 DDEDLO COCCN(CC#N)C(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000597755142 357318064 /nfs/dbraw/zinc/31/80/64/357318064.db2.gz PRQOLYBQJHSBEV-OAHLLOKOSA-N 1 2 312.373 1.930 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1ccc(C#N)[nH]1)C(C)(C)CO ZINC000597846999 357356123 /nfs/dbraw/zinc/35/61/23/357356123.db2.gz MUBJJSNJOXXHCQ-LBPRGKRZSA-N 1 2 301.350 1.109 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1cc(C#N)cn1C)C(C)(C)CO ZINC000597848567 357356643 /nfs/dbraw/zinc/35/66/43/357356643.db2.gz XJNSKRBCIBQVBW-CYBMUJFWSA-N 1 2 315.377 1.120 20 30 DDEDLO N#Cc1sccc1N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC000597934768 357387564 /nfs/dbraw/zinc/38/75/64/357387564.db2.gz ODIXEUUOGZQWRX-UHFFFAOYSA-N 1 2 315.402 1.555 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCC[N@H+]1C[C@@H](C)OC[C@H]1C ZINC000597995275 357410592 /nfs/dbraw/zinc/41/05/92/357410592.db2.gz LXILJQKUTUQMSV-CHWSQXEVSA-N 1 2 302.378 1.101 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCC[N@@H+]1C[C@@H](C)OC[C@H]1C ZINC000597995275 357410597 /nfs/dbraw/zinc/41/05/97/357410597.db2.gz LXILJQKUTUQMSV-CHWSQXEVSA-N 1 2 302.378 1.101 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2cccc(CC#N)c2)[C@@H](C)CO1 ZINC000597999216 357415381 /nfs/dbraw/zinc/41/53/81/357415381.db2.gz RHQAVGPSEFUYCQ-UONOGXRCSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2cccc(CC#N)c2)[C@@H](C)CO1 ZINC000597999216 357415385 /nfs/dbraw/zinc/41/53/85/357415385.db2.gz RHQAVGPSEFUYCQ-UONOGXRCSA-N 1 2 301.390 1.592 20 30 DDEDLO CN(C)[C@H](C(=O)NCC1(C#N)CCCCC1)c1c[nH+]cn1C ZINC000598313437 357536118 /nfs/dbraw/zinc/53/61/18/357536118.db2.gz JVJBKVXLOYYDFZ-AWEZNQCLSA-N 1 2 303.410 1.613 20 30 DDEDLO C[C@@H](NC(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C)c1[nH+]ccn1C ZINC000598463752 357592326 /nfs/dbraw/zinc/59/23/26/357592326.db2.gz BDDVFNNACSEHIE-BDJLRTHQSA-N 1 2 320.393 1.859 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@@H+]1CCN(c2ccccc2)C(=O)C1 ZINC000598605884 357650586 /nfs/dbraw/zinc/65/05/86/357650586.db2.gz WENIRGJPAQHRAG-HNNXBMFYSA-N 1 2 301.390 1.636 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@H+]1CCN(c2ccccc2)C(=O)C1 ZINC000598605884 357650589 /nfs/dbraw/zinc/65/05/89/357650589.db2.gz WENIRGJPAQHRAG-HNNXBMFYSA-N 1 2 301.390 1.636 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)[C@@H]2C[C@]23CCOC3)CC1 ZINC000329689413 223013918 /nfs/dbraw/zinc/01/39/18/223013918.db2.gz ZGRAVAUVURJKBX-IFIJOSMWSA-N 1 2 321.421 1.065 20 30 DDEDLO O=C(Nc1ccn2cc[nH+]c2c1)N[C@@H]1CCCS(=O)(=O)C1 ZINC000329787633 223028678 /nfs/dbraw/zinc/02/86/78/223028678.db2.gz RHUFOPXGEGUUAY-LLVKDONJSA-N 1 2 308.363 1.047 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H]1CCn2c[nH+]cc2C1 ZINC000598950532 357773239 /nfs/dbraw/zinc/77/32/39/357773239.db2.gz QRBNUPULLUMBEF-GXTWGEPZSA-N 1 2 310.357 1.653 20 30 DDEDLO N#Cc1ccncc1NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000599182832 357841939 /nfs/dbraw/zinc/84/19/39/357841939.db2.gz CZMCKKDROJKDSY-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ccncc1NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000599182832 357841942 /nfs/dbraw/zinc/84/19/42/357841942.db2.gz CZMCKKDROJKDSY-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCO[C@@H](C(F)(F)F)C2)CCOCC1 ZINC000599298038 357881594 /nfs/dbraw/zinc/88/15/94/357881594.db2.gz OTRZNVZBUOZBQJ-VXGBXAGGSA-N 1 2 322.327 1.321 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCO[C@@H](C(F)(F)F)C2)CCOCC1 ZINC000599298038 357881598 /nfs/dbraw/zinc/88/15/98/357881598.db2.gz OTRZNVZBUOZBQJ-VXGBXAGGSA-N 1 2 322.327 1.321 20 30 DDEDLO COC(=O)CCN1CC[N@H+](Cc2ccc(C)c(C#N)c2)[C@@H](C)C1 ZINC000599355159 357906367 /nfs/dbraw/zinc/90/63/67/357906367.db2.gz VIFKLCGYUUGULI-HNNXBMFYSA-N 1 2 315.417 1.936 20 30 DDEDLO COC(=O)CCN1CC[N@@H+](Cc2ccc(C)c(C#N)c2)[C@@H](C)C1 ZINC000599355159 357906370 /nfs/dbraw/zinc/90/63/70/357906370.db2.gz VIFKLCGYUUGULI-HNNXBMFYSA-N 1 2 315.417 1.936 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc2ccccc2n1CC#N ZINC000600421320 358216621 /nfs/dbraw/zinc/21/66/21/358216621.db2.gz MNUZKJBOUBDVAR-AWEZNQCLSA-N 1 2 312.373 1.225 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)c1cc2ccccc2n1CC#N ZINC000600421320 358216623 /nfs/dbraw/zinc/21/66/23/358216623.db2.gz MNUZKJBOUBDVAR-AWEZNQCLSA-N 1 2 312.373 1.225 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)c1ccccc1CC#N ZINC000601044643 358392200 /nfs/dbraw/zinc/39/22/00/358392200.db2.gz LAKTXSNPYZGRSV-AWEZNQCLSA-N 1 2 300.406 1.118 20 30 DDEDLO C[C@H]1C[C@H](NS(=O)(=O)c2ccccc2CC#N)c2[nH+]ccn21 ZINC000601431289 358550138 /nfs/dbraw/zinc/55/01/38/358550138.db2.gz JSSPTOBVMIPMQO-AAEUAGOBSA-N 1 2 316.386 1.933 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)C1CC[NH+](CC(F)F)CC1)C(=O)OC ZINC000601781259 358692692 /nfs/dbraw/zinc/69/26/92/358692692.db2.gz HYFQJZCQSBTEGR-GFCCVEGCSA-N 1 2 318.364 1.588 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCO[C@H](C(F)F)CC1 ZINC000601978673 358763569 /nfs/dbraw/zinc/76/35/69/358763569.db2.gz ZQMPPECNXIPFIV-QWRGUYRKSA-N 1 2 301.337 1.293 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCO[C@H](C(F)F)CC1 ZINC000601978673 358763570 /nfs/dbraw/zinc/76/35/70/358763570.db2.gz ZQMPPECNXIPFIV-QWRGUYRKSA-N 1 2 301.337 1.293 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCO[C@H](C(F)F)CC2)cc1 ZINC000601978542 358763600 /nfs/dbraw/zinc/76/36/00/358763600.db2.gz YQODZOHDQZGDAT-ZDUSSCGKSA-N 1 2 309.316 1.853 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCO[C@H](C(F)F)CC2)cc1 ZINC000601978542 358763601 /nfs/dbraw/zinc/76/36/01/358763601.db2.gz YQODZOHDQZGDAT-ZDUSSCGKSA-N 1 2 309.316 1.853 20 30 DDEDLO N#Cc1c(F)cccc1C[NH2+][C@H]1CCN(C2CCOCC2)C1=O ZINC000602010834 358775982 /nfs/dbraw/zinc/77/59/82/358775982.db2.gz DXMYPSWLPAEZIM-INIZCTEOSA-N 1 2 317.364 1.567 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N(C)[C@H]1CCC[C@@H]1C#N ZINC000602077889 358803143 /nfs/dbraw/zinc/80/31/43/358803143.db2.gz UXZHAURGAQSIBB-PWSUYJOCSA-N 1 2 302.334 1.179 20 30 DDEDLO COCCN(CCC#N)C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000602092643 358809316 /nfs/dbraw/zinc/80/93/16/358809316.db2.gz YQOCQTSTCLWORP-LLVKDONJSA-N 1 2 318.299 1.765 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000602125542 358831582 /nfs/dbraw/zinc/83/15/82/358831582.db2.gz YWOLJAQQEVNFIH-WBVHZDCISA-N 1 2 317.389 1.314 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000602125542 358831586 /nfs/dbraw/zinc/83/15/86/358831586.db2.gz YWOLJAQQEVNFIH-WBVHZDCISA-N 1 2 317.389 1.314 20 30 DDEDLO N#CCCN1CCN(C(=O)NCc2ccn3cc[nH+]c3c2)CC1 ZINC000602283439 358913356 /nfs/dbraw/zinc/91/33/56/358913356.db2.gz USCYMGPAULEIFN-UHFFFAOYSA-N 1 2 312.377 1.075 20 30 DDEDLO C=C(C)C[C@H](NC(=O)c1ccn2cc(C)[nH+]c2c1)C(=O)OC ZINC000602197990 358878433 /nfs/dbraw/zinc/87/84/33/358878433.db2.gz XDGLHOQUAJVBCD-ZDUSSCGKSA-N 1 2 301.346 1.880 20 30 DDEDLO C[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)n1ccc(C(F)(F)F)n1 ZINC000602331952 358933837 /nfs/dbraw/zinc/93/38/37/358933837.db2.gz IOCIZXULFSKVBT-SKDRFNHKSA-N 1 2 317.315 1.423 20 30 DDEDLO N#Cc1cccc(CN2CCCC[C@@H]2C[NH+]2CCOCC2)n1 ZINC000602461662 358993272 /nfs/dbraw/zinc/99/32/72/358993272.db2.gz ZEDULINKMARVTF-QGZVFWFLSA-N 1 2 300.406 1.640 20 30 DDEDLO CCS(=O)(=O)CCCCC[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602853414 359240056 /nfs/dbraw/zinc/24/00/56/359240056.db2.gz IPGOKHHSIGOPQU-GASCZTMLSA-N 1 2 315.483 1.510 20 30 DDEDLO CCS(=O)(=O)CCCCC[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602853414 359240059 /nfs/dbraw/zinc/24/00/59/359240059.db2.gz IPGOKHHSIGOPQU-GASCZTMLSA-N 1 2 315.483 1.510 20 30 DDEDLO COc1ccc2nc(C[NH+]3C[C@@H](C)N(CC#N)[C@H](C)C3)[nH]c2n1 ZINC000602855046 359241225 /nfs/dbraw/zinc/24/12/25/359241225.db2.gz RNZCXEAPRROVRY-VXGBXAGGSA-N 1 2 314.393 1.385 20 30 DDEDLO COC(=O)c1cc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)oc1C ZINC000602857194 359243828 /nfs/dbraw/zinc/24/38/28/359243828.db2.gz PEUDLPJLKPUGPN-VXGBXAGGSA-N 1 2 305.378 1.793 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)NC(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000602869146 359253701 /nfs/dbraw/zinc/25/37/01/359253701.db2.gz MSRQWMVBEQHEGS-SFCYXTAJSA-N 1 2 318.421 1.673 20 30 DDEDLO Cc1n[nH]cc1C[N@@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000602939086 359308992 /nfs/dbraw/zinc/30/89/92/359308992.db2.gz SYDXLPMRZDOTFV-UHFFFAOYSA-N 1 2 303.410 1.835 20 30 DDEDLO Cc1n[nH]cc1C[N@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000602939086 359308994 /nfs/dbraw/zinc/30/89/94/359308994.db2.gz SYDXLPMRZDOTFV-UHFFFAOYSA-N 1 2 303.410 1.835 20 30 DDEDLO COC(=O)[C@H](Cc1ccccc1)[NH2+]C[C@@H](O)CC(C)(C)C#N ZINC000602878186 359261038 /nfs/dbraw/zinc/26/10/38/359261038.db2.gz RUQXZAYBAZQTTG-GJZGRUSLSA-N 1 2 304.390 1.661 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@@H+]1CCC[C@H]1c1ncccn1 ZINC000602900289 359282345 /nfs/dbraw/zinc/28/23/45/359282345.db2.gz STVOOUZCGGFLMG-CVEARBPZSA-N 1 2 324.384 1.925 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@H+]1CCC[C@H]1c1ncccn1 ZINC000602900289 359282351 /nfs/dbraw/zinc/28/23/51/359282351.db2.gz STVOOUZCGGFLMG-CVEARBPZSA-N 1 2 324.384 1.925 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@H+](Cc2ccc(CC#N)cc2)CCO1 ZINC000603004247 359351200 /nfs/dbraw/zinc/35/12/00/359351200.db2.gz NJQCYOZSWSNRFG-QGZVFWFLSA-N 1 2 302.374 1.907 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@@H+](Cc2ccc(CC#N)cc2)CCO1 ZINC000603004247 359351203 /nfs/dbraw/zinc/35/12/03/359351203.db2.gz NJQCYOZSWSNRFG-QGZVFWFLSA-N 1 2 302.374 1.907 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1C[C@@H](C)N(CC#N)[C@@H](C)C1)n1cc[nH+]c1 ZINC000603090037 359395699 /nfs/dbraw/zinc/39/56/99/359395699.db2.gz JBWZLOPGHPFKMC-XHSDSOJGSA-N 1 2 317.437 1.915 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2c(C#N)cnn2-c2ccccc2)CCO1 ZINC000603282357 359539812 /nfs/dbraw/zinc/53/98/12/359539812.db2.gz AAAKKQNPDISQFM-AWEZNQCLSA-N 1 2 311.389 1.877 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2c(C#N)cnn2-c2ccccc2)CCO1 ZINC000603282357 359539819 /nfs/dbraw/zinc/53/98/19/359539819.db2.gz AAAKKQNPDISQFM-AWEZNQCLSA-N 1 2 311.389 1.877 20 30 DDEDLO CCCCCNC(=O)[C@@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609484339 360312638 /nfs/dbraw/zinc/31/26/38/360312638.db2.gz AUKDWAHTHUYMMS-CVEARBPZSA-N 1 2 308.470 1.847 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)N2CCC[C@@H](C)C2)CC1 ZINC000609538305 360322044 /nfs/dbraw/zinc/32/20/44/360322044.db2.gz PWRTZOJANNAQOG-HZPDHXFCSA-N 1 2 306.454 1.411 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000610277554 360406241 /nfs/dbraw/zinc/40/62/41/360406241.db2.gz FJDNGCZZIWSWSL-AWEZNQCLSA-N 1 2 310.401 1.969 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NCC[N@H+]1CCO[C@@H](C)C1 ZINC000610277554 360406246 /nfs/dbraw/zinc/40/62/46/360406246.db2.gz FJDNGCZZIWSWSL-AWEZNQCLSA-N 1 2 310.401 1.969 20 30 DDEDLO C[N@H+](CCN1CCCS1(=O)=O)Cc1cc(C#N)ccc1F ZINC000610604436 360480797 /nfs/dbraw/zinc/48/07/97/360480797.db2.gz RXZQKNXASOWWKT-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO C[N@@H+](CCN1CCCS1(=O)=O)Cc1cc(C#N)ccc1F ZINC000610604436 360480801 /nfs/dbraw/zinc/48/08/01/360480801.db2.gz RXZQKNXASOWWKT-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)NCC2(C)CC2)CC1 ZINC000330105942 223076007 /nfs/dbraw/zinc/07/60/07/223076007.db2.gz KDYJCMOVEOBAPQ-UHFFFAOYSA-N 1 2 319.453 1.869 20 30 DDEDLO CC[C@@H](C(N)=O)[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000611552374 360756385 /nfs/dbraw/zinc/75/63/85/360756385.db2.gz XCJDDLRYJBOIMQ-LSDHHAIUSA-N 1 2 304.369 1.272 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC[C@@H](OC(F)F)C2)cc1 ZINC000611578389 360766467 /nfs/dbraw/zinc/76/64/67/360766467.db2.gz CEDOBEOROGMVPH-CHWSQXEVSA-N 1 2 309.316 1.788 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC[C@@H](OC(F)F)C2)cc1 ZINC000611578389 360766468 /nfs/dbraw/zinc/76/64/68/360766468.db2.gz CEDOBEOROGMVPH-CHWSQXEVSA-N 1 2 309.316 1.788 20 30 DDEDLO C[C@@H]1[C@H](C)OCCN1C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000331139814 223188185 /nfs/dbraw/zinc/18/81/85/223188185.db2.gz WPMNGAQGKVYDAH-ZJUUUORDSA-N 1 2 320.315 1.969 20 30 DDEDLO Cn1cc(CN(CCn2cc[nH+]c2)C(=O)c2ccc(C#N)[nH]2)cn1 ZINC000565897181 304102187 /nfs/dbraw/zinc/10/21/87/304102187.db2.gz FZCTVUBEMFKTEU-UHFFFAOYSA-N 1 2 323.360 1.159 20 30 DDEDLO N#CCCOCC[N@H+]1CCN(Cc2cccc(F)c2)C(=O)C1 ZINC000339005768 223265486 /nfs/dbraw/zinc/26/54/86/223265486.db2.gz XIKZLAOUZMQBCW-UHFFFAOYSA-N 1 2 305.353 1.400 20 30 DDEDLO N#CCCOCC[N@@H+]1CCN(Cc2cccc(F)c2)C(=O)C1 ZINC000339005768 223265488 /nfs/dbraw/zinc/26/54/88/223265488.db2.gz XIKZLAOUZMQBCW-UHFFFAOYSA-N 1 2 305.353 1.400 20 30 DDEDLO C=C[C@H](O)C(=O)N1CCc2c([nH+]cn2CCc2ccccc2)C1 ZINC000613171844 361306133 /nfs/dbraw/zinc/30/61/33/361306133.db2.gz AROIMHVYRMIXQT-KRWDZBQOSA-N 1 2 311.385 1.557 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000006497506 181345497 /nfs/dbraw/zinc/34/54/97/181345497.db2.gz GZRBVDCSEDXPBW-AWEZNQCLSA-N 1 2 315.373 1.772 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000006497506 181345498 /nfs/dbraw/zinc/34/54/98/181345498.db2.gz GZRBVDCSEDXPBW-AWEZNQCLSA-N 1 2 315.373 1.772 20 30 DDEDLO C[C@@H](CC#N)N(C)S(=O)(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000619749347 364141091 /nfs/dbraw/zinc/14/10/91/364141091.db2.gz OYLGOFJIHNCGCZ-ZDUSSCGKSA-N 1 2 322.434 1.071 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(C(=O)NC2CCCCC2)CC1 ZINC000619719127 364129272 /nfs/dbraw/zinc/12/92/72/364129272.db2.gz ADXRIKSHERBGFI-HNNXBMFYSA-N 1 2 308.422 1.875 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)[C@@H]1CCn2cc(C)[nH+]c2C1 ZINC000626832592 367786939 /nfs/dbraw/zinc/78/69/39/367786939.db2.gz OQPKLXNDOABXEK-YCPHGPKFSA-N 1 2 303.406 1.851 20 30 DDEDLO C=CC[N@@H+](Cc1ccc2c(c1)ncn2C)[C@@H]1CCS(=O)(=O)C1 ZINC000271760799 209189375 /nfs/dbraw/zinc/18/93/75/209189375.db2.gz YQKKUTCFXJQCSQ-CQSZACIVSA-N 1 2 319.430 1.748 20 30 DDEDLO C=CC[N@H+](Cc1ccc2c(c1)ncn2C)[C@@H]1CCS(=O)(=O)C1 ZINC000271760799 209189377 /nfs/dbraw/zinc/18/93/77/209189377.db2.gz YQKKUTCFXJQCSQ-CQSZACIVSA-N 1 2 319.430 1.748 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@H+](Cc2ccc(C#N)cc2OC)C1 ZINC000092843020 193188677 /nfs/dbraw/zinc/18/86/77/193188677.db2.gz WVORVTHTNCNIGV-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2OC)C1 ZINC000092843020 193188678 /nfs/dbraw/zinc/18/86/78/193188678.db2.gz WVORVTHTNCNIGV-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO C#CCCNC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000265096224 204392943 /nfs/dbraw/zinc/39/29/43/204392943.db2.gz VTHPLMDDGNNRKK-UHFFFAOYSA-N 1 2 301.390 1.416 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCN(C2=[NH+]C[C@@H](C)S2)CC1 ZINC000266043559 205053439 /nfs/dbraw/zinc/05/34/39/205053439.db2.gz BKUPGEKWAPWTMR-CHWSQXEVSA-N 1 2 311.451 1.603 20 30 DDEDLO C#CC[NH+]1CCN(c2nc(-c3cccnc3)nc(C)c2C)CC1 ZINC000267212398 205897769 /nfs/dbraw/zinc/89/77/69/205897769.db2.gz MBNWKGXMQLPZDD-UHFFFAOYSA-N 1 2 307.401 1.911 20 30 DDEDLO N#Cc1cccc(-n2ccc(C(=O)NCCn3cc[nH+]c3)n2)c1 ZINC000336896743 249284577 /nfs/dbraw/zinc/28/45/77/249284577.db2.gz SLKRVWYPRISOAR-UHFFFAOYSA-N 1 2 306.329 1.370 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@@H](NC(=O)NC3CC3)C2)c1 ZINC000273989616 211247212 /nfs/dbraw/zinc/24/72/12/211247212.db2.gz LTZZEPCQSCHBCW-CQSZACIVSA-N 1 2 302.353 1.733 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@@H](NC(=O)NC3CC3)C2)c1 ZINC000273989616 211247213 /nfs/dbraw/zinc/24/72/13/211247213.db2.gz LTZZEPCQSCHBCW-CQSZACIVSA-N 1 2 302.353 1.733 20 30 DDEDLO N#Cc1ccsc1C(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000110958231 194374455 /nfs/dbraw/zinc/37/44/55/194374455.db2.gz CKUKHTAJEWRXAR-AWEZNQCLSA-N 1 2 319.430 1.947 20 30 DDEDLO COCC[N@H+](CC(=O)NC(C)(C)C)Cc1ccc(C#N)cc1 ZINC000063754402 184287889 /nfs/dbraw/zinc/28/78/89/184287889.db2.gz YUSZJKLIAGKGJY-UHFFFAOYSA-N 1 2 303.406 1.921 20 30 DDEDLO COCC[N@@H+](CC(=O)NC(C)(C)C)Cc1ccc(C#N)cc1 ZINC000063754402 184287892 /nfs/dbraw/zinc/28/78/92/184287892.db2.gz YUSZJKLIAGKGJY-UHFFFAOYSA-N 1 2 303.406 1.921 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)OC ZINC000191222249 285040045 /nfs/dbraw/zinc/04/00/45/285040045.db2.gz YPXPQZMOIKHPSG-ORIJERBGSA-N 1 2 321.421 1.268 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)OC ZINC000191222249 285040046 /nfs/dbraw/zinc/04/00/46/285040046.db2.gz YPXPQZMOIKHPSG-ORIJERBGSA-N 1 2 321.421 1.268 20 30 DDEDLO COC(=O)C(C)(C)[NH+]1CCN(Cc2ccc(F)cc2C#N)CC1 ZINC000494406349 533156204 /nfs/dbraw/zinc/15/62/04/533156204.db2.gz PAFKKPNSORNCNP-UHFFFAOYSA-N 1 2 319.380 1.767 20 30 DDEDLO N#Cc1csc(C[NH+]2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000120772640 195235457 /nfs/dbraw/zinc/23/54/57/195235457.db2.gz XEXCAIDQSNZEOD-UHFFFAOYSA-N 1 2 304.419 1.016 20 30 DDEDLO C[C@H](CNS(=O)(=O)c1ccc(C#N)c(F)c1)Cn1cc[nH+]c1 ZINC000414461112 224341234 /nfs/dbraw/zinc/34/12/34/224341234.db2.gz RPSLGNOIJXPQGP-LLVKDONJSA-N 1 2 322.365 1.508 20 30 DDEDLO CS(=O)(=O)C1(C(=O)NC[C@@H]2CCCC[N@@H+]2C2CC2)CCC1 ZINC000330091155 533308143 /nfs/dbraw/zinc/30/81/43/533308143.db2.gz PTGUYGQBQLWFQK-ZDUSSCGKSA-N 1 2 314.451 1.927 20 30 DDEDLO CS(=O)(=O)C1(C(=O)NC[C@@H]2CCCC[N@H+]2C2CC2)CCC1 ZINC000330091155 533308149 /nfs/dbraw/zinc/30/81/49/533308149.db2.gz PTGUYGQBQLWFQK-ZDUSSCGKSA-N 1 2 314.451 1.927 20 30 DDEDLO C=CCc1cc(C[NH+]2CCN(C(=O)NC)CC2)cc(OC)c1O ZINC000271191238 407567103 /nfs/dbraw/zinc/56/71/03/407567103.db2.gz QDICUGPDRHTJRN-UHFFFAOYSA-N 1 2 319.405 1.586 20 30 DDEDLO C#CC[N@H+](CC(=O)NC[C@H]1CCCO1)Cc1ccc(F)cc1 ZINC000068522493 406649993 /nfs/dbraw/zinc/64/99/93/406649993.db2.gz QUJMKTRZJLBJKR-MRXNPFEDSA-N 1 2 304.365 1.556 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC[C@H]1CCCO1)Cc1ccc(F)cc1 ZINC000068522493 406649996 /nfs/dbraw/zinc/64/99/96/406649996.db2.gz QUJMKTRZJLBJKR-MRXNPFEDSA-N 1 2 304.365 1.556 20 30 DDEDLO COC(=O)C1CC[NH+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)CC1 ZINC000007390993 406756467 /nfs/dbraw/zinc/75/64/67/406756467.db2.gz QJUGTSRGAZYWJU-LBPRGKRZSA-N 1 2 315.373 1.770 20 30 DDEDLO COC(=O)[C@@H]1CCCC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000027561683 406911370 /nfs/dbraw/zinc/91/13/70/406911370.db2.gz IGRHHFMOZOXZQE-LBPRGKRZSA-N 1 2 321.402 1.976 20 30 DDEDLO COC(=O)[C@@H]1CCCC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000027561683 406911371 /nfs/dbraw/zinc/91/13/71/406911371.db2.gz IGRHHFMOZOXZQE-LBPRGKRZSA-N 1 2 321.402 1.976 20 30 DDEDLO CC[N@@H+](CC(=O)NCc1ccc(COC)cc1)C[C@@H](C)C#N ZINC000066424958 407250982 /nfs/dbraw/zinc/25/09/82/407250982.db2.gz UUFPWVYNUOYJRL-AWEZNQCLSA-N 1 2 303.406 1.931 20 30 DDEDLO CC[N@H+](CC(=O)NCc1ccc(COC)cc1)C[C@@H](C)C#N ZINC000066424958 407250984 /nfs/dbraw/zinc/25/09/84/407250984.db2.gz UUFPWVYNUOYJRL-AWEZNQCLSA-N 1 2 303.406 1.931 20 30 DDEDLO COC(=O)[C@H]1CCC[N@@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000066833945 407260912 /nfs/dbraw/zinc/26/09/12/407260912.db2.gz GCJYAAKONRKPMQ-OCCSQVGLSA-N 1 2 315.373 1.770 20 30 DDEDLO COC(=O)[C@H]1CCC[N@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000066833945 407260914 /nfs/dbraw/zinc/26/09/14/407260914.db2.gz GCJYAAKONRKPMQ-OCCSQVGLSA-N 1 2 315.373 1.770 20 30 DDEDLO N#Cc1cccc(CNc2cnn(CC[NH+]3CCOCC3)c2)c1 ZINC000127257047 407432724 /nfs/dbraw/zinc/43/27/24/407432724.db2.gz DGSQATKIZPOWSM-UHFFFAOYSA-N 1 2 311.389 1.699 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)[C@H](c1ccccc1)[NH+]1CCSCC1 ZINC000185883570 407530763 /nfs/dbraw/zinc/53/07/63/407530763.db2.gz FBDKNWDFVJDVIK-HOTGVXAUSA-N 1 2 320.458 1.830 20 30 DDEDLO NC(Cc1ccccc1Cl)=[NH+]OCCCN1C(=O)CNC1=O ZINC000131613262 407743344 /nfs/dbraw/zinc/74/33/44/407743344.db2.gz RKKMIGWZRKDSAW-UHFFFAOYSA-N 1 2 324.768 1.113 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2CCC[C@@H]2C)CC1 ZINC000187627833 407874014 /nfs/dbraw/zinc/87/40/14/407874014.db2.gz GKCGIXBYOLUTEH-HOTGVXAUSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2CCC[C@@H]2C)CC1 ZINC000187627833 407874018 /nfs/dbraw/zinc/87/40/18/407874018.db2.gz GKCGIXBYOLUTEH-HOTGVXAUSA-N 1 2 319.449 1.096 20 30 DDEDLO Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)C2(C#N)CCC2)CC1 ZINC000134963346 407958888 /nfs/dbraw/zinc/95/88/88/407958888.db2.gz IFUKMYYWXXLRTI-UHFFFAOYSA-N 1 2 315.421 1.375 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000268477454 408061255 /nfs/dbraw/zinc/06/12/55/408061255.db2.gz NLSJEWKUCNHUCH-DZGCQCFKSA-N 1 2 317.437 1.882 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000268477454 408061261 /nfs/dbraw/zinc/06/12/61/408061261.db2.gz NLSJEWKUCNHUCH-DZGCQCFKSA-N 1 2 317.437 1.882 20 30 DDEDLO CC(C)[C@@H](NC(=O)CO[NH+]=C(N)CCO)c1ccc(F)cc1 ZINC000136068823 408074288 /nfs/dbraw/zinc/07/42/88/408074288.db2.gz IAIOMQUBDWCISK-OAHLLOKOSA-N 1 2 311.357 1.310 20 30 DDEDLO COCc1ccc(CN(CCC#N)CC[NH+]2CCOCC2)cc1 ZINC000154744551 408080160 /nfs/dbraw/zinc/08/01/60/408080160.db2.gz NSBMAROAPLENQV-UHFFFAOYSA-N 1 2 317.433 1.881 20 30 DDEDLO N#Cc1cc(F)c(C(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)c(F)c1 ZINC000154926269 408105695 /nfs/dbraw/zinc/10/56/95/408105695.db2.gz IWMRHRNXAOSKPK-GFCCVEGCSA-N 1 2 321.327 1.429 20 30 DDEDLO N#Cc1cc(F)c(C(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)c(F)c1 ZINC000154926269 408105700 /nfs/dbraw/zinc/10/57/00/408105700.db2.gz IWMRHRNXAOSKPK-GFCCVEGCSA-N 1 2 321.327 1.429 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@@H](S(=O)(=O)NC3CC3)C2)ccc1F ZINC000120503452 408130963 /nfs/dbraw/zinc/13/09/63/408130963.db2.gz UTDVODFKUGGWCO-CQSZACIVSA-N 1 2 323.393 1.353 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@@H](S(=O)(=O)NC3CC3)C2)ccc1F ZINC000120503452 408130966 /nfs/dbraw/zinc/13/09/66/408130966.db2.gz UTDVODFKUGGWCO-CQSZACIVSA-N 1 2 323.393 1.353 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000175548186 408158366 /nfs/dbraw/zinc/15/83/66/408158366.db2.gz QKUIZUZDGRRQHI-UHFFFAOYSA-N 1 2 324.384 1.853 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)[C@@H](C)Oc2ccccc2C)CC1 ZINC000121730458 408243343 /nfs/dbraw/zinc/24/33/43/408243343.db2.gz MUABULLMTGOUEA-MRXNPFEDSA-N 1 2 300.402 1.930 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]CC(=O)NCc2ccc(C#N)cc2)s1 ZINC000273572447 408251570 /nfs/dbraw/zinc/25/15/70/408251570.db2.gz GEOHCORLTRCVFV-SNVBAGLBSA-N 1 2 315.402 1.685 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCN(c2ncc(C#N)cc2Cl)CC1 ZINC000263791823 408257886 /nfs/dbraw/zinc/25/78/86/408257886.db2.gz NWEASFHKMMAUNY-UHFFFAOYSA-N 1 2 321.812 1.255 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCN(c2ncc(C#N)cc2Cl)CC1 ZINC000263791823 408257890 /nfs/dbraw/zinc/25/78/90/408257890.db2.gz NWEASFHKMMAUNY-UHFFFAOYSA-N 1 2 321.812 1.255 20 30 DDEDLO C[C@H](NC(=O)[C@H](C)O[NH+]=C(N)CCO)c1ccc(Cl)cc1 ZINC000121420437 408205772 /nfs/dbraw/zinc/20/57/72/408205772.db2.gz GZIPZGQPIUNTLG-UWVGGRQHSA-N 1 2 313.785 1.577 20 30 DDEDLO CCc1ncc(CN(CCC#N)CC[NH+]2CCOCC2)s1 ZINC000269355457 408281075 /nfs/dbraw/zinc/28/10/75/408281075.db2.gz MILQPMSCTRXWJR-UHFFFAOYSA-N 1 2 308.451 1.753 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(O)cc([N+](=O)[O-])c2)CC1 ZINC000269377639 408287950 /nfs/dbraw/zinc/28/79/50/408287950.db2.gz OFPCUVYFEXPGCT-UHFFFAOYSA-N 1 2 303.318 1.082 20 30 DDEDLO C=CCN(CC=C)C(=O)CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158242163 408332551 /nfs/dbraw/zinc/33/25/51/408332551.db2.gz MPNMGOLDZFHCSX-UHFFFAOYSA-N 1 2 315.373 1.459 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N(C)Cc1[nH+]ccn1CC(F)(F)F ZINC000264032239 408349015 /nfs/dbraw/zinc/34/90/15/408349015.db2.gz AVKUVBLUUPWTCS-SNVBAGLBSA-N 1 2 302.300 1.015 20 30 DDEDLO C[C@H]([NH2+]CC(=O)Nc1cccc(C#N)c1)c1nnc2ccccn21 ZINC000172610219 162287385 /nfs/dbraw/zinc/28/73/85/162287385.db2.gz LXNZKCDGLYFSOF-LBPRGKRZSA-N 1 2 320.356 1.890 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](C)C1(C(=O)OC)CCCC1 ZINC000159223957 408391777 /nfs/dbraw/zinc/39/17/77/408391777.db2.gz AUFFUYBUDMYIHG-ZDUSSCGKSA-N 1 2 309.410 1.412 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)C1(C(=O)OC)CCCC1 ZINC000159223957 408391781 /nfs/dbraw/zinc/39/17/81/408391781.db2.gz AUFFUYBUDMYIHG-ZDUSSCGKSA-N 1 2 309.410 1.412 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(c2ccnc(C)n2)CC1 ZINC000183803252 408492424 /nfs/dbraw/zinc/49/24/24/408492424.db2.gz VBOQVSXMTPZCDG-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO C[C@H](C#N)C[NH2+]Cc1ccccc1OCC(=O)N1CCCC1 ZINC000183840107 408499041 /nfs/dbraw/zinc/49/90/41/408499041.db2.gz RRHHAMCPGNFPRZ-CQSZACIVSA-N 1 2 301.390 1.937 20 30 DDEDLO C=CCN1CC[C@@H](Nc2ccccc2C[NH+]2CCOCC2)C1=O ZINC000281259230 408877684 /nfs/dbraw/zinc/87/76/84/408877684.db2.gz QSYMKRLNUBCZHC-QGZVFWFLSA-N 1 2 315.417 1.718 20 30 DDEDLO N#CCCCS(=O)(=O)N[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000166124296 408815667 /nfs/dbraw/zinc/81/56/67/408815667.db2.gz LIEPPOJJCRDCTJ-ZDUSSCGKSA-N 1 2 308.407 1.274 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@H+](C)[C@@H]1COC[C@H]1O ZINC000285923252 408889834 /nfs/dbraw/zinc/88/98/34/408889834.db2.gz LIQJKSWQOGXVHZ-OWYVNGRQSA-N 1 2 323.780 1.230 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@@H+](C)[C@@H]1COC[C@H]1O ZINC000285923252 408889838 /nfs/dbraw/zinc/88/98/38/408889838.db2.gz LIQJKSWQOGXVHZ-OWYVNGRQSA-N 1 2 323.780 1.230 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)N1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O ZINC000286115584 408926681 /nfs/dbraw/zinc/92/66/81/408926681.db2.gz YACCVSMJRVQXGB-HZMBPMFUSA-N 1 2 309.329 1.505 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)N1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O ZINC000286115584 408926684 /nfs/dbraw/zinc/92/66/84/408926684.db2.gz YACCVSMJRVQXGB-HZMBPMFUSA-N 1 2 309.329 1.505 20 30 DDEDLO N#Cc1ccc(C[N@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)cc1F ZINC000192102706 163217958 /nfs/dbraw/zinc/21/79/58/163217958.db2.gz QXVUKMMUNDRCKY-AWEZNQCLSA-N 1 2 308.378 1.849 20 30 DDEDLO N#Cc1ccc(C[N@@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)cc1F ZINC000192102706 163217960 /nfs/dbraw/zinc/21/79/60/163217960.db2.gz QXVUKMMUNDRCKY-AWEZNQCLSA-N 1 2 308.378 1.849 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(c3nc[nH+]c(N)c3Cl)C2)nc1 ZINC000291885699 408939588 /nfs/dbraw/zinc/93/95/88/408939588.db2.gz ORUUTMREUUXIAC-SNVBAGLBSA-N 1 2 316.752 1.637 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(c3[nH+]cnc(N)c3Cl)C2)nc1 ZINC000291885699 408939591 /nfs/dbraw/zinc/93/95/91/408939591.db2.gz ORUUTMREUUXIAC-SNVBAGLBSA-N 1 2 316.752 1.637 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](CCO)Cc2ccccc2OC)C1=O ZINC000281924061 408954930 /nfs/dbraw/zinc/95/49/30/408954930.db2.gz HMIIOZOMQSYGRR-OAHLLOKOSA-N 1 2 304.390 1.276 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](CCO)Cc2ccccc2OC)C1=O ZINC000281924061 408954931 /nfs/dbraw/zinc/95/49/31/408954931.db2.gz HMIIOZOMQSYGRR-OAHLLOKOSA-N 1 2 304.390 1.276 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)s1 ZINC000292149220 408990157 /nfs/dbraw/zinc/99/01/57/408990157.db2.gz JZVGMOWZCUYZMX-GFCCVEGCSA-N 1 2 301.375 1.767 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)s1 ZINC000292149220 408990160 /nfs/dbraw/zinc/99/01/60/408990160.db2.gz JZVGMOWZCUYZMX-GFCCVEGCSA-N 1 2 301.375 1.767 20 30 DDEDLO Cc1cc(C[NH+]2CCN(Cc3ccc(C#N)cc3)CC2)ncn1 ZINC000292158026 408992126 /nfs/dbraw/zinc/99/21/26/408992126.db2.gz KFQYFGKZHMHKRR-UHFFFAOYSA-N 1 2 307.401 1.975 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cccc(CC#N)c1 ZINC000287629412 409066365 /nfs/dbraw/zinc/06/63/65/409066365.db2.gz ZNEFJCPEOAIYGJ-RHSMWYFYSA-N 1 2 313.401 1.688 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000292485234 409030241 /nfs/dbraw/zinc/03/02/41/409030241.db2.gz QGXKVEMQLRTRHO-HOCLYGCPSA-N 1 2 301.390 1.208 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000292485234 409030245 /nfs/dbraw/zinc/03/02/45/409030245.db2.gz QGXKVEMQLRTRHO-HOCLYGCPSA-N 1 2 301.390 1.208 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCc1cccc(C(=O)NC2CC2)c1 ZINC000293088746 409051193 /nfs/dbraw/zinc/05/11/93/409051193.db2.gz KFIKFSLLVBTORV-UHFFFAOYSA-N 1 2 313.401 1.150 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCc1cccc(C(=O)NC2CC2)c1 ZINC000293088746 409051195 /nfs/dbraw/zinc/05/11/95/409051195.db2.gz KFIKFSLLVBTORV-UHFFFAOYSA-N 1 2 313.401 1.150 20 30 DDEDLO CO/N=C/C(=O)N1CC[NH+](Cc2ccc(OC)c(F)c2)CC1 ZINC000283045330 409076398 /nfs/dbraw/zinc/07/63/98/409076398.db2.gz SDVJRGIPYLPKAS-LICLKQGHSA-N 1 2 309.341 1.111 20 30 DDEDLO C#CCC[NH+]1CCN(c2ccc([N+](=O)[O-])cc2C(C)=O)CC1 ZINC000288138183 409151938 /nfs/dbraw/zinc/15/19/38/409151938.db2.gz YRNGGRGGTXSMFU-UHFFFAOYSA-N 1 2 301.346 1.943 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ncsc2C(F)(F)F)CC1 ZINC000279421669 409210885 /nfs/dbraw/zinc/21/08/85/409210885.db2.gz CTYWLNFYBCKNAT-UHFFFAOYSA-N 1 2 317.336 1.943 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H](CS(C)(=O)=O)C2)ccc1C#N ZINC000293878591 409199538 /nfs/dbraw/zinc/19/95/38/409199538.db2.gz JMJHAXINZNNZHY-CQSZACIVSA-N 1 2 322.430 1.823 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H](CS(C)(=O)=O)C2)ccc1C#N ZINC000293878591 409199541 /nfs/dbraw/zinc/19/95/41/409199541.db2.gz JMJHAXINZNNZHY-CQSZACIVSA-N 1 2 322.430 1.823 20 30 DDEDLO C=CC[N@@H+](CC[C@H](O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000289840938 409288040 /nfs/dbraw/zinc/28/80/40/409288040.db2.gz KFWBBQJMYGQJAH-HOTGVXAUSA-N 1 2 309.431 1.785 20 30 DDEDLO C=CC[N@H+](CC[C@H](O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000289840938 409288044 /nfs/dbraw/zinc/28/80/44/409288044.db2.gz KFWBBQJMYGQJAH-HOTGVXAUSA-N 1 2 309.431 1.785 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000294451722 409291005 /nfs/dbraw/zinc/29/10/05/409291005.db2.gz OXSYCQJYKHGAMU-DOTOQJQBSA-N 1 2 316.401 1.042 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000294451722 409291009 /nfs/dbraw/zinc/29/10/09/409291009.db2.gz OXSYCQJYKHGAMU-DOTOQJQBSA-N 1 2 316.401 1.042 20 30 DDEDLO C#CCO[C@@H](C)C(=O)Nc1ccccc1OCCn1cc[nH+]c1 ZINC000294460250 409291776 /nfs/dbraw/zinc/29/17/76/409291776.db2.gz IAXXJOQXDSEJJC-AWEZNQCLSA-N 1 2 313.357 1.939 20 30 DDEDLO N#Cc1cc(F)ccc1S(=O)(=O)NCC[N@H+]1CC=CCC1 ZINC000280185692 409265855 /nfs/dbraw/zinc/26/58/55/409265855.db2.gz IIIQSZSGCGYACN-UHFFFAOYSA-N 1 2 309.366 1.238 20 30 DDEDLO N#Cc1cc(F)ccc1S(=O)(=O)NCC[N@@H+]1CC=CCC1 ZINC000280185692 409265857 /nfs/dbraw/zinc/26/58/57/409265857.db2.gz IIIQSZSGCGYACN-UHFFFAOYSA-N 1 2 309.366 1.238 20 30 DDEDLO CO/N=C(/C(=O)N1CC(C)(C)[C@H]1c1[nH+]ccn1C)c1ccco1 ZINC000295801531 409371745 /nfs/dbraw/zinc/37/17/45/409371745.db2.gz FQYURNUDZAVBIF-RNEAKCBISA-N 1 2 316.361 1.973 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)Cc1[nH+]ccn1CC(F)(F)F ZINC000290369372 409376725 /nfs/dbraw/zinc/37/67/25/409376725.db2.gz FAFCFFHGTZJHOK-LBPRGKRZSA-N 1 2 305.300 1.731 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)NCc1ccccc1F ZINC000284608365 409355522 /nfs/dbraw/zinc/35/55/22/409355522.db2.gz YVQZYMQIDUUGAB-GXFFZTMASA-N 1 2 309.341 1.298 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@H](C)c1c(F)cncc1F ZINC000285582791 409495943 /nfs/dbraw/zinc/49/59/43/409495943.db2.gz IHRXCCRRLUCUMP-RKDXNWHRSA-N 1 2 312.320 1.411 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000342265678 409568126 /nfs/dbraw/zinc/56/81/26/409568126.db2.gz NVBSNQOAPHIJFU-ZMSDIMECSA-N 1 2 310.438 1.537 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)C(=O)Nc1cccc(C#N)c1)C1CC1 ZINC000356776543 409593151 /nfs/dbraw/zinc/59/31/51/409593151.db2.gz GDHKHRJACFPQLO-AWEZNQCLSA-N 1 2 323.356 1.498 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@H](C)[C@H]2CO)c(C#N)c1C ZINC000305258791 409746133 /nfs/dbraw/zinc/74/61/33/409746133.db2.gz QDXOEDXWDGZMRO-IINYFYTJSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@H](C)[C@H]2CO)c(C#N)c1C ZINC000305258791 409746140 /nfs/dbraw/zinc/74/61/40/409746140.db2.gz QDXOEDXWDGZMRO-IINYFYTJSA-N 1 2 305.378 1.799 20 30 DDEDLO N#Cc1csc(C(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)c1 ZINC000332123458 409906106 /nfs/dbraw/zinc/90/61/06/409906106.db2.gz XCVAPMHIGRVLEN-UHFFFAOYSA-N 1 2 301.375 1.301 20 30 DDEDLO C=CCSCCNC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000338269068 409855942 /nfs/dbraw/zinc/85/59/42/409855942.db2.gz CMTLLYULIHHHGL-UHFFFAOYSA-N 1 2 308.451 1.980 20 30 DDEDLO Cn1c(N2CC[C@@H](NC(=O)NC3CC3)C2)[nH+]c2cccc(F)c21 ZINC000328674459 409953048 /nfs/dbraw/zinc/95/30/48/409953048.db2.gz PZZQQLGKKUUKHO-LLVKDONJSA-N 1 2 317.368 1.957 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCc2cnn(C)c2C1)[NH+]1CCN(C)CC1 ZINC000328844394 409992738 /nfs/dbraw/zinc/99/27/38/409992738.db2.gz VCUIYJJQRBVAGF-ZIAGYGMSSA-N 1 2 319.453 1.117 20 30 DDEDLO CC(=O)Nc1ccn([C@@H]2CC[N@@H+](Cc3nnnn3C(C)C)C2)n1 ZINC000328629851 409942602 /nfs/dbraw/zinc/94/26/02/409942602.db2.gz PFTGXWFMOVUAGU-GFCCVEGCSA-N 1 2 318.385 1.505 20 30 DDEDLO CC(=O)Nc1ccn([C@@H]2CC[N@H+](Cc3nnnn3C(C)C)C2)n1 ZINC000328629851 409942609 /nfs/dbraw/zinc/94/26/09/409942609.db2.gz PFTGXWFMOVUAGU-GFCCVEGCSA-N 1 2 318.385 1.505 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000354687210 410017050 /nfs/dbraw/zinc/01/70/50/410017050.db2.gz QGNIPEDPSSNCDX-HNNXBMFYSA-N 1 2 314.389 1.487 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000354687210 410017059 /nfs/dbraw/zinc/01/70/59/410017059.db2.gz QGNIPEDPSSNCDX-HNNXBMFYSA-N 1 2 314.389 1.487 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)[C@@H]1CCO[C@H]1c1nccn1C ZINC000328972235 410025683 /nfs/dbraw/zinc/02/56/83/410025683.db2.gz VIAIMEDXVLMKFF-MGPQQGTHSA-N 1 2 322.409 1.175 20 30 DDEDLO CCc1noc(C)c1NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329001973 410046334 /nfs/dbraw/zinc/04/63/34/410046334.db2.gz XQHLRMHBNSIDOV-STQMWFEESA-N 1 2 308.382 1.496 20 30 DDEDLO CCc1noc(C)c1NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329001973 410046338 /nfs/dbraw/zinc/04/63/38/410046338.db2.gz XQHLRMHBNSIDOV-STQMWFEESA-N 1 2 308.382 1.496 20 30 DDEDLO C=CC[N@@H+](CC(=O)N[C@H](Cc1ccccc1)C(C)=O)C1CC1 ZINC000357549025 410096402 /nfs/dbraw/zinc/09/64/02/410096402.db2.gz CWUQJUXEVQSYIX-QGZVFWFLSA-N 1 2 300.402 1.953 20 30 DDEDLO C=CC[N@H+](CC(=O)N[C@H](Cc1ccccc1)C(C)=O)C1CC1 ZINC000357549025 410096412 /nfs/dbraw/zinc/09/64/12/410096412.db2.gz CWUQJUXEVQSYIX-QGZVFWFLSA-N 1 2 300.402 1.953 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1C[C@H](NC(=O)c2cc(C#N)ccn2)CCO1 ZINC000332391236 410123458 /nfs/dbraw/zinc/12/34/58/410123458.db2.gz CYCLWDXVEJTCQE-IUODEOHRSA-N 1 2 311.345 1.337 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(c2nc3c(cc2C#N)CCC3)CC1 ZINC000329141362 410126720 /nfs/dbraw/zinc/12/67/20/410126720.db2.gz KGBUEXQYEKUSRM-UHFFFAOYSA-N 1 2 322.416 1.498 20 30 DDEDLO CCc1nc(CCNC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)no1 ZINC000329274767 410206122 /nfs/dbraw/zinc/20/61/22/410206122.db2.gz JONYHVCTCNJMBB-CYBMUJFWSA-N 1 2 322.409 1.774 20 30 DDEDLO CCc1nc(CCNC(=O)[C@H]2COCC[N@H+]2C2CCCC2)no1 ZINC000329274767 410206127 /nfs/dbraw/zinc/20/61/27/410206127.db2.gz JONYHVCTCNJMBB-CYBMUJFWSA-N 1 2 322.409 1.774 20 30 DDEDLO O=C(NCCC1(O)CCOCC1)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000329337905 410243352 /nfs/dbraw/zinc/24/33/52/410243352.db2.gz MTLCKLDCFRPJLH-UHFFFAOYSA-N 1 2 322.409 1.435 20 30 DDEDLO O=C(NCCC1(O)CCOCC1)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000329337905 410243363 /nfs/dbraw/zinc/24/33/63/410243363.db2.gz MTLCKLDCFRPJLH-UHFFFAOYSA-N 1 2 322.409 1.435 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)[NH+]1CCN(CCC(=O)N(C)C)CC1 ZINC000268489290 287131496 /nfs/dbraw/zinc/13/14/96/287131496.db2.gz PPMQSAHDRCRBAS-HNNXBMFYSA-N 1 2 314.433 1.715 20 30 DDEDLO Cc1nc([C@@H]2CCCN2C(=O)N[C@H](C)C[NH+]2CCOCC2)no1 ZINC000329390915 410272273 /nfs/dbraw/zinc/27/22/73/410272273.db2.gz RLHUVEPHONHHCN-YPMHNXCESA-N 1 2 323.397 1.150 20 30 DDEDLO CSc1ccc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)nc1 ZINC000329495087 410327566 /nfs/dbraw/zinc/32/75/66/410327566.db2.gz DKKRSRDCOUZRAW-VXGBXAGGSA-N 1 2 308.407 1.364 20 30 DDEDLO CSc1ccc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)nc1 ZINC000329495087 410327575 /nfs/dbraw/zinc/32/75/75/410327575.db2.gz DKKRSRDCOUZRAW-VXGBXAGGSA-N 1 2 308.407 1.364 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000333047001 410328709 /nfs/dbraw/zinc/32/87/09/410328709.db2.gz AZXBWVFKUPISDB-JONQDZQNSA-N 1 2 323.437 1.225 20 30 DDEDLO Cn1cc([C@@H]2CCN(C([O-])=[NH+][C@H]3CCc4[nH]c[nH+]c4C3)C2)cn1 ZINC000329543542 410353399 /nfs/dbraw/zinc/35/33/99/410353399.db2.gz FNAQTVUCXCSXIW-YPMHNXCESA-N 1 2 314.393 1.404 20 30 DDEDLO Cn1cc([C@@H]2CCN(C([O-])=[NH+][C@H]3CCc4[nH+]c[nH]c4C3)C2)cn1 ZINC000329543542 410353405 /nfs/dbraw/zinc/35/34/05/410353405.db2.gz FNAQTVUCXCSXIW-YPMHNXCESA-N 1 2 314.393 1.404 20 30 DDEDLO CS(=O)(=O)C1(C(=O)NC[C@H]2CCCC[N@@H+]2C2CC2)CC1 ZINC000329418759 410290818 /nfs/dbraw/zinc/29/08/18/410290818.db2.gz GSVROMZCKVQRRT-GFCCVEGCSA-N 1 2 300.424 1.537 20 30 DDEDLO CS(=O)(=O)C1(C(=O)NC[C@H]2CCCC[N@H+]2C2CC2)CC1 ZINC000329418759 410290823 /nfs/dbraw/zinc/29/08/23/410290823.db2.gz GSVROMZCKVQRRT-GFCCVEGCSA-N 1 2 300.424 1.537 20 30 DDEDLO C[C@@H]1C[C@H](C(=O)NCC(=O)NCC[NH+]2CCOCC2)CCO1 ZINC000329427011 410292046 /nfs/dbraw/zinc/29/20/46/410292046.db2.gz GQPIYWLYUNGQHA-CHWSQXEVSA-N 1 2 313.398 1.047 20 30 DDEDLO CC[C@@H](C)[C@@H](OC)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000355453756 410525682 /nfs/dbraw/zinc/52/56/82/410525682.db2.gz FQIVPMXAURJBFM-HUUCEWRRSA-N 1 2 311.426 1.122 20 30 DDEDLO CC(C)[N@@H+]1CCC[C@@H](CNC(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000330156612 410565357 /nfs/dbraw/zinc/56/53/57/410565357.db2.gz OWLVCZWURCZEDC-JTQLQIEISA-N 1 2 313.427 1.679 20 30 DDEDLO CC(C)[N@H+]1CCC[C@@H](CNC(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000330156612 410565363 /nfs/dbraw/zinc/56/53/63/410565363.db2.gz OWLVCZWURCZEDC-JTQLQIEISA-N 1 2 313.427 1.679 20 30 DDEDLO CN(C(=O)Cn1cnc(C#N)n1)[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000352783910 410677803 /nfs/dbraw/zinc/67/78/03/410677803.db2.gz PECQKRSMNVDWKW-OAHLLOKOSA-N 1 2 321.344 1.121 20 30 DDEDLO COC(=O)[C@@H](C1CC1)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000359584551 410751402 /nfs/dbraw/zinc/75/14/02/410751402.db2.gz KKXCXGRESXTQPM-OAHLLOKOSA-N 1 2 300.362 1.027 20 30 DDEDLO N#Cc1ccc(NC[C@H](c2cccs2)[NH+]2CCOCC2)nn1 ZINC000301828630 410806440 /nfs/dbraw/zinc/80/64/40/410806440.db2.gz GINDPDYOFRKWMF-CYBMUJFWSA-N 1 2 315.402 1.895 20 30 DDEDLO CO[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@@H](C)C1 ZINC000343929995 410822622 /nfs/dbraw/zinc/82/26/22/410822622.db2.gz CTNAATUBIIWPFR-JSGCOSHPSA-N 1 2 323.418 1.226 20 30 DDEDLO CO[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@@H](C)C1 ZINC000343929995 410822627 /nfs/dbraw/zinc/82/26/27/410822627.db2.gz CTNAATUBIIWPFR-JSGCOSHPSA-N 1 2 323.418 1.226 20 30 DDEDLO CC[C@@H]1CO[C@@H](C)C[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000330690598 410880179 /nfs/dbraw/zinc/88/01/79/410880179.db2.gz FTUUUNOZABRJEJ-XJKSGUPXSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H]1CO[C@@H](C)C[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000330690598 410880183 /nfs/dbraw/zinc/88/01/83/410880183.db2.gz FTUUUNOZABRJEJ-XJKSGUPXSA-N 1 2 301.390 1.674 20 30 DDEDLO Cc1cc(N2CC[NH+](C)CC2)ccc1NC([O-])=[NH+]CC[C@H](C)O ZINC000330788686 410895207 /nfs/dbraw/zinc/89/52/07/410895207.db2.gz RCPCHUJVVQGYLD-AWEZNQCLSA-N 1 2 320.437 1.844 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H]2CC[C@@H](O)[C@H]2C1 ZINC000344059383 410927628 /nfs/dbraw/zinc/92/76/28/410927628.db2.gz LTFAOLPCZKAEQN-JONQDZQNSA-N 1 2 300.358 1.475 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@@H]2CC[C@@H](O)[C@H]2C1 ZINC000344059383 410927632 /nfs/dbraw/zinc/92/76/32/410927632.db2.gz LTFAOLPCZKAEQN-JONQDZQNSA-N 1 2 300.358 1.475 20 30 DDEDLO N#Cc1cccc(NC(=O)C[NH+]2CCC(O)(C(F)F)CC2)c1 ZINC000331120256 410959074 /nfs/dbraw/zinc/95/90/74/410959074.db2.gz APOHQEITIFHVAZ-UHFFFAOYSA-N 1 2 309.316 1.589 20 30 DDEDLO COC(=O)C1([N@H+](C)CC(=O)NC(=O)NC(C)(C)C)CCCC1 ZINC000331163381 410991041 /nfs/dbraw/zinc/99/10/41/410991041.db2.gz AJMCZBRBAHUHPK-UHFFFAOYSA-N 1 2 313.398 1.233 20 30 DDEDLO COC(=O)C1([N@@H+](C)CC(=O)NC(=O)NC(C)(C)C)CCCC1 ZINC000331163381 410991048 /nfs/dbraw/zinc/99/10/48/410991048.db2.gz AJMCZBRBAHUHPK-UHFFFAOYSA-N 1 2 313.398 1.233 20 30 DDEDLO Cc1noc(CN2CC[NH+](Cc3ccc(C#N)c(F)c3)CC2)n1 ZINC000331186661 411004618 /nfs/dbraw/zinc/00/46/18/411004618.db2.gz UYCNHBADWVXDGK-UHFFFAOYSA-N 1 2 315.352 1.707 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1cnccc1C#N)[NH+]1CCOCC1 ZINC000341447374 411042952 /nfs/dbraw/zinc/04/29/52/411042952.db2.gz GCPNWVZOMACGQA-HNNXBMFYSA-N 1 2 302.378 1.040 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNS(=O)(=O)c1ccc(C#N)o1 ZINC000344728291 411114337 /nfs/dbraw/zinc/11/43/37/411114337.db2.gz LLEUPAGEBACRHM-UHFFFAOYSA-N 1 2 308.363 1.482 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)NCc1[nH+]ccn1CC(C)C ZINC000636760172 422955176 /nfs/dbraw/zinc/95/51/76/422955176.db2.gz MHQYAYNCQIZKNB-UHFFFAOYSA-N 1 2 320.437 1.874 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+]([C@@H]2CCCCNC2=O)CC1 ZINC000373369784 418424169 /nfs/dbraw/zinc/42/41/69/418424169.db2.gz MUAWYZAKRKCWKM-HUUCEWRRSA-N 1 2 307.438 1.402 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)NCCc1cccc(C#N)c1)CC2 ZINC000374251950 418509901 /nfs/dbraw/zinc/50/99/01/418509901.db2.gz RQACYOWVBISIPN-UHFFFAOYSA-N 1 2 309.373 1.831 20 30 DDEDLO CCc1nc([C@H]2CCCC[N@@H+]2CCC(=O)N(C)CCC#N)no1 ZINC000360828099 418527377 /nfs/dbraw/zinc/52/73/77/418527377.db2.gz HVGIFUBONSSJTR-CYBMUJFWSA-N 1 2 319.409 1.921 20 30 DDEDLO CCc1nc([C@H]2CCCC[N@H+]2CCC(=O)N(C)CCC#N)no1 ZINC000360828099 418527379 /nfs/dbraw/zinc/52/73/79/418527379.db2.gz HVGIFUBONSSJTR-CYBMUJFWSA-N 1 2 319.409 1.921 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)C1([NH+]2CCOCC2)CCCC1 ZINC000373886703 418468623 /nfs/dbraw/zinc/46/86/23/418468623.db2.gz FUHGYWVNVWXFOX-HOTGVXAUSA-N 1 2 322.449 1.729 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CCC[N@H+](CC(=O)N2CCCC2)CC1 ZINC000367216424 418572053 /nfs/dbraw/zinc/57/20/53/418572053.db2.gz DALMTZDHBRTYFR-QGZVFWFLSA-N 1 2 320.437 1.083 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CCC[N@@H+](CC(=O)N2CCCC2)CC1 ZINC000367216424 418572054 /nfs/dbraw/zinc/57/20/54/418572054.db2.gz DALMTZDHBRTYFR-QGZVFWFLSA-N 1 2 320.437 1.083 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2ccc(C#N)c(O)c2)CC1 ZINC000188474349 222014644 /nfs/dbraw/zinc/01/46/44/222014644.db2.gz DBPJBSWIQPZEAT-UHFFFAOYSA-N 1 2 303.362 1.058 20 30 DDEDLO CC(C)C[C@@H]1C(=O)NCC[N@H+]1CCOc1ccc(C#N)cc1 ZINC000189799696 222057043 /nfs/dbraw/zinc/05/70/43/222057043.db2.gz KZTIIKZPWKJNDF-MRXNPFEDSA-N 1 2 301.390 1.784 20 30 DDEDLO CC(C)C[C@@H]1C(=O)NCC[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000189799696 222057046 /nfs/dbraw/zinc/05/70/46/222057046.db2.gz KZTIIKZPWKJNDF-MRXNPFEDSA-N 1 2 301.390 1.784 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000192062914 222119909 /nfs/dbraw/zinc/11/99/09/222119909.db2.gz UQBDOFQLXWKWND-KRWDZBQOSA-N 1 2 300.402 1.980 20 30 DDEDLO C=CCN(CC=C)C(=O)CN(C)CC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000192450046 222126741 /nfs/dbraw/zinc/12/67/41/222126741.db2.gz VPWCNOWIYYTROU-IYBDPMFKSA-N 1 2 309.454 1.228 20 30 DDEDLO C=CCN(CC=C)C(=O)CN(C)CC[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000192450046 222126742 /nfs/dbraw/zinc/12/67/42/222126742.db2.gz VPWCNOWIYYTROU-IYBDPMFKSA-N 1 2 309.454 1.228 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@H](C)OC ZINC000291163336 222185188 /nfs/dbraw/zinc/18/51/88/222185188.db2.gz FZNGGVJSYISUBX-ZDUSSCGKSA-N 1 2 310.419 1.689 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@H](C)OC ZINC000291163336 222185192 /nfs/dbraw/zinc/18/51/92/222185192.db2.gz FZNGGVJSYISUBX-ZDUSSCGKSA-N 1 2 310.419 1.689 20 30 DDEDLO COC[C@@H]1C[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)C[C@@H](C)O1 ZINC000251649647 222243371 /nfs/dbraw/zinc/24/33/71/222243371.db2.gz HMFOFAZAXCDRRT-ILXRZTDVSA-N 1 2 323.437 1.453 20 30 DDEDLO COC[C@@H]1C[N@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)C[C@@H](C)O1 ZINC000251649647 222243375 /nfs/dbraw/zinc/24/33/75/222243375.db2.gz HMFOFAZAXCDRRT-ILXRZTDVSA-N 1 2 323.437 1.453 20 30 DDEDLO Cc1cnc(N2CC[NH+]([C@H](C)C(=O)N(C)CCC#N)CC2)s1 ZINC000267417927 222384767 /nfs/dbraw/zinc/38/47/67/222384767.db2.gz JSWFTMYTHPXWBF-CYBMUJFWSA-N 1 2 321.450 1.334 20 30 DDEDLO C(#CC[N@@H+]1CCOC[C@H]1C1CC1)CN1CCOC[C@H]1C1CC1 ZINC000375298634 418626559 /nfs/dbraw/zinc/62/65/59/418626559.db2.gz YSDOVGFSKHHIAF-ROUUACIJSA-N 1 2 304.434 1.211 20 30 DDEDLO C=CCc1ccc(OC[C@H](O)C[N@@H+]2CCO[C@@H]3C[C@@H]32)c(OC)c1 ZINC000368334656 418719333 /nfs/dbraw/zinc/71/93/33/418719333.db2.gz WSSNIKHSQSKHDQ-HLLBOEOZSA-N 1 2 319.401 1.637 20 30 DDEDLO C=CCc1ccc(OC[C@H](O)C[N@H+]2CCO[C@@H]3C[C@@H]32)c(OC)c1 ZINC000368334656 418719335 /nfs/dbraw/zinc/71/93/35/418719335.db2.gz WSSNIKHSQSKHDQ-HLLBOEOZSA-N 1 2 319.401 1.637 20 30 DDEDLO C=CCCCCCN(C)S(=O)(=O)CCC[NH+]1CCOCC1 ZINC000361559154 418677724 /nfs/dbraw/zinc/67/77/24/418677724.db2.gz MYZWMMAHWDJTGR-UHFFFAOYSA-N 1 2 318.483 1.717 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Nc1cccc(C#N)c1 ZINC000375841701 418689827 /nfs/dbraw/zinc/68/98/27/418689827.db2.gz SSXDRRDBBRKWSB-BBRMVZONSA-N 1 2 314.389 1.885 20 30 DDEDLO Cc1cc(C)c(CNS(=O)(=O)c2cnc(C)c(C#N)c2)c[nH+]1 ZINC000396996871 418761700 /nfs/dbraw/zinc/76/17/00/418761700.db2.gz CHNFTBUGQIKVOV-UHFFFAOYSA-N 1 2 316.386 1.752 20 30 DDEDLO N#CCCN(Cc1cccnc1)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000364396845 418776135 /nfs/dbraw/zinc/77/61/35/418776135.db2.gz IBOBVLCDXJSMAC-HNNXBMFYSA-N 1 2 309.373 1.783 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000407990889 418780272 /nfs/dbraw/zinc/78/02/72/418780272.db2.gz QAICBFKWGAMHNP-UKRRQHHQSA-N 1 2 320.437 1.318 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000407990889 418780276 /nfs/dbraw/zinc/78/02/76/418780276.db2.gz QAICBFKWGAMHNP-UKRRQHHQSA-N 1 2 320.437 1.318 20 30 DDEDLO N#Cc1cccc(CS(=O)(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)c1 ZINC000364723917 418805103 /nfs/dbraw/zinc/80/51/03/418805103.db2.gz PEXZVMKSPQJOGY-AWEZNQCLSA-N 1 2 316.386 1.948 20 30 DDEDLO N#C[C@@H]1C[N@@H+](CCOCC(F)F)C[C@]12C(=O)Nc1ccccc12 ZINC000364893632 418821798 /nfs/dbraw/zinc/82/17/98/418821798.db2.gz ILYWYOCNXZZTAW-BZNIZROVSA-N 1 2 321.327 1.614 20 30 DDEDLO N#C[C@@H]1C[N@H+](CCOCC(F)F)C[C@]12C(=O)Nc1ccccc12 ZINC000364893632 418821800 /nfs/dbraw/zinc/82/18/00/418821800.db2.gz ILYWYOCNXZZTAW-BZNIZROVSA-N 1 2 321.327 1.614 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000365254978 418845889 /nfs/dbraw/zinc/84/58/89/418845889.db2.gz JTIXSEXIMKNIBB-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000365254978 418845891 /nfs/dbraw/zinc/84/58/91/418845891.db2.gz JTIXSEXIMKNIBB-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CC[C@H]1C[NH+](CC(=O)N(CCC#N)CCC#N)C[C@H](CC)O1 ZINC000420950729 419379704 /nfs/dbraw/zinc/37/97/04/419379704.db2.gz GQBIEXLHLFMRMF-GJZGRUSLSA-N 1 2 306.410 1.532 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000431610533 229045713 /nfs/dbraw/zinc/04/57/13/229045713.db2.gz YYOSRBGWKBZGKQ-UHFFFAOYSA-N 1 2 321.333 1.579 20 30 DDEDLO C=CCCOCCNC(=O)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000422406867 419945647 /nfs/dbraw/zinc/94/56/47/419945647.db2.gz YQMUKGHPSNZMKE-UHFFFAOYSA-N 1 2 305.378 1.024 20 30 DDEDLO CC[C@@H](C(=O)OC)[NH+]1CCN(Cc2cccc(F)c2C#N)CC1 ZINC000428979309 419956870 /nfs/dbraw/zinc/95/68/70/419956870.db2.gz QXSHDQMBHOHYDP-INIZCTEOSA-N 1 2 319.380 1.767 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1ccc(F)cc1C#N ZINC000435635948 420270758 /nfs/dbraw/zinc/27/07/58/420270758.db2.gz ICTXLDQPCNKUDT-JTQLQIEISA-N 1 2 315.308 1.037 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)N2CC[NH+](Cc3ccncc3)CC2)C1 ZINC000425613476 420423649 /nfs/dbraw/zinc/42/36/49/420423649.db2.gz SMDFTLSQGUKHIN-JKSUJKDBSA-N 1 2 313.405 1.601 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCCC[N@@H+]1CCOc1cccc(C#N)c1 ZINC000451658270 420607001 /nfs/dbraw/zinc/60/70/01/420607001.db2.gz MSDXVRMUUOXFCP-HNNXBMFYSA-N 1 2 322.430 1.836 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCCC[N@H+]1CCOc1cccc(C#N)c1 ZINC000451658270 420607008 /nfs/dbraw/zinc/60/70/08/420607008.db2.gz MSDXVRMUUOXFCP-HNNXBMFYSA-N 1 2 322.430 1.836 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH+]1CCC(F)(c2ccccn2)CC1 ZINC000450945567 420566804 /nfs/dbraw/zinc/56/68/04/420566804.db2.gz XCAZWKIABPSPJQ-UHFFFAOYSA-N 1 2 320.368 1.354 20 30 DDEDLO CO[C@@H]1C[N@@H+]([C@@H](C)C(=O)N(CCC#N)c2ccccc2)C[C@H]1O ZINC000451763583 420611548 /nfs/dbraw/zinc/61/15/48/420611548.db2.gz SRIOYTSTQBJNNU-NUEKZKHPSA-N 1 2 317.389 1.013 20 30 DDEDLO CO[C@@H]1C[N@H+]([C@@H](C)C(=O)N(CCC#N)c2ccccc2)C[C@H]1O ZINC000451763583 420611552 /nfs/dbraw/zinc/61/15/52/420611552.db2.gz SRIOYTSTQBJNNU-NUEKZKHPSA-N 1 2 317.389 1.013 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2ncc(CC)s2)CC1 ZINC000441345768 420646237 /nfs/dbraw/zinc/64/62/37/420646237.db2.gz HPPMEXSLHOXYHE-LBPRGKRZSA-N 1 2 308.451 1.518 20 30 DDEDLO Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)[C@@]2(C#N)C[C@H]2C)CC1 ZINC000449867627 421101103 /nfs/dbraw/zinc/10/11/03/421101103.db2.gz HZLDEKIFCXIKNU-SJKOYZFVSA-N 1 2 315.421 1.231 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H](OC(=O)N(C)C)C1 ZINC000495869745 421078664 /nfs/dbraw/zinc/07/86/64/421078664.db2.gz QZJRIUUKSZQVKI-BBRMVZONSA-N 1 2 324.425 1.203 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H](OC(=O)N(C)C)C1 ZINC000495869745 421078670 /nfs/dbraw/zinc/07/86/70/421078670.db2.gz QZJRIUUKSZQVKI-BBRMVZONSA-N 1 2 324.425 1.203 20 30 DDEDLO CC(C)NC(=O)OC1CN(Cc2c[nH+]c3ccc(C#N)cn23)C1 ZINC000496251631 421164195 /nfs/dbraw/zinc/16/41/95/421164195.db2.gz DBTLDMQLKFOXML-UHFFFAOYSA-N 1 2 313.361 1.525 20 30 DDEDLO C=CCN1CC[C@@H](N(C)c2nc(NC)c3ccccc3[nH+]2)C1=O ZINC000450497961 421204310 /nfs/dbraw/zinc/20/43/10/421204310.db2.gz HHICQFYAMGVHTL-CQSZACIVSA-N 1 2 311.389 1.895 20 30 DDEDLO C[C@H]([NH2+][C@@H](C)c1nc(C(C)(C)C)no1)C(=O)NC1(C#N)CCC1 ZINC000527298610 421375576 /nfs/dbraw/zinc/37/55/76/421375576.db2.gz QHWMSFOHJTTWNN-QWRGUYRKSA-N 1 2 319.409 1.969 20 30 DDEDLO C=C(C)c1ccc(S(=O)(=O)N2CC[NH+](CCO)CC2)cc1 ZINC000527587490 421418779 /nfs/dbraw/zinc/41/87/79/421418779.db2.gz KIPUNLNBHJWFQM-UHFFFAOYSA-N 1 2 310.419 1.018 20 30 DDEDLO CC1(C)C[S@@](=O)CC[N@@H+]1C[C@H](O)COc1ccccc1C#N ZINC000528463792 421491517 /nfs/dbraw/zinc/49/15/17/421491517.db2.gz AYFOZRVUYRYKQA-FPTDNZKUSA-N 1 2 322.430 1.141 20 30 DDEDLO CC1(C)C[S@@](=O)CC[N@H+]1C[C@H](O)COc1ccccc1C#N ZINC000528463792 421491521 /nfs/dbraw/zinc/49/15/21/421491521.db2.gz AYFOZRVUYRYKQA-FPTDNZKUSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[S@](=O)CC[N@@H+]1C[C@H](O)COc1ccccc1C#N ZINC000528479864 421493183 /nfs/dbraw/zinc/49/31/83/421493183.db2.gz YCOXTCXBHCLFRF-OXDBHQQFSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[S@](=O)CC[N@H+]1C[C@H](O)COc1ccccc1C#N ZINC000528479864 421493187 /nfs/dbraw/zinc/49/31/87/421493187.db2.gz YCOXTCXBHCLFRF-OXDBHQQFSA-N 1 2 322.430 1.141 20 30 DDEDLO C=CCOc1cccc(C[N@@H+]2CCO[C@@H](CNC(=O)NC)C2)c1 ZINC000529643411 421548464 /nfs/dbraw/zinc/54/84/64/421548464.db2.gz PEPDXOZRTYUTIL-INIZCTEOSA-N 1 2 319.405 1.381 20 30 DDEDLO C=CCOc1cccc(C[N@H+]2CCO[C@@H](CNC(=O)NC)C2)c1 ZINC000529643411 421548465 /nfs/dbraw/zinc/54/84/65/421548465.db2.gz PEPDXOZRTYUTIL-INIZCTEOSA-N 1 2 319.405 1.381 20 30 DDEDLO C[C@H](c1nccc(N)n1)[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000565163646 421596633 /nfs/dbraw/zinc/59/66/33/421596633.db2.gz MIHAOBQUXICTHL-CYBMUJFWSA-N 1 2 308.389 2.072 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCC=C(c2ccccn2)C1 ZINC000566981619 421609986 /nfs/dbraw/zinc/60/99/86/421609986.db2.gz KYNBTVCLCQRQGX-UHFFFAOYSA-N 1 2 300.362 1.183 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCC=C(c2ccccn2)C1 ZINC000566981619 421609989 /nfs/dbraw/zinc/60/99/89/421609989.db2.gz KYNBTVCLCQRQGX-UHFFFAOYSA-N 1 2 300.362 1.183 20 30 DDEDLO COc1ccccc1SCC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000568516762 421620878 /nfs/dbraw/zinc/62/08/78/421620878.db2.gz MWVJGFYRPRVIPN-HNNXBMFYSA-N 1 2 307.419 1.747 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1C[C@@H]2COC[C@H](C1)O2 ZINC000554853417 421662413 /nfs/dbraw/zinc/66/24/13/421662413.db2.gz ATVGRXIMQXKAIM-OKILXGFUSA-N 1 2 304.346 1.045 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1C[C@@H]2COC[C@H](C1)O2 ZINC000554853417 421662415 /nfs/dbraw/zinc/66/24/15/421662415.db2.gz ATVGRXIMQXKAIM-OKILXGFUSA-N 1 2 304.346 1.045 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+]Cc1cnc(N2CCOCC2)c(F)c1 ZINC000566132649 421602667 /nfs/dbraw/zinc/60/26/67/421602667.db2.gz ZFYIVVXBYAZLTA-CYBMUJFWSA-N 1 2 317.368 1.590 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2cccc(C#N)c2F)C1 ZINC000536994168 421726459 /nfs/dbraw/zinc/72/64/59/421726459.db2.gz DOGDQRLJMUOEOA-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2cccc(C#N)c2F)C1 ZINC000536994168 421726462 /nfs/dbraw/zinc/72/64/62/421726462.db2.gz DOGDQRLJMUOEOA-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+](CCCO)Cc3ccccn3)cnc12 ZINC000572159624 421767186 /nfs/dbraw/zinc/76/71/86/421767186.db2.gz VOLHPSBYQXIXAH-UHFFFAOYSA-N 1 2 322.372 1.381 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+](CCCO)Cc3ccccn3)cnc12 ZINC000572159624 421767187 /nfs/dbraw/zinc/76/71/87/421767187.db2.gz VOLHPSBYQXIXAH-UHFFFAOYSA-N 1 2 322.372 1.381 20 30 DDEDLO N#Cc1cccc(C2(C(=O)N[C@H]3CCc4[nH+]c[nH]c4C3)CC2)c1 ZINC000572588755 421803095 /nfs/dbraw/zinc/80/30/95/421803095.db2.gz NINQNMRVZXRCCX-AWEZNQCLSA-N 1 2 306.369 1.987 20 30 DDEDLO N#Cc1cccc(C2(C(=O)N[C@H]3CCc4[nH]c[nH+]c4C3)CC2)c1 ZINC000572588755 421803097 /nfs/dbraw/zinc/80/30/97/421803097.db2.gz NINQNMRVZXRCCX-AWEZNQCLSA-N 1 2 306.369 1.987 20 30 DDEDLO CC#CCCCC(=O)N1CCc2[nH]c[nH+]c2C12CCOCC2 ZINC000633527152 421951298 /nfs/dbraw/zinc/95/12/98/421951298.db2.gz KGFPCZNNESFBSP-UHFFFAOYSA-N 1 2 301.390 1.994 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@@H+]1CCO[C@H](CC)C1 ZINC000574498374 422118871 /nfs/dbraw/zinc/11/88/71/422118871.db2.gz RGUSAXRAHBJAMN-KFWWJZLASA-N 1 2 311.426 1.130 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@H+]1CCO[C@H](CC)C1 ZINC000574498374 422118873 /nfs/dbraw/zinc/11/88/73/422118873.db2.gz RGUSAXRAHBJAMN-KFWWJZLASA-N 1 2 311.426 1.130 20 30 DDEDLO CC(=O)Nc1cccc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000575992160 422354440 /nfs/dbraw/zinc/35/44/40/422354440.db2.gz SHXKKTTYRAYYRJ-INIZCTEOSA-N 1 2 302.378 1.148 20 30 DDEDLO FC(F)(F)C1CN(CC#CC[NH+]2CC(C(F)(F)F)C2)C1 ZINC000576819466 422377801 /nfs/dbraw/zinc/37/78/01/422377801.db2.gz AIIFJVOBWLYYNW-UHFFFAOYSA-N 1 2 300.246 1.978 20 30 DDEDLO C=C[C@](C)(O)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000634304884 422379426 /nfs/dbraw/zinc/37/94/26/422379426.db2.gz YVPSSCTUZCBYFF-HNNXBMFYSA-N 1 2 307.419 1.188 20 30 DDEDLO Cc1nc(NCc2cccc(C(=O)N3CC(C#N)C3)c2)cc[nH+]1 ZINC000590491414 422320043 /nfs/dbraw/zinc/32/00/43/422320043.db2.gz ZGRBOWJBWZMDDC-UHFFFAOYSA-N 1 2 307.357 1.993 20 30 DDEDLO COCCn1cc2c(n1)[C@@H]([NH2+]C[C@@H](C#N)CCC#N)CCC2 ZINC000577327967 422383452 /nfs/dbraw/zinc/38/34/52/422383452.db2.gz OGLYWJBRCRCNRG-HIFRSBDPSA-N 1 2 301.394 1.940 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000636111615 422652470 /nfs/dbraw/zinc/65/24/70/422652470.db2.gz NHINHCQWCOIDST-MNOVXSKESA-N 1 2 303.284 1.267 20 30 DDEDLO C=CCOCCCNC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000636201621 422710581 /nfs/dbraw/zinc/71/05/81/422710581.db2.gz YJJUZIUEBQJQML-KRWDZBQOSA-N 1 2 318.417 1.978 20 30 DDEDLO C=CCOCCCNC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000636201621 422710586 /nfs/dbraw/zinc/71/05/86/422710586.db2.gz YJJUZIUEBQJQML-KRWDZBQOSA-N 1 2 318.417 1.978 20 30 DDEDLO Cc1nnsc1C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000521442622 269928801 /nfs/dbraw/zinc/92/88/01/269928801.db2.gz LSLIREGLZQOJGA-UHFFFAOYSA-N 1 2 300.391 1.435 20 30 DDEDLO C=CCn1cc(C(=O)N2CC(C)(C)[C@H]2c2[nH+]ccn2C)nn1 ZINC000648406312 423350765 /nfs/dbraw/zinc/35/07/65/423350765.db2.gz DUJQBVCHJKFTHD-GFCCVEGCSA-N 1 2 300.366 1.421 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000646261060 423423968 /nfs/dbraw/zinc/42/39/68/423423968.db2.gz AQRISEPCIROCBT-OAHLLOKOSA-N 1 2 312.373 1.983 20 30 DDEDLO N#Cc1cccc(CC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)c1 ZINC000533117283 269971949 /nfs/dbraw/zinc/97/19/49/269971949.db2.gz PHPVKCHZJNKYIJ-INIZCTEOSA-N 1 2 306.369 1.891 20 30 DDEDLO C=C(C)CN(CC)C(=O)Cn1cc[nH+]c1CN1CCOCC1 ZINC000641721690 423906034 /nfs/dbraw/zinc/90/60/34/423906034.db2.gz DEYCPGLZUZRAGF-UHFFFAOYSA-N 1 2 306.410 1.140 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCCC[N@@H+](CC)c1ccccc1 ZINC000645132171 424183134 /nfs/dbraw/zinc/18/31/34/424183134.db2.gz QHWLVVHJXUXOSD-INIZCTEOSA-N 1 2 317.433 1.977 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCCC[N@H+](CC)c1ccccc1 ZINC000645132171 424183141 /nfs/dbraw/zinc/18/31/41/424183141.db2.gz QHWLVVHJXUXOSD-INIZCTEOSA-N 1 2 317.433 1.977 20 30 DDEDLO CC#CC[C@@H](CO)Nc1nc[nH+]c(N[C@H](CO)CC#CC)c1C ZINC000664055449 424366811 /nfs/dbraw/zinc/36/68/11/424366811.db2.gz CAJQYWNZVYCURE-GJZGRUSLSA-N 1 2 316.405 1.157 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C)[C@H](C)COC ZINC000658376241 424652946 /nfs/dbraw/zinc/65/29/46/424652946.db2.gz RZOLZXFKYNUPKL-CYBMUJFWSA-N 1 2 319.405 1.995 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2cc(C)nc(C#N)c2)CC1 ZINC000341433184 266110007 /nfs/dbraw/zinc/11/00/07/266110007.db2.gz NWRIJXUBQSPWCE-UHFFFAOYSA-N 1 2 315.421 1.252 20 30 DDEDLO CC[N@@H+]1CC[C@@H](CNC(=O)c2cc(C#N)c(SC)[nH]c2=O)C1 ZINC000351101034 266153598 /nfs/dbraw/zinc/15/35/98/266153598.db2.gz HADMDKRSNLVAMZ-JTQLQIEISA-N 1 2 320.418 1.452 20 30 DDEDLO CC[N@H+]1CC[C@@H](CNC(=O)c2cc(C#N)c(SC)[nH]c2=O)C1 ZINC000351101034 266153600 /nfs/dbraw/zinc/15/36/00/266153600.db2.gz HADMDKRSNLVAMZ-JTQLQIEISA-N 1 2 320.418 1.452 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)ccn1 ZINC000342863125 266811801 /nfs/dbraw/zinc/81/18/01/266811801.db2.gz GMTFMACBQKZKTI-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[C@@H](c1nncn1C)[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000376551763 267007638 /nfs/dbraw/zinc/00/76/38/267007638.db2.gz CGBZXDSVFKPTEB-ZDUSSCGKSA-N 1 2 311.393 1.355 20 30 DDEDLO C[C@@H](c1nncn1C)[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000376551763 267007643 /nfs/dbraw/zinc/00/76/43/267007643.db2.gz CGBZXDSVFKPTEB-ZDUSSCGKSA-N 1 2 311.393 1.355 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)CSc1ccc(C#N)cc1 ZINC000355081628 267069023 /nfs/dbraw/zinc/06/90/23/267069023.db2.gz PHJNPDUAMPTKSI-CYBMUJFWSA-N 1 2 319.430 1.487 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)CSc1ccc(C#N)cc1 ZINC000355081628 267069026 /nfs/dbraw/zinc/06/90/26/267069026.db2.gz PHJNPDUAMPTKSI-CYBMUJFWSA-N 1 2 319.430 1.487 20 30 DDEDLO C[C@@H]1[C@@H]([NH+]2CCOCC2)CCN1C(=O)c1cscc1C#N ZINC000356814018 267105776 /nfs/dbraw/zinc/10/57/76/267105776.db2.gz WJYCQOPYIXBSTD-RISCZKNCSA-N 1 2 305.403 1.555 20 30 DDEDLO C[C@H](CNS(=O)(=O)c1ccc(C#N)cc1)Cn1cc[nH+]c1 ZINC000360498740 267162173 /nfs/dbraw/zinc/16/21/73/267162173.db2.gz CWUUTYHJIQXMIV-GFCCVEGCSA-N 1 2 304.375 1.369 20 30 DDEDLO C[C@H](NC(=O)C1([NH+]2CCOCC2)CCC1)c1ccc(C#N)cc1 ZINC000355696496 267170941 /nfs/dbraw/zinc/17/09/41/267170941.db2.gz IDDOKIYOMYWQSN-AWEZNQCLSA-N 1 2 313.401 1.990 20 30 DDEDLO CN1C[C@@H](C[NH+]2CCN(Cc3ccc(C#N)cc3)CC2)OC1=O ZINC000495593171 267341409 /nfs/dbraw/zinc/34/14/09/267341409.db2.gz JULJCGKFDOAAMN-INIZCTEOSA-N 1 2 314.389 1.126 20 30 DDEDLO N#Cc1cc(NC(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)ccc1F ZINC000367772839 268008680 /nfs/dbraw/zinc/00/86/80/268008680.db2.gz PVPNHHQNSHTGAP-AWEZNQCLSA-N 1 2 318.352 1.682 20 30 DDEDLO N#Cc1cc(NC(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)ccc1F ZINC000367772839 268008685 /nfs/dbraw/zinc/00/86/85/268008685.db2.gz PVPNHHQNSHTGAP-AWEZNQCLSA-N 1 2 318.352 1.682 20 30 DDEDLO N#Cc1ccc(-c2nc([C@H]3C[N@@H+]4CCCC[C@H]4CO3)no2)cn1 ZINC000351020439 268028494 /nfs/dbraw/zinc/02/84/94/268028494.db2.gz CMTSPYGQXQUYTI-UONOGXRCSA-N 1 2 311.345 1.929 20 30 DDEDLO N#Cc1ccc(-c2nc([C@H]3C[N@H+]4CCCC[C@H]4CO3)no2)cn1 ZINC000351020439 268028498 /nfs/dbraw/zinc/02/84/98/268028498.db2.gz CMTSPYGQXQUYTI-UONOGXRCSA-N 1 2 311.345 1.929 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@@H+]2CCOC[C@@H]2[C@H]2CCCO2)cc1 ZINC000368516117 268214399 /nfs/dbraw/zinc/21/43/99/268214399.db2.gz XUQLBDJREAVLMZ-BRWVUGGUSA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@H+]2CCOC[C@@H]2[C@H]2CCCO2)cc1 ZINC000368516117 268214401 /nfs/dbraw/zinc/21/44/01/268214401.db2.gz XUQLBDJREAVLMZ-BRWVUGGUSA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@]3(CCS(=O)(=O)C3)C2)nc1 ZINC000521640768 268219964 /nfs/dbraw/zinc/21/99/64/268219964.db2.gz PGNPHHMZUCOHTO-HNNXBMFYSA-N 1 2 305.403 1.354 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@]3(CCS(=O)(=O)C3)C2)nc1 ZINC000521640768 268219967 /nfs/dbraw/zinc/21/99/67/268219967.db2.gz PGNPHHMZUCOHTO-HNNXBMFYSA-N 1 2 305.403 1.354 20 30 DDEDLO N#Cc1ccncc1C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000341648064 271154057 /nfs/dbraw/zinc/15/40/57/271154057.db2.gz XOEXRMLYZAUAQY-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO COC(=O)CC1CC[NH+](CC(=O)Nc2sccc2C#N)CC1 ZINC000345322154 272219504 /nfs/dbraw/zinc/21/95/04/272219504.db2.gz DTOAHFVMYBCNHZ-UHFFFAOYSA-N 1 2 321.402 1.833 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@@H](c1[nH+]ccn1CC)C1CCOCC1 ZINC000291068179 277911376 /nfs/dbraw/zinc/91/13/76/277911376.db2.gz IMWYNBIAHRWIRD-ZIAGYGMSSA-N 1 2 322.409 1.217 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NC[C@@H]1CCCCO1 ZINC000329254538 288710524 /nfs/dbraw/zinc/71/05/24/288710524.db2.gz ZVJAXIKVGCRAIL-ILXRZTDVSA-N 1 2 311.426 1.265 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1c1cccc(C#N)c1[N+](=O)[O-] ZINC000450531305 289048839 /nfs/dbraw/zinc/04/88/39/289048839.db2.gz HPJMGXHKURIHIE-TZMCWYRMSA-N 1 2 316.361 1.766 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NC[C@H](C(C)C)[NH+]1CCOCC1 ZINC000549518332 303613200 /nfs/dbraw/zinc/61/32/00/303613200.db2.gz HSMRWUJEVURXHA-OAHLLOKOSA-N 1 2 316.405 1.348 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1CCC[C@@H](N2CCNC2=O)C1 ZINC000372735843 307173883 /nfs/dbraw/zinc/17/38/83/307173883.db2.gz AVFIJAUTTKDPJO-OAHLLOKOSA-N 1 2 302.353 1.687 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1CCC[C@@H](N2CCNC2=O)C1 ZINC000372735843 307173884 /nfs/dbraw/zinc/17/38/84/307173884.db2.gz AVFIJAUTTKDPJO-OAHLLOKOSA-N 1 2 302.353 1.687 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[NH+]1CCN(CCCF)CC1 ZINC000402117802 307297309 /nfs/dbraw/zinc/29/73/09/307297309.db2.gz AXSCUSBHHJXDIJ-INIZCTEOSA-N 1 2 321.396 1.275 20 30 DDEDLO Cc1cc(N[C@@H]2CCCN(Cc3[nH+]ccn3C)C2=O)cc(C#N)n1 ZINC000557637516 307878976 /nfs/dbraw/zinc/87/89/76/307878976.db2.gz NQQNUGOQVDKKKW-OAHLLOKOSA-N 1 2 324.388 1.020 20 30 DDEDLO Cc1cc(NS(=O)(=O)c2cnc(C)c(C#N)c2)c(C)c[nH+]1 ZINC000569905755 308153805 /nfs/dbraw/zinc/15/38/05/308153805.db2.gz KWPUIMWYYNNVIZ-UHFFFAOYSA-N 1 2 302.359 1.496 20 30 DDEDLO Cc1nn(C(C)C)c(C)c1CC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000573448593 332065768 /nfs/dbraw/zinc/06/57/68/332065768.db2.gz INEBEOYOGMEWGH-MRXNPFEDSA-N 1 2 305.426 1.583 20 30 DDEDLO Cc1ccc([C@@H]2COCC[N@H+]2CCC(=O)N(C)CCC#N)o1 ZINC000092797191 332130893 /nfs/dbraw/zinc/13/08/93/332130893.db2.gz JUVHATNHAWJGFX-AWEZNQCLSA-N 1 2 305.378 1.724 20 30 DDEDLO Cc1ccc([C@@H]2COCC[N@@H+]2CCC(=O)N(C)CCC#N)o1 ZINC000092797191 332130895 /nfs/dbraw/zinc/13/08/95/332130895.db2.gz JUVHATNHAWJGFX-AWEZNQCLSA-N 1 2 305.378 1.724 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCO[C@@]2(CCSC2)C1 ZINC000563885521 335124059 /nfs/dbraw/zinc/12/40/59/335124059.db2.gz QHOXNPATHCVRNF-DOMZBBRYSA-N 1 2 309.435 1.145 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCO[C@@]2(CCSC2)C1 ZINC000563885521 335124060 /nfs/dbraw/zinc/12/40/60/335124060.db2.gz QHOXNPATHCVRNF-DOMZBBRYSA-N 1 2 309.435 1.145 20 30 DDEDLO CC(C)(C)OC(=O)N1CCN(C[NH+]2CCC(C#N)CC2)C1=O ZINC000497252367 333227548 /nfs/dbraw/zinc/22/75/48/333227548.db2.gz MQWVLDCCTOSZTP-UHFFFAOYSA-N 1 2 308.382 1.852 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(CC(=O)NC2(C#N)CCC2)CC1 ZINC000534094821 333560139 /nfs/dbraw/zinc/56/01/39/333560139.db2.gz YHYVAXJOYYWXPV-UHFFFAOYSA-N 1 2 313.405 1.075 20 30 DDEDLO O=C(NCC#Cc1cccc(F)c1)c1ccc(-n2cc[nH+]c2)nn1 ZINC000563633717 333642206 /nfs/dbraw/zinc/64/22/06/333642206.db2.gz BAAMNYXJJZJMCS-UHFFFAOYSA-N 1 2 321.315 1.583 20 30 DDEDLO Cn1cc(CN(CCn2cc[nH+]c2)C(=O)CCCC#N)cn1 ZINC000583758206 337330475 /nfs/dbraw/zinc/33/04/75/337330475.db2.gz ZGVZDQKSEYVCME-UHFFFAOYSA-N 1 2 300.366 1.339 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2cc3ccccc3[nH]c2=O)CC1 ZINC000580462319 337679224 /nfs/dbraw/zinc/67/92/24/337679224.db2.gz XBNJCLGGMXIGRH-UHFFFAOYSA-N 1 2 310.357 1.498 20 30 DDEDLO N#CCC1(O)C[NH+](C[C@H](O)CO[C@H]2CCCc3ccccc32)C1 ZINC000515165297 337980802 /nfs/dbraw/zinc/98/08/02/337980802.db2.gz YCEPMJGYBFQBHT-RDJZCZTQSA-N 1 2 316.401 1.402 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cccc(Cl)c2OC)CC1 ZINC000085274291 338008017 /nfs/dbraw/zinc/00/80/17/338008017.db2.gz QTWQOZOYFRPMIH-UHFFFAOYSA-N 1 2 321.808 1.538 20 30 DDEDLO COc1ccccc1CCNC(=O)C[N@H+](CCC#N)CC1CC1 ZINC000174694376 340394703 /nfs/dbraw/zinc/39/47/03/340394703.db2.gz CPPCKSHYHSNMLI-UHFFFAOYSA-N 1 2 315.417 1.980 20 30 DDEDLO COc1ccccc1CCNC(=O)C[N@@H+](CCC#N)CC1CC1 ZINC000174694376 340394704 /nfs/dbraw/zinc/39/47/04/340394704.db2.gz CPPCKSHYHSNMLI-UHFFFAOYSA-N 1 2 315.417 1.980 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(C(=O)OC)c3ccccc32)CC1 ZINC000177622051 340442107 /nfs/dbraw/zinc/44/21/07/340442107.db2.gz CVTIQHHVAQJONW-UHFFFAOYSA-N 1 2 312.373 1.031 20 30 DDEDLO CCC(C#N)(CC)C(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000528441735 340719228 /nfs/dbraw/zinc/71/92/28/340719228.db2.gz QWMUPTUUWIYIGR-UHFFFAOYSA-N 1 2 303.410 1.394 20 30 DDEDLO C=C(C)CNC(=O)NC[C@@H](c1cccs1)[NH+]1CCN(C)CC1 ZINC000548327009 341256166 /nfs/dbraw/zinc/25/61/66/341256166.db2.gz FRXUZSSKKSMWRD-AWEZNQCLSA-N 1 2 322.478 1.912 20 30 DDEDLO CCC[C@@H]1CN(CC#CC[N@@H+]2CCO[C@H](CCC)C2)CCO1 ZINC000551394442 341340521 /nfs/dbraw/zinc/34/05/21/341340521.db2.gz CZXVPNBCZUXLMZ-QZTJIDSGSA-N 1 2 308.466 1.992 20 30 DDEDLO CCC[C@@H]1CN(CC#CC[N@H+]2CCO[C@H](CCC)C2)CCO1 ZINC000551394442 341340522 /nfs/dbraw/zinc/34/05/22/341340522.db2.gz CZXVPNBCZUXLMZ-QZTJIDSGSA-N 1 2 308.466 1.992 20 30 DDEDLO C[C@H]1C[N@H+](Cc2cccc(OCCCC#N)c2)C[C@@H](CO)O1 ZINC000248937052 341390343 /nfs/dbraw/zinc/39/03/43/341390343.db2.gz CEZBKFJDXQXNOL-YOEHRIQHSA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2cccc(OCCCC#N)c2)C[C@@H](CO)O1 ZINC000248937052 341390344 /nfs/dbraw/zinc/39/03/44/341390344.db2.gz CEZBKFJDXQXNOL-YOEHRIQHSA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2ccccc2SCC#N)CC[C@H]1O ZINC000249596463 341399771 /nfs/dbraw/zinc/39/97/71/341399771.db2.gz WZEKLIIGKDJPHS-TZMCWYRMSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2ccccc2SCC#N)CC[C@H]1O ZINC000249596463 341399772 /nfs/dbraw/zinc/39/97/72/341399772.db2.gz WZEKLIIGKDJPHS-TZMCWYRMSA-N 1 2 319.430 1.943 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnc2c(F)cccc2c1 ZINC000565052245 341516001 /nfs/dbraw/zinc/51/60/01/341516001.db2.gz WIHXBZJRRZLDCA-INIZCTEOSA-N 1 2 300.337 1.948 20 30 DDEDLO CC#CCNC(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000660965104 484834977 /nfs/dbraw/zinc/83/49/77/484834977.db2.gz ZJLRMAMOGBSUOD-QGZVFWFLSA-N 1 2 314.433 1.125 20 30 DDEDLO CC#CCNC(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000660965104 484834979 /nfs/dbraw/zinc/83/49/79/484834979.db2.gz ZJLRMAMOGBSUOD-QGZVFWFLSA-N 1 2 314.433 1.125 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000665633712 484980534 /nfs/dbraw/zinc/98/05/34/484980534.db2.gz KCAGAKVLBPPADH-INIZCTEOSA-N 1 2 319.405 1.395 20 30 DDEDLO C=C[C@](C)(O)C(=O)N[C@H]1CCC[N@H+](Cc2c(F)cccc2F)C1 ZINC000668574429 485220892 /nfs/dbraw/zinc/22/08/92/485220892.db2.gz WGGCXJFTUMHFNT-SJCJKPOMSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@](C)(O)C(=O)N[C@H]1CCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC000668574429 485220897 /nfs/dbraw/zinc/22/08/97/485220897.db2.gz WGGCXJFTUMHFNT-SJCJKPOMSA-N 1 2 324.371 1.982 20 30 DDEDLO C[C@@H]1CCN(S(=O)(=O)CC2(CC#N)CC2)C[C@@H]1n1cc[nH+]c1 ZINC000333461404 534045090 /nfs/dbraw/zinc/04/50/90/534045090.db2.gz URSDIZCORZEHSG-KGLIPLIRSA-N 1 2 322.434 1.790 20 30 DDEDLO C=CC[N@@H+](CCOc1ccccc1F)[C@H]1CCS(=O)(=O)C1 ZINC000343073656 526306793 /nfs/dbraw/zinc/30/67/93/526306793.db2.gz USZQYGSEVNFVHW-ZDUSSCGKSA-N 1 2 313.394 1.880 20 30 DDEDLO C=CC[N@H+](CCOc1ccccc1F)[C@H]1CCS(=O)(=O)C1 ZINC000343073656 526306798 /nfs/dbraw/zinc/30/67/98/526306798.db2.gz USZQYGSEVNFVHW-ZDUSSCGKSA-N 1 2 313.394 1.880 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCC1(c2ccccc2)CCOCC1 ZINC000339750293 526358222 /nfs/dbraw/zinc/35/82/22/526358222.db2.gz MCRLQNABPXOPIB-UHFFFAOYSA-N 1 2 314.429 1.806 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCC1(c2ccccc2)CCOCC1 ZINC000339750293 526358227 /nfs/dbraw/zinc/35/82/27/526358227.db2.gz MCRLQNABPXOPIB-UHFFFAOYSA-N 1 2 314.429 1.806 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2ccccc2C(C)C)C1 ZINC000330943991 526400645 /nfs/dbraw/zinc/40/06/45/526400645.db2.gz HYAZXQYQBIAYFF-CYBMUJFWSA-N 1 2 318.421 1.956 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2ccccc2C(C)C)C1 ZINC000330943991 526400649 /nfs/dbraw/zinc/40/06/49/526400649.db2.gz HYAZXQYQBIAYFF-CYBMUJFWSA-N 1 2 318.421 1.956 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000330953248 526402152 /nfs/dbraw/zinc/40/21/52/526402152.db2.gz RLVDZYBATTUEDD-BXUZGUMPSA-N 1 2 315.377 1.093 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000330953248 526402158 /nfs/dbraw/zinc/40/21/58/526402158.db2.gz RLVDZYBATTUEDD-BXUZGUMPSA-N 1 2 315.377 1.093 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2ccc(C)c(F)c2)C1 ZINC000330957856 526402676 /nfs/dbraw/zinc/40/26/76/526402676.db2.gz WDISOKFOSRMPOC-DGCLKSJQSA-N 1 2 322.384 1.669 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2ccc(C)c(F)c2)C1 ZINC000330957856 526402680 /nfs/dbraw/zinc/40/26/80/526402680.db2.gz WDISOKFOSRMPOC-DGCLKSJQSA-N 1 2 322.384 1.669 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)C2(C(=O)OCC)CCCCC2)C1=O ZINC000337193893 526466702 /nfs/dbraw/zinc/46/67/02/526466702.db2.gz VVIORMQCMYXUDA-CQSZACIVSA-N 1 2 308.422 1.971 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)C2(C(=O)OCC)CCCCC2)C1=O ZINC000337193893 526466709 /nfs/dbraw/zinc/46/67/09/526466709.db2.gz VVIORMQCMYXUDA-CQSZACIVSA-N 1 2 308.422 1.971 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2cc(F)cc(F)c2)C1=O ZINC000337150141 526469323 /nfs/dbraw/zinc/46/93/23/526469323.db2.gz INAWBICUIFRCKM-CQSZACIVSA-N 1 2 323.343 1.622 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2cc(F)cc(F)c2)C1=O ZINC000337150141 526469327 /nfs/dbraw/zinc/46/93/27/526469327.db2.gz INAWBICUIFRCKM-CQSZACIVSA-N 1 2 323.343 1.622 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccc(C)c(C)c2)C1=O ZINC000337201338 526499689 /nfs/dbraw/zinc/49/96/89/526499689.db2.gz CURXCZXECSNPIY-INIZCTEOSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccc(C)c(C)c2)C1=O ZINC000337201338 526499690 /nfs/dbraw/zinc/49/96/90/526499690.db2.gz CURXCZXECSNPIY-INIZCTEOSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N2CCC(C)CC2)C1=O ZINC000337222824 526503100 /nfs/dbraw/zinc/50/31/00/526503100.db2.gz MHDUGSKFJCWKNL-CABCVRRESA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N2CCC(C)CC2)C1=O ZINC000337222824 526503105 /nfs/dbraw/zinc/50/31/05/526503105.db2.gz MHDUGSKFJCWKNL-CABCVRRESA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)C[C@H]1OCCc2ccccc21 ZINC000337639672 526586676 /nfs/dbraw/zinc/58/66/76/526586676.db2.gz DYQIYERRORTXKA-OAHLLOKOSA-N 1 2 317.389 1.244 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)C[C@H]1OCCc2ccccc21 ZINC000337639672 526586682 /nfs/dbraw/zinc/58/66/82/526586682.db2.gz DYQIYERRORTXKA-OAHLLOKOSA-N 1 2 317.389 1.244 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)NCCc1cn(C)c[nH+]1 ZINC000457858460 526828987 /nfs/dbraw/zinc/82/89/87/526828987.db2.gz NNMQGPOMILFJFR-UHFFFAOYSA-N 1 2 315.373 1.966 20 30 DDEDLO C#CCN(CC#CC)C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000490689755 526857245 /nfs/dbraw/zinc/85/72/45/526857245.db2.gz PHNBDJHLIFDAFG-UHFFFAOYSA-N 1 2 312.295 1.614 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2cccc(C(F)F)c2)CC1 ZINC000491783847 527002920 /nfs/dbraw/zinc/00/29/20/527002920.db2.gz KFDYLOVCXDCKJL-UHFFFAOYSA-N 1 2 321.371 1.491 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000491644202 527211633 /nfs/dbraw/zinc/21/16/33/527211633.db2.gz JFKAGSWKFGZGKD-CQSZACIVSA-N 1 2 301.390 1.345 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000491644202 527211637 /nfs/dbraw/zinc/21/16/37/527211637.db2.gz JFKAGSWKFGZGKD-CQSZACIVSA-N 1 2 301.390 1.345 20 30 DDEDLO C#C[C@@H](CC)NC(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000491439181 527234227 /nfs/dbraw/zinc/23/42/27/527234227.db2.gz IAMFAGCBLXENBQ-ZBFHGGJFSA-N 1 2 300.406 1.882 20 30 DDEDLO C=CCc1cc([N+](=O)[O-])ccc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000414118392 527246212 /nfs/dbraw/zinc/24/62/12/527246212.db2.gz AOPHOLLFOQHHAC-OAHLLOKOSA-N 1 2 319.361 1.908 20 30 DDEDLO C=CCc1cc([N+](=O)[O-])ccc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000414118392 527246219 /nfs/dbraw/zinc/24/62/19/527246219.db2.gz AOPHOLLFOQHHAC-OAHLLOKOSA-N 1 2 319.361 1.908 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CCSC[C@H]2CO)c1C ZINC000343711761 527296481 /nfs/dbraw/zinc/29/64/81/527296481.db2.gz NDNMGNLXHMXBIO-CQSZACIVSA-N 1 2 308.447 1.883 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CCSC[C@H]2CO)c1C ZINC000343711761 527296489 /nfs/dbraw/zinc/29/64/89/527296489.db2.gz NDNMGNLXHMXBIO-CQSZACIVSA-N 1 2 308.447 1.883 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@H](O)COc1cccc2[nH]ccc21 ZINC000491653499 527311417 /nfs/dbraw/zinc/31/14/17/527311417.db2.gz DCPPAGOPOWRUEA-ZIAGYGMSSA-N 1 2 300.358 1.242 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@H](O)COc1cccc2[nH]ccc21 ZINC000491653499 527311420 /nfs/dbraw/zinc/31/14/20/527311420.db2.gz DCPPAGOPOWRUEA-ZIAGYGMSSA-N 1 2 300.358 1.242 20 30 DDEDLO C=CCCN(C)C(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000346110795 527317547 /nfs/dbraw/zinc/31/75/47/527317547.db2.gz WLSXNOMNNBMVEJ-UHFFFAOYSA-N 1 2 324.446 1.670 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000491633371 527322601 /nfs/dbraw/zinc/32/26/01/527322601.db2.gz PZAMEGMMIYARBG-HNNXBMFYSA-N 1 2 315.417 1.682 20 30 DDEDLO CC(C)(C#N)CC(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000330702932 527454215 /nfs/dbraw/zinc/45/42/15/527454215.db2.gz INWSMYBWDSWGSG-UHFFFAOYSA-N 1 2 301.390 1.976 20 30 DDEDLO CC(C)[C@H]1OCC[C@@H]1CNC(=O)NC[C@@H](C)[NH+]1CCOCC1 ZINC000330341622 527913810 /nfs/dbraw/zinc/91/38/10/527913810.db2.gz ZMVMYHBWHPJJOB-RBSFLKMASA-N 1 2 313.442 1.272 20 30 DDEDLO CC(C)CN1CC[N@H+](CCCC2(C#N)CCOCC2)CC1=O ZINC000330391116 528005255 /nfs/dbraw/zinc/00/52/55/528005255.db2.gz OWNXRHUGTKULPG-UHFFFAOYSA-N 1 2 307.438 1.887 20 30 DDEDLO CC(C)CN1CC[N@@H+](CCCC2(C#N)CCOCC2)CC1=O ZINC000330391116 528005261 /nfs/dbraw/zinc/00/52/61/528005261.db2.gz OWNXRHUGTKULPG-UHFFFAOYSA-N 1 2 307.438 1.887 20 30 DDEDLO CC1(O)CCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)CC1 ZINC000330998005 528037967 /nfs/dbraw/zinc/03/79/67/528037967.db2.gz VLWCXGUCPOGBAW-UHFFFAOYSA-N 1 2 320.315 1.706 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)N2CCC(F)(C#N)CC2)CCO1 ZINC000345392095 529118962 /nfs/dbraw/zinc/11/89/62/529118962.db2.gz MUPVZIPQYWXGHI-UHFFFAOYSA-N 1 2 312.389 1.134 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)N2CCC(F)(C#N)CC2)CCO1 ZINC000345392095 529118967 /nfs/dbraw/zinc/11/89/67/529118967.db2.gz MUPVZIPQYWXGHI-UHFFFAOYSA-N 1 2 312.389 1.134 20 30 DDEDLO CCN1CCN(C(=O)NCC2(C#N)CCC2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000419629844 529127130 /nfs/dbraw/zinc/12/71/30/529127130.db2.gz SCTYIYXRSAGYCB-CYBMUJFWSA-N 1 2 316.409 1.492 20 30 DDEDLO CC[C@@H]1CN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)CCO1 ZINC000330531483 529345637 /nfs/dbraw/zinc/34/56/37/529345637.db2.gz MOIFHYVJOZDQAD-SNVBAGLBSA-N 1 2 320.315 1.970 20 30 DDEDLO C[N@H+](CCO)C1CC[NH+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)CC1 ZINC000737449562 600570375 /nfs/dbraw/zinc/57/03/75/600570375.db2.gz SEXIVBALKNKXRF-INIZCTEOSA-N 1 2 317.389 1.072 20 30 DDEDLO C[N@@H+](CCO)C1CC[NH+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)CC1 ZINC000737449562 600570376 /nfs/dbraw/zinc/57/03/76/600570376.db2.gz SEXIVBALKNKXRF-INIZCTEOSA-N 1 2 317.389 1.072 20 30 DDEDLO C#CC[N@@H+](CC)[C@H](C)C(=O)Nc1oc(C)c(C)c1-c1nn[nH]n1 ZINC000819697521 606074279 /nfs/dbraw/zinc/07/42/79/606074279.db2.gz HKDVSBXFBVMJRT-SNVBAGLBSA-N 1 2 316.365 1.359 20 30 DDEDLO C#CC[N@H+](CC)[C@H](C)C(=O)Nc1oc(C)c(C)c1-c1nn[nH]n1 ZINC000819697521 606074285 /nfs/dbraw/zinc/07/42/85/606074285.db2.gz HKDVSBXFBVMJRT-SNVBAGLBSA-N 1 2 316.365 1.359 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)C1 ZINC000972320825 695215388 /nfs/dbraw/zinc/21/53/88/695215388.db2.gz QOQRLABYQFYIIJ-VUHPKUFZSA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)C1 ZINC000972320825 695215390 /nfs/dbraw/zinc/21/53/90/695215390.db2.gz QOQRLABYQFYIIJ-VUHPKUFZSA-N 1 2 316.445 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCOC3)C2)C1 ZINC000972328759 695217703 /nfs/dbraw/zinc/21/77/03/695217703.db2.gz RGOKVRJWFPJSBW-DOTOQJQBSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCOC3)C2)C1 ZINC000972328759 695217704 /nfs/dbraw/zinc/21/77/04/695217704.db2.gz RGOKVRJWFPJSBW-DOTOQJQBSA-N 1 2 308.422 1.292 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)sn3)C2)C1 ZINC000972361173 695228480 /nfs/dbraw/zinc/22/84/80/695228480.db2.gz FDVZEACILDROJX-INIZCTEOSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)sn3)C2)C1 ZINC000972361173 695228482 /nfs/dbraw/zinc/22/84/82/695228482.db2.gz FDVZEACILDROJX-INIZCTEOSA-N 1 2 319.430 1.392 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](OC)C3CCC3)C2)C1 ZINC000972487186 695262760 /nfs/dbraw/zinc/26/27/60/695262760.db2.gz MBXQZRGGTYNMBY-NVXWUHKLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](OC)C3CCC3)C2)C1 ZINC000972487186 695262763 /nfs/dbraw/zinc/26/27/63/695262763.db2.gz MBXQZRGGTYNMBY-NVXWUHKLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3CC(OCC)C3)C2)C1 ZINC000972521178 695272259 /nfs/dbraw/zinc/27/22/59/695272259.db2.gz YAPAMCCLVNVGJC-LEOMRAHMSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3CC(OCC)C3)C2)C1 ZINC000972521178 695272261 /nfs/dbraw/zinc/27/22/61/695272261.db2.gz YAPAMCCLVNVGJC-LEOMRAHMSA-N 1 2 322.449 1.681 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3occc3Cl)C2)C1 ZINC000972532174 695274025 /nfs/dbraw/zinc/27/40/25/695274025.db2.gz PKSDYFGSSANRKP-MRXNPFEDSA-N 1 2 322.792 1.873 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3occc3Cl)C2)C1 ZINC000972532174 695274027 /nfs/dbraw/zinc/27/40/27/695274027.db2.gz PKSDYFGSSANRKP-MRXNPFEDSA-N 1 2 322.792 1.873 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H](C)n3cccc3)C2)C1 ZINC000972643660 695307804 /nfs/dbraw/zinc/30/78/04/695307804.db2.gz RRSQCGCHBFRGHK-AEFFLSMTSA-N 1 2 317.433 1.929 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H](C)n3cccc3)C2)C1 ZINC000972643660 695307806 /nfs/dbraw/zinc/30/78/06/695307806.db2.gz RRSQCGCHBFRGHK-AEFFLSMTSA-N 1 2 317.433 1.929 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3cscn3)C2)C1 ZINC000972694725 695318545 /nfs/dbraw/zinc/31/85/45/695318545.db2.gz CPTFFMYBFSBMSD-INIZCTEOSA-N 1 2 321.446 1.565 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3cscn3)C2)C1 ZINC000972694725 695318547 /nfs/dbraw/zinc/31/85/47/695318547.db2.gz CPTFFMYBFSBMSD-INIZCTEOSA-N 1 2 321.446 1.565 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2nnc(C)o2)C(C)(C)C1 ZINC000974553084 695685054 /nfs/dbraw/zinc/68/50/54/695685054.db2.gz QJCRJLQZAWDCIW-NSHDSACASA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2nnc(C)o2)C(C)(C)C1 ZINC000974553084 695685057 /nfs/dbraw/zinc/68/50/57/695685057.db2.gz QJCRJLQZAWDCIW-NSHDSACASA-N 1 2 312.801 1.500 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@H]1CN(CC#N)CC1(C)C)C2 ZINC000974751959 695726635 /nfs/dbraw/zinc/72/66/35/695726635.db2.gz MYUBJOWWBGLROZ-DOMZBBRYSA-N 1 2 315.421 1.173 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@H]1CN(CC#N)CC1(C)C)CC2 ZINC000974751959 695726636 /nfs/dbraw/zinc/72/66/36/695726636.db2.gz MYUBJOWWBGLROZ-DOMZBBRYSA-N 1 2 315.421 1.173 20 30 DDEDLO NC(=[NH+]OCC(=O)NCc1ccc(F)cc1)c1ccccc1 ZINC000028774940 696107115 /nfs/dbraw/zinc/10/71/15/696107115.db2.gz CCFRFXMGZKNXPS-UHFFFAOYSA-N 1 2 301.321 1.779 20 30 DDEDLO C[C@H]1C[C@H](C)CN(C(=O)CO[NH+]=C(N)Cc2cccnc2)C1 ZINC000092915367 696598142 /nfs/dbraw/zinc/59/81/42/696598142.db2.gz OCLKXCSLCIGMQJ-STQMWFEESA-N 1 2 304.394 1.417 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+]([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000980837848 696910218 /nfs/dbraw/zinc/91/02/18/696910218.db2.gz BBSVMJZUPBNVAF-KGLIPLIRSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+]([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000980837848 696910220 /nfs/dbraw/zinc/91/02/20/696910220.db2.gz BBSVMJZUPBNVAF-KGLIPLIRSA-N 1 2 320.437 1.128 20 30 DDEDLO CCOc1cccc(C[N@@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)n1 ZINC000980848497 696916832 /nfs/dbraw/zinc/91/68/32/696916832.db2.gz YTVNMSNLVKONHS-CQSZACIVSA-N 1 2 316.405 1.674 20 30 DDEDLO CCOc1cccc(C[N@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)n1 ZINC000980848497 696916833 /nfs/dbraw/zinc/91/68/33/696916833.db2.gz YTVNMSNLVKONHS-CQSZACIVSA-N 1 2 316.405 1.674 20 30 DDEDLO O=C(c1ccnnc1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000982021069 697005323 /nfs/dbraw/zinc/00/53/23/697005323.db2.gz NIMDWQVYOVLJIT-UHFFFAOYSA-N 1 2 320.396 1.676 20 30 DDEDLO O=C(c1ccnnc1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000982021069 697005326 /nfs/dbraw/zinc/00/53/26/697005326.db2.gz NIMDWQVYOVLJIT-UHFFFAOYSA-N 1 2 320.396 1.676 20 30 DDEDLO COc1ccnc(C[N@@H+]2CCCN(C(=O)C#CC3CC3)CC2)c1 ZINC000981124470 697010883 /nfs/dbraw/zinc/01/08/83/697010883.db2.gz ONMCAUZHFWJPSS-UHFFFAOYSA-N 1 2 313.401 1.538 20 30 DDEDLO COc1ccnc(C[N@H+]2CCCN(C(=O)C#CC3CC3)CC2)c1 ZINC000981124470 697010886 /nfs/dbraw/zinc/01/08/86/697010886.db2.gz ONMCAUZHFWJPSS-UHFFFAOYSA-N 1 2 313.401 1.538 20 30 DDEDLO C#CC[N@H+]1CCCC2(CN(C(=O)c3cccc4[nH]cnc43)C2)C1 ZINC000982081572 697024902 /nfs/dbraw/zinc/02/49/02/697024902.db2.gz QPMFIVBGBKCYEO-UHFFFAOYSA-N 1 2 308.385 1.734 20 30 DDEDLO C#CC[N@@H+]1CCCC2(CN(C(=O)c3cccc4[nH]cnc43)C2)C1 ZINC000982081572 697024905 /nfs/dbraw/zinc/02/49/05/697024905.db2.gz QPMFIVBGBKCYEO-UHFFFAOYSA-N 1 2 308.385 1.734 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)OC(=O)Cc1ccc(OCC#N)cc1 ZINC000181655968 697463874 /nfs/dbraw/zinc/46/38/74/697463874.db2.gz JFAJXOOZMFGANV-AWEZNQCLSA-N 1 2 318.373 1.395 20 30 DDEDLO Cc1nc([C@@H](C)[N@@H+](C)C2CCN(C(=O)[C@@H](C)C#N)CC2)no1 ZINC000985339143 697493787 /nfs/dbraw/zinc/49/37/87/697493787.db2.gz RDQGCGCPFHVWCT-WDEREUQCSA-N 1 2 305.382 1.522 20 30 DDEDLO Cc1nc([C@@H](C)[N@H+](C)C2CCN(C(=O)[C@@H](C)C#N)CC2)no1 ZINC000985339143 697493789 /nfs/dbraw/zinc/49/37/89/697493789.db2.gz RDQGCGCPFHVWCT-WDEREUQCSA-N 1 2 305.382 1.522 20 30 DDEDLO CCOCC[N@H+](C)Cc1nc2ccccc2c(=O)n1CC#N ZINC000772391403 697627922 /nfs/dbraw/zinc/62/79/22/697627922.db2.gz YECVSKHNJFHAJO-UHFFFAOYSA-N 1 2 300.362 1.388 20 30 DDEDLO CCOCC[N@@H+](C)Cc1nc2ccccc2c(=O)n1CC#N ZINC000772391403 697627925 /nfs/dbraw/zinc/62/79/25/697627925.db2.gz YECVSKHNJFHAJO-UHFFFAOYSA-N 1 2 300.362 1.388 20 30 DDEDLO N#CCCNC(=O)C[NH+]1CCC(Oc2cncc(Cl)n2)CC1 ZINC000778181938 698247844 /nfs/dbraw/zinc/24/78/44/698247844.db2.gz RBAYXYLEWUNIEB-UHFFFAOYSA-N 1 2 323.784 1.003 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@H]([S@](=O)CC)C1 ZINC000750130055 700204215 /nfs/dbraw/zinc/20/42/15/700204215.db2.gz IBUOXHQLYOGANG-FEGUVQJSSA-N 1 2 310.463 1.280 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@H]([S@](=O)CC)C1 ZINC000750130055 700204218 /nfs/dbraw/zinc/20/42/18/700204218.db2.gz IBUOXHQLYOGANG-FEGUVQJSSA-N 1 2 310.463 1.280 20 30 DDEDLO CC(C)[C@H]1C(=O)NCC[N@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000245759082 698338498 /nfs/dbraw/zinc/33/84/98/698338498.db2.gz PIERMBXGNUBJEB-CJNGLKHVSA-N 1 2 301.390 1.934 20 30 DDEDLO CC(C)[C@H]1C(=O)NCC[N@@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000245759082 698338503 /nfs/dbraw/zinc/33/85/03/698338503.db2.gz PIERMBXGNUBJEB-CJNGLKHVSA-N 1 2 301.390 1.934 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H]([NH2+]Cc3nnc(C)o3)C[C@@H]2C)cc1 ZINC000989273585 698573529 /nfs/dbraw/zinc/57/35/29/698573529.db2.gz IEKAFXZGEHDYCF-BLLLJJGKSA-N 1 2 324.384 1.752 20 30 DDEDLO C=CCN(C(=O)C(=O)NCCc1cn2c([nH+]1)CCCC2)C(C)C ZINC000788844100 699342152 /nfs/dbraw/zinc/34/21/52/699342152.db2.gz VDMOGQHJJDGGTK-UHFFFAOYSA-N 1 2 318.421 1.301 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000726258725 699358685 /nfs/dbraw/zinc/35/86/85/699358685.db2.gz XJIHHYHTZMACDU-CYBMUJFWSA-N 1 2 302.378 1.078 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000726258725 699358690 /nfs/dbraw/zinc/35/86/90/699358690.db2.gz XJIHHYHTZMACDU-CYBMUJFWSA-N 1 2 302.378 1.078 20 30 DDEDLO C=CCNC(=S)N[NH+]=Cc1ccc(N2CCOCC2)c(F)c1 ZINC000727254347 699405884 /nfs/dbraw/zinc/40/58/84/699405884.db2.gz QRZFSFOYBJFNQE-UHFFFAOYSA-N 1 2 322.409 1.646 20 30 DDEDLO C[C@@H]1CCN(S(=O)(=O)CC(C)(C)C#N)C[C@H]1n1cc[nH+]c1 ZINC000790037045 699436234 /nfs/dbraw/zinc/43/62/34/699436234.db2.gz MAFHMYCYSBEENS-CHWSQXEVSA-N 1 2 310.423 1.646 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(C)CC(=O)N(C)C1CCCCC1 ZINC000730277026 699505804 /nfs/dbraw/zinc/50/58/04/699505804.db2.gz VZKCDVLIOPSVQU-INIZCTEOSA-N 1 2 319.449 1.334 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)CC(=O)N(C)C1CCCCC1 ZINC000730277026 699505805 /nfs/dbraw/zinc/50/58/05/699505805.db2.gz VZKCDVLIOPSVQU-INIZCTEOSA-N 1 2 319.449 1.334 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(OCC(=O)OC)cc1 ZINC000730277914 699506059 /nfs/dbraw/zinc/50/60/59/699506059.db2.gz GRUHPWNHBAZFAV-HNNXBMFYSA-N 1 2 316.357 1.275 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(OCC(=O)OC)cc1 ZINC000730277914 699506060 /nfs/dbraw/zinc/50/60/60/699506060.db2.gz GRUHPWNHBAZFAV-HNNXBMFYSA-N 1 2 316.357 1.275 20 30 DDEDLO CC(C[NH+]1Cc2ccccc2C1)N=Nc1ccc(C(N)=O)nn1 ZINC000792634952 699705862 /nfs/dbraw/zinc/70/58/62/699705862.db2.gz MAOZPBRSDHZIFI-UHFFFAOYSA-N 1 2 310.361 1.379 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](Cn3cccnc3=S)C2)nc1 ZINC000793588690 699766085 /nfs/dbraw/zinc/76/60/85/699766085.db2.gz CZHQZAXXVHNWJU-ZDUSSCGKSA-N 1 2 313.386 1.990 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](Cn3cccnc3=S)C2)nc1 ZINC000793588690 699766087 /nfs/dbraw/zinc/76/60/87/699766087.db2.gz CZHQZAXXVHNWJU-ZDUSSCGKSA-N 1 2 313.386 1.990 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@](C)(O)c1cc(C)oc1C ZINC000743517317 699915780 /nfs/dbraw/zinc/91/57/80/699915780.db2.gz DEVLPNXQGPTFQI-DOTOQJQBSA-N 1 2 304.390 1.318 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@](C)(O)c1cc(C)oc1C ZINC000743517317 699915781 /nfs/dbraw/zinc/91/57/81/699915781.db2.gz DEVLPNXQGPTFQI-DOTOQJQBSA-N 1 2 304.390 1.318 20 30 DDEDLO CC(C)(CO)[NH+]1CCN(c2snc(Cl)c2C#N)CC1 ZINC000751225327 700274464 /nfs/dbraw/zinc/27/44/64/700274464.db2.gz JHJOKTNBZYEDFF-UHFFFAOYSA-N 1 2 300.815 1.561 20 30 DDEDLO C#CCN(C)C(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000801611270 700326910 /nfs/dbraw/zinc/32/69/10/700326910.db2.gz BISCCDJFVBVBTF-UHFFFAOYSA-N 1 2 314.389 1.171 20 30 DDEDLO COc1ccc(OC)c2c1C[N@H+](CCO[C@@H](C)C#N)C[C@H]2O ZINC000801914535 700352670 /nfs/dbraw/zinc/35/26/70/700352670.db2.gz KEODCKWXKLWXPB-WCQYABFASA-N 1 2 306.362 1.481 20 30 DDEDLO COc1ccc(OC)c2c1C[N@@H+](CCO[C@@H](C)C#N)C[C@H]2O ZINC000801914535 700352675 /nfs/dbraw/zinc/35/26/75/700352675.db2.gz KEODCKWXKLWXPB-WCQYABFASA-N 1 2 306.362 1.481 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000801930628 700356136 /nfs/dbraw/zinc/35/61/36/700356136.db2.gz SLWYLZSFFYSGBI-LBPRGKRZSA-N 1 2 315.377 1.474 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1csc([C@H](C)OC)n1 ZINC000752514722 700369948 /nfs/dbraw/zinc/36/99/48/700369948.db2.gz KZRVHVLADUDUAY-AAEUAGOBSA-N 1 2 307.419 1.564 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1csc([C@H](C)OC)n1 ZINC000752514722 700369954 /nfs/dbraw/zinc/36/99/54/700369954.db2.gz KZRVHVLADUDUAY-AAEUAGOBSA-N 1 2 307.419 1.564 20 30 DDEDLO Cc1cc(N)cc(C)c1C=[NH+]Nc1nc2c(cnn2C)c(=O)[nH]1 ZINC000752735588 700383189 /nfs/dbraw/zinc/38/31/89/700383189.db2.gz XXHRWKFAPARQLG-UHFFFAOYSA-N 1 2 311.349 1.714 20 30 DDEDLO CC(C)CN(CCC#N)CC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000755669113 700576841 /nfs/dbraw/zinc/57/68/41/700576841.db2.gz DDIVSZVGFANXFM-UHFFFAOYSA-N 1 2 324.469 1.085 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)N[C@@](CC)(c2cnn(C)c2)C1=O)C(C)C ZINC000755728152 700580328 /nfs/dbraw/zinc/58/03/28/700580328.db2.gz PTDXFYXKFONFJM-INIZCTEOSA-N 1 2 319.409 1.431 20 30 DDEDLO C=CC[N@H+](CN1C(=O)N[C@@](CC)(c2cnn(C)c2)C1=O)C(C)C ZINC000755728152 700580329 /nfs/dbraw/zinc/58/03/29/700580329.db2.gz PTDXFYXKFONFJM-INIZCTEOSA-N 1 2 319.409 1.431 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+][C@@H](C)c1cc(F)cc(F)c1O ZINC000757656692 700667797 /nfs/dbraw/zinc/66/77/97/700667797.db2.gz NSUOYMDDZMXQPJ-VIFPVBQESA-N 1 2 305.346 1.922 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(c2nc(F)c(F)cc2F)CC1 ZINC000759970869 700785524 /nfs/dbraw/zinc/78/55/24/700785524.db2.gz OCMSKMCVSUBDGV-LBPRGKRZSA-N 1 2 301.312 1.558 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(Br)nc1 ZINC000766695447 701059718 /nfs/dbraw/zinc/05/97/18/701059718.db2.gz NIRFCNNWYGATBQ-NSHDSACASA-N 1 2 308.179 1.880 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(Br)nc1 ZINC000766695447 701059719 /nfs/dbraw/zinc/05/97/19/701059719.db2.gz NIRFCNNWYGATBQ-NSHDSACASA-N 1 2 308.179 1.880 20 30 DDEDLO C[C@H](NC(=S)Nc1ccccc1C#N)[C@@H]1C[N@H+](C)CCO1 ZINC000769791104 701256045 /nfs/dbraw/zinc/25/60/45/701256045.db2.gz FTBNPDXVGXKZSD-FZMZJTMJSA-N 1 2 304.419 1.564 20 30 DDEDLO C[C@H](NC(=S)Nc1ccccc1C#N)[C@@H]1C[N@@H+](C)CCO1 ZINC000769791104 701256046 /nfs/dbraw/zinc/25/60/46/701256046.db2.gz FTBNPDXVGXKZSD-FZMZJTMJSA-N 1 2 304.419 1.564 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCc2cc(C#N)ccc2OC)C1 ZINC000805479388 701385083 /nfs/dbraw/zinc/38/50/83/701385083.db2.gz OSTVUTMEOVLCQV-OAHLLOKOSA-N 1 2 304.346 1.331 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCc2cc(C#N)ccc2OC)C1 ZINC000805479388 701385085 /nfs/dbraw/zinc/38/50/85/701385085.db2.gz OSTVUTMEOVLCQV-OAHLLOKOSA-N 1 2 304.346 1.331 20 30 DDEDLO CO[C@@H]1CC[C@@H](C)[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000879321920 706649257 /nfs/dbraw/zinc/64/92/57/706649257.db2.gz GTBSPKHJRLGCBU-UKRRQHHQSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@@H]1CC[C@@H](C)[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000879321920 706649260 /nfs/dbraw/zinc/64/92/60/706649260.db2.gz GTBSPKHJRLGCBU-UKRRQHHQSA-N 1 2 322.430 1.831 20 30 DDEDLO C[C@H](O)CNc1cc(N[C@@H]2Cc3ccc(C#N)cc3C2)nc[nH+]1 ZINC000866259393 706660277 /nfs/dbraw/zinc/66/02/77/706660277.db2.gz LZWNDRICQZOGNO-XHDPSFHLSA-N 1 2 309.373 1.720 20 30 DDEDLO C[C@H](O)CNc1cc(N[C@@H]2Cc3ccc(C#N)cc3C2)[nH+]cn1 ZINC000866259393 706660279 /nfs/dbraw/zinc/66/02/79/706660279.db2.gz LZWNDRICQZOGNO-XHDPSFHLSA-N 1 2 309.373 1.720 20 30 DDEDLO COCC#CC[NH+]1CCN(Cc2ccc(O)c(Cl)c2)CC1 ZINC000879447329 706682275 /nfs/dbraw/zinc/68/22/75/706682275.db2.gz KBTZKPMBDUFEST-UHFFFAOYSA-N 1 2 308.809 1.813 20 30 DDEDLO C#CCCN1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2CC)CC1 ZINC000843780554 702922752 /nfs/dbraw/zinc/92/27/52/702922752.db2.gz FWOLOPLIHIJJOQ-OAHLLOKOSA-N 1 2 302.422 1.564 20 30 DDEDLO C[N@@H+](CC(=O)Nc1nncs1)C[C@@H](O)c1cccc(C#N)c1 ZINC000844365744 703020324 /nfs/dbraw/zinc/02/03/24/703020324.db2.gz HVUOCNCJUCIJHI-GFCCVEGCSA-N 1 2 317.374 1.014 20 30 DDEDLO C[N@H+](CC(=O)Nc1nncs1)C[C@@H](O)c1cccc(C#N)c1 ZINC000844365744 703020325 /nfs/dbraw/zinc/02/03/25/703020325.db2.gz HVUOCNCJUCIJHI-GFCCVEGCSA-N 1 2 317.374 1.014 20 30 DDEDLO COCc1noc([C@@H](C)[N@H+](C)C[C@@H](O)c2cccc(C#N)c2)n1 ZINC000844372386 703021835 /nfs/dbraw/zinc/02/18/35/703021835.db2.gz XYIPWYPSEFWSPE-BXUZGUMPSA-N 1 2 316.361 1.814 20 30 DDEDLO COCc1noc([C@@H](C)[N@@H+](C)C[C@@H](O)c2cccc(C#N)c2)n1 ZINC000844372386 703021837 /nfs/dbraw/zinc/02/18/37/703021837.db2.gz XYIPWYPSEFWSPE-BXUZGUMPSA-N 1 2 316.361 1.814 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN2Cc3cccc(C#N)c3C2)C1 ZINC000844759469 703070156 /nfs/dbraw/zinc/07/01/56/703070156.db2.gz JUBAMKDWKNTRRF-OAHLLOKOSA-N 1 2 321.384 1.581 20 30 DDEDLO C[C@H]([NH2+]C[C@@]12COC(=O)N1CCOC2)c1cccc(C#N)c1O ZINC000866522858 706721082 /nfs/dbraw/zinc/72/10/82/706721082.db2.gz HLFHPBQRVNUURH-MEDUHNTESA-N 1 2 317.345 1.136 20 30 DDEDLO C[C@@H](CC(=O)OCC(=O)N[C@](C)(C#N)C1CC1)n1cc[nH+]c1 ZINC000845277679 703137809 /nfs/dbraw/zinc/13/78/09/703137809.db2.gz ABBPKQPOHPWULP-XHDPSFHLSA-N 1 2 304.350 1.186 20 30 DDEDLO C[C@@H](CC(=O)OCC(=O)N(CCC#N)CCC#N)n1cc[nH+]c1 ZINC000845278259 703137912 /nfs/dbraw/zinc/13/79/12/703137912.db2.gz SHPIJGBDZKOIJA-ZDUSSCGKSA-N 1 2 317.349 1.033 20 30 DDEDLO C[C@@H]1CCN(C(=O)[C@]2(C#N)CCCOC2)C[C@H]1n1cc[nH+]c1 ZINC000866584164 706736024 /nfs/dbraw/zinc/73/60/24/706736024.db2.gz XGYAQVFUYKWOHD-FMKPAKJESA-N 1 2 302.378 1.613 20 30 DDEDLO C#CC[N@@H+](CC#CC)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC000851783429 703854446 /nfs/dbraw/zinc/85/44/46/703854446.db2.gz BUNAKPISLARRIF-OAHLLOKOSA-N 1 2 306.406 1.581 20 30 DDEDLO C#CC[N@H+](CC#CC)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC000851783429 703854448 /nfs/dbraw/zinc/85/44/48/703854448.db2.gz BUNAKPISLARRIF-OAHLLOKOSA-N 1 2 306.406 1.581 20 30 DDEDLO COc1cccc([C@@H]2CN(C(=O)c3cc(C#N)cn3C)CC[NH2+]2)c1 ZINC000870150042 703932673 /nfs/dbraw/zinc/93/26/73/703932673.db2.gz IISYVWHJDVPRLV-INIZCTEOSA-N 1 2 324.384 1.692 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)[C@@H](C)COC ZINC000852358290 704023157 /nfs/dbraw/zinc/02/31/57/704023157.db2.gz PFLOKWQHYDNOOS-NSHDSACASA-N 1 2 318.377 1.730 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)[C@@H](C)COC ZINC000852358290 704023163 /nfs/dbraw/zinc/02/31/63/704023163.db2.gz PFLOKWQHYDNOOS-NSHDSACASA-N 1 2 318.377 1.730 20 30 DDEDLO C[C@@H](C#N)Oc1ccccc1NC[C@H](O)C[N@H+](C)CCC#N ZINC000819465260 704130185 /nfs/dbraw/zinc/13/01/85/704130185.db2.gz JNQRPGQXUVBRGW-KBPBESRZSA-N 1 2 302.378 1.596 20 30 DDEDLO C[C@@H](C#N)Oc1ccccc1NC[C@H](O)C[N@@H+](C)CCC#N ZINC000819465260 704130189 /nfs/dbraw/zinc/13/01/89/704130189.db2.gz JNQRPGQXUVBRGW-KBPBESRZSA-N 1 2 302.378 1.596 20 30 DDEDLO C=CC[C@@]1(C(=O)NCc2n[nH]c(-c3ccccc3)n2)CCC[NH2+]1 ZINC000852825668 704137695 /nfs/dbraw/zinc/13/76/95/704137695.db2.gz NHGCCYJOGNZXTC-KRWDZBQOSA-N 1 2 311.389 1.786 20 30 DDEDLO C=CC[C@@]1(C(=O)NCc2nc(-c3ccccc3)n[nH]2)CCC[NH2+]1 ZINC000852825668 704137697 /nfs/dbraw/zinc/13/76/97/704137697.db2.gz NHGCCYJOGNZXTC-KRWDZBQOSA-N 1 2 311.389 1.786 20 30 DDEDLO O=C(C[C@@H](c1[nH]cc[nH+]1)c1ccccc1)N[C@@H]1CCN(O)C1=O ZINC000820144890 704229635 /nfs/dbraw/zinc/22/96/35/704229635.db2.gz ZVZSTRNPICUPTQ-CHWSQXEVSA-N 1 2 314.345 1.038 20 30 DDEDLO Cn1nc(C(F)(F)F)cc1C=NNCCCn1cc[nH+]c1 ZINC000853370876 704237239 /nfs/dbraw/zinc/23/72/39/704237239.db2.gz ZEIUXYFYAYURDR-UHFFFAOYSA-N 1 2 300.288 1.649 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000855493055 704487794 /nfs/dbraw/zinc/48/77/94/704487794.db2.gz DVSXVXJDAHXYBI-ZDUSSCGKSA-N 1 2 317.389 1.249 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)C(C)(C)c1ccc(C#N)cc1 ZINC000858500648 704719027 /nfs/dbraw/zinc/71/90/27/704719027.db2.gz LPZIQJZDYGUQJO-AWEZNQCLSA-N 1 2 315.417 1.673 20 30 DDEDLO CN1c2ccccc2C(C)(C)/C1=C/C(=O)C[N@@H+]1C[C@@H]2C[C@]2(O)C1 ZINC000880416251 706967461 /nfs/dbraw/zinc/96/74/61/706967461.db2.gz KPCKZIKOZRBWFE-CIOCVFTHSA-N 1 2 312.413 1.934 20 30 DDEDLO CN1c2ccccc2C(C)(C)/C1=C/C(=O)C[N@H+]1C[C@@H]2C[C@]2(O)C1 ZINC000880416251 706967464 /nfs/dbraw/zinc/96/74/64/706967464.db2.gz KPCKZIKOZRBWFE-CIOCVFTHSA-N 1 2 312.413 1.934 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC([NH2+][C@H]3C[C@@H](C(=O)[O-])C3)CC2)cc1 ZINC000874045810 704925588 /nfs/dbraw/zinc/92/55/88/704925588.db2.gz FGAIELUZNHQTDQ-WOVMCDHWSA-N 1 2 313.401 1.975 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(/C=C\C(=O)OC)o2)CC1 ZINC000825077933 705595511 /nfs/dbraw/zinc/59/55/11/705595511.db2.gz MQJWVWOQQDTRPJ-VURMDHGXSA-N 1 2 316.357 1.247 20 30 DDEDLO C[C@@H](O)c1cn(C2C[NH+](Cc3ccc(C4(C#N)CC4)cc3)C2)nn1 ZINC000825461701 705675851 /nfs/dbraw/zinc/67/58/51/705675851.db2.gz MSFLRGYJGGQKCL-CYBMUJFWSA-N 1 2 323.400 1.943 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)c1ccccc1 ZINC000826070104 705768727 /nfs/dbraw/zinc/76/87/27/705768727.db2.gz PHXUJIOSTZGEHO-INIZCTEOSA-N 1 2 315.417 1.771 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)c1ccccc1 ZINC000826070104 705768730 /nfs/dbraw/zinc/76/87/30/705768730.db2.gz PHXUJIOSTZGEHO-INIZCTEOSA-N 1 2 315.417 1.771 20 30 DDEDLO C#CC[N@@H+](CCCN(C)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000828062711 706111726 /nfs/dbraw/zinc/11/17/26/706111726.db2.gz QBAIZFVPOXSAGE-KRWDZBQOSA-N 1 2 320.458 1.635 20 30 DDEDLO C#CC[N@H+](CCCN(C)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000828062711 706111728 /nfs/dbraw/zinc/11/17/28/706111728.db2.gz QBAIZFVPOXSAGE-KRWDZBQOSA-N 1 2 320.458 1.635 20 30 DDEDLO N#C[C@@]1(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)CCCOC1 ZINC000864675829 706231290 /nfs/dbraw/zinc/23/12/90/706231290.db2.gz VHQBIGHJXSGXHO-KRWDZBQOSA-N 1 2 314.389 1.618 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@@H+](C)[C@H]1CCN(C)C1=O)c1ccccc1 ZINC000878120468 706299631 /nfs/dbraw/zinc/29/96/31/706299631.db2.gz LPWUMKFWYARBPQ-WMZOPIPTSA-N 1 2 313.401 1.590 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@H+](C)[C@H]1CCN(C)C1=O)c1ccccc1 ZINC000878120468 706299635 /nfs/dbraw/zinc/29/96/35/706299635.db2.gz LPWUMKFWYARBPQ-WMZOPIPTSA-N 1 2 313.401 1.590 20 30 DDEDLO N#Cc1ccc(CNC(=O)/C=C\C[NH+]2CCOCC2)c(F)c1 ZINC000865182684 706373692 /nfs/dbraw/zinc/37/36/92/706373692.db2.gz KZSUSGNUMYHONO-UPHRSURJSA-N 1 2 303.337 1.202 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2c(C)cccc2C#N)CC1 ZINC000872493488 707444723 /nfs/dbraw/zinc/44/47/23/707444723.db2.gz XAQRLKRFUSOIPP-AWEZNQCLSA-N 1 2 321.446 1.972 20 30 DDEDLO C#CC[C@@H]1CCC[N@@H+](CC(=O)N=c2cc[nH]n2[C@@H](C)C2CC2)C1 ZINC000829803645 706399412 /nfs/dbraw/zinc/39/94/12/706399412.db2.gz AGGUGSKXCAWWGZ-LSDHHAIUSA-N 1 2 314.433 1.950 20 30 DDEDLO C#CC[C@@H]1CCC[N@H+](CC(=O)N=c2cc[nH]n2[C@@H](C)C2CC2)C1 ZINC000829803645 706399415 /nfs/dbraw/zinc/39/94/15/706399415.db2.gz AGGUGSKXCAWWGZ-LSDHHAIUSA-N 1 2 314.433 1.950 20 30 DDEDLO Cc1cn2cc(NC(=O)N[C@@H]3CCCN(O)C3=O)ccc2[nH+]1 ZINC000878565052 706428898 /nfs/dbraw/zinc/42/88/98/706428898.db2.gz DVRDOSHUSJPGAC-LLVKDONJSA-N 1 2 303.322 1.144 20 30 DDEDLO Cc1cccnc1NC(=O)C[N@H+](C)C[C@H](O)c1cccc(C#N)c1 ZINC000878800692 706503084 /nfs/dbraw/zinc/50/30/84/706503084.db2.gz FREOMWZHEOKBFE-INIZCTEOSA-N 1 2 324.384 1.866 20 30 DDEDLO Cc1cccnc1NC(=O)C[N@@H+](C)C[C@H](O)c1cccc(C#N)c1 ZINC000878800692 706503085 /nfs/dbraw/zinc/50/30/85/706503085.db2.gz FREOMWZHEOKBFE-INIZCTEOSA-N 1 2 324.384 1.866 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)NCc1ccc(N2CCCC2=O)cc1 ZINC000878816998 706507453 /nfs/dbraw/zinc/50/74/53/706507453.db2.gz NKLBMVGGSDCORM-ZDUSSCGKSA-N 1 2 314.389 1.321 20 30 DDEDLO C#CC[N@@H+](Cc1nn2c(nc(C)cc2=O)s1)C1CSC1 ZINC000878913517 706531079 /nfs/dbraw/zinc/53/10/79/706531079.db2.gz SHHPPHPZUJZTFE-UHFFFAOYSA-N 1 2 306.416 1.010 20 30 DDEDLO C#CC[N@H+](Cc1nn2c(nc(C)cc2=O)s1)C1CSC1 ZINC000878913517 706531081 /nfs/dbraw/zinc/53/10/81/706531081.db2.gz SHHPPHPZUJZTFE-UHFFFAOYSA-N 1 2 306.416 1.010 20 30 DDEDLO C=CC[C@@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1ccncc1 ZINC000865892255 706554533 /nfs/dbraw/zinc/55/45/33/706554533.db2.gz ZYAKIWWENYCNTP-LLCTXKFZSA-N 1 2 301.390 1.703 20 30 DDEDLO CC(C)(CNS(=O)(=O)c1cc(F)cc(C#N)c1)n1cc[nH+]c1 ZINC000867724030 707086329 /nfs/dbraw/zinc/08/63/29/707086329.db2.gz OWMSRUXMJVRKKV-UHFFFAOYSA-N 1 2 322.365 1.607 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cc(C#N)ccc1F ZINC000834709403 707105400 /nfs/dbraw/zinc/10/54/00/707105400.db2.gz OZXHNDZJDYHLRR-UHFFFAOYSA-N 1 2 319.380 1.880 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cc(C#N)ccc1F ZINC000834709403 707105403 /nfs/dbraw/zinc/10/54/03/707105403.db2.gz OZXHNDZJDYHLRR-UHFFFAOYSA-N 1 2 319.380 1.880 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)c2c(F)cccc2F)nn1 ZINC000881405403 707239594 /nfs/dbraw/zinc/23/95/94/707239594.db2.gz DOYJVPFDDUFYNZ-CQSZACIVSA-N 1 2 306.316 1.403 20 30 DDEDLO C[C@@H](NC(=S)NN=C1CCC[N@@H+]2CCSC[C@H]12)C1CC1 ZINC000872356001 707388593 /nfs/dbraw/zinc/38/85/93/707388593.db2.gz WDQKSBUTDWFFTF-ZWNOBZJWSA-N 1 2 312.508 1.816 20 30 DDEDLO C[C@@H](NC(=S)NN=C1CCC[N@H+]2CCSC[C@H]12)C1CC1 ZINC000872356001 707388600 /nfs/dbraw/zinc/38/86/00/707388600.db2.gz WDQKSBUTDWFFTF-ZWNOBZJWSA-N 1 2 312.508 1.816 20 30 DDEDLO C#CC[N@@H+](CN1CC2(COC2)CC1=O)[C@@H]1CCc2ccccc21 ZINC000872559961 707480070 /nfs/dbraw/zinc/48/00/70/707480070.db2.gz DRTSVWRQWWGALY-QGZVFWFLSA-N 1 2 310.397 1.816 20 30 DDEDLO C#CC[N@H+](CN1CC2(COC2)CC1=O)[C@@H]1CCc2ccccc21 ZINC000872559961 707480072 /nfs/dbraw/zinc/48/00/72/707480072.db2.gz DRTSVWRQWWGALY-QGZVFWFLSA-N 1 2 310.397 1.816 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H]1CCCc2ccccc21 ZINC000884057014 708120732 /nfs/dbraw/zinc/12/07/32/708120732.db2.gz SAMHKPVVXAXBQT-HOTGVXAUSA-N 1 2 316.401 1.969 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)c1ccc(OCC)cc1 ZINC000884063666 708123028 /nfs/dbraw/zinc/12/30/28/708123028.db2.gz HVTDSTILJIKPSW-WFASDCNBSA-N 1 2 320.389 1.709 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc2[nH]c(C)cc2c1 ZINC000884068242 708124844 /nfs/dbraw/zinc/12/48/44/708124844.db2.gz JEFLRTLYGGOEDK-ZDUSSCGKSA-N 1 2 301.346 1.861 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)c1ccc(OCC)cc1 ZINC000884148851 708160615 /nfs/dbraw/zinc/16/06/15/708160615.db2.gz VISUZSQOZFRYPV-AWEZNQCLSA-N 1 2 306.362 1.495 20 30 DDEDLO C=CCC1(O)CC[NH+](Cn2c3ccccc3n(C)c2=O)CC1 ZINC000884478539 708311221 /nfs/dbraw/zinc/31/12/21/708311221.db2.gz FMDMEVXREHVHBQ-UHFFFAOYSA-N 1 2 301.390 1.701 20 30 DDEDLO COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)c1cc(C#N)ccc1C ZINC000898082014 708537135 /nfs/dbraw/zinc/53/71/35/708537135.db2.gz ZLRYYZLGLVDSJV-AWEZNQCLSA-N 1 2 312.329 1.035 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2cc(C)cc(C)c2)C1 ZINC000885509940 708562365 /nfs/dbraw/zinc/56/23/65/708562365.db2.gz ZUNXYPXSLRREPT-KRWDZBQOSA-N 1 2 320.458 1.820 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2cc(C)cc(C)c2)C1 ZINC000885509940 708562368 /nfs/dbraw/zinc/56/23/68/708562368.db2.gz ZUNXYPXSLRREPT-KRWDZBQOSA-N 1 2 320.458 1.820 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)/C=C\c2ccccc2F)C1 ZINC000885509259 708562619 /nfs/dbraw/zinc/56/26/19/708562619.db2.gz RBIFUVKXOVDMOT-LMRWQKIVSA-N 1 2 322.405 1.813 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)/C=C\c2ccccc2F)C1 ZINC000885509259 708562620 /nfs/dbraw/zinc/56/26/20/708562620.db2.gz RBIFUVKXOVDMOT-LMRWQKIVSA-N 1 2 322.405 1.813 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N[C@@H]1CCC[N@@H+](CCF)C1 ZINC000898483327 708651911 /nfs/dbraw/zinc/65/19/11/708651911.db2.gz ISZMGMTYCAHEFW-CQSZACIVSA-N 1 2 305.353 1.487 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N[C@@H]1CCC[N@H+](CCF)C1 ZINC000898483327 708651912 /nfs/dbraw/zinc/65/19/12/708651912.db2.gz ISZMGMTYCAHEFW-CQSZACIVSA-N 1 2 305.353 1.487 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1C[N@H+](C[C@@H](O)CC2(C#N)CCC2)CCO1 ZINC000886030778 708684959 /nfs/dbraw/zinc/68/49/59/708684959.db2.gz UNCDUZKBPIQDPS-UONOGXRCSA-N 1 2 324.421 1.474 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1C[N@@H+](C[C@@H](O)CC2(C#N)CCC2)CCO1 ZINC000886030778 708684962 /nfs/dbraw/zinc/68/49/62/708684962.db2.gz UNCDUZKBPIQDPS-UONOGXRCSA-N 1 2 324.421 1.474 20 30 DDEDLO C#CCOc1ccc(NC(=O)/C=C(/C)C[NH+]2CCOCC2)cc1 ZINC000900627230 709684592 /nfs/dbraw/zinc/68/45/92/709684592.db2.gz TVCOEXPRXHGEJQ-SQFISAMPSA-N 1 2 314.385 1.916 20 30 DDEDLO COCC[NH+]1CCN(C(=O)/C=C\C(=O)c2ccc(C)cc2)CC1 ZINC000900684645 709710738 /nfs/dbraw/zinc/71/07/38/709710738.db2.gz UFWCHHOJTYHMOK-FPLPWBNLSA-N 1 2 316.401 1.525 20 30 DDEDLO C[C@@]1(C#N)CN(C(=O)NCCc2cn3c([nH+]2)CCCC3)CCO1 ZINC000891989980 710347991 /nfs/dbraw/zinc/34/79/91/710347991.db2.gz KHHFKHMXWMPPJG-MRXNPFEDSA-N 1 2 317.393 1.086 20 30 DDEDLO COc1c[nH]c(CO)c(C[N@H+](CCC#N)CC(C)(C)C)c1=O ZINC000902120612 710622630 /nfs/dbraw/zinc/62/26/30/710622630.db2.gz WNIGDZAGNKDVMZ-UHFFFAOYSA-N 1 2 307.394 1.638 20 30 DDEDLO COc1c[nH]c(CO)c(C[N@@H+](CCC#N)CC(C)(C)C)c1=O ZINC000902120612 710622632 /nfs/dbraw/zinc/62/26/32/710622632.db2.gz WNIGDZAGNKDVMZ-UHFFFAOYSA-N 1 2 307.394 1.638 20 30 DDEDLO CN(C[C@H]1C[N@H+](C)CCO1)C(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000913508347 713241080 /nfs/dbraw/zinc/24/10/80/713241080.db2.gz YAYBOLJFOYYAHH-BOXZVXIZSA-N 1 2 305.422 1.495 20 30 DDEDLO CN(C[C@H]1C[N@@H+](C)CCO1)C(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000913508347 713241083 /nfs/dbraw/zinc/24/10/83/713241083.db2.gz YAYBOLJFOYYAHH-BOXZVXIZSA-N 1 2 305.422 1.495 20 30 DDEDLO COCC[N@@H+](Cc1csc(C#N)c1)C[C@@H](O)C(F)(F)F ZINC000895200148 711451610 /nfs/dbraw/zinc/45/16/10/711451610.db2.gz NYRBQQVUBNBPHG-LLVKDONJSA-N 1 2 308.325 1.991 20 30 DDEDLO COCC[N@H+](Cc1csc(C#N)c1)C[C@@H](O)C(F)(F)F ZINC000895200148 711451612 /nfs/dbraw/zinc/45/16/12/711451612.db2.gz NYRBQQVUBNBPHG-LLVKDONJSA-N 1 2 308.325 1.991 20 30 DDEDLO N#Cc1cnc(NCC[N@@H+]2CCC3(C2)OCCO3)c(Cl)c1 ZINC000895253313 711467527 /nfs/dbraw/zinc/46/75/27/711467527.db2.gz ARNPGCQRWCLDIH-UHFFFAOYSA-N 1 2 308.769 1.467 20 30 DDEDLO N#Cc1cnc(NCC[N@H+]2CCC3(C2)OCCO3)c(Cl)c1 ZINC000895253313 711467529 /nfs/dbraw/zinc/46/75/29/711467529.db2.gz ARNPGCQRWCLDIH-UHFFFAOYSA-N 1 2 308.769 1.467 20 30 DDEDLO COCC[N@H+](C)Cc1cn(Cc2c(C)cc(C#N)cc2C)nn1 ZINC000905827657 712158555 /nfs/dbraw/zinc/15/85/55/712158555.db2.gz NORYNCSFLPTZGO-UHFFFAOYSA-N 1 2 313.405 1.893 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(Cc2c(C)cc(C#N)cc2C)nn1 ZINC000905827657 712158557 /nfs/dbraw/zinc/15/85/57/712158557.db2.gz NORYNCSFLPTZGO-UHFFFAOYSA-N 1 2 313.405 1.893 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@]2(C#N)CC3CCC2CC3)CC[N@@H+]1CCO ZINC000907544401 712592370 /nfs/dbraw/zinc/59/23/70/712592370.db2.gz QLNIBJNVKPVTLN-VXTDNTOSSA-N 1 2 305.422 1.231 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@]2(C#N)CC3CCC2CC3)CC[N@H+]1CCO ZINC000907544401 712592371 /nfs/dbraw/zinc/59/23/71/712592371.db2.gz QLNIBJNVKPVTLN-VXTDNTOSSA-N 1 2 305.422 1.231 20 30 DDEDLO C[C@H]1CN(C(=O)[C@@]2(C#N)CC3CCC2CC3)CC[N@@H+]1CCO ZINC000907544400 712592408 /nfs/dbraw/zinc/59/24/08/712592408.db2.gz QLNIBJNVKPVTLN-PBMMVSLJSA-N 1 2 305.422 1.231 20 30 DDEDLO C[C@H]1CN(C(=O)[C@@]2(C#N)CC3CCC2CC3)CC[N@H+]1CCO ZINC000907544400 712592409 /nfs/dbraw/zinc/59/24/09/712592409.db2.gz QLNIBJNVKPVTLN-PBMMVSLJSA-N 1 2 305.422 1.231 20 30 DDEDLO C=C(Br)CNC(=O)N[C@@H](CC)C[NH+]1CCOCC1 ZINC000914418307 713392911 /nfs/dbraw/zinc/39/29/11/713392911.db2.gz HKSUKIQOJXDBRI-NSHDSACASA-N 1 2 320.231 1.305 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)CCCC(C)(F)F)C1 ZINC000919875575 713639978 /nfs/dbraw/zinc/63/99/78/713639978.db2.gz WVAQYMGHJYAGGJ-LBPRGKRZSA-N 1 2 308.394 1.439 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)CCCC(C)(F)F)C1 ZINC000919875575 713639981 /nfs/dbraw/zinc/63/99/81/713639981.db2.gz WVAQYMGHJYAGGJ-LBPRGKRZSA-N 1 2 308.394 1.439 20 30 DDEDLO Cc1cccc([C@H](O)CN(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000929773876 713687717 /nfs/dbraw/zinc/68/77/17/713687717.db2.gz DOMAOHRMUGTLKK-GOSISDBHSA-N 1 2 317.433 1.576 20 30 DDEDLO CC(C)CC[N@H+](CCC#N)C[C@H]1CN=C(c2cnn(C)c2)O1 ZINC000929778425 713688314 /nfs/dbraw/zinc/68/83/14/713688314.db2.gz IRRNSJCTIYVAHQ-OAHLLOKOSA-N 1 2 303.410 1.827 20 30 DDEDLO CC(C)CC[N@@H+](CCC#N)C[C@H]1CN=C(c2cnn(C)c2)O1 ZINC000929778425 713688315 /nfs/dbraw/zinc/68/83/15/713688315.db2.gz IRRNSJCTIYVAHQ-OAHLLOKOSA-N 1 2 303.410 1.827 20 30 DDEDLO C#CCOc1ccc(NC(=O)NCC[N@@H+]2CCO[C@H](C)C2)cc1 ZINC000930246875 713785878 /nfs/dbraw/zinc/78/58/78/713785878.db2.gz YRTDRDJENVTZHB-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CCOc1ccc(NC(=O)NCC[N@H+]2CCO[C@H](C)C2)cc1 ZINC000930246875 713785880 /nfs/dbraw/zinc/78/58/80/713785880.db2.gz YRTDRDJENVTZHB-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@@H](C)[N@@H+]2Cc2cncc(C#N)c2)O1 ZINC000930352618 713811941 /nfs/dbraw/zinc/81/19/41/713811941.db2.gz AUPHPLUTROCLPL-MPESAESLSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@@H](C)[N@H+]2Cc2cncc(C#N)c2)O1 ZINC000930352618 713811944 /nfs/dbraw/zinc/81/19/44/713811944.db2.gz AUPHPLUTROCLPL-MPESAESLSA-N 1 2 315.373 1.637 20 30 DDEDLO CC(C)C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1 ZINC000922025275 713940554 /nfs/dbraw/zinc/94/05/54/713940554.db2.gz FOVJRURDXWNQHF-HNNXBMFYSA-N 1 2 321.446 1.909 20 30 DDEDLO CC(C)C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1 ZINC000922025275 713940556 /nfs/dbraw/zinc/94/05/56/713940556.db2.gz FOVJRURDXWNQHF-HNNXBMFYSA-N 1 2 321.446 1.909 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnc(-c3ccccn3)nc2)CC1 ZINC000931344112 714073253 /nfs/dbraw/zinc/07/32/53/714073253.db2.gz ARXUDCZMWNULPA-UHFFFAOYSA-N 1 2 321.384 1.320 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)NCC(C)(C)CC#N)n1 ZINC000922835734 714163307 /nfs/dbraw/zinc/16/33/07/714163307.db2.gz HMVAJIVXWWBHQX-UHFFFAOYSA-N 1 2 309.439 1.944 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@](O)(C[N@@H+]2CCC[C@@H](C#N)C2)C1 ZINC000932151043 714272073 /nfs/dbraw/zinc/27/20/73/714272073.db2.gz GQDVQVMJWFIFTH-XJKSGUPXSA-N 1 2 309.410 1.594 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@](O)(C[N@H+]2CCC[C@@H](C#N)C2)C1 ZINC000932151043 714272076 /nfs/dbraw/zinc/27/20/76/714272076.db2.gz GQDVQVMJWFIFTH-XJKSGUPXSA-N 1 2 309.410 1.594 20 30 DDEDLO N#CC[C@H](NC(=O)NCCNc1cccc[nH+]1)C(F)(F)F ZINC000932928550 714439113 /nfs/dbraw/zinc/43/91/13/714439113.db2.gz NWADHDBGULPHRF-VIFPVBQESA-N 1 2 301.272 1.637 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NC1CC1)[N@H+](C)Cc1cc(C#N)cs1 ZINC000933775689 714669498 /nfs/dbraw/zinc/66/94/98/714669498.db2.gz LFSXGXXYTOHNQK-VIFPVBQESA-N 1 2 306.391 1.428 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NC1CC1)[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933775689 714669499 /nfs/dbraw/zinc/66/94/99/714669499.db2.gz LFSXGXXYTOHNQK-VIFPVBQESA-N 1 2 306.391 1.428 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)C[N@@H+]2CC[C@H]2CO)cc1C ZINC000934024967 714724598 /nfs/dbraw/zinc/72/45/98/714724598.db2.gz BWANDYCAMWTWDG-INIZCTEOSA-N 1 2 301.390 1.617 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)C[N@H+]2CC[C@H]2CO)cc1C ZINC000934024967 714724600 /nfs/dbraw/zinc/72/46/00/714724600.db2.gz BWANDYCAMWTWDG-INIZCTEOSA-N 1 2 301.390 1.617 20 30 DDEDLO CO[C@@H]1CC[C@@H]1[N@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000934117192 714746131 /nfs/dbraw/zinc/74/61/31/714746131.db2.gz ZNUCRISDPBJMFW-LSDHHAIUSA-N 1 2 308.403 1.441 20 30 DDEDLO CO[C@@H]1CC[C@@H]1[N@@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000934117192 714746133 /nfs/dbraw/zinc/74/61/33/714746133.db2.gz ZNUCRISDPBJMFW-LSDHHAIUSA-N 1 2 308.403 1.441 20 30 DDEDLO C[C@](Cn1cccn1)([NH2+]Cc1ccc(C#N)cc1Cl)C(N)=O ZINC000934223255 714770363 /nfs/dbraw/zinc/77/03/63/714770363.db2.gz SCHHZICTBGTZLY-OAHLLOKOSA-N 1 2 317.780 1.442 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000934512273 714839594 /nfs/dbraw/zinc/83/95/94/714839594.db2.gz IWVFRAAZYJJDFN-NVXWUHKLSA-N 1 2 313.401 1.078 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000934512273 714839595 /nfs/dbraw/zinc/83/95/95/714839595.db2.gz IWVFRAAZYJJDFN-NVXWUHKLSA-N 1 2 313.401 1.078 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(OC)ns2)C1 ZINC000966194494 718013526 /nfs/dbraw/zinc/01/35/26/718013526.db2.gz DVGSFOPUSJSQNB-RYUDHWBXSA-N 1 2 307.419 1.615 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(OC)ns2)C1 ZINC000966194494 718013531 /nfs/dbraw/zinc/01/35/31/718013531.db2.gz DVGSFOPUSJSQNB-RYUDHWBXSA-N 1 2 307.419 1.615 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@@H](CC#N)C1 ZINC000925605883 714897935 /nfs/dbraw/zinc/89/79/35/714897935.db2.gz JBMSHBDYMTVPDP-GJZGRUSLSA-N 1 2 308.426 1.432 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH+]2CCN(C(=O)CCC)CC2)C1 ZINC000956581595 715478672 /nfs/dbraw/zinc/47/86/72/715478672.db2.gz QOJDOSSTHIZEEP-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CCC)CC2)C1 ZINC000956581596 715478896 /nfs/dbraw/zinc/47/88/96/715478896.db2.gz QOJDOSSTHIZEEP-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)C2CN(C(=O)[C@@H](C)C#N)C2)c(C)[nH+]1 ZINC000954984438 715571253 /nfs/dbraw/zinc/57/12/53/715571253.db2.gz HVFAJLTVZJEPMO-NSHDSACASA-N 1 2 314.389 1.449 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(C(=O)N(C)C)cc2)CC1 ZINC000957364007 715861832 /nfs/dbraw/zinc/86/18/32/715861832.db2.gz ZIVPCTMSJSDHFB-UHFFFAOYSA-N 1 2 301.390 1.332 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3c(c2)nc[nH]c3=O)C1 ZINC000957393153 715878997 /nfs/dbraw/zinc/87/89/97/715878997.db2.gz SHFMYTIWVKPMSN-UHFFFAOYSA-N 1 2 324.384 1.505 20 30 DDEDLO C=CCC[NH+]1CCN(C(=O)c2sc(COC)nc2C)CC1 ZINC000957487242 715923615 /nfs/dbraw/zinc/92/36/15/715923615.db2.gz DBAVDQCYZCRENQ-UHFFFAOYSA-N 1 2 309.435 1.932 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2c(C)noc2C)[C@H](O)C1 ZINC000957862873 716203354 /nfs/dbraw/zinc/20/33/54/716203354.db2.gz CTYMNDDZHLABOP-VXGBXAGGSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2c(C)noc2C)[C@H](O)C1 ZINC000957862873 716203357 /nfs/dbraw/zinc/20/33/57/716203357.db2.gz CTYMNDDZHLABOP-VXGBXAGGSA-N 1 2 313.785 1.066 20 30 DDEDLO Cc1noc(C[NH2+]C[C@@H]2CCCCN2C(=O)C#CC2CC2)n1 ZINC000960002813 716384280 /nfs/dbraw/zinc/38/42/80/716384280.db2.gz JDNAJPQLTFCKMZ-AWEZNQCLSA-N 1 2 302.378 1.262 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccc(C)s3)CC2)C1 ZINC000941288580 717147474 /nfs/dbraw/zinc/14/74/74/717147474.db2.gz WMNFKLSEHYKOGP-UHFFFAOYSA-N 1 2 317.458 1.522 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC(C)(C)C3)CC2)C1 ZINC000941549566 717205240 /nfs/dbraw/zinc/20/52/40/717205240.db2.gz BCJGGQMLROCZHM-OAHLLOKOSA-N 1 2 303.450 1.274 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnc(C)cn2)C1 ZINC000964807092 717435160 /nfs/dbraw/zinc/43/51/60/717435160.db2.gz OZVCXQLETTWDRU-YGRLFVJLSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnc(C)cn2)C1 ZINC000964807092 717435166 /nfs/dbraw/zinc/43/51/66/717435166.db2.gz OZVCXQLETTWDRU-YGRLFVJLSA-N 1 2 308.813 1.978 20 30 DDEDLO C[C@@H]1CN(CC#N)CC[C@@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000943879847 718214552 /nfs/dbraw/zinc/21/45/52/718214552.db2.gz WJNYZKDENYCARH-PBHICJAKSA-N 1 2 323.400 1.836 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC000966666039 718622203 /nfs/dbraw/zinc/62/22/03/718622203.db2.gz JUJTVZLEXXZADD-STQMWFEESA-N 1 2 304.394 1.058 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H](C)[C@H](NC(=O)Cn3cc[nH+]c3)C2)C1 ZINC000966796057 718663532 /nfs/dbraw/zinc/66/35/32/718663532.db2.gz SZLSUYOIQQXDLM-UONOGXRCSA-N 1 2 316.405 1.203 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H](C)[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC000966801260 718665591 /nfs/dbraw/zinc/66/55/91/718665591.db2.gz SEYLCYYBTGXRSS-GXTWGEPZSA-N 1 2 316.405 1.272 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876137 718690185 /nfs/dbraw/zinc/69/01/85/718690185.db2.gz VSLFBYUZMIOSEU-YPMHNXCESA-N 1 2 318.446 1.879 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876137 718690186 /nfs/dbraw/zinc/69/01/86/718690186.db2.gz VSLFBYUZMIOSEU-YPMHNXCESA-N 1 2 318.446 1.879 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876668 718690589 /nfs/dbraw/zinc/69/05/89/718690589.db2.gz YBHLYVVEPDWKCK-ZYHUDNBSSA-N 1 2 304.419 1.489 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876668 718690592 /nfs/dbraw/zinc/69/05/92/718690592.db2.gz YBHLYVVEPDWKCK-ZYHUDNBSSA-N 1 2 304.419 1.489 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000967187209 718787713 /nfs/dbraw/zinc/78/77/13/718787713.db2.gz UPQYEOTUSZQNAE-BXUZGUMPSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000967187209 718787714 /nfs/dbraw/zinc/78/77/14/718787714.db2.gz UPQYEOTUSZQNAE-BXUZGUMPSA-N 1 2 323.824 1.578 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1ncccn1 ZINC000947215846 719076121 /nfs/dbraw/zinc/07/61/21/719076121.db2.gz BFMYRPHUIOHWTH-OLZOCXBDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1ncccn1 ZINC000947215846 719076125 /nfs/dbraw/zinc/07/61/25/719076125.db2.gz BFMYRPHUIOHWTH-OLZOCXBDSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC(NC(=O)Cn2cc[nH+]c2)CC1 ZINC000947692669 719245964 /nfs/dbraw/zinc/24/59/64/719245964.db2.gz XPCLORGDALCOKD-UHFFFAOYSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3nnc(C)[nH]3)[C@H](C)C2)C1 ZINC000947702903 719249051 /nfs/dbraw/zinc/24/90/51/719249051.db2.gz UMJIQMBEHBYAKB-OCCSQVGLSA-N 1 2 317.437 1.939 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3nnc(C)[nH]3)[C@H](C)C2)C1 ZINC000947702903 719249053 /nfs/dbraw/zinc/24/90/53/719249053.db2.gz UMJIQMBEHBYAKB-OCCSQVGLSA-N 1 2 317.437 1.939 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3nnc(C)[nH]3)[C@H](C)C2)C1 ZINC000947702904 719249061 /nfs/dbraw/zinc/24/90/61/719249061.db2.gz UMJIQMBEHBYAKB-TZMCWYRMSA-N 1 2 317.437 1.939 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3nnc(C)[nH]3)[C@H](C)C2)C1 ZINC000947702904 719249064 /nfs/dbraw/zinc/24/90/64/719249064.db2.gz UMJIQMBEHBYAKB-TZMCWYRMSA-N 1 2 317.437 1.939 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCN1CC#N ZINC000947891562 719299779 /nfs/dbraw/zinc/29/97/79/719299779.db2.gz SVLTUGZMMOCXPA-ZIAGYGMSSA-N 1 2 324.388 1.374 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](N(C)C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000948378575 719474418 /nfs/dbraw/zinc/47/44/18/719474418.db2.gz YHFQCYBJWVVNFZ-LSDHHAIUSA-N 1 2 314.433 1.776 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](N(C)C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000948378575 719474419 /nfs/dbraw/zinc/47/44/19/719474419.db2.gz YHFQCYBJWVVNFZ-LSDHHAIUSA-N 1 2 314.433 1.776 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC000968347020 719522863 /nfs/dbraw/zinc/52/28/63/719522863.db2.gz QRMGQAXZGQMMQT-JOYOIKCWSA-N 1 2 314.349 1.143 20 30 DDEDLO CN(C(=O)[C@@H]1CCn2cc[nH+]c2C1)[C@H]1CCCN(CC#N)CC1 ZINC000948567018 719553128 /nfs/dbraw/zinc/55/31/28/719553128.db2.gz SOIFCZBQJUVNLG-CABCVRRESA-N 1 2 315.421 1.282 20 30 DDEDLO C[C@@H]1CC[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1cnon1 ZINC000968449544 719594417 /nfs/dbraw/zinc/59/44/17/719594417.db2.gz JXCNYYWNHUHLOA-RHSMWYFYSA-N 1 2 324.384 1.562 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1cnon1 ZINC000968449544 719594419 /nfs/dbraw/zinc/59/44/19/719594419.db2.gz JXCNYYWNHUHLOA-RHSMWYFYSA-N 1 2 324.384 1.562 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000968480272 719609944 /nfs/dbraw/zinc/60/99/44/719609944.db2.gz JFTDLBNRIWGYJL-NOZJJQNGSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000968480272 719609946 /nfs/dbraw/zinc/60/99/46/719609946.db2.gz JFTDLBNRIWGYJL-NOZJJQNGSA-N 1 2 324.812 1.306 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn(C)nc1C ZINC000948899376 719773821 /nfs/dbraw/zinc/77/38/21/719773821.db2.gz VSHRJFXNECHSDO-GOSISDBHSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn(C)nc1C ZINC000948899376 719773824 /nfs/dbraw/zinc/77/38/24/719773824.db2.gz VSHRJFXNECHSDO-GOSISDBHSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC000948905766 719778037 /nfs/dbraw/zinc/77/80/37/719778037.db2.gz BQMYOAXJFLYLGH-SFHVURJKSA-N 1 2 318.380 1.849 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC000948905766 719778040 /nfs/dbraw/zinc/77/80/40/719778040.db2.gz BQMYOAXJFLYLGH-SFHVURJKSA-N 1 2 318.380 1.849 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3sccc3C)CC2)C1 ZINC000949278508 719990884 /nfs/dbraw/zinc/99/08/84/719990884.db2.gz HBGGLBKLXOCMBC-UHFFFAOYSA-N 1 2 318.442 1.997 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3sccc3C)CC2)C1 ZINC000949278508 719990888 /nfs/dbraw/zinc/99/08/88/719990888.db2.gz HBGGLBKLXOCMBC-UHFFFAOYSA-N 1 2 318.442 1.997 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@@H](F)C3)CC2)C1 ZINC000949455161 720098286 /nfs/dbraw/zinc/09/82/86/720098286.db2.gz UPEXSGUUAMBRJE-LSDHHAIUSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@@H](F)C3)CC2)C1 ZINC000949455161 720098290 /nfs/dbraw/zinc/09/82/90/720098290.db2.gz UPEXSGUUAMBRJE-LSDHHAIUSA-N 1 2 308.397 1.451 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnoc2-c2cnn(C)c2)CC1 ZINC000949468955 720109833 /nfs/dbraw/zinc/10/98/33/720109833.db2.gz WBPVFKBARBJHBG-UHFFFAOYSA-N 1 2 315.377 1.409 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@@H]2COCCN2CC2CCC2)CC1 ZINC000949480029 720113304 /nfs/dbraw/zinc/11/33/04/720113304.db2.gz UHBRSYYICDLKNW-KRWDZBQOSA-N 1 2 321.465 1.208 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@]3(C)C(C)C)CC2)C1 ZINC000949500997 720127077 /nfs/dbraw/zinc/12/70/77/720127077.db2.gz QLYROLHDEZZPCG-FUHWJXTLSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@]3(C)C(C)C)CC2)C1 ZINC000949500997 720127079 /nfs/dbraw/zinc/12/70/79/720127079.db2.gz QLYROLHDEZZPCG-FUHWJXTLSA-N 1 2 318.461 1.995 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ncoc2[C@@H]2CCCO2)CC1 ZINC000949649522 720239347 /nfs/dbraw/zinc/23/93/47/720239347.db2.gz WKUDPZNFAJUYIS-ZDUSSCGKSA-N 1 2 305.378 1.860 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnc3n2CCOC3)C1 ZINC000969885220 720548089 /nfs/dbraw/zinc/54/80/89/720548089.db2.gz WJMMINHEQAWUHA-NSHDSACASA-N 1 2 324.812 1.216 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(CC(F)F)nc2C)C1 ZINC000950573598 720689734 /nfs/dbraw/zinc/68/97/34/720689734.db2.gz ZQLZMIFMHGSZKU-UHFFFAOYSA-N 1 2 324.375 1.626 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3ccccc3c2O)C1 ZINC000950717436 720747801 /nfs/dbraw/zinc/74/78/01/720747801.db2.gz IZEYTAZKVFBVQK-UHFFFAOYSA-N 1 2 323.396 1.698 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)s1 ZINC000971507504 721328533 /nfs/dbraw/zinc/32/85/33/721328533.db2.gz IXFXRHKFUZVCJD-AWEZNQCLSA-N 1 2 303.431 1.898 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)s1 ZINC000971507504 721328536 /nfs/dbraw/zinc/32/85/36/721328536.db2.gz IXFXRHKFUZVCJD-AWEZNQCLSA-N 1 2 303.431 1.898 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2ncccc2OC)C1 ZINC001008316418 737506904 /nfs/dbraw/zinc/50/69/04/737506904.db2.gz SJNZTPUTKNIPHC-CYBMUJFWSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2ncccc2OC)C1 ZINC001008316418 737506905 /nfs/dbraw/zinc/50/69/05/737506905.db2.gz SJNZTPUTKNIPHC-CYBMUJFWSA-N 1 2 323.824 1.966 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)s1 ZINC001010644441 732974720 /nfs/dbraw/zinc/97/47/20/732974720.db2.gz PMTAHYKEQUKOSK-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)s1 ZINC001010644441 732974724 /nfs/dbraw/zinc/97/47/24/732974724.db2.gz PMTAHYKEQUKOSK-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1c(C)nnn1CC ZINC001027927979 738821834 /nfs/dbraw/zinc/82/18/34/738821834.db2.gz ZERKAQMGMABBEY-GFCCVEGCSA-N 1 2 311.817 1.553 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1c(C)nnn1CC ZINC001027927979 738821839 /nfs/dbraw/zinc/82/18/39/738821839.db2.gz ZERKAQMGMABBEY-GFCCVEGCSA-N 1 2 311.817 1.553 20 30 DDEDLO N#Cc1cnccc1NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCCC1 ZINC001104401996 734700229 /nfs/dbraw/zinc/70/02/29/734700229.db2.gz OBHZBWKCZXBPSU-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1C ZINC001121280162 782438831 /nfs/dbraw/zinc/43/88/31/782438831.db2.gz YIXRRSQDVFPOSY-CJNGLKHVSA-N 1 2 307.442 1.519 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1C ZINC001121280162 782438837 /nfs/dbraw/zinc/43/88/37/782438837.db2.gz YIXRRSQDVFPOSY-CJNGLKHVSA-N 1 2 307.442 1.519 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3CCCCO3)C2)C1 ZINC000972334312 734794782 /nfs/dbraw/zinc/79/47/82/734794782.db2.gz WQHBXIFFOMFISA-WMZOPIPTSA-N 1 2 320.433 1.272 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3CCCCO3)C2)C1 ZINC000972334312 734794787 /nfs/dbraw/zinc/79/47/87/734794787.db2.gz WQHBXIFFOMFISA-WMZOPIPTSA-N 1 2 320.433 1.272 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H]2CCN(C(=O)[C@H](C)OC)[C@@H]2C1 ZINC001075590916 738882492 /nfs/dbraw/zinc/88/24/92/738882492.db2.gz KXTHPOPNAXHEOB-SDDRHHMPSA-N 1 2 317.227 1.463 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H]2CCN(C(=O)[C@H](C)OC)[C@@H]2C1 ZINC001075590916 738882495 /nfs/dbraw/zinc/88/24/95/738882495.db2.gz KXTHPOPNAXHEOB-SDDRHHMPSA-N 1 2 317.227 1.463 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cccc(C(N)=O)c1 ZINC001027974131 738891865 /nfs/dbraw/zinc/89/18/65/738891865.db2.gz DRSUIDFCXUNDMU-CQSZACIVSA-N 1 2 321.808 1.732 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cccc(C(N)=O)c1 ZINC001027974131 738891867 /nfs/dbraw/zinc/89/18/67/738891867.db2.gz DRSUIDFCXUNDMU-CQSZACIVSA-N 1 2 321.808 1.732 20 30 DDEDLO C=C(Cl)CN1CCO[C@@]2(CCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC000972574038 735263105 /nfs/dbraw/zinc/26/31/05/735263105.db2.gz WPEPWOGKUIMEKT-HNNXBMFYSA-N 1 2 324.812 1.008 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cnn(C)c1N ZINC001024406376 735806793 /nfs/dbraw/zinc/80/67/93/735806793.db2.gz RUMDESZUSDTMNE-LLVKDONJSA-N 1 2 311.817 1.339 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cnn(C)c1N ZINC001024406376 735806798 /nfs/dbraw/zinc/80/67/98/735806798.db2.gz RUMDESZUSDTMNE-LLVKDONJSA-N 1 2 311.817 1.339 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC001024616817 735984180 /nfs/dbraw/zinc/98/41/80/735984180.db2.gz ZUKTUCJSORNBCA-GFCCVEGCSA-N 1 2 324.812 1.827 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC001024616817 735984182 /nfs/dbraw/zinc/98/41/82/735984182.db2.gz ZUKTUCJSORNBCA-GFCCVEGCSA-N 1 2 324.812 1.827 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H]1CNC(=O)c1ccc(C(N)=O)s1 ZINC001024712114 736034855 /nfs/dbraw/zinc/03/48/55/736034855.db2.gz PXBLVMKAYLSHIS-NSHDSACASA-N 1 2 305.403 1.065 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1ccc(C(N)=O)s1 ZINC001024712114 736034861 /nfs/dbraw/zinc/03/48/61/736034861.db2.gz PXBLVMKAYLSHIS-NSHDSACASA-N 1 2 305.403 1.065 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H]1CNC(=O)c1cnn(-c2cc[nH+]cc2)c1 ZINC001024734275 736055544 /nfs/dbraw/zinc/05/55/44/736055544.db2.gz UQWFDJRIOZKSJQ-KRWDZBQOSA-N 1 2 323.400 1.485 20 30 DDEDLO C#CCN1CCCC[C@H]1CNC(=O)c1cnn(-c2cc[nH+]cc2)c1 ZINC001024734275 736055552 /nfs/dbraw/zinc/05/55/52/736055552.db2.gz UQWFDJRIOZKSJQ-KRWDZBQOSA-N 1 2 323.400 1.485 20 30 DDEDLO Cc1nc(NC[C@H]2CN(C(=O)C#CC(C)(C)C)CCO2)cc[nH+]1 ZINC001111943222 736114994 /nfs/dbraw/zinc/11/49/94/736114994.db2.gz FZAHSJOLSCFALU-AWEZNQCLSA-N 1 2 316.405 1.474 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnn(C)c1CC ZINC001027994980 738920167 /nfs/dbraw/zinc/92/01/67/738920167.db2.gz CZFSMXHDQHQREE-GFCCVEGCSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnn(C)c1CC ZINC001027994980 738920177 /nfs/dbraw/zinc/92/01/77/738920177.db2.gz CZFSMXHDQHQREE-GFCCVEGCSA-N 1 2 310.829 1.929 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(Cl)cn3CC)[C@H]2C1 ZINC001083277064 736265879 /nfs/dbraw/zinc/26/58/79/736265879.db2.gz MHCOSGQCHOZAKT-LSDHHAIUSA-N 1 2 321.808 1.320 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(Cl)cn3CC)[C@H]2C1 ZINC001083277064 736265883 /nfs/dbraw/zinc/26/58/83/736265883.db2.gz MHCOSGQCHOZAKT-LSDHHAIUSA-N 1 2 321.808 1.320 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCCC[C@@H]1[C@H]1CCCN1CC#N ZINC001025287974 736408587 /nfs/dbraw/zinc/40/85/87/736408587.db2.gz IGKNGNQMTUHALM-HZPDHXFCSA-N 1 2 315.421 1.332 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001025305151 736417940 /nfs/dbraw/zinc/41/79/40/736417940.db2.gz UFWYDQMLDUOCPC-CABCVRRESA-N 1 2 301.394 1.252 20 30 DDEDLO C[C@H](CNc1ncc(C#N)cc1F)N(C)C(=O)Cn1cc[nH+]c1 ZINC001104604544 736445868 /nfs/dbraw/zinc/44/58/68/736445868.db2.gz QIUYRTLPPYUBKV-LLVKDONJSA-N 1 2 316.340 1.248 20 30 DDEDLO C[C@@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001025661125 736812375 /nfs/dbraw/zinc/81/23/75/736812375.db2.gz LMXYZCZIDBCIKR-LTDQNJCQSA-N 1 2 313.376 1.900 20 30 DDEDLO C[C@@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001025661125 736812380 /nfs/dbraw/zinc/81/23/80/736812380.db2.gz LMXYZCZIDBCIKR-LTDQNJCQSA-N 1 2 313.376 1.900 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C=C(C)C)C2)nn1 ZINC001098607841 737219101 /nfs/dbraw/zinc/21/91/01/737219101.db2.gz HCRPWJGRQCJRMC-OAHLLOKOSA-N 1 2 301.394 1.131 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H](n2cc(C[NH2+]C/C=C\Cl)nn2)C1 ZINC001098634603 738954645 /nfs/dbraw/zinc/95/46/45/738954645.db2.gz UCMVYCQDRLHVPR-YBBLEYEWSA-N 1 2 322.800 1.053 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](NC(=O)Cn2cc[nH+]c2)C(C)(C)C1 ZINC001008287453 737491467 /nfs/dbraw/zinc/49/14/67/737491467.db2.gz CLDLBDZTFQXPQS-CYBMUJFWSA-N 1 2 318.421 1.449 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)CC[C@@H](C)CC)C2)nn1 ZINC001105349697 738977168 /nfs/dbraw/zinc/97/71/68/738977168.db2.gz OMCNQUFPYZFGSW-ZDUSSCGKSA-N 1 2 303.410 1.211 20 30 DDEDLO COc1nscc1C[N@H+](C)[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027330521 738202571 /nfs/dbraw/zinc/20/25/71/738202571.db2.gz ROHIVEQMSPFFJI-WCQYABFASA-N 1 2 322.434 1.734 20 30 DDEDLO COc1nscc1C[N@@H+](C)[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027330521 738202572 /nfs/dbraw/zinc/20/25/72/738202572.db2.gz ROHIVEQMSPFFJI-WCQYABFASA-N 1 2 322.434 1.734 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001027336888 738208981 /nfs/dbraw/zinc/20/89/81/738208981.db2.gz VOMJQJBGIGHMNR-UKRRQHHQSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001027336888 738208985 /nfs/dbraw/zinc/20/89/85/738208985.db2.gz VOMJQJBGIGHMNR-UKRRQHHQSA-N 1 2 303.410 1.485 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2cc(OC)no2)C1 ZINC001107976758 751394880 /nfs/dbraw/zinc/39/48/80/751394880.db2.gz VONZWBGLICHNGY-INIZCTEOSA-N 1 2 323.393 1.009 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2cc(OC)no2)C1 ZINC001107976758 751394883 /nfs/dbraw/zinc/39/48/83/751394883.db2.gz VONZWBGLICHNGY-INIZCTEOSA-N 1 2 323.393 1.009 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnn(C)c1CC ZINC001027994981 738919939 /nfs/dbraw/zinc/91/99/39/738919939.db2.gz CZFSMXHDQHQREE-LBPRGKRZSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnn(C)c1CC ZINC001027994981 738919941 /nfs/dbraw/zinc/91/99/41/738919941.db2.gz CZFSMXHDQHQREE-LBPRGKRZSA-N 1 2 310.829 1.929 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2nccn2C)cc1 ZINC001028067455 738983633 /nfs/dbraw/zinc/98/36/33/738983633.db2.gz UTWVZMKWPCRUAC-KRWDZBQOSA-N 1 2 322.412 1.796 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2nccn2C)cc1 ZINC001028067455 738983634 /nfs/dbraw/zinc/98/36/34/738983634.db2.gz UTWVZMKWPCRUAC-KRWDZBQOSA-N 1 2 322.412 1.796 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)nn(CCC)c1C ZINC001038892841 739091296 /nfs/dbraw/zinc/09/12/96/739091296.db2.gz HFIWNCVZFBMCSN-OAHLLOKOSA-N 1 2 302.422 1.737 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)nn(CCC)c1C ZINC001038892841 739091297 /nfs/dbraw/zinc/09/12/97/739091297.db2.gz HFIWNCVZFBMCSN-OAHLLOKOSA-N 1 2 302.422 1.737 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(Cl)n(C)nc1CC ZINC001038893804 739093884 /nfs/dbraw/zinc/09/38/84/739093884.db2.gz NVOKSJJWVIOQMI-NSHDSACASA-N 1 2 308.813 1.463 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(Cl)n(C)nc1CC ZINC001038893804 739093886 /nfs/dbraw/zinc/09/38/86/739093886.db2.gz NVOKSJJWVIOQMI-NSHDSACASA-N 1 2 308.813 1.463 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1ccon1 ZINC001028195458 739147624 /nfs/dbraw/zinc/14/76/24/739147624.db2.gz NYALMDPIUIWIQG-PMPSAXMXSA-N 1 2 319.405 1.736 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1ccon1 ZINC001028195458 739147626 /nfs/dbraw/zinc/14/76/26/739147626.db2.gz NYALMDPIUIWIQG-PMPSAXMXSA-N 1 2 319.405 1.736 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098198702 739158282 /nfs/dbraw/zinc/15/82/82/739158282.db2.gz VYJPSYFKSQNTDN-YPMHNXCESA-N 1 2 320.441 1.765 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)n(C)n2)C1 ZINC001035367781 751432069 /nfs/dbraw/zinc/43/20/69/751432069.db2.gz MPAQXBPYBFPDCH-CYBMUJFWSA-N 1 2 306.410 1.160 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)n(C)n2)C1 ZINC001035367781 751432070 /nfs/dbraw/zinc/43/20/70/751432070.db2.gz MPAQXBPYBFPDCH-CYBMUJFWSA-N 1 2 306.410 1.160 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OCC)nc2)C1 ZINC001035390667 751460303 /nfs/dbraw/zinc/46/03/03/751460303.db2.gz KKXOODXKNNWBOD-CQSZACIVSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(OCC)nc2)C1 ZINC001035390667 751460305 /nfs/dbraw/zinc/46/03/05/751460305.db2.gz KKXOODXKNNWBOD-CQSZACIVSA-N 1 2 305.378 1.097 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)C3CC=CC3)[C@@H](O)C2)s1 ZINC001083379768 739747715 /nfs/dbraw/zinc/74/77/15/739747715.db2.gz XXFYBPRJVWWTCS-CABCVRRESA-N 1 2 317.414 1.247 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)C3CC=CC3)[C@@H](O)C2)s1 ZINC001083379768 739747716 /nfs/dbraw/zinc/74/77/16/739747716.db2.gz XXFYBPRJVWWTCS-CABCVRRESA-N 1 2 317.414 1.247 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@@H]2C[N@H+](CC=C)CCO2)cc1 ZINC001035420586 751479364 /nfs/dbraw/zinc/47/93/64/751479364.db2.gz ONYQNHSCGZUEKW-QGZVFWFLSA-N 1 2 316.401 1.868 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@@H]2C[N@@H+](CC=C)CCO2)cc1 ZINC001035420586 751479370 /nfs/dbraw/zinc/47/93/70/751479370.db2.gz ONYQNHSCGZUEKW-QGZVFWFLSA-N 1 2 316.401 1.868 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccccc2COC)C1 ZINC001035427065 751485684 /nfs/dbraw/zinc/48/56/84/751485684.db2.gz BJXMFGIOKBNWCJ-MRXNPFEDSA-N 1 2 318.417 1.840 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccccc2COC)C1 ZINC001035427065 751485685 /nfs/dbraw/zinc/48/56/85/751485685.db2.gz BJXMFGIOKBNWCJ-MRXNPFEDSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cncc(Cl)c2C)C1 ZINC001035404707 751489403 /nfs/dbraw/zinc/48/94/03/751489403.db2.gz PEAUHLXYLXTSNN-LBPRGKRZSA-N 1 2 309.797 1.660 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cncc(Cl)c2C)C1 ZINC001035404707 751489406 /nfs/dbraw/zinc/48/94/06/751489406.db2.gz PEAUHLXYLXTSNN-LBPRGKRZSA-N 1 2 309.797 1.660 20 30 DDEDLO Cc1nocc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032599347 751510143 /nfs/dbraw/zinc/51/01/43/751510143.db2.gz UUJCIXYXYUFKIY-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1nocc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032599347 751510150 /nfs/dbraw/zinc/51/01/50/751510150.db2.gz UUJCIXYXYUFKIY-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114899046 751531805 /nfs/dbraw/zinc/53/18/05/751531805.db2.gz LBEUGTFVMHYQNH-JYAVWHMHSA-N 1 2 318.421 1.781 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114899046 751531813 /nfs/dbraw/zinc/53/18/13/751531813.db2.gz LBEUGTFVMHYQNH-JYAVWHMHSA-N 1 2 318.421 1.781 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2coc(C(F)F)c2)C1 ZINC001035487713 751541256 /nfs/dbraw/zinc/54/12/56/751541256.db2.gz MPKXQBBPKMBAEE-NSHDSACASA-N 1 2 300.305 1.834 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2coc(C(F)F)c2)C1 ZINC001035487713 751541263 /nfs/dbraw/zinc/54/12/63/751541263.db2.gz MPKXQBBPKMBAEE-NSHDSACASA-N 1 2 300.305 1.834 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@@H](C)Nc1cc[nH+]c(C)n1 ZINC001098174181 740581775 /nfs/dbraw/zinc/58/17/75/740581775.db2.gz VECHLIRHPHTQCM-WBMJQRKESA-N 1 2 306.410 1.930 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1ccon1 ZINC001087534540 740595670 /nfs/dbraw/zinc/59/56/70/740595670.db2.gz APEJZQMNODNNAC-DZGCQCFKSA-N 1 2 313.361 1.657 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1ccon1 ZINC001087534540 740595672 /nfs/dbraw/zinc/59/56/72/740595672.db2.gz APEJZQMNODNNAC-DZGCQCFKSA-N 1 2 313.361 1.657 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2oc(C3CC3)nc2C)C1 ZINC001035538661 751585766 /nfs/dbraw/zinc/58/57/66/751585766.db2.gz RXPKTFDKDNNMDG-AWEZNQCLSA-N 1 2 317.389 1.314 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2oc(C3CC3)nc2C)C1 ZINC001035538661 751585770 /nfs/dbraw/zinc/58/57/70/751585770.db2.gz RXPKTFDKDNNMDG-AWEZNQCLSA-N 1 2 317.389 1.314 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(F)c(OC)c2)C1 ZINC001035525697 751604383 /nfs/dbraw/zinc/60/43/83/751604383.db2.gz DLCSVXJCOITJOB-CQSZACIVSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(F)c(OC)c2)C1 ZINC001035525697 751604385 /nfs/dbraw/zinc/60/43/85/751604385.db2.gz DLCSVXJCOITJOB-CQSZACIVSA-N 1 2 322.380 1.841 20 30 DDEDLO CCc1nnc(C[N@H+](C)C[C@H]2CCN(C(=O)[C@H](C)C#N)C2)s1 ZINC001029678841 741219596 /nfs/dbraw/zinc/21/95/96/741219596.db2.gz TXMJYWPIQPBGGA-VXGBXAGGSA-N 1 2 321.450 1.540 20 30 DDEDLO CCc1nnc(C[N@@H+](C)C[C@H]2CCN(C(=O)[C@H](C)C#N)C2)s1 ZINC001029678841 741219598 /nfs/dbraw/zinc/21/95/98/741219598.db2.gz TXMJYWPIQPBGGA-VXGBXAGGSA-N 1 2 321.450 1.540 20 30 DDEDLO C=C(C)C(=O)OCCNc1nc2[nH]c(C(=O)OCC)cc2c[nH+]1 ZINC001168070411 741230208 /nfs/dbraw/zinc/23/02/08/741230208.db2.gz MBZJJDVTTZHPOW-UHFFFAOYSA-N 1 2 318.333 1.617 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2[C@@H]3c4ccccc4C[C@H]23)C1 ZINC001035535298 751617455 /nfs/dbraw/zinc/61/74/55/751617455.db2.gz HOAXKTCWNXZPSP-BZZMCLGOSA-N 1 2 312.413 1.575 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2[C@@H]3c4ccccc4C[C@H]23)C1 ZINC001035535298 751617457 /nfs/dbraw/zinc/61/74/57/751617457.db2.gz HOAXKTCWNXZPSP-BZZMCLGOSA-N 1 2 312.413 1.575 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@]2(C1)CCC[N@H+](Cc1cnon1)C2 ZINC001040125399 741374017 /nfs/dbraw/zinc/37/40/17/741374017.db2.gz DXRCLFGGSMLXAW-SWLSCSKDSA-N 1 2 303.366 1.044 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@]2(C1)CCC[N@@H+](Cc1cnon1)C2 ZINC001040125399 741374020 /nfs/dbraw/zinc/37/40/20/741374020.db2.gz DXRCLFGGSMLXAW-SWLSCSKDSA-N 1 2 303.366 1.044 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn3ccccc23)C1 ZINC001035548280 751631968 /nfs/dbraw/zinc/63/19/68/751631968.db2.gz RIAPFXVQTNPWHS-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn3ccccc23)C1 ZINC001035548280 751631971 /nfs/dbraw/zinc/63/19/71/751631971.db2.gz RIAPFXVQTNPWHS-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3cccnc3c2)C1 ZINC001035549914 751633304 /nfs/dbraw/zinc/63/33/04/751633304.db2.gz ZHCDHZCUCGWSIM-INIZCTEOSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3cccnc3c2)C1 ZINC001035549914 751633308 /nfs/dbraw/zinc/63/33/08/751633308.db2.gz ZHCDHZCUCGWSIM-INIZCTEOSA-N 1 2 311.385 1.851 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3ccncc32)C1 ZINC001035562979 751645095 /nfs/dbraw/zinc/64/50/95/751645095.db2.gz PBQCGYLYAGIKMK-MRXNPFEDSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccc3ccncc32)C1 ZINC001035562979 751645101 /nfs/dbraw/zinc/64/51/01/751645101.db2.gz PBQCGYLYAGIKMK-MRXNPFEDSA-N 1 2 323.396 1.689 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098740931 741576041 /nfs/dbraw/zinc/57/60/41/741576041.db2.gz WJXNMLOIMCSHLI-AWEZNQCLSA-N 1 2 323.416 1.713 20 30 DDEDLO CCn1ncnc1C[N@H+](C)CC1CCN(C(=O)[C@H](C)C#N)CC1 ZINC001029946469 741635466 /nfs/dbraw/zinc/63/54/66/741635466.db2.gz NQZYRCVETZHDFA-CYBMUJFWSA-N 1 2 318.425 1.128 20 30 DDEDLO CCn1ncnc1C[N@@H+](C)CC1CCN(C(=O)[C@H](C)C#N)CC1 ZINC001029946469 741635467 /nfs/dbraw/zinc/63/54/67/741635467.db2.gz NQZYRCVETZHDFA-CYBMUJFWSA-N 1 2 318.425 1.128 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1ccn(C)n1 ZINC001088529058 741701913 /nfs/dbraw/zinc/70/19/13/741701913.db2.gz BOIJIJMFPRQZKM-RISCZKNCSA-N 1 2 312.377 1.013 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1ccn(C)n1 ZINC001088529058 741701914 /nfs/dbraw/zinc/70/19/14/741701914.db2.gz BOIJIJMFPRQZKM-RISCZKNCSA-N 1 2 312.377 1.013 20 30 DDEDLO Cc1ccc(C#N)c(N(C)CCN(C)C(=O)Cc2[nH]cc[nH+]2)n1 ZINC001105454291 741785136 /nfs/dbraw/zinc/78/51/36/741785136.db2.gz UXTDLIAADGXKGR-UHFFFAOYSA-N 1 2 312.377 1.122 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](C)c2ccnn2C)[C@H]1C ZINC001088575446 741865912 /nfs/dbraw/zinc/86/59/12/741865912.db2.gz RMSWQZWQILRKSZ-UPJWGTAASA-N 1 2 310.829 1.855 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)c2ccnn2C)[C@H]1C ZINC001088575446 741865918 /nfs/dbraw/zinc/86/59/18/741865918.db2.gz RMSWQZWQILRKSZ-UPJWGTAASA-N 1 2 310.829 1.855 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000226156234 741870988 /nfs/dbraw/zinc/87/09/88/741870988.db2.gz YXIPUOHFWVRGDW-GFCCVEGCSA-N 1 2 304.375 1.430 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)[C@H]1C ZINC001088605748 741931280 /nfs/dbraw/zinc/93/12/80/741931280.db2.gz FUJJITYWOJOBBR-ZJUUUORDSA-N 1 2 320.784 1.298 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)[C@H]1C ZINC001088605748 741931282 /nfs/dbraw/zinc/93/12/82/741931282.db2.gz FUJJITYWOJOBBR-ZJUUUORDSA-N 1 2 320.784 1.298 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1C[C@@H](NC(=O)[C@H](C)C#N)[C@H](OC)C1 ZINC001212044872 741951873 /nfs/dbraw/zinc/95/18/73/741951873.db2.gz BVXNFVUADZPGIH-WDBKCZKBSA-N 1 2 320.393 1.021 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1C[C@@H](NC(=O)[C@H](C)C#N)[C@H](OC)C1 ZINC001212044872 741951875 /nfs/dbraw/zinc/95/18/75/741951875.db2.gz BVXNFVUADZPGIH-WDBKCZKBSA-N 1 2 320.393 1.021 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc3n2CCC3)[C@H]1C ZINC001088631483 742028322 /nfs/dbraw/zinc/02/83/22/742028322.db2.gz NDERHIZKGYQDAG-NEPJUHHUSA-N 1 2 308.813 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc3n2CCC3)[C@H]1C ZINC001088631483 742028325 /nfs/dbraw/zinc/02/83/25/742028325.db2.gz NDERHIZKGYQDAG-NEPJUHHUSA-N 1 2 308.813 1.774 20 30 DDEDLO CN(CCN(C)c1ccc(C#N)cn1)C(=O)CCn1cc[nH+]c1 ZINC001105520885 742101763 /nfs/dbraw/zinc/10/17/63/742101763.db2.gz RXNAKRFAZXMODK-UHFFFAOYSA-N 1 2 312.377 1.135 20 30 DDEDLO CN(CCN(C)c1ccc(C#N)cn1)C(=O)CCc1c[nH]c[nH+]1 ZINC001105588760 742132183 /nfs/dbraw/zinc/13/21/83/742132183.db2.gz LULOGTSCNNRMPB-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO CN(CCN(C)c1ccc(C#N)cn1)C(=O)CCc1c[nH+]c[nH]1 ZINC001105588760 742132191 /nfs/dbraw/zinc/13/21/91/742132191.db2.gz LULOGTSCNNRMPB-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO Cc1nc(NC[C@@H]2CCC[C@H]2NC(=O)CSCC#N)cc[nH+]1 ZINC001105638981 742237736 /nfs/dbraw/zinc/23/77/36/742237736.db2.gz MLVPQZXJMOMAKH-QWHCGFSZSA-N 1 2 319.434 1.739 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2c(F)c(F)cc(F)c2F)[C@@H](O)C1 ZINC001083479396 742332258 /nfs/dbraw/zinc/33/22/58/742332258.db2.gz KEFANSIERRCHIH-ZJUUUORDSA-N 1 2 318.270 1.204 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2c(F)c(F)cc(F)c2F)[C@@H](O)C1 ZINC001083479396 742332262 /nfs/dbraw/zinc/33/22/62/742332262.db2.gz KEFANSIERRCHIH-ZJUUUORDSA-N 1 2 318.270 1.204 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2scc(C)c2Cl)[C@@H](O)C1 ZINC001083486457 742364877 /nfs/dbraw/zinc/36/48/77/742364877.db2.gz AJRCVOHEWKVOFI-ZJUUUORDSA-N 1 2 300.811 1.671 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2scc(C)c2Cl)[C@@H](O)C1 ZINC001083486457 742364880 /nfs/dbraw/zinc/36/48/80/742364880.db2.gz AJRCVOHEWKVOFI-ZJUUUORDSA-N 1 2 300.811 1.671 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001076558490 742787661 /nfs/dbraw/zinc/78/76/61/742787661.db2.gz XCIQVOGQKZZLTN-XJKSGUPXSA-N 1 2 311.389 1.680 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001076558490 742787664 /nfs/dbraw/zinc/78/76/64/742787664.db2.gz XCIQVOGQKZZLTN-XJKSGUPXSA-N 1 2 311.389 1.680 20 30 DDEDLO C#CCC1(C(=O)NCC[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001076833746 743025001 /nfs/dbraw/zinc/02/50/01/743025001.db2.gz QLOLTWMJPFRMKL-ZDUSSCGKSA-N 1 2 316.405 1.157 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)C1=CCCC1 ZINC001076876114 743059753 /nfs/dbraw/zinc/05/97/53/743059753.db2.gz BCEHUDZLSCGASO-QZTJIDSGSA-N 1 2 310.397 1.310 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)C1=CCCC1 ZINC001076876114 743059767 /nfs/dbraw/zinc/05/97/67/743059767.db2.gz BCEHUDZLSCGASO-QZTJIDSGSA-N 1 2 310.397 1.310 20 30 DDEDLO N#Cc1ccccc1OCCCNC(=O)Cc1cc[nH+]c(N)c1 ZINC001181279229 743134801 /nfs/dbraw/zinc/13/48/01/743134801.db2.gz ILLGDXVBBZAICU-UHFFFAOYSA-N 1 2 310.357 1.663 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001077140432 743272076 /nfs/dbraw/zinc/27/20/76/743272076.db2.gz OESSLRKYEPYPOY-BFHYXJOUSA-N 1 2 318.421 1.881 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001077140432 743272080 /nfs/dbraw/zinc/27/20/80/743272080.db2.gz OESSLRKYEPYPOY-BFHYXJOUSA-N 1 2 318.421 1.881 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)CCCn1cc[nH+]c1 ZINC001077169319 743290199 /nfs/dbraw/zinc/29/01/99/743290199.db2.gz ALZFJLXTXDFTNM-HNNXBMFYSA-N 1 2 318.421 1.334 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C3CC3)[nH]c2C2CC2)C1 ZINC001077226417 743337958 /nfs/dbraw/zinc/33/79/58/743337958.db2.gz PRXZIMGYZUFNRP-HZPDHXFCSA-N 1 2 313.401 1.178 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C3CC3)[nH]c2C2CC2)C1 ZINC001077226417 743337970 /nfs/dbraw/zinc/33/79/70/743337970.db2.gz PRXZIMGYZUFNRP-HZPDHXFCSA-N 1 2 313.401 1.178 20 30 DDEDLO CCC(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001089981174 743368874 /nfs/dbraw/zinc/36/88/74/743368874.db2.gz PKRBPUCFPPTIRW-GJZGRUSLSA-N 1 2 305.353 1.159 20 30 DDEDLO CCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001089981174 743368882 /nfs/dbraw/zinc/36/88/82/743368882.db2.gz PKRBPUCFPPTIRW-GJZGRUSLSA-N 1 2 305.353 1.159 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2sccc2C)[C@@H](O)C1 ZINC001089982488 743432615 /nfs/dbraw/zinc/43/26/15/743432615.db2.gz ARWNUKQVDPGCLP-NEPJUHHUSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2sccc2C)[C@@H](O)C1 ZINC001089982488 743432623 /nfs/dbraw/zinc/43/26/23/743432623.db2.gz ARWNUKQVDPGCLP-NEPJUHHUSA-N 1 2 314.838 1.974 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C3CC3)n(C)n2)C1 ZINC001107985485 751827193 /nfs/dbraw/zinc/82/71/93/751827193.db2.gz LJXJHUYROHJSKP-QGZVFWFLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C3CC3)n(C)n2)C1 ZINC001107985485 751827198 /nfs/dbraw/zinc/82/71/98/751827198.db2.gz LJXJHUYROHJSKP-QGZVFWFLSA-N 1 2 318.421 1.304 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)C(C)(C)C)[C@H](OC)C1 ZINC001212469731 743499453 /nfs/dbraw/zinc/49/94/53/743499453.db2.gz KFMLFWGXDGZECJ-RRFJBIMHSA-N 1 2 310.438 1.134 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)C(C)(C)C)[C@H](OC)C1 ZINC001212469731 743499457 /nfs/dbraw/zinc/49/94/57/743499457.db2.gz KFMLFWGXDGZECJ-RRFJBIMHSA-N 1 2 310.438 1.134 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001061175232 743529807 /nfs/dbraw/zinc/52/98/07/743529807.db2.gz GYZVJPFQRIZZMR-IAQYHMDHSA-N 1 2 315.421 1.989 20 30 DDEDLO C#CCCOc1ccc(C(=O)NCC[NH2+]Cc2ncccn2)cc1 ZINC001127008497 743530273 /nfs/dbraw/zinc/53/02/73/743530273.db2.gz OBMCCFRBVAAAFU-UHFFFAOYSA-N 1 2 324.384 1.398 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sc(C)nc2CC(C)C)[C@@H](O)C1 ZINC001083609257 743539683 /nfs/dbraw/zinc/53/96/83/743539683.db2.gz MQJODXWAVAHBTG-KGLIPLIRSA-N 1 2 323.462 1.611 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sc(C)nc2CC(C)C)[C@@H](O)C1 ZINC001083609257 743539689 /nfs/dbraw/zinc/53/96/89/743539689.db2.gz MQJODXWAVAHBTG-KGLIPLIRSA-N 1 2 323.462 1.611 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(Cl)o1)C2 ZINC001110282901 743582964 /nfs/dbraw/zinc/58/29/64/743582964.db2.gz XUAOANFSFJZYCJ-UPJWGTAASA-N 1 2 322.792 1.918 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(Cl)o1)C2 ZINC001110282901 743582967 /nfs/dbraw/zinc/58/29/67/743582967.db2.gz XUAOANFSFJZYCJ-UPJWGTAASA-N 1 2 322.792 1.918 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC[C@@H](C)OC)CC2)C1 ZINC001105703735 743596023 /nfs/dbraw/zinc/59/60/23/743596023.db2.gz HITZAMYVWCXCNX-CQSZACIVSA-N 1 2 310.438 1.679 20 30 DDEDLO Cc1ncc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)CSCC#N)C3)o1 ZINC001110300966 743628569 /nfs/dbraw/zinc/62/85/69/743628569.db2.gz UVQLHWTVACCSQW-BNOWGMLFSA-N 1 2 320.418 1.461 20 30 DDEDLO Cc1ncc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)CSCC#N)C3)o1 ZINC001110300966 743628576 /nfs/dbraw/zinc/62/85/76/743628576.db2.gz UVQLHWTVACCSQW-BNOWGMLFSA-N 1 2 320.418 1.461 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C=C(\C)CC)C2)nn1 ZINC001098673037 743653507 /nfs/dbraw/zinc/65/35/07/743653507.db2.gz LUIOIDXELXCFFR-DKGMDFAASA-N 1 2 315.421 1.521 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCCN2C(=O)Cn2cc[nH+]c2)nc1 ZINC001060257909 743743843 /nfs/dbraw/zinc/74/38/43/743743843.db2.gz ULPPJPNCRFBSKS-HNNXBMFYSA-N 1 2 324.388 1.643 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](OC)[C@H](C)CC)CC2)C1 ZINC001105714376 743747963 /nfs/dbraw/zinc/74/79/63/743747963.db2.gz WTUYFHFBVOURCG-PBHICJAKSA-N 1 2 324.465 1.925 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@@H]3CC3(C)C)C2)nn1 ZINC001185915895 744313955 /nfs/dbraw/zinc/31/39/55/744313955.db2.gz MLGVEYWPLIXYNF-CABCVRRESA-N 1 2 315.421 1.211 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C(C)(C)C)C2)nn1 ZINC001186019800 744327179 /nfs/dbraw/zinc/32/71/79/744327179.db2.gz VXTQFZWKBBBKLD-HNNXBMFYSA-N 1 2 317.437 1.601 20 30 DDEDLO Cc1cccn2cc(CC(=O)N[C@H]3CCN(CC#N)[C@@H]3C)[nH+]c12 ZINC001088921099 744369791 /nfs/dbraw/zinc/36/97/91/744369791.db2.gz POBBHKWHPJDNJP-HIFRSBDPSA-N 1 2 311.389 1.288 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H]2CCN(C(=O)COCC)[C@@H]2C1 ZINC001186716634 744434970 /nfs/dbraw/zinc/43/49/70/744434970.db2.gz YRKZIESZMRAKDH-NWDGAFQWSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H]2CCN(C(=O)COCC)[C@@H]2C1 ZINC001186716634 744434973 /nfs/dbraw/zinc/43/49/73/744434973.db2.gz YRKZIESZMRAKDH-NWDGAFQWSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cnc3cc(OC)ccn32)C1 ZINC001030679422 744438768 /nfs/dbraw/zinc/43/87/68/744438768.db2.gz GAICPPVMYFXFPO-UHFFFAOYSA-N 1 2 300.362 1.333 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001187233277 744521411 /nfs/dbraw/zinc/52/14/11/744521411.db2.gz OTSBGUUVUGPTTD-QWHCGFSZSA-N 1 2 304.394 1.631 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001187233277 744521413 /nfs/dbraw/zinc/52/14/13/744521413.db2.gz OTSBGUUVUGPTTD-QWHCGFSZSA-N 1 2 304.394 1.631 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001187209153 744527385 /nfs/dbraw/zinc/52/73/85/744527385.db2.gz KTCWHPPFRMVLAR-KWCYVHTRSA-N 1 2 304.394 1.938 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001187209153 744527389 /nfs/dbraw/zinc/52/73/89/744527389.db2.gz KTCWHPPFRMVLAR-KWCYVHTRSA-N 1 2 304.394 1.938 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)Cc3ccsc3)CC2)C1 ZINC001045999956 744578115 /nfs/dbraw/zinc/57/81/15/744578115.db2.gz UUXYPVFOKNLDRJ-HNNXBMFYSA-N 1 2 318.446 1.033 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2C[N@@H+](Cc3nocc3C)C[C@H]21 ZINC001187762680 744607052 /nfs/dbraw/zinc/60/70/52/744607052.db2.gz FGIJTUOYDGLPFR-YSVLISHTSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2C[N@H+](Cc3nocc3C)C[C@H]21 ZINC001187762680 744607055 /nfs/dbraw/zinc/60/70/55/744607055.db2.gz FGIJTUOYDGLPFR-YSVLISHTSA-N 1 2 319.405 1.343 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2c(C)nc(C(C)C)[nH]c2=O)C1 ZINC001030776304 744611025 /nfs/dbraw/zinc/61/10/25/744611025.db2.gz WITKLLOBQDFQKF-UHFFFAOYSA-N 1 2 302.378 1.051 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@@H]2CCN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001187840275 744616127 /nfs/dbraw/zinc/61/61/27/744616127.db2.gz CKHMEUUXCDTOFL-UONOGXRCSA-N 1 2 318.446 1.539 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@@H]2CCN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001187840275 744616130 /nfs/dbraw/zinc/61/61/30/744616130.db2.gz CKHMEUUXCDTOFL-UONOGXRCSA-N 1 2 318.446 1.539 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)o1 ZINC001187849474 744618515 /nfs/dbraw/zinc/61/85/15/744618515.db2.gz OPHLHJHTYBMOFM-VHDGCEQUSA-N 1 2 316.405 1.631 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)o1 ZINC001187849474 744618518 /nfs/dbraw/zinc/61/85/18/744618518.db2.gz OPHLHJHTYBMOFM-VHDGCEQUSA-N 1 2 316.405 1.631 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3cccnc3)C[C@H]2O)cc1 ZINC001077493553 744619737 /nfs/dbraw/zinc/61/97/37/744619737.db2.gz AVYDKZBMZXDIHQ-QZTJIDSGSA-N 1 2 321.380 1.038 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3cccnc3)C[C@H]2O)cc1 ZINC001077493553 744619739 /nfs/dbraw/zinc/61/97/39/744619739.db2.gz AVYDKZBMZXDIHQ-QZTJIDSGSA-N 1 2 321.380 1.038 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001188310353 744688093 /nfs/dbraw/zinc/68/80/93/744688093.db2.gz UJPZXLFMKHGIBE-WCQYABFASA-N 1 2 320.393 1.229 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)COCC)C1 ZINC001188727111 744757774 /nfs/dbraw/zinc/75/77/74/744757774.db2.gz PKBQVDRFODXOHR-LLVKDONJSA-N 1 2 305.216 1.464 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)COCC)C1 ZINC001188727111 744757775 /nfs/dbraw/zinc/75/77/75/744757775.db2.gz PKBQVDRFODXOHR-LLVKDONJSA-N 1 2 305.216 1.464 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C#N)C2 ZINC001110401412 744758761 /nfs/dbraw/zinc/75/87/61/744758761.db2.gz CDFUBCILRIAAHM-MYPMTAMASA-N 1 2 320.437 1.172 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C#N)C2 ZINC001110401412 744758763 /nfs/dbraw/zinc/75/87/63/744758763.db2.gz CDFUBCILRIAAHM-MYPMTAMASA-N 1 2 320.437 1.172 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H](C)OCC)C1 ZINC001189002640 744808381 /nfs/dbraw/zinc/80/83/81/744808381.db2.gz IYFNUHBIPDJJES-NWDGAFQWSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@H](C)OCC)C1 ZINC001189002640 744808383 /nfs/dbraw/zinc/80/83/83/744808383.db2.gz IYFNUHBIPDJJES-NWDGAFQWSA-N 1 2 319.243 1.853 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(NC(=O)c3cccc4nc[nH]c43)CCC[C@@H]12 ZINC000992365979 744825856 /nfs/dbraw/zinc/82/58/56/744825856.db2.gz KDZFARHAQDHDKX-QAPCUYQASA-N 1 2 308.385 1.923 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(NC(=O)c3cccc4nc[nH]c43)CCC[C@@H]12 ZINC000992365979 744825858 /nfs/dbraw/zinc/82/58/58/744825858.db2.gz KDZFARHAQDHDKX-QAPCUYQASA-N 1 2 308.385 1.923 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2nc(C)no2)C1 ZINC001189370167 744877615 /nfs/dbraw/zinc/87/76/15/744877615.db2.gz IDDPRDOQCCOFJU-YPMHNXCESA-N 1 2 308.382 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2nc(C)no2)C1 ZINC001189370167 744877617 /nfs/dbraw/zinc/87/76/17/744877617.db2.gz IDDPRDOQCCOFJU-YPMHNXCESA-N 1 2 308.382 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C3CC3)o2)C1 ZINC001189371384 744878284 /nfs/dbraw/zinc/87/82/84/744878284.db2.gz QGIMPKCRASGZNE-AWEZNQCLSA-N 1 2 319.405 1.787 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C3CC3)o2)C1 ZINC001189371384 744878286 /nfs/dbraw/zinc/87/82/86/744878286.db2.gz QGIMPKCRASGZNE-AWEZNQCLSA-N 1 2 319.405 1.787 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001189364704 744886897 /nfs/dbraw/zinc/88/68/97/744886897.db2.gz UHDTXYGUXXLSCW-WCQYABFASA-N 1 2 308.382 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001189364704 744886899 /nfs/dbraw/zinc/88/68/99/744886899.db2.gz UHDTXYGUXXLSCW-WCQYABFASA-N 1 2 308.382 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001189364702 744887045 /nfs/dbraw/zinc/88/70/45/744887045.db2.gz UHDTXYGUXXLSCW-DGCLKSJQSA-N 1 2 308.382 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001189364702 744887046 /nfs/dbraw/zinc/88/70/46/744887046.db2.gz UHDTXYGUXXLSCW-DGCLKSJQSA-N 1 2 308.382 1.174 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001189917156 745031403 /nfs/dbraw/zinc/03/14/03/745031403.db2.gz DSSFUUQRMXMPNS-LRDDRELGSA-N 1 2 323.393 1.043 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001189917156 745031408 /nfs/dbraw/zinc/03/14/08/745031408.db2.gz DSSFUUQRMXMPNS-LRDDRELGSA-N 1 2 323.393 1.043 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)Cc2csc(C)n2)C1 ZINC001190112926 745118821 /nfs/dbraw/zinc/11/88/21/745118821.db2.gz GSTLSHBSGKCMCF-OAHLLOKOSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2csc(C)n2)C1 ZINC001190112926 745118826 /nfs/dbraw/zinc/11/88/26/745118826.db2.gz GSTLSHBSGKCMCF-OAHLLOKOSA-N 1 2 321.446 1.524 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccnn2C2CCOCC2)C1 ZINC001031075679 745312857 /nfs/dbraw/zinc/31/28/57/745312857.db2.gz QHABICRLDJHBDD-UHFFFAOYSA-N 1 2 304.394 1.225 20 30 DDEDLO C=CCC1(S(=O)(=O)N2CC[NH+](CCC(C)(C)O)CC2)CC1 ZINC001190804452 745337870 /nfs/dbraw/zinc/33/78/70/745337870.db2.gz JAKPAFKQBNFTCT-UHFFFAOYSA-N 1 2 316.467 1.204 20 30 DDEDLO CCCCCCCCNC(=O)N1CC[N@H+](C)C[C@H]1C(=O)OC ZINC001191068658 745417296 /nfs/dbraw/zinc/41/72/96/745417296.db2.gz TXXNDCZLDSGOJH-AWEZNQCLSA-N 1 2 313.442 1.846 20 30 DDEDLO CCCCCCCCNC(=O)N1CC[N@@H+](C)C[C@H]1C(=O)OC ZINC001191068658 745417301 /nfs/dbraw/zinc/41/73/01/745417301.db2.gz TXXNDCZLDSGOJH-AWEZNQCLSA-N 1 2 313.442 1.846 20 30 DDEDLO CCC[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191898624 745642000 /nfs/dbraw/zinc/64/20/00/745642000.db2.gz OJDXKTPKNMRRQE-KBAYOESNSA-N 1 2 314.429 1.636 20 30 DDEDLO CCC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191898624 745642004 /nfs/dbraw/zinc/64/20/04/745642004.db2.gz OJDXKTPKNMRRQE-KBAYOESNSA-N 1 2 314.429 1.636 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@H]1O ZINC001192752190 745893703 /nfs/dbraw/zinc/89/37/03/745893703.db2.gz VIDPVVKRXKLFFG-HZPDHXFCSA-N 1 2 320.820 1.661 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@H]1O ZINC001192752190 745893705 /nfs/dbraw/zinc/89/37/05/745893705.db2.gz VIDPVVKRXKLFFG-HZPDHXFCSA-N 1 2 320.820 1.661 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1ncccc1C#N)NC(=O)Cn1cc[nH+]c1 ZINC001089346947 745992538 /nfs/dbraw/zinc/99/25/38/745992538.db2.gz SAQFDNOMUIKPRZ-STQMWFEESA-N 1 2 312.377 1.545 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NCc1c[nH+]cn1Cc1cccc(F)c1 ZINC001193179082 746020429 /nfs/dbraw/zinc/02/04/29/746020429.db2.gz XOEAZAHSDRYTIT-LLVKDONJSA-N 1 2 322.365 1.402 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cc(OC)ncn2)[C@@H]1C ZINC000993368017 746039677 /nfs/dbraw/zinc/03/96/77/746039677.db2.gz ROGHKVFLQIRASE-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cc(OC)ncn2)[C@@H]1C ZINC000993368017 746039681 /nfs/dbraw/zinc/03/96/81/746039681.db2.gz ROGHKVFLQIRASE-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2snnc2C2CC2)[C@H]1C ZINC000993456751 746171193 /nfs/dbraw/zinc/17/11/93/746171193.db2.gz BVYLVENMBBEAQU-PWSUYJOCSA-N 1 2 304.419 1.631 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2snnc2C2CC2)[C@H]1C ZINC000993456751 746171196 /nfs/dbraw/zinc/17/11/96/746171196.db2.gz BVYLVENMBBEAQU-PWSUYJOCSA-N 1 2 304.419 1.631 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2c[nH]c(=O)n2C)[C@H]1C ZINC000993696497 746293063 /nfs/dbraw/zinc/29/30/63/746293063.db2.gz CAYNMPFLCVOANR-MNOVXSKESA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(=O)n2C)[C@H]1C ZINC000993696497 746293064 /nfs/dbraw/zinc/29/30/64/746293064.db2.gz CAYNMPFLCVOANR-MNOVXSKESA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2ccc(C)n2)[C@H]1C ZINC000993862414 746347199 /nfs/dbraw/zinc/34/71/99/746347199.db2.gz ZHUPQLMKGHXUDM-ZIAGYGMSSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2ccc(C)n2)[C@H]1C ZINC000993862414 746347204 /nfs/dbraw/zinc/34/72/04/746347204.db2.gz ZHUPQLMKGHXUDM-ZIAGYGMSSA-N 1 2 310.829 1.913 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CCC(F)(F)F)CC1 ZINC001195428023 746611191 /nfs/dbraw/zinc/61/11/91/746611191.db2.gz YNEODYMLTBQYNL-UHFFFAOYSA-N 1 2 321.343 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CCC(F)(F)F)CC1 ZINC001195428023 746611194 /nfs/dbraw/zinc/61/11/94/746611194.db2.gz YNEODYMLTBQYNL-UHFFFAOYSA-N 1 2 321.343 1.165 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CC2(C)CCCC2)CC1 ZINC001195710364 746683427 /nfs/dbraw/zinc/68/34/27/746683427.db2.gz PVHCVUGQRYEXCW-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CC2(C)CCCC2)CC1 ZINC001195710364 746683429 /nfs/dbraw/zinc/68/34/29/746683429.db2.gz PVHCVUGQRYEXCW-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3ocnc3C)C[C@H]2O)CCC1 ZINC001195939679 746731427 /nfs/dbraw/zinc/73/14/27/746731427.db2.gz DTMYJKQKXSLDJR-ZIAGYGMSSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3ocnc3C)C[C@H]2O)CCC1 ZINC001195939679 746731430 /nfs/dbraw/zinc/73/14/30/746731430.db2.gz DTMYJKQKXSLDJR-ZIAGYGMSSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1O ZINC001195924428 746738445 /nfs/dbraw/zinc/73/84/45/746738445.db2.gz VWAVVWURRHMWJT-IOASZLSFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1O ZINC001195924428 746738446 /nfs/dbraw/zinc/73/84/46/746738446.db2.gz VWAVVWURRHMWJT-IOASZLSFSA-N 1 2 307.394 1.247 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCC(C)(C)CN1CC#N ZINC001099323811 746837756 /nfs/dbraw/zinc/83/77/56/746837756.db2.gz BAZPHMITIJCRCG-ZDUSSCGKSA-N 1 2 303.410 1.391 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC)Cc2ccccc2)C1 ZINC001196509267 746880276 /nfs/dbraw/zinc/88/02/76/746880276.db2.gz IYDGFIJLCXLYGH-BRWVUGGUSA-N 1 2 300.402 1.050 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC)Cc2ccccc2)C1 ZINC001196509267 746880279 /nfs/dbraw/zinc/88/02/79/746880279.db2.gz IYDGFIJLCXLYGH-BRWVUGGUSA-N 1 2 300.402 1.050 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001112416535 746988807 /nfs/dbraw/zinc/98/88/07/746988807.db2.gz FLGYJNCPJXOHQL-UKRRQHHQSA-N 1 2 318.421 1.709 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)CC)CC1 ZINC001196984853 747024949 /nfs/dbraw/zinc/02/49/49/747024949.db2.gz STRKEPPFZONDDO-HNNXBMFYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)CC)CC1 ZINC001196984853 747024957 /nfs/dbraw/zinc/02/49/57/747024957.db2.gz STRKEPPFZONDDO-HNNXBMFYSA-N 1 2 309.454 1.792 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)C3CC3)[C@@H](O)C2)c(F)c1 ZINC001089993673 747037004 /nfs/dbraw/zinc/03/70/04/747037004.db2.gz RQSFRKZYRYUSJH-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)C3CC3)[C@@H](O)C2)c(F)c1 ZINC001089993673 747037012 /nfs/dbraw/zinc/03/70/12/747037012.db2.gz RQSFRKZYRYUSJH-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)[C@@H]2CCCOC2)C1 ZINC001031576686 747085621 /nfs/dbraw/zinc/08/56/21/747085621.db2.gz CTGVJGLQIQGTGX-QGZVFWFLSA-N 1 2 313.401 1.533 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@H](NC(=O)C3CC3)[C@@H](O)C2)c1 ZINC001089995225 747098359 /nfs/dbraw/zinc/09/83/59/747098359.db2.gz ZZJRHHSDIBAVPU-HOTGVXAUSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@H](NC(=O)C3CC3)[C@@H](O)C2)c1 ZINC001089995225 747098368 /nfs/dbraw/zinc/09/83/68/747098368.db2.gz ZZJRHHSDIBAVPU-HOTGVXAUSA-N 1 2 317.364 1.159 20 30 DDEDLO Cn1ccc(C(=O)NCC2C[NH+](Cc3ccc(C#N)s3)C2)c1 ZINC001031608578 747180973 /nfs/dbraw/zinc/18/09/73/747180973.db2.gz PPRZAZIZGQSHMK-UHFFFAOYSA-N 1 2 314.414 1.820 20 30 DDEDLO CC(C)(F)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001090002213 747194281 /nfs/dbraw/zinc/19/42/81/747194281.db2.gz QKLPHRZEHUFAGT-LSDHHAIUSA-N 1 2 319.380 1.358 20 30 DDEDLO CC(C)(F)C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001090002213 747194284 /nfs/dbraw/zinc/19/42/84/747194284.db2.gz QKLPHRZEHUFAGT-LSDHHAIUSA-N 1 2 319.380 1.358 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1snnc1C ZINC001110494793 747233711 /nfs/dbraw/zinc/23/37/11/747233711.db2.gz YHYBXIHSRRNMHD-MCIONIFRSA-N 1 2 318.446 1.872 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1snnc1C ZINC001110494793 747233714 /nfs/dbraw/zinc/23/37/14/747233714.db2.gz YHYBXIHSRRNMHD-MCIONIFRSA-N 1 2 318.446 1.872 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)C2CCCC2)CC1 ZINC001197768327 747260101 /nfs/dbraw/zinc/26/01/01/747260101.db2.gz LVYIAYHJZXDRDI-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)C2CCCC2)CC1 ZINC001197768327 747260103 /nfs/dbraw/zinc/26/01/03/747260103.db2.gz LVYIAYHJZXDRDI-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cccs2)[C@@H](O)C1 ZINC001090020209 747331891 /nfs/dbraw/zinc/33/18/91/747331891.db2.gz KUYPELGTAGIWGB-STQMWFEESA-N 1 2 314.838 1.595 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cccs2)[C@@H](O)C1 ZINC001090020209 747331893 /nfs/dbraw/zinc/33/18/93/747331893.db2.gz KUYPELGTAGIWGB-STQMWFEESA-N 1 2 314.838 1.595 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cnn3ncccc23)C1 ZINC001007508587 752179606 /nfs/dbraw/zinc/17/96/06/752179606.db2.gz RYBPDARLNMUXEU-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cnn3ncccc23)C1 ZINC001007508587 752179609 /nfs/dbraw/zinc/17/96/09/752179609.db2.gz RYBPDARLNMUXEU-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cnoc3C)C[C@@H]2O)C1 ZINC001083762867 747459712 /nfs/dbraw/zinc/45/97/12/747459712.db2.gz ROSILDPBTDKGQN-KGLIPLIRSA-N 1 2 305.378 1.001 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cnoc3C)C[C@@H]2O)C1 ZINC001083762867 747459718 /nfs/dbraw/zinc/45/97/18/747459718.db2.gz ROSILDPBTDKGQN-KGLIPLIRSA-N 1 2 305.378 1.001 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc3nccn3c2C)C1 ZINC001007515983 752184287 /nfs/dbraw/zinc/18/42/87/752184287.db2.gz XTORFXXLRYLUMA-CYBMUJFWSA-N 1 2 316.430 1.922 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2sc3nccn3c2C)C1 ZINC001007515983 752184291 /nfs/dbraw/zinc/18/42/91/752184291.db2.gz XTORFXXLRYLUMA-CYBMUJFWSA-N 1 2 316.430 1.922 20 30 DDEDLO CCN(c1ncccc1C#N)[C@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001089754569 747735796 /nfs/dbraw/zinc/73/57/96/747735796.db2.gz MNAFNKQUBZDNTA-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)noc2C)[C@H](O)C1 ZINC001090061831 747950538 /nfs/dbraw/zinc/95/05/38/747950538.db2.gz STFLRMQTFQUQMU-NWDGAFQWSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)noc2C)[C@H](O)C1 ZINC001090061831 747950545 /nfs/dbraw/zinc/95/05/45/747950545.db2.gz STFLRMQTFQUQMU-NWDGAFQWSA-N 1 2 313.785 1.209 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNc1nc(C#N)c(Cl)cc1Cl ZINC001161951078 748380084 /nfs/dbraw/zinc/38/00/84/748380084.db2.gz WINOTTJQHNKCHF-MRVPVSSYSA-N 1 2 303.149 1.562 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001032057574 748524990 /nfs/dbraw/zinc/52/49/90/748524990.db2.gz SKTPEBNTBKINEC-UHFFFAOYSA-N 1 2 323.400 1.760 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2c3ccccc3nn2C)C1 ZINC001032101661 748638471 /nfs/dbraw/zinc/63/84/71/748638471.db2.gz LCGBVLPPZTVVCQ-UHFFFAOYSA-N 1 2 318.808 1.987 20 30 DDEDLO N#C[C@H]1CN(C(=O)NCc2ccc(-n3cc[nH+]c3)cc2)CCO1 ZINC000106335861 748663959 /nfs/dbraw/zinc/66/39/59/748663959.db2.gz NLQYPGAUHWYYRC-HNNXBMFYSA-N 1 2 311.345 1.306 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@]2(C)CN(CC#N)CCO2)c(C)[nH+]1 ZINC001108088081 748758867 /nfs/dbraw/zinc/75/88/67/748758867.db2.gz RVKDHKDBRVCOLX-QGZVFWFLSA-N 1 2 316.405 1.351 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2nc(C3CC3)oc2C)C1 ZINC001108313060 761908453 /nfs/dbraw/zinc/90/84/53/761908453.db2.gz XCRGDVLHCWAFLZ-QGZVFWFLSA-N 1 2 319.405 1.867 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2nc(C3CC3)oc2C)C1 ZINC001108313060 761908460 /nfs/dbraw/zinc/90/84/60/761908460.db2.gz XCRGDVLHCWAFLZ-QGZVFWFLSA-N 1 2 319.405 1.867 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@]1(C)CCN(c2ccc(C#N)nc2)C1 ZINC001110771524 748998360 /nfs/dbraw/zinc/99/83/60/748998360.db2.gz FDKWWJOUPUDAEK-QGZVFWFLSA-N 1 2 324.388 1.014 20 30 DDEDLO Cc1nonc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001032224276 749031725 /nfs/dbraw/zinc/03/17/25/749031725.db2.gz ATIRTOQMMWEXCZ-UHFFFAOYSA-N 1 2 310.357 1.091 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001033245302 749051297 /nfs/dbraw/zinc/05/12/97/749051297.db2.gz CSCYOYKADUZYRK-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001033245302 749051300 /nfs/dbraw/zinc/05/13/00/749051300.db2.gz CSCYOYKADUZYRK-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001125259835 749087575 /nfs/dbraw/zinc/08/75/75/749087575.db2.gz FNWDUFKYIIXJPL-LBPRGKRZSA-N 1 2 322.409 1.697 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cncc(C)c2)C1 ZINC001108100049 749116755 /nfs/dbraw/zinc/11/67/55/749116755.db2.gz QSEQVKYCYOFWMD-QGZVFWFLSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cncc(C)c2)C1 ZINC001108100049 749116758 /nfs/dbraw/zinc/11/67/58/749116758.db2.gz QSEQVKYCYOFWMD-QGZVFWFLSA-N 1 2 303.406 1.326 20 30 DDEDLO CC(C)C[C@H](C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21)n1cc[nH+]c1 ZINC001114445456 749220209 /nfs/dbraw/zinc/22/02/09/749220209.db2.gz QWYNYZZRWVXYSA-BARDWOONSA-N 1 2 301.394 1.040 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)/C=C/C(C)(C)C)nn2)C1 ZINC001107146077 749399904 /nfs/dbraw/zinc/39/99/04/749399904.db2.gz UTFZKQUAPLFGGW-VOTSOKGWSA-N 1 2 303.410 1.539 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC(=O)N1 ZINC001039345125 761951126 /nfs/dbraw/zinc/95/11/26/761951126.db2.gz VODJLMXWBUQNQI-FRRDWIJNSA-N 1 2 311.813 1.083 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC(=O)N1 ZINC001039345125 761951133 /nfs/dbraw/zinc/95/11/33/761951133.db2.gz VODJLMXWBUQNQI-FRRDWIJNSA-N 1 2 311.813 1.083 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CO[C@@H](C)CC)nn2)C1 ZINC001107161037 749457729 /nfs/dbraw/zinc/45/77/29/749457729.db2.gz VRISSQFKZAJIBF-ZDUSSCGKSA-N 1 2 321.425 1.142 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H](C)C3CCC3)nn2)C1 ZINC001107182402 749498725 /nfs/dbraw/zinc/49/87/25/749498725.db2.gz UBRBSDTXSJMJAG-CYBMUJFWSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(CCF)CC3)nn2)C1 ZINC001107190177 749524938 /nfs/dbraw/zinc/52/49/38/749524938.db2.gz PJYSHTQSPIKPDN-UHFFFAOYSA-N 1 2 307.373 1.077 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@H+](Cc3nocc3C)C2)cn1 ZINC001033603871 749599987 /nfs/dbraw/zinc/59/99/87/749599987.db2.gz PHONAIIMPFITNV-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3nocc3C)C2)cn1 ZINC001033603871 749599991 /nfs/dbraw/zinc/59/99/91/749599991.db2.gz PHONAIIMPFITNV-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cncnc1)C2 ZINC001095364188 749603045 /nfs/dbraw/zinc/60/30/45/749603045.db2.gz JIHRYWVERUTGSG-RDBSUJKOSA-N 1 2 306.797 1.493 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cncnc1)C2 ZINC001095364188 749603050 /nfs/dbraw/zinc/60/30/50/749603050.db2.gz JIHRYWVERUTGSG-RDBSUJKOSA-N 1 2 306.797 1.493 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]12C[C@H]1COC2 ZINC001039380613 761980621 /nfs/dbraw/zinc/98/06/21/761980621.db2.gz XTXGTAUZSBPBAU-FQLMCAECSA-N 1 2 310.825 1.841 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]12C[C@H]1COC2 ZINC001039380613 761980626 /nfs/dbraw/zinc/98/06/26/761980626.db2.gz XTXGTAUZSBPBAU-FQLMCAECSA-N 1 2 310.825 1.841 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cc(OC)ncn2)C1 ZINC001033831381 749952417 /nfs/dbraw/zinc/95/24/17/749952417.db2.gz UMNIWEMAOQXDIW-LBPRGKRZSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(OC)ncn2)C1 ZINC001033831381 749952420 /nfs/dbraw/zinc/95/24/20/749952420.db2.gz UMNIWEMAOQXDIW-LBPRGKRZSA-N 1 2 324.812 1.774 20 30 DDEDLO CC1(C)CC[C@H](CNC(=O)C2CCC2)[N@H+](CC(=O)NCC#N)C1 ZINC001098820124 749959155 /nfs/dbraw/zinc/95/91/55/749959155.db2.gz GKCLYHFNFMYOMB-CQSZACIVSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CC[C@H](CNC(=O)C2CCC2)[N@@H+](CC(=O)NCC#N)C1 ZINC001098820124 749959157 /nfs/dbraw/zinc/95/91/57/749959157.db2.gz GKCLYHFNFMYOMB-CQSZACIVSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cccc(F)c2)C1 ZINC001108380559 762002053 /nfs/dbraw/zinc/00/20/53/762002053.db2.gz SXGFZMLDZMXRGV-QGZVFWFLSA-N 1 2 306.381 1.761 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cccc(F)c2)C1 ZINC001108380559 762002063 /nfs/dbraw/zinc/00/20/63/762002063.db2.gz SXGFZMLDZMXRGV-QGZVFWFLSA-N 1 2 306.381 1.761 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(CC)C(=O)c2cc(CCC)[nH]n2)C1 ZINC001033912688 750089783 /nfs/dbraw/zinc/08/97/83/750089783.db2.gz KSBRQRQBFHAVCT-HNNXBMFYSA-N 1 2 302.422 1.922 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2n[nH]cc21 ZINC001039414338 762012715 /nfs/dbraw/zinc/01/27/15/762012715.db2.gz WPISXORIDHMUGX-KGLIPLIRSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2n[nH]cc21 ZINC001039414338 762012724 /nfs/dbraw/zinc/01/27/24/762012724.db2.gz WPISXORIDHMUGX-KGLIPLIRSA-N 1 2 308.385 1.875 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CNc2[nH+]cnc3c2cnn3C)C1 ZINC001098505267 750162219 /nfs/dbraw/zinc/16/22/19/750162219.db2.gz IHRFAEYLLNVNSK-GFCCVEGCSA-N 1 2 314.393 1.590 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC)[C@@H]1CC[N@H+](Cc2ccon2)C1 ZINC001033953554 750175035 /nfs/dbraw/zinc/17/50/35/750175035.db2.gz FLLIQKFTNDHPCT-OAGGEKHMSA-N 1 2 319.405 1.689 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC)[C@@H]1CC[N@@H+](Cc2ccon2)C1 ZINC001033953554 750175038 /nfs/dbraw/zinc/17/50/38/750175038.db2.gz FLLIQKFTNDHPCT-OAGGEKHMSA-N 1 2 319.405 1.689 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccnn1C ZINC001110897101 750185154 /nfs/dbraw/zinc/18/51/54/750185154.db2.gz AEVXWUSXFGJLTK-YUELXQCFSA-N 1 2 302.422 1.854 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccnn1C ZINC001110897101 750185158 /nfs/dbraw/zinc/18/51/58/750185158.db2.gz AEVXWUSXFGJLTK-YUELXQCFSA-N 1 2 302.422 1.854 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CC)C(=O)c2cc(=O)c3ccccc3o2)C1 ZINC001034029102 750275599 /nfs/dbraw/zinc/27/55/99/750275599.db2.gz NDSOKPIUYFCMDP-AWEZNQCLSA-N 1 2 324.380 1.963 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(=O)c3ccccc3o2)C1 ZINC001034029102 750275604 /nfs/dbraw/zinc/27/56/04/750275604.db2.gz NDSOKPIUYFCMDP-AWEZNQCLSA-N 1 2 324.380 1.963 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3[C@H](C)C(N)=O)CCC1 ZINC001110987227 750284163 /nfs/dbraw/zinc/28/41/63/750284163.db2.gz KTOFPSNJSVCTKB-SYQHCUMBSA-N 1 2 305.422 1.328 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3[C@H](C)C(N)=O)CCC1 ZINC001110987227 750284166 /nfs/dbraw/zinc/28/41/66/750284166.db2.gz KTOFPSNJSVCTKB-SYQHCUMBSA-N 1 2 305.422 1.328 20 30 DDEDLO Cc1nc(CC[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)cs1 ZINC001032396748 750562786 /nfs/dbraw/zinc/56/27/86/750562786.db2.gz FKBBEPBKWGXHPF-HOTGVXAUSA-N 1 2 315.442 1.693 20 30 DDEDLO Cc1nc(CC[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)cs1 ZINC001032396748 750562787 /nfs/dbraw/zinc/56/27/87/750562787.db2.gz FKBBEPBKWGXHPF-HOTGVXAUSA-N 1 2 315.442 1.693 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(OC(C)C)cn1 ZINC001032403124 750590586 /nfs/dbraw/zinc/59/05/86/750590586.db2.gz SNGUKLARVHJNQW-STQMWFEESA-N 1 2 302.378 1.349 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(OC(C)C)cn1 ZINC001032403124 750590589 /nfs/dbraw/zinc/59/05/89/750590589.db2.gz SNGUKLARVHJNQW-STQMWFEESA-N 1 2 302.378 1.349 20 30 DDEDLO C[C@H](CNc1nccnc1C#N)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108136667 750660228 /nfs/dbraw/zinc/66/02/28/750660228.db2.gz ALTZBJPNVJEANJ-NEPJUHHUSA-N 1 2 313.365 1.113 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](OCC)C(C)C)C1 ZINC001107937896 750685050 /nfs/dbraw/zinc/68/50/50/750685050.db2.gz DEEWLIIIYPHGJL-NVXWUHKLSA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](OCC)C(C)C)C1 ZINC001107937896 750685052 /nfs/dbraw/zinc/68/50/52/750685052.db2.gz DEEWLIIIYPHGJL-NVXWUHKLSA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)[C@@H](C)CC)CC2 ZINC001127944827 750808798 /nfs/dbraw/zinc/80/87/98/750808798.db2.gz XNJTXIDKVJIEOM-KBPBESRZSA-N 1 2 317.437 1.258 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)cc1NC(C)=O ZINC001032432782 750822871 /nfs/dbraw/zinc/82/28/71/750822871.db2.gz BNFMJBIBFAOCCR-KBPBESRZSA-N 1 2 315.348 1.316 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)cc1NC(C)=O ZINC001032432782 750822874 /nfs/dbraw/zinc/82/28/74/750822874.db2.gz BNFMJBIBFAOCCR-KBPBESRZSA-N 1 2 315.348 1.316 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)c1ccccc1)CC2 ZINC001127951049 750824153 /nfs/dbraw/zinc/82/41/53/750824153.db2.gz SEJFNQIEBCKLCF-HNNXBMFYSA-N 1 2 323.400 1.136 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001107952306 750835275 /nfs/dbraw/zinc/83/52/75/750835275.db2.gz AIMYMSDKMGERFZ-HPFXQQBRSA-N 1 2 304.434 1.982 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001107952306 750835284 /nfs/dbraw/zinc/83/52/84/750835284.db2.gz AIMYMSDKMGERFZ-HPFXQQBRSA-N 1 2 304.434 1.982 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCN1c1ccccc1 ZINC001032439629 750837003 /nfs/dbraw/zinc/83/70/03/750837003.db2.gz IUOQZAGUVCVJRF-FHWLQOOXSA-N 1 2 323.440 1.964 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCN1c1ccccc1 ZINC001032439629 750837010 /nfs/dbraw/zinc/83/70/10/750837010.db2.gz IUOQZAGUVCVJRF-FHWLQOOXSA-N 1 2 323.440 1.964 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nc2sccn21 ZINC001032474932 750962068 /nfs/dbraw/zinc/96/20/68/750962068.db2.gz BAPWTVLEUTVKRQ-STQMWFEESA-N 1 2 314.414 1.626 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nc2sccn21 ZINC001032474932 750962072 /nfs/dbraw/zinc/96/20/72/750962072.db2.gz BAPWTVLEUTVKRQ-STQMWFEESA-N 1 2 314.414 1.626 20 30 DDEDLO C=CCOCC(=O)NCCC1(CNc2cc[nH+]c(C)n2)CC1 ZINC001089912514 750971009 /nfs/dbraw/zinc/97/10/09/750971009.db2.gz XGSKRDCMKCTPEK-UHFFFAOYSA-N 1 2 304.394 1.686 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001114672069 750972490 /nfs/dbraw/zinc/97/24/90/750972490.db2.gz MTYZACOMYDSVHK-NHAGDIPZSA-N 1 2 303.406 1.996 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001114672069 750972493 /nfs/dbraw/zinc/97/24/93/750972493.db2.gz MTYZACOMYDSVHK-NHAGDIPZSA-N 1 2 303.406 1.996 20 30 DDEDLO CCN(CCCNC(=O)Cn1cc[nH+]c1)c1ccc(C#N)cn1 ZINC001095893265 751103231 /nfs/dbraw/zinc/10/32/31/751103231.db2.gz OSIMPHHQOLFJTK-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)c2ccc(CC)cc2)[C@@H](O)C1 ZINC001083866338 751117719 /nfs/dbraw/zinc/11/77/19/751117719.db2.gz QXTGJDJDGYVNPQ-XYPHTWIQSA-N 1 2 300.402 1.147 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)c2ccc(CC)cc2)[C@@H](O)C1 ZINC001083866338 751117725 /nfs/dbraw/zinc/11/77/25/751117725.db2.gz QXTGJDJDGYVNPQ-XYPHTWIQSA-N 1 2 300.402 1.147 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2ccc(F)cc2O1 ZINC001032522199 751183802 /nfs/dbraw/zinc/18/38/02/751183802.db2.gz ZKCUMOHCCLRJNN-HRCADAONSA-N 1 2 314.360 1.438 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2ccc(F)cc2O1 ZINC001032522199 751183806 /nfs/dbraw/zinc/18/38/06/751183806.db2.gz ZKCUMOHCCLRJNN-HRCADAONSA-N 1 2 314.360 1.438 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)C[C@@H](C)CC)CC2 ZINC001127991305 751198374 /nfs/dbraw/zinc/19/83/74/751198374.db2.gz UEPIYDWXKMKYEL-KBPBESRZSA-N 1 2 317.437 1.258 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccn(C(F)F)n2)C1 ZINC001107970615 751226283 /nfs/dbraw/zinc/22/62/83/751226283.db2.gz DOVVTWSXPZPLOS-CQSZACIVSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccn(C(F)F)n2)C1 ZINC001107970615 751226289 /nfs/dbraw/zinc/22/62/89/751226289.db2.gz DOVVTWSXPZPLOS-CQSZACIVSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CCCn1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3C[C@@H](F)CC)nn1 ZINC001032675248 752692488 /nfs/dbraw/zinc/69/24/88/752692488.db2.gz NZVPJEORRPKSLU-IHRRRGAJSA-N 1 2 321.400 1.501 20 30 DDEDLO C=CCCn1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3C[C@@H](F)CC)nn1 ZINC001032675248 752692490 /nfs/dbraw/zinc/69/24/90/752692490.db2.gz NZVPJEORRPKSLU-IHRRRGAJSA-N 1 2 321.400 1.501 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)OCCC(C)C)C1 ZINC001108432391 762160495 /nfs/dbraw/zinc/16/04/95/762160495.db2.gz IMYJAAYEKVFWFH-FUHWJXTLSA-N 1 2 324.465 1.668 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)OCCC(C)C)C1 ZINC001108432391 762160498 /nfs/dbraw/zinc/16/04/98/762160498.db2.gz IMYJAAYEKVFWFH-FUHWJXTLSA-N 1 2 324.465 1.668 20 30 DDEDLO COc1nc(C)nc(NCCc2cn3ccccc3[nH+]2)c1C#N ZINC001169000180 762171717 /nfs/dbraw/zinc/17/17/17/762171717.db2.gz GNCYIPKUAOCGIF-UHFFFAOYSA-N 1 2 308.345 1.968 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H]([NH2+]Cc3nc(C)no3)C2)cc1 ZINC000999870967 753220258 /nfs/dbraw/zinc/22/02/58/753220258.db2.gz LOLNTVQGHAVKCD-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC000999990015 753300074 /nfs/dbraw/zinc/30/00/74/753300074.db2.gz FSBNTEOBRPFPFG-CHWSQXEVSA-N 1 2 316.405 1.063 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)CN1c1ccc(C#N)cn1 ZINC001039757540 762203680 /nfs/dbraw/zinc/20/36/80/762203680.db2.gz NPECBTNSBCYOJV-DZGCQCFKSA-N 1 2 324.388 1.323 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2cnc(COC)s2)C1 ZINC001009743828 753336171 /nfs/dbraw/zinc/33/61/71/753336171.db2.gz MSADLHZKTKBBLQ-LBPRGKRZSA-N 1 2 307.419 1.507 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc(COC)s2)C1 ZINC001009743828 753336178 /nfs/dbraw/zinc/33/61/78/753336178.db2.gz MSADLHZKTKBBLQ-LBPRGKRZSA-N 1 2 307.419 1.507 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H](OCC)C(C)C)C1 ZINC001107993722 753357307 /nfs/dbraw/zinc/35/73/07/753357307.db2.gz XFGJQNBWURXTLR-DOTOQJQBSA-N 1 2 312.454 1.831 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](OCC)C(C)C)C1 ZINC001107993722 753357312 /nfs/dbraw/zinc/35/73/12/753357312.db2.gz XFGJQNBWURXTLR-DOTOQJQBSA-N 1 2 312.454 1.831 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2sc(C)nc21 ZINC001032728182 753373111 /nfs/dbraw/zinc/37/31/11/753373111.db2.gz PRXBJWMYVSDYPR-MELADBBJSA-N 1 2 315.442 1.790 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2sc(C)nc21 ZINC001032728182 753373115 /nfs/dbraw/zinc/37/31/15/753373115.db2.gz PRXBJWMYVSDYPR-MELADBBJSA-N 1 2 315.442 1.790 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001108002849 753483853 /nfs/dbraw/zinc/48/38/53/753483853.db2.gz TZHIYOWVTZMOCF-TZMCWYRMSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cccc(C)c2)C1 ZINC001108177327 753503935 /nfs/dbraw/zinc/50/39/35/753503935.db2.gz USPRZUZCSYMIQC-GOSISDBHSA-N 1 2 302.418 1.931 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cccc(C)c2)C1 ZINC001108177327 753503938 /nfs/dbraw/zinc/50/39/38/753503938.db2.gz USPRZUZCSYMIQC-GOSISDBHSA-N 1 2 302.418 1.931 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001108011688 753510546 /nfs/dbraw/zinc/51/05/46/753510546.db2.gz HUSDYPNBFSQMEX-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001108011688 753510550 /nfs/dbraw/zinc/51/05/50/753510550.db2.gz HUSDYPNBFSQMEX-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)[C@@H]3CCCO3)C2)c(F)c1 ZINC001010061646 753582798 /nfs/dbraw/zinc/58/27/98/753582798.db2.gz PTIDYGHRSPSCKW-HOCLYGCPSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)[C@@H]3CCCO3)C2)c(F)c1 ZINC001010061646 753582800 /nfs/dbraw/zinc/58/28/00/753582800.db2.gz PTIDYGHRSPSCKW-HOCLYGCPSA-N 1 2 317.364 1.567 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001078037323 753669495 /nfs/dbraw/zinc/66/94/95/753669495.db2.gz PRXGLNANRUSSFP-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC001078154077 753797823 /nfs/dbraw/zinc/79/78/23/753797823.db2.gz NFLVBZWWRMORHW-HZPDHXFCSA-N 1 2 303.406 1.094 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC001078154077 753797828 /nfs/dbraw/zinc/79/78/28/753797828.db2.gz NFLVBZWWRMORHW-HZPDHXFCSA-N 1 2 303.406 1.094 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCOC2)C1 ZINC001010363169 753810899 /nfs/dbraw/zinc/81/08/99/753810899.db2.gz XOSYUTYHOJYFJE-SJORKVTESA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCOC2)C1 ZINC001010363169 753810907 /nfs/dbraw/zinc/81/09/07/753810907.db2.gz XOSYUTYHOJYFJE-SJORKVTESA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccc(N[C@H]2CC[C@H](NC(=O)Cc3[nH]cc[nH+]3)CC2)nc1 ZINC001063382222 754119136 /nfs/dbraw/zinc/11/91/36/754119136.db2.gz XBHUGIGETDMFTM-HDJSIYSDSA-N 1 2 324.388 1.758 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2onc(CC)c2c1 ZINC001032803898 754119891 /nfs/dbraw/zinc/11/98/91/754119891.db2.gz PSDJJEUHZQGPRR-KBPBESRZSA-N 1 2 324.384 1.707 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2onc(CC)c2c1 ZINC001032803898 754119894 /nfs/dbraw/zinc/11/98/94/754119894.db2.gz PSDJJEUHZQGPRR-KBPBESRZSA-N 1 2 324.384 1.707 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063519825 754206291 /nfs/dbraw/zinc/20/62/91/754206291.db2.gz FIUDEMJFQRWVKW-UONOGXRCSA-N 1 2 318.421 1.496 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063520027 754206338 /nfs/dbraw/zinc/20/63/38/754206338.db2.gz IUJQQTXQEXLUGL-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@H]1Nc1ccc(C#N)nc1 ZINC001063801876 754352946 /nfs/dbraw/zinc/35/29/46/754352946.db2.gz DJRKEFMIBZLRHD-MLGOLLRUSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](N(C)C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001079092514 754421294 /nfs/dbraw/zinc/42/12/94/754421294.db2.gz GTVJAYJGROAZJQ-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCC[C@@H]2NC(=O)Cn2cc[nH+]c2)nc1 ZINC001064099786 754500463 /nfs/dbraw/zinc/50/04/63/754500463.db2.gz RMRCZCBQCJJZPJ-GJZGRUSLSA-N 1 2 324.388 1.547 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CCC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001064139413 754536755 /nfs/dbraw/zinc/53/67/55/754536755.db2.gz DXFJXDQSXDYNNR-LRDDRELGSA-N 1 2 324.388 1.038 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2[nH]ccc2C2CC2)[C@@H](O)C1 ZINC001090314389 754860557 /nfs/dbraw/zinc/86/05/57/754860557.db2.gz CHWUYHOQAMFESF-KGLIPLIRSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2[nH]ccc2C2CC2)[C@@H](O)C1 ZINC001090314389 754860563 /nfs/dbraw/zinc/86/05/63/754860563.db2.gz CHWUYHOQAMFESF-KGLIPLIRSA-N 1 2 323.824 1.810 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cncnc1 ZINC001079608225 755471658 /nfs/dbraw/zinc/47/16/58/755471658.db2.gz BWAOUVOEAJZDAJ-CXAGYDPISA-N 1 2 321.384 1.599 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cncnc1 ZINC001079608225 755471663 /nfs/dbraw/zinc/47/16/63/755471663.db2.gz BWAOUVOEAJZDAJ-CXAGYDPISA-N 1 2 321.384 1.599 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)co1 ZINC001079589880 755474077 /nfs/dbraw/zinc/47/40/77/755474077.db2.gz QNGGFCSZEHMHIB-MEBBXXQBSA-N 1 2 313.361 1.433 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)co1 ZINC001079589880 755474081 /nfs/dbraw/zinc/47/40/81/755474081.db2.gz QNGGFCSZEHMHIB-MEBBXXQBSA-N 1 2 313.361 1.433 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CC2(F)F)C1 ZINC001079667484 755511475 /nfs/dbraw/zinc/51/14/75/755511475.db2.gz YEYMNGIIIMWNFO-FKTZTGRPSA-N 1 2 323.181 1.987 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CC2(F)F)C1 ZINC001079667484 755511479 /nfs/dbraw/zinc/51/14/79/755511479.db2.gz YEYMNGIIIMWNFO-FKTZTGRPSA-N 1 2 323.181 1.987 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H](NC(=O)c2sccc2CN(C)C)C1 ZINC001014596113 755818643 /nfs/dbraw/zinc/81/86/43/755818643.db2.gz QNNIHGIZGPJKSN-CQSZACIVSA-N 1 2 305.447 1.637 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H](NC(=O)c2sccc2CN(C)C)C1 ZINC001014596113 755818649 /nfs/dbraw/zinc/81/86/49/755818649.db2.gz QNNIHGIZGPJKSN-CQSZACIVSA-N 1 2 305.447 1.637 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080291812 755830276 /nfs/dbraw/zinc/83/02/76/755830276.db2.gz UMHUKCXJKGQPLY-CHWSQXEVSA-N 1 2 318.421 1.470 20 30 DDEDLO CCc1n[nH]cc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015060059 756099167 /nfs/dbraw/zinc/09/91/67/756099167.db2.gz RRICRSROVGLXBF-INIZCTEOSA-N 1 2 322.412 1.828 20 30 DDEDLO CCc1n[nH]cc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015060059 756099173 /nfs/dbraw/zinc/09/91/73/756099173.db2.gz RRICRSROVGLXBF-INIZCTEOSA-N 1 2 322.412 1.828 20 30 DDEDLO C=CCC[N@@H+]1CC[C@@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001015077170 756110061 /nfs/dbraw/zinc/11/00/61/756110061.db2.gz LJOBVZGMWFLAEO-CYBMUJFWSA-N 1 2 311.385 1.908 20 30 DDEDLO C=CCC[N@H+]1CC[C@@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001015077170 756110064 /nfs/dbraw/zinc/11/00/64/756110064.db2.gz LJOBVZGMWFLAEO-CYBMUJFWSA-N 1 2 311.385 1.908 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001057038072 762451600 /nfs/dbraw/zinc/45/16/00/762451600.db2.gz BJQGLEMOBGIVIE-OAHLLOKOSA-N 1 2 324.388 1.265 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@H](NC(=O)c3cnco3)C2)ccc1F ZINC001015441738 756304330 /nfs/dbraw/zinc/30/43/30/756304330.db2.gz HLYJGQLYBCTEIH-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3cnco3)C2)ccc1F ZINC001015441738 756304333 /nfs/dbraw/zinc/30/43/33/756304333.db2.gz HLYJGQLYBCTEIH-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cc(C)n(C)n3)C2)C1 ZINC001015674039 756456535 /nfs/dbraw/zinc/45/65/35/756456535.db2.gz UEGIHRSEJPZKCE-AWEZNQCLSA-N 1 2 302.422 1.775 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cc(C)n(C)n3)C2)C1 ZINC001015674039 756456539 /nfs/dbraw/zinc/45/65/39/756456539.db2.gz UEGIHRSEJPZKCE-AWEZNQCLSA-N 1 2 302.422 1.775 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+]([C@H](C)c3cnccn3)C2)c1 ZINC001015678807 756460778 /nfs/dbraw/zinc/46/07/78/756460778.db2.gz OJZSVDWDZMUCSV-CJNGLKHVSA-N 1 2 321.384 1.418 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+]([C@H](C)c3cnccn3)C2)c1 ZINC001015678807 756460780 /nfs/dbraw/zinc/46/07/80/756460780.db2.gz OJZSVDWDZMUCSV-CJNGLKHVSA-N 1 2 321.384 1.418 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CC[C@]2(C1)CCCN(CC#N)C2 ZINC001040310496 762484547 /nfs/dbraw/zinc/48/45/47/762484547.db2.gz PFBZOFQIKYRFRR-QGZVFWFLSA-N 1 2 315.421 1.191 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cccnn3)C2)s1 ZINC001015889382 756623122 /nfs/dbraw/zinc/62/31/22/756623122.db2.gz SLDATAPZZNBAGV-LLVKDONJSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cccnn3)C2)s1 ZINC001015889382 756623125 /nfs/dbraw/zinc/62/31/25/756623125.db2.gz SLDATAPZZNBAGV-LLVKDONJSA-N 1 2 313.386 1.414 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3ocnc3C)C[C@H]2OC)C1 ZINC001082111671 756645730 /nfs/dbraw/zinc/64/57/30/756645730.db2.gz HZAQGFWLXWGPOC-UKRRQHHQSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3ocnc3C)C[C@H]2OC)C1 ZINC001082111671 756645733 /nfs/dbraw/zinc/64/57/33/756645733.db2.gz HZAQGFWLXWGPOC-UKRRQHHQSA-N 1 2 319.405 1.655 20 30 DDEDLO Cn1cncc1CC(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015996657 756705483 /nfs/dbraw/zinc/70/54/83/756705483.db2.gz MZRRUQQGRJTWKX-INIZCTEOSA-N 1 2 323.400 1.225 20 30 DDEDLO Cn1cncc1CC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015996657 756705488 /nfs/dbraw/zinc/70/54/88/756705488.db2.gz MZRRUQQGRJTWKX-INIZCTEOSA-N 1 2 323.400 1.225 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cnn(CCCC)c2C)[C@H](OC)C1 ZINC001082315708 756731310 /nfs/dbraw/zinc/73/13/10/756731310.db2.gz ZQJPAUORTYMPOF-HZPDHXFCSA-N 1 2 318.421 1.054 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cnn(CCCC)c2C)[C@H](OC)C1 ZINC001082315708 756731314 /nfs/dbraw/zinc/73/13/14/756731314.db2.gz ZQJPAUORTYMPOF-HZPDHXFCSA-N 1 2 318.421 1.054 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3ccccc3o2)[C@H](OC)C1 ZINC001082356776 756750103 /nfs/dbraw/zinc/75/01/03/756750103.db2.gz PCBOPLWWJVMSHO-RHSMWYFYSA-N 1 2 312.369 1.885 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3ccccc3o2)[C@H](OC)C1 ZINC001082356776 756750106 /nfs/dbraw/zinc/75/01/06/756750106.db2.gz PCBOPLWWJVMSHO-RHSMWYFYSA-N 1 2 312.369 1.885 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@@H+](CC(=C)Cl)C[C@H]1O ZINC001099764368 756926142 /nfs/dbraw/zinc/92/61/42/756926142.db2.gz UYYKZBVTAPFXMZ-UONOGXRCSA-N 1 2 316.829 1.273 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@H+](CC(=C)Cl)C[C@H]1O ZINC001099764368 756926145 /nfs/dbraw/zinc/92/61/45/756926145.db2.gz UYYKZBVTAPFXMZ-UONOGXRCSA-N 1 2 316.829 1.273 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097317631 757088037 /nfs/dbraw/zinc/08/80/37/757088037.db2.gz IOANIKFCUYGXKV-DZGCQCFKSA-N 1 2 316.405 1.156 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)sc3C)[C@H]2C1 ZINC001083134364 757145457 /nfs/dbraw/zinc/14/54/57/757145457.db2.gz WLMINZATUYPQPX-LSDHHAIUSA-N 1 2 304.415 1.523 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)sc3C)[C@H]2C1 ZINC001083134364 757145461 /nfs/dbraw/zinc/14/54/61/757145461.db2.gz WLMINZATUYPQPX-LSDHHAIUSA-N 1 2 304.415 1.523 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001099794711 757233001 /nfs/dbraw/zinc/23/30/01/757233001.db2.gz QHHMGAVWUVYRRF-ZBFHGGJFSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001099794711 757233006 /nfs/dbraw/zinc/23/30/06/757233006.db2.gz QHHMGAVWUVYRRF-ZBFHGGJFSA-N 1 2 319.405 1.228 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001097715966 757370399 /nfs/dbraw/zinc/37/03/99/757370399.db2.gz NYUOFIPECNLVAL-GFCCVEGCSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001097715966 757370411 /nfs/dbraw/zinc/37/04/11/757370411.db2.gz NYUOFIPECNLVAL-GFCCVEGCSA-N 1 2 303.410 1.347 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(C[C@H]2NC(=O)C2=NC(=O)N(C)C2)CC1 ZINC001016811795 757371397 /nfs/dbraw/zinc/37/13/97/757371397.db2.gz XJXGXBVKHZGLDC-GFCCVEGCSA-N 1 2 324.812 1.463 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cn3cc(C)cn3)[C@@H]2C1 ZINC001084217116 757416182 /nfs/dbraw/zinc/41/61/82/757416182.db2.gz BLRGZMNDYSKJEV-ZIAGYGMSSA-N 1 2 308.813 1.477 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cn3cc(C)cn3)[C@@H]2C1 ZINC001084217116 757416189 /nfs/dbraw/zinc/41/61/89/757416189.db2.gz BLRGZMNDYSKJEV-ZIAGYGMSSA-N 1 2 308.813 1.477 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@H]2CC[N@@H+](Cc3cncc(F)c3)C[C@H]21 ZINC001084334259 757496021 /nfs/dbraw/zinc/49/60/21/757496021.db2.gz WOEIYQJDCQNEIN-NVXWUHKLSA-N 1 2 313.376 1.667 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@H]2CC[N@H+](Cc3cncc(F)c3)C[C@H]21 ZINC001084334259 757496027 /nfs/dbraw/zinc/49/60/27/757496027.db2.gz WOEIYQJDCQNEIN-NVXWUHKLSA-N 1 2 313.376 1.667 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001097782737 757504721 /nfs/dbraw/zinc/50/47/21/757504721.db2.gz ORDSYASBXNJYAJ-LLVKDONJSA-N 1 2 300.366 1.083 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)/C(C)=C/CC)CC2=O)C1 ZINC001108516284 762556196 /nfs/dbraw/zinc/55/61/96/762556196.db2.gz VUAHMZXHFMJAPD-YGLIYXGISA-N 1 2 305.422 1.320 20 30 DDEDLO CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](Nc2ncccc2C#N)C1 ZINC001052714348 757679549 /nfs/dbraw/zinc/67/95/49/757679549.db2.gz NKAOFRSMMPISKZ-ZIAGYGMSSA-N 1 2 310.361 1.113 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001052867335 757903170 /nfs/dbraw/zinc/90/31/70/757903170.db2.gz MUTNJQGUKRQZLP-KGLIPLIRSA-N 1 2 318.421 1.306 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)NC(=O)C2 ZINC001017582182 758048457 /nfs/dbraw/zinc/04/84/57/758048457.db2.gz JEMLVXMARKBRKL-IYBDPMFKSA-N 1 2 323.396 1.493 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)NC(=O)C2 ZINC001017582182 758048464 /nfs/dbraw/zinc/04/84/64/758048464.db2.gz JEMLVXMARKBRKL-IYBDPMFKSA-N 1 2 323.396 1.493 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2cncn2)cc1 ZINC001017634092 758102986 /nfs/dbraw/zinc/10/29/86/758102986.db2.gz NVRFZBZMLXUVCL-CALCHBBNSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2cncn2)cc1 ZINC001017634092 758102992 /nfs/dbraw/zinc/10/29/92/758102992.db2.gz NVRFZBZMLXUVCL-CALCHBBNSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2cc(C)ccc2n1 ZINC001017653072 758122698 /nfs/dbraw/zinc/12/26/98/758122698.db2.gz QCWJGUGGOFBSPN-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2cc(C)ccc2n1 ZINC001017653072 758122702 /nfs/dbraw/zinc/12/27/02/758122702.db2.gz QCWJGUGGOFBSPN-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c2c[nH]nc2ccc1C ZINC001017689989 758155671 /nfs/dbraw/zinc/15/56/71/758155671.db2.gz WLLQBPPKRIYVLM-OKILXGFUSA-N 1 2 308.385 1.793 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c2c[nH]nc2ccc1C ZINC001017689989 758155676 /nfs/dbraw/zinc/15/56/76/758155676.db2.gz WLLQBPPKRIYVLM-OKILXGFUSA-N 1 2 308.385 1.793 20 30 DDEDLO N#CCN1CCC[C@H]([C@H]2CCCCN2C(=O)Cn2cc[nH+]c2)C1 ZINC001053112896 758165670 /nfs/dbraw/zinc/16/56/70/758165670.db2.gz YHFODYPYNFWABN-JKSUJKDBSA-N 1 2 315.421 1.500 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]12CCO[C@H]1CCCC2 ZINC001017726344 758186629 /nfs/dbraw/zinc/18/66/29/758186629.db2.gz JUSNHQRCWVPHSY-DFGXFYAUSA-N 1 2 302.418 1.644 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]12CCO[C@H]1CCCC2 ZINC001017726344 758186637 /nfs/dbraw/zinc/18/66/37/758186637.db2.gz JUSNHQRCWVPHSY-DFGXFYAUSA-N 1 2 302.418 1.644 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ccncc2n1 ZINC001017736197 758194224 /nfs/dbraw/zinc/19/42/24/758194224.db2.gz OZGDTNUJSRSOSF-GASCZTMLSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ccncc2n1 ZINC001017736197 758194232 /nfs/dbraw/zinc/19/42/32/758194232.db2.gz OZGDTNUJSRSOSF-GASCZTMLSA-N 1 2 306.369 1.552 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CCC3CCC3)CC2=O)C1 ZINC001108533179 762609193 /nfs/dbraw/zinc/60/91/93/762609193.db2.gz QFFAFMSUUKCNDJ-AWEZNQCLSA-N 1 2 305.422 1.154 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nc(C(C)C)no1 ZINC001017783291 758236183 /nfs/dbraw/zinc/23/61/83/758236183.db2.gz KXEDBXJZEJUADZ-OKILXGFUSA-N 1 2 316.405 1.434 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nc(C(C)C)no1 ZINC001017783291 758236192 /nfs/dbraw/zinc/23/61/92/758236192.db2.gz KXEDBXJZEJUADZ-OKILXGFUSA-N 1 2 316.405 1.434 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001053267642 758322135 /nfs/dbraw/zinc/32/21/35/758322135.db2.gz LOFJOKJGOVENLF-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1ccns1)CCO2 ZINC001053277630 758332830 /nfs/dbraw/zinc/33/28/30/758332830.db2.gz ZYMQCBGSWDBLDA-UHFFFAOYSA-N 1 2 307.419 1.636 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCC(F)(F)C1)CCO2 ZINC001053281866 758336330 /nfs/dbraw/zinc/33/63/30/758336330.db2.gz ZVBQGXWKPVQOSW-CYBMUJFWSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1cccnc1C)CCO2 ZINC001053428751 758455938 /nfs/dbraw/zinc/45/59/38/758455938.db2.gz UZFPISFETUJUFB-UHFFFAOYSA-N 1 2 315.417 1.422 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001065333988 758469255 /nfs/dbraw/zinc/46/92/55/758469255.db2.gz SWIWDCNOTLJUCJ-JKIFEVAISA-N 1 2 316.405 1.461 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(CC)n(C)n1)CCO2 ZINC001053551131 758555582 /nfs/dbraw/zinc/55/55/82/758555582.db2.gz OGVZJQVBMFVWEA-UHFFFAOYSA-N 1 2 318.421 1.085 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C[C@H](C)CC)CC2=O)C1 ZINC001108541187 762641356 /nfs/dbraw/zinc/64/13/56/762641356.db2.gz PKUAFRVQGDDQGU-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(C)noc1C)O2 ZINC001053571394 758578980 /nfs/dbraw/zinc/57/89/80/758578980.db2.gz FKZYTKMDSPMHFF-CQSZACIVSA-N 1 2 319.405 1.831 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(F)cn1)O2 ZINC001053610666 758628815 /nfs/dbraw/zinc/62/88/15/758628815.db2.gz XBECRWRCLDBULP-CYBMUJFWSA-N 1 2 305.353 1.370 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1CC(OC)C1)O2 ZINC001053613792 758632561 /nfs/dbraw/zinc/63/25/61/758632561.db2.gz ANPVRJJDGXLECJ-SLTAFYQDSA-N 1 2 308.422 1.337 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccncc1CC)O2 ZINC001053620636 758639974 /nfs/dbraw/zinc/63/99/74/758639974.db2.gz RKLFKKBCJNPQHG-HNNXBMFYSA-N 1 2 315.417 1.793 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cccnn1)O2 ZINC001053680183 758690695 /nfs/dbraw/zinc/69/06/95/758690695.db2.gz YYFXHLNCJDIPOT-CYBMUJFWSA-N 1 2 302.378 1.016 20 30 DDEDLO CCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)C#CC(C)(C)C)C2)CC1 ZINC001065703454 758704122 /nfs/dbraw/zinc/70/41/22/758704122.db2.gz CPVBFDOFIGDILP-HNNXBMFYSA-N 1 2 319.449 1.191 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1[C@H]3[C@@H]1[C@@H]1CC[C@H]3C1)O2 ZINC001053703964 758712387 /nfs/dbraw/zinc/71/23/87/758712387.db2.gz RDZVTSMAVQGLPF-PHPOFCCKSA-N 1 2 316.445 1.814 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065711021 758729251 /nfs/dbraw/zinc/72/92/51/758729251.db2.gz HRLTWMSNSLFSAS-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1CNc1ccc(C#N)cn1 ZINC001065861196 758866505 /nfs/dbraw/zinc/86/65/05/758866505.db2.gz PXRWWSZJKAPJEI-HIFRSBDPSA-N 1 2 324.388 1.356 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]nc1C(C)C)CO2 ZINC001053856164 758889212 /nfs/dbraw/zinc/88/92/12/758889212.db2.gz CZGZTEGFHPWNKO-ZDUSSCGKSA-N 1 2 318.421 1.682 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(OC)cs1)CO2 ZINC001053889521 758923689 /nfs/dbraw/zinc/92/36/89/758923689.db2.gz LHZQSDNGXLQQKX-LBPRGKRZSA-N 1 2 320.414 1.353 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2COC3(C[NH+]([C@H](C)COC)C3)C2)CC1 ZINC001053914061 758947793 /nfs/dbraw/zinc/94/77/93/758947793.db2.gz FVVYHSSTLHTOIU-GDBMZVCRSA-N 1 2 322.449 1.727 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)ccc1F)CO2 ZINC001053936963 758972531 /nfs/dbraw/zinc/97/25/31/758972531.db2.gz WSVXFEDXSFHJRK-CQSZACIVSA-N 1 2 316.376 1.731 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(Cl)cs1)CO2 ZINC001053945223 758981689 /nfs/dbraw/zinc/98/16/89/758981689.db2.gz PYBWWTHSUBFHFQ-GFCCVEGCSA-N 1 2 324.833 1.998 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncoc1C1CC1)CO2 ZINC001053973966 759012057 /nfs/dbraw/zinc/01/20/57/759012057.db2.gz CSMWNXNMLNXKKL-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ncoc1C(C)C)CO2 ZINC001053976634 759014042 /nfs/dbraw/zinc/01/40/42/759014042.db2.gz XAUVWTRLZPYHCO-ZDUSSCGKSA-N 1 2 317.389 1.394 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC[C@H](C)CC1)CO2 ZINC001053987164 759029707 /nfs/dbraw/zinc/02/97/07/759029707.db2.gz IRPNVDRGERIIHY-JYJNAYRXSA-N 1 2 304.434 1.796 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(F)cc(F)c1)CO2 ZINC001054002030 759048309 /nfs/dbraw/zinc/04/83/09/759048309.db2.gz PMLLPWOVIONUCC-HNNXBMFYSA-N 1 2 320.339 1.561 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc3c1CCC3)CO2 ZINC001054020258 759070529 /nfs/dbraw/zinc/07/05/29/759070529.db2.gz KFXQBZKFAVUZHA-MRXNPFEDSA-N 1 2 324.424 1.772 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccon1 ZINC001054034603 759090442 /nfs/dbraw/zinc/09/04/42/759090442.db2.gz TZZSJQQYZHRLNR-INIZCTEOSA-N 1 2 309.369 1.855 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccon1 ZINC001054034603 759090447 /nfs/dbraw/zinc/09/04/47/759090447.db2.gz TZZSJQQYZHRLNR-INIZCTEOSA-N 1 2 309.369 1.855 20 30 DDEDLO C/C(=C\C(=O)N[C@]1(C#N)CCC[C@H](C)C1)C[NH+]1CCOCC1 ZINC000826684535 759300150 /nfs/dbraw/zinc/30/01/50/759300150.db2.gz YDTIDGYIGRLXJP-FPZAFUISSA-N 1 2 305.422 1.854 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccc(C#C)cn3)cc2C1 ZINC001054290220 759397270 /nfs/dbraw/zinc/39/72/70/759397270.db2.gz GELJMEMSLOYELI-UHFFFAOYSA-N 1 2 315.376 1.942 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccc(C#C)cn3)cc2C1 ZINC001054290220 759397277 /nfs/dbraw/zinc/39/72/77/759397277.db2.gz GELJMEMSLOYELI-UHFFFAOYSA-N 1 2 315.376 1.942 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)c2ccco2)C1=O ZINC001085457658 759425988 /nfs/dbraw/zinc/42/59/88/759425988.db2.gz PJNHNARFURHIPM-ZIAGYGMSSA-N 1 2 317.389 1.213 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)c2ccco2)C1=O ZINC001085457658 759425996 /nfs/dbraw/zinc/42/59/96/759425996.db2.gz PJNHNARFURHIPM-ZIAGYGMSSA-N 1 2 317.389 1.213 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1csc([C@@H]2CCCO2)n1 ZINC001085594350 759794054 /nfs/dbraw/zinc/79/40/54/759794054.db2.gz DNXYVWIFINSATO-OCCSQVGLSA-N 1 2 319.430 1.774 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1csc([C@@H]2CCCO2)n1 ZINC001085594350 759794057 /nfs/dbraw/zinc/79/40/57/759794057.db2.gz DNXYVWIFINSATO-OCCSQVGLSA-N 1 2 319.430 1.774 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(OC(C)C)cn1 ZINC001085595779 759798121 /nfs/dbraw/zinc/79/81/21/759798121.db2.gz MQTRPBTYHJIODP-CQSZACIVSA-N 1 2 316.405 1.433 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(OC(C)C)cn1 ZINC001085595779 759798126 /nfs/dbraw/zinc/79/81/26/759798126.db2.gz MQTRPBTYHJIODP-CQSZACIVSA-N 1 2 316.405 1.433 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2conc2C2CC2)C1 ZINC001108209470 760252043 /nfs/dbraw/zinc/25/20/43/760252043.db2.gz QFNQEKXQVNCKQN-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2conc2C2CC2)C1 ZINC001108209470 760252055 /nfs/dbraw/zinc/25/20/55/760252055.db2.gz QFNQEKXQVNCKQN-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cnoc2C)c1 ZINC001085809723 760277971 /nfs/dbraw/zinc/27/79/71/760277971.db2.gz MILACVDQVOYUQU-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cnoc2C)c1 ZINC001085809723 760277972 /nfs/dbraw/zinc/27/79/72/760277972.db2.gz MILACVDQVOYUQU-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(C(F)(F)F)c1 ZINC001085907901 760504422 /nfs/dbraw/zinc/50/44/22/760504422.db2.gz QULVJOWQDFCWNT-GFCCVEGCSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(C(F)(F)F)c1 ZINC001085907901 760504430 /nfs/dbraw/zinc/50/44/30/760504430.db2.gz QULVJOWQDFCWNT-GFCCVEGCSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)nc[nH]c2=O ZINC001085967859 760622372 /nfs/dbraw/zinc/62/23/72/760622372.db2.gz WYYODBVDDZMBKL-ZDUSSCGKSA-N 1 2 310.357 1.115 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)nc[nH]c2=O ZINC001085967859 760622376 /nfs/dbraw/zinc/62/23/76/760622376.db2.gz WYYODBVDDZMBKL-ZDUSSCGKSA-N 1 2 310.357 1.115 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)nn(CC)c1Cl ZINC001085980755 760650796 /nfs/dbraw/zinc/65/07/96/760650796.db2.gz XEBHITYWXBTXMC-LBPRGKRZSA-N 1 2 308.813 1.644 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)nn(CC)c1Cl ZINC001085980755 760650801 /nfs/dbraw/zinc/65/08/01/760650801.db2.gz XEBHITYWXBTXMC-LBPRGKRZSA-N 1 2 308.813 1.644 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1Cc2cc(C)c(C)cc2O1 ZINC001085980627 760651003 /nfs/dbraw/zinc/65/10/03/760651003.db2.gz TWRKMIFELXCWOY-FUHWJXTLSA-N 1 2 312.413 1.773 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1Cc2cc(C)c(C)cc2O1 ZINC001085980627 760651007 /nfs/dbraw/zinc/65/10/07/760651007.db2.gz TWRKMIFELXCWOY-FUHWJXTLSA-N 1 2 312.413 1.773 20 30 DDEDLO Cc1cccnc1C[N@@H+]1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038153659 760859350 /nfs/dbraw/zinc/85/93/50/760859350.db2.gz PPWNBLTZOTUXAM-AWEZNQCLSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cccnc1C[N@H+]1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038153659 760859358 /nfs/dbraw/zinc/85/93/58/760859358.db2.gz PPWNBLTZOTUXAM-AWEZNQCLSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038166951 760877689 /nfs/dbraw/zinc/87/76/89/760877689.db2.gz DTVSBHOXEIQJTO-CYBMUJFWSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038166951 760877703 /nfs/dbraw/zinc/87/77/03/760877703.db2.gz DTVSBHOXEIQJTO-CYBMUJFWSA-N 1 2 313.361 1.496 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ncnc2sccc21 ZINC001038278184 760970829 /nfs/dbraw/zinc/97/08/29/760970829.db2.gz VLWPEVPVLIFTNR-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ncnc2sccc21 ZINC001038278184 760970833 /nfs/dbraw/zinc/97/08/33/760970833.db2.gz VLWPEVPVLIFTNR-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3CN(c4cc[nH+]c(C)n4)C[C@H]32)cn1 ZINC001056263226 761080199 /nfs/dbraw/zinc/08/01/99/761080199.db2.gz OLCXHSZNTFFVFJ-QLPKVWCKSA-N 1 2 319.368 1.026 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ocnc2C)cc1 ZINC001038581531 761215264 /nfs/dbraw/zinc/21/52/64/761215264.db2.gz JWJSVPLIYHLGAX-INIZCTEOSA-N 1 2 309.369 1.969 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ocnc2C)cc1 ZINC001038581531 761215267 /nfs/dbraw/zinc/21/52/67/761215267.db2.gz JWJSVPLIYHLGAX-INIZCTEOSA-N 1 2 309.369 1.969 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccccn2)c1 ZINC001038685753 761287533 /nfs/dbraw/zinc/28/75/33/761287533.db2.gz XCGSNUVLTAZKCM-QGZVFWFLSA-N 1 2 306.369 1.462 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccccn2)c1 ZINC001038685753 761287536 /nfs/dbraw/zinc/28/75/36/761287536.db2.gz XCGSNUVLTAZKCM-QGZVFWFLSA-N 1 2 306.369 1.462 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1csc(-c2ccoc2)n1 ZINC001038818103 761434658 /nfs/dbraw/zinc/43/46/58/761434658.db2.gz MMMWZQGSMMCAGG-GFCCVEGCSA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1csc(-c2ccoc2)n1 ZINC001038818103 761434664 /nfs/dbraw/zinc/43/46/64/761434664.db2.gz MMMWZQGSMMCAGG-GFCCVEGCSA-N 1 2 301.371 1.840 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn([C@@H](C)CC)c1C ZINC001038900946 761525185 /nfs/dbraw/zinc/52/51/85/761525185.db2.gz PPWXAEXASGDIDT-DZGCQCFKSA-N 1 2 302.422 1.990 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn([C@@H](C)CC)c1C ZINC001038900946 761525188 /nfs/dbraw/zinc/52/51/88/761525188.db2.gz PPWXAEXASGDIDT-DZGCQCFKSA-N 1 2 302.422 1.990 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2ocnc2C)cn1 ZINC001038934406 761565058 /nfs/dbraw/zinc/56/50/58/761565058.db2.gz YEQHZUCLROEKJB-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ocnc2C)cn1 ZINC001038934406 761565066 /nfs/dbraw/zinc/56/50/66/761565066.db2.gz YEQHZUCLROEKJB-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(-c2ccccc2)n1 ZINC001039002977 761633128 /nfs/dbraw/zinc/63/31/28/761633128.db2.gz ADXNOSOGARIFAL-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(-c2ccccc2)n1 ZINC001039002977 761633134 /nfs/dbraw/zinc/63/31/34/761633134.db2.gz ADXNOSOGARIFAL-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)c3c[nH]c(C#N)c3)CC2(C)C)cc[nH+]1 ZINC001069543479 768054847 /nfs/dbraw/zinc/05/48/47/768054847.db2.gz OJFCKBKBCSICOO-AWEZNQCLSA-N 1 2 324.388 1.948 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)c3cc(C#N)c[nH]3)CC2(C)C)cc[nH+]1 ZINC001069554674 768058671 /nfs/dbraw/zinc/05/86/71/768058671.db2.gz IMXAVXHFOGZXOU-AWEZNQCLSA-N 1 2 324.388 1.948 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(CC)(CC)CC)[C@@H](n2ccnn2)C1 ZINC001129348700 762722948 /nfs/dbraw/zinc/72/29/48/762722948.db2.gz ZYOPOLDJPDXCQY-CABCVRRESA-N 1 2 317.437 1.469 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(CC)(CC)CC)[C@@H](n2ccnn2)C1 ZINC001129348700 762722951 /nfs/dbraw/zinc/72/29/51/762722951.db2.gz ZYOPOLDJPDXCQY-CABCVRRESA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041304080 762947927 /nfs/dbraw/zinc/94/79/27/762947927.db2.gz KVGIUKIQJVWVLQ-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@H+]1CC[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041304080 762947930 /nfs/dbraw/zinc/94/79/30/762947930.db2.gz KVGIUKIQJVWVLQ-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001041304080 762947935 /nfs/dbraw/zinc/94/79/35/762947935.db2.gz KVGIUKIQJVWVLQ-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@H+]1CC[C@@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001041304080 762947939 /nfs/dbraw/zinc/94/79/39/762947939.db2.gz KVGIUKIQJVWVLQ-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)C)C2 ZINC001108935419 763146150 /nfs/dbraw/zinc/14/61/50/763146150.db2.gz ULYZRPAHJKQQNB-ILXRZTDVSA-N 1 2 320.437 1.126 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)C)C2 ZINC001108935419 763146154 /nfs/dbraw/zinc/14/61/54/763146154.db2.gz ULYZRPAHJKQQNB-ILXRZTDVSA-N 1 2 320.437 1.126 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(CC)CC)C2 ZINC001108959112 763168578 /nfs/dbraw/zinc/16/85/78/763168578.db2.gz DUYRXVALKYULDG-ILXRZTDVSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(CC)CC)C2 ZINC001108959112 763168582 /nfs/dbraw/zinc/16/85/82/763168582.db2.gz DUYRXVALKYULDG-ILXRZTDVSA-N 1 2 307.438 1.446 20 30 DDEDLO CO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccccc1C#N ZINC001109005798 763220732 /nfs/dbraw/zinc/22/07/32/763220732.db2.gz FPWQXEGZJWLFLC-VZEFYGNVSA-N 1 2 313.401 1.815 20 30 DDEDLO CO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccccc1C#N ZINC001109005798 763220737 /nfs/dbraw/zinc/22/07/37/763220737.db2.gz FPWQXEGZJWLFLC-VZEFYGNVSA-N 1 2 313.401 1.815 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC[C@H]2CN(CC#N)C[C@H]21 ZINC001050160496 763240630 /nfs/dbraw/zinc/24/06/30/763240630.db2.gz FHXNNEDPRNHAQE-VNHYZAJKSA-N 1 2 301.394 1.035 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC[C@H]2CN(CC#N)C[C@H]21 ZINC001050160496 763240638 /nfs/dbraw/zinc/24/06/38/763240638.db2.gz FHXNNEDPRNHAQE-VNHYZAJKSA-N 1 2 301.394 1.035 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(C)(C)C=C)C2 ZINC001109338049 763587071 /nfs/dbraw/zinc/58/70/71/763587071.db2.gz HQOGCCRQSYWVAV-ILXRZTDVSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(C)(C)C=C)C2 ZINC001109338049 763587076 /nfs/dbraw/zinc/58/70/76/763587076.db2.gz HQOGCCRQSYWVAV-ILXRZTDVSA-N 1 2 317.433 1.060 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@H+](Cc3nccs3)[C@@H]2C1 ZINC001042056988 763600127 /nfs/dbraw/zinc/60/01/27/763600127.db2.gz DOYMXRPQYYWUCS-HUUCEWRRSA-N 1 2 315.442 1.979 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@@H+](Cc3nccs3)[C@@H]2C1 ZINC001042056988 763600136 /nfs/dbraw/zinc/60/01/36/763600136.db2.gz DOYMXRPQYYWUCS-HUUCEWRRSA-N 1 2 315.442 1.979 20 30 DDEDLO Cc1ccc(C#N)c(NCCCN(C)C(=O)Cc2[nH]cc[nH+]2)n1 ZINC001109506871 763761797 /nfs/dbraw/zinc/76/17/97/763761797.db2.gz TVSLFUNVVVSNLO-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001050589108 763792437 /nfs/dbraw/zinc/79/24/37/763792437.db2.gz ZRUBTKGCNNVWTL-KGLIPLIRSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC1(C)CC1)C2 ZINC001109923728 764201235 /nfs/dbraw/zinc/20/12/35/764201235.db2.gz MUGKBRQBKVWCNV-ILXRZTDVSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC1(C)CC1)C2 ZINC001109923728 764201239 /nfs/dbraw/zinc/20/12/39/764201239.db2.gz MUGKBRQBKVWCNV-ILXRZTDVSA-N 1 2 317.433 1.038 20 30 DDEDLO CN(C(=O)c1ccc(C#N)[nH]1)C1C[NH+](CCOc2ccccc2)C1 ZINC001042628707 764291623 /nfs/dbraw/zinc/29/16/23/764291623.db2.gz NMXSIRZGUNXNQX-UHFFFAOYSA-N 1 2 324.384 1.722 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H](Nc3cc[nH+]c(C)n3)C2)nc1 ZINC001057673057 764293408 /nfs/dbraw/zinc/29/34/08/764293408.db2.gz RRBARTTZRCYKRW-HNNXBMFYSA-N 1 2 321.384 1.878 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nc(C)c1 ZINC001050938871 764309032 /nfs/dbraw/zinc/30/90/32/764309032.db2.gz ALVIQAQLDGRXPQ-INIZCTEOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nc(C)c1 ZINC001050938871 764309038 /nfs/dbraw/zinc/30/90/38/764309038.db2.gz ALVIQAQLDGRXPQ-INIZCTEOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnn1[C@@H](C)CC ZINC001050944314 764318654 /nfs/dbraw/zinc/31/86/54/764318654.db2.gz WJRFYRDDZGJLHS-LSDHHAIUSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnn1[C@@H](C)CC ZINC001050944314 764318659 /nfs/dbraw/zinc/31/86/59/764318659.db2.gz WJRFYRDDZGJLHS-LSDHHAIUSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnc2cc[nH]c2c1 ZINC001050975258 764371493 /nfs/dbraw/zinc/37/14/93/764371493.db2.gz JKXKSNIJMPWSQG-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnc2cc[nH]c2c1 ZINC001050975258 764371501 /nfs/dbraw/zinc/37/15/01/764371501.db2.gz JKXKSNIJMPWSQG-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc2cccnn21 ZINC001051147793 764554166 /nfs/dbraw/zinc/55/41/66/764554166.db2.gz PCQHLFLRFJSCBH-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc2cccnn21 ZINC001051147793 764554173 /nfs/dbraw/zinc/55/41/73/764554173.db2.gz PCQHLFLRFJSCBH-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c(C)nc3ccnn3c2C)C1 ZINC001043170198 764734099 /nfs/dbraw/zinc/73/40/99/764734099.db2.gz SXTWMBSJSLGMQE-UHFFFAOYSA-N 1 2 313.405 1.678 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2sc(C)nc2C)CC1 ZINC001112801104 764789794 /nfs/dbraw/zinc/78/97/94/764789794.db2.gz FTHNKYKVUSZROI-MRXNPFEDSA-N 1 2 323.462 1.731 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+](CCCc2nc(C)no2)CC1 ZINC001112901290 764951242 /nfs/dbraw/zinc/95/12/42/764951242.db2.gz FZENSOZBLKRFAK-UHFFFAOYSA-N 1 2 306.410 1.811 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CN(C)C(=O)c2cccs2)CC1 ZINC001112919471 764973142 /nfs/dbraw/zinc/97/31/42/764973142.db2.gz DMSVTMVTOMWRGV-UHFFFAOYSA-N 1 2 307.419 1.150 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ncc(OC)cc2F)CC1 ZINC001112936993 764999296 /nfs/dbraw/zinc/99/92/96/764999296.db2.gz LKJIIWVBJVWHKX-UHFFFAOYSA-N 1 2 323.368 1.190 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H](C[NH2+][C@@H](C)c2nnc(C)o2)C1 ZINC001051756283 765128981 /nfs/dbraw/zinc/12/89/81/765128981.db2.gz PAYQAHMAEOHOMV-WCQYABFASA-N 1 2 322.409 1.468 20 30 DDEDLO C[C@H](NC(=O)Cc1c[nH+]cn1C)[C@H](C)Nc1ncccc1C#N ZINC001113096329 765255229 /nfs/dbraw/zinc/25/52/29/765255229.db2.gz KADIUCRBLMXDKP-RYUDHWBXSA-N 1 2 312.377 1.235 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)nc1)[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001113098553 765263896 /nfs/dbraw/zinc/26/38/96/765263896.db2.gz LFPYVTBXANPARL-NEPJUHHUSA-N 1 2 312.377 1.235 20 30 DDEDLO CC(=O)N1CC[C@@H](N2CC[NH+](Cc3ccc(C#N)cc3)CC2)C1 ZINC001051959143 765312862 /nfs/dbraw/zinc/31/28/62/765312862.db2.gz FVFNTMGNQITVNT-GOSISDBHSA-N 1 2 312.417 1.297 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)[C@@H](C)Nc1ccc(C#N)cn1 ZINC001113141088 765317153 /nfs/dbraw/zinc/31/71/53/765317153.db2.gz UNCXOOWGKSIQOC-GHMZBOCLSA-N 1 2 312.377 1.533 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)/C=C\C3CC3)C2)CC1 ZINC001051998200 765364857 /nfs/dbraw/zinc/36/48/57/765364857.db2.gz OXDMKAWABRDYLN-YIEVGGLXSA-N 1 2 323.868 1.924 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C3=CCCC3)C2)CC1 ZINC001052010729 765380069 /nfs/dbraw/zinc/38/00/69/765380069.db2.gz FMWHGVZITBFYEY-KRWDZBQOSA-N 1 2 303.450 1.891 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3CC3(C)C)C2)CC1 ZINC001052013476 765382462 /nfs/dbraw/zinc/38/24/62/765382462.db2.gz ZSJAULCZFKJPPH-HOTGVXAUSA-N 1 2 305.466 1.827 20 30 DDEDLO Cc1csc(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)c1 ZINC001052024865 765399463 /nfs/dbraw/zinc/39/94/63/765399463.db2.gz PHZIZICFBFHBLR-AWEZNQCLSA-N 1 2 318.446 1.412 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)CC3(C)CC3)C2)CC1 ZINC001052052632 765425720 /nfs/dbraw/zinc/42/57/20/765425720.db2.gz RVTURLPWQIUVIU-MRXNPFEDSA-N 1 2 303.450 1.418 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)CC3(C)CC3)C2)CC1 ZINC001052054110 765425764 /nfs/dbraw/zinc/42/57/64/765425764.db2.gz YMVDEXXBSJZEEM-MRXNPFEDSA-N 1 2 303.450 1.418 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](N2CC[NH+](CC#CC)CC2)C1 ZINC001052060824 765432810 /nfs/dbraw/zinc/43/28/10/765432810.db2.gz NIHREAVYTYSQDN-QGZVFWFLSA-N 1 2 317.477 1.831 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(C)CCC3)C2)CC1 ZINC001052063597 765435568 /nfs/dbraw/zinc/43/55/68/765435568.db2.gz WVNYLUNRSQZTCD-INIZCTEOSA-N 1 2 303.450 1.418 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(CC)CCC3)C2)CC1 ZINC001052066891 765440709 /nfs/dbraw/zinc/44/07/09/765440709.db2.gz YTGWZTMWTSVHAD-KRWDZBQOSA-N 1 2 317.477 1.809 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H](C)C(C)C)C2)CC1 ZINC001052094654 765465407 /nfs/dbraw/zinc/46/54/07/765465407.db2.gz XEHLCJXSUVBKOD-IAGOWNOFSA-N 1 2 305.466 1.520 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)C3(F)CCCCC3)C2)CC1 ZINC001052105988 765475441 /nfs/dbraw/zinc/47/54/41/765475441.db2.gz YDAQZTMERJTVNA-MRXNPFEDSA-N 1 2 321.440 1.511 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(F)CCCCC3)C2)CC1 ZINC001052105988 765475446 /nfs/dbraw/zinc/47/54/46/765475446.db2.gz YDAQZTMERJTVNA-MRXNPFEDSA-N 1 2 321.440 1.511 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3nocc3C)C2)CC1 ZINC001052106230 765476734 /nfs/dbraw/zinc/47/67/34/765476734.db2.gz GBKNDWWKPPFXMG-CQSZACIVSA-N 1 2 304.394 1.001 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@]2(C)OCCc3ccccc32)C1 ZINC001044367622 765513432 /nfs/dbraw/zinc/51/34/32/765513432.db2.gz BZWHJEFBTHWOKJ-LJQANCHMSA-N 1 2 312.413 1.640 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H](C)[C@@H](C)Nc2cc[nH+]c(C)n2)c1 ZINC001113288957 765529722 /nfs/dbraw/zinc/52/97/22/765529722.db2.gz QJOLWKFDZZBOMS-NEPJUHHUSA-N 1 2 309.373 1.780 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001052187451 765562605 /nfs/dbraw/zinc/56/26/05/765562605.db2.gz JDZYEVGIWUDSAI-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001052187451 765562612 /nfs/dbraw/zinc/56/26/12/765562612.db2.gz JDZYEVGIWUDSAI-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001058172044 766287239 /nfs/dbraw/zinc/28/72/39/766287239.db2.gz QOIGEPKSPODHPU-HNNXBMFYSA-N 1 2 324.388 1.243 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@H]1CCCN(c2ccncc2C#N)C1 ZINC001058176575 766288062 /nfs/dbraw/zinc/28/80/62/766288062.db2.gz AZLTWEADONZKNY-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCCN(c3cc[nH+]c(C)n3)C2)cn1 ZINC001058179100 766289047 /nfs/dbraw/zinc/28/90/47/766289047.db2.gz PALRMMDJEGZXQT-MRXNPFEDSA-N 1 2 321.384 1.560 20 30 DDEDLO CC1(NC(=O)c2c[nH]c(C#N)c2)CC[NH+](Cc2cnon2)CC1 ZINC001045411464 766291374 /nfs/dbraw/zinc/29/13/74/766291374.db2.gz GZLCHUATPAJIFD-UHFFFAOYSA-N 1 2 314.349 1.054 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001058247239 766324054 /nfs/dbraw/zinc/32/40/54/766324054.db2.gz MZTWTYLQFFZZBW-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO N#CCSCC(=O)NC1[C@H]2C[N@@H+](C/C(Cl)=C\Cl)C[C@@H]12 ZINC001113897413 766362636 /nfs/dbraw/zinc/36/26/36/766362636.db2.gz UXAGPVJMAJUVOE-XFYCJYLMSA-N 1 2 320.245 1.609 20 30 DDEDLO N#CCSCC(=O)NC1[C@H]2C[N@H+](C/C(Cl)=C\Cl)C[C@@H]12 ZINC001113897413 766362643 /nfs/dbraw/zinc/36/26/43/766362643.db2.gz UXAGPVJMAJUVOE-XFYCJYLMSA-N 1 2 320.245 1.609 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CC)OC ZINC001114008361 766528866 /nfs/dbraw/zinc/52/88/66/766528866.db2.gz HKBWCRWRJJQPEY-WHOHXGKFSA-N 1 2 317.227 1.366 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CC)OC ZINC001114008361 766528878 /nfs/dbraw/zinc/52/88/78/766528878.db2.gz HKBWCRWRJJQPEY-WHOHXGKFSA-N 1 2 317.227 1.366 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)OC ZINC001114016652 766537118 /nfs/dbraw/zinc/53/71/18/766537118.db2.gz BXQQYMOMODLSKP-DCQANWLSSA-N 1 2 317.227 1.366 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)OC ZINC001114016652 766537123 /nfs/dbraw/zinc/53/71/23/766537123.db2.gz BXQQYMOMODLSKP-DCQANWLSSA-N 1 2 317.227 1.366 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ncccc1C#N ZINC001067543315 766606257 /nfs/dbraw/zinc/60/62/57/766606257.db2.gz WRZAXVDVBZCCFP-ZFWWWQNUSA-N 1 2 324.388 1.323 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csc(C)n3)C[C@H]21 ZINC001114201364 766761817 /nfs/dbraw/zinc/76/18/17/766761817.db2.gz OCEPFYHGYDTGGR-FOLVSLTJSA-N 1 2 303.431 1.801 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csc(C)n3)C[C@H]21 ZINC001114201364 766761820 /nfs/dbraw/zinc/76/18/20/766761820.db2.gz OCEPFYHGYDTGGR-FOLVSLTJSA-N 1 2 303.431 1.801 20 30 DDEDLO C[C@H]1CCN(c2ccncc2C#N)C[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067993885 766861844 /nfs/dbraw/zinc/86/18/44/766861844.db2.gz PRUOIBQXLFKPOR-SWLSCSKDSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046060821 766873716 /nfs/dbraw/zinc/87/37/16/766873716.db2.gz GKZRMEFSIGYAQD-RDJZCZTQSA-N 1 2 304.438 1.331 20 30 DDEDLO C[C@H]1CC[C@H](C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)CC1 ZINC001046077848 766891960 /nfs/dbraw/zinc/89/19/60/766891960.db2.gz FGMUWVHFFSQGPB-ULQDDVLXSA-N 1 2 318.465 1.555 20 30 DDEDLO C[C@@]1(NC(=O)c2cc(C#N)c[nH]2)CC[N@H+](Cc2nccs2)C1 ZINC001046222859 767205347 /nfs/dbraw/zinc/20/53/47/767205347.db2.gz DUCVIKIXDDZRHB-OAHLLOKOSA-N 1 2 315.402 1.737 20 30 DDEDLO C[C@@]1(NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+](Cc2nccs2)C1 ZINC001046222859 767205349 /nfs/dbraw/zinc/20/53/49/767205349.db2.gz DUCVIKIXDDZRHB-OAHLLOKOSA-N 1 2 315.402 1.737 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnc3nccn3c2)C1 ZINC001046484420 767619206 /nfs/dbraw/zinc/61/92/06/767619206.db2.gz JFXQUCIZPCATOS-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnc3nccn3c2)C1 ZINC001046484420 767619209 /nfs/dbraw/zinc/61/92/09/767619209.db2.gz JFXQUCIZPCATOS-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068963668 767731090 /nfs/dbraw/zinc/73/10/90/767731090.db2.gz ZXAVZUGVMLUPEQ-RISCZKNCSA-N 1 2 310.361 1.320 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccncc2C#N)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001068971623 767737073 /nfs/dbraw/zinc/73/70/73/767737073.db2.gz LLBWTTLJCLQKGO-IUODEOHRSA-N 1 2 324.388 1.132 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccncc2C#N)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001068971623 767737081 /nfs/dbraw/zinc/73/70/81/767737081.db2.gz LLBWTTLJCLQKGO-IUODEOHRSA-N 1 2 324.388 1.132 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069078533 767827686 /nfs/dbraw/zinc/82/76/86/767827686.db2.gz HGUNPPVEKNPGDF-DOMZBBRYSA-N 1 2 324.388 1.710 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@H](NC(=O)CSCC#N)CC[C@H]2C)on1 ZINC001131824660 768390526 /nfs/dbraw/zinc/39/05/26/768390526.db2.gz CQEYFDXPROLSMP-CHWSQXEVSA-N 1 2 322.434 1.709 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@H](NC(=O)CSCC#N)CC[C@H]2C)on1 ZINC001131824660 768390530 /nfs/dbraw/zinc/39/05/30/768390530.db2.gz CQEYFDXPROLSMP-CHWSQXEVSA-N 1 2 322.434 1.709 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CSCC#N)C[N@@H+]1Cc1cncn1C ZINC001131825953 768393419 /nfs/dbraw/zinc/39/34/19/768393419.db2.gz MOYCPBOJULKHHA-CHWSQXEVSA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CSCC#N)C[N@H+]1Cc1cncn1C ZINC001131825953 768393425 /nfs/dbraw/zinc/39/34/25/768393425.db2.gz MOYCPBOJULKHHA-CHWSQXEVSA-N 1 2 321.450 1.146 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+][C@H](C)c1nnc(CC)o1 ZINC001131870079 768431438 /nfs/dbraw/zinc/43/14/38/768431438.db2.gz NANLSAQLUSMVPZ-MLGOLLRUSA-N 1 2 324.425 1.628 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cncc(OC)n2)C1 ZINC001131896773 768479170 /nfs/dbraw/zinc/47/91/70/768479170.db2.gz VJTJWPNWKQYRRU-UONOGXRCSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cncc(OC)n2)C1 ZINC001131896773 768479172 /nfs/dbraw/zinc/47/91/72/768479172.db2.gz VJTJWPNWKQYRRU-UONOGXRCSA-N 1 2 318.421 1.921 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(Cl)cc2F)C1 ZINC001047449311 768486225 /nfs/dbraw/zinc/48/62/25/768486225.db2.gz CACULNNSEXAZKQ-KBPBESRZSA-N 1 2 310.756 1.229 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(Cl)cc2F)C1 ZINC001047449311 768486228 /nfs/dbraw/zinc/48/62/28/768486228.db2.gz CACULNNSEXAZKQ-KBPBESRZSA-N 1 2 310.756 1.229 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cc(OC)no2)C1 ZINC001131946745 768505101 /nfs/dbraw/zinc/50/51/01/768505101.db2.gz MLPQDSYACBVCLD-CHWSQXEVSA-N 1 2 323.393 1.355 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cc(OC)no2)C1 ZINC001131946745 768505107 /nfs/dbraw/zinc/50/51/07/768505107.db2.gz MLPQDSYACBVCLD-CHWSQXEVSA-N 1 2 323.393 1.355 20 30 DDEDLO CC(C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1)=C1CCC1 ZINC001132018018 768575233 /nfs/dbraw/zinc/57/52/33/768575233.db2.gz URUSQTVHFQBSTK-IUODEOHRSA-N 1 2 318.421 1.096 20 30 DDEDLO CC(C(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1)=C1CCC1 ZINC001132018018 768575236 /nfs/dbraw/zinc/57/52/36/768575236.db2.gz URUSQTVHFQBSTK-IUODEOHRSA-N 1 2 318.421 1.096 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@@H]2C)nn1C ZINC001132045095 768598260 /nfs/dbraw/zinc/59/82/60/768598260.db2.gz ACDMSIUUALXEFT-BBRMVZONSA-N 1 2 314.433 1.611 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@@H]2C)nn1C ZINC001132045095 768598263 /nfs/dbraw/zinc/59/82/63/768598263.db2.gz ACDMSIUUALXEFT-BBRMVZONSA-N 1 2 314.433 1.611 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CCC2CCC2)CC1 ZINC001070951100 768805215 /nfs/dbraw/zinc/80/52/15/768805215.db2.gz AYQAROTUXHZZCG-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CCC2CCC2)CC1 ZINC001070951100 768805223 /nfs/dbraw/zinc/80/52/23/768805223.db2.gz AYQAROTUXHZZCG-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@]2(C)CCC[C@@H]2C)CC1 ZINC001070996140 768856432 /nfs/dbraw/zinc/85/64/32/768856432.db2.gz JIUPZKCZDHEVOG-MAUKXSAKSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@]2(C)CCC[C@@H]2C)CC1 ZINC001070996140 768856444 /nfs/dbraw/zinc/85/64/44/768856444.db2.gz JIUPZKCZDHEVOG-MAUKXSAKSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2C(C)C)CC1 ZINC001071011667 768877468 /nfs/dbraw/zinc/87/74/68/768877468.db2.gz OIKPGNGXEQWPMD-JKSUJKDBSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2C(C)C)CC1 ZINC001071011667 768877481 /nfs/dbraw/zinc/87/74/81/768877481.db2.gz OIKPGNGXEQWPMD-JKSUJKDBSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@]2(C)CC)CC1 ZINC001071012789 768877639 /nfs/dbraw/zinc/87/76/39/768877639.db2.gz GUFDAMYTLQQHJV-PBHICJAKSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@]2(C)CC)CC1 ZINC001071012789 768877651 /nfs/dbraw/zinc/87/76/51/768877651.db2.gz GUFDAMYTLQQHJV-PBHICJAKSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)c1cn[nH]c1)C[C@@H](C)O2 ZINC001071139588 769009997 /nfs/dbraw/zinc/00/99/97/769009997.db2.gz XLJSKTDVOKXZHX-DOMZBBRYSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)c1cn[nH]c1)C[C@@H](C)O2 ZINC001071139588 769010008 /nfs/dbraw/zinc/01/00/08/769010008.db2.gz XLJSKTDVOKXZHX-DOMZBBRYSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001132662529 769071748 /nfs/dbraw/zinc/07/17/48/769071748.db2.gz FHJJTRSXCSDDMP-OLZOCXBDSA-N 1 2 324.425 1.975 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001071272125 769218437 /nfs/dbraw/zinc/21/84/37/769218437.db2.gz GWCULYKILVMSQO-YJBOKZPZSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001071272125 769218443 /nfs/dbraw/zinc/21/84/43/769218443.db2.gz GWCULYKILVMSQO-YJBOKZPZSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc(=O)n(C)o2)CC[C@H]1C ZINC001071463584 769472674 /nfs/dbraw/zinc/47/26/74/769472674.db2.gz NUMWPROURLNQTG-MNOVXSKESA-N 1 2 313.785 1.314 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc(=O)n(C)o2)CC[C@H]1C ZINC001071463584 769472679 /nfs/dbraw/zinc/47/26/79/769472679.db2.gz NUMWPROURLNQTG-MNOVXSKESA-N 1 2 313.785 1.314 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nc3c2CCCC3)CC[C@H]1C ZINC001071635126 769761593 /nfs/dbraw/zinc/76/15/93/769761593.db2.gz NYRICJMGIYJGGT-ZIAGYGMSSA-N 1 2 314.433 1.895 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2[nH]nc3c2CCCC3)CC[C@H]1C ZINC001071635126 769761596 /nfs/dbraw/zinc/76/15/96/769761596.db2.gz NYRICJMGIYJGGT-ZIAGYGMSSA-N 1 2 314.433 1.895 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2cn[nH]n2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071635008 769762617 /nfs/dbraw/zinc/76/26/17/769762617.db2.gz MBYQHISIQRMOFH-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2cn[nH]n2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071635008 769762625 /nfs/dbraw/zinc/76/26/25/769762625.db2.gz MBYQHISIQRMOFH-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2cnn[nH]2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071635008 769762632 /nfs/dbraw/zinc/76/26/32/769762632.db2.gz MBYQHISIQRMOFH-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2cnn[nH]2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071635008 769762641 /nfs/dbraw/zinc/76/26/41/769762641.db2.gz MBYQHISIQRMOFH-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[N@@H+](Cc3ncc(Cl)n3C)C[C@@H]2C1 ZINC001048728145 769782562 /nfs/dbraw/zinc/78/25/62/769782562.db2.gz VTEKUFVRWKFRPX-UTUOFQBUSA-N 1 2 321.812 1.123 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[N@H+](Cc3ncc(Cl)n3C)C[C@@H]2C1 ZINC001048728145 769782571 /nfs/dbraw/zinc/78/25/71/769782571.db2.gz VTEKUFVRWKFRPX-UTUOFQBUSA-N 1 2 321.812 1.123 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2nc3cnccc3s2)CC[C@@H]1C ZINC001071663336 769817346 /nfs/dbraw/zinc/81/73/46/769817346.db2.gz LYERNVQPEYSMLX-NWDGAFQWSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2nc3cnccc3s2)CC[C@@H]1C ZINC001071663336 769817359 /nfs/dbraw/zinc/81/73/59/769817359.db2.gz LYERNVQPEYSMLX-NWDGAFQWSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2nc3cnccc3s2)CC[C@H]1C ZINC001071663335 769817387 /nfs/dbraw/zinc/81/73/87/769817387.db2.gz LYERNVQPEYSMLX-NEPJUHHUSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2nc3cnccc3s2)CC[C@H]1C ZINC001071663335 769817400 /nfs/dbraw/zinc/81/74/00/769817400.db2.gz LYERNVQPEYSMLX-NEPJUHHUSA-N 1 2 314.414 1.907 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC001133566064 769995730 /nfs/dbraw/zinc/99/57/30/769995730.db2.gz TTYJLMXLGATLIQ-UHFFFAOYSA-N 1 2 306.410 1.929 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cn2nccc2C)CC[C@H]1C ZINC001071864390 770193324 /nfs/dbraw/zinc/19/33/24/770193324.db2.gz CBBWEQPDNIJYHE-TZMCWYRMSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cn2nccc2C)CC[C@H]1C ZINC001071864390 770193327 /nfs/dbraw/zinc/19/33/27/770193327.db2.gz CBBWEQPDNIJYHE-TZMCWYRMSA-N 1 2 310.829 1.913 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CN1CC#N ZINC001071983024 770384267 /nfs/dbraw/zinc/38/42/67/770384267.db2.gz UYUQIWPRXNQTQW-KGLIPLIRSA-N 1 2 324.388 1.374 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[NH2+]Cc1nc(CCCC)no1 ZINC001134061997 770608987 /nfs/dbraw/zinc/60/89/87/770608987.db2.gz NFYJEHRSFHUWHZ-OLZOCXBDSA-N 1 2 322.409 1.209 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072187749 770640236 /nfs/dbraw/zinc/64/02/36/770640236.db2.gz QSOYZILICSEHNT-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)no1 ZINC001049382066 770762175 /nfs/dbraw/zinc/76/21/75/770762175.db2.gz MNVFPHSVEKLGEN-NILFDRSVSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)no1 ZINC001049382066 770762184 /nfs/dbraw/zinc/76/21/84/770762184.db2.gz MNVFPHSVEKLGEN-NILFDRSVSA-N 1 2 302.378 1.708 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891216 771237838 /nfs/dbraw/zinc/23/78/38/771237838.db2.gz DRQQZHNUVMEJJV-HOTGVXAUSA-N 1 2 309.373 1.270 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891216 771237841 /nfs/dbraw/zinc/23/78/41/771237841.db2.gz DRQQZHNUVMEJJV-HOTGVXAUSA-N 1 2 309.373 1.270 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc(COC)s1)C2 ZINC001096666423 771381305 /nfs/dbraw/zinc/38/13/05/771381305.db2.gz CAAGZAQAYRBGEX-WOPDTQHZSA-N 1 2 305.403 1.258 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc(COC)s1)C2 ZINC001096666423 771381311 /nfs/dbraw/zinc/38/13/11/771381311.db2.gz CAAGZAQAYRBGEX-WOPDTQHZSA-N 1 2 305.403 1.258 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc[nH]c2C2CC2)[C@H](O)C1 ZINC001090526483 771855697 /nfs/dbraw/zinc/85/56/97/771855697.db2.gz UDWHUCYXBFTVMZ-ZIAGYGMSSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc[nH]c2C2CC2)[C@H](O)C1 ZINC001090526483 771855701 /nfs/dbraw/zinc/85/57/01/771855701.db2.gz UDWHUCYXBFTVMZ-ZIAGYGMSSA-N 1 2 323.824 1.810 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001090959797 772382616 /nfs/dbraw/zinc/38/26/16/772382616.db2.gz SKKQWWMIRSQNHM-DGCLKSJQSA-N 1 2 318.425 1.471 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@@H]1O ZINC001091074620 772509705 /nfs/dbraw/zinc/50/97/05/772509705.db2.gz FEKIDDFDBUELIJ-KGLIPLIRSA-N 1 2 304.394 1.034 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCO[C@@H](CNC(=O)[C@H](C)C#N)C2)oc1C ZINC001149399328 772775374 /nfs/dbraw/zinc/77/53/74/772775374.db2.gz SBWZRODNCAJOPT-RISCZKNCSA-N 1 2 320.393 1.158 20 30 DDEDLO Cc1nc(C[N@H+]2CCCO[C@@H](CNC(=O)[C@H](C)C#N)C2)oc1C ZINC001149399328 772775376 /nfs/dbraw/zinc/77/53/76/772775376.db2.gz SBWZRODNCAJOPT-RISCZKNCSA-N 1 2 320.393 1.158 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCO[C@H](CNC(=O)[C@@H](C)C#N)C2)oc1C ZINC001149399329 772775389 /nfs/dbraw/zinc/77/53/89/772775389.db2.gz SBWZRODNCAJOPT-SMDDNHRTSA-N 1 2 320.393 1.158 20 30 DDEDLO Cc1nc(C[N@H+]2CCCO[C@H](CNC(=O)[C@@H](C)C#N)C2)oc1C ZINC001149399329 772775390 /nfs/dbraw/zinc/77/53/90/772775390.db2.gz SBWZRODNCAJOPT-SMDDNHRTSA-N 1 2 320.393 1.158 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](C)CSC)C1 ZINC001149415347 772809399 /nfs/dbraw/zinc/80/93/99/772809399.db2.gz LQMKRELXCJFZEF-WCQYABFASA-N 1 2 320.886 1.945 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H](C)CSC)C1 ZINC001149415347 772809402 /nfs/dbraw/zinc/80/94/02/772809402.db2.gz LQMKRELXCJFZEF-WCQYABFASA-N 1 2 320.886 1.945 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nonc1C)C2 ZINC001147427865 773130147 /nfs/dbraw/zinc/13/01/47/773130147.db2.gz ANXZXFXRKLYGFC-UHFFFAOYSA-N 1 2 302.378 1.216 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nonc1C)C2 ZINC001147427865 773130149 /nfs/dbraw/zinc/13/01/49/773130149.db2.gz ANXZXFXRKLYGFC-UHFFFAOYSA-N 1 2 302.378 1.216 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001147541477 773163235 /nfs/dbraw/zinc/16/32/35/773163235.db2.gz PSWDKPYHRXBQKW-UHFFFAOYSA-N 1 2 312.417 1.560 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001147541477 773163236 /nfs/dbraw/zinc/16/32/36/773163236.db2.gz PSWDKPYHRXBQKW-UHFFFAOYSA-N 1 2 312.417 1.560 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001147631139 773186611 /nfs/dbraw/zinc/18/66/11/773186611.db2.gz PAKDEXHMULGMOQ-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001147631139 773186615 /nfs/dbraw/zinc/18/66/15/773186615.db2.gz PAKDEXHMULGMOQ-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCOc1ccc(C(=O)N[C@@H](CO)Cc2c[nH]c[nH+]2)cc1 ZINC001148468349 773480253 /nfs/dbraw/zinc/48/02/53/773480253.db2.gz WVVHGZXMRKIHJS-CQSZACIVSA-N 1 2 301.346 1.308 20 30 DDEDLO C=CCOc1ccc(C(=O)N[C@@H](CO)Cc2c[nH+]c[nH]2)cc1 ZINC001148468349 773480258 /nfs/dbraw/zinc/48/02/58/773480258.db2.gz WVVHGZXMRKIHJS-CQSZACIVSA-N 1 2 301.346 1.308 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001074036778 773599162 /nfs/dbraw/zinc/59/91/62/773599162.db2.gz QVUIHDOZRKNDKS-KBPBESRZSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001074101414 773631574 /nfs/dbraw/zinc/63/15/74/773631574.db2.gz MPGOTIOYNOWCCP-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)COCCCC)C1 ZINC001149483259 773652668 /nfs/dbraw/zinc/65/26/68/773652668.db2.gz YLVXJRBMHSSHIK-AWEZNQCLSA-N 1 2 318.845 1.763 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)COCCCC)C1 ZINC001149483259 773652671 /nfs/dbraw/zinc/65/26/71/773652671.db2.gz YLVXJRBMHSSHIK-AWEZNQCLSA-N 1 2 318.845 1.763 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C(=C)C)C[C@H]21 ZINC001074315290 773816413 /nfs/dbraw/zinc/81/64/13/773816413.db2.gz SXZUEKWMEVISMI-HZPDHXFCSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C(=C)C)C[C@H]21 ZINC001074315290 773816416 /nfs/dbraw/zinc/81/64/16/773816416.db2.gz SXZUEKWMEVISMI-HZPDHXFCSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCn3cccc3)C[C@@H]21 ZINC001074371366 773863807 /nfs/dbraw/zinc/86/38/07/773863807.db2.gz JVPIFBQRKXDNTQ-DLBZAZTESA-N 1 2 315.417 1.203 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCn3cccc3)C[C@@H]21 ZINC001074371366 773863814 /nfs/dbraw/zinc/86/38/14/773863814.db2.gz JVPIFBQRKXDNTQ-DLBZAZTESA-N 1 2 315.417 1.203 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092144454 773956785 /nfs/dbraw/zinc/95/67/85/773956785.db2.gz ZNBRFXXZGXSJSO-CABCVRRESA-N 1 2 316.405 1.034 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CC=CC1)c1nccn12 ZINC001092358581 774072939 /nfs/dbraw/zinc/07/29/39/774072939.db2.gz GAEKXZFXRDSUJW-OAHLLOKOSA-N 1 2 310.401 1.445 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1nocc1C)c1nccn12 ZINC001092404926 774104313 /nfs/dbraw/zinc/10/43/13/774104313.db2.gz FMSDXSTWESFHMR-LBPRGKRZSA-N 1 2 313.361 1.251 20 30 DDEDLO Cc1nc(N2C[C@@H](C)[C@H](CCNC(=O)[C@H](C)C#N)C2)cc[nH+]1 ZINC001092486043 774144635 /nfs/dbraw/zinc/14/46/35/774144635.db2.gz XTXSLFNQHOYSOA-YRGRVCCFSA-N 1 2 301.394 1.523 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001075099562 774349118 /nfs/dbraw/zinc/34/91/18/774349118.db2.gz NIDPFFFPTLMGTJ-STQMWFEESA-N 1 2 318.421 1.660 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001075128524 774372589 /nfs/dbraw/zinc/37/25/89/774372589.db2.gz ZQJUXBJNEYSJJY-KBPBESRZSA-N 1 2 316.405 1.107 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@@H]3[C@@H](CCN3CC#N)C2)c[nH+]1 ZINC001036749182 774471405 /nfs/dbraw/zinc/47/14/05/774471405.db2.gz RKZXFUAHFRUKAE-LSDHHAIUSA-N 1 2 315.421 1.373 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3C[C@@H]3OCC)CC2)C1 ZINC001093511011 774758360 /nfs/dbraw/zinc/75/83/60/774758360.db2.gz MNUMZMUAXBJHGH-HOTGVXAUSA-N 1 2 308.422 1.289 20 30 DDEDLO C[C@H](CCNC(=O)CCn1cc[nH+]c1)Nc1ncccc1C#N ZINC001099626447 775075237 /nfs/dbraw/zinc/07/52/37/775075237.db2.gz XTTDVHJRBLGLTB-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C[C@H]1O ZINC001099626949 775076129 /nfs/dbraw/zinc/07/61/29/775076129.db2.gz HEFAFZLRNWHBGZ-HZPDHXFCSA-N 1 2 319.380 1.549 20 30 DDEDLO CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C[C@H]1O ZINC001099626949 775076135 /nfs/dbraw/zinc/07/61/35/775076135.db2.gz HEFAFZLRNWHBGZ-HZPDHXFCSA-N 1 2 319.380 1.549 20 30 DDEDLO CCCC(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C[C@H]1O ZINC001099626670 775076445 /nfs/dbraw/zinc/07/64/45/775076445.db2.gz CFFQWZYTKCGWEZ-JKSUJKDBSA-N 1 2 319.380 1.549 20 30 DDEDLO CCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C[C@H]1O ZINC001099626670 775076449 /nfs/dbraw/zinc/07/64/49/775076449.db2.gz CFFQWZYTKCGWEZ-JKSUJKDBSA-N 1 2 319.380 1.549 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)C(C)(F)F)[C@H](O)C1 ZINC001100089736 775665943 /nfs/dbraw/zinc/66/59/43/775665943.db2.gz ISGKKTJPDUPWJR-WDEREUQCSA-N 1 2 324.799 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)C(C)(F)F)[C@H](O)C1 ZINC001100089736 775665946 /nfs/dbraw/zinc/66/59/46/775665946.db2.gz ISGKKTJPDUPWJR-WDEREUQCSA-N 1 2 324.799 1.972 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@@]3(C)CC)nn2)C1 ZINC001094331700 775713429 /nfs/dbraw/zinc/71/34/29/775713429.db2.gz CORUBSUXDQZFMU-DOTOQJQBSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC[C@H](C)C3)nn2)C1 ZINC001094325389 775753579 /nfs/dbraw/zinc/75/35/79/775753579.db2.gz MDXQYPYUSJPNPD-UONOGXRCSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094701125 776212386 /nfs/dbraw/zinc/21/23/86/776212386.db2.gz CLPPVBDOLWOMCJ-UHFFFAOYSA-N 1 2 320.441 1.767 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)c3coc(C)c3)CC2=O)C1 ZINC001094731248 776234094 /nfs/dbraw/zinc/23/40/94/776234094.db2.gz MCMMWIAQFIDVSJ-CQSZACIVSA-N 1 2 317.389 1.179 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCCCNc1ncccc1C#N ZINC001094827630 776383295 /nfs/dbraw/zinc/38/32/95/776383295.db2.gz LWEVCZPQTNSDED-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCCCNc1ncccc1C#N ZINC001094827630 776383303 /nfs/dbraw/zinc/38/33/03/776383303.db2.gz LWEVCZPQTNSDED-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO COC(=O)c1cn2c(n1)C[N@H+]([C@@H](C)Cc1ccc(C#N)cc1)CC2 ZINC001172310722 776744596 /nfs/dbraw/zinc/74/45/96/776744596.db2.gz IQQJIZCCWIQAKI-ZDUSSCGKSA-N 1 2 324.384 1.988 20 30 DDEDLO COC(=O)c1cn2c(n1)C[N@@H+]([C@@H](C)Cc1ccc(C#N)cc1)CC2 ZINC001172310722 776744598 /nfs/dbraw/zinc/74/45/98/776744598.db2.gz IQQJIZCCWIQAKI-ZDUSSCGKSA-N 1 2 324.384 1.988 20 30 DDEDLO Cc1cc(N2CC[C@@H](CNC(=O)[C@@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001100950390 776750938 /nfs/dbraw/zinc/75/09/38/776750938.db2.gz SMPFTOIXRYWILY-AAEUAGOBSA-N 1 2 313.405 1.765 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100969820 776776322 /nfs/dbraw/zinc/77/63/22/776776322.db2.gz DRSONJLOZJKQRQ-OAHLLOKOSA-N 1 2 300.406 1.921 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](CC)OCC)c1nccn12 ZINC001101613899 777305803 /nfs/dbraw/zinc/30/58/03/777305803.db2.gz KAVCKVYQOUKVPM-UONOGXRCSA-N 1 2 318.421 1.456 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)CCC)c1nccn12 ZINC001101617649 777310709 /nfs/dbraw/zinc/31/07/09/777310709.db2.gz RSMAOPMEIXUSQZ-CABCVRRESA-N 1 2 314.433 1.915 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101901691 777660935 /nfs/dbraw/zinc/66/09/35/777660935.db2.gz OENVKIPFCONGHS-UHFFFAOYSA-N 1 2 306.414 1.329 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101912606 777671409 /nfs/dbraw/zinc/67/14/09/777671409.db2.gz SDMDWFNCMHANIF-RYUDHWBXSA-N 1 2 316.409 1.692 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001101947415 777716430 /nfs/dbraw/zinc/71/64/30/777716430.db2.gz OBUAOUTYEMIYCY-AWEZNQCLSA-N 1 2 324.388 1.667 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC1(Nc2ccc(C#N)cn2)CC1 ZINC001110195472 778134234 /nfs/dbraw/zinc/13/42/34/778134234.db2.gz KDDCUGCGENFLJX-UHFFFAOYSA-N 1 2 310.361 1.288 20 30 DDEDLO C[C@H](CCCCNCC#N)NC(=O)[C@@H](c1cccnc1)[NH+](C)C ZINC001176775679 778234399 /nfs/dbraw/zinc/23/43/99/778234399.db2.gz PVDZHEAURVSUGH-GDBMZVCRSA-N 1 2 317.437 1.472 20 30 DDEDLO CN(C(=O)CCc1[nH]cc[nH+]1)[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001102695816 778322560 /nfs/dbraw/zinc/32/25/60/778322560.db2.gz NPKXDJDGDFTHIN-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)COC(C)(C)C)C[C@H]21 ZINC001176988636 778344412 /nfs/dbraw/zinc/34/44/12/778344412.db2.gz JRIVEGVPPBVSNX-CABCVRRESA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)COC(C)(C)C)C[C@H]21 ZINC001176988636 778344418 /nfs/dbraw/zinc/34/44/18/778344418.db2.gz JRIVEGVPPBVSNX-CABCVRRESA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC3CC3)C[C@H]21 ZINC001176955832 778347783 /nfs/dbraw/zinc/34/77/83/778347783.db2.gz ZXWQWPXQGUVFOF-IAGOWNOFSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC3CC3)C[C@H]21 ZINC001176955832 778347789 /nfs/dbraw/zinc/34/77/89/778347789.db2.gz ZXWQWPXQGUVFOF-IAGOWNOFSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCOCC=C)C[C@@H]21 ZINC001176976155 778362038 /nfs/dbraw/zinc/36/20/38/778362038.db2.gz GPOHRFZBGGBHIV-IRXDYDNUSA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCOCC=C)C[C@@H]21 ZINC001176976155 778362042 /nfs/dbraw/zinc/36/20/42/778362042.db2.gz GPOHRFZBGGBHIV-IRXDYDNUSA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]2OCC[N@@H+](CC=C(C)C)[C@@H]2C1 ZINC001177013190 778392073 /nfs/dbraw/zinc/39/20/73/778392073.db2.gz PFTUTYJQICWLNW-SJORKVTESA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]2OCC[N@H+](CC=C(C)C)[C@@H]2C1 ZINC001177013190 778392076 /nfs/dbraw/zinc/39/20/76/778392076.db2.gz PFTUTYJQICWLNW-SJORKVTESA-N 1 2 320.433 1.294 20 30 DDEDLO CCN(C(=O)Cn1cc[nH+]c1)[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001102811192 778420165 /nfs/dbraw/zinc/42/01/65/778420165.db2.gz PUOVTUHBGAGJQH-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCOCC)[C@H]2C1 ZINC001177062352 778421754 /nfs/dbraw/zinc/42/17/54/778421754.db2.gz WKSIGGOKCADDCP-LSDHHAIUSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCOCC)[C@H]2C1 ZINC001177062352 778421760 /nfs/dbraw/zinc/42/17/60/778421760.db2.gz WKSIGGOKCADDCP-LSDHHAIUSA-N 1 2 310.438 1.537 20 30 DDEDLO COC(=O)c1cc(C#N)cc(NC(=O)CN(C)c2cccc[nH+]2)c1 ZINC001177412683 778586336 /nfs/dbraw/zinc/58/63/36/778586336.db2.gz HKXMJRDMZOFOKR-UHFFFAOYSA-N 1 2 324.340 1.815 20 30 DDEDLO CC[C@@H](CNC(=O)CCn1cc[nH+]c1)Nc1ccc(C#N)cn1 ZINC001103248283 778734570 /nfs/dbraw/zinc/73/45/70/778734570.db2.gz HWNBIUMVOQWIQR-AWEZNQCLSA-N 1 2 312.377 1.547 20 30 DDEDLO C#CCOCCC(=O)N[C@@](C)(CNc1cc[nH+]c(C)n1)C1CC1 ZINC001103546426 778936897 /nfs/dbraw/zinc/93/68/97/778936897.db2.gz SNOKYBGDHSMHGT-KRWDZBQOSA-N 1 2 316.405 1.522 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001103808432 779063232 /nfs/dbraw/zinc/06/32/32/779063232.db2.gz BJGVNHDYZIYIQH-GXTWGEPZSA-N 1 2 304.394 1.779 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([NH2+]Cc2nnc(C3CC3)o2)[C@@H]1C ZINC001178645430 779122593 /nfs/dbraw/zinc/12/25/93/779122593.db2.gz LHXCFJBYMXSBJU-JSGCOSHPSA-N 1 2 316.405 1.685 20 30 DDEDLO C#CCC[NH2+]CC[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001104217920 779380019 /nfs/dbraw/zinc/38/00/19/779380019.db2.gz VXMKWKGUYAXTOK-IJLUTSLNSA-N 1 2 308.319 1.984 20 30 DDEDLO C#CCC[NH2+]CC[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1C ZINC001104217920 779380021 /nfs/dbraw/zinc/38/00/21/779380021.db2.gz VXMKWKGUYAXTOK-IJLUTSLNSA-N 1 2 308.319 1.984 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+]([C@@H](C)c1nncn1C)C2 ZINC001111650660 779417773 /nfs/dbraw/zinc/41/77/73/779417773.db2.gz ZVBQPEPWPHCJTC-ZQIUZPCESA-N 1 2 317.437 1.813 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+]([C@@H](C)c1nncn1C)C2 ZINC001111650660 779417775 /nfs/dbraw/zinc/41/77/75/779417775.db2.gz ZVBQPEPWPHCJTC-ZQIUZPCESA-N 1 2 317.437 1.813 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csc(C)n3)C[C@H]21 ZINC001115331746 780045156 /nfs/dbraw/zinc/04/51/56/780045156.db2.gz CSSWQWJXERRZQZ-RABLLNBGSA-N 1 2 321.446 1.589 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csc(C)n3)C[C@H]21 ZINC001115331746 780045163 /nfs/dbraw/zinc/04/51/63/780045163.db2.gz CSSWQWJXERRZQZ-RABLLNBGSA-N 1 2 321.446 1.589 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)NCC[NH+]2Cc3ccccc3C2)C1 ZINC001116549495 780522329 /nfs/dbraw/zinc/52/23/29/780522329.db2.gz DXKQCELXLGILHQ-KRWDZBQOSA-N 1 2 315.417 1.989 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(C)cc(F)c2)C1 ZINC001119471548 781466597 /nfs/dbraw/zinc/46/65/97/781466597.db2.gz AIQSRGYKESLTEZ-AWEZNQCLSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(C)cc(F)c2)C1 ZINC001119471548 781466602 /nfs/dbraw/zinc/46/66/02/781466602.db2.gz AIQSRGYKESLTEZ-AWEZNQCLSA-N 1 2 317.364 1.286 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCC[N@@H+]1CCS(C)(=O)=O ZINC001267274428 837722709 /nfs/dbraw/zinc/72/27/09/837722709.db2.gz OVWAYRCSGBABQV-ZFWWWQNUSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCC[N@H+]1CCS(C)(=O)=O ZINC001267274428 837722714 /nfs/dbraw/zinc/72/27/14/837722714.db2.gz OVWAYRCSGBABQV-ZFWWWQNUSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2cncc(F)c2)C1 ZINC001266251985 835989245 /nfs/dbraw/zinc/98/92/45/835989245.db2.gz KQPCPHZNRFQSQA-MRXNPFEDSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@H+](Cc2cncc(F)c2)C1 ZINC001266251985 835989250 /nfs/dbraw/zinc/98/92/50/835989250.db2.gz KQPCPHZNRFQSQA-MRXNPFEDSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@@H+]([C@@H]3CCNC3=O)C2)CCCC1 ZINC001266254774 835993717 /nfs/dbraw/zinc/99/37/17/835993717.db2.gz VAHZIDBDFFMWMQ-HUUCEWRRSA-N 1 2 319.449 1.592 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@H+]([C@@H]3CCNC3=O)C2)CCCC1 ZINC001266254774 835993722 /nfs/dbraw/zinc/99/37/22/835993722.db2.gz VAHZIDBDFFMWMQ-HUUCEWRRSA-N 1 2 319.449 1.592 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@@H](C)Cc2ccccc2)CC1 ZINC001266297344 836073418 /nfs/dbraw/zinc/07/34/18/836073418.db2.gz NQSIXIVIYINXMM-KRWDZBQOSA-N 1 2 313.445 1.232 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@H+]1[C@H](C)c1ncccn1 ZINC001266453336 836278197 /nfs/dbraw/zinc/27/81/97/836278197.db2.gz DAZVCMOTAVODEQ-KGLIPLIRSA-N 1 2 304.394 1.321 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@@H+]1[C@H](C)c1ncccn1 ZINC001266453336 836278208 /nfs/dbraw/zinc/27/82/08/836278208.db2.gz DAZVCMOTAVODEQ-KGLIPLIRSA-N 1 2 304.394 1.321 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1nccc(C)n1 ZINC001266458606 836287812 /nfs/dbraw/zinc/28/78/12/836287812.db2.gz YMIHLBKLKYCQKE-HNNXBMFYSA-N 1 2 300.406 1.669 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1nccc(C)n1 ZINC001266458606 836287818 /nfs/dbraw/zinc/28/78/18/836287818.db2.gz YMIHLBKLKYCQKE-HNNXBMFYSA-N 1 2 300.406 1.669 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC[C@H](F)C1 ZINC001266494413 836346113 /nfs/dbraw/zinc/34/61/13/836346113.db2.gz QQTMYHHYEINUDG-YHUYYLMFSA-N 1 2 308.397 1.450 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC[C@H](F)C1 ZINC001266494413 836346122 /nfs/dbraw/zinc/34/61/22/836346122.db2.gz QQTMYHHYEINUDG-YHUYYLMFSA-N 1 2 308.397 1.450 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC1(NC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001299160065 837158476 /nfs/dbraw/zinc/15/84/76/837158476.db2.gz HCLPVGPHQQRBBJ-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO N#CCN[C@@H](CNC(=O)[C@@H]1CCn2cc[nH+]c2C1)c1ccccc1 ZINC001267362028 837913635 /nfs/dbraw/zinc/91/36/35/837913635.db2.gz NHSXHEKFDMWULX-CVEARBPZSA-N 1 2 323.400 1.416 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)CCc2ccco2)CC1 ZINC001267574115 838339964 /nfs/dbraw/zinc/33/99/64/838339964.db2.gz VWEDJPCPONRFAC-UHFFFAOYSA-N 1 2 305.422 1.474 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@H]2CC[C@H](C)C2)CC1 ZINC001267574931 838342376 /nfs/dbraw/zinc/34/23/76/838342376.db2.gz XOJKSRPQDUDXPB-IRXDYDNUSA-N 1 2 305.466 1.522 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2cncn2C)C1 ZINC001267609929 838453416 /nfs/dbraw/zinc/45/34/16/838453416.db2.gz FHDQAIYXEVFSFJ-HUUCEWRRSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cncn2C)C1 ZINC001267609929 838453417 /nfs/dbraw/zinc/45/34/17/838453417.db2.gz FHDQAIYXEVFSFJ-HUUCEWRRSA-N 1 2 320.437 1.482 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc(C)s2)C1 ZINC001267622649 838513928 /nfs/dbraw/zinc/51/39/28/838513928.db2.gz JIRWILMEFJNVNJ-ZDUSSCGKSA-N 1 2 307.419 1.296 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2cnc(C)s2)C1 ZINC001267622649 838513931 /nfs/dbraw/zinc/51/39/31/838513931.db2.gz JIRWILMEFJNVNJ-ZDUSSCGKSA-N 1 2 307.419 1.296 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1C[NH+](C[C@H](OC)c2ccccc2)C1 ZINC001267644810 838564767 /nfs/dbraw/zinc/56/47/67/838564767.db2.gz WSMRHHYKSWKOGG-WMZOPIPTSA-N 1 2 318.417 1.502 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H](C)Cc2c(C)nn(C)c2C)C1 ZINC001267669995 838611868 /nfs/dbraw/zinc/61/18/68/838611868.db2.gz NPBCIWSUXDXUGH-GFCCVEGCSA-N 1 2 304.438 1.592 20 30 DDEDLO Cc1cc(C[NH+]2CC(CNC(=O)C#CC(C)(C)C)C2)ncn1 ZINC001267682455 838635560 /nfs/dbraw/zinc/63/55/60/838635560.db2.gz XXPSPCDFXUJRCP-UHFFFAOYSA-N 1 2 300.406 1.383 20 30 DDEDLO CCCC(CCC)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001267962851 839277824 /nfs/dbraw/zinc/27/78/24/839277824.db2.gz YCECUQWSPPVAOX-OAHLLOKOSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC(CCC)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001267962851 839277839 /nfs/dbraw/zinc/27/78/39/839277839.db2.gz YCECUQWSPPVAOX-OAHLLOKOSA-N 1 2 322.453 1.423 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)CS(=O)(=O)CCC ZINC001272042717 844420183 /nfs/dbraw/zinc/42/01/83/844420183.db2.gz IJURVEKKJBVRLZ-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)CS(=O)(=O)CCC ZINC001272042717 844420188 /nfs/dbraw/zinc/42/01/88/844420188.db2.gz IJURVEKKJBVRLZ-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)C[C@@H]2CCC(C)(C)CO2)C1 ZINC001268023425 839407226 /nfs/dbraw/zinc/40/72/26/839407226.db2.gz KBGPRZGLQAOEAE-HOTGVXAUSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)C[C@@H]2CCC(C)(C)CO2)C1 ZINC001268023425 839407229 /nfs/dbraw/zinc/40/72/29/839407229.db2.gz KBGPRZGLQAOEAE-HOTGVXAUSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)CCc2ccccc2OC)C1 ZINC001268028759 839441277 /nfs/dbraw/zinc/44/12/77/839441277.db2.gz MWDADAJCJGAOPT-INIZCTEOSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)CCc2ccccc2OC)C1 ZINC001268028759 839441294 /nfs/dbraw/zinc/44/12/94/839441294.db2.gz MWDADAJCJGAOPT-INIZCTEOSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H](Cc2ccccc2)OC)C1 ZINC001268029742 839446484 /nfs/dbraw/zinc/44/64/84/839446484.db2.gz ZEUCFJXGMSBMTQ-IAGOWNOFSA-N 1 2 318.417 1.247 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H](Cc2ccccc2)OC)C1 ZINC001268029742 839446490 /nfs/dbraw/zinc/44/64/90/839446490.db2.gz ZEUCFJXGMSBMTQ-IAGOWNOFSA-N 1 2 318.417 1.247 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001268030501 839454713 /nfs/dbraw/zinc/45/47/13/839454713.db2.gz RWZVQNQBXPSWEY-NEPJUHHUSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001268030501 839454706 /nfs/dbraw/zinc/45/47/06/839454706.db2.gz RWZVQNQBXPSWEY-NEPJUHHUSA-N 1 2 308.344 1.968 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H](C)C[NH2+][C@H](C)c1nc(CC)no1 ZINC001268058440 839626022 /nfs/dbraw/zinc/62/60/22/839626022.db2.gz CBBXYOFJGWCTMO-QWHCGFSZSA-N 1 2 306.410 1.933 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H](C)C[NH2+]Cc1nc(N(C)C)no1 ZINC001268080528 839658667 /nfs/dbraw/zinc/65/86/67/839658667.db2.gz XVQCAHPFELMWHF-LLVKDONJSA-N 1 2 309.414 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(C)=C2CCCC2)C1 ZINC001268183177 839806825 /nfs/dbraw/zinc/80/68/25/839806825.db2.gz HFAVROYGJYQQOT-INIZCTEOSA-N 1 2 317.433 1.207 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(C)=C2CCCC2)C1 ZINC001268183177 839806835 /nfs/dbraw/zinc/80/68/35/839806835.db2.gz HFAVROYGJYQQOT-INIZCTEOSA-N 1 2 317.433 1.207 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CCC[N@H+](CC(=O)N2CCC2)C1 ZINC001268215458 839848396 /nfs/dbraw/zinc/84/83/96/839848396.db2.gz JNXMNSLFURBPCS-YOEHRIQHSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CCC[N@@H+](CC(=O)N2CCC2)C1 ZINC001268215458 839848401 /nfs/dbraw/zinc/84/84/01/839848401.db2.gz JNXMNSLFURBPCS-YOEHRIQHSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](O)C[NH2+][C@@H](C)c2csnn2)C1 ZINC001268325080 840003660 /nfs/dbraw/zinc/00/36/60/840003660.db2.gz XWFMFJOCDQQMGJ-WDEREUQCSA-N 1 2 310.423 1.022 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001268437702 840187033 /nfs/dbraw/zinc/18/70/33/840187033.db2.gz LNEMNNJCBXYZEQ-UHFFFAOYSA-N 1 2 300.362 1.180 20 30 DDEDLO O=C(C#CC1CC1)NC/C=C\C[NH2+]Cc1nnc(C2CC2)s1 ZINC001268536465 840410666 /nfs/dbraw/zinc/41/06/66/840410666.db2.gz KJHJQOQJGWJCAD-UPHRSURJSA-N 1 2 316.430 1.591 20 30 DDEDLO C[N@H+]1C[C@H]2CN(C(=O)C#Cc3ccc(Cl)cc3)C[C@@H](C1)O2 ZINC001272065465 844542841 /nfs/dbraw/zinc/54/28/41/844542841.db2.gz PYRFCAFGRQRGLM-GASCZTMLSA-N 1 2 304.777 1.233 20 30 DDEDLO C[N@@H+]1C[C@H]2CN(C(=O)C#Cc3ccc(Cl)cc3)C[C@@H](C1)O2 ZINC001272065465 844542843 /nfs/dbraw/zinc/54/28/43/844542843.db2.gz PYRFCAFGRQRGLM-GASCZTMLSA-N 1 2 304.777 1.233 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)C[NH2+]Cc2nc(CCC)no2)C1 ZINC001268702903 840689757 /nfs/dbraw/zinc/68/97/57/840689757.db2.gz HQJAEBXLASRNTL-LBPRGKRZSA-N 1 2 306.410 1.973 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)C(=O)N1CCC[C@H](C)C1 ZINC001268748220 840751788 /nfs/dbraw/zinc/75/17/88/840751788.db2.gz DWVOTBJCZNRCJC-AAEUAGOBSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)C(=O)N1CCC[C@H](C)C1 ZINC001268748220 840751799 /nfs/dbraw/zinc/75/17/99/840751799.db2.gz DWVOTBJCZNRCJC-AAEUAGOBSA-N 1 2 315.845 1.434 20 30 DDEDLO CCCCOCC(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001268813676 840855105 /nfs/dbraw/zinc/85/51/05/840855105.db2.gz CXFFMEASPZDUGE-UHFFFAOYSA-N 1 2 315.417 1.923 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2cc(C(C)(C)C)on2)C1 ZINC001269042248 841138991 /nfs/dbraw/zinc/13/89/91/841138991.db2.gz QELPGJWHCOCIQK-ZDUSSCGKSA-N 1 2 319.405 1.426 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2cc(C(C)(C)C)on2)C1 ZINC001269042248 841139000 /nfs/dbraw/zinc/13/90/00/841139000.db2.gz QELPGJWHCOCIQK-ZDUSSCGKSA-N 1 2 319.405 1.426 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](CC(=O)NCC3CC3)C2)C1 ZINC001269061207 841160957 /nfs/dbraw/zinc/16/09/57/841160957.db2.gz GCSQGQTWJPFTMQ-AWEZNQCLSA-N 1 2 305.422 1.059 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](CC(=O)NCC3CC3)C2)C1 ZINC001269061207 841160962 /nfs/dbraw/zinc/16/09/62/841160962.db2.gz GCSQGQTWJPFTMQ-AWEZNQCLSA-N 1 2 305.422 1.059 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)sc2C)C1 ZINC001269122330 841232356 /nfs/dbraw/zinc/23/23/56/841232356.db2.gz VESGCPKVLVJSOP-CZUORRHYSA-N 1 2 323.462 1.778 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)sc2C)C1 ZINC001269122330 841232364 /nfs/dbraw/zinc/23/23/64/841232364.db2.gz VESGCPKVLVJSOP-CZUORRHYSA-N 1 2 323.462 1.778 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CC[N@H+]1Cc1cnc(C)s1 ZINC001269224035 841370491 /nfs/dbraw/zinc/37/04/91/841370491.db2.gz TZNSTQMRYNDATN-IUODEOHRSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CC[N@@H+]1Cc1cnc(C)s1 ZINC001269224035 841370501 /nfs/dbraw/zinc/37/05/01/841370501.db2.gz TZNSTQMRYNDATN-IUODEOHRSA-N 1 2 309.435 1.469 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CC[N@@H+]1Cc1cc(C)ccc1F ZINC001269234497 841391725 /nfs/dbraw/zinc/39/17/25/841391725.db2.gz AMMXTOHRRDYKFR-GOEBONIOSA-N 1 2 318.392 1.863 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CC[N@H+]1Cc1cc(C)ccc1F ZINC001269234497 841391737 /nfs/dbraw/zinc/39/17/37/841391737.db2.gz AMMXTOHRRDYKFR-GOEBONIOSA-N 1 2 318.392 1.863 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](N(C)C(=O)c2ccsc2C)C1 ZINC001269239705 841404914 /nfs/dbraw/zinc/40/49/14/841404914.db2.gz CQRTUEFFCBDASX-ZDUSSCGKSA-N 1 2 321.446 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccsc2C)C1 ZINC001269239705 841404918 /nfs/dbraw/zinc/40/49/18/841404918.db2.gz CQRTUEFFCBDASX-ZDUSSCGKSA-N 1 2 321.446 1.505 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@@H+]1CC[C@@H](N(C)C(=O)C#CC(C)C)C1 ZINC001269241886 841412242 /nfs/dbraw/zinc/41/22/42/841412242.db2.gz ZNWAVEOPJYHIJE-HUUCEWRRSA-N 1 2 307.438 1.093 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@H+]1CC[C@@H](N(C)C(=O)C#CC(C)C)C1 ZINC001269241886 841412245 /nfs/dbraw/zinc/41/22/45/841412245.db2.gz ZNWAVEOPJYHIJE-HUUCEWRRSA-N 1 2 307.438 1.093 20 30 DDEDLO O=C(CCc1cn[nH]c1)NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001269321575 841518286 /nfs/dbraw/zinc/51/82/86/841518286.db2.gz YODMTIKGSPPVLQ-SFHVURJKSA-N 1 2 322.412 1.585 20 30 DDEDLO O=C(CCc1cn[nH]c1)NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001269321575 841518303 /nfs/dbraw/zinc/51/83/03/841518303.db2.gz YODMTIKGSPPVLQ-SFHVURJKSA-N 1 2 322.412 1.585 20 30 DDEDLO C#CCCCC(=O)N(CC)[C@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001269325052 841523445 /nfs/dbraw/zinc/52/34/45/841523445.db2.gz UQOVYEIQKAIAKI-INIZCTEOSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCC(=O)N(CC)[C@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001269325052 841523453 /nfs/dbraw/zinc/52/34/53/841523453.db2.gz UQOVYEIQKAIAKI-INIZCTEOSA-N 1 2 302.422 1.646 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)C(C)(CC)CC)C1=O ZINC001269344510 841547822 /nfs/dbraw/zinc/54/78/22/841547822.db2.gz UXBTZDRIRVUOID-LSDHHAIUSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)C(C)(CC)CC)C1=O ZINC001269344510 841547828 /nfs/dbraw/zinc/54/78/28/841547828.db2.gz UXBTZDRIRVUOID-LSDHHAIUSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@H+]2[C@H](C)C(=O)NC)CCCCC1 ZINC001269353069 841562340 /nfs/dbraw/zinc/56/23/40/841562340.db2.gz ZDGDICQEOBSBLQ-CABCVRRESA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@@H+]2[C@H](C)C(=O)NC)CCCCC1 ZINC001269353069 841562348 /nfs/dbraw/zinc/56/23/48/841562348.db2.gz ZDGDICQEOBSBLQ-CABCVRRESA-N 1 2 321.465 1.838 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@](C)(C[NH2+]Cc1nnn(C)n1)C1CC1 ZINC001269620125 841835675 /nfs/dbraw/zinc/83/56/75/841835675.db2.gz ZKRINHIOIWKVNH-INIZCTEOSA-N 1 2 320.441 1.187 20 30 DDEDLO C=CCNC(=O)[C@@H]1CC12CCN(C(=O)CCc1c[nH]c[nH+]1)CC2 ZINC001269661287 841912054 /nfs/dbraw/zinc/91/20/54/841912054.db2.gz LFDPBZPHDUSJNS-AWEZNQCLSA-N 1 2 316.405 1.273 20 30 DDEDLO C=CCNC(=O)[C@@H]1CC12CCN(C(=O)CCc1c[nH+]c[nH]1)CC2 ZINC001269661287 841912062 /nfs/dbraw/zinc/91/20/62/841912062.db2.gz LFDPBZPHDUSJNS-AWEZNQCLSA-N 1 2 316.405 1.273 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@](C)(NC(=O)c2occc2C)C1 ZINC001270539392 842651552 /nfs/dbraw/zinc/65/15/52/842651552.db2.gz VRFOLTMMERFKJC-MRXNPFEDSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@](C)(NC(=O)c2occc2C)C1 ZINC001270539392 842651557 /nfs/dbraw/zinc/65/15/57/842651557.db2.gz VRFOLTMMERFKJC-MRXNPFEDSA-N 1 2 305.378 1.084 20 30 DDEDLO C#CCOCCC(=O)N[C@]1(C)CC[N@H+](Cc2cscn2)C1 ZINC001270585195 842689133 /nfs/dbraw/zinc/68/91/33/842689133.db2.gz YOPHYQVFDLJUIG-OAHLLOKOSA-N 1 2 307.419 1.264 20 30 DDEDLO C#CCOCCC(=O)N[C@]1(C)CC[N@@H+](Cc2cscn2)C1 ZINC001270585195 842689137 /nfs/dbraw/zinc/68/91/37/842689137.db2.gz YOPHYQVFDLJUIG-OAHLLOKOSA-N 1 2 307.419 1.264 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001271185455 843393386 /nfs/dbraw/zinc/39/33/86/843393386.db2.gz ZWAOVOGVNPQJLG-MLGOLLRUSA-N 1 2 322.409 1.348 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001271185455 843393397 /nfs/dbraw/zinc/39/33/97/843393397.db2.gz ZWAOVOGVNPQJLG-MLGOLLRUSA-N 1 2 322.409 1.348 20 30 DDEDLO C[C@@H](C#N)C(=O)NC12CC(C(=O)Nc3cccc4[nH+]ccn43)(C1)C2 ZINC001271212819 843419466 /nfs/dbraw/zinc/41/94/66/843419466.db2.gz ZBVINYCVJWWWDK-OSSATUEASA-N 1 2 323.356 1.471 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccc(F)c(Cl)c2)C1 ZINC001271343490 843509465 /nfs/dbraw/zinc/50/94/65/843509465.db2.gz OFPIJJXXMFOZIR-UHFFFAOYSA-N 1 2 310.756 1.279 20 30 DDEDLO CCCCCCC[NH+]1CC2(C1)COCC(=O)N2[C@@H](C)C(C)=O ZINC001272476591 846182107 /nfs/dbraw/zinc/18/21/07/846182107.db2.gz PEXOUWPYBKQRGH-AWEZNQCLSA-N 1 2 310.438 1.848 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@]1(C)C[N@H+](CCc2ccns2)CCO1 ZINC001107821129 847226928 /nfs/dbraw/zinc/22/69/28/847226928.db2.gz JQASWYRBMXAMNI-DOMZBBRYSA-N 1 2 322.434 1.052 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@]1(C)C[N@@H+](CCc2ccns2)CCO1 ZINC001107821129 847226939 /nfs/dbraw/zinc/22/69/39/847226939.db2.gz JQASWYRBMXAMNI-DOMZBBRYSA-N 1 2 322.434 1.052 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cscc2C)C1 ZINC001107851003 847342118 /nfs/dbraw/zinc/34/21/18/847342118.db2.gz VFRCEUHWOOUKQW-MRXNPFEDSA-N 1 2 306.431 1.901 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cscc2C)C1 ZINC001107851003 847342125 /nfs/dbraw/zinc/34/21/25/847342125.db2.gz VFRCEUHWOOUKQW-MRXNPFEDSA-N 1 2 306.431 1.901 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1COCC[N@H+]1CC1CC(F)(F)C1 ZINC001272851215 847549027 /nfs/dbraw/zinc/54/90/27/847549027.db2.gz OGYZBBVPBVTGRY-CQSZACIVSA-N 1 2 314.376 1.652 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1COCC[N@@H+]1CC1CC(F)(F)C1 ZINC001272851215 847549032 /nfs/dbraw/zinc/54/90/32/847549032.db2.gz OGYZBBVPBVTGRY-CQSZACIVSA-N 1 2 314.376 1.652 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccnc1OC ZINC001272885229 847585987 /nfs/dbraw/zinc/58/59/87/847585987.db2.gz JPZKWDLVIHLEPV-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccnc1OC ZINC001272885229 847585998 /nfs/dbraw/zinc/58/59/98/847585998.db2.gz JPZKWDLVIHLEPV-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)Cc1sc(C)cc1C ZINC001272901609 847612338 /nfs/dbraw/zinc/61/23/38/847612338.db2.gz KUOBZLLBSUIRMS-HNNXBMFYSA-N 1 2 320.458 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)Cc1sc(C)cc1C ZINC001272901609 847612343 /nfs/dbraw/zinc/61/23/43/847612343.db2.gz KUOBZLLBSUIRMS-HNNXBMFYSA-N 1 2 320.458 1.748 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCCC[C@@H]1CNCC#N ZINC001272999361 847764041 /nfs/dbraw/zinc/76/40/41/847764041.db2.gz LRNZQCXCKWJDLK-MGPQQGTHSA-N 1 2 301.394 1.018 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)CC[NH2+]Cc1noc(CC)n1 ZINC001273026784 847806009 /nfs/dbraw/zinc/80/60/09/847806009.db2.gz LCWLDKGNZPIGOW-UPJWGTAASA-N 1 2 322.409 1.208 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001034212942 848119516 /nfs/dbraw/zinc/11/95/16/848119516.db2.gz RYFCHWKPHJWASP-LSDHHAIUSA-N 1 2 314.433 1.824 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001034212942 848119517 /nfs/dbraw/zinc/11/95/17/848119517.db2.gz RYFCHWKPHJWASP-LSDHHAIUSA-N 1 2 314.433 1.824 20 30 DDEDLO C=CC[N@H+]1CCCC[C@H](NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001034213555 848120224 /nfs/dbraw/zinc/12/02/24/848120224.db2.gz ZPNWZEAUDMYPCR-GJZGRUSLSA-N 1 2 302.422 1.986 20 30 DDEDLO C=CC[N@H+]1CCCC[C@@H](NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001034213553 848120276 /nfs/dbraw/zinc/12/02/76/848120276.db2.gz ZPNWZEAUDMYPCR-CABCVRRESA-N 1 2 302.422 1.986 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3cnccc3Cl)C2)OCC1=O ZINC001273172461 848629885 /nfs/dbraw/zinc/62/98/85/848629885.db2.gz NNDSJVDTGVHZBL-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3cnccc3Cl)C2)OCC1=O ZINC001273172461 848629894 /nfs/dbraw/zinc/62/98/94/848629894.db2.gz NNDSJVDTGVHZBL-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C#CCN1C[C@]2(CC[N@@H+](Cc3cccnc3Cl)C2)OCC1=O ZINC001273192059 848666870 /nfs/dbraw/zinc/66/68/70/848666870.db2.gz AMFYMFSCACLDJV-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@]2(CC[N@H+](Cc3cccnc3Cl)C2)OCC1=O ZINC001273192059 848666875 /nfs/dbraw/zinc/66/68/75/848666875.db2.gz AMFYMFSCACLDJV-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)/C=C(\C)CC)C2)CC1 ZINC001273374893 849735597 /nfs/dbraw/zinc/73/55/97/849735597.db2.gz OKAMPNRGUFSUMN-FYPAKXHLSA-N 1 2 303.450 1.585 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](OC)C(C)C)C1 ZINC001150248267 862155528 /nfs/dbraw/zinc/15/55/28/862155528.db2.gz TUMRNMXNAIUXJP-UONOGXRCSA-N 1 2 318.845 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H](OC)C(C)C)C1 ZINC001150248267 862155533 /nfs/dbraw/zinc/15/55/33/862155533.db2.gz TUMRNMXNAIUXJP-UONOGXRCSA-N 1 2 318.845 1.617 20 30 DDEDLO C#CCCC[NH+]1CC2(C1)CN(CCCn1cccc1)C(=O)CO2 ZINC001273541721 851077262 /nfs/dbraw/zinc/07/72/62/851077262.db2.gz JBZMOPRMQQCADH-UHFFFAOYSA-N 1 2 315.417 1.205 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc3cc[nH]c3n1)C2 ZINC001273632241 851167288 /nfs/dbraw/zinc/16/72/88/851167288.db2.gz PFDALIUHXLTHND-UHFFFAOYSA-N 1 2 312.373 1.162 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)CC[C@@H]1CCOC1)CO2 ZINC001273696836 851236699 /nfs/dbraw/zinc/23/66/99/851236699.db2.gz QBZPIFRLIQIADW-HUUCEWRRSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc1nc(C(F)F)no1 ZINC001328993966 863403501 /nfs/dbraw/zinc/40/35/01/863403501.db2.gz AMRSTKBOOFHLTL-BDAKNGLRSA-N 1 2 302.325 1.956 20 30 DDEDLO Cc1cc(C[NH+]2CC3(C2)COCC(=O)N3CCCC#N)cs1 ZINC001273942343 851535040 /nfs/dbraw/zinc/53/50/40/851535040.db2.gz JLWUPMKGPACJPZ-UHFFFAOYSA-N 1 2 319.430 1.774 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)C[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001274747206 852574245 /nfs/dbraw/zinc/57/42/45/852574245.db2.gz ITHSQAGZNNVJKX-NEPJUHHUSA-N 1 2 304.394 1.762 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C[NH2+][C@H](C)c2nc(C)no2)cc1 ZINC001274878495 852662622 /nfs/dbraw/zinc/66/26/22/852662622.db2.gz ZHOAIBMRCGRZBZ-VXGBXAGGSA-N 1 2 312.373 1.828 20 30 DDEDLO COCCOCN1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cncc(C#N)c1 ZINC001275027779 852754393 /nfs/dbraw/zinc/75/43/93/852754393.db2.gz OYDXGLCJSSWPKU-CALCHBBNSA-N 1 2 316.405 1.222 20 30 DDEDLO COCCOCN1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cncc(C#N)c1 ZINC001275027779 852754401 /nfs/dbraw/zinc/75/44/01/852754401.db2.gz OYDXGLCJSSWPKU-CALCHBBNSA-N 1 2 316.405 1.222 20 30 DDEDLO Cc1ncc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)cn1 ZINC001275217360 852904318 /nfs/dbraw/zinc/90/43/18/852904318.db2.gz KABBVNMZUXGZEN-UHFFFAOYSA-N 1 2 322.416 1.313 20 30 DDEDLO C[C@@H](NC(=O)C#CC(C)(C)C)[C@H](C)[NH2+]Cc1nnc(C2CC2)o1 ZINC001275395684 853063272 /nfs/dbraw/zinc/06/32/72/853063272.db2.gz WNFOWNSQYTTWEU-NWDGAFQWSA-N 1 2 318.421 1.979 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001111487261 855183628 /nfs/dbraw/zinc/18/36/28/855183628.db2.gz FULFVSYOXRTKPJ-YUELXQCFSA-N 1 2 321.446 1.957 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001111487261 855183632 /nfs/dbraw/zinc/18/36/32/855183632.db2.gz FULFVSYOXRTKPJ-YUELXQCFSA-N 1 2 321.446 1.957 20 30 DDEDLO CN(CCCNC(=O)CCc1c[nH]c[nH+]1)c1ccncc1C#N ZINC001095768170 855334384 /nfs/dbraw/zinc/33/43/84/855334384.db2.gz VUQUGGCNNDMKGT-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CN(CCCNC(=O)CCc1c[nH+]c[nH]1)c1ccncc1C#N ZINC001095768170 855334387 /nfs/dbraw/zinc/33/43/87/855334387.db2.gz VUQUGGCNNDMKGT-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C[C@@H](NC(=O)[C@@H](C)C#N)C1C[NH+](Cc2ccc(Cl)nc2)C1 ZINC001276359178 855714456 /nfs/dbraw/zinc/71/44/56/855714456.db2.gz FROFSRQSDGXFOI-WDEREUQCSA-N 1 2 306.797 1.831 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC[C@@H](CC[NH+]2CC(O)(CC#N)C2)C1 ZINC001412972059 855830097 /nfs/dbraw/zinc/83/00/97/855830097.db2.gz UJRDGYMXKBLXFB-AWEZNQCLSA-N 1 2 323.437 1.984 20 30 DDEDLO C/C(=C/C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C1CC1 ZINC001046009354 856470851 /nfs/dbraw/zinc/47/08/51/856470851.db2.gz RDRVNGAOPLCAOV-OBEJXRGXSA-N 1 2 302.422 1.085 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001049558253 856974253 /nfs/dbraw/zinc/97/42/53/856974253.db2.gz OFXDZITXQSAQQM-NUEKZKHPSA-N 1 2 313.405 1.034 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)[C@H](C)CNC(=O)C(=O)N1CC[C@@H](C)C1 ZINC001156248173 862857438 /nfs/dbraw/zinc/85/74/38/862857438.db2.gz NYJPIIKEUFDOKA-DGCLKSJQSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](CC)[C@H](C)CNC(=O)C(=O)N1CC[C@@H](C)C1 ZINC001156248173 862857453 /nfs/dbraw/zinc/85/74/53/862857453.db2.gz NYJPIIKEUFDOKA-DGCLKSJQSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N(C)CCCc1[nH+]ccn1CCC ZINC001328556196 863064633 /nfs/dbraw/zinc/06/46/33/863064633.db2.gz TUPNPHRBDVJVRX-UHFFFAOYSA-N 1 2 320.437 1.719 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N1CCC2(COC2)C1 ZINC001122487840 858847849 /nfs/dbraw/zinc/84/78/49/858847849.db2.gz INHLUQVVQPFSEX-UHFFFAOYSA-N 1 2 317.437 1.677 20 30 DDEDLO C#CCOCCC(=O)N1C[C@@H](Nc2cc[nH+]c(C)n2)C[C@H]1C ZINC001123281357 859160449 /nfs/dbraw/zinc/16/04/49/859160449.db2.gz VANZYSKYVGSCRO-OCCSQVGLSA-N 1 2 302.378 1.226 20 30 DDEDLO CC#CC[NH2+][C@H]1C[C@@H](NC(=O)c2cncc3nc[nH]c32)C12CCC2 ZINC001202940977 860422677 /nfs/dbraw/zinc/42/26/77/860422677.db2.gz UUXZAJBHKXPDBW-LSDHHAIUSA-N 1 2 323.400 1.612 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nonc1C ZINC001157203295 863650511 /nfs/dbraw/zinc/65/05/11/863650511.db2.gz GVXSECPBKIAXTM-GFCCVEGCSA-N 1 2 310.398 1.297 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nonc1C ZINC001157203295 863650518 /nfs/dbraw/zinc/65/05/18/863650518.db2.gz GVXSECPBKIAXTM-GFCCVEGCSA-N 1 2 310.398 1.297 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)cn1 ZINC001157217089 863657958 /nfs/dbraw/zinc/65/79/58/863657958.db2.gz HBFUHGOVZKYFEG-HUUCEWRRSA-N 1 2 320.437 1.703 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)cn1 ZINC001157217089 863657964 /nfs/dbraw/zinc/65/79/64/863657964.db2.gz HBFUHGOVZKYFEG-HUUCEWRRSA-N 1 2 320.437 1.703 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](C)[N@H+](C)Cc2cnnn2C)CC1 ZINC001329539145 863719423 /nfs/dbraw/zinc/71/94/23/863719423.db2.gz GIGWIPRHZUSAEA-ZDUSSCGKSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](C)[N@@H+](C)Cc2cnnn2C)CC1 ZINC001329539145 863719428 /nfs/dbraw/zinc/71/94/28/863719428.db2.gz GIGWIPRHZUSAEA-ZDUSSCGKSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001153148398 863732994 /nfs/dbraw/zinc/73/29/94/863732994.db2.gz CYVUIWBXQMDJLK-NSHDSACASA-N 1 2 320.349 1.127 20 30 DDEDLO CC[N@H+](Cc1cn(C(C)C)nn1)[C@H](C)CNC(=O)C#CC(C)C ZINC001153207620 863764094 /nfs/dbraw/zinc/76/40/94/863764094.db2.gz MMMXZIHDVUKFEZ-OAHLLOKOSA-N 1 2 319.453 1.845 20 30 DDEDLO CC[N@@H+](Cc1cn(C(C)C)nn1)[C@H](C)CNC(=O)C#CC(C)C ZINC001153207620 863764102 /nfs/dbraw/zinc/76/41/02/863764102.db2.gz MMMXZIHDVUKFEZ-OAHLLOKOSA-N 1 2 319.453 1.845 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001329717833 863808593 /nfs/dbraw/zinc/80/85/93/863808593.db2.gz LPNWOYTZUAXGKF-HNNXBMFYSA-N 1 2 306.450 1.779 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1CC ZINC001153326988 863826436 /nfs/dbraw/zinc/82/64/36/863826436.db2.gz IJQSWVKJRVEQHL-CABCVRRESA-N 1 2 320.437 1.268 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1CC ZINC001153326988 863826439 /nfs/dbraw/zinc/82/64/39/863826439.db2.gz IJQSWVKJRVEQHL-CABCVRRESA-N 1 2 320.437 1.268 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O ZINC001153642243 863995406 /nfs/dbraw/zinc/99/54/06/863995406.db2.gz RWOIQULOZKGYGR-CYBMUJFWSA-N 1 2 304.456 1.214 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O ZINC001153642243 863995418 /nfs/dbraw/zinc/99/54/18/863995418.db2.gz RWOIQULOZKGYGR-CYBMUJFWSA-N 1 2 304.456 1.214 20 30 DDEDLO Cc1noc(C[N@H+]2CC=C(CCNC(=O)C#CC3CC3)CC2)n1 ZINC001160081805 865672368 /nfs/dbraw/zinc/67/23/68/865672368.db2.gz WBXJZUQWOSMMSW-UHFFFAOYSA-N 1 2 314.389 1.430 20 30 DDEDLO Cc1noc(C[N@@H+]2CC=C(CCNC(=O)C#CC3CC3)CC2)n1 ZINC001160081805 865672374 /nfs/dbraw/zinc/67/23/74/865672374.db2.gz WBXJZUQWOSMMSW-UHFFFAOYSA-N 1 2 314.389 1.430 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CCNC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])CC1 ZINC001160098335 865679025 /nfs/dbraw/zinc/67/90/25/865679025.db2.gz YJAVOSIJGLYUEW-CHWSQXEVSA-N 1 2 313.785 1.543 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CCNC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])CC1 ZINC001160098335 865679030 /nfs/dbraw/zinc/67/90/30/865679030.db2.gz YJAVOSIJGLYUEW-CHWSQXEVSA-N 1 2 313.785 1.543 20 30 DDEDLO CSc1nnc(SC)n1[NH+]=CC(C)(C)S(C)(=O)=O ZINC001332258134 865702410 /nfs/dbraw/zinc/70/24/10/865702410.db2.gz HZTAYIIWZRFGSE-UHFFFAOYSA-N 1 2 308.454 1.379 20 30 DDEDLO CCOC(=O)c1cc2cc[nH+]c(N[C@@H]3C(=O)N(O)C[C@@H]3C)c2[nH]1 ZINC001160174334 865709472 /nfs/dbraw/zinc/70/94/72/865709472.db2.gz BQYWSZFZTICQPH-KWQFWETISA-N 1 2 318.333 1.388 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H](O)C[N@H+](C)Cc1nccs1 ZINC001332631977 865997969 /nfs/dbraw/zinc/99/79/69/865997969.db2.gz HUBNPLUVAAZNKV-CYBMUJFWSA-N 1 2 309.435 1.054 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1nccs1 ZINC001332631977 865997977 /nfs/dbraw/zinc/99/79/77/865997977.db2.gz HUBNPLUVAAZNKV-CYBMUJFWSA-N 1 2 309.435 1.054 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)CC(C)=C(C)C)C1=O ZINC001323286342 866499814 /nfs/dbraw/zinc/49/98/14/866499814.db2.gz DZSSQZQCLUWYTB-HZPDHXFCSA-N 1 2 319.449 1.710 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)CC(C)=C(C)C)C1=O ZINC001323286342 866499818 /nfs/dbraw/zinc/49/98/18/866499818.db2.gz DZSSQZQCLUWYTB-HZPDHXFCSA-N 1 2 319.449 1.710 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001323311959 866522177 /nfs/dbraw/zinc/52/21/77/866522177.db2.gz WWKFFHIEZMXHQQ-JSGCOSHPSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001323311959 866522183 /nfs/dbraw/zinc/52/21/83/866522183.db2.gz WWKFFHIEZMXHQQ-JSGCOSHPSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCCC(=O)NC1CC(CNC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001333653404 866905422 /nfs/dbraw/zinc/90/54/22/866905422.db2.gz GEGKDYOUBYJUDN-NFOMZHRRSA-N 1 2 318.421 1.811 20 30 DDEDLO COc1cc(N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)ccn1 ZINC001277073734 882035288 /nfs/dbraw/zinc/03/52/88/882035288.db2.gz USNDZSGDCQGLLJ-UHFFFAOYSA-N 1 2 323.400 1.623 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H](C)OCc2ccncc2)C1 ZINC001323980542 866990700 /nfs/dbraw/zinc/99/07/00/866990700.db2.gz CUCYMNFZDACCRG-AWEZNQCLSA-N 1 2 301.390 1.153 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)[C@@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001333933295 867167832 /nfs/dbraw/zinc/16/78/32/867167832.db2.gz JCDROQKISVOXIX-UONOGXRCSA-N 1 2 304.394 1.086 20 30 DDEDLO C#CCCCC(=O)NC1(C)CC[NH+](Cc2nc(CC)no2)CC1 ZINC001324308869 867194363 /nfs/dbraw/zinc/19/43/63/867194363.db2.gz INDBADRTXRWGAU-UHFFFAOYSA-N 1 2 318.421 1.906 20 30 DDEDLO C#CCN(C(=O)[C@@H]1C[C@H]1C)C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001324365671 867230649 /nfs/dbraw/zinc/23/06/49/867230649.db2.gz XUDOHTKOTWAIQE-RHSMWYFYSA-N 1 2 314.433 1.502 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C\C[NH2+]Cc2ncccn2)cc1 ZINC001321191869 867392001 /nfs/dbraw/zinc/39/20/01/867392001.db2.gz JKWIGQCKQRWLKP-ARJAWSKDSA-N 1 2 306.369 1.534 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001381531859 882096100 /nfs/dbraw/zinc/09/61/00/882096100.db2.gz IOQFKGFFHHGLQB-JTQLQIEISA-N 1 2 324.812 1.862 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001381531859 882096119 /nfs/dbraw/zinc/09/61/19/882096119.db2.gz IOQFKGFFHHGLQB-JTQLQIEISA-N 1 2 324.812 1.862 20 30 DDEDLO CN(C)C=[NH+]c1ccc(-n2cc(F)c(=O)nc2N)cc1C#N ZINC001163010386 867993401 /nfs/dbraw/zinc/99/34/01/867993401.db2.gz VRJSXBXOWLKBGZ-QGMBQPNBSA-N 1 2 300.297 1.290 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001335107633 868069246 /nfs/dbraw/zinc/06/92/46/868069246.db2.gz RVUHOXOCFAKTGI-SJORKVTESA-N 1 2 317.433 1.879 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001335107633 868069256 /nfs/dbraw/zinc/06/92/56/868069256.db2.gz RVUHOXOCFAKTGI-SJORKVTESA-N 1 2 317.433 1.879 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@H](C)[C@H](CNCC#N)C2)c[nH+]1 ZINC001325532452 868114836 /nfs/dbraw/zinc/11/48/36/868114836.db2.gz UDHIWJBYGFKQKD-GXTWGEPZSA-N 1 2 303.410 1.134 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001335510397 868351539 /nfs/dbraw/zinc/35/15/39/868351539.db2.gz HLRPZFGJKRLISR-ZIAGYGMSSA-N 1 2 316.405 1.155 20 30 DDEDLO CC(C)C[C@@H](C(=O)NCCC1(CNCC#N)CC1)n1cc[nH+]c1 ZINC001165637934 869665459 /nfs/dbraw/zinc/66/54/59/869665459.db2.gz NXFASHHEFGOTBS-HNNXBMFYSA-N 1 2 317.437 1.870 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@@H]1C ZINC001337982490 869727479 /nfs/dbraw/zinc/72/74/79/869727479.db2.gz GJWGFXVONQMRAM-CABCVRRESA-N 1 2 316.405 1.038 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC001317043083 870154681 /nfs/dbraw/zinc/15/46/81/870154681.db2.gz HGIPFUJQKCYEBU-LSDHHAIUSA-N 1 2 315.421 1.139 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccco1 ZINC001317048718 870164589 /nfs/dbraw/zinc/16/45/89/870164589.db2.gz BCGJZJUGYIANFU-GASCZTMLSA-N 1 2 302.374 1.147 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccco1 ZINC001317048718 870164597 /nfs/dbraw/zinc/16/45/97/870164597.db2.gz BCGJZJUGYIANFU-GASCZTMLSA-N 1 2 302.374 1.147 20 30 DDEDLO Cc1cnc(N2CC([N@@H+]3CCOC(C)(C)C3)C2)c(/C=N/O)c1 ZINC001166984045 870449668 /nfs/dbraw/zinc/44/96/68/870449668.db2.gz TWSVRWJLLVKJQB-QGMBQPNBSA-N 1 2 304.394 1.498 20 30 DDEDLO Cc1cnc(N2CC([N@H+]3CCOC(C)(C)C3)C2)c(/C=N/O)c1 ZINC001166984045 870449677 /nfs/dbraw/zinc/44/96/77/870449677.db2.gz TWSVRWJLLVKJQB-QGMBQPNBSA-N 1 2 304.394 1.498 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C1C[NH+](CC(=O)NCC2CC2)C1 ZINC001276413474 870653894 /nfs/dbraw/zinc/65/38/94/870653894.db2.gz DITFLMWHJTZCDD-CQSZACIVSA-N 1 2 319.449 1.143 20 30 DDEDLO C=C1CCC(C(=O)N[C@H](C)CNC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001298698212 870680007 /nfs/dbraw/zinc/68/00/07/870680007.db2.gz AWSWIUHACFCBMO-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H](C)CNC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001298866426 870758526 /nfs/dbraw/zinc/75/85/26/870758526.db2.gz AWSWIUHACFCBMO-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO CC#CCCCC(=O)NCC[N@H+](C)CC(=O)N1CCCCC1 ZINC001317457322 870873886 /nfs/dbraw/zinc/87/38/86/870873886.db2.gz QTDCXOSKXDMXHO-UHFFFAOYSA-N 1 2 307.438 1.241 20 30 DDEDLO CC#CCCCC(=O)NCC[N@@H+](C)CC(=O)N1CCCCC1 ZINC001317457322 870873893 /nfs/dbraw/zinc/87/38/93/870873893.db2.gz QTDCXOSKXDMXHO-UHFFFAOYSA-N 1 2 307.438 1.241 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[N@@H+](C)CC(=O)NCC1CCC1 ZINC001317459107 870878110 /nfs/dbraw/zinc/87/81/10/870878110.db2.gz NRNBFWVXAQHBQD-UHFFFAOYSA-N 1 2 309.454 1.553 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[N@H+](C)CC(=O)NCC1CCC1 ZINC001317459107 870878122 /nfs/dbraw/zinc/87/81/22/870878122.db2.gz NRNBFWVXAQHBQD-UHFFFAOYSA-N 1 2 309.454 1.553 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@H+]1Cc1nc(C)c(C)o1 ZINC001317504767 870938768 /nfs/dbraw/zinc/93/87/68/870938768.db2.gz JKWDCVPAPHPVRI-OAHLLOKOSA-N 1 2 319.405 1.412 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1nc(C)c(C)o1 ZINC001317504767 870938777 /nfs/dbraw/zinc/93/87/77/870938777.db2.gz JKWDCVPAPHPVRI-OAHLLOKOSA-N 1 2 319.405 1.412 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)c3ccccn3)n2CC)CC1 ZINC001340231233 870972594 /nfs/dbraw/zinc/97/25/94/870972594.db2.gz URWAQHYZKGMMKY-HNNXBMFYSA-N 1 2 324.432 1.600 20 30 DDEDLO CC(C)CCc1noc(C[NH2+]CCN(C)C(=O)[C@@H](C)C#N)n1 ZINC001317573175 871112795 /nfs/dbraw/zinc/11/27/95/871112795.db2.gz MJBOSJSXTNFPCU-LBPRGKRZSA-N 1 2 307.398 1.366 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2Cc3nc(C4CC4)[nH]c(=O)c3C2)C1=O ZINC001308050515 871464699 /nfs/dbraw/zinc/46/46/99/871464699.db2.gz JGYSBGUQENJOQM-CYBMUJFWSA-N 1 2 300.362 1.162 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2Cc3nc(C4CC4)[nH]c(=O)c3C2)C1=O ZINC001308050515 871464710 /nfs/dbraw/zinc/46/47/10/871464710.db2.gz JGYSBGUQENJOQM-CYBMUJFWSA-N 1 2 300.362 1.162 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)CC(C2CC2)C2CC2)CC1 ZINC001317824037 871551201 /nfs/dbraw/zinc/55/12/01/871551201.db2.gz JDNZLYPBBFNMLR-UHFFFAOYSA-N 1 2 317.477 1.522 20 30 DDEDLO C#CC[NH2+]CC(=O)N1CCC(c2nnc(-c3ccccc3)[nH]2)CC1 ZINC001341522440 871692489 /nfs/dbraw/zinc/69/24/89/871692489.db2.gz ZOGZEIDZZUFART-UHFFFAOYSA-N 1 2 323.400 1.401 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccoc1 ZINC001318193460 871812955 /nfs/dbraw/zinc/81/29/55/871812955.db2.gz RMSYFKQIHMPERD-HOTGVXAUSA-N 1 2 302.374 1.147 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccoc1 ZINC001318193460 871812995 /nfs/dbraw/zinc/81/29/95/871812995.db2.gz RMSYFKQIHMPERD-HOTGVXAUSA-N 1 2 302.374 1.147 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](N(C)C(=O)CSCC#N)C2)s1 ZINC001318391075 871987322 /nfs/dbraw/zinc/98/73/22/871987322.db2.gz XBTALRTWGPMMRZ-LBPRGKRZSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](N(C)C(=O)CSCC#N)C2)s1 ZINC001318391075 871987329 /nfs/dbraw/zinc/98/73/29/871987329.db2.gz XBTALRTWGPMMRZ-LBPRGKRZSA-N 1 2 324.475 1.741 20 30 DDEDLO CCC[C@H](C)CC(=O)N(CC)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318456944 872070585 /nfs/dbraw/zinc/07/05/85/872070585.db2.gz FQKXYUFSFGBQJF-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO CCC[C@H](C)CC(=O)N(CC)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318456944 872070604 /nfs/dbraw/zinc/07/06/04/872070604.db2.gz FQKXYUFSFGBQJF-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC001342228181 872085584 /nfs/dbraw/zinc/08/55/84/872085584.db2.gz IDNMGLHWKRDTPN-OAHLLOKOSA-N 1 2 320.414 1.609 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC001342228181 872085607 /nfs/dbraw/zinc/08/56/07/872085607.db2.gz IDNMGLHWKRDTPN-OAHLLOKOSA-N 1 2 320.414 1.609 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)CC#N)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001342384312 872184425 /nfs/dbraw/zinc/18/44/25/872184425.db2.gz JNYNZLCEKVEPFK-WWGRRREGSA-N 1 2 318.425 1.114 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)CC#N)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001342384312 872184430 /nfs/dbraw/zinc/18/44/30/872184430.db2.gz JNYNZLCEKVEPFK-WWGRRREGSA-N 1 2 318.425 1.114 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@@](C)(NC(=O)C#CC(C)C)C2CC2)no1 ZINC001318686728 872205223 /nfs/dbraw/zinc/20/52/23/872205223.db2.gz RMNUNCRIDITOJP-SJKOYZFVSA-N 1 2 318.421 1.973 20 30 DDEDLO C=CCOCC(=O)N(C)C1CC[NH+]([C@@H](C)c2nnc(C)[nH]2)CC1 ZINC001316931766 872405014 /nfs/dbraw/zinc/40/50/14/872405014.db2.gz NTFQSSFQMKGYRU-LBPRGKRZSA-N 1 2 321.425 1.300 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001206606655 872473169 /nfs/dbraw/zinc/47/31/69/872473169.db2.gz GQISQFSBWFTOKG-BXUZGUMPSA-N 1 2 307.394 1.431 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001206606655 872473171 /nfs/dbraw/zinc/47/31/71/872473171.db2.gz GQISQFSBWFTOKG-BXUZGUMPSA-N 1 2 307.394 1.431 20 30 DDEDLO CN1CC[NH+](Cc2ccccc2Nc2ccnc(C#N)c2)CC1 ZINC001206612877 872475946 /nfs/dbraw/zinc/47/59/46/872475946.db2.gz GNAPBZJDUIIUQE-UHFFFAOYSA-N 1 2 307.401 1.866 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ccn(CC)n2)C[C@H]1C ZINC001206613855 872478492 /nfs/dbraw/zinc/47/84/92/872478492.db2.gz GWDPOBUXIPCNSK-UKRRQHHQSA-N 1 2 306.410 1.042 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ccn(CC)n2)C[C@H]1C ZINC001206613855 872478502 /nfs/dbraw/zinc/47/85/02/872478502.db2.gz GWDPOBUXIPCNSK-UKRRQHHQSA-N 1 2 306.410 1.042 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C2)c(C)s1 ZINC001206647460 872492011 /nfs/dbraw/zinc/49/20/11/872492011.db2.gz RLRDITCKMCHXBH-GIPNMCIBSA-N 1 2 306.435 1.856 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C2)c(C)s1 ZINC001206647460 872492017 /nfs/dbraw/zinc/49/20/17/872492017.db2.gz RLRDITCKMCHXBH-GIPNMCIBSA-N 1 2 306.435 1.856 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)CCOc2ccc(F)cc2)C1 ZINC001319318141 872566185 /nfs/dbraw/zinc/56/61/85/872566185.db2.gz IAHUSSKJWHKZFG-MRXNPFEDSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)CCOc2ccc(F)cc2)C1 ZINC001319318141 872566198 /nfs/dbraw/zinc/56/61/98/872566198.db2.gz IAHUSSKJWHKZFG-MRXNPFEDSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(OCC)cc2)CC1 ZINC001319380808 872604667 /nfs/dbraw/zinc/60/46/67/872604667.db2.gz MJBYXGCMRBEADG-OAHLLOKOSA-N 1 2 317.433 1.898 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)OCCCC)C2)C1 ZINC001276532014 873256856 /nfs/dbraw/zinc/25/68/56/873256856.db2.gz HZPXKBXKVIPKNI-WBVHZDCISA-N 1 2 308.422 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)OCCCC)C2)C1 ZINC001276532014 873256863 /nfs/dbraw/zinc/25/68/63/873256863.db2.gz HZPXKBXKVIPKNI-WBVHZDCISA-N 1 2 308.422 1.128 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001207685254 873479281 /nfs/dbraw/zinc/47/92/81/873479281.db2.gz ZJHOCRDGUBZIRI-ZDUSSCGKSA-N 1 2 320.393 1.230 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCN(c2ccccc2C#N)CC1 ZINC001362009046 882813444 /nfs/dbraw/zinc/81/34/44/882813444.db2.gz AWPMFJZIXTWNSO-UHFFFAOYSA-N 1 2 309.373 1.481 20 30 DDEDLO Cc1ncccc1CN1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001276622958 874717074 /nfs/dbraw/zinc/71/70/74/874717074.db2.gz PWSDXNAJYVQXOL-UHFFFAOYSA-N 1 2 321.428 1.918 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)[C@]23CC[C@H](CC2=O)C3(C)C)C1 ZINC001349244916 875062408 /nfs/dbraw/zinc/06/24/08/875062408.db2.gz UCRGQACPDPIXLP-LBTNJELSSA-N 1 2 302.418 1.596 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)[C@]23CC[C@H](CC2=O)C3(C)C)C1 ZINC001349244916 875062414 /nfs/dbraw/zinc/06/24/14/875062414.db2.gz UCRGQACPDPIXLP-LBTNJELSSA-N 1 2 302.418 1.596 20 30 DDEDLO C#C[C@@H]1CCCCN1C(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC001349344138 875122290 /nfs/dbraw/zinc/12/22/90/875122290.db2.gz BLTVUACVOHPYRX-CQSZACIVSA-N 1 2 310.357 1.596 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)cn1 ZINC001378635084 875283746 /nfs/dbraw/zinc/28/37/46/875283746.db2.gz HMEGUCFALIGOCW-FZMZJTMJSA-N 1 2 301.394 1.271 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)cn1 ZINC001378635084 875283756 /nfs/dbraw/zinc/28/37/56/875283756.db2.gz HMEGUCFALIGOCW-FZMZJTMJSA-N 1 2 301.394 1.271 20 30 DDEDLO C=C(C)CCC(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001350137718 875547787 /nfs/dbraw/zinc/54/77/87/875547787.db2.gz UJLZPTDUIAYBFO-ZIAGYGMSSA-N 1 2 318.421 1.502 20 30 DDEDLO Cn1c(C2CC[NH+](CCC#N)CC2)nnc1N1CC=CCC1 ZINC001350723956 875856218 /nfs/dbraw/zinc/85/62/18/875856218.db2.gz HGSHJZLWPWWXCP-UHFFFAOYSA-N 1 2 300.410 1.674 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C[C@@H]2CC=CCC2)[C@H](OC)C1 ZINC001213267095 875866829 /nfs/dbraw/zinc/86/68/29/875866829.db2.gz YOENQBDWNSRGOZ-BRWVUGGUSA-N 1 2 320.433 1.198 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C[C@@H]2CC=CCC2)[C@H](OC)C1 ZINC001213267095 875866843 /nfs/dbraw/zinc/86/68/43/875866843.db2.gz YOENQBDWNSRGOZ-BRWVUGGUSA-N 1 2 320.433 1.198 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccns2)C[C@H]1OC ZINC001213271256 875869000 /nfs/dbraw/zinc/86/90/00/875869000.db2.gz PJSAACVAYCJKAZ-CHWSQXEVSA-N 1 2 309.435 1.671 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccns2)C[C@H]1OC ZINC001213271256 875869014 /nfs/dbraw/zinc/86/90/14/875869014.db2.gz PJSAACVAYCJKAZ-CHWSQXEVSA-N 1 2 309.435 1.671 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+]([C@H](C)c2nnc(C)o2)CC1 ZINC001227342752 882976787 /nfs/dbraw/zinc/97/67/87/882976787.db2.gz WMWNHCWYJDFGTE-VXGBXAGGSA-N 1 2 320.393 1.058 20 30 DDEDLO C=CCO[C@@H]1CCN(c2nnc([C@H]3CCCC[N@@H+]3C)n2C)C1 ZINC001351118042 876067104 /nfs/dbraw/zinc/06/71/04/876067104.db2.gz LVWGJPHQHIFGTE-ZIAGYGMSSA-N 1 2 305.426 1.753 20 30 DDEDLO C=CCO[C@@H]1CCN(c2nnc([C@H]3CCCC[N@H+]3C)n2C)C1 ZINC001351118042 876067124 /nfs/dbraw/zinc/06/71/24/876067124.db2.gz LVWGJPHQHIFGTE-ZIAGYGMSSA-N 1 2 305.426 1.753 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(CCOC)CCCC2)[C@H](OC)C1 ZINC001213953729 876111901 /nfs/dbraw/zinc/11/19/01/876111901.db2.gz NQLWQWURFZWBID-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(CCOC)CCCC2)[C@H](OC)C1 ZINC001213953729 876111910 /nfs/dbraw/zinc/11/19/10/876111910.db2.gz NQLWQWURFZWBID-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO Cc1cnc(C[N@@H+](C)CCN(C)C(=O)c2ccc(C#N)[nH]2)cn1 ZINC001379044214 876213121 /nfs/dbraw/zinc/21/31/21/876213121.db2.gz WUFPJZIYLWXYKJ-UHFFFAOYSA-N 1 2 312.377 1.189 20 30 DDEDLO Cc1cnc(C[N@H+](C)CCN(C)C(=O)c2ccc(C#N)[nH]2)cn1 ZINC001379044214 876213137 /nfs/dbraw/zinc/21/31/37/876213137.db2.gz WUFPJZIYLWXYKJ-UHFFFAOYSA-N 1 2 312.377 1.189 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2CN(C(=O)C#CC(C)(C)C)C[C@H]2C)o1 ZINC001214589151 876405538 /nfs/dbraw/zinc/40/55/38/876405538.db2.gz HEQMEUVASKHCMY-CHWSQXEVSA-N 1 2 318.421 1.618 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H](C)C#N)[NH2+]Cc1nc(CC2CC2)no1 ZINC001379105840 876418925 /nfs/dbraw/zinc/41/89/25/876418925.db2.gz NYBBDXZOZJKQAV-WDEREUQCSA-N 1 2 305.382 1.118 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)Cc1ccc(C(N)=O)cc1 ZINC001379146725 876508625 /nfs/dbraw/zinc/50/86/25/876508625.db2.gz WPJAWNXVHKAWRI-UHFFFAOYSA-N 1 2 323.824 1.471 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)Cc1ccc(C(N)=O)cc1 ZINC001379146725 876508635 /nfs/dbraw/zinc/50/86/35/876508635.db2.gz WPJAWNXVHKAWRI-UHFFFAOYSA-N 1 2 323.824 1.471 20 30 DDEDLO C=CCCC(=O)NCCC[NH+]1CCN(C(=O)C#CC(C)C)CC1 ZINC001352651017 876851955 /nfs/dbraw/zinc/85/19/55/876851955.db2.gz MFMFZLHSGDNQKY-UHFFFAOYSA-N 1 2 319.449 1.263 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001353890583 877633472 /nfs/dbraw/zinc/63/34/72/877633472.db2.gz ITEAWRHHCNXQAH-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCO[C@@H]2C[N@H+](C/C=C/Cl)C[C@@H]21 ZINC001219066008 877899821 /nfs/dbraw/zinc/89/98/21/877899821.db2.gz UTNXUIUCQRXAJT-LLSFEVHBSA-N 1 2 314.813 1.242 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCO[C@@H]2C[N@@H+](C/C=C/Cl)C[C@@H]21 ZINC001219066008 877899832 /nfs/dbraw/zinc/89/98/32/877899832.db2.gz UTNXUIUCQRXAJT-LLSFEVHBSA-N 1 2 314.813 1.242 20 30 DDEDLO CCc1cc(NC(=O)CN2CC[NH2+]CC2=O)cc(CC)c1C#N ZINC001219112891 877930621 /nfs/dbraw/zinc/93/06/21/877930621.db2.gz YTAXFXVSRFJWPY-UHFFFAOYSA-N 1 2 314.389 1.053 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2CC(C)(C)SC)CC1 ZINC001300701591 878177809 /nfs/dbraw/zinc/17/78/09/878177809.db2.gz WTPBKNQCVKYMEM-UHFFFAOYSA-N 1 2 321.494 1.737 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3c[nH]c4cccnc34)n2C)CC1 ZINC001355537338 878604806 /nfs/dbraw/zinc/60/48/06/878604806.db2.gz DGKOJJZEXFMABU-UHFFFAOYSA-N 1 2 321.388 1.114 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220203444 878817058 /nfs/dbraw/zinc/81/70/58/878817058.db2.gz GRJGBWJOMYVYHJ-BMFZPTHFSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220203444 878817061 /nfs/dbraw/zinc/81/70/61/878817061.db2.gz GRJGBWJOMYVYHJ-BMFZPTHFSA-N 1 2 321.421 1.555 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001356308155 878983387 /nfs/dbraw/zinc/98/33/87/878983387.db2.gz KEDCRIPAHJYSGI-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO CCC(CC)(CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001220621090 879138108 /nfs/dbraw/zinc/13/81/08/879138108.db2.gz WQIWJFGZVGRURX-CABCVRRESA-N 1 2 310.438 1.014 20 30 DDEDLO CCC(CC)(CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001220621090 879138112 /nfs/dbraw/zinc/13/81/12/879138112.db2.gz WQIWJFGZVGRURX-CABCVRRESA-N 1 2 310.438 1.014 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](F)CC2CCCCC2)[C@@H](O)C1 ZINC001220772450 879241581 /nfs/dbraw/zinc/24/15/81/879241581.db2.gz RWASBRFTAZERLH-XHSDSOJGSA-N 1 2 310.413 1.480 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](F)CC2CCCCC2)[C@@H](O)C1 ZINC001220772450 879241596 /nfs/dbraw/zinc/24/15/96/879241596.db2.gz RWASBRFTAZERLH-XHSDSOJGSA-N 1 2 310.413 1.480 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1[nH+]ccn1C)NC(=O)C#CC(C)C ZINC001356911819 879575565 /nfs/dbraw/zinc/57/55/65/879575565.db2.gz APZRTGANAQTINQ-AWEZNQCLSA-N 1 2 318.421 1.023 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(CCc3ccccc3)CC2)[C@@H](O)C1 ZINC001221216299 879580908 /nfs/dbraw/zinc/58/09/08/879580908.db2.gz OQHJVILJRTVAHW-SJORKVTESA-N 1 2 312.413 1.194 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(CCc3ccccc3)CC2)[C@@H](O)C1 ZINC001221216299 879580921 /nfs/dbraw/zinc/58/09/21/879580921.db2.gz OQHJVILJRTVAHW-SJORKVTESA-N 1 2 312.413 1.194 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@@H](C)C3CC3)C[C@H]21 ZINC001221430830 879786488 /nfs/dbraw/zinc/78/64/88/879786488.db2.gz QSWCXDVQXNCFDI-NUEKZKHPSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@@H](C)C3CC3)C[C@H]21 ZINC001221430830 879786498 /nfs/dbraw/zinc/78/64/98/879786498.db2.gz QSWCXDVQXNCFDI-NUEKZKHPSA-N 1 2 319.449 1.400 20 30 DDEDLO C#CCCCCC(=O)N(C)CCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001357201710 879852845 /nfs/dbraw/zinc/85/28/45/879852845.db2.gz KZGBEUFPXYKMFR-UHFFFAOYSA-N 1 2 318.421 1.371 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCC)C[C@H]21 ZINC001221488576 879875427 /nfs/dbraw/zinc/87/54/27/879875427.db2.gz OPQATVKXEFFSEG-HZPDHXFCSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCC)C[C@H]21 ZINC001221488576 879875438 /nfs/dbraw/zinc/87/54/38/879875438.db2.gz OPQATVKXEFFSEG-HZPDHXFCSA-N 1 2 319.449 1.239 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@H]2CC[N@H+](Cc3ccns3)C[C@H]21 ZINC001221518185 879910198 /nfs/dbraw/zinc/91/01/98/879910198.db2.gz WINFHOIGAWIWLF-XPKDYRNWSA-N 1 2 321.446 1.503 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@H]2CC[N@@H+](Cc3ccns3)C[C@H]21 ZINC001221518185 879910217 /nfs/dbraw/zinc/91/02/17/879910217.db2.gz WINFHOIGAWIWLF-XPKDYRNWSA-N 1 2 321.446 1.503 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC(C)C)C[C@H]21 ZINC001221584794 879956306 /nfs/dbraw/zinc/95/63/06/879956306.db2.gz CURGXWDRQKOOBF-HZPDHXFCSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC(C)C)C[C@H]21 ZINC001221584794 879956317 /nfs/dbraw/zinc/95/63/17/879956317.db2.gz CURGXWDRQKOOBF-HZPDHXFCSA-N 1 2 321.465 1.648 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@](CO)(NC(=O)C2CC2)C1 ZINC001380723074 880272411 /nfs/dbraw/zinc/27/24/11/880272411.db2.gz XUAHZGKJGJGRPW-GOSISDBHSA-N 1 2 313.401 1.411 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@](CO)(NC(=O)C2CC2)C1 ZINC001380723074 880272429 /nfs/dbraw/zinc/27/24/29/880272429.db2.gz XUAHZGKJGJGRPW-GOSISDBHSA-N 1 2 313.401 1.411 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nonc3C)[C@H]2C1 ZINC001222448677 880474257 /nfs/dbraw/zinc/47/42/57/880474257.db2.gz ZTHUSEQETPDIFW-HIFRSBDPSA-N 1 2 320.393 1.003 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@H+](Cc3nonc3C)[C@H]2C1 ZINC001222448677 880474275 /nfs/dbraw/zinc/47/42/75/880474275.db2.gz ZTHUSEQETPDIFW-HIFRSBDPSA-N 1 2 320.393 1.003 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3cnon3)[C@H]2C1 ZINC001222556937 880558242 /nfs/dbraw/zinc/55/82/42/880558242.db2.gz WHTQMQODRGYFOY-ZBFHGGJFSA-N 1 2 316.405 1.686 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnon3)[C@H]2C1 ZINC001222556937 880558248 /nfs/dbraw/zinc/55/82/48/880558248.db2.gz WHTQMQODRGYFOY-ZBFHGGJFSA-N 1 2 316.405 1.686 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[N@@H+](Cc3ncnn3C)[C@H]2C1 ZINC001222781690 880696910 /nfs/dbraw/zinc/69/69/10/880696910.db2.gz QCKLQHNCTSOJEH-CABCVRRESA-N 1 2 317.437 1.594 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[N@H+](Cc3ncnn3C)[C@H]2C1 ZINC001222781690 880696916 /nfs/dbraw/zinc/69/69/16/880696916.db2.gz QCKLQHNCTSOJEH-CABCVRRESA-N 1 2 317.437 1.594 20 30 DDEDLO C=CCCC(=O)N(C)C1CN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001358722305 880802080 /nfs/dbraw/zinc/80/20/80/880802080.db2.gz YZHHWGBHSHJXHE-ZDUSSCGKSA-N 1 2 304.394 1.470 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C1CN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001358743139 880841080 /nfs/dbraw/zinc/84/10/80/880841080.db2.gz SNUITCKPOBBZOY-UHFFFAOYSA-N 1 2 318.421 1.234 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001276910457 881053181 /nfs/dbraw/zinc/05/31/81/881053181.db2.gz ZTCOKVLYLFEFAA-RDJZCZTQSA-N 1 2 316.376 1.306 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001276910457 881053200 /nfs/dbraw/zinc/05/32/00/881053200.db2.gz ZTCOKVLYLFEFAA-RDJZCZTQSA-N 1 2 316.376 1.306 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H](C)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001382195623 883615083 /nfs/dbraw/zinc/61/50/83/883615083.db2.gz FAWLVRKBCFZHBB-GHMZBOCLSA-N 1 2 313.361 1.299 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)COCC(F)F)[C@H]1C ZINC001382342700 883864213 /nfs/dbraw/zinc/86/42/13/883864213.db2.gz QPAUMFCFLVDQMG-MNOVXSKESA-N 1 2 310.772 1.990 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)COCC(F)F)[C@H]1C ZINC001382342700 883864228 /nfs/dbraw/zinc/86/42/28/883864228.db2.gz QPAUMFCFLVDQMG-MNOVXSKESA-N 1 2 310.772 1.990 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C1CC(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288342290 912843135 /nfs/dbraw/zinc/84/31/35/912843135.db2.gz ICFSTPKBPVVOMP-QWQCLYJRSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CCN1Cc1c[nH+]cn1C ZINC001231094843 885214670 /nfs/dbraw/zinc/21/46/70/885214670.db2.gz KBLXQVJJELKKNF-GJZGRUSLSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC1CCCCC1 ZINC001231112248 885240020 /nfs/dbraw/zinc/24/00/20/885240020.db2.gz AQCCQLVSKKIPJY-INIZCTEOSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC1CCCCC1 ZINC001231112248 885240002 /nfs/dbraw/zinc/24/00/02/885240002.db2.gz AQCCQLVSKKIPJY-INIZCTEOSA-N 1 2 321.465 1.934 20 30 DDEDLO COC[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccccc1C#N ZINC001231217292 885391521 /nfs/dbraw/zinc/39/15/21/885391521.db2.gz PNPSFVPUDPIBJZ-WMLDXEAASA-N 1 2 315.417 1.873 20 30 DDEDLO COC[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccccc1C#N ZINC001231217292 885391541 /nfs/dbraw/zinc/39/15/41/885391541.db2.gz PNPSFVPUDPIBJZ-WMLDXEAASA-N 1 2 315.417 1.873 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)c1cnccn1 ZINC001231240675 885418844 /nfs/dbraw/zinc/41/88/44/885418844.db2.gz NNCSPQPYNKJYLM-KGLIPLIRSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)c1cnccn1 ZINC001231240675 885418849 /nfs/dbraw/zinc/41/88/49/885418849.db2.gz NNCSPQPYNKJYLM-KGLIPLIRSA-N 1 2 304.394 1.273 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(CO)ccc1F ZINC001231293226 885474245 /nfs/dbraw/zinc/47/42/45/885474245.db2.gz KNSGHVFGZVBFRL-DOMZBBRYSA-N 1 2 319.380 1.510 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(CO)ccc1F ZINC001231293226 885474254 /nfs/dbraw/zinc/47/42/54/885474254.db2.gz KNSGHVFGZVBFRL-DOMZBBRYSA-N 1 2 319.380 1.510 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1)C(=O)Cc1ccn[nH]1 ZINC001231376835 885572897 /nfs/dbraw/zinc/57/28/97/885572897.db2.gz HELKOUIKQIZGRN-QGZVFWFLSA-N 1 2 323.400 1.557 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1)C(=O)Cc1ccn[nH]1 ZINC001231376835 885572918 /nfs/dbraw/zinc/57/29/18/885572918.db2.gz HELKOUIKQIZGRN-QGZVFWFLSA-N 1 2 323.400 1.557 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001231413266 885641598 /nfs/dbraw/zinc/64/15/98/885641598.db2.gz PPNYIDVPXJUDNI-AIANPOQGSA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001231413266 885641608 /nfs/dbraw/zinc/64/16/08/885641608.db2.gz PPNYIDVPXJUDNI-AIANPOQGSA-N 1 2 319.449 1.258 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@H](CNC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001383504880 885911133 /nfs/dbraw/zinc/91/11/33/885911133.db2.gz ZKIIRCJNIGEEOO-XUXIUFHCSA-N 1 2 317.393 1.005 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)CCc2c(C)nc[nH]c2=O)C1 ZINC001277626070 886144614 /nfs/dbraw/zinc/14/46/14/886144614.db2.gz RCNYHUNYIJNMSU-UHFFFAOYSA-N 1 2 304.394 1.142 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](CCOCC3CC3)C2)cn1 ZINC001277686289 886487942 /nfs/dbraw/zinc/48/79/42/886487942.db2.gz GRLDPNOUMKTBJS-UHFFFAOYSA-N 1 2 313.401 1.246 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)C[C@@H](C)NC(=O)[C@H](C)C#N)c(C)[nH+]1 ZINC001383788054 886520265 /nfs/dbraw/zinc/52/02/65/886520265.db2.gz POCKSCNBBDJEMK-DGCLKSJQSA-N 1 2 316.405 1.743 20 30 DDEDLO N#Cc1ccc(O)c(C[NH+]2CCN(Cc3cccnc3)CC2)c1 ZINC001232674341 886526189 /nfs/dbraw/zinc/52/61/89/886526189.db2.gz XGDASWOVNKQBOA-UHFFFAOYSA-N 1 2 308.385 1.977 20 30 DDEDLO N#Cc1ccc(O)c(C[NH+]2C[C@H]3CN(c4ncccn4)C[C@@H]3C2)c1 ZINC001232677568 886529920 /nfs/dbraw/zinc/52/99/20/886529920.db2.gz MRCQAIWVDYANGD-HOTGVXAUSA-N 1 2 321.384 1.622 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C[C@H](C)NC(=O)C2CCCC2)C1 ZINC001277715702 886589390 /nfs/dbraw/zinc/58/93/90/886589390.db2.gz ZWQPUDCUYFLZRQ-AWEZNQCLSA-N 1 2 321.465 1.790 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCN(CCC#N)CC2)cc1OC ZINC001233519563 887067721 /nfs/dbraw/zinc/06/77/21/887067721.db2.gz WXHXIOFUCJXAKJ-UHFFFAOYSA-N 1 2 317.389 1.513 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001288888065 913114746 /nfs/dbraw/zinc/11/47/46/913114746.db2.gz TZBAJWLJPYVSRI-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO C[C@H](CN(C)C(=O)C#CC1CC1)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001277819079 887460140 /nfs/dbraw/zinc/46/01/40/887460140.db2.gz HQLIMXUKEVVGBZ-GFCCVEGCSA-N 1 2 318.421 1.717 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccns1 ZINC001233976683 887514960 /nfs/dbraw/zinc/51/49/60/887514960.db2.gz HSWOFVCKCPARDH-IUODEOHRSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccns1 ZINC001233976683 887514969 /nfs/dbraw/zinc/51/49/69/887514969.db2.gz HSWOFVCKCPARDH-IUODEOHRSA-N 1 2 309.435 1.503 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001234068469 887615933 /nfs/dbraw/zinc/61/59/33/887615933.db2.gz LSRMPFNOBYQHJT-SWLSCSKDSA-N 1 2 321.446 1.669 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001234068469 887615923 /nfs/dbraw/zinc/61/59/23/887615923.db2.gz LSRMPFNOBYQHJT-SWLSCSKDSA-N 1 2 321.446 1.669 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)C)cn1 ZINC001234119851 887666585 /nfs/dbraw/zinc/66/65/85/887666585.db2.gz RCABIPQMJSGYMG-MRXNPFEDSA-N 1 2 315.417 1.782 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)C)cn1 ZINC001234119851 887666589 /nfs/dbraw/zinc/66/65/89/887666589.db2.gz RCABIPQMJSGYMG-MRXNPFEDSA-N 1 2 315.417 1.782 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001234265183 887804369 /nfs/dbraw/zinc/80/43/69/887804369.db2.gz HUQAODAERRHZAG-JSGCOSHPSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001234265183 887804381 /nfs/dbraw/zinc/80/43/81/887804381.db2.gz HUQAODAERRHZAG-JSGCOSHPSA-N 1 2 305.378 1.054 20 30 DDEDLO CC1(C)C[N@H+](C[C@H](O)c2cccc(C#N)c2)[C@@H]2COC[C@H]2O1 ZINC001364454635 888673454 /nfs/dbraw/zinc/67/34/54/888673454.db2.gz QAVYGFXUIOOSOO-OWCLPIDISA-N 1 2 302.374 1.470 20 30 DDEDLO CC1(C)C[N@@H+](C[C@H](O)c2cccc(C#N)c2)[C@@H]2COC[C@H]2O1 ZINC001364454635 888673460 /nfs/dbraw/zinc/67/34/60/888673460.db2.gz QAVYGFXUIOOSOO-OWCLPIDISA-N 1 2 302.374 1.470 20 30 DDEDLO Cn1ncnc1C1(O)CC[NH+](Cc2ccc(F)cc2C#N)CC1 ZINC001364504174 888788371 /nfs/dbraw/zinc/78/83/71/888788371.db2.gz XLFNFBHPCXUZNS-UHFFFAOYSA-N 1 2 315.352 1.309 20 30 DDEDLO C#CCN(C(=O)[C@H](C)CC)C1CC[NH+](Cc2nccn2C)CC1 ZINC001278122000 889760234 /nfs/dbraw/zinc/76/02/34/889760234.db2.gz UGCJFGHSPFKQJT-OAHLLOKOSA-N 1 2 316.449 1.892 20 30 DDEDLO CCCCCCCCOC(=O)N1CC[NH2+]C[C@@H]1C(=O)OC ZINC001239329161 890601457 /nfs/dbraw/zinc/60/14/57/890601457.db2.gz SDMBFKFPHOBIKE-CYBMUJFWSA-N 1 2 300.399 1.930 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001278369845 891718844 /nfs/dbraw/zinc/71/88/44/891718844.db2.gz ZZDLVSWIPPSRLI-MRXNPFEDSA-N 1 2 306.410 1.012 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001278369845 891718856 /nfs/dbraw/zinc/71/88/56/891718856.db2.gz ZZDLVSWIPPSRLI-MRXNPFEDSA-N 1 2 306.410 1.012 20 30 DDEDLO C#CCOCCC(=O)N[C@@]1(C)CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001278397693 891989425 /nfs/dbraw/zinc/98/94/25/891989425.db2.gz VKISLDQPICFBTB-PBHICJAKSA-N 1 2 316.405 1.158 20 30 DDEDLO C#CCOCCC(=O)N[C@@]1(C)CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001278397693 891989432 /nfs/dbraw/zinc/98/94/32/891989432.db2.gz VKISLDQPICFBTB-PBHICJAKSA-N 1 2 316.405 1.158 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@H]1[N@H+](Cc1nncn1C)CC2 ZINC001278453569 892665510 /nfs/dbraw/zinc/66/55/10/892665510.db2.gz WOGUIHUXKDFRPK-PBHICJAKSA-N 1 2 317.437 1.785 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@H]1[N@@H+](Cc1nncn1C)CC2 ZINC001278453569 892665514 /nfs/dbraw/zinc/66/55/14/892665514.db2.gz WOGUIHUXKDFRPK-PBHICJAKSA-N 1 2 317.437 1.785 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H](C)C#N ZINC001366206878 892738387 /nfs/dbraw/zinc/73/83/87/892738387.db2.gz RHHRLBFDUSSASM-ZFWWWQNUSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H](C)C#N ZINC001366206878 892738392 /nfs/dbraw/zinc/73/83/92/892738392.db2.gz RHHRLBFDUSSASM-ZFWWWQNUSA-N 1 2 322.453 1.422 20 30 DDEDLO C[C@@H](CNC(=O)c1cc(C#N)c[nH]1)[NH2+]Cc1ncc(C2CC2)o1 ZINC001366263144 892934087 /nfs/dbraw/zinc/93/40/87/892934087.db2.gz CRNZCWIOPOIBPP-JTQLQIEISA-N 1 2 313.361 1.660 20 30 DDEDLO CC(C)N(C(=O)C[N@H+](C)CCNC(=O)[C@H](C)C#N)C1CCCC1 ZINC001366519308 893832748 /nfs/dbraw/zinc/83/27/48/893832748.db2.gz KZFYLTFLINULSR-CQSZACIVSA-N 1 2 322.453 1.374 20 30 DDEDLO CC(C)N(C(=O)C[N@@H+](C)CCNC(=O)[C@H](C)C#N)C1CCCC1 ZINC001366519308 893832781 /nfs/dbraw/zinc/83/27/81/893832781.db2.gz KZFYLTFLINULSR-CQSZACIVSA-N 1 2 322.453 1.374 20 30 DDEDLO CC(C)C[C@@H](C)N(C)C(=O)C[N@H+](C)CCNC(=O)[C@H](C)C#N ZINC001366520609 893843653 /nfs/dbraw/zinc/84/36/53/893843653.db2.gz QNXRLFDGGOIONK-ZIAGYGMSSA-N 1 2 310.442 1.087 20 30 DDEDLO CC(C)C[C@@H](C)N(C)C(=O)C[N@@H+](C)CCNC(=O)[C@H](C)C#N ZINC001366520609 893843674 /nfs/dbraw/zinc/84/36/74/893843674.db2.gz QNXRLFDGGOIONK-ZIAGYGMSSA-N 1 2 310.442 1.087 20 30 DDEDLO C[S@](=O)CC[C@H]([NH3+])c1nc(-c2cc(C#N)ccc2F)no1 ZINC001249247761 893893130 /nfs/dbraw/zinc/89/31/30/893893130.db2.gz FELPEIGMSYXNNU-MQJDWESPSA-N 1 2 308.338 1.516 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1[nH]ncc1C(F)(F)F ZINC001366537813 893933282 /nfs/dbraw/zinc/93/32/82/893933282.db2.gz DYUSDOLUGPUCMC-UHFFFAOYSA-N 1 2 310.707 1.843 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1[nH]ncc1C(F)(F)F ZINC001366537813 893933300 /nfs/dbraw/zinc/93/33/00/893933300.db2.gz DYUSDOLUGPUCMC-UHFFFAOYSA-N 1 2 310.707 1.843 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1C[C@H](O)CCCC ZINC001252086490 895004374 /nfs/dbraw/zinc/00/43/74/895004374.db2.gz NYYLLRDMELUXBT-HIFRSBDPSA-N 1 2 308.426 1.468 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1C[C@H](O)CCCC ZINC001252086490 895004389 /nfs/dbraw/zinc/00/43/89/895004389.db2.gz NYYLLRDMELUXBT-HIFRSBDPSA-N 1 2 308.426 1.468 20 30 DDEDLO C=CCOC[C@H](O)C[NH2+][C@@H](CC(C)C)C(=O)OC(C)(C)C ZINC001252483634 895203199 /nfs/dbraw/zinc/20/31/99/895203199.db2.gz WCPPGFOBYKUVKK-KGLIPLIRSA-N 1 2 301.427 1.896 20 30 DDEDLO C=CC[NH+]1CCN(C[C@@H](O)COc2cccc(OC)c2)CC1 ZINC001253953463 896176383 /nfs/dbraw/zinc/17/63/83/896176383.db2.gz PFQKKKKSPCJDHA-OAHLLOKOSA-N 1 2 306.406 1.239 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC[C@H](N2CC[NH2+]C[C@H]2C#N)CC1 ZINC001254301692 896339539 /nfs/dbraw/zinc/33/95/39/896339539.db2.gz CEENWFDFYHLRBS-UONOGXRCSA-N 1 2 308.426 1.573 20 30 DDEDLO CC(=O)N(C)Cc1nnc2n1CCC[N@H+]([C@H]1CC[C@H](C#N)C1)C2 ZINC001254665211 896563440 /nfs/dbraw/zinc/56/34/40/896563440.db2.gz ZPBXGUWCPDUDDY-KBPBESRZSA-N 1 2 316.409 1.154 20 30 DDEDLO CC(=O)N(C)Cc1nnc2n1CCC[N@@H+]([C@H]1CC[C@H](C#N)C1)C2 ZINC001254665211 896563452 /nfs/dbraw/zinc/56/34/52/896563452.db2.gz ZPBXGUWCPDUDDY-KBPBESRZSA-N 1 2 316.409 1.154 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H]1CCn2cc(C)nc2C1 ZINC001367519318 897020767 /nfs/dbraw/zinc/02/07/67/897020767.db2.gz AEKXVFAEBQNDPB-CQSZACIVSA-N 1 2 324.856 1.897 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H]1CCn2cc(C)nc2C1 ZINC001367519318 897020776 /nfs/dbraw/zinc/02/07/76/897020776.db2.gz AEKXVFAEBQNDPB-CQSZACIVSA-N 1 2 324.856 1.897 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CCc2ccc(F)cc2)C1 ZINC001278883817 897105824 /nfs/dbraw/zinc/10/58/24/897105824.db2.gz UNGNYIAXKUNWRN-UHFFFAOYSA-N 1 2 306.381 1.497 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)Cc2ccc(Cl)s2)C1 ZINC001278914457 897294943 /nfs/dbraw/zinc/29/49/43/897294943.db2.gz WMDAFKRCAHSPQR-UHFFFAOYSA-N 1 2 314.838 1.683 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C[C@@H](C=C)c2ccccc2)C1 ZINC001278932749 897401337 /nfs/dbraw/zinc/40/13/37/897401337.db2.gz ZWPZCSCEZKCVEC-MRXNPFEDSA-N 1 2 312.413 1.533 20 30 DDEDLO CCOC(=O)C1(C(=O)OCC)CCC([N@H+](C)[C@H](C)C#N)CC1 ZINC001257006888 897670152 /nfs/dbraw/zinc/67/01/52/897670152.db2.gz GWUQNZFIMYSYAQ-GFCCVEGCSA-N 1 2 310.394 1.885 20 30 DDEDLO CCOC(=O)C1(C(=O)OCC)CCC([N@@H+](C)[C@H](C)C#N)CC1 ZINC001257006888 897670157 /nfs/dbraw/zinc/67/01/57/897670157.db2.gz GWUQNZFIMYSYAQ-GFCCVEGCSA-N 1 2 310.394 1.885 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1C[N@@H+](C)Cc1cc(C)on1 ZINC001264180777 900996612 /nfs/dbraw/zinc/99/66/12/900996612.db2.gz QDLKPXZHXKXQTI-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1C[N@H+](C)Cc1cc(C)on1 ZINC001264180777 900996621 /nfs/dbraw/zinc/99/66/21/900996621.db2.gz QDLKPXZHXKXQTI-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)CC ZINC001264368777 901047731 /nfs/dbraw/zinc/04/77/31/901047731.db2.gz LQHLTKJCKQMWKH-HNNXBMFYSA-N 1 2 307.438 1.191 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)CC ZINC001264368777 901047739 /nfs/dbraw/zinc/04/77/39/901047739.db2.gz LQHLTKJCKQMWKH-HNNXBMFYSA-N 1 2 307.438 1.191 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)C(C)(C)CC)C1=O ZINC001264370536 901049875 /nfs/dbraw/zinc/04/98/75/901049875.db2.gz FEEFFSKDXSSYMW-GJZGRUSLSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C(C)(C)CC)C1=O ZINC001264370536 901049883 /nfs/dbraw/zinc/04/98/83/901049883.db2.gz FEEFFSKDXSSYMW-GJZGRUSLSA-N 1 2 321.465 1.742 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@@H](C)C[C@H]1CNCC#N)n1cc[nH+]c1 ZINC001264560212 901183104 /nfs/dbraw/zinc/18/31/04/901183104.db2.gz JDRVIWBRQWYYHH-ILXRZTDVSA-N 1 2 303.410 1.574 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnn(C)n2)[C@@H]1CC ZINC001264606485 901212183 /nfs/dbraw/zinc/21/21/83/901212183.db2.gz RHXYDXLNUWRZIF-LSDHHAIUSA-N 1 2 303.410 1.088 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnn(C)n2)[C@@H]1CC ZINC001264606485 901212192 /nfs/dbraw/zinc/21/21/92/901212192.db2.gz RHXYDXLNUWRZIF-LSDHHAIUSA-N 1 2 303.410 1.088 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@@H]1C[C@@H]1C(=O)OC)C(C)C ZINC001369514573 901426310 /nfs/dbraw/zinc/42/63/10/901426310.db2.gz HNTDFNANCLSKLE-OLZOCXBDSA-N 1 2 316.829 1.717 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@@H]1C[C@@H]1C(=O)OC)C(C)C ZINC001369514573 901426312 /nfs/dbraw/zinc/42/63/12/901426312.db2.gz HNTDFNANCLSKLE-OLZOCXBDSA-N 1 2 316.829 1.717 20 30 DDEDLO CC[N@H+](CCCNC(=O)c1ccc(C#N)[nH]1)Cc1nc(C)no1 ZINC001265109281 901574167 /nfs/dbraw/zinc/57/41/67/901574167.db2.gz YZIJROYJERCFDA-UHFFFAOYSA-N 1 2 316.365 1.220 20 30 DDEDLO CC[N@@H+](CCCNC(=O)c1ccc(C#N)[nH]1)Cc1nc(C)no1 ZINC001265109281 901574173 /nfs/dbraw/zinc/57/41/73/901574173.db2.gz YZIJROYJERCFDA-UHFFFAOYSA-N 1 2 316.365 1.220 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001293606283 914579777 /nfs/dbraw/zinc/57/97/77/914579777.db2.gz YDJMIFBJLDAZRB-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+][C@H](C)c2nnc(C)o2)C1 ZINC001265425934 902003119 /nfs/dbraw/zinc/00/31/19/902003119.db2.gz OOWPTDTXFKKHTM-OCCSQVGLSA-N 1 2 304.394 1.823 20 30 DDEDLO CC[C@@H](CNC(=O)[C@H](C)C#N)[NH2+]Cc1nc(CC2CC2)no1 ZINC001391667596 902297850 /nfs/dbraw/zinc/29/78/50/902297850.db2.gz JAHYHUGECOMLGR-PWSUYJOCSA-N 1 2 305.382 1.166 20 30 DDEDLO CC(C)CN(C(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)C#N)C1)C(C)C ZINC001369973573 902320390 /nfs/dbraw/zinc/32/03/90/902320390.db2.gz ZTSOVIBGQWULMM-CABCVRRESA-N 1 2 322.453 1.230 20 30 DDEDLO CC(C)CN(C(=O)C[N@H+]1CC[C@H](NC(=O)[C@H](C)C#N)C1)C(C)C ZINC001369973573 902320399 /nfs/dbraw/zinc/32/03/99/902320399.db2.gz ZTSOVIBGQWULMM-CABCVRRESA-N 1 2 322.453 1.230 20 30 DDEDLO CO[C@H](C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1)C1CC1 ZINC001369979585 902329699 /nfs/dbraw/zinc/32/96/99/902329699.db2.gz XHDJNCPDWCMVHO-IRXDYDNUSA-N 1 2 313.401 1.674 20 30 DDEDLO CO[C@H](C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1)C1CC1 ZINC001369979585 902329711 /nfs/dbraw/zinc/32/97/11/902329711.db2.gz XHDJNCPDWCMVHO-IRXDYDNUSA-N 1 2 313.401 1.674 20 30 DDEDLO CC(C)CCc1noc(C[NH2+][C@@H](C)CNC(=O)C#CC2CC2)n1 ZINC001265773150 902394325 /nfs/dbraw/zinc/39/43/25/902394325.db2.gz PQRGABIJSPLVDD-ZDUSSCGKSA-N 1 2 318.421 1.666 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H](C)[NH2+]Cc2ncc(CC)o2)c1 ZINC001265821402 902445986 /nfs/dbraw/zinc/44/59/86/902445986.db2.gz SJJQYARXCNJDOP-LBPRGKRZSA-N 1 2 312.373 1.521 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@@H]1CCO[C@H]1C ZINC001265945121 902638283 /nfs/dbraw/zinc/63/82/83/902638283.db2.gz ZPLWYBUZLAIOLF-NWDGAFQWSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@@H]1CCO[C@H]1C ZINC001265945121 902638292 /nfs/dbraw/zinc/63/82/92/902638292.db2.gz ZPLWYBUZLAIOLF-NWDGAFQWSA-N 1 2 319.243 1.758 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C[N@H+](C)Cc1cnc(Cl)s1 ZINC001375019687 914664494 /nfs/dbraw/zinc/66/44/94/914664494.db2.gz MOMRUZDREIPLDV-BDAKNGLRSA-N 1 2 300.815 1.893 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C[N@@H+](C)Cc1cnc(Cl)s1 ZINC001375019687 914664501 /nfs/dbraw/zinc/66/45/01/914664501.db2.gz MOMRUZDREIPLDV-BDAKNGLRSA-N 1 2 300.815 1.893 20 30 DDEDLO C[C@H](C[N@H+](C)Cc1nc(-c2ccoc2)no1)NC(=O)[C@@H](C)C#N ZINC001375025800 914685929 /nfs/dbraw/zinc/68/59/29/914685929.db2.gz YMJZISUDKWJJNJ-WDEREUQCSA-N 1 2 317.349 1.426 20 30 DDEDLO C[C@H](C[N@@H+](C)Cc1nc(-c2ccoc2)no1)NC(=O)[C@@H](C)C#N ZINC001375025800 914685937 /nfs/dbraw/zinc/68/59/37/914685937.db2.gz YMJZISUDKWJJNJ-WDEREUQCSA-N 1 2 317.349 1.426 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001266215989 903129871 /nfs/dbraw/zinc/12/98/71/903129871.db2.gz OYMXZCHVIOTPSU-JKSUJKDBSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001266215989 903129883 /nfs/dbraw/zinc/12/98/83/903129883.db2.gz OYMXZCHVIOTPSU-JKSUJKDBSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001266233658 903159866 /nfs/dbraw/zinc/15/98/66/903159866.db2.gz OMXWEDSGXIYDQW-CQSZACIVSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001266233658 903159873 /nfs/dbraw/zinc/15/98/73/903159873.db2.gz OMXWEDSGXIYDQW-CQSZACIVSA-N 1 2 309.454 1.551 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CCC[N@@H+](CC(=O)NCC)C2)CC1 ZINC001266237472 903172154 /nfs/dbraw/zinc/17/21/54/903172154.db2.gz XBFGMMVVPVQOLJ-OAHLLOKOSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CCC[N@H+](CC(=O)NCC)C2)CC1 ZINC001266237472 903172160 /nfs/dbraw/zinc/17/21/60/903172160.db2.gz XBFGMMVVPVQOLJ-OAHLLOKOSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@]23C[C@@H]2CCCC3)C1 ZINC001266240126 903176235 /nfs/dbraw/zinc/17/62/35/903176235.db2.gz OYKLGHAEOJCVSQ-MPGHIAIKSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@]23C[C@@H]2CCCC3)C1 ZINC001266240126 903176242 /nfs/dbraw/zinc/17/62/42/903176242.db2.gz OYKLGHAEOJCVSQ-MPGHIAIKSA-N 1 2 319.449 1.450 20 30 DDEDLO CCCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCO1 ZINC001280396560 903630190 /nfs/dbraw/zinc/63/01/90/903630190.db2.gz GVYWTIWQJNJUFK-HZPDHXFCSA-N 1 2 312.454 1.953 20 30 DDEDLO CCCCCCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCO1 ZINC001280396560 903630194 /nfs/dbraw/zinc/63/01/94/903630194.db2.gz GVYWTIWQJNJUFK-HZPDHXFCSA-N 1 2 312.454 1.953 20 30 DDEDLO C#CCCOc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CC)cc1 ZINC001280406783 903644333 /nfs/dbraw/zinc/64/43/33/903644333.db2.gz WXBVZBFULKZSBQ-INIZCTEOSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CCCOc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CC)cc1 ZINC001280406783 903644340 /nfs/dbraw/zinc/64/43/40/903644340.db2.gz WXBVZBFULKZSBQ-INIZCTEOSA-N 1 2 316.401 1.539 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1COC2(C[NH+]([C@H](C)COC)C2)C1 ZINC001280944959 904224533 /nfs/dbraw/zinc/22/45/33/904224533.db2.gz ONXZJMPSJHSSTP-GDBMZVCRSA-N 1 2 322.449 1.749 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC13CCC3)CO2 ZINC001280962470 904249221 /nfs/dbraw/zinc/24/92/21/904249221.db2.gz RGMPTKCMDKIZTD-LSDHHAIUSA-N 1 2 320.433 1.339 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001371167139 904397594 /nfs/dbraw/zinc/39/75/94/904397594.db2.gz RATXUQDWBFZQOF-IUCAKERBSA-N 1 2 302.338 1.047 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001281170454 904520813 /nfs/dbraw/zinc/52/08/13/904520813.db2.gz KRTXGZAZGGIIFK-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](NC(=O)Cc2c[nH+]cn2C)C[C@H]1C ZINC001281179047 904533343 /nfs/dbraw/zinc/53/33/43/904533343.db2.gz ILWVFRJAXKFJLY-ZIAGYGMSSA-N 1 2 318.421 1.425 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)CCn1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001281444097 904858920 /nfs/dbraw/zinc/85/89/20/904858920.db2.gz QLKJMYZPYLBYMK-KGLIPLIRSA-N 1 2 316.405 1.086 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H](NC(=O)CSCC#N)C[C@@H]2C)co1 ZINC001281653528 905123960 /nfs/dbraw/zinc/12/39/60/905123960.db2.gz UDCBPNPOUUOVKV-AAEUAGOBSA-N 1 2 322.434 1.709 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H](NC(=O)CSCC#N)C[C@@H]2C)co1 ZINC001281653528 905123967 /nfs/dbraw/zinc/12/39/67/905123967.db2.gz UDCBPNPOUUOVKV-AAEUAGOBSA-N 1 2 322.434 1.709 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1ncccn1 ZINC001281967003 905369942 /nfs/dbraw/zinc/36/99/42/905369942.db2.gz DKLXDMLCEBIJKZ-HNNXBMFYSA-N 1 2 318.421 1.492 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1ncccn1 ZINC001281967003 905369957 /nfs/dbraw/zinc/36/99/57/905369957.db2.gz DKLXDMLCEBIJKZ-HNNXBMFYSA-N 1 2 318.421 1.492 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H](C)C#N ZINC001377374744 921164388 /nfs/dbraw/zinc/16/43/88/921164388.db2.gz DMFCIDUPMKQJER-CMPLNLGQSA-N 1 2 323.828 1.622 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H](C)C#N ZINC001377374744 921164392 /nfs/dbraw/zinc/16/43/92/921164392.db2.gz DMFCIDUPMKQJER-CMPLNLGQSA-N 1 2 323.828 1.622 20 30 DDEDLO Cc1noc(C[N@@H+]2CCCCC[C@@H]2CNC(=O)C#CC2CC2)n1 ZINC001282665844 905932115 /nfs/dbraw/zinc/93/21/15/905932115.db2.gz IOQIIKMEXURJAS-OAHLLOKOSA-N 1 2 316.405 1.652 20 30 DDEDLO Cc1noc(C[N@H+]2CCCCC[C@@H]2CNC(=O)C#CC2CC2)n1 ZINC001282665844 905932128 /nfs/dbraw/zinc/93/21/28/905932128.db2.gz IOQIIKMEXURJAS-OAHLLOKOSA-N 1 2 316.405 1.652 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C2CC(C)(C)C2)C1 ZINC001282706669 905969045 /nfs/dbraw/zinc/96/90/45/905969045.db2.gz PCPGXRSUZCHWFE-LBPRGKRZSA-N 1 2 307.438 1.161 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H]1CCCN(CC(F)F)C1 ZINC001372099528 906456074 /nfs/dbraw/zinc/45/60/74/906456074.db2.gz YPAMEBAJGWOOEQ-GFCCVEGCSA-N 1 2 323.815 1.764 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H]1CCCN(CC(F)F)C1 ZINC001372099528 906456091 /nfs/dbraw/zinc/45/60/91/906456091.db2.gz YPAMEBAJGWOOEQ-GFCCVEGCSA-N 1 2 323.815 1.764 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]cn2C)C1 ZINC001283846960 908056735 /nfs/dbraw/zinc/05/67/35/908056735.db2.gz FDWKBLPLCDKJQK-ZDUSSCGKSA-N 1 2 304.394 1.036 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001284279587 908768561 /nfs/dbraw/zinc/76/85/61/908768561.db2.gz QHFNGICDRGYWMC-LBPRGKRZSA-N 1 2 312.373 1.457 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(CC)C(=O)Cc1[nH]c[nH+]c1C ZINC001284636742 909281706 /nfs/dbraw/zinc/28/17/06/909281706.db2.gz FBODVHRPPROSMM-UHFFFAOYSA-N 1 2 306.410 1.438 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH+]ccn1C)C1CCN(CC#N)CC1 ZINC001284686054 909353343 /nfs/dbraw/zinc/35/33/43/909353343.db2.gz FYUURCKRFGNZGA-CYBMUJFWSA-N 1 2 303.410 1.093 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](N(CCC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001284817586 909523298 /nfs/dbraw/zinc/52/32/98/909523298.db2.gz XOTSSUOAPCGJLD-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+](CCN(C)C(=O)C(C)C)CC1 ZINC001284820033 909526032 /nfs/dbraw/zinc/52/60/32/909526032.db2.gz MRZXTVJDCZZWFT-UHFFFAOYSA-N 1 2 321.465 1.439 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CC[NH+]1CCN(C(=O)C2CC2)CC1 ZINC001284820540 909526207 /nfs/dbraw/zinc/52/62/07/909526207.db2.gz VIWOBVPPKNALRK-UHFFFAOYSA-N 1 2 321.465 1.601 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+](CCN(C)C(=O)CCC)CC1 ZINC001284835518 909549259 /nfs/dbraw/zinc/54/92/59/909549259.db2.gz IJTNEJGFOLBYDV-UHFFFAOYSA-N 1 2 309.454 1.745 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)COCc2ccncc2)C1 ZINC001373383529 909691814 /nfs/dbraw/zinc/69/18/14/909691814.db2.gz WVPZUOKMSYQCOV-OAHLLOKOSA-N 1 2 323.824 1.931 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)COCc2ccncc2)C1 ZINC001373383529 909691827 /nfs/dbraw/zinc/69/18/27/909691827.db2.gz WVPZUOKMSYQCOV-OAHLLOKOSA-N 1 2 323.824 1.931 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001284969500 909852929 /nfs/dbraw/zinc/85/29/29/909852929.db2.gz DGEQJYZTOUMCSQ-UHFFFAOYSA-N 1 2 316.405 1.226 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1CN(C(=O)CCn2cc[nH+]c2)C1 ZINC001284987717 909892733 /nfs/dbraw/zinc/89/27/33/909892733.db2.gz ADTSADGJCYVGIA-AWEZNQCLSA-N 1 2 318.421 1.450 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@H]23)n1 ZINC001394684267 910273874 /nfs/dbraw/zinc/27/38/74/910273874.db2.gz STSMBBDWYOGAER-ITDIGPHOSA-N 1 2 303.366 1.151 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@H]23)n1 ZINC001394684267 910273884 /nfs/dbraw/zinc/27/38/84/910273884.db2.gz STSMBBDWYOGAER-ITDIGPHOSA-N 1 2 303.366 1.151 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCn2nc(C)cc2C)C1 ZINC001373599364 910297681 /nfs/dbraw/zinc/29/76/81/910297681.db2.gz CVCRYFFQKFILRH-AWEZNQCLSA-N 1 2 310.829 1.833 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCn2nc(C)cc2C)C1 ZINC001373599364 910297698 /nfs/dbraw/zinc/29/76/98/910297698.db2.gz CVCRYFFQKFILRH-AWEZNQCLSA-N 1 2 310.829 1.833 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)COCC(F)F)C1 ZINC001373600338 910301529 /nfs/dbraw/zinc/30/15/29/910301529.db2.gz OLSRLHINYYNDOH-AWEZNQCLSA-N 1 2 323.343 1.530 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)COCC(F)F)C1 ZINC001373600338 910301544 /nfs/dbraw/zinc/30/15/44/910301544.db2.gz OLSRLHINYYNDOH-AWEZNQCLSA-N 1 2 323.343 1.530 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCCn2ccccc2=O)C1 ZINC001373599657 910302680 /nfs/dbraw/zinc/30/26/80/910302680.db2.gz GLTRIXZEKYJFAH-AWEZNQCLSA-N 1 2 323.824 1.572 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCCn2ccccc2=O)C1 ZINC001373599657 910302699 /nfs/dbraw/zinc/30/26/99/910302699.db2.gz GLTRIXZEKYJFAH-AWEZNQCLSA-N 1 2 323.824 1.572 20 30 DDEDLO COc1cc(C[N@H+](C)CCN(C(=O)[C@@H](C)C#N)C(C)C)on1 ZINC001394802130 910599639 /nfs/dbraw/zinc/59/96/39/910599639.db2.gz QKHHKNLUUOCKAN-LBPRGKRZSA-N 1 2 308.382 1.512 20 30 DDEDLO COc1cc(C[N@@H+](C)CCN(C(=O)[C@@H](C)C#N)C(C)C)on1 ZINC001394802130 910599647 /nfs/dbraw/zinc/59/96/47/910599647.db2.gz QKHHKNLUUOCKAN-LBPRGKRZSA-N 1 2 308.382 1.512 20 30 DDEDLO C=C(Cl)C[NH+]1CCC([C@@H](C)NC(=O)Cc2nnc[nH]2)CC1 ZINC001394839995 910708930 /nfs/dbraw/zinc/70/89/30/910708930.db2.gz BJZQDEQYPOUDMB-LLVKDONJSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@@H](C)CNC(=O)Cn2cc[nH+]c2)C1 ZINC001285732343 911118938 /nfs/dbraw/zinc/11/89/38/911118938.db2.gz BZBISHLPJFJIGK-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](C)N(C)C(=O)CCn1cc[nH+]c1 ZINC001285773113 911185863 /nfs/dbraw/zinc/18/58/63/911185863.db2.gz CFPSUKAIHFPMPV-AWEZNQCLSA-N 1 2 320.437 1.839 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001285874380 911346650 /nfs/dbraw/zinc/34/66/50/911346650.db2.gz HBLIQUGGOSZWTR-ZIAGYGMSSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001286186159 911787760 /nfs/dbraw/zinc/78/77/60/911787760.db2.gz LXLCAMQFMTYVQY-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001286186159 911787767 /nfs/dbraw/zinc/78/77/67/911787767.db2.gz LXLCAMQFMTYVQY-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@@H](C)Cc1cnn(C)c1 ZINC001375135860 915074281 /nfs/dbraw/zinc/07/42/81/915074281.db2.gz SUCGPTNLASUBSV-AAEUAGOBSA-N 1 2 312.845 1.788 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@@H](C)Cc1cnn(C)c1 ZINC001375135860 915074300 /nfs/dbraw/zinc/07/43/00/915074300.db2.gz SUCGPTNLASUBSV-AAEUAGOBSA-N 1 2 312.845 1.788 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001294747592 915335954 /nfs/dbraw/zinc/33/59/54/915335954.db2.gz CLEGFYXELBRVEE-HUUCEWRRSA-N 1 2 316.405 1.211 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001294774134 915355828 /nfs/dbraw/zinc/35/58/28/915355828.db2.gz SSKNPIIVMXSRFS-ZIAGYGMSSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001294824652 915391417 /nfs/dbraw/zinc/39/14/17/915391417.db2.gz JNCBAAHLJWKKOV-CQSZACIVSA-N 1 2 316.405 1.438 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(CCNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001296619158 916507185 /nfs/dbraw/zinc/50/71/85/916507185.db2.gz UWOUGSSZIWYNGF-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)N1CCC(CN(C)C(=O)Cn2cc[nH+]c2)CC1 ZINC001296878984 916610740 /nfs/dbraw/zinc/61/07/40/916610740.db2.gz IHZMJSZXGDQDGE-UHFFFAOYSA-N 1 2 318.421 1.546 20 30 DDEDLO CCCc1noc(C[NH2+][C@H](CNC(=O)[C@H](C)C#N)C2CC2)n1 ZINC001376197116 917932723 /nfs/dbraw/zinc/93/27/23/917932723.db2.gz KEKSRWVAAZQACK-ZYHUDNBSSA-N 1 2 305.382 1.166 20 30 DDEDLO CO[C@@H](C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F)C(C)C ZINC001377216028 920660951 /nfs/dbraw/zinc/66/09/51/920660951.db2.gz ZDUFNVORLFPYLZ-MRXNPFEDSA-N 1 2 321.396 1.916 20 30 DDEDLO CO[C@@H](C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F)C(C)C ZINC001377216028 920660955 /nfs/dbraw/zinc/66/09/55/920660955.db2.gz ZDUFNVORLFPYLZ-MRXNPFEDSA-N 1 2 321.396 1.916 20 30 DDEDLO C[N@H+](CCNC(=O)[C@]1(C)CCCOC1)Cc1ccccc1C#N ZINC001377239926 920747815 /nfs/dbraw/zinc/74/78/15/920747815.db2.gz IYWRPQRLRAQPAF-GOSISDBHSA-N 1 2 315.417 1.923 20 30 DDEDLO C[N@@H+](CCNC(=O)[C@]1(C)CCCOC1)Cc1ccccc1C#N ZINC001377239926 920747827 /nfs/dbraw/zinc/74/78/27/920747827.db2.gz IYWRPQRLRAQPAF-GOSISDBHSA-N 1 2 315.417 1.923 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H](C)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001378021086 923725404 /nfs/dbraw/zinc/72/54/04/923725404.db2.gz NTCYLPBPUZAHDM-NEPJUHHUSA-N 1 2 302.353 1.794 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H](C)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001378021086 923725414 /nfs/dbraw/zinc/72/54/14/923725414.db2.gz NTCYLPBPUZAHDM-NEPJUHHUSA-N 1 2 302.353 1.794 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cc(C)ccc2OC)CC1 ZINC000282176437 222575917 /nfs/dbraw/zinc/57/59/17/222575917.db2.gz MMNMOQYXGDONMS-UHFFFAOYSA-N 1 2 322.430 1.333 20 30 DDEDLO CNC(=O)c1ccc(/C=C\C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000493001784 290624999 /nfs/dbraw/zinc/62/49/99/290624999.db2.gz CNIGADTXUWBOMG-CGQZWFTASA-N 1 2 314.389 1.019 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)Nc1ccc(F)cc1F ZINC000283973616 222612451 /nfs/dbraw/zinc/61/24/51/222612451.db2.gz GMTJJUBUJRLKEW-QPUJVOFHSA-N 1 2 313.304 1.760 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@@](O)(C(F)F)C2)CCCCC1 ZINC000451442156 231096761 /nfs/dbraw/zinc/09/67/61/231096761.db2.gz UKOFSRUYYKQLQM-AWEZNQCLSA-N 1 2 301.337 1.031 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@@](O)(C(F)F)C2)CCCCC1 ZINC000451442156 231096763 /nfs/dbraw/zinc/09/67/63/231096763.db2.gz UKOFSRUYYKQLQM-AWEZNQCLSA-N 1 2 301.337 1.031 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NCC3CCC(C#N)CC3)C[C@@H]21 ZINC000333102171 529785943 /nfs/dbraw/zinc/78/59/43/529785943.db2.gz VKZPYUXQBXGBCK-PFSRBDOWSA-N 1 2 306.410 1.041 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NCC3CCC(C#N)CC3)C[C@@H]21 ZINC000333102171 529785945 /nfs/dbraw/zinc/78/59/45/529785945.db2.gz VKZPYUXQBXGBCK-PFSRBDOWSA-N 1 2 306.410 1.041 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CCC(OCC3CC3)CC2)C1 ZINC000329770338 529788183 /nfs/dbraw/zinc/78/81/83/529788183.db2.gz IRPFVLVEGGJUBL-OAHLLOKOSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CCC(OCC3CC3)CC2)C1 ZINC000329770338 529788184 /nfs/dbraw/zinc/78/81/84/529788184.db2.gz IRPFVLVEGGJUBL-OAHLLOKOSA-N 1 2 311.426 1.122 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)NC2CCN(c3cccc[nH+]3)CC2)C1 ZINC000615535313 362324412 /nfs/dbraw/zinc/32/44/12/362324412.db2.gz NWKDKDONLPMPNK-INIZCTEOSA-N 1 2 317.368 1.698 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)Nc2ccc(C(N)=O)c(F)c2)C1 ZINC000330852482 530070104 /nfs/dbraw/zinc/07/01/04/530070104.db2.gz QTBMPFZWUJLBEJ-NSHDSACASA-N 1 2 323.372 1.281 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)Nc2ccc(C(N)=O)c(F)c2)C1 ZINC000330852482 530070105 /nfs/dbraw/zinc/07/01/05/530070105.db2.gz QTBMPFZWUJLBEJ-NSHDSACASA-N 1 2 323.372 1.281 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C(=O)C#Cc2ccccc2)CC1 ZINC000080552284 192166775 /nfs/dbraw/zinc/16/67/75/192166775.db2.gz YXVOOYRHAZYBOD-UHFFFAOYSA-N 1 2 323.396 1.987 20 30 DDEDLO C[C@@H]1CSCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000148141100 186043092 /nfs/dbraw/zinc/04/30/92/186043092.db2.gz SITSXOXSDDQNCW-GFCCVEGCSA-N 1 2 310.444 1.769 20 30 DDEDLO C[C@@H]1CSCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000148141100 186043094 /nfs/dbraw/zinc/04/30/94/186043094.db2.gz SITSXOXSDDQNCW-GFCCVEGCSA-N 1 2 310.444 1.769 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccc(F)cc1C#N)[C@@H](C)[NH+]1CCOCC1 ZINC000177896757 186200832 /nfs/dbraw/zinc/20/08/32/186200832.db2.gz UTNZAGCDDBHXGK-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO CCCNC(=O)[C@@H](C)[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000029858299 352246029 /nfs/dbraw/zinc/24/60/29/352246029.db2.gz AFAGXUMBQMIXQS-CYBMUJFWSA-N 1 2 318.396 1.734 20 30 DDEDLO Cc1ncc(C[N@H+](C)CC(=O)N(CCC#N)CCC#N)s1 ZINC000054990068 352710937 /nfs/dbraw/zinc/71/09/37/352710937.db2.gz RJCSFXHMFGKFGZ-UHFFFAOYSA-N 1 2 305.407 1.539 20 30 DDEDLO Cc1ncc(C[N@@H+](C)CC(=O)N(CCC#N)CCC#N)s1 ZINC000054990068 352710938 /nfs/dbraw/zinc/71/09/38/352710938.db2.gz RJCSFXHMFGKFGZ-UHFFFAOYSA-N 1 2 305.407 1.539 20 30 DDEDLO CCOC(=O)[C@H]1CCCCN1C(=O)C[N@H+](CC)C[C@@H](C)C#N ZINC000066424324 352996138 /nfs/dbraw/zinc/99/61/38/352996138.db2.gz YFEXJAIUIULXGC-UONOGXRCSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOC(=O)[C@H]1CCCCN1C(=O)C[N@@H+](CC)C[C@@H](C)C#N ZINC000066424324 352996140 /nfs/dbraw/zinc/99/61/40/352996140.db2.gz YFEXJAIUIULXGC-UONOGXRCSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOCCC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000076840150 353440803 /nfs/dbraw/zinc/44/08/03/353440803.db2.gz RTVBKIKFDQZKJU-UHFFFAOYSA-N 1 2 301.390 1.629 20 30 DDEDLO N#CCC[N@@H+](CC(=O)N1CCO[C@H]2CCCC[C@H]21)CC1CC1 ZINC000081063102 353649687 /nfs/dbraw/zinc/64/96/87/353649687.db2.gz ZITINPVOBAIQEW-CVEARBPZSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CCC[N@H+](CC(=O)N1CCO[C@H]2CCCC[C@H]21)CC1CC1 ZINC000081063102 353649689 /nfs/dbraw/zinc/64/96/89/353649689.db2.gz ZITINPVOBAIQEW-CVEARBPZSA-N 1 2 305.422 1.782 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)N2CCC[C@@H]2[C@@H](C#N)c2ccccc2)C1 ZINC000081485137 353677621 /nfs/dbraw/zinc/67/76/21/353677621.db2.gz QARKWUOMCPXGRB-BBWFWOEESA-N 1 2 313.401 1.615 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)N2CCC[C@@H]2[C@@H](C#N)c2ccccc2)C1 ZINC000081485137 353677625 /nfs/dbraw/zinc/67/76/25/353677625.db2.gz QARKWUOMCPXGRB-BBWFWOEESA-N 1 2 313.401 1.615 20 30 DDEDLO CC(C)[C@H](NC(=O)c1cn([C@H]2CCOC2)nn1)c1[nH]cc[nH+]1 ZINC000328753583 222892828 /nfs/dbraw/zinc/89/28/28/222892828.db2.gz NUYWDWMDRKIZMI-JQWIXIFHSA-N 1 2 304.354 1.665 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@H](CO)CC2)c(C#N)c1C ZINC000293237179 354454952 /nfs/dbraw/zinc/45/49/52/354454952.db2.gz SOJMSHRHWCGYIS-ZDUSSCGKSA-N 1 2 305.378 1.801 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@H](CO)CC2)c(C#N)c1C ZINC000293237179 354454956 /nfs/dbraw/zinc/45/49/56/354454956.db2.gz SOJMSHRHWCGYIS-ZDUSSCGKSA-N 1 2 305.378 1.801 20 30 DDEDLO C[C@H](Oc1ccc(F)c(F)c1)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000578657498 354711254 /nfs/dbraw/zinc/71/12/54/354711254.db2.gz NYCOCYDTZPIHIE-BONVTDFDSA-N 1 2 311.332 1.692 20 30 DDEDLO N#CC1(CNC(=O)N2CC[NH+](Cc3ccsc3)CC2)CC1 ZINC000578952843 354713615 /nfs/dbraw/zinc/71/36/15/354713615.db2.gz UNUSKUJMJPTDGC-UHFFFAOYSA-N 1 2 304.419 1.879 20 30 DDEDLO C[C@H]([NH2+]C[C@H](C#N)CCC#N)c1cccc(S(N)(=O)=O)c1 ZINC000579278654 354717707 /nfs/dbraw/zinc/71/77/07/354717707.db2.gz BSNIAUNWZGERJI-RYUDHWBXSA-N 1 2 306.391 1.428 20 30 DDEDLO C=CCCn1cc(C(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)nn1 ZINC000579944502 354725090 /nfs/dbraw/zinc/72/50/90/354725090.db2.gz WXXBGUPMFKUFMV-GFCCVEGCSA-N 1 2 300.366 1.217 20 30 DDEDLO CCOC(=O)CN(C(=O)C[NH2+][C@@H](CC)CC#N)c1ccccc1 ZINC000581080774 354730176 /nfs/dbraw/zinc/73/01/76/354730176.db2.gz YCUZEJHCJDBIEX-AWEZNQCLSA-N 1 2 317.389 1.865 20 30 DDEDLO N#C[C@@H]1CCC[C@@H]1[NH2+][C@@H]1CCN(c2cccc([N+](=O)[O-])c2)C1=O ZINC000332111002 283057071 /nfs/dbraw/zinc/05/70/71/283057071.db2.gz JEMAQXWVMJCWEL-TUKIKUTGSA-N 1 2 314.345 1.982 20 30 DDEDLO COc1ccc2c(c1)C[C@@H]([NH+]1CCN(CCC#N)CC1)CO2 ZINC000601315865 358492676 /nfs/dbraw/zinc/49/26/76/358492676.db2.gz DWDPTXUOXKMASR-OAHLLOKOSA-N 1 2 301.390 1.530 20 30 DDEDLO N#CCSCC(=O)N(CCn1cc[nH+]c1)C1CCSCC1 ZINC000588844492 354942092 /nfs/dbraw/zinc/94/20/92/354942092.db2.gz MJAPRBFFRVBRMV-UHFFFAOYSA-N 1 2 324.475 1.864 20 30 DDEDLO Cc1nnc(CCNc2[nH+]cnc3c2cnn3CCC#N)s1 ZINC000589601765 355003733 /nfs/dbraw/zinc/00/37/33/355003733.db2.gz YOKHTGSXDLOUPS-UHFFFAOYSA-N 1 2 314.378 1.555 20 30 DDEDLO N#Cc1cc(F)cc(C2(C(=O)N3CCn4c[nH+]cc4C3)CC2)c1 ZINC000589623924 355006180 /nfs/dbraw/zinc/00/61/80/355006180.db2.gz OZCRQGFLRBWWNW-UHFFFAOYSA-N 1 2 310.332 1.968 20 30 DDEDLO N#CC1CN(S(=O)(=O)c2ccccc2Cn2cc[nH+]c2)C1 ZINC000590090143 355047783 /nfs/dbraw/zinc/04/77/83/355047783.db2.gz ZIRBDTAYHBVUQK-UHFFFAOYSA-N 1 2 302.359 1.075 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CC[NH+](C[C@H]2CCCO2)CC1 ZINC000590131535 355052477 /nfs/dbraw/zinc/05/24/77/355052477.db2.gz BZCUZVJCVRZWOT-OAHLLOKOSA-N 1 2 314.389 1.199 20 30 DDEDLO C=C[C@H]([NH2+][C@H](C)C1CCN(CC(F)(F)F)CC1)C(=O)OC ZINC000590180853 355057149 /nfs/dbraw/zinc/05/71/49/355057149.db2.gz JGLOXJRHUCGOGH-PWSUYJOCSA-N 1 2 308.344 1.966 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000590286960 355064672 /nfs/dbraw/zinc/06/46/72/355064672.db2.gz RJWSHDVQVJWTPW-UHFFFAOYSA-N 1 2 318.417 1.932 20 30 DDEDLO N#Cc1cnccc1C[NH+]1CCC(OC[C@@H]2CCOC2)CC1 ZINC000592086302 355490823 /nfs/dbraw/zinc/49/08/23/355490823.db2.gz MRSUYZSNWYDVMX-CQSZACIVSA-N 1 2 301.390 1.971 20 30 DDEDLO Cc1cccc(C)c1NC(=O)[C@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146801 355512802 /nfs/dbraw/zinc/51/28/02/355512802.db2.gz KNXSOCPRXSDLRW-YOEHRIQHSA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1cccc(C)c1NC(=O)[C@H](C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146801 355512805 /nfs/dbraw/zinc/51/28/05/355512805.db2.gz KNXSOCPRXSDLRW-YOEHRIQHSA-N 1 2 301.390 1.981 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCc2cccc(C(=O)OC)c2C1 ZINC000592164020 355523150 /nfs/dbraw/zinc/52/31/50/355523150.db2.gz XMIJBZDORHHQPU-GFCCVEGCSA-N 1 2 302.374 1.522 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCc2cccc(C(=O)OC)c2C1 ZINC000592164020 355523152 /nfs/dbraw/zinc/52/31/52/355523152.db2.gz XMIJBZDORHHQPU-GFCCVEGCSA-N 1 2 302.374 1.522 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1c[nH]c2ccc(F)cc12 ZINC000593154608 355812489 /nfs/dbraw/zinc/81/24/89/355812489.db2.gz NMCGYBYKGSDXTL-INIZCTEOSA-N 1 2 302.353 1.810 20 30 DDEDLO Cn1cc(-c2cncc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c2)cn1 ZINC000593154724 355812988 /nfs/dbraw/zinc/81/29/88/355812988.db2.gz VTSNNEVWPMZKIM-MRXNPFEDSA-N 1 2 312.377 1.056 20 30 DDEDLO CC[C@@H](C#N)C(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000593394350 355871984 /nfs/dbraw/zinc/87/19/84/355871984.db2.gz NQZSTBQHJMXOPB-AWEZNQCLSA-N 1 2 311.389 1.528 20 30 DDEDLO CC(C)[C@H]1CN(S(=O)(=O)c2ccsc2C#N)CC[N@@H+]1C ZINC000593585898 355934429 /nfs/dbraw/zinc/93/44/29/355934429.db2.gz OXBMUDGHNNDYJX-LLVKDONJSA-N 1 2 313.448 1.580 20 30 DDEDLO CC(C)[C@H]1CN(S(=O)(=O)c2ccsc2C#N)CC[N@H+]1C ZINC000593585898 355934431 /nfs/dbraw/zinc/93/44/31/355934431.db2.gz OXBMUDGHNNDYJX-LLVKDONJSA-N 1 2 313.448 1.580 20 30 DDEDLO Cn1nccc1[C@@H]1COCCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000593703264 355971577 /nfs/dbraw/zinc/97/15/77/355971577.db2.gz SDTBJRMXFYSBMP-INIZCTEOSA-N 1 2 322.372 1.513 20 30 DDEDLO C[C@@H](CNC(=O)c1ccc(C#N)cn1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594108785 356115021 /nfs/dbraw/zinc/11/50/21/356115021.db2.gz WZZRZOHQPLSNHU-RWMBFGLXSA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@@H](CNC(=O)c1ccc(C#N)cn1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594108785 356115025 /nfs/dbraw/zinc/11/50/25/356115025.db2.gz WZZRZOHQPLSNHU-RWMBFGLXSA-N 1 2 302.378 1.181 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@]23CCNC3=O)c([N+](=O)[O-])c1 ZINC000593996501 356083145 /nfs/dbraw/zinc/08/31/45/356083145.db2.gz QIVFBUXJBZXSPS-HNNXBMFYSA-N 1 2 300.318 1.321 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@]23CCNC3=O)c([N+](=O)[O-])c1 ZINC000593996501 356083147 /nfs/dbraw/zinc/08/31/47/356083147.db2.gz QIVFBUXJBZXSPS-HNNXBMFYSA-N 1 2 300.318 1.321 20 30 DDEDLO C[C@@H](CNS(=O)(=O)CCCCC#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594471275 356228023 /nfs/dbraw/zinc/22/80/23/356228023.db2.gz ABSGQMYQRGMQNX-MELADBBJSA-N 1 2 317.455 1.097 20 30 DDEDLO C[C@@H](CNS(=O)(=O)CCCCC#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594471275 356228026 /nfs/dbraw/zinc/22/80/26/356228026.db2.gz ABSGQMYQRGMQNX-MELADBBJSA-N 1 2 317.455 1.097 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NCc1cccc(COCCOCC)c1 ZINC000276950367 213197164 /nfs/dbraw/zinc/19/71/64/213197164.db2.gz LASPWTZWCBKASZ-UHFFFAOYSA-N 1 2 318.417 1.421 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NCc1cccc(COCCOCC)c1 ZINC000276950367 213197168 /nfs/dbraw/zinc/19/71/68/213197168.db2.gz LASPWTZWCBKASZ-UHFFFAOYSA-N 1 2 318.417 1.421 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@@H+]3CCc4n[nH]cc4C3)C2=O)cc1 ZINC000265433674 283165632 /nfs/dbraw/zinc/16/56/32/283165632.db2.gz NMMSULOOVOKLIY-MRXNPFEDSA-N 1 2 307.357 1.445 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@H+]3CCc4n[nH]cc4C3)C2=O)cc1 ZINC000265433674 283165638 /nfs/dbraw/zinc/16/56/38/283165638.db2.gz NMMSULOOVOKLIY-MRXNPFEDSA-N 1 2 307.357 1.445 20 30 DDEDLO CCOCC[N@H+](CC)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513287 192277049 /nfs/dbraw/zinc/27/70/49/192277049.db2.gz HCJVOELZLOEISF-UHFFFAOYSA-N 1 2 310.419 1.690 20 30 DDEDLO CCOCC[N@@H+](CC)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513287 192277050 /nfs/dbraw/zinc/27/70/50/192277050.db2.gz HCJVOELZLOEISF-UHFFFAOYSA-N 1 2 310.419 1.690 20 30 DDEDLO C[N@@H+](Cc1cnc[nH]1)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000595597718 356569480 /nfs/dbraw/zinc/56/94/80/356569480.db2.gz SSWDNQSXSXVZAO-OAHLLOKOSA-N 1 2 300.362 1.347 20 30 DDEDLO C[N@H+](Cc1cnc[nH]1)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000595597718 356569485 /nfs/dbraw/zinc/56/94/85/356569485.db2.gz SSWDNQSXSXVZAO-OAHLLOKOSA-N 1 2 300.362 1.347 20 30 DDEDLO C[N@@H+](Cc1c[nH]cn1)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000595597718 356569488 /nfs/dbraw/zinc/56/94/88/356569488.db2.gz SSWDNQSXSXVZAO-OAHLLOKOSA-N 1 2 300.362 1.347 20 30 DDEDLO C[N@H+](Cc1c[nH]cn1)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000595597718 356569491 /nfs/dbraw/zinc/56/94/91/356569491.db2.gz SSWDNQSXSXVZAO-OAHLLOKOSA-N 1 2 300.362 1.347 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000595618958 356580345 /nfs/dbraw/zinc/58/03/45/356580345.db2.gz XCYPKNAGNIKESU-XOKHGSTOSA-N 1 2 307.438 1.930 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000595618958 356580347 /nfs/dbraw/zinc/58/03/47/356580347.db2.gz XCYPKNAGNIKESU-XOKHGSTOSA-N 1 2 307.438 1.930 20 30 DDEDLO COc1ccc(-c2noc(C[N@@H+]3CCC[C@H](CC#N)C3)n2)nn1 ZINC000595623247 356582573 /nfs/dbraw/zinc/58/25/73/356582573.db2.gz KYWWDJMCEZDRNI-LLVKDONJSA-N 1 2 314.349 1.661 20 30 DDEDLO COc1ccc(-c2noc(C[N@H+]3CCC[C@H](CC#N)C3)n2)nn1 ZINC000595623247 356582575 /nfs/dbraw/zinc/58/25/75/356582575.db2.gz KYWWDJMCEZDRNI-LLVKDONJSA-N 1 2 314.349 1.661 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCOC(C2CC2)(C2CC2)C1 ZINC000595821794 356663575 /nfs/dbraw/zinc/66/35/75/356663575.db2.gz GHDWFAOCXZTMPU-CYBMUJFWSA-N 1 2 317.433 1.828 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCOC(C2CC2)(C2CC2)C1 ZINC000595821794 356663578 /nfs/dbraw/zinc/66/35/78/356663578.db2.gz GHDWFAOCXZTMPU-CYBMUJFWSA-N 1 2 317.433 1.828 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+]2CC[C@](C)(C#N)C2)C1 ZINC000595832364 356669484 /nfs/dbraw/zinc/66/94/84/356669484.db2.gz BKBHGOOJFKYUEQ-CZUORRHYSA-N 1 2 307.394 1.024 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+]2CC[C@](C)(C#N)C2)C1 ZINC000595832364 356669485 /nfs/dbraw/zinc/66/94/85/356669485.db2.gz BKBHGOOJFKYUEQ-CZUORRHYSA-N 1 2 307.394 1.024 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)NCc1ccc(C#N)cc1 ZINC000596126951 356783508 /nfs/dbraw/zinc/78/35/08/356783508.db2.gz QMWOKDPHJBVAFX-KGLIPLIRSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)NCc1ccc(C#N)cc1 ZINC000596126951 356783513 /nfs/dbraw/zinc/78/35/13/356783513.db2.gz QMWOKDPHJBVAFX-KGLIPLIRSA-N 1 2 316.405 1.467 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000596804012 356981723 /nfs/dbraw/zinc/98/17/23/356981723.db2.gz YXNHKTPPIXNBBM-OAHLLOKOSA-N 1 2 314.389 1.805 20 30 DDEDLO N#CCC1CN(C(=O)Nc2ccccc2C[NH+]2CCOCC2)C1 ZINC000596694394 356954612 /nfs/dbraw/zinc/95/46/12/356954612.db2.gz HWUOXBOHVAHWEF-UHFFFAOYSA-N 1 2 314.389 1.896 20 30 DDEDLO CCN(C(=O)c1cc(C#N)c(SC)[nH]c1=O)[C@@H]1CC[N@H+](C)C1 ZINC000597944472 357394110 /nfs/dbraw/zinc/39/41/10/357394110.db2.gz DGERQOOCFKMFOF-LLVKDONJSA-N 1 2 320.418 1.547 20 30 DDEDLO CCN(C(=O)c1cc(C#N)c(SC)[nH]c1=O)[C@@H]1CC[N@@H+](C)C1 ZINC000597944472 357394113 /nfs/dbraw/zinc/39/41/13/357394113.db2.gz DGERQOOCFKMFOF-LLVKDONJSA-N 1 2 320.418 1.547 20 30 DDEDLO O=C(C[N@H+]1CCSC[C@@H]1CO)Nc1ccc2c(c1)OCCO2 ZINC000329644622 223008928 /nfs/dbraw/zinc/00/89/28/223008928.db2.gz JCWXBWVFOPGPIB-LBPRGKRZSA-N 1 2 324.402 1.456 20 30 DDEDLO O=C(C[N@@H+]1CCSC[C@@H]1CO)Nc1ccc2c(c1)OCCO2 ZINC000329644622 223008931 /nfs/dbraw/zinc/00/89/31/223008931.db2.gz JCWXBWVFOPGPIB-LBPRGKRZSA-N 1 2 324.402 1.456 20 30 DDEDLO N#Cc1cccc([C@@H]2C[C@H]2C(=O)N[C@H]2CCn3c[nH+]cc3C2)c1 ZINC000598946186 357769423 /nfs/dbraw/zinc/76/94/23/357769423.db2.gz DPINVHFUCRWTMW-BHYGNILZSA-N 1 2 306.369 1.989 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N1CC(Nc2cccc(C#N)c2)C1 ZINC000599112218 357818971 /nfs/dbraw/zinc/81/89/71/357818971.db2.gz WEBZGQMSMVZIBJ-UHFFFAOYSA-N 1 2 305.345 1.536 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCO[C@@H](C(F)(F)F)C2)CCOCC1 ZINC000599298036 357882046 /nfs/dbraw/zinc/88/20/46/357882046.db2.gz OTRZNVZBUOZBQJ-NWDGAFQWSA-N 1 2 322.327 1.321 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCO[C@@H](C(F)(F)F)C2)CCOCC1 ZINC000599298036 357882047 /nfs/dbraw/zinc/88/20/47/357882047.db2.gz OTRZNVZBUOZBQJ-NWDGAFQWSA-N 1 2 322.327 1.321 20 30 DDEDLO CCS(=O)(=O)N1CC[N@H+](Cc2ccc(C)c(C#N)c2)[C@H](C)C1 ZINC000599416335 357927319 /nfs/dbraw/zinc/92/73/19/357927319.db2.gz IFBXSLRAQWCKNR-CQSZACIVSA-N 1 2 321.446 1.723 20 30 DDEDLO CCS(=O)(=O)N1CC[N@@H+](Cc2ccc(C)c(C#N)c2)[C@H](C)C1 ZINC000599416335 357927322 /nfs/dbraw/zinc/92/73/22/357927322.db2.gz IFBXSLRAQWCKNR-CQSZACIVSA-N 1 2 321.446 1.723 20 30 DDEDLO CC(C)[C@@H](CNC(=O)C1(C#N)CC2(CC2)C1)[NH+]1CCOCC1 ZINC000600981670 358368578 /nfs/dbraw/zinc/36/85/78/358368578.db2.gz POCASKZTUDSHKR-CQSZACIVSA-N 1 2 305.422 1.543 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1cc(C#N)nc(C2CC2)n1 ZINC000601165239 358429382 /nfs/dbraw/zinc/42/93/82/358429382.db2.gz LKWVGVANMONYSS-WFASDCNBSA-N 1 2 313.405 1.525 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)N[C@@H](C)c1[nH+]ccn1C ZINC000601470562 358567908 /nfs/dbraw/zinc/56/79/08/358567908.db2.gz WSQJXWAWNKHGAM-JTQLQIEISA-N 1 2 322.365 1.779 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601975619 358761959 /nfs/dbraw/zinc/76/19/59/358761959.db2.gz LTNIOFWOEIXQDX-BXUZGUMPSA-N 1 2 303.353 1.397 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601975619 358761960 /nfs/dbraw/zinc/76/19/60/358761960.db2.gz LTNIOFWOEIXQDX-BXUZGUMPSA-N 1 2 303.353 1.397 20 30 DDEDLO CN(C(=O)C(=O)Nc1ccn2cc[nH+]c2c1)[C@@H]1CCC[C@H]1C#N ZINC000602062351 358797058 /nfs/dbraw/zinc/79/70/58/358797058.db2.gz LIUZUVXGIFFDFQ-WCQYABFASA-N 1 2 311.345 1.423 20 30 DDEDLO N#CCCN1CCN(C(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000602198809 358879261 /nfs/dbraw/zinc/87/92/61/358879261.db2.gz QDFZOSJVCVGWGC-UHFFFAOYSA-N 1 2 323.400 1.473 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C(=O)OC)cs2)C1=O ZINC000602648343 359098736 /nfs/dbraw/zinc/09/87/36/359098736.db2.gz PKPDIPRODIXWFT-ZDUSSCGKSA-N 1 2 308.403 1.753 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C(=O)OC)cs2)C1=O ZINC000602648343 359098740 /nfs/dbraw/zinc/09/87/40/359098740.db2.gz PKPDIPRODIXWFT-ZDUSSCGKSA-N 1 2 308.403 1.753 20 30 DDEDLO C=C(C)C[C@H]([NH2+][C@@H]1CCC[C@H](S(C)(=O)=O)C1)C(=O)OC ZINC000602735414 359157362 /nfs/dbraw/zinc/15/73/62/359157362.db2.gz WUGIRPDWPPXIHI-AGIUHOORSA-N 1 2 303.424 1.440 20 30 DDEDLO CC(C)CCOCCNC(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856981 359242124 /nfs/dbraw/zinc/24/21/24/359242124.db2.gz OAWVBSUESFMGOP-IYBDPMFKSA-N 1 2 324.469 1.083 20 30 DDEDLO CC(C)CCOCCNC(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856981 359242127 /nfs/dbraw/zinc/24/21/27/359242127.db2.gz OAWVBSUESFMGOP-IYBDPMFKSA-N 1 2 324.469 1.083 20 30 DDEDLO CCN(C(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)c1ccccc1 ZINC000602856620 359242376 /nfs/dbraw/zinc/24/23/76/359242376.db2.gz LRJHYKDRLMFOBK-IYBDPMFKSA-N 1 2 314.433 1.958 20 30 DDEDLO CCN(C(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)c1ccccc1 ZINC000602856620 359242378 /nfs/dbraw/zinc/24/23/78/359242378.db2.gz LRJHYKDRLMFOBK-IYBDPMFKSA-N 1 2 314.433 1.958 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1N(C)C(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602863182 359247054 /nfs/dbraw/zinc/24/70/54/359247054.db2.gz OZUMEFPTSRAJBC-NCOADZHNSA-N 1 2 320.481 1.942 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1N(C)C(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602863182 359247064 /nfs/dbraw/zinc/24/70/64/359247064.db2.gz OZUMEFPTSRAJBC-NCOADZHNSA-N 1 2 320.481 1.942 20 30 DDEDLO COc1ccc(CN(C)C(=O)C[NH2+][C@H](C)CC#N)cc1OC ZINC000602862858 359247432 /nfs/dbraw/zinc/24/74/32/359247432.db2.gz KHSMUIXOLACRLG-GFCCVEGCSA-N 1 2 305.378 1.554 20 30 DDEDLO COc1cc(OC)c(CNC(=O)C[NH2+][C@H](C)CC#N)c(OC)c1 ZINC000602865842 359250647 /nfs/dbraw/zinc/25/06/47/359250647.db2.gz ZRUBWBVEOMBHFK-LLVKDONJSA-N 1 2 321.377 1.220 20 30 DDEDLO Cn1cc(C2=CCC[N@@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C2)cn1 ZINC000602885222 359269071 /nfs/dbraw/zinc/26/90/71/359269071.db2.gz ZGAOYLUXUNJMNE-QGZVFWFLSA-N 1 2 321.384 1.607 20 30 DDEDLO Cn1cc(C2=CCC[N@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C2)cn1 ZINC000602885222 359269075 /nfs/dbraw/zinc/26/90/75/359269075.db2.gz ZGAOYLUXUNJMNE-QGZVFWFLSA-N 1 2 321.384 1.607 20 30 DDEDLO N#C[C@@H]1CC[C@H]([N@@H+]2CCc3c(cccc3S(N)(=O)=O)C2)C1 ZINC000603027357 359368607 /nfs/dbraw/zinc/36/86/07/359368607.db2.gz WBEZMMSMYWBOPX-YPMHNXCESA-N 1 2 305.403 1.384 20 30 DDEDLO N#C[C@@H]1CC[C@H]([N@H+]2CCc3c(cccc3S(N)(=O)=O)C2)C1 ZINC000603027357 359368611 /nfs/dbraw/zinc/36/86/11/359368611.db2.gz WBEZMMSMYWBOPX-YPMHNXCESA-N 1 2 305.403 1.384 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@@H](C)N(CC#N)[C@@H](C)C3)ccn12 ZINC000603087873 359394653 /nfs/dbraw/zinc/39/46/53/359394653.db2.gz AGDNMLHJQSGOOL-OKILXGFUSA-N 1 2 311.389 1.701 20 30 DDEDLO N#CCN1CCC(NC(=O)NCc2cn3ccccc3[nH+]2)CC1 ZINC000609336184 360296262 /nfs/dbraw/zinc/29/62/62/360296262.db2.gz RSWKVEFPXOBPLZ-UHFFFAOYSA-N 1 2 312.377 1.121 20 30 DDEDLO N#CCN1CCC(NC(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)CC1 ZINC000609340279 360297530 /nfs/dbraw/zinc/29/75/30/360297530.db2.gz NDQXGYCNJDBVLP-AWEZNQCLSA-N 1 2 316.409 1.634 20 30 DDEDLO N#Cc1cc(F)ccc1CN1CC[NH+](CC(=O)NC2CC2)CC1 ZINC000609133236 360263100 /nfs/dbraw/zinc/26/31/00/360263100.db2.gz BTZOZUBRUOXNPK-UHFFFAOYSA-N 1 2 316.380 1.094 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)c1ccco1 ZINC000610196837 360389498 /nfs/dbraw/zinc/38/94/98/360389498.db2.gz BFKPHFVVHGZLDW-CQSZACIVSA-N 1 2 305.378 1.458 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2N[C@H](C)C[NH+]1CCOCC1 ZINC000610211421 360390725 /nfs/dbraw/zinc/39/07/25/360390725.db2.gz VPMOPIJTAFXXMU-CQSZACIVSA-N 1 2 310.401 1.969 20 30 DDEDLO CC(C)c1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)[C@H](C)C#N)C2)o1 ZINC000610726854 360518313 /nfs/dbraw/zinc/51/83/13/360518313.db2.gz RUQPSCUREWYOSX-OLZOCXBDSA-N 1 2 304.394 1.990 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@H+](C)CCOc1cccc(C#N)c1 ZINC000610826757 360545697 /nfs/dbraw/zinc/54/56/97/360545697.db2.gz PATQTCCMHCGVMT-INIZCTEOSA-N 1 2 320.389 1.835 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@@H+](C)CCOc1cccc(C#N)c1 ZINC000610826757 360545704 /nfs/dbraw/zinc/54/57/04/360545704.db2.gz PATQTCCMHCGVMT-INIZCTEOSA-N 1 2 320.389 1.835 20 30 DDEDLO CCCC[N@H+](Cc1ccnc(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000610994454 360594871 /nfs/dbraw/zinc/59/48/71/360594871.db2.gz DICRXMARAISISL-HNNXBMFYSA-N 1 2 307.419 1.742 20 30 DDEDLO CCCC[N@@H+](Cc1ccnc(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000610994454 360594875 /nfs/dbraw/zinc/59/48/75/360594875.db2.gz DICRXMARAISISL-HNNXBMFYSA-N 1 2 307.419 1.742 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[NH+]1CCN(CCC#N)CC1 ZINC000611175100 360648054 /nfs/dbraw/zinc/64/80/54/360648054.db2.gz TUUMDFDBWOFOQV-AWEZNQCLSA-N 1 2 311.389 1.417 20 30 DDEDLO C[C@H]([NH2+]Cc1nc2c(cnn2C)c(=O)[nH]1)c1ccc(C#N)cc1 ZINC000611381797 360707932 /nfs/dbraw/zinc/70/79/32/360707932.db2.gz ACVFSJQKURQAOC-JTQLQIEISA-N 1 2 308.345 1.791 20 30 DDEDLO C[C@@H](CC#N)N(C)S(=O)(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000330431291 223115404 /nfs/dbraw/zinc/11/54/04/223115404.db2.gz WDHSISWRQHEZNN-JSGCOSHPSA-N 1 2 324.406 1.013 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)NCCC#N)c[nH+]2)C[C@H](C)O1 ZINC000331183160 223192025 /nfs/dbraw/zinc/19/20/25/223192025.db2.gz ZYJCLQOLIUOAPK-BETUJISGSA-N 1 2 317.393 1.612 20 30 DDEDLO CCOc1nccc(C)c1C(=O)NC[C@@H](C)[NH+]1CCOCC1 ZINC000331216584 223193675 /nfs/dbraw/zinc/19/36/75/223193675.db2.gz PKJCCEZKPRPYFG-CYBMUJFWSA-N 1 2 307.394 1.814 20 30 DDEDLO Cn1nc(C(C)(C)C)cc1NC(=O)C[NH2+][C@H]1CCC[C@H]1C#N ZINC000331356861 223204630 /nfs/dbraw/zinc/20/46/30/223204630.db2.gz SMBAYZOZYTXBBY-RYUDHWBXSA-N 1 2 303.410 1.938 20 30 DDEDLO N#C[C@@H]1CCC[C@H]1[NH2+][C@H]1CCN(c2cccc([N+](=O)[O-])c2)C1=O ZINC000332110998 223210744 /nfs/dbraw/zinc/21/07/44/223210744.db2.gz JEMAQXWVMJCWEL-GLQYFDAESA-N 1 2 314.345 1.982 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000333214850 223218926 /nfs/dbraw/zinc/21/89/26/223218926.db2.gz USDHPGKDGMWDHO-FXUDXRNXSA-N 1 2 320.437 1.573 20 30 DDEDLO N#CCCOCC[N@H+]1CCN(Cc2cccc(Cl)c2)C(=O)C1 ZINC000339018316 223265655 /nfs/dbraw/zinc/26/56/55/223265655.db2.gz WNTSPQWMVOOUFS-UHFFFAOYSA-N 1 2 321.808 1.914 20 30 DDEDLO N#CCCOCC[N@@H+]1CCN(Cc2cccc(Cl)c2)C(=O)C1 ZINC000339018316 223265657 /nfs/dbraw/zinc/26/56/57/223265657.db2.gz WNTSPQWMVOOUFS-UHFFFAOYSA-N 1 2 321.808 1.914 20 30 DDEDLO N#CC1(CS(=O)(=O)NCC[NH+]2Cc3ccccc3C2)CC1 ZINC000613262329 361355318 /nfs/dbraw/zinc/35/53/18/361355318.db2.gz ZTANEKXFUSDIJY-UHFFFAOYSA-N 1 2 305.403 1.225 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(F)cc1C#N ZINC000193668354 201098543 /nfs/dbraw/zinc/09/85/43/201098543.db2.gz GIZSZAKIRBMWOR-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000620724350 364534532 /nfs/dbraw/zinc/53/45/32/364534532.db2.gz ZXKZLOORZMUZIZ-QGZVFWFLSA-N 1 2 317.433 1.121 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000620724350 364534537 /nfs/dbraw/zinc/53/45/37/364534537.db2.gz ZXKZLOORZMUZIZ-QGZVFWFLSA-N 1 2 317.433 1.121 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCNC(=O)[C@@H]2CC)cc(OC)c1O ZINC000093049983 193198497 /nfs/dbraw/zinc/19/84/97/193198497.db2.gz PRXVVSUSPGGXSB-AWEZNQCLSA-N 1 2 304.390 1.840 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCNC(=O)[C@@H]2CC)cc(OC)c1O ZINC000093049983 193198498 /nfs/dbraw/zinc/19/84/98/193198498.db2.gz PRXVVSUSPGGXSB-AWEZNQCLSA-N 1 2 304.390 1.840 20 30 DDEDLO Cc1cccnc1C[N@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000093189229 193213973 /nfs/dbraw/zinc/21/39/73/193213973.db2.gz HXJBGMFEROBYIJ-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1cccnc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000093189229 193213975 /nfs/dbraw/zinc/21/39/75/193213975.db2.gz HXJBGMFEROBYIJ-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)C(=O)c2ccc(Cl)cc2)CC1 ZINC000263850633 203600299 /nfs/dbraw/zinc/60/02/99/203600299.db2.gz GNWPPBYAEDJTSG-UHFFFAOYSA-N 1 2 304.777 1.690 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2ccc(C#N)c(Cl)c2)CC1 ZINC000264614055 204029641 /nfs/dbraw/zinc/02/96/41/204029641.db2.gz DLNYJYKRKCPMQB-UHFFFAOYSA-N 1 2 306.797 1.788 20 30 DDEDLO C#CCCNC(=O)NC[C@@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000264944434 204290307 /nfs/dbraw/zinc/29/03/07/204290307.db2.gz AZJFMBQDRZNPDX-KRWDZBQOSA-N 1 2 315.417 1.691 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cccc4nccn43)CC2)c1 ZINC000271716203 209149468 /nfs/dbraw/zinc/14/94/68/209149468.db2.gz CAYNIXICLZBAFY-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#Cc1ccnc(N2CCN(Cc3cccc4[nH+]ccn43)CC2)c1 ZINC000271716203 209149472 /nfs/dbraw/zinc/14/94/72/209149472.db2.gz CAYNIXICLZBAFY-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCN(C2=[NH+]C[C@H](C)S2)CC1 ZINC000266043570 205052109 /nfs/dbraw/zinc/05/21/09/205052109.db2.gz BKUPGEKWAPWTMR-STQMWFEESA-N 1 2 311.451 1.603 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cc(C#N)ccn1 ZINC000288359434 220107334 /nfs/dbraw/zinc/10/73/34/220107334.db2.gz WETFLMSVFWJBLH-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cc(C#N)ccn1 ZINC000288359434 220107338 /nfs/dbraw/zinc/10/73/38/220107338.db2.gz WETFLMSVFWJBLH-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO CC(C)N(C)C(=O)[C@@H](C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000566655521 304173073 /nfs/dbraw/zinc/17/30/73/304173073.db2.gz PQSIZGADMYMBSI-CQSZACIVSA-N 1 2 315.421 1.331 20 30 DDEDLO CCOCC[NH+]1CCN(c2snc(Cl)c2C#N)CC1 ZINC000266407662 205324148 /nfs/dbraw/zinc/32/41/48/205324148.db2.gz QDCMKANSXLDCBR-UHFFFAOYSA-N 1 2 300.815 1.827 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2ccc(C#N)c([N+](=O)[O-])c2)CC1 ZINC000267898487 206327092 /nfs/dbraw/zinc/32/70/92/206327092.db2.gz AQTZCKZHJLPYFZ-UHFFFAOYSA-N 1 2 317.349 1.042 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(-n2cc[nH+]c2)c1)n1cnc(C#N)n1 ZINC000336936068 249293345 /nfs/dbraw/zinc/29/33/45/249293345.db2.gz FTLFHJOKUODLAJ-LLVKDONJSA-N 1 2 307.317 1.535 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCC[C@H](S(C)(=O)=O)C1 ZINC000274006431 211262570 /nfs/dbraw/zinc/26/25/70/211262570.db2.gz AAGSQJCNARYQNY-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCC[C@H](S(C)(=O)=O)C1 ZINC000274006431 211262576 /nfs/dbraw/zinc/26/25/76/211262576.db2.gz AAGSQJCNARYQNY-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCC[C@@H](O)CC2)c1 ZINC000339784755 250660414 /nfs/dbraw/zinc/66/04/14/250660414.db2.gz WCZBGECNRNODEI-CQSZACIVSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCC[C@@H](O)CC2)c1 ZINC000339784755 250660417 /nfs/dbraw/zinc/66/04/17/250660417.db2.gz WCZBGECNRNODEI-CQSZACIVSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1nc(-c2ccco2)oc1N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000109828923 194292067 /nfs/dbraw/zinc/29/20/67/194292067.db2.gz WWXBJCRJRMIINN-UHFFFAOYSA-N 1 2 324.344 1.852 20 30 DDEDLO CC[NH+]1CCN(C(=O)C(=O)Nc2ccc(C#N)cc2Cl)CC1 ZINC000269119679 207255594 /nfs/dbraw/zinc/25/55/94/207255594.db2.gz RYGPKFLTBKKRBB-UHFFFAOYSA-N 1 2 320.780 1.314 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[NH+]2CC(O)(c3ccccc3)C2)cc1 ZINC000375890660 207393119 /nfs/dbraw/zinc/39/31/19/207393119.db2.gz SXUCBMMNIZZEQJ-KRWDZBQOSA-N 1 2 324.380 1.501 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C1(N2CC[NH+](C)CC2)CCCC1 ZINC000369880501 285036840 /nfs/dbraw/zinc/03/68/40/285036840.db2.gz NABIRGOHPRGJMF-OAHLLOKOSA-N 1 2 306.454 1.555 20 30 DDEDLO N#Cc1ccc(-c2nc([C@H]3C[N@@H+]4CCCC[C@H]4CO3)no2)nc1 ZINC000579645654 422805759 /nfs/dbraw/zinc/80/57/59/422805759.db2.gz UHUHTGHSLYLVGX-GXTWGEPZSA-N 1 2 311.345 1.929 20 30 DDEDLO N#Cc1ccc(-c2nc([C@H]3C[N@H+]4CCCC[C@H]4CO3)no2)nc1 ZINC000579645654 422805763 /nfs/dbraw/zinc/80/57/63/422805763.db2.gz UHUHTGHSLYLVGX-GXTWGEPZSA-N 1 2 311.345 1.929 20 30 DDEDLO COC(=O)C(C)(C)N1CC[NH+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000494427527 533155683 /nfs/dbraw/zinc/15/56/83/533155683.db2.gz SVPATPWHFDPAAI-UHFFFAOYSA-N 1 2 319.380 1.767 20 30 DDEDLO C[C@@H](C(=O)N(C)Cc1ccc(C#N)cc1)[NH+]1CCN(C)CC1 ZINC000299670099 533175638 /nfs/dbraw/zinc/17/56/38/533175638.db2.gz RWGGPUUDUVTZHQ-AWEZNQCLSA-N 1 2 300.406 1.153 20 30 DDEDLO Cc1cc(C)c(C#N)c(SC[C@H](O)C[N@H+](C)CCC#N)n1 ZINC000414089066 224300076 /nfs/dbraw/zinc/30/00/76/224300076.db2.gz AASLSFVNRPWWPZ-CYBMUJFWSA-N 1 2 304.419 1.869 20 30 DDEDLO Cc1cc(C)c(C#N)c(SC[C@H](O)C[N@@H+](C)CCC#N)n1 ZINC000414089066 224300077 /nfs/dbraw/zinc/30/00/77/224300077.db2.gz AASLSFVNRPWWPZ-CYBMUJFWSA-N 1 2 304.419 1.869 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](CC(=O)N3CCCCC3)CC2)o1 ZINC000121848367 195360848 /nfs/dbraw/zinc/36/08/48/195360848.db2.gz FXHPRPINDIPWKX-UHFFFAOYSA-N 1 2 316.405 1.281 20 30 DDEDLO C[C@@H](CC#N)N(C)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000349444477 533401285 /nfs/dbraw/zinc/40/12/85/533401285.db2.gz GKQJTVUIGGVMFJ-ZDUSSCGKSA-N 1 2 318.402 1.854 20 30 DDEDLO COc1c(C)c[nH+]c(CN(C)C(=O)NC[C@H]2COCCO2)c1C ZINC000330474130 533406223 /nfs/dbraw/zinc/40/62/23/533406223.db2.gz RGOFLEBUXLCTHF-ZDUSSCGKSA-N 1 2 323.393 1.468 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCOC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000284830421 286191975 /nfs/dbraw/zinc/19/19/75/286191975.db2.gz OYDYUMJSOILNOT-GVDBMIGSSA-N 1 2 316.401 1.929 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCOC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000284830421 286191976 /nfs/dbraw/zinc/19/19/76/286191976.db2.gz OYDYUMJSOILNOT-GVDBMIGSSA-N 1 2 316.401 1.929 20 30 DDEDLO COc1ccc(C[N@@H+]2CCN3C(=O)OCC[C@H]3C2)cc1C#N ZINC000494316648 286305990 /nfs/dbraw/zinc/30/59/90/286305990.db2.gz NXUOUPCWBXGLNV-AWEZNQCLSA-N 1 2 301.346 1.593 20 30 DDEDLO COc1ccc(C[N@H+]2CCN3C(=O)OCC[C@H]3C2)cc1C#N ZINC000494316648 286305992 /nfs/dbraw/zinc/30/59/92/286305992.db2.gz NXUOUPCWBXGLNV-AWEZNQCLSA-N 1 2 301.346 1.593 20 30 DDEDLO C[C@@H](NC(=O)c1c(F)cc(C#N)cc1F)[C@@H](C)[NH+]1CCOCC1 ZINC000152139131 407570855 /nfs/dbraw/zinc/57/08/55/407570855.db2.gz PXMKEYNHUZTLFK-GHMZBOCLSA-N 1 2 323.343 1.675 20 30 DDEDLO CCS(=O)(=O)N(C)CCC/[NH+]=C/c1cccc(OC)c1O ZINC000031643582 406941896 /nfs/dbraw/zinc/94/18/96/406941896.db2.gz OTVJJXREGCEQND-RVDMUPIBSA-N 1 2 314.407 1.491 20 30 DDEDLO CCCN(CC#N)C(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000078088524 407019361 /nfs/dbraw/zinc/01/93/61/407019361.db2.gz XPSIBTVKIOWPHJ-INIZCTEOSA-N 1 2 301.390 1.650 20 30 DDEDLO CCCN(CC#N)C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000078088524 407019363 /nfs/dbraw/zinc/01/93/63/407019363.db2.gz XPSIBTVKIOWPHJ-INIZCTEOSA-N 1 2 301.390 1.650 20 30 DDEDLO CN(CCN(CCC#N)CC[NH+]1CCOCC1)c1ccccc1 ZINC000085823201 407106320 /nfs/dbraw/zinc/10/63/20/407106320.db2.gz LTHPQHYUJIHEQV-UHFFFAOYSA-N 1 2 316.449 1.671 20 30 DDEDLO CN(CC[N@H+](CCC#N)CCN1CCOCC1)c1ccccc1 ZINC000085823201 407106322 /nfs/dbraw/zinc/10/63/22/407106322.db2.gz LTHPQHYUJIHEQV-UHFFFAOYSA-N 1 2 316.449 1.671 20 30 DDEDLO CN(CC[N@@H+](CCC#N)CCN1CCOCC1)c1ccccc1 ZINC000085823201 407106323 /nfs/dbraw/zinc/10/63/23/407106323.db2.gz LTHPQHYUJIHEQV-UHFFFAOYSA-N 1 2 316.449 1.671 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2ccccc2Cl)CC1 ZINC000046551496 407061894 /nfs/dbraw/zinc/06/18/94/407061894.db2.gz WSFNVJGXUYZZGQ-ZDUSSCGKSA-N 1 2 305.809 1.600 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc(F)cc1C#N ZINC000081515423 407077939 /nfs/dbraw/zinc/07/79/39/407077939.db2.gz HPQOWCNHTXXSTG-UHFFFAOYSA-N 1 2 316.380 1.745 20 30 DDEDLO COCCCOCC[N@H+](C)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000091102343 407178695 /nfs/dbraw/zinc/17/86/95/407178695.db2.gz APLOUTBTPRLVRZ-UHFFFAOYSA-N 1 2 323.393 1.692 20 30 DDEDLO COCCCOCC[N@@H+](C)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000091102343 407178697 /nfs/dbraw/zinc/17/86/97/407178697.db2.gz APLOUTBTPRLVRZ-UHFFFAOYSA-N 1 2 323.393 1.692 20 30 DDEDLO C=CCN(CC=C)C(=O)CO[NH+]=C(N)c1ccc(F)c(C)c1 ZINC000063458048 407233010 /nfs/dbraw/zinc/23/30/10/407233010.db2.gz NSYZJLJSTAXDCW-UHFFFAOYSA-N 1 2 305.353 1.972 20 30 DDEDLO CCN(CC(=O)N1CC[NH+](Cc2ccco2)CC1)C[C@@H](C)C#N ZINC000064248954 407237852 /nfs/dbraw/zinc/23/78/52/407237852.db2.gz LASUSVMZUQSCSP-HNNXBMFYSA-N 1 2 318.421 1.405 20 30 DDEDLO CC(=O)NC[C@H]1CCC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000067415328 407273265 /nfs/dbraw/zinc/27/32/65/407273265.db2.gz VRYNIHRTPWUJAS-GFCCVEGCSA-N 1 2 320.418 1.406 20 30 DDEDLO CC(=O)NC[C@H]1CCC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000067415328 407273267 /nfs/dbraw/zinc/27/32/67/407273267.db2.gz VRYNIHRTPWUJAS-GFCCVEGCSA-N 1 2 320.418 1.406 20 30 DDEDLO C[C@H]1CC[C@@H](C(N)=O)C[N@@H+]1CC(=O)Nc1sccc1C#N ZINC000104075123 407346618 /nfs/dbraw/zinc/34/66/18/407346618.db2.gz CUXSEGRXGLBLHH-GXSJLCMTSA-N 1 2 306.391 1.144 20 30 DDEDLO C[C@H]1CC[C@@H](C(N)=O)C[N@H+]1CC(=O)Nc1sccc1C#N ZINC000104075123 407346619 /nfs/dbraw/zinc/34/66/19/407346619.db2.gz CUXSEGRXGLBLHH-GXSJLCMTSA-N 1 2 306.391 1.144 20 30 DDEDLO N#CC1(C(=O)NCc2ccccc2-n2cc[nH+]c2)CCOCC1 ZINC000126520125 407415257 /nfs/dbraw/zinc/41/52/57/407415257.db2.gz UPAIRWNZAQJUSZ-UHFFFAOYSA-N 1 2 310.357 1.809 20 30 DDEDLO C=C[C@H](C)NC(=O)N1CC[NH+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000128187903 407507698 /nfs/dbraw/zinc/50/76/98/407507698.db2.gz YPBZWFRTDZUEHV-LSDHHAIUSA-N 1 2 322.453 1.289 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2C[N@H+](Cc3ccccc3)CCO2)[nH]1 ZINC000152302415 407605524 /nfs/dbraw/zinc/60/55/24/407605524.db2.gz CFYYQKVTOSMQRC-INIZCTEOSA-N 1 2 324.384 1.517 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)[nH]1 ZINC000152302415 407605530 /nfs/dbraw/zinc/60/55/30/407605530.db2.gz CFYYQKVTOSMQRC-INIZCTEOSA-N 1 2 324.384 1.517 20 30 DDEDLO C[C@H](C#N)CSc1ccccc1NC(=O)C[N@@H+]1CC[C@H](O)C1 ZINC000152238489 407592991 /nfs/dbraw/zinc/59/29/91/407592991.db2.gz ZCABLVOOBHOBRX-OLZOCXBDSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@H](C#N)CSc1ccccc1NC(=O)C[N@H+]1CC[C@H](O)C1 ZINC000152238489 407592996 /nfs/dbraw/zinc/59/29/96/407592996.db2.gz ZCABLVOOBHOBRX-OLZOCXBDSA-N 1 2 319.430 1.943 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](CC)CC(=O)Nc1cccc(OC)c1 ZINC000179226606 407758661 /nfs/dbraw/zinc/75/86/61/407758661.db2.gz XNDGBLXDNFBEDG-CYBMUJFWSA-N 1 2 317.389 1.094 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](CC)CC(=O)Nc1cccc(OC)c1 ZINC000179226606 407758667 /nfs/dbraw/zinc/75/86/67/407758667.db2.gz XNDGBLXDNFBEDG-CYBMUJFWSA-N 1 2 317.389 1.094 20 30 DDEDLO C[C@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)cc2)[C@H](C(N)=O)C1 ZINC000187403152 407850955 /nfs/dbraw/zinc/85/09/55/407850955.db2.gz OOIAVUXLDSGUSM-FZMZJTMJSA-N 1 2 300.362 1.083 20 30 DDEDLO C[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)[C@H](C(N)=O)C1 ZINC000187403152 407850964 /nfs/dbraw/zinc/85/09/64/407850964.db2.gz OOIAVUXLDSGUSM-FZMZJTMJSA-N 1 2 300.362 1.083 20 30 DDEDLO COC(=O)C[N@H+](Cc1ccc(OC)c(C#N)c1)C1CCOCC1 ZINC000135111882 407977853 /nfs/dbraw/zinc/97/78/53/407977853.db2.gz DMYGCGFUSFZPCP-UHFFFAOYSA-N 1 2 318.373 1.721 20 30 DDEDLO COC(=O)C[N@@H+](Cc1ccc(OC)c(C#N)c1)C1CCOCC1 ZINC000135111882 407977860 /nfs/dbraw/zinc/97/78/60/407977860.db2.gz DMYGCGFUSFZPCP-UHFFFAOYSA-N 1 2 318.373 1.721 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCOC2(CCC2)C1 ZINC000154301276 408014299 /nfs/dbraw/zinc/01/42/99/408014299.db2.gz XJRJXLSCGHLSBS-AWEZNQCLSA-N 1 2 305.422 1.972 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCOC2(CCC2)C1 ZINC000154301276 408014305 /nfs/dbraw/zinc/01/43/05/408014305.db2.gz XJRJXLSCGHLSBS-AWEZNQCLSA-N 1 2 305.422 1.972 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)[nH]1 ZINC000268515201 408080400 /nfs/dbraw/zinc/08/04/00/408080400.db2.gz VMIBJVACZSSOAD-CJNGLKHVSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)[nH]1 ZINC000268515201 408080404 /nfs/dbraw/zinc/08/04/04/408080404.db2.gz VMIBJVACZSSOAD-CJNGLKHVSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000268515201 408080409 /nfs/dbraw/zinc/08/04/09/408080409.db2.gz VMIBJVACZSSOAD-CJNGLKHVSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000268515201 408080413 /nfs/dbraw/zinc/08/04/13/408080413.db2.gz VMIBJVACZSSOAD-CJNGLKHVSA-N 1 2 318.425 1.347 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCc2c(Br)cccc2C1 ZINC000121155165 408158557 /nfs/dbraw/zinc/15/85/57/408158557.db2.gz PZHXWEHHWKKQPM-NSHDSACASA-N 1 2 321.218 1.945 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCc2c(Br)cccc2C1 ZINC000121155165 408158562 /nfs/dbraw/zinc/15/85/62/408158562.db2.gz PZHXWEHHWKKQPM-NSHDSACASA-N 1 2 321.218 1.945 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3nccnc3C#N)c[nH+]2)CCO1 ZINC000263775228 408250301 /nfs/dbraw/zinc/25/03/01/408250301.db2.gz HQKIJTCQMUYDKM-LBPRGKRZSA-N 1 2 310.361 1.580 20 30 DDEDLO O=C(C#Cc1ccccc1)NCc1cccnc1-n1cc[nH+]c1 ZINC000175983430 408255922 /nfs/dbraw/zinc/25/59/22/408255922.db2.gz SIMOHNNJTSZQGH-UHFFFAOYSA-N 1 2 302.337 1.935 20 30 DDEDLO C[C@@H](NC(=O)[C@@H](C)O[NH+]=C(N)CCO)c1ccc(Cl)cc1 ZINC000121420288 408206957 /nfs/dbraw/zinc/20/69/57/408206957.db2.gz GZIPZGQPIUNTLG-NXEZZACHSA-N 1 2 313.785 1.577 20 30 DDEDLO N#Cc1cccc(CNC(=O)N2CC[C@H]([NH+]3CCOCC3)C2)c1 ZINC000173784026 162365854 /nfs/dbraw/zinc/36/58/54/162365854.db2.gz QAPVTDCZVWCTKA-INIZCTEOSA-N 1 2 314.389 1.174 20 30 DDEDLO COCC1(O)CC[NH+]([C@H](C)C(=O)Nc2ccccc2C#N)CC1 ZINC000270334463 408491662 /nfs/dbraw/zinc/49/16/62/408491662.db2.gz CNUNBGUWPXKQLQ-CYBMUJFWSA-N 1 2 317.389 1.359 20 30 DDEDLO N#Cc1ccc(Cl)c(S(=O)(=O)NCCn2cc[nH+]c2)c1 ZINC000160784556 408522672 /nfs/dbraw/zinc/52/26/72/408522672.db2.gz JJTHBVPMIHDTGY-UHFFFAOYSA-N 1 2 310.766 1.387 20 30 DDEDLO C=C(C)CS(=O)(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000184306912 408588294 /nfs/dbraw/zinc/58/82/94/408588294.db2.gz VBJYVBCWLWTGRW-UHFFFAOYSA-N 1 2 324.446 1.514 20 30 DDEDLO C#CC[NH+]1CCN(c2nc(-c3ccccn3)nc3c2CCC3)CC1 ZINC000265357875 408622279 /nfs/dbraw/zinc/62/22/79/408622279.db2.gz VTYPNWBDDQXFMP-UHFFFAOYSA-N 1 2 319.412 1.783 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@](C)(C[NH+]2CC(O)(CC#N)C2)C1 ZINC000192640817 408623157 /nfs/dbraw/zinc/62/31/57/408623157.db2.gz HVQMRXTVNLGYQU-OAHLLOKOSA-N 1 2 309.410 1.594 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000184475469 408625563 /nfs/dbraw/zinc/62/55/63/408625563.db2.gz VSZIYJIUNYJZPS-HNNXBMFYSA-N 1 2 319.405 1.577 20 30 DDEDLO C=C(C)CN(CC)C(=O)CO[NH+]=C(N)c1ccc(OC)cc1 ZINC000177555711 408657433 /nfs/dbraw/zinc/65/74/33/408657433.db2.gz YHVMSYJSRKRJIF-UHFFFAOYSA-N 1 2 305.378 1.757 20 30 DDEDLO C#C[C@@H](C)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000281149610 408873468 /nfs/dbraw/zinc/87/34/68/408873468.db2.gz YWXAHCFUZGZAJF-OIISXLGYSA-N 1 2 313.401 1.303 20 30 DDEDLO C#C[C@@H](C)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000281149610 408873470 /nfs/dbraw/zinc/87/34/70/408873470.db2.gz YWXAHCFUZGZAJF-OIISXLGYSA-N 1 2 313.401 1.303 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCC(=O)OC(C)(C)C)Cc1ccccc1 ZINC000285841210 408874021 /nfs/dbraw/zinc/87/40/21/408874021.db2.gz WMAYIXSXHFMQKM-UHFFFAOYSA-N 1 2 316.401 1.580 20 30 DDEDLO C#CC[N@H+](CC(=O)NCC(=O)OC(C)(C)C)Cc1ccccc1 ZINC000285841210 408874024 /nfs/dbraw/zinc/87/40/24/408874024.db2.gz WMAYIXSXHFMQKM-UHFFFAOYSA-N 1 2 316.401 1.580 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cccc3c2OCCO3)C1=O ZINC000281223359 408875847 /nfs/dbraw/zinc/87/58/47/408875847.db2.gz KMRLGKLQOQYJTP-AWEZNQCLSA-N 1 2 302.374 1.677 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cccc3c2OCCO3)C1=O ZINC000281223359 408875849 /nfs/dbraw/zinc/87/58/49/408875849.db2.gz KMRLGKLQOQYJTP-AWEZNQCLSA-N 1 2 302.374 1.677 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)NCc2ccccc2)C1=O ZINC000281280217 408878222 /nfs/dbraw/zinc/87/82/22/408878222.db2.gz IOUNEIRZYNZFOS-GOEBONIOSA-N 1 2 315.417 1.410 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)NCc2ccccc2)C1=O ZINC000281280217 408878224 /nfs/dbraw/zinc/87/82/24/408878224.db2.gz IOUNEIRZYNZFOS-GOEBONIOSA-N 1 2 315.417 1.410 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000286001733 408905016 /nfs/dbraw/zinc/90/50/16/408905016.db2.gz GJRWUTDKIKQOIF-UHFFFAOYSA-N 1 2 305.334 1.886 20 30 DDEDLO C#CC(C)(C)[N@H+](C)CC(=O)Nc1ccc([N+](=O)[O-])cc1OC ZINC000286001733 408905019 /nfs/dbraw/zinc/90/50/19/408905019.db2.gz GJRWUTDKIKQOIF-UHFFFAOYSA-N 1 2 305.334 1.886 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCC(F)(F)[C@H](CO)C2)cc1 ZINC000291739483 408915028 /nfs/dbraw/zinc/91/50/28/408915028.db2.gz JWKLBIGKOSENAI-AWEZNQCLSA-N 1 2 323.343 1.124 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCC(F)(F)[C@H](CO)C2)cc1 ZINC000291739483 408915030 /nfs/dbraw/zinc/91/50/30/408915030.db2.gz JWKLBIGKOSENAI-AWEZNQCLSA-N 1 2 323.343 1.124 20 30 DDEDLO C=CC[N@@H+](CCS(=O)(=O)N(C)C)Cc1ccccc1F ZINC000285925004 408890343 /nfs/dbraw/zinc/89/03/43/408890343.db2.gz IHUPXXHGCXEENG-UHFFFAOYSA-N 1 2 300.399 1.705 20 30 DDEDLO C=CC[N@H+](CCS(=O)(=O)N(C)C)Cc1ccccc1F ZINC000285925004 408890346 /nfs/dbraw/zinc/89/03/46/408890346.db2.gz IHUPXXHGCXEENG-UHFFFAOYSA-N 1 2 300.399 1.705 20 30 DDEDLO COC(=O)[C@@H]1[C@@H](O)CCC[N@@H+]1CCCOc1ccc(C#N)cc1 ZINC000189589581 163107256 /nfs/dbraw/zinc/10/72/56/163107256.db2.gz FJNXGBKHUJTSKC-HOTGVXAUSA-N 1 2 318.373 1.325 20 30 DDEDLO COC(=O)[C@@H]1[C@@H](O)CCC[N@H+]1CCCOc1ccc(C#N)cc1 ZINC000189589581 163107257 /nfs/dbraw/zinc/10/72/57/163107257.db2.gz FJNXGBKHUJTSKC-HOTGVXAUSA-N 1 2 318.373 1.325 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(Cc3ccc(C#N)cc3)CC2)C1=O ZINC000281933979 408957196 /nfs/dbraw/zinc/95/71/96/408957196.db2.gz IFNCSZWSLNRTHT-SFHVURJKSA-N 1 2 324.428 1.463 20 30 DDEDLO C=CCN1CC[C@H](N2CC[NH+](Cc3ccc(C#N)cc3)CC2)C1=O ZINC000281933979 408957200 /nfs/dbraw/zinc/95/72/00/408957200.db2.gz IFNCSZWSLNRTHT-SFHVURJKSA-N 1 2 324.428 1.463 20 30 DDEDLO CC#CC(=O)N1CC[NH+](Cc2cc(OC)ccc2OC)CC1 ZINC000277531137 408958823 /nfs/dbraw/zinc/95/88/23/408958823.db2.gz URJGOOXRKNUTFM-UHFFFAOYSA-N 1 2 302.374 1.371 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(Cc3ccccc3)C[C@H]2C)C1=O ZINC000282039602 408977660 /nfs/dbraw/zinc/97/76/60/408977660.db2.gz QVUGLUFZSSNXJD-SJLPKXTDSA-N 1 2 313.445 1.980 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(Cc3ccccc3)C[C@H]2C)C1=O ZINC000282039602 408977662 /nfs/dbraw/zinc/97/76/62/408977662.db2.gz QVUGLUFZSSNXJD-SJLPKXTDSA-N 1 2 313.445 1.980 20 30 DDEDLO COCc1noc(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000292127138 408985517 /nfs/dbraw/zinc/98/55/17/408985517.db2.gz ILXRXZNLXQKPLM-GFCCVEGCSA-N 1 2 315.333 1.136 20 30 DDEDLO COCc1noc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000292127138 408985519 /nfs/dbraw/zinc/98/55/19/408985519.db2.gz ILXRXZNLXQKPLM-GFCCVEGCSA-N 1 2 315.333 1.136 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](Cc3ncnn3CCF)C2)nc1 ZINC000292158027 408991778 /nfs/dbraw/zinc/99/17/78/408991778.db2.gz KREPPNSATMALHA-ZDUSSCGKSA-N 1 2 316.340 1.168 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](Cc3ncnn3CCF)C2)nc1 ZINC000292158027 408991780 /nfs/dbraw/zinc/99/17/80/408991780.db2.gz KREPPNSATMALHA-ZDUSSCGKSA-N 1 2 316.340 1.168 20 30 DDEDLO CC[N@H+](CC(=O)Nc1cc(Cl)ccc1C#N)[C@@H]1CCNC1=O ZINC000282196210 409008174 /nfs/dbraw/zinc/00/81/74/409008174.db2.gz CICVDEVWXCYNBP-CYBMUJFWSA-N 1 2 320.780 1.361 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1cc(Cl)ccc1C#N)[C@@H]1CCNC1=O ZINC000282196210 409008176 /nfs/dbraw/zinc/00/81/76/409008176.db2.gz CICVDEVWXCYNBP-CYBMUJFWSA-N 1 2 320.780 1.361 20 30 DDEDLO C=CC[NH+](CC=C)CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000287316424 409017402 /nfs/dbraw/zinc/01/74/02/409017402.db2.gz UTQKKDHBLWUTGY-UHFFFAOYSA-N 1 2 303.424 1.417 20 30 DDEDLO CC[N@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)[C@H]1CCNC1=O ZINC000282268334 409022462 /nfs/dbraw/zinc/02/24/62/409022462.db2.gz HMAFYXKOPUYYBP-ZDUSSCGKSA-N 1 2 320.780 1.361 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)[C@H]1CCNC1=O ZINC000282268334 409022463 /nfs/dbraw/zinc/02/24/63/409022463.db2.gz HMAFYXKOPUYYBP-ZDUSSCGKSA-N 1 2 320.780 1.361 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H]1c1cc[nH]n1 ZINC000278636526 409086545 /nfs/dbraw/zinc/08/65/45/409086545.db2.gz OPRQGDQTBAUTGC-CQSZACIVSA-N 1 2 300.366 1.203 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H]1c1cc[nH]n1 ZINC000278636526 409086549 /nfs/dbraw/zinc/08/65/49/409086549.db2.gz OPRQGDQTBAUTGC-CQSZACIVSA-N 1 2 300.366 1.203 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](Oc2ncccc2F)C1 ZINC000278832111 409098256 /nfs/dbraw/zinc/09/82/56/409098256.db2.gz JTOGEEJEENSQFF-CHWSQXEVSA-N 1 2 305.353 1.202 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](Oc2ncccc2F)C1 ZINC000278832111 409098258 /nfs/dbraw/zinc/09/82/58/409098258.db2.gz JTOGEEJEENSQFF-CHWSQXEVSA-N 1 2 305.353 1.202 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)c(F)c2)C[C@H](C)[N@H+]1C ZINC000293698117 409163556 /nfs/dbraw/zinc/16/35/56/409163556.db2.gz CMCIXWBHPYXHNF-PHIMTYICSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)c(F)c2)C[C@H](C)[N@@H+]1C ZINC000293698117 409163558 /nfs/dbraw/zinc/16/35/58/409163558.db2.gz CMCIXWBHPYXHNF-PHIMTYICSA-N 1 2 311.382 1.410 20 30 DDEDLO CO/N=C/C(=O)NC[C@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000283837853 409225206 /nfs/dbraw/zinc/22/52/06/409225206.db2.gz ZYLUYGAOAJNYKT-GYZOOYGHSA-N 1 2 305.378 1.117 20 30 DDEDLO CN(Cc1ccc(F)cc1)C(=O)CO[NH+]=C(N)[C@H]1CCCO1 ZINC000284028196 409260241 /nfs/dbraw/zinc/26/02/41/409260241.db2.gz ILKIUUFJKWWVRI-CYBMUJFWSA-N 1 2 309.341 1.252 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ncccc2CSC)CC1 ZINC000289826099 409288347 /nfs/dbraw/zinc/28/83/47/409288347.db2.gz AZVJSVCZNPIYIJ-UHFFFAOYSA-N 1 2 303.431 1.726 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNc1cc(N2CCOCC2)nc[nH+]1 ZINC000280499286 409329891 /nfs/dbraw/zinc/32/98/91/409329891.db2.gz BWUUYNGKTAVABM-BBRMVZONSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNc1cc(N2CCOCC2)[nH+]cn1 ZINC000280499286 409329897 /nfs/dbraw/zinc/32/98/97/409329897.db2.gz BWUUYNGKTAVABM-BBRMVZONSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@@H](C)[N@@H+]1CCc2sccc2C1 ZINC000280580605 409365314 /nfs/dbraw/zinc/36/53/14/409365314.db2.gz MSTBIJWMKJDQMQ-GFCCVEGCSA-N 1 2 314.476 1.990 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@@H](C)[N@H+]1CCc2sccc2C1 ZINC000280580605 409365324 /nfs/dbraw/zinc/36/53/24/409365324.db2.gz MSTBIJWMKJDQMQ-GFCCVEGCSA-N 1 2 314.476 1.990 20 30 DDEDLO Cc1ccc(NC(=O)[C@H](C)O[NH+]=C(N)[C@H]2CCCO2)c(F)c1 ZINC000284469256 409346040 /nfs/dbraw/zinc/34/60/40/409346040.db2.gz RCUBSYMMBLCFDH-GXFFZTMASA-N 1 2 309.341 1.929 20 30 DDEDLO NC(=[NH+]O[C@H]1CCCN(c2cccc(F)c2)C1=O)[C@@H]1CCCO1 ZINC000284596244 409350903 /nfs/dbraw/zinc/35/09/03/409350903.db2.gz YFJURZTXEWKJME-KBPBESRZSA-N 1 2 321.352 1.789 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000284623841 409354869 /nfs/dbraw/zinc/35/48/69/409354869.db2.gz ZUEMTSPVNFBUDG-JOYOIKCWSA-N 1 2 322.321 1.390 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000290442082 409404322 /nfs/dbraw/zinc/40/43/22/409404322.db2.gz KPOJDCDADUVLJL-CQSZACIVSA-N 1 2 316.405 1.201 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1)n1cnc(C#N)n1 ZINC000342682850 409810387 /nfs/dbraw/zinc/81/03/87/409810387.db2.gz UQPZKBDVHPNZFW-JTQLQIEISA-N 1 2 307.317 1.740 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNc1ncc(C#N)cc1[N+](=O)[O-] ZINC000297259580 409879432 /nfs/dbraw/zinc/87/94/32/409879432.db2.gz VYCGWQLQLOJQKJ-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNc1ncc(C#N)cc1[N+](=O)[O-] ZINC000297259580 409879440 /nfs/dbraw/zinc/87/94/40/409879440.db2.gz VYCGWQLQLOJQKJ-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NC[C@@H]1CCC[C@H]1O)CCC2 ZINC000328690728 409956785 /nfs/dbraw/zinc/95/67/85/409956785.db2.gz DOLSOEHPPUFGKE-MELADBBJSA-N 1 2 306.410 1.734 20 30 DDEDLO C[C@H](NC(=O)N[C@@H]1CSC1(C)C)[C@H](C)[NH+]1CCOCC1 ZINC000328715414 409958896 /nfs/dbraw/zinc/95/88/96/409958896.db2.gz CWTLJSQDAZUGPW-SDDRHHMPSA-N 1 2 301.456 1.493 20 30 DDEDLO CN(C)c1cccnc1C[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000357292751 409960537 /nfs/dbraw/zinc/96/05/37/409960537.db2.gz GOGIQLCBYQVIBL-INIZCTEOSA-N 1 2 309.373 1.335 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)N[C@@H]2CCCCNC2=O)C1 ZINC000328797091 409983265 /nfs/dbraw/zinc/98/32/65/409983265.db2.gz VJVZUTDSJBZANN-VXGBXAGGSA-N 1 2 305.382 1.632 20 30 DDEDLO CCCn1nnnc1C[N@@H+]1CC[C@H](n2ccc(NC(C)=O)n2)C1 ZINC000328616099 409937537 /nfs/dbraw/zinc/93/75/37/409937537.db2.gz BJEICMGOLCPTNP-LBPRGKRZSA-N 1 2 318.385 1.335 20 30 DDEDLO CCCn1nnnc1C[N@H+]1CC[C@H](n2ccc(NC(C)=O)n2)C1 ZINC000328616099 409937548 /nfs/dbraw/zinc/93/75/48/409937548.db2.gz BJEICMGOLCPTNP-LBPRGKRZSA-N 1 2 318.385 1.335 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CCO[C@@H](C#N)C1)CCC2 ZINC000328660918 409950673 /nfs/dbraw/zinc/95/06/73/409950673.db2.gz WEGNYSARXQNDGX-STQMWFEESA-N 1 2 303.366 1.207 20 30 DDEDLO C[N@@H+]1C[C@H](NC(=O)[C@@H]2CCS(=O)(=O)C2)[C@H](c2ccccc2)C1 ZINC000328951571 410019072 /nfs/dbraw/zinc/01/90/72/410019072.db2.gz XHHPJPSMNHDSAR-ILXRZTDVSA-N 1 2 322.430 1.475 20 30 DDEDLO C[N@H+]1C[C@H](NC(=O)[C@@H]2CCS(=O)(=O)C2)[C@H](c2ccccc2)C1 ZINC000328951571 410019077 /nfs/dbraw/zinc/01/90/77/410019077.db2.gz XHHPJPSMNHDSAR-ILXRZTDVSA-N 1 2 322.430 1.475 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+]1CC[C@](F)(CO)C1 ZINC000338626325 410035157 /nfs/dbraw/zinc/03/51/57/410035157.db2.gz NGTVXBNGUOYGTA-RHSMWYFYSA-N 1 2 319.380 1.728 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@H+]1CC[C@](F)(CO)C1 ZINC000338626325 410035162 /nfs/dbraw/zinc/03/51/62/410035162.db2.gz NGTVXBNGUOYGTA-RHSMWYFYSA-N 1 2 319.380 1.728 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)Cc1nnc2n1c1ccccc1c(=O)n2CC ZINC000297935768 410054819 /nfs/dbraw/zinc/05/48/19/410054819.db2.gz AACKYIGCNWLSFO-UHFFFAOYSA-N 1 2 323.400 1.908 20 30 DDEDLO C#CC(C)(C)[N@H+](C)Cc1nnc2n1c1ccccc1c(=O)n2CC ZINC000297935768 410054822 /nfs/dbraw/zinc/05/48/22/410054822.db2.gz AACKYIGCNWLSFO-UHFFFAOYSA-N 1 2 323.400 1.908 20 30 DDEDLO Cc1nnc(N(C)CC[NH+]2C[C@H](C)O[C@@H](C)C2)c(C#N)c1C ZINC000343085102 410131670 /nfs/dbraw/zinc/13/16/70/410131670.db2.gz AQGGEFCORVZTOI-RYUDHWBXSA-N 1 2 303.410 1.511 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1C(=O)Nc1nc(CN2CCOCC2)cs1 ZINC000329296333 410217061 /nfs/dbraw/zinc/21/70/61/410217061.db2.gz SWDZUVLYYBBJGP-GFCCVEGCSA-N 1 2 310.423 1.658 20 30 DDEDLO C[N@H+]1CCC[C@@H]1C(=O)Nc1nc(CN2CCOCC2)cs1 ZINC000329296333 410217064 /nfs/dbraw/zinc/21/70/64/410217064.db2.gz SWDZUVLYYBBJGP-GFCCVEGCSA-N 1 2 310.423 1.658 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000354917717 410171234 /nfs/dbraw/zinc/17/12/34/410171234.db2.gz KOFWKJOUZMHFOU-OAHLLOKOSA-N 1 2 318.421 1.684 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000354917717 410171241 /nfs/dbraw/zinc/17/12/41/410171241.db2.gz KOFWKJOUZMHFOU-OAHLLOKOSA-N 1 2 318.421 1.684 20 30 DDEDLO Cc1ccc2[nH+]c(CNC(=O)N3C[C@@H]4CC[C@H](O)[C@@H]4C3)cn2c1 ZINC000329405316 410280021 /nfs/dbraw/zinc/28/00/21/410280021.db2.gz BVSBPPCCIFBZDO-CFVMTHIKSA-N 1 2 314.389 1.759 20 30 DDEDLO O=C(CCc1[nH]cc[nH+]1)N[C@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000329416157 410284619 /nfs/dbraw/zinc/28/46/19/410284619.db2.gz ZBNFDRQBQDQPHB-ZDUSSCGKSA-N 1 2 319.409 1.979 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+](CC(=O)NC[C@H]3CCCO3)C2)[nH]1 ZINC000329479283 410322035 /nfs/dbraw/zinc/32/20/35/410322035.db2.gz QJWUEGIWGSJJAJ-CHWSQXEVSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+](CC(=O)NC[C@H]3CCCO3)C2)[nH]1 ZINC000329479283 410322039 /nfs/dbraw/zinc/32/20/39/410322039.db2.gz QJWUEGIWGSJJAJ-CHWSQXEVSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+](CC(=O)NC[C@H]3CCCO3)C2)n1 ZINC000329479283 410322046 /nfs/dbraw/zinc/32/20/46/410322046.db2.gz QJWUEGIWGSJJAJ-CHWSQXEVSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+](CC(=O)NC[C@H]3CCCO3)C2)n1 ZINC000329479283 410322055 /nfs/dbraw/zinc/32/20/55/410322055.db2.gz QJWUEGIWGSJJAJ-CHWSQXEVSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@H+](CC(=O)NC(=O)NC3CC3)C2)[nH]1 ZINC000329484903 410324852 /nfs/dbraw/zinc/32/48/52/410324852.db2.gz SMJOWBNHNJFOPO-JTQLQIEISA-N 1 2 306.370 1.325 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@@H+](CC(=O)NC(=O)NC3CC3)C2)[nH]1 ZINC000329484903 410324858 /nfs/dbraw/zinc/32/48/58/410324858.db2.gz SMJOWBNHNJFOPO-JTQLQIEISA-N 1 2 306.370 1.325 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@H+](CC(=O)NC(=O)NC3CC3)C2)n1 ZINC000329484903 410324864 /nfs/dbraw/zinc/32/48/64/410324864.db2.gz SMJOWBNHNJFOPO-JTQLQIEISA-N 1 2 306.370 1.325 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@@H+](CC(=O)NC(=O)NC3CC3)C2)n1 ZINC000329484903 410324868 /nfs/dbraw/zinc/32/48/68/410324868.db2.gz SMJOWBNHNJFOPO-JTQLQIEISA-N 1 2 306.370 1.325 20 30 DDEDLO Cc1nc([C@H]2CCC[N@H+](CC(=O)NC(=O)NC3CC3)C2)n[nH]1 ZINC000329484903 410324876 /nfs/dbraw/zinc/32/48/76/410324876.db2.gz SMJOWBNHNJFOPO-JTQLQIEISA-N 1 2 306.370 1.325 20 30 DDEDLO Cc1nc([C@H]2CCC[N@@H+](CC(=O)NC(=O)NC3CC3)C2)n[nH]1 ZINC000329484903 410324880 /nfs/dbraw/zinc/32/48/80/410324880.db2.gz SMJOWBNHNJFOPO-JTQLQIEISA-N 1 2 306.370 1.325 20 30 DDEDLO O=C(NCCn1cc[nH+]c1)N1CCC[C@H](C(=O)N2CCCC2)C1 ZINC000329494498 410326662 /nfs/dbraw/zinc/32/66/62/410326662.db2.gz WUYKMUAEHNNHNJ-AWEZNQCLSA-N 1 2 319.409 1.132 20 30 DDEDLO O=C([C@H]1CCCN(C([O-])=[NH+]CCn2cc[nH+]c2)C1)N1CCCC1 ZINC000329494498 410326666 /nfs/dbraw/zinc/32/66/66/410326666.db2.gz WUYKMUAEHNNHNJ-AWEZNQCLSA-N 1 2 319.409 1.132 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)Cc1cc(C#N)ccc1F ZINC000347062264 410330237 /nfs/dbraw/zinc/33/02/37/410330237.db2.gz PLTSJSBIYBDSSS-NSHDSACASA-N 1 2 322.365 1.402 20 30 DDEDLO CC[N@H+](CC(=O)[C@@H](C#N)c1nc2ccccc2c(=O)[nH]1)C1CC1 ZINC000298756361 410386882 /nfs/dbraw/zinc/38/68/82/410386882.db2.gz VYKJGSCAZAULEL-CYBMUJFWSA-N 1 2 310.357 1.996 20 30 DDEDLO CC[N@@H+](CC(=O)[C@@H](C#N)c1nc2ccccc2c(=O)[nH]1)C1CC1 ZINC000298756361 410386889 /nfs/dbraw/zinc/38/68/89/410386889.db2.gz VYKJGSCAZAULEL-CYBMUJFWSA-N 1 2 310.357 1.996 20 30 DDEDLO CC(C)C[C@H](CNC(=O)c1cnccc1C#N)[NH+]1CCOCC1 ZINC000358386069 410459255 /nfs/dbraw/zinc/45/92/55/410459255.db2.gz PYDWJIMINIYPSL-OAHLLOKOSA-N 1 2 316.405 1.430 20 30 DDEDLO Cc1cc(Br)cn2cc(CCNC(=O)CC#N)[nH+]c12 ZINC000358388788 410459304 /nfs/dbraw/zinc/45/93/04/410459304.db2.gz NKQHYVSBVPPJFF-UHFFFAOYSA-N 1 2 321.178 1.978 20 30 DDEDLO CCNC(=O)COc1ccc(C[N@H+](C)C[C@@H](C)C#N)cc1OC ZINC000352091279 410473923 /nfs/dbraw/zinc/47/39/23/410473923.db2.gz IHEFBWVQQGWKKU-ZDUSSCGKSA-N 1 2 319.405 1.802 20 30 DDEDLO CCNC(=O)COc1ccc(C[N@@H+](C)C[C@@H](C)C#N)cc1OC ZINC000352091279 410473927 /nfs/dbraw/zinc/47/39/27/410473927.db2.gz IHEFBWVQQGWKKU-ZDUSSCGKSA-N 1 2 319.405 1.802 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)NCCOc2cccc(F)c2)CC1 ZINC000352485021 410659655 /nfs/dbraw/zinc/65/96/55/410659655.db2.gz WOFFXRUJHYUSNG-UHFFFAOYSA-N 1 2 323.343 1.648 20 30 DDEDLO N#CC1(F)CCN(C(=O)[C@H]2COCC[N@@H+]2CC2CCC2)CC1 ZINC000359355965 410621987 /nfs/dbraw/zinc/62/19/87/410621987.db2.gz BUSGHZIZPLNLDF-CQSZACIVSA-N 1 2 309.385 1.342 20 30 DDEDLO N#CC1(F)CCN(C(=O)[C@H]2COCC[N@H+]2CC2CCC2)CC1 ZINC000359355965 410621992 /nfs/dbraw/zinc/62/19/92/410621992.db2.gz BUSGHZIZPLNLDF-CQSZACIVSA-N 1 2 309.385 1.342 20 30 DDEDLO C=C(C)CN(C)C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000355659056 410627532 /nfs/dbraw/zinc/62/75/32/410627532.db2.gz UWKZVHVBKSOCQQ-CQSZACIVSA-N 1 2 306.410 1.518 20 30 DDEDLO C=C(C)CN(C)C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000355659056 410627537 /nfs/dbraw/zinc/62/75/37/410627537.db2.gz UWKZVHVBKSOCQQ-CQSZACIVSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001120541833 782014787 /nfs/dbraw/zinc/01/47/87/782014787.db2.gz ZDMGURCBCFJKHH-GFCCVEGCSA-N 1 2 316.409 1.978 20 30 DDEDLO CN(C)C(=O)[C@@H](Cc1ccccc1)[NH2+]Cc1nc(C#N)cs1 ZINC000352855639 410682343 /nfs/dbraw/zinc/68/23/43/410682343.db2.gz UHPBKHQTGAQLRH-CQSZACIVSA-N 1 2 314.414 1.804 20 30 DDEDLO C=C(CNC(=O)NCC[N@H+]1CCOC[C@H]1C)c1ccccc1 ZINC000352896292 410687761 /nfs/dbraw/zinc/68/77/61/410687761.db2.gz AGPKCSGWWYKKKU-OAHLLOKOSA-N 1 2 303.406 1.720 20 30 DDEDLO C=C(CNC(=O)NCC[N@@H+]1CCOC[C@H]1C)c1ccccc1 ZINC000352896292 410687765 /nfs/dbraw/zinc/68/77/65/410687765.db2.gz AGPKCSGWWYKKKU-OAHLLOKOSA-N 1 2 303.406 1.720 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000330550392 410770085 /nfs/dbraw/zinc/77/00/85/410770085.db2.gz DTXROUBHOQFOLX-ARFHVFGLSA-N 1 2 310.438 1.273 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000330550392 410770090 /nfs/dbraw/zinc/77/00/90/410770090.db2.gz DTXROUBHOQFOLX-ARFHVFGLSA-N 1 2 310.438 1.273 20 30 DDEDLO N#Cc1ccc(F)c(CNc2[nH+]cnc(-n3cccn3)c2N)c1 ZINC000301811850 410802664 /nfs/dbraw/zinc/80/26/64/410802664.db2.gz YEYPRTNCXKICTF-UHFFFAOYSA-N 1 2 309.308 1.867 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCC[C@@H](S(C)(=O)=O)CC1 ZINC000337501114 410828676 /nfs/dbraw/zinc/82/86/76/410828676.db2.gz BJJXXRVVNMEQJX-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCC[C@@H](S(C)(=O)=O)CC1 ZINC000337501114 410828686 /nfs/dbraw/zinc/82/86/86/410828686.db2.gz BJJXXRVVNMEQJX-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1ccc(C#N)cc1 ZINC000356148107 410864670 /nfs/dbraw/zinc/86/46/70/410864670.db2.gz IHILXCYCRFQRPS-UONOGXRCSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@H+]1CCOC[C@@H]1C)c1ccc(C#N)cc1 ZINC000356148107 410864674 /nfs/dbraw/zinc/86/46/74/410864674.db2.gz IHILXCYCRFQRPS-UONOGXRCSA-N 1 2 316.405 1.639 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CC[NH2+][C@@H](c3ccncc3)C2)cc1 ZINC000337574573 410871865 /nfs/dbraw/zinc/87/18/65/410871865.db2.gz FMCGHTHKQHJMHJ-QGZVFWFLSA-N 1 2 306.369 1.669 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(c2ncc([N+](=O)[O-])cc2C#N)CC1 ZINC000359937388 410958561 /nfs/dbraw/zinc/95/85/61/410958561.db2.gz ZVQZMMKLKUTBAL-UHFFFAOYSA-N 1 2 324.344 1.892 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)COC(F)F)CC2)cc1 ZINC000348658364 411050837 /nfs/dbraw/zinc/05/08/37/411050837.db2.gz GTVIXCPMLWMQOT-UHFFFAOYSA-N 1 2 309.316 1.442 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)[C@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000356405524 411014776 /nfs/dbraw/zinc/01/47/76/411014776.db2.gz CTPUCBQXVQGTPM-ZBEGNZNMSA-N 1 2 304.394 1.683 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)[C@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000356405524 411014781 /nfs/dbraw/zinc/01/47/81/411014781.db2.gz CTPUCBQXVQGTPM-ZBEGNZNMSA-N 1 2 304.394 1.683 20 30 DDEDLO N#CCCn1ccc(=NC(=O)c2cccc(Cn3cc[nH+]c3)c2)[nH]1 ZINC000353837561 411134554 /nfs/dbraw/zinc/13/45/54/411134554.db2.gz UTHJLLOITQJQGK-UHFFFAOYSA-N 1 2 320.356 2.294 20 30 DDEDLO Cc1ccn2cc(CNS(=O)(=O)c3ccc(C#N)o3)[nH+]c2c1 ZINC000344315061 411087409 /nfs/dbraw/zinc/08/74/09/411087409.db2.gz ZVZJEDCRTSNGFK-UHFFFAOYSA-N 1 2 316.342 1.586 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)NCc1cn2ccccc2[nH+]1 ZINC000636468320 422870885 /nfs/dbraw/zinc/87/08/85/422870885.db2.gz HKUOZMLEQNKQAN-UHFFFAOYSA-N 1 2 314.389 1.669 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)[C@@H]1COCC[N@H+]1CC ZINC000636752991 422953881 /nfs/dbraw/zinc/95/38/81/422953881.db2.gz XFIOWOZRMHVXRP-KRWDZBQOSA-N 1 2 302.418 1.964 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)[C@@H]1COCC[N@@H+]1CC ZINC000636752991 422953882 /nfs/dbraw/zinc/95/38/82/422953882.db2.gz XFIOWOZRMHVXRP-KRWDZBQOSA-N 1 2 302.418 1.964 20 30 DDEDLO N#CCCn1cc(C(=O)C[N@H+]2C[C@H]3C[C@@]3(O)C2)c2ccccc21 ZINC000640480272 422982592 /nfs/dbraw/zinc/98/25/92/422982592.db2.gz UGQUWDSIQCPUQE-FZKQIMNGSA-N 1 2 309.369 1.804 20 30 DDEDLO N#CCCn1cc(C(=O)C[N@@H+]2C[C@H]3C[C@@]3(O)C2)c2ccccc21 ZINC000640480272 422982596 /nfs/dbraw/zinc/98/25/96/422982596.db2.gz UGQUWDSIQCPUQE-FZKQIMNGSA-N 1 2 309.369 1.804 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)C1(C#N)CCC1 ZINC000155282311 221659554 /nfs/dbraw/zinc/65/95/54/221659554.db2.gz KHCILEHUQKUDDR-UHFFFAOYSA-N 1 2 300.362 1.859 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000192228580 222123985 /nfs/dbraw/zinc/12/39/85/222123985.db2.gz ORGNDIRTFCWTQV-UHFFFAOYSA-N 1 2 301.390 1.232 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000192228580 222123986 /nfs/dbraw/zinc/12/39/86/222123986.db2.gz ORGNDIRTFCWTQV-UHFFFAOYSA-N 1 2 301.390 1.232 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)N[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000367320061 418583993 /nfs/dbraw/zinc/58/39/93/418583993.db2.gz PPMXXVFREXTZOY-KGLIPLIRSA-N 1 2 301.394 1.851 20 30 DDEDLO CCCCCCCS(=O)(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000195268833 222194477 /nfs/dbraw/zinc/19/44/77/222194477.db2.gz ZKOJEXGZIKNEMK-HNNXBMFYSA-N 1 2 318.483 1.739 20 30 DDEDLO CCCCCCCS(=O)(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000195268833 222194481 /nfs/dbraw/zinc/19/44/81/222194481.db2.gz ZKOJEXGZIKNEMK-HNNXBMFYSA-N 1 2 318.483 1.739 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@H](OC)C[C@H]21 ZINC000246092195 222219753 /nfs/dbraw/zinc/21/97/53/222219753.db2.gz CMTQOSGGYYTMLP-RRFJBIMHSA-N 1 2 309.410 1.017 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCO[C@@H]2CC[C@H](OC)C[C@H]21 ZINC000246092195 222219756 /nfs/dbraw/zinc/21/97/56/222219756.db2.gz CMTQOSGGYYTMLP-RRFJBIMHSA-N 1 2 309.410 1.017 20 30 DDEDLO Cn1ncc2c1CCC[C@@H]2[N@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000246270377 222221471 /nfs/dbraw/zinc/22/14/71/222221471.db2.gz MHSVYGZEJLJOHX-WMLDXEAASA-N 1 2 315.421 1.538 20 30 DDEDLO Cn1ncc2c1CCC[C@@H]2[N@@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000246270377 222221476 /nfs/dbraw/zinc/22/14/76/222221476.db2.gz MHSVYGZEJLJOHX-WMLDXEAASA-N 1 2 315.421 1.538 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](Oc2ccccc2Cl)C1 ZINC000246788799 222224668 /nfs/dbraw/zinc/22/46/68/222224668.db2.gz SCRQYWNPDJCLEH-CHWSQXEVSA-N 1 2 306.793 1.931 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](Oc2ccccc2Cl)C1 ZINC000246788799 222224673 /nfs/dbraw/zinc/22/46/73/222224673.db2.gz SCRQYWNPDJCLEH-CHWSQXEVSA-N 1 2 306.793 1.931 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N2CC[C@H](c3[nH+]ccn3C)C2)c1 ZINC000361169217 418598396 /nfs/dbraw/zinc/59/83/96/418598396.db2.gz MOTAVHVDIVGRKQ-AWEZNQCLSA-N 1 2 322.368 1.356 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNc1cc(NCCCC)[nH+]c(N)n1 ZINC000343047059 418630854 /nfs/dbraw/zinc/63/08/54/418630854.db2.gz VJGDBVZXPJZYLX-SWLSCSKDSA-N 1 2 305.426 2.086 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNc1cc(NCCCC)nc(N)[nH+]1 ZINC000343047059 418630857 /nfs/dbraw/zinc/63/08/57/418630857.db2.gz VJGDBVZXPJZYLX-SWLSCSKDSA-N 1 2 305.426 2.086 20 30 DDEDLO C=CCC(CC=C)C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000343685868 418632276 /nfs/dbraw/zinc/63/22/76/418632276.db2.gz UTIXIDPSWGCSLX-UHFFFAOYSA-N 1 2 318.421 1.922 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)ccc1F ZINC000375763054 418682114 /nfs/dbraw/zinc/68/21/14/418682114.db2.gz LRSKRRQIPVJAGO-CQSZACIVSA-N 1 2 303.337 1.290 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)ccc1F ZINC000375763054 418682116 /nfs/dbraw/zinc/68/21/16/418682116.db2.gz LRSKRRQIPVJAGO-CQSZACIVSA-N 1 2 303.337 1.290 20 30 DDEDLO N#CC1(CS(=O)(=O)NCc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000361573832 418683064 /nfs/dbraw/zinc/68/30/64/418683064.db2.gz ORIYQYRUFJSUNW-UHFFFAOYSA-N 1 2 316.386 1.595 20 30 DDEDLO CC(C)(C#N)CC(=O)N1CC[NH+](C2(C(N)=O)CCCCC2)CC1 ZINC000376688779 418700083 /nfs/dbraw/zinc/70/00/83/418700083.db2.gz QEXPIZPFBHCYFC-UHFFFAOYSA-N 1 2 320.437 1.259 20 30 DDEDLO C[C@@H](O)COC(=O)CC[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000369714158 418737197 /nfs/dbraw/zinc/73/71/97/418737197.db2.gz HGACHMIXVZYLNA-CZUORRHYSA-N 1 2 318.373 1.246 20 30 DDEDLO C[C@@H](O)COC(=O)CC[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000369714158 418737200 /nfs/dbraw/zinc/73/72/00/418737200.db2.gz HGACHMIXVZYLNA-CZUORRHYSA-N 1 2 318.373 1.246 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H](C#N)C(C)C ZINC000362759610 418759001 /nfs/dbraw/zinc/75/90/01/418759001.db2.gz MRIPUMLYSUKSJX-ZIAGYGMSSA-N 1 2 319.409 1.147 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H](C#N)C(C)C ZINC000362759610 418759002 /nfs/dbraw/zinc/75/90/02/418759002.db2.gz MRIPUMLYSUKSJX-ZIAGYGMSSA-N 1 2 319.409 1.147 20 30 DDEDLO C[N@H+](CC(=O)Nc1cccc(C#N)c1)[C@H]1CCCCNC1=O ZINC000368892725 418726555 /nfs/dbraw/zinc/72/65/55/418726555.db2.gz QZZUKJXSSPQJNL-AWEZNQCLSA-N 1 2 300.362 1.097 20 30 DDEDLO C[N@@H+](CC(=O)Nc1cccc(C#N)c1)[C@H]1CCCCNC1=O ZINC000368892725 418726557 /nfs/dbraw/zinc/72/65/57/418726557.db2.gz QZZUKJXSSPQJNL-AWEZNQCLSA-N 1 2 300.362 1.097 20 30 DDEDLO Cn1nccc1C[N@@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000371454558 418792602 /nfs/dbraw/zinc/79/26/02/418792602.db2.gz ACJNADKCRJDXDR-YVEFUNNKSA-N 1 2 307.357 1.266 20 30 DDEDLO Cn1nccc1C[N@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000371454558 418792605 /nfs/dbraw/zinc/79/26/05/418792605.db2.gz ACJNADKCRJDXDR-YVEFUNNKSA-N 1 2 307.357 1.266 20 30 DDEDLO Cn1cccc1C[N@@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000371471869 418793625 /nfs/dbraw/zinc/79/36/25/418793625.db2.gz XHAHVQLIPPQTLW-ACJLOTCBSA-N 1 2 306.369 1.871 20 30 DDEDLO Cn1cccc1C[N@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000371471869 418793629 /nfs/dbraw/zinc/79/36/29/418793629.db2.gz XHAHVQLIPPQTLW-ACJLOTCBSA-N 1 2 306.369 1.871 20 30 DDEDLO Cc1noc(C2C[NH+](C[C@@H](O)COc3ccc(C#N)cc3)C2)n1 ZINC000365577340 418877453 /nfs/dbraw/zinc/87/74/53/418877453.db2.gz IZJCKEHCEHGKLG-CQSZACIVSA-N 1 2 314.345 1.089 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCN2C(=O)N(C)C[C@@H]2C1 ZINC000365820376 418912364 /nfs/dbraw/zinc/91/23/64/418912364.db2.gz NCRVOVRLNQCAOD-CQSZACIVSA-N 1 2 300.362 1.118 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCN2C(=O)N(C)C[C@@H]2C1 ZINC000365820376 418912367 /nfs/dbraw/zinc/91/23/67/418912367.db2.gz NCRVOVRLNQCAOD-CQSZACIVSA-N 1 2 300.362 1.118 20 30 DDEDLO Cc1cc(NC2CCN(C(=O)c3ccco3)CC2)c(C#N)c[nH+]1 ZINC000425210587 228392117 /nfs/dbraw/zinc/39/21/17/228392117.db2.gz RVRYFWYVFZDGIP-UHFFFAOYSA-N 1 2 310.357 1.993 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)CNc2ccc(C#N)cc2)CCO1 ZINC000428059291 419804049 /nfs/dbraw/zinc/80/40/49/419804049.db2.gz MSGFOTCQJFCWMQ-INIZCTEOSA-N 1 2 316.405 1.197 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)CNc2ccc(C#N)cc2)CCO1 ZINC000428059291 419804052 /nfs/dbraw/zinc/80/40/52/419804052.db2.gz MSGFOTCQJFCWMQ-INIZCTEOSA-N 1 2 316.405 1.197 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCC[C@H](O)[C@H]2C(=O)OC)cc1 ZINC000438552451 420459746 /nfs/dbraw/zinc/45/97/46/420459746.db2.gz IBVMFYMDFSXRLC-HOTGVXAUSA-N 1 2 305.374 1.750 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCC[C@H](O)[C@H]2C(=O)OC)cc1 ZINC000438552451 420459747 /nfs/dbraw/zinc/45/97/47/420459747.db2.gz IBVMFYMDFSXRLC-HOTGVXAUSA-N 1 2 305.374 1.750 20 30 DDEDLO Cc1cc(C#N)nc(NCc2ccc(N3CCO[C@H](C)C3)[nH+]c2)n1 ZINC000439099090 420479380 /nfs/dbraw/zinc/47/93/80/420479380.db2.gz KLLZPVVTJLEUIW-CYBMUJFWSA-N 1 2 324.388 1.889 20 30 DDEDLO C=CCC[C@@H](CO)Nc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000450897411 420554198 /nfs/dbraw/zinc/55/41/98/420554198.db2.gz PQHLXJUROPDSGX-UONOGXRCSA-N 1 2 306.410 1.567 20 30 DDEDLO C=CCC[C@@H](CO)Nc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000450897411 420554202 /nfs/dbraw/zinc/55/42/02/420554202.db2.gz PQHLXJUROPDSGX-UONOGXRCSA-N 1 2 306.410 1.567 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2c(C)cccc2C)nn1 ZINC000640758501 423167372 /nfs/dbraw/zinc/16/73/72/423167372.db2.gz MVYPROJLJULKOY-UHFFFAOYSA-N 1 2 311.389 1.647 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)NCC1CCC(C#N)CC1)[NH+]1CCOCC1 ZINC000440982248 420624612 /nfs/dbraw/zinc/62/46/12/420624612.db2.gz APCSJNSGMBQDKK-QRNKSROTSA-N 1 2 322.453 1.725 20 30 DDEDLO NS(=O)(=O)C[C@H]1CCC[N@@H+]1CC#Cc1ccccc1Cl ZINC000441257382 420642817 /nfs/dbraw/zinc/64/28/17/420642817.db2.gz IFQCNRNCXGQQRO-CYBMUJFWSA-N 1 2 312.822 1.444 20 30 DDEDLO NS(=O)(=O)C[C@H]1CCC[N@H+]1CC#Cc1ccccc1Cl ZINC000441257382 420642820 /nfs/dbraw/zinc/64/28/20/420642820.db2.gz IFQCNRNCXGQQRO-CYBMUJFWSA-N 1 2 312.822 1.444 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)NCCOc2ccc(C#N)cc2)c1 ZINC000458463396 420664102 /nfs/dbraw/zinc/66/41/02/420664102.db2.gz SMNADAODAFWTHB-UHFFFAOYSA-N 1 2 313.361 1.212 20 30 DDEDLO C=C(C)CCNC(=O)N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000455311682 420995263 /nfs/dbraw/zinc/99/52/63/420995263.db2.gz NZFYEGIRENOCIA-OAHLLOKOSA-N 1 2 322.453 1.291 20 30 DDEDLO CNC(=O)OC[C@H]1CCCC[N@@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000495637842 421039650 /nfs/dbraw/zinc/03/96/50/421039650.db2.gz FKGVNGSOULWYAU-CZUORRHYSA-N 1 2 322.409 1.005 20 30 DDEDLO CNC(=O)OC[C@H]1CCCC[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000495637842 421039652 /nfs/dbraw/zinc/03/96/52/421039652.db2.gz FKGVNGSOULWYAU-CZUORRHYSA-N 1 2 322.409 1.005 20 30 DDEDLO Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)[C@@]2(C#N)C[C@@H]2C)CC1 ZINC000449867628 421100799 /nfs/dbraw/zinc/10/07/99/421100799.db2.gz HZLDEKIFCXIKNU-YVEFUNNKSA-N 1 2 315.421 1.231 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC000449746554 421072351 /nfs/dbraw/zinc/07/23/51/421072351.db2.gz SLXPJXDDGYVTRQ-CQSZACIVSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC000449746554 421072354 /nfs/dbraw/zinc/07/23/54/421072354.db2.gz SLXPJXDDGYVTRQ-CQSZACIVSA-N 1 2 304.369 1.933 20 30 DDEDLO Cc1cc(C[NH+]2CCN(C(C)(C)C(N)=O)CC2)ccc1C#N ZINC000488194431 421075258 /nfs/dbraw/zinc/07/52/58/421075258.db2.gz AVLPJJCNEPWOOW-UHFFFAOYSA-N 1 2 300.406 1.248 20 30 DDEDLO Cc1cc(CN2CC[NH+](C(C)(C)C(N)=O)CC2)ccc1C#N ZINC000488194431 421075261 /nfs/dbraw/zinc/07/52/61/421075261.db2.gz AVLPJJCNEPWOOW-UHFFFAOYSA-N 1 2 300.406 1.248 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000492191243 421212212 /nfs/dbraw/zinc/21/22/12/421212212.db2.gz ZAIZNZWBLOKEPQ-STQMWFEESA-N 1 2 322.409 1.148 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000492191243 421212213 /nfs/dbraw/zinc/21/22/13/421212213.db2.gz ZAIZNZWBLOKEPQ-STQMWFEESA-N 1 2 322.409 1.148 20 30 DDEDLO CNc1nc(N2CCC(C#N)(COC)CC2)[nH+]c2ccccc21 ZINC000450517151 421205856 /nfs/dbraw/zinc/20/58/56/421205856.db2.gz MRVLRQOMCDEHFW-UHFFFAOYSA-N 1 2 311.389 2.428 20 30 DDEDLO C/C=C(/C=C\C(=O)N(CCC#N)CC[NH+]1CCOCC1)CC ZINC000492161920 421207877 /nfs/dbraw/zinc/20/78/77/421207877.db2.gz RSMPSMKOVQWUHS-HVKNXSTDSA-N 1 2 305.422 1.973 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)[C@@H](C)C[S@](C)=O)c1C#N ZINC000546640134 421300963 /nfs/dbraw/zinc/30/09/63/421300963.db2.gz IFPJZIUXGNQFOZ-MQJDWESPSA-N 1 2 308.403 1.544 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)[C@@H](C)C[S@](C)=O)c1C#N ZINC000546640134 421300966 /nfs/dbraw/zinc/30/09/66/421300966.db2.gz IFPJZIUXGNQFOZ-MQJDWESPSA-N 1 2 308.403 1.544 20 30 DDEDLO Cc1ccccc1Cn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000514591957 421437319 /nfs/dbraw/zinc/43/73/19/421437319.db2.gz FYJYZUGFXVCXKV-UHFFFAOYSA-N 1 2 323.396 1.909 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000528790678 421519174 /nfs/dbraw/zinc/51/91/74/421519174.db2.gz MRFXPIBSYXZQLP-BBRMVZONSA-N 1 2 317.393 1.374 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000528790678 421519176 /nfs/dbraw/zinc/51/91/76/421519176.db2.gz MRFXPIBSYXZQLP-BBRMVZONSA-N 1 2 317.393 1.374 20 30 DDEDLO COc1cc2ccncc2cc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000563347785 421488863 /nfs/dbraw/zinc/48/88/63/421488863.db2.gz VVAXKRZHYANJLH-HNNXBMFYSA-N 1 2 315.373 1.829 20 30 DDEDLO COc1cc2ccncc2cc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000563347785 421488865 /nfs/dbraw/zinc/48/88/65/421488865.db2.gz VVAXKRZHYANJLH-HNNXBMFYSA-N 1 2 315.373 1.829 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)C[C@@H](O)COc2ccc(C#N)cc2)n1 ZINC000563821185 421546060 /nfs/dbraw/zinc/54/60/60/421546060.db2.gz OMWKKGPFLNAICO-BXUZGUMPSA-N 1 2 316.361 1.682 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)C[C@@H](O)COc2ccc(C#N)cc2)n1 ZINC000563821185 421546061 /nfs/dbraw/zinc/54/60/61/421546061.db2.gz OMWKKGPFLNAICO-BXUZGUMPSA-N 1 2 316.361 1.682 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2ncccc2C#N)CC1 ZINC000563970143 421562001 /nfs/dbraw/zinc/56/20/01/421562001.db2.gz IKQLVIKQTRNATE-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2ncccc2C#N)CC1 ZINC000563970143 421562005 /nfs/dbraw/zinc/56/20/05/421562005.db2.gz IKQLVIKQTRNATE-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)c2ncc(C#N)cc2C)CC1 ZINC000552010279 421565478 /nfs/dbraw/zinc/56/54/78/421565478.db2.gz ATFBOKKAYHOPCU-UHFFFAOYSA-N 1 2 321.384 1.928 20 30 DDEDLO COCc1ccc(NC(=O)NC[C@H]2C[N@H+](C)CCO2)cc1C#N ZINC000529213335 421529485 /nfs/dbraw/zinc/52/94/85/421529485.db2.gz MZFHYARRMRFRJF-HNNXBMFYSA-N 1 2 318.377 1.157 20 30 DDEDLO COCc1ccc(NC(=O)NC[C@H]2C[N@@H+](C)CCO2)cc1C#N ZINC000529213335 421529488 /nfs/dbraw/zinc/52/94/88/421529488.db2.gz MZFHYARRMRFRJF-HNNXBMFYSA-N 1 2 318.377 1.157 20 30 DDEDLO N#C[C@H](CO)NC(=O)[C@@H]1CCC[N@@H+]1Cc1ccc(Cl)cc1 ZINC000529470216 421538001 /nfs/dbraw/zinc/53/80/01/421538001.db2.gz VIPGYDGTTTUERX-KGLIPLIRSA-N 1 2 307.781 1.305 20 30 DDEDLO N#C[C@H](CO)NC(=O)[C@@H]1CCC[N@H+]1Cc1ccc(Cl)cc1 ZINC000529470216 421538005 /nfs/dbraw/zinc/53/80/05/421538005.db2.gz VIPGYDGTTTUERX-KGLIPLIRSA-N 1 2 307.781 1.305 20 30 DDEDLO Cn1cc(CN(CCn2cc[nH+]c2)C(=O)C2(C#N)CCC2)cn1 ZINC000567018916 421609810 /nfs/dbraw/zinc/60/98/10/421609810.db2.gz RIYHNPWOWPYWOH-UHFFFAOYSA-N 1 2 312.377 1.339 20 30 DDEDLO N#Cc1ccnc(C(=O)N[C@@H]2CCCC[C@H]2[NH+]2CCOCC2)c1 ZINC000580971025 421874393 /nfs/dbraw/zinc/87/43/93/421874393.db2.gz VYXKYOVXPDNEOA-GDBMZVCRSA-N 1 2 314.389 1.326 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)C1 ZINC000633694797 422056168 /nfs/dbraw/zinc/05/61/68/422056168.db2.gz QRQIPOWEYFBENA-MRXNPFEDSA-N 1 2 315.417 1.755 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)C1 ZINC000633694797 422056176 /nfs/dbraw/zinc/05/61/76/422056176.db2.gz QRQIPOWEYFBENA-MRXNPFEDSA-N 1 2 315.417 1.755 20 30 DDEDLO N#Cc1ccc2c(c1)CCCN2C[C@@H](O)C[NH+]1CCOCC1 ZINC000584002610 422239370 /nfs/dbraw/zinc/23/93/70/422239370.db2.gz GNYDHJMEPTXKCI-INIZCTEOSA-N 1 2 301.390 1.004 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)[C@H](CC(C)C)n2cc[nH+]c2)C1=O ZINC000635892287 422447178 /nfs/dbraw/zinc/44/71/78/422447178.db2.gz WNLCAKHZMVYIGW-GJZGRUSLSA-N 1 2 318.421 1.716 20 30 DDEDLO N#C[C@@H](C1CC1)n1nnc([C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000601077627 422415805 /nfs/dbraw/zinc/41/58/05/422415805.db2.gz XJIFVINOZICIQP-JKSUJKDBSA-N 1 2 324.388 1.721 20 30 DDEDLO N#C[C@@H](C1CC1)n1nnc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000601077627 422415811 /nfs/dbraw/zinc/41/58/11/422415811.db2.gz XJIFVINOZICIQP-JKSUJKDBSA-N 1 2 324.388 1.721 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([N@H+](C)Cc3ncccn3)C2)C1 ZINC000632636343 422485721 /nfs/dbraw/zinc/48/57/21/422485721.db2.gz JHHSWCCSFMFYRI-AWEZNQCLSA-N 1 2 300.406 1.866 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([N@@H+](C)Cc3ncccn3)C2)C1 ZINC000632636343 422485725 /nfs/dbraw/zinc/48/57/25/422485725.db2.gz JHHSWCCSFMFYRI-AWEZNQCLSA-N 1 2 300.406 1.866 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N(C(C)C)C(C)C)nn1 ZINC000640889778 423259108 /nfs/dbraw/zinc/25/91/08/423259108.db2.gz FQOCXBJDYFYKTL-CQSZACIVSA-N 1 2 305.426 1.597 20 30 DDEDLO Clc1cccc(C#CC[NH+]2CCN(c3nc[nH]n3)CC2)c1 ZINC000639733027 423696773 /nfs/dbraw/zinc/69/67/73/423696773.db2.gz KQGXWRFNSJXXRU-UHFFFAOYSA-N 1 2 301.781 1.632 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000645130445 424179208 /nfs/dbraw/zinc/17/92/08/424179208.db2.gz ZCRFSKHHUUBYGJ-CQSZACIVSA-N 1 2 314.389 1.418 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000645207962 424236354 /nfs/dbraw/zinc/23/63/54/424236354.db2.gz TYXRDHXXMFSIHT-OAHLLOKOSA-N 1 2 312.373 1.446 20 30 DDEDLO CC(=O)c1cccc(OC[C@@H](O)C[N@@H+]2CC[C@](C)(C#N)C2)c1 ZINC000662081208 424377875 /nfs/dbraw/zinc/37/78/75/424377875.db2.gz WIABWKXFNIQLFG-DOTOQJQBSA-N 1 2 302.374 1.864 20 30 DDEDLO CC(=O)c1cccc(OC[C@@H](O)C[N@H+]2CC[C@](C)(C#N)C2)c1 ZINC000662081208 424377884 /nfs/dbraw/zinc/37/78/84/424377884.db2.gz WIABWKXFNIQLFG-DOTOQJQBSA-N 1 2 302.374 1.864 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1c[nH+]ccc1OC)[C@H](C)COC ZINC000658371418 424648172 /nfs/dbraw/zinc/64/81/72/424648172.db2.gz NAUXKXMBODDVBQ-LLVKDONJSA-N 1 2 307.350 1.078 20 30 DDEDLO C=CCOCCCNC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000660433443 424686110 /nfs/dbraw/zinc/68/61/10/424686110.db2.gz MITQAVFACWMJEU-UHFFFAOYSA-N 1 2 318.421 1.942 20 30 DDEDLO CCCC[N@@H+]1CCOC[C@H]1C(=O)NCCc1cccc(C#N)c1 ZINC000366850575 266033088 /nfs/dbraw/zinc/03/30/88/266033088.db2.gz LTNUAOCXMWKXEV-KRWDZBQOSA-N 1 2 315.417 1.718 20 30 DDEDLO CCCC[N@H+]1CCOC[C@H]1C(=O)NCCc1cccc(C#N)c1 ZINC000366850575 266033091 /nfs/dbraw/zinc/03/30/91/266033091.db2.gz LTNUAOCXMWKXEV-KRWDZBQOSA-N 1 2 315.417 1.718 20 30 DDEDLO CN(Cc1[nH+]ccn1CC(F)(F)F)C(=O)c1ccc(C#N)cn1 ZINC000518074169 267325170 /nfs/dbraw/zinc/32/51/70/267325170.db2.gz YHXVHQFAMLBHCZ-UHFFFAOYSA-N 1 2 323.278 1.984 20 30 DDEDLO Cc1cc(N2CCC(NC(=O)c3cc(C#N)cn3C)CC2)nc[nH+]1 ZINC000370304628 267335467 /nfs/dbraw/zinc/33/54/67/267335467.db2.gz ZPAPVSXTDNHPKT-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)Cc2ccc(C#N)nc2)CC1 ZINC000529261660 268066282 /nfs/dbraw/zinc/06/62/82/268066282.db2.gz MZDHAHBPJGQHEH-UHFFFAOYSA-N 1 2 321.384 1.548 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N2CC[NH+](C3CC3)CC2)c(F)c1 ZINC000360259448 268078312 /nfs/dbraw/zinc/07/83/12/268078312.db2.gz WEDSFFHRGHRDAL-UHFFFAOYSA-N 1 2 323.393 1.307 20 30 DDEDLO Cc1nsc(N2CCN(c3cc(C)[nH+]cc3C#N)CC2)n1 ZINC000521614884 268101990 /nfs/dbraw/zinc/10/19/90/268101990.db2.gz MYXVNWLAEGJCTK-UHFFFAOYSA-N 1 2 300.391 1.748 20 30 DDEDLO Cn1ncc2c1CCC[N@H+](CC(=O)NCc1ccc(C#N)cc1)C2 ZINC000516956600 268134148 /nfs/dbraw/zinc/13/41/48/268134148.db2.gz ZQPZUVFCIHLCCC-UHFFFAOYSA-N 1 2 323.400 1.356 20 30 DDEDLO Cn1ncc2c1CCC[N@@H+](CC(=O)NCc1ccc(C#N)cc1)C2 ZINC000516956600 268134152 /nfs/dbraw/zinc/13/41/52/268134152.db2.gz ZQPZUVFCIHLCCC-UHFFFAOYSA-N 1 2 323.400 1.356 20 30 DDEDLO CN(CC(C)(C)C#N)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000458590457 276825039 /nfs/dbraw/zinc/82/50/39/276825039.db2.gz LYCMOWQBXMJIJM-ZDUSSCGKSA-N 1 2 301.394 1.851 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@@H]21 ZINC000329787106 277123613 /nfs/dbraw/zinc/12/36/13/277123613.db2.gz QXPQTGZPOGEBNB-UONOGXRCSA-N 1 2 304.325 1.258 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@@H]21 ZINC000329787106 277123615 /nfs/dbraw/zinc/12/36/15/277123615.db2.gz QXPQTGZPOGEBNB-UONOGXRCSA-N 1 2 304.325 1.258 20 30 DDEDLO COC(=O)[C@@H](C1CC1)[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000428786218 277339247 /nfs/dbraw/zinc/33/92/47/277339247.db2.gz HLOQDTCWVRUZPF-DZGCQCFKSA-N 1 2 301.346 1.358 20 30 DDEDLO COC(=O)[C@@H](C1CC1)[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000428786218 277339248 /nfs/dbraw/zinc/33/92/48/277339248.db2.gz HLOQDTCWVRUZPF-DZGCQCFKSA-N 1 2 301.346 1.358 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCC1(C#N)CCC1 ZINC000333617892 288522748 /nfs/dbraw/zinc/52/27/48/288522748.db2.gz YZBRGCYVGSTCOW-ZIAGYGMSSA-N 1 2 306.410 1.185 20 30 DDEDLO Cc1[nH+]c[nH]c1CNC(=O)[C@@H]1CC(=O)N(C)[C@@H]1c1ccnn1C ZINC000328929342 293563540 /nfs/dbraw/zinc/56/35/40/293563540.db2.gz YOPHVCQKQNZFEO-YGRLFVJLSA-N 1 2 316.365 1.128 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)CC2(CCOCC2)O1 ZINC000333149313 300241448 /nfs/dbraw/zinc/24/14/48/300241448.db2.gz ANZUYDBRWZYGQP-XJKSGUPXSA-N 1 2 321.421 1.065 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)CC2(CCOCC2)O1 ZINC000333149313 300241452 /nfs/dbraw/zinc/24/14/52/300241452.db2.gz ANZUYDBRWZYGQP-XJKSGUPXSA-N 1 2 321.421 1.065 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)OC(C)(C)C)CCN1CCC#N ZINC000284674459 303007117 /nfs/dbraw/zinc/00/71/17/303007117.db2.gz HBSVNJPDDXQIOR-CYBMUJFWSA-N 1 2 319.409 1.928 20 30 DDEDLO Cc1nc(N2CCN(C(=O)Cc3cccc(C#N)c3)CC2)cc[nH+]1 ZINC000544767379 303477980 /nfs/dbraw/zinc/47/79/80/303477980.db2.gz ZUDASPNTBFDUAT-UHFFFAOYSA-N 1 2 321.384 1.548 20 30 DDEDLO C[C@H]1CN(c2ncc3c(c2C#N)CCC3)C[C@H]1[NH+]1CCOCC1 ZINC000563018911 303911781 /nfs/dbraw/zinc/91/17/81/303911781.db2.gz ZDWXYRSILGAVGE-SUMWQHHRSA-N 1 2 312.417 1.599 20 30 DDEDLO Cc1cc(NCC[N@@H+]2CCO[C@H](C)C2)c(C#N)cc1[N+](=O)[O-] ZINC000576683824 308331600 /nfs/dbraw/zinc/33/16/00/308331600.db2.gz BNAHGRQDMVCAHW-GFCCVEGCSA-N 1 2 304.350 1.908 20 30 DDEDLO Cc1cc(NCC[N@H+]2CCO[C@H](C)C2)c(C#N)cc1[N+](=O)[O-] ZINC000576683824 308331601 /nfs/dbraw/zinc/33/16/01/308331601.db2.gz BNAHGRQDMVCAHW-GFCCVEGCSA-N 1 2 304.350 1.908 20 30 DDEDLO Cc1cc(N2CCC[C@@H](C(=O)N3CCOCC3)C2)c(C#N)c[nH+]1 ZINC000582297374 326007116 /nfs/dbraw/zinc/00/71/16/326007116.db2.gz KBDWJVVKMBNLAT-CQSZACIVSA-N 1 2 314.389 1.337 20 30 DDEDLO N#CC1(CNC(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)CCC1 ZINC000518500628 332068343 /nfs/dbraw/zinc/06/83/43/332068343.db2.gz BQJKVASQHSRBRB-CQSZACIVSA-N 1 2 300.362 1.938 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CC[NH+]([C@H](C)c2cccc(F)c2)CC1 ZINC000091689742 332080137 /nfs/dbraw/zinc/08/01/37/332080137.db2.gz SAGIPNXBWHLLRF-HUUCEWRRSA-N 1 2 317.408 1.642 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN([C@H](C)c2cccc(F)c2)CC1 ZINC000091689742 332080139 /nfs/dbraw/zinc/08/01/39/332080139.db2.gz SAGIPNXBWHLLRF-HUUCEWRRSA-N 1 2 317.408 1.642 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000574983882 334128367 /nfs/dbraw/zinc/12/83/67/334128367.db2.gz HVXMYLTVEMAHDQ-ILXRZTDVSA-N 1 2 318.421 1.688 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000574983882 334128368 /nfs/dbraw/zinc/12/83/68/334128368.db2.gz HVXMYLTVEMAHDQ-ILXRZTDVSA-N 1 2 318.421 1.688 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+]C(C)(C)c1cc(C(=O)OC)on1 ZINC000352007870 336188152 /nfs/dbraw/zinc/18/81/52/336188152.db2.gz NDLIVKUALMMBCT-UHFFFAOYSA-N 1 2 321.377 1.487 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2ncc(C#N)cc2C)CC1 ZINC000583707908 337092888 /nfs/dbraw/zinc/09/28/88/337092888.db2.gz UTYHSRHSZGUZOY-UHFFFAOYSA-N 1 2 302.378 1.056 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[NH+]([C@@H]2CCN(C(C)(C)C#N)C2)CC1 ZINC000497003595 340018030 /nfs/dbraw/zinc/01/80/30/340018030.db2.gz XYTSPFWLXSJDGE-CQSZACIVSA-N 1 2 322.453 1.916 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCOC[C@@H]1CC1CCC1)C1CC1 ZINC000550141872 341311019 /nfs/dbraw/zinc/31/10/19/341311019.db2.gz MIAUMBPSSWZWKP-RDJZCZTQSA-N 1 2 305.422 1.686 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCOC[C@@H]1CC1CCC1)C1CC1 ZINC000550141872 341311020 /nfs/dbraw/zinc/31/10/20/341311020.db2.gz MIAUMBPSSWZWKP-RDJZCZTQSA-N 1 2 305.422 1.686 20 30 DDEDLO N#CC1(C(=O)NCC2CC[NH+](CC(F)F)CC2)CCOCC1 ZINC000552378009 341389889 /nfs/dbraw/zinc/38/98/89/341389889.db2.gz HTWYIJIEJWHNQM-UHFFFAOYSA-N 1 2 315.364 1.400 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](CC)C[C@H](O)C(F)(F)F ZINC000249424136 341397518 /nfs/dbraw/zinc/39/75/18/341397518.db2.gz FBLFAGXXHKYYPK-MNOVXSKESA-N 1 2 309.332 1.240 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CC)C[C@H](O)C(F)(F)F ZINC000249424136 341397519 /nfs/dbraw/zinc/39/75/19/341397519.db2.gz FBLFAGXXHKYYPK-MNOVXSKESA-N 1 2 309.332 1.240 20 30 DDEDLO N#CC1(C[NH2+]CCS(=O)(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000566063876 341546793 /nfs/dbraw/zinc/54/67/93/341546793.db2.gz OPKAPUQRVUUJLZ-UHFFFAOYSA-N 1 2 309.347 1.262 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC[C@@H]2Cn2cc[nH+]c2)nn1 ZINC000574870620 341771329 /nfs/dbraw/zinc/77/13/29/341771329.db2.gz KAKYYHQFPKJVTE-CYBMUJFWSA-N 1 2 300.366 1.356 20 30 DDEDLO C[C@H]1CCN(C(=O)Nc2nn(C)cc2C#N)C[C@@H]1n1cc[nH+]c1 ZINC000610730874 483936407 /nfs/dbraw/zinc/93/64/07/483936407.db2.gz TXROQPVLGMLKQY-AAEUAGOBSA-N 1 2 313.365 1.603 20 30 DDEDLO C=C[C@@H](O)C(=O)NC[C@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000666848665 485367120 /nfs/dbraw/zinc/36/71/20/485367120.db2.gz UISVBXDKXSOYBA-HZPDHXFCSA-N 1 2 304.390 1.031 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000669290122 485423519 /nfs/dbraw/zinc/42/35/19/485423519.db2.gz XUCMCZCWZMAUOG-UHFFFAOYSA-N 1 2 316.405 1.380 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)[C@H]2CCC(=O)c3cccn32)CC1 ZINC000684047938 486226458 /nfs/dbraw/zinc/22/64/58/486226458.db2.gz RETGKXSNYHRFPP-CYBMUJFWSA-N 1 2 300.362 1.110 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(CC#Cc2cccc(Cl)c2)CC1 ZINC000676540954 486252534 /nfs/dbraw/zinc/25/25/34/486252534.db2.gz NQYQJEMRBWNMNV-UHFFFAOYSA-N 1 2 319.836 1.397 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)c2cccc(OC)c2)CC1 ZINC000677046641 486385140 /nfs/dbraw/zinc/38/51/40/486385140.db2.gz DNSXHINMTGWHPY-INIZCTEOSA-N 1 2 304.390 1.390 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)c2ccc(OC)cc2)CC1 ZINC000677475674 486475389 /nfs/dbraw/zinc/47/53/89/486475389.db2.gz DYBQLOMVUPSCTQ-INIZCTEOSA-N 1 2 304.390 1.390 20 30 DDEDLO CC[N@H+](CC#CCOC)CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000677476749 486476781 /nfs/dbraw/zinc/47/67/81/486476781.db2.gz DNTVUTHEIFZYCR-UHFFFAOYSA-N 1 2 318.373 1.368 20 30 DDEDLO CC[N@@H+](CC#CCOC)CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000677476749 486476787 /nfs/dbraw/zinc/47/67/87/486476787.db2.gz DNTVUTHEIFZYCR-UHFFFAOYSA-N 1 2 318.373 1.368 20 30 DDEDLO C[C@H](C(=O)NC(=O)Nc1ccccc1)[N@@H+]1CC[C@](C)(C#N)C1 ZINC000595835315 490371240 /nfs/dbraw/zinc/37/12/40/490371240.db2.gz QLQSFHXOYOTKSJ-MLGOLLRUSA-N 1 2 300.362 1.959 20 30 DDEDLO C[C@H](C(=O)NC(=O)Nc1ccccc1)[N@H+]1CC[C@](C)(C#N)C1 ZINC000595835315 490371248 /nfs/dbraw/zinc/37/12/48/490371248.db2.gz QLQSFHXOYOTKSJ-MLGOLLRUSA-N 1 2 300.362 1.959 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000431983228 533723521 /nfs/dbraw/zinc/72/35/21/533723521.db2.gz VOTKCIAHKAYSSH-LLVKDONJSA-N 1 2 311.345 1.207 20 30 DDEDLO C[C@@H]1C[C@H](CCNC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)CCO1 ZINC000329629331 533832058 /nfs/dbraw/zinc/83/20/58/533832058.db2.gz UYZRGQCKGYNWNE-APIJFGDWSA-N 1 2 311.426 1.120 20 30 DDEDLO C[C@@H]1C[C@H](CCNC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)CCO1 ZINC000329629331 533832065 /nfs/dbraw/zinc/83/20/65/533832065.db2.gz UYZRGQCKGYNWNE-APIJFGDWSA-N 1 2 311.426 1.120 20 30 DDEDLO COc1ccc(F)c(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)c1 ZINC000329977739 533908651 /nfs/dbraw/zinc/90/86/51/533908651.db2.gz ZZXUCKOADAYYRQ-ZIAGYGMSSA-N 1 2 309.341 1.395 20 30 DDEDLO COc1ccc(F)c(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)c1 ZINC000329977739 533908656 /nfs/dbraw/zinc/90/86/56/533908656.db2.gz ZZXUCKOADAYYRQ-ZIAGYGMSSA-N 1 2 309.341 1.395 20 30 DDEDLO Cc1cc(C)n2nc(SC[C@@H](O)C[N@H+](C)CCC#N)nc2n1 ZINC000414091298 534127405 /nfs/dbraw/zinc/12/74/05/534127405.db2.gz DCEUWBWMEGOLKP-LBPRGKRZSA-N 1 2 320.422 1.040 20 30 DDEDLO Cc1cc(C)n2nc(SC[C@@H](O)C[N@@H+](C)CCC#N)nc2n1 ZINC000414091298 534127413 /nfs/dbraw/zinc/12/74/13/534127413.db2.gz DCEUWBWMEGOLKP-LBPRGKRZSA-N 1 2 320.422 1.040 20 30 DDEDLO COc1ccc(O)cc1C(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000331357554 534205425 /nfs/dbraw/zinc/20/54/25/534205425.db2.gz UBRXBIWUGBEKIG-UHFFFAOYSA-N 1 2 308.378 1.816 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)CNc2ccc(C#N)cc2)CCO1 ZINC000338107084 520469345 /nfs/dbraw/zinc/46/93/45/520469345.db2.gz UTJVDYFSJGYHAT-UHFFFAOYSA-N 1 2 316.405 1.197 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)CNc2ccc(C#N)cc2)CCO1 ZINC000338107084 520469353 /nfs/dbraw/zinc/46/93/53/520469353.db2.gz UTJVDYFSJGYHAT-UHFFFAOYSA-N 1 2 316.405 1.197 20 30 DDEDLO Cc1cnc(C(=O)NC(C)(C)CCN2CC[NH+](C)CC2)c(O)c1 ZINC000331163786 534522750 /nfs/dbraw/zinc/52/27/50/534522750.db2.gz DJQDSMXJHRXBSA-UHFFFAOYSA-N 1 2 320.437 1.816 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)C[N@H+]1CCCOc1cccc(C#N)c1 ZINC000156356146 523792235 /nfs/dbraw/zinc/79/22/35/523792235.db2.gz GXGDIICGIRPFIG-HOTGVXAUSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)C[N@@H+]1CCCOc1cccc(C#N)c1 ZINC000156356146 523792241 /nfs/dbraw/zinc/79/22/41/523792241.db2.gz GXGDIICGIRPFIG-HOTGVXAUSA-N 1 2 318.373 1.589 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CC[C@H](n2cccn2)C1 ZINC000329609574 534763108 /nfs/dbraw/zinc/76/31/08/534763108.db2.gz JCBKUBGYXUQMNN-NEPJUHHUSA-N 1 2 300.366 1.325 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CC[C@H](n2cccn2)C1 ZINC000329609574 534763114 /nfs/dbraw/zinc/76/31/14/534763114.db2.gz JCBKUBGYXUQMNN-NEPJUHHUSA-N 1 2 300.366 1.325 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000425233119 534812968 /nfs/dbraw/zinc/81/29/68/534812968.db2.gz VNIVLBRNUNBPNB-ZDUSSCGKSA-N 1 2 302.334 1.742 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000425233119 534812971 /nfs/dbraw/zinc/81/29/71/534812971.db2.gz VNIVLBRNUNBPNB-ZDUSSCGKSA-N 1 2 302.334 1.742 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000424724271 526431962 /nfs/dbraw/zinc/43/19/62/526431962.db2.gz DVGINOFDOIHJDC-HNNXBMFYSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2cc(F)cc(F)c2)C1=O ZINC000337150140 526499512 /nfs/dbraw/zinc/49/95/12/526499512.db2.gz INAWBICUIFRCKM-AWEZNQCLSA-N 1 2 323.343 1.622 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2cc(F)cc(F)c2)C1=O ZINC000337150140 526499514 /nfs/dbraw/zinc/49/95/14/526499514.db2.gz INAWBICUIFRCKM-AWEZNQCLSA-N 1 2 323.343 1.622 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(C(=O)C3CC3)CC2(C)C)C1=O ZINC000337190529 526508004 /nfs/dbraw/zinc/50/80/04/526508004.db2.gz SPAXQRZCZZZRGQ-AWEZNQCLSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(C(=O)C3CC3)CC2(C)C)C1=O ZINC000337190529 526508012 /nfs/dbraw/zinc/50/80/12/526508012.db2.gz SPAXQRZCZZZRGQ-AWEZNQCLSA-N 1 2 305.422 1.106 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1C[C@H](C(=O)OC)O[C@@H](C)C1 ZINC000338348523 526543442 /nfs/dbraw/zinc/54/34/42/526543442.db2.gz LIXJNUFBQPCITG-MELADBBJSA-N 1 2 312.410 1.062 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1C[C@H](C(=O)OC)O[C@@H](C)C1 ZINC000338348523 526543444 /nfs/dbraw/zinc/54/34/44/526543444.db2.gz LIXJNUFBQPCITG-MELADBBJSA-N 1 2 312.410 1.062 20 30 DDEDLO C=CCNC(=O)CNC(=O)c1ccccc1Oc1cc[nH+]cc1 ZINC000338183322 526567468 /nfs/dbraw/zinc/56/74/68/526567468.db2.gz ZBNYMHZPTMFOSX-UHFFFAOYSA-N 1 2 311.341 1.906 20 30 DDEDLO C#CCN(C(=O)C(=O)NCc1cc(C)[nH+]c(C)c1)C1CCCC1 ZINC000491750945 526758256 /nfs/dbraw/zinc/75/82/56/526758256.db2.gz TUXBGXBGFOKPSF-UHFFFAOYSA-N 1 2 313.401 1.719 20 30 DDEDLO C=CCOC[C@@H](NCc1cn2cc(C)ccc2[nH+]1)C(=O)OC ZINC000342853545 526793758 /nfs/dbraw/zinc/79/37/58/526793758.db2.gz NURXMHJEEDLQBR-CQSZACIVSA-N 1 2 303.362 1.477 20 30 DDEDLO C#CC[N@@H+](CCCO[C@@H]1CCCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000491048362 526901546 /nfs/dbraw/zinc/90/15/46/526901546.db2.gz XWMZGIOWMLLZKX-LSDHHAIUSA-N 1 2 315.435 1.042 20 30 DDEDLO C#CC[N@H+](CCCO[C@@H]1CCCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000491048362 526901550 /nfs/dbraw/zinc/90/15/50/526901550.db2.gz XWMZGIOWMLLZKX-LSDHHAIUSA-N 1 2 315.435 1.042 20 30 DDEDLO C#CC[N@@H+](CCC1(C#N)CCCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000491637091 526901994 /nfs/dbraw/zinc/90/19/94/526901994.db2.gz UJYKPSCETICNMP-OAHLLOKOSA-N 1 2 308.447 1.973 20 30 DDEDLO C#CC[N@H+](CCC1(C#N)CCCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000491637091 526902001 /nfs/dbraw/zinc/90/20/01/526902001.db2.gz UJYKPSCETICNMP-OAHLLOKOSA-N 1 2 308.447 1.973 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)C(=O)c1cnn(C(C)(C)C)c1 ZINC000490900426 526936644 /nfs/dbraw/zinc/93/66/44/526936644.db2.gz VNNVIJLIDSKVLC-CQSZACIVSA-N 1 2 317.389 1.462 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)C(=O)c1cnn(C(C)(C)C)c1 ZINC000490900426 526936648 /nfs/dbraw/zinc/93/66/48/526936648.db2.gz VNNVIJLIDSKVLC-CQSZACIVSA-N 1 2 317.389 1.462 20 30 DDEDLO C#CCNC(=O)CC[NH2+][C@H](c1nccn1C)c1ccccc1F ZINC000491620269 526987124 /nfs/dbraw/zinc/98/71/24/526987124.db2.gz FWASILKEITWNHT-INIZCTEOSA-N 1 2 314.364 1.378 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)N[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000373375950 527094088 /nfs/dbraw/zinc/09/40/88/527094088.db2.gz GRTDYZNOVBECCN-KBPBESRZSA-N 1 2 304.394 1.287 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000341858935 527171305 /nfs/dbraw/zinc/17/13/05/527171305.db2.gz JUUSYZOGBIJDAT-ZDUSSCGKSA-N 1 2 306.410 1.246 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1 ZINC000491643446 527213187 /nfs/dbraw/zinc/21/31/87/527213187.db2.gz FUWJTVPFUFUYON-CHWSQXEVSA-N 1 2 319.380 1.073 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1 ZINC000491643446 527213192 /nfs/dbraw/zinc/21/31/92/527213192.db2.gz FUWJTVPFUFUYON-CHWSQXEVSA-N 1 2 319.380 1.073 20 30 DDEDLO C=CCc1cc(C)cc(OC)c1OC[C@H](O)C[NH+]1CCOCC1 ZINC000445488536 527235688 /nfs/dbraw/zinc/23/56/88/527235688.db2.gz WWBJNEBDQJAMDB-MRXNPFEDSA-N 1 2 321.417 1.804 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)Nc1ccccc1OCC ZINC000491766318 527309883 /nfs/dbraw/zinc/30/98/83/527309883.db2.gz GVXPHSDJJWNQRW-CQSZACIVSA-N 1 2 302.374 1.748 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)Nc1ccccc1OCC ZINC000491766318 527309888 /nfs/dbraw/zinc/30/98/88/527309888.db2.gz GVXPHSDJJWNQRW-CQSZACIVSA-N 1 2 302.374 1.748 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@H](O)COCc1ccccc1Cl ZINC000491297825 527311074 /nfs/dbraw/zinc/31/10/74/527311074.db2.gz SCJRYDHDEKPFJT-CABCVRRESA-N 1 2 309.793 1.552 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@H](O)COCc1ccccc1Cl ZINC000491297825 527311078 /nfs/dbraw/zinc/31/10/78/527311078.db2.gz SCJRYDHDEKPFJT-CABCVRRESA-N 1 2 309.793 1.552 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000491372070 527331140 /nfs/dbraw/zinc/33/11/40/527331140.db2.gz HNSXVBZZYNBIGV-HNNXBMFYSA-N 1 2 315.417 1.620 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000491372070 527331146 /nfs/dbraw/zinc/33/11/46/527331146.db2.gz HNSXVBZZYNBIGV-HNNXBMFYSA-N 1 2 315.417 1.620 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[NH+](C(COC)COC)CC1 ZINC000412434211 527380871 /nfs/dbraw/zinc/38/08/71/527380871.db2.gz GNSNWSLOZPSTBZ-UHFFFAOYSA-N 1 2 321.421 1.093 20 30 DDEDLO CC(C)NC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000331320667 527385060 /nfs/dbraw/zinc/38/50/60/527385060.db2.gz BYRXUCULMDWPMG-NSHDSACASA-N 1 2 323.441 1.966 20 30 DDEDLO CC(C)NC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000331320667 527385063 /nfs/dbraw/zinc/38/50/63/527385063.db2.gz BYRXUCULMDWPMG-NSHDSACASA-N 1 2 323.441 1.966 20 30 DDEDLO C=CCCn1cc(C(=O)NCCc2cn3ccccc3[nH+]2)nn1 ZINC000424577592 527522936 /nfs/dbraw/zinc/52/29/36/527522936.db2.gz ZZRZCRDFCAFOLI-UHFFFAOYSA-N 1 2 310.361 1.474 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@@H](S(C)(=O)=O)CC1 ZINC000337397888 527609592 /nfs/dbraw/zinc/60/95/92/527609592.db2.gz JUBJMLWCFICGFG-CQSZACIVSA-N 1 2 314.451 1.086 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@@H](S(C)(=O)=O)CC1 ZINC000337397888 527609597 /nfs/dbraw/zinc/60/95/97/527609597.db2.gz JUBJMLWCFICGFG-CQSZACIVSA-N 1 2 314.451 1.086 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@H+](C)CC(C)(C)C#N ZINC000433305063 527817097 /nfs/dbraw/zinc/81/70/97/527817097.db2.gz MCWCEKYCIIJKSK-ZDUSSCGKSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@@H+](C)CC(C)(C)C#N ZINC000433305063 527817099 /nfs/dbraw/zinc/81/70/99/527817099.db2.gz MCWCEKYCIIJKSK-ZDUSSCGKSA-N 1 2 309.410 1.412 20 30 DDEDLO CCCN(CC#N)C(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000343131665 527876529 /nfs/dbraw/zinc/87/65/29/527876529.db2.gz YRSNVKTWWJIXQK-UHFFFAOYSA-N 1 2 302.378 1.290 20 30 DDEDLO CCNc1nc(NC(C)(C)C)nc(NCC2(CC#N)CC2)[nH+]1 ZINC000343042733 528005831 /nfs/dbraw/zinc/00/58/31/528005831.db2.gz BZBFJJSJLLDGPK-UHFFFAOYSA-N 1 2 303.414 1.463 20 30 DDEDLO CCNc1nc(NCC2(CC#N)CC2)nc(NC(C)(C)C)[nH+]1 ZINC000343042733 528005841 /nfs/dbraw/zinc/00/58/41/528005841.db2.gz BZBFJJSJLLDGPK-UHFFFAOYSA-N 1 2 303.414 1.463 20 30 DDEDLO CCNc1nc(NCC2(CC#N)CC2)[nH+]c(NC(C)(C)C)n1 ZINC000343042733 528005846 /nfs/dbraw/zinc/00/58/46/528005846.db2.gz BZBFJJSJLLDGPK-UHFFFAOYSA-N 1 2 303.414 1.463 20 30 DDEDLO CCNC(=O)C[NH+]1CCN([C@@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348326008 528094645 /nfs/dbraw/zinc/09/46/45/528094645.db2.gz RLGTZZMFWOMLMW-HNNXBMFYSA-N 1 2 320.824 1.658 20 30 DDEDLO CCOc1ccc(NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)cc1F ZINC000330730697 528480985 /nfs/dbraw/zinc/48/09/85/528480985.db2.gz IGIYIJUDSLRGRL-ZDUSSCGKSA-N 1 2 324.400 1.796 20 30 DDEDLO CCOc1ccc(NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)cc1F ZINC000330730697 528480990 /nfs/dbraw/zinc/48/09/90/528480990.db2.gz IGIYIJUDSLRGRL-ZDUSSCGKSA-N 1 2 324.400 1.796 20 30 DDEDLO CCC(CC)[C@H](C(=O)N[C@H]1CCC[C@@H]1C#N)[NH+]1CCOCC1 ZINC000331106686 528844034 /nfs/dbraw/zinc/84/40/34/528844034.db2.gz RCEPLHFPJPFIQI-OWCLPIDISA-N 1 2 307.438 1.932 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)N(CCC#N)CC(C)(C)C ZINC000344525995 529126829 /nfs/dbraw/zinc/12/68/29/529126829.db2.gz USRRTGZAYJUXLP-UHFFFAOYSA-N 1 2 310.442 1.231 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)N(CCC#N)CC(C)(C)C ZINC000344525995 529126832 /nfs/dbraw/zinc/12/68/32/529126832.db2.gz USRRTGZAYJUXLP-UHFFFAOYSA-N 1 2 310.442 1.231 20 30 DDEDLO CCN1CCN(CC(=O)Nc2nccs2)C[C@H]1c1[nH]cc[nH+]1 ZINC000329970896 529140157 /nfs/dbraw/zinc/14/01/57/529140157.db2.gz YDISDZRIVRMZIU-NSHDSACASA-N 1 2 320.422 1.833 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)CCc2nccn2C)C1 ZINC000971996587 695136945 /nfs/dbraw/zinc/13/69/45/695136945.db2.gz FSUCKZSDRUTBSG-ZDUSSCGKSA-N 1 2 310.829 1.638 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)CCc2nccn2C)C1 ZINC000971996587 695136947 /nfs/dbraw/zinc/13/69/47/695136947.db2.gz FSUCKZSDRUTBSG-ZDUSSCGKSA-N 1 2 310.829 1.638 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccc(C)n3)C2)C1 ZINC000972247974 695189565 /nfs/dbraw/zinc/18/95/65/695189565.db2.gz HLIJYTZSXZPFEY-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccc(C)n3)C2)C1 ZINC000972247974 695189568 /nfs/dbraw/zinc/18/95/68/695189568.db2.gz HLIJYTZSXZPFEY-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(C)n3)C2)C1 ZINC000972264546 695196218 /nfs/dbraw/zinc/19/62/18/695196218.db2.gz JBTNEILWNPAOOU-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(C)n3)C2)C1 ZINC000972264546 695196219 /nfs/dbraw/zinc/19/62/19/695196219.db2.gz JBTNEILWNPAOOU-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3nccn3CC)C2)C1 ZINC000972363368 695229568 /nfs/dbraw/zinc/22/95/68/695229568.db2.gz YDJUKPGJUYZJSA-INIZCTEOSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3nccn3CC)C2)C1 ZINC000972363368 695229570 /nfs/dbraw/zinc/22/95/70/695229570.db2.gz YDJUKPGJUYZJSA-INIZCTEOSA-N 1 2 304.394 1.006 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([N@H+](C)Cc2nccs2)C1 ZINC000972373079 695233299 /nfs/dbraw/zinc/23/32/99/695233299.db2.gz KOJUGIPVKBGEIS-MJBXVCDLSA-N 1 2 321.446 1.767 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([N@@H+](C)Cc2nccs2)C1 ZINC000972373079 695233300 /nfs/dbraw/zinc/23/33/00/695233300.db2.gz KOJUGIPVKBGEIS-MJBXVCDLSA-N 1 2 321.446 1.767 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389242 695238120 /nfs/dbraw/zinc/23/81/20/695238120.db2.gz JCCWLINUJZFTRS-YPMHNXCESA-N 1 2 322.302 1.213 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389242 695238122 /nfs/dbraw/zinc/23/81/22/695238122.db2.gz JCCWLINUJZFTRS-YPMHNXCESA-N 1 2 322.302 1.213 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCO[C@H]3C3CC3)C2)C1 ZINC000972480733 695260426 /nfs/dbraw/zinc/26/04/26/695260426.db2.gz RPSHQWOZAMDDLI-RYRKJORJSA-N 1 2 320.433 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCO[C@H]3C3CC3)C2)C1 ZINC000972480733 695260427 /nfs/dbraw/zinc/26/04/27/695260427.db2.gz RPSHQWOZAMDDLI-RYRKJORJSA-N 1 2 320.433 1.291 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](OC)C3CCC3)C2)C1 ZINC000972487189 695262614 /nfs/dbraw/zinc/26/26/14/695262614.db2.gz MBXQZRGGTYNMBY-WBVHZDCISA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](OC)C3CCC3)C2)C1 ZINC000972487189 695262615 /nfs/dbraw/zinc/26/26/15/695262615.db2.gz MBXQZRGGTYNMBY-WBVHZDCISA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3occc3C)C2)C1 ZINC000972542113 695277417 /nfs/dbraw/zinc/27/74/17/695277417.db2.gz FYDHGKOZJRYOSG-QGZVFWFLSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3occc3C)C2)C1 ZINC000972542113 695277419 /nfs/dbraw/zinc/27/74/19/695277419.db2.gz FYDHGKOZJRYOSG-QGZVFWFLSA-N 1 2 304.390 1.620 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3ccc(C)o3)C2)C1 ZINC000972582834 695289911 /nfs/dbraw/zinc/28/99/11/695289911.db2.gz NTSSOLRBPJZJDG-YJBOKZPZSA-N 1 2 316.401 1.628 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3ccc(C)o3)C2)C1 ZINC000972582834 695289913 /nfs/dbraw/zinc/28/99/13/695289913.db2.gz NTSSOLRBPJZJDG-YJBOKZPZSA-N 1 2 316.401 1.628 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCn3cccc3)C2)C1 ZINC000972614065 695299142 /nfs/dbraw/zinc/29/91/42/695299142.db2.gz IBXVBXFFYPTVKH-KRWDZBQOSA-N 1 2 303.406 1.368 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCn3cccc3)C2)C1 ZINC000972614065 695299143 /nfs/dbraw/zinc/29/91/43/695299143.db2.gz IBXVBXFFYPTVKH-KRWDZBQOSA-N 1 2 303.406 1.368 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CC34CCCC4)C2)C1 ZINC000972623593 695302213 /nfs/dbraw/zinc/30/22/13/695302213.db2.gz AZAWCIGQGKTUEO-LPHOPBHVSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CC34CCCC4)C2)C1 ZINC000972623593 695302219 /nfs/dbraw/zinc/30/22/19/695302219.db2.gz AZAWCIGQGKTUEO-LPHOPBHVSA-N 1 2 316.445 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)C2)C1 ZINC000972693292 695317967 /nfs/dbraw/zinc/31/79/67/695317967.db2.gz YEGJMTLZCRJQLE-RFRLJHHNSA-N 1 2 316.445 1.768 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)C2)C1 ZINC000972693292 695317968 /nfs/dbraw/zinc/31/79/68/695317968.db2.gz YEGJMTLZCRJQLE-RFRLJHHNSA-N 1 2 316.445 1.768 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(Cc2ccc(C#N)cc2F)CC1 ZINC000746468984 700025822 /nfs/dbraw/zinc/02/58/22/700025822.db2.gz JFBFWBRIVKWIHZ-NRFANRHFSA-N 1 2 309.410 1.193 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2c(C)ncn2C)C(C)(C)C1 ZINC000974686103 695711654 /nfs/dbraw/zinc/71/16/54/695711654.db2.gz JLXDBJWRHVFFRL-LBPRGKRZSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2c(C)ncn2C)C(C)(C)C1 ZINC000974686103 695711657 /nfs/dbraw/zinc/71/16/57/695711657.db2.gz JLXDBJWRHVFFRL-LBPRGKRZSA-N 1 2 310.829 1.921 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@@H]1CN(CC#N)CC1(C)C)C2 ZINC000974751962 695726546 /nfs/dbraw/zinc/72/65/46/695726546.db2.gz MYUBJOWWBGLROZ-IUODEOHRSA-N 1 2 315.421 1.173 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@@H]1CN(CC#N)CC1(C)C)CC2 ZINC000974751962 695726548 /nfs/dbraw/zinc/72/65/48/695726548.db2.gz MYUBJOWWBGLROZ-IUODEOHRSA-N 1 2 315.421 1.173 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2snnc2CC)C(C)(C)C1 ZINC000974787036 695734572 /nfs/dbraw/zinc/73/45/72/695734572.db2.gz CRHLIXKPRWYBRW-LBPRGKRZSA-N 1 2 306.435 1.564 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2snnc2CC)C(C)(C)C1 ZINC000974787036 695734575 /nfs/dbraw/zinc/73/45/75/695734575.db2.gz CRHLIXKPRWYBRW-LBPRGKRZSA-N 1 2 306.435 1.564 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2nc3cnccc3s2)C(C)(C)C1 ZINC000974803025 695738974 /nfs/dbraw/zinc/73/89/74/695738974.db2.gz SCBSVXGQWHKQKM-CYBMUJFWSA-N 1 2 314.414 1.765 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2nc3cnccc3s2)C(C)(C)C1 ZINC000974803025 695738975 /nfs/dbraw/zinc/73/89/75/695738975.db2.gz SCBSVXGQWHKQKM-CYBMUJFWSA-N 1 2 314.414 1.765 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1[nH]c[nH+]c1C ZINC000976926215 696021041 /nfs/dbraw/zinc/02/10/41/696021041.db2.gz MLWOSAORMOTRJD-JYAVWHMHSA-N 1 2 316.405 1.046 20 30 DDEDLO Cc1ccnc(C[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC000981671858 696868928 /nfs/dbraw/zinc/86/89/28/696868928.db2.gz KVKLOJFEMNDBMX-ZDUSSCGKSA-N 1 2 313.405 1.369 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC000981671858 696868932 /nfs/dbraw/zinc/86/89/32/696868932.db2.gz KVKLOJFEMNDBMX-ZDUSSCGKSA-N 1 2 313.405 1.369 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)C[C@H]2CCC(=O)N2C)CC1 ZINC000981736323 696896804 /nfs/dbraw/zinc/89/68/04/696896804.db2.gz WYUFUOYINIECLM-CYBMUJFWSA-N 1 2 313.829 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)C[C@H]2CCC(=O)N2C)CC1 ZINC000981736323 696896806 /nfs/dbraw/zinc/89/68/06/696896806.db2.gz WYUFUOYINIECLM-CYBMUJFWSA-N 1 2 313.829 1.284 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](Cc2c(C)nnn2CC)CC1 ZINC000981753570 696903051 /nfs/dbraw/zinc/90/30/51/696903051.db2.gz HMDYUEGBEVPPQH-UHFFFAOYSA-N 1 2 319.453 1.853 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](Cc2c(C)nnn2CC)CC1 ZINC000981753570 696903055 /nfs/dbraw/zinc/90/30/55/696903055.db2.gz HMDYUEGBEVPPQH-UHFFFAOYSA-N 1 2 319.453 1.853 20 30 DDEDLO C=CCNC(=O)[C@H](C)OC(=O)[C@H]([NH2+]C1CCOCC1)C(C)C ZINC000748472860 700120501 /nfs/dbraw/zinc/12/05/01/700120501.db2.gz SQEVDBGPUINAJK-GXTWGEPZSA-N 1 2 312.410 1.014 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC[N@@H+](C/C=C\Cl)CC2)nn1 ZINC000982142624 697041057 /nfs/dbraw/zinc/04/10/57/697041057.db2.gz GNPJCNIFLOYKAA-XQRVVYSFSA-N 1 2 323.828 1.755 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC[N@H+](C/C=C\Cl)CC2)nn1 ZINC000982142624 697041058 /nfs/dbraw/zinc/04/10/58/697041058.db2.gz GNPJCNIFLOYKAA-XQRVVYSFSA-N 1 2 323.828 1.755 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000171198698 697355368 /nfs/dbraw/zinc/35/53/68/697355368.db2.gz AFUZRTCSKFQLCL-UHFFFAOYSA-N 1 2 320.231 1.305 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000171198698 697355370 /nfs/dbraw/zinc/35/53/70/697355370.db2.gz AFUZRTCSKFQLCL-UHFFFAOYSA-N 1 2 320.231 1.305 20 30 DDEDLO Cc1ccc(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)cc1C#N ZINC000799612915 700153407 /nfs/dbraw/zinc/15/34/07/700153407.db2.gz GSIJPPWXFDKCCX-ZIAGYGMSSA-N 1 2 301.390 1.706 20 30 DDEDLO C#C[C@H](NC(=O)c1cccc(Cn2cc[nH+]c2)c1)[C@@H]1CCCO1 ZINC000772887389 697689184 /nfs/dbraw/zinc/68/91/84/697689184.db2.gz WHECDSRMICCJDM-IRXDYDNUSA-N 1 2 309.369 1.842 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000780634338 698540759 /nfs/dbraw/zinc/54/07/59/698540759.db2.gz MXYGKGGDDAQMNP-QGZVFWFLSA-N 1 2 322.449 1.520 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000780996017 698581058 /nfs/dbraw/zinc/58/10/58/698581058.db2.gz XHOJQRVEGNWVNH-RDJZCZTQSA-N 1 2 322.368 1.382 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2cnc(COC)s2)CC1 ZINC000989804832 698773212 /nfs/dbraw/zinc/77/32/12/698773212.db2.gz QWASYIQYJHMGSQ-UHFFFAOYSA-N 1 2 307.419 1.461 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2cnc(COC)s2)CC1 ZINC000989804832 698773213 /nfs/dbraw/zinc/77/32/13/698773213.db2.gz QWASYIQYJHMGSQ-UHFFFAOYSA-N 1 2 307.419 1.461 20 30 DDEDLO N#CCCNC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000426437105 699154778 /nfs/dbraw/zinc/15/47/78/699154778.db2.gz UTTABTQRXXJHLF-HNNXBMFYSA-N 1 2 302.378 1.100 20 30 DDEDLO N#CCCNC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000426437105 699154780 /nfs/dbraw/zinc/15/47/80/699154780.db2.gz UTTABTQRXXJHLF-HNNXBMFYSA-N 1 2 302.378 1.100 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(S(=O)(=O)CC(C)(C)CC#N)C1 ZINC000451471968 699182962 /nfs/dbraw/zinc/18/29/62/699182962.db2.gz QUPCGJAITVXUTM-ZDUSSCGKSA-N 1 2 324.450 1.869 20 30 DDEDLO COc1ccc(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)cc1C#N ZINC000787136997 699193198 /nfs/dbraw/zinc/19/31/98/699193198.db2.gz YGFQZEPSAJKXBO-CYBMUJFWSA-N 1 2 310.357 1.642 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H](C3OCCO3)C2)c(C#N)c1C ZINC000719020453 699297388 /nfs/dbraw/zinc/29/73/88/699297388.db2.gz CDQSBUAEJGMUKX-GFCCVEGCSA-N 1 2 319.361 1.401 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H](C3OCCO3)C2)c(C#N)c1C ZINC000719020453 699297390 /nfs/dbraw/zinc/29/73/90/699297390.db2.gz CDQSBUAEJGMUKX-GFCCVEGCSA-N 1 2 319.361 1.401 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)cn1 ZINC000732127624 699547280 /nfs/dbraw/zinc/54/72/80/699547280.db2.gz LYZOAZLTPUHSMZ-QGZVFWFLSA-N 1 2 313.401 1.390 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)N(CCC#N)CCC#N ZINC000741147102 699817770 /nfs/dbraw/zinc/81/77/70/699817770.db2.gz GSOGCWVYERWXHE-CQSZACIVSA-N 1 2 320.393 1.060 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)N(CCC#N)CCC#N ZINC000741147102 699817773 /nfs/dbraw/zinc/81/77/73/699817773.db2.gz GSOGCWVYERWXHE-CQSZACIVSA-N 1 2 320.393 1.060 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@@H+](Cc3nnc(-c4ccc(C#N)cc4)o3)C[C@@H]21 ZINC000794986973 699840157 /nfs/dbraw/zinc/84/01/57/699840157.db2.gz OJTBGKYTQYDFHT-NHAGDIPZSA-N 1 2 324.340 1.459 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@H+](Cc3nnc(-c4ccc(C#N)cc4)o3)C[C@@H]21 ZINC000794986973 699840158 /nfs/dbraw/zinc/84/01/58/699840158.db2.gz OJTBGKYTQYDFHT-NHAGDIPZSA-N 1 2 324.340 1.459 20 30 DDEDLO C[N@H+](C[C@H](O)COc1ccc(C#N)cc1)[C@@H]1CC(C)(C)OC1=O ZINC000795689158 699884290 /nfs/dbraw/zinc/88/42/90/699884290.db2.gz XTESATUZZIBFMM-DZGCQCFKSA-N 1 2 318.373 1.324 20 30 DDEDLO C[N@@H+](C[C@H](O)COc1ccc(C#N)cc1)[C@@H]1CC(C)(C)OC1=O ZINC000795689158 699884293 /nfs/dbraw/zinc/88/42/93/699884293.db2.gz XTESATUZZIBFMM-DZGCQCFKSA-N 1 2 318.373 1.324 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(C(=O)Cc2ccccc2F)CC1 ZINC000801916054 700352542 /nfs/dbraw/zinc/35/25/42/700352542.db2.gz QWLGNPVWRIQTNU-AWEZNQCLSA-N 1 2 319.380 1.441 20 30 DDEDLO Cc1[nH+]cc(CN=Nc2ccc(C(N)=O)nn2)n1-c1ccccc1 ZINC000755767755 700582578 /nfs/dbraw/zinc/58/25/78/700582578.db2.gz ZXOWQWKLFLPJJJ-UHFFFAOYSA-N 1 2 321.344 1.516 20 30 DDEDLO COc1cc(C[N@H+](C)Cn2cccc(C#N)c2=O)cc(OC)c1 ZINC000758196891 700688936 /nfs/dbraw/zinc/68/89/36/700688936.db2.gz GMBRFKHNXZHCJS-UHFFFAOYSA-N 1 2 313.357 1.827 20 30 DDEDLO COc1cc(C[N@@H+](C)Cn2cccc(C#N)c2=O)cc(OC)c1 ZINC000758196891 700688938 /nfs/dbraw/zinc/68/89/38/700688938.db2.gz GMBRFKHNXZHCJS-UHFFFAOYSA-N 1 2 313.357 1.827 20 30 DDEDLO C[C@H]1CCSCC[N@@H+]1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766634604 701057755 /nfs/dbraw/zinc/05/77/55/701057755.db2.gz MOXVPYWEVXFRPJ-LBPRGKRZSA-N 1 2 303.431 1.994 20 30 DDEDLO C[C@H]1CCSCC[N@H+]1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766634604 701057756 /nfs/dbraw/zinc/05/77/56/701057756.db2.gz MOXVPYWEVXFRPJ-LBPRGKRZSA-N 1 2 303.431 1.994 20 30 DDEDLO Cc1cc(C#N)cc(NC2CC[NH+](CCS(C)(=O)=O)CC2)n1 ZINC000804443749 701190522 /nfs/dbraw/zinc/19/05/22/701190522.db2.gz JFUJHYOCYHMSON-UHFFFAOYSA-N 1 2 322.434 1.183 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCc2ccc(C#N)cc2OC)C1 ZINC000805470539 701383612 /nfs/dbraw/zinc/38/36/12/701383612.db2.gz VFEUBZZHINWMRR-OAHLLOKOSA-N 1 2 304.346 1.331 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCc2ccc(C#N)cc2OC)C1 ZINC000805470539 701383613 /nfs/dbraw/zinc/38/36/13/701383613.db2.gz VFEUBZZHINWMRR-OAHLLOKOSA-N 1 2 304.346 1.331 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H]([N@H+](C)Cc3ncc(C)o3)C2)c1 ZINC000807104812 701464096 /nfs/dbraw/zinc/46/40/96/701464096.db2.gz NHCKSIKULSYQCA-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ncc(C)o3)C2)c1 ZINC000807104812 701464097 /nfs/dbraw/zinc/46/40/97/701464097.db2.gz NHCKSIKULSYQCA-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C=CCN1CCN(C[NH+]2CCC(C)(c3nc(C)no3)CC2)C1=O ZINC000840040749 701931116 /nfs/dbraw/zinc/93/11/16/701931116.db2.gz AGJADKYUXHXMKJ-UHFFFAOYSA-N 1 2 319.409 1.613 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@@H](CC)O[C@@]3(CCOC3)C2)C1=O ZINC000840062280 701947082 /nfs/dbraw/zinc/94/70/82/701947082.db2.gz UOCLSYFSWCSUHS-ZBFHGGJFSA-N 1 2 309.410 1.137 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@@H](CC)O[C@@]3(CCOC3)C2)C1=O ZINC000840062280 701947085 /nfs/dbraw/zinc/94/70/85/701947085.db2.gz UOCLSYFSWCSUHS-ZBFHGGJFSA-N 1 2 309.410 1.137 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCC[C@](O)(C(F)(F)F)CC2)C1=O ZINC000840074817 701953995 /nfs/dbraw/zinc/95/39/95/701953995.db2.gz YGMZLRDPFHKDSW-CYBMUJFWSA-N 1 2 321.343 1.647 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCC[C@](O)(C(F)(F)F)CC2)C1=O ZINC000840074817 701953997 /nfs/dbraw/zinc/95/39/97/701953997.db2.gz YGMZLRDPFHKDSW-CYBMUJFWSA-N 1 2 321.343 1.647 20 30 DDEDLO CN(C)c1[nH+]cc(CN=Nc2nc(-c3ccncc3)no2)n1C ZINC000811641124 702019469 /nfs/dbraw/zinc/01/94/69/702019469.db2.gz ORIDVVGTXGTLMW-UHFFFAOYSA-N 1 2 312.337 1.377 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@H](CNc3cc(C#N)cnn3)C2)cc1 ZINC000866190150 706643993 /nfs/dbraw/zinc/64/39/93/706643993.db2.gz HFWSYXVROBJOSM-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@H](CNc3cc(C#N)cnn3)C2)cc1 ZINC000866190150 706643994 /nfs/dbraw/zinc/64/39/94/706643994.db2.gz HFWSYXVROBJOSM-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CC23CCC(CC2)CC3)CC1 ZINC000814380962 702513427 /nfs/dbraw/zinc/51/34/27/702513427.db2.gz YDLURSWQAWQLQL-UHFFFAOYSA-N 1 2 324.490 1.928 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H](Cn2cc[nH+]c2)C(C)(C)C)nn1 ZINC000843775473 702922254 /nfs/dbraw/zinc/92/22/54/702922254.db2.gz QUXUUKSEZIXEFN-ZDUSSCGKSA-N 1 2 302.382 1.505 20 30 DDEDLO N#C[C@H](C(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)N1CCCC1 ZINC000845438787 703156999 /nfs/dbraw/zinc/15/69/99/703156999.db2.gz AGYKMPFHLGIIEG-CYBMUJFWSA-N 1 2 300.362 1.093 20 30 DDEDLO CC(C)OCCCNC(=O)[C@@H](C#N)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845444397 703157783 /nfs/dbraw/zinc/15/77/83/703157783.db2.gz SYJXVXIYWAVEBL-KBPBESRZSA-N 1 2 320.393 1.474 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](c1ccccc1F)[C@H](C)O ZINC000846359416 703268952 /nfs/dbraw/zinc/26/89/52/703268952.db2.gz ISFBTCHWKVHOSC-RCBQFDQVSA-N 1 2 304.365 1.461 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](c1ccccc1F)[C@H](C)O ZINC000846359416 703268955 /nfs/dbraw/zinc/26/89/55/703268955.db2.gz ISFBTCHWKVHOSC-RCBQFDQVSA-N 1 2 304.365 1.461 20 30 DDEDLO COCCOc1cccc(C=NNCCCn2cc[nH+]c2)c1 ZINC000848417496 703548299 /nfs/dbraw/zinc/54/82/99/703548299.db2.gz AJUXWZRIVCDEBT-UHFFFAOYSA-N 1 2 302.378 1.922 20 30 DDEDLO C#C[C@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000848751432 703583994 /nfs/dbraw/zinc/58/39/94/703583994.db2.gz ZSCRXRKXNHCTDE-LSDHHAIUSA-N 1 2 300.406 1.535 20 30 DDEDLO C#C[C@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000848751432 703583996 /nfs/dbraw/zinc/58/39/96/703583996.db2.gz ZSCRXRKXNHCTDE-LSDHHAIUSA-N 1 2 300.406 1.535 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCCNC(=O)OC(C)(C)C)nn1 ZINC000849146409 703620380 /nfs/dbraw/zinc/62/03/80/703620380.db2.gz DYHRUUBNZBLRHT-UHFFFAOYSA-N 1 2 307.398 1.306 20 30 DDEDLO COc1cccc([C@@H]2CN(C(=O)c3cc(C#N)[nH]c3C)CC[NH2+]2)c1 ZINC000870152851 703934415 /nfs/dbraw/zinc/93/44/15/703934415.db2.gz LVOLWIOHFVPPIA-KRWDZBQOSA-N 1 2 324.384 1.990 20 30 DDEDLO COC(=O)N(C)CC[N@H+](C)CC(=O)Nc1ccc(C#N)cc1 ZINC000852569798 704077459 /nfs/dbraw/zinc/07/74/59/704077459.db2.gz FTIJFVSAFXOBFT-UHFFFAOYSA-N 1 2 304.350 1.127 20 30 DDEDLO COC(=O)N(C)CC[N@@H+](C)CC(=O)Nc1ccc(C#N)cc1 ZINC000852569798 704077462 /nfs/dbraw/zinc/07/74/62/704077462.db2.gz FTIJFVSAFXOBFT-UHFFFAOYSA-N 1 2 304.350 1.127 20 30 DDEDLO Cc1[nH+]cc(C=NNc2ccc(S(=O)(=O)N(C)C)cc2)n1C ZINC000853348101 704235859 /nfs/dbraw/zinc/23/58/59/704235859.db2.gz ZPMKIRYOQIVPNW-UHFFFAOYSA-N 1 2 321.406 1.425 20 30 DDEDLO C=CC[N@H+](CN1C(=O)[C@]2(CCCO2)[C@H]1C1CC1)[C@@H](C)COC ZINC000853535151 704261935 /nfs/dbraw/zinc/26/19/35/704261935.db2.gz PUEUQRDYMZUWBY-LXZKKBNFSA-N 1 2 308.422 1.637 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)[C@]2(CCCO2)[C@H]1C1CC1)[C@@H](C)COC ZINC000853535151 704261939 /nfs/dbraw/zinc/26/19/39/704261939.db2.gz PUEUQRDYMZUWBY-LXZKKBNFSA-N 1 2 308.422 1.637 20 30 DDEDLO C=CC[N@H+](CN1C(=O)[C@@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1=O)[C@H](C)COC ZINC000853535478 704262107 /nfs/dbraw/zinc/26/21/07/704262107.db2.gz WITSIWLAHYOORQ-AZSHCRGASA-N 1 2 320.433 1.888 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)[C@@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1=O)[C@H](C)COC ZINC000853535478 704262109 /nfs/dbraw/zinc/26/21/09/704262109.db2.gz WITSIWLAHYOORQ-AZSHCRGASA-N 1 2 320.433 1.888 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)[C@]2(CCCO2)[C@@H]1C(C)C ZINC000853620770 704287489 /nfs/dbraw/zinc/28/74/89/704287489.db2.gz DBEKECPOUBQZHV-RDJZCZTQSA-N 1 2 308.422 1.332 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)[C@]2(CCCO2)[C@@H]1C(C)C ZINC000853620770 704287491 /nfs/dbraw/zinc/28/74/91/704287491.db2.gz DBEKECPOUBQZHV-RDJZCZTQSA-N 1 2 308.422 1.332 20 30 DDEDLO CC(C)(C)n1nnc(CCO[NH+]=C(N)c2ccc(Cl)cc2)n1 ZINC000853646710 704292310 /nfs/dbraw/zinc/29/23/10/704292310.db2.gz XMDVLFGOJQTHJX-UHFFFAOYSA-N 1 2 322.800 1.961 20 30 DDEDLO CC(C)C#CC(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000821486152 704416293 /nfs/dbraw/zinc/41/62/93/704416293.db2.gz WQUDTDBJAPCQPF-UHFFFAOYSA-N 1 2 313.401 1.672 20 30 DDEDLO C#CCN(CC(=O)N[C@@H]1CCn2c[nH+]cc21)C(=O)OC(C)(C)C ZINC000857974330 704652544 /nfs/dbraw/zinc/65/25/44/704652544.db2.gz GPLXQZSNDLGFBF-GFCCVEGCSA-N 1 2 318.377 1.314 20 30 DDEDLO Cn1nccc1C([NH2+]Cc1ccc(C#N)s1)c1ccnn1C ZINC000858322434 704694526 /nfs/dbraw/zinc/69/45/26/704694526.db2.gz YHHQVYDDZRXECA-UHFFFAOYSA-N 1 2 312.402 1.966 20 30 DDEDLO C#CC[C@@H](COC)Nc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000858860991 704765732 /nfs/dbraw/zinc/76/57/32/704765732.db2.gz WUXROEKFKNXNNH-UONOGXRCSA-N 1 2 304.394 1.278 20 30 DDEDLO C#CC[C@@H](COC)Nc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000858860991 704765735 /nfs/dbraw/zinc/76/57/35/704765735.db2.gz WUXROEKFKNXNNH-UONOGXRCSA-N 1 2 304.394 1.278 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)C(=O)Nc2ccc3[nH+]c(C)cn3c2)C1 ZINC000832759462 706977561 /nfs/dbraw/zinc/97/75/61/706977561.db2.gz ARXXZSGKZJQAJK-AWEZNQCLSA-N 1 2 324.384 1.843 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1CCOC[C@@H]1CC ZINC000874707555 705140643 /nfs/dbraw/zinc/14/06/43/705140643.db2.gz MVLQJVIMDNGFJD-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1CCOC[C@@H]1CC ZINC000874707555 705140646 /nfs/dbraw/zinc/14/06/46/705140646.db2.gz MVLQJVIMDNGFJD-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@H+]1CCOC[C@H]1CC ZINC000875643764 705460686 /nfs/dbraw/zinc/46/06/86/705460686.db2.gz YEIYFKRQCIBHJV-KFWWJZLASA-N 1 2 311.426 1.130 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@@H+]1CCOC[C@H]1CC ZINC000875643764 705460689 /nfs/dbraw/zinc/46/06/89/705460689.db2.gz YEIYFKRQCIBHJV-KFWWJZLASA-N 1 2 311.426 1.130 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000862193775 705674323 /nfs/dbraw/zinc/67/43/23/705674323.db2.gz UJSNJELWVNOSGD-AWEZNQCLSA-N 1 2 317.389 1.490 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cnc4cnccn34)CC2)cn1 ZINC000862421869 705714679 /nfs/dbraw/zinc/71/46/79/705714679.db2.gz JZJOQFNYPBUFAD-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N(C)[C@H](C)C[NH+]2CCOCC2)CC1 ZINC000876442626 705722646 /nfs/dbraw/zinc/72/26/46/705722646.db2.gz PIMCETWKDPSJAS-BZUAXINKSA-N 1 2 307.438 1.541 20 30 DDEDLO C[C@@H](CC#N)NN=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872357577 707389336 /nfs/dbraw/zinc/38/93/36/707389336.db2.gz GNLCXCNVKLYBSA-HNNXBMFYSA-N 1 2 316.405 1.623 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000828750041 706225510 /nfs/dbraw/zinc/22/55/10/706225510.db2.gz MYQVOHNDZXANST-QVKFZJNVSA-N 1 2 309.410 1.386 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+](C)CCN1C(=O)c2ccccc2C1=O ZINC000878299349 706351063 /nfs/dbraw/zinc/35/10/63/706351063.db2.gz RJQNMKKGCZGELD-AWEZNQCLSA-N 1 2 316.357 1.332 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+](C)CCN1C(=O)c2ccccc2C1=O ZINC000878299349 706351064 /nfs/dbraw/zinc/35/10/64/706351064.db2.gz RJQNMKKGCZGELD-AWEZNQCLSA-N 1 2 316.357 1.332 20 30 DDEDLO C#CC1CC[NH+](Cc2nnc3n2c2ccccc2c(=O)n3C)CC1 ZINC000830311667 706494333 /nfs/dbraw/zinc/49/43/33/706494333.db2.gz SNUAEBFHXJEMHF-UHFFFAOYSA-N 1 2 321.384 1.426 20 30 DDEDLO C#CC1CC[NH+](CCS(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000830343499 706499395 /nfs/dbraw/zinc/49/93/95/706499395.db2.gz XSNBEVMAWHSMFO-UHFFFAOYSA-N 1 2 302.399 1.677 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)Nc2ccccc2[N+](=O)[O-])CC1 ZINC000880480061 706987361 /nfs/dbraw/zinc/98/73/61/706987361.db2.gz ICHVAEFKAIXJCV-UHFFFAOYSA-N 1 2 317.345 1.384 20 30 DDEDLO N#CCCCS(=O)(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882837983 707812368 /nfs/dbraw/zinc/81/23/68/707812368.db2.gz VPNKXWCLTDRXLS-OAHLLOKOSA-N 1 2 318.402 1.848 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCCc2cc(C)ccc21 ZINC000884055745 708120364 /nfs/dbraw/zinc/12/03/64/708120364.db2.gz XPMVRROMNSNFFW-AWEZNQCLSA-N 1 2 302.374 1.721 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H]1c1ccccc1 ZINC000884063579 708122962 /nfs/dbraw/zinc/12/29/62/708122962.db2.gz DXANFRJGQVAPCY-GJZGRUSLSA-N 1 2 302.374 1.797 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1ccc2c(c1)OCO2 ZINC000884064376 708123553 /nfs/dbraw/zinc/12/35/53/708123553.db2.gz FRZFPGROSGMGNT-PWSUYJOCSA-N 1 2 320.345 1.039 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H](OCCC)CC1 ZINC000884131372 708153799 /nfs/dbraw/zinc/15/37/99/708153799.db2.gz JTCADWKQSRYIOP-KGLIPLIRSA-N 1 2 312.410 1.241 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H]1C[C@](C)(OC)C1(C)C ZINC000884168572 708169440 /nfs/dbraw/zinc/16/94/40/708169440.db2.gz IMLGBEOWDDZSRL-OZVIIMIRSA-N 1 2 312.410 1.095 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2c(cccc2OC)C1 ZINC000884315551 708240879 /nfs/dbraw/zinc/24/08/79/708240879.db2.gz SGSTVKNUONLXIS-AWEZNQCLSA-N 1 2 318.373 1.027 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC1(C(F)(F)F)CCCC1 ZINC000884442570 708298740 /nfs/dbraw/zinc/29/87/40/708298740.db2.gz JJPCRGVIYPJWBN-JTQLQIEISA-N 1 2 322.327 1.672 20 30 DDEDLO C#C[C@H]1CCCCN1c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000897360280 708304548 /nfs/dbraw/zinc/30/45/48/708304548.db2.gz BWPGSDWJVCPZRC-CABCVRRESA-N 1 2 300.406 1.677 20 30 DDEDLO C#C[C@H]1CCCCN1c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000897360280 708304550 /nfs/dbraw/zinc/30/45/50/708304550.db2.gz BWPGSDWJVCPZRC-CABCVRRESA-N 1 2 300.406 1.677 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2cccc(C)c2)C1 ZINC000885511052 708562230 /nfs/dbraw/zinc/56/22/30/708562230.db2.gz AOHICOSQELWATJ-MRXNPFEDSA-N 1 2 306.431 1.512 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2cccc(C)c2)C1 ZINC000885511052 708562232 /nfs/dbraw/zinc/56/22/32/708562232.db2.gz AOHICOSQELWATJ-MRXNPFEDSA-N 1 2 306.431 1.512 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2ccc(F)cc2)C1 ZINC000885513803 708563341 /nfs/dbraw/zinc/56/33/41/708563341.db2.gz GVIYOOUWZCIXCT-HNNXBMFYSA-N 1 2 310.394 1.343 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2ccc(F)cc2)C1 ZINC000885513803 708563344 /nfs/dbraw/zinc/56/33/44/708563344.db2.gz GVIYOOUWZCIXCT-HNNXBMFYSA-N 1 2 310.394 1.343 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@H+](C)C[C@H](O)CC1(C#N)CCC1 ZINC000886043775 708687768 /nfs/dbraw/zinc/68/77/68/708687768.db2.gz JNKPZCVDIYHQSU-ZIAGYGMSSA-N 1 2 312.410 1.330 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@@H+](C)C[C@H](O)CC1(C#N)CCC1 ZINC000886043775 708687771 /nfs/dbraw/zinc/68/77/71/708687771.db2.gz JNKPZCVDIYHQSU-ZIAGYGMSSA-N 1 2 312.410 1.330 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)N[C@H](CO)c1c[nH+]cn1C ZINC000912612439 713050334 /nfs/dbraw/zinc/05/03/34/713050334.db2.gz NMNUSQQQXLENQG-OAHLLOKOSA-N 1 2 312.373 1.301 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)C#Cc2cccs2)n1 ZINC000927764883 713050388 /nfs/dbraw/zinc/05/03/88/713050388.db2.gz JLRLZHWDDTWUKL-UHFFFAOYSA-N 1 2 305.428 1.934 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[NH+]1CCC(O)(COCCO)CC1 ZINC000886749366 708835508 /nfs/dbraw/zinc/83/55/08/708835508.db2.gz QWBNZFMEUAXXQG-UHFFFAOYSA-N 1 2 324.808 1.547 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)N[C@@H](CO)c1c[nH+]cn1C ZINC000898892329 708910942 /nfs/dbraw/zinc/91/09/42/708910942.db2.gz PAYBFMGKLBSLRP-WFASDCNBSA-N 1 2 312.373 1.320 20 30 DDEDLO CC(C)(CNC(=O)[C@H](C#N)Cc1ccc(C#N)cc1)n1cc[nH+]c1 ZINC000898906955 708918868 /nfs/dbraw/zinc/91/88/68/708918868.db2.gz LUICQLQQIVDYPW-INIZCTEOSA-N 1 2 321.384 1.989 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCC3(CC2)CC(=O)C=CO3)c[nH+]1 ZINC000887769812 709120526 /nfs/dbraw/zinc/12/05/26/709120526.db2.gz WKQOBTZFDYBOSZ-UHFFFAOYSA-N 1 2 317.389 1.791 20 30 DDEDLO CC(C)(CNC(=O)Nc1ccc(C#N)cn1)[NH+]1CCOCC1 ZINC000889219592 709463509 /nfs/dbraw/zinc/46/35/09/709463509.db2.gz SUBICFHCOZYBRE-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)N[C@@H](C)c2n[nH]c(C(C)C)n2)C1 ZINC000890369440 709842431 /nfs/dbraw/zinc/84/24/31/709842431.db2.gz WEIBYLRBWVRIBG-QWHCGFSZSA-N 1 2 318.425 1.386 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)N[C@@H](C)c2n[nH]c(C(C)C)n2)C1 ZINC000890369440 709842435 /nfs/dbraw/zinc/84/24/35/709842435.db2.gz WEIBYLRBWVRIBG-QWHCGFSZSA-N 1 2 318.425 1.386 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc3[nH]ccc3c2)C1 ZINC000891608174 710247106 /nfs/dbraw/zinc/24/71/06/710247106.db2.gz XOEPBKWRBKXIRU-HNNXBMFYSA-N 1 2 324.384 1.320 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc3[nH]ccc3c2)C1 ZINC000891608174 710247107 /nfs/dbraw/zinc/24/71/07/710247107.db2.gz XOEPBKWRBKXIRU-HNNXBMFYSA-N 1 2 324.384 1.320 20 30 DDEDLO C=CCNC(=O)CN1CCN(c2cc(CC)[nH+]c(CC)n2)CC1 ZINC000891616624 710249273 /nfs/dbraw/zinc/24/92/73/710249273.db2.gz MKUMOSGWVDGQRV-UHFFFAOYSA-N 1 2 317.437 1.026 20 30 DDEDLO C=CC(C)(C)NC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000892258570 710405005 /nfs/dbraw/zinc/40/50/05/710405005.db2.gz ABSBVKMOEDRKCL-HNNXBMFYSA-N 1 2 313.467 1.458 20 30 DDEDLO CC[C@]1(C)CC(=O)N(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1 ZINC000902599925 710810851 /nfs/dbraw/zinc/81/08/51/710810851.db2.gz OMYHGKXQNURKBT-MRXNPFEDSA-N 1 2 306.406 1.930 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2nc3ccc(O)cc3s2)C1 ZINC000902730883 710881854 /nfs/dbraw/zinc/88/18/54/710881854.db2.gz UVDWBFFPFYIMLZ-NSHDSACASA-N 1 2 315.398 1.829 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2nc3ccc(O)cc3s2)C1 ZINC000902730883 710881858 /nfs/dbraw/zinc/88/18/58/710881858.db2.gz UVDWBFFPFYIMLZ-NSHDSACASA-N 1 2 315.398 1.829 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2cn3c([nH+]2)CCCC3)C[C@@H](C)N1CC#N ZINC000911713365 710944410 /nfs/dbraw/zinc/94/44/10/710944410.db2.gz JXWPITZZYOQKMU-ZIAGYGMSSA-N 1 2 315.421 1.207 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)CC3(C#N)CCCCC3)CC[NH2+]2)cn1 ZINC000913457461 713224036 /nfs/dbraw/zinc/22/40/36/713224036.db2.gz SOAAYLFZQXXOCC-OAHLLOKOSA-N 1 2 315.421 1.757 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2c(C(F)(F)F)cnn2C)CC1 ZINC000895671107 711574182 /nfs/dbraw/zinc/57/41/82/711574182.db2.gz PNWCIAPHHFIJCA-UHFFFAOYSA-N 1 2 301.312 1.789 20 30 DDEDLO C=C1CCC(C(=O)N2CC[NH+](CCn3cncn3)CC2)CC1 ZINC000896520507 711737134 /nfs/dbraw/zinc/73/71/34/711737134.db2.gz FHCPIGIWCXTNGA-UHFFFAOYSA-N 1 2 303.410 1.169 20 30 DDEDLO CC[C@H](CC#N)NC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000907389617 712558682 /nfs/dbraw/zinc/55/86/82/712558682.db2.gz RVHGSTMWBMSABF-CQSZACIVSA-N 1 2 304.394 1.242 20 30 DDEDLO CC(C)C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000907428251 712569382 /nfs/dbraw/zinc/56/93/82/712569382.db2.gz AVSXUWOOQKAERQ-AWEZNQCLSA-N 1 2 322.434 1.304 20 30 DDEDLO CC(C)C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000907428251 712569383 /nfs/dbraw/zinc/56/93/83/712569383.db2.gz AVSXUWOOQKAERQ-AWEZNQCLSA-N 1 2 322.434 1.304 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCc2ccc(C(=O)NO)cc2)c[nH+]1 ZINC000907813903 712630298 /nfs/dbraw/zinc/63/02/98/712630298.db2.gz BQVSTBGRSDNZSR-UHFFFAOYSA-N 1 2 316.361 1.362 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000908649557 712828822 /nfs/dbraw/zinc/82/88/22/712828822.db2.gz AFNOCGMBJQWFIK-ZFWWWQNUSA-N 1 2 301.390 1.972 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000908658260 712831025 /nfs/dbraw/zinc/83/10/25/712831025.db2.gz CRSVOSCFILXNME-DZGCQCFKSA-N 1 2 301.390 1.972 20 30 DDEDLO COC[C@@H](C)NC(=S)NN=Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000917025902 713478854 /nfs/dbraw/zinc/47/88/54/713478854.db2.gz HPGIWHUBMBDAMF-GFCCVEGCSA-N 1 2 317.418 1.705 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)N[C@@H](CC#N)C(F)(F)F)[nH]n1 ZINC000928799343 713482888 /nfs/dbraw/zinc/48/28/88/713482888.db2.gz AZDVYNWSXJSSBY-MNOVXSKESA-N 1 2 315.299 1.751 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)N[C@@H](CC#N)C(F)(F)F)[nH]n1 ZINC000928799343 713482889 /nfs/dbraw/zinc/48/28/89/713482889.db2.gz AZDVYNWSXJSSBY-MNOVXSKESA-N 1 2 315.299 1.751 20 30 DDEDLO N#CC[C@@H](O)C[NH+]1CCN([C@H](CO)c2ccc(Cl)cc2)CC1 ZINC000929626725 713654258 /nfs/dbraw/zinc/65/42/58/713654258.db2.gz OUOAOBFSDIUXOA-HZPDHXFCSA-N 1 2 323.824 1.266 20 30 DDEDLO Cn1cc(C2=NC[C@H](C[N@H+](CCC#N)CC(C)(C)C)O2)cn1 ZINC000929692049 713670487 /nfs/dbraw/zinc/67/04/87/713670487.db2.gz UESQVWMIPPAMKT-CQSZACIVSA-N 1 2 303.410 1.827 20 30 DDEDLO Cn1cc(C2=NC[C@H](C[N@@H+](CCC#N)CC(C)(C)C)O2)cn1 ZINC000929692049 713670489 /nfs/dbraw/zinc/67/04/89/713670489.db2.gz UESQVWMIPPAMKT-CQSZACIVSA-N 1 2 303.410 1.827 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccc(N)nc1 ZINC000929825598 713698756 /nfs/dbraw/zinc/69/87/56/713698756.db2.gz PVZHGTCWFDOGPF-LBPRGKRZSA-N 1 2 309.377 1.036 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccc(N)nc1 ZINC000929825598 713698757 /nfs/dbraw/zinc/69/87/57/713698757.db2.gz PVZHGTCWFDOGPF-LBPRGKRZSA-N 1 2 309.377 1.036 20 30 DDEDLO C#CCOc1ccc(NC(=O)NCC[N@@H+]2CCO[C@@H](C)C2)cc1 ZINC000930246874 713785944 /nfs/dbraw/zinc/78/59/44/713785944.db2.gz YRTDRDJENVTZHB-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CCOc1ccc(NC(=O)NCC[N@H+]2CCO[C@@H](C)C2)cc1 ZINC000930246874 713785946 /nfs/dbraw/zinc/78/59/46/713785946.db2.gz YRTDRDJENVTZHB-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO CCOc1ccccc1CN1CC[N@H+](C[C@H](O)CC#N)[C@@H](C)C1 ZINC000930324203 713803905 /nfs/dbraw/zinc/80/39/05/713803905.db2.gz IBVRPBPVQSTVTQ-DOTOQJQBSA-N 1 2 317.433 1.866 20 30 DDEDLO CCOc1ccccc1CN1CC[N@@H+](C[C@H](O)CC#N)[C@@H](C)C1 ZINC000930324203 713803910 /nfs/dbraw/zinc/80/39/10/713803910.db2.gz IBVRPBPVQSTVTQ-DOTOQJQBSA-N 1 2 317.433 1.866 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C)C(=O)Nc2cc(C)ccc2OC)CC1 ZINC000931145815 714015640 /nfs/dbraw/zinc/01/56/40/714015640.db2.gz FYEUNGNXRMXYDD-AWEZNQCLSA-N 1 2 316.401 1.791 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NCC[N@@H+]2CCO[C@H](C)C2)c1 ZINC000931701426 714164598 /nfs/dbraw/zinc/16/45/98/714164598.db2.gz RPCTZYZINOLWMV-CYBMUJFWSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NCC[N@H+]2CCO[C@H](C)C2)c1 ZINC000931701426 714164602 /nfs/dbraw/zinc/16/46/02/714164602.db2.gz RPCTZYZINOLWMV-CYBMUJFWSA-N 1 2 302.378 1.709 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)NCC2(C#N)CCC2)n1 ZINC000922921178 714187186 /nfs/dbraw/zinc/18/71/86/714187186.db2.gz CENRYUVJPZREDI-UHFFFAOYSA-N 1 2 307.423 1.698 20 30 DDEDLO C[C@H](NC(=O)Nc1sccc1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000931848313 714197231 /nfs/dbraw/zinc/19/72/31/714197231.db2.gz XQKVQWXZSAPQDQ-QWRGUYRKSA-N 1 2 308.407 1.850 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[NH2+][C@H](c1ncccn1)C1CC1 ZINC000932370299 714319522 /nfs/dbraw/zinc/31/95/22/714319522.db2.gz YFUBAIJJESSULR-GOEBONIOSA-N 1 2 301.394 1.572 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1nc(CC(=O)OCC)cs1)C(C)C ZINC000932388591 714321580 /nfs/dbraw/zinc/32/15/80/714321580.db2.gz PBQUNWZJYIUFOI-UHFFFAOYSA-N 1 2 323.418 1.531 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1nc(CC(=O)OCC)cs1)C(C)C ZINC000932388591 714321583 /nfs/dbraw/zinc/32/15/83/714321583.db2.gz PBQUNWZJYIUFOI-UHFFFAOYSA-N 1 2 323.418 1.531 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCOC[C@H]1C[C@@H]1CNc2ccccc21 ZINC000923548289 714397042 /nfs/dbraw/zinc/39/70/42/714397042.db2.gz RDTNQTXDKDZLJP-HUUCEWRRSA-N 1 2 315.417 1.589 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCOC[C@H]1C[C@@H]1CNc2ccccc21 ZINC000923548289 714397044 /nfs/dbraw/zinc/39/70/44/714397044.db2.gz RDTNQTXDKDZLJP-HUUCEWRRSA-N 1 2 315.417 1.589 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(F)(F)c2ncccc2C)C1 ZINC000923560723 714404171 /nfs/dbraw/zinc/40/41/71/714404171.db2.gz FUMWYPDUXLDOCM-ZDUSSCGKSA-N 1 2 307.344 1.696 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(F)(F)c2ncccc2C)C1 ZINC000923560723 714404174 /nfs/dbraw/zinc/40/41/74/714404174.db2.gz FUMWYPDUXLDOCM-ZDUSSCGKSA-N 1 2 307.344 1.696 20 30 DDEDLO Cn1nccc1[C@@H]1C[N@H+](C[C@H](O)CC2(C#N)CCC2)CCO1 ZINC000933543889 714613238 /nfs/dbraw/zinc/61/32/38/714613238.db2.gz LSHVQBUGBGRIJX-HIFRSBDPSA-N 1 2 304.394 1.238 20 30 DDEDLO Cn1nccc1[C@@H]1C[N@@H+](C[C@H](O)CC2(C#N)CCC2)CCO1 ZINC000933543889 714613239 /nfs/dbraw/zinc/61/32/39/714613239.db2.gz LSHVQBUGBGRIJX-HIFRSBDPSA-N 1 2 304.394 1.238 20 30 DDEDLO CCC#C[C@H](C)[NH+]1CCN(c2nc(N)nc(C3CC3)n2)CC1 ZINC000933557252 714615552 /nfs/dbraw/zinc/61/55/52/714615552.db2.gz QWGXNYQCPKEWJE-LBPRGKRZSA-N 1 2 300.410 1.255 20 30 DDEDLO C[N@H+](CC(=O)NCc1ccccn1)Cc1cc(C#N)cs1 ZINC000933777507 714669865 /nfs/dbraw/zinc/66/98/65/714669865.db2.gz KUBFLLKNPKBAOJ-UHFFFAOYSA-N 1 2 300.387 1.763 20 30 DDEDLO C[N@@H+](CC(=O)NCc1ccccn1)Cc1cc(C#N)cs1 ZINC000933777507 714669866 /nfs/dbraw/zinc/66/98/66/714669866.db2.gz KUBFLLKNPKBAOJ-UHFFFAOYSA-N 1 2 300.387 1.763 20 30 DDEDLO C=CC[C@@H]1CC[N@H+]1CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000934462975 714827119 /nfs/dbraw/zinc/82/71/19/714827119.db2.gz FDFNHOOXHYHVLW-GFCCVEGCSA-N 1 2 303.424 1.393 20 30 DDEDLO C=CC[C@@H]1CC[N@@H+]1CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000934462975 714827121 /nfs/dbraw/zinc/82/71/21/714827121.db2.gz FDFNHOOXHYHVLW-GFCCVEGCSA-N 1 2 303.424 1.393 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000934509818 714838568 /nfs/dbraw/zinc/83/85/68/714838568.db2.gz DTFIAFXAEJMDII-CABCVRRESA-N 1 2 314.385 1.430 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000934509818 714838571 /nfs/dbraw/zinc/83/85/71/714838571.db2.gz DTFIAFXAEJMDII-CABCVRRESA-N 1 2 314.385 1.430 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000934513027 714839447 /nfs/dbraw/zinc/83/94/47/714839447.db2.gz FKWJMXPHJNMNLJ-AWEZNQCLSA-N 1 2 313.357 1.972 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000934513027 714839450 /nfs/dbraw/zinc/83/94/50/714839450.db2.gz FKWJMXPHJNMNLJ-AWEZNQCLSA-N 1 2 313.357 1.972 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2CCOC[C@H]2CC2CCOCC2)c1 ZINC000934640092 714868644 /nfs/dbraw/zinc/86/86/44/714868644.db2.gz OOAZIMXHWSNGPN-QGZVFWFLSA-N 1 2 301.390 1.971 20 30 DDEDLO N#Cc1cncc(C[N@H+]2CCOC[C@H]2CC2CCOCC2)c1 ZINC000934640092 714868646 /nfs/dbraw/zinc/86/86/46/714868646.db2.gz OOAZIMXHWSNGPN-QGZVFWFLSA-N 1 2 301.390 1.971 20 30 DDEDLO C=CCN(C(=O)N[C@@H](CC)C[NH+]1CCOCC1)[C@H](C)COC ZINC000925607281 714898185 /nfs/dbraw/zinc/89/81/85/714898185.db2.gz UDVJHMQZIPYGEX-CABCVRRESA-N 1 2 313.442 1.330 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000935250932 715012535 /nfs/dbraw/zinc/01/25/35/715012535.db2.gz KKTXAUPQXHSDGF-OAGGEKHMSA-N 1 2 310.438 1.727 20 30 DDEDLO C=C1CCC(C(=O)N2CC(N(C)C(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC000955110583 715628321 /nfs/dbraw/zinc/62/83/21/715628321.db2.gz KLSAPPGNMNXTJU-UHFFFAOYSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C(C)CC[NH+]1CC(N(CC)C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC000957130530 715761699 /nfs/dbraw/zinc/76/16/99/715761699.db2.gz RNASCQPKWQAWCM-ZDUSSCGKSA-N 1 2 317.437 1.409 20 30 DDEDLO C=C(C)CC[NH+]1CC(N(CC)C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC000957130530 715761701 /nfs/dbraw/zinc/76/17/01/715761701.db2.gz RNASCQPKWQAWCM-ZDUSSCGKSA-N 1 2 317.437 1.409 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2c(C)nn(CC)c2C)CC1 ZINC000957365393 715861720 /nfs/dbraw/zinc/86/17/20/715861720.db2.gz JKUNGMGVMTUCES-UHFFFAOYSA-N 1 2 302.422 1.230 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(-c3ccoc3)n[nH]2)C1 ZINC000957390216 715878106 /nfs/dbraw/zinc/87/81/06/715878106.db2.gz GBXNXRLROZLULW-UHFFFAOYSA-N 1 2 312.373 1.839 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccc(NC(N)=O)c2)CC1 ZINC000957605515 715966960 /nfs/dbraw/zinc/96/69/60/715966960.db2.gz PGNLGBGWHDXEEJ-UHFFFAOYSA-N 1 2 302.378 1.511 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ccccc2O)C1 ZINC000957887527 716218249 /nfs/dbraw/zinc/21/82/49/716218249.db2.gz ZUYRNMJJAOTHMB-SMDDNHRTSA-N 1 2 310.781 1.167 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ccccc2O)C1 ZINC000957887527 716218253 /nfs/dbraw/zinc/21/82/53/716218253.db2.gz ZUYRNMJJAOTHMB-SMDDNHRTSA-N 1 2 310.781 1.167 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(F)F ZINC000958061316 716300772 /nfs/dbraw/zinc/30/07/72/716300772.db2.gz FUFKGPKIZLVBBR-BRPSZJMVSA-N 1 2 309.154 1.597 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(F)F ZINC000958061316 716300776 /nfs/dbraw/zinc/30/07/76/716300776.db2.gz FUFKGPKIZLVBBR-BRPSZJMVSA-N 1 2 309.154 1.597 20 30 DDEDLO CCc1nnc(C[NH2+]C[C@@H]2CCCCN2C(=O)C#CC2CC2)o1 ZINC000960002575 716384572 /nfs/dbraw/zinc/38/45/72/716384572.db2.gz FOADKTJWWKOQEJ-AWEZNQCLSA-N 1 2 316.405 1.516 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc[nH]c1 ZINC000960045748 716398738 /nfs/dbraw/zinc/39/87/38/716398738.db2.gz KQRSSGNEIUMNAF-FVQHAEBGSA-N 1 2 324.359 1.886 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc[nH]c1 ZINC000960045748 716398746 /nfs/dbraw/zinc/39/87/46/716398746.db2.gz KQRSSGNEIUMNAF-FVQHAEBGSA-N 1 2 324.359 1.886 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)nc1 ZINC000960498702 716581733 /nfs/dbraw/zinc/58/17/33/716581733.db2.gz CYYJCKIOEDKSCR-ZIAGYGMSSA-N 1 2 301.394 1.368 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)nc1 ZINC000960498702 716581735 /nfs/dbraw/zinc/58/17/35/716581735.db2.gz CYYJCKIOEDKSCR-ZIAGYGMSSA-N 1 2 301.394 1.368 20 30 DDEDLO Cc1conc1C[N@H+](C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960612085 716629693 /nfs/dbraw/zinc/62/96/93/716629693.db2.gz DYFAHKZVRFUICU-OAHLLOKOSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1conc1C[N@@H+](C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960612085 716629695 /nfs/dbraw/zinc/62/96/95/716629695.db2.gz DYFAHKZVRFUICU-OAHLLOKOSA-N 1 2 301.390 1.819 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C2C[NH+](C[C@@H](F)CC)C2)CC1 ZINC000941515857 717190102 /nfs/dbraw/zinc/19/01/02/717190102.db2.gz FYYJFJRQKJGJAF-AWEZNQCLSA-N 1 2 311.445 1.775 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc4[nH]ccc4c3)CC2)C1 ZINC000941543436 717201568 /nfs/dbraw/zinc/20/15/68/717201568.db2.gz MNKUNDLMMQHYGZ-UHFFFAOYSA-N 1 2 322.412 1.243 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC12CC2 ZINC000961863390 717229244 /nfs/dbraw/zinc/22/92/44/717229244.db2.gz ASTQWLIUIZIBKK-KXNHARMFSA-N 1 2 311.223 1.742 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC12CC2 ZINC000961863390 717229250 /nfs/dbraw/zinc/22/92/50/717229250.db2.gz ASTQWLIUIZIBKK-KXNHARMFSA-N 1 2 311.223 1.742 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3oc(CC)cc3C)CC2)C1 ZINC000941638403 717238918 /nfs/dbraw/zinc/23/89/18/717238918.db2.gz CGQAMQLTQCUMCD-UHFFFAOYSA-N 1 2 315.417 1.226 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccoc3CCC)CC2)C1 ZINC000941638826 717239909 /nfs/dbraw/zinc/23/99/09/717239909.db2.gz HOPBUAFDFLWUGA-UHFFFAOYSA-N 1 2 315.417 1.307 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000964876794 717463301 /nfs/dbraw/zinc/46/33/01/717463301.db2.gz QWXLJPRMHAFESQ-RISCZKNCSA-N 1 2 309.797 1.513 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000964876794 717463305 /nfs/dbraw/zinc/46/33/05/717463305.db2.gz QWXLJPRMHAFESQ-RISCZKNCSA-N 1 2 309.797 1.513 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)C1 ZINC000942359877 717635904 /nfs/dbraw/zinc/63/59/04/717635904.db2.gz JIFMQBMPGQRARE-CYBMUJFWSA-N 1 2 316.405 1.416 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)[C@H](C)C1 ZINC000945184237 718416719 /nfs/dbraw/zinc/41/67/19/718416719.db2.gz VWBVVPMHLBONGH-HIFRSBDPSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)[C@H](C)C1 ZINC000945184237 718416722 /nfs/dbraw/zinc/41/67/22/718416722.db2.gz VWBVVPMHLBONGH-HIFRSBDPSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC000966661092 718619629 /nfs/dbraw/zinc/61/96/29/718619629.db2.gz FJMKDGUBJFGKON-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO CC(C)(C(=O)N1CC[C@H]2CN(CC#N)C[C@H]2CC1)c1c[nH+]c[nH]1 ZINC000946859424 718928823 /nfs/dbraw/zinc/92/88/23/718928823.db2.gz UOVNGOPWCLICHD-OKILXGFUSA-N 1 2 315.421 1.381 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CCN1CC#N ZINC000947922438 719308253 /nfs/dbraw/zinc/30/82/53/719308253.db2.gz XTXVSUZNGYXLSC-UONOGXRCSA-N 1 2 324.388 1.374 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C[C@@H]2COc3ccccc3O2)CC1 ZINC000948553413 719543770 /nfs/dbraw/zinc/54/37/70/719543770.db2.gz ZRVKKJBXBPDJTE-CQSZACIVSA-N 1 2 302.374 1.547 20 30 DDEDLO C#CCN1CC[C@H](C)[C@@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)C1 ZINC000968477760 719607435 /nfs/dbraw/zinc/60/74/35/719607435.db2.gz WGJPAAYVMGQUTK-YOEHRIQHSA-N 1 2 323.400 1.341 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2COC[C@@H]2c2ccccc2)CC1 ZINC000948958341 719802897 /nfs/dbraw/zinc/80/28/97/719802897.db2.gz SNRKOHYDUYQAFF-SJORKVTESA-N 1 2 300.402 1.747 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)CC2)C1 ZINC000949328959 720019844 /nfs/dbraw/zinc/01/98/44/720019844.db2.gz IPXOTXHVKRNVAH-FVQHAEBGSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)CC2)C1 ZINC000949328959 720019845 /nfs/dbraw/zinc/01/98/45/720019845.db2.gz IPXOTXHVKRNVAH-FVQHAEBGSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cc(C)sn3)CC2)C1 ZINC000949349316 720033266 /nfs/dbraw/zinc/03/32/66/720033266.db2.gz ZKBLGOYDPMXETB-UHFFFAOYSA-N 1 2 319.430 1.392 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cc(C)sn3)CC2)C1 ZINC000949349316 720033269 /nfs/dbraw/zinc/03/32/69/720033269.db2.gz ZKBLGOYDPMXETB-UHFFFAOYSA-N 1 2 319.430 1.392 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@H]4C[C@H]4C3)CC2)C1 ZINC000949401906 720065428 /nfs/dbraw/zinc/06/54/28/720065428.db2.gz PODBSTUFFZXQAQ-BBWFWOEESA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@H]4C[C@H]4C3)CC2)C1 ZINC000949401906 720065433 /nfs/dbraw/zinc/06/54/33/720065433.db2.gz PODBSTUFFZXQAQ-BBWFWOEESA-N 1 2 316.445 1.749 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cn(C)nc2[C@@H]2CCOC2)CC1 ZINC000949501569 720128063 /nfs/dbraw/zinc/12/80/63/720128063.db2.gz LELUVQAMCXNKLL-CQSZACIVSA-N 1 2 318.421 1.258 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCCOCC2)C1 ZINC000969582176 720199657 /nfs/dbraw/zinc/19/96/57/720199657.db2.gz BCVWSTFEJIHEJV-STQMWFEESA-N 1 2 300.830 1.992 20 30 DDEDLO CCN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](C[C@H]2CCCCO2)C1 ZINC000949854117 720383019 /nfs/dbraw/zinc/38/30/19/720383019.db2.gz FYBLMHWCNBRKPB-OAHLLOKOSA-N 1 2 316.405 1.602 20 30 DDEDLO CC#CC[NH+]1CC([C@H](C)NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC000970081262 720620644 /nfs/dbraw/zinc/62/06/44/720620644.db2.gz DITDDCYOHKMQHM-ZDUSSCGKSA-N 1 2 323.396 1.602 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc3n2CCOC3)C1 ZINC000950555861 720682173 /nfs/dbraw/zinc/68/21/73/720682173.db2.gz MJEJZFHVOMZNNN-UHFFFAOYSA-N 1 2 304.394 1.136 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCn3cc(C)nc3C2)C1 ZINC000950620908 720707729 /nfs/dbraw/zinc/70/77/29/720707729.db2.gz HLJUFDKCYVCTOT-HNNXBMFYSA-N 1 2 316.449 1.863 20 30 DDEDLO CCc1nc(C[N@H+](C)[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)cs1 ZINC000971211637 721181122 /nfs/dbraw/zinc/18/11/22/721181122.db2.gz UCIHUCDLBMHTIP-AAEUAGOBSA-N 1 2 306.435 1.898 20 30 DDEDLO CCc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)cs1 ZINC000971211637 721181125 /nfs/dbraw/zinc/18/11/25/721181125.db2.gz UCIHUCDLBMHTIP-AAEUAGOBSA-N 1 2 306.435 1.898 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2ccc(-n3cnnc3)cc2)C1 ZINC000953834011 721667027 /nfs/dbraw/zinc/66/70/27/721667027.db2.gz YJMAQLPMMVORML-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=CC[NH+]1CCN(c2[nH]cnc3nc(C(=O)OC)cc2-3)CC1 ZINC001165033129 721864380 /nfs/dbraw/zinc/86/43/80/721864380.db2.gz CPMQEHNMJBCECH-UHFFFAOYSA-N 1 2 301.350 1.004 20 30 DDEDLO C=CC[NH+]1CCN(c2ncnc3[nH]c(C(=O)OC)cc32)CC1 ZINC001165033129 721864382 /nfs/dbraw/zinc/86/43/82/721864382.db2.gz CPMQEHNMJBCECH-UHFFFAOYSA-N 1 2 301.350 1.004 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C=C)c3ccccc3)C2)C1 ZINC000972681767 735470140 /nfs/dbraw/zinc/47/01/40/735470140.db2.gz ACLOGYQIKXKKFL-AZUAARDMSA-N 1 2 324.424 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C=C)c3ccccc3)C2)C1 ZINC000972681767 735470142 /nfs/dbraw/zinc/47/01/42/735470142.db2.gz ACLOGYQIKXKKFL-AZUAARDMSA-N 1 2 324.424 1.893 20 30 DDEDLO C#CC[N@@H+](C)[C@H]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001027638422 738498900 /nfs/dbraw/zinc/49/89/00/738498900.db2.gz SBSLWLDTZWAQPY-INIZCTEOSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CC[N@H+](C)[C@H]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001027638422 738498903 /nfs/dbraw/zinc/49/89/03/738498903.db2.gz SBSLWLDTZWAQPY-INIZCTEOSA-N 1 2 323.400 1.641 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(Cl)nc2C)C1 ZINC001077065860 743209186 /nfs/dbraw/zinc/20/91/86/743209186.db2.gz ZSAHVNYPHACAAS-RKDXNWHRSA-N 1 2 301.799 1.066 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(Cl)nc2C)C1 ZINC001077065860 743209193 /nfs/dbraw/zinc/20/91/93/743209193.db2.gz ZSAHVNYPHACAAS-RKDXNWHRSA-N 1 2 301.799 1.066 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccco3)[C@H]2C1 ZINC001083190820 732501563 /nfs/dbraw/zinc/50/15/63/732501563.db2.gz ADGCKFVPEJYBPV-IJEWVQPXSA-N 1 2 302.374 1.318 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccco3)[C@H]2C1 ZINC001083190820 732501566 /nfs/dbraw/zinc/50/15/66/732501566.db2.gz ADGCKFVPEJYBPV-IJEWVQPXSA-N 1 2 302.374 1.318 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ocnc3C3CC3)[C@H]2C1 ZINC001083192105 732532830 /nfs/dbraw/zinc/53/28/30/732532830.db2.gz JRYBJUQEWIJRKV-UONOGXRCSA-N 1 2 315.373 1.101 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ocnc3C3CC3)[C@H]2C1 ZINC001083192105 732532835 /nfs/dbraw/zinc/53/28/35/732532835.db2.gz JRYBJUQEWIJRKV-UONOGXRCSA-N 1 2 315.373 1.101 20 30 DDEDLO CC(C)C[C@@H](C(=O)NCC1CC(NCC#N)C1)n1cc[nH+]c1 ZINC001100427838 732793663 /nfs/dbraw/zinc/79/36/63/732793663.db2.gz KHPIZCJEZSTCAP-NRXISQOPSA-N 1 2 303.410 1.478 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCC1(C[NH2+]Cc2nnn(C)n2)CC1 ZINC001167339546 733203330 /nfs/dbraw/zinc/20/33/30/733203330.db2.gz GRAJXPKHQCTGOK-UHFFFAOYSA-N 1 2 320.441 1.189 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCC[C@H]1[C@@H]1CCN(CC#N)C1 ZINC001039252120 733374454 /nfs/dbraw/zinc/37/44/54/733374454.db2.gz ZWNABIBBHKECEN-ZBFHGGJFSA-N 1 2 315.421 1.487 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)Cc3cccs3)[C@H]2C1 ZINC001083211214 733453488 /nfs/dbraw/zinc/45/34/88/733453488.db2.gz OCHSBRVRLCGJQE-CWRNSKLLSA-N 1 2 318.442 1.472 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)Cc3cccs3)[C@H]2C1 ZINC001083211214 733453490 /nfs/dbraw/zinc/45/34/90/733453490.db2.gz OCHSBRVRLCGJQE-CWRNSKLLSA-N 1 2 318.442 1.472 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C[C@H]2CCCO2)C1 ZINC001149249113 733497471 /nfs/dbraw/zinc/49/74/71/733497471.db2.gz UMIAHRMTYGKYKF-KGLIPLIRSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C[C@H]2CCCO2)C1 ZINC001149249113 733497472 /nfs/dbraw/zinc/49/74/72/733497472.db2.gz UMIAHRMTYGKYKF-KGLIPLIRSA-N 1 2 316.829 1.515 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3csc(Cl)n3)[C@H]2C1 ZINC001083241387 734699728 /nfs/dbraw/zinc/69/97/28/734699728.db2.gz CPWKDGOVXLDBJX-WDEREUQCSA-N 1 2 313.810 1.508 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3csc(Cl)n3)[C@H]2C1 ZINC001083241387 734699730 /nfs/dbraw/zinc/69/97/30/734699730.db2.gz CPWKDGOVXLDBJX-WDEREUQCSA-N 1 2 313.810 1.508 20 30 DDEDLO N#Cc1nc(N)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)nc1C#N ZINC001213085974 734854900 /nfs/dbraw/zinc/85/49/00/734854900.db2.gz BEANUFOUISVDMQ-UHFFFAOYSA-N 1 2 302.301 1.936 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cn2c(n1)COCC2 ZINC001027965393 738874641 /nfs/dbraw/zinc/87/46/41/738874641.db2.gz ATSRNHSQMRTXRS-LBPRGKRZSA-N 1 2 324.812 1.360 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cn2c(n1)COCC2 ZINC001027965393 738874644 /nfs/dbraw/zinc/87/46/44/738874644.db2.gz ATSRNHSQMRTXRS-LBPRGKRZSA-N 1 2 324.812 1.360 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3ccco3)C2)C1 ZINC000972550609 735162297 /nfs/dbraw/zinc/16/22/97/735162297.db2.gz ZQWOCQGCAWRMAI-YOEHRIQHSA-N 1 2 304.390 1.872 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3ccco3)C2)C1 ZINC000972550609 735162300 /nfs/dbraw/zinc/16/23/00/735162300.db2.gz ZQWOCQGCAWRMAI-YOEHRIQHSA-N 1 2 304.390 1.872 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc4cc[nH]c4c3)C2)C1 ZINC000972574177 735261180 /nfs/dbraw/zinc/26/11/80/735261180.db2.gz XAWUWNUBGNKQMP-IBGZPJMESA-N 1 2 323.396 1.718 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc4cc[nH]c4c3)C2)C1 ZINC000972574177 735261185 /nfs/dbraw/zinc/26/11/85/735261185.db2.gz XAWUWNUBGNKQMP-IBGZPJMESA-N 1 2 323.396 1.718 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001058571524 735668262 /nfs/dbraw/zinc/66/82/62/735668262.db2.gz KFAPSOYJDRSIBO-DZKIICNBSA-N 1 2 316.405 1.461 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cnc(OC)nc1 ZINC001024740524 736057923 /nfs/dbraw/zinc/05/79/23/736057923.db2.gz XMQQQGCGLFJBKU-CYBMUJFWSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cnc(OC)nc1 ZINC001024740524 736057930 /nfs/dbraw/zinc/05/79/30/736057930.db2.gz XMQQQGCGLFJBKU-CYBMUJFWSA-N 1 2 324.812 1.822 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)n1 ZINC001024902396 736159846 /nfs/dbraw/zinc/15/98/46/736159846.db2.gz QADVMALYLXBUFG-QWHCGFSZSA-N 1 2 319.409 1.823 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)N(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001104552925 736285715 /nfs/dbraw/zinc/28/57/15/736285715.db2.gz HSPZQWSBCPGUJD-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO Cc1nc(NCC2(CCNC(=O)c3ccc(C#N)[nH]3)CC2)cc[nH+]1 ZINC001089924595 751368065 /nfs/dbraw/zinc/36/80/65/751368065.db2.gz IIDGJKSPLJPFGU-UHFFFAOYSA-N 1 2 324.388 1.997 20 30 DDEDLO N#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001025279461 736406652 /nfs/dbraw/zinc/40/66/52/736406652.db2.gz CASSGQZAFDKLHH-KBPBESRZSA-N 1 2 301.394 1.321 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCCC[C@H]1[C@H]1CCCN1CC#N ZINC001025287970 736408244 /nfs/dbraw/zinc/40/82/44/736408244.db2.gz IGKNGNQMTUHALM-CVEARBPZSA-N 1 2 315.421 1.332 20 30 DDEDLO C[C@@H](CNc1cccc(F)c1C#N)N(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001104647653 736489980 /nfs/dbraw/zinc/48/99/80/736489980.db2.gz LAGFOQOLCPHUGD-NSHDSACASA-N 1 2 315.352 1.922 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)o1 ZINC001028015292 738937140 /nfs/dbraw/zinc/93/71/40/738937140.db2.gz ZCOSTRAZNNDYGT-SNVBAGLBSA-N 1 2 311.769 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)o1 ZINC001028015292 738937144 /nfs/dbraw/zinc/93/71/44/738937144.db2.gz ZCOSTRAZNNDYGT-SNVBAGLBSA-N 1 2 311.769 1.325 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(F)cncc2F)C1 ZINC001107974258 751381647 /nfs/dbraw/zinc/38/16/47/751381647.db2.gz NMUNCCUPDGLYFR-OAHLLOKOSA-N 1 2 311.332 1.367 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(F)cncc2F)C1 ZINC001107974258 751381653 /nfs/dbraw/zinc/38/16/53/751381653.db2.gz NMUNCCUPDGLYFR-OAHLLOKOSA-N 1 2 311.332 1.367 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnn2CCC)C1 ZINC001107974318 751382268 /nfs/dbraw/zinc/38/22/68/751382268.db2.gz PABMBJARMZFOQQ-QGZVFWFLSA-N 1 2 318.421 1.137 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnn2CCC)C1 ZINC001107974318 751382274 /nfs/dbraw/zinc/38/22/74/751382274.db2.gz PABMBJARMZFOQQ-QGZVFWFLSA-N 1 2 318.421 1.137 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)oc2nc[nH]c(=O)c21 ZINC001038344471 737064015 /nfs/dbraw/zinc/06/40/15/737064015.db2.gz HQKWFOFKPUSAES-SNVBAGLBSA-N 1 2 302.334 1.227 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)oc2nc[nH]c(=O)c21 ZINC001038344471 737064020 /nfs/dbraw/zinc/06/40/20/737064020.db2.gz HQKWFOFKPUSAES-SNVBAGLBSA-N 1 2 302.334 1.227 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001105038248 737475613 /nfs/dbraw/zinc/47/56/13/737475613.db2.gz KMOSSWAPQYHVEP-TZMCWYRMSA-N 1 2 304.394 1.637 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001029827042 741363700 /nfs/dbraw/zinc/36/37/00/741363700.db2.gz ZPURMRUVPVSGDT-PBHICJAKSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001029827042 741363705 /nfs/dbraw/zinc/36/37/05/741363705.db2.gz ZPURMRUVPVSGDT-PBHICJAKSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105299209 737768833 /nfs/dbraw/zinc/76/88/33/737768833.db2.gz LITHFSSSQJPPSQ-UHFFFAOYSA-N 1 2 315.421 1.377 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3CCC[C@H](C)C3)C2)nn1 ZINC001105314535 737819424 /nfs/dbraw/zinc/81/94/24/737819424.db2.gz VGGPWJSSOPFGPD-UONOGXRCSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C3(CC=C)CCC3)C2)nn1 ZINC001105322341 737850926 /nfs/dbraw/zinc/85/09/26/737850926.db2.gz LBOOYTDCVXTASC-UHFFFAOYSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098243766 741890721 /nfs/dbraw/zinc/89/07/21/741890721.db2.gz FGYAXSZAELIMND-MEDUHNTESA-N 1 2 316.409 1.882 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cc(F)c(F)cc2O)CC1 ZINC001142534689 742149400 /nfs/dbraw/zinc/14/94/00/742149400.db2.gz SLNPNNPEUXGTCO-UHFFFAOYSA-N 1 2 310.300 1.949 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1ccn(C)n1 ZINC001028143107 739085613 /nfs/dbraw/zinc/08/56/13/739085613.db2.gz YHTXHSJNYYBEGD-XHSDSOJGSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccn(C)n1 ZINC001028143107 739085616 /nfs/dbraw/zinc/08/56/16/739085616.db2.gz YHTXHSJNYYBEGD-XHSDSOJGSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cncc(OC)n1 ZINC001028183799 739132556 /nfs/dbraw/zinc/13/25/56/739132556.db2.gz DLGMBEOLRRCTNV-LLVKDONJSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cncc(OC)n1 ZINC001028183799 739132559 /nfs/dbraw/zinc/13/25/59/739132559.db2.gz DLGMBEOLRRCTNV-LLVKDONJSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc(CC)nn1C ZINC001028224704 739194522 /nfs/dbraw/zinc/19/45/22/739194522.db2.gz JTFRWNJDPKOBRV-CYBMUJFWSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(CC)nn1C ZINC001028224704 739194525 /nfs/dbraw/zinc/19/45/25/739194525.db2.gz JTFRWNJDPKOBRV-CYBMUJFWSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001028256020 739240083 /nfs/dbraw/zinc/24/00/83/739240083.db2.gz VGOWYQNOQROTNR-TZMCWYRMSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001028256020 739240089 /nfs/dbraw/zinc/24/00/89/739240089.db2.gz VGOWYQNOQROTNR-TZMCWYRMSA-N 1 2 322.840 1.848 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2ccn(C)n2)cn1 ZINC001028271999 739261085 /nfs/dbraw/zinc/26/10/85/739261085.db2.gz RJJJCFMUAZYOSG-KRWDZBQOSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2ccn(C)n2)cn1 ZINC001028271999 739261088 /nfs/dbraw/zinc/26/10/88/739261088.db2.gz RJJJCFMUAZYOSG-KRWDZBQOSA-N 1 2 323.400 1.191 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001028273263 739263300 /nfs/dbraw/zinc/26/33/00/739263300.db2.gz MOPBOBQFAIQYMD-KGLIPLIRSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001028273263 739263302 /nfs/dbraw/zinc/26/33/02/739263302.db2.gz MOPBOBQFAIQYMD-KGLIPLIRSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cncnc1CC ZINC001028321723 739353765 /nfs/dbraw/zinc/35/37/65/739353765.db2.gz PEMAOUZMGRZRID-LBPRGKRZSA-N 1 2 308.813 1.986 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cncnc1CC ZINC001028321723 739353768 /nfs/dbraw/zinc/35/37/68/739353768.db2.gz PEMAOUZMGRZRID-LBPRGKRZSA-N 1 2 308.813 1.986 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1OC ZINC001211765924 739514148 /nfs/dbraw/zinc/51/41/48/739514148.db2.gz OFSMHIWJGNPWQD-HZPDHXFCSA-N 1 2 319.380 1.813 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1OC ZINC001211765924 739514151 /nfs/dbraw/zinc/51/41/51/739514151.db2.gz OFSMHIWJGNPWQD-HZPDHXFCSA-N 1 2 319.380 1.813 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)n(C(F)F)n2)C1 ZINC001035385262 751455037 /nfs/dbraw/zinc/45/50/37/751455037.db2.gz SOKCEXYNVJTMBD-LLVKDONJSA-N 1 2 314.336 1.203 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)n(C(F)F)n2)C1 ZINC001035385262 751455038 /nfs/dbraw/zinc/45/50/38/751455038.db2.gz SOKCEXYNVJTMBD-LLVKDONJSA-N 1 2 314.336 1.203 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)CC(C)C ZINC001211791098 739539365 /nfs/dbraw/zinc/53/93/65/739539365.db2.gz KWHYPSIZMKQSPA-QZTJIDSGSA-N 1 2 314.429 1.900 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)CC(C)C ZINC001211791098 739539369 /nfs/dbraw/zinc/53/93/69/739539369.db2.gz KWHYPSIZMKQSPA-QZTJIDSGSA-N 1 2 314.429 1.900 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+]Cc1nc(CCC(C)C)no1 ZINC001126385711 739681634 /nfs/dbraw/zinc/68/16/34/739681634.db2.gz YFGRJXFZZUSDTE-MRXNPFEDSA-N 1 2 324.425 1.191 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)onc2C2CC2)C1 ZINC001035453912 751501567 /nfs/dbraw/zinc/50/15/67/751501567.db2.gz BNUBTLOIDQQUOB-CQSZACIVSA-N 1 2 319.405 1.867 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)onc2C2CC2)C1 ZINC001035453912 751501568 /nfs/dbraw/zinc/50/15/68/751501568.db2.gz BNUBTLOIDQQUOB-CQSZACIVSA-N 1 2 319.405 1.867 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)CCN(C)c1nc(C)[nH+]c(C)c1C ZINC001105379575 740210589 /nfs/dbraw/zinc/21/05/89/740210589.db2.gz BEGLJMTWYYIEMR-QGZVFWFLSA-N 1 2 320.437 1.624 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)C3=CCCC3)[C@@H](O)C2)s1 ZINC001083404191 740305334 /nfs/dbraw/zinc/30/53/34/740305334.db2.gz CBBRXLBWENKKFB-CABCVRRESA-N 1 2 317.414 1.391 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)C3=CCCC3)[C@@H](O)C2)s1 ZINC001083404191 740305338 /nfs/dbraw/zinc/30/53/38/740305338.db2.gz CBBRXLBWENKKFB-CABCVRRESA-N 1 2 317.414 1.391 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(OC)cs2)C1 ZINC001035465761 751550831 /nfs/dbraw/zinc/55/08/31/751550831.db2.gz AXGXMIKELQQSHS-CYBMUJFWSA-N 1 2 308.403 1.211 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(OC)cs2)C1 ZINC001035465761 751550835 /nfs/dbraw/zinc/55/08/35/751550835.db2.gz AXGXMIKELQQSHS-CYBMUJFWSA-N 1 2 308.403 1.211 20 30 DDEDLO CC1(C)C[C@H]1C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083412949 740496412 /nfs/dbraw/zinc/49/64/12/740496412.db2.gz VKORJXCJNLXADC-BBWFWOEESA-N 1 2 312.413 1.246 20 30 DDEDLO CC1(C)C[C@H]1C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083412949 740496413 /nfs/dbraw/zinc/49/64/13/740496413.db2.gz VKORJXCJNLXADC-BBWFWOEESA-N 1 2 312.413 1.246 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC001077818030 751551457 /nfs/dbraw/zinc/55/14/57/751551457.db2.gz FRQBHKTZCLQQOV-ZJIFWQFVSA-N 1 2 318.804 1.238 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC001077818030 751551461 /nfs/dbraw/zinc/55/14/61/751551461.db2.gz FRQBHKTZCLQQOV-ZJIFWQFVSA-N 1 2 318.804 1.238 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CC[N@@H+]1Cc1ccccc1C#N ZINC001087637052 740686940 /nfs/dbraw/zinc/68/69/40/740686940.db2.gz XADBXFJRJNJMCA-GOEBONIOSA-N 1 2 324.388 1.459 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CC[N@H+]1Cc1ccccc1C#N ZINC001087637052 740686941 /nfs/dbraw/zinc/68/69/41/740686941.db2.gz XADBXFJRJNJMCA-GOEBONIOSA-N 1 2 324.388 1.459 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CC[N@@H+]1Cc1ccccc1C#N ZINC001087637052 740686942 /nfs/dbraw/zinc/68/69/42/740686942.db2.gz XADBXFJRJNJMCA-GOEBONIOSA-N 1 2 324.388 1.459 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CC[N@H+]1Cc1ccccc1C#N ZINC001087637052 740686944 /nfs/dbraw/zinc/68/69/44/740686944.db2.gz XADBXFJRJNJMCA-GOEBONIOSA-N 1 2 324.388 1.459 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C(C)(C)C3CC3)C2)nn1 ZINC001098706157 740768794 /nfs/dbraw/zinc/76/87/94/740768794.db2.gz TWCGWUFJONXREC-OAHLLOKOSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)cs2)C1 ZINC001035537302 751582634 /nfs/dbraw/zinc/58/26/34/751582634.db2.gz OBYKFGLZLXMVLU-LBPRGKRZSA-N 1 2 312.822 1.855 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)cs2)C1 ZINC001035537302 751582636 /nfs/dbraw/zinc/58/26/36/751582636.db2.gz OBYKFGLZLXMVLU-LBPRGKRZSA-N 1 2 312.822 1.855 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCOc3ccccc32)C1 ZINC001035543429 751590528 /nfs/dbraw/zinc/59/05/28/751590528.db2.gz XOVYDWYQJPXTIZ-ZBFHGGJFSA-N 1 2 316.401 1.556 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCOc3ccccc32)C1 ZINC001035543429 751590532 /nfs/dbraw/zinc/59/05/32/751590532.db2.gz XOVYDWYQJPXTIZ-ZBFHGGJFSA-N 1 2 316.401 1.556 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098715856 740971104 /nfs/dbraw/zinc/97/11/04/740971104.db2.gz KRPOTXYJEHHSBC-GDBMZVCRSA-N 1 2 323.416 1.713 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3[nH]nnc3C)C[C@@H]2C1 ZINC001088062708 740977660 /nfs/dbraw/zinc/97/76/60/740977660.db2.gz SWKPGSIGHZSADT-NEPJUHHUSA-N 1 2 309.801 1.260 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3[nH]nnc3C)C[C@@H]2C1 ZINC001088062708 740977661 /nfs/dbraw/zinc/97/76/61/740977661.db2.gz SWKPGSIGHZSADT-NEPJUHHUSA-N 1 2 309.801 1.260 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cn(CC)nc2C)C1 ZINC001035529054 751608786 /nfs/dbraw/zinc/60/87/86/751608786.db2.gz JBSXUUSWPRISRZ-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cn(CC)nc2C)C1 ZINC001035529054 751608791 /nfs/dbraw/zinc/60/87/91/751608791.db2.gz JBSXUUSWPRISRZ-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001035531182 751612686 /nfs/dbraw/zinc/61/26/86/751612686.db2.gz ZIYWJVCLTFASIF-OAHLLOKOSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001035531182 751612688 /nfs/dbraw/zinc/61/26/88/751612688.db2.gz ZIYWJVCLTFASIF-OAHLLOKOSA-N 1 2 324.783 1.933 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3cc(F)ccc3O2)C1 ZINC001035533334 751614991 /nfs/dbraw/zinc/61/49/91/751614991.db2.gz FVQALYFYFDWXGY-GDBMZVCRSA-N 1 2 320.364 1.132 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3cc(F)ccc3O2)C1 ZINC001035533334 751614993 /nfs/dbraw/zinc/61/49/93/751614993.db2.gz FVQALYFYFDWXGY-GDBMZVCRSA-N 1 2 320.364 1.132 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncoc2C2CC2)C1 ZINC001035570796 751623752 /nfs/dbraw/zinc/62/37/52/751623752.db2.gz SLDLYYUKJWAHJZ-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncoc2C2CC2)C1 ZINC001035570796 751623761 /nfs/dbraw/zinc/62/37/61/751623761.db2.gz SLDLYYUKJWAHJZ-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001035574307 751628694 /nfs/dbraw/zinc/62/86/94/751628694.db2.gz PEMAXATXKPPTLD-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001035574307 751628699 /nfs/dbraw/zinc/62/86/99/751628699.db2.gz PEMAXATXKPPTLD-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(C[N@H+](C)[C@@H](C)c2csnn2)CC1 ZINC001029946654 741636206 /nfs/dbraw/zinc/63/62/06/741636206.db2.gz RDMKYAQBHQIIHB-NEPJUHHUSA-N 1 2 321.450 1.929 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(C[N@@H+](C)[C@@H](C)c2csnn2)CC1 ZINC001029946654 741636208 /nfs/dbraw/zinc/63/62/08/741636208.db2.gz RDMKYAQBHQIIHB-NEPJUHHUSA-N 1 2 321.450 1.929 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001075885648 741717131 /nfs/dbraw/zinc/71/71/31/741717131.db2.gz HTBXJPTXTMLLPZ-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)c2ccccc2)C1=O ZINC001038013621 751669128 /nfs/dbraw/zinc/66/91/28/751669128.db2.gz JPKYMYSPRZWKDV-CVEARBPZSA-N 1 2 313.401 1.278 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)c2ccccc2)C1=O ZINC001038013621 751669132 /nfs/dbraw/zinc/66/91/32/751669132.db2.gz JPKYMYSPRZWKDV-CVEARBPZSA-N 1 2 313.401 1.278 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2C[N@H+](CC=C(C)C)CCO2)nc1 ZINC001035583991 751677697 /nfs/dbraw/zinc/67/76/97/751677697.db2.gz RONGUTIISOKBTI-INIZCTEOSA-N 1 2 313.401 1.460 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2C[N@@H+](CC=C(C)C)CCO2)nc1 ZINC001035583991 751677699 /nfs/dbraw/zinc/67/76/99/751677699.db2.gz RONGUTIISOKBTI-INIZCTEOSA-N 1 2 313.401 1.460 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc3[nH]cnc3c2)[C@H]1C ZINC001088596020 741919498 /nfs/dbraw/zinc/91/94/98/741919498.db2.gz DHYDWTXRYFZAKI-PWSUYJOCSA-N 1 2 319.796 1.903 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc3[nH]cnc3c2)[C@H]1C ZINC001088596020 741919501 /nfs/dbraw/zinc/91/95/01/741919501.db2.gz DHYDWTXRYFZAKI-PWSUYJOCSA-N 1 2 319.796 1.903 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212041673 741951052 /nfs/dbraw/zinc/95/10/52/741951052.db2.gz XMVNRGVNLDUDTA-NILFDRSVSA-N 1 2 321.808 1.815 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212041673 741951055 /nfs/dbraw/zinc/95/10/55/741951055.db2.gz XMVNRGVNLDUDTA-NILFDRSVSA-N 1 2 321.808 1.815 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc3c(cn2)OCCC3)C1 ZINC001035589709 751683632 /nfs/dbraw/zinc/68/36/32/751683632.db2.gz NVPYYBDMZIKLGJ-CQSZACIVSA-N 1 2 317.389 1.023 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc3c(cn2)OCCC3)C1 ZINC001035589709 751683634 /nfs/dbraw/zinc/68/36/34/751683634.db2.gz NVPYYBDMZIKLGJ-CQSZACIVSA-N 1 2 317.389 1.023 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccccc2N(C)C)C1 ZINC001035618871 751690584 /nfs/dbraw/zinc/69/05/84/751690584.db2.gz NUBHUPYWOZSKEF-AWEZNQCLSA-N 1 2 303.406 1.369 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccccc2N(C)C)C1 ZINC001035618871 751690588 /nfs/dbraw/zinc/69/05/88/751690588.db2.gz NUBHUPYWOZSKEF-AWEZNQCLSA-N 1 2 303.406 1.369 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H](CCNc2cc[nH+]c(C)n2)C1 ZINC001111616337 742062447 /nfs/dbraw/zinc/06/24/47/742062447.db2.gz QLKHVJAUODPETB-HIFRSBDPSA-N 1 2 316.405 1.474 20 30 DDEDLO CN(CCN(C)c1ccncc1C#N)C(=O)CCn1cc[nH+]c1 ZINC001105520560 742101661 /nfs/dbraw/zinc/10/16/61/742101661.db2.gz DBYIMSVTVLHNSH-UHFFFAOYSA-N 1 2 312.377 1.135 20 30 DDEDLO N#CCNCC1([C@@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)CCC1 ZINC001105566228 742122858 /nfs/dbraw/zinc/12/28/58/742122858.db2.gz HDUZIPSTLQOAFG-HNNXBMFYSA-N 1 2 315.421 1.617 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001060039638 742259515 /nfs/dbraw/zinc/25/95/15/742259515.db2.gz YAFBABNBOMVUIL-CQSZACIVSA-N 1 2 324.388 1.500 20 30 DDEDLO CCC(=O)N(C)CC[NH+]1CCN(c2ncc(C#N)cc2F)CC1 ZINC001102409448 742338960 /nfs/dbraw/zinc/33/89/60/742338960.db2.gz AGMURBHOXMBYGJ-UHFFFAOYSA-N 1 2 319.384 1.083 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C(F)=C(C)C)C2)nn1 ZINC001098656612 742370066 /nfs/dbraw/zinc/37/00/66/742370066.db2.gz OFZSJQZYRUUNLJ-AWEZNQCLSA-N 1 2 319.384 1.428 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3ccncc3s2)[C@@H](O)C1 ZINC001083525339 742463655 /nfs/dbraw/zinc/46/36/55/742463655.db2.gz LVFCFZJUXOOHTI-OLZOCXBDSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3ccncc3s2)[C@@H](O)C1 ZINC001083525339 742463657 /nfs/dbraw/zinc/46/36/57/742463657.db2.gz LVFCFZJUXOOHTI-OLZOCXBDSA-N 1 2 315.398 1.095 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001126919745 742569553 /nfs/dbraw/zinc/56/95/53/742569553.db2.gz GHMPYIRXJLFTNZ-ZUEPYMLJSA-N 1 2 304.394 1.513 20 30 DDEDLO N#Cc1ccccc1N1CCN(C(=O)Cc2cc[nH+]c(N)c2)CC1 ZINC001181229178 743123492 /nfs/dbraw/zinc/12/34/92/743123492.db2.gz INUFHGVOJXREHK-UHFFFAOYSA-N 1 2 321.384 1.427 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@H](C)CC1 ZINC001121509985 782541945 /nfs/dbraw/zinc/54/19/45/782541945.db2.gz LJOSCAFWOXLJNH-ZNMIVQPWSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@H](C)CC1 ZINC001121509985 782541955 /nfs/dbraw/zinc/54/19/55/782541955.db2.gz LJOSCAFWOXLJNH-ZNMIVQPWSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]nc2CCC)C1 ZINC001108045918 743192695 /nfs/dbraw/zinc/19/26/95/743192695.db2.gz FKQVPHHFRZXICS-INIZCTEOSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]nc2CCC)C1 ZINC001108045918 743192699 /nfs/dbraw/zinc/19/26/99/743192699.db2.gz FKQVPHHFRZXICS-INIZCTEOSA-N 1 2 306.410 1.369 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001061134560 743380681 /nfs/dbraw/zinc/38/06/81/743380681.db2.gz VMPGWQZMIOPWAI-ZIAGYGMSSA-N 1 2 324.388 1.758 20 30 DDEDLO C[C@H](F)CC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](C)C#N)c2C1 ZINC001128264160 743403117 /nfs/dbraw/zinc/40/31/17/743403117.db2.gz JTHKOXZMWATDGY-RYUDHWBXSA-N 1 2 307.373 1.223 20 30 DDEDLO C[C@H](F)CC[N@H+]1CCn2ncc(CNC(=O)[C@@H](C)C#N)c2C1 ZINC001128264160 743403120 /nfs/dbraw/zinc/40/31/20/743403120.db2.gz JTHKOXZMWATDGY-RYUDHWBXSA-N 1 2 307.373 1.223 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H](NC(=O)[C@H]3CCCO3)C2)s1 ZINC001006673413 751825035 /nfs/dbraw/zinc/82/50/35/751825035.db2.gz ULQKKGIDNUUQEU-SWLSCSKDSA-N 1 2 319.430 1.879 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H](NC(=O)[C@H]3CCCO3)C2)s1 ZINC001006673413 751825045 /nfs/dbraw/zinc/82/50/45/751825045.db2.gz ULQKKGIDNUUQEU-SWLSCSKDSA-N 1 2 319.430 1.879 20 30 DDEDLO CN(CC#N)C(=O)C[N@@H+]1CCC[C@H](N(C)C(=O)OC(C)(C)C)C1 ZINC001182270575 743545583 /nfs/dbraw/zinc/54/55/83/743545583.db2.gz RZIAHGLQMUHSOW-ZDUSSCGKSA-N 1 2 324.425 1.300 20 30 DDEDLO CN(CC#N)C(=O)C[N@H+]1CCC[C@H](N(C)C(=O)OC(C)(C)C)C1 ZINC001182270575 743545589 /nfs/dbraw/zinc/54/55/89/743545589.db2.gz RZIAHGLQMUHSOW-ZDUSSCGKSA-N 1 2 324.425 1.300 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)CCc1cccc(C#N)c1 ZINC001182342461 743575717 /nfs/dbraw/zinc/57/57/17/743575717.db2.gz FLLDGYJYZJSHDC-AWEZNQCLSA-N 1 2 301.390 1.328 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)CCc1cccc(C#N)c1 ZINC001182342461 743575725 /nfs/dbraw/zinc/57/57/25/743575725.db2.gz FLLDGYJYZJSHDC-AWEZNQCLSA-N 1 2 301.390 1.328 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001182414267 743618220 /nfs/dbraw/zinc/61/82/20/743618220.db2.gz IQJMTCBYDWZUAB-CHWSQXEVSA-N 1 2 304.394 1.543 20 30 DDEDLO Cc1cc(NC[C@@H](O)CNC(=O)C#CC2CC2)nc(C(C)C)[nH+]1 ZINC001105825517 743942592 /nfs/dbraw/zinc/94/25/92/743942592.db2.gz IFDYYDYPWRLLDJ-CQSZACIVSA-N 1 2 316.405 1.211 20 30 DDEDLO COc1cccc(C[NH+]2CC(NC(=O)c3c[nH]c(C#N)c3)C2)c1C ZINC001030234636 743969160 /nfs/dbraw/zinc/96/91/60/743969160.db2.gz BGCKXUMWDGUEGV-UHFFFAOYSA-N 1 2 324.384 1.818 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCO[C@H]2c2ccnn2CC)C1 ZINC001030470389 744184069 /nfs/dbraw/zinc/18/40/69/744184069.db2.gz GCFNHGRXJAXVOL-GDBMZVCRSA-N 1 2 318.421 1.357 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)/C=C(/C)CC)C2)nn1 ZINC001185932124 744316624 /nfs/dbraw/zinc/31/66/24/744316624.db2.gz CYZSCSGRABYVQP-SCOADAFUSA-N 1 2 315.421 1.521 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)CCC)C2 ZINC001110374698 744337879 /nfs/dbraw/zinc/33/78/79/744337879.db2.gz LDJHWULONBHQTK-FPCVCCKLSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)CCC)C2 ZINC001110374698 744337883 /nfs/dbraw/zinc/33/78/83/744337883.db2.gz LDJHWULONBHQTK-FPCVCCKLSA-N 1 2 319.449 1.284 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc(CNC(C)=O)cc2)C1 ZINC001030623904 744343658 /nfs/dbraw/zinc/34/36/58/744343658.db2.gz CQCIRDJSTZOMPG-UHFFFAOYSA-N 1 2 301.390 1.313 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ncc(C)s1 ZINC001110388598 744496601 /nfs/dbraw/zinc/49/66/01/744496601.db2.gz DKRZXYCAXRNKJF-MCIONIFRSA-N 1 2 321.446 1.876 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ncc(C)s1 ZINC001110388598 744496603 /nfs/dbraw/zinc/49/66/03/744496603.db2.gz DKRZXYCAXRNKJF-MCIONIFRSA-N 1 2 321.446 1.876 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001014986665 744530338 /nfs/dbraw/zinc/53/03/38/744530338.db2.gz HHEOMDLBEYIASY-CHWSQXEVSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001014986665 744530339 /nfs/dbraw/zinc/53/03/39/744530339.db2.gz HHEOMDLBEYIASY-CHWSQXEVSA-N 1 2 308.813 1.704 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001187857767 744620804 /nfs/dbraw/zinc/62/08/04/744620804.db2.gz QLENATIVIUNVNY-DZGCQCFKSA-N 1 2 319.430 1.216 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001187857767 744620806 /nfs/dbraw/zinc/62/08/06/744620806.db2.gz QLENATIVIUNVNY-DZGCQCFKSA-N 1 2 319.430 1.216 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001190397578 745200010 /nfs/dbraw/zinc/20/00/10/745200010.db2.gz LOZQVRKKPPBEOH-ZDUSSCGKSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001190397578 745200011 /nfs/dbraw/zinc/20/00/11/745200011.db2.gz LOZQVRKKPPBEOH-ZDUSSCGKSA-N 1 2 305.426 1.450 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(C(F)(F)F)nc1 ZINC001038571958 745276018 /nfs/dbraw/zinc/27/60/18/745276018.db2.gz QQTZSWFCPOKRTB-LBPRGKRZSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(C(F)(F)F)nc1 ZINC001038571958 745276020 /nfs/dbraw/zinc/27/60/20/745276020.db2.gz QQTZSWFCPOKRTB-LBPRGKRZSA-N 1 2 311.307 1.928 20 30 DDEDLO C=CCC1(S(=O)(=O)N2CC[C@H](Oc3cc[nH+]cc3)C2)CC1 ZINC001190798620 745335144 /nfs/dbraw/zinc/33/51/44/745335144.db2.gz VQLCPQLLZSZMPK-AWEZNQCLSA-N 1 2 308.403 1.973 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cc[n+]([O-])cc2)[C@@H]1C ZINC000993010511 745373159 /nfs/dbraw/zinc/37/31/59/745373159.db2.gz DETIKLMUCRDKOQ-JSGCOSHPSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cc[n+]([O-])cc2)[C@@H]1C ZINC000993010511 745373163 /nfs/dbraw/zinc/37/31/63/745373163.db2.gz DETIKLMUCRDKOQ-JSGCOSHPSA-N 1 2 309.797 1.655 20 30 DDEDLO CCCCCCCNC(=O)N[C@@H](Cc1c[nH+]cn1C)C(=O)OC ZINC001191118461 745428826 /nfs/dbraw/zinc/42/88/26/745428826.db2.gz HLFCGWMWZVZVSZ-AWEZNQCLSA-N 1 2 324.425 1.774 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)=C(C)C)C2 ZINC001110444713 745716529 /nfs/dbraw/zinc/71/65/29/745716529.db2.gz FCCSTKCSDHRFLF-SYQHCUMBSA-N 1 2 323.412 1.662 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)=C(C)C)C2 ZINC001110444713 745716533 /nfs/dbraw/zinc/71/65/33/745716533.db2.gz FCCSTKCSDHRFLF-SYQHCUMBSA-N 1 2 323.412 1.662 20 30 DDEDLO CC(=O)N1CC[C@@H](C[N@@H+](C)Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC001192251781 745726096 /nfs/dbraw/zinc/72/60/96/745726096.db2.gz DFGVHWXXODRATD-ZDUSSCGKSA-N 1 2 316.361 1.767 20 30 DDEDLO CC(=O)N1CC[C@@H](C[N@H+](C)Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC001192251781 745726098 /nfs/dbraw/zinc/72/60/98/745726098.db2.gz DFGVHWXXODRATD-ZDUSSCGKSA-N 1 2 316.361 1.767 20 30 DDEDLO Cc1nc(N2CC[C@]3(C2)CCCN(C(=O)[C@@H](C)C#N)C3)cc[nH+]1 ZINC001066857193 752044342 /nfs/dbraw/zinc/04/43/42/752044342.db2.gz QQSILQOKINPCBP-GUYCJALGSA-N 1 2 313.405 1.764 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2nnc(C)[nH]2)[C@H]1C ZINC000993324187 745990356 /nfs/dbraw/zinc/99/03/56/745990356.db2.gz GWKNCPVFRUJCAS-ZYHUDNBSSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2nnc(C)[nH]2)[C@H]1C ZINC000993324187 745990361 /nfs/dbraw/zinc/99/03/61/745990361.db2.gz GWKNCPVFRUJCAS-ZYHUDNBSSA-N 1 2 311.817 1.377 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)c2cncnc2)C1 ZINC001007180854 752050805 /nfs/dbraw/zinc/05/08/05/752050805.db2.gz DYANHFPQHOVVQT-KRWDZBQOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)c2cncnc2)C1 ZINC001007180854 752050815 /nfs/dbraw/zinc/05/08/15/752050815.db2.gz DYANHFPQHOVVQT-KRWDZBQOSA-N 1 2 321.384 1.743 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001212210590 746110153 /nfs/dbraw/zinc/11/01/53/746110153.db2.gz CBLZTCFUMCHQOM-ARFHVFGLSA-N 1 2 310.438 1.278 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001212210590 746110160 /nfs/dbraw/zinc/11/01/60/746110160.db2.gz CBLZTCFUMCHQOM-ARFHVFGLSA-N 1 2 310.438 1.278 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001194382313 746362747 /nfs/dbraw/zinc/36/27/47/746362747.db2.gz XHYMMCURFXWRBC-AWEZNQCLSA-N 1 2 321.421 1.862 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001194382313 746362752 /nfs/dbraw/zinc/36/27/52/746362752.db2.gz XHYMMCURFXWRBC-AWEZNQCLSA-N 1 2 321.421 1.862 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCN(C(=O)CC2CC2)CC1 ZINC001194630268 746420715 /nfs/dbraw/zinc/42/07/15/746420715.db2.gz ZZRCEZRDUCNHFS-UHFFFAOYSA-N 1 2 320.437 1.083 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCN(C(=O)CC2CC2)CC1 ZINC001194630268 746420719 /nfs/dbraw/zinc/42/07/19/746420719.db2.gz ZZRCEZRDUCNHFS-UHFFFAOYSA-N 1 2 320.437 1.083 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001077542827 746482877 /nfs/dbraw/zinc/48/28/77/746482877.db2.gz SHEMEXFVDDFCPS-ICBNADEASA-N 1 2 324.424 1.246 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001077542827 746482881 /nfs/dbraw/zinc/48/28/81/746482881.db2.gz SHEMEXFVDDFCPS-ICBNADEASA-N 1 2 324.424 1.246 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](Cc2cnc(OC)nc2C)CC1 ZINC001195191191 746541210 /nfs/dbraw/zinc/54/12/10/746541210.db2.gz CURICUQHBOCJAI-UHFFFAOYSA-N 1 2 318.421 1.794 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](Cc2cnc(OC)nc2C)CC1 ZINC001195191191 746541211 /nfs/dbraw/zinc/54/12/11/746541211.db2.gz CURICUQHBOCJAI-UHFFFAOYSA-N 1 2 318.421 1.794 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195467948 746617787 /nfs/dbraw/zinc/61/77/87/746617787.db2.gz YNWMEJKSIFBTOW-HZPDHXFCSA-N 1 2 319.405 1.146 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195467948 746617789 /nfs/dbraw/zinc/61/77/89/746617789.db2.gz YNWMEJKSIFBTOW-HZPDHXFCSA-N 1 2 319.405 1.146 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(C(N)=O)cs2)[C@@H]1C ZINC000994471843 746678220 /nfs/dbraw/zinc/67/82/20/746678220.db2.gz BMIDHMGGRVQBTK-JQWIXIFHSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C(N)=O)cs2)[C@@H]1C ZINC000994471843 746678224 /nfs/dbraw/zinc/67/82/24/746678224.db2.gz BMIDHMGGRVQBTK-JQWIXIFHSA-N 1 2 305.403 1.063 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](C3CCOCC3)CC2)c(O)c1 ZINC001195741789 746696770 /nfs/dbraw/zinc/69/67/70/746696770.db2.gz PRFLMMXUPGYWSU-UHFFFAOYSA-N 1 2 315.373 1.201 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195775313 746702036 /nfs/dbraw/zinc/70/20/36/746702036.db2.gz VEYVRQKBAQQTDT-HNNXBMFYSA-N 1 2 307.438 1.095 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195775313 746702039 /nfs/dbraw/zinc/70/20/39/746702039.db2.gz VEYVRQKBAQQTDT-HNNXBMFYSA-N 1 2 307.438 1.095 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CN(C)C(=O)C2CC2)CC1 ZINC001196052963 746763547 /nfs/dbraw/zinc/76/35/47/746763547.db2.gz NZUXJEJKYSQPSR-UHFFFAOYSA-N 1 2 313.829 1.142 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CN(C)C(=O)C2CC2)CC1 ZINC001196052963 746763550 /nfs/dbraw/zinc/76/35/50/746763550.db2.gz NZUXJEJKYSQPSR-UHFFFAOYSA-N 1 2 313.829 1.142 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H]2C[C@@H]2c2cc(F)ccc2F)C1 ZINC001031411086 746771335 /nfs/dbraw/zinc/77/13/35/746771335.db2.gz ZHPRFVCUHVUVQN-HIFRSBDPSA-N 1 2 304.340 1.892 20 30 DDEDLO CCc1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)[nH]n1 ZINC001038068127 746851465 /nfs/dbraw/zinc/85/14/65/746851465.db2.gz PJANWUQDMKNVDP-MRXNPFEDSA-N 1 2 323.400 1.848 20 30 DDEDLO CCc1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)[nH]n1 ZINC001038068127 746851471 /nfs/dbraw/zinc/85/14/71/746851471.db2.gz PJANWUQDMKNVDP-MRXNPFEDSA-N 1 2 323.400 1.848 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cc(NC(C)=O)ccc2C)C1 ZINC001031490894 746896698 /nfs/dbraw/zinc/89/66/98/746896698.db2.gz KUMFTLWXYZVIEK-UHFFFAOYSA-N 1 2 301.390 1.944 20 30 DDEDLO CN(c1ncccc1C#N)[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001061219105 746898720 /nfs/dbraw/zinc/89/87/20/746898720.db2.gz YBFKEJDNWGNLBR-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO CCC(CC)[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001212494281 746943055 /nfs/dbraw/zinc/94/30/55/746943055.db2.gz ZYWFEXHQYVCNSR-USXIJHARSA-N 1 2 324.465 1.524 20 30 DDEDLO CCC(CC)[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001212494281 746943059 /nfs/dbraw/zinc/94/30/59/746943059.db2.gz ZYWFEXHQYVCNSR-USXIJHARSA-N 1 2 324.465 1.524 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC001196725147 746945909 /nfs/dbraw/zinc/94/59/09/746945909.db2.gz VDVOJEDAKPFYIS-UHFFFAOYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC001196725147 746945914 /nfs/dbraw/zinc/94/59/14/746945914.db2.gz VDVOJEDAKPFYIS-UHFFFAOYSA-N 1 2 309.454 1.648 20 30 DDEDLO N#Cc1cccc(-c2cc(C(=O)NCCn3cc[nH+]c3)no2)c1 ZINC001196756378 746959725 /nfs/dbraw/zinc/95/97/25/746959725.db2.gz GHLSXWWNYBVDGF-UHFFFAOYSA-N 1 2 307.313 1.840 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)[C@H]3CCCO3)C2)cc1F ZINC001031535613 746978189 /nfs/dbraw/zinc/97/81/89/746978189.db2.gz XULICBBPMPOZGU-MRXNPFEDSA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)c3ccc[nH]3)C2)c1 ZINC001031543585 746993896 /nfs/dbraw/zinc/99/38/96/746993896.db2.gz BLJJYUVDSHPMPY-UHFFFAOYSA-N 1 2 312.348 1.887 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCCC[C@H]2C)CC1 ZINC001196943905 747017638 /nfs/dbraw/zinc/01/76/38/747017638.db2.gz XFDXFSYIKNRGJR-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCC[C@H]2C)CC1 ZINC001196943905 747017645 /nfs/dbraw/zinc/01/76/45/747017645.db2.gz XFDXFSYIKNRGJR-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2(CCC)CC2)CC1 ZINC001197101744 747066574 /nfs/dbraw/zinc/06/65/74/747066574.db2.gz KHMZQNZKPVXGIQ-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(CCC)CC2)CC1 ZINC001197101744 747066580 /nfs/dbraw/zinc/06/65/80/747066580.db2.gz KHMZQNZKPVXGIQ-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)nc(C(C)C)[nH]c1=O ZINC001038587614 747535365 /nfs/dbraw/zinc/53/53/65/747535365.db2.gz CIHIBPMRMQWOGO-ZDUSSCGKSA-N 1 2 316.405 1.441 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)nc(C(C)C)[nH]c1=O ZINC001038587614 747535374 /nfs/dbraw/zinc/53/53/74/747535374.db2.gz CIHIBPMRMQWOGO-ZDUSSCGKSA-N 1 2 316.405 1.441 20 30 DDEDLO CN(C(=O)c1cnccn1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001032882746 747561076 /nfs/dbraw/zinc/56/10/76/747561076.db2.gz GISBWMRTSSNASO-INIZCTEOSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C(=O)c1cnccn1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001032882746 747561081 /nfs/dbraw/zinc/56/10/81/747561081.db2.gz GISBWMRTSSNASO-INIZCTEOSA-N 1 2 321.384 1.695 20 30 DDEDLO C=CC[NH2+][C@H](CNC(=O)c1ncn[nH]1)c1ccccc1OC ZINC001198939320 747655040 /nfs/dbraw/zinc/65/50/40/747655040.db2.gz JWXAEFSINKQANY-GFCCVEGCSA-N 1 2 301.350 1.060 20 30 DDEDLO C=CC[NH2+][C@H](CNC(=O)c1nc[nH]n1)c1ccccc1OC ZINC001198939320 747655044 /nfs/dbraw/zinc/65/50/44/747655044.db2.gz JWXAEFSINKQANY-GFCCVEGCSA-N 1 2 301.350 1.060 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cncc3nc[nH]c32)C1 ZINC001033100510 748274466 /nfs/dbraw/zinc/27/44/66/748274466.db2.gz AKXPUZUCFJYAKU-LLVKDONJSA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cncc3nc[nH]c32)C1 ZINC001033100510 748274470 /nfs/dbraw/zinc/27/44/70/748274470.db2.gz AKXPUZUCFJYAKU-LLVKDONJSA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)CN1CC(CNC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001031987491 748363199 /nfs/dbraw/zinc/36/31/99/748363199.db2.gz PXVOTLZSCWFVOQ-CHWSQXEVSA-N 1 2 308.813 1.324 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@@H+](C(C)C)[C@@H](C)C2)cc1C#N ZINC001201311969 748474296 /nfs/dbraw/zinc/47/42/96/748474296.db2.gz OWMWEKTYYZXNEF-AWEZNQCLSA-N 1 2 321.446 1.970 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@H+](C(C)C)[C@@H](C)C2)cc1C#N ZINC001201311969 748474303 /nfs/dbraw/zinc/47/43/03/748474303.db2.gz OWMWEKTYYZXNEF-AWEZNQCLSA-N 1 2 321.446 1.970 20 30 DDEDLO C=CCOCC(=O)NCC[NH2+][C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001124773080 748477147 /nfs/dbraw/zinc/47/71/47/748477147.db2.gz MRSBOTBSNAEQNT-LBPRGKRZSA-N 1 2 309.410 1.942 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001108082362 748719446 /nfs/dbraw/zinc/71/94/46/748719446.db2.gz GMMPYOUUECPEEZ-LJIGWXMPSA-N 1 2 308.422 1.195 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001108082362 748719450 /nfs/dbraw/zinc/71/94/50/748719450.db2.gz GMMPYOUUECPEEZ-LJIGWXMPSA-N 1 2 308.422 1.195 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cnc(C)cn3)C2)cn1 ZINC001032138153 748731089 /nfs/dbraw/zinc/73/10/89/748731089.db2.gz NLEDKFVEVBYUNF-UHFFFAOYSA-N 1 2 321.384 1.023 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncc(C)cc2C)C1 ZINC001108089945 748781279 /nfs/dbraw/zinc/78/12/79/748781279.db2.gz NKJDWUOGKDYIOI-QGZVFWFLSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncc(C)cc2C)C1 ZINC001108089945 748781286 /nfs/dbraw/zinc/78/12/86/748781286.db2.gz NKJDWUOGKDYIOI-QGZVFWFLSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2cnccc2OC)C1 ZINC001033185290 748834338 /nfs/dbraw/zinc/83/43/38/748834338.db2.gz NFNUCQOMEYMQAP-CQSZACIVSA-N 1 2 323.824 1.918 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2cnccc2OC)C1 ZINC001033185290 748834346 /nfs/dbraw/zinc/83/43/46/748834346.db2.gz NFNUCQOMEYMQAP-CQSZACIVSA-N 1 2 323.824 1.918 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)CCCCNc1cc[nH+]c(C)n1 ZINC001090117148 748881273 /nfs/dbraw/zinc/88/12/73/748881273.db2.gz JZNIDACSPRUMQT-KGLIPLIRSA-N 1 2 318.421 1.910 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC[C@@H]2CCCOC2)C1 ZINC001108312993 761908118 /nfs/dbraw/zinc/90/81/18/761908118.db2.gz VHFSXSGAKCMZGO-RDJZCZTQSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CC[C@@H]2CCCOC2)C1 ZINC001108312993 761908123 /nfs/dbraw/zinc/90/81/23/761908123.db2.gz VHFSXSGAKCMZGO-RDJZCZTQSA-N 1 2 310.438 1.586 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1Cc2c(cccc2OC)C1=O ZINC000863269377 749076098 /nfs/dbraw/zinc/07/60/98/749076098.db2.gz ATZBMCHZEIJGQO-UHFFFAOYSA-N 1 2 302.374 1.580 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1Cc2c(cccc2OC)C1=O ZINC000863269377 749076102 /nfs/dbraw/zinc/07/61/02/749076102.db2.gz ATZBMCHZEIJGQO-UHFFFAOYSA-N 1 2 302.374 1.580 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001114343193 749093417 /nfs/dbraw/zinc/09/34/17/749093417.db2.gz KFSFVTIULXGXQV-MUYACECFSA-N 1 2 304.394 1.842 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001114343193 749093424 /nfs/dbraw/zinc/09/34/24/749093424.db2.gz KFSFVTIULXGXQV-MUYACECFSA-N 1 2 304.394 1.842 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001033342779 749232814 /nfs/dbraw/zinc/23/28/14/749232814.db2.gz HNUWTYDQDYXUTO-WFASDCNBSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001033342779 749232818 /nfs/dbraw/zinc/23/28/18/749232818.db2.gz HNUWTYDQDYXUTO-WFASDCNBSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1cccn1 ZINC001039332785 761942492 /nfs/dbraw/zinc/94/24/92/761942492.db2.gz XZDRDNMIRCDUFA-KGLIPLIRSA-N 1 2 308.813 1.701 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1cccn1 ZINC001039332785 761942497 /nfs/dbraw/zinc/94/24/97/761942497.db2.gz XZDRDNMIRCDUFA-KGLIPLIRSA-N 1 2 308.813 1.701 20 30 DDEDLO C#CCCCC(=O)NC/C=C\CNc1[nH+]cnc2c1cnn2C ZINC001107147987 749405785 /nfs/dbraw/zinc/40/57/85/749405785.db2.gz ZQBGDAJNSOOSIU-SREVYHEPSA-N 1 2 312.377 1.251 20 30 DDEDLO CC1(C)CN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)C[C@H]1NCC#N ZINC000996280267 749482243 /nfs/dbraw/zinc/48/22/43/749482243.db2.gz XBMRZFHTJQUTSQ-WCQYABFASA-N 1 2 301.394 1.180 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001033576174 749552566 /nfs/dbraw/zinc/55/25/66/749552566.db2.gz HOIODGYDAVONCM-JSGCOSHPSA-N 1 2 322.840 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001033576174 749552569 /nfs/dbraw/zinc/55/25/69/749552569.db2.gz HOIODGYDAVONCM-JSGCOSHPSA-N 1 2 322.840 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)n1cncn1 ZINC001039359531 761963507 /nfs/dbraw/zinc/96/35/07/761963507.db2.gz TYPGIHMMGYQLNQ-MCIONIFRSA-N 1 2 323.828 1.657 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)n1cncn1 ZINC001039359531 761963511 /nfs/dbraw/zinc/96/35/11/761963511.db2.gz TYPGIHMMGYQLNQ-MCIONIFRSA-N 1 2 323.828 1.657 20 30 DDEDLO Cc1nonc1C(=O)N(C)[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001033711665 749760367 /nfs/dbraw/zinc/76/03/67/749760367.db2.gz VMYJBGLRXBJYKE-MRXNPFEDSA-N 1 2 324.384 1.576 20 30 DDEDLO Cc1nonc1C(=O)N(C)[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001033711665 749760371 /nfs/dbraw/zinc/76/03/71/749760371.db2.gz VMYJBGLRXBJYKE-MRXNPFEDSA-N 1 2 324.384 1.576 20 30 DDEDLO N#Cc1cccnc1N1CCC(NC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001095450413 749909347 /nfs/dbraw/zinc/90/93/47/749909347.db2.gz ARSJBEZTRXOQQG-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cccnc1N1CCC(NC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001095450413 749909354 /nfs/dbraw/zinc/90/93/54/749909354.db2.gz ARSJBEZTRXOQQG-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)S(C)(=O)=O ZINC001039394808 761993885 /nfs/dbraw/zinc/99/38/85/761993885.db2.gz JYYGJVLEUZFHFH-MCIONIFRSA-N 1 2 314.451 1.061 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)S(C)(=O)=O ZINC001039394808 761993887 /nfs/dbraw/zinc/99/38/87/761993887.db2.gz JYYGJVLEUZFHFH-MCIONIFRSA-N 1 2 314.451 1.061 20 30 DDEDLO N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001039395678 761994691 /nfs/dbraw/zinc/99/46/91/761994691.db2.gz FOBPEVZPOVGXBF-KFWWJZLASA-N 1 2 313.405 1.034 20 30 DDEDLO Cc1cc(N(C)CCCNC(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001095507112 749989545 /nfs/dbraw/zinc/98/95/45/749989545.db2.gz HPZINWLXOODYHS-NSHDSACASA-N 1 2 301.394 1.765 20 30 DDEDLO Cc1nc(NC/C=C\CNC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001107539344 750112317 /nfs/dbraw/zinc/11/23/17/750112317.db2.gz KSJDSNASWXYNDF-IHWYPQMZSA-N 1 2 310.361 1.312 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC/C=C\CNc1ncccc1C#N ZINC001107549910 750113967 /nfs/dbraw/zinc/11/39/67/750113967.db2.gz SXUQMQKSAQLGEB-XQJDBVBESA-N 1 2 324.388 1.639 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC/C=C\CNc1ncccc1C#N ZINC001107549910 750113970 /nfs/dbraw/zinc/11/39/70/750113970.db2.gz SXUQMQKSAQLGEB-XQJDBVBESA-N 1 2 324.388 1.639 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccncc2F)[C@H](O)C1 ZINC001090157962 750131707 /nfs/dbraw/zinc/13/17/07/750131707.db2.gz CZIRFNAUKWYJRM-QWHCGFSZSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccncc2F)[C@H](O)C1 ZINC001090157962 750131712 /nfs/dbraw/zinc/13/17/12/750131712.db2.gz CZIRFNAUKWYJRM-QWHCGFSZSA-N 1 2 313.760 1.138 20 30 DDEDLO N#Cc1ccc(NC/C=C\CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)nc1 ZINC001107573193 750163100 /nfs/dbraw/zinc/16/31/00/750163100.db2.gz IIZLWSHHKHFHBW-KOMGFDSOSA-N 1 2 322.372 1.564 20 30 DDEDLO N#Cc1ccc(NC/C=C\CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)nc1 ZINC001107573193 750163107 /nfs/dbraw/zinc/16/31/07/750163107.db2.gz IIZLWSHHKHFHBW-KOMGFDSOSA-N 1 2 322.372 1.564 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC/C=C/CNc1ccc(C#N)cn1 ZINC001107602312 750224715 /nfs/dbraw/zinc/22/47/15/750224715.db2.gz COURSYBRTDNQDT-NSCUHMNNSA-N 1 2 324.388 1.404 20 30 DDEDLO N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001039434935 762028925 /nfs/dbraw/zinc/02/89/25/762028925.db2.gz CCOCBZVHZRRDNU-HZSPNIEDSA-N 1 2 313.405 1.418 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)CC=C)C2 ZINC001111072128 750348404 /nfs/dbraw/zinc/34/84/04/750348404.db2.gz CNOXVDAKTRQMIT-KFWWJZLASA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)CC=C)C2 ZINC001111072128 750348408 /nfs/dbraw/zinc/34/84/08/750348408.db2.gz CNOXVDAKTRQMIT-KFWWJZLASA-N 1 2 317.433 1.060 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C[C@@H](C)CCC)[C@@H](n2ccnn2)C1 ZINC001128833737 750375377 /nfs/dbraw/zinc/37/53/77/750375377.db2.gz AOKZURFMKJREFA-ZNMIVQPWSA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C[C@@H](C)CCC)[C@@H](n2ccnn2)C1 ZINC001128833737 750375383 /nfs/dbraw/zinc/37/53/83/750375383.db2.gz AOKZURFMKJREFA-ZNMIVQPWSA-N 1 2 303.410 1.079 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)CCC)C2 ZINC001111190212 750424166 /nfs/dbraw/zinc/42/41/66/750424166.db2.gz UJBHCCHKXYBYRL-FPCVCCKLSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)CCC)C2 ZINC001111190212 750424168 /nfs/dbraw/zinc/42/41/68/750424168.db2.gz UJBHCCHKXYBYRL-FPCVCCKLSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCC1(C(=O)N2C[C@H]3CN(c4cc[nH+]c(C)n4)C[C@H]3C2)CCC1 ZINC001111303434 750436911 /nfs/dbraw/zinc/43/69/11/750436911.db2.gz KHDUDPMBCWWKPN-IYBDPMFKSA-N 1 2 324.428 1.873 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC[C@H]2CCOC2)C1 ZINC001107859320 750463879 /nfs/dbraw/zinc/46/38/79/750463879.db2.gz TWEQSMIFVMEAHK-DOTOQJQBSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC[C@H]2CCOC2)C1 ZINC001107859320 750463882 /nfs/dbraw/zinc/46/38/82/750463882.db2.gz TWEQSMIFVMEAHK-DOTOQJQBSA-N 1 2 308.422 1.034 20 30 DDEDLO CC1(Nc2ncccc2C#N)CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001067297658 762042735 /nfs/dbraw/zinc/04/27/35/762042735.db2.gz BEQRNBZGGYWTOE-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cnn(CC)n2)CC1 ZINC001000649745 762049097 /nfs/dbraw/zinc/04/90/97/762049097.db2.gz BWQUNYXLXNTYFJ-UHFFFAOYSA-N 1 2 309.801 1.412 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cnn(CC)n2)CC1 ZINC001000649745 762049108 /nfs/dbraw/zinc/04/91/08/762049108.db2.gz BWQUNYXLXNTYFJ-UHFFFAOYSA-N 1 2 309.801 1.412 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc2c1cccc2OC ZINC001032405198 750599743 /nfs/dbraw/zinc/59/97/43/750599743.db2.gz OURCBMBRWXFMOB-STQMWFEESA-N 1 2 310.353 1.973 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc2c1cccc2OC ZINC001032405198 750599749 /nfs/dbraw/zinc/59/97/49/750599749.db2.gz OURCBMBRWXFMOB-STQMWFEESA-N 1 2 310.353 1.973 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2csc(C(C)(C)C)n2)[C@@H](O)C1 ZINC001083862298 750630287 /nfs/dbraw/zinc/63/02/87/750630287.db2.gz ZKHWUZWLIANJDW-YPMHNXCESA-N 1 2 321.446 1.239 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2csc(C(C)(C)C)n2)[C@@H](O)C1 ZINC001083862298 750630290 /nfs/dbraw/zinc/63/02/90/750630290.db2.gz ZKHWUZWLIANJDW-YPMHNXCESA-N 1 2 321.446 1.239 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)CCc1[nH+]ccn1C ZINC001077791593 750665598 /nfs/dbraw/zinc/66/55/98/750665598.db2.gz QANLKPZVKNYCBR-AWEZNQCLSA-N 1 2 318.421 1.023 20 30 DDEDLO CCCCOCC[N@@H+]1CCO[C@@](C)(CNC(=O)C#CC2CC2)C1 ZINC001107934801 750670065 /nfs/dbraw/zinc/67/00/65/750670065.db2.gz MAJIVOVBZWIOTI-SFHVURJKSA-N 1 2 322.449 1.424 20 30 DDEDLO CCCCOCC[N@H+]1CCO[C@@](C)(CNC(=O)C#CC2CC2)C1 ZINC001107934801 750670066 /nfs/dbraw/zinc/67/00/66/750670066.db2.gz MAJIVOVBZWIOTI-SFHVURJKSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccn(CC)c2C)C1 ZINC001108154648 750849785 /nfs/dbraw/zinc/84/97/85/750849785.db2.gz COYVLTUQMGSVFO-GOSISDBHSA-N 1 2 317.433 1.660 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccn(CC)c2C)C1 ZINC001108154648 750849792 /nfs/dbraw/zinc/84/97/92/750849792.db2.gz COYVLTUQMGSVFO-GOSISDBHSA-N 1 2 317.433 1.660 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001107953810 750864778 /nfs/dbraw/zinc/86/47/78/750864778.db2.gz VQAMGCUDJANDDO-LLVKDONJSA-N 1 2 316.409 1.882 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccsc1COC ZINC001032477665 750969827 /nfs/dbraw/zinc/96/98/27/750969827.db2.gz PGHROWFPSVGDDW-STQMWFEESA-N 1 2 304.415 1.817 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccsc1COC ZINC001032477665 750969832 /nfs/dbraw/zinc/96/98/32/750969832.db2.gz PGHROWFPSVGDDW-STQMWFEESA-N 1 2 304.415 1.817 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnon3)C[C@H]21 ZINC001114686950 750989064 /nfs/dbraw/zinc/98/90/64/750989064.db2.gz UXSYHWJLTUADQV-FOLVSLTJSA-N 1 2 302.378 1.200 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnon3)C[C@H]21 ZINC001114686950 750989066 /nfs/dbraw/zinc/98/90/66/750989066.db2.gz UXSYHWJLTUADQV-FOLVSLTJSA-N 1 2 302.378 1.200 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001114692208 750994828 /nfs/dbraw/zinc/99/48/28/750994828.db2.gz CQRJFHHJYYUAIY-HALDLXJZSA-N 1 2 316.405 1.508 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001114692208 750994831 /nfs/dbraw/zinc/99/48/31/750994831.db2.gz CQRJFHHJYYUAIY-HALDLXJZSA-N 1 2 316.405 1.508 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114718833 751020450 /nfs/dbraw/zinc/02/04/50/751020450.db2.gz VUHABBSUPLGHEO-YYWXWVFPSA-N 1 2 316.405 1.679 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114718833 751020455 /nfs/dbraw/zinc/02/04/55/751020455.db2.gz VUHABBSUPLGHEO-YYWXWVFPSA-N 1 2 316.405 1.679 20 30 DDEDLO CC#CC[N@H+]1CC=C(CNC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001000685677 762090493 /nfs/dbraw/zinc/09/04/93/762090493.db2.gz LAKRFCFVYUCYOF-HNNXBMFYSA-N 1 2 312.417 1.601 20 30 DDEDLO CC#CC[N@@H+]1CC=C(CNC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001000685677 762090502 /nfs/dbraw/zinc/09/05/02/762090502.db2.gz LAKRFCFVYUCYOF-HNNXBMFYSA-N 1 2 312.417 1.601 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114756829 751060659 /nfs/dbraw/zinc/06/06/59/751060659.db2.gz AELDQLHQGGSKKN-MUYACECFSA-N 1 2 303.410 1.577 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114756829 751060666 /nfs/dbraw/zinc/06/06/66/751060666.db2.gz AELDQLHQGGSKKN-MUYACECFSA-N 1 2 303.410 1.577 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nc(C)c2)C1 ZINC001107971918 751283707 /nfs/dbraw/zinc/28/37/07/751283707.db2.gz CCDWXZMOSAIBCM-GOSISDBHSA-N 1 2 315.417 1.542 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nc(C)c2)C1 ZINC001107971918 751283712 /nfs/dbraw/zinc/28/37/12/751283712.db2.gz CCDWXZMOSAIBCM-GOSISDBHSA-N 1 2 315.417 1.542 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H](C)c2cncnc2)C1 ZINC001008277829 752649286 /nfs/dbraw/zinc/64/92/86/752649286.db2.gz BJOKXCFWEMDCLK-TZMCWYRMSA-N 1 2 308.813 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)c2cncnc2)C1 ZINC001008277829 752649294 /nfs/dbraw/zinc/64/92/94/752649294.db2.gz BJOKXCFWEMDCLK-TZMCWYRMSA-N 1 2 308.813 1.913 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cnon2)c1 ZINC001032692912 752748083 /nfs/dbraw/zinc/74/80/83/752748083.db2.gz FIXZPPNWZSRQEP-KBPBESRZSA-N 1 2 309.329 1.040 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cnon2)c1 ZINC001032692912 752748086 /nfs/dbraw/zinc/74/80/86/752748086.db2.gz FIXZPPNWZSRQEP-KBPBESRZSA-N 1 2 309.329 1.040 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001062364083 752906551 /nfs/dbraw/zinc/90/65/51/752906551.db2.gz GFSVQZFSLQTAOX-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc2ccccn2c1F ZINC001032715437 752916326 /nfs/dbraw/zinc/91/63/26/752916326.db2.gz BBYFPJWSELTAMG-STQMWFEESA-N 1 2 312.348 1.395 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc2ccccn2c1F ZINC001032715437 752916330 /nfs/dbraw/zinc/91/63/30/752916330.db2.gz BBYFPJWSELTAMG-STQMWFEESA-N 1 2 312.348 1.395 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@@H]2CCC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001008812635 752929450 /nfs/dbraw/zinc/92/94/50/752929450.db2.gz AQPHTFJLCPDGPB-MRXNPFEDSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001008812635 752929456 /nfs/dbraw/zinc/92/94/56/752929456.db2.gz AQPHTFJLCPDGPB-MRXNPFEDSA-N 1 2 323.400 1.984 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001008904443 752972345 /nfs/dbraw/zinc/97/23/45/752972345.db2.gz FBWWBYXEVBZNGF-GFCCVEGCSA-N 1 2 324.812 1.210 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001008904443 752972348 /nfs/dbraw/zinc/97/23/48/752972348.db2.gz FBWWBYXEVBZNGF-GFCCVEGCSA-N 1 2 324.812 1.210 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nn(CCC)c1C ZINC001032719989 753146304 /nfs/dbraw/zinc/14/63/04/753146304.db2.gz GDCUYAYJTKYPQJ-GJZGRUSLSA-N 1 2 300.406 1.442 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nn(CCC)c1C ZINC001032719989 753146308 /nfs/dbraw/zinc/14/63/08/753146308.db2.gz GDCUYAYJTKYPQJ-GJZGRUSLSA-N 1 2 300.406 1.442 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2sc(COC)nc2C)C1 ZINC001009366435 753169923 /nfs/dbraw/zinc/16/99/23/753169923.db2.gz SVXLHGUQFIXMOS-CYBMUJFWSA-N 1 2 321.446 1.815 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc(COC)nc2C)C1 ZINC001009366435 753169925 /nfs/dbraw/zinc/16/99/25/753169925.db2.gz SVXLHGUQFIXMOS-CYBMUJFWSA-N 1 2 321.446 1.815 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC000999895340 753209307 /nfs/dbraw/zinc/20/93/07/753209307.db2.gz YOADKYKILRXVGT-LBPRGKRZSA-N 1 2 306.410 1.925 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001108168956 753301586 /nfs/dbraw/zinc/30/15/86/753301586.db2.gz IZPYWMNWUDNONN-SJLPKXTDSA-N 1 2 300.402 1.719 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001108168956 753301588 /nfs/dbraw/zinc/30/15/88/753301588.db2.gz IZPYWMNWUDNONN-SJLPKXTDSA-N 1 2 300.402 1.719 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H](NC(=O)C(C)(F)F)C2)cc1C#N ZINC001009846354 753406715 /nfs/dbraw/zinc/40/67/15/753406715.db2.gz BWVKVYQULCYWQL-ZDUSSCGKSA-N 1 2 323.343 1.913 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H](NC(=O)C(C)(F)F)C2)cc1C#N ZINC001009846354 753406718 /nfs/dbraw/zinc/40/67/18/753406718.db2.gz BWVKVYQULCYWQL-ZDUSSCGKSA-N 1 2 323.343 1.913 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2ccccn2)C1 ZINC001009892774 753438371 /nfs/dbraw/zinc/43/83/71/753438371.db2.gz VUFLEIXMZZDYJQ-INIZCTEOSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2ccccn2)C1 ZINC001009892774 753438376 /nfs/dbraw/zinc/43/83/76/753438376.db2.gz VUFLEIXMZZDYJQ-INIZCTEOSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1ccc(NCC2(CCNC(=O)Cc3[nH]cc[nH+]3)CC2)nc1 ZINC001089957044 753543968 /nfs/dbraw/zinc/54/39/68/753543968.db2.gz UYNKNMMLJBJSCZ-UHFFFAOYSA-N 1 2 324.388 1.617 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccccc2)C1 ZINC001108031807 753782236 /nfs/dbraw/zinc/78/22/36/753782236.db2.gz HBWMGQSVPPZEKZ-GOSISDBHSA-N 1 2 300.402 1.460 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccccc2)C1 ZINC001108031807 753782239 /nfs/dbraw/zinc/78/22/39/753782239.db2.gz HBWMGQSVPPZEKZ-GOSISDBHSA-N 1 2 300.402 1.460 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)nn1C ZINC001010342143 753784229 /nfs/dbraw/zinc/78/42/29/753784229.db2.gz PGKFOLXSESMMBO-KRWDZBQOSA-N 1 2 322.412 1.584 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)nn1C ZINC001010342143 753784232 /nfs/dbraw/zinc/78/42/32/753784232.db2.gz PGKFOLXSESMMBO-KRWDZBQOSA-N 1 2 322.412 1.584 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2nc(OC)c(Cl)c(C)c2C#N)C[NH2+]1 ZINC001169129328 762245978 /nfs/dbraw/zinc/24/59/78/762245978.db2.gz LDCACKMRYONLDQ-SCZZXKLOSA-N 1 2 324.768 1.239 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001062960508 753871030 /nfs/dbraw/zinc/87/10/30/753871030.db2.gz WPVUBFFSJPSAFJ-AWEZNQCLSA-N 1 2 324.388 1.265 20 30 DDEDLO CCn1ccnc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010807905 754177471 /nfs/dbraw/zinc/17/74/71/754177471.db2.gz VKOCVYOLHYVUBO-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1ccnc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010807905 754177477 /nfs/dbraw/zinc/17/74/77/754177477.db2.gz VKOCVYOLHYVUBO-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@@H]1CNc1ncccc1C#N ZINC001063499621 754194862 /nfs/dbraw/zinc/19/48/62/754194862.db2.gz COEDAWUMFGMLPM-CQSZACIVSA-N 1 2 324.388 1.332 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)n(C(C)C)n1 ZINC001032823156 754532191 /nfs/dbraw/zinc/53/21/91/754532191.db2.gz MXYHGLVFCLOWNV-GJZGRUSLSA-N 1 2 300.406 1.694 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)n(C(C)C)n1 ZINC001032823156 754532194 /nfs/dbraw/zinc/53/21/94/754532194.db2.gz MXYHGLVFCLOWNV-GJZGRUSLSA-N 1 2 300.406 1.694 20 30 DDEDLO Cc1cc(NC[C@@H]2CCCN2C(=O)Cc2[nH]cc[nH+]2)c(C#N)cn1 ZINC001064945121 754972725 /nfs/dbraw/zinc/97/27/25/754972725.db2.gz QUVFEGKCBAEGER-AWEZNQCLSA-N 1 2 324.388 1.052 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2onc(C)c2C)[C@@H](O)C1 ZINC001090349305 755006890 /nfs/dbraw/zinc/00/68/90/755006890.db2.gz KPRWHIDMWGTSIF-NEPJUHHUSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2onc(C)c2C)[C@@H](O)C1 ZINC001090349305 755006895 /nfs/dbraw/zinc/00/68/95/755006895.db2.gz KPRWHIDMWGTSIF-NEPJUHHUSA-N 1 2 313.785 1.209 20 30 DDEDLO CC(=O)N1CC[NH+]([C@@H]2CCN(c3nc(C)ccc3C#N)C2)CC1 ZINC001065184764 755113879 /nfs/dbraw/zinc/11/38/79/755113879.db2.gz LYHICVQQAKOAMN-MRXNPFEDSA-N 1 2 313.405 1.005 20 30 DDEDLO C=C(C)CCC(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001079879788 755618337 /nfs/dbraw/zinc/61/83/37/755618337.db2.gz INDPCVVTEZGQSN-UHFFFAOYSA-N 1 2 304.394 1.299 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc3n[nH]nc32)C1 ZINC001014525212 755778295 /nfs/dbraw/zinc/77/82/95/755778295.db2.gz BDVIBJRCRJFVSR-JTQLQIEISA-N 1 2 305.769 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc3n[nH]nc32)C1 ZINC001014525212 755778299 /nfs/dbraw/zinc/77/82/99/755778299.db2.gz BDVIBJRCRJFVSR-JTQLQIEISA-N 1 2 305.769 1.515 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2nccs2)C[C@H]1C ZINC001080471301 755931632 /nfs/dbraw/zinc/93/16/32/755931632.db2.gz ZQGNMWHXZSOGLR-SYQHCUMBSA-N 1 2 321.446 1.671 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2nccs2)C[C@H]1C ZINC001080471301 755931634 /nfs/dbraw/zinc/93/16/34/755931634.db2.gz ZQGNMWHXZSOGLR-SYQHCUMBSA-N 1 2 321.446 1.671 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080631761 756013852 /nfs/dbraw/zinc/01/38/52/756013852.db2.gz FUEXJWLMNUKZBP-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001080847638 756121193 /nfs/dbraw/zinc/12/11/93/756121193.db2.gz GBRSVSKBDAEMRN-DJSGYFEHSA-N 1 2 319.836 1.985 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001080847638 756121196 /nfs/dbraw/zinc/12/11/96/756121196.db2.gz GBRSVSKBDAEMRN-DJSGYFEHSA-N 1 2 319.836 1.985 20 30 DDEDLO Cc1nocc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015644497 756433700 /nfs/dbraw/zinc/43/37/00/756433700.db2.gz SDIHLCVBMSRHQI-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1nocc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015644497 756433702 /nfs/dbraw/zinc/43/37/02/756433702.db2.gz SDIHLCVBMSRHQI-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(C)cn2)[C@H](OC)C1 ZINC001081972828 756572149 /nfs/dbraw/zinc/57/21/49/756572149.db2.gz PUAKEXVBWKWBCL-HZPDHXFCSA-N 1 2 301.390 1.151 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(C)cn2)[C@H](OC)C1 ZINC001081972828 756572155 /nfs/dbraw/zinc/57/21/55/756572155.db2.gz PUAKEXVBWKWBCL-HZPDHXFCSA-N 1 2 301.390 1.151 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C3CC3)on2)[C@H](OC)C1 ZINC001081997854 756581233 /nfs/dbraw/zinc/58/12/33/756581233.db2.gz USBQDJLVAZZYEB-UKRRQHHQSA-N 1 2 303.362 1.004 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C3CC3)on2)[C@H](OC)C1 ZINC001081997854 756581235 /nfs/dbraw/zinc/58/12/35/756581235.db2.gz USBQDJLVAZZYEB-UKRRQHHQSA-N 1 2 303.362 1.004 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3ccncn3)C2)s1 ZINC001015876066 756609617 /nfs/dbraw/zinc/60/96/17/756609617.db2.gz BIXJJMYXBHPTEU-NSHDSACASA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3ccncn3)C2)s1 ZINC001015876066 756609621 /nfs/dbraw/zinc/60/96/21/756609621.db2.gz BIXJJMYXBHPTEU-NSHDSACASA-N 1 2 313.386 1.414 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)[C@H]1C[C@@H]1C ZINC001082032840 756612023 /nfs/dbraw/zinc/61/20/23/756612023.db2.gz GNKRFBQJSAFWFP-KKBVYLPWSA-N 1 2 313.401 1.530 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)[C@H]1C[C@@H]1C ZINC001082032840 756612028 /nfs/dbraw/zinc/61/20/28/756612028.db2.gz GNKRFBQJSAFWFP-KKBVYLPWSA-N 1 2 313.401 1.530 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ocnc2C2CC2)[C@H](OC)C1 ZINC001082132435 756648440 /nfs/dbraw/zinc/64/84/40/756648440.db2.gz BDOFSVOIOIOXRU-CHWSQXEVSA-N 1 2 303.362 1.004 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ocnc2C2CC2)[C@H](OC)C1 ZINC001082132435 756648444 /nfs/dbraw/zinc/64/84/44/756648444.db2.gz BDOFSVOIOIOXRU-CHWSQXEVSA-N 1 2 303.362 1.004 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001015938634 756664178 /nfs/dbraw/zinc/66/41/78/756664178.db2.gz UJOOHXJTJPWJKP-HNNXBMFYSA-N 1 2 311.389 1.852 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001015938634 756664181 /nfs/dbraw/zinc/66/41/81/756664181.db2.gz UJOOHXJTJPWJKP-HNNXBMFYSA-N 1 2 311.389 1.852 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2oc(C3CC3)nc2C)[C@H](OC)C1 ZINC001082216013 756688161 /nfs/dbraw/zinc/68/81/61/756688161.db2.gz MMCKKCSNENDBQB-ZIAGYGMSSA-N 1 2 317.389 1.313 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2oc(C3CC3)nc2C)[C@H](OC)C1 ZINC001082216013 756688164 /nfs/dbraw/zinc/68/81/64/756688164.db2.gz MMCKKCSNENDBQB-ZIAGYGMSSA-N 1 2 317.389 1.313 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(OC)cc2Cl)[C@H](OC)C1 ZINC001082248713 756702088 /nfs/dbraw/zinc/70/20/88/756702088.db2.gz ZLQIYBYKZORZSG-HUUCEWRRSA-N 1 2 322.792 1.411 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(OC)cc2Cl)[C@H](OC)C1 ZINC001082248713 756702091 /nfs/dbraw/zinc/70/20/91/756702091.db2.gz ZLQIYBYKZORZSG-HUUCEWRRSA-N 1 2 322.792 1.411 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099738931 756730576 /nfs/dbraw/zinc/73/05/76/756730576.db2.gz USCIRFUJDIVUQO-MNOVXSKESA-N 1 2 323.220 1.059 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099738931 756730580 /nfs/dbraw/zinc/73/05/80/756730580.db2.gz USCIRFUJDIVUQO-MNOVXSKESA-N 1 2 323.220 1.059 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)cc2OC)[C@H](OC)C1 ZINC001082334825 756735668 /nfs/dbraw/zinc/73/56/68/756735668.db2.gz INKCEJPUESSPOO-GDBMZVCRSA-N 1 2 302.374 1.066 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)cc2OC)[C@H](OC)C1 ZINC001082334825 756735670 /nfs/dbraw/zinc/73/56/70/756735670.db2.gz INKCEJPUESSPOO-GDBMZVCRSA-N 1 2 302.374 1.066 20 30 DDEDLO Cn1ccc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001016253008 756871842 /nfs/dbraw/zinc/87/18/42/756871842.db2.gz KJBCAFKQMDNFNY-MRXNPFEDSA-N 1 2 308.385 1.276 20 30 DDEDLO Cn1ccc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001016253008 756871850 /nfs/dbraw/zinc/87/18/50/756871850.db2.gz KJBCAFKQMDNFNY-MRXNPFEDSA-N 1 2 308.385 1.276 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001097205386 756975142 /nfs/dbraw/zinc/97/51/42/756975142.db2.gz RCGVBTAGDDWURT-OKILXGFUSA-N 1 2 324.388 1.379 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CCC(C)C)CC2=O)C1 ZINC001108506981 762511980 /nfs/dbraw/zinc/51/19/80/762511980.db2.gz FJMRUOLOARPDOH-CQSZACIVSA-N 1 2 307.438 1.400 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001097266664 757034732 /nfs/dbraw/zinc/03/47/32/757034732.db2.gz XVMOLBAGRITOHX-GASCZTMLSA-N 1 2 324.388 1.379 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001097307494 757069675 /nfs/dbraw/zinc/06/96/75/757069675.db2.gz IIIMJYSWMUMVSC-CQSZACIVSA-N 1 2 324.388 1.183 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CC#N)c[nH+]1 ZINC001097319924 757089823 /nfs/dbraw/zinc/08/98/23/757089823.db2.gz QLEWBJYDWKQEOX-MCIONIFRSA-N 1 2 301.394 1.172 20 30 DDEDLO CC#CC[NH+]1CCC2(C[C@H]2NC(=O)c2[nH]nc(C)c2C)CC1 ZINC001016545233 757149848 /nfs/dbraw/zinc/14/98/48/757149848.db2.gz CINQVZCWAZQQBY-CQSZACIVSA-N 1 2 300.406 1.634 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(=O)c3ccccc3[nH]1)C2 ZINC001097471407 757193881 /nfs/dbraw/zinc/19/38/81/757193881.db2.gz YJMPHVGZJPRLQU-VMGRFDJRSA-N 1 2 321.380 1.496 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(=O)c3ccccc3[nH]1)C2 ZINC001097471407 757193888 /nfs/dbraw/zinc/19/38/88/757193888.db2.gz YJMPHVGZJPRLQU-VMGRFDJRSA-N 1 2 321.380 1.496 20 30 DDEDLO O=C(c1cn[nH]c1)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084206780 757396230 /nfs/dbraw/zinc/39/62/30/757396230.db2.gz TZIWEOKYOWYQFH-SJLPKXTDSA-N 1 2 320.396 1.608 20 30 DDEDLO O=C(c1cn[nH]c1)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084206780 757396237 /nfs/dbraw/zinc/39/62/37/757396237.db2.gz TZIWEOKYOWYQFH-SJLPKXTDSA-N 1 2 320.396 1.608 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)Nc1nc(C)[nH+]c2c1CCCC2 ZINC001097719404 757413301 /nfs/dbraw/zinc/41/33/01/757413301.db2.gz LNFFYFGMLUYFBA-GFCCVEGCSA-N 1 2 318.421 1.783 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3ccn(C)n3)[C@@H]2C1 ZINC001084337362 757497813 /nfs/dbraw/zinc/49/78/13/757497813.db2.gz BBBRFFXJIHDWAL-TZMCWYRMSA-N 1 2 308.813 1.248 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3ccn(C)n3)[C@@H]2C1 ZINC001084337362 757497817 /nfs/dbraw/zinc/49/78/17/757497817.db2.gz BBBRFFXJIHDWAL-TZMCWYRMSA-N 1 2 308.813 1.248 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)CNC(=O)CCc2[nH]cc[nH+]2)n1 ZINC001097791794 757525119 /nfs/dbraw/zinc/52/51/19/757525119.db2.gz GGSHZVRHQBFZIE-GFCCVEGCSA-N 1 2 312.377 1.534 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3snnc3CC)[C@@H]2C1 ZINC001084495912 757629441 /nfs/dbraw/zinc/62/94/41/757629441.db2.gz NXIOWHROEJNMMG-DGCLKSJQSA-N 1 2 304.419 1.270 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3snnc3CC)[C@@H]2C1 ZINC001084495912 757629447 /nfs/dbraw/zinc/62/94/47/757629447.db2.gz NXIOWHROEJNMMG-DGCLKSJQSA-N 1 2 304.419 1.270 20 30 DDEDLO COc1cc(CCC#N)c(NC[C@H]2COCC[N@@H+]2C)cc1OC ZINC001169654379 762575785 /nfs/dbraw/zinc/57/57/85/762575785.db2.gz QPXACQGBJJKSPU-AWEZNQCLSA-N 1 2 319.405 1.902 20 30 DDEDLO COc1cc(CCC#N)c(NC[C@H]2COCC[N@H+]2C)cc1OC ZINC001169654379 762575791 /nfs/dbraw/zinc/57/57/91/762575791.db2.gz QPXACQGBJJKSPU-AWEZNQCLSA-N 1 2 319.405 1.902 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cccnc2n1 ZINC001017571210 758040888 /nfs/dbraw/zinc/04/08/88/758040888.db2.gz RGEVAVFQEXMYOC-GASCZTMLSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cccnc2n1 ZINC001017571210 758040897 /nfs/dbraw/zinc/04/08/97/758040897.db2.gz RGEVAVFQEXMYOC-GASCZTMLSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)nn1CC ZINC001017704507 758168353 /nfs/dbraw/zinc/16/83/53/758168353.db2.gz ITSAQHZEUKWDLD-GASCZTMLSA-N 1 2 314.433 1.948 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)nn1CC ZINC001017704507 758168363 /nfs/dbraw/zinc/16/83/63/758168363.db2.gz ITSAQHZEUKWDLD-GASCZTMLSA-N 1 2 314.433 1.948 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]12CCO[C@@H]1CCCC2 ZINC001017726346 758186259 /nfs/dbraw/zinc/18/62/59/758186259.db2.gz JUSNHQRCWVPHSY-KYHPRHEASA-N 1 2 302.418 1.644 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]12CCO[C@@H]1CCCC2 ZINC001017726346 758186261 /nfs/dbraw/zinc/18/62/61/758186261.db2.gz JUSNHQRCWVPHSY-KYHPRHEASA-N 1 2 302.418 1.644 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)F)nn1C ZINC001017731846 758190583 /nfs/dbraw/zinc/19/05/83/758190583.db2.gz KGDKFVRLJDMZOZ-TXEJJXNPSA-N 1 2 322.359 1.670 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)F)nn1C ZINC001017731846 758190584 /nfs/dbraw/zinc/19/05/84/758190584.db2.gz KGDKFVRLJDMZOZ-TXEJJXNPSA-N 1 2 322.359 1.670 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1cc(C)no1)CCO2 ZINC001053152573 758208603 /nfs/dbraw/zinc/20/86/03/758208603.db2.gz AJOVWIXYYRAVAS-UHFFFAOYSA-N 1 2 305.378 1.476 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(C)nc1)CCO2 ZINC001053159927 758214549 /nfs/dbraw/zinc/21/45/49/758214549.db2.gz CLPDLJOZAFYWMT-UHFFFAOYSA-N 1 2 301.390 1.493 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cnc2ccc(C)cc21 ZINC001017845859 758292724 /nfs/dbraw/zinc/29/27/24/758292724.db2.gz HBXQEQCXVKDKDP-IYBDPMFKSA-N 1 2 322.412 1.653 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cnc2ccc(C)cc21 ZINC001017845859 758292737 /nfs/dbraw/zinc/29/27/37/758292737.db2.gz HBXQEQCXVKDKDP-IYBDPMFKSA-N 1 2 322.412 1.653 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1CCC[C@@H](C)C1 ZINC001018034552 758493111 /nfs/dbraw/zinc/49/31/11/758493111.db2.gz NRXLOCUQSKPISS-KFWWJZLASA-N 1 2 305.422 1.106 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1CCC[C@@H](C)C1 ZINC001018034552 758493116 /nfs/dbraw/zinc/49/31/16/758493116.db2.gz NRXLOCUQSKPISS-KFWWJZLASA-N 1 2 305.422 1.106 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1oncc1C)CCO2 ZINC001053492796 758499917 /nfs/dbraw/zinc/49/99/17/758499917.db2.gz YAKBACZXMWAKGO-UHFFFAOYSA-N 1 2 305.378 1.476 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1nc(C)c(C)s1)CCO2 ZINC001053535812 758540530 /nfs/dbraw/zinc/54/05/30/758540530.db2.gz AOCRICWFBOPGGE-UHFFFAOYSA-N 1 2 321.446 1.863 20 30 DDEDLO N#Cc1cccc(NC(=O)N[C@@H]2CCC[N@@H+](C3COC3)C2)c1 ZINC001202789894 758582395 /nfs/dbraw/zinc/58/23/95/758582395.db2.gz DJXYOJDNXOOXRF-CQSZACIVSA-N 1 2 300.362 1.543 20 30 DDEDLO N#Cc1cccc(NC(=O)N[C@@H]2CCC[N@H+](C3COC3)C2)c1 ZINC001202789894 758582397 /nfs/dbraw/zinc/58/23/97/758582397.db2.gz DJXYOJDNXOOXRF-CQSZACIVSA-N 1 2 300.362 1.543 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCC1(F)F)O2 ZINC001053615667 758634213 /nfs/dbraw/zinc/63/42/13/758634213.db2.gz RCGNYVYOCYZZNA-RYUDHWBXSA-N 1 2 300.349 1.567 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(OC)cc1OC ZINC001018212735 758637408 /nfs/dbraw/zinc/63/74/08/758637408.db2.gz HFVCADGRDAPZGJ-OKILXGFUSA-N 1 2 314.385 1.626 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(OC)cc1OC ZINC001018212735 758637409 /nfs/dbraw/zinc/63/74/09/758637409.db2.gz HFVCADGRDAPZGJ-OKILXGFUSA-N 1 2 314.385 1.626 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1csc(=O)[nH]1)O2 ZINC001053642601 758656100 /nfs/dbraw/zinc/65/61/00/758656100.db2.gz FBYNEZSXZJSUAS-NSHDSACASA-N 1 2 323.418 1.388 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccccc1OC ZINC001018263546 758678201 /nfs/dbraw/zinc/67/82/01/758678201.db2.gz KXXOLSUEHZVJOI-GASCZTMLSA-N 1 2 314.385 1.382 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccccc1OC ZINC001018263546 758678206 /nfs/dbraw/zinc/67/82/06/758678206.db2.gz KXXOLSUEHZVJOI-GASCZTMLSA-N 1 2 314.385 1.382 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1coc(CCC)n1)O2 ZINC001053685902 758695752 /nfs/dbraw/zinc/69/57/52/758695752.db2.gz BBWYBLZQLGWRDN-CYBMUJFWSA-N 1 2 319.405 1.776 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cn(CC)cn1)O2 ZINC001053686524 758696291 /nfs/dbraw/zinc/69/62/91/758696291.db2.gz RPOVIFJRSYSEBT-AWEZNQCLSA-N 1 2 318.421 1.442 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1cccs1)CO2 ZINC001053723424 758740136 /nfs/dbraw/zinc/74/01/36/758740136.db2.gz LEPHWPLVKAKIEK-ZDUSSCGKSA-N 1 2 306.431 1.826 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnc(C3CC3)o1)CO2 ZINC001053831765 758861986 /nfs/dbraw/zinc/86/19/86/758861986.db2.gz PAHGXUZCJXDRRI-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cn(C)nc1CC)CO2 ZINC001053842149 758871715 /nfs/dbraw/zinc/87/17/15/758871715.db2.gz DNCGLCLEHBIKQB-CYBMUJFWSA-N 1 2 318.421 1.132 20 30 DDEDLO C[C@@H](CNC(=O)CCCn1cc[nH+]c1)Nc1ncccc1C#N ZINC001097912192 758913549 /nfs/dbraw/zinc/91/35/49/758913549.db2.gz VDNRPZMSJROJNL-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC[C@@H]3C[C@@H]3CC1)CO2 ZINC001053879316 758913631 /nfs/dbraw/zinc/91/36/31/758913631.db2.gz NLPSVQNHRUIDPM-WCXIOVBPSA-N 1 2 316.445 1.796 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)c1cccs1)CO2 ZINC001053922932 758957914 /nfs/dbraw/zinc/95/79/14/758957914.db2.gz KTVNERFILLNIMK-ZIAGYGMSSA-N 1 2 318.442 1.834 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)[nH]c1=O)CO2 ZINC001053940039 758976412 /nfs/dbraw/zinc/97/64/12/758976412.db2.gz VCPWZSDDUZONEJ-CYBMUJFWSA-N 1 2 317.389 1.245 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CCO[C@H]1C(=C)C)CO2 ZINC001053953732 758990597 /nfs/dbraw/zinc/99/05/97/758990597.db2.gz YVIKQLHYLSHBCL-JYJNAYRXSA-N 1 2 320.433 1.503 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C)CC(F)(F)C1)CO2 ZINC001053979011 759017910 /nfs/dbraw/zinc/01/79/10/759017910.db2.gz FAGPANSJKINGHJ-GFCCVEGCSA-N 1 2 312.360 1.405 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)n(C)c1C)CO2 ZINC001054003964 759050663 /nfs/dbraw/zinc/05/06/63/759050663.db2.gz DAMNTGCYVFVDKP-OAHLLOKOSA-N 1 2 317.433 1.791 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cn(C)cn1 ZINC001054037608 759095298 /nfs/dbraw/zinc/09/52/98/759095298.db2.gz KSESGRKWUXZZOT-MRXNPFEDSA-N 1 2 308.385 1.210 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cn(C)cn1 ZINC001054037608 759095305 /nfs/dbraw/zinc/09/53/05/759095305.db2.gz KSESGRKWUXZZOT-MRXNPFEDSA-N 1 2 308.385 1.210 20 30 DDEDLO C=C(C)C[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cn[nH]n1 ZINC001054045057 759101966 /nfs/dbraw/zinc/10/19/66/759101966.db2.gz KFQGJLXZBIPEAX-HNNXBMFYSA-N 1 2 311.389 1.538 20 30 DDEDLO C=C(C)C[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cn[nH]n1 ZINC001054045057 759101971 /nfs/dbraw/zinc/10/19/71/759101971.db2.gz KFQGJLXZBIPEAX-HNNXBMFYSA-N 1 2 311.389 1.538 20 30 DDEDLO C=C(C)C[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn[nH]1 ZINC001054045057 759101976 /nfs/dbraw/zinc/10/19/76/759101976.db2.gz KFQGJLXZBIPEAX-HNNXBMFYSA-N 1 2 311.389 1.538 20 30 DDEDLO C=C(C)C[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn[nH]1 ZINC001054045057 759101982 /nfs/dbraw/zinc/10/19/82/759101982.db2.gz KFQGJLXZBIPEAX-HNNXBMFYSA-N 1 2 311.389 1.538 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C/C=C(\C)C=C)CC2=O)C1 ZINC001108563697 762690106 /nfs/dbraw/zinc/69/01/06/762690106.db2.gz SBNNOUMAZWIZQR-BLXFFLACSA-N 1 2 303.406 1.096 20 30 DDEDLO CC(C)c1cnc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC001018818096 759301270 /nfs/dbraw/zinc/30/12/70/759301270.db2.gz BCYIRMUPYJAOFY-AWEZNQCLSA-N 1 2 301.390 1.902 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](Nc2ccc(C#N)cn2)C[C@@H]1C ZINC001069129451 767864766 /nfs/dbraw/zinc/86/47/66/767864766.db2.gz JAGKIBZVKMJOJQ-FZMZJTMJSA-N 1 2 324.388 1.629 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cn(C(C)C)nn2)C1 ZINC001046737093 767868060 /nfs/dbraw/zinc/86/80/60/767868060.db2.gz OOKYMGRTDSCANK-AWEZNQCLSA-N 1 2 311.817 1.806 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cn(C(C)C)nn2)C1 ZINC001046737093 767868066 /nfs/dbraw/zinc/86/80/66/767868066.db2.gz OOKYMGRTDSCANK-AWEZNQCLSA-N 1 2 311.817 1.806 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cn(C)nn1 ZINC001085573548 759745635 /nfs/dbraw/zinc/74/56/35/759745635.db2.gz ZMTIHHUVFKCPJT-INIZCTEOSA-N 1 2 323.400 1.013 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cn(C)nn1 ZINC001085573548 759745643 /nfs/dbraw/zinc/74/56/43/759745643.db2.gz ZMTIHHUVFKCPJT-INIZCTEOSA-N 1 2 323.400 1.013 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cnnn1C ZINC001085577462 759757795 /nfs/dbraw/zinc/75/77/95/759757795.db2.gz MYSFCIVCBNATTH-INIZCTEOSA-N 1 2 323.400 1.013 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cnnn1C ZINC001085577462 759757801 /nfs/dbraw/zinc/75/78/01/759757801.db2.gz MYSFCIVCBNATTH-INIZCTEOSA-N 1 2 323.400 1.013 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C)nc1C(F)F ZINC001085645035 759924698 /nfs/dbraw/zinc/92/46/98/759924698.db2.gz GWAKLXMGWANIHQ-LLVKDONJSA-N 1 2 310.348 1.527 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C)nc1C(F)F ZINC001085645035 759924699 /nfs/dbraw/zinc/92/46/99/759924699.db2.gz GWAKLXMGWANIHQ-LLVKDONJSA-N 1 2 310.348 1.527 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H]2CN(C(=O)C#CC3CC3)C[C@@H]2C)n1 ZINC001054639590 759931495 /nfs/dbraw/zinc/93/14/95/759931495.db2.gz UKWQUQFTGLNQBX-JSGCOSHPSA-N 1 2 316.405 1.543 20 30 DDEDLO C#CC[N@H+]1CC[C@](C)(NC(=O)c2ccc3c(c2)occc3=O)C1 ZINC001046773691 767913930 /nfs/dbraw/zinc/91/39/30/767913930.db2.gz OPBSQZUHIYCPKN-SFHVURJKSA-N 1 2 310.353 1.620 20 30 DDEDLO C#CC[N@@H+]1CC[C@](C)(NC(=O)c2ccc3c(c2)occc3=O)C1 ZINC001046773691 767913932 /nfs/dbraw/zinc/91/39/32/767913932.db2.gz OPBSQZUHIYCPKN-SFHVURJKSA-N 1 2 310.353 1.620 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2[C@@H](CC)C(N)=O)cc1 ZINC001085757550 760178098 /nfs/dbraw/zinc/17/80/98/760178098.db2.gz KGPQMNPQWSNBBI-CVEARBPZSA-N 1 2 313.401 1.078 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@@H](CC)C(N)=O)cc1 ZINC001085757550 760178101 /nfs/dbraw/zinc/17/81/01/760178101.db2.gz KGPQMNPQWSNBBI-CVEARBPZSA-N 1 2 313.401 1.078 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H]([NH2+]Cc3nnc(C)o3)[C@@H](C)C2)cc1 ZINC001054962466 760236699 /nfs/dbraw/zinc/23/66/99/760236699.db2.gz SDPOZEKXYBZXIG-LRDDRELGSA-N 1 2 324.384 1.610 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncn(C(C)(C)C)n2)C1 ZINC001108213191 760382428 /nfs/dbraw/zinc/38/24/28/760382428.db2.gz MVUXRQCIGMHISQ-INIZCTEOSA-N 1 2 321.425 1.040 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncn(C(C)(C)C)n2)C1 ZINC001108213191 760382438 /nfs/dbraw/zinc/38/24/38/760382438.db2.gz MVUXRQCIGMHISQ-INIZCTEOSA-N 1 2 321.425 1.040 20 30 DDEDLO Cc1c[nH]c(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#Cc2ccccc2)n1 ZINC001085864972 760415441 /nfs/dbraw/zinc/41/54/41/760415441.db2.gz PKWFXNHDNFTBSK-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1c[nH]c(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#Cc2ccccc2)n1 ZINC001085864972 760415446 /nfs/dbraw/zinc/41/54/46/760415446.db2.gz PKWFXNHDNFTBSK-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO N#Cc1ccc(NCC[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001066328343 760416916 /nfs/dbraw/zinc/41/69/16/760416916.db2.gz AYVFKRNXAAVVFG-CQSZACIVSA-N 1 2 324.388 1.500 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(Cl)nc2ccccn21 ZINC001085960254 760614162 /nfs/dbraw/zinc/61/41/62/760614162.db2.gz ZFJYUOLBAZPSCF-GFCCVEGCSA-N 1 2 316.792 1.767 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(Cl)nc2ccccn21 ZINC001085960254 760614163 /nfs/dbraw/zinc/61/41/63/760614163.db2.gz ZFJYUOLBAZPSCF-GFCCVEGCSA-N 1 2 316.792 1.767 20 30 DDEDLO CC(C)(C(=O)N1CCN(c2ccncc2C#N)CC1)c1c[nH+]c[nH]1 ZINC001055861036 760779122 /nfs/dbraw/zinc/77/91/22/760779122.db2.gz GWSJKKAYVUGLIA-UHFFFAOYSA-N 1 2 324.388 1.303 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc3c(c2)CCO3)C1 ZINC001108236133 760797645 /nfs/dbraw/zinc/79/76/45/760797645.db2.gz CJVNJELAZZBQPH-SFHVURJKSA-N 1 2 316.401 1.628 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc3c(c2)CCO3)C1 ZINC001108236133 760797651 /nfs/dbraw/zinc/79/76/51/760797651.db2.gz CJVNJELAZZBQPH-SFHVURJKSA-N 1 2 316.401 1.628 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)c1 ZINC001038151738 760857477 /nfs/dbraw/zinc/85/74/77/760857477.db2.gz JGEDKHYZJJCCET-MRXNPFEDSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)c1 ZINC001038151738 760857485 /nfs/dbraw/zinc/85/74/85/760857485.db2.gz JGEDKHYZJJCCET-MRXNPFEDSA-N 1 2 319.368 1.762 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2Cc3ccccc3C2)C1 ZINC001108239125 760862003 /nfs/dbraw/zinc/86/20/03/760862003.db2.gz YKWMTFZOJWQCAN-IBGZPJMESA-N 1 2 314.429 1.795 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2Cc3ccccc3C2)C1 ZINC001108239125 760862012 /nfs/dbraw/zinc/86/20/12/760862012.db2.gz YKWMTFZOJWQCAN-IBGZPJMESA-N 1 2 314.429 1.795 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc(OC(C)C)cn1 ZINC001038244752 760942811 /nfs/dbraw/zinc/94/28/11/760942811.db2.gz BSFSQSNJRMBPCY-CYBMUJFWSA-N 1 2 302.378 1.091 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc(OC(C)C)cn1 ZINC001038244752 760942816 /nfs/dbraw/zinc/94/28/16/760942816.db2.gz BSFSQSNJRMBPCY-CYBMUJFWSA-N 1 2 302.378 1.091 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C2CCC2)cnn1C ZINC001038271552 760964000 /nfs/dbraw/zinc/96/40/00/760964000.db2.gz LKCLABKSIKSDNH-AWEZNQCLSA-N 1 2 300.406 1.515 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C2CCC2)cnn1C ZINC001038271552 760964004 /nfs/dbraw/zinc/96/40/04/760964004.db2.gz LKCLABKSIKSDNH-AWEZNQCLSA-N 1 2 300.406 1.515 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn2c(n1)CC[C@@H](C)C2 ZINC001038409302 761092412 /nfs/dbraw/zinc/09/24/12/761092412.db2.gz IICXGNNDGZPLTL-KGLIPLIRSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn2c(n1)CC[C@@H](C)C2 ZINC001038409302 761092419 /nfs/dbraw/zinc/09/24/19/761092419.db2.gz IICXGNNDGZPLTL-KGLIPLIRSA-N 1 2 300.406 1.293 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@H+]2CCC(F)(F)F)c1 ZINC001038685574 761286618 /nfs/dbraw/zinc/28/66/18/761286618.db2.gz VKZSSTUAANUYIG-ZDUSSCGKSA-N 1 2 311.307 1.819 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@@H+]2CCC(F)(F)F)c1 ZINC001038685574 761286622 /nfs/dbraw/zinc/28/66/22/761286622.db2.gz VKZSSTUAANUYIG-ZDUSSCGKSA-N 1 2 311.307 1.819 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1ncoc1-c1cccs1 ZINC001038818106 761434778 /nfs/dbraw/zinc/43/47/78/761434778.db2.gz MOCYVBYHXBVTTG-NSHDSACASA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1ncoc1-c1cccs1 ZINC001038818106 761434790 /nfs/dbraw/zinc/43/47/90/761434790.db2.gz MOCYVBYHXBVTTG-NSHDSACASA-N 1 2 301.371 1.840 20 30 DDEDLO Cc1cnoc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038871685 761495423 /nfs/dbraw/zinc/49/54/23/761495423.db2.gz HSUSZOQDSCCGEA-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cnoc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038871685 761495430 /nfs/dbraw/zinc/49/54/30/761495430.db2.gz HSUSZOQDSCCGEA-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccnc1OCC(C)C ZINC001038935536 761567165 /nfs/dbraw/zinc/56/71/65/761567165.db2.gz PFORXKBWKIQJPW-HNNXBMFYSA-N 1 2 315.417 1.944 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccnc1OCC(C)C ZINC001038935536 761567169 /nfs/dbraw/zinc/56/71/69/761567169.db2.gz PFORXKBWKIQJPW-HNNXBMFYSA-N 1 2 315.417 1.944 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001108272722 761577550 /nfs/dbraw/zinc/57/75/50/761577550.db2.gz OMIFZLQTIHUMGA-JZXOWHBKSA-N 1 2 304.434 1.653 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001108272722 761577558 /nfs/dbraw/zinc/57/75/58/761577558.db2.gz OMIFZLQTIHUMGA-JZXOWHBKSA-N 1 2 304.434 1.653 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H]([NH2+]Cc2csnn2)C1 ZINC001000230635 761588679 /nfs/dbraw/zinc/58/86/79/761588679.db2.gz BTTOWSLUOUNOKT-YUTCNCBUSA-N 1 2 322.434 1.210 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)OCCO2 ZINC001039010659 761644547 /nfs/dbraw/zinc/64/45/47/761644547.db2.gz JSXBVWNXJYIDFF-AWEZNQCLSA-N 1 2 300.358 1.285 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)OCCO2 ZINC001039010659 761644551 /nfs/dbraw/zinc/64/45/51/761644551.db2.gz JSXBVWNXJYIDFF-AWEZNQCLSA-N 1 2 300.358 1.285 20 30 DDEDLO C=CCCCCC[N@H+]1CCO[C@](C)(CNC(=O)c2nc[nH]n2)C1 ZINC001108287511 761782148 /nfs/dbraw/zinc/78/21/48/761782148.db2.gz VGBMNLIJSOYPAV-MRXNPFEDSA-N 1 2 321.425 1.372 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001066562440 761799427 /nfs/dbraw/zinc/79/94/27/761799427.db2.gz WQSXIDHIJDMATM-AWEZNQCLSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CCC(C)(C)C)CC2=O)C1 ZINC001108581539 762743386 /nfs/dbraw/zinc/74/33/86/762743386.db2.gz SAUBBEYYZCOASR-AWEZNQCLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@@H]2C[C@]23CCOC3)CC1 ZINC001001354694 762786740 /nfs/dbraw/zinc/78/67/40/762786740.db2.gz LTPHRIHQVCMQGL-HOCLYGCPSA-N 1 2 310.825 1.914 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@@H]2C[C@]23CCOC3)CC1 ZINC001001354694 762786742 /nfs/dbraw/zinc/78/67/42/762786742.db2.gz LTPHRIHQVCMQGL-HOCLYGCPSA-N 1 2 310.825 1.914 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H](C=C)CC)CC1 ZINC001131376605 768100955 /nfs/dbraw/zinc/10/09/55/768100955.db2.gz BLVRAPQINAPBMB-HNNXBMFYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H](C=C)CC)CC1 ZINC001131376605 768100958 /nfs/dbraw/zinc/10/09/58/768100958.db2.gz BLVRAPQINAPBMB-HNNXBMFYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CCCCC1)C2 ZINC001108878605 763060885 /nfs/dbraw/zinc/06/08/85/763060885.db2.gz HELMTGYGZBJZRV-PMPSAXMXSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CCCCC1)C2 ZINC001108878605 763060890 /nfs/dbraw/zinc/06/08/90/763060890.db2.gz HELMTGYGZBJZRV-PMPSAXMXSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CCCC2CC2)CC1 ZINC001131377007 768102469 /nfs/dbraw/zinc/10/24/69/768102469.db2.gz ORVZDYFMCDPLHE-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CCCC2CC2)CC1 ZINC001131377007 768102471 /nfs/dbraw/zinc/10/24/71/768102471.db2.gz ORVZDYFMCDPLHE-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CC[C@@H](C)CC)CC1 ZINC001131390892 768110159 /nfs/dbraw/zinc/11/01/59/768110159.db2.gz PLZYZCBHYRDKKS-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CC[C@@H](C)CC)CC1 ZINC001131390892 768110165 /nfs/dbraw/zinc/11/01/65/768110165.db2.gz PLZYZCBHYRDKKS-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccccc1 ZINC001109080560 763317421 /nfs/dbraw/zinc/31/74/21/763317421.db2.gz XYRARYWZKVKKHC-BGTYHANMSA-N 1 2 307.397 1.919 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccccc1 ZINC001109080560 763317428 /nfs/dbraw/zinc/31/74/28/763317428.db2.gz XYRARYWZKVKKHC-BGTYHANMSA-N 1 2 307.397 1.919 20 30 DDEDLO Cc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H](C)C#N)C3)sn1 ZINC001109088380 763320777 /nfs/dbraw/zinc/32/07/77/763320777.db2.gz MVSOGWRONAYBON-RCCPXBDUSA-N 1 2 304.419 1.833 20 30 DDEDLO Cc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H](C)C#N)C3)sn1 ZINC001109088380 763320789 /nfs/dbraw/zinc/32/07/89/763320789.db2.gz MVSOGWRONAYBON-RCCPXBDUSA-N 1 2 304.419 1.833 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)no1 ZINC001042058374 763601517 /nfs/dbraw/zinc/60/15/17/763601517.db2.gz QSODIHTVFHDRSH-NVXWUHKLSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)no1 ZINC001042058374 763601521 /nfs/dbraw/zinc/60/15/21/763601521.db2.gz QSODIHTVFHDRSH-NVXWUHKLSA-N 1 2 313.401 1.819 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CC[C@H]2CCN(CC#N)[C@H]2C1 ZINC001042110753 763662059 /nfs/dbraw/zinc/66/20/59/763662059.db2.gz BFEJYMBMGRACLN-HIFRSBDPSA-N 1 2 314.389 1.079 20 30 DDEDLO C=CCCC(=O)N(C)CCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001109414218 763679553 /nfs/dbraw/zinc/67/95/53/763679553.db2.gz GNSVYMYBWYBJLS-UHFFFAOYSA-N 1 2 306.414 1.473 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)CCc3cn[nH]n3)C[C@@H]21 ZINC001042241421 763806074 /nfs/dbraw/zinc/80/60/74/763806074.db2.gz XVRLNLNBVLFIBJ-OCCSQVGLSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)CCc3cn[nH]n3)C[C@@H]21 ZINC001042241421 763806079 /nfs/dbraw/zinc/80/60/79/763806079.db2.gz XVRLNLNBVLFIBJ-OCCSQVGLSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)CCc3c[nH]nn3)C[C@@H]21 ZINC001042241421 763806090 /nfs/dbraw/zinc/80/60/90/763806090.db2.gz XVRLNLNBVLFIBJ-OCCSQVGLSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)CCc3c[nH]nn3)C[C@@H]21 ZINC001042241421 763806099 /nfs/dbraw/zinc/80/60/99/763806099.db2.gz XVRLNLNBVLFIBJ-OCCSQVGLSA-N 1 2 323.828 1.413 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(C)n1 ZINC001109601987 763851171 /nfs/dbraw/zinc/85/11/71/763851171.db2.gz XCLUMZJUZRMORC-ILXRZTDVSA-N 1 2 302.422 1.854 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(C)n1 ZINC001109601987 763851178 /nfs/dbraw/zinc/85/11/78/763851178.db2.gz XCLUMZJUZRMORC-ILXRZTDVSA-N 1 2 302.422 1.854 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCc2n[nH]cc21 ZINC001050917752 764276356 /nfs/dbraw/zinc/27/63/56/764276356.db2.gz OIAFIXQIPFUOGK-KBPBESRZSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCc2n[nH]cc21 ZINC001050917752 764276366 /nfs/dbraw/zinc/27/63/66/764276366.db2.gz OIAFIXQIPFUOGK-KBPBESRZSA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc2n(n1)CCC2 ZINC001050947473 764326061 /nfs/dbraw/zinc/32/60/61/764326061.db2.gz XQYCDIOXFRPYMO-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc2n(n1)CCC2 ZINC001050947473 764326070 /nfs/dbraw/zinc/32/60/70/764326070.db2.gz XQYCDIOXFRPYMO-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)CN1CCOC[C@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001050980401 764378800 /nfs/dbraw/zinc/37/88/00/764378800.db2.gz TTWHILOQNACRSX-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C(C)(C)C)c1 ZINC001050991971 764390497 /nfs/dbraw/zinc/39/04/97/764390497.db2.gz AICHXXDZGWANGK-HNNXBMFYSA-N 1 2 320.437 1.645 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C(C)(C)C)c1 ZINC001050991971 764390505 /nfs/dbraw/zinc/39/05/05/764390505.db2.gz AICHXXDZGWANGK-HNNXBMFYSA-N 1 2 320.437 1.645 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc2nonc2c1 ZINC001051096280 764500866 /nfs/dbraw/zinc/50/08/66/764500866.db2.gz QXLSNPUSKREMAF-ZDUSSCGKSA-N 1 2 316.361 1.230 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc2nonc2c1 ZINC001051096280 764500871 /nfs/dbraw/zinc/50/08/71/764500871.db2.gz QXLSNPUSKREMAF-ZDUSSCGKSA-N 1 2 316.361 1.230 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc2ccccn2c1 ZINC001051144314 764547667 /nfs/dbraw/zinc/54/76/67/764547667.db2.gz BLKIBHPVUIFROA-QGZVFWFLSA-N 1 2 313.401 1.946 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc2ccccn2c1 ZINC001051144314 764547676 /nfs/dbraw/zinc/54/76/76/764547676.db2.gz BLKIBHPVUIFROA-QGZVFWFLSA-N 1 2 313.401 1.946 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CCCC[C@@H]2n2cccn2)C1 ZINC001042959690 764554268 /nfs/dbraw/zinc/55/42/68/764554268.db2.gz YGFAHIXOUPNDDJ-HOTGVXAUSA-N 1 2 302.422 1.943 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(OC)o1 ZINC001051177292 764581154 /nfs/dbraw/zinc/58/11/54/764581154.db2.gz FQZSTFBZJBMLKZ-ZDUSSCGKSA-N 1 2 308.378 1.685 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(OC)o1 ZINC001051177292 764581161 /nfs/dbraw/zinc/58/11/61/764581161.db2.gz FQZSTFBZJBMLKZ-ZDUSSCGKSA-N 1 2 308.378 1.685 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc2[nH]cnc21 ZINC001051183959 764590041 /nfs/dbraw/zinc/59/00/41/764590041.db2.gz XCNJZWXMUKRRJG-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc2[nH]cnc21 ZINC001051183959 764590049 /nfs/dbraw/zinc/59/00/49/764590049.db2.gz XCNJZWXMUKRRJG-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1C1CC1 ZINC001051193338 764603781 /nfs/dbraw/zinc/60/37/81/764603781.db2.gz YZKCDYBTJGWLGR-ZDUSSCGKSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1C1CC1 ZINC001051193338 764603785 /nfs/dbraw/zinc/60/37/85/764603785.db2.gz YZKCDYBTJGWLGR-ZDUSSCGKSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)[nH]nc1C(C)C ZINC001051203203 764615261 /nfs/dbraw/zinc/61/52/61/764615261.db2.gz OUEGWMKOHSOTRL-CQSZACIVSA-N 1 2 320.437 1.848 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)[nH]nc1C(C)C ZINC001051203203 764615269 /nfs/dbraw/zinc/61/52/69/764615269.db2.gz OUEGWMKOHSOTRL-CQSZACIVSA-N 1 2 320.437 1.848 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)n[nH]c1C(C)C ZINC001051203203 764615275 /nfs/dbraw/zinc/61/52/75/764615275.db2.gz OUEGWMKOHSOTRL-CQSZACIVSA-N 1 2 320.437 1.848 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)n[nH]c1C(C)C ZINC001051203203 764615279 /nfs/dbraw/zinc/61/52/79/764615279.db2.gz OUEGWMKOHSOTRL-CQSZACIVSA-N 1 2 320.437 1.848 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2cccc(OC)c2C)CC1 ZINC001112708363 764628144 /nfs/dbraw/zinc/62/81/44/764628144.db2.gz CCVCVKBQPMPJTP-UHFFFAOYSA-N 1 2 318.417 1.850 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(F)cc1F ZINC001051233728 764654969 /nfs/dbraw/zinc/65/49/69/764654969.db2.gz FMHALDOIQMYKRE-CYBMUJFWSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(F)cc1F ZINC001051233728 764654975 /nfs/dbraw/zinc/65/49/75/764654975.db2.gz FMHALDOIQMYKRE-CYBMUJFWSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112796403 764776590 /nfs/dbraw/zinc/77/65/90/764776590.db2.gz UQUKUXRXLQEEOB-UKRRQHHQSA-N 1 2 318.421 1.803 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2cnccc2C)CC1 ZINC001112801077 764789652 /nfs/dbraw/zinc/78/96/52/764789652.db2.gz DXTQYNHKPJIMBE-QGZVFWFLSA-N 1 2 303.406 1.361 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](C[C@H](O)c2ccc(F)cc2)CC1 ZINC001112809336 764792651 /nfs/dbraw/zinc/79/26/51/764792651.db2.gz GIEIIHDKGLSVBW-KRWDZBQOSA-N 1 2 318.392 1.807 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](CCc2ccns2)CC1 ZINC001112813986 764801142 /nfs/dbraw/zinc/80/11/42/764801142.db2.gz GDWFUJGAPPCVRW-OAHLLOKOSA-N 1 2 309.435 1.157 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051418120 764857921 /nfs/dbraw/zinc/85/79/21/764857921.db2.gz PMUFQDZXMAYXIJ-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2CCCN2c2nccs2)C1 ZINC001043464057 764920857 /nfs/dbraw/zinc/92/08/57/764920857.db2.gz MSRSYRJJDARFGP-CQSZACIVSA-N 1 2 318.446 1.278 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[NH+](CCOCCOC)CC1 ZINC001112884921 764923185 /nfs/dbraw/zinc/92/31/85/764923185.db2.gz AUCOROXFJRMVEO-UHFFFAOYSA-N 1 2 318.845 1.572 20 30 DDEDLO C[C@@H](Nc1[nH+]cnc2c1cnn2C)[C@H](C)NC(=O)C#CC1CC1 ZINC001113004255 765138384 /nfs/dbraw/zinc/13/83/84/765138384.db2.gz MDXDYKNEQYIVOC-WDEREUQCSA-N 1 2 312.377 1.082 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)[C@@H](C)Nc2cc[nH+]c(C)n2)nc1 ZINC001113104226 765261970 /nfs/dbraw/zinc/26/19/70/765261970.db2.gz WYGXWANRNQPQHO-NEPJUHHUSA-N 1 2 309.373 1.780 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[C@@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131716476 768281921 /nfs/dbraw/zinc/28/19/21/768281921.db2.gz IGDJVBHFFUKKOT-HZPDHXFCSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[C@@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131716476 768281925 /nfs/dbraw/zinc/28/19/25/768281925.db2.gz IGDJVBHFFUKKOT-HZPDHXFCSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC001051969440 765327084 /nfs/dbraw/zinc/32/70/84/765327084.db2.gz LAGXEHWNFHVERU-QGZVFWFLSA-N 1 2 305.466 1.971 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3CC=CCC3)C2)CC1 ZINC001051982122 765344844 /nfs/dbraw/zinc/34/48/44/765344844.db2.gz YIGFXFNMQUBLRL-IRXDYDNUSA-N 1 2 301.434 1.194 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)o1 ZINC001052007130 765375547 /nfs/dbraw/zinc/37/55/47/765375547.db2.gz WKQYLYRGCZIDHV-HNNXBMFYSA-N 1 2 316.405 1.252 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)/C(C)=C/C)C2)CC1 ZINC001052009244 765379193 /nfs/dbraw/zinc/37/91/93/765379193.db2.gz MDDAJKOSXSKLRA-LCCUQNOHSA-N 1 2 311.857 1.924 20 30 DDEDLO CC(C)(C)C(C)(C)C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052060406 765432512 /nfs/dbraw/zinc/43/25/12/765432512.db2.gz CXDDUFZDEJJPIT-HNNXBMFYSA-N 1 2 320.481 1.801 20 30 DDEDLO CC#CCN1CC[NH+]([C@H]2CCN(C(=O)[C@H](F)C(C)C)C2)CC1 ZINC001052066731 765439078 /nfs/dbraw/zinc/43/90/78/765439078.db2.gz XUADZNSXHZVXTH-JKSUJKDBSA-N 1 2 309.429 1.222 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(C(C)(C)C)c2CC)C1 ZINC001044303707 765466776 /nfs/dbraw/zinc/46/67/76/765466776.db2.gz DHIUVLAYBPLVFW-UHFFFAOYSA-N 1 2 316.449 1.980 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc3nonc3c2)CC1 ZINC001113280051 765506278 /nfs/dbraw/zinc/50/62/78/765506278.db2.gz VJNQZYGMXDCJOD-UHFFFAOYSA-N 1 2 316.361 1.183 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@]2(C)OCCc3ccccc32)C1 ZINC001044367621 765514060 /nfs/dbraw/zinc/51/40/60/765514060.db2.gz BZWHJEFBTHWOKJ-IBGZPJMESA-N 1 2 312.413 1.640 20 30 DDEDLO Cc1nsc(N[C@@H](C)[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001113314962 765555945 /nfs/dbraw/zinc/55/59/45/765555945.db2.gz WSTVVLKRNMENID-BDAKNGLRSA-N 1 2 318.406 1.594 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](CCOCCCC)CC1 ZINC001113361318 765613338 /nfs/dbraw/zinc/61/33/38/765613338.db2.gz PFAMBRLEDDORNJ-CVEARBPZSA-N 1 2 310.438 1.538 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@@H](C)Nc1ncccc1C#N ZINC001113351504 765614306 /nfs/dbraw/zinc/61/43/06/765614306.db2.gz BSPBGMMESZDALM-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@@H](C)Nc1ncccc1C#N ZINC001113351504 765614308 /nfs/dbraw/zinc/61/43/08/765614308.db2.gz BSPBGMMESZDALM-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1nccnc1C#N ZINC001113351574 765615412 /nfs/dbraw/zinc/61/54/12/765615412.db2.gz CPYVEGLVHLAMBB-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1nccnc1C#N ZINC001113351574 765615417 /nfs/dbraw/zinc/61/54/17/765615417.db2.gz CPYVEGLVHLAMBB-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113352215 765617466 /nfs/dbraw/zinc/61/74/66/765617466.db2.gz OTDULRZMUIKIME-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113352215 765617471 /nfs/dbraw/zinc/61/74/71/765617471.db2.gz OTDULRZMUIKIME-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C3CC3)n[nH]2)CC1 ZINC001113370473 765624821 /nfs/dbraw/zinc/62/48/21/765624821.db2.gz ALXUXPASIYQQJE-UHFFFAOYSA-N 1 2 304.394 1.248 20 30 DDEDLO C[C@@H]1C[C@@H](NCC#N)CCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001044605605 765693260 /nfs/dbraw/zinc/69/32/60/765693260.db2.gz BDECOUMNEZRNMS-KGLIPLIRSA-N 1 2 315.421 1.255 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(Cl)cn2)CC1 ZINC001113563717 765870531 /nfs/dbraw/zinc/87/05/31/765870531.db2.gz QZXRZOYRNIPGNC-UHFFFAOYSA-N 1 2 309.797 1.695 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCOc2ccccc2OC)CC1 ZINC001113603739 765924895 /nfs/dbraw/zinc/92/48/95/765924895.db2.gz AMDAKYKMPJJBMQ-UHFFFAOYSA-N 1 2 316.401 1.632 20 30 DDEDLO C=CCCOCC(=O)NC[C@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113640221 765965731 /nfs/dbraw/zinc/96/57/31/765965731.db2.gz RBXMURZERHVJJS-LBPRGKRZSA-N 1 2 320.437 1.935 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC1CCN(c2ccncc2C#N)CC1 ZINC001057888351 766118684 /nfs/dbraw/zinc/11/86/84/766118684.db2.gz MLRMQFIRINUCPA-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CCCN(c2ncccc2C#N)C1 ZINC001058182258 766291653 /nfs/dbraw/zinc/29/16/53/766291653.db2.gz XJHUGTRYDBOFLD-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CCN(c2cc(C)ncc2C#N)C1 ZINC001058413442 766504645 /nfs/dbraw/zinc/50/46/45/766504645.db2.gz UZALWAOFORKBMW-CQSZACIVSA-N 1 2 324.388 1.231 20 30 DDEDLO N#Cc1cccnc1N(CCCNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001096049286 766630615 /nfs/dbraw/zinc/63/06/15/766630615.db2.gz ZLIGJZARZMIUKC-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067716787 766648400 /nfs/dbraw/zinc/64/84/00/766648400.db2.gz CIIOJXKSUARVRS-OAHLLOKOSA-N 1 2 320.437 1.792 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001114159393 766711708 /nfs/dbraw/zinc/71/17/08/766711708.db2.gz DNXKWSJRLPHFLW-VIKVFOODSA-N 1 2 302.378 1.118 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001114159393 766711715 /nfs/dbraw/zinc/71/17/15/766711715.db2.gz DNXKWSJRLPHFLW-VIKVFOODSA-N 1 2 302.378 1.118 20 30 DDEDLO Cc1nc(N2CC[C@@H](C)[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001067944048 766829855 /nfs/dbraw/zinc/82/98/55/766829855.db2.gz HRQMJILZBONVBF-IAQYHMDHSA-N 1 2 324.388 1.630 20 30 DDEDLO C[C@H]1CCN(c2ncccc2C#N)C[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067994141 766862031 /nfs/dbraw/zinc/86/20/31/766862031.db2.gz RSPDGNPCLFTEOQ-SWLSCSKDSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H](C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C1CCCC1 ZINC001046078216 766892757 /nfs/dbraw/zinc/89/27/57/766892757.db2.gz VHSMZKZSYHQWEB-RDJZCZTQSA-N 1 2 318.465 1.555 20 30 DDEDLO CC1(C)CN(c2ccc(C#N)cn2)C[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001068115109 766924904 /nfs/dbraw/zinc/92/49/04/766924904.db2.gz CUCPONOQKARFPA-CQSZACIVSA-N 1 2 324.388 1.181 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CC[C@@](C)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001046223448 767209662 /nfs/dbraw/zinc/20/96/62/767209662.db2.gz LDFJIWGFJBIUKZ-YVEFUNNKSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CC[C@@](C)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001046223448 767209664 /nfs/dbraw/zinc/20/96/64/767209664.db2.gz LDFJIWGFJBIUKZ-YVEFUNNKSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CC[C@](C)(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001046248398 767290327 /nfs/dbraw/zinc/29/03/27/767290327.db2.gz OUSOIDYKZIPFIN-SJCJKPOMSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CC[C@](C)(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001046248398 767290328 /nfs/dbraw/zinc/29/03/28/767290328.db2.gz OUSOIDYKZIPFIN-SJCJKPOMSA-N 1 2 324.388 1.632 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)[C@@H]2C)cc[nH+]1 ZINC001068804335 767624574 /nfs/dbraw/zinc/62/45/74/767624574.db2.gz CKVOTZYUZUXQOT-YGRLFVJLSA-N 1 2 310.361 1.700 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3nocc3C)C2)c1 ZINC001046603840 767729487 /nfs/dbraw/zinc/72/94/87/767729487.db2.gz DMYGOWRXSVIJDQ-SFHVURJKSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@]2(C)CC[N@H+](Cc3nocc3C)C2)c1 ZINC001046603840 767729490 /nfs/dbraw/zinc/72/94/90/767729490.db2.gz DMYGOWRXSVIJDQ-SFHVURJKSA-N 1 2 324.384 1.754 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CC(C)(C)C)C[N@@H+]1CC(=O)NCC#N ZINC001131816975 768400631 /nfs/dbraw/zinc/40/06/31/768400631.db2.gz JBDQUGVBQPRBIO-STQMWFEESA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CC(C)(C)C)C[N@H+]1CC(=O)NCC#N ZINC001131816975 768400633 /nfs/dbraw/zinc/40/06/33/768400633.db2.gz JBDQUGVBQPRBIO-STQMWFEESA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CSCC#N)C[N@@H+]1Cc1ccns1 ZINC001131830848 768411536 /nfs/dbraw/zinc/41/15/36/768411536.db2.gz VWRWZTFESGUBGX-VXGBXAGGSA-N 1 2 324.475 1.869 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CSCC#N)C[N@H+]1Cc1ccns1 ZINC001131830848 768411541 /nfs/dbraw/zinc/41/15/41/768411541.db2.gz VWRWZTFESGUBGX-VXGBXAGGSA-N 1 2 324.475 1.869 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+]Cc1nnc(CC)o1 ZINC001131867730 768444360 /nfs/dbraw/zinc/44/43/60/768444360.db2.gz VCSWDITZRZRCOO-HNNXBMFYSA-N 1 2 310.398 1.067 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N(C)[C@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047485376 768511907 /nfs/dbraw/zinc/51/19/07/768511907.db2.gz OZSOLYACBHFDIS-VZEFYGNVSA-N 1 2 313.401 1.218 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N(C)[C@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047485376 768511911 /nfs/dbraw/zinc/51/19/11/768511911.db2.gz OZSOLYACBHFDIS-VZEFYGNVSA-N 1 2 313.401 1.218 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2ncccc2O)CC[C@H]1C ZINC001131971819 768515881 /nfs/dbraw/zinc/51/58/81/768515881.db2.gz NFRRHZNQYZLOFE-KGLIPLIRSA-N 1 2 317.389 1.020 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2ncccc2O)CC[C@H]1C ZINC001131971819 768515884 /nfs/dbraw/zinc/51/58/84/768515884.db2.gz NFRRHZNQYZLOFE-KGLIPLIRSA-N 1 2 317.389 1.020 20 30 DDEDLO C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2occc2C[NH+](C)C)C1 ZINC001047504873 768527370 /nfs/dbraw/zinc/52/73/70/768527370.db2.gz VNCZMJAWCPZZTJ-GJZGRUSLSA-N 1 2 321.421 1.034 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]2C)cn1 ZINC001132021748 768559003 /nfs/dbraw/zinc/55/90/03/768559003.db2.gz PFYGYRHFUWBGDR-YOEHRIQHSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]2C)cn1 ZINC001132021748 768559006 /nfs/dbraw/zinc/55/90/06/768559006.db2.gz PFYGYRHFUWBGDR-YOEHRIQHSA-N 1 2 314.433 1.914 20 30 DDEDLO CC(C)C1(C(=O)N[C@H]2CC[C@H](C)[N@H+](CC(=O)NCC#N)C2)CC1 ZINC001132282275 768727012 /nfs/dbraw/zinc/72/70/12/768727012.db2.gz ISWMBJVUKRTCNC-KBPBESRZSA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)C1(C(=O)N[C@H]2CC[C@H](C)[N@@H+](CC(=O)NCC#N)C2)CC1 ZINC001132282275 768727015 /nfs/dbraw/zinc/72/70/15/768727015.db2.gz ISWMBJVUKRTCNC-KBPBESRZSA-N 1 2 320.437 1.031 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)CC(C)C)C1 ZINC001070901939 768777503 /nfs/dbraw/zinc/77/75/03/768777503.db2.gz OTLVYTCVDDMTLO-ZNMIVQPWSA-N 1 2 321.421 1.324 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)CC(C)C)C1 ZINC001070901939 768777507 /nfs/dbraw/zinc/77/75/07/768777507.db2.gz OTLVYTCVDDMTLO-ZNMIVQPWSA-N 1 2 321.421 1.324 20 30 DDEDLO C/C=C(\C)C(=O)NCC[NH+]1CCN(c2ncccc2C#N)CC1 ZINC001096280427 768938058 /nfs/dbraw/zinc/93/80/58/768938058.db2.gz FKTPRTSOJNOXCZ-LZWSPWQCSA-N 1 2 313.405 1.158 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001132568692 768991080 /nfs/dbraw/zinc/99/10/80/768991080.db2.gz CCFRBSYLICBEKC-NEPJUHHUSA-N 1 2 318.377 1.994 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001132569527 768992528 /nfs/dbraw/zinc/99/25/28/768992528.db2.gz TWHACKFPFRLWTN-NWDGAFQWSA-N 1 2 318.377 1.994 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2nnn(C)c2C)CC[C@@H]1C ZINC001071404012 769033006 /nfs/dbraw/zinc/03/30/06/769033006.db2.gz HXWHSJBEIZMXCZ-JQWIXIFHSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2nnn(C)c2C)CC[C@@H]1C ZINC001071404012 769033016 /nfs/dbraw/zinc/03/30/16/769033016.db2.gz HXWHSJBEIZMXCZ-JQWIXIFHSA-N 1 2 311.817 1.459 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071417895 769404812 /nfs/dbraw/zinc/40/48/12/769404812.db2.gz BKKWGADUXRMHOF-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc(OC)ncn2)CC[C@@H]1C ZINC001071514249 769543384 /nfs/dbraw/zinc/54/33/84/769543384.db2.gz LXRRCVAJHQDTCU-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc(OC)ncn2)CC[C@@H]1C ZINC001071514249 769543393 /nfs/dbraw/zinc/54/33/93/769543393.db2.gz LXRRCVAJHQDTCU-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cn(C)nc2C)CC[C@H]1C ZINC001071571440 769644231 /nfs/dbraw/zinc/64/42/31/769644231.db2.gz GLPDPWUIIFTASL-IUODEOHRSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cn(C)nc2C)CC[C@H]1C ZINC001071571440 769644237 /nfs/dbraw/zinc/64/42/37/769644237.db2.gz GLPDPWUIIFTASL-IUODEOHRSA-N 1 2 324.856 1.993 20 30 DDEDLO C[C@H]1CCN(C(=O)CCCn2cc[nH+]c2)C[C@@H]1CNCC#N ZINC001133363321 769747593 /nfs/dbraw/zinc/74/75/93/769747593.db2.gz GUYNPIHKFCLKKE-GJZGRUSLSA-N 1 2 303.410 1.261 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001071775271 770046848 /nfs/dbraw/zinc/04/68/48/770046848.db2.gz ZGURYICSRKHRDF-GXTWGEPZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001071775271 770046856 /nfs/dbraw/zinc/04/68/56/770046856.db2.gz ZGURYICSRKHRDF-GXTWGEPZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C1)OCC ZINC001096437230 770059261 /nfs/dbraw/zinc/05/92/61/770059261.db2.gz AOUVPZBZISWVLI-CABCVRRESA-N 1 2 318.421 1.851 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001071999608 770412743 /nfs/dbraw/zinc/41/27/43/770412743.db2.gz PDNBZBVVROIDLG-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001072226640 770682422 /nfs/dbraw/zinc/68/24/22/770682422.db2.gz RZELRUVVKXNTDP-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001072317638 770755648 /nfs/dbraw/zinc/75/56/48/770755648.db2.gz RYNOOSIBTCHYPI-JSGCOSHPSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072317638 770755654 /nfs/dbraw/zinc/75/56/54/770755654.db2.gz RYNOOSIBTCHYPI-JSGCOSHPSA-N 1 2 304.394 1.272 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCC[C@H]2[C@@H]1CCN2CC#N ZINC001049734935 771120961 /nfs/dbraw/zinc/12/09/61/771120961.db2.gz GXZJCSGKJGPENB-KBXIAJHMSA-N 1 2 313.405 1.112 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+][C@H](C)c1nnc(C)o1 ZINC001135145702 771350697 /nfs/dbraw/zinc/35/06/97/771350697.db2.gz PXPLQYLHCXQEGK-WXHSDQCUSA-N 1 2 308.382 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnn(C)c1)C2 ZINC001096862051 771502050 /nfs/dbraw/zinc/50/20/50/771502050.db2.gz LLMXPRFWTLTAPK-MCIONIFRSA-N 1 2 308.813 1.437 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnn(C)c1)C2 ZINC001096862051 771502055 /nfs/dbraw/zinc/50/20/55/771502055.db2.gz LLMXPRFWTLTAPK-MCIONIFRSA-N 1 2 308.813 1.437 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)NC[C@H]1CC[C@@H](NCC#N)C1)C2 ZINC001086737727 771529651 /nfs/dbraw/zinc/52/96/51/771529651.db2.gz VEYMAWGCPKMWTR-MELADBBJSA-N 1 2 315.421 1.221 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)NC[C@H]1CC[C@@H](NCC#N)C1)CC2 ZINC001086737727 771529655 /nfs/dbraw/zinc/52/96/55/771529655.db2.gz VEYMAWGCPKMWTR-MELADBBJSA-N 1 2 315.421 1.221 20 30 DDEDLO C=C(CCC(=O)OC)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC001136489807 771985561 /nfs/dbraw/zinc/98/55/61/771985561.db2.gz FFKCBXCKAJNQCB-UHFFFAOYSA-N 1 2 306.362 1.833 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2nccs2)[C@H](O)C1 ZINC001090666616 772093953 /nfs/dbraw/zinc/09/39/53/772093953.db2.gz FJIXCWHXWVVIIJ-NXEZZACHSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2nccs2)[C@H](O)C1 ZINC001090666616 772093955 /nfs/dbraw/zinc/09/39/55/772093955.db2.gz FJIXCWHXWVVIIJ-NXEZZACHSA-N 1 2 301.799 1.061 20 30 DDEDLO Cc1nc(N2C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001090685352 772107771 /nfs/dbraw/zinc/10/77/71/772107771.db2.gz BGBXOGUEFZRBQW-IMSIIYSGSA-N 1 2 301.394 1.502 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](C)COC)C1 ZINC001149391783 772715598 /nfs/dbraw/zinc/71/55/98/772715598.db2.gz QMFMVAQIMKOTIO-AAEUAGOBSA-N 1 2 304.818 1.229 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](C)COC)C1 ZINC001149391783 772715601 /nfs/dbraw/zinc/71/56/01/772715601.db2.gz QMFMVAQIMKOTIO-AAEUAGOBSA-N 1 2 304.818 1.229 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001091520133 772803727 /nfs/dbraw/zinc/80/37/27/772803727.db2.gz DHDZGUMVXWEUNH-YGUOUDRMSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001091520133 772803728 /nfs/dbraw/zinc/80/37/28/772803728.db2.gz DHDZGUMVXWEUNH-YGUOUDRMSA-N 1 2 324.388 1.616 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C)no1)C2 ZINC001147428283 773130816 /nfs/dbraw/zinc/13/08/16/773130816.db2.gz OEYOIFSJLBEOLU-UHFFFAOYSA-N 1 2 302.378 1.216 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nc(C)no1)C2 ZINC001147428283 773130818 /nfs/dbraw/zinc/13/08/18/773130818.db2.gz OEYOIFSJLBEOLU-UHFFFAOYSA-N 1 2 302.378 1.216 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@H]3CN(C(=O)C#CC4CC4)C[C@]3(C)C2)o1 ZINC001091659572 773234934 /nfs/dbraw/zinc/23/49/34/773234934.db2.gz POHUKMLPGMWKJP-PBHICJAKSA-N 1 2 314.389 1.072 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@H]3CN(C(=O)C#CC4CC4)C[C@]3(C)C2)o1 ZINC001091659572 773234941 /nfs/dbraw/zinc/23/49/41/773234941.db2.gz POHUKMLPGMWKJP-PBHICJAKSA-N 1 2 314.389 1.072 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074150319 773675081 /nfs/dbraw/zinc/67/50/81/773675081.db2.gz WALWDSGVQFCZSH-UONOGXRCSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)COC3CCCC3)C[C@@H]21 ZINC001074181461 773703576 /nfs/dbraw/zinc/70/35/76/773703576.db2.gz VKABFONLCRSYFO-DLBZAZTESA-N 1 2 320.433 1.271 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)COC3CCCC3)C[C@@H]21 ZINC001074181461 773703578 /nfs/dbraw/zinc/70/35/78/773703578.db2.gz VKABFONLCRSYFO-DLBZAZTESA-N 1 2 320.433 1.271 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@@H]21 ZINC001074195302 773719494 /nfs/dbraw/zinc/71/94/94/773719494.db2.gz SMVVXXYINVARPI-LSDHHAIUSA-N 1 2 322.449 1.870 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@@H]21 ZINC001074195302 773719497 /nfs/dbraw/zinc/71/94/97/773719497.db2.gz SMVVXXYINVARPI-LSDHHAIUSA-N 1 2 322.449 1.870 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccn(C)c3)C[C@@H]21 ZINC001074215268 773736835 /nfs/dbraw/zinc/73/68/35/773736835.db2.gz FSDASSKSACXREK-HOTGVXAUSA-N 1 2 303.406 1.517 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccn(C)c3)C[C@@H]21 ZINC001074215268 773736837 /nfs/dbraw/zinc/73/68/37/773736837.db2.gz FSDASSKSACXREK-HOTGVXAUSA-N 1 2 303.406 1.517 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc[nH]3)C[C@H]21 ZINC001074225979 773751063 /nfs/dbraw/zinc/75/10/63/773751063.db2.gz IUSYGZSPLSVHFX-DOOVEFGSSA-N 1 2 301.390 1.516 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc[nH]3)C[C@H]21 ZINC001074225979 773751067 /nfs/dbraw/zinc/75/10/67/773751067.db2.gz IUSYGZSPLSVHFX-DOOVEFGSSA-N 1 2 301.390 1.516 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cncs3)C[C@H]21 ZINC001074271642 773783436 /nfs/dbraw/zinc/78/34/36/773783436.db2.gz PKSUXNNZIIUJFM-CABCVRRESA-N 1 2 319.430 1.011 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cncs3)C[C@H]21 ZINC001074271642 773783440 /nfs/dbraw/zinc/78/34/40/773783440.db2.gz PKSUXNNZIIUJFM-CABCVRRESA-N 1 2 319.430 1.011 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3onc(C)c3C)C[C@H]21 ZINC001074274419 773786377 /nfs/dbraw/zinc/78/63/77/773786377.db2.gz UBUBXQPLCDVCRJ-CABCVRRESA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3onc(C)c3C)C[C@H]21 ZINC001074274419 773786380 /nfs/dbraw/zinc/78/63/80/773786380.db2.gz UBUBXQPLCDVCRJ-CABCVRRESA-N 1 2 319.405 1.783 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCc3ccon3)C[C@@H]21 ZINC001074297073 773802625 /nfs/dbraw/zinc/80/26/25/773802625.db2.gz KNDNJYWVOZMOQS-HOTGVXAUSA-N 1 2 319.405 1.485 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCc3ccon3)C[C@@H]21 ZINC001074297073 773802633 /nfs/dbraw/zinc/80/26/33/773802633.db2.gz KNDNJYWVOZMOQS-HOTGVXAUSA-N 1 2 319.405 1.485 20 30 DDEDLO CCc1nc(N2CC[C@H](NC(=O)[C@H](C)C#N)[C@H]2CC)cc(C)[nH+]1 ZINC001091775080 773802685 /nfs/dbraw/zinc/80/26/85/773802685.db2.gz PJQQVYJJWKILSC-KWCYVHTRSA-N 1 2 315.421 1.981 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)cnn3C)C[C@@H]21 ZINC001074316553 773817372 /nfs/dbraw/zinc/81/73/72/773817372.db2.gz AMVFBMVDQSOQTN-LSDHHAIUSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)cnn3C)C[C@@H]21 ZINC001074316553 773817377 /nfs/dbraw/zinc/81/73/77/773817377.db2.gz AMVFBMVDQSOQTN-LSDHHAIUSA-N 1 2 318.421 1.220 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C)CC(=C)C3)C[C@@H]21 ZINC001074334593 773831590 /nfs/dbraw/zinc/83/15/90/773831590.db2.gz VFJXNHRQRZPRPS-HOTGVXAUSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C)CC(=C)C3)C[C@@H]21 ZINC001074334593 773831593 /nfs/dbraw/zinc/83/15/93/773831593.db2.gz VFJXNHRQRZPRPS-HOTGVXAUSA-N 1 2 302.418 1.668 20 30 DDEDLO Cc1nc(N(C)CCOCCN(C)C(=O)C#CC2CC2)cc[nH+]1 ZINC001091889084 773838496 /nfs/dbraw/zinc/83/84/96/773838496.db2.gz ZQTLWIXWLUQVCC-UHFFFAOYSA-N 1 2 316.405 1.110 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc[nH]c1C)c1nccn12 ZINC001092357564 774072336 /nfs/dbraw/zinc/07/23/36/774072336.db2.gz XXXDLVIGCKHDGD-HNNXBMFYSA-N 1 2 323.400 1.429 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccsn1)c1nccn12 ZINC001092378670 774094117 /nfs/dbraw/zinc/09/41/17/774094117.db2.gz PZOHEORPGVNFAP-LBPRGKRZSA-N 1 2 315.402 1.411 20 30 DDEDLO C[C@@H]1CN(c2ccncc2C#N)C[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001092396248 774100518 /nfs/dbraw/zinc/10/05/18/774100518.db2.gz VVFYENYAFSKEBW-TZMCWYRMSA-N 1 2 324.388 1.108 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@]1(C)CCOC1)c1nccn12 ZINC001092403376 774103436 /nfs/dbraw/zinc/10/34/36/774103436.db2.gz FGDCUGQMJHTDDO-CZUORRHYSA-N 1 2 316.405 1.068 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1coc(C)c1)c1nccn12 ZINC001092365913 774105056 /nfs/dbraw/zinc/10/50/56/774105056.db2.gz CJWPWUHOXQNDOH-OAHLLOKOSA-N 1 2 324.384 1.694 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCN(c2nc(C)[nH+]c(C)c2C)CC1 ZINC001093179343 774474360 /nfs/dbraw/zinc/47/43/60/774474360.db2.gz ZPSKZTYIROMYAL-AWEZNQCLSA-N 1 2 316.405 1.089 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CCCC3CC3)C2)nn1 ZINC001098706307 774647861 /nfs/dbraw/zinc/64/78/61/774647861.db2.gz XVNKCEUNJKPSKI-MRXNPFEDSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(C)C[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)CS(C)(=O)=O ZINC001098943924 774733323 /nfs/dbraw/zinc/73/33/23/774733323.db2.gz FUMQSSTXIWKBKN-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C(C)C[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)CS(C)(=O)=O ZINC001098943924 774733324 /nfs/dbraw/zinc/73/33/24/774733324.db2.gz FUMQSSTXIWKBKN-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](OC)C3CC3)CC2)C1 ZINC001093532757 774786690 /nfs/dbraw/zinc/78/66/90/774786690.db2.gz HVUSUEFOGZHMJD-MRXNPFEDSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3CC3(F)F)CC2)C1 ZINC001093533445 774787597 /nfs/dbraw/zinc/78/75/97/774787597.db2.gz MKMUDJISCFHCSD-CQSZACIVSA-N 1 2 314.376 1.910 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C3CC(OC)C3)CC2)C1 ZINC001093544658 774820496 /nfs/dbraw/zinc/82/04/96/774820496.db2.gz LAYIHWWSISNOAL-UHFFFAOYSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C1CCC(C(=O)N2CCC(OC3C[NH+](CCO)C3)CC2)CC1 ZINC001093567541 774838269 /nfs/dbraw/zinc/83/82/69/774838269.db2.gz SYXGNYXCSFOVGM-UHFFFAOYSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3C[C@]34CCOC4)CC2)C1 ZINC001093588796 774869649 /nfs/dbraw/zinc/86/96/49/774869649.db2.gz AYLFNSILMLZDLS-WMZOPIPTSA-N 1 2 320.433 1.291 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@@H]1CCC(C)(C)CN1CC#N ZINC001099263523 774923622 /nfs/dbraw/zinc/92/36/22/774923622.db2.gz GCWBUDKHERCXLV-ZFWWWQNUSA-N 1 2 317.437 1.719 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@@H]1CCC(C)(C)CN1CC#N ZINC001099263523 774923623 /nfs/dbraw/zinc/92/36/23/774923623.db2.gz GCWBUDKHERCXLV-ZFWWWQNUSA-N 1 2 317.437 1.719 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001093693451 775002922 /nfs/dbraw/zinc/00/29/22/775002922.db2.gz PAEPCTMUROWALJ-LBPRGKRZSA-N 1 2 304.394 1.782 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCCNc3ncccc3C#N)ccn12 ZINC001093887913 775185427 /nfs/dbraw/zinc/18/54/27/775185427.db2.gz CZTGJCVECDRBDC-UHFFFAOYSA-N 1 2 320.356 1.751 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)/C=C/C(C)(C)C)[C@@H](O)C1 ZINC001099746289 775215703 /nfs/dbraw/zinc/21/57/03/775215703.db2.gz GIMHIYMHPQCVQE-YTDWTQRBSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)/C=C/C(C)(C)C)[C@@H](O)C1 ZINC001099746289 775215709 /nfs/dbraw/zinc/21/57/09/775215709.db2.gz GIMHIYMHPQCVQE-YTDWTQRBSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCC2CCC2)[C@H](O)C1 ZINC001099774781 775264383 /nfs/dbraw/zinc/26/43/83/775264383.db2.gz DQBHSQSQZGZMTN-UONOGXRCSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCC2CCC2)[C@H](O)C1 ZINC001099774781 775264388 /nfs/dbraw/zinc/26/43/88/775264388.db2.gz DQBHSQSQZGZMTN-UONOGXRCSA-N 1 2 300.830 1.871 20 30 DDEDLO C=CCCCC(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094077280 775409755 /nfs/dbraw/zinc/40/97/55/775409755.db2.gz UXFSMRJGCCWCNB-UHFFFAOYSA-N 1 2 303.410 1.349 20 30 DDEDLO C=CCCCC(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094077280 775409766 /nfs/dbraw/zinc/40/97/66/775409766.db2.gz UXFSMRJGCCWCNB-UHFFFAOYSA-N 1 2 303.410 1.349 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C[C@H]1O ZINC001099976315 775509558 /nfs/dbraw/zinc/50/95/58/775509558.db2.gz MNMGKTVDEYUBCM-HZPDHXFCSA-N 1 2 320.437 1.136 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C[C@H]1O ZINC001099976315 775509569 /nfs/dbraw/zinc/50/95/69/775509569.db2.gz MNMGKTVDEYUBCM-HZPDHXFCSA-N 1 2 320.437 1.136 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001099977316 775510489 /nfs/dbraw/zinc/51/04/89/775510489.db2.gz XBSQMPWVBWSICC-HZPDHXFCSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001099977316 775510495 /nfs/dbraw/zinc/51/04/95/775510495.db2.gz XBSQMPWVBWSICC-HZPDHXFCSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3C=CC=CC=C3)nn2)C1 ZINC001094295605 775697919 /nfs/dbraw/zinc/69/79/19/775697919.db2.gz XEUXVWYWQJFHPA-UHFFFAOYSA-N 1 2 311.389 1.235 20 30 DDEDLO C=CCCC(=O)NCC1CC([NH2+]Cc2noc(CCOC)n2)C1 ZINC001100176871 775771465 /nfs/dbraw/zinc/77/14/65/775771465.db2.gz KCZNLKIYXKVXSH-UHFFFAOYSA-N 1 2 322.409 1.209 20 30 DDEDLO CN(CCNC(=O)c1cc2c[nH+]ccc2[nH]1)c1ccc(C#N)cn1 ZINC001100396218 776096182 /nfs/dbraw/zinc/09/61/82/776096182.db2.gz QXGCNZXMDFGGCP-UHFFFAOYSA-N 1 2 320.356 1.696 20 30 DDEDLO CN(CCNC(=O)c1cc2c[nH+]ccc2[nH]1)c1ccncc1C#N ZINC001100396088 776096238 /nfs/dbraw/zinc/09/62/38/776096238.db2.gz KPBWPLZVKYCPDJ-UHFFFAOYSA-N 1 2 320.356 1.696 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1[C@@H]1CCCN(C(=O)c2ccccc2)CC1 ZINC001171886787 776504643 /nfs/dbraw/zinc/50/46/43/776504643.db2.gz DOQOPTCVWFJYHR-IAGOWNOFSA-N 1 2 312.417 1.479 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC[C@@H]2CNC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001100910111 776695628 /nfs/dbraw/zinc/69/56/28/776695628.db2.gz AUUAJZVAVQIKIQ-OAHLLOKOSA-N 1 2 324.388 1.313 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001100919510 776703761 /nfs/dbraw/zinc/70/37/61/776703761.db2.gz ZWKOTOLHNXPFLX-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001100919510 776703769 /nfs/dbraw/zinc/70/37/69/776703769.db2.gz ZWKOTOLHNXPFLX-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2C[N@@H+](Cc3ncnn3C)C[C@@]2(C)C1 ZINC001101253325 777012869 /nfs/dbraw/zinc/01/28/69/777012869.db2.gz KABBXHRPFLZPGF-PBHICJAKSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2C[N@H+](Cc3ncnn3C)C[C@@]2(C)C1 ZINC001101253325 777012874 /nfs/dbraw/zinc/01/28/74/777012874.db2.gz KABBXHRPFLZPGF-PBHICJAKSA-N 1 2 317.437 1.452 20 30 DDEDLO COc1ccc([N+](=O)[O-])c(C[C@H](C)N2CC[NH2+]C[C@H]2C#N)c1F ZINC001173181966 777035807 /nfs/dbraw/zinc/03/58/07/777035807.db2.gz QZCSOGGPUIJEEF-WDEREUQCSA-N 1 2 322.340 1.471 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001095172112 777197170 /nfs/dbraw/zinc/19/71/70/777197170.db2.gz JNUYOMKUAPJZEG-AWEZNQCLSA-N 1 2 318.421 1.805 20 30 DDEDLO CCOC(=O)C[C@H]([NH2+]C1CCC(C)(C#N)CC1)C(=O)OCC ZINC001173585980 777270614 /nfs/dbraw/zinc/27/06/14/777270614.db2.gz BAZQJXJPFZYQJP-UYJPIKCFSA-N 1 2 310.394 1.933 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)CC1(C)CC1)c1nccn12 ZINC001101634140 777331171 /nfs/dbraw/zinc/33/11/71/777331171.db2.gz NSOJVRSFIPCTDE-CQSZACIVSA-N 1 2 312.417 1.669 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](CCC)OC)c1nccn12 ZINC001101635363 777332505 /nfs/dbraw/zinc/33/25/05/777332505.db2.gz HIGBXLYQQLQXKG-UONOGXRCSA-N 1 2 318.421 1.456 20 30 DDEDLO Cn1nccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C#N)c[nH]1)C2 ZINC001095282167 777411814 /nfs/dbraw/zinc/41/18/14/777411814.db2.gz PRRNGXUTJXWUER-INWMFGNUSA-N 1 2 324.388 1.155 20 30 DDEDLO Cn1nccc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C#N)c[nH]1)C2 ZINC001095282167 777411819 /nfs/dbraw/zinc/41/18/19/777411819.db2.gz PRRNGXUTJXWUER-INWMFGNUSA-N 1 2 324.388 1.155 20 30 DDEDLO CC(C)C[C@](C)(C#N)NC(=O)c1cc2n(n1)CCC[N@H+](C)C2 ZINC001175104023 777677521 /nfs/dbraw/zinc/67/75/21/777677521.db2.gz CJMCVHQIJRWMIK-MRXNPFEDSA-N 1 2 303.410 1.777 20 30 DDEDLO CC(C)C[C@](C)(C#N)NC(=O)c1cc2n(n1)CCC[N@@H+](C)C2 ZINC001175104023 777677524 /nfs/dbraw/zinc/67/75/24/777677524.db2.gz CJMCVHQIJRWMIK-MRXNPFEDSA-N 1 2 303.410 1.777 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccnn1C ZINC001110183526 777724296 /nfs/dbraw/zinc/72/42/96/777724296.db2.gz XKMGPVODITVIIZ-VDERGJSUSA-N 1 2 318.421 1.233 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccnn1C ZINC001110183526 777724305 /nfs/dbraw/zinc/72/43/05/777724305.db2.gz XKMGPVODITVIIZ-VDERGJSUSA-N 1 2 318.421 1.233 20 30 DDEDLO N#Cc1cccnc1N1CC[C@@H](CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001102338896 778075103 /nfs/dbraw/zinc/07/51/03/778075103.db2.gz XWDWGDVIDXFSON-CQSZACIVSA-N 1 2 324.388 1.183 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(F)F)C[C@@H]21 ZINC001176882207 778291117 /nfs/dbraw/zinc/29/11/17/778291117.db2.gz MZBOSGGSLLKLJG-STQMWFEESA-N 1 2 302.365 1.910 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(F)F)C[C@@H]21 ZINC001176882207 778291120 /nfs/dbraw/zinc/29/11/20/778291120.db2.gz MZBOSGGSLLKLJG-STQMWFEESA-N 1 2 302.365 1.910 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@@H]21 ZINC001176949597 778343645 /nfs/dbraw/zinc/34/36/45/778343645.db2.gz QABJKDFYGWWUCA-LSDHHAIUSA-N 1 2 322.449 1.870 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@@H]21 ZINC001176949597 778343647 /nfs/dbraw/zinc/34/36/47/778343647.db2.gz QABJKDFYGWWUCA-LSDHHAIUSA-N 1 2 322.449 1.870 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC(=C)C)C[C@H]21 ZINC001177025184 778398397 /nfs/dbraw/zinc/39/83/97/778398397.db2.gz OWKFFNLYBUXPRU-IAGOWNOFSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC(=C)C)C[C@H]21 ZINC001177025184 778398408 /nfs/dbraw/zinc/39/84/08/778398408.db2.gz OWKFFNLYBUXPRU-IAGOWNOFSA-N 1 2 322.449 1.847 20 30 DDEDLO Cc1nc(N2CCCC[C@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001102847866 778446088 /nfs/dbraw/zinc/44/60/88/778446088.db2.gz WPHCACINPXHNFM-ZDUSSCGKSA-N 1 2 319.434 1.517 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCOC)[C@H]2C1 ZINC001177102468 778447719 /nfs/dbraw/zinc/44/77/19/778447719.db2.gz WCDCTLRZDBBPNY-LSDHHAIUSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCOC)[C@H]2C1 ZINC001177102468 778447723 /nfs/dbraw/zinc/44/77/23/778447723.db2.gz WCDCTLRZDBBPNY-LSDHHAIUSA-N 1 2 310.438 1.537 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H]1CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001103756761 779032487 /nfs/dbraw/zinc/03/24/87/779032487.db2.gz YSJUGNCDPNRNSV-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO CCc1[nH]c(CNC(=O)c2ccn(-c3cccc(C#N)c3)n2)c[nH+]1 ZINC001178669379 779130084 /nfs/dbraw/zinc/13/00/84/779130084.db2.gz HUNQDMVXDPFCEZ-UHFFFAOYSA-N 1 2 320.356 1.959 20 30 DDEDLO CCc1[nH]cc(CNC(=O)c2ccn(-c3cccc(C#N)c3)n2)[nH+]1 ZINC001178669379 779130089 /nfs/dbraw/zinc/13/00/89/779130089.db2.gz HUNQDMVXDPFCEZ-UHFFFAOYSA-N 1 2 320.356 1.959 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nccn1C)C2 ZINC001111660337 779423348 /nfs/dbraw/zinc/42/33/48/779423348.db2.gz FHBJZCLTKVNVAQ-XEZPLFJOSA-N 1 2 301.394 1.050 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nccn1C)C2 ZINC001111660337 779423351 /nfs/dbraw/zinc/42/33/51/779423351.db2.gz FHBJZCLTKVNVAQ-XEZPLFJOSA-N 1 2 301.394 1.050 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)C#CC(C)C)C2)o1 ZINC001111736613 779456927 /nfs/dbraw/zinc/45/69/27/779456927.db2.gz OLUZTXVKSHCFMZ-YOEHRIQHSA-N 1 2 316.405 1.508 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)C#CC(C)C)C2)o1 ZINC001111736613 779456931 /nfs/dbraw/zinc/45/69/31/779456931.db2.gz OLUZTXVKSHCFMZ-YOEHRIQHSA-N 1 2 316.405 1.508 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)C(F)C(F)(F)F)C1 ZINC001111756575 779466571 /nfs/dbraw/zinc/46/65/71/779466571.db2.gz UWQJJDSOGSZIID-GVXVVHGQSA-N 1 2 306.303 1.881 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)C(F)C(F)(F)F)C1 ZINC001111756575 779466574 /nfs/dbraw/zinc/46/65/74/779466574.db2.gz UWQJJDSOGSZIID-GVXVVHGQSA-N 1 2 306.303 1.881 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001111756575 779466578 /nfs/dbraw/zinc/46/65/78/779466578.db2.gz UWQJJDSOGSZIID-GVXVVHGQSA-N 1 2 306.303 1.881 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001111756575 779466580 /nfs/dbraw/zinc/46/65/80/779466580.db2.gz UWQJJDSOGSZIID-GVXVVHGQSA-N 1 2 306.303 1.881 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)Cn3cc[nH+]c3)CCC2)cn1 ZINC001111819646 779496714 /nfs/dbraw/zinc/49/67/14/779496714.db2.gz NLCGRMVMAOKTCC-UHFFFAOYSA-N 1 2 310.361 1.301 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001112000092 779593461 /nfs/dbraw/zinc/59/34/61/779593461.db2.gz HUCAZLXJTJZFEW-ZFWWWQNUSA-N 1 2 316.405 1.298 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001117779793 780899659 /nfs/dbraw/zinc/89/96/59/780899659.db2.gz ZAZLOOJEXSAVSO-ZACQAIPSSA-N 1 2 315.417 1.896 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001117779793 780899666 /nfs/dbraw/zinc/89/96/66/780899666.db2.gz ZAZLOOJEXSAVSO-ZACQAIPSSA-N 1 2 315.417 1.896 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CC[C@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001267292955 837764141 /nfs/dbraw/zinc/76/41/41/837764141.db2.gz JGJXMPRSUKTTNI-BXUZGUMPSA-N 1 2 301.394 1.026 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CC[C@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001267292955 837764152 /nfs/dbraw/zinc/76/41/52/837764152.db2.gz JGJXMPRSUKTTNI-BXUZGUMPSA-N 1 2 301.394 1.026 20 30 DDEDLO CC(C)(C)CCCCC(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001266321214 836116071 /nfs/dbraw/zinc/11/60/71/836116071.db2.gz MIQUGCREHVNLOO-AWEZNQCLSA-N 1 2 322.453 1.423 20 30 DDEDLO CC(C)(C)CCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001266321214 836116076 /nfs/dbraw/zinc/11/60/76/836116076.db2.gz MIQUGCREHVNLOO-AWEZNQCLSA-N 1 2 322.453 1.423 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+](C)Cc2c(C)nnn2CC)CCC1 ZINC001266321507 836116474 /nfs/dbraw/zinc/11/64/74/836116474.db2.gz VGQDBPDROZVWCQ-UHFFFAOYSA-N 1 2 319.453 1.901 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+](C)Cc2c(C)nnn2CC)CCC1 ZINC001266321507 836116481 /nfs/dbraw/zinc/11/64/81/836116481.db2.gz VGQDBPDROZVWCQ-UHFFFAOYSA-N 1 2 319.453 1.901 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)[C@@H]4CC45CCC5)C3)C2)cc1C#N ZINC001271893685 844188275 /nfs/dbraw/zinc/18/82/75/844188275.db2.gz QKAHDFJHZICFME-INIZCTEOSA-N 1 2 324.428 1.731 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@@H]1C[NH2+]Cc1nnc(CC)o1 ZINC001266867319 836967498 /nfs/dbraw/zinc/96/74/98/836967498.db2.gz WGKQYSKQTLLHJJ-CQSZACIVSA-N 1 2 318.421 1.906 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[NH2+]Cc2csnn2)cc1 ZINC001267411501 837993284 /nfs/dbraw/zinc/99/32/84/837993284.db2.gz CRVXMDFWCPARLX-UHFFFAOYSA-N 1 2 300.387 1.381 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1nncn1C ZINC001272002903 844294956 /nfs/dbraw/zinc/29/49/56/844294956.db2.gz AWLVTKSHPWAXAP-ZIAGYGMSSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1nncn1C ZINC001272002903 844294962 /nfs/dbraw/zinc/29/49/62/844294962.db2.gz AWLVTKSHPWAXAP-ZIAGYGMSSA-N 1 2 303.410 1.347 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CCF ZINC001267534503 838261532 /nfs/dbraw/zinc/26/15/32/838261532.db2.gz ZQSWMCUMQNQQHO-QLFBSQMISA-N 1 2 311.401 1.102 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CCF ZINC001267534503 838261539 /nfs/dbraw/zinc/26/15/39/838261539.db2.gz ZQSWMCUMQNQQHO-QLFBSQMISA-N 1 2 311.401 1.102 20 30 DDEDLO CC[C@H]1CCC[C@@]1(C)C(=O)N(C)CC[NH+]1CCN(CC#N)CC1 ZINC001267573356 838337743 /nfs/dbraw/zinc/33/77/43/838337743.db2.gz PPDOSJDPLKSTLL-FUHWJXTLSA-N 1 2 320.481 1.802 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@@H](C)c2ccco2)CC1 ZINC001267617583 838495888 /nfs/dbraw/zinc/49/58/88/838495888.db2.gz DMJXHWDIXPNOLH-MRXNPFEDSA-N 1 2 317.433 1.482 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)(C)C(F)F)C1 ZINC001267618053 838500161 /nfs/dbraw/zinc/50/01/61/838500161.db2.gz WXRGEIJYFBXBJE-LLVKDONJSA-N 1 2 317.380 1.161 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)C(F)F)C1 ZINC001267618053 838500163 /nfs/dbraw/zinc/50/01/63/838500163.db2.gz WXRGEIJYFBXBJE-LLVKDONJSA-N 1 2 317.380 1.161 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2csnc2C)C1 ZINC001267624052 838518126 /nfs/dbraw/zinc/51/81/26/838518126.db2.gz OPDNQWROZPYGCL-ZDUSSCGKSA-N 1 2 307.419 1.296 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2csnc2C)C1 ZINC001267624052 838518133 /nfs/dbraw/zinc/51/81/33/838518133.db2.gz OPDNQWROZPYGCL-ZDUSSCGKSA-N 1 2 307.419 1.296 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(C)(C)CCC)C1 ZINC001267629732 838532031 /nfs/dbraw/zinc/53/20/31/838532031.db2.gz HVBROCNXHXSHCN-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(C)(C)CCC)C1 ZINC001267629732 838532037 /nfs/dbraw/zinc/53/20/37/838532037.db2.gz HVBROCNXHXSHCN-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO CCCOCC(=O)NCC1C[NH+](Cc2ccc(F)c(C#N)c2)C1 ZINC001267676235 838625527 /nfs/dbraw/zinc/62/55/27/838625527.db2.gz HIICUVICCAXZFC-UHFFFAOYSA-N 1 2 319.380 1.672 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC1C[NH+](Cc2ccc(F)cn2)C1 ZINC001267679892 838633824 /nfs/dbraw/zinc/63/38/24/838633824.db2.gz CZWIFFZXZHYSFT-UHFFFAOYSA-N 1 2 303.381 1.818 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(C)cc1 ZINC001267710200 838694251 /nfs/dbraw/zinc/69/42/51/838694251.db2.gz LQXHOHITRMIESQ-ROUUACIJSA-N 1 2 312.413 1.472 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(C)cc1 ZINC001267710200 838694254 /nfs/dbraw/zinc/69/42/54/838694254.db2.gz LQXHOHITRMIESQ-ROUUACIJSA-N 1 2 312.413 1.472 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccnn2C)C1 ZINC001267738576 838797238 /nfs/dbraw/zinc/79/72/38/838797238.db2.gz KOZUIPLWHVFLEW-UONOGXRCSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccnn2C)C1 ZINC001267738576 838797249 /nfs/dbraw/zinc/79/72/49/838797249.db2.gz KOZUIPLWHVFLEW-UONOGXRCSA-N 1 2 306.410 1.092 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H]1CC[N@@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001267765471 838907175 /nfs/dbraw/zinc/90/71/75/838907175.db2.gz ZQHWUMUMAXCKNY-OCCSQVGLSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H]1CC[N@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001267765471 838907178 /nfs/dbraw/zinc/90/71/78/838907178.db2.gz ZQHWUMUMAXCKNY-OCCSQVGLSA-N 1 2 304.394 1.775 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2C[N@H+](CCOCCCC)CCO2)C1 ZINC001268027544 839435432 /nfs/dbraw/zinc/43/54/32/839435432.db2.gz WEFBRFLGVIQSEO-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2C[N@@H+](CCOCCCC)CCO2)C1 ZINC001268027544 839435436 /nfs/dbraw/zinc/43/54/36/839435436.db2.gz WEFBRFLGVIQSEO-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)C2(CCOC)CCC2)C1 ZINC001268030520 839453703 /nfs/dbraw/zinc/45/37/03/839453703.db2.gz SATRFSZJROLQSC-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)C2(CCOC)CCC2)C1 ZINC001268030520 839453708 /nfs/dbraw/zinc/45/37/08/839453708.db2.gz SATRFSZJROLQSC-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC1(C(=O)N2CCC3(C[NH+](CC(N)=O)C3)CC2)CCC1 ZINC001268046194 839589247 /nfs/dbraw/zinc/58/92/47/839589247.db2.gz SMSJBOLPKIYMLX-UHFFFAOYSA-N 1 2 305.422 1.143 20 30 DDEDLO C=CCOCC(=O)NC1C[NH+](CCc2ccc(Cl)cc2)C1 ZINC001268259614 839909662 /nfs/dbraw/zinc/90/96/62/839909662.db2.gz NHMJHAOFDSHMQP-UHFFFAOYSA-N 1 2 308.809 1.886 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1C[NH+](Cc2cc(C)cc(C)c2)C1 ZINC001268301647 839968052 /nfs/dbraw/zinc/96/80/52/839968052.db2.gz ZMGXZKUIGYQSES-HNNXBMFYSA-N 1 2 300.402 1.642 20 30 DDEDLO O=C(CCc1c[nH]c[nH+]1)NC1CN(CC#Cc2ccccc2)C1 ZINC001268317002 839988123 /nfs/dbraw/zinc/98/81/23/839988123.db2.gz DCOOQGDWWDJQPE-UHFFFAOYSA-N 1 2 308.385 1.194 20 30 DDEDLO O=C(CCc1c[nH+]c[nH]1)NC1CN(CC#Cc2ccccc2)C1 ZINC001268317002 839988135 /nfs/dbraw/zinc/98/81/35/839988135.db2.gz DCOOQGDWWDJQPE-UHFFFAOYSA-N 1 2 308.385 1.194 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001028316960 840247138 /nfs/dbraw/zinc/24/71/38/840247138.db2.gz WEUZXIBCHURPQA-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001028316960 840247148 /nfs/dbraw/zinc/24/71/48/840247148.db2.gz WEUZXIBCHURPQA-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]C/C=C\CNC(=O)C#CC(C)(C)C)o1 ZINC001268536105 840409684 /nfs/dbraw/zinc/40/96/84/840409684.db2.gz HHFTXFXIXYLQOM-DGMVEKRQSA-N 1 2 304.394 1.751 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC1C[NH+](Cc2cncs2)C1 ZINC001268703071 840689524 /nfs/dbraw/zinc/68/95/24/840689524.db2.gz IMQJHUNNJQAANH-GFCCVEGCSA-N 1 2 309.435 1.672 20 30 DDEDLO C#CC(=O)N1CC[C@@H]2C[C@@]21C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001268850994 840904283 /nfs/dbraw/zinc/90/42/83/840904283.db2.gz GTONHRRKWONVCC-ACJLOTCBSA-N 1 2 320.352 1.435 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCC1CC1 ZINC001268929812 841011128 /nfs/dbraw/zinc/01/11/28/841011128.db2.gz MOKMHHXEBMPNTI-SNPRPXQTSA-N 1 2 305.422 1.152 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCC1CC1 ZINC001268929812 841011134 /nfs/dbraw/zinc/01/11/34/841011134.db2.gz MOKMHHXEBMPNTI-SNPRPXQTSA-N 1 2 305.422 1.152 20 30 DDEDLO CC[C@H](C)CC(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001268976107 841074694 /nfs/dbraw/zinc/07/46/94/841074694.db2.gz VUGIFXONQGNCSZ-AWEZNQCLSA-N 1 2 314.433 1.977 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@@H+]1CCNC(=O)NC(C)(C)C ZINC001269241954 841412253 /nfs/dbraw/zinc/41/22/53/841412253.db2.gz YXMAKZPODBPXGD-AWEZNQCLSA-N 1 2 324.469 1.631 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@H+]1CCNC(=O)NC(C)(C)C ZINC001269241954 841412254 /nfs/dbraw/zinc/41/22/54/841412254.db2.gz YXMAKZPODBPXGD-AWEZNQCLSA-N 1 2 324.469 1.631 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CC[N@@H+]1CCNC(=O)C1CC1 ZINC001269288078 841469521 /nfs/dbraw/zinc/46/95/21/841469521.db2.gz ZEZZQMVFIBMONC-RHSMWYFYSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CC[N@H+]1CCNC(=O)C1CC1 ZINC001269288078 841469522 /nfs/dbraw/zinc/46/95/22/841469522.db2.gz ZEZZQMVFIBMONC-RHSMWYFYSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CC[N@H+]2CC(=O)N(C)C2CC2)C1 ZINC001269291349 841477250 /nfs/dbraw/zinc/47/72/50/841477250.db2.gz IUOVYROJVHICGT-AWEZNQCLSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CC[N@@H+]2CC(=O)N(C)C2CC2)C1 ZINC001269291349 841477257 /nfs/dbraw/zinc/47/72/57/841477257.db2.gz IUOVYROJVHICGT-AWEZNQCLSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCN1CC[C@]2(CCCN2C(=O)c2cccc3[nH+]ccn32)C1=O ZINC001269484327 841681487 /nfs/dbraw/zinc/68/14/87/841681487.db2.gz BEWJPEZQMQJTPY-GOSISDBHSA-N 1 2 324.384 1.727 20 30 DDEDLO C=C(C)CNC(=O)[C@@H]1CC12CCN(C(=O)Cc1c[nH+]c[nH]1)CC2 ZINC001269569400 841774994 /nfs/dbraw/zinc/77/49/94/841774994.db2.gz SDGWQHXYDXCRDT-AWEZNQCLSA-N 1 2 316.405 1.273 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1C[N@H+](CCn2cccn2)CCO1 ZINC001270656310 842782016 /nfs/dbraw/zinc/78/20/16/842782016.db2.gz BUUICDZQCOPGEE-HNNXBMFYSA-N 1 2 306.410 1.056 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1C[N@@H+](CCn2cccn2)CCO1 ZINC001270656310 842782021 /nfs/dbraw/zinc/78/20/21/842782021.db2.gz BUUICDZQCOPGEE-HNNXBMFYSA-N 1 2 306.410 1.056 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)COCc2ccc(C)cc2)C1 ZINC001270658079 842784493 /nfs/dbraw/zinc/78/44/93/842784493.db2.gz NMPKLHMKQKDUKI-QGZVFWFLSA-N 1 2 318.417 1.515 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)COCc2ccc(C)cc2)C1 ZINC001270658079 842784499 /nfs/dbraw/zinc/78/44/99/842784499.db2.gz NMPKLHMKQKDUKI-QGZVFWFLSA-N 1 2 318.417 1.515 20 30 DDEDLO C[NH+](C)Cc1nc(CSCCNC(=O)CCC#N)cs1 ZINC001143202917 861412398 /nfs/dbraw/zinc/41/23/98/861412398.db2.gz KPURLQGMBHPZMZ-UHFFFAOYSA-N 1 2 312.464 1.858 20 30 DDEDLO CC(C)C[C@@H](CNCC#N)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001271278920 843465113 /nfs/dbraw/zinc/46/51/13/843465113.db2.gz UIOWFHFTHOTCDS-KBPBESRZSA-N 1 2 303.410 1.090 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2c(C)[nH]c3ccccc32)C1 ZINC001271312106 843485395 /nfs/dbraw/zinc/48/53/95/843485395.db2.gz MYJPABPRCMFQGD-UHFFFAOYSA-N 1 2 311.385 1.276 20 30 DDEDLO N#CCN1CC[C@@H]2CN(C(=O)CCCn3cc[nH+]c3)CC[C@@H]21 ZINC001272226193 844777813 /nfs/dbraw/zinc/77/78/13/844777813.db2.gz RGNGRZSJZSVOKE-CABCVRRESA-N 1 2 301.394 1.110 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cc(Cl)ccc1O)C2 ZINC001272629994 846429995 /nfs/dbraw/zinc/42/99/95/846429995.db2.gz QOXFZAVNPSYUFU-UHFFFAOYSA-N 1 2 322.792 1.645 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1sc(C)nc1C)C2 ZINC001272728329 846726583 /nfs/dbraw/zinc/72/65/83/846726583.db2.gz GIQDGGIONLRWFQ-UHFFFAOYSA-N 1 2 307.419 1.359 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)nc2)C1 ZINC001107745007 846916610 /nfs/dbraw/zinc/91/66/10/846916610.db2.gz QBQLJKMKFZLPHO-QGZVFWFLSA-N 1 2 301.390 1.234 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)nc2)C1 ZINC001107745007 846916616 /nfs/dbraw/zinc/91/66/16/846916616.db2.gz QBQLJKMKFZLPHO-QGZVFWFLSA-N 1 2 301.390 1.234 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)C[N@H+](CCOCC(F)F)CCO1 ZINC001107802142 847128329 /nfs/dbraw/zinc/12/83/29/847128329.db2.gz KAIFCESSMYDEAP-HNNXBMFYSA-N 1 2 320.380 1.441 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)C[N@@H+](CCOCC(F)F)CCO1 ZINC001107802142 847128334 /nfs/dbraw/zinc/12/83/34/847128334.db2.gz KAIFCESSMYDEAP-HNNXBMFYSA-N 1 2 320.380 1.441 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)cc1F ZINC001032503316 847417941 /nfs/dbraw/zinc/41/79/41/847417941.db2.gz JMPZOJRRFOFVFV-STQMWFEESA-N 1 2 302.349 1.756 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)cc1F ZINC001032503316 847417946 /nfs/dbraw/zinc/41/79/46/847417946.db2.gz JMPZOJRRFOFVFV-STQMWFEESA-N 1 2 302.349 1.756 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc3ccccc3n1)C2 ZINC001273006751 847771751 /nfs/dbraw/zinc/77/17/51/847771751.db2.gz WBVWFLCKOUOOAE-UHFFFAOYSA-N 1 2 323.396 1.834 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H](C)CSC)C2)CC1 ZINC001327083017 861865275 /nfs/dbraw/zinc/86/52/75/861865275.db2.gz QPJJBOREPORFIQ-CVEARBPZSA-N 1 2 323.506 1.227 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2nnc(C)[nH]2)CC1 ZINC001327113455 861899737 /nfs/dbraw/zinc/89/97/37/861899737.db2.gz MHUUHAVOIDPPBF-OAHLLOKOSA-N 1 2 317.437 1.777 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@H+](Cc2nnc(C)[nH]2)CC1 ZINC001327113455 861899748 /nfs/dbraw/zinc/89/97/48/861899748.db2.gz MHUUHAVOIDPPBF-OAHLLOKOSA-N 1 2 317.437 1.777 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1snnc1C ZINC001230714539 849063851 /nfs/dbraw/zinc/06/38/51/849063851.db2.gz DZNSYXUSINVCLD-GFCCVEGCSA-N 1 2 310.423 1.195 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1snnc1C ZINC001230714539 849063862 /nfs/dbraw/zinc/06/38/62/849063862.db2.gz DZNSYXUSINVCLD-GFCCVEGCSA-N 1 2 310.423 1.195 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](CCC)OC)C1 ZINC001150071239 862061781 /nfs/dbraw/zinc/06/17/81/862061781.db2.gz NOYSGNLXVUELAR-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H](CCC)OC)C1 ZINC001150071239 862061795 /nfs/dbraw/zinc/06/17/95/862061795.db2.gz NOYSGNLXVUELAR-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)CCC1CCC1)CO2 ZINC001327378820 862117050 /nfs/dbraw/zinc/11/70/50/862117050.db2.gz ITEXJIQEAXCUAJ-MRXNPFEDSA-N 1 2 322.449 1.729 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2COC3(C[NH+](CCOC)C3)C2)CCC1 ZINC001327405371 862143564 /nfs/dbraw/zinc/14/35/64/862143564.db2.gz HZKYHBDRKWUWOO-CQSZACIVSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2ncn3ccccc23)C1=O ZINC001273673593 851210324 /nfs/dbraw/zinc/21/03/24/851210324.db2.gz BLNHLNYVLHWQLG-SFHVURJKSA-N 1 2 308.385 1.534 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2ncn3ccccc23)C1=O ZINC001273673593 851210330 /nfs/dbraw/zinc/21/03/30/851210330.db2.gz BLNHLNYVLHWQLG-SFHVURJKSA-N 1 2 308.385 1.534 20 30 DDEDLO Cc1noc(C[NH+]2CCC3(C[C@H]3C(=O)NCCCC#N)CC2)n1 ZINC001273815666 851372494 /nfs/dbraw/zinc/37/24/94/851372494.db2.gz BGXQBCGUQZTWGB-ZDUSSCGKSA-N 1 2 317.393 1.400 20 30 DDEDLO Cc1cnc(C[NH2+]C/C=C/CNC(=O)c2c[nH]c(C#N)c2)nc1 ZINC001273967164 851625403 /nfs/dbraw/zinc/62/54/03/851625403.db2.gz WKLFHXFJJOXMDN-NSCUHMNNSA-N 1 2 310.361 1.061 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@H+](Cc3ncsc3C)C2)OCC1=O ZINC001274506939 852341312 /nfs/dbraw/zinc/34/13/12/852341312.db2.gz NQKBBDGLPFWMEO-MRXNPFEDSA-N 1 2 321.446 1.831 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@@H+](Cc3ncsc3C)C2)OCC1=O ZINC001274506939 852341322 /nfs/dbraw/zinc/34/13/22/852341322.db2.gz NQKBBDGLPFWMEO-MRXNPFEDSA-N 1 2 321.446 1.831 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C/C[NH2+][C@H](C)c2ncc(C)o2)nc1 ZINC001274512090 852346360 /nfs/dbraw/zinc/34/63/60/852346360.db2.gz UNCCQGHCBITZLT-VBROQKIQSA-N 1 2 324.384 1.996 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1C[C@]2(F)CN(CC(=C)C)C(=O)[C@]2(F)C1 ZINC001274619625 852449419 /nfs/dbraw/zinc/44/94/19/852449419.db2.gz BLUASSGRURKADI-CWRNSKLLSA-N 1 2 314.376 1.464 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1C[C@]2(F)CN(CC(=C)C)C(=O)[C@]2(F)C1 ZINC001274619625 852449423 /nfs/dbraw/zinc/44/94/23/852449423.db2.gz BLUASSGRURKADI-CWRNSKLLSA-N 1 2 314.376 1.464 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1CCC2(C[C@H]2C(=O)NC2COC2)CC1 ZINC001274856111 852644027 /nfs/dbraw/zinc/64/40/27/852644027.db2.gz XRGPOWAFQDGSPG-AWEZNQCLSA-N 1 2 314.389 1.003 20 30 DDEDLO C[C@H](NC(=O)C#CC(C)(C)C)[C@H](C)[NH2+]Cc1nnc(C2CC2)o1 ZINC001275395685 853063419 /nfs/dbraw/zinc/06/34/19/853063419.db2.gz WNFOWNSQYTTWEU-RYUDHWBXSA-N 1 2 318.421 1.979 20 30 DDEDLO C[N@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(Br)cc(C#N)c1 ZINC001275513155 853259178 /nfs/dbraw/zinc/25/91/78/853259178.db2.gz JUVFDHWXDCTHJU-BETUJISGSA-N 1 2 320.190 1.849 20 30 DDEDLO C[N@@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(Br)cc(C#N)c1 ZINC001275513155 853259180 /nfs/dbraw/zinc/25/91/80/853259180.db2.gz JUVFDHWXDCTHJU-BETUJISGSA-N 1 2 320.190 1.849 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H]1C[N@H+](CC(=C)Cl)CCCO1 ZINC001150811172 862476474 /nfs/dbraw/zinc/47/64/74/862476474.db2.gz NDPHUQRQWCGQES-ZIAGYGMSSA-N 1 2 316.829 1.537 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H]1C[N@@H+](CC(=C)Cl)CCCO1 ZINC001150811172 862476478 /nfs/dbraw/zinc/47/64/78/862476478.db2.gz NDPHUQRQWCGQES-ZIAGYGMSSA-N 1 2 316.829 1.537 20 30 DDEDLO N#CCn1c(CN2CCn3c[nH+]cc3C2)nc2ccccc2c1=O ZINC001327881265 862534386 /nfs/dbraw/zinc/53/43/86/862534386.db2.gz OKXGTWSMTXFESX-UHFFFAOYSA-N 1 2 320.356 1.132 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@@H](C)c2cccnc2)C1 ZINC001276100733 854764839 /nfs/dbraw/zinc/76/48/39/854764839.db2.gz HBLAZOIBJQFLAT-AWEZNQCLSA-N 1 2 303.406 1.314 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3(CCC)CC3)CC2)C1 ZINC001328130568 862713050 /nfs/dbraw/zinc/71/30/50/862713050.db2.gz UWSNZQBUUJXQJN-UHFFFAOYSA-N 1 2 303.450 1.418 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)Cc2csc(C#N)c2)c[nH+]1 ZINC001413456802 856835515 /nfs/dbraw/zinc/83/55/15/856835515.db2.gz FKUVPRAHKLJPNC-UHFFFAOYSA-N 1 2 310.404 1.525 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)Cc2csc(C#N)c2)[nH+]1 ZINC001413456802 856835523 /nfs/dbraw/zinc/83/55/23/856835523.db2.gz FKUVPRAHKLJPNC-UHFFFAOYSA-N 1 2 310.404 1.525 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@H](C)[NH2+]Cc1nnsc1Cl ZINC001381181817 881211792 /nfs/dbraw/zinc/21/17/92/881211792.db2.gz PYQTWNBOSIFBQI-SFYZADRCSA-N 1 2 301.803 1.336 20 30 DDEDLO CN(C)c1cc(C(F)(F)N2CC[NH2+]C[C@@H]2C#N)nc(Cl)n1 ZINC001156332689 862943809 /nfs/dbraw/zinc/94/38/09/862943809.db2.gz LXUZLPPSTMCWBB-QMMMGPOBSA-N 1 2 316.743 1.043 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H](CCC)OC)CC2)C1 ZINC001328491280 863005348 /nfs/dbraw/zinc/00/53/48/863005348.db2.gz BIWPEUHYENAQCR-OAHLLOKOSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H](CCC)OC)CC2)C1 ZINC001328491280 863005332 /nfs/dbraw/zinc/00/53/32/863005332.db2.gz BIWPEUHYENAQCR-OAHLLOKOSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H](CCC)OC)CC2)C1 ZINC001328491279 863006286 /nfs/dbraw/zinc/00/62/86/863006286.db2.gz BIWPEUHYENAQCR-HNNXBMFYSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H](CCC)OC)CC2)C1 ZINC001328491279 863006303 /nfs/dbraw/zinc/00/63/03/863006303.db2.gz BIWPEUHYENAQCR-HNNXBMFYSA-N 1 2 308.422 1.128 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](CO)Nc2cc[nH+]c(C)n2)CCCC1 ZINC001121743522 858587685 /nfs/dbraw/zinc/58/76/85/858587685.db2.gz RXRRGNXMUAROJL-AWEZNQCLSA-N 1 2 318.421 1.811 20 30 DDEDLO C=CCCC(=O)NCC[NH2+]Cc1nc([C@H](C)OCC(C)C)no1 ZINC001124646390 859762083 /nfs/dbraw/zinc/76/20/83/859762083.db2.gz QYSKGKBPYZIYEW-ZDUSSCGKSA-N 1 2 324.425 1.975 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+][C@@H](C)c1nc(C(C)C)no1 ZINC001125259721 859935781 /nfs/dbraw/zinc/93/57/81/859935781.db2.gz BUYBHOYAQIWOQP-ZDUSSCGKSA-N 1 2 324.425 1.943 20 30 DDEDLO C=C(C)COc1ccccc1C[N@H+](C)CC(=O)N1CCOCC1 ZINC001138662982 860156102 /nfs/dbraw/zinc/15/61/02/860156102.db2.gz JLZVOWRKJQSAPG-UHFFFAOYSA-N 1 2 318.417 1.932 20 30 DDEDLO C=C(C)COc1ccccc1C[N@@H+](C)CC(=O)N1CCOCC1 ZINC001138662982 860156106 /nfs/dbraw/zinc/15/61/06/860156106.db2.gz JLZVOWRKJQSAPG-UHFFFAOYSA-N 1 2 318.417 1.932 20 30 DDEDLO N#Cc1cc(F)cc(C[N@H+]2C[C@](O)(C(F)(F)F)C[C@H]2CO)c1 ZINC001139873164 860505653 /nfs/dbraw/zinc/50/56/53/860505653.db2.gz CRDPKCYZYISYMS-STQMWFEESA-N 1 2 318.270 1.557 20 30 DDEDLO N#Cc1cc(F)cc(C[N@@H+]2C[C@](O)(C(F)(F)F)C[C@H]2CO)c1 ZINC001139873164 860505663 /nfs/dbraw/zinc/50/56/63/860505663.db2.gz CRDPKCYZYISYMS-STQMWFEESA-N 1 2 318.270 1.557 20 30 DDEDLO CCOC[C@H]1C[N@H+](Cc2ccc(C#N)nc2)Cc2nnn(C)c21 ZINC001140542759 860653114 /nfs/dbraw/zinc/65/31/14/860653114.db2.gz DDSLCWPJGIKOMK-CYBMUJFWSA-N 1 2 312.377 1.218 20 30 DDEDLO CCOC[C@H]1C[N@@H+](Cc2ccc(C#N)nc2)Cc2nnn(C)c21 ZINC001140542759 860653115 /nfs/dbraw/zinc/65/31/15/860653115.db2.gz DDSLCWPJGIKOMK-CYBMUJFWSA-N 1 2 312.377 1.218 20 30 DDEDLO CN1CCC2(C[NH+](Cc3sc(N)c(C#N)c3Cl)C2)C1=O ZINC001141115849 860788938 /nfs/dbraw/zinc/78/89/38/860788938.db2.gz LHXNTSJOAHZDNJ-UHFFFAOYSA-N 1 2 310.810 1.519 20 30 DDEDLO C=CCn1cc(C(=O)N2CCC[C@@H](Cc3[nH+]ccn3C)C2)nn1 ZINC001328564211 863068848 /nfs/dbraw/zinc/06/88/48/863068848.db2.gz XUCIUZOAIKCXQE-ZDUSSCGKSA-N 1 2 314.393 1.293 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1nccc(C)n1 ZINC001328707234 863160440 /nfs/dbraw/zinc/16/04/40/863160440.db2.gz NHEFNHWCHDAPGG-OAHLLOKOSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1nccc(C)n1 ZINC001328707234 863160448 /nfs/dbraw/zinc/16/04/48/863160448.db2.gz NHEFNHWCHDAPGG-OAHLLOKOSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cn3cc(OC)ccc3n2)CC1 ZINC001157076958 863555166 /nfs/dbraw/zinc/55/51/66/863555166.db2.gz RTRCSFAXUXIEBE-UHFFFAOYSA-N 1 2 300.362 1.287 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncccn1 ZINC001152867047 863579100 /nfs/dbraw/zinc/57/91/00/863579100.db2.gz FBIIFAIMEYLNFH-OAHLLOKOSA-N 1 2 302.422 1.997 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncccn1 ZINC001152867047 863579110 /nfs/dbraw/zinc/57/91/10/863579110.db2.gz FBIIFAIMEYLNFH-OAHLLOKOSA-N 1 2 302.422 1.997 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H](C)CNC(=O)CSCC#N)c(C)o1 ZINC001329384255 863631710 /nfs/dbraw/zinc/63/17/10/863631710.db2.gz CAKLFTLSLGHUQI-SNVBAGLBSA-N 1 2 310.423 1.485 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H](C)CNC(=O)CSCC#N)c(C)o1 ZINC001329384255 863631717 /nfs/dbraw/zinc/63/17/17/863631717.db2.gz CAKLFTLSLGHUQI-SNVBAGLBSA-N 1 2 310.423 1.485 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cc(C)on1 ZINC001157213900 863656350 /nfs/dbraw/zinc/65/63/50/863656350.db2.gz WNDCZDFTVGHJDR-CYBMUJFWSA-N 1 2 309.410 1.902 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cc(C)on1 ZINC001157213900 863656355 /nfs/dbraw/zinc/65/63/55/863656355.db2.gz WNDCZDFTVGHJDR-CYBMUJFWSA-N 1 2 309.410 1.902 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C2(CC)CCCC2)C1 ZINC001330062156 864057048 /nfs/dbraw/zinc/05/70/48/864057048.db2.gz WYAUYYOHYMVOCE-AWEZNQCLSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)C2C[NH+](CC(=O)NC)C2)CCCC1 ZINC001330086482 864073253 /nfs/dbraw/zinc/07/32/53/864073253.db2.gz RWRALQVADSEOQO-ZDUSSCGKSA-N 1 2 307.438 1.305 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)C)C(C)(C)C2)nn1C ZINC001330204489 864154628 /nfs/dbraw/zinc/15/46/28/864154628.db2.gz TZOMEHUTHMHXFL-MRXNPFEDSA-N 1 2 316.449 1.715 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)C)C(C)(C)C2)nn1C ZINC001330204489 864154633 /nfs/dbraw/zinc/15/46/33/864154633.db2.gz TZOMEHUTHMHXFL-MRXNPFEDSA-N 1 2 316.449 1.715 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCC[C@H](c2n[nH]cc2NC(C)=O)C1 ZINC001330672592 864526294 /nfs/dbraw/zinc/52/62/94/864526294.db2.gz NVYFCSMRSBEQEY-JSGCOSHPSA-N 1 2 320.393 1.665 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCC[C@H](c2n[nH]cc2NC(C)=O)C1 ZINC001330672592 864526298 /nfs/dbraw/zinc/52/62/98/864526298.db2.gz NVYFCSMRSBEQEY-JSGCOSHPSA-N 1 2 320.393 1.665 20 30 DDEDLO Cc1nc(N2CC[C@H]([NH+]3CCCC3)C(F)(F)C2)cnc1C#N ZINC001158623970 864763858 /nfs/dbraw/zinc/76/38/58/864763858.db2.gz TVDIDELGZTVCBG-ZDUSSCGKSA-N 1 2 307.348 1.967 20 30 DDEDLO Cc1cc(N2CC[C@@H]([NH+]3CCCC3)C(F)(F)C2)nc(C#N)n1 ZINC001158624914 864764011 /nfs/dbraw/zinc/76/40/11/864764011.db2.gz YGDPINSJXQLALH-GFCCVEGCSA-N 1 2 307.348 1.967 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1[N@H+]([C@@H](C)c1nncn1C)CC2 ZINC001332085052 865563780 /nfs/dbraw/zinc/56/37/80/865563780.db2.gz YTUKJPBVCDWVOQ-VBQJREDUSA-N 1 2 317.437 1.956 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1[N@@H+]([C@@H](C)c1nncn1C)CC2 ZINC001332085052 865563792 /nfs/dbraw/zinc/56/37/92/865563792.db2.gz YTUKJPBVCDWVOQ-VBQJREDUSA-N 1 2 317.437 1.956 20 30 DDEDLO O=C(C#CC1CC1)N[C@@]12CCC[C@@H]1[N@H+](Cc1cnon1)CC2 ZINC001332093235 865571874 /nfs/dbraw/zinc/57/18/74/865571874.db2.gz WWRUYZBKUXGOOI-GOEBONIOSA-N 1 2 300.362 1.096 20 30 DDEDLO O=C(C#CC1CC1)N[C@@]12CCC[C@@H]1[N@@H+](Cc1cnon1)CC2 ZINC001332093235 865571880 /nfs/dbraw/zinc/57/18/80/865571880.db2.gz WWRUYZBKUXGOOI-GOEBONIOSA-N 1 2 300.362 1.096 20 30 DDEDLO C=CCCOCC(=O)N[C@]12CCC[C@H]1[N@H+](Cc1cnon1)CC2 ZINC001332116784 865590759 /nfs/dbraw/zinc/59/07/59/865590759.db2.gz JJXXLWQNTOAPKR-ZBFHGGJFSA-N 1 2 320.393 1.276 20 30 DDEDLO C=CCCOCC(=O)N[C@]12CCC[C@H]1[N@@H+](Cc1cnon1)CC2 ZINC001332116784 865590765 /nfs/dbraw/zinc/59/07/65/865590765.db2.gz JJXXLWQNTOAPKR-ZBFHGGJFSA-N 1 2 320.393 1.276 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C(C)(C)C(N)=O)[C@@H]1C ZINC001332184216 865648627 /nfs/dbraw/zinc/64/86/27/865648627.db2.gz HOLPYCJEFXVPPY-QWRGUYRKSA-N 1 2 301.818 1.220 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C(N)=O)[C@@H]1C ZINC001332184216 865648643 /nfs/dbraw/zinc/64/86/43/865648643.db2.gz HOLPYCJEFXVPPY-QWRGUYRKSA-N 1 2 301.818 1.220 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1ccc2c(C#N)c[nH]c2n1 ZINC001160692066 866032160 /nfs/dbraw/zinc/03/21/60/866032160.db2.gz BNFLXNBXXDRYIK-CYBMUJFWSA-N 1 2 324.344 1.364 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H](O)C[N@H+](C)Cc2cc(C)on2)C1 ZINC001332664250 866041030 /nfs/dbraw/zinc/04/10/30/866041030.db2.gz SXIDBCHMXDVSNH-OAHLLOKOSA-N 1 2 321.421 1.590 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc2cc(C)on2)C1 ZINC001332664250 866041041 /nfs/dbraw/zinc/04/10/41/866041041.db2.gz SXIDBCHMXDVSNH-OAHLLOKOSA-N 1 2 321.421 1.590 20 30 DDEDLO N#Cc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@@H]2[NH+]2CCOCC2)n1 ZINC001160724130 866069389 /nfs/dbraw/zinc/06/93/89/866069389.db2.gz OPPXKOJQBUQCPW-STQMWFEESA-N 1 2 317.349 1.527 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)CCC(C)(F)F ZINC001323261832 866482403 /nfs/dbraw/zinc/48/24/03/866482403.db2.gz KWQXQIDQPSCVKT-VXGBXAGGSA-N 1 2 317.380 1.303 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)CCC(C)(F)F ZINC001323261832 866482407 /nfs/dbraw/zinc/48/24/07/866482407.db2.gz KWQXQIDQPSCVKT-VXGBXAGGSA-N 1 2 317.380 1.303 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)CN(C3CCCC3)C2=O)C1 ZINC001320308614 866630718 /nfs/dbraw/zinc/63/07/18/866630718.db2.gz NYSBNNZLVQXRLP-CQSZACIVSA-N 1 2 307.394 1.428 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)CN(C3CCCC3)C2=O)C1 ZINC001320308614 866630728 /nfs/dbraw/zinc/63/07/28/866630728.db2.gz NYSBNNZLVQXRLP-CQSZACIVSA-N 1 2 307.394 1.428 20 30 DDEDLO COCC(=O)N[C@H]1CC[C@H](Nc2cc(CC#N)cc[nH+]2)CC1 ZINC001161675379 866873858 /nfs/dbraw/zinc/87/38/58/866873858.db2.gz BATMAZOJZSSTOX-HDJSIYSDSA-N 1 2 302.378 1.633 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)COc2ccccc2OC)C1 ZINC001324018221 867014008 /nfs/dbraw/zinc/01/40/08/867014008.db2.gz SIXZBTMYYAXIOJ-UHFFFAOYSA-N 1 2 302.374 1.240 20 30 DDEDLO C#CC[N@H+]1CC=C(CCNC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC001161853265 867033754 /nfs/dbraw/zinc/03/37/54/867033754.db2.gz IICOYVYDCUNDHQ-UHFFFAOYSA-N 1 2 309.373 1.343 20 30 DDEDLO C#CC[N@@H+]1CC=C(CCNC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC001161853265 867033767 /nfs/dbraw/zinc/03/37/67/867033767.db2.gz IICOYVYDCUNDHQ-UHFFFAOYSA-N 1 2 309.373 1.343 20 30 DDEDLO C=CC(C)(C)CC(=O)NC/C=C/C[NH2+][C@H](C)c1nnnn1C ZINC001321078610 867292467 /nfs/dbraw/zinc/29/24/67/867292467.db2.gz YTRVNDAEQFEHPR-ABZNLYFFSA-N 1 2 306.414 1.135 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1cc(Cl)ccc1C#N ZINC001324515367 867325065 /nfs/dbraw/zinc/32/50/65/867325065.db2.gz AIKBIMNXXWSOGS-UHFFFAOYSA-N 1 2 305.765 1.732 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1cc(Cl)ccc1C#N ZINC001324515367 867325076 /nfs/dbraw/zinc/32/50/76/867325076.db2.gz AIKBIMNXXWSOGS-UHFFFAOYSA-N 1 2 305.765 1.732 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C\C[NH2+][C@H](C)c2nc(C)no2)cc1 ZINC001321193803 867392693 /nfs/dbraw/zinc/39/26/93/867392693.db2.gz SBXXHPGFIOBURO-CFHLNLSMSA-N 1 2 324.384 1.996 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CC[C@](C)(CNCC#N)C1)n1cc[nH+]c1 ZINC001324739627 867488947 /nfs/dbraw/zinc/48/89/47/867488947.db2.gz BSSLQMJNPNEYLM-DOTOQJQBSA-N 1 2 317.437 1.822 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)CC1 ZINC001324832894 867562165 /nfs/dbraw/zinc/56/21/65/867562165.db2.gz JBADTYDFAHZPLH-AWEZNQCLSA-N 1 2 316.405 1.540 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)Cc2ccc(C)c(OC)c2)C1 ZINC001325236488 867881774 /nfs/dbraw/zinc/88/17/74/867881774.db2.gz PCRVAPYCERZVMO-UHFFFAOYSA-N 1 2 318.417 1.285 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)Cc2c(C)cc(C)cc2C)C1 ZINC001325259564 867895344 /nfs/dbraw/zinc/89/53/44/867895344.db2.gz PMWXZGDAXDXGNB-UHFFFAOYSA-N 1 2 314.429 1.341 20 30 DDEDLO C=C[C@H](CC(=O)NCC1(O)C[NH+](CCCF)C1)c1ccccc1 ZINC001325307396 867930369 /nfs/dbraw/zinc/93/03/69/867930369.db2.gz DJYTXNPUGXZQGD-OAHLLOKOSA-N 1 2 320.408 1.869 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)Cc1c(C)nn(C)c1C ZINC001381562512 882171686 /nfs/dbraw/zinc/17/16/86/882171686.db2.gz LVAOLLSWZFILFG-NSHDSACASA-N 1 2 312.845 1.768 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)Cc1c(C)nn(C)c1C ZINC001381562512 882171696 /nfs/dbraw/zinc/17/16/96/882171696.db2.gz LVAOLLSWZFILFG-NSHDSACASA-N 1 2 312.845 1.768 20 30 DDEDLO Cc1noc([C@H](C)[NH+]2CCC(NC(=O)CSCC#N)CC2)n1 ZINC001226152510 882235572 /nfs/dbraw/zinc/23/55/72/882235572.db2.gz IARULGQCRRYQGO-JTQLQIEISA-N 1 2 323.422 1.276 20 30 DDEDLO C#CCCCC(=O)N(C)C1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001336478748 868966139 /nfs/dbraw/zinc/96/61/39/868966139.db2.gz XCXLZOMTWALJCO-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO CCN(c1ccccc1)c1cc(N2CC[NH2+]C[C@H]2C#N)ncn1 ZINC001164660638 869344608 /nfs/dbraw/zinc/34/46/08/869344608.db2.gz FRWYAVLNNOQVDP-OAHLLOKOSA-N 1 2 308.389 1.936 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[NH2+]Cc2nsc(N(C)C)n2)C1 ZINC001166248781 869923014 /nfs/dbraw/zinc/92/30/14/869923014.db2.gz DPWMVMKKUIPGBG-UHFFFAOYSA-N 1 2 323.466 1.556 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)CCCn2cccc2)CC1 ZINC001316967394 870010585 /nfs/dbraw/zinc/01/05/85/870010585.db2.gz CHYOLEUUIQSEKH-UHFFFAOYSA-N 1 2 316.449 1.025 20 30 DDEDLO C=CC[N@@H+](C)CCN(CC)C(=O)c1ccc([N+](=O)[O-])cc1O ZINC001297373568 870012950 /nfs/dbraw/zinc/01/29/50/870012950.db2.gz YDZDDYZMSAFNHI-UHFFFAOYSA-N 1 2 307.350 1.880 20 30 DDEDLO C=CC[N@H+](C)CCN(CC)C(=O)c1ccc([N+](=O)[O-])cc1O ZINC001297373568 870012962 /nfs/dbraw/zinc/01/29/62/870012962.db2.gz YDZDDYZMSAFNHI-UHFFFAOYSA-N 1 2 307.350 1.880 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001316978447 870045828 /nfs/dbraw/zinc/04/58/28/870045828.db2.gz XTNFMLZSMYXART-SOUVJXGZSA-N 1 2 321.465 1.551 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001316978447 870045834 /nfs/dbraw/zinc/04/58/34/870045834.db2.gz XTNFMLZSMYXART-SOUVJXGZSA-N 1 2 321.465 1.551 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[C@@H]1NC(=O)CCc1c[nH]c[nH+]1 ZINC001298129337 870300706 /nfs/dbraw/zinc/30/07/06/870300706.db2.gz RFYCCHYIRKGPTD-ZFWWWQNUSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[C@@H]1NC(=O)CCc1c[nH+]c[nH]1 ZINC001298129337 870300726 /nfs/dbraw/zinc/30/07/26/870300726.db2.gz RFYCCHYIRKGPTD-ZFWWWQNUSA-N 1 2 318.421 1.710 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc(Cc3[nH+]ccn3C)n2CC=C)C1 ZINC001339091056 870339892 /nfs/dbraw/zinc/33/98/92/870339892.db2.gz JRFMASVIWFRWPC-CQSZACIVSA-N 1 2 310.405 1.638 20 30 DDEDLO O=C(C#CC1CC1)NC/C=C/CNC(=O)c1cccc2[nH+]ccn21 ZINC001298394562 870527664 /nfs/dbraw/zinc/52/76/64/870527664.db2.gz RQKDKEOFSUNWHP-OWOJBTEDSA-N 1 2 322.368 1.150 20 30 DDEDLO C[C@@H](C[C@H](C)NC(=O)Cn1cc[nH+]c1)NC(=O)C#CC(C)(C)C ZINC001339832338 870724464 /nfs/dbraw/zinc/72/44/64/870724464.db2.gz UCEQZCVVJGKOJP-KBPBESRZSA-N 1 2 318.421 1.332 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](C)NC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001298803901 870734483 /nfs/dbraw/zinc/73/44/83/870734483.db2.gz MQTQSKSTEQOMDQ-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](C)NC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001298803901 870734492 /nfs/dbraw/zinc/73/44/92/870734492.db2.gz MQTQSKSTEQOMDQ-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001226456665 882440908 /nfs/dbraw/zinc/44/09/08/882440908.db2.gz SAQNLQLCPXELLT-AWEZNQCLSA-N 1 2 320.437 1.482 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[C@H]1CCC[N@@H+]1Cc1cnsn1 ZINC001317426125 870808323 /nfs/dbraw/zinc/80/83/23/870808323.db2.gz LSLNTSBDKWBBGN-AAEUAGOBSA-N 1 2 307.423 1.559 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[C@H]1CCC[N@H+]1Cc1cnsn1 ZINC001317426125 870808329 /nfs/dbraw/zinc/80/83/29/870808329.db2.gz LSLNTSBDKWBBGN-AAEUAGOBSA-N 1 2 307.423 1.559 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCN1C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001298994791 870814680 /nfs/dbraw/zinc/81/46/80/870814680.db2.gz USAAWEMNWRWEKL-KGLIPLIRSA-N 1 2 316.405 1.129 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC[C@@H](C)CCC)n2C)CC1 ZINC001339977758 870817648 /nfs/dbraw/zinc/81/76/48/870817648.db2.gz HBTCJZAQNRXEHS-HNNXBMFYSA-N 1 2 303.454 1.939 20 30 DDEDLO C=CCN1CCN(C(C)C)C(=O)[C@@]12CC[N@H+](Cc1ncc[nH]1)C2 ZINC001203978522 870867263 /nfs/dbraw/zinc/86/72/63/870867263.db2.gz YHRDXRAQHRMMBC-KRWDZBQOSA-N 1 2 317.437 1.093 20 30 DDEDLO C=CCN1CCN(C(C)C)C(=O)[C@@]12CC[N@@H+](Cc1ncc[nH]1)C2 ZINC001203978522 870867273 /nfs/dbraw/zinc/86/72/73/870867273.db2.gz YHRDXRAQHRMMBC-KRWDZBQOSA-N 1 2 317.437 1.093 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C(C)C)C1 ZINC001340258005 870992058 /nfs/dbraw/zinc/99/20/58/870992058.db2.gz DMAYQFMXXVPTPJ-KFWWJZLASA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C(C)C)C1 ZINC001340258005 870992069 /nfs/dbraw/zinc/99/20/69/870992069.db2.gz DMAYQFMXXVPTPJ-KFWWJZLASA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)[C@@H](C)C1CC1 ZINC001340258209 870992951 /nfs/dbraw/zinc/99/29/51/870992951.db2.gz GXXZKJOACQYTFP-UBHSHLNASA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)[C@@H](C)C1CC1 ZINC001340258209 870992962 /nfs/dbraw/zinc/99/29/62/870992962.db2.gz GXXZKJOACQYTFP-UBHSHLNASA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)C[C@H](C)C1 ZINC001340375635 871067448 /nfs/dbraw/zinc/06/74/48/871067448.db2.gz UMHKARRQGNZXKQ-BARDWOONSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1C[C@H](C)C[C@H](C)C1 ZINC001340375635 871067463 /nfs/dbraw/zinc/06/74/63/871067463.db2.gz UMHKARRQGNZXKQ-BARDWOONSA-N 1 2 319.453 1.684 20 30 DDEDLO CC#CCCCC(=O)N(C)CC[NH2+][C@H](C)c1nnc(CC)o1 ZINC001317621514 871227883 /nfs/dbraw/zinc/22/78/83/871227883.db2.gz RQEXZXHDTYZAIV-CYBMUJFWSA-N 1 2 306.410 1.935 20 30 DDEDLO C=CCCC(=O)NCC[N@@H+](C)CC(=O)N1[C@@H](C)CCC[C@@H]1C ZINC001317440335 871308835 /nfs/dbraw/zinc/30/88/35/871308835.db2.gz ANEJNEBFUWCMBP-GJZGRUSLSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)NCC[N@H+](C)CC(=O)N1[C@@H](C)CCC[C@@H]1C ZINC001317440335 871308856 /nfs/dbraw/zinc/30/88/56/871308856.db2.gz ANEJNEBFUWCMBP-GJZGRUSLSA-N 1 2 309.454 1.790 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1CCC[N@@H+](CC(N)=O)C1)c1ccccc1 ZINC001317955526 871647677 /nfs/dbraw/zinc/64/76/77/871647677.db2.gz RBVZPNXPYGZALM-CABCVRRESA-N 1 2 301.390 1.022 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1CCC[N@H+](CC(N)=O)C1)c1ccccc1 ZINC001317955526 871647681 /nfs/dbraw/zinc/64/76/81/871647681.db2.gz RBVZPNXPYGZALM-CABCVRRESA-N 1 2 301.390 1.022 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)CCC(C)(C)C(=O)OC)n1 ZINC001205621320 871664092 /nfs/dbraw/zinc/66/40/92/871664092.db2.gz KCUDIAVTUVHNRB-UHFFFAOYSA-N 1 2 321.421 1.554 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)CCC(C)(C)C(=O)OC)n1 ZINC001205621320 871664105 /nfs/dbraw/zinc/66/41/05/871664105.db2.gz KCUDIAVTUVHNRB-UHFFFAOYSA-N 1 2 321.421 1.554 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CCC(C)(F)F)C1 ZINC001317976132 871666622 /nfs/dbraw/zinc/66/66/22/871666622.db2.gz WJVKYWIQMPGLCG-LBPRGKRZSA-N 1 2 317.380 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CCC(C)(F)F)C1 ZINC001317976132 871666625 /nfs/dbraw/zinc/66/66/25/871666625.db2.gz WJVKYWIQMPGLCG-LBPRGKRZSA-N 1 2 317.380 1.305 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@H](C)C#N)CC1 ZINC001226617552 882542854 /nfs/dbraw/zinc/54/28/54/882542854.db2.gz JDMJAJSFSCDSQY-SECBINFHSA-N 1 2 300.200 1.635 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1C[NH+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001318140698 871775606 /nfs/dbraw/zinc/77/56/06/871775606.db2.gz WEJXMXWTCAHECU-CYBMUJFWSA-N 1 2 321.465 1.551 20 30 DDEDLO O=C(NCC#C[C@H]1CCCCO1)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001341810375 871837839 /nfs/dbraw/zinc/83/78/39/871837839.db2.gz UQSJOOWOWMVVNO-OAHLLOKOSA-N 1 2 316.405 1.871 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2c(C)nnn2CC)C1 ZINC001318358395 871953971 /nfs/dbraw/zinc/95/39/71/871953971.db2.gz VNGARAUQGANDQI-CQSZACIVSA-N 1 2 319.453 1.899 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2c(C)nnn2CC)C1 ZINC001318358395 871953988 /nfs/dbraw/zinc/95/39/88/871953988.db2.gz VNGARAUQGANDQI-CQSZACIVSA-N 1 2 319.453 1.899 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)C[C@H]1CCOC1)C2 ZINC001316806303 872078298 /nfs/dbraw/zinc/07/82/98/872078298.db2.gz SLKZFVLKSCDGRN-GFCCVEGCSA-N 1 2 319.430 1.177 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2ccc3nc(C#N)sc3c2)C[N@@H+]1C ZINC001226673048 882578593 /nfs/dbraw/zinc/57/85/93/882578593.db2.gz XTIXVJNIJGBGTM-PWSUYJOCSA-N 1 2 317.370 1.792 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2ccc3nc(C#N)sc3c2)C[N@H+]1C ZINC001226673048 882578602 /nfs/dbraw/zinc/57/86/02/882578602.db2.gz XTIXVJNIJGBGTM-PWSUYJOCSA-N 1 2 317.370 1.792 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001206479033 872364276 /nfs/dbraw/zinc/36/42/76/872364276.db2.gz KAQSTWRJUUYUBQ-BPLDGKMQSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001206479033 872364258 /nfs/dbraw/zinc/36/42/58/872364258.db2.gz KAQSTWRJUUYUBQ-BPLDGKMQSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](CC(=C)Br)C[C@H]1C ZINC001206606633 872471891 /nfs/dbraw/zinc/47/18/91/872471891.db2.gz FLIYDIFKOJSWST-ZYHUDNBSSA-N 1 2 317.227 1.534 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](CC(=C)Br)C[C@H]1C ZINC001206606633 872471907 /nfs/dbraw/zinc/47/19/07/872471907.db2.gz FLIYDIFKOJSWST-ZYHUDNBSSA-N 1 2 317.227 1.534 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ccncc2Cl)C[C@H]1C ZINC001206606701 872472612 /nfs/dbraw/zinc/47/26/12/872472612.db2.gz JDPTYYZEBYZQKV-IUODEOHRSA-N 1 2 323.824 1.874 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ccncc2Cl)C[C@H]1C ZINC001206606701 872472623 /nfs/dbraw/zinc/47/26/23/872472623.db2.gz JDPTYYZEBYZQKV-IUODEOHRSA-N 1 2 323.824 1.874 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C2)sn1 ZINC001206640067 872489698 /nfs/dbraw/zinc/48/96/98/872489698.db2.gz DHGYQMDBEOFDPJ-HOSYDEDBSA-N 1 2 308.407 1.248 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C2)sn1 ZINC001206640067 872489705 /nfs/dbraw/zinc/48/97/05/872489705.db2.gz DHGYQMDBEOFDPJ-HOSYDEDBSA-N 1 2 308.407 1.248 20 30 DDEDLO CCc1nccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)n1 ZINC001207088879 873000924 /nfs/dbraw/zinc/00/09/24/873000924.db2.gz WKIYMTIOAXEBNL-UHFFFAOYSA-N 1 2 308.389 1.628 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@@H]1CCOC1 ZINC001345414700 873396079 /nfs/dbraw/zinc/39/60/79/873396079.db2.gz SHURCTILAFOXDN-UONOGXRCSA-N 1 2 305.426 1.704 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@@H]1CCOC1 ZINC001345414700 873396091 /nfs/dbraw/zinc/39/60/91/873396091.db2.gz SHURCTILAFOXDN-UONOGXRCSA-N 1 2 305.426 1.704 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@H]1CCOC1 ZINC001345625322 873459237 /nfs/dbraw/zinc/45/92/37/873459237.db2.gz FQFZQDMYNSYZJG-RRFJBIMHSA-N 1 2 317.437 1.539 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@H]1CCOC1 ZINC001345625322 873459241 /nfs/dbraw/zinc/45/92/41/873459241.db2.gz FQFZQDMYNSYZJG-RRFJBIMHSA-N 1 2 317.437 1.539 20 30 DDEDLO C#CCCCCC(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001226952048 882740738 /nfs/dbraw/zinc/74/07/38/882740738.db2.gz QPFLXHGLKYLECY-UHFFFAOYSA-N 1 2 303.410 1.089 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([NH2+][C@H](C)c2nc(C)no2)C1 ZINC001207943517 873674451 /nfs/dbraw/zinc/67/44/51/873674451.db2.gz ADBLSWHZUILLKA-OCCSQVGLSA-N 1 2 304.394 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C2(COC)CCC2)C1 ZINC001208021763 873758958 /nfs/dbraw/zinc/75/89/58/873758958.db2.gz CDEYBLDPTVYSOL-DGCLKSJQSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C2(COC)CCC2)C1 ZINC001208021763 873758970 /nfs/dbraw/zinc/75/89/70/873758970.db2.gz CDEYBLDPTVYSOL-DGCLKSJQSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CCC1(O)CCN(C(=O)NCc2cc[nH+]c(NC)c2)CC1 ZINC001346604343 873822878 /nfs/dbraw/zinc/82/28/78/873822878.db2.gz BFNOXJWNTPFEJM-UHFFFAOYSA-N 1 2 304.394 1.736 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208392912 874101985 /nfs/dbraw/zinc/10/19/85/874101985.db2.gz FSGVOBYTNSHWRB-IIAWOOMASA-N 1 2 304.438 1.959 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208392912 874101992 /nfs/dbraw/zinc/10/19/92/874101992.db2.gz FSGVOBYTNSHWRB-IIAWOOMASA-N 1 2 304.438 1.959 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CCC(C)(C)CC)CC1 ZINC001208548390 874246797 /nfs/dbraw/zinc/24/67/97/874246797.db2.gz OAQBAOZSVIAMJF-UHFFFAOYSA-N 1 2 300.468 1.783 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)COCc2ccncc2)C1 ZINC001378208765 874434418 /nfs/dbraw/zinc/43/44/18/874434418.db2.gz WPKBFDYPDBFJEA-OAHLLOKOSA-N 1 2 323.824 1.883 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)COCc2ccncc2)C1 ZINC001378208765 874434422 /nfs/dbraw/zinc/43/44/22/874434422.db2.gz WPKBFDYPDBFJEA-OAHLLOKOSA-N 1 2 323.824 1.883 20 30 DDEDLO C=C[C@H](C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)c1ccccc1 ZINC001348845823 874824890 /nfs/dbraw/zinc/82/48/90/874824890.db2.gz IYFPTJPASLJSJP-SJORKVTESA-N 1 2 300.402 1.889 20 30 DDEDLO C=CC1(CC(=O)NCCNC(=O)Cn2cc[nH+]c2)CCCCC1 ZINC001349262448 875074529 /nfs/dbraw/zinc/07/45/29/875074529.db2.gz USOBBHMGOUPYTJ-UHFFFAOYSA-N 1 2 318.421 1.642 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213389817 875918401 /nfs/dbraw/zinc/91/84/01/875918401.db2.gz IHEFBOJBQFWMPH-BFYDXBDKSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213389817 875918404 /nfs/dbraw/zinc/91/84/04/875918404.db2.gz IHEFBOJBQFWMPH-BFYDXBDKSA-N 1 2 320.437 1.338 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)C2CCCC2)[C@H](OC)C1 ZINC001213702260 876028449 /nfs/dbraw/zinc/02/84/49/876028449.db2.gz GSFBHAKRLNIYKR-DJIMGWMZSA-N 1 2 322.449 1.278 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)C2CCCC2)[C@H](OC)C1 ZINC001213702260 876028466 /nfs/dbraw/zinc/02/84/66/876028466.db2.gz GSFBHAKRLNIYKR-DJIMGWMZSA-N 1 2 322.449 1.278 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](OCC)c2ccccc2)[C@H](OC)C1 ZINC001213972386 876118338 /nfs/dbraw/zinc/11/83/38/876118338.db2.gz QFBAGZUFPARFHO-BRWVUGGUSA-N 1 2 316.401 1.213 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](OCC)c2ccccc2)[C@H](OC)C1 ZINC001213972386 876118342 /nfs/dbraw/zinc/11/83/42/876118342.db2.gz QFBAGZUFPARFHO-BRWVUGGUSA-N 1 2 316.401 1.213 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@H](C)CN(C)C(=O)c2ccc(C#N)[nH]2)o1 ZINC001379090292 876366681 /nfs/dbraw/zinc/36/66/81/876366681.db2.gz CMHPTQCEPUKXAY-ZJUUUORDSA-N 1 2 316.365 1.389 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1ncc2n1CCCC2 ZINC001379129976 876476963 /nfs/dbraw/zinc/47/69/63/876476963.db2.gz KCKGXSWAKJGEQQ-UHFFFAOYSA-N 1 2 310.829 1.976 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1ncc2n1CCCC2 ZINC001379129976 876476964 /nfs/dbraw/zinc/47/69/64/876476964.db2.gz KCKGXSWAKJGEQQ-UHFFFAOYSA-N 1 2 310.829 1.976 20 30 DDEDLO C/C=C(/C)C(=O)NCCC[NH+]1CCN(C(=O)C#CC(C)C)CC1 ZINC001352651493 876852106 /nfs/dbraw/zinc/85/21/06/876852106.db2.gz CCXWEBNDEDXXNL-BNCCVWRVSA-N 1 2 319.449 1.263 20 30 DDEDLO N#CCC1CN(C(=O)[C@@]23C[C@@H]2CC[N@@H+]3Cc2cncs2)C1 ZINC001277219757 883078770 /nfs/dbraw/zinc/07/87/70/883078770.db2.gz KNPXZOUMNSGJKA-SWLSCSKDSA-N 1 2 302.403 1.480 20 30 DDEDLO N#CCC1CN(C(=O)[C@@]23C[C@@H]2CC[N@H+]3Cc2cncs2)C1 ZINC001277219757 883078790 /nfs/dbraw/zinc/07/87/90/883078790.db2.gz KNPXZOUMNSGJKA-SWLSCSKDSA-N 1 2 302.403 1.480 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001217475931 877208386 /nfs/dbraw/zinc/20/83/86/877208386.db2.gz MPTPYBWJUJUASL-RBUKOAKNSA-N 1 2 324.424 1.916 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001217475931 877208403 /nfs/dbraw/zinc/20/84/03/877208403.db2.gz MPTPYBWJUJUASL-RBUKOAKNSA-N 1 2 324.424 1.916 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cc(C)no3)C[C@@H]21 ZINC001218032675 877377322 /nfs/dbraw/zinc/37/73/22/877377322.db2.gz YPAORFKJWYZJNM-JKSUJKDBSA-N 1 2 319.405 1.751 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cc(C)no3)C[C@@H]21 ZINC001218032675 877377334 /nfs/dbraw/zinc/37/73/34/877377334.db2.gz YPAORFKJWYZJNM-JKSUJKDBSA-N 1 2 319.405 1.751 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCNC(=O)NC)[C@H]1C ZINC001379632923 877588208 /nfs/dbraw/zinc/58/82/08/877588208.db2.gz AQLHHTXIIBESDJ-NEPJUHHUSA-N 1 2 316.833 1.027 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCNC(=O)NC)[C@H]1C ZINC001379632923 877588219 /nfs/dbraw/zinc/58/82/19/877588219.db2.gz AQLHHTXIIBESDJ-NEPJUHHUSA-N 1 2 316.833 1.027 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCO[C@@H]2C[N@H+](C/C=C/Cl)C[C@@H]21 ZINC001219066011 877898985 /nfs/dbraw/zinc/89/89/85/877898985.db2.gz UTNXUIUCQRXAJT-SNSPGFKJSA-N 1 2 314.813 1.242 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCO[C@@H]2C[N@@H+](C/C=C/Cl)C[C@@H]21 ZINC001219066011 877898996 /nfs/dbraw/zinc/89/89/96/877898996.db2.gz UTNXUIUCQRXAJT-SNSPGFKJSA-N 1 2 314.813 1.242 20 30 DDEDLO CC/C=C(\C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219297863 878099982 /nfs/dbraw/zinc/09/99/82/878099982.db2.gz HGMYGKCNAYOCMV-IIXFAMJWSA-N 1 2 312.413 1.556 20 30 DDEDLO CC/C=C(\C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219297863 878099996 /nfs/dbraw/zinc/09/99/96/878099996.db2.gz HGMYGKCNAYOCMV-IIXFAMJWSA-N 1 2 312.413 1.556 20 30 DDEDLO CC/C=C(/C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219297861 878100378 /nfs/dbraw/zinc/10/03/78/878100378.db2.gz HGMYGKCNAYOCMV-FZLPANGMSA-N 1 2 312.413 1.556 20 30 DDEDLO CC/C=C(/C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219297861 878100386 /nfs/dbraw/zinc/10/03/86/878100386.db2.gz HGMYGKCNAYOCMV-FZLPANGMSA-N 1 2 312.413 1.556 20 30 DDEDLO CC(C)c1cccc(C[N@H+]2C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](O)C2)n1 ZINC001219379670 878165423 /nfs/dbraw/zinc/16/54/23/878165423.db2.gz WGOVOTLIHDZUME-WQVCFCJDSA-N 1 2 316.405 1.026 20 30 DDEDLO CC(C)c1cccc(C[N@@H+]2C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](O)C2)n1 ZINC001219379670 878165427 /nfs/dbraw/zinc/16/54/27/878165427.db2.gz WGOVOTLIHDZUME-WQVCFCJDSA-N 1 2 316.405 1.026 20 30 DDEDLO C[C@H](c1ccccc1F)[N@H+]1C[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001219596035 878374489 /nfs/dbraw/zinc/37/44/89/878374489.db2.gz BGKZICHYBONTKG-JLZZUVOBSA-N 1 2 316.376 1.461 20 30 DDEDLO C[C@H](c1ccccc1F)[N@@H+]1C[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001219596035 878374507 /nfs/dbraw/zinc/37/45/07/878374507.db2.gz BGKZICHYBONTKG-JLZZUVOBSA-N 1 2 316.376 1.461 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220201355 878812918 /nfs/dbraw/zinc/81/29/18/878812918.db2.gz NOIRRLVRNJCHQK-DFBGVHRSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220201355 878812921 /nfs/dbraw/zinc/81/29/21/878812921.db2.gz NOIRRLVRNJCHQK-DFBGVHRSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220201712 878813863 /nfs/dbraw/zinc/81/38/63/878813863.db2.gz XXTDQZHJJBAHPQ-DFBGVHRSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220201712 878813874 /nfs/dbraw/zinc/81/38/74/878813874.db2.gz XXTDQZHJJBAHPQ-DFBGVHRSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220291809 878886644 /nfs/dbraw/zinc/88/66/44/878886644.db2.gz GWOZTRRXOVUYQC-IJEWVQPXSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001220291809 878886661 /nfs/dbraw/zinc/88/66/61/878886661.db2.gz GWOZTRRXOVUYQC-IJEWVQPXSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220293558 878891440 /nfs/dbraw/zinc/89/14/40/878891440.db2.gz LRCCLVNKCDFDLZ-JKIFEVAISA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220293558 878891454 /nfs/dbraw/zinc/89/14/54/878891454.db2.gz LRCCLVNKCDFDLZ-JKIFEVAISA-N 1 2 321.421 1.555 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CCC)c2ccccc2)[C@@H](O)C1 ZINC001220915618 879379562 /nfs/dbraw/zinc/37/95/62/879379562.db2.gz JASORNYJYWDGOZ-BBWFWOEESA-N 1 2 300.402 1.365 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CCC)c2ccccc2)[C@@H](O)C1 ZINC001220915618 879379583 /nfs/dbraw/zinc/37/95/83/879379583.db2.gz JASORNYJYWDGOZ-BBWFWOEESA-N 1 2 300.402 1.365 20 30 DDEDLO C=CCCC(=O)N(CC)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001356850314 879478316 /nfs/dbraw/zinc/47/83/16/879478316.db2.gz STSXCOUPTFNNIS-AWEZNQCLSA-N 1 2 304.394 1.299 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCC(C)(C)C2)[C@@H](O)C1 ZINC001221118996 879509707 /nfs/dbraw/zinc/50/97/07/879509707.db2.gz OFFZVRCEKDMXQO-OAGGEKHMSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCC(C)(C)C2)[C@@H](O)C1 ZINC001221118996 879509727 /nfs/dbraw/zinc/50/97/27/879509727.db2.gz OFFZVRCEKDMXQO-OAGGEKHMSA-N 1 2 322.449 1.014 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](CC)NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001356920827 879589930 /nfs/dbraw/zinc/58/99/30/879589930.db2.gz ATKRLNYXGMKOBL-AWEZNQCLSA-N 1 2 318.421 1.710 20 30 DDEDLO CCOCC(=O)N1C[C@H]2CC[N@H+](Cc3ccccc3C#N)C[C@H]21 ZINC001221245534 879611504 /nfs/dbraw/zinc/61/15/04/879611504.db2.gz RFTRBUCNTOZSQQ-IAGOWNOFSA-N 1 2 313.401 1.627 20 30 DDEDLO CCOCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccccc3C#N)C[C@H]21 ZINC001221245534 879611517 /nfs/dbraw/zinc/61/15/17/879611517.db2.gz RFTRBUCNTOZSQQ-IAGOWNOFSA-N 1 2 313.401 1.627 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@@H](C)CCC)C[C@H]21 ZINC001221430761 879787552 /nfs/dbraw/zinc/78/75/52/879787552.db2.gz OTHGGQYGHYIWND-ARFHVFGLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@@H](C)CCC)C[C@H]21 ZINC001221430761 879787562 /nfs/dbraw/zinc/78/75/62/879787562.db2.gz OTHGGQYGHYIWND-ARFHVFGLSA-N 1 2 321.465 1.790 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ccoc3Cl)[C@@H]2C1 ZINC001221507089 879896117 /nfs/dbraw/zinc/89/61/17/879896117.db2.gz DTVQOQHWIDWVBT-TZMCWYRMSA-N 1 2 322.792 1.729 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ccoc3Cl)[C@@H]2C1 ZINC001221507089 879896143 /nfs/dbraw/zinc/89/61/43/879896143.db2.gz DTVQOQHWIDWVBT-TZMCWYRMSA-N 1 2 322.792 1.729 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001358347273 880408839 /nfs/dbraw/zinc/40/88/39/880408839.db2.gz CUPMGUQVBKPCPV-KGLIPLIRSA-N 1 2 318.421 1.423 20 30 DDEDLO CC(C)n1ncnc1C[NH+]1CCC(CNC(=O)[C@@H](C)C#N)CC1 ZINC001222636846 880615403 /nfs/dbraw/zinc/61/54/03/880615403.db2.gz RKBJUADMBSFUNY-ZDUSSCGKSA-N 1 2 318.425 1.347 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]2CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001358619928 880629960 /nfs/dbraw/zinc/62/99/60/880629960.db2.gz MWPBMXSWHFVDPG-CQSZACIVSA-N 1 2 316.405 1.347 20 30 DDEDLO C=CCCCC(=O)N[C@@]1(C)CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001358805775 880942931 /nfs/dbraw/zinc/94/29/31/880942931.db2.gz VRKYDIAPSCDYMA-KRWDZBQOSA-N 1 2 318.421 1.737 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCCC(C)C ZINC001276898808 880999046 /nfs/dbraw/zinc/99/90/46/880999046.db2.gz LQPXQVBPRGKIAL-CABCVRRESA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCCC(C)C ZINC001276898808 880999053 /nfs/dbraw/zinc/99/90/53/880999053.db2.gz LQPXQVBPRGKIAL-CABCVRRESA-N 1 2 323.481 1.940 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(C)C)n2CC(C)(C)O)CC1 ZINC001359036327 881164719 /nfs/dbraw/zinc/16/47/19/881164719.db2.gz UAUPPZCSVJJMBZ-UHFFFAOYSA-N 1 2 319.453 1.003 20 30 DDEDLO C=CC1(CC(=O)NC[C@@H]2CC[N@@H+]2[C@@H]2CCNC2=O)CCCCC1 ZINC001276939329 881183991 /nfs/dbraw/zinc/18/39/91/881183991.db2.gz DWOGKXBCDPTVED-LSDHHAIUSA-N 1 2 319.449 1.592 20 30 DDEDLO C=CC1(CC(=O)NC[C@@H]2CC[N@H+]2[C@@H]2CCNC2=O)CCCCC1 ZINC001276939329 881183996 /nfs/dbraw/zinc/18/39/96/881183996.db2.gz DWOGKXBCDPTVED-LSDHHAIUSA-N 1 2 319.449 1.592 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001288056891 912700987 /nfs/dbraw/zinc/70/09/87/912700987.db2.gz RXCIYNJAQZGHIL-CHWSQXEVSA-N 1 2 318.421 1.566 20 30 DDEDLO CC#CCCCC(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001228770354 883660384 /nfs/dbraw/zinc/66/03/84/883660384.db2.gz JDECPMJLHORECY-UHFFFAOYSA-N 1 2 303.410 1.089 20 30 DDEDLO CCc1cc(C[NH+]2CC3(C[C@H]3C(=O)NC3(C#N)CCC3)C2)on1 ZINC001277382939 883955485 /nfs/dbraw/zinc/95/54/85/883955485.db2.gz GDSMSOZZBSTMJC-AWEZNQCLSA-N 1 2 314.389 1.621 20 30 DDEDLO CC(=O)NC(C)(C)C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230611508 884649987 /nfs/dbraw/zinc/64/99/87/884649987.db2.gz SZWCJRAAISHZMT-OAHLLOKOSA-N 1 2 320.437 1.128 20 30 DDEDLO CC(=O)NC(C)(C)C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230611508 884649989 /nfs/dbraw/zinc/64/99/89/884649989.db2.gz SZWCJRAAISHZMT-OAHLLOKOSA-N 1 2 320.437 1.128 20 30 DDEDLO O=C(C#CC1CC1)NCCC[N@@H+](CCF)Cc1cccnc1 ZINC001230756668 884832955 /nfs/dbraw/zinc/83/29/55/884832955.db2.gz CWALAHQSQFMYDP-UHFFFAOYSA-N 1 2 303.381 1.773 20 30 DDEDLO O=C(C#CC1CC1)NCCC[N@H+](CCF)Cc1cccnc1 ZINC001230756668 884832960 /nfs/dbraw/zinc/83/29/60/884832960.db2.gz CWALAHQSQFMYDP-UHFFFAOYSA-N 1 2 303.381 1.773 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CCCC ZINC001230795425 884890419 /nfs/dbraw/zinc/89/04/19/884890419.db2.gz MEEHIHRJNGRMAO-MRXNPFEDSA-N 1 2 321.465 1.910 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CCCC ZINC001230795425 884890426 /nfs/dbraw/zinc/89/04/26/884890426.db2.gz MEEHIHRJNGRMAO-MRXNPFEDSA-N 1 2 321.465 1.910 20 30 DDEDLO COC(=O)c1cccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1F ZINC001230975919 885102898 /nfs/dbraw/zinc/10/28/98/885102898.db2.gz VKUXLMFXRQTCCH-DSRGUXITSA-N 1 2 307.321 1.604 20 30 DDEDLO COC(=O)c1cccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1F ZINC001230975919 885102905 /nfs/dbraw/zinc/10/29/05/885102905.db2.gz VKUXLMFXRQTCCH-DSRGUXITSA-N 1 2 307.321 1.604 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnn(C(C)(C)C)c1 ZINC001231293855 885477058 /nfs/dbraw/zinc/47/70/58/885477058.db2.gz RZRSCINTEPAXGK-DZGCQCFKSA-N 1 2 317.437 1.830 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnn(C(C)(C)C)c1 ZINC001231293855 885477066 /nfs/dbraw/zinc/47/70/66/885477066.db2.gz RZRSCINTEPAXGK-DZGCQCFKSA-N 1 2 317.437 1.830 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CCN1Cc1c[nH+]c(C)[nH]1 ZINC001232459934 886398245 /nfs/dbraw/zinc/39/82/45/886398245.db2.gz OAIKXUSDHVLIIO-AWEZNQCLSA-N 1 2 302.382 1.149 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CCN1Cc1c[nH]c(C)[nH+]1 ZINC001232459934 886398266 /nfs/dbraw/zinc/39/82/66/886398266.db2.gz OAIKXUSDHVLIIO-AWEZNQCLSA-N 1 2 302.382 1.149 20 30 DDEDLO N#Cc1ccnc(C[NH+]2CC3(CN(c4ccccc4)C3=O)C2)c1 ZINC001232480982 886421399 /nfs/dbraw/zinc/42/13/99/886421399.db2.gz PIRRLCHESBGLSV-UHFFFAOYSA-N 1 2 304.353 1.802 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](Cc2c[nH]c3nccnc23)CC1 ZINC001232548977 886468843 /nfs/dbraw/zinc/46/88/43/886468843.db2.gz OBUWZBKMLNPJPP-UHFFFAOYSA-N 1 2 301.350 1.350 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@H+](Cc2cc(C#N)ccc2O)CC1 ZINC001232676402 886531298 /nfs/dbraw/zinc/53/12/98/886531298.db2.gz JJSCNPPFORAIHE-AWEZNQCLSA-N 1 2 316.357 1.608 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@@H+](Cc2cc(C#N)ccc2O)CC1 ZINC001232676402 886531310 /nfs/dbraw/zinc/53/13/10/886531310.db2.gz JJSCNPPFORAIHE-AWEZNQCLSA-N 1 2 316.357 1.608 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(Cc3cc(F)ccc3C#N)C2)C[C@@H](C)O1 ZINC001232892281 886657108 /nfs/dbraw/zinc/65/71/08/886657108.db2.gz GHJSCKJKMVXVEP-CHWSQXEVSA-N 1 2 303.381 1.991 20 30 DDEDLO CN(C)C(=O)N1CCCC12CC[NH+](Cc1[nH]ccc1C#N)CC2 ZINC001233044454 886751377 /nfs/dbraw/zinc/75/13/77/886751377.db2.gz KQXWJMQRCHATOF-UHFFFAOYSA-N 1 2 315.421 1.998 20 30 DDEDLO C=CCCC[N@@H+]1CCc2c([nH]nc2C(=O)N2CCCCO2)C1 ZINC001277759779 886782995 /nfs/dbraw/zinc/78/29/95/886782995.db2.gz KGCYHSKFDQACPM-UHFFFAOYSA-N 1 2 304.394 1.902 20 30 DDEDLO C=CCCC[N@H+]1CCc2c([nH]nc2C(=O)N2CCCCO2)C1 ZINC001277759779 886783014 /nfs/dbraw/zinc/78/30/14/886783014.db2.gz KGCYHSKFDQACPM-UHFFFAOYSA-N 1 2 304.394 1.902 20 30 DDEDLO C=CCCC[N@@H+]1CCc2c(n[nH]c2C(=O)N2CCCCO2)C1 ZINC001277759779 886783029 /nfs/dbraw/zinc/78/30/29/886783029.db2.gz KGCYHSKFDQACPM-UHFFFAOYSA-N 1 2 304.394 1.902 20 30 DDEDLO C=CCCC[N@H+]1CCc2c(n[nH]c2C(=O)N2CCCCO2)C1 ZINC001277759779 886783041 /nfs/dbraw/zinc/78/30/41/886783041.db2.gz KGCYHSKFDQACPM-UHFFFAOYSA-N 1 2 304.394 1.902 20 30 DDEDLO COC[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2O)Cc2ncn(C)c21 ZINC001233384908 886968337 /nfs/dbraw/zinc/96/83/37/886968337.db2.gz MXRDMIKWUFXWTP-AWEZNQCLSA-N 1 2 312.373 1.743 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@H](C)CC ZINC001233761488 887294612 /nfs/dbraw/zinc/29/46/12/887294612.db2.gz LFJXYPRWUCJPIG-CVEARBPZSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@H](C)CC ZINC001233761488 887294627 /nfs/dbraw/zinc/29/46/27/887294627.db2.gz LFJXYPRWUCJPIG-CVEARBPZSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)C1CC1 ZINC001233946640 887485076 /nfs/dbraw/zinc/48/50/76/887485076.db2.gz CGFRRDDTYTZTRQ-INIZCTEOSA-N 1 2 319.449 1.334 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)C1CC1 ZINC001233946640 887485082 /nfs/dbraw/zinc/48/50/82/887485082.db2.gz CGFRRDDTYTZTRQ-INIZCTEOSA-N 1 2 319.449 1.334 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)nc1 ZINC001233946850 887487265 /nfs/dbraw/zinc/48/72/65/887487265.db2.gz FFGVLIVRXVNLGE-MRXNPFEDSA-N 1 2 300.406 1.621 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)nc1 ZINC001233946850 887487278 /nfs/dbraw/zinc/48/72/78/887487278.db2.gz FFGVLIVRXVNLGE-MRXNPFEDSA-N 1 2 300.406 1.621 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ocnc1C ZINC001233978772 887521889 /nfs/dbraw/zinc/52/18/89/887521889.db2.gz WRDCYJMZNVNDHX-BBRMVZONSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ocnc1C ZINC001233978772 887521904 /nfs/dbraw/zinc/52/19/04/887521904.db2.gz WRDCYJMZNVNDHX-BBRMVZONSA-N 1 2 307.394 1.343 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[C@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001384548091 887662147 /nfs/dbraw/zinc/66/21/47/887662147.db2.gz RWXUGSUXBILAIH-RQJABVFESA-N 1 2 317.393 1.005 20 30 DDEDLO CCc1nocc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234179538 887719152 /nfs/dbraw/zinc/71/91/52/887719152.db2.gz WJVHHSMYLYRBOQ-OAHLLOKOSA-N 1 2 301.390 1.683 20 30 DDEDLO CCc1nocc1C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234179538 887719167 /nfs/dbraw/zinc/71/91/67/887719167.db2.gz WJVHHSMYLYRBOQ-OAHLLOKOSA-N 1 2 301.390 1.683 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccns1 ZINC001234246093 887786976 /nfs/dbraw/zinc/78/69/76/887786976.db2.gz IKTGXWCKOKQECH-ZDUSSCGKSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccns1 ZINC001234246093 887786990 /nfs/dbraw/zinc/78/69/90/887786990.db2.gz IKTGXWCKOKQECH-ZDUSSCGKSA-N 1 2 307.419 1.216 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NC1CC1 ZINC001234562651 888100698 /nfs/dbraw/zinc/10/06/98/888100698.db2.gz IQYMILMCVHSJGF-UKRRQHHQSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CC1 ZINC001234562651 888100700 /nfs/dbraw/zinc/10/07/00/888100700.db2.gz IQYMILMCVHSJGF-UKRRQHHQSA-N 1 2 321.465 1.789 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234563777 888101793 /nfs/dbraw/zinc/10/17/93/888101793.db2.gz XQXDGDBWHLLBPO-HUUCEWRRSA-N 1 2 321.465 1.339 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234563777 888101802 /nfs/dbraw/zinc/10/18/02/888101802.db2.gz XQXDGDBWHLLBPO-HUUCEWRRSA-N 1 2 321.465 1.339 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[C@H](CNC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001384952631 888257740 /nfs/dbraw/zinc/25/77/40/888257740.db2.gz ZKIIRCJNIGEEOO-RQJABVFESA-N 1 2 317.393 1.005 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1conc1C(C)C ZINC001235243068 888497446 /nfs/dbraw/zinc/49/74/46/888497446.db2.gz FWAOCJZWQPQADQ-AWEZNQCLSA-N 1 2 319.405 1.594 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1conc1C(C)C ZINC001235243068 888497455 /nfs/dbraw/zinc/49/74/55/888497455.db2.gz FWAOCJZWQPQADQ-AWEZNQCLSA-N 1 2 319.405 1.594 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)[C@H](C)c1nnnn1C1CC1 ZINC001235451054 888651298 /nfs/dbraw/zinc/65/12/98/888651298.db2.gz BBESRPPTAQGQBM-GFCCVEGCSA-N 1 2 306.414 1.473 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)[C@H](C)c1nnnn1C1CC1 ZINC001235451054 888651305 /nfs/dbraw/zinc/65/13/05/888651305.db2.gz BBESRPPTAQGQBM-GFCCVEGCSA-N 1 2 306.414 1.473 20 30 DDEDLO COc1ccc(NC(C)=O)cc1NC(=O)[C@H](C)[NH2+][C@H](C)CC#N ZINC001364452740 888669445 /nfs/dbraw/zinc/66/94/45/888669445.db2.gz AVSRCHMNOHEVGE-MNOVXSKESA-N 1 2 318.377 1.872 20 30 DDEDLO Cc1[nH+]cn(C)c1CN1CCN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC001235538679 888722639 /nfs/dbraw/zinc/72/26/39/888722639.db2.gz OASAREDRMXBGDM-CYBMUJFWSA-N 1 2 319.409 1.673 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)[C@@H](C)c1nnc(CC)[nH]1 ZINC001235683414 888877178 /nfs/dbraw/zinc/87/71/78/888877178.db2.gz JDQGOLWNPZWFRJ-LBPRGKRZSA-N 1 2 309.414 1.069 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)[C@@H](C)c1nnc(CC)[nH]1 ZINC001235683414 888877190 /nfs/dbraw/zinc/87/71/90/888877190.db2.gz JDQGOLWNPZWFRJ-LBPRGKRZSA-N 1 2 309.414 1.069 20 30 DDEDLO C[C@H]1C[C@@H](O)C[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC001364727551 889286261 /nfs/dbraw/zinc/28/62/61/889286261.db2.gz KCGFCGHCQUNORV-UHTWSYAYSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@H]1C[C@@H](O)C[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC001364727551 889286274 /nfs/dbraw/zinc/28/62/74/889286274.db2.gz KCGFCGHCQUNORV-UHTWSYAYSA-N 1 2 305.403 1.428 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@H]1CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001290011533 913331080 /nfs/dbraw/zinc/33/10/80/913331080.db2.gz YZGKJYYFDLCILU-HIFRSBDPSA-N 1 2 318.421 1.614 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cccc(C#N)c1Cl ZINC001364783645 889407038 /nfs/dbraw/zinc/40/70/38/889407038.db2.gz MZWGOAVPDLUZRC-JTQLQIEISA-N 1 2 324.793 1.775 20 30 DDEDLO C=CCN(CC[N@@H+]1CCN[C@@H](C(F)(F)F)C1)C(=O)OCC ZINC001236982252 889460077 /nfs/dbraw/zinc/46/00/77/889460077.db2.gz OULCCQBSUFKGHS-LLVKDONJSA-N 1 2 309.332 1.467 20 30 DDEDLO C=CCN(CC[N@H+]1CCN[C@@H](C(F)(F)F)C1)C(=O)OCC ZINC001236982252 889460083 /nfs/dbraw/zinc/46/00/83/889460083.db2.gz OULCCQBSUFKGHS-LLVKDONJSA-N 1 2 309.332 1.467 20 30 DDEDLO COC(=O)C1(C#N)CCN(C(=O)Nc2cc(C)[nH+]cc2C)CC1 ZINC001365042927 889963245 /nfs/dbraw/zinc/96/32/45/889963245.db2.gz KAQFOHBWQWETPR-UHFFFAOYSA-N 1 2 316.361 1.431 20 30 DDEDLO CCC[N@@H+]1Cc2ccnn2CC[C@@H]1C(=O)NC1(C#N)CCC1 ZINC001278193241 890059784 /nfs/dbraw/zinc/05/97/84/890059784.db2.gz UDJYIIZCQNXVHA-CQSZACIVSA-N 1 2 301.394 1.430 20 30 DDEDLO CCC[N@H+]1Cc2ccnn2CC[C@@H]1C(=O)NC1(C#N)CCC1 ZINC001278193241 890059799 /nfs/dbraw/zinc/05/97/99/890059799.db2.gz UDJYIIZCQNXVHA-CQSZACIVSA-N 1 2 301.394 1.430 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3cc(C)ncn3)CC2)ccc1C#N ZINC001238416006 890203564 /nfs/dbraw/zinc/20/35/64/890203564.db2.gz HCDSJDUIDJZZQW-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2cn(C[C@@H]3CCCO3)nn2)s1 ZINC001365460323 890834597 /nfs/dbraw/zinc/83/45/97/890834597.db2.gz IVWNTKOPKUNWHD-LBPRGKRZSA-N 1 2 303.391 1.680 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2[nH]c3c([nH+]2)CCCC3)cn1 ZINC001365743335 891439895 /nfs/dbraw/zinc/43/98/95/891439895.db2.gz GRAHJFYOXLDHDE-UHFFFAOYSA-N 1 2 317.374 1.034 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+]([C@H](C)c2nnc(CC)o2)C1 ZINC001278368591 891701074 /nfs/dbraw/zinc/70/10/74/891701074.db2.gz DCVDDOIPVJWLCL-WBMJQRKESA-N 1 2 322.409 1.476 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+]([C@H](C)c2nnc(CC)o2)C1 ZINC001278368591 891701083 /nfs/dbraw/zinc/70/10/83/891701083.db2.gz DCVDDOIPVJWLCL-WBMJQRKESA-N 1 2 322.409 1.476 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@]1(C)CC[N@H+](Cc2nccs2)C1 ZINC001278387878 891908030 /nfs/dbraw/zinc/90/80/30/891908030.db2.gz GDFYTOGLJQBGLJ-WFASDCNBSA-N 1 2 307.419 1.262 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@]1(C)CC[N@@H+](Cc2nccs2)C1 ZINC001278387878 891908036 /nfs/dbraw/zinc/90/80/36/891908036.db2.gz GDFYTOGLJQBGLJ-WFASDCNBSA-N 1 2 307.419 1.262 20 30 DDEDLO C#CCCCC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1c[nH]c[nH+]1)C2 ZINC001292240996 913626025 /nfs/dbraw/zinc/62/60/25/913626025.db2.gz STWWAWPGPAPJLK-KFWWJZLASA-N 1 2 314.389 1.004 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]([NH2+]Cc1nnc(C(C)(C)C)o1)C1CC1 ZINC001366025926 892255019 /nfs/dbraw/zinc/25/50/19/892255019.db2.gz HWGQFEQZVMYUNL-CMPLNLGQSA-N 1 2 319.409 1.511 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@H+](Cc2ccon2)[C@H]1C ZINC001278511482 893786605 /nfs/dbraw/zinc/78/66/05/893786605.db2.gz VUIPJOSOCGNBRF-ZFWWWQNUSA-N 1 2 305.378 1.184 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@@H+](Cc2ccon2)[C@H]1C ZINC001278511482 893786611 /nfs/dbraw/zinc/78/66/11/893786611.db2.gz VUIPJOSOCGNBRF-ZFWWWQNUSA-N 1 2 305.378 1.184 20 30 DDEDLO C[N@H+](CCNC(=O)[C@H]1CCCCO1)Cc1ccccc1C#N ZINC001366519451 893833101 /nfs/dbraw/zinc/83/31/01/893833101.db2.gz FLHURCHISCBXHX-MRXNPFEDSA-N 1 2 301.390 1.675 20 30 DDEDLO C[N@@H+](CCNC(=O)[C@H]1CCCCO1)Cc1ccccc1C#N ZINC001366519451 893833117 /nfs/dbraw/zinc/83/31/17/893833117.db2.gz FLHURCHISCBXHX-MRXNPFEDSA-N 1 2 301.390 1.675 20 30 DDEDLO C=CCOCc1ncn2c1C[N@H+](C[C@H](O)C(F)(F)F)CCC2 ZINC001250966050 894523578 /nfs/dbraw/zinc/52/35/78/894523578.db2.gz RIYXBTIMGZBURY-ZDUSSCGKSA-N 1 2 319.327 1.715 20 30 DDEDLO C=CCOCc1ncn2c1C[N@@H+](C[C@H](O)C(F)(F)F)CCC2 ZINC001250966050 894523584 /nfs/dbraw/zinc/52/35/84/894523584.db2.gz RIYXBTIMGZBURY-ZDUSSCGKSA-N 1 2 319.327 1.715 20 30 DDEDLO N#Cc1c[nH]c2ccc(NC[C@H](O)C[NH+]3CCOCC3)cc12 ZINC001251031772 894585773 /nfs/dbraw/zinc/58/57/73/894585773.db2.gz NMCKZPSTLRMRKS-AWEZNQCLSA-N 1 2 300.362 1.145 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1C[N@H+](CC(N)=O)CCC1(F)F ZINC001278747602 896157440 /nfs/dbraw/zinc/15/74/40/896157440.db2.gz PUMDTLHHJPYFFT-RYUDHWBXSA-N 1 2 317.380 1.147 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1C[N@@H+](CC(N)=O)CCC1(F)F ZINC001278747602 896157446 /nfs/dbraw/zinc/15/74/46/896157446.db2.gz PUMDTLHHJPYFFT-RYUDHWBXSA-N 1 2 317.380 1.147 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)NC3(CCC(C)CC3)C2=O)C1 ZINC001254537253 896492582 /nfs/dbraw/zinc/49/25/82/896492582.db2.gz UELPGOFVHHGJQJ-UUCFBXCCSA-N 1 2 321.421 1.722 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)NC3(CCC(C)CC3)C2=O)C1 ZINC001254537253 896492585 /nfs/dbraw/zinc/49/25/85/896492585.db2.gz UELPGOFVHHGJQJ-UUCFBXCCSA-N 1 2 321.421 1.722 20 30 DDEDLO CCOC(=O)N1[C@@H]2CC[C@H]1C[C@H]([NH2+][C@@H](CS)C(=O)OC)C2 ZINC001255184752 896773196 /nfs/dbraw/zinc/77/31/96/896773196.db2.gz ISYUMWCRSSNANK-YFKTTZPYSA-N 1 2 316.423 1.199 20 30 DDEDLO O=C(C#CC1CC1)NCC1(O)C[NH+](Cc2ccccc2C2CC2)C1 ZINC001278864157 896889762 /nfs/dbraw/zinc/88/97/62/896889762.db2.gz SATMNUMQFXNGED-UHFFFAOYSA-N 1 2 324.424 1.640 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@H]1CCCNC1=O)C(C)C ZINC001278912202 897287832 /nfs/dbraw/zinc/28/78/32/897287832.db2.gz LUCZVLNBGBJZRQ-ZDUSSCGKSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@H]1CCCNC1=O)C(C)C ZINC001278912202 897287847 /nfs/dbraw/zinc/28/78/47/897287847.db2.gz LUCZVLNBGBJZRQ-ZDUSSCGKSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C[C@H]1C[C@@]1([NH2+]C1CCN(C(=O)OCC)CC1)C(=O)OCC ZINC001256235162 897329957 /nfs/dbraw/zinc/32/99/57/897329957.db2.gz VMJXAHUOEWASPI-LRDDRELGSA-N 1 2 310.394 1.705 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)COCC(F)F)C1 ZINC001389486200 897336322 /nfs/dbraw/zinc/33/63/22/897336322.db2.gz OLSRLHINYYNDOH-CQSZACIVSA-N 1 2 323.343 1.530 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)COCC(F)F)C1 ZINC001389486200 897336336 /nfs/dbraw/zinc/33/63/36/897336336.db2.gz OLSRLHINYYNDOH-CQSZACIVSA-N 1 2 323.343 1.530 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCOc2cc[nH+]cc2)cc1 ZINC001258185254 898094822 /nfs/dbraw/zinc/09/48/22/898094822.db2.gz OJLFDRNZMJXHPM-UHFFFAOYSA-N 1 2 303.343 1.311 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2ccc3[nH+]ccn3c2)cc1 ZINC001258185646 898095753 /nfs/dbraw/zinc/09/57/53/898095753.db2.gz ZVOSBDZCVFDLOM-UHFFFAOYSA-N 1 2 312.354 1.684 20 30 DDEDLO C=CC(C)(C)NC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001262275181 900065346 /nfs/dbraw/zinc/06/53/46/900065346.db2.gz VEYYZYSFULQCGG-UHFFFAOYSA-N 1 2 307.398 1.301 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cc(-n2cccn2)ccn1 ZINC001390809579 900242280 /nfs/dbraw/zinc/24/22/80/900242280.db2.gz DMBCDFKJUGVLMD-UHFFFAOYSA-N 1 2 319.796 1.681 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cc(-n2cccn2)ccn1 ZINC001390809579 900242288 /nfs/dbraw/zinc/24/22/88/900242288.db2.gz DMBCDFKJUGVLMD-UHFFFAOYSA-N 1 2 319.796 1.681 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001263814692 900725319 /nfs/dbraw/zinc/72/53/19/900725319.db2.gz KCSYHHMNXRHDNF-OWCLPIDISA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001263814692 900725330 /nfs/dbraw/zinc/72/53/30/900725330.db2.gz KCSYHHMNXRHDNF-OWCLPIDISA-N 1 2 319.449 1.258 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)COC(C)(C)C)C2 ZINC001264746790 901326684 /nfs/dbraw/zinc/32/66/84/901326684.db2.gz DSSJDUFKDXWZON-UHFFFAOYSA-N 1 2 321.446 1.566 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC[N@@H+](C)Cc1ncc(C)cn1 ZINC001264981352 901382874 /nfs/dbraw/zinc/38/28/74/901382874.db2.gz CTGNKQIMZFDEKU-MRXNPFEDSA-N 1 2 306.410 1.050 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC[N@H+](C)Cc1ncc(C)cn1 ZINC001264981352 901382888 /nfs/dbraw/zinc/38/28/88/901382888.db2.gz CTGNKQIMZFDEKU-MRXNPFEDSA-N 1 2 306.410 1.050 20 30 DDEDLO CCc1noc(C[N@H+](CCCNC(=O)C#CC2CC2)C2CC2)n1 ZINC001265161663 901647924 /nfs/dbraw/zinc/64/79/24/901647924.db2.gz VYGDOPAQLIPXMK-UHFFFAOYSA-N 1 2 316.405 1.516 20 30 DDEDLO CCc1noc(C[N@@H+](CCCNC(=O)C#CC2CC2)C2CC2)n1 ZINC001265161663 901647931 /nfs/dbraw/zinc/64/79/31/901647931.db2.gz VYGDOPAQLIPXMK-UHFFFAOYSA-N 1 2 316.405 1.516 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccc(Cl)o2)C1 ZINC001265270248 901797830 /nfs/dbraw/zinc/79/78/30/901797830.db2.gz BKJGSPBZBITKKL-JTQLQIEISA-N 1 2 311.769 1.039 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccc(Cl)o2)C1 ZINC001265270248 901797838 /nfs/dbraw/zinc/79/78/38/901797838.db2.gz BKJGSPBZBITKKL-JTQLQIEISA-N 1 2 311.769 1.039 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ncoc2C(C)C)C1 ZINC001265283475 901820712 /nfs/dbraw/zinc/82/07/12/901820712.db2.gz FSWRVZJQVPWKLX-KBPBESRZSA-N 1 2 319.405 1.527 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ncoc2C(C)C)C1 ZINC001265283475 901820721 /nfs/dbraw/zinc/82/07/21/901820721.db2.gz FSWRVZJQVPWKLX-KBPBESRZSA-N 1 2 319.405 1.527 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001265297612 901847248 /nfs/dbraw/zinc/84/72/48/901847248.db2.gz UOQSGZYKOZLRRG-LBPRGKRZSA-N 1 2 307.394 1.976 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001265297612 901847252 /nfs/dbraw/zinc/84/72/52/901847252.db2.gz UOQSGZYKOZLRRG-LBPRGKRZSA-N 1 2 307.394 1.976 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]([NH2+]Cc2nnn(C(C)(C)C)n2)C1 ZINC001265491301 902069092 /nfs/dbraw/zinc/06/90/92/902069092.db2.gz WVPONBWTJJKFSA-HAQNSBGRSA-N 1 2 320.441 1.377 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](N(C)C(=O)COCC)C1 ZINC001391599950 902107633 /nfs/dbraw/zinc/10/76/33/902107633.db2.gz FXSNECVGDYLLSV-NSHDSACASA-N 1 2 305.216 1.464 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](N(C)C(=O)COCC)C1 ZINC001391599950 902107637 /nfs/dbraw/zinc/10/76/37/902107637.db2.gz FXSNECVGDYLLSV-NSHDSACASA-N 1 2 305.216 1.464 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@@H+]([C@@H](C)c2nnc(C)[nH]2)C1 ZINC001265577528 902149130 /nfs/dbraw/zinc/14/91/30/902149130.db2.gz JERSEMNVVGMBPL-JSGCOSHPSA-N 1 2 321.425 1.205 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@H+]([C@@H](C)c2nnc(C)[nH]2)C1 ZINC001265577528 902149139 /nfs/dbraw/zinc/14/91/39/902149139.db2.gz JERSEMNVVGMBPL-JSGCOSHPSA-N 1 2 321.425 1.205 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001265583918 902160464 /nfs/dbraw/zinc/16/04/64/902160464.db2.gz BYWJDHRMFUPYBH-AWEZNQCLSA-N 1 2 305.426 1.500 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001265583918 902160471 /nfs/dbraw/zinc/16/04/71/902160471.db2.gz BYWJDHRMFUPYBH-AWEZNQCLSA-N 1 2 305.426 1.500 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[N@@H+](C)[C@H](C)c1nnc(C)[nH]1 ZINC001265924824 902604744 /nfs/dbraw/zinc/60/47/44/902604744.db2.gz HKCMHXVQBJXURP-BNOWGMLFSA-N 1 2 321.425 1.203 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[N@H+](C)[C@H](C)c1nnc(C)[nH]1 ZINC001265924824 902604748 /nfs/dbraw/zinc/60/47/48/902604748.db2.gz HKCMHXVQBJXURP-BNOWGMLFSA-N 1 2 321.425 1.203 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@@H+](C)C[C@H](C)NC(=O)[C@@H](C)C#N ZINC001375017708 914654561 /nfs/dbraw/zinc/65/45/61/914654561.db2.gz JKTCCUZZKNTCFY-KBPBESRZSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@H+](C)C[C@H](C)NC(=O)[C@@H](C)C#N ZINC001375017708 914654572 /nfs/dbraw/zinc/65/45/72/914654572.db2.gz JKTCCUZZKNTCFY-KBPBESRZSA-N 1 2 316.405 1.530 20 30 DDEDLO C[C@H](C[N@H+](C)Cc1cnc(Cl)s1)NC(=O)[C@@H](C)C#N ZINC001375019689 914664452 /nfs/dbraw/zinc/66/44/52/914664452.db2.gz MOMRUZDREIPLDV-DTWKUNHWSA-N 1 2 300.815 1.893 20 30 DDEDLO C[C@H](C[N@@H+](C)Cc1cnc(Cl)s1)NC(=O)[C@@H](C)C#N ZINC001375019689 914664460 /nfs/dbraw/zinc/66/44/60/914664460.db2.gz MOMRUZDREIPLDV-DTWKUNHWSA-N 1 2 300.815 1.893 20 30 DDEDLO C[C@H](CC(=O)N[C@@H]1C[C@@H](CNC(=O)[C@@H](C)C#N)C1)n1cc[nH+]c1 ZINC001370299430 902889701 /nfs/dbraw/zinc/88/97/01/902889701.db2.gz RWXUGSUXBILAIH-CRWXNKLISA-N 1 2 317.393 1.005 20 30 DDEDLO N#Cc1ccccc1C[N@@H+](CCCNC(=O)c1cnn[nH]1)C1CC1 ZINC001266144910 903017658 /nfs/dbraw/zinc/01/76/58/903017658.db2.gz DXSWOMNIHUBVKD-UHFFFAOYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@H+](CCCNC(=O)c1cnn[nH]1)C1CC1 ZINC001266144910 903017668 /nfs/dbraw/zinc/01/76/68/903017668.db2.gz DXSWOMNIHUBVKD-UHFFFAOYSA-N 1 2 324.388 1.461 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)[C@@H](C)NC(C)=O)CC2 ZINC001392021189 903107663 /nfs/dbraw/zinc/10/76/63/903107663.db2.gz JSQZZKCGSMJAGB-GFCCVEGCSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(CC=C)CC=C)C1 ZINC001266221878 903137935 /nfs/dbraw/zinc/13/79/35/903137935.db2.gz JXMPZPLYFDYCAD-MRXNPFEDSA-N 1 2 317.433 1.085 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(CC=C)CC=C)C1 ZINC001266221878 903137942 /nfs/dbraw/zinc/13/79/42/903137942.db2.gz JXMPZPLYFDYCAD-MRXNPFEDSA-N 1 2 317.433 1.085 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001279613185 903352452 /nfs/dbraw/zinc/35/24/52/903352452.db2.gz PNFPPCOOQZJANT-GOEBONIOSA-N 1 2 301.390 1.757 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001279613185 903352466 /nfs/dbraw/zinc/35/24/66/903352466.db2.gz PNFPPCOOQZJANT-GOEBONIOSA-N 1 2 301.390 1.757 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1COCC[N@@H+]1CC1=CCCCC1 ZINC001280402196 903639646 /nfs/dbraw/zinc/63/96/46/903639646.db2.gz GPMQBXZJVVMGAP-WMZOPIPTSA-N 1 2 322.449 1.631 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1COCC[N@H+]1CC1=CCCCC1 ZINC001280402196 903639656 /nfs/dbraw/zinc/63/96/56/903639656.db2.gz GPMQBXZJVVMGAP-WMZOPIPTSA-N 1 2 322.449 1.631 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)CC(C)(C)CC(F)F ZINC001280412566 903649370 /nfs/dbraw/zinc/64/93/70/903649370.db2.gz PHCSPXGYCQXSCG-ZDUSSCGKSA-N 1 2 316.392 1.898 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)CC(C)(C)CC(F)F ZINC001280412566 903649377 /nfs/dbraw/zinc/64/93/77/903649377.db2.gz PHCSPXGYCQXSCG-ZDUSSCGKSA-N 1 2 316.392 1.898 20 30 DDEDLO C=C(C)CCC(=O)N1CCOC2(C[NH+](CCCCOC)C2)C1 ZINC001280898822 904166928 /nfs/dbraw/zinc/16/69/28/904166928.db2.gz DOOCFHBJOHVLNM-UHFFFAOYSA-N 1 2 310.438 1.683 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@]1(C)CCCOC1)O2 ZINC001280930780 904201312 /nfs/dbraw/zinc/20/13/12/904201312.db2.gz DGCFITIIRABBGO-HOCLYGCPSA-N 1 2 308.422 1.339 20 30 DDEDLO N#CCN1Cc2ccccc2C[C@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001281071083 904375368 /nfs/dbraw/zinc/37/53/68/904375368.db2.gz IQRGENPLSOFTKN-KRWDZBQOSA-N 1 2 323.400 1.340 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)[C@@H]1C ZINC001281150780 904478769 /nfs/dbraw/zinc/47/87/69/904478769.db2.gz VDPIMPLRBVCBNQ-CHWSQXEVSA-N 1 2 318.421 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1ccnn1CC(F)F ZINC001392657814 904779632 /nfs/dbraw/zinc/77/96/32/904779632.db2.gz NMUIVSBZPQAVCZ-SNVBAGLBSA-N 1 2 320.771 1.951 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1ccnn1CC(F)F ZINC001392657814 904779652 /nfs/dbraw/zinc/77/96/52/904779652.db2.gz NMUIVSBZPQAVCZ-SNVBAGLBSA-N 1 2 320.771 1.951 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)Cc1nonc1C ZINC001281775312 905209640 /nfs/dbraw/zinc/20/96/40/905209640.db2.gz APSDPXCQSNODRQ-QGZVFWFLSA-N 1 2 324.384 1.269 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)Cc1nonc1C ZINC001281775312 905209654 /nfs/dbraw/zinc/20/96/54/905209654.db2.gz APSDPXCQSNODRQ-QGZVFWFLSA-N 1 2 324.384 1.269 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](CNC(=O)CCc2[nH+]ccn2C)C1 ZINC001282083226 905526657 /nfs/dbraw/zinc/52/66/57/905526657.db2.gz SFJPWHMNTZZQHR-OKILXGFUSA-N 1 2 318.421 1.330 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc1nnc(C(C)C)o1 ZINC001282121357 905560397 /nfs/dbraw/zinc/56/03/97/905560397.db2.gz CIBGSPKGYUXTLF-OLZOCXBDSA-N 1 2 306.410 1.979 20 30 DDEDLO COCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1cc(F)ccc1C#N ZINC001377390859 921206033 /nfs/dbraw/zinc/20/60/33/921206033.db2.gz RHSWRUQXBCXVFE-HNNXBMFYSA-N 1 2 305.353 1.424 20 30 DDEDLO COCC(=O)NC[C@@H]1CCC[N@H+]1Cc1cc(F)ccc1C#N ZINC001377390859 921206043 /nfs/dbraw/zinc/20/60/43/921206043.db2.gz RHSWRUQXBCXVFE-HNNXBMFYSA-N 1 2 305.353 1.424 20 30 DDEDLO CC(C)C[C@@H](C(=O)NC[C@@H]1[C@H]2CN(CC#N)C[C@H]21)n1cc[nH+]c1 ZINC001282859426 906111724 /nfs/dbraw/zinc/11/17/24/906111724.db2.gz CHIWNAJKGBQWHH-JONQDZQNSA-N 1 2 315.421 1.288 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)CCOC(C)C)C1 ZINC001393436489 906991098 /nfs/dbraw/zinc/99/10/98/906991098.db2.gz QQWQWEQCIFXBNR-OAHLLOKOSA-N 1 2 318.845 1.497 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)CCOC(C)C)C1 ZINC001393436489 906991110 /nfs/dbraw/zinc/99/11/10/906991110.db2.gz QQWQWEQCIFXBNR-OAHLLOKOSA-N 1 2 318.845 1.497 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H](C)[NH2+][C@H](C)c1nc(CC)no1 ZINC001283605497 907667079 /nfs/dbraw/zinc/66/70/79/907667079.db2.gz FNJZRDCFFVWQHR-QWHCGFSZSA-N 1 2 306.410 1.933 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001284061936 908415510 /nfs/dbraw/zinc/41/55/10/908415510.db2.gz WBIFENJPPPYPMT-HDJSIYSDSA-N 1 2 304.394 1.393 20 30 DDEDLO COC[C@H](C)C(=O)NC[C@H](C)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001394047592 908627393 /nfs/dbraw/zinc/62/73/93/908627393.db2.gz YVPKGAZEZVLKAN-STQMWFEESA-N 1 2 321.396 1.916 20 30 DDEDLO COC[C@H](C)C(=O)NC[C@H](C)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001394047592 908627407 /nfs/dbraw/zinc/62/74/07/908627407.db2.gz YVPKGAZEZVLKAN-STQMWFEESA-N 1 2 321.396 1.916 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCN(C)C(=O)CCn1cc[nH+]c1 ZINC001284524373 909141363 /nfs/dbraw/zinc/14/13/63/909141363.db2.gz WKEATOKQLVHKSK-UHFFFAOYSA-N 1 2 306.410 1.450 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001284846409 909563984 /nfs/dbraw/zinc/56/39/84/909563984.db2.gz SJKZIWXBTPPVBF-ZDUSSCGKSA-N 1 2 304.394 1.225 20 30 DDEDLO CC(C)CC(=O)NC[C@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001394576240 910038038 /nfs/dbraw/zinc/03/80/38/910038038.db2.gz XWXUHWRXUMOIDK-OAHLLOKOSA-N 1 2 307.369 1.310 20 30 DDEDLO CC(C)[C@H](CNC(=O)C#CC(C)(C)C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001285319138 910351619 /nfs/dbraw/zinc/35/16/19/910351619.db2.gz KJIFNBDDGOFFTJ-AWEZNQCLSA-N 1 2 318.421 1.259 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@]12C[C@H]1COC2)C(C)C ZINC001394797680 910585219 /nfs/dbraw/zinc/58/52/19/910585219.db2.gz DJHCQBKWKSOHBU-ZFWWWQNUSA-N 1 2 300.830 1.944 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@]12C[C@H]1COC2)C(C)C ZINC001394797680 910585230 /nfs/dbraw/zinc/58/52/30/910585230.db2.gz DJHCQBKWKSOHBU-ZFWWWQNUSA-N 1 2 300.830 1.944 20 30 DDEDLO C[C@H](C#N)C(=O)NCC=CCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001285589044 910835561 /nfs/dbraw/zinc/83/55/61/910835561.db2.gz PWTKLTYWCMJFPE-KXMPLOMGSA-N 1 2 311.345 1.125 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@H](C)CNC(=O)C#CC(C)C)c(C)[nH+]1 ZINC001285680004 911024121 /nfs/dbraw/zinc/02/41/21/911024121.db2.gz NNEJEVKXJUIGDW-CQSZACIVSA-N 1 2 315.417 1.901 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC001286023965 911585424 /nfs/dbraw/zinc/58/54/24/911585424.db2.gz IBGURFWBENUWSC-CHWSQXEVSA-N 1 2 318.421 1.090 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@](C)(NC(=O)Cn2cc[nH+]c2)C1 ZINC001286099522 911690542 /nfs/dbraw/zinc/69/05/42/911690542.db2.gz ZIDVNHOZVDTSIG-MRXNPFEDSA-N 1 2 304.394 1.347 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CN(C(=O)Cn2cc[nH+]c2)CC1(C)C ZINC001286229240 911858523 /nfs/dbraw/zinc/85/85/23/911858523.db2.gz OAQKTQIZQXHQFN-AWEZNQCLSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286482553 911996577 /nfs/dbraw/zinc/99/65/77/911996577.db2.gz MSCKRBXECABAEU-JOCQHMNTSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001294101573 914917267 /nfs/dbraw/zinc/91/72/67/914917267.db2.gz HEGSIMOMFLLEQY-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCCCN(C)C(=O)[C@H](C)C#N)c[nH+]1 ZINC001294160525 914954003 /nfs/dbraw/zinc/95/40/03/914954003.db2.gz OBDKVRBFQWESGX-GFCCVEGCSA-N 1 2 319.409 1.051 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001294784920 915365480 /nfs/dbraw/zinc/36/54/80/915365480.db2.gz VTDAESWVHOZKCL-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001295587428 915913483 /nfs/dbraw/zinc/91/34/83/915913483.db2.gz PYWKIXKFMXSDMO-BZNIZROVSA-N 1 2 306.410 1.484 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](CC)NC(C)=O)[C@H]1C ZINC001375661168 916630314 /nfs/dbraw/zinc/63/03/14/916630314.db2.gz KEVOGIQOSZEDRW-RTXFEEFZSA-N 1 2 301.818 1.233 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](CC)NC(C)=O)[C@H]1C ZINC001375661168 916630324 /nfs/dbraw/zinc/63/03/24/916630324.db2.gz KEVOGIQOSZEDRW-RTXFEEFZSA-N 1 2 301.818 1.233 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(C)(NC(=O)CCc2cn[nH]n2)CC1 ZINC001375778451 916939461 /nfs/dbraw/zinc/93/94/61/916939461.db2.gz LFWNENBDKQDYCQ-UHFFFAOYSA-N 1 2 311.817 1.461 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(C)(NC(=O)CCc2c[nH]nn2)CC1 ZINC001375778451 916939469 /nfs/dbraw/zinc/93/94/69/916939469.db2.gz LFWNENBDKQDYCQ-UHFFFAOYSA-N 1 2 311.817 1.461 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)NC(=O)C(C)(C)C)C1 ZINC001376143722 917797442 /nfs/dbraw/zinc/79/74/42/917797442.db2.gz IGYKUUNKXXVEOV-NEPJUHHUSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)NC(=O)C(C)(C)C)C1 ZINC001376143722 917797461 /nfs/dbraw/zinc/79/74/61/917797461.db2.gz IGYKUUNKXXVEOV-NEPJUHHUSA-N 1 2 315.845 1.480 20 30 DDEDLO CCn1nc(C)c(C[N@@H+]2CCC[C@H](CNC(=O)[C@H](C)C#N)C2)n1 ZINC001376534356 918710674 /nfs/dbraw/zinc/71/06/74/918710674.db2.gz NDFSSGGJUKWNBT-TZMCWYRMSA-N 1 2 318.425 1.094 20 30 DDEDLO CCn1nc(C)c(C[N@H+]2CCC[C@H](CNC(=O)[C@H](C)C#N)C2)n1 ZINC001376534356 918710683 /nfs/dbraw/zinc/71/06/83/918710683.db2.gz NDFSSGGJUKWNBT-TZMCWYRMSA-N 1 2 318.425 1.094 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H](C)[N@H+](C)Cc1cc2n(n1)CCCC2 ZINC001378017971 923712896 /nfs/dbraw/zinc/71/28/96/923712896.db2.gz GDXAIRSLYJUUEJ-CHWSQXEVSA-N 1 2 303.410 1.316 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H](C)[N@@H+](C)Cc1cc2n(n1)CCCC2 ZINC001378017971 923712911 /nfs/dbraw/zinc/71/29/11/923712911.db2.gz GDXAIRSLYJUUEJ-CHWSQXEVSA-N 1 2 303.410 1.316 20 30 DDEDLO C[NH+](C)[C@H](CNS(=O)(=O)c1ccccc1C#N)c1ccco1 ZINC000096694026 185385483 /nfs/dbraw/zinc/38/54/83/185385483.db2.gz NOLAHJYGINWOTQ-CYBMUJFWSA-N 1 2 319.386 1.732 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2cc(C#N)ccn2)C[C@H]1[NH+]1CCOCC1 ZINC000330724571 529378756 /nfs/dbraw/zinc/37/87/56/529378756.db2.gz DOPJMTLJHVMTLK-GDBMZVCRSA-N 1 2 314.389 1.136 20 30 DDEDLO Cc1nc(N2CCN(c3ccc(C#N)cc3[N+](=O)[O-])CC2)cc[nH+]1 ZINC000273517813 282187553 /nfs/dbraw/zinc/18/75/53/282187553.db2.gz RNFUIMBNLDADBA-UHFFFAOYSA-N 1 2 324.344 1.892 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)CC1(C#N)CCCC1 ZINC000451923714 529590196 /nfs/dbraw/zinc/59/01/96/529590196.db2.gz AVYLVCLSUVNTNF-GFCCVEGCSA-N 1 2 310.423 1.967 20 30 DDEDLO CN1CCOC[C@@H]1C(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC000328963150 539299941 /nfs/dbraw/zinc/29/99/41/539299941.db2.gz RDAVSRQDQRWIFD-MRXNPFEDSA-N 1 2 319.405 1.437 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CCC[C@H]1C#N ZINC000329561129 539305554 /nfs/dbraw/zinc/30/55/54/539305554.db2.gz RJCPTWDGUSBLFA-CBBWQLFWSA-N 1 2 306.410 1.388 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000623262301 365758721 /nfs/dbraw/zinc/75/87/21/365758721.db2.gz WNKARNQEEJECMU-GFCCVEGCSA-N 1 2 313.357 1.811 20 30 DDEDLO CCc1ncc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)cn1 ZINC000414375398 529754139 /nfs/dbraw/zinc/75/41/39/529754139.db2.gz YHOYCOWGIISVET-UHFFFAOYSA-N 1 2 308.389 1.628 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)Nc2ccc(C(N)=O)cc2F)C1 ZINC000331059469 529787859 /nfs/dbraw/zinc/78/78/59/529787859.db2.gz MVNODTUNOIXHOU-SNVBAGLBSA-N 1 2 310.329 1.366 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)Nc2ccc(C(N)=O)cc2F)C1 ZINC000331059469 529787860 /nfs/dbraw/zinc/78/78/60/529787860.db2.gz MVNODTUNOIXHOU-SNVBAGLBSA-N 1 2 310.329 1.366 20 30 DDEDLO C[N@H+](CC#Cc1ccccc1)Cc1ccccc1S(N)(=O)=O ZINC000432990023 529822875 /nfs/dbraw/zinc/82/28/75/529822875.db2.gz WZILZVWEYMRBAJ-UHFFFAOYSA-N 1 2 314.410 1.818 20 30 DDEDLO C[N@@H+](CC#Cc1ccccc1)Cc1ccccc1S(N)(=O)=O ZINC000432990023 529822878 /nfs/dbraw/zinc/82/28/78/529822878.db2.gz WZILZVWEYMRBAJ-UHFFFAOYSA-N 1 2 314.410 1.818 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NCc1ccccc1)CC(C)(C)C#N ZINC000433307279 529830413 /nfs/dbraw/zinc/83/04/13/529830413.db2.gz UKOOJPIYVGJSFD-UHFFFAOYSA-N 1 2 302.378 1.494 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NCc1ccccc1)CC(C)(C)C#N ZINC000433307279 529830415 /nfs/dbraw/zinc/83/04/15/529830415.db2.gz UKOOJPIYVGJSFD-UHFFFAOYSA-N 1 2 302.378 1.494 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccccc1OC(F)F ZINC000414148936 529868367 /nfs/dbraw/zinc/86/83/67/529868367.db2.gz UCGGJADPGGLKIZ-LLVKDONJSA-N 1 2 300.305 1.873 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccccc1OC(F)F ZINC000414148936 529868368 /nfs/dbraw/zinc/86/83/68/529868368.db2.gz UCGGJADPGGLKIZ-LLVKDONJSA-N 1 2 300.305 1.873 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)NCC[NH+]2Cc3ccccc3C2)C1 ZINC000615536727 362326106 /nfs/dbraw/zinc/32/61/06/362326106.db2.gz HSUVBPACRVABLV-MRXNPFEDSA-N 1 2 302.353 1.649 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](Cc3ccccn3)CC2)ncc1C#N ZINC000457396285 232048030 /nfs/dbraw/zinc/04/80/30/232048030.db2.gz HGQAJRRPYWBTSG-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)Nc1nc(C[NH+](C)C)cs1 ZINC000616195244 362582006 /nfs/dbraw/zinc/58/20/06/362582006.db2.gz SHCDDWUCFBZYPR-LBPRGKRZSA-N 1 2 310.423 1.614 20 30 DDEDLO C[C@H](O)[C@@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000305199209 282391195 /nfs/dbraw/zinc/39/11/95/282391195.db2.gz VGFDUQDPHREINO-DZGCQCFKSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H](O)[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000305199209 282391199 /nfs/dbraw/zinc/39/11/99/282391199.db2.gz VGFDUQDPHREINO-DZGCQCFKSA-N 1 2 322.430 1.425 20 30 DDEDLO N#Cc1nccnc1NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000601241048 358460070 /nfs/dbraw/zinc/46/00/70/358460070.db2.gz VVWIOZXPKVVDQA-UHFFFAOYSA-N 1 2 312.402 1.909 20 30 DDEDLO CCOC(=O)C1CC[NH+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000007016314 352120142 /nfs/dbraw/zinc/12/01/42/352120142.db2.gz WMEQJFFCTZJCTH-CXAGYDPISA-N 1 2 323.437 1.704 20 30 DDEDLO COC(=O)c1ccc(CNC(=O)[C@H](C)[N@H+](C)C[C@H](C)C#N)cc1 ZINC000064796092 352943983 /nfs/dbraw/zinc/94/39/83/352943983.db2.gz YLGPCQMGXZNXGR-OLZOCXBDSA-N 1 2 317.389 1.569 20 30 DDEDLO COC(=O)c1ccc(CNC(=O)[C@H](C)[N@@H+](C)C[C@H](C)C#N)cc1 ZINC000064796092 352943985 /nfs/dbraw/zinc/94/39/85/352943985.db2.gz YLGPCQMGXZNXGR-OLZOCXBDSA-N 1 2 317.389 1.569 20 30 DDEDLO C=C(Cl)CNC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000077791014 353490193 /nfs/dbraw/zinc/49/01/93/353490193.db2.gz PIXJWVJJFWRDBP-UHFFFAOYSA-N 1 2 310.785 1.470 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1ccc(F)c(C#N)c1 ZINC000077539229 353474682 /nfs/dbraw/zinc/47/46/82/353474682.db2.gz BOCURJXDQOOXDJ-JTQLQIEISA-N 1 2 315.308 1.037 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CC[C@@](O)(C(F)(F)F)C2)c1 ZINC000081050464 353649365 /nfs/dbraw/zinc/64/93/65/353649365.db2.gz MOPBUDHQYSJGCX-ZDUSSCGKSA-N 1 2 313.279 1.496 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@@](O)(C(F)(F)F)C2)c1 ZINC000081050464 353649367 /nfs/dbraw/zinc/64/93/67/353649367.db2.gz MOPBUDHQYSJGCX-ZDUSSCGKSA-N 1 2 313.279 1.496 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC[C@@H](CCO)C2)cc1 ZINC000081513503 353680415 /nfs/dbraw/zinc/68/04/15/353680415.db2.gz LYGPLHBPWNKHRM-HNNXBMFYSA-N 1 2 322.430 1.426 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@@H](CCO)C2)cc1 ZINC000081513503 353680418 /nfs/dbraw/zinc/68/04/18/353680418.db2.gz LYGPLHBPWNKHRM-HNNXBMFYSA-N 1 2 322.430 1.426 20 30 DDEDLO COc1cccc(CN(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000081515528 353680532 /nfs/dbraw/zinc/68/05/32/353680532.db2.gz JCTMONPBYHFEAX-UHFFFAOYSA-N 1 2 304.394 1.138 20 30 DDEDLO C[C@H](O)[C@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000089931393 353783858 /nfs/dbraw/zinc/78/38/58/353783858.db2.gz ZOTZMSXSVOMSGM-ZFWWWQNUSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H](O)[C@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000089931393 353783861 /nfs/dbraw/zinc/78/38/61/353783861.db2.gz ZOTZMSXSVOMSGM-ZFWWWQNUSA-N 1 2 322.430 1.425 20 30 DDEDLO C[N@H+](Cc1cn[nH]c1)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000085826296 353737753 /nfs/dbraw/zinc/73/77/53/353737753.db2.gz GNTAISAEDXAQOC-LBPRGKRZSA-N 1 2 301.375 1.580 20 30 DDEDLO C[N@@H+](Cc1cn[nH]c1)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000085826296 353737755 /nfs/dbraw/zinc/73/77/55/353737755.db2.gz GNTAISAEDXAQOC-LBPRGKRZSA-N 1 2 301.375 1.580 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H](N2CCCC2=O)C1 ZINC000111295701 353965313 /nfs/dbraw/zinc/96/53/13/353965313.db2.gz TXNBYNRZKAOOMT-WMLDXEAASA-N 1 2 320.437 1.128 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H](N2CCCC2=O)C1 ZINC000111295701 353965316 /nfs/dbraw/zinc/96/53/16/353965316.db2.gz TXNBYNRZKAOOMT-WMLDXEAASA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@@H](C(=O)N[C@]1(C#N)CCSC1)[N@@H+]1CCc2ccccc2C1 ZINC000111566200 353967413 /nfs/dbraw/zinc/96/74/13/353967413.db2.gz XCOCPKGVVBXXFC-GUYCJALGSA-N 1 2 315.442 1.949 20 30 DDEDLO C[C@@H](C(=O)N[C@]1(C#N)CCSC1)[N@H+]1CCc2ccccc2C1 ZINC000111566200 353967414 /nfs/dbraw/zinc/96/74/14/353967414.db2.gz XCOCPKGVVBXXFC-GUYCJALGSA-N 1 2 315.442 1.949 20 30 DDEDLO C[C@H](SCC[NH+]1CCOCC1)C(=O)Nc1cccc(C#N)c1 ZINC000127389214 354063513 /nfs/dbraw/zinc/06/35/13/354063513.db2.gz HLXHJVJYJNVLDW-ZDUSSCGKSA-N 1 2 319.430 1.951 20 30 DDEDLO CS(=O)(=O)C1CC[NH+](CCOc2ccc(C#N)cc2)CC1 ZINC000313832327 354482085 /nfs/dbraw/zinc/48/20/85/354482085.db2.gz DLRDNXNXVUHEIC-UHFFFAOYSA-N 1 2 308.403 1.446 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[NH+](CC2CC2)CC1 ZINC000073353885 191308456 /nfs/dbraw/zinc/30/84/56/191308456.db2.gz GYAYZGJKKOSXME-UHFFFAOYSA-N 1 2 319.430 1.583 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC000578701231 354711289 /nfs/dbraw/zinc/71/12/89/354711289.db2.gz SQZYKLBIICEQNL-ZFWWWQNUSA-N 1 2 307.781 1.604 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[N@@H+]2CCO[C@@H](C)C2)cc1 ZINC000585697848 354828442 /nfs/dbraw/zinc/82/84/42/354828442.db2.gz JGHQBSUVQJMWNZ-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[N@H+]2CCO[C@@H](C)C2)cc1 ZINC000585697848 354828447 /nfs/dbraw/zinc/82/84/47/354828447.db2.gz JGHQBSUVQJMWNZ-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(C(=O)CCCCCC#N)CC1 ZINC000588258472 354912353 /nfs/dbraw/zinc/91/23/53/354912353.db2.gz KUEHBSUGBKDBRI-UHFFFAOYSA-N 1 2 322.453 1.473 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)CCC1 ZINC000589220327 354975347 /nfs/dbraw/zinc/97/53/47/354975347.db2.gz UJAOTNOBYINYEH-CYBMUJFWSA-N 1 2 308.407 1.544 20 30 DDEDLO C[C@@H]1C[C@@H]([NH2+]Cc2nc(C#N)cs2)C(=O)N1c1cnn(C)c1 ZINC000589314068 354984001 /nfs/dbraw/zinc/98/40/01/354984001.db2.gz GMAFHEFFTQTJDI-BXKDBHETSA-N 1 2 316.390 1.032 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)N1CCc2[nH+]c[nH]c2C1 ZINC000590601272 355127336 /nfs/dbraw/zinc/12/73/36/355127336.db2.gz RZFNGTKHUBDSFB-LBPRGKRZSA-N 1 2 301.394 1.499 20 30 DDEDLO N#C[C@]1(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)CC12CCCC2 ZINC000590616392 355131554 /nfs/dbraw/zinc/13/15/54/355131554.db2.gz MSPHOJLVHNCYON-YJBOKZPZSA-N 1 2 317.433 1.784 20 30 DDEDLO CN(Cc1ccc(F)cc1)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000591020624 355234029 /nfs/dbraw/zinc/23/40/29/355234029.db2.gz TWJWZCCJFLYEST-UHFFFAOYSA-N 1 2 318.396 1.315 20 30 DDEDLO Cc1nc(CNC[C@H](O)C[N@H+](C)CCC#N)nc2ccccc12 ZINC000591734225 355374868 /nfs/dbraw/zinc/37/48/68/355374868.db2.gz KOFDCETYQLVGMT-AWEZNQCLSA-N 1 2 313.405 1.234 20 30 DDEDLO Cc1nc(CNC[C@H](O)C[N@@H+](C)CCC#N)nc2ccccc12 ZINC000591734225 355374871 /nfs/dbraw/zinc/37/48/71/355374871.db2.gz KOFDCETYQLVGMT-AWEZNQCLSA-N 1 2 313.405 1.234 20 30 DDEDLO COC[C@@]1(C(N)=O)CCC[N@@H+]1Cc1ccc(C#N)cc1OC ZINC000591645208 355350181 /nfs/dbraw/zinc/35/01/81/355350181.db2.gz FTWNSDCGHYQMBT-MRXNPFEDSA-N 1 2 303.362 1.033 20 30 DDEDLO COC[C@@]1(C(N)=O)CCC[N@H+]1Cc1ccc(C#N)cc1OC ZINC000591645208 355350183 /nfs/dbraw/zinc/35/01/83/355350183.db2.gz FTWNSDCGHYQMBT-MRXNPFEDSA-N 1 2 303.362 1.033 20 30 DDEDLO N#Cc1cnccc1C[N@@H+]1CCCC[C@@H]1CN1CCOCC1 ZINC000592070251 355482408 /nfs/dbraw/zinc/48/24/08/355482408.db2.gz LPMQJKAXBNQYNT-QGZVFWFLSA-N 1 2 300.406 1.640 20 30 DDEDLO N#Cc1cnccc1C[N@H+]1CCCC[C@@H]1CN1CCOCC1 ZINC000592070251 355482412 /nfs/dbraw/zinc/48/24/12/355482412.db2.gz LPMQJKAXBNQYNT-QGZVFWFLSA-N 1 2 300.406 1.640 20 30 DDEDLO Cc1ccc(F)cc1NC(=O)[C@@H](C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592145932 355510398 /nfs/dbraw/zinc/51/03/98/355510398.db2.gz BLXYFXPVJOLEHV-MLGOLLRUSA-N 1 2 305.353 1.812 20 30 DDEDLO Cc1ccc(F)cc1NC(=O)[C@@H](C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592145932 355510400 /nfs/dbraw/zinc/51/04/00/355510400.db2.gz BLXYFXPVJOLEHV-MLGOLLRUSA-N 1 2 305.353 1.812 20 30 DDEDLO COc1ccc(C)cc1NC(=O)[C@@H](C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149044 355515020 /nfs/dbraw/zinc/51/50/20/355515020.db2.gz HGMFXSXNFXPGHC-CXAGYDPISA-N 1 2 317.389 1.681 20 30 DDEDLO COc1ccc(C)cc1NC(=O)[C@@H](C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149044 355515023 /nfs/dbraw/zinc/51/50/23/355515023.db2.gz HGMFXSXNFXPGHC-CXAGYDPISA-N 1 2 317.389 1.681 20 30 DDEDLO C[C@H](C(=O)Nc1cccc2ncccc21)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149305 355516049 /nfs/dbraw/zinc/51/60/49/355516049.db2.gz MQUKHKXREXBCOH-FZKQIMNGSA-N 1 2 324.384 1.912 20 30 DDEDLO C[C@H](C(=O)Nc1cccc2ncccc21)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149305 355516051 /nfs/dbraw/zinc/51/60/51/355516051.db2.gz MQUKHKXREXBCOH-FZKQIMNGSA-N 1 2 324.384 1.912 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000592149162 355516569 /nfs/dbraw/zinc/51/65/69/355516569.db2.gz JXZDZSPDFZUWGB-HNNXBMFYSA-N 1 2 320.299 1.865 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000592149162 355516573 /nfs/dbraw/zinc/51/65/73/355516573.db2.gz JXZDZSPDFZUWGB-HNNXBMFYSA-N 1 2 320.299 1.865 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCO[C@@H](c4ccco4)C3)cnc12 ZINC000592504603 355604309 /nfs/dbraw/zinc/60/43/09/355604309.db2.gz KRMJJINRFATELJ-OAHLLOKOSA-N 1 2 309.329 1.767 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCO[C@@H](c4ccco4)C3)cnc12 ZINC000592504603 355604311 /nfs/dbraw/zinc/60/43/11/355604311.db2.gz KRMJJINRFATELJ-OAHLLOKOSA-N 1 2 309.329 1.767 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)[C@H](C)CO1 ZINC000593064322 355784422 /nfs/dbraw/zinc/78/44/22/355784422.db2.gz RUDUJHQKJHWAHB-CZUORRHYSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)[C@H](C)CO1 ZINC000593064322 355784427 /nfs/dbraw/zinc/78/44/27/355784427.db2.gz RUDUJHQKJHWAHB-CZUORRHYSA-N 1 2 301.390 1.674 20 30 DDEDLO COC[C@H]1C[C@@H](O)C[N@@H+]1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000593067567 355785477 /nfs/dbraw/zinc/78/54/77/355785477.db2.gz WEPSIDMFPGSMQM-CHWSQXEVSA-N 1 2 323.780 1.232 20 30 DDEDLO COC[C@H]1C[C@@H](O)C[N@H+]1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000593067567 355785479 /nfs/dbraw/zinc/78/54/79/355785479.db2.gz WEPSIDMFPGSMQM-CHWSQXEVSA-N 1 2 323.780 1.232 20 30 DDEDLO COCCOCC[NH+]1CCN(C(=O)c2cscc2C#N)CC1 ZINC000593886330 356041632 /nfs/dbraw/zinc/04/16/32/356041632.db2.gz JFOAGDSVYVDZGY-UHFFFAOYSA-N 1 2 323.418 1.041 20 30 DDEDLO C[C@@]1(C#N)CCN(C(=O)C(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)C1 ZINC000595150608 356393825 /nfs/dbraw/zinc/39/38/25/356393825.db2.gz MCZSKAKZPAIGQK-LRDDRELGSA-N 1 2 315.377 1.225 20 30 DDEDLO Cc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)nc(C)n1 ZINC000595340483 356455012 /nfs/dbraw/zinc/45/50/12/356455012.db2.gz WRZFHEYKUIBWBY-ZDUSSCGKSA-N 1 2 323.404 1.466 20 30 DDEDLO Cc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)nc(C)n1 ZINC000595340483 356455014 /nfs/dbraw/zinc/45/50/14/356455014.db2.gz WRZFHEYKUIBWBY-ZDUSSCGKSA-N 1 2 323.404 1.466 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](CCO[C@H]2CC2(F)F)CC1 ZINC000595286712 356432837 /nfs/dbraw/zinc/43/28/37/356432837.db2.gz GKXPESAHONRSST-ZDUSSCGKSA-N 1 2 308.332 1.499 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000595399513 356478536 /nfs/dbraw/zinc/47/85/36/356478536.db2.gz MEACNJOJJQNZLE-NSHDSACASA-N 1 2 301.375 1.580 20 30 DDEDLO N#CC1CCC(CNC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CC1 ZINC000595606301 356573201 /nfs/dbraw/zinc/57/32/01/356573201.db2.gz IHFCPTMINOJVLE-UYSNPLJNSA-N 1 2 320.437 1.432 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@@](CO)(OC)C1 ZINC000595658166 356594923 /nfs/dbraw/zinc/59/49/23/356594923.db2.gz HYEFIAHFVIVUCT-NVXWUHKLSA-N 1 2 318.373 1.246 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CCC[C@@](CO)(OC)C1 ZINC000595658166 356594926 /nfs/dbraw/zinc/59/49/26/356594926.db2.gz HYEFIAHFVIVUCT-NVXWUHKLSA-N 1 2 318.373 1.246 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CCC(=O)N(C)CC2)c(C#N)c1C ZINC000595772385 356646595 /nfs/dbraw/zinc/64/65/95/356646595.db2.gz KPQMXLIJBPUSRF-UHFFFAOYSA-N 1 2 320.418 1.339 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CCC(=O)N(C)CC2)c(C#N)c1C ZINC000595772385 356646598 /nfs/dbraw/zinc/64/65/98/356646598.db2.gz KPQMXLIJBPUSRF-UHFFFAOYSA-N 1 2 320.418 1.339 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNCC(F)(F)c1ccc(F)cc1 ZINC000596065870 356759334 /nfs/dbraw/zinc/75/93/34/356759334.db2.gz XKMZVFLAPVZQSW-AWEZNQCLSA-N 1 2 315.339 1.713 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNCC(F)(F)c1ccc(F)cc1 ZINC000596065870 356759335 /nfs/dbraw/zinc/75/93/35/356759335.db2.gz XKMZVFLAPVZQSW-AWEZNQCLSA-N 1 2 315.339 1.713 20 30 DDEDLO CCc1nnc(NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)c(C#N)c1CC ZINC000596433436 356878773 /nfs/dbraw/zinc/87/87/73/356878773.db2.gz VOAQBVPSADPKKI-QWHCGFSZSA-N 1 2 317.437 1.994 20 30 DDEDLO CCc1nnc(NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)c(C#N)c1CC ZINC000596433436 356878775 /nfs/dbraw/zinc/87/87/75/356878775.db2.gz VOAQBVPSADPKKI-QWHCGFSZSA-N 1 2 317.437 1.994 20 30 DDEDLO CC1(O)CCN(c2cc(N3CCC[C@@H](CC#N)C3)nc[nH+]2)CC1 ZINC000596326539 356858729 /nfs/dbraw/zinc/85/87/29/356858729.db2.gz VBHRTTJWBGIHKF-AWEZNQCLSA-N 1 2 315.421 1.958 20 30 DDEDLO CC1(O)CCN(c2cc(N3CCC[C@@H](CC#N)C3)[nH+]cn2)CC1 ZINC000596326539 356858733 /nfs/dbraw/zinc/85/87/33/356858733.db2.gz VBHRTTJWBGIHKF-AWEZNQCLSA-N 1 2 315.421 1.958 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000597383258 357157156 /nfs/dbraw/zinc/15/71/56/357157156.db2.gz XKPKSPXKYXSNAQ-RHSMWYFYSA-N 1 2 312.373 1.977 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@@]2(C#N)CC23CCCC3)[C@@H](C)CO1 ZINC000597993530 357409744 /nfs/dbraw/zinc/40/97/44/357409744.db2.gz GIAYQBFJPRXSCB-VBQJREDUSA-N 1 2 305.422 1.686 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@@]2(C#N)CC23CCCC3)[C@@H](C)CO1 ZINC000597993530 357409749 /nfs/dbraw/zinc/40/97/49/357409749.db2.gz GIAYQBFJPRXSCB-VBQJREDUSA-N 1 2 305.422 1.686 20 30 DDEDLO C[S@](=O)C1(CNC([O-])=[NH+][C@H]2CCc3[nH]c[nH+]c3C2)CCC1 ZINC000329619269 223002290 /nfs/dbraw/zinc/00/22/90/223002290.db2.gz QVBKPXFEGSHMLB-CWKPULSASA-N 1 2 310.423 1.072 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000329725833 223019444 /nfs/dbraw/zinc/01/94/44/223019444.db2.gz DOGGRYMGKKCQBN-FQUUOJAGSA-N 1 2 304.394 1.377 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(F)c2ccncc12 ZINC000598836753 357740176 /nfs/dbraw/zinc/74/01/76/357740176.db2.gz QPGJRMLMKUZOIZ-INIZCTEOSA-N 1 2 300.337 1.948 20 30 DDEDLO N#CCC(=O)N1CCN(c2nc3ccccc3n3c[nH+]cc23)CC1 ZINC000599100473 357815724 /nfs/dbraw/zinc/81/57/24/357815724.db2.gz ITCZHXHLDVPRKC-UHFFFAOYSA-N 1 2 320.356 1.445 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)cn1 ZINC000599183090 357841664 /nfs/dbraw/zinc/84/16/64/357841664.db2.gz GIIVKUYFZQPRBW-KRWDZBQOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)cn1 ZINC000599183090 357841668 /nfs/dbraw/zinc/84/16/68/357841668.db2.gz GIIVKUYFZQPRBW-KRWDZBQOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ncccc1NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000599184609 357842875 /nfs/dbraw/zinc/84/28/75/357842875.db2.gz KENRVGKLTNBVLP-HNNXBMFYSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ncccc1NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000599184609 357842880 /nfs/dbraw/zinc/84/28/80/357842880.db2.gz KENRVGKLTNBVLP-HNNXBMFYSA-N 1 2 309.373 1.661 20 30 DDEDLO COCC[N@H+](Cc1ccc(C)c(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000599335781 357898871 /nfs/dbraw/zinc/89/88/71/357898871.db2.gz DKWCVZFPUVOJGJ-MRXNPFEDSA-N 1 2 322.430 1.502 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C)c(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000599335781 357898873 /nfs/dbraw/zinc/89/88/73/357898873.db2.gz DKWCVZFPUVOJGJ-MRXNPFEDSA-N 1 2 322.430 1.502 20 30 DDEDLO COc1cc(NC(=O)NC[C@H]2COCC[N@@H+]2C)c(F)cc1C#N ZINC000599810938 358064321 /nfs/dbraw/zinc/06/43/21/358064321.db2.gz NDUOLHDRGPNBRO-NSHDSACASA-N 1 2 322.340 1.158 20 30 DDEDLO COc1cc(NC(=O)NC[C@H]2COCC[N@H+]2C)c(F)cc1C#N ZINC000599810938 358064324 /nfs/dbraw/zinc/06/43/24/358064324.db2.gz NDUOLHDRGPNBRO-NSHDSACASA-N 1 2 322.340 1.158 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](C)c1nnn(-c2ccc(F)cc2)c1C ZINC000180031161 199109436 /nfs/dbraw/zinc/10/94/36/199109436.db2.gz SBBVIVVIVKAEEW-LLVKDONJSA-N 1 2 317.368 1.668 20 30 DDEDLO Cc1nn(C)c(N2CCN(Cc3[nH]c(C)c(C)[nH+]3)CC2)c1C#N ZINC000600152989 358139595 /nfs/dbraw/zinc/13/95/95/358139595.db2.gz WBNWMMRSMFKCLZ-UHFFFAOYSA-N 1 2 313.409 1.262 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccccc1CC#N ZINC000601385664 358529274 /nfs/dbraw/zinc/52/92/74/358529274.db2.gz RHCSATRBUVPAER-QWHCGFSZSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccccc1CC#N ZINC000601385664 358529279 /nfs/dbraw/zinc/52/92/79/358529279.db2.gz RHCSATRBUVPAER-QWHCGFSZSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@H](CC(=O)NC1(C#N)CC[NH+](C)CC1)C(=O)c1ccccc1 ZINC000602154473 358850742 /nfs/dbraw/zinc/85/07/42/358850742.db2.gz MWQNNPWHLJILAM-CQSZACIVSA-N 1 2 313.401 2.000 20 30 DDEDLO Cc1ccc(CO[C@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000602331143 358933727 /nfs/dbraw/zinc/93/37/27/358933727.db2.gz AHMISZQJILSBFH-PBHICJAKSA-N 1 2 303.406 1.860 20 30 DDEDLO Cn1ccnc1[C@@H](C1CC1)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000602440347 358978160 /nfs/dbraw/zinc/97/81/60/358978160.db2.gz ISGTVKOGTVGZME-QGZVFWFLSA-N 1 2 322.416 1.960 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@]3(CCS(=O)(=O)C3)C2)n1 ZINC000602683758 359124041 /nfs/dbraw/zinc/12/40/41/359124041.db2.gz RYTDWUGKWMHJKV-OAHLLOKOSA-N 1 2 305.403 1.354 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@]3(CCS(=O)(=O)C3)C2)n1 ZINC000602683758 359124045 /nfs/dbraw/zinc/12/40/45/359124045.db2.gz RYTDWUGKWMHJKV-OAHLLOKOSA-N 1 2 305.403 1.354 20 30 DDEDLO C[C@H]1C[NH+](Cc2cc(O)ccc2[N+](=O)[O-])C[C@H](C)N1CC#N ZINC000602850910 359237667 /nfs/dbraw/zinc/23/76/67/359237667.db2.gz TUXLLAPXQXFALF-RYUDHWBXSA-N 1 2 304.350 1.719 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2ccccc2F)C[C@H](C)N1CC#N ZINC000602857834 359243550 /nfs/dbraw/zinc/24/35/50/359243550.db2.gz VQHGDCXYJVSYBT-OKILXGFUSA-N 1 2 318.396 1.360 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2ccccc2F)C[C@H](C)N1CC#N ZINC000602857834 359243552 /nfs/dbraw/zinc/24/35/52/359243552.db2.gz VQHGDCXYJVSYBT-OKILXGFUSA-N 1 2 318.396 1.360 20 30 DDEDLO COc1ccc(CN(C)C(=O)[C@H](C)[NH2+][C@H](C)CC#N)cc1OC ZINC000602862920 359247295 /nfs/dbraw/zinc/24/72/95/359247295.db2.gz MTIQJSGRUXEXFP-OLZOCXBDSA-N 1 2 319.405 1.942 20 30 DDEDLO CC(C)CN(C(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1)C1CC1 ZINC000602862391 359247944 /nfs/dbraw/zinc/24/79/44/359247944.db2.gz NQFUDTDABRHVFZ-GJZGRUSLSA-N 1 2 306.454 1.552 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1 ZINC000602869829 359254751 /nfs/dbraw/zinc/25/47/51/359254751.db2.gz PJWIGQFHCCXMMB-NEPJUHHUSA-N 1 2 320.368 1.011 20 30 DDEDLO N#CCCN1CC[C@](O)(C[N@H+](CCC#N)Cc2ccccc2)C1 ZINC000602939264 359308689 /nfs/dbraw/zinc/30/86/89/359308689.db2.gz SOLAPRQLCZIMFI-GOSISDBHSA-N 1 2 312.417 1.753 20 30 DDEDLO N#CCCN1CC[C@](O)(C[N@@H+](CCC#N)Cc2ccccc2)C1 ZINC000602939264 359308693 /nfs/dbraw/zinc/30/86/93/359308693.db2.gz SOLAPRQLCZIMFI-GOSISDBHSA-N 1 2 312.417 1.753 20 30 DDEDLO N#CCCN(Cc1ccccc1)C[C@@]1(O)CC[N@H+](CCC#N)C1 ZINC000602939264 359308699 /nfs/dbraw/zinc/30/86/99/359308699.db2.gz SOLAPRQLCZIMFI-GOSISDBHSA-N 1 2 312.417 1.753 20 30 DDEDLO N#CCCN(Cc1ccccc1)C[C@@]1(O)CC[N@@H+](CCC#N)C1 ZINC000602939264 359308706 /nfs/dbraw/zinc/30/87/06/359308706.db2.gz SOLAPRQLCZIMFI-GOSISDBHSA-N 1 2 312.417 1.753 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@@H+]1CCC[C@@H]1c1ncccn1 ZINC000602900291 359282387 /nfs/dbraw/zinc/28/23/87/359282387.db2.gz STVOOUZCGGFLMG-HZPDHXFCSA-N 1 2 324.384 1.925 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@H+]1CCC[C@@H]1c1ncccn1 ZINC000602900291 359282389 /nfs/dbraw/zinc/28/23/89/359282389.db2.gz STVOOUZCGGFLMG-HZPDHXFCSA-N 1 2 324.384 1.925 20 30 DDEDLO C[N@H+](CC(=O)N1CCO[C@@H]2CCCC[C@@H]21)[C@@H]1CCC[C@@H]1C#N ZINC000602975544 359335714 /nfs/dbraw/zinc/33/57/14/359335714.db2.gz CRZXTSJFFQUHJF-LVQVYYBASA-N 1 2 305.422 1.780 20 30 DDEDLO C[N@@H+](CC(=O)N1CCO[C@@H]2CCCC[C@@H]21)[C@@H]1CCC[C@@H]1C#N ZINC000602975544 359335718 /nfs/dbraw/zinc/33/57/18/359335718.db2.gz CRZXTSJFFQUHJF-LVQVYYBASA-N 1 2 305.422 1.780 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Nc1ccnc(C#N)c1 ZINC000603124857 359418951 /nfs/dbraw/zinc/41/89/51/359418951.db2.gz DXOQMHMHIXJQFM-DOMZBBRYSA-N 1 2 315.377 1.280 20 30 DDEDLO N#Cc1cccc(OCCCn2cc(Cn3cc[nH+]c3)nn2)c1 ZINC000603240987 359507955 /nfs/dbraw/zinc/50/79/55/359507955.db2.gz BVUJMAWOADNSCK-UHFFFAOYSA-N 1 2 308.345 1.864 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)Nc1c(C#N)cnn1-c1ccccc1 ZINC000603291834 359547447 /nfs/dbraw/zinc/54/74/47/359547447.db2.gz ONGULOZATKCSRR-HNNXBMFYSA-N 1 2 324.432 1.792 20 30 DDEDLO CC1(CO)CC[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000186914458 200030450 /nfs/dbraw/zinc/03/04/50/200030450.db2.gz VATWIHRPAQAEQQ-UHFFFAOYSA-N 1 2 322.430 1.426 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000604034743 359713237 /nfs/dbraw/zinc/71/32/37/359713237.db2.gz FPCKAUXQMLUVHJ-OAHLLOKOSA-N 1 2 303.406 1.880 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N1CCO[C@]2(CCOC2)C1 ZINC000329889399 223042440 /nfs/dbraw/zinc/04/24/40/223042440.db2.gz DMZDRROKSPBUQV-XJKSGUPXSA-N 1 2 320.393 1.166 20 30 DDEDLO O=C(NC[C@@H]1CCc2[nH+]ccn2C1)N[C@@H]1CCO[C@@H]1C1CC1 ZINC000329907635 223046105 /nfs/dbraw/zinc/04/61/05/223046105.db2.gz LBPJGKYCDBYALJ-NJZAAPMLSA-N 1 2 304.394 1.517 20 30 DDEDLO [O-]C(NC[C@@H]1CCc2[nH+]ccn2C1)=[NH+][C@@H]1CCO[C@@H]1C1CC1 ZINC000329907635 223046106 /nfs/dbraw/zinc/04/61/06/223046106.db2.gz LBPJGKYCDBYALJ-NJZAAPMLSA-N 1 2 304.394 1.517 20 30 DDEDLO [O-]C(N[C@@H]1CCO[C@@H]1C1CC1)=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000329907635 223046110 /nfs/dbraw/zinc/04/61/10/223046110.db2.gz LBPJGKYCDBYALJ-NJZAAPMLSA-N 1 2 304.394 1.517 20 30 DDEDLO CC[C@@H](C)[N@H+](CC(=O)NCc1ccc(C#N)cc1)CC(=O)OC ZINC000281191524 216141421 /nfs/dbraw/zinc/14/14/21/216141421.db2.gz BTJSHNOVLVSEES-CYBMUJFWSA-N 1 2 317.389 1.448 20 30 DDEDLO CC[C@@H](C)[N@@H+](CC(=O)NCc1ccc(C#N)cc1)CC(=O)OC ZINC000281191524 216141423 /nfs/dbraw/zinc/14/14/23/216141423.db2.gz BTJSHNOVLVSEES-CYBMUJFWSA-N 1 2 317.389 1.448 20 30 DDEDLO CC(C)C[C@H](C#N)NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000610159108 360386976 /nfs/dbraw/zinc/38/69/76/360386976.db2.gz QIBKWJSCHBINHK-SJORKVTESA-N 1 2 315.417 1.942 20 30 DDEDLO CC(C)C[C@H](C#N)NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000610159108 360386983 /nfs/dbraw/zinc/38/69/83/360386983.db2.gz QIBKWJSCHBINHK-SJORKVTESA-N 1 2 315.417 1.942 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)Nc1ccc(OC)cc1 ZINC000610391789 360425243 /nfs/dbraw/zinc/42/52/43/360425243.db2.gz QZDJZMPWMIRPCU-UHFFFAOYSA-N 1 2 305.378 1.886 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)Nc1ccc(OC)cc1 ZINC000610391789 360425250 /nfs/dbraw/zinc/42/52/50/360425250.db2.gz QZDJZMPWMIRPCU-UHFFFAOYSA-N 1 2 305.378 1.886 20 30 DDEDLO Cc1nc(CC2CC2)oc1C(=O)NC[C@@H](C)[NH+]1CCOCC1 ZINC000330021024 223063256 /nfs/dbraw/zinc/06/32/56/223063256.db2.gz XDSFILKYZDXCOE-LLVKDONJSA-N 1 2 307.394 1.961 20 30 DDEDLO C[C@@H](NC(=O)C1([NH+]2CCOCC2)CCC1)c1cccc(C#N)c1 ZINC000611845317 360839940 /nfs/dbraw/zinc/83/99/40/360839940.db2.gz AQRZOEUURCWXJR-CQSZACIVSA-N 1 2 313.401 1.990 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)c[nH]1 ZINC000271958126 209340567 /nfs/dbraw/zinc/34/05/67/209340567.db2.gz FSEIMAVNMRNXIT-QGZVFWFLSA-N 1 2 324.384 1.517 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)c[nH]1 ZINC000271958126 209340570 /nfs/dbraw/zinc/34/05/70/209340570.db2.gz FSEIMAVNMRNXIT-QGZVFWFLSA-N 1 2 324.384 1.517 20 30 DDEDLO O=C(CCNC([O-])=[NH+][C@H]1CCn2cc[nH+]c2C1)N1CCCCC1 ZINC000330195880 223086913 /nfs/dbraw/zinc/08/69/13/223086913.db2.gz ZYIDRMQWZOQBRJ-ZDUSSCGKSA-N 1 2 319.409 1.104 20 30 DDEDLO O=C(CC[NH+]=C([O-])N[C@H]1CCn2cc[nH+]c2C1)N1CCCCC1 ZINC000330195880 223086915 /nfs/dbraw/zinc/08/69/15/223086915.db2.gz ZYIDRMQWZOQBRJ-ZDUSSCGKSA-N 1 2 319.409 1.104 20 30 DDEDLO Cc1nnsc1CNC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000330253143 223095331 /nfs/dbraw/zinc/09/53/31/223095331.db2.gz ISCZNAVZRQWCRZ-UHFFFAOYSA-N 1 2 306.395 1.863 20 30 DDEDLO Cc1nnsc1CNC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000330253143 223095335 /nfs/dbraw/zinc/09/53/35/223095335.db2.gz ISCZNAVZRQWCRZ-UHFFFAOYSA-N 1 2 306.395 1.863 20 30 DDEDLO COc1cc(C(=O)NCC[N@@H+]2CCOCC2(C)C)cc(C)n1 ZINC000330378357 223107966 /nfs/dbraw/zinc/10/79/66/223107966.db2.gz JSUGGNYCVVTWTF-UHFFFAOYSA-N 1 2 307.394 1.814 20 30 DDEDLO COc1cc(C(=O)NCC[N@H+]2CCOCC2(C)C)cc(C)n1 ZINC000330378357 223107968 /nfs/dbraw/zinc/10/79/68/223107968.db2.gz JSUGGNYCVVTWTF-UHFFFAOYSA-N 1 2 307.394 1.814 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1cc(C(C)(C)C)nn1C ZINC000330628665 223131191 /nfs/dbraw/zinc/13/11/91/223131191.db2.gz ZIJGLVCKTICRTE-LBPRGKRZSA-N 1 2 308.426 1.743 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1cc(C(C)(C)C)nn1C ZINC000330628665 223131193 /nfs/dbraw/zinc/13/11/93/223131193.db2.gz ZIJGLVCKTICRTE-LBPRGKRZSA-N 1 2 308.426 1.743 20 30 DDEDLO C[C@H](CC#N)N(C)S(=O)(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000330431292 223115580 /nfs/dbraw/zinc/11/55/80/223115580.db2.gz WDHSISWRQHEZNN-OCCSQVGLSA-N 1 2 324.406 1.013 20 30 DDEDLO N#C[C@@H]1CCC[C@@H]1[NH2+]CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000331686330 223208452 /nfs/dbraw/zinc/20/84/52/223208452.db2.gz VNGMFWJXELWONZ-JSGCOSHPSA-N 1 2 314.345 1.766 20 30 DDEDLO O=C(NCC#Cc1cccc(F)c1)NCCNc1cccc[nH+]1 ZINC000338078889 223255565 /nfs/dbraw/zinc/25/55/65/223255565.db2.gz UHLLQLOJYLXUNF-UHFFFAOYSA-N 1 2 312.348 1.984 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)c3ccnc(C#N)c3)[nH+]c12 ZINC000619438496 364009012 /nfs/dbraw/zinc/00/90/12/364009012.db2.gz YITZZSPJMHLGTF-UHFFFAOYSA-N 1 2 305.341 1.882 20 30 DDEDLO N#CCc1ccccc1S(=O)(=O)N1CC[NH+](C2CC2)CC1 ZINC000619572653 364066521 /nfs/dbraw/zinc/06/65/21/364066521.db2.gz OGNCOASQRAMRGN-UHFFFAOYSA-N 1 2 305.403 1.221 20 30 DDEDLO C[C@H](c1cccnc1)N1C(=O)C[C@H]([NH+]2CCC(C#N)CC2)C1=O ZINC000343026912 223315008 /nfs/dbraw/zinc/31/50/08/223315008.db2.gz VZRHLUJUDANRIN-DOMZBBRYSA-N 1 2 312.373 1.506 20 30 DDEDLO C=CC[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(S(C)(=O)=O)cc2)C1 ZINC000625702423 367098605 /nfs/dbraw/zinc/09/86/05/367098605.db2.gz FWZBXOMQYNYYHQ-CYBMUJFWSA-N 1 2 322.430 1.927 20 30 DDEDLO C=CC[C@@H]1CC[N@H+](CC(=O)Nc2ccc(S(C)(=O)=O)cc2)C1 ZINC000625702423 367098611 /nfs/dbraw/zinc/09/86/11/367098611.db2.gz FWZBXOMQYNYYHQ-CYBMUJFWSA-N 1 2 322.430 1.927 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC[C@@H]([C@H](O)C(F)(F)F)C2)CCC1 ZINC000347148657 223368093 /nfs/dbraw/zinc/36/80/93/223368093.db2.gz GRSHWQCTZSDTCA-PWSUYJOCSA-N 1 2 319.327 1.184 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC[C@@H]([C@H](O)C(F)(F)F)C2)CCC1 ZINC000347148657 223368096 /nfs/dbraw/zinc/36/80/96/223368096.db2.gz GRSHWQCTZSDTCA-PWSUYJOCSA-N 1 2 319.327 1.184 20 30 DDEDLO C[C@H](CN(C)C(=O)CCn1cc[nH+]c1)Nc1ccc(C#N)nc1 ZINC001120462026 781960248 /nfs/dbraw/zinc/96/02/48/781960248.db2.gz VGWGGNWJYWRFSB-CYBMUJFWSA-N 1 2 312.377 1.499 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CCOc2cc[nH+]cc21 ZINC000175665126 306686757 /nfs/dbraw/zinc/68/67/57/306686757.db2.gz KNUHNFWJVBZVEB-UHFFFAOYSA-N 1 2 315.354 1.849 20 30 DDEDLO C[C@@H](C(=O)NCc1ccccc1-n1cc[nH+]c1)n1cnc(C#N)n1 ZINC000336912452 249287761 /nfs/dbraw/zinc/28/77/61/249287761.db2.gz YVWPMFXNDDQQFB-LBPRGKRZSA-N 1 2 321.344 1.213 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@@H+]3CC[C@](F)(CO)C3)C2=O)cc1 ZINC000338589639 250044025 /nfs/dbraw/zinc/04/40/25/250044025.db2.gz UKBLQDNBRWJRLD-DOTOQJQBSA-N 1 2 317.364 1.460 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@H+]3CC[C@](F)(CO)C3)C2=O)cc1 ZINC000338589639 250044028 /nfs/dbraw/zinc/04/40/28/250044028.db2.gz UKBLQDNBRWJRLD-DOTOQJQBSA-N 1 2 317.364 1.460 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000338593572 250045757 /nfs/dbraw/zinc/04/57/57/250045757.db2.gz SZFYBXCVDDPZNA-UHFFFAOYSA-N 1 2 319.430 1.558 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000338593572 250045758 /nfs/dbraw/zinc/04/57/58/250045758.db2.gz SZFYBXCVDDPZNA-UHFFFAOYSA-N 1 2 319.430 1.558 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@@H+]3CC[C@](F)(CO)C3)C2=O)cc1 ZINC000338628158 250065473 /nfs/dbraw/zinc/06/54/73/250065473.db2.gz UBLVLQRHOJHVSJ-GOEBONIOSA-N 1 2 303.337 1.070 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@H+]3CC[C@](F)(CO)C3)C2=O)cc1 ZINC000338628158 250065477 /nfs/dbraw/zinc/06/54/77/250065477.db2.gz UBLVLQRHOJHVSJ-GOEBONIOSA-N 1 2 303.337 1.070 20 30 DDEDLO N#Cc1ccnc(C(=O)NC2CCN(c3cccc[nH+]3)CC2)c1 ZINC000339260981 250374953 /nfs/dbraw/zinc/37/49/53/250374953.db2.gz PMPNDDKFTFOXHB-UHFFFAOYSA-N 1 2 307.357 1.747 20 30 DDEDLO COC(=O)COc1cccc(C[NH2+]Cc2nc(C#N)cs2)c1 ZINC000352793084 269701119 /nfs/dbraw/zinc/70/11/19/269701119.db2.gz DCXZWFJBCHXGJG-UHFFFAOYSA-N 1 2 317.370 1.856 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)c2ccc(Br)cn2)CC1 ZINC000061874191 184191211 /nfs/dbraw/zinc/19/12/11/184191211.db2.gz GDLAVQVGXUDAPU-UHFFFAOYSA-N 1 2 308.179 1.235 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2nc(C)c(C)s2)CC1 ZINC000157443863 197188228 /nfs/dbraw/zinc/18/82/28/197188228.db2.gz PJUWFTXAVRYAAC-LBPRGKRZSA-N 1 2 308.451 1.573 20 30 DDEDLO CN(C(=O)c1ccc(C#N)c(O)c1)C(C)(C)C[NH+]1CCOCC1 ZINC000414044945 224294692 /nfs/dbraw/zinc/29/46/92/224294692.db2.gz VFPAONAMBRRYEH-UHFFFAOYSA-N 1 2 317.389 1.447 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)C[C@@H](O)C(F)(F)F ZINC000305778261 533332302 /nfs/dbraw/zinc/33/23/02/533332302.db2.gz QNQBRVKIKKEJII-JOYOIKCWSA-N 1 2 315.295 1.740 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)C[C@@H](O)C(F)(F)F ZINC000305778261 533332306 /nfs/dbraw/zinc/33/23/06/533332306.db2.gz QNQBRVKIKKEJII-JOYOIKCWSA-N 1 2 315.295 1.740 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@H+](Cc3ccc(C#N)cc3[N+](=O)[O-])C2)n1 ZINC000568889186 304320337 /nfs/dbraw/zinc/32/03/37/304320337.db2.gz OQWGSVWPJNQYTI-CYBMUJFWSA-N 1 2 312.333 1.883 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@@H+](Cc3ccc(C#N)cc3[N+](=O)[O-])C2)n1 ZINC000568889186 304320339 /nfs/dbraw/zinc/32/03/39/304320339.db2.gz OQWGSVWPJNQYTI-CYBMUJFWSA-N 1 2 312.333 1.883 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+](Cc3ccc(C#N)cc3[N+](=O)[O-])C2)n[nH]1 ZINC000568889186 304320341 /nfs/dbraw/zinc/32/03/41/304320341.db2.gz OQWGSVWPJNQYTI-CYBMUJFWSA-N 1 2 312.333 1.883 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+](Cc3ccc(C#N)cc3[N+](=O)[O-])C2)n[nH]1 ZINC000568889186 304320343 /nfs/dbraw/zinc/32/03/43/304320343.db2.gz OQWGSVWPJNQYTI-CYBMUJFWSA-N 1 2 312.333 1.883 20 30 DDEDLO COC1(c2ccccc2)CN(C[C@H](O)C[N@H+](C)CCC#N)C1 ZINC000414128487 292150534 /nfs/dbraw/zinc/15/05/34/292150534.db2.gz SGFUKAXISIEJAF-MRXNPFEDSA-N 1 2 303.406 1.050 20 30 DDEDLO COC1(c2ccccc2)CN(C[C@H](O)C[N@@H+](C)CCC#N)C1 ZINC000414128487 292150536 /nfs/dbraw/zinc/15/05/36/292150536.db2.gz SGFUKAXISIEJAF-MRXNPFEDSA-N 1 2 303.406 1.050 20 30 DDEDLO COC1(c2ccccc2)C[NH+](C[C@H](O)CN(C)CCC#N)C1 ZINC000414128487 292150538 /nfs/dbraw/zinc/15/05/38/292150538.db2.gz SGFUKAXISIEJAF-MRXNPFEDSA-N 1 2 303.406 1.050 20 30 DDEDLO N#Cc1ccc(NCCCCN2CC[NH+](CCO)CC2)cc1 ZINC000114450796 407571189 /nfs/dbraw/zinc/57/11/89/407571189.db2.gz PIADDEQSVLZOOA-UHFFFAOYSA-N 1 2 302.422 1.360 20 30 DDEDLO N#CCC[C@H](C#N)CN1CC[NH+](CC(=O)N2CCCCC2)CC1 ZINC000070981267 406828752 /nfs/dbraw/zinc/82/87/52/406828752.db2.gz OQOLNDUDFRAPAE-MRXNPFEDSA-N 1 2 317.437 1.060 20 30 DDEDLO COc1ccc(C(=O)C2CC[NH+](CC(=O)NCC#N)CC2)cc1 ZINC000076668616 406973268 /nfs/dbraw/zinc/97/32/68/406973268.db2.gz IANPZGNLVZNRNF-UHFFFAOYSA-N 1 2 315.373 1.230 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000077169668 406978578 /nfs/dbraw/zinc/97/85/78/406978578.db2.gz RRGJYOJEEOBNOI-HNNXBMFYSA-N 1 2 300.362 1.097 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000077169668 406978580 /nfs/dbraw/zinc/97/85/80/406978580.db2.gz RRGJYOJEEOBNOI-HNNXBMFYSA-N 1 2 300.362 1.097 20 30 DDEDLO C=CCNC(=O)C[NH+](CC(=O)NCC=C)[C@H](C)c1ccccc1 ZINC000075827831 406941461 /nfs/dbraw/zinc/94/14/61/406941461.db2.gz VFGXUJYSYSKBNL-OAHLLOKOSA-N 1 2 315.417 1.654 20 30 DDEDLO C=CC[N@H+](CC(=O)NC1CCOCC1)Cc1ccc(OC)cc1 ZINC000076292687 406960816 /nfs/dbraw/zinc/96/08/16/406960816.db2.gz LCBKQHCOCJCHCY-UHFFFAOYSA-N 1 2 318.417 1.978 20 30 DDEDLO C=CC[N@@H+](CC(=O)NC1CCOCC1)Cc1ccc(OC)cc1 ZINC000076292687 406960819 /nfs/dbraw/zinc/96/08/19/406960819.db2.gz LCBKQHCOCJCHCY-UHFFFAOYSA-N 1 2 318.417 1.978 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000078269228 407022957 /nfs/dbraw/zinc/02/29/57/407022957.db2.gz HXQZDYRXNLOBBC-DOTOQJQBSA-N 1 2 312.417 1.560 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCC(F)(F)F ZINC000079844630 407063924 /nfs/dbraw/zinc/06/39/24/407063924.db2.gz WSACZUYYIBZGQS-UHFFFAOYSA-N 1 2 307.316 1.403 20 30 DDEDLO C[C@@H](CC#N)NC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000122846542 407312231 /nfs/dbraw/zinc/31/22/31/407312231.db2.gz JXDUMJQDZPZFIJ-NSHDSACASA-N 1 2 311.345 1.444 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H]1C(=O)Nc1cccc(C)n1 ZINC000112625120 407434325 /nfs/dbraw/zinc/43/43/25/407434325.db2.gz WQPWUCNAXAMONK-ZDUSSCGKSA-N 1 2 302.378 1.095 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(C)n1 ZINC000112625120 407434327 /nfs/dbraw/zinc/43/43/27/407434327.db2.gz WQPWUCNAXAMONK-ZDUSSCGKSA-N 1 2 302.378 1.095 20 30 DDEDLO Cc1nc(C(=O)NCC[N@@H+]2CCOCC2(C)C)ccc1C#N ZINC000170863455 407532991 /nfs/dbraw/zinc/53/29/91/407532991.db2.gz BHHYRCHTWRAMDW-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO Cc1nc(C(=O)NCC[N@H+]2CCOCC2(C)C)ccc1C#N ZINC000170863455 407532998 /nfs/dbraw/zinc/53/29/98/407532998.db2.gz BHHYRCHTWRAMDW-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO C#CCNC(=O)CC[NH2+][C@H](C)c1nc(Cc2ccccc2)no1 ZINC000266517755 407542201 /nfs/dbraw/zinc/54/22/01/407542201.db2.gz YZHNJCMZYAKJOD-CYBMUJFWSA-N 1 2 312.373 1.451 20 30 DDEDLO C[C@@]1(C(N)=O)CCCC[N@@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000185949189 407548261 /nfs/dbraw/zinc/54/82/61/407548261.db2.gz JKBULDBFRPHJKJ-INIZCTEOSA-N 1 2 300.362 1.227 20 30 DDEDLO C[C@@]1(C(N)=O)CCCC[N@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000185949189 407548268 /nfs/dbraw/zinc/54/82/68/407548268.db2.gz JKBULDBFRPHJKJ-INIZCTEOSA-N 1 2 300.362 1.227 20 30 DDEDLO C=CCOc1ccc(C[NH2+]Cc2nc(N(C)C)no2)cc1OC ZINC000186415566 407658662 /nfs/dbraw/zinc/65/86/62/407658662.db2.gz CJNVBYLIESMDRQ-UHFFFAOYSA-N 1 2 318.377 1.999 20 30 DDEDLO C=CCN(C(=O)NCc1ccc(N2CCOCC2)[nH+]c1)C1CC1 ZINC000271421372 407680620 /nfs/dbraw/zinc/68/06/20/407680620.db2.gz LNZVOGNWUNECEP-UHFFFAOYSA-N 1 2 316.405 1.778 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1C[C@@H](CO)OC[C@H]1C ZINC000153141405 407762567 /nfs/dbraw/zinc/76/25/67/407762567.db2.gz GUSDREOXFMRNPK-PVAVHDDUSA-N 1 2 321.417 1.236 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1C[C@@H](CO)OC[C@H]1C ZINC000153141405 407762570 /nfs/dbraw/zinc/76/25/70/407762570.db2.gz GUSDREOXFMRNPK-PVAVHDDUSA-N 1 2 321.417 1.236 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1ccc(Cl)cc1F ZINC000186775193 407768715 /nfs/dbraw/zinc/76/87/15/407768715.db2.gz LAUUOXYCQWJZHY-UHFFFAOYSA-N 1 2 301.749 1.600 20 30 DDEDLO C#CC[NH+]1CCN(Cn2[nH]c(-c3ccccn3)nc2=S)CC1 ZINC000117319106 407829783 /nfs/dbraw/zinc/82/97/83/407829783.db2.gz KXFJLCURGYXPDL-UHFFFAOYSA-N 1 2 314.418 1.211 20 30 DDEDLO C=CCNC(=O)[C@@H](C)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000118836791 407948532 /nfs/dbraw/zinc/94/85/32/407948532.db2.gz AVDSYUPVGJQACC-HUUCEWRRSA-N 1 2 302.422 1.451 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN([C@H](C)c2cccnc2)CC1 ZINC000118836791 407948540 /nfs/dbraw/zinc/94/85/40/407948540.db2.gz AVDSYUPVGJQACC-HUUCEWRRSA-N 1 2 302.422 1.451 20 30 DDEDLO C=CCNC(=O)[C@@H](C)N1CCc2c([nH+]cn2-c2ccccc2)C1 ZINC000272554407 407978814 /nfs/dbraw/zinc/97/88/14/407978814.db2.gz OZSNACHLOAHEGI-CQSZACIVSA-N 1 2 310.401 1.921 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([NH2+]Cc3csnn3)C2=O)cc1 ZINC000272477431 407940618 /nfs/dbraw/zinc/94/06/18/407940618.db2.gz BLQPGJHQDUIMMC-CQSZACIVSA-N 1 2 313.386 1.695 20 30 DDEDLO CC#CCNC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1OC ZINC000136049459 408072652 /nfs/dbraw/zinc/07/26/52/408072652.db2.gz JQZCYPROVJPMLS-OAHLLOKOSA-N 1 2 312.373 1.841 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)CC[C@H](CC(C)C)C2=O)CC1 ZINC000121642322 408239941 /nfs/dbraw/zinc/23/99/41/408239941.db2.gz JNPVTKZHHQUHKC-OAHLLOKOSA-N 1 2 305.422 1.006 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H](Oc2ccncc2)C1 ZINC000156376741 408250508 /nfs/dbraw/zinc/25/05/08/408250508.db2.gz FVFUWNVOQGCVFO-RDJZCZTQSA-N 1 2 316.405 1.589 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@H](Oc2ccncc2)C1 ZINC000156376741 408250514 /nfs/dbraw/zinc/25/05/14/408250514.db2.gz FVFUWNVOQGCVFO-RDJZCZTQSA-N 1 2 316.405 1.589 20 30 DDEDLO CC1(C)NC(=O)N(CCC[N@H+](CCC#N)Cc2ccco2)C1=O ZINC000176290895 408331959 /nfs/dbraw/zinc/33/19/59/408331959.db2.gz PBGIJNMYSNZPFB-UHFFFAOYSA-N 1 2 318.377 1.716 20 30 DDEDLO CC1(C)NC(=O)N(CCC[N@@H+](CCC#N)Cc2ccco2)C1=O ZINC000176290895 408331963 /nfs/dbraw/zinc/33/19/63/408331963.db2.gz PBGIJNMYSNZPFB-UHFFFAOYSA-N 1 2 318.377 1.716 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)NCCc1[nH]cc[nH+]1 ZINC000183826891 408496799 /nfs/dbraw/zinc/49/67/99/408496799.db2.gz WKELWZMOIJSJOV-UHFFFAOYSA-N 1 2 301.346 1.956 20 30 DDEDLO C=C1CC[NH+](CC(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000264465110 408501845 /nfs/dbraw/zinc/50/18/45/408501845.db2.gz LQENXHZCNNJWDR-UHFFFAOYSA-N 1 2 323.418 1.233 20 30 DDEDLO CC1(O)CC[NH+](CC(=O)Nc2cc(Cl)ccc2C#N)CC1 ZINC000177327214 408579623 /nfs/dbraw/zinc/57/96/23/408579623.db2.gz QURAMMBUUGJPGO-UHFFFAOYSA-N 1 2 307.781 1.997 20 30 DDEDLO C[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H]1CO ZINC000248940433 408663789 /nfs/dbraw/zinc/66/37/89/408663789.db2.gz NYJLNOIDXQASGF-WFASDCNBSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H]1CO ZINC000248940433 408663796 /nfs/dbraw/zinc/66/37/96/408663796.db2.gz NYJLNOIDXQASGF-WFASDCNBSA-N 1 2 308.403 1.035 20 30 DDEDLO C#CCN(C(=O)NCC(C)(C)[NH+]1CCOCC1)C1CCCC1 ZINC000162450327 408701492 /nfs/dbraw/zinc/70/14/92/408701492.db2.gz GGXRHYWUEAKDEJ-UHFFFAOYSA-N 1 2 307.438 1.685 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCOc2cc(O)ccc2C1 ZINC000285650917 408835667 /nfs/dbraw/zinc/83/56/67/408835667.db2.gz YJDGVWCUCKUSIC-ZDUSSCGKSA-N 1 2 317.389 1.595 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCOc2cc(O)ccc2C1 ZINC000285650917 408835670 /nfs/dbraw/zinc/83/56/70/408835670.db2.gz YJDGVWCUCKUSIC-ZDUSSCGKSA-N 1 2 317.389 1.595 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC000290953017 408855326 /nfs/dbraw/zinc/85/53/26/408855326.db2.gz QIVQDOPGVVUNHP-HNNXBMFYSA-N 1 2 305.341 1.479 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCC(F)(F)[C@H](CO)C2)c1 ZINC000291640584 408899333 /nfs/dbraw/zinc/89/93/33/408899333.db2.gz DSEPIDILMOOQOT-LBPRGKRZSA-N 1 2 309.316 1.446 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCC(F)(F)[C@H](CO)C2)c1 ZINC000291640584 408899335 /nfs/dbraw/zinc/89/93/35/408899335.db2.gz DSEPIDILMOOQOT-LBPRGKRZSA-N 1 2 309.316 1.446 20 30 DDEDLO N#Cc1ccc(OC2C[NH+](C[C@@H](O)CC(F)(F)F)C2)cc1 ZINC000189427680 163100026 /nfs/dbraw/zinc/10/00/26/163100026.db2.gz FEYAIXLBKDLANG-NSHDSACASA-N 1 2 300.280 1.935 20 30 DDEDLO CCc1nnc(NCC2([NH+]3CCOCC3)CC2)c(C#N)c1CC ZINC000277492294 408950865 /nfs/dbraw/zinc/95/08/65/408950865.db2.gz RZEOUEYNFNEJGX-UHFFFAOYSA-N 1 2 315.421 1.750 20 30 DDEDLO Cc1nc(NCC(C)(C)[NH+]2CCS(=O)CC2)ccc1C#N ZINC000292035979 408966234 /nfs/dbraw/zinc/96/62/34/408966234.db2.gz UUPFMUGRVVIBJY-UHFFFAOYSA-N 1 2 306.435 1.517 20 30 DDEDLO C=CC[N@@H+](CCC#N)CCC(=O)Nc1sccc1C(N)=O ZINC000292051261 408970709 /nfs/dbraw/zinc/97/07/09/408970709.db2.gz BGGDPSVWBSAJDA-UHFFFAOYSA-N 1 2 306.391 1.577 20 30 DDEDLO C=CC[N@H+](CCC#N)CCC(=O)Nc1sccc1C(N)=O ZINC000292051261 408970711 /nfs/dbraw/zinc/97/07/11/408970711.db2.gz BGGDPSVWBSAJDA-UHFFFAOYSA-N 1 2 306.391 1.577 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCCC[C@H](S(C)(=O)=O)C1 ZINC000277645456 408983379 /nfs/dbraw/zinc/98/33/79/408983379.db2.gz CMNXZGBQPBEIEA-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCCC[C@H](S(C)(=O)=O)C1 ZINC000277645456 408983382 /nfs/dbraw/zinc/98/33/82/408983382.db2.gz CMNXZGBQPBEIEA-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO CC#CCNC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000292505868 409032855 /nfs/dbraw/zinc/03/28/55/409032855.db2.gz RHPTZBCQXXQWAM-MRXNPFEDSA-N 1 2 301.390 1.210 20 30 DDEDLO CC#CCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000292505868 409032858 /nfs/dbraw/zinc/03/28/58/409032858.db2.gz RHPTZBCQXXQWAM-MRXNPFEDSA-N 1 2 301.390 1.210 20 30 DDEDLO N#CCCOCC[N@H+]1CCN(Cc2ccc(Cl)cc2)C(=O)C1 ZINC000292714383 409039620 /nfs/dbraw/zinc/03/96/20/409039620.db2.gz BHXVVNLTXIZJOH-UHFFFAOYSA-N 1 2 321.808 1.914 20 30 DDEDLO N#CCCOCC[N@@H+]1CCN(Cc2ccc(Cl)cc2)C(=O)C1 ZINC000292714383 409039621 /nfs/dbraw/zinc/03/96/21/409039621.db2.gz BHXVVNLTXIZJOH-UHFFFAOYSA-N 1 2 321.808 1.914 20 30 DDEDLO CC#CC(=O)NCCC[NH+]1CCN(C(=O)OC(C)(C)C)CC1 ZINC000282815119 409064696 /nfs/dbraw/zinc/06/46/96/409064696.db2.gz NUGBYCAJIMTPNJ-UHFFFAOYSA-N 1 2 309.410 1.069 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccn3)n2CC(C)C)CC1 ZINC000283099624 409087602 /nfs/dbraw/zinc/08/76/02/409087602.db2.gz SVVUVUYOSJKDFG-UHFFFAOYSA-N 1 2 324.432 1.751 20 30 DDEDLO NC(=[NH+]O[C@@H]1CCCN(c2ccccc2F)C1=O)[C@H]1CCCO1 ZINC000283755346 409209847 /nfs/dbraw/zinc/20/98/47/409209847.db2.gz AHYNCXKMSFDBGT-ZIAGYGMSSA-N 1 2 321.352 1.789 20 30 DDEDLO C#Cc1ccc(C[N@H+]2CC[C@@H](C(=O)OC)C[C@H]2C(=O)OC)cc1 ZINC000289071794 409214841 /nfs/dbraw/zinc/21/48/41/409214841.db2.gz AIJSVTLHTIBXLG-CVEARBPZSA-N 1 2 315.369 1.595 20 30 DDEDLO C#Cc1ccc(C[N@@H+]2CC[C@@H](C(=O)OC)C[C@H]2C(=O)OC)cc1 ZINC000289071794 409214846 /nfs/dbraw/zinc/21/48/46/409214846.db2.gz AIJSVTLHTIBXLG-CVEARBPZSA-N 1 2 315.369 1.595 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@@H+]([C@H]1CC[C@H](C#N)C1)C2 ZINC000293979424 409218944 /nfs/dbraw/zinc/21/89/44/409218944.db2.gz DOTSDFHTPQRLEL-JSGCOSHPSA-N 1 2 319.430 1.645 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@H+]([C@H]1CC[C@H](C#N)C1)C2 ZINC000293979424 409218948 /nfs/dbraw/zinc/21/89/48/409218948.db2.gz DOTSDFHTPQRLEL-JSGCOSHPSA-N 1 2 319.430 1.645 20 30 DDEDLO CCCCCCCCNC(=O)C(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000283810921 409220540 /nfs/dbraw/zinc/22/05/40/409220540.db2.gz IXKHZWAUDZCTIC-AWEZNQCLSA-N 1 2 308.426 1.865 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCc3ccc(C#N)cc3)C[C@H]21 ZINC000283820292 409223103 /nfs/dbraw/zinc/22/31/03/409223103.db2.gz CRJKHFSMUIUQJU-HZPDHXFCSA-N 1 2 314.389 1.173 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCc3ccc(C#N)cc3)C[C@H]21 ZINC000283820292 409223105 /nfs/dbraw/zinc/22/31/05/409223105.db2.gz CRJKHFSMUIUQJU-HZPDHXFCSA-N 1 2 314.389 1.173 20 30 DDEDLO C=CC[N@H+](Cc1c[nH]c2nccnc12)[C@@H]1CCS(=O)(=O)C1 ZINC000284318807 409316694 /nfs/dbraw/zinc/31/66/94/409316694.db2.gz WFONXJQSYDEVEA-GFCCVEGCSA-N 1 2 306.391 1.133 20 30 DDEDLO C=CC[N@@H+](Cc1c[nH]c2nccnc12)[C@@H]1CCS(=O)(=O)C1 ZINC000284318807 409316695 /nfs/dbraw/zinc/31/66/95/409316695.db2.gz WFONXJQSYDEVEA-GFCCVEGCSA-N 1 2 306.391 1.133 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@H]21 ZINC000290273826 409344786 /nfs/dbraw/zinc/34/47/86/409344786.db2.gz WJGCSIWENFYMKY-HUUCEWRRSA-N 1 2 318.352 1.634 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@H]21 ZINC000290273826 409344790 /nfs/dbraw/zinc/34/47/90/409344790.db2.gz WJGCSIWENFYMKY-HUUCEWRRSA-N 1 2 318.352 1.634 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCC[N@H+](Cc2cccc(C#N)c2F)C1 ZINC000315083591 164017697 /nfs/dbraw/zinc/01/76/97/164017697.db2.gz NONIPBWBTNAFBV-LBPRGKRZSA-N 1 2 310.394 1.954 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCC[N@@H+](Cc2cccc(C#N)c2F)C1 ZINC000315083591 164017699 /nfs/dbraw/zinc/01/76/99/164017699.db2.gz NONIPBWBTNAFBV-LBPRGKRZSA-N 1 2 310.394 1.954 20 30 DDEDLO Cc1onc(CC(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)c1C#N ZINC000353883739 409535217 /nfs/dbraw/zinc/53/52/17/409535217.db2.gz WVYLNELJFBSHNA-CYBMUJFWSA-N 1 2 312.329 1.472 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+]([C@@H](C)c2ccsc2)CC1 ZINC000296413596 409536857 /nfs/dbraw/zinc/53/68/57/409536857.db2.gz WVKHHOCAWLFKGI-KBPBESRZSA-N 1 2 306.431 1.992 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)c2cnccc2C#N)CC1 ZINC000345428664 409679866 /nfs/dbraw/zinc/67/98/66/409679866.db2.gz IVKKDTGJNNWSBF-UHFFFAOYSA-N 1 2 307.357 1.619 20 30 DDEDLO Cn1c[nH+]cc1CNS(=O)(=O)Cc1ccc(C#N)cc1F ZINC000349810733 409912449 /nfs/dbraw/zinc/91/24/49/409912449.db2.gz MSIXWBKCYAQALP-UHFFFAOYSA-N 1 2 308.338 1.050 20 30 DDEDLO COC(=O)[C@@H](CC(F)F)NCc1c[nH+]c2ccc(C#N)cn12 ZINC000342797889 409913730 /nfs/dbraw/zinc/91/37/30/409913730.db2.gz RACCLSHVQNAUHH-LLVKDONJSA-N 1 2 308.288 1.492 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)N[C@@H]1CCCNC1=O ZINC000328736224 409964855 /nfs/dbraw/zinc/96/48/55/409964855.db2.gz LBNNWICREDKLPL-VXGBXAGGSA-N 1 2 303.366 1.283 20 30 DDEDLO C[N@@H+]1CCc2ncnc(NCCNC(=O)CC3CCCC3)c2C1 ZINC000328731936 409965847 /nfs/dbraw/zinc/96/58/47/409965847.db2.gz XFXDEUTUEWTKLM-UHFFFAOYSA-N 1 2 317.437 2.413 20 30 DDEDLO C[N@H+]1CCc2ncnc(NCCNC(=O)CC3CCCC3)c2C1 ZINC000328731936 409965856 /nfs/dbraw/zinc/96/58/56/409965856.db2.gz XFXDEUTUEWTKLM-UHFFFAOYSA-N 1 2 317.437 2.413 20 30 DDEDLO CC(C)(C(=O)NCCn1cnnc1C1CC1)[NH+]1CCOCC1 ZINC000328742393 409968030 /nfs/dbraw/zinc/96/80/30/409968030.db2.gz NYYVSXXMNPLZOJ-UHFFFAOYSA-N 1 2 307.398 1.223 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCC(=O)NCCn1cnc(C#N)n1 ZINC000342885417 409974802 /nfs/dbraw/zinc/97/48/02/409974802.db2.gz SXZTYYRKRJFPRQ-UHFFFAOYSA-N 1 2 323.360 1.014 20 30 DDEDLO Cc1[nH+]c[nH]c1CNC(=O)[C@@H]1CC(=O)N(C)[C@H]1c1ccnn1C ZINC000328929336 410014034 /nfs/dbraw/zinc/01/40/34/410014034.db2.gz YOPHVCQKQNZFEO-QMTHXVAHSA-N 1 2 316.365 1.128 20 30 DDEDLO Cc1ncsc1CNC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000328865493 409996141 /nfs/dbraw/zinc/99/61/41/409996141.db2.gz GJTLGXIAMYPZRQ-RYUDHWBXSA-N 1 2 310.423 1.270 20 30 DDEDLO Cc1ncsc1CNC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000328865493 409996148 /nfs/dbraw/zinc/99/61/48/409996148.db2.gz GJTLGXIAMYPZRQ-RYUDHWBXSA-N 1 2 310.423 1.270 20 30 DDEDLO O=C(C[N@@H+]1CCN2C(=O)NC(=O)[C@H]2C1)NCc1cccs1 ZINC000328874324 409998532 /nfs/dbraw/zinc/99/85/32/409998532.db2.gz FNRNJONROGYBMH-SNVBAGLBSA-N 1 2 308.363 1.281 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)NC(=O)[C@H]2C1)NCc1cccs1 ZINC000328874324 409998542 /nfs/dbraw/zinc/99/85/42/409998542.db2.gz FNRNJONROGYBMH-SNVBAGLBSA-N 1 2 308.363 1.281 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)N1CCO[C@@H]2CCC[C@@H]21 ZINC000328885280 410003296 /nfs/dbraw/zinc/00/32/96/410003296.db2.gz ZBMOIAYXMXFXHP-LSDHHAIUSA-N 1 2 318.421 1.929 20 30 DDEDLO Cc1noc([C@@H]2CC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)n1 ZINC000329027948 410061389 /nfs/dbraw/zinc/06/13/89/410061389.db2.gz KJCROKWOUKUQHC-ABAIWWIYSA-N 1 2 303.366 1.816 20 30 DDEDLO Cc1noc([C@@H]2CC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)n1 ZINC000329027948 410061394 /nfs/dbraw/zinc/06/13/94/410061394.db2.gz KJCROKWOUKUQHC-ABAIWWIYSA-N 1 2 303.366 1.816 20 30 DDEDLO COCc1cc(NC(=O)NCC[N@@H+]2CCOC(C)(C)C2)ncn1 ZINC000329161794 410137544 /nfs/dbraw/zinc/13/75/44/410137544.db2.gz ZFXCRIHJDKTOEP-UHFFFAOYSA-N 1 2 323.397 1.060 20 30 DDEDLO COCc1cc(NC(=O)NCC[N@H+]2CCOC(C)(C)C2)ncn1 ZINC000329161794 410137548 /nfs/dbraw/zinc/13/75/48/410137548.db2.gz ZFXCRIHJDKTOEP-UHFFFAOYSA-N 1 2 323.397 1.060 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[N@@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000329199846 410161405 /nfs/dbraw/zinc/16/14/05/410161405.db2.gz VYRJJORBVXPALV-NTZNESFSSA-N 1 2 300.424 1.393 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[N@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000329199846 410161412 /nfs/dbraw/zinc/16/14/12/410161412.db2.gz VYRJJORBVXPALV-NTZNESFSSA-N 1 2 300.424 1.393 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)c3cccc(SCC#N)c3)C[C@H]21 ZINC000351702204 410162186 /nfs/dbraw/zinc/16/21/86/410162186.db2.gz HAUPAOLBUSXFJP-HUUCEWRRSA-N 1 2 317.414 1.457 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)c3cccc(SCC#N)c3)C[C@H]21 ZINC000351702204 410162194 /nfs/dbraw/zinc/16/21/94/410162194.db2.gz HAUPAOLBUSXFJP-HUUCEWRRSA-N 1 2 317.414 1.457 20 30 DDEDLO C[C@]1(NC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CCCOC1 ZINC000329205016 410164524 /nfs/dbraw/zinc/16/45/24/410164524.db2.gz ZCMIFSNSZGHUAL-BBRMVZONSA-N 1 2 304.394 1.733 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCCN(C(=O)C3CC3)CC2)CCC1 ZINC000329237225 410181836 /nfs/dbraw/zinc/18/18/36/410181836.db2.gz OVYLUKKXDSVOMT-UHFFFAOYSA-N 1 2 304.394 1.333 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCCN(C(=O)C3CC3)CC2)CCC1 ZINC000329237225 410181841 /nfs/dbraw/zinc/18/18/41/410181841.db2.gz OVYLUKKXDSVOMT-UHFFFAOYSA-N 1 2 304.394 1.333 20 30 DDEDLO O=C(NC[C@H]1CCCSC1)NCC1([NH+]2CCOCC2)CC1 ZINC000329249552 410188614 /nfs/dbraw/zinc/18/86/14/410188614.db2.gz ATNQNTBGIKVKAP-CYBMUJFWSA-N 1 2 313.467 1.498 20 30 DDEDLO N#CC[C@@H]1CC[C@H](NC(=O)N2CCN(c3cccc[nH+]3)CC2)C1 ZINC000298369698 410198850 /nfs/dbraw/zinc/19/88/50/410198850.db2.gz IKLNNCBAVCTVLT-GJZGRUSLSA-N 1 2 313.405 1.996 20 30 DDEDLO O=C(N[C@@H]1CCc2c[nH]nc2C1)C1([NH+]2CCOCC2)CCC1 ZINC000329332001 410240191 /nfs/dbraw/zinc/24/01/91/410240191.db2.gz MSHQUFANKBPATD-CYBMUJFWSA-N 1 2 304.394 1.478 20 30 DDEDLO CSc1ccc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)nc1 ZINC000329495083 410328012 /nfs/dbraw/zinc/32/80/12/410328012.db2.gz DKKRSRDCOUZRAW-NWDGAFQWSA-N 1 2 308.407 1.364 20 30 DDEDLO CSc1ccc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)nc1 ZINC000329495083 410328018 /nfs/dbraw/zinc/32/80/18/410328018.db2.gz DKKRSRDCOUZRAW-NWDGAFQWSA-N 1 2 308.407 1.364 20 30 DDEDLO CC[C@H]1CN(C(=O)NCC2([NH+]3CCOCC3)CC2)CCCO1 ZINC000329525649 410344458 /nfs/dbraw/zinc/34/44/58/410344458.db2.gz RBWCWQAPGONSHQ-AWEZNQCLSA-N 1 2 311.426 1.266 20 30 DDEDLO O=C1NC(=O)N2CC[N@@H+](CC#Cc3ccccc3Cl)C[C@@H]12 ZINC000329428393 410293353 /nfs/dbraw/zinc/29/33/53/410293353.db2.gz JNDQNQOSGQSVAJ-ZDUSSCGKSA-N 1 2 303.749 1.768 20 30 DDEDLO O=C1NC(=O)N2CC[N@H+](CC#Cc3ccccc3Cl)C[C@@H]12 ZINC000329428393 410293360 /nfs/dbraw/zinc/29/33/60/410293360.db2.gz JNDQNQOSGQSVAJ-ZDUSSCGKSA-N 1 2 303.749 1.768 20 30 DDEDLO COC(=O)CN1CC[NH+](CC#Cc2cccc(Cl)c2)CC1 ZINC000355254604 410398934 /nfs/dbraw/zinc/39/89/34/410398934.db2.gz CQAGDXNGBPQOFY-UHFFFAOYSA-N 1 2 306.793 1.482 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000298817152 410412601 /nfs/dbraw/zinc/41/26/01/410412601.db2.gz WLTAPBNEQUFFKN-ZYHUDNBSSA-N 1 2 319.361 1.590 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000298817152 410412608 /nfs/dbraw/zinc/41/26/08/410412608.db2.gz WLTAPBNEQUFFKN-ZYHUDNBSSA-N 1 2 319.361 1.590 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCCc4occc43)C[C@H]21 ZINC000329959341 410497417 /nfs/dbraw/zinc/49/74/17/410497417.db2.gz RDMOGNLWGRNBEV-UMVBOHGHSA-N 1 2 305.378 1.586 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCCc4occc43)C[C@H]21 ZINC000329959341 410497420 /nfs/dbraw/zinc/49/74/20/410497420.db2.gz RDMOGNLWGRNBEV-UMVBOHGHSA-N 1 2 305.378 1.586 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C)[C@H](C)C(=O)NC(=O)Nc1ccccc1F ZINC000299146250 410543902 /nfs/dbraw/zinc/54/39/02/410543902.db2.gz CJRGTHASQJFWSK-WDEREUQCSA-N 1 2 306.341 1.954 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C)[C@H](C)C(=O)NC(=O)Nc1ccccc1F ZINC000299146250 410543906 /nfs/dbraw/zinc/54/39/06/410543906.db2.gz CJRGTHASQJFWSK-WDEREUQCSA-N 1 2 306.341 1.954 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@H+](C)C[C@@H](C)C#N)cc1 ZINC000299178233 410558878 /nfs/dbraw/zinc/55/88/78/410558878.db2.gz ZULHQEBVUBTYNC-GXTWGEPZSA-N 1 2 306.362 1.304 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@@H+](C)C[C@@H](C)C#N)cc1 ZINC000299178233 410558885 /nfs/dbraw/zinc/55/88/85/410558885.db2.gz ZULHQEBVUBTYNC-GXTWGEPZSA-N 1 2 306.362 1.304 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N(C)C[C@H](C)C#N ZINC000352774586 410677726 /nfs/dbraw/zinc/67/77/26/410677726.db2.gz GTLMNOFWODWOAP-OCCSQVGLSA-N 1 2 319.409 1.101 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N(C)C[C@H](C)C#N ZINC000352774586 410677733 /nfs/dbraw/zinc/67/77/33/410677733.db2.gz GTLMNOFWODWOAP-OCCSQVGLSA-N 1 2 319.409 1.101 20 30 DDEDLO C#CC[NH+]1CCN(c2nc(-c3ccncc3)nc(C)c2C)CC1 ZINC000301230747 410680649 /nfs/dbraw/zinc/68/06/49/410680649.db2.gz ANZYNUOYPLYQOV-UHFFFAOYSA-N 1 2 307.401 1.911 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)NC[C@@H]1CCCO1)Cc1ccc(CO)o1 ZINC000352813490 410682502 /nfs/dbraw/zinc/68/25/02/410682502.db2.gz BSYXAGURTGHWEU-AWEZNQCLSA-N 1 2 322.405 1.445 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)NC[C@@H]1CCCO1)Cc1ccc(CO)o1 ZINC000352813490 410682511 /nfs/dbraw/zinc/68/25/11/410682511.db2.gz BSYXAGURTGHWEU-AWEZNQCLSA-N 1 2 322.405 1.445 20 30 DDEDLO COC[C@@H]1C[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)CC(C)(C)O1 ZINC000330555855 410775356 /nfs/dbraw/zinc/77/53/56/410775356.db2.gz ZIDFPLUKLVHQOC-BBRMVZONSA-N 1 2 311.426 1.167 20 30 DDEDLO COC[C@@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)CC(C)(C)O1 ZINC000330555855 410775359 /nfs/dbraw/zinc/77/53/59/410775359.db2.gz ZIDFPLUKLVHQOC-BBRMVZONSA-N 1 2 311.426 1.167 20 30 DDEDLO C[N@H+](CCOCc1ccc(C#N)cc1)Cc1ncnn1CCF ZINC000344099396 410954598 /nfs/dbraw/zinc/95/45/98/410954598.db2.gz BAGMYLYRHPSSQO-UHFFFAOYSA-N 1 2 317.368 1.768 20 30 DDEDLO C[N@@H+](CCOCc1ccc(C#N)cc1)Cc1ncnn1CCF ZINC000344099396 410954603 /nfs/dbraw/zinc/95/46/03/410954603.db2.gz BAGMYLYRHPSSQO-UHFFFAOYSA-N 1 2 317.368 1.768 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@H+](C)C[C@H](C)C#N)C1 ZINC000353453853 410995257 /nfs/dbraw/zinc/99/52/57/410995257.db2.gz GTHXCRHEXKDEOF-HZSPNIEDSA-N 1 2 309.410 1.268 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@@H+](C)C[C@H](C)C#N)C1 ZINC000353453853 410995266 /nfs/dbraw/zinc/99/52/66/410995266.db2.gz GTHXCRHEXKDEOF-HZSPNIEDSA-N 1 2 309.410 1.268 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCC(=O)N=c1ccn(CCC#N)[nH]1 ZINC000353827392 411133303 /nfs/dbraw/zinc/13/33/03/411133303.db2.gz OFEAKAFBFZOSHC-UHFFFAOYSA-N 1 2 322.372 2.484 20 30 DDEDLO C[C@@H]1CC(O)C[C@H](C)[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000191262736 287230785 /nfs/dbraw/zinc/23/07/85/287230785.db2.gz IXNCXSRSWZGQRG-NNQSOWQGSA-N 1 2 322.430 1.566 20 30 DDEDLO C[C@@H]1CC(O)C[C@H](C)[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000191262736 287230786 /nfs/dbraw/zinc/23/07/86/287230786.db2.gz IXNCXSRSWZGQRG-NNQSOWQGSA-N 1 2 322.430 1.566 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1OC ZINC000373473289 418432663 /nfs/dbraw/zinc/43/26/63/418432663.db2.gz RMILEKXUKCNOOJ-IUODEOHRSA-N 1 2 312.373 1.839 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1Cc2ccccc2O[C@@H](C)C1 ZINC000366486872 418480094 /nfs/dbraw/zinc/48/00/94/418480094.db2.gz YQBXSDVDJZIGQD-LBPRGKRZSA-N 1 2 303.362 1.281 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1Cc2ccccc2O[C@@H](C)C1 ZINC000366486872 418480096 /nfs/dbraw/zinc/48/00/96/418480096.db2.gz YQBXSDVDJZIGQD-LBPRGKRZSA-N 1 2 303.362 1.281 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1Cc2ccccc2[C@@H](C(=O)OCC)C1 ZINC000374388054 418525521 /nfs/dbraw/zinc/52/55/21/418525521.db2.gz CPICXAVSNGOHLJ-CJNGLKHVSA-N 1 2 316.401 1.840 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1Cc2ccccc2[C@@H](C(=O)OCC)C1 ZINC000374388054 418525523 /nfs/dbraw/zinc/52/55/23/418525523.db2.gz CPICXAVSNGOHLJ-CJNGLKHVSA-N 1 2 316.401 1.840 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccncc3)CC2)cc1O ZINC000188230198 222009538 /nfs/dbraw/zinc/00/95/38/222009538.db2.gz RCJMTPYADMUXJE-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)Nc2ccn3cc[nH+]c3c2)C1=O ZINC000290710733 418577856 /nfs/dbraw/zinc/57/78/56/418577856.db2.gz NYFOZUQJHWYCFG-ZDUSSCGKSA-N 1 2 313.361 1.585 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@H](OC)C[C@@H]21 ZINC000247155926 222227254 /nfs/dbraw/zinc/22/72/54/222227254.db2.gz XMKQOUZOQXHCNB-FXUDXRNXSA-N 1 2 323.437 1.263 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCO[C@@H]2CC[C@H](OC)C[C@@H]21 ZINC000247155926 222227257 /nfs/dbraw/zinc/22/72/57/222227257.db2.gz XMKQOUZOQXHCNB-FXUDXRNXSA-N 1 2 323.437 1.263 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(c2nc(C)ns2)CC1 ZINC000247548747 222229812 /nfs/dbraw/zinc/22/98/12/222229812.db2.gz PRYYOYZOECAEEX-LLVKDONJSA-N 1 2 309.439 1.049 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(c2nc(C)ns2)CC1 ZINC000247548747 222229815 /nfs/dbraw/zinc/22/98/15/222229815.db2.gz PRYYOYZOECAEEX-LLVKDONJSA-N 1 2 309.439 1.049 20 30 DDEDLO N#CCOc1ccc(C[N@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000264599412 222334615 /nfs/dbraw/zinc/33/46/15/222334615.db2.gz JJGJROSFBJGPKD-OAHLLOKOSA-N 1 2 320.414 1.740 20 30 DDEDLO N#CCOc1ccc(C[N@@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000264599412 222334618 /nfs/dbraw/zinc/33/46/18/222334618.db2.gz JJGJROSFBJGPKD-OAHLLOKOSA-N 1 2 320.414 1.740 20 30 DDEDLO CCc1cc(N)nc(S[C@H](C)C(=O)N[C@](C)(C#N)C(C)C)[nH+]1 ZINC000331308514 418615220 /nfs/dbraw/zinc/61/52/20/418615220.db2.gz FUVKLJHSOAXVLE-MEBBXXQBSA-N 1 2 321.450 2.156 20 30 DDEDLO COCC[N@H+](CCO)CC(=O)Nc1ccccc1SCC#N ZINC000266713872 222362308 /nfs/dbraw/zinc/36/23/08/222362308.db2.gz FMEMYINCSYVVBK-UHFFFAOYSA-N 1 2 323.418 1.181 20 30 DDEDLO COCC[N@@H+](CCO)CC(=O)Nc1ccccc1SCC#N ZINC000266713872 222362311 /nfs/dbraw/zinc/36/23/11/222362311.db2.gz FMEMYINCSYVVBK-UHFFFAOYSA-N 1 2 323.418 1.181 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)O[NH+]=C(N)[C@@H](C)OCC(C)C ZINC000267490556 222387257 /nfs/dbraw/zinc/38/72/57/222387257.db2.gz ZJVCELPTQBITEN-KGLIPLIRSA-N 1 2 311.426 1.925 20 30 DDEDLO C(#CC[N@@H+]1CCOC[C@H]1C1CC1)CN1CCOC[C@@H]1C1CC1 ZINC000375298598 418627123 /nfs/dbraw/zinc/62/71/23/418627123.db2.gz YSDOVGFSKHHIAF-HDICACEKSA-N 1 2 304.434 1.211 20 30 DDEDLO C(#CC[N@H+]1CCOC[C@H]1C1CC1)CN1CCOC[C@@H]1C1CC1 ZINC000375298598 418627125 /nfs/dbraw/zinc/62/71/25/418627125.db2.gz YSDOVGFSKHHIAF-HDICACEKSA-N 1 2 304.434 1.211 20 30 DDEDLO C(#CC[N@@H+]1CCOC[C@@H]1C1CC1)CN1CCOC[C@H]1C1CC1 ZINC000375298598 418627126 /nfs/dbraw/zinc/62/71/26/418627126.db2.gz YSDOVGFSKHHIAF-HDICACEKSA-N 1 2 304.434 1.211 20 30 DDEDLO C(#CC[N@H+]1CCOC[C@@H]1C1CC1)CN1CCOC[C@H]1C1CC1 ZINC000375298598 418627128 /nfs/dbraw/zinc/62/71/28/418627128.db2.gz YSDOVGFSKHHIAF-HDICACEKSA-N 1 2 304.434 1.211 20 30 DDEDLO C#CCCCS(=O)(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC000367804648 418636772 /nfs/dbraw/zinc/63/67/72/418636772.db2.gz KPWOBBUQPVOQGL-CQSZACIVSA-N 1 2 309.435 1.418 20 30 DDEDLO CO/N=C/C(=O)N[C@H]1CCC[N@H+](Cc2c(F)cccc2F)C1 ZINC000377633938 418711418 /nfs/dbraw/zinc/71/14/18/418711418.db2.gz WAKBJAPPYJHNOM-SXUYUPPPSA-N 1 2 311.332 1.678 20 30 DDEDLO CO/N=C/C(=O)N[C@H]1CCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC000377633938 418711421 /nfs/dbraw/zinc/71/14/21/418711421.db2.gz WAKBJAPPYJHNOM-SXUYUPPPSA-N 1 2 311.332 1.678 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@@H](n3cc(Cl)cn3)C2)CCC1 ZINC000377781820 418714875 /nfs/dbraw/zinc/71/48/75/418714875.db2.gz SUXHBIBBAJTOJT-GFCCVEGCSA-N 1 2 307.785 1.346 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@@H](n3cc(Cl)cn3)C2)CCC1 ZINC000377781820 418714878 /nfs/dbraw/zinc/71/48/78/418714878.db2.gz SUXHBIBBAJTOJT-GFCCVEGCSA-N 1 2 307.785 1.346 20 30 DDEDLO CCN(C)C(=O)CO[NH+]=C(N)c1ccc(N2CCCCC2)nc1 ZINC000273593552 222473663 /nfs/dbraw/zinc/47/36/63/222473663.db2.gz UTAYAUSIWMPQKK-UHFFFAOYSA-N 1 2 319.409 1.187 20 30 DDEDLO CC#CCNC(=O)N[C@H](c1[nH+]ccn1C)c1ccc(OC)cc1 ZINC000376492773 418698328 /nfs/dbraw/zinc/69/83/28/418698328.db2.gz SKEWOWAKMZPGCQ-HNNXBMFYSA-N 1 2 312.373 1.841 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(C#N)c(OC)c2)C1 ZINC000369114874 418729149 /nfs/dbraw/zinc/72/91/49/418729149.db2.gz FHESKJLHWOGVKS-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(C#N)c(OC)c2)C1 ZINC000369114874 418729152 /nfs/dbraw/zinc/72/91/52/418729152.db2.gz FHESKJLHWOGVKS-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCO[C@]2(CCO[C@@H]2C)C1 ZINC000369411007 418733164 /nfs/dbraw/zinc/73/31/64/418733164.db2.gz YUVGQQFXDBCLBW-CXAGYDPISA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCO[C@]2(CCO[C@@H]2C)C1 ZINC000369411007 418733167 /nfs/dbraw/zinc/73/31/67/418733167.db2.gz YUVGQQFXDBCLBW-CXAGYDPISA-N 1 2 302.374 1.947 20 30 DDEDLO CC(C)(C)OC(=O)CCN(CCC#N)CC[NH+]1CCOCC1 ZINC000362169698 418749576 /nfs/dbraw/zinc/74/95/76/418749576.db2.gz JWVKIWWRZHOJRT-UHFFFAOYSA-N 1 2 311.426 1.266 20 30 DDEDLO CC(C)(C)OC(=O)CC[N@H+](CCC#N)CCN1CCOCC1 ZINC000362169698 418749578 /nfs/dbraw/zinc/74/95/78/418749578.db2.gz JWVKIWWRZHOJRT-UHFFFAOYSA-N 1 2 311.426 1.266 20 30 DDEDLO CC(C)(C)OC(=O)CC[N@@H+](CCC#N)CCN1CCOCC1 ZINC000362169698 418749579 /nfs/dbraw/zinc/74/95/79/418749579.db2.gz JWVKIWWRZHOJRT-UHFFFAOYSA-N 1 2 311.426 1.266 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](C)[C@H](C)C(=O)NC(C)(C)C ZINC000362577855 418756922 /nfs/dbraw/zinc/75/69/22/418756922.db2.gz BWXWNLHCJUFBTJ-QWHCGFSZSA-N 1 2 310.442 1.230 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)[C@H](C)C(=O)NC(C)(C)C ZINC000362577855 418756923 /nfs/dbraw/zinc/75/69/23/418756923.db2.gz BWXWNLHCJUFBTJ-QWHCGFSZSA-N 1 2 310.442 1.230 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@H+](C)CC(=O)Nc1cccc(C#N)c1 ZINC000362606615 418757036 /nfs/dbraw/zinc/75/70/36/418757036.db2.gz PZXGXSFUKSPHBU-LBPRGKRZSA-N 1 2 316.405 1.732 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@@H+](C)CC(=O)Nc1cccc(C#N)c1 ZINC000362606615 418757041 /nfs/dbraw/zinc/75/70/41/418757041.db2.gz PZXGXSFUKSPHBU-LBPRGKRZSA-N 1 2 316.405 1.732 20 30 DDEDLO CC[C@H]1C[N@H+](CCNc2ccc([N+](=O)[O-])cc2C#N)CCO1 ZINC000362660855 418757346 /nfs/dbraw/zinc/75/73/46/418757346.db2.gz AWJIUMKGYZVCLM-AWEZNQCLSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNc2ccc([N+](=O)[O-])cc2C#N)CCO1 ZINC000362660855 418757348 /nfs/dbraw/zinc/75/73/48/418757348.db2.gz AWJIUMKGYZVCLM-AWEZNQCLSA-N 1 2 304.350 1.989 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000362797853 418760092 /nfs/dbraw/zinc/76/00/92/418760092.db2.gz NCBBWCLKWAOQBJ-HOCLYGCPSA-N 1 2 301.390 1.711 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CC(=O)N1CCN(C2CC2)[C@@H](C#N)C1 ZINC000363080160 418762710 /nfs/dbraw/zinc/76/27/10/418762710.db2.gz VOSGTFQNNNGAPO-HNNXBMFYSA-N 1 2 323.400 1.544 20 30 DDEDLO N#CCCn1ccc(NC(=O)[C@@H]2CC[N@@H+]2Cc2ccccc2)n1 ZINC000363300945 418764286 /nfs/dbraw/zinc/76/42/86/418764286.db2.gz ATFAQSFCVRPWSR-HNNXBMFYSA-N 1 2 309.373 2.010 20 30 DDEDLO N#CCCn1ccc(NC(=O)[C@@H]2CC[N@H+]2Cc2ccccc2)n1 ZINC000363300945 418764288 /nfs/dbraw/zinc/76/42/88/418764288.db2.gz ATFAQSFCVRPWSR-HNNXBMFYSA-N 1 2 309.373 2.010 20 30 DDEDLO CCc1nc([C@@H]2CCC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000363375762 418764567 /nfs/dbraw/zinc/76/45/67/418764567.db2.gz CBGRAVPQPJYQSV-CHWSQXEVSA-N 1 2 319.409 1.572 20 30 DDEDLO CCc1nc([C@@H]2CCC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000363375762 418764568 /nfs/dbraw/zinc/76/45/68/418764568.db2.gz CBGRAVPQPJYQSV-CHWSQXEVSA-N 1 2 319.409 1.572 20 30 DDEDLO N#CCCn1ccc(NC(=O)[C@@H]2CCCN(c3cccc[nH+]3)C2)n1 ZINC000363620964 418768097 /nfs/dbraw/zinc/76/80/97/418768097.db2.gz ZKUFZPZKXGLQQM-CQSZACIVSA-N 1 2 324.388 2.047 20 30 DDEDLO CC(C)(C)C[N@H+](CCC#N)CC(=O)N1CCOC[C@@H]1C1CC1 ZINC000371383851 418787524 /nfs/dbraw/zinc/78/75/24/418787524.db2.gz HJBDWAJJTCWMQB-OAHLLOKOSA-N 1 2 307.438 1.886 20 30 DDEDLO CC(C)(C)C[N@@H+](CCC#N)CC(=O)N1CCOC[C@@H]1C1CC1 ZINC000371383851 418787527 /nfs/dbraw/zinc/78/75/27/418787527.db2.gz HJBDWAJJTCWMQB-OAHLLOKOSA-N 1 2 307.438 1.886 20 30 DDEDLO C=CCOCCNC(=O)NCc1cccnc1-n1cc[nH+]c1 ZINC000365046216 418832887 /nfs/dbraw/zinc/83/28/87/418832887.db2.gz WBYLXRSEMXODFN-UHFFFAOYSA-N 1 2 301.350 1.269 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CC[C@H](O)c2ccccc2C1)C1CC1 ZINC000364735525 418805774 /nfs/dbraw/zinc/80/57/74/418805774.db2.gz BTQQKVLOTLCMFX-WMZOPIPTSA-N 1 2 313.401 1.734 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CC[C@H](O)c2ccccc2C1)C1CC1 ZINC000364735525 418805777 /nfs/dbraw/zinc/80/57/77/418805777.db2.gz BTQQKVLOTLCMFX-WMZOPIPTSA-N 1 2 313.401 1.734 20 30 DDEDLO CC(C)(C)CCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000408518729 418806588 /nfs/dbraw/zinc/80/65/88/418806588.db2.gz XBNHHLVSXVKKBP-UHFFFAOYSA-N 1 2 303.406 1.988 20 30 DDEDLO Cn1cccc1C(=O)C[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000365380382 418854912 /nfs/dbraw/zinc/85/49/12/418854912.db2.gz OJVVNDRDNGLOKD-UHFFFAOYSA-N 1 2 323.400 1.687 20 30 DDEDLO Cn1cccc1C(=O)C[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000365380382 418854914 /nfs/dbraw/zinc/85/49/14/418854914.db2.gz OJVVNDRDNGLOKD-UHFFFAOYSA-N 1 2 323.400 1.687 20 30 DDEDLO Cc1[nH+]c2ccccn2c1[C@H]1C(=O)NCCN1CCCCC#N ZINC000365161062 418841583 /nfs/dbraw/zinc/84/15/83/418841583.db2.gz CRZMXNNFJWEAOR-INIZCTEOSA-N 1 2 311.389 1.810 20 30 DDEDLO CC(C)[C@@H](C(=O)OC(C)(C)C)N1CC[C@@H]([NH2+]CCC#N)C1=O ZINC000411626802 419366836 /nfs/dbraw/zinc/36/68/36/419366836.db2.gz XIYOMDDOCXZYPL-OLZOCXBDSA-N 1 2 309.410 1.457 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000427054852 419584758 /nfs/dbraw/zinc/58/47/58/419584758.db2.gz PMXWWZHANBNTRW-ZDUSSCGKSA-N 1 2 323.356 1.133 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)[C@@]3(C#N)CC34CCCC4)C[C@@H]21 ZINC000428189978 419827287 /nfs/dbraw/zinc/82/72/87/419827287.db2.gz CNVAKRNUSDOTRZ-VBQJREDUSA-N 1 2 303.406 1.392 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)[C@@]3(C#N)CC34CCCC4)C[C@@H]21 ZINC000428189978 419827295 /nfs/dbraw/zinc/82/72/95/419827295.db2.gz CNVAKRNUSDOTRZ-VBQJREDUSA-N 1 2 303.406 1.392 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3cncc(C#N)n3)c[nH+]2)CCO1 ZINC000420587117 420324531 /nfs/dbraw/zinc/32/45/31/420324531.db2.gz PHTCURCUVNGXKB-GFCCVEGCSA-N 1 2 310.361 1.580 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[NH+](CCc2cccs2)CC1 ZINC000416395982 420354396 /nfs/dbraw/zinc/35/43/96/420354396.db2.gz YQUSFJXJYLNVMV-LBPRGKRZSA-N 1 2 313.448 1.150 20 30 DDEDLO O=S1(=O)CC[C@]2(C1)CCC[N@H+](CC#Cc1ccccc1)C2 ZINC000451741977 420609076 /nfs/dbraw/zinc/60/90/76/420609076.db2.gz OYSVZZHFZHGLAT-QGZVFWFLSA-N 1 2 303.427 1.939 20 30 DDEDLO O=S1(=O)CC[C@]2(C1)CCC[N@@H+](CC#Cc1ccccc1)C2 ZINC000451741977 420609079 /nfs/dbraw/zinc/60/90/79/420609079.db2.gz OYSVZZHFZHGLAT-QGZVFWFLSA-N 1 2 303.427 1.939 20 30 DDEDLO C=CC[N@@H+](CCOC)Cc1nc(-c2cc(OC)ncn2)no1 ZINC000450839613 420543564 /nfs/dbraw/zinc/54/35/64/420543564.db2.gz UAMYVYJKUDTPEE-UHFFFAOYSA-N 1 2 305.338 1.170 20 30 DDEDLO C=CC[N@H+](CCOC)Cc1nc(-c2cc(OC)ncn2)no1 ZINC000450839613 420543565 /nfs/dbraw/zinc/54/35/65/420543565.db2.gz UAMYVYJKUDTPEE-UHFFFAOYSA-N 1 2 305.338 1.170 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1cnc(C(C)C)nc1 ZINC000440459504 420578727 /nfs/dbraw/zinc/57/87/27/420578727.db2.gz QZYDMCZTIJFFLT-LBPRGKRZSA-N 1 2 319.409 1.432 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1cnc(C(C)C)nc1 ZINC000440459504 420578729 /nfs/dbraw/zinc/57/87/29/420578729.db2.gz QZYDMCZTIJFFLT-LBPRGKRZSA-N 1 2 319.409 1.432 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+]1Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000441215366 420641183 /nfs/dbraw/zinc/64/11/83/420641183.db2.gz BZTPSXSNZJZFKH-ZDUSSCGKSA-N 1 2 311.345 1.319 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+]1Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000441215366 420641187 /nfs/dbraw/zinc/64/11/87/420641187.db2.gz BZTPSXSNZJZFKH-ZDUSSCGKSA-N 1 2 311.345 1.319 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000458569515 420688231 /nfs/dbraw/zinc/68/82/31/420688231.db2.gz KPGRVWIPIIYTJF-KBPBESRZSA-N 1 2 306.410 1.695 20 30 DDEDLO Cc1cc[nH+]c(N2CCN(C(=O)c3ccc(C#N)c(O)c3)CC2)c1 ZINC000442681384 420699761 /nfs/dbraw/zinc/69/97/61/420699761.db2.gz RAZWAQIVNVCYSC-UHFFFAOYSA-N 1 2 322.368 1.930 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(F)cc1C#N ZINC000443090988 420744507 /nfs/dbraw/zinc/74/45/07/420744507.db2.gz DGHSWUSFWOQXFS-JTQLQIEISA-N 1 2 308.338 1.261 20 30 DDEDLO N#Cc1ccncc1N1CCN(C(=O)/C=C/c2[nH]cc[nH+]2)CC1 ZINC000493069481 420749679 /nfs/dbraw/zinc/74/96/79/420749679.db2.gz HRXTWYJZHWTPRW-OWOJBTEDSA-N 1 2 308.345 1.038 20 30 DDEDLO Cc1cc(=O)[nH]c([C@@H](C)[NH+]2CCN(c3cccc(C#N)n3)CC2)n1 ZINC000447879418 420810457 /nfs/dbraw/zinc/81/04/57/420810457.db2.gz QIBISHMIXCHLBT-CYBMUJFWSA-N 1 2 324.388 1.641 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)/C=C/c2cccc(C#N)c2)CCO1 ZINC000493384490 420835713 /nfs/dbraw/zinc/83/57/13/420835713.db2.gz KNYFPBFCBRRQPM-LXXRFIIISA-N 1 2 313.401 1.798 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)/C=C/c2cccc(C#N)c2)CCO1 ZINC000493384490 420835716 /nfs/dbraw/zinc/83/57/16/420835716.db2.gz KNYFPBFCBRRQPM-LXXRFIIISA-N 1 2 313.401 1.798 20 30 DDEDLO COc1ccc(/C=C\C(=O)N[C@@](C)(C#N)C[NH+](C)C)c(OC)c1 ZINC000493459290 420856550 /nfs/dbraw/zinc/85/65/50/420856550.db2.gz VQAGWBQLTQJMAF-KMDWOBIYSA-N 1 2 317.389 1.677 20 30 DDEDLO N#C[C@H](NC(=O)c1ccc([N+](=O)[O-])c(-n2cc[nH+]c2)c1)C1CC1 ZINC000448944058 420919727 /nfs/dbraw/zinc/91/97/27/420919727.db2.gz QBOGWOBBLKHBGG-LBPRGKRZSA-N 1 2 311.301 1.812 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000459819899 420882843 /nfs/dbraw/zinc/88/28/43/420882843.db2.gz VTGXMLYURIUAOP-OAHLLOKOSA-N 1 2 315.373 1.262 20 30 DDEDLO C=C(CC)CNC(=O)N1CC[NH+](Cc2c(C)nn(C)c2C)CC1 ZINC000455518386 421029316 /nfs/dbraw/zinc/02/93/16/421029316.db2.gz GJDMNNIPQUOQSD-UHFFFAOYSA-N 1 2 319.453 1.830 20 30 DDEDLO COC(=O)Nc1cccc(NC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000496045100 421124348 /nfs/dbraw/zinc/12/43/48/421124348.db2.gz LWMSRZQDAMPNOP-AWEZNQCLSA-N 1 2 306.366 1.483 20 30 DDEDLO COC(=O)Nc1cccc(NC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000496045100 421124352 /nfs/dbraw/zinc/12/43/52/421124352.db2.gz LWMSRZQDAMPNOP-AWEZNQCLSA-N 1 2 306.366 1.483 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC000450141312 421152372 /nfs/dbraw/zinc/15/23/72/421152372.db2.gz IQASZYFAWUYYFX-ZNMIVQPWSA-N 1 2 303.406 1.792 20 30 DDEDLO Cn1cc([C@@H](c2cccc(F)c2)[N@H+](C)CC(=O)NCC#N)cn1 ZINC000523443874 421238193 /nfs/dbraw/zinc/23/81/93/421238193.db2.gz HXVDNJIGFQYZET-MRXNPFEDSA-N 1 2 315.352 1.220 20 30 DDEDLO Cn1cc([C@@H](c2cccc(F)c2)[N@@H+](C)CC(=O)NCC#N)cn1 ZINC000523443874 421238195 /nfs/dbraw/zinc/23/81/95/421238195.db2.gz HXVDNJIGFQYZET-MRXNPFEDSA-N 1 2 315.352 1.220 20 30 DDEDLO O=C(NCC#Cc1ccccc1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000561010780 421302926 /nfs/dbraw/zinc/30/29/26/421302926.db2.gz MUUITDGYLGQOMP-UHFFFAOYSA-N 1 2 318.336 1.441 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@@H]2C[C@@H](C)n3cc[nH+]c32)s1 ZINC000560777357 421293137 /nfs/dbraw/zinc/29/31/37/421293137.db2.gz ZNVWUVMYZCPALJ-NXEZZACHSA-N 1 2 303.391 2.373 20 30 DDEDLO Cc1nnc([C@H]2CC[N@H+](CC(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000515610318 421510716 /nfs/dbraw/zinc/51/07/16/421510716.db2.gz XRSDVWHHPZJTKU-ZDUSSCGKSA-N 1 2 310.361 1.413 20 30 DDEDLO Cc1nnc([C@H]2CC[N@@H+](CC(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000515610318 421510718 /nfs/dbraw/zinc/51/07/18/421510718.db2.gz XRSDVWHHPZJTKU-ZDUSSCGKSA-N 1 2 310.361 1.413 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@H+](CC(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000515610318 421510720 /nfs/dbraw/zinc/51/07/20/421510720.db2.gz XRSDVWHHPZJTKU-ZDUSSCGKSA-N 1 2 310.361 1.413 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@@H+](CC(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000515610318 421510723 /nfs/dbraw/zinc/51/07/23/421510723.db2.gz XRSDVWHHPZJTKU-ZDUSSCGKSA-N 1 2 310.361 1.413 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@@H+]1C[C@H](O)COc1ccccc1C#N ZINC000528386470 421485673 /nfs/dbraw/zinc/48/56/73/421485673.db2.gz IUFAXLBEHCYCEE-GEGZXQSFSA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@H+]1C[C@H](O)COc1ccccc1C#N ZINC000528386470 421485676 /nfs/dbraw/zinc/48/56/76/421485676.db2.gz IUFAXLBEHCYCEE-GEGZXQSFSA-N 1 2 322.430 1.139 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CC(C3CCC3)C2)nc1 ZINC000564202823 421574486 /nfs/dbraw/zinc/57/44/86/421574486.db2.gz CCVRGDKQAPVBIT-UHFFFAOYSA-N 1 2 305.403 1.459 20 30 DDEDLO Cc1nc(N)c(CNC(=O)[C@H](C)Oc2ccc(C#N)cc2)c[nH+]1 ZINC000552188571 421579817 /nfs/dbraw/zinc/57/98/17/421579817.db2.gz SLNSKIRWDHXSPF-JTQLQIEISA-N 1 2 311.345 1.323 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3c(F)cccc3C#N)C[C@@H]21 ZINC000552219417 421580822 /nfs/dbraw/zinc/58/08/22/421580822.db2.gz SGKPCVQZXGEGOZ-JKSUJKDBSA-N 1 2 317.364 1.171 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3c(F)cccc3C#N)C[C@@H]21 ZINC000552219417 421580825 /nfs/dbraw/zinc/58/08/25/421580825.db2.gz SGKPCVQZXGEGOZ-JKSUJKDBSA-N 1 2 317.364 1.171 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N[C@@H]2CCC[C@@H](C)[C@@H]2C)C1=O ZINC000532217336 421651561 /nfs/dbraw/zinc/65/15/61/421651561.db2.gz NONKJZSCCHTDEP-QXSJWSMHSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N[C@@H]2CCC[C@@H](C)[C@@H]2C)C1=O ZINC000532217336 421651564 /nfs/dbraw/zinc/65/15/64/421651564.db2.gz NONKJZSCCHTDEP-QXSJWSMHSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCCn1cc(C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)nn1 ZINC000566126542 421602597 /nfs/dbraw/zinc/60/25/97/421602597.db2.gz GKTPKYMJLZEXBT-CQSZACIVSA-N 1 2 313.361 1.543 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)nc1 ZINC000555674174 421687844 /nfs/dbraw/zinc/68/78/44/421687844.db2.gz FHORXRLDZDDAAP-MRXNPFEDSA-N 1 2 314.389 1.167 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)nc1 ZINC000555674174 421687846 /nfs/dbraw/zinc/68/78/46/421687846.db2.gz FHORXRLDZDDAAP-MRXNPFEDSA-N 1 2 314.389 1.167 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C(C)(C)Oc1ccc(F)cc1 ZINC000519798541 421729167 /nfs/dbraw/zinc/72/91/67/421729167.db2.gz RPXDTLCGJNATKT-INIZCTEOSA-N 1 2 307.369 1.943 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@]2(CCOC2)OC(C)(C)C1 ZINC000558824117 421826755 /nfs/dbraw/zinc/82/67/55/421826755.db2.gz IVGDNHWCQQKJMQ-CXAGYDPISA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@]2(CCOC2)OC(C)(C)C1 ZINC000558824117 421826756 /nfs/dbraw/zinc/82/67/56/421826756.db2.gz IVGDNHWCQQKJMQ-CXAGYDPISA-N 1 2 321.421 1.207 20 30 DDEDLO COc1cccc(CCC(=O)N[C@](C)(C#N)C[NH+](C)C)c1F ZINC000572291463 421775385 /nfs/dbraw/zinc/77/53/85/421775385.db2.gz OHDZNAIVRXCLSU-MRXNPFEDSA-N 1 2 307.369 1.727 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000572358795 421779835 /nfs/dbraw/zinc/77/98/35/421779835.db2.gz LKZOEXNOMFQUIL-ZFWWWQNUSA-N 1 2 304.394 1.890 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000572358795 421779843 /nfs/dbraw/zinc/77/98/43/421779843.db2.gz LKZOEXNOMFQUIL-ZFWWWQNUSA-N 1 2 304.394 1.890 20 30 DDEDLO C[C@H]1CN(c2cccc(Cl)c2)CC[N@@H+]1CC(=O)NCC#N ZINC000573217702 421930480 /nfs/dbraw/zinc/93/04/80/421930480.db2.gz NRDGJELZDKHHGH-LBPRGKRZSA-N 1 2 306.797 1.490 20 30 DDEDLO C[C@H]1CN(c2cccc(Cl)c2)CC[N@H+]1CC(=O)NCC#N ZINC000573217702 421930484 /nfs/dbraw/zinc/93/04/84/421930484.db2.gz NRDGJELZDKHHGH-LBPRGKRZSA-N 1 2 306.797 1.490 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@H]1CCCN(CC(F)(F)F)C1 ZINC000574379949 422098202 /nfs/dbraw/zinc/09/82/02/422098202.db2.gz BSAWPLSARIQJPY-AAEUAGOBSA-N 1 2 320.359 1.221 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCOC[C@@H]2C2CCC2)c1 ZINC000574636000 422149363 /nfs/dbraw/zinc/14/93/63/422149363.db2.gz ZTHXDACREJJKDZ-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCOC[C@@H]2C2CCC2)c1 ZINC000574636000 422149373 /nfs/dbraw/zinc/14/93/73/422149373.db2.gz ZTHXDACREJJKDZ-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@H](C(=O)N1[C@@H](C)CCC[C@@H]1C)[NH+]1CCN(C(=O)CC#N)CC1 ZINC000574925211 422218739 /nfs/dbraw/zinc/21/87/39/422218739.db2.gz UFLLZXHEIKNMFD-SOUVJXGZSA-N 1 2 320.437 1.222 20 30 DDEDLO C=CCC1(C(=O)NC[C@]2(CO)COCC3(CCC3)[N@H+]2C)CCC1 ZINC000628576929 422236294 /nfs/dbraw/zinc/23/62/94/422236294.db2.gz YFAQJFKCYAHTSH-SFHVURJKSA-N 1 2 322.449 1.465 20 30 DDEDLO C=CCC1(C(=O)NC[C@]2(CO)COCC3(CCC3)[N@@H+]2C)CCC1 ZINC000628576929 422236301 /nfs/dbraw/zinc/23/63/01/422236301.db2.gz YFAQJFKCYAHTSH-SFHVURJKSA-N 1 2 322.449 1.465 20 30 DDEDLO N#CCC1(CNC(=O)c2ccc(C[NH+]3CCOCC3)cn2)CC1 ZINC000575034818 422239589 /nfs/dbraw/zinc/23/95/89/422239589.db2.gz CTERSKGCAYCTDD-UHFFFAOYSA-N 1 2 314.389 1.337 20 30 DDEDLO N#CC1(CNC(=O)NCCNc2ccc3ccccc3[nH+]2)CC1 ZINC000584209201 422282583 /nfs/dbraw/zinc/28/25/83/422282583.db2.gz HRIWNFWXIYPJTQ-UHFFFAOYSA-N 1 2 309.373 2.250 20 30 DDEDLO C[C@@H](Nc1nc(C#N)c(Cl)s1)[C@H](C)[NH+]1CCOCC1 ZINC000596113353 422363945 /nfs/dbraw/zinc/36/39/45/422363945.db2.gz AHYDOJKCJLERFA-BDAKNGLRSA-N 1 2 300.815 2.189 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000576366049 422373339 /nfs/dbraw/zinc/37/33/39/422373339.db2.gz IPERLLDGSXITAO-DGAVXFQQSA-N 1 2 304.394 1.439 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000576366049 422373344 /nfs/dbraw/zinc/37/33/44/422373344.db2.gz IPERLLDGSXITAO-DGAVXFQQSA-N 1 2 304.394 1.439 20 30 DDEDLO CC(C)[C@@H](CNc1nc(C#N)c(Cl)s1)[NH+]1CCOCC1 ZINC000590570590 422320182 /nfs/dbraw/zinc/32/01/82/422320182.db2.gz XOVXGXJOCHJFPQ-LLVKDONJSA-N 1 2 314.842 2.437 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NS(=O)(=O)C[C@@H]1C[N@H+](C)CCO1 ZINC000632544935 422424727 /nfs/dbraw/zinc/42/47/27/422424727.db2.gz QEQYICWMGQLCOS-QLFBSQMISA-N 1 2 316.467 1.371 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NS(=O)(=O)C[C@@H]1C[N@@H+](C)CCO1 ZINC000632544935 422424731 /nfs/dbraw/zinc/42/47/31/422424731.db2.gz QEQYICWMGQLCOS-QLFBSQMISA-N 1 2 316.467 1.371 20 30 DDEDLO C=CC[N@@H+](C[C@@H](OC)C1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000637961712 422512137 /nfs/dbraw/zinc/51/21/37/422512137.db2.gz BXFMXHLWCBEPCP-HUUCEWRRSA-N 1 2 317.451 1.103 20 30 DDEDLO C=CC[N@H+](C[C@@H](OC)C1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000637961712 422512141 /nfs/dbraw/zinc/51/21/41/422512141.db2.gz BXFMXHLWCBEPCP-HUUCEWRRSA-N 1 2 317.451 1.103 20 30 DDEDLO C=C(C)COCCNc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000663718673 424110207 /nfs/dbraw/zinc/11/02/07/424110207.db2.gz GDOQFPUOQLRTBK-UHFFFAOYSA-N 1 2 306.410 1.832 20 30 DDEDLO C=C(C)COCCNc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000663718673 424110221 /nfs/dbraw/zinc/11/02/21/424110221.db2.gz GDOQFPUOQLRTBK-UHFFFAOYSA-N 1 2 306.410 1.832 20 30 DDEDLO C=CCn1cc(C(=O)NCC2CC[NH+](CC(F)F)CC2)nn1 ZINC000655083263 424199979 /nfs/dbraw/zinc/19/99/79/424199979.db2.gz IGSDPUVLOIFCRX-UHFFFAOYSA-N 1 2 313.352 1.171 20 30 DDEDLO C=CCOCCCNC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000661796107 424178781 /nfs/dbraw/zinc/17/87/81/424178781.db2.gz OMXRYDOYDBOREW-UHFFFAOYSA-N 1 2 318.421 1.502 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@H+](Cc3ccc(C#N)s3)CCN2C1=O ZINC000362012360 266141558 /nfs/dbraw/zinc/14/15/58/266141558.db2.gz NWTIIYOWAOMDGU-GFCCVEGCSA-N 1 2 304.375 1.088 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@@H+](Cc3ccc(C#N)s3)CCN2C1=O ZINC000362012360 266141560 /nfs/dbraw/zinc/14/15/60/266141560.db2.gz NWTIIYOWAOMDGU-GFCCVEGCSA-N 1 2 304.375 1.088 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000350839169 267059370 /nfs/dbraw/zinc/05/93/70/267059370.db2.gz WARSJIPRFXEXAQ-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000350839169 267059372 /nfs/dbraw/zinc/05/93/72/267059372.db2.gz WARSJIPRFXEXAQ-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1Cc1cccc(C#N)c1F ZINC000352872794 267078975 /nfs/dbraw/zinc/07/89/75/267078975.db2.gz WTTOAUGAICCGNZ-CZUORRHYSA-N 1 2 303.381 1.992 20 30 DDEDLO C[C@H]1C(=O)NCC[N@H+]1Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000361053717 267209683 /nfs/dbraw/zinc/20/96/83/267209683.db2.gz SDPLPIVBNSAYJK-LBPRGKRZSA-N 1 2 309.373 1.279 20 30 DDEDLO C[C@H]1C(=O)NCC[N@@H+]1Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000361053717 267209686 /nfs/dbraw/zinc/20/96/86/267209686.db2.gz SDPLPIVBNSAYJK-LBPRGKRZSA-N 1 2 309.373 1.279 20 30 DDEDLO C[C@H]1C[N@H+](Cc2cnc3ccc(C#N)cn23)C[C@@]2(CCOC2)O1 ZINC000376014789 267233360 /nfs/dbraw/zinc/23/33/60/267233360.db2.gz OJIBIFZFNKUWPH-SUMWQHHRSA-N 1 2 312.373 1.586 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2cnc3ccc(C#N)cn23)C[C@@]2(CCOC2)O1 ZINC000376014789 267233364 /nfs/dbraw/zinc/23/33/64/267233364.db2.gz OJIBIFZFNKUWPH-SUMWQHHRSA-N 1 2 312.373 1.586 20 30 DDEDLO C[N@H+](Cc1ncc(C#N)cn1)[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000496147381 267323839 /nfs/dbraw/zinc/32/38/39/267323839.db2.gz PIBMHGMYADYBRG-ZDUSSCGKSA-N 1 2 317.393 1.789 20 30 DDEDLO C[N@@H+](Cc1ncc(C#N)cn1)[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000496147381 267323842 /nfs/dbraw/zinc/32/38/42/267323842.db2.gz PIBMHGMYADYBRG-ZDUSSCGKSA-N 1 2 317.393 1.789 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)C(=O)Nc1ccc(C#N)cc1F ZINC000527095360 267324690 /nfs/dbraw/zinc/32/46/90/267324690.db2.gz MAJFZKDFXNAYLB-UHFFFAOYSA-N 1 2 315.308 1.028 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)CNc1ccc(C#N)cc1)C1CC1 ZINC000341683640 267829979 /nfs/dbraw/zinc/82/99/79/267829979.db2.gz AKUDPDJBPBJXFV-MRXNPFEDSA-N 1 2 309.373 1.971 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)COCC(F)F)CC2)cc1 ZINC000527687752 268219010 /nfs/dbraw/zinc/21/90/10/268219010.db2.gz VASQNSTYZVQBCW-UHFFFAOYSA-N 1 2 323.343 1.484 20 30 DDEDLO N#Cc1cccc(CCNC(=O)NCCNc2cccc[nH+]2)c1 ZINC000346270440 268243584 /nfs/dbraw/zinc/24/35/84/268243584.db2.gz VKVYBWRBWRFWFE-UHFFFAOYSA-N 1 2 309.373 1.907 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+](CCO)Cc2cccnc2)c1 ZINC000357273058 268249445 /nfs/dbraw/zinc/24/94/45/268249445.db2.gz NYDVUADNIRCNIB-UHFFFAOYSA-N 1 2 324.384 1.064 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+](CCO)Cc2cccnc2)c1 ZINC000357273058 268249449 /nfs/dbraw/zinc/24/94/49/268249449.db2.gz NYDVUADNIRCNIB-UHFFFAOYSA-N 1 2 324.384 1.064 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2C[C@@H]3CCC[C@@H]3[C@@H]2C(N)=O)c1 ZINC000368966947 268266300 /nfs/dbraw/zinc/26/63/00/268266300.db2.gz SSZFKUPXMPIHCB-DUVNUKRYSA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2C[C@@H]3CCC[C@@H]3[C@@H]2C(N)=O)c1 ZINC000368966947 268266304 /nfs/dbraw/zinc/26/63/04/268266304.db2.gz SSZFKUPXMPIHCB-DUVNUKRYSA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1cnc(C(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)c(Cl)c1 ZINC000343357262 268309682 /nfs/dbraw/zinc/30/96/82/268309682.db2.gz RXJUKDBAAJDYEP-LBPRGKRZSA-N 1 2 320.780 1.200 20 30 DDEDLO N#Cc1cnc(C(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)c(Cl)c1 ZINC000343357262 268309684 /nfs/dbraw/zinc/30/96/84/268309684.db2.gz RXJUKDBAAJDYEP-LBPRGKRZSA-N 1 2 320.780 1.200 20 30 DDEDLO C=C(Br)C[NH+]1CCC(N2CCCNC2=O)CC1 ZINC000135410208 277761353 /nfs/dbraw/zinc/76/13/53/277761353.db2.gz ZGFQYCKCYOFKBB-UHFFFAOYSA-N 1 2 302.216 1.775 20 30 DDEDLO Cc1ncc(S(=O)(=O)N2CC[NH+](CC(C)C)CC2)cc1C#N ZINC000320370968 271025163 /nfs/dbraw/zinc/02/51/63/271025163.db2.gz MVAOMRKMPXFTNI-UHFFFAOYSA-N 1 2 322.434 1.224 20 30 DDEDLO CCC[N@H+](Cc1cccc(F)c1C#N)[C@@H]1CC(=O)N(C)C1=O ZINC000428954153 276256176 /nfs/dbraw/zinc/25/61/76/276256176.db2.gz QPZODFPSBOZGEM-CQSZACIVSA-N 1 2 303.337 1.667 20 30 DDEDLO CCC[N@@H+](Cc1cccc(F)c1C#N)[C@@H]1CC(=O)N(C)C1=O ZINC000428954153 276256178 /nfs/dbraw/zinc/25/61/78/276256178.db2.gz QPZODFPSBOZGEM-CQSZACIVSA-N 1 2 303.337 1.667 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@]3(C)CCCO3)n2C(C)C)CC1 ZINC000491744658 277688174 /nfs/dbraw/zinc/68/81/74/277688174.db2.gz XVFHYYQRAYAMCD-KRWDZBQOSA-N 1 2 317.437 1.640 20 30 DDEDLO C=C(C)CNC(=O)[C@@H]1CCC(=O)N(C2CC2)[C@@H]1c1c[nH+]cn1C ZINC000343685304 277777234 /nfs/dbraw/zinc/77/72/34/277777234.db2.gz XLYRLHRXNFGAAM-CJNGLKHVSA-N 1 2 316.405 1.555 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1)c1cncc(F)c1 ZINC000328901257 279180916 /nfs/dbraw/zinc/18/09/16/279180916.db2.gz YWEFVRNRGGEYIL-GLQYFDAESA-N 1 2 322.384 1.601 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1)c1cncc(F)c1 ZINC000328901257 279180917 /nfs/dbraw/zinc/18/09/17/279180917.db2.gz YWEFVRNRGGEYIL-GLQYFDAESA-N 1 2 322.384 1.601 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(F)cc2C#N)C[C@@H](C)[NH+]1C ZINC000339064969 288241426 /nfs/dbraw/zinc/24/14/26/288241426.db2.gz AVNGBFOYVMYVKA-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1C(=O)c1ccc(CC#N)cc1 ZINC000291513490 289047634 /nfs/dbraw/zinc/04/76/34/289047634.db2.gz UEQKKHRFLZCNGG-RHSMWYFYSA-N 1 2 313.401 1.688 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1C[C@@H](CO)OC(C)(C)C1 ZINC000420948039 298136447 /nfs/dbraw/zinc/13/64/47/298136447.db2.gz VDGRNDQEQJZXST-KGLIPLIRSA-N 1 2 323.437 1.189 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1C[C@@H](CO)OC(C)(C)C1 ZINC000420948039 298136450 /nfs/dbraw/zinc/13/64/50/298136450.db2.gz VDGRNDQEQJZXST-KGLIPLIRSA-N 1 2 323.437 1.189 20 30 DDEDLO C[C@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@@H+]1CC(C)(C)O ZINC000188840445 300190542 /nfs/dbraw/zinc/19/05/42/300190542.db2.gz BOIHAZQOYVFWEL-LBPRGKRZSA-N 1 2 317.389 1.181 20 30 DDEDLO C[C@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@H+]1CC(C)(C)O ZINC000188840445 300190551 /nfs/dbraw/zinc/19/05/51/300190551.db2.gz BOIHAZQOYVFWEL-LBPRGKRZSA-N 1 2 317.389 1.181 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[N@@H+](C)[C@H](C)[C@H]2C)c1C#N ZINC000408084686 302010487 /nfs/dbraw/zinc/01/04/87/302010487.db2.gz SIWCTZCYDITBEX-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[N@H+](C)[C@H](C)[C@H]2C)c1C#N ZINC000408084686 302010488 /nfs/dbraw/zinc/01/04/88/302010488.db2.gz SIWCTZCYDITBEX-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO COC(=O)[C@@](C)([NH2+]CCC(=O)Nc1cccc(C#N)c1)C1CC1 ZINC000579208733 308514246 /nfs/dbraw/zinc/51/42/46/308514246.db2.gz MHIQDCIQCQHDMK-KRWDZBQOSA-N 1 2 315.373 1.818 20 30 DDEDLO CCn1nccc1N1CCC[C@@H]([NH2+]C[C@H](C#N)CCC#N)C1=O ZINC000570897904 332837326 /nfs/dbraw/zinc/83/73/26/332837326.db2.gz UZICGLHUUSXVHZ-UONOGXRCSA-N 1 2 314.393 1.432 20 30 DDEDLO Cc1cc(C)c(OCC(=O)N[C@@](C)(C#N)C[NH+](C)C)c(C)c1 ZINC000580060558 332921332 /nfs/dbraw/zinc/92/13/32/332921332.db2.gz QTVASKFBYQQTAS-KRWDZBQOSA-N 1 2 303.406 1.951 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088606729 332055478 /nfs/dbraw/zinc/05/54/78/332055478.db2.gz HSZXCSMKTGGYGE-UHFFFAOYSA-N 1 2 316.361 1.715 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000088606729 332055479 /nfs/dbraw/zinc/05/54/79/332055479.db2.gz HSZXCSMKTGGYGE-UHFFFAOYSA-N 1 2 316.361 1.715 20 30 DDEDLO COc1ccc(CCCC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000565052411 332501650 /nfs/dbraw/zinc/50/16/50/332501650.db2.gz YESOQVFQYUQOPO-KRWDZBQOSA-N 1 2 303.406 1.978 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(NC(=O)C2CC2)cc1 ZINC000562322848 332577247 /nfs/dbraw/zinc/57/72/47/332577247.db2.gz SOSYHFZCJMMLJD-KRWDZBQOSA-N 1 2 314.389 1.609 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000518778958 333166243 /nfs/dbraw/zinc/16/62/43/333166243.db2.gz WVSZMNZEDOMVBP-SQWLQELKSA-N 1 2 316.361 1.658 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnc([C@H]2CCCO2)s1 ZINC000571288523 333704708 /nfs/dbraw/zinc/70/47/08/333704708.db2.gz CLZGLXLFDNEMCO-YGRLFVJLSA-N 1 2 308.407 1.568 20 30 DDEDLO Cc1noc(C)c1CSCC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000520478034 335981849 /nfs/dbraw/zinc/98/18/49/335981849.db2.gz FTPGCARHWHUCFJ-CQSZACIVSA-N 1 2 310.423 1.485 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@H]1CC(=O)Nc2cc(F)ccc21 ZINC000582939972 337219988 /nfs/dbraw/zinc/21/99/88/337219988.db2.gz DUKBFUXWSBARFY-BLLLJJGKSA-N 1 2 318.352 1.212 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000583028759 337230786 /nfs/dbraw/zinc/23/07/86/337230786.db2.gz UIECTZKMDIGUFY-SUMWQHHRSA-N 1 2 320.437 1.128 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(Cl)cc2)CC1 ZINC000122926309 337930593 /nfs/dbraw/zinc/93/05/93/337930593.db2.gz ZBESHQIGVDYETK-CYBMUJFWSA-N 1 2 305.809 1.600 20 30 DDEDLO C#CC[C@@H]([NH2+]C1CCN(C(=O)OCC(C)C)CC1)C(=O)OC ZINC000496747323 340011997 /nfs/dbraw/zinc/01/19/97/340011997.db2.gz BZPAEYLFLPQXJL-CQSZACIVSA-N 1 2 310.394 1.398 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)c1cccc(SCC#N)c1 ZINC000079540307 341260926 /nfs/dbraw/zinc/26/09/26/341260926.db2.gz XIPXCZIPHUEMJF-UHFFFAOYSA-N 1 2 319.430 1.706 20 30 DDEDLO CCCc1noc(CN(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000081158213 341280447 /nfs/dbraw/zinc/28/04/47/341280447.db2.gz ZSYVDUPMDSCYNW-UHFFFAOYSA-N 1 2 307.398 1.070 20 30 DDEDLO C=CCn1c(CC)nnc1N1CC[NH+](Cc2ccccn2)CC1 ZINC000554642733 341460360 /nfs/dbraw/zinc/46/03/60/341460360.db2.gz AMNVYFHHWFBYEJ-UHFFFAOYSA-N 1 2 312.421 1.744 20 30 DDEDLO C=CCn1cc(CNC(=O)NCc2c[nH+]c(C)cc2C)nn1 ZINC000567724896 341629999 /nfs/dbraw/zinc/62/99/99/341629999.db2.gz AXSZCYWGOFJGKX-UHFFFAOYSA-N 1 2 300.366 1.475 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1CC(=O)Nc1c(C(=O)OCC)cnn1C ZINC000489484434 484225092 /nfs/dbraw/zinc/22/50/92/484225092.db2.gz WQJRQGHQFHADDQ-GFCCVEGCSA-N 1 2 320.393 1.576 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1CC(=O)Nc1c(C(=O)OCC)cnn1C ZINC000489484434 484225099 /nfs/dbraw/zinc/22/50/99/484225099.db2.gz WQJRQGHQFHADDQ-GFCCVEGCSA-N 1 2 320.393 1.576 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1CC(=O)Nc1c(C(=O)OCC)cnn1C ZINC000489484440 484225185 /nfs/dbraw/zinc/22/51/85/484225185.db2.gz WQJRQGHQFHADDQ-LBPRGKRZSA-N 1 2 320.393 1.576 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1CC(=O)Nc1c(C(=O)OCC)cnn1C ZINC000489484440 484225190 /nfs/dbraw/zinc/22/51/90/484225190.db2.gz WQJRQGHQFHADDQ-LBPRGKRZSA-N 1 2 320.393 1.576 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCCOc1ccc(F)cc1 ZINC000575183975 484348273 /nfs/dbraw/zinc/34/82/73/484348273.db2.gz RGTUNTKULUEZFX-INIZCTEOSA-N 1 2 307.369 1.945 20 30 DDEDLO CCC#C[C@H](C)[NH+]1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000677475843 486475362 /nfs/dbraw/zinc/47/53/62/486475362.db2.gz GJVGPWUAFUHYQB-AWEZNQCLSA-N 1 2 314.385 1.975 20 30 DDEDLO COCC#CC[NH+]1CCN(Cc2cc(F)ccc2OC)CC1 ZINC000677817117 486547361 /nfs/dbraw/zinc/54/73/61/486547361.db2.gz IAZTZYRFBLVBSI-UHFFFAOYSA-N 1 2 306.381 1.602 20 30 DDEDLO COCC#CCN1CC[NH+](Cc2cc(F)ccc2OC)CC1 ZINC000677817117 486547364 /nfs/dbraw/zinc/54/73/64/486547364.db2.gz IAZTZYRFBLVBSI-UHFFFAOYSA-N 1 2 306.381 1.602 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNc1c(C#N)cnn1-c1ccccc1 ZINC000603287023 490392682 /nfs/dbraw/zinc/39/26/82/490392682.db2.gz BCOWLDPAURNXSP-CQSZACIVSA-N 1 2 311.389 1.877 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNc1c(C#N)cnn1-c1ccccc1 ZINC000603287023 490392692 /nfs/dbraw/zinc/39/26/92/490392692.db2.gz BCOWLDPAURNXSP-CQSZACIVSA-N 1 2 311.389 1.877 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)c3ccc(C#N)nc3)[nH+]c12 ZINC000610434636 500988820 /nfs/dbraw/zinc/98/88/20/500988820.db2.gz CSZTWEZOFQVAJA-UHFFFAOYSA-N 1 2 305.341 1.882 20 30 DDEDLO C[C@@H]1CCN(C([O-])=[NH+][C@@H]2CC[S@](=O)C2)C[C@H]1n1cc[nH+]c1 ZINC000330026787 533909276 /nfs/dbraw/zinc/90/92/76/533909276.db2.gz MAFUPXLWFLAMQX-WPGAZNDASA-N 1 2 310.423 1.201 20 30 DDEDLO C[C@@H]1CCN(C(=O)N[C@@H]2CC[S@](=O)C2)C[C@H]1n1cc[nH+]c1 ZINC000330026787 533909280 /nfs/dbraw/zinc/90/92/80/533909280.db2.gz MAFUPXLWFLAMQX-WPGAZNDASA-N 1 2 310.423 1.201 20 30 DDEDLO C[C@@H]1OCCC[C@@H]1C(=O)NCC(=O)NCC[NH+]1CCOCC1 ZINC000330103440 534147457 /nfs/dbraw/zinc/14/74/57/534147457.db2.gz ISWCOMAIKVBZLL-STQMWFEESA-N 1 2 313.398 1.047 20 30 DDEDLO Cc1ccc(-n2ccnc2)c(C(=O)NC[C@H]2C[N@H+](C)CCO2)c1 ZINC000329882792 534241168 /nfs/dbraw/zinc/24/11/68/534241168.db2.gz QJHZIRSYEIJIDF-AWEZNQCLSA-N 1 2 314.389 1.816 20 30 DDEDLO Cc1ccc(-n2ccnc2)c(C(=O)NC[C@H]2C[N@@H+](C)CCO2)c1 ZINC000329882792 534241172 /nfs/dbraw/zinc/24/11/72/534241172.db2.gz QJHZIRSYEIJIDF-AWEZNQCLSA-N 1 2 314.389 1.816 20 30 DDEDLO Cc1nc(CN2CC[NH+](Cc3ccc(C#N)c(C)c3)CC2)no1 ZINC000488210770 534360722 /nfs/dbraw/zinc/36/07/22/534360722.db2.gz CTPVOQJSARIJGI-UHFFFAOYSA-N 1 2 311.389 1.876 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@]2(C#N)CC23CCCC3)C[C@H]1[NH+]1CCOCC1 ZINC000333601102 534391310 /nfs/dbraw/zinc/39/13/10/534391310.db2.gz VEHNHMMCEMQJFZ-IIDMSEBBSA-N 1 2 317.433 1.640 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CCC(O)(C(F)F)CC1 ZINC000329727850 534764541 /nfs/dbraw/zinc/76/45/41/534764541.db2.gz GZVGZTBCSZPOLB-SECBINFHSA-N 1 2 314.336 1.273 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CCC(O)(C(F)F)CC1 ZINC000329727850 534764547 /nfs/dbraw/zinc/76/45/47/534764547.db2.gz GZVGZTBCSZPOLB-SECBINFHSA-N 1 2 314.336 1.273 20 30 DDEDLO C=CC[N@@H+](CCn1cc(Cl)cn1)[C@@H]1CCS(=O)(=O)C1 ZINC000459369624 526330489 /nfs/dbraw/zinc/33/04/89/526330489.db2.gz OOSHNGCTWDYOMG-GFCCVEGCSA-N 1 2 303.815 1.212 20 30 DDEDLO C=CC[N@H+](CCn1cc(Cl)cn1)[C@@H]1CCS(=O)(=O)C1 ZINC000459369624 526330495 /nfs/dbraw/zinc/33/04/95/526330495.db2.gz OOSHNGCTWDYOMG-GFCCVEGCSA-N 1 2 303.815 1.212 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C)c(C)c2)C1 ZINC000330962612 526400669 /nfs/dbraw/zinc/40/06/69/526400669.db2.gz YSFPCPXEZMBOQB-CQSZACIVSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C)c(C)c2)C1 ZINC000330962612 526400673 /nfs/dbraw/zinc/40/06/73/526400673.db2.gz YSFPCPXEZMBOQB-CQSZACIVSA-N 1 2 304.394 1.450 20 30 DDEDLO C#CC(C)(C)[N@H+](C)CC(=O)NCc1ccc(S(C)(=O)=O)cc1 ZINC000491698016 526653565 /nfs/dbraw/zinc/65/35/65/526653565.db2.gz LKMGGMFOWURTMO-UHFFFAOYSA-N 1 2 322.430 1.050 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)CC(=O)NCc1ccc(S(C)(=O)=O)cc1 ZINC000491698016 526653569 /nfs/dbraw/zinc/65/35/69/526653569.db2.gz LKMGGMFOWURTMO-UHFFFAOYSA-N 1 2 322.430 1.050 20 30 DDEDLO C=CCOC[C@@H]1NC(=O)N(CCCNc2cccc[nH+]2)C1=O ZINC000348497176 526795354 /nfs/dbraw/zinc/79/53/54/526795354.db2.gz JDVFUSFHBSRWRI-LBPRGKRZSA-N 1 2 304.350 1.007 20 30 DDEDLO C#CC[N@@H+](CCCc1cc(CC)no1)[C@H]1CCS(=O)(=O)C1 ZINC000491553740 526901369 /nfs/dbraw/zinc/90/13/69/526901369.db2.gz CGUMDUSJSVRDGT-AWEZNQCLSA-N 1 2 310.419 1.292 20 30 DDEDLO C#CC[N@H+](CCCc1cc(CC)no1)[C@H]1CCS(=O)(=O)C1 ZINC000491553740 526901378 /nfs/dbraw/zinc/90/13/78/526901378.db2.gz CGUMDUSJSVRDGT-AWEZNQCLSA-N 1 2 310.419 1.292 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(C)c(OC)c2C)CC1 ZINC000490778996 526952271 /nfs/dbraw/zinc/95/22/71/526952271.db2.gz QKWCGGAHBSMYSY-UHFFFAOYSA-N 1 2 315.417 1.501 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000341420614 526964512 /nfs/dbraw/zinc/96/45/12/526964512.db2.gz ODEVTSGHLCJEJD-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+](CCn2cncn2)CC1 ZINC000343618116 527025081 /nfs/dbraw/zinc/02/50/81/527025081.db2.gz WLGDDSLKULUXRV-UHFFFAOYSA-N 1 2 303.410 1.191 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000341858934 527063310 /nfs/dbraw/zinc/06/33/10/527063310.db2.gz JUUSYZOGBIJDAT-CYBMUJFWSA-N 1 2 306.410 1.246 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@@H](C)C(=O)NCCC(C)C)n1 ZINC000491633519 527184349 /nfs/dbraw/zinc/18/43/49/527184349.db2.gz RFCYVJMQDAMBRG-HNNXBMFYSA-N 1 2 304.438 1.889 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@@H](C)C(=O)NCCC(C)C)n1 ZINC000491633519 527184353 /nfs/dbraw/zinc/18/43/53/527184353.db2.gz RFCYVJMQDAMBRG-HNNXBMFYSA-N 1 2 304.438 1.889 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000413219633 527190266 /nfs/dbraw/zinc/19/02/66/527190266.db2.gz DUEFROFJCFOBFK-HZSPNIEDSA-N 1 2 306.410 1.280 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000413219633 527190270 /nfs/dbraw/zinc/19/02/70/527190270.db2.gz DUEFROFJCFOBFK-HZSPNIEDSA-N 1 2 306.410 1.280 20 30 DDEDLO C#CCn1ccc(CN(CCOC)c2nc[nH+]c(N)c2Cl)n1 ZINC000491634396 527192390 /nfs/dbraw/zinc/19/23/90/527192390.db2.gz JOZOJMRXGFXVOG-UHFFFAOYSA-N 1 2 320.784 1.195 20 30 DDEDLO C=CCCCNC(=O)C(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000342210843 527205992 /nfs/dbraw/zinc/20/59/92/527205992.db2.gz XZYJKHDHGHAKFG-CYBMUJFWSA-N 1 2 304.394 1.268 20 30 DDEDLO C=CCCCNC(=O)NC[C@H]1C[C@@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000350043429 527220598 /nfs/dbraw/zinc/22/05/98/527220598.db2.gz VZMYIJYGGABQKY-HUUCEWRRSA-N 1 2 323.416 1.598 20 30 DDEDLO C=CCCCNC(=O)NC[C@H]1C[C@@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000350043429 527220603 /nfs/dbraw/zinc/22/06/03/527220603.db2.gz VZMYIJYGGABQKY-HUUCEWRRSA-N 1 2 323.416 1.598 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)N1CCN(C2=[NH+]CC(C)(C)S2)CC1 ZINC000491723203 527252032 /nfs/dbraw/zinc/25/20/32/527252032.db2.gz AOYMTYSSLJPYQQ-ZDUSSCGKSA-N 1 2 322.478 1.997 20 30 DDEDLO C=CCC[NH+]1CCN(S(=O)(=O)N(CCC)CCC)CC1 ZINC000338543707 527326387 /nfs/dbraw/zinc/32/63/87/527326387.db2.gz RMANDGYPWKTKTG-UHFFFAOYSA-N 1 2 303.472 1.547 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000433010256 527391109 /nfs/dbraw/zinc/39/11/09/527391109.db2.gz BLWFFUUFKPCECJ-KGLIPLIRSA-N 1 2 306.410 1.596 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H](c1c[nH+]cn1C)N(C)C)c1ccc(F)cc1 ZINC000491566327 527402948 /nfs/dbraw/zinc/40/29/48/527402948.db2.gz FSYRRHFMSBTHSC-GOEBONIOSA-N 1 2 314.364 1.653 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCCCN1C(=O)c2ccccc2C1=O ZINC000491615406 527427932 /nfs/dbraw/zinc/42/79/32/527427932.db2.gz CRNALQRBUXSYSO-AWEZNQCLSA-N 1 2 312.369 1.397 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCCCN1C(=O)c2ccccc2C1=O ZINC000491615406 527427939 /nfs/dbraw/zinc/42/79/39/527427939.db2.gz CRNALQRBUXSYSO-AWEZNQCLSA-N 1 2 312.369 1.397 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)CC[N@@H+]2C[C@@H](C)O[C@@H](C)C2)nn1 ZINC000424849698 527515830 /nfs/dbraw/zinc/51/58/30/527515830.db2.gz ILUBLQQGLFLMSI-OKILXGFUSA-N 1 2 321.425 1.035 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)CC[N@H+]2C[C@@H](C)O[C@@H](C)C2)nn1 ZINC000424849698 527515834 /nfs/dbraw/zinc/51/58/34/527515834.db2.gz ILUBLQQGLFLMSI-OKILXGFUSA-N 1 2 321.425 1.035 20 30 DDEDLO C=C[C@H](C)NC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000348025399 527568388 /nfs/dbraw/zinc/56/83/88/527568388.db2.gz YHWUFOIQVUDQBS-LBPRGKRZSA-N 1 2 310.419 1.326 20 30 DDEDLO CC1(C)C[S@@](=O)CC[N@@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000331356267 527771841 /nfs/dbraw/zinc/77/18/41/527771841.db2.gz RSHBZIYBLZPALG-QFIPXVFZSA-N 1 2 319.430 1.730 20 30 DDEDLO CC1(C)C[S@@](=O)CC[N@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000331356267 527771844 /nfs/dbraw/zinc/77/18/44/527771844.db2.gz RSHBZIYBLZPALG-QFIPXVFZSA-N 1 2 319.430 1.730 20 30 DDEDLO CC(C)c1cnc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)s1 ZINC000330205041 528218221 /nfs/dbraw/zinc/21/82/21/528218221.db2.gz CDCVFSRQYFGYDV-MNOVXSKESA-N 1 2 310.423 1.827 20 30 DDEDLO CC(C)c1cnc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)s1 ZINC000330205041 528218228 /nfs/dbraw/zinc/21/82/28/528218228.db2.gz CDCVFSRQYFGYDV-MNOVXSKESA-N 1 2 310.423 1.827 20 30 DDEDLO CC1CC[NH+](CCNC(=O)[C@H]2CCCCS2(=O)=O)CC1 ZINC000329665136 528233163 /nfs/dbraw/zinc/23/31/63/528233163.db2.gz KGRKUEVVKZJEGJ-CYBMUJFWSA-N 1 2 302.440 1.642 20 30 DDEDLO CCCc1nc(C)c(CNC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)o1 ZINC000330273038 528717740 /nfs/dbraw/zinc/71/77/40/528717740.db2.gz YOPCQAGYUNZJQY-JSGCOSHPSA-N 1 2 322.409 1.364 20 30 DDEDLO CCCc1nc(C)c(CNC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)o1 ZINC000330273038 528717748 /nfs/dbraw/zinc/71/77/48/528717748.db2.gz YOPCQAGYUNZJQY-JSGCOSHPSA-N 1 2 322.409 1.364 20 30 DDEDLO CC(C)[C@@H]1C[C@H](NC([O-])=[NH+][C@H]2CCn3cc[nH+]c3C2)CCO1 ZINC000330181175 528780688 /nfs/dbraw/zinc/78/06/88/528780688.db2.gz GMRBKRMETZHZDY-MJBXVCDLSA-N 1 2 306.410 1.905 20 30 DDEDLO CC(C)[C@@H]1C[C@H]([NH+]=C([O-])N[C@H]2CCn3cc[nH+]c3C2)CCO1 ZINC000330181175 528780697 /nfs/dbraw/zinc/78/06/97/528780697.db2.gz GMRBKRMETZHZDY-MJBXVCDLSA-N 1 2 306.410 1.905 20 30 DDEDLO CCN(C(=O)NC[C@@H]1C[N@H+](C)CCO1)[C@H]1CCOC(C)(C)C1 ZINC000329691161 528903080 /nfs/dbraw/zinc/90/30/80/528903080.db2.gz ZRCGXNOUWFKZME-UONOGXRCSA-N 1 2 313.442 1.511 20 30 DDEDLO CCN(C(=O)NC[C@@H]1C[N@@H+](C)CCO1)[C@H]1CCOC(C)(C)C1 ZINC000329691161 528903085 /nfs/dbraw/zinc/90/30/85/528903085.db2.gz ZRCGXNOUWFKZME-UONOGXRCSA-N 1 2 313.442 1.511 20 30 DDEDLO CCN(C(=O)NC[C@@H]1C[N@H+](C)CCO1)[C@@H]1CCOC(C)(C)C1 ZINC000329691162 528903269 /nfs/dbraw/zinc/90/32/69/528903269.db2.gz ZRCGXNOUWFKZME-ZIAGYGMSSA-N 1 2 313.442 1.511 20 30 DDEDLO CCN(C(=O)NC[C@@H]1C[N@@H+](C)CCO1)[C@@H]1CCOC(C)(C)C1 ZINC000329691162 528903271 /nfs/dbraw/zinc/90/32/71/528903271.db2.gz ZRCGXNOUWFKZME-ZIAGYGMSSA-N 1 2 313.442 1.511 20 30 DDEDLO CCN1CCN(C(=O)c2ccc(C#N)s2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000433110085 529134375 /nfs/dbraw/zinc/13/43/75/529134375.db2.gz NVEHDODFIDFBRN-GFCCVEGCSA-N 1 2 315.402 1.862 20 30 DDEDLO COCC[NH+]1CCC([NH2+][C@@H](C(=O)[O-])c2ccc(C#N)cc2)CC1 ZINC000737814086 601101969 /nfs/dbraw/zinc/10/19/69/601101969.db2.gz VSGVWJWMODVSNX-MRXNPFEDSA-N 1 2 317.389 1.384 20 30 DDEDLO CC[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1ccc(C#N)cc1 ZINC000745083664 699971085 /nfs/dbraw/zinc/97/10/85/699971085.db2.gz DQPNVYCRVWWQKA-HNNXBMFYSA-N 1 2 315.373 1.914 20 30 DDEDLO CC[N@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1ccc(C#N)cc1 ZINC000745083664 699971086 /nfs/dbraw/zinc/97/10/86/699971086.db2.gz DQPNVYCRVWWQKA-HNNXBMFYSA-N 1 2 315.373 1.914 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3ccoc3)C2)C1 ZINC000972329185 695217700 /nfs/dbraw/zinc/21/77/00/695217700.db2.gz ULQIQFWFIPFHTQ-QGZVFWFLSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3ccoc3)C2)C1 ZINC000972329185 695217701 /nfs/dbraw/zinc/21/77/01/695217701.db2.gz ULQIQFWFIPFHTQ-QGZVFWFLSA-N 1 2 302.374 1.149 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3csnc3C)C2)C1 ZINC000972348051 695223428 /nfs/dbraw/zinc/22/34/28/695223428.db2.gz GNTCVJKPPXKNOL-HNNXBMFYSA-N 1 2 307.419 1.554 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3csnc3C)C2)C1 ZINC000972348051 695223429 /nfs/dbraw/zinc/22/34/29/695223429.db2.gz GNTCVJKPPXKNOL-HNNXBMFYSA-N 1 2 307.419 1.554 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3nc(CC)c[nH]3)C2)C1 ZINC000972422071 695245968 /nfs/dbraw/zinc/24/59/68/695245968.db2.gz LTDGTMAJKZWDOU-QGZVFWFLSA-N 1 2 318.421 1.004 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3nc(CC)c[nH]3)C2)C1 ZINC000972422071 695245969 /nfs/dbraw/zinc/24/59/69/695245969.db2.gz LTDGTMAJKZWDOU-QGZVFWFLSA-N 1 2 318.421 1.004 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c[nH]nc3CC)C2)C1 ZINC000972448399 695253914 /nfs/dbraw/zinc/25/39/14/695253914.db2.gz YAHBEZPTOAFIJR-MRXNPFEDSA-N 1 2 304.394 1.075 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c[nH]nc3CC)C2)C1 ZINC000972448399 695253916 /nfs/dbraw/zinc/25/39/16/695253916.db2.gz YAHBEZPTOAFIJR-MRXNPFEDSA-N 1 2 304.394 1.075 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](OC)C3CCC3)C2)C1 ZINC000972485722 695261948 /nfs/dbraw/zinc/26/19/48/695261948.db2.gz CCTUPUWPIYUUDC-AEFFLSMTSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](OC)C3CCC3)C2)C1 ZINC000972485722 695261951 /nfs/dbraw/zinc/26/19/51/695261951.db2.gz CCTUPUWPIYUUDC-AEFFLSMTSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCC3CCOCC3)C2)C1 ZINC000972582709 695289714 /nfs/dbraw/zinc/28/97/14/695289714.db2.gz QPIILUMWAMDIOG-GOSISDBHSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CCC3CCOCC3)C2)C1 ZINC000972582709 695289717 /nfs/dbraw/zinc/28/97/17/695289717.db2.gz QPIILUMWAMDIOG-GOSISDBHSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)Cc3ccco3)C2)C1 ZINC000972592026 695292798 /nfs/dbraw/zinc/29/27/98/695292798.db2.gz HFVOUGDPMAPACJ-YJBOKZPZSA-N 1 2 318.417 1.948 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)Cc3ccco3)C2)C1 ZINC000972592026 695292801 /nfs/dbraw/zinc/29/28/01/695292801.db2.gz HFVOUGDPMAPACJ-YJBOKZPZSA-N 1 2 318.417 1.948 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(C)onc3CC)C2)C1 ZINC000972669021 695314067 /nfs/dbraw/zinc/31/40/67/695314067.db2.gz GZTMPQXYPMRTHM-QGZVFWFLSA-N 1 2 319.405 1.648 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(C)onc3CC)C2)C1 ZINC000972669021 695314071 /nfs/dbraw/zinc/31/40/71/695314071.db2.gz GZTMPQXYPMRTHM-QGZVFWFLSA-N 1 2 319.405 1.648 20 30 DDEDLO C#CCSCCNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000746288980 700016148 /nfs/dbraw/zinc/01/61/48/700016148.db2.gz MXKSERJZPQXBHE-UHFFFAOYSA-N 1 2 318.442 1.615 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)N1CC[NH+](CC[S@](C)=O)CC1 ZINC000746466060 700025470 /nfs/dbraw/zinc/02/54/70/700025470.db2.gz AEZBNZLATZBGTA-SZNDQCEHSA-N 1 2 305.447 1.615 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CN(CC(=C)Cl)CC1(C)C ZINC000974707520 695715955 /nfs/dbraw/zinc/71/59/55/695715955.db2.gz YYDXAESQQDCWMW-GJZGRUSLSA-N 1 2 323.868 1.663 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CN(CC(=C)Cl)CC1(C)C ZINC000974707520 695715956 /nfs/dbraw/zinc/71/59/56/695715956.db2.gz YYDXAESQQDCWMW-GJZGRUSLSA-N 1 2 323.868 1.663 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000974714011 695717229 /nfs/dbraw/zinc/71/72/29/695717229.db2.gz FSMYIKQDDZLIAV-NEPJUHHUSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000974714011 695717230 /nfs/dbraw/zinc/71/72/30/695717230.db2.gz FSMYIKQDDZLIAV-NEPJUHHUSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974947521 695764773 /nfs/dbraw/zinc/76/47/73/695764773.db2.gz LPCWFLCNSFSSGZ-VXGBXAGGSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974947521 695764774 /nfs/dbraw/zinc/76/47/74/695764774.db2.gz LPCWFLCNSFSSGZ-VXGBXAGGSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cccn(C)c2=O)C(C)(C)C1 ZINC000977482388 696182865 /nfs/dbraw/zinc/18/28/65/696182865.db2.gz BJGYEPKQXFUQCV-CYBMUJFWSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cccn(C)c2=O)C(C)(C)C1 ZINC000977482388 696182867 /nfs/dbraw/zinc/18/28/67/696182867.db2.gz BJGYEPKQXFUQCV-CYBMUJFWSA-N 1 2 323.824 1.578 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2nc3cnccc3s2)CC1 ZINC000981594350 696833601 /nfs/dbraw/zinc/83/36/01/696833601.db2.gz XPMZUBAKULNZOP-UHFFFAOYSA-N 1 2 314.414 1.863 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2nc3cnccc3s2)CC1 ZINC000981594350 696833604 /nfs/dbraw/zinc/83/36/04/696833604.db2.gz XPMZUBAKULNZOP-UHFFFAOYSA-N 1 2 314.414 1.863 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CCC[N@H+](Cc1cscn1)C2 ZINC000981669556 696867232 /nfs/dbraw/zinc/86/72/32/696867232.db2.gz OTNFVDZLDPHWCC-GFCCVEGCSA-N 1 2 304.419 1.727 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CCC[N@@H+](Cc1cscn1)C2 ZINC000981669556 696867236 /nfs/dbraw/zinc/86/72/36/696867236.db2.gz OTNFVDZLDPHWCC-GFCCVEGCSA-N 1 2 304.419 1.727 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CCC[N@H+](Cc1ncccn1)C2 ZINC000981761500 696905990 /nfs/dbraw/zinc/90/59/90/696905990.db2.gz LZZJXPDBCPPIBY-UHFFFAOYSA-N 1 2 310.401 1.314 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CCC[N@@H+](Cc1ncccn1)C2 ZINC000981761500 696905992 /nfs/dbraw/zinc/90/59/92/696905992.db2.gz LZZJXPDBCPPIBY-UHFFFAOYSA-N 1 2 310.401 1.314 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](CC(=O)N2CCCCCC2)CC1 ZINC000980848464 696916954 /nfs/dbraw/zinc/91/69/54/696916954.db2.gz XSVBIMMBIOMFIU-HNNXBMFYSA-N 1 2 320.437 1.083 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](CC(=O)N2CCCCCC2)CC1 ZINC000980848464 696916955 /nfs/dbraw/zinc/91/69/55/696916955.db2.gz XSVBIMMBIOMFIU-HNNXBMFYSA-N 1 2 320.437 1.083 20 30 DDEDLO C#CCC[N@H+]1CCCN(C(=O)c2cc(C(F)(F)F)[nH]n2)CC1 ZINC000982208234 697059879 /nfs/dbraw/zinc/05/98/79/697059879.db2.gz VPDZWHONCRBMFS-UHFFFAOYSA-N 1 2 314.311 1.600 20 30 DDEDLO C#CCC[N@@H+]1CCCN(C(=O)c2cc(C(F)(F)F)[nH]n2)CC1 ZINC000982208234 697059880 /nfs/dbraw/zinc/05/98/80/697059880.db2.gz VPDZWHONCRBMFS-UHFFFAOYSA-N 1 2 314.311 1.600 20 30 DDEDLO COC(=O)c1ccc(N[NH2+]C2=CC(=O)N3CCC[C@H]23)c(OC)c1 ZINC000799482352 700144086 /nfs/dbraw/zinc/14/40/86/700144086.db2.gz LKGKICWTEILSJT-CYBMUJFWSA-N 1 2 317.345 1.644 20 30 DDEDLO COCC[N@H+]1[C@H](C)CN(C(=O)c2cc(C#N)cs2)C[C@@H]1C ZINC000179246952 697435536 /nfs/dbraw/zinc/43/55/36/697435536.db2.gz IPZSCXAUIWUVBO-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO COCC[N@@H+]1[C@H](C)CN(C(=O)c2cc(C#N)cs2)C[C@@H]1C ZINC000179246952 697435537 /nfs/dbraw/zinc/43/55/37/697435537.db2.gz IPZSCXAUIWUVBO-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(CC#Cc2cccc(Cl)c2)CC1 ZINC000749347204 700157873 /nfs/dbraw/zinc/15/78/73/700157873.db2.gz YVJWHOFHRGVHCR-OAQYLSRUSA-N 1 2 324.877 1.688 20 30 DDEDLO C#CCNC(=O)C[N@@H+](CCC)[C@@H](C)C(=O)Nc1ccccc1 ZINC000749395325 700161338 /nfs/dbraw/zinc/16/13/38/700161338.db2.gz FJCLZAHIVVTBES-AWEZNQCLSA-N 1 2 301.390 1.475 20 30 DDEDLO C#CCNC(=O)C[N@H+](CCC)[C@@H](C)C(=O)Nc1ccccc1 ZINC000749395325 700161340 /nfs/dbraw/zinc/16/13/40/700161340.db2.gz FJCLZAHIVVTBES-AWEZNQCLSA-N 1 2 301.390 1.475 20 30 DDEDLO C#C[C@H](NC(=O)N1CCN(c2cccc[nH+]2)CC1)[C@@H]1CCCO1 ZINC000773561003 697780844 /nfs/dbraw/zinc/78/08/44/697780844.db2.gz SJBRTYSNRJAMHI-GJZGRUSLSA-N 1 2 314.389 1.094 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000777527783 698197640 /nfs/dbraw/zinc/19/76/40/698197640.db2.gz BIJFSYJRXXAGQW-OAHLLOKOSA-N 1 2 324.384 1.709 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=C2C(=O)Nc3c2cc(Cl)cc3Cl)N1 ZINC000779791828 698465605 /nfs/dbraw/zinc/46/56/05/698465605.db2.gz GKLVPRHOYIHCHY-YFKPBYRVSA-N 1 2 312.160 1.587 20 30 DDEDLO C#CCCS(=O)(=O)NC[C@H](c1ccccc1Cl)[NH+](C)C ZINC000279516703 698537674 /nfs/dbraw/zinc/53/76/74/698537674.db2.gz SFHJNZWPLXLONS-CQSZACIVSA-N 1 2 314.838 1.885 20 30 DDEDLO C=CCCS(=O)(=O)N1CC2(C1)C[NH+](Cc1ccccc1)C2 ZINC000710285607 699254083 /nfs/dbraw/zinc/25/40/83/699254083.db2.gz NDWIVYOKPFMRSZ-UHFFFAOYSA-N 1 2 306.431 1.710 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)c3cc4c[nH+]ccc4[nH]3)CCC[C@@H]12 ZINC000991380929 699310328 /nfs/dbraw/zinc/31/03/28/699310328.db2.gz AWFIDUXVHTYRRT-NVXWUHKLSA-N 1 2 309.373 1.813 20 30 DDEDLO CC(=[NH+]NC(=S)N(C)C)c1ccc(N2CCOCC2)cc1 ZINC000731560555 699530692 /nfs/dbraw/zinc/53/06/92/699530692.db2.gz UZKIPZYUJVZJGW-UHFFFAOYSA-N 1 2 306.435 1.683 20 30 DDEDLO CC(=NNCCS(C)(=O)=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000733205504 699583041 /nfs/dbraw/zinc/58/30/41/699583041.db2.gz YEHQJXIDFRFMBX-UHFFFAOYSA-N 1 2 306.391 1.231 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(-c2nnnn2CC)c1 ZINC000737950323 699748782 /nfs/dbraw/zinc/74/87/82/699748782.db2.gz ZLNHYKRNSRBKOG-HNNXBMFYSA-N 1 2 324.388 1.396 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(-c2nnnn2CC)c1 ZINC000737950323 699748785 /nfs/dbraw/zinc/74/87/85/699748785.db2.gz ZLNHYKRNSRBKOG-HNNXBMFYSA-N 1 2 324.388 1.396 20 30 DDEDLO C[C@H](OC(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)Nc1cccc(C#N)c1 ZINC000741144932 699816845 /nfs/dbraw/zinc/81/68/45/699816845.db2.gz HCHSKZVOXUKCSK-WFASDCNBSA-N 1 2 315.373 1.913 20 30 DDEDLO C[C@H](OC(=O)[C@@H]1CCCC[N@H+]1C)C(=O)Nc1cccc(C#N)c1 ZINC000741144932 699816847 /nfs/dbraw/zinc/81/68/47/699816847.db2.gz HCHSKZVOXUKCSK-WFASDCNBSA-N 1 2 315.373 1.913 20 30 DDEDLO C[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1ccc(CC#N)cc1 ZINC000741145051 699816938 /nfs/dbraw/zinc/81/69/38/699816938.db2.gz KKXMQXGLCQEBEC-OAHLLOKOSA-N 1 2 315.373 1.719 20 30 DDEDLO C[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1ccc(CC#N)cc1 ZINC000741145051 699816942 /nfs/dbraw/zinc/81/69/42/699816942.db2.gz KKXMQXGLCQEBEC-OAHLLOKOSA-N 1 2 315.373 1.719 20 30 DDEDLO C[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1cccc(C#N)c1 ZINC000741146428 699817496 /nfs/dbraw/zinc/81/74/96/699817496.db2.gz JPVHGNCUICPEBW-CQSZACIVSA-N 1 2 301.346 1.524 20 30 DDEDLO C[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1cccc(C#N)c1 ZINC000741146428 699817499 /nfs/dbraw/zinc/81/74/99/699817499.db2.gz JPVHGNCUICPEBW-CQSZACIVSA-N 1 2 301.346 1.524 20 30 DDEDLO CC[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1cccc(C#N)c1 ZINC000741147375 699817980 /nfs/dbraw/zinc/81/79/80/699817980.db2.gz BFWYSMFWFYBESY-HNNXBMFYSA-N 1 2 315.373 1.914 20 30 DDEDLO CC[N@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1cccc(C#N)c1 ZINC000741147375 699817982 /nfs/dbraw/zinc/81/79/82/699817982.db2.gz BFWYSMFWFYBESY-HNNXBMFYSA-N 1 2 315.373 1.914 20 30 DDEDLO CO[C@@]1(C)CCC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000794648585 699820852 /nfs/dbraw/zinc/82/08/52/699820852.db2.gz CWPUFUNKAJIQPT-KRWDZBQOSA-N 1 2 301.390 1.667 20 30 DDEDLO CO[C@@]1(C)CCC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000794648585 699820855 /nfs/dbraw/zinc/82/08/55/699820855.db2.gz CWPUFUNKAJIQPT-KRWDZBQOSA-N 1 2 301.390 1.667 20 30 DDEDLO C=C(C)C[N@@H+](Cc1cnn(C(C)C)c1)[C@H]1CCC(=O)NC1=O ZINC000796453448 699934591 /nfs/dbraw/zinc/93/45/91/699934591.db2.gz HOAOQABTWHZYBF-AWEZNQCLSA-N 1 2 304.394 1.647 20 30 DDEDLO C=C(C)C[N@H+](Cc1cnn(C(C)C)c1)[C@H]1CCC(=O)NC1=O ZINC000796453448 699934594 /nfs/dbraw/zinc/93/45/94/699934594.db2.gz HOAOQABTWHZYBF-AWEZNQCLSA-N 1 2 304.394 1.647 20 30 DDEDLO CNC(=O)[C@H](C#N)C(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000796643459 699944386 /nfs/dbraw/zinc/94/43/86/699944386.db2.gz MQQFTCBGHNYHRE-MRVPVSSYSA-N 1 2 306.272 1.078 20 30 DDEDLO Cn1c[nH+]cc1CN[C@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000758035355 700681798 /nfs/dbraw/zinc/68/17/98/700681798.db2.gz LHJSCMMAMXUUDR-YPMHNXCESA-N 1 2 305.382 1.269 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@](C)(C(=O)OC)C1 ZINC000759785648 700772532 /nfs/dbraw/zinc/77/25/32/700772532.db2.gz SMXAMQGEIFARBL-PBHICJAKSA-N 1 2 316.357 1.657 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@](C)(C(=O)OC)C1 ZINC000759785648 700772533 /nfs/dbraw/zinc/77/25/33/700772533.db2.gz SMXAMQGEIFARBL-PBHICJAKSA-N 1 2 316.357 1.657 20 30 DDEDLO COc1ccc(OC)c([C@H](O)C[NH+]2CCN(CCC#N)CC2)c1 ZINC000760945177 700830654 /nfs/dbraw/zinc/83/06/54/700830654.db2.gz WMNIATHBWCIAQL-MRXNPFEDSA-N 1 2 319.405 1.268 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(OCC(F)F)n1 ZINC000765421301 701011309 /nfs/dbraw/zinc/01/13/09/701011309.db2.gz MOZXABOXGFLXGU-NSHDSACASA-N 1 2 309.316 1.762 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(OCC(F)F)n1 ZINC000765421301 701011310 /nfs/dbraw/zinc/01/13/10/701011310.db2.gz MOZXABOXGFLXGU-NSHDSACASA-N 1 2 309.316 1.762 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[N@@H+](C)[C@@H](Cc2ccccc2)C1 ZINC000805095961 701231815 /nfs/dbraw/zinc/23/18/15/701231815.db2.gz CQIVRKAILBSVHT-KRWDZBQOSA-N 1 2 320.458 1.588 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[N@H+](C)[C@@H](Cc2ccccc2)C1 ZINC000805095961 701231816 /nfs/dbraw/zinc/23/18/16/701231816.db2.gz CQIVRKAILBSVHT-KRWDZBQOSA-N 1 2 320.458 1.588 20 30 DDEDLO C#C[C@H]([NH2+]Cc1nc2cc([N+](=O)[O-])ccc2[nH]1)[C@H]1CCCO1 ZINC000769790742 701255861 /nfs/dbraw/zinc/25/58/61/701255861.db2.gz WUXREKHVXJGNGY-SMDDNHRTSA-N 1 2 300.318 1.742 20 30 DDEDLO C=CCN(C(=O)C(=O)NCc1ccn2cc[nH+]c2c1)C(C)C ZINC000806988739 701457463 /nfs/dbraw/zinc/45/74/63/701457463.db2.gz QERGEPJFKBEANM-UHFFFAOYSA-N 1 2 300.362 1.374 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]([N@H+](C)Cc3ncc(C)o3)C2)c1 ZINC000807104811 701464082 /nfs/dbraw/zinc/46/40/82/701464082.db2.gz NHCKSIKULSYQCA-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]([N@@H+](C)Cc3ncc(C)o3)C2)c1 ZINC000807104811 701464083 /nfs/dbraw/zinc/46/40/83/701464083.db2.gz NHCKSIKULSYQCA-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#C[C@@H](NC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C)C(C)(C)C ZINC000807534894 701480100 /nfs/dbraw/zinc/48/01/00/701480100.db2.gz OIBJOWPZBHTKBD-CYBMUJFWSA-N 1 2 316.405 1.559 20 30 DDEDLO CC(=[NH+]Nc1cnnn1C)c1cccc(N2CCOCC2)c1 ZINC000814980693 701780312 /nfs/dbraw/zinc/78/03/12/701780312.db2.gz JEAXVARZUAXBGU-UHFFFAOYSA-N 1 2 300.366 1.488 20 30 DDEDLO C=CCc1cc(C[NH2+]Cc2cnn(C)n2)cc(OC)c1OC ZINC000815124062 701806527 /nfs/dbraw/zinc/80/65/27/701806527.db2.gz VBRBPHDRJWTZSJ-UHFFFAOYSA-N 1 2 302.378 1.851 20 30 DDEDLO N#Cc1ccc(NC(=O)C[NH+]2CCC3(CC2)OCCCO3)cc1 ZINC000815158939 701818209 /nfs/dbraw/zinc/81/82/09/701818209.db2.gz XZUHJIAMGOWAAG-UHFFFAOYSA-N 1 2 315.373 1.726 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCc2cccc(NC(C)=O)c2C1 ZINC000879235635 706624646 /nfs/dbraw/zinc/62/46/46/706624646.db2.gz JTYVWANHTYOUFW-LBPRGKRZSA-N 1 2 301.390 1.694 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCc2cccc(NC(C)=O)c2C1 ZINC000879235635 706624649 /nfs/dbraw/zinc/62/46/49/706624649.db2.gz JTYVWANHTYOUFW-LBPRGKRZSA-N 1 2 301.390 1.694 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCCN(CC(F)F)CC2)C1=O ZINC000840036890 701929021 /nfs/dbraw/zinc/92/90/21/701929021.db2.gz IJDPRZIORUCAGI-UHFFFAOYSA-N 1 2 302.369 1.140 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCCN(CC(F)F)CC2)C1=O ZINC000840036890 701929022 /nfs/dbraw/zinc/92/90/22/701929022.db2.gz IJDPRZIORUCAGI-UHFFFAOYSA-N 1 2 302.369 1.140 20 30 DDEDLO CC1(C#N)CC[NH+](CN2C(=O)[C@@]3(CCCO3)[C@H]2C2CC2)CC1 ZINC000840042674 701932930 /nfs/dbraw/zinc/93/29/30/701932930.db2.gz PDXIOVYHTUYCCH-RHSMWYFYSA-N 1 2 303.406 1.740 20 30 DDEDLO C#C[C@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000868490491 702158840 /nfs/dbraw/zinc/15/88/40/702158840.db2.gz XMJAZSLJKYOYFB-CWDCEQMOSA-N 1 2 302.349 1.505 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CC23CCC(CC2)C3)CC1 ZINC000812494391 702190019 /nfs/dbraw/zinc/19/00/19/702190019.db2.gz LCLSFDKKNNVWKR-UHFFFAOYSA-N 1 2 310.463 1.537 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCCN(S(C)(=O)=O)C1)c1cccc(C#N)c1O ZINC000866250041 706657790 /nfs/dbraw/zinc/65/77/90/706657790.db2.gz SPQHFNYLSSAHBC-DGCLKSJQSA-N 1 2 323.418 1.338 20 30 DDEDLO CC(=O)N[C@H]1CC[N@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC000817282908 702328841 /nfs/dbraw/zinc/32/88/41/702328841.db2.gz PWGVTHNEGNGAGS-AWEZNQCLSA-N 1 2 307.781 1.953 20 30 DDEDLO CC(=O)N[C@H]1CC[N@@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC000817282908 702328849 /nfs/dbraw/zinc/32/88/49/702328849.db2.gz PWGVTHNEGNGAGS-AWEZNQCLSA-N 1 2 307.781 1.953 20 30 DDEDLO C[C@H](CC(=O)[C@@H](C#N)C(=O)NC1CCCCC1)n1cc[nH+]c1 ZINC000845441734 703157498 /nfs/dbraw/zinc/15/74/98/703157498.db2.gz SHFHDRFPEKLNOS-TZMCWYRMSA-N 1 2 302.378 1.992 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC000846328307 703264979 /nfs/dbraw/zinc/26/49/79/703264979.db2.gz HXQHIIDGUUOGHO-CQSZACIVSA-N 1 2 312.373 1.751 20 30 DDEDLO CC[C@@H](CC#N)[NH2+][C@H](C)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000846988039 703362266 /nfs/dbraw/zinc/36/22/66/703362266.db2.gz PQLTVDVQLFOIKQ-ZJUUUORDSA-N 1 2 301.350 1.877 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H](C)[N@H+]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000847178021 703384632 /nfs/dbraw/zinc/38/46/32/703384632.db2.gz MYYXQSNLKFRULG-MCIONIFRSA-N 1 2 301.390 1.290 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H](C)[N@@H+]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000847178021 703384633 /nfs/dbraw/zinc/38/46/33/703384633.db2.gz MYYXQSNLKFRULG-MCIONIFRSA-N 1 2 301.390 1.290 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CCN(C4CC4)[C@@H](C#N)C3)ccn12 ZINC000847484165 703425391 /nfs/dbraw/zinc/42/53/91/703425391.db2.gz LYDCRAHUCWLBKD-HNNXBMFYSA-N 1 2 309.373 1.455 20 30 DDEDLO COc1cccc([C@@H]2CN(C(=O)c3ccc(C#N)[nH]3)CC[NH2+]2)c1 ZINC000870151159 703933375 /nfs/dbraw/zinc/93/33/75/703933375.db2.gz VWCKTSBCNOUKBP-INIZCTEOSA-N 1 2 310.357 1.682 20 30 DDEDLO COc1cccc([C@@H]2CN(C(=O)c3ccc(C#N)n3C)CC[NH2+]2)c1 ZINC000870154252 703936140 /nfs/dbraw/zinc/93/61/40/703936140.db2.gz IDVRLHPWZJXZEM-INIZCTEOSA-N 1 2 324.384 1.692 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)Nc1nc2c(s1)CCC2 ZINC000852750743 704113910 /nfs/dbraw/zinc/11/39/10/704113910.db2.gz DSNMUALLAAPFGY-UHFFFAOYSA-N 1 2 307.419 1.542 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)Nc1nc2c(s1)CCC2 ZINC000852750743 704113913 /nfs/dbraw/zinc/11/39/13/704113913.db2.gz DSNMUALLAAPFGY-UHFFFAOYSA-N 1 2 307.419 1.542 20 30 DDEDLO CO[C@H]1Cc2ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc2C1 ZINC000819469829 704130793 /nfs/dbraw/zinc/13/07/93/704130793.db2.gz KPDXLDWIZZXIQW-SJORKVTESA-N 1 2 303.406 1.418 20 30 DDEDLO CO[C@H]1Cc2ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc2C1 ZINC000819469829 704130795 /nfs/dbraw/zinc/13/07/95/704130795.db2.gz KPDXLDWIZZXIQW-SJORKVTESA-N 1 2 303.406 1.418 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CC[NH+]([C@H](CC)c2ccc(F)cc2)CC1 ZINC000831867605 706795771 /nfs/dbraw/zinc/79/57/71/706795771.db2.gz DQNUGFVIADJVGX-HZPDHXFCSA-N 1 2 306.381 1.968 20 30 DDEDLO N#Cc1ccc2[nH]c(NC(=O)[C@@H]3CCn4c[nH+]cc4C3)nc2c1 ZINC000871073392 704213152 /nfs/dbraw/zinc/21/31/52/704213152.db2.gz ZXDNHQXOTUBYMQ-LLVKDONJSA-N 1 2 306.329 1.832 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)CSCc1cn2cc(C)ccc2[nH+]1 ZINC000820064493 704214334 /nfs/dbraw/zinc/21/43/34/704214334.db2.gz DOGZFXVSQKHQBD-ZDUSSCGKSA-N 1 2 317.414 1.376 20 30 DDEDLO C=CC[N@H+](CN1C[C@@H](c2cccnc2)CC1=O)[C@H](C)COC ZINC000853533963 704261677 /nfs/dbraw/zinc/26/16/77/704261677.db2.gz FMCCUTPDPUYXDT-ZBFHGGJFSA-N 1 2 303.406 1.878 20 30 DDEDLO C=CC[N@@H+](CN1C[C@@H](c2cccnc2)CC1=O)[C@H](C)COC ZINC000853533963 704261679 /nfs/dbraw/zinc/26/16/79/704261679.db2.gz FMCCUTPDPUYXDT-ZBFHGGJFSA-N 1 2 303.406 1.878 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)[C@]2(CCCO2)[C@H]1C(C)C ZINC000853620771 704287238 /nfs/dbraw/zinc/28/72/38/704287238.db2.gz DBEKECPOUBQZHV-WBVHZDCISA-N 1 2 308.422 1.332 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)[C@]2(CCCO2)[C@H]1C(C)C ZINC000853620771 704287240 /nfs/dbraw/zinc/28/72/40/704287240.db2.gz DBEKECPOUBQZHV-WBVHZDCISA-N 1 2 308.422 1.332 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C(C)(C)[C@@H]1c1cnn(C)c1 ZINC000853621361 704288117 /nfs/dbraw/zinc/28/81/17/704288117.db2.gz ZYUQMBJOJMHRMQ-HNNXBMFYSA-N 1 2 318.421 1.259 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C(C)(C)[C@@H]1c1cnn(C)c1 ZINC000853621361 704288118 /nfs/dbraw/zinc/28/81/18/704288118.db2.gz ZYUQMBJOJMHRMQ-HNNXBMFYSA-N 1 2 318.421 1.259 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000875920176 705560079 /nfs/dbraw/zinc/56/00/79/705560079.db2.gz WWSVRMSWBXHIKQ-LSDHHAIUSA-N 1 2 304.394 1.658 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC000826682880 705844759 /nfs/dbraw/zinc/84/47/59/705844759.db2.gz RBDQUAJSKIEEMC-IAGOWNOFSA-N 1 2 315.417 1.760 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC000826682880 705844764 /nfs/dbraw/zinc/84/47/64/705844764.db2.gz RBDQUAJSKIEEMC-IAGOWNOFSA-N 1 2 315.417 1.760 20 30 DDEDLO CN(C)c1c(C=[NH+]N[C@H]2CCS(=O)(=O)C2)ccc(F)c1F ZINC000863062988 705857897 /nfs/dbraw/zinc/85/78/97/705857897.db2.gz KVGVAGYJVZJESW-JTQLQIEISA-N 1 2 317.361 1.141 20 30 DDEDLO C[C@@]1(c2cccc(C#N)c2)NC(=O)N(C[NH+](C2CC2)C2CC2)C1=O ZINC000863302747 705895736 /nfs/dbraw/zinc/89/57/36/705895736.db2.gz LJEITBFNRMMNMM-SFHVURJKSA-N 1 2 324.384 1.910 20 30 DDEDLO C#Cc1cnc(NC[C@]2([NH+]3CCOCC3)CCSC2)nc1 ZINC000827442854 706002739 /nfs/dbraw/zinc/00/27/39/706002739.db2.gz SLBRGLOIAUPHKV-OAHLLOKOSA-N 1 2 304.419 1.078 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+]1C[C@@H](CO)OC(C)(C)C1 ZINC000877594910 706153176 /nfs/dbraw/zinc/15/31/76/706153176.db2.gz MJOZAQCPJHUTTF-HNNXBMFYSA-N 1 2 303.406 1.596 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+]1C[C@@H](CO)OC(C)(C)C1 ZINC000877594910 706153179 /nfs/dbraw/zinc/15/31/79/706153179.db2.gz MJOZAQCPJHUTTF-HNNXBMFYSA-N 1 2 303.406 1.596 20 30 DDEDLO C=CC(C)(C)CCCNC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000828809102 706235936 /nfs/dbraw/zinc/23/59/36/706235936.db2.gz BTNHRPILHDRRAJ-CVEARBPZSA-N 1 2 324.465 1.975 20 30 DDEDLO COc1c(C)c[nH+]c(CN(C)C(=O)[C@]2(C#N)CCCOC2)c1C ZINC000865050264 706336223 /nfs/dbraw/zinc/33/62/23/706336223.db2.gz CXKQNPZIDZQXRH-KRWDZBQOSA-N 1 2 317.389 1.986 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1c(C)cccc1C#N ZINC000872492609 707444044 /nfs/dbraw/zinc/44/40/44/707444044.db2.gz JANNEUZIFFZPDW-HNNXBMFYSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1c(C)cccc1C#N ZINC000872492609 707444047 /nfs/dbraw/zinc/44/40/47/707444047.db2.gz JANNEUZIFFZPDW-HNNXBMFYSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[N@H+](CC[C@@](C#N)(C(C)=O)c1ccccc1)[C@@H]1CCNC1=O ZINC000878556722 706427034 /nfs/dbraw/zinc/42/70/34/706427034.db2.gz UPTVYDWARXOHLL-SJLPKXTDSA-N 1 2 313.401 1.637 20 30 DDEDLO CC[N@@H+](CC[C@@](C#N)(C(C)=O)c1ccccc1)[C@@H]1CCNC1=O ZINC000878556722 706427037 /nfs/dbraw/zinc/42/70/37/706427037.db2.gz UPTVYDWARXOHLL-SJLPKXTDSA-N 1 2 313.401 1.637 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1ccn(CCC#N)n1 ZINC000878765357 706493656 /nfs/dbraw/zinc/49/36/56/706493656.db2.gz RESDPQQGMNMEDT-ZDUSSCGKSA-N 1 2 320.397 1.029 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1ccn(CCC#N)n1 ZINC000878765357 706493658 /nfs/dbraw/zinc/49/36/58/706493658.db2.gz RESDPQQGMNMEDT-ZDUSSCGKSA-N 1 2 320.397 1.029 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@@H]2CCc3ncnn32)C1 ZINC000878887283 706525475 /nfs/dbraw/zinc/52/54/75/706525475.db2.gz KQASIFJFUHGLIG-WMLDXEAASA-N 1 2 308.389 1.718 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@@H]2CCc3ncnn32)C1 ZINC000878887283 706525476 /nfs/dbraw/zinc/52/54/76/706525476.db2.gz KQASIFJFUHGLIG-WMLDXEAASA-N 1 2 308.389 1.718 20 30 DDEDLO CCOC(=O)[C@H](CC1CC1)[NH2+]C[C@H](O)CC1(C#N)CCOCC1 ZINC000879979701 706842118 /nfs/dbraw/zinc/84/21/18/706842118.db2.gz CTIJWMZXYBLZIR-CABCVRRESA-N 1 2 324.421 1.379 20 30 DDEDLO N#C[C@]1(C(=O)NCc2ccccc2-n2cc[nH+]c2)CCCOC1 ZINC000867211825 706926724 /nfs/dbraw/zinc/92/67/24/706926724.db2.gz WIERZUIMTLMQCK-QGZVFWFLSA-N 1 2 310.357 1.809 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C(=O)OCC ZINC000881752657 707351345 /nfs/dbraw/zinc/35/13/45/707351345.db2.gz WMKBGSCVJZAWJG-OLZOCXBDSA-N 1 2 305.378 1.460 20 30 DDEDLO Cc1cc(NN=C2c3ccccc3N(C(C)C)C2=O)nc(N)[nH+]1 ZINC000872419954 707404383 /nfs/dbraw/zinc/40/43/83/707404383.db2.gz CCCACTQRUQDXIG-UHFFFAOYSA-N 1 2 310.361 1.938 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@@H+](C)[C@@H](C)[C@@H]2C)c(C#N)c1 ZINC000872468479 707429859 /nfs/dbraw/zinc/42/98/59/707429859.db2.gz VMDSYNVPAVKQOM-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@H+](C)[C@@H](C)[C@@H]2C)c(C#N)c1 ZINC000872468479 707429861 /nfs/dbraw/zinc/42/98/61/707429861.db2.gz VMDSYNVPAVKQOM-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2c(C)cccc2C#N)[C@@H](C)C1 ZINC000872487003 707439806 /nfs/dbraw/zinc/43/98/06/707439806.db2.gz WMJFCOVRAUCKLG-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2c(C)cccc2C#N)[C@@H](C)C1 ZINC000872487003 707439808 /nfs/dbraw/zinc/43/98/08/707439808.db2.gz WMJFCOVRAUCKLG-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CCc1nnc(NCC[N@H+]2CCOC[C@@H]2CC)c(C#N)c1CC ZINC000872772715 707594265 /nfs/dbraw/zinc/59/42/65/707594265.db2.gz ZOPXTRQVSKNDRK-ZDUSSCGKSA-N 1 2 317.437 1.996 20 30 DDEDLO CCc1nnc(NCC[N@@H+]2CCOC[C@@H]2CC)c(C#N)c1CC ZINC000872772715 707594267 /nfs/dbraw/zinc/59/42/67/707594267.db2.gz ZOPXTRQVSKNDRK-ZDUSSCGKSA-N 1 2 317.437 1.996 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCc2ccc(C)cc21 ZINC000884130025 708153297 /nfs/dbraw/zinc/15/32/97/708153297.db2.gz WYNCYIOENSUNRU-LSDHHAIUSA-N 1 2 302.374 1.545 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCOc2ccc(F)cc21 ZINC000884134405 708155173 /nfs/dbraw/zinc/15/51/73/708155173.db2.gz GLFTWTGMZSBTND-QWHCGFSZSA-N 1 2 322.336 1.212 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCCC[C@H]1SCC ZINC000884160040 708165836 /nfs/dbraw/zinc/16/58/36/708165836.db2.gz SDUUMYRKAQTBRR-YNEHKIRRSA-N 1 2 314.451 1.614 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1Cc2ccccc2OC[C@H]1C ZINC000884331928 708249135 /nfs/dbraw/zinc/24/91/35/708249135.db2.gz APEMSGXRFWUUBS-OCCSQVGLSA-N 1 2 318.373 1.243 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CC)[C@@H](C)Cc1ccsc1 ZINC000884333599 708250219 /nfs/dbraw/zinc/25/02/19/708250219.db2.gz SGIKTNCJIZARFI-JSGCOSHPSA-N 1 2 324.446 1.974 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1 ZINC000897641129 708403569 /nfs/dbraw/zinc/40/35/69/708403569.db2.gz WBJGCJMDXOSKBE-RCBQFDQVSA-N 1 2 318.421 1.887 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1 ZINC000897641129 708403570 /nfs/dbraw/zinc/40/35/70/708403570.db2.gz WBJGCJMDXOSKBE-RCBQFDQVSA-N 1 2 318.421 1.887 20 30 DDEDLO COC(=O)[C@@H](Cn1cc[nH+]c1)NC(=O)c1cc(C#N)ccc1C ZINC000898082015 708536915 /nfs/dbraw/zinc/53/69/15/708536915.db2.gz ZLRYYZLGLVDSJV-CQSZACIVSA-N 1 2 312.329 1.035 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)C[C@@H]2CCC2(F)F)C1 ZINC000885509009 708561824 /nfs/dbraw/zinc/56/18/24/708561824.db2.gz ODXXVVFDWPYZMO-NWDGAFQWSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)C[C@@H]2CCC2(F)F)C1 ZINC000885509009 708561826 /nfs/dbraw/zinc/56/18/26/708561826.db2.gz ODXXVVFDWPYZMO-NWDGAFQWSA-N 1 2 306.378 1.049 20 30 DDEDLO C=C1CN(C(=O)[C@@H]2CCC(=O)N(CCC)[C@H]2c2c[nH+]cn2C)C1 ZINC000898701838 708838324 /nfs/dbraw/zinc/83/83/24/708838324.db2.gz ZPLGGOBSCDICIE-CZUORRHYSA-N 1 2 316.405 1.508 20 30 DDEDLO CC[C@@H](C(=O)NCC#C[C@H]1CCCCO1)[N@@H+]1CCO[C@H](CC)C1 ZINC000891117911 710098646 /nfs/dbraw/zinc/09/86/46/710098646.db2.gz ZHKFKPCIFPIRNP-ZACQAIPSSA-N 1 2 322.449 1.565 20 30 DDEDLO CC[C@@H](C(=O)NCC#C[C@H]1CCCCO1)[N@H+]1CCO[C@H](CC)C1 ZINC000891117911 710098653 /nfs/dbraw/zinc/09/86/53/710098653.db2.gz ZHKFKPCIFPIRNP-ZACQAIPSSA-N 1 2 322.449 1.565 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2ccc(C#N)cc2C)CC1 ZINC000891611992 710248186 /nfs/dbraw/zinc/24/81/86/710248186.db2.gz GKHSDEJEEMOLOR-UHFFFAOYSA-N 1 2 314.433 1.857 20 30 DDEDLO C=CCCC[C@@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)OC ZINC000928322921 713175672 /nfs/dbraw/zinc/17/56/72/713175672.db2.gz JZHHLPFZWWJPPA-CQSZACIVSA-N 1 2 319.405 1.776 20 30 DDEDLO CCn1ncc(CO[NH+]=C(N)c2ccc(Br)cc2)n1 ZINC000902325016 710717686 /nfs/dbraw/zinc/71/76/86/710717686.db2.gz HQFDOPYTZNOCBP-UHFFFAOYSA-N 1 2 324.182 1.898 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1cn(C)nn1 ZINC000902971678 710980086 /nfs/dbraw/zinc/98/00/86/710980086.db2.gz ZDBONJUYWLULIE-WFASDCNBSA-N 1 2 324.388 1.138 20 30 DDEDLO COc1cc(OC)c(C[NH2+][C@@H]2CCCN(O)C2=O)c(OC)c1 ZINC000895167462 711440265 /nfs/dbraw/zinc/44/02/65/711440265.db2.gz ZKHKFXFEZPWNHU-GFCCVEGCSA-N 1 2 310.350 1.182 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cc1[N+](=O)[O-])[C@H]1CN(C)CC[N@@H+]1C ZINC000895247268 711464491 /nfs/dbraw/zinc/46/44/91/711464491.db2.gz HABMEDRPVVVLSU-IAQYHMDHSA-N 1 2 303.366 1.513 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cc1[N+](=O)[O-])[C@H]1CN(C)CC[N@H+]1C ZINC000895247268 711464492 /nfs/dbraw/zinc/46/44/92/711464492.db2.gz HABMEDRPVVVLSU-IAQYHMDHSA-N 1 2 303.366 1.513 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCC#Cc2ccccc2)[nH]n1 ZINC000895564409 711542322 /nfs/dbraw/zinc/54/23/22/711542322.db2.gz WZDKRNVMRGSPRJ-QGZVFWFLSA-N 1 2 308.385 1.958 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)NCC#Cc2ccccc2)[nH]n1 ZINC000895564409 711542324 /nfs/dbraw/zinc/54/23/24/711542324.db2.gz WZDKRNVMRGSPRJ-QGZVFWFLSA-N 1 2 308.385 1.958 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)Nc1nc2c(cc1C#N)COCC2 ZINC000895801448 711609514 /nfs/dbraw/zinc/60/95/14/711609514.db2.gz PUHJHHOJZZFGSU-HNNXBMFYSA-N 1 2 316.405 1.549 20 30 DDEDLO N#Cc1sccc1C(=O)N1CC[NH+](C[C@H]2CCCO2)CC1 ZINC000905252515 711980693 /nfs/dbraw/zinc/98/06/93/711980693.db2.gz KFBFNXXRRARWQM-GFCCVEGCSA-N 1 2 305.403 1.557 20 30 DDEDLO Cc1cc(C(=O)N[C@H](C)[C@@H]2CN(C)CC[N@@H+]2C)cc(C)c1C#N ZINC000906777310 712409730 /nfs/dbraw/zinc/40/97/30/712409730.db2.gz IUIJJEYABZOUKD-PBHICJAKSA-N 1 2 314.433 1.539 20 30 DDEDLO Cc1cc(C(=O)N[C@H](C)[C@@H]2CN(C)CC[N@H+]2C)cc(C)c1C#N ZINC000906777310 712409731 /nfs/dbraw/zinc/40/97/31/712409731.db2.gz IUIJJEYABZOUKD-PBHICJAKSA-N 1 2 314.433 1.539 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)Cc1csc(C#N)c1 ZINC000913926893 713320900 /nfs/dbraw/zinc/32/09/00/713320900.db2.gz PFIRSSIQZPIKTJ-JTQLQIEISA-N 1 2 310.404 1.324 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000907230694 712518745 /nfs/dbraw/zinc/51/87/45/712518745.db2.gz ZWGDJGQFHFCZEA-LBPRGKRZSA-N 1 2 308.407 1.058 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)Cc1csc(C#N)c1 ZINC000914263031 713367380 /nfs/dbraw/zinc/36/73/80/713367380.db2.gz JAPKFLRYXCNAEF-UHFFFAOYSA-N 1 2 324.431 1.668 20 30 DDEDLO N#CC[C@@H](O)C[NH+]1CCN(Cc2ccc(F)cc2Cl)CC1 ZINC000929203689 713566460 /nfs/dbraw/zinc/56/64/60/713566460.db2.gz NNNKRBRNWKLGHY-CQSZACIVSA-N 1 2 311.788 1.871 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@@H]2C[C@H]3COC[C@H]3O2)C1 ZINC000930924077 713961115 /nfs/dbraw/zinc/96/11/15/713961115.db2.gz SUYWCUSDASHMDJ-LISAXSMJSA-N 1 2 313.401 1.743 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@@H]2C[C@H]3COC[C@H]3O2)C1 ZINC000930924077 713961116 /nfs/dbraw/zinc/96/11/16/713961116.db2.gz SUYWCUSDASHMDJ-LISAXSMJSA-N 1 2 313.401 1.743 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C(=O)NC2CC2)c2ccc(F)cc2)CC1 ZINC000931146082 714015650 /nfs/dbraw/zinc/01/56/50/714015650.db2.gz JVELMQFCBITBJC-MRXNPFEDSA-N 1 2 316.376 1.606 20 30 DDEDLO C[C@@H]1C[N@H+](C)C[C@H](C(=O)OCc2cc(Cl)cc(C#N)c2)O1 ZINC000922882704 714175598 /nfs/dbraw/zinc/17/55/98/714175598.db2.gz ONUKVUIMCBIUEK-QMTHXVAHSA-N 1 2 308.765 1.974 20 30 DDEDLO C[C@@H]1C[N@@H+](C)C[C@H](C(=O)OCc2cc(Cl)cc(C#N)c2)O1 ZINC000922882704 714175601 /nfs/dbraw/zinc/17/56/01/714175601.db2.gz ONUKVUIMCBIUEK-QMTHXVAHSA-N 1 2 308.765 1.974 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)no1 ZINC000931938371 714216764 /nfs/dbraw/zinc/21/67/64/714216764.db2.gz QNCWZAQVAUGYSS-ZYHUDNBSSA-N 1 2 303.366 1.118 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)no1 ZINC000931938371 714216766 /nfs/dbraw/zinc/21/67/66/714216766.db2.gz QNCWZAQVAUGYSS-ZYHUDNBSSA-N 1 2 303.366 1.118 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(C)c(C#N)cn1 ZINC000932157808 714273994 /nfs/dbraw/zinc/27/39/94/714273994.db2.gz SHMXLKWKCLWKCN-CQSZACIVSA-N 1 2 317.393 1.494 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2cc(C#N)c(F)cc2F)CCO1 ZINC000932208683 714285094 /nfs/dbraw/zinc/28/50/94/714285094.db2.gz FMVGHBOFZCDNAT-JTQLQIEISA-N 1 2 324.331 1.679 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2cc(C#N)c(F)cc2F)CCO1 ZINC000932208683 714285097 /nfs/dbraw/zinc/28/50/97/714285097.db2.gz FMVGHBOFZCDNAT-JTQLQIEISA-N 1 2 324.331 1.679 20 30 DDEDLO Cc1cc(F)c(C#N)cc1NC(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000932247308 714291374 /nfs/dbraw/zinc/29/13/74/714291374.db2.gz ZETKQVSEBGPSPJ-GFCCVEGCSA-N 1 2 320.368 1.848 20 30 DDEDLO Cc1cc(F)c(C#N)cc1NC(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000932247308 714291376 /nfs/dbraw/zinc/29/13/76/714291376.db2.gz ZETKQVSEBGPSPJ-GFCCVEGCSA-N 1 2 320.368 1.848 20 30 DDEDLO CC[C@@H]([NH2+]C[C@H]1CCS(=O)(=O)C1)c1cccc(C#N)c1O ZINC000924600039 714616552 /nfs/dbraw/zinc/61/65/52/714616552.db2.gz PVSZNKDHYRRPFI-BXUZGUMPSA-N 1 2 308.403 1.739 20 30 DDEDLO CCOC(=O)[C@@](C)(O)C[N@@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933627777 714634057 /nfs/dbraw/zinc/63/40/57/714634057.db2.gz IPOKKJNOZMKIFF-RDJZCZTQSA-N 1 2 318.373 1.246 20 30 DDEDLO CCOC(=O)[C@@](C)(O)C[N@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933627777 714634059 /nfs/dbraw/zinc/63/40/59/714634059.db2.gz IPOKKJNOZMKIFF-RDJZCZTQSA-N 1 2 318.373 1.246 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)C[N@@H+]2CC[C@@H]2CO)cc1C ZINC000934024968 714724418 /nfs/dbraw/zinc/72/44/18/714724418.db2.gz BWANDYCAMWTWDG-MRXNPFEDSA-N 1 2 301.390 1.617 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)C[N@H+]2CC[C@@H]2CO)cc1C ZINC000934024968 714724419 /nfs/dbraw/zinc/72/44/19/714724419.db2.gz BWANDYCAMWTWDG-MRXNPFEDSA-N 1 2 301.390 1.617 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC000956565851 715471201 /nfs/dbraw/zinc/47/12/01/715471201.db2.gz FRXMECXBHIUSSQ-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn(CC(C)C)c2)C1 ZINC000957046570 715721280 /nfs/dbraw/zinc/72/12/80/715721280.db2.gz UBJIPCJZPXXRRM-UHFFFAOYSA-N 1 2 302.422 1.709 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(-n3ccnc3)ccn2)C1 ZINC000957089852 715740726 /nfs/dbraw/zinc/74/07/26/715740726.db2.gz IBLCIFVNVOBROP-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnn3c2CCCC3)C1 ZINC000957100925 715746134 /nfs/dbraw/zinc/74/61/34/715746134.db2.gz KHEGCRSLZZWHKB-UHFFFAOYSA-N 1 2 302.422 1.942 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCN(CC#Cc2ccccc2)CC1 ZINC000957332343 715842796 /nfs/dbraw/zinc/84/27/96/715842796.db2.gz NRANALGCHYTBEH-UHFFFAOYSA-N 1 2 322.412 1.159 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cc3c([nH]c2=O)CCC3)C1 ZINC000957382817 715872157 /nfs/dbraw/zinc/87/21/57/715872157.db2.gz FDASKAZKZJXOKM-UHFFFAOYSA-N 1 2 301.390 1.608 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)C2CCC(NC(C)=O)CC2)C1 ZINC000957455015 715907570 /nfs/dbraw/zinc/90/75/70/715907570.db2.gz BTNBIZBRWUPQOD-UHFFFAOYSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC000957980729 716261460 /nfs/dbraw/zinc/26/14/60/716261460.db2.gz XGFRUBPLUGELBT-QWHCGFSZSA-N 1 2 312.797 1.671 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC000957980729 716261463 /nfs/dbraw/zinc/26/14/63/716261463.db2.gz XGFRUBPLUGELBT-QWHCGFSZSA-N 1 2 312.797 1.671 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000939483130 716279400 /nfs/dbraw/zinc/27/94/00/716279400.db2.gz WVFYFFRMTBNHBS-CXAGYDPISA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)c(C)cc1=O ZINC000960421331 716533657 /nfs/dbraw/zinc/53/36/57/716533657.db2.gz LFIBQUPIHHYUCJ-JYAVWHMHSA-N 1 2 321.808 1.106 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)c(C)cc1=O ZINC000960421331 716533659 /nfs/dbraw/zinc/53/36/59/716533659.db2.gz LFIBQUPIHHYUCJ-JYAVWHMHSA-N 1 2 321.808 1.106 20 30 DDEDLO CCn1ccnc1C[N@H+](C)C[C@H]1CCCN1C(=O)[C@@H](C)C#N ZINC000960488447 716577252 /nfs/dbraw/zinc/57/72/52/716577252.db2.gz ATWOQYUHFNPTPS-UONOGXRCSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)C[C@H]1CCCN1C(=O)[C@@H](C)C#N ZINC000960488447 716577255 /nfs/dbraw/zinc/57/72/55/716577255.db2.gz ATWOQYUHFNPTPS-UONOGXRCSA-N 1 2 303.410 1.485 20 30 DDEDLO COc1nscc1C[N@H+](C)C[C@@H]1CCCN1C(=O)[C@@H](C)C#N ZINC000960499703 716582819 /nfs/dbraw/zinc/58/28/19/716582819.db2.gz GAIUASVDLOHOKA-AAEUAGOBSA-N 1 2 322.434 1.734 20 30 DDEDLO COc1nscc1C[N@@H+](C)C[C@@H]1CCCN1C(=O)[C@@H](C)C#N ZINC000960499703 716582822 /nfs/dbraw/zinc/58/28/22/716582822.db2.gz GAIUASVDLOHOKA-AAEUAGOBSA-N 1 2 322.434 1.734 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@@H]1CCN(CC#N)CC1(C)C ZINC000940831267 716980367 /nfs/dbraw/zinc/98/03/67/716980367.db2.gz ITSQBRMSGSKRIF-OAHLLOKOSA-N 1 2 316.405 1.373 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000940840612 716984519 /nfs/dbraw/zinc/98/45/19/716984519.db2.gz XXRLNNWKIICDKH-HNNXBMFYSA-N 1 2 311.389 1.917 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nc(C)no4)C[C@H]32)C1 ZINC000961690762 717060462 /nfs/dbraw/zinc/06/04/62/717060462.db2.gz NGYVGPBCKSJSFB-IMRBUKKESA-N 1 2 302.378 1.281 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nc(C)no4)C[C@H]32)C1 ZINC000961690762 717060466 /nfs/dbraw/zinc/06/04/66/717060466.db2.gz NGYVGPBCKSJSFB-IMRBUKKESA-N 1 2 302.378 1.281 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CC3(C)CCCCC3)CC2)C1 ZINC000941480916 717184648 /nfs/dbraw/zinc/18/46/48/717184648.db2.gz QXNQRGSYPVPJDZ-UHFFFAOYSA-N 1 2 317.477 1.809 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC3(C)C)CC2)C1 ZINC000941527909 717195164 /nfs/dbraw/zinc/19/51/64/717195164.db2.gz CYCBTOQDOJSFHU-INIZCTEOSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3CC[C@H](C)C3)CC2)C1 ZINC000941527924 717195183 /nfs/dbraw/zinc/19/51/83/717195183.db2.gz DRBLPKRPPQOKQZ-DLBZAZTESA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC[C@H]3CC)CC2)C1 ZINC000941553972 717207360 /nfs/dbraw/zinc/20/73/60/717207360.db2.gz UPBBDPVFKSFICJ-SJLPKXTDSA-N 1 2 317.477 1.664 20 30 DDEDLO C[C@H]1CN(CC#N)CC[C@H]1NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000942064212 717459840 /nfs/dbraw/zinc/45/98/40/717459840.db2.gz PLEQMHVMSCFBIU-DZGCQCFKSA-N 1 2 315.421 1.112 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000309 717856007 /nfs/dbraw/zinc/85/60/07/717856007.db2.gz NIZMROMPAKPLAJ-BXKDBHETSA-N 1 2 311.817 1.235 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000309 717856012 /nfs/dbraw/zinc/85/60/12/717856012.db2.gz NIZMROMPAKPLAJ-BXKDBHETSA-N 1 2 311.817 1.235 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1cn[nH]n1 ZINC000967155383 718778057 /nfs/dbraw/zinc/77/80/57/718778057.db2.gz JTYHXAPHCAVFTR-LRDDRELGSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1cn[nH]n1 ZINC000967155383 718778059 /nfs/dbraw/zinc/77/80/59/718778059.db2.gz JTYHXAPHCAVFTR-LRDDRELGSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1cnn[nH]1 ZINC000967155383 718778062 /nfs/dbraw/zinc/77/80/62/718778062.db2.gz JTYHXAPHCAVFTR-LRDDRELGSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1cnn[nH]1 ZINC000967155383 718778065 /nfs/dbraw/zinc/77/80/65/718778065.db2.gz JTYHXAPHCAVFTR-LRDDRELGSA-N 1 2 324.388 1.317 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967182258 718785513 /nfs/dbraw/zinc/78/55/13/718785513.db2.gz JMCAGCHEQZXLHX-CZUORRHYSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967182258 718785516 /nfs/dbraw/zinc/78/55/16/718785516.db2.gz JMCAGCHEQZXLHX-CZUORRHYSA-N 1 2 314.433 1.752 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967185679 718787123 /nfs/dbraw/zinc/78/71/23/718787123.db2.gz QNLNDNCSLOCPDQ-IUODEOHRSA-N 1 2 302.422 1.915 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967185679 718787125 /nfs/dbraw/zinc/78/71/25/718787125.db2.gz QNLNDNCSLOCPDQ-IUODEOHRSA-N 1 2 302.422 1.915 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000967187213 718787405 /nfs/dbraw/zinc/78/74/05/718787405.db2.gz UPQYEOTUSZQNAE-FZMZJTMJSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000967187213 718787408 /nfs/dbraw/zinc/78/74/08/718787408.db2.gz UPQYEOTUSZQNAE-FZMZJTMJSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000967187223 718787787 /nfs/dbraw/zinc/78/77/87/718787787.db2.gz UPQYEOTUSZQNAE-SMDDNHRTSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000967187223 718787788 /nfs/dbraw/zinc/78/77/88/718787788.db2.gz UPQYEOTUSZQNAE-SMDDNHRTSA-N 1 2 323.824 1.578 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2nc3cnccc3s2)C1 ZINC000967282748 718816572 /nfs/dbraw/zinc/81/65/72/718816572.db2.gz BUKGEYSDOMQTKF-YPMHNXCESA-N 1 2 314.414 1.765 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2nc3cnccc3s2)C1 ZINC000967282748 718816578 /nfs/dbraw/zinc/81/65/78/718816578.db2.gz BUKGEYSDOMQTKF-YPMHNXCESA-N 1 2 314.414 1.765 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1cnon1 ZINC000947170084 719058985 /nfs/dbraw/zinc/05/89/85/719058985.db2.gz CLLBPOJOCKDRNM-ZYHUDNBSSA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1cnon1 ZINC000947170084 719058990 /nfs/dbraw/zinc/05/89/90/719058990.db2.gz CLLBPOJOCKDRNM-ZYHUDNBSSA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC000967945227 719098939 /nfs/dbraw/zinc/09/89/39/719098939.db2.gz UXJDPPBCIIOVND-GHMZBOCLSA-N 1 2 305.382 1.217 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)Cn2c[nH+]cc2C)C[C@@H]1C ZINC000947552680 719209366 /nfs/dbraw/zinc/20/93/66/719209366.db2.gz XAYJRFUVOLZTQR-JSGCOSHPSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3ncnn3C)[C@H](C)C2)C1 ZINC000947702622 719248346 /nfs/dbraw/zinc/24/83/46/719248346.db2.gz QJCBREHWSACIQZ-KGLIPLIRSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3ncnn3C)[C@H](C)C2)C1 ZINC000947702622 719248348 /nfs/dbraw/zinc/24/83/48/719248348.db2.gz QJCBREHWSACIQZ-KGLIPLIRSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)Cn2cc[nH+]c2C)C[C@H]1C ZINC000948052711 719343898 /nfs/dbraw/zinc/34/38/98/719343898.db2.gz JGNVBLRZSBPVDY-TZMCWYRMSA-N 1 2 310.829 1.913 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccn(C)c1 ZINC000948905721 719777806 /nfs/dbraw/zinc/77/78/06/719777806.db2.gz ARZHIOXBLIWXGJ-GOSISDBHSA-N 1 2 307.397 1.988 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccn(C)c1 ZINC000948905721 719777809 /nfs/dbraw/zinc/77/78/09/719777809.db2.gz ARZHIOXBLIWXGJ-GOSISDBHSA-N 1 2 307.397 1.988 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)C(=O)Nc2ccc(OC)cc2)CC1 ZINC000949149287 719931218 /nfs/dbraw/zinc/93/12/18/719931218.db2.gz FIGJPFGICNVSSX-UHFFFAOYSA-N 1 2 317.389 1.354 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H](C)C(C)(C)C)CC2)C1 ZINC000949398379 720061891 /nfs/dbraw/zinc/06/18/91/720061891.db2.gz KRZMFQLQEDEGRP-HNNXBMFYSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H](C)C(C)(C)C)CC2)C1 ZINC000949398379 720061897 /nfs/dbraw/zinc/06/18/97/720061897.db2.gz KRZMFQLQEDEGRP-HNNXBMFYSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)CC2)C1 ZINC000949427134 720080608 /nfs/dbraw/zinc/08/06/08/720080608.db2.gz GLDBFYFTTXJFKH-ALXJQNPVSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)CC2)C1 ZINC000949427134 720080610 /nfs/dbraw/zinc/08/06/10/720080610.db2.gz GLDBFYFTTXJFKH-ALXJQNPVSA-N 1 2 316.445 1.749 20 30 DDEDLO Cc1cnc(C[NH+]2CC([C@@H](C)NC(=O)c3cc(C#N)c[nH]3)C2)cn1 ZINC000969463957 720143101 /nfs/dbraw/zinc/14/31/01/720143101.db2.gz YQNXYKIJFIRYPK-GFCCVEGCSA-N 1 2 324.388 1.235 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2c(C)nnn2CC)C1 ZINC000969816907 720306378 /nfs/dbraw/zinc/30/63/78/720306378.db2.gz IGDMEDYOVFJNGM-JTQLQIEISA-N 1 2 311.817 1.409 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]([NH2+]Cc3csnn3)C2)CC1 ZINC000969830761 720315020 /nfs/dbraw/zinc/31/50/20/720315020.db2.gz UMPBROIWGGPJST-CYBMUJFWSA-N 1 2 306.435 1.975 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(CC(F)F)C2)CC1 ZINC000949894853 720405011 /nfs/dbraw/zinc/40/50/11/720405011.db2.gz KQRNJJHVCBQSKK-CYBMUJFWSA-N 1 2 301.381 1.294 20 30 DDEDLO CCN(C(=O)c1c[nH]c(C#N)c1)C1C[NH+](C[C@H]2CC[C@@H](C)O2)C1 ZINC000949918674 720415943 /nfs/dbraw/zinc/41/59/43/720415943.db2.gz BBORCHJDURRDNH-MLGOLLRUSA-N 1 2 316.405 1.600 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(C(=O)C[C@H]3CCOC3)CC2)c1 ZINC000950051604 720468804 /nfs/dbraw/zinc/46/88/04/720468804.db2.gz PFDKGCOFUFPDJG-QGZVFWFLSA-N 1 2 313.401 1.629 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC000969846420 720531935 /nfs/dbraw/zinc/53/19/35/720531935.db2.gz VSJGLZCQVWJBEB-SECBINFHSA-N 1 2 320.784 1.156 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@@H](C)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970256193 720680843 /nfs/dbraw/zinc/68/08/43/720680843.db2.gz LFJLTIRTDYGDPM-NSHDSACASA-N 1 2 324.388 1.235 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2coc(C(F)(F)F)n2)C1 ZINC000950763495 720769079 /nfs/dbraw/zinc/76/90/79/720769079.db2.gz XWRDNEMZQQFVOX-UHFFFAOYSA-N 1 2 315.295 1.863 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CCN2C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000951967875 721259055 /nfs/dbraw/zinc/25/90/55/721259055.db2.gz LPONZMCBCZSUNB-HNNXBMFYSA-N 1 2 316.405 1.416 20 30 DDEDLO Cc1conc1C[N@@H+](C)[C@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971376259 721264654 /nfs/dbraw/zinc/26/46/54/721264654.db2.gz JPMFZMXKFGXAPN-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1conc1C[N@H+](C)[C@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971376259 721264655 /nfs/dbraw/zinc/26/46/55/721264655.db2.gz JPMFZMXKFGXAPN-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@H](O)C(C)C)C1 ZINC000971482487 721315313 /nfs/dbraw/zinc/31/53/13/721315313.db2.gz SMKDFUOROSTCOK-NWDGAFQWSA-N 1 2 319.243 1.445 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@H](O)C(C)C)C1 ZINC000971482487 721315317 /nfs/dbraw/zinc/31/53/17/721315317.db2.gz SMKDFUOROSTCOK-NWDGAFQWSA-N 1 2 319.243 1.445 20 30 DDEDLO CCc1noc([C@@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971505482 721327094 /nfs/dbraw/zinc/32/70/94/721327094.db2.gz SSFZAGXFILZHPU-OCCSQVGLSA-N 1 2 316.405 1.639 20 30 DDEDLO CCc1noc([C@@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971505482 721327098 /nfs/dbraw/zinc/32/70/98/721327098.db2.gz SSFZAGXFILZHPU-OCCSQVGLSA-N 1 2 316.405 1.639 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cc[nH]c2)cc1C#N ZINC001038284249 735318117 /nfs/dbraw/zinc/31/81/17/735318117.db2.gz ITSUVOJUTKUATE-INIZCTEOSA-N 1 2 324.384 1.899 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2cc[nH]c2)cc1C#N ZINC001038284249 735318122 /nfs/dbraw/zinc/31/81/22/735318122.db2.gz ITSUVOJUTKUATE-INIZCTEOSA-N 1 2 324.384 1.899 20 30 DDEDLO C[C@@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001120878424 782204530 /nfs/dbraw/zinc/20/45/30/782204530.db2.gz LETUOENCBKPXLN-IACUBPJLSA-N 1 2 324.388 1.739 20 30 DDEDLO C[C@@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001120878424 782204532 /nfs/dbraw/zinc/20/45/32/782204532.db2.gz LETUOENCBKPXLN-IACUBPJLSA-N 1 2 324.388 1.739 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cncnc3CC)C2)C1 ZINC000972683547 735470884 /nfs/dbraw/zinc/47/08/84/735470884.db2.gz NNPJBMZOIWIBBF-KRWDZBQOSA-N 1 2 316.405 1.142 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cncnc3CC)C2)C1 ZINC000972683547 735470886 /nfs/dbraw/zinc/47/08/86/735470886.db2.gz NNPJBMZOIWIBBF-KRWDZBQOSA-N 1 2 316.405 1.142 20 30 DDEDLO Cc1cn2cc(NC(=O)C(=O)N3CCC[C@@H](C#N)C3)ccc2[nH+]1 ZINC001121054054 782323340 /nfs/dbraw/zinc/32/33/40/782323340.db2.gz VMOZABOUHRYSLY-LBPRGKRZSA-N 1 2 311.345 1.343 20 30 DDEDLO N#CCN1CC[C@H]2[C@@H](CCCN2C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001021604741 733363153 /nfs/dbraw/zinc/36/31/53/733363153.db2.gz XRTOQVLKTBNEHA-ZFWWWQNUSA-N 1 2 301.394 1.179 20 30 DDEDLO N#CCN1CC[C@H]2[C@@H](CCCN2C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001021604741 733363159 /nfs/dbraw/zinc/36/31/59/733363159.db2.gz XRTOQVLKTBNEHA-ZFWWWQNUSA-N 1 2 301.394 1.179 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H](CCCN2C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001021604738 733363433 /nfs/dbraw/zinc/36/34/33/733363433.db2.gz XRTOQVLKTBNEHA-DZGCQCFKSA-N 1 2 301.394 1.179 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H](CCCN2C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001021604738 733363436 /nfs/dbraw/zinc/36/34/36/733363436.db2.gz XRTOQVLKTBNEHA-DZGCQCFKSA-N 1 2 301.394 1.179 20 30 DDEDLO N#CCNC[C@]12CCC[C@H]1CN(C(=O)CCn1cc[nH+]c1)C2 ZINC001112501294 733542648 /nfs/dbraw/zinc/54/26/48/733542648.db2.gz SOGKKVAAUQVTJV-HOCLYGCPSA-N 1 2 301.394 1.015 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@@H](NC(=O)[C@H]3CCCO3)C2)c1 ZINC001010053354 738733956 /nfs/dbraw/zinc/73/39/56/738733956.db2.gz FGHHSESEUAEJOS-GDBMZVCRSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@@H](NC(=O)[C@H]3CCCO3)C2)c1 ZINC001010053354 738733958 /nfs/dbraw/zinc/73/39/58/738733958.db2.gz FGHHSESEUAEJOS-GDBMZVCRSA-N 1 2 317.364 1.567 20 30 DDEDLO C=CCCC(=O)NC[C@H](CO)Nc1nc(C)[nH+]c2c1CCCC2 ZINC001121199169 782406013 /nfs/dbraw/zinc/40/60/13/782406013.db2.gz WJTBXLZQARZTQL-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C[C@H]1CCNCC#N ZINC001104363805 734358319 /nfs/dbraw/zinc/35/83/19/734358319.db2.gz IWSNAOBVOFLDPW-QLFBSQMISA-N 1 2 315.421 1.043 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001098214084 734469052 /nfs/dbraw/zinc/46/90/52/734469052.db2.gz VYBHSXALERMVAR-GFCCVEGCSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001098214084 734469054 /nfs/dbraw/zinc/46/90/54/734469054.db2.gz VYBHSXALERMVAR-GFCCVEGCSA-N 1 2 317.437 1.593 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001027933926 738833139 /nfs/dbraw/zinc/83/31/39/738833139.db2.gz HKFVUUCWJIYMPB-NSHDSACASA-N 1 2 310.785 1.222 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001027933926 738833142 /nfs/dbraw/zinc/83/31/42/738833142.db2.gz HKFVUUCWJIYMPB-NSHDSACASA-N 1 2 310.785 1.222 20 30 DDEDLO N#Cc1cnccc1NC1(CNC(=O)Cn2cc[nH+]c2)CCCC1 ZINC001104397980 734629945 /nfs/dbraw/zinc/62/99/45/734629945.db2.gz NAVPHXVDQWDERT-UHFFFAOYSA-N 1 2 324.388 1.113 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4ccncc43)[C@H]2C1 ZINC001083238273 734646457 /nfs/dbraw/zinc/64/64/57/734646457.db2.gz VVKCHUUFNGEUEX-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4ccncc43)[C@H]2C1 ZINC001083238273 734646459 /nfs/dbraw/zinc/64/64/59/734646459.db2.gz VVKCHUUFNGEUEX-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C=C(C)C(=O)NCCCNc1ccc([C@@H](C)C(=O)OC)c[nH+]1 ZINC001167666972 735010238 /nfs/dbraw/zinc/01/02/38/735010238.db2.gz DKQZGFMMJQENKF-GFCCVEGCSA-N 1 2 305.378 1.852 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@@]3(C)C[C@@H](O)C[N@@H+]3C)n2C)C1 ZINC001121373502 782478573 /nfs/dbraw/zinc/47/85/73/782478573.db2.gz ZUINYVZFJSBFTE-CKEIUWERSA-N 1 2 319.453 1.519 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@@]3(C)C[C@@H](O)C[N@H+]3C)n2C)C1 ZINC001121373502 782478578 /nfs/dbraw/zinc/47/85/78/782478578.db2.gz ZUINYVZFJSBFTE-CKEIUWERSA-N 1 2 319.453 1.519 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(F)cc(F)c3)[C@H]2C1 ZINC001083266987 735909578 /nfs/dbraw/zinc/90/95/78/735909578.db2.gz CQBOEDJWPHAIND-LSDHHAIUSA-N 1 2 306.312 1.123 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(F)cc(F)c3)[C@H]2C1 ZINC001083266987 735909579 /nfs/dbraw/zinc/90/95/79/735909579.db2.gz CQBOEDJWPHAIND-LSDHHAIUSA-N 1 2 306.312 1.123 20 30 DDEDLO N#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001024646500 736001364 /nfs/dbraw/zinc/00/13/64/736001364.db2.gz CMQPNRIHRCGUGU-CHWSQXEVSA-N 1 2 301.394 1.324 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@H](NC(=O)C(C)(C)F)C2)C1=O ZINC001006564162 736474424 /nfs/dbraw/zinc/47/44/24/736474424.db2.gz UTUSXACIZMMCES-STQMWFEESA-N 1 2 311.401 1.102 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@H](NC(=O)C(C)(C)F)C2)C1=O ZINC001006564162 736474426 /nfs/dbraw/zinc/47/44/26/736474426.db2.gz UTUSXACIZMMCES-STQMWFEESA-N 1 2 311.401 1.102 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@H](NC(=O)C(C)(F)F)C2)C1=O ZINC001006565128 736486163 /nfs/dbraw/zinc/48/61/63/736486163.db2.gz FIMHWOVGJAQJCA-NWDGAFQWSA-N 1 2 315.364 1.009 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@H](NC(=O)C(C)(F)F)C2)C1=O ZINC001006565128 736486164 /nfs/dbraw/zinc/48/61/64/736486164.db2.gz FIMHWOVGJAQJCA-NWDGAFQWSA-N 1 2 315.364 1.009 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3sccc3CC)[C@H]2C1 ZINC001083283253 736754092 /nfs/dbraw/zinc/75/40/92/736754092.db2.gz RAIBMCAXNFSEHT-LSDHHAIUSA-N 1 2 318.442 1.859 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3sccc3CC)[C@H]2C1 ZINC001083283253 736754093 /nfs/dbraw/zinc/75/40/93/736754093.db2.gz RAIBMCAXNFSEHT-LSDHHAIUSA-N 1 2 318.442 1.859 20 30 DDEDLO C=C(C)CCC(=O)NC[C@]1(C)CN(Cc2c[nH+]cn2C)CCO1 ZINC001107974138 751378802 /nfs/dbraw/zinc/37/88/02/751378802.db2.gz LFDRRKDAWMMAKR-QGZVFWFLSA-N 1 2 320.437 1.484 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1C[C@@H](C)[C@H](CCNCC#N)C1 ZINC001104776093 737193553 /nfs/dbraw/zinc/19/35/53/737193553.db2.gz XXSIQYBDHBPEAT-BFHYXJOUSA-N 1 2 303.410 1.186 20 30 DDEDLO CC(C)[C@H](CNC(=O)Cn1cc[nH+]c1)Nc1ncccc1C#N ZINC001105196657 737607673 /nfs/dbraw/zinc/60/76/73/737607673.db2.gz RPYXKEJLCVHZSP-AWEZNQCLSA-N 1 2 312.377 1.403 20 30 DDEDLO C#CCCCC(=O)N1CC(n2cc(C[NH2+]C/C=C/Cl)nn2)C1 ZINC001105227651 737633702 /nfs/dbraw/zinc/63/37/02/737633702.db2.gz RWVLIZQOTGIJRI-FNORWQNLSA-N 1 2 321.812 1.307 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)C3CCC3)[C@@H](O)C2)cc1F ZINC001083300329 737679844 /nfs/dbraw/zinc/67/98/44/737679844.db2.gz KIOXKFKRHOMYIZ-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)C3CCC3)[C@@H](O)C2)cc1F ZINC001083300329 737679847 /nfs/dbraw/zinc/67/98/47/737679847.db2.gz KIOXKFKRHOMYIZ-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001125934949 737709462 /nfs/dbraw/zinc/70/94/62/737709462.db2.gz MGHSURSAQRNRET-UHFFFAOYSA-N 1 2 316.361 1.729 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C3(CCC=C)CC3)C2)nn1 ZINC001105322350 737850824 /nfs/dbraw/zinc/85/08/24/737850824.db2.gz LMFSBCIOEMNAKC-UHFFFAOYSA-N 1 2 313.405 1.131 20 30 DDEDLO N#Cc1cnccc1N1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc[nH+]c1 ZINC001058763446 738163000 /nfs/dbraw/zinc/16/30/00/738163000.db2.gz FSLQNZOAYXUZGZ-GASCZTMLSA-N 1 2 322.372 1.030 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2CCN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC001075569363 738354262 /nfs/dbraw/zinc/35/42/62/738354262.db2.gz PAYPAEBSIBWNMY-DOTOQJQBSA-N 1 2 321.384 1.628 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC001075569363 738354267 /nfs/dbraw/zinc/35/42/67/738354267.db2.gz PAYPAEBSIBWNMY-DOTOQJQBSA-N 1 2 321.384 1.628 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2CCN(C(=O)c3cc[nH]n3)[C@@H]2C1 ZINC001075569363 738354271 /nfs/dbraw/zinc/35/42/71/738354271.db2.gz PAYPAEBSIBWNMY-DOTOQJQBSA-N 1 2 321.384 1.628 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc[nH]n3)[C@@H]2C1 ZINC001075569363 738354276 /nfs/dbraw/zinc/35/42/76/738354276.db2.gz PAYPAEBSIBWNMY-DOTOQJQBSA-N 1 2 321.384 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc2cccnn21 ZINC001028186901 739136472 /nfs/dbraw/zinc/13/64/72/739136472.db2.gz WDFLCRQRQHQSIS-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnc2cccnn21 ZINC001028186901 739136473 /nfs/dbraw/zinc/13/64/73/739136473.db2.gz WDFLCRQRQHQSIS-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnc(OC)nc1 ZINC001028239242 739212243 /nfs/dbraw/zinc/21/22/43/739212243.db2.gz HWKCLJHDHPAQMX-LBPRGKRZSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnc(OC)nc1 ZINC001028239242 739212248 /nfs/dbraw/zinc/21/22/48/739212248.db2.gz HWKCLJHDHPAQMX-LBPRGKRZSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)nnc2CC)C1 ZINC001035426148 751484194 /nfs/dbraw/zinc/48/41/94/751484194.db2.gz YUXDYVJQBZYPQP-AWEZNQCLSA-N 1 2 318.421 1.354 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)nnc2CC)C1 ZINC001035426148 751484198 /nfs/dbraw/zinc/48/41/98/751484198.db2.gz YUXDYVJQBZYPQP-AWEZNQCLSA-N 1 2 318.421 1.354 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@H](Nc2ncccc2C#N)C1)n1cc[nH+]c1 ZINC001059080765 739890759 /nfs/dbraw/zinc/89/07/59/739890759.db2.gz HFPYAELUZKOWQG-HIFRSBDPSA-N 1 2 324.388 1.814 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(F)cc2F)C1 ZINC001035413905 751496003 /nfs/dbraw/zinc/49/60/03/751496003.db2.gz FBBRHGCJSHBLNY-LBPRGKRZSA-N 1 2 310.344 1.890 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(F)cc2F)C1 ZINC001035413905 751496008 /nfs/dbraw/zinc/49/60/08/751496008.db2.gz FBBRHGCJSHBLNY-LBPRGKRZSA-N 1 2 310.344 1.890 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+](Cc3ncnn3C)C2)cc1 ZINC001028730398 739968643 /nfs/dbraw/zinc/96/86/43/739968643.db2.gz IINYNTDPWNNCEE-HNNXBMFYSA-N 1 2 323.400 1.048 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+](Cc3ncnn3C)C2)cc1 ZINC001028730398 739968646 /nfs/dbraw/zinc/96/86/46/739968646.db2.gz IINYNTDPWNNCEE-HNNXBMFYSA-N 1 2 323.400 1.048 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(OCC)no2)C1 ZINC001035452954 751502213 /nfs/dbraw/zinc/50/22/13/751502213.db2.gz SNDATBWDRARZDC-GFCCVEGCSA-N 1 2 309.366 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(OCC)no2)C1 ZINC001035452954 751502218 /nfs/dbraw/zinc/50/22/18/751502218.db2.gz SNDATBWDRARZDC-GFCCVEGCSA-N 1 2 309.366 1.080 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+](Cc3cnn(C)n3)C2)CC1 ZINC001028780112 740026360 /nfs/dbraw/zinc/02/63/60/740026360.db2.gz DZWITKPFFAVPOB-AWEZNQCLSA-N 1 2 317.437 1.500 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+](Cc3cnn(C)n3)C2)CC1 ZINC001028780112 740026364 /nfs/dbraw/zinc/02/63/64/740026364.db2.gz DZWITKPFFAVPOB-AWEZNQCLSA-N 1 2 317.437 1.500 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)sc2C)C1 ZINC001035438313 751515349 /nfs/dbraw/zinc/51/53/49/751515349.db2.gz KXNFPNVIPYAYDB-AWEZNQCLSA-N 1 2 306.431 1.819 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)sc2C)C1 ZINC001035438313 751515351 /nfs/dbraw/zinc/51/53/51/751515351.db2.gz KXNFPNVIPYAYDB-AWEZNQCLSA-N 1 2 306.431 1.819 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccoc2C2CC2)C1 ZINC001035486693 751539895 /nfs/dbraw/zinc/53/98/95/751539895.db2.gz FOGBOBHFEWSHSU-CQSZACIVSA-N 1 2 302.374 1.611 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccoc2C2CC2)C1 ZINC001035486693 751539898 /nfs/dbraw/zinc/53/98/98/751539898.db2.gz FOGBOBHFEWSHSU-CQSZACIVSA-N 1 2 302.374 1.611 20 30 DDEDLO Cc1nc(N(C)CCN(C)C(=O)C#CC(C)C)c(C)c(C)[nH+]1 ZINC001105386289 740471700 /nfs/dbraw/zinc/47/17/00/740471700.db2.gz HFFJASOBKZNKCP-UHFFFAOYSA-N 1 2 302.422 1.956 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21)Nc1ccc(C#N)nc1 ZINC001098268308 740488033 /nfs/dbraw/zinc/48/80/33/740488033.db2.gz TYWBTPNGGAYXSU-FZMZJTMJSA-N 1 2 324.388 1.713 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001035466930 751551668 /nfs/dbraw/zinc/55/16/68/751551668.db2.gz OHBIBLILWLRZOP-AWEZNQCLSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001035466930 751551670 /nfs/dbraw/zinc/55/16/70/751551670.db2.gz OHBIBLILWLRZOP-AWEZNQCLSA-N 1 2 312.373 1.017 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1ccon1 ZINC001087548948 740630789 /nfs/dbraw/zinc/63/07/89/740630789.db2.gz XDEVXTJVOMBKKR-LSDHHAIUSA-N 1 2 313.361 1.657 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1ccon1 ZINC001087548948 740630790 /nfs/dbraw/zinc/63/07/90/740630790.db2.gz XDEVXTJVOMBKKR-LSDHHAIUSA-N 1 2 313.361 1.657 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ocnc2C(F)F)C1 ZINC001035510713 751567291 /nfs/dbraw/zinc/56/72/91/751567291.db2.gz MOUUBUQDBUMQQL-JTQLQIEISA-N 1 2 313.304 1.066 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ocnc2C(F)F)C1 ZINC001035510713 751567293 /nfs/dbraw/zinc/56/72/93/751567293.db2.gz MOUUBUQDBUMQQL-JTQLQIEISA-N 1 2 313.304 1.066 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)[C@H]1CC ZINC001087783710 740750866 /nfs/dbraw/zinc/75/08/66/740750866.db2.gz QGQDLTILEGCGDS-JKSUJKDBSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)[C@H]1CC ZINC001087783710 740750869 /nfs/dbraw/zinc/75/08/69/740750869.db2.gz QGQDLTILEGCGDS-JKSUJKDBSA-N 1 2 323.400 1.688 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)C ZINC001029453447 740825802 /nfs/dbraw/zinc/82/58/02/740825802.db2.gz BLMBCVDSYXJFJY-JKIFEVAISA-N 1 2 307.438 1.352 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(C)C ZINC001029453447 740825806 /nfs/dbraw/zinc/82/58/06/740825806.db2.gz BLMBCVDSYXJFJY-JKIFEVAISA-N 1 2 307.438 1.352 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccnc(OCC)c2)C1 ZINC001035498389 751580810 /nfs/dbraw/zinc/58/08/10/751580810.db2.gz AUMYBFKBXDKIBQ-CQSZACIVSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccnc(OCC)c2)C1 ZINC001035498389 751580818 /nfs/dbraw/zinc/58/08/18/751580818.db2.gz AUMYBFKBXDKIBQ-CQSZACIVSA-N 1 2 305.378 1.097 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)C[C@@H]2C1 ZINC001088102318 741031582 /nfs/dbraw/zinc/03/15/82/741031582.db2.gz ZVBHSWGOXYMHLC-MNOVXSKESA-N 1 2 308.332 1.374 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)C[C@@H]2C1 ZINC001088102318 741031583 /nfs/dbraw/zinc/03/15/83/741031583.db2.gz ZVBHSWGOXYMHLC-MNOVXSKESA-N 1 2 308.332 1.374 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C)cc2F)C1 ZINC001035522963 751600856 /nfs/dbraw/zinc/60/08/56/751600856.db2.gz DNTQDLRYQPJRKX-AWEZNQCLSA-N 1 2 304.365 1.588 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C)cc2F)C1 ZINC001035522963 751600861 /nfs/dbraw/zinc/60/08/61/751600861.db2.gz DNTQDLRYQPJRKX-AWEZNQCLSA-N 1 2 304.365 1.588 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2csc3cncn32)C1 ZINC001035526971 751606231 /nfs/dbraw/zinc/60/62/31/751606231.db2.gz OJCXRPOMYKAGEL-LLVKDONJSA-N 1 2 306.391 1.012 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2csc3cncn32)C1 ZINC001035526971 751606235 /nfs/dbraw/zinc/60/62/35/751606235.db2.gz OJCXRPOMYKAGEL-LLVKDONJSA-N 1 2 306.391 1.012 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc3ccccn3c2)C1 ZINC001035531352 751612730 /nfs/dbraw/zinc/61/27/30/751612730.db2.gz SITLEFBZRINVJT-QGZVFWFLSA-N 1 2 313.401 1.946 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc3ccccn3c2)C1 ZINC001035531352 751612732 /nfs/dbraw/zinc/61/27/32/751612732.db2.gz SITLEFBZRINVJT-QGZVFWFLSA-N 1 2 313.401 1.946 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H](C[N@H+](C)Cc2nn(C)cc2Cl)C1 ZINC001029676402 741216783 /nfs/dbraw/zinc/21/67/83/741216783.db2.gz AMCJTQUAOQVBDF-VXGBXAGGSA-N 1 2 323.828 1.513 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nn(C)cc2Cl)C1 ZINC001029676402 741216786 /nfs/dbraw/zinc/21/67/86/741216786.db2.gz AMCJTQUAOQVBDF-VXGBXAGGSA-N 1 2 323.828 1.513 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2nc(C3CC3)oc2C)C1 ZINC001035562838 751645045 /nfs/dbraw/zinc/64/50/45/751645045.db2.gz BAEVXTMRCFROPZ-AWEZNQCLSA-N 1 2 319.405 1.867 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2nc(C3CC3)oc2C)C1 ZINC001035562838 751645046 /nfs/dbraw/zinc/64/50/46/751645046.db2.gz BAEVXTMRCFROPZ-AWEZNQCLSA-N 1 2 319.405 1.867 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnn3c2C[C@@H](C)CC3)C1 ZINC001035597202 751653337 /nfs/dbraw/zinc/65/33/37/751653337.db2.gz WBCCMBZRRSPNOG-UONOGXRCSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnn3c2C[C@@H](C)CC3)C1 ZINC001035597202 751653342 /nfs/dbraw/zinc/65/33/42/751653342.db2.gz WBCCMBZRRSPNOG-UONOGXRCSA-N 1 2 318.421 1.082 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)cn1 ZINC001007030925 741712476 /nfs/dbraw/zinc/71/24/76/741712476.db2.gz TUTLTYAXGNGTFR-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)cn1 ZINC001007030925 741712479 /nfs/dbraw/zinc/71/24/79/741712479.db2.gz TUTLTYAXGNGTFR-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001075885585 741714945 /nfs/dbraw/zinc/71/49/45/741714945.db2.gz DKUDEZMDUXLGJU-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2oc(CCC)nc2C)C1 ZINC001035582017 751673482 /nfs/dbraw/zinc/67/34/82/751673482.db2.gz TWDMGSSXBRDWKO-AWEZNQCLSA-N 1 2 319.405 1.389 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2oc(CCC)nc2C)C1 ZINC001035582017 751673486 /nfs/dbraw/zinc/67/34/86/751673486.db2.gz TWDMGSSXBRDWKO-AWEZNQCLSA-N 1 2 319.405 1.389 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccccc2OC)C1 ZINC001035582667 751674534 /nfs/dbraw/zinc/67/45/34/751674534.db2.gz ANJLPRJPFDFIMK-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccccc2OC)C1 ZINC001035582667 751674538 /nfs/dbraw/zinc/67/45/38/751674538.db2.gz ANJLPRJPFDFIMK-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO Cc1nc(N2CCC([C@H](C)NC(=O)C#CC3CC3)CC2)cc[nH+]1 ZINC001126738819 741885687 /nfs/dbraw/zinc/88/56/87/741885687.db2.gz BOZYWFITKFJXNJ-ZDUSSCGKSA-N 1 2 312.417 1.920 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001035589325 751683545 /nfs/dbraw/zinc/68/35/45/751683545.db2.gz KMRJWEGQJNWFNX-JKSUJKDBSA-N 1 2 315.417 1.190 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001035589325 751683548 /nfs/dbraw/zinc/68/35/48/751683548.db2.gz KMRJWEGQJNWFNX-JKSUJKDBSA-N 1 2 315.417 1.190 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001035620201 751691888 /nfs/dbraw/zinc/69/18/88/751691888.db2.gz ADMXVMWAOCGOAJ-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001035620201 751691890 /nfs/dbraw/zinc/69/18/90/751691890.db2.gz ADMXVMWAOCGOAJ-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccccc2Cl)C1 ZINC001035592615 751687437 /nfs/dbraw/zinc/68/74/37/751687437.db2.gz RJCPVKQQKYSIKU-CYBMUJFWSA-N 1 2 306.793 1.794 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccccc2Cl)C1 ZINC001035592615 751687442 /nfs/dbraw/zinc/68/74/42/751687442.db2.gz RJCPVKQQKYSIKU-CYBMUJFWSA-N 1 2 306.793 1.794 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccccc2Cl)C1 ZINC001035592616 751687930 /nfs/dbraw/zinc/68/79/30/751687930.db2.gz RJCPVKQQKYSIKU-ZDUSSCGKSA-N 1 2 306.793 1.794 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccccc2Cl)C1 ZINC001035592616 751687934 /nfs/dbraw/zinc/68/79/34/751687934.db2.gz RJCPVKQQKYSIKU-ZDUSSCGKSA-N 1 2 306.793 1.794 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnc(CC)s2)C1 ZINC001035624346 751695428 /nfs/dbraw/zinc/69/54/28/751695428.db2.gz KRLTUMZQEWUHAW-GFCCVEGCSA-N 1 2 307.419 1.159 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnc(CC)s2)C1 ZINC001035624346 751695434 /nfs/dbraw/zinc/69/54/34/751695434.db2.gz KRLTUMZQEWUHAW-GFCCVEGCSA-N 1 2 307.419 1.159 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001060039103 742257855 /nfs/dbraw/zinc/25/78/55/742257855.db2.gz QVVXSCYPDIBESH-HNNXBMFYSA-N 1 2 324.388 1.500 20 30 DDEDLO O=C(C#CC1CC1)NCC[NH2+]Cc1nc(-c2ccccc2)no1 ZINC001126881637 742416170 /nfs/dbraw/zinc/41/61/70/742416170.db2.gz XELFVWQMODDUMO-UHFFFAOYSA-N 1 2 310.357 1.356 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3ccc(OC)nc3)[C@@H]2C1 ZINC001076013843 742489217 /nfs/dbraw/zinc/48/92/17/742489217.db2.gz RDEOZSICQZVCBZ-UONOGXRCSA-N 1 2 321.808 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccc(OC)nc3)[C@@H]2C1 ZINC001076013843 742489218 /nfs/dbraw/zinc/48/92/18/742489218.db2.gz RDEOZSICQZVCBZ-UONOGXRCSA-N 1 2 321.808 1.989 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076319957 742663008 /nfs/dbraw/zinc/66/30/08/742663008.db2.gz QMOUQCHZYPAJOS-GUYCJALGSA-N 1 2 320.437 1.956 20 30 DDEDLO C#CC[NH+]1CC2(C1)CCN(C(=O)c1cnc(CC(C)C)[nH]1)CC2 ZINC001035707011 751793658 /nfs/dbraw/zinc/79/36/58/751793658.db2.gz UQOWUANPHLXQSJ-UHFFFAOYSA-N 1 2 314.433 1.779 20 30 DDEDLO Cc1cnc(C[NH+]2CCC(NC(=O)c3cc(C#N)c[nH]3)CC2)nc1 ZINC001002550191 743231896 /nfs/dbraw/zinc/23/18/96/743231896.db2.gz CGHJGGANCNUFKI-UHFFFAOYSA-N 1 2 324.388 1.379 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H](C)CCNC(=O)Cn1cc[nH+]c1 ZINC001077183418 743299471 /nfs/dbraw/zinc/29/94/71/743299471.db2.gz KUCLAJFKHOXGNO-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(C)no2)C1 ZINC001182112798 743480577 /nfs/dbraw/zinc/48/05/77/743480577.db2.gz FKPWNOHDLUPRIC-TZMCWYRMSA-N 1 2 304.394 1.823 20 30 DDEDLO C#CCOCCC(=O)N(C)C1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001127005743 743517920 /nfs/dbraw/zinc/51/79/20/743517920.db2.gz IQZXQRCQXDYQIK-UHFFFAOYSA-N 1 2 302.378 1.226 20 30 DDEDLO N#CCc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[N@@H+](C3COC3)C2)c1 ZINC001168374369 743650758 /nfs/dbraw/zinc/65/07/58/743650758.db2.gz LDFXFQPGMKDHCR-CYBMUJFWSA-N 1 2 316.361 1.936 20 30 DDEDLO N#CCc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[N@H+](C3COC3)C2)c1 ZINC001168374369 743650759 /nfs/dbraw/zinc/65/07/59/743650759.db2.gz LDFXFQPGMKDHCR-CYBMUJFWSA-N 1 2 316.361 1.936 20 30 DDEDLO CN(C(=O)CCn1cc[nH+]c1)C1CC(Nc2ccc(C#N)cn2)C1 ZINC001127046564 743674345 /nfs/dbraw/zinc/67/43/45/743674345.db2.gz CBPXWCRBTKEHIC-UHFFFAOYSA-N 1 2 324.388 1.641 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001011730986 743680150 /nfs/dbraw/zinc/68/01/50/743680150.db2.gz AAKKDKQXOOBJPR-QGZVFWFLSA-N 1 2 318.421 1.546 20 30 DDEDLO COC1CC(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)s2)C1 ZINC001038384890 743703758 /nfs/dbraw/zinc/70/37/58/743703758.db2.gz OOJMMIJSZVWJBW-CPCZMJQVSA-N 1 2 319.430 1.735 20 30 DDEDLO COC1CC(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)s2)C1 ZINC001038384890 743703759 /nfs/dbraw/zinc/70/37/59/743703759.db2.gz OOJMMIJSZVWJBW-CPCZMJQVSA-N 1 2 319.430 1.735 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](C)c2ccc(C(N)=O)cc2)CC1 ZINC001182788618 743726597 /nfs/dbraw/zinc/72/65/97/743726597.db2.gz WSIXCPZUHAPEBE-ZDUSSCGKSA-N 1 2 301.390 1.219 20 30 DDEDLO C=CCCOCC(=O)N1CCC(OC2C[NH+](CC=C)C2)CC1 ZINC001105736238 743769755 /nfs/dbraw/zinc/76/97/55/743769755.db2.gz SBDFGOFNWOKLPH-UHFFFAOYSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+][C@@H](C)c1nnc(C)o1 ZINC001127129716 743847779 /nfs/dbraw/zinc/84/77/79/743847779.db2.gz XJLWLOBTQHCDFU-ZDUSSCGKSA-N 1 2 324.425 1.908 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1=O ZINC001038619830 751869937 /nfs/dbraw/zinc/86/99/37/751869937.db2.gz KCPLXRGKIIPHBI-LYYZXLFJSA-N 1 2 317.433 1.010 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1=O ZINC001038619830 751869947 /nfs/dbraw/zinc/86/99/47/751869947.db2.gz KCPLXRGKIIPHBI-LYYZXLFJSA-N 1 2 317.433 1.010 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC2C[NH+](Cc3ccc4cc[nH]c4c3)C2)c1 ZINC001030194423 743925847 /nfs/dbraw/zinc/92/58/47/743925847.db2.gz JZJOGMBFOFUOBY-UHFFFAOYSA-N 1 2 319.368 1.982 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC1C[NH+](Cc2ccccc2)C1 ZINC001030333220 744054690 /nfs/dbraw/zinc/05/46/90/744054690.db2.gz BMBUOYVPBYFIOJ-SJORKVTESA-N 1 2 300.402 1.968 20 30 DDEDLO C=C(C)CN1CC(NC(=O)c2cc([C@H]3CCC[N@H+]3C)n[nH]2)C1 ZINC001030340816 744062238 /nfs/dbraw/zinc/06/22/38/744062238.db2.gz GAOZUYBYMYXTAT-OAHLLOKOSA-N 1 2 303.410 1.167 20 30 DDEDLO C=C(C)CN1CC(NC(=O)c2cc([C@H]3CCC[N@@H+]3C)n[nH]2)C1 ZINC001030340816 744062241 /nfs/dbraw/zinc/06/22/41/744062241.db2.gz GAOZUYBYMYXTAT-OAHLLOKOSA-N 1 2 303.410 1.167 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cnn3c2cccc3OC)C1 ZINC001030388808 744099725 /nfs/dbraw/zinc/09/97/25/744099725.db2.gz ZABWOTSUVXQQRN-UHFFFAOYSA-N 1 2 300.362 1.333 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1 ZINC001184900015 744117428 /nfs/dbraw/zinc/11/74/28/744117428.db2.gz DABUOKDPTDNVEB-CYBMUJFWSA-N 1 2 306.435 1.812 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001185057125 744144964 /nfs/dbraw/zinc/14/49/64/744144964.db2.gz YEXOXLOFBTWVSQ-CHWSQXEVSA-N 1 2 306.410 1.925 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](O)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001105986573 744156099 /nfs/dbraw/zinc/15/60/99/744156099.db2.gz KSQKCMHDLVKJPX-CQSZACIVSA-N 1 2 318.421 1.908 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@]2(C3CCCC3)CCNC2=O)C1 ZINC001030467458 744180888 /nfs/dbraw/zinc/18/08/88/744180888.db2.gz PYILBEWZEDBYMQ-QGZVFWFLSA-N 1 2 305.422 1.059 20 30 DDEDLO C#CC[NH+]1CC2(C1)CCN(C(=O)c1cccc3[nH]cnc31)CC2 ZINC001035822082 751902290 /nfs/dbraw/zinc/90/22/90/751902290.db2.gz QMQZUXLHDJEFEJ-UHFFFAOYSA-N 1 2 308.385 1.734 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C(CC)CC)C2)nn1 ZINC001185883389 744308339 /nfs/dbraw/zinc/30/83/39/744308339.db2.gz NPKFKCSRPIYBSZ-INIZCTEOSA-N 1 2 317.437 1.601 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)[C@H]1C ZINC001088951163 744398581 /nfs/dbraw/zinc/39/85/81/744398581.db2.gz CCLDVXJWKCYOSL-NFAWXSAZSA-N 1 2 314.433 1.750 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)[C@H]1C ZINC001088951163 744398584 /nfs/dbraw/zinc/39/85/84/744398584.db2.gz CCLDVXJWKCYOSL-NFAWXSAZSA-N 1 2 314.433 1.750 20 30 DDEDLO COc1ccnc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H](C)C#N)C3)c1 ZINC001110395055 744576373 /nfs/dbraw/zinc/57/63/73/744576373.db2.gz USNMDTFGXMKUKA-CUBALJKWSA-N 1 2 314.389 1.471 20 30 DDEDLO COc1ccnc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H](C)C#N)C3)c1 ZINC001110395055 744576376 /nfs/dbraw/zinc/57/63/76/744576376.db2.gz USNMDTFGXMKUKA-CUBALJKWSA-N 1 2 314.389 1.471 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(NC(=O)Cc3nnc[nH]3)CCC[C@@H]12 ZINC000992399606 744855076 /nfs/dbraw/zinc/85/50/76/744855076.db2.gz CLJBYIIZRVMDSV-RISCZKNCSA-N 1 2 309.801 1.213 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2nnc(CC)o2)C1 ZINC001189363088 744886268 /nfs/dbraw/zinc/88/62/68/744886268.db2.gz LGIKKTSUWKRXPF-STQMWFEESA-N 1 2 322.409 1.428 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2nnc(CC)o2)C1 ZINC001189363088 744886271 /nfs/dbraw/zinc/88/62/71/744886271.db2.gz LGIKKTSUWKRXPF-STQMWFEESA-N 1 2 322.409 1.428 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1C[NH+](Cc2cccc(C)c2)C1 ZINC001030973534 744999956 /nfs/dbraw/zinc/99/99/56/744999956.db2.gz VOTFCGATUAACEM-SJORKVTESA-N 1 2 300.402 1.887 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)cn2)C1 ZINC001189910388 745027012 /nfs/dbraw/zinc/02/70/12/745027012.db2.gz OBRZRAFONJWXED-OAHLLOKOSA-N 1 2 300.406 1.621 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)cn2)C1 ZINC001189910388 745027018 /nfs/dbraw/zinc/02/70/18/745027018.db2.gz OBRZRAFONJWXED-OAHLLOKOSA-N 1 2 300.406 1.621 20 30 DDEDLO CCc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190047635 745088345 /nfs/dbraw/zinc/08/83/45/745088345.db2.gz UWWOEMZFFHUHAQ-AWEZNQCLSA-N 1 2 303.406 1.929 20 30 DDEDLO CCc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190047635 745088353 /nfs/dbraw/zinc/08/83/53/745088353.db2.gz UWWOEMZFFHUHAQ-AWEZNQCLSA-N 1 2 303.406 1.929 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)Cc2csc(C)n2)C1 ZINC001190112923 745119294 /nfs/dbraw/zinc/11/92/94/745119294.db2.gz GSTLSHBSGKCMCF-HNNXBMFYSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)Cc2csc(C)n2)C1 ZINC001190112923 745119296 /nfs/dbraw/zinc/11/92/96/745119296.db2.gz GSTLSHBSGKCMCF-HNNXBMFYSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001190122042 745123285 /nfs/dbraw/zinc/12/32/85/745123285.db2.gz VIMJGOZFDRSBPL-CQSZACIVSA-N 1 2 319.405 1.310 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001190122042 745123289 /nfs/dbraw/zinc/12/32/89/745123289.db2.gz VIMJGOZFDRSBPL-CQSZACIVSA-N 1 2 319.405 1.310 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H]2CCC[C@H](NCC#N)[C@H]2C1 ZINC000992870902 745260891 /nfs/dbraw/zinc/26/08/91/745260891.db2.gz JAWYCAXQRSNRJA-IHRRRGAJSA-N 1 2 301.394 1.001 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NC1C[NH+](CCOC2CCC2)C1 ZINC001031077265 745328019 /nfs/dbraw/zinc/32/80/19/745328019.db2.gz LFBZMHWBCGWHNX-IRXDYDNUSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(=O)[nH]n2)[C@H]1C ZINC000993003165 745360705 /nfs/dbraw/zinc/36/07/05/745360705.db2.gz KBWLQYOLCWKPEO-GHMZBOCLSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(=O)[nH]n2)[C@H]1C ZINC000993003165 745360708 /nfs/dbraw/zinc/36/07/08/745360708.db2.gz KBWLQYOLCWKPEO-GHMZBOCLSA-N 1 2 310.785 1.517 20 30 DDEDLO C[C@@H](CCNc1cnc(C#N)cn1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106621138 745846497 /nfs/dbraw/zinc/84/64/97/745846497.db2.gz WXKJNPUZJLIZDG-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@@H](CCNc1cnc(C#N)cn1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106621138 745846501 /nfs/dbraw/zinc/84/65/01/745846501.db2.gz WXKJNPUZJLIZDG-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO CC/C(C)=C\C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001192709450 745875728 /nfs/dbraw/zinc/87/57/28/745875728.db2.gz KHEFHWRDSZVUQP-JDBPXVJJSA-N 1 2 319.430 1.637 20 30 DDEDLO CC/C(C)=C\C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001192709450 745875733 /nfs/dbraw/zinc/87/57/33/745875733.db2.gz KHEFHWRDSZVUQP-JDBPXVJJSA-N 1 2 319.430 1.637 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CC[N@@H+](Cc3ccn(C)n3)C2)CC1 ZINC001015660052 746105784 /nfs/dbraw/zinc/10/57/84/746105784.db2.gz QDSHBINGUMWUOW-OAHLLOKOSA-N 1 2 302.422 1.857 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CC[N@H+](Cc3ccn(C)n3)C2)CC1 ZINC001015660052 746105788 /nfs/dbraw/zinc/10/57/88/746105788.db2.gz QDSHBINGUMWUOW-OAHLLOKOSA-N 1 2 302.422 1.857 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CCCN(CC#N)[C@H]2C)c[nH+]1 ZINC000993499137 746178735 /nfs/dbraw/zinc/17/87/35/746178735.db2.gz YHPTWQDRBQTYDY-KBPBESRZSA-N 1 2 303.410 1.419 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccnc(OC)n2)[C@@H]1C ZINC000993589010 746239928 /nfs/dbraw/zinc/23/99/28/746239928.db2.gz NEKYBFNRWRRXBY-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccnc(OC)n2)[C@@H]1C ZINC000993589010 746239933 /nfs/dbraw/zinc/23/99/33/746239933.db2.gz NEKYBFNRWRRXBY-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1O ZINC001195255143 746557567 /nfs/dbraw/zinc/55/75/67/746557567.db2.gz NUSHXYIODHDVTL-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1O ZINC001195255143 746557569 /nfs/dbraw/zinc/55/75/69/746557569.db2.gz NUSHXYIODHDVTL-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1O ZINC001195548964 746641932 /nfs/dbraw/zinc/64/19/32/746641932.db2.gz MJBONHKHHUCTNQ-IACUBPJLSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1O ZINC001195548964 746641934 /nfs/dbraw/zinc/64/19/34/746641934.db2.gz MJBONHKHHUCTNQ-IACUBPJLSA-N 1 2 307.394 1.247 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195755027 746687381 /nfs/dbraw/zinc/68/73/81/746687381.db2.gz VMPRIXOWCZKEMY-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195755027 746687383 /nfs/dbraw/zinc/68/73/83/746687383.db2.gz VMPRIXOWCZKEMY-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3cnc(C)o3)C[C@@H]2O)CC1 ZINC001083754809 746701484 /nfs/dbraw/zinc/70/14/84/746701484.db2.gz WCYSLMDAOLLFKM-CVEARBPZSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3cnc(C)o3)C[C@@H]2O)CC1 ZINC001083754809 746701487 /nfs/dbraw/zinc/70/14/87/746701487.db2.gz WCYSLMDAOLLFKM-CVEARBPZSA-N 1 2 319.405 1.391 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2conc2C)CC1 ZINC001195792618 746706319 /nfs/dbraw/zinc/70/63/19/746706319.db2.gz WVYLWHNBEMAXIX-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2conc2C)CC1 ZINC001195792618 746706322 /nfs/dbraw/zinc/70/63/22/746706322.db2.gz WVYLWHNBEMAXIX-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@@H+]([C@@H](C)c2cnccn2)CC1 ZINC001195792503 746706363 /nfs/dbraw/zinc/70/63/63/746706363.db2.gz UJCQEHLVDFDJJO-LSDHHAIUSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@H+]([C@@H](C)c2cnccn2)CC1 ZINC001195792503 746706366 /nfs/dbraw/zinc/70/63/66/746706366.db2.gz UJCQEHLVDFDJJO-LSDHHAIUSA-N 1 2 316.405 1.110 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3cnoc3C)C[C@H]2O)CCC1 ZINC001195897209 746725407 /nfs/dbraw/zinc/72/54/07/746725407.db2.gz UFXNAXJCZPUKLG-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cnoc3C)C[C@H]2O)CCC1 ZINC001195897209 746725410 /nfs/dbraw/zinc/72/54/10/746725410.db2.gz UFXNAXJCZPUKLG-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(C)CCCC2)CC1 ZINC001196681711 746921441 /nfs/dbraw/zinc/92/14/41/746921441.db2.gz ZEFVMOPAXIFYKI-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(C)CCCC2)CC1 ZINC001196681711 746921445 /nfs/dbraw/zinc/92/14/45/746921445.db2.gz ZEFVMOPAXIFYKI-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+]([C@H](C)C(=O)NCC(C)C)CC1 ZINC001196770761 746968687 /nfs/dbraw/zinc/96/86/87/746968687.db2.gz ROEHTXASDMIRPN-OAHLLOKOSA-N 1 2 323.481 1.894 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+]([C@H](C)C(=O)NCC(C)C)CC1 ZINC001196770761 746968691 /nfs/dbraw/zinc/96/86/91/746968691.db2.gz ROEHTXASDMIRPN-OAHLLOKOSA-N 1 2 323.481 1.894 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](Cc2ccnn2C)CC1 ZINC001196846944 746979419 /nfs/dbraw/zinc/97/94/19/746979419.db2.gz RLEAMBSDJGRXMK-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](Cc2ccnn2C)CC1 ZINC001196846944 746979424 /nfs/dbraw/zinc/97/94/24/746979424.db2.gz RLEAMBSDJGRXMK-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCc1cnn2c1C[N@H+](CC)CC2 ZINC001128424769 747084915 /nfs/dbraw/zinc/08/49/15/747084915.db2.gz GSRIVWDTVKMANP-QGZVFWFLSA-N 1 2 320.437 1.564 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCc1cnn2c1C[N@@H+](CC)CC2 ZINC001128424769 747084921 /nfs/dbraw/zinc/08/49/21/747084921.db2.gz GSRIVWDTVKMANP-QGZVFWFLSA-N 1 2 320.437 1.564 20 30 DDEDLO N#Cc1cccc(NC(=S)NC2CC[NH+](C3COC3)CC2)c1 ZINC001197734080 747254377 /nfs/dbraw/zinc/25/43/77/747254377.db2.gz YHRGLKMZFJAGBC-UHFFFAOYSA-N 1 2 316.430 1.708 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1NC(=O)C#CC1CC1 ZINC001212246644 747296071 /nfs/dbraw/zinc/29/60/71/747296071.db2.gz XVENIUGLEQAALG-GDBMZVCRSA-N 1 2 317.389 1.020 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1NC(=O)C#CC1CC1 ZINC001212246644 747296078 /nfs/dbraw/zinc/29/60/78/747296078.db2.gz XVENIUGLEQAALG-GDBMZVCRSA-N 1 2 317.389 1.020 20 30 DDEDLO C#Cc1cncc(C(=O)NC2CC[NH+](Cc3ncccn3)CC2)c1 ZINC001003594644 747309664 /nfs/dbraw/zinc/30/96/64/747309664.db2.gz SRRPFTUVENSYHG-UHFFFAOYSA-N 1 2 321.384 1.247 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@@H]1CC12CCC2 ZINC001083819480 747360441 /nfs/dbraw/zinc/36/04/41/747360441.db2.gz DJTYGDVVOKQJPH-KSZLIROESA-N 1 2 324.424 1.390 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@@H]1CC12CCC2 ZINC001083819480 747360452 /nfs/dbraw/zinc/36/04/52/747360452.db2.gz DJTYGDVVOKQJPH-KSZLIROESA-N 1 2 324.424 1.390 20 30 DDEDLO C=CC[N@@H+]1CCCN(C(=O)C(C)(C)NC(=O)C(F)(F)F)CC1 ZINC001198214968 747409018 /nfs/dbraw/zinc/40/90/18/747409018.db2.gz XOVQQSZRLMAVAY-UHFFFAOYSA-N 1 2 321.343 1.164 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc(C)c2)[C@@H](O)C1 ZINC001090039518 747490071 /nfs/dbraw/zinc/49/00/71/747490071.db2.gz VEJHYZAWCAUMIR-CABCVRRESA-N 1 2 308.809 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(C)c2)[C@@H](O)C1 ZINC001090039518 747490074 /nfs/dbraw/zinc/49/00/74/747490074.db2.gz VEJHYZAWCAUMIR-CABCVRRESA-N 1 2 308.809 1.913 20 30 DDEDLO C#CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001089665342 747615372 /nfs/dbraw/zinc/61/53/72/747615372.db2.gz WMZWCUDQWPBPEC-CYBMUJFWSA-N 1 2 314.433 1.752 20 30 DDEDLO C#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001089665342 747615373 /nfs/dbraw/zinc/61/53/73/747615373.db2.gz WMZWCUDQWPBPEC-CYBMUJFWSA-N 1 2 314.433 1.752 20 30 DDEDLO Cc1ncc(C(=O)N(C)[C@H]2CC[N@H+](Cc3ccc(C#N)cc3)C2)[nH]1 ZINC001032959866 747768085 /nfs/dbraw/zinc/76/80/85/747768085.db2.gz JAYULLIEEGBGKN-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1ncc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3ccc(C#N)cc3)C2)[nH]1 ZINC001032959866 747768090 /nfs/dbraw/zinc/76/80/90/747768090.db2.gz JAYULLIEEGBGKN-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cnc3ccccn23)C1 ZINC001031796349 747865548 /nfs/dbraw/zinc/86/55/48/747865548.db2.gz ICIMOXQFYCLQPJ-UHFFFAOYSA-N 1 2 304.781 1.748 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2O[C@H](C)CC)[C@@H](O)C1 ZINC001083822101 748008373 /nfs/dbraw/zinc/00/83/73/748008373.db2.gz NABYWDZTDZHWDT-BMFZPTHFSA-N 1 2 316.401 1.272 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2O[C@H](C)CC)[C@@H](O)C1 ZINC001083822101 748008379 /nfs/dbraw/zinc/00/83/79/748008379.db2.gz NABYWDZTDZHWDT-BMFZPTHFSA-N 1 2 316.401 1.272 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001110544276 748050757 /nfs/dbraw/zinc/05/07/57/748050757.db2.gz GIXQPBRASKIXJH-XJKSGUPXSA-N 1 2 304.394 1.515 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1OC ZINC001212347175 748072721 /nfs/dbraw/zinc/07/27/21/748072721.db2.gz YPAHSPYVWUBQJO-HZPDHXFCSA-N 1 2 321.421 1.909 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1OC ZINC001212347175 748072729 /nfs/dbraw/zinc/07/27/29/748072729.db2.gz YPAHSPYVWUBQJO-HZPDHXFCSA-N 1 2 321.421 1.909 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001033080448 748223063 /nfs/dbraw/zinc/22/30/63/748223063.db2.gz AMYJXYXDJJYUDS-LSDHHAIUSA-N 1 2 300.406 1.386 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001033080448 748223070 /nfs/dbraw/zinc/22/30/70/748223070.db2.gz AMYJXYXDJJYUDS-LSDHHAIUSA-N 1 2 300.406 1.386 20 30 DDEDLO Cc1nc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)co1 ZINC001004410311 748409636 /nfs/dbraw/zinc/40/96/36/748409636.db2.gz YUCJYFJAAGGGMJ-OAHLLOKOSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1nc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)co1 ZINC001004410311 748409641 /nfs/dbraw/zinc/40/96/41/748409641.db2.gz YUCJYFJAAGGGMJ-OAHLLOKOSA-N 1 2 302.378 1.823 20 30 DDEDLO C[C@@H]1OCCO[C@@H]1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004458457 748450084 /nfs/dbraw/zinc/45/00/84/748450084.db2.gz ORWAXDBJDHEUAE-BPUTZDHNSA-N 1 2 321.421 1.017 20 30 DDEDLO C[C@@H]1OCCO[C@@H]1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004458457 748450088 /nfs/dbraw/zinc/45/00/88/748450088.db2.gz ORWAXDBJDHEUAE-BPUTZDHNSA-N 1 2 321.421 1.017 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2nccs2)CC1 ZINC001004577108 748560591 /nfs/dbraw/zinc/56/05/91/748560591.db2.gz PKYVCDWXPBYDJP-ZDUSSCGKSA-N 1 2 304.419 1.983 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2nccs2)CC1 ZINC001004577108 748560593 /nfs/dbraw/zinc/56/05/93/748560593.db2.gz PKYVCDWXPBYDJP-ZDUSSCGKSA-N 1 2 304.419 1.983 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001004601002 748579305 /nfs/dbraw/zinc/57/93/05/748579305.db2.gz GEYWVKCFIIIFJM-HNNXBMFYSA-N 1 2 315.421 1.074 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001004601002 748579310 /nfs/dbraw/zinc/57/93/10/748579310.db2.gz GEYWVKCFIIIFJM-HNNXBMFYSA-N 1 2 315.421 1.074 20 30 DDEDLO C[C@H]1CN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CC[C@@H]1NCC#N ZINC001036243441 752290588 /nfs/dbraw/zinc/29/05/88/752290588.db2.gz ZZUYEBBZDJEQBS-YOEHRIQHSA-N 1 2 323.400 1.836 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cnc(C)o3)C2)nc1 ZINC001032136694 748729500 /nfs/dbraw/zinc/72/95/00/748729500.db2.gz FGVXHEPNELTPQD-UHFFFAOYSA-N 1 2 310.357 1.221 20 30 DDEDLO C#CCN(C(C)=O)C1CCN(c2nc(C)[nH+]c(C)c2C)CC1 ZINC001110617257 748831446 /nfs/dbraw/zinc/83/14/46/748831446.db2.gz NFRUJLYVODSACW-UHFFFAOYSA-N 1 2 300.406 1.852 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(C(C)C)no1 ZINC001153161046 748858895 /nfs/dbraw/zinc/85/88/95/748858895.db2.gz WPMMGINGDPPXHB-VXGBXAGGSA-N 1 2 310.398 1.551 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001110728736 748954827 /nfs/dbraw/zinc/95/48/27/748954827.db2.gz VFLYLBDFKSYITD-QGZVFWFLSA-N 1 2 318.421 1.689 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC[C@@H]2CCCOC2)C1 ZINC001108312478 761907230 /nfs/dbraw/zinc/90/72/30/761907230.db2.gz KBZFKULIOASEOT-WMZOPIPTSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC[C@@H]2CCCOC2)C1 ZINC001108312478 761907232 /nfs/dbraw/zinc/90/72/32/761907232.db2.gz KBZFKULIOASEOT-WMZOPIPTSA-N 1 2 322.449 1.424 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@]1(C)CCN(c2ncccc2C#N)C1 ZINC001110774043 749000479 /nfs/dbraw/zinc/00/04/79/749000479.db2.gz XMSBUJJHFUNKIS-KRWDZBQOSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125216457 749030111 /nfs/dbraw/zinc/03/01/11/749030111.db2.gz OCVFRZFFSCMIMC-CYBMUJFWSA-N 1 2 306.410 1.253 20 30 DDEDLO CC#CCN1CC[C@@H](N(C)C(=O)c2ccn(-c3cc[nH+]cc3)n2)C1 ZINC001033254741 749065740 /nfs/dbraw/zinc/06/57/40/749065740.db2.gz GDUCOWDBPMPJSS-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001033273228 749106376 /nfs/dbraw/zinc/10/63/76/749106376.db2.gz VQIRXJSUUSFOBN-CYBMUJFWSA-N 1 2 309.797 1.615 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001033273228 749106379 /nfs/dbraw/zinc/10/63/79/749106379.db2.gz VQIRXJSUUSFOBN-CYBMUJFWSA-N 1 2 309.797 1.615 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115251067 749197467 /nfs/dbraw/zinc/19/74/67/749197467.db2.gz LWPOPQHMFKTGAR-ZIAGYGMSSA-N 1 2 320.437 1.812 20 30 DDEDLO CC(C)C[C@@H](C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21)n1cc[nH+]c1 ZINC001114445457 749220311 /nfs/dbraw/zinc/22/03/11/749220311.db2.gz QWYNYZZRWVXYSA-LJISPDSOSA-N 1 2 301.394 1.040 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C(C)C)c2)C1 ZINC001108339059 761941323 /nfs/dbraw/zinc/94/13/23/761941323.db2.gz QKVZLIPAUJSPOR-INIZCTEOSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C(C)C)c2)C1 ZINC001108339059 761941325 /nfs/dbraw/zinc/94/13/25/761941325.db2.gz QKVZLIPAUJSPOR-INIZCTEOSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)/C(C)=C\CC)nn2)C1 ZINC001107140179 749370556 /nfs/dbraw/zinc/37/05/56/749370556.db2.gz ZWSKEAYITCPNIB-MLPAPPSSSA-N 1 2 303.410 1.683 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CC3=CCCCC3)nn2)C1 ZINC001107146459 749400643 /nfs/dbraw/zinc/40/06/43/749400643.db2.gz KVKUWAJGRKTTSX-UHFFFAOYSA-N 1 2 315.421 1.828 20 30 DDEDLO CN(C(=O)c1nc[nH]n1)[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001033516255 749466297 /nfs/dbraw/zinc/46/62/97/749466297.db2.gz STGXMOMKGFFGQS-OAHLLOKOSA-N 1 2 309.373 1.003 20 30 DDEDLO CN(C(=O)c1nc[nH]n1)[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001033516255 749466302 /nfs/dbraw/zinc/46/63/02/749466302.db2.gz STGXMOMKGFFGQS-OAHLLOKOSA-N 1 2 309.373 1.003 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@H+](Cc3ccn(C)n3)C2)nc1 ZINC001033591840 749576457 /nfs/dbraw/zinc/57/64/57/749576457.db2.gz CJZATOJWIOUAGP-INIZCTEOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3ccn(C)n3)C2)nc1 ZINC001033591840 749576462 /nfs/dbraw/zinc/57/64/62/749576462.db2.gz CJZATOJWIOUAGP-INIZCTEOSA-N 1 2 323.400 1.143 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CCn1ccnn1 ZINC001039366675 761968695 /nfs/dbraw/zinc/96/86/95/761968695.db2.gz VIMAKNBJGCJSDW-KGLIPLIRSA-N 1 2 323.828 1.486 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CCn1ccnn1 ZINC001039366675 761968700 /nfs/dbraw/zinc/96/87/00/761968700.db2.gz VIMAKNBJGCJSDW-KGLIPLIRSA-N 1 2 323.828 1.486 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001033837918 749962143 /nfs/dbraw/zinc/96/21/43/749962143.db2.gz VCKNQNUGBNPPCU-LSDHHAIUSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001033837918 749962147 /nfs/dbraw/zinc/96/21/47/749962147.db2.gz VCKNQNUGBNPPCU-LSDHHAIUSA-N 1 2 300.406 1.386 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3conc3CC)C[C@H]2O)C1 ZINC001077642057 750269002 /nfs/dbraw/zinc/26/90/02/750269002.db2.gz VAJQPXFNGYFYNT-HUUCEWRRSA-N 1 2 319.405 1.255 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3conc3CC)C[C@H]2O)C1 ZINC001077642057 750269007 /nfs/dbraw/zinc/26/90/07/750269007.db2.gz VAJQPXFNGYFYNT-HUUCEWRRSA-N 1 2 319.405 1.255 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cnn(C)n1)C2 ZINC001111028003 750317405 /nfs/dbraw/zinc/31/74/05/750317405.db2.gz CEZXEHFEROXNTG-MCIONIFRSA-N 1 2 323.828 1.222 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cnn(C)n1)C2 ZINC001111028003 750317410 /nfs/dbraw/zinc/31/74/10/750317410.db2.gz CEZXEHFEROXNTG-MCIONIFRSA-N 1 2 323.828 1.222 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc(C)c2)C1 ZINC001107711800 750419268 /nfs/dbraw/zinc/41/92/68/750419268.db2.gz LLPSYQHVQLAODG-SFHVURJKSA-N 1 2 300.402 1.839 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc(C)c2)C1 ZINC001107711800 750419270 /nfs/dbraw/zinc/41/92/70/750419270.db2.gz LLPSYQHVQLAODG-SFHVURJKSA-N 1 2 300.402 1.839 20 30 DDEDLO C[C@H](CNc1nc(Cl)c(C#N)s1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001107899092 750595122 /nfs/dbraw/zinc/59/51/22/750595122.db2.gz BYVVDIPGQJSCBB-SSDOTTSWSA-N 1 2 324.797 1.551 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccsc2C)C1 ZINC001107930329 750662924 /nfs/dbraw/zinc/66/29/24/750662924.db2.gz INIRDHGRSGNAPR-INIZCTEOSA-N 1 2 306.431 1.901 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccsc2C)C1 ZINC001107930329 750662928 /nfs/dbraw/zinc/66/29/28/750662928.db2.gz INIRDHGRSGNAPR-INIZCTEOSA-N 1 2 306.431 1.901 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)c(NC(C)=O)c1 ZINC001032420409 750764875 /nfs/dbraw/zinc/76/48/75/750764875.db2.gz YDFWTBUTPXDQAC-HOTGVXAUSA-N 1 2 311.385 1.485 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)c(NC(C)=O)c1 ZINC001032420409 750764880 /nfs/dbraw/zinc/76/48/80/750764880.db2.gz YDFWTBUTPXDQAC-HOTGVXAUSA-N 1 2 311.385 1.485 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001107943056 750775568 /nfs/dbraw/zinc/77/55/68/750775568.db2.gz ZDDBVBFSYZXPAN-QGZVFWFLSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001107943056 750775572 /nfs/dbraw/zinc/77/55/72/750775572.db2.gz ZDDBVBFSYZXPAN-QGZVFWFLSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001107945384 750789301 /nfs/dbraw/zinc/78/93/01/750789301.db2.gz FKWPIMVWCHGQKP-QGZVFWFLSA-N 1 2 320.437 1.615 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001107945384 750789306 /nfs/dbraw/zinc/78/93/06/750789306.db2.gz FKWPIMVWCHGQKP-QGZVFWFLSA-N 1 2 320.437 1.615 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001032486260 751007620 /nfs/dbraw/zinc/00/76/20/751007620.db2.gz NKAVQVLPVPPVJV-HOTGVXAUSA-N 1 2 321.384 1.394 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001032486260 751007626 /nfs/dbraw/zinc/00/76/26/751007626.db2.gz NKAVQVLPVPPVJV-HOTGVXAUSA-N 1 2 321.384 1.394 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)c1c(C)n[nH]c1C ZINC001032486212 751008287 /nfs/dbraw/zinc/00/82/87/751008287.db2.gz LPAXLXBFTUCMTN-UGFHNGPFSA-N 1 2 300.406 1.438 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)c1c(C)n[nH]c1C ZINC001032486212 751008290 /nfs/dbraw/zinc/00/82/90/751008290.db2.gz LPAXLXBFTUCMTN-UGFHNGPFSA-N 1 2 300.406 1.438 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001114717947 751022350 /nfs/dbraw/zinc/02/23/50/751022350.db2.gz FHGDXIHOLSOTGX-VQFNDLOPSA-N 1 2 314.433 1.643 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001114717947 751022359 /nfs/dbraw/zinc/02/23/59/751022359.db2.gz FHGDXIHOLSOTGX-VQFNDLOPSA-N 1 2 314.433 1.643 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC[C@@H]2C[NH2+]Cc2cnsn2)c[nH]1 ZINC001034911432 751031619 /nfs/dbraw/zinc/03/16/19/751031619.db2.gz CIYVVYJSBIOJJX-CYBMUJFWSA-N 1 2 316.390 1.132 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114755047 751059179 /nfs/dbraw/zinc/05/91/79/751059179.db2.gz KQBMEESDPZVXQC-ATCWAGBWSA-N 1 2 304.394 1.535 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114755047 751059185 /nfs/dbraw/zinc/05/91/85/751059185.db2.gz KQBMEESDPZVXQC-ATCWAGBWSA-N 1 2 304.394 1.535 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2cc(C)ccc2O1 ZINC001032521816 751186069 /nfs/dbraw/zinc/18/60/69/751186069.db2.gz RBVCLWZENLVQBZ-LNLFQRSKSA-N 1 2 324.424 1.997 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2cc(C)ccc2O1 ZINC001032521816 751186073 /nfs/dbraw/zinc/18/60/73/751186073.db2.gz RBVCLWZENLVQBZ-LNLFQRSKSA-N 1 2 324.424 1.997 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)nc2C)C1 ZINC001108415165 762108669 /nfs/dbraw/zinc/10/86/69/762108669.db2.gz CPNJGSGTRODKJG-SFHVURJKSA-N 1 2 315.417 1.542 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)nc2C)C1 ZINC001108415165 762108673 /nfs/dbraw/zinc/10/86/73/762108673.db2.gz CPNJGSGTRODKJG-SFHVURJKSA-N 1 2 315.417 1.542 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(CC[N@H+](Cc3nncs3)C2)CC1 ZINC001035165098 751249887 /nfs/dbraw/zinc/24/98/87/751249887.db2.gz GBQYIWKDXLGDQO-GFCCVEGCSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(CC[N@@H+](Cc3nncs3)C2)CC1 ZINC001035165098 751249888 /nfs/dbraw/zinc/24/98/88/751249888.db2.gz GBQYIWKDXLGDQO-GFCCVEGCSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)CCCn1cc[nH+]c1 ZINC001108420762 762119682 /nfs/dbraw/zinc/11/96/82/762119682.db2.gz JJLCQTYLVBUOIJ-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)[C@@H](C)C(C)C)CC2 ZINC001128053633 752710044 /nfs/dbraw/zinc/71/00/44/752710044.db2.gz BVKIUDUEYGGBBY-KBPBESRZSA-N 1 2 317.437 1.114 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnc(C)o3)C2)c1 ZINC001008470729 752750074 /nfs/dbraw/zinc/75/00/74/752750074.db2.gz WHNWENDACKDNIM-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CCC[N@H+](Cc3cnc(C)o3)C2)c1 ZINC001008470729 752750080 /nfs/dbraw/zinc/75/00/80/752750080.db2.gz WHNWENDACKDNIM-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)n1cnc2ccccc21 ZINC001032713667 752871983 /nfs/dbraw/zinc/87/19/83/752871983.db2.gz OOQXWRSJXYXNKP-ILXRZTDVSA-N 1 2 308.385 1.516 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)n1cnc2ccccc21 ZINC001032713667 752871990 /nfs/dbraw/zinc/87/19/90/752871990.db2.gz OOQXWRSJXYXNKP-ILXRZTDVSA-N 1 2 308.385 1.516 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3cncn3C)C2)nc1 ZINC001009250963 753127740 /nfs/dbraw/zinc/12/77/40/753127740.db2.gz HCUACZIWSPLUQT-HNNXBMFYSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3cncn3C)C2)nc1 ZINC001009250963 753127747 /nfs/dbraw/zinc/12/77/47/753127747.db2.gz HCUACZIWSPLUQT-HNNXBMFYSA-N 1 2 323.400 1.191 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc(F)c2F)C1 ZINC001108165576 753165642 /nfs/dbraw/zinc/16/56/42/753165642.db2.gz IZMCIVIKTPRCBN-INIZCTEOSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc(F)c2F)C1 ZINC001108165576 753165647 /nfs/dbraw/zinc/16/56/47/753165647.db2.gz IZMCIVIKTPRCBN-INIZCTEOSA-N 1 2 310.344 1.972 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)cccc2F)C1 ZINC001108441044 762193575 /nfs/dbraw/zinc/19/35/75/762193575.db2.gz HUNGRAKMNPKYEX-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)cccc2F)C1 ZINC001108441044 762193576 /nfs/dbraw/zinc/19/35/76/762193576.db2.gz HUNGRAKMNPKYEX-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001077926892 753433202 /nfs/dbraw/zinc/43/32/02/753433202.db2.gz GVZCQWMBJUNLOB-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3ccccc3F)CC2)C1 ZINC001077931021 753442636 /nfs/dbraw/zinc/44/26/36/753442636.db2.gz ZPSPJKGLLFAHEY-HZPDHXFCSA-N 1 2 316.376 1.042 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3ccccc3F)CC2)C1 ZINC001077931021 753442642 /nfs/dbraw/zinc/44/26/42/753442642.db2.gz ZPSPJKGLLFAHEY-HZPDHXFCSA-N 1 2 316.376 1.042 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060913685 753454522 /nfs/dbraw/zinc/45/45/22/753454522.db2.gz MHPAZUHQIURCEU-GFCCVEGCSA-N 1 2 310.361 1.179 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001060913629 753454633 /nfs/dbraw/zinc/45/46/33/753454633.db2.gz LFCBSYYOZQBHRS-CYBMUJFWSA-N 1 2 324.388 1.488 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(c2ccccc2)CCCO1 ZINC001032752483 753467673 /nfs/dbraw/zinc/46/76/73/753467673.db2.gz NJUKNKZFVAZFTR-JENIJYKNSA-N 1 2 310.397 1.611 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(c2ccccc2)CCCO1 ZINC001032752483 753467680 /nfs/dbraw/zinc/46/76/80/753467680.db2.gz NJUKNKZFVAZFTR-JENIJYKNSA-N 1 2 310.397 1.611 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1OC(C)C ZINC001032758702 753518512 /nfs/dbraw/zinc/51/85/12/753518512.db2.gz DXBXRQUMDDICKU-GJZGRUSLSA-N 1 2 313.401 1.791 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1OC(C)C ZINC001032758702 753518518 /nfs/dbraw/zinc/51/85/18/753518518.db2.gz DXBXRQUMDDICKU-GJZGRUSLSA-N 1 2 313.401 1.791 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)no1 ZINC001010017498 753546614 /nfs/dbraw/zinc/54/66/14/753546614.db2.gz ADTWFZYXDKRWGF-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)no1 ZINC001010017498 753546621 /nfs/dbraw/zinc/54/66/21/753546621.db2.gz ADTWFZYXDKRWGF-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(C3CCCC3)c2)C1 ZINC001078008508 753627405 /nfs/dbraw/zinc/62/74/05/753627405.db2.gz IICFAZPIHNHICA-QZTJIDSGSA-N 1 2 312.413 1.752 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(C3CCCC3)c2)C1 ZINC001078008508 753627409 /nfs/dbraw/zinc/62/74/09/753627409.db2.gz IICFAZPIHNHICA-QZTJIDSGSA-N 1 2 312.413 1.752 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ncccc1C#N ZINC001039819607 762230137 /nfs/dbraw/zinc/23/01/37/762230137.db2.gz WCSZJNCOMIUQHL-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001108447885 762234280 /nfs/dbraw/zinc/23/42/80/762234280.db2.gz YOUDTRKEJJEEPZ-GOEBONIOSA-N 1 2 308.422 1.196 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001108447885 762234284 /nfs/dbraw/zinc/23/42/84/762234284.db2.gz YOUDTRKEJJEEPZ-GOEBONIOSA-N 1 2 308.422 1.196 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@@H](NC(=O)c3ccn[nH]3)C2)c1 ZINC001010274917 753727253 /nfs/dbraw/zinc/72/72/53/753727253.db2.gz XWZVKHFYIRVGAG-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@@H](NC(=O)c3ccn[nH]3)C2)c1 ZINC001010274917 753727259 /nfs/dbraw/zinc/72/72/59/753727259.db2.gz XWZVKHFYIRVGAG-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)c3ccn[nH]3)C2)ccc1F ZINC001010284149 753732267 /nfs/dbraw/zinc/73/22/67/753732267.db2.gz DVKISUYNSMXURB-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@@H](NC(=O)c3ccn[nH]3)C2)ccc1F ZINC001010284149 753732273 /nfs/dbraw/zinc/73/22/73/753732273.db2.gz DVKISUYNSMXURB-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1)n1cccn1 ZINC001010287518 753735280 /nfs/dbraw/zinc/73/52/80/753735280.db2.gz UDDOZFCIGVPBHU-PBHICJAKSA-N 1 2 323.400 1.706 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1)n1cccn1 ZINC001010287518 753735283 /nfs/dbraw/zinc/73/52/83/753735283.db2.gz UDDOZFCIGVPBHU-PBHICJAKSA-N 1 2 323.400 1.706 20 30 DDEDLO CCn1cc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)cn1 ZINC001010396087 753861318 /nfs/dbraw/zinc/86/13/18/753861318.db2.gz GCXWTAQUPIKCNQ-GOSISDBHSA-N 1 2 322.412 1.759 20 30 DDEDLO CCn1cc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)cn1 ZINC001010396087 753861329 /nfs/dbraw/zinc/86/13/29/753861329.db2.gz GCXWTAQUPIKCNQ-GOSISDBHSA-N 1 2 322.412 1.759 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001010460643 753941537 /nfs/dbraw/zinc/94/15/37/753941537.db2.gz BJDDOIDFKIXFGX-LLVKDONJSA-N 1 2 316.390 1.051 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001010460643 753941539 /nfs/dbraw/zinc/94/15/39/753941539.db2.gz BJDDOIDFKIXFGX-LLVKDONJSA-N 1 2 316.390 1.051 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H](NC(=O)c3ncccc3O)C2)c1 ZINC001010718500 754121563 /nfs/dbraw/zinc/12/15/63/754121563.db2.gz IKVWNUNBRVGLIN-OAHLLOKOSA-N 1 2 322.368 1.663 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H](NC(=O)c3ncccc3O)C2)c1 ZINC001010718500 754121565 /nfs/dbraw/zinc/12/15/65/754121565.db2.gz IKVWNUNBRVGLIN-OAHLLOKOSA-N 1 2 322.368 1.663 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)cnn1 ZINC001010757589 754146149 /nfs/dbraw/zinc/14/61/49/754146149.db2.gz KPOSXOUIWLOGEA-SFHVURJKSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)cnn1 ZINC001010757589 754146154 /nfs/dbraw/zinc/14/61/54/754146154.db2.gz KPOSXOUIWLOGEA-SFHVURJKSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H]2CCCN2C(=O)Cn2cc[nH+]c2)n1 ZINC001063496516 754193071 /nfs/dbraw/zinc/19/30/71/754193071.db2.gz DQCDGKQBFSXKFY-OAHLLOKOSA-N 1 2 324.388 1.561 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@@H]1Nc1ccc(C#N)cn1 ZINC001063801914 754353073 /nfs/dbraw/zinc/35/30/73/754353073.db2.gz DQWBUEVYHBKMQL-DOMZBBRYSA-N 1 2 324.388 1.568 20 30 DDEDLO Cc1nc(NC[C@H]2CCC[C@H]2NC(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001064086319 754492199 /nfs/dbraw/zinc/49/21/99/754492199.db2.gz AYUPUBYRHRCLPX-TZMCWYRMSA-N 1 2 324.388 1.995 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@H]1CC[N@@H+](CC(=C)Cl)C[C@H]1O ZINC001090324413 754927965 /nfs/dbraw/zinc/92/79/65/754927965.db2.gz XZYJMWJNAPRUJI-DZGCQCFKSA-N 1 2 323.824 1.592 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@H]1CC[N@H+](CC(=C)Cl)C[C@H]1O ZINC001090324413 754927969 /nfs/dbraw/zinc/92/79/69/754927969.db2.gz XZYJMWJNAPRUJI-DZGCQCFKSA-N 1 2 323.824 1.592 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2ncc(OC)cn2)CC1 ZINC001000931286 762374173 /nfs/dbraw/zinc/37/41/73/762374173.db2.gz IICMMMIJXDIYMQ-UHFFFAOYSA-N 1 2 322.796 1.600 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2ncc(OC)cn2)CC1 ZINC001000931286 762374176 /nfs/dbraw/zinc/37/41/76/762374176.db2.gz IICMMMIJXDIYMQ-UHFFFAOYSA-N 1 2 322.796 1.600 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)c3ccc(C#N)[nH]3)C[C@H]2C)cc[nH+]1 ZINC001067080156 755762647 /nfs/dbraw/zinc/76/26/47/755762647.db2.gz QCDNMPDCXWKVIZ-YGRLFVJLSA-N 1 2 310.361 1.557 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]2C)cc1 ZINC001080244845 755782335 /nfs/dbraw/zinc/78/23/35/755782335.db2.gz OMNZCUXGXAOEIC-RDTXWAMCSA-N 1 2 322.412 1.652 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]2C)cc1 ZINC001080244845 755782339 /nfs/dbraw/zinc/78/23/39/755782339.db2.gz OMNZCUXGXAOEIC-RDTXWAMCSA-N 1 2 322.412 1.652 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c3c(nn2C)CCCC3)C1 ZINC001014574772 755806404 /nfs/dbraw/zinc/80/64/04/755806404.db2.gz CXTODTZUBSTATM-GFCCVEGCSA-N 1 2 322.840 1.856 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c3c(nn2C)CCCC3)C1 ZINC001014574772 755806409 /nfs/dbraw/zinc/80/64/09/755806409.db2.gz CXTODTZUBSTATM-GFCCVEGCSA-N 1 2 322.840 1.856 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080353545 755866533 /nfs/dbraw/zinc/86/65/33/755866533.db2.gz CPPDQAAGLBLBOL-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO Cc1nsc(NC[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001108485776 762435987 /nfs/dbraw/zinc/43/59/87/762435987.db2.gz LAVDWPQYSLMARY-QMMMGPOBSA-N 1 2 304.379 1.206 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001057038071 762451662 /nfs/dbraw/zinc/45/16/62/762451662.db2.gz BJQGLEMOBGIVIE-HNNXBMFYSA-N 1 2 324.388 1.265 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1cnco1 ZINC001015442784 756304995 /nfs/dbraw/zinc/30/49/95/756304995.db2.gz MQCMNVYIRKWUGB-HNNXBMFYSA-N 1 2 313.332 1.670 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1cnco1 ZINC001015442784 756305000 /nfs/dbraw/zinc/30/50/00/756305000.db2.gz MQCMNVYIRKWUGB-HNNXBMFYSA-N 1 2 313.332 1.670 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC001015604150 756405720 /nfs/dbraw/zinc/40/57/20/756405720.db2.gz HZYCQUHAFYMFNK-STQMWFEESA-N 1 2 313.829 1.329 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC001015604150 756405721 /nfs/dbraw/zinc/40/57/21/756405721.db2.gz HZYCQUHAFYMFNK-STQMWFEESA-N 1 2 313.829 1.329 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)O1 ZINC001015728334 756500476 /nfs/dbraw/zinc/50/04/76/756500476.db2.gz BNZRCHIIKYKQOD-KBRIMQKVSA-N 1 2 313.401 1.816 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)O1 ZINC001015728334 756500477 /nfs/dbraw/zinc/50/04/77/756500477.db2.gz BNZRCHIIKYKQOD-KBRIMQKVSA-N 1 2 313.401 1.816 20 30 DDEDLO C[C@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001040272247 762471810 /nfs/dbraw/zinc/47/18/10/762471810.db2.gz XBQBMBVSHOCVRK-WFASDCNBSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001040272247 762471818 /nfs/dbraw/zinc/47/18/18/762471818.db2.gz XBQBMBVSHOCVRK-WFASDCNBSA-N 1 2 324.388 1.710 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cc(F)ccc3[nH]2)[C@H](OC)C1 ZINC001082008351 756593543 /nfs/dbraw/zinc/59/35/43/756593543.db2.gz FLBZQUSBUSWJCR-HZPDHXFCSA-N 1 2 315.348 1.369 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3cc(F)ccc3[nH]2)[C@H](OC)C1 ZINC001082008351 756593546 /nfs/dbraw/zinc/59/35/46/756593546.db2.gz FLBZQUSBUSWJCR-HZPDHXFCSA-N 1 2 315.348 1.369 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)ccc2Cl)[C@H](OC)C1 ZINC001082167136 756658149 /nfs/dbraw/zinc/65/81/49/756658149.db2.gz BGCDXVDPYMKCSI-HUUCEWRRSA-N 1 2 324.783 1.931 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)ccc2Cl)[C@H](OC)C1 ZINC001082167136 756658151 /nfs/dbraw/zinc/65/81/51/756658151.db2.gz BGCDXVDPYMKCSI-HUUCEWRRSA-N 1 2 324.783 1.931 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sc(CC)nc2C)[C@H](OC)C1 ZINC001082231340 756704690 /nfs/dbraw/zinc/70/46/90/756704690.db2.gz KQKDGRWOHFALJY-VXGBXAGGSA-N 1 2 309.435 1.629 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sc(CC)nc2C)[C@H](OC)C1 ZINC001082231340 756704693 /nfs/dbraw/zinc/70/46/93/756704693.db2.gz KQKDGRWOHFALJY-VXGBXAGGSA-N 1 2 309.435 1.629 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C[C@H](F)CC)C[C@H]2OC)nc1 ZINC001082333478 756735370 /nfs/dbraw/zinc/73/53/70/756735370.db2.gz KRBLBLSOOJZLLO-FVQBIDKESA-N 1 2 319.380 1.240 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C[C@H](F)CC)C[C@H]2OC)nc1 ZINC001082333478 756735373 /nfs/dbraw/zinc/73/53/73/756735373.db2.gz KRBLBLSOOJZLLO-FVQBIDKESA-N 1 2 319.380 1.240 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001016105415 756763962 /nfs/dbraw/zinc/76/39/62/756763962.db2.gz VBZXJMLWWBVFNI-ZIAGYGMSSA-N 1 2 305.809 1.739 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001016105415 756763966 /nfs/dbraw/zinc/76/39/66/756763966.db2.gz VBZXJMLWWBVFNI-ZIAGYGMSSA-N 1 2 305.809 1.739 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncccc2CC)[C@@H](O)C1 ZINC001090373988 756822407 /nfs/dbraw/zinc/82/24/07/756822407.db2.gz KVZHBCBXFCIWEL-KBPBESRZSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncccc2CC)[C@@H](O)C1 ZINC001090373988 756822414 /nfs/dbraw/zinc/82/24/14/756822414.db2.gz KVZHBCBXFCIWEL-KBPBESRZSA-N 1 2 323.824 1.562 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](CC(C)C)OC)C1 ZINC001108192734 756922963 /nfs/dbraw/zinc/92/29/63/756922963.db2.gz RXEKLKRTBWVJNF-DOTOQJQBSA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](CC(C)C)OC)C1 ZINC001108192734 756922967 /nfs/dbraw/zinc/92/29/67/756922967.db2.gz RXEKLKRTBWVJNF-DOTOQJQBSA-N 1 2 310.438 1.278 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](NC(=O)[C@@H](C)C#N)C2)c2c([nH+]1)CCCC2 ZINC001097164943 756960675 /nfs/dbraw/zinc/96/06/75/756960675.db2.gz SRFZKLKBMVTGRW-WCFLWFBJSA-N 1 2 313.405 1.883 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4n[nH]cc43)[C@H]2C1 ZINC001083159051 757168940 /nfs/dbraw/zinc/16/89/40/757168940.db2.gz FXCFAWVZUZENKL-DLBZAZTESA-N 1 2 324.384 1.111 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4n[nH]cc43)[C@H]2C1 ZINC001083159051 757168941 /nfs/dbraw/zinc/16/89/41/757168941.db2.gz FXCFAWVZUZENKL-DLBZAZTESA-N 1 2 324.384 1.111 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3cccc(F)c3o2)[C@@H](O)C1 ZINC001084077545 757253319 /nfs/dbraw/zinc/25/33/19/757253319.db2.gz ZZZBFKLNWSDWLZ-KGLIPLIRSA-N 1 2 316.332 1.370 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cccc(F)c3o2)[C@@H](O)C1 ZINC001084077545 757253323 /nfs/dbraw/zinc/25/33/23/757253323.db2.gz ZZZBFKLNWSDWLZ-KGLIPLIRSA-N 1 2 316.332 1.370 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CC23CCN(CC#N)CC3)c[nH+]1 ZINC001016682371 757263079 /nfs/dbraw/zinc/26/30/79/757263079.db2.gz PKPJTJDMKQYVLX-CQSZACIVSA-N 1 2 315.421 1.421 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CC[C@@H]2CN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001084333960 757495592 /nfs/dbraw/zinc/49/55/92/757495592.db2.gz IULYJHDKJWRVBC-FRFSOERESA-N 1 2 310.401 1.484 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CC[C@@H]2CN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001084333960 757495598 /nfs/dbraw/zinc/49/55/98/757495598.db2.gz IULYJHDKJWRVBC-FRFSOERESA-N 1 2 310.401 1.484 20 30 DDEDLO CC(C)(C(=O)N1CC[C@@]2(C1)CCCN(CC#N)C2)c1c[nH+]c[nH]1 ZINC001040492530 762560279 /nfs/dbraw/zinc/56/02/79/762560279.db2.gz CEHHONNPYCSNNO-KRWDZBQOSA-N 1 2 315.421 1.525 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cn3nc(C)cc3C)[C@@H]2C1 ZINC001084502364 757622282 /nfs/dbraw/zinc/62/22/82/757622282.db2.gz PDENYAXZYUAIHV-HUUCEWRRSA-N 1 2 322.840 1.785 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cn3nc(C)cc3C)[C@@H]2C1 ZINC001084502364 757622285 /nfs/dbraw/zinc/62/22/85/757622285.db2.gz PDENYAXZYUAIHV-HUUCEWRRSA-N 1 2 322.840 1.785 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccnn3C)C[C@H]21 ZINC001084578118 757679422 /nfs/dbraw/zinc/67/94/22/757679422.db2.gz LAHBTHBIBHCJKA-UKRRQHHQSA-N 1 2 302.422 1.665 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@H+](Cc3ccnn3C)C[C@H]21 ZINC001084578118 757679427 /nfs/dbraw/zinc/67/94/27/757679427.db2.gz LAHBTHBIBHCJKA-UKRRQHHQSA-N 1 2 302.422 1.665 20 30 DDEDLO C=CCN1CC[C@@H]2CN(C(=O)c3occc3C[NH+](C)C)[C@@H]2C1 ZINC001084614231 757709810 /nfs/dbraw/zinc/70/98/10/757709810.db2.gz LETPQHMEGHIDJP-UKRRQHHQSA-N 1 2 303.406 1.674 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(c2ccccn2)CC1 ZINC001017551330 758019412 /nfs/dbraw/zinc/01/94/12/758019412.db2.gz OLEWLBHUBFZPLZ-IYBDPMFKSA-N 1 2 309.413 1.812 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(c2ccccn2)CC1 ZINC001017551330 758019419 /nfs/dbraw/zinc/01/94/19/758019419.db2.gz OLEWLBHUBFZPLZ-IYBDPMFKSA-N 1 2 309.413 1.812 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1[C@H](C)CC ZINC001017590391 758058232 /nfs/dbraw/zinc/05/82/32/758058232.db2.gz WEUPAHXFXZVABD-KFWWJZLASA-N 1 2 300.406 1.776 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1[C@H](C)CC ZINC001017590391 758058241 /nfs/dbraw/zinc/05/82/41/758058241.db2.gz WEUPAHXFXZVABD-KFWWJZLASA-N 1 2 300.406 1.776 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C2CC2)nn1CC ZINC001017644470 758113631 /nfs/dbraw/zinc/11/36/31/758113631.db2.gz GZRBXXLMNYMUKE-GASCZTMLSA-N 1 2 312.417 1.702 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C2CC2)nn1CC ZINC001017644470 758113635 /nfs/dbraw/zinc/11/36/35/758113635.db2.gz GZRBXXLMNYMUKE-GASCZTMLSA-N 1 2 312.417 1.702 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2n(n1)CCCC2 ZINC001017644058 758114009 /nfs/dbraw/zinc/11/40/09/758114009.db2.gz AQOZISACNMFTNG-IYBDPMFKSA-N 1 2 312.417 1.532 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2n(n1)CCCC2 ZINC001017644058 758114016 /nfs/dbraw/zinc/11/40/16/758114016.db2.gz AQOZISACNMFTNG-IYBDPMFKSA-N 1 2 312.417 1.532 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001053064245 758121715 /nfs/dbraw/zinc/12/17/15/758121715.db2.gz CWHWQXXBSWGFKA-CABCVRRESA-N 1 2 318.421 1.450 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@@H]2C)n1 ZINC001067208398 758258948 /nfs/dbraw/zinc/25/89/48/758258948.db2.gz RZAOWRKRAXDWSG-NHYWBVRUSA-N 1 2 324.388 1.486 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCO[C@H]1C(C)C)CCO2 ZINC001053281991 758336727 /nfs/dbraw/zinc/33/67/27/758336727.db2.gz FGFWYGRKFPOFIK-HOTGVXAUSA-N 1 2 322.449 1.537 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCC1(F)F)CCO2 ZINC001053302091 758355641 /nfs/dbraw/zinc/35/56/41/758355641.db2.gz AQSMTQRUXASTGB-GFCCVEGCSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnn(C)c1Cl)CCO2 ZINC001053310312 758363678 /nfs/dbraw/zinc/36/36/78/758363678.db2.gz YLGIAEUEMCCLOI-UHFFFAOYSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CCOC3(C[NH+](CC)C3)C2)cc1 ZINC001053312226 758365072 /nfs/dbraw/zinc/36/50/72/758365072.db2.gz LTZYYLGFOPYNBJ-UHFFFAOYSA-N 1 2 316.401 1.798 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C3CC3)n[nH]1)CCO2 ZINC001053442282 758463689 /nfs/dbraw/zinc/46/36/89/758463689.db2.gz IBZDQPWKHMMTEC-UHFFFAOYSA-N 1 2 316.405 1.390 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cn1cc(Cl)cn1)CCO2 ZINC001053450663 758469865 /nfs/dbraw/zinc/46/98/65/758469865.db2.gz LHUZURPOQOEIKK-UHFFFAOYSA-N 1 2 324.812 1.026 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ncoc1C1CC1)CCO2 ZINC001053496887 758502554 /nfs/dbraw/zinc/50/25/54/758502554.db2.gz RJBWEJWFIIRLIE-UHFFFAOYSA-N 1 2 317.389 1.655 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ncc2ccccc21 ZINC001018073705 758525061 /nfs/dbraw/zinc/52/50/61/758525061.db2.gz UQVAUFBIAMHHPZ-IYBDPMFKSA-N 1 2 308.385 1.345 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ncc2ccccc21 ZINC001018073705 758525065 /nfs/dbraw/zinc/52/50/65/758525065.db2.gz UQVAUFBIAMHHPZ-IYBDPMFKSA-N 1 2 308.385 1.345 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1NC(=O)c2ccccc21 ZINC001018084975 758536184 /nfs/dbraw/zinc/53/61/84/758536184.db2.gz CRXOPXGVGXSPJY-VBQJREDUSA-N 1 2 323.396 1.170 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1NC(=O)c2ccccc21 ZINC001018084975 758536189 /nfs/dbraw/zinc/53/61/89/758536189.db2.gz CRXOPXGVGXSPJY-VBQJREDUSA-N 1 2 323.396 1.170 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnc(C)cn1)O2 ZINC001053564529 758570774 /nfs/dbraw/zinc/57/07/74/758570774.db2.gz CCWPPOQFMQVPJO-AWEZNQCLSA-N 1 2 316.405 1.324 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)CCN2C ZINC001018142568 758577126 /nfs/dbraw/zinc/57/71/26/758577126.db2.gz PIAYWVPHHMQFTB-CALCHBBNSA-N 1 2 309.413 1.601 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)CCN2C ZINC001018142568 758577129 /nfs/dbraw/zinc/57/71/29/758577129.db2.gz PIAYWVPHHMQFTB-CALCHBBNSA-N 1 2 309.413 1.601 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCO[C@@H](CC)C1 ZINC001018156660 758586831 /nfs/dbraw/zinc/58/68/31/758586831.db2.gz NKARHBDHQLRAKH-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCO[C@@H](CC)C1 ZINC001018156660 758586836 /nfs/dbraw/zinc/58/68/36/758586836.db2.gz NKARHBDHQLRAKH-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(CCC)n[nH]1)O2 ZINC001053642095 758655775 /nfs/dbraw/zinc/65/57/75/758655775.db2.gz WPWGWNLBDMJKAQ-AWEZNQCLSA-N 1 2 318.421 1.511 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1[nH]c(C)nc1C)O2 ZINC001053660599 758674179 /nfs/dbraw/zinc/67/41/79/758674179.db2.gz LRVYEVWHPNWVNO-AWEZNQCLSA-N 1 2 318.421 1.566 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1[nH]nc2ccccc21 ZINC001018301389 758712231 /nfs/dbraw/zinc/71/22/31/758712231.db2.gz QCPGBRGOYZFJNK-OKILXGFUSA-N 1 2 308.385 1.414 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1[nH]nc2ccccc21 ZINC001018301389 758712235 /nfs/dbraw/zinc/71/22/35/758712235.db2.gz QCPGBRGOYZFJNK-OKILXGFUSA-N 1 2 308.385 1.414 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065711179 758728234 /nfs/dbraw/zinc/72/82/34/758728234.db2.gz IYQHIKAWYGXOPO-CVEARBPZSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108550779 762654722 /nfs/dbraw/zinc/65/47/22/762654722.db2.gz XQWUEGFQHXBXES-NSHDSACASA-N 1 2 302.382 1.636 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(OC)ns1)CO2 ZINC001053804676 758832711 /nfs/dbraw/zinc/83/27/11/758832711.db2.gz BUTFQGXTTRRYGJ-NSHDSACASA-N 1 2 323.418 1.301 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)cc(C)n1)CO2 ZINC001053855863 758888225 /nfs/dbraw/zinc/88/82/25/758888225.db2.gz WSSOGGJPDSKVQB-HNNXBMFYSA-N 1 2 313.401 1.295 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccccc1CC)CO2 ZINC001053906971 758940554 /nfs/dbraw/zinc/94/05/54/758940554.db2.gz LRKUUCGSXBKXPO-MRXNPFEDSA-N 1 2 312.413 1.845 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1occc1CC)CO2 ZINC001053915750 758950567 /nfs/dbraw/zinc/95/05/67/758950567.db2.gz RKAOJQPWZYLEAR-AWEZNQCLSA-N 1 2 302.374 1.438 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnn(CC)c1C)CO2 ZINC001053964178 758998809 /nfs/dbraw/zinc/99/88/09/758998809.db2.gz BMQMNCMTNBJKBC-AWEZNQCLSA-N 1 2 318.421 1.361 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(F)cccc1F)CO2 ZINC001053992760 759040020 /nfs/dbraw/zinc/04/00/20/759040020.db2.gz YXUUFUDDHKZINY-GFCCVEGCSA-N 1 2 320.339 1.561 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001054043724 759101471 /nfs/dbraw/zinc/10/14/71/759101471.db2.gz HZAYUOMEMWYJLO-OAHLLOKOSA-N 1 2 324.384 1.214 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001054043724 759101477 /nfs/dbraw/zinc/10/14/77/759101477.db2.gz HZAYUOMEMWYJLO-OAHLLOKOSA-N 1 2 324.384 1.214 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C/C=C(/C)C=C)CC2=O)C1 ZINC001108563698 762690167 /nfs/dbraw/zinc/69/01/67/762690167.db2.gz SBNNOUMAZWIZQR-CNTYAEFGSA-N 1 2 303.406 1.096 20 30 DDEDLO CCc1cnc(C[NH2+][C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001018739183 759208641 /nfs/dbraw/zinc/20/86/41/759208641.db2.gz YOMGDTWVRAETQP-LBPRGKRZSA-N 1 2 313.361 1.441 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cn(C)c(=O)[nH]3)cc2C1 ZINC001054279772 759388360 /nfs/dbraw/zinc/38/83/60/759388360.db2.gz MWQAMHBVFUJXDK-UHFFFAOYSA-N 1 2 310.357 1.005 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cn(C)c(=O)[nH]3)cc2C1 ZINC001054279772 759388370 /nfs/dbraw/zinc/38/83/70/759388370.db2.gz MWQAMHBVFUJXDK-UHFFFAOYSA-N 1 2 310.357 1.005 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccnc(C)n3)cc2C1 ZINC001054291717 759398320 /nfs/dbraw/zinc/39/83/20/759398320.db2.gz DGSILDKMIWVUPK-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccnc(C)n3)cc2C1 ZINC001054291717 759398326 /nfs/dbraw/zinc/39/83/26/759398326.db2.gz DGSILDKMIWVUPK-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)Cn1cc[nH+]c1 ZINC001069117407 767858699 /nfs/dbraw/zinc/85/86/99/767858699.db2.gz YDXPDDBQOARWGY-JSGCOSHPSA-N 1 2 310.361 1.251 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H](Nc3cc[nH+]c(C)n3)C[C@@H]2C)nc1 ZINC001069124972 767862810 /nfs/dbraw/zinc/86/28/10/767862810.db2.gz XEYWRKKKCAVSBE-SWLSCSKDSA-N 1 2 321.384 1.876 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@H]2CN(C)C(=O)c2cc(C#N)c[nH]2)o1 ZINC001085534651 759646158 /nfs/dbraw/zinc/64/61/58/759646158.db2.gz QAKCVBJBZXRWOO-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@H]2CN(C)C(=O)c2cc(C#N)c[nH]2)o1 ZINC001085534651 759646160 /nfs/dbraw/zinc/64/61/60/759646160.db2.gz QAKCVBJBZXRWOO-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1oncc1C[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553728 759683102 /nfs/dbraw/zinc/68/31/02/759683102.db2.gz WLXSIDBINAQRAR-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1oncc1C[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553728 759683108 /nfs/dbraw/zinc/68/31/08/759683108.db2.gz WLXSIDBINAQRAR-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CCN(C(=O)Cc1[nH]cc[nH+]1)C2 ZINC001019255306 759726639 /nfs/dbraw/zinc/72/66/39/759726639.db2.gz IEUBDSSPYGEWMB-UHFFFAOYSA-N 1 2 316.405 1.225 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1coc(Br)c1 ZINC001085626233 759893228 /nfs/dbraw/zinc/89/32/28/759893228.db2.gz JMTJLXNKWMEJCM-LLVKDONJSA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1coc(Br)c1 ZINC001085626233 759893237 /nfs/dbraw/zinc/89/32/37/759893237.db2.gz JMTJLXNKWMEJCM-LLVKDONJSA-N 1 2 311.179 1.822 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(C(C)(C)C)nn1 ZINC001085634408 759898553 /nfs/dbraw/zinc/89/85/53/759898553.db2.gz PUWVVSGQKIJTEQ-ZDUSSCGKSA-N 1 2 303.410 1.203 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(C(C)(C)C)nn1 ZINC001085634408 759898562 /nfs/dbraw/zinc/89/85/62/759898562.db2.gz PUWVVSGQKIJTEQ-ZDUSSCGKSA-N 1 2 303.410 1.203 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2conc2COC)C1 ZINC001046766142 767904088 /nfs/dbraw/zinc/90/40/88/767904088.db2.gz QAQKNCYTPSQSOX-CQSZACIVSA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2conc2COC)C1 ZINC001046766142 767904093 /nfs/dbraw/zinc/90/40/93/767904093.db2.gz QAQKNCYTPSQSOX-CQSZACIVSA-N 1 2 313.785 1.768 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc2onc(C)c2c1 ZINC001085756044 760174808 /nfs/dbraw/zinc/17/48/08/760174808.db2.gz YDJIXRWVJWSGKB-AWEZNQCLSA-N 1 2 312.373 1.701 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc2onc(C)c2c1 ZINC001085756044 760174810 /nfs/dbraw/zinc/17/48/10/760174810.db2.gz YDJIXRWVJWSGKB-AWEZNQCLSA-N 1 2 312.373 1.701 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnnn1-c1ccccc1 ZINC001085845131 760357828 /nfs/dbraw/zinc/35/78/28/760357828.db2.gz GBGBWRXJLQUUBL-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnnn1-c1ccccc1 ZINC001085845131 760357838 /nfs/dbraw/zinc/35/78/38/760357838.db2.gz GBGBWRXJLQUUBL-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CC(F)(F)C(F)F)C1 ZINC001108215345 760397927 /nfs/dbraw/zinc/39/79/27/760397927.db2.gz DSYFQKPTNSBWNF-GFCCVEGCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CC(F)(F)C(F)F)C1 ZINC001108215345 760397932 /nfs/dbraw/zinc/39/79/32/760397932.db2.gz DSYFQKPTNSBWNF-GFCCVEGCSA-N 1 2 312.307 1.670 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnn1C1CCOCC1 ZINC001085862548 760404288 /nfs/dbraw/zinc/40/42/88/760404288.db2.gz CIEVYHAGFPGVAN-HNNXBMFYSA-N 1 2 316.405 1.014 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnn1C1CCOCC1 ZINC001085862548 760404291 /nfs/dbraw/zinc/40/42/91/760404291.db2.gz CIEVYHAGFPGVAN-HNNXBMFYSA-N 1 2 316.405 1.014 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH+]cn1C)Nc1ccc(C#N)nc1 ZINC001097985407 760466787 /nfs/dbraw/zinc/46/67/87/760466787.db2.gz OJMYWIMXUNOMCR-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ocnc2C(F)F)C1 ZINC001108219898 760467635 /nfs/dbraw/zinc/46/76/35/760467635.db2.gz KLMMRYKKUSJUGE-CQSZACIVSA-N 1 2 315.320 1.619 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ocnc2C(F)F)C1 ZINC001108219898 760467638 /nfs/dbraw/zinc/46/76/38/760467638.db2.gz KLMMRYKKUSJUGE-CQSZACIVSA-N 1 2 315.320 1.619 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1noc2c1C[C@H](C)CC2 ZINC001085901270 760494099 /nfs/dbraw/zinc/49/40/99/760494099.db2.gz AYDBYLHVRSXFAR-KGLIPLIRSA-N 1 2 315.417 1.969 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1noc2c1C[C@H](C)CC2 ZINC001085901270 760494103 /nfs/dbraw/zinc/49/41/03/760494103.db2.gz AYDBYLHVRSXFAR-KGLIPLIRSA-N 1 2 315.417 1.969 20 30 DDEDLO N#Cc1ccc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)Cc2c[nH]c[nH+]2)C3)nc1 ZINC001055455831 760503485 /nfs/dbraw/zinc/50/34/85/760503485.db2.gz HCBWWKHYYXPMFE-KFWWJZLASA-N 1 2 322.372 1.145 20 30 DDEDLO C#CCOc1ncccc1C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CC ZINC001085932926 760562845 /nfs/dbraw/zinc/56/28/45/760562845.db2.gz LXBROKDQBDLBPR-HNNXBMFYSA-N 1 2 311.385 1.263 20 30 DDEDLO C#CCOc1ncccc1C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CC ZINC001085932926 760562849 /nfs/dbraw/zinc/56/28/49/760562849.db2.gz LXBROKDQBDLBPR-HNNXBMFYSA-N 1 2 311.385 1.263 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2ocnc2C)nc1 ZINC001085933866 760564041 /nfs/dbraw/zinc/56/40/41/760564041.db2.gz MOMDBFBPBRXGEW-HNNXBMFYSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2ocnc2C)nc1 ZINC001085933866 760564044 /nfs/dbraw/zinc/56/40/44/760564044.db2.gz MOMDBFBPBRXGEW-HNNXBMFYSA-N 1 2 324.384 1.706 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(Cc3ccc(C#N)c(F)c3)C2)CCO1 ZINC001139528973 760566616 /nfs/dbraw/zinc/56/66/16/760566616.db2.gz ACTZCQJOOIAXPI-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO CC1(C)C[N@H+](C2CN(Cc3ccc(C#N)c(F)c3)C2)CCO1 ZINC001139528973 760566621 /nfs/dbraw/zinc/56/66/21/760566621.db2.gz ACTZCQJOOIAXPI-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001066379157 760926461 /nfs/dbraw/zinc/92/64/61/760926461.db2.gz RVJQLKZIWWPYMO-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)/C=C/C(C)(C)C)CC1 ZINC001131339805 767998205 /nfs/dbraw/zinc/99/82/05/767998205.db2.gz QQAOAIRAVRILAK-SOFGYWHQSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)/C=C/C(C)(C)C)CC1 ZINC001131339805 767998211 /nfs/dbraw/zinc/99/82/11/767998211.db2.gz QQAOAIRAVRILAK-SOFGYWHQSA-N 1 2 307.438 1.425 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2CC(=O)N2CCCC2)CC1 ZINC001038659773 761259158 /nfs/dbraw/zinc/25/91/58/761259158.db2.gz BEDOXOVEPGAMGV-MRXNPFEDSA-N 1 2 319.449 1.546 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2CC(=O)N2CCCC2)CC1 ZINC001038659773 761259161 /nfs/dbraw/zinc/25/91/61/761259161.db2.gz BEDOXOVEPGAMGV-MRXNPFEDSA-N 1 2 319.449 1.546 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CNC(=O)c1cc(CN2CCCC2)on1 ZINC001038706410 761308180 /nfs/dbraw/zinc/30/81/80/761308180.db2.gz TYXSLEPPRWUBFE-AWEZNQCLSA-N 1 2 316.405 1.098 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1cc(CN2CCCC2)on1 ZINC001038706410 761308190 /nfs/dbraw/zinc/30/81/90/761308190.db2.gz TYXSLEPPRWUBFE-AWEZNQCLSA-N 1 2 316.405 1.098 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(-c2cccs2)n[nH]1 ZINC001038721391 761327937 /nfs/dbraw/zinc/32/79/37/761327937.db2.gz XUUCLHCPOOOZBV-LLVKDONJSA-N 1 2 300.387 1.576 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(-c2cccs2)n[nH]1 ZINC001038721391 761327943 /nfs/dbraw/zinc/32/79/43/761327943.db2.gz XUUCLHCPOOOZBV-LLVKDONJSA-N 1 2 300.387 1.576 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001056687765 761344084 /nfs/dbraw/zinc/34/40/84/761344084.db2.gz QCMNYJKCOABVJX-CYBMUJFWSA-N 1 2 310.361 1.240 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ocnc1C ZINC001038798791 761417981 /nfs/dbraw/zinc/41/79/81/761417981.db2.gz SKMSHGFRLDDZKV-DZKIICNBSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1ocnc1C ZINC001038798791 761417985 /nfs/dbraw/zinc/41/79/85/761417985.db2.gz SKMSHGFRLDDZKV-DZKIICNBSA-N 1 2 319.405 1.655 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038813133 761429448 /nfs/dbraw/zinc/42/94/48/761429448.db2.gz AAFYHJGNYZFAQG-INIZCTEOSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038813133 761429454 /nfs/dbraw/zinc/42/94/54/761429454.db2.gz AAFYHJGNYZFAQG-INIZCTEOSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1cnoc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038871683 761495762 /nfs/dbraw/zinc/49/57/62/761495762.db2.gz HSUSZOQDSCCGEA-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cnoc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038871683 761495765 /nfs/dbraw/zinc/49/57/65/761495765.db2.gz HSUSZOQDSCCGEA-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001038900836 761525006 /nfs/dbraw/zinc/52/50/06/761525006.db2.gz UDPGQSZFBKPPML-ZDUSSCGKSA-N 1 2 300.362 1.670 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001038900836 761525009 /nfs/dbraw/zinc/52/50/09/761525009.db2.gz UDPGQSZFBKPPML-ZDUSSCGKSA-N 1 2 300.362 1.670 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001000231843 761589854 /nfs/dbraw/zinc/58/98/54/761589854.db2.gz LRSRQPOBZDDVNJ-MCIONIFRSA-N 1 2 320.393 1.050 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(F)c(NC(C)=O)c1 ZINC001039060798 761700822 /nfs/dbraw/zinc/70/08/22/761700822.db2.gz MSMTYRGYMIJWAI-AWEZNQCLSA-N 1 2 317.364 1.612 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(F)c(NC(C)=O)c1 ZINC001039060798 761700827 /nfs/dbraw/zinc/70/08/27/761700827.db2.gz MSMTYRGYMIJWAI-AWEZNQCLSA-N 1 2 317.364 1.612 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001039100141 761739396 /nfs/dbraw/zinc/73/93/96/761739396.db2.gz XLCDNLOXVDLORI-AWEZNQCLSA-N 1 2 317.364 1.612 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001039100141 761739400 /nfs/dbraw/zinc/73/94/00/761739400.db2.gz XLCDNLOXVDLORI-AWEZNQCLSA-N 1 2 317.364 1.612 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001129353227 762809320 /nfs/dbraw/zinc/80/93/20/762809320.db2.gz HFQPZHZJTUEVEI-XHSDSOJGSA-N 1 2 317.437 1.325 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001129353227 762809328 /nfs/dbraw/zinc/80/93/28/762809328.db2.gz HFQPZHZJTUEVEI-XHSDSOJGSA-N 1 2 317.437 1.325 20 30 DDEDLO N#CCN1C[C@@H]2CCCN(C(=O)c3cccc4[nH+]ccn43)[C@@H]2C1 ZINC001050094405 763126146 /nfs/dbraw/zinc/12/61/46/763126146.db2.gz UEVNAHNDZSBKCL-DZGCQCFKSA-N 1 2 309.373 1.394 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)CC(C)C)CC1 ZINC001131391744 768110145 /nfs/dbraw/zinc/11/01/45/768110145.db2.gz YGKBBTPYGKNTDP-HNNXBMFYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)CC(C)C)CC1 ZINC001131391744 768110150 /nfs/dbraw/zinc/11/01/50/768110150.db2.gz YGKBBTPYGKNTDP-HNNXBMFYSA-N 1 2 309.454 1.505 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)C)C2 ZINC001109246044 763508126 /nfs/dbraw/zinc/50/81/26/763508126.db2.gz MMYADQCNBVTRGM-WCVJEAGWSA-N 1 2 319.449 1.282 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)C)C2 ZINC001109246044 763508134 /nfs/dbraw/zinc/50/81/34/763508134.db2.gz MMYADQCNBVTRGM-WCVJEAGWSA-N 1 2 319.449 1.282 20 30 DDEDLO Cc1nccnc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109257899 763524308 /nfs/dbraw/zinc/52/43/08/763524308.db2.gz RPDPXHHAZAWHFI-VYDXJSESSA-N 1 2 310.401 1.420 20 30 DDEDLO Cc1nccnc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109257899 763524311 /nfs/dbraw/zinc/52/43/11/763524311.db2.gz RPDPXHHAZAWHFI-VYDXJSESSA-N 1 2 310.401 1.420 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)c3n[nH]cc3F)C[C@H]21 ZINC001042219036 763781866 /nfs/dbraw/zinc/78/18/66/763781866.db2.gz OEFWFYSKNWQCFK-ZYHUDNBSSA-N 1 2 312.776 1.838 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)c3n[nH]cc3F)C[C@H]21 ZINC001042219036 763781869 /nfs/dbraw/zinc/78/18/69/763781869.db2.gz OEFWFYSKNWQCFK-ZYHUDNBSSA-N 1 2 312.776 1.838 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109633312 763895988 /nfs/dbraw/zinc/89/59/88/763895988.db2.gz WOVXIMSANDIRMK-FZKCQIBNSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109633312 763895999 /nfs/dbraw/zinc/89/59/99/763895999.db2.gz WOVXIMSANDIRMK-FZKCQIBNSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(C)C ZINC001109769814 764018823 /nfs/dbraw/zinc/01/88/23/764018823.db2.gz WCXRPFYWJIYYRK-ILXRZTDVSA-N 1 2 321.465 1.835 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC(C)C ZINC001109769814 764018832 /nfs/dbraw/zinc/01/88/32/764018832.db2.gz WCXRPFYWJIYYRK-ILXRZTDVSA-N 1 2 321.465 1.835 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](CNc1ncccc1C#N)C1CC1 ZINC001109881979 764156984 /nfs/dbraw/zinc/15/69/84/764156984.db2.gz MJCIZLJYPWQJBY-OAHLLOKOSA-N 1 2 324.388 1.534 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1nccc(C)n1 ZINC001050897490 764250965 /nfs/dbraw/zinc/25/09/65/764250965.db2.gz IDGSBBWVQVGQKI-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1nccc(C)n1 ZINC001050897490 764250969 /nfs/dbraw/zinc/25/09/69/764250969.db2.gz IDGSBBWVQVGQKI-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C[C@H]1CN(c2ccc(C#N)nc2)CC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067402357 764258539 /nfs/dbraw/zinc/25/85/39/764258539.db2.gz HJOUNLRURDOVHQ-XJKSGUPXSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C2CCC2)c1 ZINC001050919634 764281082 /nfs/dbraw/zinc/28/10/82/764281082.db2.gz OLYLQWVOKMYDKH-INIZCTEOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C2CCC2)c1 ZINC001050919634 764281087 /nfs/dbraw/zinc/28/10/87/764281087.db2.gz OLYLQWVOKMYDKH-INIZCTEOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCO[C@H]1C(C)C ZINC001050924340 764287698 /nfs/dbraw/zinc/28/76/98/764287698.db2.gz DYWGVTAYUTTWCO-ULQDDVLXSA-N 1 2 324.465 1.831 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCO[C@H]1C(C)C ZINC001050924340 764287704 /nfs/dbraw/zinc/28/77/04/764287704.db2.gz DYWGVTAYUTTWCO-ULQDDVLXSA-N 1 2 324.465 1.831 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C)c(C)n2)[C@H](O)C1 ZINC001090434637 764332524 /nfs/dbraw/zinc/33/25/24/764332524.db2.gz AKVNLYAMGKEUOA-DZGCQCFKSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C)c(C)n2)[C@H](O)C1 ZINC001090434637 764332526 /nfs/dbraw/zinc/33/25/26/764332526.db2.gz AKVNLYAMGKEUOA-DZGCQCFKSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnc(C2CC2)o1 ZINC001050958059 764349752 /nfs/dbraw/zinc/34/97/52/764349752.db2.gz QYOMOQCGWPEUDT-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnc(C2CC2)o1 ZINC001050958059 764349755 /nfs/dbraw/zinc/34/97/55/764349755.db2.gz QYOMOQCGWPEUDT-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cnn3c2C[N@H+](CC)CC3)nc1 ZINC001069880499 768210306 /nfs/dbraw/zinc/21/03/06/768210306.db2.gz BYTKGRYPVNKULU-UHFFFAOYSA-N 1 2 309.373 1.025 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cnn3c2C[N@@H+](CC)CC3)nc1 ZINC001069880499 768210310 /nfs/dbraw/zinc/21/03/10/768210310.db2.gz BYTKGRYPVNKULU-UHFFFAOYSA-N 1 2 309.373 1.025 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(Cl)n(C)n1 ZINC001051014026 764417898 /nfs/dbraw/zinc/41/78/98/764417898.db2.gz NCWMZEBBVGRGPV-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(Cl)n(C)n1 ZINC001051014026 764417905 /nfs/dbraw/zinc/41/79/05/764417905.db2.gz NCWMZEBBVGRGPV-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(OCC)no1 ZINC001051025722 764430607 /nfs/dbraw/zinc/43/06/07/764430607.db2.gz KTZRPZUOHYENNI-ZDUSSCGKSA-N 1 2 323.393 1.470 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(OCC)no1 ZINC001051025722 764430611 /nfs/dbraw/zinc/43/06/11/764430611.db2.gz KTZRPZUOHYENNI-ZDUSSCGKSA-N 1 2 323.393 1.470 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C(F)(F)F)n(C)n2)C1 ZINC001042786594 764441296 /nfs/dbraw/zinc/44/12/96/764441296.db2.gz DDJSGUBETBONKB-UHFFFAOYSA-N 1 2 314.311 1.218 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(CCC)n[nH]1 ZINC001051057781 764460266 /nfs/dbraw/zinc/46/02/66/764460266.db2.gz LNZRMPNECNZVIG-CQSZACIVSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(CCC)n[nH]1 ZINC001051057781 764460272 /nfs/dbraw/zinc/46/02/72/764460272.db2.gz LNZRMPNECNZVIG-CQSZACIVSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncn(C(C)(C)C)n1 ZINC001051112269 764517221 /nfs/dbraw/zinc/51/72/21/764517221.db2.gz NPIWWAKDXDIFFB-ZDUSSCGKSA-N 1 2 321.425 1.040 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncn(C(C)(C)C)n1 ZINC001051112269 764517226 /nfs/dbraw/zinc/51/72/26/764517226.db2.gz NPIWWAKDXDIFFB-ZDUSSCGKSA-N 1 2 321.425 1.040 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)onc1CC ZINC001051239465 764662680 /nfs/dbraw/zinc/66/26/80/764662680.db2.gz ALTDIYVXQFYOIR-AWEZNQCLSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)onc1CC ZINC001051239465 764662686 /nfs/dbraw/zinc/66/26/86/764662686.db2.gz ALTDIYVXQFYOIR-AWEZNQCLSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(OC)nc(OC)c2)C1 ZINC001043224785 764776902 /nfs/dbraw/zinc/77/69/02/764776902.db2.gz HQKYQQFUQILCPU-UHFFFAOYSA-N 1 2 305.378 1.431 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](Cc2ccc3nonc3c2)CC1 ZINC001112809296 764790966 /nfs/dbraw/zinc/79/09/66/764790966.db2.gz CTMVXSSOCDEQSA-UHFFFAOYSA-N 1 2 312.373 1.671 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](C[C@@H](O)c2ccc(F)cc2)CC1 ZINC001112809337 764792672 /nfs/dbraw/zinc/79/26/72/764792672.db2.gz GIEIIHDKGLSVBW-QGZVFWFLSA-N 1 2 318.392 1.807 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc3c(c2)CCC(=O)N3)C1 ZINC001043278858 764805296 /nfs/dbraw/zinc/80/52/96/764805296.db2.gz VNRFHFHFTCXRMM-UHFFFAOYSA-N 1 2 311.385 1.351 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2c(C)nc(CC)nc2C)C1 ZINC001043384399 764874964 /nfs/dbraw/zinc/87/49/64/764874964.db2.gz DGPPOIXTYOZHPZ-UHFFFAOYSA-N 1 2 316.449 1.917 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1Nc1ncccc1C#N ZINC001043542073 764966752 /nfs/dbraw/zinc/96/67/52/764966752.db2.gz QLYKXYJAMWOUAJ-BXUZGUMPSA-N 1 2 310.361 1.178 20 30 DDEDLO C=CCC[NH+]1CC(N(C)C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)C1 ZINC001043623284 765011339 /nfs/dbraw/zinc/01/13/39/765011339.db2.gz UCCDTFLZSLPZCX-IUODEOHRSA-N 1 2 302.422 1.794 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H](C[NH2+]Cc2nnc(CC)o2)C1 ZINC001051757176 765130951 /nfs/dbraw/zinc/13/09/51/765130951.db2.gz YCQFFRVGEHJLBI-GFCCVEGCSA-N 1 2 322.409 1.161 20 30 DDEDLO Cc1nc(NC2CC(CNC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001051883535 765246001 /nfs/dbraw/zinc/24/60/01/765246001.db2.gz LFRLAGNLRDPQOZ-UHFFFAOYSA-N 1 2 310.361 1.605 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2OCC[C@@H]2c2ccccc2)C1 ZINC001044036093 765247093 /nfs/dbraw/zinc/24/70/93/765247093.db2.gz KJRROMHGCBLBFC-QZTJIDSGSA-N 1 2 312.413 1.725 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cc(C)on3)C2)CC1 ZINC001051977773 765338697 /nfs/dbraw/zinc/33/86/97/765338697.db2.gz FVXUBTPJDMXGRO-CQSZACIVSA-N 1 2 304.394 1.001 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)c3[nH]ccc3C)C2)CC1 ZINC001052021894 765394746 /nfs/dbraw/zinc/39/47/46/765394746.db2.gz GTYFNZCSLBYUAJ-MRXNPFEDSA-N 1 2 316.449 1.731 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3cc[nH]c3CC)C2)CC1 ZINC001052022661 765396178 /nfs/dbraw/zinc/39/61/78/765396178.db2.gz NPMROFMZQDUXQG-OAHLLOKOSA-N 1 2 314.433 1.042 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)C3(C)CC3)C2)CC1 ZINC001052029884 765405171 /nfs/dbraw/zinc/40/51/71/765405171.db2.gz DGEKYCSQBMSUQM-CQSZACIVSA-N 1 2 311.857 1.758 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)c3cc[nH]c3)C2)CC1 ZINC001052030099 765405882 /nfs/dbraw/zinc/40/58/82/765405882.db2.gz HJFJDVLSAZVZQE-MRXNPFEDSA-N 1 2 302.422 1.423 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccc(CC)o3)C2)CC1 ZINC001052045884 765418795 /nfs/dbraw/zinc/41/87/95/765418795.db2.gz XOBBVIZEDUNPMF-HNNXBMFYSA-N 1 2 315.417 1.307 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccc(CC)o3)C2)CC1 ZINC001052045884 765418800 /nfs/dbraw/zinc/41/88/00/765418800.db2.gz XOBBVIZEDUNPMF-HNNXBMFYSA-N 1 2 315.417 1.307 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)Cc2c[nH+]cn2C)C1 ZINC001052180678 765552012 /nfs/dbraw/zinc/55/20/12/765552012.db2.gz GATUABCBOGMIGR-KBPBESRZSA-N 1 2 318.421 1.140 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H](NC(=O)CCOC)CC[C@@H]1C ZINC001131735161 768304891 /nfs/dbraw/zinc/30/48/91/768304891.db2.gz PKDXQIUJCVYNPX-NWDGAFQWSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H](NC(=O)CCOC)CC[C@@H]1C ZINC001131735161 768304899 /nfs/dbraw/zinc/30/48/99/768304899.db2.gz PKDXQIUJCVYNPX-NWDGAFQWSA-N 1 2 319.243 1.901 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(CC)cc2)[C@@H](n2ccnn2)C1 ZINC001070088097 768333314 /nfs/dbraw/zinc/33/33/14/768333314.db2.gz SKUJTTRXLFOTRL-SJORKVTESA-N 1 2 323.400 1.129 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(CC)cc2)[C@@H](n2ccnn2)C1 ZINC001070088097 768333324 /nfs/dbraw/zinc/33/33/24/768333324.db2.gz SKUJTTRXLFOTRL-SJORKVTESA-N 1 2 323.400 1.129 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CNC(=O)c2ccccc2C)CC1 ZINC001113612667 765935660 /nfs/dbraw/zinc/93/56/60/765935660.db2.gz MEPLUPZTHOHSGX-UHFFFAOYSA-N 1 2 301.390 1.055 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]1C[NH2+]Cc1nc(CC2CC2)no1 ZINC001044961043 765969505 /nfs/dbraw/zinc/96/95/05/765969505.db2.gz LOKFNWIRNQXLBB-AAEUAGOBSA-N 1 2 317.393 1.262 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]1C[NH2+]Cc1nc(C2CCC2)no1 ZINC001044961970 765970246 /nfs/dbraw/zinc/97/02/46/765970246.db2.gz YKQNJZWWQINTQN-YPMHNXCESA-N 1 2 317.393 1.577 20 30 DDEDLO N#CCN1CCC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC001052598437 765984403 /nfs/dbraw/zinc/98/44/03/765984403.db2.gz MEAKGLXLQLVKGD-MRXNPFEDSA-N 1 2 323.400 1.980 20 30 DDEDLO C=CCC[C@H](C)[NH+]1CCN(C(=O)[C@@H](C)CS(C)(=O)=O)CC1 ZINC001113693796 766024450 /nfs/dbraw/zinc/02/44/50/766024450.db2.gz NMAWCQRZCNDPID-KBPBESRZSA-N 1 2 316.467 1.166 20 30 DDEDLO Cc1nc(N2CCC(NC(=O)Cc3c[nH]c[nH+]3)CC2)ccc1C#N ZINC001057959463 766158701 /nfs/dbraw/zinc/15/87/01/766158701.db2.gz FOYADRSPAPXJPL-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO C#CC[NH+]1CCC(C)(NC(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)CC1 ZINC001045506419 766361998 /nfs/dbraw/zinc/36/19/98/766361998.db2.gz NBBQGBHOLKJFPK-BBRMVZONSA-N 1 2 314.433 1.680 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)CC3CCCCC3)CC2)C1 ZINC001045999630 766784616 /nfs/dbraw/zinc/78/46/16/766784616.db2.gz JZUPMVIUIXKMHG-KRWDZBQOSA-N 1 2 318.465 1.699 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)n1 ZINC001114246517 766812695 /nfs/dbraw/zinc/81/26/95/766812695.db2.gz UNOCMCHAZHYPRM-PBOSXPJTSA-N 1 2 316.405 1.535 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)n1 ZINC001114246517 766812705 /nfs/dbraw/zinc/81/27/05/766812705.db2.gz UNOCMCHAZHYPRM-PBOSXPJTSA-N 1 2 316.405 1.535 20 30 DDEDLO CC1(C)CCC[C@@H]1C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046053631 766863085 /nfs/dbraw/zinc/86/30/85/766863085.db2.gz DOFJPJXFVMFGED-JKSUJKDBSA-N 1 2 318.465 1.555 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)CCCCCC)[C@@H](n2ccnn2)C1 ZINC001129418331 766877899 /nfs/dbraw/zinc/87/78/99/766877899.db2.gz SNWQUAGZPYKXIV-CVEARBPZSA-N 1 2 317.437 1.613 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)CCCCCC)[C@@H](n2ccnn2)C1 ZINC001129418331 766877906 /nfs/dbraw/zinc/87/79/06/766877906.db2.gz SNWQUAGZPYKXIV-CVEARBPZSA-N 1 2 317.437 1.613 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnn3ncccc23)C1 ZINC001046380171 767491028 /nfs/dbraw/zinc/49/10/28/767491028.db2.gz RRVJOOFMOQGDMX-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnn3ncccc23)C1 ZINC001046380171 767491031 /nfs/dbraw/zinc/49/10/31/767491031.db2.gz RRVJOOFMOQGDMX-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)[C@H]2C)cc[nH+]1 ZINC001068804333 767624900 /nfs/dbraw/zinc/62/49/00/767624900.db2.gz CKVOTZYUZUXQOT-IINYFYTJSA-N 1 2 310.361 1.700 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2C[C@@H](Nc3cc[nH+]c(C)n3)C2)c1 ZINC001046582563 767709915 /nfs/dbraw/zinc/70/99/15/767709915.db2.gz XSGGLPBMZBUXKP-FZNQNYSPSA-N 1 2 321.384 1.782 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001046605467 767731134 /nfs/dbraw/zinc/73/11/34/767731134.db2.gz RJCCNRKQRPQCOV-WFASDCNBSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001046605467 767731140 /nfs/dbraw/zinc/73/11/40/767731140.db2.gz RJCCNRKQRPQCOV-WFASDCNBSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2ccon2)C1 ZINC001046631569 767756413 /nfs/dbraw/zinc/75/64/13/767756413.db2.gz GTIRYMHKJAROTQ-IJEWVQPXSA-N 1 2 305.378 1.346 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2ccon2)C1 ZINC001046631569 767756417 /nfs/dbraw/zinc/75/64/17/767756417.db2.gz GTIRYMHKJAROTQ-IJEWVQPXSA-N 1 2 305.378 1.346 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCn2cncn2)CC[C@H]1C ZINC001131814112 768396922 /nfs/dbraw/zinc/39/69/22/768396922.db2.gz SUUYIJXRVBGILK-CHWSQXEVSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCn2cncn2)CC[C@H]1C ZINC001131814112 768396929 /nfs/dbraw/zinc/39/69/29/768396929.db2.gz SUUYIJXRVBGILK-CHWSQXEVSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+][C@H](C)c1nc(C)no1 ZINC001131869441 768430544 /nfs/dbraw/zinc/43/05/44/768430544.db2.gz DAQHCWIMVVHFJB-ABAIWWIYSA-N 1 2 310.398 1.374 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CCC2CCC2)C[N@@H+]1CC(=O)NCC#N ZINC001131951783 768510581 /nfs/dbraw/zinc/51/05/81/768510581.db2.gz SKVMUPNDMWBKBQ-DZGCQCFKSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CCC2CCC2)C[N@H+]1CC(=O)NCC#N ZINC001131951783 768510583 /nfs/dbraw/zinc/51/05/83/768510583.db2.gz SKVMUPNDMWBKBQ-DZGCQCFKSA-N 1 2 320.437 1.176 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCc2nnc[nH]2)CC[C@@H]1C ZINC001131954415 768511230 /nfs/dbraw/zinc/51/12/30/768511230.db2.gz CJRFUVIOOSFROP-RYUDHWBXSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCc2nnc[nH]2)CC[C@@H]1C ZINC001131954415 768511234 /nfs/dbraw/zinc/51/12/34/768511234.db2.gz CJRFUVIOOSFROP-RYUDHWBXSA-N 1 2 311.817 1.459 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2ncccc2O)CC[C@@H]1C ZINC001131971820 768515990 /nfs/dbraw/zinc/51/59/90/768515990.db2.gz NFRRHZNQYZLOFE-UONOGXRCSA-N 1 2 317.389 1.020 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2ncccc2O)CC[C@@H]1C ZINC001131971820 768515993 /nfs/dbraw/zinc/51/59/93/768515993.db2.gz NFRRHZNQYZLOFE-UONOGXRCSA-N 1 2 317.389 1.020 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCNC(=O)NC)CC[C@@H]1C ZINC001132013659 768570489 /nfs/dbraw/zinc/57/04/89/768570489.db2.gz MQIIRKWAXQGZDM-RYUDHWBXSA-N 1 2 316.833 1.027 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCNC(=O)NC)CC[C@@H]1C ZINC001132013659 768570491 /nfs/dbraw/zinc/57/04/91/768570491.db2.gz MQIIRKWAXQGZDM-RYUDHWBXSA-N 1 2 316.833 1.027 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[C@@H]1C ZINC001132033527 768579135 /nfs/dbraw/zinc/57/91/35/768579135.db2.gz HOBXAAWXFPVJPQ-GJZGRUSLSA-N 1 2 319.449 1.307 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[C@@H]1C ZINC001132033527 768579141 /nfs/dbraw/zinc/57/91/41/768579141.db2.gz HOBXAAWXFPVJPQ-GJZGRUSLSA-N 1 2 319.449 1.307 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2ccns2)CC[C@H]1C ZINC001132069555 768604693 /nfs/dbraw/zinc/60/46/93/768604693.db2.gz VEMIXACHISNVMT-CHWSQXEVSA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2ccns2)CC[C@H]1C ZINC001132069555 768604694 /nfs/dbraw/zinc/60/46/94/768604694.db2.gz VEMIXACHISNVMT-CHWSQXEVSA-N 1 2 307.419 1.376 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2CC)C1 ZINC001047639486 768630450 /nfs/dbraw/zinc/63/04/50/768630450.db2.gz WOVYPBCNPAQVGZ-KBPBESRZSA-N 1 2 306.431 1.451 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2CC)C1 ZINC001047639486 768630452 /nfs/dbraw/zinc/63/04/52/768630452.db2.gz WOVYPBCNPAQVGZ-KBPBESRZSA-N 1 2 306.431 1.451 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCc2c[nH+]cn2C1)Nc1ccc(C#N)cn1 ZINC001098125781 768659998 /nfs/dbraw/zinc/65/99/98/768659998.db2.gz NDKGVURQVHVFAX-JSGCOSHPSA-N 1 2 324.388 1.329 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001070706601 768684873 /nfs/dbraw/zinc/68/48/73/768684873.db2.gz AQGUCUZLKQBQPY-OAHLLOKOSA-N 1 2 316.405 1.109 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001070706601 768684877 /nfs/dbraw/zinc/68/48/77/768684877.db2.gz AQGUCUZLKQBQPY-OAHLLOKOSA-N 1 2 316.405 1.109 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nc(C(C)C)no2)cc1 ZINC001132215665 768688145 /nfs/dbraw/zinc/68/81/45/768688145.db2.gz DRGJTZSBVQJVCM-UHFFFAOYSA-N 1 2 312.373 1.694 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C2(CC)CCC2)CC[C@@H]1C ZINC001132244735 768711903 /nfs/dbraw/zinc/71/19/03/768711903.db2.gz KDYFPPOZXVUOFB-GJZGRUSLSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C2(CC)CCC2)CC[C@@H]1C ZINC001132244735 768711904 /nfs/dbraw/zinc/71/19/04/768711904.db2.gz KDYFPPOZXVUOFB-GJZGRUSLSA-N 1 2 319.449 1.285 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@H]2CC[C@H](C)C2)CC1 ZINC001070988040 768843647 /nfs/dbraw/zinc/84/36/47/768843647.db2.gz CYHDMHIJHNHJHT-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@H]2CC[C@H](C)C2)CC1 ZINC001070988040 768843660 /nfs/dbraw/zinc/84/36/60/768843660.db2.gz CYHDMHIJHNHJHT-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C(C)C)CC1 ZINC001071004949 768867039 /nfs/dbraw/zinc/86/70/39/768867039.db2.gz XMBOHMSBLZFSOL-OAHLLOKOSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C(C)C)CC1 ZINC001071004949 768867050 /nfs/dbraw/zinc/86/70/50/768867050.db2.gz XMBOHMSBLZFSOL-OAHLLOKOSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC23CC3)CC1 ZINC001071004855 768867438 /nfs/dbraw/zinc/86/74/38/768867438.db2.gz URIWPQDHNOAVKG-AWEZNQCLSA-N 1 2 305.422 1.013 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC23CC3)CC1 ZINC001071004855 768867450 /nfs/dbraw/zinc/86/74/50/768867450.db2.gz URIWPQDHNOAVKG-AWEZNQCLSA-N 1 2 305.422 1.013 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001132577082 768997357 /nfs/dbraw/zinc/99/73/57/768997357.db2.gz QYMQINWSTFNHJA-MNOVXSKESA-N 1 2 324.450 1.766 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(c2ncc(F)cn2)CC1 ZINC001096288788 768998295 /nfs/dbraw/zinc/99/82/95/768998295.db2.gz ZCPBLWDXPBPTFB-UHFFFAOYSA-N 1 2 321.400 1.210 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnn(C)c2N)CC[C@H]1C ZINC001071447260 769446228 /nfs/dbraw/zinc/44/62/28/769446228.db2.gz KSZTUKGOTZJCHM-MNOVXSKESA-N 1 2 311.817 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnn(C)c2N)CC[C@H]1C ZINC001071447260 769446237 /nfs/dbraw/zinc/44/62/37/769446237.db2.gz KSZTUKGOTZJCHM-MNOVXSKESA-N 1 2 311.817 1.338 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc(=O)n(C)cn2)CC[C@H]1C ZINC001071579307 769658460 /nfs/dbraw/zinc/65/84/60/769658460.db2.gz BUJXJHILEBRBTJ-NEPJUHHUSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc(=O)n(C)cn2)CC[C@H]1C ZINC001071579307 769658464 /nfs/dbraw/zinc/65/84/64/769658464.db2.gz BUJXJHILEBRBTJ-NEPJUHHUSA-N 1 2 324.812 1.116 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@H]1CC[C@H](C)N(CC#N)C1 ZINC001071606045 769703835 /nfs/dbraw/zinc/70/38/35/769703835.db2.gz FJPSWHAZKRWKKP-JSGCOSHPSA-N 1 2 302.378 1.125 20 30 DDEDLO C=C1CCC(C(=O)NCC[NH2+]Cc2nc(N(C)C)no2)CC1 ZINC001133368949 769752221 /nfs/dbraw/zinc/75/22/21/769752221.db2.gz JPKORJBDNCXFNN-UHFFFAOYSA-N 1 2 307.398 1.088 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CC[C@@H](C)[N@@H+](CC(=C)Cl)C2)nn1 ZINC001071881164 770219135 /nfs/dbraw/zinc/21/91/35/770219135.db2.gz WFDACOBKJGICSI-OLZOCXBDSA-N 1 2 323.828 1.799 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CC[C@@H](C)[N@H+](CC(=C)Cl)C2)nn1 ZINC001071881164 770219143 /nfs/dbraw/zinc/21/91/43/770219143.db2.gz WFDACOBKJGICSI-OLZOCXBDSA-N 1 2 323.828 1.799 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2scnc2COC)CC[C@@H]1C ZINC001071883729 770222965 /nfs/dbraw/zinc/22/29/65/770222965.db2.gz QFWTYCJDEHEHPF-QWHCGFSZSA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2scnc2COC)CC[C@@H]1C ZINC001071883729 770222968 /nfs/dbraw/zinc/22/29/68/770222968.db2.gz QFWTYCJDEHEHPF-QWHCGFSZSA-N 1 2 321.446 1.896 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001071989004 770396679 /nfs/dbraw/zinc/39/66/79/770396679.db2.gz SNWVMBCGCCIPNR-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)C#N ZINC001049372750 770750632 /nfs/dbraw/zinc/75/06/32/770750632.db2.gz NKEOFRAAQGUJQB-BYCMXARLSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)C#N ZINC001049372750 770750639 /nfs/dbraw/zinc/75/06/39/770750639.db2.gz NKEOFRAAQGUJQB-BYCMXARLSA-N 1 2 302.378 1.708 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)Cc1ccn[nH]1 ZINC001049386946 770766456 /nfs/dbraw/zinc/76/64/56/770766456.db2.gz KOMJLPJNCRFNQK-KGLIPLIRSA-N 1 2 308.813 1.770 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)Cc1ccn[nH]1 ZINC001049386946 770766461 /nfs/dbraw/zinc/76/64/61/770766461.db2.gz KOMJLPJNCRFNQK-KGLIPLIRSA-N 1 2 308.813 1.770 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(N)=O)CCCCC1 ZINC001111441514 771568256 /nfs/dbraw/zinc/56/82/56/771568256.db2.gz RBIOUQLSBDCBGO-KFWWJZLASA-N 1 2 319.449 1.720 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(N)=O)CCCCC1 ZINC001111441514 771568259 /nfs/dbraw/zinc/56/82/59/771568259.db2.gz RBIOUQLSBDCBGO-KFWWJZLASA-N 1 2 319.449 1.720 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cccc(C)c2)[C@H](O)C1 ZINC001090531166 771931353 /nfs/dbraw/zinc/93/13/53/771931353.db2.gz IWKDTVPCLYVYLZ-HZPDHXFCSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cccc(C)c2)[C@H](O)C1 ZINC001090531166 771931356 /nfs/dbraw/zinc/93/13/56/771931356.db2.gz IWKDTVPCLYVYLZ-HZPDHXFCSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnoc2CC)[C@H](O)C1 ZINC001090616386 772044040 /nfs/dbraw/zinc/04/40/40/772044040.db2.gz OYHJDKYSUGKUBC-VXGBXAGGSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnoc2CC)[C@H](O)C1 ZINC001090616386 772044044 /nfs/dbraw/zinc/04/40/44/772044044.db2.gz OYHJDKYSUGKUBC-VXGBXAGGSA-N 1 2 313.785 1.155 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(Br)c(C)cn2)CC1 ZINC001143662981 772288864 /nfs/dbraw/zinc/28/88/64/772288864.db2.gz SCCJZANBJWRFBK-UHFFFAOYSA-N 1 2 308.223 1.903 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100267821 772647616 /nfs/dbraw/zinc/64/76/16/772647616.db2.gz XGJKTKZCAKWCHO-NEPJUHHUSA-N 1 2 316.409 1.374 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001091351745 772692780 /nfs/dbraw/zinc/69/27/80/772692780.db2.gz BIFDJPZTTBBUBI-XBXGTLAGSA-N 1 2 310.361 1.157 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cc(F)c[nH]2)C1 ZINC001073700202 773323578 /nfs/dbraw/zinc/32/35/78/773323578.db2.gz GDABZNKRMBWFDF-GFCCVEGCSA-N 1 2 315.776 1.727 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cc(F)c[nH]2)C1 ZINC001073700202 773323584 /nfs/dbraw/zinc/32/35/84/773323584.db2.gz GDABZNKRMBWFDF-GFCCVEGCSA-N 1 2 315.776 1.727 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CCCCC3)C[C@@H]21 ZINC001074150873 773675978 /nfs/dbraw/zinc/67/59/78/773675978.db2.gz FHCKRJUSBFNLDK-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CCCCC3)C[C@@H]21 ZINC001074150873 773675981 /nfs/dbraw/zinc/67/59/81/773675981.db2.gz FHCKRJUSBFNLDK-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cccc(C)n3)C[C@@H]21 ZINC001074166799 773689611 /nfs/dbraw/zinc/68/96/11/773689611.db2.gz MSYRXBLFBGSGPL-IRXDYDNUSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccc(C)n3)C[C@@H]21 ZINC001074166799 773689617 /nfs/dbraw/zinc/68/96/17/773689617.db2.gz MSYRXBLFBGSGPL-IRXDYDNUSA-N 1 2 313.401 1.329 20 30 DDEDLO C[C@@H]1CC2(CN1C(=O)Cc1[nH]cc[nH+]1)CCN(CC#N)CC2 ZINC001086950487 773740539 /nfs/dbraw/zinc/74/05/39/773740539.db2.gz CDBWCDXOTIRYSW-CYBMUJFWSA-N 1 2 301.394 1.179 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccnc3C)C[C@H]21 ZINC001074327161 773825055 /nfs/dbraw/zinc/82/50/55/773825055.db2.gz PTGTYXQLYOVDCX-IAGOWNOFSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccnc3C)C[C@H]21 ZINC001074327161 773825058 /nfs/dbraw/zinc/82/50/58/773825058.db2.gz PTGTYXQLYOVDCX-IAGOWNOFSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3occc3C)C[C@@H]21 ZINC001074337070 773835436 /nfs/dbraw/zinc/83/54/36/773835436.db2.gz YIGUTQRBTQBUDB-HOTGVXAUSA-N 1 2 316.401 1.456 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3occc3C)C[C@@H]21 ZINC001074337070 773835441 /nfs/dbraw/zinc/83/54/41/773835441.db2.gz YIGUTQRBTQBUDB-HOTGVXAUSA-N 1 2 316.401 1.456 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3occc3C)C[C@@H]21 ZINC001074337073 773835580 /nfs/dbraw/zinc/83/55/80/773835580.db2.gz YIGUTQRBTQBUDB-JKSUJKDBSA-N 1 2 316.401 1.456 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3occc3C)C[C@@H]21 ZINC001074337073 773835583 /nfs/dbraw/zinc/83/55/83/773835583.db2.gz YIGUTQRBTQBUDB-JKSUJKDBSA-N 1 2 316.401 1.456 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)cc3)C[C@H]21 ZINC001074392103 773885812 /nfs/dbraw/zinc/88/58/12/773885812.db2.gz DOZXAFVQJBJYCG-MSOLQXFVSA-N 1 2 312.413 1.934 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)cc3)C[C@H]21 ZINC001074392103 773885816 /nfs/dbraw/zinc/88/58/16/773885816.db2.gz DOZXAFVQJBJYCG-MSOLQXFVSA-N 1 2 312.413 1.934 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCc2c[nH]c[nH+]2)CCN1c1ccc(C#N)nc1 ZINC001092063322 773932695 /nfs/dbraw/zinc/93/26/95/773932695.db2.gz JTZJUJXQPJVNSC-WBMJQRKESA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCc2c[nH+]c[nH]2)CCN1c1ccc(C#N)nc1 ZINC001092063322 773932698 /nfs/dbraw/zinc/93/26/98/773932698.db2.gz JTZJUJXQPJVNSC-WBMJQRKESA-N 1 2 324.388 1.393 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H]1CCOC1)c1nccn12 ZINC001092356118 774072239 /nfs/dbraw/zinc/07/22/39/774072239.db2.gz GFNYJUBOYVOEQG-UONOGXRCSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncccc1C)c1nccn12 ZINC001092358207 774072901 /nfs/dbraw/zinc/07/29/01/774072901.db2.gz BXMZJEIEQFRDTB-CQSZACIVSA-N 1 2 323.400 1.658 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)co1)c1nccn12 ZINC001092386336 774095783 /nfs/dbraw/zinc/09/57/83/774095783.db2.gz ILXRJUBZNRVXGQ-CQSZACIVSA-N 1 2 324.384 1.694 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H](CNc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001092640621 774194737 /nfs/dbraw/zinc/19/47/37/774194737.db2.gz DMUURFQNQMKIHS-TZMCWYRMSA-N 1 2 304.394 1.494 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)NC[C@@H]1CN(CC#N)C[C@H]1C)C2 ZINC001092748736 774248861 /nfs/dbraw/zinc/24/88/61/774248861.db2.gz KQVJYVDDJLFEAE-MRVWCRGKSA-N 1 2 315.421 1.031 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)NC[C@@H]1CN(CC#N)C[C@H]1C)CC2 ZINC001092748736 774248865 /nfs/dbraw/zinc/24/88/65/774248865.db2.gz KQVJYVDDJLFEAE-MRVWCRGKSA-N 1 2 315.421 1.031 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](CNC(=O)c2n[nH]c3ccc(C)cc32)[C@H](C)C1 ZINC001092773768 774269858 /nfs/dbraw/zinc/26/98/58/774269858.db2.gz UEOKFUAKHWWYQO-ZIAGYGMSSA-N 1 2 310.401 1.802 20 30 DDEDLO C#CC[N@H+]1C[C@@H](CNC(=O)c2n[nH]c3ccc(C)cc32)[C@H](C)C1 ZINC001092773768 774269862 /nfs/dbraw/zinc/26/98/62/774269862.db2.gz UEOKFUAKHWWYQO-ZIAGYGMSSA-N 1 2 310.401 1.802 20 30 DDEDLO Cc1nc(N[C@H]2CCC[C@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001098598109 774617411 /nfs/dbraw/zinc/61/74/11/774617411.db2.gz VHDXBWMBXDIVFG-STQMWFEESA-N 1 2 319.434 1.881 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H](C)C(C)(C)C)C2)nn1 ZINC001098685663 774641806 /nfs/dbraw/zinc/64/18/06/774641806.db2.gz NSQCRUYAKRBNPG-HIFRSBDPSA-N 1 2 317.437 1.457 20 30 DDEDLO CC[C@@H](C)C(=O)NC[C@H]1CCC(C)(C)C[N@@H+]1CC(=O)NCC#N ZINC001098904302 774713487 /nfs/dbraw/zinc/71/34/87/774713487.db2.gz CEYAKKZSSZSQOZ-ZIAGYGMSSA-N 1 2 322.453 1.279 20 30 DDEDLO CC[C@@H](C)C(=O)NC[C@H]1CCC(C)(C)C[N@H+]1CC(=O)NCC#N ZINC001098904302 774713489 /nfs/dbraw/zinc/71/34/89/774713489.db2.gz CEYAKKZSSZSQOZ-ZIAGYGMSSA-N 1 2 322.453 1.279 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@H]3CC[C@H](C)O3)CC2)C1 ZINC001093570198 774842337 /nfs/dbraw/zinc/84/23/37/774842337.db2.gz PBCJXLXKBSJGKW-GOEBONIOSA-N 1 2 322.449 1.822 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)Cn3nccc3C)CC2)C1 ZINC001093579317 774853484 /nfs/dbraw/zinc/85/34/84/774853484.db2.gz QBMZFJIJYNOAFH-UHFFFAOYSA-N 1 2 318.421 1.069 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)CCNC(=O)Cn2cc[nH+]c2)n1 ZINC001099262894 774923521 /nfs/dbraw/zinc/92/35/21/774923521.db2.gz YNQMQKNTNOSOIZ-CYBMUJFWSA-N 1 2 312.377 1.465 20 30 DDEDLO C#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)C=C(C)C)c2)nn1 ZINC001099600345 775058188 /nfs/dbraw/zinc/05/81/88/775058188.db2.gz HREDNJQWUAEQPR-UHFFFAOYSA-N 1 2 323.400 1.573 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCCNc3ccc(C#N)cn3)ccn12 ZINC001093888234 775187329 /nfs/dbraw/zinc/18/73/29/775187329.db2.gz VTHWJICIDBHCQN-UHFFFAOYSA-N 1 2 320.356 1.751 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](CC(=C)Cl)C[C@@H]1O ZINC001099850091 775353994 /nfs/dbraw/zinc/35/39/94/775353994.db2.gz MJGVWWBNJNUZCP-STQMWFEESA-N 1 2 300.830 1.893 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](CC(=C)Cl)C[C@@H]1O ZINC001099850091 775354009 /nfs/dbraw/zinc/35/40/09/775354009.db2.gz MJGVWWBNJNUZCP-STQMWFEESA-N 1 2 300.830 1.893 20 30 DDEDLO C=C1CC(C)(C(=O)NCCNc2ncnc3c2C[N@H+](C)CC3)C1 ZINC001094124745 775458395 /nfs/dbraw/zinc/45/83/95/775458395.db2.gz UTKYQHVDPAONJU-UHFFFAOYSA-N 1 2 315.421 1.349 20 30 DDEDLO C=C1CC(C)(C(=O)NCCNc2ncnc3c2C[N@@H+](C)CC3)C1 ZINC001094124745 775458404 /nfs/dbraw/zinc/45/84/04/775458404.db2.gz UTKYQHVDPAONJU-UHFFFAOYSA-N 1 2 315.421 1.349 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC(C)(C)COC)[C@@H](O)C1 ZINC001099932667 775491419 /nfs/dbraw/zinc/49/14/19/775491419.db2.gz BQMOCKMNLNSAJX-STQMWFEESA-N 1 2 318.845 1.353 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC(C)(C)COC)[C@@H](O)C1 ZINC001099932667 775491423 /nfs/dbraw/zinc/49/14/23/775491423.db2.gz BQMOCKMNLNSAJX-STQMWFEESA-N 1 2 318.845 1.353 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)cn2)C[C@@H]1O ZINC001099973990 775505812 /nfs/dbraw/zinc/50/58/12/775505812.db2.gz LYQSXNYJZBUXIR-HOTGVXAUSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnc(C)cn2)C[C@@H]1O ZINC001099973990 775505815 /nfs/dbraw/zinc/50/58/15/775505815.db2.gz LYQSXNYJZBUXIR-HOTGVXAUSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3cncs3)nn2)C1 ZINC001094278462 775630955 /nfs/dbraw/zinc/63/09/55/775630955.db2.gz HYYFYHTYMIAFFO-UHFFFAOYSA-N 1 2 318.406 1.097 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)CCc2c[nH]c[nH+]2)ccc1C#N ZINC001100380531 776076335 /nfs/dbraw/zinc/07/63/35/776076335.db2.gz QJLZBPBEQHOCQI-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)CCc2c[nH+]c[nH]2)ccc1C#N ZINC001100380531 776076343 /nfs/dbraw/zinc/07/63/43/776076343.db2.gz QJLZBPBEQHOCQI-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO N#Cc1cccnc1NCCCNC(=O)c1cccc2[nH+]ccn21 ZINC001094667437 776149698 /nfs/dbraw/zinc/14/96/98/776149698.db2.gz QBPIUIQAYWLKGR-UHFFFAOYSA-N 1 2 320.356 1.833 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3ccccc3C)CC2=O)C1 ZINC001094698762 776209936 /nfs/dbraw/zinc/20/99/36/776209936.db2.gz KLOPQHQDSKIGBG-AWEZNQCLSA-N 1 2 313.401 1.196 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C(C)=C3CCC3)CC2=O)C1 ZINC001094734191 776236776 /nfs/dbraw/zinc/23/67/76/776236776.db2.gz SASZTWFNXLYFOZ-OAHLLOKOSA-N 1 2 317.433 1.464 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)c2cc3c[nH+]ccc3[nH]2)nn1 ZINC001094873080 776627705 /nfs/dbraw/zinc/62/77/05/776627705.db2.gz MYDSRSWZUYGEIU-UHFFFAOYSA-N 1 2 321.344 1.457 20 30 DDEDLO COC(=O)[C@H](Cc1ccc(O)c(O)c1)[NH2+][C@@H](C)CCCC#N ZINC001172476660 776785208 /nfs/dbraw/zinc/78/52/08/776785208.db2.gz PMNJULQPXLWSFO-AAEUAGOBSA-N 1 2 306.362 1.854 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCCNc2cncc(C#N)n2)c(C)[nH+]1 ZINC001094912945 776786175 /nfs/dbraw/zinc/78/61/75/776786175.db2.gz IIICIEYUFUFZAE-UHFFFAOYSA-N 1 2 324.388 1.901 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H]([N@@H+]2C[C@@H](O)[C@@H](F)C2)C[C@H]1C#N ZINC001172981483 776951218 /nfs/dbraw/zinc/95/12/18/776951218.db2.gz WVCQTVQTAKSEAX-ZDEQEGDKSA-N 1 2 313.373 1.293 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H]([N@H+]2C[C@@H](O)[C@@H](F)C2)C[C@H]1C#N ZINC001172981483 776951222 /nfs/dbraw/zinc/95/12/22/776951222.db2.gz WVCQTVQTAKSEAX-ZDEQEGDKSA-N 1 2 313.373 1.293 20 30 DDEDLO CN(CCNc1[nH+]cnc2c1cnn2C)C(=O)C#CC(C)(C)C ZINC001101595466 777287423 /nfs/dbraw/zinc/28/74/23/777287423.db2.gz VUUHEDRDHSSONO-UHFFFAOYSA-N 1 2 314.393 1.283 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](CC)OC)c1nccn12 ZINC001101614075 777305090 /nfs/dbraw/zinc/30/50/90/777305090.db2.gz NUWPHAVESJQUGS-QWHCGFSZSA-N 1 2 304.394 1.066 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C(\C)C1CC1)c1nccn12 ZINC001101614303 777307055 /nfs/dbraw/zinc/30/70/55/777307055.db2.gz RPHVIVLFMRZWSB-GLNPCMGASA-N 1 2 312.417 1.997 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](F)C(C)C)c1nccn12 ZINC001101640095 777337961 /nfs/dbraw/zinc/33/79/61/777337961.db2.gz WMUQUKWNXYQQKS-QWHCGFSZSA-N 1 2 306.385 1.635 20 30 DDEDLO Cc1nc(NCC2CCN(C(=O)CSCC#N)CC2)cc[nH+]1 ZINC001095256350 777360766 /nfs/dbraw/zinc/36/07/66/777360766.db2.gz HLGMDVPDYZGKNS-UHFFFAOYSA-N 1 2 319.434 1.692 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001101826301 777572297 /nfs/dbraw/zinc/57/22/97/777572297.db2.gz LYNOFXFOAWARQF-CQSZACIVSA-N 1 2 324.388 1.283 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1C ZINC001101893430 777651038 /nfs/dbraw/zinc/65/10/38/777651038.db2.gz ASBONJQIIYSICJ-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1C ZINC001101893430 777651044 /nfs/dbraw/zinc/65/10/44/777651044.db2.gz ASBONJQIIYSICJ-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1C ZINC001101920999 777681830 /nfs/dbraw/zinc/68/18/30/777681830.db2.gz ULQQUMDHZDFQMZ-CHWSQXEVSA-N 1 2 306.435 1.526 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1C ZINC001101920999 777681834 /nfs/dbraw/zinc/68/18/34/777681834.db2.gz ULQQUMDHZDFQMZ-CHWSQXEVSA-N 1 2 306.435 1.526 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(F)F)C[C@@H]21 ZINC001176882206 778290669 /nfs/dbraw/zinc/29/06/69/778290669.db2.gz MZBOSGGSLLKLJG-QWHCGFSZSA-N 1 2 302.365 1.910 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(F)F)C[C@@H]21 ZINC001176882206 778290674 /nfs/dbraw/zinc/29/06/74/778290674.db2.gz MZBOSGGSLLKLJG-QWHCGFSZSA-N 1 2 302.365 1.910 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC(C)C)C[C@H]21 ZINC001176889018 778296793 /nfs/dbraw/zinc/29/67/93/778296793.db2.gz YOSDGLLPRLZJJJ-HZPDHXFCSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(C)C)C[C@H]21 ZINC001176889018 778296795 /nfs/dbraw/zinc/29/67/95/778296795.db2.gz YOSDGLLPRLZJJJ-HZPDHXFCSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCCOCC)C[C@H]21 ZINC001176925870 778313402 /nfs/dbraw/zinc/31/34/02/778313402.db2.gz YVJFXVWIKLTGAR-CVEARBPZSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCCOCC)C[C@H]21 ZINC001176925870 778313405 /nfs/dbraw/zinc/31/34/05/778313405.db2.gz YVJFXVWIKLTGAR-CVEARBPZSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C=C(C)C)C[C@@H]21 ZINC001176900949 778331105 /nfs/dbraw/zinc/33/11/05/778331105.db2.gz UAFDPRPDWGFMNH-JKSUJKDBSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C=C(C)C)C[C@@H]21 ZINC001176900949 778331107 /nfs/dbraw/zinc/33/11/07/778331107.db2.gz UAFDPRPDWGFMNH-JKSUJKDBSA-N 1 2 308.422 1.457 20 30 DDEDLO N#Cc1ccnnc1NC(=O)[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC001176956967 778348667 /nfs/dbraw/zinc/34/86/67/778348667.db2.gz LYHSGWITLZQYKS-ZDUSSCGKSA-N 1 2 308.345 1.598 20 30 DDEDLO CN(C(=O)CCc1c[nH]c[nH+]1)[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001102766829 778381340 /nfs/dbraw/zinc/38/13/40/778381340.db2.gz KVXLCPXYOGRWJE-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(C(=O)CCc1c[nH+]c[nH]1)[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001102766829 778381347 /nfs/dbraw/zinc/38/13/47/778381347.db2.gz KVXLCPXYOGRWJE-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C(/C)CC)C[C@@H]21 ZINC001176998747 778382311 /nfs/dbraw/zinc/38/23/11/778382311.db2.gz CKXCIFDVSPXUHZ-LSLWIEAESA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C(/C)CC)C[C@@H]21 ZINC001176998747 778382317 /nfs/dbraw/zinc/38/23/17/778382317.db2.gz CKXCIFDVSPXUHZ-LSLWIEAESA-N 1 2 322.449 1.847 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3(COC)CC3)C[C@@H]21 ZINC001177054937 778416030 /nfs/dbraw/zinc/41/60/30/778416030.db2.gz FASRAVASOKSCCX-GJZGRUSLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3(COC)CC3)C[C@@H]21 ZINC001177054937 778416033 /nfs/dbraw/zinc/41/60/33/778416033.db2.gz FASRAVASOKSCCX-GJZGRUSLSA-N 1 2 308.422 1.291 20 30 DDEDLO CCN(C(=O)Cc1[nH]cc[nH+]1)[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001102816179 778424515 /nfs/dbraw/zinc/42/45/15/778424515.db2.gz PBHKJVOHRNOQGZ-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCCO)[C@H]2C1 ZINC001177101400 778446929 /nfs/dbraw/zinc/44/69/29/778446929.db2.gz MIDJMDFXZLMHKS-GJZGRUSLSA-N 1 2 310.438 1.273 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCCO)[C@H]2C1 ZINC001177101400 778446933 /nfs/dbraw/zinc/44/69/33/778446933.db2.gz MIDJMDFXZLMHKS-GJZGRUSLSA-N 1 2 310.438 1.273 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCOC(C)C)C[C@H]21 ZINC001177161636 778469005 /nfs/dbraw/zinc/46/90/05/778469005.db2.gz FDTPUBYRFKRNRI-IAGOWNOFSA-N 1 2 322.449 1.517 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCOC(C)C)C[C@H]21 ZINC001177161636 778469008 /nfs/dbraw/zinc/46/90/08/778469008.db2.gz FDTPUBYRFKRNRI-IAGOWNOFSA-N 1 2 322.449 1.517 20 30 DDEDLO CC[C@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)cn1 ZINC001103056626 778603891 /nfs/dbraw/zinc/60/38/91/778603891.db2.gz PXZNWCOWYREKGY-CYBMUJFWSA-N 1 2 312.377 1.616 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](CC)Nc2cc[nH+]c(C)n2)nc1 ZINC001103142047 778663069 /nfs/dbraw/zinc/66/30/69/778663069.db2.gz CQSZREDENYVRQS-AWEZNQCLSA-N 1 2 309.373 1.782 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1snc(C)c1C#N ZINC001103161744 778679297 /nfs/dbraw/zinc/67/92/97/778679297.db2.gz JHZOTJSLCFIEMC-JTQLQIEISA-N 1 2 318.406 1.596 20 30 DDEDLO N#C[C@H](Cc1cccs1)C(=O)NCC1([NH+]2CCCC2)COC1 ZINC001177915393 778782273 /nfs/dbraw/zinc/78/22/73/778782273.db2.gz MXBBAVJLOVEOPA-ZDUSSCGKSA-N 1 2 319.430 1.411 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1cnc(C#N)cn1 ZINC001103357499 778812679 /nfs/dbraw/zinc/81/26/79/778812679.db2.gz UHHHBJSRMMCSMF-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1cnc(C#N)cn1 ZINC001103357499 778812687 /nfs/dbraw/zinc/81/26/87/778812687.db2.gz UHHHBJSRMMCSMF-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@](CNc1ccc(C#N)nc1)(NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001103545257 778937026 /nfs/dbraw/zinc/93/70/26/778937026.db2.gz SXKGQSGECDDCQG-QGZVFWFLSA-N 1 2 324.388 1.616 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001103938261 779188727 /nfs/dbraw/zinc/18/87/27/779188727.db2.gz WVNONBLPNNWXSN-GFCCVEGCSA-N 1 2 318.425 1.214 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCN2Cc3ccccc3C2=O)CC1 ZINC001178826418 779189586 /nfs/dbraw/zinc/18/95/86/779189586.db2.gz CEPHWMBDDNNHHE-UHFFFAOYSA-N 1 2 313.401 1.363 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c[nH+]cn1C)CNc1ccc(C#N)nc1 ZINC001104038900 779262873 /nfs/dbraw/zinc/26/28/73/779262873.db2.gz DKHDVJQKWUGEPZ-GFCCVEGCSA-N 1 2 312.377 1.094 20 30 DDEDLO CC#CC[NH2+][C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)[C@H]1C ZINC001179290106 779325193 /nfs/dbraw/zinc/32/51/93/779325193.db2.gz NFVORSIEBUHEMY-BBRMVZONSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H](CCNc2cc[nH+]c(C)n2)C1 ZINC001111615805 779403439 /nfs/dbraw/zinc/40/34/39/779403439.db2.gz DYYSMDHXDKSNGI-OAHLLOKOSA-N 1 2 316.405 1.475 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N(C)CCCN(C)c1cc[nH+]c(C)n1 ZINC001112099463 779624365 /nfs/dbraw/zinc/62/43/65/779624365.db2.gz VUHIIEBANQALSC-ZDUSSCGKSA-N 1 2 306.410 1.661 20 30 DDEDLO Cc1nc(NCCCOCCNC(=O)C#CC(C)C)cc[nH+]1 ZINC001115404186 780106768 /nfs/dbraw/zinc/10/67/68/780106768.db2.gz BXGXVYHBJXPVIG-UHFFFAOYSA-N 1 2 304.394 1.379 20 30 DDEDLO Cc1nc(N[C@H](C)CN(C)C(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001115766194 780413363 /nfs/dbraw/zinc/41/33/63/780413363.db2.gz ADIQLWDEVZMNQW-GFCCVEGCSA-N 1 2 312.377 1.417 20 30 DDEDLO C=CCCCC(=O)NCC[N@@H+](C)CC(=O)N1CCCC[C@@H]1C ZINC001267213975 837544845 /nfs/dbraw/zinc/54/48/45/837544845.db2.gz HXLVDUOTUKQVKG-HNNXBMFYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=CCCCC(=O)NCC[N@H+](C)CC(=O)N1CCCC[C@@H]1C ZINC001267213975 837544855 /nfs/dbraw/zinc/54/48/55/837544855.db2.gz HXLVDUOTUKQVKG-HNNXBMFYSA-N 1 2 309.454 1.792 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)[C@@H]2Cc3ccccc32)CC1 ZINC001266292468 836064189 /nfs/dbraw/zinc/06/41/89/836064189.db2.gz JOUJMJLZEPYFAH-GOSISDBHSA-N 1 2 311.429 1.083 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H](C)CNc1cc[nH+]c(C)n1 ZINC001104238084 836221542 /nfs/dbraw/zinc/22/15/42/836221542.db2.gz CJQVYPPPRWOSHW-INWMFGNUSA-N 1 2 318.421 1.930 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]([NH2+]Cc2cnsn2)C2CC2)C1 ZINC001266429901 836242775 /nfs/dbraw/zinc/24/27/75/836242775.db2.gz VYLMJNWOSKTXGP-CYBMUJFWSA-N 1 2 306.435 1.879 20 30 DDEDLO CN(CC#N)C[C@H]1CCCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001266459616 836289399 /nfs/dbraw/zinc/28/93/99/836289399.db2.gz RIYZFSXUAMNYMC-HUUCEWRRSA-N 1 2 315.421 1.139 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1ccn(CC)n1 ZINC001266464908 836299807 /nfs/dbraw/zinc/29/98/07/836299807.db2.gz KXWJXZURXPTPPA-ZBFHGGJFSA-N 1 2 318.421 1.022 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccn(CC)n1 ZINC001266464908 836299814 /nfs/dbraw/zinc/29/98/14/836299814.db2.gz KXWJXZURXPTPPA-ZBFHGGJFSA-N 1 2 318.421 1.022 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1cnnn1CC ZINC001266837121 836906243 /nfs/dbraw/zinc/90/62/43/836906243.db2.gz JJWCWDSOZXNHIE-AWEZNQCLSA-N 1 2 305.426 1.735 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1cnnn1CC ZINC001266837121 836906253 /nfs/dbraw/zinc/90/62/53/836906253.db2.gz JJWCWDSOZXNHIE-AWEZNQCLSA-N 1 2 305.426 1.735 20 30 DDEDLO N#CCN[C@@H](CNC(=O)[C@@H]1CCn2c[nH+]cc2C1)c1ccccc1 ZINC001267362045 837913542 /nfs/dbraw/zinc/91/35/42/837913542.db2.gz NODZWRHMHIAGBN-WBVHZDCISA-N 1 2 323.400 1.416 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(CCC)C(=O)c2snnc2C)C1 ZINC001267449942 838075179 /nfs/dbraw/zinc/07/51/79/838075179.db2.gz HBCYBMIWDFTQQH-CYBMUJFWSA-N 1 2 306.435 1.796 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(CCC)C(=O)c2snnc2C)C1 ZINC001267449942 838075193 /nfs/dbraw/zinc/07/51/93/838075193.db2.gz HBCYBMIWDFTQQH-CYBMUJFWSA-N 1 2 306.435 1.796 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)CO[C@@H]2CCOC2)C1 ZINC001419168599 838117445 /nfs/dbraw/zinc/11/74/45/838117445.db2.gz SNCGDVWPKVQHBP-ZIAGYGMSSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)CO[C@@H]2CCOC2)C1 ZINC001419168599 838117449 /nfs/dbraw/zinc/11/74/49/838117449.db2.gz SNCGDVWPKVQHBP-ZIAGYGMSSA-N 1 2 316.829 1.467 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1nncn1C ZINC001272002902 844295360 /nfs/dbraw/zinc/29/53/60/844295360.db2.gz AWLVTKSHPWAXAP-UONOGXRCSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1nncn1C ZINC001272002902 844295368 /nfs/dbraw/zinc/29/53/68/844295368.db2.gz AWLVTKSHPWAXAP-UONOGXRCSA-N 1 2 303.410 1.347 20 30 DDEDLO CCC(CC)(CC)C(=O)N(C)CC[NH+]1CCN(CC#N)CC1 ZINC001267576229 838345787 /nfs/dbraw/zinc/34/57/87/838345787.db2.gz UIPGXMFECFRFBQ-UHFFFAOYSA-N 1 2 308.470 1.802 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC3CC3)C2)ccn1 ZINC001267614242 838470718 /nfs/dbraw/zinc/47/07/18/838470718.db2.gz FHRXQTFEFCZBRD-MRXNPFEDSA-N 1 2 313.401 1.584 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H](NC(=O)C#CC3CC3)C2)ccn1 ZINC001267614242 838470722 /nfs/dbraw/zinc/47/07/22/838470722.db2.gz FHRXQTFEFCZBRD-MRXNPFEDSA-N 1 2 313.401 1.584 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2CC3(CC3)C2)C1 ZINC001267617697 838496765 /nfs/dbraw/zinc/49/67/65/838496765.db2.gz RZMGTOYTKDGODO-CQSZACIVSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C2CC3(CC3)C2)C1 ZINC001267617697 838496767 /nfs/dbraw/zinc/49/67/67/838496767.db2.gz RZMGTOYTKDGODO-CQSZACIVSA-N 1 2 305.422 1.059 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001267652899 838584767 /nfs/dbraw/zinc/58/47/67/838584767.db2.gz GVZVBADJYBQHHL-CQSZACIVSA-N 1 2 305.426 1.452 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001267652899 838584772 /nfs/dbraw/zinc/58/47/72/838584772.db2.gz GVZVBADJYBQHHL-CQSZACIVSA-N 1 2 305.426 1.452 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@@H]2CCC[C@@H]2CCC)C1 ZINC001267688797 838641762 /nfs/dbraw/zinc/64/17/62/838641762.db2.gz VUWPQFCGXJAZOZ-JKSUJKDBSA-N 1 2 321.465 1.553 20 30 DDEDLO C=CCC1(C(=O)NCC2C[NH+](CC(=O)NCC3CC3)C2)CCC1 ZINC001267692783 838656697 /nfs/dbraw/zinc/65/66/97/838656697.db2.gz LBECQTKHGRAHEI-UHFFFAOYSA-N 1 2 319.449 1.307 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc2nonc2c1 ZINC001267706218 838685209 /nfs/dbraw/zinc/68/52/09/838685209.db2.gz FTNQMDYSVJIFJG-GJZGRUSLSA-N 1 2 324.384 1.667 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc2nonc2c1 ZINC001267706218 838685210 /nfs/dbraw/zinc/68/52/10/838685210.db2.gz FTNQMDYSVJIFJG-GJZGRUSLSA-N 1 2 324.384 1.667 20 30 DDEDLO C=CCC(CC=C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](CC)C(N)=O ZINC001267705436 838686140 /nfs/dbraw/zinc/68/61/40/838686140.db2.gz ADESAMQHXANYLE-SOUVJXGZSA-N 1 2 305.422 1.304 20 30 DDEDLO C=CCC(CC=C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](CC)C(N)=O ZINC001267705436 838686141 /nfs/dbraw/zinc/68/61/41/838686141.db2.gz ADESAMQHXANYLE-SOUVJXGZSA-N 1 2 305.422 1.304 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)cc(C)c2)C1 ZINC001267751881 838852457 /nfs/dbraw/zinc/85/24/57/838852457.db2.gz MVLODHKKPTWMBZ-MRXNPFEDSA-N 1 2 315.417 1.410 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)cc(C)c2)C1 ZINC001267751881 838852472 /nfs/dbraw/zinc/85/24/72/838852472.db2.gz MVLODHKKPTWMBZ-MRXNPFEDSA-N 1 2 315.417 1.410 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C[C@H](C)c2c(C)noc2C)C1 ZINC001268024254 839411319 /nfs/dbraw/zinc/41/13/19/839411319.db2.gz ATHVFUHCZUEUKI-SWLSCSKDSA-N 1 2 321.421 1.788 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C[C@H](C)c2c(C)noc2C)C1 ZINC001268024254 839411358 /nfs/dbraw/zinc/41/13/58/839411358.db2.gz ATHVFUHCZUEUKI-SWLSCSKDSA-N 1 2 321.421 1.788 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)[C@@H](C)C[NH2+]Cc1nnc(C)o1 ZINC001268088826 839671516 /nfs/dbraw/zinc/67/15/16/839671516.db2.gz DVQGRVFIWWPRKV-WHOFXGATSA-N 1 2 322.409 1.296 20 30 DDEDLO CCC[C@H]1CCC[C@H]1C(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001272055673 844474465 /nfs/dbraw/zinc/47/44/65/844474465.db2.gz UXAFHSJCPOBTMO-LSDHHAIUSA-N 1 2 322.453 1.233 20 30 DDEDLO CCC[C@H]1CCC[C@H]1C(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001272055673 844474468 /nfs/dbraw/zinc/47/44/68/844474468.db2.gz UXAFHSJCPOBTMO-LSDHHAIUSA-N 1 2 322.453 1.233 20 30 DDEDLO C#CCCCC(=O)NC[C@H]([NH2+][C@@H](C)c1noc(C)n1)C(C)C ZINC001268210453 839841806 /nfs/dbraw/zinc/84/18/06/839841806.db2.gz VUKYFUOIHJMUOF-JSGCOSHPSA-N 1 2 306.410 1.973 20 30 DDEDLO CC[C@H]1CCC[C@@]1(C)C(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001268241401 839881059 /nfs/dbraw/zinc/88/10/59/839881059.db2.gz ZWCWTEBOTFLVMK-WMLDXEAASA-N 1 2 322.453 1.233 20 30 DDEDLO CC[C@H]1CCC[C@@]1(C)C(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001268241401 839881066 /nfs/dbraw/zinc/88/10/66/839881066.db2.gz ZWCWTEBOTFLVMK-WMLDXEAASA-N 1 2 322.453 1.233 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C12CCC(CC1)CC2 ZINC001268256114 839903789 /nfs/dbraw/zinc/90/37/89/839903789.db2.gz CZIJQIVXGDYDKR-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)C12CCC(CC1)CC2 ZINC001268256114 839903795 /nfs/dbraw/zinc/90/37/95/839903795.db2.gz CZIJQIVXGDYDKR-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](O)C[NH2+][C@@H](C)c2csnn2)C1 ZINC001268325077 840003546 /nfs/dbraw/zinc/00/35/46/840003546.db2.gz XWFMFJOCDQQMGJ-QWRGUYRKSA-N 1 2 310.423 1.022 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C[NH2+]Cc1nc(CC(C)C)no1 ZINC001268685422 840666035 /nfs/dbraw/zinc/66/60/35/840666035.db2.gz BQJPEIHEROOEJV-ZDUSSCGKSA-N 1 2 306.410 1.522 20 30 DDEDLO C#CCCCC(=O)NCC1C[NH+](CC(=O)NCCC(C)(C)C)C1 ZINC001268822158 840866074 /nfs/dbraw/zinc/86/60/74/840866074.db2.gz FPGCRYSALXIGNY-UHFFFAOYSA-N 1 2 321.465 1.390 20 30 DDEDLO O=C(C#CC1CC1)NCC1C[NH+](Cc2cc(CO)ccc2F)C1 ZINC001268825950 840873491 /nfs/dbraw/zinc/87/34/91/840873491.db2.gz VROJBDFYXKZVQE-UHFFFAOYSA-N 1 2 316.376 1.279 20 30 DDEDLO C=C(C)CCC(=O)NCC1C[NH+](CC(=O)N2CCC[C@@H](C)C2)C1 ZINC001268833441 840877462 /nfs/dbraw/zinc/87/74/62/840877462.db2.gz PQMLWNNXLNZPGO-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1C[NH+](CC(=O)NCC(C)(C)C)C1 ZINC001268867608 840921576 /nfs/dbraw/zinc/92/15/76/840921576.db2.gz QXVXBPWGLARZGO-AWEZNQCLSA-N 1 2 323.481 1.799 20 30 DDEDLO CCC(CC)[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#CCOC ZINC001268951959 841033587 /nfs/dbraw/zinc/03/35/87/841033587.db2.gz LBJGIYBJUCHVQC-PVAVHDDUSA-N 1 2 306.450 1.994 20 30 DDEDLO CCC(CC)[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC#CCOC ZINC001268951959 841033597 /nfs/dbraw/zinc/03/35/97/841033597.db2.gz LBJGIYBJUCHVQC-PVAVHDDUSA-N 1 2 306.450 1.994 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC(=O)N1CCCC1 ZINC001268959736 841056145 /nfs/dbraw/zinc/05/61/45/841056145.db2.gz GQCXOVDJLAZZTA-JYJNAYRXSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC(=O)N1CCCC1 ZINC001268959736 841056152 /nfs/dbraw/zinc/05/61/52/841056152.db2.gz GQCXOVDJLAZZTA-JYJNAYRXSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@@H]1CCC[C@H]1CC ZINC001272105694 844618159 /nfs/dbraw/zinc/61/81/59/844618159.db2.gz NNPFAWFVIXJYAJ-HUUCEWRRSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@@H]1CCC[C@H]1CC ZINC001272105694 844618166 /nfs/dbraw/zinc/61/81/66/844618166.db2.gz NNPFAWFVIXJYAJ-HUUCEWRRSA-N 1 2 309.454 1.505 20 30 DDEDLO CC1(CC(=O)N[C@@H]2CC[N@H+](CC(=O)NCC#N)C2)CCCCC1 ZINC001269147177 841263970 /nfs/dbraw/zinc/26/39/70/841263970.db2.gz IRJOHHCCNMAHRV-CQSZACIVSA-N 1 2 320.437 1.177 20 30 DDEDLO CC1(CC(=O)N[C@@H]2CC[N@@H+](CC(=O)NCC#N)C2)CCCCC1 ZINC001269147177 841263972 /nfs/dbraw/zinc/26/39/72/841263972.db2.gz IRJOHHCCNMAHRV-CQSZACIVSA-N 1 2 320.437 1.177 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+]1Cc1ccnc(N(C)C)c1 ZINC001269230306 841384722 /nfs/dbraw/zinc/38/47/22/841384722.db2.gz ZJTSQTXNINFJOC-INIZCTEOSA-N 1 2 314.433 1.642 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+]1Cc1ccnc(N(C)C)c1 ZINC001269230306 841384735 /nfs/dbraw/zinc/38/47/35/841384735.db2.gz ZJTSQTXNINFJOC-INIZCTEOSA-N 1 2 314.433 1.642 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCCCC ZINC001269273500 841452168 /nfs/dbraw/zinc/45/21/68/841452168.db2.gz YPAMJMSFZSWCAM-KGLIPLIRSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCCCC ZINC001269273500 841452171 /nfs/dbraw/zinc/45/21/71/841452171.db2.gz YPAMJMSFZSWCAM-KGLIPLIRSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)CCCCN2C(=O)Cc1c[nH+]c[nH]1 ZINC001269569127 841775420 /nfs/dbraw/zinc/77/54/20/841775420.db2.gz MANUYBMVGGBNNZ-INIZCTEOSA-N 1 2 302.378 1.122 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2ccnc(OC)c2)C1 ZINC001269812715 842071615 /nfs/dbraw/zinc/07/16/15/842071615.db2.gz QUXNRVMLDQSHNU-UHFFFAOYSA-N 1 2 305.378 1.049 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CCF)cc1C#N ZINC001270105963 842301190 /nfs/dbraw/zinc/30/11/90/842301190.db2.gz JEGLMLUMQXHKES-OKILXGFUSA-N 1 2 304.369 1.432 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CCF)cc1C#N ZINC001270105963 842301202 /nfs/dbraw/zinc/30/12/02/842301202.db2.gz JEGLMLUMQXHKES-OKILXGFUSA-N 1 2 304.369 1.432 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@](C)(NC(=O)c2ccc(C)s2)C1 ZINC001270528245 842643379 /nfs/dbraw/zinc/64/33/79/842643379.db2.gz BZCPDVYXKPJRFK-INIZCTEOSA-N 1 2 321.446 1.553 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@](C)(NC(=O)c2ccc(C)s2)C1 ZINC001270528245 842643386 /nfs/dbraw/zinc/64/33/86/842643386.db2.gz BZCPDVYXKPJRFK-INIZCTEOSA-N 1 2 321.446 1.553 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(CCC2(C#N)CCCCC2)CC1 ZINC001364354598 843158635 /nfs/dbraw/zinc/15/86/35/843158635.db2.gz SFSJOZALVIMRFM-OAQYLSRUSA-N 1 2 311.495 1.847 20 30 DDEDLO C=C(C)CCC(=O)NCC1([NH2+]Cc2csnn2)CCOCC1 ZINC001271044337 843159592 /nfs/dbraw/zinc/15/95/92/843159592.db2.gz PYPXEIDFPSINPU-UHFFFAOYSA-N 1 2 324.450 1.649 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1(O)C[NH+](C[C@@H]2CC(C)(C)CO2)C1 ZINC001271351589 843516087 /nfs/dbraw/zinc/51/60/87/843516087.db2.gz ZWEAUIXMQLHALQ-YOEHRIQHSA-N 1 2 324.465 1.567 20 30 DDEDLO C[C@H](NCC#N)[C@@H]1CCCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001271646766 843752303 /nfs/dbraw/zinc/75/23/03/843752303.db2.gz ZALUSOACIYZUNY-QWHCGFSZSA-N 1 2 311.389 1.917 20 30 DDEDLO C#CC[NH2+]C[C@@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@@H]1C ZINC001271650536 843762515 /nfs/dbraw/zinc/76/25/15/843762515.db2.gz XEGIRVKIWLDYGL-XJKSGUPXSA-N 1 2 323.400 1.403 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1COCC[N@@H+]1CCCC1CCC1 ZINC001326631157 861494135 /nfs/dbraw/zinc/49/41/35/861494135.db2.gz AKMYERRBVNXKOE-RDJZCZTQSA-N 1 2 322.449 1.422 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1COCC[N@H+]1CCCC1CCC1 ZINC001326631157 861494145 /nfs/dbraw/zinc/49/41/45/861494145.db2.gz AKMYERRBVNXKOE-RDJZCZTQSA-N 1 2 322.449 1.422 20 30 DDEDLO Cn1c[nH+]cc1C1CCN(S(=O)(=O)CC(C)(C)C#N)CC1 ZINC001424908095 844857852 /nfs/dbraw/zinc/85/78/52/844857852.db2.gz ACQOHEKJDBCEPV-UHFFFAOYSA-N 1 2 310.423 1.479 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C1C[NH+](Cc2ccncc2Cl)C1 ZINC001409841016 845887275 /nfs/dbraw/zinc/88/72/75/845887275.db2.gz LDIOMNZYXDENFG-MNOVXSKESA-N 1 2 306.797 1.831 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(C)c(Br)cn2)CC1 ZINC001232907103 845991324 /nfs/dbraw/zinc/99/13/24/845991324.db2.gz LKEIQACOLUQXCU-UHFFFAOYSA-N 1 2 308.223 1.903 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@H+](Cc3cnn[nH]3)CC2)C1=O ZINC001272570991 846327032 /nfs/dbraw/zinc/32/70/32/846327032.db2.gz WOLXFEDYSHYBRL-INIZCTEOSA-N 1 2 303.410 1.585 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@@H+](Cc3cnn[nH]3)CC2)C1=O ZINC001272570991 846327044 /nfs/dbraw/zinc/32/70/44/846327044.db2.gz WOLXFEDYSHYBRL-INIZCTEOSA-N 1 2 303.410 1.585 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@H+](Cc3c[nH]nn3)CC2)C1=O ZINC001272570991 846327054 /nfs/dbraw/zinc/32/70/54/846327054.db2.gz WOLXFEDYSHYBRL-INIZCTEOSA-N 1 2 303.410 1.585 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@@H+](Cc3c[nH]nn3)CC2)C1=O ZINC001272570991 846327066 /nfs/dbraw/zinc/32/70/66/846327066.db2.gz WOLXFEDYSHYBRL-INIZCTEOSA-N 1 2 303.410 1.585 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@H+](Cc3cn[nH]n3)CC2)C1=O ZINC001272570991 846327073 /nfs/dbraw/zinc/32/70/73/846327073.db2.gz WOLXFEDYSHYBRL-INIZCTEOSA-N 1 2 303.410 1.585 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@@H+](Cc3cn[nH]n3)CC2)C1=O ZINC001272570991 846327080 /nfs/dbraw/zinc/32/70/80/846327080.db2.gz WOLXFEDYSHYBRL-INIZCTEOSA-N 1 2 303.410 1.585 20 30 DDEDLO Cn1ccc(C[N@@H+]2CCC[C@@]23CCN(CCCC#N)C3=O)n1 ZINC001272736096 846739906 /nfs/dbraw/zinc/73/99/06/846739906.db2.gz TWZQRSXEINEWNQ-INIZCTEOSA-N 1 2 301.394 1.291 20 30 DDEDLO Cn1ccc(C[N@H+]2CCC[C@@]23CCN(CCCC#N)C3=O)n1 ZINC001272736096 846739916 /nfs/dbraw/zinc/73/99/16/846739916.db2.gz TWZQRSXEINEWNQ-INIZCTEOSA-N 1 2 301.394 1.291 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)n2C)C1 ZINC001107736275 846890320 /nfs/dbraw/zinc/89/03/20/846890320.db2.gz TWBOPCAZRCBIOL-KRWDZBQOSA-N 1 2 303.406 1.178 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)n2C)C1 ZINC001107736275 846890323 /nfs/dbraw/zinc/89/03/23/846890323.db2.gz TWBOPCAZRCBIOL-KRWDZBQOSA-N 1 2 303.406 1.178 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1C[N@H+](CC(=C)Cl)CCCO1 ZINC001149622538 861757076 /nfs/dbraw/zinc/75/70/76/861757076.db2.gz BFOBTWLSVLGYFB-ZFWWWQNUSA-N 1 2 316.829 1.273 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1C[N@@H+](CC(=C)Cl)CCCO1 ZINC001149622538 861757083 /nfs/dbraw/zinc/75/70/83/861757083.db2.gz BFOBTWLSVLGYFB-ZFWWWQNUSA-N 1 2 316.829 1.273 20 30 DDEDLO Cc1ccncc1C[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107818472 847194390 /nfs/dbraw/zinc/19/43/90/847194390.db2.gz AFEAEVHLHFZFKQ-YOEHRIQHSA-N 1 2 316.405 1.257 20 30 DDEDLO Cc1ccncc1C[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107818472 847194400 /nfs/dbraw/zinc/19/44/00/847194400.db2.gz AFEAEVHLHFZFKQ-YOEHRIQHSA-N 1 2 316.405 1.257 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001077711772 847209907 /nfs/dbraw/zinc/20/99/07/847209907.db2.gz LLWKTOKMWOAYOP-HUUCEWRRSA-N 1 2 310.353 1.355 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001077711772 847209912 /nfs/dbraw/zinc/20/99/12/847209912.db2.gz LLWKTOKMWOAYOP-HUUCEWRRSA-N 1 2 310.353 1.355 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001077719711 847249835 /nfs/dbraw/zinc/24/98/35/847249835.db2.gz UFYWSSKNXCGUPJ-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001077720646 847258994 /nfs/dbraw/zinc/25/89/94/847258994.db2.gz NDSGECAJSGNAQV-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C#CCNC(=O)[C@H]1CC12CC[NH+](Cc1ccc(Cl)nn1)CC2 ZINC001273349317 849611941 /nfs/dbraw/zinc/61/19/41/849611941.db2.gz QNXJHSYBINFXNR-CYBMUJFWSA-N 1 2 318.808 1.482 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CCC3(C[NH+](CCOCC)C3)O2)C1 ZINC001327364465 862104550 /nfs/dbraw/zinc/10/45/50/862104550.db2.gz MZHRKQUIYMUHHC-OAHLLOKOSA-N 1 2 322.449 1.729 20 30 DDEDLO C=CCCC(=O)NCC[N@H+](Cc1cc2n(n1)CCC2)C1CC1 ZINC001317548897 850411381 /nfs/dbraw/zinc/41/13/81/850411381.db2.gz FKNDSORPNUYHDW-UHFFFAOYSA-N 1 2 302.422 1.876 20 30 DDEDLO C=CCCC(=O)NCC[N@@H+](Cc1cc2n(n1)CCC2)C1CC1 ZINC001317548897 850411387 /nfs/dbraw/zinc/41/13/87/850411387.db2.gz FKNDSORPNUYHDW-UHFFFAOYSA-N 1 2 302.422 1.876 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3cc(C)sn3)C2)OCC1=O ZINC001273629338 851162754 /nfs/dbraw/zinc/16/27/54/851162754.db2.gz JSSDBLCSQKFVAS-HNNXBMFYSA-N 1 2 307.419 1.441 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3cc(C)sn3)C2)OCC1=O ZINC001273629338 851162763 /nfs/dbraw/zinc/16/27/63/851162763.db2.gz JSSDBLCSQKFVAS-HNNXBMFYSA-N 1 2 307.419 1.441 20 30 DDEDLO Cc1ccc(C[NH+]2CC3(C2)C[C@H](NC(=O)C#CC2CC2)CO3)cc1 ZINC001273696637 851237593 /nfs/dbraw/zinc/23/75/93/851237593.db2.gz OSPPFUDBKLGZOZ-SFHVURJKSA-N 1 2 324.424 1.868 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](C[C@@H](O)C(C)C)C[C@@]2(F)C1=O ZINC001273894349 851476633 /nfs/dbraw/zinc/47/66/33/851476633.db2.gz KDEBSOPDOKRAER-VHDGCEQUSA-N 1 2 302.365 1.154 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](C[C@@H](O)C(C)C)C[C@@]2(F)C1=O ZINC001273894349 851476637 /nfs/dbraw/zinc/47/66/37/851476637.db2.gz KDEBSOPDOKRAER-VHDGCEQUSA-N 1 2 302.365 1.154 20 30 DDEDLO Cc1ccnc(C[NH2+]C/C=C\CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001273967004 851624411 /nfs/dbraw/zinc/62/44/11/851624411.db2.gz SZOFRILQYCCADB-IHWYPQMZSA-N 1 2 310.361 1.061 20 30 DDEDLO N#CCC[N@H+]1CCOC[C@@]2(CC(=O)N(CC(F)(F)F)C2)C1 ZINC001274031446 851870043 /nfs/dbraw/zinc/87/00/43/851870043.db2.gz QRAIODSPSNYSFZ-LBPRGKRZSA-N 1 2 305.300 1.013 20 30 DDEDLO N#CCC[N@@H+]1CCOC[C@@]2(CC(=O)N(CC(F)(F)F)C2)C1 ZINC001274031446 851870050 /nfs/dbraw/zinc/87/00/50/851870050.db2.gz QRAIODSPSNYSFZ-LBPRGKRZSA-N 1 2 305.300 1.013 20 30 DDEDLO N#CCCCC[NH+]1CC2(C1)COCC(=O)N2CC(F)(F)F ZINC001274043167 851885626 /nfs/dbraw/zinc/88/56/26/851885626.db2.gz MNWCSFOXGMCACU-UHFFFAOYSA-N 1 2 305.300 1.156 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](C[C@H](O)CCCC)C[C@@]2(F)C1=O ZINC001274570725 852403515 /nfs/dbraw/zinc/40/35/15/852403515.db2.gz CDNHVGZULHDBCS-VNQPRFMTSA-N 1 2 316.392 1.688 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](C[C@H](O)CCCC)C[C@@]2(F)C1=O ZINC001274570725 852403518 /nfs/dbraw/zinc/40/35/18/852403518.db2.gz CDNHVGZULHDBCS-VNQPRFMTSA-N 1 2 316.392 1.688 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@@H+](Cc3nc(CC)cs3)C2)OCC1=O ZINC001274579589 852412495 /nfs/dbraw/zinc/41/24/95/852412495.db2.gz PAVBSIWRZUQRMM-INIZCTEOSA-N 1 2 319.430 1.142 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@H+](Cc3nc(CC)cs3)C2)OCC1=O ZINC001274579589 852412498 /nfs/dbraw/zinc/41/24/98/852412498.db2.gz PAVBSIWRZUQRMM-INIZCTEOSA-N 1 2 319.430 1.142 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1cc(F)ccn1)C2 ZINC001274585793 852418157 /nfs/dbraw/zinc/41/81/57/852418157.db2.gz UGOUTDUGAFZCDZ-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1cc(F)ccn1)C2 ZINC001274585793 852418160 /nfs/dbraw/zinc/41/81/60/852418160.db2.gz UGOUTDUGAFZCDZ-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[C@@H]1C ZINC001274625681 852456181 /nfs/dbraw/zinc/45/61/81/852456181.db2.gz WBGNGRATZCONFX-GXTWGEPZSA-N 1 2 318.421 1.897 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[C@@H]1C ZINC001274625681 852456188 /nfs/dbraw/zinc/45/61/88/852456188.db2.gz WBGNGRATZCONFX-GXTWGEPZSA-N 1 2 318.421 1.897 20 30 DDEDLO C=CCN1CC[C@]2(CC[N@@H+](Cc3cc(C#N)ccc3O)C2)C1=O ZINC001274666774 852501127 /nfs/dbraw/zinc/50/11/27/852501127.db2.gz HRVMKISVMRPSTR-SFHVURJKSA-N 1 2 311.385 1.874 20 30 DDEDLO C=CCN1CC[C@]2(CC[N@H+](Cc3cc(C#N)ccc3O)C2)C1=O ZINC001274666774 852501133 /nfs/dbraw/zinc/50/11/33/852501133.db2.gz HRVMKISVMRPSTR-SFHVURJKSA-N 1 2 311.385 1.874 20 30 DDEDLO C#CCNC(=O)[C@@H]1CC12CC[NH+](Cc1cc(C#N)ccc1O)CC2 ZINC001274665800 852501519 /nfs/dbraw/zinc/50/15/19/852501519.db2.gz CIXAMZKJEOGOFW-INIZCTEOSA-N 1 2 323.396 1.615 20 30 DDEDLO N#CCCCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1[nH]ccc1C#N ZINC001274855115 852643951 /nfs/dbraw/zinc/64/39/51/852643951.db2.gz MBDVRYMMARHTMW-HZPDHXFCSA-N 1 2 311.389 1.755 20 30 DDEDLO N#CCCCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1[nH]ccc1C#N ZINC001274855115 852643954 /nfs/dbraw/zinc/64/39/54/852643954.db2.gz MBDVRYMMARHTMW-HZPDHXFCSA-N 1 2 311.389 1.755 20 30 DDEDLO Cc1ccc(CN2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)cc1C#N ZINC001275374040 853033998 /nfs/dbraw/zinc/03/39/98/853033998.db2.gz RLTHVVMUEYULTK-UHFFFAOYSA-N 1 2 307.401 1.908 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)CCc2ccccc2)C1 ZINC001276101470 854765470 /nfs/dbraw/zinc/76/54/70/854765470.db2.gz WKHXDHXMPUUMTH-UHFFFAOYSA-N 1 2 300.402 1.196 20 30 DDEDLO Cc1cc(N(C)CCCNC(=O)Cc2c[nH]c[nH+]2)c(C#N)cn1 ZINC001095749223 855312010 /nfs/dbraw/zinc/31/20/10/855312010.db2.gz YBOPLIMLSLGAGM-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO C=C1CCC(C(=O)N2CC3(C2)CC[N@H+](Cc2cnon2)C3)CC1 ZINC001072946752 857923569 /nfs/dbraw/zinc/92/35/69/857923569.db2.gz PBCRXHVWPOAXGO-UHFFFAOYSA-N 1 2 316.405 1.850 20 30 DDEDLO C=C1CCC(C(=O)N2CC3(C2)CC[N@@H+](Cc2cnon2)C3)CC1 ZINC001072946752 857923574 /nfs/dbraw/zinc/92/35/74/857923574.db2.gz PBCRXHVWPOAXGO-UHFFFAOYSA-N 1 2 316.405 1.850 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@@H+](Cc2nncn2C)C3)C1 ZINC001072964053 857943323 /nfs/dbraw/zinc/94/33/23/857943323.db2.gz HNZAHPOZFQKWNN-UHFFFAOYSA-N 1 2 315.421 1.206 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@H+](Cc2nncn2C)C3)C1 ZINC001072964053 857943324 /nfs/dbraw/zinc/94/33/24/857943324.db2.gz HNZAHPOZFQKWNN-UHFFFAOYSA-N 1 2 315.421 1.206 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[NH2+]Cc1nc(-c2ccc(F)cc2)no1 ZINC001124902891 859843415 /nfs/dbraw/zinc/84/34/15/859843415.db2.gz NRJFEXACRFHDQZ-JTQLQIEISA-N 1 2 317.324 1.241 20 30 DDEDLO COC(=O)C1(O)CC[NH+](Cc2cccc(C#N)c2Cl)CC1 ZINC001140814146 860702527 /nfs/dbraw/zinc/70/25/27/860702527.db2.gz CKWSUZYAPWSDKI-UHFFFAOYSA-N 1 2 308.765 1.712 20 30 DDEDLO CC(=O)N1CCC[N@H+](Cc2sc(N)c(C#N)c2Cl)CC1 ZINC001141108917 860787285 /nfs/dbraw/zinc/78/72/85/860787285.db2.gz IQCKZOXCFMRZLF-UHFFFAOYSA-N 1 2 312.826 1.910 20 30 DDEDLO CC(=O)N1CCC[N@@H+](Cc2sc(N)c(C#N)c2Cl)CC1 ZINC001141108917 860787291 /nfs/dbraw/zinc/78/72/91/860787291.db2.gz IQCKZOXCFMRZLF-UHFFFAOYSA-N 1 2 312.826 1.910 20 30 DDEDLO CN(C)C(=O)[C@@H]1C[C@@H]2[C@@H](CC[N@@H+]2Cc2ccc(F)cc2C#N)O1 ZINC001141163620 860800881 /nfs/dbraw/zinc/80/08/81/860800881.db2.gz MMZGAMCMXCRJGR-OAGGEKHMSA-N 1 2 317.364 1.517 20 30 DDEDLO CN(C)C(=O)[C@@H]1C[C@@H]2[C@@H](CC[N@H+]2Cc2ccc(F)cc2C#N)O1 ZINC001141163620 860800883 /nfs/dbraw/zinc/80/08/83/860800883.db2.gz MMZGAMCMXCRJGR-OAGGEKHMSA-N 1 2 317.364 1.517 20 30 DDEDLO Cc1nocc1C[N@H+]1CCC[C@@](CO)(NC(=O)C#CC(C)C)C1 ZINC001325832581 860865842 /nfs/dbraw/zinc/86/58/42/860865842.db2.gz CMUVUZCYLTYXNW-QGZVFWFLSA-N 1 2 319.405 1.086 20 30 DDEDLO Cc1nocc1C[N@@H+]1CCC[C@@](CO)(NC(=O)C#CC(C)C)C1 ZINC001325832581 860865849 /nfs/dbraw/zinc/86/58/49/860865849.db2.gz CMUVUZCYLTYXNW-QGZVFWFLSA-N 1 2 319.405 1.086 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001154492845 861146421 /nfs/dbraw/zinc/14/64/21/861146421.db2.gz QWVAOUMSYJJHCA-CQSZACIVSA-N 1 2 311.389 1.836 20 30 DDEDLO CC[N@H+](Cc1snnc1C)[C@H](C)CNC(=O)C#CC(C)C ZINC001153207257 863764567 /nfs/dbraw/zinc/76/45/67/863764567.db2.gz DDNWKTSHJSEIQJ-GFCCVEGCSA-N 1 2 308.451 1.833 20 30 DDEDLO CC[N@@H+](Cc1snnc1C)[C@H](C)CNC(=O)C#CC(C)C ZINC001153207257 863764571 /nfs/dbraw/zinc/76/45/71/863764571.db2.gz DDNWKTSHJSEIQJ-GFCCVEGCSA-N 1 2 308.451 1.833 20 30 DDEDLO C=CC(C)(C)C(=O)NC1(CCO)C[NH+](C[C@H]2CC[C@H](C)O2)C1 ZINC001329682370 863788987 /nfs/dbraw/zinc/78/89/87/863788987.db2.gz SYNGVIFYXSZDQM-UONOGXRCSA-N 1 2 310.438 1.319 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)CC2=CCCCC2)C1 ZINC001329973217 863987526 /nfs/dbraw/zinc/98/75/26/863987526.db2.gz UVVUUYDCSWYWOY-AWEZNQCLSA-N 1 2 319.449 1.616 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C1C[NH+](CC(=O)NC2CCCC2)C1 ZINC001329985329 863997560 /nfs/dbraw/zinc/99/75/60/863997560.db2.gz TZOVELFEPASDGO-CQSZACIVSA-N 1 2 319.449 1.285 20 30 DDEDLO CC(C)C#CC(=O)NCCC[NH2+]Cc1noc(CC(C)C)n1 ZINC001157644100 864007425 /nfs/dbraw/zinc/00/74/25/864007425.db2.gz LHUPBXLRCMRLDC-UHFFFAOYSA-N 1 2 306.410 1.523 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)CC1(C)C ZINC001330200657 864150497 /nfs/dbraw/zinc/15/04/97/864150497.db2.gz AIIIZHARBPPIIQ-CYBMUJFWSA-N 1 2 320.437 1.055 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)CC1(C)C ZINC001330200657 864150502 /nfs/dbraw/zinc/15/05/02/864150502.db2.gz AIIIZHARBPPIIQ-CYBMUJFWSA-N 1 2 320.437 1.055 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC001157885450 864220822 /nfs/dbraw/zinc/22/08/22/864220822.db2.gz WBQVXWXMKJLGJE-MRXNPFEDSA-N 1 2 317.437 1.432 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC001157885450 864220837 /nfs/dbraw/zinc/22/08/37/864220837.db2.gz WBQVXWXMKJLGJE-MRXNPFEDSA-N 1 2 317.437 1.432 20 30 DDEDLO C=CCOCC(=O)N1CCC([N@H+](C)Cc2nncs2)CC1 ZINC001331000215 864766611 /nfs/dbraw/zinc/76/66/11/864766611.db2.gz BTTQYAJEZBWCMJ-UHFFFAOYSA-N 1 2 310.423 1.164 20 30 DDEDLO C=CCOCC(=O)N1CCC([N@@H+](C)Cc2nncs2)CC1 ZINC001331000215 864766616 /nfs/dbraw/zinc/76/66/16/864766616.db2.gz BTTQYAJEZBWCMJ-UHFFFAOYSA-N 1 2 310.423 1.164 20 30 DDEDLO N#Cc1ccc(C2=CC[N@H+](CN3C(=O)[C@@H]4CC[C@@H]4C3=O)CC2)cc1 ZINC001331007701 864773561 /nfs/dbraw/zinc/77/35/61/864773561.db2.gz KDIITKRKOUSHNW-CALCHBBNSA-N 1 2 321.380 2.000 20 30 DDEDLO N#Cc1ccc(C2=CC[N@@H+](CN3C(=O)[C@@H]4CC[C@@H]4C3=O)CC2)cc1 ZINC001331007701 864773566 /nfs/dbraw/zinc/77/35/66/864773566.db2.gz KDIITKRKOUSHNW-CALCHBBNSA-N 1 2 321.380 2.000 20 30 DDEDLO Cc1nc(N2CC([NH+]3C[C@H](C)O[C@@H](C)C3)C2)ccc1CC#N ZINC001158726299 864860030 /nfs/dbraw/zinc/86/00/30/864860030.db2.gz DYITUAYNWRLMJK-STQMWFEESA-N 1 2 300.406 1.754 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC001331232439 864955800 /nfs/dbraw/zinc/95/58/00/864955800.db2.gz HZFPQYXNLYFTJA-ZIAGYGMSSA-N 1 2 316.405 1.303 20 30 DDEDLO Cc1cc(=O)oc2cc(NC[C@H](O)C[N@H+](C)CCC#N)ccc12 ZINC001331970604 865470102 /nfs/dbraw/zinc/47/01/02/865470102.db2.gz IWSYPPRRRPLUJG-AWEZNQCLSA-N 1 2 315.373 1.720 20 30 DDEDLO Cc1cc(=O)oc2cc(NC[C@H](O)C[N@@H+](C)CCC#N)ccc12 ZINC001331970604 865470109 /nfs/dbraw/zinc/47/01/09/865470109.db2.gz IWSYPPRRRPLUJG-AWEZNQCLSA-N 1 2 315.373 1.720 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@H](CNC(=O)C#CC2CC2)C(C)C)no1 ZINC001319910070 866375840 /nfs/dbraw/zinc/37/58/40/866375840.db2.gz CRUZTZRZCBIPJI-SMDDNHRTSA-N 1 2 304.394 1.583 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]([NH2+]Cc1nnn(C)n1)C(C)C ZINC001319948124 866398129 /nfs/dbraw/zinc/39/81/29/866398129.db2.gz SWGRPYKKUXFEGR-GFCCVEGCSA-N 1 2 308.430 1.043 20 30 DDEDLO C=CCCC(=O)N(C)CC[N@H+](C)CC(=O)Nc1ccc(O)cc1 ZINC001319981479 866415279 /nfs/dbraw/zinc/41/52/79/866415279.db2.gz PKAYOLNWXIEHMJ-UHFFFAOYSA-N 1 2 319.405 1.687 20 30 DDEDLO C=CCCC(=O)N(C)CC[N@@H+](C)CC(=O)Nc1ccc(O)cc1 ZINC001319981479 866415289 /nfs/dbraw/zinc/41/52/89/866415289.db2.gz PKAYOLNWXIEHMJ-UHFFFAOYSA-N 1 2 319.405 1.687 20 30 DDEDLO C=CCCc1ccc(S(=O)(=O)NCC[NH+]2CC=CC2)cc1 ZINC001333134053 866449370 /nfs/dbraw/zinc/44/93/70/866449370.db2.gz QBOBXJIOTKJVLX-UHFFFAOYSA-N 1 2 306.431 1.955 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001323280468 866496899 /nfs/dbraw/zinc/49/68/99/866496899.db2.gz YWNNUQMEAQWBKI-CQSZACIVSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001323280468 866496902 /nfs/dbraw/zinc/49/69/02/866496902.db2.gz YWNNUQMEAQWBKI-CQSZACIVSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)CC(C)=C(C)C)C1=O ZINC001323286341 866500015 /nfs/dbraw/zinc/50/00/15/866500015.db2.gz DZSSQZQCLUWYTB-HOTGVXAUSA-N 1 2 319.449 1.710 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)CC(C)=C(C)C)C1=O ZINC001323286341 866500029 /nfs/dbraw/zinc/50/00/29/866500029.db2.gz DZSSQZQCLUWYTB-HOTGVXAUSA-N 1 2 319.449 1.710 20 30 DDEDLO C#CCCCC(=O)NCC1=CC[N@H+](Cc2ccn(C)n2)CC1 ZINC001333327204 866637164 /nfs/dbraw/zinc/63/71/64/866637164.db2.gz GMHBRCSOQZCYIZ-UHFFFAOYSA-N 1 2 300.406 1.472 20 30 DDEDLO C#CCCCC(=O)NCC1=CC[N@@H+](Cc2ccn(C)n2)CC1 ZINC001333327204 866637171 /nfs/dbraw/zinc/63/71/71/866637171.db2.gz GMHBRCSOQZCYIZ-UHFFFAOYSA-N 1 2 300.406 1.472 20 30 DDEDLO Cn1ccc(C(=O)N2CCC([N@H+](CC#N)CC3CC3)CC2)c1 ZINC001323720355 866793881 /nfs/dbraw/zinc/79/38/81/866793881.db2.gz NPQLPZGKGGFVMA-UHFFFAOYSA-N 1 2 300.406 1.865 20 30 DDEDLO Cn1ccc(C(=O)N2CCC([N@@H+](CC#N)CC3CC3)CC2)c1 ZINC001323720355 866793890 /nfs/dbraw/zinc/79/38/90/866793890.db2.gz NPQLPZGKGGFVMA-UHFFFAOYSA-N 1 2 300.406 1.865 20 30 DDEDLO C=CCn1nnnc1N1CCC(CCCn2cc[nH+]c2)CC1 ZINC001333673032 866922563 /nfs/dbraw/zinc/92/25/63/866922563.db2.gz LDUGDQSLLVXDLI-UHFFFAOYSA-N 1 2 301.398 1.752 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CC(NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001333715015 866956878 /nfs/dbraw/zinc/95/68/78/866956878.db2.gz WPSQTBFCGHKABE-UHFFFAOYSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CC(NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001333715015 866956895 /nfs/dbraw/zinc/95/68/95/866956895.db2.gz WPSQTBFCGHKABE-UHFFFAOYSA-N 1 2 318.421 1.566 20 30 DDEDLO N#CCCc1ccc(S(=O)(=O)NCC[NH+]2CC=CC2)cc1 ZINC001334004869 867226302 /nfs/dbraw/zinc/22/63/02/867226302.db2.gz HJLYOZLKBMIWKV-UHFFFAOYSA-N 1 2 305.403 1.293 20 30 DDEDLO C#CCN(C(=O)[C@@H]1C[C@@H]1C)C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001324365677 867229811 /nfs/dbraw/zinc/22/98/11/867229811.db2.gz XUDOHTKOTWAIQE-WMLDXEAASA-N 1 2 314.433 1.502 20 30 DDEDLO C[C@@H](CC(=O)N[C@H](CNCC#N)C1CCCC1)n1cc[nH+]c1 ZINC001324507784 867319975 /nfs/dbraw/zinc/31/99/75/867319975.db2.gz XHBSAJNQAPUSAE-DZGCQCFKSA-N 1 2 303.410 1.622 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)[C@@H](C)CNC(=O)Cn1cc[nH+]c1 ZINC001334370562 867521235 /nfs/dbraw/zinc/52/12/35/867521235.db2.gz SEMAJOSLJBIENO-UONOGXRCSA-N 1 2 306.410 1.449 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)N[C@](C)(C2CCCC2)C1=O ZINC001321474017 867614151 /nfs/dbraw/zinc/61/41/51/867614151.db2.gz NADTVYJOPZJAML-QGZVFWFLSA-N 1 2 303.406 1.790 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)N[C@](C)(C2CCCC2)C1=O ZINC001321474017 867614157 /nfs/dbraw/zinc/61/41/57/867614157.db2.gz NADTVYJOPZJAML-QGZVFWFLSA-N 1 2 303.406 1.790 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)CCC[N@@H+](Cc2cn(C)nn2)C1 ZINC001324920619 867626992 /nfs/dbraw/zinc/62/69/92/867626992.db2.gz KMUCLJUBBURJGT-INIZCTEOSA-N 1 2 305.426 1.500 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)CCC[N@H+](Cc2cn(C)nn2)C1 ZINC001324920619 867626998 /nfs/dbraw/zinc/62/69/98/867626998.db2.gz KMUCLJUBBURJGT-INIZCTEOSA-N 1 2 305.426 1.500 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@]1(O)CC[N@H+](Cc2nonc2C)C1 ZINC001325122676 867790573 /nfs/dbraw/zinc/79/05/73/867790573.db2.gz HHXLRNDFJBNQEH-BBRMVZONSA-N 1 2 322.409 1.033 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@]1(O)CC[N@@H+](Cc2nonc2C)C1 ZINC001325122676 867790587 /nfs/dbraw/zinc/79/05/87/867790587.db2.gz HHXLRNDFJBNQEH-BBRMVZONSA-N 1 2 322.409 1.033 20 30 DDEDLO C=CC[C@H](CO)NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001325130727 867802162 /nfs/dbraw/zinc/80/21/62/867802162.db2.gz UXOALQSQUJJHRL-HZPDHXFCSA-N 1 2 303.406 1.489 20 30 DDEDLO C=CC[C@H](CO)NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001325130727 867802168 /nfs/dbraw/zinc/80/21/68/867802168.db2.gz UXOALQSQUJJHRL-HZPDHXFCSA-N 1 2 303.406 1.489 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C[N@H+](C)Cc1cc2n(n1)CCC2 ZINC001322222216 868060994 /nfs/dbraw/zinc/06/09/94/868060994.db2.gz DMEHOPAVXWUAEL-OAHLLOKOSA-N 1 2 316.449 1.959 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC001322222216 868061007 /nfs/dbraw/zinc/06/10/07/868061007.db2.gz DMEHOPAVXWUAEL-OAHLLOKOSA-N 1 2 316.449 1.959 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)C[N@H+](C)CC(=O)Nc2ccon2)C1 ZINC001322243869 868074086 /nfs/dbraw/zinc/07/40/86/868074086.db2.gz JBMFMJFVSZBGCD-LBPRGKRZSA-N 1 2 320.393 1.406 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)C[N@@H+](C)CC(=O)Nc2ccon2)C1 ZINC001322243869 868074095 /nfs/dbraw/zinc/07/40/95/868074095.db2.gz JBMFMJFVSZBGCD-LBPRGKRZSA-N 1 2 320.393 1.406 20 30 DDEDLO C#CCN(C(=O)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1)C(C)C ZINC001335123725 868080285 /nfs/dbraw/zinc/08/02/85/868080285.db2.gz ZMLUEYMIASLPHS-LSDHHAIUSA-N 1 2 316.405 1.163 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[NH2+][C@@H](C)c2noc(C)n2)cc1 ZINC001163729044 868662697 /nfs/dbraw/zinc/66/26/97/868662697.db2.gz LCSVFJZBMFEELR-LBPRGKRZSA-N 1 2 312.373 1.830 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@@H]2CCCC[C@H]2NC(=O)C#CC2CC2)no1 ZINC001226126741 882216830 /nfs/dbraw/zinc/21/68/30/882216830.db2.gz PFOZDTNFKPYXLN-KCPJHIHWSA-N 1 2 316.405 1.869 20 30 DDEDLO CCc1nc(C[NH2+]CC2(CCNC(=O)[C@H](C)C#N)CC2)no1 ZINC001163854303 868756466 /nfs/dbraw/zinc/75/64/66/868756466.db2.gz MSZCHNZHEFRENV-LLVKDONJSA-N 1 2 305.382 1.168 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001164324207 869129359 /nfs/dbraw/zinc/12/93/59/869129359.db2.gz QVEMIEBIXNRAGW-ZDUSSCGKSA-N 1 2 324.425 1.457 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]cn2C)[C@@H]1C ZINC001337982842 869727689 /nfs/dbraw/zinc/72/76/89/869727689.db2.gz GNIALQNNIPVZMU-TZMCWYRMSA-N 1 2 318.421 1.280 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@H]2CCC=CCCC2)CC1 ZINC001316964669 870003373 /nfs/dbraw/zinc/00/33/73/870003373.db2.gz FTAJNUHGQTVCOR-KRWDZBQOSA-N 1 2 303.450 1.490 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](CC)CNC(=O)Cc1c[nH+]cn1C ZINC001297444420 870053039 /nfs/dbraw/zinc/05/30/39/870053039.db2.gz AMBKBTJURDQEIJ-KBPBESRZSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001316994035 870092028 /nfs/dbraw/zinc/09/20/28/870092028.db2.gz HYGWYKRMYUEQPQ-KRWDZBQOSA-N 1 2 313.401 1.841 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001316994035 870092038 /nfs/dbraw/zinc/09/20/38/870092038.db2.gz HYGWYKRMYUEQPQ-KRWDZBQOSA-N 1 2 313.401 1.841 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001316994602 870093432 /nfs/dbraw/zinc/09/34/32/870093432.db2.gz OHZVTEDMYBNYOG-AWEZNQCLSA-N 1 2 306.410 1.012 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001316994602 870093442 /nfs/dbraw/zinc/09/34/42/870093442.db2.gz OHZVTEDMYBNYOG-AWEZNQCLSA-N 1 2 306.410 1.012 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)C1CCCCC1 ZINC001338775086 870163294 /nfs/dbraw/zinc/16/32/94/870163294.db2.gz UFEJVHXQPIKXSV-LSDHHAIUSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)C1CCCCC1 ZINC001338775086 870163301 /nfs/dbraw/zinc/16/33/01/870163301.db2.gz UFEJVHXQPIKXSV-LSDHHAIUSA-N 1 2 319.453 1.971 20 30 DDEDLO C[N@H+](CCNC(=O)C#CC(C)(C)C)CC(=O)NC1CCCCC1 ZINC001317448002 870854856 /nfs/dbraw/zinc/85/48/56/870854856.db2.gz LKXZDSPPBYTAFD-UHFFFAOYSA-N 1 2 321.465 1.533 20 30 DDEDLO C[N@@H+](CCNC(=O)C#CC(C)(C)C)CC(=O)NC1CCCCC1 ZINC001317448002 870854872 /nfs/dbraw/zinc/85/48/72/870854872.db2.gz LKXZDSPPBYTAFD-UHFFFAOYSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001317460992 870876373 /nfs/dbraw/zinc/87/63/73/870876373.db2.gz OHROKLPPXHXSSD-HUUCEWRRSA-N 1 2 319.449 1.000 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001317460992 870876383 /nfs/dbraw/zinc/87/63/83/870876383.db2.gz OHROKLPPXHXSSD-HUUCEWRRSA-N 1 2 319.449 1.000 20 30 DDEDLO C=CCN(C)c1nnc(C(C)C)n1C[C@H](C)[NH+]1CCOCC1 ZINC001301268375 870983060 /nfs/dbraw/zinc/98/30/60/870983060.db2.gz JOWKFONGCGHTPX-AWEZNQCLSA-N 1 2 307.442 1.744 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCC[C@@H]3O)n2CC)CC1 ZINC001341115360 871508158 /nfs/dbraw/zinc/50/81/58/871508158.db2.gz DOPCKZOHEFCORJ-GJZGRUSLSA-N 1 2 317.437 1.072 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+](Cc2ncc(CC)o2)CC1 ZINC001226589362 882525549 /nfs/dbraw/zinc/52/55/49/882525549.db2.gz NZXZOXRIMVGULZ-UHFFFAOYSA-N 1 2 307.394 1.520 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCC(CNCC#N)CC2)c[nH+]1 ZINC001205512390 871597645 /nfs/dbraw/zinc/59/76/45/871597645.db2.gz KUSUFAKCBUTGNR-UHFFFAOYSA-N 1 2 303.410 1.278 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2occc2Cl)C1 ZINC001317943357 871640545 /nfs/dbraw/zinc/64/05/45/871640545.db2.gz HCLQYPUHRXZQIY-GFCCVEGCSA-N 1 2 310.781 1.777 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2occc2Cl)C1 ZINC001317943357 871640556 /nfs/dbraw/zinc/64/05/56/871640556.db2.gz HCLQYPUHRXZQIY-GFCCVEGCSA-N 1 2 310.781 1.777 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)C1=CCCCCC1 ZINC001317499627 871692203 /nfs/dbraw/zinc/69/22/03/871692203.db2.gz PCTLEGKXONPUSA-HNNXBMFYSA-N 1 2 318.421 1.097 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@H]1CNC(=O)C1=CCCCCC1 ZINC001317499627 871692215 /nfs/dbraw/zinc/69/22/15/871692215.db2.gz PCTLEGKXONPUSA-HNNXBMFYSA-N 1 2 318.421 1.097 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)Cc2c(F)cccc2F)C1 ZINC001318057978 871716928 /nfs/dbraw/zinc/71/69/28/871716928.db2.gz SENLSNXTZFCKFY-UHFFFAOYSA-N 1 2 310.344 1.510 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc3c(c2)COC3)C1 ZINC001318070152 871725082 /nfs/dbraw/zinc/72/50/82/871725082.db2.gz USUNFQXNALCSMY-UHFFFAOYSA-N 1 2 302.374 1.333 20 30 DDEDLO CCC(C)(C)NC(=O)[C@@H](C)[NH+]1CC(CNC(=O)C#CC2CC2)C1 ZINC001318119567 871761215 /nfs/dbraw/zinc/76/12/15/871761215.db2.gz VZRYEIQYDOSBDL-CYBMUJFWSA-N 1 2 319.449 1.141 20 30 DDEDLO O=C(C#CC1CC1)NCC1C[NH+](CC#Cc2ccc(F)cc2)C1 ZINC001318119436 871762680 /nfs/dbraw/zinc/76/26/80/871762680.db2.gz UQXVUVKXMPSOAG-UHFFFAOYSA-N 1 2 310.372 1.639 20 30 DDEDLO C=CCN(c1nnc([C@H]2CCC[N@@H+]2C)n1CCOC)C1CC1 ZINC001341670546 871764661 /nfs/dbraw/zinc/76/46/61/871764661.db2.gz HMANEGDMZWFKMF-CQSZACIVSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCN(c1nnc([C@H]2CCC[N@H+]2C)n1CCOC)C1CC1 ZINC001341670546 871764678 /nfs/dbraw/zinc/76/46/78/871764678.db2.gz HMANEGDMZWFKMF-CQSZACIVSA-N 1 2 305.426 1.846 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)cs2)C1 ZINC001318253167 871882697 /nfs/dbraw/zinc/88/26/97/871882697.db2.gz MPLQXCOENAHWLQ-STQMWFEESA-N 1 2 307.419 1.180 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)cs2)C1 ZINC001318253167 871882720 /nfs/dbraw/zinc/88/27/20/871882720.db2.gz MPLQXCOENAHWLQ-STQMWFEESA-N 1 2 307.419 1.180 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[N@H+](C)Cc1nc(C(C)(C)C)no1 ZINC001316815206 871932568 /nfs/dbraw/zinc/93/25/68/871932568.db2.gz IIJPIUXTOURIMI-LLVKDONJSA-N 1 2 307.398 1.465 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[N@@H+](C)Cc1nc(C(C)(C)C)no1 ZINC001316815206 871932585 /nfs/dbraw/zinc/93/25/85/871932585.db2.gz IIJPIUXTOURIMI-LLVKDONJSA-N 1 2 307.398 1.465 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cc2F)C1 ZINC001318331475 871936955 /nfs/dbraw/zinc/93/69/55/871936955.db2.gz PZJBIPRABWKYBW-SWLSCSKDSA-N 1 2 322.355 1.694 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cc2F)C1 ZINC001318331475 871936960 /nfs/dbraw/zinc/93/69/60/871936960.db2.gz PZJBIPRABWKYBW-SWLSCSKDSA-N 1 2 322.355 1.694 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)C[C@H]1CC ZINC001342062524 871978822 /nfs/dbraw/zinc/97/88/22/871978822.db2.gz WLEVJOIHWGUJSK-KBXIAJHMSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@H](C)C[C@H]1CC ZINC001342062524 871978832 /nfs/dbraw/zinc/97/88/32/871978832.db2.gz WLEVJOIHWGUJSK-KBXIAJHMSA-N 1 2 319.453 1.826 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCOCCN(C)C(=O)CC ZINC001316758798 872004065 /nfs/dbraw/zinc/00/40/65/872004065.db2.gz DBEGNXPQPSZQRP-UHFFFAOYSA-N 1 2 307.232 1.712 20 30 DDEDLO C=C(Br)C[N@H+](C)CCOCCN(C)C(=O)CC ZINC001316758798 872004086 /nfs/dbraw/zinc/00/40/86/872004086.db2.gz DBEGNXPQPSZQRP-UHFFFAOYSA-N 1 2 307.232 1.712 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccc(F)cn2)C[C@H]1NC(=O)CSCC#N ZINC001206090507 872046743 /nfs/dbraw/zinc/04/67/43/872046743.db2.gz YHNNYCQQPURGDK-BXUZGUMPSA-N 1 2 322.409 1.414 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccc(F)cn2)C[C@H]1NC(=O)CSCC#N ZINC001206090507 872046762 /nfs/dbraw/zinc/04/67/62/872046762.db2.gz YHNNYCQQPURGDK-BXUZGUMPSA-N 1 2 322.409 1.414 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@@H](CC)CNC(=O)C#CC2CC2)n1 ZINC001318525028 872131188 /nfs/dbraw/zinc/13/11/88/872131188.db2.gz ROBNHOSCRPZUBT-AAEUAGOBSA-N 1 2 304.394 1.591 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](CC)[NH2+]Cc2nc(COC)no2)C1 ZINC001318570122 872152175 /nfs/dbraw/zinc/15/21/75/872152175.db2.gz KZRVCFBJDDMESG-GFCCVEGCSA-N 1 2 322.409 1.557 20 30 DDEDLO N#CCNCC1CCN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001206238367 872154462 /nfs/dbraw/zinc/15/44/62/872154462.db2.gz NWNFCDQXTSJCIH-ZDUSSCGKSA-N 1 2 301.394 1.181 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@H](COC)C1 ZINC001342415847 872198478 /nfs/dbraw/zinc/19/84/78/872198478.db2.gz MKGMFPCVLMQANP-ZDUSSCGKSA-N 1 2 316.409 1.261 20 30 DDEDLO CCOC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)nc1 ZINC001226699219 882595550 /nfs/dbraw/zinc/59/55/50/882595550.db2.gz OXUASYGASUNTJI-KYFMZXIUSA-N 1 2 304.346 1.250 20 30 DDEDLO CCOC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)nc1 ZINC001226699219 882595557 /nfs/dbraw/zinc/59/55/57/882595557.db2.gz OXUASYGASUNTJI-KYFMZXIUSA-N 1 2 304.346 1.250 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2cnc(OC)nc2)C1 ZINC001206438021 872325330 /nfs/dbraw/zinc/32/53/30/872325330.db2.gz JUODZQCQPMIIJY-CYBMUJFWSA-N 1 2 306.366 1.362 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2cnc(OC)nc2)C1 ZINC001206438021 872325359 /nfs/dbraw/zinc/32/53/59/872325359.db2.gz JUODZQCQPMIIJY-CYBMUJFWSA-N 1 2 306.366 1.362 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C1CC[NH+](Cc2ccon2)CC1 ZINC001316932451 872408088 /nfs/dbraw/zinc/40/80/88/872408088.db2.gz QFQXOXAGOFDTPT-INIZCTEOSA-N 1 2 307.394 1.425 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)COc2cccc(OC)c2)C1 ZINC001319323228 872575504 /nfs/dbraw/zinc/57/55/04/872575504.db2.gz VWLWOWBYAHNJDJ-MRXNPFEDSA-N 1 2 320.389 1.077 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)COc2cccc(OC)c2)C1 ZINC001319323228 872575513 /nfs/dbraw/zinc/57/55/13/872575513.db2.gz VWLWOWBYAHNJDJ-MRXNPFEDSA-N 1 2 320.389 1.077 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)CC(C)(C)O)C1 ZINC001206915929 872762925 /nfs/dbraw/zinc/76/29/25/872762925.db2.gz OTJUULVZKKPLEO-MWLCHTKSSA-N 1 2 319.243 1.493 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)CC(C)(C)O)C1 ZINC001206915929 872762934 /nfs/dbraw/zinc/76/29/34/872762934.db2.gz OTJUULVZKKPLEO-MWLCHTKSSA-N 1 2 319.243 1.493 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)CN(C)C(=O)C2CC2)C1 ZINC001381778235 882649909 /nfs/dbraw/zinc/64/99/09/882649909.db2.gz QDJUERWFXITHTN-LLVKDONJSA-N 1 2 313.829 1.044 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]2C)CCC1 ZINC001207510988 873341020 /nfs/dbraw/zinc/34/10/20/873341020.db2.gz XYXYDSUGQPTMDU-GDBMZVCRSA-N 1 2 314.433 1.550 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]2C)CCC1 ZINC001207510988 873341025 /nfs/dbraw/zinc/34/10/25/873341025.db2.gz XYXYDSUGQPTMDU-GDBMZVCRSA-N 1 2 314.433 1.550 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@@H]1CCOC1 ZINC001345625321 873459215 /nfs/dbraw/zinc/45/92/15/873459215.db2.gz FQFZQDMYNSYZJG-KKUMJFAQSA-N 1 2 317.437 1.539 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@@H]1CCOC1 ZINC001345625321 873459223 /nfs/dbraw/zinc/45/92/23/873459223.db2.gz FQFZQDMYNSYZJG-KKUMJFAQSA-N 1 2 317.437 1.539 20 30 DDEDLO C=C[C@@H](C(=O)N1CC[NH+]([C@H]2CCOC2)CC1)c1ccccc1 ZINC001348845816 874824723 /nfs/dbraw/zinc/82/47/23/874824723.db2.gz IYFPTJPASLJSJP-DLBZAZTESA-N 1 2 300.402 1.889 20 30 DDEDLO Cc1cc(C[NH+]2CCC(NC(=O)C#CC3CC3)CC2)nn1C ZINC001227289779 882954456 /nfs/dbraw/zinc/95/44/56/882954456.db2.gz JBYSQAGWQNHSKQ-UHFFFAOYSA-N 1 2 300.406 1.223 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001350711153 875849940 /nfs/dbraw/zinc/84/99/40/875849940.db2.gz JKXXRDNQZJLTMB-YOEHRIQHSA-N 1 2 318.421 1.545 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)[C@@H](CC#N)c1ccccc1 ZINC001362084116 882995057 /nfs/dbraw/zinc/99/50/57/882995057.db2.gz CFJGRGFMWFGYFE-ZBFHGGJFSA-N 1 2 301.390 1.521 20 30 DDEDLO CC#CC[NH2+]C1(CNC(=O)c2[nH]nc3c2C[C@@H](C)CC3)CC1 ZINC001277209738 883023664 /nfs/dbraw/zinc/02/36/64/883023664.db2.gz SEHCHUXBMVVGMB-LBPRGKRZSA-N 1 2 300.406 1.410 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1C[C@@H](C)[C@H](NCC#N)C1)n1cc[nH+]c1 ZINC001214913594 876503694 /nfs/dbraw/zinc/50/36/94/876503694.db2.gz CMXPIQGHICUWKS-KFWWJZLASA-N 1 2 303.410 1.430 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001353417930 877313305 /nfs/dbraw/zinc/31/33/05/877313305.db2.gz UDXXRBKOWSPYNM-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO CC#CCCCC(=O)N1CCO[C@@H]2C[N@@H+](C[C@@H](F)CC)C[C@@H]21 ZINC001218503069 877511841 /nfs/dbraw/zinc/51/18/41/877511841.db2.gz BFBMXXKMVBBRIB-HRCADAONSA-N 1 2 310.413 1.840 20 30 DDEDLO CC#CCCCC(=O)N1CCO[C@@H]2C[N@H+](C[C@@H](F)CC)C[C@@H]21 ZINC001218503069 877511855 /nfs/dbraw/zinc/51/18/55/877511855.db2.gz BFBMXXKMVBBRIB-HRCADAONSA-N 1 2 310.413 1.840 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](CCNC(=O)Cn1cc[nH+]c1)C(C)C ZINC001287455637 912246700 /nfs/dbraw/zinc/24/67/00/912246700.db2.gz JHUJAOROMJDCFR-CQSZACIVSA-N 1 2 320.437 1.742 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1C[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001353808199 877581202 /nfs/dbraw/zinc/58/12/02/877581202.db2.gz HXDZMOVNXAPCFJ-KFWWJZLASA-N 1 2 318.421 1.639 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001379679175 877724314 /nfs/dbraw/zinc/72/43/14/877724314.db2.gz DWPBDYZTCTUEDF-JTQLQIEISA-N 1 2 324.812 1.862 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001379679175 877724324 /nfs/dbraw/zinc/72/43/24/877724324.db2.gz DWPBDYZTCTUEDF-JTQLQIEISA-N 1 2 324.812 1.862 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001354684494 878152189 /nfs/dbraw/zinc/15/21/89/878152189.db2.gz NMTPNFSWYCSQCO-KGLIPLIRSA-N 1 2 318.421 1.423 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001219482335 878262989 /nfs/dbraw/zinc/26/29/89/878262989.db2.gz WNBDZPSIKNLPJU-CVEARBPZSA-N 1 2 319.405 1.146 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001219482335 878263003 /nfs/dbraw/zinc/26/30/03/878263003.db2.gz WNBDZPSIKNLPJU-CVEARBPZSA-N 1 2 319.405 1.146 20 30 DDEDLO O=C(CCC(F)F)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220017356 878651806 /nfs/dbraw/zinc/65/18/06/878651806.db2.gz BCZYTYFJNAVNCZ-CABCVRRESA-N 1 2 322.355 1.245 20 30 DDEDLO O=C(CCC(F)F)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220017356 878651813 /nfs/dbraw/zinc/65/18/13/878651813.db2.gz BCZYTYFJNAVNCZ-CABCVRRESA-N 1 2 322.355 1.245 20 30 DDEDLO C=CC1CCN(c2nnc([C@H]3C[N@H+](C(C)C)CCO3)n2C)CC1 ZINC001355723943 878692412 /nfs/dbraw/zinc/69/24/12/878692412.db2.gz JDFROHJIHHIEDU-OAHLLOKOSA-N 1 2 319.453 1.999 20 30 DDEDLO C=CC1CCN(c2nnc([C@H]3C[N@@H+](C(C)C)CCO3)n2C)CC1 ZINC001355723943 878692417 /nfs/dbraw/zinc/69/24/17/878692417.db2.gz JDFROHJIHHIEDU-OAHLLOKOSA-N 1 2 319.453 1.999 20 30 DDEDLO C[C@@H]([NH2+]C1(CNC(=O)c2c[nH]c(C#N)c2)CC1)c1csnn1 ZINC001380071891 878721533 /nfs/dbraw/zinc/72/15/33/878721533.db2.gz QSUQSZDNCOAYKR-SECBINFHSA-N 1 2 316.390 1.351 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N(C)CCNC(C)=O ZINC001355930379 878783269 /nfs/dbraw/zinc/78/32/69/878783269.db2.gz VHPOMHYIKYMQAA-CQSZACIVSA-N 1 2 320.441 1.193 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N(C)CCNC(C)=O ZINC001355930379 878783291 /nfs/dbraw/zinc/78/32/91/878783291.db2.gz VHPOMHYIKYMQAA-CQSZACIVSA-N 1 2 320.441 1.193 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001356386139 879029902 /nfs/dbraw/zinc/02/99/02/879029902.db2.gz QFAUBYCVTILFOJ-DYVFJYSZSA-N 1 2 318.421 1.662 20 30 DDEDLO CCCC[C@H]([NH2+]C)C(=O)N=C(N)c1ccc(C(=O)OC)cc1 ZINC001220577018 879108509 /nfs/dbraw/zinc/10/85/09/879108509.db2.gz AENHEZNGSWNCQD-ZDUSSCGKSA-N 1 2 305.378 1.483 20 30 DDEDLO C=CCC(C)(C)C(=O)NC1CN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001356761504 879309987 /nfs/dbraw/zinc/30/99/87/879309987.db2.gz OCQNXLNZWGNZCV-UHFFFAOYSA-N 1 2 318.421 1.593 20 30 DDEDLO CCCC[C@H](C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O)C(C)C ZINC001221110207 879503713 /nfs/dbraw/zinc/50/37/13/879503713.db2.gz FDSICVVVXAXIOU-BBWFWOEESA-N 1 2 324.465 1.260 20 30 DDEDLO CCCC[C@H](C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O)C(C)C ZINC001221110207 879503721 /nfs/dbraw/zinc/50/37/21/879503721.db2.gz FDSICVVVXAXIOU-BBWFWOEESA-N 1 2 324.465 1.260 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C3CCCCC3)[C@@H]2C1 ZINC001221230980 879595831 /nfs/dbraw/zinc/59/58/31/879595831.db2.gz QDOMXZXCNYJWHX-HZPDHXFCSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C3CCCCC3)[C@@H]2C1 ZINC001221230980 879595848 /nfs/dbraw/zinc/59/58/48/879595848.db2.gz QDOMXZXCNYJWHX-HZPDHXFCSA-N 1 2 319.449 1.402 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(C)o3)[C@@H]2C1 ZINC001221241774 879607262 /nfs/dbraw/zinc/60/72/62/879607262.db2.gz DYPSNDJKFKVEIE-HUUCEWRRSA-N 1 2 302.374 1.384 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(C)o3)[C@@H]2C1 ZINC001221241774 879607267 /nfs/dbraw/zinc/60/72/67/879607267.db2.gz DYPSNDJKFKVEIE-HUUCEWRRSA-N 1 2 302.374 1.384 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCC(=O)NCC)[C@@H]2C1 ZINC001221437299 879793074 /nfs/dbraw/zinc/79/30/74/879793074.db2.gz BALPOTQYNDVEKR-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCC(=O)NCC)[C@@H]2C1 ZINC001221437299 879793088 /nfs/dbraw/zinc/79/30/88/879793088.db2.gz BALPOTQYNDVEKR-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001222068248 880214021 /nfs/dbraw/zinc/21/40/21/880214021.db2.gz RKJXOYDYOYBIIY-CHWSQXEVSA-N 1 2 322.409 1.304 20 30 DDEDLO C=C1CC(C)(C(=O)NC/C=C/CNC(=O)Cc2c[nH+]cn2C)C1 ZINC001357974358 880272536 /nfs/dbraw/zinc/27/25/36/880272536.db2.gz STCNROAUHAFHBS-SNAWJCMRSA-N 1 2 316.405 1.108 20 30 DDEDLO C#CCCCC(=O)NCC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001222987311 880773334 /nfs/dbraw/zinc/77/33/34/880773334.db2.gz NVVHCNYEHPLVAI-UHFFFAOYSA-N 1 2 304.394 1.510 20 30 DDEDLO CCn1ncc(C[NH+]2CCC(CNC(=O)C#CC3CC3)CC2)n1 ZINC001223130493 880841622 /nfs/dbraw/zinc/84/16/22/880841622.db2.gz NRLNTPYZKRLTNM-UHFFFAOYSA-N 1 2 315.421 1.040 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnc(C2CC2)c1 ZINC001276884839 880936900 /nfs/dbraw/zinc/93/69/00/880936900.db2.gz XFQZTPWFXDTGKA-MRXNPFEDSA-N 1 2 313.401 1.413 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnc(C2CC2)c1 ZINC001276884839 880936906 /nfs/dbraw/zinc/93/69/06/880936906.db2.gz XFQZTPWFXDTGKA-MRXNPFEDSA-N 1 2 313.401 1.413 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C(C)C)n1CC[N@@H+]1CCOC[C@@H]1C ZINC001358871403 881076401 /nfs/dbraw/zinc/07/64/01/881076401.db2.gz FAGYCSKINYFZPR-GJZGRUSLSA-N 1 2 319.453 1.580 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C(C)C)n1CC[N@H+]1CCOC[C@@H]1C ZINC001358871403 881076417 /nfs/dbraw/zinc/07/64/17/881076417.db2.gz FAGYCSKINYFZPR-GJZGRUSLSA-N 1 2 319.453 1.580 20 30 DDEDLO N#Cc1cscc1C(=O)NC1CC[NH+]([C@@H]2CCOC2=O)CC1 ZINC001362270137 883450536 /nfs/dbraw/zinc/45/05/36/883450536.db2.gz OBABUUWBWJAINS-CYBMUJFWSA-N 1 2 319.386 1.129 20 30 DDEDLO COC(=O)c1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)ccc1F ZINC001229015944 883771587 /nfs/dbraw/zinc/77/15/87/883771587.db2.gz FIYLWPRSBXDCPF-BSRWDCPYSA-N 1 2 307.321 1.604 20 30 DDEDLO COC(=O)c1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)ccc1F ZINC001229015944 883771599 /nfs/dbraw/zinc/77/15/99/883771599.db2.gz FIYLWPRSBXDCPF-BSRWDCPYSA-N 1 2 307.321 1.604 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncnc4ccc(C#N)cc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229104008 883821226 /nfs/dbraw/zinc/82/12/26/883821226.db2.gz MQEGULDBRJJAKS-BDOHNGBXSA-N 1 2 308.341 1.493 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncnc4ccc(C#N)cc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229104008 883821239 /nfs/dbraw/zinc/82/12/39/883821239.db2.gz MQEGULDBRJJAKS-BDOHNGBXSA-N 1 2 308.341 1.493 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nc4cc([N+](=O)[O-])ccc4o3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229486625 884009549 /nfs/dbraw/zinc/00/95/49/884009549.db2.gz IDDHHKXMKJJMPN-LSVRBPJHSA-N 1 2 317.301 1.727 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nc4cc([N+](=O)[O-])ccc4o3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229486625 884009570 /nfs/dbraw/zinc/00/95/70/884009570.db2.gz IDDHHKXMKJJMPN-LSVRBPJHSA-N 1 2 317.301 1.727 20 30 DDEDLO CCC(C#N)(CC)C(=O)NC1CC[NH+]([C@H]2CCOC2=O)CC1 ZINC001362680546 884402945 /nfs/dbraw/zinc/40/29/45/884402945.db2.gz GMAINPHGVVZNLG-ZDUSSCGKSA-N 1 2 307.394 1.212 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccccn1)C(=O)CSCC#N ZINC001230814272 884910245 /nfs/dbraw/zinc/91/02/45/884910245.db2.gz AZFXUIBQXOIWCU-CQSZACIVSA-N 1 2 304.419 1.371 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccccn1)C(=O)CSCC#N ZINC001230814272 884910266 /nfs/dbraw/zinc/91/02/66/884910266.db2.gz AZFXUIBQXOIWCU-CQSZACIVSA-N 1 2 304.419 1.371 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001231096054 885216136 /nfs/dbraw/zinc/21/61/36/885216136.db2.gz WXQQVGXUNVBOKA-HOTGVXAUSA-N 1 2 308.422 1.150 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001231096054 885216155 /nfs/dbraw/zinc/21/61/55/885216155.db2.gz WXQQVGXUNVBOKA-HOTGVXAUSA-N 1 2 308.422 1.150 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCC(C)CC1 ZINC001231112218 885238684 /nfs/dbraw/zinc/23/86/84/885238684.db2.gz ALRMPWJOEMLHQG-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCC(C)CC1 ZINC001231112218 885238699 /nfs/dbraw/zinc/23/86/99/885238699.db2.gz ALRMPWJOEMLHQG-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](NC(=O)Cn2cc[nH+]c2)CC[C@H]1C ZINC001288473103 912919319 /nfs/dbraw/zinc/91/93/19/912919319.db2.gz WQDHPVTXFRVVSR-KGLIPLIRSA-N 1 2 304.394 1.345 20 30 DDEDLO CO[C@@H](C)CC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231150470 885293865 /nfs/dbraw/zinc/29/38/65/885293865.db2.gz QBPXGCPKDQYZIF-FUHWJXTLSA-N 1 2 314.429 1.996 20 30 DDEDLO CO[C@@H](C)CC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231150470 885293881 /nfs/dbraw/zinc/29/38/81/885293881.db2.gz QBPXGCPKDQYZIF-FUHWJXTLSA-N 1 2 314.429 1.996 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cccc(OC)n1 ZINC001231225300 885403661 /nfs/dbraw/zinc/40/36/61/885403661.db2.gz CBOCEXYBWMJOIX-OAHLLOKOSA-N 1 2 319.405 1.326 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cccc(OC)n1 ZINC001231225300 885403664 /nfs/dbraw/zinc/40/36/64/885403664.db2.gz CBOCEXYBWMJOIX-OAHLLOKOSA-N 1 2 319.405 1.326 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)Cc1ccn[nH]1 ZINC001231376396 885568632 /nfs/dbraw/zinc/56/86/32/885568632.db2.gz DBVONRJWVREOJR-KRWDZBQOSA-N 1 2 323.400 1.557 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)Cc1ccn[nH]1 ZINC001231376396 885568646 /nfs/dbraw/zinc/56/86/46/885568646.db2.gz DBVONRJWVREOJR-KRWDZBQOSA-N 1 2 323.400 1.557 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CCC(F)(F)F ZINC001231410931 885636771 /nfs/dbraw/zinc/63/67/71/885636771.db2.gz TXVDCUUQBHYULM-LLVKDONJSA-N 1 2 321.343 1.164 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC(F)(F)F ZINC001231410931 885636780 /nfs/dbraw/zinc/63/67/80/885636780.db2.gz TXVDCUUQBHYULM-LLVKDONJSA-N 1 2 321.343 1.164 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC1CCC1 ZINC001231413397 885641794 /nfs/dbraw/zinc/64/17/94/885641794.db2.gz RVALICIDRBGUBQ-ZFWWWQNUSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC1CCC1 ZINC001231413397 885641807 /nfs/dbraw/zinc/64/18/07/885641807.db2.gz RVALICIDRBGUBQ-ZFWWWQNUSA-N 1 2 307.438 1.400 20 30 DDEDLO CN(C(=O)CSCC#N)C1C[NH+](CCc2ccccc2F)C1 ZINC001277555674 885838625 /nfs/dbraw/zinc/83/86/25/885838625.db2.gz UAEICQLWHKRBBU-UHFFFAOYSA-N 1 2 321.421 1.768 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2ccc3cc[nH]c3n2)C1 ZINC001277610553 886090195 /nfs/dbraw/zinc/09/01/95/886090195.db2.gz ONGUULZOGUOJSK-UHFFFAOYSA-N 1 2 314.389 1.522 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cncc(OC)c2C)C1 ZINC001277617660 886112475 /nfs/dbraw/zinc/11/24/75/886112475.db2.gz HYEJWRMDEHQLLG-UHFFFAOYSA-N 1 2 319.405 1.357 20 30 DDEDLO N#Cc1cc[nH]c1CN1CCc2onc(Cn3cc[nH+]c3)c2C1 ZINC001233044925 886755240 /nfs/dbraw/zinc/75/52/40/886755240.db2.gz XYLXDORHXUPKOL-UHFFFAOYSA-N 1 2 308.345 1.677 20 30 DDEDLO CN(C)c1ccc(C[N@@H+]2CCN3CCOC[C@@H]3C2)c(F)c1C#N ZINC001233099604 886784829 /nfs/dbraw/zinc/78/48/29/886784829.db2.gz UFWLTISVABHSEE-AWEZNQCLSA-N 1 2 318.396 1.280 20 30 DDEDLO CN(C)c1ccc(C[N@H+]2CCN3CCOC[C@@H]3C2)c(F)c1C#N ZINC001233099604 886784840 /nfs/dbraw/zinc/78/48/40/886784840.db2.gz UFWLTISVABHSEE-AWEZNQCLSA-N 1 2 318.396 1.280 20 30 DDEDLO C=CCOC[C@H]1c2c(ncn2C)CCN1Cc1cccc(N)[nH+]1 ZINC001233371099 886956278 /nfs/dbraw/zinc/95/62/78/886956278.db2.gz ZHTHPXNRKNILEB-HNNXBMFYSA-N 1 2 313.405 1.699 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccnn1C ZINC001233761674 887291552 /nfs/dbraw/zinc/29/15/52/887291552.db2.gz LQXCTZWOFQMMQW-INIZCTEOSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccnn1C ZINC001233761674 887291572 /nfs/dbraw/zinc/29/15/72/887291572.db2.gz LQXCTZWOFQMMQW-INIZCTEOSA-N 1 2 302.422 1.646 20 30 DDEDLO C[C@@H](CN(C)C(=O)C#CC1CC1)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001277819080 887426490 /nfs/dbraw/zinc/42/64/90/887426490.db2.gz HQLIMXUKEVVGBZ-LBPRGKRZSA-N 1 2 318.421 1.717 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCC=C ZINC001233947333 887487195 /nfs/dbraw/zinc/48/71/95/887487195.db2.gz KPTSBYFIRLXDAV-GJZGRUSLSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCC=C ZINC001233947333 887487203 /nfs/dbraw/zinc/48/72/03/887487203.db2.gz KPTSBYFIRLXDAV-GJZGRUSLSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H]1CCN(CC=C)C1=O ZINC001233947348 887488346 /nfs/dbraw/zinc/48/83/46/887488346.db2.gz KSXIVDYTXURBMS-JKSUJKDBSA-N 1 2 317.433 1.110 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H]1CCN(CC=C)C1=O ZINC001233947348 887488356 /nfs/dbraw/zinc/48/83/56/887488356.db2.gz KSXIVDYTXURBMS-JKSUJKDBSA-N 1 2 317.433 1.110 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ocnc1C ZINC001233978773 887522036 /nfs/dbraw/zinc/52/20/36/887522036.db2.gz WRDCYJMZNVNDHX-CJNGLKHVSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ocnc1C ZINC001233978773 887522049 /nfs/dbraw/zinc/52/20/49/887522049.db2.gz WRDCYJMZNVNDHX-CJNGLKHVSA-N 1 2 307.394 1.343 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234029953 887567721 /nfs/dbraw/zinc/56/77/21/887567721.db2.gz LOQYQPZSPFSVQB-AWEZNQCLSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234029953 887567732 /nfs/dbraw/zinc/56/77/32/887567732.db2.gz LOQYQPZSPFSVQB-AWEZNQCLSA-N 1 2 307.438 1.258 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)cs1 ZINC001234088272 887631840 /nfs/dbraw/zinc/63/18/40/887631840.db2.gz NWKHJGQCHVUFIM-CQSZACIVSA-N 1 2 306.431 1.853 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)cs1 ZINC001234088272 887631844 /nfs/dbraw/zinc/63/18/44/887631844.db2.gz NWKHJGQCHVUFIM-CQSZACIVSA-N 1 2 306.431 1.853 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001234130177 887672762 /nfs/dbraw/zinc/67/27/62/887672762.db2.gz MLSMQDFHBVAWBY-HOTGVXAUSA-N 1 2 321.465 1.339 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001234130177 887672767 /nfs/dbraw/zinc/67/27/67/887672767.db2.gz MLSMQDFHBVAWBY-HOTGVXAUSA-N 1 2 321.465 1.339 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178173 887716391 /nfs/dbraw/zinc/71/63/91/887716391.db2.gz JFFYONXPZZMDAR-OAHLLOKOSA-N 1 2 315.417 1.992 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178173 887716404 /nfs/dbraw/zinc/71/64/04/887716404.db2.gz JFFYONXPZZMDAR-OAHLLOKOSA-N 1 2 315.417 1.992 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234194448 887736049 /nfs/dbraw/zinc/73/60/49/887736049.db2.gz DACMCFSFTPXUNN-UKRRQHHQSA-N 1 2 319.449 1.236 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234194448 887736062 /nfs/dbraw/zinc/73/60/62/887736062.db2.gz DACMCFSFTPXUNN-UKRRQHHQSA-N 1 2 319.449 1.236 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NC ZINC001234517595 888048133 /nfs/dbraw/zinc/04/81/33/888048133.db2.gz NOMQLMCITZHMMM-UKRRQHHQSA-N 1 2 307.438 1.422 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NC ZINC001234517595 888048148 /nfs/dbraw/zinc/04/81/48/888048148.db2.gz NOMQLMCITZHMMM-UKRRQHHQSA-N 1 2 307.438 1.422 20 30 DDEDLO CCOC(=O)c1cccnc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001234620492 888144694 /nfs/dbraw/zinc/14/46/94/888144694.db2.gz IKSWBQBSLCYBAH-VSSGSJIUSA-N 1 2 304.346 1.250 20 30 DDEDLO CCOC(=O)c1cccnc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001234620492 888144701 /nfs/dbraw/zinc/14/47/01/888144701.db2.gz IKSWBQBSLCYBAH-VSSGSJIUSA-N 1 2 304.346 1.250 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC001235452150 888655159 /nfs/dbraw/zinc/65/51/59/888655159.db2.gz WBPLLUCQFBSLRH-UHFFFAOYSA-N 1 2 307.442 1.937 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC001235452150 888655169 /nfs/dbraw/zinc/65/51/69/888655169.db2.gz WBPLLUCQFBSLRH-UHFFFAOYSA-N 1 2 307.442 1.937 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CCN1C(=O)Cc1c[nH+]cn1C ZINC001289970811 913305656 /nfs/dbraw/zinc/30/56/56/913305656.db2.gz BJSBWTWTQBRXKD-AWEZNQCLSA-N 1 2 318.421 1.378 20 30 DDEDLO C[N@@H+]1CCO[C@@]2(CCCN(CC(=O)c3ccc(C#N)cc3)C2)C1 ZINC001278074563 889564215 /nfs/dbraw/zinc/56/42/15/889564215.db2.gz GQUVOSIHBQFREK-SFHVURJKSA-N 1 2 313.401 1.538 20 30 DDEDLO C[N@H+]1CCO[C@@]2(CCCN(CC(=O)c3ccc(C#N)cc3)C2)C1 ZINC001278074563 889564221 /nfs/dbraw/zinc/56/42/21/889564221.db2.gz GQUVOSIHBQFREK-SFHVURJKSA-N 1 2 313.401 1.538 20 30 DDEDLO CCC[N@@H+]1Cc2ccnn2CC[C@H]1C(=O)NC1(C#N)CCC1 ZINC001278193240 890059384 /nfs/dbraw/zinc/05/93/84/890059384.db2.gz UDJYIIZCQNXVHA-AWEZNQCLSA-N 1 2 301.394 1.430 20 30 DDEDLO CCC[N@H+]1Cc2ccnn2CC[C@H]1C(=O)NC1(C#N)CCC1 ZINC001278193240 890059401 /nfs/dbraw/zinc/05/94/01/890059401.db2.gz UDJYIIZCQNXVHA-AWEZNQCLSA-N 1 2 301.394 1.430 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)ccc1Cl ZINC001238191148 890128533 /nfs/dbraw/zinc/12/85/33/890128533.db2.gz JBPCXZKCVHTDTI-UHFFFAOYSA-N 1 2 316.748 1.971 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)ccc1Cl ZINC001238191148 890128537 /nfs/dbraw/zinc/12/85/37/890128537.db2.gz JBPCXZKCVHTDTI-UHFFFAOYSA-N 1 2 316.748 1.971 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc(C3CC3)no2)[C@H]1C ZINC001278229615 890201961 /nfs/dbraw/zinc/20/19/61/890201961.db2.gz ASBKLHMLDWRPCN-YPMHNXCESA-N 1 2 304.394 1.992 20 30 DDEDLO COc1cc(C[N@@H+]2Cc3ccnn3CC[C@H]2CO)ccc1C#N ZINC001238415129 890204980 /nfs/dbraw/zinc/20/49/80/890204980.db2.gz FGHGMGQEFVZCMY-INIZCTEOSA-N 1 2 312.373 1.530 20 30 DDEDLO COc1cc(C[N@H+]2Cc3ccnn3CC[C@H]2CO)ccc1C#N ZINC001238415129 890204997 /nfs/dbraw/zinc/20/49/97/890204997.db2.gz FGHGMGQEFVZCMY-INIZCTEOSA-N 1 2 312.373 1.530 20 30 DDEDLO C[NH+]1CCN(C(=O)c2ccnc(-c3ccc(C#N)cc3N)c2)CC1 ZINC001244087282 891790943 /nfs/dbraw/zinc/79/09/43/891790943.db2.gz IBXSJJJUFQKXIL-UHFFFAOYSA-N 1 2 321.384 1.590 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@]1(C)CC[N@H+](Cc2nccs2)C1 ZINC001278387876 891905216 /nfs/dbraw/zinc/90/52/16/891905216.db2.gz GDFYTOGLJQBGLJ-SWLSCSKDSA-N 1 2 307.419 1.262 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@]1(C)CC[N@@H+](Cc2nccs2)C1 ZINC001278387876 891905232 /nfs/dbraw/zinc/90/52/32/891905232.db2.gz GDFYTOGLJQBGLJ-SWLSCSKDSA-N 1 2 307.419 1.262 20 30 DDEDLO C[C@@H](CNC(=O)c1cc(C#N)c[nH]1)[NH2+][C@@H](C)c1csnn1 ZINC001366271427 892971921 /nfs/dbraw/zinc/97/19/21/892971921.db2.gz PNMLBYKSUWRKLO-IUCAKERBSA-N 1 2 304.379 1.207 20 30 DDEDLO N#Cc1cc(CC[NH+]2CCOCC2)ccc1OC1CCOCC1 ZINC001250280542 894227414 /nfs/dbraw/zinc/22/74/14/894227414.db2.gz XPFKUOUOZWCHNU-UHFFFAOYSA-N 1 2 316.401 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cn(C)nc1OC)C1CC1 ZINC001366748751 894805477 /nfs/dbraw/zinc/80/54/77/894805477.db2.gz UPAUBDSYYZADES-UHFFFAOYSA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cn(C)nc1OC)C1CC1 ZINC001366748751 894805487 /nfs/dbraw/zinc/80/54/87/894805487.db2.gz UPAUBDSYYZADES-UHFFFAOYSA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cccnc1C(N)=O)C1CC1 ZINC001366761739 894855443 /nfs/dbraw/zinc/85/54/43/894855443.db2.gz NLJCIMWLDUUHNJ-UHFFFAOYSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cccnc1C(N)=O)C1CC1 ZINC001366761739 894855458 /nfs/dbraw/zinc/85/54/58/894855458.db2.gz NLJCIMWLDUUHNJ-UHFFFAOYSA-N 1 2 322.796 1.127 20 30 DDEDLO C=CCOC[C@H](O)C[NH+]1CCN(C(=O)c2ccccc2F)CC1 ZINC001252467535 895180666 /nfs/dbraw/zinc/18/06/66/895180666.db2.gz ZRURBNCRVXURDT-CQSZACIVSA-N 1 2 322.380 1.147 20 30 DDEDLO C=CCOC(=O)[C@H](CC(C)C)[NH2+]C[C@H](O)COCCCC ZINC001252528087 895245809 /nfs/dbraw/zinc/24/58/09/895245809.db2.gz MKIKBYJKXHSNST-GJZGRUSLSA-N 1 2 301.427 1.898 20 30 DDEDLO C=C[C@H](O)CNc1ccc(N2CC[NH+](C3COC3)CC2)cc1 ZINC001253594450 895922089 /nfs/dbraw/zinc/92/20/89/895922089.db2.gz UWTLYYFMGKAGSV-KRWDZBQOSA-N 1 2 303.406 1.166 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1C[N@H+](CC(N)=O)CCC1(F)F ZINC001278747603 896157777 /nfs/dbraw/zinc/15/77/77/896157777.db2.gz PUMDTLHHJPYFFT-VXGBXAGGSA-N 1 2 317.380 1.147 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1C[N@@H+](CC(N)=O)CCC1(F)F ZINC001278747603 896157780 /nfs/dbraw/zinc/15/77/80/896157780.db2.gz PUMDTLHHJPYFFT-VXGBXAGGSA-N 1 2 317.380 1.147 20 30 DDEDLO C=CC[NH+]1CCN(C[C@H](O)COc2cccc(OC)c2)CC1 ZINC001253953462 896177865 /nfs/dbraw/zinc/17/78/65/896177865.db2.gz PFQKKKKSPCJDHA-HNNXBMFYSA-N 1 2 306.406 1.239 20 30 DDEDLO CCc1nc(C[NH2+]C2(CNC(=O)[C@@H](C)C#N)CCCC2)no1 ZINC001367317149 896427347 /nfs/dbraw/zinc/42/73/47/896427347.db2.gz SLCXSKVRSOURIU-NSHDSACASA-N 1 2 305.382 1.310 20 30 DDEDLO C=C[C@H](COC)NC(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC001255019215 896700116 /nfs/dbraw/zinc/70/01/16/896700116.db2.gz ONAIPIVWYRIAKY-GDBMZVCRSA-N 1 2 318.421 1.671 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc2nccs2)C1 ZINC001278873353 897031454 /nfs/dbraw/zinc/03/14/54/897031454.db2.gz GLXBZDVJNQTBJS-ZDUSSCGKSA-N 1 2 323.462 1.751 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc2nccs2)C1 ZINC001278873353 897031465 /nfs/dbraw/zinc/03/14/65/897031465.db2.gz GLXBZDVJNQTBJS-ZDUSSCGKSA-N 1 2 323.462 1.751 20 30 DDEDLO COC(=O)[C@H](CC(=O)OC(C)(C)C)[NH2+]C1CCC(C#N)CC1 ZINC001256953771 897644687 /nfs/dbraw/zinc/64/46/87/897644687.db2.gz BNRWHFQACXUUOX-BPCQOVAHSA-N 1 2 310.394 1.932 20 30 DDEDLO COC(=O)[C@@H]1C[C@](O)(C(F)(F)F)C[N@@H+]1C1CCC(C#N)CC1 ZINC001256965307 897647219 /nfs/dbraw/zinc/64/72/19/897647219.db2.gz QGZHBJDDZNTDFA-TWHWIZHFSA-N 1 2 320.311 1.609 20 30 DDEDLO COC(=O)[C@@H]1C[C@](O)(C(F)(F)F)C[N@H+]1C1CCC(C#N)CC1 ZINC001256965307 897647225 /nfs/dbraw/zinc/64/72/25/897647225.db2.gz QGZHBJDDZNTDFA-TWHWIZHFSA-N 1 2 320.311 1.609 20 30 DDEDLO C=C(C)Cn1nnnc1N1CCC([N@H+](C)CC(F)F)CC1 ZINC001262212017 900019968 /nfs/dbraw/zinc/01/99/68/900019968.db2.gz YJKDOHCORUQIDP-UHFFFAOYSA-N 1 2 300.357 1.415 20 30 DDEDLO C=C(C)Cn1nnnc1N1CCC([N@@H+](C)CC(F)F)CC1 ZINC001262212017 900019982 /nfs/dbraw/zinc/01/99/82/900019982.db2.gz YJKDOHCORUQIDP-UHFFFAOYSA-N 1 2 300.357 1.415 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)Cn1c2ccccc2[nH]c1=O ZINC001390821273 900281364 /nfs/dbraw/zinc/28/13/64/900281364.db2.gz BSDNZXIFFAQVJG-UHFFFAOYSA-N 1 2 322.796 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)Cn1c2ccccc2[nH]c1=O ZINC001390821273 900281376 /nfs/dbraw/zinc/28/13/76/900281376.db2.gz BSDNZXIFFAQVJG-UHFFFAOYSA-N 1 2 322.796 1.542 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@@H](C)CC ZINC001263806489 900716822 /nfs/dbraw/zinc/71/68/22/900716822.db2.gz BPSXCZVLQGFCJR-JKSUJKDBSA-N 1 2 321.465 1.627 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@@H](C)CC ZINC001263806489 900716828 /nfs/dbraw/zinc/71/68/28/900716828.db2.gz BPSXCZVLQGFCJR-JKSUJKDBSA-N 1 2 321.465 1.627 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[N@@H+](Cc3cnon3)CC[C@@H]2C1 ZINC001264094506 900926795 /nfs/dbraw/zinc/92/67/95/900926795.db2.gz KNNBHUCELKKWJC-CABCVRRESA-N 1 2 316.405 1.544 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[N@H+](Cc3cnon3)CC[C@@H]2C1 ZINC001264094506 900926801 /nfs/dbraw/zinc/92/68/01/900926801.db2.gz KNNBHUCELKKWJC-CABCVRRESA-N 1 2 316.405 1.544 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)CSCC#N)CC[N@@H+]1Cc1cscn1 ZINC001264601311 901208606 /nfs/dbraw/zinc/20/86/06/901208606.db2.gz PBPIEGPZDIGQIZ-QWHCGFSZSA-N 1 2 324.475 1.869 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)CSCC#N)CC[N@H+]1Cc1cscn1 ZINC001264601311 901208620 /nfs/dbraw/zinc/20/86/20/901208620.db2.gz PBPIEGPZDIGQIZ-QWHCGFSZSA-N 1 2 324.475 1.869 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1[C@@H](C)[NH2+]Cc1cnsn1 ZINC001265080262 901532968 /nfs/dbraw/zinc/53/29/68/901532968.db2.gz PHGRPAQEJLMPMP-TZMCWYRMSA-N 1 2 324.450 1.600 20 30 DDEDLO CC[N@H+](CCCNC(=O)C#CC(C)C)Cc1ncnn1CCF ZINC001265113250 901577342 /nfs/dbraw/zinc/57/73/42/901577342.db2.gz GLAULYXMOSFQJN-UHFFFAOYSA-N 1 2 323.416 1.235 20 30 DDEDLO CC[N@@H+](CCCNC(=O)C#CC(C)C)Cc1ncnn1CCF ZINC001265113250 901577347 /nfs/dbraw/zinc/57/73/47/901577347.db2.gz GLAULYXMOSFQJN-UHFFFAOYSA-N 1 2 323.416 1.235 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCc2cncnc2)C1 ZINC001391384194 901634936 /nfs/dbraw/zinc/63/49/36/901634936.db2.gz PQAPLGZECSHPCR-CQSZACIVSA-N 1 2 308.813 1.742 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCc2cncnc2)C1 ZINC001391384194 901634943 /nfs/dbraw/zinc/63/49/43/901634943.db2.gz PQAPLGZECSHPCR-CQSZACIVSA-N 1 2 308.813 1.742 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001265214760 901718747 /nfs/dbraw/zinc/71/87/47/901718747.db2.gz OPNDBCVGOUGAAE-CQSZACIVSA-N 1 2 318.421 1.922 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@H+](Cc2cncc(OC)n2)C1 ZINC001265214760 901718752 /nfs/dbraw/zinc/71/87/52/901718752.db2.gz OPNDBCVGOUGAAE-CQSZACIVSA-N 1 2 318.421 1.922 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@@H+]([C@H](C)C(=O)NCC(C)C)C1 ZINC001265213875 901721347 /nfs/dbraw/zinc/72/13/47/901721347.db2.gz ZZXSCXJYSSGEME-CVEARBPZSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@H+]([C@H](C)C(=O)NCC(C)C)C1 ZINC001265213875 901721357 /nfs/dbraw/zinc/72/13/57/901721357.db2.gz ZZXSCXJYSSGEME-CVEARBPZSA-N 1 2 321.465 1.387 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CCC[N@H+](CC(=O)NC2CC2)C1 ZINC001265224853 901738479 /nfs/dbraw/zinc/73/84/79/901738479.db2.gz LNVPZVNMKRVPHX-WMLDXEAASA-N 1 2 307.438 1.448 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NC2CC2)C1 ZINC001265224853 901738493 /nfs/dbraw/zinc/73/84/93/901738493.db2.gz LNVPZVNMKRVPHX-WMLDXEAASA-N 1 2 307.438 1.448 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2(CC)CCCC2)C1 ZINC001265229289 901746468 /nfs/dbraw/zinc/74/64/68/901746468.db2.gz UOMSRHFLBIJEQZ-HNNXBMFYSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2(CC)CCCC2)C1 ZINC001265229289 901746472 /nfs/dbraw/zinc/74/64/72/901746472.db2.gz UOMSRHFLBIJEQZ-HNNXBMFYSA-N 1 2 319.449 1.287 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](CC(=O)NC(CC)CC)C1 ZINC001265285682 901826371 /nfs/dbraw/zinc/82/63/71/901826371.db2.gz FMJKGNPYRUZVBC-HNNXBMFYSA-N 1 2 309.454 1.838 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](CC(=O)NC(CC)CC)C1 ZINC001265285682 901826381 /nfs/dbraw/zinc/82/63/81/901826381.db2.gz FMJKGNPYRUZVBC-HNNXBMFYSA-N 1 2 309.454 1.838 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC(C)C ZINC001265401480 901971869 /nfs/dbraw/zinc/97/18/69/901971869.db2.gz WDQHXCAEIKSIQO-KFWWJZLASA-N 1 2 307.438 1.398 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC(C)C ZINC001265401480 901971880 /nfs/dbraw/zinc/97/18/80/901971880.db2.gz WDQHXCAEIKSIQO-KFWWJZLASA-N 1 2 307.438 1.398 20 30 DDEDLO C[C@@H](CNC(=O)CSCC#N)[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001265755371 902370897 /nfs/dbraw/zinc/37/08/97/902370897.db2.gz WVNPSVNRNMGQKJ-UWVGGRQHSA-N 1 2 323.422 1.359 20 30 DDEDLO CCn1ccc(C[N@H+](C)C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001375016974 914652068 /nfs/dbraw/zinc/65/20/68/914652068.db2.gz DMVWHYCIBBENKJ-GFCCVEGCSA-N 1 2 314.393 1.353 20 30 DDEDLO CCn1ccc(C[N@@H+](C)C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001375016974 914652080 /nfs/dbraw/zinc/65/20/80/914652080.db2.gz DMVWHYCIBBENKJ-GFCCVEGCSA-N 1 2 314.393 1.353 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](NC(=O)c2cccs2)C1 ZINC001266201348 903094806 /nfs/dbraw/zinc/09/48/06/903094806.db2.gz VPJKUQLAMCQBKF-QWHCGFSZSA-N 1 2 321.446 1.633 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](NC(=O)c2cccs2)C1 ZINC001266201348 903094818 /nfs/dbraw/zinc/09/48/18/903094818.db2.gz VPJKUQLAMCQBKF-QWHCGFSZSA-N 1 2 321.446 1.633 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(F)cn2)C1 ZINC001266214346 903126949 /nfs/dbraw/zinc/12/69/49/903126949.db2.gz DASJEPXUQHMQPF-MRXNPFEDSA-N 1 2 303.381 1.961 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001266214346 903126957 /nfs/dbraw/zinc/12/69/57/903126957.db2.gz DASJEPXUQHMQPF-MRXNPFEDSA-N 1 2 303.381 1.961 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001316599528 903445208 /nfs/dbraw/zinc/44/52/08/903445208.db2.gz DBGPGRMWHAHRQS-ZFWWWQNUSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001316599528 903445214 /nfs/dbraw/zinc/44/52/14/903445214.db2.gz DBGPGRMWHAHRQS-ZFWWWQNUSA-N 1 2 321.465 1.646 20 30 DDEDLO CC#CCCCC(=O)NCC1CC(NC(=O)Cn2cc[nH+]c2)C1 ZINC001280041646 903499166 /nfs/dbraw/zinc/49/91/66/903499166.db2.gz GSZDLSAUPWVLPG-UHFFFAOYSA-N 1 2 316.405 1.088 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001293830403 914724456 /nfs/dbraw/zinc/72/44/56/914724456.db2.gz BODQUUHINQKWJK-UHFFFAOYSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)C1(CCOC)CCC1 ZINC001280421864 903658504 /nfs/dbraw/zinc/65/85/04/903658504.db2.gz RSBBPUGSGUDPGG-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)C1(CCOC)CCC1 ZINC001280421864 903658513 /nfs/dbraw/zinc/65/85/13/903658513.db2.gz RSBBPUGSGUDPGG-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@]1(C)CCCOC1)O2 ZINC001280930781 904200330 /nfs/dbraw/zinc/20/03/30/904200330.db2.gz DGCFITIIRABBGO-ZBFHGGJFSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H](C)c1cccnc1)O2 ZINC001280931398 904205616 /nfs/dbraw/zinc/20/56/16/904205616.db2.gz MWDDDWHLHUSTHN-HOCLYGCPSA-N 1 2 315.417 1.721 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)CCc1ccon1)CO2 ZINC001280945097 904225226 /nfs/dbraw/zinc/22/52/26/904225226.db2.gz QGKVBPYBWNVMPQ-AWEZNQCLSA-N 1 2 305.378 1.143 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](CNC(=O)Cn1cc[nH+]c1)C(C)(C)C ZINC001281307228 904670744 /nfs/dbraw/zinc/67/07/44/904670744.db2.gz SZNIECYPSBADME-AWEZNQCLSA-N 1 2 318.421 1.190 20 30 DDEDLO C=CCCCC(=O)NCC1=CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001281393919 904783729 /nfs/dbraw/zinc/78/37/29/904783729.db2.gz PFQKOLBEJZYDRH-UHFFFAOYSA-N 1 2 316.405 1.514 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)C[C@H]1CCCC(=O)N1 ZINC001392671603 904834524 /nfs/dbraw/zinc/83/45/24/904834524.db2.gz FIXNYAAITBFWAE-VXGBXAGGSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)C[C@H]1CCCC(=O)N1 ZINC001392671603 904834538 /nfs/dbraw/zinc/83/45/38/904834538.db2.gz FIXNYAAITBFWAE-VXGBXAGGSA-N 1 2 301.818 1.234 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)C#CC2CC2)CC[N@@H+]1Cc1nccn1C ZINC001281663506 905140186 /nfs/dbraw/zinc/14/01/86/905140186.db2.gz DLJHGZANDYYYMO-UKRRQHHQSA-N 1 2 300.406 1.303 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)C#CC2CC2)CC[N@H+]1Cc1nccn1C ZINC001281663506 905140195 /nfs/dbraw/zinc/14/01/95/905140195.db2.gz DLJHGZANDYYYMO-UKRRQHHQSA-N 1 2 300.406 1.303 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CCC3(C)CC3)CC2)C1 ZINC001281782723 905217036 /nfs/dbraw/zinc/21/70/36/905217036.db2.gz QQEBWVZAWJEODS-UHFFFAOYSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CCC3(C)CC3)CC2)C1 ZINC001281782723 905217059 /nfs/dbraw/zinc/21/70/59/905217059.db2.gz QQEBWVZAWJEODS-UHFFFAOYSA-N 1 2 304.434 1.893 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1nc(C2CCC2)no1)C1CC1 ZINC001392775103 905217480 /nfs/dbraw/zinc/21/74/80/905217480.db2.gz VJBMWICLDZOLNY-GWCFXTLKSA-N 1 2 317.393 1.481 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1C[C@@H]([NH2+][C@H](C)c2noc(C)n2)C1 ZINC001316615873 905361650 /nfs/dbraw/zinc/36/16/50/905361650.db2.gz MTJQGNHTJMGZML-YGSHXTJESA-N 1 2 322.409 1.251 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)[C@@H](C)[NH2+]Cc1nc(C2CCC2)no1 ZINC001282128318 905565244 /nfs/dbraw/zinc/56/52/44/905565244.db2.gz IVQBWGGHDJOPLW-VXGBXAGGSA-N 1 2 316.405 1.733 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2cc(Cl)cn2C)C1 ZINC001282527641 905850462 /nfs/dbraw/zinc/85/04/62/905850462.db2.gz PECZKWRKBKCBCS-UHFFFAOYSA-N 1 2 311.813 1.421 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H](C)C1C[NH+](Cc2ccn(C)n2)C1 ZINC001282733610 905993825 /nfs/dbraw/zinc/99/38/25/905993825.db2.gz GUZAKAAMLFAWGV-KGLIPLIRSA-N 1 2 304.438 1.959 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)CNC(=O)c1ccsc1 ZINC001372224101 906745288 /nfs/dbraw/zinc/74/52/88/906745288.db2.gz PJVWCSSJCJQVJS-UHFFFAOYSA-N 1 2 315.826 1.278 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)CNC(=O)c1ccsc1 ZINC001372224101 906745297 /nfs/dbraw/zinc/74/52/97/906745297.db2.gz PJVWCSSJCJQVJS-UHFFFAOYSA-N 1 2 315.826 1.278 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1COCC[N@@H+]1CCCC1CCOCC1 ZINC001393496890 907144216 /nfs/dbraw/zinc/14/42/16/907144216.db2.gz FZKORYDFKLJXBC-HOCLYGCPSA-N 1 2 323.437 1.170 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1COCC[N@H+]1CCCC1CCOCC1 ZINC001393496890 907144226 /nfs/dbraw/zinc/14/42/26/907144226.db2.gz FZKORYDFKLJXBC-HOCLYGCPSA-N 1 2 323.437 1.170 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC001283348808 907189877 /nfs/dbraw/zinc/18/98/77/907189877.db2.gz RDCYYMDTZPBQGZ-UONOGXRCSA-N 1 2 316.405 1.129 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1nc2c(o1)CCCC2 ZINC001372498746 907454108 /nfs/dbraw/zinc/45/41/08/907454108.db2.gz ILRREAXRZYHSMF-STQMWFEESA-N 1 2 316.405 1.794 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC[N@H+]1Cc1nc2c(o1)CCCC2 ZINC001372498746 907454120 /nfs/dbraw/zinc/45/41/20/907454120.db2.gz ILRREAXRZYHSMF-STQMWFEESA-N 1 2 316.405 1.794 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)C[C@H](C)SC)CC1 ZINC001393844077 908062358 /nfs/dbraw/zinc/06/23/58/908062358.db2.gz UIABYZPKOFJACL-LBPRGKRZSA-N 1 2 320.886 1.824 20 30 DDEDLO C[C@@H]([NH2+]Cc1csnn1)[C@H](C)NC(=O)c1ccc(C#N)[nH]1 ZINC001393920086 908247853 /nfs/dbraw/zinc/24/78/53/908247853.db2.gz FNZUMTJOYHSOCB-BDAKNGLRSA-N 1 2 304.379 1.034 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001284099291 908474001 /nfs/dbraw/zinc/47/40/01/908474001.db2.gz YCYLKURCEYXSFZ-TUVASFSCSA-N 1 2 318.421 1.495 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2cccs2)[C@@H]1C ZINC001284100566 908475494 /nfs/dbraw/zinc/47/54/94/908475494.db2.gz GDYUTOAHXORBKC-STQMWFEESA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2cccs2)[C@@H]1C ZINC001284100566 908475498 /nfs/dbraw/zinc/47/54/98/908475498.db2.gz GDYUTOAHXORBKC-STQMWFEESA-N 1 2 319.430 1.080 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001284251619 908731242 /nfs/dbraw/zinc/73/12/42/908731242.db2.gz UDWFMROPEQZBEM-QWHCGFSZSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001284251619 908731254 /nfs/dbraw/zinc/73/12/54/908731254.db2.gz UDWFMROPEQZBEM-QWHCGFSZSA-N 1 2 320.437 1.812 20 30 DDEDLO C[C@H](C#N)C(=O)NC1(CCO)C[NH+]([C@H](C)c2ccccc2)C1 ZINC001394146011 908968552 /nfs/dbraw/zinc/96/85/52/908968552.db2.gz FRYSOBCNJIYSRE-ZIAGYGMSSA-N 1 2 301.390 1.460 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1nc(C)cs1 ZINC001284482884 909062601 /nfs/dbraw/zinc/06/26/01/909062601.db2.gz PGQRLWFBJAPYLP-ZDUSSCGKSA-N 1 2 311.451 1.669 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1nc(C)cs1 ZINC001284482884 909062627 /nfs/dbraw/zinc/06/26/27/909062627.db2.gz PGQRLWFBJAPYLP-ZDUSSCGKSA-N 1 2 311.451 1.669 20 30 DDEDLO C=CCCC(=O)NCCN(C)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001284504225 909102503 /nfs/dbraw/zinc/10/25/03/909102503.db2.gz UEQKWMXOKPOASN-UHFFFAOYSA-N 1 2 300.362 1.717 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc2ccon2)CCC1 ZINC001284509361 909111170 /nfs/dbraw/zinc/11/11/70/909111170.db2.gz MDHUWOYBDSFXKT-HNNXBMFYSA-N 1 2 321.421 1.672 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc2ccon2)CCC1 ZINC001284509361 909111178 /nfs/dbraw/zinc/11/11/78/909111178.db2.gz MDHUWOYBDSFXKT-HNNXBMFYSA-N 1 2 321.421 1.672 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)C[C@@H]2CCCOC2)C1 ZINC001394248208 909181104 /nfs/dbraw/zinc/18/11/04/909181104.db2.gz DSOFEQMGBOEIKY-STQMWFEESA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)CCc1c[nH+]cn1C ZINC001284570405 909197471 /nfs/dbraw/zinc/19/74/71/909197471.db2.gz XPJKNUCZDYDITF-UHFFFAOYSA-N 1 2 306.410 1.284 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001284983242 909881032 /nfs/dbraw/zinc/88/10/32/909881032.db2.gz UTVLRGQEARTBCA-UHFFFAOYSA-N 1 2 304.394 1.047 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](CC)NC(=O)Cc1[nH]c[nH+]c1C ZINC001285098130 910065659 /nfs/dbraw/zinc/06/56/59/910065659.db2.gz WUJXEFBIPYEOHG-SUMWQHHRSA-N 1 2 320.437 1.874 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2[C@@H](CCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001285386234 910449967 /nfs/dbraw/zinc/44/99/67/910449967.db2.gz BLQLTLBMWYBVIN-ZFWWWQNUSA-N 1 2 316.405 1.368 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[C@@H]1NC(=O)CCn1cc[nH+]c1 ZINC001285413800 910488421 /nfs/dbraw/zinc/48/84/21/910488421.db2.gz XTJPEUZDRSXIOG-GJZGRUSLSA-N 1 2 318.421 1.641 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373667055 910556638 /nfs/dbraw/zinc/55/66/38/910556638.db2.gz IBXNHSUIBDKYKG-SWLSCSKDSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373667055 910556648 /nfs/dbraw/zinc/55/66/48/910556648.db2.gz IBXNHSUIBDKYKG-SWLSCSKDSA-N 1 2 314.364 1.890 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@H]1C[C@H]1C(N)=O)C(C)C ZINC001394806209 910611588 /nfs/dbraw/zinc/61/15/88/910611588.db2.gz CMYQKBKXBREGQM-NEPJUHHUSA-N 1 2 301.818 1.029 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@H]1C[C@H]1C(N)=O)C(C)C ZINC001394806209 910611594 /nfs/dbraw/zinc/61/15/94/910611594.db2.gz CMYQKBKXBREGQM-NEPJUHHUSA-N 1 2 301.818 1.029 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCN1C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001285839105 911265279 /nfs/dbraw/zinc/26/52/79/911265279.db2.gz SOXLLONNPSLSLP-UONOGXRCSA-N 1 2 316.405 1.129 20 30 DDEDLO C[C@H](CC(=O)NCC1(NC(=O)C#CC2CC2)CC1)n1cc[nH+]c1 ZINC001285926988 911455837 /nfs/dbraw/zinc/45/58/37/911455837.db2.gz UJZOJYPXSPSMRC-CYBMUJFWSA-N 1 2 314.389 1.013 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001294824651 915389456 /nfs/dbraw/zinc/38/94/56/915389456.db2.gz JNCBAAHLJWKKOV-AWEZNQCLSA-N 1 2 316.405 1.438 20 30 DDEDLO CC(C)(C)C#CC(=O)NCCN(C(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001296575386 916491044 /nfs/dbraw/zinc/49/10/44/916491044.db2.gz XWZINKPQWBRVAX-UHFFFAOYSA-N 1 2 316.405 1.109 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCCC[N@@H+]1Cc1ccc(F)cn1 ZINC001376583364 918812644 /nfs/dbraw/zinc/81/26/44/918812644.db2.gz XEHSJYDZNQWHCO-WFASDCNBSA-N 1 2 304.369 1.851 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCCC[N@H+]1Cc1ccc(F)cn1 ZINC001376583364 918812657 /nfs/dbraw/zinc/81/26/57/918812657.db2.gz XEHSJYDZNQWHCO-WFASDCNBSA-N 1 2 304.369 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CCC)C(=O)CCNC(N)=O)C1 ZINC001377678401 922673318 /nfs/dbraw/zinc/67/33/18/922673318.db2.gz MTVVRGLQZGYSFR-GFCCVEGCSA-N 1 2 316.833 1.110 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CCC)C(=O)CCNC(N)=O)C1 ZINC001377678401 922673326 /nfs/dbraw/zinc/67/33/26/922673326.db2.gz MTVVRGLQZGYSFR-GFCCVEGCSA-N 1 2 316.833 1.110 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)NCc1cccc(C#N)c1 ZINC000278675730 214318274 /nfs/dbraw/zinc/31/82/74/214318274.db2.gz BRTXCDMTTHULSS-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO C[C@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)cc2)[C@@H](C(N)=O)C1 ZINC000249572365 282174778 /nfs/dbraw/zinc/17/47/78/282174778.db2.gz OOIAVUXLDSGUSM-SMDDNHRTSA-N 1 2 300.362 1.083 20 30 DDEDLO C[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)[C@@H](C(N)=O)C1 ZINC000249572365 282174779 /nfs/dbraw/zinc/17/47/79/282174779.db2.gz OOIAVUXLDSGUSM-SMDDNHRTSA-N 1 2 300.362 1.083 20 30 DDEDLO CC(C)N(C(=O)CN(CCC#N)CC[NH+]1CCOCC1)C(C)C ZINC000096971570 185394545 /nfs/dbraw/zinc/39/45/45/185394545.db2.gz IUJCMZIQRMURMN-UHFFFAOYSA-N 1 2 324.469 1.180 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)[C@]1(O)CCSC1 ZINC000329048640 539300623 /nfs/dbraw/zinc/30/06/23/539300623.db2.gz QNVXOACTPGYQFS-HNNXBMFYSA-N 1 2 323.418 1.683 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)N[C@H]2COc3ccccc3C2=O)[N@H+](C)C1 ZINC000329075627 539300827 /nfs/dbraw/zinc/30/08/27/539300827.db2.gz PPGJNKIPQYZWOI-DRZSPHRISA-N 1 2 304.346 1.306 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)N[C@H]2COc3ccccc3C2=O)[N@@H+](C)C1 ZINC000329075627 539300829 /nfs/dbraw/zinc/30/08/29/539300829.db2.gz PPGJNKIPQYZWOI-DRZSPHRISA-N 1 2 304.346 1.306 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@H+](Cc2ccc(OC)c(C#N)c2)C1 ZINC000092843272 185345689 /nfs/dbraw/zinc/34/56/89/185345689.db2.gz CBVJPKATBIAISZ-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccc(OC)c(C#N)c2)C1 ZINC000092843272 185345690 /nfs/dbraw/zinc/34/56/90/185345690.db2.gz CBVJPKATBIAISZ-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1CCC[C@@H]1C#N ZINC000332848370 539312967 /nfs/dbraw/zinc/31/29/67/539312967.db2.gz RJCPTWDGUSBLFA-KBXIAJHMSA-N 1 2 306.410 1.183 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)c1 ZINC000097002861 185396435 /nfs/dbraw/zinc/39/64/35/185396435.db2.gz UNAXWEFJCMWCKU-UHFFFAOYSA-N 1 2 305.403 1.275 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CC[C@@]3(CCOC3)C2)c[nH+]1 ZINC000329786804 529778935 /nfs/dbraw/zinc/77/89/35/529778935.db2.gz YEMKVTYVAURUDM-MRXNPFEDSA-N 1 2 304.394 1.674 20 30 DDEDLO CN(C)c1ccc(CNC(=O)NC[C@@H]2CCC[C@@H](O)C2)c[nH+]1 ZINC000330935790 529780475 /nfs/dbraw/zinc/78/04/75/529780475.db2.gz PAWNMVQREUZSPN-TZMCWYRMSA-N 1 2 306.410 1.702 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NCc3nc(C4CC4)cs3)C[C@H]21 ZINC000330089911 529784951 /nfs/dbraw/zinc/78/49/51/529784951.db2.gz WGSUBMDSNBHIDN-CHWSQXEVSA-N 1 2 322.434 1.449 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NCc3nc(C4CC4)cs3)C[C@H]21 ZINC000330089911 529784953 /nfs/dbraw/zinc/78/49/53/529784953.db2.gz WGSUBMDSNBHIDN-CHWSQXEVSA-N 1 2 322.434 1.449 20 30 DDEDLO C=CCC1(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)CCOCC1 ZINC000615557186 362337464 /nfs/dbraw/zinc/33/74/64/362337464.db2.gz GJWXEXFIBWFJFL-INIZCTEOSA-N 1 2 322.449 1.683 20 30 DDEDLO Cc1ccc2[nH+]c(CNC(=O)N3CC[C@@](F)(C#N)C3)cn2c1 ZINC000615579612 362346351 /nfs/dbraw/zinc/34/63/51/362346351.db2.gz IKWOLRYKHLNVHH-OAHLLOKOSA-N 1 2 301.325 1.790 20 30 DDEDLO COCCN(CC#N)C(=O)[C@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000457207034 232014318 /nfs/dbraw/zinc/01/43/18/232014318.db2.gz UEPOHJYRKTZMQN-LBPRGKRZSA-N 1 2 304.394 1.637 20 30 DDEDLO COCCN(CC#N)C(=O)[C@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000457207034 232014320 /nfs/dbraw/zinc/01/43/20/232014320.db2.gz UEPOHJYRKTZMQN-LBPRGKRZSA-N 1 2 304.394 1.637 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)CC(=O)N1C ZINC000564548644 304000517 /nfs/dbraw/zinc/00/05/17/304000517.db2.gz SRNLCFVZCDBQTR-JTQLQIEISA-N 1 2 306.391 1.111 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)CC(=O)N1C ZINC000564548644 304000519 /nfs/dbraw/zinc/00/05/19/304000519.db2.gz SRNLCFVZCDBQTR-JTQLQIEISA-N 1 2 306.391 1.111 20 30 DDEDLO C[C@H]1CSCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000148141322 186043231 /nfs/dbraw/zinc/04/32/31/186043231.db2.gz SITSXOXSDDQNCW-LBPRGKRZSA-N 1 2 310.444 1.769 20 30 DDEDLO C[C@H]1CSCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000148141322 186043233 /nfs/dbraw/zinc/04/32/33/186043233.db2.gz SITSXOXSDDQNCW-LBPRGKRZSA-N 1 2 310.444 1.769 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CCO[C@@H](CNC(C)=O)C1 ZINC000179715181 186209423 /nfs/dbraw/zinc/20/94/23/186209423.db2.gz OOUBPVMMBWCHOQ-INIZCTEOSA-N 1 2 302.374 1.036 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CCO[C@@H](CNC(C)=O)C1 ZINC000179715181 186209425 /nfs/dbraw/zinc/20/94/25/186209425.db2.gz OOUBPVMMBWCHOQ-INIZCTEOSA-N 1 2 302.374 1.036 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2cccc(OC)c2)CC1 ZINC000008529955 352135826 /nfs/dbraw/zinc/13/58/26/352135826.db2.gz IDYAVEIAEYQSGO-CQSZACIVSA-N 1 2 303.406 1.508 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000029446084 352239502 /nfs/dbraw/zinc/23/95/02/352239502.db2.gz LKEGQQYKRAJVPW-AWEZNQCLSA-N 1 2 315.421 1.236 20 30 DDEDLO COC(=O)C[C@@H](C)[NH+]1CCN(C(=O)C2(C#N)CC3(CC3)C2)CC1 ZINC000601268998 358472259 /nfs/dbraw/zinc/47/22/59/358472259.db2.gz UOTMJFXAOPIBNY-CYBMUJFWSA-N 1 2 319.405 1.166 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(Cc2cc(C)no2)CC1 ZINC000053456086 352657187 /nfs/dbraw/zinc/65/71/87/352657187.db2.gz KVHIZMVZSDVOFR-UHFFFAOYSA-N 1 2 318.421 1.301 20 30 DDEDLO CC[N@@H+](Cc1cccc(S(=O)(=O)N(C)C)c1)C[C@H](C)C#N ZINC000066424457 352996011 /nfs/dbraw/zinc/99/60/11/352996011.db2.gz QUQUHLBPTPYOGE-CYBMUJFWSA-N 1 2 309.435 1.918 20 30 DDEDLO CC[N@H+](Cc1cccc(S(=O)(=O)N(C)C)c1)C[C@H](C)C#N ZINC000066424457 352996014 /nfs/dbraw/zinc/99/60/14/352996014.db2.gz QUQUHLBPTPYOGE-CYBMUJFWSA-N 1 2 309.435 1.918 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000174334793 198321320 /nfs/dbraw/zinc/32/13/20/198321320.db2.gz MGLJBSMUCNWZER-WDEREUQCSA-N 1 2 316.336 1.664 20 30 DDEDLO CC(=O)CCc1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000078320980 353510496 /nfs/dbraw/zinc/51/04/96/353510496.db2.gz RUIXRCBJKKBVTG-INIZCTEOSA-N 1 2 304.390 1.793 20 30 DDEDLO CC(=O)CCc1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000078320980 353510497 /nfs/dbraw/zinc/51/04/97/353510497.db2.gz RUIXRCBJKKBVTG-INIZCTEOSA-N 1 2 304.390 1.793 20 30 DDEDLO COC(=O)C[N@H+](CCC(=O)Nc1ccc(C#N)cc1)C1CC1 ZINC000079499016 353564899 /nfs/dbraw/zinc/56/48/99/353564899.db2.gz MPHHWYKBVRFMKX-UHFFFAOYSA-N 1 2 301.346 1.524 20 30 DDEDLO COC(=O)C[N@@H+](CCC(=O)Nc1ccc(C#N)cc1)C1CC1 ZINC000079499016 353564901 /nfs/dbraw/zinc/56/49/01/353564901.db2.gz MPHHWYKBVRFMKX-UHFFFAOYSA-N 1 2 301.346 1.524 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000397628895 354655094 /nfs/dbraw/zinc/65/50/94/354655094.db2.gz UXMRKBVAECXZDI-AWEZNQCLSA-N 1 2 317.414 1.958 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000397628895 354655095 /nfs/dbraw/zinc/65/50/95/354655095.db2.gz UXMRKBVAECXZDI-AWEZNQCLSA-N 1 2 317.414 1.958 20 30 DDEDLO CO[C@@]1(CO)CCC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000401724812 354665475 /nfs/dbraw/zinc/66/54/75/354665475.db2.gz PQSKOJSYJLBROW-INIZCTEOSA-N 1 2 321.377 1.180 20 30 DDEDLO CO[C@@]1(CO)CCC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000401724812 354665477 /nfs/dbraw/zinc/66/54/77/354665477.db2.gz PQSKOJSYJLBROW-INIZCTEOSA-N 1 2 321.377 1.180 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC(F)(F)[C@@H](CO)C2)CCCCC1 ZINC000361408501 354622395 /nfs/dbraw/zinc/62/23/95/354622395.db2.gz OADWQPKWRNAKHO-GFCCVEGCSA-N 1 2 315.364 1.279 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC(F)(F)[C@@H](CO)C2)CCCCC1 ZINC000361408501 354622398 /nfs/dbraw/zinc/62/23/98/354622398.db2.gz OADWQPKWRNAKHO-GFCCVEGCSA-N 1 2 315.364 1.279 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C[C@H]1CSc2ccccc2O1 ZINC000579360151 354718296 /nfs/dbraw/zinc/71/82/96/354718296.db2.gz COOLVRLHFPRRBD-LRDDRELGSA-N 1 2 319.430 1.890 20 30 DDEDLO Cc1cc(S(=O)(=O)NCCc2c[nH+]cn2C)ccc1C#N ZINC000401759741 354667386 /nfs/dbraw/zinc/66/73/86/354667386.db2.gz UGPNVMVALMGSFR-UHFFFAOYSA-N 1 2 304.375 1.121 20 30 DDEDLO COCCN(CCC#N)C(=O)C1CC[NH+](CC(F)F)CC1 ZINC000588459390 354921326 /nfs/dbraw/zinc/92/13/26/354921326.db2.gz ACKLBUNCLUXPPI-UHFFFAOYSA-N 1 2 303.353 1.352 20 30 DDEDLO COCCOc1ccccc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000589827005 355022674 /nfs/dbraw/zinc/02/26/74/355022674.db2.gz YGHRYADHIHEZRA-MRXNPFEDSA-N 1 2 305.378 1.285 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCCNC(=O)[C@H]2Cc2ccccc2)ccn1 ZINC000590192519 355056672 /nfs/dbraw/zinc/05/66/72/355056672.db2.gz NREMJTFQVCOSPY-GOSISDBHSA-N 1 2 320.396 1.886 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCCNC(=O)[C@H]2Cc2ccccc2)ccn1 ZINC000590192519 355056673 /nfs/dbraw/zinc/05/66/73/355056673.db2.gz NREMJTFQVCOSPY-GOSISDBHSA-N 1 2 320.396 1.886 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000074828498 191367656 /nfs/dbraw/zinc/36/76/56/191367656.db2.gz HJSWFQRLXFDQJI-UHFFFAOYSA-N 1 2 316.386 1.850 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)N[C@H]3CC34CC4)CC2)cc1 ZINC000591858057 355395885 /nfs/dbraw/zinc/39/58/85/355395885.db2.gz QQUXFZOZRABWGQ-INIZCTEOSA-N 1 2 310.401 1.938 20 30 DDEDLO C[C@H](C(=O)N1c2ccccc2C[C@H]1C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592145953 355512106 /nfs/dbraw/zinc/51/21/06/355512106.db2.gz BYXSHTVCCCEDER-LBTNJELSSA-N 1 2 313.401 1.703 20 30 DDEDLO C[C@H](C(=O)N1c2ccccc2C[C@H]1C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592145953 355512109 /nfs/dbraw/zinc/51/21/09/355512109.db2.gz BYXSHTVCCCEDER-LBTNJELSSA-N 1 2 313.401 1.703 20 30 DDEDLO Cc1cc(-c2nnc(C[N@@H+]3CC[C@@](O)(CC#N)C3)o2)c(C)o1 ZINC000592147234 355513146 /nfs/dbraw/zinc/51/31/46/355513146.db2.gz KCTMMLPVKBPHTF-HNNXBMFYSA-N 1 2 302.334 1.797 20 30 DDEDLO Cc1cc(-c2nnc(C[N@H+]3CC[C@@](O)(CC#N)C3)o2)c(C)o1 ZINC000592147234 355513150 /nfs/dbraw/zinc/51/31/50/355513150.db2.gz KCTMMLPVKBPHTF-HNNXBMFYSA-N 1 2 302.334 1.797 20 30 DDEDLO CCOc1ccccc1NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148366 355515293 /nfs/dbraw/zinc/51/52/93/355515293.db2.gz XVOWSEZVBOPANT-MRXNPFEDSA-N 1 2 303.362 1.374 20 30 DDEDLO CCOc1ccccc1NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148366 355515296 /nfs/dbraw/zinc/51/52/96/355515296.db2.gz XVOWSEZVBOPANT-MRXNPFEDSA-N 1 2 303.362 1.374 20 30 DDEDLO Cc1ccccc1CCNC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148449 355515444 /nfs/dbraw/zinc/51/54/44/355515444.db2.gz VJCNHXQZSYICFL-QGZVFWFLSA-N 1 2 301.390 1.004 20 30 DDEDLO Cc1ccccc1CCNC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148449 355515449 /nfs/dbraw/zinc/51/54/49/355515449.db2.gz VJCNHXQZSYICFL-QGZVFWFLSA-N 1 2 301.390 1.004 20 30 DDEDLO CCc1ccc(CNC(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)s1 ZINC000592152670 355518781 /nfs/dbraw/zinc/51/87/81/355518781.db2.gz ZWFQWYXXWPUHBH-OAHLLOKOSA-N 1 2 307.419 1.277 20 30 DDEDLO CCc1ccc(CNC(=O)C[N@H+]2CC[C@](O)(CC#N)C2)s1 ZINC000592152670 355518785 /nfs/dbraw/zinc/51/87/85/355518785.db2.gz ZWFQWYXXWPUHBH-OAHLLOKOSA-N 1 2 307.419 1.277 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000592152646 355518819 /nfs/dbraw/zinc/51/88/19/355518819.db2.gz ZLRANVQKDFHZDI-ZBFHGGJFSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000592152646 355518823 /nfs/dbraw/zinc/51/88/23/355518823.db2.gz ZLRANVQKDFHZDI-ZBFHGGJFSA-N 1 2 319.792 1.796 20 30 DDEDLO CC(C)(CCC#N)C[N@@H+]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC000592524637 355611989 /nfs/dbraw/zinc/61/19/89/355611989.db2.gz GZLZZSDPTVHBOS-CQSZACIVSA-N 1 2 300.424 1.206 20 30 DDEDLO CC(C)(CCC#N)C[N@H+]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC000592524637 355611990 /nfs/dbraw/zinc/61/19/90/355611990.db2.gz GZLZZSDPTVHBOS-CQSZACIVSA-N 1 2 300.424 1.206 20 30 DDEDLO Cc1cc2c(cc1C)[C@@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)CO2 ZINC000593154816 355813640 /nfs/dbraw/zinc/81/36/40/355813640.db2.gz GPIJSEPOQGZKGU-YOEHRIQHSA-N 1 2 301.390 1.740 20 30 DDEDLO COc1cc(C[N@@H+]2CC(C)(C)O[C@@H]3COC[C@H]32)ccc1C#N ZINC000593698030 355970632 /nfs/dbraw/zinc/97/06/32/355970632.db2.gz NYAVROWZUSUKRO-GDBMZVCRSA-N 1 2 302.374 1.945 20 30 DDEDLO COc1cc(C[N@H+]2CC(C)(C)O[C@@H]3COC[C@H]32)ccc1C#N ZINC000593698030 355970637 /nfs/dbraw/zinc/97/06/37/355970637.db2.gz NYAVROWZUSUKRO-GDBMZVCRSA-N 1 2 302.374 1.945 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)N(CCC(N)=O)c1ccc(F)cc1 ZINC000594011644 356086311 /nfs/dbraw/zinc/08/63/11/356086311.db2.gz IQXWSVQBOFJPAB-CYBMUJFWSA-N 1 2 320.368 1.316 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2nc([C@H]3CCCO3)n[nH]2)cc1F ZINC000594512607 356240433 /nfs/dbraw/zinc/24/04/33/356240433.db2.gz VDYVVFRWNDZMMX-CYBMUJFWSA-N 1 2 301.325 1.957 20 30 DDEDLO Cn1ncc(C2CCC2)c1C[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000594717039 356294678 /nfs/dbraw/zinc/29/46/78/356294678.db2.gz HFYSKEVWQBEIIY-KRWDZBQOSA-N 1 2 323.400 1.875 20 30 DDEDLO Cc1cn2ccc(NC(=O)C(=O)N3CC[C@](C)(C#N)C3)cc2[nH+]1 ZINC000595209331 356409044 /nfs/dbraw/zinc/40/90/44/356409044.db2.gz AMHMHLIUHMZNCO-MRXNPFEDSA-N 1 2 311.345 1.343 20 30 DDEDLO C[C@H](NS(=O)(=O)c1cccc(CC#N)c1)c1[nH+]ccn1C ZINC000595383964 356474026 /nfs/dbraw/zinc/47/40/26/356474026.db2.gz SCANWSBSJPAZQZ-NSHDSACASA-N 1 2 304.375 1.526 20 30 DDEDLO C[C@]1(C2CC2)C[N@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000595618582 356579867 /nfs/dbraw/zinc/57/98/67/356579867.db2.gz QVQVEWBEWXTXRK-GOSISDBHSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@]1(C2CC2)C[N@@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000595618582 356579871 /nfs/dbraw/zinc/57/98/71/356579871.db2.gz QVQVEWBEWXTXRK-GOSISDBHSA-N 1 2 313.401 1.675 20 30 DDEDLO CN(CC1CC1)C(=O)C[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595631612 356585257 /nfs/dbraw/zinc/58/52/57/356585257.db2.gz VJEOIRRMWWCCGX-UHFFFAOYSA-N 1 2 318.446 1.610 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000595803566 356657927 /nfs/dbraw/zinc/65/79/27/356657927.db2.gz XICHFGMLKOXHCR-QGZVFWFLSA-N 1 2 318.373 1.591 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@H+]1CCOc1ccc(C#N)cc1 ZINC000595803566 356657929 /nfs/dbraw/zinc/65/79/29/356657929.db2.gz XICHFGMLKOXHCR-QGZVFWFLSA-N 1 2 318.373 1.591 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CCC3(COC3)C2)c(C#N)c1C ZINC000595832033 356669490 /nfs/dbraw/zinc/66/94/90/356669490.db2.gz AZLHIJFGDXCHNY-UHFFFAOYSA-N 1 2 305.403 1.897 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CCC3(COC3)C2)c(C#N)c1C ZINC000595832033 356669491 /nfs/dbraw/zinc/66/94/91/356669491.db2.gz AZLHIJFGDXCHNY-UHFFFAOYSA-N 1 2 305.403 1.897 20 30 DDEDLO COc1ccccc1CNC(=O)[C@H](C)[N@@H+]1CC[C@](C)(C#N)C1 ZINC000595834973 356671344 /nfs/dbraw/zinc/67/13/44/356671344.db2.gz ODWVRKOPLCHGCW-SUMWQHHRSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccccc1CNC(=O)[C@H](C)[N@H+]1CC[C@](C)(C#N)C1 ZINC000595834973 356671348 /nfs/dbraw/zinc/67/13/48/356671348.db2.gz ODWVRKOPLCHGCW-SUMWQHHRSA-N 1 2 301.390 1.935 20 30 DDEDLO CN(CCOc1ccccc1F)C(=O)C[N@@H+]1CC[C@](C)(C#N)C1 ZINC000595838616 356672584 /nfs/dbraw/zinc/67/25/84/356672584.db2.gz WVVNUGFNNVGUBI-QGZVFWFLSA-N 1 2 319.380 1.898 20 30 DDEDLO CN(CCOc1ccccc1F)C(=O)C[N@H+]1CC[C@](C)(C#N)C1 ZINC000595838616 356672587 /nfs/dbraw/zinc/67/25/87/356672587.db2.gz WVVNUGFNNVGUBI-QGZVFWFLSA-N 1 2 319.380 1.898 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1C[C@H](C)O[C@@](C)(C(F)F)C1 ZINC000595913490 356703213 /nfs/dbraw/zinc/70/32/13/356703213.db2.gz DZVUKFDQUJHQCG-PKFCDNJMSA-N 1 2 319.352 1.131 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@H](C)O[C@@](C)(C(F)F)C1 ZINC000595913490 356703218 /nfs/dbraw/zinc/70/32/18/356703218.db2.gz DZVUKFDQUJHQCG-PKFCDNJMSA-N 1 2 319.352 1.131 20 30 DDEDLO CCc1nc(C#N)cc(N2CC[C@H]([NH+]3CCN(CC)CC3)C2)n1 ZINC000596120943 356780405 /nfs/dbraw/zinc/78/04/05/356780405.db2.gz FSIAWJRNPDSYTN-HNNXBMFYSA-N 1 2 314.437 1.127 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCC2(C#N)CCCCC2)[C@@H](C)CO1 ZINC000596860197 356998424 /nfs/dbraw/zinc/99/84/24/356998424.db2.gz VUTLGVVAHUIYFC-LSDHHAIUSA-N 1 2 322.453 1.869 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCC2(C#N)CCCCC2)[C@@H](C)CO1 ZINC000596860197 356998427 /nfs/dbraw/zinc/99/84/27/356998427.db2.gz VUTLGVVAHUIYFC-LSDHHAIUSA-N 1 2 322.453 1.869 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000596708037 356957103 /nfs/dbraw/zinc/95/71/03/356957103.db2.gz LXBIZXNTTPTQHI-OAHLLOKOSA-N 1 2 314.389 1.805 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2cccc(C(=O)OC)c2)nn1 ZINC000597126619 357067475 /nfs/dbraw/zinc/06/74/75/357067475.db2.gz GAYMFUYIXVXBNL-UHFFFAOYSA-N 1 2 300.362 1.931 20 30 DDEDLO COC(=O)COc1ccc(C[NH+]2CC(CC#N)C2)cc1[N+](=O)[O-] ZINC000597204198 357091784 /nfs/dbraw/zinc/09/17/84/357091784.db2.gz SXSWLUYEFLKUFK-UHFFFAOYSA-N 1 2 319.317 1.492 20 30 DDEDLO N#CCN1CCN(C(=O)C[C@@H](c2[nH]cc[nH+]2)c2ccccc2)CC1 ZINC000597274795 357117728 /nfs/dbraw/zinc/11/77/28/357117728.db2.gz PNUWFHVPIPLVLP-MRXNPFEDSA-N 1 2 323.400 1.599 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)cc1F ZINC000597619104 357257799 /nfs/dbraw/zinc/25/77/99/357257799.db2.gz ZBWCNEUSRXWPKO-NSHDSACASA-N 1 2 316.311 1.912 20 30 DDEDLO N#CC[C@@H]1CCCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000597906519 357378219 /nfs/dbraw/zinc/37/82/19/357378219.db2.gz XCLHCYCWCMIIHI-HOCLYGCPSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CC[C@@H]1CCCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000597906519 357378221 /nfs/dbraw/zinc/37/82/21/357378221.db2.gz XCLHCYCWCMIIHI-HOCLYGCPSA-N 1 2 305.422 1.782 20 30 DDEDLO CCCc1[nH+]c2ccccc2n1CCC(=O)N[C@H](C#N)CO ZINC000597743325 357313856 /nfs/dbraw/zinc/31/38/56/357313856.db2.gz ZEBUNSGSGQNLOZ-GFCCVEGCSA-N 1 2 300.362 1.380 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1cccc(C#N)n1)C(C)(C)CO ZINC000597844775 357355390 /nfs/dbraw/zinc/35/53/90/357355390.db2.gz BXKSWBVKZDBWOT-CYBMUJFWSA-N 1 2 313.361 1.176 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1ccc(C#N)nc1)C(C)(C)CO ZINC000597848988 357357170 /nfs/dbraw/zinc/35/71/70/357357170.db2.gz XQZUETSKGUYAGY-ZDUSSCGKSA-N 1 2 313.361 1.176 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1ncc(C#N)cc1Cl ZINC000597996293 357411596 /nfs/dbraw/zinc/41/15/96/357411596.db2.gz IKNQUHNBBJBAPW-MNOVXSKESA-N 1 2 322.796 1.446 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1ncc(C#N)cc1Cl ZINC000597996293 357411598 /nfs/dbraw/zinc/41/15/98/357411598.db2.gz IKNQUHNBBJBAPW-MNOVXSKESA-N 1 2 322.796 1.446 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC1 ZINC000598693796 357690635 /nfs/dbraw/zinc/69/06/35/357690635.db2.gz FEJGUCJRXUAXDW-ZDUSSCGKSA-N 1 2 303.410 1.380 20 30 DDEDLO CC(C)(C)CNC(=O)C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000599282981 357874276 /nfs/dbraw/zinc/87/42/76/357874276.db2.gz WXORMQFQRCUYMG-UHFFFAOYSA-N 1 2 314.433 1.843 20 30 DDEDLO COc1ccnc(N2CC[NH+](Cc3ccc(C)c(C#N)c3)CC2)n1 ZINC000599288031 357877614 /nfs/dbraw/zinc/87/76/14/357877614.db2.gz ONKFJVFSFUZQGJ-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCc2c(CC#N)cccc2C1)C1CC1 ZINC000599685768 358025303 /nfs/dbraw/zinc/02/53/03/358025303.db2.gz KDOVHIQURNARDD-IBGZPJMESA-N 1 2 322.412 1.919 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCc2c(CC#N)cccc2C1)C1CC1 ZINC000599685768 358025304 /nfs/dbraw/zinc/02/53/04/358025304.db2.gz KDOVHIQURNARDD-IBGZPJMESA-N 1 2 322.412 1.919 20 30 DDEDLO COC[C@@]1(C)C[N@@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)CCO1 ZINC000599691335 358027330 /nfs/dbraw/zinc/02/73/30/358027330.db2.gz FEUJNQAYERZOJF-GDBMZVCRSA-N 1 2 323.437 1.455 20 30 DDEDLO COC[C@@]1(C)C[N@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)CCO1 ZINC000599691335 358027333 /nfs/dbraw/zinc/02/73/33/358027333.db2.gz FEUJNQAYERZOJF-GDBMZVCRSA-N 1 2 323.437 1.455 20 30 DDEDLO N#Cc1cc(C(=O)N2CCc3[nH]c[nH+]c3C2)cnc1C(F)(F)F ZINC000599953074 358088546 /nfs/dbraw/zinc/08/85/46/358088546.db2.gz UTVGBJORENIEJO-UHFFFAOYSA-N 1 2 321.262 1.894 20 30 DDEDLO N#Cc1cc(C(=O)N2CCc3[nH+]c[nH]c3C2)cnc1C(F)(F)F ZINC000599953074 358088547 /nfs/dbraw/zinc/08/85/47/358088547.db2.gz UTVGBJORENIEJO-UHFFFAOYSA-N 1 2 321.262 1.894 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cccc(C(=O)OCC)n2)C1=O ZINC000600158144 358141152 /nfs/dbraw/zinc/14/11/52/358141152.db2.gz HVANTVPUVXZLIA-OAHLLOKOSA-N 1 2 317.389 1.477 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cccc(C(=O)OCC)n2)C1=O ZINC000600158144 358141153 /nfs/dbraw/zinc/14/11/53/358141153.db2.gz HVANTVPUVXZLIA-OAHLLOKOSA-N 1 2 317.389 1.477 20 30 DDEDLO C[C@H](NS(=O)(=O)c1ccccc1CC#N)c1[nH+]ccn1C ZINC000601469682 358567223 /nfs/dbraw/zinc/56/72/23/358567223.db2.gz JCVNFROWHKTGNY-NSHDSACASA-N 1 2 304.375 1.526 20 30 DDEDLO CN1CCCC[C@@H]([N@H+](C)CC(=O)NC2(C#N)CCCCC2)C1=O ZINC000601972369 358760031 /nfs/dbraw/zinc/76/00/31/358760031.db2.gz ZNHFSNBUROMDCJ-CQSZACIVSA-N 1 2 320.437 1.272 20 30 DDEDLO CN1CCCC[C@@H]([N@@H+](C)CC(=O)NC2(C#N)CCCCC2)C1=O ZINC000601972369 358760036 /nfs/dbraw/zinc/76/00/36/358760036.db2.gz ZNHFSNBUROMDCJ-CQSZACIVSA-N 1 2 320.437 1.272 20 30 DDEDLO N#C[C@]1(NC(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)CCSC1 ZINC000602087112 358807266 /nfs/dbraw/zinc/80/72/66/358807266.db2.gz UUDPZNLXAUBFBR-GXSJLCMTSA-N 1 2 318.324 1.892 20 30 DDEDLO Cc1cn2cc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)nc2s1 ZINC000602334380 358935578 /nfs/dbraw/zinc/93/55/78/358935578.db2.gz QVIWHIYSACBFRP-AWEZNQCLSA-N 1 2 305.407 1.207 20 30 DDEDLO Cc1cccc(CNC(=O)C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000602854318 359240180 /nfs/dbraw/zinc/24/01/80/359240180.db2.gz BNUJRZCOEUFTRR-HOTGVXAUSA-N 1 2 314.433 1.529 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CCCC[C@H]1C)[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602858044 359244561 /nfs/dbraw/zinc/24/45/61/359244561.db2.gz YBLRKPJLULTRJG-MTSZKFMLSA-N 1 2 320.481 1.988 20 30 DDEDLO COc1ccc(CN(C)C(=O)[C@@H](C)[NH2+][C@H](C)CC#N)cc1OC ZINC000602862915 359247305 /nfs/dbraw/zinc/24/73/05/359247305.db2.gz MTIQJSGRUXEXFP-CHWSQXEVSA-N 1 2 319.405 1.942 20 30 DDEDLO COc1cccc(CN(C)C(=O)C[NH2+][C@H](C)CC#N)c1OC ZINC000602863202 359247467 /nfs/dbraw/zinc/24/74/67/359247467.db2.gz LWJASSLDGDMPED-GFCCVEGCSA-N 1 2 305.378 1.554 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)s1 ZINC000602864116 359248842 /nfs/dbraw/zinc/24/88/42/359248842.db2.gz QBFRYNZKXHVYOD-RYUDHWBXSA-N 1 2 307.419 1.953 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)Nc2ccc(F)c(F)c2)C[C@@H](C)N1CC#N ZINC000602865497 359249826 /nfs/dbraw/zinc/24/98/26/359249826.db2.gz TVHYNGCJJGAWMS-VXGBXAGGSA-N 1 2 322.359 1.821 20 30 DDEDLO COc1ccc(CCNC(=O)C[NH2+][C@@H](C)CC#N)cc1OC ZINC000602865610 359250231 /nfs/dbraw/zinc/25/02/31/359250231.db2.gz WTOANTRDTGNKGV-LBPRGKRZSA-N 1 2 305.378 1.254 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@H+](C)[C@@H]2CCC[C@H]2C#N)CC1 ZINC000602974025 359333846 /nfs/dbraw/zinc/33/38/46/359333846.db2.gz IWRHZTIOLZTNQG-UONOGXRCSA-N 1 2 307.394 1.022 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@@H+](C)[C@@H]2CCC[C@H]2C#N)CC1 ZINC000602974025 359333854 /nfs/dbraw/zinc/33/38/54/359333854.db2.gz IWRHZTIOLZTNQG-UONOGXRCSA-N 1 2 307.394 1.022 20 30 DDEDLO C[N@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)[C@H]1CCC[C@H]1C#N ZINC000602978000 359337034 /nfs/dbraw/zinc/33/70/34/359337034.db2.gz KCNQKSLINAOCIQ-JYJNAYRXSA-N 1 2 313.401 1.730 20 30 DDEDLO C[N@@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)[C@H]1CCC[C@H]1C#N ZINC000602978000 359337041 /nfs/dbraw/zinc/33/70/41/359337041.db2.gz KCNQKSLINAOCIQ-JYJNAYRXSA-N 1 2 313.401 1.730 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)NCC2(CC#N)CC2)C1 ZINC000603323309 359569786 /nfs/dbraw/zinc/56/97/86/359569786.db2.gz OQIUWMRFLOCOLE-UHFFFAOYSA-N 1 2 319.430 1.647 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)NCC2(CC#N)CC2)C1 ZINC000603323309 359569794 /nfs/dbraw/zinc/56/97/94/359569794.db2.gz OQIUWMRFLOCOLE-UHFFFAOYSA-N 1 2 319.430 1.647 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329938931 223051032 /nfs/dbraw/zinc/05/10/32/223051032.db2.gz SVOUWVNWDDPLTN-HIFRSBDPSA-N 1 2 316.361 1.127 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329938931 223051035 /nfs/dbraw/zinc/05/10/35/223051035.db2.gz SVOUWVNWDDPLTN-HIFRSBDPSA-N 1 2 316.361 1.127 20 30 DDEDLO CCOCCCNC(=O)[C@@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609486664 360313525 /nfs/dbraw/zinc/31/35/25/360313525.db2.gz OUIAKGHSWLKCTF-HZPDHXFCSA-N 1 2 324.469 1.083 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N2[C@H](C)CCC[C@@H]2C)CC1 ZINC000609486260 360313590 /nfs/dbraw/zinc/31/35/90/360313590.db2.gz GWXCKVDASHPNJV-BBWFWOEESA-N 1 2 320.481 1.942 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(c2cccc(Cl)c2C#N)CC1 ZINC000610337475 360416986 /nfs/dbraw/zinc/41/69/86/360416986.db2.gz PIVCYNRUUPACND-UHFFFAOYSA-N 1 2 306.797 1.422 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000610505220 360455692 /nfs/dbraw/zinc/45/56/92/360455692.db2.gz CRNCNXFDFDRWTH-FZMZJTMJSA-N 1 2 305.353 1.973 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000610505220 360455695 /nfs/dbraw/zinc/45/56/95/360455695.db2.gz CRNCNXFDFDRWTH-FZMZJTMJSA-N 1 2 305.353 1.973 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CC[NH+](CCn2ccccc2=O)CC1 ZINC000610675762 360502503 /nfs/dbraw/zinc/50/25/03/360502503.db2.gz GBUYWISDBNEHJT-SFHVURJKSA-N 1 2 322.412 1.731 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CCCC[C@H]1C)[NH+]1CCN(CCC#N)CC1 ZINC000611174625 360647469 /nfs/dbraw/zinc/64/74/69/360647469.db2.gz NACLDIBOGKKFPU-OAGGEKHMSA-N 1 2 306.454 1.601 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](C)Cc1ccc(OC)nc1 ZINC000611140076 360634621 /nfs/dbraw/zinc/63/46/21/360634621.db2.gz WMQWQABVDLPSKE-CYBMUJFWSA-N 1 2 304.394 1.530 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)Cc1ccc(OC)nc1 ZINC000611140076 360634626 /nfs/dbraw/zinc/63/46/26/360634626.db2.gz WMQWQABVDLPSKE-CYBMUJFWSA-N 1 2 304.394 1.530 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N1CCO[C@H](C2CC2)C1 ZINC000330125071 223078119 /nfs/dbraw/zinc/07/81/19/223078119.db2.gz IGHOVTYPJOYJQI-KBPBESRZSA-N 1 2 304.394 1.785 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCC(F)(F)F)[N@@H+]1CCC[C@](C)(C#N)C1 ZINC000612163510 360937372 /nfs/dbraw/zinc/93/73/72/360937372.db2.gz RJYLPUKQGOJPRP-BXKDBHETSA-N 1 2 320.315 1.389 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCC(F)(F)F)[N@H+]1CCC[C@](C)(C#N)C1 ZINC000612163510 360937376 /nfs/dbraw/zinc/93/73/76/360937376.db2.gz RJYLPUKQGOJPRP-BXKDBHETSA-N 1 2 320.315 1.389 20 30 DDEDLO Cc1oncc1C[N@@H+]1C[C@@H](F)C[C@H]1CNc1nccnc1C#N ZINC000565867581 304098855 /nfs/dbraw/zinc/09/88/55/304098855.db2.gz IYVYARSQBFXUNE-STQMWFEESA-N 1 2 316.340 1.669 20 30 DDEDLO Cc1oncc1C[N@H+]1C[C@@H](F)C[C@H]1CNc1nccnc1C#N ZINC000565867581 304098857 /nfs/dbraw/zinc/09/88/57/304098857.db2.gz IYVYARSQBFXUNE-STQMWFEESA-N 1 2 316.340 1.669 20 30 DDEDLO O=C(Nc1cccc2c1OCCO2)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330250365 223095554 /nfs/dbraw/zinc/09/55/54/223095554.db2.gz HCBLHADRQNPGBC-LLVKDONJSA-N 1 2 314.345 1.995 20 30 DDEDLO C[C@H](C#N)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000330824058 223147164 /nfs/dbraw/zinc/14/71/64/223147164.db2.gz GYQLQCYHYFREBJ-OLZOCXBDSA-N 1 2 304.369 1.364 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]C[C@H](O)COc2ccc(CC#N)cc2)o1 ZINC000612531038 361055108 /nfs/dbraw/zinc/05/51/08/361055108.db2.gz SJBSPXWCQUTDTA-FZMZJTMJSA-N 1 2 316.361 1.535 20 30 DDEDLO Cc1cccc([N+](=O)[O-])c1C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000331321841 223202699 /nfs/dbraw/zinc/20/26/99/223202699.db2.gz KKOCXMKPIHSOHN-GFCCVEGCSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1cccc([N+](=O)[O-])c1C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000331321841 223202700 /nfs/dbraw/zinc/20/27/00/223202700.db2.gz KKOCXMKPIHSOHN-GFCCVEGCSA-N 1 2 307.350 1.929 20 30 DDEDLO CC1(C[NH+]2CCN(S(=O)(=O)c3ccccc3C#N)CC2)CC1 ZINC000618808912 363764786 /nfs/dbraw/zinc/76/47/86/363764786.db2.gz KUOPDFXHBFRCKX-UHFFFAOYSA-N 1 2 319.430 1.665 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)NCc1ccc(C#N)c(Cl)c1 ZINC000619758871 364143154 /nfs/dbraw/zinc/14/31/54/364143154.db2.gz RYZXOCOFPGSUBN-UHFFFAOYSA-N 1 2 303.753 1.945 20 30 DDEDLO C=C[C@H]([NH2+]C1CCN(C(=O)c2ccccc2)CC1)C(=O)OC ZINC000619699483 364118998 /nfs/dbraw/zinc/11/89/98/364118998.db2.gz BQBIDVBRGKTCJP-HNNXBMFYSA-N 1 2 302.374 1.608 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@H](CN(CC)CC(F)(F)F)C1 ZINC000619718223 364129183 /nfs/dbraw/zinc/12/91/83/364129183.db2.gz FBZRPBGVRDCSRP-VXGBXAGGSA-N 1 2 308.344 1.920 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@H](CN(CC)CC(F)(F)F)C1 ZINC000619718223 364129184 /nfs/dbraw/zinc/12/91/84/364129184.db2.gz FBZRPBGVRDCSRP-VXGBXAGGSA-N 1 2 308.344 1.920 20 30 DDEDLO CC1(C)C[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)CC[C@H]1O ZINC000275494847 212371589 /nfs/dbraw/zinc/37/15/89/212371589.db2.gz QDVXVZZUOQBKOB-QWHCGFSZSA-N 1 2 319.430 1.818 20 30 DDEDLO CC1(C)C[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)CC[C@H]1O ZINC000275494847 212371590 /nfs/dbraw/zinc/37/15/90/212371590.db2.gz QDVXVZZUOQBKOB-QWHCGFSZSA-N 1 2 319.430 1.818 20 30 DDEDLO COCC[C@](C)(C#N)NC(=O)Cn1c(C)[nH+]c2ccccc21 ZINC000344909174 223339033 /nfs/dbraw/zinc/33/90/33/223339033.db2.gz QJGSBTABEMZEGR-MRXNPFEDSA-N 1 2 300.362 1.780 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)NCCCn1cc[nH+]c1 ZINC000091212562 193020529 /nfs/dbraw/zinc/02/05/29/193020529.db2.gz ZYFVAKFKJBIKMV-UHFFFAOYSA-N 1 2 304.375 1.432 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1(C#N)CCCCC1 ZINC000270454209 284279209 /nfs/dbraw/zinc/27/92/09/284279209.db2.gz JQYWLHUHSQVLRS-LBPRGKRZSA-N 1 2 304.350 1.084 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1(C#N)CCCCC1 ZINC000270454209 284279210 /nfs/dbraw/zinc/27/92/10/284279210.db2.gz JQYWLHUHSQVLRS-LBPRGKRZSA-N 1 2 304.350 1.084 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(c2ncccn2)CC1 ZINC000042287063 183249465 /nfs/dbraw/zinc/24/94/65/183249465.db2.gz OWVJYUFRCFLTGA-OAHLLOKOSA-N 1 2 315.421 1.188 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000042293463 183251053 /nfs/dbraw/zinc/25/10/53/183251053.db2.gz XRVMYDYAVPEYAY-GFCCVEGCSA-N 1 2 302.374 1.200 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000042293463 183251055 /nfs/dbraw/zinc/25/10/55/183251055.db2.gz XRVMYDYAVPEYAY-GFCCVEGCSA-N 1 2 302.374 1.200 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)NCc1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000105119651 194076703 /nfs/dbraw/zinc/07/67/03/194076703.db2.gz WOXMANGVFKAOQA-UONOGXRCSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@H]1CN(S(=O)(=O)c2ccc(F)cc2C#N)C[C@H](C)[NH+]1C ZINC000339064971 250276630 /nfs/dbraw/zinc/27/66/30/250276630.db2.gz AVNGBFOYVMYVKA-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(C#N)cc3)C[C@H]21 ZINC000285300001 218371412 /nfs/dbraw/zinc/37/14/12/218371412.db2.gz RLGMTKNHSJHSIL-CABCVRRESA-N 1 2 300.362 1.495 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(C#N)cc3)C[C@H]21 ZINC000285300001 218371413 /nfs/dbraw/zinc/37/14/13/218371413.db2.gz RLGMTKNHSJHSIL-CABCVRRESA-N 1 2 300.362 1.495 20 30 DDEDLO Cc1c(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cnn1C ZINC000268941776 207106810 /nfs/dbraw/zinc/10/68/10/207106810.db2.gz KDZWXEYCROQVQD-GFCCVEGCSA-N 1 2 311.393 1.101 20 30 DDEDLO Cc1c(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cnn1C ZINC000268941776 207106814 /nfs/dbraw/zinc/10/68/14/207106814.db2.gz KDZWXEYCROQVQD-GFCCVEGCSA-N 1 2 311.393 1.101 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCc1ccccc1)[NH+]1CCC(C#N)CC1 ZINC000057906095 184062038 /nfs/dbraw/zinc/06/20/38/184062038.db2.gz COOCDQISHPFQMS-CYBMUJFWSA-N 1 2 314.389 1.636 20 30 DDEDLO COC1(CNC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)CCCCC1 ZINC000330095350 532991361 /nfs/dbraw/zinc/99/13/61/532991361.db2.gz VHZMAKJWUAYLCM-CQSZACIVSA-N 1 2 312.458 1.085 20 30 DDEDLO COC1(CNC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)CCCCC1 ZINC000330095350 532991365 /nfs/dbraw/zinc/99/13/65/532991365.db2.gz VHZMAKJWUAYLCM-CQSZACIVSA-N 1 2 312.458 1.085 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@@H+]1Cc1ccc(CC#N)cc1 ZINC000343674187 533043214 /nfs/dbraw/zinc/04/32/14/533043214.db2.gz AJFPYTQXTAEQCC-HOTGVXAUSA-N 1 2 302.374 1.905 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@H+]1Cc1ccc(CC#N)cc1 ZINC000343674187 533043218 /nfs/dbraw/zinc/04/32/18/533043218.db2.gz AJFPYTQXTAEQCC-HOTGVXAUSA-N 1 2 302.374 1.905 20 30 DDEDLO COc1cc(OC[C@@H](O)C[N@H+](C)CCC#N)ccc1[N+](=O)[O-] ZINC000414128768 533094166 /nfs/dbraw/zinc/09/41/66/533094166.db2.gz SQLQLMZNFCNTQC-NSHDSACASA-N 1 2 309.322 1.189 20 30 DDEDLO COc1cc(OC[C@@H](O)C[N@@H+](C)CCC#N)ccc1[N+](=O)[O-] ZINC000414128768 533094167 /nfs/dbraw/zinc/09/41/67/533094167.db2.gz SQLQLMZNFCNTQC-NSHDSACASA-N 1 2 309.322 1.189 20 30 DDEDLO CC[N@@H+]1CCC[C@H]1CNC(=O)C(C)(C)S(=O)(=O)C1CC1 ZINC000328793947 285269844 /nfs/dbraw/zinc/26/98/44/285269844.db2.gz BFHYAUBZDORCRJ-NSHDSACASA-N 1 2 302.440 1.783 20 30 DDEDLO CC[N@H+]1CCC[C@H]1CNC(=O)C(C)(C)S(=O)(=O)C1CC1 ZINC000328793947 285269845 /nfs/dbraw/zinc/26/98/45/285269845.db2.gz BFHYAUBZDORCRJ-NSHDSACASA-N 1 2 302.440 1.783 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(CC#N)cc1)[C@@H](C)[NH+]1CCOCC1 ZINC000330491542 533287236 /nfs/dbraw/zinc/28/72/36/533287236.db2.gz PDOQSANDZMGORM-ZIAGYGMSSA-N 1 2 301.390 1.592 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)C2(C#N)CCCC2)C[C@@H]1C ZINC000330718821 533419785 /nfs/dbraw/zinc/41/97/85/533419785.db2.gz DOMHDHVUFNISRZ-ZDUSSCGKSA-N 1 2 307.394 1.166 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)C2(C#N)CCCC2)C[C@@H]1C ZINC000330718821 533419790 /nfs/dbraw/zinc/41/97/90/533419790.db2.gz DOMHDHVUFNISRZ-ZDUSSCGKSA-N 1 2 307.394 1.166 20 30 DDEDLO CC(C)C(=O)N1CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000069609446 406743681 /nfs/dbraw/zinc/74/36/81/406743681.db2.gz AUDNPPDPEQRZSU-KRWDZBQOSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)C(=O)N1CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000069609446 406743684 /nfs/dbraw/zinc/74/36/84/406743684.db2.gz AUDNPPDPEQRZSU-KRWDZBQOSA-N 1 2 322.453 1.231 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](C)CC(=O)Nc1cccc(C)c1C ZINC000031302034 406938794 /nfs/dbraw/zinc/93/87/94/406938794.db2.gz ARLPBWZMMZZNRI-AWEZNQCLSA-N 1 2 301.390 1.312 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](C)CC(=O)Nc1cccc(C)c1C ZINC000031302034 406938797 /nfs/dbraw/zinc/93/87/97/406938797.db2.gz ARLPBWZMMZZNRI-AWEZNQCLSA-N 1 2 301.390 1.312 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCc2c(cccc2N2CCOC2=O)C1 ZINC000075916801 406945383 /nfs/dbraw/zinc/94/53/83/406945383.db2.gz VDRKIOMQWWHNBY-UHFFFAOYSA-N 1 2 315.373 1.304 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCc2c(cccc2N2CCOC2=O)C1 ZINC000075916801 406945384 /nfs/dbraw/zinc/94/53/84/406945384.db2.gz VDRKIOMQWWHNBY-UHFFFAOYSA-N 1 2 315.373 1.304 20 30 DDEDLO CC(C)(C)[C@@H](C#N)NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000085439587 407101712 /nfs/dbraw/zinc/10/17/12/407101712.db2.gz MIXXTAQZUSXXDZ-HZPDHXFCSA-N 1 2 315.417 1.942 20 30 DDEDLO CC(C)(C)[C@@H](C#N)NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000085439587 407101716 /nfs/dbraw/zinc/10/17/16/407101716.db2.gz MIXXTAQZUSXXDZ-HZPDHXFCSA-N 1 2 315.417 1.942 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)N[C@](C)(C#N)C2CC2)cc1O ZINC000080962186 407073448 /nfs/dbraw/zinc/07/34/48/407073448.db2.gz GCVDFCUXBHAGDY-QGZVFWFLSA-N 1 2 317.389 1.641 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)N[C@](C)(C#N)C2CC2)cc1O ZINC000080962186 407073450 /nfs/dbraw/zinc/07/34/50/407073450.db2.gz GCVDFCUXBHAGDY-QGZVFWFLSA-N 1 2 317.389 1.641 20 30 DDEDLO CC(=O)NC1CC[NH+]([C@H](C)C(=O)Nc2ccccc2C#N)CC1 ZINC000050991582 407134365 /nfs/dbraw/zinc/13/43/65/407134365.db2.gz FPYBDYMZIMHRSE-GFCCVEGCSA-N 1 2 314.389 1.486 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1sccc1C#N)[NH+]1CCOCC1 ZINC000052029694 407145927 /nfs/dbraw/zinc/14/59/27/407145927.db2.gz HKEVYKHOFVZKII-CYBMUJFWSA-N 1 2 307.419 1.706 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@H+](CC(=O)N(CC)C[C@@H](C)C#N)C1 ZINC000052871617 407159357 /nfs/dbraw/zinc/15/93/57/407159357.db2.gz VBNCBZRYZBZDGP-KBPBESRZSA-N 1 2 324.425 1.205 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@@H+](CC(=O)N(CC)C[C@@H](C)C#N)C1 ZINC000052871617 407159358 /nfs/dbraw/zinc/15/93/58/407159358.db2.gz VBNCBZRYZBZDGP-KBPBESRZSA-N 1 2 324.425 1.205 20 30 DDEDLO CC[C@@H](C)[C@H](NC(=O)C[NH+]1CCC(F)(C#N)CC1)C(=O)OC ZINC000352491605 286805763 /nfs/dbraw/zinc/80/57/63/286805763.db2.gz RQODXRYZCWWGOW-YPMHNXCESA-N 1 2 313.373 1.018 20 30 DDEDLO C[NH+]1CCC(NS(=O)(=O)c2c(F)cc(C#N)cc2F)CC1 ZINC000124065591 407347492 /nfs/dbraw/zinc/34/74/92/407347492.db2.gz NCKNEBSHYMGELJ-UHFFFAOYSA-N 1 2 315.345 1.209 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)Cc1cc(C(=O)OC)c(C)o1 ZINC000112731166 407438142 /nfs/dbraw/zinc/43/81/42/407438142.db2.gz ABXUNQNZHWMTCC-UHFFFAOYSA-N 1 2 321.377 1.569 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)Cc1cc(C(=O)OC)c(C)o1 ZINC000112731166 407438144 /nfs/dbraw/zinc/43/81/44/407438144.db2.gz ABXUNQNZHWMTCC-UHFFFAOYSA-N 1 2 321.377 1.569 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000170646450 407464005 /nfs/dbraw/zinc/46/40/05/407464005.db2.gz XZDWYROOHUNIDW-HUUCEWRRSA-N 1 2 314.433 1.983 20 30 DDEDLO COC(=O)CN(CC#N)C(=O)/C=C\c1ccc(-n2cc[nH+]c2)cc1 ZINC000255161618 407471843 /nfs/dbraw/zinc/47/18/43/407471843.db2.gz ZSDDPEDDJZEFFK-DAXSKMNVSA-N 1 2 324.340 1.411 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000129015112 407588677 /nfs/dbraw/zinc/58/86/77/407588677.db2.gz JJKUPMMTHQFVNZ-UHFFFAOYSA-N 1 2 316.340 1.414 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[NH+]([C@H](CC)CO)CC2)s1 ZINC000129228650 407596907 /nfs/dbraw/zinc/59/69/07/407596907.db2.gz UOOYLOIVRPDTSY-GFCCVEGCSA-N 1 2 324.450 1.270 20 30 DDEDLO C=CCN(C(=O)NCc1ccc[nH+]c1N1CCOCC1)C1CC1 ZINC000271398282 407666967 /nfs/dbraw/zinc/66/69/67/407666967.db2.gz LFBIAUQFFOPYPV-UHFFFAOYSA-N 1 2 316.405 1.778 20 30 DDEDLO N#Cc1ccc(OCC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000152971288 407725159 /nfs/dbraw/zinc/72/51/59/407725159.db2.gz FDSJBRNFYDNMEU-OAHLLOKOSA-N 1 2 322.368 1.727 20 30 DDEDLO CCc1ccc([C@H]2COCC[N@@H+]2CCC(=O)N(C)CCC#N)o1 ZINC000131645901 407744301 /nfs/dbraw/zinc/74/43/01/407744301.db2.gz WXFDPXOUORLYQY-OAHLLOKOSA-N 1 2 319.405 1.977 20 30 DDEDLO CCc1ccc([C@H]2COCC[N@H+]2CCC(=O)N(C)CCC#N)o1 ZINC000131645901 407744309 /nfs/dbraw/zinc/74/43/09/407744309.db2.gz WXFDPXOUORLYQY-OAHLLOKOSA-N 1 2 319.405 1.977 20 30 DDEDLO C=CCC[NH+]1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000132599821 407793786 /nfs/dbraw/zinc/79/37/86/407793786.db2.gz XLVSMZKBUCLCIQ-UHFFFAOYSA-N 1 2 320.418 1.445 20 30 DDEDLO C#CC[N@@H+](CC)[C@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000267227311 407797988 /nfs/dbraw/zinc/79/79/88/407797988.db2.gz BWHUGGJKYCVQIG-LBPRGKRZSA-N 1 2 306.387 1.595 20 30 DDEDLO C#CC[N@H+](CC)[C@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000267227311 407797999 /nfs/dbraw/zinc/79/79/99/407797999.db2.gz BWHUGGJKYCVQIG-LBPRGKRZSA-N 1 2 306.387 1.595 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCSC[C@@H]1c1nccs1 ZINC000187065543 407817413 /nfs/dbraw/zinc/81/74/13/407817413.db2.gz QZNCDSIYPAEBSR-GFCCVEGCSA-N 1 2 324.475 1.995 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCSC[C@@H]1c1nccs1 ZINC000187065543 407817417 /nfs/dbraw/zinc/81/74/17/407817417.db2.gz QZNCDSIYPAEBSR-GFCCVEGCSA-N 1 2 324.475 1.995 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(c2cc(C)[nH]n2)CC1 ZINC000187207443 407831660 /nfs/dbraw/zinc/83/16/60/407831660.db2.gz XJNFGJIEAWOBMR-HNNXBMFYSA-N 1 2 319.453 1.653 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[NH2+][C@H](C)c1nnc2ccccn21 ZINC000172612675 407842538 /nfs/dbraw/zinc/84/25/38/407842538.db2.gz DPYZEHQBIIQTJG-MLGOLLRUSA-N 1 2 314.393 1.434 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H]2CCC[C@H]2C)CC1 ZINC000187627780 407874215 /nfs/dbraw/zinc/87/42/15/407874215.db2.gz GKCGIXBYOLUTEH-HZPDHXFCSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H]2CCC[C@H]2C)CC1 ZINC000187627780 407874218 /nfs/dbraw/zinc/87/42/18/407874218.db2.gz GKCGIXBYOLUTEH-HZPDHXFCSA-N 1 2 319.449 1.096 20 30 DDEDLO COc1ccccc1CCCO[NH+]=C(N)CN1CCOCC1 ZINC000180659558 407907882 /nfs/dbraw/zinc/90/78/82/407907882.db2.gz IFOQNYGBFWRVCN-UHFFFAOYSA-N 1 2 307.394 1.249 20 30 DDEDLO C#CCSCCNC(=O)NCCc1cn2ccccc2[nH+]1 ZINC000153552964 407850787 /nfs/dbraw/zinc/85/07/87/407850787.db2.gz MNTUXMCVPSDBGJ-UHFFFAOYSA-N 1 2 302.403 1.542 20 30 DDEDLO CCN(C(=O)CO[NH+]=C(N)CCO)[C@H](C)c1ccc(F)cc1 ZINC000121346718 408189413 /nfs/dbraw/zinc/18/94/13/408189413.db2.gz NDYIHPCDPUUORU-LLVKDONJSA-N 1 2 311.357 1.406 20 30 DDEDLO N#Cc1c(Cl)cccc1S(=O)(=O)NCCn1cc[nH+]c1 ZINC000156172847 408239846 /nfs/dbraw/zinc/23/98/46/408239846.db2.gz ATMQEAVSWJBEJF-UHFFFAOYSA-N 1 2 310.766 1.387 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH2+][C@@H](C)c1nnc2ccccn21 ZINC000182737202 408235988 /nfs/dbraw/zinc/23/59/88/408235988.db2.gz ZYEHXEKMOQKDOU-OLZOCXBDSA-N 1 2 314.393 1.388 20 30 DDEDLO CC(C)c1nc(N2CCN(c3nccnc3C#N)C[C@H]2C)cc[nH+]1 ZINC000130032958 161982612 /nfs/dbraw/zinc/98/26/12/161982612.db2.gz QFEDEPUAVUAGFT-CYBMUJFWSA-N 1 2 323.404 1.977 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCCOc1ccc2c(c1)OCO2)C1CC1 ZINC000274235174 408334587 /nfs/dbraw/zinc/33/45/87/408334587.db2.gz XBWJTNIRBZFRTL-UHFFFAOYSA-N 1 2 316.357 1.008 20 30 DDEDLO C#CC[N@H+](CC(=O)NCCOc1ccc2c(c1)OCO2)C1CC1 ZINC000274235174 408334595 /nfs/dbraw/zinc/33/45/95/408334595.db2.gz XBWJTNIRBZFRTL-UHFFFAOYSA-N 1 2 316.357 1.008 20 30 DDEDLO Cc1noc([C@@H](C)N2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)n1 ZINC000191338623 408407843 /nfs/dbraw/zinc/40/78/43/408407843.db2.gz LGCHHXYNHBBXOC-GFCCVEGCSA-N 1 2 314.393 1.467 20 30 DDEDLO CC[N@H+](Cc1cnn(C)c1)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000264221715 408410326 /nfs/dbraw/zinc/41/03/26/408410326.db2.gz PPSQFFOUAIZFOG-KRWDZBQOSA-N 1 2 323.400 1.919 20 30 DDEDLO CC[N@@H+](Cc1cnn(C)c1)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000264221715 408410331 /nfs/dbraw/zinc/41/03/31/408410331.db2.gz PPSQFFOUAIZFOG-KRWDZBQOSA-N 1 2 323.400 1.919 20 30 DDEDLO COCCCOC1C[NH+](C[C@@H](O)COc2ccc(C#N)cc2)C1 ZINC000177224532 408555060 /nfs/dbraw/zinc/55/50/60/408555060.db2.gz JXALHJJTZIZCLJ-OAHLLOKOSA-N 1 2 320.389 1.035 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000265117984 408559025 /nfs/dbraw/zinc/55/90/25/408559025.db2.gz CMKXNKAQDYGINS-AWEZNQCLSA-N 1 2 312.373 1.783 20 30 DDEDLO COC[C@@H]([NH2+]CC(=O)NC1(C#N)CCCCC1)c1ccco1 ZINC000184538890 408636957 /nfs/dbraw/zinc/63/69/57/408636957.db2.gz BEGIQGILQFUNSX-CYBMUJFWSA-N 1 2 305.378 1.899 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CC[C@H](NC(N)=O)C2)c1C ZINC000270710923 408647964 /nfs/dbraw/zinc/64/79/64/408647964.db2.gz ZPGAADSKTRTYJN-ZDUSSCGKSA-N 1 2 304.394 1.216 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CC[C@H](NC(N)=O)C2)c1C ZINC000270710923 408647971 /nfs/dbraw/zinc/64/79/71/408647971.db2.gz ZPGAADSKTRTYJN-ZDUSSCGKSA-N 1 2 304.394 1.216 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2sccc2C#N)C[C@@H]1C ZINC000249114740 408694852 /nfs/dbraw/zinc/69/48/52/408694852.db2.gz RTXBWPGXKKUMDJ-CMPLNLGQSA-N 1 2 321.402 1.689 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2sccc2C#N)C[C@@H]1C ZINC000249114740 408694860 /nfs/dbraw/zinc/69/48/60/408694860.db2.gz RTXBWPGXKKUMDJ-CMPLNLGQSA-N 1 2 321.402 1.689 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1C[C@@H](C)O[C@H](C)C1)Cc1ccccc1 ZINC000285894688 408883767 /nfs/dbraw/zinc/88/37/67/408883767.db2.gz ZLEPQZMTMAXHGA-HZPDHXFCSA-N 1 2 300.402 1.758 20 30 DDEDLO C#CC[N@H+](CC(=O)N1C[C@@H](C)O[C@H](C)C1)Cc1ccccc1 ZINC000285894688 408883770 /nfs/dbraw/zinc/88/37/70/408883770.db2.gz ZLEPQZMTMAXHGA-HZPDHXFCSA-N 1 2 300.402 1.758 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)[C@@H](C#N)Cc1ccc(C#N)cc1 ZINC000280901492 408855895 /nfs/dbraw/zinc/85/58/95/408855895.db2.gz TUNIJLAJIZUEMT-CZUORRHYSA-N 1 2 307.357 1.642 20 30 DDEDLO COC(=O)[C@H]([NH2+]CCC(=O)Nc1ccc(C#N)cc1)C1CC1 ZINC000276471624 408864907 /nfs/dbraw/zinc/86/49/07/408864907.db2.gz QODXELSHLFFBPM-OAHLLOKOSA-N 1 2 301.346 1.428 20 30 DDEDLO CCc1[nH]ccc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000286019191 408908195 /nfs/dbraw/zinc/90/81/95/408908195.db2.gz PBUDBELGUJKFGI-UHFFFAOYSA-N 1 2 304.394 1.265 20 30 DDEDLO CCCOC(=O)[C@@H](C)[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292093433 408979768 /nfs/dbraw/zinc/97/97/68/408979768.db2.gz FRTIBIFUVBIDMA-TZMCWYRMSA-N 1 2 303.362 1.748 20 30 DDEDLO CCCOC(=O)[C@@H](C)[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292093433 408979772 /nfs/dbraw/zinc/97/97/72/408979772.db2.gz FRTIBIFUVBIDMA-TZMCWYRMSA-N 1 2 303.362 1.748 20 30 DDEDLO Cc1cc(C#N)nc(N2CCC[N@H+](Cc3cscn3)CC2)n1 ZINC000287993162 409127762 /nfs/dbraw/zinc/12/77/62/409127762.db2.gz RUDCKCRDDIIHOB-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO Cc1cc(C#N)nc(N2CCC[N@@H+](Cc3cscn3)CC2)n1 ZINC000287993162 409127764 /nfs/dbraw/zinc/12/77/64/409127764.db2.gz RUDCKCRDDIIHOB-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO CC#CC(=O)N1CCN(Cc2cn3cc(Cl)ccc3[nH+]2)CC1 ZINC000283482862 409164257 /nfs/dbraw/zinc/16/42/57/409164257.db2.gz ZHBXVPNRZYUPMO-UHFFFAOYSA-N 1 2 316.792 1.655 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)CCCC#N)C[C@@H]1Cc1ccccc1 ZINC000283796112 409219131 /nfs/dbraw/zinc/21/91/31/409219131.db2.gz AUVPHBMEMNFPTI-INIZCTEOSA-N 1 2 321.446 1.479 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)CCCC#N)C[C@@H]1Cc1ccccc1 ZINC000283796112 409219133 /nfs/dbraw/zinc/21/91/33/409219133.db2.gz AUVPHBMEMNFPTI-INIZCTEOSA-N 1 2 321.446 1.479 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000289206077 409233594 /nfs/dbraw/zinc/23/35/94/409233594.db2.gz MNRNCZDQTXSBGV-UHFFFAOYSA-N 1 2 300.362 1.375 20 30 DDEDLO COc1ccccc1CNC(=O)[C@H](C)O[NH+]=C(N)[C@@H]1CCCO1 ZINC000284028226 409259520 /nfs/dbraw/zinc/25/95/20/409259520.db2.gz ILLRNLGAVVHSHA-FZMZJTMJSA-N 1 2 321.377 1.168 20 30 DDEDLO N#Cc1ccccc1N1CC[NH+](C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000280170060 409262407 /nfs/dbraw/zinc/26/24/07/409262407.db2.gz YJQUREIVSMYFFJ-AWEZNQCLSA-N 1 2 319.430 1.115 20 30 DDEDLO C[C@H](NC(=O)[C@H](C)O[NH+]=C(N)[C@H]1CCCO1)c1ccc(F)cc1 ZINC000284105119 409275809 /nfs/dbraw/zinc/27/58/09/409275809.db2.gz LKRZVUJEIXTBLB-COPLHBTASA-N 1 2 323.368 1.859 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[NH2+][C@H](CO)C2CCCC2)cc1 ZINC000289460370 409276039 /nfs/dbraw/zinc/27/60/39/409276039.db2.gz GXECABVVMJEEEQ-NVXWUHKLSA-N 1 2 304.390 1.439 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000294415827 409290750 /nfs/dbraw/zinc/29/07/50/409290750.db2.gz MYZGWXKBNPYHKP-OAHLLOKOSA-N 1 2 316.401 1.173 20 30 DDEDLO C#Cc1ccc(CNC(=O)[C@H]2COCC[N@@H+]2CC2CCC2)cc1 ZINC000295521574 409328927 /nfs/dbraw/zinc/32/89/27/409328927.db2.gz QPDOJNCTDJPYQH-GOSISDBHSA-N 1 2 312.413 1.785 20 30 DDEDLO C#Cc1ccc(CNC(=O)[C@H]2COCC[N@H+]2CC2CCC2)cc1 ZINC000295521574 409328936 /nfs/dbraw/zinc/32/89/36/409328936.db2.gz QPDOJNCTDJPYQH-GOSISDBHSA-N 1 2 312.413 1.785 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000290473988 409414514 /nfs/dbraw/zinc/41/45/14/409414514.db2.gz LVXNTDUGRUCURD-CQSZACIVSA-N 1 2 316.405 1.201 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC(c2[nH+]cc3n2CCCC3)CC1 ZINC000296203038 409460986 /nfs/dbraw/zinc/46/09/86/409460986.db2.gz JIEWKSARHTWAMX-AWEZNQCLSA-N 1 2 315.417 1.964 20 30 DDEDLO COc1ncccc1C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000362962503 164158743 /nfs/dbraw/zinc/15/87/43/164158743.db2.gz DQMQTCDMHZJYCM-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cc2C)CC1 ZINC000295449773 409488978 /nfs/dbraw/zinc/48/89/78/409488978.db2.gz XFXHHMHVUJMLIE-AWEZNQCLSA-N 1 2 321.446 1.972 20 30 DDEDLO CN(C)c1[nH+]cccc1CNS(=O)(=O)CC1(C#N)CCCC1 ZINC000354066197 409622808 /nfs/dbraw/zinc/62/28/08/409622808.db2.gz STZZAWVWNFXUOL-UHFFFAOYSA-N 1 2 322.434 1.651 20 30 DDEDLO CC#CCN(C)C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000296908250 409698845 /nfs/dbraw/zinc/69/88/45/409698845.db2.gz CFHMMEMZLKMWKQ-UHFFFAOYSA-N 1 2 302.378 1.714 20 30 DDEDLO C[C@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H]1CO ZINC000305158405 409706836 /nfs/dbraw/zinc/70/68/36/409706836.db2.gz DGKZTWRIBHOFEF-XJKSGUPXSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H]1CO ZINC000305158405 409706844 /nfs/dbraw/zinc/70/68/44/409706844.db2.gz DGKZTWRIBHOFEF-XJKSGUPXSA-N 1 2 322.430 1.425 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C#N)s1 ZINC000345416916 409679190 /nfs/dbraw/zinc/67/91/90/409679190.db2.gz QOVRYXSJVNUIJZ-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C#N)s1 ZINC000345416916 409679206 /nfs/dbraw/zinc/67/92/06/409679206.db2.gz QOVRYXSJVNUIJZ-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NCc1[nH+]ccn1CC(C)C ZINC000357244812 409953734 /nfs/dbraw/zinc/95/37/34/409953734.db2.gz FWCJKCIUBPVMFC-UHFFFAOYSA-N 1 2 306.410 1.580 20 30 DDEDLO O=C1CC[C@@H]([NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)C2(CCC2)N1 ZINC000328762196 409972307 /nfs/dbraw/zinc/97/23/07/409972307.db2.gz YXINOLGNOOSOAO-VXGBXAGGSA-N 1 2 317.393 1.743 20 30 DDEDLO O=C1CC[C@@H](NC([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)C2(CCC2)N1 ZINC000328762196 409972313 /nfs/dbraw/zinc/97/23/13/409972313.db2.gz YXINOLGNOOSOAO-VXGBXAGGSA-N 1 2 317.393 1.743 20 30 DDEDLO C[C@@H](C(=O)NCCc1cnc(C2CC2)nc1)[NH+]1CCOCC1 ZINC000328772747 409975933 /nfs/dbraw/zinc/97/59/33/409975933.db2.gz BFNJLKDBILZWJB-LBPRGKRZSA-N 1 2 304.394 1.574 20 30 DDEDLO C=CCOCC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1F ZINC000354571678 409946952 /nfs/dbraw/zinc/94/69/52/409946952.db2.gz RPUIZJJCGFFFMU-HNNXBMFYSA-N 1 2 303.337 1.967 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccc(Cl)c(C#N)n2)CC1 ZINC000357236189 409948342 /nfs/dbraw/zinc/94/83/42/409948342.db2.gz YIGPLGZKYPTJQH-UHFFFAOYSA-N 1 2 319.796 1.031 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)NC[C@@H]2CCCC(F)(F)C2)C1 ZINC000328670520 409948291 /nfs/dbraw/zinc/94/82/91/409948291.db2.gz MRVZOUMWHQNAOL-VXGBXAGGSA-N 1 2 305.369 1.646 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)NC[C@@H]2CCCC(F)(F)C2)C1 ZINC000328670520 409948301 /nfs/dbraw/zinc/94/83/01/409948301.db2.gz MRVZOUMWHQNAOL-VXGBXAGGSA-N 1 2 305.369 1.646 20 30 DDEDLO COCCN1CCC[N@H+](Cc2ccc(C#N)cc2Cl)CC1=O ZINC000346399601 409950855 /nfs/dbraw/zinc/95/08/55/409950855.db2.gz XOHMPONBILZVOY-UHFFFAOYSA-N 1 2 321.808 1.892 20 30 DDEDLO COCCN1CCC[N@@H+](Cc2ccc(C#N)cc2Cl)CC1=O ZINC000346399601 409950858 /nfs/dbraw/zinc/95/08/58/409950858.db2.gz XOHMPONBILZVOY-UHFFFAOYSA-N 1 2 321.808 1.892 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CCCC[C@@H]2[C@@H]2CNC(=O)C2)C1 ZINC000328961147 410024120 /nfs/dbraw/zinc/02/41/20/410024120.db2.gz PXVYPMIUNIECLH-BFHYXJOUSA-N 1 2 324.425 1.062 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CCCC[C@@H]2[C@@H]2CNC(=O)C2)C1 ZINC000328961147 410024125 /nfs/dbraw/zinc/02/41/25/410024125.db2.gz PXVYPMIUNIECLH-BFHYXJOUSA-N 1 2 324.425 1.062 20 30 DDEDLO COC(=O)[C@@H](NC(=O)C[N@H+](CCC#N)CC(C)(C)C)C(C)C ZINC000351517097 410088240 /nfs/dbraw/zinc/08/82/40/410088240.db2.gz IIXKKERJRKAGOD-AWEZNQCLSA-N 1 2 311.426 1.562 20 30 DDEDLO COC(=O)[C@@H](NC(=O)C[N@@H+](CCC#N)CC(C)(C)C)C(C)C ZINC000351517097 410088244 /nfs/dbraw/zinc/08/82/44/410088244.db2.gz IIXKKERJRKAGOD-AWEZNQCLSA-N 1 2 311.426 1.562 20 30 DDEDLO O=C(NCCNc1cccc[nH+]1)N[C@@H]1CCO[C@@]2(CCOC2)C1 ZINC000329115217 410110704 /nfs/dbraw/zinc/11/07/04/410110704.db2.gz MPADAPODWNJQMY-CJNGLKHVSA-N 1 2 320.393 1.335 20 30 DDEDLO C[C@@H]1C[C@H](CNC(=O)N2CC[C@H](c3[nH+]ccn3C)C2)CCO1 ZINC000329117797 410113429 /nfs/dbraw/zinc/11/34/29/410113429.db2.gz OBLSGEXWYDEFKY-MCIONIFRSA-N 1 2 306.410 1.939 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNC(=O)c2ccc(C#N)cc2)C1 ZINC000354917150 410169840 /nfs/dbraw/zinc/16/98/40/410169840.db2.gz TZCYDLQCIAABJY-CQSZACIVSA-N 1 2 315.373 1.173 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNC(=O)c2ccc(C#N)cc2)C1 ZINC000354917150 410169847 /nfs/dbraw/zinc/16/98/47/410169847.db2.gz TZCYDLQCIAABJY-CQSZACIVSA-N 1 2 315.373 1.173 20 30 DDEDLO CO[C@@H]1[C@@H](C)[C@H](NC(=O)N2CCC(C#N)([NH+](C)C)CC2)C1(C)C ZINC000332469432 410179888 /nfs/dbraw/zinc/17/98/88/410179888.db2.gz RKHPPSAKRPTLSX-MELADBBJSA-N 1 2 322.453 1.675 20 30 DDEDLO C[C@H](NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1)C(=O)N1CCCC1 ZINC000329268338 410204720 /nfs/dbraw/zinc/20/47/20/410204720.db2.gz DRSRETHWJZKMQI-DZGCQCFKSA-N 1 2 323.437 1.597 20 30 DDEDLO C[C@H](NC(=O)[C@H]1COCC[N@H+]1C1CCCC1)C(=O)N1CCCC1 ZINC000329268338 410204722 /nfs/dbraw/zinc/20/47/22/410204722.db2.gz DRSRETHWJZKMQI-DZGCQCFKSA-N 1 2 323.437 1.597 20 30 DDEDLO CC(C)c1[nH+]c2c(n1C)CCN(C(=O)NC[C@H]1COCCO1)C2 ZINC000329277296 410207149 /nfs/dbraw/zinc/20/71/49/410207149.db2.gz KSAZBRQQFIUILU-LBPRGKRZSA-N 1 2 322.409 1.231 20 30 DDEDLO CC[C@@H]1CO[C@@H](C)C[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000298479416 410248801 /nfs/dbraw/zinc/24/88/01/410248801.db2.gz TWHVYQAUVUOEGC-XJKSGUPXSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H]1CO[C@@H](C)C[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000298479416 410248810 /nfs/dbraw/zinc/24/88/10/410248810.db2.gz TWHVYQAUVUOEGC-XJKSGUPXSA-N 1 2 301.390 1.674 20 30 DDEDLO Cn1ccnc(NC(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)c1=O ZINC000329406909 410282305 /nfs/dbraw/zinc/28/23/05/410282305.db2.gz CWLUUFHJCKITTA-SNVBAGLBSA-N 1 2 302.338 1.276 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000355380304 410482185 /nfs/dbraw/zinc/48/21/85/410482185.db2.gz HZVCQDJKTODBDC-NILFDRSVSA-N 1 2 315.373 1.216 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000355380304 410482187 /nfs/dbraw/zinc/48/21/87/410482187.db2.gz HZVCQDJKTODBDC-NILFDRSVSA-N 1 2 315.373 1.216 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2ccc(C#N)nc2)CC1 ZINC000347371299 410500896 /nfs/dbraw/zinc/50/08/96/410500896.db2.gz JZKKHGCIQMEFTE-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)NCC(C)(C)CCC#N ZINC000358686591 410525504 /nfs/dbraw/zinc/52/55/04/410525504.db2.gz NXEPFAYIXMDYLM-CQSZACIVSA-N 1 2 310.442 1.678 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](c1ccn(C)n1)c1ccc(F)cc1 ZINC000359226115 410580047 /nfs/dbraw/zinc/58/00/47/410580047.db2.gz UROMMQKDWNTXFD-MRXNPFEDSA-N 1 2 302.353 1.540 20 30 DDEDLO COC[C@@H]([NH2+]CC(=O)NCc1cccc(C#N)c1)c1ccco1 ZINC000347617534 410633402 /nfs/dbraw/zinc/63/34/02/410633402.db2.gz RSHMHOAGFJEZKX-OAHLLOKOSA-N 1 2 313.357 1.745 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1ccc(OC)c(O)c1Br ZINC000352454466 410659223 /nfs/dbraw/zinc/65/92/23/410659223.db2.gz UIBWXGDNTPGUDM-SECBINFHSA-N 1 2 302.168 1.800 20 30 DDEDLO C[N@H+](Cc1nnc(-c2cccc(C#N)c2)o1)[C@@]1(CO)CCOC1 ZINC000359604350 410762633 /nfs/dbraw/zinc/76/26/33/410762633.db2.gz SPWNLUUJGZTVAR-MRXNPFEDSA-N 1 2 314.345 1.191 20 30 DDEDLO C[N@@H+](Cc1nnc(-c2cccc(C#N)c2)o1)[C@@]1(CO)CCOC1 ZINC000359604350 410762642 /nfs/dbraw/zinc/76/26/42/410762642.db2.gz SPWNLUUJGZTVAR-MRXNPFEDSA-N 1 2 314.345 1.191 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH2+][C@@H](c3cccc(F)c3)C2)ccn1 ZINC000337569318 410868693 /nfs/dbraw/zinc/86/86/93/410868693.db2.gz CGDCZJLANNXVSY-MRXNPFEDSA-N 1 2 310.332 1.879 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCNC(=O)c4ccccc43)n2c1 ZINC000356359081 410984680 /nfs/dbraw/zinc/98/46/80/410984680.db2.gz ZYGZDDJFXXICFT-UHFFFAOYSA-N 1 2 317.352 1.956 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@@H+]1Cc1cc(C#N)ccc1F ZINC000331163728 410989903 /nfs/dbraw/zinc/98/99/03/410989903.db2.gz LXLFBAVZKYNMIQ-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@H+]1Cc1cc(C#N)ccc1F ZINC000331163728 410989914 /nfs/dbraw/zinc/98/99/14/410989914.db2.gz LXLFBAVZKYNMIQ-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO C[C@H]1C[C@H](CO)CC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000344145281 410990278 /nfs/dbraw/zinc/99/02/78/410990278.db2.gz OWRHBZDAJVEVMZ-DZGCQCFKSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H]1C[C@H](CO)CC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000344145281 410990288 /nfs/dbraw/zinc/99/02/88/410990288.db2.gz OWRHBZDAJVEVMZ-DZGCQCFKSA-N 1 2 322.430 1.425 20 30 DDEDLO N#CCCCC(=O)N1CC[NH+](Cc2ccc(C(N)=O)cc2)CC1 ZINC000360007503 410998062 /nfs/dbraw/zinc/99/80/62/410998062.db2.gz QOWFJLGHUVGURK-UHFFFAOYSA-N 1 2 314.389 1.124 20 30 DDEDLO N#CC[C@H](CC(=O)NC[C@H]1C[NH+]2CCN1CC2)c1ccccc1 ZINC000356522830 411071669 /nfs/dbraw/zinc/07/16/69/411071669.db2.gz SWQSPTPUSCMRHL-SJORKVTESA-N 1 2 312.417 1.190 20 30 DDEDLO Cn1ncc(C(=O)NCc2ccccc2-n2cc[nH+]c2)c1C#N ZINC000356677272 411121559 /nfs/dbraw/zinc/12/15/59/411121559.db2.gz OPQVPICVQLLFRD-UHFFFAOYSA-N 1 2 306.329 1.407 20 30 DDEDLO CS(=O)(=O)CC[C@H]1CCCC[N@@H+]1Cc1ccc(C#N)cn1 ZINC000580461776 422934757 /nfs/dbraw/zinc/93/47/57/422934757.db2.gz FYADLKBUYFCMJO-OAHLLOKOSA-N 1 2 307.419 1.742 20 30 DDEDLO CS(=O)(=O)CC[C@H]1CCCC[N@H+]1Cc1ccc(C#N)cn1 ZINC000580461776 422934759 /nfs/dbraw/zinc/93/47/59/422934759.db2.gz FYADLKBUYFCMJO-OAHLLOKOSA-N 1 2 307.419 1.742 20 30 DDEDLO CCOc1ccccc1OCC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000580686122 422948169 /nfs/dbraw/zinc/94/81/69/422948169.db2.gz XTXHCQNVTSQILA-INIZCTEOSA-N 1 2 305.378 1.424 20 30 DDEDLO N#Cc1ccc(-c2ccc(NCCOCCO)[nH+]c2)c(CO)c1 ZINC000600780882 416617893 /nfs/dbraw/zinc/61/78/93/416617893.db2.gz PYPQUMXSFWVAJL-UHFFFAOYSA-N 1 2 313.357 1.533 20 30 DDEDLO Cc1cc(CNC(=O)C(=O)NCC(C)(C)CC#N)cc(C)[nH+]1 ZINC000422488260 227022000 /nfs/dbraw/zinc/02/20/00/227022000.db2.gz MSACKYRUYSGFOO-UHFFFAOYSA-N 1 2 302.378 1.371 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1C[C@@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000373266431 418415550 /nfs/dbraw/zinc/41/55/50/418415550.db2.gz XSORLDYSHGPBPX-KLHDSHLOSA-N 1 2 308.422 1.147 20 30 DDEDLO N#CCSCC(=O)NCc1[nH+]ccn1CCc1ccccc1 ZINC000176632895 221832200 /nfs/dbraw/zinc/83/22/00/221832200.db2.gz WXNZISXKZVDLRE-UHFFFAOYSA-N 1 2 314.414 1.999 20 30 DDEDLO CCOC(=O)[C@H](CC)[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000366445629 418473322 /nfs/dbraw/zinc/47/33/22/418473322.db2.gz WIDBCIITSGISEX-KBPBESRZSA-N 1 2 303.362 1.748 20 30 DDEDLO CCOC(=O)[C@H](CC)[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000366445629 418473327 /nfs/dbraw/zinc/47/33/27/418473327.db2.gz WIDBCIITSGISEX-KBPBESRZSA-N 1 2 303.362 1.748 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000191089478 222095904 /nfs/dbraw/zinc/09/59/04/222095904.db2.gz PAZRJRPLDBEQMQ-UHFFFAOYSA-N 1 2 307.350 1.692 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000191089478 222095907 /nfs/dbraw/zinc/09/59/07/222095907.db2.gz PAZRJRPLDBEQMQ-UHFFFAOYSA-N 1 2 307.350 1.692 20 30 DDEDLO C=CC[N@@H+](CCOC)[C@@H](C)C(=O)Nc1cccc(C(=O)NC)c1 ZINC000191110812 222097102 /nfs/dbraw/zinc/09/71/02/222097102.db2.gz VTKWEDPKDSHZDX-ZDUSSCGKSA-N 1 2 319.405 1.508 20 30 DDEDLO C=CC[N@H+](CCOC)[C@@H](C)C(=O)Nc1cccc(C(=O)NC)c1 ZINC000191110812 222097105 /nfs/dbraw/zinc/09/71/05/222097105.db2.gz VTKWEDPKDSHZDX-ZDUSSCGKSA-N 1 2 319.405 1.508 20 30 DDEDLO N#CCC[N@H+](CCN1CCOCC1)C[C@H](O)CC1CCCC1 ZINC000190628231 222085352 /nfs/dbraw/zinc/08/53/52/222085352.db2.gz NGXXVNPAPJDBBD-QGZVFWFLSA-N 1 2 309.454 1.475 20 30 DDEDLO N#CCC[N@@H+](CCN1CCOCC1)C[C@H](O)CC1CCCC1 ZINC000190628231 222085355 /nfs/dbraw/zinc/08/53/55/222085355.db2.gz NGXXVNPAPJDBBD-QGZVFWFLSA-N 1 2 309.454 1.475 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@H](O)CC1CCCC1 ZINC000190628231 222085358 /nfs/dbraw/zinc/08/53/58/222085358.db2.gz NGXXVNPAPJDBBD-QGZVFWFLSA-N 1 2 309.454 1.475 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCN(CC(F)(F)F)[C@@H](C)C1 ZINC000245701488 222217396 /nfs/dbraw/zinc/21/73/96/222217396.db2.gz NHUWHYXWRWKUKR-NWDGAFQWSA-N 1 2 320.359 1.315 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCN(CC(F)(F)F)[C@@H](C)C1 ZINC000245701488 222217400 /nfs/dbraw/zinc/21/74/00/222217400.db2.gz NHUWHYXWRWKUKR-NWDGAFQWSA-N 1 2 320.359 1.315 20 30 DDEDLO N#CCCCCNC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000264152662 222326671 /nfs/dbraw/zinc/32/66/71/222326671.db2.gz UEBJHAILXZUVEA-UHFFFAOYSA-N 1 2 317.393 1.411 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N(C)CC[NH+]1CCOCC1 ZINC000328600797 418606170 /nfs/dbraw/zinc/60/61/70/418606170.db2.gz DHDXBNPVKONCPR-GJZGRUSLSA-N 1 2 311.426 1.146 20 30 DDEDLO N#CCC[C@H](C#N)C[NH+]1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC000265444619 222355138 /nfs/dbraw/zinc/35/51/38/222355138.db2.gz VOJNRECLKUJLIC-CQSZACIVSA-N 1 2 311.389 1.351 20 30 DDEDLO Cc1cc([C@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)[nH]n1 ZINC000377845756 418715856 /nfs/dbraw/zinc/71/58/56/418715856.db2.gz VMBMBPZVKIDISE-WMLDXEAASA-N 1 2 317.437 1.952 20 30 DDEDLO Cc1cc([C@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)[nH]n1 ZINC000377845756 418715862 /nfs/dbraw/zinc/71/58/62/418715862.db2.gz VMBMBPZVKIDISE-WMLDXEAASA-N 1 2 317.437 1.952 20 30 DDEDLO Cc1cc([C@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000377845756 418715866 /nfs/dbraw/zinc/71/58/66/418715866.db2.gz VMBMBPZVKIDISE-WMLDXEAASA-N 1 2 317.437 1.952 20 30 DDEDLO Cc1cc([C@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000377845756 418715869 /nfs/dbraw/zinc/71/58/69/418715869.db2.gz VMBMBPZVKIDISE-WMLDXEAASA-N 1 2 317.437 1.952 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000368430264 418719967 /nfs/dbraw/zinc/71/99/67/418719967.db2.gz UYRCXDRDYCMBLT-CZUORRHYSA-N 1 2 301.394 1.365 20 30 DDEDLO N#CCCCNC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000273569823 222473407 /nfs/dbraw/zinc/47/34/07/222473407.db2.gz ODODPGSIQUUQMY-UHFFFAOYSA-N 1 2 311.389 1.689 20 30 DDEDLO CN(C)c1ncc(C[NH+]2CCC(C#N)(c3ccccn3)CC2)cn1 ZINC000376648127 418699650 /nfs/dbraw/zinc/69/96/50/418699650.db2.gz DTMWLXXTJZDXRN-UHFFFAOYSA-N 1 2 322.416 1.995 20 30 DDEDLO CN(CCC#N)C(=O)CC[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000377009274 418703699 /nfs/dbraw/zinc/70/36/99/418703699.db2.gz FYXJNQCPTVXCMO-HNNXBMFYSA-N 1 2 312.377 1.246 20 30 DDEDLO CCn1cc[nH+]c1CN1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000369515424 418734699 /nfs/dbraw/zinc/73/46/99/418734699.db2.gz JLPNESLQPMZAHW-SCLBCKFNSA-N 1 2 321.384 1.748 20 30 DDEDLO C#CCCCNC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000369895926 418739345 /nfs/dbraw/zinc/73/93/45/418739345.db2.gz NQLPBVLISMVTPA-QGZVFWFLSA-N 1 2 315.417 1.600 20 30 DDEDLO C#CCCCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000369895926 418739347 /nfs/dbraw/zinc/73/93/47/418739347.db2.gz NQLPBVLISMVTPA-QGZVFWFLSA-N 1 2 315.417 1.600 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cc1 ZINC000370781555 418748430 /nfs/dbraw/zinc/74/84/30/418748430.db2.gz ZPDNHHBVHYEHND-YAJBTMAUSA-N 1 2 317.389 1.214 20 30 DDEDLO N#CCCn1ccc(NC(=O)[C@H]2CC[N@@H+]2Cc2ccccc2)n1 ZINC000363300946 418764173 /nfs/dbraw/zinc/76/41/73/418764173.db2.gz ATFAQSFCVRPWSR-OAHLLOKOSA-N 1 2 309.373 2.010 20 30 DDEDLO N#CCCn1ccc(NC(=O)[C@H]2CC[N@H+]2Cc2ccccc2)n1 ZINC000363300946 418764176 /nfs/dbraw/zinc/76/41/76/418764176.db2.gz ATFAQSFCVRPWSR-OAHLLOKOSA-N 1 2 309.373 2.010 20 30 DDEDLO CN1CC[N@H+](CCNc2cnc(C#N)cn2)[C@@H](c2ccccc2)C1 ZINC000363703576 418768864 /nfs/dbraw/zinc/76/88/64/418768864.db2.gz RPWXDVMYZJXCLW-QGZVFWFLSA-N 1 2 322.416 1.749 20 30 DDEDLO CN1CC[N@@H+](CCNc2cnc(C#N)cn2)[C@@H](c2ccccc2)C1 ZINC000363703576 418768865 /nfs/dbraw/zinc/76/88/65/418768865.db2.gz RPWXDVMYZJXCLW-QGZVFWFLSA-N 1 2 322.416 1.749 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@H](C)O[C@@]2(CCO[C@H]2C)C1 ZINC000364060562 418773390 /nfs/dbraw/zinc/77/33/90/418773390.db2.gz BAVDJJHWCUNTOD-DZKIICNBSA-N 1 2 309.410 1.017 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C)O[C@@]2(CCO[C@H]2C)C1 ZINC000364060562 418773393 /nfs/dbraw/zinc/77/33/93/418773393.db2.gz BAVDJJHWCUNTOD-DZKIICNBSA-N 1 2 309.410 1.017 20 30 DDEDLO CN(C(=O)C[N@H+](C)[C@H]1CCCCNC1=O)C1(C#N)CCCCC1 ZINC000368894561 418726990 /nfs/dbraw/zinc/72/69/90/418726990.db2.gz WADKPUVWMVFUJB-AWEZNQCLSA-N 1 2 320.437 1.272 20 30 DDEDLO CN(C(=O)C[N@@H+](C)[C@H]1CCCCNC1=O)C1(C#N)CCCCC1 ZINC000368894561 418726992 /nfs/dbraw/zinc/72/69/92/418726992.db2.gz WADKPUVWMVFUJB-AWEZNQCLSA-N 1 2 320.437 1.272 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000408348550 418799144 /nfs/dbraw/zinc/79/91/44/418799144.db2.gz HTJSMBACPOKQDE-TXCZRRACSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000408348550 418799147 /nfs/dbraw/zinc/79/91/47/418799147.db2.gz HTJSMBACPOKQDE-TXCZRRACSA-N 1 2 323.437 1.309 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[C@H](CN2CC[NH+](C)CC2)C1 ZINC000365591718 418880128 /nfs/dbraw/zinc/88/01/28/418880128.db2.gz DBSBPYKMSZVHGH-QGZVFWFLSA-N 1 2 323.481 1.455 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CC[C@@H](Oc2ccncc2)C1)C1CC1 ZINC000365651705 418888200 /nfs/dbraw/zinc/88/82/00/418888200.db2.gz XJEPHFGKUVCIIC-NVXWUHKLSA-N 1 2 314.389 1.343 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CC[C@@H](Oc2ccncc2)C1)C1CC1 ZINC000365651705 418888202 /nfs/dbraw/zinc/88/82/02/418888202.db2.gz XJEPHFGKUVCIIC-NVXWUHKLSA-N 1 2 314.389 1.343 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](C2(C(N)=O)CCCCC2)CC1 ZINC000365264161 418847748 /nfs/dbraw/zinc/84/77/48/418847748.db2.gz IRIAHDCLCYPCFP-UHFFFAOYSA-N 1 2 305.422 1.122 20 30 DDEDLO COC[C@@H]1C[C@H](O)C[N@@H+]1[C@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000411915043 419483404 /nfs/dbraw/zinc/48/34/04/419483404.db2.gz VRRMGUJBHWITDD-WXHSDQCUSA-N 1 2 321.377 1.177 20 30 DDEDLO COC[C@@H]1C[C@H](O)C[N@H+]1[C@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000411915043 419483409 /nfs/dbraw/zinc/48/34/09/419483409.db2.gz VRRMGUJBHWITDD-WXHSDQCUSA-N 1 2 321.377 1.177 20 30 DDEDLO C[C@@H](O)CNc1cc(NCCOc2ccc(C#N)cc2)[nH+]cn1 ZINC000413159282 419768743 /nfs/dbraw/zinc/76/87/43/419768743.db2.gz BWMLMYAZFPSWAV-GFCCVEGCSA-N 1 2 313.361 1.632 20 30 DDEDLO C[C@@H](O)CNc1cc(NCCOc2ccc(C#N)cc2)nc[nH+]1 ZINC000413159282 419768749 /nfs/dbraw/zinc/76/87/49/419768749.db2.gz BWMLMYAZFPSWAV-GFCCVEGCSA-N 1 2 313.361 1.632 20 30 DDEDLO C[C@H](O)CNc1cc(NCc2cc(C#N)ccc2F)nc[nH+]1 ZINC000413167576 419773844 /nfs/dbraw/zinc/77/38/44/419773844.db2.gz LRTHEDCQAUXZMP-JTQLQIEISA-N 1 2 301.325 1.892 20 30 DDEDLO C[C@H](O)CNc1cc(NCc2cc(C#N)ccc2F)[nH+]cn1 ZINC000413167576 419773850 /nfs/dbraw/zinc/77/38/50/419773850.db2.gz LRTHEDCQAUXZMP-JTQLQIEISA-N 1 2 301.325 1.892 20 30 DDEDLO CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000414146413 419810495 /nfs/dbraw/zinc/81/04/95/419810495.db2.gz LDMZXDKUNVDKML-ZDUSSCGKSA-N 1 2 321.333 1.383 20 30 DDEDLO CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414146413 419810504 /nfs/dbraw/zinc/81/05/04/419810504.db2.gz LDMZXDKUNVDKML-ZDUSSCGKSA-N 1 2 321.333 1.383 20 30 DDEDLO N#Cc1ncccc1S(=O)(=O)NCc1cn2ccccc2[nH+]1 ZINC000428248486 419838534 /nfs/dbraw/zinc/83/85/34/419838534.db2.gz SDLPXEMGVUPHSL-UHFFFAOYSA-N 1 2 313.342 1.079 20 30 DDEDLO C[N@H+](Cc1cccc(F)c1C#N)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000428771043 419929927 /nfs/dbraw/zinc/92/99/27/419929927.db2.gz HBMDUCGKNVNURE-GFCCVEGCSA-N 1 2 311.382 1.163 20 30 DDEDLO C[N@@H+](Cc1cccc(F)c1C#N)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000428771043 419929928 /nfs/dbraw/zinc/92/99/28/419929928.db2.gz HBMDUCGKNVNURE-GFCCVEGCSA-N 1 2 311.382 1.163 20 30 DDEDLO C[C@H](CNC(=O)C1(c2cccc(C#N)c2)CC1)[NH+]1CCOCC1 ZINC000435040964 229352597 /nfs/dbraw/zinc/35/25/97/229352597.db2.gz HEWVFAKVMVGRBC-CQSZACIVSA-N 1 2 313.401 1.427 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(F)cc1C#N ZINC000435168056 229360704 /nfs/dbraw/zinc/36/07/04/229360704.db2.gz HRKHZZYAXXVBOH-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(F)cc1C#N ZINC000435168056 229360706 /nfs/dbraw/zinc/36/07/06/229360706.db2.gz HRKHZZYAXXVBOH-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@@H](O)COc1ccc(NC(C)=O)cc1 ZINC000428855747 419943414 /nfs/dbraw/zinc/94/34/14/419943414.db2.gz JMLCUVJSJZHJKI-MRXNPFEDSA-N 1 2 317.389 1.786 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@@H](O)COc1ccc(NC(C)=O)cc1 ZINC000428855747 419943419 /nfs/dbraw/zinc/94/34/19/419943419.db2.gz JMLCUVJSJZHJKI-MRXNPFEDSA-N 1 2 317.389 1.786 20 30 DDEDLO Cc1ccc(CCC[N@H+](CCC#N)CCN2CCOCC2)cn1 ZINC000429824212 420050124 /nfs/dbraw/zinc/05/01/24/420050124.db2.gz BGVJSWORGPWLPH-UHFFFAOYSA-N 1 2 316.449 1.871 20 30 DDEDLO Cc1ccc(CCC[N@@H+](CCC#N)CCN2CCOCC2)cn1 ZINC000429824212 420050127 /nfs/dbraw/zinc/05/01/27/420050127.db2.gz BGVJSWORGPWLPH-UHFFFAOYSA-N 1 2 316.449 1.871 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(c2cc(C)ncc2C#N)CC1 ZINC000425208222 420327616 /nfs/dbraw/zinc/32/76/16/420327616.db2.gz MOAFULFGSWQLCL-ZDUSSCGKSA-N 1 2 315.421 1.298 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000437635527 420416333 /nfs/dbraw/zinc/41/63/33/420416333.db2.gz PEVAGRXSSWVLSS-HOCLYGCPSA-N 1 2 318.421 1.928 20 30 DDEDLO C=CCCCNC(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000447995162 420831393 /nfs/dbraw/zinc/83/13/93/420831393.db2.gz ZEIBGMNXUYXPQE-ZDUSSCGKSA-N 1 2 319.409 1.177 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cncs3)CC2)c1C#N ZINC000471806209 420951471 /nfs/dbraw/zinc/95/14/71/420951471.db2.gz WSNCDIMBZNCLSD-UHFFFAOYSA-N 1 2 302.407 1.379 20 30 DDEDLO N#CCN1CC[NH+](Cc2ccc3c(c2)OCCCCO3)CC1 ZINC000449016291 420934616 /nfs/dbraw/zinc/93/46/16/420934616.db2.gz GYNVNRDULQZFBV-UHFFFAOYSA-N 1 2 301.390 1.879 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2nnc(-c3cccc(C#N)c3)o2)C[C@@H]1OC ZINC000487969641 421062081 /nfs/dbraw/zinc/06/20/81/421062081.db2.gz GBIWOOPKHCVZDE-OKILXGFUSA-N 1 2 314.345 1.454 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2nnc(-c3cccc(C#N)c3)o2)C[C@@H]1OC ZINC000487969641 421062084 /nfs/dbraw/zinc/06/20/84/421062084.db2.gz GBIWOOPKHCVZDE-OKILXGFUSA-N 1 2 314.345 1.454 20 30 DDEDLO C#CC[C@@H]([NH2+]CC1CCN(C(=O)OC(C)(C)C)CC1)C(=O)OC ZINC000495979513 421109768 /nfs/dbraw/zinc/10/97/68/421109768.db2.gz GSQQLDFBQLVSBD-CQSZACIVSA-N 1 2 324.421 1.788 20 30 DDEDLO C=CCn1cccc1C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000488926086 421126683 /nfs/dbraw/zinc/12/66/83/421126683.db2.gz OLHSDUXNOKRWDJ-UHFFFAOYSA-N 1 2 311.389 1.735 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1Cc1nnnn1CCOCC(F)(F)F ZINC000489433639 421155954 /nfs/dbraw/zinc/15/59/54/421155954.db2.gz SFDRPXQJYODMDQ-NSHDSACASA-N 1 2 319.331 1.793 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1Cc1nnnn1CCOCC(F)(F)F ZINC000489433639 421155956 /nfs/dbraw/zinc/15/59/56/421155956.db2.gz SFDRPXQJYODMDQ-NSHDSACASA-N 1 2 319.331 1.793 20 30 DDEDLO C=CCCCS(=O)(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000489884605 421177644 /nfs/dbraw/zinc/17/76/44/421177644.db2.gz JZXCKGLUVSNEOG-ZIAGYGMSSA-N 1 2 302.440 1.077 20 30 DDEDLO N#CCCN1CC[NH+](CCNC(=O)c2ccccc2F)CC1 ZINC000523440858 421237411 /nfs/dbraw/zinc/23/74/11/421237411.db2.gz YXVSXIYMZCXWGA-UHFFFAOYSA-N 1 2 304.369 1.087 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000491671924 421201001 /nfs/dbraw/zinc/20/10/01/421201001.db2.gz QZXVLDZQUWIYFN-HUUCEWRRSA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000491671924 421201002 /nfs/dbraw/zinc/20/10/02/421201002.db2.gz QZXVLDZQUWIYFN-HUUCEWRRSA-N 1 2 308.422 1.968 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@@]1(C#N)CCOC1)C2 ZINC000526017024 421324205 /nfs/dbraw/zinc/32/42/05/421324205.db2.gz KZMOSGPTDMLGNA-MEDUHNTESA-N 1 2 302.378 1.437 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@@]1(C#N)CCOC1)CC2 ZINC000526017024 421324206 /nfs/dbraw/zinc/32/42/06/421324206.db2.gz KZMOSGPTDMLGNA-MEDUHNTESA-N 1 2 302.378 1.437 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3cc(C#N)ncn3)c[nH+]2)CCO1 ZINC000526273546 421335082 /nfs/dbraw/zinc/33/50/82/421335082.db2.gz QIDQGAICRRWWCD-GFCCVEGCSA-N 1 2 310.361 1.580 20 30 DDEDLO C=CC(C)(C)CCNc1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000528075455 421470058 /nfs/dbraw/zinc/47/00/58/421470058.db2.gz RHPVCIXKEIMPQF-UHFFFAOYSA-N 1 2 303.410 1.769 20 30 DDEDLO C=CC(C)(C)CCNc1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000528075455 421470060 /nfs/dbraw/zinc/47/00/60/421470060.db2.gz RHPVCIXKEIMPQF-UHFFFAOYSA-N 1 2 303.410 1.769 20 30 DDEDLO CC[C@H]1C[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)CCO1 ZINC000528348350 421480493 /nfs/dbraw/zinc/48/04/93/421480493.db2.gz GAHSABRRWWZEMN-AWEZNQCLSA-N 1 2 312.369 1.397 20 30 DDEDLO CC[C@H]1C[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)CCO1 ZINC000528348350 421480496 /nfs/dbraw/zinc/48/04/96/421480496.db2.gz GAHSABRRWWZEMN-AWEZNQCLSA-N 1 2 312.369 1.397 20 30 DDEDLO C[C@@H]1CC[N@H+](C[C@@H](O)COc2ccccc2C#N)CC[S@@]1=O ZINC000528477760 421492815 /nfs/dbraw/zinc/49/28/15/421492815.db2.gz QZHAGWOYUVWYQT-QCPUYCGNSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[N@@H+](C[C@@H](O)COc2ccccc2C#N)CC[S@@]1=O ZINC000528477760 421492818 /nfs/dbraw/zinc/49/28/18/421492818.db2.gz QZHAGWOYUVWYQT-QCPUYCGNSA-N 1 2 322.430 1.141 20 30 DDEDLO Cc1ccc(C)c(OCC[NH+]2CCN(C(=O)CC#N)CC2)c1 ZINC000565160096 421596641 /nfs/dbraw/zinc/59/66/41/421596641.db2.gz BLNWPENAZYOLCU-UHFFFAOYSA-N 1 2 301.390 1.740 20 30 DDEDLO Cc1cc(NCCNC(=O)c2cc(C#N)c[nH]2)nc(C(C)C)[nH+]1 ZINC000529846697 421563706 /nfs/dbraw/zinc/56/37/06/421563706.db2.gz WHDMFNHSOREMSG-UHFFFAOYSA-N 1 2 312.377 1.950 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1cccc(OC(F)F)c1 ZINC000569345304 421625489 /nfs/dbraw/zinc/62/54/89/421625489.db2.gz MMOJIQXSIKAZGH-OAHLLOKOSA-N 1 2 311.332 1.791 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1cccc(OC(F)F)c1 ZINC000569345303 421626131 /nfs/dbraw/zinc/62/61/31/421626131.db2.gz MMOJIQXSIKAZGH-HNNXBMFYSA-N 1 2 311.332 1.791 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)NC2CCCCC2)C1=O ZINC000532205587 421651667 /nfs/dbraw/zinc/65/16/67/421651667.db2.gz NVEWSJHZUVJREE-ZFWWWQNUSA-N 1 2 307.438 1.543 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)NC2CCCCC2)C1=O ZINC000532205587 421651672 /nfs/dbraw/zinc/65/16/72/421651672.db2.gz NVEWSJHZUVJREE-ZFWWWQNUSA-N 1 2 307.438 1.543 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000554986860 421667846 /nfs/dbraw/zinc/66/78/46/421667846.db2.gz ZXQBJLTVMSMLQD-NSHDSACASA-N 1 2 311.345 1.969 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000554986860 421667847 /nfs/dbraw/zinc/66/78/47/421667847.db2.gz ZXQBJLTVMSMLQD-NSHDSACASA-N 1 2 311.345 1.969 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ccc(F)cn3)CC2)c1C#N ZINC000571612084 421729966 /nfs/dbraw/zinc/72/99/66/421729966.db2.gz LAARPSJQARVMOI-UHFFFAOYSA-N 1 2 314.368 1.457 20 30 DDEDLO Cc1ccn2cc(C[NH2+]Cc3cnc4c(C#N)cnn4c3)nc2c1 ZINC000557177237 421762985 /nfs/dbraw/zinc/76/29/85/421762985.db2.gz CKCVNHSUPYVHFT-UHFFFAOYSA-N 1 2 317.356 1.847 20 30 DDEDLO C[C@H](C#N)CNC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000541944705 421815556 /nfs/dbraw/zinc/81/55/56/421815556.db2.gz CXIUVXUOEFIAJY-ZBFHGGJFSA-N 1 2 316.405 1.346 20 30 DDEDLO C[C@H](C#N)CNC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000541944705 421815558 /nfs/dbraw/zinc/81/55/58/421815558.db2.gz CXIUVXUOEFIAJY-ZBFHGGJFSA-N 1 2 316.405 1.346 20 30 DDEDLO Cn1cnnc1C[N@H+](C)CCC(=O)Nc1sccc1C#N ZINC000558157107 421799706 /nfs/dbraw/zinc/79/97/06/421799706.db2.gz OREXLPWJSJJYDD-UHFFFAOYSA-N 1 2 304.379 1.209 20 30 DDEDLO Cn1cnnc1C[N@@H+](C)CCC(=O)Nc1sccc1C#N ZINC000558157107 421799708 /nfs/dbraw/zinc/79/97/08/421799708.db2.gz OREXLPWJSJJYDD-UHFFFAOYSA-N 1 2 304.379 1.209 20 30 DDEDLO N#Cc1cc(NCC2([NH+]3CCOCC3)CC2)nc2ccccc12 ZINC000574021297 422047373 /nfs/dbraw/zinc/04/73/73/422047373.db2.gz WRJRVVHKAUOBOA-UHFFFAOYSA-N 1 2 308.385 2.383 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc([C@H]2CCOC2)cc1 ZINC000576865728 422377167 /nfs/dbraw/zinc/37/71/67/422377167.db2.gz KQVSIGQXWTZNSH-RDJZCZTQSA-N 1 2 303.406 1.809 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc([C@H]2CCOC2)cc1 ZINC000576865728 422377173 /nfs/dbraw/zinc/37/71/73/422377173.db2.gz KQVSIGQXWTZNSH-RDJZCZTQSA-N 1 2 303.406 1.809 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCc3c([nH+]c(C)n3CCO)C2)C1 ZINC000632997686 422702966 /nfs/dbraw/zinc/70/29/66/422702966.db2.gz FUKJHVCLXWXBLM-CYBMUJFWSA-N 1 2 303.406 1.514 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000629434609 422672367 /nfs/dbraw/zinc/67/23/67/422672367.db2.gz YKPJOVORVHUPFM-HNNXBMFYSA-N 1 2 303.406 1.611 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000629434609 422672371 /nfs/dbraw/zinc/67/23/71/422672371.db2.gz YKPJOVORVHUPFM-HNNXBMFYSA-N 1 2 303.406 1.611 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccc(C(=O)NC3CC3)cc2)nn1 ZINC000643794474 423350447 /nfs/dbraw/zinc/35/04/47/423350447.db2.gz RPPCEAXRWVKMEL-UHFFFAOYSA-N 1 2 311.389 1.646 20 30 DDEDLO NC(=O)[C@@]1([NH2+]CC#Cc2ccc(C(F)(F)F)cc2)CCOC1 ZINC000653841377 423626559 /nfs/dbraw/zinc/62/65/59/423626559.db2.gz GMTCDXKSDSMCFW-CQSZACIVSA-N 1 2 312.291 1.291 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000644944254 424069236 /nfs/dbraw/zinc/06/92/36/424069236.db2.gz LULZRNAWCDHWPQ-ZNMIVQPWSA-N 1 2 316.405 1.551 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[NH+]2CCC3(CCCC3=O)CC2)cc1 ZINC000661961159 424302680 /nfs/dbraw/zinc/30/26/80/424302680.db2.gz XGLZPEURUXHIGW-INIZCTEOSA-N 1 2 311.385 1.920 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H]2Cc3ccccc3N(C)C2=O)nn1 ZINC000657574556 424416210 /nfs/dbraw/zinc/41/62/10/424416210.db2.gz ZLFXGHMZTFTSLM-HNNXBMFYSA-N 1 2 311.389 1.532 20 30 DDEDLO CCOCCNC(=O)C[N@H+]1CC=C(c2ccc(C#N)cc2)CC1 ZINC000378358421 266277817 /nfs/dbraw/zinc/27/78/17/266277817.db2.gz UQTRSCGFFSEFQR-UHFFFAOYSA-N 1 2 313.401 1.800 20 30 DDEDLO CCOCCNC(=O)C[N@@H+]1CC=C(c2ccc(C#N)cc2)CC1 ZINC000378358421 266277825 /nfs/dbraw/zinc/27/78/25/266277825.db2.gz UQTRSCGFFSEFQR-UHFFFAOYSA-N 1 2 313.401 1.800 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000374564593 266362088 /nfs/dbraw/zinc/36/20/88/266362088.db2.gz CDMRSMAAPZYIIW-KGLIPLIRSA-N 1 2 315.421 1.378 20 30 DDEDLO C[C@H]1[C@H](C)[N@H+](C)CCN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000357041226 267252721 /nfs/dbraw/zinc/25/27/21/267252721.db2.gz QLLGBYWAUZOAQZ-KBPBESRZSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@H]1[C@H](C)[N@@H+](C)CCN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000357041226 267252724 /nfs/dbraw/zinc/25/27/24/267252724.db2.gz QLLGBYWAUZOAQZ-KBPBESRZSA-N 1 2 321.446 1.356 20 30 DDEDLO C[N@@H+]1C2(CCC2)COC[C@@]1(CO)CNc1cccc(F)c1C#N ZINC000528127303 267329970 /nfs/dbraw/zinc/32/99/70/267329970.db2.gz BJGUYMQFWQFLOW-KRWDZBQOSA-N 1 2 319.380 1.725 20 30 DDEDLO C[N@H+]1C2(CCC2)COC[C@@]1(CO)CNc1cccc(F)c1C#N ZINC000528127303 267329974 /nfs/dbraw/zinc/32/99/74/267329974.db2.gz BJGUYMQFWQFLOW-KRWDZBQOSA-N 1 2 319.380 1.725 20 30 DDEDLO COC(=O)C1([NH2+]CCC(=O)Nc2ccccc2C#N)CCCC1 ZINC000411405957 267357729 /nfs/dbraw/zinc/35/77/29/267357729.db2.gz IDLQWYJWJGJROO-UHFFFAOYSA-N 1 2 315.373 1.962 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)c2cc(C#N)ccn2)CC1 ZINC000357693397 267520706 /nfs/dbraw/zinc/52/07/06/267520706.db2.gz SGZDWSLOYVIMJA-UHFFFAOYSA-N 1 2 307.357 1.619 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](C(=O)NCc3c[nH+]c[nH]3)C2)c(F)c1 ZINC000341938102 268122767 /nfs/dbraw/zinc/12/27/67/268122767.db2.gz SUHRFLVTCFPHKQ-GFCCVEGCSA-N 1 2 313.336 1.563 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](C(=O)NCc3c[nH]c[nH+]3)C2)c(F)c1 ZINC000341938102 268122769 /nfs/dbraw/zinc/12/27/69/268122769.db2.gz SUHRFLVTCFPHKQ-GFCCVEGCSA-N 1 2 313.336 1.563 20 30 DDEDLO N#Cc1ccc2ncc(C[NH2+][C@@H](C(N)=O)c3ccccc3)n2c1 ZINC000342710322 268224840 /nfs/dbraw/zinc/22/48/40/268224840.db2.gz PVZSUHQFRVEXCS-MRXNPFEDSA-N 1 2 305.341 1.522 20 30 DDEDLO N#Cc1ccnc(N2CCC[C@@H]2C[NH+]2CCOCC2)c1Cl ZINC000345244099 268291754 /nfs/dbraw/zinc/29/17/54/268291754.db2.gz FOHVBISIJSJGDI-CYBMUJFWSA-N 1 2 306.797 1.908 20 30 DDEDLO Cc1n[nH]c(C)c1C[N@@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000355188069 293098829 /nfs/dbraw/zinc/09/88/29/293098829.db2.gz ABYYFXBKIGFPOC-UGSOOPFHSA-N 1 2 321.384 1.872 20 30 DDEDLO Cc1n[nH]c(C)c1C[N@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000355188069 293098832 /nfs/dbraw/zinc/09/88/32/293098832.db2.gz ABYYFXBKIGFPOC-UGSOOPFHSA-N 1 2 321.384 1.872 20 30 DDEDLO O=C(N[C@H]1CCCC12CCOCC2)N1CCn2cc[nH+]c2C1 ZINC000328841193 303513788 /nfs/dbraw/zinc/51/37/88/303513788.db2.gz ZJXVOXBROHVLOX-ZDUSSCGKSA-N 1 2 304.394 1.962 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CCN(c3cccc[nH+]3)CC2)n1 ZINC000565656665 308035877 /nfs/dbraw/zinc/03/58/77/308035877.db2.gz XNYSEFJZAXHPBH-UHFFFAOYSA-N 1 2 308.345 1.702 20 30 DDEDLO CC(=O)c1cccc(O[C@@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000566977484 332624157 /nfs/dbraw/zinc/62/41/57/332624157.db2.gz WULNKEPYZAIHET-GUYCJALGSA-N 1 2 317.389 1.617 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@@H]1C[N@@H+]([C@H]2CC[C@@H](C#N)C2)CCO1 ZINC000497251980 333227404 /nfs/dbraw/zinc/22/74/04/333227404.db2.gz HPBPPPOTZDDEJT-HZSPNIEDSA-N 1 2 309.410 1.904 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@@H]1C[N@H+]([C@H]2CC[C@@H](C#N)C2)CCO1 ZINC000497251980 333227405 /nfs/dbraw/zinc/22/74/05/333227405.db2.gz HPBPPPOTZDDEJT-HZSPNIEDSA-N 1 2 309.410 1.904 20 30 DDEDLO Cc1oc(Br)cc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000563220240 333486127 /nfs/dbraw/zinc/48/61/27/333486127.db2.gz IIPWSEQOZYITMX-GFCCVEGCSA-N 1 2 314.183 1.924 20 30 DDEDLO C[N@H+](CC#Cc1ccc(OC(F)(F)F)cc1)[C@@H]1CCNC1=O ZINC000340221305 334151482 /nfs/dbraw/zinc/15/14/82/334151482.db2.gz IRHLQNWGAZYMNV-CYBMUJFWSA-N 1 2 312.291 1.757 20 30 DDEDLO C[N@@H+](CC#Cc1ccc(OC(F)(F)F)cc1)[C@@H]1CCNC1=O ZINC000340221305 334151483 /nfs/dbraw/zinc/15/14/83/334151483.db2.gz IRHLQNWGAZYMNV-CYBMUJFWSA-N 1 2 312.291 1.757 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3cnccc3C#N)CC2)c(C)n1 ZINC000582761965 337137773 /nfs/dbraw/zinc/13/77/73/337137773.db2.gz ZJIWWVVHPYOKEO-UHFFFAOYSA-N 1 2 324.388 1.383 20 30 DDEDLO COC[C@H]1C[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000583148775 337246291 /nfs/dbraw/zinc/24/62/91/337246291.db2.gz PIOIGKXAFFBGCM-SMDDNHRTSA-N 1 2 305.334 1.702 20 30 DDEDLO COC[C@H]1C[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000583148775 337246292 /nfs/dbraw/zinc/24/62/92/337246292.db2.gz PIOIGKXAFFBGCM-SMDDNHRTSA-N 1 2 305.334 1.702 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+][C@@H](c1ccccc1)c1ccccn1 ZINC000025418656 337386658 /nfs/dbraw/zinc/38/66/58/337386658.db2.gz QGBFHQFCLBIFPU-KRWDZBQOSA-N 1 2 324.384 1.772 20 30 DDEDLO COCc1ccc(CNC(=O)C[NH+]2CCC(C#N)CC2)cc1 ZINC000057873424 337458169 /nfs/dbraw/zinc/45/81/69/337458169.db2.gz HZCFWHXVTBZUQW-UHFFFAOYSA-N 1 2 301.390 1.685 20 30 DDEDLO COCCCOc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cn1 ZINC000516297481 338010121 /nfs/dbraw/zinc/01/01/21/338010121.db2.gz CQFPLYTWSPEASZ-INIZCTEOSA-N 1 2 320.393 1.071 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000491210372 339853522 /nfs/dbraw/zinc/85/35/22/339853522.db2.gz SVDSMQXGESZIOT-ZDUSSCGKSA-N 1 2 302.378 1.081 20 30 DDEDLO C[N@@H+]1CCN(C(=O)C#CC2CC2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000496859678 340014798 /nfs/dbraw/zinc/01/47/98/340014798.db2.gz UIBCSXKUINJZRD-AWEZNQCLSA-N 1 2 321.421 1.067 20 30 DDEDLO C[N@H+]1CCN(C(=O)C#CC2CC2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000496859678 340014799 /nfs/dbraw/zinc/01/47/99/340014799.db2.gz UIBCSXKUINJZRD-AWEZNQCLSA-N 1 2 321.421 1.067 20 30 DDEDLO N#C[C@@H]1COCCN1C(=O)/C=C/c1ccc(-n2cc[nH+]c2)cc1 ZINC000176644437 340429769 /nfs/dbraw/zinc/42/97/69/340429769.db2.gz GHKDVGVOYLWYMA-WUTVXBCWSA-N 1 2 308.341 1.636 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N1CCC(CC#N)CC1)[NH+]1CCOCC1 ZINC000543783684 341058571 /nfs/dbraw/zinc/05/85/71/341058571.db2.gz ZJUIJKOAPQFPLY-UONOGXRCSA-N 1 2 308.426 1.431 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@@]2(CCCCO2)[C@H]1C#N ZINC000548728901 341270877 /nfs/dbraw/zinc/27/08/77/341270877.db2.gz QDRCPTFQQUYPHX-ZBFHGGJFSA-N 1 2 302.378 1.416 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(c2c[nH+]ccc2C)CC1 ZINC000565640869 341533708 /nfs/dbraw/zinc/53/37/08/341533708.db2.gz DCKLXPNQXQMSOK-ZBFHGGJFSA-N 1 2 316.405 1.565 20 30 DDEDLO N#CCC(=O)N1CCN(Cc2c[nH+]cn2Cc2ccccc2)CC1 ZINC000566424589 341557429 /nfs/dbraw/zinc/55/74/29/341557429.db2.gz YMAUAIJDCYVBDN-UHFFFAOYSA-N 1 2 323.400 1.489 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1cnn(-c2ccccc2)c1 ZINC000566858310 341570183 /nfs/dbraw/zinc/57/01/83/341570183.db2.gz CZSHMMFLDVXRKM-KRWDZBQOSA-N 1 2 311.389 1.375 20 30 DDEDLO N#CC1(NC(=O)Cc2c[nH+]cn2Cc2ccccc2)CCOCC1 ZINC000567226035 341589754 /nfs/dbraw/zinc/58/97/54/341589754.db2.gz VHYWDXFYNRTDEW-UHFFFAOYSA-N 1 2 324.384 1.663 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)c1nc([C@H]2C[N@H+](C(C)C)CCO2)no1 ZINC000656752434 484314467 /nfs/dbraw/zinc/31/44/67/484314467.db2.gz AYSUQBROSMRNOL-ZIAGYGMSSA-N 1 2 322.409 1.995 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)c1nc([C@H]2C[N@@H+](C(C)C)CCO2)no1 ZINC000656752434 484314473 /nfs/dbraw/zinc/31/44/73/484314473.db2.gz AYSUQBROSMRNOL-ZIAGYGMSSA-N 1 2 322.409 1.995 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NC(=O)C(=O)Nc1ccc(N)[nH+]c1 ZINC000659091286 484617578 /nfs/dbraw/zinc/61/75/78/484617578.db2.gz FVQHBRILVDHTDM-YPMHNXCESA-N 1 2 302.378 1.853 20 30 DDEDLO C[C@@H]1C[N@H+](C[C@@H](O)COc2ccc(CC#N)cc2)CCC1=O ZINC000670087876 484752161 /nfs/dbraw/zinc/75/21/61/484752161.db2.gz DUZPZVHAEAVQOE-UKRRQHHQSA-N 1 2 302.374 1.403 20 30 DDEDLO C[C@@H]1C[N@@H+](C[C@@H](O)COc2ccc(CC#N)cc2)CCC1=O ZINC000670087876 484752166 /nfs/dbraw/zinc/75/21/66/484752166.db2.gz DUZPZVHAEAVQOE-UKRRQHHQSA-N 1 2 302.374 1.403 20 30 DDEDLO C=CC[N@H+](Cc1csc(CC(=O)N(C)C)n1)[C@H](C)COC ZINC000661244818 484996386 /nfs/dbraw/zinc/99/63/86/484996386.db2.gz VXIHSMBRERFSMN-GFCCVEGCSA-N 1 2 311.451 1.797 20 30 DDEDLO C=CC[N@@H+](Cc1csc(CC(=O)N(C)C)n1)[C@H](C)COC ZINC000661244818 484996391 /nfs/dbraw/zinc/99/63/91/484996391.db2.gz VXIHSMBRERFSMN-GFCCVEGCSA-N 1 2 311.451 1.797 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)c2ccc(CC)cc2)CC1 ZINC000677475532 486475433 /nfs/dbraw/zinc/47/54/33/486475433.db2.gz ANYSEYSSYJKWSE-QGZVFWFLSA-N 1 2 302.418 1.944 20 30 DDEDLO Cc1cc(N2CC[NH+](C)CC2)ccc1NC([O-])=[NH+]CCC#N ZINC000331145768 533899137 /nfs/dbraw/zinc/89/91/37/533899137.db2.gz SKBGDPBDDSNBGU-UHFFFAOYSA-N 1 2 301.394 1.986 20 30 DDEDLO COC(=O)c1cccc(NC(=O)C[NH+]2CCC(C#N)CC2)n1 ZINC000346270607 534270819 /nfs/dbraw/zinc/27/08/19/534270819.db2.gz FREDBCACRRZGGY-UHFFFAOYSA-N 1 2 302.334 1.042 20 30 DDEDLO C[C@H](NC(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1)c1ncnn1C ZINC000329930169 534278826 /nfs/dbraw/zinc/27/88/26/534278826.db2.gz OFKNBTXFFVYVQH-QWRGUYRKSA-N 1 2 303.370 1.740 20 30 DDEDLO Cc1cn2cc(NC(=O)N3C[C@@H]4[C@H](C3)OCCN4C)ccc2[nH+]1 ZINC000329922988 534515750 /nfs/dbraw/zinc/51/57/50/534515750.db2.gz IGLLOPHWYUMPTK-KGLIPLIRSA-N 1 2 315.377 1.203 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCc1ccccc1Cn1cccn1 ZINC000339757518 526357500 /nfs/dbraw/zinc/35/75/00/526357500.db2.gz UQBBGQOASBZLTM-UHFFFAOYSA-N 1 2 310.401 1.503 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCc1ccccc1Cn1cccn1 ZINC000339757518 526357503 /nfs/dbraw/zinc/35/75/03/526357503.db2.gz UQBBGQOASBZLTM-UHFFFAOYSA-N 1 2 310.401 1.503 20 30 DDEDLO CC#CCN(C)CC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000339751209 526358020 /nfs/dbraw/zinc/35/80/20/526358020.db2.gz IVSWKSCKAVAWNN-UHFFFAOYSA-N 1 2 315.417 1.412 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccc(Cl)cc2C)C1 ZINC000330941229 526402451 /nfs/dbraw/zinc/40/24/51/526402451.db2.gz FNEZWQNOMSQPIW-LBPRGKRZSA-N 1 2 324.812 1.795 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccc(Cl)cc2C)C1 ZINC000330941229 526402452 /nfs/dbraw/zinc/40/24/52/526402452.db2.gz FNEZWQNOMSQPIW-LBPRGKRZSA-N 1 2 324.812 1.795 20 30 DDEDLO CC(C)(C)OC(=O)N[C@H]1CC(=O)N(C[NH+]2CCC(C#N)CC2)C1 ZINC000495125003 526489500 /nfs/dbraw/zinc/48/95/00/526489500.db2.gz WJBXKBKYPQEFOP-ZDUSSCGKSA-N 1 2 322.409 1.305 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(C)[C@@H]2CCCC[C@@H]2C)C1=O ZINC000337199742 526499235 /nfs/dbraw/zinc/49/92/35/526499235.db2.gz BFAYAVUFTJNDOI-XHSDSOJGSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(C)[C@@H]2CCCC[C@@H]2C)C1=O ZINC000337199742 526499236 /nfs/dbraw/zinc/49/92/36/526499236.db2.gz BFAYAVUFTJNDOI-XHSDSOJGSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C(C)CN(Cc1ccc(CO)o1)C(=O)CCn1cc[nH+]c1 ZINC000342366270 526554803 /nfs/dbraw/zinc/55/48/03/526554803.db2.gz DYSCKJXECGMUGO-UHFFFAOYSA-N 1 2 303.362 1.963 20 30 DDEDLO C=CCNC(=O)Cc1noc([C@@H](c2ccccc2)[N@@H+](C)CC)n1 ZINC000346986711 526580344 /nfs/dbraw/zinc/58/03/44/526580344.db2.gz KWAWKBVQPWKEIE-MRXNPFEDSA-N 1 2 314.389 1.955 20 30 DDEDLO C=CCNC(=O)Cc1noc([C@@H](c2ccccc2)[N@H+](C)CC)n1 ZINC000346986711 526580348 /nfs/dbraw/zinc/58/03/48/526580348.db2.gz KWAWKBVQPWKEIE-MRXNPFEDSA-N 1 2 314.389 1.955 20 30 DDEDLO C#CCN(C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C1CC1 ZINC000491646493 526777040 /nfs/dbraw/zinc/77/70/40/526777040.db2.gz SZQHDOIGJPKLDA-OAHLLOKOSA-N 1 2 316.405 1.107 20 30 DDEDLO C#CCN(C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C1CC1 ZINC000491646493 526777045 /nfs/dbraw/zinc/77/70/45/526777045.db2.gz SZQHDOIGJPKLDA-OAHLLOKOSA-N 1 2 316.405 1.107 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1sc2c(c1C(=O)OC)CCC2 ZINC000491701561 526801844 /nfs/dbraw/zinc/80/18/44/526801844.db2.gz QEZDKRNPLKMYPY-UHFFFAOYSA-N 1 2 306.387 1.527 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1sc2c(c1C(=O)OC)CCC2 ZINC000491701561 526801854 /nfs/dbraw/zinc/80/18/54/526801854.db2.gz QEZDKRNPLKMYPY-UHFFFAOYSA-N 1 2 306.387 1.527 20 30 DDEDLO C#CC[N@H+](CC#CC)CCN(Cc1ccccc1)S(C)(=O)=O ZINC000491787724 526864478 /nfs/dbraw/zinc/86/44/78/526864478.db2.gz WODDXSPDJTYTAL-UHFFFAOYSA-N 1 2 318.442 1.407 20 30 DDEDLO C#CC[N@@H+](CC#CC)CCN(Cc1ccccc1)S(C)(=O)=O ZINC000491787724 526864479 /nfs/dbraw/zinc/86/44/79/526864479.db2.gz WODDXSPDJTYTAL-UHFFFAOYSA-N 1 2 318.442 1.407 20 30 DDEDLO C#CC[N@@H+](CC(=O)N(C)Cc1cnn(C)c1)Cc1ccccc1 ZINC000491788818 526883674 /nfs/dbraw/zinc/88/36/74/526883674.db2.gz YMNYZONKYPUZMX-UHFFFAOYSA-N 1 2 310.401 1.514 20 30 DDEDLO C#CC[N@H+](CC(=O)N(C)Cc1cnn(C)c1)Cc1ccccc1 ZINC000491788818 526883679 /nfs/dbraw/zinc/88/36/79/526883679.db2.gz YMNYZONKYPUZMX-UHFFFAOYSA-N 1 2 310.401 1.514 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)C[N@@H+](C)CC(=O)NC(C)C ZINC000491665814 526907987 /nfs/dbraw/zinc/90/79/87/526907987.db2.gz VFLPSJZSHXSXQO-UHFFFAOYSA-N 1 2 315.417 1.105 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)C[N@H+](C)CC(=O)NC(C)C ZINC000491665814 526907990 /nfs/dbraw/zinc/90/79/90/526907990.db2.gz VFLPSJZSHXSXQO-UHFFFAOYSA-N 1 2 315.417 1.105 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1sc(COC)nc1C ZINC000490877847 526948009 /nfs/dbraw/zinc/94/80/09/526948009.db2.gz YVPJSIZCIUDUAY-LBPRGKRZSA-N 1 2 308.403 1.852 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1sc(COC)nc1C ZINC000490877847 526948012 /nfs/dbraw/zinc/94/80/12/526948012.db2.gz YVPJSIZCIUDUAY-LBPRGKRZSA-N 1 2 308.403 1.852 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)Cn2cc(-c3ccccc3)cn2)CC1 ZINC000490779248 526953375 /nfs/dbraw/zinc/95/33/75/526953375.db2.gz UHGWLQISFXYDAN-UHFFFAOYSA-N 1 2 308.385 1.328 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccccc3C)n2CC)CC1 ZINC000491630653 526956337 /nfs/dbraw/zinc/95/63/37/526956337.db2.gz PMGYFEYOMQNMLK-UHFFFAOYSA-N 1 2 323.444 1.952 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+](C(COC)COC)CC1 ZINC000412438726 527023874 /nfs/dbraw/zinc/02/38/74/527023874.db2.gz UTRJYBGJYJGDLV-UHFFFAOYSA-N 1 2 310.438 1.560 20 30 DDEDLO C#CCNC(=O)[C@H](C)N(C)Cc1[nH+]ccn1Cc1ccccc1 ZINC000490840876 527024274 /nfs/dbraw/zinc/02/42/74/527024274.db2.gz PYBIACGUZBORFN-HNNXBMFYSA-N 1 2 310.401 1.501 20 30 DDEDLO CC(=O)Nc1ccc(SC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000414102671 527025715 /nfs/dbraw/zinc/02/57/15/527025715.db2.gz VWEWJDXTPKXFRM-CQSZACIVSA-N 1 2 307.419 1.943 20 30 DDEDLO CC(=O)Nc1ccc(SC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000414102671 527025722 /nfs/dbraw/zinc/02/57/22/527025722.db2.gz VWEWJDXTPKXFRM-CQSZACIVSA-N 1 2 307.419 1.943 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)Cn1cc(C(=O)OCC)c(C(F)(F)F)n1 ZINC000491676520 527214286 /nfs/dbraw/zinc/21/42/86/527214286.db2.gz OFBGAJUOBULYBI-SECBINFHSA-N 1 2 303.284 1.990 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)Cn1cc(C(=O)OCC)c(C(F)(F)F)n1 ZINC000491676520 527214291 /nfs/dbraw/zinc/21/42/91/527214291.db2.gz OFBGAJUOBULYBI-SECBINFHSA-N 1 2 303.284 1.990 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@H](O)Cc1ccccc1C(F)(F)F ZINC000491689411 527311046 /nfs/dbraw/zinc/31/10/46/527311046.db2.gz ILHGTRLFRXDGKW-ZIAGYGMSSA-N 1 2 313.319 1.943 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@H](O)Cc1ccccc1C(F)(F)F ZINC000491689411 527311049 /nfs/dbraw/zinc/31/10/49/527311049.db2.gz ILHGTRLFRXDGKW-ZIAGYGMSSA-N 1 2 313.319 1.943 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000491800558 527418348 /nfs/dbraw/zinc/41/83/48/527418348.db2.gz AEFXQJOZCMZHFD-INIZCTEOSA-N 1 2 309.369 1.335 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000491779108 527420978 /nfs/dbraw/zinc/42/09/78/527420978.db2.gz ISECNRRAULBDLZ-ZDUSSCGKSA-N 1 2 318.764 1.531 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000457931041 527431102 /nfs/dbraw/zinc/43/11/02/527431102.db2.gz AEJUECRIKDRTFZ-HNNXBMFYSA-N 1 2 314.389 1.999 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000333186365 527469511 /nfs/dbraw/zinc/46/95/11/527469511.db2.gz MYMVNQZHUVGJPG-CABCVRRESA-N 1 2 316.405 1.647 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCc1cn2c(cccc2C)[nH+]1 ZINC000458379359 527470676 /nfs/dbraw/zinc/47/06/76/527470676.db2.gz YFQSPWUJVOUCII-CYBMUJFWSA-N 1 2 302.378 1.769 20 30 DDEDLO C=CCCn1cc(C(=O)NCc2cn3cccc(C)c3[nH+]2)nn1 ZINC000424655009 527525136 /nfs/dbraw/zinc/52/51/36/527525136.db2.gz AQTQGZQFHOUDSE-UHFFFAOYSA-N 1 2 310.361 1.740 20 30 DDEDLO CC(C)[C@H]1OCC[C@@H]1CNC(=O)NC[C@H](C)[NH+]1CCOCC1 ZINC000330341623 527914219 /nfs/dbraw/zinc/91/42/19/527914219.db2.gz ZMVMYHBWHPJJOB-RRFJBIMHSA-N 1 2 313.442 1.272 20 30 DDEDLO CC(C)Oc1cccnc1C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000331166652 528263504 /nfs/dbraw/zinc/26/35/04/528263504.db2.gz ICEMZHWKQKLEFI-ZDUSSCGKSA-N 1 2 307.394 1.894 20 30 DDEDLO CC(C)Oc1cccnc1C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000331166652 528263506 /nfs/dbraw/zinc/26/35/06/528263506.db2.gz ICEMZHWKQKLEFI-ZDUSSCGKSA-N 1 2 307.394 1.894 20 30 DDEDLO CCC[C@]1(CO)CCN(C([O-])=[NH+][C@H]2CCc3[nH]c[nH+]c3C2)C1 ZINC000329735937 528516561 /nfs/dbraw/zinc/51/65/61/528516561.db2.gz LFBLSDXMMIBILD-LRDDRELGSA-N 1 2 306.410 1.666 20 30 DDEDLO CCC[C@]1(CO)CCN(C([O-])=[NH+][C@H]2CCc3[nH+]c[nH]c3C2)C1 ZINC000329735937 528516564 /nfs/dbraw/zinc/51/65/64/528516564.db2.gz LFBLSDXMMIBILD-LRDDRELGSA-N 1 2 306.410 1.666 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1ccc(-c2nn[nH]n2)s1 ZINC000823850490 607923795 /nfs/dbraw/zinc/92/37/95/607923795.db2.gz PCTZWCLXNRIPML-SNVBAGLBSA-N 1 2 317.374 1.183 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1ccc(-c2nn[nH]n2)s1 ZINC000823850490 607923796 /nfs/dbraw/zinc/92/37/96/607923796.db2.gz PCTZWCLXNRIPML-SNVBAGLBSA-N 1 2 317.374 1.183 20 30 DDEDLO CCC[C@@H](NC(=O)[C@H](C)O[NH+]=C(N)CCO)c1ccccc1 ZINC000121374638 696709311 /nfs/dbraw/zinc/70/93/11/696709311.db2.gz QBTUUJFCSIUCDD-GXTWGEPZSA-N 1 2 307.394 1.704 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cc(Cl)ccc2O)CC1 ZINC000122226374 696719986 /nfs/dbraw/zinc/71/99/86/696719986.db2.gz KIXKJLXPIYLECX-UHFFFAOYSA-N 1 2 323.824 1.465 20 30 DDEDLO Cc1oc(NC(=O)COC(=O)[C@H]2CCCC[N@H+]2C)c(C#N)c1C ZINC000745082320 699971217 /nfs/dbraw/zinc/97/12/17/699971217.db2.gz NTXRGJKYGRKFHK-CYBMUJFWSA-N 1 2 319.361 1.734 20 30 DDEDLO Cc1oc(NC(=O)COC(=O)[C@H]2CCCC[N@@H+]2C)c(C#N)c1C ZINC000745082320 699971218 /nfs/dbraw/zinc/97/12/18/699971218.db2.gz NTXRGJKYGRKFHK-CYBMUJFWSA-N 1 2 319.361 1.734 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCCO3)C2)C1 ZINC000972275358 695201184 /nfs/dbraw/zinc/20/11/84/695201184.db2.gz NMUXYSGIPSAHGM-RDJZCZTQSA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCCO3)C2)C1 ZINC000972275358 695201185 /nfs/dbraw/zinc/20/11/85/695201185.db2.gz NMUXYSGIPSAHGM-RDJZCZTQSA-N 1 2 308.422 1.435 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ccn(C)n3)C2)C1 ZINC000972277501 695202015 /nfs/dbraw/zinc/20/20/15/695202015.db2.gz ZNONFNMHUQTBAE-OAHLLOKOSA-N 1 2 302.422 1.809 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([N@H+](C)Cc3ccn(C)n3)C2)C1 ZINC000972277501 695202019 /nfs/dbraw/zinc/20/20/19/695202019.db2.gz ZNONFNMHUQTBAE-OAHLLOKOSA-N 1 2 302.422 1.809 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(C)cncc3C)C2)C1 ZINC000972463785 695257150 /nfs/dbraw/zinc/25/71/50/695257150.db2.gz XLAYPANBFPAYFZ-GOSISDBHSA-N 1 2 313.401 1.249 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(C)cncc3C)C2)C1 ZINC000972463785 695257151 /nfs/dbraw/zinc/25/71/51/695257151.db2.gz XLAYPANBFPAYFZ-GOSISDBHSA-N 1 2 313.401 1.249 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc4n[nH]cc4c3)C2)C1 ZINC000972525145 695272735 /nfs/dbraw/zinc/27/27/35/695272735.db2.gz YUECIKUKWNJTGX-GOSISDBHSA-N 1 2 324.384 1.113 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc4n[nH]cc4c3)C2)C1 ZINC000972525145 695272737 /nfs/dbraw/zinc/27/27/37/695272737.db2.gz YUECIKUKWNJTGX-GOSISDBHSA-N 1 2 324.384 1.113 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3cccnc3)C2)C1 ZINC000972639581 695306882 /nfs/dbraw/zinc/30/68/82/695306882.db2.gz UVXWIQIARLIDCM-MAUKXSAKSA-N 1 2 313.401 1.122 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3cccnc3)C2)C1 ZINC000972639581 695306884 /nfs/dbraw/zinc/30/68/84/695306884.db2.gz UVXWIQIARLIDCM-MAUKXSAKSA-N 1 2 313.401 1.122 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3c(C)noc3C)C2)C1 ZINC000972669814 695314057 /nfs/dbraw/zinc/31/40/57/695314057.db2.gz STMWLZVOFXBKAN-KRWDZBQOSA-N 1 2 319.405 1.323 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3c(C)noc3C)C2)C1 ZINC000972669814 695314060 /nfs/dbraw/zinc/31/40/60/695314060.db2.gz STMWLZVOFXBKAN-KRWDZBQOSA-N 1 2 319.405 1.323 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2C[C@@H](NC(C)=O)C(C)(C)C2)c1 ZINC000972718495 695322062 /nfs/dbraw/zinc/32/20/62/695322062.db2.gz MZCIRUIXTFFHGH-MRXNPFEDSA-N 1 2 313.401 1.453 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2C[C@@H](NC(C)=O)C(C)(C)C2)c1 ZINC000972718495 695322065 /nfs/dbraw/zinc/32/20/65/695322065.db2.gz MZCIRUIXTFFHGH-MRXNPFEDSA-N 1 2 313.401 1.453 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(=O)n(C)c2)C(C)(C)C1 ZINC000974780367 695732516 /nfs/dbraw/zinc/73/25/16/695732516.db2.gz YVYQQHKRNGSUQA-CYBMUJFWSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(=O)n(C)c2)C(C)(C)C1 ZINC000974780367 695732518 /nfs/dbraw/zinc/73/25/18/695732518.db2.gz YVYQQHKRNGSUQA-CYBMUJFWSA-N 1 2 323.824 1.578 20 30 DDEDLO CC1(C)CN(CC#N)C[C@@H]1NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000975028738 695780255 /nfs/dbraw/zinc/78/02/55/695780255.db2.gz MQYZHJJEFOLPCY-AAEUAGOBSA-N 1 2 301.394 1.180 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCC(=O)N2CCCCC2)cc1 ZINC000060455446 696310617 /nfs/dbraw/zinc/31/06/17/696310617.db2.gz RZRVXKQMASWSMY-UHFFFAOYSA-N 1 2 305.378 1.539 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CC[C@@H](NC(=O)c3cncc4nc[nH]c43)C[C@H]2C1 ZINC000979727128 696634193 /nfs/dbraw/zinc/63/41/93/696634193.db2.gz HEGSAIHBOYLKGH-MELADBBJSA-N 1 2 323.400 1.421 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CC[C@@H](NC(=O)c3cncc4nc[nH]c43)C[C@H]2C1 ZINC000979727128 696634194 /nfs/dbraw/zinc/63/41/94/696634194.db2.gz HEGSAIHBOYLKGH-MELADBBJSA-N 1 2 323.400 1.421 20 30 DDEDLO C[C@@H]1CCC[C@@H](C)N1C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000137649695 696859082 /nfs/dbraw/zinc/85/90/82/696859082.db2.gz WIUHVKYHVVJZNG-CHWSQXEVSA-N 1 2 304.394 1.702 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000980785065 696888221 /nfs/dbraw/zinc/88/82/21/696888221.db2.gz DRCQXFZOCFBPHX-CYBMUJFWSA-N 1 2 319.380 1.767 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000980785065 696888224 /nfs/dbraw/zinc/88/82/24/696888224.db2.gz DRCQXFZOCFBPHX-CYBMUJFWSA-N 1 2 319.380 1.767 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2csc([C@H](C)OC)n2)CC1 ZINC000981164794 697023623 /nfs/dbraw/zinc/02/36/23/697023623.db2.gz GWBMDUNWJAPNOE-LBPRGKRZSA-N 1 2 307.419 1.632 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2csc([C@H](C)OC)n2)CC1 ZINC000981164794 697023626 /nfs/dbraw/zinc/02/36/26/697023626.db2.gz GWBMDUNWJAPNOE-LBPRGKRZSA-N 1 2 307.419 1.632 20 30 DDEDLO O=C(c1ncccn1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000982369201 697114958 /nfs/dbraw/zinc/11/49/58/697114958.db2.gz CHYAWQVAOLGXPI-UHFFFAOYSA-N 1 2 320.396 1.676 20 30 DDEDLO O=C(c1ncccn1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000982369201 697114959 /nfs/dbraw/zinc/11/49/59/697114959.db2.gz CHYAWQVAOLGXPI-UHFFFAOYSA-N 1 2 320.396 1.676 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000984471112 697389267 /nfs/dbraw/zinc/38/92/67/697389267.db2.gz TYVGXTHAJAVHBX-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC([N@@H+](C)Cc2nnnn2C)CC1 ZINC000985568885 697532244 /nfs/dbraw/zinc/53/22/44/697532244.db2.gz QOAKFSCGCXIJOK-UHFFFAOYSA-N 1 2 320.441 1.235 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC([N@H+](C)Cc2nnnn2C)CC1 ZINC000985568885 697532245 /nfs/dbraw/zinc/53/22/45/697532245.db2.gz QOAKFSCGCXIJOK-UHFFFAOYSA-N 1 2 320.441 1.235 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)N2CCN(C(=O)CC(C)C)CC2)CC1 ZINC000985826104 697580426 /nfs/dbraw/zinc/58/04/26/697580426.db2.gz VIBGSCMRTLNBIU-UHFFFAOYSA-N 1 2 322.453 1.100 20 30 DDEDLO C#CC[NH2+][C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)[C@H]1C ZINC000986652248 697866963 /nfs/dbraw/zinc/86/69/63/697866963.db2.gz TVVSQQJHVGCWDT-SWLSCSKDSA-N 1 2 309.373 1.298 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)/C=C\c2ccccc2F)CC1 ZINC000800021276 700181361 /nfs/dbraw/zinc/18/13/61/700181361.db2.gz YEMGMJQYLLPPQG-ZSOIEALJSA-N 1 2 322.405 1.767 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](C(C)C)[N@H+]1C[C@@H](C)O[C@@H](C)C1)[C@H]1CCCO1 ZINC000775327049 697982242 /nfs/dbraw/zinc/98/22/42/697982242.db2.gz XGKADRIWXHVBGZ-NNXHMXCWSA-N 1 2 322.449 1.417 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](C(C)C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1)[C@H]1CCCO1 ZINC000775327049 697982246 /nfs/dbraw/zinc/98/22/46/697982246.db2.gz XGKADRIWXHVBGZ-NNXHMXCWSA-N 1 2 322.449 1.417 20 30 DDEDLO CCSCCON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000778027160 698238232 /nfs/dbraw/zinc/23/82/32/698238232.db2.gz HDQTYAHXZKCPSK-UHFFFAOYSA-N 1 2 323.462 1.909 20 30 DDEDLO Cc1ncc(C(=O)N2C[C@@H]([NH2+]Cc3ccccc3C#N)C[C@@H]2C)[nH]1 ZINC000988368013 698339681 /nfs/dbraw/zinc/33/96/81/698339681.db2.gz NGHGJNVNFJORGC-LRDDRELGSA-N 1 2 323.400 1.983 20 30 DDEDLO CC(C)(C)OC(=O)C[C@@](C)(C#N)C(=O)OCCn1cc[nH+]c1 ZINC000780181165 698494760 /nfs/dbraw/zinc/49/47/60/698494760.db2.gz XCGTWOCAGXSHOZ-HNNXBMFYSA-N 1 2 307.350 1.688 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H]([NH2+]Cc3nnc(C)o3)C[C@H]2C)cc1 ZINC000989273588 698573875 /nfs/dbraw/zinc/57/38/75/698573875.db2.gz IEKAFXZGEHDYCF-MLGOLLRUSA-N 1 2 324.384 1.752 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000783424767 698840528 /nfs/dbraw/zinc/84/05/28/698840528.db2.gz KENABEMMJQWHJN-NSHDSACASA-N 1 2 317.393 1.403 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N(C)[C@@H](C)CC#N)c(N(C)C)[nH+]1 ZINC000784441188 698938743 /nfs/dbraw/zinc/93/87/43/698938743.db2.gz UYJMNGLADDAWHA-NSHDSACASA-N 1 2 303.366 1.155 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)OC(=O)COc1cccc(C#N)c1 ZINC000784908428 699046138 /nfs/dbraw/zinc/04/61/38/699046138.db2.gz ZYNNYQVBXJBSET-CYBMUJFWSA-N 1 2 304.346 1.201 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)CN1C[C@H](OC)CC1=O ZINC000787212064 699197202 /nfs/dbraw/zinc/19/72/02/699197202.db2.gz UIVYEJUSKJPPMA-MRXNPFEDSA-N 1 2 317.389 1.211 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)CN1C[C@H](OC)CC1=O ZINC000787212064 699197203 /nfs/dbraw/zinc/19/72/03/699197203.db2.gz UIVYEJUSKJPPMA-MRXNPFEDSA-N 1 2 317.389 1.211 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)c3cc4c[nH+]ccc4[nH]3)CCC[C@H]12 ZINC000991380926 699310455 /nfs/dbraw/zinc/31/04/55/699310455.db2.gz AWFIDUXVHTYRRT-DOTOQJQBSA-N 1 2 309.373 1.813 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2oc(CC3CC3)nc2C)CC1 ZINC000789751292 699406370 /nfs/dbraw/zinc/40/63/70/699406370.db2.gz CKGZQNGBBKDASX-UHFFFAOYSA-N 1 2 301.390 1.717 20 30 DDEDLO COc1cccc([C@@H](C#N)NC(=O)CCn2cc[nH+]c2)c1OC ZINC000729434021 699479102 /nfs/dbraw/zinc/47/91/02/699479102.db2.gz PELOBKPGUYJWKO-CYBMUJFWSA-N 1 2 314.345 1.671 20 30 DDEDLO C[C@@H](NC(=S)Nc1ccccc1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000729647260 699484395 /nfs/dbraw/zinc/48/43/95/699484395.db2.gz IZCIHYFXQAOXMG-OLZOCXBDSA-N 1 2 318.446 1.954 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1nc(-c2ccccc2)no1 ZINC000730275466 699505591 /nfs/dbraw/zinc/50/55/91/699505591.db2.gz HSEKYNLDDHEILZ-AWEZNQCLSA-N 1 2 310.357 1.450 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1nc(-c2ccccc2)no1 ZINC000730275466 699505592 /nfs/dbraw/zinc/50/55/92/699505592.db2.gz HSEKYNLDDHEILZ-AWEZNQCLSA-N 1 2 310.357 1.450 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(CCC(=O)OC)cc1 ZINC000730284734 699506926 /nfs/dbraw/zinc/50/69/26/699506926.db2.gz BKEICVXLHMYXOX-INIZCTEOSA-N 1 2 314.385 1.828 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(CCC(=O)OC)cc1 ZINC000730284734 699506927 /nfs/dbraw/zinc/50/69/27/699506927.db2.gz BKEICVXLHMYXOX-INIZCTEOSA-N 1 2 314.385 1.828 20 30 DDEDLO C=CCCC[N@@H+](C)Cn1nc(C)n(CC(=O)N(C)C)c1=S ZINC000736582052 699727441 /nfs/dbraw/zinc/72/74/41/699727441.db2.gz GKESGZCYTDLWPB-UHFFFAOYSA-N 1 2 311.455 1.666 20 30 DDEDLO C=CCCC[N@H+](C)Cn1nc(C)n(CC(=O)N(C)C)c1=S ZINC000736582052 699727443 /nfs/dbraw/zinc/72/74/43/699727443.db2.gz GKESGZCYTDLWPB-UHFFFAOYSA-N 1 2 311.455 1.666 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CCC[C@H](CCO)C1 ZINC000741787728 699852421 /nfs/dbraw/zinc/85/24/21/699852421.db2.gz KNESSRPAZSNLEB-ZBFHGGJFSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CCC[C@H](CCO)C1 ZINC000741787728 699852424 /nfs/dbraw/zinc/85/24/24/699852424.db2.gz KNESSRPAZSNLEB-ZBFHGGJFSA-N 1 2 302.374 1.867 20 30 DDEDLO CC(C)NC(=O)OC[C@@H]1CCCC[N@@H+]1CC(=O)NCCC#N ZINC000796717670 699948799 /nfs/dbraw/zinc/94/87/99/699948799.db2.gz QUOHYBJLODBAEO-ZDUSSCGKSA-N 1 2 310.398 1.005 20 30 DDEDLO CC(C)NC(=O)OC[C@@H]1CCCC[N@H+]1CC(=O)NCCC#N ZINC000796717670 699948800 /nfs/dbraw/zinc/94/88/00/699948800.db2.gz QUOHYBJLODBAEO-ZDUSSCGKSA-N 1 2 310.398 1.005 20 30 DDEDLO N#Cc1ccc(NC(=O)CC[N@@H+]2CCO[C@H](C(F)F)C2)cc1 ZINC000801565762 700322150 /nfs/dbraw/zinc/32/21/50/700322150.db2.gz ILUWMJDQINPBNM-ZDUSSCGKSA-N 1 2 309.316 1.853 20 30 DDEDLO N#Cc1ccc(NC(=O)CC[N@H+]2CCO[C@H](C(F)F)C2)cc1 ZINC000801565762 700322154 /nfs/dbraw/zinc/32/21/54/700322154.db2.gz ILUWMJDQINPBNM-ZDUSSCGKSA-N 1 2 309.316 1.853 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)O[C@@H]1CC[N@H+](CCF)C1 ZINC000802239818 700387553 /nfs/dbraw/zinc/38/75/53/700387553.db2.gz MYYHSOAHAVEAAX-TZMCWYRMSA-N 1 2 306.337 1.913 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)O[C@@H]1CC[N@@H+](CCF)C1 ZINC000802239818 700387557 /nfs/dbraw/zinc/38/75/57/700387557.db2.gz MYYHSOAHAVEAAX-TZMCWYRMSA-N 1 2 306.337 1.913 20 30 DDEDLO C[N@@H+](Cc1ccc2c(c1)OCCO2)Cn1cccc(C#N)c1=O ZINC000758181384 700687378 /nfs/dbraw/zinc/68/73/78/700687378.db2.gz MPGIIOOSEMIACQ-UHFFFAOYSA-N 1 2 311.341 1.581 20 30 DDEDLO C[N@H+](Cc1ccc2c(c1)OCCO2)Cn1cccc(C#N)c1=O ZINC000758181384 700687381 /nfs/dbraw/zinc/68/73/81/700687381.db2.gz MPGIIOOSEMIACQ-UHFFFAOYSA-N 1 2 311.341 1.581 20 30 DDEDLO N#Cc1cccn(C[N@H+]2CCC[C@@H](C(=O)N3CCCC3)C2)c1=O ZINC000758228279 700692021 /nfs/dbraw/zinc/69/20/21/700692021.db2.gz XUTKDJUMLZNUIP-OAHLLOKOSA-N 1 2 314.389 1.012 20 30 DDEDLO N#Cc1cccn(C[N@@H+]2CCC[C@@H](C(=O)N3CCCC3)C2)c1=O ZINC000758228279 700692023 /nfs/dbraw/zinc/69/20/23/700692023.db2.gz XUTKDJUMLZNUIP-OAHLLOKOSA-N 1 2 314.389 1.012 20 30 DDEDLO C[C@@H](c1ccc(F)cc1F)N1CC[NH+](C[C@H](O)CC#N)CC1 ZINC000809574405 701670832 /nfs/dbraw/zinc/67/08/32/701670832.db2.gz LULDWHJACPSOLK-GXTWGEPZSA-N 1 2 309.360 1.918 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1CC(=O)N(CN2CCCC(C)(C)[C@@H]2C#N)C1 ZINC000766113913 701038161 /nfs/dbraw/zinc/03/81/61/701038161.db2.gz URNRKPXHBIHJGY-ZFWWWQNUSA-N 1 2 315.421 1.708 20 30 DDEDLO N#CCn1c(C[N@@H+]2CCC23CCOCC3)nc2ccccc2c1=O ZINC000769894665 701260480 /nfs/dbraw/zinc/26/04/80/701260480.db2.gz WDCOYSKPJDFLOZ-UHFFFAOYSA-N 1 2 324.384 1.675 20 30 DDEDLO N#CCn1c(C[N@H+]2CCC23CCOCC3)nc2ccccc2c1=O ZINC000769894665 701260481 /nfs/dbraw/zinc/26/04/81/701260481.db2.gz WDCOYSKPJDFLOZ-UHFFFAOYSA-N 1 2 324.384 1.675 20 30 DDEDLO N#Cc1c2c(cn(C[N@@H+]3CCC[C@]4(CCOC4)C3)c1=O)CCC2 ZINC000840143755 701994300 /nfs/dbraw/zinc/99/43/00/701994300.db2.gz KFMANENGSJCWNE-SFHVURJKSA-N 1 2 313.401 1.669 20 30 DDEDLO N#Cc1c2c(cn(C[N@H+]3CCC[C@]4(CCOC4)C3)c1=O)CCC2 ZINC000840143755 701994304 /nfs/dbraw/zinc/99/43/04/701994304.db2.gz KFMANENGSJCWNE-SFHVURJKSA-N 1 2 313.401 1.669 20 30 DDEDLO CN(C)c1ncc(C=[NH+]Nc2cccc(S(C)(=O)=O)c2)n1C ZINC000811646574 702020779 /nfs/dbraw/zinc/02/07/79/702020779.db2.gz DMCZLBXWVYRDGR-UHFFFAOYSA-N 1 2 321.406 1.336 20 30 DDEDLO C#CCCCCNC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000868398704 702107718 /nfs/dbraw/zinc/10/77/18/702107718.db2.gz VMFWPLNJCCCOAQ-UHFFFAOYSA-N 1 2 315.421 1.150 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@H](CNc3cnc(C#N)cn3)C2)cc1 ZINC000866189622 706644020 /nfs/dbraw/zinc/64/40/20/706644020.db2.gz CKXAZDBXEVURHY-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@H](CNc3cnc(C#N)cn3)C2)cc1 ZINC000866189622 706644025 /nfs/dbraw/zinc/64/40/25/706644025.db2.gz CKXAZDBXEVURHY-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)N[C@H]1CCn3cc[nH+]c3C1)C2 ZINC000868519159 702173297 /nfs/dbraw/zinc/17/32/97/702173297.db2.gz BQOWYZPWWOXYEX-JKSUJKDBSA-N 1 2 321.384 1.536 20 30 DDEDLO COC[C@]1(C(=O)OC(C)(C)C)C[N@@H+]([C@H]2CC[C@@H](C#N)C2)CCO1 ZINC000879358240 706659617 /nfs/dbraw/zinc/65/96/17/706659617.db2.gz FZTIQQNXCASCDU-KEYYUXOJSA-N 1 2 324.421 1.738 20 30 DDEDLO COC[C@]1(C(=O)OC(C)(C)C)C[N@H+]([C@H]2CC[C@@H](C#N)C2)CCO1 ZINC000879358240 706659620 /nfs/dbraw/zinc/65/96/20/706659620.db2.gz FZTIQQNXCASCDU-KEYYUXOJSA-N 1 2 324.421 1.738 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@](COC)(C(=O)OC(C)(C)C)C1 ZINC000879359903 706659989 /nfs/dbraw/zinc/65/99/89/706659989.db2.gz WTTMXUIJMQTNGJ-INIZCTEOSA-N 1 2 315.410 1.248 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@](COC)(C(=O)OC(C)(C)C)C1 ZINC000879359903 706659991 /nfs/dbraw/zinc/65/99/91/706659991.db2.gz WTTMXUIJMQTNGJ-INIZCTEOSA-N 1 2 315.410 1.248 20 30 DDEDLO CC(C)[C@H](O[NH+]=C(N)c1ccc2c(c1)CCO2)C(=O)N(C)C ZINC000842036070 702660607 /nfs/dbraw/zinc/66/06/07/702660607.db2.gz RNRVSRPREPIRHB-AWEZNQCLSA-N 1 2 305.378 1.371 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2nc(C3CC3)nn2C)cc1 ZINC000842648751 702749895 /nfs/dbraw/zinc/74/98/95/702749895.db2.gz LLUMVPFFTHYZNJ-UHFFFAOYSA-N 1 2 301.350 1.538 20 30 DDEDLO C=CCCn1cc(C(=O)OCC2CN(c3cccc[nH+]3)C2)nn1 ZINC000842884483 702786973 /nfs/dbraw/zinc/78/69/73/702786973.db2.gz RWCSTPPWGPZXJK-UHFFFAOYSA-N 1 2 313.361 1.542 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCCC[C@@H]3C3OCCO3)cnc12 ZINC000843393487 702872416 /nfs/dbraw/zinc/87/24/16/702872416.db2.gz LWKCGJFDYKIMHA-CQSZACIVSA-N 1 2 313.361 1.328 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCCC[C@@H]3C3OCCO3)cnc12 ZINC000843393487 702872420 /nfs/dbraw/zinc/87/24/20/702872420.db2.gz LWKCGJFDYKIMHA-CQSZACIVSA-N 1 2 313.361 1.328 20 30 DDEDLO COCc1cc(C[N@H+](C)C[C@H](O)c2cccc(C#N)c2)no1 ZINC000846006224 703225785 /nfs/dbraw/zinc/22/57/85/703225785.db2.gz HLUSLEIEXIZOII-INIZCTEOSA-N 1 2 301.346 1.858 20 30 DDEDLO COCc1cc(C[N@@H+](C)C[C@H](O)c2cccc(C#N)c2)no1 ZINC000846006224 703225787 /nfs/dbraw/zinc/22/57/87/703225787.db2.gz HLUSLEIEXIZOII-INIZCTEOSA-N 1 2 301.346 1.858 20 30 DDEDLO CCNc1ccc(C#N)cc1NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000866567377 706731512 /nfs/dbraw/zinc/73/15/12/706731512.db2.gz PYNZHKZHUOEDCX-ARJAWSKDSA-N 1 2 314.389 1.817 20 30 DDEDLO C=CCCn1cc(CN2CCC[C@H](c3[nH+]ccn3C)C2)nn1 ZINC000846878951 703345995 /nfs/dbraw/zinc/34/59/95/703345995.db2.gz KOVJPJAWYVHKDU-AWEZNQCLSA-N 1 2 300.410 1.967 20 30 DDEDLO N#Cc1c(=O)[nH]cc2c1CCCC2=NNCCCn1cc[nH+]c1 ZINC000848416785 703547978 /nfs/dbraw/zinc/54/79/78/703547978.db2.gz YHHHZLLRHXWUHP-UHFFFAOYSA-N 1 2 310.361 1.576 20 30 DDEDLO CC(C)(C)n1nnc(C[NH2+]C[C@H](O)c2ccc(C#N)cc2)n1 ZINC000848679657 703575174 /nfs/dbraw/zinc/57/51/74/703575174.db2.gz YCBBITFMHDMSEP-ZDUSSCGKSA-N 1 2 300.366 1.123 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)OC(C)(C)C)C2)nn1 ZINC000849138824 703618672 /nfs/dbraw/zinc/61/86/72/703618672.db2.gz QECKZPDDWLBPFS-UHFFFAOYSA-N 1 2 305.382 1.183 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH2+][C@@H](c2cccc(OC)c2)C1 ZINC000870151708 703934483 /nfs/dbraw/zinc/93/44/83/703934483.db2.gz BORXTEZQZSDXOG-XJKSGUPXSA-N 1 2 302.374 1.206 20 30 DDEDLO COc1cccc([C@H]2CN(C(=O)c3ccc(C#N)n3C)CC[NH2+]2)c1 ZINC000870154253 703936150 /nfs/dbraw/zinc/93/61/50/703936150.db2.gz IDVRLHPWZJXZEM-MRXNPFEDSA-N 1 2 324.384 1.692 20 30 DDEDLO COC(=O)N(C)CC[N@H+](C)Cc1cn2ccccc2c1C#N ZINC000852570378 704077440 /nfs/dbraw/zinc/07/74/40/704077440.db2.gz BDGCABYLPDUDGZ-UHFFFAOYSA-N 1 2 300.362 1.941 20 30 DDEDLO COC(=O)N(C)CC[N@@H+](C)Cc1cn2ccccc2c1C#N ZINC000852570378 704077443 /nfs/dbraw/zinc/07/74/43/704077443.db2.gz BDGCABYLPDUDGZ-UHFFFAOYSA-N 1 2 300.362 1.941 20 30 DDEDLO COc1ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc1NC(C)=O ZINC000819401362 704120863 /nfs/dbraw/zinc/12/08/63/704120863.db2.gz ZYTXPECATUYYRU-AWEZNQCLSA-N 1 2 320.393 1.272 20 30 DDEDLO COc1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc1NC(C)=O ZINC000819401362 704120864 /nfs/dbraw/zinc/12/08/64/704120864.db2.gz ZYTXPECATUYYRU-AWEZNQCLSA-N 1 2 320.393 1.272 20 30 DDEDLO CO[C@@H]1CCOc2c(NC[C@@H](O)C[N@H+](C)CCC#N)cccc21 ZINC000819481208 704131971 /nfs/dbraw/zinc/13/19/71/704131971.db2.gz MIJAWQJPMYLZLH-CZUORRHYSA-N 1 2 319.405 1.775 20 30 DDEDLO CO[C@@H]1CCOc2c(NC[C@@H](O)C[N@@H+](C)CCC#N)cccc21 ZINC000819481208 704131973 /nfs/dbraw/zinc/13/19/73/704131973.db2.gz MIJAWQJPMYLZLH-CZUORRHYSA-N 1 2 319.405 1.775 20 30 DDEDLO C#CC[NH+]1CCN(c2ncnc3c2ncn3-c2ccccc2)CC1 ZINC000853132739 704202362 /nfs/dbraw/zinc/20/23/62/704202362.db2.gz XQKOAGGDTUKFNC-UHFFFAOYSA-N 1 2 318.384 1.571 20 30 DDEDLO C=CC[N@@H+](CN1C[C@](C)(C(=O)OCC)CC1=O)[C@@H](C)COC ZINC000853534360 704261716 /nfs/dbraw/zinc/26/17/16/704261716.db2.gz JQGHXSLNAGHKAK-XJKSGUPXSA-N 1 2 312.410 1.269 20 30 DDEDLO C=CC[N@H+](CN1C[C@](C)(C(=O)OCC)CC1=O)[C@@H](C)COC ZINC000853534360 704261717 /nfs/dbraw/zinc/26/17/17/704261717.db2.gz JQGHXSLNAGHKAK-XJKSGUPXSA-N 1 2 312.410 1.269 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)[C@@]2(CCCO2)[C@@H]1C(C)C ZINC000853620768 704287509 /nfs/dbraw/zinc/28/75/09/704287509.db2.gz DBEKECPOUBQZHV-DOTOQJQBSA-N 1 2 308.422 1.332 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)[C@@]2(CCCO2)[C@@H]1C(C)C ZINC000853620768 704287510 /nfs/dbraw/zinc/28/75/10/704287510.db2.gz DBEKECPOUBQZHV-DOTOQJQBSA-N 1 2 308.422 1.332 20 30 DDEDLO CN(C(=O)c1cc(C#N)ccc1F)C(C)(C)C[NH+]1CCOCC1 ZINC000854949237 704463439 /nfs/dbraw/zinc/46/34/39/704463439.db2.gz PIECCANNJWZFGQ-UHFFFAOYSA-N 1 2 319.380 1.880 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(F)c(C#N)c1 ZINC000874706337 705139315 /nfs/dbraw/zinc/13/93/15/705139315.db2.gz NSMTZHKVEQEJKQ-CQSZACIVSA-N 1 2 305.353 1.538 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(F)c(C#N)c1 ZINC000874706337 705139320 /nfs/dbraw/zinc/13/93/20/705139320.db2.gz NSMTZHKVEQEJKQ-CQSZACIVSA-N 1 2 305.353 1.538 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@H+]1CCOC[C@@H]1CC ZINC000875727107 705490894 /nfs/dbraw/zinc/49/08/94/705490894.db2.gz VXEGYDNVYUGBSR-AWEZNQCLSA-N 1 2 315.483 1.706 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@@H+]1CCOC[C@@H]1CC ZINC000875727107 705490901 /nfs/dbraw/zinc/49/09/01/705490901.db2.gz VXEGYDNVYUGBSR-AWEZNQCLSA-N 1 2 315.483 1.706 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)co1 ZINC000825104898 705601504 /nfs/dbraw/zinc/60/15/04/705601504.db2.gz DIEFDSPFSBIUQZ-UHFFFAOYSA-N 1 2 310.357 1.547 20 30 DDEDLO C=C(Cl)C[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C(=O)OCC ZINC000825147921 705609463 /nfs/dbraw/zinc/60/94/63/705609463.db2.gz RXVGUKMELBUNPU-GMTAPVOTSA-N 1 2 311.769 1.704 20 30 DDEDLO C=C(Cl)C[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C(=O)OCC ZINC000825147921 705609465 /nfs/dbraw/zinc/60/94/65/705609465.db2.gz RXVGUKMELBUNPU-GMTAPVOTSA-N 1 2 311.769 1.704 20 30 DDEDLO Cc1cccc(O)c1C[N@H+](CCC#N)CCN1CCOCC1 ZINC000876510787 705740877 /nfs/dbraw/zinc/74/08/77/705740877.db2.gz PTTHKXAYORTOOV-UHFFFAOYSA-N 1 2 303.406 1.749 20 30 DDEDLO Cc1cccc(O)c1C[N@@H+](CCC#N)CCN1CCOCC1 ZINC000876510787 705740879 /nfs/dbraw/zinc/74/08/79/705740879.db2.gz PTTHKXAYORTOOV-UHFFFAOYSA-N 1 2 303.406 1.749 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)C1 ZINC000826046204 705763431 /nfs/dbraw/zinc/76/34/31/705763431.db2.gz LISJSDITKCMICZ-ARFHVFGLSA-N 1 2 307.438 1.541 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C)n1cnc(C#N)n1 ZINC000826696836 705849633 /nfs/dbraw/zinc/84/96/33/705849633.db2.gz QPWBRWYRSKUGNL-BXUZGUMPSA-N 1 2 310.361 1.014 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C)n1cnc(C#N)n1 ZINC000826696836 705849635 /nfs/dbraw/zinc/84/96/35/705849635.db2.gz QPWBRWYRSKUGNL-BXUZGUMPSA-N 1 2 310.361 1.014 20 30 DDEDLO C[C@H](C(=O)N(C)CCc1cccc(C#N)c1)[NH+]1CCN(C)CC1 ZINC000863435766 705928301 /nfs/dbraw/zinc/92/83/01/705928301.db2.gz UWYMUGNEQKKEFM-OAHLLOKOSA-N 1 2 314.433 1.195 20 30 DDEDLO COCOc1ccc(OC)cc1C[NH+]1CCN(CCC#N)CC1 ZINC000877747906 706197314 /nfs/dbraw/zinc/19/73/14/706197314.db2.gz JGQMBAGAEJLHLE-UHFFFAOYSA-N 1 2 319.405 1.709 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCCN(C(=O)c2ccccc2)CC1 ZINC000877930719 706243729 /nfs/dbraw/zinc/24/37/29/706243729.db2.gz QTEKDWAHVJECSZ-INIZCTEOSA-N 1 2 316.401 1.952 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCCN(C(=O)c2ccccc2)CC1 ZINC000877930719 706243730 /nfs/dbraw/zinc/24/37/30/706243730.db2.gz QTEKDWAHVJECSZ-INIZCTEOSA-N 1 2 316.401 1.952 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+]1CC[C@@](C#N)(C(C)=O)c1ccccc1 ZINC000877991212 706259442 /nfs/dbraw/zinc/25/94/42/706259442.db2.gz HAGHIBQDJFNHBP-FUHWJXTLSA-N 1 2 313.401 1.637 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+]1CC[C@@](C#N)(C(C)=O)c1ccccc1 ZINC000877991212 706259446 /nfs/dbraw/zinc/25/94/46/706259446.db2.gz HAGHIBQDJFNHBP-FUHWJXTLSA-N 1 2 313.401 1.637 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCN(CC(F)(F)F)C[C@H](C)C1 ZINC000878685830 706468953 /nfs/dbraw/zinc/46/89/53/706468953.db2.gz NLKHJXWPOWNAQE-RYUDHWBXSA-N 1 2 308.344 1.920 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCN(CC(F)(F)F)C[C@H](C)C1 ZINC000878685830 706468956 /nfs/dbraw/zinc/46/89/56/706468956.db2.gz NLKHJXWPOWNAQE-RYUDHWBXSA-N 1 2 308.344 1.920 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1CC[NH+](CCS(C)(=O)=O)CC1 ZINC000878793649 706499971 /nfs/dbraw/zinc/49/99/71/706499971.db2.gz QVIFLGFRBWJZAX-UHFFFAOYSA-N 1 2 316.467 1.214 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCNC(=O)CC23CCC3)CCCCC1 ZINC000880173518 706901633 /nfs/dbraw/zinc/90/16/33/706901633.db2.gz ZBNJDYXVGJWZFG-UHFFFAOYSA-N 1 2 318.421 1.074 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCNC(=O)CC23CCC3)CCCCC1 ZINC000880173518 706901637 /nfs/dbraw/zinc/90/16/37/706901637.db2.gz ZBNJDYXVGJWZFG-UHFFFAOYSA-N 1 2 318.421 1.074 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC000867281334 706946980 /nfs/dbraw/zinc/94/69/80/706946980.db2.gz FETDNESSJUDRCU-INIZCTEOSA-N 1 2 315.417 1.714 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC000867281334 706946981 /nfs/dbraw/zinc/94/69/81/706946981.db2.gz FETDNESSJUDRCU-INIZCTEOSA-N 1 2 315.417 1.714 20 30 DDEDLO C#CCn1cc(CNC(=O)[C@H](CC)[N@@H+]2CCO[C@@H](CC)C2)cn1 ZINC000834615037 707094020 /nfs/dbraw/zinc/09/40/20/707094020.db2.gz ZLMNIVOUWKOGAW-HOTGVXAUSA-N 1 2 318.421 1.022 20 30 DDEDLO C#CCn1cc(CNC(=O)[C@H](CC)[N@H+]2CCO[C@@H](CC)C2)cn1 ZINC000834615037 707094021 /nfs/dbraw/zinc/09/40/21/707094021.db2.gz ZLMNIVOUWKOGAW-HOTGVXAUSA-N 1 2 318.421 1.022 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)c2ccc(F)cc2C)nn1 ZINC000881413677 707245845 /nfs/dbraw/zinc/24/58/45/707245845.db2.gz AYBRSXKRLBVTLP-INIZCTEOSA-N 1 2 302.353 1.572 20 30 DDEDLO Cc1ccc(S(=O)(=O)N[C@H](C)Cn2cc[nH+]c2)c(C#N)c1 ZINC000872437875 707410883 /nfs/dbraw/zinc/41/08/83/707410883.db2.gz ROSMXKGFCIEGIL-GFCCVEGCSA-N 1 2 304.375 1.430 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@@H+](C)[C@H](C)[C@H]2C)c(C#N)c1 ZINC000872468476 707429779 /nfs/dbraw/zinc/42/97/79/707429779.db2.gz VMDSYNVPAVKQOM-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@H+](C)[C@H](C)[C@H]2C)c(C#N)c1 ZINC000872468476 707429782 /nfs/dbraw/zinc/42/97/82/707429782.db2.gz VMDSYNVPAVKQOM-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO C=CCC[C@@H](NC(=O)c1cccc2[nH+]ccn21)C(=O)OCC ZINC000837027548 707567597 /nfs/dbraw/zinc/56/75/97/707567597.db2.gz YVNBRFJBCKDGBR-GFCCVEGCSA-N 1 2 301.346 1.962 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@H+]2CCOCC2(C)C)CC1 ZINC000872899917 707644350 /nfs/dbraw/zinc/64/43/50/707644350.db2.gz ODRZHVQNWXZDGO-SHTZXODSSA-N 1 2 307.438 1.588 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@@H+]2CCOCC2(C)C)CC1 ZINC000872899917 707644353 /nfs/dbraw/zinc/64/43/53/707644353.db2.gz ODRZHVQNWXZDGO-SHTZXODSSA-N 1 2 307.438 1.588 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1c(F)cccc1OCC ZINC000873102633 707732712 /nfs/dbraw/zinc/73/27/12/707732712.db2.gz SBGPSMPQIMXVJN-UHFFFAOYSA-N 1 2 301.383 1.915 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[NH+]1CCC(c2nnc[nH]2)CC1 ZINC000838866779 707992472 /nfs/dbraw/zinc/99/24/72/707992472.db2.gz FJDUMZSKBPLKTI-UHFFFAOYSA-N 1 2 312.333 1.964 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(c2ncccc2[N+](=O)[O-])CC1 ZINC000839032427 708018917 /nfs/dbraw/zinc/01/89/17/708018917.db2.gz OXTZNGMZROEDDL-LBPRGKRZSA-N 1 2 305.338 1.040 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)CCOc1ccccc1F ZINC000884061720 708122458 /nfs/dbraw/zinc/12/24/58/708122458.db2.gz QNQLQNZGAXSUPG-ZDUSSCGKSA-N 1 2 324.352 1.110 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CC[C@H]2CCCC[C@H]2C1 ZINC000884062003 708122614 /nfs/dbraw/zinc/12/26/14/708122614.db2.gz BXHXQHRWDNWVRL-BARDWOONSA-N 1 2 308.422 1.908 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)COc1cccc(C)c1 ZINC000884098641 708137849 /nfs/dbraw/zinc/13/78/49/708137849.db2.gz PVHFIWNPOYGWBN-ZFWWWQNUSA-N 1 2 320.389 1.325 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)C(C)(C)c1ccccc1 ZINC000884117134 708146307 /nfs/dbraw/zinc/14/63/07/708146307.db2.gz QNLPNJTUUXXEQF-ZFWWWQNUSA-N 1 2 318.417 1.916 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)Oc1ccccc1F ZINC000884155750 708164199 /nfs/dbraw/zinc/16/41/99/708164199.db2.gz JRYBSFOHRWEZML-YPMHNXCESA-N 1 2 324.352 1.156 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1ccc(SC)cc1 ZINC000884317590 708241574 /nfs/dbraw/zinc/24/15/74/708241574.db2.gz YKWOMPVAOOWDSA-AWEZNQCLSA-N 1 2 322.430 1.514 20 30 DDEDLO C#CCN(CC#N)Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000897163816 708242565 /nfs/dbraw/zinc/24/25/65/708242565.db2.gz BMBAGYSWEWXKPH-UHFFFAOYSA-N 1 2 313.401 1.356 20 30 DDEDLO N#Cc1csc(CNC(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)n1 ZINC000897296523 708282574 /nfs/dbraw/zinc/28/25/74/708282574.db2.gz VOCGDJKEIOLIOD-SNVBAGLBSA-N 1 2 316.390 1.827 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1[C@@H]2Cc3cc(F)ccc3[C@@H]21 ZINC000884417384 708288821 /nfs/dbraw/zinc/28/88/21/708288821.db2.gz GAUIZEHNVNDHTE-BFJAYTPKSA-N 1 2 318.348 1.027 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCCc2c(C)cccc21 ZINC000884418456 708289263 /nfs/dbraw/zinc/28/92/63/708289263.db2.gz JZJIOXVLPLKGLE-HOTGVXAUSA-N 1 2 316.401 1.935 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(OCCC)c(F)c1 ZINC000884443584 708299461 /nfs/dbraw/zinc/29/94/61/708299461.db2.gz LAGRPEOTUYUBPK-ZDUSSCGKSA-N 1 2 324.352 2.000 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000897360282 708304349 /nfs/dbraw/zinc/30/43/49/708304349.db2.gz BWPGSDWJVCPZRC-HUUCEWRRSA-N 1 2 300.406 1.677 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000897360282 708304353 /nfs/dbraw/zinc/30/43/53/708304353.db2.gz BWPGSDWJVCPZRC-HUUCEWRRSA-N 1 2 300.406 1.677 20 30 DDEDLO N#CCCc1ccc(S(=O)(=O)NCCn2cc[nH+]c2)cc1 ZINC000884885207 708407399 /nfs/dbraw/zinc/40/73/99/708407399.db2.gz WEXLMNKBUJEACU-UHFFFAOYSA-N 1 2 304.375 1.318 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)/C=C/c2ccccc2F)C1 ZINC000885509261 708562433 /nfs/dbraw/zinc/56/24/33/708562433.db2.gz RBIFUVKXOVDMOT-SAAWKEMMSA-N 1 2 322.405 1.813 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)/C=C/c2ccccc2F)C1 ZINC000885509261 708562436 /nfs/dbraw/zinc/56/24/36/708562436.db2.gz RBIFUVKXOVDMOT-SAAWKEMMSA-N 1 2 322.405 1.813 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)CC1(C#N)CCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000886064786 708691105 /nfs/dbraw/zinc/69/11/05/708691105.db2.gz ILKXRKLDXLBUFE-KGLIPLIRSA-N 1 2 312.435 1.106 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)CC1(C#N)CCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000886064786 708691106 /nfs/dbraw/zinc/69/11/06/708691106.db2.gz ILKXRKLDXLBUFE-KGLIPLIRSA-N 1 2 312.435 1.106 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)/C=C/C(=O)c2ccc(C)cc2)CC1 ZINC000900475376 709613725 /nfs/dbraw/zinc/61/37/25/709613725.db2.gz ZDEUTOSYADYCDR-MDZDMXLPSA-N 1 2 310.397 1.901 20 30 DDEDLO CNc1cc(N2CCN(c3ccc(C#N)cc3F)CC2)nc[nH+]1 ZINC000892254070 710404575 /nfs/dbraw/zinc/40/45/75/710404575.db2.gz LKQPAPLSSJYHIQ-UHFFFAOYSA-N 1 2 312.352 1.856 20 30 DDEDLO CNc1cc(N2CCN(c3ccc(C#N)cc3F)CC2)[nH+]cn1 ZINC000892254070 710404576 /nfs/dbraw/zinc/40/45/76/710404576.db2.gz LKQPAPLSSJYHIQ-UHFFFAOYSA-N 1 2 312.352 1.856 20 30 DDEDLO O=C1[C@@H]2CCC[C@@H]2CN1C[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000902610804 710815271 /nfs/dbraw/zinc/81/52/71/710815271.db2.gz ZBUMGNPNYZYWPZ-UKRRQHHQSA-N 1 2 304.390 1.540 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@@H+]2CCC[C@@H](F)C2)CC1 ZINC000902995126 710989477 /nfs/dbraw/zinc/98/94/77/710989477.db2.gz LSMBWOJCNYVMJB-CABCVRRESA-N 1 2 310.417 1.113 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@H+]2CCC[C@@H](F)C2)CC1 ZINC000902995126 710989481 /nfs/dbraw/zinc/98/94/81/710989481.db2.gz LSMBWOJCNYVMJB-CABCVRRESA-N 1 2 310.417 1.113 20 30 DDEDLO COc1cc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)ccc1C#N ZINC000913457729 713224645 /nfs/dbraw/zinc/22/46/45/713224645.db2.gz FQVXUZRXKHHLFC-CQSZACIVSA-N 1 2 311.345 1.077 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2cccc(Cl)c2)no1 ZINC000904079349 711376111 /nfs/dbraw/zinc/37/61/11/711376111.db2.gz CRVKOJRBWVFWLB-LBPRGKRZSA-N 1 2 321.764 1.913 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(-c2cc(C)ccc2F)no1 ZINC000904110502 711384964 /nfs/dbraw/zinc/38/49/64/711384964.db2.gz VKQHDAVIIXEGFT-LBPRGKRZSA-N 1 2 305.309 1.783 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000906177837 712261012 /nfs/dbraw/zinc/26/10/12/712261012.db2.gz WZQSWNGUDSUUGR-OAHLLOKOSA-N 1 2 315.417 1.555 20 30 DDEDLO CN(Cc1cc(C#N)cs1)S(=O)(=O)CCn1cc[nH+]c1 ZINC000907567329 712596295 /nfs/dbraw/zinc/59/62/95/712596295.db2.gz DSOZNPWAFYUQCU-UHFFFAOYSA-N 1 2 310.404 1.278 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2ccsc2C#N)C[C@H](C)O1 ZINC000907837988 712633495 /nfs/dbraw/zinc/63/34/95/712633495.db2.gz AKCADNSZBMQCPY-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2ccsc2C#N)C[C@H](C)O1 ZINC000907837988 712633496 /nfs/dbraw/zinc/63/34/96/712633496.db2.gz AKCADNSZBMQCPY-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO C#Cc1cccc(NC(=O)N(C)[C@H](C)C[NH+]2CCOCC2)c1 ZINC000929485323 713622311 /nfs/dbraw/zinc/62/23/11/713622311.db2.gz GZHRFBAQCFEEPJ-CQSZACIVSA-N 1 2 301.390 1.852 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000931143345 714014365 /nfs/dbraw/zinc/01/43/65/714014365.db2.gz HKQVJYLGRSEEHE-HNNXBMFYSA-N 1 2 302.349 1.391 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C)C(=O)Nc2ccc(F)cc2F)CC1 ZINC000931145595 714015335 /nfs/dbraw/zinc/01/53/35/714015335.db2.gz UFQXOPRSCZWACH-NSHDSACASA-N 1 2 308.328 1.752 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2ccc(F)c(Cl)c2)CC1 ZINC000931146185 714015768 /nfs/dbraw/zinc/01/57/68/714015768.db2.gz VEFTYIVJSMRVRA-UHFFFAOYSA-N 1 2 310.756 1.878 20 30 DDEDLO C[N@H+](CCCCC1(C#N)CCC1)Cc1nnc2c(=O)[nH]ccn12 ZINC000931696876 714164020 /nfs/dbraw/zinc/16/40/20/714164020.db2.gz FWNIGOMJHAQHQY-UHFFFAOYSA-N 1 2 314.393 1.714 20 30 DDEDLO C[N@@H+](CCCCC1(C#N)CCC1)Cc1nnc2c(=O)[nH]ccn12 ZINC000931696876 714164022 /nfs/dbraw/zinc/16/40/22/714164022.db2.gz FWNIGOMJHAQHQY-UHFFFAOYSA-N 1 2 314.393 1.714 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1sccc1C#N ZINC000931835178 714193702 /nfs/dbraw/zinc/19/37/02/714193702.db2.gz OLJOSLUSHVQUBV-MNOVXSKESA-N 1 2 308.407 1.850 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1sccc1C#N ZINC000931835178 714193704 /nfs/dbraw/zinc/19/37/04/714193704.db2.gz OLJOSLUSHVQUBV-MNOVXSKESA-N 1 2 308.407 1.850 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1sccc1C#N ZINC000931846476 714196173 /nfs/dbraw/zinc/19/61/73/714196173.db2.gz KWROXXNUXGVJPZ-NSHDSACASA-N 1 2 307.423 1.377 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)no1 ZINC000931938368 714216598 /nfs/dbraw/zinc/21/65/98/714216598.db2.gz QNCWZAQVAUGYSS-CMPLNLGQSA-N 1 2 303.366 1.118 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)no1 ZINC000931938368 714216601 /nfs/dbraw/zinc/21/66/01/714216601.db2.gz QNCWZAQVAUGYSS-CMPLNLGQSA-N 1 2 303.366 1.118 20 30 DDEDLO C#CC[N@H+](CC(=O)N[C@@H](Cc1ccccc1)C(C)=O)C(C)C ZINC000932394396 714322162 /nfs/dbraw/zinc/32/21/62/714322162.db2.gz JYLVQIXIKACRBK-KRWDZBQOSA-N 1 2 300.402 1.647 20 30 DDEDLO C#CC[N@@H+](CC(=O)N[C@@H](Cc1ccccc1)C(C)=O)C(C)C ZINC000932394396 714322163 /nfs/dbraw/zinc/32/21/63/714322163.db2.gz JYLVQIXIKACRBK-KRWDZBQOSA-N 1 2 300.402 1.647 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCC(=O)[C@@H](C)C2(C)C)C1 ZINC000923563084 714405450 /nfs/dbraw/zinc/40/54/50/714405450.db2.gz AHZZANIWHYRBNZ-ILXRZTDVSA-N 1 2 304.434 1.842 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCC(=O)[C@@H](C)C2(C)C)C1 ZINC000923563084 714405452 /nfs/dbraw/zinc/40/54/52/714405452.db2.gz AHZZANIWHYRBNZ-ILXRZTDVSA-N 1 2 304.434 1.842 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCOC[C@@H]2CC2CC2)cc1 ZINC000933213215 714537945 /nfs/dbraw/zinc/53/79/45/714537945.db2.gz KXVBLISEGNGUEJ-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCOC[C@@H]2CC2CC2)cc1 ZINC000933213215 714537949 /nfs/dbraw/zinc/53/79/49/714537949.db2.gz KXVBLISEGNGUEJ-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO N#CCN(C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C1CCCC1 ZINC000933241927 714544949 /nfs/dbraw/zinc/54/49/49/714544949.db2.gz BEFJSKCNJUOHAH-CVEARBPZSA-N 1 2 321.421 1.161 20 30 DDEDLO CCn1c[nH+]c2c1CCN(CC(=O)N[C@](C)(C#N)C(C)C)C2 ZINC000933262742 714549142 /nfs/dbraw/zinc/54/91/42/714549142.db2.gz IGVSUQQPABHYLE-MRXNPFEDSA-N 1 2 303.410 1.316 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@@H+]2CC(=O)NC[C@@H]2c2ccccc2)cc1 ZINC000933328053 714563415 /nfs/dbraw/zinc/56/34/15/714563415.db2.gz WMHYKHSTVFDRHT-QZTJIDSGSA-N 1 2 321.380 1.765 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@H+]2CC(=O)NC[C@@H]2c2ccccc2)cc1 ZINC000933328053 714563419 /nfs/dbraw/zinc/56/34/19/714563419.db2.gz WMHYKHSTVFDRHT-QZTJIDSGSA-N 1 2 321.380 1.765 20 30 DDEDLO COc1ccc(C[N@H+]2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)cc1C#N ZINC000933726812 714656318 /nfs/dbraw/zinc/65/63/18/714656318.db2.gz NNONXIYSLYZGLR-OKILXGFUSA-N 1 2 306.387 1.043 20 30 DDEDLO COc1ccc(C[N@@H+]2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)cc1C#N ZINC000933726812 714656319 /nfs/dbraw/zinc/65/63/19/714656319.db2.gz NNONXIYSLYZGLR-OKILXGFUSA-N 1 2 306.387 1.043 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000924791701 714665309 /nfs/dbraw/zinc/66/53/09/714665309.db2.gz PXUXUCARPFXYIG-NSHDSACASA-N 1 2 312.439 1.331 20 30 DDEDLO C[N@H+](CC(=O)N(CCC#N)CCC#N)Cc1cc(C#N)cs1 ZINC000933779128 714669860 /nfs/dbraw/zinc/66/98/60/714669860.db2.gz YIJCXGYVZIJAIP-UHFFFAOYSA-N 1 2 315.402 1.708 20 30 DDEDLO C[N@@H+](CC(=O)N(CCC#N)CCC#N)Cc1cc(C#N)cs1 ZINC000933779128 714669862 /nfs/dbraw/zinc/66/98/62/714669862.db2.gz YIJCXGYVZIJAIP-UHFFFAOYSA-N 1 2 315.402 1.708 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000934722242 714889427 /nfs/dbraw/zinc/88/94/27/714889427.db2.gz PTKRGVXSKMEINA-HZPDHXFCSA-N 1 2 310.438 1.538 20 30 DDEDLO CC[C@@H]([NH2+]CCC1CS(=O)(=O)C1)c1cccc(C#N)c1O ZINC000926246788 715035302 /nfs/dbraw/zinc/03/53/02/715035302.db2.gz JTSLLNQOZXMKTN-CQSZACIVSA-N 1 2 308.403 1.739 20 30 DDEDLO CC[C@@H]([NH2+][C@H]1CCc2nnnn2CC1)c1cccc(C#N)c1O ZINC000926608295 715096488 /nfs/dbraw/zinc/09/64/88/715096488.db2.gz YKWVNSUARZLWJR-GXTWGEPZSA-N 1 2 312.377 1.696 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC000956565883 715471598 /nfs/dbraw/zinc/47/15/98/715471598.db2.gz GGHSIYCYCXFCAH-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(C(=O)Cc3ccc[nH]3)CC2)c1 ZINC000957718565 716012805 /nfs/dbraw/zinc/01/28/05/716012805.db2.gz CWNCANOQRWTALC-UHFFFAOYSA-N 1 2 308.385 1.773 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2sccc2F)[C@H](O)C1 ZINC000958031776 716285365 /nfs/dbraw/zinc/28/53/65/716285365.db2.gz WKRCJCNMPRIADH-MWLCHTKSSA-N 1 2 318.801 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2sccc2F)[C@H](O)C1 ZINC000958031776 716285370 /nfs/dbraw/zinc/28/53/70/716285370.db2.gz WKRCJCNMPRIADH-MWLCHTKSSA-N 1 2 318.801 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000939515392 716300748 /nfs/dbraw/zinc/30/07/48/716300748.db2.gz NUGXDAKJTOXQCT-VXGBXAGGSA-N 1 2 304.394 1.128 20 30 DDEDLO CCc1noc(C[NH2+]C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)n1 ZINC000959921459 716356726 /nfs/dbraw/zinc/35/67/26/716356726.db2.gz WTXYGGXDIZRRDH-NEPJUHHUSA-N 1 2 305.382 1.262 20 30 DDEDLO CCn1cc(C[N@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)nn1 ZINC000960612816 716630582 /nfs/dbraw/zinc/63/05/82/716630582.db2.gz JVEJXXKVHXJWNB-INIZCTEOSA-N 1 2 315.421 1.134 20 30 DDEDLO CCn1cc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)nn1 ZINC000960612816 716630584 /nfs/dbraw/zinc/63/05/84/716630584.db2.gz JVEJXXKVHXJWNB-INIZCTEOSA-N 1 2 315.421 1.134 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960615663 716632333 /nfs/dbraw/zinc/63/23/33/716632333.db2.gz XTTRNHYVDWGHOT-ZBFHGGJFSA-N 1 2 312.417 1.874 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960615663 716632337 /nfs/dbraw/zinc/63/23/37/716632337.db2.gz XTTRNHYVDWGHOT-ZBFHGGJFSA-N 1 2 312.417 1.874 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000941022900 717077466 /nfs/dbraw/zinc/07/74/66/717077466.db2.gz XAQFYRKJMVSZLM-TZMCWYRMSA-N 1 2 315.421 1.570 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)CC(C)(C)C3CC3)CC2)C1 ZINC000941404994 717171266 /nfs/dbraw/zinc/17/12/66/717171266.db2.gz XMKYLOBRKBUQMN-UHFFFAOYSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3C=CCCC3)CC2)C1 ZINC000941455529 717180267 /nfs/dbraw/zinc/18/02/67/717180267.db2.gz TZNAWZAWUTVQFT-QGZVFWFLSA-N 1 2 315.461 1.585 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3C[C@]3(C)CC)CC2)C1 ZINC000941622584 717232688 /nfs/dbraw/zinc/23/26/88/717232688.db2.gz KDNVNMUOSDBMEZ-AEFFLSMTSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3C[C@@H]3C3CCCC3)CC2)C1 ZINC000941622666 717233223 /nfs/dbraw/zinc/23/32/23/717233223.db2.gz LSMUOCOGSSTQPQ-MSOLQXFVSA-N 1 2 315.461 1.274 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000941932338 717393943 /nfs/dbraw/zinc/39/39/43/717393943.db2.gz ZOHNEZOWKHXJSI-CXAGYDPISA-N 1 2 318.421 1.580 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ncccn2)CC[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941963059 717409269 /nfs/dbraw/zinc/40/92/69/717409269.db2.gz IYWNORLCMFRLRN-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ncccn2)CC[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941963059 717409276 /nfs/dbraw/zinc/40/92/76/717409276.db2.gz IYWNORLCMFRLRN-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)CN2CCCC2=O)C1 ZINC000964969545 717494067 /nfs/dbraw/zinc/49/40/67/717494067.db2.gz UMDCITPATYYLKC-YPMHNXCESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)CN2CCCC2=O)C1 ZINC000964969545 717494068 /nfs/dbraw/zinc/49/40/68/717494068.db2.gz UMDCITPATYYLKC-YPMHNXCESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)C1 ZINC000942357713 717634760 /nfs/dbraw/zinc/63/47/60/717634760.db2.gz IFIMQMUNALVEIB-CQSZACIVSA-N 1 2 316.405 1.347 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@H]([C@H]2CCCN2CC#N)C1 ZINC000963408587 717779075 /nfs/dbraw/zinc/77/90/75/717779075.db2.gz VFVCPVJOUOAQBC-GOEBONIOSA-N 1 2 315.421 1.487 20 30 DDEDLO C[C@H]1CN(CC#N)CC[C@H]1NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000943951928 718230878 /nfs/dbraw/zinc/23/08/78/718230878.db2.gz WWHPTLVNSRKWLT-DZGCQCFKSA-N 1 2 324.388 1.231 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC000966572081 718592955 /nfs/dbraw/zinc/59/29/55/718592955.db2.gz YOVNZRMFIGLNMI-XHDPSFHLSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC000966572081 718592958 /nfs/dbraw/zinc/59/29/58/718592958.db2.gz YOVNZRMFIGLNMI-XHDPSFHLSA-N 1 2 324.856 1.850 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC000966723681 718641237 /nfs/dbraw/zinc/64/12/37/718641237.db2.gz ONGDHWHKCFFARN-AAEUAGOBSA-N 1 2 316.430 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC000966723681 718641240 /nfs/dbraw/zinc/64/12/40/718641240.db2.gz ONGDHWHKCFFARN-AAEUAGOBSA-N 1 2 316.430 1.778 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnn(C)n3)[C@@H](C)C2)C1 ZINC000947702701 719248352 /nfs/dbraw/zinc/24/83/52/719248352.db2.gz RMKOJDUQCJLUKJ-KBPBESRZSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnn(C)n3)[C@@H](C)C2)C1 ZINC000947702701 719248353 /nfs/dbraw/zinc/24/83/53/719248353.db2.gz RMKOJDUQCJLUKJ-KBPBESRZSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3nnc(C)[nH]3)[C@@H](C)C2)C1 ZINC000947702902 719248973 /nfs/dbraw/zinc/24/89/73/719248973.db2.gz UMJIQMBEHBYAKB-JSGCOSHPSA-N 1 2 317.437 1.939 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3nnc(C)[nH]3)[C@@H](C)C2)C1 ZINC000947702902 719248975 /nfs/dbraw/zinc/24/89/75/719248975.db2.gz UMJIQMBEHBYAKB-JSGCOSHPSA-N 1 2 317.437 1.939 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CC=CCC3)CC2)C1 ZINC000949295579 719998646 /nfs/dbraw/zinc/99/86/46/719998646.db2.gz OPBLKROMOPNEQV-INIZCTEOSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CC=CCC3)CC2)C1 ZINC000949295579 719998650 /nfs/dbraw/zinc/99/86/50/719998650.db2.gz OPBLKROMOPNEQV-INIZCTEOSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)/C=C(/C)C3CC3)CC2)C1 ZINC000949312021 720009141 /nfs/dbraw/zinc/00/91/41/720009141.db2.gz WTAVVNLBRXJHBM-SQFISAMPSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)/C=C(/C)C3CC3)CC2)C1 ZINC000949312021 720009144 /nfs/dbraw/zinc/00/91/44/720009144.db2.gz WTAVVNLBRXJHBM-SQFISAMPSA-N 1 2 302.418 1.669 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C[C@@H]2CC[C@@H](C(F)(F)F)O2)CC1 ZINC000949406049 720067295 /nfs/dbraw/zinc/06/72/95/720067295.db2.gz HIKXNLHDAIWZST-RYUDHWBXSA-N 1 2 306.328 1.817 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CC34CCC4)CC2)C1 ZINC000949483626 720115807 /nfs/dbraw/zinc/11/58/07/720115807.db2.gz UJLWBWIHYMXGPT-OAHLLOKOSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CC34CCC4)CC2)C1 ZINC000949483626 720115812 /nfs/dbraw/zinc/11/58/12/720115812.db2.gz UJLWBWIHYMXGPT-OAHLLOKOSA-N 1 2 302.418 1.503 20 30 DDEDLO Cc1conc1C[NH+]1CC([C@H](C)NC(=O)c2cc(C#N)c[nH]2)C1 ZINC000969463214 720142965 /nfs/dbraw/zinc/14/29/65/720142965.db2.gz LPESIFLBTWCQFH-NSHDSACASA-N 1 2 313.361 1.433 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cccc3n[nH]nc32)C1 ZINC000969732282 720269822 /nfs/dbraw/zinc/26/98/22/720269822.db2.gz BIJDKEPGCOZNED-JTQLQIEISA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCCCN2C(=O)CC)C1 ZINC000950410620 720621150 /nfs/dbraw/zinc/62/11/50/720621150.db2.gz LULGFQGJJARMND-MRXNPFEDSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c[nH+]c[nH]1 ZINC000970145340 720642630 /nfs/dbraw/zinc/64/26/30/720642630.db2.gz BZJHANPLIYGFPL-OKILXGFUSA-N 1 2 316.405 1.366 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn3cc(C)ccc3n2)C1 ZINC000950618550 720706953 /nfs/dbraw/zinc/70/69/53/720706953.db2.gz GMGJMORTDFYRMM-UHFFFAOYSA-N 1 2 310.401 1.812 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H](C)C2C[NH+](CC(=C)Cl)C2)nn1 ZINC000970550935 720812018 /nfs/dbraw/zinc/81/20/18/720812018.db2.gz ALNKYKDZJQTFKY-GFCCVEGCSA-N 1 2 323.828 1.657 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc3oc(=O)[nH]c32)C1 ZINC000950890506 720814874 /nfs/dbraw/zinc/81/48/74/720814874.db2.gz IRBQGAYLOZLCLR-UHFFFAOYSA-N 1 2 313.357 1.703 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCc3nn(C)cc32)C1 ZINC000951379811 721010923 /nfs/dbraw/zinc/01/09/23/721010923.db2.gz HOZXGZHWBWRZAW-CQSZACIVSA-N 1 2 302.422 1.559 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000971218208 721185069 /nfs/dbraw/zinc/18/50/69/721185069.db2.gz KGIHTAJGTWXRAY-ONGXEEELSA-N 1 2 300.200 1.587 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000971218208 721185071 /nfs/dbraw/zinc/18/50/71/721185071.db2.gz KGIHTAJGTWXRAY-ONGXEEELSA-N 1 2 300.200 1.587 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)no1 ZINC000971371863 721263612 /nfs/dbraw/zinc/26/36/12/721263612.db2.gz QYYIRNMECFYNRU-HNNXBMFYSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)no1 ZINC000971371863 721263616 /nfs/dbraw/zinc/26/36/16/721263616.db2.gz QYYIRNMECFYNRU-HNNXBMFYSA-N 1 2 313.361 1.529 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCCOC3)C2)C1 ZINC000972618213 735360305 /nfs/dbraw/zinc/36/03/05/735360305.db2.gz XGUZPNJPECKISD-WMZOPIPTSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H]3CCCOC3)C2)C1 ZINC000972618213 735360308 /nfs/dbraw/zinc/36/03/08/735360308.db2.gz XGUZPNJPECKISD-WMZOPIPTSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C=C)c3ccccc3)C2)C1 ZINC000972681770 735470032 /nfs/dbraw/zinc/47/00/32/735470032.db2.gz ACLOGYQIKXKKFL-UYAOXDASSA-N 1 2 324.424 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C=C)c3ccccc3)C2)C1 ZINC000972681770 735470034 /nfs/dbraw/zinc/47/00/34/735470034.db2.gz ACLOGYQIKXKKFL-UYAOXDASSA-N 1 2 324.424 1.893 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@H]([NH2+][C@@H](C)c3noc(C)n3)C2)cc1 ZINC001020456475 732396619 /nfs/dbraw/zinc/39/66/19/732396619.db2.gz RCHPTLUVURPJGE-UVBJJODRSA-N 1 2 324.384 1.971 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(OC(C)C)cn1 ZINC001039093336 732658437 /nfs/dbraw/zinc/65/84/37/732658437.db2.gz RWHILNXVSSKCDC-AWEZNQCLSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(OC(C)C)cn1 ZINC001039093336 732658439 /nfs/dbraw/zinc/65/84/39/732658439.db2.gz RWHILNXVSSKCDC-AWEZNQCLSA-N 1 2 301.390 1.696 20 30 DDEDLO Cn1nncc1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccccc1C#N ZINC001027856357 738741533 /nfs/dbraw/zinc/74/15/33/738741533.db2.gz LHMWSJBXNNGBFC-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1nncc1C(=O)NC[C@@H]1CCC[N@H+]1Cc1ccccc1C#N ZINC001027856357 738741535 /nfs/dbraw/zinc/74/15/35/738741535.db2.gz LHMWSJBXNNGBFC-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cncc2[nH]cnc21 ZINC001027900050 738787558 /nfs/dbraw/zinc/78/75/58/738787558.db2.gz USUZTTHQSUFANQ-NSHDSACASA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cncc2[nH]cnc21 ZINC001027900050 738787560 /nfs/dbraw/zinc/78/75/60/738787560.db2.gz USUZTTHQSUFANQ-NSHDSACASA-N 1 2 319.796 1.905 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001038818704 738810037 /nfs/dbraw/zinc/81/00/37/738810037.db2.gz SQADJFWTIJDOCL-VIFPVBQESA-N 1 2 300.284 1.256 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001038818704 738810040 /nfs/dbraw/zinc/81/00/40/738810040.db2.gz SQADJFWTIJDOCL-VIFPVBQESA-N 1 2 300.284 1.256 20 30 DDEDLO C=C(C)C(=O)NCCCNc1[nH+]ccc(OC)c1C(=O)OC ZINC001167665033 735004569 /nfs/dbraw/zinc/00/45/69/735004569.db2.gz DVDSUWZYOLVZOV-UHFFFAOYSA-N 1 2 307.350 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1COCCN1C ZINC001024392829 735810249 /nfs/dbraw/zinc/81/02/49/735810249.db2.gz WREIQMDMJTVEEB-ZIAGYGMSSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1COCCN1C ZINC001024392829 735810255 /nfs/dbraw/zinc/81/02/55/735810255.db2.gz WREIQMDMJTVEEB-ZIAGYGMSSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001024614327 735983733 /nfs/dbraw/zinc/98/37/33/735983733.db2.gz GNUOYLVIOFFUEM-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001024614327 735983734 /nfs/dbraw/zinc/98/37/34/735983734.db2.gz GNUOYLVIOFFUEM-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H]1[C@@H]1CCCCN1C(=O)Cc1ncn[nH]1 ZINC001025288697 736409124 /nfs/dbraw/zinc/40/91/24/736409124.db2.gz VWKGIBPNINYPBL-GJZGRUSLSA-N 1 2 315.421 1.216 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1[C@@H]1CCCCN1C(=O)Cc1ncn[nH]1 ZINC001025288697 736409126 /nfs/dbraw/zinc/40/91/26/736409126.db2.gz VWKGIBPNINYPBL-GJZGRUSLSA-N 1 2 315.421 1.216 20 30 DDEDLO C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC001007688580 736712224 /nfs/dbraw/zinc/71/22/24/736712224.db2.gz SYFAFWFYLUQHST-UONOGXRCSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC001038338163 736910669 /nfs/dbraw/zinc/91/06/69/736910669.db2.gz OUQGIRHEZKOBNY-KBPBESRZSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC001038338163 736910673 /nfs/dbraw/zinc/91/06/73/736910673.db2.gz OUQGIRHEZKOBNY-KBPBESRZSA-N 1 2 314.451 1.110 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@H](C)CCC)C2)nn1 ZINC001105185081 737602182 /nfs/dbraw/zinc/60/21/82/737602182.db2.gz FZYRMQPKIXFGKR-CYBMUJFWSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C(C)(C)C(C)(C)C)C2)nn1 ZINC001105301706 737778710 /nfs/dbraw/zinc/77/87/10/737778710.db2.gz VJBZCWKKJRDJLH-UHFFFAOYSA-N 1 2 317.437 1.457 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3CC[C@H](C)C3)C2)nn1 ZINC001105336880 738035049 /nfs/dbraw/zinc/03/50/49/738035049.db2.gz NAERRZOCCWBQGS-KBPBESRZSA-N 1 2 315.421 1.211 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]([N@H+](C)Cc2ncc(Cl)n2C)C1 ZINC001027335123 738204769 /nfs/dbraw/zinc/20/47/69/738204769.db2.gz CEMLYSICVSXBCU-NEPJUHHUSA-N 1 2 323.828 1.656 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]([N@@H+](C)Cc2ncc(Cl)n2C)C1 ZINC001027335123 738204770 /nfs/dbraw/zinc/20/47/70/738204770.db2.gz CEMLYSICVSXBCU-NEPJUHHUSA-N 1 2 323.828 1.656 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(Br)c[nH]1 ZINC001038492290 742388718 /nfs/dbraw/zinc/38/87/18/742388718.db2.gz OMVNVJGGEIZSRV-NSHDSACASA-N 1 2 310.195 1.605 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(Br)c[nH]1 ZINC001038492290 742388723 /nfs/dbraw/zinc/38/87/23/742388723.db2.gz OMVNVJGGEIZSRV-NSHDSACASA-N 1 2 310.195 1.605 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1ccon1 ZINC001028142842 739087355 /nfs/dbraw/zinc/08/73/55/739087355.db2.gz RIUGKHHODMWUNK-KFWWJZLASA-N 1 2 305.378 1.346 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1ccon1 ZINC001028142842 739087356 /nfs/dbraw/zinc/08/73/56/739087356.db2.gz RIUGKHHODMWUNK-KFWWJZLASA-N 1 2 305.378 1.346 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(Cl)n(C)nc1CC ZINC001038893803 739093660 /nfs/dbraw/zinc/09/36/60/739093660.db2.gz NVOKSJJWVIOQMI-LLVKDONJSA-N 1 2 308.813 1.463 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(Cl)n(C)nc1CC ZINC001038893803 739093663 /nfs/dbraw/zinc/09/36/63/739093663.db2.gz NVOKSJJWVIOQMI-LLVKDONJSA-N 1 2 308.813 1.463 20 30 DDEDLO Cc1nsc(N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)c1C#N ZINC001058946515 739286708 /nfs/dbraw/zinc/28/67/08/739286708.db2.gz HSOYXTJPSJVGQT-JTQLQIEISA-N 1 2 316.390 1.302 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001028318281 739351420 /nfs/dbraw/zinc/35/14/20/739351420.db2.gz SPRITQTXUOJANI-LLVKDONJSA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001028318281 739351425 /nfs/dbraw/zinc/35/14/25/739351425.db2.gz SPRITQTXUOJANI-LLVKDONJSA-N 1 2 310.785 1.060 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3cccnc3n2)C1 ZINC001035373841 751438055 /nfs/dbraw/zinc/43/80/55/751438055.db2.gz YASZGXJQEPUBAH-CQSZACIVSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3cccnc3n2)C1 ZINC001035373841 751438057 /nfs/dbraw/zinc/43/80/57/751438057.db2.gz YASZGXJQEPUBAH-CQSZACIVSA-N 1 2 312.373 1.246 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3ccc(C)c(C)c3)C2)nn1 ZINC001105369661 739613027 /nfs/dbraw/zinc/61/30/27/739613027.db2.gz JHHFQNABTGOGDJ-UHFFFAOYSA-N 1 2 323.400 1.315 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1C[N@H+](CC(=C)C)CCO1 ZINC001035397905 751467633 /nfs/dbraw/zinc/46/76/33/751467633.db2.gz IQXCRXSRBBQWIJ-OAHLLOKOSA-N 1 2 303.406 1.681 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1C[N@@H+](CC(=C)C)CCO1 ZINC001035397905 751467634 /nfs/dbraw/zinc/46/76/34/751467634.db2.gz IQXCRXSRBBQWIJ-OAHLLOKOSA-N 1 2 303.406 1.681 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001059023338 739669371 /nfs/dbraw/zinc/66/93/71/739669371.db2.gz UBCQFOJMKRBZIL-LBPRGKRZSA-N 1 2 318.425 1.471 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)(C)C)n[nH]2)C1 ZINC001035428595 751486246 /nfs/dbraw/zinc/48/62/46/751486246.db2.gz DFPIWYNFPSGAJR-ZDUSSCGKSA-N 1 2 320.437 1.714 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)(C)C)n[nH]2)C1 ZINC001035428595 751486249 /nfs/dbraw/zinc/48/62/49/751486249.db2.gz DFPIWYNFPSGAJR-ZDUSSCGKSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccncc2OC(C)C)C1 ZINC001035458056 751506229 /nfs/dbraw/zinc/50/62/29/751506229.db2.gz XODGRIZGOUGVBA-CQSZACIVSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccncc2OC(C)C)C1 ZINC001035458056 751506236 /nfs/dbraw/zinc/50/62/36/751506236.db2.gz XODGRIZGOUGVBA-CQSZACIVSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccncc2OC(C)C)C1 ZINC001035458055 751506484 /nfs/dbraw/zinc/50/64/84/751506484.db2.gz XODGRIZGOUGVBA-AWEZNQCLSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccncc2OC(C)C)C1 ZINC001035458055 751506486 /nfs/dbraw/zinc/50/64/86/751506486.db2.gz XODGRIZGOUGVBA-AWEZNQCLSA-N 1 2 319.405 1.485 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccnc2C(F)F)C1 ZINC001035442964 751519658 /nfs/dbraw/zinc/51/96/58/751519658.db2.gz ZFIADCGGYBBNGR-LBPRGKRZSA-N 1 2 323.343 1.473 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccnc2C(F)F)C1 ZINC001035442964 751519663 /nfs/dbraw/zinc/51/96/63/751519663.db2.gz ZFIADCGGYBBNGR-LBPRGKRZSA-N 1 2 323.343 1.473 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)cc(=O)oc2C)C1 ZINC001035439421 751516543 /nfs/dbraw/zinc/51/65/43/751516543.db2.gz OBZDHSYGJIOBGY-CQSZACIVSA-N 1 2 320.389 1.263 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)cc(=O)oc2C)C1 ZINC001035439421 751516549 /nfs/dbraw/zinc/51/65/49/751516549.db2.gz OBZDHSYGJIOBGY-CQSZACIVSA-N 1 2 320.389 1.263 20 30 DDEDLO CCn1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)cn1 ZINC001038101962 740235393 /nfs/dbraw/zinc/23/53/93/740235393.db2.gz BTUSPJUQEYABDH-KRWDZBQOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)cn1 ZINC001038101962 740235397 /nfs/dbraw/zinc/23/53/97/740235397.db2.gz BTUSPJUQEYABDH-KRWDZBQOSA-N 1 2 323.400 1.779 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114898469 751528611 /nfs/dbraw/zinc/52/86/11/751528611.db2.gz ANVLECZSHZFMNN-FOLVSLTJSA-N 1 2 314.433 1.934 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114898469 751528619 /nfs/dbraw/zinc/52/86/19/751528619.db2.gz ANVLECZSHZFMNN-FOLVSLTJSA-N 1 2 314.433 1.934 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)CC(F)(F)F)C1 ZINC001108180260 753570826 /nfs/dbraw/zinc/57/08/26/753570826.db2.gz DDBWYWLFTCUFLO-AAEUAGOBSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)CC(F)(F)F)C1 ZINC001108180260 753570831 /nfs/dbraw/zinc/57/08/31/753570831.db2.gz DDBWYWLFTCUFLO-AAEUAGOBSA-N 1 2 308.344 1.968 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](Nc2ccc(C#N)cn2)C1 ZINC001059272252 740529258 /nfs/dbraw/zinc/52/92/58/740529258.db2.gz SSYPVQVBDVONCP-JOCQHMNTSA-N 1 2 310.361 1.287 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H](F)C(C)C)C2)nn1 ZINC001098700521 740541342 /nfs/dbraw/zinc/54/13/42/740541342.db2.gz OBKPGFBDSFQTHT-LSDHHAIUSA-N 1 2 321.400 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C(C)C)cn2)C1 ZINC001035505690 751561345 /nfs/dbraw/zinc/56/13/45/751561345.db2.gz HIPKGNLVMMSVCF-INIZCTEOSA-N 1 2 315.417 1.659 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C(C)C)cn2)C1 ZINC001035505690 751561346 /nfs/dbraw/zinc/56/13/46/751561346.db2.gz HIPKGNLVMMSVCF-INIZCTEOSA-N 1 2 315.417 1.659 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C3CC3)nc2C)C1 ZINC001035517320 751572882 /nfs/dbraw/zinc/57/28/82/751572882.db2.gz DLXIYCXHHYEZDM-HNNXBMFYSA-N 1 2 315.417 1.884 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C3CC3)nc2C)C1 ZINC001035517320 751572886 /nfs/dbraw/zinc/57/28/86/751572886.db2.gz DLXIYCXHHYEZDM-HNNXBMFYSA-N 1 2 315.417 1.884 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2coc(C3CC3)n2)C1 ZINC001035540455 751586319 /nfs/dbraw/zinc/58/63/19/751586319.db2.gz DZWZIXJFIFOTCY-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2coc(C3CC3)n2)C1 ZINC001035540455 751586324 /nfs/dbraw/zinc/58/63/24/751586324.db2.gz DZWZIXJFIFOTCY-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C)nc2OC)C1 ZINC001035542712 751589232 /nfs/dbraw/zinc/58/92/32/751589232.db2.gz NZWKRZYCBKXLQE-ZDUSSCGKSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C)nc2OC)C1 ZINC001035542712 751589237 /nfs/dbraw/zinc/58/92/37/751589237.db2.gz NZWKRZYCBKXLQE-ZDUSSCGKSA-N 1 2 305.378 1.015 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2coc(C3CC3)n2)C1 ZINC001035544291 751591705 /nfs/dbraw/zinc/59/17/05/751591705.db2.gz YXCFJHGKHIDYNM-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2coc(C3CC3)n2)C1 ZINC001035544291 751591707 /nfs/dbraw/zinc/59/17/07/751591707.db2.gz YXCFJHGKHIDYNM-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001059454499 740948942 /nfs/dbraw/zinc/94/89/42/740948942.db2.gz ULZCZPLJCHWYEW-AAVRWANBSA-N 1 2 322.372 1.539 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001059454499 740948945 /nfs/dbraw/zinc/94/89/45/740948945.db2.gz ULZCZPLJCHWYEW-AAVRWANBSA-N 1 2 322.372 1.539 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCCC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001088280917 741319480 /nfs/dbraw/zinc/31/94/80/741319480.db2.gz DKRLUISIGUJVGK-RYUDHWBXSA-N 1 2 307.423 1.559 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ncoc2C(C)(C)C)C1 ZINC001035574684 751629463 /nfs/dbraw/zinc/62/94/63/751629463.db2.gz NNGWWSUNETVEDQ-ZDUSSCGKSA-N 1 2 319.405 1.426 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ncoc2C(C)(C)C)C1 ZINC001035574684 751629466 /nfs/dbraw/zinc/62/94/66/751629466.db2.gz NNGWWSUNETVEDQ-ZDUSSCGKSA-N 1 2 319.405 1.426 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn(CCC)nc2C)C1 ZINC001035562585 751645344 /nfs/dbraw/zinc/64/53/44/751645344.db2.gz JROUOBNGRDYXBC-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn(CCC)nc2C)C1 ZINC001035562585 751645346 /nfs/dbraw/zinc/64/53/46/751645346.db2.gz JROUOBNGRDYXBC-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H](C)C(C)C)C2)nn1 ZINC001098740380 741551497 /nfs/dbraw/zinc/55/14/97/741551497.db2.gz QAEGFOXECDDTJX-UKRRQHHQSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001035593703 751648976 /nfs/dbraw/zinc/64/89/76/751648976.db2.gz YZTOHIDXIRDHFC-MRXNPFEDSA-N 1 2 315.417 1.966 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001035593703 751648978 /nfs/dbraw/zinc/64/89/78/751648978.db2.gz YZTOHIDXIRDHFC-MRXNPFEDSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3ccc(Cl)cc32)C1 ZINC001035596640 751653588 /nfs/dbraw/zinc/65/35/88/751653588.db2.gz SRRWZMDMHYHHOS-GDBMZVCRSA-N 1 2 320.820 1.983 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3ccc(Cl)cc32)C1 ZINC001035596640 751653590 /nfs/dbraw/zinc/65/35/90/751653590.db2.gz SRRWZMDMHYHHOS-GDBMZVCRSA-N 1 2 320.820 1.983 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)[C@H]2C)o1 ZINC001088513527 741676239 /nfs/dbraw/zinc/67/62/39/741676239.db2.gz WLOPWSBQAUECKI-YPMHNXCESA-N 1 2 313.361 1.576 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)[C@H]2C)o1 ZINC001088513527 741676241 /nfs/dbraw/zinc/67/62/41/741676241.db2.gz WLOPWSBQAUECKI-YPMHNXCESA-N 1 2 313.361 1.576 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001059855456 741796323 /nfs/dbraw/zinc/79/63/23/741796323.db2.gz KUXTWSNNAZIEKV-FQLMCAECSA-N 1 2 316.405 1.825 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3c(cccc3C)O2)C1 ZINC001035579715 751669091 /nfs/dbraw/zinc/66/90/91/751669091.db2.gz LPRXSIVRODJBQH-WMLDXEAASA-N 1 2 316.401 1.302 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3c(cccc3C)O2)C1 ZINC001035579715 751669095 /nfs/dbraw/zinc/66/90/95/751669095.db2.gz LPRXSIVRODJBQH-WMLDXEAASA-N 1 2 316.401 1.302 20 30 DDEDLO C=CCCC(=O)NCC[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001059865352 741803220 /nfs/dbraw/zinc/80/32/20/741803220.db2.gz OKLQGOCIMAGJTC-AWEZNQCLSA-N 1 2 304.394 1.204 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)c2ccccc2)C1=O ZINC001038013624 751669572 /nfs/dbraw/zinc/66/95/72/751669572.db2.gz JPKYMYSPRZWKDV-JKSUJKDBSA-N 1 2 313.401 1.278 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)c2ccccc2)C1=O ZINC001038013624 751669575 /nfs/dbraw/zinc/66/95/75/751669575.db2.gz JPKYMYSPRZWKDV-JKSUJKDBSA-N 1 2 313.401 1.278 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098243852 741895244 /nfs/dbraw/zinc/89/52/44/741895244.db2.gz HFJMZODEPVSOPC-MEDUHNTESA-N 1 2 320.441 1.765 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2cc(C3CC3)nn2)[C@H]1C ZINC001088620717 742014386 /nfs/dbraw/zinc/01/43/86/742014386.db2.gz YLIXULZXTNJWGZ-YPMHNXCESA-N 1 2 323.828 1.487 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2cc(C3CC3)nn2)[C@H]1C ZINC001088620717 742014391 /nfs/dbraw/zinc/01/43/91/742014391.db2.gz YLIXULZXTNJWGZ-YPMHNXCESA-N 1 2 323.828 1.487 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)[C@H]1C ZINC001088633321 742029804 /nfs/dbraw/zinc/02/98/04/742029804.db2.gz DMFUZUNKUGVDEJ-VOAKCMCISA-N 1 2 302.802 1.122 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)[C@H]1C ZINC001088633321 742029806 /nfs/dbraw/zinc/02/98/06/742029806.db2.gz DMFUZUNKUGVDEJ-VOAKCMCISA-N 1 2 302.802 1.122 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)[C@H]1C ZINC001088657381 742055174 /nfs/dbraw/zinc/05/51/74/742055174.db2.gz CKAGUWHIZZPPBS-XUJVJEKNSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)[C@H]1C ZINC001088657381 742055180 /nfs/dbraw/zinc/05/51/80/742055180.db2.gz CKAGUWHIZZPPBS-XUJVJEKNSA-N 1 2 313.829 1.234 20 30 DDEDLO Cc1nc(NC[C@@H]2CCCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001060004646 742197231 /nfs/dbraw/zinc/19/72/31/742197231.db2.gz NWXLLNSOXXLQLV-ZDUSSCGKSA-N 1 2 324.388 1.949 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2scnc2Cl)C1 ZINC001035610783 751716907 /nfs/dbraw/zinc/71/69/07/751716907.db2.gz RLAJLNPFMFJFBD-SNVBAGLBSA-N 1 2 313.810 1.250 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2scnc2Cl)C1 ZINC001035610783 751716911 /nfs/dbraw/zinc/71/69/11/751716911.db2.gz RLAJLNPFMFJFBD-SNVBAGLBSA-N 1 2 313.810 1.250 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001076533709 742778944 /nfs/dbraw/zinc/77/89/44/742778944.db2.gz LDGINYPCAFPTGA-AWEZNQCLSA-N 1 2 320.437 1.887 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n(C)n1 ZINC001038079474 742890608 /nfs/dbraw/zinc/89/06/08/742890608.db2.gz JJIWSGODQHCQOU-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)n(C)n1 ZINC001038079474 742890614 /nfs/dbraw/zinc/89/06/14/742890614.db2.gz JJIWSGODQHCQOU-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO C#Cc1cccc(NC(=O)C[NH+]2CCC(NC(=O)CC)CC2)c1 ZINC001002196002 742946349 /nfs/dbraw/zinc/94/63/49/742946349.db2.gz RJTNRRKLUUYKDD-UHFFFAOYSA-N 1 2 313.401 1.597 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2C(C)(C)CC)[C@@H](O)C1 ZINC001083553716 742981141 /nfs/dbraw/zinc/98/11/41/742981141.db2.gz SXGHOMGOUKAJLE-SJORKVTESA-N 1 2 314.429 1.782 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2C(C)(C)CC)[C@@H](O)C1 ZINC001083553716 742981145 /nfs/dbraw/zinc/98/11/45/742981145.db2.gz SXGHOMGOUKAJLE-SJORKVTESA-N 1 2 314.429 1.782 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cnnn1C)CC2 ZINC001035700264 751787038 /nfs/dbraw/zinc/78/70/38/751787038.db2.gz RINCHCIDFKMFNH-UHFFFAOYSA-N 1 2 309.801 1.106 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cncc(-c3ccccc3)c2)C1 ZINC001077093422 743231766 /nfs/dbraw/zinc/23/17/66/743231766.db2.gz NXVOTWYNKQSUBV-QZTJIDSGSA-N 1 2 321.380 1.157 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cncc(-c3ccccc3)c2)C1 ZINC001077093422 743231776 /nfs/dbraw/zinc/23/17/76/743231776.db2.gz NXVOTWYNKQSUBV-QZTJIDSGSA-N 1 2 321.380 1.157 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+]Cc2ncc(C3CC3)o2)C1 ZINC001181644442 743268646 /nfs/dbraw/zinc/26/86/46/743268646.db2.gz OFNSFVBQIUTYPG-CYBMUJFWSA-N 1 2 305.378 1.445 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCC[C@H](C)NC(=O)[C@H](C)C#N)c[nH+]1 ZINC001077143626 743273559 /nfs/dbraw/zinc/27/35/59/743273559.db2.gz CHQAXBYEKJNJHU-NEPJUHHUSA-N 1 2 319.409 1.097 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[NH2+][C@@H](C)c1nc(COC)no1 ZINC001128245591 743363394 /nfs/dbraw/zinc/36/33/94/743363394.db2.gz BQFXHKHFZLUXRX-NSHDSACASA-N 1 2 310.398 1.585 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H](C)OCC)CC2)C1 ZINC001105694534 743480373 /nfs/dbraw/zinc/48/03/73/743480373.db2.gz SOOROENZLIGMKK-AWEZNQCLSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([NH2+]Cc2ncc(C(C)C)o2)C1 ZINC001182204499 743517069 /nfs/dbraw/zinc/51/70/69/743517069.db2.gz QKBQFXYQSXRCIJ-CXAGYDPISA-N 1 2 321.421 1.816 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)Nc2[nH+]cnc3c2cnn3C)C1 ZINC001098252493 743570176 /nfs/dbraw/zinc/57/01/76/743570176.db2.gz GKKNMYJKNXWHBA-LLVKDONJSA-N 1 2 314.393 1.636 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@@]2(C)C1 ZINC001101167726 743661448 /nfs/dbraw/zinc/66/14/48/743661448.db2.gz QTORVRXNWTYCNK-DYVFJYSZSA-N 1 2 318.421 1.879 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@@]2(C)C1 ZINC001101167726 743661450 /nfs/dbraw/zinc/66/14/50/743661450.db2.gz QTORVRXNWTYCNK-DYVFJYSZSA-N 1 2 318.421 1.879 20 30 DDEDLO CN(C(=O)CCc1c[nH]c[nH+]1)C1CC(Nc2ccncc2C#N)C1 ZINC001127091882 743736066 /nfs/dbraw/zinc/73/60/66/743736066.db2.gz GYUMYSGYXJEBRS-UHFFFAOYSA-N 1 2 324.388 1.132 20 30 DDEDLO CN(C(=O)CCc1c[nH+]c[nH]1)C1CC(Nc2ccncc2C#N)C1 ZINC001127091882 743736067 /nfs/dbraw/zinc/73/60/67/743736067.db2.gz GYUMYSGYXJEBRS-UHFFFAOYSA-N 1 2 324.388 1.132 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001182933902 743758916 /nfs/dbraw/zinc/75/89/16/743758916.db2.gz WQLFHTDTEZDVHK-GFCCVEGCSA-N 1 2 307.398 1.182 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([NH2+][C@H](C)c3nc(C)no3)C2)CCC1 ZINC001183199603 743801109 /nfs/dbraw/zinc/80/11/09/743801109.db2.gz BXKQPQPPDOHRLM-TZMCWYRMSA-N 1 2 316.405 1.823 20 30 DDEDLO N#CCCN(Cc1cccnc1)C(=O)CCc1ccc(N)[nH+]c1 ZINC001183931332 743929216 /nfs/dbraw/zinc/92/92/16/743929216.db2.gz FZIHACZIEZVIOA-UHFFFAOYSA-N 1 2 309.373 1.934 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001077466685 744139894 /nfs/dbraw/zinc/13/98/94/744139894.db2.gz IDLMCFBNQHIQIA-IAGOWNOFSA-N 1 2 311.385 1.193 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001077466685 744139897 /nfs/dbraw/zinc/13/98/97/744139897.db2.gz IDLMCFBNQHIQIA-IAGOWNOFSA-N 1 2 311.385 1.193 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NC[C@@H](O)CNc1cc[nH+]c(C)n1)OCC ZINC001105988480 744160976 /nfs/dbraw/zinc/16/09/76/744160976.db2.gz QFKDJRYATYMBNN-UONOGXRCSA-N 1 2 322.409 1.045 20 30 DDEDLO O=C(NC1CN(CC#Cc2ccccc2)C1)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001030469196 744183168 /nfs/dbraw/zinc/18/31/68/744183168.db2.gz APKOAOUSDWSHMU-IAGOWNOFSA-N 1 2 320.396 1.365 20 30 DDEDLO O=C(NC1CN(CC#Cc2ccccc2)C1)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001030469196 744183169 /nfs/dbraw/zinc/18/31/69/744183169.db2.gz APKOAOUSDWSHMU-IAGOWNOFSA-N 1 2 320.396 1.365 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@H]1OC ZINC001212187471 744277504 /nfs/dbraw/zinc/27/75/04/744277504.db2.gz URQGQEWEJUZJMD-FVQBIDKESA-N 1 2 316.405 1.157 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@H]1OC ZINC001212187471 744277509 /nfs/dbraw/zinc/27/75/09/744277509.db2.gz URQGQEWEJUZJMD-FVQBIDKESA-N 1 2 316.405 1.157 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CCCCC)C2)nn1 ZINC001185872708 744297610 /nfs/dbraw/zinc/29/76/10/744297610.db2.gz LEUIJAPVYPZIGA-INIZCTEOSA-N 1 2 317.437 1.745 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CC(C)C)C2)nn1 ZINC001185863802 744302469 /nfs/dbraw/zinc/30/24/69/744302469.db2.gz DGAGTLQEFIYKSN-HNNXBMFYSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C3CC=CC3)C2)nn1 ZINC001185907356 744305881 /nfs/dbraw/zinc/30/58/81/744305881.db2.gz KXYZFYQHJCCUKQ-MRXNPFEDSA-N 1 2 313.405 1.131 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CCC3(C)CC3)C2)nn1 ZINC001098762812 744325353 /nfs/dbraw/zinc/32/53/53/744325353.db2.gz OVIGJBZURWTIBS-OAHLLOKOSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)C[C@@H]2CC[C@@H](C(F)(F)F)O2)C1 ZINC001030712075 744509180 /nfs/dbraw/zinc/50/91/80/744509180.db2.gz FXQLCURRNHXCJB-RYUDHWBXSA-N 1 2 306.328 1.863 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)C[C@H]2CC[C@@H](C(F)(F)F)O2)C1 ZINC001030712073 744509346 /nfs/dbraw/zinc/50/93/46/744509346.db2.gz FXQLCURRNHXCJB-NEPJUHHUSA-N 1 2 306.328 1.863 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncc(F)c1 ZINC001110391528 744516327 /nfs/dbraw/zinc/51/63/27/744516327.db2.gz RLTDIFOYIXUOMK-OAGGEKHMSA-N 1 2 319.380 1.645 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncc(F)c1 ZINC001110391528 744516329 /nfs/dbraw/zinc/51/63/29/744516329.db2.gz RLTDIFOYIXUOMK-OAGGEKHMSA-N 1 2 319.380 1.645 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC001046031970 744641103 /nfs/dbraw/zinc/64/11/03/744641103.db2.gz YDXFZLVSNVEXDM-RYUDHWBXSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC001046031970 744641105 /nfs/dbraw/zinc/64/11/05/744641105.db2.gz YDXFZLVSNVEXDM-RYUDHWBXSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)C1 ZINC001046031970 744641107 /nfs/dbraw/zinc/64/11/07/744641107.db2.gz YDXFZLVSNVEXDM-RYUDHWBXSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)C1 ZINC001046031970 744641109 /nfs/dbraw/zinc/64/11/09/744641109.db2.gz YDXFZLVSNVEXDM-RYUDHWBXSA-N 1 2 323.334 1.291 20 30 DDEDLO CC(C)C1(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)CC1 ZINC001046060026 744696285 /nfs/dbraw/zinc/69/62/85/744696285.db2.gz UBWQSDHBYGRPIJ-OAHLLOKOSA-N 1 2 304.438 1.165 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)cs2)C1 ZINC001189372116 744878713 /nfs/dbraw/zinc/87/87/13/744878713.db2.gz TYNSBFAFMDMZHO-CYBMUJFWSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)cs2)C1 ZINC001189372116 744878715 /nfs/dbraw/zinc/87/87/15/744878715.db2.gz TYNSBFAFMDMZHO-CYBMUJFWSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(C(C)C)n2)C1 ZINC001189364707 744886870 /nfs/dbraw/zinc/88/68/70/744886870.db2.gz UHUPJKIGSIJLLS-INIZCTEOSA-N 1 2 320.437 1.699 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2ccn(C(C)C)n2)C1 ZINC001189364707 744886873 /nfs/dbraw/zinc/88/68/73/744886873.db2.gz UHUPJKIGSIJLLS-INIZCTEOSA-N 1 2 320.437 1.699 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001189926942 745040024 /nfs/dbraw/zinc/04/00/24/745040024.db2.gz UTNSYKVODIHIAP-LSDHHAIUSA-N 1 2 300.406 1.874 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001189926942 745040030 /nfs/dbraw/zinc/04/00/30/745040030.db2.gz UTNSYKVODIHIAP-LSDHHAIUSA-N 1 2 300.406 1.874 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2nc(C)no2)C1 ZINC001190122359 745122849 /nfs/dbraw/zinc/12/28/49/745122849.db2.gz XRFCVBXDSQMCGS-TZMCWYRMSA-N 1 2 320.393 1.012 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2nc(C)no2)C1 ZINC001190122359 745122852 /nfs/dbraw/zinc/12/28/52/745122852.db2.gz XRFCVBXDSQMCGS-TZMCWYRMSA-N 1 2 320.393 1.012 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001190122276 745122958 /nfs/dbraw/zinc/12/29/58/745122958.db2.gz VYOGLDLJBXXRAE-HNNXBMFYSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001190122276 745122960 /nfs/dbraw/zinc/12/29/60/745122960.db2.gz VYOGLDLJBXXRAE-HNNXBMFYSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)cs2)C1 ZINC001190121369 745123104 /nfs/dbraw/zinc/12/31/04/745123104.db2.gz PNCDINWMNKLKHR-AWEZNQCLSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)cs2)C1 ZINC001190121369 745123108 /nfs/dbraw/zinc/12/31/08/745123108.db2.gz PNCDINWMNKLKHR-AWEZNQCLSA-N 1 2 321.446 1.524 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001060463880 745155332 /nfs/dbraw/zinc/15/53/32/745155332.db2.gz IZWYNMNLBKXRSO-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1nnc[nH]1)C2 ZINC001110429269 745270077 /nfs/dbraw/zinc/27/00/77/745270077.db2.gz APDUPZQFSWBTDN-UTUOFQBUSA-N 1 2 309.801 1.211 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1nnc[nH]1)C2 ZINC001110429269 745270081 /nfs/dbraw/zinc/27/00/81/745270081.db2.gz APDUPZQFSWBTDN-UTUOFQBUSA-N 1 2 309.801 1.211 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[C@H]([N@H+](C)Cc2ccon2)C1 ZINC001191576643 745542256 /nfs/dbraw/zinc/54/22/56/745542256.db2.gz LXEIMMYVEPCHCW-DOTOQJQBSA-N 1 2 321.421 1.936 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[C@H]([N@@H+](C)Cc2ccon2)C1 ZINC001191576643 745542259 /nfs/dbraw/zinc/54/22/59/745542259.db2.gz LXEIMMYVEPCHCW-DOTOQJQBSA-N 1 2 321.421 1.936 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007138421 752033929 /nfs/dbraw/zinc/03/39/29/752033929.db2.gz CTPAKMAHPJSPNM-GXTWGEPZSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CCC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007138421 752033937 /nfs/dbraw/zinc/03/39/37/752033937.db2.gz CTPAKMAHPJSPNM-GXTWGEPZSA-N 1 2 324.388 1.632 20 30 DDEDLO COc1cc(N2CCN(C(=O)c3ccc(C#N)o3)CC2)cc[nH+]1 ZINC001192685340 745862055 /nfs/dbraw/zinc/86/20/55/745862055.db2.gz MYGQXNDSZYKACJ-UHFFFAOYSA-N 1 2 312.329 1.517 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cc(=O)n(C)o2)[C@@H]1C ZINC000993283512 745940269 /nfs/dbraw/zinc/94/02/69/745940269.db2.gz QDNZKDKGHSDLTJ-QWRGUYRKSA-N 1 2 313.785 1.314 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cc(=O)n(C)o2)[C@@H]1C ZINC000993283512 745940273 /nfs/dbraw/zinc/94/02/73/745940273.db2.gz QDNZKDKGHSDLTJ-QWRGUYRKSA-N 1 2 313.785 1.314 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1ccc(C#N)cn1)NC(=O)Cn1cc[nH+]c1 ZINC001089342946 745956718 /nfs/dbraw/zinc/95/67/18/745956718.db2.gz BNXXOUXXPYHAMB-CHWSQXEVSA-N 1 2 312.377 1.545 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2c[nH]nc2C2CCCCC2)C1 ZINC001031237891 745974322 /nfs/dbraw/zinc/97/43/22/745974322.db2.gz PGAPOYMZNJJSDF-UHFFFAOYSA-N 1 2 300.406 1.895 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc3c2CCC3)[C@H]1C ZINC000993553850 746217311 /nfs/dbraw/zinc/21/73/11/746217311.db2.gz NKBNMURACQBFNZ-OCCSQVGLSA-N 1 2 300.406 1.504 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2[nH]nc3c2CCC3)[C@H]1C ZINC000993553850 746217313 /nfs/dbraw/zinc/21/73/13/746217313.db2.gz NKBNMURACQBFNZ-OCCSQVGLSA-N 1 2 300.406 1.504 20 30 DDEDLO C=C/C(C)=C\CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001195413452 746594344 /nfs/dbraw/zinc/59/43/44/746594344.db2.gz VCDAJNWHYYCRJP-PNPCOUBWSA-N 1 2 324.424 1.722 20 30 DDEDLO C=C/C(C)=C\CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001195413452 746594346 /nfs/dbraw/zinc/59/43/46/746594346.db2.gz VCDAJNWHYYCRJP-PNPCOUBWSA-N 1 2 324.424 1.722 20 30 DDEDLO C/C=C(\C)C(=O)N1CCC[N@@H+](CC(=O)N(CC)CCC#N)CC1 ZINC001195459454 746607304 /nfs/dbraw/zinc/60/73/04/746607304.db2.gz JOJITFZBVJSTLB-SYZQJQIISA-N 1 2 320.437 1.249 20 30 DDEDLO C/C=C(\C)C(=O)N1CCC[N@H+](CC(=O)N(CC)CCC#N)CC1 ZINC001195459454 746607306 /nfs/dbraw/zinc/60/73/06/746607306.db2.gz JOJITFZBVJSTLB-SYZQJQIISA-N 1 2 320.437 1.249 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195554067 746630131 /nfs/dbraw/zinc/63/01/31/746630131.db2.gz AYCKBKYYWHBPPH-BPLDGKMQSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195554067 746630134 /nfs/dbraw/zinc/63/01/34/746630134.db2.gz AYCKBKYYWHBPPH-BPLDGKMQSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+]([C@@H](C)C(=O)NCC(C)C)CC1 ZINC001195645226 746664098 /nfs/dbraw/zinc/66/40/98/746664098.db2.gz LGCDFKRMZWBDQJ-INIZCTEOSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+]([C@@H](C)C(=O)NCC(C)C)CC1 ZINC001195645226 746664100 /nfs/dbraw/zinc/66/41/00/746664100.db2.gz LGCDFKRMZWBDQJ-INIZCTEOSA-N 1 2 321.465 1.485 20 30 DDEDLO CC(C)N(C)C(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785395 746704479 /nfs/dbraw/zinc/70/44/79/746704479.db2.gz LIRNXEYJEVWCMH-UHFFFAOYSA-N 1 2 321.465 1.437 20 30 DDEDLO CC(C)N(C)C(=O)C[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785395 746704481 /nfs/dbraw/zinc/70/44/81/746704481.db2.gz LIRNXEYJEVWCMH-UHFFFAOYSA-N 1 2 321.465 1.437 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cncc(F)c2)CC1 ZINC001195791735 746705540 /nfs/dbraw/zinc/70/55/40/746705540.db2.gz KEXRPUVDHZZHGX-CQSZACIVSA-N 1 2 319.380 1.293 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cncc(F)c2)CC1 ZINC001195791735 746705544 /nfs/dbraw/zinc/70/55/44/746705544.db2.gz KEXRPUVDHZZHGX-CQSZACIVSA-N 1 2 319.380 1.293 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001196011100 746755597 /nfs/dbraw/zinc/75/55/97/746755597.db2.gz YLEHSDFUYDVGRQ-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001196011100 746755602 /nfs/dbraw/zinc/75/56/02/746755602.db2.gz YLEHSDFUYDVGRQ-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H]2C=CCCC2)CC1 ZINC001196268155 746812476 /nfs/dbraw/zinc/81/24/76/746812476.db2.gz BUHXJHSVTCPOTO-INIZCTEOSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H]2C=CCCC2)CC1 ZINC001196268155 746812478 /nfs/dbraw/zinc/81/24/78/746812478.db2.gz BUHXJHSVTCPOTO-INIZCTEOSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C23CCC(CC2)C3)CC1 ZINC001196294741 746829272 /nfs/dbraw/zinc/82/92/72/746829272.db2.gz ACHDNVMZCCQTGL-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C23CCC(CC2)C3)CC1 ZINC001196294741 746829274 /nfs/dbraw/zinc/82/92/74/746829274.db2.gz ACHDNVMZCCQTGL-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@H]2CCC2(C)C)CC1 ZINC001196829191 746974658 /nfs/dbraw/zinc/97/46/58/746974658.db2.gz DMUWUDYQIGTGOH-HUUCEWRRSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@H]2CCC2(C)C)CC1 ZINC001196829191 746974665 /nfs/dbraw/zinc/97/46/65/746974665.db2.gz DMUWUDYQIGTGOH-HUUCEWRRSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CCCC#CC)CC1 ZINC001196846403 746978559 /nfs/dbraw/zinc/97/85/59/746978559.db2.gz DPMYELIHJOMSRV-UHFFFAOYSA-N 1 2 305.422 1.017 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CCCC#CC)CC1 ZINC001196846403 746978563 /nfs/dbraw/zinc/97/85/63/746978563.db2.gz DPMYELIHJOMSRV-UHFFFAOYSA-N 1 2 305.422 1.017 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CCC(F)F)c2C1 ZINC001128410371 747021760 /nfs/dbraw/zinc/02/17/60/747021760.db2.gz LCWZRKRFIACSPY-UHFFFAOYSA-N 1 2 312.364 1.936 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CCC(F)F)c2C1 ZINC001128410371 747021768 /nfs/dbraw/zinc/02/17/68/747021768.db2.gz LCWZRKRFIACSPY-UHFFFAOYSA-N 1 2 312.364 1.936 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1ccn[nH]1 ZINC001031557694 747029095 /nfs/dbraw/zinc/02/90/95/747029095.db2.gz DHFNNENGGJRCGG-UHFFFAOYSA-N 1 2 312.348 1.262 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ocnc2C2CC2)CC1 ZINC001197150700 747086940 /nfs/dbraw/zinc/08/69/40/747086940.db2.gz ZVODKIWYHJCYRX-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ocnc2C2CC2)CC1 ZINC001197150700 747086948 /nfs/dbraw/zinc/08/69/48/747086948.db2.gz ZVODKIWYHJCYRX-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO Cc1[nH]nc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)c1C ZINC001031589538 747119428 /nfs/dbraw/zinc/11/94/28/747119428.db2.gz ZKOYVMSJZDOBDE-UHFFFAOYSA-N 1 2 323.400 1.760 20 30 DDEDLO C=CCCC[N@H+]1CCCN(C(=O)C(C)(C)S(C)(=O)=O)CC1 ZINC001197313901 747127569 /nfs/dbraw/zinc/12/75/69/747127569.db2.gz FEHXLCLVBVEZRA-UHFFFAOYSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCCC[N@@H+]1CCCN(C(=O)C(C)(C)S(C)(=O)=O)CC1 ZINC001197313901 747127573 /nfs/dbraw/zinc/12/75/73/747127573.db2.gz FEHXLCLVBVEZRA-UHFFFAOYSA-N 1 2 316.467 1.310 20 30 DDEDLO Cc1ocnc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038089763 747158735 /nfs/dbraw/zinc/15/87/35/747158735.db2.gz OBANAUOBZJPQME-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1ocnc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038089763 747158740 /nfs/dbraw/zinc/15/87/40/747158740.db2.gz OBANAUOBZJPQME-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@H]1CC12CCC2 ZINC001083819481 747360810 /nfs/dbraw/zinc/36/08/10/747360810.db2.gz DJTYGDVVOKQJPH-KURKYZTESA-N 1 2 324.424 1.390 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@H]1CC12CCC2 ZINC001083819481 747360813 /nfs/dbraw/zinc/36/08/13/747360813.db2.gz DJTYGDVVOKQJPH-KURKYZTESA-N 1 2 324.424 1.390 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2cncn2C)CC1 ZINC001198316114 747449415 /nfs/dbraw/zinc/44/94/15/747449415.db2.gz TVHCEBQWRHXEAV-UHFFFAOYSA-N 1 2 306.410 1.047 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2cncn2C)CC1 ZINC001198316114 747449416 /nfs/dbraw/zinc/44/94/16/747449416.db2.gz TVHCEBQWRHXEAV-UHFFFAOYSA-N 1 2 306.410 1.047 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CCCC)C2 ZINC001110519250 747630735 /nfs/dbraw/zinc/63/07/35/747630735.db2.gz NSZOCOABWPVHDI-FPCVCCKLSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CCCC)C2 ZINC001110519250 747630741 /nfs/dbraw/zinc/63/07/41/747630741.db2.gz NSZOCOABWPVHDI-FPCVCCKLSA-N 1 2 319.449 1.284 20 30 DDEDLO C=CC[NH2+][C@@H](CNC(=O)c1ncn[nH]1)c1ccccc1OC ZINC001198939321 747655330 /nfs/dbraw/zinc/65/53/30/747655330.db2.gz JWXAEFSINKQANY-LBPRGKRZSA-N 1 2 301.350 1.060 20 30 DDEDLO C=CC[NH2+][C@@H](CNC(=O)c1nc[nH]n1)c1ccccc1OC ZINC001198939321 747655331 /nfs/dbraw/zinc/65/53/31/747655331.db2.gz JWXAEFSINKQANY-LBPRGKRZSA-N 1 2 301.350 1.060 20 30 DDEDLO Cc1ncc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3cccc(C#N)c3)C2)[nH]1 ZINC001032961401 747783935 /nfs/dbraw/zinc/78/39/35/747783935.db2.gz RFRVNENVHANOKS-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO C=C(Cl)CN1CC(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001031784588 747829626 /nfs/dbraw/zinc/82/96/26/747829626.db2.gz VUEDNNYYOQYTKF-CYBMUJFWSA-N 1 2 308.813 1.246 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001212324844 747864706 /nfs/dbraw/zinc/86/47/06/747864706.db2.gz JGZRQWUEPSFDOI-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001212324844 747864713 /nfs/dbraw/zinc/86/47/13/747864713.db2.gz JGZRQWUEPSFDOI-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001212340591 747928932 /nfs/dbraw/zinc/92/89/32/747928932.db2.gz NRXNLANKUWGKQT-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001212340591 747928934 /nfs/dbraw/zinc/92/89/34/747928934.db2.gz NRXNLANKUWGKQT-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cccc3ncnn32)C1 ZINC001031821024 747947229 /nfs/dbraw/zinc/94/72/29/747947229.db2.gz XNDAFTZIAZXLOV-UHFFFAOYSA-N 1 2 305.769 1.143 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2cc(F)c[nH]2)C1 ZINC001031855194 748029702 /nfs/dbraw/zinc/02/97/02/748029702.db2.gz AHSMTEPNVDGKGS-UHFFFAOYSA-N 1 2 312.348 1.887 20 30 DDEDLO C[C@@H](CCNC(=O)CCn1cc[nH+]c1)NC(=O)C#CC(C)(C)C ZINC001077571977 748145264 /nfs/dbraw/zinc/14/52/64/748145264.db2.gz ANIBFEOQUNKXJS-AWEZNQCLSA-N 1 2 318.421 1.334 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001124488526 748277968 /nfs/dbraw/zinc/27/79/68/748277968.db2.gz PSQRGJGDGSNCPB-VIFPVBQESA-N 1 2 318.324 1.194 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CO[C@H]1CCOC1)C2 ZINC001110554763 748291077 /nfs/dbraw/zinc/29/10/77/748291077.db2.gz PZGOFRBQWVXMFL-RQJABVFESA-N 1 2 314.813 1.266 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CO[C@H]1CCOC1)C2 ZINC001110554763 748291082 /nfs/dbraw/zinc/29/10/82/748291082.db2.gz PZGOFRBQWVXMFL-RQJABVFESA-N 1 2 314.813 1.266 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(F)F)c(F)c2)[C@@H](O)C1 ZINC001083774591 748375821 /nfs/dbraw/zinc/37/58/21/748375821.db2.gz MZQACGLQPQNZKS-OLZOCXBDSA-N 1 2 312.291 1.171 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(F)F)c(F)c2)[C@@H](O)C1 ZINC001083774591 748375829 /nfs/dbraw/zinc/37/58/29/748375829.db2.gz MZQACGLQPQNZKS-OLZOCXBDSA-N 1 2 312.291 1.171 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108072387 748436057 /nfs/dbraw/zinc/43/60/57/748436057.db2.gz HFFFYSTZPOPPNY-VXGBXAGGSA-N 1 2 320.441 1.765 20 30 DDEDLO COc1nc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)co1 ZINC001004498117 748485854 /nfs/dbraw/zinc/48/58/54/748485854.db2.gz MSOSPWNRHKBRPL-CQSZACIVSA-N 1 2 318.377 1.523 20 30 DDEDLO COc1nc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)co1 ZINC001004498117 748485856 /nfs/dbraw/zinc/48/58/56/748485856.db2.gz MSOSPWNRHKBRPL-CQSZACIVSA-N 1 2 318.377 1.523 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2csnn2)CC1 ZINC001004498713 748486350 /nfs/dbraw/zinc/48/63/50/748486350.db2.gz OYCLOXDOPAPIAT-ZDUSSCGKSA-N 1 2 305.407 1.378 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2csnn2)CC1 ZINC001004498713 748486358 /nfs/dbraw/zinc/48/63/58/748486358.db2.gz OYCLOXDOPAPIAT-ZDUSSCGKSA-N 1 2 305.407 1.378 20 30 DDEDLO Cc1c[nH]c(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004577640 748561802 /nfs/dbraw/zinc/56/18/02/748561802.db2.gz IKYINVJJJALULY-CQSZACIVSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1c[nH]c(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004577640 748561808 /nfs/dbraw/zinc/56/18/08/748561808.db2.gz IKYINVJJJALULY-CQSZACIVSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1cnoc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001032097364 748624305 /nfs/dbraw/zinc/62/43/05/748624305.db2.gz WJHDACMHQMIYIP-UHFFFAOYSA-N 1 2 310.357 1.717 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cnsn2)c1 ZINC001038075959 748848857 /nfs/dbraw/zinc/84/88/57/748848857.db2.gz JQANFMOKTKVYML-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cnsn2)c1 ZINC001038075959 748848861 /nfs/dbraw/zinc/84/88/61/748848861.db2.gz JQANFMOKTKVYML-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110755507 748984165 /nfs/dbraw/zinc/98/41/65/748984165.db2.gz QXHXJTALJRMEMK-JKSUJKDBSA-N 1 2 304.394 1.197 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110770139 748997015 /nfs/dbraw/zinc/99/70/15/748997015.db2.gz SKQYKFXTCGCQQB-LRDDRELGSA-N 1 2 304.394 1.461 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001033342773 749232629 /nfs/dbraw/zinc/23/26/29/749232629.db2.gz HNUWTYDQDYXUTO-DOMZBBRYSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001033342773 749232633 /nfs/dbraw/zinc/23/26/33/749232633.db2.gz HNUWTYDQDYXUTO-DOMZBBRYSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001033347248 749238461 /nfs/dbraw/zinc/23/84/61/749238461.db2.gz NCOSZFDHPSTZFZ-NSHDSACASA-N 1 2 310.785 1.389 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001033347248 749238466 /nfs/dbraw/zinc/23/84/66/749238466.db2.gz NCOSZFDHPSTZFZ-NSHDSACASA-N 1 2 310.785 1.389 20 30 DDEDLO CC1(C)CN(C(=O)C#CC2CC2)C[C@H]1[NH2+]Cc1csnn1 ZINC000995791448 749246400 /nfs/dbraw/zinc/24/64/00/749246400.db2.gz HKBSRGMAUCGMBA-CYBMUJFWSA-N 1 2 304.419 1.278 20 30 DDEDLO C=CCNC(=O)NCc1c[nH+]cn1Cc1ccc(OC)cc1 ZINC001202578270 749441273 /nfs/dbraw/zinc/44/12/73/749441273.db2.gz PVFZLEOCDJHPIO-UHFFFAOYSA-N 1 2 300.362 1.925 20 30 DDEDLO CN(C(=O)c1ccncn1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033513397 749447540 /nfs/dbraw/zinc/44/75/40/749447540.db2.gz MXRRGYKJJVIMIM-MRXNPFEDSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C(=O)c1ccncn1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033513397 749447541 /nfs/dbraw/zinc/44/75/41/749447541.db2.gz MXRRGYKJJVIMIM-MRXNPFEDSA-N 1 2 321.384 1.695 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2snnc2C(C)C)C1 ZINC001033524152 749473646 /nfs/dbraw/zinc/47/36/46/749473646.db2.gz XFRNFCYNWVCQKX-GFCCVEGCSA-N 1 2 306.435 1.831 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2snnc2C(C)C)C1 ZINC001033524152 749473649 /nfs/dbraw/zinc/47/36/49/749473649.db2.gz XFRNFCYNWVCQKX-GFCCVEGCSA-N 1 2 306.435 1.831 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccon2)cc1 ZINC001032297872 749547158 /nfs/dbraw/zinc/54/71/58/749547158.db2.gz WALRRDNRCLMAJE-GJZGRUSLSA-N 1 2 308.341 1.645 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccon2)cc1 ZINC001032297872 749547164 /nfs/dbraw/zinc/54/71/64/749547164.db2.gz WALRRDNRCLMAJE-GJZGRUSLSA-N 1 2 308.341 1.645 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC(NC(=O)Cc2c[nH+]cn2C)C1 ZINC000996448740 749590960 /nfs/dbraw/zinc/59/09/60/749590960.db2.gz ZXCRQIGVNBHZGU-UHFFFAOYSA-N 1 2 324.812 1.068 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cn2ccccc2=O)C1 ZINC001033659329 749692352 /nfs/dbraw/zinc/69/23/52/749692352.db2.gz YOQXZVGFOLDSCW-CYBMUJFWSA-N 1 2 309.797 1.134 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cn2ccccc2=O)C1 ZINC001033659329 749692357 /nfs/dbraw/zinc/69/23/57/749692357.db2.gz YOQXZVGFOLDSCW-CYBMUJFWSA-N 1 2 309.797 1.134 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C/CNC(=O)Cc2c[nH+]cn2C)n1 ZINC001107262708 749701004 /nfs/dbraw/zinc/70/10/04/749701004.db2.gz FVCRNDZDDUBEAK-ONEGZZNKSA-N 1 2 324.388 1.322 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)C#CC2CC2)cn1 ZINC001039373388 761975345 /nfs/dbraw/zinc/97/53/45/761975345.db2.gz RLCJGLBOTVIQME-MSOLQXFVSA-N 1 2 324.428 1.764 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)C#CC2CC2)cn1 ZINC001039373388 761975347 /nfs/dbraw/zinc/97/53/47/761975347.db2.gz RLCJGLBOTVIQME-MSOLQXFVSA-N 1 2 324.428 1.764 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nnc(C)o1)C2 ZINC001111648824 749818959 /nfs/dbraw/zinc/81/89/59/749818959.db2.gz QIWCSJVDSUNHIH-BBRMVZONSA-N 1 2 304.394 1.815 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nnc(C)o1)C2 ZINC001111648824 749818964 /nfs/dbraw/zinc/81/89/64/749818964.db2.gz QIWCSJVDSUNHIH-BBRMVZONSA-N 1 2 304.394 1.815 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnc[nH]c1=O ZINC001039391944 761992699 /nfs/dbraw/zinc/99/26/99/761992699.db2.gz WCHWUOPZXRONRH-NEPJUHHUSA-N 1 2 322.796 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnc[nH]c1=O ZINC001039391944 761992703 /nfs/dbraw/zinc/99/27/03/761992703.db2.gz WCHWUOPZXRONRH-NEPJUHHUSA-N 1 2 322.796 1.614 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(F)c(Cl)cc2F)C1 ZINC001077601464 750011951 /nfs/dbraw/zinc/01/19/51/750011951.db2.gz BEAADDIXGISRBT-CHWSQXEVSA-N 1 2 314.719 1.026 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(F)c(Cl)cc2F)C1 ZINC001077601464 750011956 /nfs/dbraw/zinc/01/19/56/750011956.db2.gz BEAADDIXGISRBT-CHWSQXEVSA-N 1 2 314.719 1.026 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCCN(C)c1ccc(C#N)cn1 ZINC001095606655 750073601 /nfs/dbraw/zinc/07/36/01/750073601.db2.gz XQXRRYXHPRMLEY-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3cnoc3C)C[C@H]2O)CC1 ZINC001077622607 750149281 /nfs/dbraw/zinc/14/92/81/750149281.db2.gz SHBGHEKOCXADDY-HZPDHXFCSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3cnoc3C)C[C@H]2O)CC1 ZINC001077622607 750149284 /nfs/dbraw/zinc/14/92/84/750149284.db2.gz SHBGHEKOCXADDY-HZPDHXFCSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncccc2C)[C@H](O)C1 ZINC001090165495 750150300 /nfs/dbraw/zinc/15/03/00/750150300.db2.gz IJHJZNFXBAIJOM-QWHCGFSZSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncccc2C)[C@H](O)C1 ZINC001090165495 750150305 /nfs/dbraw/zinc/15/03/05/750150305.db2.gz IJHJZNFXBAIJOM-QWHCGFSZSA-N 1 2 309.797 1.308 20 30 DDEDLO CCN(C(=O)c1cccc(-n2cc[nH+]c2)c1)[C@@H]1CCN(CC#N)C1 ZINC001034003874 750264331 /nfs/dbraw/zinc/26/43/31/750264331.db2.gz IPPVVHCFGRYYJL-QGZVFWFLSA-N 1 2 323.400 1.932 20 30 DDEDLO Cc1nc(NC2(CNC(=O)C#CC3CC3)CCOCC2)cc[nH+]1 ZINC001110985745 750282303 /nfs/dbraw/zinc/28/23/03/750282303.db2.gz QQWKGOFLKPFQCJ-UHFFFAOYSA-N 1 2 314.389 1.276 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ncccn1)C2 ZINC001111168352 750401332 /nfs/dbraw/zinc/40/13/32/750401332.db2.gz OTVCFUMRRZJRAO-MCIONIFRSA-N 1 2 320.824 1.883 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ncccn1)C2 ZINC001111168352 750401335 /nfs/dbraw/zinc/40/13/35/750401335.db2.gz OTVCFUMRRZJRAO-MCIONIFRSA-N 1 2 320.824 1.883 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2CC(C)(C)C2)[C@H](O)C1 ZINC001090242702 750710797 /nfs/dbraw/zinc/71/07/97/750710797.db2.gz ALUHZWYJQZMSND-QWHCGFSZSA-N 1 2 300.830 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2CC(C)(C)C2)[C@H](O)C1 ZINC001090242702 750710802 /nfs/dbraw/zinc/71/08/02/750710802.db2.gz ALUHZWYJQZMSND-QWHCGFSZSA-N 1 2 300.830 1.727 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@]2(C)CCCc3ccccc32)[C@@H](O)C1 ZINC001083864375 750752428 /nfs/dbraw/zinc/75/24/28/750752428.db2.gz MHYPZFTVDSNJGD-AOIWGVFYSA-N 1 2 312.413 1.075 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@]2(C)CCCc3ccccc32)[C@@H](O)C1 ZINC001083864375 750752433 /nfs/dbraw/zinc/75/24/33/750752433.db2.gz MHYPZFTVDSNJGD-AOIWGVFYSA-N 1 2 312.413 1.075 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)CNc1cc(C)[nH+]c(C(C)C)n1 ZINC001107947864 750798209 /nfs/dbraw/zinc/79/82/09/750798209.db2.gz FUQJNCKFLXBZQE-KGLIPLIRSA-N 1 2 318.421 1.863 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2scnc2C(C)(C)C)C1 ZINC001077803603 750841253 /nfs/dbraw/zinc/84/12/53/750841253.db2.gz LFYMKXLGRJSKPT-GHMZBOCLSA-N 1 2 309.435 1.402 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2scnc2C(C)(C)C)C1 ZINC001077803603 750841261 /nfs/dbraw/zinc/84/12/61/750841261.db2.gz LFYMKXLGRJSKPT-GHMZBOCLSA-N 1 2 309.435 1.402 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(OC)cn2)C1 ZINC001108160838 750891378 /nfs/dbraw/zinc/89/13/78/750891378.db2.gz UZOADYHORQAEEB-QGZVFWFLSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(OC)cn2)C1 ZINC001108160838 750891386 /nfs/dbraw/zinc/89/13/86/750891386.db2.gz UZOADYHORQAEEB-QGZVFWFLSA-N 1 2 319.405 1.026 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C2CC2)nn1CC ZINC001032466383 750895189 /nfs/dbraw/zinc/89/51/89/750895189.db2.gz VHFPBUGJHFDDIP-GJZGRUSLSA-N 1 2 312.417 1.702 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C2CC2)nn1CC ZINC001032466383 750895194 /nfs/dbraw/zinc/89/51/94/750895194.db2.gz VHFPBUGJHFDDIP-GJZGRUSLSA-N 1 2 312.417 1.702 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)(F)F ZINC001114782383 751075525 /nfs/dbraw/zinc/07/55/25/751075525.db2.gz AVMGNZBWDDBUIK-JZYVYDRUSA-N 1 2 323.181 1.987 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)(F)F ZINC001114782383 751075530 /nfs/dbraw/zinc/07/55/30/751075530.db2.gz AVMGNZBWDDBUIK-JZYVYDRUSA-N 1 2 323.181 1.987 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)nc2C)C1 ZINC001108415164 762108613 /nfs/dbraw/zinc/10/86/13/762108613.db2.gz CPNJGSGTRODKJG-GOSISDBHSA-N 1 2 315.417 1.542 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)nc2C)C1 ZINC001108415164 762108618 /nfs/dbraw/zinc/10/86/18/762108618.db2.gz CPNJGSGTRODKJG-GOSISDBHSA-N 1 2 315.417 1.542 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc(C(C)C)no2)CCC1 ZINC001129121524 751297566 /nfs/dbraw/zinc/29/75/66/751297566.db2.gz YQUREFOZHDJXNV-UHFFFAOYSA-N 1 2 304.394 1.592 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+][C@H](C)c2ncc(C)o2)CCOCC1 ZINC001129116559 751302140 /nfs/dbraw/zinc/30/21/40/751302140.db2.gz BBSPYZCUTPODOQ-CQSZACIVSA-N 1 2 319.405 1.570 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(C)s2)C1 ZINC001108420096 762118666 /nfs/dbraw/zinc/11/86/66/762118666.db2.gz WRURPIKXZFBTOJ-MRXNPFEDSA-N 1 2 308.447 1.992 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(C)s2)C1 ZINC001108420096 762118669 /nfs/dbraw/zinc/11/86/69/762118669.db2.gz WRURPIKXZFBTOJ-MRXNPFEDSA-N 1 2 308.447 1.992 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C2(C(C)C)CC2)[C@@H](n2ccnn2)C1 ZINC001129243727 752398089 /nfs/dbraw/zinc/39/80/89/752398089.db2.gz UDGNXLKFMVKASK-CABCVRRESA-N 1 2 315.421 1.079 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C2(C(C)C)CC2)[C@@H](n2ccnn2)C1 ZINC001129243727 752398102 /nfs/dbraw/zinc/39/81/02/752398102.db2.gz UDGNXLKFMVKASK-CABCVRRESA-N 1 2 315.421 1.079 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001008021284 752503368 /nfs/dbraw/zinc/50/33/68/752503368.db2.gz JYUWMUHHTSGORU-CQSZACIVSA-N 1 2 324.856 1.858 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001008021284 752503372 /nfs/dbraw/zinc/50/33/72/752503372.db2.gz JYUWMUHHTSGORU-CQSZACIVSA-N 1 2 324.856 1.858 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nn(CC)c1C ZINC001032686203 752724090 /nfs/dbraw/zinc/72/40/90/752724090.db2.gz IOSUTNMXMYCQPL-GJZGRUSLSA-N 1 2 300.406 1.442 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nn(CC)c1C ZINC001032686203 752724095 /nfs/dbraw/zinc/72/40/95/752724095.db2.gz IOSUTNMXMYCQPL-GJZGRUSLSA-N 1 2 300.406 1.442 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(N2CCCC2)c1 ZINC001032700102 752741513 /nfs/dbraw/zinc/74/15/13/752741513.db2.gz GBYWBEKTDOMFJG-ROUUACIJSA-N 1 2 309.413 1.819 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(N2CCCC2)c1 ZINC001032700102 752741519 /nfs/dbraw/zinc/74/15/19/752741519.db2.gz GBYWBEKTDOMFJG-ROUUACIJSA-N 1 2 309.413 1.819 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001062105794 752767004 /nfs/dbraw/zinc/76/70/04/752767004.db2.gz ZRKSMVYZSOERHH-ZDUSSCGKSA-N 1 2 324.388 1.583 20 30 DDEDLO CN(C[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1)c1ccncc1C#N ZINC001062129097 752791214 /nfs/dbraw/zinc/79/12/14/752791214.db2.gz IXQVXMYPQLYPKN-CQSZACIVSA-N 1 2 324.388 1.135 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CC[C@@H](CNc2ccc(C#N)nc2)C1 ZINC001060894060 753272140 /nfs/dbraw/zinc/27/21/40/753272140.db2.gz CODWNLZPHWAPJS-ZDUSSCGKSA-N 1 2 324.388 1.190 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2OCC(C)C)C1 ZINC001077854675 753325666 /nfs/dbraw/zinc/32/56/66/753325666.db2.gz WZTHTNZDAJRXPM-HZPDHXFCSA-N 1 2 316.401 1.130 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2OCC(C)C)C1 ZINC001077854675 753325672 /nfs/dbraw/zinc/32/56/72/753325672.db2.gz WZTHTNZDAJRXPM-HZPDHXFCSA-N 1 2 316.401 1.130 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CCCC)nc1C ZINC001032723922 753361820 /nfs/dbraw/zinc/36/18/20/753361820.db2.gz YSXATWJZDRQVGI-GJZGRUSLSA-N 1 2 300.406 1.524 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CCCC)nc1C ZINC001032723922 753361826 /nfs/dbraw/zinc/36/18/26/753361826.db2.gz YSXATWJZDRQVGI-GJZGRUSLSA-N 1 2 300.406 1.524 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CCCC(C)C)[C@@H](n2ccnn2)C1 ZINC001129279289 753380546 /nfs/dbraw/zinc/38/05/46/753380546.db2.gz BMAVFBJHKGHXIM-CABCVRRESA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CCCC(C)C)[C@@H](n2ccnn2)C1 ZINC001129279289 753380551 /nfs/dbraw/zinc/38/05/51/753380551.db2.gz BMAVFBJHKGHXIM-CABCVRRESA-N 1 2 303.410 1.079 20 30 DDEDLO COc1ccc([C@@H]2C[C@@H](N3CC[NH2+]C[C@H]3C#N)CCO2)cc1 ZINC001168638224 753385237 /nfs/dbraw/zinc/38/52/37/753385237.db2.gz WRNNZGSVEMMTDG-UXLLHSPISA-N 1 2 301.390 1.713 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)C2=COCCO2)C1 ZINC001009999260 753534264 /nfs/dbraw/zinc/53/42/64/753534264.db2.gz TYTZHVIIIBZHLF-HNNXBMFYSA-N 1 2 313.357 1.137 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)C2=COCCO2)C1 ZINC001009999260 753534269 /nfs/dbraw/zinc/53/42/69/753534269.db2.gz TYTZHVIIIBZHLF-HNNXBMFYSA-N 1 2 313.357 1.137 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cnccc2OC)C1 ZINC001108022179 753565656 /nfs/dbraw/zinc/56/56/56/753565656.db2.gz DGLZUZVWIRRVPT-QGZVFWFLSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cnccc2OC)C1 ZINC001108022179 753565660 /nfs/dbraw/zinc/56/56/60/753565660.db2.gz DGLZUZVWIRRVPT-QGZVFWFLSA-N 1 2 319.405 1.026 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@H](C)CNc1cc[nH+]c(C)n1 ZINC001108025886 753593560 /nfs/dbraw/zinc/59/35/60/753593560.db2.gz UTBSRFKFBRKYNJ-MLGOLLRUSA-N 1 2 306.410 1.930 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)on1 ZINC001010156778 753646413 /nfs/dbraw/zinc/64/64/13/753646413.db2.gz YOZGSTKBMFBSRL-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)on1 ZINC001010156778 753646418 /nfs/dbraw/zinc/64/64/18/753646418.db2.gz YOZGSTKBMFBSRL-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nn(CC)c1Cl ZINC001032790336 753730827 /nfs/dbraw/zinc/73/08/27/753730827.db2.gz GEQFARBHUGKOQJ-RYUDHWBXSA-N 1 2 306.797 1.397 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nn(CC)c1Cl ZINC001032790336 753730831 /nfs/dbraw/zinc/73/08/31/753730831.db2.gz GEQFARBHUGKOQJ-RYUDHWBXSA-N 1 2 306.797 1.397 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1c(C)nn(C)c1C ZINC001032790952 753740884 /nfs/dbraw/zinc/74/08/84/753740884.db2.gz DEUJKPXSTRNBCS-KCXAZCMYSA-N 1 2 314.433 1.134 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1c(C)nn(C)c1C ZINC001032790952 753740886 /nfs/dbraw/zinc/74/08/86/753740886.db2.gz DEUJKPXSTRNBCS-KCXAZCMYSA-N 1 2 314.433 1.134 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001060954952 753791914 /nfs/dbraw/zinc/79/19/14/753791914.db2.gz KWYSZXOFQGEAJF-HNNXBMFYSA-N 1 2 324.388 1.500 20 30 DDEDLO Cn1ccnc1C[N@H+]1CC=C(CNC(=O)c2cc(C#N)c[nH]2)CC1 ZINC001000559124 753796010 /nfs/dbraw/zinc/79/60/10/753796010.db2.gz LJKVUPWVNGMBFR-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CC=C(CNC(=O)c2cc(C#N)c[nH]2)CC1 ZINC001000559124 753796017 /nfs/dbraw/zinc/79/60/17/753796017.db2.gz LJKVUPWVNGMBFR-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO Cn1cc(CC(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)cn1 ZINC001010364823 753814059 /nfs/dbraw/zinc/81/40/59/753814059.db2.gz NMTWPNPHDQUEQI-QGZVFWFLSA-N 1 2 323.400 1.225 20 30 DDEDLO Cn1cc(CC(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)cn1 ZINC001010364823 753814065 /nfs/dbraw/zinc/81/40/65/753814065.db2.gz NMTWPNPHDQUEQI-QGZVFWFLSA-N 1 2 323.400 1.225 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001078182050 753837095 /nfs/dbraw/zinc/83/70/95/753837095.db2.gz CQMCDWOJTBIKTG-HUUCEWRRSA-N 1 2 318.421 1.030 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001078182050 753837105 /nfs/dbraw/zinc/83/71/05/753837105.db2.gz CQMCDWOJTBIKTG-HUUCEWRRSA-N 1 2 318.421 1.030 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCCn3nccc32)C1 ZINC001108033978 753853711 /nfs/dbraw/zinc/85/37/11/753853711.db2.gz QLDNWARCKLQXPR-YOEHRIQHSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCCn3nccc32)C1 ZINC001108033978 753853718 /nfs/dbraw/zinc/85/37/18/753853718.db2.gz QLDNWARCKLQXPR-YOEHRIQHSA-N 1 2 318.421 1.154 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2CC(c3cccc(F)c3)C2)C1 ZINC001078283044 753917795 /nfs/dbraw/zinc/91/77/95/753917795.db2.gz BDZODCLMFDQRAO-GMGZGELVSA-N 1 2 316.376 1.114 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2CC(c3cccc(F)c3)C2)C1 ZINC001078283044 753917797 /nfs/dbraw/zinc/91/77/97/753917797.db2.gz BDZODCLMFDQRAO-GMGZGELVSA-N 1 2 316.376 1.114 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001063203212 754039879 /nfs/dbraw/zinc/03/98/79/754039879.db2.gz CCJROVPRUIGVBX-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001063234340 754054647 /nfs/dbraw/zinc/05/46/47/754054647.db2.gz CEDRRTOLOUVMKZ-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO CC(C)C#CC(=O)NC1(CNC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001063396314 754125805 /nfs/dbraw/zinc/12/58/05/754125805.db2.gz CMESPAZVQWWPBS-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO CC(C)C#CC(=O)NC1(CNC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001063396314 754125811 /nfs/dbraw/zinc/12/58/11/754125811.db2.gz CMESPAZVQWWPBS-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001011394853 754496369 /nfs/dbraw/zinc/49/63/69/754496369.db2.gz YDZCQKUMYYFLRK-NSHDSACASA-N 1 2 311.345 1.007 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001000904440 762325241 /nfs/dbraw/zinc/32/52/41/762325241.db2.gz MEHCNOBSOYGJGF-LBPRGKRZSA-N 1 2 319.796 1.905 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@H]1CNc1ccc(C#N)cn1 ZINC001064932457 754966887 /nfs/dbraw/zinc/96/68/87/754966887.db2.gz LFEVZUHRXZSGTC-AWEZNQCLSA-N 1 2 324.388 1.332 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CCCc2nn(C)cc21 ZINC001038626490 755012609 /nfs/dbraw/zinc/01/26/09/755012609.db2.gz PUXLMRLBCGOGCA-KBPBESRZSA-N 1 2 300.406 1.054 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1CCCc2nn(C)cc21 ZINC001038626490 755012613 /nfs/dbraw/zinc/01/26/13/755012613.db2.gz PUXLMRLBCGOGCA-KBPBESRZSA-N 1 2 300.406 1.054 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cncc3[nH]cnc32)C1 ZINC001079737401 755558174 /nfs/dbraw/zinc/55/81/74/755558174.db2.gz KZIJBFWFKUYPOK-NOZJJQNGSA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cncc3[nH]cnc32)C1 ZINC001079737401 755558177 /nfs/dbraw/zinc/55/81/77/755558177.db2.gz KZIJBFWFKUYPOK-NOZJJQNGSA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001079798091 755591622 /nfs/dbraw/zinc/59/16/22/755591622.db2.gz IMEMBNQKTOZQJZ-RAIGVLPGSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001079798091 755591624 /nfs/dbraw/zinc/59/16/24/755591624.db2.gz IMEMBNQKTOZQJZ-RAIGVLPGSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn3c(n2)CCCC3)C1 ZINC001014616778 755832519 /nfs/dbraw/zinc/83/25/19/755832519.db2.gz JXRDJTWKCLFBTC-LBPRGKRZSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn3c(n2)CCCC3)C1 ZINC001014616778 755832521 /nfs/dbraw/zinc/83/25/21/755832521.db2.gz JXRDJTWKCLFBTC-LBPRGKRZSA-N 1 2 308.813 1.776 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1c1ncnc2c1ccn2Cc1ccccc1 ZINC001156319706 762428807 /nfs/dbraw/zinc/42/88/07/762428807.db2.gz BHXKRFIMPZTIQK-HNNXBMFYSA-N 1 2 318.384 1.781 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001080927781 756146522 /nfs/dbraw/zinc/14/65/22/756146522.db2.gz LVHXEDXTYWCCGG-QMTHXVAHSA-N 1 2 321.808 1.588 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001080927781 756146525 /nfs/dbraw/zinc/14/65/25/756146525.db2.gz LVHXEDXTYWCCGG-QMTHXVAHSA-N 1 2 321.808 1.588 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2coc(C(N)=O)c2)CC1 ZINC001000993497 762449612 /nfs/dbraw/zinc/44/96/12/762449612.db2.gz FDRKXQIVJAPIKN-UHFFFAOYSA-N 1 2 323.780 1.493 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2coc(C(N)=O)c2)CC1 ZINC001000993497 762449616 /nfs/dbraw/zinc/44/96/16/762449616.db2.gz FDRKXQIVJAPIKN-UHFFFAOYSA-N 1 2 323.780 1.493 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccn(C(C)C)n2)C1 ZINC001015342420 756251551 /nfs/dbraw/zinc/25/15/51/756251551.db2.gz BXKKBQHLIHXCKM-AWEZNQCLSA-N 1 2 310.829 1.950 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccn(C(C)C)n2)C1 ZINC001015342420 756251553 /nfs/dbraw/zinc/25/15/53/756251553.db2.gz BXKKBQHLIHXCKM-AWEZNQCLSA-N 1 2 310.829 1.950 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2c(C)nn(C)c2C)C1 ZINC001015437064 756299707 /nfs/dbraw/zinc/29/97/07/756299707.db2.gz AXVQVWFSKCYPCY-CYBMUJFWSA-N 1 2 310.829 1.522 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2c(C)nn(C)c2C)C1 ZINC001015437064 756299712 /nfs/dbraw/zinc/29/97/12/756299712.db2.gz AXVQVWFSKCYPCY-CYBMUJFWSA-N 1 2 310.829 1.522 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099728279 756442395 /nfs/dbraw/zinc/44/23/95/756442395.db2.gz WHJKIXZJQQEQPC-CHWSQXEVSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099728279 756442399 /nfs/dbraw/zinc/44/23/99/756442399.db2.gz WHJKIXZJQQEQPC-CHWSQXEVSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001015725977 756498602 /nfs/dbraw/zinc/49/86/02/756498602.db2.gz HDQIEJBSTOQUAP-OAGGEKHMSA-N 1 2 316.405 1.067 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)nc2)C1 ZINC001015725977 756498606 /nfs/dbraw/zinc/49/86/06/756498606.db2.gz HDQIEJBSTOQUAP-OAGGEKHMSA-N 1 2 316.405 1.067 20 30 DDEDLO CCn1ccc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001015791890 756552631 /nfs/dbraw/zinc/55/26/31/756552631.db2.gz OSNSTXOICXDPMK-KRWDZBQOSA-N 1 2 322.412 1.759 20 30 DDEDLO CCn1ccc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001015791890 756552635 /nfs/dbraw/zinc/55/26/35/756552635.db2.gz OSNSTXOICXDPMK-KRWDZBQOSA-N 1 2 322.412 1.759 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cncc3sccc32)[C@H](OC)C1 ZINC001082059351 756618765 /nfs/dbraw/zinc/61/87/65/756618765.db2.gz JYGMIKIUVMUAKV-ZIAGYGMSSA-N 1 2 315.398 1.359 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cncc3sccc32)[C@H](OC)C1 ZINC001082059351 756618768 /nfs/dbraw/zinc/61/87/68/756618768.db2.gz JYGMIKIUVMUAKV-ZIAGYGMSSA-N 1 2 315.398 1.359 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc3c(=O)ccoc3c2)C1 ZINC001015998801 756708655 /nfs/dbraw/zinc/70/86/55/756708655.db2.gz HOOGHJBXDVWNOI-CQSZACIVSA-N 1 2 310.353 1.620 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2ccc3c(=O)ccoc3c2)C1 ZINC001015998801 756708657 /nfs/dbraw/zinc/70/86/57/756708657.db2.gz HOOGHJBXDVWNOI-CQSZACIVSA-N 1 2 310.353 1.620 20 30 DDEDLO CCn1cnc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)c1 ZINC001016004824 756714179 /nfs/dbraw/zinc/71/41/79/756714179.db2.gz HGKPGGKVNJQBKM-QGZVFWFLSA-N 1 2 322.412 1.759 20 30 DDEDLO CCn1cnc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)c1 ZINC001016004824 756714182 /nfs/dbraw/zinc/71/41/82/756714182.db2.gz HGKPGGKVNJQBKM-QGZVFWFLSA-N 1 2 322.412 1.759 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001082320233 756735683 /nfs/dbraw/zinc/73/56/83/756735683.db2.gz DGGCSLJXPKNACD-HNNXBMFYSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)[C@H](OC)C1 ZINC001082376279 756759453 /nfs/dbraw/zinc/75/94/53/756759453.db2.gz MMRPAUOTJXABKE-HUUCEWRRSA-N 1 2 304.365 1.505 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)[C@H](OC)C1 ZINC001082376279 756759454 /nfs/dbraw/zinc/75/94/54/756759454.db2.gz MMRPAUOTJXABKE-HUUCEWRRSA-N 1 2 304.365 1.505 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067139850 756804513 /nfs/dbraw/zinc/80/45/13/756804513.db2.gz PNRICGDWPQMGTK-FZMZJTMJSA-N 1 2 310.361 1.178 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCC(F)(F)F)[C@@H](O)C1 ZINC001099757863 756874824 /nfs/dbraw/zinc/87/48/24/756874824.db2.gz KTZHQCQPWJMIRL-UWVGGRQHSA-N 1 2 314.735 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCC(F)(F)F)[C@@H](O)C1 ZINC001099757863 756874831 /nfs/dbraw/zinc/87/48/31/756874831.db2.gz KTZHQCQPWJMIRL-UWVGGRQHSA-N 1 2 314.735 1.633 20 30 DDEDLO CC(=O)N1CCO[C@@H]2C[N@H+](CC#Cc3ccc(F)cc3)C[C@@H]21 ZINC001082970310 756991523 /nfs/dbraw/zinc/99/15/23/756991523.db2.gz GPGLDYHVFQQWFZ-DLBZAZTESA-N 1 2 302.349 1.109 20 30 DDEDLO CC(=O)N1CCO[C@@H]2C[N@@H+](CC#Cc3ccc(F)cc3)C[C@@H]21 ZINC001082970310 756991535 /nfs/dbraw/zinc/99/15/35/756991535.db2.gz GPGLDYHVFQQWFZ-DLBZAZTESA-N 1 2 302.349 1.109 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097299860 757064234 /nfs/dbraw/zinc/06/42/34/757064234.db2.gz AWUAQQOOWILUIQ-WMLDXEAASA-N 1 2 318.421 1.445 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001097349028 757101758 /nfs/dbraw/zinc/10/17/58/757101758.db2.gz LQHKBVKXUZKOTB-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cncc(Cl)c3C)[C@H]2C1 ZINC001083111562 757117301 /nfs/dbraw/zinc/11/73/01/757117301.db2.gz MSSCIPSBBSIWLZ-LSDHHAIUSA-N 1 2 319.792 1.202 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cncc(Cl)c3C)[C@H]2C1 ZINC001083111562 757117304 /nfs/dbraw/zinc/11/73/04/757117304.db2.gz MSSCIPSBBSIWLZ-LSDHHAIUSA-N 1 2 319.792 1.202 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1O ZINC001083998568 757226513 /nfs/dbraw/zinc/22/65/13/757226513.db2.gz DCIUEDHSSZZLGJ-RQTGCPSESA-N 1 2 318.804 1.124 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1O ZINC001083998568 757226517 /nfs/dbraw/zinc/22/65/17/757226517.db2.gz DCIUEDHSSZZLGJ-RQTGCPSESA-N 1 2 318.804 1.124 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)n(C(C)C)c2C)[C@@H](O)C1 ZINC001084041117 757239211 /nfs/dbraw/zinc/23/92/11/757239211.db2.gz NFLVBZWWRMORHW-CVEARBPZSA-N 1 2 303.406 1.094 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)n(C(C)C)c2C)[C@@H](O)C1 ZINC001084041117 757239224 /nfs/dbraw/zinc/23/92/24/757239224.db2.gz NFLVBZWWRMORHW-CVEARBPZSA-N 1 2 303.406 1.094 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3cc(C)ccc3o2)[C@@H](O)C1 ZINC001084089557 757278700 /nfs/dbraw/zinc/27/87/00/757278700.db2.gz PTVKSWUZIWFDDG-KGLIPLIRSA-N 1 2 300.358 1.702 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3cc(C)ccc3o2)[C@@H](O)C1 ZINC001084089557 757278704 /nfs/dbraw/zinc/27/87/04/757278704.db2.gz PTVKSWUZIWFDDG-KGLIPLIRSA-N 1 2 300.358 1.702 20 30 DDEDLO O=C(c1cc[nH]n1)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084203487 757390978 /nfs/dbraw/zinc/39/09/78/757390978.db2.gz NYUNMCDNELYQDY-SJLPKXTDSA-N 1 2 320.396 1.608 20 30 DDEDLO O=C(c1cc[nH]n1)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084203487 757390988 /nfs/dbraw/zinc/39/09/88/757390988.db2.gz NYUNMCDNELYQDY-SJLPKXTDSA-N 1 2 320.396 1.608 20 30 DDEDLO O=C(c1ccn[nH]1)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084203487 757390995 /nfs/dbraw/zinc/39/09/95/757390995.db2.gz NYUNMCDNELYQDY-SJLPKXTDSA-N 1 2 320.396 1.608 20 30 DDEDLO O=C(c1ccn[nH]1)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084203487 757391009 /nfs/dbraw/zinc/39/10/09/757391009.db2.gz NYUNMCDNELYQDY-SJLPKXTDSA-N 1 2 320.396 1.608 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cnc([C@@H](C)OC)s3)[C@@H]2C1 ZINC001084346198 757503645 /nfs/dbraw/zinc/50/36/45/757503645.db2.gz AEBHIHWCCQGTMP-JHJVBQTASA-N 1 2 319.430 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc([C@@H](C)OC)s3)[C@@H]2C1 ZINC001084346198 757503657 /nfs/dbraw/zinc/50/36/57/757503657.db2.gz AEBHIHWCCQGTMP-JHJVBQTASA-N 1 2 319.430 1.630 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cn[nH]c(=O)c3)[C@@H]2C1 ZINC001084431740 757592180 /nfs/dbraw/zinc/59/21/80/757592180.db2.gz OWTOZGJVTXRLIK-ZYHUDNBSSA-N 1 2 308.769 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cn[nH]c(=O)c3)[C@@H]2C1 ZINC001084431740 757592193 /nfs/dbraw/zinc/59/21/93/757592193.db2.gz OWTOZGJVTXRLIK-ZYHUDNBSSA-N 1 2 308.769 1.081 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](Cc3nncn3C)CC2)C1 ZINC001052689246 757650020 /nfs/dbraw/zinc/65/00/20/757650020.db2.gz GEDGMYMSHBYQMP-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](Cc3nncn3C)CC2)C1 ZINC001052689246 757650026 /nfs/dbraw/zinc/65/00/26/757650026.db2.gz GEDGMYMSHBYQMP-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2ccncc2Br)CCCN1O ZINC001119450090 757691293 /nfs/dbraw/zinc/69/12/93/757691293.db2.gz MBDPTUSFCSNDMH-JTQLQIEISA-N 1 2 300.156 1.314 20 30 DDEDLO C/C(=N\C#N)N(C)Cc1ccc(NC[C@H]2COCC[N@@H+]2C)nc1 ZINC001169651441 762571991 /nfs/dbraw/zinc/57/19/91/762571991.db2.gz ONONGTMJBJGNQJ-NPRIEWAGSA-N 1 2 316.409 1.155 20 30 DDEDLO C/C(=N\C#N)N(C)Cc1ccc(NC[C@H]2COCC[N@H+]2C)nc1 ZINC001169651441 762571994 /nfs/dbraw/zinc/57/19/94/762571994.db2.gz ONONGTMJBJGNQJ-NPRIEWAGSA-N 1 2 316.409 1.155 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H]3CC[N@@H+](CC(=C)Cl)C[C@H]32)cn1 ZINC001084783641 757910615 /nfs/dbraw/zinc/91/06/15/757910615.db2.gz BEEVYOXMUWYZOS-GDBMZVCRSA-N 1 2 315.804 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H]3CC[N@H+](CC(=C)Cl)C[C@H]32)cn1 ZINC001084783641 757910618 /nfs/dbraw/zinc/91/06/18/757910618.db2.gz BEEVYOXMUWYZOS-GDBMZVCRSA-N 1 2 315.804 1.962 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1c(C)nc2ccccc21 ZINC001017547296 758014282 /nfs/dbraw/zinc/01/42/82/758014282.db2.gz ADEQBVZCQWKHLV-IYBDPMFKSA-N 1 2 322.412 1.653 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1c(C)nc2ccccc21 ZINC001017547296 758014293 /nfs/dbraw/zinc/01/42/93/758014293.db2.gz ADEQBVZCQWKHLV-IYBDPMFKSA-N 1 2 322.412 1.653 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1ccnn1C ZINC001017561332 758030365 /nfs/dbraw/zinc/03/03/65/758030365.db2.gz SXNGZXTZCJHOOT-KFWWJZLASA-N 1 2 300.406 1.222 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1ccnn1C ZINC001017561332 758030372 /nfs/dbraw/zinc/03/03/72/758030372.db2.gz SXNGZXTZCJHOOT-KFWWJZLASA-N 1 2 300.406 1.222 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1C ZINC001053031019 758094850 /nfs/dbraw/zinc/09/48/50/758094850.db2.gz DBECHDQHKURMCX-KBPBESRZSA-N 1 2 318.421 1.140 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(OC)c(F)c1 ZINC001017636666 758104782 /nfs/dbraw/zinc/10/47/82/758104782.db2.gz VMZREXSOODYHIM-OKILXGFUSA-N 1 2 302.349 1.756 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(OC)c(F)c1 ZINC001017636666 758104786 /nfs/dbraw/zinc/10/47/86/758104786.db2.gz VMZREXSOODYHIM-OKILXGFUSA-N 1 2 302.349 1.756 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099857806 758113087 /nfs/dbraw/zinc/11/30/87/758113087.db2.gz BZYXHNHEFLWYBU-GDBMZVCRSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099857806 758113092 /nfs/dbraw/zinc/11/30/92/758113092.db2.gz BZYXHNHEFLWYBU-GDBMZVCRSA-N 1 2 321.421 1.699 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2cccnc21 ZINC001017835343 758284648 /nfs/dbraw/zinc/28/46/48/758284648.db2.gz JQQFIHMWIJWBKH-IXDOHACOSA-N 1 2 309.413 1.810 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2cccnc21 ZINC001017835343 758284651 /nfs/dbraw/zinc/28/46/51/758284651.db2.gz JQQFIHMWIJWBKH-IXDOHACOSA-N 1 2 309.413 1.810 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC1CC(OCC)C1)CCO2 ZINC001053391521 758424375 /nfs/dbraw/zinc/42/43/75/758424375.db2.gz OKJMKMWYUSQCPK-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001053503302 758509462 /nfs/dbraw/zinc/50/94/62/758509462.db2.gz JTCNWVCOGCCFEA-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1ccccc1F)CCO2 ZINC001053523291 758530784 /nfs/dbraw/zinc/53/07/84/758530784.db2.gz WFTGNSAMIPFILI-UHFFFAOYSA-N 1 2 318.392 1.858 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ncccn2n1 ZINC001018086667 758537259 /nfs/dbraw/zinc/53/72/59/758537259.db2.gz QBQRXYTZLBWFGB-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ncccn2n1 ZINC001018086667 758537264 /nfs/dbraw/zinc/53/72/64/758537264.db2.gz QBQRXYTZLBWFGB-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1snnc1C)O2 ZINC001053571498 758578688 /nfs/dbraw/zinc/57/86/88/758578688.db2.gz HYVPIKGPIJRNHJ-LBPRGKRZSA-N 1 2 322.434 1.386 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ocnc1C)O2 ZINC001053588200 758603880 /nfs/dbraw/zinc/60/38/80/758603880.db2.gz QVONUUZPKCOGHT-ZDUSSCGKSA-N 1 2 305.378 1.522 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)ncn1)O2 ZINC001053593020 758610735 /nfs/dbraw/zinc/61/07/35/758610735.db2.gz YTNDUSVRBZPIJZ-CQSZACIVSA-N 1 2 316.405 1.324 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(C)ccn1C)O2 ZINC001053596314 758618223 /nfs/dbraw/zinc/61/82/23/758618223.db2.gz PYFKMMKHIYOAJL-OAHLLOKOSA-N 1 2 317.433 1.873 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(C)[nH]nc1Cl)O2 ZINC001053607550 758627769 /nfs/dbraw/zinc/62/77/69/758627769.db2.gz ZEYLMKUENDYYOD-LLVKDONJSA-N 1 2 324.812 1.521 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(F)cn1)O2 ZINC001053610667 758628951 /nfs/dbraw/zinc/62/89/51/758628951.db2.gz XBECRWRCLDBULP-ZDUSSCGKSA-N 1 2 305.353 1.370 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1csc(C)n1)O2 ZINC001053649737 758662922 /nfs/dbraw/zinc/66/29/22/758662922.db2.gz VJWUEKDZHUZRMU-ZDUSSCGKSA-N 1 2 321.446 1.991 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccn(CC)n1)O2 ZINC001053672489 758682345 /nfs/dbraw/zinc/68/23/45/758682345.db2.gz NFWIZYBNCJSCPN-AWEZNQCLSA-N 1 2 318.421 1.442 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccnc(C)c1)O2 ZINC001053678000 758688422 /nfs/dbraw/zinc/68/84/22/758688422.db2.gz MGABTQQUEDYNLC-OAHLLOKOSA-N 1 2 301.390 1.539 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+]([C@@H]2CCN(C(C)=O)C2)CC1 ZINC001065675414 758694546 /nfs/dbraw/zinc/69/45/46/758694546.db2.gz BDJAAPGKNVELGI-GDBMZVCRSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(OC(C)C)cn1 ZINC001018353042 758751759 /nfs/dbraw/zinc/75/17/59/758751759.db2.gz IIHODWOVDUKVFC-GASCZTMLSA-N 1 2 313.401 1.791 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(OC(C)C)cn1 ZINC001018353042 758751764 /nfs/dbraw/zinc/75/17/64/758751764.db2.gz IIHODWOVDUKVFC-GASCZTMLSA-N 1 2 313.401 1.791 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cscc1C)CO2 ZINC001053764312 758783611 /nfs/dbraw/zinc/78/36/11/758783611.db2.gz NGHJKYZMFHWXLD-ZDUSSCGKSA-N 1 2 304.415 1.653 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1CNc1ccc(C#N)nc1 ZINC001065860686 758865973 /nfs/dbraw/zinc/86/59/73/758865973.db2.gz FOJBOLKFYWODPT-KGLIPLIRSA-N 1 2 324.388 1.356 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H]1CC(C)(C)CO1)CO2 ZINC001053869691 758902769 /nfs/dbraw/zinc/90/27/69/758902769.db2.gz QQFCSLYQFQPGBF-HUUCEWRRSA-N 1 2 322.449 1.727 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C#C)cc1)CO2 ZINC001053890100 758923414 /nfs/dbraw/zinc/92/34/14/758923414.db2.gz ZXCZFZHAVIYPET-QGZVFWFLSA-N 1 2 308.381 1.264 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H]1CC=CCC1)CO2 ZINC001053894251 758927676 /nfs/dbraw/zinc/92/76/76/758927676.db2.gz JCPJDSFYCCGWJR-JKSUJKDBSA-N 1 2 302.418 1.716 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CCC(F)F)CC2=O)C1 ZINC001108555939 762671274 /nfs/dbraw/zinc/67/12/74/762671274.db2.gz CIJYQKPNLLSVJQ-LLVKDONJSA-N 1 2 315.364 1.009 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccncc1Cl)CO2 ZINC001053938343 758973778 /nfs/dbraw/zinc/97/37/78/758973778.db2.gz RLNHOARDBOPKMT-LBPRGKRZSA-N 1 2 319.792 1.331 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)n[nH]c1C)CO2 ZINC001053958504 758994608 /nfs/dbraw/zinc/99/46/08/758994608.db2.gz ZVAKGLAAYACNFB-CYBMUJFWSA-N 1 2 304.394 1.176 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3(CC(C)C)CC3)CC2=O)C1 ZINC001108551465 762677778 /nfs/dbraw/zinc/67/77/78/762677778.db2.gz AMDNHFOJVUOMHP-AWEZNQCLSA-N 1 2 319.449 1.400 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C(F)F)o1)CO2 ZINC001053996743 759041694 /nfs/dbraw/zinc/04/16/94/759041694.db2.gz PEPWESRQNZPKOY-LLVKDONJSA-N 1 2 324.327 1.814 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C(C)(C)C(=C)C)CC2=O)C1 ZINC001108560343 762681633 /nfs/dbraw/zinc/68/16/33/762681633.db2.gz JXCLXVWORXJPMG-AWEZNQCLSA-N 1 2 319.449 1.566 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(C)(C)C(=C)C)CC2=O)C1 ZINC001108561117 762683986 /nfs/dbraw/zinc/68/39/86/762683986.db2.gz RWPGQAHYOSBXKY-ZDUSSCGKSA-N 1 2 305.422 1.176 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001054049890 759106021 /nfs/dbraw/zinc/10/60/21/759106021.db2.gz MESSIBLKUPDUGI-WNRNVDISSA-N 1 2 310.397 1.055 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001054049890 759106029 /nfs/dbraw/zinc/10/60/29/759106029.db2.gz MESSIBLKUPDUGI-WNRNVDISSA-N 1 2 310.397 1.055 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccnc(C)n1 ZINC001054060648 759114258 /nfs/dbraw/zinc/11/42/58/759114258.db2.gz QCOVEYRRNNOBOF-KRWDZBQOSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccnc(C)n1 ZINC001054060648 759114261 /nfs/dbraw/zinc/11/42/61/759114261.db2.gz QCOVEYRRNNOBOF-KRWDZBQOSA-N 1 2 320.396 1.575 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2coc(COC)n2)C1 ZINC001046741768 767876848 /nfs/dbraw/zinc/87/68/48/767876848.db2.gz INDVHROQIAZHLQ-AWEZNQCLSA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2coc(COC)n2)C1 ZINC001046741768 767876856 /nfs/dbraw/zinc/87/68/56/767876856.db2.gz INDVHROQIAZHLQ-AWEZNQCLSA-N 1 2 313.785 1.768 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cnnn1C ZINC001085577463 759757568 /nfs/dbraw/zinc/75/75/68/759757568.db2.gz MYSFCIVCBNATTH-MRXNPFEDSA-N 1 2 323.400 1.013 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cnnn1C ZINC001085577463 759757573 /nfs/dbraw/zinc/75/75/73/759757573.db2.gz MYSFCIVCBNATTH-MRXNPFEDSA-N 1 2 323.400 1.013 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(CC(F)F)nc1C ZINC001085659289 759951661 /nfs/dbraw/zinc/95/16/61/759951661.db2.gz OROMPOPJMXXCGT-LBPRGKRZSA-N 1 2 310.348 1.236 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(CC(F)F)nc1C ZINC001085659289 759951664 /nfs/dbraw/zinc/95/16/64/759951664.db2.gz OROMPOPJMXXCGT-LBPRGKRZSA-N 1 2 310.348 1.236 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)CNC(=O)Cc2c[nH+]cn2C)n1 ZINC001097955813 759984421 /nfs/dbraw/zinc/98/44/21/759984421.db2.gz VJRRMDOTMKZSSL-GFCCVEGCSA-N 1 2 312.377 1.155 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001054975050 760249070 /nfs/dbraw/zinc/24/90/70/760249070.db2.gz ANPBTCUXDLYUSJ-NWDGAFQWSA-N 1 2 306.410 1.781 20 30 DDEDLO C=CCOCCCC(=O)NCCN(C)c1nc(C)[nH+]c(C)c1C ZINC001099996872 760301827 /nfs/dbraw/zinc/30/18/27/760301827.db2.gz TYHWEPBVXFEQEZ-UHFFFAOYSA-N 1 2 320.437 1.937 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001046810226 767943960 /nfs/dbraw/zinc/94/39/60/767943960.db2.gz IQZGWPKGISRIAE-SWLSCSKDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001046810226 767943964 /nfs/dbraw/zinc/94/39/64/767943964.db2.gz IQZGWPKGISRIAE-SWLSCSKDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2CN(C(=O)CCn3cc[nH+]c3)C[C@@H]2C1 ZINC001055238908 760415866 /nfs/dbraw/zinc/41/58/66/760415866.db2.gz ZKHOTSUZFVAKMV-GASCZTMLSA-N 1 2 316.405 1.156 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH+]cn2C)CC1 ZINC001066336489 760467349 /nfs/dbraw/zinc/46/73/49/760467349.db2.gz KPUDEYZGIWAVHS-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@@H]2C1 ZINC001055363184 760477324 /nfs/dbraw/zinc/47/73/24/760477324.db2.gz UOHLGJIJYDOPIS-OKILXGFUSA-N 1 2 316.405 1.225 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2ccccn2)nc1 ZINC001085929817 760556277 /nfs/dbraw/zinc/55/62/77/760556277.db2.gz HGRQHKZESJPTQT-QGZVFWFLSA-N 1 2 320.396 1.804 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2ccccn2)nc1 ZINC001085929817 760556282 /nfs/dbraw/zinc/55/62/82/760556282.db2.gz HGRQHKZESJPTQT-QGZVFWFLSA-N 1 2 320.396 1.804 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cc(C)no2)cn1 ZINC001085932913 760563333 /nfs/dbraw/zinc/56/33/33/760563333.db2.gz LSIAHULMSFAZBZ-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cc(C)no2)cn1 ZINC001085932913 760563338 /nfs/dbraw/zinc/56/33/38/760563338.db2.gz LSIAHULMSFAZBZ-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C/C=C\Cl)nc1 ZINC001085933978 760563855 /nfs/dbraw/zinc/56/38/55/760563855.db2.gz OROPDKBHSFHQPR-VCDPDADVSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2C/C=C\Cl)nc1 ZINC001085933978 760563859 /nfs/dbraw/zinc/56/38/59/760563859.db2.gz OROPDKBHSFHQPR-VCDPDADVSA-N 1 2 303.793 1.962 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(OC)cccc1OC ZINC001085944699 760585756 /nfs/dbraw/zinc/58/57/56/760585756.db2.gz PWXGCIHYYJDCHB-ZDUSSCGKSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(OC)cccc1OC ZINC001085944699 760585760 /nfs/dbraw/zinc/58/57/60/760585760.db2.gz PWXGCIHYYJDCHB-ZDUSSCGKSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(N(CC)CC)nc1 ZINC001085996780 760677338 /nfs/dbraw/zinc/67/73/38/760677338.db2.gz XHUQMKNTSFCHPK-INIZCTEOSA-N 1 2 314.433 1.707 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(N(CC)CC)nc1 ZINC001085996780 760677343 /nfs/dbraw/zinc/67/73/43/760677343.db2.gz XHUQMKNTSFCHPK-INIZCTEOSA-N 1 2 314.433 1.707 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001046855469 767987471 /nfs/dbraw/zinc/98/74/71/767987471.db2.gz TVLMPIQQLUDEDJ-LRDDRELGSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001046855469 767987475 /nfs/dbraw/zinc/98/74/75/767987475.db2.gz TVLMPIQQLUDEDJ-LRDDRELGSA-N 1 2 322.840 1.848 20 30 DDEDLO Cc1ccncc1C[N@@H+]1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038169473 760881483 /nfs/dbraw/zinc/88/14/83/760881483.db2.gz OCCLGZVGGQSUDY-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1ccncc1C[N@H+]1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038169473 760881496 /nfs/dbraw/zinc/88/14/96/760881496.db2.gz OCCLGZVGGQSUDY-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1ccncc1C[N@@H+]1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038174173 760888991 /nfs/dbraw/zinc/88/89/91/760888991.db2.gz FHIVFVFLQZYKOS-INIZCTEOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1ccncc1C[N@H+]1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038174173 760889003 /nfs/dbraw/zinc/88/90/03/760889003.db2.gz FHIVFVFLQZYKOS-INIZCTEOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1nc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)co1 ZINC001038175246 760891010 /nfs/dbraw/zinc/89/10/10/760891010.db2.gz NGACUOSIFQZKLU-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1nc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)co1 ZINC001038175246 760891021 /nfs/dbraw/zinc/89/10/21/760891021.db2.gz NGACUOSIFQZKLU-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCN(C)c1nc(C)[nH+]c(C)c1C ZINC001100040224 761120565 /nfs/dbraw/zinc/12/05/65/761120565.db2.gz HMXONZZFEORKMQ-MRXNPFEDSA-N 1 2 306.410 1.281 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ccncc1C#N ZINC001069414968 768015905 /nfs/dbraw/zinc/01/59/05/768015905.db2.gz IZFLIGPSKZLVEB-WFASDCNBSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066443099 761175267 /nfs/dbraw/zinc/17/52/67/761175267.db2.gz KZZBBBFWDCXTPL-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001069483561 768034751 /nfs/dbraw/zinc/03/47/51/768034751.db2.gz KQZYDKIQBBRYDL-OCCSQVGLSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001038808989 761426827 /nfs/dbraw/zinc/42/68/27/761426827.db2.gz VNVPVMWRYFCUJI-JYJNAYRXSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001038808989 761426830 /nfs/dbraw/zinc/42/68/30/761426830.db2.gz VNVPVMWRYFCUJI-JYJNAYRXSA-N 1 2 318.421 1.092 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn(CC)c2C)C1 ZINC001108299373 761850545 /nfs/dbraw/zinc/85/05/45/761850545.db2.gz MGPIYIURCKJQHS-QGZVFWFLSA-N 1 2 318.421 1.055 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn(CC)c2C)C1 ZINC001108299373 761850558 /nfs/dbraw/zinc/85/05/58/761850558.db2.gz MGPIYIURCKJQHS-QGZVFWFLSA-N 1 2 318.421 1.055 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@H](C=C)CC)CC2=O)C1 ZINC001108567088 762709983 /nfs/dbraw/zinc/70/99/83/762709983.db2.gz VDEGSJVKFVEDNN-ZIAGYGMSSA-N 1 2 305.422 1.176 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108566811 762709994 /nfs/dbraw/zinc/70/99/94/762709994.db2.gz OGMHNNWQOZNABA-CABCVRRESA-N 1 2 319.449 1.566 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)CC(=C)C)CC2=O)C1 ZINC001108566896 762710159 /nfs/dbraw/zinc/71/01/59/762710159.db2.gz QAMHFXPWOPJZAI-ZIAGYGMSSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CC[C@H](C)CC)CC2=O)C1 ZINC001108581283 762743807 /nfs/dbraw/zinc/74/38/07/762743807.db2.gz MUNWTNXXNODKCN-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@H]2C[C@]23CCOC3)CC1 ZINC001001354699 762786646 /nfs/dbraw/zinc/78/66/46/762786646.db2.gz LTPHRIHQVCMQGL-ZBFHGGJFSA-N 1 2 310.825 1.914 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@H]2C[C@]23CCOC3)CC1 ZINC001001354699 762786650 /nfs/dbraw/zinc/78/66/50/762786650.db2.gz LTPHRIHQVCMQGL-ZBFHGGJFSA-N 1 2 310.825 1.914 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)o1 ZINC001050043774 763054941 /nfs/dbraw/zinc/05/49/41/763054941.db2.gz IEDPEHXZXREIKA-JKSUJKDBSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)o1 ZINC001050043774 763054947 /nfs/dbraw/zinc/05/49/47/763054947.db2.gz IEDPEHXZXREIKA-JKSUJKDBSA-N 1 2 313.401 1.819 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC(=O)NCC[N@@H+](CC(=C)C)CC1 ZINC001131378973 768104294 /nfs/dbraw/zinc/10/42/94/768104294.db2.gz NZOPFJRYHNODBH-JKSUJKDBSA-N 1 2 321.465 1.671 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC(=O)NCC[N@H+](CC(=C)C)CC1 ZINC001131378973 768104297 /nfs/dbraw/zinc/10/42/97/768104297.db2.gz NZOPFJRYHNODBH-JKSUJKDBSA-N 1 2 321.465 1.671 20 30 DDEDLO C[C@H](CN(C)c1cccc(F)c1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001109056795 763299036 /nfs/dbraw/zinc/29/90/36/763299036.db2.gz HDFFJUREFPILNS-LLVKDONJSA-N 1 2 315.352 1.604 20 30 DDEDLO CC(C)(C(=O)N1CC[C@@]2(CCN(CC#N)C2)C1)c1c[nH+]c[nH]1 ZINC001041787648 763330984 /nfs/dbraw/zinc/33/09/84/763330984.db2.gz REQIINDNJAKHQD-MRXNPFEDSA-N 1 2 301.394 1.135 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001115323599 763478087 /nfs/dbraw/zinc/47/80/87/763478087.db2.gz BSKBJKGAJFXYHL-VIKVFOODSA-N 1 2 305.378 1.122 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001115323599 763478096 /nfs/dbraw/zinc/47/80/96/763478096.db2.gz BSKBJKGAJFXYHL-VIKVFOODSA-N 1 2 305.378 1.122 20 30 DDEDLO Cc1cc(NC2CCN(C(=O)Cc3[nH]cc[nH+]3)CC2)c(C#N)cn1 ZINC001057358573 763502787 /nfs/dbraw/zinc/50/27/87/763502787.db2.gz FFQVZFAAFXUGRM-UHFFFAOYSA-N 1 2 324.388 1.052 20 30 DDEDLO Cc1ccc(C#N)c(NC2CCN(C(=O)Cc3[nH]cc[nH+]3)CC2)n1 ZINC001057358467 763503484 /nfs/dbraw/zinc/50/34/84/763503484.db2.gz AYBPGZWTKDVSGN-UHFFFAOYSA-N 1 2 324.388 1.630 20 30 DDEDLO N#Cc1ccc(NC2CCN(C(=O)Cc3[nH]cc[nH+]3)CC2)cn1 ZINC001057358769 763504727 /nfs/dbraw/zinc/50/47/27/763504727.db2.gz KPXKRAZEBHNVNU-UHFFFAOYSA-N 1 2 310.361 1.322 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)Cc3[nH]cc[nH+]3)[C@H]2C)ccc1C#N ZINC001050520115 763699650 /nfs/dbraw/zinc/69/96/50/763699650.db2.gz DPNHRQOYHFZEBP-OCCSQVGLSA-N 1 2 324.388 1.311 20 30 DDEDLO Cc1nsc(NCCCN(C)C(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001109506286 763761368 /nfs/dbraw/zinc/76/13/68/763761368.db2.gz CNQXOWFMXJWGGM-UHFFFAOYSA-N 1 2 318.406 1.549 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001050588069 763790797 /nfs/dbraw/zinc/79/07/97/763790797.db2.gz PRVMDQOZYUJCBY-KGLIPLIRSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(CN(C(=O)Cn3cncc3C)C2)CC1 ZINC001050591824 763797364 /nfs/dbraw/zinc/79/73/64/763797364.db2.gz FDOZAZUIPSTBGP-UHFFFAOYSA-N 1 2 322.840 1.868 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](CNc2cc[nH+]c(C)n2)C2CC2)cn1 ZINC001109877190 764161270 /nfs/dbraw/zinc/16/12/70/764161270.db2.gz HZQUMVZMHWNRLC-INIZCTEOSA-N 1 2 321.384 1.782 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncoc1CC ZINC001050850718 764177639 /nfs/dbraw/zinc/17/76/39/764177639.db2.gz NVOZDUXHEIBZGE-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncoc1CC ZINC001050850718 764177647 /nfs/dbraw/zinc/17/76/47/764177647.db2.gz NVOZDUXHEIBZGE-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([C@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001050892040 764240298 /nfs/dbraw/zinc/24/02/98/764240298.db2.gz NGQXXQQFYUINPB-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc(CC)n1 ZINC001050956419 764346753 /nfs/dbraw/zinc/34/67/53/764346753.db2.gz BFNILXQZPGLLEH-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc(CC)n1 ZINC001050956419 764346764 /nfs/dbraw/zinc/34/67/64/764346764.db2.gz BFNILXQZPGLLEH-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)c(C)cn1 ZINC001051033451 764438893 /nfs/dbraw/zinc/43/88/93/764438893.db2.gz HABKOJCNAFWTIA-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)c(C)cn1 ZINC001051033451 764438899 /nfs/dbraw/zinc/43/88/99/764438899.db2.gz HABKOJCNAFWTIA-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnc2n1CCCC2 ZINC001051080929 764485689 /nfs/dbraw/zinc/48/56/89/764485689.db2.gz FHZMXFRGXCJLLZ-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnc2n1CCCC2 ZINC001051080929 764485696 /nfs/dbraw/zinc/48/56/96/764485696.db2.gz FHZMXFRGXCJLLZ-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccn2ccnc12 ZINC001051164321 764569369 /nfs/dbraw/zinc/56/93/69/764569369.db2.gz SSZUXIQYJRJFBO-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccn2ccnc12 ZINC001051164321 764569371 /nfs/dbraw/zinc/56/93/71/764569371.db2.gz SSZUXIQYJRJFBO-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CC[C@@H](C)F)cn1 ZINC001051216735 764630881 /nfs/dbraw/zinc/63/08/81/764630881.db2.gz LOLAYRYGOKHQAI-CJNGLKHVSA-N 1 2 319.380 1.242 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CC[C@@H](C)F)cn1 ZINC001051216735 764630884 /nfs/dbraw/zinc/63/08/84/764630884.db2.gz LOLAYRYGOKHQAI-CJNGLKHVSA-N 1 2 319.380 1.242 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+](CCCc2nc(C)no2)CC1 ZINC001112774483 764741456 /nfs/dbraw/zinc/74/14/56/764741456.db2.gz ZASBVOFSJGDSSE-UHFFFAOYSA-N 1 2 318.421 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001051329645 764752407 /nfs/dbraw/zinc/75/24/07/764752407.db2.gz SQIYIPBYNMKRNU-KBPBESRZSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112794692 764774860 /nfs/dbraw/zinc/77/48/60/764774860.db2.gz OQUXHWMGMLBHIH-RHSMWYFYSA-N 1 2 318.421 1.539 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)O[C@@]2(CC[N@H+](Cc3ccon3)C2)C1 ZINC001131646057 768240147 /nfs/dbraw/zinc/24/01/47/768240147.db2.gz PAQGSATYHDBWNM-WMLDXEAASA-N 1 2 319.405 1.833 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)O[C@@]2(CC[N@@H+](Cc3ccon3)C2)C1 ZINC001131646057 768240150 /nfs/dbraw/zinc/24/01/50/768240150.db2.gz PAQGSATYHDBWNM-WMLDXEAASA-N 1 2 319.405 1.833 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051428062 764867822 /nfs/dbraw/zinc/86/78/22/764867822.db2.gz UXEXNYCIYLNGPE-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@H]2OCC[C@H]2C(C)C)CC1 ZINC001112862577 764889182 /nfs/dbraw/zinc/88/91/82/764889182.db2.gz QYHCGDDEGRKTCX-HOTGVXAUSA-N 1 2 310.438 1.394 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(C)ccnc2OCC)C1 ZINC001043462197 764919147 /nfs/dbraw/zinc/91/91/47/764919147.db2.gz SQCWYUNTWHYCFM-UHFFFAOYSA-N 1 2 301.390 1.568 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-n3ccnc3)cc2)C1 ZINC001043491610 764935529 /nfs/dbraw/zinc/93/55/29/764935529.db2.gz RTWOQAFTUZACRL-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ncoc2[C@@H]2CCCO2)C1 ZINC001043527711 764960564 /nfs/dbraw/zinc/96/05/64/764960564.db2.gz IRZIVWXCGROHSJ-ZDUSSCGKSA-N 1 2 303.362 1.306 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCO[C@@H](C[NH2+]Cc2nc(C)no2)C1 ZINC001051802518 765174772 /nfs/dbraw/zinc/17/47/72/765174772.db2.gz CPMBIQGAZCGJPJ-XJKSGUPXSA-N 1 2 322.409 1.297 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cccc(F)c3)C2)CC1 ZINC001051974907 765335217 /nfs/dbraw/zinc/33/52/17/765335217.db2.gz LWHWSASYUHKGAB-MRXNPFEDSA-N 1 2 316.380 1.181 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cnccc3C)C2)CC1 ZINC001052005044 765371171 /nfs/dbraw/zinc/37/11/71/765371171.db2.gz UWFBNLXMJKLGNI-MRXNPFEDSA-N 1 2 314.433 1.408 20 30 DDEDLO CN(C(=O)Cc1c[nH+]cn1C)C1CN(Cc2ccccc2C#N)C1 ZINC001044188067 765375737 /nfs/dbraw/zinc/37/57/37/765375737.db2.gz HJLNLXGZCOJMFY-UHFFFAOYSA-N 1 2 323.400 1.177 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+](CCOC[C@H]2CCCCO2)CC1 ZINC001113200158 765399580 /nfs/dbraw/zinc/39/95/80/765399580.db2.gz YQRJBKRUWSDRFB-MRXNPFEDSA-N 1 2 324.465 1.929 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H](C)C3CCC3)C2)CC1 ZINC001052042311 765414974 /nfs/dbraw/zinc/41/49/74/765414974.db2.gz ARYAISSAMTVOIO-FUHWJXTLSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H](C)C(C)(C)C)C2)CC1 ZINC001052043118 765415892 /nfs/dbraw/zinc/41/58/92/765415892.db2.gz PFXFDPOITDQTJV-DLBZAZTESA-N 1 2 319.493 1.910 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC001113217749 765423232 /nfs/dbraw/zinc/42/32/32/765423232.db2.gz IVRMLVYCTNKVHP-HUUCEWRRSA-N 1 2 307.438 1.211 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3C[C@H]3CCC)C2)CC1 ZINC001052077219 765449110 /nfs/dbraw/zinc/44/91/10/765449110.db2.gz OZYBRXKZZWNTKT-FGTMMUONSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C3(CC)CC3)C2)CC1 ZINC001052079904 765452523 /nfs/dbraw/zinc/45/25/23/765452523.db2.gz QLYYKJDUTHKQGE-MRXNPFEDSA-N 1 2 305.466 1.971 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001113248136 765470615 /nfs/dbraw/zinc/47/06/15/765470615.db2.gz RXTVPJJBBJZAPB-IRXDYDNUSA-N 1 2 324.465 1.929 20 30 DDEDLO Cc1nc(N[C@H](C)[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001113314074 765554978 /nfs/dbraw/zinc/55/49/78/765554978.db2.gz PPJSKPSDMBZQPR-GHMZBOCLSA-N 1 2 312.377 1.533 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@H](C)c2c(C)noc2C)CC1 ZINC001113430102 765684896 /nfs/dbraw/zinc/68/48/96/765684896.db2.gz LUMVVZLZDDVOGB-CYBMUJFWSA-N 1 2 321.421 1.742 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2oc(CC)nc2C)CC1 ZINC001113494459 765753369 /nfs/dbraw/zinc/75/33/69/765753369.db2.gz XURWXFROVAUGSR-UHFFFAOYSA-N 1 2 307.394 1.506 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[C@H](Nc3cc[nH+]c(C)n3)C2)c1 ZINC001057756210 765777357 /nfs/dbraw/zinc/77/73/57/765777357.db2.gz MAIADQCZPIFHFM-INIZCTEOSA-N 1 2 321.384 1.878 20 30 DDEDLO C[C@@H]1C[C@H](NCC#N)CCN1C(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC001044819508 765832930 /nfs/dbraw/zinc/83/29/30/765832930.db2.gz YLTBOBCIDKMPII-ZIAGYGMSSA-N 1 2 324.388 1.374 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cn3ccccc3n2)CC1 ZINC001113603597 765932652 /nfs/dbraw/zinc/93/26/52/765932652.db2.gz QPOULFKCFPOJCV-UHFFFAOYSA-N 1 2 314.389 1.295 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ncc3n2CCCC3)CC1 ZINC001113651228 765974273 /nfs/dbraw/zinc/97/42/73/765974273.db2.gz QXIRVIYJNXGSCB-UHFFFAOYSA-N 1 2 318.421 1.180 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](CCc2csc(C)n2)CC1 ZINC001113709617 766048976 /nfs/dbraw/zinc/04/89/76/766048976.db2.gz WZWDCLNRULQELO-UHFFFAOYSA-N 1 2 323.462 1.731 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[NH+](Cc2sc(C)nc2C)CC1 ZINC001113710037 766049210 /nfs/dbraw/zinc/04/92/10/766049210.db2.gz CLJRHHHNCOTXHD-ZDUSSCGKSA-N 1 2 323.462 1.995 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[NH+](CCCc2nc(C)no2)CC1 ZINC001113713045 766052934 /nfs/dbraw/zinc/05/29/34/766052934.db2.gz KEYMBKRACLXIIQ-ZDUSSCGKSA-N 1 2 322.409 1.046 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(N(C)C)ccn2)CC1 ZINC001113722479 766063407 /nfs/dbraw/zinc/06/34/07/766063407.db2.gz XIARPRCJQXVUNX-UHFFFAOYSA-N 1 2 318.421 1.108 20 30 DDEDLO COC(=O)C1CCN(c2cc(NCC(C)(C)S)[nH+]cn2)CC1 ZINC001170302441 766228565 /nfs/dbraw/zinc/22/85/65/766228565.db2.gz LHHWKUFVBCFSNT-UHFFFAOYSA-N 1 2 324.450 1.986 20 30 DDEDLO COC(=O)C1CCN(c2cc(NCC(C)(C)S)nc[nH+]2)CC1 ZINC001170302441 766228570 /nfs/dbraw/zinc/22/85/70/766228570.db2.gz LHHWKUFVBCFSNT-UHFFFAOYSA-N 1 2 324.450 1.986 20 30 DDEDLO CCOC(=O)[C@H](CO)[NH2+]C1CCC(C#N)(c2ccccc2)CC1 ZINC001170359771 766262180 /nfs/dbraw/zinc/26/21/80/766262180.db2.gz FHHCEQXLICMOHT-PQUAAJSLSA-N 1 2 316.401 1.904 20 30 DDEDLO C#CC[NH+]1CCC(C)(NC(=O)c2nnc3ccccc3c2O)CC1 ZINC001045576692 766413828 /nfs/dbraw/zinc/41/38/28/766413828.db2.gz WQSCGFIYUUCZRN-UHFFFAOYSA-N 1 2 324.384 1.553 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)/C=C/c3ccco3)CC2)C1 ZINC001045995575 766777781 /nfs/dbraw/zinc/77/77/81/766777781.db2.gz NONOUBMJIWKQGT-NHZBNJEXSA-N 1 2 314.389 1.035 20 30 DDEDLO Cc1nc(NC[C@@H]2C[C@@H](C)N(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001068316839 767092559 /nfs/dbraw/zinc/09/25/59/767092559.db2.gz JQQHZRUKLZODAJ-YPMHNXCESA-N 1 2 324.388 1.948 20 30 DDEDLO C[C@H]1C[C@H](CNc2ccc(C#N)cn2)CN1C(=O)Cn1cc[nH+]c1 ZINC001068334957 767115508 /nfs/dbraw/zinc/11/55/08/767115508.db2.gz DYTMQJWFEDJNTO-DZGCQCFKSA-N 1 2 324.388 1.499 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001046225305 767213772 /nfs/dbraw/zinc/21/37/72/767213772.db2.gz ZIMKAIPPLYGZEC-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001046225305 767213776 /nfs/dbraw/zinc/21/37/76/767213776.db2.gz ZIMKAIPPLYGZEC-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO C[C@@]1(NC(=O)c2ccc(C#N)[nH]2)CC[N@H+](Cc2nccs2)C1 ZINC001046251500 767298640 /nfs/dbraw/zinc/29/86/40/767298640.db2.gz OFPJSSLZEFIRCF-OAHLLOKOSA-N 1 2 315.402 1.737 20 30 DDEDLO C[C@@]1(NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+](Cc2nccs2)C1 ZINC001046251500 767298642 /nfs/dbraw/zinc/29/86/42/767298642.db2.gz OFPJSSLZEFIRCF-OAHLLOKOSA-N 1 2 315.402 1.737 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001046252656 767302762 /nfs/dbraw/zinc/30/27/62/767302762.db2.gz GBHGEWCQVXIBDU-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001046252656 767302765 /nfs/dbraw/zinc/30/27/65/767302765.db2.gz GBHGEWCQVXIBDU-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@H]1C[C@H](CNc2ccc(C#N)nc2)C1 ZINC001068506776 767383545 /nfs/dbraw/zinc/38/35/45/767383545.db2.gz XDXOBCSVSIGYDA-NNUKFRKNSA-N 1 2 324.388 1.236 20 30 DDEDLO Cc1nc(N(C)C2CCN(C(=O)c3cc(C#N)c[nH]3)CC2)cc[nH+]1 ZINC001068661384 767500196 /nfs/dbraw/zinc/50/01/96/767500196.db2.gz KJZALXFCDAJVCL-UHFFFAOYSA-N 1 2 324.388 1.726 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)[C@H]2C)cc[nH+]1 ZINC001068813245 767633356 /nfs/dbraw/zinc/63/33/56/767633356.db2.gz OYURXYPFFUPIKM-GXFFZTMASA-N 1 2 310.361 1.700 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001046631936 767755786 /nfs/dbraw/zinc/75/57/86/767755786.db2.gz NWVWOCWFGZRLEP-HLLBOEOZSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2ccn(C)n2)C1 ZINC001046631936 767755790 /nfs/dbraw/zinc/75/57/90/767755790.db2.gz NWVWOCWFGZRLEP-HLLBOEOZSA-N 1 2 318.421 1.092 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccncc2C#N)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069077877 767827238 /nfs/dbraw/zinc/82/72/38/767827238.db2.gz AMEDFWJSXJMVMS-GXTWGEPZSA-N 1 2 324.388 1.132 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cncc(OC)n2)C1 ZINC001131896772 768478928 /nfs/dbraw/zinc/47/89/28/768478928.db2.gz VJTJWPNWKQYRRU-KGLIPLIRSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cncc(OC)n2)C1 ZINC001131896772 768478931 /nfs/dbraw/zinc/47/89/31/768478931.db2.gz VJTJWPNWKQYRRU-KGLIPLIRSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2nocc2C)C1 ZINC001131946107 768503949 /nfs/dbraw/zinc/50/39/49/768503949.db2.gz GUUNXBLHWWYIOB-UONOGXRCSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2nocc2C)C1 ZINC001131946107 768503953 /nfs/dbraw/zinc/50/39/53/768503953.db2.gz GUUNXBLHWWYIOB-UONOGXRCSA-N 1 2 307.394 1.655 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2nccn2C)C1 ZINC001132002629 768554388 /nfs/dbraw/zinc/55/43/88/768554388.db2.gz LUACRLGHKLADIW-CABCVRRESA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2nccn2C)C1 ZINC001132002629 768554392 /nfs/dbraw/zinc/55/43/92/768554392.db2.gz LUACRLGHKLADIW-CABCVRRESA-N 1 2 302.422 1.693 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001070534504 768557800 /nfs/dbraw/zinc/55/78/00/768557800.db2.gz XPTVAWMXIJCILS-CYBMUJFWSA-N 1 2 304.394 1.334 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ncsc2C(C)C)C1 ZINC001047594507 768597652 /nfs/dbraw/zinc/59/76/52/768597652.db2.gz FPLBGTYHDSYEJP-STQMWFEESA-N 1 2 321.446 1.407 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ncsc2C(C)C)C1 ZINC001047594507 768597654 /nfs/dbraw/zinc/59/76/54/768597654.db2.gz FPLBGTYHDSYEJP-STQMWFEESA-N 1 2 321.446 1.407 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132257084 768713896 /nfs/dbraw/zinc/71/38/96/768713896.db2.gz TYAFCLDBRFCPCJ-KBPBESRZSA-N 1 2 305.426 1.641 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132257084 768713899 /nfs/dbraw/zinc/71/38/99/768713899.db2.gz TYAFCLDBRFCPCJ-KBPBESRZSA-N 1 2 305.426 1.641 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070935708 768795873 /nfs/dbraw/zinc/79/58/73/768795873.db2.gz XJSGMCVVEFTRCD-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO CC(C)=CC(=O)NCC[NH+]1CCN(c2ccncc2C#N)CC1 ZINC001096263806 768811386 /nfs/dbraw/zinc/81/13/86/768811386.db2.gz ITNWOPLNNMIAOH-UHFFFAOYSA-N 1 2 313.405 1.158 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001132576442 768997247 /nfs/dbraw/zinc/99/72/47/768997247.db2.gz KLBCTVZQNGKRJO-RYUDHWBXSA-N 1 2 318.377 1.994 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+][C@H](C)c1nc(COC)no1 ZINC001132591473 769014469 /nfs/dbraw/zinc/01/44/69/769014469.db2.gz PSIFAPUBRSAADJ-GFCCVEGCSA-N 1 2 308.382 1.176 20 30 DDEDLO C#CCC[N@H+]1CCN([C@@H]2CCCN(C(=O)[C@@H](C)CC)C2)C(=O)C1 ZINC001071257165 769202588 /nfs/dbraw/zinc/20/25/88/769202588.db2.gz SYECMGCYXXLYGZ-JKSUJKDBSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@@H]2CCCN(C(=O)[C@@H](C)CC)C2)C(=O)C1 ZINC001071257165 769202595 /nfs/dbraw/zinc/20/25/95/769202595.db2.gz SYECMGCYXXLYGZ-JKSUJKDBSA-N 1 2 319.449 1.191 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ncccc1C#N ZINC001048732024 769787689 /nfs/dbraw/zinc/78/76/89/769787689.db2.gz UFMXUDGKPMWFED-LSDHHAIUSA-N 1 2 324.388 1.323 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)CC[C@H]1C ZINC001071942885 770319256 /nfs/dbraw/zinc/31/92/56/770319256.db2.gz UQBXLHWJABDURO-ZYHUDNBSSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)CC[C@H]1C ZINC001071942885 770319268 /nfs/dbraw/zinc/31/92/68/770319268.db2.gz UQBXLHWJABDURO-ZYHUDNBSSA-N 1 2 324.812 1.449 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)CC[C@@H]1C ZINC001072101747 770543610 /nfs/dbraw/zinc/54/36/10/770543610.db2.gz JVJWXUBBYRBVLR-DZGCQCFKSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)CC[C@@H]1C ZINC001072101747 770543623 /nfs/dbraw/zinc/54/36/23/770543623.db2.gz JVJWXUBBYRBVLR-DZGCQCFKSA-N 1 2 323.400 1.688 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)CCc1nc[nH]n1 ZINC001049410009 770791379 /nfs/dbraw/zinc/79/13/79/770791379.db2.gz FWXFKYLEISFIJC-OLZOCXBDSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)CCc1nc[nH]n1 ZINC001049410009 770791387 /nfs/dbraw/zinc/79/13/87/770791387.db2.gz FWXFKYLEISFIJC-OLZOCXBDSA-N 1 2 323.828 1.555 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]3[C@H]2CCCN3C(=O)C#CC2CC2)no1 ZINC001049472759 770900468 /nfs/dbraw/zinc/90/04/68/770900468.db2.gz VMLPLNRLAWNEFY-SJORKVTESA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]3[C@H]2CCCN3C(=O)C#CC2CC2)no1 ZINC001049472759 770900473 /nfs/dbraw/zinc/90/04/73/770900473.db2.gz VMLPLNRLAWNEFY-SJORKVTESA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@@H]4[C@H]3CCN4CC#N)ccn12 ZINC001049477379 770905984 /nfs/dbraw/zinc/90/59/84/770905984.db2.gz GMFKWUOYRGUHBK-HZPDHXFCSA-N 1 2 323.400 1.845 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]3[C@@H]2CC[N@@H+]3Cc2cnon2)C1 ZINC001049704365 771099331 /nfs/dbraw/zinc/09/93/31/771099331.db2.gz XNFODAPRMHCVFY-GJZGRUSLSA-N 1 2 316.405 1.991 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]3[C@@H]2CC[N@H+]3Cc2cnon2)C1 ZINC001049704365 771099334 /nfs/dbraw/zinc/09/93/34/771099334.db2.gz XNFODAPRMHCVFY-GJZGRUSLSA-N 1 2 316.405 1.991 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[NH2+]Cc2ncc(C)o2)cc1 ZINC001134950564 771252427 /nfs/dbraw/zinc/25/24/27/771252427.db2.gz OUZMNWRKVTVVKL-UHFFFAOYSA-N 1 2 313.357 1.515 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+][C@@H](C)c1nnc(C)o1 ZINC001135067739 771305102 /nfs/dbraw/zinc/30/51/02/771305102.db2.gz KPZPPXFYIOZMNS-UBHSHLNASA-N 1 2 322.409 1.516 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)c1ccc(-n2cc[nH+]c2)cc1)NCC#N ZINC001135097100 771321849 /nfs/dbraw/zinc/32/18/49/771321849.db2.gz HKVRABAXKJSHSK-KGLIPLIRSA-N 1 2 311.389 1.882 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+][C@@H](C)c1nc(CC)no1 ZINC001135147841 771353008 /nfs/dbraw/zinc/35/30/08/771353008.db2.gz PTYBAMRORGOCLZ-OBJOEFQTSA-N 1 2 322.409 1.380 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001097018578 771580537 /nfs/dbraw/zinc/58/05/37/771580537.db2.gz RYUUBTRZRWRKOU-HDJSIYSDSA-N 1 2 324.388 1.677 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)c1ccnn1C)C2 ZINC001097093778 771620487 /nfs/dbraw/zinc/62/04/87/771620487.db2.gz ULHULFWSDHPNBA-JLNYLFASSA-N 1 2 322.840 1.998 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)c1ccnn1C)C2 ZINC001097093778 771620490 /nfs/dbraw/zinc/62/04/90/771620490.db2.gz ULHULFWSDHPNBA-JLNYLFASSA-N 1 2 322.840 1.998 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCCNc1ncc2cc(C)[nH]c2n1 ZINC001171068988 772219518 /nfs/dbraw/zinc/21/95/18/772219518.db2.gz XRINKLOMSWPCQL-ZDUSSCGKSA-N 1 2 317.393 1.857 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nnc(C)o1)C2 ZINC001147428064 773131004 /nfs/dbraw/zinc/13/10/04/773131004.db2.gz KIGURQZNYGLXLD-ZDUSSCGKSA-N 1 2 316.405 1.777 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nnc(C)o1)C2 ZINC001147428064 773131007 /nfs/dbraw/zinc/13/10/07/773131007.db2.gz KIGURQZNYGLXLD-ZDUSSCGKSA-N 1 2 316.405 1.777 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1coc(C)n1)C2 ZINC001147535156 773171068 /nfs/dbraw/zinc/17/10/68/773171068.db2.gz VPHATTMIWWAMTJ-CYBMUJFWSA-N 1 2 317.389 1.056 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1coc(C)n1)C2 ZINC001147535156 773171070 /nfs/dbraw/zinc/17/10/70/773171070.db2.gz VPHATTMIWWAMTJ-CYBMUJFWSA-N 1 2 317.389 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CC2(C1)CC[N@H+](Cc1cscn1)C2 ZINC001147535323 773171294 /nfs/dbraw/zinc/17/12/94/773171294.db2.gz ZKTDCKOGGQONPZ-UHFFFAOYSA-N 1 2 319.430 1.217 20 30 DDEDLO C#CCOCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cscn1)C2 ZINC001147535323 773171296 /nfs/dbraw/zinc/17/12/96/773171296.db2.gz ZKTDCKOGGQONPZ-UHFFFAOYSA-N 1 2 319.430 1.217 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H]2CCC2(F)F)C1 ZINC001073657410 773272468 /nfs/dbraw/zinc/27/24/68/773272468.db2.gz GERMIYYOOQRZJM-VXGBXAGGSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H]2CCC2(F)F)C1 ZINC001073657410 773272477 /nfs/dbraw/zinc/27/24/77/773272477.db2.gz GERMIYYOOQRZJM-VXGBXAGGSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cccnc2C)C1 ZINC001073752634 773374408 /nfs/dbraw/zinc/37/44/08/773374408.db2.gz BBRUJFYBTNMNMY-AWEZNQCLSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cccnc2C)C1 ZINC001073752634 773374413 /nfs/dbraw/zinc/37/44/13/773374413.db2.gz BBRUJFYBTNMNMY-AWEZNQCLSA-N 1 2 323.824 1.963 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+][C@H](C)c2nnc(CC)o2)cn1 ZINC001148389115 773450130 /nfs/dbraw/zinc/45/01/30/773450130.db2.gz CDSMDUVTDUPRQY-LLVKDONJSA-N 1 2 313.361 1.089 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C(\C)C3CC3)C[C@@H]21 ZINC001074184300 773706060 /nfs/dbraw/zinc/70/60/60/773706060.db2.gz KCBUISSFXQBSBI-WJUDILCISA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C(\C)C3CC3)C[C@@H]21 ZINC001074184300 773706064 /nfs/dbraw/zinc/70/60/64/773706064.db2.gz KCBUISSFXQBSBI-WJUDILCISA-N 1 2 302.418 1.668 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cncs3)C[C@@H]21 ZINC001074187572 773707837 /nfs/dbraw/zinc/70/78/37/773707837.db2.gz IWSXVJWMLHNFLC-QWHCGFSZSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cncs3)C[C@@H]21 ZINC001074187572 773707841 /nfs/dbraw/zinc/70/78/41/773707841.db2.gz IWSXVJWMLHNFLC-QWHCGFSZSA-N 1 2 307.419 1.635 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C4CC4)CC3)C[C@H]21 ZINC001074273655 773785301 /nfs/dbraw/zinc/78/53/01/773785301.db2.gz MMAPHXKMQGQISY-CVEARBPZSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C4CC4)CC3)C[C@H]21 ZINC001074273655 773785304 /nfs/dbraw/zinc/78/53/04/773785304.db2.gz MMAPHXKMQGQISY-CVEARBPZSA-N 1 2 302.418 1.502 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3conc3C)C[C@H]21 ZINC001074331152 773827217 /nfs/dbraw/zinc/82/72/17/773827217.db2.gz CVECLLBHBZBIJI-CABCVRRESA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3conc3C)C[C@H]21 ZINC001074331152 773827221 /nfs/dbraw/zinc/82/72/21/773827221.db2.gz CVECLLBHBZBIJI-CABCVRRESA-N 1 2 305.378 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C(F)F)C[C@H]21 ZINC001074334500 773832117 /nfs/dbraw/zinc/83/21/17/773832117.db2.gz SRBMGPYKKMNAMX-CHWSQXEVSA-N 1 2 314.376 1.603 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C(F)F)C[C@H]21 ZINC001074334500 773832121 /nfs/dbraw/zinc/83/21/21/773832121.db2.gz SRBMGPYKKMNAMX-CHWSQXEVSA-N 1 2 314.376 1.603 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccn(C)c3C)C[C@H]21 ZINC001074335808 773832757 /nfs/dbraw/zinc/83/27/57/773832757.db2.gz FGNQHDFKOLMJQC-SJORKVTESA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccn(C)c3C)C[C@H]21 ZINC001074335808 773832759 /nfs/dbraw/zinc/83/27/59/773832759.db2.gz FGNQHDFKOLMJQC-SJORKVTESA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccc[nH]3)C[C@@H]21 ZINC001074413373 773907923 /nfs/dbraw/zinc/90/79/23/773907923.db2.gz SEANJYGPGGQWIX-JKSUJKDBSA-N 1 2 303.406 1.435 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccc[nH]3)C[C@@H]21 ZINC001074413373 773907931 /nfs/dbraw/zinc/90/79/31/773907931.db2.gz SEANJYGPGGQWIX-JKSUJKDBSA-N 1 2 303.406 1.435 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccoc1C)c1nccn12 ZINC001092348602 774067307 /nfs/dbraw/zinc/06/73/07/774067307.db2.gz WAOLCDSFHAPGQA-AWEZNQCLSA-N 1 2 312.373 1.856 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccns1)c1nccn12 ZINC001092372552 774107453 /nfs/dbraw/zinc/10/74/53/774107453.db2.gz LHRYKABZXJAJTA-NSHDSACASA-N 1 2 315.402 1.411 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001075138571 774376790 /nfs/dbraw/zinc/37/67/90/774376790.db2.gz AGVIPIQTZLUTNE-CABCVRRESA-N 1 2 318.421 1.735 20 30 DDEDLO CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH+]ccc1N(C)C)C2 ZINC001098230560 774517607 /nfs/dbraw/zinc/51/76/07/774517607.db2.gz JXLKWNJYULKRJP-UNEWFSDZSA-N 1 2 312.417 1.506 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@@H]21 ZINC001036819577 774708569 /nfs/dbraw/zinc/70/85/69/774708569.db2.gz VNEXADDLXJPJAV-RISCZKNCSA-N 1 2 322.359 1.907 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@@H]21 ZINC001036819577 774708573 /nfs/dbraw/zinc/70/85/73/774708573.db2.gz VNEXADDLXJPJAV-RISCZKNCSA-N 1 2 322.359 1.907 20 30 DDEDLO N#Cc1cnc(NCCNC(=O)CCCn2cc[nH+]c2)c(F)c1 ZINC001093714506 775030302 /nfs/dbraw/zinc/03/03/02/775030302.db2.gz JPEQSJQBHKGIQT-UHFFFAOYSA-N 1 2 316.340 1.297 20 30 DDEDLO C#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)C3CCC3)c2)nn1 ZINC001099599497 775058798 /nfs/dbraw/zinc/05/87/98/775058798.db2.gz YUQMIRUZGCQRGH-UHFFFAOYSA-N 1 2 323.400 1.406 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@H]2CCc3c[nH+]cn3C2)ccc1C#N ZINC001093959489 775272302 /nfs/dbraw/zinc/27/23/02/775272302.db2.gz YPQBCJMZNIYLMC-AWEZNQCLSA-N 1 2 324.388 1.249 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099804013 775301995 /nfs/dbraw/zinc/30/19/95/775301995.db2.gz GOANOIFWWOQXJX-KGLIPLIRSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099804013 775302004 /nfs/dbraw/zinc/30/20/04/775302004.db2.gz GOANOIFWWOQXJX-KGLIPLIRSA-N 1 2 321.446 1.306 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099824158 775330173 /nfs/dbraw/zinc/33/01/73/775330173.db2.gz PUVKRAUETJILGF-RYUDHWBXSA-N 1 2 319.232 1.516 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099824158 775330183 /nfs/dbraw/zinc/33/01/83/775330183.db2.gz PUVKRAUETJILGF-RYUDHWBXSA-N 1 2 319.232 1.516 20 30 DDEDLO N#Cc1cnc(NCCNC(=O)CCn2cc[nH+]c2)c(Cl)c1 ZINC001094024574 775349494 /nfs/dbraw/zinc/34/94/94/775349494.db2.gz LJQNEPSDZZEJGS-UHFFFAOYSA-N 1 2 318.768 1.422 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@H]3CC)nn2)C1 ZINC001094320926 775684025 /nfs/dbraw/zinc/68/40/25/775684025.db2.gz UTSBGLNAPWNDCH-IUODEOHRSA-N 1 2 303.410 1.373 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@@]3(C)CC)nn2)C1 ZINC001094332339 775715058 /nfs/dbraw/zinc/71/50/58/775715058.db2.gz RNSHVXPCPQABPK-NVXWUHKLSA-N 1 2 317.437 1.763 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)nc1 ZINC001094781190 776271098 /nfs/dbraw/zinc/27/10/98/776271098.db2.gz DVRIGTYLUNBVHK-CYBMUJFWSA-N 1 2 324.388 1.715 20 30 DDEDLO C[C@H](CC(=O)NCCCNc1cncc(C#N)n1)n1cc[nH+]c1 ZINC001094824668 776352675 /nfs/dbraw/zinc/35/26/75/776352675.db2.gz RLKFJMSVMNIBTJ-GFCCVEGCSA-N 1 2 313.365 1.114 20 30 DDEDLO C[C@@H](CCCCNCC#N)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001171596038 776361946 /nfs/dbraw/zinc/36/19/46/776361946.db2.gz IZZLJPDVNYQNSH-UONOGXRCSA-N 1 2 303.410 1.234 20 30 DDEDLO CCc1cc(N2CCC[C@@H]2CNC(=O)[C@@H](C)C#N)nc(C)[nH+]1 ZINC001100810708 776577559 /nfs/dbraw/zinc/57/75/59/776577559.db2.gz ZEKPFJIVWSHGGM-SMDDNHRTSA-N 1 2 301.394 1.592 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCCN1c1ccc(C#N)nc1 ZINC001100856192 776637135 /nfs/dbraw/zinc/63/71/35/776637135.db2.gz XEENIHILFJSAAP-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1nc(N2CC[C@@H](CNC(=O)C#CC3CC3)C2)c(C)c(C)[nH+]1 ZINC001100978734 776787676 /nfs/dbraw/zinc/78/76/76/776787676.db2.gz JWJHQJHROIWQMA-INIZCTEOSA-N 1 2 312.417 1.758 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](CNC(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001101069612 776875894 /nfs/dbraw/zinc/87/58/94/776875894.db2.gz UZUXFWXTKFSZOV-CQSZACIVSA-N 1 2 324.388 1.252 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](CNC(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001101069612 776875901 /nfs/dbraw/zinc/87/59/01/776875901.db2.gz UZUXFWXTKFSZOV-CQSZACIVSA-N 1 2 324.388 1.252 20 30 DDEDLO Cc1nc(N(CCNC(=O)Cc2c[nH]c[nH+]2)C2CC2)ccc1C#N ZINC001101452443 777149283 /nfs/dbraw/zinc/14/92/83/777149283.db2.gz OZYMIOKBIJNCNZ-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1nc(NCCN(C)C(=O)CCc2[nH]cc[nH+]2)ccc1C#N ZINC001101567391 777258425 /nfs/dbraw/zinc/25/84/25/777258425.db2.gz JLJFLPZJEZSQQT-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](OC)C1CC1)c1nccn12 ZINC001101625345 777319662 /nfs/dbraw/zinc/31/96/62/777319662.db2.gz DMBAEYPREOWIPD-ZIAGYGMSSA-N 1 2 316.405 1.066 20 30 DDEDLO C=C[C@@H]1C[C@]1([NH2+][C@H]1CCC[C@H](n2ncnn2)C1)C(=O)OCC ZINC001173743760 777335120 /nfs/dbraw/zinc/33/51/20/777335120.db2.gz DQOCAQSCERNCMD-UKTARXLSSA-N 1 2 305.382 1.254 20 30 DDEDLO Cc1ncc(C#N)cc1NC(=O)c1cc2n(n1)CCC[N@@H+](C)C2 ZINC001175048896 777673381 /nfs/dbraw/zinc/67/33/81/777673381.db2.gz YOIZQJKIQYRGMH-UHFFFAOYSA-N 1 2 310.361 1.546 20 30 DDEDLO Cc1ncc(C#N)cc1NC(=O)c1cc2n(n1)CCC[N@H+](C)C2 ZINC001175048896 777673383 /nfs/dbraw/zinc/67/33/83/777673383.db2.gz YOIZQJKIQYRGMH-UHFFFAOYSA-N 1 2 310.361 1.546 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2(Nc3cc[nH+]c(C)n3)CC2)nc1 ZINC001110187440 777826653 /nfs/dbraw/zinc/82/66/53/777826653.db2.gz GFNICXDSSWKDOK-UHFFFAOYSA-N 1 2 307.357 1.536 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cn2ccc3sccc3c2=O)CC1 ZINC001175656040 777865011 /nfs/dbraw/zinc/86/50/11/777865011.db2.gz JVZFFVARRSHJJQ-UHFFFAOYSA-N 1 2 317.414 1.393 20 30 DDEDLO C#CCCCC(=O)NCC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102315252 778047763 /nfs/dbraw/zinc/04/77/63/778047763.db2.gz PFBLMOHZZAAFCZ-HNNXBMFYSA-N 1 2 300.406 1.921 20 30 DDEDLO N#Cc1cccnc1N1CC[C@@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001102344223 778080575 /nfs/dbraw/zinc/08/05/75/778080575.db2.gz UOXWXMMKAFEKOH-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2c1[nH+]cnc2c1cnn2C ZINC001102541837 778194697 /nfs/dbraw/zinc/19/46/97/778194697.db2.gz JMYSATYWMPIPKY-RYUDHWBXSA-N 1 2 312.377 1.119 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCOCC)C[C@@H]21 ZINC001176929830 778317675 /nfs/dbraw/zinc/31/76/75/778317675.db2.gz NDCHEFQDPGPVDC-HOTGVXAUSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCOCC)C[C@@H]21 ZINC001176929830 778317680 /nfs/dbraw/zinc/31/76/80/778317680.db2.gz NDCHEFQDPGPVDC-HOTGVXAUSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C\C(C)(C)C)C[C@@H]21 ZINC001176955038 778345265 /nfs/dbraw/zinc/34/52/65/778345265.db2.gz MGBOCBVHUWEBHD-LTSYXSSYSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C\C(C)(C)C)C[C@@H]21 ZINC001176955038 778345269 /nfs/dbraw/zinc/34/52/69/778345269.db2.gz MGBOCBVHUWEBHD-LTSYXSSYSA-N 1 2 304.434 1.914 20 30 DDEDLO CN(C(=O)CCn1cc[nH+]c1)[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001102749009 778366547 /nfs/dbraw/zinc/36/65/47/778366547.db2.gz SXZMBIFBYDFLEN-MRXNPFEDSA-N 1 2 324.388 1.277 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3)C[C@@H]21 ZINC001177018358 778394322 /nfs/dbraw/zinc/39/43/22/778394322.db2.gz DAQSVCGRCLTBPO-JKSUJKDBSA-N 1 2 319.405 1.133 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3)C[C@@H]21 ZINC001177018358 778394326 /nfs/dbraw/zinc/39/43/26/778394326.db2.gz DAQSVCGRCLTBPO-JKSUJKDBSA-N 1 2 319.405 1.133 20 30 DDEDLO CO/N=C(\C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)c1ccco1 ZINC001177355126 778565683 /nfs/dbraw/zinc/56/56/83/778565683.db2.gz GAGVOHWPOWOTTH-RILUTCLRSA-N 1 2 314.345 1.420 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([NH2+]Cc2cnsn2)[C@@H]1C ZINC001178435071 779027539 /nfs/dbraw/zinc/02/75/39/779027539.db2.gz WBHANFGNCQGLPW-JSGCOSHPSA-N 1 2 324.450 1.600 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(C3CC3)no2)[C@@H]1C ZINC001178576859 779109226 /nfs/dbraw/zinc/10/92/26/779109226.db2.gz DAWVUFFWAHMAIG-JSGCOSHPSA-N 1 2 316.405 1.830 20 30 DDEDLO CO[C@H](C[NH+]1CCN(C(=O)[C@@H](C)C#N)CC1)c1ccccc1 ZINC001179897398 779522906 /nfs/dbraw/zinc/52/29/06/779522906.db2.gz VXNZOZYABQVHBT-GOEBONIOSA-N 1 2 301.390 1.678 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC(C)(Nc2cc[nH+]c(C)n2)CC1 ZINC001112349311 779732159 /nfs/dbraw/zinc/73/21/59/779732159.db2.gz AVEVTTYTSZNEHV-KRWDZBQOSA-N 1 2 318.421 1.905 20 30 DDEDLO C#CC[NH2+]C[C@]12CCC[C@H]1CN(C(=O)C(F)C(F)(F)F)C2 ZINC001112360791 779736323 /nfs/dbraw/zinc/73/63/23/779736323.db2.gz LFDNAQCPFPVKTG-GVXVVHGQSA-N 1 2 306.303 1.738 20 30 DDEDLO C#CC[NH2+]C[C@]12CCC[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)C2 ZINC001112360791 779736327 /nfs/dbraw/zinc/73/63/27/779736327.db2.gz LFDNAQCPFPVKTG-GVXVVHGQSA-N 1 2 306.303 1.738 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)CCNC(=O)C1=CCCC1 ZINC001267192069 837509141 /nfs/dbraw/zinc/50/91/41/837509141.db2.gz GGLFULSJTQFIGS-UHFFFAOYSA-N 1 2 307.438 1.569 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)CCNC(=O)C1=CCCC1 ZINC001267192069 837509152 /nfs/dbraw/zinc/50/91/52/837509152.db2.gz GGLFULSJTQFIGS-UHFFFAOYSA-N 1 2 307.438 1.569 20 30 DDEDLO C[C@@H](CC(=O)N1CCC([C@H](C)NCC#N)CC1)n1cc[nH+]c1 ZINC001267208566 837536624 /nfs/dbraw/zinc/53/66/24/837536624.db2.gz SHZGKNVVKHSBFQ-KBPBESRZSA-N 1 2 303.410 1.574 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@@H+](Cc2nncn2C)C1 ZINC001267299352 837780145 /nfs/dbraw/zinc/78/01/45/837780145.db2.gz YAAXHCNPTRYDEO-HNNXBMFYSA-N 1 2 317.437 1.337 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@H+](Cc2nncn2C)C1 ZINC001267299352 837780150 /nfs/dbraw/zinc/78/01/50/837780150.db2.gz YAAXHCNPTRYDEO-HNNXBMFYSA-N 1 2 317.437 1.337 20 30 DDEDLO CC#CC[NH+]1CCN(CCCNC(=O)c2cc(C)cs2)CC1 ZINC001266262655 836005484 /nfs/dbraw/zinc/00/54/84/836005484.db2.gz NEKKRXMIQWXECT-UHFFFAOYSA-N 1 2 319.474 1.817 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)C2C[C@@H](C)C[C@H](C)C2)CC1 ZINC001266297163 836072520 /nfs/dbraw/zinc/07/25/20/836072520.db2.gz LEWQWDVTWUZSSL-IRXDYDNUSA-N 1 2 319.493 1.816 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H]1CCC[N@@H+]1Cc1ccn(C)n1 ZINC001266449996 836268792 /nfs/dbraw/zinc/26/87/92/836268792.db2.gz GUVKBYBRIJOLBX-GOEBONIOSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H]1CCC[N@H+]1Cc1ccn(C)n1 ZINC001266449996 836268801 /nfs/dbraw/zinc/26/88/01/836268801.db2.gz GUVKBYBRIJOLBX-GOEBONIOSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccn(C)n1 ZINC001266449998 836270110 /nfs/dbraw/zinc/27/01/10/836270110.db2.gz GUVKBYBRIJOLBX-ZBFHGGJFSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1ccn(C)n1 ZINC001266449998 836270123 /nfs/dbraw/zinc/27/01/23/836270123.db2.gz GUVKBYBRIJOLBX-ZBFHGGJFSA-N 1 2 320.437 1.482 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@@H](CNC(=O)C#CC(C)C)C(C)C)no1 ZINC001271838777 844148375 /nfs/dbraw/zinc/14/83/75/844148375.db2.gz PMFPWHJCBWGNFZ-JSGCOSHPSA-N 1 2 306.410 1.829 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[N@H+]([C@@H](C)c3nnnn3C)C[C@@H]2C1 ZINC001271860575 844163713 /nfs/dbraw/zinc/16/37/13/844163713.db2.gz MHZFZULMIQYBNM-MELADBBJSA-N 1 2 318.425 1.018 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[N@@H+]([C@@H](C)c3nnnn3C)C[C@@H]2C1 ZINC001271860575 844163715 /nfs/dbraw/zinc/16/37/15/844163715.db2.gz MHZFZULMIQYBNM-MELADBBJSA-N 1 2 318.425 1.018 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCC[N@H+]1Cc1cnnn1CC ZINC001266837122 836906312 /nfs/dbraw/zinc/90/63/12/836906312.db2.gz JJWCWDSOZXNHIE-CQSZACIVSA-N 1 2 305.426 1.735 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1cnnn1CC ZINC001266837122 836906320 /nfs/dbraw/zinc/90/63/20/836906320.db2.gz JJWCWDSOZXNHIE-CQSZACIVSA-N 1 2 305.426 1.735 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)CCCC#CC)C1 ZINC001271972506 844252502 /nfs/dbraw/zinc/25/25/02/844252502.db2.gz BBSNPWBOLCTEDS-INIZCTEOSA-N 1 2 312.841 1.876 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)CCCC#CC)C1 ZINC001271972506 844252507 /nfs/dbraw/zinc/25/25/07/844252507.db2.gz BBSNPWBOLCTEDS-INIZCTEOSA-N 1 2 312.841 1.876 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]([N@@H+](C)Cc2cn(C)nn2)C1 ZINC001267111814 837370400 /nfs/dbraw/zinc/37/04/00/837370400.db2.gz SXPYXNWQWGFWOQ-HNNXBMFYSA-N 1 2 305.426 1.594 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]([N@H+](C)Cc2cn(C)nn2)C1 ZINC001267111814 837370407 /nfs/dbraw/zinc/37/04/07/837370407.db2.gz SXPYXNWQWGFWOQ-HNNXBMFYSA-N 1 2 305.426 1.594 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CC[C@H](N(CCC)C(=O)[C@H](C)C#N)C1 ZINC001267453492 838070330 /nfs/dbraw/zinc/07/03/30/838070330.db2.gz CZLDUVYBEBLQGA-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CC[C@H](N(CCC)C(=O)[C@H](C)C#N)C1 ZINC001267453492 838070348 /nfs/dbraw/zinc/07/03/48/838070348.db2.gz CZLDUVYBEBLQGA-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO Cc1cc(C(=O)N(C)CC[NH+]2CCN(CC#N)CC2)c(C)s1 ZINC001267609182 838447755 /nfs/dbraw/zinc/44/77/55/838447755.db2.gz RQPRGPXNRUCMLT-UHFFFAOYSA-N 1 2 320.462 1.578 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)CC1CC(F)(F)C1 ZINC001267609287 838450652 /nfs/dbraw/zinc/45/06/52/838450652.db2.gz VIWGSJVJCJIERG-UHFFFAOYSA-N 1 2 314.380 1.021 20 30 DDEDLO C=CCOCC(=O)NC1C[NH+](Cc2ccc(COC)cc2)C1 ZINC001267643723 838562297 /nfs/dbraw/zinc/56/22/97/838562297.db2.gz XICYOZOYIGWMAV-UHFFFAOYSA-N 1 2 304.390 1.336 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC1 ZINC001267700301 838676295 /nfs/dbraw/zinc/67/62/95/838676295.db2.gz MVMOHKVVQRKKOW-KBMXLJTQSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC1 ZINC001267700301 838676297 /nfs/dbraw/zinc/67/62/97/838676297.db2.gz MVMOHKVVQRKKOW-KBMXLJTQSA-N 1 2 319.449 1.495 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)C#CC3CC3)C2)cc1F ZINC001267740936 838808490 /nfs/dbraw/zinc/80/84/90/838808490.db2.gz ANACPPNZJVLKFA-MRXNPFEDSA-N 1 2 311.360 1.801 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)C#CC3CC3)C2)cc1F ZINC001267740936 838808498 /nfs/dbraw/zinc/80/84/98/838808498.db2.gz ANACPPNZJVLKFA-MRXNPFEDSA-N 1 2 311.360 1.801 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCCCC)C1 ZINC001267962440 839276791 /nfs/dbraw/zinc/27/67/91/839276791.db2.gz NSPINHHBTAYLQR-MRXNPFEDSA-N 1 2 321.465 1.677 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCCCC)C1 ZINC001267962440 839276801 /nfs/dbraw/zinc/27/68/01/839276801.db2.gz NSPINHHBTAYLQR-MRXNPFEDSA-N 1 2 321.465 1.677 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2C[N@H+](CCOCC(C)C)CCO2)C1 ZINC001268025151 839418841 /nfs/dbraw/zinc/41/88/41/839418841.db2.gz GDQKWKQCOFFCIQ-MRXNPFEDSA-N 1 2 324.465 1.832 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2C[N@@H+](CCOCC(C)C)CCO2)C1 ZINC001268025151 839418849 /nfs/dbraw/zinc/41/88/49/839418849.db2.gz GDQKWKQCOFFCIQ-MRXNPFEDSA-N 1 2 324.465 1.832 20 30 DDEDLO C=C[C@H](CC(=O)NC[C@H]1C[N@H+](CCO)CCO1)c1ccccc1 ZINC001268029860 839446096 /nfs/dbraw/zinc/44/60/96/839446096.db2.gz ZZEXJFFPJPFTQH-WBVHZDCISA-N 1 2 318.417 1.156 20 30 DDEDLO C=C[C@H](CC(=O)NC[C@H]1C[N@@H+](CCO)CCO1)c1ccccc1 ZINC001268029860 839446103 /nfs/dbraw/zinc/44/61/03/839446103.db2.gz ZZEXJFFPJPFTQH-WBVHZDCISA-N 1 2 318.417 1.156 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H](C)C[NH2+]Cc2cnsn2)cc1 ZINC001268077378 839651408 /nfs/dbraw/zinc/65/14/08/839651408.db2.gz LLGWQQQNBYNUSY-LBPRGKRZSA-N 1 2 314.414 1.770 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@@H](C)C2CC2)C1 ZINC001268236806 839873844 /nfs/dbraw/zinc/87/38/44/839873844.db2.gz PWVZEBFOLMQHIT-HIFRSBDPSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H](C)C2CC2)C1 ZINC001268236806 839873847 /nfs/dbraw/zinc/87/38/47/839873847.db2.gz PWVZEBFOLMQHIT-HIFRSBDPSA-N 1 2 307.438 1.305 20 30 DDEDLO C[C@@H](CC[NH2+]Cc1noc(C2CCC2)n1)NC(=O)C#CC1CC1 ZINC001268362890 840060184 /nfs/dbraw/zinc/06/01/84/840060184.db2.gz LFCDJYNYDHDELF-LBPRGKRZSA-N 1 2 316.405 1.735 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1C[NH+](Cc2ccn(C)c(=O)c2)C1 ZINC001268432642 840177242 /nfs/dbraw/zinc/17/72/42/840177242.db2.gz GBPJETHVQFTBLS-UHFFFAOYSA-N 1 2 303.406 1.288 20 30 DDEDLO CCN(CC[NH2+][C@@H](C)c1nc(C)no1)C(=O)C#CC(C)(C)C ZINC001268450190 840211876 /nfs/dbraw/zinc/21/18/76/840211876.db2.gz RTKIFBSHYIRAKO-LBPRGKRZSA-N 1 2 306.410 1.927 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@@H]2CCO[C@H](C(C)C)C2)C1 ZINC001268467290 840248871 /nfs/dbraw/zinc/24/88/71/840248871.db2.gz CEQSTBJNQIQZSC-WBVHZDCISA-N 1 2 324.465 1.831 20 30 DDEDLO COCC#CC[NH2+]C/C=C\CNC(=O)c1cccc2nc[nH]c21 ZINC001268606689 840571280 /nfs/dbraw/zinc/57/12/80/840571280.db2.gz HDOPWUYWGMALLF-IHWYPQMZSA-N 1 2 312.373 1.088 20 30 DDEDLO Cc1nnc(C[NH2+]C/C=C/CNC(=O)CC#Cc2ccccc2)o1 ZINC001268628438 840601272 /nfs/dbraw/zinc/60/12/72/840601272.db2.gz NSFYOPDFYQSBQM-AATRIKPKSA-N 1 2 324.384 1.582 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)C[NH2+]Cc2nc(CCOC)no2)C1 ZINC001268704220 840690704 /nfs/dbraw/zinc/69/07/04/840690704.db2.gz ZDYFRJYPIMYLEV-LBPRGKRZSA-N 1 2 322.409 1.209 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)C[N@H+](C)CC(=O)Nc1ccon1 ZINC001268743294 840742911 /nfs/dbraw/zinc/74/29/11/840742911.db2.gz LIJRTVDQALZUNX-ZDUSSCGKSA-N 1 2 320.393 1.243 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)C[N@@H+](C)CC(=O)Nc1ccon1 ZINC001268743294 840742922 /nfs/dbraw/zinc/74/29/22/840742922.db2.gz LIJRTVDQALZUNX-ZDUSSCGKSA-N 1 2 320.393 1.243 20 30 DDEDLO N#CCSCC(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001269200108 841332730 /nfs/dbraw/zinc/33/27/30/841332730.db2.gz HRTCWHXHPLLASA-OAHLLOKOSA-N 1 2 314.414 1.506 20 30 DDEDLO N#CCSCC(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001269200108 841332739 /nfs/dbraw/zinc/33/27/39/841332739.db2.gz HRTCWHXHPLLASA-OAHLLOKOSA-N 1 2 314.414 1.506 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001269239633 841405038 /nfs/dbraw/zinc/40/50/38/841405038.db2.gz CHVIKUWGIBTQHW-CABCVRRESA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001269239633 841405045 /nfs/dbraw/zinc/40/50/45/841405045.db2.gz CHVIKUWGIBTQHW-CABCVRRESA-N 1 2 316.405 1.110 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CC[N@@H+]1CCNC(=O)NC(C)C ZINC001269285152 841467314 /nfs/dbraw/zinc/46/73/14/841467314.db2.gz IEKSXSIWELPCKT-PBHICJAKSA-N 1 2 324.469 1.487 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CC[N@H+]1CCNC(=O)NC(C)C ZINC001269285152 841467319 /nfs/dbraw/zinc/46/73/19/841467319.db2.gz IEKSXSIWELPCKT-PBHICJAKSA-N 1 2 324.469 1.487 20 30 DDEDLO O=C(CCc1nc[nH]n1)NCC1([NH2+]CC#Cc2ccccc2)CC1 ZINC001269394037 841600760 /nfs/dbraw/zinc/60/07/60/841600760.db2.gz SMPIQEIQWPDGGN-UHFFFAOYSA-N 1 2 323.400 1.027 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2ccc(OC)nc2)C1 ZINC001269820421 842080237 /nfs/dbraw/zinc/08/02/37/842080237.db2.gz JYPOFJPMCYUCLY-UHFFFAOYSA-N 1 2 305.378 1.049 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]1C[NH2+]Cc1nnc(C2CC2)o1 ZINC001270016453 842229637 /nfs/dbraw/zinc/22/96/37/842229637.db2.gz SHVPFJKBLQYWDP-ZDUSSCGKSA-N 1 2 304.394 1.994 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nnc(C2CC2)o1 ZINC001270034705 842244370 /nfs/dbraw/zinc/24/43/70/842244370.db2.gz PBITVMTZGSGYAG-CQSZACIVSA-N 1 2 316.405 1.831 20 30 DDEDLO O=C(C#Cc1cccnc1)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cn[nH]c1 ZINC001270342757 842501175 /nfs/dbraw/zinc/50/11/75/842501175.db2.gz BVEOKDDXUJZSTE-CALCHBBNSA-N 1 2 321.384 1.032 20 30 DDEDLO O=C(C#Cc1cccnc1)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cn[nH]c1 ZINC001270342757 842501178 /nfs/dbraw/zinc/50/11/78/842501178.db2.gz BVEOKDDXUJZSTE-CALCHBBNSA-N 1 2 321.384 1.032 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC001299172184 842522793 /nfs/dbraw/zinc/52/27/93/842522793.db2.gz SQYPSBXDXYVVPG-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC001299172184 842522800 /nfs/dbraw/zinc/52/28/00/842522800.db2.gz SQYPSBXDXYVVPG-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H]2C[N@H+](CCOC)CCO2)CCCCC1 ZINC001270700833 842830468 /nfs/dbraw/zinc/83/04/68/842830468.db2.gz LMUSCRNEMWTIPS-MRXNPFEDSA-N 1 2 322.449 1.424 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H]2C[N@@H+](CCOC)CCO2)CCCCC1 ZINC001270700833 842830476 /nfs/dbraw/zinc/83/04/76/842830476.db2.gz LMUSCRNEMWTIPS-MRXNPFEDSA-N 1 2 322.449 1.424 20 30 DDEDLO C=CCCC(=O)N1CCC2(C[NH+](Cc3ccn(C)n3)C2)CC1 ZINC001270838199 842945144 /nfs/dbraw/zinc/94/51/44/842945144.db2.gz SAGRKKHUWQAYDS-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@H](C)C[NH2+]Cc1nc(COC)no1 ZINC001271060251 843172539 /nfs/dbraw/zinc/17/25/39/843172539.db2.gz SYUGLPIOXHAMIN-GFCCVEGCSA-N 1 2 324.425 1.755 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@]1(O)CC[N@H+](Cc2ccn(C)n2)C1 ZINC001271184063 843391668 /nfs/dbraw/zinc/39/16/68/843391668.db2.gz HZANTYLMDZSTTR-SJORKVTESA-N 1 2 320.437 1.075 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@]1(O)CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001271184063 843391680 /nfs/dbraw/zinc/39/16/80/843391680.db2.gz HZANTYLMDZSTTR-SJORKVTESA-N 1 2 320.437 1.075 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)Cc2cccc(Cl)c2)C1 ZINC001271349750 843514824 /nfs/dbraw/zinc/51/48/24/843514824.db2.gz JAMXYVXACBPZFZ-UHFFFAOYSA-N 1 2 308.809 1.622 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CO[C@@H]2CCCC[C@H]2C)C1 ZINC001271362161 843524648 /nfs/dbraw/zinc/52/46/48/843524648.db2.gz ZXQGSUSZMVIKMJ-HUUCEWRRSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@H](C)Cc2ccc(F)cc2)C1 ZINC001271400410 843560446 /nfs/dbraw/zinc/56/04/46/843560446.db2.gz PZPAYDAWBPDTKB-CQSZACIVSA-N 1 2 320.408 1.743 20 30 DDEDLO C[C@H]1CCCC[C@@H]1CC(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001272185262 844683608 /nfs/dbraw/zinc/68/36/08/844683608.db2.gz JQFDCWQEGCHVAA-LSDHHAIUSA-N 1 2 322.453 1.233 20 30 DDEDLO C[C@H]1CCCC[C@@H]1CC(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001272185262 844683614 /nfs/dbraw/zinc/68/36/14/844683614.db2.gz JQFDCWQEGCHVAA-LSDHHAIUSA-N 1 2 322.453 1.233 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1ccn(C)n1 ZINC001272736085 846740042 /nfs/dbraw/zinc/74/00/42/846740042.db2.gz STEQFXLEJZJQFR-QGZVFWFLSA-N 1 2 302.422 1.953 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1ccn(C)n1 ZINC001272736085 846740048 /nfs/dbraw/zinc/74/00/48/846740048.db2.gz STEQFXLEJZJQFR-QGZVFWFLSA-N 1 2 302.422 1.953 20 30 DDEDLO C=CCOCC(=O)NC[C@@]1(C)C[N@H+](Cc2ccsc2)CCO1 ZINC001107813882 847182460 /nfs/dbraw/zinc/18/24/60/847182460.db2.gz XAKBSJWCXBZRAQ-INIZCTEOSA-N 1 2 324.446 1.658 20 30 DDEDLO C=CCOCC(=O)NC[C@@]1(C)C[N@@H+](Cc2ccsc2)CCO1 ZINC001107813882 847182461 /nfs/dbraw/zinc/18/24/61/847182461.db2.gz XAKBSJWCXBZRAQ-INIZCTEOSA-N 1 2 324.446 1.658 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(C)cn1 ZINC001272851103 847549209 /nfs/dbraw/zinc/54/92/09/847549209.db2.gz NMCRFMIFTAIWGA-OAHLLOKOSA-N 1 2 320.437 1.597 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(C)cn1 ZINC001272851103 847549213 /nfs/dbraw/zinc/54/92/13/847549213.db2.gz NMCRFMIFTAIWGA-OAHLLOKOSA-N 1 2 320.437 1.597 20 30 DDEDLO N#CCNC[C@H]1CCCCN1C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001272960756 847708113 /nfs/dbraw/zinc/70/81/13/847708113.db2.gz HOBZTEDDSCMKQT-OAHLLOKOSA-N 1 2 315.421 1.256 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2ncc[nH]2)cc1C#N ZINC001273058097 847846248 /nfs/dbraw/zinc/84/62/48/847846248.db2.gz LPQMUFCUDHLXNC-OKILXGFUSA-N 1 2 324.388 1.109 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2ncc[nH]2)cc1C#N ZINC001273058097 847846256 /nfs/dbraw/zinc/84/62/56/847846256.db2.gz LPQMUFCUDHLXNC-OKILXGFUSA-N 1 2 324.388 1.109 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@H]1CCCCN(CC#N)C1)C2 ZINC001034279500 848243080 /nfs/dbraw/zinc/24/30/80/848243080.db2.gz UIQZTMVIOPDMAU-KGLIPLIRSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@H]1CCCCN(CC#N)C1)CC2 ZINC001034279500 848243083 /nfs/dbraw/zinc/24/30/83/848243083.db2.gz UIQZTMVIOPDMAU-KGLIPLIRSA-N 1 2 315.421 1.317 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2nncs2)CC1 ZINC001327126942 861912116 /nfs/dbraw/zinc/91/21/16/861912116.db2.gz SYTZUBXDFUZGRD-QWHCGFSZSA-N 1 2 322.434 1.047 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2nncs2)CC1 ZINC001327126942 861912123 /nfs/dbraw/zinc/91/21/23/861912123.db2.gz SYTZUBXDFUZGRD-QWHCGFSZSA-N 1 2 322.434 1.047 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2ccnc(SC)n2)C1=O ZINC001273281094 849326742 /nfs/dbraw/zinc/32/67/42/849326742.db2.gz AVZQUIYPNVCXMZ-INIZCTEOSA-N 1 2 316.430 1.399 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2ccnc(SC)n2)C1=O ZINC001273281094 849326753 /nfs/dbraw/zinc/32/67/53/849326753.db2.gz AVZQUIYPNVCXMZ-INIZCTEOSA-N 1 2 316.430 1.399 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cc(C)cc(OC)c1)C2 ZINC001273416310 850143241 /nfs/dbraw/zinc/14/32/41/850143241.db2.gz ZIQZDTORDKNLKB-UHFFFAOYSA-N 1 2 314.385 1.050 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001155489516 862153549 /nfs/dbraw/zinc/15/35/49/862153549.db2.gz COVUJJVWPHTKKG-GFCCVEGCSA-N 1 2 323.441 1.037 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001155489516 862153554 /nfs/dbraw/zinc/15/35/54/862153554.db2.gz COVUJJVWPHTKKG-GFCCVEGCSA-N 1 2 323.441 1.037 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)COCC1CCCC1)CO2 ZINC001273703187 851243699 /nfs/dbraw/zinc/24/36/99/851243699.db2.gz AETCPUGLYHDODC-INIZCTEOSA-N 1 2 322.449 1.729 20 30 DDEDLO CNC(=O)[C@H]1CC12CC[NH+](CC(=O)c1ccc(C#N)cc1)CC2 ZINC001273727391 851279153 /nfs/dbraw/zinc/27/91/53/851279153.db2.gz MNKLXOABOUZFJT-OAHLLOKOSA-N 1 2 311.385 1.589 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(C2)C[NH+](Cc2cccc(=O)[nH]2)C3)o1 ZINC001273822560 851388512 /nfs/dbraw/zinc/38/85/12/851388512.db2.gz RCIHGGSXVDJSRN-UHFFFAOYSA-N 1 2 324.340 1.210 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@](CO)([NH2+]Cc2ccon2)C1 ZINC001273867109 851438108 /nfs/dbraw/zinc/43/81/08/851438108.db2.gz PUNLTDJDGWVBBR-QGZVFWFLSA-N 1 2 319.405 1.311 20 30 DDEDLO C[C@@H](C#N)C(=O)NC/C=C\C[NH2+]Cc1nnc(C(F)F)s1 ZINC001273911303 851496884 /nfs/dbraw/zinc/49/68/84/851496884.db2.gz SXXQMZZXSVBFAH-KZUAUGPASA-N 1 2 315.349 1.397 20 30 DDEDLO N#CCC[NH+]1CCC2(C[C@@H]2C(=O)NCCCCCC(N)=O)CC1 ZINC001274032027 851873413 /nfs/dbraw/zinc/87/34/13/851873413.db2.gz FIRCXEQFSPISJV-CQSZACIVSA-N 1 2 320.437 1.164 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccc[nH]2)nc1 ZINC001274192741 852020108 /nfs/dbraw/zinc/02/01/08/852020108.db2.gz JIPPWEUGIZZQEN-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccc[nH]2)nc1 ZINC001274192741 852020116 /nfs/dbraw/zinc/02/01/16/852020116.db2.gz JIPPWEUGIZZQEN-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1coc(C(=O)N2C[C@@H]3OCC[N@H+](C4CCCC4)[C@H]3C2)c1 ZINC001274350206 852177842 /nfs/dbraw/zinc/17/78/42/852177842.db2.gz OWOGZHVSAPPFMP-HOCLYGCPSA-N 1 2 315.373 1.619 20 30 DDEDLO N#Cc1coc(C(=O)N2C[C@@H]3OCC[N@@H+](C4CCCC4)[C@H]3C2)c1 ZINC001274350206 852177847 /nfs/dbraw/zinc/17/78/47/852177847.db2.gz OWOGZHVSAPPFMP-HOCLYGCPSA-N 1 2 315.373 1.619 20 30 DDEDLO C#CCNC(=O)[C@@H]1CC12CC[NH+](Cc1cn[nH]c1CC)CC2 ZINC001274380866 852213194 /nfs/dbraw/zinc/21/31/94/852213194.db2.gz JQJFIDQFJYYGTC-AWEZNQCLSA-N 1 2 300.406 1.324 20 30 DDEDLO C=CCNC(=O)[C@H]1CC12CC[NH+](Cc1nnc(C)s1)CC2 ZINC001274523553 852359707 /nfs/dbraw/zinc/35/97/07/852359707.db2.gz INDCOFGIWKRWPJ-GFCCVEGCSA-N 1 2 306.435 1.751 20 30 DDEDLO CCCCCCCC[C@H](O)C[NH+]1CC2(C1)CN(C)C(=O)CO2 ZINC001274575631 852408979 /nfs/dbraw/zinc/40/89/79/852408979.db2.gz GRAIIFALLSTUBC-HNNXBMFYSA-N 1 2 312.454 1.641 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnon2)[C@@H](C)C1 ZINC001274644162 852479904 /nfs/dbraw/zinc/47/99/04/852479904.db2.gz OAMSVOCVGKCXBE-KBPBESRZSA-N 1 2 304.394 1.732 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnon2)[C@@H](C)C1 ZINC001274644162 852479907 /nfs/dbraw/zinc/47/99/07/852479907.db2.gz OAMSVOCVGKCXBE-KBPBESRZSA-N 1 2 304.394 1.732 20 30 DDEDLO C#CCN1CCC2(CC[NH+](Cc3cc(C#N)ccc3O)CC2)C1=O ZINC001274666742 852501007 /nfs/dbraw/zinc/50/10/07/852501007.db2.gz GWSZIDOTLQYEHY-UHFFFAOYSA-N 1 2 323.396 1.712 20 30 DDEDLO C#Cc1ccccc1C(=O)N1CC2(C1)C[NH+](Cc1cn[nH]c1)C2 ZINC001274874882 852654572 /nfs/dbraw/zinc/65/45/72/852654572.db2.gz OXEVXYVCQVYMQQ-UHFFFAOYSA-N 1 2 306.369 1.349 20 30 DDEDLO CN(C)C(=O)C[N@H+]1C[C@H]2C[C@@H](C1)N2Cc1cc(F)cc(C#N)c1 ZINC001275414036 853089030 /nfs/dbraw/zinc/08/90/30/853089030.db2.gz QLKXUUWGVXGWLB-IYBDPMFKSA-N 1 2 316.380 1.044 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1C[C@H]2C[C@@H](C1)N2Cc1cc(F)cc(C#N)c1 ZINC001275414036 853089039 /nfs/dbraw/zinc/08/90/39/853089039.db2.gz QLKXUUWGVXGWLB-IYBDPMFKSA-N 1 2 316.380 1.044 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)[C@H](C)[NH2+]Cc2nc(CC)no2)C1 ZINC001275493647 853222564 /nfs/dbraw/zinc/22/25/64/853222564.db2.gz UISICVGYFBIXOP-RYUDHWBXSA-N 1 2 306.410 1.971 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)C[N@H+](C)Cc1nc(C)c(C)o1 ZINC001275551663 853323621 /nfs/dbraw/zinc/32/36/21/853323621.db2.gz JBTMUJDCUHTTDJ-LBPRGKRZSA-N 1 2 307.394 1.268 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)C[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001275551663 853323625 /nfs/dbraw/zinc/32/36/25/853323625.db2.gz JBTMUJDCUHTTDJ-LBPRGKRZSA-N 1 2 307.394 1.268 20 30 DDEDLO CC(C)NC(=O)N1CCC2(C[NH+](Cc3cccc(C#N)n3)C2)C1 ZINC001275606146 853428811 /nfs/dbraw/zinc/42/88/11/853428811.db2.gz XFZPTYGVNSYDAD-UHFFFAOYSA-N 1 2 313.405 1.579 20 30 DDEDLO N#CCSc1ccccc1C(=O)N[C@H](CO)Cc1c[nH]c[nH+]1 ZINC001412490994 854618809 /nfs/dbraw/zinc/61/88/09/854618809.db2.gz PHHXBISGOFICGY-LBPRGKRZSA-N 1 2 316.386 1.359 20 30 DDEDLO N#CCSc1ccccc1C(=O)N[C@H](CO)Cc1c[nH+]c[nH]1 ZINC001412490994 854618811 /nfs/dbraw/zinc/61/88/11/854618811.db2.gz PHHXBISGOFICGY-LBPRGKRZSA-N 1 2 316.386 1.359 20 30 DDEDLO CCCC[C@H](C[NH2+]CC#CCOC)NC(=O)c1[nH]nc(C)c1C ZINC001276250035 855049341 /nfs/dbraw/zinc/04/93/41/855049341.db2.gz JWLCNJAQDFGQGC-OAHLLOKOSA-N 1 2 320.437 1.555 20 30 DDEDLO Cc1nc(NC[C@@H]2CN(C(=O)CSCC#N)C[C@@H]2C)cc[nH+]1 ZINC001111243960 855216170 /nfs/dbraw/zinc/21/61/70/855216170.db2.gz RLOBWRJRHZTGGX-WCQYABFASA-N 1 2 319.434 1.548 20 30 DDEDLO C/C(=C\C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C1CC1 ZINC001046009352 856470809 /nfs/dbraw/zinc/47/08/09/856470809.db2.gz RDRVNGAOPLCAOV-GRNBYLDVSA-N 1 2 302.422 1.085 20 30 DDEDLO CS(=O)(=O)c1cccc(C[NH2+]Cc2nc(C#N)cs2)c1 ZINC001413159101 856497473 /nfs/dbraw/zinc/49/74/73/856497473.db2.gz KJEBVMMWVGSQBC-UHFFFAOYSA-N 1 2 307.400 1.708 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[N@H+](C/C(Cl)=C/Cl)C2 ZINC001072553402 857440412 /nfs/dbraw/zinc/44/04/12/857440412.db2.gz YYNQASWLDFEMFS-BJIOATRMSA-N 1 2 302.205 1.999 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](C/C(Cl)=C/Cl)C2 ZINC001072553402 857440417 /nfs/dbraw/zinc/44/04/17/857440417.db2.gz YYNQASWLDFEMFS-BJIOATRMSA-N 1 2 302.205 1.999 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)NCC#C[C@H]1CCCCO1 ZINC001122272649 858732291 /nfs/dbraw/zinc/73/22/91/858732291.db2.gz OIXNWKMBMMQMMN-OAHLLOKOSA-N 1 2 304.394 1.487 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](CO)Nc2cc[nH+]c(C)n2)CCC1 ZINC001122457758 858826581 /nfs/dbraw/zinc/82/65/81/858826581.db2.gz NHMJOUHDKPUGOG-CYBMUJFWSA-N 1 2 304.394 1.420 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)[C@H]1C ZINC001122949861 859022954 /nfs/dbraw/zinc/02/29/54/859022954.db2.gz FOMDQZMGLJOCNW-YPMHNXCESA-N 1 2 318.425 1.614 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[NH2+]Cc1nc(-c2ccc(F)cc2)no1 ZINC001124902893 859842289 /nfs/dbraw/zinc/84/22/89/859842289.db2.gz NRJFEXACRFHDQZ-SNVBAGLBSA-N 1 2 317.324 1.241 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(Cc3ccc(C#N)c(F)c3)C2)C[C@H](C)O1 ZINC001139531086 860427571 /nfs/dbraw/zinc/42/75/71/860427571.db2.gz KXPFNJFKOWLNAU-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(Cc3ccc(C#N)c(F)c3)C2)C[C@H](C)O1 ZINC001139531086 860427579 /nfs/dbraw/zinc/42/75/79/860427579.db2.gz KXPFNJFKOWLNAU-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2CC23CC[NH+](Cc2ncc[nH]2)CC3)CCC1 ZINC001277004496 881560741 /nfs/dbraw/zinc/56/07/41/881560741.db2.gz WRLFKPXXLIDZGL-ZDUSSCGKSA-N 1 2 313.405 1.574 20 30 DDEDLO Cc1ncc(CO)c(C[N@@H+]2CC[C@@H]3SC(=O)C=C3C2)c1O ZINC001140866605 860716651 /nfs/dbraw/zinc/71/66/51/860716651.db2.gz VTYJSPCGNQHGSV-ZDUSSCGKSA-N 1 2 306.387 1.362 20 30 DDEDLO Cc1ncc(CO)c(C[N@H+]2CC[C@@H]3SC(=O)C=C3C2)c1O ZINC001140866605 860716657 /nfs/dbraw/zinc/71/66/57/860716657.db2.gz VTYJSPCGNQHGSV-ZDUSSCGKSA-N 1 2 306.387 1.362 20 30 DDEDLO Cc1cnn(C=C(O)NC(=[NH2+])c2ccc3cc(O)ccc3c2)c1 ZINC001142177394 861054147 /nfs/dbraw/zinc/05/41/47/861054147.db2.gz QDCVPGCRODPZPP-UHFFFAOYSA-N 1 2 308.341 1.982 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc3[nH]c(=S)[nH]c3c2)CC1 ZINC001152281249 863256509 /nfs/dbraw/zinc/25/65/09/863256509.db2.gz UVTDQAPEHUDCOY-UHFFFAOYSA-N 1 2 302.403 1.795 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)C1 ZINC001328848006 863274913 /nfs/dbraw/zinc/27/49/13/863274913.db2.gz KCCCPLZNYMBNKT-ZIAGYGMSSA-N 1 2 316.405 1.540 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(CC)o1 ZINC001152370509 863307210 /nfs/dbraw/zinc/30/72/10/863307210.db2.gz JEJISEVJBWHCRJ-CYBMUJFWSA-N 1 2 309.410 1.766 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(CC)o1 ZINC001152370509 863307224 /nfs/dbraw/zinc/30/72/24/863307224.db2.gz JEJISEVJBWHCRJ-CYBMUJFWSA-N 1 2 309.410 1.766 20 30 DDEDLO C#CCCCCC(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001156816917 863362404 /nfs/dbraw/zinc/36/24/04/863362404.db2.gz SNXKULSATPKQLG-UHFFFAOYSA-N 1 2 322.409 1.048 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1C ZINC001153013013 863653462 /nfs/dbraw/zinc/65/34/62/863653462.db2.gz XRALFFBCMHYZET-CJNGLKHVSA-N 1 2 308.426 1.074 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1C ZINC001153013013 863653466 /nfs/dbraw/zinc/65/34/66/863653466.db2.gz XRALFFBCMHYZET-CJNGLKHVSA-N 1 2 308.426 1.074 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+]Cc1nc(CCC(C)C)no1 ZINC001153097343 863706749 /nfs/dbraw/zinc/70/67/49/863706749.db2.gz LJXJEDLWXLHGJG-UHFFFAOYSA-N 1 2 324.425 1.457 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@H]2CCCCC2(C)C)C1 ZINC001329717834 863808407 /nfs/dbraw/zinc/80/84/07/863808407.db2.gz LPNWOYTZUAXGKF-OAHLLOKOSA-N 1 2 306.450 1.779 20 30 DDEDLO CC(C)Cc1nc(C[NH2+]CCCNC(=O)C#CC2CC2)no1 ZINC001157710861 864067006 /nfs/dbraw/zinc/06/70/06/864067006.db2.gz LCJLBBFLSXYYMS-UHFFFAOYSA-N 1 2 304.394 1.277 20 30 DDEDLO CCCc1nc(C[NH2+][C@@H]2CCCC[C@H]2NC(=O)[C@@H](C)C#N)no1 ZINC001225395301 881810138 /nfs/dbraw/zinc/81/01/38/881810138.db2.gz KZMPGXHSKPDEIP-YNEHKIRRSA-N 1 2 319.409 1.699 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)CCc1c[nH+]cn1C ZINC001158807155 864915196 /nfs/dbraw/zinc/91/51/96/864915196.db2.gz WNVABOVZYPLWAN-OAHLLOKOSA-N 1 2 303.410 1.189 20 30 DDEDLO C[C@H](CN(C)C(=O)C#CC(C)(C)C)[NH2+]Cc1nnc(C2CC2)o1 ZINC001331438493 865084971 /nfs/dbraw/zinc/08/49/71/865084971.db2.gz HLNDDASANQTIHZ-GFCCVEGCSA-N 1 2 318.421 1.933 20 30 DDEDLO Cc1nonc1C[N@H+]1CC=C(CCNC(=O)[C@H](C)C#N)CC1 ZINC001159587269 865412604 /nfs/dbraw/zinc/41/26/04/865412604.db2.gz LYEOVLZTVNRZQR-LLVKDONJSA-N 1 2 303.366 1.176 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC=C(CCNC(=O)[C@H](C)C#N)CC1 ZINC001159587269 865412609 /nfs/dbraw/zinc/41/26/09/865412609.db2.gz LYEOVLZTVNRZQR-LLVKDONJSA-N 1 2 303.366 1.176 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001159978680 865626359 /nfs/dbraw/zinc/62/63/59/865626359.db2.gz AEUZNCXALHOMGZ-KRWDZBQOSA-N 1 2 319.405 1.640 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001159978680 865626366 /nfs/dbraw/zinc/62/63/66/865626366.db2.gz AEUZNCXALHOMGZ-KRWDZBQOSA-N 1 2 319.405 1.640 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)NCCC1=CCN(CC#N)CC1 ZINC001160473711 865868695 /nfs/dbraw/zinc/86/86/95/865868695.db2.gz MVADICZDFWNLIY-UHFFFAOYSA-N 1 2 314.389 1.295 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1nccc(F)c1C#N ZINC001160692948 866032070 /nfs/dbraw/zinc/03/20/70/866032070.db2.gz OHKOLXFFZAUXER-GFCCVEGCSA-N 1 2 303.297 1.022 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001225631947 881948533 /nfs/dbraw/zinc/94/85/33/881948533.db2.gz TWLIPYLDYJCANM-LBPRGKRZSA-N 1 2 322.409 1.297 20 30 DDEDLO C[NH+]1CCN(C2CCN(C(=O)CCCCCC#N)CC2)CC1 ZINC001333104624 866426741 /nfs/dbraw/zinc/42/67/41/866426741.db2.gz VYQCMSKGWASSKJ-UHFFFAOYSA-N 1 2 306.454 1.699 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)NCC(C)(C)[NH+]2CCOCC2)C1 ZINC001320030614 866436217 /nfs/dbraw/zinc/43/62/17/866436217.db2.gz KXYXDSYRSLIRJO-CQSZACIVSA-N 1 2 311.426 1.084 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1CC[C@@H]1CNC(=O)C#CC1CC1 ZINC001323228216 866450565 /nfs/dbraw/zinc/45/05/65/866450565.db2.gz WKDPEZXUBIYYSW-CQSZACIVSA-N 1 2 301.390 1.649 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1CC[C@@H]1CNC(=O)C#CC1CC1 ZINC001323228216 866450569 /nfs/dbraw/zinc/45/05/69/866450569.db2.gz WKDPEZXUBIYYSW-CQSZACIVSA-N 1 2 301.390 1.649 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@@H]1CNC(=O)c1snnc1CC ZINC001323259577 866481128 /nfs/dbraw/zinc/48/11/28/866481128.db2.gz SLNJHBNEPJHJEB-LLVKDONJSA-N 1 2 310.423 1.107 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@@H]1CNC(=O)c1snnc1CC ZINC001323259577 866481131 /nfs/dbraw/zinc/48/11/31/866481131.db2.gz SLNJHBNEPJHJEB-LLVKDONJSA-N 1 2 310.423 1.107 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001323280404 866496959 /nfs/dbraw/zinc/49/69/59/866496959.db2.gz YGAARJJOZHRNGF-INIZCTEOSA-N 1 2 319.449 1.383 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001323280404 866496965 /nfs/dbraw/zinc/49/69/65/866496965.db2.gz YGAARJJOZHRNGF-INIZCTEOSA-N 1 2 319.449 1.383 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](O)C[NH2+][C@H](C)c1nc(C)no1 ZINC001320258456 866595983 /nfs/dbraw/zinc/59/59/83/866595983.db2.gz NGRZHNAAWOPBHT-PWSUYJOCSA-N 1 2 310.398 1.108 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)CNC(=O)C2CC2)CC1 ZINC001333300840 866610878 /nfs/dbraw/zinc/61/08/78/866610878.db2.gz ZSPBJFDVBFOXEL-UHFFFAOYSA-N 1 2 311.813 1.013 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)CNC(=O)C2CC2)CC1 ZINC001333300840 866610887 /nfs/dbraw/zinc/61/08/87/866610887.db2.gz ZSPBJFDVBFOXEL-UHFFFAOYSA-N 1 2 311.813 1.013 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC001323642966 866743955 /nfs/dbraw/zinc/74/39/55/866743955.db2.gz IGLZUUDUDXMMKI-CQSZACIVSA-N 1 2 316.405 1.046 20 30 DDEDLO C[C@H]1COCC[C@@H]1C(=O)N1CCC([N@H+](CC#N)CC2CC2)CC1 ZINC001323733174 866802320 /nfs/dbraw/zinc/80/23/20/866802320.db2.gz CXJPTOUHUOAYAZ-YOEHRIQHSA-N 1 2 319.449 1.886 20 30 DDEDLO C[C@H]1COCC[C@@H]1C(=O)N1CCC([N@@H+](CC#N)CC2CC2)CC1 ZINC001323733174 866802336 /nfs/dbraw/zinc/80/23/36/866802336.db2.gz CXJPTOUHUOAYAZ-YOEHRIQHSA-N 1 2 319.449 1.886 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1CC(CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001333754202 866985023 /nfs/dbraw/zinc/98/50/23/866985023.db2.gz PRZBPMDFWRTPEM-UHFFFAOYSA-N 1 2 318.421 1.566 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(F)c2C)C1 ZINC001334196286 867375979 /nfs/dbraw/zinc/37/59/79/867375979.db2.gz PQKQYBYMVWRFSM-ZDUSSCGKSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(F)c2C)C1 ZINC001334196286 867375997 /nfs/dbraw/zinc/37/59/97/867375997.db2.gz PQKQYBYMVWRFSM-ZDUSSCGKSA-N 1 2 317.364 1.286 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNc2ccc(Br)c(C#N)n2)C1 ZINC001162690609 867741903 /nfs/dbraw/zinc/74/19/03/867741903.db2.gz YUHXJXRFCWTVNT-SECBINFHSA-N 1 2 311.183 1.458 20 30 DDEDLO C[N@H+]1CCO[C@H](CNc2ccc(Br)c(C#N)n2)C1 ZINC001162690609 867741910 /nfs/dbraw/zinc/74/19/10/867741910.db2.gz YUHXJXRFCWTVNT-SECBINFHSA-N 1 2 311.183 1.458 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@H]1CCn2cncc2C1 ZINC001381542189 882123068 /nfs/dbraw/zinc/12/30/68/882123068.db2.gz PSGUHJNZZQSIEH-STQMWFEESA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@H]1CCn2cncc2C1 ZINC001381542189 882123074 /nfs/dbraw/zinc/12/30/74/882123074.db2.gz PSGUHJNZZQSIEH-STQMWFEESA-N 1 2 310.829 1.635 20 30 DDEDLO C#Cc1ccc(NC(=O)C(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)cc1 ZINC001336632578 869061674 /nfs/dbraw/zinc/06/16/74/869061674.db2.gz FDQWYMMGCCTFNH-CQSZACIVSA-N 1 2 322.368 1.356 20 30 DDEDLO Cc1cccc(NCC/N=C2/NS(=O)(=O)c3ccccc32)[nH+]1 ZINC001337761802 869619368 /nfs/dbraw/zinc/61/93/68/869619368.db2.gz GWOVYWHKADBPNJ-UHFFFAOYSA-N 1 2 316.386 1.541 20 30 DDEDLO C=CCCC(=O)N1CC[C@]2(NC(=O)Cc3c[nH]c[nH+]3)CCC[C@@H]12 ZINC001338223336 869874093 /nfs/dbraw/zinc/87/40/93/869874093.db2.gz MCOCSUWWYRMNPH-RHSMWYFYSA-N 1 2 316.405 1.558 20 30 DDEDLO C=CC[C@@H](NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1)C(=O)OCC ZINC001338420072 869971243 /nfs/dbraw/zinc/97/12/43/869971243.db2.gz TYJZPDBPFYDYPE-BXUZGUMPSA-N 1 2 320.393 1.414 20 30 DDEDLO C=CC[C@@H](NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1)C(=O)OCC ZINC001338420072 869971256 /nfs/dbraw/zinc/97/12/56/869971256.db2.gz TYJZPDBPFYDYPE-BXUZGUMPSA-N 1 2 320.393 1.414 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](NC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001297628120 870117758 /nfs/dbraw/zinc/11/77/58/870117758.db2.gz YGWKTHGAHGSHRG-HDJSIYSDSA-N 1 2 318.421 1.852 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](NC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001297628120 870117763 /nfs/dbraw/zinc/11/77/63/870117763.db2.gz YGWKTHGAHGSHRG-HDJSIYSDSA-N 1 2 318.421 1.852 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)[C@H](C)CCC ZINC001338773161 870160482 /nfs/dbraw/zinc/16/04/82/870160482.db2.gz OEYJXJVLNSYUBA-MCIONIFRSA-N 1 2 307.442 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)[C@H](C)CCC ZINC001338773161 870160494 /nfs/dbraw/zinc/16/04/94/870160494.db2.gz OEYJXJVLNSYUBA-MCIONIFRSA-N 1 2 307.442 1.826 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCCC1(C[NH2+]Cc2nnn(C)n2)CC1 ZINC001166756363 870224025 /nfs/dbraw/zinc/22/40/25/870224025.db2.gz VCGSJGPOPNFISC-CYBMUJFWSA-N 1 2 320.441 1.189 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)COC[C@H]1CCCO1 ZINC001381633418 882393150 /nfs/dbraw/zinc/39/31/50/882393150.db2.gz AXPRVILJEBYAFW-CHWSQXEVSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)COC[C@H]1CCCO1 ZINC001381633418 882393168 /nfs/dbraw/zinc/39/31/68/882393168.db2.gz AXPRVILJEBYAFW-CHWSQXEVSA-N 1 2 304.818 1.371 20 30 DDEDLO N#Cc1cc(Br)ccc1C(=O)NCCn1cc[nH+]c1 ZINC001361801193 882400714 /nfs/dbraw/zinc/40/07/14/882400714.db2.gz BCMYPUXUKHHRTC-UHFFFAOYSA-N 1 2 319.162 1.947 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1cc(C)n(C)n1 ZINC001317169062 870404809 /nfs/dbraw/zinc/40/48/09/870404809.db2.gz YSIZYMZDNPCNAJ-INIZCTEOSA-N 1 2 320.437 1.402 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1cc(C)n(C)n1 ZINC001317169062 870404814 /nfs/dbraw/zinc/40/48/14/870404814.db2.gz YSIZYMZDNPCNAJ-INIZCTEOSA-N 1 2 320.437 1.402 20 30 DDEDLO C=CCn1c(N(C)CC[NH+]2CCOCC2)nnc1C(C)(C)F ZINC001339611856 870580928 /nfs/dbraw/zinc/58/09/28/870580928.db2.gz YEGGUCCBYGQZKL-UHFFFAOYSA-N 1 2 311.405 1.437 20 30 DDEDLO C#CCCCC(=O)NCC[N@@H+](C)Cc1nc2ccccc2n1C ZINC001317445663 870850142 /nfs/dbraw/zinc/85/01/42/870850142.db2.gz ZNQHPFUBAHSNEI-UHFFFAOYSA-N 1 2 312.417 1.925 20 30 DDEDLO C#CCCCC(=O)NCC[N@H+](C)Cc1nc2ccccc2n1C ZINC001317445663 870850153 /nfs/dbraw/zinc/85/01/53/870850153.db2.gz ZNQHPFUBAHSNEI-UHFFFAOYSA-N 1 2 312.417 1.925 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](C)CC(=O)N1CCCCCC1 ZINC001317458660 870874193 /nfs/dbraw/zinc/87/41/93/870874193.db2.gz JKTJADJAJGPSPQ-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](C)CC(=O)N1CCCCCC1 ZINC001317458660 870874206 /nfs/dbraw/zinc/87/42/06/870874206.db2.gz JKTJADJAJGPSPQ-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@@H](C)[C@H](C)C1 ZINC001340374197 871064936 /nfs/dbraw/zinc/06/49/36/871064936.db2.gz KQNZOZNMWSUUPN-MQYQWHSLSA-N 1 2 305.426 1.294 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@@H](C)[C@H](C)C1 ZINC001340374197 871064956 /nfs/dbraw/zinc/06/49/56/871064956.db2.gz KQNZOZNMWSUUPN-MQYQWHSLSA-N 1 2 305.426 1.294 20 30 DDEDLO C=CCCC(=O)NC1CC[NH+](Cc2c([N+](=O)[O-])ncn2C)CC1 ZINC001226528042 882485443 /nfs/dbraw/zinc/48/54/43/882485443.db2.gz SPTQFWQEXKSWHE-UHFFFAOYSA-N 1 2 321.381 1.375 20 30 DDEDLO N#CCNCC1CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC001205348428 871506774 /nfs/dbraw/zinc/50/67/74/871506774.db2.gz ANSPAQFKDHZGAY-UHFFFAOYSA-N 1 2 315.421 1.114 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)CC23CCC(CC2)CC3)C1 ZINC001309844834 871602895 /nfs/dbraw/zinc/60/28/95/871602895.db2.gz VHUHOJSUXAAZPU-CGZBRXJRSA-N 1 2 324.490 1.974 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)CC23CCC(CC2)CC3)C1 ZINC001309844834 871602924 /nfs/dbraw/zinc/60/29/24/871602924.db2.gz VHUHOJSUXAAZPU-CGZBRXJRSA-N 1 2 324.490 1.974 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CSCCC)C1 ZINC001317924190 871624607 /nfs/dbraw/zinc/62/46/07/871624607.db2.gz PBYOHMYWOCYJOY-CYBMUJFWSA-N 1 2 313.467 1.012 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CSCCC)C1 ZINC001317924190 871624621 /nfs/dbraw/zinc/62/46/21/871624621.db2.gz PBYOHMYWOCYJOY-CYBMUJFWSA-N 1 2 313.467 1.012 20 30 DDEDLO C=CCOCC[N@H+]1CCC[C@@H](NC(=O)c2[nH]nc(C)c2C)C1 ZINC001317925379 871627310 /nfs/dbraw/zinc/62/73/10/871627310.db2.gz IQGSWQVFIXXUBS-CQSZACIVSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCOCC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc(C)c2C)C1 ZINC001317925379 871627319 /nfs/dbraw/zinc/62/73/19/871627319.db2.gz IQGSWQVFIXXUBS-CQSZACIVSA-N 1 2 306.410 1.423 20 30 DDEDLO Cc1ccc(C[NH+]2CC(NC(=O)CSCC#N)C2)c(C)c1 ZINC001318001642 871678848 /nfs/dbraw/zinc/67/88/48/871678848.db2.gz DBOXNNFPTJLVFQ-UHFFFAOYSA-N 1 2 303.431 1.861 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)CN(C)C(=O)c2cccs2)C1 ZINC001318029052 871698792 /nfs/dbraw/zinc/69/87/92/871698792.db2.gz JLTMOLNOESYOHD-UHFFFAOYSA-N 1 2 307.419 1.197 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N1CC(C)(OC)C1 ZINC001341756344 871807100 /nfs/dbraw/zinc/80/71/00/871807100.db2.gz UAQSLOAJDYAAHZ-UHFFFAOYSA-N 1 2 316.409 1.404 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)[C@H]1CC[N@H+](Cc2nccs2)C1 ZINC001318470888 872091613 /nfs/dbraw/zinc/09/16/13/872091613.db2.gz ONCFWUKRCGFOCY-XJKSGUPXSA-N 1 2 323.462 1.893 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)[C@H]1CC[N@@H+](Cc2nccs2)C1 ZINC001318470888 872091637 /nfs/dbraw/zinc/09/16/37/872091637.db2.gz ONCFWUKRCGFOCY-XJKSGUPXSA-N 1 2 323.462 1.893 20 30 DDEDLO C[C@H]1CCCC[C@]1(CNCC#N)NC(=O)CCCn1cc[nH+]c1 ZINC001318757672 872249537 /nfs/dbraw/zinc/24/95/37/872249537.db2.gz ITQJXCRYRRQRPW-DOTOQJQBSA-N 1 2 317.437 1.842 20 30 DDEDLO Cc1ccnc(C[N@@H+](CCCNC(=O)[C@H](C)C#N)C2CC2)n1 ZINC001316915001 872348597 /nfs/dbraw/zinc/34/85/97/872348597.db2.gz SKQHZVSUDGQIOB-GFCCVEGCSA-N 1 2 301.394 1.415 20 30 DDEDLO Cc1ccnc(C[N@H+](CCCNC(=O)[C@H](C)C#N)C2CC2)n1 ZINC001316915001 872348609 /nfs/dbraw/zinc/34/86/09/872348609.db2.gz SKQHZVSUDGQIOB-GFCCVEGCSA-N 1 2 301.394 1.415 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-c1ccnc(C#N)n1 ZINC001206475740 872358616 /nfs/dbraw/zinc/35/86/16/872358616.db2.gz FDGLBPOECLDUAV-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@@H]3CCC[N@@H+]3C)n2CCOC)C1 ZINC001343216210 872538571 /nfs/dbraw/zinc/53/85/71/872538571.db2.gz FQLGYMBEPSUKJX-CABCVRRESA-N 1 2 317.437 1.541 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@@H]3CCC[N@H+]3C)n2CCOC)C1 ZINC001343216210 872538573 /nfs/dbraw/zinc/53/85/73/872538573.db2.gz FQLGYMBEPSUKJX-CABCVRRESA-N 1 2 317.437 1.541 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001206914569 872758544 /nfs/dbraw/zinc/75/85/44/872758544.db2.gz JVJXXIFOAJOMMN-SUYBPPKGSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001206914569 872758551 /nfs/dbraw/zinc/75/85/51/872758551.db2.gz JVJXXIFOAJOMMN-SUYBPPKGSA-N 1 2 321.421 1.501 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)on1 ZINC001206951946 872836534 /nfs/dbraw/zinc/83/65/34/872836534.db2.gz QBNYDYGPSMUQQM-BXUZGUMPSA-N 1 2 303.362 1.033 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)on1 ZINC001206951946 872836540 /nfs/dbraw/zinc/83/65/40/872836540.db2.gz QBNYDYGPSMUQQM-BXUZGUMPSA-N 1 2 303.362 1.033 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2nn(CC)nc2C)C[C@H]1C ZINC001207216161 873127301 /nfs/dbraw/zinc/12/73/01/873127301.db2.gz XBTJFMRPWLGXNL-UKRRQHHQSA-N 1 2 319.453 1.899 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2nn(CC)nc2C)C[C@H]1C ZINC001207216161 873127314 /nfs/dbraw/zinc/12/73/14/873127314.db2.gz XBTJFMRPWLGXNL-UKRRQHHQSA-N 1 2 319.453 1.899 20 30 DDEDLO Cn1cc(CN2C[C@@H]3COC[C@H](C2)[N@@H+]3CCC2CC2)cc1C#N ZINC001276513985 873130479 /nfs/dbraw/zinc/13/04/79/873130479.db2.gz STNIJCUWCJNPTR-HDICACEKSA-N 1 2 314.433 1.582 20 30 DDEDLO Cn1cc(CN2C[C@@H]3COC[C@H](C2)[N@H+]3CCC2CC2)cc1C#N ZINC001276513985 873130491 /nfs/dbraw/zinc/13/04/91/873130491.db2.gz STNIJCUWCJNPTR-HDICACEKSA-N 1 2 314.433 1.582 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@H]1CCCO1 ZINC001345322430 873354440 /nfs/dbraw/zinc/35/44/40/873354440.db2.gz QLFXIOOXZAKVIZ-CABCVRRESA-N 1 2 317.437 1.683 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@H]1CCCO1 ZINC001345322430 873354447 /nfs/dbraw/zinc/35/44/47/873354447.db2.gz QLFXIOOXZAKVIZ-CABCVRRESA-N 1 2 317.437 1.683 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001207685211 873478390 /nfs/dbraw/zinc/47/83/90/873478390.db2.gz YTLISELDELGWMW-ZDUSSCGKSA-N 1 2 322.409 1.305 20 30 DDEDLO C=C[C@@H](C(=O)NC[C@@H](C)[NH+]1CCN(C)CC1)c1ccccc1 ZINC001345952767 873571254 /nfs/dbraw/zinc/57/12/54/873571254.db2.gz KYQRSWUVFKNPQR-NVXWUHKLSA-N 1 2 301.434 1.708 20 30 DDEDLO C#C[C@@H](NC(=O)NC[C@@H](C)[NH+]1CCN(C)CC1)c1ccccc1 ZINC001345953630 873571316 /nfs/dbraw/zinc/57/13/16/873571316.db2.gz SWTXSEJWMAWBSE-NVXWUHKLSA-N 1 2 314.433 1.296 20 30 DDEDLO C#CCCCCC(=O)NC1CC[NH+]([C@H](C)c2nncn2C)CC1 ZINC001226951895 882740349 /nfs/dbraw/zinc/74/03/49/882740349.db2.gz MBULBRKJECAVHR-CQSZACIVSA-N 1 2 317.437 1.650 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2CCCN(C(=O)C#CC(C)C)C2)o1 ZINC001207996100 873726928 /nfs/dbraw/zinc/72/69/28/873726928.db2.gz ZEKFKLKLHZHVHW-CYBMUJFWSA-N 1 2 304.394 1.372 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ncccn2)C[C@H]1C ZINC001208315295 873995513 /nfs/dbraw/zinc/99/55/13/873995513.db2.gz SVGVNRJOZBXIEA-HZPDHXFCSA-N 1 2 314.433 1.997 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2ncccn2)C[C@H]1C ZINC001208315295 873995528 /nfs/dbraw/zinc/99/55/28/873995528.db2.gz SVGVNRJOZBXIEA-HZPDHXFCSA-N 1 2 314.433 1.997 20 30 DDEDLO CO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001378059007 874030307 /nfs/dbraw/zinc/03/03/07/874030307.db2.gz UKIVVWQABCPGEH-RISCZKNCSA-N 1 2 305.353 1.423 20 30 DDEDLO CO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001378059007 874030324 /nfs/dbraw/zinc/03/03/24/874030324.db2.gz UKIVVWQABCPGEH-RISCZKNCSA-N 1 2 305.353 1.423 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC001378070868 874074085 /nfs/dbraw/zinc/07/40/85/874074085.db2.gz OPHXMKMKHHFKNF-STQMWFEESA-N 1 2 312.801 1.051 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC001378070868 874074097 /nfs/dbraw/zinc/07/40/97/874074097.db2.gz OPHXMKMKHHFKNF-STQMWFEESA-N 1 2 312.801 1.051 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ncccn2)C[C@H]1C ZINC001208371353 874075572 /nfs/dbraw/zinc/07/55/72/874075572.db2.gz QFXYAZPUCYMNPR-HUUCEWRRSA-N 1 2 300.406 1.607 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ncccn2)C[C@H]1C ZINC001208371353 874075587 /nfs/dbraw/zinc/07/55/87/874075587.db2.gz QFXYAZPUCYMNPR-HUUCEWRRSA-N 1 2 300.406 1.607 20 30 DDEDLO N#CC1(CNC(=O)NCCCNc2cccc[nH+]2)CCOCC1 ZINC001348453746 874569553 /nfs/dbraw/zinc/56/95/53/874569553.db2.gz XHHISJVHUGTUFG-UHFFFAOYSA-N 1 2 317.393 1.503 20 30 DDEDLO CCc1noc([C@@H](C)[NH+]2CCC(NC(=O)C#CC(C)C)CC2)n1 ZINC001227221749 882916509 /nfs/dbraw/zinc/91/65/09/882916509.db2.gz YVWXYBHCTFMUEJ-CYBMUJFWSA-N 1 2 318.421 1.933 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)n(C)n2)C[C@H]1C ZINC001211425972 875803579 /nfs/dbraw/zinc/80/35/79/875803579.db2.gz VNFYKVLZRCZSJS-XNRPHZJLSA-N 1 2 320.437 1.256 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)n(C)n2)C[C@H]1C ZINC001211425972 875803587 /nfs/dbraw/zinc/80/35/87/875803587.db2.gz VNFYKVLZRCZSJS-XNRPHZJLSA-N 1 2 320.437 1.256 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213310835 875893989 /nfs/dbraw/zinc/89/39/89/875893989.db2.gz WNGQZHVYSUCUEK-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213310835 875894001 /nfs/dbraw/zinc/89/40/01/875894001.db2.gz WNGQZHVYSUCUEK-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO N#Cc1cc(Nc2cnn(CC[NH+]3CCOCC3)c2)ccc1N ZINC001213431179 875935642 /nfs/dbraw/zinc/93/56/42/875935642.db2.gz GEUQNHBSAINMJD-UHFFFAOYSA-N 1 2 312.377 1.413 20 30 DDEDLO C[C@@H](CC(=O)NCCCN(C)C(=O)C#CC1CC1)n1cc[nH+]c1 ZINC001351498271 876289056 /nfs/dbraw/zinc/28/90/56/876289056.db2.gz BBNQOKZOUXZXLA-AWEZNQCLSA-N 1 2 316.405 1.212 20 30 DDEDLO C#Cc1cc(Nc2ccc(C(=O)NCC(=O)OC)cc2)cc[nH+]1 ZINC001216452981 876944070 /nfs/dbraw/zinc/94/40/70/876944070.db2.gz WNGPRGIFGZVFNE-UHFFFAOYSA-N 1 2 309.325 1.131 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](CNC(=O)Cn2cc[nH+]c2)C2CC2)C1 ZINC001353142666 877146151 /nfs/dbraw/zinc/14/61/51/877146151.db2.gz LNMSFVNKPIDTMY-CQSZACIVSA-N 1 2 316.405 1.250 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@H](C)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC001379508773 877248619 /nfs/dbraw/zinc/24/86/19/877248619.db2.gz FCLSMSPXILNILA-NSHDSACASA-N 1 2 319.796 1.932 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cccnc3)C[C@@H]21 ZINC001217753172 877309630 /nfs/dbraw/zinc/30/96/30/877309630.db2.gz WYTKSBHGWJWASU-DLBZAZTESA-N 1 2 313.401 1.297 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cccnc3)C[C@@H]21 ZINC001217753172 877309649 /nfs/dbraw/zinc/30/96/49/877309649.db2.gz WYTKSBHGWJWASU-DLBZAZTESA-N 1 2 313.401 1.297 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C2CC2)n1C[C@H](C)[NH+]1CCOCC1 ZINC001300305619 877348559 /nfs/dbraw/zinc/34/85/59/877348559.db2.gz XRTCRHJGURZKRK-KGLIPLIRSA-N 1 2 317.437 1.334 20 30 DDEDLO C#Cc1ccc(N2CC[NH+]([C@H](C)C(=O)N3CCCC3)CC2)nc1 ZINC001354129312 877770380 /nfs/dbraw/zinc/77/03/80/877770380.db2.gz FJHIDMYAYFVXPB-OAHLLOKOSA-N 1 2 312.417 1.196 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1[nH]cc[nH+]1 ZINC001354152403 877780355 /nfs/dbraw/zinc/78/03/55/877780355.db2.gz UMEUKJYTIPHVBF-ATCWAGBWSA-N 1 2 316.405 1.128 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CCCCSC)CC1 ZINC001300684799 878136017 /nfs/dbraw/zinc/13/60/17/878136017.db2.gz ADPRDNMVJKVXII-UHFFFAOYSA-N 1 2 307.467 1.485 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2CCCCCOC)CC1 ZINC001300701033 878175608 /nfs/dbraw/zinc/17/56/08/878175608.db2.gz DEOWGXXOYMJOCS-UHFFFAOYSA-N 1 2 319.453 1.412 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001354915925 878313584 /nfs/dbraw/zinc/31/35/84/878313584.db2.gz QKHCQDZXPDOCAP-CQSZACIVSA-N 1 2 318.421 1.284 20 30 DDEDLO C=C(Cl)CN(C)CCN(C(=O)[C@H]1C[N@H+](C)CCO1)C(C)C ZINC001380006589 878535444 /nfs/dbraw/zinc/53/54/44/878535444.db2.gz IAFJOGATKISSJJ-CQSZACIVSA-N 1 2 317.861 1.238 20 30 DDEDLO C=C(Cl)CN(C)CCN(C(=O)[C@H]1C[N@@H+](C)CCO1)C(C)C ZINC001380006589 878535455 /nfs/dbraw/zinc/53/54/55/878535455.db2.gz IAFJOGATKISSJJ-CQSZACIVSA-N 1 2 317.861 1.238 20 30 DDEDLO CCCC[C@H](CC)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001220034072 878660592 /nfs/dbraw/zinc/66/05/92/878660592.db2.gz NCEYWHPUXACJIW-BBWFWOEESA-N 1 2 324.465 1.404 20 30 DDEDLO CCCC[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001220034072 878660600 /nfs/dbraw/zinc/66/06/00/878660600.db2.gz NCEYWHPUXACJIW-BBWFWOEESA-N 1 2 324.465 1.404 20 30 DDEDLO COCC[N@H+](CCNC(=O)C1CC1)Cc1cc(C#N)ccc1F ZINC001380094569 878781666 /nfs/dbraw/zinc/78/16/66/878781666.db2.gz PISUAGJFFXKISS-UHFFFAOYSA-N 1 2 319.380 1.672 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C1CC1)Cc1cc(C#N)ccc1F ZINC001380094569 878781681 /nfs/dbraw/zinc/78/16/81/878781681.db2.gz PISUAGJFFXKISS-UHFFFAOYSA-N 1 2 319.380 1.672 20 30 DDEDLO C=C(C)Cn1c([C@@H]2C[C@H]2C)nnc1N(C)CC[NH+]1CCOCC1 ZINC001356331425 878997068 /nfs/dbraw/zinc/99/70/68/878997068.db2.gz WSGJPMSGISOZSG-HUUCEWRRSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001356650799 879158174 /nfs/dbraw/zinc/15/81/74/879158174.db2.gz GFGBYYRAQOMWIA-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](CN(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001356718390 879229199 /nfs/dbraw/zinc/22/91/99/879229199.db2.gz MEICSJDLOXPAHM-CQSZACIVSA-N 1 2 318.421 1.615 20 30 DDEDLO CCCCC[C@@H](CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001221035480 879461729 /nfs/dbraw/zinc/46/17/29/879461729.db2.gz GLPXOABSQLZUPH-ZACQAIPSSA-N 1 2 324.465 1.404 20 30 DDEDLO CCCCC[C@@H](CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001221035480 879461734 /nfs/dbraw/zinc/46/17/34/879461734.db2.gz GLPXOABSQLZUPH-ZACQAIPSSA-N 1 2 324.465 1.404 20 30 DDEDLO C=CCCCC(=O)N(CC)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001356860469 879490220 /nfs/dbraw/zinc/49/02/20/879490220.db2.gz GXCLRJXSRUYCNP-CQSZACIVSA-N 1 2 318.421 1.758 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](c2ccc(F)cc2)C(C)C)[C@@H](O)C1 ZINC001221122333 879513494 /nfs/dbraw/zinc/51/34/94/879513494.db2.gz PGANYDYYGGVXPC-IXDOHACOSA-N 1 2 318.392 1.360 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](c2ccc(F)cc2)C(C)C)[C@@H](O)C1 ZINC001221122333 879513502 /nfs/dbraw/zinc/51/35/02/879513502.db2.gz PGANYDYYGGVXPC-IXDOHACOSA-N 1 2 318.392 1.360 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001357033815 879727613 /nfs/dbraw/zinc/72/76/13/879727613.db2.gz ABUINOBHSLFRCM-BLLLJJGKSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001357033319 879727992 /nfs/dbraw/zinc/72/79/92/879727992.db2.gz PWJPBNVXMLATRA-QWHCGFSZSA-N 1 2 320.437 1.731 20 30 DDEDLO CCCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221530507 879924991 /nfs/dbraw/zinc/92/49/91/879924991.db2.gz OEFNRNIBAQOSOW-BZUAXINKSA-N 1 2 319.449 1.093 20 30 DDEDLO CCCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221530507 879925003 /nfs/dbraw/zinc/92/50/03/879925003.db2.gz OEFNRNIBAQOSOW-BZUAXINKSA-N 1 2 319.449 1.093 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3CC)C[C@H]21 ZINC001221779876 880077022 /nfs/dbraw/zinc/07/70/22/880077022.db2.gz QTKRLKWSUBXCDA-GDBMZVCRSA-N 1 2 317.437 1.687 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3CC)C[C@H]21 ZINC001221779876 880077030 /nfs/dbraw/zinc/07/70/30/880077030.db2.gz QTKRLKWSUBXCDA-GDBMZVCRSA-N 1 2 317.437 1.687 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001222072908 880220684 /nfs/dbraw/zinc/22/06/84/880220684.db2.gz MWQOXNOOMGFHCN-STQMWFEESA-N 1 2 322.409 1.304 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@@H+]([C@H](C)C(N)=O)C[C@H]32)CCCC1 ZINC001222142397 880282765 /nfs/dbraw/zinc/28/27/65/880282765.db2.gz JMMZSFOKLXMZFJ-RBSFLKMASA-N 1 2 319.449 1.529 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@H+]([C@H](C)C(N)=O)C[C@H]32)CCCC1 ZINC001222142397 880282780 /nfs/dbraw/zinc/28/27/80/880282780.db2.gz JMMZSFOKLXMZFJ-RBSFLKMASA-N 1 2 319.449 1.529 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1([NH2+]Cc2ncc(C3CC3)o2)CCC1 ZINC001380852744 880528002 /nfs/dbraw/zinc/52/80/02/880528002.db2.gz NTWFZOVFIKSUFZ-NSHDSACASA-N 1 2 302.378 1.840 20 30 DDEDLO Cc1cnc(C[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)nc1 ZINC001222641558 880617769 /nfs/dbraw/zinc/61/77/69/880617769.db2.gz OQOGYWKSNZABNB-CYBMUJFWSA-N 1 2 301.394 1.273 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(OC)n1 ZINC001276833141 880661995 /nfs/dbraw/zinc/66/19/95/880661995.db2.gz CBAJDVFVFFQGGX-YOEHRIQHSA-N 1 2 319.405 1.108 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(OC)n1 ZINC001276833141 880662012 /nfs/dbraw/zinc/66/20/12/880662012.db2.gz CBAJDVFVFFQGGX-YOEHRIQHSA-N 1 2 319.405 1.108 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H](C)N(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001287942269 912610762 /nfs/dbraw/zinc/61/07/62/912610762.db2.gz KKVLUFNWTIORJH-WCQYABFASA-N 1 2 304.394 1.332 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nnc(C)[nH]3)[C@H]2C1 ZINC001223406010 880949170 /nfs/dbraw/zinc/94/91/70/880949170.db2.gz KSDIETXDPXUBOE-CABCVRRESA-N 1 2 317.437 1.892 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3nnc(C)[nH]3)[C@H]2C1 ZINC001223406010 880949175 /nfs/dbraw/zinc/94/91/75/880949175.db2.gz KSDIETXDPXUBOE-CABCVRRESA-N 1 2 317.437 1.892 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)CC1CC(C)(C)C1 ZINC001276908456 881043200 /nfs/dbraw/zinc/04/32/00/881043200.db2.gz IPVHOKIMMVUBQY-HIFRSBDPSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)CC1CC(C)(C)C1 ZINC001276908456 881043217 /nfs/dbraw/zinc/04/32/17/881043217.db2.gz IPVHOKIMMVUBQY-HIFRSBDPSA-N 1 2 321.465 1.694 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)C1CCC(F)(F)CC1 ZINC001276930346 881143935 /nfs/dbraw/zinc/14/39/35/881143935.db2.gz GCJWLYJDYXNDDB-CQSZACIVSA-N 1 2 314.376 1.652 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)C1CCC(F)(F)CC1 ZINC001276930346 881143940 /nfs/dbraw/zinc/14/39/40/881143940.db2.gz GCJWLYJDYXNDDB-CQSZACIVSA-N 1 2 314.376 1.652 20 30 DDEDLO Cc1ccc(C[N@@H+]2C[C@H]3CS(=O)(=O)C[C@@]3(C)C2)cc1C#N ZINC001414058265 881223011 /nfs/dbraw/zinc/22/30/11/881223011.db2.gz WNEWMPWCYNTNFH-JKSUJKDBSA-N 1 2 304.415 1.733 20 30 DDEDLO Cc1ccc(C[N@H+]2C[C@H]3CS(=O)(=O)C[C@@]3(C)C2)cc1C#N ZINC001414058265 881223028 /nfs/dbraw/zinc/22/30/28/881223028.db2.gz WNEWMPWCYNTNFH-JKSUJKDBSA-N 1 2 304.415 1.733 20 30 DDEDLO CCC[C@H](C)C(=O)NC[C@@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001382181082 883589529 /nfs/dbraw/zinc/58/95/29/883589529.db2.gz HLEYIMSSGOJRDZ-LRDDRELGSA-N 1 2 321.396 1.700 20 30 DDEDLO CC#CCCCC(=O)NC1CC[NH+](Cc2ncccn2)CC1 ZINC001228717768 883637992 /nfs/dbraw/zinc/63/79/92/883637992.db2.gz JJDAXXGVNKRTJB-UHFFFAOYSA-N 1 2 300.406 1.751 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCc2ccnc(C#N)c2)[nH]n1 ZINC001362499709 883949357 /nfs/dbraw/zinc/94/93/57/883949357.db2.gz UHZWBXIBHPWVTN-OAHLLOKOSA-N 1 2 310.361 1.373 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)NCc2ccnc(C#N)c2)[nH]n1 ZINC001362499709 883949367 /nfs/dbraw/zinc/94/93/67/883949367.db2.gz UHZWBXIBHPWVTN-OAHLLOKOSA-N 1 2 310.361 1.373 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)[nH]2)CC1 ZINC001230411351 884478626 /nfs/dbraw/zinc/47/86/26/884478626.db2.gz HCXGRDSYARQFQM-LBPRGKRZSA-N 1 2 321.425 1.347 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C=C(C)C ZINC001230695041 884752489 /nfs/dbraw/zinc/75/24/89/884752489.db2.gz JWHJNLZUDSPUSY-HNNXBMFYSA-N 1 2 320.437 1.247 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C=C(C)C ZINC001230695041 884752501 /nfs/dbraw/zinc/75/25/01/884752501.db2.gz JWHJNLZUDSPUSY-HNNXBMFYSA-N 1 2 320.437 1.247 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@H+](CC(N)=O)Cc1cccnc1 ZINC001230811164 884911552 /nfs/dbraw/zinc/91/15/52/884911552.db2.gz LGZDQVDDHKICAS-UHFFFAOYSA-N 1 2 318.421 1.087 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@@H+](CC(N)=O)Cc1cccnc1 ZINC001230811164 884911566 /nfs/dbraw/zinc/91/15/66/884911566.db2.gz LGZDQVDDHKICAS-UHFFFAOYSA-N 1 2 318.421 1.087 20 30 DDEDLO Cc1cncc(C[N@H+]2CC[C@H]2CN(C)C(=O)CSCC#N)c1 ZINC001230815969 884914538 /nfs/dbraw/zinc/91/45/38/884914538.db2.gz VPXCUXFOCIUZSE-HNNXBMFYSA-N 1 2 318.446 1.680 20 30 DDEDLO Cc1cncc(C[N@@H+]2CC[C@H]2CN(C)C(=O)CSCC#N)c1 ZINC001230815969 884914546 /nfs/dbraw/zinc/91/45/46/884914546.db2.gz VPXCUXFOCIUZSE-HNNXBMFYSA-N 1 2 318.446 1.680 20 30 DDEDLO CCc1[nH]c(CNC(=O)[C@H](C#N)Cc2ccc(C#N)cc2)c[nH+]1 ZINC001362954086 885074118 /nfs/dbraw/zinc/07/41/18/885074118.db2.gz JPNMSCKGFFMYIP-AWEZNQCLSA-N 1 2 307.357 1.842 20 30 DDEDLO CCc1[nH]cc(CNC(=O)[C@H](C#N)Cc2ccc(C#N)cc2)[nH+]1 ZINC001362954086 885074135 /nfs/dbraw/zinc/07/41/35/885074135.db2.gz JPNMSCKGFFMYIP-AWEZNQCLSA-N 1 2 307.357 1.842 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)Nc2cn[nH]c2)c1 ZINC001277479221 885136925 /nfs/dbraw/zinc/13/69/25/885136925.db2.gz TYBNGXPXXIYAEM-PBHICJAKSA-N 1 2 307.357 1.884 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)Nc2cn[nH]c2)c1 ZINC001277479221 885136932 /nfs/dbraw/zinc/13/69/32/885136932.db2.gz TYBNGXPXXIYAEM-PBHICJAKSA-N 1 2 307.357 1.884 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@@H](C)C1CC1 ZINC001231179228 885333203 /nfs/dbraw/zinc/33/32/03/885333203.db2.gz RMGYUPJNOHMABZ-DZGCQCFKSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@@H](C)C1CC1 ZINC001231179228 885333225 /nfs/dbraw/zinc/33/32/25/885333225.db2.gz RMGYUPJNOHMABZ-DZGCQCFKSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)nc1 ZINC001231240758 885419060 /nfs/dbraw/zinc/41/90/60/885419060.db2.gz NYZPOWPGAGXSOI-OAHLLOKOSA-N 1 2 304.394 1.020 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)nc1 ZINC001231240758 885419067 /nfs/dbraw/zinc/41/90/67/885419067.db2.gz NYZPOWPGAGXSOI-OAHLLOKOSA-N 1 2 304.394 1.020 20 30 DDEDLO CCCN(CCC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231248454 885432896 /nfs/dbraw/zinc/43/28/96/885432896.db2.gz WDHIOFWWEZCIRL-HUUCEWRRSA-N 1 2 322.453 1.327 20 30 DDEDLO CCCN(CCC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231248454 885432900 /nfs/dbraw/zinc/43/29/00/885432900.db2.gz WDHIOFWWEZCIRL-HUUCEWRRSA-N 1 2 322.453 1.327 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1CCn1cc(Cl)cn1 ZINC001231293871 885476931 /nfs/dbraw/zinc/47/69/31/885476931.db2.gz SIZQIDIHLOHBHK-AAEUAGOBSA-N 1 2 309.801 1.229 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1CCn1cc(Cl)cn1 ZINC001231293871 885476937 /nfs/dbraw/zinc/47/69/37/885476937.db2.gz SIZQIDIHLOHBHK-AAEUAGOBSA-N 1 2 309.801 1.229 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2ncncn2)CC1 ZINC001231622476 885766699 /nfs/dbraw/zinc/76/66/99/885766699.db2.gz XIEHMNSCXODMDN-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cnc(OC)cc2C)CC1 ZINC001232356092 886279038 /nfs/dbraw/zinc/27/90/38/886279038.db2.gz UROKIQKCEOJJNM-UHFFFAOYSA-N 1 2 303.362 1.677 20 30 DDEDLO N#CC1(C[NH2+]Cc2cnc(C3OCCO3)s2)CCOCC1 ZINC001363435682 886330930 /nfs/dbraw/zinc/33/09/30/886330930.db2.gz SMLMJXFSXSVVQH-UHFFFAOYSA-N 1 2 309.391 1.598 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)COc2ccccc2C)C1 ZINC001277691911 886500102 /nfs/dbraw/zinc/50/01/02/886500102.db2.gz XXPFTMBOHHDZEG-UHFFFAOYSA-N 1 2 318.417 1.719 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)[C@@H]3CCCO3)CC2)c(O)c1 ZINC001233383615 886964099 /nfs/dbraw/zinc/96/40/99/886964099.db2.gz OLVMASWLFNPKQJ-INIZCTEOSA-N 1 2 315.373 1.087 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]ccc1C ZINC001233990912 887530242 /nfs/dbraw/zinc/53/02/42/887530242.db2.gz NKMOTUNHTIIQBZ-UONOGXRCSA-N 1 2 318.421 1.160 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]ccc1C ZINC001233990912 887530252 /nfs/dbraw/zinc/53/02/52/887530252.db2.gz NKMOTUNHTIIQBZ-UONOGXRCSA-N 1 2 318.421 1.160 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cc1OC ZINC001234096484 887639149 /nfs/dbraw/zinc/63/91/49/887639149.db2.gz CXHCOHFICYEZAY-UCHAVSLVSA-N 1 2 319.357 1.473 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cc1OC ZINC001234096484 887639154 /nfs/dbraw/zinc/63/91/54/887639154.db2.gz CXHCOHFICYEZAY-UCHAVSLVSA-N 1 2 319.357 1.473 20 30 DDEDLO CCc1nocc1C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234179536 887719211 /nfs/dbraw/zinc/71/92/11/887719211.db2.gz WJVHHSMYLYRBOQ-HNNXBMFYSA-N 1 2 301.390 1.683 20 30 DDEDLO CCc1nocc1C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234179536 887719227 /nfs/dbraw/zinc/71/92/27/887719227.db2.gz WJVHHSMYLYRBOQ-HNNXBMFYSA-N 1 2 301.390 1.683 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186857 887726796 /nfs/dbraw/zinc/72/67/96/887726796.db2.gz OWMMVXLMDIBACE-GDBMZVCRSA-N 1 2 317.433 1.157 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186857 887726811 /nfs/dbraw/zinc/72/68/11/887726811.db2.gz OWMMVXLMDIBACE-GDBMZVCRSA-N 1 2 317.433 1.157 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)(C)C)ncn1 ZINC001234214909 887751248 /nfs/dbraw/zinc/75/12/48/887751248.db2.gz GAJQWNQZKIODGG-MRXNPFEDSA-N 1 2 314.433 1.867 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)(C)C)ncn1 ZINC001234214909 887751261 /nfs/dbraw/zinc/75/12/61/887751261.db2.gz GAJQWNQZKIODGG-MRXNPFEDSA-N 1 2 314.433 1.867 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)COCC1CC1 ZINC001234332557 887868693 /nfs/dbraw/zinc/86/86/93/887868693.db2.gz BVQJKZWGOZNHES-UHFFFAOYSA-N 1 2 319.243 1.760 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)COCC1CC1 ZINC001234332557 887868712 /nfs/dbraw/zinc/86/87/12/887868712.db2.gz BVQJKZWGOZNHES-UHFFFAOYSA-N 1 2 319.243 1.760 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)Oc1ccccc1F ZINC001234488783 888020261 /nfs/dbraw/zinc/02/02/61/888020261.db2.gz JQRASXOFXULOOM-KGLIPLIRSA-N 1 2 304.365 1.759 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)Oc1ccccc1F ZINC001234488783 888020270 /nfs/dbraw/zinc/02/02/70/888020270.db2.gz JQRASXOFXULOOM-KGLIPLIRSA-N 1 2 304.365 1.759 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001234538261 888071174 /nfs/dbraw/zinc/07/11/74/888071174.db2.gz XEWGMEAPJDTQJQ-OAHLLOKOSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001234538261 888071191 /nfs/dbraw/zinc/07/11/91/888071191.db2.gz XEWGMEAPJDTQJQ-OAHLLOKOSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@H]1CCNC1=O ZINC001234555574 888090258 /nfs/dbraw/zinc/09/02/58/888090258.db2.gz WYUHYLBDJTWDJM-NEPJUHHUSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@H]1CCNC1=O ZINC001234555574 888090274 /nfs/dbraw/zinc/09/02/74/888090274.db2.gz WYUHYLBDJTWDJM-NEPJUHHUSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NCCCC ZINC001234603538 888135055 /nfs/dbraw/zinc/13/50/55/888135055.db2.gz DRMFSGCDHGTWQE-HNNXBMFYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NCCCC ZINC001234603538 888135061 /nfs/dbraw/zinc/13/50/61/888135061.db2.gz DRMFSGCDHGTWQE-HNNXBMFYSA-N 1 2 309.454 1.792 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)Nc2ccc(F)cc2OCC#N)c1 ZINC001364321563 888377944 /nfs/dbraw/zinc/37/79/44/888377944.db2.gz ZUJOAQLVEREJPA-UHFFFAOYSA-N 1 2 317.324 1.826 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)c(F)c1 ZINC001235334651 888564979 /nfs/dbraw/zinc/56/49/79/888564979.db2.gz JAQKHJKVBHIMTF-CQSZACIVSA-N 1 2 322.355 1.761 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)c(F)c1 ZINC001235334651 888564983 /nfs/dbraw/zinc/56/49/83/888564983.db2.gz JAQKHJKVBHIMTF-CQSZACIVSA-N 1 2 322.355 1.761 20 30 DDEDLO C#CCN(C(=O)[C@@H](C)CC)C1CC[NH+](Cc2nccn2C)CC1 ZINC001278121999 889760564 /nfs/dbraw/zinc/76/05/64/889760564.db2.gz UGCJFGHSPFKQJT-HNNXBMFYSA-N 1 2 316.449 1.892 20 30 DDEDLO Cc1nc(N[C@@H]2COCC[C@@H]2NC(=O)[C@H](C)CC#N)cc[nH+]1 ZINC001365147903 890196271 /nfs/dbraw/zinc/19/62/71/890196271.db2.gz JQGLICXHEVOWNV-KGYLQXTDSA-N 1 2 303.366 1.020 20 30 DDEDLO CCN(CC[NH2+][C@H](C)c1nc(C)no1)C(=O)c1ccc(C#N)[nH]1 ZINC001374707812 913641466 /nfs/dbraw/zinc/64/14/66/913641466.db2.gz CUVJMQVLTIKXQI-SNVBAGLBSA-N 1 2 316.365 1.391 20 30 DDEDLO C[C@H](CNC(=O)c1ccc(C#N)[nH]1)[NH2+]Cc1nc(C(F)F)no1 ZINC001366261009 892921774 /nfs/dbraw/zinc/92/17/74/892921774.db2.gz KGBCAYYUTDGDLG-SSDOTTSWSA-N 1 2 324.291 1.115 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)CCc1cc(OC)no1 ZINC001366531849 893910938 /nfs/dbraw/zinc/91/09/38/893910938.db2.gz HZBGGADVSBSCQW-UHFFFAOYSA-N 1 2 301.774 1.416 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)CCc1cc(OC)no1 ZINC001366531849 893910924 /nfs/dbraw/zinc/91/09/24/893910924.db2.gz HZBGGADVSBSCQW-UHFFFAOYSA-N 1 2 301.774 1.416 20 30 DDEDLO C[C@H]1CN([C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)S(=O)(=O)C1 ZINC001250416017 894282801 /nfs/dbraw/zinc/28/28/01/894282801.db2.gz AVDBNPHBVVKDLP-XJKSGUPXSA-N 1 2 319.430 1.414 20 30 DDEDLO C[C@H]1CN([C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)S(=O)(=O)C1 ZINC001250416017 894282823 /nfs/dbraw/zinc/28/28/23/894282823.db2.gz AVDBNPHBVVKDLP-XJKSGUPXSA-N 1 2 319.430 1.414 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCc2c(nnn2CC)[C@@H]1COCC=C ZINC001252596124 895299188 /nfs/dbraw/zinc/29/91/88/895299188.db2.gz OHEOFPVLCOFFGA-HOCLYGCPSA-N 1 2 320.437 1.727 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCc2c(nnn2CC)[C@@H]1COCC=C ZINC001252596124 895299199 /nfs/dbraw/zinc/29/91/99/895299199.db2.gz OHEOFPVLCOFFGA-HOCLYGCPSA-N 1 2 320.437 1.727 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]2C)sn1 ZINC001388794144 895927632 /nfs/dbraw/zinc/92/76/32/895927632.db2.gz JEFXBJWAWARFHH-UMNHJUIQSA-N 1 2 308.407 1.390 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]2C)sn1 ZINC001388794144 895927637 /nfs/dbraw/zinc/92/76/37/895927637.db2.gz JEFXBJWAWARFHH-UMNHJUIQSA-N 1 2 308.407 1.390 20 30 DDEDLO CC(C)[C@@H](CNC(=O)[C@H](C)C#N)[NH2+]Cc1noc(C2CC2)n1 ZINC001367466822 896840877 /nfs/dbraw/zinc/84/08/77/896840877.db2.gz OKEDMKUTSINROC-ZYHUDNBSSA-N 1 2 305.382 1.337 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001389357811 897022355 /nfs/dbraw/zinc/02/23/55/897022355.db2.gz HPMKBCSNJACWJK-JSGCOSHPSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001389357811 897022369 /nfs/dbraw/zinc/02/23/69/897022369.db2.gz HPMKBCSNJACWJK-JSGCOSHPSA-N 1 2 308.426 1.031 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)c1ccccn1)C(C)C ZINC001278889569 897142383 /nfs/dbraw/zinc/14/23/83/897142383.db2.gz YVHATURNTTZDSS-UHFFFAOYSA-N 1 2 318.421 1.166 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)c1ccccn1)C(C)C ZINC001278889569 897142392 /nfs/dbraw/zinc/14/23/92/897142392.db2.gz YVHATURNTTZDSS-UHFFFAOYSA-N 1 2 318.421 1.166 20 30 DDEDLO C=CCS(=O)(=O)NCc1c[nH+]cn1Cc1ccccc1F ZINC001259935412 898952768 /nfs/dbraw/zinc/95/27/68/898952768.db2.gz CTIDADWNDFAFBF-UHFFFAOYSA-N 1 2 309.366 1.676 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@H+](C)[C@@H](C)C(=O)Nc1cccc(F)c1 ZINC001390739358 900063883 /nfs/dbraw/zinc/06/38/83/900063883.db2.gz AJFGJVOQIOPGHL-NEPJUHHUSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@@H+](C)[C@@H](C)C(=O)Nc1cccc(F)c1 ZINC001390739358 900063897 /nfs/dbraw/zinc/06/38/97/900063897.db2.gz AJFGJVOQIOPGHL-NEPJUHHUSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@@H](C(=O)NCC[N@H+](C)Cc1ccccc1C#N)[C@H]1CCCO1 ZINC001390818313 900270490 /nfs/dbraw/zinc/27/04/90/900270490.db2.gz MTKHRQWQVSDGDD-RHSMWYFYSA-N 1 2 315.417 1.921 20 30 DDEDLO C[C@@H](C(=O)NCC[N@@H+](C)Cc1ccccc1C#N)[C@H]1CCCO1 ZINC001390818313 900270502 /nfs/dbraw/zinc/27/05/02/900270502.db2.gz MTKHRQWQVSDGDD-RHSMWYFYSA-N 1 2 315.417 1.921 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001264131698 900963251 /nfs/dbraw/zinc/96/32/51/900963251.db2.gz RZGVFYDIOJVZSE-ILXRZTDVSA-N 1 2 322.453 1.422 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001264131698 900963264 /nfs/dbraw/zinc/96/32/64/900963264.db2.gz RZGVFYDIOJVZSE-ILXRZTDVSA-N 1 2 322.453 1.422 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](CC(=O)NCC3CC3)[C@@H]2C)C1 ZINC001264718827 901307463 /nfs/dbraw/zinc/30/74/63/901307463.db2.gz BOFSBACHZNTFCK-HIFRSBDPSA-N 1 2 319.449 1.448 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](CC(=O)NCC3CC3)[C@@H]2C)C1 ZINC001264718827 901307475 /nfs/dbraw/zinc/30/74/75/901307475.db2.gz BOFSBACHZNTFCK-HIFRSBDPSA-N 1 2 319.449 1.448 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@@H+](C)Cc2ncc(C)cn2)cn1 ZINC001265060155 901505370 /nfs/dbraw/zinc/50/53/70/901505370.db2.gz XSSRCYQWOOTVEU-UHFFFAOYSA-N 1 2 323.400 1.413 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@H+](C)Cc2ncc(C)cn2)cn1 ZINC001265060155 901505383 /nfs/dbraw/zinc/50/53/83/901505383.db2.gz XSSRCYQWOOTVEU-UHFFFAOYSA-N 1 2 323.400 1.413 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@H+](CC=C(Cl)Cl)C1 ZINC001265280432 901814155 /nfs/dbraw/zinc/81/41/55/901814155.db2.gz BFVINQXMGDNEJE-ZWNOBZJWSA-N 1 2 307.221 1.823 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C1 ZINC001265280432 901814169 /nfs/dbraw/zinc/81/41/69/901814169.db2.gz BFVINQXMGDNEJE-ZWNOBZJWSA-N 1 2 307.221 1.823 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCC(=O)NCCC)C1 ZINC001369848751 902070898 /nfs/dbraw/zinc/07/08/98/902070898.db2.gz UASUPQMRILGPHH-ZDUSSCGKSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCC(=O)NCCC)C1 ZINC001369848751 902070903 /nfs/dbraw/zinc/07/09/03/902070903.db2.gz UASUPQMRILGPHH-ZDUSSCGKSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)COCc2cccnc2)C1 ZINC001369849606 902090314 /nfs/dbraw/zinc/09/03/14/902090314.db2.gz DSWJUNANIICAJJ-OAHLLOKOSA-N 1 2 323.824 1.931 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)COCc2cccnc2)C1 ZINC001369849606 902090326 /nfs/dbraw/zinc/09/03/26/902090326.db2.gz DSWJUNANIICAJJ-OAHLLOKOSA-N 1 2 323.824 1.931 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(OC)ccn1 ZINC001280413376 903649030 /nfs/dbraw/zinc/64/90/30/903649030.db2.gz ZUGFWURFKPTMAY-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(OC)ccn1 ZINC001280413376 903649040 /nfs/dbraw/zinc/64/90/40/903649040.db2.gz ZUGFWURFKPTMAY-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)C(C)(C)c1c[nH]cn1 ZINC001280421560 903658760 /nfs/dbraw/zinc/65/87/60/903658760.db2.gz OPUNFXJXUBMLTJ-CYBMUJFWSA-N 1 2 306.410 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)C(C)(C)c1c[nH]cn1 ZINC001280421560 903658773 /nfs/dbraw/zinc/65/87/73/903658773.db2.gz OPUNFXJXUBMLTJ-CYBMUJFWSA-N 1 2 306.410 1.080 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)OCCc1ccccc1 ZINC001316605000 903851409 /nfs/dbraw/zinc/85/14/09/903851409.db2.gz HSLIHOLUOWBTON-FUHWJXTLSA-N 1 2 314.429 1.800 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)OCCc1ccccc1 ZINC001316605000 903851416 /nfs/dbraw/zinc/85/14/16/903851416.db2.gz HSLIHOLUOWBTON-FUHWJXTLSA-N 1 2 314.429 1.800 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001280644296 903879676 /nfs/dbraw/zinc/87/96/76/903879676.db2.gz SUAGGFXPKQKHEZ-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H](C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001280644294 903880527 /nfs/dbraw/zinc/88/05/27/903880527.db2.gz SUAGGFXPKQKHEZ-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCC2(C[NH+](CCOC)C2)O1 ZINC001280918169 904187605 /nfs/dbraw/zinc/18/76/05/904187605.db2.gz GISALUUGLVCQLE-HNNXBMFYSA-N 1 2 308.422 1.176 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)[C@H]1C ZINC001281149875 904479190 /nfs/dbraw/zinc/47/91/90/904479190.db2.gz PGTCTILDEZQLPA-UKRRQHHQSA-N 1 2 318.421 1.425 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)[C@@H]1C ZINC001281200476 904555287 /nfs/dbraw/zinc/55/52/87/904555287.db2.gz FKRCDYCXFGNWOS-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1ncccn1 ZINC001281931551 905323057 /nfs/dbraw/zinc/32/30/57/905323057.db2.gz CGQGBHZCOQTOPS-PBHICJAKSA-N 1 2 318.421 1.227 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1ncccn1 ZINC001281931551 905323075 /nfs/dbraw/zinc/32/30/75/905323075.db2.gz CGQGBHZCOQTOPS-PBHICJAKSA-N 1 2 318.421 1.227 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCC2([NH2+]Cc3cscn3)CC2)c1 ZINC001392893289 905617769 /nfs/dbraw/zinc/61/77/69/905617769.db2.gz KJXGMRGUSXEJDI-UHFFFAOYSA-N 1 2 301.375 1.395 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C1C[NH+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001282734963 905997041 /nfs/dbraw/zinc/99/70/41/905997041.db2.gz URSHDCBFPIDEPS-STQMWFEESA-N 1 2 321.465 1.550 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001284101386 908475555 /nfs/dbraw/zinc/47/55/55/908475555.db2.gz NHEUFTCGBAZBHS-BETUJISGSA-N 1 2 318.421 1.626 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(C)(C)C2CC2)[C@H]1C ZINC001284129410 908522886 /nfs/dbraw/zinc/52/28/86/908522886.db2.gz HIJTULOUHLMLTC-HIFRSBDPSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C2CC2)[C@H]1C ZINC001284129410 908522893 /nfs/dbraw/zinc/52/28/93/908522893.db2.gz HIJTULOUHLMLTC-HIFRSBDPSA-N 1 2 319.449 1.141 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1C[C@H]([NH2+]CC(F)(F)C(F)F)C1 ZINC001316631504 908568928 /nfs/dbraw/zinc/56/89/28/908568928.db2.gz YHKIPLXRTXKWNU-XYPYZODXSA-N 1 2 306.303 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CCC)C(=O)CCCC(N)=O)C1 ZINC001373129227 909022577 /nfs/dbraw/zinc/02/25/77/909022577.db2.gz FBJKWRQUDIAKOD-ZDUSSCGKSA-N 1 2 315.845 1.707 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CCC)C(=O)CCCC(N)=O)C1 ZINC001373129227 909022593 /nfs/dbraw/zinc/02/25/93/909022593.db2.gz FBJKWRQUDIAKOD-ZDUSSCGKSA-N 1 2 315.845 1.707 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCc2ccnn2C)C(C)(C)C1 ZINC001394277545 909235207 /nfs/dbraw/zinc/23/52/07/909235207.db2.gz BAEBDBRPZHOLBP-CQSZACIVSA-N 1 2 324.856 1.932 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCc2ccnn2C)C(C)(C)C1 ZINC001394277545 909235212 /nfs/dbraw/zinc/23/52/12/909235212.db2.gz BAEBDBRPZHOLBP-CQSZACIVSA-N 1 2 324.856 1.932 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001284682567 909349615 /nfs/dbraw/zinc/34/96/15/909349615.db2.gz YAWMXEHXXVIFPS-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCC1(C(=O)N(C)C2CC([NH2+]Cc3nnn(C)n3)C2)CCC1 ZINC001284790553 909499087 /nfs/dbraw/zinc/49/90/87/909499087.db2.gz WVBLIHCTVSURLY-UHFFFAOYSA-N 1 2 318.425 1.036 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@]2(NC(=O)[C@H](C)C#N)CCC[C@H]12 ZINC001394689332 910294233 /nfs/dbraw/zinc/29/42/33/910294233.db2.gz ZJHDFLAZAFNDMG-RIEGTJTDSA-N 1 2 303.366 1.151 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@]2(NC(=O)[C@H](C)C#N)CCC[C@H]12 ZINC001394689332 910294249 /nfs/dbraw/zinc/29/42/49/910294249.db2.gz ZJHDFLAZAFNDMG-RIEGTJTDSA-N 1 2 303.366 1.151 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1CC ZINC001285830057 911240816 /nfs/dbraw/zinc/24/08/16/911240816.db2.gz ZIBRGKOGKLVWRT-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CCC(C)(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001286152685 911747829 /nfs/dbraw/zinc/74/78/29/911747829.db2.gz MTVUVWPPKBHIPH-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)C)n2CCC(C)(C)O)CC1 ZINC001286469491 911991095 /nfs/dbraw/zinc/99/10/95/911991095.db2.gz HNXAMVIMOYFILY-UHFFFAOYSA-N 1 2 319.453 1.318 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CCCNC(=O)Cn1cc[nH+]c1 ZINC001287278595 912152955 /nfs/dbraw/zinc/15/29/55/912152955.db2.gz PMNXKRFCEFZLIC-AWEZNQCLSA-N 1 2 320.437 1.887 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)c2cccc3[nH+]ccn32)C1 ZINC001294775837 915357990 /nfs/dbraw/zinc/35/79/90/915357990.db2.gz JWRGJBPARXMLEM-AWEZNQCLSA-N 1 2 324.384 1.469 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2nccn2C)[C@H]1C ZINC001397240147 915528801 /nfs/dbraw/zinc/52/88/01/915528801.db2.gz CONWQEYYZCSQEG-OLZOCXBDSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2nccn2C)[C@H]1C ZINC001397240147 915528817 /nfs/dbraw/zinc/52/88/17/915528817.db2.gz CONWQEYYZCSQEG-OLZOCXBDSA-N 1 2 310.829 1.684 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CNC(=O)CCc2c[nH+]cn2C)C1 ZINC001295687634 915984229 /nfs/dbraw/zinc/98/42/29/915984229.db2.gz LIFSNTWTIFHDPD-AWEZNQCLSA-N 1 2 318.421 1.284 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CN(C)C(=O)CCc1[nH+]ccn1C ZINC001375461332 916003503 /nfs/dbraw/zinc/00/35/03/916003503.db2.gz WKVLYWABJPVDGM-ZDUSSCGKSA-N 1 2 310.829 1.638 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@@H](C)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001295850673 916094726 /nfs/dbraw/zinc/09/47/26/916094726.db2.gz XSUNYAATTHJWEA-HUUCEWRRSA-N 1 2 318.421 1.505 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCN(C(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001296577778 916493244 /nfs/dbraw/zinc/49/32/44/916493244.db2.gz KVSLCCIYLMWMAZ-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@H]1CCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC001375763916 916899060 /nfs/dbraw/zinc/89/90/60/916899060.db2.gz BTLPEGKTKLDCKN-GFCCVEGCSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)COCc2ccncc2)C1 ZINC001375846230 917114791 /nfs/dbraw/zinc/11/47/91/917114791.db2.gz LBQMOCLNKDYKLI-INIZCTEOSA-N 1 2 323.824 1.931 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)COCc2ccncc2)C1 ZINC001375846230 917114798 /nfs/dbraw/zinc/11/47/98/917114798.db2.gz LBQMOCLNKDYKLI-INIZCTEOSA-N 1 2 323.824 1.931 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+](C)CCCN(C)C(=O)[C@H](C)C#N)[nH]1 ZINC001376912895 919764374 /nfs/dbraw/zinc/76/43/74/919764374.db2.gz XRBSZAQJFPOEFM-NEPJUHHUSA-N 1 2 306.414 1.368 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+](C)CCCN(C)C(=O)[C@H](C)C#N)[nH]1 ZINC001376912895 919764378 /nfs/dbraw/zinc/76/43/78/919764378.db2.gz XRBSZAQJFPOEFM-NEPJUHHUSA-N 1 2 306.414 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1nn(C)c(C)c1Cl ZINC001377222955 920649040 /nfs/dbraw/zinc/64/90/40/920649040.db2.gz DLNCPSAZGSFIKJ-UHFFFAOYSA-N 1 2 305.209 1.796 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1nn(C)c(C)c1Cl ZINC001377222955 920649051 /nfs/dbraw/zinc/64/90/51/920649051.db2.gz DLNCPSAZGSFIKJ-UHFFFAOYSA-N 1 2 305.209 1.796 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@H+](Cc2nnc(C3CC3)s2)C1 ZINC001377436899 921906280 /nfs/dbraw/zinc/90/62/80/921906280.db2.gz KCZWKPCUWUUZHU-WDEREUQCSA-N 1 2 319.434 1.513 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@@H+](Cc2nnc(C3CC3)s2)C1 ZINC001377436899 921906290 /nfs/dbraw/zinc/90/62/90/921906290.db2.gz KCZWKPCUWUUZHU-WDEREUQCSA-N 1 2 319.434 1.513 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@H]1CC[C@@H](C(N)=O)C1)C1CC1 ZINC001377555132 922315634 /nfs/dbraw/zinc/31/56/34/922315634.db2.gz QZKRNBRDCPJSSY-NEPJUHHUSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@H]1CC[C@@H](C(N)=O)C1)C1CC1 ZINC001377555132 922315649 /nfs/dbraw/zinc/31/56/49/922315649.db2.gz QZKRNBRDCPJSSY-NEPJUHHUSA-N 1 2 313.829 1.221 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCCN(C=O)CC1 ZINC000272857603 210251967 /nfs/dbraw/zinc/25/19/67/210251967.db2.gz FACFRFRYWPEJBT-CYBMUJFWSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCCN(C=O)CC1 ZINC000272857603 210251970 /nfs/dbraw/zinc/25/19/70/210251970.db2.gz FACFRFRYWPEJBT-CYBMUJFWSA-N 1 2 300.362 1.049 20 30 DDEDLO CC[C@@H]1OCCC[C@@H]1C(=O)NCC[NH+]1CCN(C(C)=O)CC1 ZINC000330229693 529464388 /nfs/dbraw/zinc/46/43/88/529464388.db2.gz DDMVVAYIOCDDMQ-GJZGRUSLSA-N 1 2 311.426 1.312 20 30 DDEDLO CCc1ccc(NC(=O)[C@H](C)[N@@H+]2CC[C@@H](NC(=O)NC)C2)cc1 ZINC000330937740 529685465 /nfs/dbraw/zinc/68/54/65/529685465.db2.gz AXYBGFLUHZEOGB-SWLSCSKDSA-N 1 2 318.421 1.784 20 30 DDEDLO CCc1ccc(NC(=O)[C@H](C)[N@H+]2CC[C@@H](NC(=O)NC)C2)cc1 ZINC000330937740 529685467 /nfs/dbraw/zinc/68/54/67/529685467.db2.gz AXYBGFLUHZEOGB-SWLSCSKDSA-N 1 2 318.421 1.784 20 30 DDEDLO O=C(NC[C@H](O)C[NH+]1CCOCC1)[C@H]1Cc2ccccc2S1 ZINC000329555338 539305621 /nfs/dbraw/zinc/30/56/21/539305621.db2.gz QDTVLCASGXTMFF-DZGCQCFKSA-N 1 2 322.430 1.353 20 30 DDEDLO CCc1nc([C@H](C)NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)cs1 ZINC000329975171 529745655 /nfs/dbraw/zinc/74/56/55/529745655.db2.gz DNAXOYMHNYHWRG-DRZSPHRISA-N 1 2 324.450 1.695 20 30 DDEDLO CCc1nc([C@H](C)NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)cs1 ZINC000329975171 529745656 /nfs/dbraw/zinc/74/56/56/529745656.db2.gz DNAXOYMHNYHWRG-DRZSPHRISA-N 1 2 324.450 1.695 20 30 DDEDLO CN1CCN(c2cc(NCC(C)(C)CCC#N)[nH+]cn2)CC1=O ZINC000340942555 529777309 /nfs/dbraw/zinc/77/73/09/529777309.db2.gz WEDFFXYDDXSCSM-UHFFFAOYSA-N 1 2 316.409 1.497 20 30 DDEDLO CN1CCN(c2cc(NCC(C)(C)CCC#N)nc[nH+]2)CC1=O ZINC000340942555 529777311 /nfs/dbraw/zinc/77/73/11/529777311.db2.gz WEDFFXYDDXSCSM-UHFFFAOYSA-N 1 2 316.409 1.497 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C(N)=O)cc1)[NH+]1CCC(C#N)CC1 ZINC000057907915 334954078 /nfs/dbraw/zinc/95/40/78/334954078.db2.gz VTZUAVFODCAPEA-LLVKDONJSA-N 1 2 300.362 1.348 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@@H+]1Cc1cc(F)ccc1C#N ZINC000330981386 530019390 /nfs/dbraw/zinc/01/93/90/530019390.db2.gz VPYCVJUCNFMKBC-AWEZNQCLSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@H+]1Cc1cc(F)ccc1C#N ZINC000330981386 530019392 /nfs/dbraw/zinc/01/93/92/530019392.db2.gz VPYCVJUCNFMKBC-AWEZNQCLSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@@H+]1Cc1cc(F)ccc1C#N ZINC000330981387 530020228 /nfs/dbraw/zinc/02/02/28/530020228.db2.gz VPYCVJUCNFMKBC-CQSZACIVSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@H+]1Cc1cc(F)ccc1C#N ZINC000330981387 530020229 /nfs/dbraw/zinc/02/02/29/530020229.db2.gz VPYCVJUCNFMKBC-CQSZACIVSA-N 1 2 311.382 1.211 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](CCOCCC#N)CC2)c(C)o1 ZINC000293487207 222761354 /nfs/dbraw/zinc/76/13/54/222761354.db2.gz MTJOUISZQBJKCU-UHFFFAOYSA-N 1 2 305.378 1.585 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000175682126 186188076 /nfs/dbraw/zinc/18/80/76/186188076.db2.gz RMDSWDBBBVJJTM-ZDUSSCGKSA-N 1 2 309.373 1.800 20 30 DDEDLO C#CCCCCC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000179721544 186209785 /nfs/dbraw/zinc/20/97/85/186209785.db2.gz SPQSJGMTLRONPK-UHFFFAOYSA-N 1 2 301.390 1.728 20 30 DDEDLO CCOC(=O)C1CC[NH+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000007016312 352120069 /nfs/dbraw/zinc/12/00/69/352120069.db2.gz WMEQJFFCTZJCTH-SUMWQHHRSA-N 1 2 323.437 1.704 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cccnc3)CC2)cc1 ZINC000017843263 352166004 /nfs/dbraw/zinc/16/60/04/352166004.db2.gz BCLMULMPJKJNGO-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO CC(C)N(C)C(=O)CN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000022778918 352180177 /nfs/dbraw/zinc/18/01/77/352180177.db2.gz GGGCHXOJPKFRGY-UHFFFAOYSA-N 1 2 314.433 1.543 20 30 DDEDLO CC(C)N(C)C(=O)C[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000022778918 352180178 /nfs/dbraw/zinc/18/01/78/352180178.db2.gz GGGCHXOJPKFRGY-UHFFFAOYSA-N 1 2 314.433 1.543 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000045844774 352425629 /nfs/dbraw/zinc/42/56/29/352425629.db2.gz JCVPLOGWIFWVNU-ZDUSSCGKSA-N 1 2 301.394 1.983 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](CCOc2ccccc2F)CC1 ZINC000053422655 352654944 /nfs/dbraw/zinc/65/49/44/352654944.db2.gz DVCYXWCCCSGQEA-UHFFFAOYSA-N 1 2 321.396 1.124 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C\c1ccncc1 ZINC000491400028 234157930 /nfs/dbraw/zinc/15/79/30/234157930.db2.gz HENMGILRYQHTMG-IHWYPQMZSA-N 1 2 314.389 1.169 20 30 DDEDLO CC(C)(C#N)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000055039862 352712844 /nfs/dbraw/zinc/71/28/44/352712844.db2.gz OWKBELSERLQRAJ-UHFFFAOYSA-N 1 2 301.390 1.685 20 30 DDEDLO Cn1c[nH+]c2c1CCN(C[C@H](O)COc1ccc(C#N)cc1)C2 ZINC000069487737 353162746 /nfs/dbraw/zinc/16/27/46/353162746.db2.gz CXARKQZXTUXIPT-AWEZNQCLSA-N 1 2 312.373 1.090 20 30 DDEDLO Cn1cnc2c1CC[N@H+](C[C@H](O)COc1ccc(C#N)cc1)C2 ZINC000069487737 353162749 /nfs/dbraw/zinc/16/27/49/353162749.db2.gz CXARKQZXTUXIPT-AWEZNQCLSA-N 1 2 312.373 1.090 20 30 DDEDLO Cn1cnc2c1CC[N@@H+](C[C@H](O)COc1ccc(C#N)cc1)C2 ZINC000069487737 353162750 /nfs/dbraw/zinc/16/27/50/353162750.db2.gz CXARKQZXTUXIPT-AWEZNQCLSA-N 1 2 312.373 1.090 20 30 DDEDLO CCn1cc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)cn1 ZINC000074687195 353324906 /nfs/dbraw/zinc/32/49/06/353324906.db2.gz KCFJEMXHYICARH-UHFFFAOYSA-N 1 2 323.400 1.733 20 30 DDEDLO C#CCN(C(=O)Nc1cc[nH+]cc1C)[C@H]1CCS(=O)(=O)C1 ZINC000091187249 353815712 /nfs/dbraw/zinc/81/57/12/353815712.db2.gz BFKXVSSAWRJDHH-LBPRGKRZSA-N 1 2 307.375 1.044 20 30 DDEDLO C[C@@H]1[C@H](C)N(Cc2c[nH+]c3ccc(C#N)cn23)CCS1(=O)=O ZINC000093559683 353903026 /nfs/dbraw/zinc/90/30/26/353903026.db2.gz MMYWADHKOLLNJZ-NWDGAFQWSA-N 1 2 318.402 1.213 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CCO[C@H](C(N)=O)C1)CCC2 ZINC000328655283 222882179 /nfs/dbraw/zinc/88/21/79/222882179.db2.gz MRFWGCQMVUMZNC-RYUDHWBXSA-N 1 2 321.381 1.219 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1C[C@@H](C)O[C@H](C(=O)OC)C1 ZINC000123771754 354033683 /nfs/dbraw/zinc/03/36/83/354033683.db2.gz LIXJNUFBQPCITG-MCIONIFRSA-N 1 2 312.410 1.062 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1C[C@@H](C)O[C@H](C(=O)OC)C1 ZINC000123771754 354033685 /nfs/dbraw/zinc/03/36/85/354033685.db2.gz LIXJNUFBQPCITG-MCIONIFRSA-N 1 2 312.410 1.062 20 30 DDEDLO C[C@@H](NC(=O)c1c(F)cc(C#N)cc1F)[C@@H]1C[N@H+](C)CCO1 ZINC000127103471 354061344 /nfs/dbraw/zinc/06/13/44/354061344.db2.gz WRIYNEWUVYRGDI-RNCFNFMXSA-N 1 2 309.316 1.285 20 30 DDEDLO C[C@@H](NC(=O)c1c(F)cc(C#N)cc1F)[C@@H]1C[N@@H+](C)CCO1 ZINC000127103471 354061347 /nfs/dbraw/zinc/06/13/47/354061347.db2.gz WRIYNEWUVYRGDI-RNCFNFMXSA-N 1 2 309.316 1.285 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+][C@H](c1nc(C2CC2)no1)C(C)C ZINC000179814614 354263839 /nfs/dbraw/zinc/26/38/39/354263839.db2.gz GQHQZYLTQUHMJZ-LBPRGKRZSA-N 1 2 321.381 1.246 20 30 DDEDLO Cc1ccc(NC(=O)N(CC2CC2)C[C@@H]2C[N@H+](C)CCO2)cn1 ZINC000328642540 354557368 /nfs/dbraw/zinc/55/73/68/354557368.db2.gz RCFHDHSHQCKYEW-INIZCTEOSA-N 1 2 318.421 1.978 20 30 DDEDLO Cc1ccc(NC(=O)N(CC2CC2)C[C@@H]2C[N@@H+](C)CCO2)cn1 ZINC000328642540 354557370 /nfs/dbraw/zinc/55/73/70/354557370.db2.gz RCFHDHSHQCKYEW-INIZCTEOSA-N 1 2 318.421 1.978 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1c1nc([C@@H]2C[N@@H+](C)CCN2C)no1 ZINC000351212281 354615601 /nfs/dbraw/zinc/61/56/01/354615601.db2.gz OUVMBWGZKLAOQB-HZSPNIEDSA-N 1 2 306.410 1.827 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1c1nc([C@@H]2C[N@H+](C)CCN2C)no1 ZINC000351212281 354615605 /nfs/dbraw/zinc/61/56/05/354615605.db2.gz OUVMBWGZKLAOQB-HZSPNIEDSA-N 1 2 306.410 1.827 20 30 DDEDLO N#CCC1(CS(=O)(=O)N2CCN(c3cccc[nH+]3)CC2)CC1 ZINC000578917780 354713916 /nfs/dbraw/zinc/71/39/16/354713916.db2.gz WLTGKSFVZGCEDK-UHFFFAOYSA-N 1 2 320.418 1.227 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc(OCC(F)F)ccn1 ZINC000578992767 354714304 /nfs/dbraw/zinc/71/43/04/354714304.db2.gz QWQPQVKIEOEIQM-CQSZACIVSA-N 1 2 312.320 1.299 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2ccc3c(c2)OC(F)(F)O3)CC1 ZINC000579729171 354723186 /nfs/dbraw/zinc/72/31/86/354723186.db2.gz RFGURKWTVXLSEB-UHFFFAOYSA-N 1 2 323.299 1.566 20 30 DDEDLO COc1cccc(CO[C@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000581541496 354734870 /nfs/dbraw/zinc/73/48/70/354734870.db2.gz GKVMTSVTCDSGQJ-CXAGYDPISA-N 1 2 319.405 1.560 20 30 DDEDLO Cc1cc(C#N)cc(N[C@H]2CCCN(Cc3[nH+]ccn3C)C2=O)n1 ZINC000588015186 354894276 /nfs/dbraw/zinc/89/42/76/354894276.db2.gz GYDHJUAUJAQKSH-AWEZNQCLSA-N 1 2 324.388 1.598 20 30 DDEDLO Cc1ccsc1[C@@H](CO)[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000494644450 235067173 /nfs/dbraw/zinc/06/71/73/235067173.db2.gz BNLXKOKGUNUYDJ-KGLIPLIRSA-N 1 2 315.398 1.778 20 30 DDEDLO Cc1sc(NC(=O)CN2CCn3c[nH+]cc3C2)c(C#N)c1C ZINC000590599856 355126168 /nfs/dbraw/zinc/12/61/68/355126168.db2.gz RVUUAIYHPUNEQP-UHFFFAOYSA-N 1 2 315.402 1.887 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCc2cccc(OC)c2C1 ZINC000590759837 355169220 /nfs/dbraw/zinc/16/92/20/355169220.db2.gz SLILAMHZWZYZEZ-GFCCVEGCSA-N 1 2 317.389 1.454 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCc2cccc(OC)c2C1 ZINC000590759837 355169221 /nfs/dbraw/zinc/16/92/21/355169221.db2.gz SLILAMHZWZYZEZ-GFCCVEGCSA-N 1 2 317.389 1.454 20 30 DDEDLO Cc1cc(N2CCO[C@H](C(=O)OC(C)(C)C)C2)c(C#N)c[nH+]1 ZINC000591820957 355391450 /nfs/dbraw/zinc/39/14/50/355391450.db2.gz QHJBQBRYIHMQDX-AWEZNQCLSA-N 1 2 303.362 1.809 20 30 DDEDLO N#Cc1cnccc1C[N@H+]1CCCNC(=O)[C@H]1Cc1ccccc1 ZINC000592122213 355505494 /nfs/dbraw/zinc/50/54/94/355505494.db2.gz IBGMXMXUUUWPNI-GOSISDBHSA-N 1 2 320.396 1.886 20 30 DDEDLO N#Cc1cnccc1C[N@@H+]1CCCNC(=O)[C@H]1Cc1ccccc1 ZINC000592122213 355505496 /nfs/dbraw/zinc/50/54/96/355505496.db2.gz IBGMXMXUUUWPNI-GOSISDBHSA-N 1 2 320.396 1.886 20 30 DDEDLO COc1ccc(-c2noc(C[N@@H+]3CC[C@](O)(CC#N)C3)n2)cc1 ZINC000592146955 355513168 /nfs/dbraw/zinc/51/31/68/355513168.db2.gz HBSKIXUYPSMALY-MRXNPFEDSA-N 1 2 314.345 1.596 20 30 DDEDLO COc1ccc(-c2noc(C[N@H+]3CC[C@](O)(CC#N)C3)n2)cc1 ZINC000592146955 355513170 /nfs/dbraw/zinc/51/31/70/355513170.db2.gz HBSKIXUYPSMALY-MRXNPFEDSA-N 1 2 314.345 1.596 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)C1 ZINC000592149019 355514731 /nfs/dbraw/zinc/51/47/31/355514731.db2.gz GZNKMYGGMDCWOB-WBVHZDCISA-N 1 2 310.357 1.014 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)C1 ZINC000592149019 355514734 /nfs/dbraw/zinc/51/47/34/355514734.db2.gz GZNKMYGGMDCWOB-WBVHZDCISA-N 1 2 310.357 1.014 20 30 DDEDLO C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148991 355515079 /nfs/dbraw/zinc/51/50/79/355515079.db2.gz GLZWBZXDODIVNH-IAQYHMDHSA-N 1 2 318.333 1.272 20 30 DDEDLO C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148991 355515082 /nfs/dbraw/zinc/51/50/82/355515082.db2.gz GLZWBZXDODIVNH-IAQYHMDHSA-N 1 2 318.333 1.272 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC000592149976 355516602 /nfs/dbraw/zinc/51/66/02/355516602.db2.gz AOVOOWYGBQYKBK-ZBFHGGJFSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC000592149976 355516604 /nfs/dbraw/zinc/51/66/04/355516604.db2.gz AOVOOWYGBQYKBK-ZBFHGGJFSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000592152643 355518628 /nfs/dbraw/zinc/51/86/28/355518628.db2.gz ZLRANVQKDFHZDI-GDBMZVCRSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000592152643 355518629 /nfs/dbraw/zinc/51/86/29/355518629.db2.gz ZLRANVQKDFHZDI-GDBMZVCRSA-N 1 2 319.792 1.796 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)NCC1(C#N)CCCC1 ZINC000593433021 355884600 /nfs/dbraw/zinc/88/46/00/355884600.db2.gz YAZXVDPIWONIDD-CQSZACIVSA-N 1 2 308.426 1.432 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)NCC1(C#N)CCCC1 ZINC000593672543 355963033 /nfs/dbraw/zinc/96/30/33/355963033.db2.gz RXJMQEZMVFHUCK-UHFFFAOYSA-N 1 2 302.334 1.229 20 30 DDEDLO Cn1cnnc1N1CC[NH+](Cc2cn3ccccc3c2C#N)CC1 ZINC000594022687 356091818 /nfs/dbraw/zinc/09/18/18/356091818.db2.gz MMJVVSJMSMOJHO-UHFFFAOYSA-N 1 2 321.388 1.262 20 30 DDEDLO Cc1c2ccccc2c(=O)oc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000594024505 356092862 /nfs/dbraw/zinc/09/28/62/356092862.db2.gz LBQZEOWXLUTYSV-QGZVFWFLSA-N 1 2 313.357 1.675 20 30 DDEDLO COc1cc(C[NH2+]Cc2nc([C@H]3CCCO3)n[nH]2)ccc1C#N ZINC000594509377 356239959 /nfs/dbraw/zinc/23/99/59/356239959.db2.gz DVAVDYOURAALCB-CYBMUJFWSA-N 1 2 313.361 1.826 20 30 DDEDLO Cn1ncc(C2CC2)c1C[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000594729964 356300011 /nfs/dbraw/zinc/30/00/11/356300011.db2.gz QNJULQAAGQYBRG-INIZCTEOSA-N 1 2 309.373 1.485 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NC[C@@H]1CCCSC1 ZINC000329259649 222953904 /nfs/dbraw/zinc/95/39/04/222953904.db2.gz YRJWGWSEFPIPRU-OLZOCXBDSA-N 1 2 301.456 1.354 20 30 DDEDLO CC(C)(C)n1nnc(C[NH2+]CCOc2ccc(C#N)cc2)n1 ZINC000594930369 356358357 /nfs/dbraw/zinc/35/83/57/356358357.db2.gz VNVOVGDQKRFFKG-UHFFFAOYSA-N 1 2 300.366 1.468 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)c1cnc(N(C)C)cn1)CCC2 ZINC000329382725 222970860 /nfs/dbraw/zinc/97/08/60/222970860.db2.gz OPIDTBYQUAFCTL-LBPRGKRZSA-N 1 2 314.393 1.930 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](CCO[C@H]2CC2(F)F)CC1 ZINC000595501175 356520821 /nfs/dbraw/zinc/52/08/21/356520821.db2.gz JJZBQMIZUMGCBB-AWEZNQCLSA-N 1 2 308.332 1.499 20 30 DDEDLO C[C@H](O)C1CC[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000081513361 192276814 /nfs/dbraw/zinc/27/68/14/192276814.db2.gz WNWFVGAAYUGOCR-ZDUSSCGKSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H](O)C1CC[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000081513362 192277000 /nfs/dbraw/zinc/27/70/00/192277000.db2.gz WNWFVGAAYUGOCR-CYBMUJFWSA-N 1 2 322.430 1.425 20 30 DDEDLO N#Cc1sccc1N1CC[NH+](C[C@H](O)C(F)(F)F)CC1 ZINC000595630046 356584075 /nfs/dbraw/zinc/58/40/75/356584075.db2.gz ROWLEASUGMJMIV-NSHDSACASA-N 1 2 305.325 1.665 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595634764 356586519 /nfs/dbraw/zinc/58/65/19/356586519.db2.gz ZLGIRPNVGZJNST-UHFFFAOYSA-N 1 2 306.435 1.610 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000595704853 356615376 /nfs/dbraw/zinc/61/53/76/356615376.db2.gz RCEYDCULWGWXCL-RISCZKNCSA-N 1 2 305.353 1.973 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000595704853 356615381 /nfs/dbraw/zinc/61/53/81/356615381.db2.gz RCEYDCULWGWXCL-RISCZKNCSA-N 1 2 305.353 1.973 20 30 DDEDLO Cc1nnc(C[N@H+](C)CCC(=O)Nc2sccc2C#N)o1 ZINC000595705833 356615502 /nfs/dbraw/zinc/61/55/02/356615502.db2.gz YMGBXRFFDXDLOT-UHFFFAOYSA-N 1 2 305.363 1.772 20 30 DDEDLO Cc1nnc(C[N@@H+](C)CCC(=O)Nc2sccc2C#N)o1 ZINC000595705833 356615506 /nfs/dbraw/zinc/61/55/06/356615506.db2.gz YMGBXRFFDXDLOT-UHFFFAOYSA-N 1 2 305.363 1.772 20 30 DDEDLO C[C@H]1C[NH+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H](C)C1O ZINC000595744400 356632662 /nfs/dbraw/zinc/63/26/62/356632662.db2.gz XLQGOQCCVBEFCC-STQMWFEESA-N 1 2 322.430 1.281 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)NCc2ccc[nH+]c2N2CCCC2)C1 ZINC000595715224 356619729 /nfs/dbraw/zinc/61/97/29/356619729.db2.gz SYHUYFQNJQOTBT-INIZCTEOSA-N 1 2 317.368 1.829 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@@H]2NC(=O)CC[C@H]2C1 ZINC000595736437 356628566 /nfs/dbraw/zinc/62/85/66/356628566.db2.gz GJHOLNSSBNWASW-RYUDHWBXSA-N 1 2 318.402 1.159 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@@H]2NC(=O)CC[C@H]2C1 ZINC000595736437 356628568 /nfs/dbraw/zinc/62/85/68/356628568.db2.gz GJHOLNSSBNWASW-RYUDHWBXSA-N 1 2 318.402 1.159 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@@H+]1Cc1cc(C#N)ccc1OC ZINC000595808442 356659648 /nfs/dbraw/zinc/65/96/48/356659648.db2.gz TVNCQIFOCFMDQL-QGZVFWFLSA-N 1 2 318.373 1.721 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@H+]1Cc1cc(C#N)ccc1OC ZINC000595808442 356659650 /nfs/dbraw/zinc/65/96/50/356659650.db2.gz TVNCQIFOCFMDQL-QGZVFWFLSA-N 1 2 318.373 1.721 20 30 DDEDLO COc1cccc(OC)c1OC[C@@H](O)C[N@@H+]1CC[C@](C)(C#N)C1 ZINC000595842736 356674571 /nfs/dbraw/zinc/67/45/71/356674571.db2.gz ZGSKTBUGGRADPF-SUMWQHHRSA-N 1 2 320.389 1.679 20 30 DDEDLO COc1cccc(OC)c1OC[C@@H](O)C[N@H+]1CC[C@](C)(C#N)C1 ZINC000595842736 356674572 /nfs/dbraw/zinc/67/45/72/356674572.db2.gz ZGSKTBUGGRADPF-SUMWQHHRSA-N 1 2 320.389 1.679 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[NH2+][C@@H](CO)c1ccc(F)cc1F)C1CC1 ZINC000595865616 356683905 /nfs/dbraw/zinc/68/39/05/356683905.db2.gz CDPAOVBNGHRBDN-HOCLYGCPSA-N 1 2 323.343 1.396 20 30 DDEDLO Cc1cc(N2CCN(c3cc(C#N)cnn3)CC2)nc(C(C)C)[nH+]1 ZINC000596060820 356757088 /nfs/dbraw/zinc/75/70/88/356757088.db2.gz JZZWNXLKZBUWGI-UHFFFAOYSA-N 1 2 323.404 1.897 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNc1c(C#N)cccc1[N+](=O)[O-] ZINC000596426869 356875549 /nfs/dbraw/zinc/87/55/49/356875549.db2.gz CKLNCVMXBGHNFY-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNc1c(C#N)cccc1[N+](=O)[O-] ZINC000596426869 356875550 /nfs/dbraw/zinc/87/55/50/356875550.db2.gz CKLNCVMXBGHNFY-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000596594885 356929232 /nfs/dbraw/zinc/92/92/32/356929232.db2.gz XLSCBOSUADSJBH-GXTWGEPZSA-N 1 2 316.405 1.865 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000596594885 356929235 /nfs/dbraw/zinc/92/92/35/356929235.db2.gz XLSCBOSUADSJBH-GXTWGEPZSA-N 1 2 316.405 1.865 20 30 DDEDLO CC1(C)Cc2n[nH]cc2C[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000596596528 356929513 /nfs/dbraw/zinc/92/95/13/356929513.db2.gz CDKREVZPDBSXOD-MRXNPFEDSA-N 1 2 323.400 1.892 20 30 DDEDLO CC1(C)Cc2n[nH]cc2C[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000596596528 356929514 /nfs/dbraw/zinc/92/95/14/356929514.db2.gz CDKREVZPDBSXOD-MRXNPFEDSA-N 1 2 323.400 1.892 20 30 DDEDLO N#Cc1cc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)ccc1F ZINC000596725321 356961956 /nfs/dbraw/zinc/96/19/56/356961956.db2.gz JWIUEHDBDXWCQA-CQSZACIVSA-N 1 2 318.352 1.636 20 30 DDEDLO CN(C[C@H](O)C[NH+]1CCOCC1)C(=O)CC1(C#N)CCCCC1 ZINC000597362588 357149643 /nfs/dbraw/zinc/14/96/43/357149643.db2.gz NVESGLVDYSYZQJ-HNNXBMFYSA-N 1 2 323.437 1.002 20 30 DDEDLO C[C@H]1c2nncn2CC[N@H+]1CCC(=O)Nc1ccccc1C#N ZINC000276845288 213128333 /nfs/dbraw/zinc/12/83/33/213128333.db2.gz ROAVXTFTQADQOA-LBPRGKRZSA-N 1 2 310.361 1.555 20 30 DDEDLO C[C@H]1c2nncn2CC[N@@H+]1CCC(=O)Nc1ccccc1C#N ZINC000276845288 213128338 /nfs/dbraw/zinc/12/83/38/213128338.db2.gz ROAVXTFTQADQOA-LBPRGKRZSA-N 1 2 310.361 1.555 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@@H+]1CCNC(=O)[C@@H]1c1cccs1 ZINC000598598756 357648671 /nfs/dbraw/zinc/64/86/71/357648671.db2.gz UUNXGUUWYGTHNK-YPMHNXCESA-N 1 2 307.419 1.522 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@H+]1CCNC(=O)[C@@H]1c1cccs1 ZINC000598598756 357648672 /nfs/dbraw/zinc/64/86/72/357648672.db2.gz UUNXGUUWYGTHNK-YPMHNXCESA-N 1 2 307.419 1.522 20 30 DDEDLO Cc1n[nH]c(C)c1[C@H]1COCC[N@@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598602011 357649707 /nfs/dbraw/zinc/64/97/07/357649707.db2.gz YXPGIMGJJMTMKA-ZIAGYGMSSA-N 1 2 306.410 1.701 20 30 DDEDLO Cc1n[nH]c(C)c1[C@H]1COCC[N@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598602011 357649711 /nfs/dbraw/zinc/64/97/11/357649711.db2.gz YXPGIMGJJMTMKA-ZIAGYGMSSA-N 1 2 306.410 1.701 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1nc(C(F)(F)F)ccc1C#N ZINC000599160443 357833054 /nfs/dbraw/zinc/83/30/54/357833054.db2.gz JLDJXGROZRBMFE-JTQLQIEISA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1nc(C(F)(F)F)ccc1C#N ZINC000599160443 357833057 /nfs/dbraw/zinc/83/30/57/357833057.db2.gz JLDJXGROZRBMFE-JTQLQIEISA-N 1 2 300.284 1.715 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000599183809 357842507 /nfs/dbraw/zinc/84/25/07/357842507.db2.gz ADQPBJHIWHLGJL-INIZCTEOSA-N 1 2 309.373 1.083 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000599183809 357842510 /nfs/dbraw/zinc/84/25/10/357842510.db2.gz ADQPBJHIWHLGJL-INIZCTEOSA-N 1 2 309.373 1.083 20 30 DDEDLO CC[N@H+](CC#CCN1C(=O)c2ccccc2C1=O)C[C@H](C)C#N ZINC000599278070 357871631 /nfs/dbraw/zinc/87/16/31/357871631.db2.gz WROMHSWJIHPYIY-CQSZACIVSA-N 1 2 309.369 1.768 20 30 DDEDLO CC[N@@H+](CC#CCN1C(=O)c2ccccc2C1=O)C[C@H](C)C#N ZINC000599278070 357871633 /nfs/dbraw/zinc/87/16/33/357871633.db2.gz WROMHSWJIHPYIY-CQSZACIVSA-N 1 2 309.369 1.768 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCOC[C@@H]2CC(F)F)CCOCC1 ZINC000599416568 357927482 /nfs/dbraw/zinc/92/74/82/357927482.db2.gz FLAWTNWGUOQMLK-STQMWFEESA-N 1 2 318.364 1.414 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCOC[C@@H]2CC(F)F)CCOCC1 ZINC000599416568 357927485 /nfs/dbraw/zinc/92/74/85/357927485.db2.gz FLAWTNWGUOQMLK-STQMWFEESA-N 1 2 318.364 1.414 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CCC(N3CCOCC3)CC2)c1 ZINC000599473979 357949740 /nfs/dbraw/zinc/94/97/40/357949740.db2.gz DEPHXGLQSIHTPO-UHFFFAOYSA-N 1 2 303.381 1.994 20 30 DDEDLO COC[C@]1(C)C[N@@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CCO1 ZINC000599693413 358028316 /nfs/dbraw/zinc/02/83/16/358028316.db2.gz PJDMFVBLGUVGAC-KBMXLJTQSA-N 1 2 311.426 1.167 20 30 DDEDLO COC[C@]1(C)C[N@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CCO1 ZINC000599693413 358028318 /nfs/dbraw/zinc/02/83/18/358028318.db2.gz PJDMFVBLGUVGAC-KBMXLJTQSA-N 1 2 311.426 1.167 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)c1cc2ccccc2n1CC#N ZINC000600421321 358216749 /nfs/dbraw/zinc/21/67/49/358216749.db2.gz MNUZKJBOUBDVAR-CQSZACIVSA-N 1 2 312.373 1.225 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)c1cc2ccccc2n1CC#N ZINC000600421321 358216752 /nfs/dbraw/zinc/21/67/52/358216752.db2.gz MNUZKJBOUBDVAR-CQSZACIVSA-N 1 2 312.373 1.225 20 30 DDEDLO N#C[C@]1(NC(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CCSC1 ZINC000601025567 358386314 /nfs/dbraw/zinc/38/63/14/358386314.db2.gz DMHZDMZPPWOFEK-MRXNPFEDSA-N 1 2 312.398 1.930 20 30 DDEDLO N#CC[C@@]1(O)CCN(C(=O)Cc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000601651515 358638491 /nfs/dbraw/zinc/63/84/91/358638491.db2.gz GXJFTMRQQSOJED-QGZVFWFLSA-N 1 2 310.357 1.292 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)nc1 ZINC000602152142 358849350 /nfs/dbraw/zinc/84/93/50/358849350.db2.gz GBGYSBCMCLZYFS-BFHYXJOUSA-N 1 2 323.356 1.460 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)nc1 ZINC000602152142 358849353 /nfs/dbraw/zinc/84/93/53/358849353.db2.gz GBGYSBCMCLZYFS-BFHYXJOUSA-N 1 2 323.356 1.460 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)n1 ZINC000602200580 358880141 /nfs/dbraw/zinc/88/01/41/358880141.db2.gz UTQMPECBOBFVHK-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO N#CCCN1CCN(C(=O)c2cccc(Cn3cc[nH+]c3)c2)CC1 ZINC000602207480 358883838 /nfs/dbraw/zinc/88/38/38/358883838.db2.gz XCGSZFKQPVQBOM-UHFFFAOYSA-N 1 2 323.400 1.603 20 30 DDEDLO COCCN(C)c1ccc(C[NH+]2CCN(CCC#N)CC2)cn1 ZINC000602747913 359164846 /nfs/dbraw/zinc/16/48/46/359164846.db2.gz IZFGXGPUORUFSN-UHFFFAOYSA-N 1 2 317.437 1.195 20 30 DDEDLO C[C@H]1C[NH+](Cc2cc(=O)[nH]c(C3CC3)n2)C[C@H](C)N1CC#N ZINC000602850589 359237805 /nfs/dbraw/zinc/23/78/05/359237805.db2.gz QUYDXQOPSBXKQL-RYUDHWBXSA-N 1 2 301.394 1.478 20 30 DDEDLO C[C@@H]1C[NH+](Cc2nnc(-c3ccco3)o2)C[C@@H](C)N1CC#N ZINC000602857009 359241759 /nfs/dbraw/zinc/24/17/59/359241759.db2.gz NVBCDZNTVICQSA-VXGBXAGGSA-N 1 2 301.350 1.748 20 30 DDEDLO C[C@@H]1C[N@H+]([C@@H]2CCN(c3ccccc3)C2=O)C[C@H](C)N1CC#N ZINC000602858573 359245269 /nfs/dbraw/zinc/24/52/69/359245269.db2.gz TUZMWGCZCRONIC-HLLBOEOZSA-N 1 2 312.417 1.710 20 30 DDEDLO C[C@@H]1C[N@@H+]([C@@H]2CCN(c3ccccc3)C2=O)C[C@H](C)N1CC#N ZINC000602858573 359245272 /nfs/dbraw/zinc/24/52/72/359245272.db2.gz TUZMWGCZCRONIC-HLLBOEOZSA-N 1 2 312.417 1.710 20 30 DDEDLO CCN(CC)C(=O)c1cccc(NC(=O)C[NH2+][C@@H](C)CC#N)c1 ZINC000602866240 359250634 /nfs/dbraw/zinc/25/06/34/359250634.db2.gz ZJUFTAYQOVBWPS-ZDUSSCGKSA-N 1 2 316.405 1.999 20 30 DDEDLO Cc1cc(NC(=O)N2C[C@@H](C)N(CC#N)[C@@H](C)C2)c(C)c[nH+]1 ZINC000602922199 359295888 /nfs/dbraw/zinc/29/58/88/359295888.db2.gz LVWLMGVUZDDKEF-OKILXGFUSA-N 1 2 301.394 1.570 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccc(C#N)cn1 ZINC000579370482 422759957 /nfs/dbraw/zinc/75/99/57/422759957.db2.gz JNLNBTVRUFBWJW-CYBMUJFWSA-N 1 2 319.372 1.326 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccc(C#N)cn1 ZINC000579370482 422759961 /nfs/dbraw/zinc/75/99/61/422759961.db2.gz JNLNBTVRUFBWJW-CYBMUJFWSA-N 1 2 319.372 1.326 20 30 DDEDLO C=CCC[C@H](C(=O)NC[C@H]1C[NH+]2CCN1CC2)c1ccccc1 ZINC000188742687 200298803 /nfs/dbraw/zinc/29/88/03/200298803.db2.gz UWSRJUASRMTRGL-ROUUACIJSA-N 1 2 313.445 1.852 20 30 DDEDLO O=C(NC[C@H]1CCCn2cc[nH+]c21)c1cc2n(n1)CCCO2 ZINC000329874300 223040738 /nfs/dbraw/zinc/04/07/38/223040738.db2.gz CSOSVOOMLJUKJL-LLVKDONJSA-N 1 2 301.350 1.744 20 30 DDEDLO [O-]C(NC[C@@H]1CCc2[nH+]ccn2C1)=[NH+][C@H]1CCc2c[nH]nc2C1 ZINC000329926709 223048800 /nfs/dbraw/zinc/04/88/00/223048800.db2.gz WKBVXQHXDPQSBQ-AAEUAGOBSA-N 1 2 314.393 1.230 20 30 DDEDLO O=C(NC[C@@H]1CCc2[nH+]ccn2C1)N[C@H]1CCc2c[nH]nc2C1 ZINC000329926709 223048802 /nfs/dbraw/zinc/04/88/02/223048802.db2.gz WKBVXQHXDPQSBQ-AAEUAGOBSA-N 1 2 314.393 1.230 20 30 DDEDLO [O-]C(N[C@H]1CCc2c[nH]nc2C1)=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000329926709 223048805 /nfs/dbraw/zinc/04/88/05/223048805.db2.gz WKBVXQHXDPQSBQ-AAEUAGOBSA-N 1 2 314.393 1.230 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNC(=O)C[C@H]2CCCO2)cn1 ZINC000329922662 223047354 /nfs/dbraw/zinc/04/73/54/223047354.db2.gz GNLSIWFBGGXUCO-SOUVJXGZSA-N 1 2 324.400 1.858 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNC(=O)C[C@H]2CCCO2)cn1 ZINC000329922662 223047357 /nfs/dbraw/zinc/04/73/57/223047357.db2.gz GNLSIWFBGGXUCO-SOUVJXGZSA-N 1 2 324.400 1.858 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N2CCCCCC2)CC1 ZINC000609538519 360321579 /nfs/dbraw/zinc/32/15/79/360321579.db2.gz UBYVGSMOXJHHAG-INIZCTEOSA-N 1 2 306.454 1.555 20 30 DDEDLO C[C@@H](NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C)c1cccc(C#N)c1 ZINC000610351873 360418950 /nfs/dbraw/zinc/41/89/50/360418950.db2.gz MRWAJYABSVDXIB-CZUORRHYSA-N 1 2 315.421 1.164 20 30 DDEDLO C[C@@H](NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)c1cccc(C#N)c1 ZINC000610351873 360418958 /nfs/dbraw/zinc/41/89/58/360418958.db2.gz MRWAJYABSVDXIB-CZUORRHYSA-N 1 2 315.421 1.164 20 30 DDEDLO C[C@H](NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C)c1cccc(C#N)c1 ZINC000610351874 360419369 /nfs/dbraw/zinc/41/93/69/360419369.db2.gz MRWAJYABSVDXIB-XJKSGUPXSA-N 1 2 315.421 1.164 20 30 DDEDLO C[C@H](NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)c1cccc(C#N)c1 ZINC000610351874 360419375 /nfs/dbraw/zinc/41/93/75/360419375.db2.gz MRWAJYABSVDXIB-XJKSGUPXSA-N 1 2 315.421 1.164 20 30 DDEDLO Cc1nn(C)c(-n2cc[nH+]c2C)c1C(=O)N[C@H](C)c1nc[nH]n1 ZINC000330016067 223063023 /nfs/dbraw/zinc/06/30/23/223063023.db2.gz OZXZAYVNCKZXSK-SECBINFHSA-N 1 2 314.353 1.407 20 30 DDEDLO Cc1nn(C)c(-n2cc[nH+]c2C)c1C(=O)N[C@H](C)c1nnc[nH]1 ZINC000330016067 223063026 /nfs/dbraw/zinc/06/30/26/223063026.db2.gz OZXZAYVNCKZXSK-SECBINFHSA-N 1 2 314.353 1.407 20 30 DDEDLO COC1(CC(=O)N2CC[NH+]([C@H](C)C(=O)NC3CC3)CC2)CCC1 ZINC000330014765 223063322 /nfs/dbraw/zinc/06/33/22/223063322.db2.gz BDKHUACUFPXHRL-CYBMUJFWSA-N 1 2 323.437 1.597 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CCCC[C@H]1C)[NH+]1CCN(CCC#N)CC1 ZINC000611174607 360647961 /nfs/dbraw/zinc/64/79/61/360647961.db2.gz NACLDIBOGKKFPU-BZUAXINKSA-N 1 2 306.454 1.601 20 30 DDEDLO NS(=O)(=O)C1CC[NH+](CC#Cc2ccccc2Cl)CC1 ZINC000330505436 223122396 /nfs/dbraw/zinc/12/23/96/223122396.db2.gz GEKHOUGFXCHFLS-UHFFFAOYSA-N 1 2 312.822 1.444 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)N1CCC[C@H](O)C1 ZINC000330539478 223125136 /nfs/dbraw/zinc/12/51/36/223125136.db2.gz RAUXJQPFJLHNBO-VIFPVBQESA-N 1 2 306.288 1.316 20 30 DDEDLO Cc1cc(C)c(NC(=O)N[C@H](C)C(=O)N2CCOCC2)c(C)[nH+]1 ZINC000331344945 223203254 /nfs/dbraw/zinc/20/32/54/223203254.db2.gz VANNBYQCYGTNJV-CYBMUJFWSA-N 1 2 320.393 1.580 20 30 DDEDLO N#C[C@H]1CC[C@@H](Nc2cc(N3CCCC[C@@H]3CO)nc[nH+]2)C1 ZINC000333516568 223222147 /nfs/dbraw/zinc/22/21/47/223222147.db2.gz VHPIQOPMZNRENF-BFHYXJOUSA-N 1 2 301.394 1.932 20 30 DDEDLO N#C[C@H]1CC[C@@H](Nc2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC000333516568 223222148 /nfs/dbraw/zinc/22/21/48/223222148.db2.gz VHPIQOPMZNRENF-BFHYXJOUSA-N 1 2 301.394 1.932 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)NCC#Cc1cccc(F)c1 ZINC000338049952 223254766 /nfs/dbraw/zinc/25/47/66/223254766.db2.gz YVOREPPLWAILOU-CQSZACIVSA-N 1 2 319.380 1.197 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)NCC#Cc1cccc(F)c1 ZINC000338049952 223254769 /nfs/dbraw/zinc/25/47/69/223254769.db2.gz YVOREPPLWAILOU-CQSZACIVSA-N 1 2 319.380 1.197 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)c2ccc(CC#N)cc2)CC1 ZINC000340160945 223277603 /nfs/dbraw/zinc/27/76/03/223277603.db2.gz DXPUUIIVHBLVGS-UHFFFAOYSA-N 1 2 323.400 1.444 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC[C@@H](n2ccnn2)C1 ZINC000566141111 304121827 /nfs/dbraw/zinc/12/18/27/304121827.db2.gz IHZNIODOCSVHPH-UKRRQHHQSA-N 1 2 324.388 1.814 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC[C@@H](n2ccnn2)C1 ZINC000566141111 304121828 /nfs/dbraw/zinc/12/18/28/304121828.db2.gz IHZNIODOCSVHPH-UKRRQHHQSA-N 1 2 324.388 1.814 20 30 DDEDLO Clc1cccc(C#CC[NH+]2CCN(c3nccnn3)CC2)c1 ZINC000625642604 367068435 /nfs/dbraw/zinc/06/84/35/367068435.db2.gz SEASCJGNEGLAFN-UHFFFAOYSA-N 1 2 313.792 1.699 20 30 DDEDLO C#CCCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000265009140 204340744 /nfs/dbraw/zinc/34/07/44/204340744.db2.gz CFFASDNQIXNSOE-AWEZNQCLSA-N 1 2 303.381 1.476 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(c2ccc(F)cc2C#N)CC1 ZINC000266179568 205162015 /nfs/dbraw/zinc/16/20/15/205162015.db2.gz UVMKINWPMBRSCR-UHFFFAOYSA-N 1 2 304.369 1.344 20 30 DDEDLO N#Cc1ccnc(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)c1 ZINC000282884758 217299860 /nfs/dbraw/zinc/29/98/60/217299860.db2.gz FQBHNPMSUXXYBD-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(CC[C@@H]3CCOC3=O)CC2)cc1 ZINC000268019150 206399633 /nfs/dbraw/zinc/39/96/33/206399633.db2.gz WJHOIRPSRKRIQW-QGZVFWFLSA-N 1 2 313.401 1.629 20 30 DDEDLO C=CCCCCNC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000633172126 422788498 /nfs/dbraw/zinc/78/84/98/422788498.db2.gz NRJSUCZMTJABRY-UHFFFAOYSA-N 1 2 317.437 1.703 20 30 DDEDLO N#CC1CC[NH+](CC(=O)N2CCc3cc([N+](=O)[O-])ccc32)CC1 ZINC000057880180 184060725 /nfs/dbraw/zinc/06/07/25/184060725.db2.gz DVHLIWYMACBRCM-UHFFFAOYSA-N 1 2 314.345 1.719 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NCc1ccccc1)[NH+]1CCC(C#N)CC1 ZINC000057906092 184061940 /nfs/dbraw/zinc/06/19/40/184061940.db2.gz COOCDQISHPFQMS-ZDUSSCGKSA-N 1 2 314.389 1.636 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[NH+]1CCC(C#N)CC1 ZINC000057906593 184061972 /nfs/dbraw/zinc/06/19/72/184061972.db2.gz IXSQVXNOVVMQHB-AWEZNQCLSA-N 1 2 307.394 1.166 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1ccc(F)cc1 ZINC000060633667 184153397 /nfs/dbraw/zinc/15/33/97/184153397.db2.gz FHRGZYOUWQZDMP-UHFFFAOYSA-N 1 2 305.353 1.514 20 30 DDEDLO C=C[C@H](CC(=O)NCCC[N@@H+]1CCNC(=O)C1)c1ccccc1 ZINC000271071564 208600143 /nfs/dbraw/zinc/60/01/43/208600143.db2.gz YJNYFCIXJZLPBL-OAHLLOKOSA-N 1 2 315.417 1.284 20 30 DDEDLO C=C[C@H](CC(=O)NCCC[N@H+]1CCNC(=O)C1)c1ccccc1 ZINC000271071564 208600144 /nfs/dbraw/zinc/60/01/44/208600144.db2.gz YJNYFCIXJZLPBL-OAHLLOKOSA-N 1 2 315.417 1.284 20 30 DDEDLO Cn1cc[nH+]c1CN1C(=O)N[C@@](C)(c2cccc(C#N)c2)C1=O ZINC000158567800 197284834 /nfs/dbraw/zinc/28/48/34/197284834.db2.gz HOKVKVWLCWSENF-INIZCTEOSA-N 1 2 309.329 1.259 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCOC[C@H]1C[C@H](C)O ZINC000157474858 197189885 /nfs/dbraw/zinc/18/98/85/197189885.db2.gz HZSGRKLLZDFANI-DZGCQCFKSA-N 1 2 320.389 1.417 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCOC[C@H]1C[C@H](C)O ZINC000157474858 197189887 /nfs/dbraw/zinc/18/98/87/197189887.db2.gz HZSGRKLLZDFANI-DZGCQCFKSA-N 1 2 320.389 1.417 20 30 DDEDLO C#CCC[NH+]1CCN(c2ncc(C(=O)N(C)C)cc2Cl)CC1 ZINC000271611234 209057007 /nfs/dbraw/zinc/05/70/07/209057007.db2.gz KFTQHAJAZVIPPR-UHFFFAOYSA-N 1 2 320.824 1.582 20 30 DDEDLO COCCCC[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000419385612 533251006 /nfs/dbraw/zinc/25/10/06/533251006.db2.gz LDMWKHZQIAGQJK-UHFFFAOYSA-N 1 2 311.407 1.085 20 30 DDEDLO COCCCC[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000419385612 533251010 /nfs/dbraw/zinc/25/10/10/533251010.db2.gz LDMWKHZQIAGQJK-UHFFFAOYSA-N 1 2 311.407 1.085 20 30 DDEDLO CS(=O)(=O)C1(C(=O)NC[C@H]2CCCC[N@@H+]2C2CC2)CCC1 ZINC000330091154 533309371 /nfs/dbraw/zinc/30/93/71/533309371.db2.gz PTGUYGQBQLWFQK-CYBMUJFWSA-N 1 2 314.451 1.927 20 30 DDEDLO CS(=O)(=O)C1(C(=O)NC[C@H]2CCCC[N@H+]2C2CC2)CCC1 ZINC000330091154 533309375 /nfs/dbraw/zinc/30/93/75/533309375.db2.gz PTGUYGQBQLWFQK-CYBMUJFWSA-N 1 2 314.451 1.927 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@@H+]1CCOC[C@@H](O)C1 ZINC000295615154 533317161 /nfs/dbraw/zinc/31/71/61/533317161.db2.gz OBZPPSWPSNDCQY-GWCFXTLKSA-N 1 2 323.780 1.232 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@H+]1CCOC[C@@H](O)C1 ZINC000295615154 533317163 /nfs/dbraw/zinc/31/71/63/533317163.db2.gz OBZPPSWPSNDCQY-GWCFXTLKSA-N 1 2 323.780 1.232 20 30 DDEDLO COC(=O)c1[nH]c(C)c(C(=O)NCC[N@@H+]2CCOC[C@H]2C)c1C ZINC000331128126 533649526 /nfs/dbraw/zinc/64/95/26/533649526.db2.gz FPWAKPKURQONPP-SNVBAGLBSA-N 1 2 323.393 1.443 20 30 DDEDLO COC(=O)c1[nH]c(C)c(C(=O)NCC[N@H+]2CCOC[C@H]2C)c1C ZINC000331128126 533649534 /nfs/dbraw/zinc/64/95/34/533649534.db2.gz FPWAKPKURQONPP-SNVBAGLBSA-N 1 2 323.393 1.443 20 30 DDEDLO C#CC[N@H+](CC(=O)NC[C@@H]1CCCO1)Cc1ccc(F)cc1 ZINC000068522488 406646716 /nfs/dbraw/zinc/64/67/16/406646716.db2.gz QUJMKTRZJLBJKR-INIZCTEOSA-N 1 2 304.365 1.556 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC[C@@H]1CCCO1)Cc1ccc(F)cc1 ZINC000068522488 406646723 /nfs/dbraw/zinc/64/67/23/406646723.db2.gz QUJMKTRZJLBJKR-INIZCTEOSA-N 1 2 304.365 1.556 20 30 DDEDLO CCOC(=O)c1ccc(OC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000078320991 407024689 /nfs/dbraw/zinc/02/46/89/407024689.db2.gz YZYTZAKQXRNRSU-CQSZACIVSA-N 1 2 306.362 1.448 20 30 DDEDLO CCOC(=O)c1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000078320991 407024692 /nfs/dbraw/zinc/02/46/92/407024692.db2.gz YZYTZAKQXRNRSU-CQSZACIVSA-N 1 2 306.362 1.448 20 30 DDEDLO C[C@H]1CN(c2ccc(CNC(=O)CSCC#N)c[nH+]2)CCO1 ZINC000044552718 407026183 /nfs/dbraw/zinc/02/61/83/407026183.db2.gz ATYRPFDNABYSKP-LBPRGKRZSA-N 1 2 320.418 1.180 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1csc(C2CCCC2)n1 ZINC000078639898 407037837 /nfs/dbraw/zinc/03/78/37/407037837.db2.gz NNUZXPIMMGZAPI-UHFFFAOYSA-N 1 2 324.450 1.922 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)N(C)Cc1ccc(C#N)cc1 ZINC000046480570 407060999 /nfs/dbraw/zinc/06/09/99/407060999.db2.gz QYUNSEUWBVBZLC-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]2C(=O)N2CCSCC2)o1 ZINC000092672506 407192118 /nfs/dbraw/zinc/19/21/18/407192118.db2.gz UWUUDPYQVBZKLP-CQSZACIVSA-N 1 2 305.403 1.691 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]2C(=O)N2CCSCC2)o1 ZINC000092672506 407192120 /nfs/dbraw/zinc/19/21/20/407192120.db2.gz UWUUDPYQVBZKLP-CQSZACIVSA-N 1 2 305.403 1.691 20 30 DDEDLO CS(=O)(=O)N[C@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC000052670768 407154727 /nfs/dbraw/zinc/15/47/27/407154727.db2.gz QUGXZXROCNVNHO-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CS(=O)(=O)N[C@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC000052670768 407154731 /nfs/dbraw/zinc/15/47/31/407154731.db2.gz QUGXZXROCNVNHO-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO C#CC[N@@H+](CCCOc1ccccc1C)[C@H]1CCS(=O)(=O)C1 ZINC000066537966 407254468 /nfs/dbraw/zinc/25/44/68/407254468.db2.gz CAUWHMLCMKIGKQ-INIZCTEOSA-N 1 2 321.442 1.886 20 30 DDEDLO C#CC[N@H+](CCCOc1ccccc1C)[C@H]1CCS(=O)(=O)C1 ZINC000066537966 407254469 /nfs/dbraw/zinc/25/44/69/407254469.db2.gz CAUWHMLCMKIGKQ-INIZCTEOSA-N 1 2 321.442 1.886 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](O)C[N@@H+]1Cc1cccc(OCCCC#N)c1 ZINC000093103118 407195748 /nfs/dbraw/zinc/19/57/48/407195748.db2.gz QSNKSXXQYFCPIP-ZBFHGGJFSA-N 1 2 318.373 1.477 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](O)C[N@H+]1Cc1cccc(OCCCC#N)c1 ZINC000093103118 407195750 /nfs/dbraw/zinc/19/57/50/407195750.db2.gz QSNKSXXQYFCPIP-ZBFHGGJFSA-N 1 2 318.373 1.477 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)NCCCn1cc[nH+]c1 ZINC000063814295 407235604 /nfs/dbraw/zinc/23/56/04/407235604.db2.gz ZNRQGYYAHHVSOJ-UHFFFAOYSA-N 1 2 311.345 1.208 20 30 DDEDLO C=CCNC(=O)NCc1cccc(OCC[NH+]2CCOCC2)c1 ZINC000065697697 407245445 /nfs/dbraw/zinc/24/54/45/407245445.db2.gz JDIWMJBENVXDHU-UHFFFAOYSA-N 1 2 319.405 1.383 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@@H+]1CCNC(=O)CC1 ZINC000126164831 407407043 /nfs/dbraw/zinc/40/70/43/407407043.db2.gz VKDLKDHRPSALQC-CYBMUJFWSA-N 1 2 321.446 1.473 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@H+]1CCNC(=O)CC1 ZINC000126164831 407407045 /nfs/dbraw/zinc/40/70/45/407407045.db2.gz VKDLKDHRPSALQC-CYBMUJFWSA-N 1 2 321.446 1.473 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)c2cccs2)CC1 ZINC000112352188 407426976 /nfs/dbraw/zinc/42/69/76/407426976.db2.gz PNLHHNYIPVEFIG-ZDUSSCGKSA-N 1 2 321.446 1.587 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)c2cccs2)CC1 ZINC000112352188 407426977 /nfs/dbraw/zinc/42/69/77/407426977.db2.gz PNLHHNYIPVEFIG-ZDUSSCGKSA-N 1 2 321.446 1.587 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C[C@H]1O ZINC000271013545 407469407 /nfs/dbraw/zinc/46/94/07/407469407.db2.gz ZZMRXJIZWDIABM-ZKYQVNSYSA-N 1 2 305.378 1.798 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C[C@H]1O ZINC000271013545 407469413 /nfs/dbraw/zinc/46/94/13/407469413.db2.gz ZZMRXJIZWDIABM-ZKYQVNSYSA-N 1 2 305.378 1.798 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2cncc(F)c2)CC1 ZINC000270982217 407449379 /nfs/dbraw/zinc/44/93/79/407449379.db2.gz XIZXHQMIRBBEMK-UHFFFAOYSA-N 1 2 318.396 1.543 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+](C)CCc1cnccn1 ZINC000271292826 407618012 /nfs/dbraw/zinc/61/80/12/407618012.db2.gz COBMXZOXLWBMDO-INIZCTEOSA-N 1 2 310.357 1.737 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+](C)CCc1cnccn1 ZINC000271292826 407618018 /nfs/dbraw/zinc/61/80/18/407618018.db2.gz COBMXZOXLWBMDO-INIZCTEOSA-N 1 2 310.357 1.737 20 30 DDEDLO CCC[C@@H]1C(=O)NCC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000152492483 407642279 /nfs/dbraw/zinc/64/22/79/407642279.db2.gz GFUWEGQNOCWPFK-GFCCVEGCSA-N 1 2 320.418 1.549 20 30 DDEDLO CCC[C@@H]1C(=O)NCC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000152492483 407642284 /nfs/dbraw/zinc/64/22/84/407642284.db2.gz GFUWEGQNOCWPFK-GFCCVEGCSA-N 1 2 320.418 1.549 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1CCC[C@H]1C(=O)OC ZINC000116394863 407751550 /nfs/dbraw/zinc/75/15/50/407751550.db2.gz VOTZIHLCBNNZLG-HOTGVXAUSA-N 1 2 319.401 1.792 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1CCC[C@H]1C(=O)OC ZINC000116394863 407751553 /nfs/dbraw/zinc/75/15/53/407751553.db2.gz VOTZIHLCBNNZLG-HOTGVXAUSA-N 1 2 319.401 1.792 20 30 DDEDLO C[C@@H](C#N)CNC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000172121847 407807356 /nfs/dbraw/zinc/80/73/56/407807356.db2.gz RMBXKOMDUDDPBL-KBPBESRZSA-N 1 2 318.396 1.612 20 30 DDEDLO Cn1nc2c(cc1=O)C[N@@H+](Cc1ccc(Cl)cc1C#N)CC2 ZINC000187100493 407821528 /nfs/dbraw/zinc/82/15/28/407821528.db2.gz NKHAVOQKHLSROG-UHFFFAOYSA-N 1 2 314.776 1.864 20 30 DDEDLO Cn1nc2c(cc1=O)C[N@H+](Cc1ccc(Cl)cc1C#N)CC2 ZINC000187100493 407821533 /nfs/dbraw/zinc/82/15/33/407821533.db2.gz NKHAVOQKHLSROG-UHFFFAOYSA-N 1 2 314.776 1.864 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([NH+]2CCN(CC)CC2)C1 ZINC000173110194 407861632 /nfs/dbraw/zinc/86/16/32/407861632.db2.gz AURFKSSCGQYFQB-INIZCTEOSA-N 1 2 309.454 1.208 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CCOC)Cc1ccc(C)o1 ZINC000268416949 408036355 /nfs/dbraw/zinc/03/63/55/408036355.db2.gz FTKDRZZUXFYEKW-UHFFFAOYSA-N 1 2 307.394 1.799 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CCOC)Cc1ccc(C)o1 ZINC000268416949 408036361 /nfs/dbraw/zinc/03/63/61/408036361.db2.gz FTKDRZZUXFYEKW-UHFFFAOYSA-N 1 2 307.394 1.799 20 30 DDEDLO CCc1ccc([C@@H](COC)[NH2+]CC(=O)N(CC)CCC#N)o1 ZINC000273247152 408123273 /nfs/dbraw/zinc/12/32/73/408123273.db2.gz IMNLDRZQIXJCBC-CQSZACIVSA-N 1 2 307.394 1.881 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)NCCCC#N ZINC000273311934 408150148 /nfs/dbraw/zinc/15/01/48/408150148.db2.gz XXMPOPBQBVYTJZ-UHFFFAOYSA-N 1 2 303.366 1.652 20 30 DDEDLO CCN(C1CC[NH+](C[C@H](C#N)CCC#N)CC1)S(C)(=O)=O ZINC000121256853 408174068 /nfs/dbraw/zinc/17/40/68/408174068.db2.gz JLGQEANOGARQFI-ZDUSSCGKSA-N 1 2 312.439 1.176 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@@H](CC(N)=O)C1 ZINC000246355995 408231887 /nfs/dbraw/zinc/23/18/87/408231887.db2.gz GGOKJQFRRMJEEE-XJKSGUPXSA-N 1 2 315.373 1.360 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CCC[C@@H](CC(N)=O)C1 ZINC000246355995 408231892 /nfs/dbraw/zinc/23/18/92/408231892.db2.gz GGOKJQFRRMJEEE-XJKSGUPXSA-N 1 2 315.373 1.360 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000269756252 408417272 /nfs/dbraw/zinc/41/72/72/408417272.db2.gz BALZTGQMZYINLF-CYBMUJFWSA-N 1 2 316.386 1.850 20 30 DDEDLO Cn1cc([C@@H]2CSCC[N@@H+]2Cc2cc(C#N)n(C)c2)cn1 ZINC000191741101 408482008 /nfs/dbraw/zinc/48/20/08/408482008.db2.gz QPMTVWNYCOYWBH-HNNXBMFYSA-N 1 2 301.419 1.920 20 30 DDEDLO Cn1cc([C@@H]2CSCC[N@H+]2Cc2cc(C#N)n(C)c2)cn1 ZINC000191741101 408482013 /nfs/dbraw/zinc/48/20/13/408482013.db2.gz QPMTVWNYCOYWBH-HNNXBMFYSA-N 1 2 301.419 1.920 20 30 DDEDLO CCC[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000270340954 408494518 /nfs/dbraw/zinc/49/45/18/408494518.db2.gz GWBJGLFLWZUPHV-MRXNPFEDSA-N 1 2 301.390 1.675 20 30 DDEDLO CCC[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000270340954 408494527 /nfs/dbraw/zinc/49/45/27/408494527.db2.gz GWBJGLFLWZUPHV-MRXNPFEDSA-N 1 2 301.390 1.675 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1)OCC ZINC000275409759 408586895 /nfs/dbraw/zinc/58/68/95/408586895.db2.gz BPWHGLDKVXEGEJ-GJZGRUSLSA-N 1 2 320.437 1.607 20 30 DDEDLO C=C(C)CS(=O)(=O)NCC(C)(C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000184330718 408591806 /nfs/dbraw/zinc/59/18/06/408591806.db2.gz MVPPJSXEYLYCDS-BETUJISGSA-N 1 2 304.456 1.370 20 30 DDEDLO C=C(C)CS(=O)(=O)NCC(C)(C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000184330718 408591810 /nfs/dbraw/zinc/59/18/10/408591810.db2.gz MVPPJSXEYLYCDS-BETUJISGSA-N 1 2 304.456 1.370 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)N(C)Cc1[nH+]ccn1C ZINC000161988402 408657888 /nfs/dbraw/zinc/65/78/88/408657888.db2.gz HYFLACWNRWRJPM-CYBMUJFWSA-N 1 2 303.410 1.583 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)[C@@H]1CCN(C)C1=O ZINC000265670211 408740135 /nfs/dbraw/zinc/74/01/35/408740135.db2.gz WUQVUSLVORIYJY-SMDDNHRTSA-N 1 2 300.362 1.048 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)[C@@H]1CCN(C)C1=O ZINC000265670211 408740141 /nfs/dbraw/zinc/74/01/41/408740141.db2.gz WUQVUSLVORIYJY-SMDDNHRTSA-N 1 2 300.362 1.048 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)[C@@H]1CCN(C)C1=O ZINC000265670206 408741320 /nfs/dbraw/zinc/74/13/20/408741320.db2.gz WUQVUSLVORIYJY-BXUZGUMPSA-N 1 2 300.362 1.048 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)[C@@H]1CCN(C)C1=O ZINC000265670206 408741323 /nfs/dbraw/zinc/74/13/23/408741323.db2.gz WUQVUSLVORIYJY-BXUZGUMPSA-N 1 2 300.362 1.048 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@H](COC)c1ccc(CC)o1 ZINC000184838193 408701028 /nfs/dbraw/zinc/70/10/28/408701028.db2.gz SZICZLIDZYJWQK-DGCLKSJQSA-N 1 2 323.393 1.519 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](CC(=O)Nc2sccc2C#N)C[C@H]1C ZINC000251839123 408787646 /nfs/dbraw/zinc/78/76/46/408787646.db2.gz QHQMARBEEYPFIL-MWLCHTKSSA-N 1 2 307.375 1.299 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](CC(=O)Nc2sccc2C#N)C[C@H]1C ZINC000251839123 408787647 /nfs/dbraw/zinc/78/76/47/408787647.db2.gz QHQMARBEEYPFIL-MWLCHTKSSA-N 1 2 307.375 1.299 20 30 DDEDLO CC(C)(C)c1[nH]c2ccc(NC(=O)Cn3cnc(C#N)n3)cc2[nH+]1 ZINC000178354601 408811743 /nfs/dbraw/zinc/81/17/43/408811743.db2.gz YOXGLFGIARUNND-UHFFFAOYSA-N 1 2 323.360 1.962 20 30 DDEDLO C#C[C@H](C)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000281149616 408873594 /nfs/dbraw/zinc/87/35/94/408873594.db2.gz YWXAHCFUZGZAJF-UAGQMJEPSA-N 1 2 313.401 1.303 20 30 DDEDLO C#C[C@H](C)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000281149616 408873596 /nfs/dbraw/zinc/87/35/96/408873596.db2.gz YWXAHCFUZGZAJF-UAGQMJEPSA-N 1 2 313.401 1.303 20 30 DDEDLO CNC(=O)c1ccc(CO[NH+]=C(N)[C@H](C)OCC(C)C)cc1 ZINC000276206052 408825217 /nfs/dbraw/zinc/82/52/17/408825217.db2.gz ZHKNQPYCMYNKMY-LBPRGKRZSA-N 1 2 307.394 1.896 20 30 DDEDLO Cn1nccc1C1=CC[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)CC1 ZINC000285671928 408839509 /nfs/dbraw/zinc/83/95/09/408839509.db2.gz QKZSSIXMBDPUDG-QGZVFWFLSA-N 1 2 313.405 1.318 20 30 DDEDLO Cn1nccc1C1=CC[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)CC1 ZINC000285671928 408839512 /nfs/dbraw/zinc/83/95/12/408839512.db2.gz QKZSSIXMBDPUDG-QGZVFWFLSA-N 1 2 313.405 1.318 20 30 DDEDLO C=C[C@@H](CO)Nc1nc(N[C@@H](C=C)CO)c2ccccc2[nH+]1 ZINC000290947929 408855482 /nfs/dbraw/zinc/85/54/82/408855482.db2.gz COKIKOKCSOKOSK-RYUDHWBXSA-N 1 2 300.362 1.547 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)Nc2ccccc2)C1=O ZINC000281405827 408885633 /nfs/dbraw/zinc/88/56/33/408885633.db2.gz QVWSLZBWMFGOMI-UKRRQHHQSA-N 1 2 301.390 1.732 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)Nc2ccccc2)C1=O ZINC000281405827 408885635 /nfs/dbraw/zinc/88/56/35/408885635.db2.gz QVWSLZBWMFGOMI-UKRRQHHQSA-N 1 2 301.390 1.732 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCNC(=O)[C@H]1CC(C)C ZINC000189792599 163118036 /nfs/dbraw/zinc/11/80/36/163118036.db2.gz WQCQCUMEZBSOFZ-OAHLLOKOSA-N 1 2 301.390 1.913 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCNC(=O)[C@H]1CC(C)C ZINC000189792599 163118039 /nfs/dbraw/zinc/11/80/39/163118039.db2.gz WQCQCUMEZBSOFZ-OAHLLOKOSA-N 1 2 301.390 1.913 20 30 DDEDLO C#CCC(CC#C)C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000277479692 408948551 /nfs/dbraw/zinc/94/85/51/408948551.db2.gz NXGROXHQRABCSA-UHFFFAOYSA-N 1 2 324.424 1.872 20 30 DDEDLO C#CCN(CC#N)C(=O)CSCc1cn2cc(C)ccc2[nH+]1 ZINC000281908108 408951604 /nfs/dbraw/zinc/95/16/04/408951604.db2.gz GICCESJBFMVYTE-UHFFFAOYSA-N 1 2 312.398 1.861 20 30 DDEDLO Cc1cc[nH+]c(NCCn2cnc(C#N)n2)c1Br ZINC000286295347 408958131 /nfs/dbraw/zinc/95/81/31/408958131.db2.gz HXCCYDDMWFDWCW-UHFFFAOYSA-N 1 2 307.155 1.728 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3nc(CC)ns3)CC2)C1=O ZINC000282043457 408978485 /nfs/dbraw/zinc/97/84/85/408978485.db2.gz RBKAZJPERNAMQL-LBPRGKRZSA-N 1 2 321.450 1.009 20 30 DDEDLO CC(C)(C)OC(=O)C[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292307385 409021559 /nfs/dbraw/zinc/02/15/59/409021559.db2.gz VBYBJUJYYUCAOU-CYBMUJFWSA-N 1 2 303.362 1.748 20 30 DDEDLO CC(C)(C)OC(=O)C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292307385 409021562 /nfs/dbraw/zinc/02/15/62/409021562.db2.gz VBYBJUJYYUCAOU-CYBMUJFWSA-N 1 2 303.362 1.748 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccccn3)n2CC)CC1 ZINC000282826822 409065227 /nfs/dbraw/zinc/06/52/27/409065227.db2.gz QJGBLZIEFOIYDL-UHFFFAOYSA-N 1 2 310.405 1.039 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc[nH]3)n2CC(=C)C)CC1 ZINC000283061833 409080080 /nfs/dbraw/zinc/08/00/80/409080080.db2.gz WQNURXPRAHMRKK-UHFFFAOYSA-N 1 2 310.405 1.605 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCCc1nc2ccccc2s1 ZINC000293352495 409096473 /nfs/dbraw/zinc/09/64/73/409096473.db2.gz ZLHZJRKEECOQOE-UHFFFAOYSA-N 1 2 301.415 1.910 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCCc1nc2ccccc2s1 ZINC000293352495 409096475 /nfs/dbraw/zinc/09/64/75/409096475.db2.gz ZLHZJRKEECOQOE-UHFFFAOYSA-N 1 2 301.415 1.910 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)nn1 ZINC000283429478 409156024 /nfs/dbraw/zinc/15/60/24/409156024.db2.gz GEGKQOJAJANEMJ-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C(=O)CC(C)(C)C#N)CC1 ZINC000279202083 409169482 /nfs/dbraw/zinc/16/94/82/409169482.db2.gz LEWLNXHXOFLPJE-UHFFFAOYSA-N 1 2 304.394 1.876 20 30 DDEDLO COCCOCC[N@H+](C)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000289039641 409211943 /nfs/dbraw/zinc/21/19/43/409211943.db2.gz PQCKUPHPFQPWDV-UHFFFAOYSA-N 1 2 309.366 1.301 20 30 DDEDLO COCCOCC[N@@H+](C)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000289039641 409211945 /nfs/dbraw/zinc/21/19/45/409211945.db2.gz PQCKUPHPFQPWDV-UHFFFAOYSA-N 1 2 309.366 1.301 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)CCCC#N)C[C@H]1Cc1ccccc1 ZINC000283796117 409218470 /nfs/dbraw/zinc/21/84/70/409218470.db2.gz AUVPHBMEMNFPTI-MRXNPFEDSA-N 1 2 321.446 1.479 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)CCCC#N)C[C@H]1Cc1ccccc1 ZINC000283796117 409218472 /nfs/dbraw/zinc/21/84/72/409218472.db2.gz AUVPHBMEMNFPTI-MRXNPFEDSA-N 1 2 321.446 1.479 20 30 DDEDLO N#CCCOCC[NH+]1CCN(c2nc(F)c(F)cc2F)CC1 ZINC000294068336 409234570 /nfs/dbraw/zinc/23/45/70/409234570.db2.gz KMUOBAZEYHHBGZ-UHFFFAOYSA-N 1 2 314.311 1.551 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)Nc2snc(C)c2C#N)CC1 ZINC000294144663 409247898 /nfs/dbraw/zinc/24/78/98/409247898.db2.gz GTZMBECQZOAJIW-UHFFFAOYSA-N 1 2 323.422 1.509 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1)C(C)C ZINC000294162231 409252367 /nfs/dbraw/zinc/25/23/67/409252367.db2.gz IETPTLXJILOEAS-CQSZACIVSA-N 1 2 301.394 1.274 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000294665462 409296471 /nfs/dbraw/zinc/29/64/71/409296471.db2.gz SEBAWMZBPJIAHL-OAHLLOKOSA-N 1 2 316.401 1.378 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)NCCc1ccc(F)cc1 ZINC000284089218 409273104 /nfs/dbraw/zinc/27/31/04/409273104.db2.gz KUEJKOSFDPYDPF-SMDDNHRTSA-N 1 2 323.368 1.341 20 30 DDEDLO CCOc1ccccc1NC(=O)[C@H](C)O[NH+]=C(N)[C@H]1CCCO1 ZINC000284089171 409273180 /nfs/dbraw/zinc/27/31/80/409273180.db2.gz KUBRTBIQHJXKDS-SMDDNHRTSA-N 1 2 321.377 1.880 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000290421320 409395427 /nfs/dbraw/zinc/39/54/27/409395427.db2.gz GHVBEFCUXMASDY-QGZVFWFLSA-N 1 2 306.381 1.797 20 30 DDEDLO NC(=[NH+]O[C@@H]1CCN(c2cccc(Cl)c2)C1=O)[C@@H]1CCCO1 ZINC000284487027 409343944 /nfs/dbraw/zinc/34/39/44/409343944.db2.gz SEUODNFFIUPSDB-QWHCGFSZSA-N 1 2 323.780 1.913 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC000285268124 409406337 /nfs/dbraw/zinc/40/63/37/409406337.db2.gz QRQHLCCHFAWQHM-UHFFFAOYSA-N 1 2 304.394 1.424 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOc2cc(O)ccc2C1 ZINC000285414896 409434823 /nfs/dbraw/zinc/43/48/23/409434823.db2.gz GFIORUPTCNMWCR-UHFFFAOYSA-N 1 2 302.374 1.787 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOc2cc(O)ccc2C1 ZINC000285414896 409434827 /nfs/dbraw/zinc/43/48/27/409434827.db2.gz GFIORUPTCNMWCR-UHFFFAOYSA-N 1 2 302.374 1.787 20 30 DDEDLO CC1(C)[C@H](O)CC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000314382653 164014732 /nfs/dbraw/zinc/01/47/32/164014732.db2.gz RCBISYDSQRPMFE-CQSZACIVSA-N 1 2 308.403 1.177 20 30 DDEDLO CC1(C)[C@H](O)CC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000314382653 164014733 /nfs/dbraw/zinc/01/47/33/164014733.db2.gz RCBISYDSQRPMFE-CQSZACIVSA-N 1 2 308.403 1.177 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@H]([NH+]2CCOCC2)[C@@H]1C ZINC000356771849 409588669 /nfs/dbraw/zinc/58/86/69/409588669.db2.gz NXAVCADWKRWHQS-GJZGRUSLSA-N 1 2 303.406 1.609 20 30 DDEDLO C[N@H+](CC(=O)NCc1ccc(C#N)cc1)CC(=O)NC(C)(C)C ZINC000349117715 409703754 /nfs/dbraw/zinc/70/37/54/409703754.db2.gz LCJQKIWITYHVNS-UHFFFAOYSA-N 1 2 316.405 1.021 20 30 DDEDLO C[N@@H+](CC(=O)NCc1ccc(C#N)cc1)CC(=O)NC(C)(C)C ZINC000349117715 409703759 /nfs/dbraw/zinc/70/37/59/409703759.db2.gz LCJQKIWITYHVNS-UHFFFAOYSA-N 1 2 316.405 1.021 20 30 DDEDLO C=C(C)COCCNC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000354367403 409801294 /nfs/dbraw/zinc/80/12/94/409801294.db2.gz QIONWAVWQGBNOQ-CQSZACIVSA-N 1 2 321.425 1.391 20 30 DDEDLO C=C(C)COCCNC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000354466141 409876727 /nfs/dbraw/zinc/87/67/27/409876727.db2.gz QQANJBVVRNDTAC-UHFFFAOYSA-N 1 2 307.394 1.700 20 30 DDEDLO CC1(C)SC[C@@H]1NC(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000328762933 409971914 /nfs/dbraw/zinc/97/19/14/409971914.db2.gz ZQFHSZMFLLAVCC-NSHDSACASA-N 1 2 301.456 1.495 20 30 DDEDLO CC1(C)SC[C@@H]1NC(=O)NCC[N@H+]1CCOCC1(C)C ZINC000328762933 409971920 /nfs/dbraw/zinc/97/19/20/409971920.db2.gz ZQFHSZMFLLAVCC-NSHDSACASA-N 1 2 301.456 1.495 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)CCC(=O)NC2CCCC2)C1 ZINC000328799066 409981130 /nfs/dbraw/zinc/98/11/30/409981130.db2.gz XIWXJLJZGWECCK-CQSZACIVSA-N 1 2 310.442 1.868 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)CCC(=O)NC2CCCC2)C1 ZINC000328799066 409981138 /nfs/dbraw/zinc/98/11/38/409981138.db2.gz XIWXJLJZGWECCK-CQSZACIVSA-N 1 2 310.442 1.868 20 30 DDEDLO COCCC[C@H](C)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000350747293 409988940 /nfs/dbraw/zinc/98/89/40/409988940.db2.gz JRNLHXVBYVMDRH-HNNXBMFYSA-N 1 2 311.426 1.124 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@@H+]2CCC[C@H]3C(=O)NC[C@H]32)C1 ZINC000328843085 409991994 /nfs/dbraw/zinc/99/19/94/409991994.db2.gz UTIKLZOSVHRMDZ-MGPQQGTHSA-N 1 2 322.409 1.005 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@H+]2CCC[C@H]3C(=O)NC[C@H]32)C1 ZINC000328843085 409991997 /nfs/dbraw/zinc/99/19/97/409991997.db2.gz UTIKLZOSVHRMDZ-MGPQQGTHSA-N 1 2 322.409 1.005 20 30 DDEDLO CC(C)[C@@H]1C(=O)NCCN1C(=O)NC[C@H]1CCCn2cc[nH+]c21 ZINC000328624892 409943113 /nfs/dbraw/zinc/94/31/13/409943113.db2.gz ZUZHHCJGYHUXKZ-CHWSQXEVSA-N 1 2 319.409 1.971 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000354687211 410016655 /nfs/dbraw/zinc/01/66/55/410016655.db2.gz QGNIPEDPSSNCDX-OAHLLOKOSA-N 1 2 314.389 1.487 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000354687211 410016666 /nfs/dbraw/zinc/01/66/66/410016666.db2.gz QGNIPEDPSSNCDX-OAHLLOKOSA-N 1 2 314.389 1.487 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)N[C@H]1CCC(=O)N(C)C1 ZINC000328953432 410021147 /nfs/dbraw/zinc/02/11/47/410021147.db2.gz MKRDQHBKUNXMEM-HZSPNIEDSA-N 1 2 316.405 1.731 20 30 DDEDLO C[C@@H](O[NH+]=C(N)CCO)C(=O)Nc1ccccc1C(F)(F)F ZINC000136067315 287116542 /nfs/dbraw/zinc/11/65/42/287116542.db2.gz TZLQLTDKEFQBRH-MRVPVSSYSA-N 1 2 319.283 1.704 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CCC(c3nccs3)CC2)C1 ZINC000329109864 410107048 /nfs/dbraw/zinc/10/70/48/410107048.db2.gz JBMQSLVTQOFBEH-CYBMUJFWSA-N 1 2 324.450 1.567 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CCC(c3nccs3)CC2)C1 ZINC000329109864 410107058 /nfs/dbraw/zinc/10/70/58/410107058.db2.gz JBMQSLVTQOFBEH-CYBMUJFWSA-N 1 2 324.450 1.567 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N1CCC[C@H]2C(=O)NC[C@H]21)[NH+]1CCOCC1 ZINC000329288910 410215350 /nfs/dbraw/zinc/21/53/50/410215350.db2.gz HZEMRDHOHFRUHO-REWJHTLYSA-N 1 2 324.425 1.060 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]Cc1ccc(OCC(=O)OC)c([N+](=O)[O-])c1 ZINC000354932768 410185519 /nfs/dbraw/zinc/18/55/19/410185519.db2.gz SVNNXUQEBCNBLH-LBPRGKRZSA-N 1 2 321.333 1.928 20 30 DDEDLO C[C@@H]1C[C@H](O)CN1C(=O)N[C@@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000329346706 410247390 /nfs/dbraw/zinc/24/73/90/410247390.db2.gz RNZLGXYBQCBJRA-KWCYVHTRSA-N 1 2 322.409 1.257 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CC[C@H]([C@H]2CCOC2)C1 ZINC000329539650 410350886 /nfs/dbraw/zinc/35/08/86/410350886.db2.gz CNGJNJRQVOWSJN-RWMBFGLXSA-N 1 2 304.394 1.539 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CC[C@H]([C@H]2CCOC2)C1 ZINC000329539650 410350891 /nfs/dbraw/zinc/35/08/91/410350891.db2.gz CNGJNJRQVOWSJN-RWMBFGLXSA-N 1 2 304.394 1.539 20 30 DDEDLO O=C(C[N@@H+]1CC[C@@H](Oc2ccncc2)C1)NC(=O)NC1CC1 ZINC000329433706 410296938 /nfs/dbraw/zinc/29/69/38/410296938.db2.gz IOKJHMUNWIVACR-CYBMUJFWSA-N 1 2 304.350 1.568 20 30 DDEDLO O=C(C[N@H+]1CC[C@@H](Oc2ccncc2)C1)NC(=O)NC1CC1 ZINC000329433706 410296945 /nfs/dbraw/zinc/29/69/45/410296945.db2.gz IOKJHMUNWIVACR-CYBMUJFWSA-N 1 2 304.350 1.568 20 30 DDEDLO CC[C@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355105218 410318439 /nfs/dbraw/zinc/31/84/39/410318439.db2.gz VZIGFEIVLYJZFE-KBPBESRZSA-N 1 2 315.421 1.378 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000343521094 410506908 /nfs/dbraw/zinc/50/69/08/410506908.db2.gz CLUPRNKZRILDOC-YOEHRIQHSA-N 1 2 320.437 1.128 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCC[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000343521094 410506914 /nfs/dbraw/zinc/50/69/14/410506914.db2.gz CLUPRNKZRILDOC-YOEHRIQHSA-N 1 2 320.437 1.128 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NS(=O)(=O)c1ccccc1C#N)C1CC1 ZINC000352616134 410671832 /nfs/dbraw/zinc/67/18/32/410671832.db2.gz KAFHMQYKJDQFSU-CQSZACIVSA-N 1 2 316.386 1.721 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000330409771 410672603 /nfs/dbraw/zinc/67/26/03/410672603.db2.gz KJRLJSAOYVMWAG-CQSZACIVSA-N 1 2 314.433 1.983 20 30 DDEDLO CC(C)(C#N)CC[N@H+](C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000336837363 410636918 /nfs/dbraw/zinc/63/69/18/410636918.db2.gz DPQIGDLFVKMFJP-KBPBESRZSA-N 1 2 314.451 1.594 20 30 DDEDLO CC(C)(C#N)CC[N@@H+](C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000336837363 410636924 /nfs/dbraw/zinc/63/69/24/410636924.db2.gz DPQIGDLFVKMFJP-KBPBESRZSA-N 1 2 314.451 1.594 20 30 DDEDLO N#CC1(F)CC[NH+](CCN2C(=O)c3ccccc3C2=O)CC1 ZINC000352484575 410658425 /nfs/dbraw/zinc/65/84/25/410658425.db2.gz RBDWRIUBJMEQDU-UHFFFAOYSA-N 1 2 301.321 1.610 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(c2cc(C#N)nc3ccccc32)CC1 ZINC000301332754 410698697 /nfs/dbraw/zinc/69/86/97/410698697.db2.gz FFMXSLUFZNOTHT-UHFFFAOYSA-N 1 2 323.400 1.365 20 30 DDEDLO CC(C)(C#N)CNC(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000352752683 410677266 /nfs/dbraw/zinc/67/72/66/410677266.db2.gz JVJJVAXVRWMPGG-UHFFFAOYSA-N 1 2 303.288 1.794 20 30 DDEDLO CC[C@H](C(=O)OC)N1CC[NH+](Cc2cccc(C#N)c2F)CC1 ZINC000352722946 410678060 /nfs/dbraw/zinc/67/80/60/410678060.db2.gz CNLMNCWMZUZAHI-OAHLLOKOSA-N 1 2 319.380 1.767 20 30 DDEDLO C=C(C)C[N@H+](Cc1ccc(CO)o1)[C@@H](C)C(=O)NC(=O)NCC ZINC000352795234 410678449 /nfs/dbraw/zinc/67/84/49/410678449.db2.gz CUNBBQPGHDMWMW-LBPRGKRZSA-N 1 2 323.393 1.384 20 30 DDEDLO C=C(C)C[N@@H+](Cc1ccc(CO)o1)[C@@H](C)C(=O)NC(=O)NCC ZINC000352795234 410678456 /nfs/dbraw/zinc/67/84/56/410678456.db2.gz CUNBBQPGHDMWMW-LBPRGKRZSA-N 1 2 323.393 1.384 20 30 DDEDLO COCCC(=O)N1CC[NH+](Cc2ccc(C#N)c(C)c2)CC1 ZINC000331117807 410959847 /nfs/dbraw/zinc/95/98/47/410959847.db2.gz FHIUAKDMXLDVMK-UHFFFAOYSA-N 1 2 301.390 1.547 20 30 DDEDLO C[C@H]1CN(c2ccc(CNC(=O)CCCC#N)c[nH+]2)CCO1 ZINC000360007590 410998269 /nfs/dbraw/zinc/99/82/69/410998269.db2.gz QTEIWILXAZRKIF-ZDUSSCGKSA-N 1 2 302.378 1.617 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)COc1ccccc1C#N)C1CC1 ZINC000341724464 411051537 /nfs/dbraw/zinc/05/15/37/411051537.db2.gz ZMNPWVOYNVUCOS-MRXNPFEDSA-N 1 2 310.357 1.938 20 30 DDEDLO C[C@H](C#N)C(=O)N(Cc1ccccc1)[C@@H](C)C[NH+]1CCOCC1 ZINC000360135515 411068299 /nfs/dbraw/zinc/06/82/99/411068299.db2.gz JQRRNSVSNYKMQS-CVEARBPZSA-N 1 2 315.417 1.896 20 30 DDEDLO C=CCCS(=O)(=O)NCCNc1ccc2ccccc2[nH+]1 ZINC000360194651 411096411 /nfs/dbraw/zinc/09/64/11/411096411.db2.gz QNGKSSLQSWLTHZ-UHFFFAOYSA-N 1 2 305.403 2.142 20 30 DDEDLO COCCN(c1cc[nH+]cc1)S(=O)(=O)c1ccc(C#N)o1 ZINC000344536053 411109028 /nfs/dbraw/zinc/10/90/28/411109028.db2.gz RFYIRHXGSSKCON-UHFFFAOYSA-N 1 2 307.331 1.388 20 30 DDEDLO CC(C)(C)[N@H+](CC(N)=O)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000131249919 196154210 /nfs/dbraw/zinc/15/42/10/196154210.db2.gz HJZLROPKIOAMAB-UHFFFAOYSA-N 1 2 313.361 1.694 20 30 DDEDLO CC(C)(C)[N@@H+](CC(N)=O)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000131249919 196154212 /nfs/dbraw/zinc/15/42/12/196154212.db2.gz HJZLROPKIOAMAB-UHFFFAOYSA-N 1 2 313.361 1.694 20 30 DDEDLO Cc1cc(NC(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)ncc1C#N ZINC000603115151 416633012 /nfs/dbraw/zinc/63/30/12/416633012.db2.gz CDLJIXORLNPLJL-CHWSQXEVSA-N 1 2 317.393 1.492 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC#N)CC2)C1 ZINC000366527868 418484733 /nfs/dbraw/zinc/48/47/33/418484733.db2.gz PGETTWUBNGGFCI-ZDUSSCGKSA-N 1 2 322.409 1.054 20 30 DDEDLO N#Cc1cc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)ccn1 ZINC000193220607 418530871 /nfs/dbraw/zinc/53/08/71/418530871.db2.gz BBDAXWRMLQFWGO-MRXNPFEDSA-N 1 2 314.389 1.280 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)C1([NH+]2CCOCC2)CCCC1 ZINC000373886702 418468025 /nfs/dbraw/zinc/46/80/25/418468025.db2.gz FUHGYWVNVWXFOX-CVEARBPZSA-N 1 2 322.449 1.729 20 30 DDEDLO N#CCCCC(=O)N1C[C@H]2OCC[N@H+](Cc3ccccc3)[C@@H]2C1 ZINC000360583136 418470246 /nfs/dbraw/zinc/47/02/46/418470246.db2.gz ODZVOHHAMJJJQH-IAGOWNOFSA-N 1 2 313.401 1.792 20 30 DDEDLO N#CCCCC(=O)N1C[C@H]2OCC[N@@H+](Cc3ccccc3)[C@@H]2C1 ZINC000360583136 418470250 /nfs/dbraw/zinc/47/02/50/418470250.db2.gz ODZVOHHAMJJJQH-IAGOWNOFSA-N 1 2 313.401 1.792 20 30 DDEDLO C#CCOCCc1nc([C@H]2C[N@@H+](C3CCCCC3)CCO2)no1 ZINC000374847670 418569932 /nfs/dbraw/zinc/56/99/32/418569932.db2.gz SKOIADKQJIIZDR-OAHLLOKOSA-N 1 2 319.405 1.968 20 30 DDEDLO C#CCOCCc1nc([C@H]2C[N@H+](C3CCCCC3)CCO2)no1 ZINC000374847670 418569936 /nfs/dbraw/zinc/56/99/36/418569936.db2.gz SKOIADKQJIIZDR-OAHLLOKOSA-N 1 2 319.405 1.968 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1cccc(C(=O)OC)c1 ZINC000191089043 222095006 /nfs/dbraw/zinc/09/50/06/222095006.db2.gz ZHTWBOBVUUWFQT-UHFFFAOYSA-N 1 2 306.362 1.546 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1cccc(C(=O)OC)c1 ZINC000191089043 222095009 /nfs/dbraw/zinc/09/50/09/222095009.db2.gz ZHTWBOBVUUWFQT-UHFFFAOYSA-N 1 2 306.362 1.546 20 30 DDEDLO CC[N@H+](CC(N)=O)CC(=O)N(CCC#N)c1cccc(C)c1C ZINC000191705252 222107886 /nfs/dbraw/zinc/10/78/86/222107886.db2.gz XRMJNMVLOGSBJC-UHFFFAOYSA-N 1 2 316.405 1.357 20 30 DDEDLO CC[N@@H+](CC(N)=O)CC(=O)N(CCC#N)c1cccc(C)c1C ZINC000191705252 222107890 /nfs/dbraw/zinc/10/78/90/222107890.db2.gz XRMJNMVLOGSBJC-UHFFFAOYSA-N 1 2 316.405 1.357 20 30 DDEDLO COCCO[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000189698326 222052782 /nfs/dbraw/zinc/05/27/82/222052782.db2.gz JHTFWAXESDYDIR-OAHLLOKOSA-N 1 2 303.362 1.234 20 30 DDEDLO COCCO[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000189698326 222052787 /nfs/dbraw/zinc/05/27/87/222052787.db2.gz JHTFWAXESDYDIR-OAHLLOKOSA-N 1 2 303.362 1.234 20 30 DDEDLO C#CC[N@@H+](C)CC1=C(C(=O)OCC)[C@H](c2ccco2)NC(=O)N1 ZINC000192228641 222123344 /nfs/dbraw/zinc/12/33/44/222123344.db2.gz ICBACYVQWIZAAY-AWEZNQCLSA-N 1 2 317.345 1.016 20 30 DDEDLO C#CC[N@H+](C)CC1=C(C(=O)OCC)[C@H](c2ccco2)NC(=O)N1 ZINC000192228641 222123346 /nfs/dbraw/zinc/12/33/46/222123346.db2.gz ICBACYVQWIZAAY-AWEZNQCLSA-N 1 2 317.345 1.016 20 30 DDEDLO C=CCOC[C@H](NCc1c(C)[nH+]c2ccccn21)C(=O)OC ZINC000361110637 418585151 /nfs/dbraw/zinc/58/51/51/418585151.db2.gz QDCNAJZIFSTPQM-ZDUSSCGKSA-N 1 2 303.362 1.477 20 30 DDEDLO CCOC(=O)N1CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000194868719 222186221 /nfs/dbraw/zinc/18/62/21/222186221.db2.gz UGBVNMJDGZAMQG-INIZCTEOSA-N 1 2 324.425 1.205 20 30 DDEDLO CCOC(=O)N1CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000194868719 222186222 /nfs/dbraw/zinc/18/62/22/222186222.db2.gz UGBVNMJDGZAMQG-INIZCTEOSA-N 1 2 324.425 1.205 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CCC[C@@H]1C[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC000248511527 222236099 /nfs/dbraw/zinc/23/60/99/222236099.db2.gz BJJOAFBPVBLWGD-SOUVJXGZSA-N 1 2 316.467 1.466 20 30 DDEDLO C[C@H](C(=O)N[C@@H](C)CC#N)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000264843043 222337290 /nfs/dbraw/zinc/33/72/90/222337290.db2.gz LLLNXWBKISXKRW-UONOGXRCSA-N 1 2 318.396 1.755 20 30 DDEDLO N#CCN1CCC[C@H](CNC(=O)c2ccc(-n3cc[nH+]c3)nc2)C1 ZINC000266112426 222358757 /nfs/dbraw/zinc/35/87/57/222358757.db2.gz SZGPIVRCQRUZRD-CQSZACIVSA-N 1 2 324.388 1.233 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000344085192 418633263 /nfs/dbraw/zinc/63/32/63/418633263.db2.gz CWUJAVWYIZCUOL-INIZCTEOSA-N 1 2 306.410 1.756 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)[C@@H]1COCC[N@@H+]1CC1CCC1 ZINC000377163173 418706877 /nfs/dbraw/zinc/70/68/77/418706877.db2.gz QAYNEXCLFMDPSS-HNNXBMFYSA-N 1 2 307.438 1.933 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)[C@@H]1COCC[N@H+]1CC1CCC1 ZINC000377163173 418706880 /nfs/dbraw/zinc/70/68/80/418706880.db2.gz QAYNEXCLFMDPSS-HNNXBMFYSA-N 1 2 307.438 1.933 20 30 DDEDLO N#CCOc1ccccc1C(=O)Nc1c[nH+]ccc1-n1ccnn1 ZINC000377438095 418709477 /nfs/dbraw/zinc/70/94/77/418709477.db2.gz MFQMCZGEXWMPQH-UHFFFAOYSA-N 1 2 320.312 1.817 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@H](n3cc(Cl)cn3)C2)CCC1 ZINC000377781822 418714914 /nfs/dbraw/zinc/71/49/14/418714914.db2.gz SUXHBIBBAJTOJT-LBPRGKRZSA-N 1 2 307.785 1.346 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@H](n3cc(Cl)cn3)C2)CCC1 ZINC000377781822 418714915 /nfs/dbraw/zinc/71/49/15/418714915.db2.gz SUXHBIBBAJTOJT-LBPRGKRZSA-N 1 2 307.785 1.346 20 30 DDEDLO CCn1cc[nH+]c1CN1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000361489630 418661404 /nfs/dbraw/zinc/66/14/04/418661404.db2.gz JUEGRHVNIDGWAQ-UHFFFAOYSA-N 1 2 323.400 1.619 20 30 DDEDLO N#CCCCNC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000273417022 222470417 /nfs/dbraw/zinc/47/04/17/222470417.db2.gz YLDZZEYZQYQWBM-MRXNPFEDSA-N 1 2 316.405 1.663 20 30 DDEDLO CO/N=C/C(=O)NC1CCN(c2[nH]c3ccccc3[nH+]2)CC1 ZINC000368051744 418680582 /nfs/dbraw/zinc/68/05/82/418680582.db2.gz PQAPWEMHBQQGLG-MHWRWJLKSA-N 1 2 301.350 1.280 20 30 DDEDLO C[C@@H](C#N)N1CC[C@H]([NH+]2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000375843242 418689590 /nfs/dbraw/zinc/68/95/90/418689590.db2.gz XPGAUXZZIQFTDO-KBPBESRZSA-N 1 2 308.426 1.525 20 30 DDEDLO CC[C@H](C#N)n1nnc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000376382221 418696646 /nfs/dbraw/zinc/69/66/46/418696646.db2.gz CSWAKFLGYVZHMX-CABCVRRESA-N 1 2 312.377 1.721 20 30 DDEDLO CC[C@H](C#N)n1nnc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000376382221 418696650 /nfs/dbraw/zinc/69/66/50/418696650.db2.gz CSWAKFLGYVZHMX-CABCVRRESA-N 1 2 312.377 1.721 20 30 DDEDLO CC[C@@H](C#N)n1nnc([C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000376382224 418696730 /nfs/dbraw/zinc/69/67/30/418696730.db2.gz CSWAKFLGYVZHMX-LSDHHAIUSA-N 1 2 312.377 1.721 20 30 DDEDLO CC[C@@H](C#N)n1nnc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000376382224 418696732 /nfs/dbraw/zinc/69/67/32/418696732.db2.gz CSWAKFLGYVZHMX-LSDHHAIUSA-N 1 2 312.377 1.721 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(c3cc(C#N)cc(C)n3)C2)no1 ZINC000376455748 418697928 /nfs/dbraw/zinc/69/79/28/418697928.db2.gz RUHCITWUEPUASG-AWEZNQCLSA-N 1 2 312.377 1.664 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(c3cc(C#N)cc(C)n3)C2)no1 ZINC000376455748 418697931 /nfs/dbraw/zinc/69/79/31/418697931.db2.gz RUHCITWUEPUASG-AWEZNQCLSA-N 1 2 312.377 1.664 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@H]3CCC[C@@H](C2)C3O)c(C#N)c1C ZINC000376619719 418699657 /nfs/dbraw/zinc/69/96/57/418699657.db2.gz PXFSTGYKJLNBLZ-OCZCAGDBSA-N 1 2 317.389 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@H]3CCC[C@@H](C2)C3O)c(C#N)c1C ZINC000376619719 418699660 /nfs/dbraw/zinc/69/96/60/418699660.db2.gz PXFSTGYKJLNBLZ-OCZCAGDBSA-N 1 2 317.389 1.799 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)N1CCC(c2ccccc2)=N1 ZINC000361999115 418736479 /nfs/dbraw/zinc/73/64/79/418736479.db2.gz HLKLDJBDYZQTHA-UHFFFAOYSA-N 1 2 301.390 1.757 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)N1CCC(c2ccccc2)=N1 ZINC000361999115 418736481 /nfs/dbraw/zinc/73/64/81/418736481.db2.gz HLKLDJBDYZQTHA-UHFFFAOYSA-N 1 2 301.390 1.757 20 30 DDEDLO CN(C(=O)N(CCC#N)CC[NH+]1CCOCC1)C1CCCCC1 ZINC000362771671 418759728 /nfs/dbraw/zinc/75/97/28/418759728.db2.gz ZWXKLYILAGRAQU-UHFFFAOYSA-N 1 2 322.453 1.919 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000371213434 418768265 /nfs/dbraw/zinc/76/82/65/418768265.db2.gz SRXWZRAYIZXHGH-SFHVURJKSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000371213433 418768724 /nfs/dbraw/zinc/76/87/24/418768724.db2.gz SRXWZRAYIZXHGH-GOSISDBHSA-N 1 2 322.449 1.752 20 30 DDEDLO Cn1cc(C[N@@H+]2CCCN(C(=O)c3ccco3)CC2)cc1C#N ZINC000364474526 418778855 /nfs/dbraw/zinc/77/88/55/418778855.db2.gz YWOARIJPYSPCAQ-UHFFFAOYSA-N 1 2 312.373 1.838 20 30 DDEDLO Cn1cc(C[N@H+]2CCCN(C(=O)c3ccco3)CC2)cc1C#N ZINC000364474526 418778858 /nfs/dbraw/zinc/77/88/58/418778858.db2.gz YWOARIJPYSPCAQ-UHFFFAOYSA-N 1 2 312.373 1.838 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2ccc(F)cn2)CC1 ZINC000378467039 418723376 /nfs/dbraw/zinc/72/33/76/418723376.db2.gz PBWMISXMCYAPIS-UHFFFAOYSA-N 1 2 319.384 1.105 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1F ZINC000368922630 418726549 /nfs/dbraw/zinc/72/65/49/418726549.db2.gz ZOSLRWXIWSTSKN-FZMZJTMJSA-N 1 2 300.337 1.970 20 30 DDEDLO Cn1cccc1C[N@@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000371471876 418793735 /nfs/dbraw/zinc/79/37/35/418793735.db2.gz XHAHVQLIPPQTLW-UGSOOPFHSA-N 1 2 306.369 1.871 20 30 DDEDLO Cn1cccc1C[N@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000371471876 418793737 /nfs/dbraw/zinc/79/37/37/418793737.db2.gz XHAHVQLIPPQTLW-UGSOOPFHSA-N 1 2 306.369 1.871 20 30 DDEDLO N#C[C@@H]1CN(C(=O)[C@@H]2CCCN(c3cccc[nH+]3)C2)CCO1 ZINC000365133944 418838364 /nfs/dbraw/zinc/83/83/64/418838364.db2.gz MYBYCDWRIALOHT-ZIAGYGMSSA-N 1 2 300.362 1.049 20 30 DDEDLO C[NH+]1CCN(C[C@@H]2CCCN(c3c(C#N)cccc3C#N)C2)CC1 ZINC000373047471 418924372 /nfs/dbraw/zinc/92/43/72/418924372.db2.gz DGGGNUXGKBHEBE-INIZCTEOSA-N 1 2 323.444 1.894 20 30 DDEDLO Cc1cc(N2CC[C@H](C(=O)NCC(F)(F)F)C2)c(C#N)c[nH+]1 ZINC000425227911 228395648 /nfs/dbraw/zinc/39/56/48/228395648.db2.gz AANRWEUUWQBVRB-JTQLQIEISA-N 1 2 312.295 1.767 20 30 DDEDLO C=CCNC(=O)C[NH2+]Cc1snc(OC)c1Br ZINC000638847135 423154640 /nfs/dbraw/zinc/15/46/40/423154640.db2.gz LURKHYGKAMELFZ-UHFFFAOYSA-N 1 2 320.212 1.306 20 30 DDEDLO C=CCOCC(=O)Nc1cc(C)nn1-c1cc(C)[nH+]c(C)n1 ZINC000429876182 420055302 /nfs/dbraw/zinc/05/53/02/420055302.db2.gz FVPUOCXDHSIXGM-UHFFFAOYSA-N 1 2 301.350 1.729 20 30 DDEDLO Cc1cc(N2CCN(c3cc(C#N)ccn3)CC2)c(C#N)c[nH+]1 ZINC000425207888 420328821 /nfs/dbraw/zinc/32/88/21/420328821.db2.gz LVKOSQJUSCIUFC-UHFFFAOYSA-N 1 2 304.357 1.855 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(c2cncc(C#N)n2)C1 ZINC000420627057 420335188 /nfs/dbraw/zinc/33/51/88/420335188.db2.gz NEPSBLZLGLMLNK-INIZCTEOSA-N 1 2 317.393 1.248 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)/C=C/c1ccc(-n2cc[nH+]c2)cc1 ZINC000492598184 420602527 /nfs/dbraw/zinc/60/25/27/420602527.db2.gz UYHLCSAHUOMIOJ-JEJOPICUSA-N 1 2 309.369 1.776 20 30 DDEDLO N#C[C@@H]1N(C(=O)C=Cc2c[nH]c[nH+]2)CCC[C@]12CCCCO2 ZINC000492895743 420702813 /nfs/dbraw/zinc/70/28/13/420702813.db2.gz INDKAXFGVZAQND-WIJLQKJISA-N 1 2 300.362 1.877 20 30 DDEDLO Cc1nn(C)c(Cl)c1/C=C/C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000492943851 420717604 /nfs/dbraw/zinc/71/76/04/420717604.db2.gz CBUQEWQWQXFIHO-UZYOAWRESA-N 1 2 309.801 1.355 20 30 DDEDLO CC[C@@H](CC#N)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000443033615 420737244 /nfs/dbraw/zinc/73/72/44/420737244.db2.gz AVJYQLJQFBUDDY-AWEZNQCLSA-N 1 2 318.402 1.902 20 30 DDEDLO O=C1CO[C@H]2CC[N@@H+](CC#Cc3ccccc3Cl)C[C@@H]2N1 ZINC000459505421 420835990 /nfs/dbraw/zinc/83/59/90/420835990.db2.gz OGHVDLDJSSZNDT-GJZGRUSLSA-N 1 2 304.777 1.281 20 30 DDEDLO O=C1CO[C@H]2CC[N@H+](CC#Cc3ccccc3Cl)C[C@@H]2N1 ZINC000459505421 420835993 /nfs/dbraw/zinc/83/59/93/420835993.db2.gz OGHVDLDJSSZNDT-GJZGRUSLSA-N 1 2 304.777 1.281 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000455388583 421006075 /nfs/dbraw/zinc/00/60/75/421006075.db2.gz RTGCZPYIPDKFDS-ZDUSSCGKSA-N 1 2 305.426 1.630 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCC[C@H]2CS(C)(=O)=O)cc1C#N ZINC000449528640 421022113 /nfs/dbraw/zinc/02/21/13/421022113.db2.gz QUZCMYDKWASZSQ-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1ccc(C[N@H+]2CCCC[C@H]2CS(C)(=O)=O)cc1C#N ZINC000449528640 421022116 /nfs/dbraw/zinc/02/21/16/421022116.db2.gz QUZCMYDKWASZSQ-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO Cc1noc(CN2CC[NH+](Cc3ccc(C#N)c(C)c3)CC2)n1 ZINC000488137635 421072624 /nfs/dbraw/zinc/07/26/24/421072624.db2.gz VGWPZRVFWGJOHA-UHFFFAOYSA-N 1 2 311.389 1.876 20 30 DDEDLO C#CCNC(=O)C1CCN(c2nc(NC)c3ccccc3[nH+]2)CC1 ZINC000491702295 421201684 /nfs/dbraw/zinc/20/16/84/421201684.db2.gz VOPVVXBUUVBCHY-UHFFFAOYSA-N 1 2 323.400 1.637 20 30 DDEDLO C[C@H]([NH2+]CC(=O)NC1(C#N)CCC1)c1cn(-c2ccccc2)nn1 ZINC000527378116 421383429 /nfs/dbraw/zinc/38/34/29/421383429.db2.gz PTKAPQVCOJARBI-ZDUSSCGKSA-N 1 2 324.388 1.480 20 30 DDEDLO CS(=O)(=O)[C@H]1CCCN(Cc2c[nH+]c3ccc(C#N)cn23)C1 ZINC000360198380 269805330 /nfs/dbraw/zinc/80/53/30/269805330.db2.gz KXFFECIGTFIVHV-AWEZNQCLSA-N 1 2 318.402 1.215 20 30 DDEDLO CN1CC[N@H+](C)C[C@H]1c1noc(CCc2ccc(C#N)cc2)n1 ZINC000547203936 421317557 /nfs/dbraw/zinc/31/75/57/421317557.db2.gz IFYSOKMLLUHKIW-HNNXBMFYSA-N 1 2 311.389 1.645 20 30 DDEDLO CN1CC[N@@H+](C)C[C@H]1c1noc(CCc2ccc(C#N)cc2)n1 ZINC000547203936 421317561 /nfs/dbraw/zinc/31/75/61/421317561.db2.gz IFYSOKMLLUHKIW-HNNXBMFYSA-N 1 2 311.389 1.645 20 30 DDEDLO Cn1nc(C2CC2)nc1C[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000563052074 421446691 /nfs/dbraw/zinc/44/66/91/421446691.db2.gz DKFLTWSMSKTXRO-AWEZNQCLSA-N 1 2 324.388 1.612 20 30 DDEDLO Cn1nc(C2CC2)nc1C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000563052074 421446694 /nfs/dbraw/zinc/44/66/94/421446694.db2.gz DKFLTWSMSKTXRO-AWEZNQCLSA-N 1 2 324.388 1.612 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NCCOc1ccc2c(c1)OCO2 ZINC000565170632 421596702 /nfs/dbraw/zinc/59/67/02/421596702.db2.gz FZZXWVRQHPQBKD-LBPRGKRZSA-N 1 2 319.361 1.192 20 30 DDEDLO CCOC(=O)c1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000565050340 421595618 /nfs/dbraw/zinc/59/56/18/421595618.db2.gz ABBNIQWQCPRKQF-MRXNPFEDSA-N 1 2 303.362 1.437 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCC=C(c2ccccn2)C1 ZINC000566423576 421605597 /nfs/dbraw/zinc/60/55/97/421605597.db2.gz CNUJNCAEYCEVFS-CYBMUJFWSA-N 1 2 314.389 1.571 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCC=C(c2ccccn2)C1 ZINC000566423576 421605598 /nfs/dbraw/zinc/60/55/98/421605598.db2.gz CNUJNCAEYCEVFS-CYBMUJFWSA-N 1 2 314.389 1.571 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@H]1CCN(c2ccccc2)C1 ZINC000567995885 421616656 /nfs/dbraw/zinc/61/66/56/421616656.db2.gz YCVHDKYWHWRPSN-WMLDXEAASA-N 1 2 300.406 1.473 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1c(C#N)cccc1[N+](=O)[O-] ZINC000569790718 421627552 /nfs/dbraw/zinc/62/75/52/421627552.db2.gz VGVRTBJOJCRUJF-GFCCVEGCSA-N 1 2 304.306 1.052 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1c(C#N)cccc1[N+](=O)[O-] ZINC000569790718 421627554 /nfs/dbraw/zinc/62/75/54/421627554.db2.gz VGVRTBJOJCRUJF-GFCCVEGCSA-N 1 2 304.306 1.052 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2nc3cc(Cl)ccn3c2C#N)CCO1 ZINC000553053510 421599276 /nfs/dbraw/zinc/59/92/76/421599276.db2.gz ZLSASBKWPZVRHM-NSHDSACASA-N 1 2 319.796 1.992 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2nc3cc(Cl)ccn3c2C#N)CCO1 ZINC000553053510 421599278 /nfs/dbraw/zinc/59/92/78/421599278.db2.gz ZLSASBKWPZVRHM-NSHDSACASA-N 1 2 319.796 1.992 20 30 DDEDLO COc1ccc(CN2CC[C@H]([NH2+]CCC#N)C2=O)c(OC)c1 ZINC000566129633 421603206 /nfs/dbraw/zinc/60/32/06/421603206.db2.gz GWPSLHUXKPCTIU-AWEZNQCLSA-N 1 2 303.362 1.308 20 30 DDEDLO C[C@@H]1[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)CCN1c1ccccc1 ZINC000571050323 421684699 /nfs/dbraw/zinc/68/46/99/421684699.db2.gz OUZULJDEKSUJEP-KYJSFNMBSA-N 1 2 314.433 1.861 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)N[C@@H](Cc1ccccc1)C(C)=O ZINC000519832625 421733515 /nfs/dbraw/zinc/73/35/15/421733515.db2.gz KDVFGGBBSIGUCN-HOTGVXAUSA-N 1 2 301.390 1.585 20 30 DDEDLO COC(=O)C[N@H+](CCC(=O)N(C)CCC#N)Cc1ccccc1 ZINC000538955016 421745604 /nfs/dbraw/zinc/74/56/04/421745604.db2.gz ZOYBWMODBWMUKC-UHFFFAOYSA-N 1 2 317.389 1.424 20 30 DDEDLO COC(=O)C[N@@H+](CCC(=O)N(C)CCC#N)Cc1ccccc1 ZINC000538955016 421745607 /nfs/dbraw/zinc/74/56/07/421745607.db2.gz ZOYBWMODBWMUKC-UHFFFAOYSA-N 1 2 317.389 1.424 20 30 DDEDLO COc1cc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)ccc1Cl ZINC000573210506 421931955 /nfs/dbraw/zinc/93/19/55/421931955.db2.gz LMAQKYHBCNOPMX-OAHLLOKOSA-N 1 2 309.797 1.851 20 30 DDEDLO C=CCn1c(C2CCCC2)nnc1N(C)CC[NH+]1CCOCC1 ZINC000574653820 422154265 /nfs/dbraw/zinc/15/42/65/422154265.db2.gz WAMVJCBMPFLHAL-UHFFFAOYSA-N 1 2 319.453 1.890 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)CC2(CCCC2)O1 ZINC000592186147 422332135 /nfs/dbraw/zinc/33/21/35/422332135.db2.gz ADQLRGSHVSFCIL-CJNGLKHVSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)CC2(CCCC2)O1 ZINC000592186147 422332139 /nfs/dbraw/zinc/33/21/39/422332139.db2.gz ADQLRGSHVSFCIL-CJNGLKHVSA-N 1 2 305.422 1.828 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](CC(=O)NCC1(C#N)CC1)C(F)(F)F ZINC000577075435 422380759 /nfs/dbraw/zinc/38/07/59/422380759.db2.gz DGIVZANZPZVZOP-SECBINFHSA-N 1 2 300.284 1.876 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC000593844538 422341369 /nfs/dbraw/zinc/34/13/69/422341369.db2.gz AVIIEIUWAPAZQL-UHFFFAOYSA-N 1 2 308.345 2.382 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)C(C)(C)c1c[nH]c[nH+]1 ZINC000593844538 422341373 /nfs/dbraw/zinc/34/13/73/422341373.db2.gz AVIIEIUWAPAZQL-UHFFFAOYSA-N 1 2 308.345 2.382 20 30 DDEDLO CN(C)c1nc(N)nc(C[NH2+]C2(c3ccc(C#N)cc3)CCC2)n1 ZINC000594033094 422343209 /nfs/dbraw/zinc/34/32/09/422343209.db2.gz HSKNDAXKSHSPHS-UHFFFAOYSA-N 1 2 323.404 1.560 20 30 DDEDLO CC(C)(CNc1nc(C#N)c(Cl)s1)[NH+]1CCOCC1 ZINC000590568632 422319850 /nfs/dbraw/zinc/31/98/50/422319850.db2.gz JGBQMPDTUXRJLI-UHFFFAOYSA-N 1 2 300.815 2.191 20 30 DDEDLO C=CCNc1nnc(SCC[NH+]2C[C@@H](C)O[C@H](C)C2)s1 ZINC000577295390 422384401 /nfs/dbraw/zinc/38/44/01/422384401.db2.gz WEKOULBJDQPDRD-GHMZBOCLSA-N 1 2 314.480 2.337 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000634511613 422465304 /nfs/dbraw/zinc/46/53/04/422465304.db2.gz VZAOAPFCLZTDPN-HNNXBMFYSA-N 1 2 318.421 1.145 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2ccccc2C#N)CC1 ZINC000579139871 422715499 /nfs/dbraw/zinc/71/54/99/422715499.db2.gz QWAWRMXFPDEAOK-AWEZNQCLSA-N 1 2 323.400 1.809 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2ccccc2C#N)CC1 ZINC000579139871 422715512 /nfs/dbraw/zinc/71/55/12/422715512.db2.gz QWAWRMXFPDEAOK-AWEZNQCLSA-N 1 2 323.400 1.809 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(C)C2CCCCC2)nn1 ZINC000640775847 423178048 /nfs/dbraw/zinc/17/80/48/423178048.db2.gz ZBTNBYBMBYWUST-UHFFFAOYSA-N 1 2 303.410 1.182 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(Cc2[nH+]ccn2CC)CC1 ZINC000648307764 423310602 /nfs/dbraw/zinc/31/06/02/423310602.db2.gz XICQDZKHIVOJFM-CABCVRRESA-N 1 2 318.421 1.138 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2C[C@@H]3CCCC[C@@H]3NC2=O)nn1 ZINC000641096395 423383782 /nfs/dbraw/zinc/38/37/82/423383782.db2.gz PPGVEUWFRXFYKP-AEGPPILISA-N 1 2 301.394 1.011 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@](C)(CO)c2cccc(O)c2)nn1 ZINC000653677535 423575419 /nfs/dbraw/zinc/57/54/19/423575419.db2.gz WGEPFRFQXRBXJA-MRXNPFEDSA-N 1 2 302.378 1.557 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000649181967 423662570 /nfs/dbraw/zinc/66/25/70/423662570.db2.gz MGNTXLJBEOKCOH-NSHDSACASA-N 1 2 321.327 1.513 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000661547794 423884869 /nfs/dbraw/zinc/88/48/69/423884869.db2.gz UDPGMKGOMFKUNF-AWEZNQCLSA-N 1 2 304.394 1.418 20 30 DDEDLO C=C[C@H](O)C(=O)N1CC[NH+](CCOc2ccc(Cl)cc2)CC1 ZINC000665398762 424799146 /nfs/dbraw/zinc/79/91/46/424799146.db2.gz XQXFHTHGIKYBPA-HNNXBMFYSA-N 1 2 324.808 1.410 20 30 DDEDLO C[C@@H]1CC[C@H](O)C[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000399224409 267029991 /nfs/dbraw/zinc/02/99/91/267029991.db2.gz XBCJFTQESXQSDV-OCCSQVGLSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@@H]1CC[C@H](O)C[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000399224409 267029994 /nfs/dbraw/zinc/02/99/94/267029994.db2.gz XBCJFTQESXQSDV-OCCSQVGLSA-N 1 2 308.403 1.177 20 30 DDEDLO Cc1ccc([C@@H](C[NH+]2CCOCC2)Nc2ccnc(C#N)n2)cc1 ZINC000519181555 268044189 /nfs/dbraw/zinc/04/41/89/268044189.db2.gz GPZKIZLIDFONHD-MRXNPFEDSA-N 1 2 323.400 1.564 20 30 DDEDLO N#Cc1ccc(OCC(=O)Nc2c[nH+]ccc2-n2ccnn2)cc1 ZINC000377451884 268171543 /nfs/dbraw/zinc/17/15/43/268171543.db2.gz JEGDSSUQMWYJHJ-UHFFFAOYSA-N 1 2 320.312 1.551 20 30 DDEDLO N#Cc1cccc(-n2ccc(C(=O)NCCCn3cc[nH+]c3)n2)c1 ZINC000342361685 268230489 /nfs/dbraw/zinc/23/04/89/268230489.db2.gz ANRZHJMGLBOLFY-UHFFFAOYSA-N 1 2 320.356 1.761 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(c3ncc(F)cn3)CC2)c1F ZINC000354024804 268247225 /nfs/dbraw/zinc/24/72/25/268247225.db2.gz UPAZHLJUGBIREM-UHFFFAOYSA-N 1 2 315.327 1.949 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CC[C@H]3CC[C@@H](C2)[S@@]3=O)cc1 ZINC000528785137 268262739 /nfs/dbraw/zinc/26/27/39/268262739.db2.gz RXGFWIFZNPWBJK-SGRMJCNGSA-N 1 2 317.414 1.070 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CC[C@H]3CC[C@@H](C2)[S@@]3=O)cc1 ZINC000528785137 268262740 /nfs/dbraw/zinc/26/27/40/268262740.db2.gz RXGFWIFZNPWBJK-SGRMJCNGSA-N 1 2 317.414 1.070 20 30 DDEDLO N#Cc1cccc(CC(=O)N2CC[NH+](Cc3cccnc3)CC2)c1 ZINC000530911041 268273232 /nfs/dbraw/zinc/27/32/32/268273232.db2.gz ZKYSSSXPRLHMTD-UHFFFAOYSA-N 1 2 320.396 1.840 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1cc(C#N)ccc1Cl ZINC000228884009 288071011 /nfs/dbraw/zinc/07/10/11/288071011.db2.gz FCKGSIWWXYQYSE-SNVBAGLBSA-N 1 2 313.810 1.536 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cc(C#N)ccc1Cl ZINC000228884009 288071013 /nfs/dbraw/zinc/07/10/13/288071013.db2.gz FCKGSIWWXYQYSE-SNVBAGLBSA-N 1 2 313.810 1.536 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000338250730 279152845 /nfs/dbraw/zinc/15/28/45/279152845.db2.gz HWERVNURHPLOFT-RDTXWAMCSA-N 1 2 319.368 1.631 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000338250730 279152847 /nfs/dbraw/zinc/15/28/47/279152847.db2.gz HWERVNURHPLOFT-RDTXWAMCSA-N 1 2 319.368 1.631 20 30 DDEDLO C[C@@H]1C[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)CCCO1 ZINC000177042669 288250299 /nfs/dbraw/zinc/25/02/99/288250299.db2.gz SFHTXIIIWPQTNN-DGCLKSJQSA-N 1 2 305.403 1.836 20 30 DDEDLO C[C@@H]1C[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)CCCO1 ZINC000177042669 288250301 /nfs/dbraw/zinc/25/03/01/288250301.db2.gz SFHTXIIIWPQTNN-DGCLKSJQSA-N 1 2 305.403 1.836 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)N(CC)CCC#N)C[C@]2(CCOC2)O1 ZINC000369531855 288282368 /nfs/dbraw/zinc/28/23/68/288282368.db2.gz LXZJNIVHULIUTL-HOCLYGCPSA-N 1 2 309.410 1.018 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)N(CC)CCC#N)C[C@]2(CCOC2)O1 ZINC000369531855 288282370 /nfs/dbraw/zinc/28/23/70/288282370.db2.gz LXZJNIVHULIUTL-HOCLYGCPSA-N 1 2 309.410 1.018 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC3(CCC3)[C@@H]2[C@H]2CCCO2)CCC1 ZINC000377757694 303145281 /nfs/dbraw/zinc/14/52/81/303145281.db2.gz COKQNKPDSFGQPY-HIFRSBDPSA-N 1 2 303.406 1.582 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC3(CCC3)[C@@H]2[C@H]2CCCO2)CCC1 ZINC000377757694 303145282 /nfs/dbraw/zinc/14/52/82/303145282.db2.gz COKQNKPDSFGQPY-HIFRSBDPSA-N 1 2 303.406 1.582 20 30 DDEDLO N#Cc1cnccc1N1CC[NH+](CC(=O)N2CCCCC2)CC1 ZINC000545239896 303486047 /nfs/dbraw/zinc/48/60/47/303486047.db2.gz LUYHBCXDOAVAST-UHFFFAOYSA-N 1 2 313.405 1.088 20 30 DDEDLO Cc1cc(N2CCN(c3ncncc3C#N)CC2)nc(C(C)C)[nH+]1 ZINC000565567685 308033503 /nfs/dbraw/zinc/03/35/03/308033503.db2.gz WDAHSVJMSYCMHB-UHFFFAOYSA-N 1 2 323.404 1.897 20 30 DDEDLO C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)c2ccc(C#N)cc2F)C1 ZINC000574157304 308263396 /nfs/dbraw/zinc/26/33/96/308263396.db2.gz WMLUUEFXVZVYQG-CYBMUJFWSA-N 1 2 315.345 1.019 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3C[C@@]4(CCCO4)[C@H]3C3CC3)cnc12 ZINC000575401936 308276381 /nfs/dbraw/zinc/27/63/81/308276381.db2.gz UNGDIFAQZQNHIB-WBVHZDCISA-N 1 2 309.373 1.744 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3C[C@@]4(CCCO4)[C@H]3C3CC3)cnc12 ZINC000575401936 308276383 /nfs/dbraw/zinc/27/63/83/308276383.db2.gz UNGDIFAQZQNHIB-WBVHZDCISA-N 1 2 309.373 1.744 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Cc1cccc(C#N)c1 ZINC000581046162 308649661 /nfs/dbraw/zinc/64/96/61/308649661.db2.gz ONFXAIMQWIGQRH-AWEZNQCLSA-N 1 2 301.390 1.280 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1cc(F)cc2c1OCOC2 ZINC000517804360 331970799 /nfs/dbraw/zinc/97/07/99/331970799.db2.gz ZABZKCUHQCRAOO-INIZCTEOSA-N 1 2 321.352 1.195 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCC(F)(c3cccnc3)CC2)CCC1 ZINC000560207514 332319155 /nfs/dbraw/zinc/31/91/55/332319155.db2.gz LDNSSYQFQLHCDE-UHFFFAOYSA-N 1 2 316.380 1.905 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)N(C)[C@@H](C)CC#N ZINC000118990238 332359709 /nfs/dbraw/zinc/35/97/09/332359709.db2.gz BJEGDQFQTLJNDA-LBPRGKRZSA-N 1 2 317.393 1.993 20 30 DDEDLO CC1(C)CCCN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)[C@@H]1C#N ZINC000562424259 332591597 /nfs/dbraw/zinc/59/15/97/332591597.db2.gz TUOJIEJPFPIJJW-CYBMUJFWSA-N 1 2 310.361 1.817 20 30 DDEDLO CO[C@@H](C)c1nc(CNC[C@@H](O)C[N@H+](C)CCC#N)cs1 ZINC000562989969 333019908 /nfs/dbraw/zinc/01/99/08/333019908.db2.gz URNZVHQXTLGSTF-WCQYABFASA-N 1 2 312.439 1.146 20 30 DDEDLO CO[C@@H](C)c1nc(CNC[C@@H](O)C[N@@H+](C)CCC#N)cs1 ZINC000562989969 333019910 /nfs/dbraw/zinc/01/99/10/333019910.db2.gz URNZVHQXTLGSTF-WCQYABFASA-N 1 2 312.439 1.146 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC[C@H](OCC(F)(F)F)C2)CCC1 ZINC000525886653 335141849 /nfs/dbraw/zinc/14/18/49/335141849.db2.gz MQRZHTAXXAEYEH-NSHDSACASA-N 1 2 319.327 1.592 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC[C@H](OCC(F)(F)F)C2)CCC1 ZINC000525886653 335141851 /nfs/dbraw/zinc/14/18/51/335141851.db2.gz MQRZHTAXXAEYEH-NSHDSACASA-N 1 2 319.327 1.592 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)NC(=O)NC1CC1)Cc1ccc(CO)o1 ZINC000352819731 336255292 /nfs/dbraw/zinc/25/52/92/336255292.db2.gz XFGXKBSFDBLFSH-UHFFFAOYSA-N 1 2 321.377 1.138 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)NC(=O)NC1CC1)Cc1ccc(CO)o1 ZINC000352819731 336255293 /nfs/dbraw/zinc/25/52/93/336255293.db2.gz XFGXKBSFDBLFSH-UHFFFAOYSA-N 1 2 321.377 1.138 20 30 DDEDLO Cc1cc(N[C@@H]2CCN(C(=O)c3ccccn3)C2)c(C#N)c[nH+]1 ZINC000583200427 337267386 /nfs/dbraw/zinc/26/73/86/337267386.db2.gz QOVKHUFPLFRANK-CQSZACIVSA-N 1 2 307.357 1.405 20 30 DDEDLO C=CCc1cc(C[N@@H+]2C[C@@H](C)O[C@H](CO)C2)cc(OC)c1O ZINC000248866974 341388888 /nfs/dbraw/zinc/38/88/88/341388888.db2.gz BARZSXSUAYSTIZ-DOMZBBRYSA-N 1 2 307.390 1.711 20 30 DDEDLO C=CCc1cc(C[N@H+]2C[C@@H](C)O[C@H](CO)C2)cc(OC)c1O ZINC000248866974 341388889 /nfs/dbraw/zinc/38/88/89/341388889.db2.gz BARZSXSUAYSTIZ-DOMZBBRYSA-N 1 2 307.390 1.711 20 30 DDEDLO Cc1nn(CCC#N)c(C)c1CCC(=O)N[C@H](C)c1[nH]cc[nH+]1 ZINC000552557799 341398755 /nfs/dbraw/zinc/39/87/55/341398755.db2.gz NLJPMKWOSCFPDM-GFCCVEGCSA-N 1 2 314.393 1.947 20 30 DDEDLO COc1ccccc1SCC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000568516763 341673663 /nfs/dbraw/zinc/67/36/63/341673663.db2.gz MWVJGFYRPRVIPN-OAHLLOKOSA-N 1 2 307.419 1.747 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000576947089 341871281 /nfs/dbraw/zinc/87/12/81/341871281.db2.gz JBWPMTZOTMNOLB-BLLLJJGKSA-N 1 2 300.362 1.286 20 30 DDEDLO C#CCCCCNC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000160709926 484067734 /nfs/dbraw/zinc/06/77/34/484067734.db2.gz MKJBTTSJOHAZEW-UHFFFAOYSA-N 1 2 300.406 1.712 20 30 DDEDLO COC(=O)[C@@H]1[C@H]2CCC[C@H]2C[N@@H+]1Cc1cnc2ccc(C#N)cn12 ZINC000367436827 484537878 /nfs/dbraw/zinc/53/78/78/484537878.db2.gz HHBZYWVNNXGQJD-QRTARXTBSA-N 1 2 324.384 1.979 20 30 DDEDLO COC(=O)[C@@H]1[C@H]2CCC[C@H]2C[N@H+]1Cc1cnc2ccc(C#N)cn12 ZINC000367436827 484537884 /nfs/dbraw/zinc/53/78/84/484537884.db2.gz HHBZYWVNNXGQJD-QRTARXTBSA-N 1 2 324.384 1.979 20 30 DDEDLO C=C(C)CNC(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000661043667 484872622 /nfs/dbraw/zinc/87/26/22/484872622.db2.gz CTUPIAGAMRRBPK-KRWDZBQOSA-N 1 2 316.449 1.678 20 30 DDEDLO C=C(C)CNC(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000661043667 484872625 /nfs/dbraw/zinc/87/26/25/484872625.db2.gz CTUPIAGAMRRBPK-KRWDZBQOSA-N 1 2 316.449 1.678 20 30 DDEDLO C=C[C@H](O)C(=O)Nc1ccccc1C[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000666647223 485310956 /nfs/dbraw/zinc/31/09/56/485310956.db2.gz FMGAQBFOVWLJMK-IOASZLSFSA-N 1 2 304.390 1.781 20 30 DDEDLO N#C[C@H]1CCC[C@H]1[NH2+]CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000331686332 534749890 /nfs/dbraw/zinc/74/98/90/534749890.db2.gz VNGMFWJXELWONZ-TZMCWYRMSA-N 1 2 314.345 1.766 20 30 DDEDLO N#Cc1cc(Br)cnc1NC[C@H]1C[NH+]2CCN1CC2 ZINC000297359188 534768647 /nfs/dbraw/zinc/76/86/47/534768647.db2.gz BZAFOTCBLDXIKU-LBPRGKRZSA-N 1 2 322.210 1.127 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000424761212 526434584 /nfs/dbraw/zinc/43/45/84/526434584.db2.gz MRSAZLUDABMYHP-ZDUSSCGKSA-N 1 2 318.421 1.630 20 30 DDEDLO C=C(C)CNC(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000331189811 526436778 /nfs/dbraw/zinc/43/67/78/526436778.db2.gz PAFDZUZQIBZVJE-UHFFFAOYSA-N 1 2 317.393 1.371 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)N(C(C)C)C(C)C)C1=O ZINC000337228071 526502545 /nfs/dbraw/zinc/50/25/45/526502545.db2.gz QTHYGTRAHFHZNX-GJZGRUSLSA-N 1 2 309.454 1.739 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)N(C(C)C)C(C)C)C1=O ZINC000337228071 526502549 /nfs/dbraw/zinc/50/25/49/526502549.db2.gz QTHYGTRAHFHZNX-GJZGRUSLSA-N 1 2 309.454 1.739 20 30 DDEDLO C#CC(C)(C)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000491669159 526659749 /nfs/dbraw/zinc/65/97/49/526659749.db2.gz ZNELHCUMCYDHJF-ZDUSSCGKSA-N 1 2 304.394 1.011 20 30 DDEDLO C#CC(C)(C)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000491669159 526659754 /nfs/dbraw/zinc/65/97/54/526659754.db2.gz ZNELHCUMCYDHJF-ZDUSSCGKSA-N 1 2 304.394 1.011 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000341331821 526702198 /nfs/dbraw/zinc/70/21/98/526702198.db2.gz QXLPBGSVNSQETQ-KGLIPLIRSA-N 1 2 318.421 1.630 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCCc1ccc(OC)c(OC)c1)C1CC1 ZINC000491440744 526883616 /nfs/dbraw/zinc/88/36/16/526883616.db2.gz RCMPEZOUSVBLCZ-UHFFFAOYSA-N 1 2 316.401 1.460 20 30 DDEDLO C#CC[N@H+](CC(=O)NCCc1ccc(OC)c(OC)c1)C1CC1 ZINC000491440744 526883621 /nfs/dbraw/zinc/88/36/21/526883621.db2.gz RCMPEZOUSVBLCZ-UHFFFAOYSA-N 1 2 316.401 1.460 20 30 DDEDLO C#CC[N@@H+](CC[C@@H]1CC1(Cl)Cl)[C@@H]1CCS(=O)(=O)C1 ZINC000491771865 526900610 /nfs/dbraw/zinc/90/06/10/526900610.db2.gz ROJNQYGFVQKJBN-GHMZBOCLSA-N 1 2 310.246 1.693 20 30 DDEDLO C#CC[N@H+](CC[C@@H]1CC1(Cl)Cl)[C@@H]1CCS(=O)(=O)C1 ZINC000491771865 526900616 /nfs/dbraw/zinc/90/06/16/526900616.db2.gz ROJNQYGFVQKJBN-GHMZBOCLSA-N 1 2 310.246 1.693 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3Cc4ccccc4O3)n2C)CC1 ZINC000491675888 526957432 /nfs/dbraw/zinc/95/74/32/526957432.db2.gz VFJLCMFQOASHMZ-INIZCTEOSA-N 1 2 323.400 1.247 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2ncn(-c3ccccc3)n2)CC1 ZINC000491069415 526971609 /nfs/dbraw/zinc/97/16/09/526971609.db2.gz VZSCGQQBQRYRPI-UHFFFAOYSA-N 1 2 323.400 1.229 20 30 DDEDLO C=CCn1c(C(C)C)nnc1N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000337839519 527292877 /nfs/dbraw/zinc/29/28/77/527292877.db2.gz DZPFBSQXZIBDQS-AWEZNQCLSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CCC[C@@](O)(CO)C2)c1C ZINC000459642456 527294043 /nfs/dbraw/zinc/29/40/43/527294043.db2.gz BTGCQELPBDNEQO-KRWDZBQOSA-N 1 2 306.406 1.293 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CCC[C@@](O)(CO)C2)c1C ZINC000459642456 527294046 /nfs/dbraw/zinc/29/40/46/527294046.db2.gz BTGCQELPBDNEQO-KRWDZBQOSA-N 1 2 306.406 1.293 20 30 DDEDLO C#C[C@H](NC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1)C(C)(C)C ZINC000491771531 527386666 /nfs/dbraw/zinc/38/66/66/527386666.db2.gz OQLUQPQPYXYTNY-KGLIPLIRSA-N 1 2 317.437 1.846 20 30 DDEDLO C=CCCn1cc(C(=O)NCc2[nH+]ccn2CC(C)C)nn1 ZINC000424681592 527525384 /nfs/dbraw/zinc/52/53/84/527525384.db2.gz GJMOTZLFUIAABN-UHFFFAOYSA-N 1 2 302.382 1.637 20 30 DDEDLO CC1CCC2(CC(=O)N(C[C@@H](O)C[N@H+](C)CCC#N)C2=O)CC1 ZINC000414125242 528208406 /nfs/dbraw/zinc/20/84/06/528208406.db2.gz DATYCXBICIUZGF-UUCFBXCCSA-N 1 2 321.421 1.148 20 30 DDEDLO CC1CCC2(CC(=O)N(C[C@@H](O)C[N@@H+](C)CCC#N)C2=O)CC1 ZINC000414125242 528208410 /nfs/dbraw/zinc/20/84/10/528208410.db2.gz DATYCXBICIUZGF-UUCFBXCCSA-N 1 2 321.421 1.148 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000420700001 528714444 /nfs/dbraw/zinc/71/44/44/528714444.db2.gz OCQABRABJPTEAU-LLVKDONJSA-N 1 2 310.423 1.514 20 30 DDEDLO CN(C)c1nccc(C=[NH+]Nc2cccc(-c3nn[nH]n3)c2)n1 ZINC000825887558 608388351 /nfs/dbraw/zinc/38/83/51/608388351.db2.gz IZDRRDDHWAPUOR-UHFFFAOYSA-N 1 2 309.337 1.169 20 30 DDEDLO COCC[N@H+]1[C@H](C)CN(C(=O)c2sccc2C#N)C[C@@H]1C ZINC000121632361 696713890 /nfs/dbraw/zinc/71/38/90/696713890.db2.gz VWZMNASKGOSHJU-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO COCC[N@@H+]1[C@H](C)CN(C(=O)c2sccc2C#N)C[C@@H]1C ZINC000121632361 696713892 /nfs/dbraw/zinc/71/38/92/696713892.db2.gz VWZMNASKGOSHJU-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccccc3F)C2)C1 ZINC000972231173 695183698 /nfs/dbraw/zinc/18/36/98/695183698.db2.gz QCUZVNCKNMWODJ-GOSISDBHSA-N 1 2 316.376 1.766 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccccc3F)C2)C1 ZINC000972231173 695183700 /nfs/dbraw/zinc/18/37/00/695183700.db2.gz QCUZVNCKNMWODJ-GOSISDBHSA-N 1 2 316.376 1.766 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3O)C2)C1 ZINC000972356516 695226589 /nfs/dbraw/zinc/22/65/89/695226589.db2.gz YUPKILSNHNZXGE-KRWDZBQOSA-N 1 2 317.389 1.280 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3O)C2)C1 ZINC000972356516 695226591 /nfs/dbraw/zinc/22/65/91/695226591.db2.gz YUPKILSNHNZXGE-KRWDZBQOSA-N 1 2 317.389 1.280 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC000972375542 695233931 /nfs/dbraw/zinc/23/39/31/695233931.db2.gz HLGYLHFIYSWQPZ-OAGGEKHMSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC000972375542 695233932 /nfs/dbraw/zinc/23/39/32/695233932.db2.gz HLGYLHFIYSWQPZ-OAGGEKHMSA-N 1 2 319.405 1.607 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(OC)ns3)C2)C1 ZINC000972392442 695238443 /nfs/dbraw/zinc/23/84/43/695238443.db2.gz KTFYAUMPRRFKAA-OAHLLOKOSA-N 1 2 323.418 1.255 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(OC)ns3)C2)C1 ZINC000972392442 695238446 /nfs/dbraw/zinc/23/84/46/695238446.db2.gz KTFYAUMPRRFKAA-OAHLLOKOSA-N 1 2 323.418 1.255 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3nn(C)cc3Cl)C2)C1 ZINC000972398790 695240002 /nfs/dbraw/zinc/24/00/02/695240002.db2.gz YLWRDGLDVVFYJP-OAHLLOKOSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3nn(C)cc3Cl)C2)C1 ZINC000972398790 695240005 /nfs/dbraw/zinc/24/00/05/695240005.db2.gz YLWRDGLDVVFYJP-OAHLLOKOSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3ccon3)C2)C1 ZINC000972465995 695257717 /nfs/dbraw/zinc/25/77/17/695257717.db2.gz NZZNMJVNVAFHCP-MRXNPFEDSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3ccon3)C2)C1 ZINC000972465995 695257719 /nfs/dbraw/zinc/25/77/19/695257719.db2.gz NZZNMJVNVAFHCP-MRXNPFEDSA-N 1 2 305.378 1.097 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCCC3(C)C)C2)C1 ZINC000972512090 695268961 /nfs/dbraw/zinc/26/89/61/695268961.db2.gz PGUXJCXCNGLIBO-CRAIPNDOSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCCC3(C)C)C2)C1 ZINC000972512090 695268963 /nfs/dbraw/zinc/26/89/63/695268963.db2.gz PGUXJCXCNGLIBO-CRAIPNDOSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3CC(OCC)C3)C2)C1 ZINC000972521282 695272276 /nfs/dbraw/zinc/27/22/76/695272276.db2.gz YURHGKZRVLGOBF-HTWSVDAQSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3CC(OCC)C3)C2)C1 ZINC000972521282 695272277 /nfs/dbraw/zinc/27/22/77/695272277.db2.gz YURHGKZRVLGOBF-HTWSVDAQSA-N 1 2 320.433 1.128 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@H+](C)Cc3cc(C)on3)C2)nc1 ZINC000972754351 695329417 /nfs/dbraw/zinc/32/94/17/695329417.db2.gz XJTHGFKEWSNVAP-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3cc(C)on3)C2)nc1 ZINC000972754351 695329418 /nfs/dbraw/zinc/32/94/18/695329418.db2.gz XJTHGFKEWSNVAP-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1c(F)cc(F)c(F)c1F ZINC000797646831 700017757 /nfs/dbraw/zinc/01/77/57/700017757.db2.gz LRXQCLMDVFLPHZ-LBPRGKRZSA-N 1 2 314.282 1.957 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1c(F)cc(F)c(F)c1F ZINC000797646831 700017759 /nfs/dbraw/zinc/01/77/59/700017759.db2.gz LRXQCLMDVFLPHZ-LBPRGKRZSA-N 1 2 314.282 1.957 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000974584750 695690731 /nfs/dbraw/zinc/69/07/31/695690731.db2.gz RIYIXKLPHBVSAH-SWLSCSKDSA-N 1 2 302.422 1.842 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000974584750 695690733 /nfs/dbraw/zinc/69/07/33/695690733.db2.gz RIYIXKLPHBVSAH-SWLSCSKDSA-N 1 2 302.422 1.842 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000746457453 700024989 /nfs/dbraw/zinc/02/49/89/700024989.db2.gz CUHKPQREKFYLMQ-UHFFFAOYSA-N 1 2 302.334 1.439 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000746457453 700024992 /nfs/dbraw/zinc/02/49/92/700024992.db2.gz CUHKPQREKFYLMQ-UHFFFAOYSA-N 1 2 302.334 1.439 20 30 DDEDLO C#Cc1ccc(C(=O)NCCc2cn3cccc(C)c3[nH+]2)nc1 ZINC000746540553 700029559 /nfs/dbraw/zinc/02/95/59/700029559.db2.gz NZCDHOXQHSADJD-UHFFFAOYSA-N 1 2 304.353 1.992 20 30 DDEDLO C[C@@H](O)C(=O)N1CC[NH+](Cc2ccc(O[C@H](C)C#N)cc2)CC1 ZINC000093706533 696601466 /nfs/dbraw/zinc/60/14/66/696601466.db2.gz VQRSXSDEFPSNLU-ZIAGYGMSSA-N 1 2 317.389 1.002 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2onc3c2CCCC3)CC1 ZINC000981615030 696842217 /nfs/dbraw/zinc/84/22/17/696842217.db2.gz DYNOTYLHURUGOP-UHFFFAOYSA-N 1 2 301.390 1.725 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2onc3c2CCCC3)CC1 ZINC000981615030 696842219 /nfs/dbraw/zinc/84/22/19/696842219.db2.gz DYNOTYLHURUGOP-UHFFFAOYSA-N 1 2 301.390 1.725 20 30 DDEDLO CS(=O)(=O)CC[N@H+](CCOc1ccc(C#N)cc1)C1CC1 ZINC000156510074 696963932 /nfs/dbraw/zinc/96/39/32/696963932.db2.gz FMCYXYMIQGIKFK-UHFFFAOYSA-N 1 2 308.403 1.446 20 30 DDEDLO CS(=O)(=O)CC[N@@H+](CCOc1ccc(C#N)cc1)C1CC1 ZINC000156510074 696963933 /nfs/dbraw/zinc/96/39/33/696963933.db2.gz FMCYXYMIQGIKFK-UHFFFAOYSA-N 1 2 308.403 1.446 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[N@@H+](Cc2cnc(C)o2)CC1 ZINC000981994337 696997000 /nfs/dbraw/zinc/99/70/00/696997000.db2.gz DMCUKHOOFHCWKH-CVEARBPZSA-N 1 2 319.405 1.608 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[N@H+](Cc2cnc(C)o2)CC1 ZINC000981994337 696997003 /nfs/dbraw/zinc/99/70/03/696997003.db2.gz DMCUKHOOFHCWKH-CVEARBPZSA-N 1 2 319.405 1.608 20 30 DDEDLO O=C(c1ncc[nH]1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000981120061 697009415 /nfs/dbraw/zinc/00/94/15/697009415.db2.gz UNSAWEJZUPTMNY-UHFFFAOYSA-N 1 2 308.385 1.609 20 30 DDEDLO O=C(c1ncc[nH]1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000981120061 697009418 /nfs/dbraw/zinc/00/94/18/697009418.db2.gz UNSAWEJZUPTMNY-UHFFFAOYSA-N 1 2 308.385 1.609 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)c3nc[nH]n3)CC2)s1 ZINC000982285139 697083658 /nfs/dbraw/zinc/08/36/58/697083658.db2.gz UWYGVAYDZYLYBU-UHFFFAOYSA-N 1 2 316.390 1.086 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)c3nc[nH]n3)CC2)s1 ZINC000982285139 697083660 /nfs/dbraw/zinc/08/36/60/697083660.db2.gz UWYGVAYDZYLYBU-UHFFFAOYSA-N 1 2 316.390 1.086 20 30 DDEDLO C#CCCCNC(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000173326555 697376914 /nfs/dbraw/zinc/37/69/14/697376914.db2.gz VBZNNWJXOOROQR-UHFFFAOYSA-N 1 2 315.417 1.936 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000984471110 697389391 /nfs/dbraw/zinc/38/93/91/697389391.db2.gz TYVGXTHAJAVHBX-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO CCS(=O)(=O)CC[N@H+](C)CCCOc1ccc(C#N)cc1 ZINC000179115773 697433899 /nfs/dbraw/zinc/43/38/99/697433899.db2.gz JISQPFVMQWYLCG-UHFFFAOYSA-N 1 2 310.419 1.694 20 30 DDEDLO CCS(=O)(=O)CC[N@@H+](C)CCCOc1ccc(C#N)cc1 ZINC000179115773 697433901 /nfs/dbraw/zinc/43/39/01/697433901.db2.gz JISQPFVMQWYLCG-UHFFFAOYSA-N 1 2 310.419 1.694 20 30 DDEDLO CSCCON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181849413 697465666 /nfs/dbraw/zinc/46/56/66/697465666.db2.gz BSDPNRODLCUKPG-CQSZACIVSA-N 1 2 309.435 1.539 20 30 DDEDLO CSCCON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181849413 697465668 /nfs/dbraw/zinc/46/56/68/697465668.db2.gz BSDPNRODLCUKPG-CQSZACIVSA-N 1 2 309.435 1.539 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C2CCN(C(=O)C#CC3CC3)CC2)s1 ZINC000985428870 697509823 /nfs/dbraw/zinc/50/98/23/697509823.db2.gz JZDZFLBEBFFPRF-UHFFFAOYSA-N 1 2 318.446 1.683 20 30 DDEDLO Cc1nnc(C[N@H+](C)C2CCN(C(=O)C#CC3CC3)CC2)s1 ZINC000985428870 697509825 /nfs/dbraw/zinc/50/98/25/697509825.db2.gz JZDZFLBEBFFPRF-UHFFFAOYSA-N 1 2 318.446 1.683 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N1CCC(N(C)CC#N)CC1)C2 ZINC000985514002 697523470 /nfs/dbraw/zinc/52/34/70/697523470.db2.gz ITKKEVHXMGSEDC-CYBMUJFWSA-N 1 2 315.421 1.269 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N1CCC(N(C)CC#N)CC1)CC2 ZINC000985514002 697523472 /nfs/dbraw/zinc/52/34/72/697523472.db2.gz ITKKEVHXMGSEDC-CYBMUJFWSA-N 1 2 315.421 1.269 20 30 DDEDLO C[C@H]1[C@H]([NH2+]Cc2cnsn2)CCN1C(=O)c1ccc(C#N)[nH]1 ZINC000986164853 697712946 /nfs/dbraw/zinc/71/29/46/697712946.db2.gz BPLWQMIICHNFEU-JOYOIKCWSA-N 1 2 316.390 1.131 20 30 DDEDLO C[C@H]1C[N@H+](CCOC(=O)COc2cccc(C#N)c2)CCO1 ZINC000800140832 700193613 /nfs/dbraw/zinc/19/36/13/700193613.db2.gz KCRWXIVUNVKSLK-ZDUSSCGKSA-N 1 2 304.346 1.201 20 30 DDEDLO C[C@H]1C[N@@H+](CCOC(=O)COc2cccc(C#N)c2)CCO1 ZINC000800140832 700193614 /nfs/dbraw/zinc/19/36/14/700193614.db2.gz KCRWXIVUNVKSLK-ZDUSSCGKSA-N 1 2 304.346 1.201 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)Cc2c[nH+]cn2C)c1 ZINC000776341079 698097067 /nfs/dbraw/zinc/09/70/67/698097067.db2.gz NUTPFKNXVNERQG-UHFFFAOYSA-N 1 2 310.357 1.041 20 30 DDEDLO C=CC[N@@H+](C)CN1CC(=O)N(c2cccc([N+](=O)[O-])c2)C1=O ZINC000777544688 698199857 /nfs/dbraw/zinc/19/98/57/698199857.db2.gz MQSPWOAWCQDLAR-UHFFFAOYSA-N 1 2 304.306 1.439 20 30 DDEDLO C=CC[N@H+](C)CN1CC(=O)N(c2cccc([N+](=O)[O-])c2)C1=O ZINC000777544688 698199860 /nfs/dbraw/zinc/19/98/60/698199860.db2.gz MQSPWOAWCQDLAR-UHFFFAOYSA-N 1 2 304.306 1.439 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+](C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000245501274 698333939 /nfs/dbraw/zinc/33/39/39/698333939.db2.gz CHZLEFAWSIEGGU-JSGCOSHPSA-N 1 2 308.403 1.596 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+](C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000245501274 698333943 /nfs/dbraw/zinc/33/39/43/698333943.db2.gz CHZLEFAWSIEGGU-JSGCOSHPSA-N 1 2 308.403 1.596 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+](C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000245501275 698333986 /nfs/dbraw/zinc/33/39/86/698333986.db2.gz CHZLEFAWSIEGGU-OCCSQVGLSA-N 1 2 308.403 1.596 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+](C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000245501275 698333989 /nfs/dbraw/zinc/33/39/89/698333989.db2.gz CHZLEFAWSIEGGU-OCCSQVGLSA-N 1 2 308.403 1.596 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[N@H+]1CCCN(C(N)=O)CC1 ZINC000779157457 698399871 /nfs/dbraw/zinc/39/98/71/698399871.db2.gz XSBXCGNMYNXWDI-UHFFFAOYSA-N 1 2 321.808 1.938 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[N@@H+]1CCCN(C(N)=O)CC1 ZINC000779157457 698399877 /nfs/dbraw/zinc/39/98/77/698399877.db2.gz XSBXCGNMYNXWDI-UHFFFAOYSA-N 1 2 321.808 1.938 20 30 DDEDLO C[C@@H]1C[C@@H]([NH2+]Cc2cnsn2)CN1C(=O)c1ccc(C#N)[nH]1 ZINC000988642681 698425619 /nfs/dbraw/zinc/42/56/19/698425619.db2.gz AUCDHZNNYNMUAY-MWLCHTKSSA-N 1 2 316.390 1.131 20 30 DDEDLO CC(=NNC1=[NH+]C[C@H](C)N1)c1cnn(-c2ccc(F)cc2)c1C ZINC000779792305 698465720 /nfs/dbraw/zinc/46/57/20/698465720.db2.gz KXPRKUHXHJQKFC-JTQLQIEISA-N 1 2 314.368 1.981 20 30 DDEDLO Nc1nc(Cl)c(C=[NH+]N[C@H]2CCCOC2)c(N2CCCC2)n1 ZINC000780258773 698501531 /nfs/dbraw/zinc/50/15/31/698501531.db2.gz VVBRJGMKAKAGGD-JTQLQIEISA-N 1 2 324.816 1.415 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCc2cnccn2)CC1 ZINC000989419745 698610702 /nfs/dbraw/zinc/61/07/02/698610702.db2.gz MJOGKMCSWGETKH-UHFFFAOYSA-N 1 2 308.813 1.696 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCc2cnccn2)CC1 ZINC000989419745 698610706 /nfs/dbraw/zinc/61/07/06/698610706.db2.gz MJOGKMCSWGETKH-UHFFFAOYSA-N 1 2 308.813 1.696 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2ccc(-c3cnn(C)c3)o2)CC1 ZINC000989445739 698624281 /nfs/dbraw/zinc/62/42/81/698624281.db2.gz GXWXHSZELPFZRU-UHFFFAOYSA-N 1 2 312.373 1.461 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2ccc(-c3cnn(C)c3)o2)CC1 ZINC000989445739 698624284 /nfs/dbraw/zinc/62/42/84/698624284.db2.gz GXWXHSZELPFZRU-UHFFFAOYSA-N 1 2 312.373 1.461 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@H+]1C[C@H]2C[C@@]2(CO)C1 ZINC000782625226 698753939 /nfs/dbraw/zinc/75/39/39/698753939.db2.gz YBTSCOHZRGTHKB-ABAIWWIYSA-N 1 2 305.765 1.464 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1C[C@H]2C[C@@]2(CO)C1 ZINC000782625226 698753940 /nfs/dbraw/zinc/75/39/40/698753940.db2.gz YBTSCOHZRGTHKB-ABAIWWIYSA-N 1 2 305.765 1.464 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN2CCC[C@@](C)(C#N)C2)C1 ZINC000784012943 698902628 /nfs/dbraw/zinc/90/26/28/698902628.db2.gz KNJOIEPUVMQYLQ-CJNGLKHVSA-N 1 2 301.394 1.319 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC[C@@H](N3CCCCC3=O)C2)CCC1 ZINC000784342700 698930935 /nfs/dbraw/zinc/93/09/35/698930935.db2.gz ZGSYCKFRIHTCEO-CQSZACIVSA-N 1 2 318.421 1.026 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC[C@@H](N3CCCCC3=O)C2)CCC1 ZINC000784342700 698930938 /nfs/dbraw/zinc/93/09/38/698930938.db2.gz ZGSYCKFRIHTCEO-CQSZACIVSA-N 1 2 318.421 1.026 20 30 DDEDLO COC[C@@H](c1ccc(Cl)cc1)[N@H+](C)CC(=O)NCCC#N ZINC000786177818 699132060 /nfs/dbraw/zinc/13/20/60/699132060.db2.gz ORVCRLVGXCHLDM-AWEZNQCLSA-N 1 2 309.797 1.989 20 30 DDEDLO COC[C@@H](c1ccc(Cl)cc1)[N@@H+](C)CC(=O)NCCC#N ZINC000786177818 699132061 /nfs/dbraw/zinc/13/20/61/699132061.db2.gz ORVCRLVGXCHLDM-AWEZNQCLSA-N 1 2 309.797 1.989 20 30 DDEDLO C(=NN[C@@H]1CCOC1)c1ccc(C=[NH+]N[C@@H]2CCOC2)cc1 ZINC000788440697 699313991 /nfs/dbraw/zinc/31/39/91/699313991.db2.gz WXMCXOMQVARGKE-HZPDHXFCSA-N 1 2 302.378 1.111 20 30 DDEDLO C(=NN[C@H]1CCOC1)c1ccc(C=[NH+]N[C@H]2CCOC2)cc1 ZINC000788440695 699314030 /nfs/dbraw/zinc/31/40/30/699314030.db2.gz WXMCXOMQVARGKE-HOTGVXAUSA-N 1 2 302.378 1.111 20 30 DDEDLO NC(=[NH+]OC[C@H]1CCCS(=O)(=O)C1)c1ccc(Cl)cc1 ZINC000789589183 699397506 /nfs/dbraw/zinc/39/75/06/699397506.db2.gz QBQRSRQJYMKELB-SNVBAGLBSA-N 1 2 316.810 1.802 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cc(C(F)(F)F)c[nH]c1=O ZINC000730097591 699500593 /nfs/dbraw/zinc/50/05/93/699500593.db2.gz BIBPMIMVNZCWFO-NSHDSACASA-N 1 2 313.279 1.842 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cc(C(F)(F)F)c[nH]c1=O ZINC000730097591 699500594 /nfs/dbraw/zinc/50/05/94/699500594.db2.gz BIBPMIMVNZCWFO-NSHDSACASA-N 1 2 313.279 1.842 20 30 DDEDLO C=CCNC(=O)[C@@H](C)OC(=O)[C@H]1CCC[N@@H+]1Cc1ccccc1 ZINC000732356523 699556910 /nfs/dbraw/zinc/55/69/10/699556910.db2.gz JBKGRJODWMWYFY-GDBMZVCRSA-N 1 2 316.401 1.885 20 30 DDEDLO C=CCNC(=O)[C@@H](C)OC(=O)[C@H]1CCC[N@H+]1Cc1ccccc1 ZINC000732356523 699556911 /nfs/dbraw/zinc/55/69/11/699556911.db2.gz JBKGRJODWMWYFY-GDBMZVCRSA-N 1 2 316.401 1.885 20 30 DDEDLO N#Cc1c(=O)n(C[N@@H+]2CC[C@]3(CCOC3)C2)cc2c1CCCC2 ZINC000793503890 699762002 /nfs/dbraw/zinc/76/20/02/699762002.db2.gz KTRMZKLYERSSLX-SFHVURJKSA-N 1 2 313.401 1.669 20 30 DDEDLO N#Cc1c(=O)n(C[N@H+]2CC[C@]3(CCOC3)C2)cc2c1CCCC2 ZINC000793503890 699762003 /nfs/dbraw/zinc/76/20/03/699762003.db2.gz KTRMZKLYERSSLX-SFHVURJKSA-N 1 2 313.401 1.669 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cccc(F)c2C#N)[C@@H](C)C1 ZINC000796073466 699910338 /nfs/dbraw/zinc/91/03/38/699910338.db2.gz PBHFKBXLUIMJMR-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cccc(F)c2C#N)[C@@H](C)C1 ZINC000796073466 699910339 /nfs/dbraw/zinc/91/03/39/699910339.db2.gz PBHFKBXLUIMJMR-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO NC(=O)c1ccc(N=NC2CCN(c3cccc[nH+]3)CC2)nn1 ZINC000756831744 700643104 /nfs/dbraw/zinc/64/31/04/700643104.db2.gz LNBRVCCITXOFFN-UHFFFAOYSA-N 1 2 311.349 1.039 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[NH2+]Cc2cc(C(N)=O)no2)cc1 ZINC000757905170 700675675 /nfs/dbraw/zinc/67/56/75/700675675.db2.gz GNILTAIZUJLJCL-JTQLQIEISA-N 1 2 300.318 1.354 20 30 DDEDLO COC(=O)[C@@]1(C)CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766633114 701057723 /nfs/dbraw/zinc/05/77/23/701057723.db2.gz OWNVPMXQTCMSGU-KRWDZBQOSA-N 1 2 315.373 1.051 20 30 DDEDLO COC(=O)[C@@]1(C)CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766633114 701057725 /nfs/dbraw/zinc/05/77/25/701057725.db2.gz OWNVPMXQTCMSGU-KRWDZBQOSA-N 1 2 315.373 1.051 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)N2CCC[C@@H](c3[nH+]ccn3C)C2)n1 ZINC000770546737 701283119 /nfs/dbraw/zinc/28/31/19/701283119.db2.gz VFGGECOJUGHFSW-LLVKDONJSA-N 1 2 313.365 1.437 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCC[C@H](N3CCCCC3=O)C2)C1=O ZINC000839997297 701899956 /nfs/dbraw/zinc/89/99/56/701899956.db2.gz VDHZBOXUJXVBQE-HNNXBMFYSA-N 1 2 320.437 1.344 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCC[C@H](N3CCCCC3=O)C2)C1=O ZINC000839997297 701899960 /nfs/dbraw/zinc/89/99/60/701899960.db2.gz VDHZBOXUJXVBQE-HNNXBMFYSA-N 1 2 320.437 1.344 20 30 DDEDLO CCc1ccc(C#CC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cc1 ZINC000815785569 701931759 /nfs/dbraw/zinc/93/17/59/701931759.db2.gz GVDWACXJILSNIJ-GOSISDBHSA-N 1 2 312.413 1.534 20 30 DDEDLO CO[C@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C[C@H]1C ZINC000840121302 701981021 /nfs/dbraw/zinc/98/10/21/701981021.db2.gz BOUVWFOBHCFRQQ-WBMJQRKESA-N 1 2 301.390 1.523 20 30 DDEDLO CO[C@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C[C@H]1C ZINC000840121302 701981023 /nfs/dbraw/zinc/98/10/23/701981023.db2.gz BOUVWFOBHCFRQQ-WBMJQRKESA-N 1 2 301.390 1.523 20 30 DDEDLO C=C(Cl)CNC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000868274598 702035576 /nfs/dbraw/zinc/03/55/76/702035576.db2.gz DWMUKPWSUVRYDY-UHFFFAOYSA-N 1 2 309.801 1.099 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@H](CNc3ccnc(C#N)n3)C2)cc1 ZINC000866189544 706643820 /nfs/dbraw/zinc/64/38/20/706643820.db2.gz BJKOBVTVCISGFG-MRXNPFEDSA-N 1 2 323.400 1.392 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@H](CNc3ccnc(C#N)n3)C2)cc1 ZINC000866189544 706643822 /nfs/dbraw/zinc/64/38/22/706643822.db2.gz BJKOBVTVCISGFG-MRXNPFEDSA-N 1 2 323.400 1.392 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=S)Nc1ccccc1C#N ZINC000840861830 702264948 /nfs/dbraw/zinc/26/49/48/702264948.db2.gz MSIUQGWLJHWAKD-UHFFFAOYSA-N 1 2 318.446 1.955 20 30 DDEDLO C[C@H]([NH2+]CC1CCS(=O)(=O)CC1)c1cccc(C#N)c1O ZINC000866312264 706671239 /nfs/dbraw/zinc/67/12/39/706671239.db2.gz ZWXUJVDXNZJJIP-NSHDSACASA-N 1 2 308.403 1.739 20 30 DDEDLO C=CCNC(=O)[C@@H](C)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000879451219 706683894 /nfs/dbraw/zinc/68/38/94/706683894.db2.gz ULVSWGFTWMPHIH-OAHLLOKOSA-N 1 2 317.433 1.504 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](C[C@@H]2CN(C3CC3)C(=O)O2)CC1 ZINC000844310291 703010626 /nfs/dbraw/zinc/01/06/26/703010626.db2.gz QQOPPIUEDCQXIC-CABCVRRESA-N 1 2 306.410 1.135 20 30 DDEDLO CC1(C)OCC(CO)([NH2+]Cc2ccc(C#N)cc2[N+](=O)[O-])CO1 ZINC000844324995 703014280 /nfs/dbraw/zinc/01/42/80/703014280.db2.gz RRIIVPZTJSYXFL-UHFFFAOYSA-N 1 2 321.333 1.070 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)COC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845277940 703137842 /nfs/dbraw/zinc/13/78/42/703137842.db2.gz LHGQAXMNLXQSRL-SWLSCSKDSA-N 1 2 306.366 1.432 20 30 DDEDLO C=CCCn1cc(CN2CCC[C@@H](c3[nH+]ccn3C)C2)nn1 ZINC000846878953 703345894 /nfs/dbraw/zinc/34/58/94/703345894.db2.gz KOVJPJAWYVHKDU-CQSZACIVSA-N 1 2 300.410 1.967 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CC(=O)Nc2ccc(OC)cc2OC)C1 ZINC000847025155 703367419 /nfs/dbraw/zinc/36/74/19/703367419.db2.gz VFRGKOQWKXKQGC-ZDUSSCGKSA-N 1 2 302.374 1.988 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CC(=O)Nc2ccc(OC)cc2OC)C1 ZINC000847025155 703367421 /nfs/dbraw/zinc/36/74/21/703367421.db2.gz VFRGKOQWKXKQGC-ZDUSSCGKSA-N 1 2 302.374 1.988 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000847030451 703368777 /nfs/dbraw/zinc/36/87/77/703368777.db2.gz NDVHAHLQLNAMMA-AWEZNQCLSA-N 1 2 306.369 1.765 20 30 DDEDLO C#C[C@H]1CCC[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000847030451 703368778 /nfs/dbraw/zinc/36/87/78/703368778.db2.gz NDVHAHLQLNAMMA-AWEZNQCLSA-N 1 2 306.369 1.765 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](C)C(=O)OCc1ccccc1 ZINC000848256785 703532930 /nfs/dbraw/zinc/53/29/30/703532930.db2.gz LNLUHPAPHSKPCM-HOCLYGCPSA-N 1 2 314.385 1.332 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)C(=O)OCc1ccccc1 ZINC000848256785 703532932 /nfs/dbraw/zinc/53/29/32/703532932.db2.gz LNLUHPAPHSKPCM-HOCLYGCPSA-N 1 2 314.385 1.332 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+]([C@H](C)C(=O)N2CCC(C)CC2)CC1 ZINC000831687661 706753602 /nfs/dbraw/zinc/75/36/02/706753602.db2.gz VDKHBPBAHWXADB-CQSZACIVSA-N 1 2 321.421 1.412 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CC[C@H]([C@@H](C)O)C3)[nH+]cn2)C1 ZINC000848751967 703584004 /nfs/dbraw/zinc/58/40/04/703584004.db2.gz XZMODYZVUWXJLL-KFWWJZLASA-N 1 2 300.406 1.533 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CC[C@H]([C@@H](C)O)C3)nc[nH+]2)C1 ZINC000848751967 703584006 /nfs/dbraw/zinc/58/40/06/703584006.db2.gz XZMODYZVUWXJLL-KFWWJZLASA-N 1 2 300.406 1.533 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCC(C#N)(C(=O)OC)CC1 ZINC000831691561 706754679 /nfs/dbraw/zinc/75/46/79/706754679.db2.gz QWPVKGLRIVYAAO-CQSZACIVSA-N 1 2 321.421 1.578 20 30 DDEDLO C#CCO[C@H](C)C(=O)OCC1CCN(c2cccc[nH+]2)CC1 ZINC000851400631 703798198 /nfs/dbraw/zinc/79/81/98/703798198.db2.gz KJPKYEGGTOVHBD-CQSZACIVSA-N 1 2 302.374 1.880 20 30 DDEDLO N#CCCCCCC(=O)N1CCO[C@H](C[NH+]2CCOCC2)C1 ZINC000870061030 703906500 /nfs/dbraw/zinc/90/65/00/703906500.db2.gz UGTAAOZIKATWEJ-OAHLLOKOSA-N 1 2 309.410 1.020 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)Nc1nnc(C(C)(C)C)s1 ZINC000852750629 704113739 /nfs/dbraw/zinc/11/37/39/704113739.db2.gz AMVDITFVSVAJBR-UHFFFAOYSA-N 1 2 324.450 1.746 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)Nc1nnc(C(C)(C)C)s1 ZINC000852750629 704113744 /nfs/dbraw/zinc/11/37/44/704113744.db2.gz AMVDITFVSVAJBR-UHFFFAOYSA-N 1 2 324.450 1.746 20 30 DDEDLO C#CCNC(=O)C1CCN(c2[nH+]ccc3c(N)cccc32)CC1 ZINC000853243500 704223615 /nfs/dbraw/zinc/22/36/15/704223615.db2.gz KDEMJRODIDUBNP-UHFFFAOYSA-N 1 2 308.385 1.783 20 30 DDEDLO C#CCSCCNC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000820193397 704238776 /nfs/dbraw/zinc/23/87/76/704238776.db2.gz XHUDCXOEQNTBEM-JTQLQIEISA-N 1 2 305.325 1.859 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C(C)(C)[C@@H]1[C@H]1CCCO1)[C@@H](C)COC ZINC000853534847 704262184 /nfs/dbraw/zinc/26/21/84/704262184.db2.gz NAFYPIABBNTSJL-ZNMIVQPWSA-N 1 2 310.438 1.883 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C(C)(C)[C@@H]1[C@H]1CCCO1)[C@@H](C)COC ZINC000853534847 704262185 /nfs/dbraw/zinc/26/21/85/704262185.db2.gz NAFYPIABBNTSJL-ZNMIVQPWSA-N 1 2 310.438 1.883 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCC2(C#N)CCCCC2)CCO1 ZINC000853604887 704283924 /nfs/dbraw/zinc/28/39/24/704283924.db2.gz IXHMJYKJQIHKGM-CQSZACIVSA-N 1 2 308.426 1.480 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCC2(C#N)CCCCC2)CCO1 ZINC000853604887 704283927 /nfs/dbraw/zinc/28/39/27/704283927.db2.gz IXHMJYKJQIHKGM-CQSZACIVSA-N 1 2 308.426 1.480 20 30 DDEDLO C#CCCOC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000858883526 704768273 /nfs/dbraw/zinc/76/82/73/704768273.db2.gz QXCUFRPEUWWQGW-UHFFFAOYSA-N 1 2 302.374 1.768 20 30 DDEDLO CC[N@H+](CCC#N)[C@H]1CN(C(=O)OC(C)(C)C)CC[C@]1(C)O ZINC000859155728 704805893 /nfs/dbraw/zinc/80/58/93/704805893.db2.gz TYOFFNOZCPTVEY-BBRMVZONSA-N 1 2 311.426 1.982 20 30 DDEDLO CC[N@@H+](CCC#N)[C@H]1CN(C(=O)OC(C)(C)C)CC[C@]1(C)O ZINC000859155728 704805899 /nfs/dbraw/zinc/80/58/99/704805899.db2.gz TYOFFNOZCPTVEY-BBRMVZONSA-N 1 2 311.426 1.982 20 30 DDEDLO CC(C)NS(=O)(=O)c1ccc(NNC=C2CC2(F)F)[nH+]c1 ZINC000834939549 707150428 /nfs/dbraw/zinc/15/04/28/707150428.db2.gz HTHDKQXPFHNDGM-SECBINFHSA-N 1 2 318.349 1.821 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC000875766071 705503969 /nfs/dbraw/zinc/50/39/69/705503969.db2.gz HWZAXFXLLCOHPX-MRXNPFEDSA-N 1 2 315.417 1.762 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC000875766071 705503971 /nfs/dbraw/zinc/50/39/71/705503971.db2.gz HWZAXFXLLCOHPX-MRXNPFEDSA-N 1 2 315.417 1.762 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](CCNC(=O)OC(C)(C)C)CC1 ZINC000824867670 705546450 /nfs/dbraw/zinc/54/64/50/705546450.db2.gz UKVDRDWMNBSPJO-UHFFFAOYSA-N 1 2 311.382 1.290 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000825025815 705580826 /nfs/dbraw/zinc/58/08/26/705580826.db2.gz PWFRRDXAVWZKJT-UHFFFAOYSA-N 1 2 313.357 1.980 20 30 DDEDLO CCc1ccc(C#CC(=O)NC2CC([NH+]3CCOCC3)C2)cc1 ZINC000862107515 705653908 /nfs/dbraw/zinc/65/39/08/705653908.db2.gz KJOITWCJYMDTSY-UHFFFAOYSA-N 1 2 312.413 1.580 20 30 DDEDLO C=CCC1(C(=O)N2CCC(N3CC[NH+](C)CC3)CC2)CCC1 ZINC000827440424 706002369 /nfs/dbraw/zinc/00/23/69/706002369.db2.gz XOKAMGHDAIOPPB-UHFFFAOYSA-N 1 2 305.466 1.971 20 30 DDEDLO CN1C(=O)NCC12CC[NH+](Cc1cc(C#N)cnc1Cl)CC2 ZINC000877599849 706155023 /nfs/dbraw/zinc/15/50/23/706155023.db2.gz FHBYYUGTWUQRKM-UHFFFAOYSA-N 1 2 319.796 1.596 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)NCCCn1cc[nH+]c1)C2 ZINC000864425553 706165136 /nfs/dbraw/zinc/16/51/36/706165136.db2.gz JZIFOTJUHURFTK-INIZCTEOSA-N 1 2 309.373 1.611 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](CCC(=O)CC(C)C)CC2)c1C#N ZINC000828415214 706175450 /nfs/dbraw/zinc/17/54/50/706175450.db2.gz MJTZWPVPJZVYDC-UHFFFAOYSA-N 1 2 317.437 1.728 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H]3CS(=O)(=O)C[C@H]3C2)ccc1C#N ZINC000877789082 706213958 /nfs/dbraw/zinc/21/39/58/706213958.db2.gz HXVLDBPAFAYXFI-HUUCEWRRSA-N 1 2 320.414 1.433 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H]3CS(=O)(=O)C[C@H]3C2)ccc1C#N ZINC000877789082 706213960 /nfs/dbraw/zinc/21/39/60/706213960.db2.gz HXVLDBPAFAYXFI-HUUCEWRRSA-N 1 2 320.414 1.433 20 30 DDEDLO C=CC[N@@H+](CCc1ccc(C(N)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000878242306 706336328 /nfs/dbraw/zinc/33/63/28/706336328.db2.gz OHYNTSVQSDJUMQ-OAHLLOKOSA-N 1 2 322.430 1.003 20 30 DDEDLO C=CC[N@H+](CCc1ccc(C(N)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000878242306 706336329 /nfs/dbraw/zinc/33/63/29/706336329.db2.gz OHYNTSVQSDJUMQ-OAHLLOKOSA-N 1 2 322.430 1.003 20 30 DDEDLO C=C(Cl)C[C@H]1NC(=O)N([C@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000865305160 706399802 /nfs/dbraw/zinc/39/98/02/706399802.db2.gz KRNSMVSMBYECPU-NWDGAFQWSA-N 1 2 320.780 1.723 20 30 DDEDLO N#Cc1cc(F)cc(S(=O)(=O)NCCCCn2cc[nH+]c2)c1 ZINC000867053888 706883069 /nfs/dbraw/zinc/88/30/69/706883069.db2.gz LLOWZMJWUGBKAL-UHFFFAOYSA-N 1 2 322.365 1.653 20 30 DDEDLO COC(=O)[C@@]1(O)CC[N@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC000880562538 707019019 /nfs/dbraw/zinc/01/90/19/707019019.db2.gz NDWRFSWIDSYSNT-OAHLLOKOSA-N 1 2 324.764 1.352 20 30 DDEDLO COC(=O)[C@@]1(O)CC[N@@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC000880562538 707019020 /nfs/dbraw/zinc/01/90/20/707019020.db2.gz NDWRFSWIDSYSNT-OAHLLOKOSA-N 1 2 324.764 1.352 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cnn(-c3ccccc3)n2)nn1 ZINC000881227102 707158631 /nfs/dbraw/zinc/15/86/31/707158631.db2.gz KFGGTDUBWZZVFK-UHFFFAOYSA-N 1 2 307.361 1.020 20 30 DDEDLO C=CCONC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000837049338 707571772 /nfs/dbraw/zinc/57/17/72/707571772.db2.gz FCGFXNQQFRTTAB-IUODEOHRSA-N 1 2 320.393 1.344 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000882903179 707845059 /nfs/dbraw/zinc/84/50/59/707845059.db2.gz XIZAWKUWIXLQKZ-GHMZBOCLSA-N 1 2 305.300 1.820 20 30 DDEDLO C#CCC1(O)CCN(c2cc(N3CCCCC3)[nH+]cn2)CC1 ZINC000882971085 707878642 /nfs/dbraw/zinc/87/86/42/707878642.db2.gz XNIGVDZTPSRYCR-UHFFFAOYSA-N 1 2 300.406 1.822 20 30 DDEDLO C#CCC1(O)CCN(c2cc(N3CCCCC3)nc[nH+]2)CC1 ZINC000882971085 707878646 /nfs/dbraw/zinc/87/86/46/707878646.db2.gz XNIGVDZTPSRYCR-UHFFFAOYSA-N 1 2 300.406 1.822 20 30 DDEDLO N#Cc1cc(Cn2cc(C[NH+]3CCC(O)CC3)nn2)ccc1F ZINC000883991500 708094136 /nfs/dbraw/zinc/09/41/36/708094136.db2.gz FWVRXBMSJFBSJH-UHFFFAOYSA-N 1 2 315.352 1.294 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc2c1CCCC2 ZINC000884047096 708116596 /nfs/dbraw/zinc/11/65/96/708116596.db2.gz FKKRIEJCEZJYLV-AWEZNQCLSA-N 1 2 302.374 1.951 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H](C)c1ccc(F)cc1 ZINC000884062943 708123003 /nfs/dbraw/zinc/12/30/03/708123003.db2.gz HNNMYUXLNBIZMV-RISCZKNCSA-N 1 2 308.353 1.792 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1c(F)cccc1Cl ZINC000884069604 708125510 /nfs/dbraw/zinc/12/55/10/708125510.db2.gz JDSBJMAQKSUKPR-LBPRGKRZSA-N 1 2 314.744 1.542 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2ccc(F)cc2)CCC1 ZINC000884105019 708141401 /nfs/dbraw/zinc/14/14/01/708141401.db2.gz BIHQNDDMBRNHGI-AWEZNQCLSA-N 1 2 320.364 1.768 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc2c(c1)CC(C)(C)O2 ZINC000884158476 708165089 /nfs/dbraw/zinc/16/50/89/708165089.db2.gz CUWVLLQVMJVMEG-ZDUSSCGKSA-N 1 2 318.373 1.785 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCO[C@H](c2ccsc2)C1 ZINC000884162324 708166802 /nfs/dbraw/zinc/16/68/02/708166802.db2.gz BTNJTANOVLVWRH-STQMWFEESA-N 1 2 324.402 1.095 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C1CCCC1)C(F)(F)F ZINC000884171044 708170784 /nfs/dbraw/zinc/17/07/84/708170784.db2.gz LSVDOBJTPVENOD-CMPLNLGQSA-N 1 2 322.327 1.670 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2sc(C)nc2C)CC1 ZINC000921439343 713771810 /nfs/dbraw/zinc/77/18/10/713771810.db2.gz YIPXBEJKRKINMY-UHFFFAOYSA-N 1 2 313.448 1.136 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000884379019 708271187 /nfs/dbraw/zinc/27/11/87/708271187.db2.gz LSVUQTQVJFYJGL-ILXRZTDVSA-N 1 2 324.421 1.098 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC1([C@@H]2CCCCO2)CCC1 ZINC000884463683 708307244 /nfs/dbraw/zinc/30/72/44/708307244.db2.gz PKFZKPGNGIJFMG-KBPBESRZSA-N 1 2 324.421 1.289 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1N[C@@H]1CC[C@@H](O)[C@@H](O)CC1 ZINC000884625486 708343381 /nfs/dbraw/zinc/34/33/81/708343381.db2.gz KUJUPNPLRVEDRD-QKDCVEJESA-N 1 2 304.390 1.950 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cccc3ccoc32)C1 ZINC000885509428 708562584 /nfs/dbraw/zinc/56/25/84/708562584.db2.gz SMTPZAQQJXMJGE-AWEZNQCLSA-N 1 2 318.398 1.809 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cccc3ccoc32)C1 ZINC000885509428 708562588 /nfs/dbraw/zinc/56/25/88/708562588.db2.gz SMTPZAQQJXMJGE-AWEZNQCLSA-N 1 2 318.398 1.809 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2cccc(C#N)c2)C1 ZINC000885514323 708563900 /nfs/dbraw/zinc/56/39/00/708563900.db2.gz XOMXTXCTPJYELP-INIZCTEOSA-N 1 2 317.414 1.075 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2cccc(C#N)c2)C1 ZINC000885514323 708563904 /nfs/dbraw/zinc/56/39/04/708563904.db2.gz XOMXTXCTPJYELP-INIZCTEOSA-N 1 2 317.414 1.075 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@H+](C[C@H](O)CC2(C#N)CCC2)CCO1 ZINC000886309106 708739770 /nfs/dbraw/zinc/73/97/70/708739770.db2.gz UBLICKLVFQVWEN-UKRRQHHQSA-N 1 2 310.394 1.085 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@@H+](C[C@H](O)CC2(C#N)CCC2)CCO1 ZINC000886309106 708739772 /nfs/dbraw/zinc/73/97/72/708739772.db2.gz UBLICKLVFQVWEN-UKRRQHHQSA-N 1 2 310.394 1.085 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@](CO)(C(F)(F)F)C1 ZINC000886793649 708845926 /nfs/dbraw/zinc/84/59/26/708845926.db2.gz QETZRJAZYQCFJH-QWHCGFSZSA-N 1 2 321.343 1.288 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@@](CO)(C(F)(F)F)C1 ZINC000886793649 708845930 /nfs/dbraw/zinc/84/59/30/708845930.db2.gz QETZRJAZYQCFJH-QWHCGFSZSA-N 1 2 321.343 1.288 20 30 DDEDLO O=C(NCC[NH+]1Cc2ccccc2C1)c1cccc(C#CCO)c1 ZINC000900367643 709558892 /nfs/dbraw/zinc/55/88/92/709558892.db2.gz SJQIRAQUFGVFRR-UHFFFAOYSA-N 1 2 320.392 1.776 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000890250656 709800828 /nfs/dbraw/zinc/80/08/28/709800828.db2.gz JQXKMMGSXQBRQS-JKSUJKDBSA-N 1 2 314.389 1.861 20 30 DDEDLO C/C(=C\C(=O)Nc1cc(CC#N)ccn1)C[NH+]1CCOCC1 ZINC000901093505 709922278 /nfs/dbraw/zinc/92/22/78/709922278.db2.gz FCBJUZOOHONWAM-JLHYYAGUSA-N 1 2 300.362 1.365 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)c2cccc(C#CCO)c2)C1 ZINC000901204055 709967578 /nfs/dbraw/zinc/96/75/78/709967578.db2.gz LMRMBCSHJHTYCW-MRXNPFEDSA-N 1 2 309.369 1.394 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(C)cc(C)c2)C1 ZINC000891608067 710247046 /nfs/dbraw/zinc/24/70/46/710247046.db2.gz WTBJAGSTWFZWGI-HNNXBMFYSA-N 1 2 313.401 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(C)cc(C)c2)C1 ZINC000891608067 710247048 /nfs/dbraw/zinc/24/70/48/710247048.db2.gz WTBJAGSTWFZWGI-HNNXBMFYSA-N 1 2 313.401 1.456 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+](CN2C(=O)[C@@H]3C[C@]3(c3ccccc3)C2=O)C1 ZINC000902310441 710710669 /nfs/dbraw/zinc/71/06/69/710710669.db2.gz CRVNXEBSDGTBPQ-SIXWZSSISA-N 1 2 323.396 1.896 20 30 DDEDLO N#CC[C@H]1CCC[N@H+](CN2C(=O)[C@@H]3C[C@]3(c3ccccc3)C2=O)C1 ZINC000902310441 710710675 /nfs/dbraw/zinc/71/06/75/710710675.db2.gz CRVNXEBSDGTBPQ-SIXWZSSISA-N 1 2 323.396 1.896 20 30 DDEDLO CC1(C)CC(=O)N(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1=O ZINC000902599573 710811137 /nfs/dbraw/zinc/81/11/37/710811137.db2.gz GBDHFFURQWPQBC-UHFFFAOYSA-N 1 2 306.362 1.067 20 30 DDEDLO C=CCN1CCN(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1=O ZINC000902608139 710814071 /nfs/dbraw/zinc/81/40/71/710814071.db2.gz KELIUPPWDGNUBV-UHFFFAOYSA-N 1 2 305.378 1.205 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@@H+]2CCC[C@@H](F)C2)CC1 ZINC000902995128 710989723 /nfs/dbraw/zinc/98/97/23/710989723.db2.gz LSMBWOJCNYVMJB-HUUCEWRRSA-N 1 2 310.417 1.113 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@H+]2CCC[C@@H](F)C2)CC1 ZINC000902995128 710989725 /nfs/dbraw/zinc/98/97/25/710989725.db2.gz LSMBWOJCNYVMJB-HUUCEWRRSA-N 1 2 310.417 1.113 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[NH2+][C@@H](c2cnn(C)c2)C1 ZINC000913462756 713226721 /nfs/dbraw/zinc/22/67/21/713226721.db2.gz NYDWDSBKZHMAFW-ZBFHGGJFSA-N 1 2 306.410 1.122 20 30 DDEDLO N#Cc1cnc(Cl)c(C[NH+]2CCC(N3CN=NC3=O)CC2)c1 ZINC000894611840 711214049 /nfs/dbraw/zinc/21/40/49/711214049.db2.gz WBGYHQMCQAVOFB-UHFFFAOYSA-N 1 2 318.768 1.741 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Cc1ccc(C#N)cc1 ZINC000928639373 713245535 /nfs/dbraw/zinc/24/55/35/713245535.db2.gz KMOBSCXMWJZTFO-INIZCTEOSA-N 1 2 301.390 1.328 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2ccsc2Br)CCCN1O ZINC000895156800 711435719 /nfs/dbraw/zinc/43/57/19/711435719.db2.gz GTVGJQKTWNMSRV-MRVPVSSYSA-N 1 2 305.197 1.980 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2csc(-c3ccccn3)n2)CCCN1O ZINC000895164329 711439230 /nfs/dbraw/zinc/43/92/30/711439230.db2.gz FKHYZUUIXMPLLR-LBPRGKRZSA-N 1 2 304.375 1.675 20 30 DDEDLO C=CCSc1ccccc1C(=O)N1CC[N@@H+](C)[C@@H](CO)C1 ZINC000913545175 713252253 /nfs/dbraw/zinc/25/22/53/713252253.db2.gz WMZCLRKIPREFOT-CYBMUJFWSA-N 1 2 306.431 1.713 20 30 DDEDLO C=CCSc1ccccc1C(=O)N1CC[N@H+](C)[C@@H](CO)C1 ZINC000913545175 713252254 /nfs/dbraw/zinc/25/22/54/713252254.db2.gz WMZCLRKIPREFOT-CYBMUJFWSA-N 1 2 306.431 1.713 20 30 DDEDLO N#CCC1(CNC(=O)c2cccn2CC[NH+]2CCOCC2)CC1 ZINC000907935816 712651457 /nfs/dbraw/zinc/65/14/57/712651457.db2.gz NNDGOQAIKUCRAS-UHFFFAOYSA-N 1 2 316.405 1.244 20 30 DDEDLO C/C(=C/C(=O)NCC1CCC(C#N)CC1)C[NH+]1CCOCC1 ZINC000907991899 712661591 /nfs/dbraw/zinc/66/15/91/712661591.db2.gz BGQOHHJTBGGIRM-UVTDQMKNSA-N 1 2 305.422 1.711 20 30 DDEDLO C=CCNC(=S)N[NH+]=C(C)c1ccc(N2CCOCC2)cc1 ZINC000915924227 713435444 /nfs/dbraw/zinc/43/54/44/713435444.db2.gz UZQCMURLZOHJJZ-UHFFFAOYSA-N 1 2 318.446 1.897 20 30 DDEDLO C/C(=C\C(=O)N[C@@H](CC#N)C(F)(F)F)C[NH+]1CCOCC1 ZINC000928800616 713483114 /nfs/dbraw/zinc/48/31/14/713483114.db2.gz NNRDEKYDVKNIEK-UQSGXBNBSA-N 1 2 305.300 1.226 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C(C)(C)C ZINC000919590702 713618370 /nfs/dbraw/zinc/61/83/70/713618370.db2.gz JKSAPDUJVRMTQS-OAHLLOKOSA-N 1 2 321.446 1.909 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C(C)(C)C ZINC000919590702 713618372 /nfs/dbraw/zinc/61/83/72/713618372.db2.gz JKSAPDUJVRMTQS-OAHLLOKOSA-N 1 2 321.446 1.909 20 30 DDEDLO C#CCC[N@@H+](Cc1ccc(OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000920415975 713667719 /nfs/dbraw/zinc/66/77/19/713667719.db2.gz OOJYDCJMMUVZKF-OAHLLOKOSA-N 1 2 307.415 1.708 20 30 DDEDLO C#CCC[N@H+](Cc1ccc(OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000920415975 713667721 /nfs/dbraw/zinc/66/77/21/713667721.db2.gz OOJYDCJMMUVZKF-OAHLLOKOSA-N 1 2 307.415 1.708 20 30 DDEDLO Cc1nsc(N2CCC[N@H+](Cc3cncc(C#N)c3)CC2)n1 ZINC000929732044 713678727 /nfs/dbraw/zinc/67/87/27/713678727.db2.gz WUBWUAFQAPZEMZ-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO Cc1nsc(N2CCC[N@@H+](Cc3cncc(C#N)c3)CC2)n1 ZINC000929732044 713678730 /nfs/dbraw/zinc/67/87/30/713678730.db2.gz WUBWUAFQAPZEMZ-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO COC(=O)c1cncc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)c1 ZINC000930828579 713930466 /nfs/dbraw/zinc/93/04/66/713930466.db2.gz KYGUBQCRKSGGEU-CHWSQXEVSA-N 1 2 302.378 1.286 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2cn(-c3ccc(Cl)cc3)nn2)CC1 ZINC000931145322 714015169 /nfs/dbraw/zinc/01/51/69/714015169.db2.gz HDWIAMGAGJCUBH-UHFFFAOYSA-N 1 2 316.792 1.881 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(F)cc(C(=O)OC)c2)CC1 ZINC000931346884 714073990 /nfs/dbraw/zinc/07/39/90/714073990.db2.gz RMDSDBQNLGZCGI-UHFFFAOYSA-N 1 2 318.348 1.393 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cccc3c2CC(=O)CC3)C1 ZINC000923559827 714403001 /nfs/dbraw/zinc/40/30/01/714403001.db2.gz UPLYGPBFOCQRSD-OAHLLOKOSA-N 1 2 310.397 1.572 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cccc3c2CC(=O)CC3)C1 ZINC000923559827 714403003 /nfs/dbraw/zinc/40/30/03/714403003.db2.gz UPLYGPBFOCQRSD-OAHLLOKOSA-N 1 2 310.397 1.572 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccccc2[S@](=O)CC)C1 ZINC000923591041 714420510 /nfs/dbraw/zinc/42/05/10/714420510.db2.gz LZQBZJFFTYSXBK-JLCFBVMHSA-N 1 2 318.442 1.642 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccccc2[S@](=O)CC)C1 ZINC000923591041 714420511 /nfs/dbraw/zinc/42/05/11/714420511.db2.gz LZQBZJFFTYSXBK-JLCFBVMHSA-N 1 2 318.442 1.642 20 30 DDEDLO N#C[C@@]12C[N@H+]([C@@H]3CCc4ccccc43)C[C@H]1CS(=O)(=O)C2 ZINC000933112743 714516510 /nfs/dbraw/zinc/51/65/10/714516510.db2.gz CARZHBITGDPEAY-IMJJTQAJSA-N 1 2 302.399 1.544 20 30 DDEDLO N#C[C@@]12C[N@@H+]([C@@H]3CCc4ccccc43)C[C@H]1CS(=O)(=O)C2 ZINC000933112743 714516513 /nfs/dbraw/zinc/51/65/13/714516513.db2.gz CARZHBITGDPEAY-IMJJTQAJSA-N 1 2 302.399 1.544 20 30 DDEDLO CC(C)(O)C[C@H](O)C[N@@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933632093 714634985 /nfs/dbraw/zinc/63/49/85/714634985.db2.gz NOKCAJIBBWZEOO-JKSUJKDBSA-N 1 2 304.390 1.453 20 30 DDEDLO CC(C)(O)C[C@H](O)C[N@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933632093 714634987 /nfs/dbraw/zinc/63/49/87/714634987.db2.gz NOKCAJIBBWZEOO-JKSUJKDBSA-N 1 2 304.390 1.453 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOC[C@H]1C[C@@H]1CCCO1 ZINC000933678557 714646562 /nfs/dbraw/zinc/64/65/62/714646562.db2.gz HTEXBUQPRLQWLF-CVEARBPZSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOC[C@H]1C[C@@H]1CCCO1 ZINC000933678557 714646565 /nfs/dbraw/zinc/64/65/65/714646565.db2.gz HTEXBUQPRLQWLF-CVEARBPZSA-N 1 2 308.422 1.457 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1C[C@@H]1CCCO1 ZINC000933680461 714647191 /nfs/dbraw/zinc/64/71/91/714647191.db2.gz XGERTTORHWWRAZ-HOTGVXAUSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1C[C@@H]1CCCO1 ZINC000933680461 714647193 /nfs/dbraw/zinc/64/71/93/714647193.db2.gz XGERTTORHWWRAZ-HOTGVXAUSA-N 1 2 310.438 1.681 20 30 DDEDLO C[C@@H]1CN(C(=O)C[N@H+](C)Cc2cc(C#N)cs2)C[C@@H](C)O1 ZINC000933778052 714669732 /nfs/dbraw/zinc/66/97/32/714669732.db2.gz JGMJUKAKBKFPEQ-VXGBXAGGSA-N 1 2 307.419 1.687 20 30 DDEDLO C[C@@H]1CN(C(=O)C[N@@H+](C)Cc2cc(C#N)cs2)C[C@@H](C)O1 ZINC000933778052 714669736 /nfs/dbraw/zinc/66/97/36/714669736.db2.gz JGMJUKAKBKFPEQ-VXGBXAGGSA-N 1 2 307.419 1.687 20 30 DDEDLO C=CC[C@H]1CC[N@H+]1CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000934462980 714826986 /nfs/dbraw/zinc/82/69/86/714826986.db2.gz FDFNHOOXHYHVLW-LBPRGKRZSA-N 1 2 303.424 1.393 20 30 DDEDLO C=CC[C@H]1CC[N@@H+]1CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000934462980 714826988 /nfs/dbraw/zinc/82/69/88/714826988.db2.gz FDFNHOOXHYHVLW-LBPRGKRZSA-N 1 2 303.424 1.393 20 30 DDEDLO C#Cc1ccc(NC(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)cc1 ZINC000934933541 714932558 /nfs/dbraw/zinc/93/25/58/714932558.db2.gz FRLQFYLJSCVYPQ-ZIAGYGMSSA-N 1 2 301.390 1.899 20 30 DDEDLO C=C1CCC(CNC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@@H]3C2)CC1 ZINC000926543340 715084814 /nfs/dbraw/zinc/08/48/14/715084814.db2.gz QLFUAYPHPBIFFJ-HZPDHXFCSA-N 1 2 307.438 1.847 20 30 DDEDLO C=C1CCC(CNC(=O)N2CC[C@H]3OCC[N@H+](C)[C@@H]3C2)CC1 ZINC000926543340 715084817 /nfs/dbraw/zinc/08/48/17/715084817.db2.gz QLFUAYPHPBIFFJ-HZPDHXFCSA-N 1 2 307.438 1.847 20 30 DDEDLO C=C1CCC(CNC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CC1 ZINC000926547302 715085143 /nfs/dbraw/zinc/08/51/43/715085143.db2.gz BTMVMYGCIPNBNM-MRXNPFEDSA-N 1 2 307.438 1.849 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cn(CC3CC3)nn2)C1 ZINC000957051101 715723399 /nfs/dbraw/zinc/72/33/99/715723399.db2.gz FWBIYPIMMJAGDT-UHFFFAOYSA-N 1 2 303.410 1.411 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000957316591 715831979 /nfs/dbraw/zinc/83/19/79/715831979.db2.gz XEXSLDLVHKDJBP-UHFFFAOYSA-N 1 2 313.401 1.815 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc(NC(=O)NC)c2)CC1 ZINC000957328593 715839071 /nfs/dbraw/zinc/83/90/71/715839071.db2.gz XNEKNSVIVXBMIX-UHFFFAOYSA-N 1 2 302.378 1.382 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc(OCC)cn2)C1 ZINC000957466003 715913265 /nfs/dbraw/zinc/91/32/65/715913265.db2.gz FJDOOJNNOSONCT-UHFFFAOYSA-N 1 2 302.378 1.045 20 30 DDEDLO C=C[C@@H](C(=O)N1CC[NH+](CCn2cccn2)CC1)c1ccccc1 ZINC000957743940 716026601 /nfs/dbraw/zinc/02/66/01/716026601.db2.gz DTWHJFHHXPKEDE-GOSISDBHSA-N 1 2 324.428 1.997 20 30 DDEDLO CC(=O)NC[C@H]1C[N@H+](CC#Cc2ccccc2Cl)C[C@H]1O ZINC000957789762 716048946 /nfs/dbraw/zinc/04/89/46/716048946.db2.gz YPYGLPYBWVPVNQ-GOEBONIOSA-N 1 2 306.793 1.120 20 30 DDEDLO CC(=O)NC[C@H]1C[N@@H+](CC#Cc2ccccc2Cl)C[C@H]1O ZINC000957789762 716048950 /nfs/dbraw/zinc/04/89/50/716048950.db2.gz YPYGLPYBWVPVNQ-GOEBONIOSA-N 1 2 306.793 1.120 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](N(C)C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC000939547295 716316341 /nfs/dbraw/zinc/31/63/41/716316341.db2.gz AAUUCLFOHLQJFG-CQSZACIVSA-N 1 2 316.405 1.368 20 30 DDEDLO COc1nscc1C[N@H+](C)C[C@@H]1CCCN1C(=O)[C@H](C)C#N ZINC000960499715 716582855 /nfs/dbraw/zinc/58/28/55/716582855.db2.gz GAIUASVDLOHOKA-YPMHNXCESA-N 1 2 322.434 1.734 20 30 DDEDLO COc1nscc1C[N@@H+](C)C[C@@H]1CCCN1C(=O)[C@H](C)C#N ZINC000960499715 716582860 /nfs/dbraw/zinc/58/28/60/716582860.db2.gz GAIUASVDLOHOKA-YPMHNXCESA-N 1 2 322.434 1.734 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc[nH]c1C ZINC000958510791 716602058 /nfs/dbraw/zinc/60/20/58/716602058.db2.gz GPVPOLYYECTMNH-ITGUQSILSA-N 1 2 324.222 1.892 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc[nH]c1C ZINC000958510791 716602059 /nfs/dbraw/zinc/60/20/59/716602059.db2.gz GPVPOLYYECTMNH-ITGUQSILSA-N 1 2 324.222 1.892 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000940707335 716923898 /nfs/dbraw/zinc/92/38/98/716923898.db2.gz VTIVTMJFVOPSPN-SUYBPPKGSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCCC[C@H]3C)CC2)C1 ZINC000941541420 717200562 /nfs/dbraw/zinc/20/05/62/717200562.db2.gz ZLUWBRKNXYRCLE-NVXWUHKLSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CC34CCCC4)CC2)C1 ZINC000941626826 717235319 /nfs/dbraw/zinc/23/53/19/717235319.db2.gz XFKQWGPPEZHIGH-MRXNPFEDSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(Cl)cc3)CC2)C1 ZINC000941647078 717242294 /nfs/dbraw/zinc/24/22/94/717242294.db2.gz ZVYDDFBQEBJSQS-UHFFFAOYSA-N 1 2 317.820 1.415 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccc(F)s3)CC2)C1 ZINC000941685085 717256565 /nfs/dbraw/zinc/25/65/65/717256565.db2.gz QQMZYUMXCYOQKK-UHFFFAOYSA-N 1 2 321.421 1.353 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ncc(C)o4)C[C@H]32)cn1 ZINC000962340933 717413705 /nfs/dbraw/zinc/41/37/05/717413705.db2.gz JDQICVSVOPAFQH-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ncc(C)o4)C[C@H]32)cn1 ZINC000962340933 717413708 /nfs/dbraw/zinc/41/37/08/717413708.db2.gz JDQICVSVOPAFQH-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ncc[nH]1 ZINC000966000416 717856313 /nfs/dbraw/zinc/85/63/13/717856313.db2.gz OONAXJNBEHQVLC-XJKSGUPXSA-N 1 2 323.400 1.922 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ncc[nH]1 ZINC000966000416 717856319 /nfs/dbraw/zinc/85/63/19/717856319.db2.gz OONAXJNBEHQVLC-XJKSGUPXSA-N 1 2 323.400 1.922 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2ccc3oc(=O)nc-3[nH]2)C[C@@H]1C ZINC000947924380 719308625 /nfs/dbraw/zinc/30/86/25/719308625.db2.gz CEGXFOWBGCNOKF-WDEREUQCSA-N 1 2 314.345 1.144 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc3oc(=O)nc-3[nH]2)C[C@@H]1C ZINC000947924380 719308628 /nfs/dbraw/zinc/30/86/28/719308628.db2.gz CEGXFOWBGCNOKF-WDEREUQCSA-N 1 2 314.345 1.144 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cn2nccc2C)C1 ZINC000968370608 719538120 /nfs/dbraw/zinc/53/81/20/719538120.db2.gz ZYTRPVUWIKJLRT-FZMZJTMJSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cn2nccc2C)C1 ZINC000968370608 719538124 /nfs/dbraw/zinc/53/81/24/719538124.db2.gz ZYTRPVUWIKJLRT-FZMZJTMJSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2[nH]ncc2C(F)(F)F)CC1 ZINC000948703445 719657460 /nfs/dbraw/zinc/65/74/60/719657460.db2.gz QDEMLCPKLZNNMZ-UHFFFAOYSA-N 1 2 302.300 1.762 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CCCOC1 ZINC000948898874 719773167 /nfs/dbraw/zinc/77/31/67/719773167.db2.gz OIZBNQHYMGEXSX-SJLPKXTDSA-N 1 2 312.413 1.762 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CCCOC1 ZINC000948898874 719773168 /nfs/dbraw/zinc/77/31/68/719773168.db2.gz OIZBNQHYMGEXSX-SJLPKXTDSA-N 1 2 312.413 1.762 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ncn(C)n1 ZINC000948913555 719782310 /nfs/dbraw/zinc/78/23/10/719782310.db2.gz VALJHIHIXMYLLV-INIZCTEOSA-N 1 2 323.400 1.168 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ncn(C)n1 ZINC000948913555 719782311 /nfs/dbraw/zinc/78/23/11/719782311.db2.gz VALJHIHIXMYLLV-INIZCTEOSA-N 1 2 323.400 1.168 20 30 DDEDLO C#CCC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn[nH]n1 ZINC000948933093 719791881 /nfs/dbraw/zinc/79/18/81/719791881.db2.gz USSSGHZGKRAHNQ-INIZCTEOSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CCC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn[nH]n1 ZINC000948933093 719791883 /nfs/dbraw/zinc/79/18/83/719791883.db2.gz USSSGHZGKRAHNQ-INIZCTEOSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CCC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn[nH]1 ZINC000948933093 719791887 /nfs/dbraw/zinc/79/18/87/719791887.db2.gz USSSGHZGKRAHNQ-INIZCTEOSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CCC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn[nH]1 ZINC000948933093 719791889 /nfs/dbraw/zinc/79/18/89/719791889.db2.gz USSSGHZGKRAHNQ-INIZCTEOSA-N 1 2 309.373 1.157 20 30 DDEDLO N#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000969022705 719928122 /nfs/dbraw/zinc/92/81/22/719928122.db2.gz IBCSYEKDLSXJFR-UONOGXRCSA-N 1 2 315.421 1.714 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CC[C@@H]3CCCO3)CC2)C1 ZINC000949304827 720004963 /nfs/dbraw/zinc/00/49/63/720004963.db2.gz KNTAZEOBMWPHKU-INIZCTEOSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CC[C@@H]3CCCO3)CC2)C1 ZINC000949304827 720004965 /nfs/dbraw/zinc/00/49/65/720004965.db2.gz KNTAZEOBMWPHKU-INIZCTEOSA-N 1 2 320.433 1.272 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2c(C)nnn2CC)C1 ZINC000969816937 720306020 /nfs/dbraw/zinc/30/60/20/720306020.db2.gz IGDMEDYOVFJNGM-SNVBAGLBSA-N 1 2 311.817 1.409 20 30 DDEDLO C=C(Cl)CN1CC([C@H](C)NC(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000969977907 720583933 /nfs/dbraw/zinc/58/39/33/720583933.db2.gz BFRFQDIBCCCJST-QWHCGFSZSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccn(CC(F)F)n2)C1 ZINC000950573041 720689739 /nfs/dbraw/zinc/68/97/39/720689739.db2.gz AQVKASOCDAJIRM-UHFFFAOYSA-N 1 2 312.364 1.871 20 30 DDEDLO N#Cc1cccc(CN2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)c1 ZINC000950786846 720774901 /nfs/dbraw/zinc/77/49/01/720774901.db2.gz HDLBNOUHSZPKFQ-UHFFFAOYSA-N 1 2 309.373 1.168 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc(COC)on2)C1 ZINC000970544938 720808100 /nfs/dbraw/zinc/80/81/00/720808100.db2.gz IZRKEDDKSDGKQN-JTQLQIEISA-N 1 2 313.785 1.624 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cn(C(C)C)nn2)C1 ZINC000970657025 720872722 /nfs/dbraw/zinc/87/27/22/720872722.db2.gz INNVXLOXRNCYSD-NSHDSACASA-N 1 2 311.817 1.662 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc3[nH]c(=O)[nH]c3n2)C1 ZINC000951379774 721010910 /nfs/dbraw/zinc/01/09/10/721010910.db2.gz GTTMYMRQMKDGTR-UHFFFAOYSA-N 1 2 315.377 1.386 20 30 DDEDLO CC(C)n1ccc(C[N@H+](C)[C@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000971211091 721180537 /nfs/dbraw/zinc/18/05/37/721180537.db2.gz NFDOSPFYEQMETB-HIFRSBDPSA-N 1 2 303.410 1.656 20 30 DDEDLO CC(C)n1ccc(C[N@@H+](C)[C@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000971211091 721180539 /nfs/dbraw/zinc/18/05/39/721180539.db2.gz NFDOSPFYEQMETB-HIFRSBDPSA-N 1 2 303.410 1.656 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC000971212470 721182127 /nfs/dbraw/zinc/18/21/27/721182127.db2.gz ZIPFJFIQIGFWJW-DOMZBBRYSA-N 1 2 301.394 1.022 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC000971212470 721182130 /nfs/dbraw/zinc/18/21/30/721182130.db2.gz ZIPFJFIQIGFWJW-DOMZBBRYSA-N 1 2 301.394 1.022 20 30 DDEDLO CCc1noc([C@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971505481 721326841 /nfs/dbraw/zinc/32/68/41/721326841.db2.gz SSFZAGXFILZHPU-JSGCOSHPSA-N 1 2 316.405 1.639 20 30 DDEDLO CCc1noc([C@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971505481 721326847 /nfs/dbraw/zinc/32/68/47/721326847.db2.gz SSFZAGXFILZHPU-JSGCOSHPSA-N 1 2 316.405 1.639 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(N(C)C(=O)Cn2cc[nH+]c2)CC1 ZINC000954053046 721704589 /nfs/dbraw/zinc/70/45/89/721704589.db2.gz LMLCLEXNZUPYNJ-UHFFFAOYSA-N 1 2 318.421 1.545 20 30 DDEDLO N#CCN1CCC[C@H](CNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001023585749 735327881 /nfs/dbraw/zinc/32/78/81/735327881.db2.gz LIWYERXXOXYSHQ-CHWSQXEVSA-N 1 2 301.394 1.181 20 30 DDEDLO C[C@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1cnc(C#N)cn1 ZINC001098153414 732317854 /nfs/dbraw/zinc/31/78/54/732317854.db2.gz RSCQZIFMRNLRNB-LLVKDONJSA-N 1 2 321.344 1.226 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3cccs3)[C@H]2C1 ZINC001083190954 732501739 /nfs/dbraw/zinc/50/17/39/732501739.db2.gz FHESTYQPELRRGX-QLFBSQMISA-N 1 2 318.442 1.787 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3cccs3)[C@H]2C1 ZINC001083190954 732501744 /nfs/dbraw/zinc/50/17/44/732501744.db2.gz FHESTYQPELRRGX-QLFBSQMISA-N 1 2 318.442 1.787 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc(OC)ncn1 ZINC001027884070 738770414 /nfs/dbraw/zinc/77/04/14/738770414.db2.gz GXIBFFGSVIBPJG-LLVKDONJSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(OC)ncn1 ZINC001027884070 738770416 /nfs/dbraw/zinc/77/04/16/738770416.db2.gz GXIBFFGSVIBPJG-LLVKDONJSA-N 1 2 310.785 1.432 20 30 DDEDLO CCc1nc(N(CCNC(=O)[C@@H](C)C#N)CCOC)cc(C)[nH+]1 ZINC001126261519 738779885 /nfs/dbraw/zinc/77/98/85/738779885.db2.gz OVQRJTWZMIBUDE-LBPRGKRZSA-N 1 2 319.409 1.076 20 30 DDEDLO C=CCCC(=O)NC[C@H](CO)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC001121198355 782404810 /nfs/dbraw/zinc/40/48/10/782404810.db2.gz POHRMAUKWNOADO-CYBMUJFWSA-N 1 2 306.410 1.764 20 30 DDEDLO N#CCN1CCCC[C@@H]1CNC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001024575173 735953558 /nfs/dbraw/zinc/95/35/58/735953558.db2.gz IVQLDICYDGFVAK-QGZVFWFLSA-N 1 2 323.400 1.980 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4ccccc4[nH]3)[C@H]2C1 ZINC001083268987 735964858 /nfs/dbraw/zinc/96/48/58/735964858.db2.gz FRUNITVSTZNIAK-ZWKOTPCHSA-N 1 2 323.396 1.716 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4ccccc4[nH]3)[C@H]2C1 ZINC001083268987 735964862 /nfs/dbraw/zinc/96/48/62/735964862.db2.gz FRUNITVSTZNIAK-ZWKOTPCHSA-N 1 2 323.396 1.716 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2C=CC=CC=C2)C1 ZINC001107974676 751382489 /nfs/dbraw/zinc/38/24/89/751382489.db2.gz XZYQTETYVFRBJD-SFHVURJKSA-N 1 2 300.402 1.515 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2C=CC=CC=C2)C1 ZINC001107974676 751382496 /nfs/dbraw/zinc/38/24/96/751382496.db2.gz XZYQTETYVFRBJD-SFHVURJKSA-N 1 2 300.402 1.515 20 30 DDEDLO Cn1ccnc1C[N@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405106 738269027 /nfs/dbraw/zinc/26/90/27/738269027.db2.gz BFKGRMGTCJKMBB-OAHLLOKOSA-N 1 2 300.406 1.256 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405106 738269029 /nfs/dbraw/zinc/26/90/29/738269029.db2.gz BFKGRMGTCJKMBB-OAHLLOKOSA-N 1 2 300.406 1.256 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)CC(C)C)C2)nn1 ZINC001105354714 738993362 /nfs/dbraw/zinc/99/33/62/738993362.db2.gz UHPJGKNXQLAWLW-ZDUSSCGKSA-N 1 2 303.410 1.066 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(C)nc1OCCC ZINC001038859653 739007228 /nfs/dbraw/zinc/00/72/28/739007228.db2.gz IRBDTPNNVXBFCY-AWEZNQCLSA-N 1 2 301.390 1.616 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(C)nc1OCCC ZINC001038859653 739007232 /nfs/dbraw/zinc/00/72/32/739007232.db2.gz IRBDTPNNVXBFCY-AWEZNQCLSA-N 1 2 301.390 1.616 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCN(C(=O)Cc3c[nH+]cn3C)C2)n1 ZINC001058929743 739213134 /nfs/dbraw/zinc/21/31/34/739213134.db2.gz MYBHLZOVPZBYDI-AWEZNQCLSA-N 1 2 324.388 1.251 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(OC)ns2)C1 ZINC001035370050 751434092 /nfs/dbraw/zinc/43/40/92/751434092.db2.gz FXBYWPVOVLHSLW-NSHDSACASA-N 1 2 311.407 1.158 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(OC)ns2)C1 ZINC001035370050 751434095 /nfs/dbraw/zinc/43/40/95/751434095.db2.gz FXBYWPVOVLHSLW-NSHDSACASA-N 1 2 311.407 1.158 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001028338212 739375060 /nfs/dbraw/zinc/37/50/60/739375060.db2.gz ZRKHYJNIOIQIHZ-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001028338212 739375062 /nfs/dbraw/zinc/37/50/62/739375062.db2.gz ZRKHYJNIOIQIHZ-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+][C@H](C)c1nc(C(C)C)no1 ZINC001126382763 739661742 /nfs/dbraw/zinc/66/17/42/739661742.db2.gz BLSJUBOCHGMUDI-ABAIWWIYSA-N 1 2 310.398 1.287 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncccc2CC)C1 ZINC001035421688 751480552 /nfs/dbraw/zinc/48/05/52/751480552.db2.gz ASYKMEZQVLILRD-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncccc2CC)C1 ZINC001035421688 751480557 /nfs/dbraw/zinc/48/05/57/751480557.db2.gz ASYKMEZQVLILRD-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@H](Nc2ccc(C#N)cn2)C1 ZINC001059087872 739903168 /nfs/dbraw/zinc/90/31/68/739903168.db2.gz CRODAGRPLNYKQH-OCCSQVGLSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@H](Nc2ccc(C#N)cn2)C1 ZINC001059087872 739903171 /nfs/dbraw/zinc/90/31/71/739903171.db2.gz CRODAGRPLNYKQH-OCCSQVGLSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC001035416911 751498219 /nfs/dbraw/zinc/49/82/19/751498219.db2.gz NWLLQMRGEJZDPX-QLFBSQMISA-N 1 2 306.431 1.855 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC001035416911 751498222 /nfs/dbraw/zinc/49/82/22/751498222.db2.gz NWLLQMRGEJZDPX-QLFBSQMISA-N 1 2 306.431 1.855 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(Cl)cc2F)C1 ZINC001035435480 751513360 /nfs/dbraw/zinc/51/33/60/751513360.db2.gz QCIQGLHTPMLMDH-ZDUSSCGKSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(Cl)cc2F)C1 ZINC001035435480 751513363 /nfs/dbraw/zinc/51/33/63/751513363.db2.gz QCIQGLHTPMLMDH-ZDUSSCGKSA-N 1 2 324.783 1.933 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2COc3ccccc3C2)C1 ZINC001035437429 751515304 /nfs/dbraw/zinc/51/53/04/751515304.db2.gz HKJITYHIJFQJOL-HOTGVXAUSA-N 1 2 316.401 1.241 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2COc3ccccc3C2)C1 ZINC001035437429 751515307 /nfs/dbraw/zinc/51/53/07/751515307.db2.gz HKJITYHIJFQJOL-HOTGVXAUSA-N 1 2 316.401 1.241 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3c2CCOC3)C1 ZINC001035441479 751518453 /nfs/dbraw/zinc/51/84/53/751518453.db2.gz AXPLATCVPXCNNP-OAHLLOKOSA-N 1 2 316.401 1.376 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3c2CCOC3)C1 ZINC001035441479 751518455 /nfs/dbraw/zinc/51/84/55/751518455.db2.gz AXPLATCVPXCNNP-OAHLLOKOSA-N 1 2 316.401 1.376 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001035446150 751523268 /nfs/dbraw/zinc/52/32/68/751523268.db2.gz LQCKCUOSKPZHSR-IXDOHACOSA-N 1 2 322.449 1.442 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001035446150 751523272 /nfs/dbraw/zinc/52/32/72/751523272.db2.gz LQCKCUOSKPZHSR-IXDOHACOSA-N 1 2 322.449 1.442 20 30 DDEDLO CCn1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)cn1 ZINC001038102232 740372588 /nfs/dbraw/zinc/37/25/88/740372588.db2.gz FEOUAIDITVWPND-QGZVFWFLSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)cn1 ZINC001038102232 740372596 /nfs/dbraw/zinc/37/25/96/740372596.db2.gz FEOUAIDITVWPND-QGZVFWFLSA-N 1 2 323.400 1.779 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001114899765 751539475 /nfs/dbraw/zinc/53/94/75/751539475.db2.gz ZDYLXQSDGCVZQA-IMRBUKKESA-N 1 2 304.394 1.527 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001114899765 751539477 /nfs/dbraw/zinc/53/94/77/751539477.db2.gz ZDYLXQSDGCVZQA-IMRBUKKESA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001035500715 751556829 /nfs/dbraw/zinc/55/68/29/751556829.db2.gz ICCPIOYMKLWMJW-RDJZCZTQSA-N 1 2 300.402 1.719 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001035500715 751556832 /nfs/dbraw/zinc/55/68/32/751556832.db2.gz ICCPIOYMKLWMJW-RDJZCZTQSA-N 1 2 300.402 1.719 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[N@@H+](Cc3cnon3)CC[C@@H]2C1 ZINC001088093051 741021520 /nfs/dbraw/zinc/02/15/20/741021520.db2.gz HTPDHXSLSGNHBV-JKIFEVAISA-N 1 2 318.421 1.952 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[N@H+](Cc3cnon3)CC[C@@H]2C1 ZINC001088093051 741021523 /nfs/dbraw/zinc/02/15/23/741021523.db2.gz HTPDHXSLSGNHBV-JKIFEVAISA-N 1 2 318.421 1.952 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(OC(C)C)n2)C1 ZINC001035560164 751642577 /nfs/dbraw/zinc/64/25/77/751642577.db2.gz PEYVMCOASSUAGI-AWEZNQCLSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(OC(C)C)n2)C1 ZINC001035560164 751642583 /nfs/dbraw/zinc/64/25/83/751642583.db2.gz PEYVMCOASSUAGI-AWEZNQCLSA-N 1 2 319.405 1.485 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001059746266 741671736 /nfs/dbraw/zinc/67/17/36/741671736.db2.gz SETFCQAVTFTJCJ-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)ncn1 ZINC001007029650 741694634 /nfs/dbraw/zinc/69/46/34/741694634.db2.gz OJSGOPFRUUUROC-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)ncn1 ZINC001007029650 741694636 /nfs/dbraw/zinc/69/46/36/741694636.db2.gz OJSGOPFRUUUROC-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2sccc2OC)C1 ZINC001035583649 751676507 /nfs/dbraw/zinc/67/65/07/751676507.db2.gz SUSTUJOEHFJQJM-GFCCVEGCSA-N 1 2 310.419 1.763 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2sccc2OC)C1 ZINC001035583649 751676510 /nfs/dbraw/zinc/67/65/10/751676510.db2.gz SUSTUJOEHFJQJM-GFCCVEGCSA-N 1 2 310.419 1.763 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001032620534 751678605 /nfs/dbraw/zinc/67/86/05/751678605.db2.gz FIWMERBAXXYCDQ-RWMBFGLXSA-N 1 2 320.824 1.867 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(OC)c2OC)C1 ZINC001035589240 751683701 /nfs/dbraw/zinc/68/37/01/751683701.db2.gz GZZLXSSUBHZVHG-CYBMUJFWSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc(OC)c2OC)C1 ZINC001035589240 751683702 /nfs/dbraw/zinc/68/37/02/751683702.db2.gz GZZLXSSUBHZVHG-CYBMUJFWSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3c2cccc3Cl)C1 ZINC001035619666 751691682 /nfs/dbraw/zinc/69/16/82/751691682.db2.gz LEWGZTMSWRMVSV-DOMZBBRYSA-N 1 2 320.820 1.983 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3c2cccc3Cl)C1 ZINC001035619666 751691685 /nfs/dbraw/zinc/69/16/85/751691685.db2.gz LEWGZTMSWRMVSV-DOMZBBRYSA-N 1 2 320.820 1.983 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-c2ncon2)c1 ZINC001032623862 751693524 /nfs/dbraw/zinc/69/35/24/751693524.db2.gz QZSMZHKKSWEUOP-HOTGVXAUSA-N 1 2 322.368 1.659 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-c2ncon2)c1 ZINC001032623862 751693527 /nfs/dbraw/zinc/69/35/27/751693527.db2.gz QZSMZHKKSWEUOP-HOTGVXAUSA-N 1 2 322.368 1.659 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3c2CCC3)C1 ZINC001035622919 751694289 /nfs/dbraw/zinc/69/42/89/751694289.db2.gz VTNXVPWPKHRING-OAHLLOKOSA-N 1 2 300.402 1.792 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3c2CCC3)C1 ZINC001035622919 751694297 /nfs/dbraw/zinc/69/42/97/751694297.db2.gz VTNXVPWPKHRING-OAHLLOKOSA-N 1 2 300.402 1.792 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccoc2C(F)(F)F)[C@@H](O)C1 ZINC001083492189 742371127 /nfs/dbraw/zinc/37/11/27/742371127.db2.gz OVADAWYLBWMBNF-MNOVXSKESA-N 1 2 316.279 1.097 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccoc2C(F)(F)F)[C@@H](O)C1 ZINC001083492189 742371132 /nfs/dbraw/zinc/37/11/32/742371132.db2.gz OVADAWYLBWMBNF-MNOVXSKESA-N 1 2 316.279 1.097 20 30 DDEDLO Cc1ccc(-c2noc(C[NH2+]CCNC(=O)C#CC3CC3)n2)cc1 ZINC001126881123 742415021 /nfs/dbraw/zinc/41/50/21/742415021.db2.gz LQCRRFUGOMDLKC-UHFFFAOYSA-N 1 2 324.384 1.664 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC(C)(C)C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001076436948 742734891 /nfs/dbraw/zinc/73/48/91/742734891.db2.gz HWPCZIOKWUOWPV-ZDUSSCGKSA-N 1 2 318.421 1.403 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC(C)(C)C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001076436948 742734893 /nfs/dbraw/zinc/73/48/93/742734893.db2.gz HWPCZIOKWUOWPV-ZDUSSCGKSA-N 1 2 318.421 1.403 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(CC)n(C)n3)[C@@H]2C1 ZINC001076640151 742844813 /nfs/dbraw/zinc/84/48/13/742844813.db2.gz ULJABBWOAOLLGA-SWLSCSKDSA-N 1 2 322.840 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(CC)n(C)n3)[C@@H]2C1 ZINC001076640151 742844822 /nfs/dbraw/zinc/84/48/22/742844822.db2.gz ULJABBWOAOLLGA-SWLSCSKDSA-N 1 2 322.840 1.881 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C(C)(C)c2cccnc2)C1 ZINC001108042776 743038861 /nfs/dbraw/zinc/03/88/61/743038861.db2.gz VUBKARVBBKAOGJ-GOSISDBHSA-N 1 2 317.433 1.752 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)c2cccnc2)C1 ZINC001108042776 743038872 /nfs/dbraw/zinc/03/88/72/743038872.db2.gz VUBKARVBBKAOGJ-GOSISDBHSA-N 1 2 317.433 1.752 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCCN(C)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001067512126 743073428 /nfs/dbraw/zinc/07/34/28/743073428.db2.gz WAMOIYWJFCKIRR-QWHCGFSZSA-N 1 2 319.409 1.055 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCCN(C)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001067512126 743073442 /nfs/dbraw/zinc/07/34/42/743073442.db2.gz WAMOIYWJFCKIRR-QWHCGFSZSA-N 1 2 319.409 1.055 20 30 DDEDLO CC(=O)Nc1ccc(NC(=O)Cc2cc[nH+]c(N)c2)cc1C#N ZINC001181263209 743129327 /nfs/dbraw/zinc/12/93/27/743129327.db2.gz FWYSEXDUERXBFR-UHFFFAOYSA-N 1 2 309.329 1.675 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC001077015284 743169517 /nfs/dbraw/zinc/16/95/17/743169517.db2.gz LWIUDYMBQMTKGP-HZPDHXFCSA-N 1 2 315.417 1.177 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC001077015284 743169519 /nfs/dbraw/zinc/16/95/19/743169519.db2.gz LWIUDYMBQMTKGP-HZPDHXFCSA-N 1 2 315.417 1.177 20 30 DDEDLO C[C@@H](c1ncccn1)[NH+]1CCC(NC(=O)c2c[nH]c(C#N)c2)CC1 ZINC001002647764 743308524 /nfs/dbraw/zinc/30/85/24/743308524.db2.gz FPRLMDNAKPGIIM-LBPRGKRZSA-N 1 2 324.388 1.632 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2CCC(O)(C(F)(F)F)CC2)CC1 ZINC001181732423 743314791 /nfs/dbraw/zinc/31/47/91/743314791.db2.gz IOIJMYKRWVCICD-UHFFFAOYSA-N 1 2 320.355 1.800 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn(C)c2CCC)C1 ZINC001108048643 743317559 /nfs/dbraw/zinc/31/75/59/743317559.db2.gz ZLWWVBJMVISUKY-QGZVFWFLSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn(C)c2CCC)C1 ZINC001108048643 743317570 /nfs/dbraw/zinc/31/75/70/743317570.db2.gz ZLWWVBJMVISUKY-QGZVFWFLSA-N 1 2 320.437 1.379 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCc2ccc(F)cc2O1 ZINC001038510394 743368071 /nfs/dbraw/zinc/36/80/71/743368071.db2.gz CKSUAOOPHYWZQN-CABCVRRESA-N 1 2 302.349 1.343 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCc2ccc(F)cc2O1 ZINC001038510394 743368075 /nfs/dbraw/zinc/36/80/75/743368075.db2.gz CKSUAOOPHYWZQN-CABCVRRESA-N 1 2 302.349 1.343 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2coc3cc(F)ccc23)C1 ZINC001077272943 743371258 /nfs/dbraw/zinc/37/12/58/743371258.db2.gz VTQKXDYPIKZCNO-HUUCEWRRSA-N 1 2 316.332 1.370 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2coc3cc(F)ccc23)C1 ZINC001077272943 743371270 /nfs/dbraw/zinc/37/12/70/743371270.db2.gz VTQKXDYPIKZCNO-HUUCEWRRSA-N 1 2 316.332 1.370 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCc3c2cccc3Cl)C1 ZINC001077398246 743448957 /nfs/dbraw/zinc/44/89/57/743448957.db2.gz KTDZLBBOHYMLQB-FVQBIDKESA-N 1 2 318.804 1.164 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCc3c2cccc3Cl)C1 ZINC001077398246 743448961 /nfs/dbraw/zinc/44/89/61/743448961.db2.gz KTDZLBBOHYMLQB-FVQBIDKESA-N 1 2 318.804 1.164 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001182214677 743530774 /nfs/dbraw/zinc/53/07/74/743530774.db2.gz FKBGCHHVKHMXBG-MLGOLLRUSA-N 1 2 322.409 1.211 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CC=CCC1)C2 ZINC001110278974 743566127 /nfs/dbraw/zinc/56/61/27/743566127.db2.gz KWXCDCQEAFCTNJ-JJXSEGSLSA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CC=CCC1)C2 ZINC001110278974 743566132 /nfs/dbraw/zinc/56/61/32/743566132.db2.gz KWXCDCQEAFCTNJ-JJXSEGSLSA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccoc1)C2 ZINC001110281717 743581265 /nfs/dbraw/zinc/58/12/65/743581265.db2.gz QIRZWPJHWAITNJ-MYPMTAMASA-N 1 2 317.389 1.305 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccoc1)C2 ZINC001110281717 743581267 /nfs/dbraw/zinc/58/12/67/743581267.db2.gz QIRZWPJHWAITNJ-MYPMTAMASA-N 1 2 317.389 1.305 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cc(Cl)ccc3o2)[C@@H](O)C1 ZINC001083617674 743582183 /nfs/dbraw/zinc/58/21/83/743582183.db2.gz WTNTZVITMFOWRM-OLZOCXBDSA-N 1 2 318.760 1.494 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3cc(Cl)ccc3o2)[C@@H](O)C1 ZINC001083617674 743582185 /nfs/dbraw/zinc/58/21/85/743582185.db2.gz WTNTZVITMFOWRM-OLZOCXBDSA-N 1 2 318.760 1.494 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(CC3CC3)s2)C1 ZINC001077440051 743684588 /nfs/dbraw/zinc/68/45/88/743684588.db2.gz CJPRVNLTXUBBJB-VXGBXAGGSA-N 1 2 307.419 1.057 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(CC3CC3)s2)C1 ZINC001077440051 743684590 /nfs/dbraw/zinc/68/45/90/743684590.db2.gz CJPRVNLTXUBBJB-VXGBXAGGSA-N 1 2 307.419 1.057 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001184637539 744076913 /nfs/dbraw/zinc/07/69/13/744076913.db2.gz IBYZHERBVSBPRA-CJNGLKHVSA-N 1 2 322.409 1.297 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nn(C)cc2Cl)C1 ZINC001185035958 744148977 /nfs/dbraw/zinc/14/89/77/744148977.db2.gz UTCXHDWXOTZCAC-CYBMUJFWSA-N 1 2 322.840 1.958 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001030581462 744287814 /nfs/dbraw/zinc/28/78/14/744287814.db2.gz BNNWFFCERVRKRU-UHFFFAOYSA-N 1 2 303.387 1.580 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001185984025 744317215 /nfs/dbraw/zinc/31/72/15/744317215.db2.gz JBLNOHYUPZYMDK-CQSZACIVSA-N 1 2 323.416 1.713 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCC1(Nc2ccc(C#N)nc2)CC1 ZINC001110377023 744354362 /nfs/dbraw/zinc/35/43/62/744354362.db2.gz SXRSYDQQDFNEMR-GFCCVEGCSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCC1(Nc2ccc(C#N)nc2)CC1 ZINC001110377023 744354363 /nfs/dbraw/zinc/35/43/63/744354363.db2.gz SXRSYDQQDFNEMR-GFCCVEGCSA-N 1 2 324.388 1.616 20 30 DDEDLO COc1ccnc(C(=N)Nc2cc(F)c(C[NH+](C)C)c(F)c2)n1 ZINC001168428551 744588128 /nfs/dbraw/zinc/58/81/28/744588128.db2.gz UYCADXSHUYGNAZ-UHFFFAOYSA-N 1 2 321.331 1.862 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H](C)[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001089178399 744673719 /nfs/dbraw/zinc/67/37/19/744673719.db2.gz VMPVSUBKESNAAK-ZFWWWQNUSA-N 1 2 318.421 1.884 20 30 DDEDLO Cn1nccc1C[N@@H+]1CCC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007137752 745381705 /nfs/dbraw/zinc/38/17/05/745381705.db2.gz ARYYPKXRVLSRTR-ZDUSSCGKSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1nccc1C[N@H+]1CCC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007137752 745381709 /nfs/dbraw/zinc/38/17/09/745381709.db2.gz ARYYPKXRVLSRTR-ZDUSSCGKSA-N 1 2 312.377 1.014 20 30 DDEDLO Cc1nc(NCC[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001106598536 745824123 /nfs/dbraw/zinc/82/41/23/745824123.db2.gz KROYKTGRTHPKTH-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3nn(C)cc32)C1 ZINC001015620768 745920948 /nfs/dbraw/zinc/92/09/48/745920948.db2.gz ZKECIIWPUPMCQU-QWHCGFSZSA-N 1 2 322.840 1.783 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3nn(C)cc32)C1 ZINC001015620768 745920954 /nfs/dbraw/zinc/92/09/54/745920954.db2.gz ZKECIIWPUPMCQU-QWHCGFSZSA-N 1 2 322.840 1.783 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@H]1O ZINC001193337452 746068519 /nfs/dbraw/zinc/06/85/19/746068519.db2.gz PQOMKJSMZDDXBD-BPLDGKMQSA-N 1 2 318.421 1.301 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@H]1O ZINC001193337452 746068522 /nfs/dbraw/zinc/06/85/22/746068522.db2.gz PQOMKJSMZDDXBD-BPLDGKMQSA-N 1 2 318.421 1.301 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001193354278 746072379 /nfs/dbraw/zinc/07/23/79/746072379.db2.gz WFXBZHIGNLZPGX-HUUCEWRRSA-N 1 2 307.394 1.309 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001193354278 746072384 /nfs/dbraw/zinc/07/23/84/746072384.db2.gz WFXBZHIGNLZPGX-HUUCEWRRSA-N 1 2 307.394 1.309 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cn(C)c(=O)cn2)[C@H]1C ZINC000993615703 746234304 /nfs/dbraw/zinc/23/43/04/746234304.db2.gz VVZANTXYJGJZMD-VXGBXAGGSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cn(C)c(=O)cn2)[C@H]1C ZINC000993615703 746234303 /nfs/dbraw/zinc/23/43/03/746234303.db2.gz VVZANTXYJGJZMD-VXGBXAGGSA-N 1 2 324.812 1.116 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001007155672 746286722 /nfs/dbraw/zinc/28/67/22/746286722.db2.gz KKHZDZVDPYIWSI-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1ncc(C[N@H+]2CCC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001007155672 746286724 /nfs/dbraw/zinc/28/67/24/746286724.db2.gz KKHZDZVDPYIWSI-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](Cc3cnnn3C)[C@@H]2C)C1 ZINC000993793516 746316203 /nfs/dbraw/zinc/31/62/03/746316203.db2.gz ULOLZXFJTJGIJU-UKRRQHHQSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnnn3C)[C@@H]2C)C1 ZINC000993793516 746316206 /nfs/dbraw/zinc/31/62/06/746316206.db2.gz ULOLZXFJTJGIJU-UKRRQHHQSA-N 1 2 317.437 1.641 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001194387929 746363428 /nfs/dbraw/zinc/36/34/28/746363428.db2.gz IMKJHGCDSSPFNJ-HNNXBMFYSA-N 1 2 321.421 1.917 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001194387929 746363432 /nfs/dbraw/zinc/36/34/32/746363432.db2.gz IMKJHGCDSSPFNJ-HNNXBMFYSA-N 1 2 321.421 1.917 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2sccc2C)CC1 ZINC001194682010 746437042 /nfs/dbraw/zinc/43/70/42/746437042.db2.gz SESCJDVTDKXVPP-UHFFFAOYSA-N 1 2 306.431 1.854 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2sccc2C)CC1 ZINC001194682010 746437044 /nfs/dbraw/zinc/43/70/44/746437044.db2.gz SESCJDVTDKXVPP-UHFFFAOYSA-N 1 2 306.431 1.854 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2snnc2C(C)C)[C@@H]1C ZINC000994070195 746441095 /nfs/dbraw/zinc/44/10/95/746441095.db2.gz MPQONBVWZXDMLJ-RYUDHWBXSA-N 1 2 306.435 1.877 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2snnc2C(C)C)[C@@H]1C ZINC000994070195 746441096 /nfs/dbraw/zinc/44/10/96/746441096.db2.gz MPQONBVWZXDMLJ-RYUDHWBXSA-N 1 2 306.435 1.877 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CCCN1CC#N ZINC000994143372 746463223 /nfs/dbraw/zinc/46/32/23/746463223.db2.gz FNWROSQTYYJODZ-RHSMWYFYSA-N 1 2 323.400 1.979 20 30 DDEDLO CCOC(=O)[C@H](C#N)NC(=O)c1cccc(-c2c[nH+]cn2C)c1 ZINC001194917539 746486812 /nfs/dbraw/zinc/48/68/12/746486812.db2.gz NDJPNINDKOQYCV-ZDUSSCGKSA-N 1 2 312.329 1.272 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001195254386 746557535 /nfs/dbraw/zinc/55/75/35/746557535.db2.gz BMPYRKVGRZGCNJ-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001195254386 746557537 /nfs/dbraw/zinc/55/75/37/746557537.db2.gz BMPYRKVGRZGCNJ-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001007341703 752105098 /nfs/dbraw/zinc/10/50/98/752105098.db2.gz BALGGHQFSCTCNJ-NEPJUHHUSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001007341703 752105102 /nfs/dbraw/zinc/10/51/02/752105102.db2.gz BALGGHQFSCTCNJ-NEPJUHHUSA-N 1 2 307.419 1.678 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2coc(C)c2)CC1 ZINC001195613475 746667597 /nfs/dbraw/zinc/66/75/97/746667597.db2.gz ZZHBLYCXQJFRPD-UHFFFAOYSA-N 1 2 305.378 1.038 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2coc(C)c2)CC1 ZINC001195613475 746667599 /nfs/dbraw/zinc/66/75/99/746667599.db2.gz ZZHBLYCXQJFRPD-UHFFFAOYSA-N 1 2 305.378 1.038 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](Cc2cc(OC)no2)CC1 ZINC001195674565 746668282 /nfs/dbraw/zinc/66/82/82/746668282.db2.gz HIKXSULHKNMJIQ-UHFFFAOYSA-N 1 2 305.378 1.521 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](Cc2cc(OC)no2)CC1 ZINC001195674565 746668284 /nfs/dbraw/zinc/66/82/84/746668284.db2.gz HIKXSULHKNMJIQ-UHFFFAOYSA-N 1 2 305.378 1.521 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)CCCC)CC1 ZINC001195727040 746677836 /nfs/dbraw/zinc/67/78/36/746677836.db2.gz HMHHOWIEOBLINP-HZPDHXFCSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@H](C)CCCC)CC1 ZINC001195727040 746677837 /nfs/dbraw/zinc/67/78/37/746677837.db2.gz HMHHOWIEOBLINP-HZPDHXFCSA-N 1 2 321.465 1.485 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754452 746687244 /nfs/dbraw/zinc/68/72/44/746687244.db2.gz NVBASFGNBGVWRY-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754452 746687249 /nfs/dbraw/zinc/68/72/49/746687249.db2.gz NVBASFGNBGVWRY-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cncc(F)c2)CC1 ZINC001195791734 746705446 /nfs/dbraw/zinc/70/54/46/746705446.db2.gz KEXRPUVDHZZHGX-AWEZNQCLSA-N 1 2 319.380 1.293 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cncc(F)c2)CC1 ZINC001195791734 746705451 /nfs/dbraw/zinc/70/54/51/746705451.db2.gz KEXRPUVDHZZHGX-AWEZNQCLSA-N 1 2 319.380 1.293 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cnn(C(C)(C)C)c2CC)C1 ZINC001031397214 746748486 /nfs/dbraw/zinc/74/84/86/746748486.db2.gz PHMLPWWQRWSZTA-UHFFFAOYSA-N 1 2 302.422 1.638 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cncc(C)c2)C[C@H]1O ZINC001196468535 746868758 /nfs/dbraw/zinc/86/87/58/746868758.db2.gz JAASBUILRUSAJL-HZPDHXFCSA-N 1 2 317.433 1.654 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cncc(C)c2)C[C@H]1O ZINC001196468535 746868763 /nfs/dbraw/zinc/86/87/63/746868763.db2.gz JAASBUILRUSAJL-HZPDHXFCSA-N 1 2 317.433 1.654 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1cccnc1 ZINC001031512079 746924995 /nfs/dbraw/zinc/92/49/95/746924995.db2.gz CTNIWUWHLYNRRH-UHFFFAOYSA-N 1 2 323.371 1.934 20 30 DDEDLO C#CCC1(C(=O)NCc2cnn3c2C[N@H+](CCC)CC3)CCC1 ZINC001128382238 746941841 /nfs/dbraw/zinc/94/18/41/746941841.db2.gz NNVZEBJHXZIWMP-UHFFFAOYSA-N 1 2 314.433 1.919 20 30 DDEDLO C#CCC1(C(=O)NCc2cnn3c2C[N@@H+](CCC)CC3)CCC1 ZINC001128382238 746941843 /nfs/dbraw/zinc/94/18/43/746941843.db2.gz NNVZEBJHXZIWMP-UHFFFAOYSA-N 1 2 314.433 1.919 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1C ZINC001112416533 746989164 /nfs/dbraw/zinc/98/91/64/746989164.db2.gz FLGYJNCPJXOHQL-DZGCQCFKSA-N 1 2 318.421 1.709 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1C ZINC001112416536 746989854 /nfs/dbraw/zinc/98/98/54/746989854.db2.gz FLGYJNCPJXOHQL-ZFWWWQNUSA-N 1 2 318.421 1.709 20 30 DDEDLO Cc1ocnc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031567875 747060445 /nfs/dbraw/zinc/06/04/45/747060445.db2.gz HYEOZCBTBTUFGZ-UHFFFAOYSA-N 1 2 309.369 1.696 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N2CCC2)CC1 ZINC001197292255 747131605 /nfs/dbraw/zinc/13/16/05/747131605.db2.gz UCPAIXZMTNJHBR-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N2CCC2)CC1 ZINC001197292255 747131607 /nfs/dbraw/zinc/13/16/07/747131607.db2.gz UCPAIXZMTNJHBR-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCOCCCOC)CC1 ZINC001197747196 747257077 /nfs/dbraw/zinc/25/70/77/747257077.db2.gz KWEJLKXHVLNBAE-UHFFFAOYSA-N 1 2 318.845 1.716 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCOCCCOC)CC1 ZINC001197747196 747257082 /nfs/dbraw/zinc/25/70/82/747257082.db2.gz KWEJLKXHVLNBAE-UHFFFAOYSA-N 1 2 318.845 1.716 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@H](NCC#N)[C@@H](C)C2)c[nH+]1 ZINC001036077776 752170206 /nfs/dbraw/zinc/17/02/06/752170206.db2.gz PKWNJHLSMQYIIK-JSGCOSHPSA-N 1 2 303.410 1.277 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccc(F)cc2F)CC1 ZINC001198009577 747334294 /nfs/dbraw/zinc/33/42/94/747334294.db2.gz LSXFHACPJMUFGN-UHFFFAOYSA-N 1 2 322.355 1.763 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccc(F)cc2F)CC1 ZINC001198009577 747334303 /nfs/dbraw/zinc/33/43/03/747334303.db2.gz LSXFHACPJMUFGN-UHFFFAOYSA-N 1 2 322.355 1.763 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cncc(F)c2)CC1 ZINC001198342770 747458775 /nfs/dbraw/zinc/45/87/75/747458775.db2.gz AHYJKDHJQIHKCN-CQSZACIVSA-N 1 2 321.396 1.846 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cncc(F)c2)CC1 ZINC001198342770 747458777 /nfs/dbraw/zinc/45/87/77/747458777.db2.gz AHYJKDHJQIHKCN-CQSZACIVSA-N 1 2 321.396 1.846 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCCc2ccccc2)C1 ZINC001199227097 747750953 /nfs/dbraw/zinc/75/09/53/747750953.db2.gz QWLXQADSKYLFMS-KBAYOESNSA-N 1 2 314.429 1.440 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCCc2ccccc2)C1 ZINC001199227097 747750955 /nfs/dbraw/zinc/75/09/55/747750955.db2.gz QWLXQADSKYLFMS-KBAYOESNSA-N 1 2 314.429 1.440 20 30 DDEDLO C=C(C)CC[N@H+]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@H](OC)C1 ZINC001212307020 747821291 /nfs/dbraw/zinc/82/12/91/747821291.db2.gz RVBNZMVIJLIKCB-MXWKQRLJSA-N 1 2 312.307 1.668 20 30 DDEDLO C=C(C)CC[N@@H+]1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@H](OC)C1 ZINC001212307020 747821273 /nfs/dbraw/zinc/82/12/73/747821273.db2.gz RVBNZMVIJLIKCB-MXWKQRLJSA-N 1 2 312.307 1.668 20 30 DDEDLO C=C(C)CC[N@H+]1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@H](OC)C1 ZINC001212307020 747821279 /nfs/dbraw/zinc/82/12/79/747821279.db2.gz RVBNZMVIJLIKCB-MXWKQRLJSA-N 1 2 312.307 1.668 20 30 DDEDLO C=C(C)CC[N@@H+]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@H](OC)C1 ZINC001212307020 747821285 /nfs/dbraw/zinc/82/12/85/747821285.db2.gz RVBNZMVIJLIKCB-MXWKQRLJSA-N 1 2 312.307 1.668 20 30 DDEDLO N#CCN1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001089803175 747882729 /nfs/dbraw/zinc/88/27/29/747882729.db2.gz MNNGZZLDPZGJNI-XGUBFFRZSA-N 1 2 313.405 1.035 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[N@@H+]3CCc4ccccc4[C@@H]3C2)[nH]1 ZINC001199681084 747950735 /nfs/dbraw/zinc/95/07/35/747950735.db2.gz ZXUMRMXEECLLNF-KRWDZBQOSA-N 1 2 306.369 1.942 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[N@H+]3CCc4ccccc4[C@@H]3C2)[nH]1 ZINC001199681084 747950737 /nfs/dbraw/zinc/95/07/37/747950737.db2.gz ZXUMRMXEECLLNF-KRWDZBQOSA-N 1 2 306.369 1.942 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(C)n2)[C@H](O)C1 ZINC001090091426 748208705 /nfs/dbraw/zinc/20/87/05/748208705.db2.gz LHMVEWUCBIUFCO-GXTWGEPZSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(C)n2)[C@H](O)C1 ZINC001090091426 748208710 /nfs/dbraw/zinc/20/87/10/748208710.db2.gz LHMVEWUCBIUFCO-GXTWGEPZSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(C)n2)[C@@H](O)C1 ZINC001090091431 748208961 /nfs/dbraw/zinc/20/89/61/748208961.db2.gz LHMVEWUCBIUFCO-JSGCOSHPSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(C)n2)[C@@H](O)C1 ZINC001090091431 748208968 /nfs/dbraw/zinc/20/89/68/748208968.db2.gz LHMVEWUCBIUFCO-JSGCOSHPSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001033082035 748217436 /nfs/dbraw/zinc/21/74/36/748217436.db2.gz HOFROQDXENCNJK-KBPBESRZSA-N 1 2 302.422 1.938 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001033082035 748217441 /nfs/dbraw/zinc/21/74/41/748217441.db2.gz HOFROQDXENCNJK-KBPBESRZSA-N 1 2 302.422 1.938 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1ncc(C(C)C)o1 ZINC001124495689 748286109 /nfs/dbraw/zinc/28/61/09/748286109.db2.gz RCWJCIDCCHXKHA-ZDUSSCGKSA-N 1 2 309.410 1.985 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001031984602 748354797 /nfs/dbraw/zinc/35/47/97/748354797.db2.gz QVVFDFNCYUHQKG-UHFFFAOYSA-N 1 2 311.769 1.210 20 30 DDEDLO Cc1cc(CC(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)[nH]n1 ZINC001004403504 748406758 /nfs/dbraw/zinc/40/67/58/748406758.db2.gz SPTGTDIQOBCHAU-MRXNPFEDSA-N 1 2 315.421 1.487 20 30 DDEDLO Cc1cc(CC(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)[nH]n1 ZINC001004403504 748406763 /nfs/dbraw/zinc/40/67/63/748406763.db2.gz SPTGTDIQOBCHAU-MRXNPFEDSA-N 1 2 315.421 1.487 20 30 DDEDLO N#CCN1CCC[C@H]1C1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001004425425 748419681 /nfs/dbraw/zinc/41/96/81/748419681.db2.gz ODLMBMJOTQJGLF-HNNXBMFYSA-N 1 2 315.421 1.569 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2Cc3cc(F)ccc3O2)C1 ZINC001032019242 748437758 /nfs/dbraw/zinc/43/77/58/748437758.db2.gz IZLWPCABLFOGCS-OAHLLOKOSA-N 1 2 324.783 1.930 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCCc2cn(C)c[nH+]2)cc1C#N ZINC001201324259 748478843 /nfs/dbraw/zinc/47/88/43/748478843.db2.gz YNOFFFGJYMNKFG-UHFFFAOYSA-N 1 2 304.375 1.121 20 30 DDEDLO C#Cc1cc(Nc2ccc(S(=O)(=O)NC3CC3)cc2)cc[nH+]1 ZINC001201444574 748521820 /nfs/dbraw/zinc/52/18/20/748521820.db2.gz FMWZFDLZUGROHO-UHFFFAOYSA-N 1 2 313.382 1.669 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1ncccn1 ZINC001032065073 748547613 /nfs/dbraw/zinc/54/76/13/748547613.db2.gz RQZPZCJOOBISCS-UHFFFAOYSA-N 1 2 306.369 1.190 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC([C@H]2CCCN2CC#N)CC1 ZINC001004609025 748585019 /nfs/dbraw/zinc/58/50/19/748585019.db2.gz DEIRMSJAMRDIJS-MRXNPFEDSA-N 1 2 315.421 1.487 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@](C)(NC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001110777965 749003054 /nfs/dbraw/zinc/00/30/54/749003054.db2.gz BDSTZNFXTODRKY-KRWDZBQOSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(CCC)on2)C1 ZINC001108316938 761914413 /nfs/dbraw/zinc/91/44/13/761914413.db2.gz DJCANLRTQHHZPJ-MRXNPFEDSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(CCC)on2)C1 ZINC001108316938 761914421 /nfs/dbraw/zinc/91/44/21/761914421.db2.gz DJCANLRTQHHZPJ-MRXNPFEDSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001114329385 749061932 /nfs/dbraw/zinc/06/19/32/749061932.db2.gz XDWHVACQTZARKA-VIKVFOODSA-N 1 2 300.406 1.625 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001114329385 749061936 /nfs/dbraw/zinc/06/19/36/749061936.db2.gz XDWHVACQTZARKA-VIKVFOODSA-N 1 2 300.406 1.625 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001114335932 749082241 /nfs/dbraw/zinc/08/22/41/749082241.db2.gz FDFSJLADVLKLGT-IMRBUKKESA-N 1 2 320.824 1.802 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001114335932 749082245 /nfs/dbraw/zinc/08/22/45/749082245.db2.gz FDFSJLADVLKLGT-IMRBUKKESA-N 1 2 320.824 1.802 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)Cc3cccs3)nn2)C1 ZINC001107117480 749142052 /nfs/dbraw/zinc/14/20/52/749142052.db2.gz UYVINHGHEVWANE-UHFFFAOYSA-N 1 2 317.418 1.241 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CCCCC)nn2)C1 ZINC001107126346 749176155 /nfs/dbraw/zinc/17/61/55/749176155.db2.gz MNBJGPBPWIGSQP-UHFFFAOYSA-N 1 2 305.426 1.907 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001033342775 749232751 /nfs/dbraw/zinc/23/27/51/749232751.db2.gz HNUWTYDQDYXUTO-IUODEOHRSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001033342775 749232754 /nfs/dbraw/zinc/23/27/54/749232754.db2.gz HNUWTYDQDYXUTO-IUODEOHRSA-N 1 2 313.829 1.188 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cn[nH]c2)c1 ZINC001032292982 749371451 /nfs/dbraw/zinc/37/14/51/749371451.db2.gz RQRXFFPYEUUQHA-HOTGVXAUSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cn[nH]c2)c1 ZINC001032292982 749371458 /nfs/dbraw/zinc/37/14/58/749371458.db2.gz RQRXFFPYEUUQHA-HOTGVXAUSA-N 1 2 307.357 1.380 20 30 DDEDLO O=C(C[C@@H]1CCCO1)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#Cc1ccccc1 ZINC001032294798 749430363 /nfs/dbraw/zinc/43/03/63/749430363.db2.gz RFLLNZSEWLFKRX-FHWLQOOXSA-N 1 2 324.424 1.892 20 30 DDEDLO O=C(C[C@@H]1CCCO1)N1C[C@@H]2C[C@H]1C[N@H+]2CC#Cc1ccccc1 ZINC001032294798 749430367 /nfs/dbraw/zinc/43/03/67/749430367.db2.gz RFLLNZSEWLFKRX-FHWLQOOXSA-N 1 2 324.424 1.892 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H](CCC)OC)nn2)C1 ZINC001107191557 749527298 /nfs/dbraw/zinc/52/72/98/749527298.db2.gz YSVUIGYHWNFKDH-HNNXBMFYSA-N 1 2 321.425 1.142 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@]3(C)C=CCC3)nn2)C1 ZINC001107192605 749537137 /nfs/dbraw/zinc/53/71/37/749537137.db2.gz RMNDCXAXQXKBNI-INIZCTEOSA-N 1 2 301.394 1.293 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)C(F)(F)F)C1 ZINC001108354355 761974523 /nfs/dbraw/zinc/97/45/23/761974523.db2.gz GEYSNXMWZWWGSA-AWEZNQCLSA-N 1 2 320.355 1.805 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)C(F)(F)F)C1 ZINC001108354355 761974526 /nfs/dbraw/zinc/97/45/26/761974526.db2.gz GEYSNXMWZWWGSA-AWEZNQCLSA-N 1 2 320.355 1.805 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001033687917 749719485 /nfs/dbraw/zinc/71/94/85/749719485.db2.gz GNGFYURTHNBCQQ-STQMWFEESA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001033687917 749719490 /nfs/dbraw/zinc/71/94/90/749719490.db2.gz GNGFYURTHNBCQQ-STQMWFEESA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cccc(C(N)=O)n2)C1 ZINC001033694292 749722666 /nfs/dbraw/zinc/72/26/66/749722666.db2.gz NCBSJLICIRXJML-LLVKDONJSA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cccc(C(N)=O)n2)C1 ZINC001033694292 749722668 /nfs/dbraw/zinc/72/26/68/749722668.db2.gz NCBSJLICIRXJML-LLVKDONJSA-N 1 2 322.796 1.079 20 30 DDEDLO Cc1nc(NC/C=C/CNC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001107312517 749765964 /nfs/dbraw/zinc/76/59/64/749765964.db2.gz PPVPYLKUKIOTRW-NSCUHMNNSA-N 1 2 310.361 1.312 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2CCOC2)c1 ZINC001032307852 749772931 /nfs/dbraw/zinc/77/29/31/749772931.db2.gz WZBLKDFYXIIGGU-IKGGRYGDSA-N 1 2 311.385 1.380 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2CCOC2)c1 ZINC001032307852 749772934 /nfs/dbraw/zinc/77/29/34/749772934.db2.gz WZBLKDFYXIIGGU-IKGGRYGDSA-N 1 2 311.385 1.380 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cnn(CC)n2)C1 ZINC001033822026 749948090 /nfs/dbraw/zinc/94/80/90/749948090.db2.gz WDPQHUNFQSVFRT-LBPRGKRZSA-N 1 2 311.817 1.587 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cnn(CC)n2)C1 ZINC001033822026 749948093 /nfs/dbraw/zinc/94/80/93/749948093.db2.gz WDPQHUNFQSVFRT-LBPRGKRZSA-N 1 2 311.817 1.587 20 30 DDEDLO N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001039396422 761995755 /nfs/dbraw/zinc/99/57/55/761995755.db2.gz PWRRVBIGVWDDEU-LZWOXQAQSA-N 1 2 313.405 1.034 20 30 DDEDLO Cc1nc(N(C)CCCNC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001095617801 750085959 /nfs/dbraw/zinc/08/59/59/750085959.db2.gz RGDSXSMOHDNJJA-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001110897517 750185443 /nfs/dbraw/zinc/18/54/43/750185443.db2.gz NALLYODTTQNLKQ-MCIONIFRSA-N 1 2 305.422 1.199 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001110897517 750185447 /nfs/dbraw/zinc/18/54/47/750185447.db2.gz NALLYODTTQNLKQ-MCIONIFRSA-N 1 2 305.422 1.199 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cccc(F)c2)C1 ZINC001108382641 762017532 /nfs/dbraw/zinc/01/75/32/762017532.db2.gz PCPNZAHEHSKLLU-SFHVURJKSA-N 1 2 318.392 1.599 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cccc(F)c2)C1 ZINC001108382641 762017537 /nfs/dbraw/zinc/01/75/37/762017537.db2.gz PCPNZAHEHSKLLU-SFHVURJKSA-N 1 2 318.392 1.599 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCC1(C)C)C2 ZINC001110927391 750232639 /nfs/dbraw/zinc/23/26/39/750232639.db2.gz SIAGDNNEJDCYGC-TUVASFSCSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCC1(C)C)C2 ZINC001110927391 750232645 /nfs/dbraw/zinc/23/26/45/750232645.db2.gz SIAGDNNEJDCYGC-TUVASFSCSA-N 1 2 319.449 1.446 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001110934049 750240472 /nfs/dbraw/zinc/24/04/72/750240472.db2.gz WOVXIMSANDIRMK-JJXSEGSLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001110934049 750240476 /nfs/dbraw/zinc/24/04/76/750240476.db2.gz WOVXIMSANDIRMK-JJXSEGSLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCCC(=O)N(C)[C@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@@H]1O ZINC001111175513 750408442 /nfs/dbraw/zinc/40/84/42/750408442.db2.gz JARGDDXEOWDPND-GJZGRUSLSA-N 1 2 318.421 1.376 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H](NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001034525620 750518008 /nfs/dbraw/zinc/51/80/08/750518008.db2.gz SZCAIGLBHISOSM-CQSZACIVSA-N 1 2 314.433 1.896 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001034525620 750518012 /nfs/dbraw/zinc/51/80/12/750518012.db2.gz SZCAIGLBHISOSM-CQSZACIVSA-N 1 2 314.433 1.896 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccn2C(C)C)C1 ZINC001108402112 762055743 /nfs/dbraw/zinc/05/57/43/762055743.db2.gz YMBBRVJFMUQHIY-SFHVURJKSA-N 1 2 317.433 1.913 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccn2C(C)C)C1 ZINC001108402112 762055750 /nfs/dbraw/zinc/05/57/50/762055750.db2.gz YMBBRVJFMUQHIY-SFHVURJKSA-N 1 2 317.433 1.913 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccn(C)c2)n[nH]1 ZINC001032440230 750838406 /nfs/dbraw/zinc/83/84/06/750838406.db2.gz RRAPOCLWKFBZCS-GJZGRUSLSA-N 1 2 323.400 1.337 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccn(C)c2)n[nH]1 ZINC001032440230 750838415 /nfs/dbraw/zinc/83/84/15/750838415.db2.gz RRAPOCLWKFBZCS-GJZGRUSLSA-N 1 2 323.400 1.337 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(c3cc(C)no3)CC2)C1 ZINC001108158719 750877022 /nfs/dbraw/zinc/87/70/22/750877022.db2.gz IRYBLYDRTJEEAJ-MRXNPFEDSA-N 1 2 319.405 1.408 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(c3cc(C)no3)CC2)C1 ZINC001108158719 750877028 /nfs/dbraw/zinc/87/70/28/750877028.db2.gz IRYBLYDRTJEEAJ-MRXNPFEDSA-N 1 2 319.405 1.408 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2nc(C)c(C)s2)C1 ZINC001108406272 762083216 /nfs/dbraw/zinc/08/32/16/762083216.db2.gz RHYSEUGXDSPRMO-HNNXBMFYSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2nc(C)c(C)s2)C1 ZINC001108406272 762083224 /nfs/dbraw/zinc/08/32/24/762083224.db2.gz RHYSEUGXDSPRMO-HNNXBMFYSA-N 1 2 309.435 1.767 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001032509373 751123926 /nfs/dbraw/zinc/12/39/26/751123926.db2.gz FVUCUFTXVSEOKB-GJZGRUSLSA-N 1 2 309.369 1.103 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001032509373 751123930 /nfs/dbraw/zinc/12/39/30/751123930.db2.gz FVUCUFTXVSEOKB-GJZGRUSLSA-N 1 2 309.369 1.103 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H]1C[NH2+]Cc1nnc(C)o1 ZINC001035056996 751167020 /nfs/dbraw/zinc/16/70/20/751167020.db2.gz IEIJNKLUWRVRMT-MCIONIFRSA-N 1 2 320.393 1.050 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H]1C[NH2+]Cc1cnsn1 ZINC001035057579 751167820 /nfs/dbraw/zinc/16/78/20/751167820.db2.gz UVASAEDFOIVPRC-MCIONIFRSA-N 1 2 322.434 1.210 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NC[C@]1(C)C[N@H+](CC#CC)CCO1 ZINC001107971735 751257755 /nfs/dbraw/zinc/25/77/55/751257755.db2.gz XHGFUGPUALMRIT-MRXNPFEDSA-N 1 2 312.841 1.996 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NC[C@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001107971735 751257758 /nfs/dbraw/zinc/25/77/58/751257758.db2.gz XHGFUGPUALMRIT-MRXNPFEDSA-N 1 2 312.841 1.996 20 30 DDEDLO Cc1nc(NCCC[C@H](C)NC(=O)CSCC#N)cc[nH+]1 ZINC001114864001 751260965 /nfs/dbraw/zinc/26/09/65/751260965.db2.gz SGMRHAGGGXRYOS-NSHDSACASA-N 1 2 307.423 1.739 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nc(C(C)C)[nH]c1=O ZINC001032554829 751292956 /nfs/dbraw/zinc/29/29/56/751292956.db2.gz OMTHJMLAFAVPCR-STQMWFEESA-N 1 2 314.389 1.146 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nc(C(C)C)[nH]c1=O ZINC001032554829 751292958 /nfs/dbraw/zinc/29/29/58/751292958.db2.gz OMTHJMLAFAVPCR-STQMWFEESA-N 1 2 314.389 1.146 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CCN2Cc2c[nH+]cn2C)CC1 ZINC001038663192 751327474 /nfs/dbraw/zinc/32/74/74/751327474.db2.gz ZHJBCAJCBRPNQK-HNNXBMFYSA-N 1 2 302.422 1.857 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](C)C(=O)NC1CC1 ZINC001032560035 751330319 /nfs/dbraw/zinc/33/03/19/751330319.db2.gz RFJCOROTPJKWLH-QEJZJMRPSA-N 1 2 319.449 1.541 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](C)C(=O)NC1CC1 ZINC001032560035 751330325 /nfs/dbraw/zinc/33/03/25/751330325.db2.gz RFJCOROTPJKWLH-QEJZJMRPSA-N 1 2 319.449 1.541 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001007862245 752396742 /nfs/dbraw/zinc/39/67/42/752396742.db2.gz IEDNSJRWVCAPRA-CHWSQXEVSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001007862245 752396750 /nfs/dbraw/zinc/39/67/50/752396750.db2.gz IEDNSJRWVCAPRA-CHWSQXEVSA-N 1 2 313.829 1.378 20 30 DDEDLO N#CCN1CCC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001008087668 752542919 /nfs/dbraw/zinc/54/29/19/752542919.db2.gz JDLZWMLWRQKTPZ-HNNXBMFYSA-N 1 2 309.373 1.590 20 30 DDEDLO C#CCC[N@H+]1CCC[C@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001008160937 752585730 /nfs/dbraw/zinc/58/57/30/752585730.db2.gz ZPQAHBJZWIILOD-INIZCTEOSA-N 1 2 323.400 1.689 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001008160937 752585735 /nfs/dbraw/zinc/58/57/35/752585735.db2.gz ZPQAHBJZWIILOD-INIZCTEOSA-N 1 2 323.400 1.689 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](CC(=O)NC3CC3)C2)C1 ZINC001008437255 752728276 /nfs/dbraw/zinc/72/82/76/752728276.db2.gz JYZIAXPVXXMWNM-AWEZNQCLSA-N 1 2 305.422 1.202 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](CC(=O)NC3CC3)C2)C1 ZINC001008437255 752728279 /nfs/dbraw/zinc/72/82/79/752728279.db2.gz JYZIAXPVXXMWNM-AWEZNQCLSA-N 1 2 305.422 1.202 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cnon2)cc1 ZINC001032691629 752745516 /nfs/dbraw/zinc/74/55/16/752745516.db2.gz HEXWEJBHZOIUHN-KBPBESRZSA-N 1 2 309.329 1.040 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cnon2)cc1 ZINC001032691629 752745520 /nfs/dbraw/zinc/74/55/20/752745520.db2.gz HEXWEJBHZOIUHN-KBPBESRZSA-N 1 2 309.329 1.040 20 30 DDEDLO Cc1cc(/C=N/[S@](=O)C(C)(C)C)cc(NCCC(N)=O)[nH+]1 ZINC001168956150 762154080 /nfs/dbraw/zinc/15/40/80/762154080.db2.gz HPVBKKBIHPNLIH-DDPFVEHASA-N 1 2 310.423 1.558 20 30 DDEDLO CN(C[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1)c1ccc(C#N)nc1 ZINC001062139070 752785168 /nfs/dbraw/zinc/78/51/68/752785168.db2.gz STIHYHLPGLTXGL-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO CN(C[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1)c1ccncc1C#N ZINC001062181722 752813964 /nfs/dbraw/zinc/81/39/64/752813964.db2.gz IDGDYEOUHCYBPM-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO Cc1nc(C#N)c(C#N)nc1NCCc1cn2ccccc2[nH+]1 ZINC001169005052 762173960 /nfs/dbraw/zinc/17/39/60/762173960.db2.gz PEERBECPJUPFCB-UHFFFAOYSA-N 1 2 303.329 1.831 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC001107990979 753249253 /nfs/dbraw/zinc/24/92/53/753249253.db2.gz SGQBFEJLGZBFCU-SFHVURJKSA-N 1 2 315.417 1.736 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC001107990979 753249259 /nfs/dbraw/zinc/24/92/59/753249259.db2.gz SGQBFEJLGZBFCU-SFHVURJKSA-N 1 2 315.417 1.736 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)CCc2c[nH+]cn2C)CCC1 ZINC001062687984 753385347 /nfs/dbraw/zinc/38/53/47/753385347.db2.gz VYMNWWAUNBJSOH-UHFFFAOYSA-N 1 2 318.421 1.474 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001077924458 753428113 /nfs/dbraw/zinc/42/81/13/753428113.db2.gz FVFRCGZYLQYRMF-KGLIPLIRSA-N 1 2 320.437 1.956 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001108002558 753478970 /nfs/dbraw/zinc/47/89/70/753478970.db2.gz KHJCLTVIMKOSMZ-OLZOCXBDSA-N 1 2 324.388 1.329 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(cn1)OCCC2 ZINC001032757170 753500748 /nfs/dbraw/zinc/50/07/48/753500748.db2.gz NEQSIZONAPFRCU-GJZGRUSLSA-N 1 2 311.385 1.329 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(cn1)OCCC2 ZINC001032757170 753500749 /nfs/dbraw/zinc/50/07/49/753500749.db2.gz NEQSIZONAPFRCU-GJZGRUSLSA-N 1 2 311.385 1.329 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ncccc1C#N ZINC001039819605 762229703 /nfs/dbraw/zinc/22/97/03/762229703.db2.gz WCSZJNCOMIUQHL-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(-c3ccccc3)ccn2)[C@@H](O)C1 ZINC001083890707 753662294 /nfs/dbraw/zinc/66/22/94/753662294.db2.gz HXYLRQXPOQFQKE-MSOLQXFVSA-N 1 2 321.380 1.157 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(-c3ccccc3)ccn2)[C@@H](O)C1 ZINC001083890707 753662297 /nfs/dbraw/zinc/66/22/97/753662297.db2.gz HXYLRQXPOQFQKE-MSOLQXFVSA-N 1 2 321.380 1.157 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001078096444 753730010 /nfs/dbraw/zinc/73/00/10/753730010.db2.gz WDWYABLUCQVCTL-ZDUSSCGKSA-N 1 2 306.410 1.330 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1cn[nH]c1 ZINC001010297593 753744985 /nfs/dbraw/zinc/74/49/85/753744985.db2.gz HESORBRORYLEND-INIZCTEOSA-N 1 2 312.348 1.405 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1cn[nH]c1 ZINC001010297593 753744987 /nfs/dbraw/zinc/74/49/87/753744987.db2.gz HESORBRORYLEND-INIZCTEOSA-N 1 2 312.348 1.405 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001078221598 753854304 /nfs/dbraw/zinc/85/43/04/753854304.db2.gz CKBQXIJGDKCWGI-YOEHRIQHSA-N 1 2 320.437 1.887 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001078221597 753854380 /nfs/dbraw/zinc/85/43/80/753854380.db2.gz CKBQXIJGDKCWGI-WMLDXEAASA-N 1 2 320.437 1.887 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)C[C@H](C)n2cc[nH+]c2)CCC1 ZINC001063071567 753956004 /nfs/dbraw/zinc/95/60/04/753956004.db2.gz DNZXWUQOSXBFRW-AWEZNQCLSA-N 1 2 318.421 1.956 20 30 DDEDLO C=CC(C)(C)C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C2 ZINC001000896600 754010586 /nfs/dbraw/zinc/01/05/86/754010586.db2.gz NEPSDMVLHYOLBL-RDBSUJKOSA-N 1 2 316.405 1.413 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)ncn1 ZINC001010647977 754079019 /nfs/dbraw/zinc/07/90/19/754079019.db2.gz CULBBBGLNUKHJP-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)ncn1 ZINC001010647977 754079022 /nfs/dbraw/zinc/07/90/22/754079022.db2.gz CULBBBGLNUKHJP-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C[C@@H]2C)cc[nH+]1 ZINC001063729767 754299811 /nfs/dbraw/zinc/29/98/11/754299811.db2.gz OUDNAFLEUDECBU-SMDDNHRTSA-N 1 2 324.388 1.948 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064107778 754517141 /nfs/dbraw/zinc/51/71/41/754517141.db2.gz RPZXGJZQSGSXOQ-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc2nsnc21 ZINC001032823847 754554036 /nfs/dbraw/zinc/55/40/36/754554036.db2.gz ZTJFOUGDBSOKMN-STQMWFEESA-N 1 2 312.398 1.152 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc2nsnc21 ZINC001032823847 754554041 /nfs/dbraw/zinc/55/40/41/754554041.db2.gz ZTJFOUGDBSOKMN-STQMWFEESA-N 1 2 312.398 1.152 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)[C@H]2C)C1 ZINC001011855507 754744413 /nfs/dbraw/zinc/74/44/13/754744413.db2.gz IRWDJDBPEFMPEK-UONOGXRCSA-N 1 2 316.405 1.345 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064786135 754847686 /nfs/dbraw/zinc/84/76/86/754847686.db2.gz XGSSKUAMIPFXBP-DZGCQCFKSA-N 1 2 324.388 1.641 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)[C@H]2C)cc[nH+]1 ZINC001040092739 762379252 /nfs/dbraw/zinc/37/92/52/762379252.db2.gz OYURXYPFFUPIKM-GWCFXTLKSA-N 1 2 310.361 1.700 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C2(F)CCOCC2)C1 ZINC001079822618 755598349 /nfs/dbraw/zinc/59/83/49/755598349.db2.gz LCPISNDVJHAQHY-ZYHUDNBSSA-N 1 2 304.793 1.694 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C2(F)CCOCC2)C1 ZINC001079822618 755598352 /nfs/dbraw/zinc/59/83/52/755598352.db2.gz LCPISNDVJHAQHY-ZYHUDNBSSA-N 1 2 304.793 1.694 20 30 DDEDLO C=C(C)CCC(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001079877656 755617787 /nfs/dbraw/zinc/61/77/87/755617787.db2.gz LQMQAKMJGFISRE-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO CCn1nncc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001014372830 755703875 /nfs/dbraw/zinc/70/38/75/755703875.db2.gz ZGJCGEOEMSZFEZ-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1nncc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001014372830 755703879 /nfs/dbraw/zinc/70/38/79/755703879.db2.gz ZGJCGEOEMSZFEZ-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3ncc[nH]3)C2)cc1F ZINC001014381515 755708452 /nfs/dbraw/zinc/70/84/52/755708452.db2.gz OQUHRWSMUXLWBH-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3ncc[nH]3)C2)cc1F ZINC001014381515 755708455 /nfs/dbraw/zinc/70/84/55/755708455.db2.gz OQUHRWSMUXLWBH-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2nocc2C)C[C@H]1C ZINC001080472439 755932411 /nfs/dbraw/zinc/93/24/11/755932411.db2.gz VTTRKMOXIQKWMT-MEWXFMAXSA-N 1 2 319.405 1.511 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2nocc2C)C[C@H]1C ZINC001080472439 755932412 /nfs/dbraw/zinc/93/24/12/755932412.db2.gz VTTRKMOXIQKWMT-MEWXFMAXSA-N 1 2 319.405 1.511 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2cscn2)C[C@H]1C ZINC001080473897 755938309 /nfs/dbraw/zinc/93/83/09/755938309.db2.gz CDABEVXXLHEVCP-NGFQHRJXSA-N 1 2 321.446 1.671 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2cscn2)C[C@H]1C ZINC001080473897 755938310 /nfs/dbraw/zinc/93/83/10/755938310.db2.gz CDABEVXXLHEVCP-NGFQHRJXSA-N 1 2 321.446 1.671 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc3ncnn32)C1 ZINC001015132812 756138503 /nfs/dbraw/zinc/13/85/03/756138503.db2.gz PGVVCAJBMQVEBP-LLVKDONJSA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc3ncnn32)C1 ZINC001015132812 756138505 /nfs/dbraw/zinc/13/85/05/756138505.db2.gz PGVVCAJBMQVEBP-LLVKDONJSA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001081003913 756172885 /nfs/dbraw/zinc/17/28/85/756172885.db2.gz IHRQWTBCTDPGIQ-KGYLQXTDSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001081003913 756172887 /nfs/dbraw/zinc/17/28/87/756172887.db2.gz IHRQWTBCTDPGIQ-KGYLQXTDSA-N 1 2 313.829 1.044 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1C[C@@H](c2ccccc2)[C@H](NCC#N)C1 ZINC001081213789 756233221 /nfs/dbraw/zinc/23/32/21/756233221.db2.gz OQQUSTZKCGYYNM-DLBZAZTESA-N 1 2 323.400 1.070 20 30 DDEDLO CC[C@H](F)C[N@@H+]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@H](OC)C1 ZINC001081507370 756361630 /nfs/dbraw/zinc/36/16/30/756361630.db2.gz RKFWACXFCJIKIB-IACUBPJLSA-N 1 2 308.357 1.063 20 30 DDEDLO CC[C@H](F)C[N@H+]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@H](OC)C1 ZINC001081507370 756361635 /nfs/dbraw/zinc/36/16/35/756361635.db2.gz RKFWACXFCJIKIB-IACUBPJLSA-N 1 2 308.357 1.063 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sc(C3CC3)nc2C)[C@H](OC)C1 ZINC001081657039 756413559 /nfs/dbraw/zinc/41/35/59/756413559.db2.gz ZQVOWASCCDVFCO-CHWSQXEVSA-N 1 2 321.446 1.944 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sc(C3CC3)nc2C)[C@H](OC)C1 ZINC001081657039 756413562 /nfs/dbraw/zinc/41/35/62/756413562.db2.gz ZQVOWASCCDVFCO-CHWSQXEVSA-N 1 2 321.446 1.944 20 30 DDEDLO Cc1ccnn1CC(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015777990 756541823 /nfs/dbraw/zinc/54/18/23/756541823.db2.gz VPHAYOCSOWHSSZ-KRWDZBQOSA-N 1 2 323.400 1.454 20 30 DDEDLO Cc1ccnn1CC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015777990 756541824 /nfs/dbraw/zinc/54/18/24/756541824.db2.gz VPHAYOCSOWHSSZ-KRWDZBQOSA-N 1 2 323.400 1.454 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2nc3c(s2)CCC3)[C@H](OC)C1 ZINC001081943470 756556050 /nfs/dbraw/zinc/55/60/50/756556050.db2.gz NXWAVEJMXKHXOI-VXGBXAGGSA-N 1 2 307.419 1.247 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2nc3c(s2)CCC3)[C@H](OC)C1 ZINC001081943470 756556052 /nfs/dbraw/zinc/55/60/52/756556052.db2.gz NXWAVEJMXKHXOI-VXGBXAGGSA-N 1 2 307.419 1.247 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3c(c2)[C@H](C)CO3)[C@H](OC)C1 ZINC001082046901 756605227 /nfs/dbraw/zinc/60/52/27/756605227.db2.gz MVDVGZWXUIPQDQ-SRCQZFHVSA-N 1 2 314.385 1.245 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3c(c2)[C@H](C)CO3)[C@H](OC)C1 ZINC001082046901 756605229 /nfs/dbraw/zinc/60/52/29/756605229.db2.gz MVDVGZWXUIPQDQ-SRCQZFHVSA-N 1 2 314.385 1.245 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2cccnn2)C1 ZINC001015889582 756623558 /nfs/dbraw/zinc/62/35/58/756623558.db2.gz VBDXAEVLQUADES-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2cccnn2)C1 ZINC001015889582 756623561 /nfs/dbraw/zinc/62/35/61/756623561.db2.gz VBDXAEVLQUADES-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2coc(C3CC3)n2)[C@H](OC)C1 ZINC001082208555 756685704 /nfs/dbraw/zinc/68/57/04/756685704.db2.gz SBKQHTAPFARXNG-TZMCWYRMSA-N 1 2 303.362 1.004 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2coc(C3CC3)n2)[C@H](OC)C1 ZINC001082208555 756685705 /nfs/dbraw/zinc/68/57/05/756685705.db2.gz SBKQHTAPFARXNG-TZMCWYRMSA-N 1 2 303.362 1.004 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ncoc2C2CC2)[C@H](OC)C1 ZINC001082302310 756727565 /nfs/dbraw/zinc/72/75/65/756727565.db2.gz IJWMUSMVVMIBOP-CHWSQXEVSA-N 1 2 303.362 1.004 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ncoc2C2CC2)[C@H](OC)C1 ZINC001082302310 756727570 /nfs/dbraw/zinc/72/75/70/756727570.db2.gz IJWMUSMVVMIBOP-CHWSQXEVSA-N 1 2 303.362 1.004 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2coc(C3CCC3)n2)[C@H](OC)C1 ZINC001082311509 756730233 /nfs/dbraw/zinc/73/02/33/756730233.db2.gz NVQWQYUPIMHLLU-UKRRQHHQSA-N 1 2 317.389 1.394 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2coc(C3CCC3)n2)[C@H](OC)C1 ZINC001082311509 756730238 /nfs/dbraw/zinc/73/02/38/756730238.db2.gz NVQWQYUPIMHLLU-UKRRQHHQSA-N 1 2 317.389 1.394 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccns3)C2)nc1 ZINC001016026079 756730602 /nfs/dbraw/zinc/73/06/02/756730602.db2.gz CTDYRODRXHNQMR-ZDUSSCGKSA-N 1 2 312.398 1.524 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccns3)C2)nc1 ZINC001016026079 756730606 /nfs/dbraw/zinc/73/06/06/756730606.db2.gz CTDYRODRXHNQMR-ZDUSSCGKSA-N 1 2 312.398 1.524 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(CC)c(C)s2)[C@H](OC)C1 ZINC001082361734 756753633 /nfs/dbraw/zinc/75/36/33/756753633.db2.gz RFWLPOOEAGZBIK-ZIAGYGMSSA-N 1 2 306.431 1.681 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(CC)c(C)s2)[C@H](OC)C1 ZINC001082361734 756753635 /nfs/dbraw/zinc/75/36/35/756753635.db2.gz RFWLPOOEAGZBIK-ZIAGYGMSSA-N 1 2 306.431 1.681 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(Cl)cccc2OC)[C@H](OC)C1 ZINC001082385070 756763517 /nfs/dbraw/zinc/76/35/17/756763517.db2.gz XCVCGBGLAJNJEH-TZMCWYRMSA-N 1 2 322.792 1.411 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(Cl)cccc2OC)[C@H](OC)C1 ZINC001082385070 756763518 /nfs/dbraw/zinc/76/35/18/756763518.db2.gz XCVCGBGLAJNJEH-TZMCWYRMSA-N 1 2 322.792 1.411 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCc3nnc(C)n3C2)C1 ZINC001016328151 756931314 /nfs/dbraw/zinc/93/13/14/756931314.db2.gz SLUNRQZUKZJCKU-OLZOCXBDSA-N 1 2 323.828 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCc3nnc(C)n3C2)C1 ZINC001016328151 756931318 /nfs/dbraw/zinc/93/13/18/756931318.db2.gz SLUNRQZUKZJCKU-OLZOCXBDSA-N 1 2 323.828 1.092 20 30 DDEDLO CC[C@@H](F)C[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C#N)[nH]3)[C@H]2C1 ZINC001083054540 757106401 /nfs/dbraw/zinc/10/64/01/757106401.db2.gz NHHUYWLFUNPBMZ-BYCMXARLSA-N 1 2 320.368 1.160 20 30 DDEDLO CC[C@@H](F)C[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C#N)[nH]3)[C@H]2C1 ZINC001083054540 757106404 /nfs/dbraw/zinc/10/64/04/757106404.db2.gz NHHUYWLFUNPBMZ-BYCMXARLSA-N 1 2 320.368 1.160 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001097357516 757108020 /nfs/dbraw/zinc/10/80/20/757108020.db2.gz QYPQOZOZVBUZMD-AWEZNQCLSA-N 1 2 304.394 1.638 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@@H]2CNC(=O)Cn2cc[nH+]c2)cn1 ZINC001097428804 757176809 /nfs/dbraw/zinc/17/68/09/757176809.db2.gz ICABJKADDMKCQX-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3ccccc3F)CCC2)[C@@H](O)C1 ZINC001083942480 757195112 /nfs/dbraw/zinc/19/51/12/757195112.db2.gz TUDHLILCTCUNCO-CVEARBPZSA-N 1 2 316.376 1.042 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3ccccc3F)CCC2)[C@@H](O)C1 ZINC001083942480 757195116 /nfs/dbraw/zinc/19/51/16/757195116.db2.gz TUDHLILCTCUNCO-CVEARBPZSA-N 1 2 316.376 1.042 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)cc2Cl)[C@@H](O)C1 ZINC001083986308 757207862 /nfs/dbraw/zinc/20/78/62/757207862.db2.gz CHJOCQDAQREYNR-OLZOCXBDSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)cc2Cl)[C@@H](O)C1 ZINC001083986308 757207867 /nfs/dbraw/zinc/20/78/67/757207867.db2.gz CHJOCQDAQREYNR-OLZOCXBDSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2csc3c2CC[C@@H](C)C3)[C@@H](O)C1 ZINC001084022285 757229195 /nfs/dbraw/zinc/22/91/95/757229195.db2.gz AUDITRBKDNXZHN-DFBGVHRSSA-N 1 2 318.442 1.281 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2csc3c2CC[C@@H](C)C3)[C@@H](O)C1 ZINC001084022285 757229201 /nfs/dbraw/zinc/22/92/01/757229201.db2.gz AUDITRBKDNXZHN-DFBGVHRSSA-N 1 2 318.442 1.281 20 30 DDEDLO N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(-n3cc[nH+]c3)cc1)C2 ZINC001097590651 757264245 /nfs/dbraw/zinc/26/42/45/757264245.db2.gz DTWRBZVNJGECEG-ZACQAIPSSA-N 1 2 321.384 1.731 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)sn1 ZINC001084236370 757437798 /nfs/dbraw/zinc/43/77/98/757437798.db2.gz FYBMASOEWXTWHI-NQBHXWOUSA-N 1 2 320.418 1.344 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)sn1 ZINC001084236370 757437803 /nfs/dbraw/zinc/43/78/03/757437803.db2.gz FYBMASOEWXTWHI-NQBHXWOUSA-N 1 2 320.418 1.344 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084236245 757437895 /nfs/dbraw/zinc/43/78/95/757437895.db2.gz BVXHPSJANOIBMX-KBAYOESNSA-N 1 2 307.397 1.730 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084236245 757437899 /nfs/dbraw/zinc/43/78/99/757437899.db2.gz BVXHPSJANOIBMX-KBAYOESNSA-N 1 2 307.397 1.730 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)C1CCOCC1 ZINC001017720901 758182277 /nfs/dbraw/zinc/18/22/77/758182277.db2.gz JBZRYSWIIFYABB-IYBDPMFKSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)C1CCOCC1 ZINC001017720901 758182282 /nfs/dbraw/zinc/18/22/82/758182282.db2.gz JBZRYSWIIFYABB-IYBDPMFKSA-N 1 2 304.434 1.748 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)ccc1=O ZINC001017726422 758186164 /nfs/dbraw/zinc/18/61/64/758186164.db2.gz WLARZDMMYBMEJG-OKILXGFUSA-N 1 2 301.390 1.250 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)ccc1=O ZINC001017726422 758186170 /nfs/dbraw/zinc/18/61/70/758186170.db2.gz WLARZDMMYBMEJG-OKILXGFUSA-N 1 2 301.390 1.250 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCOC[C@@H]1OCC ZINC001017744901 758201201 /nfs/dbraw/zinc/20/12/01/758201201.db2.gz LEQZOBBBMMMPEA-NCOADZHNSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCOC[C@@H]1OCC ZINC001017744901 758201210 /nfs/dbraw/zinc/20/12/10/758201210.db2.gz LEQZOBBBMMMPEA-NCOADZHNSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccc(OCC)n1 ZINC001017751911 758206397 /nfs/dbraw/zinc/20/63/97/758206397.db2.gz QXWQXEPAKMXKRV-IYBDPMFKSA-N 1 2 313.401 1.331 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccc(OCC)n1 ZINC001017751911 758206407 /nfs/dbraw/zinc/20/64/07/758206407.db2.gz QXWQXEPAKMXKRV-IYBDPMFKSA-N 1 2 313.401 1.331 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CC[C@@H](CC)O1)CCO2 ZINC001053279053 758333183 /nfs/dbraw/zinc/33/31/83/758333183.db2.gz FFXJBLZZNLNURL-CABCVRRESA-N 1 2 308.422 1.433 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001053299142 758354704 /nfs/dbraw/zinc/35/47/04/758354704.db2.gz DWGQPCNFHXDKBL-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(CC)cn1 ZINC001017975010 758432655 /nfs/dbraw/zinc/43/26/55/758432655.db2.gz IONCXVIDEDBNBH-HDICACEKSA-N 1 2 311.429 1.885 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(CC)cn1 ZINC001017975010 758432661 /nfs/dbraw/zinc/43/26/61/758432661.db2.gz IONCXVIDEDBNBH-HDICACEKSA-N 1 2 311.429 1.885 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC1=CCOCC1)CCO2 ZINC001053414850 758445064 /nfs/dbraw/zinc/44/50/64/758445064.db2.gz VDEZJLBFQPFZBF-UHFFFAOYSA-N 1 2 306.406 1.213 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@]2(C)CCN(c3cc[nH+]c(C)n3)C2)c1 ZINC001065324915 758458708 /nfs/dbraw/zinc/45/87/08/758458708.db2.gz OHKNASFZIOCOFU-GOSISDBHSA-N 1 2 321.384 1.560 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc(N(C)C)c1 ZINC001018016094 758478423 /nfs/dbraw/zinc/47/84/23/758478423.db2.gz CXTSKXZQERQZEP-IYBDPMFKSA-N 1 2 312.417 1.460 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc(N(C)C)c1 ZINC001018016094 758478433 /nfs/dbraw/zinc/47/84/33/758478433.db2.gz CXTSKXZQERQZEP-IYBDPMFKSA-N 1 2 312.417 1.460 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(Cl)cn1)CCO2 ZINC001053513691 758519972 /nfs/dbraw/zinc/51/99/72/758519972.db2.gz UCECPYZTKIWRGV-UHFFFAOYSA-N 1 2 321.808 1.838 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CC2CC2)nn1 ZINC001018117414 758560144 /nfs/dbraw/zinc/56/01/44/758560144.db2.gz ZCENHZXKIQMSQN-OKILXGFUSA-N 1 2 301.394 1.163 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CC2CC2)nn1 ZINC001018117414 758560150 /nfs/dbraw/zinc/56/01/50/758560150.db2.gz ZCENHZXKIQMSQN-OKILXGFUSA-N 1 2 301.394 1.163 20 30 DDEDLO C=CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001018169796 758599346 /nfs/dbraw/zinc/59/93/46/758599346.db2.gz NJUYPCCYJBCADQ-MCIONIFRSA-N 1 2 315.421 1.161 20 30 DDEDLO C=CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001018169796 758599352 /nfs/dbraw/zinc/59/93/52/758599352.db2.gz NJUYPCCYJBCADQ-MCIONIFRSA-N 1 2 315.421 1.161 20 30 DDEDLO C=CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001018169796 758599362 /nfs/dbraw/zinc/59/93/62/758599362.db2.gz NJUYPCCYJBCADQ-MCIONIFRSA-N 1 2 315.421 1.161 20 30 DDEDLO C=CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001018169796 758599368 /nfs/dbraw/zinc/59/93/68/758599368.db2.gz NJUYPCCYJBCADQ-MCIONIFRSA-N 1 2 315.421 1.161 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1csnc1C)O2 ZINC001053592289 758611121 /nfs/dbraw/zinc/61/11/21/758611121.db2.gz JRVLNPWHSUWKIV-GFCCVEGCSA-N 1 2 307.419 1.601 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1OCC[C@H]1C(C)C)O2 ZINC001053603171 758623577 /nfs/dbraw/zinc/62/35/77/758623577.db2.gz PAFFJGQXQOJOFM-PMPSAXMXSA-N 1 2 322.449 1.583 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1scnc1CC)O2 ZINC001053609098 758629204 /nfs/dbraw/zinc/62/92/04/758629204.db2.gz UIPAMHCIJVCLHB-LBPRGKRZSA-N 1 2 321.446 1.855 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C(C)C)on1)O2 ZINC001053634136 758650648 /nfs/dbraw/zinc/65/06/48/758650648.db2.gz LDBVQNDARQBFKX-CYBMUJFWSA-N 1 2 319.405 1.947 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccnc(C)c1)O2 ZINC001053677999 758688325 /nfs/dbraw/zinc/68/83/25/758688325.db2.gz MGABTQQUEDYNLC-HNNXBMFYSA-N 1 2 301.390 1.539 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnn(CC)c1C)O2 ZINC001053684052 758693389 /nfs/dbraw/zinc/69/33/89/758693389.db2.gz KBELXDQNOKALKJ-CQSZACIVSA-N 1 2 318.421 1.361 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CCC)C2)CC1 ZINC001065680735 758699935 /nfs/dbraw/zinc/69/99/35/758699935.db2.gz NAZNQPUCEVTVDJ-MRXNPFEDSA-N 1 2 321.465 1.888 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1coc(CC)n1)CO2 ZINC001053782892 758806501 /nfs/dbraw/zinc/80/65/01/758806501.db2.gz FLWVAZZPXOJUOC-GFCCVEGCSA-N 1 2 305.378 1.386 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1COC2(C[NH+](CC(=C)C)C2)C1 ZINC001053805249 758832880 /nfs/dbraw/zinc/83/28/80/758832880.db2.gz NFGXRAYRTWZTTB-XHSDSOJGSA-N 1 2 320.433 1.503 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1sccc1Cl)CO2 ZINC001053813269 758840799 /nfs/dbraw/zinc/84/07/99/758840799.db2.gz NYWBDURUWZCXRH-LLVKDONJSA-N 1 2 324.833 1.998 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(CC)ccn1)CO2 ZINC001053814448 758841631 /nfs/dbraw/zinc/84/16/31/758841631.db2.gz NJUAHQNANFQNAZ-OAHLLOKOSA-N 1 2 313.401 1.240 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CCC(F)(F)C1)CO2 ZINC001053825322 758856466 /nfs/dbraw/zinc/85/64/66/758856466.db2.gz OUBGYRBKYNKEBU-STQMWFEESA-N 1 2 314.376 1.957 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cnc(C3CC3)o1)CO2 ZINC001053831852 758861624 /nfs/dbraw/zinc/86/16/24/758861624.db2.gz RPZSLJWFSSIXCF-ZDUSSCGKSA-N 1 2 315.373 1.148 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)C(C)(C)C)CC2=O)C1 ZINC001108553110 762665239 /nfs/dbraw/zinc/66/52/39/762665239.db2.gz GADSLNZVBUHJBQ-KGLIPLIRSA-N 1 2 321.465 1.646 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1[nH]c(C)cc1C)CO2 ZINC001053846614 758876839 /nfs/dbraw/zinc/87/68/39/758876839.db2.gz JVGRLKJVTOBSFO-CQSZACIVSA-N 1 2 301.390 1.228 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)sc1C)CO2 ZINC001053859634 758892544 /nfs/dbraw/zinc/89/25/44/758892544.db2.gz LUHYWXSWMMLMRP-AWEZNQCLSA-N 1 2 318.442 1.961 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cn(CC)nc1C)CO2 ZINC001053943441 758979164 /nfs/dbraw/zinc/97/91/64/758979164.db2.gz GBDZPHASXXMNKG-CQSZACIVSA-N 1 2 318.421 1.361 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@H]1C1CCC1)CO2 ZINC001053953186 758991121 /nfs/dbraw/zinc/99/11/21/758991121.db2.gz OJIHQPMGNPOGHO-HRCADAONSA-N 1 2 302.418 1.405 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(Cl)cc1)CO2 ZINC001053988535 759030196 /nfs/dbraw/zinc/03/01/96/759030196.db2.gz LSBPLXRJPNYTKJ-OAHLLOKOSA-N 1 2 318.804 1.936 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)c(C)c1)CO2 ZINC001053999626 759046516 /nfs/dbraw/zinc/04/65/16/759046516.db2.gz KREUHGDMTKVCLU-KRWDZBQOSA-N 1 2 312.413 1.900 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccnn1CC ZINC001054039947 759097070 /nfs/dbraw/zinc/09/70/70/759097070.db2.gz NNUKRQPJAYLVFH-QGZVFWFLSA-N 1 2 322.412 1.693 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccnn1CC ZINC001054039947 759097080 /nfs/dbraw/zinc/09/70/80/759097080.db2.gz NNUKRQPJAYLVFH-QGZVFWFLSA-N 1 2 322.412 1.693 20 30 DDEDLO C=CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ncn(C)n1 ZINC001054039482 759097350 /nfs/dbraw/zinc/09/73/50/759097350.db2.gz BSTCRAINUOGHQB-HNNXBMFYSA-N 1 2 311.389 1.158 20 30 DDEDLO C=CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ncn(C)n1 ZINC001054039482 759097357 /nfs/dbraw/zinc/09/73/57/759097357.db2.gz BSTCRAINUOGHQB-HNNXBMFYSA-N 1 2 311.389 1.158 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001018682703 759131935 /nfs/dbraw/zinc/13/19/35/759131935.db2.gz QRMGQAXZGQMMQT-CABZTGNLSA-N 1 2 314.349 1.143 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@]2(CC[N@H+](Cc3cnon3)C2)C1 ZINC001054130794 759204963 /nfs/dbraw/zinc/20/49/63/759204963.db2.gz YTTKIXVPSKCQMR-KRWDZBQOSA-N 1 2 314.389 1.298 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@]2(CC[N@@H+](Cc3cnon3)C2)C1 ZINC001054130794 759204969 /nfs/dbraw/zinc/20/49/69/759204969.db2.gz YTTKIXVPSKCQMR-KRWDZBQOSA-N 1 2 314.389 1.298 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000826670982 759297986 /nfs/dbraw/zinc/29/79/86/759297986.db2.gz QQPIUNYGYBAJAV-AWEZNQCLSA-N 1 2 304.394 1.052 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001018864940 759347298 /nfs/dbraw/zinc/34/72/98/759347298.db2.gz BWDQONAJVZFCNF-MJBXVCDLSA-N 1 2 320.393 1.050 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)Cn1cc[nH+]c1 ZINC001069117411 767858749 /nfs/dbraw/zinc/85/87/49/767858749.db2.gz YDXPDDBQOARWGY-TZMCWYRMSA-N 1 2 310.361 1.251 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(OC(C)C)cn1 ZINC001085595782 759797266 /nfs/dbraw/zinc/79/72/66/759797266.db2.gz MSSOFIXDINCYQJ-CYBMUJFWSA-N 1 2 302.378 1.043 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(OC(C)C)cn1 ZINC001085595782 759797273 /nfs/dbraw/zinc/79/72/73/759797273.db2.gz MSSOFIXDINCYQJ-CYBMUJFWSA-N 1 2 302.378 1.043 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(CC)nnc1C ZINC001085743948 760152865 /nfs/dbraw/zinc/15/28/65/760152865.db2.gz ZGLDUYCWRDBVRN-OAHLLOKOSA-N 1 2 300.406 1.517 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(CC)nnc1C ZINC001085743948 760152872 /nfs/dbraw/zinc/15/28/72/760152872.db2.gz ZGLDUYCWRDBVRN-OAHLLOKOSA-N 1 2 300.406 1.517 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncoc1[C@@H]1CCCO1 ZINC001085764027 760197435 /nfs/dbraw/zinc/19/74/35/760197435.db2.gz OGFOBYQPBJRDCH-STQMWFEESA-N 1 2 303.362 1.306 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncoc1[C@@H]1CCCO1 ZINC001085764027 760197444 /nfs/dbraw/zinc/19/74/44/760197444.db2.gz OGFOBYQPBJRDCH-STQMWFEESA-N 1 2 303.362 1.306 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H](C)[C@H]([NH2+]Cc3nc(C)no3)C2)cc1 ZINC001054961674 760237048 /nfs/dbraw/zinc/23/70/48/760237048.db2.gz JNNRXBJSCCEJLV-BLLLJJGKSA-N 1 2 324.384 1.610 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(CC)ncnc1CC ZINC001085819521 760296425 /nfs/dbraw/zinc/29/64/25/760296425.db2.gz AGZNAQGJJFEXIT-ZDUSSCGKSA-N 1 2 300.406 1.381 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(CC)ncnc1CC ZINC001085819521 760296430 /nfs/dbraw/zinc/29/64/30/760296430.db2.gz AGZNAQGJJFEXIT-ZDUSSCGKSA-N 1 2 300.406 1.381 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001085827154 760305968 /nfs/dbraw/zinc/30/59/68/760305968.db2.gz JPGAPLDPIGLFJL-BMFZPTHFSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001085827154 760305979 /nfs/dbraw/zinc/30/59/79/760305979.db2.gz JPGAPLDPIGLFJL-BMFZPTHFSA-N 1 2 319.405 1.607 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)Nc1ncc(C#N)cc1F ZINC001097975540 760328003 /nfs/dbraw/zinc/32/80/03/760328003.db2.gz VGQUCMHSEYVNGN-SECBINFHSA-N 1 2 316.340 1.283 20 30 DDEDLO C#CCOc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2CCF)cc1 ZINC001085857963 760378248 /nfs/dbraw/zinc/37/82/48/760378248.db2.gz LMXQTROWNAWVTF-OAHLLOKOSA-N 1 2 304.365 1.814 20 30 DDEDLO C#CCOc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2CCF)cc1 ZINC001085857963 760378261 /nfs/dbraw/zinc/37/82/61/760378261.db2.gz LMXQTROWNAWVTF-OAHLLOKOSA-N 1 2 304.365 1.814 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001085902171 760495647 /nfs/dbraw/zinc/49/56/47/760495647.db2.gz WAUOSDCXGCRLRR-QWHCGFSZSA-N 1 2 300.406 1.314 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001085902171 760495651 /nfs/dbraw/zinc/49/56/51/760495651.db2.gz WAUOSDCXGCRLRR-QWHCGFSZSA-N 1 2 300.406 1.314 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC001085906388 760500876 /nfs/dbraw/zinc/50/08/76/760500876.db2.gz HAVNDIMSUFVEMC-MRXNPFEDSA-N 1 2 308.385 1.856 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC001085906388 760500885 /nfs/dbraw/zinc/50/08/85/760500885.db2.gz HAVNDIMSUFVEMC-MRXNPFEDSA-N 1 2 308.385 1.856 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2C/C=C/Cl)cn1 ZINC001085933771 760564980 /nfs/dbraw/zinc/56/49/80/760564980.db2.gz KIOHGDRDQFCFIW-SGJXGLNRSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2C/C=C/Cl)cn1 ZINC001085933771 760564984 /nfs/dbraw/zinc/56/49/84/760564984.db2.gz KIOHGDRDQFCFIW-SGJXGLNRSA-N 1 2 303.793 1.962 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1OC(C)C ZINC001085953389 760599249 /nfs/dbraw/zinc/59/92/49/760599249.db2.gz ZGEHINIGJGAHRZ-CQSZACIVSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1OC(C)C ZINC001085953389 760599255 /nfs/dbraw/zinc/59/92/55/760599255.db2.gz ZGEHINIGJGAHRZ-CQSZACIVSA-N 1 2 301.390 1.648 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001108227525 760614241 /nfs/dbraw/zinc/61/42/41/760614241.db2.gz BYPQIZHHAPANBE-NUJGCVRESA-N 1 2 306.450 1.899 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001108227525 760614245 /nfs/dbraw/zinc/61/42/45/760614245.db2.gz BYPQIZHHAPANBE-NUJGCVRESA-N 1 2 306.450 1.899 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(-n2ccnc2)c1 ZINC001085973847 760635643 /nfs/dbraw/zinc/63/56/43/760635643.db2.gz HRULNPFJJCCXBZ-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(-n2ccnc2)c1 ZINC001085973847 760635645 /nfs/dbraw/zinc/63/56/45/760635645.db2.gz HRULNPFJJCCXBZ-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1COCC[C@@H]1c1ccccc1 ZINC001038259780 760955906 /nfs/dbraw/zinc/95/59/06/760955906.db2.gz JHSRPCMYXHNPMH-KZNAEPCWSA-N 1 2 312.413 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1COCC[C@@H]1c1ccccc1 ZINC001038259780 760955908 /nfs/dbraw/zinc/95/59/08/760955908.db2.gz JHSRPCMYXHNPMH-KZNAEPCWSA-N 1 2 312.413 1.630 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001038338882 761021624 /nfs/dbraw/zinc/02/16/24/761021624.db2.gz DIKWVFUAWLWPPZ-LLVKDONJSA-N 1 2 306.797 1.768 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001038338882 761021634 /nfs/dbraw/zinc/02/16/34/761021634.db2.gz DIKWVFUAWLWPPZ-LLVKDONJSA-N 1 2 306.797 1.768 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2[C@@H]2CCCNC2=O)CC1 ZINC001038662582 761261772 /nfs/dbraw/zinc/26/17/72/761261772.db2.gz SCMGAHMXKCFDIH-LSDHHAIUSA-N 1 2 305.422 1.202 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2[C@@H]2CCCNC2=O)CC1 ZINC001038662582 761261776 /nfs/dbraw/zinc/26/17/76/761261776.db2.gz SCMGAHMXKCFDIH-LSDHHAIUSA-N 1 2 305.422 1.202 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@H+]2Cc2cn(C)nc2C)c1 ZINC001038684493 761285022 /nfs/dbraw/zinc/28/50/22/761285022.db2.gz JXCBZHPXEVXGMN-QGZVFWFLSA-N 1 2 323.400 1.109 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cn(C)nc2C)c1 ZINC001038684493 761285025 /nfs/dbraw/zinc/28/50/25/761285025.db2.gz JXCBZHPXEVXGMN-QGZVFWFLSA-N 1 2 323.400 1.109 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@H](C)Nc1ccc(C#N)cn1 ZINC001098320034 761292152 /nfs/dbraw/zinc/29/21/52/761292152.db2.gz NGEKEYIZBPHZHV-NEPJUHHUSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@H](C)Nc1ccc(C#N)cn1 ZINC001098320034 761292157 /nfs/dbraw/zinc/29/21/57/761292157.db2.gz NGEKEYIZBPHZHV-NEPJUHHUSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)O1 ZINC001038720900 761327158 /nfs/dbraw/zinc/32/71/58/761327158.db2.gz VPPKMESTZRYULQ-KBRIMQKVSA-N 1 2 313.401 1.816 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)O1 ZINC001038720900 761327167 /nfs/dbraw/zinc/32/71/67/761327167.db2.gz VPPKMESTZRYULQ-KBRIMQKVSA-N 1 2 313.401 1.816 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001069475881 768032043 /nfs/dbraw/zinc/03/20/43/768032043.db2.gz MYHJUQKNOJSOMH-UONOGXRCSA-N 1 2 324.388 1.547 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(F)ccc(OC)c1F ZINC001038933534 761564283 /nfs/dbraw/zinc/56/42/83/761564283.db2.gz KLCVFTAPOOMSCR-LLVKDONJSA-N 1 2 308.328 1.801 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(F)ccc(OC)c1F ZINC001038933534 761564289 /nfs/dbraw/zinc/56/42/89/761564289.db2.gz KLCVFTAPOOMSCR-LLVKDONJSA-N 1 2 308.328 1.801 20 30 DDEDLO CC#CC[NH+]1CCC(C2(NC(=O)c3[nH]ncc3F)CC2)CC1 ZINC001000256386 761604240 /nfs/dbraw/zinc/60/42/40/761604240.db2.gz NFOZYPYGRBYUJU-UHFFFAOYSA-N 1 2 304.369 1.547 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn2c1C[C@@H](C)CC2 ZINC001038975640 761609443 /nfs/dbraw/zinc/60/94/43/761609443.db2.gz KACBOULXAUMKAG-UONOGXRCSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn2c1C[C@@H](C)CC2 ZINC001038975640 761609450 /nfs/dbraw/zinc/60/94/50/761609450.db2.gz KACBOULXAUMKAG-UONOGXRCSA-N 1 2 300.406 1.293 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(OC)c(OCC)c1 ZINC001039002705 761633741 /nfs/dbraw/zinc/63/37/41/761633741.db2.gz ZITBPNZNFZKYNK-CQSZACIVSA-N 1 2 302.374 1.531 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(OC)c(OCC)c1 ZINC001039002705 761633745 /nfs/dbraw/zinc/63/37/45/761633745.db2.gz ZITBPNZNFZKYNK-CQSZACIVSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)nc[nH]c2=O ZINC001039026635 761663380 /nfs/dbraw/zinc/66/33/80/761663380.db2.gz WIIBXDODPOITOI-CYBMUJFWSA-N 1 2 310.357 1.163 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)nc[nH]c2=O ZINC001039026635 761663386 /nfs/dbraw/zinc/66/33/86/761663386.db2.gz WIIBXDODPOITOI-CYBMUJFWSA-N 1 2 310.357 1.163 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)nn(CC)c2C)C1 ZINC001108281729 761723768 /nfs/dbraw/zinc/72/37/68/761723768.db2.gz ILUKPOLVNCAVMG-KRWDZBQOSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)nn(CC)c2C)C1 ZINC001108281729 761723771 /nfs/dbraw/zinc/72/37/71/761723771.db2.gz ILUKPOLVNCAVMG-KRWDZBQOSA-N 1 2 320.437 1.527 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108566820 762709447 /nfs/dbraw/zinc/70/94/47/762709447.db2.gz OJQNXAWFSBBWHF-GJZGRUSLSA-N 1 2 319.449 1.566 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001050000530 763003497 /nfs/dbraw/zinc/00/34/97/763003497.db2.gz YIHXYWNXCRPQMR-KCQAQPDRSA-N 1 2 301.394 1.003 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001050000530 763003503 /nfs/dbraw/zinc/00/35/03/763003503.db2.gz YIHXYWNXCRPQMR-KCQAQPDRSA-N 1 2 301.394 1.003 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CC(CC)CC)CC1 ZINC001131393059 768110773 /nfs/dbraw/zinc/11/07/73/768110773.db2.gz KVUMNHAOAALGGT-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CC(CC)CC)CC1 ZINC001131393059 768110778 /nfs/dbraw/zinc/11/07/78/768110778.db2.gz KVUMNHAOAALGGT-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001050269884 763395582 /nfs/dbraw/zinc/39/55/82/763395582.db2.gz YWKMXMBWNJDZNB-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC(Nc2ncccc2C#N)CC1 ZINC001057351693 763491923 /nfs/dbraw/zinc/49/19/23/763491923.db2.gz ASQBHIMBMHPZCI-UHFFFAOYSA-N 1 2 324.388 1.630 20 30 DDEDLO C[C@@H](CN(C)c1ccc(C#N)nc1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001109293317 763554140 /nfs/dbraw/zinc/55/41/40/763554140.db2.gz DOEVBCHJLAFJAM-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@@H](CN(C)c1ccc(C#N)nc1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001109293317 763554145 /nfs/dbraw/zinc/55/41/45/763554145.db2.gz DOEVBCHJLAFJAM-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO CC(C)C[C@@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N)n1cc[nH+]c1 ZINC001109431646 763695730 /nfs/dbraw/zinc/69/57/30/763695730.db2.gz ZFVJOAXDFAEQTI-UGUYLWEFSA-N 1 2 315.421 1.715 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1C[N@H+](CCF)CCC1(F)F ZINC001046973776 768162020 /nfs/dbraw/zinc/16/20/20/768162020.db2.gz VPHWUXQQNIXELX-XQQFMLRXSA-N 1 2 320.355 1.620 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1C[N@@H+](CCF)CCC1(F)F ZINC001046973776 768162024 /nfs/dbraw/zinc/16/20/24/768162024.db2.gz VPHWUXQQNIXELX-XQQFMLRXSA-N 1 2 320.355 1.620 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3CC[N@H+](Cc4cnon4)[C@H]3C2)C1 ZINC001042223252 763783211 /nfs/dbraw/zinc/78/32/11/763783211.db2.gz CFIIWQJTECZJOA-ZFWWWQNUSA-N 1 2 316.405 1.849 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3CC[N@@H+](Cc4cnon4)[C@H]3C2)C1 ZINC001042223252 763783217 /nfs/dbraw/zinc/78/32/17/763783217.db2.gz CFIIWQJTECZJOA-ZFWWWQNUSA-N 1 2 316.405 1.849 20 30 DDEDLO C[C@H](F)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001050867867 764209075 /nfs/dbraw/zinc/20/90/75/764209075.db2.gz JZTINKNFMLUZPX-AAEUAGOBSA-N 1 2 308.357 1.065 20 30 DDEDLO C[C@H](F)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001050867867 764209070 /nfs/dbraw/zinc/20/90/70/764209070.db2.gz JZTINKNFMLUZPX-AAEUAGOBSA-N 1 2 308.357 1.065 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001057661012 764264275 /nfs/dbraw/zinc/26/42/75/764264275.db2.gz TVEBVSBWFXNBAB-OAHLLOKOSA-N 1 2 310.361 1.253 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)Cn2c(C)nc3ccccc32)C1 ZINC001042712168 764380666 /nfs/dbraw/zinc/38/06/66/764380666.db2.gz BTGJOSQYXYNQAU-UHFFFAOYSA-N 1 2 310.401 1.511 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncc2[nH]ccc21 ZINC001051005391 764408858 /nfs/dbraw/zinc/40/88/58/764408858.db2.gz ZAODYXCDQCIZOO-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cncc2[nH]ccc21 ZINC001051005391 764408862 /nfs/dbraw/zinc/40/88/62/764408862.db2.gz ZAODYXCDQCIZOO-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(COC)o1 ZINC001051013090 764416529 /nfs/dbraw/zinc/41/65/29/764416529.db2.gz KCVKHDUPQOPDKW-AWEZNQCLSA-N 1 2 322.405 1.823 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(COC)o1 ZINC001051013090 764416532 /nfs/dbraw/zinc/41/65/32/764416532.db2.gz KCVKHDUPQOPDKW-AWEZNQCLSA-N 1 2 322.405 1.823 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(C)(C)C)on1 ZINC001051022091 764426064 /nfs/dbraw/zinc/42/60/64/764426064.db2.gz UMWSDSBGEYDEDA-ZDUSSCGKSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(C)(C)C)on1 ZINC001051022091 764426070 /nfs/dbraw/zinc/42/60/70/764426070.db2.gz UMWSDSBGEYDEDA-ZDUSSCGKSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nccc2occc21 ZINC001051032829 764438817 /nfs/dbraw/zinc/43/88/17/764438817.db2.gz AFWOVFVYGHDFNS-ZDUSSCGKSA-N 1 2 315.373 1.835 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1nccc2occc21 ZINC001051032829 764438822 /nfs/dbraw/zinc/43/88/22/764438822.db2.gz AFWOVFVYGHDFNS-ZDUSSCGKSA-N 1 2 315.373 1.835 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C2CC2)on1 ZINC001051049305 764451482 /nfs/dbraw/zinc/45/14/82/764451482.db2.gz IZINRUZQGJPRFQ-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C2CC2)on1 ZINC001051049305 764451487 /nfs/dbraw/zinc/45/14/87/764451487.db2.gz IZINRUZQGJPRFQ-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001051086053 764491138 /nfs/dbraw/zinc/49/11/38/764491138.db2.gz IKMJWVFUVWNMFC-DOTOQJQBSA-N 1 2 318.392 1.859 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001051086053 764491146 /nfs/dbraw/zinc/49/11/46/764491146.db2.gz IKMJWVFUVWNMFC-DOTOQJQBSA-N 1 2 318.392 1.859 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2COCC[N@H+]2CC[C@H](C)F)c1 ZINC001051105231 764509916 /nfs/dbraw/zinc/50/99/16/764509916.db2.gz DOKMBWFCKQUBHP-XJKSGUPXSA-N 1 2 319.380 1.242 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2COCC[N@@H+]2CC[C@H](C)F)c1 ZINC001051105231 764509922 /nfs/dbraw/zinc/50/99/22/764509922.db2.gz DOKMBWFCKQUBHP-XJKSGUPXSA-N 1 2 319.380 1.242 20 30 DDEDLO COCCCC(=O)N1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC001112647066 764521192 /nfs/dbraw/zinc/52/11/92/764521192.db2.gz JYLNYXFSZUKHDX-UHFFFAOYSA-N 1 2 301.390 1.629 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nn1CC ZINC001051132536 764532967 /nfs/dbraw/zinc/53/29/67/764532967.db2.gz VZUIVOWRKSJBTE-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nn1CC ZINC001051132536 764532977 /nfs/dbraw/zinc/53/29/77/764532977.db2.gz VZUIVOWRKSJBTE-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@]2(C3CCCC3)CCNC2=O)C1 ZINC001042971243 764559273 /nfs/dbraw/zinc/55/92/73/764559273.db2.gz JBGGBALKWRDKMI-SFHVURJKSA-N 1 2 319.449 1.402 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(Cl)no1 ZINC001051218148 764633550 /nfs/dbraw/zinc/63/35/50/764633550.db2.gz GHKHYZQFJFFEFK-LLVKDONJSA-N 1 2 313.785 1.725 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(Cl)no1 ZINC001051218148 764633558 /nfs/dbraw/zinc/63/35/58/764633558.db2.gz GHKHYZQFJFFEFK-LLVKDONJSA-N 1 2 313.785 1.725 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001051287489 764716162 /nfs/dbraw/zinc/71/61/62/764716162.db2.gz ISVMXWGQJZVPGP-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn(-c3ccccc3)nn2)C1 ZINC001043194600 764752608 /nfs/dbraw/zinc/75/26/08/764752608.db2.gz AYLSZQWRMROSPX-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=CCCOCC(=O)NC1CC(CNc2cc[nH+]c(C)n2)C1 ZINC001112844202 764868396 /nfs/dbraw/zinc/86/83/96/764868396.db2.gz BGELGCMGFRZQMA-UHFFFAOYSA-N 1 2 304.394 1.684 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc3c([nH]2)CCOC3)CC1 ZINC001112958711 765057702 /nfs/dbraw/zinc/05/77/02/765057702.db2.gz HPELQAZKNQBHHE-UHFFFAOYSA-N 1 2 319.405 1.048 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC001051975269 765335509 /nfs/dbraw/zinc/33/55/09/765335509.db2.gz QLCZEIOBUFTGND-GOSISDBHSA-N 1 2 317.477 1.809 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ncoc2-c2ccon2)C1 ZINC001044193939 765383523 /nfs/dbraw/zinc/38/35/23/765383523.db2.gz BVWZHYSLLIRYIY-UHFFFAOYSA-N 1 2 302.334 1.662 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C(C)=C3CCC3)C2)CC1 ZINC001052027260 765402972 /nfs/dbraw/zinc/40/29/72/765402972.db2.gz ZRRVKSYIIBQOQA-GOSISDBHSA-N 1 2 315.461 1.729 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3[nH]c(C)cc3C)C2)CC1 ZINC001052045955 765418700 /nfs/dbraw/zinc/41/87/00/765418700.db2.gz YRLHIFPAXVZDTC-MRXNPFEDSA-N 1 2 314.433 1.097 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H](C)C(F)(F)F)C2)CC1 ZINC001052063320 765435081 /nfs/dbraw/zinc/43/50/81/765435081.db2.gz STGGLPKUDROTQI-OLZOCXBDSA-N 1 2 317.355 1.037 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3Cc4ccccc43)C2)CC1 ZINC001052073728 765445341 /nfs/dbraw/zinc/44/53/41/765445341.db2.gz NKRIWDQZAHMKHP-HKUYNNGSSA-N 1 2 323.440 1.178 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3C[C@H]3CCC)C2)CC1 ZINC001052077924 765450360 /nfs/dbraw/zinc/45/03/60/765450360.db2.gz XXYMNQLEUYWSOD-IXDOHACOSA-N 1 2 303.450 1.274 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3CC34CC4)C2)CC1 ZINC001052090055 765462186 /nfs/dbraw/zinc/46/21/86/765462186.db2.gz WRWISUKSYNVWAX-HUUCEWRRSA-N 1 2 323.868 1.758 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc3c(C)nn(C)c3n2)C1 ZINC001044338054 765493083 /nfs/dbraw/zinc/49/30/83/765493083.db2.gz BEFNXHPJDITALW-UHFFFAOYSA-N 1 2 311.389 1.056 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C[C@H]2c3ccccc3NC2=O)C1 ZINC001044363366 765510126 /nfs/dbraw/zinc/51/01/26/765510126.db2.gz MHJLZXRFUICJOI-HNNXBMFYSA-N 1 2 313.401 1.831 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccccc2-n2ccnn2)C1 ZINC001044373031 765517304 /nfs/dbraw/zinc/51/73/04/765517304.db2.gz WVPUVZHDAWKDJP-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)c(C)cn2)[C@H](O)C1 ZINC001090450076 765597270 /nfs/dbraw/zinc/59/72/70/765597270.db2.gz STCBGINISVHNGK-UKRRQHHQSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)c(C)cn2)[C@H](O)C1 ZINC001090450076 765597274 /nfs/dbraw/zinc/59/72/74/765597274.db2.gz STCBGINISVHNGK-UKRRQHHQSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](CCOCC2CC2)CC1 ZINC001113360511 765611744 /nfs/dbraw/zinc/61/17/44/765611744.db2.gz VGWNKAGNXPKANB-CVEARBPZSA-N 1 2 308.422 1.148 20 30 DDEDLO N#Cc1cnccc1N[C@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001057728176 765704174 /nfs/dbraw/zinc/70/41/74/765704174.db2.gz HVWOKPNYODRDHZ-HNNXBMFYSA-N 1 2 324.388 1.065 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cncc3nc[nH]c32)CC1 ZINC001052399627 765788927 /nfs/dbraw/zinc/78/89/27/765788927.db2.gz DSLKSUWPHZXIQF-CYBMUJFWSA-N 1 2 311.389 1.566 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2cncc3nc[nH]c32)CC1 ZINC001052399627 765788931 /nfs/dbraw/zinc/78/89/31/765788931.db2.gz DSLKSUWPHZXIQF-CYBMUJFWSA-N 1 2 311.389 1.566 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[NH+](Cc2ccc(CO)cc2)CC1 ZINC001113712807 766053004 /nfs/dbraw/zinc/05/30/04/766053004.db2.gz GKQBXLNOZQPCTH-OAHLLOKOSA-N 1 2 318.417 1.414 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC(CNc3cc[nH+]c(C)n3)C2)cn1 ZINC001067858629 766761378 /nfs/dbraw/zinc/76/13/78/766761378.db2.gz KQWHSQGEKCUIPL-UHFFFAOYSA-N 1 2 321.384 1.782 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)CC3=CCCCC3)CC2)C1 ZINC001046013148 766806779 /nfs/dbraw/zinc/80/67/79/766806779.db2.gz SXDFXMZQHUCQLL-QGZVFWFLSA-N 1 2 316.449 1.619 20 30 DDEDLO C[C@@H]1CCN(c2ccc(C#N)cn2)C[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067968298 766846448 /nfs/dbraw/zinc/84/64/48/766846448.db2.gz ZOOCCIXUHXBWBL-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)Cn2ccnc2C)C1 ZINC001086624281 766954986 /nfs/dbraw/zinc/95/49/86/766954986.db2.gz WXDXMZRCJADYNE-ZWKOTPCHSA-N 1 2 322.412 1.409 20 30 DDEDLO C#CC[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)Cn2ccnc2C)C1 ZINC001086624281 766954995 /nfs/dbraw/zinc/95/49/95/766954995.db2.gz WXDXMZRCJADYNE-ZWKOTPCHSA-N 1 2 322.412 1.409 20 30 DDEDLO C[C@]1(NC(=O)c2ccon2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046170505 767045059 /nfs/dbraw/zinc/04/50/59/767045059.db2.gz ZPPLSYZHXRZNJM-KRWDZBQOSA-N 1 2 310.357 1.941 20 30 DDEDLO C[C@]1(NC(=O)c2ccon2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046170505 767045065 /nfs/dbraw/zinc/04/50/65/767045065.db2.gz ZPPLSYZHXRZNJM-KRWDZBQOSA-N 1 2 310.357 1.941 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001046383745 767494689 /nfs/dbraw/zinc/49/46/89/767494689.db2.gz QNSSXGISIAHZRU-OBJOEFQTSA-N 1 2 302.802 1.123 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001046383745 767494693 /nfs/dbraw/zinc/49/46/93/767494693.db2.gz QNSSXGISIAHZRU-OBJOEFQTSA-N 1 2 302.802 1.123 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)[C@@H]2C)cc[nH+]1 ZINC001068835409 767651991 /nfs/dbraw/zinc/65/19/91/767651991.db2.gz OEWAJMDFHGEQSM-ZWNOBZJWSA-N 1 2 310.361 1.700 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@@H]2C)ccc1C#N ZINC001068961902 767729345 /nfs/dbraw/zinc/72/93/45/767729345.db2.gz CHKZEZGBZRFFTA-IUODEOHRSA-N 1 2 324.388 1.629 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(CCC)s2)C1 ZINC001047397411 768450268 /nfs/dbraw/zinc/45/02/68/768450268.db2.gz RCAXQSBGVYUZCM-KBPBESRZSA-N 1 2 306.431 1.451 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(CCC)s2)C1 ZINC001047397411 768450272 /nfs/dbraw/zinc/45/02/72/768450272.db2.gz RCAXQSBGVYUZCM-KBPBESRZSA-N 1 2 306.431 1.451 20 30 DDEDLO C#CC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)CC)C[C@H]2c1nnc(C)[nH]1 ZINC001070441408 768484969 /nfs/dbraw/zinc/48/49/69/768484969.db2.gz UFNBXGAYYVQHHO-JSGCOSHPSA-N 1 2 315.421 1.020 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2ncc(C)o2)C1 ZINC001131947926 768507610 /nfs/dbraw/zinc/50/76/10/768507610.db2.gz ZLLLXEHJNKBEDX-JSGCOSHPSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2ncc(C)o2)C1 ZINC001131947926 768507613 /nfs/dbraw/zinc/50/76/13/768507613.db2.gz ZLLLXEHJNKBEDX-JSGCOSHPSA-N 1 2 307.394 1.655 20 30 DDEDLO CCC(=CC(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1)CC ZINC001131949370 768507997 /nfs/dbraw/zinc/50/79/97/768507997.db2.gz BSYMLKKUBVMXHZ-HIFRSBDPSA-N 1 2 320.437 1.342 20 30 DDEDLO CCC(=CC(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1)CC ZINC001131949370 768508000 /nfs/dbraw/zinc/50/80/00/768508000.db2.gz BSYMLKKUBVMXHZ-HIFRSBDPSA-N 1 2 320.437 1.342 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C2CC(C)(C)C2)C[N@@H+]1CC(=O)NCC#N ZINC001131998309 768549956 /nfs/dbraw/zinc/54/99/56/768549956.db2.gz CQLLEZVEURRMHW-GXTWGEPZSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C2CC(C)(C)C2)C[N@H+]1CC(=O)NCC#N ZINC001131998309 768549959 /nfs/dbraw/zinc/54/99/59/768549959.db2.gz CQLLEZVEURRMHW-GXTWGEPZSA-N 1 2 320.437 1.031 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132003633 768555752 /nfs/dbraw/zinc/55/57/52/768555752.db2.gz YAVIGIJPMRIXOG-UONOGXRCSA-N 1 2 303.410 1.088 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132003633 768555755 /nfs/dbraw/zinc/55/57/55/768555755.db2.gz YAVIGIJPMRIXOG-UONOGXRCSA-N 1 2 303.410 1.088 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@H]2C)nn1C ZINC001132045096 768598390 /nfs/dbraw/zinc/59/83/90/768598390.db2.gz ACDMSIUUALXEFT-CJNGLKHVSA-N 1 2 314.433 1.611 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@H]2C)nn1C ZINC001132045096 768598392 /nfs/dbraw/zinc/59/83/92/768598392.db2.gz ACDMSIUUALXEFT-CJNGLKHVSA-N 1 2 314.433 1.611 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(C)(C)CC=C)CC[C@@H]1C ZINC001132330108 768757442 /nfs/dbraw/zinc/75/74/42/768757442.db2.gz AYWHRDIFIIOTGF-GJZGRUSLSA-N 1 2 319.449 1.307 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)CC=C)CC[C@@H]1C ZINC001132330108 768757447 /nfs/dbraw/zinc/75/74/47/768757447.db2.gz AYWHRDIFIIOTGF-GJZGRUSLSA-N 1 2 319.449 1.307 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CCC(C)(C)C)C[N@@H+]1CC(=O)NCC#N ZINC001132370636 768790610 /nfs/dbraw/zinc/79/06/10/768790610.db2.gz AUPJUUQKJARICE-KBPBESRZSA-N 1 2 322.453 1.422 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CCC(C)(C)C)C[N@H+]1CC(=O)NCC#N ZINC001132370636 768790612 /nfs/dbraw/zinc/79/06/12/768790612.db2.gz AUPJUUQKJARICE-KBPBESRZSA-N 1 2 322.453 1.422 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)/C=C(\C)C2CC2)CC1 ZINC001070944680 768801902 /nfs/dbraw/zinc/80/19/02/768801902.db2.gz IIKQNVSDVXNRJZ-BUHFOSPRSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)/C=C(\C)C2CC2)CC1 ZINC001070944680 768801909 /nfs/dbraw/zinc/80/19/09/768801909.db2.gz IIKQNVSDVXNRJZ-BUHFOSPRSA-N 1 2 305.422 1.179 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H](NC(=O)CS(=O)(=O)CCC)CC[C@H]1C ZINC001132499150 768915765 /nfs/dbraw/zinc/91/57/65/768915765.db2.gz CLFVTAYZHWPTNK-ZIAGYGMSSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H](NC(=O)CS(=O)(=O)CCC)CC[C@H]1C ZINC001132499150 768915769 /nfs/dbraw/zinc/91/57/69/768915769.db2.gz CLFVTAYZHWPTNK-ZIAGYGMSSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H](NC(=O)CS(=O)(=O)CCC)CC[C@@H]1C ZINC001132499149 768916387 /nfs/dbraw/zinc/91/63/87/768916387.db2.gz CLFVTAYZHWPTNK-UONOGXRCSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H](NC(=O)CS(=O)(=O)CCC)CC[C@@H]1C ZINC001132499149 768916390 /nfs/dbraw/zinc/91/63/90/768916390.db2.gz CLFVTAYZHWPTNK-UONOGXRCSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC001096300513 769088205 /nfs/dbraw/zinc/08/82/05/769088205.db2.gz WCMHVBZBQZZINO-LSDHHAIUSA-N 1 2 317.437 1.173 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnc[nH]c2=O)CC[C@@H]1C ZINC001071600137 769692157 /nfs/dbraw/zinc/69/21/57/769692157.db2.gz HGGWMIVJFRIKPC-QWRGUYRKSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnc[nH]c2=O)CC[C@@H]1C ZINC001071600137 769692166 /nfs/dbraw/zinc/69/21/66/769692166.db2.gz HGGWMIVJFRIKPC-QWRGUYRKSA-N 1 2 310.785 1.517 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CN1CC#N ZINC001071705458 769918937 /nfs/dbraw/zinc/91/89/37/769918937.db2.gz PJUALLRFJRYTOR-ZBFHGGJFSA-N 1 2 323.400 1.979 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[N@H+](Cc4ncnn4C)C[C@@H]3C2)C1 ZINC001049045850 770287906 /nfs/dbraw/zinc/28/79/06/770287906.db2.gz DLLWVMOHUWJWPG-OKILXGFUSA-N 1 2 315.421 1.062 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[N@@H+](Cc4ncnn4C)C[C@@H]3C2)C1 ZINC001049045850 770287912 /nfs/dbraw/zinc/28/79/12/770287912.db2.gz DLLWVMOHUWJWPG-OKILXGFUSA-N 1 2 315.421 1.062 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001096490598 770460278 /nfs/dbraw/zinc/46/02/78/770460278.db2.gz CKDYUKHBSWLUOV-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001096490598 770460283 /nfs/dbraw/zinc/46/02/83/770460283.db2.gz CKDYUKHBSWLUOV-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H]3[C@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049472623 770900558 /nfs/dbraw/zinc/90/05/58/770900558.db2.gz UTAKDKKSSYSEJE-CVEARBPZSA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H]3[C@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049472623 770900562 /nfs/dbraw/zinc/90/05/62/770900562.db2.gz UTAKDKKSSYSEJE-CVEARBPZSA-N 1 2 313.401 1.962 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096728464 771419767 /nfs/dbraw/zinc/41/97/67/771419767.db2.gz PSJUVOTWMNHJGX-RISCZKNCSA-N 1 2 302.378 1.130 20 30 DDEDLO Cn1cncc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C#N)[nH]1)C2 ZINC001096971571 771539210 /nfs/dbraw/zinc/53/92/10/771539210.db2.gz QMISVBOHCYHOOG-WQVCFCJDSA-N 1 2 324.388 1.155 20 30 DDEDLO Cn1cncc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C#N)[nH]1)C2 ZINC001096971571 771539213 /nfs/dbraw/zinc/53/92/13/771539213.db2.gz QMISVBOHCYHOOG-WQVCFCJDSA-N 1 2 324.388 1.155 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCCC[C@H]1Nc1cc[nH+]c(C)n1 ZINC001091281724 772654600 /nfs/dbraw/zinc/65/46/00/772654600.db2.gz MVLPKPIBXXTVHK-HUUCEWRRSA-N 1 2 316.405 1.664 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001091410776 772733077 /nfs/dbraw/zinc/73/30/77/772733077.db2.gz BUVZSYSRFCPPDK-VDISTLRHSA-N 1 2 310.361 1.226 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001147139974 773061064 /nfs/dbraw/zinc/06/10/64/773061064.db2.gz NBPQYWNTXOQZEU-CYBMUJFWSA-N 1 2 320.393 1.085 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001147139974 773061066 /nfs/dbraw/zinc/06/10/66/773061066.db2.gz NBPQYWNTXOQZEU-CYBMUJFWSA-N 1 2 320.393 1.085 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2c[nH]nc2C)C1 ZINC001073719770 773341187 /nfs/dbraw/zinc/34/11/87/773341187.db2.gz POKSNIKXLSKCNX-GFCCVEGCSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2c[nH]nc2C)C1 ZINC001073719770 773341195 /nfs/dbraw/zinc/34/11/95/773341195.db2.gz POKSNIKXLSKCNX-GFCCVEGCSA-N 1 2 312.801 1.291 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nccn1C)C2 ZINC001148104661 773349480 /nfs/dbraw/zinc/34/94/80/773349480.db2.gz URFHTAYVLDIYEE-UHFFFAOYSA-N 1 2 314.433 1.648 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1C)C2 ZINC001148104661 773349485 /nfs/dbraw/zinc/34/94/85/773349485.db2.gz URFHTAYVLDIYEE-UHFFFAOYSA-N 1 2 314.433 1.648 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001073805550 773428481 /nfs/dbraw/zinc/42/84/81/773428481.db2.gz JIRXCSGKSPRHBR-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001073916419 773522476 /nfs/dbraw/zinc/52/24/76/773522476.db2.gz NPKGGAHTJMDILP-KBPBESRZSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)o1)C2 ZINC001148874363 773610896 /nfs/dbraw/zinc/61/08/96/773610896.db2.gz RZIGLMVJJTTZKT-GFCCVEGCSA-N 1 2 320.393 1.003 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)o1)C2 ZINC001148874363 773610900 /nfs/dbraw/zinc/61/09/00/773610900.db2.gz RZIGLMVJJTTZKT-GFCCVEGCSA-N 1 2 320.393 1.003 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074149179 773675331 /nfs/dbraw/zinc/67/53/31/773675331.db2.gz GWXMZDYXGMDDIV-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cscn3)C[C@@H]21 ZINC001074184387 773705799 /nfs/dbraw/zinc/70/57/99/773705799.db2.gz LVLJQEMFOBYHTG-UONOGXRCSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cscn3)C[C@@H]21 ZINC001074184387 773705801 /nfs/dbraw/zinc/70/58/01/773705801.db2.gz LVLJQEMFOBYHTG-UONOGXRCSA-N 1 2 307.419 1.635 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccon3)C[C@@H]21 ZINC001074199662 773723310 /nfs/dbraw/zinc/72/33/10/773723310.db2.gz GRMRRUYDUOUFKT-LSDHHAIUSA-N 1 2 305.378 1.095 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccon3)C[C@@H]21 ZINC001074199662 773723315 /nfs/dbraw/zinc/72/33/15/773723315.db2.gz GRMRRUYDUOUFKT-LSDHHAIUSA-N 1 2 305.378 1.095 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C[C@@H]21 ZINC001074219912 773743555 /nfs/dbraw/zinc/74/35/55/773743555.db2.gz YWTKSXQEJCAIKD-HOTGVXAUSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C[C@@H]21 ZINC001074219912 773743560 /nfs/dbraw/zinc/74/35/60/773743560.db2.gz YWTKSXQEJCAIKD-HOTGVXAUSA-N 1 2 314.389 1.378 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3c(C)ccn3C)C[C@@H]21 ZINC001074226624 773751917 /nfs/dbraw/zinc/75/19/17/773751917.db2.gz UEIRMHMWDPECJL-HOTGVXAUSA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3c(C)ccn3C)C[C@@H]21 ZINC001074226624 773751920 /nfs/dbraw/zinc/75/19/20/773751920.db2.gz UEIRMHMWDPECJL-HOTGVXAUSA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C3CC3)C[C@H]21 ZINC001074321302 773821790 /nfs/dbraw/zinc/82/17/90/773821790.db2.gz HQTGLFXVEJNXOL-HZPDHXFCSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C3CC3)C[C@H]21 ZINC001074321302 773821792 /nfs/dbraw/zinc/82/17/92/773821792.db2.gz HQTGLFXVEJNXOL-HZPDHXFCSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccn(C)c3C)C[C@@H]21 ZINC001074336203 773832590 /nfs/dbraw/zinc/83/25/90/773832590.db2.gz LKZIKTLLSZJXMB-IRXDYDNUSA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccn(C)c3C)C[C@@H]21 ZINC001074336203 773832592 /nfs/dbraw/zinc/83/25/92/773832592.db2.gz LKZIKTLLSZJXMB-IRXDYDNUSA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#C)nc3)C[C@@H]21 ZINC001074384357 773876909 /nfs/dbraw/zinc/87/69/09/773876909.db2.gz GBSRQULEZDJEET-ZWKOTPCHSA-N 1 2 323.396 1.002 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#C)nc3)C[C@@H]21 ZINC001074384357 773876911 /nfs/dbraw/zinc/87/69/11/773876911.db2.gz GBSRQULEZDJEET-ZWKOTPCHSA-N 1 2 323.396 1.002 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)cc3)C[C@@H]21 ZINC001074392105 773885910 /nfs/dbraw/zinc/88/59/10/773885910.db2.gz DOZXAFVQJBJYCG-ROUUACIJSA-N 1 2 312.413 1.934 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)cc3)C[C@@H]21 ZINC001074392105 773885916 /nfs/dbraw/zinc/88/59/16/773885916.db2.gz DOZXAFVQJBJYCG-ROUUACIJSA-N 1 2 312.413 1.934 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)o1)c1nccn12 ZINC001092344300 774064613 /nfs/dbraw/zinc/06/46/13/774064613.db2.gz NVYLIYZATBTKDH-CYBMUJFWSA-N 1 2 312.373 1.856 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cncs1)c1nccn12 ZINC001092355547 774071474 /nfs/dbraw/zinc/07/14/74/774071474.db2.gz XEYLDZSUYGKRDQ-LLVKDONJSA-N 1 2 315.402 1.411 20 30 DDEDLO CC(=O)N[C@@H]1CC2(C[NH+](Cc3cccc(C#N)c3)C2)n2ccnc21 ZINC001092333705 774083819 /nfs/dbraw/zinc/08/38/19/774083819.db2.gz GRDAEZLLLJRHLD-MRXNPFEDSA-N 1 2 321.384 1.547 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@H]1CC)c1nccn12 ZINC001092397647 774101322 /nfs/dbraw/zinc/10/13/22/774101322.db2.gz ZXZLGVLLOXHYHA-RBSFLKMASA-N 1 2 312.417 1.524 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)[C@@H](C)C1 ZINC001074884504 774215494 /nfs/dbraw/zinc/21/54/94/774215494.db2.gz SJGXAARPDPHVAI-GXTWGEPZSA-N 1 2 318.421 1.723 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074959962 774266734 /nfs/dbraw/zinc/26/67/34/774266734.db2.gz DRMRJDYBKMDAPX-KBPBESRZSA-N 1 2 316.405 1.251 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001093100512 774392982 /nfs/dbraw/zinc/39/29/82/774392982.db2.gz BKKZDUNEGPGZCD-GDBMZVCRSA-N 1 2 323.400 1.694 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098550710 774608585 /nfs/dbraw/zinc/60/85/85/774608585.db2.gz AXJWFBXSRUVPSB-AWEZNQCLSA-N 1 2 302.378 1.085 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001098636813 774627759 /nfs/dbraw/zinc/62/77/59/774627759.db2.gz KNODFBWRELNFDL-GJZGRUSLSA-N 1 2 316.405 1.664 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CCC(=C)C)C2)nn1 ZINC001098681211 774640825 /nfs/dbraw/zinc/64/08/25/774640825.db2.gz PUHYSELKJJPJSB-OAHLLOKOSA-N 1 2 301.394 1.131 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001098835606 774689118 /nfs/dbraw/zinc/68/91/18/774689118.db2.gz BYKHUEKZEOYVHL-DEYYWGMASA-N 1 2 312.417 1.434 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001098835606 774689122 /nfs/dbraw/zinc/68/91/22/774689122.db2.gz BYKHUEKZEOYVHL-DEYYWGMASA-N 1 2 312.417 1.434 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)n3cccn3)CC2)C1 ZINC001093512809 774761072 /nfs/dbraw/zinc/76/10/72/774761072.db2.gz WEKIHBYYLFQBQC-CQSZACIVSA-N 1 2 318.421 1.322 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)Cc3ccon3)CC2)C1 ZINC001093516374 774767107 /nfs/dbraw/zinc/76/71/07/774767107.db2.gz JXNCUMIWFVGVFD-UHFFFAOYSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccnc(C)c3)CC2)C1 ZINC001093584810 774863192 /nfs/dbraw/zinc/86/31/92/774863192.db2.gz HIQGRWBVDVIVMM-UHFFFAOYSA-N 1 2 315.417 1.881 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@@H]1CCC(C)(C)CN1CC#N ZINC001099263521 774923537 /nfs/dbraw/zinc/92/35/37/774923537.db2.gz GCWBUDKHERCXLV-HIFRSBDPSA-N 1 2 317.437 1.719 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@@H]1CCC(C)(C)CN1CC#N ZINC001099263521 774923539 /nfs/dbraw/zinc/92/35/39/774923539.db2.gz GCWBUDKHERCXLV-HIFRSBDPSA-N 1 2 317.437 1.719 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC[C@H](C)Nc1ccc(C#N)cn1 ZINC001099361340 774963310 /nfs/dbraw/zinc/96/33/10/774963310.db2.gz GKAPKTOYFAONKZ-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCCOCC)[C@H](O)C1 ZINC001099699397 775152454 /nfs/dbraw/zinc/15/24/54/775152454.db2.gz XWRJMZKFIHWGLV-QWHCGFSZSA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCCOCC)[C@H](O)C1 ZINC001099699397 775152477 /nfs/dbraw/zinc/15/24/77/775152477.db2.gz XWRJMZKFIHWGLV-QWHCGFSZSA-N 1 2 304.818 1.107 20 30 DDEDLO C[C@@H](CCNC(=O)Cc1c[nH]c[nH+]1)Nc1cccc(F)c1C#N ZINC001099713143 775171930 /nfs/dbraw/zinc/17/19/30/775171930.db2.gz MAPRNRPHUCYSOJ-NSHDSACASA-N 1 2 315.352 1.970 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001099794987 775290214 /nfs/dbraw/zinc/29/02/14/775290214.db2.gz VFLYAAXPFQAWIC-STQMWFEESA-N 1 2 316.829 1.250 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001099794987 775290220 /nfs/dbraw/zinc/29/02/20/775290220.db2.gz VFLYAAXPFQAWIC-STQMWFEESA-N 1 2 316.829 1.250 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)[C@H](O)C2)n1 ZINC001099821769 775327539 /nfs/dbraw/zinc/32/75/39/775327539.db2.gz XTWZYHCTGNPWPZ-ZIAGYGMSSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)[C@H](O)C2)n1 ZINC001099821769 775327553 /nfs/dbraw/zinc/32/75/53/775327553.db2.gz XTWZYHCTGNPWPZ-ZIAGYGMSSA-N 1 2 321.446 1.162 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099856303 775360062 /nfs/dbraw/zinc/36/00/62/775360062.db2.gz VGGWYTXCOJYCFZ-JKSUJKDBSA-N 1 2 321.396 1.628 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099856303 775360067 /nfs/dbraw/zinc/36/00/67/775360067.db2.gz VGGWYTXCOJYCFZ-JKSUJKDBSA-N 1 2 321.396 1.628 20 30 DDEDLO C=CC(C)(C)C(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094060770 775388924 /nfs/dbraw/zinc/38/89/24/775388924.db2.gz SKYMVZKPYQITFU-UHFFFAOYSA-N 1 2 303.410 1.205 20 30 DDEDLO C=CC(C)(C)C(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094060770 775388935 /nfs/dbraw/zinc/38/89/35/775388935.db2.gz SKYMVZKPYQITFU-UHFFFAOYSA-N 1 2 303.410 1.205 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCCNc1ccc(C#N)nc1 ZINC001094130339 775466917 /nfs/dbraw/zinc/46/69/17/775466917.db2.gz UJHLRGWIERETQE-ZIAGYGMSSA-N 1 2 310.361 1.019 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3occc3C)nn2)C1 ZINC001094274940 775623518 /nfs/dbraw/zinc/62/35/18/775623518.db2.gz WARDUQVCQQEART-UHFFFAOYSA-N 1 2 315.377 1.542 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCCN(C)c1cc[nH+]c(C)n1 ZINC001100238868 775874434 /nfs/dbraw/zinc/87/44/34/775874434.db2.gz VJXTWTMFAXYBMT-MRXNPFEDSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCOCC[NH2+]C1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001100349050 776034012 /nfs/dbraw/zinc/03/40/12/776034012.db2.gz PGXGWWAFCNEMOJ-ILDUYXDCSA-N 1 2 312.307 1.574 20 30 DDEDLO C=CCOCC[NH2+]C1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001100349050 776034020 /nfs/dbraw/zinc/03/40/20/776034020.db2.gz PGXGWWAFCNEMOJ-ILDUYXDCSA-N 1 2 312.307 1.574 20 30 DDEDLO C[C@@H](CC(=O)NCCCNc1cncc(C#N)n1)n1cc[nH+]c1 ZINC001094824669 776353316 /nfs/dbraw/zinc/35/33/16/776353316.db2.gz RLKFJMSVMNIBTJ-LBPRGKRZSA-N 1 2 313.365 1.114 20 30 DDEDLO C[C@@H](CCCCNCC#N)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001171595617 776360201 /nfs/dbraw/zinc/36/02/01/776360201.db2.gz DRTDMTUIRHOJGC-UONOGXRCSA-N 1 2 303.410 1.234 20 30 DDEDLO Cc1nsc(NCCCNC(=O)CCc2c[nH]c[nH+]2)c1C#N ZINC001094856158 776545210 /nfs/dbraw/zinc/54/52/10/776545210.db2.gz QPNMJWYQSIZUJI-UHFFFAOYSA-N 1 2 318.406 1.597 20 30 DDEDLO Cc1nsc(NCCCNC(=O)CCc2c[nH+]c[nH]2)c1C#N ZINC001094856158 776545214 /nfs/dbraw/zinc/54/52/14/776545214.db2.gz QPNMJWYQSIZUJI-UHFFFAOYSA-N 1 2 318.406 1.597 20 30 DDEDLO N#Cc1nccnc1NCCCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001094873373 776629231 /nfs/dbraw/zinc/62/92/31/776629231.db2.gz WCWNHDPUSQHPQC-UHFFFAOYSA-N 1 2 321.344 1.457 20 30 DDEDLO COC(=O)C1([NH2+][C@H](C)Cc2ccc(C#N)cc2)CCOCC1 ZINC001172297406 776761438 /nfs/dbraw/zinc/76/14/38/776761438.db2.gz PCSSFYVVCHYSQM-CYBMUJFWSA-N 1 2 302.374 1.801 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCN(c1ncccc1C#N)C1CC1 ZINC001101373434 777104782 /nfs/dbraw/zinc/10/47/82/777104782.db2.gz KPXWCLUUHOZETA-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)CC(C)C)c1nccn12 ZINC001101606136 777296076 /nfs/dbraw/zinc/29/60/76/777296076.db2.gz PNEWMZUYNUZZMG-AWEZNQCLSA-N 1 2 300.406 1.524 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CC1(C)CC1)c1nccn12 ZINC001101633672 777329827 /nfs/dbraw/zinc/32/98/27/777329827.db2.gz BURFCAXEQAEUQO-ZDUSSCGKSA-N 1 2 300.406 1.831 20 30 DDEDLO N#Cc1cc(N)ccc1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001174493516 777487439 /nfs/dbraw/zinc/48/74/39/777487439.db2.gz HLZUQQDFDNRZAB-UHFFFAOYSA-N 1 2 312.377 1.413 20 30 DDEDLO C[C@@H](CC(=O)N(C)CCNc1ncccc1C#N)n1cc[nH+]c1 ZINC001101975939 777756354 /nfs/dbraw/zinc/75/63/54/777756354.db2.gz UWSMOQOIZXEYMW-ZDUSSCGKSA-N 1 2 312.377 1.671 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N(C)CCNc1ccc(C#N)nc1 ZINC001101991649 777773818 /nfs/dbraw/zinc/77/38/18/777773818.db2.gz DFKLIJLIWNCFLX-GFCCVEGCSA-N 1 2 312.377 1.425 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N(C)CCNc1ccc(C#N)nc1 ZINC001101991649 777773821 /nfs/dbraw/zinc/77/38/21/777773821.db2.gz DFKLIJLIWNCFLX-GFCCVEGCSA-N 1 2 312.377 1.425 20 30 DDEDLO Cc1nc(N2CC[C@@H](CCNC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001102300282 778032144 /nfs/dbraw/zinc/03/21/44/778032144.db2.gz QFDJOWAMFWDCCE-CYBMUJFWSA-N 1 2 324.388 1.631 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H]1C[N@H+](Cc2nncs2)C[C@H]1C ZINC001102610681 778238876 /nfs/dbraw/zinc/23/88/76/778238876.db2.gz NYFHURALLNIBIE-JHJVBQTASA-N 1 2 324.450 1.313 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H]1C[N@@H+](Cc2nncs2)C[C@H]1C ZINC001102610681 778238879 /nfs/dbraw/zinc/23/88/79/778238879.db2.gz NYFHURALLNIBIE-JHJVBQTASA-N 1 2 324.450 1.313 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCOC(C)C)C[C@H]21 ZINC001176925901 778314399 /nfs/dbraw/zinc/31/43/99/778314399.db2.gz ZFZVSUIADVMYSB-CVEARBPZSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCOC(C)C)C[C@H]21 ZINC001176925901 778314408 /nfs/dbraw/zinc/31/44/08/778314408.db2.gz ZFZVSUIADVMYSB-CVEARBPZSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CCCC3)C[C@H]21 ZINC001176895020 778327540 /nfs/dbraw/zinc/32/75/40/778327540.db2.gz WFMQQNFOACJUBW-IAGOWNOFSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CCCC3)C[C@H]21 ZINC001176895020 778327548 /nfs/dbraw/zinc/32/75/48/778327548.db2.gz WFMQQNFOACJUBW-IAGOWNOFSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3(COC)CC3)C[C@@H]21 ZINC001177011577 778390124 /nfs/dbraw/zinc/39/01/24/778390124.db2.gz DKROFIRXEKUAFN-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3(COC)CC3)C[C@@H]21 ZINC001177011577 778390131 /nfs/dbraw/zinc/39/01/31/778390131.db2.gz DKROFIRXEKUAFN-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3(C)CC3)C[C@@H]21 ZINC001177046878 778408658 /nfs/dbraw/zinc/40/86/58/778408658.db2.gz BSYMMCRITOJTKS-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3(C)CC3)C[C@@H]21 ZINC001177046878 778408659 /nfs/dbraw/zinc/40/86/59/778408659.db2.gz BSYMMCRITOJTKS-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO Cc1nc(N2CCC[C@H](CCNC(=O)[C@@H](C)C#N)C2)cc[nH+]1 ZINC001102911422 778485551 /nfs/dbraw/zinc/48/55/51/778485551.db2.gz VCVSJTHMQWBRFV-GXTWGEPZSA-N 1 2 301.394 1.667 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001103159705 778677635 /nfs/dbraw/zinc/67/76/35/778677635.db2.gz VEQOLACGSOJMLL-RBSFLKMASA-N 1 2 315.421 1.043 20 30 DDEDLO Cc1nc(N2CCC(CCNC(=O)C#CC3CC3)CC2)cc[nH+]1 ZINC001103685918 778996276 /nfs/dbraw/zinc/99/62/76/778996276.db2.gz QPSGKNIFQWIJSK-UHFFFAOYSA-N 1 2 312.417 1.921 20 30 DDEDLO Cc1nc(NC2(CNC(=O)Cc3c[nH]c[nH+]3)CCC2)ccc1C#N ZINC001111910894 779554574 /nfs/dbraw/zinc/55/45/74/779554574.db2.gz MZBPKBKCFAZWJL-UHFFFAOYSA-N 1 2 324.388 1.678 20 30 DDEDLO C=CCSCC(=O)N[C@H](C[NH+]1CCOCC1)C(C)(C)C ZINC001180562735 779834429 /nfs/dbraw/zinc/83/44/29/779834429.db2.gz ZKTYAISSTKFMSF-CYBMUJFWSA-N 1 2 300.468 1.769 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2CCN(C(=O)CC(C)C)CC2)CC1 ZINC001180621717 779845670 /nfs/dbraw/zinc/84/56/70/779845670.db2.gz YTDLTQNNVOTUDK-UHFFFAOYSA-N 1 2 321.465 1.601 20 30 DDEDLO C=CCCOCC(=O)NC1[C@H]2C[N@@H+](C/C(Cl)=C/Cl)C[C@@H]12 ZINC001115326387 780039491 /nfs/dbraw/zinc/03/94/91/780039491.db2.gz MJENAKZRCQNNAM-MVQQYQRFSA-N 1 2 319.232 1.945 20 30 DDEDLO C=CCCOCC(=O)NC1[C@H]2C[N@H+](C/C(Cl)=C/Cl)C[C@@H]12 ZINC001115326387 780039503 /nfs/dbraw/zinc/03/95/03/780039503.db2.gz MJENAKZRCQNNAM-MVQQYQRFSA-N 1 2 319.232 1.945 20 30 DDEDLO C=CCOCCCNC(=O)C(=O)NCc1c[nH+]c(C)cc1C ZINC001118716203 781219168 /nfs/dbraw/zinc/21/91/68/781219168.db2.gz LHELDHKGQJOBSG-UHFFFAOYSA-N 1 2 305.378 1.024 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[N@@H+](CC)Cc1ccn(C)n1 ZINC001267252194 837672640 /nfs/dbraw/zinc/67/26/40/837672640.db2.gz GAEOYIDHXOINAS-HOTGVXAUSA-N 1 2 320.437 1.339 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[N@H+](CC)Cc1ccn(C)n1 ZINC001267252194 837672645 /nfs/dbraw/zinc/67/26/45/837672645.db2.gz GAEOYIDHXOINAS-HOTGVXAUSA-N 1 2 320.437 1.339 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[N@@H+](C)CC(=O)N1CCC[C@@H](C)C1 ZINC001266278462 836031843 /nfs/dbraw/zinc/03/18/43/836031843.db2.gz GSLVDRBRZITHQB-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[N@H+](C)CC(=O)N1CCC[C@@H](C)C1 ZINC001266278462 836031851 /nfs/dbraw/zinc/03/18/51/836031851.db2.gz GSLVDRBRZITHQB-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO COc1cccc(C[N@@H+]2CC[C@@H](NC(=O)CSCC#N)C2)n1 ZINC001266302817 836083979 /nfs/dbraw/zinc/08/39/79/836083979.db2.gz VCRPJJLXHQXSAG-CYBMUJFWSA-N 1 2 320.418 1.037 20 30 DDEDLO COc1cccc(C[N@H+]2CC[C@@H](NC(=O)CSCC#N)C2)n1 ZINC001266302817 836083983 /nfs/dbraw/zinc/08/39/83/836083983.db2.gz VCRPJJLXHQXSAG-CYBMUJFWSA-N 1 2 320.418 1.037 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2ccncc2Cl)C1 ZINC001266317831 836110867 /nfs/dbraw/zinc/11/08/67/836110867.db2.gz VEZJCLOKXQWOSN-XJKSGUPXSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2ccncc2Cl)C1 ZINC001266317831 836110874 /nfs/dbraw/zinc/11/08/74/836110874.db2.gz VEZJCLOKXQWOSN-XJKSGUPXSA-N 1 2 323.824 1.753 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+]([C@@H](C)c2nnc(CC)[nH]2)C1 ZINC001266511598 836385691 /nfs/dbraw/zinc/38/56/91/836385691.db2.gz OKRBOLOTZMWWIC-KBPBESRZSA-N 1 2 317.437 1.670 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+]([C@@H](C)c2nnc(CC)[nH]2)C1 ZINC001266511598 836385705 /nfs/dbraw/zinc/38/57/05/836385705.db2.gz OKRBOLOTZMWWIC-KBPBESRZSA-N 1 2 317.437 1.670 20 30 DDEDLO COCC#CC[NH2+]C[C@@H]1CCCCN1C(=O)C(F)C(F)(F)F ZINC001266868668 836970507 /nfs/dbraw/zinc/97/05/07/836970507.db2.gz ZAENWDLNKBAFGT-NWDGAFQWSA-N 1 2 324.318 1.507 20 30 DDEDLO COCC#CC[NH2+]C[C@@H]1CCCCN1C(=O)[C@@H](F)C(F)(F)F ZINC001266868668 836970516 /nfs/dbraw/zinc/97/05/16/836970516.db2.gz ZAENWDLNKBAFGT-NWDGAFQWSA-N 1 2 324.318 1.507 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001267008446 837179596 /nfs/dbraw/zinc/17/95/96/837179596.db2.gz ORKSCYDJFNWYPI-AWEZNQCLSA-N 1 2 316.405 1.831 20 30 DDEDLO CCOCC1(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CC#CCOC)CC1 ZINC001267409653 837991590 /nfs/dbraw/zinc/99/15/90/837991590.db2.gz IEYAWKXSOWMJMW-IYBDPMFKSA-N 1 2 320.433 1.128 20 30 DDEDLO CCOCC1(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CC#CCOC)CC1 ZINC001267409653 837991596 /nfs/dbraw/zinc/99/15/96/837991596.db2.gz IEYAWKXSOWMJMW-IYBDPMFKSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001267610278 838454508 /nfs/dbraw/zinc/45/45/08/838454508.db2.gz VSHBBAQSOCVGHU-CYBMUJFWSA-N 1 2 304.394 1.532 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@H+](Cc2cncc(OC)n2)C1 ZINC001267610278 838454513 /nfs/dbraw/zinc/45/45/13/838454513.db2.gz VSHBBAQSOCVGHU-CYBMUJFWSA-N 1 2 304.394 1.532 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](CCCC)C(N)=O ZINC001267712354 838696864 /nfs/dbraw/zinc/69/68/64/838696864.db2.gz QPLYUVJMARDAFC-KKUMJFAQSA-N 1 2 307.438 1.672 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](CCCC)C(N)=O ZINC001267712354 838696866 /nfs/dbraw/zinc/69/68/66/838696866.db2.gz QPLYUVJMARDAFC-KKUMJFAQSA-N 1 2 307.438 1.672 20 30 DDEDLO CN(C(=O)CSCC#N)[C@H]1CC[N@H+](Cc2cncc(F)c2)C1 ZINC001267756820 838871609 /nfs/dbraw/zinc/87/16/09/838871609.db2.gz NIDQEGMZCLIYET-AWEZNQCLSA-N 1 2 322.409 1.510 20 30 DDEDLO CN(C(=O)CSCC#N)[C@H]1CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001267756820 838871616 /nfs/dbraw/zinc/87/16/16/838871616.db2.gz NIDQEGMZCLIYET-AWEZNQCLSA-N 1 2 322.409 1.510 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001267925919 839203914 /nfs/dbraw/zinc/20/39/14/839203914.db2.gz UNFWZZZIKRXJHT-PBHICJAKSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CCC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001267925919 839203924 /nfs/dbraw/zinc/20/39/24/839203924.db2.gz UNFWZZZIKRXJHT-PBHICJAKSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](CC(=O)NC(C)(C)C)C2)C1 ZINC001267967006 839289743 /nfs/dbraw/zinc/28/97/43/839289743.db2.gz VJCJTNNDNLLIFU-CQSZACIVSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](CC(=O)NC(C)(C)C)C2)C1 ZINC001267967006 839289754 /nfs/dbraw/zinc/28/97/54/839289754.db2.gz VJCJTNNDNLLIFU-CQSZACIVSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[N@H+](CCOCC2CC2)CCO1 ZINC001268023496 839408440 /nfs/dbraw/zinc/40/84/40/839408440.db2.gz KYTGGMDNTLYROR-HNNXBMFYSA-N 1 2 310.438 1.442 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[N@@H+](CCOCC2CC2)CCO1 ZINC001268023496 839408446 /nfs/dbraw/zinc/40/84/46/839408446.db2.gz KYTGGMDNTLYROR-HNNXBMFYSA-N 1 2 310.438 1.442 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@H](C)C[NH2+]Cc1nc(C(C)C)no1 ZINC001268064969 839637241 /nfs/dbraw/zinc/63/72/41/839637241.db2.gz XBDYFPUHXLZCQS-OLZOCXBDSA-N 1 2 322.409 1.168 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)CCNC(=O)c2ccsc2)C1 ZINC001268328559 840006158 /nfs/dbraw/zinc/00/61/58/840006158.db2.gz QXCSISPPPOYRJW-UHFFFAOYSA-N 1 2 307.419 1.245 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC/C=C\C[NH2+]Cc1nc(C)c(C)o1 ZINC001268534654 840404523 /nfs/dbraw/zinc/40/45/23/840404523.db2.gz VPSCAIBBWCUICT-MWWKQMNYSA-N 1 2 307.394 1.381 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC/C=C/C[NH2+]Cc1nc(C)c(C)o1 ZINC001268534652 840404877 /nfs/dbraw/zinc/40/48/77/840404877.db2.gz VPSCAIBBWCUICT-FYCOFBDGSA-N 1 2 307.394 1.381 20 30 DDEDLO C=CCC1(C(=O)NC/C=C/C[NH2+]Cc2ncnn2C)CCC1 ZINC001268586075 840491733 /nfs/dbraw/zinc/49/17/33/840491733.db2.gz CXYANEPXHPAJTG-SNAWJCMRSA-N 1 2 303.410 1.324 20 30 DDEDLO C#Cc1cncc(C(=O)NC/C=C\C[NH2+][C@H](C)c2ncccn2)c1 ZINC001268591313 840503222 /nfs/dbraw/zinc/50/32/22/840503222.db2.gz QMYHQZJDBRTRHB-ZRUQZJFASA-N 1 2 321.384 1.490 20 30 DDEDLO Cc1nnc(C[NH2+]C/C=C\CNC(=O)CC#Cc2ccccc2)o1 ZINC001268628439 840600974 /nfs/dbraw/zinc/60/09/74/840600974.db2.gz NSFYOPDFYQSBQM-WAYWQWQTSA-N 1 2 324.384 1.582 20 30 DDEDLO COCc1noc([C@H](C)[NH2+]C[C@H](C)NC(=O)C#CC(C)(C)C)n1 ZINC001268688800 840675612 /nfs/dbraw/zinc/67/56/12/840675612.db2.gz MDWCGZWVNLHFAP-RYUDHWBXSA-N 1 2 322.409 1.421 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC1C[NH+](Cc2cnc(C)o2)C1 ZINC001268858576 840908197 /nfs/dbraw/zinc/90/81/97/840908197.db2.gz OTNFONUCKUCSPO-QGZVFWFLSA-N 1 2 321.421 1.760 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001269056225 841153170 /nfs/dbraw/zinc/15/31/70/841153170.db2.gz AHVSYCACASSQBK-KBPBESRZSA-N 1 2 318.421 1.778 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001269056225 841153179 /nfs/dbraw/zinc/15/31/79/841153179.db2.gz AHVSYCACASSQBK-KBPBESRZSA-N 1 2 318.421 1.778 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+]1[C@H](C)C(=O)N1CCCCCC1 ZINC001269204403 841338442 /nfs/dbraw/zinc/33/84/42/841338442.db2.gz CMMBCDAFNPQETO-HZPDHXFCSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+]1[C@H](C)C(=O)N1CCCCCC1 ZINC001269204403 841338452 /nfs/dbraw/zinc/33/84/52/841338452.db2.gz CMMBCDAFNPQETO-HZPDHXFCSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@H]1CCN(C(C)(C)C)C1=O ZINC001269287820 841468751 /nfs/dbraw/zinc/46/87/51/841468751.db2.gz XSOCQRBJZIYMNM-GJZGRUSLSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@H]1CCN(C(C)(C)C)C1=O ZINC001269287820 841468757 /nfs/dbraw/zinc/46/87/57/841468757.db2.gz XSOCQRBJZIYMNM-GJZGRUSLSA-N 1 2 321.465 1.933 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCCN2C(=O)Cn2cc[nH+]c2)C1=O ZINC001269299151 841488372 /nfs/dbraw/zinc/48/83/72/841488372.db2.gz YGPPDTDYZWPULC-INIZCTEOSA-N 1 2 302.378 1.053 20 30 DDEDLO CCC(=CC(=O)N(CC)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1)CC ZINC001269318716 841510929 /nfs/dbraw/zinc/51/09/29/841510929.db2.gz QOIRCRVHQVECAP-OAHLLOKOSA-N 1 2 320.437 1.295 20 30 DDEDLO CCC(=CC(=O)N(CC)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1)CC ZINC001269318716 841510933 /nfs/dbraw/zinc/51/09/33/841510933.db2.gz QOIRCRVHQVECAP-OAHLLOKOSA-N 1 2 320.437 1.295 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@H]1CC[N@H+]1Cc1ccnn1C ZINC001269338616 841538436 /nfs/dbraw/zinc/53/84/36/841538436.db2.gz REQLFHDNSCXQIW-QGZVFWFLSA-N 1 2 322.412 1.335 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@H]1CC[N@@H+]1Cc1ccnn1C ZINC001269338616 841538449 /nfs/dbraw/zinc/53/84/49/841538449.db2.gz REQLFHDNSCXQIW-QGZVFWFLSA-N 1 2 322.412 1.335 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1([NH2+]Cc2nnnn2C2CC2)CC1 ZINC001269496779 841696233 /nfs/dbraw/zinc/69/62/33/841696233.db2.gz AHNHIMPALMAJMR-UHFFFAOYSA-N 1 2 318.425 1.349 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H](CC[NH2+]Cc2nnn(C)n2)C1 ZINC001326337277 861291587 /nfs/dbraw/zinc/29/15/87/861291587.db2.gz BPSNTNNYHUWWSJ-UONOGXRCSA-N 1 2 320.441 1.141 20 30 DDEDLO CCC(C)(C)CC(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001270520261 842638272 /nfs/dbraw/zinc/63/82/72/842638272.db2.gz LECHORZZYIGFEB-OAHLLOKOSA-N 1 2 306.454 1.555 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@](C)(NC(=O)c2cccs2)C1 ZINC001270528567 842643287 /nfs/dbraw/zinc/64/32/87/842643287.db2.gz DRNYKLXYTDAOFL-MLGOLLRUSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@](C)(NC(=O)c2cccs2)C1 ZINC001270528567 842643291 /nfs/dbraw/zinc/64/32/91/842643291.db2.gz DRNYKLXYTDAOFL-MLGOLLRUSA-N 1 2 319.430 1.080 20 30 DDEDLO C=CCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2nn(CC)nc2C)C1 ZINC001270559340 842667141 /nfs/dbraw/zinc/66/71/41/842667141.db2.gz WXGXTNULCIIWRW-INIZCTEOSA-N 1 2 305.426 1.653 20 30 DDEDLO C=CCCC(=O)N[C@@]1(C)CC[N@H+](Cc2nn(CC)nc2C)C1 ZINC001270559340 842667144 /nfs/dbraw/zinc/66/71/44/842667144.db2.gz WXGXTNULCIIWRW-INIZCTEOSA-N 1 2 305.426 1.653 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1C[N@H+](CCOC2CCC2)CCO1 ZINC001270681772 842813854 /nfs/dbraw/zinc/81/38/54/842813854.db2.gz DIZRBLLWGQOVKX-INIZCTEOSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1C[N@@H+](CCOC2CCC2)CCO1 ZINC001270681772 842813866 /nfs/dbraw/zinc/81/38/66/842813866.db2.gz DIZRBLLWGQOVKX-INIZCTEOSA-N 1 2 324.465 1.975 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C2(CNC(=O)C#CC3CC3)CCCC2)no1 ZINC001270743002 842865376 /nfs/dbraw/zinc/86/53/76/842865376.db2.gz GBZCIAQMVUXDAI-GFCCVEGCSA-N 1 2 316.405 1.871 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1(O)C[NH+](C[C@@H]2CC(C)(C)CO2)C1 ZINC001271342801 843509207 /nfs/dbraw/zinc/50/92/07/843509207.db2.gz DFACSSOCKPIGIP-CABCVRRESA-N 1 2 324.465 1.567 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1COCC[N@H+]1CCCC(C)C ZINC001280406253 843698977 /nfs/dbraw/zinc/69/89/77/843698977.db2.gz LRCQIMVXZBVWGX-HZPDHXFCSA-N 1 2 310.438 1.278 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1COCC[N@@H+]1CCCC(C)C ZINC001280406253 843698981 /nfs/dbraw/zinc/69/89/81/843698981.db2.gz LRCQIMVXZBVWGX-HZPDHXFCSA-N 1 2 310.438 1.278 20 30 DDEDLO CC[C@H]1CCCC[C@@H]1C(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001272146777 844653699 /nfs/dbraw/zinc/65/36/99/844653699.db2.gz ZKWBNOFYNVERBA-GJZGRUSLSA-N 1 2 322.453 1.233 20 30 DDEDLO CC[C@H]1CCCC[C@@H]1C(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001272146777 844653703 /nfs/dbraw/zinc/65/37/03/844653703.db2.gz ZKWBNOFYNVERBA-GJZGRUSLSA-N 1 2 322.453 1.233 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)[N@H+](CC)CC(=O)Nc1ccon1 ZINC001154927940 861574695 /nfs/dbraw/zinc/57/46/95/861574695.db2.gz SUOIXAMAXNVFIN-CYBMUJFWSA-N 1 2 322.409 1.796 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)[N@@H+](CC)CC(=O)Nc1ccon1 ZINC001154927940 861574701 /nfs/dbraw/zinc/57/47/01/861574701.db2.gz SUOIXAMAXNVFIN-CYBMUJFWSA-N 1 2 322.409 1.796 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH+]cn1C ZINC001280527973 846038288 /nfs/dbraw/zinc/03/82/88/846038288.db2.gz YKLKFGKSOVQJCR-ZIAGYGMSSA-N 1 2 320.437 1.528 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CC[C@@H](CCNCC#N)C1)n1cc[nH+]c1 ZINC001272465902 846164271 /nfs/dbraw/zinc/16/42/71/846164271.db2.gz MXYFTNTYGWGVCO-CVEARBPZSA-N 1 2 317.437 1.822 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](O)C[NH2+][C@H](C)c1nnc(CC)o1 ZINC001272495663 846214597 /nfs/dbraw/zinc/21/45/97/846214597.db2.gz GYLLVSXPJNLQIL-NEPJUHHUSA-N 1 2 324.425 1.362 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1ncc2ccccn21 ZINC001272809544 847465202 /nfs/dbraw/zinc/46/52/02/847465202.db2.gz LEKQEJOJBUVOEI-HZPDHXFCSA-N 1 2 308.385 1.533 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1ncc2ccccn21 ZINC001272809544 847465208 /nfs/dbraw/zinc/46/52/08/847465208.db2.gz LEKQEJOJBUVOEI-HZPDHXFCSA-N 1 2 308.385 1.533 20 30 DDEDLO C#CCN1C[C@]2(CC[N@@H+](Cc3cc(Cl)ccn3)C2)OCC1=O ZINC001272966647 847719012 /nfs/dbraw/zinc/71/90/12/847719012.db2.gz VTDUZBVQKRZAAE-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@]2(CC[N@H+](Cc3cc(Cl)ccn3)C2)OCC1=O ZINC001272966647 847719019 /nfs/dbraw/zinc/71/90/19/847719019.db2.gz VTDUZBVQKRZAAE-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](CC3(C)COC3)C[C@@]2(F)C1=O ZINC001273064037 847857522 /nfs/dbraw/zinc/85/75/22/847857522.db2.gz NVCIKAUPDRNMQP-LSDHHAIUSA-N 1 2 300.349 1.173 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](CC3(C)COC3)C[C@@]2(F)C1=O ZINC001273064037 847857525 /nfs/dbraw/zinc/85/75/25/847857525.db2.gz NVCIKAUPDRNMQP-LSDHHAIUSA-N 1 2 300.349 1.173 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCCC[N@H+](Cc3ccon3)C2)[nH]1 ZINC001034166553 848062063 /nfs/dbraw/zinc/06/20/63/848062063.db2.gz YLNRURBOAUCEDL-CYBMUJFWSA-N 1 2 313.361 1.659 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCCC[N@@H+](Cc3ccon3)C2)[nH]1 ZINC001034166553 848062067 /nfs/dbraw/zinc/06/20/67/848062067.db2.gz YLNRURBOAUCEDL-CYBMUJFWSA-N 1 2 313.361 1.659 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cnccc2Cl)C1=O ZINC001273170923 848626046 /nfs/dbraw/zinc/62/60/46/848626046.db2.gz ANOPQEOCNJHWPN-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cnccc2Cl)C1=O ZINC001273170923 848626051 /nfs/dbraw/zinc/62/60/51/848626051.db2.gz ANOPQEOCNJHWPN-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO CN1C[C@]2(CC1=O)COCC[N@H+](Cc1cc(C#N)ccc1F)C2 ZINC001273206330 848758340 /nfs/dbraw/zinc/75/83/40/848758340.db2.gz RUUAOEFLSFYZAL-KRWDZBQOSA-N 1 2 317.364 1.378 20 30 DDEDLO CN1C[C@]2(CC1=O)COCC[N@@H+](Cc1cc(C#N)ccc1F)C2 ZINC001273206330 848758344 /nfs/dbraw/zinc/75/83/44/848758344.db2.gz RUUAOEFLSFYZAL-KRWDZBQOSA-N 1 2 317.364 1.378 20 30 DDEDLO C=CC[C@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)OCC ZINC001327297348 862040885 /nfs/dbraw/zinc/04/08/85/862040885.db2.gz SGPRETADINJBAG-ZDUSSCGKSA-N 1 2 305.378 1.386 20 30 DDEDLO CCn1ncc(C[N@@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@@H]23)n1 ZINC001410889250 849921994 /nfs/dbraw/zinc/92/19/94/849921994.db2.gz QQIOZNVQQZUCRA-JGGQBBKZSA-N 1 2 316.409 1.071 20 30 DDEDLO CCn1ncc(C[N@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@@H]23)n1 ZINC001410889250 849922000 /nfs/dbraw/zinc/92/20/00/849922000.db2.gz QQIOZNVQQZUCRA-JGGQBBKZSA-N 1 2 316.409 1.071 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[N@H+](Cc2ccn(C)n2)CCCO1 ZINC001150085590 862071178 /nfs/dbraw/zinc/07/11/78/862071178.db2.gz QVIYRGLHAUDNIM-HNNXBMFYSA-N 1 2 320.437 1.339 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[N@@H+](Cc2ccn(C)n2)CCCO1 ZINC001150085590 862071190 /nfs/dbraw/zinc/07/11/90/862071190.db2.gz QVIYRGLHAUDNIM-HNNXBMFYSA-N 1 2 320.437 1.339 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(C2CC2)n1 ZINC001155438817 862087138 /nfs/dbraw/zinc/08/71/38/862087138.db2.gz IBSIVLHSTXMWDI-LBPRGKRZSA-N 1 2 322.409 1.524 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)(C)C(=C)C)CO2 ZINC001327403664 862144671 /nfs/dbraw/zinc/14/46/71/862144671.db2.gz DDCJUFIIJMHITD-HNNXBMFYSA-N 1 2 322.449 1.751 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](F)C(C)C)CO2 ZINC001327406390 862146478 /nfs/dbraw/zinc/14/64/78/862146478.db2.gz MRDSGQJWNLPODA-KBPBESRZSA-N 1 2 314.401 1.143 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCC[N@H+](Cc2ncnn2C)CC1 ZINC001273447856 850817244 /nfs/dbraw/zinc/81/72/44/850817244.db2.gz ZTQWGRRMNORNBM-HUUCEWRRSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCC[N@@H+](Cc2ncnn2C)CC1 ZINC001273447856 850817250 /nfs/dbraw/zinc/81/72/50/850817250.db2.gz ZTQWGRRMNORNBM-HUUCEWRRSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCN1C[C@]2(CCN(Cc3[nH]c(C)[nH+]c3C)C2)OCC1=O ZINC001273908455 851489198 /nfs/dbraw/zinc/48/91/98/851489198.db2.gz ULUROQWKKSXUBJ-MRXNPFEDSA-N 1 2 304.394 1.016 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCCN(Cc1[nH]c(C)[nH+]c1C)C2 ZINC001273908483 851489266 /nfs/dbraw/zinc/48/92/66/851489266.db2.gz UPHCXJOYLHBLLG-QGZVFWFLSA-N 1 2 318.421 1.263 20 30 DDEDLO C=CCN1CC2(CCC1=O)CC[NH+](CC(=O)C(=O)OCC)CC2 ZINC001273944950 851538636 /nfs/dbraw/zinc/53/86/36/851538636.db2.gz XTXCNFDFHXEJSD-UHFFFAOYSA-N 1 2 322.405 1.009 20 30 DDEDLO Cc1nc(C[NH2+]C/C=C\CNC(=O)c2ccc(C#N)[nH]2)oc1C ZINC001273965853 851617374 /nfs/dbraw/zinc/61/73/74/851617374.db2.gz KCVHZFPBQWOVDP-ARJAWSKDSA-N 1 2 313.361 1.567 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001274196644 852024363 /nfs/dbraw/zinc/02/43/63/852024363.db2.gz AJTBRAUYYPRAIK-OCCSQVGLSA-N 1 2 316.405 1.543 20 30 DDEDLO C=CCOC(=O)N1CC[C@]2(CCN(CCn3cc[nH+]c3)C2=O)C1 ZINC001274346161 852185327 /nfs/dbraw/zinc/18/53/27/852185327.db2.gz SJLIMMRETZJNIS-MRXNPFEDSA-N 1 2 318.377 1.130 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)CO[C@@H](C)CC)C2)CC1 ZINC001280676826 852195040 /nfs/dbraw/zinc/19/50/40/852195040.db2.gz CPTMOWFPMHHDDF-DLBZAZTESA-N 1 2 323.481 1.596 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)COCC[N@H+](Cc1cnsc1)C2 ZINC001274516945 852353819 /nfs/dbraw/zinc/35/38/19/852353819.db2.gz UWZJENNNYZCYRU-INIZCTEOSA-N 1 2 321.446 1.770 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1cnsc1)C2 ZINC001274516945 852353823 /nfs/dbraw/zinc/35/38/23/852353823.db2.gz UWZJENNNYZCYRU-INIZCTEOSA-N 1 2 321.446 1.770 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnn(CC)n2)[C@H](C)C1 ZINC001274625504 852456266 /nfs/dbraw/zinc/45/62/66/852456266.db2.gz VKWSRATUDOQWDP-CABCVRRESA-N 1 2 317.437 1.571 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnn(CC)n2)[C@H](C)C1 ZINC001274625504 852456270 /nfs/dbraw/zinc/45/62/70/852456270.db2.gz VKWSRATUDOQWDP-CABCVRRESA-N 1 2 317.437 1.571 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnon2)[C@H](C)C1 ZINC001274644165 852479752 /nfs/dbraw/zinc/47/97/52/852479752.db2.gz OAMSVOCVGKCXBE-ZIAGYGMSSA-N 1 2 304.394 1.732 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnon2)[C@H](C)C1 ZINC001274644165 852479756 /nfs/dbraw/zinc/47/97/56/852479756.db2.gz OAMSVOCVGKCXBE-ZIAGYGMSSA-N 1 2 304.394 1.732 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)ccn1 ZINC001274660787 852493786 /nfs/dbraw/zinc/49/37/86/852493786.db2.gz YJGYZDALDNWQPG-IYBDPMFKSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)ccn1 ZINC001274660787 852493795 /nfs/dbraw/zinc/49/37/95/852493795.db2.gz YJGYZDALDNWQPG-IYBDPMFKSA-N 1 2 322.372 1.165 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H](C)C[NH2+][C@H](C)c2nnc(C)o2)c1 ZINC001274912887 852681643 /nfs/dbraw/zinc/68/16/43/852681643.db2.gz CVQGLZOPXFOLCN-GHMZBOCLSA-N 1 2 313.361 1.223 20 30 DDEDLO CCn1ccnc1CN1CC2(C1)C[NH+](Cc1ccc(C#N)cn1)C2 ZINC001275032679 852762120 /nfs/dbraw/zinc/76/21/20/852762120.db2.gz YVBUSHVNFLFLNU-UHFFFAOYSA-N 1 2 322.416 1.487 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@H](C)NC(=O)CSCC#N ZINC001275505121 853243479 /nfs/dbraw/zinc/24/34/79/853243479.db2.gz FPUHVQGXCKCFSN-VIFPVBQESA-N 1 2 313.452 1.040 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@H](C)NC(=O)CSCC#N ZINC001275505121 853243484 /nfs/dbraw/zinc/24/34/84/853243484.db2.gz FPUHVQGXCKCFSN-VIFPVBQESA-N 1 2 313.452 1.040 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1C[C@H]2C[C@@H](C1)N(Cc1ccc(C#N)s1)C2 ZINC001275588391 853402380 /nfs/dbraw/zinc/40/23/80/853402380.db2.gz CBMNFIKNHAEQLJ-OLZOCXBDSA-N 1 2 318.446 1.214 20 30 DDEDLO CN(C)C(=O)C[N@H+]1C[C@H]2C[C@@H](C1)N(Cc1ccc(C#N)s1)C2 ZINC001275588391 853402386 /nfs/dbraw/zinc/40/23/86/853402386.db2.gz CBMNFIKNHAEQLJ-OLZOCXBDSA-N 1 2 318.446 1.214 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[NH2+]Cc1noc(C2CCCCC2)n1 ZINC001155836349 862488269 /nfs/dbraw/zinc/48/82/69/862488269.db2.gz MXISHDIVVBIKJN-LBPRGKRZSA-N 1 2 319.409 1.873 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2CN(C(=O)C#CC(C)C)C[C@@H]2C)o1 ZINC001327842861 862505674 /nfs/dbraw/zinc/50/56/74/862505674.db2.gz KLNQXBFYSXCACN-QWHCGFSZSA-N 1 2 304.394 1.228 20 30 DDEDLO CCCCc1nc(C[NH2+]CCCN(C)C(=O)C#CC2CC2)no1 ZINC001275996286 854112138 /nfs/dbraw/zinc/11/21/38/854112138.db2.gz POSUNZQNXWXUFF-UHFFFAOYSA-N 1 2 318.421 1.764 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001327903512 862550832 /nfs/dbraw/zinc/55/08/32/862550832.db2.gz OJFKLXUYXGBATK-OLZOCXBDSA-N 1 2 322.409 1.509 20 30 DDEDLO CN(C)c1noc(C[NH2+]CCC(=O)Nc2cccc(C#N)c2)n1 ZINC001327992034 862617700 /nfs/dbraw/zinc/61/77/00/862617700.db2.gz LLARWXNHCLHDHV-UHFFFAOYSA-N 1 2 314.349 1.126 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)(C)C(F)F)CO2 ZINC001327409244 855332249 /nfs/dbraw/zinc/33/22/49/855332249.db2.gz WLLRCVCTPKJFHI-LLVKDONJSA-N 1 2 302.365 1.813 20 30 DDEDLO COc1ccnc(C[NH+]2CC([C@@H](C)NC(=O)C#CC3CC3)C2)c1 ZINC001276375859 856301253 /nfs/dbraw/zinc/30/12/53/856301253.db2.gz ITNZPEIXYDHDFP-CYBMUJFWSA-N 1 2 313.401 1.440 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2oc3ccccc3c2C)C1 ZINC001047619201 856758033 /nfs/dbraw/zinc/75/80/33/856758033.db2.gz KUBSILMNEKAHDH-GJZGRUSLSA-N 1 2 312.369 1.492 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2oc3ccccc3c2C)C1 ZINC001047619201 856758036 /nfs/dbraw/zinc/75/80/36/856758036.db2.gz KUBSILMNEKAHDH-GJZGRUSLSA-N 1 2 312.369 1.492 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCCC[NH2+]Cc2noc(C3CC3)n2)c1 ZINC001156296781 862917555 /nfs/dbraw/zinc/91/75/55/862917555.db2.gz WIBLQKYGHVBBJE-UHFFFAOYSA-N 1 2 314.349 1.056 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072602877 857501910 /nfs/dbraw/zinc/50/19/10/857501910.db2.gz MNTLBWAHBZQPQI-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C#CCCCC(=O)N1CCC(C)(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001072987009 857972593 /nfs/dbraw/zinc/97/25/93/857972593.db2.gz OBOPOJKIBBOPPF-UHFFFAOYSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H]2CC2(F)F)C1 ZINC001073598295 858471759 /nfs/dbraw/zinc/47/17/59/858471759.db2.gz VSERGXJDFIZHKB-GHMZBOCLSA-N 1 2 308.756 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H]2CC2(F)F)C1 ZINC001073598295 858471768 /nfs/dbraw/zinc/47/17/68/858471768.db2.gz VSERGXJDFIZHKB-GHMZBOCLSA-N 1 2 308.756 1.601 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccccc2O)C1 ZINC001073539483 858424068 /nfs/dbraw/zinc/42/40/68/858424068.db2.gz MBFKGUFHIVCCKD-CYBMUJFWSA-N 1 2 324.808 1.965 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccccc2O)C1 ZINC001073539483 858424073 /nfs/dbraw/zinc/42/40/73/858424073.db2.gz MBFKGUFHIVCCKD-CYBMUJFWSA-N 1 2 324.808 1.965 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cncnc2)C1 ZINC001073582656 858460874 /nfs/dbraw/zinc/46/08/74/858460874.db2.gz PLJXHNJMWAMBFT-ZDUSSCGKSA-N 1 2 310.785 1.050 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cncnc2)C1 ZINC001073582656 858460878 /nfs/dbraw/zinc/46/08/78/858460878.db2.gz PLJXHNJMWAMBFT-ZDUSSCGKSA-N 1 2 310.785 1.050 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)[C@H]1C ZINC001123027773 859062728 /nfs/dbraw/zinc/06/27/28/859062728.db2.gz JZNWOLCVLZINSN-TZMCWYRMSA-N 1 2 304.394 1.779 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@@H](Nc2cc[nH+]c(C)n2)C(C)(C)C1 ZINC001123946238 859488947 /nfs/dbraw/zinc/48/89/47/859488947.db2.gz GUFXBSWXSAWHBO-CXAGYDPISA-N 1 2 318.421 1.761 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125264730 859937477 /nfs/dbraw/zinc/93/74/77/859937477.db2.gz GWTNOARAZKOIMB-PBHICJAKSA-N 1 2 320.437 1.643 20 30 DDEDLO C=C(C)COc1ccccc1C[NH+]1CCN(CC(=O)OC)CC1 ZINC001138663798 860156324 /nfs/dbraw/zinc/15/63/24/860156324.db2.gz CYIQKFSVUOCPMG-UHFFFAOYSA-N 1 2 318.417 1.932 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2ccnc(NC)n2)C1 ZINC001140044868 860561829 /nfs/dbraw/zinc/56/18/29/860561829.db2.gz UTWWEZMEDLHLQP-LBPRGKRZSA-N 1 2 305.382 1.395 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2ccnc(NC)n2)C1 ZINC001140044868 860561833 /nfs/dbraw/zinc/56/18/33/860561833.db2.gz UTWWEZMEDLHLQP-LBPRGKRZSA-N 1 2 305.382 1.395 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@H]2C(=O)N2CCOCC2)cn1 ZINC001140544246 860654290 /nfs/dbraw/zinc/65/42/90/860654290.db2.gz IKHGPGWRCFPGJF-INIZCTEOSA-N 1 2 314.389 1.167 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@H]2C(=O)N2CCOCC2)cn1 ZINC001140544246 860654293 /nfs/dbraw/zinc/65/42/93/860654293.db2.gz IKHGPGWRCFPGJF-INIZCTEOSA-N 1 2 314.389 1.167 20 30 DDEDLO C=C[C@H](C(=O)NCC[NH2+]Cc1nnc(CC)o1)c1ccccc1 ZINC001151990406 863094749 /nfs/dbraw/zinc/09/47/49/863094749.db2.gz HHRMMZFHMFPRQQ-AWEZNQCLSA-N 1 2 314.389 1.808 20 30 DDEDLO C#CCCCC(=O)NC1(CCO)C[NH+](Cc2cccc(C)c2)C1 ZINC001329651948 863772262 /nfs/dbraw/zinc/77/22/62/863772262.db2.gz NNZNVGFFOBJXBL-UHFFFAOYSA-N 1 2 314.429 1.852 20 30 DDEDLO C[C@@H](CC(=O)NC[C@H]1CCCCCN1CC#N)n1cc[nH+]c1 ZINC001329935663 863954684 /nfs/dbraw/zinc/95/46/84/863954684.db2.gz GCWCKCAWDFHLKG-LSDHHAIUSA-N 1 2 303.410 1.719 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1NCC1([NH+]2CCCC2)COC1 ZINC001157654530 864016711 /nfs/dbraw/zinc/01/67/11/864016711.db2.gz DSKUTVLAXFMHSP-UHFFFAOYSA-N 1 2 303.322 1.138 20 30 DDEDLO CCCCc1nc(C[NH2+]CCCNC(=O)C#CC2CC2)no1 ZINC001157699884 864059303 /nfs/dbraw/zinc/05/93/03/864059303.db2.gz WHYGKCCEDWPCPT-UHFFFAOYSA-N 1 2 304.394 1.422 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CC(=O)NCC)C(C)(C)C1 ZINC001330191490 864142366 /nfs/dbraw/zinc/14/23/66/864142366.db2.gz JINFLWWEHVIQQV-LLVKDONJSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CC(=O)NCC)C(C)(C)C1 ZINC001330191490 864142372 /nfs/dbraw/zinc/14/23/72/864142372.db2.gz JINFLWWEHVIQQV-LLVKDONJSA-N 1 2 301.818 1.092 20 30 DDEDLO C#CCOCCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ccon1 ZINC001157945571 864291458 /nfs/dbraw/zinc/29/14/58/864291458.db2.gz NVGUWGAUSNGAGY-MRXNPFEDSA-N 1 2 319.405 1.527 20 30 DDEDLO C#CCOCCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ccon1 ZINC001157945571 864291471 /nfs/dbraw/zinc/29/14/71/864291471.db2.gz NVGUWGAUSNGAGY-MRXNPFEDSA-N 1 2 319.405 1.527 20 30 DDEDLO COc1cc(N2CCN(c3ncc(C)cc3C#N)CC2)cc[nH+]1 ZINC001158097854 864425028 /nfs/dbraw/zinc/42/50/28/864425028.db2.gz HJWUXDLPLIKVBK-UHFFFAOYSA-N 1 2 309.373 1.992 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001158345977 864592560 /nfs/dbraw/zinc/59/25/60/864592560.db2.gz XHJAUGMOGFQVJQ-ZACQAIPSSA-N 1 2 315.417 1.896 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001158345977 864592572 /nfs/dbraw/zinc/59/25/72/864592572.db2.gz XHJAUGMOGFQVJQ-ZACQAIPSSA-N 1 2 315.417 1.896 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1noc(CC)n1 ZINC001225355783 881795642 /nfs/dbraw/zinc/79/56/42/881795642.db2.gz IYKGINLTHSSCKC-CHWSQXEVSA-N 1 2 322.409 1.352 20 30 DDEDLO COc1nc(N2CC[C@@H]([NH+]3CCCC3)C(F)(F)C2)ncc1C#N ZINC001158624619 864764849 /nfs/dbraw/zinc/76/48/49/864764849.db2.gz MRTPONSXQBFJEA-GFCCVEGCSA-N 1 2 323.347 1.667 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](CO)[NH2+]Cc1csc(C)n1 ZINC001331787127 865327480 /nfs/dbraw/zinc/32/74/80/865327480.db2.gz GKPXQVVZTJLCJX-WFASDCNBSA-N 1 2 311.451 1.621 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cnnn2CC)[C@@H]1C ZINC001332175037 865638181 /nfs/dbraw/zinc/63/81/81/865638181.db2.gz IJGXMFPZZLBBPA-ZBFHGGJFSA-N 1 2 317.437 1.571 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2cnnn2CC)[C@@H]1C ZINC001332175037 865638186 /nfs/dbraw/zinc/63/81/86/865638186.db2.gz IJGXMFPZZLBBPA-ZBFHGGJFSA-N 1 2 317.437 1.571 20 30 DDEDLO N#CCNC[C@@H]1CC[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001332261698 865705475 /nfs/dbraw/zinc/70/54/75/865705475.db2.gz NMXIQNMXKDVHII-KGLIPLIRSA-N 1 2 315.421 1.160 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1nc(C)c(C)cc1C#N ZINC001160692080 866032397 /nfs/dbraw/zinc/03/23/97/866032397.db2.gz BYGVZDXATFZNSG-AWEZNQCLSA-N 1 2 313.361 1.500 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1ccc(C)c(F)c1 ZINC001320018096 866432681 /nfs/dbraw/zinc/43/26/81/866432681.db2.gz BTYZDNFYWCRPMC-UHFFFAOYSA-N 1 2 321.396 1.440 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1ccc(C)c(F)c1 ZINC001320018096 866432682 /nfs/dbraw/zinc/43/26/82/866432682.db2.gz BTYZDNFYWCRPMC-UHFFFAOYSA-N 1 2 321.396 1.440 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)C[C@@]3(CCSC3)C2=O)C1 ZINC001320029396 866436840 /nfs/dbraw/zinc/43/68/40/866436840.db2.gz CMZDWEPRWYCHND-SWLSCSKDSA-N 1 2 310.419 1.103 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)C[C@@]3(CCSC3)C2=O)C1 ZINC001320029396 866436845 /nfs/dbraw/zinc/43/68/45/866436845.db2.gz CMZDWEPRWYCHND-SWLSCSKDSA-N 1 2 310.419 1.103 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC001320072531 866456018 /nfs/dbraw/zinc/45/60/18/866456018.db2.gz SFSAPZQUIHJXCB-CABCVRRESA-N 1 2 316.405 1.647 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1sccc1CC ZINC001320085465 866459138 /nfs/dbraw/zinc/45/91/38/866459138.db2.gz RIMWMMCQXFFVOK-UHFFFAOYSA-N 1 2 321.446 1.064 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1sccc1CC ZINC001320085465 866459145 /nfs/dbraw/zinc/45/91/45/866459145.db2.gz RIMWMMCQXFFVOK-UHFFFAOYSA-N 1 2 321.446 1.064 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)COCCc1cccc(F)c1 ZINC001323244583 866464374 /nfs/dbraw/zinc/46/43/74/866464374.db2.gz AHANYOVUHQGVHS-QGZVFWFLSA-N 1 2 318.392 1.599 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)COCCc1cccc(F)c1 ZINC001323244583 866464387 /nfs/dbraw/zinc/46/43/87/866464387.db2.gz AHANYOVUHQGVHS-QGZVFWFLSA-N 1 2 318.392 1.599 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)CCc1cccs1 ZINC001323352460 866554465 /nfs/dbraw/zinc/55/44/65/866554465.db2.gz NKUKVSIPNFQUEP-AWEZNQCLSA-N 1 2 306.431 1.521 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)CCc1cccs1 ZINC001323352460 866554473 /nfs/dbraw/zinc/55/44/73/866554473.db2.gz NKUKVSIPNFQUEP-AWEZNQCLSA-N 1 2 306.431 1.521 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)CCOC)CC1 ZINC001225729994 881997668 /nfs/dbraw/zinc/99/76/68/881997668.db2.gz QQLVVNNSDIWTAS-UHFFFAOYSA-N 1 2 305.216 1.512 20 30 DDEDLO C#CCN(C(=O)[C@@H](C)OC)C1CC[NH+](Cc2coc(C)n2)CC1 ZINC001324374978 867236824 /nfs/dbraw/zinc/23/68/24/867236824.db2.gz WVFYDDGXHNZMKE-CYBMUJFWSA-N 1 2 319.405 1.444 20 30 DDEDLO C=C1CC(C)(C(=O)NC/C=C\C[NH2+]Cc2nn(CC)nc2C)C1 ZINC001321235602 867424281 /nfs/dbraw/zinc/42/42/81/867424281.db2.gz JBJXXQBQCCGJLH-SREVYHEPSA-N 1 2 317.437 1.725 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](C)CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001334365100 867517730 /nfs/dbraw/zinc/51/77/30/867517730.db2.gz FGRLECNZMNACTH-UONOGXRCSA-N 1 2 318.421 1.375 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C\C[NH2+]Cc2nccc(C)n2)cn1 ZINC001321369240 867537030 /nfs/dbraw/zinc/53/70/30/867537030.db2.gz RAWNAUWGHXOMFC-PLNGDYQASA-N 1 2 321.384 1.237 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@H]1CCn2ccnc2C1 ZINC001381540570 882119980 /nfs/dbraw/zinc/11/99/80/882119980.db2.gz MANJQILBSAGMOX-OLZOCXBDSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@H]1CCn2ccnc2C1 ZINC001381540570 882119992 /nfs/dbraw/zinc/11/99/92/882119992.db2.gz MANJQILBSAGMOX-OLZOCXBDSA-N 1 2 310.829 1.635 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)CCC2CCCCCC2)C1 ZINC001325246303 867887047 /nfs/dbraw/zinc/88/70/47/867887047.db2.gz ORUGJZMGOLTTJM-UHFFFAOYSA-N 1 2 306.450 1.923 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001325318537 867941195 /nfs/dbraw/zinc/94/11/95/867941195.db2.gz NIHBLHJUNFXSBT-HNNXBMFYSA-N 1 2 306.450 1.779 20 30 DDEDLO C=C[C@H](COC)NC(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC001325633795 868201151 /nfs/dbraw/zinc/20/11/51/868201151.db2.gz BJQXXPDAFDUIKW-ZIAGYGMSSA-N 1 2 306.410 1.585 20 30 DDEDLO C=CCN(Cc1ccccc1F)C(=O)C(=O)N1CC[NH+](C)CC1 ZINC001325657014 868222576 /nfs/dbraw/zinc/22/25/76/868222576.db2.gz BHLDVCMBKXZULS-UHFFFAOYSA-N 1 2 319.380 1.114 20 30 DDEDLO CC(C)C[C@H](Nc1ccc(Cn2cncn2)c[nH+]1)C(=O)NO ZINC001163420192 868438271 /nfs/dbraw/zinc/43/82/71/868438271.db2.gz FILZUSKLDMVUTG-LBPRGKRZSA-N 1 2 304.354 1.053 20 30 DDEDLO Cc1cc(N2CC[NH2+]C[C@@H]2C#N)nc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC001164661801 869346389 /nfs/dbraw/zinc/34/63/89/869346389.db2.gz OXHKRKODVAVBSO-AWEZNQCLSA-N 1 2 324.344 1.662 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC001337649206 869580567 /nfs/dbraw/zinc/58/05/67/869580567.db2.gz IGZWORWDVYAIOO-LBPRGKRZSA-N 1 2 305.334 1.810 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC001337649206 869580570 /nfs/dbraw/zinc/58/05/70/869580570.db2.gz IGZWORWDVYAIOO-LBPRGKRZSA-N 1 2 305.334 1.810 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)CC(C)(C)C)n2C)CC1 ZINC001337898371 869674376 /nfs/dbraw/zinc/67/43/76/869674376.db2.gz SUQNSRGWXAZZHL-ZDUSSCGKSA-N 1 2 305.426 1.040 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001338116956 869814371 /nfs/dbraw/zinc/81/43/71/869814371.db2.gz NDRHGVBRKTUSNL-KGLIPLIRSA-N 1 2 304.394 1.345 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001316976112 870037666 /nfs/dbraw/zinc/03/76/66/870037666.db2.gz JXGLTMRGDLKSMY-LSDHHAIUSA-N 1 2 300.406 1.696 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001316976112 870037676 /nfs/dbraw/zinc/03/76/76/870037676.db2.gz JXGLTMRGDLKSMY-LSDHHAIUSA-N 1 2 300.406 1.696 20 30 DDEDLO CC#CCCCC(=O)N[C@H](CC)CNC(=O)Cn1cc[nH+]c1 ZINC001297431645 870047320 /nfs/dbraw/zinc/04/73/20/870047320.db2.gz OYDXJKCGHWVHIW-CQSZACIVSA-N 1 2 304.394 1.088 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@H](C)[C@@H](C)C1 ZINC001338893731 870227453 /nfs/dbraw/zinc/22/74/53/870227453.db2.gz BTDKHLUYHBOWOX-AJNGGQMLSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@H](C)[C@@H](C)C1 ZINC001338893731 870227466 /nfs/dbraw/zinc/22/74/66/870227466.db2.gz BTDKHLUYHBOWOX-AJNGGQMLSA-N 1 2 319.453 1.684 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nc(Cl)ccc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001226364717 882385961 /nfs/dbraw/zinc/38/59/61/882385961.db2.gz RTFLVYXGFBXIPL-MOGLMSHVSA-N 1 2 311.725 1.634 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nc(Cl)ccc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001226364717 882385980 /nfs/dbraw/zinc/38/59/80/882385980.db2.gz RTFLVYXGFBXIPL-MOGLMSHVSA-N 1 2 311.725 1.634 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1nccn1C ZINC001317171856 870410686 /nfs/dbraw/zinc/41/06/86/870410686.db2.gz XGZXLYSBBYWYSB-HNNXBMFYSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1nccn1C ZINC001317171856 870410697 /nfs/dbraw/zinc/41/06/97/870410697.db2.gz XGZXLYSBBYWYSB-HNNXBMFYSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H](C)C1C[NH+](Cc2cnnn2C)C1 ZINC001276414191 870669666 /nfs/dbraw/zinc/66/96/66/870669666.db2.gz MDLGMRFKUYHTHF-AWEZNQCLSA-N 1 2 317.437 1.335 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cn1cc[nH+]c1)NC(=O)C#CC(C)(C)C ZINC001339832339 870724517 /nfs/dbraw/zinc/72/45/17/870724517.db2.gz UCEQZCVVJGKOJP-KGLIPLIRSA-N 1 2 318.421 1.332 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@H+](Cc2cccc(C#N)c2)CC1 ZINC001203760819 870743638 /nfs/dbraw/zinc/74/36/38/870743638.db2.gz ORDJNOYPROJDQZ-OAHLLOKOSA-N 1 2 300.358 1.902 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@@H+](Cc2cccc(C#N)c2)CC1 ZINC001203760819 870743646 /nfs/dbraw/zinc/74/36/46/870743646.db2.gz ORDJNOYPROJDQZ-OAHLLOKOSA-N 1 2 300.358 1.902 20 30 DDEDLO C[C@H](CCNC(=O)C#CC1CC1)[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001317394459 870763719 /nfs/dbraw/zinc/76/37/19/870763719.db2.gz PAMNGPWWJWQBDB-GFCCVEGCSA-N 1 2 318.421 1.765 20 30 DDEDLO CCCN(CCC)C(=O)C[N@H+](C)CCNC(=O)C#CC(C)(C)C ZINC001317448038 870855140 /nfs/dbraw/zinc/85/51/40/870855140.db2.gz MFZSEGFQKBTQQX-UHFFFAOYSA-N 1 2 323.481 1.733 20 30 DDEDLO CCCN(CCC)C(=O)C[N@@H+](C)CCNC(=O)C#CC(C)(C)C ZINC001317448038 870855150 /nfs/dbraw/zinc/85/51/50/870855150.db2.gz MFZSEGFQKBTQQX-UHFFFAOYSA-N 1 2 323.481 1.733 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001299054705 870866612 /nfs/dbraw/zinc/86/66/12/870866612.db2.gz DAIGJBNBDRDMKM-UONOGXRCSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+](Cc2nnnn2C(C)(C)C)C1 ZINC001317522010 870984028 /nfs/dbraw/zinc/98/40/28/870984028.db2.gz JGQOSHOEPPQKOI-ZDUSSCGKSA-N 1 2 320.441 1.332 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+](Cc2nnnn2C(C)(C)C)C1 ZINC001317522010 870984031 /nfs/dbraw/zinc/98/40/31/870984031.db2.gz JGQOSHOEPPQKOI-ZDUSSCGKSA-N 1 2 320.441 1.332 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@@H+](CCC)Cc2ccon2)nc1 ZINC001317547463 871041015 /nfs/dbraw/zinc/04/10/15/871041015.db2.gz MPNZLEKDFWYPOA-UHFFFAOYSA-N 1 2 312.373 1.693 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@H+](CCC)Cc2ccon2)nc1 ZINC001317547463 871041020 /nfs/dbraw/zinc/04/10/20/871041020.db2.gz MPNZLEKDFWYPOA-UHFFFAOYSA-N 1 2 312.373 1.693 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[N@H+](Cc1cnnn1C)C1CC1 ZINC001317556341 871069863 /nfs/dbraw/zinc/06/98/63/871069863.db2.gz GAYJRUOJDGIMLM-INIZCTEOSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[N@@H+](Cc1cnnn1C)C1CC1 ZINC001317556341 871069878 /nfs/dbraw/zinc/06/98/78/871069878.db2.gz GAYJRUOJDGIMLM-INIZCTEOSA-N 1 2 305.426 1.498 20 30 DDEDLO CC(C)C(C#N)=CNNc1ccc(S(=O)(=O)NC2CC2)c[nH+]1 ZINC001340949948 871438212 /nfs/dbraw/zinc/43/82/12/871438212.db2.gz FBUWJPNUPMIQIX-LLVKDONJSA-N 1 2 321.406 1.716 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CCOCC(F)(F)F ZINC001317732875 871451180 /nfs/dbraw/zinc/45/11/80/871451180.db2.gz IKRHDKFXBSWGPK-UTUOFQBUSA-N 1 2 319.327 1.400 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CCOCC(F)(F)F ZINC001317732875 871451186 /nfs/dbraw/zinc/45/11/86/871451186.db2.gz IKRHDKFXBSWGPK-UTUOFQBUSA-N 1 2 319.327 1.400 20 30 DDEDLO CCO[C@@H](CC(=O)N(C)CC[NH+]1CCN(CC#N)CC1)C(C)C ZINC001317819324 871545022 /nfs/dbraw/zinc/54/50/22/871545022.db2.gz XGMHAVDOWNMETK-INIZCTEOSA-N 1 2 324.469 1.037 20 30 DDEDLO C=CCOc1cccc(NS(=O)(=O)N2CC[NH+](C)CC2)c1 ZINC001309346295 871563462 /nfs/dbraw/zinc/56/34/62/871563462.db2.gz LVNOQNIZGLBSBQ-UHFFFAOYSA-N 1 2 311.407 1.156 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1nc(-c2ccco2)cs1 ZINC001341258886 871579580 /nfs/dbraw/zinc/57/95/80/871579580.db2.gz WBVCACKTBICZTH-UHFFFAOYSA-N 1 2 319.386 1.923 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1nc(-c2ccco2)cs1 ZINC001341258886 871579586 /nfs/dbraw/zinc/57/95/86/871579586.db2.gz WBVCACKTBICZTH-UHFFFAOYSA-N 1 2 319.386 1.923 20 30 DDEDLO C=CCC[N@@H+](C)CCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001316860740 871606459 /nfs/dbraw/zinc/60/64/59/871606459.db2.gz XZMYSLPXNLNMIH-UHFFFAOYSA-N 1 2 316.409 1.438 20 30 DDEDLO C=CCC[N@H+](C)CCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001316860740 871606463 /nfs/dbraw/zinc/60/64/63/871606463.db2.gz XZMYSLPXNLNMIH-UHFFFAOYSA-N 1 2 316.409 1.438 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](CC)CC(C)C)C1 ZINC001317949864 871647571 /nfs/dbraw/zinc/64/75/71/871647571.db2.gz OKOAOTJMDYGNPS-JKSUJKDBSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](CC)CC(C)C)C1 ZINC001317949864 871647578 /nfs/dbraw/zinc/64/75/78/871647578.db2.gz OKOAOTJMDYGNPS-JKSUJKDBSA-N 1 2 321.465 1.389 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1CCC[N@@H+](CC(N)=O)C1)c1ccccc1 ZINC001317955527 871647908 /nfs/dbraw/zinc/64/79/08/871647908.db2.gz RBVZPNXPYGZALM-HUUCEWRRSA-N 1 2 301.390 1.022 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1CCC[N@H+](CC(N)=O)C1)c1ccccc1 ZINC001317955527 871647912 /nfs/dbraw/zinc/64/79/12/871647912.db2.gz RBVZPNXPYGZALM-HUUCEWRRSA-N 1 2 301.390 1.022 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CCC[N@H+](Cc2ccc(F)cn2)C1 ZINC001317968576 871659164 /nfs/dbraw/zinc/65/91/64/871659164.db2.gz BCOQKBZZUZBAIP-RDJZCZTQSA-N 1 2 321.396 1.628 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001317968576 871659166 /nfs/dbraw/zinc/65/91/66/871659166.db2.gz BCOQKBZZUZBAIP-RDJZCZTQSA-N 1 2 321.396 1.628 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@H+]1CC=C(Cl)Cl ZINC001317504433 871699241 /nfs/dbraw/zinc/69/92/41/871699241.db2.gz GZZQFLUMIYSPRW-LBPRGKRZSA-N 1 2 319.232 1.926 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@@H+]1CC=C(Cl)Cl ZINC001317504433 871699242 /nfs/dbraw/zinc/69/92/42/871699242.db2.gz GZZQFLUMIYSPRW-LBPRGKRZSA-N 1 2 319.232 1.926 20 30 DDEDLO C=C(C)CCC(=O)NC1C[NH+](CCOC[C@@H]2CCCCO2)C1 ZINC001318028870 871699703 /nfs/dbraw/zinc/69/97/03/871699703.db2.gz CRQUUJGMIGCLOT-INIZCTEOSA-N 1 2 310.438 1.729 20 30 DDEDLO C=CCC1(C(=O)NC2C[NH+](CCn3cccn3)C2)CCOCC1 ZINC001318081166 871730920 /nfs/dbraw/zinc/73/09/20/871730920.db2.gz FRABOMYZVMOLLA-UHFFFAOYSA-N 1 2 318.421 1.056 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001315842682 871926201 /nfs/dbraw/zinc/92/62/01/871926201.db2.gz IXUGWOBKPZVXRT-MRXNPFEDSA-N 1 2 322.368 1.145 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001315842682 871926217 /nfs/dbraw/zinc/92/62/17/871926217.db2.gz IXUGWOBKPZVXRT-MRXNPFEDSA-N 1 2 322.368 1.145 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3CN(C(=O)C#CC(C)C)C[C@@H]3C2)o1 ZINC001316752392 871994796 /nfs/dbraw/zinc/99/47/96/871994796.db2.gz MZRDQVQJLUHSGW-CABCVRRESA-N 1 2 316.405 1.318 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3CN(C(=O)C#CC(C)C)C[C@@H]3C2)o1 ZINC001316752392 871994804 /nfs/dbraw/zinc/99/48/04/871994804.db2.gz MZRDQVQJLUHSGW-CABCVRRESA-N 1 2 316.405 1.318 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@H]1C[N@H+](C)Cc1ncccn1 ZINC001316803209 872068556 /nfs/dbraw/zinc/06/85/56/872068556.db2.gz LIQNEUVQVCUYEU-INIZCTEOSA-N 1 2 314.433 1.949 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@H]1C[N@@H+](C)Cc1ncccn1 ZINC001316803209 872068575 /nfs/dbraw/zinc/06/85/75/872068575.db2.gz LIQNEUVQVCUYEU-INIZCTEOSA-N 1 2 314.433 1.949 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC)[C@H]1CC[N@H+](Cc2coc(C)n2)C1 ZINC001318469511 872088829 /nfs/dbraw/zinc/08/88/29/872088829.db2.gz FBHFFPLIGSONTP-BBRMVZONSA-N 1 2 319.405 1.444 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC)[C@H]1CC[N@@H+](Cc2coc(C)n2)C1 ZINC001318469511 872088852 /nfs/dbraw/zinc/08/88/52/872088852.db2.gz FBHFFPLIGSONTP-BBRMVZONSA-N 1 2 319.405 1.444 20 30 DDEDLO C=CCn1c(C2CC[NH+](CCC#N)CC2)nnc1N(C)OC ZINC001342306444 872137436 /nfs/dbraw/zinc/13/74/36/872137436.db2.gz OZXRGCGNFTVMEO-UHFFFAOYSA-N 1 2 304.398 1.555 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1cc2n(n1)CCCC2=O ZINC001342594022 872286156 /nfs/dbraw/zinc/28/61/56/872286156.db2.gz XRIZXWLXEALVCC-GFCCVEGCSA-N 1 2 301.346 1.114 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1cc2n(n1)CCCC2=O ZINC001342594022 872286171 /nfs/dbraw/zinc/28/61/71/872286171.db2.gz XRIZXWLXEALVCC-GFCCVEGCSA-N 1 2 301.346 1.114 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(F)=C2CCCC2)C1 ZINC001316942180 872427462 /nfs/dbraw/zinc/42/74/62/872427462.db2.gz ZZRTZIBJEICNEK-CQSZACIVSA-N 1 2 321.396 1.114 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(F)=C2CCCC2)C1 ZINC001316942180 872427465 /nfs/dbraw/zinc/42/74/65/872427465.db2.gz ZZRTZIBJEICNEK-CQSZACIVSA-N 1 2 321.396 1.114 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)Cc2cnccc2C)C1 ZINC001319307939 872558376 /nfs/dbraw/zinc/55/83/76/872558376.db2.gz KHMDJYJOOLTJTI-INIZCTEOSA-N 1 2 303.406 1.326 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)Cc2cnccc2C)C1 ZINC001319307939 872558387 /nfs/dbraw/zinc/55/83/87/872558387.db2.gz KHMDJYJOOLTJTI-INIZCTEOSA-N 1 2 303.406 1.326 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001319319230 872566738 /nfs/dbraw/zinc/56/67/38/872566738.db2.gz PIAFNQZYWFSAHO-RYUDHWBXSA-N 1 2 308.344 1.968 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001319319230 872566746 /nfs/dbraw/zinc/56/67/46/872566746.db2.gz PIAFNQZYWFSAHO-RYUDHWBXSA-N 1 2 308.344 1.968 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H](F)Cc2ccccc2)C1 ZINC001319333731 872584520 /nfs/dbraw/zinc/58/45/20/872584520.db2.gz VBDNCANOGGBPDM-DLBZAZTESA-N 1 2 320.408 1.960 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H](F)Cc2ccccc2)C1 ZINC001319333731 872584526 /nfs/dbraw/zinc/58/45/26/872584526.db2.gz VBDNCANOGGBPDM-DLBZAZTESA-N 1 2 320.408 1.960 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)CSCC#N)CC2 ZINC001319392319 872607782 /nfs/dbraw/zinc/60/77/82/872607782.db2.gz GVXHKOLQWYGUSS-UHFFFAOYSA-N 1 2 313.854 1.920 20 30 DDEDLO C#CCOCC[N@@H+](C)[C@@H](C)C(=O)Nc1ccccc1C(C)=O ZINC001319655932 872740049 /nfs/dbraw/zinc/74/00/49/872740049.db2.gz JTCLBYIWMQLSDO-ZDUSSCGKSA-N 1 2 302.374 1.798 20 30 DDEDLO C#CCOCC[N@H+](C)[C@@H](C)C(=O)Nc1ccccc1C(C)=O ZINC001319655932 872740059 /nfs/dbraw/zinc/74/00/59/872740059.db2.gz JTCLBYIWMQLSDO-ZDUSSCGKSA-N 1 2 302.374 1.798 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2ncccn2)C[C@H]1C ZINC001206947107 872826975 /nfs/dbraw/zinc/82/69/75/872826975.db2.gz GBBGBWZHRDGDKW-QLFBSQMISA-N 1 2 300.406 1.634 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2ncccn2)C[C@H]1C ZINC001206947107 872826992 /nfs/dbraw/zinc/82/69/92/872826992.db2.gz GBBGBWZHRDGDKW-QLFBSQMISA-N 1 2 300.406 1.634 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cc3n(n2)CCC3)C[C@H]1NC(=O)C#CC1CC1 ZINC001206952111 872835247 /nfs/dbraw/zinc/83/52/47/872835247.db2.gz YTWFKFJBYKVPEU-CXAGYDPISA-N 1 2 312.417 1.179 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cc3n(n2)CCC3)C[C@H]1NC(=O)C#CC1CC1 ZINC001206952111 872835264 /nfs/dbraw/zinc/83/52/64/872835264.db2.gz YTWFKFJBYKVPEU-CXAGYDPISA-N 1 2 312.417 1.179 20 30 DDEDLO C=CCN(CC[N@@H+]1CC[C@@H](O)[C@H](F)C1)C(=O)OC(C)(C)C ZINC001207198431 873116343 /nfs/dbraw/zinc/11/63/43/873116343.db2.gz CSZQFMZFMQVNMN-CHWSQXEVSA-N 1 2 302.390 1.814 20 30 DDEDLO C=CCN(CC[N@H+]1CC[C@@H](O)[C@H](F)C1)C(=O)OC(C)(C)C ZINC001207198431 873116347 /nfs/dbraw/zinc/11/63/47/873116347.db2.gz CSZQFMZFMQVNMN-CHWSQXEVSA-N 1 2 302.390 1.814 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(CC)o2)C1 ZINC001207720454 873500754 /nfs/dbraw/zinc/50/07/54/873500754.db2.gz QGLNXXQSMRIMKK-TZMCWYRMSA-N 1 2 319.405 1.194 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(CC)o2)C1 ZINC001207720454 873500763 /nfs/dbraw/zinc/50/07/63/873500763.db2.gz QGLNXXQSMRIMKK-TZMCWYRMSA-N 1 2 319.405 1.194 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N1C[C@H]2CC[C@@H](C1)O2 ZINC001345983462 873582424 /nfs/dbraw/zinc/58/24/24/873582424.db2.gz AUVUPSCDNRPRNL-GASCZTMLSA-N 1 2 317.437 1.818 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cn(C)nn2)C[C@H]1C ZINC001208315040 873996618 /nfs/dbraw/zinc/99/66/18/873996618.db2.gz HCMIKYOCELXSNV-GDBMZVCRSA-N 1 2 317.437 1.335 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2cn(C)nn2)C[C@H]1C ZINC001208315040 873996632 /nfs/dbraw/zinc/99/66/32/873996632.db2.gz HCMIKYOCELXSNV-GDBMZVCRSA-N 1 2 317.437 1.335 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)o2)CC1 ZINC001227107430 882836844 /nfs/dbraw/zinc/83/68/44/882836844.db2.gz DLSSJLLAXVQHFM-LBPRGKRZSA-N 1 2 304.394 1.823 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001209112585 874674362 /nfs/dbraw/zinc/67/43/62/874674362.db2.gz VGLDKYFOHWHPBQ-CQSZACIVSA-N 1 2 304.394 1.652 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)[nH]2)CC1 ZINC001227124831 882855387 /nfs/dbraw/zinc/85/53/87/882855387.db2.gz ZUQGKACZLAOBQL-MEDUHNTESA-N 1 2 321.425 1.082 20 30 DDEDLO C=CCC1(C(=O)NCCNC(=O)CCn2cc[nH+]c2)CCCC1 ZINC001349293153 875098467 /nfs/dbraw/zinc/09/84/67/875098467.db2.gz XRNLDMQYZJUROY-UHFFFAOYSA-N 1 2 318.421 1.642 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC001349573095 875242389 /nfs/dbraw/zinc/24/23/89/875242389.db2.gz GTFRLIDCCVHQMM-LSDHHAIUSA-N 1 2 318.421 1.423 20 30 DDEDLO CCc1cnc(C[NH+]2CCC(NC(=O)C#CC3CC3)CC2)o1 ZINC001227232497 882922008 /nfs/dbraw/zinc/92/20/08/882922008.db2.gz DXCRFSCVOPPGKD-UHFFFAOYSA-N 1 2 301.390 1.731 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C2(CNC(=O)[C@@H](C)C#N)CCCC2)no1 ZINC001378683832 875411559 /nfs/dbraw/zinc/41/15/59/875411559.db2.gz KATDYTFNNMLBLF-WDEREUQCSA-N 1 2 305.382 1.617 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)CN(C3CCCC3)C2=O)CC1 ZINC001349951923 875472418 /nfs/dbraw/zinc/47/24/18/875472418.db2.gz PYGANCRUNMOYFZ-UHFFFAOYSA-N 1 2 321.421 1.554 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1OC ZINC001213221661 875852341 /nfs/dbraw/zinc/85/23/41/875852341.db2.gz MFTUHMWCNLKZFV-NFAWXSAZSA-N 1 2 302.390 1.000 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1OC ZINC001213221661 875852346 /nfs/dbraw/zinc/85/23/46/875852346.db2.gz MFTUHMWCNLKZFV-NFAWXSAZSA-N 1 2 302.390 1.000 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](OCC)C2CCCC2)[C@H](OC)C1 ZINC001213226623 875856607 /nfs/dbraw/zinc/85/66/07/875856607.db2.gz WWZKZPLQVYOMRO-BZUAXINKSA-N 1 2 308.422 1.030 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](OCC)C2CCCC2)[C@H](OC)C1 ZINC001213226623 875856611 /nfs/dbraw/zinc/85/66/11/875856611.db2.gz WWZKZPLQVYOMRO-BZUAXINKSA-N 1 2 308.422 1.030 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@H]1OC ZINC001213357143 875907331 /nfs/dbraw/zinc/90/73/31/875907331.db2.gz IMHIIRYIWUQOTR-FVQBIDKESA-N 1 2 318.421 1.709 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@H]1OC ZINC001213357143 875907343 /nfs/dbraw/zinc/90/73/43/875907343.db2.gz IMHIIRYIWUQOTR-FVQBIDKESA-N 1 2 318.421 1.709 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@]23C[C@@H]2CCCC3)[C@H](OC)C1 ZINC001213403414 875925984 /nfs/dbraw/zinc/92/59/84/875925984.db2.gz AHICVGLTBUIERA-LHHMISFZSA-N 1 2 320.433 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@]23C[C@@H]2CCCC3)[C@H](OC)C1 ZINC001213403414 875925987 /nfs/dbraw/zinc/92/59/87/875925987.db2.gz AHICVGLTBUIERA-LHHMISFZSA-N 1 2 320.433 1.032 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)[C@H](CC#N)c1ccccc1 ZINC001362084109 882995725 /nfs/dbraw/zinc/99/57/25/882995725.db2.gz CFJGRGFMWFGYFE-GOEBONIOSA-N 1 2 301.390 1.521 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2cnsn2)C1 ZINC001214282058 876256725 /nfs/dbraw/zinc/25/67/25/876256725.db2.gz AQRYRYGDQXKWDM-YRGRVCCFSA-N 1 2 324.450 1.456 20 30 DDEDLO C=C(C)CCC(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001227606850 883100878 /nfs/dbraw/zinc/10/08/78/883100878.db2.gz BHENTDCOVKYLBP-UHFFFAOYSA-N 1 2 305.426 1.735 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ocnc3C)C[C@@H]21 ZINC001217758962 877315714 /nfs/dbraw/zinc/31/57/14/877315714.db2.gz WJBCQDAUDMNMQX-GOEBONIOSA-N 1 2 317.389 1.198 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3ocnc3C)C[C@@H]21 ZINC001217758962 877315730 /nfs/dbraw/zinc/31/57/30/877315730.db2.gz WJBCQDAUDMNMQX-GOEBONIOSA-N 1 2 317.389 1.198 20 30 DDEDLO C[C@@H]1C[C@@H]1C(=O)N1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC001374218889 912227569 /nfs/dbraw/zinc/22/75/69/912227569.db2.gz IFNWNZMBVFIYOB-PBHICJAKSA-N 1 2 313.401 1.889 20 30 DDEDLO N#Cc1ccccc1C[C@H]([NH3+])C(=O)Nc1cc(=O)[nH]c(Cl)n1 ZINC001218648491 877636828 /nfs/dbraw/zinc/63/68/28/877636828.db2.gz UQNCCBZTOJTYMF-JTQLQIEISA-N 1 2 317.736 1.216 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H]1CC[N@@H+]1Cc1oc(C)nc1C ZINC001276800184 877832597 /nfs/dbraw/zinc/83/25/97/877832597.db2.gz HHXAGTARDFXNNG-DZGCQCFKSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H]1CC[N@H+]1Cc1oc(C)nc1C ZINC001276800184 877832601 /nfs/dbraw/zinc/83/26/01/877832601.db2.gz HHXAGTARDFXNNG-DZGCQCFKSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCCOCC(=O)N1CCO[C@@H]2C[N@@H+](C/C=C/Cl)C[C@@H]21 ZINC001219064450 877899109 /nfs/dbraw/zinc/89/91/09/877899109.db2.gz ZCXZJDFKRSIUES-OOPLNXAUSA-N 1 2 314.813 1.243 20 30 DDEDLO C=CCCOCC(=O)N1CCO[C@@H]2C[N@H+](C/C=C/Cl)C[C@@H]21 ZINC001219064450 877899118 /nfs/dbraw/zinc/89/91/18/877899118.db2.gz ZCXZJDFKRSIUES-OOPLNXAUSA-N 1 2 314.813 1.243 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)C1CCN(C(C)=O)CC1 ZINC001379776928 877990415 /nfs/dbraw/zinc/99/04/15/877990415.db2.gz HFTWBEVJXJQFST-GFCCVEGCSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)C1CCN(C(C)=O)CC1 ZINC001379776928 877990425 /nfs/dbraw/zinc/99/04/25/877990425.db2.gz HFTWBEVJXJQFST-GFCCVEGCSA-N 1 2 315.845 1.434 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219333148 878126835 /nfs/dbraw/zinc/12/68/35/878126835.db2.gz QVVATRQEMICQLE-KGLIPLIRSA-N 1 2 305.403 1.247 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219333148 878126838 /nfs/dbraw/zinc/12/68/38/878126838.db2.gz QVVATRQEMICQLE-KGLIPLIRSA-N 1 2 305.403 1.247 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@@H]1O ZINC001219361973 878150118 /nfs/dbraw/zinc/15/01/18/878150118.db2.gz MSAOAJSHDDELLY-CABCVRRESA-N 1 2 324.808 1.204 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@@H]1O ZINC001219361973 878150131 /nfs/dbraw/zinc/15/01/31/878150131.db2.gz MSAOAJSHDDELLY-CABCVRRESA-N 1 2 324.808 1.204 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219532043 878306339 /nfs/dbraw/zinc/30/63/39/878306339.db2.gz GNHZLYSBRSOQKV-MSOLQXFVSA-N 1 2 310.397 1.003 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219532043 878306350 /nfs/dbraw/zinc/30/63/50/878306350.db2.gz GNHZLYSBRSOQKV-MSOLQXFVSA-N 1 2 310.397 1.003 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220175620 878779493 /nfs/dbraw/zinc/77/94/93/878779493.db2.gz CLVBHIUOWXMLMG-CABCVRRESA-N 1 2 321.446 1.306 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220175620 878779505 /nfs/dbraw/zinc/77/95/05/878779505.db2.gz CLVBHIUOWXMLMG-CABCVRRESA-N 1 2 321.446 1.306 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220203445 878817389 /nfs/dbraw/zinc/81/73/89/878817389.db2.gz GRJGBWJOMYVYHJ-IMJJTQAJSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220203445 878817392 /nfs/dbraw/zinc/81/73/92/878817392.db2.gz GRJGBWJOMYVYHJ-IMJJTQAJSA-N 1 2 321.421 1.555 20 30 DDEDLO CC(C)C#CC(=O)NCC1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001356810851 879410995 /nfs/dbraw/zinc/41/09/95/879410995.db2.gz WEYGLRXQHZSXSZ-UHFFFAOYSA-N 1 2 324.384 1.411 20 30 DDEDLO C=CCCC(=O)N1CC(CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001356820730 879428923 /nfs/dbraw/zinc/42/89/23/879428923.db2.gz NAMKZAXOPLLGSH-UHFFFAOYSA-N 1 2 312.373 1.717 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H](CC)NC(=O)Cc1c[nH+]cn1C ZINC001356921745 879591458 /nfs/dbraw/zinc/59/14/58/879591458.db2.gz SZSQLOQXJQFMRK-GUYCJALGSA-N 1 2 320.437 1.576 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3scnc3C)[C@@H]2C1 ZINC001221298903 879665601 /nfs/dbraw/zinc/66/56/01/879665601.db2.gz HGTIFUNBWROSDX-ZIAGYGMSSA-N 1 2 319.430 1.248 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3scnc3C)[C@@H]2C1 ZINC001221298903 879665606 /nfs/dbraw/zinc/66/56/06/879665606.db2.gz HGTIFUNBWROSDX-ZIAGYGMSSA-N 1 2 319.430 1.248 20 30 DDEDLO Cc1nonc1C[N@H+]1CCC[C@@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001380467577 879715934 /nfs/dbraw/zinc/71/59/34/879715934.db2.gz ZKSCYCGBGDOGQE-NHYWBVRUSA-N 1 2 305.382 1.256 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCC[C@@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001380467577 879715950 /nfs/dbraw/zinc/71/59/50/879715950.db2.gz ZKSCYCGBGDOGQE-NHYWBVRUSA-N 1 2 305.382 1.256 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[C@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001357318030 879907963 /nfs/dbraw/zinc/90/79/63/879907963.db2.gz KDUNLVCBPIEPRT-GJZGRUSLSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)COCC(F)F)[C@@H]2C1 ZINC001221711047 880017771 /nfs/dbraw/zinc/01/77/71/880017771.db2.gz VZJBYVFWKNARLB-GHMZBOCLSA-N 1 2 308.756 1.553 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)COCC(F)F)[C@@H]2C1 ZINC001221711047 880017758 /nfs/dbraw/zinc/01/77/58/880017758.db2.gz VZJBYVFWKNARLB-GHMZBOCLSA-N 1 2 308.756 1.553 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nnc(C)[nH]3)[C@H]2C1 ZINC001222617863 880604237 /nfs/dbraw/zinc/60/42/37/880604237.db2.gz PBTDUSSOXNZABO-CABCVRRESA-N 1 2 315.421 1.339 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3nnc(C)[nH]3)[C@H]2C1 ZINC001222617863 880604243 /nfs/dbraw/zinc/60/42/43/880604243.db2.gz PBTDUSSOXNZABO-CABCVRRESA-N 1 2 315.421 1.339 20 30 DDEDLO CC(C)c1nnc(C[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC001222641510 880617784 /nfs/dbraw/zinc/61/77/84/880617784.db2.gz NYUGFMMZRLMKBR-LBPRGKRZSA-N 1 2 318.425 1.416 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001380909605 880645732 /nfs/dbraw/zinc/64/57/32/880645732.db2.gz MSLRCPBKBNGRNX-BDAKNGLRSA-N 1 2 318.698 1.456 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC001380909605 880645741 /nfs/dbraw/zinc/64/57/41/880645741.db2.gz MSLRCPBKBNGRNX-BDAKNGLRSA-N 1 2 318.698 1.456 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@@H]2CCN(Cc3[nH]ccc3C#N)[C@@H]2C1 ZINC001276839756 880704935 /nfs/dbraw/zinc/70/49/35/880704935.db2.gz DRHPIPKNJQKKME-DOTOQJQBSA-N 1 2 324.432 1.809 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@@H]2CCN(Cc3[nH]ccc3C#N)[C@@H]2C1 ZINC001276839756 880704942 /nfs/dbraw/zinc/70/49/42/880704942.db2.gz DRHPIPKNJQKKME-DOTOQJQBSA-N 1 2 324.432 1.809 20 30 DDEDLO COCC#CC[NH+]1CCC(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001223265109 880895329 /nfs/dbraw/zinc/89/53/29/880895329.db2.gz AHWJSCJGBFPNDG-GFCCVEGCSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[NH+]1CCC(CNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001223265109 880895353 /nfs/dbraw/zinc/89/53/53/880895353.db2.gz AHWJSCJGBFPNDG-GFCCVEGCSA-N 1 2 324.318 1.365 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)NC2(C#N)CCC2)ccn1 ZINC001277320986 883637851 /nfs/dbraw/zinc/63/78/51/883637851.db2.gz LLNLXZGMGWVFOS-QAPCUYQASA-N 1 2 310.401 1.917 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)NC2(C#N)CCC2)ccn1 ZINC001277320986 883637855 /nfs/dbraw/zinc/63/78/55/883637855.db2.gz LLNLXZGMGWVFOS-QAPCUYQASA-N 1 2 310.401 1.917 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nc4ncc(Cl)cc4o3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229983008 884261248 /nfs/dbraw/zinc/26/12/48/884261248.db2.gz AJLDPRAWZGTUDU-ADAFDVPTSA-N 1 2 307.737 1.867 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nc4ncc(Cl)cc4o3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229983008 884261263 /nfs/dbraw/zinc/26/12/63/884261263.db2.gz AJLDPRAWZGTUDU-ADAFDVPTSA-N 1 2 307.737 1.867 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)COCC(F)F)CC1 ZINC001230580520 884614706 /nfs/dbraw/zinc/61/47/06/884614706.db2.gz QCXVJCGGORHBIW-ZDUSSCGKSA-N 1 2 315.364 1.495 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)COCC(F)F)CC1 ZINC001230580520 884614712 /nfs/dbraw/zinc/61/47/12/884614712.db2.gz QCXVJCGGORHBIW-ZDUSSCGKSA-N 1 2 315.364 1.495 20 30 DDEDLO CC[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CCC#N ZINC001230785477 884876095 /nfs/dbraw/zinc/87/60/95/884876095.db2.gz MMYSTHQOMQCVIX-HUUCEWRRSA-N 1 2 322.453 1.327 20 30 DDEDLO CC[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CCC#N ZINC001230785477 884876108 /nfs/dbraw/zinc/87/61/08/884876108.db2.gz MMYSTHQOMQCVIX-HUUCEWRRSA-N 1 2 322.453 1.327 20 30 DDEDLO CCCCCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)CS(C)(=O)=O ZINC001231025208 885142961 /nfs/dbraw/zinc/14/29/61/885142961.db2.gz IKOVWEPPJMGYGY-CQSZACIVSA-N 1 2 318.483 1.534 20 30 DDEDLO CCCCCCC[N@H+]1CC[C@@H]1CN(C)C(=O)CS(C)(=O)=O ZINC001231025208 885142975 /nfs/dbraw/zinc/14/29/75/885142975.db2.gz IKOVWEPPJMGYGY-CQSZACIVSA-N 1 2 318.483 1.534 20 30 DDEDLO C=CCCCCC[N@H+]1CC[C@H]1CN(C)C(=O)CS(C)(=O)=O ZINC001231026994 885145311 /nfs/dbraw/zinc/14/53/11/885145311.db2.gz VBGFKITVGYOKMR-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCCCCC[N@@H+]1CC[C@H]1CN(C)C(=O)CS(C)(=O)=O ZINC001231026994 885145321 /nfs/dbraw/zinc/14/53/21/885145321.db2.gz VBGFKITVGYOKMR-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO CC(C)C#CC(=O)NCCOCC[N@H+](C)[C@H](C)c1ncccn1 ZINC001277488275 885251892 /nfs/dbraw/zinc/25/18/92/885251892.db2.gz ZUPJOLIGBICNNV-OAHLLOKOSA-N 1 2 318.421 1.262 20 30 DDEDLO CC(C)C#CC(=O)NCCOCC[N@@H+](C)[C@H](C)c1ncccn1 ZINC001277488275 885251911 /nfs/dbraw/zinc/25/19/11/885251911.db2.gz ZUPJOLIGBICNNV-OAHLLOKOSA-N 1 2 318.421 1.262 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC(C)(C)CC ZINC001231121686 885253175 /nfs/dbraw/zinc/25/31/75/885253175.db2.gz GNGZCEHLHWYUGB-AWEZNQCLSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC(C)(C)CC ZINC001231121686 885253193 /nfs/dbraw/zinc/25/31/93/885253193.db2.gz GNGZCEHLHWYUGB-AWEZNQCLSA-N 1 2 309.454 1.790 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@@H](CC#N)c2ccccc2)[C@H](C)CO1 ZINC001363045084 885315300 /nfs/dbraw/zinc/31/53/00/885315300.db2.gz CFWICIRMJGMLQD-INMHGKMJSA-N 1 2 315.417 1.909 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@@H](CC#N)c2ccccc2)[C@H](C)CO1 ZINC001363045084 885315317 /nfs/dbraw/zinc/31/53/17/885315317.db2.gz CFWICIRMJGMLQD-INMHGKMJSA-N 1 2 315.417 1.909 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(CCN(C)C(=O)[C@@H](C)C#N)C(C)C ZINC001383179235 885358683 /nfs/dbraw/zinc/35/86/83/885358683.db2.gz MEUTTWARGCZBBN-LBPRGKRZSA-N 1 2 319.409 1.116 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231247661 885429694 /nfs/dbraw/zinc/42/96/94/885429694.db2.gz QDHDEIXDUIRJFM-CABCVRRESA-N 1 2 320.437 1.103 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231247661 885429699 /nfs/dbraw/zinc/42/96/99/885429699.db2.gz QDHDEIXDUIRJFM-CABCVRRESA-N 1 2 320.437 1.103 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(CO)ccc1F ZINC001231293235 885474719 /nfs/dbraw/zinc/47/47/19/885474719.db2.gz KNSGHVFGZVBFRL-WFASDCNBSA-N 1 2 319.380 1.510 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(CO)ccc1F ZINC001231293235 885474730 /nfs/dbraw/zinc/47/47/30/885474730.db2.gz KNSGHVFGZVBFRL-WFASDCNBSA-N 1 2 319.380 1.510 20 30 DDEDLO COC(=O)c1cc(C[N@@H+]2CC[C@H]3SC(=O)C=C3C2)ccc1O ZINC001231421125 885655780 /nfs/dbraw/zinc/65/57/80/885655780.db2.gz KTMIFPDLYXBNEQ-CQSZACIVSA-N 1 2 319.382 1.953 20 30 DDEDLO COC(=O)c1cc(C[N@H+]2CC[C@H]3SC(=O)C=C3C2)ccc1O ZINC001231421125 885655785 /nfs/dbraw/zinc/65/57/85/885655785.db2.gz KTMIFPDLYXBNEQ-CQSZACIVSA-N 1 2 319.382 1.953 20 30 DDEDLO N#Cc1ccccc1C(F)(F)C(=O)N[C@H](CO)Cc1c[nH]c[nH+]1 ZINC001363196843 885691909 /nfs/dbraw/zinc/69/19/09/885691909.db2.gz OEUMTYLTWRWVHD-LBPRGKRZSA-N 1 2 320.299 1.093 20 30 DDEDLO N#Cc1ccccc1C(F)(F)C(=O)N[C@H](CO)Cc1c[nH+]c[nH]1 ZINC001363196843 885691922 /nfs/dbraw/zinc/69/19/22/885691922.db2.gz OEUMTYLTWRWVHD-LBPRGKRZSA-N 1 2 320.299 1.093 20 30 DDEDLO CN(CCC#N)c1ccc(C[NH+]2CC3(C2)CS(=O)C3)cc1 ZINC001231815945 885887074 /nfs/dbraw/zinc/88/70/74/885887074.db2.gz FWNGDYNIPRZDCP-UHFFFAOYSA-N 1 2 303.431 1.601 20 30 DDEDLO C=CCCC[N@@H+]1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001277758159 886774556 /nfs/dbraw/zinc/77/45/56/886774556.db2.gz BRMBKIWBKSCDON-UHFFFAOYSA-N 1 2 303.322 1.634 20 30 DDEDLO C=CCCC[N@H+]1CCc2onc(C(=O)Nc3nnco3)c2C1 ZINC001277758159 886774563 /nfs/dbraw/zinc/77/45/63/886774563.db2.gz BRMBKIWBKSCDON-UHFFFAOYSA-N 1 2 303.322 1.634 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(N(C)C)c(C#N)c2F)C1 ZINC001233101106 886786062 /nfs/dbraw/zinc/78/60/62/886786062.db2.gz QYVPHFYMXZODQL-CQSZACIVSA-N 1 2 318.396 1.864 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(N(C)C)c(C#N)c2F)C1 ZINC001233101106 886786070 /nfs/dbraw/zinc/78/60/70/886786070.db2.gz QYVPHFYMXZODQL-CQSZACIVSA-N 1 2 318.396 1.864 20 30 DDEDLO Cc1ccc(N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)nn1 ZINC001277766852 886811261 /nfs/dbraw/zinc/81/12/61/886811261.db2.gz ICLFEISPZNJHCA-UHFFFAOYSA-N 1 2 308.389 1.317 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C\C1CC1 ZINC001233497967 887039490 /nfs/dbraw/zinc/03/94/90/887039490.db2.gz AGEJCGISOCWFBT-UDMBRALOSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C\C1CC1 ZINC001233497967 887039510 /nfs/dbraw/zinc/03/95/10/887039510.db2.gz AGEJCGISOCWFBT-UDMBRALOSA-N 1 2 305.422 1.176 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C/C ZINC001233549431 887101143 /nfs/dbraw/zinc/10/11/43/887101143.db2.gz MGMHCZDCZUTVIF-UBYXTUIOSA-N 1 2 321.465 1.910 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C/C ZINC001233549431 887101145 /nfs/dbraw/zinc/10/11/45/887101145.db2.gz MGMHCZDCZUTVIF-UBYXTUIOSA-N 1 2 321.465 1.910 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001233958316 887498701 /nfs/dbraw/zinc/49/87/01/887498701.db2.gz QYCOKKVTSAWTEB-CQSZACIVSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC(C)(C)C ZINC001233958316 887498718 /nfs/dbraw/zinc/49/87/18/887498718.db2.gz QYCOKKVTSAWTEB-CQSZACIVSA-N 1 2 307.438 1.237 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234130897 887674594 /nfs/dbraw/zinc/67/45/94/887674594.db2.gz OPTJHWZUHDGQKA-HOTGVXAUSA-N 1 2 321.465 1.483 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234130897 887674601 /nfs/dbraw/zinc/67/46/01/887674601.db2.gz OPTJHWZUHDGQKA-HOTGVXAUSA-N 1 2 321.465 1.483 20 30 DDEDLO CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)(C)C)C1=O ZINC001234216229 887756975 /nfs/dbraw/zinc/75/69/75/887756975.db2.gz VQISNLOCDUNXAX-LSDHHAIUSA-N 1 2 319.449 1.189 20 30 DDEDLO CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)(C)C)C1=O ZINC001234216229 887756987 /nfs/dbraw/zinc/75/69/87/887756987.db2.gz VQISNLOCDUNXAX-LSDHHAIUSA-N 1 2 319.449 1.189 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001234264539 887802908 /nfs/dbraw/zinc/80/29/08/887802908.db2.gz CQHWZGOOVYHSMV-UKRRQHHQSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001234264539 887802917 /nfs/dbraw/zinc/80/29/17/887802917.db2.gz CQHWZGOOVYHSMV-UKRRQHHQSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ocnc1C ZINC001234266835 887805902 /nfs/dbraw/zinc/80/59/02/887805902.db2.gz UOQFWYQSXCDKIX-ZIAGYGMSSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ocnc1C ZINC001234266835 887805913 /nfs/dbraw/zinc/80/59/13/887805913.db2.gz UOQFWYQSXCDKIX-ZIAGYGMSSA-N 1 2 305.378 1.054 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)CC1CC1 ZINC001234595753 888131001 /nfs/dbraw/zinc/13/10/01/888131001.db2.gz XNXJGZWSIPVDQX-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)CC1CC1 ZINC001234595753 888131006 /nfs/dbraw/zinc/13/10/06/888131006.db2.gz XNXJGZWSIPVDQX-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](C)CC[N@@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001364389437 888519094 /nfs/dbraw/zinc/51/90/94/888519094.db2.gz ZDRLHRQWFOFZCV-VBNZEHGJSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](C)CC[N@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001364389437 888519104 /nfs/dbraw/zinc/51/91/04/888519104.db2.gz ZDRLHRQWFOFZCV-VBNZEHGJSA-N 1 2 302.374 1.865 20 30 DDEDLO N#CCC[N@H+]1CCC[C@@]2(CCN(C(=O)NCC(F)(F)F)C2)C1 ZINC001277941326 888600729 /nfs/dbraw/zinc/60/07/29/888600729.db2.gz MQVKXQLHYLONGO-CYBMUJFWSA-N 1 2 318.343 1.960 20 30 DDEDLO N#CCC[N@@H+]1CCC[C@@]2(CCN(C(=O)NCC(F)(F)F)C2)C1 ZINC001277941326 888600739 /nfs/dbraw/zinc/60/07/39/888600739.db2.gz MQVKXQLHYLONGO-CYBMUJFWSA-N 1 2 318.343 1.960 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001364436277 888631993 /nfs/dbraw/zinc/63/19/93/888631993.db2.gz QDEIJRXUOOTRMX-ZIAGYGMSSA-N 1 2 313.361 1.295 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001364436277 888632004 /nfs/dbraw/zinc/63/20/04/888632004.db2.gz QDEIJRXUOOTRMX-ZIAGYGMSSA-N 1 2 313.361 1.295 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nc(C2CC2)no1 ZINC001235698958 888895054 /nfs/dbraw/zinc/89/50/54/888895054.db2.gz QORUUHHROWXOSE-UHFFFAOYSA-N 1 2 308.382 1.088 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nc(C2CC2)no1 ZINC001235698958 888895067 /nfs/dbraw/zinc/89/50/67/888895067.db2.gz QORUUHHROWXOSE-UHFFFAOYSA-N 1 2 308.382 1.088 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nnc(C(C)C)o1 ZINC001235699290 888896320 /nfs/dbraw/zinc/89/63/20/888896320.db2.gz UJVKHADSPCAFRF-UHFFFAOYSA-N 1 2 310.398 1.334 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nnc(C(C)C)o1 ZINC001235699290 888896333 /nfs/dbraw/zinc/89/63/33/888896333.db2.gz UJVKHADSPCAFRF-UHFFFAOYSA-N 1 2 310.398 1.334 20 30 DDEDLO CC(C)=CC(=O)NC[C@@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001278040808 889463973 /nfs/dbraw/zinc/46/39/73/889463973.db2.gz DYGBLVMCPSVNQY-HNNXBMFYSA-N 1 2 305.353 1.230 20 30 DDEDLO C=CCN(CC=C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)C ZINC001278297143 890660509 /nfs/dbraw/zinc/66/05/09/890660509.db2.gz KRBGPXRGGNYEIZ-GASCZTMLSA-N 1 2 320.437 1.017 20 30 DDEDLO C=CCN(CC=C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(C)C ZINC001278297143 890660522 /nfs/dbraw/zinc/66/05/22/890660522.db2.gz KRBGPXRGGNYEIZ-GASCZTMLSA-N 1 2 320.437 1.017 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)[C@@H]1C ZINC001278334580 891247499 /nfs/dbraw/zinc/24/74/99/891247499.db2.gz JZWRYYPCNDWHDH-QWHCGFSZSA-N 1 2 322.409 1.304 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CC(C)(C)C)CCC[N@@H+]1CC(=O)NCC#N ZINC001278498774 893535317 /nfs/dbraw/zinc/53/53/17/893535317.db2.gz AIWHXDDZTWHURU-OLZOCXBDSA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CC(C)(C)C)CCC[N@H+]1CC(=O)NCC#N ZINC001278498774 893535326 /nfs/dbraw/zinc/53/53/26/893535326.db2.gz AIWHXDDZTWHURU-OLZOCXBDSA-N 1 2 308.426 1.031 20 30 DDEDLO CCc1nc(C[NH2+][C@H](C)CCNC(=O)c2c[nH]c(C#N)c2)no1 ZINC001366447131 893585520 /nfs/dbraw/zinc/58/55/20/893585520.db2.gz CZMKUAPAHZRUGV-SNVBAGLBSA-N 1 2 316.365 1.130 20 30 DDEDLO Cn1cc(C(=O)NCC[N@H+](C)Cc2ccc(C#N)cc2F)cn1 ZINC001366504019 893762545 /nfs/dbraw/zinc/76/25/45/893762545.db2.gz JMQROYSIEHCNHZ-UHFFFAOYSA-N 1 2 315.352 1.293 20 30 DDEDLO Cn1cc(C(=O)NCC[N@@H+](C)Cc2ccc(C#N)cc2F)cn1 ZINC001366504019 893762555 /nfs/dbraw/zinc/76/25/55/893762555.db2.gz JMQROYSIEHCNHZ-UHFFFAOYSA-N 1 2 315.352 1.293 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)Cc1ccc2c[nH]nc2c1 ZINC001366587235 894155668 /nfs/dbraw/zinc/15/56/68/894155668.db2.gz NDGVFNIIXCNLQY-UHFFFAOYSA-N 1 2 306.797 1.906 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)Cc1ccc2c[nH]nc2c1 ZINC001366587235 894155673 /nfs/dbraw/zinc/15/56/73/894155673.db2.gz NDGVFNIIXCNLQY-UHFFFAOYSA-N 1 2 306.797 1.906 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1CCO[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001252549075 895261753 /nfs/dbraw/zinc/26/17/53/895261753.db2.gz GMGYAJCSCGVRTB-IOASZLSFSA-N 1 2 312.410 1.244 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1CCO[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001252549075 895261768 /nfs/dbraw/zinc/26/17/68/895261768.db2.gz GMGYAJCSCGVRTB-IOASZLSFSA-N 1 2 312.410 1.244 20 30 DDEDLO C=C[C@](C)(O)CNc1cccc(OCC[NH+]2CCOCC2)c1 ZINC001252562442 895285026 /nfs/dbraw/zinc/28/50/26/895285026.db2.gz RGNSGQUQTYFUKW-KRWDZBQOSA-N 1 2 306.406 1.747 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCOC[C@@H]1CNC(=O)OC(C)(C)C ZINC001252602760 895310971 /nfs/dbraw/zinc/31/09/71/895310971.db2.gz FXNNTYOXOUHURQ-KBPBESRZSA-N 1 2 314.426 1.539 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCOC[C@@H]1CNC(=O)OC(C)(C)C ZINC001252602760 895310983 /nfs/dbraw/zinc/31/09/83/895310983.db2.gz FXNNTYOXOUHURQ-KBPBESRZSA-N 1 2 314.426 1.539 20 30 DDEDLO CC[C@@H](F)C(=O)NCC1C[NH+](Cc2ccc(C#N)cc2F)C1 ZINC001366975698 895471777 /nfs/dbraw/zinc/47/17/77/895471777.db2.gz RQOMQYGOSTXTKF-CQSZACIVSA-N 1 2 307.344 1.993 20 30 DDEDLO N#C[C@H]1CCC[N@@H+](C[C@@H](O)COc2ccc(CCO)cc2)C1 ZINC001253145301 895668677 /nfs/dbraw/zinc/66/86/77/895668677.db2.gz IZQJXCNETPXZTH-HZPDHXFCSA-N 1 2 304.390 1.197 20 30 DDEDLO N#C[C@H]1CCC[N@H+](C[C@@H](O)COc2ccc(CCO)cc2)C1 ZINC001253145301 895668680 /nfs/dbraw/zinc/66/86/80/895668680.db2.gz IZQJXCNETPXZTH-HZPDHXFCSA-N 1 2 304.390 1.197 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H](O)C[NH2+]Cc1csnn1 ZINC001278761095 896250908 /nfs/dbraw/zinc/25/09/08/896250908.db2.gz AZPRNQTWPCAHEZ-GFCCVEGCSA-N 1 2 312.439 1.049 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc2nocc2C)C1 ZINC001278874046 897037943 /nfs/dbraw/zinc/03/79/43/897037943.db2.gz SVUCOVDUIBKBHZ-AWEZNQCLSA-N 1 2 321.421 1.590 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc2nocc2C)C1 ZINC001278874046 897037960 /nfs/dbraw/zinc/03/79/60/897037960.db2.gz SVUCOVDUIBKBHZ-AWEZNQCLSA-N 1 2 321.421 1.590 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cc(F)c(C)cc2F)C1 ZINC001278877491 897073044 /nfs/dbraw/zinc/07/30/44/897073044.db2.gz LIGVLJKLMIHZRT-UHFFFAOYSA-N 1 2 308.328 1.073 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@@H](CC(C)C)NC(C)=O ZINC001367846242 898005401 /nfs/dbraw/zinc/00/54/01/898005401.db2.gz KWBPOARFQPOYBH-GXTWGEPZSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@@H](CC(C)C)NC(C)=O ZINC001367846242 898005412 /nfs/dbraw/zinc/00/54/12/898005412.db2.gz KWBPOARFQPOYBH-GXTWGEPZSA-N 1 2 317.861 1.726 20 30 DDEDLO C[C@@H]1C[NH+](CCc2nnc(C#Cc3ccccn3)o2)C[C@@H](C)O1 ZINC001258047236 898033296 /nfs/dbraw/zinc/03/32/96/898033296.db2.gz SBHJEDPIOUEYOJ-ZIAGYGMSSA-N 1 2 312.373 1.516 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H](N2CC[NH2+]C[C@@H]2C#N)CC1(C)C ZINC001258557867 898242075 /nfs/dbraw/zinc/24/20/75/898242075.db2.gz XGUYHIVQGUHXES-KGLIPLIRSA-N 1 2 322.453 1.962 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1[C@@H]1CCC(=O)N(Cc2ccccc2)CC1 ZINC001258581488 898254738 /nfs/dbraw/zinc/25/47/38/898254738.db2.gz OSZNCYXZBBEQIT-IAGOWNOFSA-N 1 2 312.417 1.365 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N2CC([NH+]3CCCCC3)C2)ccc1F ZINC001259416529 898658749 /nfs/dbraw/zinc/65/87/49/898658749.db2.gz YKUXFSPBWIDEEE-UHFFFAOYSA-N 1 2 323.393 1.556 20 30 DDEDLO C=CCCC(=O)NCCCNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001293191865 914322117 /nfs/dbraw/zinc/32/21/17/914322117.db2.gz XCYAQDDTLDJHAL-GFCCVEGCSA-N 1 2 304.394 1.418 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)Cc1ccco1 ZINC001262947370 900430734 /nfs/dbraw/zinc/43/07/34/900430734.db2.gz KDMGVOLQUUKHQK-GXTWGEPZSA-N 1 2 317.393 1.431 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)Cc1ccco1 ZINC001262947370 900430737 /nfs/dbraw/zinc/43/07/37/900430737.db2.gz KDMGVOLQUUKHQK-GXTWGEPZSA-N 1 2 317.393 1.431 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CCC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001263285235 900557105 /nfs/dbraw/zinc/55/71/05/900557105.db2.gz AUMVLBWSWSDXRD-RHSMWYFYSA-N 1 2 319.453 1.755 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CCC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001263285235 900557111 /nfs/dbraw/zinc/55/71/11/900557111.db2.gz AUMVLBWSWSDXRD-RHSMWYFYSA-N 1 2 319.453 1.755 20 30 DDEDLO C=C(CC)C(=O)NCCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC001263723392 900685167 /nfs/dbraw/zinc/68/51/67/900685167.db2.gz WHHNETOJQANXID-UHFFFAOYSA-N 1 2 316.405 1.203 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@@H]1CC13CC3)C2 ZINC001264191763 901011395 /nfs/dbraw/zinc/01/13/95/901011395.db2.gz XSWOWLCTEGYYAK-NSHDSACASA-N 1 2 301.415 1.551 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1CCN(CC#N)CC(C)(C)C1 ZINC001264754156 901336684 /nfs/dbraw/zinc/33/66/84/901336684.db2.gz XDLAOJDZLJJRFA-KGLIPLIRSA-N 1 2 317.437 1.719 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1CCN(CC#N)CC(C)(C)C1 ZINC001264754156 901336693 /nfs/dbraw/zinc/33/66/93/901336693.db2.gz XDLAOJDZLJJRFA-KGLIPLIRSA-N 1 2 317.437 1.719 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCCC[N@@H+](C)Cc1nccn1C ZINC001265062873 901507526 /nfs/dbraw/zinc/50/75/26/901507526.db2.gz XXEIZCKXZJALBA-UHFFFAOYSA-N 1 2 324.428 1.582 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCCC[N@H+](C)Cc1nccn1C ZINC001265062873 901507533 /nfs/dbraw/zinc/50/75/33/901507533.db2.gz XXEIZCKXZJALBA-UHFFFAOYSA-N 1 2 324.428 1.582 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001391401734 901670065 /nfs/dbraw/zinc/67/00/65/901670065.db2.gz FFMRYRDBNILUJW-NWDGAFQWSA-N 1 2 316.833 1.157 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001391401734 901670076 /nfs/dbraw/zinc/67/00/76/901670076.db2.gz FFMRYRDBNILUJW-NWDGAFQWSA-N 1 2 316.833 1.157 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@H+](CC(=O)N2CCCCC2)C1 ZINC001265212410 901713135 /nfs/dbraw/zinc/71/31/35/901713135.db2.gz BGSSJEFHYRGASN-INIZCTEOSA-N 1 2 319.449 1.239 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@@H+](CC(=O)N2CCCCC2)C1 ZINC001265212410 901713141 /nfs/dbraw/zinc/71/31/41/901713141.db2.gz BGSSJEFHYRGASN-INIZCTEOSA-N 1 2 319.449 1.239 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@H](NC(=O)C#CC3CC3)C2)sn1 ZINC001265213098 901717564 /nfs/dbraw/zinc/71/75/64/901717564.db2.gz MGFNDUUWZNODNT-ZDUSSCGKSA-N 1 2 319.430 1.646 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@H](NC(=O)C#CC3CC3)C2)sn1 ZINC001265213098 901717574 /nfs/dbraw/zinc/71/75/74/901717574.db2.gz MGFNDUUWZNODNT-ZDUSSCGKSA-N 1 2 319.430 1.646 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC2CC2)C1 ZINC001265215051 901724941 /nfs/dbraw/zinc/72/49/41/901724941.db2.gz UHGHIHDGPSVDTP-HNNXBMFYSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001265215051 901724950 /nfs/dbraw/zinc/72/49/50/901724950.db2.gz UHGHIHDGPSVDTP-HNNXBMFYSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001265223618 901739270 /nfs/dbraw/zinc/73/92/70/901739270.db2.gz ZAVWIVVLMYDZFG-CQSZACIVSA-N 1 2 318.421 1.922 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001265223618 901739279 /nfs/dbraw/zinc/73/92/79/901739279.db2.gz ZAVWIVVLMYDZFG-CQSZACIVSA-N 1 2 318.421 1.922 20 30 DDEDLO C=CCCC(=O)NCCN1CC[NH+](Cc2c(C)noc2C)CC1 ZINC001265257510 901779719 /nfs/dbraw/zinc/77/97/19/901779719.db2.gz HGIOURABQAWDNO-UHFFFAOYSA-N 1 2 320.437 1.491 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@@H+](CC(=O)N[C@H](C)CC)C2)CC1 ZINC001265301446 901852931 /nfs/dbraw/zinc/85/29/31/901852931.db2.gz KXXLMPCAKROQPA-ZBFHGGJFSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@H+](CC(=O)N[C@H](C)CC)C2)CC1 ZINC001265301446 901852940 /nfs/dbraw/zinc/85/29/40/901852940.db2.gz KXXLMPCAKROQPA-ZBFHGGJFSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NCCC)C2)CCC1 ZINC001265301300 901854376 /nfs/dbraw/zinc/85/43/76/901854376.db2.gz HYTJXOQYLJZKJI-CQSZACIVSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@H+](CC(=O)NCCC)C2)CCC1 ZINC001265301300 901854387 /nfs/dbraw/zinc/85/43/87/901854387.db2.gz HYTJXOQYLJZKJI-CQSZACIVSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC(C)(C)C)C1 ZINC001266232824 903161314 /nfs/dbraw/zinc/16/13/14/903161314.db2.gz BTFQCPZCPYHSHK-CYBMUJFWSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NC(C)(C)C)C1 ZINC001266232824 903161320 /nfs/dbraw/zinc/16/13/20/903161320.db2.gz BTFQCPZCPYHSHK-CYBMUJFWSA-N 1 2 309.454 1.694 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCOCC[NH2+][C@@H](C)c1csnn1 ZINC001279408966 903252530 /nfs/dbraw/zinc/25/25/30/903252530.db2.gz OKROLNXRQIMBJC-ZDUSSCGKSA-N 1 2 324.450 1.323 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001316599526 903445369 /nfs/dbraw/zinc/44/53/69/903445369.db2.gz DBGPGRMWHAHRQS-UKRRQHHQSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001316599526 903445372 /nfs/dbraw/zinc/44/53/72/903445372.db2.gz DBGPGRMWHAHRQS-UKRRQHHQSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCCC(=O)NCC1CC(NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001280015677 903487915 /nfs/dbraw/zinc/48/79/15/903487915.db2.gz SAJVTURZXZUZJY-IYXRBSQSSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CCCC(=O)NCC1CC(NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001280015677 903487924 /nfs/dbraw/zinc/48/79/24/903487924.db2.gz SAJVTURZXZUZJY-IYXRBSQSSA-N 1 2 318.421 1.566 20 30 DDEDLO CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001280402876 903639023 /nfs/dbraw/zinc/63/90/23/903639023.db2.gz NXWOPDJIUANGAN-OAHLLOKOSA-N 1 2 318.421 1.897 20 30 DDEDLO CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001280402876 903639027 /nfs/dbraw/zinc/63/90/27/903639027.db2.gz NXWOPDJIUANGAN-OAHLLOKOSA-N 1 2 318.421 1.897 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001280406779 903643021 /nfs/dbraw/zinc/64/30/21/903643021.db2.gz WVLBFXHJUAXRKA-BQFCYCMXSA-N 1 2 322.449 1.586 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001280406779 903643034 /nfs/dbraw/zinc/64/30/34/903643034.db2.gz WVLBFXHJUAXRKA-BQFCYCMXSA-N 1 2 322.449 1.586 20 30 DDEDLO C=C(C)CCC(=O)N1CCOC2(CN(Cc3c[nH+]cn3C)C2)C1 ZINC001280899451 904167003 /nfs/dbraw/zinc/16/70/03/904167003.db2.gz LJZXXYSGSLLFFK-UHFFFAOYSA-N 1 2 318.421 1.190 20 30 DDEDLO CC(C)CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)C#N)CO2 ZINC001280935272 904212896 /nfs/dbraw/zinc/21/28/96/904212896.db2.gz KTMUBCQXZZIPCF-LSDHHAIUSA-N 1 2 323.437 1.168 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](O)C(C)C ZINC001281063395 904368988 /nfs/dbraw/zinc/36/89/88/904368988.db2.gz PHEPRWXACGYDNS-QZTJIDSGSA-N 1 2 314.429 1.570 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](O)C(C)C ZINC001281063395 904369003 /nfs/dbraw/zinc/36/90/03/904369003.db2.gz PHEPRWXACGYDNS-QZTJIDSGSA-N 1 2 314.429 1.570 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@](CO)([NH2+]Cc2nocc2C)C1 ZINC001281147699 904474998 /nfs/dbraw/zinc/47/49/98/904474998.db2.gz SQHRDGVLTNLHRP-KRWDZBQOSA-N 1 2 321.421 1.638 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001281214476 904572616 /nfs/dbraw/zinc/57/26/16/904572616.db2.gz MJOGCLCIHLEBON-OLZOCXBDSA-N 1 2 304.394 1.414 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001281354471 904717923 /nfs/dbraw/zinc/71/79/23/904717923.db2.gz OZVCSPSAIZWKEQ-UHFFFAOYSA-N 1 2 318.421 1.660 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cnn(C)c1Cl ZINC001392678449 904862561 /nfs/dbraw/zinc/86/25/61/904862561.db2.gz PGTSGRTUMQNANS-VIFPVBQESA-N 1 2 305.209 1.876 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cnn(C)c1Cl ZINC001392678449 904862576 /nfs/dbraw/zinc/86/25/76/904862576.db2.gz PGTSGRTUMQNANS-VIFPVBQESA-N 1 2 305.209 1.876 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1nc(C(F)F)no1)C1CC1 ZINC001392763032 905177493 /nfs/dbraw/zinc/17/74/93/905177493.db2.gz BZNFOHANKCFJFG-APPZFPTMSA-N 1 2 313.308 1.151 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)CO[C@@H]2CCCC[C@H]2C)C1 ZINC001282539975 905860670 /nfs/dbraw/zinc/86/06/70/905860670.db2.gz RCRMUYAUSVLANV-HZPDHXFCSA-N 1 2 322.449 1.158 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C2(CC)CCCCC2)C1 ZINC001282544743 905862622 /nfs/dbraw/zinc/86/26/22/905862622.db2.gz HAFCRKMXRMCZJW-UHFFFAOYSA-N 1 2 306.450 1.923 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)C1C[NH+](CC(=O)NCCC)C1 ZINC001282735413 905996053 /nfs/dbraw/zinc/99/60/53/905996053.db2.gz ZNXVWKFUXZGAHO-ZDUSSCGKSA-N 1 2 309.454 1.551 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+](C)Cc2ccc(C#N)cc2F)[nH]n1 ZINC001371923752 906009940 /nfs/dbraw/zinc/00/99/40/906009940.db2.gz YDFCZVCHKBAADQ-UHFFFAOYSA-N 1 2 315.352 1.591 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+](C)Cc2ccc(C#N)cc2F)[nH]n1 ZINC001371923752 906009954 /nfs/dbraw/zinc/00/99/54/906009954.db2.gz YDFCZVCHKBAADQ-UHFFFAOYSA-N 1 2 315.352 1.591 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(COC)CCC3)C2)C1 ZINC001282774253 906025315 /nfs/dbraw/zinc/02/53/15/906025315.db2.gz XHSOSUILPZNAQY-GOSISDBHSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(COC)CCC3)C2)C1 ZINC001282774253 906025326 /nfs/dbraw/zinc/02/53/26/906025326.db2.gz XHSOSUILPZNAQY-GOSISDBHSA-N 1 2 320.433 1.130 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[N@@H+](Cc2cnnn2C)CC1(C)C ZINC001282822020 906073367 /nfs/dbraw/zinc/07/33/67/906073367.db2.gz QYRCKVPAZOIRPK-AWEZNQCLSA-N 1 2 319.453 1.744 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[N@H+](Cc2cnnn2C)CC1(C)C ZINC001282822020 906073381 /nfs/dbraw/zinc/07/33/81/906073381.db2.gz QYRCKVPAZOIRPK-AWEZNQCLSA-N 1 2 319.453 1.744 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1(C)CC[NH+](Cc2nnc(C3CC3)o2)CC1 ZINC001393057667 906074639 /nfs/dbraw/zinc/07/46/39/906074639.db2.gz KNHGPEOTTGDOCS-NSHDSACASA-N 1 2 317.393 1.577 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1ncc(OC)cc1F ZINC001371990645 906177484 /nfs/dbraw/zinc/17/74/84/906177484.db2.gz OTZDSDFRBUROHM-UHFFFAOYSA-N 1 2 301.749 1.643 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1ncc(OC)cc1F ZINC001371990645 906177493 /nfs/dbraw/zinc/17/74/93/906177493.db2.gz OTZDSDFRBUROHM-UHFFFAOYSA-N 1 2 301.749 1.643 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC[N@@H+]1CC(=O)Nc1ccccc1 ZINC001372506743 907475468 /nfs/dbraw/zinc/47/54/68/907475468.db2.gz BVFKORWVEQRTDM-DZGCQCFKSA-N 1 2 314.389 1.365 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC[N@H+]1CC(=O)Nc1ccccc1 ZINC001372506743 907475472 /nfs/dbraw/zinc/47/54/72/907475472.db2.gz BVFKORWVEQRTDM-DZGCQCFKSA-N 1 2 314.389 1.365 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@@H](C2CC2)N(C)C(=O)C#CC(C)C)no1 ZINC001283500965 907477450 /nfs/dbraw/zinc/47/74/50/907477450.db2.gz ATQJBGDOQYLICZ-WFASDCNBSA-N 1 2 318.421 1.925 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN(C(=O)Cc3[nH]c[nH+]c3C)C[C@H]21 ZINC001284170728 908587368 /nfs/dbraw/zinc/58/73/68/908587368.db2.gz WAJYZLDHAZWUIO-JYAVWHMHSA-N 1 2 316.405 1.046 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001284250662 908729205 /nfs/dbraw/zinc/72/92/05/908729205.db2.gz NBCMFJJIXOQMQE-STQMWFEESA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001284250662 908729214 /nfs/dbraw/zinc/72/92/14/908729214.db2.gz NBCMFJJIXOQMQE-STQMWFEESA-N 1 2 320.437 1.812 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001284394902 908935322 /nfs/dbraw/zinc/93/53/22/908935322.db2.gz AVJHFDNACNEJFX-AWEZNQCLSA-N 1 2 316.405 1.205 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H](O)C[N@H+](C)Cc2ccn(C)n2)C1 ZINC001284510394 909114688 /nfs/dbraw/zinc/11/46/88/909114688.db2.gz XXLALKGDACFLBN-OAHLLOKOSA-N 1 2 320.437 1.028 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc2ccn(C)n2)C1 ZINC001284510394 909114696 /nfs/dbraw/zinc/11/46/96/909114696.db2.gz XXLALKGDACFLBN-OAHLLOKOSA-N 1 2 320.437 1.028 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)c1ocnc1C)C(C)C ZINC001284529541 909149577 /nfs/dbraw/zinc/14/95/77/909149577.db2.gz GECNWFCBHVLTDJ-UHFFFAOYSA-N 1 2 322.409 1.068 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)c1ocnc1C)C(C)C ZINC001284529541 909149587 /nfs/dbraw/zinc/14/95/87/909149587.db2.gz GECNWFCBHVLTDJ-UHFFFAOYSA-N 1 2 322.409 1.068 20 30 DDEDLO CC(C)C[C@@H](C(=O)NCCN(C)C(=O)[C@@H](C)C#N)n1cc[nH+]c1 ZINC001373499981 910020510 /nfs/dbraw/zinc/02/05/10/910020510.db2.gz ALPHDTOTQGHZGT-KBPBESRZSA-N 1 2 319.409 1.205 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@@H]23)nc1 ZINC001394686964 910283854 /nfs/dbraw/zinc/28/38/54/910283854.db2.gz WOSPOGCRKNZFSN-CKEIUWERSA-N 1 2 313.405 1.558 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@@H]23)nc1 ZINC001394686964 910283871 /nfs/dbraw/zinc/28/38/71/910283871.db2.gz WOSPOGCRKNZFSN-CKEIUWERSA-N 1 2 313.405 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)CO[C@H]2CCOC2)C1 ZINC001373666396 910552132 /nfs/dbraw/zinc/55/21/32/910552132.db2.gz NLFIOEPIZRSTMV-STQMWFEESA-N 1 2 302.802 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)CO[C@H]2CCOC2)C1 ZINC001373666396 910552141 /nfs/dbraw/zinc/55/21/41/910552141.db2.gz NLFIOEPIZRSTMV-STQMWFEESA-N 1 2 302.802 1.077 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@@H]1CC[N@H+](CC(=O)NC2CCCCC2)C1 ZINC001373672489 910572137 /nfs/dbraw/zinc/57/21/37/910572137.db2.gz YKYXHNFIRCAJPY-UKRRQHHQSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NC2CCCCC2)C1 ZINC001373672489 910572152 /nfs/dbraw/zinc/57/21/52/910572152.db2.gz YKYXHNFIRCAJPY-UKRRQHHQSA-N 1 2 320.437 1.128 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)Cc2cnn(C)c2)C1 ZINC001373695824 910644698 /nfs/dbraw/zinc/64/46/98/910644698.db2.gz BQZODQIZXHCTHN-CQSZACIVSA-N 1 2 310.829 1.638 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)Cc2cnn(C)c2)C1 ZINC001373695824 910644706 /nfs/dbraw/zinc/64/47/06/910644706.db2.gz BQZODQIZXHCTHN-CQSZACIVSA-N 1 2 310.829 1.638 20 30 DDEDLO C=CCCC1(C(=O)N(C)[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001285814593 911227642 /nfs/dbraw/zinc/22/76/42/911227642.db2.gz YFAWXBPJLQXRMO-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCN1C(=O)CCCn1cc[nH+]c1 ZINC001285851125 911296809 /nfs/dbraw/zinc/29/68/09/911296809.db2.gz JMMWWVHQSIWPQT-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001285873002 911344451 /nfs/dbraw/zinc/34/44/51/911344451.db2.gz XUSZRBMYPVGYIR-AWEZNQCLSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001285873002 911344474 /nfs/dbraw/zinc/34/44/74/911344474.db2.gz XUSZRBMYPVGYIR-AWEZNQCLSA-N 1 2 304.394 1.416 20 30 DDEDLO CC(C)[C@H](CCN(C)C(=O)Cc1c[nH+]c[nH]1)NC(=O)[C@H](C)C#N ZINC001395226098 911591007 /nfs/dbraw/zinc/59/10/07/911591007.db2.gz UNCUBEGLECVYRX-OCCSQVGLSA-N 1 2 319.409 1.101 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CC3)n2CC(F)(F)F)CC1 ZINC001286480459 911992843 /nfs/dbraw/zinc/99/28/43/911992843.db2.gz XNCHXEBEKLUFLE-UHFFFAOYSA-N 1 2 313.327 1.473 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CC3)n2C[C@@H](CC)OC)CC1 ZINC001286479074 911993454 /nfs/dbraw/zinc/99/34/54/911993454.db2.gz JVHSZQAJSHQVEH-OAHLLOKOSA-N 1 2 317.437 1.336 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001294360500 915079075 /nfs/dbraw/zinc/07/90/75/915079075.db2.gz RZZRJHLFKHNSJM-GJZGRUSLSA-N 1 2 318.421 1.735 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2cn[nH]n2)C[C@@H]1C ZINC001377393410 921744532 /nfs/dbraw/zinc/74/45/32/921744532.db2.gz ODFLVXMMVNTWNZ-RYUDHWBXSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2cn[nH]n2)C[C@@H]1C ZINC001377393410 921744538 /nfs/dbraw/zinc/74/45/38/921744538.db2.gz ODFLVXMMVNTWNZ-RYUDHWBXSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2c[nH]nn2)C[C@@H]1C ZINC001377393410 921744548 /nfs/dbraw/zinc/74/45/48/921744548.db2.gz ODFLVXMMVNTWNZ-RYUDHWBXSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2c[nH]nn2)C[C@@H]1C ZINC001377393410 921744557 /nfs/dbraw/zinc/74/45/57/921744557.db2.gz ODFLVXMMVNTWNZ-RYUDHWBXSA-N 1 2 311.817 1.459 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001294730575 915322888 /nfs/dbraw/zinc/32/28/88/915322888.db2.gz WDNFQBYAYXATTF-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001295793291 916060586 /nfs/dbraw/zinc/06/05/86/916060586.db2.gz WOZWVNOVMNZGBT-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001295886809 916110151 /nfs/dbraw/zinc/11/01/51/916110151.db2.gz NAXBPUCEJUDZSU-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCCC(=O)N1CC(NC(=O)Cc2c[nH+]ccc2OC)C1 ZINC001297083380 916720454 /nfs/dbraw/zinc/72/04/54/916720454.db2.gz UDZLLGJYNPXALF-UHFFFAOYSA-N 1 2 317.389 1.316 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001375994578 917435064 /nfs/dbraw/zinc/43/50/64/917435064.db2.gz VKONMWSYISCOPL-GXSJLCMTSA-N 1 2 305.756 1.972 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001375994578 917435072 /nfs/dbraw/zinc/43/50/72/917435072.db2.gz VKONMWSYISCOPL-GXSJLCMTSA-N 1 2 305.756 1.972 20 30 DDEDLO Cn1nccc1C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001377133018 920422806 /nfs/dbraw/zinc/42/28/06/920422806.db2.gz YLZUJUUSDGWERM-UHFFFAOYSA-N 1 2 315.352 1.293 20 30 DDEDLO Cn1nccc1C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001377133018 920422813 /nfs/dbraw/zinc/42/28/13/920422813.db2.gz YLZUJUUSDGWERM-UHFFFAOYSA-N 1 2 315.352 1.293 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@H]1CCCc2nn[nH]c21)C1CC1 ZINC001377552515 922310162 /nfs/dbraw/zinc/31/01/62/922310162.db2.gz JMHJEODSFXFECC-LBPRGKRZSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@H]1CCCc2nn[nH]c21)C1CC1 ZINC001377552515 922310178 /nfs/dbraw/zinc/31/01/78/922310178.db2.gz JMHJEODSFXFECC-LBPRGKRZSA-N 1 2 323.828 1.558 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@H+](CC(=O)NC2CCCCC2)C1 ZINC001377840644 923196544 /nfs/dbraw/zinc/19/65/44/923196544.db2.gz MSTQCWVPBJAKLF-HIFRSBDPSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NC2CCCCC2)C1 ZINC001377840644 923196555 /nfs/dbraw/zinc/19/65/55/923196555.db2.gz MSTQCWVPBJAKLF-HIFRSBDPSA-N 1 2 320.437 1.176 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(C)s3)n2C)CC1 ZINC000283256949 222600091 /nfs/dbraw/zinc/60/00/91/222600091.db2.gz UHEOHRSKRHQGRR-UHFFFAOYSA-N 1 2 301.419 1.607 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](Cc3ccnc(N)c3)CC2)cc1 ZINC000278554864 214234762 /nfs/dbraw/zinc/23/47/62/214234762.db2.gz UUMVCOCTCPOQSZ-UHFFFAOYSA-N 1 2 307.401 1.853 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000278603770 214269000 /nfs/dbraw/zinc/26/90/00/214269000.db2.gz LFUSAARDHVLAKH-AEFFLSMTSA-N 1 2 318.417 1.503 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NC[C@@H]2CCCO2)C[C@]2(CCOC2)O1 ZINC000330085586 529385091 /nfs/dbraw/zinc/38/50/91/529385091.db2.gz CXZIALZKTVPNCF-YCPHGPKFSA-N 1 2 312.410 1.392 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NC[C@@H]2CCCO2)C[C@]2(CCOC2)O1 ZINC000330085586 529385092 /nfs/dbraw/zinc/38/50/92/529385092.db2.gz CXZIALZKTVPNCF-YCPHGPKFSA-N 1 2 312.410 1.392 20 30 DDEDLO C#CCOc1ccc(C[N@@H+](CC=C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000092361398 185314013 /nfs/dbraw/zinc/31/40/13/185314013.db2.gz JGXZFCUXOCUNNJ-INIZCTEOSA-N 1 2 319.426 1.874 20 30 DDEDLO C#CCOc1ccc(C[N@H+](CC=C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000092361398 185314014 /nfs/dbraw/zinc/31/40/14/185314014.db2.gz JGXZFCUXOCUNNJ-INIZCTEOSA-N 1 2 319.426 1.874 20 30 DDEDLO CC(C)(C(=O)N[C@@H]1CCO[C@]2(CCOC2)C1)[NH+]1CCOCC1 ZINC000328671012 539298363 /nfs/dbraw/zinc/29/83/63/539298363.db2.gz GVMUZYLTXFWTJG-CZUORRHYSA-N 1 2 312.410 1.392 20 30 DDEDLO CN(C[C@H]1CCCCO1)C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000328909340 539299634 /nfs/dbraw/zinc/29/96/34/539299634.db2.gz DQXOPYUUULYQIE-CQSZACIVSA-N 1 2 313.442 1.512 20 30 DDEDLO CN(C[C@H]1CCCCO1)C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000328909340 539299635 /nfs/dbraw/zinc/29/96/35/539299635.db2.gz DQXOPYUUULYQIE-CQSZACIVSA-N 1 2 313.442 1.512 20 30 DDEDLO C[C@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)[C@H]1CCCCO1 ZINC000329444258 539304543 /nfs/dbraw/zinc/30/45/43/539304543.db2.gz KPXZSDSWQBJWJJ-UONOGXRCSA-N 1 2 313.442 1.558 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC000092843814 185345578 /nfs/dbraw/zinc/34/55/78/185345578.db2.gz KXMAVFHNUKDHMY-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC000092843814 185345579 /nfs/dbraw/zinc/34/55/79/185345579.db2.gz KXMAVFHNUKDHMY-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CCN(CC#N)CC2)cc1OC ZINC000092936321 185349746 /nfs/dbraw/zinc/34/97/46/185349746.db2.gz BPCFFDGWHTXVHJ-UHFFFAOYSA-N 1 2 301.390 1.901 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000358211542 299101238 /nfs/dbraw/zinc/10/12/38/299101238.db2.gz QZLZFBHWAOQAAO-LLVKDONJSA-N 1 2 311.382 1.198 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000358211542 299101241 /nfs/dbraw/zinc/10/12/41/299101241.db2.gz QZLZFBHWAOQAAO-LLVKDONJSA-N 1 2 311.382 1.198 20 30 DDEDLO CN(CC(F)(F)F)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000337077668 529850361 /nfs/dbraw/zinc/85/03/61/529850361.db2.gz UEIOMWYKSPSIIT-UHFFFAOYSA-N 1 2 322.331 1.148 20 30 DDEDLO CNC(=O)[C@@H](NC(=O)c1ccc2[nH+]c(C)n(C)c2c1)C(C)C ZINC000331047054 529893448 /nfs/dbraw/zinc/89/34/48/529893448.db2.gz GHCLOFYXPTYTSD-AWEZNQCLSA-N 1 2 302.378 1.957 20 30 DDEDLO C[N@H+](Cc1cc(C#N)ccc1F)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000292345956 529976241 /nfs/dbraw/zinc/97/62/41/529976241.db2.gz XFTQDGROIHRQRD-ZDUSSCGKSA-N 1 2 311.382 1.163 20 30 DDEDLO C[N@@H+](Cc1cc(C#N)ccc1F)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000292345956 529976242 /nfs/dbraw/zinc/97/62/42/529976242.db2.gz XFTQDGROIHRQRD-ZDUSSCGKSA-N 1 2 311.382 1.163 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)CC1 ZINC000615743359 362422560 /nfs/dbraw/zinc/42/25/60/362422560.db2.gz NAXVYOCEZBOREN-HUUCEWRRSA-N 1 2 315.421 1.138 20 30 DDEDLO COCCN(CC#N)C(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000457200561 232012274 /nfs/dbraw/zinc/01/22/74/232012274.db2.gz FNMKMRDNVIHXAG-ZIAGYGMSSA-N 1 2 302.378 1.152 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H](C(C)C)[NH+]2CCOCC2)ncc1C#N ZINC000457399926 232048847 /nfs/dbraw/zinc/04/88/47/232048847.db2.gz JKBYUACBQSHITB-INIZCTEOSA-N 1 2 316.405 1.348 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)C(C)(C)Oc2ccc(C#N)cc2)c1 ZINC000457866344 232144923 /nfs/dbraw/zinc/14/49/23/232144923.db2.gz VKSHUVYEKKVQPL-UHFFFAOYSA-N 1 2 312.373 1.808 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)C[C@@H]1CN(CC)c2ccccc2O1 ZINC000016423418 352161116 /nfs/dbraw/zinc/16/11/16/352161116.db2.gz ANNSVFRDRRKXEM-CQSZACIVSA-N 1 2 303.406 1.508 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)C[C@@H]1CN(CC)c2ccccc2O1 ZINC000016423418 352161117 /nfs/dbraw/zinc/16/11/17/352161117.db2.gz ANNSVFRDRRKXEM-CQSZACIVSA-N 1 2 303.406 1.508 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CC(=O)Nc3ccccc3)CC2)nc1 ZINC000023618612 352185355 /nfs/dbraw/zinc/18/53/55/352185355.db2.gz SLEHNYINQKJPGZ-UHFFFAOYSA-N 1 2 321.384 1.714 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(Cc2ccco2)CC1 ZINC000042540445 352342864 /nfs/dbraw/zinc/34/28/64/352342864.db2.gz MYCGIDKYUUZKHV-MRXNPFEDSA-N 1 2 317.433 1.986 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)N1CC[NH+](Cc2ccco2)CC1 ZINC000042540445 352342870 /nfs/dbraw/zinc/34/28/70/352342870.db2.gz MYCGIDKYUUZKHV-MRXNPFEDSA-N 1 2 317.433 1.986 20 30 DDEDLO O=C(NCC#Cc1ccccc1)N1CCN(c2cccc[nH+]2)CC1 ZINC000045611540 352417522 /nfs/dbraw/zinc/41/75/22/352417522.db2.gz OVSAGEUCGLHFED-UHFFFAOYSA-N 1 2 320.396 1.965 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+]1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000055014197 352711953 /nfs/dbraw/zinc/71/19/53/352711953.db2.gz WUVGANLCRONXLT-ZDUSSCGKSA-N 1 2 320.780 1.361 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+]1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000055014197 352711957 /nfs/dbraw/zinc/71/19/57/352711957.db2.gz WUVGANLCRONXLT-ZDUSSCGKSA-N 1 2 320.780 1.361 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC(=O)NC(C)(C)C)Cc1ccc(F)cc1 ZINC000065958473 352971538 /nfs/dbraw/zinc/97/15/38/352971538.db2.gz CPKILEIBPHMTHQ-UHFFFAOYSA-N 1 2 319.380 1.885 20 30 DDEDLO C#CC[N@H+](CC(=O)NC(=O)NC(C)(C)C)Cc1ccc(F)cc1 ZINC000065958473 352971541 /nfs/dbraw/zinc/97/15/41/352971541.db2.gz CPKILEIBPHMTHQ-UHFFFAOYSA-N 1 2 319.380 1.885 20 30 DDEDLO Cc1nc2[nH]ccc2c(N2CCN(c3ccc(C#N)cn3)CC2)[nH+]1 ZINC000080950151 353638429 /nfs/dbraw/zinc/63/84/29/353638429.db2.gz JPBLOHPKZVRNOA-UHFFFAOYSA-N 1 2 319.372 1.860 20 30 DDEDLO CC[N@H+](CCC#N)[C@@H](C)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000081515016 353680029 /nfs/dbraw/zinc/68/00/29/353680029.db2.gz XVCHEOKQZGWRDB-UONOGXRCSA-N 1 2 317.389 1.567 20 30 DDEDLO CC[N@@H+](CCC#N)[C@@H](C)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000081515016 353680033 /nfs/dbraw/zinc/68/00/33/353680033.db2.gz XVCHEOKQZGWRDB-UONOGXRCSA-N 1 2 317.389 1.567 20 30 DDEDLO C[NH2+]CCCc1[nH]nc(NC(=O)C2C[C@H](C)O[C@@H](C)C2)c1C#N ZINC000092106429 353844685 /nfs/dbraw/zinc/84/46/85/353844685.db2.gz YMPKUBKYQOZBNH-QWRGUYRKSA-N 1 2 319.409 1.575 20 30 DDEDLO N#Cc1cccc(N2CCN(C(=O)C=Cc3c[nH]c[nH+]3)CC2)n1 ZINC000492889087 234629786 /nfs/dbraw/zinc/62/97/86/234629786.db2.gz AHIFQUKEWUGWKE-PLNGDYQASA-N 1 2 308.345 1.038 20 30 DDEDLO N#Cc1ncn(-c2ccccc2NC(=O)C=Cc2c[nH]c[nH+]2)n1 ZINC000492974033 234661344 /nfs/dbraw/zinc/66/13/44/234661344.db2.gz PLHJZFQWQGBCKE-AATRIKPKSA-N 1 2 305.301 1.514 20 30 DDEDLO COc1ccc(C[NH+]2CCC(S(C)(=O)=O)CC2)cc1C#N ZINC000313885429 354482216 /nfs/dbraw/zinc/48/22/16/354482216.db2.gz ODCXVYDRUYWWRD-UHFFFAOYSA-N 1 2 308.403 1.576 20 30 DDEDLO CN1CC[N@H+](C)C[C@H]1c1noc([C@]2(C#N)CC23CCCC3)n1 ZINC000351086451 354614322 /nfs/dbraw/zinc/61/43/22/354614322.db2.gz RLKWZCYRCXCKBH-BLLLJJGKSA-N 1 2 301.394 1.713 20 30 DDEDLO CN1CC[N@@H+](C)C[C@H]1c1noc([C@]2(C#N)CC23CCCC3)n1 ZINC000351086451 354614324 /nfs/dbraw/zinc/61/43/24/354614324.db2.gz RLKWZCYRCXCKBH-BLLLJJGKSA-N 1 2 301.394 1.713 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000578205133 354705646 /nfs/dbraw/zinc/70/56/46/354705646.db2.gz IFEQNCMGHOMQSN-UHFFFAOYSA-N 1 2 318.421 1.978 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000579243168 354717652 /nfs/dbraw/zinc/71/76/52/354717652.db2.gz ABVUUTIRZIJWCH-CJNGLKHVSA-N 1 2 312.377 1.195 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000579796584 354723465 /nfs/dbraw/zinc/72/34/65/354723465.db2.gz PSHGWKSAFQPDRN-MCIONIFRSA-N 1 2 304.394 1.206 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NC[C@@H]1CCN(c2ccccc2)C1 ZINC000579855194 354724174 /nfs/dbraw/zinc/72/41/74/354724174.db2.gz XAKNMGIKAABYLC-HOTGVXAUSA-N 1 2 314.433 1.911 20 30 DDEDLO Cc1ccccc1-n1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)nn1 ZINC000579964466 354725328 /nfs/dbraw/zinc/72/53/28/354725328.db2.gz IBFAQTBWXKPHCZ-INIZCTEOSA-N 1 2 312.377 1.149 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CSc1ncnc2sccc21 ZINC000580939463 354728761 /nfs/dbraw/zinc/72/87/61/354728761.db2.gz DZONSINTKRHTIP-SNVBAGLBSA-N 1 2 308.432 1.990 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CSc1ncnc2sccc21 ZINC000580939463 354728763 /nfs/dbraw/zinc/72/87/63/354728763.db2.gz DZONSINTKRHTIP-SNVBAGLBSA-N 1 2 308.432 1.990 20 30 DDEDLO CO[C@@]1(CO)CCC[N@@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000402754176 354669727 /nfs/dbraw/zinc/66/97/27/354669727.db2.gz BQKVRRZKKBGAJN-GUYCJALGSA-N 1 2 317.389 1.359 20 30 DDEDLO CO[C@@]1(CO)CCC[N@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000402754176 354669729 /nfs/dbraw/zinc/66/97/29/354669729.db2.gz BQKVRRZKKBGAJN-GUYCJALGSA-N 1 2 317.389 1.359 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(N2CCOCC2)cc1 ZINC000584814987 354773449 /nfs/dbraw/zinc/77/34/49/354773449.db2.gz QGBAHIITTSGXND-QGZVFWFLSA-N 1 2 316.405 1.097 20 30 DDEDLO COc1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)c(C(C)=O)c1 ZINC000581773253 354737486 /nfs/dbraw/zinc/73/74/86/354737486.db2.gz JXHJSXZLJFUKIC-ZDUSSCGKSA-N 1 2 306.362 1.483 20 30 DDEDLO COc1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c(C(C)=O)c1 ZINC000581773253 354737487 /nfs/dbraw/zinc/73/74/87/354737487.db2.gz JXHJSXZLJFUKIC-ZDUSSCGKSA-N 1 2 306.362 1.483 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+](CCO)Cc1cccc(F)c1)C1CC1 ZINC000494253232 235011833 /nfs/dbraw/zinc/01/18/33/235011833.db2.gz GEPOSTPBVKQIDX-KRWDZBQOSA-N 1 2 319.380 1.428 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+](CCO)Cc1cccc(F)c1)C1CC1 ZINC000494253232 235011835 /nfs/dbraw/zinc/01/18/35/235011835.db2.gz GEPOSTPBVKQIDX-KRWDZBQOSA-N 1 2 319.380 1.428 20 30 DDEDLO N#Cc1cccc(C(=O)NC2CCN(c3cccc[nH+]3)CC2)n1 ZINC000589310636 354983674 /nfs/dbraw/zinc/98/36/74/354983674.db2.gz UCSRIABEHRNRME-UHFFFAOYSA-N 1 2 307.357 1.747 20 30 DDEDLO N#CC1(CC(=O)NCc2cc[nH+]c(N3CCOCC3)c2)CC1 ZINC000590148748 355054114 /nfs/dbraw/zinc/05/41/14/355054114.db2.gz CLMVFCJZOCPUHB-UHFFFAOYSA-N 1 2 300.362 1.228 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC000591648023 355351731 /nfs/dbraw/zinc/35/17/31/355351731.db2.gz ZIOSWEQARZKHBW-INIZCTEOSA-N 1 2 305.353 1.424 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC000591648023 355351734 /nfs/dbraw/zinc/35/17/34/355351734.db2.gz ZIOSWEQARZKHBW-INIZCTEOSA-N 1 2 305.353 1.424 20 30 DDEDLO COC(=O)[C@H]1C[C@H](O)C[N@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000592208704 355539016 /nfs/dbraw/zinc/53/90/16/355539016.db2.gz UVOFSUYWAWHNRK-JSGCOSHPSA-N 1 2 308.765 1.567 20 30 DDEDLO COC(=O)[C@H]1C[C@H](O)C[N@@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000592208704 355539020 /nfs/dbraw/zinc/53/90/20/355539020.db2.gz UVOFSUYWAWHNRK-JSGCOSHPSA-N 1 2 308.765 1.567 20 30 DDEDLO C[C@H](C(=O)Nc1ccc2c(c1)OCO2)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592146592 355511732 /nfs/dbraw/zinc/51/17/32/355511732.db2.gz DBOVGZBFDBGKHU-BDJLRTHQSA-N 1 2 317.345 1.093 20 30 DDEDLO C[C@H](C(=O)Nc1ccc2c(c1)OCO2)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592146592 355511734 /nfs/dbraw/zinc/51/17/34/355511734.db2.gz DBOVGZBFDBGKHU-BDJLRTHQSA-N 1 2 317.345 1.093 20 30 DDEDLO CC(C)n1nccc1NC(=O)[C@H](C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592146795 355511940 /nfs/dbraw/zinc/51/19/40/355511940.db2.gz KLVBCQHFQSDCPD-SWLSCSKDSA-N 1 2 305.382 1.141 20 30 DDEDLO CC(C)n1nccc1NC(=O)[C@H](C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592146795 355511944 /nfs/dbraw/zinc/51/19/44/355511944.db2.gz KLVBCQHFQSDCPD-SWLSCSKDSA-N 1 2 305.382 1.141 20 30 DDEDLO CN(C(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1)C1(C#N)CCCCC1 ZINC000592148241 355513763 /nfs/dbraw/zinc/51/37/63/355513763.db2.gz SIXGFEPPDJBRTC-INIZCTEOSA-N 1 2 304.394 1.022 20 30 DDEDLO CN(C(=O)C[N@H+]1CC[C@@](O)(CC#N)C1)C1(C#N)CCCCC1 ZINC000592148241 355513765 /nfs/dbraw/zinc/51/37/65/355513765.db2.gz SIXGFEPPDJBRTC-INIZCTEOSA-N 1 2 304.394 1.022 20 30 DDEDLO COc1ncncc1C[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000592514490 355609446 /nfs/dbraw/zinc/60/94/46/355609446.db2.gz DJYGLWWSEVTOQE-AWEZNQCLSA-N 1 2 311.345 1.405 20 30 DDEDLO COc1ncncc1C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000592514490 355609447 /nfs/dbraw/zinc/60/94/47/355609447.db2.gz DJYGLWWSEVTOQE-AWEZNQCLSA-N 1 2 311.345 1.405 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000593065193 355784484 /nfs/dbraw/zinc/78/44/84/355784484.db2.gz ZDROONFKTBMKHX-XJKSGUPXSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000593065193 355784489 /nfs/dbraw/zinc/78/44/89/355784489.db2.gz ZDROONFKTBMKHX-XJKSGUPXSA-N 1 2 301.390 1.674 20 30 DDEDLO COCCOc1cccc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000593154314 355813208 /nfs/dbraw/zinc/81/32/08/355813208.db2.gz HNEOTNHOAHIPFP-KRWDZBQOSA-N 1 2 319.405 1.214 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2C[S@]3=O)cc1OC ZINC000593685668 355966547 /nfs/dbraw/zinc/96/65/47/355966547.db2.gz AARYYLNJTFOUIG-DGCWBMGLSA-N 1 2 307.415 1.965 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@@H]3C[C@H]2C[S@]3=O)cc1OC ZINC000593685668 355966551 /nfs/dbraw/zinc/96/65/51/355966551.db2.gz AARYYLNJTFOUIG-DGCWBMGLSA-N 1 2 307.415 1.965 20 30 DDEDLO COCC[N@@H+]1CCN(C(=O)c2ccc(C#N)c(O)c2)C(C)(C)C1 ZINC000593874414 356034981 /nfs/dbraw/zinc/03/49/81/356034981.db2.gz COMXNNKVPBSXRP-UHFFFAOYSA-N 1 2 317.389 1.447 20 30 DDEDLO CN(C)[C@@H](C(=O)N1Cc2cccc(C#N)c2C1)c1c[nH+]cn1C ZINC000593888776 356043317 /nfs/dbraw/zinc/04/33/17/356043317.db2.gz UOQWMLUQGQKFMG-MRXNPFEDSA-N 1 2 309.373 1.437 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2ccc(OCC(F)(F)F)nn2)C1 ZINC000594016403 356088338 /nfs/dbraw/zinc/08/83/38/356088338.db2.gz VWSZQTRLBBEHDR-LBPRGKRZSA-N 1 2 316.283 1.268 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2ccc(OCC(F)(F)F)nn2)C1 ZINC000594016403 356088344 /nfs/dbraw/zinc/08/83/44/356088344.db2.gz VWSZQTRLBBEHDR-LBPRGKRZSA-N 1 2 316.283 1.268 20 30 DDEDLO C[C@@H](CNC(=O)c1cc(O)cc(C#N)c1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594405255 356199004 /nfs/dbraw/zinc/19/90/04/356199004.db2.gz VQMMXEXDXPBEMD-RWMBFGLXSA-N 1 2 317.389 1.491 20 30 DDEDLO C[C@@H](CNC(=O)c1cc(O)cc(C#N)c1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594405255 356199006 /nfs/dbraw/zinc/19/90/06/356199006.db2.gz VQMMXEXDXPBEMD-RWMBFGLXSA-N 1 2 317.389 1.491 20 30 DDEDLO C[C@@H](CNc1ncc(C#N)cc1[N+](=O)[O-])[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594592591 356258608 /nfs/dbraw/zinc/25/86/08/356258608.db2.gz SKOBHARTLXUXJG-SDDRHHMPSA-N 1 2 319.365 1.771 20 30 DDEDLO C[C@@H](CNc1ncc(C#N)cc1[N+](=O)[O-])[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594592591 356258610 /nfs/dbraw/zinc/25/86/10/356258610.db2.gz SKOBHARTLXUXJG-SDDRHHMPSA-N 1 2 319.365 1.771 20 30 DDEDLO Cc1cn2ccc(NC(=O)C(=O)N3CC[C@](F)(C#N)C3)cc2[nH+]1 ZINC000595016064 356372470 /nfs/dbraw/zinc/37/24/70/356372470.db2.gz GTWTZRAJCMHIME-HNNXBMFYSA-N 1 2 315.308 1.045 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)NCC1(C#N)CCCCC1 ZINC000595187793 356402341 /nfs/dbraw/zinc/40/23/41/356402341.db2.gz HVMFWVKCPVKSDE-UHFFFAOYSA-N 1 2 316.361 1.619 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C1CC1)[C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595389548 356475517 /nfs/dbraw/zinc/47/55/17/356475517.db2.gz PNHAOPKONQQEOK-GXTWGEPZSA-N 1 2 321.421 1.553 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C1CC1)[C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595389548 356475521 /nfs/dbraw/zinc/47/55/21/356475521.db2.gz PNHAOPKONQQEOK-GXTWGEPZSA-N 1 2 321.421 1.553 20 30 DDEDLO C[C@H](c1nccs1)[NH+]1CCN(c2ccc(C#N)c(N)n2)CC1 ZINC000565230865 304056172 /nfs/dbraw/zinc/05/61/72/304056172.db2.gz WUSPKHBILCMZMF-LLVKDONJSA-N 1 2 314.418 1.875 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCOC(C2CC2)(C2CC2)C1 ZINC000595821173 356664274 /nfs/dbraw/zinc/66/42/74/356664274.db2.gz AYURWMIGMFPNOA-AWEZNQCLSA-N 1 2 319.449 1.886 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCOC(C2CC2)(C2CC2)C1 ZINC000595821173 356664277 /nfs/dbraw/zinc/66/42/77/356664277.db2.gz AYURWMIGMFPNOA-AWEZNQCLSA-N 1 2 319.449 1.886 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[NH+]2CCC(c3ccon3)CC2)cc1 ZINC000595828786 356666703 /nfs/dbraw/zinc/66/67/03/356666703.db2.gz MKNGOXSNYLLIAA-INIZCTEOSA-N 1 2 310.357 1.952 20 30 DDEDLO CN1CC[N@H+](Cc2ccccc2)[C@@H](CNc2cnc(C#N)cn2)C1 ZINC000596550631 356913915 /nfs/dbraw/zinc/91/39/15/356913915.db2.gz FRWWXWJZHYUAQW-KRWDZBQOSA-N 1 2 322.416 1.576 20 30 DDEDLO CN1CC[N@@H+](Cc2ccccc2)[C@@H](CNc2cnc(C#N)cn2)C1 ZINC000596550631 356913917 /nfs/dbraw/zinc/91/39/17/356913917.db2.gz FRWWXWJZHYUAQW-KRWDZBQOSA-N 1 2 322.416 1.576 20 30 DDEDLO N#CCCN(Cc1cccnc1)C(=O)[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000596964367 357027901 /nfs/dbraw/zinc/02/79/01/357027901.db2.gz HZJSMCGEWGLFQT-CQSZACIVSA-N 1 2 309.373 1.852 20 30 DDEDLO N#CCCN(Cc1cccnc1)C(=O)[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000596964367 357027906 /nfs/dbraw/zinc/02/79/06/357027906.db2.gz HZJSMCGEWGLFQT-CQSZACIVSA-N 1 2 309.373 1.852 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)[C@H](C)CC#N)CC1 ZINC000597121071 357066884 /nfs/dbraw/zinc/06/68/84/357066884.db2.gz MRXLSLBHMOFKMD-CQSZACIVSA-N 1 2 301.390 1.889 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)[C@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000597297190 357125838 /nfs/dbraw/zinc/12/58/38/357125838.db2.gz DGFNXQQNERWURH-ZDUSSCGKSA-N 1 2 310.357 1.581 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)[C@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000597297190 357125840 /nfs/dbraw/zinc/12/58/40/357125840.db2.gz DGFNXQQNERWURH-ZDUSSCGKSA-N 1 2 310.357 1.581 20 30 DDEDLO C[C@H](C1CC1)n1cc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)cn1 ZINC000329786314 223029060 /nfs/dbraw/zinc/02/90/60/223029060.db2.gz QOPBCSDGMPWDPL-BYCMXARLSA-N 1 2 319.409 1.414 20 30 DDEDLO C[C@H](C1CC1)n1cc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)cn1 ZINC000329786314 223029062 /nfs/dbraw/zinc/02/90/62/223029062.db2.gz QOPBCSDGMPWDPL-BYCMXARLSA-N 1 2 319.409 1.414 20 30 DDEDLO CCCN1C(=O)CC[C@@H](C(=O)N[C@H](C)C#N)[C@@H]1c1c[nH+]cn1C ZINC000475321138 283311860 /nfs/dbraw/zinc/31/18/60/283311860.db2.gz XASISXMYIYSOOJ-LALPHHSUSA-N 1 2 317.393 1.138 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CC[C@H]2CC[C@@H](C1)[S@]2=O ZINC000599634500 357999957 /nfs/dbraw/zinc/99/99/57/357999957.db2.gz CDAPCTRKNLMTLM-IFMYKAFSSA-N 1 2 319.386 1.952 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CC[C@H]2CC[C@@H](C1)[S@]2=O ZINC000599634500 357999960 /nfs/dbraw/zinc/99/99/60/357999960.db2.gz CDAPCTRKNLMTLM-IFMYKAFSSA-N 1 2 319.386 1.952 20 30 DDEDLO N#CCCCC(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000600685201 358282899 /nfs/dbraw/zinc/28/28/99/358282899.db2.gz ZPDMGIHHRVKSCV-OAHLLOKOSA-N 1 2 302.378 1.092 20 30 DDEDLO N#CCCCC(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000600685201 358282901 /nfs/dbraw/zinc/28/29/01/358282901.db2.gz ZPDMGIHHRVKSCV-OAHLLOKOSA-N 1 2 302.378 1.092 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]2C(N)=O)c(Br)c1 ZINC000180944373 199231396 /nfs/dbraw/zinc/23/13/96/199231396.db2.gz SXODNTZMITXVGL-LBPRGKRZSA-N 1 2 308.179 1.770 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]2C(N)=O)c(Br)c1 ZINC000180944373 199231397 /nfs/dbraw/zinc/23/13/97/199231397.db2.gz SXODNTZMITXVGL-LBPRGKRZSA-N 1 2 308.179 1.770 20 30 DDEDLO CCn1cc[nH+]c1CNS(=O)(=O)Cc1cc(C#N)ccc1F ZINC000601470409 358567799 /nfs/dbraw/zinc/56/77/99/358567799.db2.gz HFNBSRGIAIBTIO-UHFFFAOYSA-N 1 2 322.365 1.533 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)ccn1 ZINC000602201626 358880145 /nfs/dbraw/zinc/88/01/45/358880145.db2.gz ZLDPUEWBTYVMMC-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO C=CCOC[C@H]([NH2+]C[C@@H]1CCN(c2ccccc2)C1)C(=O)OC ZINC000602644379 359094879 /nfs/dbraw/zinc/09/48/79/359094879.db2.gz MZXSXYFHENTDGN-RDJZCZTQSA-N 1 2 318.417 1.847 20 30 DDEDLO C[N@H+](Cc1ccc(S(N)(=O)=O)c(Cl)c1)CC(C)(C)C#N ZINC000602675012 359119560 /nfs/dbraw/zinc/11/95/60/359119560.db2.gz IEJMYNYGPDJILQ-UHFFFAOYSA-N 1 2 315.826 1.969 20 30 DDEDLO C[N@@H+](Cc1ccc(S(N)(=O)=O)c(Cl)c1)CC(C)(C)C#N ZINC000602675012 359119563 /nfs/dbraw/zinc/11/95/63/359119563.db2.gz IEJMYNYGPDJILQ-UHFFFAOYSA-N 1 2 315.826 1.969 20 30 DDEDLO N#CCCN1CC[NH+](Cc2ccc(-n3cncn3)c(F)c2)CC1 ZINC000602750100 359167987 /nfs/dbraw/zinc/16/79/87/359167987.db2.gz BBPFBNBBAZVJAZ-UHFFFAOYSA-N 1 2 314.368 1.438 20 30 DDEDLO C[C@H](NC(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1)c1cccs1 ZINC000602856429 359242464 /nfs/dbraw/zinc/24/24/64/359242464.db2.gz JRLMJWONJXXNGH-IHRRRGAJSA-N 1 2 320.462 1.843 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)N(C)Cc2ccco2)C[C@@H](C)N1CC#N ZINC000602856546 359242580 /nfs/dbraw/zinc/24/25/80/359242580.db2.gz KNZBBHRDYWLGHD-ZIAGYGMSSA-N 1 2 304.394 1.156 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)NC2(C#N)CCCCC2)C[C@@H](C)N1CC#N ZINC000602857519 359243360 /nfs/dbraw/zinc/24/33/60/359243360.db2.gz RYKLSFKRUJWJIQ-HUUCEWRRSA-N 1 2 317.437 1.247 20 30 DDEDLO C[C@@H]1C[NH+](Cc2cc(=O)n3ccsc3n2)C[C@@H](C)N1CC#N ZINC000602861141 359246430 /nfs/dbraw/zinc/24/64/30/359246430.db2.gz KQVYRTMIJJSUMV-VXGBXAGGSA-N 1 2 317.418 1.174 20 30 DDEDLO COC(=O)c1sccc1C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602864950 359248784 /nfs/dbraw/zinc/24/87/84/359248784.db2.gz RETCBUXTVHPFSV-TXEJJXNPSA-N 1 2 307.419 1.953 20 30 DDEDLO COC(=O)c1sccc1C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602864950 359248788 /nfs/dbraw/zinc/24/87/88/359248788.db2.gz RETCBUXTVHPFSV-TXEJJXNPSA-N 1 2 307.419 1.953 20 30 DDEDLO CCn1nc(C)c(C[N@H+](C)CC(=O)N[C@](C)(C#N)C2CC2)c1C ZINC000602924537 359297585 /nfs/dbraw/zinc/29/75/85/359297585.db2.gz DUSDVCZQXJWOCX-QGZVFWFLSA-N 1 2 317.437 1.760 20 30 DDEDLO CCn1nc(C)c(C[N@@H+](C)CC(=O)N[C@](C)(C#N)C2CC2)c1C ZINC000602924537 359297588 /nfs/dbraw/zinc/29/75/88/359297588.db2.gz DUSDVCZQXJWOCX-QGZVFWFLSA-N 1 2 317.437 1.760 20 30 DDEDLO Cc1n[nH]cc1C[N@H+](C)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000602937634 359306609 /nfs/dbraw/zinc/30/66/09/359306609.db2.gz KBBDGSKJOMXKMA-UHFFFAOYSA-N 1 2 301.350 1.870 20 30 DDEDLO Cc1n[nH]cc1C[N@@H+](C)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000602937634 359306613 /nfs/dbraw/zinc/30/66/13/359306613.db2.gz KBBDGSKJOMXKMA-UHFFFAOYSA-N 1 2 301.350 1.870 20 30 DDEDLO COC(=O)[C@H](CC(C)C)NC(=O)C[N@H+](C)[C@@H]1CCC[C@H]1C#N ZINC000602976378 359335696 /nfs/dbraw/zinc/33/56/96/359335696.db2.gz OURIQSOEFAXKLB-MELADBBJSA-N 1 2 309.410 1.314 20 30 DDEDLO COC(=O)[C@H](CC(C)C)NC(=O)C[N@@H+](C)[C@@H]1CCC[C@H]1C#N ZINC000602976378 359335700 /nfs/dbraw/zinc/33/57/00/359335700.db2.gz OURIQSOEFAXKLB-MELADBBJSA-N 1 2 309.410 1.314 20 30 DDEDLO N#Cc1cccc2c1CN(S(=O)(=O)CCn1cc[nH+]c1)C2 ZINC000603368049 359596783 /nfs/dbraw/zinc/59/67/83/359596783.db2.gz DJVHJYGLSBEWMP-UHFFFAOYSA-N 1 2 302.359 1.100 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2ccc(C#N)c(O)c2)CC1 ZINC000188598446 200273281 /nfs/dbraw/zinc/27/32/81/200273281.db2.gz IGTPKOCWYOMSBG-UHFFFAOYSA-N 1 2 317.389 1.447 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2c[nH]nc2C1)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000329823752 223035851 /nfs/dbraw/zinc/03/58/51/223035851.db2.gz BFTNPGHRWNUUQM-CYBMUJFWSA-N 1 2 314.393 1.784 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+](C2CC2)C1)NC[C@@H]1CCc2[nH+]ccn2C1 ZINC000329928073 223047779 /nfs/dbraw/zinc/04/77/79/223047779.db2.gz XNUILQKEYSQRHR-KBPBESRZSA-N 1 2 317.437 1.433 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+](C2CC2)C1)NC[C@@H]1CCc2[nH+]ccn2C1 ZINC000329928073 223047781 /nfs/dbraw/zinc/04/77/81/223047781.db2.gz XNUILQKEYSQRHR-KBPBESRZSA-N 1 2 317.437 1.433 20 30 DDEDLO [O-]C(NC[C@@H]1CCc2[nH+]ccn2C1)=[NH+]C[C@@H]1CC[N@@H+](C2CC2)C1 ZINC000329928073 223047785 /nfs/dbraw/zinc/04/77/85/223047785.db2.gz XNUILQKEYSQRHR-KBPBESRZSA-N 1 2 317.437 1.433 20 30 DDEDLO [O-]C(NC[C@@H]1CCc2[nH+]ccn2C1)=[NH+]C[C@@H]1CC[N@H+](C2CC2)C1 ZINC000329928073 223047787 /nfs/dbraw/zinc/04/77/87/223047787.db2.gz XNUILQKEYSQRHR-KBPBESRZSA-N 1 2 317.437 1.433 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2C(=O)NCCn2cc[nH+]c2)cc1 ZINC000609095527 360259097 /nfs/dbraw/zinc/25/90/97/360259097.db2.gz YEOMNTQZLIHOIF-INIZCTEOSA-N 1 2 309.373 1.540 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1C[C@H]2OCCN(C)[C@H]2C1 ZINC000329966449 223054932 /nfs/dbraw/zinc/05/49/32/223054932.db2.gz RWBBITAZIYOPHU-UHOFOFEASA-N 1 2 314.389 1.550 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1C[C@H]2OCCN(C)[C@H]2C1 ZINC000329966449 223054935 /nfs/dbraw/zinc/05/49/35/223054935.db2.gz RWBBITAZIYOPHU-UHOFOFEASA-N 1 2 314.389 1.550 20 30 DDEDLO N#Cc1ccc(NCC[NH+]2CCN(C(=O)C3CCC3)CC2)cn1 ZINC000610541648 360464169 /nfs/dbraw/zinc/46/41/69/360464169.db2.gz DQNRNAVGRJQLQG-UHFFFAOYSA-N 1 2 313.405 1.309 20 30 DDEDLO C[C@@H](NC(=O)C[NH+]1CCN(CCC#N)CC1)c1ccc(F)cc1 ZINC000611174548 360647406 /nfs/dbraw/zinc/64/74/06/360647406.db2.gz LITNPXKUADLHBZ-CQSZACIVSA-N 1 2 318.396 1.534 20 30 DDEDLO CC[N@@H+]1CCN(C(=O)c2cc(C#N)c(SC)[nH]c2=O)C[C@H]1C ZINC000611100417 360623319 /nfs/dbraw/zinc/62/33/19/360623319.db2.gz BQQYBDZONVSYPT-SNVBAGLBSA-N 1 2 320.418 1.547 20 30 DDEDLO CC[N@H+]1CCN(C(=O)c2cc(C#N)c(SC)[nH]c2=O)C[C@H]1C ZINC000611100417 360623323 /nfs/dbraw/zinc/62/33/23/360623323.db2.gz BQQYBDZONVSYPT-SNVBAGLBSA-N 1 2 320.418 1.547 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC[C@H](OC(F)F)C2)cc1 ZINC000611578392 360766510 /nfs/dbraw/zinc/76/65/10/360766510.db2.gz CEDOBEOROGMVPH-STQMWFEESA-N 1 2 309.316 1.788 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC[C@H](OC(F)F)C2)cc1 ZINC000611578392 360766511 /nfs/dbraw/zinc/76/65/11/360766511.db2.gz CEDOBEOROGMVPH-STQMWFEESA-N 1 2 309.316 1.788 20 30 DDEDLO C[C@H]1OCC[C@H]1NC(=O)N[C@@H]1CCO[C@H](c2c[nH+]cn2C)C1 ZINC000330206327 223087873 /nfs/dbraw/zinc/08/78/73/223087873.db2.gz DEJQSVBCNQDQJK-BYNQJWBRSA-N 1 2 308.382 1.321 20 30 DDEDLO COc1cc(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)cc(C)n1 ZINC000330381193 223108680 /nfs/dbraw/zinc/10/86/80/223108680.db2.gz NDXAYJQVIZVCSF-CHWSQXEVSA-N 1 2 307.394 1.812 20 30 DDEDLO COC[C@@H]1C[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)CC(C)(C)O1 ZINC000330555858 223126980 /nfs/dbraw/zinc/12/69/80/223126980.db2.gz ZIDFPLUKLVHQOC-XJKSGUPXSA-N 1 2 311.426 1.167 20 30 DDEDLO COC[C@@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)CC(C)(C)O1 ZINC000330555858 223126982 /nfs/dbraw/zinc/12/69/82/223126982.db2.gz ZIDFPLUKLVHQOC-XJKSGUPXSA-N 1 2 311.426 1.167 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)[C@]1(C#N)CC12CCCC2 ZINC000333266275 223219596 /nfs/dbraw/zinc/21/95/96/223219596.db2.gz BTOQGVNWCKWAPZ-RHSMWYFYSA-N 1 2 305.422 1.640 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@H]1CC[N@@H+](C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000612995004 361232134 /nfs/dbraw/zinc/23/21/34/361232134.db2.gz KLNUEASUNIBVOM-IHRRRGAJSA-N 1 2 312.772 1.887 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@H]1CC[N@H+](C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000612995004 361232135 /nfs/dbraw/zinc/23/21/35/361232135.db2.gz KLNUEASUNIBVOM-IHRRRGAJSA-N 1 2 312.772 1.887 20 30 DDEDLO N#CCc1ccc(C(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)cc1 ZINC000340149166 223277724 /nfs/dbraw/zinc/27/77/24/223277724.db2.gz NWXYSWNZRPVHMN-UHFFFAOYSA-N 1 2 309.373 1.434 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(NC(=O)c2ccoc2C)CC1 ZINC000619717267 364127697 /nfs/dbraw/zinc/12/76/97/364127697.db2.gz QTUXPUFMZTXXNS-CQSZACIVSA-N 1 2 306.362 1.510 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@@H+]1CCOC[C@@H]1CC(=O)c1ccco1 ZINC000621284597 364773610 /nfs/dbraw/zinc/77/36/10/364773610.db2.gz XSFZOHDNIXULLI-KBPBESRZSA-N 1 2 320.389 1.854 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@H+]1CCOC[C@@H]1CC(=O)c1ccco1 ZINC000621284597 364773616 /nfs/dbraw/zinc/77/36/16/364773616.db2.gz XSFZOHDNIXULLI-KBPBESRZSA-N 1 2 320.389 1.854 20 30 DDEDLO Cc1nc(N2CCN(c3ncc4c(c3C#N)CCC4)CC2)cc[nH+]1 ZINC000566136117 304120615 /nfs/dbraw/zinc/12/06/15/304120615.db2.gz OBARMEYPYJTLEQ-UHFFFAOYSA-N 1 2 320.400 1.867 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCc2cc(F)ccc2C1 ZINC000091892268 193122857 /nfs/dbraw/zinc/12/28/57/193122857.db2.gz DSYTXQMILCEAHY-LLVKDONJSA-N 1 2 305.353 1.584 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCc2cc(F)ccc2C1 ZINC000091892268 193122858 /nfs/dbraw/zinc/12/28/58/193122858.db2.gz DSYTXQMILCEAHY-LLVKDONJSA-N 1 2 305.353 1.584 20 30 DDEDLO COc1cc(C[NH+]2CCN(C(=O)N(C)C)CC2)ccc1C#N ZINC000401982654 307297358 /nfs/dbraw/zinc/29/73/58/307297358.db2.gz WDCCFTHPWWFPNE-UHFFFAOYSA-N 1 2 302.378 1.366 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccc(F)c(C#N)c1 ZINC000284608661 218097527 /nfs/dbraw/zinc/09/75/27/218097527.db2.gz IDZTYISXBBMJFP-LBPRGKRZSA-N 1 2 305.353 1.490 20 30 DDEDLO N#Cc1ccnc(C(=O)N2CC[NH+](Cc3ccccc3)CC2)c1 ZINC000339229929 250353794 /nfs/dbraw/zinc/35/37/94/250353794.db2.gz KIIVSVXBITYWSP-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO CCN(Cc1c[nH+]c2ccc(C#N)cn12)[C@H](C)CS(C)(=O)=O ZINC000093390523 285125755 /nfs/dbraw/zinc/12/57/55/285125755.db2.gz NKFFOWQVCMXZKW-GFCCVEGCSA-N 1 2 320.418 1.461 20 30 DDEDLO CC[N@H+](Cc1cnc2ccc(C#N)cn12)[C@H](C)CS(C)(=O)=O ZINC000093390523 285125756 /nfs/dbraw/zinc/12/57/56/285125756.db2.gz NKFFOWQVCMXZKW-GFCCVEGCSA-N 1 2 320.418 1.461 20 30 DDEDLO CC[N@@H+](Cc1cnc2ccc(C#N)cn12)[C@H](C)CS(C)(=O)=O ZINC000093390523 285125757 /nfs/dbraw/zinc/12/57/57/285125757.db2.gz NKFFOWQVCMXZKW-GFCCVEGCSA-N 1 2 320.418 1.461 20 30 DDEDLO N#Cc1cc(C[N@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)ccc1F ZINC000120484439 195174574 /nfs/dbraw/zinc/17/45/74/195174574.db2.gz ONCUSSUFLRTFHC-AWEZNQCLSA-N 1 2 308.378 1.849 20 30 DDEDLO N#Cc1cc(C[N@@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)ccc1F ZINC000120484439 195174578 /nfs/dbraw/zinc/17/45/78/195174578.db2.gz ONCUSSUFLRTFHC-AWEZNQCLSA-N 1 2 308.378 1.849 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@H]1CCSC1 ZINC000289905394 221306375 /nfs/dbraw/zinc/30/63/75/221306375.db2.gz NBLIXQURLWQUNF-LBPRGKRZSA-N 1 2 311.432 1.164 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@H]1CCSC1 ZINC000289905394 221306377 /nfs/dbraw/zinc/30/63/77/221306377.db2.gz NBLIXQURLWQUNF-LBPRGKRZSA-N 1 2 311.432 1.164 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[NH+]1CCC(O)(C(F)F)CC1 ZINC000346525057 533240004 /nfs/dbraw/zinc/24/00/04/533240004.db2.gz GXLHQSJIQBUIPX-JTQLQIEISA-N 1 2 301.337 1.029 20 30 DDEDLO CS(=O)(=O)[C@@H]1CC[N@H+](Cc2cccc(OCCCC#N)c2)C1 ZINC000337422402 533431970 /nfs/dbraw/zinc/43/19/70/533431970.db2.gz XBQCRMXDRMCTST-MRXNPFEDSA-N 1 2 322.430 1.988 20 30 DDEDLO CS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cccc(OCCCC#N)c2)C1 ZINC000337422402 533431978 /nfs/dbraw/zinc/43/19/78/533431978.db2.gz XBQCRMXDRMCTST-MRXNPFEDSA-N 1 2 322.430 1.988 20 30 DDEDLO N#Cc1ccc(OCC[N@H+]2Cc3ccccc3C[C@H]2C(N)=O)cc1 ZINC000015028223 406878577 /nfs/dbraw/zinc/87/85/77/406878577.db2.gz PPBQLNZNQGTEEK-SFHVURJKSA-N 1 2 321.380 1.849 20 30 DDEDLO N#Cc1ccc(OCC[N@@H+]2Cc3ccccc3C[C@H]2C(N)=O)cc1 ZINC000015028223 406878578 /nfs/dbraw/zinc/87/85/78/406878578.db2.gz PPBQLNZNQGTEEK-SFHVURJKSA-N 1 2 321.380 1.849 20 30 DDEDLO C=CC[NH+]1CCN(Cc2nc(=O)c3c(C)c(C)sc3[nH]2)CC1 ZINC000022771382 406890416 /nfs/dbraw/zinc/89/04/16/406890416.db2.gz JIMNTYQKWPJATH-UHFFFAOYSA-N 1 2 318.446 1.905 20 30 DDEDLO C#CCNC(=O)c1ccc(S(=O)(=O)Nc2cc[nH+]cc2)cc1 ZINC000028836470 406919951 /nfs/dbraw/zinc/91/99/51/406919951.db2.gz HEZBPABMOXEHTJ-UHFFFAOYSA-N 1 2 315.354 1.245 20 30 DDEDLO COc1cc(C[NH+]2CCN(CCC#N)CC2)cc(OC)c1OC ZINC000078527200 407032615 /nfs/dbraw/zinc/03/26/15/407032615.db2.gz KVYFTFDEWOJBFM-UHFFFAOYSA-N 1 2 319.405 1.744 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Cc1ccccc1O ZINC000081714254 407079438 /nfs/dbraw/zinc/07/94/38/407079438.db2.gz KFOFLJPSRZNTOT-UHFFFAOYSA-N 1 2 317.389 1.009 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)C[C@H]1COc2ccccc2O1 ZINC000051505825 407139537 /nfs/dbraw/zinc/13/95/37/407139537.db2.gz VFUUQXLDBWJOCL-AWEZNQCLSA-N 1 2 317.389 1.520 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)C[C@H]1COc2ccccc2O1 ZINC000051505825 407139541 /nfs/dbraw/zinc/13/95/41/407139541.db2.gz VFUUQXLDBWJOCL-AWEZNQCLSA-N 1 2 317.389 1.520 20 30 DDEDLO Cc1cc(NC(=O)CO[NH+]=C(N)Cc2csc(C)n2)no1 ZINC000054391038 407184126 /nfs/dbraw/zinc/18/41/26/407184126.db2.gz YZGGEOWAOITSGS-UHFFFAOYSA-N 1 2 309.351 1.218 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2noc(C3CC3)n2)cc1OC ZINC000067098129 407267678 /nfs/dbraw/zinc/26/76/78/407267678.db2.gz FXWWXJXJCGEQNU-UHFFFAOYSA-N 1 2 318.333 1.801 20 30 DDEDLO CN(C(=O)C[NH+]1CCN(c2ccc(C#N)cc2F)CC1)C1CC1 ZINC000101558173 407311696 /nfs/dbraw/zinc/31/16/96/407311696.db2.gz WYCFMSDBTAIWFB-UHFFFAOYSA-N 1 2 316.380 1.440 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccccc3)CC2)nc1 ZINC000107422946 407375060 /nfs/dbraw/zinc/37/50/60/407375060.db2.gz OJSDZDGKJAVUHS-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNC(=O)C1(C#N)CCOCC1 ZINC000109138633 407394959 /nfs/dbraw/zinc/39/49/59/407394959.db2.gz LKMLFBBZZHSMIB-UHFFFAOYSA-N 1 2 312.373 1.781 20 30 DDEDLO C[NH+]1CCN(CC(=O)c2cn(CCC#N)c3ccccc23)CC1 ZINC000151660589 407471516 /nfs/dbraw/zinc/47/15/16/407471516.db2.gz FBNZAMNUYQGOQH-UHFFFAOYSA-N 1 2 310.401 1.985 20 30 DDEDLO C=CCNC(=O)C[NH+]1C[C@@H](C)N(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000112998489 407458310 /nfs/dbraw/zinc/45/83/10/407458310.db2.gz FFCIWPHNYUTGLD-CHWSQXEVSA-N 1 2 311.426 1.618 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000185822979 407511974 /nfs/dbraw/zinc/51/19/74/407511974.db2.gz ZPURJRWXQVILTJ-HZPDHXFCSA-N 1 2 321.465 1.744 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CC[C@H](C)[C@@H](O)C2)c(C#N)c1C ZINC000221455229 407547729 /nfs/dbraw/zinc/54/77/29/407547729.db2.gz QMUYABOIIYSBLH-ZANVPECISA-N 1 2 307.419 1.878 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CC[C@H](C)[C@@H](O)C2)c(C#N)c1C ZINC000221455229 407547736 /nfs/dbraw/zinc/54/77/36/407547736.db2.gz QMUYABOIIYSBLH-ZANVPECISA-N 1 2 307.419 1.878 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccc(C#N)o2)CCN1c1nccn2cnnc12 ZINC000271248977 407597985 /nfs/dbraw/zinc/59/79/85/407597985.db2.gz HYJQYZITYRZFGR-GFCCVEGCSA-N 1 2 323.360 1.300 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccc(C#N)o2)CCN1c1nccn2cnnc12 ZINC000271248977 407597993 /nfs/dbraw/zinc/59/79/93/407597993.db2.gz HYJQYZITYRZFGR-GFCCVEGCSA-N 1 2 323.360 1.300 20 30 DDEDLO CC[C@@H](CO)N1CC(=O)C(=C2N(C)c3ccccc3N2C)C1=[NH2+] ZINC000186932930 407799008 /nfs/dbraw/zinc/79/90/08/407799008.db2.gz VWWSBNPBENMWNH-NSHDSACASA-N 1 2 314.389 1.417 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)NCC[NH+]1CCOCC1 ZINC000272201711 407815560 /nfs/dbraw/zinc/81/55/60/407815560.db2.gz MNUPXERPEODENP-UHFFFAOYSA-N 1 2 307.394 1.352 20 30 DDEDLO COC[C@H](C)NC(=O)NC(=O)C[N@H+](CCC#N)CCC(C)C ZINC000173543820 407887739 /nfs/dbraw/zinc/88/77/39/407887739.db2.gz LWPRXQWKMCKPQZ-ZDUSSCGKSA-N 1 2 312.414 1.109 20 30 DDEDLO COC[C@H](C)NC(=O)NC(=O)C[N@@H+](CCC#N)CCC(C)C ZINC000173543820 407887744 /nfs/dbraw/zinc/88/77/44/407887744.db2.gz LWPRXQWKMCKPQZ-ZDUSSCGKSA-N 1 2 312.414 1.109 20 30 DDEDLO CCC[N@H+](CC(=O)NC)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000118832552 407949701 /nfs/dbraw/zinc/94/97/01/407949701.db2.gz LVZXMGNZCCCQPB-UHFFFAOYSA-N 1 2 322.796 1.608 20 30 DDEDLO CCC[N@@H+](CC(=O)NC)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000118832552 407949707 /nfs/dbraw/zinc/94/97/07/407949707.db2.gz LVZXMGNZCCCQPB-UHFFFAOYSA-N 1 2 322.796 1.608 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC(c3[nH]cc[nH+]3)CC2)cn1 ZINC000268223219 407954639 /nfs/dbraw/zinc/95/46/39/407954639.db2.gz JTHCRMTXKZCKOM-UHFFFAOYSA-N 1 2 317.374 1.245 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCC(=O)NCC(F)(F)F)c1 ZINC000174215975 407921073 /nfs/dbraw/zinc/92/10/73/407921073.db2.gz XUQFUUSFHRGVFF-UHFFFAOYSA-N 1 2 305.256 1.011 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(c2ncc(C#N)cc2Cl)[C@H](C)C1 ZINC000180902882 407930380 /nfs/dbraw/zinc/93/03/80/407930380.db2.gz PWQVMFQZNKXYIJ-LLVKDONJSA-N 1 2 322.796 1.680 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(c2ncc(C#N)cc2Cl)[C@H](C)C1 ZINC000180902882 407930382 /nfs/dbraw/zinc/93/03/82/407930382.db2.gz PWQVMFQZNKXYIJ-LLVKDONJSA-N 1 2 322.796 1.680 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)NCc2cccc(C#N)c2)c(C)[nH+]1 ZINC000154497189 408044647 /nfs/dbraw/zinc/04/46/47/408044647.db2.gz MZLADOQVKXCJGJ-UHFFFAOYSA-N 1 2 308.341 1.825 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)[nH]1 ZINC000268459709 408052899 /nfs/dbraw/zinc/05/28/99/408052899.db2.gz KMJIOBNHQNQYPC-LRDDRELGSA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)[nH]1 ZINC000268459709 408052907 /nfs/dbraw/zinc/05/29/07/408052907.db2.gz KMJIOBNHQNQYPC-LRDDRELGSA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)n1 ZINC000268459709 408052911 /nfs/dbraw/zinc/05/29/11/408052911.db2.gz KMJIOBNHQNQYPC-LRDDRELGSA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)n1 ZINC000268459709 408052917 /nfs/dbraw/zinc/05/29/17/408052917.db2.gz KMJIOBNHQNQYPC-LRDDRELGSA-N 1 2 316.409 1.101 20 30 DDEDLO CC#CCNC(=O)NC[C@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000135985364 408065687 /nfs/dbraw/zinc/06/56/87/408065687.db2.gz TWADGCSSPLQGCK-QGZVFWFLSA-N 1 2 315.417 1.691 20 30 DDEDLO COCCO[C@@H]1CC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000189664679 408074241 /nfs/dbraw/zinc/07/42/41/408074241.db2.gz NPZXBFRRKVCNOI-GFCCVEGCSA-N 1 2 309.391 1.296 20 30 DDEDLO COCCO[C@@H]1CC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000189664679 408074250 /nfs/dbraw/zinc/07/42/50/408074250.db2.gz NPZXBFRRKVCNOI-GFCCVEGCSA-N 1 2 309.391 1.296 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(F)cc2OCC)CC1 ZINC000154780797 408085771 /nfs/dbraw/zinc/08/57/71/408085771.db2.gz SGCQFVOVJOTJKM-UHFFFAOYSA-N 1 2 319.380 1.414 20 30 DDEDLO CC(C)OCCS(=O)(=O)N1CC[NH+](CCCCC#N)CC1 ZINC000175365193 408115317 /nfs/dbraw/zinc/11/53/17/408115317.db2.gz BJVPJXWMOXHXJM-UHFFFAOYSA-N 1 2 317.455 1.053 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+](CCO)Cc1cccnc1 ZINC000268621505 408123740 /nfs/dbraw/zinc/12/37/40/408123740.db2.gz AHGLHIIQSBRDMB-AWEZNQCLSA-N 1 2 324.384 1.775 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+](CCO)Cc1cccnc1 ZINC000268621505 408123743 /nfs/dbraw/zinc/12/37/43/408123743.db2.gz AHGLHIIQSBRDMB-AWEZNQCLSA-N 1 2 324.384 1.775 20 30 DDEDLO N#Cc1ccc(NCC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000154572762 408054243 /nfs/dbraw/zinc/05/42/43/408054243.db2.gz LPTMYLPJUPJXRP-INIZCTEOSA-N 1 2 321.384 1.760 20 30 DDEDLO C=CCOc1ccc(C[NH2+]Cc2cc(C(N)=O)no2)cc1OC ZINC000273423469 408193068 /nfs/dbraw/zinc/19/30/68/408193068.db2.gz RESABBGJNIDAHC-UHFFFAOYSA-N 1 2 317.345 1.637 20 30 DDEDLO COc1cccc(-c2nc(CO[NH+]=C(N)CCO)cs2)c1 ZINC000121359834 408193461 /nfs/dbraw/zinc/19/34/61/408193461.db2.gz UYIFOWJAUAEWPB-UHFFFAOYSA-N 1 2 307.375 1.990 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2cccc(O)c2)CC1 ZINC000120810018 408146425 /nfs/dbraw/zinc/14/64/25/408146425.db2.gz ICPTUHCZACBMQV-UHFFFAOYSA-N 1 2 315.417 1.715 20 30 DDEDLO C[C@@H](NC(=O)[C@H](C)O[NH+]=C(N)CCO)c1cc2ccccc2o1 ZINC000121338829 408187366 /nfs/dbraw/zinc/18/73/66/408187366.db2.gz OWCWMGMKTXKSBY-MNOVXSKESA-N 1 2 319.361 1.670 20 30 DDEDLO C/C=C(\C=C\C(=O)N(CCC#N)CC[NH+]1CCOCC1)CC ZINC000268820301 408208748 /nfs/dbraw/zinc/20/87/48/408208748.db2.gz RSMPSMKOVQWUHS-JTQUENAKSA-N 1 2 305.422 1.973 20 30 DDEDLO N#CCC[N@H+](CCN1CCOCC1)C[C@H](O)Cc1ccccc1 ZINC000176264789 408326562 /nfs/dbraw/zinc/32/65/62/408326562.db2.gz AVEKTUVVXCXYAG-GOSISDBHSA-N 1 2 317.433 1.138 20 30 DDEDLO N#CCC[N@@H+](CCN1CCOCC1)C[C@H](O)Cc1ccccc1 ZINC000176264789 408326565 /nfs/dbraw/zinc/32/65/65/408326565.db2.gz AVEKTUVVXCXYAG-GOSISDBHSA-N 1 2 317.433 1.138 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@H](O)Cc1ccccc1 ZINC000176264789 408326569 /nfs/dbraw/zinc/32/65/69/408326569.db2.gz AVEKTUVVXCXYAG-GOSISDBHSA-N 1 2 317.433 1.138 20 30 DDEDLO CC(C)N1CC[C@H](O[NH+]=C(N)c2ccc3c(c2)CCO3)C1=O ZINC000158183530 408330110 /nfs/dbraw/zinc/33/01/10/408330110.db2.gz MKRBGBAUBCYZQC-AWEZNQCLSA-N 1 2 303.362 1.268 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCS[C@@H]3COCC[C@H]32)c1 ZINC000246873137 408358674 /nfs/dbraw/zinc/35/86/74/408358674.db2.gz LNXDMYZWTSPDBF-HUUCEWRRSA-N 1 2 317.414 1.703 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCS[C@@H]3COCC[C@H]32)c1 ZINC000246873137 408358676 /nfs/dbraw/zinc/35/86/76/408358676.db2.gz LNXDMYZWTSPDBF-HUUCEWRRSA-N 1 2 317.414 1.703 20 30 DDEDLO Cn1cc(N2CC[NH+](CC(=O)Nc3ccc(C#N)cc3)CC2)cn1 ZINC000174910751 162439492 /nfs/dbraw/zinc/43/94/92/162439492.db2.gz BCLALBVNILTLQC-UHFFFAOYSA-N 1 2 324.388 1.052 20 30 DDEDLO Cc1ncc(CO)c(/C=N/[C@@H]2CCN(c3cccc[nH+]3)C2)c1O ZINC000270009730 408479789 /nfs/dbraw/zinc/47/97/89/408479789.db2.gz ZYAYDNDHJYMYDT-UMXKZIAQSA-N 1 2 312.373 1.681 20 30 DDEDLO C=CCN(CC(=O)OCC)Cc1ccc(-n2cc[nH+]c2)nc1 ZINC000177058361 408509070 /nfs/dbraw/zinc/50/90/70/408509070.db2.gz BNTFTCRONBLITH-UHFFFAOYSA-N 1 2 300.362 1.818 20 30 DDEDLO COC(C)(C)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000264394219 408471755 /nfs/dbraw/zinc/47/17/55/408471755.db2.gz ANVJTDUGKIPVNM-UHFFFAOYSA-N 1 2 301.390 1.627 20 30 DDEDLO CN(C)c1ccc(CNC(=O)Cc2cccc(OCC#N)c2)c[nH+]1 ZINC000270485771 408548543 /nfs/dbraw/zinc/54/85/43/408548543.db2.gz ZTNGEMXCWOJOJV-UHFFFAOYSA-N 1 2 324.384 1.909 20 30 DDEDLO Cc1oc(NC(=O)CN(C)Cc2[nH+]ccn2C)c(C#N)c1C ZINC000270527672 408564851 /nfs/dbraw/zinc/56/48/51/408564851.db2.gz CRZDXFNLRSIXJY-UHFFFAOYSA-N 1 2 301.350 1.572 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@H]1C ZINC000291505277 408876611 /nfs/dbraw/zinc/87/66/11/408876611.db2.gz SMPFOTHEUOOETP-RHSMWYFYSA-N 1 2 313.401 1.802 20 30 DDEDLO N#Cc1ccc(OC2CC[NH+]([C@H]3CCOC3=O)CC2)c(F)c1 ZINC000285623092 408830977 /nfs/dbraw/zinc/83/09/77/408830977.db2.gz YDYMMERPQNJUDK-AWEZNQCLSA-N 1 2 304.321 1.856 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(C)c2ccccc2)C1=O ZINC000281434856 408886715 /nfs/dbraw/zinc/88/67/15/408886715.db2.gz SRUKBWJLFUUPLO-OAHLLOKOSA-N 1 2 301.390 1.368 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(C)c2ccccc2)C1=O ZINC000281434856 408886716 /nfs/dbraw/zinc/88/67/16/408886716.db2.gz SRUKBWJLFUUPLO-OAHLLOKOSA-N 1 2 301.390 1.368 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)C(=O)Nc1ccc(C)[nH+]c1C ZINC000281743705 408922708 /nfs/dbraw/zinc/92/27/08/408922708.db2.gz KLEPYCGVVZMBTM-ZFWWWQNUSA-N 1 2 317.389 1.734 20 30 DDEDLO N#CCCCC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000291769188 408919891 /nfs/dbraw/zinc/91/98/91/408919891.db2.gz VOSJXZIRZNBYHV-QGZVFWFLSA-N 1 2 315.417 1.740 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2ccccc2C#N)CC(=O)N1C ZINC000282208100 409011009 /nfs/dbraw/zinc/01/10/09/409011009.db2.gz OGCGMAMZQBSQMG-GFCCVEGCSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2ccccc2C#N)CC(=O)N1C ZINC000282208100 409011011 /nfs/dbraw/zinc/01/10/11/409011011.db2.gz OGCGMAMZQBSQMG-GFCCVEGCSA-N 1 2 300.362 1.049 20 30 DDEDLO C=CCn1c([C@@H](C)CC)nnc1N(C)CC[NH+]1CCOCC1 ZINC000282635420 409059913 /nfs/dbraw/zinc/05/99/13/409059913.db2.gz PGKMULCLWGTHGK-AWEZNQCLSA-N 1 2 307.442 1.746 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccnc3)n2CC(C)C)CC1 ZINC000283004682 409072640 /nfs/dbraw/zinc/07/26/40/409072640.db2.gz KYFDOUFNDPWAED-UHFFFAOYSA-N 1 2 324.432 1.751 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)OC ZINC000293250778 409075981 /nfs/dbraw/zinc/07/59/81/409075981.db2.gz TYPIMRYEJUHOCU-MRXNPFEDSA-N 1 2 314.385 1.068 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)OC ZINC000293250778 409075983 /nfs/dbraw/zinc/07/59/83/409075983.db2.gz TYPIMRYEJUHOCU-MRXNPFEDSA-N 1 2 314.385 1.068 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCc2nnc3n2CCCCC3)cs1 ZINC000278719906 409086754 /nfs/dbraw/zinc/08/67/54/409086754.db2.gz SUGPTFOUJQGVCF-UHFFFAOYSA-N 1 2 320.422 1.801 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](Oc2ncccc2F)C1 ZINC000278959170 409120544 /nfs/dbraw/zinc/12/05/44/409120544.db2.gz ZWLJHPKJQGPCHN-CHWSQXEVSA-N 1 2 307.369 1.755 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](Oc2ncccc2F)C1 ZINC000278959170 409120545 /nfs/dbraw/zinc/12/05/45/409120545.db2.gz ZWLJHPKJQGPCHN-CHWSQXEVSA-N 1 2 307.369 1.755 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H](N3CCCS3(=O)=O)C2)o1 ZINC000279335675 409194394 /nfs/dbraw/zinc/19/43/94/409194394.db2.gz DMMNZWDWCJGJFE-LBPRGKRZSA-N 1 2 309.391 1.151 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H](N3CCCS3(=O)=O)C2)o1 ZINC000279335675 409194398 /nfs/dbraw/zinc/19/43/98/409194398.db2.gz DMMNZWDWCJGJFE-LBPRGKRZSA-N 1 2 309.391 1.151 20 30 DDEDLO N#CCCOCC[NH+]1CCN(C(=O)c2cccc(O)c2)CC1 ZINC000293770093 409176984 /nfs/dbraw/zinc/17/69/84/409176984.db2.gz KWMMCBRPCVLZBZ-UHFFFAOYSA-N 1 2 303.362 1.080 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000289363364 409255039 /nfs/dbraw/zinc/25/50/39/409255039.db2.gz JCHWVCBNVBYMSO-UHFFFAOYSA-N 1 2 319.408 1.950 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCCC[C@H]2c2nc(=O)[nH][nH]2)ccc1F ZINC000289372696 409256292 /nfs/dbraw/zinc/25/62/92/409256292.db2.gz PHXXMPYKMFASFX-ZDUSSCGKSA-N 1 2 301.325 1.836 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCCC[C@H]2c2nc(=O)[nH][nH]2)ccc1F ZINC000289372696 409256294 /nfs/dbraw/zinc/25/62/94/409256294.db2.gz PHXXMPYKMFASFX-ZDUSSCGKSA-N 1 2 301.325 1.836 20 30 DDEDLO CCN(C(=O)[C@H](C)O[NH+]=C(N)[C@@H]1CCCO1)c1ccccc1 ZINC000284013552 409257142 /nfs/dbraw/zinc/25/71/42/409257142.db2.gz HTZAVCSUUFQLEB-JSGCOSHPSA-N 1 2 305.378 1.896 20 30 DDEDLO CO/N=C/C(=O)N1CCC[C@@H]1Cn1c(C)[nH+]c2ccccc21 ZINC000289545604 409282117 /nfs/dbraw/zinc/28/21/17/409282117.db2.gz XDDYBHXIXRWYHN-VGSSETLESA-N 1 2 300.362 1.968 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000295181523 409360426 /nfs/dbraw/zinc/36/04/26/409360426.db2.gz PSVWVKRHAVNSLK-CYBMUJFWSA-N 1 2 322.434 1.520 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)C[C@@H]1CCCCO1 ZINC000290380628 409380415 /nfs/dbraw/zinc/38/04/15/409380415.db2.gz RTUMRPOKKMJWCQ-AWEZNQCLSA-N 1 2 323.418 1.228 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)C[C@@H]1CCCCO1 ZINC000290380628 409380422 /nfs/dbraw/zinc/38/04/22/409380422.db2.gz RTUMRPOKKMJWCQ-AWEZNQCLSA-N 1 2 323.418 1.228 20 30 DDEDLO COC[C@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000290261178 409340289 /nfs/dbraw/zinc/34/02/89/409340289.db2.gz KPINKYNRCHQIMQ-AWEZNQCLSA-N 1 2 323.418 1.085 20 30 DDEDLO COC[C@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000290261178 409340291 /nfs/dbraw/zinc/34/02/91/409340291.db2.gz KPINKYNRCHQIMQ-AWEZNQCLSA-N 1 2 323.418 1.085 20 30 DDEDLO O[C@@H]1COCC[N@H+](CC#Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000295545706 409340829 /nfs/dbraw/zinc/34/08/29/409340829.db2.gz JWPUCMJXADCOOA-ZDUSSCGKSA-N 1 2 315.291 1.630 20 30 DDEDLO O[C@@H]1COCC[N@@H+](CC#Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000295545706 409340833 /nfs/dbraw/zinc/34/08/33/409340833.db2.gz JWPUCMJXADCOOA-ZDUSSCGKSA-N 1 2 315.291 1.630 20 30 DDEDLO NC(=[NH+]OCC(=O)Nc1ccc2c(c1)CCC2)[C@@H]1CCCO1 ZINC000284584881 409353305 /nfs/dbraw/zinc/35/33/05/409353305.db2.gz XNQQHSRKFUTMDF-AWEZNQCLSA-N 1 2 303.362 1.582 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000285303759 409409675 /nfs/dbraw/zinc/40/96/75/409409675.db2.gz DKMJZAZMFWGIIL-INIZCTEOSA-N 1 2 302.378 1.474 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@H+](Cc1cc(C#N)ccc1F)C2 ZINC000280705133 409416885 /nfs/dbraw/zinc/41/68/85/409416885.db2.gz AWPNCKWCTXIORN-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@@H+](Cc1cc(C#N)ccc1F)C2 ZINC000280705133 409416892 /nfs/dbraw/zinc/41/68/92/409416892.db2.gz AWPNCKWCTXIORN-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CC(C)[C@H]([NH2+]CC(=O)Nc1cccc(C#N)c1)c1nncn1C ZINC000285390956 409422567 /nfs/dbraw/zinc/42/25/67/409422567.db2.gz OAWUOCVTFVEBJE-HNNXBMFYSA-N 1 2 312.377 1.612 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCOc2cc(O)ccc2C1 ZINC000285489091 409464435 /nfs/dbraw/zinc/46/44/35/409464435.db2.gz LZQGDFJXWVXAJX-LBPRGKRZSA-N 1 2 303.362 1.347 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCOc2cc(O)ccc2C1 ZINC000285489091 409464438 /nfs/dbraw/zinc/46/44/38/409464438.db2.gz LZQGDFJXWVXAJX-LBPRGKRZSA-N 1 2 303.362 1.347 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)c1)Cc1ccccc1S(N)(=O)=O ZINC000353882034 409534609 /nfs/dbraw/zinc/53/46/09/409534609.db2.gz OUBBXRXAJBHWFG-UHFFFAOYSA-N 1 2 315.398 1.838 20 30 DDEDLO CC(C)[NH+]1CCC(C(=O)N=c2[nH][nH]c(C3CC3)c2C#N)CC1 ZINC000331534046 409608863 /nfs/dbraw/zinc/60/88/63/409608863.db2.gz PPPGTAPJLTXBBZ-UHFFFAOYSA-N 1 2 301.394 2.218 20 30 DDEDLO C[NH+](C)[C@H](CNS(=O)(=O)CC1(C#N)CCC1)c1ccco1 ZINC000354104135 409657585 /nfs/dbraw/zinc/65/75/85/409657585.db2.gz LSDFKAMCLBBXPF-GFCCVEGCSA-N 1 2 311.407 1.496 20 30 DDEDLO CC#CCN(C)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000297089606 409799582 /nfs/dbraw/zinc/79/95/82/409799582.db2.gz MQFGFTOFVVJGLO-QGZVFWFLSA-N 1 2 315.417 1.725 20 30 DDEDLO C#CC[N@H+](Cc1ccc(F)cc1)[C@@H](C)C(=O)NC(=O)NCC=C ZINC000297708683 409969662 /nfs/dbraw/zinc/96/96/62/409969662.db2.gz ASZOPMAVTMAALZ-ZDUSSCGKSA-N 1 2 317.364 1.661 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(F)cc1)[C@@H](C)C(=O)NC(=O)NCC=C ZINC000297708683 409969664 /nfs/dbraw/zinc/96/96/64/409969664.db2.gz ASZOPMAVTMAALZ-ZDUSSCGKSA-N 1 2 317.364 1.661 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@H+](CCO)Cc1cccnc1 ZINC000357314442 409969739 /nfs/dbraw/zinc/96/97/39/409969739.db2.gz XYMCFHJBSHWVIM-YOEHRIQHSA-N 1 2 318.421 1.319 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@@H+](CCO)Cc1cccnc1 ZINC000357314442 409969744 /nfs/dbraw/zinc/96/97/44/409969744.db2.gz XYMCFHJBSHWVIM-YOEHRIQHSA-N 1 2 318.421 1.319 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)C3=CCOCC3)CC2)cc1 ZINC000332223046 409991559 /nfs/dbraw/zinc/99/15/59/409991559.db2.gz YVZNWAKFYIMIJG-UHFFFAOYSA-N 1 2 311.385 1.549 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC([O-])=[NH+][C@H](C)Cn1cncn1)CCC2 ZINC000328655075 409945208 /nfs/dbraw/zinc/94/52/08/409945208.db2.gz MKJIFNNQXYKWLT-OLZOCXBDSA-N 1 2 317.397 1.253 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](C[NH+]=C([O-])N[C@H](C)Cn1cncn1)CCC2 ZINC000328655075 409945212 /nfs/dbraw/zinc/94/52/12/409945212.db2.gz MKJIFNNQXYKWLT-OLZOCXBDSA-N 1 2 317.397 1.253 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1)NCCC1=CCCCC1 ZINC000328925980 410012259 /nfs/dbraw/zinc/01/22/59/410012259.db2.gz WDSSUCPZJJVPAZ-ZDUSSCGKSA-N 1 2 320.393 1.910 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)N1CCOC[C@@H]1[C@H]1CCCO1 ZINC000328981742 410035211 /nfs/dbraw/zinc/03/52/11/410035211.db2.gz DTULVVOSHYFDQD-MGPQQGTHSA-N 1 2 308.382 1.065 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC(=O)[C@@H](C)C2)CC1 ZINC000328994519 410043949 /nfs/dbraw/zinc/04/39/49/410043949.db2.gz UCAMTPBWZFVYBK-UONOGXRCSA-N 1 2 323.437 1.502 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC(=O)[C@@H](C)C2)CC1 ZINC000328994519 410043956 /nfs/dbraw/zinc/04/39/56/410043956.db2.gz UCAMTPBWZFVYBK-UONOGXRCSA-N 1 2 323.437 1.502 20 30 DDEDLO Cc1ncsc1CNC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000328865489 409995650 /nfs/dbraw/zinc/99/56/50/409995650.db2.gz GJTLGXIAMYPZRQ-NEPJUHHUSA-N 1 2 310.423 1.270 20 30 DDEDLO Cc1ncsc1CNC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000328865489 409995654 /nfs/dbraw/zinc/99/56/54/409995654.db2.gz GJTLGXIAMYPZRQ-NEPJUHHUSA-N 1 2 310.423 1.270 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@@H+]1CCN(C2CC2)C(=O)C1 ZINC000328859589 409996374 /nfs/dbraw/zinc/99/63/74/409996374.db2.gz YNXJLASYUOGYGK-UHFFFAOYSA-N 1 2 318.402 1.904 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@H+]1CCN(C2CC2)C(=O)C1 ZINC000328859589 409996381 /nfs/dbraw/zinc/99/63/81/409996381.db2.gz YNXJLASYUOGYGK-UHFFFAOYSA-N 1 2 318.402 1.904 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1CCCCNC1=O ZINC000329030040 410061271 /nfs/dbraw/zinc/06/12/71/410061271.db2.gz WUHSGWOMHSIGNQ-RDBSUJKOSA-N 1 2 324.425 1.204 20 30 DDEDLO C[C@@H](O)[C@@H]1CCCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513270 287110728 /nfs/dbraw/zinc/11/07/28/287110728.db2.gz IFUBYKCIPHYJSB-CJNGLKHVSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@@H](O)[C@@H]1CCCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513270 287110730 /nfs/dbraw/zinc/11/07/30/287110730.db2.gz IFUBYKCIPHYJSB-CJNGLKHVSA-N 1 2 322.430 1.567 20 30 DDEDLO C=CCn1cc(-c2nc([C@H]3C[N@@H+]4CCCC[C@@H]4CO3)no2)nn1 ZINC000351379851 410072810 /nfs/dbraw/zinc/07/28/10/410072810.db2.gz RSOGAKRVNAQKMH-DGCLKSJQSA-N 1 2 316.365 1.440 20 30 DDEDLO C=CCn1cc(-c2nc([C@H]3C[N@H+]4CCCC[C@@H]4CO3)no2)nn1 ZINC000351379851 410072816 /nfs/dbraw/zinc/07/28/16/410072816.db2.gz RSOGAKRVNAQKMH-DGCLKSJQSA-N 1 2 316.365 1.440 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1cc(-n2cnnn2)ccc1F)C1CC1 ZINC000357557951 410100532 /nfs/dbraw/zinc/10/05/32/410100532.db2.gz ZDGZUOXFTIGDNQ-UHFFFAOYSA-N 1 2 316.340 1.390 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1cc(-n2cnnn2)ccc1F)C1CC1 ZINC000357557951 410100542 /nfs/dbraw/zinc/10/05/42/410100542.db2.gz ZDGZUOXFTIGDNQ-UHFFFAOYSA-N 1 2 316.340 1.390 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000329152358 410131330 /nfs/dbraw/zinc/13/13/30/410131330.db2.gz SXZQZZDHTCWRRC-CYBMUJFWSA-N 1 2 313.361 1.848 20 30 DDEDLO CCCc1csc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)n1 ZINC000329189740 410154916 /nfs/dbraw/zinc/15/49/16/410154916.db2.gz CCSRLUGQDNAROG-VXGBXAGGSA-N 1 2 310.423 1.656 20 30 DDEDLO CCCc1csc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)n1 ZINC000329189740 410154925 /nfs/dbraw/zinc/15/49/25/410154925.db2.gz CCSRLUGQDNAROG-VXGBXAGGSA-N 1 2 310.423 1.656 20 30 DDEDLO C[C@H](Cn1cncn1)NC(=O)[C@H]1COCC[N@@H+]1CC1CCC1 ZINC000329233831 410182533 /nfs/dbraw/zinc/18/25/33/410182533.db2.gz HFAUMCNGKQSAGU-TZMCWYRMSA-N 1 2 307.398 1.124 20 30 DDEDLO C[C@H](Cn1cncn1)NC(=O)[C@H]1COCC[N@H+]1CC1CCC1 ZINC000329233831 410182539 /nfs/dbraw/zinc/18/25/39/410182539.db2.gz HFAUMCNGKQSAGU-TZMCWYRMSA-N 1 2 307.398 1.124 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)[C@@H]2CCO[C@H](C)C2)CC1 ZINC000329232428 410183381 /nfs/dbraw/zinc/18/33/81/410183381.db2.gz LHXMPKFBJQDAHG-HZSPNIEDSA-N 1 2 323.437 1.453 20 30 DDEDLO CCc1nc(CCNC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)no1 ZINC000329274768 410207780 /nfs/dbraw/zinc/20/77/80/410207780.db2.gz JONYHVCTCNJMBB-ZDUSSCGKSA-N 1 2 322.409 1.774 20 30 DDEDLO CCc1nc(CCNC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)no1 ZINC000329274768 410207784 /nfs/dbraw/zinc/20/77/84/410207784.db2.gz JONYHVCTCNJMBB-ZDUSSCGKSA-N 1 2 322.409 1.774 20 30 DDEDLO CC1CC[NH+](CC(=O)NC[C@H]2CCCS(=O)(=O)C2)CC1 ZINC000329329490 410237495 /nfs/dbraw/zinc/23/74/95/410237495.db2.gz LBBDHCJJZASEBI-CYBMUJFWSA-N 1 2 302.440 1.500 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCCCS2)CC1 ZINC000329332445 410239595 /nfs/dbraw/zinc/23/95/95/410239595.db2.gz MTXSVMAWYQIULF-CYBMUJFWSA-N 1 2 313.467 1.783 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCCCS2)CC1 ZINC000329332445 410239598 /nfs/dbraw/zinc/23/95/98/410239598.db2.gz MTXSVMAWYQIULF-CYBMUJFWSA-N 1 2 313.467 1.783 20 30 DDEDLO CN(C)C(=O)[C@H]1CC[C@@H](C(=O)NC[C@@H]2CCCn3cc[nH+]c32)O1 ZINC000329391462 410271566 /nfs/dbraw/zinc/27/15/66/410271566.db2.gz SUSMOJIVLKZBTH-RWMBFGLXSA-N 1 2 320.393 1.353 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+](CC(=O)NC(=O)NC3CC3)C2)[nH]1 ZINC000329484904 410324142 /nfs/dbraw/zinc/32/41/42/410324142.db2.gz SMJOWBNHNJFOPO-SNVBAGLBSA-N 1 2 306.370 1.325 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+](CC(=O)NC(=O)NC3CC3)C2)[nH]1 ZINC000329484904 410324148 /nfs/dbraw/zinc/32/41/48/410324148.db2.gz SMJOWBNHNJFOPO-SNVBAGLBSA-N 1 2 306.370 1.325 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+](CC(=O)NC(=O)NC3CC3)C2)n1 ZINC000329484904 410324152 /nfs/dbraw/zinc/32/41/52/410324152.db2.gz SMJOWBNHNJFOPO-SNVBAGLBSA-N 1 2 306.370 1.325 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+](CC(=O)NC(=O)NC3CC3)C2)n1 ZINC000329484904 410324157 /nfs/dbraw/zinc/32/41/57/410324157.db2.gz SMJOWBNHNJFOPO-SNVBAGLBSA-N 1 2 306.370 1.325 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@H+](CC(=O)NC(=O)NC3CC3)C2)n[nH]1 ZINC000329484904 410324163 /nfs/dbraw/zinc/32/41/63/410324163.db2.gz SMJOWBNHNJFOPO-SNVBAGLBSA-N 1 2 306.370 1.325 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@@H+](CC(=O)NC(=O)NC3CC3)C2)n[nH]1 ZINC000329484904 410324167 /nfs/dbraw/zinc/32/41/67/410324167.db2.gz SMJOWBNHNJFOPO-SNVBAGLBSA-N 1 2 306.370 1.325 20 30 DDEDLO O=C(N[C@@H]1CCc2[nH+]c[nH]c2C1)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000329507108 410333271 /nfs/dbraw/zinc/33/32/71/410333271.db2.gz ASMWVIVMWFYUHQ-DFBGVHRSSA-N 1 2 320.393 1.061 20 30 DDEDLO O=C(N[C@@H]1CCc2[nH]c[nH+]c2C1)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000329507108 410333276 /nfs/dbraw/zinc/33/32/76/410333276.db2.gz ASMWVIVMWFYUHQ-DFBGVHRSSA-N 1 2 320.393 1.061 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)NC[C@H]2CCCSC2)CCO1 ZINC000329445891 410302346 /nfs/dbraw/zinc/30/23/46/410302346.db2.gz NSSKTUCXYRDVAU-CYBMUJFWSA-N 1 2 315.483 1.744 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)NC[C@H]2CCCSC2)CCO1 ZINC000329445891 410302353 /nfs/dbraw/zinc/30/23/53/410302353.db2.gz NSSKTUCXYRDVAU-CYBMUJFWSA-N 1 2 315.483 1.744 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)CN1CC[NH+](Cc2ccco2)CC1 ZINC000298718386 410362703 /nfs/dbraw/zinc/36/27/03/410362703.db2.gz NIUOPVXOOFZSNG-HNNXBMFYSA-N 1 2 318.421 1.405 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000358228573 410412595 /nfs/dbraw/zinc/41/25/95/410412595.db2.gz VVLKWDHOAZMPRN-CQSZACIVSA-N 1 2 322.409 1.394 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000358228573 410412607 /nfs/dbraw/zinc/41/26/07/410412607.db2.gz VVLKWDHOAZMPRN-CQSZACIVSA-N 1 2 322.409 1.394 20 30 DDEDLO Cc1nn(CCC#N)c(C)c1CCC(=O)Nc1cc[nH+]cc1O ZINC000358348480 410451680 /nfs/dbraw/zinc/45/16/80/410451680.db2.gz GELACDUCXCHYKZ-UHFFFAOYSA-N 1 2 313.361 1.507 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[NH+]1CCC(OCCO)CC1 ZINC000339827499 410486139 /nfs/dbraw/zinc/48/61/39/410486139.db2.gz RXWCQENARBRPPI-INIZCTEOSA-N 1 2 318.373 1.246 20 30 DDEDLO CC(C)C(=O)N1CC[NH+](CCOc2ccccc2C#N)CC1 ZINC000299082681 410511541 /nfs/dbraw/zinc/51/15/41/410511541.db2.gz RVLPTFRQKJJQFS-UHFFFAOYSA-N 1 2 301.390 1.737 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)N[C@@H]2CCOC3(CCCC3)C2)C1 ZINC000330194698 410583136 /nfs/dbraw/zinc/58/31/36/410583136.db2.gz BFPVDWAGIUNAPU-HUUCEWRRSA-N 1 2 324.469 1.228 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)N[C@@H]2CCOC3(CCCC3)C2)C1 ZINC000330194698 410583144 /nfs/dbraw/zinc/58/31/44/410583144.db2.gz BFPVDWAGIUNAPU-HUUCEWRRSA-N 1 2 324.469 1.228 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)NCCOc2ccc(F)cc2)CC1 ZINC000352464726 410660191 /nfs/dbraw/zinc/66/01/91/410660191.db2.gz WKEHGNTWTFQLOB-UHFFFAOYSA-N 1 2 323.343 1.648 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(c2ccc(C#N)c(Cl)c2)CC1 ZINC000301190729 410672206 /nfs/dbraw/zinc/67/22/06/410672206.db2.gz WDBLNAJEORPMBS-UHFFFAOYSA-N 1 2 306.797 1.470 20 30 DDEDLO C[C@H]1CN(CCS(=O)(=O)c2cccc(C#N)c2)C[C@H](C)[NH+]1C ZINC000336893896 410649309 /nfs/dbraw/zinc/64/93/09/410649309.db2.gz VGQYOCZYGQEVLI-KBPBESRZSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@H](C(=O)N(C)C)[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000330434744 410689858 /nfs/dbraw/zinc/68/98/58/410689858.db2.gz OODSFOUVOHHYCH-GFCCVEGCSA-N 1 2 304.369 1.296 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)Nc1ccncc1)Cc1ccc(CO)o1 ZINC000352798915 410682636 /nfs/dbraw/zinc/68/26/36/410682636.db2.gz DZARWHIVJKVNQU-UHFFFAOYSA-N 1 2 315.373 1.606 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)Nc1ccncc1)Cc1ccc(CO)o1 ZINC000352798915 410682642 /nfs/dbraw/zinc/68/26/42/410682642.db2.gz DZARWHIVJKVNQU-UHFFFAOYSA-N 1 2 315.373 1.606 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1Cc1cccc(C#N)c1F ZINC000352872795 410684819 /nfs/dbraw/zinc/68/48/19/410684819.db2.gz WTTOAUGAICCGNZ-XJKSGUPXSA-N 1 2 303.381 1.992 20 30 DDEDLO CC[C@H](CC#N)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000355805871 410685790 /nfs/dbraw/zinc/68/57/90/410685790.db2.gz LFLWRIZDSOWWQD-OCCSQVGLSA-N 1 2 319.409 1.292 20 30 DDEDLO CC[C@H](CC#N)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000355805871 410685793 /nfs/dbraw/zinc/68/57/93/410685793.db2.gz LFLWRIZDSOWWQD-OCCSQVGLSA-N 1 2 319.409 1.292 20 30 DDEDLO CCC[C@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000340222098 410771139 /nfs/dbraw/zinc/77/11/39/410771139.db2.gz UZGRDKHGSLOEIS-INIZCTEOSA-N 1 2 301.390 1.675 20 30 DDEDLO CCC[C@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000340222098 410771146 /nfs/dbraw/zinc/77/11/46/410771146.db2.gz UZGRDKHGSLOEIS-INIZCTEOSA-N 1 2 301.390 1.675 20 30 DDEDLO C=CCO[C@H]1CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000302145758 410840350 /nfs/dbraw/zinc/84/03/50/410840350.db2.gz KQFALMCBIGNWDO-CABCVRRESA-N 1 2 318.421 1.467 20 30 DDEDLO C=CCO[C@H]1CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000302145758 410840356 /nfs/dbraw/zinc/84/03/56/410840356.db2.gz KQFALMCBIGNWDO-CABCVRRESA-N 1 2 318.421 1.467 20 30 DDEDLO C=CC1CCN(c2cc(N3CCN(C)C(=O)C3)nc[nH+]2)CC1 ZINC000302530603 410847302 /nfs/dbraw/zinc/84/73/02/410847302.db2.gz CNNFGQWGNICZNY-UHFFFAOYSA-N 1 2 301.394 1.157 20 30 DDEDLO C=CC1CCN(c2cc(N3CCN(C)C(=O)C3)[nH+]cn2)CC1 ZINC000302530603 410847308 /nfs/dbraw/zinc/84/73/08/410847308.db2.gz CNNFGQWGNICZNY-UHFFFAOYSA-N 1 2 301.394 1.157 20 30 DDEDLO N#Cc1ccc(Nc2ccc([NH+]3CCC(C(N)=O)CC3)cc2)nn1 ZINC000301893524 410821816 /nfs/dbraw/zinc/82/18/16/410821816.db2.gz APVGWZJAVCZLNK-UHFFFAOYSA-N 1 2 322.372 1.794 20 30 DDEDLO N#Cc1ccncc1C(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000341227523 410953114 /nfs/dbraw/zinc/95/31/14/410953114.db2.gz INASKJQEMQSRJD-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000652474377 423034115 /nfs/dbraw/zinc/03/41/15/423034115.db2.gz NGGCIQSDGYKXML-KFWWJZLASA-N 1 2 316.405 1.693 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1nc2ccccc2[nH]1 ZINC000177737539 221855625 /nfs/dbraw/zinc/85/56/25/221855625.db2.gz ACCSZTMOMVEEQH-UHFFFAOYSA-N 1 2 313.405 1.611 20 30 DDEDLO Cn1cc[nH+]c1CN1C[C@@H](F)C[C@H]1CNc1ccc(C#N)cn1 ZINC000366506269 418482453 /nfs/dbraw/zinc/48/24/53/418482453.db2.gz NFLQSNRFROFXFT-KBPBESRZSA-N 1 2 314.368 1.711 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)C1([NH+]2CCOCC2)CCC1 ZINC000366732703 418509899 /nfs/dbraw/zinc/50/98/99/418509899.db2.gz HQYDCSVBPJUYBP-HUUCEWRRSA-N 1 2 308.422 1.339 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N2CC[NH2+][C@@H](c3ccncc3)C2)cc1 ZINC000374374980 418524885 /nfs/dbraw/zinc/52/48/85/418524885.db2.gz OWGHFHWZJMYCLJ-KKJJZKEWSA-N 1 2 324.384 1.849 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccccn3)CC2)cc1O ZINC000188237585 222008895 /nfs/dbraw/zinc/00/88/95/222008895.db2.gz QCFMZOMGSDQBKJ-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO C#CC[N@@H+](C)[C@@H](C)C(=O)N(C)CC(=O)Nc1ccccc1CC ZINC000192235039 222123681 /nfs/dbraw/zinc/12/36/81/222123681.db2.gz DDNGOHIPICAOHG-AWEZNQCLSA-N 1 2 315.417 1.599 20 30 DDEDLO C#CC[N@H+](C)[C@@H](C)C(=O)N(C)CC(=O)Nc1ccccc1CC ZINC000192235039 222123685 /nfs/dbraw/zinc/12/36/85/222123685.db2.gz DDNGOHIPICAOHG-AWEZNQCLSA-N 1 2 315.417 1.599 20 30 DDEDLO C#CC[N@@H+](C)[C@H](C)C(=O)N(C)CC(=O)Nc1ccccc1CC ZINC000192235034 222124069 /nfs/dbraw/zinc/12/40/69/222124069.db2.gz DDNGOHIPICAOHG-CQSZACIVSA-N 1 2 315.417 1.599 20 30 DDEDLO C#CC[N@H+](C)[C@H](C)C(=O)N(C)CC(=O)Nc1ccccc1CC ZINC000192235034 222124072 /nfs/dbraw/zinc/12/40/72/222124072.db2.gz DDNGOHIPICAOHG-CQSZACIVSA-N 1 2 315.417 1.599 20 30 DDEDLO C=CCOC[C@H]([NH2+]C1CCN(c2ccccc2)CC1)C(=O)OC ZINC000361109485 418585827 /nfs/dbraw/zinc/58/58/27/418585827.db2.gz MZTLUEVFCUIKIW-KRWDZBQOSA-N 1 2 318.417 1.989 20 30 DDEDLO C=CCOC[C@@H](NCc1c[nH+]c2ccc(C#N)cn12)C(=O)OC ZINC000361112878 418586717 /nfs/dbraw/zinc/58/67/17/418586717.db2.gz JYTOVFLAZDQBBA-CQSZACIVSA-N 1 2 314.345 1.040 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@]1(C#N)CCc2ccccc2C1 ZINC000193854983 222164279 /nfs/dbraw/zinc/16/42/79/222164279.db2.gz BDNRPSIVHJGEPE-GOSISDBHSA-N 1 2 308.385 1.920 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@@]1(C#N)CCc2ccccc2C1 ZINC000193855000 222164578 /nfs/dbraw/zinc/16/45/78/222164578.db2.gz BDNRPSIVHJGEPE-SFHVURJKSA-N 1 2 308.385 1.920 20 30 DDEDLO N#CCCn1ccc(NC(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)n1 ZINC000331798319 418614486 /nfs/dbraw/zinc/61/44/86/418614486.db2.gz WQAOTSUKZNKDPU-LBPRGKRZSA-N 1 2 313.365 1.931 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3cc(C4CC4)[nH]n3)CC2)C1=O ZINC000377101583 418705446 /nfs/dbraw/zinc/70/54/46/418705446.db2.gz AZEMMRXNRONZGE-HNNXBMFYSA-N 1 2 315.421 1.196 20 30 DDEDLO OC[C@H](O)C[N@@H+](CC#Cc1ccccc1)CCC(F)(F)F ZINC000361825086 418714225 /nfs/dbraw/zinc/71/42/25/418714225.db2.gz ITXHPUJUNQSSMG-CQSZACIVSA-N 1 2 301.308 1.646 20 30 DDEDLO OC[C@H](O)C[N@H+](CC#Cc1ccccc1)CCC(F)(F)F ZINC000361825086 418714228 /nfs/dbraw/zinc/71/42/28/418714228.db2.gz ITXHPUJUNQSSMG-CQSZACIVSA-N 1 2 301.308 1.646 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000375729223 418677117 /nfs/dbraw/zinc/67/71/17/418677117.db2.gz DHSTVGVQRGUTSS-BZUAXINKSA-N 1 2 308.422 1.291 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H](c2noc(C)n2)C1 ZINC000375761447 418681653 /nfs/dbraw/zinc/68/16/53/418681653.db2.gz RTFBOOVBKGULFT-GXTWGEPZSA-N 1 2 319.409 1.566 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H](c2noc(C)n2)C1 ZINC000375761447 418681655 /nfs/dbraw/zinc/68/16/55/418681655.db2.gz RTFBOOVBKGULFT-GXTWGEPZSA-N 1 2 319.409 1.566 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1sccc1C#N ZINC000376172376 418693156 /nfs/dbraw/zinc/69/31/56/418693156.db2.gz MKNWCNKYYYPGIO-AAEUAGOBSA-N 1 2 305.403 1.555 20 30 DDEDLO C=CCNC(=O)c1ccc(NC(=O)[C@@H]2CC(F)(F)C[NH2+]2)cc1 ZINC000368138001 418694858 /nfs/dbraw/zinc/69/48/58/418694858.db2.gz CFQXGKQHHBHJGK-LBPRGKRZSA-N 1 2 309.316 1.538 20 30 DDEDLO C#CCCNC(=O)N1CCC[C@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000378308690 418721665 /nfs/dbraw/zinc/72/16/65/418721665.db2.gz RZQZGPOPQNPODJ-AWEZNQCLSA-N 1 2 319.409 1.397 20 30 DDEDLO C#CCCNC(=O)N1CCC[C@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000378308690 418721667 /nfs/dbraw/zinc/72/16/67/418721667.db2.gz RZQZGPOPQNPODJ-AWEZNQCLSA-N 1 2 319.409 1.397 20 30 DDEDLO C=CCCCCNC(=O)N1CC[NH+]([C@@H]2CCCCNC2=O)CC1 ZINC000370375599 418744215 /nfs/dbraw/zinc/74/42/15/418744215.db2.gz VUBDHIMMVYYSAH-OAHLLOKOSA-N 1 2 322.453 1.339 20 30 DDEDLO Cc1cc(C[NH+]2CCN(C(=O)[C@@H]3CCCO3)CC2)ccc1C#N ZINC000362965887 418761839 /nfs/dbraw/zinc/76/18/39/418761839.db2.gz GLPPWLRKUNBKHR-KRWDZBQOSA-N 1 2 313.401 1.690 20 30 DDEDLO N#CCOc1ccc(CC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000371308072 418777755 /nfs/dbraw/zinc/77/77/55/418777755.db2.gz KQFSEGQSGPDMGU-ZDUSSCGKSA-N 1 2 310.357 1.528 20 30 DDEDLO N#CCOc1ccc(CC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000371308072 418777756 /nfs/dbraw/zinc/77/77/56/418777756.db2.gz KQFSEGQSGPDMGU-ZDUSSCGKSA-N 1 2 310.357 1.528 20 30 DDEDLO COC(=O)c1cn([C@@H]2CCC[N@H+](C[C@H](C#N)CCC#N)C2)nn1 ZINC000368847781 418725226 /nfs/dbraw/zinc/72/52/26/418725226.db2.gz QSTHLJDXQBCQHW-QWHCGFSZSA-N 1 2 316.365 1.145 20 30 DDEDLO COC(=O)c1cn([C@@H]2CCC[N@@H+](C[C@H](C#N)CCC#N)C2)nn1 ZINC000368847781 418725229 /nfs/dbraw/zinc/72/52/29/418725229.db2.gz QSTHLJDXQBCQHW-QWHCGFSZSA-N 1 2 316.365 1.145 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H](C#N)[C@@]3(C2)C(=O)Nc2ccccc23)o1 ZINC000371461285 418794000 /nfs/dbraw/zinc/79/40/00/418794000.db2.gz WUWQSQKSLBLYIN-KPZWWZAWSA-N 1 2 318.336 1.997 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H](C#N)[C@@]3(C2)C(=O)Nc2ccccc23)o1 ZINC000371461285 418794003 /nfs/dbraw/zinc/79/40/03/418794003.db2.gz WUWQSQKSLBLYIN-KPZWWZAWSA-N 1 2 318.336 1.997 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CCc2c([nH+]c(C(C)C)n2C)C1 ZINC000410521138 418820546 /nfs/dbraw/zinc/82/05/46/418820546.db2.gz CITSGLFEYYCLIO-UHFFFAOYSA-N 1 2 318.421 1.463 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000410815013 418850161 /nfs/dbraw/zinc/85/01/61/418850161.db2.gz SDWAGOAHTWCYRR-UONOGXRCSA-N 1 2 304.394 1.327 20 30 DDEDLO Cc1cc(N2CCN(c3ccc(C(N)=O)cc3)CC2)c(C#N)c[nH+]1 ZINC000425240558 228397004 /nfs/dbraw/zinc/39/70/04/228397004.db2.gz YOEHFHPZKJSDTN-UHFFFAOYSA-N 1 2 321.384 1.687 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(c2ccc(C#N)cc2)C1=O)c1ccn(C)n1 ZINC000411829559 419430062 /nfs/dbraw/zinc/43/00/62/419430062.db2.gz XSTXBFNZLUDKHE-MLGOLLRUSA-N 1 2 309.373 1.748 20 30 DDEDLO Cc1cc(Cl)cc(C#N)c1OC[C@H](O)C[NH+]1CCOCC1 ZINC000421816151 419743284 /nfs/dbraw/zinc/74/32/84/419743284.db2.gz WUFAROKIPUEKQH-CQSZACIVSA-N 1 2 310.781 1.592 20 30 DDEDLO COCCO[C@H]1C[C@H](CO)CN(c2cc(C)[nH+]cc2C#N)C1 ZINC000425261458 420332868 /nfs/dbraw/zinc/33/28/68/420332868.db2.gz QFQNTLJXVFCXOE-ZFWWWQNUSA-N 1 2 305.378 1.112 20 30 DDEDLO Cc1cc(N2CCN(C(=O)c3cnn(C)c3)[C@@H](C)C2)c(C#N)c[nH+]1 ZINC000425265671 420334198 /nfs/dbraw/zinc/33/41/98/420334198.db2.gz FJZOXQISFFENIC-ZDUSSCGKSA-N 1 2 324.388 1.346 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NC[C@H]2CC[NH2+]CC2(F)F)cc1 ZINC000420470034 420305272 /nfs/dbraw/zinc/30/52/72/420305272.db2.gz PZTMJRKBBXOHFM-LLVKDONJSA-N 1 2 315.345 1.081 20 30 DDEDLO CN(C(C)(C)C#N)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000437941421 420430848 /nfs/dbraw/zinc/43/08/48/420430848.db2.gz JVOJQVYUQFNTSC-UHFFFAOYSA-N 1 2 318.402 1.854 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1nc(C)[nH+]c(N[C@@H]2CCO[C@H]2C=C)n1 ZINC000450752507 420519977 /nfs/dbraw/zinc/51/99/77/420519977.db2.gz DDQGONXXTHTMMR-MQYQWHSLSA-N 1 2 317.393 1.691 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H]2CC[N@H+](C)[C@H](C)C2)cc1C#N ZINC000439948104 420546284 /nfs/dbraw/zinc/54/62/84/420546284.db2.gz GUEXIDNHPUSLEC-MWLCHTKSSA-N 1 2 320.418 1.593 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H]2CC[N@@H+](C)[C@H](C)C2)cc1C#N ZINC000439948104 420546285 /nfs/dbraw/zinc/54/62/85/420546285.db2.gz GUEXIDNHPUSLEC-MWLCHTKSSA-N 1 2 320.418 1.593 20 30 DDEDLO C=CCC[C@@H](CO)Nc1nc2cc(OC)c(OC)cc2c(N)[nH+]1 ZINC000450893716 420553201 /nfs/dbraw/zinc/55/32/01/420553201.db2.gz HVNKZICIDXJQGU-JTQLQIEISA-N 1 2 318.377 1.390 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1C(=O)C(=O)Nc1cc(C#N)ccc1Cl ZINC000440278219 420566572 /nfs/dbraw/zinc/56/65/72/420566572.db2.gz AGGLZVKBRYCFBZ-JTQLQIEISA-N 1 2 320.780 1.313 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1C(=O)C(=O)Nc1cc(C#N)ccc1Cl ZINC000440278219 420566573 /nfs/dbraw/zinc/56/65/73/420566573.db2.gz AGGLZVKBRYCFBZ-JTQLQIEISA-N 1 2 320.780 1.313 20 30 DDEDLO COCCO[C@@H]1C[C@@H](CO)C[N@H+](Cc2cc(F)ccc2C#N)C1 ZINC000447399248 420770871 /nfs/dbraw/zinc/77/08/71/420770871.db2.gz VFXQXBWXAKGFSA-CXAGYDPISA-N 1 2 322.380 1.543 20 30 DDEDLO COCCO[C@@H]1C[C@@H](CO)C[N@@H+](Cc2cc(F)ccc2C#N)C1 ZINC000447399248 420770875 /nfs/dbraw/zinc/77/08/75/420770875.db2.gz VFXQXBWXAKGFSA-CXAGYDPISA-N 1 2 322.380 1.543 20 30 DDEDLO COc1ccc(/C=C/C(=O)N[C@@](C)(C#N)C[NH+](C)C)c(OC)c1 ZINC000493459291 420856766 /nfs/dbraw/zinc/85/67/66/420856766.db2.gz VQAGWBQLTQJMAF-LKUPDRCFSA-N 1 2 317.389 1.677 20 30 DDEDLO CCC[N@@H+](CC#N)CCC(=O)NCc1ccc(OC)cc1OC ZINC000450419891 421195827 /nfs/dbraw/zinc/19/58/27/421195827.db2.gz PMGIIPQDWPMYLC-UHFFFAOYSA-N 1 2 319.405 1.946 20 30 DDEDLO CCC[N@H+](CC#N)CCC(=O)NCc1ccc(OC)cc1OC ZINC000450419891 421195829 /nfs/dbraw/zinc/19/58/29/421195829.db2.gz PMGIIPQDWPMYLC-UHFFFAOYSA-N 1 2 319.405 1.946 20 30 DDEDLO C[C@@H]1CCNC(=O)[C@@H]1[NH2+]Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000562457017 421368754 /nfs/dbraw/zinc/36/87/54/421368754.db2.gz JWXSKUHSRTZPHC-QMTHXVAHSA-N 1 2 311.345 1.222 20 30 DDEDLO COc1cc(C)ccc1OCC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000514793381 421455604 /nfs/dbraw/zinc/45/56/04/421455604.db2.gz WVILYONSGWMYPU-INIZCTEOSA-N 1 2 305.378 1.343 20 30 DDEDLO C[NH+]1CCN(CCCCNC(=O)c2ccc(C#N)c(O)c2)CC1 ZINC000527481304 421390076 /nfs/dbraw/zinc/39/00/76/421390076.db2.gz AXXABALKPRLDMB-UHFFFAOYSA-N 1 2 316.405 1.021 20 30 DDEDLO CNC(=O)NC[C@H]1C[N@@H+]([C@@H](C)c2ccc(C#N)cc2)CCO1 ZINC000528629615 421502262 /nfs/dbraw/zinc/50/22/62/421502262.db2.gz WLHBJYPHTNVGCC-WFASDCNBSA-N 1 2 302.378 1.249 20 30 DDEDLO CNC(=O)NC[C@H]1C[N@H+]([C@@H](C)c2ccc(C#N)cc2)CCO1 ZINC000528629615 421502264 /nfs/dbraw/zinc/50/22/64/421502264.db2.gz WLHBJYPHTNVGCC-WFASDCNBSA-N 1 2 302.378 1.249 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CC2CC1(c1nc(C)no1)C2 ZINC000528630355 421502730 /nfs/dbraw/zinc/50/27/30/421502730.db2.gz BSTGGAULIFRSGX-MKQDKFQKSA-N 1 2 316.405 1.888 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC2CC1(c1nc(C)no1)C2 ZINC000528630355 421502733 /nfs/dbraw/zinc/50/27/33/421502733.db2.gz BSTGGAULIFRSGX-MKQDKFQKSA-N 1 2 316.405 1.888 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@H]1COc2ccc(Cl)cc2C1 ZINC000564872318 421594115 /nfs/dbraw/zinc/59/41/15/421594115.db2.gz ODZQKUZUFQDGGI-MLGOLLRUSA-N 1 2 321.808 1.851 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCC=C(c2ccccn2)C1 ZINC000566423577 421605140 /nfs/dbraw/zinc/60/51/40/421605140.db2.gz CNUJNCAEYCEVFS-ZDUSSCGKSA-N 1 2 314.389 1.571 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCC=C(c2ccccn2)C1 ZINC000566423577 421605145 /nfs/dbraw/zinc/60/51/45/421605145.db2.gz CNUJNCAEYCEVFS-ZDUSSCGKSA-N 1 2 314.389 1.571 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)N(C)c1ccccc1C(=O)OC ZINC000566688205 421608358 /nfs/dbraw/zinc/60/83/58/421608358.db2.gz XIYUUXJKQIMPFC-GFCCVEGCSA-N 1 2 303.362 1.718 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)Nc1ccn(-c2cccc(F)c2C#N)n1 ZINC000569838897 421627792 /nfs/dbraw/zinc/62/77/92/421627792.db2.gz WNWAPIYZXSKKJB-UHFFFAOYSA-N 1 2 324.319 1.798 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1ccn(-c2ccccc2)n1 ZINC000569726171 421628138 /nfs/dbraw/zinc/62/81/38/421628138.db2.gz IXVXFNMGSOIRLH-KRWDZBQOSA-N 1 2 311.389 1.375 20 30 DDEDLO CC(C)n1ncc2cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cnc21 ZINC000565719017 421599389 /nfs/dbraw/zinc/59/93/89/421599389.db2.gz HUKBMHIXQJFSMT-INIZCTEOSA-N 1 2 314.393 1.586 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)NCC#Cc2ccccc2)C1 ZINC000533736893 421682136 /nfs/dbraw/zinc/68/21/36/421682136.db2.gz NWZYQTLPXMWPHW-INIZCTEOSA-N 1 2 308.385 1.971 20 30 DDEDLO C=CCOC[C@@H](NC(=O)c1ccn2c(C)c[nH+]c2c1)C(=O)OC ZINC000572661555 421809442 /nfs/dbraw/zinc/80/94/42/421809442.db2.gz MIUGWMVFYQGEQB-CYBMUJFWSA-N 1 2 317.345 1.117 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@H](c2nc(C)no2)C2CCOCC2)C1=O ZINC000540912995 421773928 /nfs/dbraw/zinc/77/39/28/421773928.db2.gz AGHDGXYBRRXONC-KGLIPLIRSA-N 1 2 320.393 1.222 20 30 DDEDLO Cc1ccc([C@@H](CNc2ccnc(C#N)n2)[NH+]2CCOCC2)o1 ZINC000574185954 422072624 /nfs/dbraw/zinc/07/26/24/422072624.db2.gz DTHXPBOJVNMPFV-CYBMUJFWSA-N 1 2 313.361 1.157 20 30 DDEDLO Cc1nc(N)c(CNC(=O)C[C@@H](CC#N)c2ccccc2)c[nH+]1 ZINC000574694709 422162729 /nfs/dbraw/zinc/16/27/29/422162729.db2.gz WRXQUZBKABRRAO-CQSZACIVSA-N 1 2 309.373 2.071 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000628576119 422236866 /nfs/dbraw/zinc/23/68/66/422236866.db2.gz QPBIDNLJTSLBTC-RHSMWYFYSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000628576119 422236870 /nfs/dbraw/zinc/23/68/70/422236870.db2.gz QPBIDNLJTSLBTC-RHSMWYFYSA-N 1 2 310.438 1.321 20 30 DDEDLO COC(=O)c1cc(C)cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000584056069 422248968 /nfs/dbraw/zinc/24/89/68/422248968.db2.gz CTMRVEWFUIRTST-MRXNPFEDSA-N 1 2 303.362 1.355 20 30 DDEDLO CSc1nc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c2ccccn21 ZINC000584117036 422265833 /nfs/dbraw/zinc/26/58/33/422265833.db2.gz SJVPISWCEFUVPJ-OAHLLOKOSA-N 1 2 317.418 1.630 20 30 DDEDLO N#CCCCCCC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000588356505 422314574 /nfs/dbraw/zinc/31/45/74/422314574.db2.gz LSVAKOIDPUNGRH-UHFFFAOYSA-N 1 2 319.409 1.628 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3cc(C#N)cnn3)c[nH+]2)C[C@H](C)O1 ZINC000596076833 422362887 /nfs/dbraw/zinc/36/28/87/422362887.db2.gz QIGDYHHSEGRPPM-BETUJISGSA-N 1 2 324.388 1.969 20 30 DDEDLO Cc1c(Cl)cnc(NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)c1C#N ZINC000596433319 422376675 /nfs/dbraw/zinc/37/66/75/422376675.db2.gz UBUXGELQWFMUMW-WDEREUQCSA-N 1 2 308.813 2.436 20 30 DDEDLO Cc1c(Cl)cnc(NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)c1C#N ZINC000596433319 422376680 /nfs/dbraw/zinc/37/66/80/422376680.db2.gz UBUXGELQWFMUMW-WDEREUQCSA-N 1 2 308.813 2.436 20 30 DDEDLO C[C@@H](O)CNc1cc(NCc2ccc(C#N)c(Cl)c2)nc[nH+]1 ZINC000591158634 422325353 /nfs/dbraw/zinc/32/53/53/422325353.db2.gz XSBUEHUNJJNKCW-SNVBAGLBSA-N 1 2 317.780 2.406 20 30 DDEDLO C[C@@H](O)CNc1cc(NCc2ccc(C#N)c(Cl)c2)[nH+]cn1 ZINC000591158634 422325355 /nfs/dbraw/zinc/32/53/55/422325355.db2.gz XSBUEHUNJJNKCW-SNVBAGLBSA-N 1 2 317.780 2.406 20 30 DDEDLO C=CCCCS(=O)(=O)N[C@H]1C[C@H]([NH+]2CCOCC2)C1(C)C ZINC000632502625 422394685 /nfs/dbraw/zinc/39/46/85/422394685.db2.gz PESPRSHBYWERAA-KBPBESRZSA-N 1 2 316.467 1.371 20 30 DDEDLO Cc1ccc(NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)nc1C#N ZINC000599184867 422404998 /nfs/dbraw/zinc/40/49/98/422404998.db2.gz LQUKXPKQEZSVHY-MRXNPFEDSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)nc1C#N ZINC000599184867 422405002 /nfs/dbraw/zinc/40/50/02/422405002.db2.gz LQUKXPKQEZSVHY-MRXNPFEDSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cn2c([nH+]1)C[C@@H](C(=O)Nc1cc(C)n(CCC#N)n1)CC2 ZINC000599735008 422406095 /nfs/dbraw/zinc/40/60/95/422406095.db2.gz HMFXOBHHHAXFOP-ZDUSSCGKSA-N 1 2 312.377 1.811 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2cc(C#N)nc(C3CC3)n2)[C@H](C)CO1 ZINC000601193550 422420822 /nfs/dbraw/zinc/42/08/22/422420822.db2.gz KGDNZSBLJQCXJM-VXGBXAGGSA-N 1 2 301.394 1.747 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2cc(C#N)nc(C3CC3)n2)[C@H](C)CO1 ZINC000601193550 422420829 /nfs/dbraw/zinc/42/08/29/422420829.db2.gz KGDNZSBLJQCXJM-VXGBXAGGSA-N 1 2 301.394 1.747 20 30 DDEDLO N#C[C@@H]1CSCCN1C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000602133927 422431708 /nfs/dbraw/zinc/43/17/08/422431708.db2.gz UMEHYBIBQWUGNM-ZJUUUORDSA-N 1 2 318.324 1.844 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N2C[C@H](C)C[C@H](C)C2)nn1 ZINC000640813764 423207318 /nfs/dbraw/zinc/20/73/18/423207318.db2.gz XJTPPYDHLUUEHG-QLFBSQMISA-N 1 2 317.437 1.457 20 30 DDEDLO C=CCCn1cc(CN2CCN(c3cc[nH+]c(C)n3)CC2)nn1 ZINC000653617651 423550443 /nfs/dbraw/zinc/55/04/43/423550443.db2.gz UIYCMZDMRVVOLY-UHFFFAOYSA-N 1 2 313.409 1.275 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H](c3nc(C)no3)C2)nn1 ZINC000653504777 423504386 /nfs/dbraw/zinc/50/43/86/423504386.db2.gz IUSMBYZMZICWIK-CYBMUJFWSA-N 1 2 302.382 1.925 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H](c3nc(C)no3)C2)nn1 ZINC000653504777 423504391 /nfs/dbraw/zinc/50/43/91/423504391.db2.gz IUSMBYZMZICWIK-CYBMUJFWSA-N 1 2 302.382 1.925 20 30 DDEDLO Cc1[nH]c(C(=O)N[C@@H]2CCCN(c3ccc(C#N)cc3)C2=O)c[nH+]1 ZINC000357084953 269993037 /nfs/dbraw/zinc/99/30/37/269993037.db2.gz BQAHRIQWGOFLHL-CQSZACIVSA-N 1 2 323.356 1.515 20 30 DDEDLO Cc1n[nH]cc1C[N@H+](C)CC#CCN1C(=O)c2ccccc2C1=O ZINC000639971962 424008938 /nfs/dbraw/zinc/00/89/38/424008938.db2.gz KXWNNWOUZXLBHC-UHFFFAOYSA-N 1 2 322.368 1.450 20 30 DDEDLO Cc1n[nH]cc1C[N@@H+](C)CC#CCN1C(=O)c2ccccc2C1=O ZINC000639971962 424008951 /nfs/dbraw/zinc/00/89/51/424008951.db2.gz KXWNNWOUZXLBHC-UHFFFAOYSA-N 1 2 322.368 1.450 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000655161539 424261414 /nfs/dbraw/zinc/26/14/14/424261414.db2.gz ZDSOBFQKNQSQKV-GHMZBOCLSA-N 1 2 317.311 1.347 20 30 DDEDLO C=CC[N@@H+](CCN1C(=O)CN(C)C1=O)Cc1ccccc1F ZINC000659853707 424305747 /nfs/dbraw/zinc/30/57/47/424305747.db2.gz VXLYQABDLCLMNY-UHFFFAOYSA-N 1 2 305.353 1.708 20 30 DDEDLO C=CC[N@H+](CCN1C(=O)CN(C)C1=O)Cc1ccccc1F ZINC000659853707 424305756 /nfs/dbraw/zinc/30/57/56/424305756.db2.gz VXLYQABDLCLMNY-UHFFFAOYSA-N 1 2 305.353 1.708 20 30 DDEDLO C=CCN(C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1)[C@@H](C)COC ZINC000664008367 424334508 /nfs/dbraw/zinc/33/45/08/424334508.db2.gz GYFVKXZMUNNUHQ-ZNMIVQPWSA-N 1 2 313.442 1.328 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000664034024 424352070 /nfs/dbraw/zinc/35/20/70/424352070.db2.gz XJDOOYCTHDQSCH-KBPBESRZSA-N 1 2 318.421 1.831 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000664034024 424352077 /nfs/dbraw/zinc/35/20/77/424352077.db2.gz XJDOOYCTHDQSCH-KBPBESRZSA-N 1 2 318.421 1.831 20 30 DDEDLO C=CCOc1ccccc1C[NH2+][C@@H]1CS(=O)(=O)C[C@@H]1OC ZINC000657606225 424440549 /nfs/dbraw/zinc/44/05/49/424440549.db2.gz WHEGSTAVYZTDDT-HIFRSBDPSA-N 1 2 311.403 1.153 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)C(=O)Nc2c[nH+]ccc2OC)C1 ZINC000658435870 424679939 /nfs/dbraw/zinc/67/99/39/424679939.db2.gz FDYCSUAWWFIQGF-GFCCVEGCSA-N 1 2 303.362 1.843 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(c2ncns2)CC1 ZINC000660717507 424755907 /nfs/dbraw/zinc/75/59/07/424755907.db2.gz YWQDTGIZTLMKIW-ZDUSSCGKSA-N 1 2 321.450 1.249 20 30 DDEDLO CCOC(=O)C1(COC)CC[NH+](Cc2nc(C#N)cs2)CC1 ZINC000356313738 266256109 /nfs/dbraw/zinc/25/61/09/266256109.db2.gz DPCVMMJPZVYJSU-UHFFFAOYSA-N 1 2 323.418 1.806 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355105221 266360776 /nfs/dbraw/zinc/36/07/76/266360776.db2.gz VZIGFEIVLYJZFE-ZIAGYGMSSA-N 1 2 315.421 1.378 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1C[C@H](C)O[C@]2(CCOC2)C1 ZINC000373797210 266858329 /nfs/dbraw/zinc/85/83/29/266858329.db2.gz NANXUKGNDKYVMZ-SUMWQHHRSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1C[C@H](C)O[C@]2(CCOC2)C1 ZINC000373797210 266858332 /nfs/dbraw/zinc/85/83/32/266858332.db2.gz NANXUKGNDKYVMZ-SUMWQHHRSA-N 1 2 302.374 1.947 20 30 DDEDLO C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000350839174 267235906 /nfs/dbraw/zinc/23/59/06/267235906.db2.gz WARSJIPRFXEXAQ-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000350839174 267235908 /nfs/dbraw/zinc/23/59/08/267235908.db2.gz WARSJIPRFXEXAQ-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO CN1C[C@H](C[NH+]2CCN(c3cccc(F)c3C#N)CC2)OC1=O ZINC000495732681 267342320 /nfs/dbraw/zinc/34/23/20/267342320.db2.gz XLVUAKDBGKNGBI-GFCCVEGCSA-N 1 2 318.352 1.270 20 30 DDEDLO COC(=O)C[N@H+](Cc1ccc(C#N)cc1F)C1CCOCC1 ZINC000525269447 267363183 /nfs/dbraw/zinc/36/31/83/267363183.db2.gz OPOOTEDJXFYPCE-UHFFFAOYSA-N 1 2 306.337 1.851 20 30 DDEDLO COC(=O)C[N@@H+](Cc1ccc(C#N)cc1F)C1CCOCC1 ZINC000525269447 267363185 /nfs/dbraw/zinc/36/31/85/267363185.db2.gz OPOOTEDJXFYPCE-UHFFFAOYSA-N 1 2 306.337 1.851 20 30 DDEDLO N#Cc1ccc(C2(C(=O)N[C@H]3CCc4[nH+]c[nH]c4C3)CC2)cc1 ZINC000372431390 268052461 /nfs/dbraw/zinc/05/24/61/268052461.db2.gz MFYOPNPQYHLZEL-AWEZNQCLSA-N 1 2 306.369 1.987 20 30 DDEDLO N#Cc1ccc(C2(C(=O)N[C@H]3CCc4[nH]c[nH+]c4C3)CC2)cc1 ZINC000372431390 268052462 /nfs/dbraw/zinc/05/24/62/268052462.db2.gz MFYOPNPQYHLZEL-AWEZNQCLSA-N 1 2 306.369 1.987 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CC[C@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000355834034 288384495 /nfs/dbraw/zinc/38/44/95/288384495.db2.gz AESVPSVGSMGTMP-SJORKVTESA-N 1 2 323.437 1.849 20 30 DDEDLO C[C@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C)c1cccc(O)c1 ZINC000329952520 289233427 /nfs/dbraw/zinc/23/34/27/289233427.db2.gz IWFUKQBEKKVFMH-GLQYFDAESA-N 1 2 305.378 1.382 20 30 DDEDLO C[C@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C)c1cccc(O)c1 ZINC000329952520 289233431 /nfs/dbraw/zinc/23/34/31/289233431.db2.gz IWFUKQBEKKVFMH-GLQYFDAESA-N 1 2 305.378 1.382 20 30 DDEDLO C[C@H](O)C[C@@H]1CCCN1C(=O)NC[C@H]1CCCn2cc[nH+]c21 ZINC000328699175 290131634 /nfs/dbraw/zinc/13/16/34/290131634.db2.gz HZAAFMJAJOLHAN-MJBXVCDLSA-N 1 2 306.410 1.910 20 30 DDEDLO CO[C@@]1(C)C[C@@H](NC(=O)N2CCC(C#N)([NH+](C)C)CC2)C1(C)C ZINC000332446285 294145903 /nfs/dbraw/zinc/14/59/03/294145903.db2.gz MGBALHOJWQFDRK-CJNGLKHVSA-N 1 2 322.453 1.819 20 30 DDEDLO C[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@H](C)[S@@]1=O ZINC000577125071 308365139 /nfs/dbraw/zinc/36/51/39/308365139.db2.gz ZJHAFGALTSHHHY-ICAYICBHSA-N 1 2 302.403 1.547 20 30 DDEDLO C[C@@H](O)C[C@@H]1COCCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000581575270 325878158 /nfs/dbraw/zinc/87/81/58/325878158.db2.gz IOXWLNZAVKXPAA-TZMCWYRMSA-N 1 2 300.362 1.178 20 30 DDEDLO N#C[C@@H]1COCCN1C(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000557632441 331804616 /nfs/dbraw/zinc/80/46/16/331804616.db2.gz UCMFLCIDYRKRLI-CABCVRRESA-N 1 2 310.357 1.683 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)[C@]2(C#N)C[C@@H]2C)nc[nH+]1 ZINC000560178696 332317319 /nfs/dbraw/zinc/31/73/19/332317319.db2.gz UEBWVMVBVXMXBE-OZVIIMIRSA-N 1 2 314.393 1.153 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)[C@]2(C#N)C[C@@H]2C)[nH+]cn1 ZINC000560178696 332317321 /nfs/dbraw/zinc/31/73/21/332317321.db2.gz UEBWVMVBVXMXBE-OZVIIMIRSA-N 1 2 314.393 1.153 20 30 DDEDLO COc1cccc([C@@H]2C[C@H]2C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000582125493 332342101 /nfs/dbraw/zinc/34/21/01/332342101.db2.gz UEHPSKDRVHODMA-ZMSDIMECSA-N 1 2 301.390 1.759 20 30 DDEDLO N#CCC[C@@H](C#N)C[NH2+]CCS(=O)(=O)c1ccc(F)cc1 ZINC000569640501 332596746 /nfs/dbraw/zinc/59/67/46/332596746.db2.gz YNZVGIMWPKPLDM-LBPRGKRZSA-N 1 2 309.366 1.633 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCO[C@@]2(CCSC2)C1 ZINC000517027003 333129223 /nfs/dbraw/zinc/12/92/23/333129223.db2.gz CKZDMRFNPODGMM-ZFWWWQNUSA-N 1 2 311.451 1.202 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCO[C@@]2(CCSC2)C1 ZINC000517027003 333129225 /nfs/dbraw/zinc/12/92/25/333129225.db2.gz CKZDMRFNPODGMM-ZFWWWQNUSA-N 1 2 311.451 1.202 20 30 DDEDLO CC(=O)NCC[N@@H+](C)CC(=O)Nc1ccccc1SCC#N ZINC000563086333 333358748 /nfs/dbraw/zinc/35/87/48/333358748.db2.gz XDHPLGIKDHRFMU-UHFFFAOYSA-N 1 2 320.418 1.309 20 30 DDEDLO CC(=O)NCC[N@H+](C)CC(=O)Nc1ccccc1SCC#N ZINC000563086333 333358749 /nfs/dbraw/zinc/35/87/49/333358749.db2.gz XDHPLGIKDHRFMU-UHFFFAOYSA-N 1 2 320.418 1.309 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH+]1CCC(=Cc2cc(C)on2)CC1 ZINC000360347131 336709324 /nfs/dbraw/zinc/70/93/24/336709324.db2.gz UEJOVMGFBLLHSA-UHFFFAOYSA-N 1 2 318.377 1.474 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1nsc2ccccc12 ZINC000583148928 337246381 /nfs/dbraw/zinc/24/63/81/337246381.db2.gz VBNDKSOOFRETTC-HNNXBMFYSA-N 1 2 302.403 1.799 20 30 DDEDLO C#CC[C@@H]([NH2+][C@@H]1CCCN(C(=O)OC(C)(C)C)CC1)C(=O)OC ZINC000496827360 340013957 /nfs/dbraw/zinc/01/39/57/340013957.db2.gz JZAMIECHPVECPT-ZIAGYGMSSA-N 1 2 324.421 1.931 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCNC(=O)C1CCCCC1 ZINC000516930150 340458866 /nfs/dbraw/zinc/45/88/66/340458866.db2.gz FKHUYKQCUZXCNR-MRXNPFEDSA-N 1 2 308.426 1.033 20 30 DDEDLO CC(C)(C#N)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000544742799 341092693 /nfs/dbraw/zinc/09/26/93/341092693.db2.gz PGYAPZWCCYJMLZ-UHFFFAOYSA-N 1 2 304.375 1.512 20 30 DDEDLO CC(=O)Nc1cccc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000575992161 341819058 /nfs/dbraw/zinc/81/90/58/341819058.db2.gz SHXKKTTYRAYYRJ-MRXNPFEDSA-N 1 2 302.378 1.148 20 30 DDEDLO CC[N@H+](CC(=O)NC1(C#N)CCC1)CC(=O)N1CCCCCC1 ZINC000556853716 484319488 /nfs/dbraw/zinc/31/94/88/484319488.db2.gz WSQKBTZQBTWPIU-UHFFFAOYSA-N 1 2 320.437 1.273 20 30 DDEDLO CC[N@@H+](CC(=O)NC1(C#N)CCC1)CC(=O)N1CCCCCC1 ZINC000556853716 484319490 /nfs/dbraw/zinc/31/94/90/484319490.db2.gz WSQKBTZQBTWPIU-UHFFFAOYSA-N 1 2 320.437 1.273 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCCCCNc1cccc[nH+]1 ZINC000668298176 485086814 /nfs/dbraw/zinc/08/68/14/485086814.db2.gz PVQAHXZIJVQTNJ-HNNXBMFYSA-N 1 2 318.421 1.861 20 30 DDEDLO C=CC[C@H]1CCCC[C@@H]1NC(=O)C(=O)NCCCn1cc[nH+]c1 ZINC000668332650 485112240 /nfs/dbraw/zinc/11/22/40/485112240.db2.gz SQJXRHJOMMRMAS-GJZGRUSLSA-N 1 2 318.421 1.641 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCC(S(C)(=O)=O)CC1 ZINC000675504084 485960382 /nfs/dbraw/zinc/96/03/82/485960382.db2.gz ZSFJWGRQHMSEMC-CYBMUJFWSA-N 1 2 314.451 1.085 20 30 DDEDLO C=CCOCCNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000679648862 485960773 /nfs/dbraw/zinc/96/07/73/485960773.db2.gz NYJYQIBVDLFYBC-UHFFFAOYSA-N 1 2 304.390 1.451 20 30 DDEDLO CCC#C[C@@H](C)[NH+]1CCN(CC(=O)N2CCCC[C@H]2C)CC1 ZINC000677047353 486385474 /nfs/dbraw/zinc/38/54/74/486385474.db2.gz WUNCBXZGZPKRIU-IAGOWNOFSA-N 1 2 305.466 1.807 20 30 DDEDLO CCC#C[C@@H](C)N1CC[NH+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000677047353 486385478 /nfs/dbraw/zinc/38/54/78/486385478.db2.gz WUNCBXZGZPKRIU-IAGOWNOFSA-N 1 2 305.466 1.807 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(C(=O)CC(C)(C)C)CC2)C1=O ZINC000684999214 486483179 /nfs/dbraw/zinc/48/31/79/486483179.db2.gz CJATWQCLEDFJDT-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO Cc1c(C[N@@H+]2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cnn1C ZINC000338185197 533848228 /nfs/dbraw/zinc/84/82/28/533848228.db2.gz LLSYHXVWMYHAOO-KDOFPFPSSA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1c(C[N@H+]2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cnn1C ZINC000338185197 533848244 /nfs/dbraw/zinc/84/82/44/533848244.db2.gz LLSYHXVWMYHAOO-KDOFPFPSSA-N 1 2 321.384 1.574 20 30 DDEDLO C[C@@H](SCC[NH+]1CCOCC1)C(=O)NC(=O)NC(C)(C)C ZINC000330673721 533992876 /nfs/dbraw/zinc/99/28/76/533992876.db2.gz YYCRXFIAZQZIES-LLVKDONJSA-N 1 2 317.455 1.269 20 30 DDEDLO Cc1nc(CN(CCC#N)CC[NH+]2CCOCC2)c(C)s1 ZINC000443607560 534348048 /nfs/dbraw/zinc/34/80/48/534348048.db2.gz VYZTYDYXRKUWCV-UHFFFAOYSA-N 1 2 308.451 1.808 20 30 DDEDLO Cc1nsc(NC(=O)NCC2([NH+]3CCOCC3)CC2)c1C#N ZINC000297400884 534514538 /nfs/dbraw/zinc/51/45/38/534514538.db2.gz KKPZSJXVBKXERH-UHFFFAOYSA-N 1 2 321.406 1.310 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCc2ncsc2C1 ZINC000446068768 534643941 /nfs/dbraw/zinc/64/39/41/534643941.db2.gz SPIDXCRBNRKBIX-UHFFFAOYSA-N 1 2 303.391 1.157 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCc2ncsc2C1 ZINC000446068768 534643945 /nfs/dbraw/zinc/64/39/45/534643945.db2.gz SPIDXCRBNRKBIX-UHFFFAOYSA-N 1 2 303.391 1.157 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+](Cc2cccc(C#N)c2)[C@@H]1C(=O)OC ZINC000156370268 523687135 /nfs/dbraw/zinc/68/71/35/523687135.db2.gz ZAYXAGORNUYPTE-CABCVRRESA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+](Cc2cccc(C#N)c2)[C@@H]1C(=O)OC ZINC000156370268 523687145 /nfs/dbraw/zinc/68/71/45/523687145.db2.gz ZAYXAGORNUYPTE-CABCVRRESA-N 1 2 316.357 1.485 20 30 DDEDLO Cc1nc(N2CCN(C(=O)N[C@@H]3CCC[C@H]3C#N)CC2)cc[nH+]1 ZINC000332129966 534754202 /nfs/dbraw/zinc/75/42/02/534754202.db2.gz JQZSBLRUKVPXNU-UONOGXRCSA-N 1 2 314.393 1.309 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCn2cc[nH+]c2C1)N1CC[C@@H](O)C12CCCC2 ZINC000330348197 534764139 /nfs/dbraw/zinc/76/41/39/534764139.db2.gz IMVOLIZKAGFRLO-CHWSQXEVSA-N 1 2 304.394 1.491 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)N[C@@H]2CCC[C@@H]2C#N)CCO1 ZINC000333004742 534813732 /nfs/dbraw/zinc/81/37/32/534813732.db2.gz DOYZPUDFSYLHAV-BHPKHCPMSA-N 1 2 317.393 1.632 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCc3c(cccc3C(=O)OC)C2)C1=O ZINC000459546664 526482514 /nfs/dbraw/zinc/48/25/14/526482514.db2.gz NNXIGKAHFRRLFO-MRXNPFEDSA-N 1 2 314.385 1.618 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCc3c(cccc3C(=O)OC)C2)C1=O ZINC000459546664 526482521 /nfs/dbraw/zinc/48/25/21/526482521.db2.gz NNXIGKAHFRRLFO-MRXNPFEDSA-N 1 2 314.385 1.618 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCC[C@H](S(C)(=O)=O)C1 ZINC000444804018 526521612 /nfs/dbraw/zinc/52/16/12/526521612.db2.gz QYFICBLHUKZLEY-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCC[C@H](S(C)(=O)=O)C1 ZINC000444804018 526521616 /nfs/dbraw/zinc/52/16/16/526521616.db2.gz QYFICBLHUKZLEY-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc([C@@H]3C[C@@H]3C)o2)CC1 ZINC000349730766 526538239 /nfs/dbraw/zinc/53/82/39/526538239.db2.gz UMUICAPFWYVAOH-GOEBONIOSA-N 1 2 317.433 1.823 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc([C@H]3C[C@H]3C)o2)CC1 ZINC000349730768 526538351 /nfs/dbraw/zinc/53/83/51/526538351.db2.gz UMUICAPFWYVAOH-ZBFHGGJFSA-N 1 2 317.433 1.823 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2nccc3sccc32)CC1 ZINC000338961675 526540673 /nfs/dbraw/zinc/54/06/73/526540673.db2.gz CMEJLBDUNNKKPC-UHFFFAOYSA-N 1 2 316.430 1.721 20 30 DDEDLO C=CCOCC(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000354883062 526724058 /nfs/dbraw/zinc/72/40/58/526724058.db2.gz INGSMCWOYMBHPZ-UHFFFAOYSA-N 1 2 303.406 1.575 20 30 DDEDLO C#CCN(C(=O)Nc1c(C)cc[nH+]c1C)[C@@H]1CCS(=O)(=O)C1 ZINC000491141464 526774014 /nfs/dbraw/zinc/77/40/14/526774014.db2.gz ALKKJNGAZREMDN-CYBMUJFWSA-N 1 2 321.402 1.353 20 30 DDEDLO CC(=O)NC[C@H]1CCCN(C(=O)NCCCn2cc[nH+]c2)C1 ZINC000330477438 526786964 /nfs/dbraw/zinc/78/69/64/526786964.db2.gz MLKZJCPSKMCEBN-CQSZACIVSA-N 1 2 307.398 1.035 20 30 DDEDLO CC(=O)NC[C@H]1CCCN(C([O-])=[NH+]CCCn2cc[nH+]c2)C1 ZINC000330477438 526786968 /nfs/dbraw/zinc/78/69/68/526786968.db2.gz MLKZJCPSKMCEBN-CQSZACIVSA-N 1 2 307.398 1.035 20 30 DDEDLO C=CCOC[C@H]1NC(=O)N(Cc2cn3c(cccc3C)[nH+]2)C1=O ZINC000348447864 526814106 /nfs/dbraw/zinc/81/41/06/526814106.db2.gz QRYJEEXNKSSKBJ-CYBMUJFWSA-N 1 2 314.345 1.266 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1cccc(N2CCCC2=O)c1)C1CC1 ZINC000491505380 526882931 /nfs/dbraw/zinc/88/29/31/526882931.db2.gz LRMANONFPOGJLB-UHFFFAOYSA-N 1 2 311.385 1.850 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1cccc(N2CCCC2=O)c1)C1CC1 ZINC000491505380 526882939 /nfs/dbraw/zinc/88/29/39/526882939.db2.gz LRMANONFPOGJLB-UHFFFAOYSA-N 1 2 311.385 1.850 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN2CC3(CC3)c3ccccc32)CC1 ZINC000491046130 526946934 /nfs/dbraw/zinc/94/69/34/526946934.db2.gz OQMSDHXRSVNPJS-UHFFFAOYSA-N 1 2 309.413 1.316 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN[C@@H](c2ccccc2)C(F)F)CC1 ZINC000491241328 526949792 /nfs/dbraw/zinc/94/97/92/526949792.db2.gz IIOYNKORVXGTAD-INIZCTEOSA-N 1 2 321.371 1.360 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000341856462 527172982 /nfs/dbraw/zinc/17/29/82/527172982.db2.gz WPNGUHWGKNAMEF-KBPBESRZSA-N 1 2 306.410 1.553 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCc1cn2cc(C)ccc2[nH+]1 ZINC000341860365 527173845 /nfs/dbraw/zinc/17/38/45/527173845.db2.gz QAYZIDMKROVMSV-ZDUSSCGKSA-N 1 2 302.378 1.627 20 30 DDEDLO C=CCCCNC(=O)C(=O)N(C)Cc1cn2c([nH+]1)CCCC2 ZINC000342535917 527202983 /nfs/dbraw/zinc/20/29/83/527202983.db2.gz ZXDJVFUCSVMKGX-UHFFFAOYSA-N 1 2 304.394 1.260 20 30 DDEDLO C=CCC[N@@H+](C)CC(=O)N(CCCC)[C@H]1CCS(=O)(=O)C1 ZINC000342728282 527318712 /nfs/dbraw/zinc/31/87/12/527318712.db2.gz WCLKTDPKMPJFGD-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCC[N@H+](C)CC(=O)N(CCCC)[C@H]1CCS(=O)(=O)C1 ZINC000342728282 527318716 /nfs/dbraw/zinc/31/87/16/527318716.db2.gz WCLKTDPKMPJFGD-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)C[C@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC000491773390 527332181 /nfs/dbraw/zinc/33/21/81/527332181.db2.gz UXNCQUIUZWHNKL-XHDPSFHLSA-N 1 2 303.318 1.443 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)C[C@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC000491773390 527332175 /nfs/dbraw/zinc/33/21/75/527332175.db2.gz UXNCQUIUZWHNKL-XHDPSFHLSA-N 1 2 303.318 1.443 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000491659850 527427974 /nfs/dbraw/zinc/42/79/74/527427974.db2.gz IWZJCLYAEKIMLQ-NSHDSACASA-N 1 2 321.308 1.397 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000491659850 527427978 /nfs/dbraw/zinc/42/79/78/527427978.db2.gz IWZJCLYAEKIMLQ-NSHDSACASA-N 1 2 321.308 1.397 20 30 DDEDLO CC(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000331832405 527527324 /nfs/dbraw/zinc/52/73/24/527527324.db2.gz JQQBPFXJXSCGTK-WMLDXEAASA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000331832405 527527330 /nfs/dbraw/zinc/52/73/30/527527330.db2.gz JQQBPFXJXSCGTK-WMLDXEAASA-N 1 2 320.437 1.031 20 30 DDEDLO C=CC[NH+](CC=C)[C@@H](C)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000342797145 527644981 /nfs/dbraw/zinc/64/49/81/527644981.db2.gz DQOFJZURDRSBHF-LBPRGKRZSA-N 1 2 323.418 1.335 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[NH+]1CCC(O)(C(F)F)CC1 ZINC000331119541 527934628 /nfs/dbraw/zinc/93/46/28/527934628.db2.gz AHRMVFXDVUKJNF-RISCZKNCSA-N 1 2 317.380 1.521 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)[N@H+](C)CC(C)(C)C#N)C1 ZINC000433300643 528059983 /nfs/dbraw/zinc/05/99/83/528059983.db2.gz TYNZGRVHOOJURP-KGLIPLIRSA-N 1 2 323.437 1.658 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)[N@@H+](C)CC(C)(C)C#N)C1 ZINC000433300643 528059987 /nfs/dbraw/zinc/05/99/87/528059987.db2.gz TYNZGRVHOOJURP-KGLIPLIRSA-N 1 2 323.437 1.658 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[NH2+][C@@H](C)c2ccon2)CC1 ZINC000349240959 528338078 /nfs/dbraw/zinc/33/80/78/528338078.db2.gz MYGNRMGVUWDFAG-DZGCQCFKSA-N 1 2 319.409 1.018 20 30 DDEDLO CC(C)C[C@]1(CO)CCCN1C(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000331028246 528615891 /nfs/dbraw/zinc/61/58/91/528615891.db2.gz WPHXBQDFUQMLON-HOCLYGCPSA-N 1 2 313.442 1.104 20 30 DDEDLO CC(C)C[C@]1(CO)CCCN1C(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC000331028246 528615897 /nfs/dbraw/zinc/61/58/97/528615897.db2.gz WPHXBQDFUQMLON-HOCLYGCPSA-N 1 2 313.442 1.104 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(c2ccc(C)c(C#N)n2)CC1 ZINC000302601581 528810666 /nfs/dbraw/zinc/81/06/66/528810666.db2.gz CICCOASCPUNKJK-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(c2ccc(C)c(C#N)n2)CC1 ZINC000302601581 528810673 /nfs/dbraw/zinc/81/06/73/528810673.db2.gz CICCOASCPUNKJK-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO CCN1CCN(C(=O)N[C@H](C)CSC)C[C@H]1c1[nH]cc[nH+]1 ZINC000331037912 529107882 /nfs/dbraw/zinc/10/78/82/529107882.db2.gz OKEPCERHXGXNHB-NEPJUHHUSA-N 1 2 311.455 1.754 20 30 DDEDLO CCN1CCN(C(=O)NCC2(CC#N)CC2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000431049251 529127219 /nfs/dbraw/zinc/12/72/19/529127219.db2.gz HZZCLRPBJHSROY-CYBMUJFWSA-N 1 2 316.409 1.492 20 30 DDEDLO CCN1CCN(C(=O)Nc2c(C)noc2C)C[C@H]1c1[nH]cc[nH+]1 ZINC000330325228 529136089 /nfs/dbraw/zinc/13/60/89/529136089.db2.gz JBXDTMMSEBQKHZ-LBPRGKRZSA-N 1 2 318.381 1.939 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](CCOc2ccc(-c3nn[nH]n3)cc2)C1 ZINC000824776908 608125904 /nfs/dbraw/zinc/12/59/04/608125904.db2.gz FNKYMJVJYPWOIH-INIZCTEOSA-N 1 2 312.377 1.871 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](CCOc2ccc(-c3nn[nH]n3)cc2)C1 ZINC000824776908 608125905 /nfs/dbraw/zinc/12/59/05/608125905.db2.gz FNKYMJVJYPWOIH-INIZCTEOSA-N 1 2 312.377 1.871 20 30 DDEDLO C=CCn1nnn(CN2CC[C@@H](C)[C@@H](n3cc[nH+]c3)C2)c1=S ZINC000121645884 696713858 /nfs/dbraw/zinc/71/38/58/696713858.db2.gz NIONROQEXZTCRH-OLZOCXBDSA-N 1 2 319.438 1.732 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3scnc3C)C2)C1 ZINC000972274396 695200783 /nfs/dbraw/zinc/20/07/83/695200783.db2.gz DNMKZGIXWXFYRT-MRXNPFEDSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3scnc3C)C2)C1 ZINC000972274396 695200786 /nfs/dbraw/zinc/20/07/86/695200786.db2.gz DNMKZGIXWXFYRT-MRXNPFEDSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnc(C)s3)C2)C1 ZINC000972290693 695206872 /nfs/dbraw/zinc/20/68/72/695206872.db2.gz GDNURJDVJMGFFT-MRXNPFEDSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnc(C)s3)C2)C1 ZINC000972290693 695206874 /nfs/dbraw/zinc/20/68/74/695206874.db2.gz GDNURJDVJMGFFT-MRXNPFEDSA-N 1 2 319.430 1.392 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cc(C)on3)C2)C1 ZINC000972300903 695210863 /nfs/dbraw/zinc/21/08/63/695210863.db2.gz JRZAQAWERGBJLU-MRXNPFEDSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cc(C)on3)C2)C1 ZINC000972300903 695210864 /nfs/dbraw/zinc/21/08/64/695210864.db2.gz JRZAQAWERGBJLU-MRXNPFEDSA-N 1 2 305.378 1.015 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(C)o3)C2)C1 ZINC000972347726 695223223 /nfs/dbraw/zinc/22/32/23/695223223.db2.gz XTFTWEQDVPRRQQ-KRWDZBQOSA-N 1 2 302.374 1.067 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(C)o3)C2)C1 ZINC000972347726 695223225 /nfs/dbraw/zinc/22/32/25/695223225.db2.gz XTFTWEQDVPRRQQ-KRWDZBQOSA-N 1 2 302.374 1.067 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3ccnn3C)C2)C1 ZINC000972387287 695237510 /nfs/dbraw/zinc/23/75/10/695237510.db2.gz OBKYKDRMKATMHN-RHSMWYFYSA-N 1 2 318.421 1.013 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3ccnn3C)C2)C1 ZINC000972387287 695237512 /nfs/dbraw/zinc/23/75/12/695237512.db2.gz OBKYKDRMKATMHN-RHSMWYFYSA-N 1 2 318.421 1.013 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)c(F)c3)C2)C1 ZINC000972412857 695243111 /nfs/dbraw/zinc/24/31/11/695243111.db2.gz AJURASQELVKDHD-QGZVFWFLSA-N 1 2 320.339 1.515 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)c(F)c3)C2)C1 ZINC000972412857 695243112 /nfs/dbraw/zinc/24/31/12/695243112.db2.gz AJURASQELVKDHD-QGZVFWFLSA-N 1 2 320.339 1.515 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3nc(C)sc3C)C2)C1 ZINC000972428688 695248431 /nfs/dbraw/zinc/24/84/31/695248431.db2.gz MZLKVHAKQWCAHA-INIZCTEOSA-N 1 2 321.446 1.863 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3nc(C)sc3C)C2)C1 ZINC000972428688 695248432 /nfs/dbraw/zinc/24/84/32/695248432.db2.gz MZLKVHAKQWCAHA-INIZCTEOSA-N 1 2 321.446 1.863 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)cc(C)n3)C2)C1 ZINC000972440670 695252196 /nfs/dbraw/zinc/25/21/96/695252196.db2.gz IRDSSWMZZLESAF-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)cc(C)n3)C2)C1 ZINC000972440670 695252199 /nfs/dbraw/zinc/25/21/99/695252199.db2.gz IRDSSWMZZLESAF-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(Cl)n3C)C2)C1 ZINC000972463619 695256987 /nfs/dbraw/zinc/25/69/87/695256987.db2.gz VQJXUQGBWPPBRG-HNNXBMFYSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(Cl)n3C)C2)C1 ZINC000972463619 695256989 /nfs/dbraw/zinc/25/69/89/695256989.db2.gz VQJXUQGBWPPBRG-HNNXBMFYSA-N 1 2 324.812 1.176 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C3CC3)C2)C1 ZINC000972510899 695268579 /nfs/dbraw/zinc/26/85/79/695268579.db2.gz GJCZTPMANOLIEO-GOSISDBHSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C3CC3)C2)C1 ZINC000972510899 695268583 /nfs/dbraw/zinc/26/85/83/695268583.db2.gz GJCZTPMANOLIEO-GOSISDBHSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3CC(C)(C)C3)C2)C1 ZINC000972518710 695271374 /nfs/dbraw/zinc/27/13/74/695271374.db2.gz KSZCELAQKZEZMH-SFHVURJKSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3CC(C)(C)C3)C2)C1 ZINC000972518710 695271377 /nfs/dbraw/zinc/27/13/77/695271377.db2.gz KSZCELAQKZEZMH-SFHVURJKSA-N 1 2 304.434 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3CC(OCC)C3)C2)C1 ZINC000972521175 695272332 /nfs/dbraw/zinc/27/23/32/695272332.db2.gz YAPAMCCLVNVGJC-HTWSVDAQSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3CC(OCC)C3)C2)C1 ZINC000972521175 695272334 /nfs/dbraw/zinc/27/23/34/695272334.db2.gz YAPAMCCLVNVGJC-HTWSVDAQSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@]2(C1)C[N@H+](CC#CC)CCO2 ZINC000972532631 695274272 /nfs/dbraw/zinc/27/42/72/695274272.db2.gz SZUZMKGROAKDQT-MSOLQXFVSA-N 1 2 304.434 1.915 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@]2(C1)C[N@@H+](CC#CC)CCO2 ZINC000972532631 695274275 /nfs/dbraw/zinc/27/42/75/695274275.db2.gz SZUZMKGROAKDQT-MSOLQXFVSA-N 1 2 304.434 1.915 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C)CCCCC3)C2)C1 ZINC000972533862 695274662 /nfs/dbraw/zinc/27/46/62/695274662.db2.gz GUPCNQZTSYFWJF-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C)CCCCC3)C2)C1 ZINC000972533862 695274663 /nfs/dbraw/zinc/27/46/63/695274663.db2.gz GUPCNQZTSYFWJF-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3conc3C3CC3)C2)C1 ZINC000972546335 695278664 /nfs/dbraw/zinc/27/86/64/695278664.db2.gz NPAOSRNKDAWGRV-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3conc3C3CC3)C2)C1 ZINC000972546335 695278666 /nfs/dbraw/zinc/27/86/66/695278666.db2.gz NPAOSRNKDAWGRV-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccnc3C)C2)C1 ZINC000972552283 695279916 /nfs/dbraw/zinc/27/99/16/695279916.db2.gz MTVXRGUTWJHELZ-SFHVURJKSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccnc3C)C2)C1 ZINC000972552283 695279917 /nfs/dbraw/zinc/27/99/17/695279917.db2.gz MTVXRGUTWJHELZ-SFHVURJKSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3coc(C4CC4)n3)C2)C1 ZINC000972585314 695290272 /nfs/dbraw/zinc/29/02/72/695290272.db2.gz KDGOUNLYEHWQLO-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3coc(C4CC4)n3)C2)C1 ZINC000972585314 695290274 /nfs/dbraw/zinc/29/02/74/695290274.db2.gz KDGOUNLYEHWQLO-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H](C)C3CC3)C2)C1 ZINC000972693349 695317958 /nfs/dbraw/zinc/31/79/58/695317958.db2.gz CGSAOHNUFCZVJK-CRAIPNDOSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H](C)C3CC3)C2)C1 ZINC000972693349 695317960 /nfs/dbraw/zinc/31/79/60/695317960.db2.gz CGSAOHNUFCZVJK-CRAIPNDOSA-N 1 2 304.434 1.749 20 30 DDEDLO CC[N@H+](CC(=O)NCCC#N)Cc1ccc(OC)cc1OC ZINC000746143971 700007014 /nfs/dbraw/zinc/00/70/14/700007014.db2.gz MWMDXPMUEBHBBQ-UHFFFAOYSA-N 1 2 305.378 1.556 20 30 DDEDLO CC[N@@H+](CC(=O)NCCC#N)Cc1ccc(OC)cc1OC ZINC000746143971 700007015 /nfs/dbraw/zinc/00/70/15/700007015.db2.gz MWMDXPMUEBHBBQ-UHFFFAOYSA-N 1 2 305.378 1.556 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2sc3nccn3c2C)C(C)(C)C1 ZINC000974698179 695714134 /nfs/dbraw/zinc/71/41/34/695714134.db2.gz KTFYWCTYFUBZPF-GFCCVEGCSA-N 1 2 316.430 1.778 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2sc3nccn3c2C)C(C)(C)C1 ZINC000974698179 695714136 /nfs/dbraw/zinc/71/41/36/695714136.db2.gz KTFYWCTYFUBZPF-GFCCVEGCSA-N 1 2 316.430 1.778 20 30 DDEDLO N#Cc1c(F)cccc1S(=O)(=O)NCCCn1cc[nH+]c1 ZINC000070397841 696387792 /nfs/dbraw/zinc/38/77/92/696387792.db2.gz SGPUZKHBRUBNDO-UHFFFAOYSA-N 1 2 308.338 1.262 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CCCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC000981670479 696867750 /nfs/dbraw/zinc/86/77/50/696867750.db2.gz YYFDQZDGQJPOAP-CQSZACIVSA-N 1 2 315.421 1.487 20 30 DDEDLO CCn1ccnc1C[N@H+]1CCCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC000981670479 696867752 /nfs/dbraw/zinc/86/77/52/696867752.db2.gz YYFDQZDGQJPOAP-CQSZACIVSA-N 1 2 315.421 1.487 20 30 DDEDLO O=C([C@@H]1CCOC1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980803662 696895375 /nfs/dbraw/zinc/89/53/75/696895375.db2.gz YTWGJPXTBIJEDL-GOSISDBHSA-N 1 2 312.413 1.609 20 30 DDEDLO O=C([C@@H]1CCOC1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980803662 696895377 /nfs/dbraw/zinc/89/53/77/696895377.db2.gz YTWGJPXTBIJEDL-GOSISDBHSA-N 1 2 312.413 1.609 20 30 DDEDLO CC(=O)OC1CC[NH+]([C@H](C)C(=O)Nc2ccccc2C#N)CC1 ZINC000153457695 696916133 /nfs/dbraw/zinc/91/61/33/696916133.db2.gz MCSXBSGWIVLZBM-GFCCVEGCSA-N 1 2 315.373 1.913 20 30 DDEDLO CCc1nocc1C[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC000981125664 697011527 /nfs/dbraw/zinc/01/15/27/697011527.db2.gz JBJWMWRRRUUSPJ-UHFFFAOYSA-N 1 2 301.390 1.685 20 30 DDEDLO CCc1nocc1C[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC000981125664 697011530 /nfs/dbraw/zinc/01/15/30/697011530.db2.gz JBJWMWRRRUUSPJ-UHFFFAOYSA-N 1 2 301.390 1.685 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000171399776 697357789 /nfs/dbraw/zinc/35/77/89/697357789.db2.gz YWIGHLNIEWGQCU-OAHLLOKOSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000171399776 697357792 /nfs/dbraw/zinc/35/77/92/697357792.db2.gz YWIGHLNIEWGQCU-OAHLLOKOSA-N 1 2 304.346 1.201 20 30 DDEDLO CO[C@@H](C)CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000749564143 700170393 /nfs/dbraw/zinc/17/03/93/700170393.db2.gz QRGOUKXKVOLIMS-AWEZNQCLSA-N 1 2 301.390 1.627 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000775422833 697995407 /nfs/dbraw/zinc/99/54/07/697995407.db2.gz ZJXSFXRUMJUETB-OAHLLOKOSA-N 1 2 314.389 1.856 20 30 DDEDLO C#C[C@H]([NH2+]Cc1cc(=O)n2c(C)csc2n1)[C@H]1CCCO1 ZINC000775791281 698034111 /nfs/dbraw/zinc/03/41/11/698034111.db2.gz SLMOJIZYFARILE-QWHCGFSZSA-N 1 2 303.387 1.335 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)Nc1nnc(C(C)(C)C)s1)[C@H]1CCCO1 ZINC000775801778 698038781 /nfs/dbraw/zinc/03/87/81/698038781.db2.gz YZNLDNPEIAAYLB-GHMZBOCLSA-N 1 2 322.434 1.545 20 30 DDEDLO C[C@@H]1C[N@H+](CCOC(=O)Cc2cccc(OCC#N)c2)CCO1 ZINC000800190746 700200265 /nfs/dbraw/zinc/20/02/65/700200265.db2.gz MFUHHMNZAAOXBN-CQSZACIVSA-N 1 2 318.373 1.395 20 30 DDEDLO C[C@@H]1C[N@@H+](CCOC(=O)Cc2cccc(OCC#N)c2)CCO1 ZINC000800190746 700200269 /nfs/dbraw/zinc/20/02/69/700200269.db2.gz MFUHHMNZAAOXBN-CQSZACIVSA-N 1 2 318.373 1.395 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[NH2+]C3(C(N)=O)CCCC3)o2)cc1 ZINC000750049561 700200544 /nfs/dbraw/zinc/20/05/44/700200544.db2.gz DKWWGEITIQYLLL-UHFFFAOYSA-N 1 2 311.345 1.496 20 30 DDEDLO C[C@H]1C[C@H]([NH2+]Cc2nnc(C3CC3)o2)CN1C(=O)C#CC1CC1 ZINC000988827325 698477887 /nfs/dbraw/zinc/47/78/87/698477887.db2.gz RVHLGLRJWVVRRP-FZMZJTMJSA-N 1 2 314.389 1.439 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=Cc2cn(-c3ccc(F)c(Cl)c3)nn2)N1 ZINC000782908373 698783408 /nfs/dbraw/zinc/78/34/08/698783408.db2.gz QEMHQOYMUVGNCF-MRVPVSSYSA-N 1 2 321.747 1.331 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000459824146 699198579 /nfs/dbraw/zinc/19/85/79/699198579.db2.gz SGMXJVZAWCAFSZ-AWEZNQCLSA-N 1 2 318.421 1.551 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@@]12CCC[C@@H]1N(CC#N)CC2 ZINC000991335653 699302479 /nfs/dbraw/zinc/30/24/79/699302479.db2.gz WAWLSJWKLFGBNS-DOTOQJQBSA-N 1 2 314.389 1.269 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)CCCC(=O)N2 ZINC000732072840 699545531 /nfs/dbraw/zinc/54/55/31/699545531.db2.gz QOXFIBRUTBTHCK-INIZCTEOSA-N 1 2 311.385 1.998 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)CCCC(=O)N2 ZINC000732072840 699545533 /nfs/dbraw/zinc/54/55/33/699545533.db2.gz QOXFIBRUTBTHCK-INIZCTEOSA-N 1 2 311.385 1.998 20 30 DDEDLO C[C@@]1(O)CCC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC1 ZINC000794670644 699822892 /nfs/dbraw/zinc/82/28/92/699822892.db2.gz BUDHHUPWBMLCSJ-QGZVFWFLSA-N 1 2 301.390 1.403 20 30 DDEDLO C[C@@]1(O)CCC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC1 ZINC000794670644 699822894 /nfs/dbraw/zinc/82/28/94/699822894.db2.gz BUDHHUPWBMLCSJ-QGZVFWFLSA-N 1 2 301.390 1.403 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CCC[C@@H](CCO)C1 ZINC000741787723 699852211 /nfs/dbraw/zinc/85/22/11/699852211.db2.gz KNESSRPAZSNLEB-GOEBONIOSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CCC[C@@H](CCO)C1 ZINC000741787723 699852213 /nfs/dbraw/zinc/85/22/13/699852213.db2.gz KNESSRPAZSNLEB-GOEBONIOSA-N 1 2 302.374 1.867 20 30 DDEDLO C[C@H]1CC(=NN2C(=S)N=NC2[C@@H]2CCCO2)C[N@@H+]1C1CC1 ZINC000753954147 700471675 /nfs/dbraw/zinc/47/16/75/700471675.db2.gz BOWZLEXGXKVPSI-CABZTGNLSA-N 1 2 307.423 1.879 20 30 DDEDLO C[C@H]1CC(=NN2C(=S)N=NC2[C@@H]2CCCO2)C[N@H+]1C1CC1 ZINC000753954147 700471677 /nfs/dbraw/zinc/47/16/77/700471677.db2.gz BOWZLEXGXKVPSI-CABZTGNLSA-N 1 2 307.423 1.879 20 30 DDEDLO COC(=O)CC1CC[NH+](Cn2ccc(C)c(C#N)c2=O)CC1 ZINC000758200097 700689314 /nfs/dbraw/zinc/68/93/14/700689314.db2.gz WFXWZWWNLPRXRM-UHFFFAOYSA-N 1 2 303.362 1.261 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CCCC(C)(C)C)CC1 ZINC000809392080 701663402 /nfs/dbraw/zinc/66/34/02/701663402.db2.gz HKHNGRVYFRPLPA-UHFFFAOYSA-N 1 2 300.468 1.783 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CC(C)C)C(=O)OC(C)(C)C ZINC000760120849 700792470 /nfs/dbraw/zinc/79/24/70/700792470.db2.gz HWYLZLLOQQYODL-CABCVRRESA-N 1 2 322.449 1.957 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CC(C)C)C(=O)OC(C)(C)C ZINC000760120849 700792471 /nfs/dbraw/zinc/79/24/71/700792471.db2.gz HWYLZLLOQQYODL-CABCVRRESA-N 1 2 322.449 1.957 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N1CC(Oc2cc[nH+]cc2)C1 ZINC000762193186 700884570 /nfs/dbraw/zinc/88/45/70/700884570.db2.gz XFEKRFLVQWWRMW-UHFFFAOYSA-N 1 2 322.320 1.452 20 30 DDEDLO CC(C)(C)n1ncc2c1nc[nH+]c2NC1CCN(CC#N)CC1 ZINC000766406830 701049122 /nfs/dbraw/zinc/04/91/22/701049122.db2.gz FWAAABQSCRCPKY-UHFFFAOYSA-N 1 2 313.409 1.981 20 30 DDEDLO C[C@]1(O)CCC[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000767027316 701078769 /nfs/dbraw/zinc/07/87/69/701078769.db2.gz WSQVUTBAHGEIDU-KRWDZBQOSA-N 1 2 312.373 1.267 20 30 DDEDLO C[C@]1(O)CCC[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000767027316 701078772 /nfs/dbraw/zinc/07/87/72/701078772.db2.gz WSQVUTBAHGEIDU-KRWDZBQOSA-N 1 2 312.373 1.267 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2oc(C)nc2C)CC1 ZINC000772112474 701343786 /nfs/dbraw/zinc/34/37/86/701343786.db2.gz BYVVAIQZEBGPRW-UHFFFAOYSA-N 1 2 304.346 1.363 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCCCOc2ccc(C#N)cc2)C1 ZINC000805476412 701384621 /nfs/dbraw/zinc/38/46/21/701384621.db2.gz OQGLOPDQIMQLNL-MRXNPFEDSA-N 1 2 318.373 1.591 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCCCOc2ccc(C#N)cc2)C1 ZINC000805476412 701384622 /nfs/dbraw/zinc/38/46/22/701384622.db2.gz OQGLOPDQIMQLNL-MRXNPFEDSA-N 1 2 318.373 1.591 20 30 DDEDLO C=CCN1CCN(C[N@H+](Cc2cnn(C)c2)CC(C)C)C1=O ZINC000840159037 702002993 /nfs/dbraw/zinc/00/29/93/702002993.db2.gz FECYHDJRPISXJW-UHFFFAOYSA-N 1 2 305.426 1.759 20 30 DDEDLO C=CCN1CCN(C[N@@H+](Cc2cnn(C)c2)CC(C)C)C1=O ZINC000840159037 702002998 /nfs/dbraw/zinc/00/29/98/702002998.db2.gz FECYHDJRPISXJW-UHFFFAOYSA-N 1 2 305.426 1.759 20 30 DDEDLO C[C@@H]([NH2+]C[C@@H]1CCCS(=O)(=O)C1)c1cccc(C#N)c1O ZINC000866355905 706680110 /nfs/dbraw/zinc/68/01/10/706680110.db2.gz GKNVMDIIQMAVSH-NEPJUHHUSA-N 1 2 308.403 1.739 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)C#Cc1cccs1 ZINC000831359372 706693247 /nfs/dbraw/zinc/69/32/47/706693247.db2.gz VKTKIIJTUCKAKD-UHFFFAOYSA-N 1 2 306.431 1.669 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)C#Cc1cccs1 ZINC000831359372 706693250 /nfs/dbraw/zinc/69/32/50/706693250.db2.gz VKTKIIJTUCKAKD-UHFFFAOYSA-N 1 2 306.431 1.669 20 30 DDEDLO CC[NH2+]Cc1noc(CCc2c(C)[nH]c(=O)c(C#N)c2C)n1 ZINC000843142581 702827881 /nfs/dbraw/zinc/82/78/81/702827881.db2.gz JRZYTYCOYCAEDI-UHFFFAOYSA-N 1 2 301.350 1.553 20 30 DDEDLO C[N@@H+](CC(=O)Nc1nncs1)C[C@H](O)c1cccc(C#N)c1 ZINC000844365746 703020232 /nfs/dbraw/zinc/02/02/32/703020232.db2.gz HVUOCNCJUCIJHI-LBPRGKRZSA-N 1 2 317.374 1.014 20 30 DDEDLO C[N@H+](CC(=O)Nc1nncs1)C[C@H](O)c1cccc(C#N)c1 ZINC000844365746 703020235 /nfs/dbraw/zinc/02/02/35/703020235.db2.gz HVUOCNCJUCIJHI-LBPRGKRZSA-N 1 2 317.374 1.014 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)COC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845281316 703138239 /nfs/dbraw/zinc/13/82/39/703138239.db2.gz KPUJBVIYCCZECG-STQMWFEESA-N 1 2 306.366 1.386 20 30 DDEDLO C#C[C@H]1CCC[N@@H+]([C@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC000847027531 703367743 /nfs/dbraw/zinc/36/77/43/703367743.db2.gz BWAMCEYBSPQNSV-MNOVXSKESA-N 1 2 305.403 1.519 20 30 DDEDLO C#C[C@H]1CCC[N@H+]([C@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC000847027531 703367746 /nfs/dbraw/zinc/36/77/46/703367746.db2.gz BWAMCEYBSPQNSV-MNOVXSKESA-N 1 2 305.403 1.519 20 30 DDEDLO C#C[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C)[nH+]c2N(C)C)C1 ZINC000847563526 703437422 /nfs/dbraw/zinc/43/74/22/703437422.db2.gz WRHBLEHLXZPZAU-ZDUSSCGKSA-N 1 2 314.389 1.266 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[N@@H+]1CCn2nncc2C1 ZINC000848921954 703604138 /nfs/dbraw/zinc/60/41/38/703604138.db2.gz KIWNINZMLWSITH-UHFFFAOYSA-N 1 2 302.765 1.959 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[N@H+]1CCn2nncc2C1 ZINC000848921954 703604139 /nfs/dbraw/zinc/60/41/39/703604139.db2.gz KIWNINZMLWSITH-UHFFFAOYSA-N 1 2 302.765 1.959 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+](CCc2ccc(C#N)cc2)CC1 ZINC000851742056 703841783 /nfs/dbraw/zinc/84/17/83/703841783.db2.gz PZPYYIGUAFMHSV-UHFFFAOYSA-N 1 2 307.419 1.068 20 30 DDEDLO CC(C)C[N@H+](CCC#N)[C@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000851780149 703853653 /nfs/dbraw/zinc/85/36/53/703853653.db2.gz YJCABQRRIOVDFV-AWEZNQCLSA-N 1 2 323.437 1.801 20 30 DDEDLO CC(C)C[N@@H+](CCC#N)[C@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000851780149 703853656 /nfs/dbraw/zinc/85/36/56/703853656.db2.gz YJCABQRRIOVDFV-AWEZNQCLSA-N 1 2 323.437 1.801 20 30 DDEDLO CCN(C)C(=O)CC[N@@H+]1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852329932 704013600 /nfs/dbraw/zinc/01/36/00/704013600.db2.gz MLVLQLWYFHJEKD-KRWDZBQOSA-N 1 2 300.406 1.807 20 30 DDEDLO CCN(C)C(=O)CC[N@H+]1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852329932 704013603 /nfs/dbraw/zinc/01/36/03/704013603.db2.gz MLVLQLWYFHJEKD-KRWDZBQOSA-N 1 2 300.406 1.807 20 30 DDEDLO C#C[C@H](NC(=O)NCCNc1cccc[nH+]1)C1CCOCC1 ZINC000852643976 704091291 /nfs/dbraw/zinc/09/12/91/704091291.db2.gz HWUMRWFUWALWBV-AWEZNQCLSA-N 1 2 302.378 1.221 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cccc(C#N)n1 ZINC000879927161 706821709 /nfs/dbraw/zinc/82/17/09/706821709.db2.gz NROPEVRMEPFQFW-ZDUSSCGKSA-N 1 2 303.366 1.186 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1cccc(C#N)n1 ZINC000879927161 706821712 /nfs/dbraw/zinc/82/17/12/706821712.db2.gz NROPEVRMEPFQFW-ZDUSSCGKSA-N 1 2 303.366 1.186 20 30 DDEDLO N#Cc1ccc2[nH]c(NC(=O)[C@H]3CCn4cc[nH+]c4C3)nc2c1 ZINC000871073303 704213463 /nfs/dbraw/zinc/21/34/63/704213463.db2.gz XCKFEIZUBKEVBJ-NSHDSACASA-N 1 2 306.329 1.832 20 30 DDEDLO Cn1ncc(C(F)(F)F)c1C=NNCCCn1cc[nH+]c1 ZINC000853372396 704237286 /nfs/dbraw/zinc/23/72/86/704237286.db2.gz MEZUNFIJIAKZQD-UHFFFAOYSA-N 1 2 300.288 1.649 20 30 DDEDLO Cn1cc([C@H]2N(C[N@@H+]3CCC[C@H](CC#N)C3)C(=O)C2(C)C)cn1 ZINC000853525168 704259854 /nfs/dbraw/zinc/25/98/54/704259854.db2.gz UGKKLWNZJJEBRD-UKRRQHHQSA-N 1 2 315.421 1.913 20 30 DDEDLO Cn1cc([C@H]2N(C[N@H+]3CCC[C@H](CC#N)C3)C(=O)C2(C)C)cn1 ZINC000853525168 704259856 /nfs/dbraw/zinc/25/98/56/704259856.db2.gz UGKKLWNZJJEBRD-UKRRQHHQSA-N 1 2 315.421 1.913 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)N[C@](CCC)(C2CC2)C1=O ZINC000853620733 704287303 /nfs/dbraw/zinc/28/73/03/704287303.db2.gz BVXSJRFMODDKHH-QGZVFWFLSA-N 1 2 321.421 1.416 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)N[C@](CCC)(C2CC2)C1=O ZINC000853620733 704287304 /nfs/dbraw/zinc/28/73/04/704287304.db2.gz BVXSJRFMODDKHH-QGZVFWFLSA-N 1 2 321.421 1.416 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)NC(C2CC2)(C2CC2)C1=O ZINC000853620823 704287353 /nfs/dbraw/zinc/28/73/53/704287353.db2.gz FYIDBXOIYRWFMC-UHFFFAOYSA-N 1 2 319.405 1.026 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)NC(C2CC2)(C2CC2)C1=O ZINC000853620823 704287354 /nfs/dbraw/zinc/28/73/54/704287354.db2.gz FYIDBXOIYRWFMC-UHFFFAOYSA-N 1 2 319.405 1.026 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CCc2cc(C(=O)OC)ccc21 ZINC000854969725 704464534 /nfs/dbraw/zinc/46/45/34/704464534.db2.gz MJXXRUDVLQJUBC-INIZCTEOSA-N 1 2 312.369 1.460 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCc2cc(C(=O)OC)ccc21 ZINC000854969725 704464535 /nfs/dbraw/zinc/46/45/35/704464535.db2.gz MJXXRUDVLQJUBC-INIZCTEOSA-N 1 2 312.369 1.460 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CC[C@](OC)(C(F)(F)F)C1 ZINC000880304481 706934476 /nfs/dbraw/zinc/93/44/76/706934476.db2.gz ZVNRGNHWBWOWRS-WCQYABFASA-N 1 2 321.343 1.648 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@](OC)(C(F)(F)F)C1 ZINC000880304481 706934479 /nfs/dbraw/zinc/93/44/79/706934479.db2.gz ZVNRGNHWBWOWRS-WCQYABFASA-N 1 2 321.343 1.648 20 30 DDEDLO C#CC[C@@H](COC)Nc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000858860108 704765296 /nfs/dbraw/zinc/76/52/96/704765296.db2.gz YYSVWCRSWZMPCA-KBPBESRZSA-N 1 2 304.394 1.136 20 30 DDEDLO C#CC[C@@H](COC)Nc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000858860108 704765298 /nfs/dbraw/zinc/76/52/98/704765298.db2.gz YYSVWCRSWZMPCA-KBPBESRZSA-N 1 2 304.394 1.136 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C2CCC=CCC2)CC1 ZINC000874382876 705029619 /nfs/dbraw/zinc/02/96/19/705029619.db2.gz KWVUQLNIYPUAPI-UHFFFAOYSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C2CCC=CCC2)CC1 ZINC000874382876 705029623 /nfs/dbraw/zinc/02/96/23/705029623.db2.gz KWVUQLNIYPUAPI-UHFFFAOYSA-N 1 2 317.433 1.017 20 30 DDEDLO CC(C)NS(=O)(=O)c1ccc(NNC=C2CC2(F)F)[nH+]c1 ZINC000834939550 707150353 /nfs/dbraw/zinc/15/03/53/707150353.db2.gz HTHDKQXPFHNDGM-VIFPVBQESA-N 1 2 318.349 1.821 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)NCC(C)(C)CCC#N ZINC000875583912 705438125 /nfs/dbraw/zinc/43/81/25/705438125.db2.gz SWJNWMUXYNXNJH-AWEZNQCLSA-N 1 2 310.442 1.726 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)NCC(C)(C)CCC#N ZINC000875583912 705438128 /nfs/dbraw/zinc/43/81/28/705438128.db2.gz SWJNWMUXYNXNJH-AWEZNQCLSA-N 1 2 310.442 1.726 20 30 DDEDLO C=CCONC(=O)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000875649148 705462648 /nfs/dbraw/zinc/46/26/48/705462648.db2.gz CLSQGAWHJPKDIN-MRXNPFEDSA-N 1 2 319.405 1.613 20 30 DDEDLO C=CCONC(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000875649148 705462652 /nfs/dbraw/zinc/46/26/52/705462652.db2.gz CLSQGAWHJPKDIN-MRXNPFEDSA-N 1 2 319.405 1.613 20 30 DDEDLO Cc1c(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)cnn1CCC#N ZINC000826719395 705856933 /nfs/dbraw/zinc/85/69/33/705856933.db2.gz ZAIYCQBSAUGBAS-AWEZNQCLSA-N 1 2 324.388 1.509 20 30 DDEDLO C#CCOc1ccccc1C[NH2+]Cc1cc(C(=O)OC)no1 ZINC000877046569 705971239 /nfs/dbraw/zinc/97/12/39/705971239.db2.gz POQBPTFLTSTQGP-UHFFFAOYSA-N 1 2 300.314 1.763 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)[C@H](CC)[N@@H+]1CCO[C@@H](CC)C1 ZINC000863780544 706009138 /nfs/dbraw/zinc/00/91/38/706009138.db2.gz BYEAQMIJNQVVHQ-PMPSAXMXSA-N 1 2 310.438 1.420 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)[C@H](CC)[N@H+]1CCO[C@@H](CC)C1 ZINC000863780544 706009139 /nfs/dbraw/zinc/00/91/39/706009139.db2.gz BYEAQMIJNQVVHQ-PMPSAXMXSA-N 1 2 310.438 1.420 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC000827826304 706072776 /nfs/dbraw/zinc/07/27/76/706072776.db2.gz CCBNMSCVHDXTMB-HUUCEWRRSA-N 1 2 309.369 1.852 20 30 DDEDLO C#CC[C@H]([NH2+]C1CCN(c2ccccc2OC)CC1)C(=O)OC ZINC000877584304 706149784 /nfs/dbraw/zinc/14/97/84/706149784.db2.gz ZQLUKHLOSIGHOP-HNNXBMFYSA-N 1 2 316.401 1.819 20 30 DDEDLO C#CC1CC[NH+](Cc2ccc(Cl)c(S(N)(=O)=O)c2)CC1 ZINC000877607146 706157594 /nfs/dbraw/zinc/15/75/94/706157594.db2.gz YTTHMZLOCCDSPS-UHFFFAOYSA-N 1 2 312.822 1.833 20 30 DDEDLO N#C[C@@]1(C(=O)N2CCN(c3cccc[nH+]3)CC2)CCCOC1 ZINC000864440832 706170382 /nfs/dbraw/zinc/17/03/82/706170382.db2.gz PTEDQBLAYMLAKH-INIZCTEOSA-N 1 2 300.362 1.051 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)C#Cc2cccs2)[C@@H](C)C1 ZINC000828499343 706190418 /nfs/dbraw/zinc/19/04/18/706190418.db2.gz WDQCOIZMNNQKDY-ZDUSSCGKSA-N 1 2 320.414 1.195 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)C#Cc2cccs2)[C@@H](C)C1 ZINC000828499343 706190421 /nfs/dbraw/zinc/19/04/21/706190421.db2.gz WDQCOIZMNNQKDY-ZDUSSCGKSA-N 1 2 320.414 1.195 20 30 DDEDLO Cc1nc(N2CCN(C(=O)C#Cc3cccs3)CC2)cc[nH+]1 ZINC000829252992 706309493 /nfs/dbraw/zinc/30/94/93/706309493.db2.gz NXUYDZIKPUUEIY-UHFFFAOYSA-N 1 2 312.398 1.547 20 30 DDEDLO N#C[C@]1(C(=O)NCCCCNc2cccc[nH+]2)CCCOC1 ZINC000865175823 706370971 /nfs/dbraw/zinc/37/09/71/706370971.db2.gz KDLCBJZGDGYYDW-MRXNPFEDSA-N 1 2 302.378 1.710 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@H](C(C)(C)O)C1 ZINC000880146648 706892245 /nfs/dbraw/zinc/89/22/45/706892245.db2.gz NOVWVXYLWQYOGK-HUUCEWRRSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@H](C(C)(C)O)C1 ZINC000880146648 706892246 /nfs/dbraw/zinc/89/22/46/706892246.db2.gz NOVWVXYLWQYOGK-HUUCEWRRSA-N 1 2 302.374 1.865 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)N(CC)CC(F)(F)F)CC1 ZINC000880482564 706988422 /nfs/dbraw/zinc/98/84/22/706988422.db2.gz NHFNLUBSPRMLOX-UHFFFAOYSA-N 1 2 306.328 1.247 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cccc(CC#N)n2)[C@@H](C)CO1 ZINC000881141616 707134535 /nfs/dbraw/zinc/13/45/35/707134535.db2.gz ANSKRBJPYUTIIQ-QWHCGFSZSA-N 1 2 317.393 1.378 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cccc(CC#N)n2)[C@@H](C)CO1 ZINC000881141616 707134538 /nfs/dbraw/zinc/13/45/38/707134538.db2.gz ANSKRBJPYUTIIQ-QWHCGFSZSA-N 1 2 317.393 1.378 20 30 DDEDLO COC[C@H](C)NC(=S)NN=C1CCC[N@@H+]2CCSC[C@@H]12 ZINC000872331746 707384550 /nfs/dbraw/zinc/38/45/50/707384550.db2.gz TXOJVBQUBBOOFO-JQWIXIFHSA-N 1 2 316.496 1.053 20 30 DDEDLO COC[C@H](C)NC(=S)NN=C1CCC[N@H+]2CCSC[C@@H]12 ZINC000872331746 707384554 /nfs/dbraw/zinc/38/45/54/707384554.db2.gz TXOJVBQUBBOOFO-JQWIXIFHSA-N 1 2 316.496 1.053 20 30 DDEDLO CC[C@H](O)CNN=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872374732 707393742 /nfs/dbraw/zinc/39/37/42/707393742.db2.gz HZCCDSVWNREIDK-INIZCTEOSA-N 1 2 321.421 1.092 20 30 DDEDLO C#Cc1cncc(C(=O)NC(C)(C)C[NH+]2CCN(CC)CC2)c1 ZINC000837133470 707582596 /nfs/dbraw/zinc/58/25/96/707582596.db2.gz ZSIZNQAVPFWIOJ-UHFFFAOYSA-N 1 2 314.433 1.209 20 30 DDEDLO C#Cc1ccc(C(=O)NC(C)(C)C[NH+]2CCN(CC)CC2)nc1 ZINC000837134382 707583234 /nfs/dbraw/zinc/58/32/34/707583234.db2.gz HNEKKDMGZRHYQB-UHFFFAOYSA-N 1 2 314.433 1.209 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNc1ccc(C(=O)OC)nc1C#N ZINC000872773636 707594063 /nfs/dbraw/zinc/59/40/63/707594063.db2.gz QHMFMEZPQRPCJC-GFCCVEGCSA-N 1 2 318.377 1.263 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNc1ccc(C(=O)OC)nc1C#N ZINC000872773636 707594066 /nfs/dbraw/zinc/59/40/66/707594066.db2.gz QHMFMEZPQRPCJC-GFCCVEGCSA-N 1 2 318.377 1.263 20 30 DDEDLO C=CCC[C@@H](NC(=O)/C=C(/C)C[NH+]1CCOCC1)C(=O)OCC ZINC000909274449 712980031 /nfs/dbraw/zinc/98/00/31/712980031.db2.gz YRHHTHMNYYNOEE-IKESIWSLSA-N 1 2 324.421 1.279 20 30 DDEDLO C#CCCCS(=O)(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882837499 707811991 /nfs/dbraw/zinc/81/19/91/707811991.db2.gz JBEDNJHMRMHMPF-INIZCTEOSA-N 1 2 317.414 1.957 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000838055679 707822284 /nfs/dbraw/zinc/82/22/84/707822284.db2.gz MLALOEQVZHTSJD-ZDUSSCGKSA-N 1 2 303.362 1.251 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1c(Br)cccc1[N+](=O)[O-] ZINC000883180426 707949344 /nfs/dbraw/zinc/94/93/44/707949344.db2.gz CGUFDSDFTWVSGR-MRVPVSSYSA-N 1 2 301.140 1.994 20 30 DDEDLO CCn1ncc(CN2CC[NH+](Cc3ccc(C#N)cc3)CC2)n1 ZINC000838785387 707977792 /nfs/dbraw/zinc/97/77/92/707977792.db2.gz MJRCYKPDWAGABR-UHFFFAOYSA-N 1 2 310.405 1.487 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCO[C@H]1CCCC[C@@H]1C ZINC000884081370 708130678 /nfs/dbraw/zinc/13/06/78/708130678.db2.gz XDMAHMCQMKRYRC-IHRRRGAJSA-N 1 2 312.410 1.145 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCCc1cccc(F)c1 ZINC000884131217 708153737 /nfs/dbraw/zinc/15/37/37/708153737.db2.gz FSBHXVIVCIOSHU-AWEZNQCLSA-N 1 2 308.353 1.321 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@@H](C)Oc2cc(C)ccc21 ZINC000884141330 708158058 /nfs/dbraw/zinc/15/80/58/708158058.db2.gz WNFWKXGHXUHHJM-OLZOCXBDSA-N 1 2 318.373 1.556 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)Cc1cccs1 ZINC000884155301 708163812 /nfs/dbraw/zinc/16/38/12/708163812.db2.gz ZFSVIWXDRWLKLZ-YPMHNXCESA-N 1 2 310.419 1.490 20 30 DDEDLO O=C1C=COC2(CCN(c3cc(N4CCCC4)[nH+]cn3)CC2)C1 ZINC000896880839 708171758 /nfs/dbraw/zinc/17/17/58/708171758.db2.gz QJQAOVHJCAULKB-UHFFFAOYSA-N 1 2 314.389 1.919 20 30 DDEDLO O=C1C=COC2(CCN(c3cc(N4CCCC4)nc[nH+]3)CC2)C1 ZINC000896880839 708171761 /nfs/dbraw/zinc/17/17/61/708171761.db2.gz QJQAOVHJCAULKB-UHFFFAOYSA-N 1 2 314.389 1.919 20 30 DDEDLO N#Cc1cnc(Cl)c(C[NH+]2CCC([C@H]3COC(=O)N3)CC2)c1 ZINC000897021433 708210167 /nfs/dbraw/zinc/21/01/67/708210167.db2.gz ZEOBNKIQENCKEU-CYBMUJFWSA-N 1 2 320.780 1.927 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1c(C)oc2ccccc21 ZINC000884327705 708247334 /nfs/dbraw/zinc/24/73/34/708247334.db2.gz AOCMUFYIBYKEDU-AWEZNQCLSA-N 1 2 316.357 1.804 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCC[C@@H]1CC1(Cl)Cl ZINC000884387493 708275217 /nfs/dbraw/zinc/27/52/17/708275217.db2.gz VMIONLYZIFKIGA-ZJUUUORDSA-N 1 2 323.220 1.523 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)CCc2cccs2)C1 ZINC000885512188 708563086 /nfs/dbraw/zinc/56/30/86/708563086.db2.gz TVGJSWDISDYILE-ZDUSSCGKSA-N 1 2 312.460 1.308 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)CCc2cccs2)C1 ZINC000885512188 708563088 /nfs/dbraw/zinc/56/30/88/708563088.db2.gz TVGJSWDISDYILE-ZDUSSCGKSA-N 1 2 312.460 1.308 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2ccc(C#N)cc2)C1 ZINC000885513950 708563351 /nfs/dbraw/zinc/56/33/51/708563351.db2.gz KVPRQKYPMGZCLA-INIZCTEOSA-N 1 2 317.414 1.075 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2ccc(C#N)cc2)C1 ZINC000885513950 708563355 /nfs/dbraw/zinc/56/33/55/708563355.db2.gz KVPRQKYPMGZCLA-INIZCTEOSA-N 1 2 317.414 1.075 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(Cl)c2)C1 ZINC000885513715 708563523 /nfs/dbraw/zinc/56/35/23/708563523.db2.gz DACSOJUBNFJBET-CYBMUJFWSA-N 1 2 312.822 1.716 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(Cl)c2)C1 ZINC000885513715 708563525 /nfs/dbraw/zinc/56/35/25/708563525.db2.gz DACSOJUBNFJBET-CYBMUJFWSA-N 1 2 312.822 1.716 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@H]1CCC[N@H+](CCF)C1 ZINC000898483904 708653011 /nfs/dbraw/zinc/65/30/11/708653011.db2.gz PGHWQGWFIOHECB-HIFRSBDPSA-N 1 2 319.380 1.876 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@H]1CCC[N@@H+](CCF)C1 ZINC000898483904 708653013 /nfs/dbraw/zinc/65/30/13/708653013.db2.gz PGHWQGWFIOHECB-HIFRSBDPSA-N 1 2 319.380 1.876 20 30 DDEDLO C#CCSCC(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000899135402 708995943 /nfs/dbraw/zinc/99/59/43/708995943.db2.gz ARXSYEHMFNBUTM-UHFFFAOYSA-N 1 2 306.435 1.803 20 30 DDEDLO C#CCN1CCC[C@H](NC(=O)NC[C@H](C)Cn2cc[nH+]c2C)C1 ZINC000888026298 709193762 /nfs/dbraw/zinc/19/37/62/709193762.db2.gz VCJFARZTLYIXEJ-HOCLYGCPSA-N 1 2 317.437 1.224 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2nnc(-c3ccco3)o2)CC1 ZINC000900474178 709613117 /nfs/dbraw/zinc/61/31/17/709613117.db2.gz NKLWPALZZHJKRH-UHFFFAOYSA-N 1 2 300.318 1.111 20 30 DDEDLO O=C(NCC#C[C@@H]1CCCCO1)NCCCCn1cc[nH+]c1 ZINC000892225695 710398801 /nfs/dbraw/zinc/39/88/01/710398801.db2.gz CFNRUPWIKGFCEI-HNNXBMFYSA-N 1 2 304.394 1.535 20 30 DDEDLO C[NH+]1CCN(c2ncccc2CNCC#Cc2ccccc2)CC1 ZINC000892750773 710492395 /nfs/dbraw/zinc/49/23/95/710492395.db2.gz JXYDRCNDWHARGM-UHFFFAOYSA-N 1 2 320.440 1.975 20 30 DDEDLO C#CCn1ccc(CN(CCOC)c2nccn3c[nH+]cc23)n1 ZINC000893564864 710710989 /nfs/dbraw/zinc/71/09/89/710710989.db2.gz VWVMJYPVGGFLIR-UHFFFAOYSA-N 1 2 310.361 1.212 20 30 DDEDLO N#CC[C@@]1(O)CCN(C(=O)c2c[nH+]ccc2Nc2ccccc2)C1 ZINC000911526929 710837155 /nfs/dbraw/zinc/83/71/55/710837155.db2.gz QEFVQMAONMAVAY-GOSISDBHSA-N 1 2 322.368 1.738 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2nc3ccc(O)cc3s2)C1 ZINC000902730882 710881952 /nfs/dbraw/zinc/88/19/52/710881952.db2.gz UVDWBFFPFYIMLZ-LLVKDONJSA-N 1 2 315.398 1.829 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2nc3ccc(O)cc3s2)C1 ZINC000902730882 710881955 /nfs/dbraw/zinc/88/19/55/710881955.db2.gz UVDWBFFPFYIMLZ-LLVKDONJSA-N 1 2 315.398 1.829 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)ccc1Cl ZINC000913450088 713220557 /nfs/dbraw/zinc/22/05/57/713220557.db2.gz WRTVVNJMVIFJRQ-CQSZACIVSA-N 1 2 315.764 1.721 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)[C@]3(C#N)CC34CCCC4)CC[NH2+]2)cn1 ZINC000913461212 713226186 /nfs/dbraw/zinc/22/61/86/713226186.db2.gz UYZVEFGJBFVHCW-WMLDXEAASA-N 1 2 313.405 1.367 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc(C(=O)OC)c(C(C)C)[nH]2)nn1 ZINC000895052916 711387896 /nfs/dbraw/zinc/38/78/96/711387896.db2.gz WXZUHJJCACNNFE-UHFFFAOYSA-N 1 2 317.393 1.992 20 30 DDEDLO CN(C)c1cc(N2CCC[C@@H](Nc3ccc(C#N)cn3)C2)nc[nH+]1 ZINC000895850495 711625006 /nfs/dbraw/zinc/62/50/06/711625006.db2.gz JQFFMQXCHGKZBK-CQSZACIVSA-N 1 2 323.404 1.890 20 30 DDEDLO CN(C)c1cc(N2CCC[C@@H](Nc3ccc(C#N)cn3)C2)[nH+]cn1 ZINC000895850495 711625008 /nfs/dbraw/zinc/62/50/08/711625008.db2.gz JQFFMQXCHGKZBK-CQSZACIVSA-N 1 2 323.404 1.890 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CON(C(=O)OC(C)(C)C)C2)nn1 ZINC000905064147 711925111 /nfs/dbraw/zinc/92/51/11/711925111.db2.gz MFHACWJMCAPEOX-CYBMUJFWSA-N 1 2 321.381 1.114 20 30 DDEDLO CCC(=O)N(C)c1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000905428566 712035531 /nfs/dbraw/zinc/03/55/31/712035531.db2.gz CFGZRAFEJDCSEA-MRXNPFEDSA-N 1 2 318.421 1.678 20 30 DDEDLO CCC(=O)N(C)c1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000905428566 712035532 /nfs/dbraw/zinc/03/55/32/712035532.db2.gz CFGZRAFEJDCSEA-MRXNPFEDSA-N 1 2 318.421 1.678 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+][C@H](CO)[C@@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000916576807 713458910 /nfs/dbraw/zinc/45/89/10/713458910.db2.gz CAYOJPZYVCEKAV-DFBGVHRSSA-N 1 2 318.333 1.022 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)nn2)C1 ZINC000966046268 717906888 /nfs/dbraw/zinc/90/68/88/717906888.db2.gz KZFMGYHKIOLISZ-YGRLFVJLSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)nn2)C1 ZINC000966046268 717906892 /nfs/dbraw/zinc/90/68/92/717906892.db2.gz KZFMGYHKIOLISZ-YGRLFVJLSA-N 1 2 308.813 1.978 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)CCSCC1 ZINC000929367350 713598440 /nfs/dbraw/zinc/59/84/40/713598440.db2.gz ZQYAZUQSLMBIBQ-AWEZNQCLSA-N 1 2 323.462 1.347 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2cc3ccccc3o2)CC1 ZINC000921438973 713771639 /nfs/dbraw/zinc/77/16/39/713771639.db2.gz OBODHFKODXUQSU-UHFFFAOYSA-N 1 2 318.398 1.809 20 30 DDEDLO N#Cc1ccsc1NC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000931835402 714193544 /nfs/dbraw/zinc/19/35/44/714193544.db2.gz VDZZFVMKTFANSI-LBPRGKRZSA-N 1 2 306.391 1.558 20 30 DDEDLO Cc1nc([C@H]2CC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)no1 ZINC000931953637 714219950 /nfs/dbraw/zinc/21/99/50/714219950.db2.gz QQJNMBPLAFLKNR-SWLSCSKDSA-N 1 2 305.382 1.222 20 30 DDEDLO Cc1nc([C@H]2CC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)no1 ZINC000931953637 714219955 /nfs/dbraw/zinc/21/99/55/714219955.db2.gz QQJNMBPLAFLKNR-SWLSCSKDSA-N 1 2 305.382 1.222 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1C[C@@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]2C1 ZINC000932724132 714398900 /nfs/dbraw/zinc/39/89/00/714398900.db2.gz YYXRKRMSHHGDJO-MJBXVCDLSA-N 1 2 324.421 1.903 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1C[C@@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]2C1 ZINC000932724132 714398901 /nfs/dbraw/zinc/39/89/01/714398901.db2.gz YYXRKRMSHHGDJO-MJBXVCDLSA-N 1 2 324.421 1.903 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ncsc2C(F)(F)F)C1 ZINC000923564419 714406809 /nfs/dbraw/zinc/40/68/09/714406809.db2.gz QXTMNRMSIMMXMF-SECBINFHSA-N 1 2 317.336 1.989 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ncsc2C(F)(F)F)C1 ZINC000923564419 714406811 /nfs/dbraw/zinc/40/68/11/714406811.db2.gz QXTMNRMSIMMXMF-SECBINFHSA-N 1 2 317.336 1.989 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C2C[C@H]3CSC[C@@H](C2)C3=O)C1 ZINC000923596151 714423337 /nfs/dbraw/zinc/42/33/37/714423337.db2.gz ZQDOZXOVAYSYSX-JALIKCBVSA-N 1 2 320.458 1.159 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C2C[C@H]3CSC[C@@H](C2)C3=O)C1 ZINC000923596151 714423338 /nfs/dbraw/zinc/42/33/38/714423338.db2.gz ZQDOZXOVAYSYSX-JALIKCBVSA-N 1 2 320.458 1.159 20 30 DDEDLO CC(C)COC[C@H](O)C[N@@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933623561 714633443 /nfs/dbraw/zinc/63/34/43/714633443.db2.gz CNSAFKIZICLZOG-MSOLQXFVSA-N 1 2 318.417 1.965 20 30 DDEDLO CC(C)COC[C@H](O)C[N@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933623561 714633445 /nfs/dbraw/zinc/63/34/45/714633445.db2.gz CNSAFKIZICLZOG-MSOLQXFVSA-N 1 2 318.417 1.965 20 30 DDEDLO COC(=O)c1ccoc1C[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000933647404 714638232 /nfs/dbraw/zinc/63/82/32/714638232.db2.gz FANZJCSPRMSNQD-UHFFFAOYSA-N 1 2 305.330 1.904 20 30 DDEDLO C=CCCC[C@@H]1NC(=O)N(Cc2nc(C[NH+](C)C)cs2)C1=O ZINC000925183334 714769328 /nfs/dbraw/zinc/76/93/28/714769328.db2.gz YYHWJFTZPYFPPI-LBPRGKRZSA-N 1 2 322.434 1.981 20 30 DDEDLO C=CC[C@@H]1CC[N@H+]1CC(=O)Nc1c(C(=O)OCC)cnn1C ZINC000934469075 714828113 /nfs/dbraw/zinc/82/81/13/714828113.db2.gz NVMJUDMVENFHAM-LLVKDONJSA-N 1 2 306.366 1.186 20 30 DDEDLO C=CC[C@@H]1CC[N@@H+]1CC(=O)Nc1c(C(=O)OCC)cnn1C ZINC000934469075 714828115 /nfs/dbraw/zinc/82/81/15/714828115.db2.gz NVMJUDMVENFHAM-LLVKDONJSA-N 1 2 306.366 1.186 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000934511742 714839639 /nfs/dbraw/zinc/83/96/39/714839639.db2.gz YCRAUOOFJHIJCH-CQSZACIVSA-N 1 2 300.358 1.884 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000934511742 714839641 /nfs/dbraw/zinc/83/96/41/714839641.db2.gz YCRAUOOFJHIJCH-CQSZACIVSA-N 1 2 300.358 1.884 20 30 DDEDLO CC[C@@H]([NH2+]Cc1nnnn1CC1CC1)c1cccc(C#N)c1O ZINC000926197508 715026878 /nfs/dbraw/zinc/02/68/78/715026878.db2.gz FDYGHRBKRYHUQZ-CQSZACIVSA-N 1 2 312.377 1.901 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)C1(C#N)CCSCC1 ZINC000935713117 715121019 /nfs/dbraw/zinc/12/10/19/715121019.db2.gz PCHOVPGXEAOOHY-CYBMUJFWSA-N 1 2 311.451 1.202 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)C1(C#N)CCSCC1 ZINC000935713118 715121036 /nfs/dbraw/zinc/12/10/36/715121036.db2.gz PCHOVPGXEAOOHY-ZDUSSCGKSA-N 1 2 311.451 1.202 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000955444402 715777287 /nfs/dbraw/zinc/77/72/87/715777287.db2.gz ZHHOYXNZAFJXDR-UHFFFAOYSA-N 1 2 304.394 1.224 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)C(=O)Nc2ccc(C)cc2)CC1 ZINC000957627559 715974790 /nfs/dbraw/zinc/97/47/90/715974790.db2.gz UQZUWNGGRMAXNE-UHFFFAOYSA-N 1 2 301.390 1.654 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2cnc(C)s2)C1 ZINC000957923074 716232689 /nfs/dbraw/zinc/23/26/89/716232689.db2.gz TUUPCKADQYNSLV-QWRGUYRKSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2cnc(C)s2)C1 ZINC000957923074 716232691 /nfs/dbraw/zinc/23/26/91/716232691.db2.gz TUUPCKADQYNSLV-QWRGUYRKSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2nc(C)oc2C)C1 ZINC000958516444 716606146 /nfs/dbraw/zinc/60/61/46/716606146.db2.gz SBKSJIDOAUCPLQ-NEPJUHHUSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2nc(C)oc2C)C1 ZINC000958516444 716606148 /nfs/dbraw/zinc/60/61/48/716606148.db2.gz SBKSJIDOAUCPLQ-NEPJUHHUSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cc(CC)on2)C1 ZINC000958602591 716655376 /nfs/dbraw/zinc/65/53/76/716655376.db2.gz IQCIEXBITDEZHV-GXFFZTMASA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cc(CC)on2)C1 ZINC000958602591 716655379 /nfs/dbraw/zinc/65/53/79/716655379.db2.gz IQCIEXBITDEZHV-GXFFZTMASA-N 1 2 313.785 1.012 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000940850721 716992362 /nfs/dbraw/zinc/99/23/62/716992362.db2.gz MCXMNHKHCTVSRY-DZGCQCFKSA-N 1 2 315.421 1.186 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ccon4)C[C@H]32)CC1 ZINC000961666968 717051690 /nfs/dbraw/zinc/05/16/90/717051690.db2.gz JUNDPRKVUKMHMB-ZSHCYNCHSA-N 1 2 301.390 1.967 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ccon4)C[C@H]32)CC1 ZINC000961666968 717051696 /nfs/dbraw/zinc/05/16/96/717051696.db2.gz JUNDPRKVUKMHMB-ZSHCYNCHSA-N 1 2 301.390 1.967 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)Cc3cccs3)CC2)C1 ZINC000941285188 717146818 /nfs/dbraw/zinc/14/68/18/717146818.db2.gz IMGMOJCRXBLTBB-UHFFFAOYSA-N 1 2 317.458 1.142 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C(C)=C3CCCC3)CC2)C1 ZINC000941370881 717164003 /nfs/dbraw/zinc/16/40/03/717164003.db2.gz GPZYYMGAMZRNCN-UHFFFAOYSA-N 1 2 315.461 1.729 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3=CCCCCC3)CC2)C1 ZINC000941387940 717166895 /nfs/dbraw/zinc/16/68/95/717166895.db2.gz RLYDJYRYGVPDDP-UHFFFAOYSA-N 1 2 301.434 1.339 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CC[C@H](C)[C@H](NC(C)=O)C2)c1 ZINC000964579938 717310730 /nfs/dbraw/zinc/31/07/30/717310730.db2.gz UZRDPXWCRTUXAU-SUMWQHHRSA-N 1 2 313.401 1.453 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CC[C@H](C)[C@H](NC(C)=O)C2)c1 ZINC000964579938 717310732 /nfs/dbraw/zinc/31/07/32/717310732.db2.gz UZRDPXWCRTUXAU-SUMWQHHRSA-N 1 2 313.401 1.453 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N(C)C2CCC(NCC#N)CC2)c[nH+]1 ZINC000963538919 717825054 /nfs/dbraw/zinc/82/50/54/717825054.db2.gz OQCABVWHIZBTAK-UHFFFAOYSA-N 1 2 317.437 1.809 20 30 DDEDLO C[C@@H]1CCN(CC#N)C[C@H]1NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000966236530 718478725 /nfs/dbraw/zinc/47/87/25/718478725.db2.gz QFVKFAFGLORWBF-UKRRQHHQSA-N 1 2 315.421 1.112 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000968335220 719515966 /nfs/dbraw/zinc/51/59/66/719515966.db2.gz MVXMUAZIRKMWAV-ZANVPECISA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000968335220 719515971 /nfs/dbraw/zinc/51/59/71/719515971.db2.gz MVXMUAZIRKMWAV-ZANVPECISA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cncc(OC)n2)C1 ZINC000968390984 719552877 /nfs/dbraw/zinc/55/28/77/719552877.db2.gz OQBOPGMEDNARRZ-MFKMUULPSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cncc(OC)n2)C1 ZINC000968390984 719552880 /nfs/dbraw/zinc/55/28/80/719552880.db2.gz OQBOPGMEDNARRZ-MFKMUULPSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccc3c2OCCO3)CC1 ZINC000948634007 719604177 /nfs/dbraw/zinc/60/41/77/719604177.db2.gz IYBNYHOYZWKBPG-UHFFFAOYSA-N 1 2 302.374 1.792 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2nonc2C)C1 ZINC000968493911 719622395 /nfs/dbraw/zinc/62/23/95/719622395.db2.gz ZKVBZMJVEMCALQ-RNCFNFMXSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2nonc2C)C1 ZINC000968493911 719622397 /nfs/dbraw/zinc/62/23/97/719622397.db2.gz ZKVBZMJVEMCALQ-RNCFNFMXSA-N 1 2 312.801 1.500 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2cc(OC)ccc2OC)CC1 ZINC000948878164 719762220 /nfs/dbraw/zinc/76/22/20/719762220.db2.gz FNNGYWRCJXOENO-UHFFFAOYSA-N 1 2 304.390 1.577 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC000948910080 719781008 /nfs/dbraw/zinc/78/10/08/719781008.db2.gz IHMWXQWUWTZGKL-SFHVURJKSA-N 1 2 318.380 1.849 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC000948910080 719781013 /nfs/dbraw/zinc/78/10/13/719781013.db2.gz IHMWXQWUWTZGKL-SFHVURJKSA-N 1 2 318.380 1.849 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000968779716 719784824 /nfs/dbraw/zinc/78/48/24/719784824.db2.gz BFKVTAFZBIKWQY-SNVBAGLBSA-N 1 2 305.769 1.515 20 30 DDEDLO N#CCN1CCCCC[C@@H]1CNC(=O)c1cccc2[nH+]ccn21 ZINC000968950355 719893331 /nfs/dbraw/zinc/89/33/31/719893331.db2.gz ZXPSXKQCPUBVOZ-CQSZACIVSA-N 1 2 311.389 1.832 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CCC[C@H](F)C3)CC2)C1 ZINC000949365745 720041665 /nfs/dbraw/zinc/04/16/65/720041665.db2.gz CSOPBUQCNFLGTK-HOTGVXAUSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CCC[C@H](F)C3)CC2)C1 ZINC000949365745 720041667 /nfs/dbraw/zinc/04/16/67/720041667.db2.gz CSOPBUQCNFLGTK-HOTGVXAUSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H](C)C3CCC3)CC2)C1 ZINC000949394794 720059909 /nfs/dbraw/zinc/05/99/09/720059909.db2.gz DVORNKIUBFHNLQ-OAHLLOKOSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H](C)C3CCC3)CC2)C1 ZINC000949394794 720059917 /nfs/dbraw/zinc/05/99/17/720059917.db2.gz DVORNKIUBFHNLQ-OAHLLOKOSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@@H]3CC[C@H]4C[C@H]43)CC2)C1 ZINC000949401733 720064186 /nfs/dbraw/zinc/06/41/86/720064186.db2.gz KOOXMZWCUFUCAO-YESZJQIVSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@@H]3CC[C@H]4C[C@H]43)CC2)C1 ZINC000949401733 720064189 /nfs/dbraw/zinc/06/41/89/720064189.db2.gz KOOXMZWCUFUCAO-YESZJQIVSA-N 1 2 316.445 1.749 20 30 DDEDLO C=CCC[NH+]1CCN(C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)CC1 ZINC000949837598 720374163 /nfs/dbraw/zinc/37/41/63/720374163.db2.gz QOAWAVZKFYSQCL-DZGCQCFKSA-N 1 2 302.422 1.796 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC000969832656 720525668 /nfs/dbraw/zinc/52/56/68/720525668.db2.gz WNEUUQUSHFHFBI-WBMJQRKESA-N 1 2 306.410 1.925 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cccc3ncnn32)C1 ZINC000970074519 720618114 /nfs/dbraw/zinc/61/81/14/720618114.db2.gz CEFBONLXWLFNOK-NSHDSACASA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cc3c([nH]2)CCOC3)C1 ZINC000950492719 720656696 /nfs/dbraw/zinc/65/66/96/720656696.db2.gz AIRYJPSJIGXGHF-UHFFFAOYSA-N 1 2 303.406 1.810 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC000970192663 720658483 /nfs/dbraw/zinc/65/84/83/720658483.db2.gz COULAYYLXYCRKN-DFBGVHRSSA-N 1 2 312.841 1.991 20 30 DDEDLO C=C(Cl)CN1CC(N(CC)C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000950658536 720723168 /nfs/dbraw/zinc/72/31/68/720723168.db2.gz PMPSFOOJZRJGBB-ZDUSSCGKSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC000970409528 720750422 /nfs/dbraw/zinc/75/04/22/720750422.db2.gz AFJCYFBVKJRFMT-DGCLKSJQSA-N 1 2 313.829 1.234 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(Br)c[nH]2)C1 ZINC000950790513 720775761 /nfs/dbraw/zinc/77/57/61/720775761.db2.gz IIMIWQJBHLBNQM-UHFFFAOYSA-N 1 2 324.222 1.947 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCc3nncn3CC2)C1 ZINC000950901532 720819713 /nfs/dbraw/zinc/81/97/13/720819713.db2.gz DZGKLOOBROIFCN-AWEZNQCLSA-N 1 2 317.437 1.339 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2c(F)cccc2NC(N)=O)CC1 ZINC000950935393 720840342 /nfs/dbraw/zinc/84/03/42/720840342.db2.gz GXTPYISQJSWDIP-UHFFFAOYSA-N 1 2 320.368 1.650 20 30 DDEDLO C#CC[NH+]1CC([C@@H](C)NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000970823880 720948587 /nfs/dbraw/zinc/94/85/87/720948587.db2.gz DUOPJKCXSBXZDA-GFCCVEGCSA-N 1 2 310.353 1.476 20 30 DDEDLO C=CCCN1CC(N(CC)C(=O)[C@H]2C[N@@H+](C(C)C)CCO2)C1 ZINC000951366048 721003978 /nfs/dbraw/zinc/00/39/78/721003978.db2.gz VCYKEIMPHPQRHY-MRXNPFEDSA-N 1 2 309.454 1.204 20 30 DDEDLO C=CCCN1CC(N(CC)C(=O)[C@H]2C[N@H+](C(C)C)CCO2)C1 ZINC000951366048 721003982 /nfs/dbraw/zinc/00/39/82/721003982.db2.gz VCYKEIMPHPQRHY-MRXNPFEDSA-N 1 2 309.454 1.204 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@]3(C)CCCOC3)C2)C1 ZINC000972616594 735355304 /nfs/dbraw/zinc/35/53/04/735355304.db2.gz AXHONYSLWGOQGC-SJORKVTESA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@]3(C)CCCOC3)C2)C1 ZINC000972616594 735355311 /nfs/dbraw/zinc/35/53/11/735355311.db2.gz AXHONYSLWGOQGC-SJORKVTESA-N 1 2 308.422 1.292 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2CC[N@H+]2CCO[C@H]2CC2(F)F)c1 ZINC001038145058 735368671 /nfs/dbraw/zinc/36/86/71/735368671.db2.gz KEMWSWLYMLPEBU-YPMHNXCESA-N 1 2 324.331 1.115 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2CC[N@@H+]2CCO[C@H]2CC2(F)F)c1 ZINC001038145058 735368673 /nfs/dbraw/zinc/36/86/73/735368673.db2.gz KEMWSWLYMLPEBU-YPMHNXCESA-N 1 2 324.331 1.115 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCOC1 ZINC001027781410 738654919 /nfs/dbraw/zinc/65/49/19/738654919.db2.gz HQLJVNDNWKPLLK-RYUDHWBXSA-N 1 2 317.227 1.512 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCOC1 ZINC001027781410 738654923 /nfs/dbraw/zinc/65/49/23/738654923.db2.gz HQLJVNDNWKPLLK-RYUDHWBXSA-N 1 2 317.227 1.512 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2nccs2)c[nH]1 ZINC001027832803 738709527 /nfs/dbraw/zinc/70/95/27/738709527.db2.gz JNLDLHSWVPPNNG-CYBMUJFWSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2CCC[N@H+]2Cc2nccs2)c[nH]1 ZINC001027832803 738709532 /nfs/dbraw/zinc/70/95/32/738709532.db2.gz JNLDLHSWVPPNNG-CYBMUJFWSA-N 1 2 315.402 1.737 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3ccsc3C)[C@H]2C1 ZINC001083215058 733566059 /nfs/dbraw/zinc/56/60/59/733566059.db2.gz GCBGWJLXNWOPTA-LSDHHAIUSA-N 1 2 304.415 1.144 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3ccsc3C)[C@H]2C1 ZINC001083215058 733566061 /nfs/dbraw/zinc/56/60/61/733566061.db2.gz GCBGWJLXNWOPTA-LSDHHAIUSA-N 1 2 304.415 1.144 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc2n[nH]nc2n1 ZINC001027917636 738806707 /nfs/dbraw/zinc/80/67/07/738806707.db2.gz CQIPSDGGLZLDIQ-SNVBAGLBSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc2n[nH]nc2n1 ZINC001027917636 738806712 /nfs/dbraw/zinc/80/67/12/738806712.db2.gz CQIPSDGGLZLDIQ-SNVBAGLBSA-N 1 2 320.784 1.300 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3coc(C4CCC4)n3)[C@H]2C1 ZINC001083243483 734723745 /nfs/dbraw/zinc/72/37/45/734723745.db2.gz FFYFZVSWFNOOBE-LSDHHAIUSA-N 1 2 317.389 1.653 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3coc(C4CCC4)n3)[C@H]2C1 ZINC001083243483 734723748 /nfs/dbraw/zinc/72/37/48/734723748.db2.gz FFYFZVSWFNOOBE-LSDHHAIUSA-N 1 2 317.389 1.653 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CC[C@@H]3CC(C)(C)CO3)[C@H]2C1 ZINC001083259161 735106913 /nfs/dbraw/zinc/10/69/13/735106913.db2.gz OOIVWACUKYRZTE-OWCLPIDISA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CC[C@@H]3CC(C)(C)CO3)[C@H]2C1 ZINC001083259161 735106925 /nfs/dbraw/zinc/10/69/25/735106925.db2.gz OOIVWACUKYRZTE-OWCLPIDISA-N 1 2 320.433 1.127 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C3CCOCC3)C2)C1 ZINC000972707421 735557532 /nfs/dbraw/zinc/55/75/32/735557532.db2.gz JFVPOMLJXISRST-YJBOKZPZSA-N 1 2 322.449 1.538 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C3CCOCC3)C2)C1 ZINC000972707421 735557534 /nfs/dbraw/zinc/55/75/34/735557534.db2.gz JFVPOMLJXISRST-YJBOKZPZSA-N 1 2 322.449 1.538 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001058562563 735584076 /nfs/dbraw/zinc/58/40/76/735584076.db2.gz NGARWXIJUVLOGY-NSHDSACASA-N 1 2 313.336 1.358 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@H](C)c3ccccc3)[C@H]2C1 ZINC001083266196 735780773 /nfs/dbraw/zinc/78/07/73/735780773.db2.gz MLLTUMVYIJITPM-RYQLBKOJSA-N 1 2 312.413 1.725 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@H](C)c3ccccc3)[C@H]2C1 ZINC001083266196 735780777 /nfs/dbraw/zinc/78/07/77/735780777.db2.gz MLLTUMVYIJITPM-RYQLBKOJSA-N 1 2 312.413 1.725 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001024483216 735859341 /nfs/dbraw/zinc/85/93/41/735859341.db2.gz OZYLQHILDBZMET-LBPRGKRZSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001024483216 735859345 /nfs/dbraw/zinc/85/93/45/735859345.db2.gz OZYLQHILDBZMET-LBPRGKRZSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024519955 735888883 /nfs/dbraw/zinc/88/88/83/735888883.db2.gz ADQGXQNEQNBKQE-STQMWFEESA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024519955 735888886 /nfs/dbraw/zinc/88/88/86/735888886.db2.gz ADQGXQNEQNBKQE-STQMWFEESA-N 1 2 313.829 1.236 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]2CNC(=O)c2cnn[nH]2)cc1 ZINC001027991366 738913862 /nfs/dbraw/zinc/91/38/62/738913862.db2.gz BBXAQBGJLLCQBW-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]2CNC(=O)c2cnn[nH]2)cc1 ZINC001027991366 738913865 /nfs/dbraw/zinc/91/38/65/738913865.db2.gz BBXAQBGJLLCQBW-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@H]2CNC(=O)c2ncn[nH]2)c1 ZINC001024715488 736037005 /nfs/dbraw/zinc/03/70/05/736037005.db2.gz GKKTZTVIHQGPOX-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@H]2CNC(=O)c2ncn[nH]2)c1 ZINC001024715488 736037010 /nfs/dbraw/zinc/03/70/10/736037010.db2.gz GKKTZTVIHQGPOX-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncc(F)cc1F ZINC001017666805 736304361 /nfs/dbraw/zinc/30/43/61/736304361.db2.gz OFQKTMYZBPHWSY-BETUJISGSA-N 1 2 305.328 1.672 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncc(F)cc1F ZINC001017666805 736304363 /nfs/dbraw/zinc/30/43/63/736304363.db2.gz OFQKTMYZBPHWSY-BETUJISGSA-N 1 2 305.328 1.672 20 30 DDEDLO N#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001025273692 736401584 /nfs/dbraw/zinc/40/15/84/736401584.db2.gz GTOLAJCPCWNQNY-GJZGRUSLSA-N 1 2 301.394 1.321 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@@H](C)CNc1ccc(C#N)cn1 ZINC001104643979 736481965 /nfs/dbraw/zinc/48/19/65/736481965.db2.gz JERCXIOZRWZINR-NSHDSACASA-N 1 2 312.377 1.486 20 30 DDEDLO C#CCN1CCC[C@@H]1CNC(=O)c1ccn(-c2cc[nH+]cc2)n1 ZINC001028018440 738939127 /nfs/dbraw/zinc/93/91/27/738939127.db2.gz UFTILHNJLBLTNR-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO Cc1nc(N(C)CCCN(C)C(=O)[C@@H](C)C#N)c(C)c(C)[nH+]1 ZINC001112061929 737359724 /nfs/dbraw/zinc/35/97/24/737359724.db2.gz UKIHDLPWTHFZPW-NSHDSACASA-N 1 2 303.410 1.846 20 30 DDEDLO Cc1nc(N[C@H](CNC(=O)CSCC#N)C(C)C)cc[nH+]1 ZINC001105133883 737564754 /nfs/dbraw/zinc/56/47/54/737564754.db2.gz MXHPYGWXGUEBIX-GFCCVEGCSA-N 1 2 307.423 1.595 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nc(C2CCC2)no1 ZINC001125938739 737768729 /nfs/dbraw/zinc/76/87/29/737768729.db2.gz IFWRPTPYPUJZHZ-UHFFFAOYSA-N 1 2 304.394 1.737 20 30 DDEDLO CC(C)n1cc(C[N@H+](C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)nn1 ZINC001027337211 738209335 /nfs/dbraw/zinc/20/93/35/738209335.db2.gz ZZKIGOSVUQWMCV-DZGCQCFKSA-N 1 2 318.425 1.441 20 30 DDEDLO CC(C)n1cc(C[N@@H+](C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)nn1 ZINC001027337211 738209339 /nfs/dbraw/zinc/20/93/39/738209339.db2.gz ZZKIGOSVUQWMCV-DZGCQCFKSA-N 1 2 318.425 1.441 20 30 DDEDLO Cc1nonc1C[N@@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405055 738269236 /nfs/dbraw/zinc/26/92/36/738269236.db2.gz AGVSWDXRTUHJRA-AWEZNQCLSA-N 1 2 302.378 1.214 20 30 DDEDLO Cc1nonc1C[N@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405055 738269240 /nfs/dbraw/zinc/26/92/40/738269240.db2.gz AGVSWDXRTUHJRA-AWEZNQCLSA-N 1 2 302.378 1.214 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)CC1(C)CC1)CC2 ZINC001128012017 751405232 /nfs/dbraw/zinc/40/52/32/751405232.db2.gz IUPYOWNIPUOENA-ZDUSSCGKSA-N 1 2 315.421 1.012 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1CNC(=O)c1cc(CN2CCCC2)on1 ZINC001028139355 739082055 /nfs/dbraw/zinc/08/20/55/739082055.db2.gz MIRBBVXVRVYLOA-AWEZNQCLSA-N 1 2 316.405 1.098 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1cc(CN2CCCC2)on1 ZINC001028139355 739082058 /nfs/dbraw/zinc/08/20/58/739082058.db2.gz MIRBBVXVRVYLOA-AWEZNQCLSA-N 1 2 316.405 1.098 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1ncc(C)o1 ZINC001028142953 739087274 /nfs/dbraw/zinc/08/72/74/739087274.db2.gz UVHBCCSHWSFSFF-ZNMIVQPWSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1ncc(C)o1 ZINC001028142953 739087275 /nfs/dbraw/zinc/08/72/75/739087275.db2.gz UVHBCCSHWSFSFF-ZNMIVQPWSA-N 1 2 319.405 1.655 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001028240242 739214440 /nfs/dbraw/zinc/21/44/40/739214440.db2.gz KYTJLNNTHILYEU-INIZCTEOSA-N 1 2 323.400 1.689 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001028240242 739214443 /nfs/dbraw/zinc/21/44/43/739214443.db2.gz KYTJLNNTHILYEU-INIZCTEOSA-N 1 2 323.400 1.689 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccnn2[C@@H](C)CC)C1 ZINC001035385844 751456018 /nfs/dbraw/zinc/45/60/18/751456018.db2.gz FXAHMTCYKPDOKH-LSDHHAIUSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccnn2[C@@H](C)CC)C1 ZINC001035385844 751456020 /nfs/dbraw/zinc/45/60/20/751456020.db2.gz FXAHMTCYKPDOKH-LSDHHAIUSA-N 1 2 320.437 1.861 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2conc2C(C)C)C1 ZINC001035391105 751461752 /nfs/dbraw/zinc/46/17/52/751461752.db2.gz DFANOLVVBQDQCP-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2conc2C(C)C)C1 ZINC001035391105 751461756 /nfs/dbraw/zinc/46/17/56/751461756.db2.gz DFANOLVVBQDQCP-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc3occc3[nH]2)C1 ZINC001107979546 751469970 /nfs/dbraw/zinc/46/99/70/751469970.db2.gz KVYTYNNWHHDBHU-QGZVFWFLSA-N 1 2 315.373 1.605 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc3occc3[nH]2)C1 ZINC001107979546 751469974 /nfs/dbraw/zinc/46/99/74/751469974.db2.gz KVYTYNNWHHDBHU-QGZVFWFLSA-N 1 2 315.373 1.605 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001028682674 739913662 /nfs/dbraw/zinc/91/36/62/739913662.db2.gz LSMQBFVJVRIXAU-GFCCVEGCSA-N 1 2 309.797 1.190 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001028682674 739913665 /nfs/dbraw/zinc/91/36/65/739913665.db2.gz LSMQBFVJVRIXAU-GFCCVEGCSA-N 1 2 309.797 1.190 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3n2CCCCC3)C1 ZINC001035412353 751493984 /nfs/dbraw/zinc/49/39/84/751493984.db2.gz ULJVYWPBXWTOAE-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc3n2CCCCC3)C1 ZINC001035412353 751493987 /nfs/dbraw/zinc/49/39/87/751493987.db2.gz ULJVYWPBXWTOAE-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc(CCC)c2)C1 ZINC001035413289 751495279 /nfs/dbraw/zinc/49/52/79/751495279.db2.gz ZKVAIQKTBAIIIT-KRWDZBQOSA-N 1 2 315.417 1.488 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccnc(CCC)c2)C1 ZINC001035413289 751495282 /nfs/dbraw/zinc/49/52/82/751495282.db2.gz ZKVAIQKTBAIIIT-KRWDZBQOSA-N 1 2 315.417 1.488 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](CNC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001028733660 739972652 /nfs/dbraw/zinc/97/26/52/739972652.db2.gz HFXRPFXZWCRMJV-CYBMUJFWSA-N 1 2 311.389 1.709 20 30 DDEDLO C=CC[N@H+]1CC[C@H](CNC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001028733660 739972655 /nfs/dbraw/zinc/97/26/55/739972655.db2.gz HFXRPFXZWCRMJV-CYBMUJFWSA-N 1 2 311.389 1.709 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)C1(C)CCC1)CC2 ZINC001128019455 751527741 /nfs/dbraw/zinc/52/77/41/751527741.db2.gz FVVIRJSKCBZARM-ZDUSSCGKSA-N 1 2 315.421 1.012 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CCNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001059315521 740609915 /nfs/dbraw/zinc/60/99/15/740609915.db2.gz JFIAGZXUVHOZRT-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CCNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001059315521 740609918 /nfs/dbraw/zinc/60/99/18/740609918.db2.gz JFIAGZXUVHOZRT-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2C1 ZINC001087973240 740900005 /nfs/dbraw/zinc/90/00/05/740900005.db2.gz PZQNSFSBNTWNEP-GRYCIOLGSA-N 1 2 306.303 1.690 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2C1 ZINC001087973240 740900007 /nfs/dbraw/zinc/90/00/07/740900007.db2.gz PZQNSFSBNTWNEP-GRYCIOLGSA-N 1 2 306.303 1.690 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]2C1 ZINC001087973240 740900010 /nfs/dbraw/zinc/90/00/10/740900010.db2.gz PZQNSFSBNTWNEP-GRYCIOLGSA-N 1 2 306.303 1.690 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]2C1 ZINC001087973240 740900013 /nfs/dbraw/zinc/90/00/13/740900013.db2.gz PZQNSFSBNTWNEP-GRYCIOLGSA-N 1 2 306.303 1.690 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(C(C)C)nc2C)C1 ZINC001035542353 751589105 /nfs/dbraw/zinc/58/91/05/751589105.db2.gz MAGKYYIGBZPOND-AWEZNQCLSA-N 1 2 318.421 1.525 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc(C(C)C)nc2C)C1 ZINC001035542353 751589112 /nfs/dbraw/zinc/58/91/12/751589112.db2.gz MAGKYYIGBZPOND-AWEZNQCLSA-N 1 2 318.421 1.525 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2noc3c2CCCC3)C1 ZINC001035530462 751610930 /nfs/dbraw/zinc/61/09/30/751610930.db2.gz VQVHEHHSJUYDES-CYBMUJFWSA-N 1 2 317.389 1.007 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2noc3c2CCCC3)C1 ZINC001035530462 751610936 /nfs/dbraw/zinc/61/09/36/751610936.db2.gz VQVHEHHSJUYDES-CYBMUJFWSA-N 1 2 317.389 1.007 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCc3ccccc3O2)C1 ZINC001035551119 751634153 /nfs/dbraw/zinc/63/41/53/751634153.db2.gz SBOGQKYEUFJBRU-WBVHZDCISA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCc3ccccc3O2)C1 ZINC001035551119 751634158 /nfs/dbraw/zinc/63/41/58/751634158.db2.gz SBOGQKYEUFJBRU-WBVHZDCISA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2n[nH]c3c2CCCCC3)C1 ZINC001035598722 751656036 /nfs/dbraw/zinc/65/60/36/751656036.db2.gz AHWYUHDWAXQAHT-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2n[nH]c3c2CCCCC3)C1 ZINC001035598722 751656043 /nfs/dbraw/zinc/65/60/43/751656043.db2.gz AHWYUHDWAXQAHT-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)[C@H]2C)no1 ZINC001088529980 741703240 /nfs/dbraw/zinc/70/32/40/741703240.db2.gz YBHOWLNMIHAANN-ABAIWWIYSA-N 1 2 313.361 1.576 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)[C@H]2C)no1 ZINC001088529980 741703243 /nfs/dbraw/zinc/70/32/43/741703243.db2.gz YBHOWLNMIHAANN-ABAIWWIYSA-N 1 2 313.361 1.576 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C2(CC)CCC2)[C@@H](n2ccnn2)C1 ZINC001129195093 751662278 /nfs/dbraw/zinc/66/22/78/751662278.db2.gz QYZDRZPRXBPGGH-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C2(CC)CCC2)[C@@H](n2ccnn2)C1 ZINC001129195093 751662279 /nfs/dbraw/zinc/66/22/79/751662279.db2.gz QYZDRZPRXBPGGH-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cnc([C@H](C)OC)s2)[C@H]1C ZINC001088568949 741844072 /nfs/dbraw/zinc/84/40/72/741844072.db2.gz AILOMBGRBMYDEM-WOPDTQHZSA-N 1 2 307.419 1.676 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cnc([C@H](C)OC)s2)[C@H]1C ZINC001088568949 741844076 /nfs/dbraw/zinc/84/40/76/741844076.db2.gz AILOMBGRBMYDEM-WOPDTQHZSA-N 1 2 307.419 1.676 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001032620533 751678360 /nfs/dbraw/zinc/67/83/60/751678360.db2.gz FIWMERBAXXYCDQ-AVGNSLFASA-N 1 2 320.824 1.867 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212039420 741949883 /nfs/dbraw/zinc/94/98/83/741949883.db2.gz LVEJFIFRCLVHIP-KCPJHIHWSA-N 1 2 305.353 1.301 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212039420 741949887 /nfs/dbraw/zinc/94/98/87/741949887.db2.gz LVEJFIFRCLVHIP-KCPJHIHWSA-N 1 2 305.353 1.301 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212039561 741950419 /nfs/dbraw/zinc/95/04/19/741950419.db2.gz OBZKSHCHUKRPQK-NILFDRSVSA-N 1 2 321.808 1.815 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212039561 741950423 /nfs/dbraw/zinc/95/04/23/741950423.db2.gz OBZKSHCHUKRPQK-NILFDRSVSA-N 1 2 321.808 1.815 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2COc3ccccc32)C1 ZINC001035624934 751696384 /nfs/dbraw/zinc/69/63/84/751696384.db2.gz QCINYBJRAPPVSE-HOCLYGCPSA-N 1 2 316.401 1.556 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2COc3ccccc32)C1 ZINC001035624934 751696388 /nfs/dbraw/zinc/69/63/88/751696388.db2.gz QCINYBJRAPPVSE-HOCLYGCPSA-N 1 2 316.401 1.556 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1ccccc1F ZINC001032624126 751699115 /nfs/dbraw/zinc/69/91/15/751699115.db2.gz BGQJVULWEBOSFA-KBMXLJTQSA-N 1 2 300.377 1.923 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1ccccc1F ZINC001032624126 751699118 /nfs/dbraw/zinc/69/91/18/751699118.db2.gz BGQJVULWEBOSFA-KBMXLJTQSA-N 1 2 300.377 1.923 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cncnc2C(C)C)C1 ZINC001035606763 751710900 /nfs/dbraw/zinc/71/09/00/751710900.db2.gz PINQHHIGSCVVGT-ZDUSSCGKSA-N 1 2 304.394 1.217 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cncnc2C(C)C)C1 ZINC001035606763 751710906 /nfs/dbraw/zinc/71/09/06/751710906.db2.gz PINQHHIGSCVVGT-ZDUSSCGKSA-N 1 2 304.394 1.217 20 30 DDEDLO C[C@@H]([NH2+]CCNC(=O)C#CC1CC1)c1nc(C(C)(C)C)no1 ZINC001126885430 742425716 /nfs/dbraw/zinc/42/57/16/742425716.db2.gz GKOMRORTVOCQBT-LLVKDONJSA-N 1 2 304.394 1.547 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)nc2)[C@@H](O)C1 ZINC001083523678 742458289 /nfs/dbraw/zinc/45/82/89/742458289.db2.gz NWJQENGDEPZQFJ-CVEARBPZSA-N 1 2 301.390 1.003 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)nc2)[C@@H](O)C1 ZINC001083523678 742458292 /nfs/dbraw/zinc/45/82/92/742458292.db2.gz NWJQENGDEPZQFJ-CVEARBPZSA-N 1 2 301.390 1.003 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001076545649 742782615 /nfs/dbraw/zinc/78/26/15/742782615.db2.gz GNAGFZQXPOPZJF-GJZGRUSLSA-N 1 2 318.421 1.649 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001076688583 742909232 /nfs/dbraw/zinc/90/92/32/742909232.db2.gz RXBKDELQAGCADQ-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H](C)CCCC)C2)nn1 ZINC001098666876 742989139 /nfs/dbraw/zinc/98/91/39/742989139.db2.gz JOYJXUOYPGUSEU-GOEBONIOSA-N 1 2 317.437 1.601 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)C1CC1 ZINC001076850214 743035807 /nfs/dbraw/zinc/03/58/07/743035807.db2.gz QZKIILVRUMSRQX-BMGDILEWSA-N 1 2 312.413 1.246 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)C1CC1 ZINC001076850214 743035815 /nfs/dbraw/zinc/03/58/15/743035815.db2.gz QZKIILVRUMSRQX-BMGDILEWSA-N 1 2 312.413 1.246 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cscc2C(F)F)C1 ZINC001077007407 743165467 /nfs/dbraw/zinc/16/54/67/743165467.db2.gz LYIMSCFVXMAAEU-VXGBXAGGSA-N 1 2 314.357 1.484 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cscc2C(F)F)C1 ZINC001077007407 743165468 /nfs/dbraw/zinc/16/54/68/743165468.db2.gz LYIMSCFVXMAAEU-VXGBXAGGSA-N 1 2 314.357 1.484 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@H+](Cc3ccc(C#N)c(F)c3)C[C@H]2O)CC1 ZINC001077035909 743190148 /nfs/dbraw/zinc/19/01/48/743190148.db2.gz TYGPYTXLDDBOLE-HUUCEWRRSA-N 1 2 317.364 1.159 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccc(C#N)c(F)c3)C[C@H]2O)CC1 ZINC001077035909 743190151 /nfs/dbraw/zinc/19/01/51/743190151.db2.gz TYGPYTXLDDBOLE-HUUCEWRRSA-N 1 2 317.364 1.159 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(C)no2)C1 ZINC001181834399 743357767 /nfs/dbraw/zinc/35/77/67/743357767.db2.gz ZSAYMPNXQUWLNE-TZMCWYRMSA-N 1 2 322.409 1.612 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](C)OCC)c2C1 ZINC001128245392 743363170 /nfs/dbraw/zinc/36/31/70/743363170.db2.gz NYTLTOQJKRHQNG-CYBMUJFWSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H](C)OCC)c2C1 ZINC001128245392 743363179 /nfs/dbraw/zinc/36/31/79/743363179.db2.gz NYTLTOQJKRHQNG-CYBMUJFWSA-N 1 2 306.410 1.316 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc2cc(OC)ccn21 ZINC001038512424 743478229 /nfs/dbraw/zinc/47/82/29/743478229.db2.gz AEXYAXXPMKJBKK-ZDUSSCGKSA-N 1 2 312.373 1.170 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc2cc(OC)ccn21 ZINC001038512424 743478233 /nfs/dbraw/zinc/47/82/33/743478233.db2.gz AEXYAXXPMKJBKK-ZDUSSCGKSA-N 1 2 312.373 1.170 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2F)[C@@H](O)C1 ZINC001083619731 743588746 /nfs/dbraw/zinc/58/87/46/743588746.db2.gz CVHXKJDJDWHMTM-KGLIPLIRSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2F)[C@@H](O)C1 ZINC001083619731 743588749 /nfs/dbraw/zinc/58/87/49/743588749.db2.gz CVHXKJDJDWHMTM-KGLIPLIRSA-N 1 2 310.756 1.277 20 30 DDEDLO C#CCCCC(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105704527 743600285 /nfs/dbraw/zinc/60/02/85/743600285.db2.gz XUUKKAVHVGNONG-UHFFFAOYSA-N 1 2 308.422 1.128 20 30 DDEDLO CCc1nnc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001182431932 743617120 /nfs/dbraw/zinc/61/71/20/743617120.db2.gz KOEOKAVHKLVWKD-CHWSQXEVSA-N 1 2 304.394 1.543 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001011730981 743680159 /nfs/dbraw/zinc/68/01/59/743680159.db2.gz AAKKDKQXOOBJPR-KRWDZBQOSA-N 1 2 318.421 1.546 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060233239 743703522 /nfs/dbraw/zinc/70/35/22/743703522.db2.gz QBULCTUGSCFMEH-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@H]2CCOC2)cc1F ZINC001038099407 743753885 /nfs/dbraw/zinc/75/38/85/743753885.db2.gz XFHHYRQHAXAGRT-GJZGRUSLSA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)[C@H]2CCOC2)cc1F ZINC001038099407 743753888 /nfs/dbraw/zinc/75/38/88/743753888.db2.gz XFHHYRQHAXAGRT-GJZGRUSLSA-N 1 2 317.364 1.424 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001060265424 743756729 /nfs/dbraw/zinc/75/67/29/743756729.db2.gz NVJPMGFDXIHBAI-OAHLLOKOSA-N 1 2 318.421 1.594 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1)OCC ZINC001182973613 743764604 /nfs/dbraw/zinc/76/46/04/743764604.db2.gz PNUVVGZDBILGKN-OCCSQVGLSA-N 1 2 324.450 1.600 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnnn3CC)[C@@H]2C)C1 ZINC001088840200 743815053 /nfs/dbraw/zinc/81/50/53/743815053.db2.gz AGOBCFNPZYBRNX-HIFRSBDPSA-N 1 2 317.437 1.733 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnnn3CC)[C@@H]2C)C1 ZINC001088840200 743815057 /nfs/dbraw/zinc/81/50/57/743815057.db2.gz AGOBCFNPZYBRNX-HIFRSBDPSA-N 1 2 317.437 1.733 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001107985953 751886126 /nfs/dbraw/zinc/88/61/26/751886126.db2.gz VVXYAVZJBRBYOL-GXTWGEPZSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001107985953 751886129 /nfs/dbraw/zinc/88/61/29/751886129.db2.gz VVXYAVZJBRBYOL-GXTWGEPZSA-N 1 2 302.365 1.815 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2c(C)nn(C(C)(C)C)c2C)C1 ZINC001030403097 744115087 /nfs/dbraw/zinc/11/50/87/744115087.db2.gz GZUYMBWVLMDFLB-UHFFFAOYSA-N 1 2 302.422 1.692 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001185056120 744144547 /nfs/dbraw/zinc/14/45/47/744144547.db2.gz JMTZUGZTSIJTNW-CHWSQXEVSA-N 1 2 321.425 1.428 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)c3cn[nH]c3)C2)s1 ZINC001006824135 751900282 /nfs/dbraw/zinc/90/02/82/751900282.db2.gz SVVBNPPBOCEYQS-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)c3cn[nH]c3)C2)s1 ZINC001006824135 751900285 /nfs/dbraw/zinc/90/02/85/751900285.db2.gz SVVBNPPBOCEYQS-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C(C)(C)C=C)C2)nn1 ZINC001185985025 744317515 /nfs/dbraw/zinc/31/75/15/744317515.db2.gz VKHTYPHXJRPZKO-HNNXBMFYSA-N 1 2 315.421 1.377 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1nnc[nH]1)CC2 ZINC001035835186 751931179 /nfs/dbraw/zinc/93/11/79/751931179.db2.gz NODNRCDWTGZWBZ-UHFFFAOYSA-N 1 2 309.801 1.024 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C/C=C\Cl)C[C@H]2O)cc1 ZINC001077495194 744628499 /nfs/dbraw/zinc/62/84/99/744628499.db2.gz WOVXOJQMKMOWBL-SBEDSWMFSA-N 1 2 304.777 1.195 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C/C=C\Cl)C[C@H]2O)cc1 ZINC001077495194 744628501 /nfs/dbraw/zinc/62/85/01/744628501.db2.gz WOVXOJQMKMOWBL-SBEDSWMFSA-N 1 2 304.777 1.195 20 30 DDEDLO Cn1nncc1C[N@H+](C)C[C@@H]1CCCCN1C(=O)C#CC1CC1 ZINC001089174670 744662813 /nfs/dbraw/zinc/66/28/13/744662813.db2.gz FWDRSQFCAZDPJN-HNNXBMFYSA-N 1 2 315.421 1.041 20 30 DDEDLO Cn1nncc1C[N@@H+](C)C[C@@H]1CCCCN1C(=O)C#CC1CC1 ZINC001089174670 744662814 /nfs/dbraw/zinc/66/28/14/744662814.db2.gz FWDRSQFCAZDPJN-HNNXBMFYSA-N 1 2 315.421 1.041 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnccc2Br)CC1 ZINC001189578939 744927311 /nfs/dbraw/zinc/92/73/11/744927311.db2.gz AQCTWAQFADXPHC-UHFFFAOYSA-N 1 2 310.195 1.788 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)Cc2ccon2)C1 ZINC001006959495 751958439 /nfs/dbraw/zinc/95/84/39/751958439.db2.gz RUICGDJSZVWUDB-KRWDZBQOSA-N 1 2 324.384 1.870 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)Cc2ccon2)C1 ZINC001006959495 751958443 /nfs/dbraw/zinc/95/84/43/751958443.db2.gz RUICGDJSZVWUDB-KRWDZBQOSA-N 1 2 324.384 1.870 20 30 DDEDLO N#CCN[C@@H]1CCC[C@H]2CN(C(=O)CCc3[nH]cc[nH+]3)C[C@@H]21 ZINC000992672161 745100200 /nfs/dbraw/zinc/10/02/00/745100200.db2.gz UVFFELWXRDTKLY-MELADBBJSA-N 1 2 301.394 1.083 20 30 DDEDLO CCn1nncc1C[N@H+](C)[C@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190075779 745104472 /nfs/dbraw/zinc/10/44/72/745104472.db2.gz FQOOKHKIIOPCQK-AWEZNQCLSA-N 1 2 317.437 1.380 20 30 DDEDLO CCn1nncc1C[N@@H+](C)[C@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190075779 745104474 /nfs/dbraw/zinc/10/44/74/745104474.db2.gz FQOOKHKIIOPCQK-AWEZNQCLSA-N 1 2 317.437 1.380 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190084617 745107224 /nfs/dbraw/zinc/10/72/24/745107224.db2.gz QPOREIUHECEIQI-ZDUSSCGKSA-N 1 2 320.462 1.929 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190084617 745107225 /nfs/dbraw/zinc/10/72/25/745107225.db2.gz QPOREIUHECEIQI-ZDUSSCGKSA-N 1 2 320.462 1.929 20 30 DDEDLO CC[N@@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1cc(C#N)ccc1F ZINC001190647791 745283922 /nfs/dbraw/zinc/28/39/22/745283922.db2.gz AJOZJMICLJYOND-RYUDHWBXSA-N 1 2 309.366 1.164 20 30 DDEDLO CC[N@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1cc(C#N)ccc1F ZINC001190647791 745283928 /nfs/dbraw/zinc/28/39/28/745283928.db2.gz AJOZJMICLJYOND-RYUDHWBXSA-N 1 2 309.366 1.164 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001191237900 745462855 /nfs/dbraw/zinc/46/28/55/745462855.db2.gz PUBAMDMPVWHRII-ARFHVFGLSA-N 1 2 310.438 1.014 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001191237900 745462859 /nfs/dbraw/zinc/46/28/59/745462859.db2.gz PUBAMDMPVWHRII-ARFHVFGLSA-N 1 2 310.438 1.014 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)nc1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106310183 745592666 /nfs/dbraw/zinc/59/26/66/745592666.db2.gz OXVNMZKFVCOIDK-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@@H](CCNc1nccnc1C#N)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106310343 745593297 /nfs/dbraw/zinc/59/32/97/745593297.db2.gz SGFMYCLOYOLXTA-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)cc[nH+]1 ZINC001112368700 745691336 /nfs/dbraw/zinc/69/13/36/745691336.db2.gz ACGZTPHPGJHHQJ-YPMHNXCESA-N 1 2 301.394 1.666 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C[C@H]1CNCC#N ZINC001106655731 745878050 /nfs/dbraw/zinc/87/80/50/745878050.db2.gz ARDOXKFSOBPYIG-JHJVBQTASA-N 1 2 301.394 1.037 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)CC#N)[C@@H](C)C[N@@H+]1Cc1ccccc1 ZINC001192933399 745938285 /nfs/dbraw/zinc/93/82/85/745938285.db2.gz RFOOMGBPNZADHN-KGLIPLIRSA-N 1 2 307.419 1.435 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)CC#N)[C@@H](C)C[N@H+]1Cc1ccccc1 ZINC001192933399 745938287 /nfs/dbraw/zinc/93/82/87/745938287.db2.gz RFOOMGBPNZADHN-KGLIPLIRSA-N 1 2 307.419 1.435 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](CCc3cccs3)C2)cn1 ZINC001031279661 746125397 /nfs/dbraw/zinc/12/53/97/746125397.db2.gz MKHABSNEOOZTQQ-UHFFFAOYSA-N 1 2 311.410 1.781 20 30 DDEDLO N#Cc1cccc(C(=O)N2CCO[C@@H](C[NH+]3CCCC3)C2)c1O ZINC001193647096 746166119 /nfs/dbraw/zinc/16/61/19/746166119.db2.gz VSZILCCXQATVOT-AWEZNQCLSA-N 1 2 315.373 1.201 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cccc(=O)n2C)[C@H]1C ZINC000993769390 746320491 /nfs/dbraw/zinc/32/04/91/746320491.db2.gz HCOJYRLNMSIEEX-CHWSQXEVSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cccc(=O)n2C)[C@H]1C ZINC000993769390 746320495 /nfs/dbraw/zinc/32/04/95/746320495.db2.gz HCOJYRLNMSIEEX-CHWSQXEVSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195554997 746630639 /nfs/dbraw/zinc/63/06/39/746630639.db2.gz VNZOLNQMCZLFNN-NWANDNLSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195554997 746630643 /nfs/dbraw/zinc/63/06/43/746630643.db2.gz VNZOLNQMCZLFNN-NWANDNLSSA-N 1 2 323.462 1.715 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](Cc2cc(C)n(C)n2)CC1 ZINC001195541177 746640235 /nfs/dbraw/zinc/64/02/35/746640235.db2.gz OELBRLQTTPWFLD-UHFFFAOYSA-N 1 2 316.449 1.956 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](Cc2cc(C)n(C)n2)CC1 ZINC001195541177 746640237 /nfs/dbraw/zinc/64/02/37/746640237.db2.gz OELBRLQTTPWFLD-UHFFFAOYSA-N 1 2 316.449 1.956 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccoc2Cl)CC1 ZINC001195645261 746663997 /nfs/dbraw/zinc/66/39/97/746663997.db2.gz MLMOVRSYROHULW-UHFFFAOYSA-N 1 2 310.781 1.731 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccoc2Cl)CC1 ZINC001195645261 746664001 /nfs/dbraw/zinc/66/40/01/746664001.db2.gz MLMOVRSYROHULW-UHFFFAOYSA-N 1 2 310.781 1.731 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2conc2CC)CC1 ZINC001195791547 746705556 /nfs/dbraw/zinc/70/55/56/746705556.db2.gz DNMHDCINFFCCDW-CQSZACIVSA-N 1 2 319.405 1.310 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2conc2CC)CC1 ZINC001195791547 746705558 /nfs/dbraw/zinc/70/55/58/746705558.db2.gz DNMHDCINFFCCDW-CQSZACIVSA-N 1 2 319.405 1.310 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)CCCNc1cc[nH+]c(C)n1 ZINC001114999149 746725674 /nfs/dbraw/zinc/72/56/74/746725674.db2.gz CXYIJVWWWRTRIW-CHWSQXEVSA-N 1 2 304.394 1.520 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cnc(COC)s2)[C@@H]1C ZINC000994523429 746737678 /nfs/dbraw/zinc/73/76/78/746737678.db2.gz XAFLDEDUXIMVSM-RYUDHWBXSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc(COC)s2)[C@@H]1C ZINC000994523429 746737681 /nfs/dbraw/zinc/73/76/81/746737681.db2.gz XAFLDEDUXIMVSM-RYUDHWBXSA-N 1 2 307.419 1.505 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001196018346 746758612 /nfs/dbraw/zinc/75/86/12/746758612.db2.gz PJJDWZWRMMWVLK-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001196018346 746758615 /nfs/dbraw/zinc/75/86/15/746758615.db2.gz PJJDWZWRMMWVLK-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2(CC(C)C)CC2)CC1 ZINC001196115532 746784130 /nfs/dbraw/zinc/78/41/30/746784130.db2.gz KRHLUNXCLXMUNF-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(CC(C)C)CC2)CC1 ZINC001196115532 746784133 /nfs/dbraw/zinc/78/41/33/746784133.db2.gz KRHLUNXCLXMUNF-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)C2CCC2)CC1 ZINC001196260386 746809586 /nfs/dbraw/zinc/80/95/86/746809586.db2.gz WUEQOQNLVUQBPU-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)C2CCC2)CC1 ZINC001196260386 746809593 /nfs/dbraw/zinc/80/95/93/746809593.db2.gz WUEQOQNLVUQBPU-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)Cc2ccccc2)CC1 ZINC001196303996 746820446 /nfs/dbraw/zinc/82/04/46/746820446.db2.gz YCYUYMOMKBVQRM-UHFFFAOYSA-N 1 2 315.417 1.066 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)Cc2ccccc2)CC1 ZINC001196303996 746820452 /nfs/dbraw/zinc/82/04/52/746820452.db2.gz YCYUYMOMKBVQRM-UHFFFAOYSA-N 1 2 315.417 1.066 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ncc(F)cc2F)CC1 ZINC001196295509 746830074 /nfs/dbraw/zinc/83/00/74/746830074.db2.gz LFRIFPVUXJEDRS-UHFFFAOYSA-N 1 2 323.343 1.158 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ncc(F)cc2F)CC1 ZINC001196295509 746830084 /nfs/dbraw/zinc/83/00/84/746830084.db2.gz LFRIFPVUXJEDRS-UHFFFAOYSA-N 1 2 323.343 1.158 20 30 DDEDLO N#Cc1ccccc1NC(=S)N[C@@H]1CCC[N@@H+](C2COC2)C1 ZINC001196335881 746836344 /nfs/dbraw/zinc/83/63/44/746836344.db2.gz FCNNHDJVQSGQSK-CYBMUJFWSA-N 1 2 316.430 1.708 20 30 DDEDLO N#Cc1ccccc1NC(=S)N[C@@H]1CCC[N@H+](C2COC2)C1 ZINC001196335881 746836349 /nfs/dbraw/zinc/83/63/49/746836349.db2.gz FCNNHDJVQSGQSK-CYBMUJFWSA-N 1 2 316.430 1.708 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccc(COC)o2)CC1 ZINC001196453340 746876161 /nfs/dbraw/zinc/87/61/61/746876161.db2.gz AIJVJQKOYRRTAP-UHFFFAOYSA-N 1 2 320.389 1.224 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccc(COC)o2)CC1 ZINC001196453340 746876167 /nfs/dbraw/zinc/87/61/67/746876167.db2.gz AIJVJQKOYRRTAP-UHFFFAOYSA-N 1 2 320.389 1.224 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2occ3c2CCC3)CC1 ZINC001196649578 746922410 /nfs/dbraw/zinc/92/24/10/746922410.db2.gz WORKKBORKUBACI-UHFFFAOYSA-N 1 2 316.401 1.566 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2occ3c2CCC3)CC1 ZINC001196649578 746922414 /nfs/dbraw/zinc/92/24/14/746922414.db2.gz WORKKBORKUBACI-UHFFFAOYSA-N 1 2 316.401 1.566 20 30 DDEDLO CCCCC[C@H](C)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001196701073 746935776 /nfs/dbraw/zinc/93/57/76/746935776.db2.gz PMCIIXGVCYXQLA-GVDBMIGSSA-N 1 2 324.465 1.404 20 30 DDEDLO CCCCC[C@H](C)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001196701073 746935781 /nfs/dbraw/zinc/93/57/81/746935781.db2.gz PMCIIXGVCYXQLA-GVDBMIGSSA-N 1 2 324.465 1.404 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C/C=C(/C)C=C)CC1 ZINC001196790654 746962373 /nfs/dbraw/zinc/96/23/73/746962373.db2.gz OHFFPZBXVTYQSR-CHHVJCJISA-N 1 2 305.422 1.345 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C/C=C(/C)C=C)CC1 ZINC001196790654 746962375 /nfs/dbraw/zinc/96/23/75/746962375.db2.gz OHFFPZBXVTYQSR-CHHVJCJISA-N 1 2 305.422 1.345 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)no1 ZINC001031530742 746966796 /nfs/dbraw/zinc/96/67/96/746966796.db2.gz BIHDHXYYTBNWTO-UHFFFAOYSA-N 1 2 309.369 1.696 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001196874193 746984587 /nfs/dbraw/zinc/98/45/87/746984587.db2.gz SHMLGFJOKNCLAC-CQSZACIVSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001196874193 746984591 /nfs/dbraw/zinc/98/45/91/746984591.db2.gz SHMLGFJOKNCLAC-CQSZACIVSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001196999927 747028879 /nfs/dbraw/zinc/02/88/79/747028879.db2.gz CXHCPVLVDLZBLP-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001196999927 747028884 /nfs/dbraw/zinc/02/88/84/747028884.db2.gz CXHCPVLVDLZBLP-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+](CC(=O)N3CCC3)CC2)CCC1 ZINC001197054838 747037358 /nfs/dbraw/zinc/03/73/58/747037358.db2.gz DBKZBSZIKNLGHA-UHFFFAOYSA-N 1 2 319.449 1.499 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+](CC(=O)N3CCC3)CC2)CCC1 ZINC001197054838 747037365 /nfs/dbraw/zinc/03/73/65/747037365.db2.gz DBKZBSZIKNLGHA-UHFFFAOYSA-N 1 2 319.449 1.499 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1cncc(C#N)n1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089489609 747083594 /nfs/dbraw/zinc/08/35/94/747083594.db2.gz JLMBJCWJVVTLOX-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1ccc(C#N)nn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089491079 747089059 /nfs/dbraw/zinc/08/90/59/747089059.db2.gz XBAXYAZWFANMFT-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC[NH+](Cc3cn(CC)nn3)CC2)C1 ZINC001003596212 747310672 /nfs/dbraw/zinc/31/06/72/747310672.db2.gz PYJFWQSEGPMUSK-UHFFFAOYSA-N 1 2 317.437 1.735 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(F)ccc(OC)c2F)C1 ZINC001044212312 747336687 /nfs/dbraw/zinc/33/66/87/747336687.db2.gz YPFOXVCLWOESFI-UHFFFAOYSA-N 1 2 308.328 1.753 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001031672666 747359236 /nfs/dbraw/zinc/35/92/36/747359236.db2.gz MZHBFGDIVZFQRU-ZDUSSCGKSA-N 1 2 308.813 1.630 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)C[C@H]1C ZINC001110509647 747510277 /nfs/dbraw/zinc/51/02/77/747510277.db2.gz JYAWRKCKGJFSMZ-OCCSQVGLSA-N 1 2 304.394 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001007521522 752187181 /nfs/dbraw/zinc/18/71/81/752187181.db2.gz LNIUIBBUAYCWKU-CYBMUJFWSA-N 1 2 310.829 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001007521522 752187188 /nfs/dbraw/zinc/18/71/88/752187188.db2.gz LNIUIBBUAYCWKU-CYBMUJFWSA-N 1 2 310.829 1.848 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000998744599 752195381 /nfs/dbraw/zinc/19/53/81/752195381.db2.gz CMPHZGARZWVDLI-OLZOCXBDSA-N 1 2 319.409 1.508 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccc(F)cn1 ZINC001110531612 747810374 /nfs/dbraw/zinc/81/03/74/747810374.db2.gz GWAMHAIVOXWVJM-ZACQAIPSSA-N 1 2 313.376 1.856 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(F)cn1 ZINC001110531612 747810383 /nfs/dbraw/zinc/81/03/83/747810383.db2.gz GWAMHAIVOXWVJM-ZACQAIPSSA-N 1 2 313.376 1.856 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2conc2COC)CC1 ZINC001003952951 747811918 /nfs/dbraw/zinc/81/19/18/747811918.db2.gz SYAYQWBAVWRVKI-UHFFFAOYSA-N 1 2 313.785 1.768 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]1CNc1[nH+]cnc2c1cnn2C ZINC001110535677 747863614 /nfs/dbraw/zinc/86/36/14/747863614.db2.gz GIPVIUCGCVHMML-LBPRGKRZSA-N 1 2 314.393 1.732 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110539293 747937819 /nfs/dbraw/zinc/93/78/19/747937819.db2.gz MVAHATOWOJRSBD-CRNXPOROSA-N 1 2 310.401 1.673 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110539293 747937823 /nfs/dbraw/zinc/93/78/23/747937823.db2.gz MVAHATOWOJRSBD-CRNXPOROSA-N 1 2 310.401 1.673 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001110544275 748050629 /nfs/dbraw/zinc/05/06/29/748050629.db2.gz GIXQPBRASKIXJH-BBRMVZONSA-N 1 2 304.394 1.515 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(Cl)c[nH]2)[C@@H](O)C1 ZINC001090077743 748093272 /nfs/dbraw/zinc/09/32/72/748093272.db2.gz WSOJAWXWAYSOPK-JQWIXIFHSA-N 1 2 318.204 1.586 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(Cl)c[nH]2)[C@@H](O)C1 ZINC001090077743 748093276 /nfs/dbraw/zinc/09/32/76/748093276.db2.gz WSOJAWXWAYSOPK-JQWIXIFHSA-N 1 2 318.204 1.586 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(Cl)c[nH]2)[C@H](O)C1 ZINC001090077745 748093788 /nfs/dbraw/zinc/09/37/88/748093788.db2.gz WSOJAWXWAYSOPK-ZYHUDNBSSA-N 1 2 318.204 1.586 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(Cl)c[nH]2)[C@H](O)C1 ZINC001090077745 748093791 /nfs/dbraw/zinc/09/37/91/748093791.db2.gz WSOJAWXWAYSOPK-ZYHUDNBSSA-N 1 2 318.204 1.586 20 30 DDEDLO Cc1c[nH]nc1C(=O)N(C)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033079114 748212708 /nfs/dbraw/zinc/21/27/08/748212708.db2.gz MRKOXEAUEVHCIC-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1c[nH]nc1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033079114 748212713 /nfs/dbraw/zinc/21/27/13/748212713.db2.gz MRKOXEAUEVHCIC-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1nc(NCC2(O)CCN(C(=O)C#CC(C)C)CC2)cc[nH+]1 ZINC001112448009 748264808 /nfs/dbraw/zinc/26/48/08/748264808.db2.gz YOKCLZOZFGVUAF-UHFFFAOYSA-N 1 2 316.405 1.210 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)C2CCC(O)CC2)CC1 ZINC001004427589 748424120 /nfs/dbraw/zinc/42/41/20/748424120.db2.gz UFBMIYUKMHXPKE-OFLPRAFFSA-N 1 2 319.449 1.764 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)C2CCC(O)CC2)CC1 ZINC001004427589 748424122 /nfs/dbraw/zinc/42/41/22/748424122.db2.gz UFBMIYUKMHXPKE-OFLPRAFFSA-N 1 2 319.449 1.764 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)s1 ZINC001038600505 748488939 /nfs/dbraw/zinc/48/89/39/748488939.db2.gz QNBOBTDGGZLFDE-RABLLNBGSA-N 1 2 317.414 1.203 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)s1 ZINC001038600505 748488940 /nfs/dbraw/zinc/48/89/40/748488940.db2.gz QNBOBTDGGZLFDE-RABLLNBGSA-N 1 2 317.414 1.203 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2ncc[nH]2)CC1 ZINC001004585810 748568659 /nfs/dbraw/zinc/56/86/59/748568659.db2.gz LNDAIPDXOVHZQE-CQSZACIVSA-N 1 2 301.394 1.179 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2ncc[nH]2)CC1 ZINC001004585810 748568664 /nfs/dbraw/zinc/56/86/64/748568664.db2.gz LNDAIPDXOVHZQE-CQSZACIVSA-N 1 2 301.394 1.179 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[NH2+]Cc1c(F)c(F)c(F)c(F)c1F ZINC001124894752 748608540 /nfs/dbraw/zinc/60/85/40/748608540.db2.gz BBGWGEWQPIJSOB-LURJTMIESA-N 1 2 321.249 1.748 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+]Cc1nc(C2CC2)no1)OCC ZINC001128528006 748739561 /nfs/dbraw/zinc/73/95/61/748739561.db2.gz HSLNRZYBCDOMQN-ZDUSSCGKSA-N 1 2 322.409 1.524 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2Cc3cccc(F)c3O2)C1 ZINC001032196522 748815975 /nfs/dbraw/zinc/81/59/75/748815975.db2.gz PYSIZIVXIGQHMZ-CQSZACIVSA-N 1 2 324.783 1.930 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2occ3c2CCC3)C1 ZINC001108097068 749001267 /nfs/dbraw/zinc/00/12/67/749001267.db2.gz AEJWAFYUKJMDCJ-QGZVFWFLSA-N 1 2 304.390 1.775 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2occ3c2CCC3)C1 ZINC001108097068 749001271 /nfs/dbraw/zinc/00/12/71/749001271.db2.gz AEJWAFYUKJMDCJ-QGZVFWFLSA-N 1 2 304.390 1.775 20 30 DDEDLO C[C@@]1(NC(=O)CCc2c[nH]c[nH+]2)CCN(c2ncccc2C#N)C1 ZINC001110819038 749041893 /nfs/dbraw/zinc/04/18/93/749041893.db2.gz DLVUCAJWTJCHCO-QGZVFWFLSA-N 1 2 324.388 1.394 20 30 DDEDLO C[C@@]1(NC(=O)CCc2c[nH+]c[nH]2)CCN(c2ncccc2C#N)C1 ZINC001110819038 749041898 /nfs/dbraw/zinc/04/18/98/749041898.db2.gz DLVUCAJWTJCHCO-QGZVFWFLSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2cc(C)nn2C)C1 ZINC001033266976 749088869 /nfs/dbraw/zinc/08/88/69/749088869.db2.gz LPLQIGMIJCXIRF-CYBMUJFWSA-N 1 2 310.829 1.556 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2cc(C)nn2C)C1 ZINC001033266976 749088872 /nfs/dbraw/zinc/08/88/72/749088872.db2.gz LPLQIGMIJCXIRF-CYBMUJFWSA-N 1 2 310.829 1.556 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)CCCOC)C1 ZINC001108328465 761921196 /nfs/dbraw/zinc/92/11/96/761921196.db2.gz HSPVSPLFTPVFCT-NVXWUHKLSA-N 1 2 310.438 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)CCCOC)C1 ZINC001108328465 761921201 /nfs/dbraw/zinc/92/12/01/761921201.db2.gz HSPVSPLFTPVFCT-NVXWUHKLSA-N 1 2 310.438 1.280 20 30 DDEDLO CSCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032265146 749136060 /nfs/dbraw/zinc/13/60/60/749136060.db2.gz HITNOPSCAJWLOH-GJZGRUSLSA-N 1 2 301.415 1.706 20 30 DDEDLO CSCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032265146 749136064 /nfs/dbraw/zinc/13/60/64/749136064.db2.gz HITNOPSCAJWLOH-GJZGRUSLSA-N 1 2 301.415 1.706 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2CN(C(=O)C#CC3CC3)CC2(C)C)o1 ZINC000995800136 749232973 /nfs/dbraw/zinc/23/29/73/749232973.db2.gz VNFJHCGWCSXTPS-CYBMUJFWSA-N 1 2 316.405 1.372 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@@H]2CN(C(=O)C#CC3CC3)CC2(C)C)n1 ZINC000995793299 749247006 /nfs/dbraw/zinc/24/70/06/749247006.db2.gz QSDWEWLFYAHYPY-SMDDNHRTSA-N 1 2 316.405 1.679 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@@H](NCC#N)C(C)(C)C3)ccn12 ZINC000995825537 749249780 /nfs/dbraw/zinc/24/97/80/749249780.db2.gz VOVPGSBJXUHZIF-CQSZACIVSA-N 1 2 311.389 1.607 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001033432030 749328200 /nfs/dbraw/zinc/32/82/00/749328200.db2.gz KJCIFFHVZSWZJD-BFHYXJOUSA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001033432030 749328202 /nfs/dbraw/zinc/32/82/02/749328202.db2.gz KJCIFFHVZSWZJD-BFHYXJOUSA-N 1 2 322.840 1.809 20 30 DDEDLO C[C@H]1CN(C2C[NH+](Cc3ccc(OCC#N)cc3)C2)C[C@H](C)O1 ZINC001137123980 749346151 /nfs/dbraw/zinc/34/61/51/749346151.db2.gz KBLMFPRCPJWYSX-GJZGRUSLSA-N 1 2 315.417 1.882 20 30 DDEDLO C[C@H]1C[NH+](C2CN(Cc3ccc(OCC#N)cc3)C2)C[C@H](C)O1 ZINC001137123980 749346156 /nfs/dbraw/zinc/34/61/56/749346156.db2.gz KBLMFPRCPJWYSX-GJZGRUSLSA-N 1 2 315.417 1.882 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CC[N@H+](Cc2cc(C)on2)C1 ZINC001033431059 749352453 /nfs/dbraw/zinc/35/24/53/749352453.db2.gz AFIKLSKBUHLULG-OAGGEKHMSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cc(C)on2)C1 ZINC001033431059 749352457 /nfs/dbraw/zinc/35/24/57/749352457.db2.gz AFIKLSKBUHLULG-OAGGEKHMSA-N 1 2 319.405 1.607 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2sccc2COC)C1 ZINC001108341152 761947305 /nfs/dbraw/zinc/94/73/05/761947305.db2.gz TVNMUQHGVAPESJ-INIZCTEOSA-N 1 2 324.446 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2sccc2COC)C1 ZINC001108341152 761947311 /nfs/dbraw/zinc/94/73/11/761947311.db2.gz TVNMUQHGVAPESJ-INIZCTEOSA-N 1 2 324.446 1.901 20 30 DDEDLO C=C[C@H](C(=O)N1CC(NC(=O)Cc2c[nH]c[nH+]2)C1)c1ccccc1 ZINC001000574163 761952869 /nfs/dbraw/zinc/95/28/69/761952869.db2.gz YGSZHLQLJHJTFI-INIZCTEOSA-N 1 2 324.384 1.249 20 30 DDEDLO CN(C(=O)c1cnon1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033527767 749479959 /nfs/dbraw/zinc/47/99/59/749479959.db2.gz QZNDHABYKJAFFT-AWEZNQCLSA-N 1 2 311.345 1.288 20 30 DDEDLO CN(C(=O)c1cnon1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033527767 749479965 /nfs/dbraw/zinc/47/99/65/749479965.db2.gz QZNDHABYKJAFFT-AWEZNQCLSA-N 1 2 311.345 1.288 20 30 DDEDLO N#Cc1cccnc1NC/C=C\CNC(=O)CCc1[nH]cc[nH+]1 ZINC001107174158 749492650 /nfs/dbraw/zinc/49/26/50/749492650.db2.gz WXOXMXAKLYFKJV-UPHRSURJSA-N 1 2 310.361 1.393 20 30 DDEDLO C=CC(C)(C)C(=O)NCc1cn(C2C[NH+](CC=C(C)C)C2)nn1 ZINC001107192291 749533489 /nfs/dbraw/zinc/53/34/89/749533489.db2.gz FKPOFSHURUCCNM-UHFFFAOYSA-N 1 2 317.437 1.929 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)COc2ccccc2)C1 ZINC001108363192 761967910 /nfs/dbraw/zinc/96/79/10/761967910.db2.gz ZCCIUPASHYAPDE-KRWDZBQOSA-N 1 2 304.390 1.459 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)COc2ccccc2)C1 ZINC001108363192 761967911 /nfs/dbraw/zinc/96/79/11/761967911.db2.gz ZCCIUPASHYAPDE-KRWDZBQOSA-N 1 2 304.390 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001033676915 749703067 /nfs/dbraw/zinc/70/30/67/749703067.db2.gz XQFASTKFXKGJSF-TZMCWYRMSA-N 1 2 322.840 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001033676915 749703068 /nfs/dbraw/zinc/70/30/68/749703068.db2.gz XQFASTKFXKGJSF-TZMCWYRMSA-N 1 2 322.840 1.800 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001033681858 749710069 /nfs/dbraw/zinc/71/00/69/749710069.db2.gz XYFRITMHFOEOEI-CHWSQXEVSA-N 1 2 323.828 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001033681858 749710079 /nfs/dbraw/zinc/71/00/79/749710079.db2.gz XYFRITMHFOEOEI-CHWSQXEVSA-N 1 2 323.828 1.126 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095409040 749726727 /nfs/dbraw/zinc/72/67/27/749726727.db2.gz UJPVMMKKFHGGDE-CYBMUJFWSA-N 1 2 318.421 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cnnn2CC)C1 ZINC001033818347 749944492 /nfs/dbraw/zinc/94/44/92/749944492.db2.gz XMIOSUBAHIWGPD-LBPRGKRZSA-N 1 2 311.817 1.587 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cnnn2CC)C1 ZINC001033818347 749944495 /nfs/dbraw/zinc/94/44/95/749944495.db2.gz XMIOSUBAHIWGPD-LBPRGKRZSA-N 1 2 311.817 1.587 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCCN(C)c1ccc(C#N)nc1 ZINC001095606313 750073937 /nfs/dbraw/zinc/07/39/37/750073937.db2.gz BKGJDEGFDMQWME-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2n[nH]cc2F)C1 ZINC001033951395 750174419 /nfs/dbraw/zinc/17/44/19/750174419.db2.gz VFBVWVFYVWHYKI-SNVBAGLBSA-N 1 2 300.765 1.838 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2n[nH]cc2F)C1 ZINC001033951395 750174421 /nfs/dbraw/zinc/17/44/21/750174421.db2.gz VFBVWVFYVWHYKI-SNVBAGLBSA-N 1 2 300.765 1.838 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cn(CC)nn2)C1 ZINC001034006010 750268185 /nfs/dbraw/zinc/26/81/85/750268185.db2.gz YPPZVOUEBRECBM-GFCCVEGCSA-N 1 2 311.817 1.587 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cn(CC)nn2)C1 ZINC001034006010 750268191 /nfs/dbraw/zinc/26/81/91/750268191.db2.gz YPPZVOUEBRECBM-GFCCVEGCSA-N 1 2 311.817 1.587 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CC13CCC3)C2 ZINC001111069239 750346399 /nfs/dbraw/zinc/34/63/99/750346399.db2.gz MHFHOGIPJSIIOY-TUVASFSCSA-N 1 2 317.433 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CC13CCC3)C2 ZINC001111069239 750346405 /nfs/dbraw/zinc/34/64/05/750346405.db2.gz MHFHOGIPJSIIOY-TUVASFSCSA-N 1 2 317.433 1.200 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)COc2cccc(C)c2)C1 ZINC001108393018 762032166 /nfs/dbraw/zinc/03/21/66/762032166.db2.gz GIXAWIINCGVYOC-SFHVURJKSA-N 1 2 318.417 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)COc2cccc(C)c2)C1 ZINC001108393018 762032173 /nfs/dbraw/zinc/03/21/73/762032173.db2.gz GIXAWIINCGVYOC-SFHVURJKSA-N 1 2 318.417 1.767 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCCc2nc(C)no2)C1 ZINC001108393957 762035634 /nfs/dbraw/zinc/03/56/34/762035634.db2.gz CLUJVDUIQKREKG-MRXNPFEDSA-N 1 2 322.409 1.094 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCCc2nc(C)no2)C1 ZINC001108393957 762035643 /nfs/dbraw/zinc/03/56/43/762035643.db2.gz CLUJVDUIQKREKG-MRXNPFEDSA-N 1 2 322.409 1.094 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001034465679 750447424 /nfs/dbraw/zinc/44/74/24/750447424.db2.gz ANEGBWRKQRAESW-GFCCVEGCSA-N 1 2 324.812 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001034465679 750447428 /nfs/dbraw/zinc/44/74/28/750447428.db2.gz ANEGBWRKQRAESW-GFCCVEGCSA-N 1 2 324.812 1.450 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CNc1cccc(F)c1C#N ZINC001107869375 750497339 /nfs/dbraw/zinc/49/73/39/750497339.db2.gz XGPNTSCUZGDLJY-SNVBAGLBSA-N 1 2 315.352 1.888 20 30 DDEDLO CCN(CCCNC(=O)[C@H](C)C#N)c1nc(C)[nH+]c(C)c1C ZINC001095848160 750567301 /nfs/dbraw/zinc/56/73/01/750567301.db2.gz FJGJYOJPFIEIML-LLVKDONJSA-N 1 2 303.410 1.894 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)CC1CC1)CC2 ZINC001127917271 750613462 /nfs/dbraw/zinc/61/34/62/750613462.db2.gz BXDGFXPRMANMRJ-AWEZNQCLSA-N 1 2 315.421 1.012 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001114561297 750731118 /nfs/dbraw/zinc/73/11/18/750731118.db2.gz PZRUBCZJVUKFDX-NGFQHRJXSA-N 1 2 316.405 1.175 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001114561633 750732007 /nfs/dbraw/zinc/73/20/07/750732007.db2.gz TYCSRXAXRSCGPU-QKDCVEJESA-N 1 2 316.405 1.176 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)n(C)n1 ZINC001032421998 750788940 /nfs/dbraw/zinc/78/89/40/750788940.db2.gz YNRNZKGUQQHTHS-UWVGGRQHSA-N 1 2 314.311 1.524 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)n(C)n1 ZINC001032421998 750788944 /nfs/dbraw/zinc/78/89/44/750788944.db2.gz YNRNZKGUQQHTHS-UWVGGRQHSA-N 1 2 314.311 1.524 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C2CCCCC2)n[nH]1 ZINC001032451087 750867863 /nfs/dbraw/zinc/86/78/63/750867863.db2.gz LFORQRUQCUJRRE-GJZGRUSLSA-N 1 2 312.417 1.989 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C2CCCCC2)n[nH]1 ZINC001032451087 750867869 /nfs/dbraw/zinc/86/78/69/750867869.db2.gz LFORQRUQCUJRRE-GJZGRUSLSA-N 1 2 312.417 1.989 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2c1ccc(C)c2C ZINC001032454046 750882226 /nfs/dbraw/zinc/88/22/26/750882226.db2.gz ATWZXWFPMOMOJZ-HOTGVXAUSA-N 1 2 322.412 1.873 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2c1ccc(C)c2C ZINC001032454046 750882231 /nfs/dbraw/zinc/88/22/31/750882231.db2.gz ATWZXWFPMOMOJZ-HOTGVXAUSA-N 1 2 322.412 1.873 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001114719006 751020741 /nfs/dbraw/zinc/02/07/41/751020741.db2.gz ZIFCXUSLHIVCMW-QLPKVWCKSA-N 1 2 301.390 1.723 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001114719006 751020749 /nfs/dbraw/zinc/02/07/49/751020749.db2.gz ZIFCXUSLHIVCMW-QLPKVWCKSA-N 1 2 301.390 1.723 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(-c2cccnc2)n1 ZINC001032499228 751092958 /nfs/dbraw/zinc/09/29/58/751092958.db2.gz UWXZITLZNDXWGO-GJZGRUSLSA-N 1 2 322.368 1.659 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(-c2cccnc2)n1 ZINC001032499228 751092963 /nfs/dbraw/zinc/09/29/63/751092963.db2.gz UWXZITLZNDXWGO-GJZGRUSLSA-N 1 2 322.368 1.659 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H]1CCCNC1=O ZINC001032557753 751299768 /nfs/dbraw/zinc/29/97/68/751299768.db2.gz QUTPESVYGBUIDC-MELADBBJSA-N 1 2 305.422 1.152 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H]1CCCNC1=O ZINC001032557753 751299774 /nfs/dbraw/zinc/29/97/74/751299774.db2.gz QUTPESVYGBUIDC-MELADBBJSA-N 1 2 305.422 1.152 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1[C@@H]1CCCO1 ZINC001032559287 751314998 /nfs/dbraw/zinc/31/49/98/751314998.db2.gz ZNXZONYPIKZLSP-IHRRRGAJSA-N 1 2 315.373 1.448 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1[C@@H]1CCCO1 ZINC001032559287 751315002 /nfs/dbraw/zinc/31/50/02/751315002.db2.gz ZNXZONYPIKZLSP-IHRRRGAJSA-N 1 2 315.373 1.448 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@H]4CCC[C@@H](C3)N4CC#N)ccn12 ZINC001039587301 762129309 /nfs/dbraw/zinc/12/93/09/762129309.db2.gz LBFHKWQSTHLFDN-IYBDPMFKSA-N 1 2 323.400 1.845 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1c(C)n[nH]c1C ZINC001032686603 752722562 /nfs/dbraw/zinc/72/25/62/752722562.db2.gz AMPSABXBNRFECI-CQDKDKBSSA-N 1 2 300.406 1.438 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1c(C)n[nH]c1C ZINC001032686603 752722564 /nfs/dbraw/zinc/72/25/64/752722564.db2.gz AMPSABXBNRFECI-CQDKDKBSSA-N 1 2 300.406 1.438 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](Cc3ccnn3C)C2)C1 ZINC001008437869 752727873 /nfs/dbraw/zinc/72/78/73/752727873.db2.gz QXKZHZFEOVCMHV-AWEZNQCLSA-N 1 2 302.422 1.857 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](Cc3ccnn3C)C2)C1 ZINC001008437869 752727878 /nfs/dbraw/zinc/72/78/78/752727878.db2.gz QXKZHZFEOVCMHV-AWEZNQCLSA-N 1 2 302.422 1.857 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCN(C(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001062096398 752758271 /nfs/dbraw/zinc/75/82/71/752758271.db2.gz LENHPDNGWAZAIJ-IAQYHMDHSA-N 1 2 315.421 1.846 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)C)nn1C ZINC001032710713 752805777 /nfs/dbraw/zinc/80/57/77/752805777.db2.gz NDSWUMQHUGAMMO-KBPBESRZSA-N 1 2 300.406 1.466 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)C)nn1C ZINC001032710713 752805782 /nfs/dbraw/zinc/80/57/82/752805782.db2.gz NDSWUMQHUGAMMO-KBPBESRZSA-N 1 2 300.406 1.466 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001008703054 752879938 /nfs/dbraw/zinc/87/99/38/752879938.db2.gz HNXAGMGORZLBLY-GFCCVEGCSA-N 1 2 317.220 1.870 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001008703054 752879943 /nfs/dbraw/zinc/87/99/43/752879943.db2.gz HNXAGMGORZLBLY-GFCCVEGCSA-N 1 2 317.220 1.870 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2cscn2)C1 ZINC001108433324 762167622 /nfs/dbraw/zinc/16/76/22/762167622.db2.gz BGIKCPLQPHWTKZ-MRXNPFEDSA-N 1 2 321.446 1.306 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2cscn2)C1 ZINC001108433324 762167629 /nfs/dbraw/zinc/16/76/29/762167629.db2.gz BGIKCPLQPHWTKZ-MRXNPFEDSA-N 1 2 321.446 1.306 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)COC2CCC(C)CC2)C1 ZINC001108438043 762184718 /nfs/dbraw/zinc/18/47/18/762184718.db2.gz UDKRYXRYLCAHLU-LEOMRAHMSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)COC2CCC(C)CC2)C1 ZINC001108438043 762184722 /nfs/dbraw/zinc/18/47/22/762184722.db2.gz UDKRYXRYLCAHLU-LEOMRAHMSA-N 1 2 324.465 1.975 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC001077858714 753330034 /nfs/dbraw/zinc/33/00/34/753330034.db2.gz AKXWVQHMKSTFNY-ZIAGYGMSSA-N 1 2 306.793 1.447 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC001077858714 753330038 /nfs/dbraw/zinc/33/00/38/753330038.db2.gz AKXWVQHMKSTFNY-ZIAGYGMSSA-N 1 2 306.793 1.447 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccnc2)cn1 ZINC001032738300 753410350 /nfs/dbraw/zinc/41/03/50/753410350.db2.gz VPOZFKDAZHAJPK-ROUUACIJSA-N 1 2 318.380 1.557 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccnc2)cn1 ZINC001032738300 753410353 /nfs/dbraw/zinc/41/03/53/753410353.db2.gz VPOZFKDAZHAJPK-ROUUACIJSA-N 1 2 318.380 1.557 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1OC(C)C ZINC001032758649 753517818 /nfs/dbraw/zinc/51/78/18/753517818.db2.gz BXCXXWSQLSCCHM-KBPBESRZSA-N 1 2 301.390 1.954 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1OC(C)C ZINC001032758649 753517821 /nfs/dbraw/zinc/51/78/21/753517821.db2.gz BXCXXWSQLSCCHM-KBPBESRZSA-N 1 2 301.390 1.954 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2nc(C)sc2C)C1 ZINC001108018449 753531180 /nfs/dbraw/zinc/53/11/80/753531180.db2.gz FOVAMAPKZOZTRR-HNNXBMFYSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2nc(C)sc2C)C1 ZINC001108018449 753531189 /nfs/dbraw/zinc/53/11/89/753531189.db2.gz FOVAMAPKZOZTRR-HNNXBMFYSA-N 1 2 309.435 1.767 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)on1 ZINC001010151820 753643206 /nfs/dbraw/zinc/64/32/06/753643206.db2.gz HWFAABQEIJVROW-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)on1 ZINC001010151820 753643211 /nfs/dbraw/zinc/64/32/11/753643211.db2.gz HWFAABQEIJVROW-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1nc(NC[C@@H](C)NC(=O)CCc2c[nH]c[nH+]2)ccc1C#N ZINC001108186274 753647114 /nfs/dbraw/zinc/64/71/14/753647114.db2.gz NSVYWARHZRPUOL-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO Cc1nc(NC[C@@H](C)NC(=O)CCc2c[nH+]c[nH]2)ccc1C#N ZINC001108186274 753647120 /nfs/dbraw/zinc/64/71/20/753647120.db2.gz NSVYWARHZRPUOL-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@H](C)NC(=O)c1cccc2[nH+]ccn21 ZINC001078133710 753773823 /nfs/dbraw/zinc/77/38/23/753773823.db2.gz SRVGIQFZCKXBCD-RYUDHWBXSA-N 1 2 313.361 1.119 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001078280485 753916924 /nfs/dbraw/zinc/91/69/24/753916924.db2.gz JFRRBJQRNHSERR-LBPRGKRZSA-N 1 2 304.394 1.320 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001063198416 754038309 /nfs/dbraw/zinc/03/83/09/754038309.db2.gz VCQIJWSKPBUCSV-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO C=C(C)CCC(=O)NCC1(NC(=O)Cc2c[nH+]cn2C)CCC1 ZINC001063257345 754056929 /nfs/dbraw/zinc/05/69/29/754056929.db2.gz ZBPRTNFMWRUUSX-UHFFFAOYSA-N 1 2 318.421 1.474 20 30 DDEDLO Cc1nc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)co1 ZINC001010693980 754104342 /nfs/dbraw/zinc/10/43/42/754104342.db2.gz YDBUVYKUFZQVAB-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1nc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)co1 ZINC001010693980 754104347 /nfs/dbraw/zinc/10/43/47/754104347.db2.gz YDBUVYKUFZQVAB-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCOC(C)C)[C@@H](O)C1 ZINC001099693486 754117433 /nfs/dbraw/zinc/11/74/33/754117433.db2.gz SODHVIDKZRZLKC-STQMWFEESA-N 1 2 304.818 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCOC(C)C)[C@@H](O)C1 ZINC001099693486 754117438 /nfs/dbraw/zinc/11/74/38/754117438.db2.gz SODHVIDKZRZLKC-STQMWFEESA-N 1 2 304.818 1.105 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063519862 754206410 /nfs/dbraw/zinc/20/64/10/754206410.db2.gz GUTYORRKDNGRPY-CQSZACIVSA-N 1 2 318.421 1.641 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)OCCc2ccccc21 ZINC001032814287 754303805 /nfs/dbraw/zinc/30/38/05/754303805.db2.gz GSZNSRGGSKQSPE-BXWFABGCSA-N 1 2 310.397 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)OCCc2ccccc21 ZINC001032814287 754303807 /nfs/dbraw/zinc/30/38/07/754303807.db2.gz GSZNSRGGSKQSPE-BXWFABGCSA-N 1 2 310.397 1.393 20 30 DDEDLO C=CCCCC(=O)NC1(CNC(=O)Cc2c[nH+]cn2C)CCC1 ZINC001063722436 754317442 /nfs/dbraw/zinc/31/74/42/754317442.db2.gz FNKRMCUMFQPYLE-UHFFFAOYSA-N 1 2 318.421 1.474 20 30 DDEDLO C[C@@H](CCNC(=O)Cc1c[nH]c[nH+]1)NC(=O)C#CC(C)(C)C ZINC001078751783 754345983 /nfs/dbraw/zinc/34/59/83/754345983.db2.gz COYYTKVCVQFTNH-LBPRGKRZSA-N 1 2 304.394 1.013 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1(NC(=O)C[C@H](C)n2cc[nH+]c2)CCC1 ZINC001064309435 754606780 /nfs/dbraw/zinc/60/67/80/754606780.db2.gz WIWNSFKRWMOMQW-OLZOCXBDSA-N 1 2 317.393 1.149 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCN(C(=O)C#CC(C)(C)C)[C@@H]3C2)cc[nH+]1 ZINC001090313105 754843928 /nfs/dbraw/zinc/84/39/28/754843928.db2.gz DLOZBIMOORHLFJ-LSDHHAIUSA-N 1 2 312.417 1.872 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001040150596 762410466 /nfs/dbraw/zinc/41/04/66/762410466.db2.gz YHLNAIIZTKWTFV-JSGCOSHPSA-N 1 2 310.361 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2CC)C1 ZINC001080032529 755692345 /nfs/dbraw/zinc/69/23/45/755692345.db2.gz GIDSEVNAPRGHRY-ZWNOBZJWSA-N 1 2 310.829 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2CC)C1 ZINC001080032529 755692346 /nfs/dbraw/zinc/69/23/46/755692346.db2.gz GIDSEVNAPRGHRY-ZWNOBZJWSA-N 1 2 310.829 1.785 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2scnc2C(F)(F)F)C1 ZINC001014767108 755929457 /nfs/dbraw/zinc/92/94/57/755929457.db2.gz MFAJTNXAIGUNJQ-SECBINFHSA-N 1 2 317.336 1.989 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2scnc2C(F)(F)F)C1 ZINC001014767108 755929461 /nfs/dbraw/zinc/92/94/61/755929461.db2.gz MFAJTNXAIGUNJQ-SECBINFHSA-N 1 2 317.336 1.989 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)on2)C[C@H]1C ZINC001080471231 755931640 /nfs/dbraw/zinc/93/16/40/755931640.db2.gz WISSSEOIRVJQHE-MPESAESLSA-N 1 2 319.405 1.511 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2cc(C)on2)C[C@H]1C ZINC001080471231 755931642 /nfs/dbraw/zinc/93/16/42/755931642.db2.gz WISSSEOIRVJQHE-MPESAESLSA-N 1 2 319.405 1.511 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080631641 756013303 /nfs/dbraw/zinc/01/33/03/756013303.db2.gz ABHGHVWFDOBSSI-QWHCGFSZSA-N 1 2 318.421 1.470 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc3ncccn3n2)C1 ZINC001080649400 756036832 /nfs/dbraw/zinc/03/68/32/756036832.db2.gz UYVLRWAONUABJS-ZWNOBZJWSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc3ncccn3n2)C1 ZINC001080649400 756036837 /nfs/dbraw/zinc/03/68/37/756036837.db2.gz UYVLRWAONUABJS-ZWNOBZJWSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001057034519 762441993 /nfs/dbraw/zinc/44/19/93/762441993.db2.gz GSKVBQZTAKEITF-KFWWJZLASA-N 1 2 316.405 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2Cc3ccccc3O2)C1 ZINC001015167493 756156122 /nfs/dbraw/zinc/15/61/22/756156122.db2.gz XYCIZQPAIFHCPP-DZGCQCFKSA-N 1 2 306.793 1.933 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2Cc3ccccc3O2)C1 ZINC001015167493 756156127 /nfs/dbraw/zinc/15/61/27/756156127.db2.gz XYCIZQPAIFHCPP-DZGCQCFKSA-N 1 2 306.793 1.933 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001081075742 756201756 /nfs/dbraw/zinc/20/17/56/756201756.db2.gz FATXJBIVBRLONF-MPKXVKKWSA-N 1 2 310.829 1.713 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001081075742 756201759 /nfs/dbraw/zinc/20/17/59/756201759.db2.gz FATXJBIVBRLONF-MPKXVKKWSA-N 1 2 310.829 1.713 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1OC ZINC001081241613 756277042 /nfs/dbraw/zinc/27/70/42/756277042.db2.gz BFJIGZIYKSWOCM-HUUCEWRRSA-N 1 2 305.353 1.423 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1OC ZINC001081241613 756277044 /nfs/dbraw/zinc/27/70/44/756277044.db2.gz BFJIGZIYKSWOCM-HUUCEWRRSA-N 1 2 305.353 1.423 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067115342 756355839 /nfs/dbraw/zinc/35/58/39/756355839.db2.gz ZKJJOAYJIADWRU-WFASDCNBSA-N 1 2 310.361 1.109 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ncccc2OC)C1 ZINC001015613634 756413112 /nfs/dbraw/zinc/41/31/12/756413112.db2.gz HOOLNOWDANMZPR-LBPRGKRZSA-N 1 2 309.797 1.576 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ncccc2OC)C1 ZINC001015613634 756413115 /nfs/dbraw/zinc/41/31/15/756413115.db2.gz HOOLNOWDANMZPR-LBPRGKRZSA-N 1 2 309.797 1.576 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ncoc2C(C)C)C[C@@H]1O ZINC001099727913 756436100 /nfs/dbraw/zinc/43/61/00/756436100.db2.gz SXSIDIYBTGWIIX-ZFWWWQNUSA-N 1 2 321.421 1.816 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ncoc2C(C)C)C[C@@H]1O ZINC001099727913 756436103 /nfs/dbraw/zinc/43/61/03/756436103.db2.gz SXSIDIYBTGWIIX-ZFWWWQNUSA-N 1 2 321.421 1.816 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099728285 756442386 /nfs/dbraw/zinc/44/23/86/756442386.db2.gz WHJKIXZJQQEQPC-STQMWFEESA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099728285 756442388 /nfs/dbraw/zinc/44/23/88/756442388.db2.gz WHJKIXZJQQEQPC-STQMWFEESA-N 1 2 309.435 1.469 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3nccc(C)c3c2)[C@H](OC)C1 ZINC001081731718 756446181 /nfs/dbraw/zinc/44/61/81/756446181.db2.gz PXZSMCZYHZDGEH-QZTJIDSGSA-N 1 2 323.396 1.605 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3nccc(C)c3c2)[C@H](OC)C1 ZINC001081731718 756446185 /nfs/dbraw/zinc/44/61/85/756446185.db2.gz PXZSMCZYHZDGEH-QZTJIDSGSA-N 1 2 323.396 1.605 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@H](OC)C1 ZINC001081805424 756486391 /nfs/dbraw/zinc/48/63/91/756486391.db2.gz WMPOLOPAXQMTPL-GHMZBOCLSA-N 1 2 312.801 1.241 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@H](OC)C1 ZINC001081805424 756486396 /nfs/dbraw/zinc/48/63/96/756486396.db2.gz WMPOLOPAXQMTPL-GHMZBOCLSA-N 1 2 312.801 1.241 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cncc(Cl)c2C)[C@H](OC)C1 ZINC001081814533 756491351 /nfs/dbraw/zinc/49/13/51/756491351.db2.gz QDHRQRSYIHVWKC-HUUCEWRRSA-N 1 2 321.808 1.496 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cncc(Cl)c2C)[C@H](OC)C1 ZINC001081814533 756491355 /nfs/dbraw/zinc/49/13/55/756491355.db2.gz QDHRQRSYIHVWKC-HUUCEWRRSA-N 1 2 321.808 1.496 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(C)C)nn2CC)[C@H](OC)C1 ZINC001081893263 756542010 /nfs/dbraw/zinc/54/20/10/756542010.db2.gz ITKDOKUBMMHEPV-GDBMZVCRSA-N 1 2 318.421 1.089 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(C)C)nn2CC)[C@H](OC)C1 ZINC001081893263 756542014 /nfs/dbraw/zinc/54/20/14/756542014.db2.gz ITKDOKUBMMHEPV-GDBMZVCRSA-N 1 2 318.421 1.089 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001081945521 756558279 /nfs/dbraw/zinc/55/82/79/756558279.db2.gz NDBJEPQJPDVYDD-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2cnc(-c3ccoc3)s2)C1 ZINC001015806548 756563580 /nfs/dbraw/zinc/56/35/80/756563580.db2.gz XYIQULYKHPLVIF-GFCCVEGCSA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2cnc(-c3ccoc3)s2)C1 ZINC001015806548 756563585 /nfs/dbraw/zinc/56/35/85/756563585.db2.gz XYIQULYKHPLVIF-GFCCVEGCSA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)c(F)cc2F)[C@H](OC)C1 ZINC001082077747 756620493 /nfs/dbraw/zinc/62/04/93/756620493.db2.gz CANHRXKBYSJEKB-ZIAGYGMSSA-N 1 2 312.291 1.166 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)c(F)cc2F)[C@H](OC)C1 ZINC001082077747 756620495 /nfs/dbraw/zinc/62/04/95/756620495.db2.gz CANHRXKBYSJEKB-ZIAGYGMSSA-N 1 2 312.291 1.166 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2nccc3ccccc32)[C@H](OC)C1 ZINC001082075358 756626912 /nfs/dbraw/zinc/62/69/12/756626912.db2.gz PEIINWRDBOKNCN-HZPDHXFCSA-N 1 2 309.369 1.297 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2nccc3ccccc32)[C@H](OC)C1 ZINC001082075358 756626914 /nfs/dbraw/zinc/62/69/14/756626914.db2.gz PEIINWRDBOKNCN-HZPDHXFCSA-N 1 2 309.369 1.297 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(F)c(COC)c2)[C@H](OC)C1 ZINC001082112963 756646533 /nfs/dbraw/zinc/64/65/33/756646533.db2.gz PGHYUORUZXWBAH-HZPDHXFCSA-N 1 2 320.364 1.034 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(F)c(COC)c2)[C@H](OC)C1 ZINC001082112963 756646536 /nfs/dbraw/zinc/64/65/36/756646536.db2.gz PGHYUORUZXWBAH-HZPDHXFCSA-N 1 2 320.364 1.034 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2scnc2C(C)C)[C@H](OC)C1 ZINC001082214036 756687171 /nfs/dbraw/zinc/68/71/71/756687171.db2.gz LLANMSPPEBVWHD-VXGBXAGGSA-N 1 2 309.435 1.882 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2scnc2C(C)C)[C@H](OC)C1 ZINC001082214036 756687175 /nfs/dbraw/zinc/68/71/75/756687175.db2.gz LLANMSPPEBVWHD-VXGBXAGGSA-N 1 2 309.435 1.882 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](NC(=O)c2snnc2C(C)C)[C@H](OC)C1 ZINC001082264385 756714016 /nfs/dbraw/zinc/71/40/16/756714016.db2.gz ZDCRUFTYAPHTGK-VXGBXAGGSA-N 1 2 322.434 1.114 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](NC(=O)c2snnc2C(C)C)[C@H](OC)C1 ZINC001082264385 756714020 /nfs/dbraw/zinc/71/40/20/756714020.db2.gz ZDCRUFTYAPHTGK-VXGBXAGGSA-N 1 2 322.434 1.114 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(F)cn3)C2)nc1 ZINC001016025174 756730256 /nfs/dbraw/zinc/73/02/56/756730256.db2.gz VQOUVKWUHJYUCA-INIZCTEOSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccc(F)cn3)C2)nc1 ZINC001016025174 756730261 /nfs/dbraw/zinc/73/02/61/756730261.db2.gz VQOUVKWUHJYUCA-INIZCTEOSA-N 1 2 324.359 1.601 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccsc2C(F)F)[C@H](OC)C1 ZINC001082347746 756741960 /nfs/dbraw/zinc/74/19/60/756741960.db2.gz RDJIHYDBCCTQCM-GHMZBOCLSA-N 1 2 314.357 1.748 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccsc2C(F)F)[C@H](OC)C1 ZINC001082347746 756741964 /nfs/dbraw/zinc/74/19/64/756741964.db2.gz RDJIHYDBCCTQCM-GHMZBOCLSA-N 1 2 314.357 1.748 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)C(C)(C)C ZINC001082338962 756745511 /nfs/dbraw/zinc/74/55/11/756745511.db2.gz NWERZUIWILMWMF-ZIAGYGMSSA-N 1 2 321.446 1.981 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)C(C)(C)C ZINC001082338962 756745513 /nfs/dbraw/zinc/74/55/13/756745513.db2.gz NWERZUIWILMWMF-ZIAGYGMSSA-N 1 2 321.446 1.981 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)(F)F)cc2)[C@H](OC)C1 ZINC001082401440 756770681 /nfs/dbraw/zinc/77/06/81/756770681.db2.gz ORUVLQGPHWSHOI-HUUCEWRRSA-N 1 2 322.355 1.861 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)(F)F)cc2)[C@H](OC)C1 ZINC001082401440 756770684 /nfs/dbraw/zinc/77/06/84/756770684.db2.gz ORUVLQGPHWSHOI-HUUCEWRRSA-N 1 2 322.355 1.861 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4occc4c3)[C@H]2C1 ZINC001083090512 757130512 /nfs/dbraw/zinc/13/05/12/757130512.db2.gz BYKJBWGVXOSQBJ-FUHWJXTLSA-N 1 2 324.380 1.981 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4occc4c3)[C@H]2C1 ZINC001083090512 757130515 /nfs/dbraw/zinc/13/05/15/757130515.db2.gz BYKJBWGVXOSQBJ-FUHWJXTLSA-N 1 2 324.380 1.981 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(F)c(F)cc3F)[C@H]2C1 ZINC001083178956 757183688 /nfs/dbraw/zinc/18/36/88/757183688.db2.gz XPTQTMSMNSXOLR-LSDHHAIUSA-N 1 2 324.302 1.262 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(F)c(F)cc3F)[C@H]2C1 ZINC001083178956 757183690 /nfs/dbraw/zinc/18/36/90/757183690.db2.gz XPTQTMSMNSXOLR-LSDHHAIUSA-N 1 2 324.302 1.262 20 30 DDEDLO C=CCOCC(=O)NC[C@H](C)Nc1nc(C)[nH+]c2c1CCCC2 ZINC001097719405 757412869 /nfs/dbraw/zinc/41/28/69/757412869.db2.gz LNFFYFGMLUYFBA-LBPRGKRZSA-N 1 2 318.421 1.783 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CO[C@H]3CCOC3)[C@@H]2C1 ZINC001084339546 757499194 /nfs/dbraw/zinc/49/91/94/757499194.db2.gz DDDFLGZGOCVTPR-HZSPNIEDSA-N 1 2 314.813 1.077 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CO[C@H]3CCOC3)[C@@H]2C1 ZINC001084339546 757499200 /nfs/dbraw/zinc/49/92/00/757499200.db2.gz DDDFLGZGOCVTPR-HZSPNIEDSA-N 1 2 314.813 1.077 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](CNC(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001052729378 757696045 /nfs/dbraw/zinc/69/60/45/757696045.db2.gz NOBOEDVPTJHQIN-HUUCEWRRSA-N 1 2 318.421 1.450 20 30 DDEDLO Cc1nc(CC[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)cs1 ZINC001017422866 757919495 /nfs/dbraw/zinc/91/94/95/757919495.db2.gz PADAGRYBYSXVCC-DFBGVHRSSA-N 1 2 318.446 1.829 20 30 DDEDLO Cc1nc(CC[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)cs1 ZINC001017422866 757919500 /nfs/dbraw/zinc/91/95/00/757919500.db2.gz PADAGRYBYSXVCC-DFBGVHRSSA-N 1 2 318.446 1.829 20 30 DDEDLO CC[C@@H](F)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C#N)[nH]1 ZINC001017483718 757966780 /nfs/dbraw/zinc/96/67/80/757966780.db2.gz WDAZMVUUFGPPNU-BNOWGMLFSA-N 1 2 304.369 1.923 20 30 DDEDLO CC[C@@H](F)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C#N)[nH]1 ZINC001017483718 757966784 /nfs/dbraw/zinc/96/67/84/757966784.db2.gz WDAZMVUUFGPPNU-BNOWGMLFSA-N 1 2 304.369 1.923 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2n[nH]cc21 ZINC001017554516 758022712 /nfs/dbraw/zinc/02/27/12/758022712.db2.gz BVABRPVMXHTYNB-QLFBSQMISA-N 1 2 312.417 1.528 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2n[nH]cc21 ZINC001017554516 758022731 /nfs/dbraw/zinc/02/27/31/758022731.db2.gz BVABRPVMXHTYNB-QLFBSQMISA-N 1 2 312.417 1.528 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cn2c(n1)CCCC2 ZINC001017572750 758042573 /nfs/dbraw/zinc/04/25/73/758042573.db2.gz IBQYTMOVYMPOKE-IYBDPMFKSA-N 1 2 312.417 1.070 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cn2c(n1)CCCC2 ZINC001017572750 758042577 /nfs/dbraw/zinc/04/25/77/758042577.db2.gz IBQYTMOVYMPOKE-IYBDPMFKSA-N 1 2 312.417 1.070 20 30 DDEDLO C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001017572750 758042589 /nfs/dbraw/zinc/04/25/89/758042589.db2.gz IBQYTMOVYMPOKE-IYBDPMFKSA-N 1 2 312.417 1.070 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CC)nc1C1CC1 ZINC001017589210 758055217 /nfs/dbraw/zinc/05/52/17/758055217.db2.gz UQDWLGBFEZYWBK-GASCZTMLSA-N 1 2 312.417 1.702 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CC)nc1C1CC1 ZINC001017589210 758055223 /nfs/dbraw/zinc/05/52/23/758055223.db2.gz UQDWLGBFEZYWBK-GASCZTMLSA-N 1 2 312.417 1.702 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COC[C@@H]1c1ccccc1 ZINC001017675097 758143353 /nfs/dbraw/zinc/14/33/53/758143353.db2.gz HPWJGPURQSVEAL-HCXYKTFWSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COC[C@@H]1c1ccccc1 ZINC001017675097 758143366 /nfs/dbraw/zinc/14/33/66/758143366.db2.gz HPWJGPURQSVEAL-HCXYKTFWSA-N 1 2 324.424 1.725 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nnc(C)n2c1 ZINC001017691917 758156901 /nfs/dbraw/zinc/15/69/01/758156901.db2.gz UQKOYHIVVGMRFP-IYBDPMFKSA-N 1 2 323.400 1.350 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nnc(C)n2c1 ZINC001017691917 758156910 /nfs/dbraw/zinc/15/69/10/758156910.db2.gz UQKOYHIVVGMRFP-IYBDPMFKSA-N 1 2 323.400 1.350 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1cncs1)CCO2 ZINC001053174590 758231375 /nfs/dbraw/zinc/23/13/75/758231375.db2.gz NGUDYKNQNSFGTC-UHFFFAOYSA-N 1 2 307.419 1.636 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnc(C3CC3)o1)CCO2 ZINC001053291199 758346427 /nfs/dbraw/zinc/34/64/27/758346427.db2.gz CSFHFDVRGGQZRT-UHFFFAOYSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCc1ccon1)CCO2 ZINC001053342306 758389172 /nfs/dbraw/zinc/38/91/72/758389172.db2.gz UFALAWQAFJFGAP-UHFFFAOYSA-N 1 2 305.378 1.097 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)F)n[nH]1 ZINC001017932672 758402991 /nfs/dbraw/zinc/40/29/91/758402991.db2.gz KODKKTAFVBKPIR-PHIMTYICSA-N 1 2 308.332 1.659 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)F)n[nH]1 ZINC001017932672 758402995 /nfs/dbraw/zinc/40/29/95/758402995.db2.gz KODKKTAFVBKPIR-PHIMTYICSA-N 1 2 308.332 1.659 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(CC)ncnc1CC ZINC001017959004 758422385 /nfs/dbraw/zinc/42/23/85/758422385.db2.gz NSQOQXIVCVBKLV-OKILXGFUSA-N 1 2 312.417 1.523 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(CC)ncnc1CC ZINC001017959004 758422393 /nfs/dbraw/zinc/42/23/93/758422393.db2.gz NSQOQXIVCVBKLV-OKILXGFUSA-N 1 2 312.417 1.523 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CCN(c3cc[nH+]c(C)n3)C2)cn1 ZINC001065269320 758426676 /nfs/dbraw/zinc/42/66/76/758426676.db2.gz NALXACVOHSQVGX-SFHVURJKSA-N 1 2 321.384 1.560 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)[C@H]1CCCO1)CCO2 ZINC001053418358 758447841 /nfs/dbraw/zinc/44/78/41/758447841.db2.gz QGWGYDHSPPSVNX-LSDHHAIUSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N2CCCC2)nc1 ZINC001018071884 758523018 /nfs/dbraw/zinc/52/30/18/758523018.db2.gz ZAOQKWUHSWUMOD-CALCHBBNSA-N 1 2 324.428 1.604 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N2CCCC2)nc1 ZINC001018071884 758523019 /nfs/dbraw/zinc/52/30/19/758523019.db2.gz ZAOQKWUHSWUMOD-CALCHBBNSA-N 1 2 324.428 1.604 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1sc(C)nc1C)CCO2 ZINC001053527365 758535590 /nfs/dbraw/zinc/53/55/90/758535590.db2.gz QESQFBAHLLZOGC-UHFFFAOYSA-N 1 2 321.446 1.863 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC[C@H]1CC[C@H](C)O1)CCO2 ZINC001053539157 758544747 /nfs/dbraw/zinc/54/47/47/758544747.db2.gz OWHVQVZTSSAACC-JKSUJKDBSA-N 1 2 322.449 1.823 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cn(C)nc1C)O2 ZINC001053582712 758595509 /nfs/dbraw/zinc/59/55/09/758595509.db2.gz KOQUANQJLZKDCJ-AWEZNQCLSA-N 1 2 318.421 1.268 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1C)O2 ZINC001053589791 758606243 /nfs/dbraw/zinc/60/62/43/758606243.db2.gz RAIFVTSISCXUJR-HNNXBMFYSA-N 1 2 315.417 1.929 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccn(C)c1)O2 ZINC001053591716 758609160 /nfs/dbraw/zinc/60/91/60/758609160.db2.gz BFHMPKSVPCQOMO-HNNXBMFYSA-N 1 2 303.406 1.564 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1CC[C@@H](C)O1)O2 ZINC001053664125 758677034 /nfs/dbraw/zinc/67/70/34/758677034.db2.gz LDVNFRRRXRFSEV-RBSFLKMASA-N 1 2 308.422 1.480 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccncc1Cl)O2 ZINC001053668025 758679643 /nfs/dbraw/zinc/67/96/43/758679643.db2.gz HNIMOZDPRYLTCI-GFCCVEGCSA-N 1 2 321.808 1.884 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)n1nc(C)cc1C ZINC001018328168 758732524 /nfs/dbraw/zinc/73/25/24/758732524.db2.gz DHFXZLULNBNZAX-ZACQAIPSSA-N 1 2 314.433 1.759 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)n1nc(C)cc1C ZINC001018328168 758732531 /nfs/dbraw/zinc/73/25/31/758732531.db2.gz DHFXZLULNBNZAX-ZACQAIPSSA-N 1 2 314.433 1.759 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1csc(C)c1C)CO2 ZINC001053813940 758842318 /nfs/dbraw/zinc/84/23/18/758842318.db2.gz COULCSOKARZXTA-AWEZNQCLSA-N 1 2 318.442 1.961 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1CNc1ccc(C#N)nc1 ZINC001065872069 758859067 /nfs/dbraw/zinc/85/90/67/758859067.db2.gz MNQFNZCKWXSJMT-STQMWFEESA-N 1 2 324.388 1.425 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H]1CC=CCC1)CO2 ZINC001053894249 758927099 /nfs/dbraw/zinc/92/70/99/758927099.db2.gz JCPJDSFYCCGWJR-HOTGVXAUSA-N 1 2 302.418 1.716 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]cn2C)CC1 ZINC001065968666 758944900 /nfs/dbraw/zinc/94/49/00/758944900.db2.gz FAYCYGSQZBZALG-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2COC3(C[NH+]([C@@H](C)COC)C3)C2)CC1 ZINC001053914062 758948071 /nfs/dbraw/zinc/94/80/71/758948071.db2.gz FVVYHSSTLHTOIU-GOEBONIOSA-N 1 2 322.449 1.727 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1C[C@@H]1c1ccccc1)CO2 ZINC001053950323 758988570 /nfs/dbraw/zinc/98/85/70/758988570.db2.gz ZVXKVNOZIGVBEM-KSZLIROESA-N 1 2 324.424 1.773 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1oc(CC)nc1C)CO2 ZINC001053974339 759012141 /nfs/dbraw/zinc/01/21/41/759012141.db2.gz LYDQXKUQAILABV-CYBMUJFWSA-N 1 2 317.389 1.142 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108551590 762677506 /nfs/dbraw/zinc/67/75/06/762677506.db2.gz IQQSQLJNFAFJSO-NSHDSACASA-N 1 2 306.414 1.519 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1sccc1OC)CO2 ZINC001053986460 759028600 /nfs/dbraw/zinc/02/86/00/759028600.db2.gz BZQUTQAMYVCXGE-GFCCVEGCSA-N 1 2 320.414 1.353 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)nn(C)c1F)CO2 ZINC001053994592 759041295 /nfs/dbraw/zinc/04/12/95/759041295.db2.gz JGNOIYFFBBWKJF-LBPRGKRZSA-N 1 2 322.384 1.017 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001066113701 759070841 /nfs/dbraw/zinc/07/08/41/759070841.db2.gz RCZDOSNCKYQHRD-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001066113702 759070880 /nfs/dbraw/zinc/07/08/80/759070880.db2.gz RCZDOSNCKYQHRD-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1[C@H]3[C@@H]1[C@@H]1CC[C@H]3C1)CO2 ZINC001054021605 759072619 /nfs/dbraw/zinc/07/26/19/759072619.db2.gz OXTWKAXLWXUFOX-PHPOFCCKSA-N 1 2 314.429 1.261 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)C1=COCCO1 ZINC001054029374 759081046 /nfs/dbraw/zinc/08/10/46/759081046.db2.gz MEKZSDVVENSHCT-INIZCTEOSA-N 1 2 312.369 1.051 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)C1=COCCO1 ZINC001054029374 759081052 /nfs/dbraw/zinc/08/10/52/759081052.db2.gz MEKZSDVVENSHCT-INIZCTEOSA-N 1 2 312.369 1.051 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108561309 762684125 /nfs/dbraw/zinc/68/41/25/762684125.db2.gz WQYPXNYCXBEFHA-ZDUSSCGKSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3(CCCC)CC3)CC2=O)C1 ZINC001108569421 762697477 /nfs/dbraw/zinc/69/74/77/762697477.db2.gz ICKDNSKDCNAHPM-CQSZACIVSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3(CC=C)CCC3)CC2=O)C1 ZINC001108570313 762698118 /nfs/dbraw/zinc/69/81/18/762698118.db2.gz ZIPHDILLBWVGGM-CQSZACIVSA-N 1 2 317.433 1.320 20 30 DDEDLO N#CCN[C@@H]1CCCC[C@H]1NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001085382760 759318805 /nfs/dbraw/zinc/31/88/05/759318805.db2.gz UTOILOJWRIOFBY-HUUCEWRRSA-N 1 2 324.388 1.421 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001018909077 759394559 /nfs/dbraw/zinc/39/45/59/759394559.db2.gz KDBXMMVKJFTECN-NSHDSACASA-N 1 2 312.801 1.847 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)C2CCC2)C1=O ZINC001085451922 759410117 /nfs/dbraw/zinc/41/01/17/759410117.db2.gz CUMKYBWBXCSYGC-GJZGRUSLSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C2CCC2)C1=O ZINC001085451922 759410121 /nfs/dbraw/zinc/41/01/21/759410121.db2.gz CUMKYBWBXCSYGC-GJZGRUSLSA-N 1 2 305.422 1.106 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H](Nc3cc[nH+]c(C)n3)C[C@H]2C)nc1 ZINC001069124970 767862882 /nfs/dbraw/zinc/86/28/82/767862882.db2.gz XEYWRKKKCAVSBE-DOMZBBRYSA-N 1 2 321.384 1.876 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001046733751 767865142 /nfs/dbraw/zinc/86/51/42/767865142.db2.gz IJDIVGYCRHLZOW-CQSZACIVSA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001046733751 767865149 /nfs/dbraw/zinc/86/51/49/767865149.db2.gz IJDIVGYCRHLZOW-CQSZACIVSA-N 1 2 310.785 1.060 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cncnc1 ZINC001085560291 759694879 /nfs/dbraw/zinc/69/48/79/759694879.db2.gz JDINPKIBLCVASG-SFHVURJKSA-N 1 2 320.396 1.675 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cncnc1 ZINC001085560291 759694884 /nfs/dbraw/zinc/69/48/84/759694884.db2.gz JDINPKIBLCVASG-SFHVURJKSA-N 1 2 320.396 1.675 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(C(C)(C)C)nc1C ZINC001085589178 759801814 /nfs/dbraw/zinc/80/18/14/759801814.db2.gz GHVPONPVXUGGKF-AWEZNQCLSA-N 1 2 302.422 1.726 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(C(C)(C)C)nc1C ZINC001085589178 759801825 /nfs/dbraw/zinc/80/18/25/759801825.db2.gz GHVPONPVXUGGKF-AWEZNQCLSA-N 1 2 302.422 1.726 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc2c1OCCO2 ZINC001085629544 759883805 /nfs/dbraw/zinc/88/38/05/759883805.db2.gz WMPNGZAZHYOYBA-ZDUSSCGKSA-N 1 2 300.358 1.237 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc2c1OCCO2 ZINC001085629544 759883814 /nfs/dbraw/zinc/88/38/14/759883814.db2.gz WMPNGZAZHYOYBA-ZDUSSCGKSA-N 1 2 300.358 1.237 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2CN(C(=O)C#CC3CC3)C[C@@H]2C)n1 ZINC001054639712 759932886 /nfs/dbraw/zinc/93/28/86/759932886.db2.gz VFBMVUNOYXSMBX-JSGCOSHPSA-N 1 2 316.405 1.372 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)CNC(=O)Cc2c[nH+]cn2C)n1 ZINC001097955814 759984394 /nfs/dbraw/zinc/98/43/94/759984394.db2.gz VJRRMDOTMKZSSL-LBPRGKRZSA-N 1 2 312.377 1.155 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001085681021 759995230 /nfs/dbraw/zinc/99/52/30/759995230.db2.gz QVZBUUIPSRQKQA-DZGCQCFKSA-N 1 2 322.840 1.731 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncoc1[C@@H]1CCCO1 ZINC001085760685 760186010 /nfs/dbraw/zinc/18/60/10/760186010.db2.gz QIVZASBLKBHPHI-KGLIPLIRSA-N 1 2 317.389 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncoc1[C@@H]1CCCO1 ZINC001085760685 760186015 /nfs/dbraw/zinc/18/60/15/760186015.db2.gz QIVZASBLKBHPHI-KGLIPLIRSA-N 1 2 317.389 1.696 20 30 DDEDLO C#CCCN1CC[C@H]1CN(C)C(=O)c1occc1C[NH+](C)C ZINC001085788174 760241335 /nfs/dbraw/zinc/24/13/35/760241335.db2.gz FRDGNQFHJJFOGZ-HNNXBMFYSA-N 1 2 303.406 1.511 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccnc1C(F)(F)F ZINC001085840490 760337242 /nfs/dbraw/zinc/33/72/42/760337242.db2.gz IWVBXZJUXOQVBZ-LLVKDONJSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccnc1C(F)(F)F ZINC001085840490 760337249 /nfs/dbraw/zinc/33/72/49/760337249.db2.gz IWVBXZJUXOQVBZ-LLVKDONJSA-N 1 2 311.307 1.880 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C/C=C\Cl)nn1 ZINC001085861106 760400444 /nfs/dbraw/zinc/40/04/44/760400444.db2.gz PLUOFZVMJOWFCW-RNZFLTOJSA-N 1 2 309.801 1.363 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2C/C=C\Cl)nn1 ZINC001085861106 760400451 /nfs/dbraw/zinc/40/04/51/760400451.db2.gz PLUOFZVMJOWFCW-RNZFLTOJSA-N 1 2 309.801 1.363 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(CCCC)nc1C ZINC001085918156 760528029 /nfs/dbraw/zinc/52/80/29/760528029.db2.gz WALNSLLQTYCOJH-OAHLLOKOSA-N 1 2 302.422 1.771 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(CCCC)nc1C ZINC001085918156 760528040 /nfs/dbraw/zinc/52/80/40/760528040.db2.gz WALNSLLQTYCOJH-OAHLLOKOSA-N 1 2 302.422 1.771 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(OC)c1OC ZINC001085943895 760574516 /nfs/dbraw/zinc/57/45/16/760574516.db2.gz UQZJYYZYDLUWCP-ZDUSSCGKSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(OC)c1OC ZINC001085943895 760574524 /nfs/dbraw/zinc/57/45/24/760574524.db2.gz UQZJYYZYDLUWCP-ZDUSSCGKSA-N 1 2 302.374 1.483 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc3c(c2)CCO3)C1 ZINC001108236132 760797160 /nfs/dbraw/zinc/79/71/60/760797160.db2.gz CJVNJELAZZBQPH-GOSISDBHSA-N 1 2 316.401 1.628 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc3c(c2)CCO3)C1 ZINC001108236132 760797163 /nfs/dbraw/zinc/79/71/63/760797163.db2.gz CJVNJELAZZBQPH-GOSISDBHSA-N 1 2 316.401 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001046855256 767986869 /nfs/dbraw/zinc/98/68/69/767986869.db2.gz ANHACXRDUBDIJR-UKRRQHHQSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001046855256 767986872 /nfs/dbraw/zinc/98/68/72/767986872.db2.gz ANHACXRDUBDIJR-UKRRQHHQSA-N 1 2 313.829 1.330 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038174775 760887168 /nfs/dbraw/zinc/88/71/68/760887168.db2.gz JJHUVSBCABLPRM-AWEZNQCLSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038174775 760887178 /nfs/dbraw/zinc/88/71/78/760887178.db2.gz JJHUVSBCABLPRM-AWEZNQCLSA-N 1 2 313.361 1.496 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)cc2F)C1 ZINC001108241000 760916240 /nfs/dbraw/zinc/91/62/40/760916240.db2.gz UBBWAMINFIVXRX-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)cc2F)C1 ZINC001108241000 760916245 /nfs/dbraw/zinc/91/62/45/760916245.db2.gz UBBWAMINFIVXRX-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnn1C1CCCC1 ZINC001038304087 760990596 /nfs/dbraw/zinc/99/05/96/760990596.db2.gz GAQWYLAPWGUVRB-OAHLLOKOSA-N 1 2 300.406 1.826 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnn1C1CCCC1 ZINC001038304087 760990602 /nfs/dbraw/zinc/99/06/02/760990602.db2.gz GAQWYLAPWGUVRB-OAHLLOKOSA-N 1 2 300.406 1.826 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3CN(c4cc[nH+]c(C)n4)C[C@H]32)nc1 ZINC001056260608 761078592 /nfs/dbraw/zinc/07/85/92/761078592.db2.gz SQFVHUNCJUZXJB-HALDLXJZSA-N 1 2 319.368 1.026 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C(C)C)nn1CC ZINC001038454042 761131320 /nfs/dbraw/zinc/13/13/20/761131320.db2.gz DKXSKDMOAJLQFM-CQSZACIVSA-N 1 2 302.422 1.854 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C(C)C)nn1CC ZINC001038454042 761131322 /nfs/dbraw/zinc/13/13/22/761131322.db2.gz DKXSKDMOAJLQFM-CQSZACIVSA-N 1 2 302.422 1.854 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066444182 761184281 /nfs/dbraw/zinc/18/42/81/761184281.db2.gz VBBFKWANJAAZPI-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2[C@H]1CCCN2C(=O)Cn1cc[nH+]c1 ZINC001056457031 761184739 /nfs/dbraw/zinc/18/47/39/761184739.db2.gz XNPTVPGJMOLZQX-HUUCEWRRSA-N 1 2 316.405 1.441 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001038600358 761224684 /nfs/dbraw/zinc/22/46/84/761224684.db2.gz PILAJGARFBOQCM-WNRNVDISSA-N 1 2 310.397 1.121 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001038600358 761224688 /nfs/dbraw/zinc/22/46/88/761224688.db2.gz PILAJGARFBOQCM-WNRNVDISSA-N 1 2 310.397 1.121 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1occc1-c1cnn(C)c1 ZINC001038631826 761241334 /nfs/dbraw/zinc/24/13/34/761241334.db2.gz MPNMTVFLOLOYPK-CQSZACIVSA-N 1 2 312.373 1.508 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1occc1-c1cnn(C)c1 ZINC001038631826 761241336 /nfs/dbraw/zinc/24/13/36/761241336.db2.gz MPNMTVFLOLOYPK-CQSZACIVSA-N 1 2 312.373 1.508 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(-n2cccn2)n1 ZINC001038684829 761286379 /nfs/dbraw/zinc/28/63/79/761286379.db2.gz PQQHTVMODGOLHP-AWEZNQCLSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(-n2cccn2)n1 ZINC001038684829 761286383 /nfs/dbraw/zinc/28/63/83/761286383.db2.gz PQQHTVMODGOLHP-AWEZNQCLSA-N 1 2 309.373 1.095 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001056657180 761320913 /nfs/dbraw/zinc/32/09/13/761320913.db2.gz HWBIAKBYUIHIPN-CQSZACIVSA-N 1 2 310.361 1.171 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CNC(=O)c1nnc2ccccc2c1O ZINC001038764041 761382979 /nfs/dbraw/zinc/38/29/79/761382979.db2.gz JNMAXLGVILBRBZ-LBPRGKRZSA-N 1 2 310.357 1.163 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1nnc2ccccc2c1O ZINC001038764041 761382986 /nfs/dbraw/zinc/38/29/86/761382986.db2.gz JNMAXLGVILBRBZ-LBPRGKRZSA-N 1 2 310.357 1.163 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1conc1C ZINC001038798147 761416558 /nfs/dbraw/zinc/41/65/58/761416558.db2.gz LUYZCDCCBNIHRD-JYJNAYRXSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1conc1C ZINC001038798147 761416564 /nfs/dbraw/zinc/41/65/64/761416564.db2.gz LUYZCDCCBNIHRD-JYJNAYRXSA-N 1 2 319.405 1.655 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001056807382 761446699 /nfs/dbraw/zinc/44/66/99/761446699.db2.gz BOVXGRAHAOSIPR-OAHLLOKOSA-N 1 2 310.361 1.322 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001056807382 761446704 /nfs/dbraw/zinc/44/67/04/761446704.db2.gz BOVXGRAHAOSIPR-OAHLLOKOSA-N 1 2 310.361 1.322 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccoc1Br ZINC001038896634 761520779 /nfs/dbraw/zinc/52/07/79/761520779.db2.gz VNGFLLSVEYBVKH-SNVBAGLBSA-N 1 2 311.179 1.870 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccoc1Br ZINC001038896634 761520784 /nfs/dbraw/zinc/52/07/84/761520784.db2.gz VNGFLLSVEYBVKH-SNVBAGLBSA-N 1 2 311.179 1.870 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-c2ncon2)cc1 ZINC001038940153 761572729 /nfs/dbraw/zinc/57/27/29/761572729.db2.gz XWDBUHRKYDPFME-HNNXBMFYSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-c2ncon2)cc1 ZINC001038940153 761572735 /nfs/dbraw/zinc/57/27/35/761572735.db2.gz XWDBUHRKYDPFME-HNNXBMFYSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(OC)c(OCC)c1 ZINC001038999903 761630370 /nfs/dbraw/zinc/63/03/70/761630370.db2.gz OIFNQAXKIAQZEL-OAHLLOKOSA-N 1 2 316.401 1.921 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(OC)c(OCC)c1 ZINC001038999903 761630373 /nfs/dbraw/zinc/63/03/73/761630373.db2.gz OIFNQAXKIAQZEL-OAHLLOKOSA-N 1 2 316.401 1.921 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnc(C)c2)C1 ZINC001108293982 761841164 /nfs/dbraw/zinc/84/11/64/761841164.db2.gz RAIWBKABBBWFPY-KRWDZBQOSA-N 1 2 301.390 1.234 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnc(C)c2)C1 ZINC001108293982 761841174 /nfs/dbraw/zinc/84/11/74/761841174.db2.gz RAIWBKABBBWFPY-KRWDZBQOSA-N 1 2 301.390 1.234 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2snnc2C(C)C)C1 ZINC001108298264 761848308 /nfs/dbraw/zinc/84/83/08/761848308.db2.gz PWZSAOYNKNMGET-OAHLLOKOSA-N 1 2 324.450 1.668 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2snnc2C(C)C)C1 ZINC001108298264 761848315 /nfs/dbraw/zinc/84/83/15/761848315.db2.gz PWZSAOYNKNMGET-OAHLLOKOSA-N 1 2 324.450 1.668 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CCC(C)(C)C)CC2=O)C1 ZINC001108581701 762743344 /nfs/dbraw/zinc/74/33/44/762743344.db2.gz XLGMJDUONANULQ-CYBMUJFWSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)CC(C)C)CC2=O)C1 ZINC001108583455 762750540 /nfs/dbraw/zinc/75/05/40/762750540.db2.gz NZCGZKBIXYQPJC-LSDHHAIUSA-N 1 2 321.465 1.646 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)CCOCC(F)(F)F)n1 ZINC001169916963 762753412 /nfs/dbraw/zinc/75/34/12/762753412.db2.gz DGMNKIXTZHWXEL-UHFFFAOYSA-N 1 2 319.327 1.544 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)CCOCC(F)(F)F)n1 ZINC001169916963 762753420 /nfs/dbraw/zinc/75/34/20/762753420.db2.gz DGMNKIXTZHWXEL-UHFFFAOYSA-N 1 2 319.327 1.544 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCCN(c3cc[nH+]c(C)n3)CC2)cn1 ZINC001057159104 762799142 /nfs/dbraw/zinc/79/91/42/762799142.db2.gz XPOJYTLBELOZDI-UHFFFAOYSA-N 1 2 321.384 1.514 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108634984 762806251 /nfs/dbraw/zinc/80/62/51/762806251.db2.gz DCZLMYFQBAYRAC-JTQLQIEISA-N 1 2 306.414 1.375 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H](C)CNc2cnc(C#N)cn2)c(C)[nH+]1 ZINC001108793499 762941087 /nfs/dbraw/zinc/94/10/87/762941087.db2.gz QDKUTALNBKRKIK-LBPRGKRZSA-N 1 2 324.388 1.899 20 30 DDEDLO COCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccc(F)c(C#N)c1 ZINC001108844566 763004764 /nfs/dbraw/zinc/00/47/64/763004764.db2.gz FUMGIFWOMRDPBL-KBMXLJTQSA-N 1 2 317.364 1.565 20 30 DDEDLO COCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(F)c(C#N)c1 ZINC001108844566 763004773 /nfs/dbraw/zinc/00/47/73/763004773.db2.gz FUMGIFWOMRDPBL-KBMXLJTQSA-N 1 2 317.364 1.565 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1snnc1C ZINC001109070559 763305416 /nfs/dbraw/zinc/30/54/16/763305416.db2.gz CCTDXDUXJJIAPU-AGIUHOORSA-N 1 2 322.434 1.271 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1snnc1C ZINC001109070559 763305420 /nfs/dbraw/zinc/30/54/20/763305420.db2.gz CCTDXDUXJJIAPU-AGIUHOORSA-N 1 2 322.434 1.271 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)=C(C)C)C2 ZINC001109149279 763385327 /nfs/dbraw/zinc/38/53/27/763385327.db2.gz FCCSTKCSDHRFLF-CRWXNKLISA-N 1 2 323.412 1.662 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)=C(C)C)C2 ZINC001109149279 763385334 /nfs/dbraw/zinc/38/53/34/763385334.db2.gz FCCSTKCSDHRFLF-CRWXNKLISA-N 1 2 323.412 1.662 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@H]21 ZINC001042071552 763616913 /nfs/dbraw/zinc/61/69/13/763616913.db2.gz CZKJJNDZKVQPET-SWLSCSKDSA-N 1 2 309.373 1.128 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@H]21 ZINC001042071552 763616920 /nfs/dbraw/zinc/61/69/20/763616920.db2.gz CZKJJNDZKVQPET-SWLSCSKDSA-N 1 2 309.373 1.128 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C(C)(C)C)C2 ZINC001109450377 763720663 /nfs/dbraw/zinc/72/06/63/763720663.db2.gz PGIFMFLBTOOPCD-XGUBFFRZSA-N 1 2 319.449 1.140 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C(C)(C)C)C2 ZINC001109450377 763720677 /nfs/dbraw/zinc/72/06/77/763720677.db2.gz PGIFMFLBTOOPCD-XGUBFFRZSA-N 1 2 319.449 1.140 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@H+](Cc3cnon3)[C@@H]2C1 ZINC001042184084 763749303 /nfs/dbraw/zinc/74/93/03/763749303.db2.gz TXINBONWWXUCRT-TZMCWYRMSA-N 1 2 304.394 1.705 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3cnon3)[C@@H]2C1 ZINC001042184084 763749308 /nfs/dbraw/zinc/74/93/08/763749308.db2.gz TXINBONWWXUCRT-TZMCWYRMSA-N 1 2 304.394 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cccnc3)c2C1 ZINC001069849112 768176882 /nfs/dbraw/zinc/17/68/82/768176882.db2.gz CANCOJAUYRZEIY-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cccnc3)c2C1 ZINC001069849112 768176886 /nfs/dbraw/zinc/17/68/86/768176886.db2.gz CANCOJAUYRZEIY-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)CC=C)C2 ZINC001109767614 764015334 /nfs/dbraw/zinc/01/53/34/764015334.db2.gz CNOXVDAKTRQMIT-ILXRZTDVSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)CC=C)C2 ZINC001109767614 764015341 /nfs/dbraw/zinc/01/53/41/764015341.db2.gz CNOXVDAKTRQMIT-ILXRZTDVSA-N 1 2 317.433 1.060 20 30 DDEDLO C[C@@H]1C[C@@H]1C(=O)N(C)C1C[NH+](Cc2ccc(OCC#N)cc2)C1 ZINC001042437292 764045050 /nfs/dbraw/zinc/04/50/50/764045050.db2.gz ZYWMEOQGQUGUOS-DYVFJYSZSA-N 1 2 313.401 1.888 20 30 DDEDLO CCC[N@@H+]1CCn2ncc(CNC(=O)c3cc(C#N)c[nH]3)c2C1 ZINC001069857062 768188892 /nfs/dbraw/zinc/18/88/92/768188892.db2.gz XYKRBGYIDWWXRN-UHFFFAOYSA-N 1 2 312.377 1.238 20 30 DDEDLO CCC[N@H+]1CCn2ncc(CNC(=O)c3cc(C#N)c[nH]3)c2C1 ZINC001069857062 768188895 /nfs/dbraw/zinc/18/88/95/768188895.db2.gz XYKRBGYIDWWXRN-UHFFFAOYSA-N 1 2 312.377 1.238 20 30 DDEDLO N#Cc1ccc(NC[C@H](NC(=O)Cn2cc[nH+]c2)C2CC2)nc1 ZINC001109866651 764149786 /nfs/dbraw/zinc/14/97/86/764149786.db2.gz AQYNOONFMGSPBL-AWEZNQCLSA-N 1 2 310.361 1.157 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3CO[C@@H](C)C3)c2C1 ZINC001069865263 768197071 /nfs/dbraw/zinc/19/70/71/768197071.db2.gz BKVLKJQDHPJVKG-KBPBESRZSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3CO[C@@H](C)C3)c2C1 ZINC001069865263 768197076 /nfs/dbraw/zinc/19/70/76/768197076.db2.gz BKVLKJQDHPJVKG-KBPBESRZSA-N 1 2 318.421 1.316 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001050892038 764240373 /nfs/dbraw/zinc/24/03/73/764240373.db2.gz NGQXXQQFYUINPB-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3n[nH]cc3F)c2C1 ZINC001069873894 768204611 /nfs/dbraw/zinc/20/46/11/768204611.db2.gz ZLRWNESSEISCJZ-UHFFFAOYSA-N 1 2 318.356 1.067 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3n[nH]cc3F)c2C1 ZINC001069873894 768204615 /nfs/dbraw/zinc/20/46/15/768204615.db2.gz ZLRWNESSEISCJZ-UHFFFAOYSA-N 1 2 318.356 1.067 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnc(CC(C)C)[nH]1 ZINC001050919745 764281288 /nfs/dbraw/zinc/28/12/88/764281288.db2.gz QQZZTVWYVKVBEJ-AWEZNQCLSA-N 1 2 320.437 1.615 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnc(CC(C)C)[nH]1 ZINC001050919745 764281296 /nfs/dbraw/zinc/28/12/96/764281296.db2.gz QQZZTVWYVKVBEJ-AWEZNQCLSA-N 1 2 320.437 1.615 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(OCC)nc1 ZINC001050945813 764322376 /nfs/dbraw/zinc/32/23/76/764322376.db2.gz WXOHOTCBNDHZII-OAHLLOKOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(OCC)nc1 ZINC001050945813 764322379 /nfs/dbraw/zinc/32/23/79/764322379.db2.gz WXOHOTCBNDHZII-OAHLLOKOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(OCC)n[nH]1 ZINC001050976256 764373619 /nfs/dbraw/zinc/37/36/19/764373619.db2.gz RNWVSAASLUYPOE-ZDUSSCGKSA-N 1 2 322.409 1.205 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(OCC)n[nH]1 ZINC001050976256 764373624 /nfs/dbraw/zinc/37/36/24/764373624.db2.gz RNWVSAASLUYPOE-ZDUSSCGKSA-N 1 2 322.409 1.205 20 30 DDEDLO C=CCCN1CCOC[C@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001050980091 764377245 /nfs/dbraw/zinc/37/72/45/764377245.db2.gz KIPKJQMZYAKRCQ-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc2c[nH]ccc-2n1 ZINC001050980091 764377257 /nfs/dbraw/zinc/37/72/57/764377257.db2.gz KIPKJQMZYAKRCQ-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc2c[nH]ccc-2n1 ZINC001050980091 764377264 /nfs/dbraw/zinc/37/72/64/764377264.db2.gz KIPKJQMZYAKRCQ-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1coc(OCC)n1 ZINC001051006550 764408907 /nfs/dbraw/zinc/40/89/07/764408907.db2.gz TUYNLPIQEXDAEK-ZDUSSCGKSA-N 1 2 323.393 1.470 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1coc(OCC)n1 ZINC001051006550 764408912 /nfs/dbraw/zinc/40/89/12/764408912.db2.gz TUYNLPIQEXDAEK-ZDUSSCGKSA-N 1 2 323.393 1.470 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(OC)cc1F ZINC001051008192 764411813 /nfs/dbraw/zinc/41/18/13/764411813.db2.gz SFDFRDSKWSPFBB-ZDUSSCGKSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(OC)cc1F ZINC001051008192 764411817 /nfs/dbraw/zinc/41/18/17/764411817.db2.gz SFDFRDSKWSPFBB-ZDUSSCGKSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)c(C)cn1 ZINC001051033449 764439231 /nfs/dbraw/zinc/43/92/31/764439231.db2.gz HABKOJCNAFWTIA-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)c(C)cn1 ZINC001051033449 764439233 /nfs/dbraw/zinc/43/92/33/764439233.db2.gz HABKOJCNAFWTIA-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CC[C@@H](C)F)cc1 ZINC001051059773 764463114 /nfs/dbraw/zinc/46/31/14/764463114.db2.gz CXTQUOHALGQTAB-RHSMWYFYSA-N 1 2 318.392 1.847 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CC[C@@H](C)F)cc1 ZINC001051059773 764463117 /nfs/dbraw/zinc/46/31/17/764463117.db2.gz CXTQUOHALGQTAB-RHSMWYFYSA-N 1 2 318.392 1.847 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnn3c2cccc3OC)C1 ZINC001042833108 764474375 /nfs/dbraw/zinc/47/43/75/764474375.db2.gz QGQBFMLGJZDAFT-UHFFFAOYSA-N 1 2 314.389 1.675 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-n3cccn3)cc2)C1 ZINC001042926585 764531479 /nfs/dbraw/zinc/53/14/79/764531479.db2.gz FYFORXKSACJYND-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnoc1CC ZINC001051143628 764547882 /nfs/dbraw/zinc/54/78/82/764547882.db2.gz HWEHGPBMROXNHD-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnoc1CC ZINC001051143628 764547886 /nfs/dbraw/zinc/54/78/86/764547886.db2.gz HWEHGPBMROXNHD-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCO[C@H]1C(=C)C ZINC001051152907 764558725 /nfs/dbraw/zinc/55/87/25/764558725.db2.gz OKABNCOQDHQTQJ-IKGGRYGDSA-N 1 2 322.449 1.751 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCO[C@H]1C(=C)C ZINC001051152907 764558734 /nfs/dbraw/zinc/55/87/34/764558734.db2.gz OKABNCOQDHQTQJ-IKGGRYGDSA-N 1 2 322.449 1.751 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc2c([nH]1)CCCC2 ZINC001051198887 764608668 /nfs/dbraw/zinc/60/86/68/764608668.db2.gz ZYPKKAYIHOMZMN-HNNXBMFYSA-N 1 2 317.433 1.900 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc2c([nH]1)CCCC2 ZINC001051198887 764608671 /nfs/dbraw/zinc/60/86/71/764608671.db2.gz ZYPKKAYIHOMZMN-HNNXBMFYSA-N 1 2 317.433 1.900 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CC[C@@H](C)F)nc1 ZINC001051215140 764629227 /nfs/dbraw/zinc/62/92/27/764629227.db2.gz SFJYZHYPQFFGSK-HIFRSBDPSA-N 1 2 319.380 1.242 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CC[C@@H](C)F)nc1 ZINC001051215140 764629231 /nfs/dbraw/zinc/62/92/31/764629231.db2.gz SFJYZHYPQFFGSK-HIFRSBDPSA-N 1 2 319.380 1.242 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCn3cc(C)nc3C2)C1 ZINC001043084687 764650625 /nfs/dbraw/zinc/65/06/25/764650625.db2.gz SCGRMTXHRODGSO-AWEZNQCLSA-N 1 2 302.422 1.473 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)n(C)c1C ZINC001051238818 764662926 /nfs/dbraw/zinc/66/29/26/764662926.db2.gz YYAAWFJHIDAKNX-HNNXBMFYSA-N 1 2 305.422 1.649 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)n(C)c1C ZINC001051238818 764662933 /nfs/dbraw/zinc/66/29/33/764662933.db2.gz YYAAWFJHIDAKNX-HNNXBMFYSA-N 1 2 305.422 1.649 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2ccc(C)cc2)CC1 ZINC001112837906 764848024 /nfs/dbraw/zinc/84/80/24/764848024.db2.gz ZTWZEPRJTVNDPU-MRXNPFEDSA-N 1 2 300.402 1.678 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051428670 764869271 /nfs/dbraw/zinc/86/92/71/764869271.db2.gz YUUXOKGKAQPINB-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccn(CC(C)C)c2=O)C1 ZINC001044009035 765228660 /nfs/dbraw/zinc/22/86/60/765228660.db2.gz DROULQQRDSNUHP-UHFFFAOYSA-N 1 2 317.433 1.837 20 30 DDEDLO CCN(CCn1cc[nH+]c1)c1nc(C#N)c(C#N)nc1Cl ZINC001157462614 765265106 /nfs/dbraw/zinc/26/51/06/765265106.db2.gz QEXFVIVYHUEEST-UHFFFAOYSA-N 1 2 301.741 1.596 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113137760 765326633 /nfs/dbraw/zinc/32/66/33/765326633.db2.gz GZCNZPMYOBNTEA-QWRGUYRKSA-N 1 2 312.377 1.533 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC001051974379 765334576 /nfs/dbraw/zinc/33/45/76/765334576.db2.gz GACLRKNMBHBCLW-SFHVURJKSA-N 1 2 317.477 1.809 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)c3cccn3C)C2)CC1 ZINC001051980869 765343951 /nfs/dbraw/zinc/34/39/51/765343951.db2.gz KYTCEUCBUMDREX-INIZCTEOSA-N 1 2 316.449 1.433 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](C)NC(=O)c2cccs2)CC1 ZINC001113174338 765367296 /nfs/dbraw/zinc/36/72/96/765367296.db2.gz FICGJLCKRWSNFM-GFCCVEGCSA-N 1 2 307.419 1.197 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cncc(F)c3)C2)CC1 ZINC001052006177 765373372 /nfs/dbraw/zinc/37/33/72/765373372.db2.gz NAUYXXXVMDQVGI-MRXNPFEDSA-N 1 2 318.396 1.239 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3CC(C)C3)C2)CC1 ZINC001052011645 765380665 /nfs/dbraw/zinc/38/06/65/765380665.db2.gz XCHVBPNGQWSJSL-JCYILVPMSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3CC3(C)C)C2)CC1 ZINC001052013306 765382244 /nfs/dbraw/zinc/38/22/44/765382244.db2.gz VFJPKIJNFQBZTB-HOTGVXAUSA-N 1 2 303.450 1.274 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccoc3Cl)C2)CC1 ZINC001052017479 765389053 /nfs/dbraw/zinc/38/90/53/765389053.db2.gz KBSKAONLYBAVGA-GFCCVEGCSA-N 1 2 322.796 1.289 20 30 DDEDLO Cc1csc(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)c1 ZINC001052024866 765399646 /nfs/dbraw/zinc/39/96/46/765399646.db2.gz PHZIZICFBFHBLR-CQSZACIVSA-N 1 2 318.446 1.412 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc3c(cn2)nc[nH]c3=O)C1 ZINC001044242006 765418628 /nfs/dbraw/zinc/41/86/28/765418628.db2.gz OZFYHCDQSQTTAG-UHFFFAOYSA-N 1 2 313.361 1.063 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@]3(C)C=CCC3)C2)CC1 ZINC001052067997 765442013 /nfs/dbraw/zinc/44/20/13/765442013.db2.gz SNAYZBYABRAOHU-FUHWJXTLSA-N 1 2 301.434 1.194 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC001113243820 765478086 /nfs/dbraw/zinc/47/80/86/765478086.db2.gz OICOIQLQVMWNEJ-HNNXBMFYSA-N 1 2 307.438 1.355 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3c[nH]cc3C)C2)CC1 ZINC001052117626 765482261 /nfs/dbraw/zinc/48/22/61/765482261.db2.gz VPEHGKRFFSKKJX-MRXNPFEDSA-N 1 2 314.433 1.179 20 30 DDEDLO C[C@@H](NC(=O)Cc1c[nH]c[nH+]1)[C@@H](C)Nc1cccc(F)c1C#N ZINC001113313418 765553097 /nfs/dbraw/zinc/55/30/97/765553097.db2.gz HWHYLXSOELSDLY-GHMZBOCLSA-N 1 2 315.352 1.968 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@@H](NCC#N)C[C@H]3C)ccn12 ZINC001044605263 765693600 /nfs/dbraw/zinc/69/36/00/765693600.db2.gz OWGGJQQVAIHQOG-IUODEOHRSA-N 1 2 311.389 1.749 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cn(C)ccc2=O)CC1 ZINC001052549481 765945289 /nfs/dbraw/zinc/94/52/89/765945289.db2.gz IZGZCMWNGMVOOX-CYBMUJFWSA-N 1 2 323.824 1.722 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cn(C)ccc2=O)CC1 ZINC001052549481 765945296 /nfs/dbraw/zinc/94/52/96/765945296.db2.gz IZGZCMWNGMVOOX-CYBMUJFWSA-N 1 2 323.824 1.722 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(C)noc2CC)CC1 ZINC001113620885 765948237 /nfs/dbraw/zinc/94/82/37/765948237.db2.gz ZDSUDAVIZXEOFJ-UHFFFAOYSA-N 1 2 307.394 1.506 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](CCn2nc(C)cc2C)CC1 ZINC001113709430 766048281 /nfs/dbraw/zinc/04/82/81/766048281.db2.gz QIPGYIVAUJONOK-UHFFFAOYSA-N 1 2 320.437 1.237 20 30 DDEDLO C[C@H](CNC(=O)CCn1cc[nH+]c1)N(C)c1ccc(C#N)cn1 ZINC001113822320 766208709 /nfs/dbraw/zinc/20/87/09/766208709.db2.gz OHDXKNABHUPWDY-CYBMUJFWSA-N 1 2 312.377 1.181 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001114051498 766585306 /nfs/dbraw/zinc/58/53/06/766585306.db2.gz WNNIVEFWMJHAGV-LAQFHYBYSA-N 1 2 317.389 1.301 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001114051498 766585310 /nfs/dbraw/zinc/58/53/10/766585310.db2.gz WNNIVEFWMJHAGV-LAQFHYBYSA-N 1 2 317.389 1.301 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csc(C4CC4)n3)C[C@H]21 ZINC001114064252 766602610 /nfs/dbraw/zinc/60/26/10/766602610.db2.gz OCCZHXFZPRJBCB-QQUHWDOBSA-N 1 2 316.430 1.727 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csc(C4CC4)n3)C[C@H]21 ZINC001114064252 766602614 /nfs/dbraw/zinc/60/26/14/766602614.db2.gz OCCZHXFZPRJBCB-QQUHWDOBSA-N 1 2 316.430 1.727 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccc(C#N)nc1 ZINC001067578398 766620833 /nfs/dbraw/zinc/62/08/33/766620833.db2.gz WQLBMMIOLMTVFU-OLZOCXBDSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C(C)C)o3)C[C@H]21 ZINC001114196305 766758113 /nfs/dbraw/zinc/75/81/13/766758113.db2.gz CLOPBZWRNZXAKV-VIKVFOODSA-N 1 2 316.405 1.543 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C(C)C)o3)C[C@H]21 ZINC001114196305 766758119 /nfs/dbraw/zinc/75/81/19/766758119.db2.gz CLOPBZWRNZXAKV-VIKVFOODSA-N 1 2 316.405 1.543 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001114198516 766771086 /nfs/dbraw/zinc/77/10/86/766771086.db2.gz DCLFGNRKBUVYIQ-QLPKVWCKSA-N 1 2 300.406 1.253 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001114198516 766771092 /nfs/dbraw/zinc/77/10/92/766771092.db2.gz DCLFGNRKBUVYIQ-QLPKVWCKSA-N 1 2 300.406 1.253 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)c3ccsc3)CC2)C1 ZINC001045998906 766783205 /nfs/dbraw/zinc/78/32/05/766783205.db2.gz JWPMCIRCDXPEIB-CQSZACIVSA-N 1 2 304.419 1.104 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067900277 766792876 /nfs/dbraw/zinc/79/28/76/766792876.db2.gz XIWHXUVIRTWEIK-UHFFFAOYSA-N 1 2 306.410 1.471 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)CC2)C1 ZINC001046015093 766809932 /nfs/dbraw/zinc/80/99/32/766809932.db2.gz KKGGLZUYVLZHFX-NCOADZHNSA-N 1 2 316.449 1.165 20 30 DDEDLO CC1(C)CCC[C@H]1C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046053629 766862330 /nfs/dbraw/zinc/86/23/30/766862330.db2.gz DOFJPJXFVMFGED-HOTGVXAUSA-N 1 2 318.465 1.555 20 30 DDEDLO C=CCn1c(N2CCC(=C)CC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001121607102 782589887 /nfs/dbraw/zinc/58/98/87/782589887.db2.gz ISVJCLHDOGFFER-WMLDXEAASA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1c(N2CCC(=C)CC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121607102 782589890 /nfs/dbraw/zinc/58/98/90/782589890.db2.gz ISVJCLHDOGFFER-WMLDXEAASA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)C2CC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121608537 782589964 /nfs/dbraw/zinc/58/99/64/782589964.db2.gz UXNLYORBQIFQRT-MRRJBJDNSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)C2CC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121608537 782589972 /nfs/dbraw/zinc/58/99/72/782589972.db2.gz UXNLYORBQIFQRT-MRRJBJDNSA-N 1 2 319.453 1.610 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nnc3ccccc3c1O)C2 ZINC001096094736 767487619 /nfs/dbraw/zinc/48/76/19/767487619.db2.gz MZSQDUWSEPVZFS-UGFHNGPFSA-N 1 2 322.368 1.304 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nnc3ccccc3c1O)C2 ZINC001096094736 767487624 /nfs/dbraw/zinc/48/76/24/767487624.db2.gz MZSQDUWSEPVZFS-UGFHNGPFSA-N 1 2 322.368 1.304 20 30 DDEDLO C[C@]1(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCN(CC#N)C1 ZINC001046513076 767642303 /nfs/dbraw/zinc/64/23/03/767642303.db2.gz RMBIZQXNXDACCK-KRWDZBQOSA-N 1 2 309.373 1.590 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H](Nc3cc[nH+]c(C)n3)[C@H]2C)c1 ZINC001068953741 767721908 /nfs/dbraw/zinc/72/19/08/767721908.db2.gz PDKUFEGULJYEHA-MLGOLLRUSA-N 1 2 321.384 1.876 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)/C=C\C(C)(C)C)C[C@H]1NCC#N ZINC001130957747 767815978 /nfs/dbraw/zinc/81/59/78/767815978.db2.gz ZNPPKQUWDAYGHZ-HLMASZERSA-N 1 2 315.421 1.430 20 30 DDEDLO C[C@@]1(NC(=O)c2cnon2)CC[N@H+](CC#Cc2ccccc2)C1 ZINC001046719808 767846926 /nfs/dbraw/zinc/84/69/26/767846926.db2.gz RJBBPCDDUKJQGS-QGZVFWFLSA-N 1 2 310.357 1.316 20 30 DDEDLO C[C@@]1(NC(=O)c2cnon2)CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001046719808 767846933 /nfs/dbraw/zinc/84/69/33/767846933.db2.gz RJBBPCDDUKJQGS-QGZVFWFLSA-N 1 2 310.357 1.316 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+]Cc1nc(C2CC2)no1 ZINC001131867817 768444215 /nfs/dbraw/zinc/44/42/15/768444215.db2.gz WJBLATBIUZFXIL-INIZCTEOSA-N 1 2 322.409 1.382 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+]Cc1ncc(C2CC2)o1 ZINC001131867450 768444322 /nfs/dbraw/zinc/44/43/22/768444322.db2.gz PSXYNLNZUJVUEI-KRWDZBQOSA-N 1 2 321.421 1.987 20 30 DDEDLO C=CCOc1ccccc1C(=O)N(C)[C@H]1C[N@H+](CCF)C[C@@H]1O ZINC001047434424 768475000 /nfs/dbraw/zinc/47/50/00/768475000.db2.gz WUPWJILRBHVPSX-GJZGRUSLSA-N 1 2 322.380 1.338 20 30 DDEDLO C=CCOc1ccccc1C(=O)N(C)[C@H]1C[N@@H+](CCF)C[C@@H]1O ZINC001047434424 768475005 /nfs/dbraw/zinc/47/50/05/768475005.db2.gz WUPWJILRBHVPSX-GJZGRUSLSA-N 1 2 322.380 1.338 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCn2cc[nH+]c2C1)Nc1ncccc1C#N ZINC001098126346 768675114 /nfs/dbraw/zinc/67/51/14/768675114.db2.gz ZYKGEJNZXJNWQN-CHWSQXEVSA-N 1 2 324.388 1.329 20 30 DDEDLO CCC1(C(=O)N[C@H]2CC[C@@H](C)[N@H+](CC(=O)NCC#N)C2)CCC1 ZINC001132249769 768705273 /nfs/dbraw/zinc/70/52/73/768705273.db2.gz SAVDQJROVCBNGF-KGLIPLIRSA-N 1 2 320.437 1.176 20 30 DDEDLO CCC1(C(=O)N[C@H]2CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C2)CCC1 ZINC001132249769 768705275 /nfs/dbraw/zinc/70/52/75/768705275.db2.gz SAVDQJROVCBNGF-KGLIPLIRSA-N 1 2 320.437 1.176 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C(CC)CC)CC1 ZINC001070939578 768799768 /nfs/dbraw/zinc/79/97/68/768799768.db2.gz RIIIHCXKOMIYKR-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C(CC)CC)CC1 ZINC001070939578 768799773 /nfs/dbraw/zinc/79/97/73/768799773.db2.gz RIIIHCXKOMIYKR-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC23CCC3)CC1 ZINC001071005777 768869553 /nfs/dbraw/zinc/86/95/53/768869553.db2.gz IAKJOBVMGTZAEK-HNNXBMFYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC23CCC3)CC1 ZINC001071005777 768869566 /nfs/dbraw/zinc/86/95/66/768869566.db2.gz IAKJOBVMGTZAEK-HNNXBMFYSA-N 1 2 319.449 1.403 20 30 DDEDLO CCCCCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132464472 768881654 /nfs/dbraw/zinc/88/16/54/768881654.db2.gz UHNQFGBXFBRMSZ-HUUCEWRRSA-N 1 2 322.453 1.566 20 30 DDEDLO CCCCCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132464472 768881669 /nfs/dbraw/zinc/88/16/69/768881669.db2.gz UHNQFGBXFBRMSZ-HUUCEWRRSA-N 1 2 322.453 1.566 20 30 DDEDLO CC[C@H](C)C(=O)NCC[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC001096275003 768900522 /nfs/dbraw/zinc/90/05/22/768900522.db2.gz ONCITRDWESULKJ-AWEZNQCLSA-N 1 2 315.421 1.238 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001071057138 768920389 /nfs/dbraw/zinc/92/03/89/768920389.db2.gz DQUGNVFNFYUCSA-CYBMUJFWSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071135343 768998836 /nfs/dbraw/zinc/99/88/36/768998836.db2.gz MAHAUZBHFUNHRA-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccc(C#N)cn1 ZINC001048731687 769787711 /nfs/dbraw/zinc/78/77/11/769787711.db2.gz HCPQGHGWBDWESH-LSDHHAIUSA-N 1 2 324.388 1.323 20 30 DDEDLO C#CCN1C[C@@H](NC(=O)c2ccn(-c3cc[nH+]cc3)n2)CC[C@@H]1C ZINC001071676748 769849141 /nfs/dbraw/zinc/84/91/41/769849141.db2.gz OCYGFMJJTLSDPC-GJZGRUSLSA-N 1 2 323.400 1.483 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@H]1C ZINC001071738939 769981150 /nfs/dbraw/zinc/98/11/50/769981150.db2.gz LFZDJPAIXIKZMH-GHMZBOCLSA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@H]1C ZINC001071738939 769981157 /nfs/dbraw/zinc/98/11/57/769981157.db2.gz LFZDJPAIXIKZMH-GHMZBOCLSA-N 1 2 312.801 1.461 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[NH2+][C@H](C)c2nnc(CC)o2)c1 ZINC001133597619 770047416 /nfs/dbraw/zinc/04/74/16/770047416.db2.gz WKGNHMSCPQPDPP-LLVKDONJSA-N 1 2 313.361 1.089 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnc(OC)nc2)CC[C@@H]1C ZINC001071957541 770346725 /nfs/dbraw/zinc/34/67/25/770346725.db2.gz JYZWUPYWWFNDIJ-WCQYABFASA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnc(OC)nc2)CC[C@@H]1C ZINC001071957541 770346731 /nfs/dbraw/zinc/34/67/31/770346731.db2.gz JYZWUPYWWFNDIJ-WCQYABFASA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cncn2C)CC[C@@H]1C ZINC001071989329 770396715 /nfs/dbraw/zinc/39/67/15/770396715.db2.gz OWXVKQIGVYKVFT-STQMWFEESA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cncn2C)CC[C@@H]1C ZINC001071989329 770396724 /nfs/dbraw/zinc/39/67/24/770396724.db2.gz OWXVKQIGVYKVFT-STQMWFEESA-N 1 2 310.829 1.684 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](NC(=O)c2ccc3[nH]nnc3c2)CC[C@@H]1C ZINC001072066536 770507255 /nfs/dbraw/zinc/50/72/55/770507255.db2.gz MKAHJEQTMUNTFT-JSGCOSHPSA-N 1 2 311.389 1.564 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](NC(=O)c2ccc3[nH]nnc3c2)CC[C@@H]1C ZINC001072066536 770507264 /nfs/dbraw/zinc/50/72/64/770507264.db2.gz MKAHJEQTMUNTFT-JSGCOSHPSA-N 1 2 311.389 1.564 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)CCCn2cc[nH+]c2)C[C@@H]1C ZINC001072372970 770791396 /nfs/dbraw/zinc/79/13/96/770791396.db2.gz PALNBJRTJUFJSN-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@H]1C ZINC001072430817 770883010 /nfs/dbraw/zinc/88/30/10/770883010.db2.gz YNAYWUQMDSCHES-OCCSQVGLSA-N 1 2 318.421 1.138 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)C#CC1CC1 ZINC001049470640 770897028 /nfs/dbraw/zinc/89/70/28/770897028.db2.gz HVRFENUVPYIJOC-HOTGVXAUSA-N 1 2 312.417 1.399 20 30 DDEDLO Cn1ccnc1C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)C#CC1CC1 ZINC001049470640 770897040 /nfs/dbraw/zinc/89/70/40/770897040.db2.gz HVRFENUVPYIJOC-HOTGVXAUSA-N 1 2 312.417 1.399 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001072453733 770920560 /nfs/dbraw/zinc/92/05/60/770920560.db2.gz OAHAHSYQXDMLGE-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001072483195 770960657 /nfs/dbraw/zinc/96/06/57/770960657.db2.gz FEOZWHVFSVCQOA-DOMZBBRYSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049782957 771156127 /nfs/dbraw/zinc/15/61/27/771156127.db2.gz NLIGLXYSLPFYIG-QWHCGFSZSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049782957 771156130 /nfs/dbraw/zinc/15/61/30/771156130.db2.gz NLIGLXYSLPFYIG-QWHCGFSZSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+]Cc1nnc(C2CC2)o1 ZINC001135145530 771350517 /nfs/dbraw/zinc/35/05/17/771350517.db2.gz MZZWGYYRTNLWES-JSGCOSHPSA-N 1 2 320.393 1.134 20 30 DDEDLO O=C(N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccccc1)c1ccn[nH]1 ZINC001096827841 771473802 /nfs/dbraw/zinc/47/38/02/771473802.db2.gz PKNHXJPXBWBXLQ-NXHRZFHOSA-N 1 2 320.396 1.797 20 30 DDEDLO O=C(N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccccc1)c1ccn[nH]1 ZINC001096827841 771473805 /nfs/dbraw/zinc/47/38/05/771473805.db2.gz PKNHXJPXBWBXLQ-NXHRZFHOSA-N 1 2 320.396 1.797 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001097110050 771627620 /nfs/dbraw/zinc/62/76/20/771627620.db2.gz SUXOGIJSCDTTDF-HDJSIYSDSA-N 1 2 310.361 1.368 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001097110050 771627624 /nfs/dbraw/zinc/62/76/24/771627624.db2.gz SUXOGIJSCDTTDF-HDJSIYSDSA-N 1 2 310.361 1.368 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCCNc1ccnc2[nH]cnc21 ZINC001171069184 772219218 /nfs/dbraw/zinc/21/92/18/772219218.db2.gz BDRWGHHUTYRUTB-NSHDSACASA-N 1 2 303.366 1.597 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)/C=C/C(=O)c1ccccc1 ZINC001144714581 772601091 /nfs/dbraw/zinc/60/10/91/772601091.db2.gz WTZLNKFGHUMSJB-BQYQJAHWSA-N 1 2 313.357 1.585 20 30 DDEDLO N#Cc1cnccc1N[C@H]1C[C@@H](CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001091335900 772685597 /nfs/dbraw/zinc/68/55/97/772685597.db2.gz JQIBFWLYDLPWIO-XBXGTLAGSA-N 1 2 324.388 1.038 20 30 DDEDLO C#CCOCCC(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C)o1)C2 ZINC001147531001 773169685 /nfs/dbraw/zinc/16/96/85/773169685.db2.gz UXGYUEGKHNIWGT-UHFFFAOYSA-N 1 2 317.389 1.057 20 30 DDEDLO C#CCOCCC(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C)o1)C2 ZINC001147531001 773169687 /nfs/dbraw/zinc/16/96/87/773169687.db2.gz UXGYUEGKHNIWGT-UHFFFAOYSA-N 1 2 317.389 1.057 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001147640318 773189000 /nfs/dbraw/zinc/18/90/00/773189000.db2.gz VQTAWNGGVVAPLH-UHFFFAOYSA-N 1 2 300.406 1.867 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001147640318 773189001 /nfs/dbraw/zinc/18/90/01/773189001.db2.gz VQTAWNGGVVAPLH-UHFFFAOYSA-N 1 2 300.406 1.867 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+](Cc1cnns1)C2 ZINC001147640386 773189090 /nfs/dbraw/zinc/18/90/90/773189090.db2.gz YXOMBGMHEIMSLB-UHFFFAOYSA-N 1 2 306.435 1.929 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnns1)C2 ZINC001147640386 773189092 /nfs/dbraw/zinc/18/90/92/773189092.db2.gz YXOMBGMHEIMSLB-UHFFFAOYSA-N 1 2 306.435 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2nocc2C)C1 ZINC001073848405 773465361 /nfs/dbraw/zinc/46/53/61/773465361.db2.gz VUFSQIRFHCUASS-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2nocc2C)C1 ZINC001073848405 773465364 /nfs/dbraw/zinc/46/53/64/773465364.db2.gz VUFSQIRFHCUASS-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)cc3)C[C@H]21 ZINC001074141402 773667165 /nfs/dbraw/zinc/66/71/65/773667165.db2.gz WZCZTBNWECFBRI-IAGOWNOFSA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)cc3)C[C@H]21 ZINC001074141402 773667168 /nfs/dbraw/zinc/66/71/68/773667168.db2.gz WZCZTBNWECFBRI-IAGOWNOFSA-N 1 2 316.376 1.764 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ncoc3C)C[C@@H]21 ZINC001074185099 773705945 /nfs/dbraw/zinc/70/59/45/773705945.db2.gz XFSNJRNAFKJKMK-UONOGXRCSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ncoc3C)C[C@@H]21 ZINC001074185099 773705950 /nfs/dbraw/zinc/70/59/50/773705950.db2.gz XFSNJRNAFKJKMK-UONOGXRCSA-N 1 2 305.378 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C4CC4)CCC3)C[C@H]21 ZINC001074304280 773807697 /nfs/dbraw/zinc/80/76/97/773807697.db2.gz HPVZDLKMGQUKIL-SJORKVTESA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C4CC4)CCC3)C[C@H]21 ZINC001074304280 773807701 /nfs/dbraw/zinc/80/77/01/773807701.db2.gz HPVZDLKMGQUKIL-SJORKVTESA-N 1 2 316.445 1.892 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3OCC[N@@H+](CCOC)[C@@H]3C2)C1 ZINC001074334866 773831447 /nfs/dbraw/zinc/83/14/47/773831447.db2.gz ZSUACDCUXADOLJ-HUUCEWRRSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3OCC[N@H+](CCOC)[C@@H]3C2)C1 ZINC001074334866 773831453 /nfs/dbraw/zinc/83/14/53/773831453.db2.gz ZSUACDCUXADOLJ-HUUCEWRRSA-N 1 2 308.422 1.291 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)o1)c1nccn12 ZINC001092343446 774093327 /nfs/dbraw/zinc/09/33/27/774093327.db2.gz ITKLSVQLYKBOMG-AWEZNQCLSA-N 1 2 324.384 1.694 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cocc1C)c1nccn12 ZINC001092389382 774098617 /nfs/dbraw/zinc/09/86/17/774098617.db2.gz RWUSBHGOTFGESH-HNNXBMFYSA-N 1 2 324.384 1.694 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)co1)c1nccn12 ZINC001092389315 774098706 /nfs/dbraw/zinc/09/87/06/774098706.db2.gz QVCSFMRAKDGGRT-ZDUSSCGKSA-N 1 2 312.373 1.856 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@H]1CC)c1nccn12 ZINC001092396789 774101464 /nfs/dbraw/zinc/10/14/64/774101464.db2.gz MRXJLTMAANPIOO-MGPQQGTHSA-N 1 2 300.406 1.687 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1nocc1C)c1nccn12 ZINC001092404925 774104329 /nfs/dbraw/zinc/10/43/29/774104329.db2.gz FMSDXSTWESFHMR-GFCCVEGCSA-N 1 2 313.361 1.251 20 30 DDEDLO C[C@@H]1CN(c2ncccc2C#N)C[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001092451529 774149169 /nfs/dbraw/zinc/14/91/69/774149169.db2.gz GCNDCSDQFDYWRF-TZMCWYRMSA-N 1 2 324.388 1.108 20 30 DDEDLO C[C@H](CC(=O)NC[C@H](C)Nc1ccc(C#N)cn1)n1cc[nH+]c1 ZINC001098302665 774222213 /nfs/dbraw/zinc/22/22/13/774222213.db2.gz MUOVUZMUZVOBIM-QWHCGFSZSA-N 1 2 312.377 1.718 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@H](C)Nc2ccc(C#N)nn2)c(C)[nH+]1 ZINC001098431579 774578330 /nfs/dbraw/zinc/57/83/30/774578330.db2.gz RNHKWLAGAKETPD-LBPRGKRZSA-N 1 2 324.388 1.899 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H](C)C(C)(C)C)C2)nn1 ZINC001098685665 774641785 /nfs/dbraw/zinc/64/17/85/774641785.db2.gz NSQCRUYAKRBNPG-ZFWWWQNUSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C/C=C(/C)C=C)C2)nn1 ZINC001098700614 774646831 /nfs/dbraw/zinc/64/68/31/774646831.db2.gz PZKBYCLVRFPZGM-SZCQRLSZSA-N 1 2 313.405 1.297 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CCC(C)(C)C)C2)nn1 ZINC001098752513 774662306 /nfs/dbraw/zinc/66/23/06/774662306.db2.gz YPMOGUACRNOWKV-OAHLLOKOSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H](CC)CCC)C2)nn1 ZINC001098757690 774664908 /nfs/dbraw/zinc/66/49/08/774664908.db2.gz RLKWTDACAKSPCY-GOEBONIOSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CN(C(=O)C3=NC(=O)N(C)C3)CC[C@@H]21 ZINC001036809455 774694140 /nfs/dbraw/zinc/69/41/40/774694140.db2.gz LJGMAZQDOLUPEH-AAEUAGOBSA-N 1 2 324.812 1.415 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CN(C(=O)C3=NC(=O)N(C)C3)CC[C@@H]21 ZINC001036809455 774694142 /nfs/dbraw/zinc/69/41/42/774694142.db2.gz LJGMAZQDOLUPEH-AAEUAGOBSA-N 1 2 324.812 1.415 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccncc3F)CC2)C1 ZINC001093521733 774773393 /nfs/dbraw/zinc/77/33/93/774773393.db2.gz XIKOCKSMHDVRFY-UHFFFAOYSA-N 1 2 319.380 1.712 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C3=CCOCC3)CC2)C1 ZINC001093529932 774782563 /nfs/dbraw/zinc/78/25/63/774782563.db2.gz MUQUJBQSFZHWMR-UHFFFAOYSA-N 1 2 306.406 1.211 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccnnc3C)CC2)C1 ZINC001093550671 774831039 /nfs/dbraw/zinc/83/10/39/774831039.db2.gz TXXAAVMQPPLBBA-UHFFFAOYSA-N 1 2 316.405 1.276 20 30 DDEDLO CCCC(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001099626668 775076111 /nfs/dbraw/zinc/07/61/11/775076111.db2.gz CFFQWZYTKCGWEZ-HOTGVXAUSA-N 1 2 319.380 1.549 20 30 DDEDLO CCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001099626668 775076117 /nfs/dbraw/zinc/07/61/17/775076117.db2.gz CFFQWZYTKCGWEZ-HOTGVXAUSA-N 1 2 319.380 1.549 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001093897387 775231925 /nfs/dbraw/zinc/23/19/25/775231925.db2.gz UBMCVUKBQWBOOG-UHFFFAOYSA-N 1 2 322.800 1.670 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@H+](CC(=C)Cl)C[C@@H]1O ZINC001099850089 775353612 /nfs/dbraw/zinc/35/36/12/775353612.db2.gz MJGVWWBNJNUZCP-OLZOCXBDSA-N 1 2 300.830 1.893 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@@H+](CC(=C)Cl)C[C@@H]1O ZINC001099850089 775353625 /nfs/dbraw/zinc/35/36/25/775353625.db2.gz MJGVWWBNJNUZCP-OLZOCXBDSA-N 1 2 300.830 1.893 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099945150 775456679 /nfs/dbraw/zinc/45/66/79/775456679.db2.gz VPIGCMLWJFJCNN-HUUCEWRRSA-N 1 2 321.396 1.484 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099945150 775456683 /nfs/dbraw/zinc/45/66/83/775456683.db2.gz VPIGCMLWJFJCNN-HUUCEWRRSA-N 1 2 321.396 1.484 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C(F)F)CCC2)[C@H](O)C1 ZINC001099967767 775496617 /nfs/dbraw/zinc/49/66/17/775496617.db2.gz SRFPQZQUERYPFU-GHMZBOCLSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C(F)F)CCC2)[C@H](O)C1 ZINC001099967767 775496620 /nfs/dbraw/zinc/49/66/20/775496620.db2.gz SRFPQZQUERYPFU-GHMZBOCLSA-N 1 2 322.783 1.726 20 30 DDEDLO C#CCOc1ccc(C(=O)NCCNc2cc[nH+]c(C)n2)cc1 ZINC001094160718 775503886 /nfs/dbraw/zinc/50/38/86/775503886.db2.gz DQXKPOFQZNPXSD-UHFFFAOYSA-N 1 2 310.357 1.639 20 30 DDEDLO CC(C)c1nc(C[NH2+]C2CC(CNC(=O)[C@@H](C)C#N)C2)no1 ZINC001100189999 775792328 /nfs/dbraw/zinc/79/23/28/775792328.db2.gz HHLZVCVDIYSGJL-UNXYVOJBSA-N 1 2 305.382 1.337 20 30 DDEDLO CC(C)C#CC(=O)NCCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094397997 775807687 /nfs/dbraw/zinc/80/76/87/775807687.db2.gz LXBRLYAZWIMICM-UHFFFAOYSA-N 1 2 315.421 1.042 20 30 DDEDLO CC(C)C#CC(=O)NCCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094397997 775807697 /nfs/dbraw/zinc/80/76/97/775807697.db2.gz LXBRLYAZWIMICM-UHFFFAOYSA-N 1 2 315.421 1.042 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCCN(C)c1ccc(C#N)nc1 ZINC001100368095 776063069 /nfs/dbraw/zinc/06/30/69/776063069.db2.gz MIFAQLWCJOTVIX-LBPRGKRZSA-N 1 2 312.377 1.108 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCCN(C)c1ccc(C#N)nc1 ZINC001100368095 776063078 /nfs/dbraw/zinc/06/30/78/776063078.db2.gz MIFAQLWCJOTVIX-LBPRGKRZSA-N 1 2 312.377 1.108 20 30 DDEDLO CN(CCNC(=O)c1cc2c[nH+]ccc2[nH]1)c1ccnc(C#N)n1 ZINC001100396117 776096113 /nfs/dbraw/zinc/09/61/13/776096113.db2.gz LNFKIZBFOMSFMQ-UHFFFAOYSA-N 1 2 321.344 1.091 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)c2cccc3[nH+]ccn32)nn1 ZINC001094667466 776148940 /nfs/dbraw/zinc/14/89/40/776148940.db2.gz RMTUEBPNDGNEEO-UHFFFAOYSA-N 1 2 321.344 1.228 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)c3ccoc3C)CC2=O)C1 ZINC001094701693 776212281 /nfs/dbraw/zinc/21/22/81/776212281.db2.gz RLZBMBOIFKNRHW-ZDUSSCGKSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H]3C[C@H]3C3CC3)CC2=O)C1 ZINC001094765890 776225263 /nfs/dbraw/zinc/22/52/63/776225263.db2.gz ZPURBWHKGZEPOX-DZKIICNBSA-N 1 2 317.433 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(C3CC3)C3CC3)CC2=O)C1 ZINC001094804558 776302685 /nfs/dbraw/zinc/30/26/85/776302685.db2.gz WSAXBMUAEBYNLQ-AWEZNQCLSA-N 1 2 317.433 1.010 20 30 DDEDLO C[C@H](CCCCNCC#N)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001171606004 776366672 /nfs/dbraw/zinc/36/66/72/776366672.db2.gz SFXOKOGZRHFXRD-KGLIPLIRSA-N 1 2 303.410 1.234 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001100885334 776668061 /nfs/dbraw/zinc/66/80/61/776668061.db2.gz XCRTYDRGFNXODK-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO N#Cc1cccnc1N1CC[C@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001101069261 776876339 /nfs/dbraw/zinc/87/63/39/776876339.db2.gz PAEKDUSLBRBQNO-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO N#Cc1cccnc1N1CC[C@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001101069261 776876348 /nfs/dbraw/zinc/87/63/48/776876348.db2.gz PAEKDUSLBRBQNO-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO COC(=O)C1C[NH+]([C@H]2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)C1 ZINC001172980961 776950392 /nfs/dbraw/zinc/95/03/92/776950392.db2.gz RLUIFEHCZIMLOV-STQMWFEESA-N 1 2 323.393 1.383 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101252658 777012116 /nfs/dbraw/zinc/01/21/16/777012116.db2.gz SPZMZRLFJBCDMQ-DYVFJYSZSA-N 1 2 318.421 1.952 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101252658 777012121 /nfs/dbraw/zinc/01/21/21/777012121.db2.gz SPZMZRLFJBCDMQ-DYVFJYSZSA-N 1 2 318.421 1.952 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)NC1CCC(CC#N)CC1 ZINC001173333326 777115168 /nfs/dbraw/zinc/11/51/68/777115168.db2.gz HAMRHHNJNYVUJY-PIMMBPRGSA-N 1 2 304.394 1.566 20 30 DDEDLO COC(=O)[C@H](Cc1cncn1C)[NH2+]C1CCC(CC#N)CC1 ZINC001173333326 777115173 /nfs/dbraw/zinc/11/51/73/777115173.db2.gz HAMRHHNJNYVUJY-PIMMBPRGSA-N 1 2 304.394 1.566 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CCOCC)c1nccn12 ZINC001101615190 777306554 /nfs/dbraw/zinc/30/65/54/777306554.db2.gz BVHZPDYHSHAPMG-ZDUSSCGKSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](CCC)OC)c1nccn12 ZINC001101635361 777332536 /nfs/dbraw/zinc/33/25/36/777332536.db2.gz HIGBXLYQQLQXKG-KBPBESRZSA-N 1 2 318.421 1.456 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101916005 777674760 /nfs/dbraw/zinc/67/47/60/777674760.db2.gz JCKRFGJVHPKHIH-GFCCVEGCSA-N 1 2 320.441 1.719 20 30 DDEDLO C#Cc1cc(Nc2ccc(C(=O)N3CCOCC3)cc2)cc[nH+]1 ZINC001175534841 777828168 /nfs/dbraw/zinc/82/81/68/777828168.db2.gz KPSSXJCQJNYYLK-UHFFFAOYSA-N 1 2 307.353 1.701 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)CCNc2nccnc2C#N)c(C)[nH+]1 ZINC001102061358 777844406 /nfs/dbraw/zinc/84/44/06/777844406.db2.gz OBFLAFHCPBNARD-UHFFFAOYSA-N 1 2 324.388 1.853 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1C ZINC001102226572 777956368 /nfs/dbraw/zinc/95/63/68/777956368.db2.gz VATPLGPKWIIXHK-VXGBXAGGSA-N 1 2 308.451 1.934 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1C ZINC001102226572 777956372 /nfs/dbraw/zinc/95/63/72/777956372.db2.gz VATPLGPKWIIXHK-VXGBXAGGSA-N 1 2 308.451 1.934 20 30 DDEDLO CCC(=O)N(C)CC[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC001102409783 778121862 /nfs/dbraw/zinc/12/18/62/778121862.db2.gz VOBWNHPWTKFUQF-UHFFFAOYSA-N 1 2 318.396 1.688 20 30 DDEDLO N#Cc1cnc(NC(=O)CCn2cc[nH+]c2)c(Br)c1 ZINC001176873394 778283028 /nfs/dbraw/zinc/28/30/28/778283028.db2.gz LMQXZYJGVCAROJ-UHFFFAOYSA-N 1 2 320.150 1.941 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H]1CCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001102683721 778306508 /nfs/dbraw/zinc/30/65/08/778306508.db2.gz JGWNIZJOWSDZJI-GFCCVEGCSA-N 1 2 314.393 1.367 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001176923970 778312124 /nfs/dbraw/zinc/31/21/24/778312124.db2.gz IOCTTZFCKIWYOG-CVEARBPZSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001176923970 778312126 /nfs/dbraw/zinc/31/21/26/778312126.db2.gz IOCTTZFCKIWYOG-CVEARBPZSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001176923978 778312228 /nfs/dbraw/zinc/31/22/28/778312228.db2.gz IOCTTZFCKIWYOG-JKSUJKDBSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001176923978 778312231 /nfs/dbraw/zinc/31/22/31/778312231.db2.gz IOCTTZFCKIWYOG-JKSUJKDBSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CCCC3)C[C@@H]21 ZINC001176895019 778327370 /nfs/dbraw/zinc/32/73/70/778327370.db2.gz WFMQQNFOACJUBW-DLBZAZTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CCCC3)C[C@@H]21 ZINC001176895019 778327379 /nfs/dbraw/zinc/32/73/79/778327379.db2.gz WFMQQNFOACJUBW-DLBZAZTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC3(OC)CCC3)C[C@@H]21 ZINC001177005755 778386176 /nfs/dbraw/zinc/38/61/76/778386176.db2.gz JUHMOMHZLUBLBQ-JKSUJKDBSA-N 1 2 322.449 1.823 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3(OC)CCC3)C[C@@H]21 ZINC001177005755 778386184 /nfs/dbraw/zinc/38/61/84/778386184.db2.gz JUHMOMHZLUBLBQ-JKSUJKDBSA-N 1 2 322.449 1.823 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3OCC[N@@H+](CCOCC)[C@H]3C2)C1 ZINC001177089171 778441973 /nfs/dbraw/zinc/44/19/73/778441973.db2.gz QHMGEWMWKYRWDI-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3OCC[N@H+](CCOCC)[C@H]3C2)C1 ZINC001177089171 778441975 /nfs/dbraw/zinc/44/19/75/778441975.db2.gz QHMGEWMWKYRWDI-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCN1CCO[C@@H]2CCN(C(=O)CCCn3cc[nH+]c3)C[C@H]21 ZINC001177161553 778469086 /nfs/dbraw/zinc/46/90/86/778469086.db2.gz DHSILYLYEWTKKM-HZPDHXFCSA-N 1 2 318.421 1.151 20 30 DDEDLO Cc1nc(N2CCC[C@H](CCNC(=O)[C@H](C)C#N)C2)cc[nH+]1 ZINC001102911425 778485936 /nfs/dbraw/zinc/48/59/36/778485936.db2.gz VCVSJTHMQWBRFV-TZMCWYRMSA-N 1 2 301.394 1.667 20 30 DDEDLO C#CCC[NH+]1CC(O)(CN(Cc2ccccc2)C(=O)CC)C1 ZINC001177503849 778625020 /nfs/dbraw/zinc/62/50/20/778625020.db2.gz NBLYPRRQKCOPQY-UHFFFAOYSA-N 1 2 300.402 1.495 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1ccc(C#N)c(C)n1 ZINC001103161877 778680476 /nfs/dbraw/zinc/68/04/76/778680476.db2.gz MKOJEJXCUAPVQW-ZDUSSCGKSA-N 1 2 312.377 1.534 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2CCN(C(=O)C#CC(C)(C)C)[C@H]2C)n1 ZINC001178675655 779132451 /nfs/dbraw/zinc/13/24/51/779132451.db2.gz XJCBKYYVQDJPOQ-STQMWFEESA-N 1 2 318.421 1.761 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1(Nc2cc[nH+]c(C)n2)CCC1 ZINC001111848189 779515323 /nfs/dbraw/zinc/51/53/23/779515323.db2.gz XKGWZEDMLSHQRR-GFCCVEGCSA-N 1 2 302.378 1.274 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115248753 779978429 /nfs/dbraw/zinc/97/84/29/779978429.db2.gz HPRPCLQRJHNZOG-HNNXBMFYSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001115511912 780202072 /nfs/dbraw/zinc/20/20/72/780202072.db2.gz RKUYFPREFOVHNC-YOEHRIQHSA-N 1 2 318.421 1.761 20 30 DDEDLO N#CCNC1(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)CCCCC1 ZINC001115602609 780262507 /nfs/dbraw/zinc/26/25/07/780262507.db2.gz DIABWPDJXMOLMI-CQSZACIVSA-N 1 2 315.421 1.378 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC001117348745 780732386 /nfs/dbraw/zinc/73/23/86/780732386.db2.gz QFEDMNJVHSYMIW-KGLIPLIRSA-N 1 2 316.405 1.247 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccn(CC)n2)C1 ZINC001266315636 836106408 /nfs/dbraw/zinc/10/64/08/836106408.db2.gz ZRRMQVAVLQILEZ-HNNXBMFYSA-N 1 2 302.422 1.787 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](Cc2ccn(CC)n2)C1 ZINC001266315636 836106416 /nfs/dbraw/zinc/10/64/16/836106416.db2.gz ZRRMQVAVLQILEZ-HNNXBMFYSA-N 1 2 302.422 1.787 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001266316833 836107654 /nfs/dbraw/zinc/10/76/54/836107654.db2.gz JGWHSHGKALIOPY-DOMZBBRYSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001266316833 836107658 /nfs/dbraw/zinc/10/76/58/836107658.db2.gz JGWHSHGKALIOPY-DOMZBBRYSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCC[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CS(C)(=O)=O ZINC001266478389 836324898 /nfs/dbraw/zinc/32/48/98/836324898.db2.gz MFWZGRURPDRYOP-MELADBBJSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCC[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CS(C)(=O)=O ZINC001266478389 836324910 /nfs/dbraw/zinc/32/49/10/836324910.db2.gz MFWZGRURPDRYOP-MELADBBJSA-N 1 2 314.451 1.061 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@@H+](Cc1cc(C)n(C)n1)C1CC1 ZINC001266590797 836505544 /nfs/dbraw/zinc/50/55/44/836505544.db2.gz QAMQHSRBPXULIG-QGZVFWFLSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@H+](Cc1cc(C)n(C)n1)C1CC1 ZINC001266590797 836505551 /nfs/dbraw/zinc/50/55/51/836505551.db2.gz QAMQHSRBPXULIG-QGZVFWFLSA-N 1 2 320.437 1.136 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)CC[NH2+]Cc2ncc(CC)o2)c1 ZINC001266831674 836899245 /nfs/dbraw/zinc/89/92/45/836899245.db2.gz JGXUBORUKBOYJA-UHFFFAOYSA-N 1 2 312.373 1.475 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CC(=O)NCC)C1 ZINC001267422585 838019486 /nfs/dbraw/zinc/01/94/86/838019486.db2.gz DRFGNLDDPQMVPQ-OKILXGFUSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CC(=O)NCC)C1 ZINC001267422585 838019499 /nfs/dbraw/zinc/01/94/99/838019499.db2.gz DRFGNLDDPQMVPQ-OKILXGFUSA-N 1 2 305.422 1.154 20 30 DDEDLO CN(CC[N@@H+](C)CC(=O)NCC1CCC1)C(=O)C#CC(C)(C)C ZINC001272014290 844321044 /nfs/dbraw/zinc/32/10/44/844321044.db2.gz ZWEAYRJRYLGWNR-UHFFFAOYSA-N 1 2 321.465 1.342 20 30 DDEDLO CN(CC[N@H+](C)CC(=O)NCC1CCC1)C(=O)C#CC(C)(C)C ZINC001272014290 844321033 /nfs/dbraw/zinc/32/10/33/844321033.db2.gz ZWEAYRJRYLGWNR-UHFFFAOYSA-N 1 2 321.465 1.342 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)Cc2cc(C)ccc2OC)C1 ZINC001267651277 838578987 /nfs/dbraw/zinc/57/89/87/838578987.db2.gz JXODVQQHCGWOIZ-UHFFFAOYSA-N 1 2 318.417 1.549 20 30 DDEDLO CC#CCCCC(=O)NCC1C[NH+](CC(=O)N(C)CC2CC2)C1 ZINC001267689019 838641831 /nfs/dbraw/zinc/64/18/31/838641831.db2.gz CLLSAIDXFBEKKE-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CCC[N@H+](Cc2ccns2)C1 ZINC001268193918 839820218 /nfs/dbraw/zinc/82/02/18/839820218.db2.gz FBIRQWAVZLETOW-OLZOCXBDSA-N 1 2 307.419 1.262 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CCC[N@@H+](Cc2ccns2)C1 ZINC001268193918 839820229 /nfs/dbraw/zinc/82/02/29/839820229.db2.gz FBIRQWAVZLETOW-OLZOCXBDSA-N 1 2 307.419 1.262 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2conc2C(C)C)C1 ZINC001268193506 839821162 /nfs/dbraw/zinc/82/11/62/839821162.db2.gz MTQJLCCPRHVYAE-AWEZNQCLSA-N 1 2 319.405 1.642 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2conc2C(C)C)C1 ZINC001268193506 839821170 /nfs/dbraw/zinc/82/11/70/839821170.db2.gz MTQJLCCPRHVYAE-AWEZNQCLSA-N 1 2 319.405 1.642 20 30 DDEDLO C#CCCCC(=O)NC1C[NH+](CCOc2cccc(C)c2)C1 ZINC001268278850 839936596 /nfs/dbraw/zinc/93/65/96/839936596.db2.gz BLZXDUWAXILREL-UHFFFAOYSA-N 1 2 300.402 1.978 20 30 DDEDLO C#CCCCC(=O)NC1C[NH+](C[C@@H](O)Cc2ccc(F)cc2)C1 ZINC001268278922 839937385 /nfs/dbraw/zinc/93/73/85/839937385.db2.gz CWVLHNRCJRKMIG-KRWDZBQOSA-N 1 2 318.392 1.333 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cn(C)nc2C(C)C)C1 ZINC001268485216 840282550 /nfs/dbraw/zinc/28/25/50/840282550.db2.gz PNLYGMNBWPDFTR-UHFFFAOYSA-N 1 2 306.410 1.160 20 30 DDEDLO C[C@@H](C[N@H+](C)CC(=O)Nc1ccccc1)NC(=O)C#CC1CC1 ZINC001268730163 840723423 /nfs/dbraw/zinc/72/34/23/840723423.db2.gz MELZOXQGZKKVGU-AWEZNQCLSA-N 1 2 313.401 1.475 20 30 DDEDLO C[C@@H](C[N@@H+](C)CC(=O)Nc1ccccc1)NC(=O)C#CC1CC1 ZINC001268730163 840723431 /nfs/dbraw/zinc/72/34/31/840723431.db2.gz MELZOXQGZKKVGU-AWEZNQCLSA-N 1 2 313.401 1.475 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC2(CCN2CCn2cc[nH+]c2)C1 ZINC001268985106 841086346 /nfs/dbraw/zinc/08/63/46/841086346.db2.gz HJQFYFVZUZNJPN-UHFFFAOYSA-N 1 2 302.422 1.772 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC2(CC[N@@H+]2CCn2ccnc2)C1 ZINC001268985106 841086357 /nfs/dbraw/zinc/08/63/57/841086357.db2.gz HJQFYFVZUZNJPN-UHFFFAOYSA-N 1 2 302.422 1.772 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC2(CC[N@H+]2CCn2ccnc2)C1 ZINC001268985106 841086368 /nfs/dbraw/zinc/08/63/68/841086368.db2.gz HJQFYFVZUZNJPN-UHFFFAOYSA-N 1 2 302.422 1.772 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2(C)CCC(C)CC2)C1 ZINC001269097145 841201505 /nfs/dbraw/zinc/20/15/05/841201505.db2.gz RKKVABVDBLUNGX-CSLYMUCUSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2(C)CCC(C)CC2)C1 ZINC001269097145 841201510 /nfs/dbraw/zinc/20/15/10/841201510.db2.gz RKKVABVDBLUNGX-CSLYMUCUSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1CC(=O)N(CC)CC(=C)C ZINC001269273409 841452930 /nfs/dbraw/zinc/45/29/30/841452930.db2.gz XGBUNJIZWYREEA-HNNXBMFYSA-N 1 2 321.465 1.814 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N(CC)CC(=C)C ZINC001269273409 841452939 /nfs/dbraw/zinc/45/29/39/841452939.db2.gz XGBUNJIZWYREEA-HNNXBMFYSA-N 1 2 321.465 1.814 20 30 DDEDLO N#Cc1ccc2[nH]c(C(=O)Nc3cccnc3C[NH3+])cc(=O)c2c1 ZINC001154560225 861217882 /nfs/dbraw/zinc/21/78/82/861217882.db2.gz HAZSOBPUDYIUBX-UHFFFAOYSA-N 1 2 319.324 1.506 20 30 DDEDLO CC[C@H](CNC(=O)C#CC1CC1)[NH2+][C@H](C)c1nc(COC)no1 ZINC001269402164 841609655 /nfs/dbraw/zinc/60/96/55/841609655.db2.gz JIRFCCVQPVVXST-DGCLKSJQSA-N 1 2 320.393 1.175 20 30 DDEDLO CC(C)N(CCN(C)CC#N)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001284537719 841744852 /nfs/dbraw/zinc/74/48/52/841744852.db2.gz RFWXAZYICBRVCN-CQSZACIVSA-N 1 2 303.410 1.138 20 30 DDEDLO N#Cc1cc(CC(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)ccn1 ZINC001270253902 842426766 /nfs/dbraw/zinc/42/67/66/842426766.db2.gz RLEINNIDMYFGPO-UHFFFAOYSA-N 1 2 321.384 1.168 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)Cc4ccc[nH]4)C3)C2)cc1C#N ZINC001270375420 842527197 /nfs/dbraw/zinc/52/71/97/842527197.db2.gz MAOXMVBLCDIRDU-UHFFFAOYSA-N 1 2 323.400 1.112 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H](C)c2c(C)nn(C)c2C)C1 ZINC001270701446 842832973 /nfs/dbraw/zinc/83/29/73/842832973.db2.gz TUASVUJTWJEUJX-IUODEOHRSA-N 1 2 320.437 1.143 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H](C)c2c(C)nn(C)c2C)C1 ZINC001270701446 842832978 /nfs/dbraw/zinc/83/29/78/842832978.db2.gz TUASVUJTWJEUJX-IUODEOHRSA-N 1 2 320.437 1.143 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001154719620 861391262 /nfs/dbraw/zinc/39/12/62/861391262.db2.gz JEPXDVQRDXOXKL-CQSZACIVSA-N 1 2 305.426 1.335 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001154719620 861391272 /nfs/dbraw/zinc/39/12/72/861391272.db2.gz JEPXDVQRDXOXKL-CQSZACIVSA-N 1 2 305.426 1.335 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)C1CC[NH+](Cc2ncnn2C)CC1 ZINC001279147104 843755891 /nfs/dbraw/zinc/75/58/91/843755891.db2.gz XWVMRXCTWCFQJO-AWEZNQCLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2nc(C)oc2C)CCCO1 ZINC001149362527 861570191 /nfs/dbraw/zinc/57/01/91/861570191.db2.gz RXRBDJNNRCKSDB-OAHLLOKOSA-N 1 2 321.421 1.965 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2nc(C)oc2C)CCCO1 ZINC001149362527 861570200 /nfs/dbraw/zinc/57/02/00/861570200.db2.gz RXRBDJNNRCKSDB-OAHLLOKOSA-N 1 2 321.421 1.965 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)CNC(=O)C(C)(C)C ZINC001409678135 845555180 /nfs/dbraw/zinc/55/51/80/845555180.db2.gz JIHFYGUOHDLZQZ-NSHDSACASA-N 1 2 303.834 1.338 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)CNC(=O)C(C)(C)C ZINC001409678135 845555188 /nfs/dbraw/zinc/55/51/88/845555188.db2.gz JIHFYGUOHDLZQZ-NSHDSACASA-N 1 2 303.834 1.338 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@H+](CC=C(Cl)Cl)CCCO1 ZINC001149410106 861599647 /nfs/dbraw/zinc/59/96/47/861599647.db2.gz XUFFBWZIFJXPME-GHMZBOCLSA-N 1 2 320.220 1.672 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@@H+](CC=C(Cl)Cl)CCCO1 ZINC001149410106 861599659 /nfs/dbraw/zinc/59/96/59/861599659.db2.gz XUFFBWZIFJXPME-GHMZBOCLSA-N 1 2 320.220 1.672 20 30 DDEDLO C[C@@H](NC(=O)[C@@H](C)C#N)C1C[NH+](Cc2ccncc2Cl)C1 ZINC001409841041 845886403 /nfs/dbraw/zinc/88/64/03/845886403.db2.gz LDIOMNZYXDENFG-WDEREUQCSA-N 1 2 306.797 1.831 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(OC)cc1C)C2 ZINC001272631241 846431733 /nfs/dbraw/zinc/43/17/33/846431733.db2.gz GUOXLLQIZJVIKD-UHFFFAOYSA-N 1 2 316.401 1.603 20 30 DDEDLO Cc1cccnc1C[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107819585 847197265 /nfs/dbraw/zinc/19/72/65/847197265.db2.gz RWEAEJOCDROKDE-YOEHRIQHSA-N 1 2 316.405 1.257 20 30 DDEDLO Cc1cccnc1C[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107819585 847197270 /nfs/dbraw/zinc/19/72/70/847197270.db2.gz RWEAEJOCDROKDE-YOEHRIQHSA-N 1 2 316.405 1.257 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](N(C)C(=O)CC(C)(C)O)C1 ZINC001373667262 847440544 /nfs/dbraw/zinc/44/05/44/847440544.db2.gz RNOFZYKAXWNGPK-NSHDSACASA-N 1 2 319.243 1.589 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](N(C)C(=O)CC(C)(C)O)C1 ZINC001373667262 847440552 /nfs/dbraw/zinc/44/05/52/847440552.db2.gz RNOFZYKAXWNGPK-NSHDSACASA-N 1 2 319.243 1.589 20 30 DDEDLO COCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)C#CC(C)C ZINC001272860183 847560409 /nfs/dbraw/zinc/56/04/09/847560409.db2.gz YZNNFLAYIKJUFE-INIZCTEOSA-N 1 2 310.438 1.280 20 30 DDEDLO COCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)C#CC(C)C ZINC001272860183 847560414 /nfs/dbraw/zinc/56/04/14/847560414.db2.gz YZNNFLAYIKJUFE-INIZCTEOSA-N 1 2 310.438 1.280 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCC[C@@H](NC(=O)c2cn[nH]n2)C1 ZINC001034285253 848229177 /nfs/dbraw/zinc/22/91/77/848229177.db2.gz LQYBFLHMZMYFLW-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCC[C@@H](NC(=O)c2cn[nH]n2)C1 ZINC001034285253 848229180 /nfs/dbraw/zinc/22/91/80/848229180.db2.gz LQYBFLHMZMYFLW-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccc(C)o2)[C@@H](O)C1 ZINC001090205125 848270815 /nfs/dbraw/zinc/27/08/15/848270815.db2.gz ZUZGEQWYBYXSIT-KGLIPLIRSA-N 1 2 312.797 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccc(C)o2)[C@@H](O)C1 ZINC001090205125 848270824 /nfs/dbraw/zinc/27/08/24/848270824.db2.gz ZUZGEQWYBYXSIT-KGLIPLIRSA-N 1 2 312.797 1.434 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1C[N@H+](Cc2ccn(C)n2)CCCO1 ZINC001149789008 861903705 /nfs/dbraw/zinc/90/37/05/861903705.db2.gz KCTMYRXMZHSJQG-INIZCTEOSA-N 1 2 320.437 1.484 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1C[N@@H+](Cc2ccn(C)n2)CCCO1 ZINC001149789008 861903718 /nfs/dbraw/zinc/90/37/18/861903718.db2.gz KCTMYRXMZHSJQG-INIZCTEOSA-N 1 2 320.437 1.484 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@H](C)NC(=O)CC)C(C)(C)C1 ZINC001410009744 848577809 /nfs/dbraw/zinc/57/78/09/848577809.db2.gz BEQCDOIBBVNNDR-VXGBXAGGSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@H](C)NC(=O)CC)C(C)(C)C1 ZINC001410009744 848577816 /nfs/dbraw/zinc/57/78/16/848577816.db2.gz BEQCDOIBBVNNDR-VXGBXAGGSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@H+](Cc3ncc(C)s3)C2)OCC1=O ZINC001273222183 848806242 /nfs/dbraw/zinc/80/62/42/848806242.db2.gz SCXJAJJREZXCDH-INIZCTEOSA-N 1 2 321.446 1.831 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@@H+](Cc3ncc(C)s3)C2)OCC1=O ZINC001273222183 848806250 /nfs/dbraw/zinc/80/62/50/848806250.db2.gz SCXJAJJREZXCDH-INIZCTEOSA-N 1 2 321.446 1.831 20 30 DDEDLO CC(C)c1nc(C[NH2+]C[C@@H](C2CC2)N(C)C(=O)[C@H](C)C#N)no1 ZINC001410287965 849022246 /nfs/dbraw/zinc/02/22/46/849022246.db2.gz AZWDEBOHSSPYPY-YPMHNXCESA-N 1 2 319.409 1.679 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1ccc(Cl)nn1 ZINC001273348869 849612813 /nfs/dbraw/zinc/61/28/13/849612813.db2.gz KFEFPTDXQWQJQO-CHWSQXEVSA-N 1 2 304.781 1.329 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1ccc(Cl)nn1 ZINC001273348869 849612817 /nfs/dbraw/zinc/61/28/17/849612817.db2.gz KFEFPTDXQWQJQO-CHWSQXEVSA-N 1 2 304.781 1.329 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H](CCC)OC)C1 ZINC001150071240 862061309 /nfs/dbraw/zinc/06/13/09/862061309.db2.gz NOYSGNLXVUELAR-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H](CCC)OC)C1 ZINC001150071240 862061327 /nfs/dbraw/zinc/06/13/27/862061327.db2.gz NOYSGNLXVUELAR-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO CN1C(=O)COCC12C[NH+](Cc1c[nH]c3cc(C#N)ccc13)C2 ZINC001273395406 849845787 /nfs/dbraw/zinc/84/57/87/849845787.db2.gz JBUHPGCMXWVEKN-UHFFFAOYSA-N 1 2 310.357 1.083 20 30 DDEDLO CCn1ncc(C[N@H+](C)CCCN(C)C(=O)C#CC(C)C)n1 ZINC001273508139 851040505 /nfs/dbraw/zinc/04/05/05/851040505.db2.gz VJWHEKUBMVHJQO-UHFFFAOYSA-N 1 2 305.426 1.238 20 30 DDEDLO CCn1ncc(C[N@@H+](C)CCCN(C)C(=O)C#CC(C)C)n1 ZINC001273508139 851040516 /nfs/dbraw/zinc/04/05/16/851040516.db2.gz VJWHEKUBMVHJQO-UHFFFAOYSA-N 1 2 305.426 1.238 20 30 DDEDLO COc1cc(N2CCC[C@]23CCN(CCCC#N)C3=O)cc[nH+]1 ZINC001273584783 851115808 /nfs/dbraw/zinc/11/58/08/851115808.db2.gz HAKOARXORKQSKY-QGZVFWFLSA-N 1 2 314.389 1.965 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H](OCC)C1CC1)O2 ZINC001273660945 851197223 /nfs/dbraw/zinc/19/72/23/851197223.db2.gz CTWSRBMNHDGCRE-LSDHHAIUSA-N 1 2 308.422 1.337 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)COC(C)(C)C ZINC001273814408 851376011 /nfs/dbraw/zinc/37/60/11/851376011.db2.gz NPHOUACCZAQUGT-QGZVFWFLSA-N 1 2 314.429 1.978 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)COC(C)(C)C ZINC001273814408 851376019 /nfs/dbraw/zinc/37/60/19/851376019.db2.gz NPHOUACCZAQUGT-QGZVFWFLSA-N 1 2 314.429 1.978 20 30 DDEDLO CCCCCCCC[C@@H](O)C[N@@H+]1CCO[C@H](C(=O)OC)C1 ZINC001252124518 851547430 /nfs/dbraw/zinc/54/74/30/851547430.db2.gz RSIDDNZJDHPKQI-CABCVRRESA-N 1 2 301.427 1.972 20 30 DDEDLO CCCCCCCC[C@@H](O)C[N@H+]1CCO[C@H](C(=O)OC)C1 ZINC001252124518 851547436 /nfs/dbraw/zinc/54/74/36/851547436.db2.gz RSIDDNZJDHPKQI-CABCVRRESA-N 1 2 301.427 1.972 20 30 DDEDLO C=C(C)CNC(=O)[C@H]1CC12CC[NH+](Cc1nonc1C)CC2 ZINC001273992880 851792626 /nfs/dbraw/zinc/79/26/26/851792626.db2.gz NINDAQYTLMEADG-CYBMUJFWSA-N 1 2 304.394 1.672 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCC[N@H+]2CC(=O)OC(C)C ZINC001274063420 851899051 /nfs/dbraw/zinc/89/90/51/851899051.db2.gz UUPSQSMKEXSEIY-QGZVFWFLSA-N 1 2 308.422 1.971 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCC[N@@H+]2CC(=O)OC(C)C ZINC001274063420 851899060 /nfs/dbraw/zinc/89/90/60/851899060.db2.gz UUPSQSMKEXSEIY-QGZVFWFLSA-N 1 2 308.422 1.971 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1ccc(Cl)o1)C2 ZINC001274137668 851966681 /nfs/dbraw/zinc/96/66/81/851966681.db2.gz AUGRQWGNRWCMQH-MRXNPFEDSA-N 1 2 322.792 1.617 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1ccc(Cl)o1)C2 ZINC001274137668 851966688 /nfs/dbraw/zinc/96/66/88/851966688.db2.gz AUGRQWGNRWCMQH-MRXNPFEDSA-N 1 2 322.792 1.617 20 30 DDEDLO C=CC(C)(C)C(=O)NC/C=C/C[NH2+]Cc1noc2c1COCC2 ZINC001274263519 852107321 /nfs/dbraw/zinc/10/73/21/852107321.db2.gz RTVGCOKIQWCRPN-AATRIKPKSA-N 1 2 319.405 1.722 20 30 DDEDLO CC#CCCCC(=O)N1CCN(C2C[NH+](C[C@H](F)CC)C2)CC1 ZINC001274474348 852309267 /nfs/dbraw/zinc/30/92/67/852309267.db2.gz KEYASEAKKQUERW-MRXNPFEDSA-N 1 2 323.456 1.757 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C[NH2+]Cc1ncn(-c2ccccc2)n1 ZINC001275092067 852797802 /nfs/dbraw/zinc/79/78/02/852797802.db2.gz BKTFMDNCMOJGFJ-AWEZNQCLSA-N 1 2 313.405 1.828 20 30 DDEDLO Cn1cc(CN2C[C@@H]3COC[C@H](C2)[N@@H+]3CC2CCC2)cc1C#N ZINC001275181889 852869709 /nfs/dbraw/zinc/86/97/09/852869709.db2.gz CHSBXGCXAXFAGT-HDICACEKSA-N 1 2 314.433 1.582 20 30 DDEDLO Cn1cc(CN2C[C@@H]3COC[C@H](C2)[N@H+]3CC2CCC2)cc1C#N ZINC001275181889 852869712 /nfs/dbraw/zinc/86/97/12/852869712.db2.gz CHSBXGCXAXFAGT-HDICACEKSA-N 1 2 314.433 1.582 20 30 DDEDLO N#Cc1ccc(CN2CCCC3(C[NH+](Cc4cn[nH]c4)C3)C2)cn1 ZINC001275296224 852962151 /nfs/dbraw/zinc/96/21/51/852962151.db2.gz VZZXMZNTCZDEMM-UHFFFAOYSA-N 1 2 322.416 1.774 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)[C@@H](C)COC)CC2 ZINC001444547400 853399564 /nfs/dbraw/zinc/39/95/64/853399564.db2.gz POFPTDORVBHAGM-LBPRGKRZSA-N 1 2 300.830 1.946 20 30 DDEDLO C[N@@H+]1CCO[C@]2(CCCN(C(=O)c3cccc(C#N)c3O)C2)C1 ZINC001275734691 853648490 /nfs/dbraw/zinc/64/84/90/853648490.db2.gz NHULAFOGWYKOQJ-QGZVFWFLSA-N 1 2 315.373 1.201 20 30 DDEDLO C[N@H+]1CCO[C@]2(CCCN(C(=O)c3cccc(C#N)c3O)C2)C1 ZINC001275734691 853648495 /nfs/dbraw/zinc/64/84/95/853648495.db2.gz NHULAFOGWYKOQJ-QGZVFWFLSA-N 1 2 315.373 1.201 20 30 DDEDLO CN1CCO[C@H]2C[N@H+](Cc3sc(N)c(C#N)c3Cl)C[C@@H]21 ZINC001276232159 855018650 /nfs/dbraw/zinc/01/86/50/855018650.db2.gz BUYRXJOUMABFAC-UWVGGRQHSA-N 1 2 312.826 1.370 20 30 DDEDLO CN1CCO[C@H]2C[N@@H+](Cc3sc(N)c(C#N)c3Cl)C[C@@H]21 ZINC001276232159 855018661 /nfs/dbraw/zinc/01/86/61/855018661.db2.gz BUYRXJOUMABFAC-UWVGGRQHSA-N 1 2 312.826 1.370 20 30 DDEDLO CN(C)C(=O)C[N@H+]1C[C@H]2C[C@@H](C1)N2Cc1ccc(F)c(C#N)c1 ZINC001276238501 855027836 /nfs/dbraw/zinc/02/78/36/855027836.db2.gz MGCBPFOQALMEAC-GASCZTMLSA-N 1 2 316.380 1.044 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1C[C@H]2C[C@@H](C1)N2Cc1ccc(F)c(C#N)c1 ZINC001276238501 855027845 /nfs/dbraw/zinc/02/78/45/855027845.db2.gz MGCBPFOQALMEAC-GASCZTMLSA-N 1 2 316.380 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@@H]3O[C@H]1[C@H]1C[C@H]13)C2 ZINC001095782545 855348195 /nfs/dbraw/zinc/34/81/95/855348195.db2.gz VDEMWSFZSJFEKW-ULQQHUCKSA-N 1 2 322.836 1.884 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@@H]3O[C@H]1[C@H]1C[C@H]13)C2 ZINC001095782545 855348207 /nfs/dbraw/zinc/34/82/07/855348207.db2.gz VDEMWSFZSJFEKW-ULQQHUCKSA-N 1 2 322.836 1.884 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nnc(C)o2)CC1(C)C ZINC001328081912 862681734 /nfs/dbraw/zinc/68/17/34/862681734.db2.gz MEOYPAXENZYHBK-CQSZACIVSA-N 1 2 318.421 1.898 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nnc(C)o2)CC1(C)C ZINC001328081912 862681740 /nfs/dbraw/zinc/68/17/40/862681740.db2.gz MEOYPAXENZYHBK-CQSZACIVSA-N 1 2 318.421 1.898 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4ncccn4)C3)C2)cc1C#N ZINC001276334804 855673899 /nfs/dbraw/zinc/67/38/99/855673899.db2.gz JRZFCHWVPWPPGH-UHFFFAOYSA-N 1 2 308.389 1.005 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2c[nH]c(=O)c3ccccc23)CC1 ZINC001412989027 855873966 /nfs/dbraw/zinc/87/39/66/855873966.db2.gz DCWNWJPWOLSDME-UHFFFAOYSA-N 1 2 310.357 1.498 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cn(CC)nn2)[C@H](C)C1 ZINC001328267023 862841927 /nfs/dbraw/zinc/84/19/27/862841927.db2.gz MPOZGBXPIPDOFA-ZIAGYGMSSA-N 1 2 319.453 1.979 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2cn(CC)nn2)[C@H](C)C1 ZINC001328267023 862841938 /nfs/dbraw/zinc/84/19/38/862841938.db2.gz MPOZGBXPIPDOFA-ZIAGYGMSSA-N 1 2 319.453 1.979 20 30 DDEDLO Cc1cc(NC(=O)NCCc2cn(C)c[nH+]2)nn1CCC#N ZINC001413543283 857039850 /nfs/dbraw/zinc/03/98/50/857039850.db2.gz WRLNAAMHEHCBCS-UHFFFAOYSA-N 1 2 301.354 1.203 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc3cc4c(cc3[nH]2)OCO4)CC1 ZINC001156311822 862929703 /nfs/dbraw/zinc/92/97/03/862929703.db2.gz BNFPUPRCWOJSGB-UHFFFAOYSA-N 1 2 313.357 1.840 20 30 DDEDLO C=CCCCC(=O)N1CCC(C)(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001073225710 858187686 /nfs/dbraw/zinc/18/76/86/858187686.db2.gz UTJYOWZFVFKGJY-UHFFFAOYSA-N 1 2 318.421 1.737 20 30 DDEDLO N#Cc1c(N)sc2c1CCN(C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C2 ZINC001413739541 858226768 /nfs/dbraw/zinc/22/67/68/858226768.db2.gz ULKBOEBSPZEZET-NXEZZACHSA-N 1 2 313.386 1.613 20 30 DDEDLO N#Cc1c(N)sc2c1CCN(C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C2 ZINC001413739541 858226771 /nfs/dbraw/zinc/22/67/71/858226771.db2.gz ULKBOEBSPZEZET-NXEZZACHSA-N 1 2 313.386 1.613 20 30 DDEDLO C=C(C)C[NH+]1CCN(CCCCCNC(=O)[C@H](C)C#N)CC1 ZINC001328500984 863010562 /nfs/dbraw/zinc/01/05/62/863010562.db2.gz OPNSRPIIWGFGTE-MRXNPFEDSA-N 1 2 306.454 1.626 20 30 DDEDLO C=C(C)[C@H](CC(=O)NC[C@H](CO)Nc1cc[nH+]c(C)n1)OCC ZINC001122126183 858692220 /nfs/dbraw/zinc/69/22/20/858692220.db2.gz GZHJDDCCDCARKC-KGLIPLIRSA-N 1 2 322.409 1.045 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H](Nc2cc[nH+]c(C)n2)C(C)(C)C1 ZINC001123972104 859503665 /nfs/dbraw/zinc/50/36/65/859503665.db2.gz LLFYIBFDNCVOEU-OCCSQVGLSA-N 1 2 316.405 1.472 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125215318 859922551 /nfs/dbraw/zinc/92/25/51/859922551.db2.gz CHHWYZIHGUQEPJ-AWEZNQCLSA-N 1 2 320.437 1.643 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2C[C@H](CO)C[C@H](F)C2)cc1OC ZINC001138343967 860064954 /nfs/dbraw/zinc/06/49/54/860064954.db2.gz TZUYACUBBGAYED-CABCVRRESA-N 1 2 307.365 1.860 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2C[C@H](CO)C[C@H](F)C2)cc1OC ZINC001138343967 860064968 /nfs/dbraw/zinc/06/49/68/860064968.db2.gz TZUYACUBBGAYED-CABCVRRESA-N 1 2 307.365 1.860 20 30 DDEDLO C#CCN1CC[NH+](Cc2c(O)cccc2Br)CC1 ZINC001140100957 860575142 /nfs/dbraw/zinc/57/51/42/860575142.db2.gz FZAAWNSNBNZWIE-UHFFFAOYSA-N 1 2 309.207 1.906 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1nonc1C ZINC001325883704 860920751 /nfs/dbraw/zinc/92/07/51/860920751.db2.gz SIVRNNWMMJGFQA-GJZGRUSLSA-N 1 2 304.394 1.910 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1nonc1C ZINC001325883704 860920768 /nfs/dbraw/zinc/92/07/68/860920768.db2.gz SIVRNNWMMJGFQA-GJZGRUSLSA-N 1 2 304.394 1.910 20 30 DDEDLO N#Cc1cccc(CN2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)c1F ZINC001277007632 881596464 /nfs/dbraw/zinc/59/64/64/881596464.db2.gz YFCIEBODHIEHDE-UHFFFAOYSA-N 1 2 311.364 1.738 20 30 DDEDLO C=CC[N@@H+](C)CCN(CC)C(=O)C1(S(C)(=O)=O)CCCC1 ZINC001156668615 863246720 /nfs/dbraw/zinc/24/67/20/863246720.db2.gz WOFWPJBFBURPFF-UHFFFAOYSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CC[N@H+](C)CCN(CC)C(=O)C1(S(C)(=O)=O)CCCC1 ZINC001156668615 863246733 /nfs/dbraw/zinc/24/67/33/863246733.db2.gz WOFWPJBFBURPFF-UHFFFAOYSA-N 1 2 316.467 1.310 20 30 DDEDLO CC(C)C#CC(=O)NC1(CCO)C[NH+]([C@@H](C)c2ccccc2)C1 ZINC001329654245 863772701 /nfs/dbraw/zinc/77/27/01/863772701.db2.gz BCYVQNVVROVUET-INIZCTEOSA-N 1 2 314.429 1.960 20 30 DDEDLO C#CCCCCC(=O)N[C@H](C)C1C[NH+](Cc2cnnn2CC)C1 ZINC001329983588 863994381 /nfs/dbraw/zinc/99/43/81/863994381.db2.gz KMHHQNMPWUNOKE-CQSZACIVSA-N 1 2 317.437 1.428 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C2(CCCC)CC2)C1 ZINC001330046258 864043829 /nfs/dbraw/zinc/04/38/29/864043829.db2.gz FVMGQSVIUZWHSC-AWEZNQCLSA-N 1 2 319.449 1.143 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)C2C[NH+](Cc3cnnn3CC)C2)C1 ZINC001330049202 864047133 /nfs/dbraw/zinc/04/71/33/864047133.db2.gz UFIZNYYPNPOGLL-CYBMUJFWSA-N 1 2 317.437 1.591 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)OCC3CC3)C2)C1 ZINC001330149390 864106333 /nfs/dbraw/zinc/10/63/33/864106333.db2.gz WOYJHDUTWGRGPQ-WMLDXEAASA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)OCC3CC3)C2)C1 ZINC001330149390 864106341 /nfs/dbraw/zinc/10/63/41/864106341.db2.gz WOYJHDUTWGRGPQ-WMLDXEAASA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)OCC3CC3)C2)C1 ZINC001330149387 864106541 /nfs/dbraw/zinc/10/65/41/864106541.db2.gz WOYJHDUTWGRGPQ-RHSMWYFYSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)OCC3CC3)C2)C1 ZINC001330149387 864106547 /nfs/dbraw/zinc/10/65/47/864106547.db2.gz WOYJHDUTWGRGPQ-RHSMWYFYSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3(OC)CCC3)C2)C1 ZINC001330162098 864114304 /nfs/dbraw/zinc/11/43/04/864114304.db2.gz SVXJKDOIHWOGSR-QGZVFWFLSA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3(OC)CCC3)C2)C1 ZINC001330162098 864114312 /nfs/dbraw/zinc/11/43/12/864114312.db2.gz SVXJKDOIHWOGSR-QGZVFWFLSA-N 1 2 308.422 1.435 20 30 DDEDLO CCC[C@H](C)CC(=O)N[C@H]1C[N@H+](CC(=O)NCC#N)CC1(C)C ZINC001330179382 864130983 /nfs/dbraw/zinc/13/09/83/864130983.db2.gz WPRBPAIUGUBHJJ-KBPBESRZSA-N 1 2 322.453 1.279 20 30 DDEDLO CCC[C@H](C)CC(=O)N[C@H]1C[N@@H+](CC(=O)NCC#N)CC1(C)C ZINC001330179382 864130986 /nfs/dbraw/zinc/13/09/86/864130986.db2.gz WPRBPAIUGUBHJJ-KBPBESRZSA-N 1 2 322.453 1.279 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCCC[NH2+][C@@H](C)c1noc(C)n1 ZINC001158313809 864571091 /nfs/dbraw/zinc/57/10/91/864571091.db2.gz OZCIWHCXCUAHFT-YUTCNCBUSA-N 1 2 322.409 1.516 20 30 DDEDLO C#CC[C@H](COC)NC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC001331277609 864983385 /nfs/dbraw/zinc/98/33/85/864983385.db2.gz OJKNMVNMULJSGW-OAHLLOKOSA-N 1 2 312.373 1.710 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@]3(NC(=O)C#CC(C)C)CCC[C@H]23)o1 ZINC001332087691 865567199 /nfs/dbraw/zinc/56/71/99/865567199.db2.gz CJZNDNSCJIXPGL-YOEHRIQHSA-N 1 2 316.405 1.651 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@]3(NC(=O)C#CC(C)C)CCC[C@H]23)o1 ZINC001332087691 865567204 /nfs/dbraw/zinc/56/72/04/865567204.db2.gz CJZNDNSCJIXPGL-YOEHRIQHSA-N 1 2 316.405 1.651 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1ccc(CC#N)c(C)n1 ZINC001160696500 866042176 /nfs/dbraw/zinc/04/21/76/866042176.db2.gz MORTWLJAYHNLEM-CQSZACIVSA-N 1 2 313.361 1.386 20 30 DDEDLO N#Cc1c(Cl)ncnc1N[C@H]1CCC[C@H]1[NH+]1CCOCC1 ZINC001160725432 866073844 /nfs/dbraw/zinc/07/38/44/866073844.db2.gz UVKRGBJXQXXTHA-NWDGAFQWSA-N 1 2 307.785 1.667 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccn(C(C)(C)C)n1 ZINC001323240230 866461308 /nfs/dbraw/zinc/46/13/08/866461308.db2.gz BFJDEZJHXCDKGH-CQSZACIVSA-N 1 2 318.421 1.092 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccn(C(C)(C)C)n1 ZINC001323240230 866461311 /nfs/dbraw/zinc/46/13/11/866461311.db2.gz BFJDEZJHXCDKGH-CQSZACIVSA-N 1 2 318.421 1.092 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CCC[C@H]3CNCC#N)ccn12 ZINC001320130983 866497518 /nfs/dbraw/zinc/49/75/18/866497518.db2.gz DWGXEBFFOATGCK-LSDHHAIUSA-N 1 2 311.389 1.654 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@H+]2[C@H](CC)C(N)=O)CCCC1 ZINC001323369839 866566644 /nfs/dbraw/zinc/56/66/44/866566644.db2.gz DCQCWMBKQVZDJB-UONOGXRCSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@@H+]2[C@H](CC)C(N)=O)CCCC1 ZINC001323369839 866566657 /nfs/dbraw/zinc/56/66/57/866566657.db2.gz DCQCWMBKQVZDJB-UONOGXRCSA-N 1 2 307.438 1.577 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1([NH2+][C@H](C)c2nc(C)no2)CC1 ZINC001323435683 866608085 /nfs/dbraw/zinc/60/80/85/866608085.db2.gz NZXGGCIDIAZXTB-QMTHXVAHSA-N 1 2 308.382 1.005 20 30 DDEDLO Cn1cc(CC(=O)N2CCC([N@H+](CC#N)CC3CC3)CC2)cn1 ZINC001323719886 866792495 /nfs/dbraw/zinc/79/24/95/866792495.db2.gz DRMJVVDBVXUPGI-UHFFFAOYSA-N 1 2 315.421 1.189 20 30 DDEDLO Cn1cc(CC(=O)N2CCC([N@@H+](CC#N)CC3CC3)CC2)cn1 ZINC001323719886 866792506 /nfs/dbraw/zinc/79/25/06/866792506.db2.gz DRMJVVDBVXUPGI-UHFFFAOYSA-N 1 2 315.421 1.189 20 30 DDEDLO C[C@H](C#N)C(=O)NC/C=C\C[NH2+]Cc1nc(-c2ccco2)no1 ZINC001320999592 867193312 /nfs/dbraw/zinc/19/33/12/867193312.db2.gz VTLUJSSDPKPYQO-OTDNITJGSA-N 1 2 315.333 1.251 20 30 DDEDLO C#CCN(C(=O)CCOC)C1CC[NH+](Cc2nocc2C)CC1 ZINC001324352515 867220164 /nfs/dbraw/zinc/22/01/64/867220164.db2.gz IHGFEGALIVFXEP-UHFFFAOYSA-N 1 2 319.405 1.446 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@@]1(C)CC[N@H+](CC(=O)NCC#N)C1 ZINC001324572499 867366282 /nfs/dbraw/zinc/36/62/82/867366282.db2.gz HGXWPLXPQJEUIL-YOEHRIQHSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@@]1(C)CC[N@@H+](CC(=O)NCC#N)C1 ZINC001324572499 867366291 /nfs/dbraw/zinc/36/62/91/867366291.db2.gz HGXWPLXPQJEUIL-YOEHRIQHSA-N 1 2 322.453 1.423 20 30 DDEDLO C=C(CC)Cn1nnnc1N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001334475645 867602312 /nfs/dbraw/zinc/60/23/12/867602312.db2.gz QDEXBWLTTVACQS-UHFFFAOYSA-N 1 2 314.397 1.064 20 30 DDEDLO C=CCS(=O)(=O)CCNc1nc(C2CC2)[nH+]c2c1CCC2 ZINC001325171175 867838985 /nfs/dbraw/zinc/83/89/85/867838985.db2.gz QLDYXIDQYWCGJR-UHFFFAOYSA-N 1 2 307.419 1.855 20 30 DDEDLO C#CCCCC(=O)NCCC1(C[NH2+]Cc2noc(CC)n2)CC1 ZINC001164291780 869092860 /nfs/dbraw/zinc/09/28/60/869092860.db2.gz WCIZUBWMSBBKKG-UHFFFAOYSA-N 1 2 318.421 1.812 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2nc(C(C)(C)C)ccc2C#N)C[NH2+]1 ZINC001164305798 869107021 /nfs/dbraw/zinc/10/70/21/869107021.db2.gz BMJAKYDXKCEUEG-RYUDHWBXSA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1cc(=O)[nH]c(OC[C@@H]2CCC3(CC[NH+](C)CC3)O2)c1C#N ZINC001226220828 882288218 /nfs/dbraw/zinc/28/82/18/882288218.db2.gz CJMSHNMXNXMYNS-ZDUSSCGKSA-N 1 2 317.389 1.990 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)[C@H]1C ZINC001338307634 869914121 /nfs/dbraw/zinc/91/41/21/869914121.db2.gz ULDXDUZXOSZPHT-GXTWGEPZSA-N 1 2 318.421 1.660 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)CC2CCCCCC2)CC1 ZINC001316967801 870010390 /nfs/dbraw/zinc/01/03/90/870010390.db2.gz GFAROUWXYWYCGC-UHFFFAOYSA-N 1 2 305.466 1.714 20 30 DDEDLO CC[C@@H](CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)NC(=O)C#CC(C)C ZINC001297416536 870040986 /nfs/dbraw/zinc/04/09/86/870040986.db2.gz GYMIKYSTACSFTA-KBPBESRZSA-N 1 2 318.421 1.259 20 30 DDEDLO CC[C@@H](CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)NC(=O)C#CC(C)C ZINC001297416536 870040994 /nfs/dbraw/zinc/04/09/94/870040994.db2.gz GYMIKYSTACSFTA-KBPBESRZSA-N 1 2 318.421 1.259 20 30 DDEDLO CC[C@@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)NC(=O)C#CC1CC1 ZINC001297439478 870048091 /nfs/dbraw/zinc/04/80/91/870048091.db2.gz UABCSOJRZNHOBW-AWEZNQCLSA-N 1 2 324.384 1.601 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccoc2C(C)C)C1 ZINC001316994927 870092228 /nfs/dbraw/zinc/09/22/28/870092228.db2.gz RKAFLQZZUNBCHU-ZDUSSCGKSA-N 1 2 319.405 1.509 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccoc2C(C)C)C1 ZINC001316994927 870092232 /nfs/dbraw/zinc/09/22/32/870092232.db2.gz RKAFLQZZUNBCHU-ZDUSSCGKSA-N 1 2 319.405 1.509 20 30 DDEDLO CC(C)C#CC(=O)N[C@](C)(CNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001297556676 870100659 /nfs/dbraw/zinc/10/06/59/870100659.db2.gz GWKFVMJCWCWCDA-QGZVFWFLSA-N 1 2 316.405 1.013 20 30 DDEDLO C=CCn1c([C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)nnc1N(C)C1CC1 ZINC001338690938 870116283 /nfs/dbraw/zinc/11/62/83/870116283.db2.gz OQYPAOLGWZASCS-CABCVRRESA-N 1 2 317.437 1.989 20 30 DDEDLO C=CCn1c([C@@H]2C[N@H+]3CCCC[C@@H]3CO2)nnc1N(C)C1CC1 ZINC001338690938 870116288 /nfs/dbraw/zinc/11/62/88/870116288.db2.gz OQYPAOLGWZASCS-CABCVRRESA-N 1 2 317.437 1.989 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001297776880 870177614 /nfs/dbraw/zinc/17/76/14/870177614.db2.gz LJQTVRDKSDTPDE-LBPRGKRZSA-N 1 2 320.437 1.731 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCCC[C@@H]1CC ZINC001338897024 870230380 /nfs/dbraw/zinc/23/03/80/870230380.db2.gz ZUXBXEHTIOKAAW-ZNMIVQPWSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCCC[C@@H]1CC ZINC001338897024 870230394 /nfs/dbraw/zinc/23/03/94/870230394.db2.gz ZUXBXEHTIOKAAW-ZNMIVQPWSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@@H](OC)C1 ZINC001339090789 870340451 /nfs/dbraw/zinc/34/04/51/870340451.db2.gz GYFHARRAYDJJOS-GFCCVEGCSA-N 1 2 302.382 1.014 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@H+](C/C(Cl)=C\Cl)C[C@H]21 ZINC001317211711 870476749 /nfs/dbraw/zinc/47/67/49/870476749.db2.gz SPDXFHBQWFWZEX-QMLDIQJSSA-N 1 2 319.232 1.679 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@@H+](C/C(Cl)=C\Cl)C[C@H]21 ZINC001317211711 870476765 /nfs/dbraw/zinc/47/67/65/870476765.db2.gz SPDXFHBQWFWZEX-QMLDIQJSSA-N 1 2 319.232 1.679 20 30 DDEDLO C[C@@H](CC1CCCCC1)C(=O)NCC[N@H+](C)CC(=O)NCC#N ZINC001317463301 870881937 /nfs/dbraw/zinc/88/19/37/870881937.db2.gz DIDJBDIHFKBETE-AWEZNQCLSA-N 1 2 322.453 1.281 20 30 DDEDLO C[C@@H](CC1CCCCC1)C(=O)NCC[N@@H+](C)CC(=O)NCC#N ZINC001317463301 870881945 /nfs/dbraw/zinc/88/19/45/870881945.db2.gz DIDJBDIHFKBETE-AWEZNQCLSA-N 1 2 322.453 1.281 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@@H+](C)CC(=O)NC(CC)CC)C1 ZINC001317461910 870882139 /nfs/dbraw/zinc/88/21/39/870882139.db2.gz IGBHMBFLMSBBQS-UHFFFAOYSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@H+](C)CC(=O)NC(CC)CC)C1 ZINC001317461910 870882146 /nfs/dbraw/zinc/88/21/46/870882146.db2.gz IGBHMBFLMSBBQS-UHFFFAOYSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1CC[C@](F)(C#N)C1 ZINC001340422392 871097733 /nfs/dbraw/zinc/09/77/33/871097733.db2.gz SPKXFNFXDRYEJQ-INIZCTEOSA-N 1 2 318.400 1.892 20 30 DDEDLO C=CCN(c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC=C)C1CC1 ZINC001340573485 871204705 /nfs/dbraw/zinc/20/47/05/871204705.db2.gz YAVRWGRIXKKISP-PBHICJAKSA-N 1 2 317.437 1.531 20 30 DDEDLO C=CCN(c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC=C)C1CC1 ZINC001340573485 871204716 /nfs/dbraw/zinc/20/47/16/871204716.db2.gz YAVRWGRIXKKISP-PBHICJAKSA-N 1 2 317.437 1.531 20 30 DDEDLO CCOC(=O)C(=O)CO[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001204840735 871258264 /nfs/dbraw/zinc/25/82/64/871258264.db2.gz SZNAPXKTFMBZLE-HNNXBMFYSA-N 1 2 316.357 1.281 20 30 DDEDLO CCOC(=O)C(=O)CO[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001204840735 871258278 /nfs/dbraw/zinc/25/82/78/871258278.db2.gz SZNAPXKTFMBZLE-HNNXBMFYSA-N 1 2 316.357 1.281 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@H](F)c1ccccc1 ZINC001317823403 871546435 /nfs/dbraw/zinc/54/64/35/871546435.db2.gz WSDSOFOOKCHJLQ-MRXNPFEDSA-N 1 2 318.396 1.297 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)c2cccc(OC)c2)CC1 ZINC001317824850 871550253 /nfs/dbraw/zinc/55/02/53/871550253.db2.gz YNGISTDFFCNITM-UHFFFAOYSA-N 1 2 315.417 1.018 20 30 DDEDLO C=CCCCCCCCNS(=O)(=O)N1CC[NH+](C)CC1 ZINC001309559905 871580508 /nfs/dbraw/zinc/58/05/08/871580508.db2.gz UYIMGKZPFGNMRR-UHFFFAOYSA-N 1 2 303.472 1.595 20 30 DDEDLO C[C@H](c1cnccn1)[NH+]1CC(CNC(=O)C#CC(C)(C)C)C1 ZINC001318119207 871761609 /nfs/dbraw/zinc/76/16/09/871761609.db2.gz PIEIWFRAFLAMDM-CYBMUJFWSA-N 1 2 300.406 1.635 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC(=O)N(CC)CC ZINC001318209218 871832758 /nfs/dbraw/zinc/83/27/58/871832758.db2.gz JZXRUTLODBLRJB-HOTGVXAUSA-N 1 2 319.449 1.334 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC(=O)N(CC)CC ZINC001318209218 871832775 /nfs/dbraw/zinc/83/27/75/871832775.db2.gz JZXRUTLODBLRJB-HOTGVXAUSA-N 1 2 319.449 1.334 20 30 DDEDLO CC[C@H](CC(=O)N(C)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1)C(C)C ZINC001318447148 872059969 /nfs/dbraw/zinc/05/99/69/872059969.db2.gz XRBVHRONSDDIEY-HUUCEWRRSA-N 1 2 322.453 1.231 20 30 DDEDLO CC[C@H](CC(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1)C(C)C ZINC001318447148 872059995 /nfs/dbraw/zinc/05/99/95/872059995.db2.gz XRBVHRONSDDIEY-HUUCEWRRSA-N 1 2 322.453 1.231 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)SC)n2CC=C)CC1 ZINC001342218848 872079747 /nfs/dbraw/zinc/07/97/47/872079747.db2.gz AZAVABPVSDUSDV-ZDUSSCGKSA-N 1 2 305.451 1.643 20 30 DDEDLO CN(C(=O)C#CC(C)(C)C)C1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001316931392 872405069 /nfs/dbraw/zinc/40/50/69/872405069.db2.gz UMQDDRIQQCRSAH-UHFFFAOYSA-N 1 2 317.437 1.287 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C1CC[NH+](Cc2ccon2)CC1 ZINC001316932452 872407355 /nfs/dbraw/zinc/40/73/55/872407355.db2.gz QFQXOXAGOFDTPT-MRXNPFEDSA-N 1 2 307.394 1.425 20 30 DDEDLO CN(C)c1ncccc1C=[NH+]Nc1ccc(S(N)(=O)=O)cc1 ZINC001342838421 872407376 /nfs/dbraw/zinc/40/73/76/872407376.db2.gz BKMYLCHZCPXACP-UHFFFAOYSA-N 1 2 319.390 1.241 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3c[nH]cn3)n2CC(C)C)CC1 ZINC001342992094 872460890 /nfs/dbraw/zinc/46/08/90/872460890.db2.gz GJVRADNNSIKDQJ-UHFFFAOYSA-N 1 2 313.409 1.079 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@H]1CCCO1 ZINC001343068154 872488324 /nfs/dbraw/zinc/48/83/24/872488324.db2.gz INOJVWSYROLLFQ-ZIAGYGMSSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@H]1CCCO1 ZINC001343068154 872488331 /nfs/dbraw/zinc/48/83/31/872488331.db2.gz INOJVWSYROLLFQ-ZIAGYGMSSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)/C=C(\C)C2CC2)C1 ZINC001319294859 872544027 /nfs/dbraw/zinc/54/40/27/872544027.db2.gz FPVJBOGXJJTGMN-UKYUDJEDSA-N 1 2 308.422 1.362 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)/C=C(\C)C2CC2)C1 ZINC001319294859 872544017 /nfs/dbraw/zinc/54/40/17/872544017.db2.gz FPVJBOGXJJTGMN-UKYUDJEDSA-N 1 2 308.422 1.362 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2nccc(C)n2)C[C@H]1C ZINC001206884709 872700862 /nfs/dbraw/zinc/70/08/62/872700862.db2.gz AWEMLOBDUBAHQW-GDBMZVCRSA-N 1 2 314.433 1.915 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2nccc(C)n2)C[C@H]1C ZINC001206884709 872700867 /nfs/dbraw/zinc/70/08/67/872700867.db2.gz AWEMLOBDUBAHQW-GDBMZVCRSA-N 1 2 314.433 1.915 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C)cs2)C1 ZINC001206931527 872793593 /nfs/dbraw/zinc/79/35/93/872793593.db2.gz PLYKGTXKHVQIBP-CHWSQXEVSA-N 1 2 321.446 1.409 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C)cs2)C1 ZINC001206931527 872793601 /nfs/dbraw/zinc/79/36/01/872793601.db2.gz PLYKGTXKHVQIBP-CHWSQXEVSA-N 1 2 321.446 1.409 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001206959093 872849347 /nfs/dbraw/zinc/84/93/47/872849347.db2.gz VVDNAYSHPBOZLZ-IUODEOHRSA-N 1 2 319.405 1.268 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001206959093 872849348 /nfs/dbraw/zinc/84/93/48/872849348.db2.gz VVDNAYSHPBOZLZ-IUODEOHRSA-N 1 2 319.405 1.268 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H]([NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001208028450 873769584 /nfs/dbraw/zinc/76/95/84/873769584.db2.gz FBGTZAHVLJVOBI-GXTWGEPZSA-N 1 2 320.393 1.060 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCC)n2C[C@@H]2CCOC2)CC1 ZINC001346535770 873793970 /nfs/dbraw/zinc/79/39/70/873793970.db2.gz QFAMHTSXPOPBCS-HNNXBMFYSA-N 1 2 317.437 1.022 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001208255710 873939175 /nfs/dbraw/zinc/93/91/75/873939175.db2.gz PEMZCUCGFHGWGU-CYBMUJFWSA-N 1 2 321.425 1.573 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1([NH2+][C@H](C)c2ncccn2)CC1 ZINC001277177388 882797068 /nfs/dbraw/zinc/79/70/68/882797068.db2.gz LBRYNUHCYZPQEO-MCIONIFRSA-N 1 2 316.405 1.367 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(C(=O)OC)cc2OCC)CC1 ZINC001209684760 875055894 /nfs/dbraw/zinc/05/58/94/875055894.db2.gz FZUMMBZTSZNFCF-UHFFFAOYSA-N 1 2 316.401 1.623 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3csnn3)C[C@H]21 ZINC001276670950 875094016 /nfs/dbraw/zinc/09/40/16/875094016.db2.gz USRYSGNVMQXQHN-XDQVBPFNSA-N 1 2 318.446 1.553 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3csnn3)C[C@H]21 ZINC001276670950 875094020 /nfs/dbraw/zinc/09/40/20/875094020.db2.gz USRYSGNVMQXQHN-XDQVBPFNSA-N 1 2 318.446 1.553 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001378582818 875170968 /nfs/dbraw/zinc/17/09/68/875170968.db2.gz ADPSLILQCXCAEY-CMPLNLGQSA-N 1 2 316.833 1.012 20 30 DDEDLO C[C@H]([NH2+]Cc1nnc2c(=O)n(C)ccn12)c1ccc(C#N)cc1 ZINC001349647581 875281589 /nfs/dbraw/zinc/28/15/89/875281589.db2.gz WSMCPRHVQOQZRM-NSHDSACASA-N 1 2 308.345 1.150 20 30 DDEDLO CCOCC(=O)OCC#Cc1csc(C[NH+]2CCOCC2)c1 ZINC001350311040 875624180 /nfs/dbraw/zinc/62/41/80/875624180.db2.gz DLXLXCPRPUSSGA-UHFFFAOYSA-N 1 2 323.414 1.512 20 30 DDEDLO CCc1nnc([C@@H](C)[NH+]2CCC(NC(=O)C#CC3CC3)CC2)o1 ZINC001227299723 882962122 /nfs/dbraw/zinc/96/21/22/882962122.db2.gz ZKZFKZFTHNMBFG-GFCCVEGCSA-N 1 2 316.405 1.687 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2nc(C)no2)CC1 ZINC001227307911 882964698 /nfs/dbraw/zinc/96/46/98/882964698.db2.gz MCJXBQWSIWNDMD-RYUDHWBXSA-N 1 2 320.393 1.058 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213320318 875895879 /nfs/dbraw/zinc/89/58/79/875895879.db2.gz SJYDBBVNCXGACP-NUEKZKHPSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213320318 875895881 /nfs/dbraw/zinc/89/58/81/875895881.db2.gz SJYDBBVNCXGACP-NUEKZKHPSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1OC ZINC001213963391 876116267 /nfs/dbraw/zinc/11/62/67/876116267.db2.gz BNGNNDIMXXSASL-QUFGHOJKSA-N 1 2 302.802 1.145 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1OC ZINC001213963391 876116268 /nfs/dbraw/zinc/11/62/68/876116268.db2.gz BNGNNDIMXXSASL-QUFGHOJKSA-N 1 2 302.802 1.145 20 30 DDEDLO Cc1cc(C[N@@H+](C)CCN(C)C(=O)c2ccc(C#N)[nH]2)nn1C ZINC001379039460 876199995 /nfs/dbraw/zinc/19/99/95/876199995.db2.gz MRLHIEURTDQHAJ-UHFFFAOYSA-N 1 2 314.393 1.132 20 30 DDEDLO Cc1cc(C[N@H+](C)CCN(C)C(=O)c2ccc(C#N)[nH]2)nn1C ZINC001379039460 876200002 /nfs/dbraw/zinc/20/00/02/876200002.db2.gz MRLHIEURTDQHAJ-UHFFFAOYSA-N 1 2 314.393 1.132 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001214466537 876353755 /nfs/dbraw/zinc/35/37/55/876353755.db2.gz QKVKCKAJQYNHJG-ZIAGYGMSSA-N 1 2 318.421 1.762 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C=C(C)C ZINC001276780886 877448812 /nfs/dbraw/zinc/44/88/12/877448812.db2.gz AGIDXXQTBVUKLH-HZPDHXFCSA-N 1 2 321.465 1.956 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C=C(C)C ZINC001276780886 877448815 /nfs/dbraw/zinc/44/88/15/877448815.db2.gz AGIDXXQTBVUKLH-HZPDHXFCSA-N 1 2 321.465 1.956 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001353735979 877518995 /nfs/dbraw/zinc/51/89/95/877518995.db2.gz HYJLIGHNJBPCLJ-OKILXGFUSA-N 1 2 304.394 1.393 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)C[C@@H]1CCN(C)C1=O ZINC001379685092 877737559 /nfs/dbraw/zinc/73/75/59/877737559.db2.gz PDYPXPWCTYLNLU-NEPJUHHUSA-N 1 2 301.818 1.044 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)C[C@@H]1CCN(C)C1=O ZINC001379685092 877737565 /nfs/dbraw/zinc/73/75/65/877737565.db2.gz PDYPXPWCTYLNLU-NEPJUHHUSA-N 1 2 301.818 1.044 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cc[nH+]c1 ZINC001354125204 877768290 /nfs/dbraw/zinc/76/82/90/877768290.db2.gz XOUAGMSNDDOSKU-TTZDDIAXSA-N 1 2 316.405 1.058 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2ccccc2F)C[C@@H]1O ZINC001219573139 878346036 /nfs/dbraw/zinc/34/60/36/878346036.db2.gz MXEQKGDAJHYTRD-XKQJLSEDSA-N 1 2 318.392 1.707 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2ccccc2F)C[C@@H]1O ZINC001219573139 878346046 /nfs/dbraw/zinc/34/60/46/878346046.db2.gz MXEQKGDAJHYTRD-XKQJLSEDSA-N 1 2 318.392 1.707 20 30 DDEDLO C=CCCC(=O)NCC[C@@H](C)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001355093371 878421356 /nfs/dbraw/zinc/42/13/56/878421356.db2.gz HJUJTRIHFJEQSG-KGLIPLIRSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001219705201 878465734 /nfs/dbraw/zinc/46/57/34/878465734.db2.gz YQSRHTXRRDKOQA-KGLIPLIRSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001219705201 878465745 /nfs/dbraw/zinc/46/57/45/878465745.db2.gz YQSRHTXRRDKOQA-KGLIPLIRSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220147743 878739251 /nfs/dbraw/zinc/73/92/51/878739251.db2.gz LMAGQSHQWRBMDR-OLZOCXBDSA-N 1 2 309.435 1.325 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220147743 878739267 /nfs/dbraw/zinc/73/92/67/878739267.db2.gz LMAGQSHQWRBMDR-OLZOCXBDSA-N 1 2 309.435 1.325 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCCCCCC1 ZINC001355919983 878778138 /nfs/dbraw/zinc/77/81/38/878778138.db2.gz MBYDDNQCRBCLPN-HUUCEWRRSA-N 1 2 319.453 1.972 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCCCCCC1 ZINC001355919983 878778150 /nfs/dbraw/zinc/77/81/50/878778150.db2.gz MBYDDNQCRBCLPN-HUUCEWRRSA-N 1 2 319.453 1.972 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@H](C)C#N)Cc1c(C)noc1C ZINC001380100518 878794482 /nfs/dbraw/zinc/79/44/82/878794482.db2.gz DSUBYBRNDBTPKT-LLVKDONJSA-N 1 2 308.382 1.016 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@H](C)C#N)Cc1c(C)noc1C ZINC001380100518 878794494 /nfs/dbraw/zinc/79/44/94/878794494.db2.gz DSUBYBRNDBTPKT-LLVKDONJSA-N 1 2 308.382 1.016 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001287697602 912402590 /nfs/dbraw/zinc/40/25/90/912402590.db2.gz XCIDHDOKVLVCGH-QWHCGFSZSA-N 1 2 306.410 1.518 20 30 DDEDLO CC(C)N(CCCNC(=O)C#CC1CC1)C(=O)Cn1cc[nH+]c1 ZINC001356665469 879175818 /nfs/dbraw/zinc/17/58/18/879175818.db2.gz FWZPZFUDMQIMLN-UHFFFAOYSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CCCC(=O)N1CC(CNC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001356812596 879415374 /nfs/dbraw/zinc/41/53/74/879415374.db2.gz DXINXDRJSPVDDE-CYBMUJFWSA-N 1 2 304.394 1.375 20 30 DDEDLO C=CCCC(=O)N[C@@H](CC)CNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001356898395 879559330 /nfs/dbraw/zinc/55/93/30/879559330.db2.gz JGKWAUIZHZJVIF-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001357033516 879728173 /nfs/dbraw/zinc/72/81/73/879728173.db2.gz UHNGIPZYDKWTMM-ZDUSSCGKSA-N 1 2 320.437 1.433 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@H](C)C(C)C)C[C@H]21 ZINC001221425031 879778618 /nfs/dbraw/zinc/77/86/18/879778618.db2.gz WTQVATFJDGGVHP-BZUAXINKSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@H](C)C(C)C)C[C@H]21 ZINC001221425031 879778626 /nfs/dbraw/zinc/77/86/26/879778626.db2.gz WTQVATFJDGGVHP-BZUAXINKSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2[nH+]ccn2C)[C@H]1C ZINC001287858006 912507947 /nfs/dbraw/zinc/50/79/47/912507947.db2.gz GXDRGOUSRWZRQC-ZIAGYGMSSA-N 1 2 318.421 1.425 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3sccc3F)[C@@H]2C1 ZINC001221524686 879918411 /nfs/dbraw/zinc/91/84/11/879918411.db2.gz YHJZFNNIOQETDI-TZMCWYRMSA-N 1 2 322.405 1.683 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3sccc3F)[C@@H]2C1 ZINC001221524686 879918423 /nfs/dbraw/zinc/91/84/23/879918423.db2.gz YHJZFNNIOQETDI-TZMCWYRMSA-N 1 2 322.405 1.683 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@H+](Cc3ccnn3C)C[C@H]21 ZINC001221529656 879921245 /nfs/dbraw/zinc/92/12/45/879921245.db2.gz LAZLYHNQQNLMIC-GDBMZVCRSA-N 1 2 300.406 1.112 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@@H+](Cc3ccnn3C)C[C@H]21 ZINC001221529656 879921257 /nfs/dbraw/zinc/92/12/57/879921257.db2.gz LAZLYHNQQNLMIC-GDBMZVCRSA-N 1 2 300.406 1.112 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H]3CN(C(=O)C#CC(C)C)[C@@H]3C2)on1 ZINC001221535208 879932573 /nfs/dbraw/zinc/93/25/73/879932573.db2.gz REGNHHCZWIPJSL-UKRRQHHQSA-N 1 2 317.389 1.375 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H]3CN(C(=O)C#CC(C)C)[C@@H]3C2)on1 ZINC001221535208 879932590 /nfs/dbraw/zinc/93/25/90/879932590.db2.gz REGNHHCZWIPJSL-UKRRQHHQSA-N 1 2 317.389 1.375 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001221582784 879958823 /nfs/dbraw/zinc/95/88/23/879958823.db2.gz ZQFSUKPIZHXFDJ-GDBMZVCRSA-N 1 2 302.422 1.809 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001221582784 879958829 /nfs/dbraw/zinc/95/88/29/879958829.db2.gz ZQFSUKPIZHXFDJ-GDBMZVCRSA-N 1 2 302.422 1.809 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001222068251 880213121 /nfs/dbraw/zinc/21/31/21/880213121.db2.gz RKJXOYDYOYBIIY-STQMWFEESA-N 1 2 322.409 1.304 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[N@H+](Cc3nccn3C)[C@H]2C1 ZINC001222652259 880621333 /nfs/dbraw/zinc/62/13/33/880621333.db2.gz WXNIXJCJZHSRBJ-CVEARBPZSA-N 1 2 314.433 1.502 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[N@@H+](Cc3nccn3C)[C@H]2C1 ZINC001222652259 880621341 /nfs/dbraw/zinc/62/13/41/880621341.db2.gz WXNIXJCJZHSRBJ-CVEARBPZSA-N 1 2 314.433 1.502 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001358624862 880635674 /nfs/dbraw/zinc/63/56/74/880635674.db2.gz GYJVKJZLGJRRDR-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(C)CCC(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001223353304 880929501 /nfs/dbraw/zinc/92/95/01/880929501.db2.gz ODMRYIPTCCYNJL-UHFFFAOYSA-N 1 2 305.426 1.500 20 30 DDEDLO CCc1c(C(=O)NC[C@@H]2CC[N@@H+]2CC#CCOC)ccn1C ZINC001276923539 881109468 /nfs/dbraw/zinc/10/94/68/881109468.db2.gz JTPSVUWPXNWFAT-AWEZNQCLSA-N 1 2 303.406 1.041 20 30 DDEDLO CCc1c(C(=O)NC[C@@H]2CC[N@H+]2CC#CCOC)ccn1C ZINC001276923539 881109474 /nfs/dbraw/zinc/10/94/74/881109474.db2.gz JTPSVUWPXNWFAT-AWEZNQCLSA-N 1 2 303.406 1.041 20 30 DDEDLO CS(=O)(=O)NC[C@H]1CC[N@H+](Cc2ccc(F)cc2C#N)C1 ZINC001414038644 881167317 /nfs/dbraw/zinc/16/73/17/881167317.db2.gz QKHZRRADTSLTNL-LLVKDONJSA-N 1 2 311.382 1.068 20 30 DDEDLO CS(=O)(=O)NC[C@H]1CC[N@@H+](Cc2ccc(F)cc2C#N)C1 ZINC001414038644 881167324 /nfs/dbraw/zinc/16/73/24/881167324.db2.gz QKHZRRADTSLTNL-LLVKDONJSA-N 1 2 311.382 1.068 20 30 DDEDLO COCC(=O)N[C@@H]1CC[N@H+](Cc2cc(F)ccc2C#N)[C@@H](C)C1 ZINC001381170274 881178814 /nfs/dbraw/zinc/17/88/14/881178814.db2.gz RXKGBUQAJYLOEW-BLLLJJGKSA-N 1 2 319.380 1.813 20 30 DDEDLO COCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(F)ccc2C#N)[C@@H](C)C1 ZINC001381170274 881178819 /nfs/dbraw/zinc/17/88/19/881178819.db2.gz RXKGBUQAJYLOEW-BLLLJJGKSA-N 1 2 319.380 1.813 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OCCOc2cccc(C#N)c2)C[N@@H+]1C ZINC001224312342 881323569 /nfs/dbraw/zinc/32/35/69/881323569.db2.gz PLBOVLZSBYLNTP-CABCVRRESA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OCCOc2cccc(C#N)c2)C[N@H+]1C ZINC001224312342 881323585 /nfs/dbraw/zinc/32/35/85/881323585.db2.gz PLBOVLZSBYLNTP-CABCVRRESA-N 1 2 304.346 1.199 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288052342 912695108 /nfs/dbraw/zinc/69/51/08/912695108.db2.gz RNUZKRSASCHZCW-QWHCGFSZSA-N 1 2 304.394 1.320 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001277332773 883686267 /nfs/dbraw/zinc/68/62/67/883686267.db2.gz MTRILULSCPVGGM-KFWWJZLASA-N 1 2 320.437 1.128 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001277332773 883686274 /nfs/dbraw/zinc/68/62/74/883686274.db2.gz MTRILULSCPVGGM-KFWWJZLASA-N 1 2 320.437 1.128 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+]([C@@H](C)c2nncn2C)CC1 ZINC001230411636 884478744 /nfs/dbraw/zinc/47/87/44/884478744.db2.gz OWTZLUNPLPAUQT-ZDUSSCGKSA-N 1 2 321.425 1.049 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1cc(F)c(C#N)c(F)c1 ZINC001362720022 884482677 /nfs/dbraw/zinc/48/26/77/884482677.db2.gz ORSYLHQWLFRYKL-GFCCVEGCSA-N 1 2 306.272 1.033 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccccc1C#N ZINC001230568387 884593572 /nfs/dbraw/zinc/59/35/72/884593572.db2.gz LJKYJVVEDFATLC-MRXNPFEDSA-N 1 2 301.390 1.627 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccccc1C#N ZINC001230568387 884593588 /nfs/dbraw/zinc/59/35/88/884593588.db2.gz LJKYJVVEDFATLC-MRXNPFEDSA-N 1 2 301.390 1.627 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC1CC1 ZINC001230593623 884629273 /nfs/dbraw/zinc/62/92/73/884629273.db2.gz DDELWARUHMSRGR-MRXNPFEDSA-N 1 2 319.449 1.520 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1CC1 ZINC001230593623 884629287 /nfs/dbraw/zinc/62/92/87/884629287.db2.gz DDELWARUHMSRGR-MRXNPFEDSA-N 1 2 319.449 1.520 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CCC ZINC001230618232 884656803 /nfs/dbraw/zinc/65/68/03/884656803.db2.gz CHRFGHUHTUQDCP-OAHLLOKOSA-N 1 2 309.454 1.744 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC ZINC001230618232 884656805 /nfs/dbraw/zinc/65/68/05/884656805.db2.gz CHRFGHUHTUQDCP-OAHLLOKOSA-N 1 2 309.454 1.744 20 30 DDEDLO C[C@H](C#N)C(=O)NCC(C)(C)CN(C)C(=O)CCn1cc[nH+]c1 ZINC001382861996 884823945 /nfs/dbraw/zinc/82/39/45/884823945.db2.gz FMYUEHVAKAWXFQ-CYBMUJFWSA-N 1 2 319.409 1.034 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)n1C ZINC001230748713 884829161 /nfs/dbraw/zinc/82/91/61/884829161.db2.gz IMJPWTUZOYCUCZ-OAHLLOKOSA-N 1 2 303.406 1.130 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)n1C ZINC001230748713 884829172 /nfs/dbraw/zinc/82/91/72/884829172.db2.gz IMJPWTUZOYCUCZ-OAHLLOKOSA-N 1 2 303.406 1.130 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)Cn1cccn1 ZINC001230762026 884843542 /nfs/dbraw/zinc/84/35/42/884843542.db2.gz FDFHAODEOFICGU-SFHVURJKSA-N 1 2 322.412 1.468 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)Cn1cccn1 ZINC001230762026 884843559 /nfs/dbraw/zinc/84/35/59/884843559.db2.gz FDFHAODEOFICGU-SFHVURJKSA-N 1 2 322.412 1.468 20 30 DDEDLO Cn1ccnc1NC(=O)[C@]12C[C@H]1CC[N@@H+]2Cc1cccc(C#N)c1 ZINC001277476898 885109889 /nfs/dbraw/zinc/10/98/89/885109889.db2.gz AHHVYWMXIGWGNP-QAPCUYQASA-N 1 2 321.384 1.895 20 30 DDEDLO Cn1ccnc1NC(=O)[C@]12C[C@H]1CC[N@H+]2Cc1cccc(C#N)c1 ZINC001277476898 885109902 /nfs/dbraw/zinc/10/99/02/885109902.db2.gz AHHVYWMXIGWGNP-QAPCUYQASA-N 1 2 321.384 1.895 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001288454811 912907711 /nfs/dbraw/zinc/90/77/11/912907711.db2.gz CDTIORJOOMPHRI-KGLIPLIRSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(OC)nc1C ZINC001231121489 885254066 /nfs/dbraw/zinc/25/40/66/885254066.db2.gz DXBCHBKRWOCOMD-HNNXBMFYSA-N 1 2 318.421 1.793 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(OC)nc1C ZINC001231121489 885254081 /nfs/dbraw/zinc/25/40/81/885254081.db2.gz DXBCHBKRWOCOMD-HNNXBMFYSA-N 1 2 318.421 1.793 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)N[C@H](CO)Cc1c[nH]c[nH+]1 ZINC001363280627 885901000 /nfs/dbraw/zinc/90/10/00/885901000.db2.gz CEYZBHPJYCLSCW-LRDDRELGSA-N 1 2 312.373 1.180 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)N[C@H](CO)Cc1c[nH+]c[nH]1 ZINC001363280627 885901019 /nfs/dbraw/zinc/90/10/19/885901019.db2.gz CEYZBHPJYCLSCW-LRDDRELGSA-N 1 2 312.373 1.180 20 30 DDEDLO N#Cc1ccc(O)c(C[NH+]2CCN(c3nccnc3C#N)CC2)c1 ZINC001232678981 886532380 /nfs/dbraw/zinc/53/23/80/886532380.db2.gz WXCWJGKIYHGTMR-UHFFFAOYSA-N 1 2 320.356 1.248 20 30 DDEDLO C=CCCC[N@H+]1Cc2ccnn2CC[C@H]1C(=O)NCC(F)F ZINC001277758499 886777069 /nfs/dbraw/zinc/77/70/69/886777069.db2.gz NPOMWNYENLZLOU-ZDUSSCGKSA-N 1 2 312.364 1.805 20 30 DDEDLO C=CCCC[N@@H+]1Cc2ccnn2CC[C@H]1C(=O)NCC(F)F ZINC001277758499 886777073 /nfs/dbraw/zinc/77/70/73/886777073.db2.gz NPOMWNYENLZLOU-ZDUSSCGKSA-N 1 2 312.364 1.805 20 30 DDEDLO CC(C)(C)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2O)CC1 ZINC001233387494 886969536 /nfs/dbraw/zinc/96/95/36/886969536.db2.gz LFBMDEKPFCXNLQ-UHFFFAOYSA-N 1 2 301.390 1.954 20 30 DDEDLO CC1(C)CN(C2C[NH+](Cc3ccc(C#N)cc3O)C2)CCO1 ZINC001233386715 886969777 /nfs/dbraw/zinc/96/97/77/886969777.db2.gz GDNFRJBZVHTQRZ-UHFFFAOYSA-N 1 2 301.390 1.559 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)n1cccc1 ZINC001233742889 887270479 /nfs/dbraw/zinc/27/04/79/887270479.db2.gz RYWGHEZKYZAEMN-HOTGVXAUSA-N 1 2 303.406 1.232 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)n1cccc1 ZINC001233742889 887270491 /nfs/dbraw/zinc/27/04/91/887270491.db2.gz RYWGHEZKYZAEMN-HOTGVXAUSA-N 1 2 303.406 1.232 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1)C(=O)CC(C)(C)O ZINC001234004133 887543745 /nfs/dbraw/zinc/54/37/45/887543745.db2.gz SMZSXKYFZKQXET-GFCCVEGCSA-N 1 2 321.446 1.813 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1)C(=O)CC(C)(C)O ZINC001234004133 887543752 /nfs/dbraw/zinc/54/37/52/887543752.db2.gz SMZSXKYFZKQXET-GFCCVEGCSA-N 1 2 321.446 1.813 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234029762 887568180 /nfs/dbraw/zinc/56/81/80/887568180.db2.gz JSJVMEVHXUYPRV-UKRRQHHQSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234029762 887568185 /nfs/dbraw/zinc/56/81/85/887568185.db2.gz JSJVMEVHXUYPRV-UKRRQHHQSA-N 1 2 321.465 1.646 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CCn1cc(Cl)cn1)C(=O)C#CC1CC1 ZINC001234177737 887716874 /nfs/dbraw/zinc/71/68/74/887716874.db2.gz DIQGXOLQFBLTJX-HNNXBMFYSA-N 1 2 320.824 1.483 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CCn1cc(Cl)cn1)C(=O)C#CC1CC1 ZINC001234177737 887716884 /nfs/dbraw/zinc/71/68/84/887716884.db2.gz DIQGXOLQFBLTJX-HNNXBMFYSA-N 1 2 320.824 1.483 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001234254713 887794316 /nfs/dbraw/zinc/79/43/16/887794316.db2.gz CJTZJOWKJFBXKZ-IBGZPJMESA-N 1 2 324.424 1.611 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001234254713 887794327 /nfs/dbraw/zinc/79/43/27/887794327.db2.gz CJTZJOWKJFBXKZ-IBGZPJMESA-N 1 2 324.424 1.611 20 30 DDEDLO CCC(=O)CN1CC[C@H]2C[N@H+](Cc3cc(C#N)n(C)c3)C[C@H]21 ZINC001277851354 887917493 /nfs/dbraw/zinc/91/74/93/887917493.db2.gz FTYAPRITONJKGW-WMLDXEAASA-N 1 2 300.406 1.382 20 30 DDEDLO CCC(=O)CN1CC[C@H]2C[N@@H+](Cc3cc(C#N)n(C)c3)C[C@H]21 ZINC001277851354 887917505 /nfs/dbraw/zinc/91/75/05/887917505.db2.gz FTYAPRITONJKGW-WMLDXEAASA-N 1 2 300.406 1.382 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](CC)C(N)=O ZINC001234517545 888045968 /nfs/dbraw/zinc/04/59/68/888045968.db2.gz MPHXIHDXVAHAIM-GJZGRUSLSA-N 1 2 307.438 1.551 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](CC)C(N)=O ZINC001234517545 888045974 /nfs/dbraw/zinc/04/59/74/888045974.db2.gz MPHXIHDXVAHAIM-GJZGRUSLSA-N 1 2 307.438 1.551 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(F)cncc1F ZINC001234574911 888113324 /nfs/dbraw/zinc/11/33/24/888113324.db2.gz ZAMZOVHMGHNNPW-LBPRGKRZSA-N 1 2 323.343 1.156 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(F)cncc1F ZINC001234574911 888113325 /nfs/dbraw/zinc/11/33/25/888113325.db2.gz ZAMZOVHMGHNNPW-LBPRGKRZSA-N 1 2 323.343 1.156 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)C1CC1 ZINC001234625177 888152599 /nfs/dbraw/zinc/15/25/99/888152599.db2.gz JPIKXBDSLATQTK-MRXNPFEDSA-N 1 2 321.465 1.886 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)C1CC1 ZINC001234625177 888152607 /nfs/dbraw/zinc/15/26/07/888152607.db2.gz JPIKXBDSLATQTK-MRXNPFEDSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1nnc(CC)o1 ZINC001235243484 888497502 /nfs/dbraw/zinc/49/75/02/888497502.db2.gz CNWROIGBDSFAOY-CYBMUJFWSA-N 1 2 324.425 1.551 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1nnc(CC)o1 ZINC001235243484 888497508 /nfs/dbraw/zinc/49/75/08/888497508.db2.gz CNWROIGBDSFAOY-CYBMUJFWSA-N 1 2 324.425 1.551 20 30 DDEDLO C[NH+](C)[C@H]1CCC[N@H+](Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC1 ZINC001364381502 888502642 /nfs/dbraw/zinc/50/26/42/888502642.db2.gz KPHLNKAYCJUNEK-AWEZNQCLSA-N 1 2 314.393 1.223 20 30 DDEDLO C[NH+](C)[C@H]1CCC[N@@H+](Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC1 ZINC001364381502 888502654 /nfs/dbraw/zinc/50/26/54/888502654.db2.gz KPHLNKAYCJUNEK-AWEZNQCLSA-N 1 2 314.393 1.223 20 30 DDEDLO C=CCOC[C@H]1C[N@@H+](CCCO)Cc2nnn(CC3CC3)c21 ZINC001235529468 888714261 /nfs/dbraw/zinc/71/42/61/888714261.db2.gz GBXAIYFTLNIBAN-CQSZACIVSA-N 1 2 306.410 1.172 20 30 DDEDLO C=CCOC[C@H]1C[N@H+](CCCO)Cc2nnn(CC3CC3)c21 ZINC001235529468 888714276 /nfs/dbraw/zinc/71/42/76/888714276.db2.gz GBXAIYFTLNIBAN-CQSZACIVSA-N 1 2 306.410 1.172 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H]1C=CCCC1 ZINC001235758792 888933234 /nfs/dbraw/zinc/93/32/34/888933234.db2.gz MDFVZVHOUUTWPV-HZPDHXFCSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H]1C=CCCC1 ZINC001235758792 888933246 /nfs/dbraw/zinc/93/32/46/888933246.db2.gz MDFVZVHOUUTWPV-HZPDHXFCSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCN(C(=O)[C@@H]1C[C@H]1C)C1CC[NH+](Cc2cnns2)CC1 ZINC001278115030 889703690 /nfs/dbraw/zinc/70/36/90/889703690.db2.gz FVHYGDCDJREWHV-IUODEOHRSA-N 1 2 318.446 1.620 20 30 DDEDLO Cc1noc(C[NH2+]C[C@@H](NC(=O)C#CC2CC2)C2CCCC2)n1 ZINC001278240887 890247846 /nfs/dbraw/zinc/24/78/46/890247846.db2.gz IEXNHCIKAMXRJP-OAHLLOKOSA-N 1 2 316.405 1.556 20 30 DDEDLO C=CCCC(=O)N1C[C@H]([NH2+]Cc2nc(N(C)C)no2)C[C@H]1C ZINC001278358369 891598077 /nfs/dbraw/zinc/59/80/77/891598077.db2.gz XTPCJVOEXXBLBO-VXGBXAGGSA-N 1 2 307.398 1.181 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]([NH2+]Cc2nc(COC)no2)C[C@@H]1C ZINC001278380156 891830193 /nfs/dbraw/zinc/83/01/93/891830193.db2.gz XFIDDJQFMXCEQZ-STQMWFEESA-N 1 2 322.409 1.651 20 30 DDEDLO CCOCCC[NH+]1CC2(C1)CN(C(=O)C#CC(C)(C)C)CCO2 ZINC001280898504 893003057 /nfs/dbraw/zinc/00/30/57/893003057.db2.gz GOGPRHFBRVIVDK-UHFFFAOYSA-N 1 2 322.449 1.376 20 30 DDEDLO N#Cc1cc(Br)ccc1-c1noc([C@H]([NH3+])CO)n1 ZINC001247490807 893211067 /nfs/dbraw/zinc/21/10/67/893211067.db2.gz LUOCBBFRKWTQBZ-SECBINFHSA-N 1 2 309.123 1.363 20 30 DDEDLO N#Cc1ccn2ncc(C[N@@H+]3CC[C@H]4SC(=O)C=C4C3)c2c1 ZINC001249086999 893813657 /nfs/dbraw/zinc/81/36/57/893813657.db2.gz UQXATWBKIMMBIK-OAHLLOKOSA-N 1 2 310.382 1.980 20 30 DDEDLO CC(C)CCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001278522517 894067051 /nfs/dbraw/zinc/06/70/51/894067051.db2.gz YYYOWTZXGUNNMO-HUUCEWRRSA-N 1 2 322.453 1.422 20 30 DDEDLO CC(C)CCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001278522517 894067067 /nfs/dbraw/zinc/06/70/67/894067067.db2.gz YYYOWTZXGUNNMO-HUUCEWRRSA-N 1 2 322.453 1.422 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1cnc2nccnc2c1 ZINC001366614596 894274369 /nfs/dbraw/zinc/27/43/69/894274369.db2.gz BYVUHSNNJJQGNA-UHFFFAOYSA-N 1 2 319.796 1.829 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1cnc2nccnc2c1 ZINC001366614596 894274387 /nfs/dbraw/zinc/27/43/87/894274387.db2.gz BYVUHSNNJJQGNA-UHFFFAOYSA-N 1 2 319.796 1.829 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H](C)Cc1cnn(C)c1 ZINC001366674036 894523293 /nfs/dbraw/zinc/52/32/93/894523293.db2.gz PBXYXYJJFIHITC-DOMZBBRYSA-N 1 2 324.856 1.932 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H](C)Cc1cnn(C)c1 ZINC001366674036 894523297 /nfs/dbraw/zinc/52/32/97/894523297.db2.gz PBXYXYJJFIHITC-DOMZBBRYSA-N 1 2 324.856 1.932 20 30 DDEDLO CCN(CCNC(=O)c1cc2c[nH+]ccc2[nH]1)C(=O)[C@H](C)C#N ZINC001388331451 895005174 /nfs/dbraw/zinc/00/51/74/895005174.db2.gz MSMCGOSKYOHPAV-LLVKDONJSA-N 1 2 313.361 1.301 20 30 DDEDLO C=CCC[C@H](O)CN1CC[N@H+](Cc2ccccc2)[C@H](CO)C1 ZINC001252588730 895291854 /nfs/dbraw/zinc/29/18/54/895291854.db2.gz DZPJNNMOEOVEOY-ROUUACIJSA-N 1 2 304.434 1.492 20 30 DDEDLO C=CCC[C@H](O)CN1CC[N@@H+](Cc2ccccc2)[C@H](CO)C1 ZINC001252588730 895291862 /nfs/dbraw/zinc/29/18/62/895291862.db2.gz DZPJNNMOEOVEOY-ROUUACIJSA-N 1 2 304.434 1.492 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CNC(=O)C(C)(C)C)C1 ZINC001367018380 895586500 /nfs/dbraw/zinc/58/65/00/895586500.db2.gz XQWXHPHXFQXWIR-NSHDSACASA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CNC(=O)C(C)(C)C)C1 ZINC001367018380 895586504 /nfs/dbraw/zinc/58/65/04/895586504.db2.gz XQWXHPHXFQXWIR-NSHDSACASA-N 1 2 301.818 1.092 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H](O)C[N@H+](C)Cc1ncc(C)o1 ZINC001278855744 896816825 /nfs/dbraw/zinc/81/68/25/896816825.db2.gz UJIMWXAXBNPBNA-CQSZACIVSA-N 1 2 307.394 1.038 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1ncc(C)o1 ZINC001278855744 896816839 /nfs/dbraw/zinc/81/68/39/896816839.db2.gz UJIMWXAXBNPBNA-CQSZACIVSA-N 1 2 307.394 1.038 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cnc2n[nH]nc2c1 ZINC001367516631 897007941 /nfs/dbraw/zinc/00/79/41/897007941.db2.gz PURBLQPRZFEOME-UHFFFAOYSA-N 1 2 308.773 1.109 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cnc2n[nH]nc2c1 ZINC001367516631 897007954 /nfs/dbraw/zinc/00/79/54/897007954.db2.gz PURBLQPRZFEOME-UHFFFAOYSA-N 1 2 308.773 1.109 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](CCNC(=O)C3CC3)CC2)cc1 ZINC001389392524 897108604 /nfs/dbraw/zinc/10/86/04/897108604.db2.gz YOEOXDQPAGTVHG-UHFFFAOYSA-N 1 2 312.417 1.202 20 30 DDEDLO C#CC[C@H]([NH2+][C@@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1)C(=O)OC ZINC001256455294 897444306 /nfs/dbraw/zinc/44/43/06/897444306.db2.gz ATCASGGHMIAIFW-MJBXVCDLSA-N 1 2 324.421 1.929 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C[NH2+]Cc1nnn(C)n1)C(C)(C)C ZINC001278988067 897693751 /nfs/dbraw/zinc/69/37/51/897693751.db2.gz APSQURIOQUKBLS-STQMWFEESA-N 1 2 322.457 1.433 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NC2CN(c3cccc[nH+]3)C2)cc1 ZINC001258174217 898093772 /nfs/dbraw/zinc/09/37/72/898093772.db2.gz DSGDEAOOSDTUFQ-UHFFFAOYSA-N 1 2 314.370 1.120 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H](C[NH2+]Cc1csnn1)C1CCCC1 ZINC001368137972 898785913 /nfs/dbraw/zinc/78/59/13/898785913.db2.gz QVIXIRCUKKEQHQ-GXFFZTMASA-N 1 2 307.423 1.462 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@]1(C)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001299622636 898822049 /nfs/dbraw/zinc/82/20/49/898822049.db2.gz SOHGYFPXKNYMOG-QGZVFWFLSA-N 1 2 318.421 1.594 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)C1CCCC1 ZINC001262946995 900431997 /nfs/dbraw/zinc/43/19/97/900431997.db2.gz BVIFQSDAQHNDNM-CABCVRRESA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)C1CCCC1 ZINC001262946995 900432004 /nfs/dbraw/zinc/43/20/04/900432004.db2.gz BVIFQSDAQHNDNM-CABCVRRESA-N 1 2 319.453 1.971 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001263680395 900669978 /nfs/dbraw/zinc/66/99/78/900669978.db2.gz ZKLOHMNKDNQUFA-QEORTHHSSA-N 1 2 317.437 1.058 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC1CC1 ZINC001263680395 900669982 /nfs/dbraw/zinc/66/99/82/900669982.db2.gz ZKLOHMNKDNQUFA-QEORTHHSSA-N 1 2 317.437 1.058 20 30 DDEDLO CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#CCOC)CCOCC1 ZINC001263816578 900730448 /nfs/dbraw/zinc/73/04/48/900730448.db2.gz DZXLKXQNQCINGN-MRXNPFEDSA-N 1 2 322.449 1.376 20 30 DDEDLO CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2CC#CCOC)CCOCC1 ZINC001263816578 900730455 /nfs/dbraw/zinc/73/04/55/900730455.db2.gz DZXLKXQNQCINGN-MRXNPFEDSA-N 1 2 322.449 1.376 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2snnc2C)[C@@H]1C ZINC001264139311 900971363 /nfs/dbraw/zinc/97/13/63/900971363.db2.gz KAYBMCRKNRHPTG-TYNCELHUSA-N 1 2 324.450 1.253 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2snnc2C)[C@@H]1C ZINC001264139311 900971370 /nfs/dbraw/zinc/97/13/70/900971370.db2.gz KAYBMCRKNRHPTG-TYNCELHUSA-N 1 2 324.450 1.253 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1ccncn1 ZINC001265049428 901486487 /nfs/dbraw/zinc/48/64/87/901486487.db2.gz JAJVDYYKPZGKNW-UHFFFAOYSA-N 1 2 313.199 1.437 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1ccncn1 ZINC001265049428 901486491 /nfs/dbraw/zinc/48/64/91/901486491.db2.gz JAJVDYYKPZGKNW-UHFFFAOYSA-N 1 2 313.199 1.437 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1[C@@H](C)[NH2+]Cc1cnsn1 ZINC001265080261 901531748 /nfs/dbraw/zinc/53/17/48/901531748.db2.gz PHGRPAQEJLMPMP-OCCSQVGLSA-N 1 2 324.450 1.600 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CC(C)(C)CC)C1 ZINC001265214610 901720923 /nfs/dbraw/zinc/72/09/23/901720923.db2.gz MDINEAMOPPVMPW-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CC(C)(C)CC)C1 ZINC001265214610 901720939 /nfs/dbraw/zinc/72/09/39/901720939.db2.gz MDINEAMOPPVMPW-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CC23CCC3)C1 ZINC001265227345 901741186 /nfs/dbraw/zinc/74/11/86/901741186.db2.gz UBIXOYCILBTCTA-UONOGXRCSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CC23CCC3)C1 ZINC001265227345 901741193 /nfs/dbraw/zinc/74/11/93/901741193.db2.gz UBIXOYCILBTCTA-UONOGXRCSA-N 1 2 305.422 1.059 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)[C@@H]2CCCC3(CC3)C2)CC1 ZINC001265259063 901781919 /nfs/dbraw/zinc/78/19/19/901781919.db2.gz XEYKPWYKNMIIEY-OAHLLOKOSA-N 1 2 304.438 1.214 20 30 DDEDLO COc1ncccc1C[N@@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283393 901820771 /nfs/dbraw/zinc/82/07/71/901820771.db2.gz FARULTFTRORZEP-HNNXBMFYSA-N 1 2 315.417 1.830 20 30 DDEDLO COc1ncccc1C[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283393 901820776 /nfs/dbraw/zinc/82/07/76/901820776.db2.gz FARULTFTRORZEP-HNNXBMFYSA-N 1 2 315.417 1.830 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001391623128 902171149 /nfs/dbraw/zinc/17/11/49/902171149.db2.gz AEOHZCASOJKXJM-VXGBXAGGSA-N 1 2 316.833 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001391623128 902171162 /nfs/dbraw/zinc/17/11/62/902171162.db2.gz AEOHZCASOJKXJM-VXGBXAGGSA-N 1 2 316.833 1.109 20 30 DDEDLO CC[C@H](CNC(=O)[C@@H](C)C#N)[NH2+]Cc1nc(CC2CC2)no1 ZINC001391667588 902297945 /nfs/dbraw/zinc/29/79/45/902297945.db2.gz JAHYHUGECOMLGR-CMPLNLGQSA-N 1 2 305.382 1.166 20 30 DDEDLO CC[C@@H](CNC(=O)[C@@H](C)C#N)[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001391668877 902300999 /nfs/dbraw/zinc/30/09/99/902300999.db2.gz MAARSEPIDVXCPW-JQWIXIFHSA-N 1 2 317.349 1.474 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H](C)Oc2cccnc2)C1 ZINC001370012910 902387992 /nfs/dbraw/zinc/38/79/92/902387992.db2.gz GHZQFNLNIAEWIT-CHWSQXEVSA-N 1 2 309.797 1.792 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)Oc2cccnc2)C1 ZINC001370012910 902388000 /nfs/dbraw/zinc/38/80/00/902388000.db2.gz GHZQFNLNIAEWIT-CHWSQXEVSA-N 1 2 309.797 1.792 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC(C)(C)C)[NH2+]Cc1noc(C2CC2)n1 ZINC001266144695 903018019 /nfs/dbraw/zinc/01/80/19/903018019.db2.gz XVBPTQSKKAGDHK-LBPRGKRZSA-N 1 2 318.421 1.981 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C)C[N@H+](C)Cc1nc(-c2ccoc2)no1 ZINC001375025755 914684683 /nfs/dbraw/zinc/68/46/83/914684683.db2.gz YMJZISUDKWJJNJ-GHMZBOCLSA-N 1 2 317.349 1.426 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C)C[N@@H+](C)Cc1nc(-c2ccoc2)no1 ZINC001375025755 914684695 /nfs/dbraw/zinc/68/46/95/914684695.db2.gz YMJZISUDKWJJNJ-GHMZBOCLSA-N 1 2 317.349 1.426 20 30 DDEDLO CC(C)CNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001266218010 903133432 /nfs/dbraw/zinc/13/34/32/903133432.db2.gz JXYSYPYBLOHICI-OAHLLOKOSA-N 1 2 321.465 1.389 20 30 DDEDLO CC(C)CNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001266218010 903133442 /nfs/dbraw/zinc/13/34/42/903133442.db2.gz JXYSYPYBLOHICI-OAHLLOKOSA-N 1 2 321.465 1.389 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001266221578 903137909 /nfs/dbraw/zinc/13/79/09/903137909.db2.gz DIVFXRQLGJNMQV-CQSZACIVSA-N 1 2 318.421 1.922 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001266221578 903137917 /nfs/dbraw/zinc/13/79/17/903137917.db2.gz DIVFXRQLGJNMQV-CQSZACIVSA-N 1 2 318.421 1.922 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001280411714 903647197 /nfs/dbraw/zinc/64/71/97/903647197.db2.gz CTFHDLKRPMIVES-OAHLLOKOSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001280411714 903647211 /nfs/dbraw/zinc/64/72/11/903647211.db2.gz CTFHDLKRPMIVES-OAHLLOKOSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(OC)ccn1 ZINC001280413375 903648452 /nfs/dbraw/zinc/64/84/52/903648452.db2.gz ZUGFWURFKPTMAY-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(OC)ccn1 ZINC001280413375 903648457 /nfs/dbraw/zinc/64/84/57/903648457.db2.gz ZUGFWURFKPTMAY-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001280503378 903742244 /nfs/dbraw/zinc/74/22/44/903742244.db2.gz HFROZMMXNDBZBT-GFCCVEGCSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001280503378 903742253 /nfs/dbraw/zinc/74/22/53/903742253.db2.gz HFROZMMXNDBZBT-GFCCVEGCSA-N 1 2 306.410 1.518 20 30 DDEDLO C=C1CCC(C(=O)N(C)[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001280529493 903768786 /nfs/dbraw/zinc/76/87/86/903768786.db2.gz IJSQFGIEQQKBNM-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)(C)CC(C)C)C2)CC1 ZINC001280691966 903928836 /nfs/dbraw/zinc/92/88/36/903928836.db2.gz MQYJURILRFWBJP-KRWDZBQOSA-N 1 2 319.493 1.910 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001280767355 904008378 /nfs/dbraw/zinc/00/83/78/904008378.db2.gz JVVKDCJQTAHCEZ-JOCQHMNTSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H](C)c1cccnc1)O2 ZINC001280931396 904205679 /nfs/dbraw/zinc/20/56/79/904205679.db2.gz MWDDDWHLHUSTHN-GDBMZVCRSA-N 1 2 315.417 1.721 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001281214220 904572633 /nfs/dbraw/zinc/57/26/33/904572633.db2.gz HFVAPEKIXWGGLQ-KGLIPLIRSA-N 1 2 318.421 1.591 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001281234079 904598320 /nfs/dbraw/zinc/59/83/20/904598320.db2.gz NRMMAOMQDRWEJW-HUUCEWRRSA-N 1 2 318.421 1.641 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC1(C)CC1 ZINC001316610157 904712407 /nfs/dbraw/zinc/71/24/07/904712407.db2.gz ZYXAJMSHOPIFBN-LSDHHAIUSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCC1(C)CC1 ZINC001316610157 904712416 /nfs/dbraw/zinc/71/24/16/904712416.db2.gz ZYXAJMSHOPIFBN-LSDHHAIUSA-N 1 2 319.449 1.237 20 30 DDEDLO C=CCCC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCS1(=O)=O ZINC001316610248 904734242 /nfs/dbraw/zinc/73/42/42/904734242.db2.gz QVNYSZZQZJYMDS-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCS1(=O)=O ZINC001316610248 904734249 /nfs/dbraw/zinc/73/42/49/904734249.db2.gz QVNYSZZQZJYMDS-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC=C(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001281382578 904764410 /nfs/dbraw/zinc/76/44/10/904764410.db2.gz OHKMXEDNDLQARZ-UHFFFAOYSA-N 1 2 316.405 1.370 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cc(OC)n(C)n1 ZINC001392678050 904857355 /nfs/dbraw/zinc/85/73/55/904857355.db2.gz QZJUHEVWNKERRF-JTQLQIEISA-N 1 2 300.790 1.231 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cc(OC)n(C)n1 ZINC001392678050 904857373 /nfs/dbraw/zinc/85/73/73/904857373.db2.gz QZJUHEVWNKERRF-JTQLQIEISA-N 1 2 300.790 1.231 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001281766193 905207021 /nfs/dbraw/zinc/20/70/21/905207021.db2.gz VCRDLFPDPOPWDR-ZIAGYGMSSA-N 1 2 304.394 1.203 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)Cc2ccc[nH]2)s1 ZINC001392875915 905552227 /nfs/dbraw/zinc/55/22/27/905552227.db2.gz YILZYQTZDFWUMD-ZDUSSCGKSA-N 1 2 314.414 1.881 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)Cc2ccc[nH]2)s1 ZINC001392875915 905552248 /nfs/dbraw/zinc/55/22/48/905552248.db2.gz YILZYQTZDFWUMD-ZDUSSCGKSA-N 1 2 314.414 1.881 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1CC ZINC001282274099 905655683 /nfs/dbraw/zinc/65/56/83/905655683.db2.gz OPXKGGJGQBTIBQ-LSDHHAIUSA-N 1 2 318.421 1.804 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@H+](C)Cc1nc2ccc(F)cc2o1 ZINC001371936878 906043908 /nfs/dbraw/zinc/04/39/08/906043908.db2.gz XZTDSVTYKLXKSC-SNVBAGLBSA-N 1 2 304.325 1.675 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@@H+](C)Cc1nc2ccc(F)cc2o1 ZINC001371936878 906043924 /nfs/dbraw/zinc/04/39/24/906043924.db2.gz XZTDSVTYKLXKSC-SNVBAGLBSA-N 1 2 304.325 1.675 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3cnn(CC)n3)C[C@H]21 ZINC001282877951 906142248 /nfs/dbraw/zinc/14/22/48/906142248.db2.gz QVCMAYZBTHFLQP-QKDCVEJESA-N 1 2 317.437 1.304 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3cnn(CC)n3)C[C@H]21 ZINC001282877951 906142255 /nfs/dbraw/zinc/14/22/55/906142255.db2.gz QVCMAYZBTHFLQP-QKDCVEJESA-N 1 2 317.437 1.304 20 30 DDEDLO C#CCCCCC(=O)NC1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001283428611 907344163 /nfs/dbraw/zinc/34/41/63/907344163.db2.gz HQYGNIDBFUQOKX-UHFFFAOYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001283468536 907402737 /nfs/dbraw/zinc/40/27/37/907402737.db2.gz IKHBEQRTLRWSOQ-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO CCC[N@H+](CCNC(=O)[C@H](C)C#N)Cc1csnc1OC ZINC001372683890 907964291 /nfs/dbraw/zinc/96/42/91/907964291.db2.gz KQSSQDKIOIQTKQ-LLVKDONJSA-N 1 2 310.423 1.640 20 30 DDEDLO CCC[N@@H+](CCNC(=O)[C@H](C)C#N)Cc1csnc1OC ZINC001372683890 907964299 /nfs/dbraw/zinc/96/42/99/907964299.db2.gz KQSSQDKIOIQTKQ-LLVKDONJSA-N 1 2 310.423 1.640 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](CO)[NH2+][C@H](C)c1ncc(C)o1 ZINC001283791947 907967059 /nfs/dbraw/zinc/96/70/59/907967059.db2.gz INKGCJJOXMHEJU-OLZOCXBDSA-N 1 2 309.410 1.713 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001284099637 908473443 /nfs/dbraw/zinc/47/34/43/908473443.db2.gz CAXJXWCIUZFSAK-UZGDPCLZSA-N 1 2 318.421 1.482 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)[C@@H]1C ZINC001284130986 908527187 /nfs/dbraw/zinc/52/71/87/908527187.db2.gz YBPSSZTWSLOKJO-LSDHHAIUSA-N 1 2 319.449 1.307 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)[C@@H]1C ZINC001284130986 908527196 /nfs/dbraw/zinc/52/71/96/908527196.db2.gz YBPSSZTWSLOKJO-LSDHHAIUSA-N 1 2 319.449 1.307 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001284250659 908729383 /nfs/dbraw/zinc/72/93/83/908729383.db2.gz NBCMFJJIXOQMQE-CHWSQXEVSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001284250659 908729390 /nfs/dbraw/zinc/72/93/90/908729390.db2.gz NBCMFJJIXOQMQE-CHWSQXEVSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001284305126 908801036 /nfs/dbraw/zinc/80/10/36/908801036.db2.gz YCXBJOYSVBBDMK-ZIAGYGMSSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](O)C[NH2+]Cc1cnsn1 ZINC001284438247 909004105 /nfs/dbraw/zinc/00/41/05/909004105.db2.gz HGBFGKDRUGFVKO-GFCCVEGCSA-N 1 2 312.439 1.049 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)CNC(=O)C(C)(C)C)C1 ZINC001394257461 909203161 /nfs/dbraw/zinc/20/31/61/909203161.db2.gz XXELXWUSNZURPW-LLVKDONJSA-N 1 2 315.845 1.338 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](CC)NC(=O)Cc1c[nH+]cn1C ZINC001285082468 910044590 /nfs/dbraw/zinc/04/45/90/910044590.db2.gz KNSVXLDCJFNBNP-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001285163110 910129974 /nfs/dbraw/zinc/12/99/74/910129974.db2.gz MDHOHVCTNOKCAV-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@@H]23)n1 ZINC001394669867 910220975 /nfs/dbraw/zinc/22/09/75/910220975.db2.gz AHNVGXSJINDQIR-HWWQOWPSSA-N 1 2 317.393 1.405 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@@H]23)n1 ZINC001394669867 910220995 /nfs/dbraw/zinc/22/09/95/910220995.db2.gz AHNVGXSJINDQIR-HWWQOWPSSA-N 1 2 317.393 1.405 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001285232141 910251151 /nfs/dbraw/zinc/25/11/51/910251151.db2.gz KOTYHIJAKQWBMQ-GFCCVEGCSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001285232141 910251172 /nfs/dbraw/zinc/25/11/72/910251172.db2.gz KOTYHIJAKQWBMQ-GFCCVEGCSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CN(C)C(C)=O)[C@@H]1C ZINC001394685070 910273674 /nfs/dbraw/zinc/27/36/74/910273674.db2.gz BVDYSVQCDJAFNQ-WCQYABFASA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CN(C)C(C)=O)[C@@H]1C ZINC001394685070 910273688 /nfs/dbraw/zinc/27/36/88/910273688.db2.gz BVDYSVQCDJAFNQ-WCQYABFASA-N 1 2 301.818 1.186 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](NC(=O)Cn1cc[nH+]c1)C(C)C ZINC001285345682 910387458 /nfs/dbraw/zinc/38/74/58/910387458.db2.gz UFUNJURHFSZIJR-RHSMWYFYSA-N 1 2 320.437 1.742 20 30 DDEDLO CN(CCN(C)C(=O)C(C)(C)c1c[nH+]c[nH]1)C(=O)C#CC1CC1 ZINC001285366257 910425735 /nfs/dbraw/zinc/42/57/35/910425735.db2.gz PTXRZNDBDHEJLF-UHFFFAOYSA-N 1 2 316.405 1.018 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001285682322 911030483 /nfs/dbraw/zinc/03/04/83/911030483.db2.gz KIEPMRFGELQLHL-ZIAGYGMSSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001285867811 911336333 /nfs/dbraw/zinc/33/63/33/911336333.db2.gz ZIVTUKFEGPMOSX-ZDUSSCGKSA-N 1 2 304.394 1.334 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]1CNC(=O)Cc1c[nH+]cn1C ZINC001285882056 911360554 /nfs/dbraw/zinc/36/05/54/911360554.db2.gz PTJVMOQBIAZVLX-ZDUSSCGKSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001286130569 911732295 /nfs/dbraw/zinc/73/22/95/911732295.db2.gz SAWLVGOYDDPXGD-MRXNPFEDSA-N 1 2 304.394 1.204 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@@H](OC)[C@H](C)CC)CC1 ZINC001286473600 911991121 /nfs/dbraw/zinc/99/11/21/911991121.db2.gz UQPQTDJWYFSZBE-GDBMZVCRSA-N 1 2 319.453 1.403 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001294771709 915355872 /nfs/dbraw/zinc/35/58/72/915355872.db2.gz PJQSQXHYXWSJJW-ZDUSSCGKSA-N 1 2 312.373 1.860 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CO[C@H]2CCOC2)C1 ZINC001376059560 917582606 /nfs/dbraw/zinc/58/26/06/917582606.db2.gz YUDSYFSHKSOELI-STQMWFEESA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CO[C@H]2CCOC2)C1 ZINC001376059560 917582619 /nfs/dbraw/zinc/58/26/19/917582619.db2.gz YUDSYFSHKSOELI-STQMWFEESA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)CCc2c(C)nn(C)c2C)C1 ZINC001377987385 923602009 /nfs/dbraw/zinc/60/20/09/923602009.db2.gz SZRPVGGTRMLQSM-UHFFFAOYSA-N 1 2 324.856 1.770 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CCC(c2[nH+]cc3n2CCCC3)CC1 ZINC000614189431 361743408 /nfs/dbraw/zinc/74/34/08/361743408.db2.gz QEMSGOLBYOTSQQ-KRWDZBQOSA-N 1 2 303.406 1.862 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)N2CC[NH+](Cc3ccncc3)CC2)c1 ZINC000614293703 361792881 /nfs/dbraw/zinc/79/28/81/361792881.db2.gz VMSCHLMIJSTQTQ-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCC2)CCN1CC(F)F ZINC000346988148 529385302 /nfs/dbraw/zinc/38/53/02/529385302.db2.gz RJEMMYQEWAYFGX-GFCCVEGCSA-N 1 2 314.380 1.210 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)CCN1CC(F)F ZINC000346988148 529385304 /nfs/dbraw/zinc/38/53/04/529385304.db2.gz RJEMMYQEWAYFGX-GFCCVEGCSA-N 1 2 314.380 1.210 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2nc(Cl)c(C#N)s2)CC1 ZINC000278713689 214346141 /nfs/dbraw/zinc/34/61/41/214346141.db2.gz ODAFHLCHURRUDV-UHFFFAOYSA-N 1 2 313.814 1.244 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CC[C@H](O)[C@@H](C)C2)c(C#N)c1C ZINC000249580493 282176123 /nfs/dbraw/zinc/17/61/23/282176123.db2.gz QVGKKRBMSAQGRE-CHIMOYNISA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CC[C@H](O)[C@@H](C)C2)c(C#N)c1C ZINC000249580493 282176124 /nfs/dbraw/zinc/17/61/24/282176124.db2.gz QVGKKRBMSAQGRE-CHIMOYNISA-N 1 2 305.378 1.798 20 30 DDEDLO CCc1cc2c(ncn(C[C@H](O)C[N@H+](C)CCC#N)c2=O)s1 ZINC000414115137 529570107 /nfs/dbraw/zinc/57/01/07/529570107.db2.gz UQVPXMFEMSILQG-LLVKDONJSA-N 1 2 320.418 1.227 20 30 DDEDLO CCc1cc2c(ncn(C[C@H](O)C[N@@H+](C)CCC#N)c2=O)s1 ZINC000414115137 529570112 /nfs/dbraw/zinc/57/01/12/529570112.db2.gz UQVPXMFEMSILQG-LLVKDONJSA-N 1 2 320.418 1.227 20 30 DDEDLO COC(=O)[C@H](Cc1ccc(F)cc1)[NH2+]C1CCN(CC#N)CC1 ZINC000615117751 362160073 /nfs/dbraw/zinc/16/00/73/362160073.db2.gz VULDLMKLEVHQAB-INIZCTEOSA-N 1 2 319.380 1.487 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)Cc2ccc3c(c2)OCCO3)C1 ZINC000329420185 539304289 /nfs/dbraw/zinc/30/42/89/539304289.db2.gz FEGDVNZYOFNYLM-AWEZNQCLSA-N 1 2 319.405 1.203 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)Cc2ccc3c(c2)OCCO3)C1 ZINC000329420185 539304290 /nfs/dbraw/zinc/30/42/90/539304290.db2.gz FEGDVNZYOFNYLM-AWEZNQCLSA-N 1 2 319.405 1.203 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@@H](NC(=O)NCCC2(O)CCC2)CCO1 ZINC000329527010 539305224 /nfs/dbraw/zinc/30/52/24/539305224.db2.gz UASOLRDEUPBSNR-JSGCOSHPSA-N 1 2 322.409 1.449 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2C[C@@H]3CC[C@H](O)[C@H]3C2)c[nH+]1 ZINC000329751048 529778849 /nfs/dbraw/zinc/77/88/49/529778849.db2.gz INWZGBIQHJTVND-IHRRRGAJSA-N 1 2 304.394 1.264 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1F)CCC2=O ZINC000414126740 529868246 /nfs/dbraw/zinc/86/82/46/529868246.db2.gz PBRNYWZRJIUNDV-LLVKDONJSA-N 1 2 306.337 1.540 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1F)CCC2=O ZINC000414126740 529868247 /nfs/dbraw/zinc/86/82/47/529868247.db2.gz PBRNYWZRJIUNDV-LLVKDONJSA-N 1 2 306.337 1.540 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)cc1O ZINC000615423277 362282663 /nfs/dbraw/zinc/28/26/63/362282663.db2.gz IPAYZBUUZRUEOG-HNNXBMFYSA-N 1 2 315.373 1.201 20 30 DDEDLO CN1C(=O)CC[C@H]1C(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC000329941238 530042762 /nfs/dbraw/zinc/04/27/62/530042762.db2.gz CAGARJXHIMTXML-HNNXBMFYSA-N 1 2 317.389 1.728 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(c2cccnn2)CC1 ZINC000158032767 290736732 /nfs/dbraw/zinc/73/67/32/290736732.db2.gz HPHIEXCCJIEABX-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=CCCn1cc(C[NH2+]C[C@@H](n2cccn2)C(F)(F)F)nn1 ZINC000623594980 365950282 /nfs/dbraw/zinc/95/02/82/365950282.db2.gz RJBXINPRINAXQR-GFCCVEGCSA-N 1 2 314.315 1.944 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2C[C@@H](O)C(C)(C)C2)c(C#N)c1C ZINC000279971292 215280074 /nfs/dbraw/zinc/28/00/74/215280074.db2.gz YWWYURALYDUIMV-GFCCVEGCSA-N 1 2 307.419 1.878 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2C[C@@H](O)C(C)(C)C2)c(C#N)c1C ZINC000279971292 215280076 /nfs/dbraw/zinc/28/00/76/215280076.db2.gz YWWYURALYDUIMV-GFCCVEGCSA-N 1 2 307.419 1.878 20 30 DDEDLO C[C@@H](NC(=O)COc1ccc(C#N)cc1)[C@@H](C)[NH+]1CCOCC1 ZINC000264248932 186326943 /nfs/dbraw/zinc/32/69/43/186326943.db2.gz KPXPXUQYRGKTFG-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc3ccccc3n2)CC1 ZINC000266860668 186362841 /nfs/dbraw/zinc/36/28/41/186362841.db2.gz JOVWZSREHARCCL-OAHLLOKOSA-N 1 2 322.412 1.495 20 30 DDEDLO C=CC[N@H+](Cc1ccc2c(c1)CCO2)[C@H]1CCS(=O)(=O)C1 ZINC000171937811 198011590 /nfs/dbraw/zinc/01/15/90/198011590.db2.gz YEEOICUDNHVKJY-HNNXBMFYSA-N 1 2 307.415 1.797 20 30 DDEDLO C=CC[N@@H+](Cc1ccc2c(c1)CCO2)[C@H]1CCS(=O)(=O)C1 ZINC000171937811 198011592 /nfs/dbraw/zinc/01/15/92/198011592.db2.gz YEEOICUDNHVKJY-HNNXBMFYSA-N 1 2 307.415 1.797 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N[C@H]1CCO[C@@H](c2c[nH+]cn2C)C1 ZINC000331756076 234085775 /nfs/dbraw/zinc/08/57/75/234085775.db2.gz CEBFLZJEXYUGLE-XHDPSFHLSA-N 1 2 314.345 1.843 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000064443095 352937150 /nfs/dbraw/zinc/93/71/50/352937150.db2.gz GFKXLIYSPNGBMY-UHFFFAOYSA-N 1 2 301.346 1.684 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)NCc1ccc(OCC#N)cc1 ZINC000069315104 353156231 /nfs/dbraw/zinc/15/62/31/353156231.db2.gz JEWYSSYGQMMILM-CYBMUJFWSA-N 1 2 313.361 1.673 20 30 DDEDLO Cc1nc(C(=O)N2C[C@H]([NH+]3CCOCC3)[C@@H](C)C2)ccc1C#N ZINC000173830298 198258909 /nfs/dbraw/zinc/25/89/09/198258909.db2.gz SHSFQSVRTZJTOC-LRDDRELGSA-N 1 2 314.389 1.054 20 30 DDEDLO CC(C)(CNc1ncc([N+](=O)[O-])cc1C#N)[NH+]1CCOCC1 ZINC000070790376 353183317 /nfs/dbraw/zinc/18/33/17/353183317.db2.gz UBLWKCSBDIXRNX-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000073647694 353264192 /nfs/dbraw/zinc/26/41/92/353264192.db2.gz QOKDSVXOTYITQE-KGLIPLIRSA-N 1 2 315.421 1.378 20 30 DDEDLO CCN(CCC#N)CC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000081515060 353680188 /nfs/dbraw/zinc/68/01/88/353680188.db2.gz ZIZURXQXIWFRMF-UHFFFAOYSA-N 1 2 304.394 1.159 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[NH+]1CCC(O)(C(F)(F)F)CC1 ZINC000089970522 353786545 /nfs/dbraw/zinc/78/65/45/353786545.db2.gz OPFIBOCYQRSFRW-LBPRGKRZSA-N 1 2 321.343 1.430 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)N(C)Cc1[nH+]ccn1C ZINC000601292803 358481029 /nfs/dbraw/zinc/48/10/29/358481029.db2.gz TVXNNZYUNSIPCY-UHFFFAOYSA-N 1 2 322.365 1.560 20 30 DDEDLO C[C@H](C#N)CNC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000182331502 354271508 /nfs/dbraw/zinc/27/15/08/354271508.db2.gz PEXREEOEERYWHO-CHWSQXEVSA-N 1 2 322.434 1.580 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C(N)=O)c(F)c3)C[C@H]21 ZINC000329944186 283035892 /nfs/dbraw/zinc/03/58/92/283035892.db2.gz WOCQXKGQZOQJKS-OLZOCXBDSA-N 1 2 322.340 1.269 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C(N)=O)c(F)c3)C[C@H]21 ZINC000329944186 283035897 /nfs/dbraw/zinc/03/58/97/283035897.db2.gz WOCQXKGQZOQJKS-OLZOCXBDSA-N 1 2 322.340 1.269 20 30 DDEDLO Cc1c(C[N@@H+](C)[C@@H](C)C(=O)NC2(C#N)CCCCC2)cnn1C ZINC000578432754 354708243 /nfs/dbraw/zinc/70/82/43/354708243.db2.gz MUFHBMAQYDSRFD-AWEZNQCLSA-N 1 2 317.437 1.892 20 30 DDEDLO Cc1c(C[N@H+](C)[C@@H](C)C(=O)NC2(C#N)CCCCC2)cnn1C ZINC000578432754 354708249 /nfs/dbraw/zinc/70/82/49/354708249.db2.gz MUFHBMAQYDSRFD-AWEZNQCLSA-N 1 2 317.437 1.892 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000579243169 354717524 /nfs/dbraw/zinc/71/75/24/354717524.db2.gz ABVUUTIRZIJWCH-CZUORRHYSA-N 1 2 312.377 1.195 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(CC#N)cc1 ZINC000579831225 354724137 /nfs/dbraw/zinc/72/41/37/354724137.db2.gz NMNVSHTWRUEFHN-AWEZNQCLSA-N 1 2 316.405 1.273 20 30 DDEDLO C[C@H](NC(=O)c1cc(O)cc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000589179971 354968751 /nfs/dbraw/zinc/96/87/51/354968751.db2.gz STMOWEPYEYRWEU-RYUDHWBXSA-N 1 2 303.362 1.103 20 30 DDEDLO CCc1nn(C)cc1C[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000590053215 355044519 /nfs/dbraw/zinc/04/45/19/355044519.db2.gz AHVJAJILNQLZEV-UHFFFAOYSA-N 1 2 310.405 1.571 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnc2ccc(F)cc2c1 ZINC000589827860 355022377 /nfs/dbraw/zinc/02/23/77/355022377.db2.gz TVAXCXOUTYLCFR-INIZCTEOSA-N 1 2 300.337 1.948 20 30 DDEDLO CSCCCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000590587827 355121650 /nfs/dbraw/zinc/12/16/50/355121650.db2.gz GMRUKQNJKDYECV-UHFFFAOYSA-N 1 2 307.419 1.305 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[NH+]1CCC(OCCCO)CC1 ZINC000590953041 355222136 /nfs/dbraw/zinc/22/21/36/355222136.db2.gz CKNJEBLVEZSNQR-UHFFFAOYSA-N 1 2 319.361 1.830 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)OCCCO2 ZINC000591241730 355270641 /nfs/dbraw/zinc/27/06/41/355270641.db2.gz VBMMTBIXXUQUMD-CYBMUJFWSA-N 1 2 306.362 1.433 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)OCCCO2 ZINC000591241730 355270643 /nfs/dbraw/zinc/27/06/43/355270643.db2.gz VBMMTBIXXUQUMD-CYBMUJFWSA-N 1 2 306.362 1.433 20 30 DDEDLO Cc1ccn2cc(CNC(=O)N3CC[C@](O)(CC#N)C3)[nH+]c2c1 ZINC000591926490 355436937 /nfs/dbraw/zinc/43/69/37/355436937.db2.gz YZTSQALJLHNWDT-MRXNPFEDSA-N 1 2 313.361 1.203 20 30 DDEDLO Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149715 355516727 /nfs/dbraw/zinc/51/67/27/355516727.db2.gz VCCBGVZCCXJMMH-HNNXBMFYSA-N 1 2 307.781 1.937 20 30 DDEDLO Cc1ccc(Cl)cc1NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149715 355516730 /nfs/dbraw/zinc/51/67/30/355516730.db2.gz VCCBGVZCCXJMMH-HNNXBMFYSA-N 1 2 307.781 1.937 20 30 DDEDLO C=CCN(CC=C)C(=O)Cn1c2ccccc2[nH+]c1NCCO ZINC000005185517 181214668 /nfs/dbraw/zinc/21/46/68/181214668.db2.gz ZJCUIPBPLSMWGN-UHFFFAOYSA-N 1 2 314.389 1.641 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCSC[C@@H](C(=O)OC)C2)nn1 ZINC000594013079 356087218 /nfs/dbraw/zinc/08/72/18/356087218.db2.gz REJBEVCPHPPSSR-LBPRGKRZSA-N 1 2 310.423 1.192 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCSC[C@@H](C(=O)OC)C2)nn1 ZINC000594013079 356087219 /nfs/dbraw/zinc/08/72/19/356087219.db2.gz REJBEVCPHPPSSR-LBPRGKRZSA-N 1 2 310.423 1.192 20 30 DDEDLO C[C@H](O)C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C1CC1 ZINC000594065132 356103773 /nfs/dbraw/zinc/10/37/73/356103773.db2.gz OSHCWFSIYUMTJG-LBPRGKRZSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@H](O)C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C1CC1 ZINC000594065132 356103777 /nfs/dbraw/zinc/10/37/77/356103777.db2.gz OSHCWFSIYUMTJG-LBPRGKRZSA-N 1 2 308.403 1.177 20 30 DDEDLO CC(C)(C)n1nnc(CNCc2c[nH+]c3ccc(C#N)cn23)n1 ZINC000594516955 356241560 /nfs/dbraw/zinc/24/15/60/356241560.db2.gz ZKYXHYSUSJKHSI-UHFFFAOYSA-N 1 2 310.365 1.237 20 30 DDEDLO CO[C@H](C[N@H+](CCC#N)CCN1CCOCC1)C1CCCC1 ZINC000595334490 356452823 /nfs/dbraw/zinc/45/28/23/356452823.db2.gz RCKNACRNLMMNOD-QGZVFWFLSA-N 1 2 309.454 1.739 20 30 DDEDLO CO[C@H](C[N@@H+](CCC#N)CCN1CCOCC1)C1CCCC1 ZINC000595334490 356452827 /nfs/dbraw/zinc/45/28/27/356452827.db2.gz RCKNACRNLMMNOD-QGZVFWFLSA-N 1 2 309.454 1.739 20 30 DDEDLO CO[C@H](CN(CCC#N)CC[NH+]1CCOCC1)C1CCCC1 ZINC000595334490 356452831 /nfs/dbraw/zinc/45/28/31/356452831.db2.gz RCKNACRNLMMNOD-QGZVFWFLSA-N 1 2 309.454 1.739 20 30 DDEDLO CN(C[C@@H](O)C[NH+]1CCOCC1)[C@@H](C#N)c1ccc(Cl)cc1 ZINC000595344134 356457415 /nfs/dbraw/zinc/45/74/15/356457415.db2.gz MHLZYEMNDDHIKU-CVEARBPZSA-N 1 2 323.824 1.530 20 30 DDEDLO COC(=O)CC[C@@H](C(=O)OC)[N@H+](C)Cc1ccc(C#N)cc1 ZINC000595286627 356432762 /nfs/dbraw/zinc/43/27/62/356432762.db2.gz DZBPTWYCRLARFN-AWEZNQCLSA-N 1 2 304.346 1.485 20 30 DDEDLO COC(=O)CC[C@@H](C(=O)OC)[N@@H+](C)Cc1ccc(C#N)cc1 ZINC000595286627 356432763 /nfs/dbraw/zinc/43/27/63/356432763.db2.gz DZBPTWYCRLARFN-AWEZNQCLSA-N 1 2 304.346 1.485 20 30 DDEDLO C[C@@H](C#N)N(CC(=O)N1CC[NH+](Cc2ccco2)CC1)C1CC1 ZINC000595712294 356618891 /nfs/dbraw/zinc/61/88/91/356618891.db2.gz JJJSRUSOTIMTAQ-AWEZNQCLSA-N 1 2 316.405 1.300 20 30 DDEDLO CO[C@H](C)CNC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000595771098 356645649 /nfs/dbraw/zinc/64/56/49/356645649.db2.gz ZTVQHGNWTOZHNV-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC3(COC3)C2)cc1 ZINC000595832625 356669493 /nfs/dbraw/zinc/66/94/93/356669493.db2.gz GHZUAWWWHPSUFR-UHFFFAOYSA-N 1 2 306.387 1.054 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC3(COC3)C2)cc1 ZINC000595832625 356669494 /nfs/dbraw/zinc/66/94/94/356669494.db2.gz GHZUAWWWHPSUFR-UHFFFAOYSA-N 1 2 306.387 1.054 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(c2nc(C#N)c(Cl)s2)CC1 ZINC000596115203 356777874 /nfs/dbraw/zinc/77/78/74/356777874.db2.gz MENCLNHBQDBNIE-UHFFFAOYSA-N 1 2 322.825 1.724 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000596591147 356927452 /nfs/dbraw/zinc/92/74/52/356927452.db2.gz HAPPCNJJQOTAJF-OCCSQVGLSA-N 1 2 316.405 1.865 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000596591147 356927456 /nfs/dbraw/zinc/92/74/56/356927456.db2.gz HAPPCNJJQOTAJF-OCCSQVGLSA-N 1 2 316.405 1.865 20 30 DDEDLO C[C@@H](CC#N)C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000597582477 357237736 /nfs/dbraw/zinc/23/77/36/357237736.db2.gz QOCWOWXIWVHHNA-NSHDSACASA-N 1 2 300.362 1.018 20 30 DDEDLO C[C@@H](CC#N)C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000597582477 357237738 /nfs/dbraw/zinc/23/77/38/357237738.db2.gz QOCWOWXIWVHHNA-NSHDSACASA-N 1 2 300.362 1.018 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@H]1CCc2[nH+]c[nH]c2C1)C(=O)OCC ZINC000597733939 357309485 /nfs/dbraw/zinc/30/94/85/357309485.db2.gz YHVQWEVOJLVESK-WCQYABFASA-N 1 2 305.378 1.529 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@H]1CCc2[nH]c[nH+]c2C1)C(=O)OCC ZINC000597733939 357309489 /nfs/dbraw/zinc/30/94/89/357309489.db2.gz YHVQWEVOJLVESK-WCQYABFASA-N 1 2 305.378 1.529 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000598342007 357544748 /nfs/dbraw/zinc/54/47/48/357544748.db2.gz BCQBXYICMYTIKS-UHFFFAOYSA-N 1 2 316.405 1.379 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCc2c(O)cccc2C1 ZINC000599645848 358005417 /nfs/dbraw/zinc/00/54/17/358005417.db2.gz GMPSQZFAZGNBSS-UHFFFAOYSA-N 1 2 312.373 1.406 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCc2c(O)cccc2C1 ZINC000599645848 358005420 /nfs/dbraw/zinc/00/54/20/358005420.db2.gz GMPSQZFAZGNBSS-UHFFFAOYSA-N 1 2 312.373 1.406 20 30 DDEDLO CCC(C#N)(CC)C(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000600109303 358125808 /nfs/dbraw/zinc/12/58/08/358125808.db2.gz IZPBARBSAOCKJW-UHFFFAOYSA-N 1 2 307.438 1.887 20 30 DDEDLO CN(CC[NH+]1CCOCC1)c1ncc(C#N)c2ccsc21 ZINC000601111490 358406079 /nfs/dbraw/zinc/40/60/79/358406079.db2.gz UGIISEHOJFCBNP-UHFFFAOYSA-N 1 2 302.403 1.936 20 30 DDEDLO C[C@H](C#N)C(=O)NCc1ccc(C[NH+]2CCS(=O)CC2)cc1 ZINC000601642281 358634517 /nfs/dbraw/zinc/63/45/17/358634517.db2.gz QHTXANQZWCRWCX-CYBMUJFWSA-N 1 2 319.430 1.027 20 30 DDEDLO N#CCc1cccc(S(=O)(=O)N[C@@H]2CCn3c[nH+]cc3C2)c1 ZINC000601967330 358757788 /nfs/dbraw/zinc/75/77/88/358757788.db2.gz HKXNSCACDOELKL-CYBMUJFWSA-N 1 2 316.386 1.242 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCO[C@H](C(F)F)CC1 ZINC000601975622 358761985 /nfs/dbraw/zinc/76/19/85/358761985.db2.gz LTNIOFWOEIXQDX-FZMZJTMJSA-N 1 2 303.353 1.397 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCO[C@H](C(F)F)CC1 ZINC000601975622 358761986 /nfs/dbraw/zinc/76/19/86/358761986.db2.gz LTNIOFWOEIXQDX-FZMZJTMJSA-N 1 2 303.353 1.397 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)c1C ZINC000602442722 358979271 /nfs/dbraw/zinc/97/92/71/358979271.db2.gz WNVYSBMFJFFCGQ-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO N#Cc1cccc(CN2CC[NH+](Cc3cccc(O)c3)CC2)n1 ZINC000602459520 358991368 /nfs/dbraw/zinc/99/13/68/358991368.db2.gz RUPHORJAAILIRX-UHFFFAOYSA-N 1 2 308.385 1.977 20 30 DDEDLO Cc1nsc(N2CCC[N@H+](Cc3cccc(C#N)n3)CC2)n1 ZINC000602486121 359010788 /nfs/dbraw/zinc/01/07/88/359010788.db2.gz PFLTTXCPORSFRE-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO Cc1nsc(N2CCC[N@@H+](Cc3cccc(C#N)n3)CC2)n1 ZINC000602486121 359010793 /nfs/dbraw/zinc/01/07/93/359010793.db2.gz PFLTTXCPORSFRE-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO CS(=O)(=O)CC[C@@H]1CCCC[N@@H+]1Cc1cccc(C#N)n1 ZINC000602670174 359115272 /nfs/dbraw/zinc/11/52/72/359115272.db2.gz BQVIWGOVUAWJJS-HNNXBMFYSA-N 1 2 307.419 1.742 20 30 DDEDLO CS(=O)(=O)CC[C@@H]1CCCC[N@H+]1Cc1cccc(C#N)n1 ZINC000602670174 359115275 /nfs/dbraw/zinc/11/52/75/359115275.db2.gz BQVIWGOVUAWJJS-HNNXBMFYSA-N 1 2 307.419 1.742 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2ccc(F)cc2)C[C@H](C)N1CC#N ZINC000602861149 359246402 /nfs/dbraw/zinc/24/64/02/359246402.db2.gz KTKOAMHENYPJJK-OKILXGFUSA-N 1 2 318.396 1.360 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2ccc(F)cc2)C[C@H](C)N1CC#N ZINC000602861149 359246405 /nfs/dbraw/zinc/24/64/05/359246405.db2.gz KTKOAMHENYPJJK-OKILXGFUSA-N 1 2 318.396 1.360 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC(C2CC2)C2CC2)C[C@H](C)N1CC#N ZINC000602861901 359247452 /nfs/dbraw/zinc/24/74/52/359247452.db2.gz MPDABYQAMOGSGN-BETUJISGSA-N 1 2 304.438 1.209 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC(C2CC2)C2CC2)C[C@H](C)N1CC#N ZINC000602861901 359247453 /nfs/dbraw/zinc/24/74/53/359247453.db2.gz MPDABYQAMOGSGN-BETUJISGSA-N 1 2 304.438 1.209 20 30 DDEDLO COc1ccccc1NC(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602867647 359251389 /nfs/dbraw/zinc/25/13/89/359251389.db2.gz ZVONWNTVNKSTCY-OKILXGFUSA-N 1 2 316.405 1.552 20 30 DDEDLO COc1ccccc1NC(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602867647 359251396 /nfs/dbraw/zinc/25/13/96/359251396.db2.gz ZVONWNTVNKSTCY-OKILXGFUSA-N 1 2 316.405 1.552 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)CC1(C)C ZINC000603376642 359602116 /nfs/dbraw/zinc/60/21/16/359602116.db2.gz WCRQNXXTIPTRHX-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)CC1(C)C ZINC000603376642 359602120 /nfs/dbraw/zinc/60/21/20/359602120.db2.gz WCRQNXXTIPTRHX-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO CC(C)(NS(=O)(=O)CCn1cc[nH+]c1)c1cccc(C#N)c1 ZINC000603379730 359604132 /nfs/dbraw/zinc/60/41/32/359604132.db2.gz KWIURWWOLPHQGA-UHFFFAOYSA-N 1 2 318.402 1.609 20 30 DDEDLO C=CCC[C@@H](C(=O)NC[C@H]1C[NH+]2CCN1CC2)c1ccccc1 ZINC000188742707 200298332 /nfs/dbraw/zinc/29/83/32/200298332.db2.gz UWSRJUASRMTRGL-ZWKOTPCHSA-N 1 2 313.445 1.852 20 30 DDEDLO N#CCNC(=O)C[N@H+]1C[C@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000609417594 360306648 /nfs/dbraw/zinc/30/66/48/360306648.db2.gz AOGVDPLCCHZJFX-OCAPTIKFSA-N 1 2 317.233 1.689 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1C[C@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000609417594 360306651 /nfs/dbraw/zinc/30/66/51/360306651.db2.gz AOGVDPLCCHZJFX-OCAPTIKFSA-N 1 2 317.233 1.689 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609484874 360312968 /nfs/dbraw/zinc/31/29/68/360312968.db2.gz GMAIJGOXOZKATO-MRXNPFEDSA-N 1 2 306.454 1.577 20 30 DDEDLO Cc1ncoc1C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000329987585 223058560 /nfs/dbraw/zinc/05/85/60/223058560.db2.gz GJAAFGOQPSVCBD-UHFFFAOYSA-N 1 2 302.334 1.719 20 30 DDEDLO Cc1nc(CC2CC2)oc1C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000330012613 223063212 /nfs/dbraw/zinc/06/32/12/223063212.db2.gz KVTBZXUUKCUTOT-NSHDSACASA-N 1 2 307.394 1.961 20 30 DDEDLO Cc1nc(CC2CC2)oc1C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000330012613 223063215 /nfs/dbraw/zinc/06/32/15/223063215.db2.gz KVTBZXUUKCUTOT-NSHDSACASA-N 1 2 307.394 1.961 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@H]2CCCN(C(C)C)C2=O)CC1 ZINC000610504504 360455799 /nfs/dbraw/zinc/45/57/99/360455799.db2.gz PPJWQKJDCOTSGZ-JKSUJKDBSA-N 1 2 306.454 1.552 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)C1CCCC1 ZINC000610520860 360459740 /nfs/dbraw/zinc/45/97/40/360459740.db2.gz WPFRFOFHJPQAHS-OAHLLOKOSA-N 1 2 307.438 1.887 20 30 DDEDLO CCN(C(=O)[C@H](C)[NH+]1CCN(CCC#N)CC1)c1ccccc1 ZINC000611173645 360646498 /nfs/dbraw/zinc/64/64/98/360646498.db2.gz FHDOWEKDUKUZLY-INIZCTEOSA-N 1 2 314.433 1.959 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)N(C2CC2)C2CCCC2)CC1 ZINC000611174037 360646799 /nfs/dbraw/zinc/64/67/99/360646799.db2.gz CKIHBNQSHHQSAF-UHFFFAOYSA-N 1 2 304.438 1.451 20 30 DDEDLO C[C@@H](c1nc(-c2cccnc2)no1)[NH+]1CCN(CCC#N)CC1 ZINC000611176264 360649186 /nfs/dbraw/zinc/64/91/86/360649186.db2.gz XSRUEADNANNKKC-ZDUSSCGKSA-N 1 2 312.377 1.724 20 30 DDEDLO Cc1nnc([C@H]2CCCN(C(=O)NCc3[nH+]ccn3C)C2)[nH]1 ZINC000330093576 223073587 /nfs/dbraw/zinc/07/35/87/223073587.db2.gz ZVZDDXQFUWXXNS-NSHDSACASA-N 1 2 303.370 1.140 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000611692524 360793952 /nfs/dbraw/zinc/79/39/52/360793952.db2.gz UXBFGBXEWXFJSZ-UONOGXRCSA-N 1 2 316.405 1.129 20 30 DDEDLO C[C@H](C(=O)Nc1ncccn1)[N@@H+]1C[C@@]2(CCOC2)OC(C)(C)C1 ZINC000330160922 223080720 /nfs/dbraw/zinc/08/07/20/223080720.db2.gz SMXYIYANPTWINW-MLGOLLRUSA-N 1 2 320.393 1.723 20 30 DDEDLO C[C@H](C(=O)Nc1ncccn1)[N@H+]1C[C@@]2(CCOC2)OC(C)(C)C1 ZINC000330160922 223080724 /nfs/dbraw/zinc/08/07/24/223080724.db2.gz SMXYIYANPTWINW-MLGOLLRUSA-N 1 2 320.393 1.723 20 30 DDEDLO [O-]C(=[NH+][C@H]1CCn2cc[nH+]c2C1)N1CCOC2(CCCC2)C1 ZINC000330192852 223084195 /nfs/dbraw/zinc/08/41/95/223084195.db2.gz NYDLTCXFUPEALV-ZDUSSCGKSA-N 1 2 304.394 1.757 20 30 DDEDLO C[C@H]1C[C@@H](O)CC[N@@H+]1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000292446540 223086310 /nfs/dbraw/zinc/08/63/10/223086310.db2.gz OYUUVDHWIZBHRN-GWCFXTLKSA-N 1 2 307.781 1.995 20 30 DDEDLO C[C@H]1C[C@@H](O)CC[N@H+]1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000292446540 223086313 /nfs/dbraw/zinc/08/63/13/223086313.db2.gz OYUUVDHWIZBHRN-GWCFXTLKSA-N 1 2 307.781 1.995 20 30 DDEDLO C[C@@]1(C#N)CCCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000612145630 360933811 /nfs/dbraw/zinc/93/38/11/360933811.db2.gz MGCBHCSXUADFDB-YOEHRIQHSA-N 1 2 313.405 1.996 20 30 DDEDLO C[C@@H]1CCC[C@@H]1NC(=O)N1CCO[C@@H](C[N@H+](C)CC(N)=O)C1 ZINC000330417566 223113162 /nfs/dbraw/zinc/11/31/62/223113162.db2.gz OKSIVOFGYOXLJR-AGIUHOORSA-N 1 2 312.414 1.257 20 30 DDEDLO C[C@@H]1CCC[C@@H]1NC(=O)N1CCO[C@@H](C[N@@H+](C)CC(N)=O)C1 ZINC000330417566 223113166 /nfs/dbraw/zinc/11/31/66/223113166.db2.gz OKSIVOFGYOXLJR-AGIUHOORSA-N 1 2 312.414 1.257 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000612347426 360979120 /nfs/dbraw/zinc/97/91/20/360979120.db2.gz OMKDRZDKPGOWCR-KBMXLJTQSA-N 1 2 318.421 1.764 20 30 DDEDLO C[C@@H](C#N)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000330824588 223147086 /nfs/dbraw/zinc/14/70/86/223147086.db2.gz GYQLQCYHYFREBJ-STQMWFEESA-N 1 2 304.369 1.364 20 30 DDEDLO C[C@H](C(=O)N[C@@H](C)C#N)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000330824059 223147193 /nfs/dbraw/zinc/14/71/93/223147193.db2.gz GYQLQCYHYFREBJ-QWHCGFSZSA-N 1 2 304.369 1.364 20 30 DDEDLO COCC1(C#N)CC[NH+](Cc2nccc(OC)c2OC)CC1 ZINC000341993200 223299742 /nfs/dbraw/zinc/29/97/42/223299742.db2.gz PBDOKXBFAQDLLS-UHFFFAOYSA-N 1 2 305.378 1.851 20 30 DDEDLO N#Cc1ccc(CNc2cnn(CC[NH+]3CCOCC3)c2)s1 ZINC000271812813 209237037 /nfs/dbraw/zinc/23/70/37/209237037.db2.gz LERUYJJIZBEHDR-UHFFFAOYSA-N 1 2 317.418 1.761 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cncs1 ZINC000091936559 193129184 /nfs/dbraw/zinc/12/91/84/193129184.db2.gz PMXGGLZAUDTMOD-NSHDSACASA-N 1 2 300.391 1.515 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cncs1 ZINC000091936559 193129186 /nfs/dbraw/zinc/12/91/86/193129186.db2.gz PMXGGLZAUDTMOD-NSHDSACASA-N 1 2 300.391 1.515 20 30 DDEDLO Cc1ccc([C@H](CNC(=O)[C@H](C)C#N)[NH+]2CCOCC2)cc1 ZINC000347069085 223365524 /nfs/dbraw/zinc/36/55/24/223365524.db2.gz MXZUKCDRUZJSGT-ZBFHGGJFSA-N 1 2 301.390 1.644 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)C(=O)NC[C@@H]1CCCO1)c1ccc(C#N)cc1 ZINC000271681047 209121468 /nfs/dbraw/zinc/12/14/68/209121468.db2.gz POGKNRTYYSZRAB-WWGRRREGSA-N 1 2 301.390 1.893 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)Nc2ccc(C#N)cc2)CC1 ZINC000106252617 194192422 /nfs/dbraw/zinc/19/24/22/194192422.db2.gz LHFLWAXGEVHVTE-UHFFFAOYSA-N 1 2 324.388 1.641 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[N@@H+](C3CC3)CCO2)c([N+](=O)[O-])c1 ZINC000106369744 194202407 /nfs/dbraw/zinc/20/24/07/194202407.db2.gz SSGRAUVSPRTORP-ZDUSSCGKSA-N 1 2 302.334 1.742 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[N@H+](C3CC3)CCO2)c([N+](=O)[O-])c1 ZINC000106369744 194202409 /nfs/dbraw/zinc/20/24/09/194202409.db2.gz SSGRAUVSPRTORP-ZDUSSCGKSA-N 1 2 302.334 1.742 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000285251874 218351939 /nfs/dbraw/zinc/35/19/39/218351939.db2.gz HFLBMDWISCRHTN-KRWDZBQOSA-N 1 2 302.374 1.948 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000285251874 218351941 /nfs/dbraw/zinc/35/19/41/218351941.db2.gz HFLBMDWISCRHTN-KRWDZBQOSA-N 1 2 302.374 1.948 20 30 DDEDLO C#CC[N@@H+](CC(=O)N(C)CC(=O)OCC)Cc1ccc(F)cc1 ZINC000109834501 194291607 /nfs/dbraw/zinc/29/16/07/194291607.db2.gz RZAIGHNXWDXLBH-UHFFFAOYSA-N 1 2 320.364 1.282 20 30 DDEDLO C#CC[N@H+](CC(=O)N(C)CC(=O)OCC)Cc1ccc(F)cc1 ZINC000109834501 194291610 /nfs/dbraw/zinc/29/16/10/194291610.db2.gz RZAIGHNXWDXLBH-UHFFFAOYSA-N 1 2 320.364 1.282 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@@H](C)c2cccc(F)c2)CC1 ZINC000056490030 184013492 /nfs/dbraw/zinc/01/34/92/184013492.db2.gz QFXLPAARSBVLEO-AWEZNQCLSA-N 1 2 305.397 1.807 20 30 DDEDLO COc1ccccc1[C@H]1CN(C(=O)c2cc(C#N)[nH]c2C)CC[NH2+]1 ZINC000451662366 533028739 /nfs/dbraw/zinc/02/87/39/533028739.db2.gz JWSGFFAWVPEKDE-MRXNPFEDSA-N 1 2 324.384 1.990 20 30 DDEDLO COC(=O)c1cc(C#N)c(=O)n(Cc2cc(OC)cc(C)[nH+]2)c1 ZINC000270113652 208173453 /nfs/dbraw/zinc/17/34/53/208173453.db2.gz IBFFYUDEMMZDLB-UHFFFAOYSA-N 1 2 313.313 1.267 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+](C)C[C@@H]1CCC[C@@H]1O ZINC000270096621 208154067 /nfs/dbraw/zinc/15/40/67/208154067.db2.gz KSEVZENOFLMRDX-JYJNAYRXSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+](C)C[C@@H]1CCC[C@@H]1O ZINC000270096621 208154072 /nfs/dbraw/zinc/15/40/72/208154072.db2.gz KSEVZENOFLMRDX-JYJNAYRXSA-N 1 2 302.374 1.865 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](S(=O)(=O)NC2CC2)C1 ZINC000120656523 195209134 /nfs/dbraw/zinc/20/91/34/195209134.db2.gz SLVJYMSUQIOYBM-OAHLLOKOSA-N 1 2 305.403 1.214 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](S(=O)(=O)NC2CC2)C1 ZINC000120656523 195209135 /nfs/dbraw/zinc/20/91/35/195209135.db2.gz SLVJYMSUQIOYBM-OAHLLOKOSA-N 1 2 305.403 1.214 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@@H]1CCSC1 ZINC000289905389 221307065 /nfs/dbraw/zinc/30/70/65/221307065.db2.gz NBLIXQURLWQUNF-GFCCVEGCSA-N 1 2 311.432 1.164 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)[C@@H]1CCSC1 ZINC000289905389 221307068 /nfs/dbraw/zinc/30/70/68/221307068.db2.gz NBLIXQURLWQUNF-GFCCVEGCSA-N 1 2 311.432 1.164 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000346265027 533239818 /nfs/dbraw/zinc/23/98/18/533239818.db2.gz QRTXAAHPNMRSDH-GWCFXTLKSA-N 1 2 319.327 1.327 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000346265027 533239824 /nfs/dbraw/zinc/23/98/24/533239824.db2.gz QRTXAAHPNMRSDH-GWCFXTLKSA-N 1 2 319.327 1.327 20 30 DDEDLO N#CCC[C@@H](C#N)CN1CC[NH+](CC(=O)N2CCCCC2)CC1 ZINC000070981266 406829091 /nfs/dbraw/zinc/82/90/91/406829091.db2.gz OQOLNDUDFRAPAE-INIZCTEOSA-N 1 2 317.437 1.060 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)C[C@](C)(CC(C)C)C2=O)CC1 ZINC000077164404 406979191 /nfs/dbraw/zinc/97/91/91/406979191.db2.gz IQLICKHDQRGEQQ-KRWDZBQOSA-N 1 2 305.422 1.006 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)NCCCn2cc[nH+]c2)cc1 ZINC000080584491 407072344 /nfs/dbraw/zinc/07/23/44/407072344.db2.gz YEKXKJVCRULSJK-UHFFFAOYSA-N 1 2 313.361 1.523 20 30 DDEDLO CN(C(=O)C[N@H+](C)Cc1cnccn1)C1(C#N)CCCCC1 ZINC000080979631 407073768 /nfs/dbraw/zinc/07/37/68/407073768.db2.gz BUJOENLRMDTZFH-UHFFFAOYSA-N 1 2 301.394 1.593 20 30 DDEDLO CN(C(=O)C[N@@H+](C)Cc1cnccn1)C1(C#N)CCCCC1 ZINC000080979631 407073770 /nfs/dbraw/zinc/07/37/70/407073770.db2.gz BUJOENLRMDTZFH-UHFFFAOYSA-N 1 2 301.394 1.593 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)C[C@@H]1COc2ccccc2O1 ZINC000051505822 407139562 /nfs/dbraw/zinc/13/95/62/407139562.db2.gz VFUUQXLDBWJOCL-CQSZACIVSA-N 1 2 317.389 1.520 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)C[C@@H]1COc2ccccc2O1 ZINC000051505822 407139564 /nfs/dbraw/zinc/13/95/64/407139564.db2.gz VFUUQXLDBWJOCL-CQSZACIVSA-N 1 2 317.389 1.520 20 30 DDEDLO C#CC[NH+]1CCN(CCCc2nc(-c3cccs3)no2)CC1 ZINC000053150330 407163970 /nfs/dbraw/zinc/16/39/70/407163970.db2.gz OOFOIVLZNKXAMA-UHFFFAOYSA-N 1 2 316.430 1.982 20 30 DDEDLO Cn1cc[nH+]c1CN1C(=O)N[C@](C)(c2ccc(C#N)cc2)C1=O ZINC000123515710 407330727 /nfs/dbraw/zinc/33/07/27/407330727.db2.gz BYAITVJFULINMX-MRXNPFEDSA-N 1 2 309.329 1.259 20 30 DDEDLO CC[N@H+](CCCNC(=O)N1CCO[C@H](C#N)C1)c1ccccc1 ZINC000106329402 407358873 /nfs/dbraw/zinc/35/88/73/407358873.db2.gz IVZLQMIGRNREED-MRXNPFEDSA-N 1 2 316.405 1.837 20 30 DDEDLO CC[N@@H+](CCCNC(=O)N1CCO[C@H](C#N)C1)c1ccccc1 ZINC000106329402 407358874 /nfs/dbraw/zinc/35/88/74/407358874.db2.gz IVZLQMIGRNREED-MRXNPFEDSA-N 1 2 316.405 1.837 20 30 DDEDLO CN(Cc1nnc(-c2ccc(C#N)cc2)o1)Cc1[nH+]ccn1C ZINC000124663482 407365459 /nfs/dbraw/zinc/36/54/59/407365459.db2.gz GJUQXDKZQCMKBS-UHFFFAOYSA-N 1 2 308.345 1.974 20 30 DDEDLO C#CCN(C(=O)C(=O)Nc1c[nH+]ccc1OC)C1CCCC1 ZINC000128184694 407506166 /nfs/dbraw/zinc/50/61/66/407506166.db2.gz VYOCXYOMPHNZTG-UHFFFAOYSA-N 1 2 301.346 1.433 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000186090244 407574602 /nfs/dbraw/zinc/57/46/02/407574602.db2.gz PVYMFTWVXWYNGQ-NVXWUHKLSA-N 1 2 315.417 1.689 20 30 DDEDLO CCS(=O)(=O)CC[N@H+](C)CCC(=O)Nc1ccc(C#N)cc1 ZINC000128291452 407520502 /nfs/dbraw/zinc/52/05/02/407520502.db2.gz UOLXFMALXYKFBB-UHFFFAOYSA-N 1 2 323.418 1.253 20 30 DDEDLO CCS(=O)(=O)CC[N@@H+](C)CCC(=O)Nc1ccc(C#N)cc1 ZINC000128291452 407520507 /nfs/dbraw/zinc/52/05/07/407520507.db2.gz UOLXFMALXYKFBB-UHFFFAOYSA-N 1 2 323.418 1.253 20 30 DDEDLO C#CCSCCNC(=O)N[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000128321732 407523724 /nfs/dbraw/zinc/52/37/24/407523724.db2.gz QVBNRCKGUBQVLL-AWEZNQCLSA-N 1 2 318.446 1.716 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CCN(C(C)(C)C(N)=O)CC2)c1 ZINC000271163543 407546143 /nfs/dbraw/zinc/54/61/43/407546143.db2.gz ASVQNPZBEFOJPD-UHFFFAOYSA-N 1 2 317.433 1.633 20 30 DDEDLO C=CCOc1cccc(CN2CC[NH+](C(C)(C)C(N)=O)CC2)c1 ZINC000271163543 407546148 /nfs/dbraw/zinc/54/61/48/407546148.db2.gz ASVQNPZBEFOJPD-UHFFFAOYSA-N 1 2 317.433 1.633 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+](C)CCc1cnccn1 ZINC000271292827 407618334 /nfs/dbraw/zinc/61/83/34/407618334.db2.gz COBMXZOXLWBMDO-MRXNPFEDSA-N 1 2 310.357 1.737 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+](C)CCc1cnccn1 ZINC000271292827 407618336 /nfs/dbraw/zinc/61/83/36/407618336.db2.gz COBMXZOXLWBMDO-MRXNPFEDSA-N 1 2 310.357 1.737 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000171207515 407630675 /nfs/dbraw/zinc/63/06/75/407630675.db2.gz UWWPZPJDFSJRIO-UHFFFAOYSA-N 1 2 301.456 1.316 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000171207515 407630681 /nfs/dbraw/zinc/63/06/81/407630681.db2.gz UWWPZPJDFSJRIO-UHFFFAOYSA-N 1 2 301.456 1.316 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccc(F)cc2OC)CC1 ZINC000115551237 407690357 /nfs/dbraw/zinc/69/03/57/407690357.db2.gz DYIZPPZSUWGNDL-UHFFFAOYSA-N 1 2 307.369 1.259 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(c2c(C#N)cnc3ccccc32)CC1 ZINC000130858834 407711648 /nfs/dbraw/zinc/71/16/48/407711648.db2.gz YRYCWUJQIZIIOF-UHFFFAOYSA-N 1 2 323.400 1.317 20 30 DDEDLO CC(C)N(C)C(=O)[C@H](C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000267026155 407721751 /nfs/dbraw/zinc/72/17/51/407721751.db2.gz LHHORPWSSHLGRY-AWEZNQCLSA-N 1 2 315.421 1.331 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)C[N@H+]1CCOc1cccc(C#N)c1 ZINC000116282554 407738483 /nfs/dbraw/zinc/73/84/83/407738483.db2.gz CBDOOMZSERKQAD-LSDHHAIUSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)C[N@@H+]1CCOc1cccc(C#N)c1 ZINC000116282554 407738491 /nfs/dbraw/zinc/73/84/91/407738491.db2.gz CBDOOMZSERKQAD-LSDHHAIUSA-N 1 2 304.346 1.199 20 30 DDEDLO N#Cc1c(NC(=O)c2ccc3[nH+]ccn3c2)nc2n1CCS2 ZINC000171914449 407794817 /nfs/dbraw/zinc/79/48/17/407794817.db2.gz SREMYIVIFHQBIB-UHFFFAOYSA-N 1 2 310.342 1.760 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H]2CCC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC000153399934 407815007 /nfs/dbraw/zinc/81/50/07/407815007.db2.gz AHMZZEBHNCWKFV-OKILXGFUSA-N 1 2 323.437 1.762 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H]2CCC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC000153399934 407815011 /nfs/dbraw/zinc/81/50/11/407815011.db2.gz AHMZZEBHNCWKFV-OKILXGFUSA-N 1 2 323.437 1.762 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000268030547 407863522 /nfs/dbraw/zinc/86/35/22/407863522.db2.gz KMPDRBRIJVLSQG-AWEZNQCLSA-N 1 2 313.405 1.086 20 30 DDEDLO COC(=O)[C@H]1[C@@H](O)CCC[N@@H+]1Cc1ccccc1C(C)(C)C#N ZINC000189566629 408052784 /nfs/dbraw/zinc/05/27/84/408052784.db2.gz KPUVNLQVOWFQRF-JKSUJKDBSA-N 1 2 316.401 1.986 20 30 DDEDLO COC(=O)[C@H]1[C@@H](O)CCC[N@H+]1Cc1ccccc1C(C)(C)C#N ZINC000189566629 408052789 /nfs/dbraw/zinc/05/27/89/408052789.db2.gz KPUVNLQVOWFQRF-JKSUJKDBSA-N 1 2 316.401 1.986 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+](C)[C@H](C)[C@@H](C)S(C)(=O)=O ZINC000154303145 408015384 /nfs/dbraw/zinc/01/53/84/408015384.db2.gz DNVAMNNXUPTBRO-VXGBXAGGSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+](C)[C@H](C)[C@@H](C)S(C)(=O)=O ZINC000154303145 408015389 /nfs/dbraw/zinc/01/53/89/408015389.db2.gz DNVAMNNXUPTBRO-VXGBXAGGSA-N 1 2 310.419 1.820 20 30 DDEDLO CO[C@@H](C)CON=C(N)C[NH+]1CCN(c2ccccc2)CC1 ZINC000181591116 408016702 /nfs/dbraw/zinc/01/67/02/408016702.db2.gz ICVUBRIMYGSYCQ-AWEZNQCLSA-N 1 2 306.410 1.132 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCc2ccccc2C[NH+]2CCOCC2)c1 ZINC000175127425 408059038 /nfs/dbraw/zinc/05/90/38/408059038.db2.gz ZDCPDTQWNOLMEO-UHFFFAOYSA-N 1 2 324.384 1.649 20 30 DDEDLO CC[N@H+](C[C@H](C)C#N)[C@@H](C)C(=O)N1CCC(C(=O)OC)CC1 ZINC000155223626 408138729 /nfs/dbraw/zinc/13/87/29/408138729.db2.gz VDPWZKVRRGTUFR-OLZOCXBDSA-N 1 2 309.410 1.268 20 30 DDEDLO CC[N@@H+](C[C@H](C)C#N)[C@@H](C)C(=O)N1CCC(C(=O)OC)CC1 ZINC000155223626 408138733 /nfs/dbraw/zinc/13/87/33/408138733.db2.gz VDPWZKVRRGTUFR-OLZOCXBDSA-N 1 2 309.410 1.268 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@H+](C[C@H](O)c1ccc(C#N)cc1)C1CC1 ZINC000268731932 408180111 /nfs/dbraw/zinc/18/01/11/408180111.db2.gz XMHDBVZTXXMQRA-LRDDRELGSA-N 1 2 322.430 1.489 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@@H+](C[C@H](O)c1ccc(C#N)cc1)C1CC1 ZINC000268731932 408180119 /nfs/dbraw/zinc/18/01/19/408180119.db2.gz XMHDBVZTXXMQRA-LRDDRELGSA-N 1 2 322.430 1.489 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000143225521 408182537 /nfs/dbraw/zinc/18/25/37/408182537.db2.gz FXDKDMYBARSVJI-UHFFFAOYSA-N 1 2 318.417 1.802 20 30 DDEDLO Cc1nc(CN2CC[NH+](CC#Cc3ccc(F)cc3)CC2)no1 ZINC000121439567 408210727 /nfs/dbraw/zinc/21/07/27/408210727.db2.gz PPRMIAWEJZYTKU-UHFFFAOYSA-N 1 2 314.364 1.686 20 30 DDEDLO COc1cc(-c2noc(CO[NH+]=C(N)CCO)n2)ccc1C ZINC000121427057 408211842 /nfs/dbraw/zinc/21/18/42/408211842.db2.gz FNWCLCHMOKULAR-UHFFFAOYSA-N 1 2 306.322 1.225 20 30 DDEDLO C#CCSCCNC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000158160556 408330402 /nfs/dbraw/zinc/33/04/02/408330402.db2.gz RSUJJTYFKUMHTJ-CQSZACIVSA-N 1 2 305.403 1.611 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(F)c(C)c2)CC1 ZINC000122226841 408270876 /nfs/dbraw/zinc/27/08/76/408270876.db2.gz XREFUAPQUFNWNV-UHFFFAOYSA-N 1 2 305.397 1.554 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(F)c(C)c2)CC1 ZINC000122226841 408270882 /nfs/dbraw/zinc/27/08/82/408270882.db2.gz XREFUAPQUFNWNV-UHFFFAOYSA-N 1 2 305.397 1.554 20 30 DDEDLO C#CC[N@@H+](CCCSCC(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000157181006 408292895 /nfs/dbraw/zinc/29/28/95/408292895.db2.gz CZGSIGPQMYRBOM-CQSZACIVSA-N 1 2 303.493 1.888 20 30 DDEDLO C#CC[N@H+](CCCSCC(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000157181006 408292901 /nfs/dbraw/zinc/29/29/01/408292901.db2.gz CZGSIGPQMYRBOM-CQSZACIVSA-N 1 2 303.493 1.888 20 30 DDEDLO CCCCCCC[NH+]1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000157352599 408299990 /nfs/dbraw/zinc/29/99/90/408299990.db2.gz HFEGIBKKUCQGRZ-HNNXBMFYSA-N 1 2 302.484 1.762 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1cc(C(=O)OC)ccc1C)C1CC1 ZINC000274246512 408339428 /nfs/dbraw/zinc/33/94/28/408339428.db2.gz YQHBZIOGZPGMDG-UHFFFAOYSA-N 1 2 300.358 1.818 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1cc(C(=O)OC)ccc1C)C1CC1 ZINC000274246512 408339431 /nfs/dbraw/zinc/33/94/31/408339431.db2.gz YQHBZIOGZPGMDG-UHFFFAOYSA-N 1 2 300.358 1.818 20 30 DDEDLO C[C@@H](Nc1cc(C#N)ccc1[N+](=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000274255328 408342089 /nfs/dbraw/zinc/34/20/89/408342089.db2.gz LGWVHHJLSGEWMO-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)cc1C#N ZINC000191218473 408387538 /nfs/dbraw/zinc/38/75/38/408387538.db2.gz QMHIDLDGPNTFTO-UHFFFAOYSA-N 1 2 306.373 1.486 20 30 DDEDLO C#CCN(C)C(=O)c1cccc(NC(=O)CCn2cc[nH+]c2)c1 ZINC000191166602 408381705 /nfs/dbraw/zinc/38/17/05/408381705.db2.gz LQZIFVPMTQGRQC-UHFFFAOYSA-N 1 2 310.357 1.617 20 30 DDEDLO CN(C)S(=O)(=O)c1ccc(OCC[N@H+](C)CCC#N)cc1 ZINC000177190887 408546283 /nfs/dbraw/zinc/54/62/83/408546283.db2.gz AGQSCIBRLQKNNB-UHFFFAOYSA-N 1 2 311.407 1.161 20 30 DDEDLO CN(C)S(=O)(=O)c1ccc(OCC[N@@H+](C)CCC#N)cc1 ZINC000177190887 408546289 /nfs/dbraw/zinc/54/62/89/408546289.db2.gz AGQSCIBRLQKNNB-UHFFFAOYSA-N 1 2 311.407 1.161 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@](C)(C(=O)OC)C1 ZINC000177461069 408626551 /nfs/dbraw/zinc/62/65/51/408626551.db2.gz DCRNVVMSZSTVMH-YOEHRIQHSA-N 1 2 316.357 1.657 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@](C)(C(=O)OC)C1 ZINC000177461069 408626556 /nfs/dbraw/zinc/62/65/56/408626556.db2.gz DCRNVVMSZSTVMH-YOEHRIQHSA-N 1 2 316.357 1.657 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCO[C@@]2(CCOC2)C1 ZINC000248923895 408662378 /nfs/dbraw/zinc/66/23/78/408662378.db2.gz FOCAUMIGYFUFSD-GUYCJALGSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCO[C@@]2(CCOC2)C1 ZINC000248923895 408662382 /nfs/dbraw/zinc/66/23/82/408662382.db2.gz FOCAUMIGYFUFSD-GUYCJALGSA-N 1 2 315.373 1.377 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+](CCO)CCOC ZINC000265649099 408729292 /nfs/dbraw/zinc/72/92/92/408729292.db2.gz NHWUUDLZXOMTAO-UHFFFAOYSA-N 1 2 324.446 1.844 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+](CCO)CCOC ZINC000265649099 408729296 /nfs/dbraw/zinc/72/92/96/408729296.db2.gz NHWUUDLZXOMTAO-UHFFFAOYSA-N 1 2 324.446 1.844 20 30 DDEDLO C=CCNC(=O)CN1CCC[C@@H]1c1[nH+]c2c(n1C)CCCC2 ZINC000275965157 408733265 /nfs/dbraw/zinc/73/32/65/408733265.db2.gz NESXSXBZPROKFU-OAHLLOKOSA-N 1 2 302.422 1.738 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2cc(Cl)ccc2C#N)CC1 ZINC000265543045 408688588 /nfs/dbraw/zinc/68/85/88/408688588.db2.gz PAQVZTKEPNSOAI-UHFFFAOYSA-N 1 2 306.797 1.788 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCO[C@]2(CCOC2)C1)C1(C#N)CCCCC1 ZINC000185199526 408777430 /nfs/dbraw/zinc/77/74/30/408777430.db2.gz QSOKNKWVECNGQY-QGZVFWFLSA-N 1 2 321.421 1.163 20 30 DDEDLO CN(C(=O)C[N@H+]1CCO[C@]2(CCOC2)C1)C1(C#N)CCCCC1 ZINC000185199526 408777434 /nfs/dbraw/zinc/77/74/34/408777434.db2.gz QSOKNKWVECNGQY-QGZVFWFLSA-N 1 2 321.421 1.163 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NCc2ccccc2C)C1=O ZINC000281347969 408882582 /nfs/dbraw/zinc/88/25/82/408882582.db2.gz MXDKELPNVIEQIC-INIZCTEOSA-N 1 2 315.417 1.330 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NCc2ccccc2C)C1=O ZINC000281347969 408882585 /nfs/dbraw/zinc/88/25/85/408882585.db2.gz MXDKELPNVIEQIC-INIZCTEOSA-N 1 2 315.417 1.330 20 30 DDEDLO N#C[C@H]1CN(C(=O)C=Cc2c[nH]c[nH+]2)CCN1Cc1ccccc1 ZINC000276561374 408882883 /nfs/dbraw/zinc/88/28/83/408882883.db2.gz IZVUUAMXLBDGAG-LXXRFIIISA-N 1 2 321.384 1.659 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)N1CCn2c[nH+]cc2C1 ZINC000291083512 408858654 /nfs/dbraw/zinc/85/86/54/408858654.db2.gz UICHEKDEWDURCG-UHFFFAOYSA-N 1 2 310.357 1.621 20 30 DDEDLO C#CCN(C)C(=O)NC[C@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000291430413 408865843 /nfs/dbraw/zinc/86/58/43/408865843.db2.gz ZFJJDUHYKBAXFE-QGZVFWFLSA-N 1 2 315.417 1.643 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN([C@H](C#N)c3ccccc3)CC2)C1=O ZINC000281420809 408885578 /nfs/dbraw/zinc/88/55/78/408885578.db2.gz BSGPNTKNODHYHU-QZTJIDSGSA-N 1 2 324.428 1.656 20 30 DDEDLO N#Cc1c(F)cccc1C(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000189610332 163107631 /nfs/dbraw/zinc/10/76/31/163107631.db2.gz HOFUNJTULVPQFZ-GFCCVEGCSA-N 1 2 303.337 1.290 20 30 DDEDLO N#Cc1c(F)cccc1C(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000189610332 163107634 /nfs/dbraw/zinc/10/76/34/163107634.db2.gz HOFUNJTULVPQFZ-GFCCVEGCSA-N 1 2 303.337 1.290 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CCOC)Cc1ccccn1 ZINC000287490268 409043525 /nfs/dbraw/zinc/04/35/25/409043525.db2.gz SSNVIBLQOLUDPB-UHFFFAOYSA-N 1 2 304.394 1.292 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CCOC)Cc1ccccn1 ZINC000287490268 409043527 /nfs/dbraw/zinc/04/35/27/409043527.db2.gz SSNVIBLQOLUDPB-UHFFFAOYSA-N 1 2 304.394 1.292 20 30 DDEDLO N#CCCOCC[NH+]1CCN(C(=O)c2c(F)cccc2F)CC1 ZINC000293087016 409050189 /nfs/dbraw/zinc/05/01/89/409050189.db2.gz MUQCONJPMPTHOI-UHFFFAOYSA-N 1 2 323.343 1.653 20 30 DDEDLO CCOC1CC(CNc2ccc(C#N)nc2)([NH+]2CCOCC2)C1 ZINC000278036977 409055537 /nfs/dbraw/zinc/05/55/37/409055537.db2.gz QFNXYNNBZWLEBY-UHFFFAOYSA-N 1 2 316.405 1.635 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccnc3)n2CC(=C)C)CC1 ZINC000283045184 409075764 /nfs/dbraw/zinc/07/57/64/409075764.db2.gz VKYQYNCOFXATOH-UHFFFAOYSA-N 1 2 322.416 1.671 20 30 DDEDLO NC(=[NH+]OCc1nnc2n1CCCC2)c1ccc2c(c1)CCO2 ZINC000278258457 409076910 /nfs/dbraw/zinc/07/69/10/409076910.db2.gz NHVXNLGRAILHCD-UHFFFAOYSA-N 1 2 313.361 1.386 20 30 DDEDLO COc1ccncc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000287966077 409123686 /nfs/dbraw/zinc/12/36/86/409123686.db2.gz YZWMPEKGBIGXIP-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO COc1ccncc1C[N@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000287966077 409123688 /nfs/dbraw/zinc/12/36/88/409123688.db2.gz YZWMPEKGBIGXIP-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO C=C[C@](C)(O)CC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000279135155 409155532 /nfs/dbraw/zinc/15/55/32/409155532.db2.gz CJTFKHRGBFDSIU-KRWDZBQOSA-N 1 2 304.390 1.784 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)NCc1ccc(C#N)cc1 ZINC000279175719 409163371 /nfs/dbraw/zinc/16/33/71/409163371.db2.gz GFANUEAOYADEIB-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO C#Cc1ccc(CN2CC[NH+](CC(=O)N(CC)CC)CC2)cc1 ZINC000289085911 409217384 /nfs/dbraw/zinc/21/73/84/409217384.db2.gz KTTMHIPSAYWQSH-UHFFFAOYSA-N 1 2 313.445 1.654 20 30 DDEDLO Cc1nsc(NC(=O)NCC(C)(C)[NH+]2CCOCC2)c1C#N ZINC000294176573 409254416 /nfs/dbraw/zinc/25/44/16/409254416.db2.gz JTLPMPZXRWURHK-UHFFFAOYSA-N 1 2 323.422 1.556 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000289459566 409276594 /nfs/dbraw/zinc/27/65/94/409276594.db2.gz LVCMUTRKWMVMSP-GHMZBOCLSA-N 1 2 321.343 1.068 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000289459566 409276597 /nfs/dbraw/zinc/27/65/97/409276597.db2.gz LVCMUTRKWMVMSP-GHMZBOCLSA-N 1 2 321.343 1.068 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2)CCN1S(=O)(=O)CCC#N ZINC000295776837 409368779 /nfs/dbraw/zinc/36/87/79/409368779.db2.gz YUNNDCNFYRFNBX-CQSZACIVSA-N 1 2 307.419 1.436 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2)CCN1S(=O)(=O)CCC#N ZINC000295776837 409368786 /nfs/dbraw/zinc/36/87/86/409368786.db2.gz YUNNDCNFYRFNBX-CQSZACIVSA-N 1 2 307.419 1.436 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1Cc1ccc(F)c(C#N)c1 ZINC000285415327 409436966 /nfs/dbraw/zinc/43/69/66/409436966.db2.gz BOZOYDLXCINRDD-XJKSGUPXSA-N 1 2 303.381 1.992 20 30 DDEDLO CN1CCN(S(=O)(=O)CC(C)(C)CC#N)c2ccc[nH+]c21 ZINC000296335982 409507986 /nfs/dbraw/zinc/50/79/86/409507986.db2.gz PPAMGNQMJJJZCN-UHFFFAOYSA-N 1 2 308.407 1.607 20 30 DDEDLO COC(=O)[C@H]([NH2+]C[C@H](O)COc1ccc(C#N)cc1)C(C)C ZINC000314565701 409825210 /nfs/dbraw/zinc/82/52/10/409825210.db2.gz NAEBFUDLDHNYGE-DZGCQCFKSA-N 1 2 306.362 1.085 20 30 DDEDLO N#Cc1cc(NC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)ncn1 ZINC000311786602 409793309 /nfs/dbraw/zinc/79/33/09/409793309.db2.gz JQNNHUWFRSPWQS-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1cc(NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)ncn1 ZINC000311786602 409793320 /nfs/dbraw/zinc/79/33/20/409793320.db2.gz JQNNHUWFRSPWQS-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO O=C(C[N@H+]1CC=C(C(F)(F)F)CC1)NCC(=O)N1CCCC1 ZINC000328772482 409975258 /nfs/dbraw/zinc/97/52/58/409975258.db2.gz BEPSFLYHRSOIJQ-UHFFFAOYSA-N 1 2 319.327 1.760 20 30 DDEDLO O=C(C[N@@H+]1CC=C(C(F)(F)F)CC1)NCC(=O)N1CCCC1 ZINC000328772482 409975263 /nfs/dbraw/zinc/97/52/63/409975263.db2.gz BEPSFLYHRSOIJQ-UHFFFAOYSA-N 1 2 319.327 1.760 20 30 DDEDLO C[C@@H]1CN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)C[C@H](C(N)=O)O1 ZINC000328603285 409934181 /nfs/dbraw/zinc/93/41/81/409934181.db2.gz HRRDTKUEPBGZDV-GRYCIOLGSA-N 1 2 321.381 1.299 20 30 DDEDLO C=CC[N@@H+](Cc1cnn([C@]2(C)CCS(=O)(=O)C2)c1)C1CC1 ZINC000350267024 409938939 /nfs/dbraw/zinc/93/89/39/409938939.db2.gz NNXNAOCXXNZOFB-OAHLLOKOSA-N 1 2 309.435 1.567 20 30 DDEDLO C=CC[N@H+](Cc1cnn([C@]2(C)CCS(=O)(=O)C2)c1)C1CC1 ZINC000350267024 409938945 /nfs/dbraw/zinc/93/89/45/409938945.db2.gz NNXNAOCXXNZOFB-OAHLLOKOSA-N 1 2 309.435 1.567 20 30 DDEDLO O=C(NC[C@H]1CCCn2cc[nH+]c21)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000328624605 409939720 /nfs/dbraw/zinc/93/97/20/409939720.db2.gz ZQFGWSYPYVYZHV-NEPJUHHUSA-N 1 2 317.393 1.027 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@@H+](CC(=O)NC(C3CC3)C3CC3)CC[C@@H]21 ZINC000328940871 410015112 /nfs/dbraw/zinc/01/51/12/410015112.db2.gz FDMJXKPDJQBYGY-OLZOCXBDSA-N 1 2 306.410 1.434 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@H+](CC(=O)NC(C3CC3)C3CC3)CC[C@@H]21 ZINC000328940871 410015121 /nfs/dbraw/zinc/01/51/21/410015121.db2.gz FDMJXKPDJQBYGY-OLZOCXBDSA-N 1 2 306.410 1.434 20 30 DDEDLO N#CC1(CS(=O)(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CCC1 ZINC000357436933 410041236 /nfs/dbraw/zinc/04/12/36/410041236.db2.gz QHECWEDRGSFFGF-CYBMUJFWSA-N 1 2 320.418 1.274 20 30 DDEDLO C=CCCCCCN(C)C(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC000297779028 409994249 /nfs/dbraw/zinc/99/42/49/409994249.db2.gz LDCODGDMMJAOPK-UHFFFAOYSA-N 1 2 320.437 1.936 20 30 DDEDLO Cc1c(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)cnn1CC(C)C ZINC000329105238 410104675 /nfs/dbraw/zinc/10/46/75/410104675.db2.gz DTWCQOWYDNVYCY-CABCVRRESA-N 1 2 321.425 1.408 20 30 DDEDLO Cc1c(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)cnn1CC(C)C ZINC000329105238 410104682 /nfs/dbraw/zinc/10/46/82/410104682.db2.gz DTWCQOWYDNVYCY-CABCVRRESA-N 1 2 321.425 1.408 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)N[C@H]2CC(C)(C)OC2(C)C)C1 ZINC000329230928 410177977 /nfs/dbraw/zinc/17/79/77/410177977.db2.gz DTRKUEBLQNAUNG-OLZOCXBDSA-N 1 2 312.458 1.082 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)N[C@H]2CC(C)(C)OC2(C)C)C1 ZINC000329230928 410177984 /nfs/dbraw/zinc/17/79/84/410177984.db2.gz DTRKUEBLQNAUNG-OLZOCXBDSA-N 1 2 312.458 1.082 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)N[C@H]2CCCOCC2)CC1 ZINC000329257926 410195545 /nfs/dbraw/zinc/19/55/45/410195545.db2.gz FCMQZOBPHMNQNJ-LBPRGKRZSA-N 1 2 312.414 1.401 20 30 DDEDLO CO[C@H]1CCN(C(=O)N[C@H](c2[nH+]ccn2C)C2CCOCC2)C1 ZINC000329362777 410256358 /nfs/dbraw/zinc/25/63/58/410256358.db2.gz ZENPUVMFRDQHGM-KBPBESRZSA-N 1 2 322.409 1.523 20 30 DDEDLO CC[N@@H+]1CCOC[C@H]1C(=O)N1CCC(NC(=O)C2CC2)CC1 ZINC000329378935 410266110 /nfs/dbraw/zinc/26/61/10/410266110.db2.gz MTKDIEOHYZJCDD-AWEZNQCLSA-N 1 2 309.410 1.065 20 30 DDEDLO CC[N@H+]1CCOC[C@H]1C(=O)N1CCC(NC(=O)C2CC2)CC1 ZINC000329378935 410266119 /nfs/dbraw/zinc/26/61/19/410266119.db2.gz MTKDIEOHYZJCDD-AWEZNQCLSA-N 1 2 309.410 1.065 20 30 DDEDLO CCc1nocc1C[N@H+](C)CC(=O)NCc1cccc(C#N)c1 ZINC000339603375 410322877 /nfs/dbraw/zinc/32/28/77/410322877.db2.gz OHUPUXPSQIPEBY-UHFFFAOYSA-N 1 2 312.373 1.857 20 30 DDEDLO CCc1nocc1C[N@@H+](C)CC(=O)NCc1cccc(C#N)c1 ZINC000339603375 410322879 /nfs/dbraw/zinc/32/28/79/410322879.db2.gz OHUPUXPSQIPEBY-UHFFFAOYSA-N 1 2 312.373 1.857 20 30 DDEDLO CC[C@@H]1CN(C(=O)NCC2([NH+]3CCOCC3)CC2)CCCO1 ZINC000329525650 410344823 /nfs/dbraw/zinc/34/48/23/410344823.db2.gz RBWCWQAPGONSHQ-CQSZACIVSA-N 1 2 311.426 1.266 20 30 DDEDLO CC(C)(CNC(=O)N1CCOCC2(CC2)C1)[NH+]1CCOCC1 ZINC000329429809 410293774 /nfs/dbraw/zinc/29/37/74/410293774.db2.gz HAXXYPGADPRQLY-UHFFFAOYSA-N 1 2 311.426 1.124 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12)[NH+]1CCOCC1 ZINC000329442768 410298515 /nfs/dbraw/zinc/29/85/15/410298515.db2.gz ASNJYXSPDPDUQG-AIEDFZFUSA-N 1 2 312.410 1.104 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C)[N@H+]1[C@H](C)CC[C@@H]1C ZINC000329441982 410300551 /nfs/dbraw/zinc/30/05/51/410300551.db2.gz ANIQNNGXSURPOL-KIJLLGNVSA-N 1 2 302.440 1.637 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C)[N@@H+]1[C@H](C)CC[C@@H]1C ZINC000329441982 410300556 /nfs/dbraw/zinc/30/05/56/410300556.db2.gz ANIQNNGXSURPOL-KIJLLGNVSA-N 1 2 302.440 1.637 20 30 DDEDLO COCC1(C#N)CCN(C(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)CC1 ZINC000358285618 410434314 /nfs/dbraw/zinc/43/43/14/410434314.db2.gz INWNFFDGYGAXEX-GFCCVEGCSA-N 1 2 317.393 1.229 20 30 DDEDLO COCC1(C#N)CCN(C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)CC1 ZINC000358285618 410434318 /nfs/dbraw/zinc/43/43/18/410434318.db2.gz INWNFFDGYGAXEX-GFCCVEGCSA-N 1 2 317.393 1.229 20 30 DDEDLO COc1cccc(OC)c1OC[C@@H](O)C[N@H+](C)C[C@@H](C)C#N ZINC000299224861 410573951 /nfs/dbraw/zinc/57/39/51/410573951.db2.gz NCJAIDAVGAOVBJ-STQMWFEESA-N 1 2 308.378 1.535 20 30 DDEDLO COc1cccc(OC)c1OC[C@@H](O)C[N@@H+](C)C[C@@H](C)C#N ZINC000299224861 410573956 /nfs/dbraw/zinc/57/39/56/410573956.db2.gz NCJAIDAVGAOVBJ-STQMWFEESA-N 1 2 308.378 1.535 20 30 DDEDLO CC(C)(C)n1ncnc1C[NH2+]Cc1cnc2ccc(C#N)cn12 ZINC000337310909 410709004 /nfs/dbraw/zinc/70/90/04/410709004.db2.gz WDQVFJITBPNGFL-UHFFFAOYSA-N 1 2 309.377 1.842 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000330416132 410679208 /nfs/dbraw/zinc/67/92/08/410679208.db2.gz DDCLRLOOUBEUMU-SWLSCSKDSA-N 1 2 314.389 1.486 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000330416132 410679210 /nfs/dbraw/zinc/67/92/10/410679210.db2.gz DDCLRLOOUBEUMU-SWLSCSKDSA-N 1 2 314.389 1.486 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)NC(=O)NCCC)Cc1ccc(CO)o1 ZINC000352805495 410681312 /nfs/dbraw/zinc/68/13/12/410681312.db2.gz SEOBMKSWLSPPMG-UHFFFAOYSA-N 1 2 323.393 1.386 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)NC(=O)NCCC)Cc1ccc(CO)o1 ZINC000352805495 410681318 /nfs/dbraw/zinc/68/13/18/410681318.db2.gz SEOBMKSWLSPPMG-UHFFFAOYSA-N 1 2 323.393 1.386 20 30 DDEDLO C#CCNC(=O)c1ccc(N2CC[C@@H](Oc3cc[nH+]cc3)C2)nc1 ZINC000301664213 410765796 /nfs/dbraw/zinc/76/57/96/410765796.db2.gz ZBPIRABZQADVAN-MRXNPFEDSA-N 1 2 322.368 1.497 20 30 DDEDLO Cc1cc(N[C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)nc[nH+]1 ZINC000302750416 410858205 /nfs/dbraw/zinc/85/82/05/410858205.db2.gz VAUCUQWSAFZYRJ-HNNXBMFYSA-N 1 2 307.357 1.870 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3ccc(C#N)nc3)CC2)cc[nH+]1 ZINC000353303850 410912723 /nfs/dbraw/zinc/91/27/23/410912723.db2.gz CKYQUQKNYSTPCM-UHFFFAOYSA-N 1 2 308.345 1.014 20 30 DDEDLO CC[C@H](C(=O)OC)N1CC[NH+](Cc2cc(C#N)cs2)CC1 ZINC000348552980 411013457 /nfs/dbraw/zinc/01/34/57/411013457.db2.gz WJIZJIBGBGDFKO-CQSZACIVSA-N 1 2 307.419 1.689 20 30 DDEDLO COC(=O)c1ccc(CNC(=O)[C@@H](C)[N@H+](C)C[C@H](C)C#N)cc1 ZINC000353445673 410990032 /nfs/dbraw/zinc/99/00/32/410990032.db2.gz YLGPCQMGXZNXGR-CHWSQXEVSA-N 1 2 317.389 1.569 20 30 DDEDLO COC(=O)c1ccc(CNC(=O)[C@@H](C)[N@@H+](C)C[C@H](C)C#N)cc1 ZINC000353445673 410990041 /nfs/dbraw/zinc/99/00/41/410990041.db2.gz YLGPCQMGXZNXGR-CHWSQXEVSA-N 1 2 317.389 1.569 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(Cc1ccccc1)C(=O)[C@@H](C)C#N ZINC000360135518 411068138 /nfs/dbraw/zinc/06/81/38/411068138.db2.gz JQRRNSVSNYKMQS-JKSUJKDBSA-N 1 2 315.417 1.896 20 30 DDEDLO Cc1cnc(C[NH2+][C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)n1C ZINC000341352229 411017799 /nfs/dbraw/zinc/01/77/99/411017799.db2.gz URTBZJXWPAGSNR-MRXNPFEDSA-N 1 2 323.400 1.491 20 30 DDEDLO Cc1c[nH+]c(CN[C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)n1C ZINC000341352229 411017806 /nfs/dbraw/zinc/01/78/06/411017806.db2.gz URTBZJXWPAGSNR-MRXNPFEDSA-N 1 2 323.400 1.491 20 30 DDEDLO C=C(C)CN(Cc1ccc(CO)o1)C(=O)NCc1c[nH+]cn1C ZINC000360289035 411121542 /nfs/dbraw/zinc/12/15/42/411121542.db2.gz JBHHFZWOEAWLKO-UHFFFAOYSA-N 1 2 318.377 1.793 20 30 DDEDLO C[C@H]([C@H](C)Nc1nccc(C#N)c1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000134211561 196371332 /nfs/dbraw/zinc/37/13/32/196371332.db2.gz AJVVUJOGNGCKLA-WDEREUQCSA-N 1 2 305.338 1.383 20 30 DDEDLO Cc1cc(NC(=O)NCc2c[nH+]cn2C)c(C#N)cc1N(C)C ZINC000417161683 225227334 /nfs/dbraw/zinc/22/73/34/225227334.db2.gz QQKGEDJSKWUCQZ-UHFFFAOYSA-N 1 2 312.377 1.988 20 30 DDEDLO C[C@H](NC(=O)CO[NH+]=C(N)CCO)c1ccc2ccccc2c1 ZINC000136064274 221608475 /nfs/dbraw/zinc/60/84/75/221608475.db2.gz YCSVRRNJZZZAEO-LBPRGKRZSA-N 1 2 315.373 1.688 20 30 DDEDLO Cc1cn2c([nH+]1)CN([C@@H](C)C(=O)Nc1cccc(C#N)c1)CC2 ZINC000373308807 418420093 /nfs/dbraw/zinc/42/00/93/418420093.db2.gz BNRVDSWNRGCOHQ-ZDUSSCGKSA-N 1 2 309.373 1.906 20 30 DDEDLO COC(=O)CCC[N@@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000374364361 418523400 /nfs/dbraw/zinc/52/34/00/418523400.db2.gz GMOYKIUZPZJLHI-SJKOYZFVSA-N 1 2 313.357 1.285 20 30 DDEDLO COC(=O)CCC[N@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000374364361 418523404 /nfs/dbraw/zinc/52/34/04/418523404.db2.gz GMOYKIUZPZJLHI-SJKOYZFVSA-N 1 2 313.357 1.285 20 30 DDEDLO N#CCN1CC[NH+](Cc2cnn(Cc3ccc(F)cc3)c2)CC1 ZINC000187144007 221977736 /nfs/dbraw/zinc/97/77/36/221977736.db2.gz VPYRSLDGKHHRFQ-UHFFFAOYSA-N 1 2 313.380 1.712 20 30 DDEDLO C=CC[N@@H+](CCOC)[C@H](C)C(=O)N1CC(=O)Nc2ccccc21 ZINC000191089296 222095078 /nfs/dbraw/zinc/09/50/78/222095078.db2.gz XVMHBKJUWMOBJA-CYBMUJFWSA-N 1 2 317.389 1.495 20 30 DDEDLO C=CC[N@H+](CCOC)[C@H](C)C(=O)N1CC(=O)Nc2ccccc21 ZINC000191089296 222095081 /nfs/dbraw/zinc/09/50/81/222095081.db2.gz XVMHBKJUWMOBJA-CYBMUJFWSA-N 1 2 317.389 1.495 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](C)[C@H]1CCN(c2ccccc2F)C1=O ZINC000246650248 222223781 /nfs/dbraw/zinc/22/37/81/222223781.db2.gz YXWDAXYKEVPGIF-DOMZBBRYSA-N 1 2 319.380 1.554 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](C)[C@H]1CCN(c2ccccc2F)C1=O ZINC000246650248 222223783 /nfs/dbraw/zinc/22/37/83/222223783.db2.gz YXWDAXYKEVPGIF-DOMZBBRYSA-N 1 2 319.380 1.554 20 30 DDEDLO C[N@@H+]1CCO[C@H]([C@@H](NC(=O)CC#N)c2ccc(Cl)cc2)C1 ZINC000248560955 222235960 /nfs/dbraw/zinc/23/59/60/222235960.db2.gz NHIOYDKVZFOCTN-ZFWWWQNUSA-N 1 2 307.781 1.742 20 30 DDEDLO C[N@H+]1CCO[C@H]([C@@H](NC(=O)CC#N)c2ccc(Cl)cc2)C1 ZINC000248560955 222235962 /nfs/dbraw/zinc/23/59/62/222235962.db2.gz NHIOYDKVZFOCTN-ZFWWWQNUSA-N 1 2 307.781 1.742 20 30 DDEDLO C[N@@H+]1CCO[C@@H]([C@@H](NC(=O)CC#N)c2ccc(Cl)cc2)C1 ZINC000248560953 222236002 /nfs/dbraw/zinc/23/60/02/222236002.db2.gz NHIOYDKVZFOCTN-HIFRSBDPSA-N 1 2 307.781 1.742 20 30 DDEDLO C[N@H+]1CCO[C@@H]([C@@H](NC(=O)CC#N)c2ccc(Cl)cc2)C1 ZINC000248560953 222236005 /nfs/dbraw/zinc/23/60/05/222236005.db2.gz NHIOYDKVZFOCTN-HIFRSBDPSA-N 1 2 307.781 1.742 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](Cc3ccco3)CC2)CCOCC1 ZINC000264528489 222333455 /nfs/dbraw/zinc/33/34/55/222333455.db2.gz JTSQURIOTIFPMB-UHFFFAOYSA-N 1 2 303.362 1.244 20 30 DDEDLO N#CCCn1ccc(NC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)n1 ZINC000331665809 418614896 /nfs/dbraw/zinc/61/48/96/418614896.db2.gz OKGBWCWLEIIKFU-UHFFFAOYSA-N 1 2 313.365 1.931 20 30 DDEDLO N#CCCn1ccc(NC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)n1 ZINC000331665809 418614899 /nfs/dbraw/zinc/61/48/99/418614899.db2.gz OKGBWCWLEIIKFU-UHFFFAOYSA-N 1 2 313.365 1.931 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC000267697550 222398037 /nfs/dbraw/zinc/39/80/37/222398037.db2.gz TWXWNVVDNDBRRH-OAHLLOKOSA-N 1 2 304.346 1.353 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC000267697550 222398038 /nfs/dbraw/zinc/39/80/38/222398038.db2.gz TWXWNVVDNDBRRH-OAHLLOKOSA-N 1 2 304.346 1.353 20 30 DDEDLO N#Cc1ccc(CNc2ccc(N3CCOCC3)c[nH+]2)c(F)c1 ZINC000343486058 418631668 /nfs/dbraw/zinc/63/16/68/418631668.db2.gz WYBUNWNMIZPYFR-UHFFFAOYSA-N 1 2 312.348 2.541 20 30 DDEDLO C=CCN1CC(=O)N([C@H]2CCCN(c3cccc[nH+]3)C2)C1=O ZINC000375416171 418637102 /nfs/dbraw/zinc/63/71/02/418637102.db2.gz OWTSHLQFTUIUSJ-ZDUSSCGKSA-N 1 2 300.362 1.501 20 30 DDEDLO C[C@H](C(=O)Nc1ccn(CCC#N)n1)[N@@H+]1CCc2ccccc2C1 ZINC000346341424 418637297 /nfs/dbraw/zinc/63/72/97/418637297.db2.gz XKCNFOZKOBMCAJ-CQSZACIVSA-N 1 2 323.400 2.182 20 30 DDEDLO C[C@H](C(=O)Nc1ccn(CCC#N)n1)[N@H+]1CCc2ccccc2C1 ZINC000346341424 418637300 /nfs/dbraw/zinc/63/73/00/418637300.db2.gz XKCNFOZKOBMCAJ-CQSZACIVSA-N 1 2 323.400 2.182 20 30 DDEDLO C#CCOc1ccc(C(=O)Nc2c[nH+]ccc2-n2ccnn2)cc1 ZINC000377438071 418709516 /nfs/dbraw/zinc/70/95/16/418709516.db2.gz LWHINCUWEWBELL-UHFFFAOYSA-N 1 2 319.324 1.927 20 30 DDEDLO CC(C)(C#N)CCS(=O)(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000377827409 418715208 /nfs/dbraw/zinc/71/52/08/418715208.db2.gz WHWPBJXUWYJNOQ-GFCCVEGCSA-N 1 2 310.423 1.859 20 30 DDEDLO C=CCOc1ccc(CNC(=O)[C@@H]2COCC[N@H+]2CC)cc1 ZINC000376014231 418691334 /nfs/dbraw/zinc/69/13/34/418691334.db2.gz SHNAPTXIBXQTJR-INIZCTEOSA-N 1 2 304.390 1.588 20 30 DDEDLO C=CCOc1ccc(CNC(=O)[C@@H]2COCC[N@@H+]2CC)cc1 ZINC000376014231 418691335 /nfs/dbraw/zinc/69/13/35/418691335.db2.gz SHNAPTXIBXQTJR-INIZCTEOSA-N 1 2 304.390 1.588 20 30 DDEDLO C[C@@H]1[C@@H](CO)CC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000386349336 418739952 /nfs/dbraw/zinc/73/99/52/418739952.db2.gz YCPPABGFXINSSO-TZMCWYRMSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1[C@@H](CO)CC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000386349336 418739954 /nfs/dbraw/zinc/73/99/54/418739954.db2.gz YCPPABGFXINSSO-TZMCWYRMSA-N 1 2 308.403 1.035 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCO[C@H](COCC2CC2)C1 ZINC000362596848 418756264 /nfs/dbraw/zinc/75/62/64/418756264.db2.gz REAKXONOUNOBAG-WBVHZDCISA-N 1 2 322.449 1.703 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCO[C@H](COCC2CC2)C1 ZINC000362596848 418756266 /nfs/dbraw/zinc/75/62/66/418756266.db2.gz REAKXONOUNOBAG-WBVHZDCISA-N 1 2 322.449 1.703 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C#N)C(C)C ZINC000362759607 418759028 /nfs/dbraw/zinc/75/90/28/418759028.db2.gz MRIPUMLYSUKSJX-KGLIPLIRSA-N 1 2 319.409 1.147 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C#N)C(C)C ZINC000362759607 418759030 /nfs/dbraw/zinc/75/90/30/418759030.db2.gz MRIPUMLYSUKSJX-KGLIPLIRSA-N 1 2 319.409 1.147 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000378483382 418722959 /nfs/dbraw/zinc/72/29/59/418722959.db2.gz OZTZIQKQXUDWHO-OWCLPIDISA-N 1 2 318.373 1.244 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000378483382 418722962 /nfs/dbraw/zinc/72/29/62/418722962.db2.gz OZTZIQKQXUDWHO-OWCLPIDISA-N 1 2 318.373 1.244 20 30 DDEDLO C[N@H+](CC(=O)Nc1cccc(C#N)c1)[C@@H]1CCCCNC1=O ZINC000368892726 418726364 /nfs/dbraw/zinc/72/63/64/418726364.db2.gz QZZUKJXSSPQJNL-CQSZACIVSA-N 1 2 300.362 1.097 20 30 DDEDLO C[N@@H+](CC(=O)Nc1cccc(C#N)c1)[C@@H]1CCCCNC1=O ZINC000368892726 418726366 /nfs/dbraw/zinc/72/63/66/418726366.db2.gz QZZUKJXSSPQJNL-CQSZACIVSA-N 1 2 300.362 1.097 20 30 DDEDLO Cc1nsc(NC(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)c1C#N ZINC000297417349 227140221 /nfs/dbraw/zinc/14/02/21/227140221.db2.gz LZOHYYAPRODVAL-ONGXEEELSA-N 1 2 323.422 1.554 20 30 DDEDLO N#CCN(CC#N)C(=O)[C@H]1CCn2c(c[nH+]c2-c2ccccc2)C1 ZINC000371523474 418797503 /nfs/dbraw/zinc/79/75/03/418797503.db2.gz CRYRPEUJDACISW-HNNXBMFYSA-N 1 2 319.368 1.988 20 30 DDEDLO CCCC[C@H](ON=C(C)C)C(=O)NC[C@@H]1C[NH+]2CCN1CC2 ZINC000371894014 418824118 /nfs/dbraw/zinc/82/41/18/418824118.db2.gz MUTAZLFVTWOKHF-CABCVRRESA-N 1 2 310.442 1.074 20 30 DDEDLO CC(C)(C#N)CCCCN1CC[NH+]([C@H]2CCCCNC2=O)CC1 ZINC000365430016 418860304 /nfs/dbraw/zinc/86/03/04/418860304.db2.gz DIXUJLONZOWJEW-INIZCTEOSA-N 1 2 320.481 1.993 20 30 DDEDLO CC(C)OCCS(=O)(=O)N1CC[NH+]([C@@H](C)CCC#N)CC1 ZINC000411311060 418891186 /nfs/dbraw/zinc/89/11/86/418891186.db2.gz WIICENLXEJNMSA-AWEZNQCLSA-N 1 2 317.455 1.051 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)s1 ZINC000372283503 418850782 /nfs/dbraw/zinc/85/07/82/418850782.db2.gz XZJPQIUADWSIGN-LLVKDONJSA-N 1 2 317.418 2.104 20 30 DDEDLO Cc1cc(NCc2ccc(CS(C)(=O)=O)cc2)c(C#N)c[nH+]1 ZINC000425205023 228389934 /nfs/dbraw/zinc/38/99/34/228389934.db2.gz AYSSCRQAPIRQGV-UHFFFAOYSA-N 1 2 315.398 1.840 20 30 DDEDLO COCC(COC)N1CC[NH+]([C@@H](C)c2ccc(C#N)cc2)CC1 ZINC000411861397 419444400 /nfs/dbraw/zinc/44/44/00/419444400.db2.gz NMBWOBQCIKTNFC-HNNXBMFYSA-N 1 2 317.433 1.898 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(Cc3ccccc3)[C@@H](C)C2)C1=O ZINC000411980057 419541146 /nfs/dbraw/zinc/54/11/46/419541146.db2.gz FSQTVCDRBAYKAP-WMZOPIPTSA-N 1 2 313.445 1.980 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(Cc3ccccc3)[C@@H](C)C2)C1=O ZINC000411980057 419541151 /nfs/dbraw/zinc/54/11/51/419541151.db2.gz FSQTVCDRBAYKAP-WMZOPIPTSA-N 1 2 313.445 1.980 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@H](C(=O)N(C)C)C2)c(C#N)c1C ZINC000411935032 419503960 /nfs/dbraw/zinc/50/39/60/419503960.db2.gz KSGSRRVDMSQZJJ-LBPRGKRZSA-N 1 2 318.377 1.117 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@H](C(=O)N(C)C)C2)c(C#N)c1C ZINC000411935032 419503966 /nfs/dbraw/zinc/50/39/66/419503966.db2.gz KSGSRRVDMSQZJJ-LBPRGKRZSA-N 1 2 318.377 1.117 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000427044790 419585446 /nfs/dbraw/zinc/58/54/46/419585446.db2.gz JKSGMQHXZVJNMI-ZDUSSCGKSA-N 1 2 323.356 1.133 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)c2cccc(CC#N)c2)CCO1 ZINC000427760573 419727378 /nfs/dbraw/zinc/72/73/78/419727378.db2.gz DHQOXSINJSYEPW-MRXNPFEDSA-N 1 2 301.390 1.593 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)c2cccc(CC#N)c2)CCO1 ZINC000427760573 419727383 /nfs/dbraw/zinc/72/73/83/419727383.db2.gz DHQOXSINJSYEPW-MRXNPFEDSA-N 1 2 301.390 1.593 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CN1C(=O)CC2(CCCCC2)CC1=O ZINC000414141195 419810076 /nfs/dbraw/zinc/81/00/76/419810076.db2.gz JZCGYRKGACRDPB-CQSZACIVSA-N 1 2 321.421 1.292 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CN1C(=O)CC2(CCCCC2)CC1=O ZINC000414141195 419810084 /nfs/dbraw/zinc/81/00/84/419810084.db2.gz JZCGYRKGACRDPB-CQSZACIVSA-N 1 2 321.421 1.292 20 30 DDEDLO Cc1nsc(NC(=O)NCCNc2cccc[nH+]2)c1C#N ZINC000436490256 229483496 /nfs/dbraw/zinc/48/34/96/229483496.db2.gz PURRMMNYHUOUIP-UHFFFAOYSA-N 1 2 302.363 1.952 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[NH2+]C[C@](C)(F)C2)ccc1C#N ZINC000420438702 420287359 /nfs/dbraw/zinc/28/73/59/420287359.db2.gz LQZFSVOAGRCQRP-AWEZNQCLSA-N 1 2 311.382 1.189 20 30 DDEDLO C=CCn1cc(CNc2nc(C)[nH+]c3ccc(OC)cc32)nn1 ZINC000425260389 420331776 /nfs/dbraw/zinc/33/17/76/420331776.db2.gz AEGPNGOLLIXFSM-UHFFFAOYSA-N 1 2 310.361 2.336 20 30 DDEDLO Cc1cc(N2CCN(c3ncccc3[N+](=O)[O-])CC2)c(C#N)c[nH+]1 ZINC000425268225 420334830 /nfs/dbraw/zinc/33/48/30/420334830.db2.gz NVTXXOQMUUQWGW-UHFFFAOYSA-N 1 2 324.344 1.892 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N[C@@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000416409959 420361532 /nfs/dbraw/zinc/36/15/32/420361532.db2.gz DGKHIZAEECTJCE-NEPJUHHUSA-N 1 2 304.375 1.765 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000456774358 420542113 /nfs/dbraw/zinc/54/21/13/420542113.db2.gz JIJGBPRONKFCKL-KRWDZBQOSA-N 1 2 318.417 1.576 20 30 DDEDLO CCN1CC[NH+](CC(C)(C)NC(=O)c2ccc(C#N)nc2)CC1 ZINC000456877562 420557738 /nfs/dbraw/zinc/55/77/38/420557738.db2.gz BXZJRVSGSWXLGO-UHFFFAOYSA-N 1 2 315.421 1.099 20 30 DDEDLO C=C[C@@H](C)NC(=O)N1CC[C@@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000441090500 420631375 /nfs/dbraw/zinc/63/13/75/420631375.db2.gz MEAKTRYSUDXMRK-MLGOLLRUSA-N 1 2 311.426 1.666 20 30 DDEDLO COc1cc(/C=C/C(=O)N[C@@](C)(C#N)C[NH+](C)C)ccc1F ZINC000493119966 420762501 /nfs/dbraw/zinc/76/25/01/420762501.db2.gz PSCHLKCUTRBCIQ-BVBGJJFLSA-N 1 2 305.353 1.808 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C\c1cnc2ccccc2n1 ZINC000493149811 420769664 /nfs/dbraw/zinc/76/96/64/420769664.db2.gz KMEZANCXLHYXAE-XZVRFQMRSA-N 1 2 309.373 1.603 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3cccc(C#N)c3)C[C@@H]21 ZINC000492912816 420708123 /nfs/dbraw/zinc/70/81/23/420708123.db2.gz PEHDCRCQQKWTGP-JOGGYFKDSA-N 1 2 311.385 1.503 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3cccc(C#N)c3)C[C@@H]21 ZINC000492912816 420708128 /nfs/dbraw/zinc/70/81/28/420708128.db2.gz PEHDCRCQQKWTGP-JOGGYFKDSA-N 1 2 311.385 1.503 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+]1C[C@H](O)[C@H](CO)C1 ZINC000459695731 420849402 /nfs/dbraw/zinc/84/94/02/420849402.db2.gz LSYITNXUNJKMLF-JSGCOSHPSA-N 1 2 322.430 1.188 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+]1C[C@H](O)[C@H](CO)C1 ZINC000459695731 420849404 /nfs/dbraw/zinc/84/94/04/420849404.db2.gz LSYITNXUNJKMLF-JSGCOSHPSA-N 1 2 322.430 1.188 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000448656123 420879686 /nfs/dbraw/zinc/87/96/86/420879686.db2.gz MZZIRAGYYQTIBY-UHFFFAOYSA-N 1 2 309.373 1.822 20 30 DDEDLO C[C@H](NC(=O)N[C@H]1CC[C@@H](CC#N)C1)[C@H](C)[NH+]1CCOCC1 ZINC000454552249 420881867 /nfs/dbraw/zinc/88/18/67/420881867.db2.gz SZYXAGBUMKZWRB-AJNGGQMLSA-N 1 2 308.426 1.477 20 30 DDEDLO C=CCC[C@@H]([NH2+]C[C@@H]1CCO[C@H]1c1ccnn1C)C(=O)OCC ZINC000449660010 421050199 /nfs/dbraw/zinc/05/01/99/421050199.db2.gz WYLJUXNBKBDKFX-SQWLQELKSA-N 1 2 321.421 1.985 20 30 DDEDLO CO[C@H]1C[NH+](Cc2nnc(-c3cccc(C#N)c3)o2)C[C@@H]1OC ZINC000487969639 421061079 /nfs/dbraw/zinc/06/10/79/421061079.db2.gz GBIWOOPKHCVZDE-KBPBESRZSA-N 1 2 314.345 1.454 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494131546 420996463 /nfs/dbraw/zinc/99/64/63/420996463.db2.gz GCSYAHUATVISDH-KBPBESRZSA-N 1 2 307.394 1.102 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494131546 420996466 /nfs/dbraw/zinc/99/64/66/420996466.db2.gz GCSYAHUATVISDH-KBPBESRZSA-N 1 2 307.394 1.102 20 30 DDEDLO CS(=O)(=O)c1cccnc1C[NH2+]Cc1cc(C#N)cs1 ZINC000487218053 421011393 /nfs/dbraw/zinc/01/13/93/421011393.db2.gz IFCKENVIYJDRAF-UHFFFAOYSA-N 1 2 307.400 1.708 20 30 DDEDLO C=C(CC)CNC(=O)N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000455522597 421030487 /nfs/dbraw/zinc/03/04/87/421030487.db2.gz NCBYTYCKEDLAAX-OAHLLOKOSA-N 1 2 322.453 1.291 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1CC(=O)Nc1nc(CC(=O)OC)cs1 ZINC000489489129 421158922 /nfs/dbraw/zinc/15/89/22/421158922.db2.gz MDRBYDSZUHWKDS-GFCCVEGCSA-N 1 2 323.418 1.838 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1CC(=O)Nc1nc(CC(=O)OC)cs1 ZINC000489489129 421158924 /nfs/dbraw/zinc/15/89/24/421158924.db2.gz MDRBYDSZUHWKDS-GFCCVEGCSA-N 1 2 323.418 1.838 20 30 DDEDLO C=CCCCS(=O)(=O)NC[C@@H](CC(C)C)[NH+]1CCOCC1 ZINC000489079583 421135557 /nfs/dbraw/zinc/13/55/57/421135557.db2.gz SPDVAAODNNNTQM-OAHLLOKOSA-N 1 2 318.483 1.619 20 30 DDEDLO C/C=C(/C=C/C(=O)N(CCC#N)CC[NH+]1CCOCC1)CC ZINC000492161918 421207617 /nfs/dbraw/zinc/20/76/17/421207617.db2.gz RSMPSMKOVQWUHS-DQYZCEPYSA-N 1 2 305.422 1.973 20 30 DDEDLO N#Cc1cc(NC[C@H](c2cccs2)[NH+]2CCOCC2)ncn1 ZINC000526137832 421335107 /nfs/dbraw/zinc/33/51/07/421335107.db2.gz AYJGFEZNPYULAH-CYBMUJFWSA-N 1 2 315.402 1.895 20 30 DDEDLO N#Cc1ccc(C2(C(=O)N[C@H]3CCn4cc[nH+]c4C3)CC2)cc1 ZINC000548406068 421433927 /nfs/dbraw/zinc/43/39/27/421433927.db2.gz PXCSBPXZJYJMGW-HNNXBMFYSA-N 1 2 306.369 1.918 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000527498153 421391644 /nfs/dbraw/zinc/39/16/44/421391644.db2.gz JJGHBIBWVRTDQX-JKSUJKDBSA-N 1 2 319.368 1.568 20 30 DDEDLO Cc1oc(Br)cc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000563220241 421474394 /nfs/dbraw/zinc/47/43/94/421474394.db2.gz IIPWSEQOZYITMX-LBPRGKRZSA-N 1 2 314.183 1.924 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)C[C@H](O)COc2ccc(C#N)cc2)n1 ZINC000563821186 421546034 /nfs/dbraw/zinc/54/60/34/421546034.db2.gz OMWKKGPFLNAICO-FZMZJTMJSA-N 1 2 316.361 1.682 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)C[C@H](O)COc2ccc(C#N)cc2)n1 ZINC000563821186 421546036 /nfs/dbraw/zinc/54/60/36/421546036.db2.gz OMWKKGPFLNAICO-FZMZJTMJSA-N 1 2 316.361 1.682 20 30 DDEDLO N#Cc1ccc(Br)c(C[NH2+][C@@]2(C(N)=O)CCOC2)c1 ZINC000564465293 421589392 /nfs/dbraw/zinc/58/93/92/421589392.db2.gz KFHHJWIAXVQSLP-ZDUSSCGKSA-N 1 2 324.178 1.055 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1ncc2c(c1C#N)CCC2 ZINC000517361350 421609830 /nfs/dbraw/zinc/60/98/30/421609830.db2.gz OTAXIAJUGZISFK-ZFWWWQNUSA-N 1 2 312.417 1.741 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1ncc2c(c1C#N)CCC2 ZINC000517361341 421610770 /nfs/dbraw/zinc/61/07/70/421610770.db2.gz OTAXIAJUGZISFK-DZGCQCFKSA-N 1 2 312.417 1.741 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000569669844 421627055 /nfs/dbraw/zinc/62/70/55/421627055.db2.gz IQHLHHGZVVSSPH-LVQVYYBASA-N 1 2 323.437 1.130 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000569669844 421627058 /nfs/dbraw/zinc/62/70/58/421627058.db2.gz IQHLHHGZVVSSPH-LVQVYYBASA-N 1 2 323.437 1.130 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C(=O)OC)oc2C)C1=O ZINC000532212975 421651926 /nfs/dbraw/zinc/65/19/26/421651926.db2.gz HWDXBZXMPSHRIC-CYBMUJFWSA-N 1 2 306.362 1.593 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C(=O)OC)oc2C)C1=O ZINC000532212975 421651929 /nfs/dbraw/zinc/65/19/29/421651929.db2.gz HWDXBZXMPSHRIC-CYBMUJFWSA-N 1 2 306.362 1.593 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1[NH2+]CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000571255993 421701165 /nfs/dbraw/zinc/70/11/65/421701165.db2.gz YTHHIWXBUDXGCI-YPMHNXCESA-N 1 2 321.402 1.332 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000556643853 421718203 /nfs/dbraw/zinc/71/82/03/421718203.db2.gz DUGMKDAMBCCDBG-MCIONIFRSA-N 1 2 319.409 1.141 20 30 DDEDLO N#Cc1csc(C[NH2+][C@H]2CCCN(c3cccnc3)C2=O)n1 ZINC000521120938 421774029 /nfs/dbraw/zinc/77/40/29/421774029.db2.gz RLXREWQNWRRVLR-ZDUSSCGKSA-N 1 2 313.386 1.695 20 30 DDEDLO CCS(=O)(=O)N(C)C1CC[NH+](Cc2ccc(C#N)cn2)CC1 ZINC000559290330 421832542 /nfs/dbraw/zinc/83/25/42/421832542.db2.gz SPJRFGKFIVXKFF-UHFFFAOYSA-N 1 2 322.434 1.199 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCOCC(F)(F)C(F)F ZINC000574104687 422065023 /nfs/dbraw/zinc/06/50/23/422065023.db2.gz BADCGAWLKBQWFD-NSHDSACASA-N 1 2 313.295 1.254 20 30 DDEDLO Cc1cc(N2CCC(N(C)S(C)(=O)=O)CC2)c(C#N)c[nH+]1 ZINC000574805481 422185796 /nfs/dbraw/zinc/18/57/96/422185796.db2.gz CIJRHLXFXSWSMO-UHFFFAOYSA-N 1 2 308.407 1.122 20 30 DDEDLO N#Cc1cncnc1NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000574484892 422118771 /nfs/dbraw/zinc/11/87/71/422118771.db2.gz XISQGOIBOKYMJU-ZDUSSCGKSA-N 1 2 315.402 1.317 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)NC[C@H]1COCC[N@H+]1C ZINC000637447240 422215975 /nfs/dbraw/zinc/21/59/75/422215975.db2.gz XPDBQQFVZZNJQO-INIZCTEOSA-N 1 2 303.406 1.715 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)NC[C@H]1COCC[N@@H+]1C ZINC000637447240 422215983 /nfs/dbraw/zinc/21/59/83/422215983.db2.gz XPDBQQFVZZNJQO-INIZCTEOSA-N 1 2 303.406 1.715 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)[nH]1 ZINC000583599097 422192994 /nfs/dbraw/zinc/19/29/94/422192994.db2.gz CCBZCSNUPFZSHH-WCQYABFASA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)[nH]1 ZINC000583599097 422193000 /nfs/dbraw/zinc/19/30/00/422193000.db2.gz CCBZCSNUPFZSHH-WCQYABFASA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)n1 ZINC000583599097 422193007 /nfs/dbraw/zinc/19/30/07/422193007.db2.gz CCBZCSNUPFZSHH-WCQYABFASA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)n1 ZINC000583599097 422193012 /nfs/dbraw/zinc/19/30/12/422193012.db2.gz CCBZCSNUPFZSHH-WCQYABFASA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)n[nH]1 ZINC000583599097 422193019 /nfs/dbraw/zinc/19/30/19/422193019.db2.gz CCBZCSNUPFZSHH-WCQYABFASA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@H+]([C@@H](C)C(=O)NC3(C#N)CCC3)C2)n[nH]1 ZINC000583599097 422193024 /nfs/dbraw/zinc/19/30/24/422193024.db2.gz CCBZCSNUPFZSHH-WCQYABFASA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1cccc([C@@H](CNc2cc(C#N)cnn2)[NH+]2CCOCC2)c1 ZINC000596074997 422362309 /nfs/dbraw/zinc/36/23/09/422362309.db2.gz UYINQYNVNXTUFW-QGZVFWFLSA-N 1 2 323.400 2.142 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)c2ccn3cc(C)[nH+]c3c2)C1=O ZINC000635891613 422446271 /nfs/dbraw/zinc/44/62/71/422446271.db2.gz MVONYWMMYZXPHF-CQSZACIVSA-N 1 2 312.373 1.502 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N2[C@H](C)CCC[C@@H]2C)nn1 ZINC000640785706 423181924 /nfs/dbraw/zinc/18/19/24/423181924.db2.gz LROPRXSKKJDXRE-OKILXGFUSA-N 1 2 303.410 1.181 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N[C@H]2CCCC[C@H]2C)nn1 ZINC000640794227 423190124 /nfs/dbraw/zinc/19/01/24/423190124.db2.gz NPCZJRYBRDFDON-HIFRSBDPSA-N 1 2 303.410 1.086 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N[C@@H]2CCCC[C@H]2C)nn1 ZINC000640794461 423193367 /nfs/dbraw/zinc/19/33/67/423193367.db2.gz NPCZJRYBRDFDON-UKRRQHHQSA-N 1 2 303.410 1.086 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)COc1ccccc1C#N ZINC000032687475 263252467 /nfs/dbraw/zinc/25/24/67/263252467.db2.gz UCEQJJMRYGXBGP-UHFFFAOYSA-N 1 2 310.357 1.714 20 30 DDEDLO C=CCCn1cc(CN2CC[NH+](Cc3cc(C)no3)CC2)nn1 ZINC000653475901 423489666 /nfs/dbraw/zinc/48/96/66/423489666.db2.gz PCNAATFGKQXCPW-UHFFFAOYSA-N 1 2 316.409 1.468 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000649187807 423666040 /nfs/dbraw/zinc/66/60/40/423666040.db2.gz XHGINABZUARWGL-HZPDHXFCSA-N 1 2 310.438 1.014 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H](C)C(=O)NCc2ccco2)nn1 ZINC000657357183 424304836 /nfs/dbraw/zinc/30/48/36/424304836.db2.gz SMHPFUUMMLMULC-GFCCVEGCSA-N 1 2 303.366 1.242 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC000660853745 424792757 /nfs/dbraw/zinc/79/27/57/424792757.db2.gz GWWHXBACMGBCGV-OAGGEKHMSA-N 1 2 306.454 1.515 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)C[C@H](C2CC2)O1 ZINC000373511366 267049001 /nfs/dbraw/zinc/04/90/01/267049001.db2.gz XUPCGGUCCXWPSX-CXAGYDPISA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)C[C@H](C2CC2)O1 ZINC000373511366 267049003 /nfs/dbraw/zinc/04/90/03/267049003.db2.gz XUPCGGUCCXWPSX-CXAGYDPISA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(F)c(C#N)c2)[C@@H](C)C[N@@H+]1C ZINC000350839173 267058851 /nfs/dbraw/zinc/05/88/51/267058851.db2.gz WARSJIPRFXEXAQ-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(F)c(C#N)c2)[C@@H](C)C[N@H+]1C ZINC000350839173 267058854 /nfs/dbraw/zinc/05/88/54/267058854.db2.gz WARSJIPRFXEXAQ-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1CN(c2nccc(C#N)c2Cl)C[C@H]1[NH+]1CCOCC1 ZINC000342573700 267064926 /nfs/dbraw/zinc/06/49/26/267064926.db2.gz OROHUJHMMLIQHS-DGCLKSJQSA-N 1 2 306.797 1.764 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[NH2+]C[C@H]2C(F)F)ccc1C#N ZINC000367480322 267355302 /nfs/dbraw/zinc/35/53/02/267355302.db2.gz JHENNAMPYBJIIU-LBPRGKRZSA-N 1 2 315.345 1.094 20 30 DDEDLO N#Cc1ccc(N2CC[NH+]([C@@H]3COC[C@H]3O)CC2)c(Cl)c1 ZINC000361393670 268120053 /nfs/dbraw/zinc/12/00/53/268120053.db2.gz YEUJCJSTOFTYHT-HUUCEWRRSA-N 1 2 307.781 1.093 20 30 DDEDLO Cn1ncc(C(=O)N2CC[NH+](Cc3ccsc3)CC2)c1C#N ZINC000526041849 268132392 /nfs/dbraw/zinc/13/23/92/268132392.db2.gz DRWQQCVFCCPHTE-UHFFFAOYSA-N 1 2 315.402 1.311 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCn3c[nH+]cc3C2)c(Cl)c1 ZINC000377761313 268196298 /nfs/dbraw/zinc/19/62/98/268196298.db2.gz PASPYLBGEQPCTB-UHFFFAOYSA-N 1 2 322.777 1.613 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CC[C@H]3CC[C@@H](C2)[S@]3=O)cc1 ZINC000528785142 268262826 /nfs/dbraw/zinc/26/28/26/268262826.db2.gz RXGFWIFZNPWBJK-CQHMPISHSA-N 1 2 317.414 1.070 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CC[C@H]3CC[C@@H](C2)[S@]3=O)cc1 ZINC000528785142 268262827 /nfs/dbraw/zinc/26/28/27/268262827.db2.gz RXGFWIFZNPWBJK-CQHMPISHSA-N 1 2 317.414 1.070 20 30 DDEDLO N#Cc1csc(C[NH2+]CCNS(=O)(=O)c2ccccc2)n1 ZINC000352835877 268319813 /nfs/dbraw/zinc/31/98/13/268319813.db2.gz QMUWTGBCTWSLDE-UHFFFAOYSA-N 1 2 322.415 1.083 20 30 DDEDLO N#Cc1cccc([C@H]2CN(CC[NH+]3CCOCC3)CCO2)c1 ZINC000341799437 271215981 /nfs/dbraw/zinc/21/59/81/271215981.db2.gz OALVPJMZLVMFFR-QGZVFWFLSA-N 1 2 301.390 1.264 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](CCN3CCOCC3)CCO2)c1 ZINC000341799437 271215985 /nfs/dbraw/zinc/21/59/85/271215985.db2.gz OALVPJMZLVMFFR-QGZVFWFLSA-N 1 2 301.390 1.264 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](CCN3CCOCC3)CCO2)c1 ZINC000341799437 271215988 /nfs/dbraw/zinc/21/59/88/271215988.db2.gz OALVPJMZLVMFFR-QGZVFWFLSA-N 1 2 301.390 1.264 20 30 DDEDLO C#CC[NH+]1CCN(c2ncc([N+](=O)[O-])cc2C(F)(F)F)CC1 ZINC000491743593 275382955 /nfs/dbraw/zinc/38/29/55/275382955.db2.gz WJYPNEOXWFCTTQ-UHFFFAOYSA-N 1 2 314.267 1.764 20 30 DDEDLO CC(C)(C#N)CC[N@H+](C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000119858161 278256882 /nfs/dbraw/zinc/25/68/82/278256882.db2.gz DPQIGDLFVKMFJP-KGLIPLIRSA-N 1 2 314.451 1.594 20 30 DDEDLO CC(C)(C#N)CC[N@@H+](C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000119858161 278256884 /nfs/dbraw/zinc/25/68/84/278256884.db2.gz DPQIGDLFVKMFJP-KGLIPLIRSA-N 1 2 314.451 1.594 20 30 DDEDLO C[C@@H]1CN(CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H](C)[NH+]1C ZINC000336893898 288181150 /nfs/dbraw/zinc/18/11/50/288181150.db2.gz VGQYOCZYGQEVLI-ZIAGYGMSSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1C(=O)c1cccc(CC#N)c1 ZINC000290753255 289047991 /nfs/dbraw/zinc/04/79/91/289047991.db2.gz ANSSMJWWBNGKQI-RHSMWYFYSA-N 1 2 313.401 1.688 20 30 DDEDLO COc1cccc([C@@H](C)NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)c1 ZINC000329736736 533692979 /nfs/dbraw/zinc/69/29/79/533692979.db2.gz SSGZULYXGSKQJY-UHOFOFEASA-N 1 2 319.405 1.685 20 30 DDEDLO COc1cccc([C@@H](C)NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)c1 ZINC000329736736 533692992 /nfs/dbraw/zinc/69/29/92/533692992.db2.gz SSGZULYXGSKQJY-UHOFOFEASA-N 1 2 319.405 1.685 20 30 DDEDLO COc1ccc(/C=C\C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1OC ZINC000493805678 295062294 /nfs/dbraw/zinc/06/22/94/295062294.db2.gz BHXBIIZRTFMTLW-NBEHIINDSA-N 1 2 317.389 1.677 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@H+]3C[C@H]4CC[C@@H](C3)O4)C2=O)cc1 ZINC000329424942 303193881 /nfs/dbraw/zinc/19/38/81/303193881.db2.gz IIDCHWMCRXPCHY-BBWFWOEESA-N 1 2 311.385 1.917 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@@H+]3C[C@H]4CC[C@@H](C3)O4)C2=O)cc1 ZINC000329424942 303193884 /nfs/dbraw/zinc/19/38/84/303193884.db2.gz IIDCHWMCRXPCHY-BBWFWOEESA-N 1 2 311.385 1.917 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000538854288 303391389 /nfs/dbraw/zinc/39/13/89/303391389.db2.gz ZWSUGFCPRQNGAK-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000538854288 303391392 /nfs/dbraw/zinc/39/13/92/303391392.db2.gz ZWSUGFCPRQNGAK-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO COC(=O)c1ccc(NCc2ccc[nH+]c2N(C)C)c(C#N)n1 ZINC000554801577 303708713 /nfs/dbraw/zinc/70/87/13/303708713.db2.gz WFJFASRXUWVJND-UHFFFAOYSA-N 1 2 311.345 1.813 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ncccc1O ZINC000570972969 308187104 /nfs/dbraw/zinc/18/71/04/308187104.db2.gz VCONHOFRZZYBRO-GFCCVEGCSA-N 1 2 310.361 1.160 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ncccc1O ZINC000570972969 308187105 /nfs/dbraw/zinc/18/71/05/308187105.db2.gz VCONHOFRZZYBRO-GFCCVEGCSA-N 1 2 310.361 1.160 20 30 DDEDLO CCCC[N@H+](Cc1ccc(C#N)cn1)[C@@H]1CCS(=O)(=O)C1 ZINC000577133248 308365301 /nfs/dbraw/zinc/36/53/01/308365301.db2.gz KFEYKRHUEWCTSU-OAHLLOKOSA-N 1 2 307.419 1.742 20 30 DDEDLO CCCC[N@@H+](Cc1ccc(C#N)cn1)[C@@H]1CCS(=O)(=O)C1 ZINC000577133248 308365302 /nfs/dbraw/zinc/36/53/02/308365302.db2.gz KFEYKRHUEWCTSU-OAHLLOKOSA-N 1 2 307.419 1.742 20 30 DDEDLO C[C@@H]1C[C@@H](NS(=O)(=O)c2ccc(C#N)c(F)c2)c2[nH+]ccn21 ZINC000579555958 308539558 /nfs/dbraw/zinc/53/95/58/308539558.db2.gz NAQGQEYSKTYXBI-NOZJJQNGSA-N 1 2 320.349 1.878 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3cnccc3C#N)CC2)cc1C#N ZINC000580028769 308573655 /nfs/dbraw/zinc/57/36/55/308573655.db2.gz LAGRGMLZISYULQ-UHFFFAOYSA-N 1 2 306.373 1.486 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H](C)Nc1cc[nH+]c(C)n1 ZINC001120680702 782090610 /nfs/dbraw/zinc/09/06/10/782090610.db2.gz WMDXZZCBZSYGTP-BNOWGMLFSA-N 1 2 304.394 1.635 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(n2[nH]cc(C(=O)OCC)c2=N)CC1 ZINC000121900614 331903494 /nfs/dbraw/zinc/90/34/94/331903494.db2.gz LUUJDOOMPRNARV-UHFFFAOYSA-N 1 2 312.801 1.862 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000564885020 331904586 /nfs/dbraw/zinc/90/45/86/331904586.db2.gz NPIDGLQXPKYUGL-VGWMRTNUSA-N 1 2 323.437 1.082 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+][C@H]1CCN(c2ccc(F)cc2)C1=O ZINC000518500747 332068416 /nfs/dbraw/zinc/06/84/16/332068416.db2.gz ZHYDDXZSHOCFTJ-DOMZBBRYSA-N 1 2 300.337 1.964 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000584296051 332224128 /nfs/dbraw/zinc/22/41/28/332224128.db2.gz AZTCUXNQZAKVEU-JSGCOSHPSA-N 1 2 305.422 1.760 20 30 DDEDLO Cc1cc(=O)cc(C)n1CCCC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000570097705 332711582 /nfs/dbraw/zinc/71/15/82/332711582.db2.gz JVMCLBIWZFTBFB-QGZVFWFLSA-N 1 2 318.421 1.205 20 30 DDEDLO Cn1ncc(C(=O)N[C@H]2CC[C@H](Nc3cccc[nH+]3)CC2)c1C#N ZINC000583571836 335737402 /nfs/dbraw/zinc/73/74/02/335737402.db2.gz XCCOIUBGHBPJCK-JOCQHMNTSA-N 1 2 324.388 1.840 20 30 DDEDLO C#CC[C@@H]([NH2+][C@H]1CCCN(C(=O)OC(C)(C)C)CC1)C(=O)OC ZINC000496827359 340013965 /nfs/dbraw/zinc/01/39/65/340013965.db2.gz JZAMIECHPVECPT-UONOGXRCSA-N 1 2 324.421 1.931 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+](C)Cc1ccc([S@@](C)=O)cc1 ZINC000247156492 341361554 /nfs/dbraw/zinc/36/15/54/341361554.db2.gz ULUDJLVTGXFGJM-MCMMXHMISA-N 1 2 321.446 1.616 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+](C)Cc1ccc([S@@](C)=O)cc1 ZINC000247156492 341361555 /nfs/dbraw/zinc/36/15/55/341361555.db2.gz ULUDJLVTGXFGJM-MCMMXHMISA-N 1 2 321.446 1.616 20 30 DDEDLO CS(=O)(=O)CCC[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000554564775 341458260 /nfs/dbraw/zinc/45/82/60/341458260.db2.gz SXKRKWLZUFBCMZ-UHFFFAOYSA-N 1 2 307.419 1.373 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)N(C)Cc1cn2c([nH+]1)CCCC2 ZINC000573157226 341719180 /nfs/dbraw/zinc/71/91/80/341719180.db2.gz MUERICQQHBTVIQ-ZDUSSCGKSA-N 1 2 315.421 1.602 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cncc(C(F)(F)F)c1 ZINC000577735123 341932860 /nfs/dbraw/zinc/93/28/60/341932860.db2.gz WHAXNONDECAPAD-LBPRGKRZSA-N 1 2 300.284 1.674 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1C[C@@H](CO)OC[C@H]1C ZINC000361685856 484523715 /nfs/dbraw/zinc/52/37/15/484523715.db2.gz GUSDREOXFMRNPK-OIISXLGYSA-N 1 2 321.417 1.236 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1C[C@@H](CO)OC[C@H]1C ZINC000361685856 484523721 /nfs/dbraw/zinc/52/37/21/484523721.db2.gz GUSDREOXFMRNPK-OIISXLGYSA-N 1 2 321.417 1.236 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+](Cc2cc(C)ccc2OC)CC1 ZINC000665479135 484878782 /nfs/dbraw/zinc/87/87/82/484878782.db2.gz RKAKORMJWMZBIA-SFHVURJKSA-N 1 2 318.417 1.585 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000668115876 484962590 /nfs/dbraw/zinc/96/25/90/484962590.db2.gz LTICVMLXYWESAD-QGZVFWFLSA-N 1 2 304.390 1.276 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000665616221 484970946 /nfs/dbraw/zinc/97/09/46/484970946.db2.gz ZUJVTKCHDOXPJV-OAHLLOKOSA-N 1 2 318.421 1.808 20 30 DDEDLO C=CC[N@H+](CC(=O)NCC(=O)c1ccccc1)[C@@H](C)COC ZINC000661241597 484995805 /nfs/dbraw/zinc/99/58/05/484995805.db2.gz CFZFJIKIVZYOBW-AWEZNQCLSA-N 1 2 304.390 1.508 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCC(=O)c1ccccc1)[C@@H](C)COC ZINC000661241597 484995812 /nfs/dbraw/zinc/99/58/12/484995812.db2.gz CFZFJIKIVZYOBW-AWEZNQCLSA-N 1 2 304.390 1.508 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NC(=O)C(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000668570337 485219233 /nfs/dbraw/zinc/21/92/33/485219233.db2.gz UTDGFGQWOIEUCJ-RRFJBIMHSA-N 1 2 318.421 1.639 20 30 DDEDLO C=C[C@@](C)(O)C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000667138708 485413448 /nfs/dbraw/zinc/41/34/48/485413448.db2.gz QITUXQDKQGPZMR-QGZVFWFLSA-N 1 2 303.406 1.310 20 30 DDEDLO C=CCOCCNC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000679565193 485923982 /nfs/dbraw/zinc/92/39/82/485923982.db2.gz DZSIMNDDWAHWLO-UHFFFAOYSA-N 1 2 320.393 1.551 20 30 DDEDLO C[C@]1(O)CCCN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)CC1 ZINC000329979173 534183338 /nfs/dbraw/zinc/18/33/38/534183338.db2.gz JBDOXKCEMQRAHC-BBRMVZONSA-N 1 2 306.410 1.911 20 30 DDEDLO Cc1noc(C)c1NC(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000330323398 534369280 /nfs/dbraw/zinc/36/92/80/534369280.db2.gz HQDAKJGGVMKMJG-LBPRGKRZSA-N 1 2 318.381 1.559 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NCC(=O)NC(C)C)CCC2 ZINC000330388631 534510473 /nfs/dbraw/zinc/51/04/73/534510473.db2.gz XCDIPDIFNABJCB-LBPRGKRZSA-N 1 2 307.398 1.097 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@@H](CO)CC(C)C)CCC2 ZINC000330389093 534510866 /nfs/dbraw/zinc/51/08/66/534510866.db2.gz DLDLUUQMSHTVCV-UONOGXRCSA-N 1 2 308.426 1.980 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NC[C@@H](O)C(C)(C)C)CCC2 ZINC000330410192 534512107 /nfs/dbraw/zinc/51/21/07/534512107.db2.gz TYVJOQZOHXFJGH-QWHCGFSZSA-N 1 2 308.426 1.980 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+](C)[C@]2(CO)CCOC2)c(C#N)c1C ZINC000330810120 534608323 /nfs/dbraw/zinc/60/83/23/534608323.db2.gz NXRMLVZSJBSKFX-HNNXBMFYSA-N 1 2 323.418 1.258 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+](C)[C@]2(CO)CCOC2)c(C#N)c1C ZINC000330810120 534608328 /nfs/dbraw/zinc/60/83/28/534608328.db2.gz NXRMLVZSJBSKFX-HNNXBMFYSA-N 1 2 323.418 1.258 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CC[C@]2(O)CCCC[C@@H]2C1 ZINC000329701850 534763544 /nfs/dbraw/zinc/76/35/44/534763544.db2.gz HPQHUHNKSBCBFR-PBFPGSCMSA-N 1 2 318.421 1.808 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CC[C@]2(O)CCCC[C@@H]2C1 ZINC000329701850 534763548 /nfs/dbraw/zinc/76/35/48/534763548.db2.gz HPQHUHNKSBCBFR-PBFPGSCMSA-N 1 2 318.421 1.808 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCc1c(OC)cc(OC)cc1OC ZINC000339751441 526359325 /nfs/dbraw/zinc/35/93/25/526359325.db2.gz DIDMEARTUBEADZ-UHFFFAOYSA-N 1 2 320.389 1.284 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCc1c(OC)cc(OC)cc1OC ZINC000339751441 526359329 /nfs/dbraw/zinc/35/93/29/526359329.db2.gz DIDMEARTUBEADZ-UHFFFAOYSA-N 1 2 320.389 1.284 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(C)CC2CCCCC2)C1=O ZINC000337203066 526466668 /nfs/dbraw/zinc/46/66/68/526466668.db2.gz FXWUGTQFNAVTBW-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(C)CC2CCCCC2)C1=O ZINC000337203066 526466675 /nfs/dbraw/zinc/46/66/75/526466675.db2.gz FXWUGTQFNAVTBW-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N2[C@H](C)CCC[C@H]2C)C1=O ZINC000337202392 526499780 /nfs/dbraw/zinc/49/97/80/526499780.db2.gz DYGKLDCBGSAAOO-KFWWJZLASA-N 1 2 307.438 1.495 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N2[C@H](C)CCC[C@H]2C)C1=O ZINC000337202392 526499787 /nfs/dbraw/zinc/49/97/87/526499787.db2.gz DYGKLDCBGSAAOO-KFWWJZLASA-N 1 2 307.438 1.495 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)CCC2CCOCC2)CC1 ZINC000329641280 526624772 /nfs/dbraw/zinc/62/47/72/526624772.db2.gz XQAWCQMAEQBWAH-UHFFFAOYSA-N 1 2 311.426 1.314 20 30 DDEDLO C#CC[N@@H+](C[C@@H](Cc1ccccc1)OC)[C@H]1CCS(=O)(=O)C1 ZINC000491255968 526902640 /nfs/dbraw/zinc/90/26/40/526902640.db2.gz YUPCGHOYDRBIRZ-DLBZAZTESA-N 1 2 321.442 1.366 20 30 DDEDLO C#CC[N@H+](C[C@@H](Cc1ccccc1)OC)[C@H]1CCS(=O)(=O)C1 ZINC000491255968 526902646 /nfs/dbraw/zinc/90/26/46/526902646.db2.gz YUPCGHOYDRBIRZ-DLBZAZTESA-N 1 2 321.442 1.366 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccn3)n2C2CC2)CC1 ZINC000491638520 526955663 /nfs/dbraw/zinc/95/56/63/526955663.db2.gz VXHXADDQRNYPCI-UHFFFAOYSA-N 1 2 308.389 1.430 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000341420613 526967119 /nfs/dbraw/zinc/96/71/19/526967119.db2.gz ODEVTSGHLCJEJD-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](C)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC000491101929 527015281 /nfs/dbraw/zinc/01/52/81/527015281.db2.gz LCFJLEGKAWGXTN-HUUCEWRRSA-N 1 2 315.417 1.276 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](C)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC000491101929 527015287 /nfs/dbraw/zinc/01/52/87/527015287.db2.gz LCFJLEGKAWGXTN-HUUCEWRRSA-N 1 2 315.417 1.276 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](CCOCC)CC2)CCOCC1 ZINC000424668151 527043001 /nfs/dbraw/zinc/04/30/01/527043001.db2.gz SERIARAFBNUKFQ-UHFFFAOYSA-N 1 2 310.438 1.540 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1cccc(CC#N)c1 ZINC000417208343 527086089 /nfs/dbraw/zinc/08/60/89/527086089.db2.gz OQCYQJDGZUVVRY-UHFFFAOYSA-N 1 2 316.405 1.985 20 30 DDEDLO C#C[C@@H](C)N(C)CC(=O)Nc1nc(C[NH+]2CCCC2)cs1 ZINC000491806944 527212440 /nfs/dbraw/zinc/21/24/40/527212440.db2.gz RYKKCVZFPZMPRU-GFCCVEGCSA-N 1 2 306.435 1.631 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)NCc1ccccc1Cl ZINC000491703580 527310454 /nfs/dbraw/zinc/31/04/54/527310454.db2.gz XFQYPVUKEQEWBR-CQSZACIVSA-N 1 2 306.793 1.680 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)NCc1ccccc1Cl ZINC000491703580 527310457 /nfs/dbraw/zinc/31/04/57/527310457.db2.gz XFQYPVUKEQEWBR-CQSZACIVSA-N 1 2 306.793 1.680 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccc(N(C)C(C)C)nc2)nn1 ZINC000424172374 527372735 /nfs/dbraw/zinc/37/27/35/527372735.db2.gz NQTYZUQSQXSWDT-UHFFFAOYSA-N 1 2 300.410 1.994 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000457655901 527429875 /nfs/dbraw/zinc/42/98/75/527429875.db2.gz OXRIYMCTUBRVOD-HNNXBMFYSA-N 1 2 318.421 1.808 20 30 DDEDLO CC(C)OC(=O)c1ccc(OC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000414136987 527868596 /nfs/dbraw/zinc/86/85/96/527868596.db2.gz JNIZTZNWTGYQDF-OAHLLOKOSA-N 1 2 320.389 1.837 20 30 DDEDLO CC(C)OC(=O)c1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000414136987 527868601 /nfs/dbraw/zinc/86/86/01/527868601.db2.gz JNIZTZNWTGYQDF-OAHLLOKOSA-N 1 2 320.389 1.837 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCC[C@@H]1c1cnn(C)c1 ZINC000332390252 527933336 /nfs/dbraw/zinc/93/33/36/527933336.db2.gz RCNNSKPONYYVRP-UNEWFSDZSA-N 1 2 317.437 2.000 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCC[C@@H]1c1cnn(C)c1 ZINC000332390252 527933341 /nfs/dbraw/zinc/93/33/41/527933341.db2.gz RCNNSKPONYYVRP-UNEWFSDZSA-N 1 2 317.437 2.000 20 30 DDEDLO CC(C)O[C@@H]1C[C@H](NC(=O)NC[C@H]2C[N@H+](C)CCO2)C1(C)C ZINC000329641597 528061988 /nfs/dbraw/zinc/06/19/88/528061988.db2.gz XWGUKXMCKLJEDU-MELADBBJSA-N 1 2 313.442 1.413 20 30 DDEDLO CC(C)O[C@@H]1C[C@H](NC(=O)NC[C@H]2C[N@@H+](C)CCO2)C1(C)C ZINC000329641597 528061998 /nfs/dbraw/zinc/06/19/98/528061998.db2.gz XWGUKXMCKLJEDU-MELADBBJSA-N 1 2 313.442 1.413 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH+]1CCC(C#N)(COC)CC1 ZINC000341990121 528619927 /nfs/dbraw/zinc/61/99/27/528619927.db2.gz LXTWSMFPCHHGEX-AWEZNQCLSA-N 1 2 306.410 1.247 20 30 DDEDLO CC(C)[C@H](C)NC(=O)N1CCO[C@H](C[N@H+](C)CC(N)=O)C1 ZINC000331256290 528931533 /nfs/dbraw/zinc/93/15/33/528931533.db2.gz ZJHSGBPHERKKPL-NWDGAFQWSA-N 1 2 300.403 1.113 20 30 DDEDLO CC(C)[C@H](C)NC(=O)N1CCO[C@H](C[N@@H+](C)CC(N)=O)C1 ZINC000331256290 528931537 /nfs/dbraw/zinc/93/15/37/528931537.db2.gz ZJHSGBPHERKKPL-NWDGAFQWSA-N 1 2 300.403 1.113 20 30 DDEDLO CC1(C)CC[C@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)O1 ZINC000345692619 529034120 /nfs/dbraw/zinc/03/41/20/529034120.db2.gz MCIGSRACTVDKKL-CQSZACIVSA-N 1 2 309.410 1.018 20 30 DDEDLO CCN(C)C(=O)CNC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000331048796 529113966 /nfs/dbraw/zinc/11/39/66/529113966.db2.gz JUPFPWIBYVKZEH-UHFFFAOYSA-N 1 2 324.450 1.258 20 30 DDEDLO CCN1CCN(C(=O)Cc2ccc(C#N)cc2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000433103697 529126026 /nfs/dbraw/zinc/12/60/26/529126026.db2.gz JYOSHAZXFKVNMH-MRXNPFEDSA-N 1 2 323.400 1.729 20 30 DDEDLO C#CCNC(=O)[C@H](C)OC(=O)[C@H](c1ccccc1)[N@@H+](C)CC ZINC000745102107 699971883 /nfs/dbraw/zinc/97/18/83/699971883.db2.gz UHVGUMHVLWDNCH-ZFWWWQNUSA-N 1 2 302.374 1.361 20 30 DDEDLO C#CCNC(=O)[C@H](C)OC(=O)[C@H](c1ccccc1)[N@H+](C)CC ZINC000745102107 699971884 /nfs/dbraw/zinc/97/18/84/699971884.db2.gz UHVGUMHVLWDNCH-ZFWWWQNUSA-N 1 2 302.374 1.361 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)c2c(C)nc[nH]c2=O)C1 ZINC000972141843 695163220 /nfs/dbraw/zinc/16/32/20/695163220.db2.gz SHVBDVRJBCBBBG-LLVKDONJSA-N 1 2 310.785 1.389 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)c2c(C)nc[nH]c2=O)C1 ZINC000972141843 695163222 /nfs/dbraw/zinc/16/32/22/695163222.db2.gz SHVBDVRJBCBBBG-LLVKDONJSA-N 1 2 310.785 1.389 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)o3)C2)C1 ZINC000972249657 695190018 /nfs/dbraw/zinc/19/00/18/695190018.db2.gz WATRUWDFIZCACT-QGZVFWFLSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)o3)C2)C1 ZINC000972249657 695190022 /nfs/dbraw/zinc/19/00/22/695190022.db2.gz WATRUWDFIZCACT-QGZVFWFLSA-N 1 2 302.374 1.528 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cc(C)on3)C2)C1 ZINC000972300901 695210716 /nfs/dbraw/zinc/21/07/16/695210716.db2.gz JRZAQAWERGBJLU-INIZCTEOSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cc(C)on3)C2)C1 ZINC000972300901 695210718 /nfs/dbraw/zinc/21/07/18/695210718.db2.gz JRZAQAWERGBJLU-INIZCTEOSA-N 1 2 305.378 1.015 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cncc(C)c3)C2)C1 ZINC000972313616 695213907 /nfs/dbraw/zinc/21/39/07/695213907.db2.gz YDAOTGBMOCEVCN-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cncc(C)c3)C2)C1 ZINC000972313616 695213910 /nfs/dbraw/zinc/21/39/10/695213910.db2.gz YDAOTGBMOCEVCN-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3C[C@@H]3C(F)F)C2)C1 ZINC000972356385 695226372 /nfs/dbraw/zinc/22/63/72/695226372.db2.gz BCJZXUBCOQUNBL-HUBLWGQQSA-N 1 2 300.349 1.377 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3C[C@@H]3C(F)F)C2)C1 ZINC000972356385 695226373 /nfs/dbraw/zinc/22/63/73/695226373.db2.gz BCJZXUBCOQUNBL-HUBLWGQQSA-N 1 2 300.349 1.377 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389241 695237796 /nfs/dbraw/zinc/23/77/96/695237796.db2.gz JCCWLINUJZFTRS-WCQYABFASA-N 1 2 322.302 1.213 20 30 DDEDLO C#CCC[N@H+]1CCO[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389241 695237797 /nfs/dbraw/zinc/23/77/97/695237797.db2.gz JCCWLINUJZFTRS-WCQYABFASA-N 1 2 322.302 1.213 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3onc(C)c3C)C2)C1 ZINC000972424183 695246544 /nfs/dbraw/zinc/24/65/44/695246544.db2.gz GXWPKMWJFRIPFN-KRWDZBQOSA-N 1 2 317.389 1.232 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3onc(C)c3C)C2)C1 ZINC000972424183 695246545 /nfs/dbraw/zinc/24/65/45/695246545.db2.gz GXWPKMWJFRIPFN-KRWDZBQOSA-N 1 2 317.389 1.232 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C(C)(C)C)C2)C1 ZINC000972435345 695250479 /nfs/dbraw/zinc/25/04/79/695250479.db2.gz NVDNGNIGUWCWHK-MAUKXSAKSA-N 1 2 306.450 1.995 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C(C)(C)C)C2)C1 ZINC000972435345 695250482 /nfs/dbraw/zinc/25/04/82/695250482.db2.gz NVDNGNIGUWCWHK-MAUKXSAKSA-N 1 2 306.450 1.995 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@]2(C1)C[N@H+](CC#CC)CCO2 ZINC000972497870 695266097 /nfs/dbraw/zinc/26/60/97/695266097.db2.gz OXFFEPNXDKXDNZ-SFHVURJKSA-N 1 2 304.434 1.915 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@]2(C1)C[N@@H+](CC#CC)CCO2 ZINC000972497870 695266098 /nfs/dbraw/zinc/26/60/98/695266098.db2.gz OXFFEPNXDKXDNZ-SFHVURJKSA-N 1 2 304.434 1.915 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3CCC)C2)C1 ZINC000972510859 695268472 /nfs/dbraw/zinc/26/84/72/695268472.db2.gz FJYDNZNGXQWMKL-GOSISDBHSA-N 1 2 315.417 1.517 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3CCC)C2)C1 ZINC000972510859 695268474 /nfs/dbraw/zinc/26/84/74/695268474.db2.gz FJYDNZNGXQWMKL-GOSISDBHSA-N 1 2 315.417 1.517 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C(F)F)CCC3)C2)C1 ZINC000972521300 695272063 /nfs/dbraw/zinc/27/20/63/695272063.db2.gz ZHCIJZBWTHGSKC-HNNXBMFYSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C(F)F)CCC3)C2)C1 ZINC000972521300 695272064 /nfs/dbraw/zinc/27/20/64/695272064.db2.gz ZHCIJZBWTHGSKC-HNNXBMFYSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3Cc4ccccc43)C2)C1 ZINC000972527311 695273077 /nfs/dbraw/zinc/27/30/77/695273077.db2.gz TXBFTQFMASFBQS-IEBWSBKVSA-N 1 2 312.413 1.816 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3Cc4ccccc43)C2)C1 ZINC000972527311 695273079 /nfs/dbraw/zinc/27/30/79/695273079.db2.gz TXBFTQFMASFBQS-IEBWSBKVSA-N 1 2 312.413 1.816 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3=CCOCC3)C2)C1 ZINC000972538306 695276019 /nfs/dbraw/zinc/27/60/19/695276019.db2.gz RCCWTNRANOEQBZ-SFHVURJKSA-N 1 2 318.417 1.050 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3=CCOCC3)C2)C1 ZINC000972538306 695276022 /nfs/dbraw/zinc/27/60/22/695276022.db2.gz RCCWTNRANOEQBZ-SFHVURJKSA-N 1 2 318.417 1.050 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3conc3C3CC3)C2)C1 ZINC000972546337 695278668 /nfs/dbraw/zinc/27/86/68/695278668.db2.gz NPAOSRNKDAWGRV-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3conc3C3CC3)C2)C1 ZINC000972546337 695278669 /nfs/dbraw/zinc/27/86/69/695278669.db2.gz NPAOSRNKDAWGRV-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccn(CC)n3)C2)C1 ZINC000972582562 695289593 /nfs/dbraw/zinc/28/95/93/695289593.db2.gz MICBMKWWLCUNEK-MRXNPFEDSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccn(CC)n3)C2)C1 ZINC000972582562 695289595 /nfs/dbraw/zinc/28/95/95/695289595.db2.gz MICBMKWWLCUNEK-MRXNPFEDSA-N 1 2 304.394 1.006 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972590314 695291588 /nfs/dbraw/zinc/29/15/88/695291588.db2.gz PGXWDLWGEBNHAB-JZXOWHBKSA-N 1 2 302.418 1.359 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972590314 695291589 /nfs/dbraw/zinc/29/15/89/695291589.db2.gz PGXWDLWGEBNHAB-JZXOWHBKSA-N 1 2 302.418 1.359 20 30 DDEDLO C=C(Cl)CN1CCO[C@]2(CCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000972611504 695298278 /nfs/dbraw/zinc/29/82/78/695298278.db2.gz IOOGNBNQAUTUMR-OAHLLOKOSA-N 1 2 324.812 1.008 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3nc(C)oc3C)C2)C1 ZINC000972612537 695298577 /nfs/dbraw/zinc/29/85/77/695298577.db2.gz TYSGGFBGPHVTEX-KRWDZBQOSA-N 1 2 317.389 1.232 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3nc(C)oc3C)C2)C1 ZINC000972612537 695298578 /nfs/dbraw/zinc/29/85/78/695298578.db2.gz TYSGGFBGPHVTEX-KRWDZBQOSA-N 1 2 317.389 1.232 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(CC)nn3C)C2)C1 ZINC000972612172 695298691 /nfs/dbraw/zinc/29/86/91/695298691.db2.gz QIXHCYUGRXELRA-QGZVFWFLSA-N 1 2 318.421 1.085 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(CC)nn3C)C2)C1 ZINC000972612172 695298693 /nfs/dbraw/zinc/29/86/93/695298693.db2.gz QIXHCYUGRXELRA-QGZVFWFLSA-N 1 2 318.421 1.085 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cn(C)nc3Cl)C2)C1 ZINC000972633439 695304843 /nfs/dbraw/zinc/30/48/43/695304843.db2.gz DJSQTNOHJPIPRK-OAHLLOKOSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cn(C)nc3Cl)C2)C1 ZINC000972633439 695304845 /nfs/dbraw/zinc/30/48/45/695304845.db2.gz DJSQTNOHJPIPRK-OAHLLOKOSA-N 1 2 324.812 1.176 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c[nH]cc3C)C2)C1 ZINC000972676648 695315674 /nfs/dbraw/zinc/31/56/74/695315674.db2.gz LJLVYHDUNDDALX-KRWDZBQOSA-N 1 2 301.390 1.263 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c[nH]cc3C)C2)C1 ZINC000972676648 695315677 /nfs/dbraw/zinc/31/56/77/695315677.db2.gz LJLVYHDUNDDALX-KRWDZBQOSA-N 1 2 301.390 1.263 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cncc(OC)n2)C(C)(C)C1 ZINC000977214092 696075493 /nfs/dbraw/zinc/07/54/93/696075493.db2.gz YKTRNMZCUBOOAI-LBPRGKRZSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cncc(OC)n2)C(C)(C)C1 ZINC000977214092 696075495 /nfs/dbraw/zinc/07/54/95/696075495.db2.gz YKTRNMZCUBOOAI-LBPRGKRZSA-N 1 2 324.812 1.678 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccccc1C(N)=O)Cc1ccc(C#N)cc1 ZINC000046529123 696188334 /nfs/dbraw/zinc/18/83/34/696188334.db2.gz REVULKQYWMQCTA-UHFFFAOYSA-N 1 2 322.368 1.728 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccccc1C(N)=O)Cc1ccc(C#N)cc1 ZINC000046529123 696188336 /nfs/dbraw/zinc/18/83/36/696188336.db2.gz REVULKQYWMQCTA-UHFFFAOYSA-N 1 2 322.368 1.728 20 30 DDEDLO C[C@@H](C(=O)OCc1ccc(-n2cc[nH+]c2)cc1)n1cnc(C#N)n1 ZINC000798748178 700092032 /nfs/dbraw/zinc/09/20/32/700092032.db2.gz SHHLHANJXIDIFB-LBPRGKRZSA-N 1 2 322.328 1.640 20 30 DDEDLO C[C@@H]1CCC[C@H](C)N1C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000137649533 696859093 /nfs/dbraw/zinc/85/90/93/696859093.db2.gz WIUHVKYHVVJZNG-BETUJISGSA-N 1 2 304.394 1.702 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CCCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000981670478 696867779 /nfs/dbraw/zinc/86/77/79/696867779.db2.gz YYFDQZDGQJPOAP-AWEZNQCLSA-N 1 2 315.421 1.487 20 30 DDEDLO CCn1ccnc1C[N@H+]1CCCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000981670478 696867781 /nfs/dbraw/zinc/86/77/81/696867781.db2.gz YYFDQZDGQJPOAP-AWEZNQCLSA-N 1 2 315.421 1.487 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N(C)C2CC2)CC1 ZINC000981735129 696896705 /nfs/dbraw/zinc/89/67/05/696896705.db2.gz AZQQCAJVZUYDNP-UHFFFAOYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@H+](CC(=O)N(C)C2CC2)CC1 ZINC000981735129 696896708 /nfs/dbraw/zinc/89/67/08/696896708.db2.gz AZQQCAJVZUYDNP-UHFFFAOYSA-N 1 2 321.465 1.744 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@@H+](Cc3cnoc3C)CC2)c1 ZINC000981923759 696970829 /nfs/dbraw/zinc/97/08/29/696970829.db2.gz ZIQXPCBJXJDJRQ-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@H+](Cc3cnoc3C)CC2)c1 ZINC000981923759 696970830 /nfs/dbraw/zinc/97/08/30/696970830.db2.gz ZIQXPCBJXJDJRQ-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO COc1ccc(CNC(=O)[C@H]2CCn3cc[nH+]c3C2)cc1C#N ZINC000749258320 700153481 /nfs/dbraw/zinc/15/34/81/700153481.db2.gz KELHUIASOTUWJO-ZDUSSCGKSA-N 1 2 310.357 1.642 20 30 DDEDLO C#C[C@@H](NC(=O)c1cccc(Cn2cc[nH+]c2)c1)[C@@H]1CCCO1 ZINC000772887391 697689195 /nfs/dbraw/zinc/68/91/95/697689195.db2.gz WHECDSRMICCJDM-SJORKVTESA-N 1 2 309.369 1.842 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+]Cc3nc(C)no3)[C@@H]2C)cc1 ZINC000986636679 697860495 /nfs/dbraw/zinc/86/04/95/697860495.db2.gz KYEPAQWTLOXAEA-LRDDRELGSA-N 1 2 324.384 1.752 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)Nc1nnc(CC(C)C)s1)[C@H]1CCCO1 ZINC000775791608 698034574 /nfs/dbraw/zinc/03/45/74/698034574.db2.gz WGBBJENISFLGTR-NWDGAFQWSA-N 1 2 322.434 1.446 20 30 DDEDLO N#Cc1c(F)cccc1S(=O)(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000778090914 698241414 /nfs/dbraw/zinc/24/14/14/698241414.db2.gz JMFMJKVKTMSNEG-SNVBAGLBSA-N 1 2 320.349 1.187 20 30 DDEDLO N#Cc1cc(S(N)(=O)=O)ccc1NCCCCn1cc[nH+]c1 ZINC000778586629 698350277 /nfs/dbraw/zinc/35/02/77/698350277.db2.gz DMKHLRGJEWUIJE-UHFFFAOYSA-N 1 2 319.390 1.294 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@H](C(=O)Nc2ccccn2)C1 ZINC000800356026 700218050 /nfs/dbraw/zinc/21/80/50/700218050.db2.gz UISWDTUAUZMKRB-QWHCGFSZSA-N 1 2 303.362 1.460 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@H](C(=O)Nc2ccccn2)C1 ZINC000800356026 700218053 /nfs/dbraw/zinc/21/80/53/700218053.db2.gz UISWDTUAUZMKRB-QWHCGFSZSA-N 1 2 303.362 1.460 20 30 DDEDLO C#CC[NH2+][C@H]1C[C@@H](C)N(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000989286949 698578227 /nfs/dbraw/zinc/57/82/27/698578227.db2.gz AUTSZPRCZNNLNZ-DOMZBBRYSA-N 1 2 309.373 1.298 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3cnoc3C)CC2)nc1 ZINC000989512218 698652043 /nfs/dbraw/zinc/65/20/43/698652043.db2.gz ADBBPLFKOYNICB-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3cnoc3C)CC2)nc1 ZINC000989512218 698652044 /nfs/dbraw/zinc/65/20/44/698652044.db2.gz ADBBPLFKOYNICB-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO NC(=[NH+]OCC(=O)NC1CC1)c1ccc(Br)cc1 ZINC000782947656 698787675 /nfs/dbraw/zinc/78/76/75/698787675.db2.gz FRRCGLQTAAIYJK-UHFFFAOYSA-N 1 2 312.167 1.365 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN(CCC#N)CC(C)(C)C)C1 ZINC000783989064 698899539 /nfs/dbraw/zinc/89/95/39/698899539.db2.gz PZLZGKMSGNEPOQ-CQSZACIVSA-N 1 2 317.437 1.955 20 30 DDEDLO N#CCCNC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000426452084 699155230 /nfs/dbraw/zinc/15/52/30/699155230.db2.gz WOHYNMBIBNUFQC-MRXNPFEDSA-N 1 2 316.405 1.143 20 30 DDEDLO N#Cc1c2c(cn(C[N@@H+]3CCC[C@H]3[C@H]3CCCO3)c1=O)CCC2 ZINC000789508453 699390864 /nfs/dbraw/zinc/39/08/64/699390864.db2.gz RCLVDMDHIYUCAV-DLBZAZTESA-N 1 2 313.401 1.810 20 30 DDEDLO N#Cc1c2c(cn(C[N@H+]3CCC[C@H]3[C@H]3CCCO3)c1=O)CCC2 ZINC000789508453 699390867 /nfs/dbraw/zinc/39/08/67/699390867.db2.gz RCLVDMDHIYUCAV-DLBZAZTESA-N 1 2 313.401 1.810 20 30 DDEDLO CCN(C)c1ccc(C=[NH+]NC(=S)N[C@@H](C)COC)cn1 ZINC000790149569 699445436 /nfs/dbraw/zinc/44/54/36/699445436.db2.gz YMILZXLVSRLDGO-NSHDSACASA-N 1 2 309.439 1.371 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(NC(=O)COC)cc1 ZINC000730096087 699500566 /nfs/dbraw/zinc/50/05/66/699500566.db2.gz BYSSMEXCWLNIPJ-HNNXBMFYSA-N 1 2 315.373 1.308 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(NC(=O)COC)cc1 ZINC000730096087 699500568 /nfs/dbraw/zinc/50/05/68/699500568.db2.gz BYSSMEXCWLNIPJ-HNNXBMFYSA-N 1 2 315.373 1.308 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1nc(Cc2ccccc2)no1 ZINC000730280961 699506248 /nfs/dbraw/zinc/50/62/48/699506248.db2.gz IVYNGKOMKBVFEJ-HNNXBMFYSA-N 1 2 324.384 1.374 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1nc(Cc2ccccc2)no1 ZINC000730280961 699506249 /nfs/dbraw/zinc/50/62/49/699506249.db2.gz IVYNGKOMKBVFEJ-HNNXBMFYSA-N 1 2 324.384 1.374 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2cccc(OC)c2)CC1 ZINC000735498504 699695765 /nfs/dbraw/zinc/69/57/65/699695765.db2.gz OFAFJLXVLRSTIX-UHFFFAOYSA-N 1 2 322.430 1.166 20 30 DDEDLO N#Cc1c(=O)n(C[N@@H+]2CC[C@@H](n3cccn3)C2)cc2c1CCCC2 ZINC000793520860 699762704 /nfs/dbraw/zinc/76/27/04/699762704.db2.gz MGYVWKKLELHKOW-OAHLLOKOSA-N 1 2 323.400 1.700 20 30 DDEDLO N#Cc1c(=O)n(C[N@H+]2CC[C@@H](n3cccn3)C2)cc2c1CCCC2 ZINC000793520860 699762707 /nfs/dbraw/zinc/76/27/07/699762707.db2.gz MGYVWKKLELHKOW-OAHLLOKOSA-N 1 2 323.400 1.700 20 30 DDEDLO C[C@@H](OC(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)NC1(C#N)CCCCC1 ZINC000741148239 699818201 /nfs/dbraw/zinc/81/82/01/699818201.db2.gz YWHYTZBBGRGUJX-KGLIPLIRSA-N 1 2 321.421 1.745 20 30 DDEDLO C[C@@H](OC(=O)[C@@H]1CCCC[N@H+]1C)C(=O)NC1(C#N)CCCCC1 ZINC000741148239 699818203 /nfs/dbraw/zinc/81/82/03/699818203.db2.gz YWHYTZBBGRGUJX-KGLIPLIRSA-N 1 2 321.421 1.745 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CCC[C@@H]([C@H]3CCOC3)C2)c1=S ZINC000794635405 699820655 /nfs/dbraw/zinc/82/06/55/699820655.db2.gz VMXUQIBDTKWSDW-OLZOCXBDSA-N 1 2 321.450 1.723 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CCC[C@@H]([C@H]3CCOC3)C2)c1=S ZINC000794635405 699820659 /nfs/dbraw/zinc/82/06/59/699820659.db2.gz VMXUQIBDTKWSDW-OLZOCXBDSA-N 1 2 321.450 1.723 20 30 DDEDLO Cc1nn(-c2ccccn2)c(Cl)c1C=NNC1=[NH+]C[C@@H](C)N1 ZINC000794927144 699836301 /nfs/dbraw/zinc/83/63/01/699836301.db2.gz JFUPMYFKYNGWCG-SECBINFHSA-N 1 2 317.784 1.500 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(C(=O)N(C)C)c1 ZINC000752513537 700369915 /nfs/dbraw/zinc/36/99/15/700369915.db2.gz FPLNZVPJVBPWPG-HNNXBMFYSA-N 1 2 317.364 1.564 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(C(=O)N(C)C)c1 ZINC000752513537 700369920 /nfs/dbraw/zinc/36/99/20/700369920.db2.gz FPLNZVPJVBPWPG-HNNXBMFYSA-N 1 2 317.364 1.564 20 30 DDEDLO COC[C@H](C)NC(=S)N[NH+]=Cc1ccc(N2CCCC2)nc1 ZINC000758367860 700701820 /nfs/dbraw/zinc/70/18/20/700701820.db2.gz QECJJQFZHCOBEJ-LBPRGKRZSA-N 1 2 321.450 1.515 20 30 DDEDLO COC(=O)/C(C)=C\C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000760912210 700829151 /nfs/dbraw/zinc/82/91/51/700829151.db2.gz WLMFNQVMNPOMMD-MLPAPPSSSA-N 1 2 300.362 1.195 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[NH+]2CCN(C[C@H]3CCCO3)CC2)cc1 ZINC000763399688 700936879 /nfs/dbraw/zinc/93/68/79/700936879.db2.gz YGVZUIHJWHPSHX-MSOLQXFVSA-N 1 2 315.417 1.388 20 30 DDEDLO COCC1CC[NH+](Cn2cc3c(c(C#N)c2=O)CCC3)CC1 ZINC000766619808 701056911 /nfs/dbraw/zinc/05/69/11/701056911.db2.gz MVOPAZBYOVLSOQ-UHFFFAOYSA-N 1 2 301.390 1.525 20 30 DDEDLO C[C@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CCS1 ZINC000766635351 701058006 /nfs/dbraw/zinc/05/80/06/701058006.db2.gz XCZPOONJVBNWCX-LBPRGKRZSA-N 1 2 303.431 1.994 20 30 DDEDLO C[C@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CCS1 ZINC000766635351 701058007 /nfs/dbraw/zinc/05/80/07/701058007.db2.gz XCZPOONJVBNWCX-LBPRGKRZSA-N 1 2 303.431 1.994 20 30 DDEDLO C=CCOc1ccc(CN2CC[NH+](CC[S@@](C)=O)CC2)cc1 ZINC000769481653 701246856 /nfs/dbraw/zinc/24/68/56/701246856.db2.gz XAIVLUCJLZCNTD-JOCHJYFZSA-N 1 2 322.474 1.748 20 30 DDEDLO Cc1ccc(S(=O)(=O)NN=Cc2cccc3[nH+]ccn32)cc1 ZINC000771095384 701309904 /nfs/dbraw/zinc/30/99/04/701309904.db2.gz AORVYTFEJRGNQN-UHFFFAOYSA-N 1 2 314.370 1.955 20 30 DDEDLO C#CCCCNC(=O)C(=O)N1CC[N@@H+](C)C[C@H]1c1ccccc1 ZINC000806916398 701454605 /nfs/dbraw/zinc/45/46/05/701454605.db2.gz YFEFRXLXEIGFJR-INIZCTEOSA-N 1 2 313.401 1.031 20 30 DDEDLO C#CCCCNC(=O)C(=O)N1CC[N@H+](C)C[C@H]1c1ccccc1 ZINC000806916398 701454606 /nfs/dbraw/zinc/45/46/06/701454606.db2.gz YFEFRXLXEIGFJR-INIZCTEOSA-N 1 2 313.401 1.031 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000807170829 701467649 /nfs/dbraw/zinc/46/76/49/701467649.db2.gz CRHSDERTGTWNLV-MRXNPFEDSA-N 1 2 310.357 1.434 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)c1[nH]c(C)c(C(=O)OC)c1C)[C@@H]1CCCO1 ZINC000839565486 701737930 /nfs/dbraw/zinc/73/79/30/701737930.db2.gz XKKKYAFZILGOOH-JSGCOSHPSA-N 1 2 318.373 1.371 20 30 DDEDLO C[C@@H](C#N)OCCOC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000811325961 701959317 /nfs/dbraw/zinc/95/93/17/701959317.db2.gz HNZMLGUEEGUTHO-AWEZNQCLSA-N 1 2 313.357 1.946 20 30 DDEDLO Cn1ccnc1[C@H](O)[C@H]1CCC[N@H+](Cc2nc(C#N)cs2)C1 ZINC000811467529 701991827 /nfs/dbraw/zinc/99/18/27/701991827.db2.gz NVYYNXKMDVDALH-SMDDNHRTSA-N 1 2 317.418 1.694 20 30 DDEDLO Cn1ccnc1[C@H](O)[C@H]1CCC[N@@H+](Cc2nc(C#N)cs2)C1 ZINC000811467529 701991832 /nfs/dbraw/zinc/99/18/32/701991832.db2.gz NVYYNXKMDVDALH-SMDDNHRTSA-N 1 2 317.418 1.694 20 30 DDEDLO CC(C)(C)OC(=O)[C@]1(C)CC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC000840159570 702002711 /nfs/dbraw/zinc/00/27/11/702002711.db2.gz JCTRJRJRYFDSJR-QGZVFWFLSA-N 1 2 317.389 1.731 20 30 DDEDLO CC(C)(C)OC(=O)[C@]1(C)CC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC000840159570 702002719 /nfs/dbraw/zinc/00/27/19/702002719.db2.gz JCTRJRJRYFDSJR-QGZVFWFLSA-N 1 2 317.389 1.731 20 30 DDEDLO C#Cc1ccc(CNC(=O)NC(C)(C)C[NH+]2CCOCC2)cc1 ZINC000840381337 702091437 /nfs/dbraw/zinc/09/14/37/702091437.db2.gz GGMNHDFHUZJVTA-UHFFFAOYSA-N 1 2 315.417 1.578 20 30 DDEDLO CC#CCNC(=O)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000868454556 702139536 /nfs/dbraw/zinc/13/95/36/702139536.db2.gz GOTKSTGEPNLGNS-KRWDZBQOSA-N 1 2 315.417 1.518 20 30 DDEDLO CC#CCNC(=O)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000868454556 702139539 /nfs/dbraw/zinc/13/95/39/702139539.db2.gz GOTKSTGEPNLGNS-KRWDZBQOSA-N 1 2 315.417 1.518 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@@H](CNc3cnc(C#N)cn3)C2)cc1 ZINC000866189621 706643954 /nfs/dbraw/zinc/64/39/54/706643954.db2.gz CKXAZDBXEVURHY-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@@H](CNc3cnc(C#N)cn3)C2)cc1 ZINC000866189621 706643957 /nfs/dbraw/zinc/64/39/57/706643957.db2.gz CKXAZDBXEVURHY-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCCN(S(C)(=O)=O)C1)c1cccc(C#N)c1O ZINC000866250040 706657644 /nfs/dbraw/zinc/65/76/44/706657644.db2.gz SPQHFNYLSSAHBC-AAEUAGOBSA-N 1 2 323.418 1.338 20 30 DDEDLO CC(=NNC1=[NH+]CCN1)c1nnn(-c2cccc(Cl)c2)c1C ZINC000841661039 702522217 /nfs/dbraw/zinc/52/22/17/702522217.db2.gz VATKIFFMLILNKX-UHFFFAOYSA-N 1 2 317.784 1.502 20 30 DDEDLO CC(C)[C@@H](O[NH+]=C(N)c1ccc(F)cc1)C(=O)N1CCOCC1 ZINC000842019550 702656351 /nfs/dbraw/zinc/65/63/51/702656351.db2.gz QEIPWQXGZADYIW-CQSZACIVSA-N 1 2 323.368 1.346 20 30 DDEDLO C=C(C)CONC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000844285808 703005086 /nfs/dbraw/zinc/00/50/86/703005086.db2.gz KBZSEHXHIFPXHV-AWEZNQCLSA-N 1 2 315.439 1.001 20 30 DDEDLO CC[C@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585047 706728212 /nfs/dbraw/zinc/72/82/12/706728212.db2.gz QFRGRKMZQZSSBA-LSDHHAIUSA-N 1 2 314.433 1.983 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000846988054 703362253 /nfs/dbraw/zinc/36/22/53/703362253.db2.gz QEOFXFZVYFDGAJ-AWEZNQCLSA-N 1 2 316.405 1.744 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1ccccc1C(=O)NC1CC1 ZINC000846988902 703362593 /nfs/dbraw/zinc/36/25/93/703362593.db2.gz VSHLLRMFZOKBIS-GFCCVEGCSA-N 1 2 314.389 1.799 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2C[C@H](O)CC(C)(C)C2)c1C#N ZINC000879623758 706742966 /nfs/dbraw/zinc/74/29/66/706742966.db2.gz ZGJUFTDRPXKJOU-CYBMUJFWSA-N 1 2 302.374 1.938 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2C[C@H](O)CC(C)(C)C2)c1C#N ZINC000879623758 706742967 /nfs/dbraw/zinc/74/29/67/706742967.db2.gz ZGJUFTDRPXKJOU-CYBMUJFWSA-N 1 2 302.374 1.938 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](C[C@H]2COc3ccccc3O2)CC1 ZINC000831687200 706753642 /nfs/dbraw/zinc/75/36/42/706753642.db2.gz KULDJCIJBLEJGP-ZDUSSCGKSA-N 1 2 316.357 1.605 20 30 DDEDLO CC(C)(C)n1nnc(C[NH2+]C[C@@H](O)c2ccc(C#N)cc2)n1 ZINC000848679656 703575207 /nfs/dbraw/zinc/57/52/07/703575207.db2.gz YCBBITFMHDMSEP-CYBMUJFWSA-N 1 2 300.366 1.123 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)c2ccc(Cl)cc2)nn1 ZINC000849147811 703620763 /nfs/dbraw/zinc/62/07/63/703620763.db2.gz ZYECKLVVGGKZNM-HNNXBMFYSA-N 1 2 304.781 1.778 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N2CC[C@@](C)(C#N)C2)c(N(C)C)[nH+]1 ZINC000850700935 703745301 /nfs/dbraw/zinc/74/53/01/703745301.db2.gz AEZQPWBPRUDZLP-INIZCTEOSA-N 1 2 315.377 1.157 20 30 DDEDLO C=C(Cl)C[C@H](NC(=O)CCc1c[nH+]cn1C)C(=O)OCC ZINC000870032798 703897771 /nfs/dbraw/zinc/89/77/71/703897771.db2.gz NOOWCSCESDTOCV-LBPRGKRZSA-N 1 2 313.785 1.543 20 30 DDEDLO Cc1nsc(N2CC[NH+](C[C@@H]3CCC(=O)O3)CC2)c1C#N ZINC000852060379 703914379 /nfs/dbraw/zinc/91/43/79/703914379.db2.gz DNUQESSHAZOQCG-NSHDSACASA-N 1 2 306.391 1.151 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH2+][C@@H](c2cccc(OC)c2)C1 ZINC000870152132 703934513 /nfs/dbraw/zinc/93/45/13/703934513.db2.gz LEJRSFOGHKBAAM-MRXNPFEDSA-N 1 2 302.374 1.208 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCC2=C(CCC(=O)N2)C1 ZINC000852298535 704001691 /nfs/dbraw/zinc/00/16/91/704001691.db2.gz BDGVMSKZUCCAFX-LBPRGKRZSA-N 1 2 324.384 1.755 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCC2=C(CCC(=O)N2)C1 ZINC000852298535 704001697 /nfs/dbraw/zinc/00/16/97/704001697.db2.gz BDGVMSKZUCCAFX-LBPRGKRZSA-N 1 2 324.384 1.755 20 30 DDEDLO CC(C)Oc1ccc(C#N)cc1NC[C@@H](O)C[N@H+](C)CCC#N ZINC000819422988 704123477 /nfs/dbraw/zinc/12/34/77/704123477.db2.gz HIZXZTYCUITBPW-OAHLLOKOSA-N 1 2 316.405 1.964 20 30 DDEDLO CC(C)Oc1ccc(C#N)cc1NC[C@@H](O)C[N@@H+](C)CCC#N ZINC000819422988 704123479 /nfs/dbraw/zinc/12/34/79/704123479.db2.gz HIZXZTYCUITBPW-OAHLLOKOSA-N 1 2 316.405 1.964 20 30 DDEDLO CN1c2ccccc2N(C)C1=C1C(=[NH2+])N([C@H]2C[C@H](O)C2)CC1=O ZINC000853000372 704182498 /nfs/dbraw/zinc/18/24/98/704182498.db2.gz LKNPZKLQIBEZFX-XYPYZODXSA-N 1 2 312.373 1.169 20 30 DDEDLO C#CCC(C)(C)C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000871218468 704245203 /nfs/dbraw/zinc/24/52/03/704245203.db2.gz SOGSECRUWHCGNH-UHFFFAOYSA-N 1 2 300.406 1.213 20 30 DDEDLO COCC[NH+]1CCN(C(=O)C(C)(C)c2ccc(C#N)cc2)CC1 ZINC000859353175 704874180 /nfs/dbraw/zinc/87/41/80/704874180.db2.gz BLEKRNMWOVHOHY-UHFFFAOYSA-N 1 2 315.417 1.626 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000875830518 705526402 /nfs/dbraw/zinc/52/64/02/705526402.db2.gz WWJHVXMTMQAPCM-ZDUSSCGKSA-N 1 2 303.410 1.983 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+](C)CC(=O)NC(C)(C)C ZINC000876548417 705753707 /nfs/dbraw/zinc/75/37/07/705753707.db2.gz GERIYJSZEBIJSI-UHFFFAOYSA-N 1 2 302.422 1.971 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+](C)CC(=O)NC(C)(C)C ZINC000876548417 705753712 /nfs/dbraw/zinc/75/37/12/705753712.db2.gz GERIYJSZEBIJSI-UHFFFAOYSA-N 1 2 302.422 1.971 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@H+](Cc3ccc(C#N)cc3F)C2)C1 ZINC000877715630 706187152 /nfs/dbraw/zinc/18/71/52/706187152.db2.gz ZGXVKBHGZDPXAR-MRXNPFEDSA-N 1 2 317.320 1.591 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@@H+](Cc3ccc(C#N)cc3F)C2)C1 ZINC000877715630 706187154 /nfs/dbraw/zinc/18/71/54/706187154.db2.gz ZGXVKBHGZDPXAR-MRXNPFEDSA-N 1 2 317.320 1.591 20 30 DDEDLO CCC[N@H+](CC[C@@](C#N)(C(C)=O)c1ccccc1)CC(=O)NC ZINC000877986977 706258791 /nfs/dbraw/zinc/25/87/91/706258791.db2.gz WKGITHYYCVSFCF-GOSISDBHSA-N 1 2 315.417 1.885 20 30 DDEDLO CCC[N@@H+](CC[C@@](C#N)(C(C)=O)c1ccccc1)CC(=O)NC ZINC000877986977 706258792 /nfs/dbraw/zinc/25/87/92/706258792.db2.gz WKGITHYYCVSFCF-GOSISDBHSA-N 1 2 315.417 1.885 20 30 DDEDLO C=CC[N@@H+](Cc1nnc2c(=O)n(C)ccn12)CC1CCCCC1 ZINC000878097451 706291470 /nfs/dbraw/zinc/29/14/70/706291470.db2.gz CVGDQYXXFKAGPJ-UHFFFAOYSA-N 1 2 315.421 1.996 20 30 DDEDLO C=CC[N@H+](Cc1nnc2c(=O)n(C)ccn12)CC1CCCCC1 ZINC000878097451 706291472 /nfs/dbraw/zinc/29/14/72/706291472.db2.gz CVGDQYXXFKAGPJ-UHFFFAOYSA-N 1 2 315.421 1.996 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCc2cc(Cl)nnc2C1 ZINC000829162630 706296032 /nfs/dbraw/zinc/29/60/32/706296032.db2.gz LFRGXCXIEJGFRU-UHFFFAOYSA-N 1 2 307.785 1.250 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCc2cc(Cl)nnc2C1 ZINC000829162630 706296034 /nfs/dbraw/zinc/29/60/34/706296034.db2.gz LFRGXCXIEJGFRU-UHFFFAOYSA-N 1 2 307.785 1.250 20 30 DDEDLO C=CC[C@@H](C(=O)OC)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000878219544 706329992 /nfs/dbraw/zinc/32/99/92/706329992.db2.gz NEECECHHEMDMOY-HNNXBMFYSA-N 1 2 307.394 1.527 20 30 DDEDLO C[C@@H]1C[NH+](C[C@@H](O)c2cc(F)ccc2F)C[C@@H](C)N1CC#N ZINC000878775489 706496492 /nfs/dbraw/zinc/49/64/92/706496492.db2.gz JBCYHCOIKVUNCK-XHBSWPGZSA-N 1 2 309.360 1.916 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCc2cc(C(=O)OC)ccc2C1 ZINC000880351359 706950680 /nfs/dbraw/zinc/95/06/80/706950680.db2.gz RQHYQTFLDZLYKM-OAHLLOKOSA-N 1 2 303.358 1.949 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCc2cc(C(=O)OC)ccc2C1 ZINC000880351359 706950682 /nfs/dbraw/zinc/95/06/82/706950682.db2.gz RQHYQTFLDZLYKM-OAHLLOKOSA-N 1 2 303.358 1.949 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1ccnc(C#N)c1 ZINC000880533707 707008405 /nfs/dbraw/zinc/00/84/05/707008405.db2.gz FWXGVCZJQNRXBH-AWEZNQCLSA-N 1 2 303.366 1.186 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1ccnc(C#N)c1 ZINC000880533707 707008409 /nfs/dbraw/zinc/00/84/09/707008409.db2.gz FWXGVCZJQNRXBH-AWEZNQCLSA-N 1 2 303.366 1.186 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(C#N)c(F)cc1F ZINC000880714923 707060783 /nfs/dbraw/zinc/06/07/83/707060783.db2.gz DKFPRHRUQHCWJR-JTQLQIEISA-N 1 2 324.331 1.679 20 30 DDEDLO Nc1ccc2c(n1)CCCC2=[NH+]NCCOCC(F)(F)F ZINC000834967620 707153144 /nfs/dbraw/zinc/15/31/44/707153144.db2.gz MDJGJIKZLLECIW-UHFFFAOYSA-N 1 2 302.300 1.873 20 30 DDEDLO C[C@@H](NC(=O)Nc1cc(CC#N)ccn1)[C@H](C)[NH+]1CCOCC1 ZINC000871722499 707176747 /nfs/dbraw/zinc/17/67/47/707176747.db2.gz NSTQLVARSVPNDB-OLZOCXBDSA-N 1 2 317.393 1.378 20 30 DDEDLO Cc1nc(C#N)cc(NC[C@]2([NH+]3CCOCC3)CCSC2)n1 ZINC000881837186 707387106 /nfs/dbraw/zinc/38/71/06/707387106.db2.gz ODZAESRFPXHIJV-OAHLLOKOSA-N 1 2 319.434 1.277 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[N@@H+](C)[C@@H](C)[C@@H]1C ZINC000872468444 707429949 /nfs/dbraw/zinc/42/99/49/707429949.db2.gz UMIVWAARQWAMNU-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[N@H+](C)[C@@H](C)[C@@H]1C ZINC000872468444 707429953 /nfs/dbraw/zinc/42/99/53/707429953.db2.gz UMIVWAARQWAMNU-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNc1ccc(C#N)cc1[N+](=O)[O-] ZINC000872772109 707593527 /nfs/dbraw/zinc/59/35/27/707593527.db2.gz QNELERMSWMFLOT-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNc1ccc(C#N)cc1[N+](=O)[O-] ZINC000872772109 707593529 /nfs/dbraw/zinc/59/35/29/707593529.db2.gz QNELERMSWMFLOT-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC[NH+](CCCOC(C)(C)C)CC1 ZINC000882735075 707766763 /nfs/dbraw/zinc/76/67/63/707766763.db2.gz UMCSSYLMGCQHTA-UHFFFAOYSA-N 1 2 318.483 1.715 20 30 DDEDLO C=CCC[C@H](NC(=O)/C=C(\C)C[NH+]1CCOCC1)C(=O)OCC ZINC000909274452 712980281 /nfs/dbraw/zinc/98/02/81/712980281.db2.gz YRHHTHMNYYNOEE-ZQHYZAEZSA-N 1 2 324.421 1.279 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000882895926 707842789 /nfs/dbraw/zinc/84/27/89/707842789.db2.gz XPMCUGWBTOAOHQ-HOTGVXAUSA-N 1 2 312.454 1.567 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(OCC)c(OC)c1 ZINC000884056651 708120715 /nfs/dbraw/zinc/12/07/15/708120715.db2.gz FPLNAVNGUXOVII-LBPRGKRZSA-N 1 2 322.361 1.479 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](c1cccs1)C1CC1 ZINC000884063732 708123089 /nfs/dbraw/zinc/12/30/89/708123089.db2.gz KCAJVCFMSPGTKI-SMDDNHRTSA-N 1 2 308.403 1.762 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCc2cc(Cl)ccc21 ZINC000884099710 708138252 /nfs/dbraw/zinc/13/82/52/708138252.db2.gz XGKXRQIUXOLUSR-KBPBESRZSA-N 1 2 322.792 1.890 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2ccc(OC)cc2C1 ZINC000884105268 708141262 /nfs/dbraw/zinc/14/12/62/708141262.db2.gz JEUUADJQGRABFB-HNNXBMFYSA-N 1 2 318.373 1.027 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CC(C)(C)c2ccccc21 ZINC000884313128 708239113 /nfs/dbraw/zinc/23/91/13/708239113.db2.gz XBGUHZXTEVQHQH-LSDHHAIUSA-N 1 2 316.401 1.972 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@@H](C(F)(F)F)C1(C)C ZINC000884334595 708250543 /nfs/dbraw/zinc/25/05/43/708250543.db2.gz VKSHBVBNKUZARD-VHSXEESVSA-N 1 2 322.327 1.622 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC(C)(C)C(F)(F)CC ZINC000884435901 708296141 /nfs/dbraw/zinc/29/61/41/708296141.db2.gz NXWCGOVLXIEDFE-JTQLQIEISA-N 1 2 306.353 1.621 20 30 DDEDLO Cc1ccc(C(=O)/C=C\C(=O)N2CC[N@@H+](CCO)C[C@H]2C)cc1 ZINC000909436585 713017958 /nfs/dbraw/zinc/01/79/58/713017958.db2.gz RCWLDWHGSKNMGS-ZPIQOJFGSA-N 1 2 316.401 1.259 20 30 DDEDLO Cc1ccc(C(=O)/C=C\C(=O)N2CC[N@H+](CCO)C[C@H]2C)cc1 ZINC000909436585 713017960 /nfs/dbraw/zinc/01/79/60/713017960.db2.gz RCWLDWHGSKNMGS-ZPIQOJFGSA-N 1 2 316.401 1.259 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCN(c3cc[nH+]c(C)n3)CC2)cc1 ZINC000897389437 708312484 /nfs/dbraw/zinc/31/24/84/708312484.db2.gz LPHCZZSZIPWEQD-UHFFFAOYSA-N 1 2 306.369 1.729 20 30 DDEDLO N#CC1(Cn2cc(C[NH+]3CCC(O)CC3)nn2)CCCCC1 ZINC000899725102 709175275 /nfs/dbraw/zinc/17/52/75/709175275.db2.gz MVGSQZDNSAGEBD-UHFFFAOYSA-N 1 2 303.410 1.709 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)N[C@H](C)c2n[nH]c(C(C)C)n2)C1 ZINC000890369439 709842528 /nfs/dbraw/zinc/84/25/28/709842528.db2.gz WEIBYLRBWVRIBG-OLZOCXBDSA-N 1 2 318.425 1.386 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)N[C@H](C)c2n[nH]c(C(C)C)n2)C1 ZINC000890369439 709842532 /nfs/dbraw/zinc/84/25/32/709842532.db2.gz WEIBYLRBWVRIBG-OLZOCXBDSA-N 1 2 318.425 1.386 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CC2(CC[N@@H+]2Cc2ccccc2)C1 ZINC000910778140 710072889 /nfs/dbraw/zinc/07/28/89/710072889.db2.gz UECGPUXOFGDDCD-UHFFFAOYSA-N 1 2 320.396 1.997 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CC2(CC[N@H+]2Cc2ccccc2)C1 ZINC000910778140 710072892 /nfs/dbraw/zinc/07/28/92/710072892.db2.gz UECGPUXOFGDDCD-UHFFFAOYSA-N 1 2 320.396 1.997 20 30 DDEDLO CCc1cc(NCCNC(=O)C2N=CC=CC2=O)nc(CC)[nH+]1 ZINC000892466173 710441959 /nfs/dbraw/zinc/44/19/59/710441959.db2.gz KRGKVRQRAYLSRN-FOCLMDBBSA-N 1 2 315.377 1.540 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2nnc(-c3cscn3)o2)C1 ZINC000902729778 710881344 /nfs/dbraw/zinc/88/13/44/710881344.db2.gz NGDYNLFCOFKCTG-JTQLQIEISA-N 1 2 317.374 1.021 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2nnc(-c3cscn3)o2)C1 ZINC000902729778 710881347 /nfs/dbraw/zinc/88/13/47/710881347.db2.gz NGDYNLFCOFKCTG-JTQLQIEISA-N 1 2 317.374 1.021 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@H+]1CCC[C@@H](F)C1 ZINC000902992969 710989035 /nfs/dbraw/zinc/98/90/35/710989035.db2.gz PANMDXKYXSKULH-CYBMUJFWSA-N 1 2 300.333 1.720 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@@H+]1CCC[C@@H](F)C1 ZINC000902992969 710989039 /nfs/dbraw/zinc/98/90/39/710989039.db2.gz PANMDXKYXSKULH-CYBMUJFWSA-N 1 2 300.333 1.720 20 30 DDEDLO CC(C)(C#N)c1ccc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)cc1 ZINC000913452715 713221711 /nfs/dbraw/zinc/22/17/11/713221711.db2.gz KFQJSQPKZFHAOE-MRXNPFEDSA-N 1 2 323.400 1.998 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[NH2+][C@@H](c2cnn(C)c2)C1)OCC ZINC000913464367 713227620 /nfs/dbraw/zinc/22/76/20/713227620.db2.gz RSAHLGOTLFFUAP-HUUCEWRRSA-N 1 2 306.410 1.264 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)CNc1ccc(C#N)cc1 ZINC000928641076 713246443 /nfs/dbraw/zinc/24/64/43/713246443.db2.gz RHAHRJONSFZADP-OAHLLOKOSA-N 1 2 316.405 1.197 20 30 DDEDLO Cc1cc(C[NH2+][C@H]2CCCN(O)C2=O)ncc1Br ZINC000895156223 711434835 /nfs/dbraw/zinc/43/48/35/711434835.db2.gz BEDFWRBUDUGVSC-NSHDSACASA-N 1 2 314.183 1.622 20 30 DDEDLO C#CC[NH+]1CCC(NC(=O)c2ccc([N+](=O)[O-])cc2O)CC1 ZINC000928654122 713253383 /nfs/dbraw/zinc/25/33/83/713253383.db2.gz ODICPXCVHYVANO-UHFFFAOYSA-N 1 2 303.318 1.128 20 30 DDEDLO COc1cc(OC)c(C[NH2+][C@H]2CCCN(O)C2=O)c(OC)c1 ZINC000895167469 711440600 /nfs/dbraw/zinc/44/06/00/711440600.db2.gz ZKHKFXFEZPWNHU-LBPRGKRZSA-N 1 2 310.350 1.182 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2cn(-c3ccccc3C)nn2)CC1 ZINC000895670336 711574086 /nfs/dbraw/zinc/57/40/86/711574086.db2.gz PZZQKJDWAZPJGU-UHFFFAOYSA-N 1 2 310.401 1.926 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCN(C3CC3)[C@H](C#N)C2)c[nH+]1 ZINC000896313966 711705273 /nfs/dbraw/zinc/70/52/73/711705273.db2.gz AUDMTJPYROTSTI-CQSZACIVSA-N 1 2 301.394 1.125 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000896448842 711727291 /nfs/dbraw/zinc/72/72/91/711727291.db2.gz RGUIYCFMDWHIQD-INIZCTEOSA-N 1 2 313.401 1.722 20 30 DDEDLO C#CC[N@H+](CN1C(=O)C(=O)N(C2CCCCC2)C1=O)C(C)C ZINC000905743675 712137035 /nfs/dbraw/zinc/13/70/35/712137035.db2.gz YLZRIARFFZSENM-UHFFFAOYSA-N 1 2 305.378 1.411 20 30 DDEDLO C#CC[N@@H+](CN1C(=O)C(=O)N(C2CCCCC2)C1=O)C(C)C ZINC000905743675 712137036 /nfs/dbraw/zinc/13/70/36/712137036.db2.gz YLZRIARFFZSENM-UHFFFAOYSA-N 1 2 305.378 1.411 20 30 DDEDLO N#Cc1cc(CS(=O)(=O)NCCCn2cc[nH+]c2)cs1 ZINC000913824910 713306557 /nfs/dbraw/zinc/30/65/57/713306557.db2.gz IGFZJWQRPMRWAU-UHFFFAOYSA-N 1 2 310.404 1.326 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2coc3ccccc32)C1 ZINC000907397625 712560229 /nfs/dbraw/zinc/56/02/29/712560229.db2.gz UCIISBLLHCYLLL-CYBMUJFWSA-N 1 2 318.398 1.809 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2coc3ccccc32)C1 ZINC000907397625 712560230 /nfs/dbraw/zinc/56/02/30/712560230.db2.gz UCIISBLLHCYLLL-CYBMUJFWSA-N 1 2 318.398 1.809 20 30 DDEDLO C=C[C@@H](O)C(=O)N[C@H]1CCc2[nH+]c(C3CCCCC3)[nH]c2C1 ZINC000907816115 712630476 /nfs/dbraw/zinc/63/04/76/712630476.db2.gz QLIXNDRRFCDYOS-SWLSCSKDSA-N 1 2 303.406 1.978 20 30 DDEDLO C=C[C@@H](O)C(=O)N[C@H]1CCc2[nH]c(C3CCCCC3)[nH+]c2C1 ZINC000907816115 712630477 /nfs/dbraw/zinc/63/04/77/712630477.db2.gz QLIXNDRRFCDYOS-SWLSCSKDSA-N 1 2 303.406 1.978 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)[C@H](C)N1CC[NH+](C)CC1 ZINC000908648440 712828551 /nfs/dbraw/zinc/82/85/51/712828551.db2.gz UGXKWLBNYBGPCT-GJZGRUSLSA-N 1 2 307.438 1.149 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccccc2C#N)C[C@H]1C(C)(C)C ZINC000919590128 713618073 /nfs/dbraw/zinc/61/80/73/713618073.db2.gz BBJJKXLWGDZUGG-HNNXBMFYSA-N 1 2 321.446 1.909 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccccc2C#N)C[C@H]1C(C)(C)C ZINC000919590128 713618076 /nfs/dbraw/zinc/61/80/76/713618076.db2.gz BBJJKXLWGDZUGG-HNNXBMFYSA-N 1 2 321.446 1.909 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+](C)Cc2n[nH]c(=O)o2)cc1 ZINC000930759960 713913616 /nfs/dbraw/zinc/91/36/16/713913616.db2.gz UJDIVPNFALXTDR-UHFFFAOYSA-N 1 2 300.318 1.217 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+](C)Cc2n[nH]c(=O)o2)cc1 ZINC000930759960 713913619 /nfs/dbraw/zinc/91/36/19/713913619.db2.gz UJDIVPNFALXTDR-UHFFFAOYSA-N 1 2 300.318 1.217 20 30 DDEDLO N#Cc1cc(CNc2cnn(CC[NH+]3CCOCC3)c2)cs1 ZINC000921939071 713915072 /nfs/dbraw/zinc/91/50/72/713915072.db2.gz NHUSPXVNESMOBD-UHFFFAOYSA-N 1 2 317.418 1.761 20 30 DDEDLO CC(C)CN(CCC#N)C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000930905962 713954701 /nfs/dbraw/zinc/95/47/01/713954701.db2.gz YDVLXCFSNIJWCI-CVEARBPZSA-N 1 2 323.437 1.264 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@@H]2CS(N)(=O)=O)c(F)c1 ZINC000931226624 714041913 /nfs/dbraw/zinc/04/19/13/714041913.db2.gz VUDKWZBVZVHOJV-CYBMUJFWSA-N 1 2 311.382 1.340 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@@H]2CS(N)(=O)=O)c(F)c1 ZINC000931226624 714041915 /nfs/dbraw/zinc/04/19/15/714041915.db2.gz VUDKWZBVZVHOJV-CYBMUJFWSA-N 1 2 311.382 1.340 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)Cc1c[nH]c(C)c(OC)c1=O ZINC000931322699 714067036 /nfs/dbraw/zinc/06/70/36/714067036.db2.gz ZWSZDTHMPBOCJA-UHFFFAOYSA-N 1 2 321.421 1.548 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)Cc1c[nH]c(C)c(OC)c1=O ZINC000931322699 714067038 /nfs/dbraw/zinc/06/70/38/714067038.db2.gz ZWSZDTHMPBOCJA-UHFFFAOYSA-N 1 2 321.421 1.548 20 30 DDEDLO N#Cc1ccsc1NC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000931836950 714194136 /nfs/dbraw/zinc/19/41/36/714194136.db2.gz JXPXAGYWRKRPGU-ZDUSSCGKSA-N 1 2 320.418 1.948 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2scc3c2OCCO3)C1 ZINC000923552902 714398495 /nfs/dbraw/zinc/39/84/95/714398495.db2.gz FNYFLNYXRWCUNJ-LLVKDONJSA-N 1 2 306.387 1.347 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2scc3c2OCCO3)C1 ZINC000923552902 714398497 /nfs/dbraw/zinc/39/84/97/714398497.db2.gz FNYFLNYXRWCUNJ-LLVKDONJSA-N 1 2 306.387 1.347 20 30 DDEDLO CC(C)COC[C@@H](O)C[N@@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933623566 714633542 /nfs/dbraw/zinc/63/35/42/714633542.db2.gz CNSAFKIZICLZOG-ZWKOTPCHSA-N 1 2 318.417 1.965 20 30 DDEDLO CC(C)COC[C@@H](O)C[N@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933623566 714633543 /nfs/dbraw/zinc/63/35/43/714633543.db2.gz CNSAFKIZICLZOG-ZWKOTPCHSA-N 1 2 318.417 1.965 20 30 DDEDLO CCOCCOCC[N@@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933631764 714634449 /nfs/dbraw/zinc/63/44/49/714634449.db2.gz LLUGPMRLTKPBLL-KRWDZBQOSA-N 1 2 304.390 1.985 20 30 DDEDLO CCOCCOCC[N@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933631764 714634450 /nfs/dbraw/zinc/63/44/50/714634450.db2.gz LLUGPMRLTKPBLL-KRWDZBQOSA-N 1 2 304.390 1.985 20 30 DDEDLO CC(C)(C)OC(=O)N1CC([NH+]2CCC3(CC2)CC(=O)C=CO3)C1 ZINC000933647197 714638460 /nfs/dbraw/zinc/63/84/60/714638460.db2.gz XTPUMVWARKREOF-UHFFFAOYSA-N 1 2 322.405 1.943 20 30 DDEDLO C=CCCC[C@H]1NC(=O)N(Cc2nc(C[NH+](C)C)cs2)C1=O ZINC000925183332 714769345 /nfs/dbraw/zinc/76/93/45/714769345.db2.gz YYHWJFTZPYFPPI-GFCCVEGCSA-N 1 2 322.434 1.981 20 30 DDEDLO C=CC[C@H]1CC[N@H+]1CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000934471015 714828336 /nfs/dbraw/zinc/82/83/36/714828336.db2.gz GPGSNUOGJJFWMC-JTQLQIEISA-N 1 2 319.317 1.912 20 30 DDEDLO C=CC[C@H]1CC[N@@H+]1CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000934471015 714828339 /nfs/dbraw/zinc/82/83/39/714828339.db2.gz GPGSNUOGJJFWMC-JTQLQIEISA-N 1 2 319.317 1.912 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1cnn(C)n1 ZINC000926274518 715040234 /nfs/dbraw/zinc/04/02/34/715040234.db2.gz BAICFEIDRWDEEC-SWLSCSKDSA-N 1 2 324.388 1.138 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000935699792 715119302 /nfs/dbraw/zinc/11/93/02/715119302.db2.gz ZBHROCJPJAXZEZ-OAGGEKHMSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(CC)C1C[NH+](C[C@H](C)O)C1 ZINC000957113318 715753995 /nfs/dbraw/zinc/75/39/95/715753995.db2.gz JURBZCCTVVPERS-ZDUSSCGKSA-N 1 2 319.405 1.174 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)C2CCC(C(=O)N(C)C)CC2)C1 ZINC000957120687 715757398 /nfs/dbraw/zinc/75/73/98/715757398.db2.gz LGJNXPLVGXLEGJ-UHFFFAOYSA-N 1 2 321.465 1.600 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2n[nH]c(=O)c3ccccc32)CC1 ZINC000957423151 715896434 /nfs/dbraw/zinc/89/64/34/715896434.db2.gz GWPBJNGJAQXTDU-UHFFFAOYSA-N 1 2 312.373 1.669 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC000957980730 716261629 /nfs/dbraw/zinc/26/16/29/716261629.db2.gz XGFRUBPLUGELBT-STQMWFEESA-N 1 2 312.797 1.671 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC000957980730 716261632 /nfs/dbraw/zinc/26/16/32/716261632.db2.gz XGFRUBPLUGELBT-STQMWFEESA-N 1 2 312.797 1.671 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)co1 ZINC000960613963 716631504 /nfs/dbraw/zinc/63/15/04/716631504.db2.gz OVAYQVZXRSFPNU-INIZCTEOSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)co1 ZINC000960613963 716631509 /nfs/dbraw/zinc/63/15/09/716631509.db2.gz OVAYQVZXRSFPNU-INIZCTEOSA-N 1 2 301.390 1.819 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncnc1 ZINC000958642979 716683510 /nfs/dbraw/zinc/68/35/10/716683510.db2.gz UCOSCKGLMZCLSH-GDNZZTSVSA-N 1 2 323.194 1.045 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncnc1 ZINC000958642979 716683512 /nfs/dbraw/zinc/68/35/12/716683512.db2.gz UCOSCKGLMZCLSH-GDNZZTSVSA-N 1 2 323.194 1.045 20 30 DDEDLO N#CCN1CC[C@@H]([C@@H]2CCCN(C(=O)CCc3[nH]cc[nH+]3)C2)C1 ZINC000961161122 716842953 /nfs/dbraw/zinc/84/29/53/716842953.db2.gz UENJHDWSIGOWLV-HUUCEWRRSA-N 1 2 315.421 1.426 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccn4CC)C[C@H]32)C1 ZINC000961691807 717060762 /nfs/dbraw/zinc/06/07/62/717060762.db2.gz RXMPZXUZFUZIEG-FOLVSLTJSA-N 1 2 314.433 1.806 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccn4CC)C[C@H]32)C1 ZINC000961691807 717060765 /nfs/dbraw/zinc/06/07/65/717060765.db2.gz RXMPZXUZFUZIEG-FOLVSLTJSA-N 1 2 314.433 1.806 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)CC3(C)CCCC3)CC2)C1 ZINC000941400276 717170030 /nfs/dbraw/zinc/17/00/30/717170030.db2.gz RXJUMFRRTDXMGS-UHFFFAOYSA-N 1 2 317.477 1.809 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H](C)c3ccccc3)CC2)C1 ZINC000941641464 717240516 /nfs/dbraw/zinc/24/05/16/717240516.db2.gz BUDPFULMJWZFTC-INIZCTEOSA-N 1 2 311.429 1.252 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3sccc3CC)CC2)C1 ZINC000941676680 717252605 /nfs/dbraw/zinc/25/26/05/717252605.db2.gz DSIZEYSFMNZWFU-UHFFFAOYSA-N 1 2 317.458 1.386 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cccc(C4CC4)c3)CC2)C1 ZINC000941679272 717253132 /nfs/dbraw/zinc/25/31/32/717253132.db2.gz YBTRCFNQZCWXOF-UHFFFAOYSA-N 1 2 323.440 1.639 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccon2)CC[C@@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941962261 717409511 /nfs/dbraw/zinc/40/95/11/717409511.db2.gz CYLWIUMJJNOIKO-NHYWBVRUSA-N 1 2 313.361 1.515 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccon2)CC[C@@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941962261 717409515 /nfs/dbraw/zinc/40/95/15/717409515.db2.gz CYLWIUMJJNOIKO-NHYWBVRUSA-N 1 2 313.361 1.515 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ncccn2)CC[C@@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941963056 717409673 /nfs/dbraw/zinc/40/96/73/717409673.db2.gz IYWNORLCMFRLRN-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ncccn2)CC[C@@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941963056 717409675 /nfs/dbraw/zinc/40/96/75/717409675.db2.gz IYWNORLCMFRLRN-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2n[nH]c(C)n2)[C@H](C)C1 ZINC000942034179 717441541 /nfs/dbraw/zinc/44/15/41/717441541.db2.gz TXJCSJOORSNHIM-SKDRFNHKSA-N 1 2 311.817 1.235 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2n[nH]c(C)n2)[C@H](C)C1 ZINC000942034179 717441543 /nfs/dbraw/zinc/44/15/43/717441543.db2.gz TXJCSJOORSNHIM-SKDRFNHKSA-N 1 2 311.817 1.235 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000942277848 717595997 /nfs/dbraw/zinc/59/59/97/717595997.db2.gz AHLJNXDUERHPFE-GFCCVEGCSA-N 1 2 302.378 1.026 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)Cn2c[nH+]cc2C)[C@@H](C)C1 ZINC000942517907 717713870 /nfs/dbraw/zinc/71/38/70/717713870.db2.gz ISADXSQESLLFSW-SMDDNHRTSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3nnc(C)[nH]3)C[C@@H]2C)C1 ZINC000942608600 717775906 /nfs/dbraw/zinc/77/59/06/717775906.db2.gz XNFZLYNBFJALCO-JSGCOSHPSA-N 1 2 317.437 1.796 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3nnc(C)[nH]3)C[C@@H]2C)C1 ZINC000942608600 717775910 /nfs/dbraw/zinc/77/59/10/717775910.db2.gz XNFZLYNBFJALCO-JSGCOSHPSA-N 1 2 317.437 1.796 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000312 717855718 /nfs/dbraw/zinc/85/57/18/717855718.db2.gz NIZMROMPAKPLAJ-SKDRFNHKSA-N 1 2 311.817 1.235 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000312 717855722 /nfs/dbraw/zinc/85/57/22/717855722.db2.gz NIZMROMPAKPLAJ-SKDRFNHKSA-N 1 2 311.817 1.235 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)[C@@H](C)C1 ZINC000943965695 718233814 /nfs/dbraw/zinc/23/38/14/718233814.db2.gz KIEPLRGASGGPKK-XJKSGUPXSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)[C@@H](C)C1 ZINC000943965695 718233816 /nfs/dbraw/zinc/23/38/16/718233816.db2.gz KIEPLRGASGGPKK-XJKSGUPXSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c[nH]c(C)cc2=O)[C@@H](C)C1 ZINC000943967812 718234435 /nfs/dbraw/zinc/23/44/35/718234435.db2.gz UMJZYLVELXJNHP-IINYFYTJSA-N 1 2 323.824 1.876 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c[nH]c(C)cc2=O)[C@@H](C)C1 ZINC000943967812 718234438 /nfs/dbraw/zinc/23/44/38/718234438.db2.gz UMJZYLVELXJNHP-IINYFYTJSA-N 1 2 323.824 1.876 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966885053 718694447 /nfs/dbraw/zinc/69/44/47/718694447.db2.gz WJQDGYBSPLOJMT-BXKDBHETSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966885053 718694448 /nfs/dbraw/zinc/69/44/48/718694448.db2.gz WJQDGYBSPLOJMT-BXKDBHETSA-N 1 2 310.785 1.375 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967185678 718787141 /nfs/dbraw/zinc/78/71/41/718787141.db2.gz QNLNDNCSLOCPDQ-DOMZBBRYSA-N 1 2 302.422 1.915 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967185678 718787143 /nfs/dbraw/zinc/78/71/43/718787143.db2.gz QNLNDNCSLOCPDQ-DOMZBBRYSA-N 1 2 302.422 1.915 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2c(C)cnn2C)C1 ZINC000967894139 719076106 /nfs/dbraw/zinc/07/61/06/719076106.db2.gz HOZBAORJNHMYGC-GWCFXTLKSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2c(C)cnn2C)C1 ZINC000967894139 719076109 /nfs/dbraw/zinc/07/61/09/719076109.db2.gz HOZBAORJNHMYGC-GWCFXTLKSA-N 1 2 310.829 1.921 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1C[N@@H+](CCF)CC[C@@H]1C ZINC000968561349 719665904 /nfs/dbraw/zinc/66/59/04/719665904.db2.gz KIYKYJLMMDDZCY-DZGCQCFKSA-N 1 2 319.380 1.503 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1C[N@H+](CCF)CC[C@@H]1C ZINC000968561349 719665907 /nfs/dbraw/zinc/66/59/07/719665907.db2.gz KIYKYJLMMDDZCY-DZGCQCFKSA-N 1 2 319.380 1.503 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cccn1C ZINC000948890800 719769943 /nfs/dbraw/zinc/76/99/43/719769943.db2.gz SPJCLWAGPFGJDL-SFHVURJKSA-N 1 2 307.397 1.988 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cccn1C ZINC000948890800 719769947 /nfs/dbraw/zinc/76/99/47/719769947.db2.gz SPJCLWAGPFGJDL-SFHVURJKSA-N 1 2 307.397 1.988 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CCCO1 ZINC000948986472 719825093 /nfs/dbraw/zinc/82/50/93/719825093.db2.gz MMMIFSLVODJKNF-QZTJIDSGSA-N 1 2 312.413 1.904 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CCCO1 ZINC000948986472 719825098 /nfs/dbraw/zinc/82/50/98/719825098.db2.gz MMMIFSLVODJKNF-QZTJIDSGSA-N 1 2 312.413 1.904 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(CC1)CN(C(=O)c1ccn[nH]1)CCO2 ZINC000949038403 719861808 /nfs/dbraw/zinc/86/18/08/719861808.db2.gz RZNSSCNXKKWMFD-UHFFFAOYSA-N 1 2 324.812 1.469 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ocnc3CC)CC2)C1 ZINC000949468322 720108797 /nfs/dbraw/zinc/10/87/97/720108797.db2.gz DVWJJRWMFSXRTQ-UHFFFAOYSA-N 1 2 317.389 1.177 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ocnc3CC)CC2)C1 ZINC000949468322 720108800 /nfs/dbraw/zinc/10/88/00/720108800.db2.gz DVWJJRWMFSXRTQ-UHFFFAOYSA-N 1 2 317.389 1.177 20 30 DDEDLO Cc1cnc(C[NH+]2CC([C@@H](C)NC(=O)c3c[nH]c(C#N)c3)C2)cn1 ZINC000969526459 720174400 /nfs/dbraw/zinc/17/44/00/720174400.db2.gz MMHRKCVPTOXQGR-GFCCVEGCSA-N 1 2 324.388 1.235 20 30 DDEDLO Cc1cnc(C[NH+]2CC([C@H](C)NC(=O)c3c[nH]c(C#N)c3)C2)cn1 ZINC000969526462 720174675 /nfs/dbraw/zinc/17/46/75/720174675.db2.gz MMHRKCVPTOXQGR-LBPRGKRZSA-N 1 2 324.388 1.235 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C2C[NH+](Cc3cnnn3C)C2)cc1 ZINC000970254227 720679966 /nfs/dbraw/zinc/67/99/66/720679966.db2.gz YSKSGTDBFYPARW-CYBMUJFWSA-N 1 2 323.400 1.047 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C(F)F)n(C)n2)C1 ZINC000950886812 720813563 /nfs/dbraw/zinc/81/35/63/720813563.db2.gz PQCWTANOTGPWFE-UHFFFAOYSA-N 1 2 310.348 1.527 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)N[C@@H](CC)C2)C1 ZINC000950960490 720853050 /nfs/dbraw/zinc/85/30/50/720853050.db2.gz BCVLOGWTQZTTIY-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cncc(N3CCCC3)n2)CC1 ZINC000951210739 720959003 /nfs/dbraw/zinc/95/90/03/720959003.db2.gz ODKKADGZQHBQSV-UHFFFAOYSA-N 1 2 315.421 1.411 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCCC[C@@H]1C[NH2+]Cc1nnn(C)n1 ZINC001025038153 736244441 /nfs/dbraw/zinc/24/44/41/736244441.db2.gz SUKMBWIRYUDOQP-CYBMUJFWSA-N 1 2 320.441 1.283 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3occc3CC)[C@H]2C1 ZINC001083184622 732301187 /nfs/dbraw/zinc/30/11/87/732301187.db2.gz DGJFWMOWVPBZRA-LSDHHAIUSA-N 1 2 302.374 1.391 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3occc3CC)[C@H]2C1 ZINC001083184622 732301192 /nfs/dbraw/zinc/30/11/92/732301192.db2.gz DGJFWMOWVPBZRA-LSDHHAIUSA-N 1 2 302.374 1.391 20 30 DDEDLO N#Cc1ccc(C[NH2+][C@H]2C[C@H](NC(=O)c3[nH]ncc3F)C2)s1 ZINC001020558739 732501264 /nfs/dbraw/zinc/50/12/64/732501264.db2.gz SNXAJWIEWVEIGR-KYZUINATSA-N 1 2 319.365 1.533 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ocnc3C3CC3)[C@H]2C1 ZINC001083192198 732533012 /nfs/dbraw/zinc/53/30/12/732533012.db2.gz OUBKCKCPSGGZSR-QWHCGFSZSA-N 1 2 303.362 1.263 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3ocnc3C3CC3)[C@H]2C1 ZINC001083192198 732533013 /nfs/dbraw/zinc/53/30/13/732533013.db2.gz OUBKCKCPSGGZSR-QWHCGFSZSA-N 1 2 303.362 1.263 20 30 DDEDLO N#CCN1CC[C@@H]([C@H]2CCCCN2C(=O)CCn2cc[nH+]c2)C1 ZINC001039226197 733287844 /nfs/dbraw/zinc/28/78/44/733287844.db2.gz SRJFTYBDMNWFLR-HZPDHXFCSA-N 1 2 315.421 1.500 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@H]2C[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)no1 ZINC001021945789 733673340 /nfs/dbraw/zinc/67/33/40/733673340.db2.gz BVSXYEVTHFBWJN-KPXOXKRLSA-N 1 2 314.349 1.189 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3oc(C4CC4)nc3C)[C@H]2C1 ZINC001083218923 733714700 /nfs/dbraw/zinc/71/47/00/733714700.db2.gz QYIGEBWGBWMXPL-UONOGXRCSA-N 1 2 317.389 1.572 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3oc(C4CC4)nc3C)[C@H]2C1 ZINC001083218923 733714706 /nfs/dbraw/zinc/71/47/06/733714706.db2.gz QYIGEBWGBWMXPL-UONOGXRCSA-N 1 2 317.389 1.572 20 30 DDEDLO CCc1nc(N(CCNC(=O)[C@H](C)C#N)CCOC)cc(C)[nH+]1 ZINC001126261517 738780014 /nfs/dbraw/zinc/78/00/14/738780014.db2.gz OVQRJTWZMIBUDE-GFCCVEGCSA-N 1 2 319.409 1.076 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnn2ccncc12 ZINC001027934525 738834735 /nfs/dbraw/zinc/83/47/35/738834735.db2.gz TTZYWWYGTIVOAR-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnn2ccncc12 ZINC001027934525 738834740 /nfs/dbraw/zinc/83/47/40/738834740.db2.gz TTZYWWYGTIVOAR-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4ccccc4n3)[C@H]2C1 ZINC001083256098 734995747 /nfs/dbraw/zinc/99/57/47/734995747.db2.gz FIUSBMLWPOCWCH-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4ccccc4n3)[C@H]2C1 ZINC001083256098 734995749 /nfs/dbraw/zinc/99/57/49/734995749.db2.gz FIUSBMLWPOCWCH-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1csc([C@H]2CCCO2)n1 ZINC001038253381 735017206 /nfs/dbraw/zinc/01/72/06/735017206.db2.gz NQMKCUBMFSAVIP-WCQYABFASA-N 1 2 305.403 1.432 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1csc([C@H]2CCCO2)n1 ZINC001038253381 735017208 /nfs/dbraw/zinc/01/72/08/735017208.db2.gz NQMKCUBMFSAVIP-WCQYABFASA-N 1 2 305.403 1.432 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213390240 735082343 /nfs/dbraw/zinc/08/23/43/735082343.db2.gz VHRFRMNBXKDHIW-BFYDXBDKSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213390240 735082344 /nfs/dbraw/zinc/08/23/44/735082344.db2.gz VHRFRMNBXKDHIW-BFYDXBDKSA-N 1 2 321.421 1.901 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NC[C@@H]3CCCCN3CC#N)ccn12 ZINC001024440521 735830336 /nfs/dbraw/zinc/83/03/36/735830336.db2.gz QOSQQFXSJPCJKN-HNNXBMFYSA-N 1 2 311.389 1.751 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4c(c3)CCC4)[C@H]2C1 ZINC001083270914 736013680 /nfs/dbraw/zinc/01/36/80/736013680.db2.gz GVWFWBZJWOIYIG-ZWKOTPCHSA-N 1 2 310.397 1.334 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4c(c3)CCC4)[C@H]2C1 ZINC001083270914 736013684 /nfs/dbraw/zinc/01/36/84/736013684.db2.gz GVWFWBZJWOIYIG-ZWKOTPCHSA-N 1 2 310.397 1.334 20 30 DDEDLO Cc1nc(N[C@H](C)CNC(=O)CCn2cc[nH+]c2)ccc1C#N ZINC001098167567 736216284 /nfs/dbraw/zinc/21/62/84/736216284.db2.gz DXRDKXSEWODYHI-GFCCVEGCSA-N 1 2 312.377 1.465 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)CNC(=O)CCn2cc[nH+]c2)n1 ZINC001098167666 736218878 /nfs/dbraw/zinc/21/88/78/736218878.db2.gz HJQHHNWJAKINRX-ZDUSSCGKSA-N 1 2 312.377 1.465 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC001126383247 739662596 /nfs/dbraw/zinc/66/25/96/739662596.db2.gz HAQSWGMUOPQEFU-MRXNPFEDSA-N 1 2 309.410 1.505 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2cccn2)cc1 ZINC001038355277 737145298 /nfs/dbraw/zinc/14/52/98/737145298.db2.gz YJRHMEWZEZVELG-QGZVFWFLSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2cccn2)cc1 ZINC001038355277 737145299 /nfs/dbraw/zinc/14/52/99/737145299.db2.gz YJRHMEWZEZVELG-QGZVFWFLSA-N 1 2 308.385 1.700 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105249189 737658187 /nfs/dbraw/zinc/65/81/87/737658187.db2.gz NKWYJNUTZDDDMN-UHFFFAOYSA-N 1 2 309.389 1.323 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3c(C)cccc3C)C2)nn1 ZINC001105332829 737932888 /nfs/dbraw/zinc/93/28/88/737932888.db2.gz BAZZRWMWYCGESI-UHFFFAOYSA-N 1 2 323.400 1.315 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@H](NC(=O)c3ccoc3)C2)C1=O ZINC001006735097 738327649 /nfs/dbraw/zinc/32/76/49/738327649.db2.gz GIDSPYWOGCDYPG-GJZGRUSLSA-N 1 2 317.389 1.261 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@H](NC(=O)c3ccoc3)C2)C1=O ZINC001006735097 738327653 /nfs/dbraw/zinc/32/76/53/738327653.db2.gz GIDSPYWOGCDYPG-GJZGRUSLSA-N 1 2 317.389 1.261 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098195873 738990511 /nfs/dbraw/zinc/99/05/11/738990511.db2.gz MELWAYKVZZYULM-NWDGAFQWSA-N 1 2 316.409 1.882 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cn(C)nc3C)[C@@H]2C1 ZINC001075616314 739188587 /nfs/dbraw/zinc/18/85/87/739188587.db2.gz OQEQKKCCPQZHTD-GXTWGEPZSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cn(C)nc3C)[C@@H]2C1 ZINC001075616314 739188589 /nfs/dbraw/zinc/18/85/89/739188589.db2.gz OQEQKKCCPQZHTD-GXTWGEPZSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc2n[nH]nc2c1 ZINC001028311653 739338243 /nfs/dbraw/zinc/33/82/43/739338243.db2.gz UDMLGGDJYABHAA-LBPRGKRZSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc2n[nH]nc2c1 ZINC001028311653 739338245 /nfs/dbraw/zinc/33/82/45/739338245.db2.gz UDMLGGDJYABHAA-LBPRGKRZSA-N 1 2 319.796 1.905 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2nn(C)cc21 ZINC001032589131 751447933 /nfs/dbraw/zinc/44/79/33/751447933.db2.gz WCIIISHHZNMEMG-KKUMJFAQSA-N 1 2 312.417 1.148 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2nn(C)cc21 ZINC001032589131 751447936 /nfs/dbraw/zinc/44/79/36/751447936.db2.gz WCIIISHHZNMEMG-KKUMJFAQSA-N 1 2 312.417 1.148 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H](OCC)C(=C)C)C1 ZINC001107979678 751473840 /nfs/dbraw/zinc/47/38/40/751473840.db2.gz LFNIFKDHNAITHR-NVXWUHKLSA-N 1 2 310.438 1.751 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](OCC)C(=C)C)C1 ZINC001107979678 751473845 /nfs/dbraw/zinc/47/38/45/751473845.db2.gz LFNIFKDHNAITHR-NVXWUHKLSA-N 1 2 310.438 1.751 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](OCC)C(=C)C)C1 ZINC001107979680 751474032 /nfs/dbraw/zinc/47/40/32/751474032.db2.gz LFNIFKDHNAITHR-WBVHZDCISA-N 1 2 310.438 1.751 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](OCC)C(=C)C)C1 ZINC001107979680 751474033 /nfs/dbraw/zinc/47/40/33/751474033.db2.gz LFNIFKDHNAITHR-WBVHZDCISA-N 1 2 310.438 1.751 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3cnccc3c2)C1 ZINC001035452956 751501586 /nfs/dbraw/zinc/50/15/86/751501586.db2.gz SNEFBINCYPGOBM-INIZCTEOSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3cnccc3c2)C1 ZINC001035452956 751501588 /nfs/dbraw/zinc/50/15/88/751501588.db2.gz SNEFBINCYPGOBM-INIZCTEOSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2nncc3ccccc32)C1 ZINC001035439953 751516986 /nfs/dbraw/zinc/51/69/86/751516986.db2.gz ITMSKGVZGCOCJU-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2nncc3ccccc32)C1 ZINC001035439953 751516992 /nfs/dbraw/zinc/51/69/92/751516992.db2.gz ITMSKGVZGCOCJU-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc3cc(F)ccc3[nH]2)C1 ZINC001035462977 751548957 /nfs/dbraw/zinc/54/89/57/751548957.db2.gz AOSABQMYCPXPHE-CQSZACIVSA-N 1 2 317.364 1.924 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc3cc(F)ccc3[nH]2)C1 ZINC001035462977 751548962 /nfs/dbraw/zinc/54/89/62/751548962.db2.gz AOSABQMYCPXPHE-CQSZACIVSA-N 1 2 317.364 1.924 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cncc(OC(C)C)c2)C1 ZINC001035467124 751551914 /nfs/dbraw/zinc/55/19/14/751551914.db2.gz LGCQFUHWOMQSOS-MRXNPFEDSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cncc(OC(C)C)c2)C1 ZINC001035467124 751551917 /nfs/dbraw/zinc/55/19/17/751551917.db2.gz LGCQFUHWOMQSOS-MRXNPFEDSA-N 1 2 319.405 1.485 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnnn2CC)[C@H]1CC ZINC001087562227 740651057 /nfs/dbraw/zinc/65/10/57/740651057.db2.gz MSABHUFWZCUVRL-NWDGAFQWSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnnn2CC)[C@H]1CC ZINC001087562227 740651058 /nfs/dbraw/zinc/65/10/58/740651058.db2.gz MSABHUFWZCUVRL-NWDGAFQWSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001035511279 751568557 /nfs/dbraw/zinc/56/85/57/751568557.db2.gz PNKXMZTZJPLWNW-NVXWUHKLSA-N 1 2 315.417 1.190 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001035511279 751568563 /nfs/dbraw/zinc/56/85/63/751568563.db2.gz PNKXMZTZJPLWNW-NVXWUHKLSA-N 1 2 315.417 1.190 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@@H]3C2)s1 ZINC001087909736 740848693 /nfs/dbraw/zinc/84/86/93/740848693.db2.gz WHUWZOJSMHZYJV-UHTWSYAYSA-N 1 2 319.434 1.287 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@@H]3C2)s1 ZINC001087909736 740848697 /nfs/dbraw/zinc/84/86/97/740848697.db2.gz WHUWZOJSMHZYJV-UHTWSYAYSA-N 1 2 319.434 1.287 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(Cl)c(OC)c2)C1 ZINC001035537966 751583787 /nfs/dbraw/zinc/58/37/87/751583787.db2.gz WJQXVURRXSCVGI-CYBMUJFWSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(Cl)c(OC)c2)C1 ZINC001035537966 751583791 /nfs/dbraw/zinc/58/37/91/751583791.db2.gz WJQXVURRXSCVGI-CYBMUJFWSA-N 1 2 324.808 1.965 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001059426731 740916326 /nfs/dbraw/zinc/91/63/26/740916326.db2.gz QGOQGBRYTQOAAC-JOCQHMNTSA-N 1 2 310.361 1.287 20 30 DDEDLO CSc1nc(C[N@@H+]2CC[C@@H](O)[C@@](C)(CO)C2)ccc1C#N ZINC001141932334 740951172 /nfs/dbraw/zinc/95/11/72/740951172.db2.gz YFOHWTRFQCWCPB-UKRRQHHQSA-N 1 2 307.419 1.240 20 30 DDEDLO CSc1nc(C[N@H+]2CC[C@@H](O)[C@@](C)(CO)C2)ccc1C#N ZINC001141932334 740951174 /nfs/dbraw/zinc/95/11/74/740951174.db2.gz YFOHWTRFQCWCPB-UKRRQHHQSA-N 1 2 307.419 1.240 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)nn(CC)c2C)C1 ZINC001035546371 751593792 /nfs/dbraw/zinc/59/37/92/751593792.db2.gz SPXXFZWLAJSOLH-HNNXBMFYSA-N 1 2 320.437 1.527 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)nn(CC)c2C)C1 ZINC001035546371 751593798 /nfs/dbraw/zinc/59/37/98/751593798.db2.gz SPXXFZWLAJSOLH-HNNXBMFYSA-N 1 2 320.437 1.527 20 30 DDEDLO C[C@H](c1csnn1)[N@H+](C)C[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001029734384 741261252 /nfs/dbraw/zinc/26/12/52/741261252.db2.gz WOWJEDZSDQZSNU-OCCSQVGLSA-N 1 2 318.446 1.793 20 30 DDEDLO C[C@H](c1csnn1)[N@@H+](C)C[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001029734384 741261256 /nfs/dbraw/zinc/26/12/56/741261256.db2.gz WOWJEDZSDQZSNU-OCCSQVGLSA-N 1 2 318.446 1.793 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2sc(C)nc2C)C1 ZINC001035600619 751657697 /nfs/dbraw/zinc/65/76/97/751657697.db2.gz QVTNZSOHQUWKJK-ZDUSSCGKSA-N 1 2 309.435 1.767 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2sc(C)nc2C)C1 ZINC001035600619 751657699 /nfs/dbraw/zinc/65/76/99/751657699.db2.gz QVTNZSOHQUWKJK-ZDUSSCGKSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2[nH]nc3ccccc32)C1 ZINC001035599674 751658699 /nfs/dbraw/zinc/65/86/99/751658699.db2.gz GXAVUKXAVNGLJM-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2[nH]nc3ccccc32)C1 ZINC001035599674 751658701 /nfs/dbraw/zinc/65/87/01/751658701.db2.gz GXAVUKXAVNGLJM-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO N#CCNC[C@@]1(c2ccccc2)C[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001105439809 741689719 /nfs/dbraw/zinc/68/97/19/741689719.db2.gz NLYKPENCBMNCEJ-MAEOIBBWSA-N 1 2 323.400 1.282 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)c(COC)c1 ZINC001032619828 751670999 /nfs/dbraw/zinc/67/09/99/751670999.db2.gz HYWXDNMBVLTZFH-GJZGRUSLSA-N 1 2 302.349 1.504 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)c(COC)c1 ZINC001032619828 751671002 /nfs/dbraw/zinc/67/10/02/751671002.db2.gz HYWXDNMBVLTZFH-GJZGRUSLSA-N 1 2 302.349 1.504 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)nn1C ZINC001038107994 741826415 /nfs/dbraw/zinc/82/64/15/741826415.db2.gz RETVNEVVOVCXII-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)nn1C ZINC001038107994 741826420 /nfs/dbraw/zinc/82/64/20/741826420.db2.gz RETVNEVVOVCXII-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2oc(CCC)nc2C)C1 ZINC001035582301 751673250 /nfs/dbraw/zinc/67/32/50/751673250.db2.gz ZRNYDRKYBOYUOD-CQSZACIVSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2oc(CCC)nc2C)C1 ZINC001035582301 751673255 /nfs/dbraw/zinc/67/32/55/751673255.db2.gz ZRNYDRKYBOYUOD-CQSZACIVSA-N 1 2 321.421 1.942 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(COC)c(F)c2)C1 ZINC001035589329 751683693 /nfs/dbraw/zinc/68/36/93/751683693.db2.gz HLKUSQOPPPZMGK-HNNXBMFYSA-N 1 2 322.380 1.589 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(COC)c(F)c2)C1 ZINC001035589329 751683694 /nfs/dbraw/zinc/68/36/94/751683694.db2.gz HLKUSQOPPPZMGK-HNNXBMFYSA-N 1 2 322.380 1.589 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)/C=C/C1CC1 ZINC001212084794 741986876 /nfs/dbraw/zinc/98/68/76/741986876.db2.gz HGXLMJYBJXWBHR-MCBHFWOFSA-N 1 2 324.424 1.820 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)/C=C/C1CC1 ZINC001212084794 741986880 /nfs/dbraw/zinc/98/68/80/741986880.db2.gz HGXLMJYBJXWBHR-MCBHFWOFSA-N 1 2 324.424 1.820 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCCS2(=O)=O)[C@H]1C ZINC001088618697 741991139 /nfs/dbraw/zinc/99/11/39/741991139.db2.gz FXPJXOMRDOBQDU-RDBSUJKOSA-N 1 2 314.451 1.109 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCCS2(=O)=O)[C@H]1C ZINC001088618697 741991142 /nfs/dbraw/zinc/99/11/42/741991142.db2.gz FXPJXOMRDOBQDU-RDBSUJKOSA-N 1 2 314.451 1.109 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2COc3ccccc32)C1 ZINC001035625874 751697107 /nfs/dbraw/zinc/69/71/07/751697107.db2.gz YPPYRXKIAMYBLG-HIFRSBDPSA-N 1 2 302.374 1.166 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2COc3ccccc32)C1 ZINC001035625874 751697112 /nfs/dbraw/zinc/69/71/12/751697112.db2.gz YPPYRXKIAMYBLG-HIFRSBDPSA-N 1 2 302.374 1.166 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC1CC1)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001076431749 742729569 /nfs/dbraw/zinc/72/95/69/742729569.db2.gz NHHAJTPRZWDOIH-STQMWFEESA-N 1 2 316.405 1.013 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC1CC1)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001076431749 742729572 /nfs/dbraw/zinc/72/95/72/742729572.db2.gz NHHAJTPRZWDOIH-STQMWFEESA-N 1 2 316.405 1.013 20 30 DDEDLO C=C1CCC(C(=O)N(CCO)CCNc2cc[nH+]c(C)n2)CC1 ZINC001111560280 742931320 /nfs/dbraw/zinc/93/13/20/742931320.db2.gz OMRQISKKUQAZNO-UHFFFAOYSA-N 1 2 318.421 1.764 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H](C)CCCC)C2)nn1 ZINC001098666878 742988812 /nfs/dbraw/zinc/98/88/12/742988812.db2.gz JOYJXUOYPGUSEU-ZBFHGGJFSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001108043051 743056200 /nfs/dbraw/zinc/05/62/00/743056200.db2.gz BSSDPYIPUYWHIE-KRWDZBQOSA-N 1 2 320.437 1.645 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001108043051 743056210 /nfs/dbraw/zinc/05/62/10/743056210.db2.gz BSSDPYIPUYWHIE-KRWDZBQOSA-N 1 2 320.437 1.645 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077014922 743168392 /nfs/dbraw/zinc/16/83/92/743168392.db2.gz UEJONSXOXLQKMG-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077014922 743168395 /nfs/dbraw/zinc/16/83/95/743168395.db2.gz UEJONSXOXLQKMG-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(NC(=O)c2ccc(C#N)[nH]2)CC1 ZINC001002625961 743293842 /nfs/dbraw/zinc/29/38/42/743293842.db2.gz JWKDHDPBPVOWNT-UHFFFAOYSA-N 1 2 312.377 1.014 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2occc2-c2ccccc2)C1 ZINC001077343371 743416635 /nfs/dbraw/zinc/41/66/35/743416635.db2.gz QBRUXIGBQWLMRP-IAGOWNOFSA-N 1 2 324.380 1.745 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2occc2-c2ccccc2)C1 ZINC001077343371 743416638 /nfs/dbraw/zinc/41/66/38/743416638.db2.gz QBRUXIGBQWLMRP-IAGOWNOFSA-N 1 2 324.380 1.745 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001182107949 743479056 /nfs/dbraw/zinc/47/90/56/743479056.db2.gz CHZKUHLHJGWSLX-CYBMUJFWSA-N 1 2 319.409 1.020 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccn(CC(F)(F)F)n1 ZINC001038377714 743559223 /nfs/dbraw/zinc/55/92/23/743559223.db2.gz DMOASMWBQUPVJV-LLVKDONJSA-N 1 2 314.311 1.273 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccn(CC(F)(F)F)n1 ZINC001038377714 743559225 /nfs/dbraw/zinc/55/92/25/743559225.db2.gz DMOASMWBQUPVJV-LLVKDONJSA-N 1 2 314.311 1.273 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)COc2ccc(Cl)cc2CO)CC1 ZINC001182309387 743561915 /nfs/dbraw/zinc/56/19/15/743561915.db2.gz WSHPBRNOPRRMSG-UHFFFAOYSA-N 1 2 324.808 1.541 20 30 DDEDLO CN(C(=O)CCn1cc[nH+]c1)C1CC(Nc2ccncc2C#N)C1 ZINC001127047775 743675134 /nfs/dbraw/zinc/67/51/34/743675134.db2.gz SOJARKAVTYPLJQ-UHFFFAOYSA-N 1 2 324.388 1.063 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105719144 743758372 /nfs/dbraw/zinc/75/83/72/743758372.db2.gz AAXPZTPDGODVCJ-CABCVRRESA-N 1 2 324.465 1.783 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001185020176 744138669 /nfs/dbraw/zinc/13/86/69/744138669.db2.gz QRSHLJQXVAFIRL-CQSZACIVSA-N 1 2 316.405 1.831 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001185020068 744138890 /nfs/dbraw/zinc/13/88/90/744138890.db2.gz QEHUOGZXRKBPJU-CYBMUJFWSA-N 1 2 320.393 1.100 20 30 DDEDLO C[N@H+](Cc1ccccc1)[C@@H](CO)C(=O)NC1=CC(=O)CCC1 ZINC001185235524 744186291 /nfs/dbraw/zinc/18/62/91/744186291.db2.gz OYSLUZQJOFSVOD-INIZCTEOSA-N 1 2 302.374 1.232 20 30 DDEDLO C[N@@H+](Cc1ccccc1)[C@@H](CO)C(=O)NC1=CC(=O)CCC1 ZINC001185235524 744186295 /nfs/dbraw/zinc/18/62/95/744186295.db2.gz OYSLUZQJOFSVOD-INIZCTEOSA-N 1 2 302.374 1.232 20 30 DDEDLO C[C@H](CC(=O)NCC1(Nc2ccc(C#N)cn2)CC1)n1cc[nH+]c1 ZINC001110361692 744210069 /nfs/dbraw/zinc/21/00/69/744210069.db2.gz YFARNHCIGTZPCN-CYBMUJFWSA-N 1 2 324.388 1.862 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CCCC=C)C2)nn1 ZINC001185993161 744318974 /nfs/dbraw/zinc/31/89/74/744318974.db2.gz WONXSYZQRJGZOD-INIZCTEOSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCc3nncn3C2)[C@H]1C ZINC001089057643 744480865 /nfs/dbraw/zinc/48/08/65/744480865.db2.gz HHYZWRNBSVSXSA-UPJWGTAASA-N 1 2 323.828 1.172 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCc3nncn3C2)[C@H]1C ZINC001089057643 744480868 /nfs/dbraw/zinc/48/08/68/744480868.db2.gz HHYZWRNBSVSXSA-UPJWGTAASA-N 1 2 323.828 1.172 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(-c2cccs2)on1 ZINC001038566164 744505501 /nfs/dbraw/zinc/50/55/01/744505501.db2.gz AHEDWPBYTOVYSQ-LLVKDONJSA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(-c2cccs2)on1 ZINC001038566164 744505502 /nfs/dbraw/zinc/50/55/02/744505502.db2.gz AHEDWPBYTOVYSQ-LLVKDONJSA-N 1 2 301.371 1.840 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001187729226 744597546 /nfs/dbraw/zinc/59/75/46/744597546.db2.gz OLEYTNHJAFDEHM-GOEBONIOSA-N 1 2 301.390 1.819 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001187729226 744597547 /nfs/dbraw/zinc/59/75/47/744597547.db2.gz OLEYTNHJAFDEHM-GOEBONIOSA-N 1 2 301.390 1.819 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001188309922 744687509 /nfs/dbraw/zinc/68/75/09/744687509.db2.gz LFWZQBWGEMAPTI-QWHCGFSZSA-N 1 2 322.409 1.475 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)CC(=C)Br)C1 ZINC001189369330 744877736 /nfs/dbraw/zinc/87/77/36/744877736.db2.gz BXBHGVJCKBQODR-GFCCVEGCSA-N 1 2 317.227 1.630 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)CC(=C)Br)C1 ZINC001189369330 744877737 /nfs/dbraw/zinc/87/77/37/744877737.db2.gz BXBHGVJCKBQODR-GFCCVEGCSA-N 1 2 317.227 1.630 20 30 DDEDLO CC#CC[N@@H+]1CC[C@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@@H]12 ZINC000992467715 744898164 /nfs/dbraw/zinc/89/81/64/744898164.db2.gz VMNLHYQLNBOMLU-SJLPKXTDSA-N 1 2 323.400 1.708 20 30 DDEDLO CC#CC[N@H+]1CC[C@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@@H]12 ZINC000992467715 744898166 /nfs/dbraw/zinc/89/81/66/744898166.db2.gz VMNLHYQLNBOMLU-SJLPKXTDSA-N 1 2 323.400 1.708 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2snnc2C)C1 ZINC001189926013 745038977 /nfs/dbraw/zinc/03/89/77/745038977.db2.gz JQSPNUDNQPKIDQ-CYBMUJFWSA-N 1 2 306.435 1.683 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2snnc2C)C1 ZINC001189926013 745038984 /nfs/dbraw/zinc/03/89/84/745038984.db2.gz JQSPNUDNQPKIDQ-CYBMUJFWSA-N 1 2 306.435 1.683 20 30 DDEDLO N#CNC(=NC(=O)c1ccccc1-n1cc[nH+]c1)c1ccncc1 ZINC001190100743 745099476 /nfs/dbraw/zinc/09/94/76/745099476.db2.gz UDFGVBPSHIMILH-UHFFFAOYSA-N 1 2 316.324 1.925 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(Br)n2C)CC1 ZINC001191604929 745563975 /nfs/dbraw/zinc/56/39/75/745563975.db2.gz GXPHLQYNEWYYDE-UHFFFAOYSA-N 1 2 312.211 1.731 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001191837599 745626529 /nfs/dbraw/zinc/62/65/29/745626529.db2.gz AEGJKSDUOWKMFE-AWEZNQCLSA-N 1 2 303.410 1.041 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001191837599 745626531 /nfs/dbraw/zinc/62/65/31/745626531.db2.gz AEGJKSDUOWKMFE-AWEZNQCLSA-N 1 2 303.410 1.041 20 30 DDEDLO CCN(CCNc1ccc(C#N)nc1)C(=O)CCc1[nH]cc[nH+]1 ZINC001106759080 746040135 /nfs/dbraw/zinc/04/01/35/746040135.db2.gz BRAUSMPLLLWVIZ-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@H]1CCCN(CC#N)[C@@H]1C ZINC000993486428 746188691 /nfs/dbraw/zinc/18/86/91/746188691.db2.gz FUAGCHVWTBAJHD-OCCSQVGLSA-N 1 2 302.378 1.125 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2snnc2CC)[C@@H]1C ZINC000993571573 746210183 /nfs/dbraw/zinc/21/01/83/746210183.db2.gz NGIGGCGXDJPZII-WCQYABFASA-N 1 2 306.435 1.707 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2snnc2CC)[C@@H]1C ZINC000993571573 746210187 /nfs/dbraw/zinc/21/01/87/746210187.db2.gz NGIGGCGXDJPZII-WCQYABFASA-N 1 2 306.435 1.707 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@@H]1C ZINC000993825326 746341565 /nfs/dbraw/zinc/34/15/65/746341565.db2.gz NVOCLVCMXREXEP-WDEREUQCSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@@H]1C ZINC000993825326 746341570 /nfs/dbraw/zinc/34/15/70/746341570.db2.gz NVOCLVCMXREXEP-WDEREUQCSA-N 1 2 324.812 1.449 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001194382117 746362612 /nfs/dbraw/zinc/36/26/12/746362612.db2.gz UUXYBGLSAKQBNW-INIZCTEOSA-N 1 2 320.437 1.354 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001194382117 746362615 /nfs/dbraw/zinc/36/26/15/746362615.db2.gz UUXYBGLSAKQBNW-INIZCTEOSA-N 1 2 320.437 1.354 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001194397437 746366956 /nfs/dbraw/zinc/36/69/56/746366956.db2.gz VMKGKQZQMOARGU-UONOGXRCSA-N 1 2 321.421 1.861 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001194397437 746366961 /nfs/dbraw/zinc/36/69/61/746366961.db2.gz VMKGKQZQMOARGU-UONOGXRCSA-N 1 2 321.421 1.861 20 30 DDEDLO C[N@H+](CCOCc1ccc(C#N)cc1)C[C@H]1CCCS1(=O)=O ZINC001194792115 746456228 /nfs/dbraw/zinc/45/62/28/746456228.db2.gz QQLAISNURSLHOT-MRXNPFEDSA-N 1 2 322.430 1.584 20 30 DDEDLO C[N@@H+](CCOCc1ccc(C#N)cc1)C[C@H]1CCCS1(=O)=O ZINC001194792115 746456232 /nfs/dbraw/zinc/45/62/32/746456232.db2.gz QQLAISNURSLHOT-MRXNPFEDSA-N 1 2 322.430 1.584 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195254944 746557434 /nfs/dbraw/zinc/55/74/34/746557434.db2.gz KLGPVMFLGVTJSP-ZIAGYGMSSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195254944 746557437 /nfs/dbraw/zinc/55/74/37/746557437.db2.gz KLGPVMFLGVTJSP-ZIAGYGMSSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195278050 746562730 /nfs/dbraw/zinc/56/27/30/746562730.db2.gz OEVHCOPHRKGGAH-CHWSQXEVSA-N 1 2 309.435 1.325 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195278050 746562733 /nfs/dbraw/zinc/56/27/33/746562733.db2.gz OEVHCOPHRKGGAH-CHWSQXEVSA-N 1 2 309.435 1.325 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cncc(F)c2)CC1 ZINC001195465577 746615446 /nfs/dbraw/zinc/61/54/46/746615446.db2.gz ALTHLYRWFGMGOC-UHFFFAOYSA-N 1 2 305.353 1.018 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cncc(F)c2)CC1 ZINC001195465577 746615448 /nfs/dbraw/zinc/61/54/48/746615448.db2.gz ALTHLYRWFGMGOC-UHFFFAOYSA-N 1 2 305.353 1.018 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1O ZINC001195467938 746617213 /nfs/dbraw/zinc/61/72/13/746617213.db2.gz YEPVEURQXQVSJY-IAGOWNOFSA-N 1 2 318.392 1.681 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1O ZINC001195467938 746617214 /nfs/dbraw/zinc/61/72/14/746617214.db2.gz YEPVEURQXQVSJY-IAGOWNOFSA-N 1 2 318.392 1.681 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195780988 746702919 /nfs/dbraw/zinc/70/29/19/746702919.db2.gz OLVQBYZFHHQTCO-AWEZNQCLSA-N 1 2 319.449 1.237 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195780988 746702921 /nfs/dbraw/zinc/70/29/21/746702921.db2.gz OLVQBYZFHHQTCO-AWEZNQCLSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H]2Oc3ccccc3O[C@H]2C)C1 ZINC001031378512 746713236 /nfs/dbraw/zinc/71/32/36/746713236.db2.gz VGMXKHZFJZVHQV-LRDDRELGSA-N 1 2 300.358 1.039 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(C3CC3)CC2)CC1 ZINC001196231506 746815239 /nfs/dbraw/zinc/81/52/39/746815239.db2.gz HYVOJUDKJYIWSD-CQSZACIVSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(C3CC3)CC2)CC1 ZINC001196231506 746815243 /nfs/dbraw/zinc/81/52/43/746815243.db2.gz HYVOJUDKJYIWSD-CQSZACIVSA-N 1 2 319.449 1.402 20 30 DDEDLO N#Cc1ccccc1NC(=S)N[C@H]1CC[N@@H+](C2COC2)C1 ZINC001196336547 746835886 /nfs/dbraw/zinc/83/58/86/746835886.db2.gz ZAVHDLPVPQIMEO-LBPRGKRZSA-N 1 2 302.403 1.318 20 30 DDEDLO N#Cc1ccccc1NC(=S)N[C@H]1CC[N@H+](C2COC2)C1 ZINC001196336547 746835894 /nfs/dbraw/zinc/83/58/94/746835894.db2.gz ZAVHDLPVPQIMEO-LBPRGKRZSA-N 1 2 302.403 1.318 20 30 DDEDLO C=CC[N@H+]1CCCN(C(=O)CCS(=O)(=O)CC(C)C)CC1 ZINC001196316888 746843889 /nfs/dbraw/zinc/84/38/89/746843889.db2.gz FNEKJOHWMFGWHX-UHFFFAOYSA-N 1 2 316.467 1.168 20 30 DDEDLO C=CC[N@@H+]1CCCN(C(=O)CCS(=O)(=O)CC(C)C)CC1 ZINC001196316888 746843893 /nfs/dbraw/zinc/84/38/93/746843893.db2.gz FNEKJOHWMFGWHX-UHFFFAOYSA-N 1 2 316.467 1.168 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC(C)C)c2ccccc2)C1 ZINC001196758158 746959605 /nfs/dbraw/zinc/95/96/05/746959605.db2.gz RTWXHWYTFMQORP-KZNAEPCWSA-N 1 2 314.429 1.611 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC(C)C)c2ccccc2)C1 ZINC001196758158 746959611 /nfs/dbraw/zinc/95/96/11/746959611.db2.gz RTWXHWYTFMQORP-KZNAEPCWSA-N 1 2 314.429 1.611 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC001196852741 746990610 /nfs/dbraw/zinc/99/06/10/746990610.db2.gz CSVHOGNLRUQXBE-GJZGRUSLSA-N 1 2 323.481 1.894 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC001196852741 746990615 /nfs/dbraw/zinc/99/06/15/746990615.db2.gz CSVHOGNLRUQXBE-GJZGRUSLSA-N 1 2 323.481 1.894 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C(C)(C)COC)c2C1 ZINC001128404130 746999242 /nfs/dbraw/zinc/99/92/42/746999242.db2.gz VOPMUVBTDGDLDJ-UHFFFAOYSA-N 1 2 320.437 1.564 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C(C)(C)COC)c2C1 ZINC001128404130 746999247 /nfs/dbraw/zinc/99/92/47/746999247.db2.gz VOPMUVBTDGDLDJ-UHFFFAOYSA-N 1 2 320.437 1.564 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC[C@@H](C)C2)CC1 ZINC001196942929 747015586 /nfs/dbraw/zinc/01/55/86/747015586.db2.gz JJMAZNVBVMVJGV-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC[C@@H](C)C2)CC1 ZINC001196942929 747015594 /nfs/dbraw/zinc/01/55/94/747015594.db2.gz JJMAZNVBVMVJGV-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(CCC)CC2)CC1 ZINC001197084660 747046469 /nfs/dbraw/zinc/04/64/69/747046469.db2.gz WNSUPZLSKHIHHA-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(CCC)CC2)CC1 ZINC001197084660 747046475 /nfs/dbraw/zinc/04/64/75/747046475.db2.gz WNSUPZLSKHIHHA-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001197102237 747067787 /nfs/dbraw/zinc/06/77/87/747067787.db2.gz QNMZBPGYRJZGGI-OAHLLOKOSA-N 1 2 319.449 1.568 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001197102237 747067795 /nfs/dbraw/zinc/06/77/95/747067795.db2.gz QNMZBPGYRJZGGI-OAHLLOKOSA-N 1 2 319.449 1.568 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1cnc(C#N)cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089491253 747088523 /nfs/dbraw/zinc/08/85/23/747088523.db2.gz YILPUJAECWWWEX-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO CCN(CC[NH+]1CCOCC1)C(=S)Nc1cccc(C#N)c1 ZINC001197703147 747242221 /nfs/dbraw/zinc/24/22/21/747242221.db2.gz JTAGCROGHWQZDR-UHFFFAOYSA-N 1 2 318.446 1.909 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CNC(=O)C2CCC2)CC1 ZINC001198252808 747428132 /nfs/dbraw/zinc/42/81/32/747428132.db2.gz WVJVWPPLGJHZCV-UHFFFAOYSA-N 1 2 313.829 1.190 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CNC(=O)C2CCC2)CC1 ZINC001198252808 747428137 /nfs/dbraw/zinc/42/81/37/747428137.db2.gz WVJVWPPLGJHZCV-UHFFFAOYSA-N 1 2 313.829 1.190 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CCCC)C2 ZINC001110506637 747457407 /nfs/dbraw/zinc/45/74/07/747457407.db2.gz ZAIQDYVFTDFBBV-JJXSEGSLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CCCC)C2 ZINC001110506637 747457414 /nfs/dbraw/zinc/45/74/14/747457414.db2.gz ZAIQDYVFTDFBBV-JJXSEGSLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(C)C[NH2+][C@H](CNC(=O)c1ncn[nH]1)c1ccccc1OC ZINC001198940723 747655240 /nfs/dbraw/zinc/65/52/40/747655240.db2.gz SGWOIOTZNMZDDL-CYBMUJFWSA-N 1 2 315.377 1.450 20 30 DDEDLO C=C(C)C[NH2+][C@H](CNC(=O)c1nc[nH]n1)c1ccccc1OC ZINC001198940723 747655244 /nfs/dbraw/zinc/65/52/44/747655244.db2.gz SGWOIOTZNMZDDL-CYBMUJFWSA-N 1 2 315.377 1.450 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cccc(F)c2)C[C@H]1O ZINC001199314056 747786554 /nfs/dbraw/zinc/78/65/54/747786554.db2.gz OCUBRRHBMCVPLQ-DAXOMENPSA-N 1 2 322.380 1.078 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(F)c2)C[C@H]1O ZINC001199314056 747786558 /nfs/dbraw/zinc/78/65/58/747786558.db2.gz OCUBRRHBMCVPLQ-DAXOMENPSA-N 1 2 322.380 1.078 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)oc2C)[C@@H](O)C1 ZINC001090064188 747985679 /nfs/dbraw/zinc/98/56/79/747985679.db2.gz JVJVDTWIAUWNAA-KBPBESRZSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)oc2C)[C@@H](O)C1 ZINC001090064188 747985680 /nfs/dbraw/zinc/98/56/80/747985680.db2.gz JVJVDTWIAUWNAA-KBPBESRZSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccccc2O)[C@@H](O)C1 ZINC001090076088 748087053 /nfs/dbraw/zinc/08/70/53/748087053.db2.gz LZMDUGQIHMUPFZ-OCCSQVGLSA-N 1 2 310.781 1.310 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccccc2O)[C@@H](O)C1 ZINC001090076088 748087056 /nfs/dbraw/zinc/08/70/56/748087056.db2.gz LZMDUGQIHMUPFZ-OCCSQVGLSA-N 1 2 310.781 1.310 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H]2CC(C)(C)CO2)C1 ZINC001108068932 748249997 /nfs/dbraw/zinc/24/99/97/748249997.db2.gz VXIYPHCULWXFNJ-MAUKXSAKSA-N 1 2 322.449 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H]2CC(C)(C)CO2)C1 ZINC001108068932 748250004 /nfs/dbraw/zinc/25/00/04/748250004.db2.gz VXIYPHCULWXFNJ-MAUKXSAKSA-N 1 2 322.449 1.422 20 30 DDEDLO Cc1cnc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)cn1 ZINC001004334559 748333189 /nfs/dbraw/zinc/33/31/89/748333189.db2.gz YUFZSKLPBQUPNG-MRXNPFEDSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1cnc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)cn1 ZINC001004334559 748333197 /nfs/dbraw/zinc/33/31/97/748333197.db2.gz YUFZSKLPBQUPNG-MRXNPFEDSA-N 1 2 313.405 1.625 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1C[NH+]([C@@H](C)c2cnccn2)C1 ZINC001031986666 748360717 /nfs/dbraw/zinc/36/07/17/748360717.db2.gz BLZLKNGQYOEPBT-BJJXKVORSA-N 1 2 316.405 1.177 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)C[C@@H]2CCOC2)CC1 ZINC001004380687 748378037 /nfs/dbraw/zinc/37/80/37/748378037.db2.gz OYOBVIOVANGKKY-GOEBONIOSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)C[C@@H]2CCOC2)CC1 ZINC001004380687 748378043 /nfs/dbraw/zinc/37/80/43/748378043.db2.gz OYOBVIOVANGKKY-GOEBONIOSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)C[C@H]2COC(=O)C2)CC1 ZINC001004407834 748405611 /nfs/dbraw/zinc/40/56/11/748405611.db2.gz QYLBVUYJRQLDQA-UKRRQHHQSA-N 1 2 319.405 1.166 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)C[C@H]2COC(=O)C2)CC1 ZINC001004407834 748405612 /nfs/dbraw/zinc/40/56/12/748405612.db2.gz QYLBVUYJRQLDQA-UKRRQHHQSA-N 1 2 319.405 1.166 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)C2(F)CCOCC2)CC1 ZINC001004454885 748446423 /nfs/dbraw/zinc/44/64/23/748446423.db2.gz ATFVCQDNLLPQHT-OAHLLOKOSA-N 1 2 323.412 1.732 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)C2(F)CCOCC2)CC1 ZINC001004454885 748446429 /nfs/dbraw/zinc/44/64/29/748446429.db2.gz ATFVCQDNLLPQHT-OAHLLOKOSA-N 1 2 323.412 1.732 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cn2cc(C3CC3)nn2)C1 ZINC001033144629 748545169 /nfs/dbraw/zinc/54/51/69/748545169.db2.gz ZSIOJLDWJBSLKF-CYBMUJFWSA-N 1 2 323.828 1.441 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cn2cc(C3CC3)nn2)C1 ZINC001033144629 748545174 /nfs/dbraw/zinc/54/51/74/748545174.db2.gz ZSIOJLDWJBSLKF-CYBMUJFWSA-N 1 2 323.828 1.441 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1ncccn1 ZINC001032064957 748545591 /nfs/dbraw/zinc/54/55/91/748545591.db2.gz NJPLHZALVCBVNG-UHFFFAOYSA-N 1 2 324.359 1.329 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001108084653 748743403 /nfs/dbraw/zinc/74/34/03/748743403.db2.gz KIYQESGVOVDMKY-CUSZFKRNSA-N 1 2 320.433 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001108084653 748743406 /nfs/dbraw/zinc/74/34/06/748743406.db2.gz KIYQESGVOVDMKY-CUSZFKRNSA-N 1 2 320.433 1.032 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ccc(NC(N)=O)cc2)C1 ZINC001032171815 748766113 /nfs/dbraw/zinc/76/61/13/748766113.db2.gz GJYAZEISUZIMOZ-UHFFFAOYSA-N 1 2 322.796 1.591 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccnc(OC)n2)C1 ZINC001033257644 749073558 /nfs/dbraw/zinc/07/35/58/749073558.db2.gz SZEPCDILUTXVOU-LLVKDONJSA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccnc(OC)n2)C1 ZINC001033257644 749073564 /nfs/dbraw/zinc/07/35/64/749073564.db2.gz SZEPCDILUTXVOU-LLVKDONJSA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C4CC4)o3)C[C@H]21 ZINC001114349257 749099589 /nfs/dbraw/zinc/09/95/89/749099589.db2.gz VYPSBSYZLXTMPN-VIKVFOODSA-N 1 2 316.405 1.850 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C4CC4)o3)C[C@H]21 ZINC001114349257 749099596 /nfs/dbraw/zinc/09/95/96/749099596.db2.gz VYPSBSYZLXTMPN-VIKVFOODSA-N 1 2 316.405 1.850 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2cn(CC)nn2)C1 ZINC001033293552 749134227 /nfs/dbraw/zinc/13/42/27/749134227.db2.gz YEYNVHVSASNIID-CYBMUJFWSA-N 1 2 311.817 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2cn(CC)nn2)C1 ZINC001033293552 749134231 /nfs/dbraw/zinc/13/42/31/749134231.db2.gz YEYNVHVSASNIID-CYBMUJFWSA-N 1 2 311.817 1.126 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114456742 749238977 /nfs/dbraw/zinc/23/89/77/749238977.db2.gz ASMZJLRNJHARPE-GXTWGEPZSA-N 1 2 318.421 1.707 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCc1cnn2c1C[N@H+](CCC)CC2 ZINC001128602527 749242276 /nfs/dbraw/zinc/24/22/76/749242276.db2.gz LQDOJVGDDLWJJT-CYBMUJFWSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCc1cnn2c1C[N@@H+](CCC)CC2 ZINC001128602527 749242281 /nfs/dbraw/zinc/24/22/81/749242281.db2.gz LQDOJVGDDLWJJT-CYBMUJFWSA-N 1 2 306.410 1.316 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cccnc2OC)C1 ZINC001033388153 749298212 /nfs/dbraw/zinc/29/82/12/749298212.db2.gz PZULFRNSJKMKPA-LBPRGKRZSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cccnc2OC)C1 ZINC001033388153 749298216 /nfs/dbraw/zinc/29/82/16/749298216.db2.gz PZULFRNSJKMKPA-LBPRGKRZSA-N 1 2 309.797 1.989 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C/CNc1[nH+]cnc2c1cnn2C ZINC001107159327 749435395 /nfs/dbraw/zinc/43/53/95/749435395.db2.gz FIPPURHIULTTLX-SNAWJCMRSA-N 1 2 312.377 1.107 20 30 DDEDLO N#Cc1ccc(NC/C=C/CNC(=O)CCc2[nH]cc[nH+]2)nc1 ZINC001107174006 749492848 /nfs/dbraw/zinc/49/28/48/749492848.db2.gz SUTKILYGCFHLIH-OWOJBTEDSA-N 1 2 310.361 1.393 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cccc(OC)n2)C1 ZINC001033545784 749523519 /nfs/dbraw/zinc/52/35/19/749523519.db2.gz AIUVEYOEAZERIF-LBPRGKRZSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cccc(OC)n2)C1 ZINC001033545784 749523522 /nfs/dbraw/zinc/52/35/22/749523522.db2.gz AIUVEYOEAZERIF-LBPRGKRZSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001033576175 749552558 /nfs/dbraw/zinc/55/25/58/749552558.db2.gz HOIODGYDAVONCM-OCCSQVGLSA-N 1 2 322.840 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001033576175 749552561 /nfs/dbraw/zinc/55/25/61/749552561.db2.gz HOIODGYDAVONCM-OCCSQVGLSA-N 1 2 322.840 1.800 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](N(C)C(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC001033689634 749729323 /nfs/dbraw/zinc/72/93/23/749729323.db2.gz DTRMAYBYLPRFGO-HNNXBMFYSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](N(C)C(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC001033689634 749729327 /nfs/dbraw/zinc/72/93/27/749729327.db2.gz DTRMAYBYLPRFGO-HNNXBMFYSA-N 1 2 323.400 1.641 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)COCCCCCC)C1 ZINC001108365403 761984350 /nfs/dbraw/zinc/98/43/50/761984350.db2.gz IFHQMLUVIRHWMX-KRWDZBQOSA-N 1 2 312.454 1.976 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)COCCCCCC)C1 ZINC001108365403 761984352 /nfs/dbraw/zinc/98/43/52/761984352.db2.gz IFHQMLUVIRHWMX-KRWDZBQOSA-N 1 2 312.454 1.976 20 30 DDEDLO N#Cc1cccnc1NC/C=C/CNC(=O)CCn1cc[nH+]c1 ZINC001107446020 749968034 /nfs/dbraw/zinc/96/80/34/749968034.db2.gz WONGWNWKTFLCBM-OWOJBTEDSA-N 1 2 310.361 1.324 20 30 DDEDLO C=CCCC(=O)NCCCN(C)c1[nH+]cnc2c1cnn2C ZINC001095495956 749970720 /nfs/dbraw/zinc/97/07/20/749970720.db2.gz PBJAAFULMZQICF-UHFFFAOYSA-N 1 2 302.382 1.272 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(COC)cc2)C1 ZINC001108388528 762007487 /nfs/dbraw/zinc/00/74/87/762007487.db2.gz COXFANBCOPVKKC-GOSISDBHSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(COC)cc2)C1 ZINC001108388528 762007508 /nfs/dbraw/zinc/00/75/08/762007508.db2.gz COXFANBCOPVKKC-GOSISDBHSA-N 1 2 318.417 1.840 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(COC)cs1)C2 ZINC001095698610 750152813 /nfs/dbraw/zinc/15/28/13/750152813.db2.gz FANZDVYMXCHHBT-RDBSUJKOSA-N 1 2 304.415 1.863 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(COC)cs1)C2 ZINC001095698610 750152815 /nfs/dbraw/zinc/15/28/15/750152815.db2.gz FANZDVYMXCHHBT-RDBSUJKOSA-N 1 2 304.415 1.863 20 30 DDEDLO C#Cc1cncc(C(=O)NCCCN(C)c2cc[nH+]c(C)n2)c1 ZINC001095727354 750174507 /nfs/dbraw/zinc/17/45/07/750174507.db2.gz UTHABAXUYGMRPM-UHFFFAOYSA-N 1 2 309.373 1.418 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001110936488 750241414 /nfs/dbraw/zinc/24/14/14/750241414.db2.gz PMWGDNKPGUDCNT-XNISGKROSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001110936488 750241419 /nfs/dbraw/zinc/24/14/19/750241419.db2.gz PMWGDNKPGUDCNT-XNISGKROSA-N 1 2 317.437 1.639 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC[C@]12CCC[C@H]1N(CC#N)CC2 ZINC001107623235 750254060 /nfs/dbraw/zinc/25/40/60/750254060.db2.gz XEXLWYHMURAOIM-NVXWUHKLSA-N 1 2 315.421 1.237 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001034015434 750254021 /nfs/dbraw/zinc/25/40/21/750254021.db2.gz OFODEKVYWSLNNJ-STQMWFEESA-N 1 2 303.410 1.018 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001034015434 750254024 /nfs/dbraw/zinc/25/40/24/750254024.db2.gz OFODEKVYWSLNNJ-STQMWFEESA-N 1 2 303.410 1.018 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001034015434 750254028 /nfs/dbraw/zinc/25/40/28/750254028.db2.gz OFODEKVYWSLNNJ-STQMWFEESA-N 1 2 303.410 1.018 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001034015434 750254031 /nfs/dbraw/zinc/25/40/31/750254031.db2.gz OFODEKVYWSLNNJ-STQMWFEESA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cnc(OC)nc2)C1 ZINC001034003717 750265088 /nfs/dbraw/zinc/26/50/88/750265088.db2.gz HCXXWVXSCIOVNE-CYBMUJFWSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cnc(OC)nc2)C1 ZINC001034003717 750265091 /nfs/dbraw/zinc/26/50/91/750265091.db2.gz HCXXWVXSCIOVNE-CYBMUJFWSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001034041442 750304998 /nfs/dbraw/zinc/30/49/98/750304998.db2.gz DQJHGAGUOHSMRZ-GFCCVEGCSA-N 1 2 324.812 1.403 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001034041442 750305006 /nfs/dbraw/zinc/30/50/06/750305006.db2.gz DQJHGAGUOHSMRZ-GFCCVEGCSA-N 1 2 324.812 1.403 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)CCC)C2 ZINC001111190213 750424201 /nfs/dbraw/zinc/42/42/01/750424201.db2.gz UJBHCCHKXYBYRL-JJXSEGSLSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)CCC)C2 ZINC001111190213 750424203 /nfs/dbraw/zinc/42/42/03/750424203.db2.gz UJBHCCHKXYBYRL-JJXSEGSLSA-N 1 2 319.449 1.284 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)n2cccc2)C1 ZINC001107874760 750518168 /nfs/dbraw/zinc/51/81/68/750518168.db2.gz RAXABKCIEJNJHU-DOTOQJQBSA-N 1 2 303.406 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)n2cccc2)C1 ZINC001107874760 750518170 /nfs/dbraw/zinc/51/81/70/750518170.db2.gz RAXABKCIEJNJHU-DOTOQJQBSA-N 1 2 303.406 1.280 20 30 DDEDLO C=CCCCC(=O)N[C@@]1(CO)CCCN(c2cc[nH+]c(C)n2)C1 ZINC001111363925 750523658 /nfs/dbraw/zinc/52/36/58/750523658.db2.gz IOEAKMGNHCACCC-KRWDZBQOSA-N 1 2 318.421 1.589 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccn(C(CC)CC)n2)C1 ZINC001077779508 750624710 /nfs/dbraw/zinc/62/47/10/750624710.db2.gz RJONKGJXMCMWTP-HZPDHXFCSA-N 1 2 318.421 1.042 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccn(C(CC)CC)n2)C1 ZINC001077779508 750624715 /nfs/dbraw/zinc/62/47/15/750624715.db2.gz RJONKGJXMCMWTP-HZPDHXFCSA-N 1 2 318.421 1.042 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccoc2CC)[C@H](O)C1 ZINC001090232052 750655853 /nfs/dbraw/zinc/65/58/53/750655853.db2.gz RMXIQMLZWVDHHY-QWHCGFSZSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccoc2CC)[C@H](O)C1 ZINC001090232052 750655855 /nfs/dbraw/zinc/65/58/55/750655855.db2.gz RMXIQMLZWVDHHY-QWHCGFSZSA-N 1 2 312.797 1.760 20 30 DDEDLO C[C@H](CNc1ccncc1C#N)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108136837 750661021 /nfs/dbraw/zinc/66/10/21/750661021.db2.gz DYZOBDXYZVKGNF-OLZOCXBDSA-N 1 2 312.377 1.140 20 30 DDEDLO C[C@@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C1CCN(CC#N)CC1 ZINC000997188970 750683513 /nfs/dbraw/zinc/68/35/13/750683513.db2.gz UWMXBHBQPDSORC-HIFRSBDPSA-N 1 2 315.421 1.186 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001107944056 750765803 /nfs/dbraw/zinc/76/58/03/750765803.db2.gz LJSRVRRSIHFDBL-QGZVFWFLSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001107944056 750765805 /nfs/dbraw/zinc/76/58/05/750765805.db2.gz LJSRVRRSIHFDBL-QGZVFWFLSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc[nH]c2CCC)C1 ZINC001108155247 750852875 /nfs/dbraw/zinc/85/28/75/750852875.db2.gz NFQSHXBEUCAOIZ-QGZVFWFLSA-N 1 2 305.422 1.974 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc[nH]c2CCC)C1 ZINC001108155247 750852884 /nfs/dbraw/zinc/85/28/84/750852884.db2.gz NFQSHXBEUCAOIZ-QGZVFWFLSA-N 1 2 305.422 1.974 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114686896 750989116 /nfs/dbraw/zinc/98/91/16/750989116.db2.gz UFNGGSSYRKGHQZ-XEZLXBQYSA-N 1 2 303.410 1.433 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114686896 750989120 /nfs/dbraw/zinc/98/91/20/750989120.db2.gz UFNGGSSYRKGHQZ-XEZLXBQYSA-N 1 2 303.410 1.433 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001114692691 750994764 /nfs/dbraw/zinc/99/47/64/750994764.db2.gz MPZVREXHBRBPFW-HWWDLCQESA-N 1 2 314.433 1.550 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001114692691 750994766 /nfs/dbraw/zinc/99/47/66/750994766.db2.gz MPZVREXHBRBPFW-HWWDLCQESA-N 1 2 314.433 1.550 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)n([C@H](C)C2CC2)n1 ZINC001032488999 751037073 /nfs/dbraw/zinc/03/70/73/751037073.db2.gz CBGXKEAFJNWMDT-KBMXLJTQSA-N 1 2 312.417 1.694 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)n([C@H](C)C2CC2)n1 ZINC001032488999 751037081 /nfs/dbraw/zinc/03/70/81/751037081.db2.gz CBGXKEAFJNWMDT-KBMXLJTQSA-N 1 2 312.417 1.694 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cnns4)C[C@H]32)CCC1 ZINC001114783442 751076439 /nfs/dbraw/zinc/07/64/39/751076439.db2.gz PUQJPXWYGINSKQ-WDNDVIMCSA-N 1 2 318.446 1.831 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cnns4)C[C@H]32)CCC1 ZINC001114783442 751076447 /nfs/dbraw/zinc/07/64/47/751076447.db2.gz PUQJPXWYGINSKQ-WDNDVIMCSA-N 1 2 318.446 1.831 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114792001 751083534 /nfs/dbraw/zinc/08/35/34/751083534.db2.gz MUMFYDGQBRBPJO-MMNPAVHPSA-N 1 2 314.433 1.934 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114792001 751083537 /nfs/dbraw/zinc/08/35/37/751083537.db2.gz MUMFYDGQBRBPJO-MMNPAVHPSA-N 1 2 314.433 1.934 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(N2CCCC2)ccn1 ZINC001032502166 751101796 /nfs/dbraw/zinc/10/17/96/751101796.db2.gz AUWDKCCJXWCJNG-HOTGVXAUSA-N 1 2 310.401 1.214 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(N2CCCC2)ccn1 ZINC001032502166 751101798 /nfs/dbraw/zinc/10/17/98/751101798.db2.gz AUWDKCCJXWCJNG-HOTGVXAUSA-N 1 2 310.401 1.214 20 30 DDEDLO Cc1n[nH]nc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032555469 751294131 /nfs/dbraw/zinc/29/41/31/751294131.db2.gz PLYUVOANKPQXTA-GJZGRUSLSA-N 1 2 322.372 1.084 20 30 DDEDLO Cc1n[nH]nc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032555469 751294134 /nfs/dbraw/zinc/29/41/34/751294134.db2.gz PLYUVOANKPQXTA-GJZGRUSLSA-N 1 2 322.372 1.084 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1c[nH+]cn1C ZINC001032558107 751306195 /nfs/dbraw/zinc/30/61/95/751306195.db2.gz GZHXFTUUKQUGEB-KBPBESRZSA-N 1 2 302.422 1.808 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001008069798 752532455 /nfs/dbraw/zinc/53/24/55/752532455.db2.gz YANXSHSEOOGHEQ-OLZOCXBDSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001008069798 752532459 /nfs/dbraw/zinc/53/24/59/752532459.db2.gz YANXSHSEOOGHEQ-OLZOCXBDSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C1CCC(C(=O)NC2CN(C(=O)CCc3c[nH]c[nH+]3)C2)CC1 ZINC000999113953 752539414 /nfs/dbraw/zinc/53/94/14/752539414.db2.gz VAVKDYUIYLBEPK-UHFFFAOYSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C1CCC(C(=O)NC2CN(C(=O)CCc3c[nH+]c[nH]3)C2)CC1 ZINC000999113953 752539421 /nfs/dbraw/zinc/53/94/21/752539421.db2.gz VAVKDYUIYLBEPK-UHFFFAOYSA-N 1 2 316.405 1.416 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC000999126232 752551516 /nfs/dbraw/zinc/55/15/16/752551516.db2.gz FCCJXLPOOIEFPB-CQSZACIVSA-N 1 2 316.405 1.687 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC001032654246 752607514 /nfs/dbraw/zinc/60/75/14/752607514.db2.gz UCCLECHSPSLUAN-HOTGVXAUSA-N 1 2 320.396 1.999 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](CC(=O)NC3CC3)C2)C1 ZINC001008437256 752727621 /nfs/dbraw/zinc/72/76/21/752727621.db2.gz JYZIAXPVXXMWNM-CQSZACIVSA-N 1 2 305.422 1.202 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](CC(=O)NC3CC3)C2)C1 ZINC001008437256 752727631 /nfs/dbraw/zinc/72/76/31/752727631.db2.gz JYZIAXPVXXMWNM-CQSZACIVSA-N 1 2 305.422 1.202 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2ccns2)CC2(CCOCC2)C1 ZINC001089941583 752809738 /nfs/dbraw/zinc/80/97/38/752809738.db2.gz JESAIMHZGAKFJJ-CYBMUJFWSA-N 1 2 319.430 1.377 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2ccns2)CC2(CCOCC2)C1 ZINC001089941583 752809745 /nfs/dbraw/zinc/80/97/45/752809745.db2.gz JESAIMHZGAKFJJ-CYBMUJFWSA-N 1 2 319.430 1.377 20 30 DDEDLO N#Cc1ccc(N2C[C@@H]3C[C@H]2CN3C(=O)CCc2[nH]cc[nH+]2)cn1 ZINC001062483027 753052045 /nfs/dbraw/zinc/05/20/45/753052045.db2.gz XHIAJPTYTDWLPQ-GJZGRUSLSA-N 1 2 322.372 1.099 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](CNc2ccc(C#N)cn2)C1 ZINC001060901369 753363009 /nfs/dbraw/zinc/36/30/09/753363009.db2.gz BKRYPKWYWRTLFD-AWEZNQCLSA-N 1 2 324.388 1.488 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ccncc1C#N ZINC001039818650 762228784 /nfs/dbraw/zinc/22/87/84/762228784.db2.gz PJONLHCEDUMLJS-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001078036322 753668180 /nfs/dbraw/zinc/66/81/80/753668180.db2.gz PRCDLZPWXCSYSN-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sccc2C(F)F)C1 ZINC001078095555 753729245 /nfs/dbraw/zinc/72/92/45/753729245.db2.gz WLUMFXXPFTYIEU-GHMZBOCLSA-N 1 2 314.357 1.484 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sccc2C(F)F)C1 ZINC001078095555 753729249 /nfs/dbraw/zinc/72/92/49/753729249.db2.gz WLUMFXXPFTYIEU-GHMZBOCLSA-N 1 2 314.357 1.484 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nn(CC)c1Cl ZINC001032791538 753758158 /nfs/dbraw/zinc/75/81/58/753758158.db2.gz YDBRHUJRHQMQFK-STQMWFEESA-N 1 2 320.824 1.787 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nn(CC)c1Cl ZINC001032791538 753758165 /nfs/dbraw/zinc/75/81/65/753758165.db2.gz YDBRHUJRHQMQFK-STQMWFEESA-N 1 2 320.824 1.787 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccns3)C2)[nH]1 ZINC001010656039 754083308 /nfs/dbraw/zinc/08/33/08/754083308.db2.gz LPLKISPXZFHVJA-NSHDSACASA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccns3)C2)[nH]1 ZINC001010656039 754083312 /nfs/dbraw/zinc/08/33/12/754083312.db2.gz LPLKISPXZFHVJA-NSHDSACASA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccns3)C2)c[nH]1 ZINC001010698507 754106927 /nfs/dbraw/zinc/10/69/27/754106927.db2.gz OXKSWSVHIIJICW-NSHDSACASA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccns3)C2)c[nH]1 ZINC001010698507 754106929 /nfs/dbraw/zinc/10/69/29/754106929.db2.gz OXKSWSVHIIJICW-NSHDSACASA-N 1 2 301.375 1.347 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2c1cccc2Cl ZINC001032810027 754188095 /nfs/dbraw/zinc/18/80/95/754188095.db2.gz ONAFAJCQYZJIEH-HUBLWGQQSA-N 1 2 300.789 1.898 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2c1cccc2Cl ZINC001032810027 754188101 /nfs/dbraw/zinc/18/81/01/754188101.db2.gz ONAFAJCQYZJIEH-HUBLWGQQSA-N 1 2 300.789 1.898 20 30 DDEDLO Cc1nc[nH]c1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032820711 754517239 /nfs/dbraw/zinc/51/72/39/754517239.db2.gz BRFBIHPSEYCEPI-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1nc[nH]c1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032820711 754517244 /nfs/dbraw/zinc/51/72/44/754517244.db2.gz BRFBIHPSEYCEPI-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064207339 754561750 /nfs/dbraw/zinc/56/17/50/754561750.db2.gz GHAYKGUNPWBROH-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO C#CCCCCC(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064207692 754562589 /nfs/dbraw/zinc/56/25/89/754562589.db2.gz UNHARHCWLCPYLB-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO C#CC[N@H+]1CC=C(CNC(=O)c2n[nH]c3ccc(C)cc32)CC1 ZINC001000902555 762323104 /nfs/dbraw/zinc/32/31/04/762323104.db2.gz CJAYPBKAXCDLHR-UHFFFAOYSA-N 1 2 308.385 1.866 20 30 DDEDLO C#CC[N@@H+]1CC=C(CNC(=O)c2n[nH]c3ccc(C)cc32)CC1 ZINC001000902555 762323113 /nfs/dbraw/zinc/32/31/13/762323113.db2.gz CJAYPBKAXCDLHR-UHFFFAOYSA-N 1 2 308.385 1.866 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064704713 754783829 /nfs/dbraw/zinc/78/38/29/754783829.db2.gz MNTBPPCWMBFUGZ-CHWSQXEVSA-N 1 2 318.421 1.566 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H]2CCCN2C(=O)Cc2c[nH+]c[nH]2)n1 ZINC001065018746 755022797 /nfs/dbraw/zinc/02/27/97/755022797.db2.gz UYJYRWUAYCHFAX-HNNXBMFYSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccsn2)[C@H](O)C1 ZINC001090351981 755032891 /nfs/dbraw/zinc/03/28/91/755032891.db2.gz BSWSSELESMECJE-GXSJLCMTSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccsn2)[C@H](O)C1 ZINC001090351981 755032885 /nfs/dbraw/zinc/03/28/85/755032885.db2.gz BSWSSELESMECJE-GXSJLCMTSA-N 1 2 301.799 1.061 20 30 DDEDLO C[C@H]1[C@@H](Nc2[nH+]cnc3c2cnn3C)CCN1C(=O)C#CC1CC1 ZINC001040122017 762396432 /nfs/dbraw/zinc/39/64/32/762396432.db2.gz NHVULNMVXBJASE-FZMZJTMJSA-N 1 2 324.388 1.178 20 30 DDEDLO C#CCCCCC(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001079641258 755509820 /nfs/dbraw/zinc/50/98/20/755509820.db2.gz ZWZSIAISJDBFEC-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001079700779 755536713 /nfs/dbraw/zinc/53/67/13/755536713.db2.gz KYQQNXRVJJCIOW-BPLDGKMQSA-N 1 2 302.422 1.842 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(N(C)C)nc2)C1 ZINC001080018602 755685192 /nfs/dbraw/zinc/68/51/92/755685192.db2.gz DNVDMJADYCNYJY-BXUZGUMPSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(N(C)C)nc2)C1 ZINC001080018602 755685195 /nfs/dbraw/zinc/68/51/95/755685195.db2.gz DNVDMJADYCNYJY-BXUZGUMPSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080291813 755830058 /nfs/dbraw/zinc/83/00/58/755830058.db2.gz UMHUKCXJKGQPLY-OLZOCXBDSA-N 1 2 318.421 1.470 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001080424592 755890318 /nfs/dbraw/zinc/89/03/18/755890318.db2.gz XXPBGVNPLBUMHG-KGYLQXTDSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001080424592 755890323 /nfs/dbraw/zinc/89/03/23/755890323.db2.gz XXPBGVNPLBUMHG-KGYLQXTDSA-N 1 2 313.829 1.234 20 30 DDEDLO C=CCCCC(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080629871 756012690 /nfs/dbraw/zinc/01/26/90/756012690.db2.gz ZIIYNRLYYXSXTK-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cnco3)C2)cc1F ZINC001015445200 756306958 /nfs/dbraw/zinc/30/69/58/756306958.db2.gz VUQNIDPQLYJSQX-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cnco3)C2)cc1F ZINC001015445200 756306963 /nfs/dbraw/zinc/30/69/63/756306963.db2.gz VUQNIDPQLYJSQX-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)C1(C)CC1 ZINC001081712256 756440694 /nfs/dbraw/zinc/44/06/94/756440694.db2.gz KLPAYMMBGINHRR-ZIAGYGMSSA-N 1 2 319.430 1.735 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)C1(C)CC1 ZINC001081712256 756440698 /nfs/dbraw/zinc/44/06/98/756440698.db2.gz KLPAYMMBGINHRR-ZIAGYGMSSA-N 1 2 319.430 1.735 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cnc(-c3ccoc3)s2)C1 ZINC001015806549 756563356 /nfs/dbraw/zinc/56/33/56/756563356.db2.gz XYIQULYKHPLVIF-LBPRGKRZSA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cnc(-c3ccoc3)s2)C1 ZINC001015806549 756563359 /nfs/dbraw/zinc/56/33/59/756563359.db2.gz XYIQULYKHPLVIF-LBPRGKRZSA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)[C@H](OC)C1 ZINC001082003246 756590690 /nfs/dbraw/zinc/59/06/90/756590690.db2.gz LTDSEBPUHVXARG-GHMZBOCLSA-N 1 2 316.188 1.384 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)[C@H](OC)C1 ZINC001082003246 756590694 /nfs/dbraw/zinc/59/06/94/756590694.db2.gz LTDSEBPUHVXARG-GHMZBOCLSA-N 1 2 316.188 1.384 20 30 DDEDLO C[C@]1(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)CCOC1 ZINC001015905081 756637957 /nfs/dbraw/zinc/63/79/57/756637957.db2.gz OADCVWBFBVCDGV-AEFFLSMTSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@]1(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)CCOC1 ZINC001015905081 756637960 /nfs/dbraw/zinc/63/79/60/756637960.db2.gz OADCVWBFBVCDGV-AEFFLSMTSA-N 1 2 313.401 1.675 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2csc(C(C)C)n2)[C@H](OC)C1 ZINC001082241133 756697575 /nfs/dbraw/zinc/69/75/75/756697575.db2.gz DAQIUHGPHVAPOC-TZMCWYRMSA-N 1 2 321.446 1.719 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2csc(C(C)C)n2)[C@H](OC)C1 ZINC001082241133 756697578 /nfs/dbraw/zinc/69/75/78/756697578.db2.gz DAQIUHGPHVAPOC-TZMCWYRMSA-N 1 2 321.446 1.719 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3nc(C)ccc3c2)[C@H](OC)C1 ZINC001082258569 756711916 /nfs/dbraw/zinc/71/19/16/756711916.db2.gz VZMYMBUDEXAAGZ-QZTJIDSGSA-N 1 2 323.396 1.605 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3nc(C)ccc3c2)[C@H](OC)C1 ZINC001082258569 756711921 /nfs/dbraw/zinc/71/19/21/756711921.db2.gz VZMYMBUDEXAAGZ-QZTJIDSGSA-N 1 2 323.396 1.605 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3conc3C)C2)nc1 ZINC001016021810 756728083 /nfs/dbraw/zinc/72/80/83/756728083.db2.gz AXROEUIQTUHMBS-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3conc3C)C2)nc1 ZINC001016021810 756728086 /nfs/dbraw/zinc/72/80/86/756728086.db2.gz AXROEUIQTUHMBS-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cc(C)ncn3)C2)nc1 ZINC001016027624 756731835 /nfs/dbraw/zinc/73/18/35/756731835.db2.gz RPULRAQAXITFLJ-HNNXBMFYSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cc(C)ncn3)C2)nc1 ZINC001016027624 756731837 /nfs/dbraw/zinc/73/18/37/756731837.db2.gz RPULRAQAXITFLJ-HNNXBMFYSA-N 1 2 321.384 1.166 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccoc2C(C)C)[C@H](OC)C1 ZINC001082391494 756767112 /nfs/dbraw/zinc/76/71/12/756767112.db2.gz MYMUFKUVRPQLOE-HUUCEWRRSA-N 1 2 304.390 1.855 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccoc2C(C)C)[C@H](OC)C1 ZINC001082391494 756767116 /nfs/dbraw/zinc/76/71/16/756767116.db2.gz MYMUFKUVRPQLOE-HUUCEWRRSA-N 1 2 304.390 1.855 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001082451007 756791147 /nfs/dbraw/zinc/79/11/47/756791147.db2.gz NPQKZFYUFHDVCF-HOSYDEDBSA-N 1 2 303.366 1.043 20 30 DDEDLO CCc1nc(N2CCCC[C@H]2CNC(=O)[C@@H](C)C#N)cc(C)[nH+]1 ZINC001097417295 757163439 /nfs/dbraw/zinc/16/34/39/757163439.db2.gz KHLOEHVLPNLPGG-JSGCOSHPSA-N 1 2 315.421 1.982 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@@H]2CNC(=O)Cc2c[nH]c[nH+]2)nc1 ZINC001097466424 757192522 /nfs/dbraw/zinc/19/25/22/757192522.db2.gz KAOAWPSIYSPBHM-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cnccc1N1CCCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001097466136 757192754 /nfs/dbraw/zinc/19/27/54/757192754.db2.gz HHUIASFHLASYGL-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C3CC3)s2)[C@@H](O)C1 ZINC001083951697 757198777 /nfs/dbraw/zinc/19/87/77/757198777.db2.gz JXQPAKMDSHGQEZ-OLZOCXBDSA-N 1 2 304.415 1.424 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C3CC3)s2)[C@@H](O)C1 ZINC001083951697 757198779 /nfs/dbraw/zinc/19/87/79/757198779.db2.gz JXQPAKMDSHGQEZ-OLZOCXBDSA-N 1 2 304.415 1.424 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc(C)nn1C)C2 ZINC001097533091 757231482 /nfs/dbraw/zinc/23/14/82/757231482.db2.gz PORLBJIBCODYDP-YUELXQCFSA-N 1 2 322.840 1.745 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc(C)nn1C)C2 ZINC001097533091 757231490 /nfs/dbraw/zinc/23/14/90/757231490.db2.gz PORLBJIBCODYDP-YUELXQCFSA-N 1 2 322.840 1.745 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001084345542 757503965 /nfs/dbraw/zinc/50/39/65/757503965.db2.gz GRDKVUZKKZJLJD-CKEIUWERSA-N 1 2 314.433 1.938 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001084345542 757503969 /nfs/dbraw/zinc/50/39/69/757503969.db2.gz GRDKVUZKKZJLJD-CKEIUWERSA-N 1 2 314.433 1.938 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)/C=C(/C)C3CC3)CC2=O)C1 ZINC001108513097 762565972 /nfs/dbraw/zinc/56/59/72/762565972.db2.gz ALHJPAACXFYCFY-IIEJUSTOSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@@H](C)CCC)CC2=O)C1 ZINC001108521825 762581448 /nfs/dbraw/zinc/58/14/48/762581448.db2.gz JSWNNHRRTQTEPH-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO Cc1ncccc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001017540917 758009368 /nfs/dbraw/zinc/00/93/68/758009368.db2.gz QOKIGFVDHOKLPG-HDICACEKSA-N 1 2 309.413 1.979 20 30 DDEDLO Cc1ncccc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001017540917 758009377 /nfs/dbraw/zinc/00/93/77/758009377.db2.gz QOKIGFVDHOKLPG-HDICACEKSA-N 1 2 309.413 1.979 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cccc(OC)c1 ZINC001017730689 758190554 /nfs/dbraw/zinc/19/05/54/758190554.db2.gz UCLAZPJKVSDLFN-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cccc(OC)c1 ZINC001017730689 758190556 /nfs/dbraw/zinc/19/05/56/758190556.db2.gz UCLAZPJKVSDLFN-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cc(OC)ccn21 ZINC001017733353 758193307 /nfs/dbraw/zinc/19/33/07/758193307.db2.gz HYQKVQIQSQKUNV-OKILXGFUSA-N 1 2 324.384 1.265 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cc(OC)ccn21 ZINC001017733353 758193311 /nfs/dbraw/zinc/19/33/11/758193311.db2.gz HYQKVQIQSQKUNV-OKILXGFUSA-N 1 2 324.384 1.265 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)CCC)CC2=O)C1 ZINC001108527763 762607277 /nfs/dbraw/zinc/60/72/77/762607277.db2.gz OIRJPPZHYUPPIC-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1occ2c1CCOC2 ZINC001017773252 758224227 /nfs/dbraw/zinc/22/42/27/758224227.db2.gz QCDMZSQZQBGJFL-OKILXGFUSA-N 1 2 302.374 1.827 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1occ2c1CCOC2 ZINC001017773252 758224239 /nfs/dbraw/zinc/22/42/39/758224239.db2.gz QCDMZSQZQBGJFL-OKILXGFUSA-N 1 2 302.374 1.827 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1OCCc2ccccc21 ZINC001017784238 758237549 /nfs/dbraw/zinc/23/75/49/758237549.db2.gz BVOSWLXAAKSDOD-SCTDSRPQSA-N 1 2 324.424 1.999 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1OCCc2ccccc21 ZINC001017784238 758237558 /nfs/dbraw/zinc/23/75/58/758237558.db2.gz BVOSWLXAAKSDOD-SCTDSRPQSA-N 1 2 324.424 1.999 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCOC2(C[NH+](CC3=CCCCC3)C2)C1 ZINC001053191364 758249398 /nfs/dbraw/zinc/24/93/98/758249398.db2.gz PSGAMCIHOOIEGC-AWEZNQCLSA-N 1 2 303.406 1.560 20 30 DDEDLO C[C@H](F)CC[NH+]1CC2(C1)CN(C(=O)c1ccc(C#N)[nH]1)CCO2 ZINC001053224301 758280802 /nfs/dbraw/zinc/28/08/02/758280802.db2.gz QGZMTJDLWWLCAD-LBPRGKRZSA-N 1 2 320.368 1.161 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC[C@H](OC)C1 ZINC001017863353 758308806 /nfs/dbraw/zinc/30/88/06/758308806.db2.gz HVCDNGIPPGSIFD-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC[C@H](OC)C1 ZINC001017863353 758308811 /nfs/dbraw/zinc/30/88/11/758308811.db2.gz HVCDNGIPPGSIFD-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1nc(C)sc1C)CCO2 ZINC001053303329 758357395 /nfs/dbraw/zinc/35/73/95/758357395.db2.gz OWHRDJFZSFZCMZ-UHFFFAOYSA-N 1 2 321.446 1.863 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2nc[nH]c21 ZINC001017933626 758404407 /nfs/dbraw/zinc/40/44/07/758404407.db2.gz WOQCFSNIPJDGOO-QLFBSQMISA-N 1 2 312.417 1.528 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2nc[nH]c21 ZINC001017933626 758404413 /nfs/dbraw/zinc/40/44/13/758404413.db2.gz WOQCFSNIPJDGOO-QLFBSQMISA-N 1 2 312.417 1.528 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnn(C(C)C)c1)CCO2 ZINC001053506757 758511661 /nfs/dbraw/zinc/51/16/61/758511661.db2.gz OWVUFILPZKYRPM-UHFFFAOYSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(C)noc1C)O2 ZINC001053571479 758578926 /nfs/dbraw/zinc/57/89/26/758578926.db2.gz HGTNBVPBPKADAM-CYBMUJFWSA-N 1 2 305.378 1.441 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(F)nc1)O2 ZINC001053582203 758593498 /nfs/dbraw/zinc/59/34/98/758593498.db2.gz BEFJPLCZJWZDDA-ZDUSSCGKSA-N 1 2 305.353 1.370 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1oc(C)nc1C)O2 ZINC001053583647 758597487 /nfs/dbraw/zinc/59/74/87/758597487.db2.gz FENDVZOZYPKTFO-CQSZACIVSA-N 1 2 319.405 1.831 20 30 DDEDLO C=CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001018169799 758598645 /nfs/dbraw/zinc/59/86/45/758598645.db2.gz NJUYPCCYJBCADQ-MELADBBJSA-N 1 2 315.421 1.161 20 30 DDEDLO C=CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001018169799 758598651 /nfs/dbraw/zinc/59/86/51/758598651.db2.gz NJUYPCCYJBCADQ-MELADBBJSA-N 1 2 315.421 1.161 20 30 DDEDLO C=CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001018169799 758598656 /nfs/dbraw/zinc/59/86/56/758598656.db2.gz NJUYPCCYJBCADQ-MELADBBJSA-N 1 2 315.421 1.161 20 30 DDEDLO C=CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001018169799 758598659 /nfs/dbraw/zinc/59/86/59/758598659.db2.gz NJUYPCCYJBCADQ-MELADBBJSA-N 1 2 315.421 1.161 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncoc1CC)O2 ZINC001053584465 758598912 /nfs/dbraw/zinc/59/89/12/758598912.db2.gz SESCQKFVUWFVOG-CYBMUJFWSA-N 1 2 319.405 1.776 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncoc1CC)O2 ZINC001053584466 758598931 /nfs/dbraw/zinc/59/89/31/758598931.db2.gz SESCQKFVUWFVOG-ZDUSSCGKSA-N 1 2 319.405 1.776 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncccc1C)O2 ZINC001053586691 758602932 /nfs/dbraw/zinc/60/29/32/758602932.db2.gz XFZIJPRXHZELIW-CQSZACIVSA-N 1 2 301.390 1.539 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC001065675694 758694766 /nfs/dbraw/zinc/69/47/66/758694766.db2.gz CMPBQPZDPGNYPB-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccnc(C)n1)O2 ZINC001053700562 758709105 /nfs/dbraw/zinc/70/91/05/758709105.db2.gz XJFNJQWFKGIUQB-AWEZNQCLSA-N 1 2 316.405 1.324 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](F)Cc1ccccc1 ZINC001018299875 758710821 /nfs/dbraw/zinc/71/08/21/758710821.db2.gz USSIEUPRTLHHLE-IXDOHACOSA-N 1 2 300.377 1.876 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](F)Cc1ccccc1 ZINC001018299875 758710823 /nfs/dbraw/zinc/71/08/23/758710823.db2.gz USSIEUPRTLHHLE-IXDOHACOSA-N 1 2 300.377 1.876 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(=O)CC(C)C)C2)CC1 ZINC001018357099 758754441 /nfs/dbraw/zinc/75/44/41/758754441.db2.gz AYJOJXYSYJFDCV-INIZCTEOSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C#N)c[nH]1)CO2 ZINC001053766928 758786949 /nfs/dbraw/zinc/78/69/49/758786949.db2.gz YPINTTRKCVRWIW-ZDUSSCGKSA-N 1 2 300.362 1.036 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cn1)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065780240 758793995 /nfs/dbraw/zinc/79/39/95/758793995.db2.gz QUNJVSBVWWZWNH-OCCSQVGLSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1sc(C)cc1C)CO2 ZINC001053815519 758843805 /nfs/dbraw/zinc/84/38/05/758843805.db2.gz OLURDCKAOAYGAA-CQSZACIVSA-N 1 2 318.442 1.961 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc3cc[nH]c3n1)CO2 ZINC001053841734 758871395 /nfs/dbraw/zinc/87/13/95/758871395.db2.gz PSWLRVRBHZXSIY-AWEZNQCLSA-N 1 2 324.384 1.159 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccccc1)CO2 ZINC001053849422 758880811 /nfs/dbraw/zinc/88/08/11/758880811.db2.gz JWMOKPUZPTUDEN-INIZCTEOSA-N 1 2 300.402 1.765 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCO[C@H]1C1CC1)CO2 ZINC001053875696 758910947 /nfs/dbraw/zinc/91/09/47/758910947.db2.gz JZCXZKZTFCDPGC-OAGGEKHMSA-N 1 2 320.433 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)c1cncnc1)CO2 ZINC001053903398 758936408 /nfs/dbraw/zinc/93/64/08/758936408.db2.gz PRYIKCCABJWYKD-ZFWWWQNUSA-N 1 2 316.405 1.116 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1(c3ccccc3)CC1)CO2 ZINC001054000795 759046989 /nfs/dbraw/zinc/04/69/89/759046989.db2.gz JTTSLAFBCZERSO-KRWDZBQOSA-N 1 2 324.424 1.701 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2noc(-c3ccoc3)n2)C1 ZINC001018630729 759048990 /nfs/dbraw/zinc/04/89/90/759048990.db2.gz PUZNCFRQIAZEGF-PWSUYJOCSA-N 1 2 315.333 1.180 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1nc(C)c(C)s1)CO2 ZINC001054013653 759061944 /nfs/dbraw/zinc/06/19/44/759061944.db2.gz XQMMKPRZPLXDMX-CYBMUJFWSA-N 1 2 319.430 1.356 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)nc1C)CO2 ZINC001054016147 759064109 /nfs/dbraw/zinc/06/41/09/759064109.db2.gz VQVGPJBZAQLBIN-HNNXBMFYSA-N 1 2 315.417 1.848 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(CC)n[nH]1 ZINC001054032317 759085906 /nfs/dbraw/zinc/08/59/06/759085906.db2.gz XVPYZRDSJCRFNJ-QGZVFWFLSA-N 1 2 322.412 1.762 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(CC)n[nH]1 ZINC001054032317 759085916 /nfs/dbraw/zinc/08/59/16/759085916.db2.gz XVPYZRDSJCRFNJ-QGZVFWFLSA-N 1 2 322.412 1.762 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ncoc1C ZINC001054033181 759086352 /nfs/dbraw/zinc/08/63/52/759086352.db2.gz OHSRTFNGODFVGW-INIZCTEOSA-N 1 2 309.369 1.773 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ncoc1C ZINC001054033181 759086362 /nfs/dbraw/zinc/08/63/62/759086362.db2.gz OHSRTFNGODFVGW-INIZCTEOSA-N 1 2 309.369 1.773 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccnnc1 ZINC001054054471 759109674 /nfs/dbraw/zinc/10/96/74/759109674.db2.gz PLGLCSZDKUFZNZ-SFHVURJKSA-N 1 2 320.396 1.657 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccnnc1 ZINC001054054471 759109677 /nfs/dbraw/zinc/10/96/77/759109677.db2.gz PLGLCSZDKUFZNZ-SFHVURJKSA-N 1 2 320.396 1.657 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(C)(C)CCC)CC2=O)C1 ZINC001108567735 762694301 /nfs/dbraw/zinc/69/43/01/762694301.db2.gz AHRQOKOYNPCJIP-ZDUSSCGKSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108567836 762694684 /nfs/dbraw/zinc/69/46/84/762694684.db2.gz CHFMCAFHJVCNJY-AWEZNQCLSA-N 1 2 305.422 1.320 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nonc1C ZINC001154720031 759248272 /nfs/dbraw/zinc/24/82/72/759248272.db2.gz SIUKXAPUHKIYEY-CYBMUJFWSA-N 1 2 306.410 1.898 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nonc1C ZINC001154720031 759248276 /nfs/dbraw/zinc/24/82/76/759248276.db2.gz SIUKXAPUHKIYEY-CYBMUJFWSA-N 1 2 306.410 1.898 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131055549 767862691 /nfs/dbraw/zinc/86/26/91/767862691.db2.gz BBYZWQASUUWTFD-RRFJBIMHSA-N 1 2 315.421 1.430 20 30 DDEDLO CC[C@H](C)CCC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131097094 767879648 /nfs/dbraw/zinc/87/96/48/767879648.db2.gz ZWWVSDCOKWZBIP-RRFJBIMHSA-N 1 2 317.437 1.654 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1ncn(C)n1 ZINC001085579247 759761722 /nfs/dbraw/zinc/76/17/22/759761722.db2.gz ISZABSFQQWNFPC-OAHLLOKOSA-N 1 2 324.388 1.033 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1ncn(C)n1 ZINC001085579247 759761727 /nfs/dbraw/zinc/76/17/27/759761727.db2.gz ISZABSFQQWNFPC-OAHLLOKOSA-N 1 2 324.388 1.033 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c2c(nn1C)CCCC2 ZINC001085618147 759860197 /nfs/dbraw/zinc/86/01/97/759860197.db2.gz GMXRVCMZDXYODX-ZDUSSCGKSA-N 1 2 300.406 1.078 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c2c(nn1C)CCCC2 ZINC001085618147 759860202 /nfs/dbraw/zinc/86/02/02/759860202.db2.gz GMXRVCMZDXYODX-ZDUSSCGKSA-N 1 2 300.406 1.078 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1NC(C)=O ZINC001085634526 759900534 /nfs/dbraw/zinc/90/05/34/759900534.db2.gz SEOFKCRGNKAFNQ-CQSZACIVSA-N 1 2 314.389 1.210 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1NC(C)=O ZINC001085634526 759900536 /nfs/dbraw/zinc/90/05/36/759900536.db2.gz SEOFKCRGNKAFNQ-CQSZACIVSA-N 1 2 314.389 1.210 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(F)ccc(F)c1OC ZINC001085759179 760182185 /nfs/dbraw/zinc/18/21/85/760182185.db2.gz AKGKDRQJIKBSMS-NSHDSACASA-N 1 2 308.328 1.753 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(F)ccc(F)c1OC ZINC001085759179 760182190 /nfs/dbraw/zinc/18/21/90/760182190.db2.gz AKGKDRQJIKBSMS-NSHDSACASA-N 1 2 308.328 1.753 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]([NH2+]Cc2nnc(CC)o2)[C@@H](C)C1 ZINC001054975606 760249123 /nfs/dbraw/zinc/24/91/23/760249123.db2.gz DQYLSHMWKVJPRO-RYUDHWBXSA-N 1 2 306.410 1.781 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2ocnc2C)c1 ZINC001085810153 760279081 /nfs/dbraw/zinc/27/90/81/760279081.db2.gz WAMADKVWDFBAPN-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2ocnc2C)c1 ZINC001085810153 760279084 /nfs/dbraw/zinc/27/90/84/760279084.db2.gz WAMADKVWDFBAPN-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-n2cccn2)ccn1 ZINC001085812282 760286009 /nfs/dbraw/zinc/28/60/09/760286009.db2.gz AACZELRAYAKLMG-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-n2cccn2)ccn1 ZINC001085812282 760286012 /nfs/dbraw/zinc/28/60/12/760286012.db2.gz AACZELRAYAKLMG-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(CC)nc1C(C)C ZINC001085845689 760356258 /nfs/dbraw/zinc/35/62/58/760356258.db2.gz RSRUEQLRJVLKTJ-CQSZACIVSA-N 1 2 302.422 1.806 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(CC)nc1C(C)C ZINC001085845689 760356268 /nfs/dbraw/zinc/35/62/68/760356268.db2.gz RSRUEQLRJVLKTJ-CQSZACIVSA-N 1 2 302.422 1.806 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)c2ccco2)C1 ZINC001108210796 760375420 /nfs/dbraw/zinc/37/54/20/760375420.db2.gz GPRRARKQKHAOST-RHSMWYFYSA-N 1 2 304.390 1.614 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)c2ccco2)C1 ZINC001108210796 760375431 /nfs/dbraw/zinc/37/54/31/760375431.db2.gz GPRRARKQKHAOST-RHSMWYFYSA-N 1 2 304.390 1.614 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001108215349 760398227 /nfs/dbraw/zinc/39/82/27/760398227.db2.gz DTPZBZYYWRBMAW-CRAIPNDOSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001108215349 760398238 /nfs/dbraw/zinc/39/82/38/760398238.db2.gz DTPZBZYYWRBMAW-CRAIPNDOSA-N 1 2 315.417 1.190 20 30 DDEDLO N#Cc1ccc(NCC[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001066329941 760419322 /nfs/dbraw/zinc/41/93/22/760419322.db2.gz QCYKYEICDYBCIH-AWEZNQCLSA-N 1 2 324.388 1.500 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@H](C)CNc2ccc(C#N)nn2)c(C)[nH+]1 ZINC001108218383 760458926 /nfs/dbraw/zinc/45/89/26/760458926.db2.gz AIWIEPMSQLOFQV-GFCCVEGCSA-N 1 2 324.388 1.899 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1scc2c1OCCO2 ZINC001085906355 760500575 /nfs/dbraw/zinc/50/05/75/760500575.db2.gz GGZODIKVGYFVMR-LLVKDONJSA-N 1 2 306.387 1.299 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1scc2c1OCCO2 ZINC001085906355 760500582 /nfs/dbraw/zinc/50/05/82/760500582.db2.gz GGZODIKVGYFVMR-LLVKDONJSA-N 1 2 306.387 1.299 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(C(F)(F)F)CC2)C1 ZINC001108236413 760804709 /nfs/dbraw/zinc/80/47/09/760804709.db2.gz LFHHACYTHLWHRE-ZDUSSCGKSA-N 1 2 318.339 1.559 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(C(F)(F)F)CC2)C1 ZINC001108236413 760804715 /nfs/dbraw/zinc/80/47/15/760804715.db2.gz LFHHACYTHLWHRE-ZDUSSCGKSA-N 1 2 318.339 1.559 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nn2CC)C1 ZINC001108237791 760857298 /nfs/dbraw/zinc/85/72/98/760857298.db2.gz BOMOLYHVEKFDDW-QGZVFWFLSA-N 1 2 318.421 1.055 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nn2CC)C1 ZINC001108237791 760857309 /nfs/dbraw/zinc/85/73/09/760857309.db2.gz BOMOLYHVEKFDDW-QGZVFWFLSA-N 1 2 318.421 1.055 20 30 DDEDLO Cc1ccc(C#N)c(N2CCN(C(=O)CCn3cc[nH+]c3)CC2)n1 ZINC001055967989 760868525 /nfs/dbraw/zinc/86/85/25/760868525.db2.gz NOWHKYFOCHWDKG-UHFFFAOYSA-N 1 2 324.388 1.197 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)cnn1 ZINC001038194451 760909017 /nfs/dbraw/zinc/90/90/17/760909017.db2.gz BIIQLAASACYSPO-KRWDZBQOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)cnn1 ZINC001038194451 760909024 /nfs/dbraw/zinc/90/90/24/760909024.db2.gz BIIQLAASACYSPO-KRWDZBQOSA-N 1 2 321.384 1.661 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1coc(-c2cccnc2)n1 ZINC001038465870 761142192 /nfs/dbraw/zinc/14/21/92/761142192.db2.gz PGCRWLHQKTUPAQ-CQSZACIVSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1coc(-c2cccnc2)n1 ZINC001038465870 761142195 /nfs/dbraw/zinc/14/21/95/761142195.db2.gz PGCRWLHQKTUPAQ-CQSZACIVSA-N 1 2 310.357 1.564 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccn(C(C)C)n2)C1 ZINC001108253982 761169551 /nfs/dbraw/zinc/16/95/51/761169551.db2.gz VIKXEVYNALYIMJ-INIZCTEOSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccn(C(C)C)n2)C1 ZINC001108253982 761169554 /nfs/dbraw/zinc/16/95/54/761169554.db2.gz VIKXEVYNALYIMJ-INIZCTEOSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnc(N(C)C)c2)C1 ZINC001108256033 761212819 /nfs/dbraw/zinc/21/28/19/761212819.db2.gz QBODFHGJZUFDQP-QGZVFWFLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnc(N(C)C)c2)C1 ZINC001108256033 761212823 /nfs/dbraw/zinc/21/28/23/761212823.db2.gz QBODFHGJZUFDQP-QGZVFWFLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2CC(=O)N2CCC2)CC1 ZINC001038661132 761260451 /nfs/dbraw/zinc/26/04/51/761260451.db2.gz KIJRWKNBIGBWNI-OAHLLOKOSA-N 1 2 305.422 1.156 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2CC(=O)N2CCC2)CC1 ZINC001038661132 761260455 /nfs/dbraw/zinc/26/04/55/761260455.db2.gz KIJRWKNBIGBWNI-OAHLLOKOSA-N 1 2 305.422 1.156 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1nn(C)c2c1CCCC2 ZINC001038725702 761332129 /nfs/dbraw/zinc/33/21/29/761332129.db2.gz NYBXIKDYOICJNH-CYBMUJFWSA-N 1 2 300.406 1.126 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1nn(C)c2c1CCCC2 ZINC001038725702 761332134 /nfs/dbraw/zinc/33/21/34/761332134.db2.gz NYBXIKDYOICJNH-CYBMUJFWSA-N 1 2 300.406 1.126 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001069483883 768034786 /nfs/dbraw/zinc/03/47/86/768034786.db2.gz OSZNNVDUJHJNKF-GXTWGEPZSA-N 1 2 324.388 1.038 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2coc(C3CC3)n2)C1 ZINC001108262727 761421326 /nfs/dbraw/zinc/42/13/26/761421326.db2.gz WQFAPYCLJNTEFV-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2coc(C3CC3)n2)C1 ZINC001108262727 761421331 /nfs/dbraw/zinc/42/13/31/761421331.db2.gz WQFAPYCLJNTEFV-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001056797339 761437852 /nfs/dbraw/zinc/43/78/52/761437852.db2.gz CRODAGRPLNYKQH-TZMCWYRMSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001056797339 761437853 /nfs/dbraw/zinc/43/78/53/761437853.db2.gz CRODAGRPLNYKQH-TZMCWYRMSA-N 1 2 324.388 1.568 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnc(OC(C)C)c1 ZINC001038910036 761535056 /nfs/dbraw/zinc/53/50/56/761535056.db2.gz WUGDXKQZDXUGFL-HNNXBMFYSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnc(OC(C)C)c1 ZINC001038910036 761535060 /nfs/dbraw/zinc/53/50/60/761535060.db2.gz WUGDXKQZDXUGFL-HNNXBMFYSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(C(=O)N(C)C)cc1 ZINC001038928431 761556152 /nfs/dbraw/zinc/55/61/52/761556152.db2.gz QQUXAYQLXPBTBN-INIZCTEOSA-N 1 2 313.401 1.216 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(C(=O)N(C)C)cc1 ZINC001038928431 761556157 /nfs/dbraw/zinc/55/61/57/761556157.db2.gz QQUXAYQLXPBTBN-INIZCTEOSA-N 1 2 313.401 1.216 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccccn2)cn1 ZINC001038942142 761575057 /nfs/dbraw/zinc/57/50/57/761575057.db2.gz RRDNSLBNMCLZJG-QGZVFWFLSA-N 1 2 306.369 1.462 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccccn2)cn1 ZINC001038942142 761575063 /nfs/dbraw/zinc/57/50/63/761575063.db2.gz RRDNSLBNMCLZJG-QGZVFWFLSA-N 1 2 306.369 1.462 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)c3ccc(C#N)[nH]3)CC2(C)C)cc[nH+]1 ZINC001069547038 768055926 /nfs/dbraw/zinc/05/59/26/768055926.db2.gz DDRLAKSNFRTGBC-AWEZNQCLSA-N 1 2 324.388 1.948 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc(OC)c(Cl)c1 ZINC001039046059 761685357 /nfs/dbraw/zinc/68/53/57/761685357.db2.gz VWDRDPSVKSLZDJ-LBPRGKRZSA-N 1 2 307.781 1.571 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc(OC)c(Cl)c1 ZINC001039046059 761685363 /nfs/dbraw/zinc/68/53/63/761685363.db2.gz VWDRDPSVKSLZDJ-LBPRGKRZSA-N 1 2 307.781 1.571 20 30 DDEDLO Cc1nonc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001039076606 761714706 /nfs/dbraw/zinc/71/47/06/761714706.db2.gz LTFYKEWWADUSNA-CQSZACIVSA-N 1 2 311.345 1.254 20 30 DDEDLO Cc1nonc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001039076606 761714712 /nfs/dbraw/zinc/71/47/12/761714712.db2.gz LTFYKEWWADUSNA-CQSZACIVSA-N 1 2 311.345 1.254 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CC[C@@H](Nc2ncccc2C#N)C1 ZINC001056837480 761755741 /nfs/dbraw/zinc/75/57/41/761755741.db2.gz HEZNTOYIHJXRHW-CQSZACIVSA-N 1 2 324.388 1.332 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC(=O)NCC[N@@H+](CC(=C)C)CC1 ZINC001131378972 768104375 /nfs/dbraw/zinc/10/43/75/768104375.db2.gz NZOPFJRYHNODBH-HZPDHXFCSA-N 1 2 321.465 1.671 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC(=O)NCC[N@H+](CC(=C)C)CC1 ZINC001131378972 768104378 /nfs/dbraw/zinc/10/43/78/768104378.db2.gz NZOPFJRYHNODBH-HZPDHXFCSA-N 1 2 321.465 1.671 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CN(C)c1ncccc1C#N ZINC001109044225 763260782 /nfs/dbraw/zinc/26/07/82/763260782.db2.gz PYNCDCUBLQHARG-LLVKDONJSA-N 1 2 312.377 1.168 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@H](C)NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001050258360 763382447 /nfs/dbraw/zinc/38/24/47/763382447.db2.gz AQFRPMSCNRNWAC-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@H](C)NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001050258360 763382455 /nfs/dbraw/zinc/38/24/55/763382455.db2.gz AQFRPMSCNRNWAC-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001050276923 763403891 /nfs/dbraw/zinc/40/38/91/763403891.db2.gz RSCFGBAFCPRLKF-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO N#Cc1ccc(NC2CCN(C(=O)CCc3[nH]cc[nH+]3)CC2)nc1 ZINC001057323152 763426019 /nfs/dbraw/zinc/42/60/19/763426019.db2.gz WWZKPXDTNGAASB-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO C=CC[NH2+][C@H]1C[C@H](CNC(=O)c2cn[nH]c2-c2cnn(C)c2)C1 ZINC001086530535 763441883 /nfs/dbraw/zinc/44/18/83/763441883.db2.gz DOBYSMMLQFCOII-AULYBMBSSA-N 1 2 314.393 1.094 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001109266382 763527916 /nfs/dbraw/zinc/52/79/16/763527916.db2.gz ASNYJTIPEODLOT-NEBZKDRISA-N 1 2 319.430 1.403 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001109266382 763527920 /nfs/dbraw/zinc/52/79/20/763527920.db2.gz ASNYJTIPEODLOT-NEBZKDRISA-N 1 2 319.430 1.403 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@H+](Cc3nccs3)[C@@H]2C1 ZINC001042056989 763599894 /nfs/dbraw/zinc/59/98/94/763599894.db2.gz DOYMXRPQYYWUCS-LSDHHAIUSA-N 1 2 315.442 1.979 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@@H+](Cc3nccs3)[C@@H]2C1 ZINC001042056989 763599900 /nfs/dbraw/zinc/59/99/00/763599900.db2.gz DOYMXRPQYYWUCS-LSDHHAIUSA-N 1 2 315.442 1.979 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@@H]21 ZINC001042071553 763616880 /nfs/dbraw/zinc/61/68/80/763616880.db2.gz CZKJJNDZKVQPET-WFASDCNBSA-N 1 2 309.373 1.128 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3cccc4nn[nH]c43)C[C@@H]21 ZINC001042071553 763616886 /nfs/dbraw/zinc/61/68/86/763616886.db2.gz CZKJJNDZKVQPET-WFASDCNBSA-N 1 2 309.373 1.128 20 30 DDEDLO CN(CCCNc1ncc(C#N)cc1F)C(=O)Cn1cc[nH+]c1 ZINC001109472223 763740754 /nfs/dbraw/zinc/74/07/54/763740754.db2.gz BAXLRUCJWSEORU-UHFFFAOYSA-N 1 2 316.340 1.249 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](F)C(C)C)C2 ZINC001109619239 763872578 /nfs/dbraw/zinc/87/25/78/763872578.db2.gz LVXMKXOMQVQDEG-OSFYFWSMSA-N 1 2 311.401 1.004 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](F)C(C)C)C2 ZINC001109619239 763872583 /nfs/dbraw/zinc/87/25/83/763872583.db2.gz LVXMKXOMQVQDEG-OSFYFWSMSA-N 1 2 311.401 1.004 20 30 DDEDLO CC(C)(C(=O)N1CC[C@@H]2CCN(CC#N)[C@@H]2C1)c1c[nH+]c[nH]1 ZINC001042314531 763904067 /nfs/dbraw/zinc/90/40/67/763904067.db2.gz RQBGCMKKOMZPKN-QWHCGFSZSA-N 1 2 301.394 1.134 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001109683290 763941942 /nfs/dbraw/zinc/94/19/42/763941942.db2.gz FUQDOXXUCPPERR-LDDOYCOJSA-N 1 2 319.449 1.589 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001109683290 763941946 /nfs/dbraw/zinc/94/19/46/763941946.db2.gz FUQDOXXUCPPERR-LDDOYCOJSA-N 1 2 319.449 1.589 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C3CC(F)(F)C3)c2C1 ZINC001069857362 768188760 /nfs/dbraw/zinc/18/87/60/768188760.db2.gz OUVFOKHAYACHHP-UHFFFAOYSA-N 1 2 324.375 1.936 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C3CC(F)(F)C3)c2C1 ZINC001069857362 768188764 /nfs/dbraw/zinc/18/87/64/768188764.db2.gz OUVFOKHAYACHHP-UHFFFAOYSA-N 1 2 324.375 1.936 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc(C)n1 ZINC001050822906 764134395 /nfs/dbraw/zinc/13/43/95/764134395.db2.gz YJQRVDAEPQECMD-OAHLLOKOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc(C)n1 ZINC001050822906 764134401 /nfs/dbraw/zinc/13/44/01/764134401.db2.gz YJQRVDAEPQECMD-OAHLLOKOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(OC)no1 ZINC001050879620 764226177 /nfs/dbraw/zinc/22/61/77/764226177.db2.gz DENQUGGYALGJHE-LBPRGKRZSA-N 1 2 309.366 1.080 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(OC)no1 ZINC001050879620 764226181 /nfs/dbraw/zinc/22/61/81/764226181.db2.gz DENQUGGYALGJHE-LBPRGKRZSA-N 1 2 309.366 1.080 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(Cl)no1)C2 ZINC001110012532 764293806 /nfs/dbraw/zinc/29/38/06/764293806.db2.gz TVEUHIGAPJGCOS-WOPDTQHZSA-N 1 2 323.780 1.313 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(Cl)no1)C2 ZINC001110012532 764293809 /nfs/dbraw/zinc/29/38/09/764293809.db2.gz TVEUHIGAPJGCOS-WOPDTQHZSA-N 1 2 323.780 1.313 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1CCC ZINC001050939123 764308961 /nfs/dbraw/zinc/30/89/61/764308961.db2.gz FGKVHUYCJINXQF-AWEZNQCLSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1CCC ZINC001050939123 764308966 /nfs/dbraw/zinc/30/89/66/764308966.db2.gz FGKVHUYCJINXQF-AWEZNQCLSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2COCC[N@H+]2C[C@H](CC)OC)CC1 ZINC001051098323 764503737 /nfs/dbraw/zinc/50/37/37/764503737.db2.gz DMXIYZKLSCBLDR-IRXDYDNUSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2COCC[N@@H+]2C[C@H](CC)OC)CC1 ZINC001051098323 764503741 /nfs/dbraw/zinc/50/37/41/764503741.db2.gz DMXIYZKLSCBLDR-IRXDYDNUSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(-n3cncn3)cc2)C1 ZINC001042980179 764565324 /nfs/dbraw/zinc/56/53/24/764565324.db2.gz VXDWPZKQGQBBCF-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn(CC(F)F)nc2C)C1 ZINC001043008862 764580818 /nfs/dbraw/zinc/58/08/18/764580818.db2.gz BTTXWQRYLKAXPF-UHFFFAOYSA-N 1 2 312.364 1.789 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]cc1C1CC1 ZINC001051189084 764598410 /nfs/dbraw/zinc/59/84/10/764598410.db2.gz NASUAOOPFNJNLU-CQSZACIVSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]cc1C1CC1 ZINC001051189084 764598414 /nfs/dbraw/zinc/59/84/14/764598414.db2.gz NASUAOOPFNJNLU-CQSZACIVSA-N 1 2 303.406 1.899 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cn2ncc3cc(C)cnc32)C1 ZINC001043368100 764863064 /nfs/dbraw/zinc/86/30/64/764863064.db2.gz WWNXVCCAASSNBI-UHFFFAOYSA-N 1 2 313.405 1.458 20 30 DDEDLO C=CCCC[NH+]1CCN(C(=O)[C@@H]2CCCc3c[nH]nc32)CC1 ZINC001112855066 764881968 /nfs/dbraw/zinc/88/19/68/764881968.db2.gz IZZGEOLYANIJDL-OAHLLOKOSA-N 1 2 302.422 1.940 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@H]3CC[C@@H](C)O3)C2)cc1 ZINC001043505570 764949204 /nfs/dbraw/zinc/94/92/04/764949204.db2.gz WLGVNSFINZOBNE-RDTXWAMCSA-N 1 2 312.413 1.992 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001043541433 764965001 /nfs/dbraw/zinc/96/50/01/764965001.db2.gz GLQDMXNSKVRVDG-IAQYHMDHSA-N 1 2 310.361 1.178 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H](C[NH2+][C@H](C)c2csnn2)C1 ZINC001051757211 765130938 /nfs/dbraw/zinc/13/09/38/765130938.db2.gz ZIDHQOITLBKJFY-VXGBXAGGSA-N 1 2 324.450 1.628 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc(C)nc2C(C)C)C1 ZINC001044000048 765220979 /nfs/dbraw/zinc/22/09/79/765220979.db2.gz JMQLTQAONMTWTH-UHFFFAOYSA-N 1 2 300.406 1.688 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccnn2C2CCOCC2)C1 ZINC001044029945 765243173 /nfs/dbraw/zinc/24/31/73/765243173.db2.gz HAJXCUAMOCSMHB-UHFFFAOYSA-N 1 2 316.405 1.014 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn([C@H](C)CC)c2C)C1 ZINC001044164849 765357802 /nfs/dbraw/zinc/35/78/02/765357802.db2.gz GVSSHGCVZOAWOY-CYBMUJFWSA-N 1 2 302.422 1.942 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)CC(F)(F)F)C2)CC1 ZINC001051992574 765359133 /nfs/dbraw/zinc/35/91/33/765359133.db2.gz BBNGDLBGUGMPGJ-CYBMUJFWSA-N 1 2 317.355 1.181 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C[C@H]3CCOC3)C2)CC1 ZINC001052003379 765368197 /nfs/dbraw/zinc/36/81/97/765368197.db2.gz SQXNDRRJDXOKTF-IAGOWNOFSA-N 1 2 321.465 1.208 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)/C(C)=C/C)C2)CC1 ZINC001052009242 765378695 /nfs/dbraw/zinc/37/86/95/765378695.db2.gz MDDAJKOSXSKLRA-IEWBSGLLSA-N 1 2 311.857 1.924 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(NC(N)=O)cc2)C1 ZINC001044261994 765434878 /nfs/dbraw/zinc/43/48/78/765434878.db2.gz IPGJUNKYUAWFMP-UHFFFAOYSA-N 1 2 302.378 1.510 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2ccc3c(c2)OCCO3)C1 ZINC001044267423 765435983 /nfs/dbraw/zinc/43/59/83/765435983.db2.gz BKPCXSSAIAOOKI-UHFFFAOYSA-N 1 2 316.401 1.719 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC001113228993 765440610 /nfs/dbraw/zinc/44/06/10/765440610.db2.gz AXZSRFSPRVLHLW-KGLIPLIRSA-N 1 2 319.453 1.497 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)N(C3CCCC3)C2)C1 ZINC001044279717 765444127 /nfs/dbraw/zinc/44/41/27/765444127.db2.gz FWNBEGIMVKKZNI-AWEZNQCLSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[NH+]([C@@H]2CCCN(C)C2=O)CC1 ZINC001113246176 765467189 /nfs/dbraw/zinc/46/71/89/765467189.db2.gz IOGNVTUFXNMFSK-LSDHHAIUSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(C)nn(CC)c2Cl)C1 ZINC001044313631 765474691 /nfs/dbraw/zinc/47/46/91/765474691.db2.gz HGCDPEFQEQTIND-UHFFFAOYSA-N 1 2 308.813 1.644 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113251533 765475931 /nfs/dbraw/zinc/47/59/31/765475931.db2.gz QZTHRFNWPQTBHF-WDEREUQCSA-N 1 2 320.441 1.763 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH+]3CCN(CC=C)CC3)C2)cn1 ZINC001052108285 765477771 /nfs/dbraw/zinc/47/77/71/765477771.db2.gz LZJNYGYYVYDFQN-GOSISDBHSA-N 1 2 324.428 1.081 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C[C@@H](C)C3CC3)C2)CC1 ZINC001052122501 765485693 /nfs/dbraw/zinc/48/56/93/765485693.db2.gz VVEHGSOCIMQAAO-AEFFLSMTSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3Cc2cnnn2C)C1 ZINC001095982808 765654112 /nfs/dbraw/zinc/65/41/12/765654112.db2.gz LRDKJOOOOZPENM-SNPRPXQTSA-N 1 2 315.421 1.393 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3Cc2cnnn2C)C1 ZINC001095982808 765654117 /nfs/dbraw/zinc/65/41/17/765654117.db2.gz LRDKJOOOOZPENM-SNPRPXQTSA-N 1 2 315.421 1.393 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnoc2C2CC2)CC1 ZINC001113488850 765750737 /nfs/dbraw/zinc/75/07/37/765750737.db2.gz RITIWGHZZJXJCO-UHFFFAOYSA-N 1 2 305.378 1.512 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2cncc3nc[nH]c32)CC1 ZINC001052399628 765789260 /nfs/dbraw/zinc/78/92/60/765789260.db2.gz DSLKSUWPHZXIQF-ZDUSSCGKSA-N 1 2 311.389 1.566 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2cncc3nc[nH]c32)CC1 ZINC001052399628 765789268 /nfs/dbraw/zinc/78/92/68/765789268.db2.gz DSLKSUWPHZXIQF-ZDUSSCGKSA-N 1 2 311.389 1.566 20 30 DDEDLO CCCCc1noc(C[NH2+]C[C@@H]2CCCN2C(=O)[C@H](C)C#N)n1 ZINC001044962725 765972590 /nfs/dbraw/zinc/97/25/90/765972590.db2.gz PGPRVWCCYMKGTD-OLZOCXBDSA-N 1 2 319.409 1.652 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@@H]2CCCN2C(=O)[C@H](C)C#N)n1 ZINC001044962755 765972623 /nfs/dbraw/zinc/97/26/23/765972623.db2.gz PWZJAEMEAIYRCA-NEPJUHHUSA-N 1 2 305.382 1.262 20 30 DDEDLO CC(C)C#CC(=O)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001157638681 765994696 /nfs/dbraw/zinc/99/46/96/765994696.db2.gz JVVUDSPHUNRVLB-UHFFFAOYSA-N 1 2 304.394 1.592 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@@H](C)OC[C@H]2CCCCO2)CC1 ZINC001113718930 766058764 /nfs/dbraw/zinc/05/87/64/766058764.db2.gz OZNVFIPJYANHGV-HZPDHXFCSA-N 1 2 310.438 1.681 20 30 DDEDLO COc1ccc(C[C@@H](C)N2CC[NH2+]C[C@H]2C#N)c([N+](=O)[O-])c1 ZINC001170335617 766257411 /nfs/dbraw/zinc/25/74/11/766257411.db2.gz OXPYPASKLNCEPG-DGCLKSJQSA-N 1 2 304.350 1.332 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001113999482 766513658 /nfs/dbraw/zinc/51/36/58/766513658.db2.gz PHZIBRRTAVVQKD-FWYOQMDTSA-N 1 2 319.405 1.511 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001113999482 766513666 /nfs/dbraw/zinc/51/36/66/766513666.db2.gz PHZIBRRTAVVQKD-FWYOQMDTSA-N 1 2 319.405 1.511 20 30 DDEDLO CCc1noc([C@@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)n1 ZINC001114257674 766828422 /nfs/dbraw/zinc/82/84/22/766828422.db2.gz DMBSPFPBGWYOAK-KBNOKHGBSA-N 1 2 314.389 1.153 20 30 DDEDLO CCc1noc([C@@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)n1 ZINC001114257674 766828432 /nfs/dbraw/zinc/82/84/32/766828432.db2.gz DMBSPFPBGWYOAK-KBNOKHGBSA-N 1 2 314.389 1.153 20 30 DDEDLO C[C@H]1CCN(c2ccc(C#N)cn2)C[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067965720 766842731 /nfs/dbraw/zinc/84/27/31/766842731.db2.gz QHNAGCYUFOBXJP-ZFWWWQNUSA-N 1 2 324.388 1.181 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C[C@@H]3CC=CCC3)CC2)C1 ZINC001046051962 766859478 /nfs/dbraw/zinc/85/94/78/766859478.db2.gz SSYBZDXNFMCPRF-SJORKVTESA-N 1 2 316.449 1.475 20 30 DDEDLO C[C@H](C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C(C)(F)F ZINC001046062038 766874855 /nfs/dbraw/zinc/87/48/55/766874855.db2.gz AKNOXGSWRFDOAW-CHWSQXEVSA-N 1 2 314.380 1.020 20 30 DDEDLO C=CCCCC(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001068049891 766878681 /nfs/dbraw/zinc/87/86/81/766878681.db2.gz XRFQNSDWZIKXOX-UHFFFAOYSA-N 1 2 306.410 1.615 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC=C(C)C1 ZINC001121608810 782589798 /nfs/dbraw/zinc/58/97/98/782589798.db2.gz ISOMDYAYIROVGS-KGLIPLIRSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC=C(C)C1 ZINC001121608810 782589802 /nfs/dbraw/zinc/58/98/02/782589802.db2.gz ISOMDYAYIROVGS-KGLIPLIRSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)[C@@H](C)CC ZINC001121610139 782590989 /nfs/dbraw/zinc/59/09/89/782590989.db2.gz QGNPOQTWXWRESM-IHRRRGAJSA-N 1 2 307.442 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)[C@@H](C)CC ZINC001121610139 782590994 /nfs/dbraw/zinc/59/09/94/782590994.db2.gz QGNPOQTWXWRESM-IHRRRGAJSA-N 1 2 307.442 1.826 20 30 DDEDLO CC1(C)CN(c2ccc(C#N)cn2)C[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001068140305 766945988 /nfs/dbraw/zinc/94/59/88/766945988.db2.gz VGGPNWRLRFGHHG-AWEZNQCLSA-N 1 2 324.388 1.250 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001068514793 767390979 /nfs/dbraw/zinc/39/09/79/767390979.db2.gz KALWZINHKYAHTE-MQMHXKEQSA-N 1 2 324.388 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnc(C)nc2OC)C1 ZINC001046361836 767468216 /nfs/dbraw/zinc/46/82/16/767468216.db2.gz JFLMORNWBIALGK-HNNXBMFYSA-N 1 2 324.812 1.740 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnc(C)nc2OC)C1 ZINC001046361836 767468219 /nfs/dbraw/zinc/46/82/19/767468219.db2.gz JFLMORNWBIALGK-HNNXBMFYSA-N 1 2 324.812 1.740 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068888318 767684422 /nfs/dbraw/zinc/68/44/22/767684422.db2.gz YMQAWUFKJMANAR-WCQYABFASA-N 1 2 310.361 1.320 20 30 DDEDLO C[C@]1(NC(=O)c2cnon2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046717162 767842439 /nfs/dbraw/zinc/84/24/39/767842439.db2.gz QMSVRJRTCWWNBB-INIZCTEOSA-N 1 2 311.345 1.336 20 30 DDEDLO C[C@]1(NC(=O)c2cnon2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046717162 767842445 /nfs/dbraw/zinc/84/24/45/767842445.db2.gz QMSVRJRTCWWNBB-INIZCTEOSA-N 1 2 311.345 1.336 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CSCC#N)CC[C@@H]1C ZINC001131864639 768440367 /nfs/dbraw/zinc/44/03/67/768440367.db2.gz DYXOLWKBJDFRJP-NWDGAFQWSA-N 1 2 301.843 1.965 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CSCC#N)CC[C@@H]1C ZINC001131864639 768440371 /nfs/dbraw/zinc/44/03/71/768440371.db2.gz DYXOLWKBJDFRJP-NWDGAFQWSA-N 1 2 301.843 1.965 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001047417805 768463485 /nfs/dbraw/zinc/46/34/85/768463485.db2.gz WAPFPNDSCZEPPH-RYUDHWBXSA-N 1 2 323.462 1.744 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001047417805 768463489 /nfs/dbraw/zinc/46/34/89/768463489.db2.gz WAPFPNDSCZEPPH-RYUDHWBXSA-N 1 2 323.462 1.744 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2coc(C)c2)CC[C@@H]1C ZINC001131985193 768539204 /nfs/dbraw/zinc/53/92/04/768539204.db2.gz UWEXDUNVMZMYEH-SWLSCSKDSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2coc(C)c2)CC[C@@H]1C ZINC001131985193 768539208 /nfs/dbraw/zinc/53/92/08/768539208.db2.gz UWEXDUNVMZMYEH-SWLSCSKDSA-N 1 2 319.405 1.473 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)[C@H]2CC=CCC2)C[C@H]1NCC#N ZINC001070619906 768623533 /nfs/dbraw/zinc/62/35/33/768623533.db2.gz QWHVQTAFDMBGNM-RRFJBIMHSA-N 1 2 313.405 1.184 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCc2[nH+]ccn2C1)Nc1ccc(C#N)nc1 ZINC001098125319 768645969 /nfs/dbraw/zinc/64/59/69/768645969.db2.gz CYXIKQHAJFHFGH-STQMWFEESA-N 1 2 324.388 1.329 20 30 DDEDLO Cc1cocc1C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070679112 768665073 /nfs/dbraw/zinc/66/50/73/768665073.db2.gz FIUCSMJRURYDDK-TZMCWYRMSA-N 1 2 313.361 1.043 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)COCC(F)F)CC[C@@H]1C ZINC001132201967 768684724 /nfs/dbraw/zinc/68/47/24/768684724.db2.gz AAMBTGHWRDSZEN-WDEREUQCSA-N 1 2 310.772 1.990 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)COCC(F)F)CC[C@@H]1C ZINC001132201967 768684725 /nfs/dbraw/zinc/68/47/25/768684725.db2.gz AAMBTGHWRDSZEN-WDEREUQCSA-N 1 2 310.772 1.990 20 30 DDEDLO Cc1ccc(C(=O)N2C[C@@H](c3c[nH+]cn3C)[C@H](NCC#N)C2)cc1 ZINC001070712801 768689985 /nfs/dbraw/zinc/68/99/85/768689985.db2.gz NVNKEFWHGWXSRQ-HZPDHXFCSA-N 1 2 323.400 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132218948 768691638 /nfs/dbraw/zinc/69/16/38/768691638.db2.gz YGQGVXAHTUUSPI-STQMWFEESA-N 1 2 305.426 1.496 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132218948 768691644 /nfs/dbraw/zinc/69/16/44/768691644.db2.gz YGQGVXAHTUUSPI-STQMWFEESA-N 1 2 305.426 1.496 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[C@H](C)[N@H+](CC(=O)NCCC)C2)C1 ZINC001132274681 768732325 /nfs/dbraw/zinc/73/23/25/768732325.db2.gz YDSNPMLJHGWUSP-LSDHHAIUSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[C@H](C)[N@@H+](CC(=O)NCCC)C2)C1 ZINC001132274681 768732329 /nfs/dbraw/zinc/73/23/29/768732329.db2.gz YDSNPMLJHGWUSP-LSDHHAIUSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132332763 768759361 /nfs/dbraw/zinc/75/93/61/768759361.db2.gz WTFXOIFHAQXSDI-KBPBESRZSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132332763 768759365 /nfs/dbraw/zinc/75/93/65/768759365.db2.gz WTFXOIFHAQXSDI-KBPBESRZSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2CCC[C@@H]2C)CC1 ZINC001070966851 768820218 /nfs/dbraw/zinc/82/02/18/768820218.db2.gz QQOVOYQUWKKWAU-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2CCC[C@@H]2C)CC1 ZINC001070966851 768820227 /nfs/dbraw/zinc/82/02/27/768820227.db2.gz QQOVOYQUWKKWAU-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCCC23CC3)CC1 ZINC001070973120 768824424 /nfs/dbraw/zinc/82/44/24/768824424.db2.gz CUEJHLDEKFJCMI-HNNXBMFYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCCC23CC3)CC1 ZINC001070973120 768824433 /nfs/dbraw/zinc/82/44/33/768824433.db2.gz CUEJHLDEKFJCMI-HNNXBMFYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)c2cc(C)co2)CC1 ZINC001070989943 768843916 /nfs/dbraw/zinc/84/39/16/768843916.db2.gz HSITVZRPYXFPLM-UHFFFAOYSA-N 1 2 319.405 1.428 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)c2cc(C)co2)CC1 ZINC001070989943 768843929 /nfs/dbraw/zinc/84/39/29/768843929.db2.gz HSITVZRPYXFPLM-UHFFFAOYSA-N 1 2 319.405 1.428 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C(C)(CC)CC)CC1 ZINC001071021874 768888270 /nfs/dbraw/zinc/88/82/70/768888270.db2.gz KKTADUYRKFYZCP-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C(C)(CC)CC)CC1 ZINC001071021874 768888284 /nfs/dbraw/zinc/88/82/84/768888284.db2.gz KKTADUYRKFYZCP-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)C(F)F)C[C@H](C)O2 ZINC001071115782 768965596 /nfs/dbraw/zinc/96/55/96/768965596.db2.gz DFJLFBUTWGCBNH-GWCFXTLKSA-N 1 2 308.756 1.696 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)C(F)F)C[C@H](C)O2 ZINC001071115782 768965603 /nfs/dbraw/zinc/96/56/03/768965603.db2.gz DFJLFBUTWGCBNH-GWCFXTLKSA-N 1 2 308.756 1.696 20 30 DDEDLO N#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(-n3cc[nH+]c3)cn1)C2 ZINC001096328835 769198662 /nfs/dbraw/zinc/19/86/62/769198662.db2.gz KQZAXTOKPVSVGT-KCXAZCMYSA-N 1 2 322.372 1.126 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCN(c2cc(C)[nH+]c(C(C)C)n2)C1 ZINC001096335818 769265359 /nfs/dbraw/zinc/26/53/59/769265359.db2.gz XZVJCXCNSSWBDN-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C2=COCCO2)CC[C@H]1C ZINC001071316374 769278136 /nfs/dbraw/zinc/27/81/36/769278136.db2.gz CXIBKLYGWRZPKB-VXGBXAGGSA-N 1 2 300.786 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C2=COCCO2)CC[C@H]1C ZINC001071316374 769278141 /nfs/dbraw/zinc/27/81/41/769278141.db2.gz CXIBKLYGWRZPKB-VXGBXAGGSA-N 1 2 300.786 1.596 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CC[C@@H](C)N(CC#N)C3)ccn12 ZINC001071511315 769539420 /nfs/dbraw/zinc/53/94/20/769539420.db2.gz LVCWAEGOMQCQFQ-DOMZBBRYSA-N 1 2 311.389 1.749 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc(=O)n(C)cn2)CC[C@H]1C ZINC001071579310 769657693 /nfs/dbraw/zinc/65/76/93/769657693.db2.gz BUJXJHILEBRBTJ-VXGBXAGGSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc(=O)n(C)cn2)CC[C@H]1C ZINC001071579310 769657700 /nfs/dbraw/zinc/65/77/00/769657700.db2.gz BUJXJHILEBRBTJ-VXGBXAGGSA-N 1 2 324.812 1.116 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CN1CC#N ZINC001071705455 769920345 /nfs/dbraw/zinc/92/03/45/769920345.db2.gz PJUALLRFJRYTOR-GDBMZVCRSA-N 1 2 323.400 1.979 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cncc(OC)n2)CC[C@@H]1C ZINC001071876613 770211131 /nfs/dbraw/zinc/21/11/31/770211131.db2.gz PHSKFHWLDRQLEE-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cncc(OC)n2)CC[C@@H]1C ZINC001071876613 770211133 /nfs/dbraw/zinc/21/11/33/770211133.db2.gz PHSKFHWLDRQLEE-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CN1CC#N ZINC001071954134 770340649 /nfs/dbraw/zinc/34/06/49/770340649.db2.gz KICZPLBYPXFHCE-ZBFHGGJFSA-N 1 2 323.400 1.979 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(OC)nn2)CC[C@@H]1C ZINC001071966239 770359214 /nfs/dbraw/zinc/35/92/14/770359214.db2.gz CVQOVEWSSNWXCQ-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(OC)nn2)CC[C@@H]1C ZINC001071966239 770359227 /nfs/dbraw/zinc/35/92/27/770359227.db2.gz CVQOVEWSSNWXCQ-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cccn(C)c2=O)CC[C@H]1C ZINC001072005683 770422579 /nfs/dbraw/zinc/42/25/79/770422579.db2.gz OSVAQFFPHLKPOG-CHWSQXEVSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cccn(C)c2=O)CC[C@H]1C ZINC001072005683 770422584 /nfs/dbraw/zinc/42/25/84/770422584.db2.gz OSVAQFFPHLKPOG-CHWSQXEVSA-N 1 2 323.824 1.721 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)C[C@@H](C)[NH2+]CC(F)(F)C(F)F ZINC001133913668 770449379 /nfs/dbraw/zinc/44/93/79/770449379.db2.gz VBLJRKMRSPKZFP-NXEZZACHSA-N 1 2 314.323 1.962 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2sc(COC)nc2C)CC[C@@H]1C ZINC001072030773 770450244 /nfs/dbraw/zinc/45/02/44/770450244.db2.gz VPBHDCVMPCFNHI-WCQYABFASA-N 1 2 321.446 1.814 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2sc(COC)nc2C)CC[C@@H]1C ZINC001072030773 770450248 /nfs/dbraw/zinc/45/02/48/770450248.db2.gz VPBHDCVMPCFNHI-WCQYABFASA-N 1 2 321.446 1.814 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@@H](NC(=O)CCc3c[nH]c[nH+]3)C2)n1 ZINC001096497709 770507182 /nfs/dbraw/zinc/50/71/82/770507182.db2.gz WAAHICTYIMBYRD-OAHLLOKOSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@@H](NC(=O)CCc3c[nH+]c[nH]3)C2)n1 ZINC001096497709 770507187 /nfs/dbraw/zinc/50/71/87/770507187.db2.gz WAAHICTYIMBYRD-OAHLLOKOSA-N 1 2 324.388 1.313 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001096505863 770608246 /nfs/dbraw/zinc/60/82/46/770608246.db2.gz SQEGQASBIAHZTL-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO Cc1nc(N2CCC3(C[C@@H]3NC(=O)C#CC3CC3)CC2)cc[nH+]1 ZINC001096521406 770806846 /nfs/dbraw/zinc/80/68/46/770806846.db2.gz GSHQRCIILJTSTK-HNNXBMFYSA-N 1 2 310.401 1.674 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001072505551 770996773 /nfs/dbraw/zinc/99/67/73/770996773.db2.gz UHQUDDSDDUFRME-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001072505551 770996776 /nfs/dbraw/zinc/99/67/76/770996776.db2.gz UHQUDDSDDUFRME-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1cnn(C)n1 ZINC001049637015 771039753 /nfs/dbraw/zinc/03/97/53/771039753.db2.gz VZHCLUPXFJHDAA-LSDHHAIUSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1cnn(C)n1 ZINC001049637015 771039756 /nfs/dbraw/zinc/03/97/56/771039756.db2.gz VZHCLUPXFJHDAA-LSDHHAIUSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1cnon1 ZINC001049648618 771050074 /nfs/dbraw/zinc/05/00/74/771050074.db2.gz XJHFOUSCOMPDAW-KGLIPLIRSA-N 1 2 304.394 1.847 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1cnon1 ZINC001049648618 771050076 /nfs/dbraw/zinc/05/00/76/771050076.db2.gz XJHFOUSCOMPDAW-KGLIPLIRSA-N 1 2 304.394 1.847 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)oc1C ZINC001049999055 771352999 /nfs/dbraw/zinc/35/29/99/771352999.db2.gz ROMZLAQETZAPAM-BYCMXARLSA-N 1 2 316.405 1.874 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)oc1C ZINC001049999055 771353004 /nfs/dbraw/zinc/35/30/04/771353004.db2.gz ROMZLAQETZAPAM-BYCMXARLSA-N 1 2 316.405 1.874 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3nocc3C)C[C@H]2O)C1 ZINC001090555743 771987677 /nfs/dbraw/zinc/98/76/77/771987677.db2.gz PCFISIXUSCJCMY-DZGCQCFKSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3nocc3C)C[C@H]2O)C1 ZINC001090555743 771987680 /nfs/dbraw/zinc/98/76/80/771987680.db2.gz PCFISIXUSCJCMY-DZGCQCFKSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCOCC(=O)N1CCCO[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001090566897 771994034 /nfs/dbraw/zinc/99/40/34/771994034.db2.gz DBUDNVXFVPCFBX-AWEZNQCLSA-N 1 2 320.393 1.017 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cccnc2C)[C@@H](O)C1 ZINC001090573417 771999826 /nfs/dbraw/zinc/99/98/26/771999826.db2.gz MMBDYHRTKCVBBM-GJZGRUSLSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cccnc2C)[C@@H](O)C1 ZINC001090573417 771999827 /nfs/dbraw/zinc/99/98/27/771999827.db2.gz MMBDYHRTKCVBBM-GJZGRUSLSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c[nH]nc2C2CC2)[C@@H](O)C1 ZINC001090695114 772118226 /nfs/dbraw/zinc/11/82/26/772118226.db2.gz FWCZJBUDVSKRIO-OLZOCXBDSA-N 1 2 324.812 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c[nH]nc2C2CC2)[C@@H](O)C1 ZINC001090695114 772118228 /nfs/dbraw/zinc/11/82/28/772118228.db2.gz FWCZJBUDVSKRIO-OLZOCXBDSA-N 1 2 324.812 1.205 20 30 DDEDLO C=CC[C@@H](C)C(=O)N1CCCn2nnc(Cn3cc[nH+]c3)c2C1 ZINC001144014694 772374244 /nfs/dbraw/zinc/37/42/44/772374244.db2.gz SFTNDWFZMYKMPK-CYBMUJFWSA-N 1 2 314.393 1.467 20 30 DDEDLO C=CCOCC[N@H+]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001147589893 773176872 /nfs/dbraw/zinc/17/68/72/773176872.db2.gz FPNBOWYRHRITBB-NSHDSACASA-N 1 2 324.318 1.624 20 30 DDEDLO C=CCOCC[N@@H+]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001147589893 773176874 /nfs/dbraw/zinc/17/68/74/773176874.db2.gz FPNBOWYRHRITBB-NSHDSACASA-N 1 2 324.318 1.624 20 30 DDEDLO C=CCOCC[N@H+]1CCC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001147589893 773176877 /nfs/dbraw/zinc/17/68/77/773176877.db2.gz FPNBOWYRHRITBB-NSHDSACASA-N 1 2 324.318 1.624 20 30 DDEDLO C=CCOCC[N@@H+]1CCC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001147589893 773176879 /nfs/dbraw/zinc/17/68/79/773176879.db2.gz FPNBOWYRHRITBB-NSHDSACASA-N 1 2 324.318 1.624 20 30 DDEDLO C=CCCC1(C(=O)N2CC3(C2)CC[N@H+](Cc2cnon2)C3)CC1 ZINC001148263383 773415554 /nfs/dbraw/zinc/41/55/54/773415554.db2.gz ZFHLYIGTVSSXPP-UHFFFAOYSA-N 1 2 316.405 1.850 20 30 DDEDLO C=CCCC1(C(=O)N2CC3(C2)CC[N@@H+](Cc2cnon2)C3)CC1 ZINC001148263383 773415557 /nfs/dbraw/zinc/41/55/57/773415557.db2.gz ZFHLYIGTVSSXPP-UHFFFAOYSA-N 1 2 316.405 1.850 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001073806671 773430818 /nfs/dbraw/zinc/43/08/18/773430818.db2.gz XPDRWIARLUIFNZ-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001073806669 773431092 /nfs/dbraw/zinc/43/10/92/773431092.db2.gz XPDRWIARLUIFNZ-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001073917248 773523516 /nfs/dbraw/zinc/52/35/16/773523516.db2.gz XZGADJZLXOXUGO-HIFRSBDPSA-N 1 2 316.405 1.109 20 30 DDEDLO CCn1cc(C[N@H+](C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)nn1 ZINC001073970795 773563852 /nfs/dbraw/zinc/56/38/52/773563852.db2.gz ZLVLQHHMFZLNKT-DZGCQCFKSA-N 1 2 318.425 1.271 20 30 DDEDLO CCn1cc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)nn1 ZINC001073970795 773563855 /nfs/dbraw/zinc/56/38/55/773563855.db2.gz ZLVLQHHMFZLNKT-DZGCQCFKSA-N 1 2 318.425 1.271 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001074036096 773599195 /nfs/dbraw/zinc/59/91/95/773599195.db2.gz IXDNHDBIVJERDY-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO CCC(=O)NC[C@@H]1C[N@H+](Cc2cc(C#N)ccc2F)CCCO1 ZINC001148962628 773650607 /nfs/dbraw/zinc/65/06/07/773650607.db2.gz VHYOHSITUIYAQM-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO CCC(=O)NC[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2F)CCCO1 ZINC001148962628 773650611 /nfs/dbraw/zinc/65/06/11/773650611.db2.gz VHYOHSITUIYAQM-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cccc(F)c3)C[C@@H]21 ZINC001074159103 773681918 /nfs/dbraw/zinc/68/19/18/773681918.db2.gz ZAQOKVFKRWIMKG-IRXDYDNUSA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccc(F)c3)C[C@@H]21 ZINC001074159103 773681921 /nfs/dbraw/zinc/68/19/21/773681921.db2.gz ZAQOKVFKRWIMKG-IRXDYDNUSA-N 1 2 316.376 1.764 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001074264595 773777376 /nfs/dbraw/zinc/77/73/76/773777376.db2.gz XSJXZHPPXSZGJQ-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccccc3)C[C@@H]21 ZINC001074279259 773790138 /nfs/dbraw/zinc/79/01/38/773790138.db2.gz IVVRDHVWGAIDQH-ROUUACIJSA-N 1 2 312.413 1.554 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccccc3)C[C@@H]21 ZINC001074279259 773790142 /nfs/dbraw/zinc/79/01/42/773790142.db2.gz IVVRDHVWGAIDQH-ROUUACIJSA-N 1 2 312.413 1.554 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#C)cc3)C[C@@H]21 ZINC001074312272 773814671 /nfs/dbraw/zinc/81/46/71/773814671.db2.gz KSSFTMNNTOYFPW-RBUKOAKNSA-N 1 2 322.408 1.607 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#C)cc3)C[C@@H]21 ZINC001074312272 773814678 /nfs/dbraw/zinc/81/46/78/773814678.db2.gz KSSFTMNNTOYFPW-RBUKOAKNSA-N 1 2 322.408 1.607 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#C)cc3)C[C@H]21 ZINC001074312273 773814948 /nfs/dbraw/zinc/81/49/48/773814948.db2.gz KSSFTMNNTOYFPW-RTBURBONSA-N 1 2 322.408 1.607 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#C)cc3)C[C@H]21 ZINC001074312273 773814954 /nfs/dbraw/zinc/81/49/54/773814954.db2.gz KSSFTMNNTOYFPW-RTBURBONSA-N 1 2 322.408 1.607 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3n[nH]cc3F)C[C@@H]21 ZINC001074331395 773827093 /nfs/dbraw/zinc/82/70/93/773827093.db2.gz GOJTWRPWEFGBDP-STQMWFEESA-N 1 2 308.357 1.040 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3n[nH]cc3F)C[C@@H]21 ZINC001074331395 773827097 /nfs/dbraw/zinc/82/70/97/773827097.db2.gz GOJTWRPWEFGBDP-STQMWFEESA-N 1 2 308.357 1.040 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cn3nccc3C)C[C@H]21 ZINC001074348016 773844265 /nfs/dbraw/zinc/84/42/65/773844265.db2.gz GGOAAYPZBURLAN-CVEARBPZSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cn3nccc3C)C[C@H]21 ZINC001074348016 773844268 /nfs/dbraw/zinc/84/42/68/773844268.db2.gz GGOAAYPZBURLAN-CVEARBPZSA-N 1 2 318.421 1.069 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccn3CC)C[C@H]21 ZINC001074405682 773900641 /nfs/dbraw/zinc/90/06/41/773900641.db2.gz WAJZHMHYYMFQQC-IAGOWNOFSA-N 1 2 315.417 1.447 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccn3CC)C[C@H]21 ZINC001074405682 773900648 /nfs/dbraw/zinc/90/06/48/773900648.db2.gz WAJZHMHYYMFQQC-IAGOWNOFSA-N 1 2 315.417 1.447 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCCCO1)c1nccn12 ZINC001092362927 774076729 /nfs/dbraw/zinc/07/67/29/774076729.db2.gz FCFZJZOMHOEPPY-KGLIPLIRSA-N 1 2 316.405 1.210 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]cn2C)[C@@H](C)C1 ZINC001074883460 774214673 /nfs/dbraw/zinc/21/46/73/774214673.db2.gz GTXWYONQAQEAFE-UONOGXRCSA-N 1 2 318.421 1.425 20 30 DDEDLO C[C@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1nccnc1C#N ZINC001098303342 774336503 /nfs/dbraw/zinc/33/65/03/774336503.db2.gz RBSZDLYROWIJPR-NEPJUHHUSA-N 1 2 313.365 1.113 20 30 DDEDLO Cc1cc(N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)nc(C(C)C)[nH+]1 ZINC001093236174 774546533 /nfs/dbraw/zinc/54/65/33/774546533.db2.gz WWRQTJIQFCBQEP-PBOSXPJTSA-N 1 2 313.405 1.619 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)c3ccccc3)C2)nn1 ZINC001098598933 774618743 /nfs/dbraw/zinc/61/87/43/774618743.db2.gz OEJPKWFFUNUOFA-MRXNPFEDSA-N 1 2 309.373 1.088 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001098680336 774640296 /nfs/dbraw/zinc/64/02/96/774640296.db2.gz DVCWQIUBWDGSGP-INIZCTEOSA-N 1 2 315.421 1.521 20 30 DDEDLO CC1(C)CC[C@H](CNC(=O)CCc2c[nH]c[nH+]2)N(CC#N)C1 ZINC001099106650 774837137 /nfs/dbraw/zinc/83/71/37/774837137.db2.gz LCFAPSGTQQORRD-CQSZACIVSA-N 1 2 303.410 1.473 20 30 DDEDLO CC1(C)CC[C@H](CNC(=O)CCc2c[nH+]c[nH]2)N(CC#N)C1 ZINC001099106650 774837142 /nfs/dbraw/zinc/83/71/42/774837142.db2.gz LCFAPSGTQQORRD-CQSZACIVSA-N 1 2 303.410 1.473 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3C[C@@]34CCOC4)CC2)C1 ZINC001093588795 774870073 /nfs/dbraw/zinc/87/00/73/774870073.db2.gz AYLFNSILMLZDLS-SJLPKXTDSA-N 1 2 320.433 1.291 20 30 DDEDLO Cc1nc(NCCNC(=O)C#CC(C)C)c2c([nH+]1)CCCC2 ZINC001093594867 774887837 /nfs/dbraw/zinc/88/78/37/774887837.db2.gz YJOVFSBLETURFL-UHFFFAOYSA-N 1 2 300.406 1.851 20 30 DDEDLO CC[NH2+][C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1C(F)(F)F ZINC001099254233 774918895 /nfs/dbraw/zinc/91/88/95/774918895.db2.gz GWARUEBATIXERT-FSDSQADBSA-N 1 2 310.213 1.886 20 30 DDEDLO CC[NH2+][C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C(F)(F)F ZINC001099254233 774918893 /nfs/dbraw/zinc/91/88/93/774918893.db2.gz GWARUEBATIXERT-FSDSQADBSA-N 1 2 310.213 1.886 20 30 DDEDLO C[C@@H](CCNC(=O)CCn1cc[nH+]c1)Nc1ncccc1C#N ZINC001099626448 775075249 /nfs/dbraw/zinc/07/52/49/775075249.db2.gz XTTDVHJRBLGLTB-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001099765636 775245956 /nfs/dbraw/zinc/24/59/56/775245956.db2.gz YUCPPHFPMRLWHE-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001099765636 775245962 /nfs/dbraw/zinc/24/59/62/775245962.db2.gz YUCPPHFPMRLWHE-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099804015 775298382 /nfs/dbraw/zinc/29/83/82/775298382.db2.gz GOANOIFWWOQXJX-ZIAGYGMSSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099804015 775298389 /nfs/dbraw/zinc/29/83/89/775298389.db2.gz GOANOIFWWOQXJX-ZIAGYGMSSA-N 1 2 321.446 1.306 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[N@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099824156 775330589 /nfs/dbraw/zinc/33/05/89/775330589.db2.gz PUVKRAUETJILGF-NEPJUHHUSA-N 1 2 319.232 1.516 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099824156 775330597 /nfs/dbraw/zinc/33/05/97/775330597.db2.gz PUVKRAUETJILGF-NEPJUHHUSA-N 1 2 319.232 1.516 20 30 DDEDLO N#Cc1ccc(NCCNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)nc1 ZINC001094112330 775440655 /nfs/dbraw/zinc/44/06/55/775440655.db2.gz RYFKWONYDYLVKB-LBPRGKRZSA-N 1 2 310.361 1.325 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C[C@H]1O ZINC001099947769 775459536 /nfs/dbraw/zinc/45/95/36/775459536.db2.gz ZWUOZCCEQYLXIJ-HUUCEWRRSA-N 1 2 320.437 1.074 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccn(C)n2)C[C@H]1O ZINC001099947769 775459549 /nfs/dbraw/zinc/45/95/49/775459549.db2.gz ZWUOZCCEQYLXIJ-HUUCEWRRSA-N 1 2 320.437 1.074 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099976174 775508299 /nfs/dbraw/zinc/50/82/99/775508299.db2.gz LCQJEONSDHNICN-KBPBESRZSA-N 1 2 309.435 1.551 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099976174 775508306 /nfs/dbraw/zinc/50/83/06/775508306.db2.gz LCQJEONSDHNICN-KBPBESRZSA-N 1 2 309.435 1.551 20 30 DDEDLO Cc1cc(N(C)CCNC(=O)c2ccc(C#N)[nH]2)nc(C2CC2)[nH+]1 ZINC001100035846 775600607 /nfs/dbraw/zinc/60/06/07/775600607.db2.gz QCNMJBNEKJTBCC-UHFFFAOYSA-N 1 2 324.388 1.728 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3CCC3(C)C)nn2)C1 ZINC001094310802 775664401 /nfs/dbraw/zinc/66/44/01/775664401.db2.gz STNUUVXIEWFFGY-OAHLLOKOSA-N 1 2 317.437 1.763 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC3(C)C)nn2)C1 ZINC001094285064 775683909 /nfs/dbraw/zinc/68/39/09/775683909.db2.gz BRPGVUQWGRJLTM-AWEZNQCLSA-N 1 2 303.410 1.373 20 30 DDEDLO C#CCCCC(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094383679 775831779 /nfs/dbraw/zinc/83/17/79/775831779.db2.gz VHMBJFZMLBXRIA-UHFFFAOYSA-N 1 2 300.366 1.085 20 30 DDEDLO N#Cc1cncc(NCCCNC(=O)c2cccc3[nH+]ccn32)n1 ZINC001094667088 776147557 /nfs/dbraw/zinc/14/75/57/776147557.db2.gz FUPMMCBSYNFQFL-UHFFFAOYSA-N 1 2 321.344 1.228 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@H]2CCc3c[nH+]cn3C2)nc1 ZINC001094656910 776175303 /nfs/dbraw/zinc/17/53/03/776175303.db2.gz SWBCJIOREQMRJA-AWEZNQCLSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC(C)(C)C(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094709527 776177188 /nfs/dbraw/zinc/17/71/88/776177188.db2.gz SZTPFWWELZSLID-UHFFFAOYSA-N 1 2 302.382 1.494 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(C)=C3CCCC3)CC2=O)C1 ZINC001094720138 776186975 /nfs/dbraw/zinc/18/69/75/776186975.db2.gz KBHRXDUZWDNBIH-OAHLLOKOSA-N 1 2 317.433 1.464 20 30 DDEDLO CCN(CCNC(=O)CCc1c[nH]c[nH+]1)c1ccc(C#N)nc1 ZINC001100756480 776504295 /nfs/dbraw/zinc/50/42/95/776504295.db2.gz YKJUBWKMTCJAGP-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CCN(CCNC(=O)CCc1c[nH+]c[nH]1)c1ccc(C#N)nc1 ZINC001100756480 776504297 /nfs/dbraw/zinc/50/42/97/776504297.db2.gz YKJUBWKMTCJAGP-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CCCC[N@@H+]1CCOC[C@@H]1C(=O)N[C@H](C)CCCCNCC#N ZINC001171918405 776518389 /nfs/dbraw/zinc/51/83/89/776518389.db2.gz NXUCOCDBDZENPD-HZPDHXFCSA-N 1 2 324.469 1.275 20 30 DDEDLO CCCC[N@H+]1CCOC[C@@H]1C(=O)N[C@H](C)CCCCNCC#N ZINC001171918405 776518394 /nfs/dbraw/zinc/51/83/94/776518394.db2.gz NXUCOCDBDZENPD-HZPDHXFCSA-N 1 2 324.469 1.275 20 30 DDEDLO Cc1cc(N2CCC[C@@H]2CNC(=O)Cc2[nH]cc[nH+]2)c(C#N)cn1 ZINC001100859129 776641365 /nfs/dbraw/zinc/64/13/65/776641365.db2.gz LTMOKDHBZRIHPM-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO N#Cc1cccnc1N1CC[C@H](CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001100965147 776769752 /nfs/dbraw/zinc/76/97/52/776769752.db2.gz HLOUHACVTVIFSG-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1CCN(c2ncccc2C#N)C1 ZINC001101001889 776810307 /nfs/dbraw/zinc/81/03/07/776810307.db2.gz CHKRGFWZQQFGDJ-CYBMUJFWSA-N 1 2 324.388 1.170 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095095264 777091187 /nfs/dbraw/zinc/09/11/87/777091187.db2.gz DPDYBCFNDSARLI-UKRRQHHQSA-N 1 2 318.421 1.803 20 30 DDEDLO CN(CCNc1cccc(F)c1C#N)C(=O)CCc1[nH]cc[nH+]1 ZINC001101567522 777259132 /nfs/dbraw/zinc/25/91/32/777259132.db2.gz OTGTYZCDHZYUMX-UHFFFAOYSA-N 1 2 315.352 1.924 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C(/C)C1CC1)c1nccn12 ZINC001101614534 777307031 /nfs/dbraw/zinc/30/70/31/777307031.db2.gz XIGCOJLQMNMCAD-DNXIFWLFSA-N 1 2 324.428 1.835 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)C(C)C)c1nccn12 ZINC001101645519 777343389 /nfs/dbraw/zinc/34/33/89/777343389.db2.gz CRYNXUGDQWCEIR-HUUCEWRRSA-N 1 2 314.433 1.770 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)CCOC)c1nccn12 ZINC001101652805 777348029 /nfs/dbraw/zinc/34/80/29/777348029.db2.gz SCGZVMJETHZYSO-UONOGXRCSA-N 1 2 318.421 1.314 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)CCc1c[nH+]cn1C ZINC001101725815 777438560 /nfs/dbraw/zinc/43/85/60/777438560.db2.gz QMUNNNPAUFZXBT-UHFFFAOYSA-N 1 2 312.377 1.190 20 30 DDEDLO CC(C)c1nnc(C[N@H+]2C[C@@H](CNC(=O)[C@@H](C)C#N)[C@H](C)C2)[nH]1 ZINC001101822435 777567622 /nfs/dbraw/zinc/56/76/22/777567622.db2.gz OTAUQEBWYNUPHY-YNEHKIRRSA-N 1 2 318.425 1.272 20 30 DDEDLO CC(C)c1nnc(C[N@@H+]2C[C@@H](CNC(=O)[C@@H](C)C#N)[C@H](C)C2)[nH]1 ZINC001101822435 777567629 /nfs/dbraw/zinc/56/76/29/777567629.db2.gz OTAUQEBWYNUPHY-YNEHKIRRSA-N 1 2 318.425 1.272 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101916303 777675984 /nfs/dbraw/zinc/67/59/84/777675984.db2.gz VBAPMRXTPFEXQD-LBPRGKRZSA-N 1 2 316.409 1.836 20 30 DDEDLO Cc1nc(N(CCCNC(=O)CSCC#N)C(C)C)cc[nH+]1 ZINC001102087734 777865317 /nfs/dbraw/zinc/86/53/17/777865317.db2.gz PSELZEMJJWUJJZ-UHFFFAOYSA-N 1 2 321.450 1.763 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001102252911 777976838 /nfs/dbraw/zinc/97/68/38/777976838.db2.gz UEWGNEVMNOVVII-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001102252911 777976842 /nfs/dbraw/zinc/97/68/42/777976842.db2.gz UEWGNEVMNOVVII-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO Cc1nc(N2CC[C@H](CCNC(=O)CSCC#N)C2)cc[nH+]1 ZINC001102289741 778012714 /nfs/dbraw/zinc/01/27/14/778012714.db2.gz NRBKDVPOJWLLAJ-ZDUSSCGKSA-N 1 2 319.434 1.374 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccco3)C[C@H]21 ZINC001176889032 778296498 /nfs/dbraw/zinc/29/64/98/778296498.db2.gz ZCWJHYHSDOLLFO-HUUCEWRRSA-N 1 2 320.389 1.398 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccco3)C[C@H]21 ZINC001176889032 778296504 /nfs/dbraw/zinc/29/65/04/778296504.db2.gz ZCWJHYHSDOLLFO-HUUCEWRRSA-N 1 2 320.389 1.398 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102675816 778297309 /nfs/dbraw/zinc/29/73/09/778297309.db2.gz YPSNQCAAJPRZAM-UKRRQHHQSA-N 1 2 318.421 1.803 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@@H]2CCCN(c3cccc[nH+]3)C2)cn1 ZINC001176974056 778360417 /nfs/dbraw/zinc/36/04/17/778360417.db2.gz RSGZNTSJWSMIPD-OAHLLOKOSA-N 1 2 321.384 1.881 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC(F)F)C[C@H]21 ZINC001177049937 778411912 /nfs/dbraw/zinc/41/19/12/778411912.db2.gz XSSNQPQVJJZFDH-CHWSQXEVSA-N 1 2 300.349 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC(F)F)C[C@H]21 ZINC001177049937 778411918 /nfs/dbraw/zinc/41/19/18/778411918.db2.gz XSSNQPQVJJZFDH-CHWSQXEVSA-N 1 2 300.349 1.357 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC)[C@@H]2C1 ZINC001177062051 778421530 /nfs/dbraw/zinc/42/15/30/778421530.db2.gz RGWIJLRFYPKSBL-CVEARBPZSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCOCC)[C@@H]2C1 ZINC001177062051 778421535 /nfs/dbraw/zinc/42/15/35/778421535.db2.gz RGWIJLRFYPKSBL-CVEARBPZSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOc1ccccc1C[NH2+]Cc1ccc(S(N)(=O)=O)o1 ZINC001177874983 778752007 /nfs/dbraw/zinc/75/20/07/778752007.db2.gz CMGNGHNBVMRTTJ-UHFFFAOYSA-N 1 2 322.386 1.782 20 30 DDEDLO C=C[C@@H]1C[C@@]1(NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C(=O)OCC ZINC001178118687 778881494 /nfs/dbraw/zinc/88/14/94/778881494.db2.gz DAZCZDZIORFGTR-HSMVNMDESA-N 1 2 303.362 1.070 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)[C@@H]1C ZINC001178802883 779177609 /nfs/dbraw/zinc/17/76/09/779177609.db2.gz YDHFVQVTPQFGMS-STQMWFEESA-N 1 2 322.409 1.651 20 30 DDEDLO C[C@H](CNC(=O)CCc1[nH]cc[nH+]1)CNc1ccc(C#N)nc1 ZINC001103942856 779192180 /nfs/dbraw/zinc/19/21/80/779192180.db2.gz ARAHCNKVNPPCDJ-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)Cn3cc[nH+]c3)CCC2)nc1 ZINC001111819626 779496628 /nfs/dbraw/zinc/49/66/28/779496628.db2.gz MJBDIXAOFUYSNW-UHFFFAOYSA-N 1 2 310.361 1.301 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@](CO)(Nc2cc[nH+]c(C)n2)C1 ZINC001112227887 779655173 /nfs/dbraw/zinc/65/51/73/779655173.db2.gz DDOYUQKTSHMKJX-KRWDZBQOSA-N 1 2 316.405 1.354 20 30 DDEDLO C[C@H](CC(=O)NCC1(NCC#N)CCCCC1)n1cc[nH+]c1 ZINC001115752533 780401525 /nfs/dbraw/zinc/40/15/25/780401525.db2.gz HIDQJODYIMKPGW-CQSZACIVSA-N 1 2 303.410 1.766 20 30 DDEDLO Cc1nc(N[C@@H](C)CN(C)C(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001115766195 780413138 /nfs/dbraw/zinc/41/31/38/780413138.db2.gz ADIQLWDEVZMNQW-LBPRGKRZSA-N 1 2 312.377 1.417 20 30 DDEDLO C=CCn1cnn(C[N@H+]2CC[C@@H](Cc3cnn(C)c3)C2)c1=S ZINC001116196560 780483344 /nfs/dbraw/zinc/48/33/44/780483344.db2.gz ZHHHCZRSQXMMNW-ZDUSSCGKSA-N 1 2 318.450 1.856 20 30 DDEDLO C=CCn1cnn(C[N@@H+]2CC[C@@H](Cc3cnn(C)c3)C2)c1=S ZINC001116196560 780483346 /nfs/dbraw/zinc/48/33/46/780483346.db2.gz ZHHHCZRSQXMMNW-ZDUSSCGKSA-N 1 2 318.450 1.856 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccc(OCC)cc2)CC1 ZINC001116352382 780499196 /nfs/dbraw/zinc/49/91/96/780499196.db2.gz XHHGGGCKJPVBPO-UHFFFAOYSA-N 1 2 303.406 1.510 20 30 DDEDLO N#Cc1cncc(N2CC[NH+](Cc3cccc([N+](=O)[O-])c3)CC2)n1 ZINC001117986543 780975410 /nfs/dbraw/zinc/97/54/10/780975410.db2.gz SEJKSTWKRCAFBS-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cccs1 ZINC001267260393 837686069 /nfs/dbraw/zinc/68/60/69/837686069.db2.gz YOLKFKUMOJUSRD-GFCCVEGCSA-N 1 2 307.419 1.245 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)c1cccs1 ZINC001267260393 837686071 /nfs/dbraw/zinc/68/60/71/837686071.db2.gz YOLKFKUMOJUSRD-GFCCVEGCSA-N 1 2 307.419 1.245 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CC[N@H+](Cc2nncs2)C1 ZINC001267291250 837758340 /nfs/dbraw/zinc/75/83/40/837758340.db2.gz CCGGOCSYJTXOHR-ZDUSSCGKSA-N 1 2 306.435 1.670 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CC[N@@H+](Cc2nncs2)C1 ZINC001267291250 837758348 /nfs/dbraw/zinc/75/83/48/837758348.db2.gz CCGGOCSYJTXOHR-ZDUSSCGKSA-N 1 2 306.435 1.670 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@@H](C)[C@H](C)C(C)(C)C)CC1 ZINC001266295295 836070256 /nfs/dbraw/zinc/07/02/56/836070256.db2.gz ZWROGVJCBAUZIN-HOTGVXAUSA-N 1 2 307.482 1.672 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CCC[N@@H+]1Cc1coc(C)n1 ZINC001266465396 836303312 /nfs/dbraw/zinc/30/33/12/836303312.db2.gz VMGOPAFORVQVNG-IUODEOHRSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CCC[N@H+]1Cc1coc(C)n1 ZINC001266465396 836303323 /nfs/dbraw/zinc/30/33/23/836303323.db2.gz VMGOPAFORVQVNG-IUODEOHRSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CCCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CS(=O)(=O)CC ZINC001266501796 836357652 /nfs/dbraw/zinc/35/76/52/836357652.db2.gz KLZJTTNGOKRPED-OKILXGFUSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CS(=O)(=O)CC ZINC001266501796 836357663 /nfs/dbraw/zinc/35/76/63/836357663.db2.gz KLZJTTNGOKRPED-OKILXGFUSA-N 1 2 314.451 1.063 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(COC)no2)C1 ZINC001267009365 837181675 /nfs/dbraw/zinc/18/16/75/837181675.db2.gz ZPTNCLYSQRAXTH-CHWSQXEVSA-N 1 2 320.393 1.271 20 30 DDEDLO C[C@H](CC(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2CC#N)n1cc[nH+]c1 ZINC001279237566 837900866 /nfs/dbraw/zinc/90/08/66/837900866.db2.gz ZZHQLRRQEPKEMF-LVQVYYBASA-N 1 2 315.421 1.717 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)NC1CC1 ZINC001267396993 837985846 /nfs/dbraw/zinc/98/58/46/837985846.db2.gz HXCGKGQIMOTGHE-AEGPPILISA-N 1 2 305.422 1.295 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@@H](C)C(=O)NC1CC1 ZINC001267396993 837985853 /nfs/dbraw/zinc/98/58/53/837985853.db2.gz HXCGKGQIMOTGHE-AEGPPILISA-N 1 2 305.422 1.295 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnc(C)nc1 ZINC001267397475 837987620 /nfs/dbraw/zinc/98/76/20/837987620.db2.gz VFJNVTLYIRMUBQ-IYBDPMFKSA-N 1 2 300.406 1.926 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnc(C)nc1 ZINC001267397475 837987625 /nfs/dbraw/zinc/98/76/25/837987625.db2.gz VFJNVTLYIRMUBQ-IYBDPMFKSA-N 1 2 300.406 1.926 20 30 DDEDLO Cc1nnc(C[N@@H+](CCCNC(=O)[C@H](C)C#N)C(C)C)s1 ZINC001267499162 838189243 /nfs/dbraw/zinc/18/92/43/838189243.db2.gz LEJOHPICXSWOKA-LLVKDONJSA-N 1 2 309.439 1.723 20 30 DDEDLO Cc1nnc(C[N@H+](CCCNC(=O)[C@H](C)C#N)C(C)C)s1 ZINC001267499162 838189246 /nfs/dbraw/zinc/18/92/46/838189246.db2.gz LEJOHPICXSWOKA-LLVKDONJSA-N 1 2 309.439 1.723 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)C(C)(C)C1CCC1 ZINC001267572977 838337207 /nfs/dbraw/zinc/33/72/07/838337207.db2.gz DQCSMNMFDZECRS-UHFFFAOYSA-N 1 2 306.454 1.412 20 30 DDEDLO C=Cc1ccc(C(=O)N(C)CC[NH+]2CCN(CC#N)CC2)cc1 ZINC001267574480 838341251 /nfs/dbraw/zinc/34/12/51/838341251.db2.gz ITGDGSSLYXYHON-UHFFFAOYSA-N 1 2 312.417 1.543 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](NC(=O)c2occc2C)C1 ZINC001267611402 838457926 /nfs/dbraw/zinc/45/79/26/838457926.db2.gz SHPLKUIOQLCJLS-UONOGXRCSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](NC(=O)c2occc2C)C1 ZINC001267611402 838457932 /nfs/dbraw/zinc/45/79/32/838457932.db2.gz SHPLKUIOQLCJLS-UONOGXRCSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC1C[NH+](Cc2ccc(F)cn2)C1 ZINC001267676448 838627668 /nfs/dbraw/zinc/62/76/68/838627668.db2.gz KCRRMMZTXHJRDT-ZDUSSCGKSA-N 1 2 321.396 1.750 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1C[NH+](CC(=O)N(C)CC2CC2)C1 ZINC001267687829 838643543 /nfs/dbraw/zinc/64/35/43/838643543.db2.gz OUVFMZNHFPYIQF-UHFFFAOYSA-N 1 2 307.438 1.115 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CC[C@@H](N(CC)C(=O)C#CC(C)C)C1 ZINC001267784397 838971378 /nfs/dbraw/zinc/97/13/78/838971378.db2.gz PHUSEXVKSRDUAC-MRXNPFEDSA-N 1 2 321.465 1.485 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CC[C@@H](N(CC)C(=O)C#CC(C)C)C1 ZINC001267784397 838971382 /nfs/dbraw/zinc/97/13/82/838971382.db2.gz PHUSEXVKSRDUAC-MRXNPFEDSA-N 1 2 321.465 1.485 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001267931061 839213050 /nfs/dbraw/zinc/21/30/50/839213050.db2.gz JBFKWTHNAHLUDB-OAHLLOKOSA-N 1 2 300.406 1.525 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001267931061 839213038 /nfs/dbraw/zinc/21/30/38/839213038.db2.gz JBFKWTHNAHLUDB-OAHLLOKOSA-N 1 2 300.406 1.525 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@](C)(C=C)CC)C1 ZINC001267966064 839287088 /nfs/dbraw/zinc/28/70/88/839287088.db2.gz FFASMOMPUCVGJT-RHSMWYFYSA-N 1 2 307.438 1.472 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@](C)(C=C)CC)C1 ZINC001267966064 839287097 /nfs/dbraw/zinc/28/70/97/839287097.db2.gz FFASMOMPUCVGJT-RHSMWYFYSA-N 1 2 307.438 1.472 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001268030022 839448015 /nfs/dbraw/zinc/44/80/15/839448015.db2.gz LAMBJKLZHTYAGI-CYBMUJFWSA-N 1 2 306.410 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001268030022 839448021 /nfs/dbraw/zinc/44/80/21/839448021.db2.gz LAMBJKLZHTYAGI-CYBMUJFWSA-N 1 2 306.410 1.080 20 30 DDEDLO C=CCC1(C(=O)NC/C=C\C[NH2+]Cc2ncnn2C)CCC1 ZINC001268586072 840491551 /nfs/dbraw/zinc/49/15/51/840491551.db2.gz CXYANEPXHPAJTG-PLNGDYQASA-N 1 2 303.410 1.324 20 30 DDEDLO C#Cc1cncc(C(=O)NC/C=C/C[NH2+][C@@H](C)c2ncccn2)c1 ZINC001268591309 840502559 /nfs/dbraw/zinc/50/25/59/840502559.db2.gz QMYHQZJDBRTRHB-NNTXTVRGSA-N 1 2 321.384 1.490 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)C[NH2+]Cc2nnc(C)o2)CCOCC1 ZINC001268670829 840655222 /nfs/dbraw/zinc/65/52/22/840655222.db2.gz LEHHCCGQFMGGMW-GFCCVEGCSA-N 1 2 322.409 1.345 20 30 DDEDLO C#CC(=O)N1CC2(C[C@H]2C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001268851455 840901112 /nfs/dbraw/zinc/90/11/12/840901112.db2.gz MUHKQBMMKYERHI-HNNXBMFYSA-N 1 2 320.352 1.293 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@H](C)c2ccccc2)C1 ZINC001268895829 840962715 /nfs/dbraw/zinc/96/27/15/840962715.db2.gz CHIYVWKCJXXPPM-CQSZACIVSA-N 1 2 315.417 1.140 20 30 DDEDLO C=CCOCCC(=O)N1CC2(C1)C[NH+](Cc1cccc(=O)[nH]1)C2 ZINC001268990126 841090337 /nfs/dbraw/zinc/09/03/37/841090337.db2.gz FSSAFAXHFNHIRX-UHFFFAOYSA-N 1 2 317.389 1.024 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCCC(C)(C)C)C1 ZINC001269156188 841276507 /nfs/dbraw/zinc/27/65/07/841276507.db2.gz QQIUQMKGUDMLJF-CQSZACIVSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)NCCC(C)(C)C)C1 ZINC001269156188 841276517 /nfs/dbraw/zinc/27/65/17/841276517.db2.gz QQIUQMKGUDMLJF-CQSZACIVSA-N 1 2 323.481 1.942 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](N(C)C(=O)c2ccc(F)s2)C1 ZINC001269300532 841488516 /nfs/dbraw/zinc/48/85/16/841488516.db2.gz BGZMXLCCSSXPTG-LBPRGKRZSA-N 1 2 310.394 1.683 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(F)s2)C1 ZINC001269300532 841488510 /nfs/dbraw/zinc/48/85/10/841488510.db2.gz BGZMXLCCSSXPTG-LBPRGKRZSA-N 1 2 310.394 1.683 20 30 DDEDLO C=C(C)CNC(=O)[C@H]1CC12CCN(C(=O)Cn1cc[nH+]c1)CC2 ZINC001269298285 841488812 /nfs/dbraw/zinc/48/88/12/841488812.db2.gz GCQLZJYLRLZUCJ-CQSZACIVSA-N 1 2 316.405 1.204 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)C(C)(C)C(C)(F)F ZINC001269337034 841537173 /nfs/dbraw/zinc/53/71/73/841537173.db2.gz GLWBURGUWADCNU-GFCCVEGCSA-N 1 2 302.365 1.508 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)C(C)(C)C(C)(F)F ZINC001269337034 841537178 /nfs/dbraw/zinc/53/71/78/841537178.db2.gz GLWBURGUWADCNU-GFCCVEGCSA-N 1 2 302.365 1.508 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H](C)Cc1ccc(OC)cc1 ZINC001269352595 841560632 /nfs/dbraw/zinc/56/06/32/841560632.db2.gz VFSULHQLGMTUJN-HOCLYGCPSA-N 1 2 300.402 1.698 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H](C)Cc1ccc(OC)cc1 ZINC001269352595 841560637 /nfs/dbraw/zinc/56/06/37/841560637.db2.gz VFSULHQLGMTUJN-HOCLYGCPSA-N 1 2 300.402 1.698 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001269386525 841590247 /nfs/dbraw/zinc/59/02/47/841590247.db2.gz MRTPBFVVMIOMJR-HZPDHXFCSA-N 1 2 324.384 1.954 20 30 DDEDLO C=CCN1CC[C@@]2(CCCN2C(=O)c2cccc3[nH+]ccn32)C1=O ZINC001269484328 841681109 /nfs/dbraw/zinc/68/11/09/841681109.db2.gz BEWJPEZQMQJTPY-SFHVURJKSA-N 1 2 324.384 1.727 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)CCn1cc[nH+]c1 ZINC001269581546 841788206 /nfs/dbraw/zinc/78/82/06/841788206.db2.gz OIXLHYSTFBRMJK-ZIAGYGMSSA-N 1 2 302.378 1.051 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)[C@@]2(F)CCOC2)CC1 ZINC001269632779 841878586 /nfs/dbraw/zinc/87/85/86/841878586.db2.gz MVPOSZFQCGSLQP-MRXNPFEDSA-N 1 2 309.385 1.342 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)[C@@]2(F)CCOC2)CC1 ZINC001269632779 841878600 /nfs/dbraw/zinc/87/86/00/841878600.db2.gz MVPOSZFQCGSLQP-MRXNPFEDSA-N 1 2 309.385 1.342 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(CCNC(=O)C#CC(C)C)CC2)[nH]1 ZINC001270111579 842304827 /nfs/dbraw/zinc/30/48/27/842304827.db2.gz QKVSELCOSWBZGL-UHFFFAOYSA-N 1 2 317.437 1.491 20 30 DDEDLO C=CCN1CC[C@@]2(CCN(C(=O)c3c[nH]c4cc[nH+]cc34)C2)C1=O ZINC001270138956 842325178 /nfs/dbraw/zinc/32/51/78/842325178.db2.gz NLYTZXVJVIEXKX-SFHVURJKSA-N 1 2 324.384 1.814 20 30 DDEDLO C#CCN(C(=O)[C@H](C)C#N)C1CC[NH+](Cc2coc(C)n2)CC1 ZINC001270296979 842463967 /nfs/dbraw/zinc/46/39/67/842463967.db2.gz YAUXORIMTXASJT-CYBMUJFWSA-N 1 2 314.389 1.569 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cc(F)ccc2CC)C1 ZINC001271312407 843487385 /nfs/dbraw/zinc/48/73/85/843487385.db2.gz RVISGHCHQSAPFL-UHFFFAOYSA-N 1 2 304.365 1.188 20 30 DDEDLO N#CCN1CC[C@H]2CN(C(=O)CCCn3cc[nH+]c3)CC[C@H]21 ZINC001272226199 844777920 /nfs/dbraw/zinc/77/79/20/844777920.db2.gz RGNGRZSJZSVOKE-LSDHHAIUSA-N 1 2 301.394 1.110 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H](OC)C1CCCC1 ZINC001326668679 861530167 /nfs/dbraw/zinc/53/01/67/861530167.db2.gz XSHGBYCHNJSJRD-IRXDYDNUSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H](OC)C1CCCC1 ZINC001326668679 861530174 /nfs/dbraw/zinc/53/01/74/861530174.db2.gz XSHGBYCHNJSJRD-IRXDYDNUSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1c[nH]c(=O)cc1OC ZINC001409616007 845435449 /nfs/dbraw/zinc/43/54/49/845435449.db2.gz UEIGSYOEQKCURV-SNVBAGLBSA-N 1 2 313.785 1.598 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1c[nH]c(=O)cc1OC ZINC001409616007 845435453 /nfs/dbraw/zinc/43/54/53/845435453.db2.gz UEIGSYOEQKCURV-SNVBAGLBSA-N 1 2 313.785 1.598 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C)C1C[NH+](Cc2ccncc2Cl)C1 ZINC001409840944 845886682 /nfs/dbraw/zinc/88/66/82/845886682.db2.gz LDIOMNZYXDENFG-GHMZBOCLSA-N 1 2 306.797 1.831 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)COCC[N@H+](Cc1cc(C)n[nH]1)C2 ZINC001272544239 846298727 /nfs/dbraw/zinc/29/87/27/846298727.db2.gz OTYGTLGBSBXPMX-QGZVFWFLSA-N 1 2 318.421 1.345 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)COCC[N@@H+](Cc1cc(C)n[nH]1)C2 ZINC001272544239 846298742 /nfs/dbraw/zinc/29/87/42/846298742.db2.gz OTYGTLGBSBXPMX-QGZVFWFLSA-N 1 2 318.421 1.345 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CO[C@H](C)CC)C1 ZINC001149549125 861702975 /nfs/dbraw/zinc/70/29/75/861702975.db2.gz LSCPFNDPIZOKDW-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CO[C@H](C)CC)C1 ZINC001149549125 861702986 /nfs/dbraw/zinc/70/29/86/861702986.db2.gz LSCPFNDPIZOKDW-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001299254931 846782925 /nfs/dbraw/zinc/78/29/25/846782925.db2.gz UDERMQGNFITZSF-NEPJUHHUSA-N 1 2 304.394 1.175 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H](OC)C2CC2)C1 ZINC001149646093 861777993 /nfs/dbraw/zinc/77/79/93/861777993.db2.gz GRCWNJYFVBJXBW-ZIAGYGMSSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H](OC)C2CC2)C1 ZINC001149646093 861778003 /nfs/dbraw/zinc/77/80/03/861778003.db2.gz GRCWNJYFVBJXBW-ZIAGYGMSSA-N 1 2 316.829 1.371 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1ccncc1F)C2 ZINC001272765161 847393845 /nfs/dbraw/zinc/39/38/45/847393845.db2.gz GAHYBCLCWDWMFX-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1ccncc1F)C2 ZINC001272765161 847393852 /nfs/dbraw/zinc/39/38/52/847393852.db2.gz GAHYBCLCWDWMFX-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3ncccc3F)C2)OCC1=O ZINC001272795785 847438802 /nfs/dbraw/zinc/43/88/02/847438802.db2.gz RAJITKAEZCEDAY-INIZCTEOSA-N 1 2 305.353 1.210 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3ncccc3F)C2)OCC1=O ZINC001272795785 847438808 /nfs/dbraw/zinc/43/88/08/847438808.db2.gz RAJITKAEZCEDAY-INIZCTEOSA-N 1 2 305.353 1.210 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@@H+](Cc3cc(C)n(C)n3)C2)OCC1=O ZINC001272882210 847588206 /nfs/dbraw/zinc/58/82/06/847588206.db2.gz GDEHAOGHNLEWLW-KRWDZBQOSA-N 1 2 318.421 1.108 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@H+](Cc3cc(C)n(C)n3)C2)OCC1=O ZINC001272882210 847588208 /nfs/dbraw/zinc/58/82/08/847588208.db2.gz GDEHAOGHNLEWLW-KRWDZBQOSA-N 1 2 318.421 1.108 20 30 DDEDLO N#Cc1ccccc1C[NH2+]CCNC(=O)c1n[nH]c2ccccc21 ZINC001149687903 861810132 /nfs/dbraw/zinc/81/01/32/861810132.db2.gz YHOFESBVLYHEBN-UHFFFAOYSA-N 1 2 319.368 1.954 20 30 DDEDLO CC[C@H](C)N1C(=O)COCC12C[NH+](Cc1ccc(C#N)cc1)C2 ZINC001272907382 847618634 /nfs/dbraw/zinc/61/86/34/847618634.db2.gz FPNXQELMDIYXFL-AWEZNQCLSA-N 1 2 313.401 1.770 20 30 DDEDLO C#CCC[N@@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746903 861869904 /nfs/dbraw/zinc/86/99/04/861869904.db2.gz XMSVCNYMNIVIHG-GHMZBOCLSA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[N@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746903 861869916 /nfs/dbraw/zinc/86/99/16/861869916.db2.gz XMSVCNYMNIVIHG-GHMZBOCLSA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149746903 861869938 /nfs/dbraw/zinc/86/99/38/861869938.db2.gz XMSVCNYMNIVIHG-GHMZBOCLSA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[N@H+]1CCCO[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149746903 861869959 /nfs/dbraw/zinc/86/99/59/861869959.db2.gz XMSVCNYMNIVIHG-GHMZBOCLSA-N 1 2 310.291 1.117 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3ccncc3Cl)C2)OCC1=O ZINC001273230387 848912634 /nfs/dbraw/zinc/91/26/34/848912634.db2.gz CFJGRQPYUQPFCB-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3ccncc3Cl)C2)OCC1=O ZINC001273230387 848912640 /nfs/dbraw/zinc/91/26/40/848912640.db2.gz CFJGRQPYUQPFCB-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)cn1 ZINC001273325942 849538398 /nfs/dbraw/zinc/53/83/98/849538398.db2.gz SEUXJDOHWKGRTE-IYBDPMFKSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)cn1 ZINC001273325942 849538402 /nfs/dbraw/zinc/53/84/02/849538402.db2.gz SEUXJDOHWKGRTE-IYBDPMFKSA-N 1 2 322.372 1.165 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCOC2(C[NH+](CCCOC)C2)C1 ZINC001327320417 862059141 /nfs/dbraw/zinc/05/91/41/862059141.db2.gz SFCAUROFKHJEHX-UHFFFAOYSA-N 1 2 310.438 1.538 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1COC2(C[NH+](C[C@@H](C)OC)C2)C1 ZINC001327388756 862131638 /nfs/dbraw/zinc/13/16/38/862131638.db2.gz XAJDAAGWBPMTCP-CABCVRRESA-N 1 2 308.422 1.174 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC)CC[NH2+]Cc1ncccn1 ZINC001273615800 851154578 /nfs/dbraw/zinc/15/45/78/851154578.db2.gz MNDRLJGSOPJVMR-KGLIPLIRSA-N 1 2 304.394 1.006 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C(C)(F)F)CC1)CO2 ZINC001273725152 851276229 /nfs/dbraw/zinc/27/62/29/851276229.db2.gz VACWIKFNXUSICV-GFCCVEGCSA-N 1 2 312.360 1.405 20 30 DDEDLO C#Cc1cccc(C[NH+]2CC3(C2)COCC(=O)N3[C@H](C)CC)c1 ZINC001273791903 851346269 /nfs/dbraw/zinc/34/62/69/851346269.db2.gz CALQVNMMNZVTSN-OAHLLOKOSA-N 1 2 312.413 1.880 20 30 DDEDLO C=C(C)CCC(=O)N1CCN(C2C[NH+](C[C@@H](F)CC)C2)CC1 ZINC001274474406 852309066 /nfs/dbraw/zinc/30/90/66/852309066.db2.gz MGUZVKLUYZDUEK-HNNXBMFYSA-N 1 2 311.445 1.919 20 30 DDEDLO C=CCC[C@H](O)C[NH+]1CC2(C1)CN(C1CCCC1)C(=O)CO2 ZINC001274621640 852452914 /nfs/dbraw/zinc/45/29/14/852452914.db2.gz WELDNKHTNLWDDQ-HNNXBMFYSA-N 1 2 308.422 1.169 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)COCCN(c1cccc[nH+]1)C2 ZINC001274739318 852567166 /nfs/dbraw/zinc/56/71/66/852567166.db2.gz FWOYNKZIVAVMPK-QGZVFWFLSA-N 1 2 314.389 1.441 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3CN(Cc4cn[nH]c4)C[C@@H](C2)O3)cc1 ZINC001275829092 853830670 /nfs/dbraw/zinc/83/06/70/853830670.db2.gz PPAHUFQOPQPXBY-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3CN(Cc4cn[nH]c4)C[C@@H](C2)O3)cc1 ZINC001275829092 853830677 /nfs/dbraw/zinc/83/06/77/853830677.db2.gz PPAHUFQOPQPXBY-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2nnnn2C)CC1 ZINC001280756344 853888398 /nfs/dbraw/zinc/88/83/98/853888398.db2.gz JOZJWYQFZDEHQO-ZDUSSCGKSA-N 1 2 306.414 1.037 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCC[N@H+](Cc2nnnn2C)CC1 ZINC001280756344 853888407 /nfs/dbraw/zinc/88/84/07/853888407.db2.gz JOZJWYQFZDEHQO-ZDUSSCGKSA-N 1 2 306.414 1.037 20 30 DDEDLO Cc1[nH]c([C@H]2CCCN2C(=O)[C@@H](C)n2cnc(C#N)n2)[nH+]c1C ZINC001412603707 854796361 /nfs/dbraw/zinc/79/63/61/854796361.db2.gz IGBRZAVGMRXRBX-VXGBXAGGSA-N 1 2 313.365 1.414 20 30 DDEDLO CN(CCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)c1ccncc1C#N ZINC001095772432 855336251 /nfs/dbraw/zinc/33/62/51/855336251.db2.gz VLMQLUHIDQQZCW-ZIAGYGMSSA-N 1 2 324.388 1.423 20 30 DDEDLO CN(CCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)c1ccncc1C#N ZINC001095772432 855336256 /nfs/dbraw/zinc/33/62/56/855336256.db2.gz VLMQLUHIDQQZCW-ZIAGYGMSSA-N 1 2 324.388 1.423 20 30 DDEDLO Cc1noc(C[NH2+]C[C@@H]2CCCCN2C(=O)C#CC(C)(C)C)n1 ZINC001328613538 863107269 /nfs/dbraw/zinc/10/72/69/863107269.db2.gz TYGJMFFHBRYXPR-AWEZNQCLSA-N 1 2 318.421 1.898 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001057947620 857155342 /nfs/dbraw/zinc/15/53/42/857155342.db2.gz HMKNKRPQDZOJRN-CABCVRRESA-N 1 2 316.405 1.461 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]CCCNC(=O)c2cc(C#N)c[nH]2)no1 ZINC001156282308 862901180 /nfs/dbraw/zinc/90/11/80/862901180.db2.gz RDDVLYQYJFUZFZ-SECBINFHSA-N 1 2 302.338 1.049 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072605654 857505674 /nfs/dbraw/zinc/50/56/74/857505674.db2.gz INJAIIKYNANSEG-UONOGXRCSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001072631607 857535284 /nfs/dbraw/zinc/53/52/84/857535284.db2.gz BNQPMZONPDBSGV-UKRRQHHQSA-N 1 2 318.421 1.282 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)c1ccc2ncnc(Cl)c2n1 ZINC001156320074 862931616 /nfs/dbraw/zinc/93/16/16/862931616.db2.gz PIPZLPXPDLESIY-QMMMGPOBSA-N 1 2 324.722 1.525 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)c1cc(Cl)nc(=S)[nH]1 ZINC001156327637 862939417 /nfs/dbraw/zinc/93/94/17/862939417.db2.gz IUKOHQCWSWUYDR-LURJTMIESA-N 1 2 305.741 1.265 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2cnc(N(C)C)nc2)C1 ZINC001138353989 860071430 /nfs/dbraw/zinc/07/14/30/860071430.db2.gz POCQRTWLXJDQLJ-AWEZNQCLSA-N 1 2 319.409 1.419 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2cnc(N(C)C)nc2)C1 ZINC001138353989 860071433 /nfs/dbraw/zinc/07/14/33/860071433.db2.gz POCQRTWLXJDQLJ-AWEZNQCLSA-N 1 2 319.409 1.419 20 30 DDEDLO CNc1nccc(C[NH+]2CCN(c3cccc(C#N)c3)CC2)n1 ZINC001140047712 860563240 /nfs/dbraw/zinc/56/32/40/860563240.db2.gz QZORALNHMIJEHQ-UHFFFAOYSA-N 1 2 308.389 1.712 20 30 DDEDLO CNC(=O)NC1CC[NH+](Cc2cc(F)c(C#N)c(F)c2)CC1 ZINC001140755709 860692784 /nfs/dbraw/zinc/69/27/84/860692784.db2.gz PRKPPJROCPXEPB-UHFFFAOYSA-N 1 2 308.332 1.730 20 30 DDEDLO C[N@H+](C1COC1)C1CN(Cc2sc(N)c(C#N)c2Cl)C1 ZINC001141114943 860787694 /nfs/dbraw/zinc/78/76/94/860787694.db2.gz ZVSVKRGQEMEKCJ-UHFFFAOYSA-N 1 2 312.826 1.370 20 30 DDEDLO C[N@@H+](C1COC1)C1CN(Cc2sc(N)c(C#N)c2Cl)C1 ZINC001141114943 860787697 /nfs/dbraw/zinc/78/76/97/860787697.db2.gz ZVSVKRGQEMEKCJ-UHFFFAOYSA-N 1 2 312.826 1.370 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@H+](Cc2sc(N)c(C#N)c2Cl)C1 ZINC001141111915 860787797 /nfs/dbraw/zinc/78/77/97/860787797.db2.gz IHTRQIGZAVQXPG-VIFPVBQESA-N 1 2 312.826 1.956 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@@H+](Cc2sc(N)c(C#N)c2Cl)C1 ZINC001141111915 860787798 /nfs/dbraw/zinc/78/77/98/860787798.db2.gz IHTRQIGZAVQXPG-VIFPVBQESA-N 1 2 312.826 1.956 20 30 DDEDLO CN1CCO[C@@]2(CCC[N@H+](Cc3cccc(C#N)c3F)C2)C1 ZINC001277007595 881596645 /nfs/dbraw/zinc/59/66/45/881596645.db2.gz WUQCMKFGAORXON-KRWDZBQOSA-N 1 2 303.381 1.994 20 30 DDEDLO CN1CCO[C@@]2(CCC[N@@H+](Cc3cccc(C#N)c3F)C2)C1 ZINC001277007595 881596651 /nfs/dbraw/zinc/59/66/51/881596651.db2.gz WUQCMKFGAORXON-KRWDZBQOSA-N 1 2 303.381 1.994 20 30 DDEDLO CSc1nc(CN2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)ccc1C#N ZINC001141933969 860972576 /nfs/dbraw/zinc/97/25/76/860972576.db2.gz BOLBDTZAXWTTRR-KGLIPLIRSA-N 1 2 304.419 1.190 20 30 DDEDLO CSc1nc(CN2C[C@@H]3[C@H](C2)OCC[N@H+]3C)ccc1C#N ZINC001141933969 860972584 /nfs/dbraw/zinc/97/25/84/860972584.db2.gz BOLBDTZAXWTTRR-KGLIPLIRSA-N 1 2 304.419 1.190 20 30 DDEDLO N#Cc1ccc2[nH]c(C(=O)N[C@@H](CO)Cc3c[nH]c[nH+]3)cc2c1 ZINC001156461643 863072303 /nfs/dbraw/zinc/07/23/03/863072303.db2.gz TYUATTGWLUQNGZ-CYBMUJFWSA-N 1 2 309.329 1.096 20 30 DDEDLO N#Cc1ccc2[nH]c(C(=O)N[C@@H](CO)Cc3c[nH+]c[nH]3)cc2c1 ZINC001156461643 863072316 /nfs/dbraw/zinc/07/23/16/863072316.db2.gz TYUATTGWLUQNGZ-CYBMUJFWSA-N 1 2 309.329 1.096 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]CCCNC(=O)c2ccc(C#N)[nH]2)no1 ZINC001156691210 863266062 /nfs/dbraw/zinc/26/60/62/863266062.db2.gz LHVOLISZVSXVKD-VIFPVBQESA-N 1 2 302.338 1.049 20 30 DDEDLO CC(C)c1nc(C[NH2+]CCCNC(=O)c2c[nH]c(C#N)c2)no1 ZINC001156841324 863390143 /nfs/dbraw/zinc/39/01/43/863390143.db2.gz HVBHXJBHFJRBRX-UHFFFAOYSA-N 1 2 316.365 1.302 20 30 DDEDLO C=C[C@H]1C[C@]1(NC(=O)c1ccc2[nH+]c(N)[nH]c2c1)C(=O)OCC ZINC001156979738 863495057 /nfs/dbraw/zinc/49/50/57/863495057.db2.gz ZQJWCIVYOWAOJN-MGPLVRAMSA-N 1 2 314.345 1.383 20 30 DDEDLO CC[N@H+](Cc1ncc(C)o1)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152790554 863541074 /nfs/dbraw/zinc/54/10/74/863541074.db2.gz SRBLENAVLBSNDH-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO CC[N@@H+](Cc1ncc(C)o1)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152790554 863541078 /nfs/dbraw/zinc/54/10/78/863541078.db2.gz SRBLENAVLBSNDH-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001157202870 863650563 /nfs/dbraw/zinc/65/05/63/863650563.db2.gz YWGWZZQMTBAJMO-INIZCTEOSA-N 1 2 322.409 1.260 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+]Cc1nnc(C(F)F)s1 ZINC001153086258 863702078 /nfs/dbraw/zinc/70/20/78/863702078.db2.gz UCPIQROKVNGVAN-UHFFFAOYSA-N 1 2 320.365 1.274 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+][C@@H](C)c1nnc(CC(C)C)o1 ZINC001153091542 863705761 /nfs/dbraw/zinc/70/57/61/863705761.db2.gz SCYGFXWWCNGHNA-ZDUSSCGKSA-N 1 2 324.425 1.628 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@@H]2CCCC(F)(F)C2)C1 ZINC001329693822 863795592 /nfs/dbraw/zinc/79/55/92/863795592.db2.gz LMYKGZFQHFMKNY-CYBMUJFWSA-N 1 2 316.392 1.941 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@H](C)C(CC)CC)C1 ZINC001330020221 864024116 /nfs/dbraw/zinc/02/41/16/864024116.db2.gz HGEWTDKYDPQTOJ-ZIAGYGMSSA-N 1 2 321.465 1.245 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](CCC)C(C)C)C2)C1 ZINC001330161586 864114076 /nfs/dbraw/zinc/11/40/76/864114076.db2.gz XNGLVAAZRMXRKE-WMZOPIPTSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](CCC)C(C)C)C2)C1 ZINC001330161586 864114081 /nfs/dbraw/zinc/11/40/81/864114081.db2.gz XNGLVAAZRMXRKE-WMZOPIPTSA-N 1 2 306.450 1.995 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3ncnn3CC)C[C@H]21 ZINC001330275048 864203637 /nfs/dbraw/zinc/20/36/37/864203637.db2.gz IXYCFOMGAQSAEC-ZSOGYDGISA-N 1 2 303.410 1.058 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3ncnn3CC)C[C@H]21 ZINC001330275048 864203640 /nfs/dbraw/zinc/20/36/40/864203640.db2.gz IXYCFOMGAQSAEC-ZSOGYDGISA-N 1 2 303.410 1.058 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3cnon3)C[C@H]21 ZINC001330340742 864253124 /nfs/dbraw/zinc/25/31/24/864253124.db2.gz UYSZQDPCWZPVOI-AYDFFVQHSA-N 1 2 304.394 1.466 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3cnon3)C[C@H]21 ZINC001330340742 864253142 /nfs/dbraw/zinc/25/31/42/864253142.db2.gz UYSZQDPCWZPVOI-AYDFFVQHSA-N 1 2 304.394 1.466 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)C2(c3cc(F)cc(C#N)c3)CC2)c1 ZINC001361489595 881752133 /nfs/dbraw/zinc/75/21/33/881752133.db2.gz CILWBYLGCQPGLL-UHFFFAOYSA-N 1 2 312.348 1.821 20 30 DDEDLO C=CC[C@H]([NH2+]C1CC(n2cc([N+](=O)[O-])cn2)C1)C(=O)OCC ZINC001330622094 864492387 /nfs/dbraw/zinc/49/23/87/864492387.db2.gz OZDVFXFJKOBNJB-XIVSLSHWSA-N 1 2 308.338 1.592 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](CO)[NH2+]Cc1csc(C)n1 ZINC001331787126 865327703 /nfs/dbraw/zinc/32/77/03/865327703.db2.gz GKPXQVVZTJLCJX-SWLSCSKDSA-N 1 2 311.451 1.621 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@@H+](Cc2nccs2)CC1 ZINC001159576139 865407779 /nfs/dbraw/zinc/40/77/79/865407779.db2.gz LWISVLVTOUFMSI-UHFFFAOYSA-N 1 2 321.446 1.984 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@H+](Cc2nccs2)CC1 ZINC001159576139 865407771 /nfs/dbraw/zinc/40/77/71/865407771.db2.gz LWISVLVTOUFMSI-UHFFFAOYSA-N 1 2 321.446 1.984 20 30 DDEDLO C#CCC1(C(=O)NCCC[NH2+]Cc2noc(CCC)n2)CCC1 ZINC001159638073 865445269 /nfs/dbraw/zinc/44/52/69/865445269.db2.gz IEYPILDJWJZRIW-UHFFFAOYSA-N 1 2 318.421 1.812 20 30 DDEDLO Cc1noc(C[N@H+]2CC=C(CCNC(=O)C#CC(C)C)CC2)n1 ZINC001160054987 865656831 /nfs/dbraw/zinc/65/68/31/865656831.db2.gz PLMROYKGKHQUEB-UHFFFAOYSA-N 1 2 316.405 1.676 20 30 DDEDLO Cc1noc(C[N@@H+]2CC=C(CCNC(=O)C#CC(C)C)CC2)n1 ZINC001160054987 865656836 /nfs/dbraw/zinc/65/68/36/865656836.db2.gz PLMROYKGKHQUEB-UHFFFAOYSA-N 1 2 316.405 1.676 20 30 DDEDLO COc1nc(N[C@@H]2CCC[C@@H]2[NH+]2CCOCC2)c(F)cc1C#N ZINC001160726352 866076167 /nfs/dbraw/zinc/07/61/67/866076167.db2.gz SDORBYVOYZFRAW-KGLIPLIRSA-N 1 2 320.368 1.766 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001323292463 866505793 /nfs/dbraw/zinc/50/57/93/866505793.db2.gz FIAPNZCLDBMRFP-LSDHHAIUSA-N 1 2 307.438 1.543 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001323292463 866505802 /nfs/dbraw/zinc/50/58/02/866505802.db2.gz FIAPNZCLDBMRFP-LSDHHAIUSA-N 1 2 307.438 1.543 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2ccsc2[C@@H]1C ZINC001333259956 866567710 /nfs/dbraw/zinc/56/77/10/866567710.db2.gz WXOAFZGFFGYPCP-JQWIXIFHSA-N 1 2 308.403 1.640 20 30 DDEDLO C=CCCC(=O)N1CC[C@]2(CC[N@@H+](Cc3nnc(C)o3)C2)C1 ZINC001323844387 866886739 /nfs/dbraw/zinc/88/67/39/866886739.db2.gz KHWSXSJTRQSJCW-INIZCTEOSA-N 1 2 304.394 1.769 20 30 DDEDLO C=CCCC(=O)N1CC[C@]2(CC[N@H+](Cc3nnc(C)o3)C2)C1 ZINC001323844387 866886752 /nfs/dbraw/zinc/88/67/52/866886752.db2.gz KHWSXSJTRQSJCW-INIZCTEOSA-N 1 2 304.394 1.769 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cccnc2N(C)C)C1 ZINC001324017829 867012811 /nfs/dbraw/zinc/01/28/11/867012811.db2.gz OTIAOWAPYLBTNQ-UHFFFAOYSA-N 1 2 318.421 1.106 20 30 DDEDLO C#CCCCCC(=O)N[C@H](C)[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001333887013 867119057 /nfs/dbraw/zinc/11/90/57/867119057.db2.gz NPKKQSWMEPAAGB-OLZOCXBDSA-N 1 2 318.421 1.464 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNc1nc(Cl)cc(Cl)c1C#N ZINC001161951087 867135591 /nfs/dbraw/zinc/13/55/91/867135591.db2.gz XADPKZSZGBHXAQ-MRVPVSSYSA-N 1 2 303.149 1.562 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC/C=C/C[NH2+]Cc1nocc1C ZINC001321362624 867527482 /nfs/dbraw/zinc/52/74/82/867527482.db2.gz BSCGTDMAKFIJPN-VOTSOKGWSA-N 1 2 323.396 1.969 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC/C=C/C[NH2+]Cc1coc(C)n1 ZINC001321363192 867528255 /nfs/dbraw/zinc/52/82/55/867528255.db2.gz LGBXUIBVDDXAGI-VOTSOKGWSA-N 1 2 323.396 1.969 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001334544021 867656807 /nfs/dbraw/zinc/65/68/07/867656807.db2.gz ICCDRHAJWSDJKE-BFHYXJOUSA-N 1 2 318.421 1.453 20 30 DDEDLO C#CCCCC(=O)NC[C@]1(O)CC[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001325080883 867753904 /nfs/dbraw/zinc/75/39/04/867753904.db2.gz CZBUFXMQFWPXGO-QGZVFWFLSA-N 1 2 319.405 1.148 20 30 DDEDLO C#CCCCC(=O)NC[C@]1(O)CC[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001325080883 867753908 /nfs/dbraw/zinc/75/39/08/867753908.db2.gz CZBUFXMQFWPXGO-QGZVFWFLSA-N 1 2 319.405 1.148 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]([NH3+])CNc1nc(Cl)c(C#N)cc1C#N ZINC001162850007 867869489 /nfs/dbraw/zinc/86/94/89/867869489.db2.gz QBQKJXGWWLJOCV-JTQLQIEISA-N 1 2 321.768 1.559 20 30 DDEDLO C#C[C@@H]1CCCCN1C(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC001336540658 869003231 /nfs/dbraw/zinc/00/32/31/869003231.db2.gz OXUGLEWHRSLQNO-ZIAGYGMSSA-N 1 2 314.389 1.130 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCC1(C[NH2+]Cc2nnn(C)n2)CC1 ZINC001165215313 869440846 /nfs/dbraw/zinc/44/08/46/869440846.db2.gz QWKZJBMGCWIPRX-UHFFFAOYSA-N 1 2 320.441 1.189 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCCNC(=O)c2[nH]ncc2F)s1 ZINC001166121615 869847536 /nfs/dbraw/zinc/84/75/36/869847536.db2.gz VCSBBHQLEYSYEL-UHFFFAOYSA-N 1 2 307.354 1.392 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)Cc2ccc(C)s2)CC1 ZINC001316967794 870009269 /nfs/dbraw/zinc/00/92/69/870009269.db2.gz LXVVAAMEGBGOLE-UHFFFAOYSA-N 1 2 319.474 1.356 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@@H]2C[C@@H](O)C[N@@H+]2C)n1C ZINC001338585517 870056369 /nfs/dbraw/zinc/05/63/69/870056369.db2.gz ZPTPSVJFCUDUDS-MCIONIFRSA-N 1 2 305.426 1.488 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@@H]2C[C@@H](O)C[N@H+]2C)n1C ZINC001338585517 870056380 /nfs/dbraw/zinc/05/63/80/870056380.db2.gz ZPTPSVJFCUDUDS-MCIONIFRSA-N 1 2 305.426 1.488 20 30 DDEDLO Cc1nc(N2CCN(c3nccnc3C#N)CC2)cc(C(C)C)[nH+]1 ZINC001166916199 870367836 /nfs/dbraw/zinc/36/78/36/870367836.db2.gz RRJNZBLYXAUVPE-UHFFFAOYSA-N 1 2 323.404 1.897 20 30 DDEDLO CCCC(C)(C)C(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001317177574 870422135 /nfs/dbraw/zinc/42/21/35/870422135.db2.gz IJPOONKAOAIPNE-CQSZACIVSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC(C)(C)C(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001317177574 870422149 /nfs/dbraw/zinc/42/21/49/870422149.db2.gz IJPOONKAOAIPNE-CQSZACIVSA-N 1 2 322.453 1.423 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001317202785 870459941 /nfs/dbraw/zinc/45/99/41/870459941.db2.gz WDGKETCBLDEEKH-UONOGXRCSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001317202785 870459952 /nfs/dbraw/zinc/45/99/52/870459952.db2.gz WDGKETCBLDEEKH-UONOGXRCSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C[C@@H](O)c1nnc(N2CCc3cc[nH+]c(N(C)C)c3C2)n1C ZINC001339527992 870541030 /nfs/dbraw/zinc/54/10/30/870541030.db2.gz ZOUBEOGFCTVXSB-CYBMUJFWSA-N 1 2 314.393 1.058 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1cn(CC)nn1 ZINC001317499242 870924021 /nfs/dbraw/zinc/92/40/21/870924021.db2.gz ZYUPGJDILGCFBZ-INIZCTEOSA-N 1 2 317.437 1.572 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1cn(CC)nn1 ZINC001317499242 870924027 /nfs/dbraw/zinc/92/40/27/870924027.db2.gz ZYUPGJDILGCFBZ-INIZCTEOSA-N 1 2 317.437 1.572 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1CC[N@H+](Cc2cnn(CC)n2)C1 ZINC001317536156 871020105 /nfs/dbraw/zinc/02/01/05/871020105.db2.gz JRUKFQKPGBSEDY-CABCVRRESA-N 1 2 319.453 1.838 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1CC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001317536156 871020120 /nfs/dbraw/zinc/02/01/20/871020120.db2.gz JRUKFQKPGBSEDY-CABCVRRESA-N 1 2 319.453 1.838 20 30 DDEDLO C=CC1CCN(c2nnc(C[N@@H+]3CCC[C@@H](O)C3)n2CC)CC1 ZINC001340318555 871031150 /nfs/dbraw/zinc/03/11/50/871031150.db2.gz MESGUNPEYJCNAG-OAHLLOKOSA-N 1 2 319.453 1.657 20 30 DDEDLO C=CC1CCN(c2nnc(C[N@H+]3CCC[C@@H](O)C3)n2CC)CC1 ZINC001340318555 871031169 /nfs/dbraw/zinc/03/11/69/871031169.db2.gz MESGUNPEYJCNAG-OAHLLOKOSA-N 1 2 319.453 1.657 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccsc3)n2CC)CC1 ZINC001340322380 871034186 /nfs/dbraw/zinc/03/41/86/871034186.db2.gz HLFLZPANCLBBQL-UHFFFAOYSA-N 1 2 301.419 1.782 20 30 DDEDLO CC(C)CCc1noc(C[NH2+]CCN(C)C(=O)[C@H](C)C#N)n1 ZINC001317573170 871112887 /nfs/dbraw/zinc/11/28/87/871112887.db2.gz MJBOSJSXTNFPCU-GFCCVEGCSA-N 1 2 307.398 1.366 20 30 DDEDLO C=CCCC(=O)NC1CC[NH+](CC(=O)NCc2ccco2)CC1 ZINC001226527431 882486009 /nfs/dbraw/zinc/48/60/09/882486009.db2.gz JZYKVHUIRRLEJH-UHFFFAOYSA-N 1 2 319.405 1.443 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CC(=O)N(C)CC)C1 ZINC001317740911 871457029 /nfs/dbraw/zinc/45/70/29/871457029.db2.gz TYFVYUDMSCERNU-GASCZTMLSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CC(=O)N(C)CC)C1 ZINC001317740911 871457049 /nfs/dbraw/zinc/45/70/49/871457049.db2.gz TYFVYUDMSCERNU-GASCZTMLSA-N 1 2 319.449 1.496 20 30 DDEDLO COc1ccc(OS(=O)(=O)CCn2cc[nH+]c2)cc1C#N ZINC001309439521 871573216 /nfs/dbraw/zinc/57/32/16/871573216.db2.gz QKKYKEXQDJRSLX-UHFFFAOYSA-N 1 2 307.331 1.172 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](C)C2CCCC2)C1 ZINC001317950153 871647835 /nfs/dbraw/zinc/64/78/35/871647835.db2.gz UUIKJHHWUDCTFN-GOEBONIOSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](C)C2CCCC2)C1 ZINC001317950153 871647837 /nfs/dbraw/zinc/64/78/37/871647837.db2.gz UUIKJHHWUDCTFN-GOEBONIOSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1C[NH+](CCOC2CCCCCC2)C1 ZINC001318024962 871696104 /nfs/dbraw/zinc/69/61/04/871696104.db2.gz QKMJJUSOZSKZBB-OAHLLOKOSA-N 1 2 322.449 1.565 20 30 DDEDLO Cc1nc(C[N@@H+](C)CCOCCN(C)C(=O)[C@H](C)C#N)co1 ZINC001316761584 871832862 /nfs/dbraw/zinc/83/28/62/871832862.db2.gz OJFBUEPCSHKKLV-GFCCVEGCSA-N 1 2 308.382 1.050 20 30 DDEDLO Cc1nc(C[N@H+](C)CCOCCN(C)C(=O)[C@H](C)C#N)co1 ZINC001316761584 871832870 /nfs/dbraw/zinc/83/28/70/871832870.db2.gz OJFBUEPCSHKKLV-GFCCVEGCSA-N 1 2 308.382 1.050 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccns2)C[C@H]1NC(=O)CSCC#N ZINC001206096268 872055143 /nfs/dbraw/zinc/05/51/43/872055143.db2.gz OUEOCKUTGABVEA-ZYHUDNBSSA-N 1 2 310.448 1.336 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccns2)C[C@H]1NC(=O)CSCC#N ZINC001206096268 872055167 /nfs/dbraw/zinc/05/51/67/872055167.db2.gz OUEOCKUTGABVEA-ZYHUDNBSSA-N 1 2 310.448 1.336 20 30 DDEDLO CC[C@@H](C)CCC(=O)N(CC)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318483890 872107519 /nfs/dbraw/zinc/10/75/19/872107519.db2.gz HNFREMJQBVGRKV-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO CC[C@@H](C)CCC(=O)N(CC)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318483890 872107539 /nfs/dbraw/zinc/10/75/39/872107539.db2.gz HNFREMJQBVGRKV-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO C=CCC1(C(=O)NCCC[N@@H+](C)Cc2cnon2)CCOCC1 ZINC001316864323 872177910 /nfs/dbraw/zinc/17/79/10/872177910.db2.gz GQGRXCDXBSRAPV-UHFFFAOYSA-N 1 2 322.409 1.381 20 30 DDEDLO C=CCC1(C(=O)NCCC[N@H+](C)Cc2cnon2)CCOCC1 ZINC001316864323 872177915 /nfs/dbraw/zinc/17/79/15/872177915.db2.gz GQGRXCDXBSRAPV-UHFFFAOYSA-N 1 2 322.409 1.381 20 30 DDEDLO C[C@@H]1CCCC[C@]1(CNCC#N)NC(=O)CCCn1cc[nH+]c1 ZINC001318757673 872249050 /nfs/dbraw/zinc/24/90/50/872249050.db2.gz ITQJXCRYRRQRPW-NVXWUHKLSA-N 1 2 317.437 1.842 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nocc2C)C[C@H]1C ZINC001206429394 872311442 /nfs/dbraw/zinc/31/14/42/872311442.db2.gz ZUNBHCLPTOEXTG-BPLDGKMQSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nocc2C)C[C@H]1C ZINC001206429394 872311455 /nfs/dbraw/zinc/31/14/55/872311455.db2.gz ZUNBHCLPTOEXTG-BPLDGKMQSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](CC)OC)C1 ZINC001206450668 872334724 /nfs/dbraw/zinc/33/47/24/872334724.db2.gz ZBNHRFYIVNDOJX-JLLWLGSASA-N 1 2 319.243 1.757 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](CC)OC)C1 ZINC001206450668 872334749 /nfs/dbraw/zinc/33/47/49/872334749.db2.gz ZBNHRFYIVNDOJX-JLLWLGSASA-N 1 2 319.243 1.757 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(CCNC(=O)C#CC3CC3)CC2)[nH]1 ZINC001319018574 872399446 /nfs/dbraw/zinc/39/94/46/872399446.db2.gz ACNDRAHYPPNMJM-UHFFFAOYSA-N 1 2 315.421 1.245 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C1CC[NH+](Cc2coc(C)n2)CC1 ZINC001316931258 872405766 /nfs/dbraw/zinc/40/57/66/872405766.db2.gz AEGDYYHBKLMEJW-QGZVFWFLSA-N 1 2 321.421 1.733 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ncoc2C(C)C)C[C@H]1C ZINC001206614594 872477674 /nfs/dbraw/zinc/47/76/74/872477674.db2.gz WKXYCOPLYAUTNE-ZIAGYGMSSA-N 1 2 321.421 1.937 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ncoc2C(C)C)C[C@H]1C ZINC001206614594 872477677 /nfs/dbraw/zinc/47/76/77/872477677.db2.gz WKXYCOPLYAUTNE-ZIAGYGMSSA-N 1 2 321.421 1.937 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)C2C=CC=CC=C2)C1 ZINC001319312042 872564491 /nfs/dbraw/zinc/56/44/91/872564491.db2.gz YCMDVUNHKZBSRE-QGZVFWFLSA-N 1 2 318.417 1.304 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)C2C=CC=CC=C2)C1 ZINC001319312042 872564505 /nfs/dbraw/zinc/56/45/05/872564505.db2.gz YCMDVUNHKZBSRE-QGZVFWFLSA-N 1 2 318.417 1.304 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nc(CC)no2)C[C@H]1C ZINC001206912651 872752152 /nfs/dbraw/zinc/75/21/52/872752152.db2.gz WFIPKGMQRJARBE-MGPQQGTHSA-N 1 2 318.421 1.933 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nc(CC)no2)C[C@H]1C ZINC001206912651 872752159 /nfs/dbraw/zinc/75/21/59/872752159.db2.gz WFIPKGMQRJARBE-MGPQQGTHSA-N 1 2 318.421 1.933 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCCC2)nnc1N(C)CCOC ZINC001343772600 872753838 /nfs/dbraw/zinc/75/38/38/872753838.db2.gz WMHQXSSZQHMRAT-UHFFFAOYSA-N 1 2 307.442 1.923 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncnc4cc(F)cc(F)c43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226823153 882671844 /nfs/dbraw/zinc/67/18/44/882671844.db2.gz SCUYQOHPWXLHMY-QCRWRKDUSA-N 1 2 319.311 1.899 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncnc4cc(F)cc(F)c43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226823153 882671851 /nfs/dbraw/zinc/67/18/51/882671851.db2.gz SCUYQOHPWXLHMY-QCRWRKDUSA-N 1 2 319.311 1.899 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001207636720 873430656 /nfs/dbraw/zinc/43/06/56/873430656.db2.gz WZMQVGCHPUSAAT-ZDUSSCGKSA-N 1 2 304.394 1.994 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@H]2CCCN(C(=O)C#CC(C)C)C2)n1 ZINC001207992356 873722380 /nfs/dbraw/zinc/72/23/80/873722380.db2.gz JQOXTAAOPJHJMR-KBPBESRZSA-N 1 2 318.421 1.933 20 30 DDEDLO COCC#CC[NH2+][C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001208083053 873818786 /nfs/dbraw/zinc/81/87/86/873818786.db2.gz AVFHEEPMQTXLMW-WDEREUQCSA-N 1 2 310.291 1.117 20 30 DDEDLO COCC#CC[NH2+][C@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001208083053 873818797 /nfs/dbraw/zinc/81/87/97/873818797.db2.gz AVFHEEPMQTXLMW-WDEREUQCSA-N 1 2 310.291 1.117 20 30 DDEDLO C=C[C@H](C(=O)N(C)C[C@H](O)C[NH+]1CCOCC1)c1ccccc1 ZINC001348091893 874356968 /nfs/dbraw/zinc/35/69/68/874356968.db2.gz ZLEGEBBCQAFNJT-IRXDYDNUSA-N 1 2 318.417 1.108 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2ccns2)C(C)(C)C1 ZINC001276586471 874451952 /nfs/dbraw/zinc/45/19/52/874451952.db2.gz JRESCMRZDBHKPL-CYBMUJFWSA-N 1 2 307.419 1.233 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2ccns2)C(C)(C)C1 ZINC001276586471 874451960 /nfs/dbraw/zinc/45/19/60/874451960.db2.gz JRESCMRZDBHKPL-CYBMUJFWSA-N 1 2 307.419 1.233 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001227107276 882838231 /nfs/dbraw/zinc/83/82/31/882838231.db2.gz AYMBPYRPMPWDQA-AWEZNQCLSA-N 1 2 300.406 1.922 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+](Cc2ccn(CC)n2)CC1 ZINC001227124682 882855635 /nfs/dbraw/zinc/85/56/35/882855635.db2.gz XDKIBMNPLFAMKC-KRWDZBQOSA-N 1 2 320.437 1.311 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C2(C(=O)N(C)C)CC2)C1 ZINC001210186217 875260305 /nfs/dbraw/zinc/26/03/05/875260305.db2.gz PWNAYWFJTHJGIC-ZYHUDNBSSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C2(C(=O)N(C)C)CC2)C1 ZINC001210186217 875260319 /nfs/dbraw/zinc/26/03/19/875260319.db2.gz PWNAYWFJTHJGIC-ZYHUDNBSSA-N 1 2 313.829 1.044 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)cn1 ZINC001378635085 875282263 /nfs/dbraw/zinc/28/22/63/875282263.db2.gz HMEGUCFALIGOCW-RISCZKNCSA-N 1 2 301.394 1.271 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)cn1 ZINC001378635085 875282275 /nfs/dbraw/zinc/28/22/75/875282275.db2.gz HMEGUCFALIGOCW-RISCZKNCSA-N 1 2 301.394 1.271 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2csc(C)n2)C[C@H]1C ZINC001211427693 875804606 /nfs/dbraw/zinc/80/46/06/875804606.db2.gz MJYBIUBXYYWDCX-TYNCELHUSA-N 1 2 323.462 1.979 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2csc(C)n2)C[C@H]1C ZINC001211427693 875804622 /nfs/dbraw/zinc/80/46/22/875804622.db2.gz MJYBIUBXYYWDCX-TYNCELHUSA-N 1 2 323.462 1.979 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213322100 875897055 /nfs/dbraw/zinc/89/70/55/875897055.db2.gz ATKPBZWBOZIDJC-NUEKZKHPSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213322100 875897065 /nfs/dbraw/zinc/89/70/65/875897065.db2.gz ATKPBZWBOZIDJC-NUEKZKHPSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213390046 875917009 /nfs/dbraw/zinc/91/70/09/875917009.db2.gz PCGIURQKVJOKJM-FRFSOERESA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213390046 875917017 /nfs/dbraw/zinc/91/70/17/875917017.db2.gz PCGIURQKVJOKJM-FRFSOERESA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CN(Cc2c[nH+]cn2C)C[C@H]1OC ZINC001213390353 875917042 /nfs/dbraw/zinc/91/70/42/875917042.db2.gz YUSQXKYRCWSZIN-BFYDXBDKSA-N 1 2 320.437 1.338 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](F)Cc2ccccc2)[C@H](OC)C1 ZINC001213910279 876099913 /nfs/dbraw/zinc/09/99/13/876099913.db2.gz QJSMTTGIUUGFAP-ARFHVFGLSA-N 1 2 304.365 1.016 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](F)Cc2ccccc2)[C@H](OC)C1 ZINC001213910279 876099921 /nfs/dbraw/zinc/09/99/21/876099921.db2.gz QJSMTTGIUUGFAP-ARFHVFGLSA-N 1 2 304.365 1.016 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(C(F)(F)F)CCCC2)[C@H](OC)C1 ZINC001213990201 876123377 /nfs/dbraw/zinc/12/33/77/876123377.db2.gz CJWSLWRNOJWVGX-VXGBXAGGSA-N 1 2 318.339 1.558 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(C(F)(F)F)CCCC2)[C@H](OC)C1 ZINC001213990201 876123401 /nfs/dbraw/zinc/12/34/01/876123401.db2.gz CJWSLWRNOJWVGX-VXGBXAGGSA-N 1 2 318.339 1.558 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(C)nc3)n2CC)CC1 ZINC001352295241 876682434 /nfs/dbraw/zinc/68/24/34/876682434.db2.gz WBOZSVOBWNWEQC-UHFFFAOYSA-N 1 2 310.405 1.424 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001352974524 877052108 /nfs/dbraw/zinc/05/21/08/877052108.db2.gz YNEGCSOODCIFAS-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cncs3)C[C@@H]21 ZINC001217504187 877215026 /nfs/dbraw/zinc/21/50/26/877215026.db2.gz WCRBQSYFSCXOTO-UONOGXRCSA-N 1 2 307.419 1.521 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cncs3)C[C@@H]21 ZINC001217504187 877215013 /nfs/dbraw/zinc/21/50/13/877215013.db2.gz WCRBQSYFSCXOTO-UONOGXRCSA-N 1 2 307.419 1.521 20 30 DDEDLO C[C@@H](NC(=O)c1ncc(C#N)cc1Cl)[C@@H]1C[N@H+](C)CCO1 ZINC001416812567 877383427 /nfs/dbraw/zinc/38/34/27/877383427.db2.gz ZSWMQRAITUNITK-SKDRFNHKSA-N 1 2 308.769 1.056 20 30 DDEDLO C[C@@H](NC(=O)c1ncc(C#N)cc1Cl)[C@@H]1C[N@@H+](C)CCO1 ZINC001416812567 877383447 /nfs/dbraw/zinc/38/34/47/877383447.db2.gz ZSWMQRAITUNITK-SKDRFNHKSA-N 1 2 308.769 1.056 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)CC1CCCC1 ZINC001276782330 877459544 /nfs/dbraw/zinc/45/95/44/877459544.db2.gz HYGSSBLCXJJJQU-ZFWWWQNUSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)CC1CCCC1 ZINC001276782330 877459533 /nfs/dbraw/zinc/45/95/33/877459533.db2.gz HYGSSBLCXJJJQU-ZFWWWQNUSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[NH+](CCCF)CC2)s1 ZINC001354038834 877704391 /nfs/dbraw/zinc/70/43/91/877704391.db2.gz IYVXZOLRHAPDTP-UHFFFAOYSA-N 1 2 312.414 1.858 20 30 DDEDLO COC(=O)[C@@H]([NH3+])CC(=O)Nc1c(C#N)cccc1C(F)(F)F ZINC001218952875 877827910 /nfs/dbraw/zinc/82/79/10/877827910.db2.gz DEKAQRLYUJUYCZ-VIFPVBQESA-N 1 2 315.251 1.406 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](CCc2c(F)cccc2F)C[C@@H]1O ZINC001219344539 878137413 /nfs/dbraw/zinc/13/74/13/878137413.db2.gz OWJXLGGDTCRQIL-CVEARBPZSA-N 1 2 324.371 1.635 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](CCc2c(F)cccc2F)C[C@@H]1O ZINC001219344539 878137425 /nfs/dbraw/zinc/13/74/25/878137425.db2.gz OWJXLGGDTCRQIL-CVEARBPZSA-N 1 2 324.371 1.635 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001287600979 912340546 /nfs/dbraw/zinc/34/05/46/912340546.db2.gz KZFIDSKRBOGBJJ-BFHYXJOUSA-N 1 2 316.405 1.280 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001287600979 912340565 /nfs/dbraw/zinc/34/05/65/912340565.db2.gz KZFIDSKRBOGBJJ-BFHYXJOUSA-N 1 2 316.405 1.280 20 30 DDEDLO COc1ccc(C[NH+]2CCN(C(=O)[C@H](C)C#N)CC2)cc1C ZINC001374263374 912362692 /nfs/dbraw/zinc/36/26/92/912362692.db2.gz KWPQAUNCVQAQQT-CQSZACIVSA-N 1 2 301.390 1.808 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001355701731 878683868 /nfs/dbraw/zinc/68/38/68/878683868.db2.gz OKHOONJMFKKGDT-AWEZNQCLSA-N 1 2 318.421 1.376 20 30 DDEDLO C=CCN(c1nnc([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1C)C1CC1 ZINC001355733768 878694929 /nfs/dbraw/zinc/69/49/29/878694929.db2.gz BRFSGRBFPUAPJV-HUUCEWRRSA-N 1 2 317.437 1.896 20 30 DDEDLO C=CCN(c1nnc([C@H]2C[N@H+]3CCCC[C@@H]3CO2)n1C)C1CC1 ZINC001355733768 878694940 /nfs/dbraw/zinc/69/49/40/878694940.db2.gz BRFSGRBFPUAPJV-HUUCEWRRSA-N 1 2 317.437 1.896 20 30 DDEDLO CCCc1nnc(C[NH2+]C2(CNC(=O)[C@H](C)C#N)CC2)s1 ZINC001380066885 878704522 /nfs/dbraw/zinc/70/45/22/878704522.db2.gz ISHCVBVOOAJPLD-SNVBAGLBSA-N 1 2 307.423 1.389 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(C)C(=O)CCn2cc[nH+]c2)C1 ZINC001355893313 878767065 /nfs/dbraw/zinc/76/70/65/878767065.db2.gz NSJXYLVXOBOQIT-UHFFFAOYSA-N 1 2 304.394 1.204 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@@H]1O ZINC001220190481 878802697 /nfs/dbraw/zinc/80/26/97/878802697.db2.gz NSKLUEOTGMFHDP-BMFZPTHFSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@@H]1O ZINC001220190481 878802710 /nfs/dbraw/zinc/80/27/10/878802710.db2.gz NSKLUEOTGMFHDP-BMFZPTHFSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@@H]1O ZINC001220201687 878814310 /nfs/dbraw/zinc/81/43/10/878814310.db2.gz XOCIAZRJZMSCGQ-WQVCFCJDSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@@H]1O ZINC001220201687 878814320 /nfs/dbraw/zinc/81/43/20/878814320.db2.gz XOCIAZRJZMSCGQ-WQVCFCJDSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220205507 878821816 /nfs/dbraw/zinc/82/18/16/878821816.db2.gz CDPMUYFZYHYVTL-MJBXVCDLSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220205507 878821826 /nfs/dbraw/zinc/82/18/26/878821826.db2.gz CDPMUYFZYHYVTL-MJBXVCDLSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220247480 878849427 /nfs/dbraw/zinc/84/94/27/878849427.db2.gz DVIKOLITEMOYNM-KGLIPLIRSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220247480 878849438 /nfs/dbraw/zinc/84/94/38/878849438.db2.gz DVIKOLITEMOYNM-KGLIPLIRSA-N 1 2 309.435 1.469 20 30 DDEDLO CCN(CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)C(=O)C#CC(C)C ZINC001356073100 878857657 /nfs/dbraw/zinc/85/76/57/878857657.db2.gz YKLMTUSSIQDZDW-AWEZNQCLSA-N 1 2 318.421 1.212 20 30 DDEDLO CCN(CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)C(=O)C#CC(C)C ZINC001356073100 878857666 /nfs/dbraw/zinc/85/76/66/878857666.db2.gz YKLMTUSSIQDZDW-AWEZNQCLSA-N 1 2 318.421 1.212 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001356324266 878995399 /nfs/dbraw/zinc/99/53/99/878995399.db2.gz XDKNNODVCWBFBY-AWEZNQCLSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001356338711 879001681 /nfs/dbraw/zinc/00/16/81/879001681.db2.gz GWNLAYVMNJBPCL-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001287710955 912410997 /nfs/dbraw/zinc/41/09/97/912410997.db2.gz UIODAOLCZCPOIF-CXAGYDPISA-N 1 2 320.437 1.908 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001287710955 912411020 /nfs/dbraw/zinc/41/10/20/912411020.db2.gz UIODAOLCZCPOIF-CXAGYDPISA-N 1 2 320.437 1.908 20 30 DDEDLO CC[C@H](C)[C@@H]([NH2+]C)C(=O)N=C(N)c1ccc(C(=O)OC)cc1 ZINC001220579126 879110862 /nfs/dbraw/zinc/11/08/62/879110862.db2.gz FLDPHXIRIUQUQC-GXFFZTMASA-N 1 2 305.378 1.339 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001356812801 879415052 /nfs/dbraw/zinc/41/50/52/879415052.db2.gz LJXLROPYQJYXCS-UHFFFAOYSA-N 1 2 318.421 1.438 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](CC)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001356917637 879585224 /nfs/dbraw/zinc/58/52/24/879585224.db2.gz LTDMFDSKZRSPRD-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO N#CCSCC(=O)N1C[C@H]2CC[N@H+](Cc3ccns3)C[C@H]21 ZINC001221291909 879658593 /nfs/dbraw/zinc/65/85/93/879658593.db2.gz ZMKFHWWQZTVMNJ-DGCLKSJQSA-N 1 2 322.459 1.433 20 30 DDEDLO N#CCSCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccns3)C[C@H]21 ZINC001221291909 879658596 /nfs/dbraw/zinc/65/85/96/879658596.db2.gz ZMKFHWWQZTVMNJ-DGCLKSJQSA-N 1 2 322.459 1.433 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC(C)C)C[C@H]21 ZINC001221515070 879908259 /nfs/dbraw/zinc/90/82/59/879908259.db2.gz UFMJZPHALCGZFD-HZPDHXFCSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC(C)C)C[C@H]21 ZINC001221515070 879908269 /nfs/dbraw/zinc/90/82/69/879908269.db2.gz UFMJZPHALCGZFD-HZPDHXFCSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H]2CC[N@H+](Cc3ccns3)C[C@H]21 ZINC001221546444 879938636 /nfs/dbraw/zinc/93/86/36/879938636.db2.gz USTBLHLMCJDJLI-UKRRQHHQSA-N 1 2 319.430 1.216 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccns3)C[C@H]21 ZINC001221546444 879938652 /nfs/dbraw/zinc/93/86/52/879938652.db2.gz USTBLHLMCJDJLI-UKRRQHHQSA-N 1 2 319.430 1.216 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001222067314 880211419 /nfs/dbraw/zinc/21/14/19/880211419.db2.gz FXWHQYPWWAOEDS-YPMHNXCESA-N 1 2 308.382 1.050 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3ccnn3C)C[C@H]21 ZINC001222069708 880216278 /nfs/dbraw/zinc/21/62/78/880216278.db2.gz VZDXWLJMFQLZNA-SQWLQELKSA-N 1 2 318.421 1.044 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccnn3C)C[C@H]21 ZINC001222069708 880216294 /nfs/dbraw/zinc/21/62/94/880216294.db2.gz VZDXWLJMFQLZNA-SQWLQELKSA-N 1 2 318.421 1.044 20 30 DDEDLO C=CC(=O)OC[C@H](COC(=O)C(=C)C)OCc1c[nH+]cn1C ZINC001222595406 880586456 /nfs/dbraw/zinc/58/64/56/880586456.db2.gz YZGYMWYFGLQPTG-CYBMUJFWSA-N 1 2 308.334 1.154 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)s1 ZINC001222636397 880614390 /nfs/dbraw/zinc/61/43/90/880614390.db2.gz MCEWWWPUUFGLHT-SNVBAGLBSA-N 1 2 307.423 1.334 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@H](NC(=O)[C@H](C)C#N)CC2)s1 ZINC001380927634 880674493 /nfs/dbraw/zinc/67/44/93/880674493.db2.gz RZIHHHBFNDRXTB-ZYHUDNBSSA-N 1 2 307.423 1.477 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@H](NC(=O)[C@H](C)C#N)CC2)s1 ZINC001380927634 880674503 /nfs/dbraw/zinc/67/45/03/880674503.db2.gz RZIHHHBFNDRXTB-ZYHUDNBSSA-N 1 2 307.423 1.477 20 30 DDEDLO CCc1c(C(=O)NC[C@H]2CC[N@@H+]2CC#CCOC)ccn1C ZINC001276923540 881108837 /nfs/dbraw/zinc/10/88/37/881108837.db2.gz JTPSVUWPXNWFAT-CQSZACIVSA-N 1 2 303.406 1.041 20 30 DDEDLO CCc1c(C(=O)NC[C@H]2CC[N@H+]2CC#CCOC)ccn1C ZINC001276923540 881108845 /nfs/dbraw/zinc/10/88/45/881108845.db2.gz JTPSVUWPXNWFAT-CQSZACIVSA-N 1 2 303.406 1.041 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)C)n2C[C@H](C)OC)CC1 ZINC001359028835 881159438 /nfs/dbraw/zinc/15/94/38/881159438.db2.gz FXJCHCNMLNBAOJ-AWEZNQCLSA-N 1 2 319.453 1.366 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@@H](OC)C(C)C)CC1 ZINC001359029666 881161581 /nfs/dbraw/zinc/16/15/81/881161581.db2.gz DQQYNRRTZGYMIU-OAHLLOKOSA-N 1 2 305.426 1.013 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2C[C@H](OC)C(C)C)CC1 ZINC001359036435 881165018 /nfs/dbraw/zinc/16/50/18/881165018.db2.gz WPABFTOKYIVJHH-HNNXBMFYSA-N 1 2 319.453 1.267 20 30 DDEDLO Cc1cc(C)[nH+]c(CN2C[C@H]3CS(=O)(=O)C[C@@]3(C#N)C2)c1 ZINC001414055883 881215168 /nfs/dbraw/zinc/21/51/68/881215168.db2.gz GVJGHORMIPHURM-DZGCQCFKSA-N 1 2 305.403 1.069 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224165942 881252914 /nfs/dbraw/zinc/25/29/14/881252914.db2.gz VUSMGVHQBXLCPJ-ZIAGYGMSSA-N 1 2 319.453 1.602 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001288045075 912692883 /nfs/dbraw/zinc/69/28/83/912692883.db2.gz MOUCTGZOBPNGOJ-UONOGXRCSA-N 1 2 318.421 1.628 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001228801113 883678033 /nfs/dbraw/zinc/67/80/33/883678033.db2.gz JIYSFEYXVCZOKC-CYBMUJFWSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@]2(NC(=O)COC)CCC[C@@H]12 ZINC001382319201 883812681 /nfs/dbraw/zinc/81/26/81/883812681.db2.gz WZUTUUNEZJGQDI-YPMHNXCESA-N 1 2 317.227 1.655 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@]2(NC(=O)COC)CCC[C@@H]12 ZINC001382319201 883812672 /nfs/dbraw/zinc/81/26/72/883812672.db2.gz WZUTUUNEZJGQDI-YPMHNXCESA-N 1 2 317.227 1.655 20 30 DDEDLO CSc1nc(C)c(F)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)n1 ZINC001230014075 884276929 /nfs/dbraw/zinc/27/69/29/884276929.db2.gz GBDDYOXKDNXUBU-ADAFDVPTSA-N 1 2 311.382 1.637 20 30 DDEDLO CSc1nc(C)c(F)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)n1 ZINC001230014075 884276943 /nfs/dbraw/zinc/27/69/43/884276943.db2.gz GBDDYOXKDNXUBU-ADAFDVPTSA-N 1 2 311.382 1.637 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2nonc2C)CC1 ZINC001230411686 884477551 /nfs/dbraw/zinc/47/75/51/884477551.db2.gz QAPUTVHDHBBLGH-UHFFFAOYSA-N 1 2 308.382 1.051 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1CCC1 ZINC001230557166 884578188 /nfs/dbraw/zinc/57/81/88/884578188.db2.gz RGCHVJSMQCJPEB-HNNXBMFYSA-N 1 2 320.437 1.081 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1CCC1 ZINC001230557166 884578195 /nfs/dbraw/zinc/57/81/95/884578195.db2.gz RGCHVJSMQCJPEB-HNNXBMFYSA-N 1 2 320.437 1.081 20 30 DDEDLO CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC001230658992 884707814 /nfs/dbraw/zinc/70/78/14/884707814.db2.gz KXFWSHRIVKMPJP-QGZVFWFLSA-N 1 2 318.392 1.746 20 30 DDEDLO CCOCC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccc(F)cc1 ZINC001230658992 884707823 /nfs/dbraw/zinc/70/78/23/884707823.db2.gz KXFWSHRIVKMPJP-QGZVFWFLSA-N 1 2 318.392 1.746 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)CC[C@H]1C ZINC001288473844 912919248 /nfs/dbraw/zinc/91/92/48/912919248.db2.gz YUWVVWGRYLJNIR-HUUCEWRRSA-N 1 2 316.405 1.038 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC(C)(C)CC ZINC001231121687 885251189 /nfs/dbraw/zinc/25/11/89/885251189.db2.gz GNGZCEHLHWYUGB-CQSZACIVSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC(C)(C)CC ZINC001231121687 885251204 /nfs/dbraw/zinc/25/12/04/885251204.db2.gz GNGZCEHLHWYUGB-CQSZACIVSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCCCC ZINC001231122661 885257273 /nfs/dbraw/zinc/25/72/73/885257273.db2.gz MOONJXHYTAPOAT-LSDHHAIUSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCCC ZINC001231122661 885257292 /nfs/dbraw/zinc/25/72/92/885257292.db2.gz MOONJXHYTAPOAT-LSDHHAIUSA-N 1 2 309.454 1.790 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H](NC(=O)Cn2cc[nH+]c2)CC[C@@H]1C ZINC001288473841 912920166 /nfs/dbraw/zinc/92/01/66/912920166.db2.gz YUWVVWGRYLJNIR-GJZGRUSLSA-N 1 2 316.405 1.038 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)ncn1 ZINC001231239934 885418315 /nfs/dbraw/zinc/41/83/15/885418315.db2.gz GTNKSNRRHGKPMF-OAHLLOKOSA-N 1 2 304.394 1.020 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)ncn1 ZINC001231239934 885418323 /nfs/dbraw/zinc/41/83/23/885418323.db2.gz GTNKSNRRHGKPMF-OAHLLOKOSA-N 1 2 304.394 1.020 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](CNC(=O)[C@@H](C)C#N)C(C)(C)C ZINC001383260226 885500172 /nfs/dbraw/zinc/50/01/72/885500172.db2.gz OYHLLUOJUYKHSY-GXFFZTMASA-N 1 2 319.409 1.067 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(OCCCOC)cc2)CC1 ZINC001231507773 885706372 /nfs/dbraw/zinc/70/63/72/885706372.db2.gz FXTUFTREVPEHDC-UHFFFAOYSA-N 1 2 302.418 1.853 20 30 DDEDLO N#CCOc1ccc(CC(=O)N2CCc3cc[nH+]c(N)c3C2)cc1 ZINC001363204176 885708836 /nfs/dbraw/zinc/70/88/36/885708836.db2.gz LSELVTQDLNCXEU-UHFFFAOYSA-N 1 2 322.368 1.694 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@@H]2C[C@@H](CO)C[C@@H]21 ZINC001231617332 885762761 /nfs/dbraw/zinc/76/27/61/885762761.db2.gz TTXROWPHBWQUNI-JLJPHGGASA-N 1 2 302.374 1.539 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@@H]2C[C@@H](CO)C[C@@H]21 ZINC001231617332 885762790 /nfs/dbraw/zinc/76/27/90/885762790.db2.gz TTXROWPHBWQUNI-JLJPHGGASA-N 1 2 302.374 1.539 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cccc(OC)c2)C1 ZINC001277686517 886488997 /nfs/dbraw/zinc/48/89/97/886488997.db2.gz LEKAHNLTZMPPKB-UHFFFAOYSA-N 1 2 304.390 1.654 20 30 DDEDLO N#Cc1ccc(O)c(C[NH+]2CCC(N3CCOCC3=O)CC2)c1 ZINC001232681190 886535895 /nfs/dbraw/zinc/53/58/95/886535895.db2.gz LVZBTAZAKRMPIJ-UHFFFAOYSA-N 1 2 315.373 1.087 20 30 DDEDLO COC(=O)[C@H]1COCCC12C[NH+](Cc1ccc(C#N)s1)C2 ZINC001232845702 886632683 /nfs/dbraw/zinc/63/26/83/886632683.db2.gz KZDCGDPIGMOGDU-CYBMUJFWSA-N 1 2 306.387 1.631 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](Cc2ccc(C#N)cc2O)CC1 ZINC001233387271 886968502 /nfs/dbraw/zinc/96/85/02/886968502.db2.gz CENHOKYFRJXCCH-UHFFFAOYSA-N 1 2 301.346 1.704 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cncn1C ZINC001233490455 887033931 /nfs/dbraw/zinc/03/39/31/887033931.db2.gz RFQGJZJWNOSORT-OAHLLOKOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cncn1C ZINC001233490455 887033937 /nfs/dbraw/zinc/03/39/37/887033937.db2.gz RFQGJZJWNOSORT-OAHLLOKOSA-N 1 2 320.437 1.436 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1C ZINC001233528881 887077108 /nfs/dbraw/zinc/07/71/08/887077108.db2.gz CWULBYUHIZBMMO-HNNXBMFYSA-N 1 2 301.390 1.186 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1C ZINC001233528881 887077123 /nfs/dbraw/zinc/07/71/23/887077123.db2.gz CWULBYUHIZBMMO-HNNXBMFYSA-N 1 2 301.390 1.186 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(C(=O)OC)c(OC)c2)CC1 ZINC001233533769 887083120 /nfs/dbraw/zinc/08/31/20/887083120.db2.gz KSJPFIOEXZVSDM-UHFFFAOYSA-N 1 2 302.374 1.233 20 30 DDEDLO C#CCN1CC[NH+](Cc2ccc(C(=O)OC)c(OC)c2)CC1 ZINC001233533769 887083131 /nfs/dbraw/zinc/08/31/31/887083131.db2.gz KSJPFIOEXZVSDM-UHFFFAOYSA-N 1 2 302.374 1.233 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C=C(CC)CC ZINC001233612829 887153910 /nfs/dbraw/zinc/15/39/10/887153910.db2.gz ZFAUVNZHLSKZFH-HOCLYGCPSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C=C(CC)CC ZINC001233612829 887153922 /nfs/dbraw/zinc/15/39/22/887153922.db2.gz ZFAUVNZHLSKZFH-HOCLYGCPSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NC(C)(C)C ZINC001233948305 887489780 /nfs/dbraw/zinc/48/97/80/887489780.db2.gz UWUIIDMZLPEOGE-GJZGRUSLSA-N 1 2 321.465 1.626 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NC(C)(C)C ZINC001233948305 887489786 /nfs/dbraw/zinc/48/97/86/887489786.db2.gz UWUIIDMZLPEOGE-GJZGRUSLSA-N 1 2 321.465 1.626 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)c1cnccn1 ZINC001233948358 887491263 /nfs/dbraw/zinc/49/12/63/887491263.db2.gz VEXANXCZNIIBBS-CABCVRRESA-N 1 2 300.406 1.874 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)c1cnccn1 ZINC001233948358 887491271 /nfs/dbraw/zinc/49/12/71/887491271.db2.gz VEXANXCZNIIBBS-CABCVRRESA-N 1 2 300.406 1.874 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C=C(\C)CC ZINC001234130611 887675156 /nfs/dbraw/zinc/67/51/56/887675156.db2.gz NPJUPDLIBYJROW-CYAURGIBSA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C(\C)CC ZINC001234130611 887675161 /nfs/dbraw/zinc/67/51/61/887675161.db2.gz NPJUPDLIBYJROW-CYAURGIBSA-N 1 2 307.438 1.566 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+](C)CCCNC(=O)CSCC#N)[nH]1 ZINC001234155271 887693385 /nfs/dbraw/zinc/69/33/85/887693385.db2.gz XSQGLISYGREBSI-LLVKDONJSA-N 1 2 324.454 1.123 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+](C)CCCNC(=O)CSCC#N)[nH]1 ZINC001234155271 887693393 /nfs/dbraw/zinc/69/33/93/887693393.db2.gz XSQGLISYGREBSI-LLVKDONJSA-N 1 2 324.454 1.123 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CCOCC(F)(F)F)C(=O)C#CC1CC1 ZINC001234195468 887738549 /nfs/dbraw/zinc/73/85/49/887738549.db2.gz LFIATYHVHMMKJE-CYBMUJFWSA-N 1 2 318.339 1.511 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CCOCC(F)(F)F)C(=O)C#CC1CC1 ZINC001234195468 887738557 /nfs/dbraw/zinc/73/85/57/887738557.db2.gz LFIATYHVHMMKJE-CYBMUJFWSA-N 1 2 318.339 1.511 20 30 DDEDLO CCCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214571 887751546 /nfs/dbraw/zinc/75/15/46/887751546.db2.gz CRGFASIKWKAUEV-LSDHHAIUSA-N 1 2 321.465 1.483 20 30 DDEDLO CCCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214571 887751558 /nfs/dbraw/zinc/75/15/58/887751558.db2.gz CRGFASIKWKAUEV-LSDHHAIUSA-N 1 2 321.465 1.483 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214599 887753212 /nfs/dbraw/zinc/75/32/12/887753212.db2.gz DJKZCAYAKAIUPC-OAHLLOKOSA-N 1 2 314.433 1.867 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214599 887753226 /nfs/dbraw/zinc/75/32/26/887753226.db2.gz DJKZCAYAKAIUPC-OAHLLOKOSA-N 1 2 314.433 1.867 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1C ZINC001234226025 887767118 /nfs/dbraw/zinc/76/71/18/887767118.db2.gz QQLZUEUXGPJGNH-HIFRSBDPSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1C ZINC001234226025 887767134 /nfs/dbraw/zinc/76/71/34/887767134.db2.gz QQLZUEUXGPJGNH-HIFRSBDPSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001234265185 887804856 /nfs/dbraw/zinc/80/48/56/887804856.db2.gz HUQAODAERRHZAG-OCCSQVGLSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001234265185 887804865 /nfs/dbraw/zinc/80/48/65/887804865.db2.gz HUQAODAERRHZAG-OCCSQVGLSA-N 1 2 305.378 1.054 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCC1 ZINC001234509063 888038826 /nfs/dbraw/zinc/03/88/26/888038826.db2.gz HVEOTYJMDLHSED-MRXNPFEDSA-N 1 2 319.449 1.520 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCC1 ZINC001234509063 888038839 /nfs/dbraw/zinc/03/88/39/888038839.db2.gz HVEOTYJMDLHSED-MRXNPFEDSA-N 1 2 319.449 1.520 20 30 DDEDLO CCCn1nccc1C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001234554052 888086270 /nfs/dbraw/zinc/08/62/70/888086270.db2.gz DCARSFMQELBUEF-HNNXBMFYSA-N 1 2 318.421 1.089 20 30 DDEDLO CCCn1nccc1C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001234554052 888086282 /nfs/dbraw/zinc/08/62/82/888086282.db2.gz DCARSFMQELBUEF-HNNXBMFYSA-N 1 2 318.421 1.089 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H]1CCNC1=O ZINC001234555577 888091724 /nfs/dbraw/zinc/09/17/24/888091724.db2.gz WYUHYLBDJTWDJM-VXGBXAGGSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@@H]1CCNC1=O ZINC001234555577 888091740 /nfs/dbraw/zinc/09/17/40/888091740.db2.gz WYUHYLBDJTWDJM-VXGBXAGGSA-N 1 2 313.829 1.186 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C1(COC)CCCC1 ZINC001235090141 888407501 /nfs/dbraw/zinc/40/75/01/888407501.db2.gz LTLYKAIWTSKUSM-INIZCTEOSA-N 1 2 322.449 1.376 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)C1(COC)CCCC1 ZINC001235090141 888407505 /nfs/dbraw/zinc/40/75/05/888407505.db2.gz LTLYKAIWTSKUSM-INIZCTEOSA-N 1 2 322.449 1.376 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)Cc1noc2c1COCC2 ZINC001235451448 888651797 /nfs/dbraw/zinc/65/17/97/888651797.db2.gz IWQKQKNZLKVIRE-UHFFFAOYSA-N 1 2 307.394 1.652 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)Cc1noc2c1COCC2 ZINC001235451448 888651806 /nfs/dbraw/zinc/65/18/06/888651806.db2.gz IWQKQKNZLKVIRE-UHFFFAOYSA-N 1 2 307.394 1.652 20 30 DDEDLO C#CCOCCC(=O)NC1(C)CC[NH+](Cc2cscn2)CC1 ZINC001278051212 889500302 /nfs/dbraw/zinc/50/03/02/889500302.db2.gz HGQBWZGBCALQGN-UHFFFAOYSA-N 1 2 321.446 1.654 20 30 DDEDLO CCCCC[N@@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278229507 890200931 /nfs/dbraw/zinc/20/09/31/890200931.db2.gz BRRQKERYIKGASF-UHFFFAOYSA-N 1 2 315.421 1.944 20 30 DDEDLO CCCCC[N@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278229507 890200949 /nfs/dbraw/zinc/20/09/49/890200949.db2.gz BRRQKERYIKGASF-UHFFFAOYSA-N 1 2 315.421 1.944 20 30 DDEDLO N#Cc1cncnc1-c1ccc(OCC[NH+]2CCOCC2)cc1 ZINC001240448714 890930901 /nfs/dbraw/zinc/93/09/01/890930901.db2.gz PFMHUEXHYQUKAU-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO N#Cc1ccnnc1-c1ccc(OCC[NH+]2CCOCC2)cc1 ZINC001240447662 890931903 /nfs/dbraw/zinc/93/19/03/890931903.db2.gz NDPFZUYZMYSNGA-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cccnc2N(C)C)CC1 ZINC001365599757 891132237 /nfs/dbraw/zinc/13/22/37/891132237.db2.gz CCUCSXCTRPIMGW-UHFFFAOYSA-N 1 2 302.378 1.426 20 30 DDEDLO COc1nc(-c2cccc(C[NH+]3CCOCC3)c2)ncc1C#N ZINC001241814171 891275918 /nfs/dbraw/zinc/27/59/18/891275918.db2.gz CCRQTDTWARDPQO-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001278349968 891460452 /nfs/dbraw/zinc/46/04/52/891460452.db2.gz OVJKCCMYNOSOHY-OAHLLOKOSA-N 1 2 304.438 1.331 20 30 DDEDLO CCOC(=O)c1cc(C[N@H+]2[C@@H]3CC[C@H]2C[C@H](C#N)C3)nn1C ZINC001250544839 894320495 /nfs/dbraw/zinc/32/04/95/894320495.db2.gz ONLLRQARGWANMZ-DEZZGGKRSA-N 1 2 302.378 1.863 20 30 DDEDLO CCOC(=O)c1cc(C[N@@H+]2[C@@H]3CC[C@H]2C[C@H](C#N)C3)nn1C ZINC001250544839 894320506 /nfs/dbraw/zinc/32/05/06/894320506.db2.gz ONLLRQARGWANMZ-DEZZGGKRSA-N 1 2 302.378 1.863 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H](CNC(=O)[C@@H](C)C#N)C2)n1C1CC1 ZINC001366690774 894599117 /nfs/dbraw/zinc/59/91/17/894599117.db2.gz OVQDERVISMKLBN-WCQYABFASA-N 1 2 316.409 1.019 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H](CNC(=O)[C@@H](C)C#N)C2)n1C1CC1 ZINC001366690774 894599127 /nfs/dbraw/zinc/59/91/27/894599127.db2.gz OVQDERVISMKLBN-WCQYABFASA-N 1 2 316.409 1.019 20 30 DDEDLO C=CCC[C@H](O)CN1CC[N@H+](Cc2ccccc2)[C@@H](CO)C1 ZINC001252588716 895293301 /nfs/dbraw/zinc/29/33/01/895293301.db2.gz DZPJNNMOEOVEOY-MSOLQXFVSA-N 1 2 304.434 1.492 20 30 DDEDLO C=CCC[C@H](O)CN1CC[N@@H+](Cc2ccccc2)[C@@H](CO)C1 ZINC001252588716 895293311 /nfs/dbraw/zinc/29/33/11/895293311.db2.gz DZPJNNMOEOVEOY-MSOLQXFVSA-N 1 2 304.434 1.492 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCc2c(nnn2CC2CC2)[C@@H]1COC ZINC001252594772 895296427 /nfs/dbraw/zinc/29/64/27/895296427.db2.gz BIGSCEMUCOUPCY-HOCLYGCPSA-N 1 2 320.437 1.561 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCc2c(nnn2CC2CC2)[C@@H]1COC ZINC001252594772 895296435 /nfs/dbraw/zinc/29/64/35/895296435.db2.gz BIGSCEMUCOUPCY-HOCLYGCPSA-N 1 2 320.437 1.561 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001366990393 895503768 /nfs/dbraw/zinc/50/37/68/895503768.db2.gz GKIOOGGMDPEFSX-UHFFFAOYSA-N 1 2 321.808 1.129 20 30 DDEDLO CCO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001367024487 895606485 /nfs/dbraw/zinc/60/64/85/895606485.db2.gz UUCDOZFREHMLDR-SWLSCSKDSA-N 1 2 319.380 1.813 20 30 DDEDLO CCO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001367024487 895606491 /nfs/dbraw/zinc/60/64/91/895606491.db2.gz UUCDOZFREHMLDR-SWLSCSKDSA-N 1 2 319.380 1.813 20 30 DDEDLO C=CCOC[C@H]1C[N@@H+](C[C@@H](O)C=C)Cc2nnn(CC3CC3)c21 ZINC001253577792 895905014 /nfs/dbraw/zinc/90/50/14/895905014.db2.gz PVFHSLHHQWQEKE-CABCVRRESA-N 1 2 318.421 1.337 20 30 DDEDLO C=CCOC[C@H]1C[N@H+](C[C@@H](O)C=C)Cc2nnn(CC3CC3)c21 ZINC001253577792 895905026 /nfs/dbraw/zinc/90/50/26/895905026.db2.gz PVFHSLHHQWQEKE-CABCVRRESA-N 1 2 318.421 1.337 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001367147768 896004931 /nfs/dbraw/zinc/00/49/31/896004931.db2.gz WZGGHYIQRNSFFV-DOMZBBRYSA-N 1 2 303.410 1.311 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001367147768 896004941 /nfs/dbraw/zinc/00/49/41/896004941.db2.gz WZGGHYIQRNSFFV-DOMZBBRYSA-N 1 2 303.410 1.311 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@]1(O)CC[N@H+](Cc2cscn2)C1 ZINC001278777055 896364786 /nfs/dbraw/zinc/36/47/86/896364786.db2.gz SEEWJONJQBOLOC-INIZCTEOSA-N 1 2 321.446 1.246 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@]1(O)CC[N@@H+](Cc2cscn2)C1 ZINC001278777055 896364801 /nfs/dbraw/zinc/36/48/01/896364801.db2.gz SEEWJONJQBOLOC-INIZCTEOSA-N 1 2 321.446 1.246 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@H](C)NC(=O)c1ccc(C#N)[nH]1 ZINC001367798955 897841949 /nfs/dbraw/zinc/84/19/49/897841949.db2.gz YUVDXKINZPBWNS-VIFPVBQESA-N 1 2 318.406 1.297 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@H](C)NC(=O)c1ccc(C#N)[nH]1 ZINC001367798955 897841956 /nfs/dbraw/zinc/84/19/56/897841956.db2.gz YUVDXKINZPBWNS-VIFPVBQESA-N 1 2 318.406 1.297 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@@H](C)NC(=O)c1ccc(C#N)[nH]1 ZINC001367798954 897842042 /nfs/dbraw/zinc/84/20/42/897842042.db2.gz YUVDXKINZPBWNS-SECBINFHSA-N 1 2 318.406 1.297 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@@H](C)NC(=O)c1ccc(C#N)[nH]1 ZINC001367798954 897842053 /nfs/dbraw/zinc/84/20/53/897842053.db2.gz YUVDXKINZPBWNS-SECBINFHSA-N 1 2 318.406 1.297 20 30 DDEDLO C[C@H]1C[C@@H](N2CC[NH2+]C[C@@H]2C#N)CCN1C(=O)OC(C)(C)C ZINC001257651060 897902230 /nfs/dbraw/zinc/90/22/30/897902230.db2.gz WMKKIMTXYWDFFU-IHRRRGAJSA-N 1 2 308.426 1.572 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)NCc1c[nH+]cn1CC1CC1 ZINC001258329642 898141910 /nfs/dbraw/zinc/14/19/10/898141910.db2.gz SHCIHJFSTJREJV-UHFFFAOYSA-N 1 2 316.386 1.643 20 30 DDEDLO C=C(C)CCC(=O)N[C@@]1(C)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001299544238 898384393 /nfs/dbraw/zinc/38/43/93/898384393.db2.gz VQZDCJFTULNEOF-INIZCTEOSA-N 1 2 304.394 1.347 20 30 DDEDLO C[NH+]1CCC(C#N)(NS(=O)(=O)c2c(F)cccc2F)CC1 ZINC001259072963 898451139 /nfs/dbraw/zinc/45/11/39/898451139.db2.gz ZXYMOJKWIJCXQM-UHFFFAOYSA-N 1 2 315.345 1.231 20 30 DDEDLO Cc1nocc1C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001390807517 900237703 /nfs/dbraw/zinc/23/77/03/900237703.db2.gz CIGDSPWBKWSKOH-UHFFFAOYSA-N 1 2 316.336 1.856 20 30 DDEDLO Cc1nocc1C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001390807517 900237711 /nfs/dbraw/zinc/23/77/11/900237711.db2.gz CIGDSPWBKWSKOH-UHFFFAOYSA-N 1 2 316.336 1.856 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ncccc3C)n2CC)CC1 ZINC001263029173 900473205 /nfs/dbraw/zinc/47/32/05/900473205.db2.gz ASIVQEGGQQEYHB-UHFFFAOYSA-N 1 2 324.432 1.347 20 30 DDEDLO CC[N@H+](CCNC(=O)c1ncccc1O)Cc1ccccc1C#N ZINC001390907358 900486712 /nfs/dbraw/zinc/48/67/12/900486712.db2.gz WSDLUDGYDNGZIR-UHFFFAOYSA-N 1 2 324.384 1.911 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1ncccc1O)Cc1ccccc1C#N ZINC001390907358 900486728 /nfs/dbraw/zinc/48/67/28/900486728.db2.gz WSDLUDGYDNGZIR-UHFFFAOYSA-N 1 2 324.384 1.911 20 30 DDEDLO Cc1cnc(C[N@@H+](C)CCOCCN(C)C(=O)[C@H](C)C#N)s1 ZINC001264104638 900934903 /nfs/dbraw/zinc/93/49/03/900934903.db2.gz HYVAQYCRJSGBIN-GFCCVEGCSA-N 1 2 324.450 1.518 20 30 DDEDLO Cc1cnc(C[N@H+](C)CCOCCN(C)C(=O)[C@H](C)C#N)s1 ZINC001264104638 900934909 /nfs/dbraw/zinc/93/49/09/900934909.db2.gz HYVAQYCRJSGBIN-GFCCVEGCSA-N 1 2 324.450 1.518 20 30 DDEDLO CC#CC[N@H+](C)CCOCCN(C)C(=O)C(F)C(F)(F)F ZINC001264106205 900938691 /nfs/dbraw/zinc/93/86/91/900938691.db2.gz SBZCDQYJGBRKDI-NSHDSACASA-N 1 2 312.307 1.317 20 30 DDEDLO CC#CC[N@@H+](C)CCOCCN(C)C(=O)C(F)C(F)(F)F ZINC001264106205 900938698 /nfs/dbraw/zinc/93/86/98/900938698.db2.gz SBZCDQYJGBRKDI-NSHDSACASA-N 1 2 312.307 1.317 20 30 DDEDLO CC#CC[N@H+](C)CCOCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001264106205 900938706 /nfs/dbraw/zinc/93/87/06/900938706.db2.gz SBZCDQYJGBRKDI-NSHDSACASA-N 1 2 312.307 1.317 20 30 DDEDLO CC#CC[N@@H+](C)CCOCCN(C)C(=O)[C@H](F)C(F)(F)F ZINC001264106205 900938714 /nfs/dbraw/zinc/93/87/14/900938714.db2.gz SBZCDQYJGBRKDI-NSHDSACASA-N 1 2 312.307 1.317 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1(C)CCCC1 ZINC001264367253 901045323 /nfs/dbraw/zinc/04/53/23/901045323.db2.gz UYTOECQAWFNCIN-HUUCEWRRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1(C)CCCC1 ZINC001264367253 901045335 /nfs/dbraw/zinc/04/53/35/901045335.db2.gz UYTOECQAWFNCIN-HUUCEWRRSA-N 1 2 321.465 1.790 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nc(C)oc1C ZINC001264374696 901056706 /nfs/dbraw/zinc/05/67/06/901056706.db2.gz MSRPOTSLAKHKGN-AWEZNQCLSA-N 1 2 305.378 1.088 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nc(C)oc1C ZINC001264374696 901056715 /nfs/dbraw/zinc/05/67/15/901056715.db2.gz MSRPOTSLAKHKGN-AWEZNQCLSA-N 1 2 305.378 1.088 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CCC)C(=O)CNC(=O)OC)C1 ZINC001391267931 901350136 /nfs/dbraw/zinc/35/01/36/901350136.db2.gz WEQBIMXQZXIULK-GFCCVEGCSA-N 1 2 317.817 1.408 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CCC)C(=O)CNC(=O)OC)C1 ZINC001391267931 901350150 /nfs/dbraw/zinc/35/01/50/901350150.db2.gz WEQBIMXQZXIULK-GFCCVEGCSA-N 1 2 317.817 1.408 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCCC)C1 ZINC001265214440 901718843 /nfs/dbraw/zinc/71/88/43/901718843.db2.gz JUAHOTCHKVLQBD-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCCC)C1 ZINC001265214440 901718852 /nfs/dbraw/zinc/71/88/52/901718852.db2.gz JUAHOTCHKVLQBD-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CC(C)(C)C=C)C1 ZINC001265214584 901721181 /nfs/dbraw/zinc/72/11/81/901721181.db2.gz LQILLVHMEQSDLT-CQSZACIVSA-N 1 2 307.438 1.472 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CC(C)(C)C=C)C1 ZINC001265214584 901721194 /nfs/dbraw/zinc/72/11/94/901721194.db2.gz LQILLVHMEQSDLT-CQSZACIVSA-N 1 2 307.438 1.472 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCCC2(C)C)C1 ZINC001265222797 901733435 /nfs/dbraw/zinc/73/34/35/901733435.db2.gz LCWOHYOCGUIUBL-HUUCEWRRSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCCC2(C)C)C1 ZINC001265222797 901733446 /nfs/dbraw/zinc/73/34/46/901733446.db2.gz LCWOHYOCGUIUBL-HUUCEWRRSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](CC(=O)N(C)Cc2ccco2)C1 ZINC001265274408 901802764 /nfs/dbraw/zinc/80/27/64/901802764.db2.gz CDFXBKLHKLCQFP-AWEZNQCLSA-N 1 2 319.405 1.395 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](CC(=O)N(C)Cc2ccco2)C1 ZINC001265274408 901802772 /nfs/dbraw/zinc/80/27/72/901802772.db2.gz CDFXBKLHKLCQFP-AWEZNQCLSA-N 1 2 319.405 1.395 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccoc1 ZINC001265403450 901976351 /nfs/dbraw/zinc/97/63/51/901976351.db2.gz JAJOYNBKQBOCQL-CALCHBBNSA-N 1 2 316.401 1.537 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccoc1 ZINC001265403450 901976359 /nfs/dbraw/zinc/97/63/59/901976359.db2.gz JAJOYNBKQBOCQL-CALCHBBNSA-N 1 2 316.401 1.537 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]([NH2+]Cc2nnsc2Cl)C1 ZINC001265470288 902048397 /nfs/dbraw/zinc/04/83/97/902048397.db2.gz CEHUPBUPEKICNY-KYZUINATSA-N 1 2 316.814 1.131 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001391642757 902225612 /nfs/dbraw/zinc/22/56/12/902225612.db2.gz YTOYYUXALAQCSW-DZGCQCFKSA-N 1 2 320.437 1.128 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001391642757 902225620 /nfs/dbraw/zinc/22/56/20/902225620.db2.gz YTOYYUXALAQCSW-DZGCQCFKSA-N 1 2 320.437 1.128 20 30 DDEDLO COCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001370004277 902368762 /nfs/dbraw/zinc/36/87/62/902368762.db2.gz RTRDNFYXYZMJBP-INIZCTEOSA-N 1 2 315.417 1.921 20 30 DDEDLO COCC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001370004277 902368775 /nfs/dbraw/zinc/36/87/75/902368775.db2.gz RTRDNFYXYZMJBP-INIZCTEOSA-N 1 2 315.417 1.921 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1([NH2+]Cc2noc(C3CC3)n2)CCCC1 ZINC001391995207 903062383 /nfs/dbraw/zinc/06/23/83/903062383.db2.gz LWLUFGIPIDWXLG-NSHDSACASA-N 1 2 317.393 1.625 20 30 DDEDLO C=C1CCC(C(=O)N(CCO)CC[NH2+]Cc2nncs2)CC1 ZINC001279819795 903428527 /nfs/dbraw/zinc/42/85/27/903428527.db2.gz BUVOSPDHFYBGBM-UHFFFAOYSA-N 1 2 324.450 1.195 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](C)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001280486902 903729653 /nfs/dbraw/zinc/72/96/53/903729653.db2.gz DRHPNRORXAPPBC-OLZOCXBDSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](C)CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001280486902 903729662 /nfs/dbraw/zinc/72/96/62/903729662.db2.gz DRHPNRORXAPPBC-OLZOCXBDSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCC(=O)N1CCCCC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001280610022 903831006 /nfs/dbraw/zinc/83/10/06/903831006.db2.gz ORUGHXQQJOSKKY-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)CC1OCCCO1 ZINC001370854856 903860150 /nfs/dbraw/zinc/86/01/50/903860150.db2.gz BWSQDADSMXREMW-CYBMUJFWSA-N 1 2 316.829 1.863 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)CC1OCCCO1 ZINC001370854856 903860158 /nfs/dbraw/zinc/86/01/58/903860158.db2.gz BWSQDADSMXREMW-CYBMUJFWSA-N 1 2 316.829 1.863 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCC[N@@H+](C)Cc2cnn(CC)n2)C1 ZINC001280857920 904122811 /nfs/dbraw/zinc/12/28/11/904122811.db2.gz YIDUSHHGZOHJFG-UHFFFAOYSA-N 1 2 319.453 1.935 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCC[N@H+](C)Cc2cnn(CC)n2)C1 ZINC001280857920 904122819 /nfs/dbraw/zinc/12/28/19/904122819.db2.gz YIDUSHHGZOHJFG-UHFFFAOYSA-N 1 2 319.453 1.935 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1N(C(=O)Cn1cc[nH+]c1)CC2 ZINC001281184338 904539883 /nfs/dbraw/zinc/53/98/83/904539883.db2.gz LHCUDLHTASHSKP-PBHICJAKSA-N 1 2 316.405 1.489 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)[C@H]1C ZINC001281200479 904554341 /nfs/dbraw/zinc/55/43/41/904554341.db2.gz FKRCDYCXFGNWOS-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@H](CC(C)C)NC(C)=O ZINC001392718867 905039512 /nfs/dbraw/zinc/03/95/12/905039512.db2.gz KWBPOARFQPOYBH-JSGCOSHPSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@H](CC(C)C)NC(C)=O ZINC001392718867 905039536 /nfs/dbraw/zinc/03/95/36/905039536.db2.gz KWBPOARFQPOYBH-JSGCOSHPSA-N 1 2 317.861 1.726 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC001282018970 905411412 /nfs/dbraw/zinc/41/14/12/905411412.db2.gz BPAJHHXRLYVJIU-AWEZNQCLSA-N 1 2 304.394 1.299 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)C[C@@H]1CCN1C(=O)Cn1cc[nH+]c1 ZINC001282052985 905472382 /nfs/dbraw/zinc/47/23/82/905472382.db2.gz UJZQUBQQRLOMHW-GJZGRUSLSA-N 1 2 318.421 1.545 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)[C@@H](C)[NH2+]Cc2cnsn2)cc1 ZINC001282166156 905593470 /nfs/dbraw/zinc/59/34/70/905593470.db2.gz CXAUQIJLLBNXPM-VXGBXAGGSA-N 1 2 314.414 1.816 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C2CC(C)(C)C2)C1 ZINC001282706668 905969344 /nfs/dbraw/zinc/96/93/44/905969344.db2.gz PCPGXRSUZCHWFE-GFCCVEGCSA-N 1 2 307.438 1.161 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](C)C1C[NH+](Cc2ncc(C)s2)C1 ZINC001282707955 905970461 /nfs/dbraw/zinc/97/04/61/905970461.db2.gz ZTTVKSAREATYJJ-BLLLJJGKSA-N 1 2 323.462 1.715 20 30 DDEDLO CCC1(C(=O)N[C@H](C)C2C[NH+](CC(=O)NCC#N)C2)CCCC1 ZINC001282741632 906007599 /nfs/dbraw/zinc/00/75/99/906007599.db2.gz QUIATQFHKLNLJH-CYBMUJFWSA-N 1 2 320.437 1.033 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC2(C1)CCC[N@H+](Cc1ccon1)C2 ZINC001282964069 906347529 /nfs/dbraw/zinc/34/75/29/906347529.db2.gz CLFLZJYDJGRIMC-CQSZACIVSA-N 1 2 317.389 1.137 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC2(C1)CCC[N@@H+](Cc1ccon1)C2 ZINC001282964069 906347544 /nfs/dbraw/zinc/34/75/44/906347544.db2.gz CLFLZJYDJGRIMC-CQSZACIVSA-N 1 2 317.389 1.137 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC2(C1)CCC[N@H+](Cc1ccon1)C2 ZINC001282964068 906348661 /nfs/dbraw/zinc/34/86/61/906348661.db2.gz CLFLZJYDJGRIMC-AWEZNQCLSA-N 1 2 317.389 1.137 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC2(C1)CCC[N@@H+](Cc1ccon1)C2 ZINC001282964068 906348667 /nfs/dbraw/zinc/34/86/67/906348667.db2.gz CLFLZJYDJGRIMC-AWEZNQCLSA-N 1 2 317.389 1.137 20 30 DDEDLO Cc1n[nH]cc1C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001372059327 906363335 /nfs/dbraw/zinc/36/33/35/906363335.db2.gz SOPDPTYDCZUCDC-UHFFFAOYSA-N 1 2 315.352 1.591 20 30 DDEDLO Cc1n[nH]cc1C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001372059327 906363353 /nfs/dbraw/zinc/36/33/53/906363353.db2.gz SOPDPTYDCZUCDC-UHFFFAOYSA-N 1 2 315.352 1.591 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001372086590 906424639 /nfs/dbraw/zinc/42/46/39/906424639.db2.gz IVEKTXCVSFITLW-LBPRGKRZSA-N 1 2 312.801 1.675 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001372086590 906424646 /nfs/dbraw/zinc/42/46/46/906424646.db2.gz IVEKTXCVSFITLW-LBPRGKRZSA-N 1 2 312.801 1.675 20 30 DDEDLO C[N@H+](CCNC(=O)CCc1cnccn1)Cc1ccccc1C#N ZINC001372180069 906658078 /nfs/dbraw/zinc/65/80/78/906658078.db2.gz UXIWXTDWEIDXSP-UHFFFAOYSA-N 1 2 323.400 1.529 20 30 DDEDLO C[N@@H+](CCNC(=O)CCc1cnccn1)Cc1ccccc1C#N ZINC001372180069 906658086 /nfs/dbraw/zinc/65/80/86/906658086.db2.gz UXIWXTDWEIDXSP-UHFFFAOYSA-N 1 2 323.400 1.529 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]ccc2OC)C1 ZINC001283333942 907154327 /nfs/dbraw/zinc/15/43/27/907154327.db2.gz WPHWHCIXAUCRTB-CQSZACIVSA-N 1 2 317.389 1.316 20 30 DDEDLO CC[C@H](C(N)=O)[N@@H+]1Cc2ccc(CNC(=O)[C@H](C)C#N)cc2C1 ZINC001393720833 907750924 /nfs/dbraw/zinc/75/09/24/907750924.db2.gz SDAOKOSNNFNALB-IAQYHMDHSA-N 1 2 314.389 1.042 20 30 DDEDLO CC[C@H](C(N)=O)[N@H+]1Cc2ccc(CNC(=O)[C@H](C)C#N)cc2C1 ZINC001393720833 907750933 /nfs/dbraw/zinc/75/09/33/907750933.db2.gz SDAOKOSNNFNALB-IAQYHMDHSA-N 1 2 314.389 1.042 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284016140 908318623 /nfs/dbraw/zinc/31/86/23/908318623.db2.gz GWAMNQOONFFZTI-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284016140 908318634 /nfs/dbraw/zinc/31/86/34/908318634.db2.gz GWAMNQOONFFZTI-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1nc2ncc(C)cn2n1 ZINC001394079333 908729522 /nfs/dbraw/zinc/72/95/22/908729522.db2.gz MOGVJQDZJIQKRW-LLVKDONJSA-N 1 2 322.800 1.235 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1nc2ncc(C)cn2n1 ZINC001394079333 908729529 /nfs/dbraw/zinc/72/95/29/908729529.db2.gz MOGVJQDZJIQKRW-LLVKDONJSA-N 1 2 322.800 1.235 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284369907 908899181 /nfs/dbraw/zinc/89/91/81/908899181.db2.gz ATBBOQLRXLAJSL-CYBMUJFWSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284369907 908899198 /nfs/dbraw/zinc/89/91/98/908899198.db2.gz ATBBOQLRXLAJSL-CYBMUJFWSA-N 1 2 304.394 1.273 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001284997291 909911188 /nfs/dbraw/zinc/91/11/88/909911188.db2.gz NIXYQBHUNWTEQI-LBPRGKRZSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](N(CC)C(=O)Cc2c[nH+]cn2C)C1 ZINC001285028167 909955924 /nfs/dbraw/zinc/95/59/24/909955924.db2.gz YBAOQZDUPHCFTB-CQSZACIVSA-N 1 2 318.421 1.378 20 30 DDEDLO CC[C@H](CNC(=O)C#CC(C)C)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001285051926 910002927 /nfs/dbraw/zinc/00/29/27/910002927.db2.gz SUBUHWYVFUOEMS-LSDHHAIUSA-N 1 2 318.421 1.505 20 30 DDEDLO CC(C)(O)CC(=O)NCC1C[NH+](Cc2ccc(F)c(C#N)c2)C1 ZINC001373507690 910044505 /nfs/dbraw/zinc/04/45/05/910044505.db2.gz BULWHGDRZKGRLU-UHFFFAOYSA-N 1 2 319.380 1.406 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1ccncc1Cl ZINC001394764762 910487318 /nfs/dbraw/zinc/48/73/18/910487318.db2.gz DKGZZMWSUFZGED-YPMHNXCESA-N 1 2 324.812 1.146 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1ccncc1Cl ZINC001394764762 910487326 /nfs/dbraw/zinc/48/73/26/910487326.db2.gz DKGZZMWSUFZGED-YPMHNXCESA-N 1 2 324.812 1.146 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@](C)(NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001286094014 911685802 /nfs/dbraw/zinc/68/58/02/911685802.db2.gz LSUXKXVYWOJPSW-QGZVFWFLSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@](C)(NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001286094014 911685817 /nfs/dbraw/zinc/68/58/17/911685817.db2.gz LSUXKXVYWOJPSW-QGZVFWFLSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@H](OC)[C@@H](C)CC)CC1 ZINC001286473602 911989759 /nfs/dbraw/zinc/98/97/59/911989759.db2.gz UQPQTDJWYFSZBE-HOCLYGCPSA-N 1 2 319.453 1.403 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001294361187 915079454 /nfs/dbraw/zinc/07/94/54/915079454.db2.gz BGNVAVSPEXZWCA-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001295033863 915523233 /nfs/dbraw/zinc/52/32/33/915523233.db2.gz SVRLGJGJHAXCJL-GJZGRUSLSA-N 1 2 318.421 1.908 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@H]21 ZINC001295370756 915747029 /nfs/dbraw/zinc/74/70/29/915747029.db2.gz MGQWKOBWZUDITK-NENTXLIVSA-N 1 2 316.405 1.128 20 30 DDEDLO CC(C)c1nsc(C[NH2+]C2(CNC(=O)[C@H](C)C#N)CC2)n1 ZINC001375403563 915830086 /nfs/dbraw/zinc/83/00/86/915830086.db2.gz MXWJHWWXOKVUTP-SNVBAGLBSA-N 1 2 307.423 1.560 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CCCN2C(=O)Cn2cc[nH+]c2)C1 ZINC001296467262 916438954 /nfs/dbraw/zinc/43/89/54/916438954.db2.gz IQRYPFJEKZGZBW-CQSZACIVSA-N 1 2 316.405 1.347 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@]1(C)CCC[N@H+](Cc2ncccn2)C1 ZINC001375939211 917312704 /nfs/dbraw/zinc/31/27/04/917312704.db2.gz YRJYQCFPISLCLT-CZUORRHYSA-N 1 2 301.394 1.355 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@]1(C)CCC[N@@H+](Cc2ncccn2)C1 ZINC001375939211 917312721 /nfs/dbraw/zinc/31/27/21/917312721.db2.gz YRJYQCFPISLCLT-CZUORRHYSA-N 1 2 301.394 1.355 20 30 DDEDLO C[C@H](C#N)C(=O)NC1CC(C[NH2+]Cc2noc(C(C)(C)C)n2)C1 ZINC001377690106 922711029 /nfs/dbraw/zinc/71/10/29/922711029.db2.gz PQSICVMIJMVWHT-VOMCLLRMSA-N 1 2 319.409 1.511 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@H](C)CNC(=O)c2cc(C#N)c[nH]2)s1 ZINC001378017038 923707797 /nfs/dbraw/zinc/70/77/97/923707797.db2.gz RCLGEWHQTIZNAZ-SNVBAGLBSA-N 1 2 317.418 1.902 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@H](C)CNC(=O)c2cc(C#N)c[nH]2)s1 ZINC001378017038 923707809 /nfs/dbraw/zinc/70/78/09/923707809.db2.gz RCLGEWHQTIZNAZ-SNVBAGLBSA-N 1 2 317.418 1.902 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)NCCc1cccs1 ZINC000283845437 222610383 /nfs/dbraw/zinc/61/03/83/222610383.db2.gz BVYGZUMXNHAOTD-JQWIXIFHSA-N 1 2 311.407 1.263 20 30 DDEDLO CCN(C(=O)[C@@H](C)O[NH+]=C(N)[C@@H]1CCCO1)c1ccccc1 ZINC000284013556 222613207 /nfs/dbraw/zinc/61/32/07/222613207.db2.gz HTZAVCSUUFQLEB-OCCSQVGLSA-N 1 2 305.378 1.896 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@@]2(CCOC2)O1 ZINC000330079899 529385384 /nfs/dbraw/zinc/38/53/84/529385384.db2.gz OQDQCTMJEIKFMR-DJIMGWMZSA-N 1 2 321.421 1.905 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@@]2(CCOC2)O1 ZINC000330079899 529385387 /nfs/dbraw/zinc/38/53/87/529385387.db2.gz OQDQCTMJEIKFMR-DJIMGWMZSA-N 1 2 321.421 1.905 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+](Cc2csc(C)n2)CC1 ZINC000278708097 214342367 /nfs/dbraw/zinc/34/23/67/214342367.db2.gz BRGUOCOXZOOITE-INIZCTEOSA-N 1 2 323.462 1.813 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+](Cc2csc(C)n2)CC1 ZINC000278708097 214342370 /nfs/dbraw/zinc/34/23/70/214342370.db2.gz BRGUOCOXZOOITE-INIZCTEOSA-N 1 2 323.462 1.813 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[NH+](C3CCCC3)CC2)o1 ZINC000286577007 219062962 /nfs/dbraw/zinc/06/29/62/219062962.db2.gz JMGDOZARSHYCFT-UHFFFAOYSA-N 1 2 309.391 1.400 20 30 DDEDLO CCC(CC)[C@@H](C(=O)Nc1nc(C)n(C)n1)[NH+]1CCOCC1 ZINC000329279366 282224957 /nfs/dbraw/zinc/22/49/57/282224957.db2.gz MLBSCBLETNRNCH-ZDUSSCGKSA-N 1 2 309.414 1.849 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCC3CCC(C#N)CC3)C[C@H]21 ZINC000328901817 539299509 /nfs/dbraw/zinc/29/95/09/539299509.db2.gz ZOGBNZSTXURQOC-QDIHITRGSA-N 1 2 320.437 1.635 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCC3CCC(C#N)CC3)C[C@H]21 ZINC000328901817 539299511 /nfs/dbraw/zinc/29/95/11/539299511.db2.gz ZOGBNZSTXURQOC-QDIHITRGSA-N 1 2 320.437 1.635 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)c1cccc2c[nH]nc21 ZINC000329165861 539301558 /nfs/dbraw/zinc/30/15/58/539301558.db2.gz HQDZQSKXEFPUCB-GFCCVEGCSA-N 1 2 301.394 1.503 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H](NC(=O)N1CCNC(=O)C1)C2 ZINC000329257581 539302217 /nfs/dbraw/zinc/30/22/17/539302217.db2.gz FALYQEZIPWUFBM-NSHDSACASA-N 1 2 319.409 1.682 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000279311219 214784273 /nfs/dbraw/zinc/78/42/73/214784273.db2.gz AUXUOABOQBTAHA-GOSISDBHSA-N 1 2 318.417 1.667 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2C[C@H](O)C(C)(C)C2)c(C#N)c1C ZINC000279971296 215280566 /nfs/dbraw/zinc/28/05/66/215280566.db2.gz YWWYURALYDUIMV-LBPRGKRZSA-N 1 2 307.419 1.878 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2C[C@H](O)C(C)(C)C2)c(C#N)c1C ZINC000279971296 215280570 /nfs/dbraw/zinc/28/05/70/215280570.db2.gz YWWYURALYDUIMV-LBPRGKRZSA-N 1 2 307.419 1.878 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@@H+]3CCC[C@](F)(CO)C3)C2=O)cc1 ZINC000287143215 219340452 /nfs/dbraw/zinc/34/04/52/219340452.db2.gz YIGNZTGACYNUQJ-DOTOQJQBSA-N 1 2 317.364 1.460 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@H+]3CCC[C@](F)(CO)C3)C2=O)cc1 ZINC000287143215 219340455 /nfs/dbraw/zinc/34/04/55/219340455.db2.gz YIGNZTGACYNUQJ-DOTOQJQBSA-N 1 2 317.364 1.460 20 30 DDEDLO C#CCCCCC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000179727501 186210953 /nfs/dbraw/zinc/21/09/53/186210953.db2.gz FBWUCWUWMYDYGT-UHFFFAOYSA-N 1 2 301.390 1.728 20 30 DDEDLO C[N@@H+](Cc1cscn1)C[C@H](O)COc1ccccc1C#N ZINC000042865317 352353217 /nfs/dbraw/zinc/35/32/17/352353217.db2.gz FPKJWTNAMZEHPQ-AWEZNQCLSA-N 1 2 303.387 1.886 20 30 DDEDLO C[N@H+](Cc1cscn1)C[C@H](O)COc1ccccc1C#N ZINC000042865317 352353220 /nfs/dbraw/zinc/35/32/20/352353220.db2.gz FPKJWTNAMZEHPQ-AWEZNQCLSA-N 1 2 303.387 1.886 20 30 DDEDLO N#CCSCC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000042022956 352324789 /nfs/dbraw/zinc/32/47/89/352324789.db2.gz DJOJZLUZDUAPNF-HNNXBMFYSA-N 1 2 319.430 1.260 20 30 DDEDLO N#CCSCC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000042022956 352324793 /nfs/dbraw/zinc/32/47/93/352324793.db2.gz DJOJZLUZDUAPNF-HNNXBMFYSA-N 1 2 319.430 1.260 20 30 DDEDLO CC[C@H](C)NC(=O)CN1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC000044742627 352393461 /nfs/dbraw/zinc/39/34/61/352393461.db2.gz DTQVGECDSCPODT-HNNXBMFYSA-N 1 2 314.433 1.591 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000048616573 352544073 /nfs/dbraw/zinc/54/40/73/352544073.db2.gz XWQGPNZGDKTWGA-UHFFFAOYSA-N 1 2 300.406 1.404 20 30 DDEDLO CCC(CC)C[N@H+](CCC#N)CC(=O)N1CCN(C(C)=O)CC1 ZINC000072692931 191215475 /nfs/dbraw/zinc/21/54/75/191215475.db2.gz PSDJRKNCEALZRP-UHFFFAOYSA-N 1 2 322.453 1.329 20 30 DDEDLO CCC(CC)C[N@@H+](CCC#N)CC(=O)N1CCN(C(C)=O)CC1 ZINC000072692931 191215477 /nfs/dbraw/zinc/21/54/77/191215477.db2.gz PSDJRKNCEALZRP-UHFFFAOYSA-N 1 2 322.453 1.329 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@H](C)c1ccc(C#N)cc1 ZINC000049231287 352553146 /nfs/dbraw/zinc/55/31/46/352553146.db2.gz AEQSWFZDIIFXJV-ZIAGYGMSSA-N 1 2 316.405 1.639 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@@H](C)[NH+]2CCCC2)cc1C#N ZINC000068064883 353084744 /nfs/dbraw/zinc/08/47/44/353084744.db2.gz FMNFPIMPTLRGFH-SNVBAGLBSA-N 1 2 320.418 1.595 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)CCOCC1 ZINC000617721300 363251174 /nfs/dbraw/zinc/25/11/74/363251174.db2.gz NOBSDIMQBSHDEX-HUUCEWRRSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)CCOCC1 ZINC000617721300 363251176 /nfs/dbraw/zinc/25/11/76/363251176.db2.gz NOBSDIMQBSHDEX-HUUCEWRRSA-N 1 2 310.438 1.585 20 30 DDEDLO CCOc1ccc(CN(CCC#N)CC[NH+]2CCOCC2)nc1 ZINC000088535211 353756231 /nfs/dbraw/zinc/75/62/31/353756231.db2.gz BCLBSXYXUAAEML-UHFFFAOYSA-N 1 2 318.421 1.528 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[NH+]1CCC(O)(C(F)(F)F)CC1 ZINC000089970521 353786153 /nfs/dbraw/zinc/78/61/53/353786153.db2.gz OPFIBOCYQRSFRW-GFCCVEGCSA-N 1 2 321.343 1.430 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)cn1 ZINC000085787847 353737304 /nfs/dbraw/zinc/73/73/04/353737304.db2.gz YPLYPMXRBUBIQS-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)NC[C@H](C)C#N)CC1 ZINC000182842473 354276997 /nfs/dbraw/zinc/27/69/97/354276997.db2.gz SEKIGVYPYIHABP-CQSZACIVSA-N 1 2 316.405 1.682 20 30 DDEDLO CN1CC[N@H+](C)C[C@H]1c1noc(C2(c3ccc(C#N)cc3)CC2)n1 ZINC000350048724 354596060 /nfs/dbraw/zinc/59/60/60/354596060.db2.gz QWBMATVOLGYBPD-HNNXBMFYSA-N 1 2 323.400 1.939 20 30 DDEDLO CN1CC[N@@H+](C)C[C@H]1c1noc(C2(c3ccc(C#N)cc3)CC2)n1 ZINC000350048724 354596063 /nfs/dbraw/zinc/59/60/63/354596063.db2.gz QWBMATVOLGYBPD-HNNXBMFYSA-N 1 2 323.400 1.939 20 30 DDEDLO COc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c([N+](=O)[O-])cc1F ZINC000578657571 354711117 /nfs/dbraw/zinc/71/11/17/354711117.db2.gz QSJAQWZVMUTFSV-CQSZACIVSA-N 1 2 324.312 1.316 20 30 DDEDLO CC(C)(CNC(=O)c1cc(O)cc(C#N)c1)[NH+]1CCOCC1 ZINC000589156704 354965525 /nfs/dbraw/zinc/96/55/25/354965525.db2.gz PCBHWBIGRMRHSY-UHFFFAOYSA-N 1 2 303.362 1.104 20 30 DDEDLO CC[C@](C)([NH2+]C[C@H](O)COc1ccc(CC#N)cc1)C(=O)OC ZINC000589989997 355037735 /nfs/dbraw/zinc/03/77/35/355037735.db2.gz AFRZCWURUBBEKN-YOEHRIQHSA-N 1 2 320.389 1.424 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CCN2CCn3c[nH+]cc3C2)cc1 ZINC000590599808 355125953 /nfs/dbraw/zinc/12/59/53/355125953.db2.gz PZLVCRDDEIUACS-UHFFFAOYSA-N 1 2 316.386 1.044 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000272284326 209668046 /nfs/dbraw/zinc/66/80/46/209668046.db2.gz CCIQBUNVCKDTEN-HNNXBMFYSA-N 1 2 321.421 1.740 20 30 DDEDLO COc1cccc2c1C[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)CC2 ZINC000590759841 355169262 /nfs/dbraw/zinc/16/92/62/355169262.db2.gz SLMFSJFZCBDCPD-ZDUSSCGKSA-N 1 2 301.390 1.814 20 30 DDEDLO COc1cccc2c1C[N@H+]([C@@H](C)C(=O)N(C)CCC#N)CC2 ZINC000590759841 355169265 /nfs/dbraw/zinc/16/92/65/355169265.db2.gz SLMFSJFZCBDCPD-ZDUSSCGKSA-N 1 2 301.390 1.814 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)ncc1C#N ZINC000590901421 355209397 /nfs/dbraw/zinc/20/93/97/355209397.db2.gz VAVXEELFWCDFRS-OAHLLOKOSA-N 1 2 314.389 1.199 20 30 DDEDLO CC(C)(CC#N)CNC(=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000591558917 355327010 /nfs/dbraw/zinc/32/70/10/355327010.db2.gz RCUCLYGAEUEFCR-ZDUSSCGKSA-N 1 2 317.393 1.103 20 30 DDEDLO CS(=O)(=O)C[C@@H]([NH2+]C[C@@H](C#N)CCC#N)c1ccccc1 ZINC000591747825 355376539 /nfs/dbraw/zinc/37/65/39/355376539.db2.gz MGBZFKJIWNOCJE-UKRRQHHQSA-N 1 2 305.403 1.805 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc2ncccc21)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149307 355516469 /nfs/dbraw/zinc/51/64/69/355516469.db2.gz MQUKHKXREXBCOH-UGSOOPFHSA-N 1 2 324.384 1.912 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc2ncccc21)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149307 355516474 /nfs/dbraw/zinc/51/64/74/355516474.db2.gz MQUKHKXREXBCOH-UGSOOPFHSA-N 1 2 324.384 1.912 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000592150936 355517182 /nfs/dbraw/zinc/51/71/82/355517182.db2.gz JYKKJOYRBQDFFP-ZBFHGGJFSA-N 1 2 303.337 1.281 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000592150936 355517186 /nfs/dbraw/zinc/51/71/86/355517186.db2.gz JYKKJOYRBQDFFP-ZBFHGGJFSA-N 1 2 303.337 1.281 20 30 DDEDLO C[C@@H](C(=O)NCc1ccccc1F)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592152605 355518587 /nfs/dbraw/zinc/51/85/87/355518587.db2.gz YUEZAAMOWYLEPZ-BLLLJJGKSA-N 1 2 305.353 1.181 20 30 DDEDLO C[C@@H](C(=O)NCc1ccccc1F)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592152605 355518588 /nfs/dbraw/zinc/51/85/88/355518588.db2.gz YUEZAAMOWYLEPZ-BLLLJJGKSA-N 1 2 305.353 1.181 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2ccn(-c3ccccc3F)n2)C1 ZINC000592152856 355518925 /nfs/dbraw/zinc/51/89/25/355518925.db2.gz FTXNFXALPYJMIZ-MRXNPFEDSA-N 1 2 300.337 1.862 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2ccn(-c3ccccc3F)n2)C1 ZINC000592152856 355518929 /nfs/dbraw/zinc/51/89/29/355518929.db2.gz FTXNFXALPYJMIZ-MRXNPFEDSA-N 1 2 300.337 1.862 20 30 DDEDLO CC(C)(C#N)CCC[N@@H+]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC000592155443 355521035 /nfs/dbraw/zinc/52/10/35/355521035.db2.gz WPPBBADFLLFDAH-CQSZACIVSA-N 1 2 300.424 1.206 20 30 DDEDLO CC(C)(C#N)CCC[N@H+]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC000592155443 355521040 /nfs/dbraw/zinc/52/10/40/355521040.db2.gz WPPBBADFLLFDAH-CQSZACIVSA-N 1 2 300.424 1.206 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCC3(CC2)C(F)(F)C3(F)F)CCC1 ZINC000593059082 355782863 /nfs/dbraw/zinc/78/28/63/355782863.db2.gz RDIXNCDPEBQDHG-UHFFFAOYSA-N 1 2 319.302 1.915 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)C2(CCC2)CO1 ZINC000593091709 355793003 /nfs/dbraw/zinc/79/30/03/355793003.db2.gz KPNGYRGXLKKFIV-CQSZACIVSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)C2(CCC2)CO1 ZINC000593091709 355793004 /nfs/dbraw/zinc/79/30/04/355793004.db2.gz KPNGYRGXLKKFIV-CQSZACIVSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)C2(CCC2)CO1 ZINC000593091708 355793041 /nfs/dbraw/zinc/79/30/41/355793041.db2.gz KPNGYRGXLKKFIV-AWEZNQCLSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)C2(CCC2)CO1 ZINC000593091708 355793042 /nfs/dbraw/zinc/79/30/42/355793042.db2.gz KPNGYRGXLKKFIV-AWEZNQCLSA-N 1 2 313.401 1.818 20 30 DDEDLO Cc1ccc(C(=O)N(C)C)cc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000593343565 355858778 /nfs/dbraw/zinc/85/87/78/355858778.db2.gz SZUPLNDECBZRPP-OAHLLOKOSA-N 1 2 319.405 1.282 20 30 DDEDLO Cc1ccc(C(=O)N(C)C)cc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000593343565 355858779 /nfs/dbraw/zinc/85/87/79/355858779.db2.gz SZUPLNDECBZRPP-OAHLLOKOSA-N 1 2 319.405 1.282 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2ccsc2C#N)CC1 ZINC000593610231 355941835 /nfs/dbraw/zinc/94/18/35/355941835.db2.gz SVZGRFSIJFBHRQ-NSHDSACASA-N 1 2 313.448 1.725 20 30 DDEDLO CC[C@H](C#N)N(C)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000594579726 356254648 /nfs/dbraw/zinc/25/46/48/356254648.db2.gz QSFBJWILJKKOCI-CQSZACIVSA-N 1 2 318.402 1.854 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)n1cc(C[C@@H]([NH3+])C(=O)OC)nn1 ZINC000594651632 356275006 /nfs/dbraw/zinc/27/50/06/356275006.db2.gz FBOZHCPGBVSKPP-HZPDHXFCSA-N 1 2 314.389 1.681 20 30 DDEDLO COc1ccc(CN2CC[C@H]([N@H+](C)C[C@@H](C)C#N)C2=O)cc1 ZINC000595302450 356440341 /nfs/dbraw/zinc/44/03/41/356440341.db2.gz UFYSVDYYIVNMJR-BBRMVZONSA-N 1 2 301.390 1.888 20 30 DDEDLO COc1ccc(CN2CC[C@H]([N@@H+](C)C[C@@H](C)C#N)C2=O)cc1 ZINC000595302450 356440345 /nfs/dbraw/zinc/44/03/45/356440345.db2.gz UFYSVDYYIVNMJR-BBRMVZONSA-N 1 2 301.390 1.888 20 30 DDEDLO CN(C(=O)CN1CCn2c[nH+]cc2C1)C1(C#N)CCCCC1 ZINC000595493111 356516805 /nfs/dbraw/zinc/51/68/05/356516805.db2.gz CYELUUUZZZSNMM-UHFFFAOYSA-N 1 2 301.394 1.384 20 30 DDEDLO C[N@H+](CCC(=O)Nc1ccc(C#N)cc1)Cc1n[nH]c(=O)o1 ZINC000595546385 356542878 /nfs/dbraw/zinc/54/28/78/356542878.db2.gz HABKNRQOHREXGP-UHFFFAOYSA-N 1 2 301.306 1.107 20 30 DDEDLO C[N@@H+](CCC(=O)Nc1ccc(C#N)cc1)Cc1n[nH]c(=O)o1 ZINC000595546385 356542880 /nfs/dbraw/zinc/54/28/80/356542880.db2.gz HABKNRQOHREXGP-UHFFFAOYSA-N 1 2 301.306 1.107 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@@H+]2CCC[C@H](CC#N)C2)CCCCC1 ZINC000595620243 356580916 /nfs/dbraw/zinc/58/09/16/356580916.db2.gz KYUWPOXXVLHZAB-CQSZACIVSA-N 1 2 321.421 1.604 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@H+]2CCC[C@H](CC#N)C2)CCCCC1 ZINC000595620243 356580919 /nfs/dbraw/zinc/58/09/19/356580919.db2.gz KYUWPOXXVLHZAB-CQSZACIVSA-N 1 2 321.421 1.604 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@@H+]2CCC[C@@H](CC#N)C2)CCCCC1 ZINC000595620242 356581379 /nfs/dbraw/zinc/58/13/79/356581379.db2.gz KYUWPOXXVLHZAB-AWEZNQCLSA-N 1 2 321.421 1.604 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@H+]2CCC[C@@H](CC#N)C2)CCCCC1 ZINC000595620242 356581381 /nfs/dbraw/zinc/58/13/81/356581381.db2.gz KYUWPOXXVLHZAB-AWEZNQCLSA-N 1 2 321.421 1.604 20 30 DDEDLO CCn1nnc(C)c1C[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595630090 356584245 /nfs/dbraw/zinc/58/42/45/356584245.db2.gz SKZKENDYYDGAGW-UHFFFAOYSA-N 1 2 316.434 1.862 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC(=O)N(C)CC1 ZINC000595783255 356650532 /nfs/dbraw/zinc/65/05/32/356650532.db2.gz PNVLNSLBFSJMJE-GFCCVEGCSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC(=O)N(C)CC1 ZINC000595783255 356650538 /nfs/dbraw/zinc/65/05/38/356650538.db2.gz PNVLNSLBFSJMJE-GFCCVEGCSA-N 1 2 300.362 1.049 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2cc(OC)ccc2C1 ZINC000595759723 356640750 /nfs/dbraw/zinc/64/07/50/356640750.db2.gz OKTMDTPPLORZDY-UHFFFAOYSA-N 1 2 303.362 1.065 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2cc(OC)ccc2C1 ZINC000595759723 356640751 /nfs/dbraw/zinc/64/07/51/356640751.db2.gz OKTMDTPPLORZDY-UHFFFAOYSA-N 1 2 303.362 1.065 20 30 DDEDLO COc1ccc2c(c1)CC[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C2 ZINC000595761109 356642236 /nfs/dbraw/zinc/64/22/36/356642236.db2.gz ZFLSPDMWQYSDNK-SFHVURJKSA-N 1 2 313.401 1.862 20 30 DDEDLO COc1ccc2c(c1)CC[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C2 ZINC000595761109 356642241 /nfs/dbraw/zinc/64/22/41/356642241.db2.gz ZFLSPDMWQYSDNK-SFHVURJKSA-N 1 2 313.401 1.862 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCOC(C2CC2)(C2CC2)C1)C1CC1 ZINC000595821807 356663969 /nfs/dbraw/zinc/66/39/69/356663969.db2.gz GOPGNKDGBKXKCQ-QGZVFWFLSA-N 1 2 317.433 1.686 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCOC(C2CC2)(C2CC2)C1)C1CC1 ZINC000595821807 356663973 /nfs/dbraw/zinc/66/39/73/356663973.db2.gz GOPGNKDGBKXKCQ-QGZVFWFLSA-N 1 2 317.433 1.686 20 30 DDEDLO COc1cccc(CNC(=O)[C@@H](C)[N@@H+]2CC[C@@](C)(C#N)C2)c1 ZINC000595836210 356671147 /nfs/dbraw/zinc/67/11/47/356671147.db2.gz XOKLOHNNTPPTAN-DYVFJYSZSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1cccc(CNC(=O)[C@@H](C)[N@H+]2CC[C@@](C)(C#N)C2)c1 ZINC000595836210 356671150 /nfs/dbraw/zinc/67/11/50/356671150.db2.gz XOKLOHNNTPPTAN-DYVFJYSZSA-N 1 2 301.390 1.935 20 30 DDEDLO C[C@@]1(C#N)CC[N@H+](CC(=O)NCCCOc2ccccc2F)C1 ZINC000595837523 356672303 /nfs/dbraw/zinc/67/23/03/356672303.db2.gz IGSCUMBHNTXWTL-KRWDZBQOSA-N 1 2 319.380 1.946 20 30 DDEDLO C[C@@]1(C#N)CC[N@@H+](CC(=O)NCCCOc2ccccc2F)C1 ZINC000595837523 356672308 /nfs/dbraw/zinc/67/23/08/356672308.db2.gz IGSCUMBHNTXWTL-KRWDZBQOSA-N 1 2 319.380 1.946 20 30 DDEDLO COc1ccc(CN(C)C(=O)C[N@@H+]2CC[C@](C)(C#N)C2)cc1 ZINC000595832319 356668705 /nfs/dbraw/zinc/66/87/05/356668705.db2.gz ASKBXFRDKMKIMT-QGZVFWFLSA-N 1 2 301.390 1.889 20 30 DDEDLO COc1ccc(CN(C)C(=O)C[N@H+]2CC[C@](C)(C#N)C2)cc1 ZINC000595832319 356668707 /nfs/dbraw/zinc/66/87/07/356668707.db2.gz ASKBXFRDKMKIMT-QGZVFWFLSA-N 1 2 301.390 1.889 20 30 DDEDLO CC1(O)CCN(c2cc(NCC3(CC#N)CC3)[nH+]cn2)CC1 ZINC000596216856 356818588 /nfs/dbraw/zinc/81/85/88/356818588.db2.gz ULAWCSTVJCPZLU-UHFFFAOYSA-N 1 2 301.394 1.934 20 30 DDEDLO CC1(O)CCN(c2cc(NCC3(CC#N)CC3)nc[nH+]2)CC1 ZINC000596216856 356818590 /nfs/dbraw/zinc/81/85/90/356818590.db2.gz ULAWCSTVJCPZLU-UHFFFAOYSA-N 1 2 301.394 1.934 20 30 DDEDLO Cc1cncc(Cn2cc(C[NH+]3CCOCC3)cc(C#N)c2=O)c1 ZINC000596284940 356844312 /nfs/dbraw/zinc/84/43/12/356844312.db2.gz HLEOZPABDNKGEW-UHFFFAOYSA-N 1 2 324.384 1.304 20 30 DDEDLO CC1(O)CCN(c2cc(N3CCC[C@H](CC#N)C3)nc[nH+]2)CC1 ZINC000596326540 356858201 /nfs/dbraw/zinc/85/82/01/356858201.db2.gz VBHRTTJWBGIHKF-CQSZACIVSA-N 1 2 315.421 1.958 20 30 DDEDLO CC1(O)CCN(c2cc(N3CCC[C@H](CC#N)C3)[nH+]cn2)CC1 ZINC000596326540 356858206 /nfs/dbraw/zinc/85/82/06/356858206.db2.gz VBHRTTJWBGIHKF-CQSZACIVSA-N 1 2 315.421 1.958 20 30 DDEDLO N#Cc1cnnc(N2C[C@@H]3[C@H](C2)OCC[N@@H+]3Cc2ccccc2)c1 ZINC000596347430 356861851 /nfs/dbraw/zinc/86/18/51/356861851.db2.gz IPFAARCOGGQJBQ-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO N#Cc1cnnc(N2C[C@@H]3[C@H](C2)OCC[N@H+]3Cc2ccccc2)c1 ZINC000596347430 356861854 /nfs/dbraw/zinc/86/18/54/356861854.db2.gz IPFAARCOGGQJBQ-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)NCC(C)(C)CC#N)C(C)(C)CO ZINC000596624261 356935172 /nfs/dbraw/zinc/93/51/72/356935172.db2.gz OTNWNYUURPJJMI-GFCCVEGCSA-N 1 2 321.425 1.719 20 30 DDEDLO Cc1ccnc(N(CC[NH+]2CCOCC2)CC2CC2)c1C#N ZINC000596515048 356902475 /nfs/dbraw/zinc/90/24/75/356902475.db2.gz NCULIZVJKGRTKX-UHFFFAOYSA-N 1 2 300.406 1.810 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)[C@@H](C)CO1 ZINC000596725240 356961805 /nfs/dbraw/zinc/96/18/05/356961805.db2.gz HPEIYRDKYZTYQA-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)[C@@H](C)CO1 ZINC000596725240 356961807 /nfs/dbraw/zinc/96/18/07/356961807.db2.gz HPEIYRDKYZTYQA-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1ccc(F)cc1C#N ZINC000596783644 356976176 /nfs/dbraw/zinc/97/61/76/356976176.db2.gz OCRKWYMWRJMKIO-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1ccc(F)cc1C#N ZINC000596783644 356976179 /nfs/dbraw/zinc/97/61/79/356976179.db2.gz OCRKWYMWRJMKIO-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO N#Cc1cnc(N2CC[NH+](Cc3ccncc3)CC2)c([N+](=O)[O-])c1 ZINC000450221744 283232717 /nfs/dbraw/zinc/23/27/17/283232717.db2.gz VTJWOYKIFQQIKK-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO C=CCCSCCNC(=O)N(C)[C@@H](C)C[NH+]1CCOCC1 ZINC000596715933 356958882 /nfs/dbraw/zinc/95/88/82/356958882.db2.gz OEDCERYZSQLLJG-AWEZNQCLSA-N 1 2 315.483 1.658 20 30 DDEDLO C[C@H](CC#N)C(=O)N(CC[NH+]1CCOCC1)c1ccccc1 ZINC000597016464 357041306 /nfs/dbraw/zinc/04/13/06/357041306.db2.gz PHVZXUGLFOWHAT-OAHLLOKOSA-N 1 2 301.390 1.902 20 30 DDEDLO N#CCCN(Cc1ccccn1)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000597148861 357072640 /nfs/dbraw/zinc/07/26/40/357072640.db2.gz YNQUQZHOUYXOHM-CQSZACIVSA-N 1 2 309.373 1.783 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000276853314 213135676 /nfs/dbraw/zinc/13/56/76/213135676.db2.gz ZQSTUMCLGZTEIQ-KBPBESRZSA-N 1 2 306.410 1.216 20 30 DDEDLO N#CCCCCCC(=O)NC[C@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000597643194 357266786 /nfs/dbraw/zinc/26/67/86/357266786.db2.gz ZQHIONCNFNZFNB-CQSZACIVSA-N 1 2 319.409 1.872 20 30 DDEDLO N#CCCCCCC(=O)NC[C@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000597643194 357266787 /nfs/dbraw/zinc/26/67/87/357266787.db2.gz ZQHIONCNFNZFNB-CQSZACIVSA-N 1 2 319.409 1.872 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccc(C#N)c(O)c2)[C@H](C)CO1 ZINC000598000147 357415209 /nfs/dbraw/zinc/41/52/09/357415209.db2.gz XZHQLVMGSKODKU-VXGBXAGGSA-N 1 2 303.362 1.103 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(C#N)c(O)c2)[C@H](C)CO1 ZINC000598000147 357415213 /nfs/dbraw/zinc/41/52/13/357415213.db2.gz XZHQLVMGSKODKU-VXGBXAGGSA-N 1 2 303.362 1.103 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N[C@@H](C)c1[nH+]ccn1C ZINC000598459642 357591052 /nfs/dbraw/zinc/59/10/52/357591052.db2.gz JWDYYZKWUVJYOH-NSHDSACASA-N 1 2 314.345 1.557 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1c(C)cc(C)nc1OC ZINC000598647866 357668384 /nfs/dbraw/zinc/66/83/84/357668384.db2.gz DWZZDKYOYVYYBC-UHFFFAOYSA-N 1 2 320.393 1.151 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1c(C)cc(C)nc1OC ZINC000598647866 357668388 /nfs/dbraw/zinc/66/83/88/357668388.db2.gz DWZZDKYOYVYYBC-UHFFFAOYSA-N 1 2 320.393 1.151 20 30 DDEDLO C[C@H](NC(=O)C1CCCC1)C(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329706341 223016469 /nfs/dbraw/zinc/01/64/69/223016469.db2.gz JXQDUORNGZELPJ-FPMFFAJLSA-N 1 2 309.410 1.063 20 30 DDEDLO C[C@H](NC(=O)C1CCCC1)C(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329706341 223016470 /nfs/dbraw/zinc/01/64/70/223016470.db2.gz JXQDUORNGZELPJ-FPMFFAJLSA-N 1 2 309.410 1.063 20 30 DDEDLO CN1c2ccccc2C[N@H+](C[C@@H](O)CC(C)(C)C#N)CC1=O ZINC000598944552 357768710 /nfs/dbraw/zinc/76/87/10/357768710.db2.gz PSADGKASOXEAJQ-AWEZNQCLSA-N 1 2 301.390 1.766 20 30 DDEDLO CN1c2ccccc2C[N@@H+](C[C@@H](O)CC(C)(C)C#N)CC1=O ZINC000598944552 357768712 /nfs/dbraw/zinc/76/87/12/357768712.db2.gz PSADGKASOXEAJQ-AWEZNQCLSA-N 1 2 301.390 1.766 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@@H](O)COc2ccccc2C#N)no1 ZINC000599264289 357867398 /nfs/dbraw/zinc/86/73/98/357867398.db2.gz ACLMBVRTOHILJG-OAHLLOKOSA-N 1 2 301.346 1.726 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@@H](O)COc2ccccc2C#N)no1 ZINC000599264289 357867402 /nfs/dbraw/zinc/86/74/02/357867402.db2.gz ACLMBVRTOHILJG-OAHLLOKOSA-N 1 2 301.346 1.726 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000599361269 357909198 /nfs/dbraw/zinc/90/91/98/357909198.db2.gz UAFOFJSCNAUZSY-HUUCEWRRSA-N 1 2 318.373 1.325 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000599361269 357909200 /nfs/dbraw/zinc/90/92/00/357909200.db2.gz UAFOFJSCNAUZSY-HUUCEWRRSA-N 1 2 318.373 1.325 20 30 DDEDLO CC(C)(C#N)CCCC[NH+]1CCN(S(=O)(=O)C2CC2)CC1 ZINC000599538073 357966041 /nfs/dbraw/zinc/96/60/41/357966041.db2.gz GZIDGFBCKNWNCD-UHFFFAOYSA-N 1 2 313.467 1.816 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H]2CCC[C@]2(CO)C1 ZINC000599639147 358002132 /nfs/dbraw/zinc/00/21/32/358002132.db2.gz ZPQRFODKNXEXEC-LZLYRXPVSA-N 1 2 314.385 1.867 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1C[C@@H]2CCC[C@]2(CO)C1 ZINC000599639147 358002134 /nfs/dbraw/zinc/00/21/34/358002134.db2.gz ZPQRFODKNXEXEC-LZLYRXPVSA-N 1 2 314.385 1.867 20 30 DDEDLO N#C[C@@]1(NC(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CCSC1 ZINC000601025566 358386418 /nfs/dbraw/zinc/38/64/18/358386418.db2.gz DMHZDMZPPWOFEK-INIZCTEOSA-N 1 2 312.398 1.930 20 30 DDEDLO CC(C)(C#N)c1ccc(S(=O)(=O)NCc2[nH]cc[nH+]2)cc1 ZINC000601378787 358523983 /nfs/dbraw/zinc/52/39/83/358523983.db2.gz YCYFQJLBFPXFMM-UHFFFAOYSA-N 1 2 304.375 1.689 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)[C@H]3CCc4[nH+]c[nH]c4C3)C2)c1 ZINC000601679888 358651699 /nfs/dbraw/zinc/65/16/99/358651699.db2.gz HQUVKIJBROPINZ-ZDUSSCGKSA-N 1 2 321.384 1.709 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)[C@H]3CCc4[nH]c[nH+]c4C3)C2)c1 ZINC000601679888 358651703 /nfs/dbraw/zinc/65/17/03/358651703.db2.gz HQUVKIJBROPINZ-ZDUSSCGKSA-N 1 2 321.384 1.709 20 30 DDEDLO N#Cc1c(NC(=O)Cc2cn3c([nH+]2)CCCC3)n[nH]c1C1CC1 ZINC000601737239 358671870 /nfs/dbraw/zinc/67/18/70/358671870.db2.gz MEESSBLNNPWEKL-UHFFFAOYSA-N 1 2 310.361 1.873 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N[C@H]1CCn2c[nH+]cc2C1 ZINC000601967272 358757053 /nfs/dbraw/zinc/75/70/53/358757053.db2.gz FSSXYWKSKYCGJY-ZDUSSCGKSA-N 1 2 316.386 1.357 20 30 DDEDLO CCOc1cccc(CCC(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000602332655 358934792 /nfs/dbraw/zinc/93/47/92/358934792.db2.gz LBHWRUWEUUIBFH-QGZVFWFLSA-N 1 2 303.406 1.978 20 30 DDEDLO CCN(CC(F)(F)F)C(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602848632 359234950 /nfs/dbraw/zinc/23/49/50/359234950.db2.gz HOUDKFQFVRUBQR-VXGBXAGGSA-N 1 2 320.359 1.315 20 30 DDEDLO C[C@@H]1CCCC[C@H]1NC(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854327 359240927 /nfs/dbraw/zinc/24/09/27/359240927.db2.gz BFFAJWNPWDRCAJ-LVQVYYBASA-N 1 2 306.454 1.599 20 30 DDEDLO C[C@@H]1CCCC[C@H]1NC(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854327 359240932 /nfs/dbraw/zinc/24/09/32/359240932.db2.gz BFFAJWNPWDRCAJ-LVQVYYBASA-N 1 2 306.454 1.599 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854331 359241658 /nfs/dbraw/zinc/24/16/58/359241658.db2.gz BFFAJWNPWDRCAJ-WCVJEAGWSA-N 1 2 306.454 1.599 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854331 359241659 /nfs/dbraw/zinc/24/16/59/359241659.db2.gz BFFAJWNPWDRCAJ-WCVJEAGWSA-N 1 2 306.454 1.599 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)NCc2ccccc2)C[C@@H](C)N1CC#N ZINC000602858748 359245095 /nfs/dbraw/zinc/24/50/95/359245095.db2.gz UWDMJWDKWQWWTR-HUUCEWRRSA-N 1 2 300.406 1.221 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)NCCC2CCCCC2)C[C@H](C)N1CC#N ZINC000602861863 359247231 /nfs/dbraw/zinc/24/72/31/359247231.db2.gz MGFWGLWVPYFPPZ-HOTGVXAUSA-N 1 2 320.481 1.991 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)NCc2cccs2)C[C@@H](C)N1CC#N ZINC000602866442 359249766 /nfs/dbraw/zinc/24/97/66/359249766.db2.gz UHUFCLUVDAEXPJ-CHWSQXEVSA-N 1 2 306.435 1.282 20 30 DDEDLO CCOc1ccc(C#N)cc1NC(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000602809701 359206751 /nfs/dbraw/zinc/20/67/51/359206751.db2.gz CPBAHNYJJHBLRX-LBPRGKRZSA-N 1 2 311.345 1.900 20 30 DDEDLO COC(=O)[C@H](CC(C)C)NC(=O)C[N@H+](C)[C@H]1CCC[C@@H]1C#N ZINC000602976379 359335640 /nfs/dbraw/zinc/33/56/40/359335640.db2.gz OURIQSOEFAXKLB-RDBSUJKOSA-N 1 2 309.410 1.314 20 30 DDEDLO COC(=O)[C@H](CC(C)C)NC(=O)C[N@@H+](C)[C@H]1CCC[C@@H]1C#N ZINC000602976379 359335646 /nfs/dbraw/zinc/33/56/46/359335646.db2.gz OURIQSOEFAXKLB-RDBSUJKOSA-N 1 2 309.410 1.314 20 30 DDEDLO C[N@H+](CC(=O)N1CCO[C@@H]2CCCC[C@@H]21)[C@H]1CCC[C@H]1C#N ZINC000602975546 359335815 /nfs/dbraw/zinc/33/58/15/359335815.db2.gz CRZXTSJFFQUHJF-YHUYYLMFSA-N 1 2 305.422 1.780 20 30 DDEDLO C[N@@H+](CC(=O)N1CCO[C@@H]2CCCC[C@@H]21)[C@H]1CCC[C@H]1C#N ZINC000602975546 359335823 /nfs/dbraw/zinc/33/58/23/359335823.db2.gz CRZXTSJFFQUHJF-YHUYYLMFSA-N 1 2 305.422 1.780 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc(OC)c([N+](=O)[O-])c2)nn1 ZINC000603205402 359479903 /nfs/dbraw/zinc/47/99/03/359479903.db2.gz BIMGORBFCKSMLC-UHFFFAOYSA-N 1 2 315.333 1.356 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc(C(=O)OC)oc2CC)nn1 ZINC000603242758 359509028 /nfs/dbraw/zinc/50/90/28/359509028.db2.gz XFIBFEIDVRMXPJ-UHFFFAOYSA-N 1 2 316.361 1.381 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc(C(=O)OC)ccc2F)nn1 ZINC000603243482 359509788 /nfs/dbraw/zinc/50/97/88/359509788.db2.gz VZZLVHBKTMKFKF-UHFFFAOYSA-N 1 2 316.336 1.365 20 30 DDEDLO COCc1cc(C#N)ccc1NCC[NH+]1CCN(C(C)=O)CC1 ZINC000603274955 359533814 /nfs/dbraw/zinc/53/38/14/359533814.db2.gz MEYGFUCJRPLPFS-UHFFFAOYSA-N 1 2 316.405 1.281 20 30 DDEDLO Cn1cc(N2CCC[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC000329879230 223042018 /nfs/dbraw/zinc/04/20/18/223042018.db2.gz LYTCJFLDFHKJCE-LBPRGKRZSA-N 1 2 302.382 1.701 20 30 DDEDLO Cc1cccc(CC(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000608853574 360250599 /nfs/dbraw/zinc/25/05/99/360250599.db2.gz VWLMSZKHGUEMEE-UHFFFAOYSA-N 1 2 315.417 1.612 20 30 DDEDLO C[C@@H]1CCC[C@@](C#N)(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)C1 ZINC000609741665 360347655 /nfs/dbraw/zinc/34/76/55/360347655.db2.gz WLEYUSGHZQFPNB-MLGOLLRUSA-N 1 2 310.361 1.865 20 30 DDEDLO C[C@@H]1C(=O)NCCC[N@H+]1CC(=O)NC[C@H]1Cc2ccccc2O1 ZINC000329981089 223057364 /nfs/dbraw/zinc/05/73/64/223057364.db2.gz MPXAJTSEMGRURA-TZMCWYRMSA-N 1 2 317.389 1.997 20 30 DDEDLO C[C@@H]1C(=O)NCCC[N@@H+]1CC(=O)NC[C@H]1Cc2ccccc2O1 ZINC000329981089 223057368 /nfs/dbraw/zinc/05/73/68/223057368.db2.gz MPXAJTSEMGRURA-TZMCWYRMSA-N 1 2 317.389 1.997 20 30 DDEDLO CCC(CC)NC(=O)[C@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609485028 360312323 /nfs/dbraw/zinc/31/23/23/360312323.db2.gz XHCIVARXVPMZJG-HOCLYGCPSA-N 1 2 308.470 1.845 20 30 DDEDLO CC(C)N(C(=O)C[NH+]1CCN(CCC#N)CC1)c1ccccc1 ZINC000611175963 360648853 /nfs/dbraw/zinc/64/88/53/360648853.db2.gz QLTMFQQDBDWULN-UHFFFAOYSA-N 1 2 314.433 1.959 20 30 DDEDLO C[C@@H]1CC(=O)NCC[N@@H+]1Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000611178072 360650472 /nfs/dbraw/zinc/65/04/72/360650472.db2.gz PCAQIMWJPNTLBL-LLVKDONJSA-N 1 2 311.345 1.319 20 30 DDEDLO C[C@@H]1CC(=O)NCC[N@H+]1Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000611178072 360650475 /nfs/dbraw/zinc/65/04/75/360650475.db2.gz PCAQIMWJPNTLBL-LLVKDONJSA-N 1 2 311.345 1.319 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](C)c1nnn(-c2ccc(C)cc2)c1C ZINC000272073397 209444118 /nfs/dbraw/zinc/44/41/18/209444118.db2.gz VKXOUHSVQXGXLR-CYBMUJFWSA-N 1 2 313.405 1.837 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@@H+]2CC[C@H](C)[C@@H](F)C2)CC1 ZINC000611501922 360738346 /nfs/dbraw/zinc/73/83/46/360738346.db2.gz KOVZVDMPUXNHSA-JYJNAYRXSA-N 1 2 324.444 1.359 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@H+]2CC[C@H](C)[C@@H](F)C2)CC1 ZINC000611501922 360738351 /nfs/dbraw/zinc/73/83/51/360738351.db2.gz KOVZVDMPUXNHSA-JYJNAYRXSA-N 1 2 324.444 1.359 20 30 DDEDLO C[C@@H]1CCC[C@@H]1NC(=O)N1CCO[C@H](C[N@H+](C)CC(N)=O)C1 ZINC000330417569 223113108 /nfs/dbraw/zinc/11/31/08/223113108.db2.gz OKSIVOFGYOXLJR-UPJWGTAASA-N 1 2 312.414 1.257 20 30 DDEDLO C[C@@H]1CCC[C@@H]1NC(=O)N1CCO[C@H](C[N@@H+](C)CC(N)=O)C1 ZINC000330417569 223113111 /nfs/dbraw/zinc/11/31/11/223113111.db2.gz OKSIVOFGYOXLJR-UPJWGTAASA-N 1 2 312.414 1.257 20 30 DDEDLO C[C@@H]1C[C@@H](CNC(=O)NCc2ccc[nH+]c2N(C)C)CCO1 ZINC000330641679 223134053 /nfs/dbraw/zinc/13/40/53/223134053.db2.gz QQYJMHDYJJKKBB-OLZOCXBDSA-N 1 2 306.410 1.966 20 30 DDEDLO Cc1c(NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)cccc1N(C)C ZINC000330684648 223136686 /nfs/dbraw/zinc/13/66/86/223136686.db2.gz KEIVHSDGCAGIRR-AWEZNQCLSA-N 1 2 319.453 1.633 20 30 DDEDLO Cc1c(NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)cccc1N(C)C ZINC000330684648 223136690 /nfs/dbraw/zinc/13/66/90/223136690.db2.gz KEIVHSDGCAGIRR-AWEZNQCLSA-N 1 2 319.453 1.633 20 30 DDEDLO C[C@H]1CCCCN1C(=O)C[NH+]1CCN(C(=O)CCCC#N)CC1 ZINC000330897240 223151580 /nfs/dbraw/zinc/15/15/80/223151580.db2.gz NZPPLKIFVYLJEZ-HNNXBMFYSA-N 1 2 320.437 1.225 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)ccc1Cl ZINC000612610413 361080874 /nfs/dbraw/zinc/08/08/74/361080874.db2.gz USSFFSAYNNMSNZ-CQSZACIVSA-N 1 2 319.792 1.758 20 30 DDEDLO CS(=O)(=O)N1CC[C@@H](C[N@H+](CCC#N)Cc2ccccn2)C1 ZINC000338310774 223258270 /nfs/dbraw/zinc/25/82/70/223258270.db2.gz AVVHERUWLNFLNC-AWEZNQCLSA-N 1 2 322.434 1.079 20 30 DDEDLO CS(=O)(=O)N1CC[C@@H](C[N@@H+](CCC#N)Cc2ccccn2)C1 ZINC000338310774 223258272 /nfs/dbraw/zinc/25/82/72/223258272.db2.gz AVVHERUWLNFLNC-AWEZNQCLSA-N 1 2 322.434 1.079 20 30 DDEDLO C[C@@H](C#N)CNc1cc(C[NH+]2CCOCC2)ccc1[N+](=O)[O-] ZINC000619154016 363917174 /nfs/dbraw/zinc/91/71/74/363917174.db2.gz OLZPWMWZGXAPGN-LBPRGKRZSA-N 1 2 304.350 1.999 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2cccc(CC#N)c2)C(C)(C)C1 ZINC000619494135 364037270 /nfs/dbraw/zinc/03/72/70/364037270.db2.gz BGQFYFBORUSRNF-UHFFFAOYSA-N 1 2 307.419 1.467 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2cccc(CC#N)c2)C(C)(C)C1 ZINC000619494135 364037275 /nfs/dbraw/zinc/03/72/75/364037275.db2.gz BGQFYFBORUSRNF-UHFFFAOYSA-N 1 2 307.419 1.467 20 30 DDEDLO C=C[C@@H](C(=O)OC)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000619716445 364126747 /nfs/dbraw/zinc/12/67/47/364126747.db2.gz GOXJOKOYODHLBJ-AWEZNQCLSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(NC(=O)c2ccsc2)CC1 ZINC000619716763 364127911 /nfs/dbraw/zinc/12/79/11/364127911.db2.gz DFQVLLKJSZCHTD-CYBMUJFWSA-N 1 2 308.403 1.670 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CC[C@H](C(=O)N(C)c2ccccc2)C1 ZINC000619722391 364131948 /nfs/dbraw/zinc/13/19/48/364131948.db2.gz RGRMVKANZXGLRF-ZFWWWQNUSA-N 1 2 302.374 1.699 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CC[C@H](C(=O)N(C)c2ccccc2)C1 ZINC000619722391 364131955 /nfs/dbraw/zinc/13/19/55/364131955.db2.gz RGRMVKANZXGLRF-ZFWWWQNUSA-N 1 2 302.374 1.699 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@H]2CS(=O)(=O)Cc3ccccc32)C1=O ZINC000621354848 364817655 /nfs/dbraw/zinc/81/76/55/364817655.db2.gz WMMAJEIXNIEEDD-GJZGRUSLSA-N 1 2 320.414 1.033 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCO[C@H]([C@@H]4CCCO4)C3)n2c1 ZINC000091926993 193127771 /nfs/dbraw/zinc/12/77/71/193127771.db2.gz LZOZXQJLJWVBCL-HOTGVXAUSA-N 1 2 312.373 1.586 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCO[C@H]([C@@H]4CCCO4)C3)n2c1 ZINC000091926993 193127773 /nfs/dbraw/zinc/12/77/73/193127773.db2.gz LZOZXQJLJWVBCL-HOTGVXAUSA-N 1 2 312.373 1.586 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCO[C@H]([C@@H]4CCCO4)C3)n2c1 ZINC000091926993 193127775 /nfs/dbraw/zinc/12/77/75/193127775.db2.gz LZOZXQJLJWVBCL-HOTGVXAUSA-N 1 2 312.373 1.586 20 30 DDEDLO C[C@H](NCC#CC[NH2+][C@@H](C)c1csnn1)c1csnn1 ZINC000625470254 366947727 /nfs/dbraw/zinc/94/77/27/366947727.db2.gz CHVIELASOYIUAY-UWVGGRQHSA-N 1 2 308.436 1.394 20 30 DDEDLO Cn1cnnc1[C@@H]1CCCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000093809865 193309987 /nfs/dbraw/zinc/30/99/87/193309987.db2.gz RDVUXIFUHHPYRT-AWEZNQCLSA-N 1 2 307.361 1.672 20 30 DDEDLO C#CCCNC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000265055023 204367607 /nfs/dbraw/zinc/36/76/07/204367607.db2.gz KWOXAXLLEULKAG-ZDUSSCGKSA-N 1 2 302.378 1.129 20 30 DDEDLO N#C[C@H]1N(C(=O)CCn2cc[nH+]c2)CCC[C@]12CCCCO2 ZINC000373370662 223662529 /nfs/dbraw/zinc/66/25/29/223662529.db2.gz VFXSYGQJHFTBBL-GDBMZVCRSA-N 1 2 302.378 1.727 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CCC(c3[nH]cc[nH+]3)CC2)c1 ZINC000267573376 206153732 /nfs/dbraw/zinc/15/37/32/206153732.db2.gz OKBSYVYFRAPMGJ-UHFFFAOYSA-N 1 2 316.386 1.850 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@@H+]2CCC[C@H](CO)C2)C1=O ZINC000267563308 206148219 /nfs/dbraw/zinc/14/82/19/206148219.db2.gz HUAGYGHEWUYLRN-WCQYABFASA-N 1 2 305.403 1.429 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@H+]2CCC[C@H](CO)C2)C1=O ZINC000267563308 206148221 /nfs/dbraw/zinc/14/82/21/206148221.db2.gz HUAGYGHEWUYLRN-WCQYABFASA-N 1 2 305.403 1.429 20 30 DDEDLO C=CC[NH+](CC=C)[C@@H](C)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000267871451 206313550 /nfs/dbraw/zinc/31/35/50/206313550.db2.gz LSVBHFVADNHKTG-LBPRGKRZSA-N 1 2 323.418 1.335 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCc1cc(C#N)ccc1F ZINC000175111632 248392632 /nfs/dbraw/zinc/39/26/32/248392632.db2.gz XFXZEKGBXIZWMG-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO N#Cc1ncccc1N1CC[NH+](Cc2cn3ccccc3n2)CC1 ZINC000273991720 211248092 /nfs/dbraw/zinc/24/80/92/211248092.db2.gz ISOWGWPFEXQFKZ-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#Cc1ncccc1N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000273991720 211248097 /nfs/dbraw/zinc/24/80/97/211248097.db2.gz ISOWGWPFEXQFKZ-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO C[C@H]1CN(S(=O)(=O)Cc2cccc(C#N)c2)C[C@H](C)[NH+]1C ZINC000339068944 250279067 /nfs/dbraw/zinc/27/90/67/250279067.db2.gz SKKVPGUVKMICJM-STQMWFEESA-N 1 2 307.419 1.412 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3cnc(C#N)cn3)c[nH+]2)C[C@H](C)O1 ZINC000106464634 194218425 /nfs/dbraw/zinc/21/84/25/194218425.db2.gz UCTMANHSRLWGQA-STQMWFEESA-N 1 2 324.388 1.969 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)Cc2ccc(C#N)cc2)CC1(C)C ZINC000110752037 194357264 /nfs/dbraw/zinc/35/72/64/194357264.db2.gz UWRPIMNFSIAURE-UHFFFAOYSA-N 1 2 307.419 1.414 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)Cc2ccc(C#N)cc2)CC1(C)C ZINC000110752037 194357265 /nfs/dbraw/zinc/35/72/65/194357265.db2.gz UWRPIMNFSIAURE-UHFFFAOYSA-N 1 2 307.419 1.414 20 30 DDEDLO CC[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@@H](C)[S@@]1=O ZINC000567793145 304242545 /nfs/dbraw/zinc/24/25/45/304242545.db2.gz CBNMEOIZLXEWHX-SIMDFCGZSA-N 1 2 316.430 1.937 20 30 DDEDLO N#Cc1cnc(N2CCCC[C@H]2C[NH+]2CCOCC2)c(F)c1 ZINC000413151287 224144064 /nfs/dbraw/zinc/14/40/64/224144064.db2.gz YRRSLKYQMGEONV-AWEZNQCLSA-N 1 2 304.369 1.783 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)Nc2ccccc2OC)CC1 ZINC000341993848 533110083 /nfs/dbraw/zinc/11/00/83/533110083.db2.gz PWTRODTUEFOCRP-UHFFFAOYSA-N 1 2 317.389 1.886 20 30 DDEDLO COc1cc([N+](=O)[O-])ccc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000414151688 533142114 /nfs/dbraw/zinc/14/21/14/533142114.db2.gz WJQFYBMRXNVHDY-GFCCVEGCSA-N 1 2 309.322 1.189 20 30 DDEDLO COc1cc([N+](=O)[O-])ccc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000414151688 533142117 /nfs/dbraw/zinc/14/21/17/533142117.db2.gz WJQFYBMRXNVHDY-GFCCVEGCSA-N 1 2 309.322 1.189 20 30 DDEDLO COCc1ccc(CNC(=O)C[N@H+](C)CC(C)(C)C#N)cc1 ZINC000433297772 533246233 /nfs/dbraw/zinc/24/62/33/533246233.db2.gz MFACZIQGQCLTNF-UHFFFAOYSA-N 1 2 303.406 1.931 20 30 DDEDLO COCc1ccc(CNC(=O)C[N@@H+](C)CC(C)(C)C#N)cc1 ZINC000433297772 533246240 /nfs/dbraw/zinc/24/62/40/533246240.db2.gz MFACZIQGQCLTNF-UHFFFAOYSA-N 1 2 303.406 1.931 20 30 DDEDLO COCC[C@@](C)(C#N)NC(=O)c1ccc2[nH+]c(C)n(C)c2c1 ZINC000345014099 533295149 /nfs/dbraw/zinc/29/51/49/533295149.db2.gz IIWKNNFRWPOOOE-INIZCTEOSA-N 1 2 300.362 1.930 20 30 DDEDLO COc1ccc(C[N@@H+]2CCc3c(ncn(C)c3=O)C2)cc1C#N ZINC000332526652 533570076 /nfs/dbraw/zinc/57/00/76/533570076.db2.gz SLAQPFXWEVERSB-UHFFFAOYSA-N 1 2 310.357 1.219 20 30 DDEDLO COc1ccc(C[N@H+]2CCc3c(ncn(C)c3=O)C2)cc1C#N ZINC000332526652 533570080 /nfs/dbraw/zinc/57/00/80/533570080.db2.gz SLAQPFXWEVERSB-UHFFFAOYSA-N 1 2 310.357 1.219 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000579743062 422826487 /nfs/dbraw/zinc/82/64/87/422826487.db2.gz OEFWHGJNPNKLEH-OAHLLOKOSA-N 1 2 308.341 1.950 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)c3cccc(SCC#N)c3)C[C@@H]21 ZINC000152091499 407561624 /nfs/dbraw/zinc/56/16/24/407561624.db2.gz HAUPAOLBUSXFJP-GJZGRUSLSA-N 1 2 317.414 1.457 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)c3cccc(SCC#N)c3)C[C@@H]21 ZINC000152091499 407561629 /nfs/dbraw/zinc/56/16/29/407561629.db2.gz HAUPAOLBUSXFJP-GJZGRUSLSA-N 1 2 317.414 1.457 20 30 DDEDLO C=CCNC(=O)[C@H](C)S(=O)(=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000078309411 407024107 /nfs/dbraw/zinc/02/41/07/407024107.db2.gz OPPLMNNLYCTEFG-LBPRGKRZSA-N 1 2 321.402 1.248 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCNC(=O)[C@H]1C(C)C ZINC000077280611 406983182 /nfs/dbraw/zinc/98/31/82/406983182.db2.gz XXEAAXFXLOOQDL-MRXNPFEDSA-N 1 2 317.389 1.402 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCNC(=O)[C@H]1C(C)C ZINC000077280611 406983183 /nfs/dbraw/zinc/98/31/83/406983183.db2.gz XXEAAXFXLOOQDL-MRXNPFEDSA-N 1 2 317.389 1.402 20 30 DDEDLO Cc1csc(N2CC[NH+]([C@H](C)C(=O)N(C)CCC#N)CC2)n1 ZINC000047890750 407083069 /nfs/dbraw/zinc/08/30/69/407083069.db2.gz JTSPGLVFMMDJAP-CYBMUJFWSA-N 1 2 321.450 1.334 20 30 DDEDLO CCC(=O)N1CCC[N@H+](Cc2cnc3ccc(C#N)cn23)CC1 ZINC000092186963 407186110 /nfs/dbraw/zinc/18/61/10/407186110.db2.gz PQIXDFLWVHJACC-UHFFFAOYSA-N 1 2 311.389 1.650 20 30 DDEDLO CCC(=O)N1CCC[N@@H+](Cc2cnc3ccc(C#N)cn23)CC1 ZINC000092186963 407186113 /nfs/dbraw/zinc/18/61/13/407186113.db2.gz PQIXDFLWVHJACC-UHFFFAOYSA-N 1 2 311.389 1.650 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(CC#N)cc1 ZINC000058535497 407221925 /nfs/dbraw/zinc/22/19/25/407221925.db2.gz AOPCECROMQBEJH-CYBMUJFWSA-N 1 2 302.378 1.595 20 30 DDEDLO CC(C)Oc1cc(C[N@H+](CC(=O)NCC#N)C2CC2)ccn1 ZINC000122382729 407299435 /nfs/dbraw/zinc/29/94/35/407299435.db2.gz CGYZRVSLLGIBBN-UHFFFAOYSA-N 1 2 302.378 1.473 20 30 DDEDLO CC(C)Oc1cc(C[N@@H+](CC(=O)NCC#N)C2CC2)ccn1 ZINC000122382729 407299437 /nfs/dbraw/zinc/29/94/37/407299437.db2.gz CGYZRVSLLGIBBN-UHFFFAOYSA-N 1 2 302.378 1.473 20 30 DDEDLO C#CCOc1cccc(NC(=O)N[C@@H](C)C[NH+]2CCOCC2)c1 ZINC000108516774 407386786 /nfs/dbraw/zinc/38/67/86/407386786.db2.gz JONWNORZDRZVLM-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO COCC[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)Cc1ccc(C)o1 ZINC000125827861 407399151 /nfs/dbraw/zinc/39/91/51/407399151.db2.gz UCBUEMBXVXIMKY-KRWDZBQOSA-N 1 2 319.405 1.845 20 30 DDEDLO COCC[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)Cc1ccc(C)o1 ZINC000125827861 407399152 /nfs/dbraw/zinc/39/91/52/407399152.db2.gz UCBUEMBXVXIMKY-KRWDZBQOSA-N 1 2 319.405 1.845 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)N[C@H](C)Cn2cc[nH+]c2)c1C#N ZINC000266591649 407571900 /nfs/dbraw/zinc/57/19/00/407571900.db2.gz VXIUDIFHALGBRB-GFCCVEGCSA-N 1 2 311.345 1.207 20 30 DDEDLO COCC1=CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000185846435 407518561 /nfs/dbraw/zinc/51/85/61/407518561.db2.gz KQHFCSHXMCXJTR-UHFFFAOYSA-N 1 2 320.414 1.610 20 30 DDEDLO COCC1=CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000185846435 407518565 /nfs/dbraw/zinc/51/85/65/407518565.db2.gz KQHFCSHXMCXJTR-UHFFFAOYSA-N 1 2 320.414 1.610 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNc1ccc(C#N)cc1[N+](=O)[O-] ZINC000170869518 407537612 /nfs/dbraw/zinc/53/76/12/407537612.db2.gz QELOTLCISOXBRY-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNc1ccc(C#N)cc1[N+](=O)[O-] ZINC000170869518 407537618 /nfs/dbraw/zinc/53/76/18/407537618.db2.gz QELOTLCISOXBRY-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(Cc2csc(CC)n2)CC1 ZINC000128471575 407537986 /nfs/dbraw/zinc/53/79/86/407537986.db2.gz GRFHOJIEOKQOOI-CYBMUJFWSA-N 1 2 322.478 1.514 20 30 DDEDLO N#CCOc1ccc(CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000178811171 407609672 /nfs/dbraw/zinc/60/96/72/407609672.db2.gz FOYAYBBVDAOMIZ-CQSZACIVSA-N 1 2 310.357 1.664 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2ccc(CC#N)cc2)CCO1 ZINC000171226726 407633133 /nfs/dbraw/zinc/63/31/33/407633133.db2.gz XDSBVAJCIXSNDE-UHFFFAOYSA-N 1 2 316.405 1.985 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2ccc(CC#N)cc2)CCO1 ZINC000171226726 407633141 /nfs/dbraw/zinc/63/31/41/407633141.db2.gz XDSBVAJCIXSNDE-UHFFFAOYSA-N 1 2 316.405 1.985 20 30 DDEDLO C=CC[NH+]1CCC(NS(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000171537863 407699306 /nfs/dbraw/zinc/69/93/06/407699306.db2.gz BEZVOHPBONXZDJ-UHFFFAOYSA-N 1 2 322.430 1.818 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+]CC(=O)NC2CCCCC2)C(N)=O)cc1 ZINC000115598667 407699422 /nfs/dbraw/zinc/69/94/22/407699422.db2.gz NYTLJWXFHMOVNP-INIZCTEOSA-N 1 2 314.389 1.123 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+]CC(=O)Nc2ccccc2)C(N)=O)cc1 ZINC000115597654 407700349 /nfs/dbraw/zinc/70/03/49/407700349.db2.gz OCVDAMMAERRNGJ-MRXNPFEDSA-N 1 2 308.341 1.313 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[NH+](C3CC3)CC2)ccc1C#N ZINC000235972596 407723783 /nfs/dbraw/zinc/72/37/83/407723783.db2.gz GMOCGMMHJOIBTA-UHFFFAOYSA-N 1 2 305.403 1.335 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)c1 ZINC000267142589 407763388 /nfs/dbraw/zinc/76/33/88/407763388.db2.gz YGAQAEZUMLPEFK-MRXNPFEDSA-N 1 2 324.384 1.517 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)c1 ZINC000267142589 407763394 /nfs/dbraw/zinc/76/33/94/407763394.db2.gz YGAQAEZUMLPEFK-MRXNPFEDSA-N 1 2 324.384 1.517 20 30 DDEDLO C=CC[N@@H+](Cc1cc(OC)ccc1O)[C@H]1CCS(=O)(=O)C1 ZINC000179819463 407835461 /nfs/dbraw/zinc/83/54/61/407835461.db2.gz VAWVNBPVUNSBPL-ZDUSSCGKSA-N 1 2 311.403 1.576 20 30 DDEDLO C=CC[N@H+](Cc1cc(OC)ccc1O)[C@H]1CCS(=O)(=O)C1 ZINC000179819463 407835467 /nfs/dbraw/zinc/83/54/67/407835467.db2.gz VAWVNBPVUNSBPL-ZDUSSCGKSA-N 1 2 311.403 1.576 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000135109989 407974338 /nfs/dbraw/zinc/97/43/38/407974338.db2.gz HKOWWYOKORJPHA-MAUKXSAKSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C1CC[NH+](CC(=O)Nc2cc(S(N)(=O)=O)ccc2C)CC1 ZINC000268297919 407990917 /nfs/dbraw/zinc/99/09/17/407990917.db2.gz GHTLLGNPAHTOKV-UHFFFAOYSA-N 1 2 323.418 1.233 20 30 DDEDLO NC(CCO)=[NH+]OCC(=O)Nc1ccc(C(F)(F)F)cc1 ZINC000136063915 408072308 /nfs/dbraw/zinc/07/23/08/408072308.db2.gz SXBMHDIQQJCZBC-UHFFFAOYSA-N 1 2 305.256 1.315 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H](c2ccccc2)[NH+]2CCOCC2)c1 ZINC000175223763 408083653 /nfs/dbraw/zinc/08/36/53/408083653.db2.gz ZDUOYCVSBCXZBE-KRWDZBQOSA-N 1 2 324.384 1.690 20 30 DDEDLO N#Cc1c[nH]c(C(=O)Nc2cccc(C[NH+]3CCOCC3)c2)c1 ZINC000175416052 408124537 /nfs/dbraw/zinc/12/45/37/408124537.db2.gz SYLLKAIYZWETDO-UHFFFAOYSA-N 1 2 310.357 1.971 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+]([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000175569404 408163233 /nfs/dbraw/zinc/16/32/33/408163233.db2.gz IKQAWKAIDPLMQX-OAHLLOKOSA-N 1 2 321.465 1.934 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@@H](CC(N)=O)C1 ZINC000246355988 408230385 /nfs/dbraw/zinc/23/03/85/408230385.db2.gz GGOKJQFRRMJEEE-BBRMVZONSA-N 1 2 315.373 1.360 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCC[C@@H](CC(N)=O)C1 ZINC000246355988 408230387 /nfs/dbraw/zinc/23/03/87/408230387.db2.gz GGOKJQFRRMJEEE-BBRMVZONSA-N 1 2 315.373 1.360 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+](C)C[C@H](C)C(=O)OC ZINC000246516008 408280925 /nfs/dbraw/zinc/28/09/25/408280925.db2.gz RADHUBFFOYWSBZ-SMDDNHRTSA-N 1 2 304.346 1.513 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+](C)C[C@H](C)C(=O)OC ZINC000246516008 408280928 /nfs/dbraw/zinc/28/09/28/408280928.db2.gz RADHUBFFOYWSBZ-SMDDNHRTSA-N 1 2 304.346 1.513 20 30 DDEDLO C[N@H+](Cc1cccc(OCCCC#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000157584741 408310958 /nfs/dbraw/zinc/31/09/58/408310958.db2.gz BLGKGMLDZFRGSJ-HNNXBMFYSA-N 1 2 322.430 1.988 20 30 DDEDLO C[N@@H+](Cc1cccc(OCCCC#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000157584741 408310963 /nfs/dbraw/zinc/31/09/63/408310963.db2.gz BLGKGMLDZFRGSJ-HNNXBMFYSA-N 1 2 322.430 1.988 20 30 DDEDLO C[C@H](O)[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000167739638 162177387 /nfs/dbraw/zinc/17/73/87/162177387.db2.gz PWQWYINUEQRPTP-JSGCOSHPSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H](O)[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000167739638 162177390 /nfs/dbraw/zinc/17/73/90/162177390.db2.gz PWQWYINUEQRPTP-JSGCOSHPSA-N 1 2 308.403 1.035 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2sccc2C#N)CC1 ZINC000173643943 162353758 /nfs/dbraw/zinc/35/37/58/162353758.db2.gz NGPPQIWABNQJRO-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO CC[C@H](C)[C@H]([NH2+]C[C@@H](O)COc1ccc(C#N)cc1)C(=O)OC ZINC000183683210 408469635 /nfs/dbraw/zinc/46/96/35/408469635.db2.gz LGMGLCCARZUUNN-BJJXKVORSA-N 1 2 320.389 1.475 20 30 DDEDLO Cc1nc(N[C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)cc[nH+]1 ZINC000192250123 408562684 /nfs/dbraw/zinc/56/26/84/408562684.db2.gz DZDQLYYBNKEGOY-HNNXBMFYSA-N 1 2 307.357 1.870 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)cc[nH+]1 ZINC000192250117 408563781 /nfs/dbraw/zinc/56/37/81/408563781.db2.gz DZDQLYYBNKEGOY-OAHLLOKOSA-N 1 2 307.357 1.870 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(c2nc(C)ccc2C#N)CC1 ZINC000161555844 408615236 /nfs/dbraw/zinc/61/52/36/408615236.db2.gz CUEZXLSXSSXSAK-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(c2nc(C)ccc2C#N)CC1 ZINC000161555844 408615241 /nfs/dbraw/zinc/61/52/41/408615241.db2.gz CUEZXLSXSSXSAK-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@H+]2C[C@H](O)C[C@H]2CO)c1 ZINC000248950422 408665169 /nfs/dbraw/zinc/66/51/69/408665169.db2.gz BBVRYORRYUCMNN-NWDGAFQWSA-N 1 2 311.179 1.248 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@@H+]2C[C@H](O)C[C@H]2CO)c1 ZINC000248950422 408665175 /nfs/dbraw/zinc/66/51/75/408665175.db2.gz BBVRYORRYUCMNN-NWDGAFQWSA-N 1 2 311.179 1.248 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncccn2)C1 ZINC000275975422 408737254 /nfs/dbraw/zinc/73/72/54/408737254.db2.gz NXKDRABEKVZBEE-HUUCEWRRSA-N 1 2 318.421 1.491 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000275975422 408737260 /nfs/dbraw/zinc/73/72/60/408737260.db2.gz NXKDRABEKVZBEE-HUUCEWRRSA-N 1 2 318.421 1.491 20 30 DDEDLO CCCCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000193768722 408741943 /nfs/dbraw/zinc/74/19/43/408741943.db2.gz PEZXPCABJASYFP-AWEZNQCLSA-N 1 2 315.421 1.380 20 30 DDEDLO CC#CCCCC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000185039682 408745582 /nfs/dbraw/zinc/74/55/82/408745582.db2.gz OPNRHMIORGBBNA-UHFFFAOYSA-N 1 2 301.390 1.728 20 30 DDEDLO C[C@@H]1C[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC[C@H]1O ZINC000249606837 408750357 /nfs/dbraw/zinc/75/03/57/408750357.db2.gz ZXWLBYSQHWSOOZ-RAIGVLPGSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@@H]1C[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC[C@H]1O ZINC000249606837 408750363 /nfs/dbraw/zinc/75/03/63/408750363.db2.gz ZXWLBYSQHWSOOZ-RAIGVLPGSA-N 1 2 305.403 1.428 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[NH2+][C@H](CCO)c1ccco1 ZINC000185116340 408761720 /nfs/dbraw/zinc/76/17/20/408761720.db2.gz AAHYEJUXCOKEAM-LLVKDONJSA-N 1 2 305.359 1.865 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)N(CC)CC(=C)C)C1=O ZINC000281362926 408882539 /nfs/dbraw/zinc/88/25/39/408882539.db2.gz NWWPAUSXGVQONG-GJZGRUSLSA-N 1 2 307.438 1.518 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)N(CC)CC(=C)C)C1=O ZINC000281362926 408882541 /nfs/dbraw/zinc/88/25/41/408882541.db2.gz NWWPAUSXGVQONG-GJZGRUSLSA-N 1 2 307.438 1.518 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCc3cc[nH]c(=O)c3C2)CCCCC1 ZINC000276859518 408902494 /nfs/dbraw/zinc/90/24/94/408902494.db2.gz AXZLXALHOMMJGA-UHFFFAOYSA-N 1 2 314.389 1.488 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCc3cc[nH]c(=O)c3C2)CCCCC1 ZINC000276859518 408902496 /nfs/dbraw/zinc/90/24/96/408902496.db2.gz AXZLXALHOMMJGA-UHFFFAOYSA-N 1 2 314.389 1.488 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)C(=O)Nc1ccc(C)[nH+]c1C ZINC000281743701 408922205 /nfs/dbraw/zinc/92/22/05/408922205.db2.gz KLEPYCGVVZMBTM-HIFRSBDPSA-N 1 2 317.389 1.734 20 30 DDEDLO C[NH+](C)Cc1nc(C(C)(C)NC(=O)c2ccnc(C#N)c2)no1 ZINC000193069774 163246654 /nfs/dbraw/zinc/24/66/54/163246654.db2.gz KLGMDXJWDMIPJK-UHFFFAOYSA-N 1 2 314.349 1.063 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)NCc1ccc(C#N)cc1 ZINC000195035478 163304404 /nfs/dbraw/zinc/30/44/04/163304404.db2.gz XRBBYIPTQSTXBE-UHFFFAOYSA-N 1 2 310.313 1.217 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cn(C)nc2C(F)(F)F)C1=O ZINC000281882676 408946915 /nfs/dbraw/zinc/94/69/15/408946915.db2.gz DFDUNYLCSNFMEA-LLVKDONJSA-N 1 2 316.327 1.658 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cn(C)nc2C(F)(F)F)C1=O ZINC000281882676 408946916 /nfs/dbraw/zinc/94/69/16/408946916.db2.gz DFDUNYLCSNFMEA-LLVKDONJSA-N 1 2 316.327 1.658 20 30 DDEDLO N#Cc1ccccc1N1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC000287241679 409006638 /nfs/dbraw/zinc/00/66/38/409006638.db2.gz BYXZVTQGINQNMN-UHFFFAOYSA-N 1 2 309.373 1.563 20 30 DDEDLO C#CC[C@@H](Cc1ccccc1)NC(=O)[C@@H](c1c[nH+]cn1C)N(C)C ZINC000287364713 409026358 /nfs/dbraw/zinc/02/63/58/409026358.db2.gz NVADVWLREYIIMG-FUHWJXTLSA-N 1 2 324.428 1.774 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000292606331 409037603 /nfs/dbraw/zinc/03/76/03/409037603.db2.gz WLFYQPSECVIVFZ-KGLIPLIRSA-N 1 2 318.352 1.495 20 30 DDEDLO C=CCC(C)(C)CNc1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000293118136 409053018 /nfs/dbraw/zinc/05/30/18/409053018.db2.gz PYEUISZYTARFGD-UHFFFAOYSA-N 1 2 303.410 1.769 20 30 DDEDLO C=CCC(C)(C)CNc1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000293118136 409053021 /nfs/dbraw/zinc/05/30/21/409053021.db2.gz PYEUISZYTARFGD-UHFFFAOYSA-N 1 2 303.410 1.769 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC[N@@H+](CCC(=O)OC)C[C@H]1C ZINC000293530460 409131886 /nfs/dbraw/zinc/13/18/86/409131886.db2.gz RFOKCHPWEGUYGI-LLVKDONJSA-N 1 2 304.337 1.294 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC[N@H+](CCC(=O)OC)C[C@H]1C ZINC000293530460 409131887 /nfs/dbraw/zinc/13/18/87/409131887.db2.gz RFOKCHPWEGUYGI-LLVKDONJSA-N 1 2 304.337 1.294 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@H](CS(C)(=O)=O)C2)cc1C#N ZINC000293231517 409072696 /nfs/dbraw/zinc/07/26/96/409072696.db2.gz HHQKZKXIYKZNOM-AWEZNQCLSA-N 1 2 322.430 1.823 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@H](CS(C)(=O)=O)C2)cc1C#N ZINC000293231517 409072699 /nfs/dbraw/zinc/07/26/99/409072699.db2.gz HHQKZKXIYKZNOM-AWEZNQCLSA-N 1 2 322.430 1.823 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C#N)cc1 ZINC000279054103 409139816 /nfs/dbraw/zinc/13/98/16/409139816.db2.gz CPNXQLGNRCWZRW-UHFFFAOYSA-N 1 2 301.390 1.741 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C#N)cc1 ZINC000279054103 409139819 /nfs/dbraw/zinc/13/98/19/409139819.db2.gz CPNXQLGNRCWZRW-UHFFFAOYSA-N 1 2 301.390 1.741 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H](C#N)C1CCCCC1 ZINC000283482421 409164727 /nfs/dbraw/zinc/16/47/27/409164727.db2.gz QAPYNBZIXVKLRQ-LSDHHAIUSA-N 1 2 317.393 1.046 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H](C#N)C1CCCCC1 ZINC000283482421 409164729 /nfs/dbraw/zinc/16/47/29/409164729.db2.gz QAPYNBZIXVKLRQ-LSDHHAIUSA-N 1 2 317.393 1.046 20 30 DDEDLO C[C@@H](c1ccc(F)cc1)N(C)C(=O)CO[NH+]=C(N)[C@@H]1CCCO1 ZINC000284033965 409261442 /nfs/dbraw/zinc/26/14/42/409261442.db2.gz IQXWNMIXLBUOQN-FZMZJTMJSA-N 1 2 323.368 1.813 20 30 DDEDLO C#CCCOc1ccc(C(=O)N2CC[NH+](CCOC)CC2)cc1 ZINC000294881543 409304808 /nfs/dbraw/zinc/30/48/08/409304808.db2.gz DMFNQKKZPORBEU-UHFFFAOYSA-N 1 2 316.401 1.493 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCN(C2=[NH+]CC(C)(C)S2)CC1 ZINC000290123264 409305858 /nfs/dbraw/zinc/30/58/58/409305858.db2.gz SJTUQAVTZJUYMG-HNNXBMFYSA-N 1 2 311.451 1.339 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1csc(Cl)n1 ZINC000295108950 409326868 /nfs/dbraw/zinc/32/68/68/409326868.db2.gz VCXMSIAEQJSAJX-UHFFFAOYSA-N 1 2 314.842 1.844 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000285073093 409396502 /nfs/dbraw/zinc/39/65/02/409396502.db2.gz RPQIZPXMSOZYNR-WMZOPIPTSA-N 1 2 315.417 1.943 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000285073093 409396509 /nfs/dbraw/zinc/39/65/09/409396509.db2.gz RPQIZPXMSOZYNR-WMZOPIPTSA-N 1 2 315.417 1.943 20 30 DDEDLO N#Cc1ccc(-c2nnc(CO[NH+]=C(N)[C@@H]3CCCO3)o2)cc1 ZINC000284530170 409347069 /nfs/dbraw/zinc/34/70/69/409347069.db2.gz UZQINHQKYIRRPL-LBPRGKRZSA-N 1 2 313.317 1.576 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccc(C)n3)n2C(C)C)CC1 ZINC000296088430 409425571 /nfs/dbraw/zinc/42/55/71/409425571.db2.gz SOODTGLFQLHSEG-UHFFFAOYSA-N 1 2 324.432 1.985 20 30 DDEDLO CC[N@H+](CCC#N)C[C@@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000304681064 409637738 /nfs/dbraw/zinc/63/77/38/409637738.db2.gz DZUUVRSUJKOUHI-CQSZACIVSA-N 1 2 316.361 1.100 20 30 DDEDLO CC[N@@H+](CCC#N)C[C@@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000304681064 409637747 /nfs/dbraw/zinc/63/77/47/409637747.db2.gz DZUUVRSUJKOUHI-CQSZACIVSA-N 1 2 316.361 1.100 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@H+](C)[C@H](C)[C@H]2C)cc1C#N ZINC000356981719 409765428 /nfs/dbraw/zinc/76/54/28/409765428.db2.gz RRMWJBWSWSQTFS-NXEZZACHSA-N 1 2 320.418 1.545 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@@H+](C)[C@H](C)[C@H]2C)cc1C#N ZINC000356981719 409765434 /nfs/dbraw/zinc/76/54/34/409765434.db2.gz RRMWJBWSWSQTFS-NXEZZACHSA-N 1 2 320.418 1.545 20 30 DDEDLO CCC[N@H+](Cc1cc(C#N)ccc1OC)[C@H]1CC(=O)N(C)C1=O ZINC000338333823 409919796 /nfs/dbraw/zinc/91/97/96/409919796.db2.gz YFRXJGKOFAGDRT-AWEZNQCLSA-N 1 2 315.373 1.536 20 30 DDEDLO CCC[N@@H+](Cc1cc(C#N)ccc1OC)[C@H]1CC(=O)N(C)C1=O ZINC000338333823 409919806 /nfs/dbraw/zinc/91/98/06/409919806.db2.gz YFRXJGKOFAGDRT-AWEZNQCLSA-N 1 2 315.373 1.536 20 30 DDEDLO CN(C)c1ncc(NC(=O)N2CCC(c3[nH]cc[nH+]3)CC2)cn1 ZINC000328651981 409944167 /nfs/dbraw/zinc/94/41/67/409944167.db2.gz KENPXUPQOABBFJ-UHFFFAOYSA-N 1 2 315.381 1.691 20 30 DDEDLO CC(=O)N[C@H](C(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1)C1CCCC1 ZINC000328885612 410002648 /nfs/dbraw/zinc/00/26/48/410002648.db2.gz OKMMGKXGUMCBIF-JYJNAYRXSA-N 1 2 323.437 1.453 20 30 DDEDLO CC(=O)N[C@H](C(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1)C1CCCC1 ZINC000328885612 410002653 /nfs/dbraw/zinc/00/26/53/410002653.db2.gz OKMMGKXGUMCBIF-JYJNAYRXSA-N 1 2 323.437 1.453 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000342924771 410004335 /nfs/dbraw/zinc/00/43/35/410004335.db2.gz KCCTVJOFGZGSCH-UHFFFAOYSA-N 1 2 312.373 1.523 20 30 DDEDLO CC(C)c1[nH+]c2c(n1C)CCN(C(=O)NCc1ccn(C)n1)C2 ZINC000329046438 410070742 /nfs/dbraw/zinc/07/07/42/410070742.db2.gz PXVVTNBLFYGERG-UHFFFAOYSA-N 1 2 316.409 1.749 20 30 DDEDLO Cc1n[nH]c(C)c1NC(=O)C[N@H+]1C[C@H](N2CCOCC2)C[C@H]1C ZINC000329179499 410149570 /nfs/dbraw/zinc/14/95/70/410149570.db2.gz NLLINPXIHWEXQA-BXUZGUMPSA-N 1 2 321.425 1.410 20 30 DDEDLO Cc1n[nH]c(C)c1NC(=O)C[N@@H+]1C[C@H](N2CCOCC2)C[C@H]1C ZINC000329179499 410149577 /nfs/dbraw/zinc/14/95/77/410149577.db2.gz NLLINPXIHWEXQA-BXUZGUMPSA-N 1 2 321.425 1.410 20 30 DDEDLO Cc1n[nH]c(C)c1NC(=O)CN1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000329179499 410149584 /nfs/dbraw/zinc/14/95/84/410149584.db2.gz NLLINPXIHWEXQA-BXUZGUMPSA-N 1 2 321.425 1.410 20 30 DDEDLO Cc1ncc(C(=O)NCC(=O)NCC[NH+]2CCOCC2)s1 ZINC000329199306 410162715 /nfs/dbraw/zinc/16/27/15/410162715.db2.gz AYUGCHBFCSRCGP-UHFFFAOYSA-N 1 2 312.395 1.045 20 30 DDEDLO CC(C)[N@@H+]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)[C@H]1C ZINC000329230002 410178939 /nfs/dbraw/zinc/17/89/39/410178939.db2.gz DCWGHPCVSGXAJZ-AVGNSLFASA-N 1 2 302.440 1.781 20 30 DDEDLO CC(C)[N@H+]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)[C@H]1C ZINC000329230002 410178946 /nfs/dbraw/zinc/17/89/46/410178946.db2.gz DCWGHPCVSGXAJZ-AVGNSLFASA-N 1 2 302.440 1.781 20 30 DDEDLO C[C@@H](CNC(=O)Cc1n[nH]c2ccccc12)[NH+]1CCN(C)CC1 ZINC000329255700 410194469 /nfs/dbraw/zinc/19/44/69/410194469.db2.gz BJGQXATUEYXGTN-ZDUSSCGKSA-N 1 2 315.421 1.698 20 30 DDEDLO Cc1nn(C)c(C)c1[C@H](C)C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC000329342197 410243994 /nfs/dbraw/zinc/24/39/94/410243994.db2.gz ZZFLWRJDZHSMBK-STQMWFEESA-N 1 2 321.469 1.733 20 30 DDEDLO Cc1nnc(N2CC[NH+](Cc3cnn(C)c3)CC2)c(C#N)c1C ZINC000339730018 410424667 /nfs/dbraw/zinc/42/46/67/410424667.db2.gz FAFGNADRWSQWLG-UHFFFAOYSA-N 1 2 311.393 1.021 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cn(-c3ccccc3)nn2)CC1 ZINC000299323691 410586109 /nfs/dbraw/zinc/58/61/09/410586109.db2.gz NXKMMYIJPSNTOD-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C(N)=O)cc1)[NH+]1CCC(F)(C#N)CC1 ZINC000352484327 410660025 /nfs/dbraw/zinc/66/00/25/410660025.db2.gz NTSIJYGYVZDQSF-NSHDSACASA-N 1 2 318.352 1.440 20 30 DDEDLO CC[C@@H](C)[N@H+](CC(=O)NCc1cccc(C#N)c1)CC(=O)OC ZINC000337236478 410667238 /nfs/dbraw/zinc/66/72/38/410667238.db2.gz LRQFUFMMHPHEOD-CYBMUJFWSA-N 1 2 317.389 1.448 20 30 DDEDLO CC[C@@H](C)[N@@H+](CC(=O)NCc1cccc(C#N)c1)CC(=O)OC ZINC000337236478 410667242 /nfs/dbraw/zinc/66/72/42/410667242.db2.gz LRQFUFMMHPHEOD-CYBMUJFWSA-N 1 2 317.389 1.448 20 30 DDEDLO CCn1nccc1C[N@H+](C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000352348533 410637220 /nfs/dbraw/zinc/63/72/20/410637220.db2.gz ATXYBEKCXYPREM-CZUORRHYSA-N 1 2 305.426 1.778 20 30 DDEDLO CCn1nccc1C[N@@H+](C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000352348533 410637228 /nfs/dbraw/zinc/63/72/28/410637228.db2.gz ATXYBEKCXYPREM-CZUORRHYSA-N 1 2 305.426 1.778 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)c1cc(N2CCOCC2)nc[nH+]1 ZINC000301300499 410692226 /nfs/dbraw/zinc/69/22/26/410692226.db2.gz UQPPBPJNHGYXLF-UHFFFAOYSA-N 1 2 309.373 1.821 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)c1cc(N2CCOCC2)[nH+]cn1 ZINC000301300499 410692231 /nfs/dbraw/zinc/69/22/31/410692231.db2.gz UQPPBPJNHGYXLF-UHFFFAOYSA-N 1 2 309.373 1.821 20 30 DDEDLO C=C(C)CNC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000355938341 410738177 /nfs/dbraw/zinc/73/81/77/410738177.db2.gz PYBMGNSBRSSFQL-OAHLLOKOSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(C)CNC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000355938341 410738180 /nfs/dbraw/zinc/73/81/80/410738180.db2.gz PYBMGNSBRSSFQL-OAHLLOKOSA-N 1 2 318.421 1.710 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(Cc2cccc(C#N)c2F)CC1 ZINC000352888293 410683758 /nfs/dbraw/zinc/68/37/58/410683758.db2.gz UJCPQZQDMWKMJN-UHFFFAOYSA-N 1 2 313.380 1.749 20 30 DDEDLO N#Cc1ccnc(N2CCCN(c3cccc[nH+]3)CC2)c1[N+](=O)[O-] ZINC000302213580 410844155 /nfs/dbraw/zinc/84/41/55/410844155.db2.gz HTQJLSRRWICDDA-UHFFFAOYSA-N 1 2 324.344 1.973 20 30 DDEDLO C[N@H+](Cc1nnc(-c2ccc(C#N)cc2)o1)[C@]1(CO)CCOC1 ZINC000359788386 410882382 /nfs/dbraw/zinc/88/23/82/410882382.db2.gz DLMIIAYHMAEVBX-INIZCTEOSA-N 1 2 314.345 1.191 20 30 DDEDLO C[N@@H+](Cc1nnc(-c2ccc(C#N)cc2)o1)[C@]1(CO)CCOC1 ZINC000359788386 410882389 /nfs/dbraw/zinc/88/23/89/410882389.db2.gz DLMIIAYHMAEVBX-INIZCTEOSA-N 1 2 314.345 1.191 20 30 DDEDLO COc1cc(C#N)ccc1OCCCC(=O)NCc1c[nH+]c[nH]1 ZINC000359692594 410819868 /nfs/dbraw/zinc/81/98/68/410819868.db2.gz MAHMVVMPHYEFPK-UHFFFAOYSA-N 1 2 314.345 1.765 20 30 DDEDLO COc1cc(C#N)ccc1OCCCC(=O)NCc1c[nH]c[nH+]1 ZINC000359692594 410819873 /nfs/dbraw/zinc/81/98/73/410819873.db2.gz MAHMVVMPHYEFPK-UHFFFAOYSA-N 1 2 314.345 1.765 20 30 DDEDLO Cc1cnc(C[NH2+][C@H]2CCCN(c3ccc(C#N)cc3)C2=O)n1C ZINC000341271143 410974450 /nfs/dbraw/zinc/97/44/50/410974450.db2.gz KPRJOWDUQYGDDY-INIZCTEOSA-N 1 2 323.400 1.885 20 30 DDEDLO Cc1c[nH+]c(CN[C@H]2CCCN(c3ccc(C#N)cc3)C2=O)n1C ZINC000341271143 410974454 /nfs/dbraw/zinc/97/44/54/410974454.db2.gz KPRJOWDUQYGDDY-INIZCTEOSA-N 1 2 323.400 1.885 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCCC[NH+]2CCCC2)cc1C#N ZINC000353544063 411056862 /nfs/dbraw/zinc/05/68/62/411056862.db2.gz IFMUIGINGNXZTO-UHFFFAOYSA-N 1 2 320.418 1.596 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnnn1CC1CC1)c1ccc(C#N)cc1F ZINC000344356414 411096308 /nfs/dbraw/zinc/09/63/08/411096308.db2.gz IBDFEHMRGNYOOE-SNVBAGLBSA-N 1 2 300.341 1.945 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCc1ncc(C(C)(C)C)o1 ZINC000580557060 422941416 /nfs/dbraw/zinc/94/14/16/422941416.db2.gz DXIWZKNEZGENOJ-MRXNPFEDSA-N 1 2 306.410 1.865 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000645254803 422965725 /nfs/dbraw/zinc/96/57/25/422965725.db2.gz KIRLTALGXMZJBX-SNVBAGLBSA-N 1 2 318.299 1.019 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCc1cn2c(cccc2C)[nH+]1 ZINC000645277358 422974821 /nfs/dbraw/zinc/97/48/21/422974821.db2.gz SMQQKSPJHDWRMT-ZDUSSCGKSA-N 1 2 300.362 1.216 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@H+]2CCOC[C@H](O)C2)c1 ZINC000295769181 225212869 /nfs/dbraw/zinc/21/28/69/225212869.db2.gz YJIPYCOSBYQBPB-GFCCVEGCSA-N 1 2 311.179 1.514 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@@H+]2CCOC[C@H](O)C2)c1 ZINC000295769181 225212872 /nfs/dbraw/zinc/21/28/72/225212872.db2.gz YJIPYCOSBYQBPB-GFCCVEGCSA-N 1 2 311.179 1.514 20 30 DDEDLO CN(C)C(=O)O[C@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000296654368 226090666 /nfs/dbraw/zinc/09/06/66/226090666.db2.gz JGTPDEQVRSIINH-AWEZNQCLSA-N 1 2 316.361 1.269 20 30 DDEDLO CN(C)C(=O)O[C@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000296654368 226090670 /nfs/dbraw/zinc/09/06/70/226090670.db2.gz JGTPDEQVRSIINH-AWEZNQCLSA-N 1 2 316.361 1.269 20 30 DDEDLO C[C@@H](C(=O)N(C)[C@@H](C)C[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000360488316 418447943 /nfs/dbraw/zinc/44/79/43/418447943.db2.gz IXRBJXLLINMSMV-LSDHHAIUSA-N 1 2 315.417 1.841 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H](F)C[C@H]2CNC(=O)CCCC#N)cn1 ZINC000360715572 418498990 /nfs/dbraw/zinc/49/89/90/418498990.db2.gz NGUPFFCFHCWGHI-KGLIPLIRSA-N 1 2 307.373 1.143 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H](F)C[C@H]2CNC(=O)CCCC#N)cn1 ZINC000360715572 418498991 /nfs/dbraw/zinc/49/89/91/418498991.db2.gz NGUPFFCFHCWGHI-KGLIPLIRSA-N 1 2 307.373 1.143 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)N1CCn2cc(C)[nH+]c2C1 ZINC000366713287 418507944 /nfs/dbraw/zinc/50/79/44/418507944.db2.gz ZERIUBYWJCZWIW-DZGCQCFKSA-N 1 2 304.394 1.698 20 30 DDEDLO Cc1nc(N2CCN(c3ccc(C#N)c([N+](=O)[O-])c3)CC2)cc[nH+]1 ZINC000290957772 222030642 /nfs/dbraw/zinc/03/06/42/222030642.db2.gz NXWNWHUGTHBOFG-UHFFFAOYSA-N 1 2 324.344 1.892 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3ccc(Cl)c(C#N)n3)CC2)cn1 ZINC000361015486 418567318 /nfs/dbraw/zinc/56/73/18/418567318.db2.gz BWJZWRCJBSDSAA-UHFFFAOYSA-N 1 2 316.796 1.662 20 30 DDEDLO COC(=O)[C@@]1(C(F)(F)F)CC[N@H+](C[C@H](C#N)CCC#N)C1 ZINC000367233074 418574274 /nfs/dbraw/zinc/57/42/74/418574274.db2.gz ZCGNIMOETHGDDS-CMPLNLGQSA-N 1 2 303.284 1.857 20 30 DDEDLO COC(=O)[C@@]1(C(F)(F)F)CC[N@@H+](C[C@H](C#N)CCC#N)C1 ZINC000367233074 418574275 /nfs/dbraw/zinc/57/42/75/418574275.db2.gz ZCGNIMOETHGDDS-CMPLNLGQSA-N 1 2 303.284 1.857 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC[C@@](F)(c2ccccc2)C1 ZINC000374540189 418539420 /nfs/dbraw/zinc/53/94/20/418539420.db2.gz WGNZPGKMCDGQFO-GUYCJALGSA-N 1 2 319.380 1.957 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@@](F)(c2ccccc2)C1 ZINC000374540189 418539426 /nfs/dbraw/zinc/53/94/26/418539426.db2.gz WGNZPGKMCDGQFO-GUYCJALGSA-N 1 2 319.380 1.957 20 30 DDEDLO Cc1ccn(C)c1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000189505790 222046557 /nfs/dbraw/zinc/04/65/57/222046557.db2.gz XLLPQTUTFQAWSR-UHFFFAOYSA-N 1 2 304.394 1.022 20 30 DDEDLO N#CCNC(=O)C[NH+]1CCC(C(=O)c2ccc(F)cc2)CC1 ZINC000192469773 222127630 /nfs/dbraw/zinc/12/76/30/222127630.db2.gz ULQSKNBCWPRGSG-UHFFFAOYSA-N 1 2 303.337 1.360 20 30 DDEDLO CC#CCN(C)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000296482470 418587882 /nfs/dbraw/zinc/58/78/82/418587882.db2.gz DWVSXSMOPWJRCV-UHFFFAOYSA-N 1 2 302.378 1.083 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@H](OC)C[C@@H]21 ZINC000246079445 222219858 /nfs/dbraw/zinc/21/98/58/222219858.db2.gz BXWCBQUFPTYOSC-HRCADAONSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCO[C@@H]2CC[C@H](OC)C[C@@H]21 ZINC000246079445 222219861 /nfs/dbraw/zinc/21/98/61/222219861.db2.gz BXWCBQUFPTYOSC-HRCADAONSA-N 1 2 310.438 1.679 20 30 DDEDLO CC[N@H+](CCN(Cc1ccccc1)S(C)(=O)=O)C[C@H](C)C#N ZINC000248882528 222237931 /nfs/dbraw/zinc/23/79/31/222237931.db2.gz PVUBRMZZGYGOKE-OAHLLOKOSA-N 1 2 323.462 1.930 20 30 DDEDLO CC[N@@H+](CCN(Cc1ccccc1)S(C)(=O)=O)C[C@H](C)C#N ZINC000248882528 222237934 /nfs/dbraw/zinc/23/79/34/222237934.db2.gz PVUBRMZZGYGOKE-OAHLLOKOSA-N 1 2 323.462 1.930 20 30 DDEDLO N#CCCCCNC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000267168191 222376808 /nfs/dbraw/zinc/37/68/08/222376808.db2.gz OKMKXLWNTGOVBD-UHFFFAOYSA-N 1 2 320.397 1.031 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2ncc(C)s2)CC1 ZINC000267382207 222384019 /nfs/dbraw/zinc/38/40/19/222384019.db2.gz AZHWAESPNRGVMW-UHFFFAOYSA-N 1 2 321.450 1.336 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNc1cc(NCCCC)[nH+]c(N)n1 ZINC000343047060 418630508 /nfs/dbraw/zinc/63/05/08/418630508.db2.gz VJGDBVZXPJZYLX-WFASDCNBSA-N 1 2 305.426 2.086 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNc1cc(NCCCC)nc(N)[nH+]1 ZINC000343047060 418630509 /nfs/dbraw/zinc/63/05/09/418630509.db2.gz VJGDBVZXPJZYLX-WFASDCNBSA-N 1 2 305.426 2.086 20 30 DDEDLO COc1cncc(N2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)n1 ZINC000377292471 418708201 /nfs/dbraw/zinc/70/82/01/418708201.db2.gz RFLSQYFVQGXHNB-UHFFFAOYSA-N 1 2 312.377 1.018 20 30 DDEDLO Cn1cc(C[NH+]2CCN(Cc3ccc(C#N)c(F)c3)CC2)cn1 ZINC000361828532 418715726 /nfs/dbraw/zinc/71/57/26/418715726.db2.gz LHGSDLBYIFVUIH-UHFFFAOYSA-N 1 2 313.380 1.749 20 30 DDEDLO Cn1cc(CN2CC[NH+](Cc3ccc(C#N)c(F)c3)CC2)cn1 ZINC000361828532 418715729 /nfs/dbraw/zinc/71/57/29/418715729.db2.gz LHGSDLBYIFVUIH-UHFFFAOYSA-N 1 2 313.380 1.749 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)ccc1F ZINC000375763053 418681493 /nfs/dbraw/zinc/68/14/93/418681493.db2.gz LRSKRRQIPVJAGO-AWEZNQCLSA-N 1 2 303.337 1.290 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)ccc1F ZINC000375763053 418681495 /nfs/dbraw/zinc/68/14/95/418681495.db2.gz LRSKRRQIPVJAGO-AWEZNQCLSA-N 1 2 303.337 1.290 20 30 DDEDLO CCN(C)C(=O)CO[NH+]=C(N)c1cccc(C(F)(F)F)c1 ZINC000273595311 222473736 /nfs/dbraw/zinc/47/37/36/222473736.db2.gz VXNKDBSLKFTVQZ-UHFFFAOYSA-N 1 2 303.284 1.821 20 30 DDEDLO CC(C)NS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC000361955842 418729534 /nfs/dbraw/zinc/72/95/34/418729534.db2.gz XUZMETROBLYPTI-OAHLLOKOSA-N 1 2 307.419 1.460 20 30 DDEDLO CC(C)NS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC000361955842 418729537 /nfs/dbraw/zinc/72/95/37/418729537.db2.gz XUZMETROBLYPTI-OAHLLOKOSA-N 1 2 307.419 1.460 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCN1CCC(C#N)(c2ccccn2)CC1 ZINC000369203397 418730046 /nfs/dbraw/zinc/73/00/46/418730046.db2.gz CBCXWUGWVMBVIC-MRXNPFEDSA-N 1 2 314.433 1.659 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCN1CCC(C#N)(c2ccccn2)CC1 ZINC000369203397 418730048 /nfs/dbraw/zinc/73/00/48/418730048.db2.gz CBCXWUGWVMBVIC-MRXNPFEDSA-N 1 2 314.433 1.659 20 30 DDEDLO C#CCSCCNC(=O)NCc1ccc(-n2cc[nH+]c2)nc1 ZINC000369603294 418735218 /nfs/dbraw/zinc/73/52/18/418735218.db2.gz WTELDLXZUWLZHY-UHFFFAOYSA-N 1 2 315.402 1.433 20 30 DDEDLO N#CCC1(CNC(=O)NCc2ccnc(-n3cc[nH+]c3)c2)CC1 ZINC000369715932 418736712 /nfs/dbraw/zinc/73/67/12/418736712.db2.gz YFQQPZTUNCLNOK-UHFFFAOYSA-N 1 2 310.361 1.760 20 30 DDEDLO C#CCNC(=O)c1ccc(N(C)Cc2cn3c([nH+]2)CCCC3)nc1 ZINC000370181197 418741389 /nfs/dbraw/zinc/74/13/89/418741389.db2.gz FRMGNYBIWDXZHT-UHFFFAOYSA-N 1 2 323.400 1.614 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC=C(c2ccc(C#N)cc2)CC1 ZINC000362614136 418757475 /nfs/dbraw/zinc/75/74/75/418757475.db2.gz DOGLSSIARDSFEM-UHFFFAOYSA-N 1 2 324.384 1.659 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC=C(c2ccc(C#N)cc2)CC1 ZINC000362614136 418757477 /nfs/dbraw/zinc/75/74/77/418757477.db2.gz DOGLSSIARDSFEM-UHFFFAOYSA-N 1 2 324.384 1.659 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C#N)C(C)C ZINC000362759605 418759402 /nfs/dbraw/zinc/75/94/02/418759402.db2.gz MRIPUMLYSUKSJX-KBPBESRZSA-N 1 2 319.409 1.147 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C#N)C(C)C ZINC000362759605 418759404 /nfs/dbraw/zinc/75/94/04/418759404.db2.gz MRIPUMLYSUKSJX-KBPBESRZSA-N 1 2 319.409 1.147 20 30 DDEDLO Cn1c[nH+]c2c1CCN(S(=O)(=O)c1ccccc1C#N)C2 ZINC000363506596 418766578 /nfs/dbraw/zinc/76/65/78/418766578.db2.gz MJZZHDMYMMOFDC-UHFFFAOYSA-N 1 2 302.359 1.039 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCN2C(=O)OC[C@@H]2C1 ZINC000363840783 418769841 /nfs/dbraw/zinc/76/98/41/418769841.db2.gz GTPFEHYSEYJFRN-ZDUSSCGKSA-N 1 2 317.345 1.082 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCN2C(=O)OC[C@@H]2C1 ZINC000363840783 418769844 /nfs/dbraw/zinc/76/98/44/418769844.db2.gz GTPFEHYSEYJFRN-ZDUSSCGKSA-N 1 2 317.345 1.082 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000408043963 418783824 /nfs/dbraw/zinc/78/38/24/418783824.db2.gz ZTXLSLCRCUJQIG-QWHCGFSZSA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000408043963 418783826 /nfs/dbraw/zinc/78/38/26/418783826.db2.gz ZTXLSLCRCUJQIG-QWHCGFSZSA-N 1 2 307.419 1.661 20 30 DDEDLO N#C[C@H]1C[N@@H+](CCOCC(F)F)C[C@]12C(=O)Nc1ccccc12 ZINC000364893636 418821969 /nfs/dbraw/zinc/82/19/69/418821969.db2.gz ILYWYOCNXZZTAW-ZBEGNZNMSA-N 1 2 321.327 1.614 20 30 DDEDLO N#C[C@H]1C[N@H+](CCOCC(F)F)C[C@]12C(=O)Nc1ccccc12 ZINC000364893636 418821970 /nfs/dbraw/zinc/82/19/70/418821970.db2.gz ILYWYOCNXZZTAW-ZBEGNZNMSA-N 1 2 321.327 1.614 20 30 DDEDLO N#CCCCC[N@H+]1CCNC(=O)[C@@H]1Cc1nc2ccccc2o1 ZINC000372337040 418858630 /nfs/dbraw/zinc/85/86/30/418858630.db2.gz LEBQEAVLVUMANB-AWEZNQCLSA-N 1 2 312.373 1.865 20 30 DDEDLO N#CCCCC[N@@H+]1CCNC(=O)[C@@H]1Cc1nc2ccccc2o1 ZINC000372337040 418858631 /nfs/dbraw/zinc/85/86/31/418858631.db2.gz LEBQEAVLVUMANB-AWEZNQCLSA-N 1 2 312.373 1.865 20 30 DDEDLO Cc1cc(NC(=O)CCn2cc[nH+]c2)nn1-c1cccc(C#N)c1 ZINC000372476931 418874670 /nfs/dbraw/zinc/87/46/70/418874670.db2.gz YNBGAGOKPGMARI-UHFFFAOYSA-N 1 2 320.356 2.278 20 30 DDEDLO N#C[C@H]1CCC[C@@H](Nc2cnn(CC[NH+]3CCOCC3)c2)C1 ZINC000373065717 418926451 /nfs/dbraw/zinc/92/64/51/418926451.db2.gz JWPQFQBNCOEJNF-LSDHHAIUSA-N 1 2 303.410 1.710 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000425809451 419343810 /nfs/dbraw/zinc/34/38/10/419343810.db2.gz WRMLEVBOPLKNRA-GXTWGEPZSA-N 1 2 323.356 1.619 20 30 DDEDLO COCC(C)(C)[NH+]1CCN(C(=O)c2cc(C#N)cs2)CC1 ZINC000424981034 228343295 /nfs/dbraw/zinc/34/32/95/228343295.db2.gz ARRRHZLMTDWUGE-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)CNc2cccc(C#N)c2)CCO1 ZINC000427720977 419721060 /nfs/dbraw/zinc/72/10/60/419721060.db2.gz WGDLZGUHYFQFJY-MRXNPFEDSA-N 1 2 316.405 1.197 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)CNc2cccc(C#N)c2)CCO1 ZINC000427720977 419721067 /nfs/dbraw/zinc/72/10/67/419721067.db2.gz WGDLZGUHYFQFJY-MRXNPFEDSA-N 1 2 316.405 1.197 20 30 DDEDLO C[C@H](C(N)=O)[NH+]1CCN(c2c(C#N)cnc3ccccc32)CC1 ZINC000299469827 229137207 /nfs/dbraw/zinc/13/72/07/229137207.db2.gz ACTSHMGEMBZOFG-GFCCVEGCSA-N 1 2 309.373 1.102 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCNc2cccc[nH+]2)s1 ZINC000435443810 229385805 /nfs/dbraw/zinc/38/58/05/229385805.db2.gz SFBISKVXTLBSGB-UHFFFAOYSA-N 1 2 308.388 1.405 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+]1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000428954869 419951010 /nfs/dbraw/zinc/95/10/10/419951010.db2.gz SRRWPCCPMIEHLH-INIZCTEOSA-N 1 2 315.352 1.316 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+]1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000428954869 419951013 /nfs/dbraw/zinc/95/10/13/419951013.db2.gz SRRWPCCPMIEHLH-INIZCTEOSA-N 1 2 315.352 1.316 20 30 DDEDLO CCC(CC)CN(CCC#N)C(=O)C(=O)Nc1ccc(N)[nH+]c1 ZINC000415125397 419956133 /nfs/dbraw/zinc/95/61/33/419956133.db2.gz DTMBZSGBMKLENW-UHFFFAOYSA-N 1 2 317.393 1.781 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@@H](c1c[nH+]cn1C)N(C)C)C(=O)OCC ZINC000456599242 420511470 /nfs/dbraw/zinc/51/14/70/420511470.db2.gz CEMINEOTJNVOOT-GXTWGEPZSA-N 1 2 322.409 1.037 20 30 DDEDLO CO[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000451535632 420601871 /nfs/dbraw/zinc/60/18/71/420601871.db2.gz AXPFDQLHKKPBFF-BBRMVZONSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000451535632 420601875 /nfs/dbraw/zinc/60/18/75/420601875.db2.gz AXPFDQLHKKPBFF-BBRMVZONSA-N 1 2 322.430 1.689 20 30 DDEDLO N#Cc1ccnc(N(CC[NH+]2CCOCC2)Cc2ccco2)n1 ZINC000450932495 420563919 /nfs/dbraw/zinc/56/39/19/420563919.db2.gz AFOVCCAPTCMDIO-UHFFFAOYSA-N 1 2 313.361 1.280 20 30 DDEDLO C[C@H](CC[NH+]1CCOCC1)NC(=O)c1ccc(C#N)c(O)c1 ZINC000442652267 420695149 /nfs/dbraw/zinc/69/51/49/420695149.db2.gz NLOGEPGLCDBPGO-GFCCVEGCSA-N 1 2 303.362 1.104 20 30 DDEDLO C[C@@H]([NH2+]Cc1nc(C#N)cs1)C(=O)NCCc1ccccc1 ZINC000453641669 420740271 /nfs/dbraw/zinc/74/02/71/420740271.db2.gz YAKLMBSGHNWMAO-GFCCVEGCSA-N 1 2 314.414 1.852 20 30 DDEDLO CCc1cc(N)nc(S[C@@H](C(N)=O)c2ccc(C#N)cc2)[nH+]1 ZINC000447788743 420800633 /nfs/dbraw/zinc/80/06/33/420800633.db2.gz PKTVEFRECOLJLE-CYBMUJFWSA-N 1 2 313.386 1.812 20 30 DDEDLO CC(C)(C)[C@@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)CCO1 ZINC000447836233 420806301 /nfs/dbraw/zinc/80/63/01/420806301.db2.gz WQQBFUVUSAHJJE-AWEZNQCLSA-N 1 2 306.410 1.389 20 30 DDEDLO CC(C)(C)[C@@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)CCO1 ZINC000447836233 420806303 /nfs/dbraw/zinc/80/63/03/420806303.db2.gz WQQBFUVUSAHJJE-AWEZNQCLSA-N 1 2 306.410 1.389 20 30 DDEDLO CCn1cc(C[NH+]2CCN(c3c(C#N)c(C)nn3C)CC2)cn1 ZINC000471819252 420951664 /nfs/dbraw/zinc/95/16/64/420951664.db2.gz GZTFCOFZQUOUHQ-UHFFFAOYSA-N 1 2 313.409 1.139 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccncc1F ZINC000449044804 420941314 /nfs/dbraw/zinc/94/13/14/420941314.db2.gz FXBCZKGRRUAJAX-GFCCVEGCSA-N 1 2 312.352 1.593 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccncc1F ZINC000449044804 420941318 /nfs/dbraw/zinc/94/13/18/420941318.db2.gz FXBCZKGRRUAJAX-GFCCVEGCSA-N 1 2 312.352 1.593 20 30 DDEDLO C=CCC1(CNC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)CCCC1 ZINC000455486616 421023525 /nfs/dbraw/zinc/02/35/25/421023525.db2.gz ZYTNFXLVJOYLQU-HUUCEWRRSA-N 1 2 307.438 1.847 20 30 DDEDLO C=CCC1(CNC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)CCCC1 ZINC000455486616 421023527 /nfs/dbraw/zinc/02/35/27/421023527.db2.gz ZYTNFXLVJOYLQU-HUUCEWRRSA-N 1 2 307.438 1.847 20 30 DDEDLO CNC(=O)Oc1cccc(NC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000496113981 421136283 /nfs/dbraw/zinc/13/62/83/421136283.db2.gz HMNUXFFDEQYLAB-ZDUSSCGKSA-N 1 2 306.366 1.023 20 30 DDEDLO CNC(=O)Oc1cccc(NC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000496113981 421136285 /nfs/dbraw/zinc/13/62/85/421136285.db2.gz HMNUXFFDEQYLAB-ZDUSSCGKSA-N 1 2 306.366 1.023 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489126837 421137041 /nfs/dbraw/zinc/13/70/41/421137041.db2.gz VCNUHXIZHCOFMY-JTQLQIEISA-N 1 2 308.338 1.261 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCc3c[nH+]ccc32)cc1F ZINC000489573067 421165027 /nfs/dbraw/zinc/16/50/27/421165027.db2.gz IDVBUEOVQMOPCC-UHFFFAOYSA-N 1 2 303.318 1.844 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNc1nc3c(cc1C#N)COCC3)CCC2 ZINC000562533910 421378240 /nfs/dbraw/zinc/37/82/40/421378240.db2.gz FAAIMRYQKYISGT-ZDUSSCGKSA-N 1 2 323.400 2.520 20 30 DDEDLO COCCO[C@H]1CC[N@H+](Cc2cccc(C(=O)OC)c2C#N)C1 ZINC000548077095 421396945 /nfs/dbraw/zinc/39/69/45/421396945.db2.gz STTBIHNLMLFFBV-AWEZNQCLSA-N 1 2 318.373 1.582 20 30 DDEDLO COCCO[C@H]1CC[N@@H+](Cc2cccc(C(=O)OC)c2C#N)C1 ZINC000548077095 421396948 /nfs/dbraw/zinc/39/69/48/421396948.db2.gz STTBIHNLMLFFBV-AWEZNQCLSA-N 1 2 318.373 1.582 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000562926125 421426982 /nfs/dbraw/zinc/42/69/82/421426982.db2.gz KGJHYBRSCBLWBA-IYBDPMFKSA-N 1 2 314.389 1.851 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000562926125 421426985 /nfs/dbraw/zinc/42/69/85/421426985.db2.gz KGJHYBRSCBLWBA-IYBDPMFKSA-N 1 2 314.389 1.851 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)Nc1ccn(-c2ccc(C#N)cc2F)n1 ZINC000514624721 421441560 /nfs/dbraw/zinc/44/15/60/421441560.db2.gz CPTMAHDKKYCTNR-UHFFFAOYSA-N 1 2 324.319 1.798 20 30 DDEDLO CC1(C)C[NH+](CC(=O)N2CCC[C@@]3(CCCCO3)[C@@H]2C#N)C1 ZINC000514949637 421469659 /nfs/dbraw/zinc/46/96/59/421469659.db2.gz LGGGDROJJCAOHN-YOEHRIQHSA-N 1 2 305.422 1.782 20 30 DDEDLO Cn1cc(-c2nc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cs2)cn1 ZINC000563220211 421474774 /nfs/dbraw/zinc/47/47/74/421474774.db2.gz HRZGEINLRGJLJG-CQSZACIVSA-N 1 2 318.406 1.117 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3c(F)cccc3C#N)C[C@H]21 ZINC000552219414 421580459 /nfs/dbraw/zinc/58/04/59/421580459.db2.gz SGKPCVQZXGEGOZ-CVEARBPZSA-N 1 2 317.364 1.171 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3c(F)cccc3C#N)C[C@H]21 ZINC000552219414 421580462 /nfs/dbraw/zinc/58/04/62/421580462.db2.gz SGKPCVQZXGEGOZ-CVEARBPZSA-N 1 2 317.364 1.171 20 30 DDEDLO COC(=O)CC1(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)CCCC1 ZINC000566309335 421604427 /nfs/dbraw/zinc/60/44/27/421604427.db2.gz NXTPUPIKNNKDIX-HNNXBMFYSA-N 1 2 309.410 1.460 20 30 DDEDLO COc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc(OC)c1C ZINC000567902944 421615790 /nfs/dbraw/zinc/61/57/90/421615790.db2.gz CEMXIYPMICGOJQ-MRXNPFEDSA-N 1 2 305.378 1.586 20 30 DDEDLO C[C@H](C#N)CN(C(=O)C(=O)Nc1ccc2[nH+]ccn2c1)C1CC1 ZINC000568951609 421623120 /nfs/dbraw/zinc/62/31/20/421623120.db2.gz MSUBDGGZJSCEPZ-LLVKDONJSA-N 1 2 311.345 1.423 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCc2ccc([N+](=O)[O-])cc2)CC1 ZINC000569705826 421627000 /nfs/dbraw/zinc/62/70/00/421627000.db2.gz DVIMOLMEXLOMST-UHFFFAOYSA-N 1 2 302.334 1.195 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+][C@H]1CCN(c2ccccc2F)C1=O ZINC000554551457 421649870 /nfs/dbraw/zinc/64/98/70/421649870.db2.gz HXPAJMXZILCCHT-OCCSQVGLSA-N 1 2 300.337 1.964 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@H]2C(=O)N2CCOCC2)nc1 ZINC000555674172 421687327 /nfs/dbraw/zinc/68/73/27/421687327.db2.gz FHORXRLDZDDAAP-INIZCTEOSA-N 1 2 314.389 1.167 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@H]2C(=O)N2CCOCC2)nc1 ZINC000555674172 421687330 /nfs/dbraw/zinc/68/73/30/421687330.db2.gz FHORXRLDZDDAAP-INIZCTEOSA-N 1 2 314.389 1.167 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCC(F)(F)[C@H](CO)C2)c1C#N ZINC000555254780 421673630 /nfs/dbraw/zinc/67/36/30/421673630.db2.gz GPASAIMREKNIQJ-LBPRGKRZSA-N 1 2 324.327 1.794 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCC(F)(F)[C@H](CO)C2)c1C#N ZINC000555254780 421673631 /nfs/dbraw/zinc/67/36/31/421673631.db2.gz GPASAIMREKNIQJ-LBPRGKRZSA-N 1 2 324.327 1.794 20 30 DDEDLO CC(=O)NCC[N@@H+](C)[C@@H](C)C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000572032431 421760328 /nfs/dbraw/zinc/76/03/28/421760328.db2.gz OCBXCUWADQIOCZ-JTQLQIEISA-N 1 2 322.796 1.607 20 30 DDEDLO CC(=O)NCC[N@H+](C)[C@@H](C)C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000572032431 421760330 /nfs/dbraw/zinc/76/03/30/421760330.db2.gz OCBXCUWADQIOCZ-JTQLQIEISA-N 1 2 322.796 1.607 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCSC[C@H]2CCO)c([N+](=O)[O-])c1 ZINC000581192795 421917791 /nfs/dbraw/zinc/91/77/91/421917791.db2.gz HBUXFCNXNLKRDY-CYBMUJFWSA-N 1 2 307.375 1.766 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCSC[C@H]2CCO)c([N+](=O)[O-])c1 ZINC000581192795 421917798 /nfs/dbraw/zinc/91/77/98/421917798.db2.gz HBUXFCNXNLKRDY-CYBMUJFWSA-N 1 2 307.375 1.766 20 30 DDEDLO Cc1nc(N)c(CNC(=O)[C@@H]2C[C@H]2c2cccc(C#N)c2)c[nH+]1 ZINC000584174155 422277299 /nfs/dbraw/zinc/27/72/99/422277299.db2.gz FEECWVAUQWQDJW-LSDHHAIUSA-N 1 2 307.357 1.659 20 30 DDEDLO C=CCOCn1nnc([C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000631010672 422471207 /nfs/dbraw/zinc/47/12/07/422471207.db2.gz PINLAHZZYZNOCM-OAHLLOKOSA-N 1 2 315.377 1.407 20 30 DDEDLO C=CCOCn1nnc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000631010672 422471210 /nfs/dbraw/zinc/47/12/10/422471210.db2.gz PINLAHZZYZNOCM-OAHLLOKOSA-N 1 2 315.377 1.407 20 30 DDEDLO CC1(C#N)CCN(c2nc(NCCO)c3ccccc3[nH+]2)CC1 ZINC000579149301 422717765 /nfs/dbraw/zinc/71/77/65/422717765.db2.gz OWAOGNLTXDGQGM-UHFFFAOYSA-N 1 2 311.389 2.164 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H]2C(=O)N(CC)CC)nn1 ZINC000653509394 423503190 /nfs/dbraw/zinc/50/31/90/423503190.db2.gz FAAXNCDUXBTRCC-HNNXBMFYSA-N 1 2 305.426 1.687 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H]2C(=O)N(CC)CC)nn1 ZINC000653509394 423503193 /nfs/dbraw/zinc/50/31/93/423503193.db2.gz FAAXNCDUXBTRCC-HNNXBMFYSA-N 1 2 305.426 1.687 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC[C@H](n3cc[nH+]c3)C2)nn1 ZINC000644624223 423731522 /nfs/dbraw/zinc/73/15/22/423731522.db2.gz QLJBRAZXYSKFNU-ZDUSSCGKSA-N 1 2 300.366 1.528 20 30 DDEDLO Cc1nc2[nH]ccc2c(N2CCN(c3cccc(C#N)n3)CC2)[nH+]1 ZINC000354678896 269992501 /nfs/dbraw/zinc/99/25/01/269992501.db2.gz WXSOKUUJNSMHHV-UHFFFAOYSA-N 1 2 319.372 1.860 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1nc(N)nc(Nc2ccccc2CC)n1 ZINC000662167265 424452547 /nfs/dbraw/zinc/45/25/47/424452547.db2.gz XNWASXYMODDEKV-GFCCVEGCSA-N 1 2 314.393 1.396 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCc2sc(S(N)(=O)=O)cc2C1 ZINC000662224747 424492976 /nfs/dbraw/zinc/49/29/76/424492976.db2.gz UTOZFXYDVBDTHC-LLVKDONJSA-N 1 2 316.448 1.081 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCc2sc(S(N)(=O)=O)cc2C1 ZINC000662224747 424492983 /nfs/dbraw/zinc/49/29/83/424492983.db2.gz UTOZFXYDVBDTHC-LLVKDONJSA-N 1 2 316.448 1.081 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+](Cc2ccc(Cl)cc2)CC1 ZINC000665371290 424795137 /nfs/dbraw/zinc/79/51/37/424795137.db2.gz CNQAUDUYOOORRA-MRXNPFEDSA-N 1 2 308.809 1.921 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+](Cc2cccc(Cl)c2)CC1 ZINC000665398639 424799230 /nfs/dbraw/zinc/79/92/30/424799230.db2.gz RUBWCQZDSCZYHY-MRXNPFEDSA-N 1 2 308.809 1.921 20 30 DDEDLO CC[C@@H](C(=O)OC)[NH+]1CCN(Cc2ccc(F)cc2C#N)CC1 ZINC000352673245 266352513 /nfs/dbraw/zinc/35/25/13/266352513.db2.gz NFBYHPZDEXTQSL-INIZCTEOSA-N 1 2 319.380 1.767 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNc2ccc(C(=O)OC)nc2C#N)CCO1 ZINC000522014163 267202442 /nfs/dbraw/zinc/20/24/42/267202442.db2.gz PIGGTNIPTUZWRL-GFCCVEGCSA-N 1 2 318.377 1.263 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNc2ccc(C(=O)OC)nc2C#N)CCO1 ZINC000522014163 267202445 /nfs/dbraw/zinc/20/24/45/267202445.db2.gz PIGGTNIPTUZWRL-GFCCVEGCSA-N 1 2 318.377 1.263 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)nc1 ZINC000522680463 268201093 /nfs/dbraw/zinc/20/10/93/268201093.db2.gz RKSZBRQFYZIZJM-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO CC(C)(C)[C@@H]1CN(C([O-])=[NH+][C@H]2CCc3[nH]c[nH+]c3C2)CCO1 ZINC000329741057 277238951 /nfs/dbraw/zinc/23/89/51/277238951.db2.gz VTXAXPXQGVHBQE-FZMZJTMJSA-N 1 2 306.410 1.928 20 30 DDEDLO CC(C)(C)[C@@H]1CN(C([O-])=[NH+][C@H]2CCc3[nH+]c[nH]c3C2)CCO1 ZINC000329741057 277238952 /nfs/dbraw/zinc/23/89/52/277238952.db2.gz VTXAXPXQGVHBQE-FZMZJTMJSA-N 1 2 306.410 1.928 20 30 DDEDLO CC1(C)C[NH+](CC(=O)N(CCC#N)CCC#N)CC(C)(C)O1 ZINC000190543477 278328484 /nfs/dbraw/zinc/32/84/84/278328484.db2.gz PUGXYXLCOQGESC-UHFFFAOYSA-N 1 2 306.410 1.532 20 30 DDEDLO CC(C)C[C@H]1COCC[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000113941643 279219968 /nfs/dbraw/zinc/21/99/68/279219968.db2.gz IGGOBMKTIKOLIX-HNNXBMFYSA-N 1 2 306.410 1.389 20 30 DDEDLO CC(C)C[C@H]1COCC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000113941643 279219969 /nfs/dbraw/zinc/21/99/69/279219969.db2.gz IGGOBMKTIKOLIX-HNNXBMFYSA-N 1 2 306.410 1.389 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cnc(C)c(C#N)c1 ZINC000451927054 288285253 /nfs/dbraw/zinc/28/52/53/288285253.db2.gz AENZNFAENGGZNZ-AWEZNQCLSA-N 1 2 322.434 1.367 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cnc(C)c(C#N)c1 ZINC000451927054 288285254 /nfs/dbraw/zinc/28/52/54/288285254.db2.gz AENZNFAENGGZNZ-AWEZNQCLSA-N 1 2 322.434 1.367 20 30 DDEDLO Cn1ncc2c1CCC[C@H]2NC(=O)N1CCn2cc[nH+]c2C1 ZINC000329854903 294555218 /nfs/dbraw/zinc/55/52/18/294555218.db2.gz SYYNOUUTMUHEAY-GFCCVEGCSA-N 1 2 300.366 1.424 20 30 DDEDLO N#Cc1ccccc1C[N@@H+](CCC(F)(F)F)C[C@H](O)CO ZINC000304845773 303205885 /nfs/dbraw/zinc/20/58/85/303205885.db2.gz UABAPIMQSBRKSZ-ZDUSSCGKSA-N 1 2 302.296 1.666 20 30 DDEDLO N#Cc1ccccc1C[N@H+](CCC(F)(F)F)C[C@H](O)CO ZINC000304845773 303205887 /nfs/dbraw/zinc/20/58/87/303205887.db2.gz UABAPIMQSBRKSZ-ZDUSSCGKSA-N 1 2 302.296 1.666 20 30 DDEDLO Cc1cc(C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)ncc1C#N ZINC000560493695 303818347 /nfs/dbraw/zinc/81/83/47/303818347.db2.gz LHOSBVUJTIDEBV-OAHLLOKOSA-N 1 2 308.341 1.950 20 30 DDEDLO CC1(C(N)=O)C[NH+](Cc2cc(C#N)ccc2Br)C1 ZINC000571204343 308192474 /nfs/dbraw/zinc/19/24/74/308192474.db2.gz JZXABGAQMLMDHS-UHFFFAOYSA-N 1 2 308.179 1.628 20 30 DDEDLO C=CCC1(C(=O)NCC2([NH+]3CCOCC3)CC2)CCOCC1 ZINC000565534115 331714882 /nfs/dbraw/zinc/71/48/82/331714882.db2.gz AIKYVDAZKHGWRR-UHFFFAOYSA-N 1 2 308.422 1.340 20 30 DDEDLO CCc1ccc([C@@H](COC)[NH2+]CC(=O)N[C@](C)(C#N)C2CC2)o1 ZINC000184811279 333201486 /nfs/dbraw/zinc/20/14/86/333201486.db2.gz OZRKOKJHTZLOFY-RHSMWYFYSA-N 1 2 319.405 1.928 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[N@H+](Cc2ccccc2)[C@H](C)C1 ZINC000396341124 336278887 /nfs/dbraw/zinc/27/88/87/336278887.db2.gz BCVCNHVOFYCPIR-KGLIPLIRSA-N 1 2 307.419 1.435 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[N@@H+](Cc2ccccc2)[C@H](C)C1 ZINC000396341124 336278888 /nfs/dbraw/zinc/27/88/88/336278888.db2.gz BCVCNHVOFYCPIR-KGLIPLIRSA-N 1 2 307.419 1.435 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CCOC)[C@@H]1CCCc2cccnc21 ZINC000375390424 337010186 /nfs/dbraw/zinc/01/01/86/337010186.db2.gz KBTPNYCSLYQMKR-OAHLLOKOSA-N 1 2 303.406 1.710 20 30 DDEDLO C=CCNC(=O)C[N@H+](CCOC)[C@@H]1CCCc2cccnc21 ZINC000375390424 337010187 /nfs/dbraw/zinc/01/01/87/337010187.db2.gz KBTPNYCSLYQMKR-OAHLLOKOSA-N 1 2 303.406 1.710 20 30 DDEDLO C=CCOc1ccc(CC(=O)NCC[N@@H+]2CCO[C@H](C)C2)cc1 ZINC000582765652 337139493 /nfs/dbraw/zinc/13/94/93/337139493.db2.gz LWTLTGXZOGAKHY-OAHLLOKOSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CCOc1ccc(CC(=O)NCC[N@H+]2CCO[C@H](C)C2)cc1 ZINC000582765652 337139494 /nfs/dbraw/zinc/13/94/94/337139494.db2.gz LWTLTGXZOGAKHY-OAHLLOKOSA-N 1 2 318.417 1.631 20 30 DDEDLO CC(C)(C)OC(=O)NC1CC(N=NC2=[NH+][C@@H]3CCCC[C@H]3N2)C1 ZINC000495656294 339989379 /nfs/dbraw/zinc/98/93/79/339989379.db2.gz YGDGEJLOWNLNOQ-SKVSWLLESA-N 1 2 321.425 1.890 20 30 DDEDLO CCC(C#N)(CC)C(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000528834397 340736262 /nfs/dbraw/zinc/73/62/62/340736262.db2.gz VEXYUVDELRSQRF-HNNXBMFYSA-N 1 2 323.437 1.266 20 30 DDEDLO Cn1cc(-c2ncc(CNc3cc(CC#N)cc[nH+]3)cn2)cn1 ZINC000530421958 340791104 /nfs/dbraw/zinc/79/11/04/340791104.db2.gz QZCXWCCKDGHRCX-UHFFFAOYSA-N 1 2 305.345 1.950 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)C[C@H]1CCCCO1 ZINC000131006127 341056195 /nfs/dbraw/zinc/05/61/95/341056195.db2.gz YLHJUIYTSMNNGH-OAHLLOKOSA-N 1 2 309.410 1.020 20 30 DDEDLO C=CCc1cc(C[N@@H+]2C[C@H](C)O[C@H](CO)C2)cc(OC)c1O ZINC000248866981 341388787 /nfs/dbraw/zinc/38/87/87/341388787.db2.gz BARZSXSUAYSTIZ-WFASDCNBSA-N 1 2 307.390 1.711 20 30 DDEDLO C=CCc1cc(C[N@H+]2C[C@H](C)O[C@H](CO)C2)cc(OC)c1O ZINC000248866981 341388788 /nfs/dbraw/zinc/38/87/88/341388788.db2.gz BARZSXSUAYSTIZ-WFASDCNBSA-N 1 2 307.390 1.711 20 30 DDEDLO Cc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)nn1-c1ccccc1 ZINC000555480955 341483648 /nfs/dbraw/zinc/48/36/48/341483648.db2.gz ZOZSYXBXPFQZGM-QGZVFWFLSA-N 1 2 311.389 1.754 20 30 DDEDLO COc1cc(OC2CC2)ccc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000577876372 341945743 /nfs/dbraw/zinc/94/57/43/341945743.db2.gz VNTPPEDEFYYTJF-KRWDZBQOSA-N 1 2 317.389 1.810 20 30 DDEDLO C=CCNC(=O)NC[C@@H](c1cccs1)[NH+]1CCN(C)CC1 ZINC000096704129 484019917 /nfs/dbraw/zinc/01/99/17/484019917.db2.gz DCMAYPFZQSZUGU-ZDUSSCGKSA-N 1 2 308.451 1.522 20 30 DDEDLO C=CCCOCC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000146901943 484039034 /nfs/dbraw/zinc/03/90/34/484039034.db2.gz CNVROGYKINKHEJ-UHFFFAOYSA-N 1 2 305.378 1.127 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@H](Cc1ccccc1)c1[nH+]ccn1C ZINC000661351863 485064638 /nfs/dbraw/zinc/06/46/38/485064638.db2.gz CCTUCAHSBPIUQY-HUUCEWRRSA-N 1 2 314.389 1.550 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cnnn2-c2ccccc2)C1=O ZINC000676673903 486286629 /nfs/dbraw/zinc/28/66/29/486286629.db2.gz GAEUHVSFWVOWDU-MRXNPFEDSA-N 1 2 311.389 1.486 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cnnn2-c2ccccc2)C1=O ZINC000676673903 486286636 /nfs/dbraw/zinc/28/66/36/486286636.db2.gz GAEUHVSFWVOWDU-MRXNPFEDSA-N 1 2 311.389 1.486 20 30 DDEDLO CCC#C[C@H](C)[NH+]1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000677476825 486476347 /nfs/dbraw/zinc/47/63/47/486476347.db2.gz HCGNWYLLBSHDDS-KURKYZTESA-N 1 2 319.493 1.910 20 30 DDEDLO CCC#C[C@H](C)N1CC[NH+](CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000677476825 486476350 /nfs/dbraw/zinc/47/63/50/486476350.db2.gz HCGNWYLLBSHDDS-KURKYZTESA-N 1 2 319.493 1.910 20 30 DDEDLO C[C@](O)(CNC(=O)c1sccc1Cl)C[NH+]1CCOCC1 ZINC000330600930 534155214 /nfs/dbraw/zinc/15/52/14/534155214.db2.gz DECNLMRMSPCNPX-ZDUSSCGKSA-N 1 2 318.826 1.789 20 30 DDEDLO Cc1ccn2cc(CNC(=O)N3C[C@H]4CC[C@@H](C3)O4)[nH+]c2c1 ZINC000329798599 534358519 /nfs/dbraw/zinc/35/85/19/534358519.db2.gz HVUKYUXARQRERP-OKILXGFUSA-N 1 2 300.362 1.920 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)c1cnc3n[nH]nc3c1)CCC2 ZINC000329675982 534508676 /nfs/dbraw/zinc/50/86/76/534508676.db2.gz PHCPMGFZCCYNNY-SNVBAGLBSA-N 1 2 311.349 1.740 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNS(=O)(=O)CCCCC#N)CCC2 ZINC000331294625 534510000 /nfs/dbraw/zinc/51/00/00/534510000.db2.gz RVVFTUTYHZXXBW-CYBMUJFWSA-N 1 2 310.423 1.682 20 30 DDEDLO CC[N@H+](Cc1cc(C#N)ccc1OC)[C@@H]1CCS(=O)(=O)C1 ZINC000157432194 521285630 /nfs/dbraw/zinc/28/56/30/521285630.db2.gz DTISRDJZICCBSX-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO CC[N@@H+](Cc1cc(C#N)ccc1OC)[C@@H]1CCS(=O)(=O)C1 ZINC000157432194 521285643 /nfs/dbraw/zinc/28/56/43/521285643.db2.gz DTISRDJZICCBSX-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO O=C(NC[C@H]1CCCCS1)NCC1([NH+]2CCOCC2)CC1 ZINC000330256477 534754291 /nfs/dbraw/zinc/75/42/91/534754291.db2.gz QCNDXLQWXZNAPC-CYBMUJFWSA-N 1 2 313.467 1.641 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(C)[C@H]2CCCC[C@H]2C)C1=O ZINC000337199741 526499994 /nfs/dbraw/zinc/49/99/94/526499994.db2.gz BFAYAVUFTJNDOI-PMPSAXMXSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(C)[C@H]2CCCC[C@H]2C)C1=O ZINC000337199741 526500002 /nfs/dbraw/zinc/50/00/02/526500002.db2.gz BFAYAVUFTJNDOI-PMPSAXMXSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H](N(C)c2[nH+]c3ccc(F)cc3n2C)C1=O ZINC000431408767 526503783 /nfs/dbraw/zinc/50/37/83/526503783.db2.gz WTTYHFNVWPRONQ-ZDUSSCGKSA-N 1 2 302.353 1.936 20 30 DDEDLO CC(=O)N1CC[NH+](Cc2ccc(NC(=O)CC#N)cc2)CC1 ZINC000331043301 526630980 /nfs/dbraw/zinc/63/09/80/526630980.db2.gz FYEHGEQLWMLFCH-UHFFFAOYSA-N 1 2 300.362 1.203 20 30 DDEDLO CC(=O)N1CC[NH+](Cc2ccccc2NC(=O)CC#N)CC1 ZINC000331280447 526631489 /nfs/dbraw/zinc/63/14/89/526631489.db2.gz KTDSOOZWFRINNS-UHFFFAOYSA-N 1 2 300.362 1.203 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CNc3ccc(CC(N)=O)cc3)n2c1 ZINC000156067512 526694278 /nfs/dbraw/zinc/69/42/78/526694278.db2.gz TZIPHYUGRSNISH-UHFFFAOYSA-N 1 2 305.341 1.846 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1C[C@H]([NH+]2CCOCC2)[C@@H](C)C1 ZINC000346128740 526760961 /nfs/dbraw/zinc/76/09/61/526760961.db2.gz XSORLDYSHGPBPX-VGWMRTNUSA-N 1 2 308.422 1.147 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1CCO[C@H](c2ccc(F)cc2)C1)C1CC1 ZINC000491690477 526883158 /nfs/dbraw/zinc/88/31/58/526883158.db2.gz CQLDMJAGVDPZBT-KRWDZBQOSA-N 1 2 316.376 1.823 20 30 DDEDLO C#CC[N@H+](CC(=O)N1CCO[C@H](c2ccc(F)cc2)C1)C1CC1 ZINC000491690477 526883162 /nfs/dbraw/zinc/88/31/62/526883162.db2.gz CQLDMJAGVDPZBT-KRWDZBQOSA-N 1 2 316.376 1.823 20 30 DDEDLO C#CCN(CC)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000491726887 526898822 /nfs/dbraw/zinc/89/88/22/526898822.db2.gz GOGSSSCGSNVUJZ-UHFFFAOYSA-N 1 2 303.387 1.575 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1ccc(S(C)(=O)=O)cc1 ZINC000490616907 526946293 /nfs/dbraw/zinc/94/62/93/526946293.db2.gz MDMGLPLIXZZRGA-AWEZNQCLSA-N 1 2 321.398 1.345 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1ccc(S(C)(=O)=O)cc1 ZINC000490616907 526946296 /nfs/dbraw/zinc/94/62/96/526946296.db2.gz MDMGLPLIXZZRGA-AWEZNQCLSA-N 1 2 321.398 1.345 20 30 DDEDLO CC(=O)Nc1ccc(C)c(C(=O)NCC[N@H+]2CCOC[C@@H]2C)c1 ZINC000331029622 526948692 /nfs/dbraw/zinc/94/86/92/526948692.db2.gz XZPMXDAPEKESGF-ZDUSSCGKSA-N 1 2 319.405 1.979 20 30 DDEDLO CC(=O)Nc1ccc(C)c(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)c1 ZINC000331029622 526948698 /nfs/dbraw/zinc/94/86/98/526948698.db2.gz XZPMXDAPEKESGF-ZDUSSCGKSA-N 1 2 319.405 1.979 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C=O)cc2Cl)CC1 ZINC000490779268 526951482 /nfs/dbraw/zinc/95/14/82/526951482.db2.gz UPVUOBHTQXVDNO-UHFFFAOYSA-N 1 2 320.776 1.309 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@H](c3ccc(C)cc3)CC2=O)CC1 ZINC000490951250 526953255 /nfs/dbraw/zinc/95/32/55/526953255.db2.gz WNNUDPMOFGVJAJ-GOSISDBHSA-N 1 2 311.429 1.519 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2ccnc(Cl)c2F)CC1 ZINC000491677742 526970988 /nfs/dbraw/zinc/97/09/88/526970988.db2.gz ZIWUPVVXCXUJCP-UHFFFAOYSA-N 1 2 309.772 1.836 20 30 DDEDLO C=CCCCCCN(C)C(=O)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000347021955 527120794 /nfs/dbraw/zinc/12/07/94/527120794.db2.gz XSSGXUDOIHZMQI-CQSZACIVSA-N 1 2 318.421 1.519 20 30 DDEDLO C#C[C@@H](NC(=O)N[C@H](C)C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000491703059 527281336 /nfs/dbraw/zinc/28/13/36/527281336.db2.gz JBAQMLKGVRWFSQ-CZUORRHYSA-N 1 2 319.380 1.520 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000348928523 527302898 /nfs/dbraw/zinc/30/28/98/527302898.db2.gz RRVNHRODRQESJZ-UHFFFAOYSA-N 1 2 312.373 1.523 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)Nc1ccc(OCC)cc1 ZINC000491659248 527309774 /nfs/dbraw/zinc/30/97/74/527309774.db2.gz MTABHFWRAVDRNO-OAHLLOKOSA-N 1 2 302.374 1.748 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)Nc1ccc(OCC)cc1 ZINC000491659248 527309776 /nfs/dbraw/zinc/30/97/76/527309776.db2.gz MTABHFWRAVDRNO-OAHLLOKOSA-N 1 2 302.374 1.748 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1nnc(-c2sccc2C)o1 ZINC000491426800 527331189 /nfs/dbraw/zinc/33/11/89/527331189.db2.gz HRSCATBCPOYELI-JTQLQIEISA-N 1 2 304.375 1.999 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1nnc(-c2sccc2C)o1 ZINC000491426800 527331196 /nfs/dbraw/zinc/33/11/96/527331196.db2.gz HRSCATBCPOYELI-JTQLQIEISA-N 1 2 304.375 1.999 20 30 DDEDLO C#C[C@H](CC)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000491788282 527362679 /nfs/dbraw/zinc/36/26/79/527362679.db2.gz XIGRNYFLWQQNIT-CQSZACIVSA-N 1 2 303.387 1.622 20 30 DDEDLO C=CCCOCCNc1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000413210752 527370381 /nfs/dbraw/zinc/37/03/81/527370381.db2.gz PARBWCKAHLVGCE-UONOGXRCSA-N 1 2 306.410 1.688 20 30 DDEDLO C=CCCOCCNc1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000413210752 527370386 /nfs/dbraw/zinc/37/03/86/527370386.db2.gz PARBWCKAHLVGCE-UONOGXRCSA-N 1 2 306.410 1.688 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@@H](O)Cc1ccc(C(F)(F)F)cc1 ZINC000491659494 527429273 /nfs/dbraw/zinc/42/92/73/527429273.db2.gz BUDMTNZBZIRDDI-GJZGRUSLSA-N 1 2 313.319 1.943 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@@H](O)Cc1ccc(C(F)(F)F)cc1 ZINC000491659494 527429274 /nfs/dbraw/zinc/42/92/74/527429274.db2.gz BUDMTNZBZIRDDI-GJZGRUSLSA-N 1 2 313.319 1.943 20 30 DDEDLO C=CC[N@@H+](CC(=O)N(C)CC(=O)OCC)Cc1cccs1 ZINC000346332423 527580313 /nfs/dbraw/zinc/58/03/13/527580313.db2.gz IXNCMXIDBGQVSN-UHFFFAOYSA-N 1 2 310.419 1.758 20 30 DDEDLO C=CC[N@H+](CC(=O)N(C)CC(=O)OCC)Cc1cccs1 ZINC000346332423 527580319 /nfs/dbraw/zinc/58/03/19/527580319.db2.gz IXNCMXIDBGQVSN-UHFFFAOYSA-N 1 2 310.419 1.758 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@@H+]1CCCC[C@@H]1c1cnn(C)c1 ZINC000330516004 528019552 /nfs/dbraw/zinc/01/95/52/528019552.db2.gz WHWSBDJTIYVIPJ-CQSZACIVSA-N 1 2 321.425 1.777 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@H+]1CCCC[C@@H]1c1cnn(C)c1 ZINC000330516004 528019555 /nfs/dbraw/zinc/01/95/55/528019555.db2.gz WHWSBDJTIYVIPJ-CQSZACIVSA-N 1 2 321.425 1.777 20 30 DDEDLO CCCC1(CCC)NC(=O)N(C[C@@H](O)C[N@H+](C)CCC#N)C1=O ZINC000414117057 528035910 /nfs/dbraw/zinc/03/59/10/528035910.db2.gz YJJXUZFGTPQSJJ-ZDUSSCGKSA-N 1 2 324.425 1.084 20 30 DDEDLO CCCC1(CCC)NC(=O)N(C[C@@H](O)C[N@@H+](C)CCC#N)C1=O ZINC000414117057 528035914 /nfs/dbraw/zinc/03/59/14/528035914.db2.gz YJJXUZFGTPQSJJ-ZDUSSCGKSA-N 1 2 324.425 1.084 20 30 DDEDLO CCNC(=O)c1cccc(OC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000414147344 528273263 /nfs/dbraw/zinc/27/32/63/528273263.db2.gz RIUBGHANZRCLEY-CQSZACIVSA-N 1 2 305.378 1.021 20 30 DDEDLO CCNC(=O)c1cccc(OC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000414147344 528273267 /nfs/dbraw/zinc/27/32/67/528273267.db2.gz RIUBGHANZRCLEY-CQSZACIVSA-N 1 2 305.378 1.021 20 30 DDEDLO CC[N@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419220826 528375883 /nfs/dbraw/zinc/37/58/83/528375883.db2.gz VATYAEZXPQEEEX-AWEZNQCLSA-N 1 2 317.389 1.547 20 30 DDEDLO CC[N@@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419220826 528375885 /nfs/dbraw/zinc/37/58/85/528375885.db2.gz VATYAEZXPQEEEX-AWEZNQCLSA-N 1 2 317.389 1.547 20 30 DDEDLO CCC(CC)[C@@H](C(=O)N[C@@H]1CC[C@H](C#N)C1)[NH+]1CCOCC1 ZINC000424966015 528810017 /nfs/dbraw/zinc/81/00/17/528810017.db2.gz LDQAIZFDENZSGN-IMJJTQAJSA-N 1 2 307.438 1.932 20 30 DDEDLO CCN1CCN(C(=O)Nc2nccs2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000330064269 529136531 /nfs/dbraw/zinc/13/65/31/529136531.db2.gz QXWANVYGWIKGIU-SNVBAGLBSA-N 1 2 306.395 1.791 20 30 DDEDLO C[C@H](CN(C)C(=O)CCc1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001120839271 782176932 /nfs/dbraw/zinc/17/69/32/782176932.db2.gz WEFXWYHCHFGVSO-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO C[C@H](CN(C)C(=O)CCc1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001120839271 782176937 /nfs/dbraw/zinc/17/69/37/782176937.db2.gz WEFXWYHCHFGVSO-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO Cc1cc[nH+]c(NCCNc2ccc(C#N)cn2)c1-c1nn[nH]n1 ZINC000826313894 609531879 /nfs/dbraw/zinc/53/18/79/609531879.db2.gz XLRYSPPOVIPXNI-UHFFFAOYSA-N 1 2 321.348 1.361 20 30 DDEDLO Cc1oc(-n2cccc2)c(C#N)c1C(=O)NCCc1c[nH+]cn1C ZINC000121479722 696710680 /nfs/dbraw/zinc/71/06/80/696710680.db2.gz IZRJNQZHHQKKSS-UHFFFAOYSA-N 1 2 323.356 1.956 20 30 DDEDLO CC[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)N[C@@](C)(C#N)C(C)C ZINC000745084805 699970955 /nfs/dbraw/zinc/97/09/55/699970955.db2.gz BLUDUVTULLMRAA-BBRMVZONSA-N 1 2 309.410 1.458 20 30 DDEDLO CC[N@H+]1CCCC[C@H]1C(=O)OCC(=O)N[C@@](C)(C#N)C(C)C ZINC000745084805 699970956 /nfs/dbraw/zinc/97/09/56/699970956.db2.gz BLUDUVTULLMRAA-BBRMVZONSA-N 1 2 309.410 1.458 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC(F)(F)F)C2)C1 ZINC000972288979 695205846 /nfs/dbraw/zinc/20/58/46/695205846.db2.gz JKJZVTSJWSLLCE-CYBMUJFWSA-N 1 2 304.312 1.265 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC(F)(F)F)C2)C1 ZINC000972288979 695205848 /nfs/dbraw/zinc/20/58/48/695205848.db2.gz JKJZVTSJWSLLCE-CYBMUJFWSA-N 1 2 304.312 1.265 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCC(F)(F)C3)C2)C1 ZINC000972410945 695242559 /nfs/dbraw/zinc/24/25/59/695242559.db2.gz YCHGBWNAWYLQFW-HIFRSBDPSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCC(F)(F)C3)C2)C1 ZINC000972410945 695242560 /nfs/dbraw/zinc/24/25/60/695242560.db2.gz YCHGBWNAWYLQFW-HIFRSBDPSA-N 1 2 314.376 1.911 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(C)cc3)C2)C1 ZINC000972455483 695255102 /nfs/dbraw/zinc/25/51/02/695255102.db2.gz DHGLPHXIJLUHRE-LJQANCHMSA-N 1 2 312.413 1.474 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(C)cc3)C2)C1 ZINC000972455483 695255105 /nfs/dbraw/zinc/25/51/05/695255105.db2.gz DHGLPHXIJLUHRE-LJQANCHMSA-N 1 2 312.413 1.474 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ocnc3C3CC3)C2)C1 ZINC000972552598 695279857 /nfs/dbraw/zinc/27/98/57/695279857.db2.gz PQZDOZVDMZQEFD-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ocnc3C3CC3)C2)C1 ZINC000972552598 695279860 /nfs/dbraw/zinc/27/98/60/695279860.db2.gz PQZDOZVDMZQEFD-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccc4cc[nH]c43)C2)C1 ZINC000972563544 695284184 /nfs/dbraw/zinc/28/41/84/695284184.db2.gz YELAKMZVHSSKKS-IBGZPJMESA-N 1 2 323.396 1.718 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccc4cc[nH]c43)C2)C1 ZINC000972563544 695284186 /nfs/dbraw/zinc/28/41/86/695284186.db2.gz YELAKMZVHSSKKS-IBGZPJMESA-N 1 2 323.396 1.718 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C(F)(F)F)CC3)C2)C1 ZINC000972562867 695284366 /nfs/dbraw/zinc/28/43/66/695284366.db2.gz HOJMUAAAJKOBBZ-ZDUSSCGKSA-N 1 2 316.323 1.265 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C(F)(F)F)CC3)C2)C1 ZINC000972562867 695284367 /nfs/dbraw/zinc/28/43/67/695284367.db2.gz HOJMUAAAJKOBBZ-ZDUSSCGKSA-N 1 2 316.323 1.265 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(C(C)C)n3)C2)C1 ZINC000972578804 695287797 /nfs/dbraw/zinc/28/77/97/695287797.db2.gz UQAJZRDRFPMVNS-KRWDZBQOSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(C(C)C)n3)C2)C1 ZINC000972578804 695287800 /nfs/dbraw/zinc/28/78/00/695287800.db2.gz UQAJZRDRFPMVNS-KRWDZBQOSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnn(CCC)c3)C2)C1 ZINC000972578184 695287859 /nfs/dbraw/zinc/28/78/59/695287859.db2.gz QKSPDMKHBVUJQB-KRWDZBQOSA-N 1 2 318.421 1.396 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnn(CCC)c3)C2)C1 ZINC000972578184 695287861 /nfs/dbraw/zinc/28/78/61/695287861.db2.gz QKSPDMKHBVUJQB-KRWDZBQOSA-N 1 2 318.421 1.396 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)C1 ZINC000972590075 695291620 /nfs/dbraw/zinc/29/16/20/695291620.db2.gz NJNWDVUGIPAKHA-YQVWRLOYSA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)C1 ZINC000972590075 695291621 /nfs/dbraw/zinc/29/16/21/695291621.db2.gz NJNWDVUGIPAKHA-YQVWRLOYSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc4c([nH]3)CCC4)C2)C1 ZINC000972635494 695305337 /nfs/dbraw/zinc/30/53/37/695305337.db2.gz REZPAFXPZVBIHA-GOSISDBHSA-N 1 2 313.401 1.054 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc4c([nH]3)CCC4)C2)C1 ZINC000972635494 695305339 /nfs/dbraw/zinc/30/53/39/695305339.db2.gz REZPAFXPZVBIHA-GOSISDBHSA-N 1 2 313.401 1.054 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3nccs3)C2)C1 ZINC000972675062 695315482 /nfs/dbraw/zinc/31/54/82/695315482.db2.gz UDQZSTPNBPAIFM-MRXNPFEDSA-N 1 2 321.446 1.565 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3nccs3)C2)C1 ZINC000972675062 695315484 /nfs/dbraw/zinc/31/54/84/695315484.db2.gz UDQZSTPNBPAIFM-MRXNPFEDSA-N 1 2 321.446 1.565 20 30 DDEDLO C=CC[N@@H+]1C[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000974584752 695690778 /nfs/dbraw/zinc/69/07/78/695690778.db2.gz RIYIXKLPHBVSAH-WFASDCNBSA-N 1 2 302.422 1.842 20 30 DDEDLO C=CC[N@H+]1C[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000974584752 695690779 /nfs/dbraw/zinc/69/07/79/695690779.db2.gz RIYIXKLPHBVSAH-WFASDCNBSA-N 1 2 302.422 1.842 20 30 DDEDLO NC(=[NH+]OCC(=O)NCc1ccc(F)cc1)c1ccc(F)cc1 ZINC000029464440 696110475 /nfs/dbraw/zinc/11/04/75/696110475.db2.gz HQYCPXQGTLKSTH-UHFFFAOYSA-N 1 2 319.311 1.918 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(NC(C)=O)ccc2F)CC1 ZINC000068444295 696372434 /nfs/dbraw/zinc/37/24/34/696372434.db2.gz LAAGGINKILXVPJ-UHFFFAOYSA-N 1 2 317.364 1.565 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000111333931 696643852 /nfs/dbraw/zinc/64/38/52/696643852.db2.gz MFJBQISDKHPDNL-UHFFFAOYSA-N 1 2 304.375 1.595 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+]Cc2ccc(Cl)nc2)C(N)=O)cc1 ZINC000115597602 696665784 /nfs/dbraw/zinc/66/57/84/696665784.db2.gz KQRUAPOGWSUNFA-CQSZACIVSA-N 1 2 300.749 1.923 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CC[C@H](NC(=O)c3[nH]ncc3F)C[C@@H]2C1 ZINC000980143965 696691899 /nfs/dbraw/zinc/69/18/99/696691899.db2.gz GCEQSYVVHHANJZ-XQQFMLRXSA-N 1 2 304.369 1.402 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CC[C@H](NC(=O)c3[nH]ncc3F)C[C@@H]2C1 ZINC000980143965 696691901 /nfs/dbraw/zinc/69/19/01/696691901.db2.gz GCEQSYVVHHANJZ-XQQFMLRXSA-N 1 2 304.369 1.402 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000137650545 696859124 /nfs/dbraw/zinc/85/91/24/696859124.db2.gz NGFCPFGYHBGVPA-OCCSQVGLSA-N 1 2 304.394 1.608 20 30 DDEDLO Cn1cnc(C(=O)N2CCC[N@H+](CC#Cc3ccccc3)CC2)c1 ZINC000980971941 696967000 /nfs/dbraw/zinc/96/70/00/696967000.db2.gz VQAONWDUQTURMC-UHFFFAOYSA-N 1 2 322.412 1.620 20 30 DDEDLO Cn1cnc(C(=O)N2CCC[N@@H+](CC#Cc3ccccc3)CC2)c1 ZINC000980971941 696967003 /nfs/dbraw/zinc/96/70/03/696967003.db2.gz VQAONWDUQTURMC-UHFFFAOYSA-N 1 2 322.412 1.620 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)C[C@@H](C)n2ccnc2CC)CC1 ZINC000981197432 697031979 /nfs/dbraw/zinc/03/19/79/697031979.db2.gz BCYBSDBEKWZAGK-MRXNPFEDSA-N 1 2 316.449 1.954 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)C[C@@H](C)n2ccnc2CC)CC1 ZINC000981197432 697031981 /nfs/dbraw/zinc/03/19/81/697031981.db2.gz BCYBSDBEKWZAGK-MRXNPFEDSA-N 1 2 316.449 1.954 20 30 DDEDLO C#CC[N@@H+]1C[C@@]2(C)CN(C(=O)C(F)C(F)(F)F)C[C@@]2(C)C1 ZINC000982283070 697082509 /nfs/dbraw/zinc/08/25/09/697082509.db2.gz MIRSUXRDOLYWQS-RTXFEEFZSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CC[N@H+]1C[C@@]2(C)CN(C(=O)C(F)C(F)(F)F)C[C@@]2(C)C1 ZINC000982283070 697082512 /nfs/dbraw/zinc/08/25/12/697082512.db2.gz MIRSUXRDOLYWQS-RTXFEEFZSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CC[N@@H+]1C[C@@]2(C)CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@]2(C)C1 ZINC000982283070 697082514 /nfs/dbraw/zinc/08/25/14/697082514.db2.gz MIRSUXRDOLYWQS-RTXFEEFZSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CC[N@H+]1C[C@@]2(C)CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@]2(C)C1 ZINC000982283070 697082516 /nfs/dbraw/zinc/08/25/16/697082516.db2.gz MIRSUXRDOLYWQS-RTXFEEFZSA-N 1 2 306.303 1.690 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2ccc(C)c(C#N)c2)CC1 ZINC000799625114 700154702 /nfs/dbraw/zinc/15/47/02/700154702.db2.gz DLPFDHPGBTYCMV-UHFFFAOYSA-N 1 2 301.390 1.661 20 30 DDEDLO N#CCOc1ccccc1C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000194049527 697689451 /nfs/dbraw/zinc/68/94/51/697689451.db2.gz OWXHTAMBQSSNOK-UHFFFAOYSA-N 1 2 322.368 1.946 20 30 DDEDLO C#C[C@H](NC(=O)N1CCN(c2cccc[nH+]2)CC1)[C@H]1CCCO1 ZINC000773561017 697780815 /nfs/dbraw/zinc/78/08/15/697780815.db2.gz SJBRTYSNRJAMHI-LSDHHAIUSA-N 1 2 314.389 1.094 20 30 DDEDLO C[C@H]1C[N@H+](CCOC(=O)Cc2ccc(OCC#N)cc2)CCO1 ZINC000800166397 700197021 /nfs/dbraw/zinc/19/70/21/700197021.db2.gz YQKNJLDKKRIWQC-AWEZNQCLSA-N 1 2 318.373 1.395 20 30 DDEDLO C[C@H]1C[N@@H+](CCOC(=O)Cc2ccc(OCC#N)cc2)CCO1 ZINC000800166397 700197022 /nfs/dbraw/zinc/19/70/22/700197022.db2.gz YQKNJLDKKRIWQC-AWEZNQCLSA-N 1 2 318.373 1.395 20 30 DDEDLO C[C@@H](CNC(=O)Cc1ccccc1CC#N)[NH+]1CCN(C)CC1 ZINC000777400305 698186902 /nfs/dbraw/zinc/18/69/02/698186902.db2.gz GVQRGEBFMKUIBJ-HNNXBMFYSA-N 1 2 314.433 1.047 20 30 DDEDLO CC[C@@H](CO)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000779723776 698456119 /nfs/dbraw/zinc/45/61/19/698456119.db2.gz MACMLFKHMZASOY-INIZCTEOSA-N 1 2 301.390 1.221 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2C[C@H](C)N(C(=O)C#CC3CC3)C2)n1 ZINC000988823740 698477152 /nfs/dbraw/zinc/47/71/52/698477152.db2.gz DJRMPUJWLFUJMT-GXTWGEPZSA-N 1 2 316.405 1.515 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)[C@@H]2CCC(=O)[C@@H](C)C2(C)C)CC1 ZINC000780535911 698529250 /nfs/dbraw/zinc/52/92/50/698529250.db2.gz JOIFXQKVCSPYEW-CABCVRRESA-N 1 2 304.434 1.795 20 30 DDEDLO Cn1cncc1[C@@H]1CC(=O)N(C[N@H+](C)Cc2ccc(C#N)cc2)C1 ZINC000783973098 698897069 /nfs/dbraw/zinc/89/70/69/698897069.db2.gz OABNZLPJONBUPN-MRXNPFEDSA-N 1 2 323.400 1.697 20 30 DDEDLO Cn1cncc1[C@@H]1CC(=O)N(C[N@@H+](C)Cc2ccc(C#N)cc2)C1 ZINC000783973098 698897072 /nfs/dbraw/zinc/89/70/72/698897072.db2.gz OABNZLPJONBUPN-MRXNPFEDSA-N 1 2 323.400 1.697 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](C[C@@H](O)c2ccccc2)CC1 ZINC000729922105 699492604 /nfs/dbraw/zinc/49/26/04/699492604.db2.gz SPQKGVQRHJHNME-QGZVFWFLSA-N 1 2 318.417 1.457 20 30 DDEDLO C#CCCCS(=O)(=O)NCC(C)(C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000791417424 699632326 /nfs/dbraw/zinc/63/23/26/699632326.db2.gz NMYRBQAUYMFIDS-OKILXGFUSA-N 1 2 316.467 1.207 20 30 DDEDLO C#CCCCS(=O)(=O)NCC(C)(C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000791417424 699632329 /nfs/dbraw/zinc/63/23/29/699632329.db2.gz NMYRBQAUYMFIDS-OKILXGFUSA-N 1 2 316.467 1.207 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[NH+](Cc2ccccc2C)CC1 ZINC000791418124 699632600 /nfs/dbraw/zinc/63/26/00/699632600.db2.gz YKIOVNDRSSTWAS-UHFFFAOYSA-N 1 2 320.458 1.856 20 30 DDEDLO N#CCNC(=O)COC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccccc1 ZINC000741353865 699833370 /nfs/dbraw/zinc/83/33/70/699833370.db2.gz RBSDYSNZGAENML-HNNXBMFYSA-N 1 2 315.373 1.224 20 30 DDEDLO N#CCNC(=O)COC(=O)[C@@H]1CCCC[N@H+]1Cc1ccccc1 ZINC000741353865 699833371 /nfs/dbraw/zinc/83/33/71/699833371.db2.gz RBSDYSNZGAENML-HNNXBMFYSA-N 1 2 315.373 1.224 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@H+]1CCCC[C@@H]1CO ZINC000754251959 700492040 /nfs/dbraw/zinc/49/20/40/700492040.db2.gz ZQCKKVBKHFIFHY-CYBMUJFWSA-N 1 2 312.373 1.267 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@@H+]1CCCC[C@@H]1CO ZINC000754251959 700492044 /nfs/dbraw/zinc/49/20/44/700492044.db2.gz ZQCKKVBKHFIFHY-CYBMUJFWSA-N 1 2 312.373 1.267 20 30 DDEDLO CCOC(=O)CC1CC[NH+](Cn2ccc(C)c(C#N)c2=O)CC1 ZINC000758184726 700687679 /nfs/dbraw/zinc/68/76/79/700687679.db2.gz IQZHMKYXZLCDKC-UHFFFAOYSA-N 1 2 317.389 1.651 20 30 DDEDLO COCCC[N@@H+](Cc1ccncc1)Cn1cccc(C#N)c1=O ZINC000758218327 700690617 /nfs/dbraw/zinc/69/06/17/700690617.db2.gz CLNOBGBQPLQAAV-UHFFFAOYSA-N 1 2 312.373 1.611 20 30 DDEDLO COCCC[N@H+](Cc1ccncc1)Cn1cccc(C#N)c1=O ZINC000758218327 700690618 /nfs/dbraw/zinc/69/06/18/700690618.db2.gz CLNOBGBQPLQAAV-UHFFFAOYSA-N 1 2 312.373 1.611 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1COc2ccc(Cl)cc21 ZINC000762311472 700888735 /nfs/dbraw/zinc/88/87/35/700888735.db2.gz BAVJPSJOUNYKEA-KGLIPLIRSA-N 1 2 304.777 1.987 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1COc2ccc(Cl)cc21 ZINC000762311472 700888737 /nfs/dbraw/zinc/88/87/37/700888737.db2.gz BAVJPSJOUNYKEA-KGLIPLIRSA-N 1 2 304.777 1.987 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(OC)cc1 ZINC000762926967 700916786 /nfs/dbraw/zinc/91/67/86/700916786.db2.gz YPYCARDEGMTXJS-INIZCTEOSA-N 1 2 302.374 1.748 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(OC)cc1 ZINC000762926967 700916787 /nfs/dbraw/zinc/91/67/87/700916787.db2.gz YPYCARDEGMTXJS-INIZCTEOSA-N 1 2 302.374 1.748 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NC1C[N@H+](C)C[C@@H]1C ZINC000767394698 701103078 /nfs/dbraw/zinc/10/30/78/701103078.db2.gz ZWHWVJUUDLWGIV-JTQLQIEISA-N 1 2 311.407 1.448 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NC1C[N@@H+](C)C[C@@H]1C ZINC000767394698 701103079 /nfs/dbraw/zinc/10/30/79/701103079.db2.gz ZWHWVJUUDLWGIV-JTQLQIEISA-N 1 2 311.407 1.448 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000803671192 701133392 /nfs/dbraw/zinc/13/33/92/701133392.db2.gz AACGGFUVLABUIA-UHFFFAOYSA-N 1 2 305.378 1.358 20 30 DDEDLO C#CC[N@H+](C[C@H]1CCOc2ccccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000768932452 701217288 /nfs/dbraw/zinc/21/72/88/701217288.db2.gz TVIXWRRWUWTFEY-HUUCEWRRSA-N 1 2 319.426 1.675 20 30 DDEDLO C#CC[N@@H+](C[C@H]1CCOc2ccccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000768932452 701217290 /nfs/dbraw/zinc/21/72/90/701217290.db2.gz TVIXWRRWUWTFEY-HUUCEWRRSA-N 1 2 319.426 1.675 20 30 DDEDLO C#Cc1cccc(NC(=S)N[C@@H](C)[C@@H]2C[N@H+](C)CCO2)c1 ZINC000769796975 701256386 /nfs/dbraw/zinc/25/63/86/701256386.db2.gz WYMHHGQDROGFEB-WFASDCNBSA-N 1 2 303.431 1.673 20 30 DDEDLO C#Cc1cccc(NC(=S)N[C@@H](C)[C@@H]2C[N@@H+](C)CCO2)c1 ZINC000769796975 701256387 /nfs/dbraw/zinc/25/63/87/701256387.db2.gz WYMHHGQDROGFEB-WFASDCNBSA-N 1 2 303.431 1.673 20 30 DDEDLO CS[C@@H]1CC[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000830884000 706596940 /nfs/dbraw/zinc/59/69/40/706596940.db2.gz KAYNHZZWSXQJDM-GFCCVEGCSA-N 1 2 314.414 1.857 20 30 DDEDLO CS[C@@H]1CC[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000830884000 706596941 /nfs/dbraw/zinc/59/69/41/706596941.db2.gz KAYNHZZWSXQJDM-GFCCVEGCSA-N 1 2 314.414 1.857 20 30 DDEDLO Cn1cc[nH+]c1NN=Cc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC000807985680 701493267 /nfs/dbraw/zinc/49/32/67/701493267.db2.gz RMGGPQXJDLDLDF-UHFFFAOYSA-N 1 2 313.770 1.167 20 30 DDEDLO C=C(C)[C@H](CO)[N@@H+]1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000814664523 701738513 /nfs/dbraw/zinc/73/85/13/701738513.db2.gz YWRSPAYTCDWNLZ-ZDUSSCGKSA-N 1 2 312.410 1.245 20 30 DDEDLO C=C(C)[C@H](CO)[N@H+]1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000814664523 701738518 /nfs/dbraw/zinc/73/85/18/701738518.db2.gz YWRSPAYTCDWNLZ-ZDUSSCGKSA-N 1 2 312.410 1.245 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC2(CC[N@@H+]2Cc2ccccc2)C1 ZINC000866119282 706624388 /nfs/dbraw/zinc/62/43/88/706624388.db2.gz MTVCCJVXHMTNIV-UHFFFAOYSA-N 1 2 320.396 1.997 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC2(CC[N@H+]2Cc2ccccc2)C1 ZINC000866119282 706624391 /nfs/dbraw/zinc/62/43/91/706624391.db2.gz MTVCCJVXHMTNIV-UHFFFAOYSA-N 1 2 320.396 1.997 20 30 DDEDLO CC(C)[C@H]1C[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CCCO1 ZINC000840096922 701967246 /nfs/dbraw/zinc/96/72/46/701967246.db2.gz RBSMGBLUNZEKFU-QGZVFWFLSA-N 1 2 315.417 1.913 20 30 DDEDLO CC(C)[C@H]1C[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CCCO1 ZINC000840096922 701967254 /nfs/dbraw/zinc/96/72/54/701967254.db2.gz RBSMGBLUNZEKFU-QGZVFWFLSA-N 1 2 315.417 1.913 20 30 DDEDLO COc1cc(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)ccc1C#N ZINC000831071408 706629452 /nfs/dbraw/zinc/62/94/52/706629452.db2.gz DYIDBHQMKOKFCS-HNNXBMFYSA-N 1 2 322.368 1.971 20 30 DDEDLO CC(C)CNC(=O)C1CC[NH+](Cc2nc(C#N)cs2)CC1 ZINC000811455238 701989905 /nfs/dbraw/zinc/98/99/05/701989905.db2.gz YMCLHOBMQQTRKZ-UHFFFAOYSA-N 1 2 306.435 1.999 20 30 DDEDLO C#CCSCCNc1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000840268000 702049040 /nfs/dbraw/zinc/04/90/40/702049040.db2.gz SFBHDZOHWZVKLI-STQMWFEESA-N 1 2 306.435 1.462 20 30 DDEDLO C#CCSCCNc1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000840268000 702049047 /nfs/dbraw/zinc/04/90/47/702049047.db2.gz SFBHDZOHWZVKLI-STQMWFEESA-N 1 2 306.435 1.462 20 30 DDEDLO CN(C)S(=O)(=O)c1ccc(N[NH+]=Cc2cccnc2N)cc1 ZINC000812231163 702128778 /nfs/dbraw/zinc/12/87/78/702128778.db2.gz KARZMWMKPOTTAN-UHFFFAOYSA-N 1 2 319.390 1.360 20 30 DDEDLO CO[C@H]1CC[C@@H](C)[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000879321919 706649356 /nfs/dbraw/zinc/64/93/56/706649356.db2.gz GTBSPKHJRLGCBU-HIFRSBDPSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@H]1CC[C@@H](C)[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000879321919 706649360 /nfs/dbraw/zinc/64/93/60/706649360.db2.gz GTBSPKHJRLGCBU-HIFRSBDPSA-N 1 2 322.430 1.831 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@H]1CCCN1C(=O)[C@H](O)c1c[nH+]c[nH]1 ZINC000866234541 706656252 /nfs/dbraw/zinc/65/62/52/706656252.db2.gz NHHOAEFLMJWESS-FVQBIDKESA-N 1 2 310.357 1.741 20 30 DDEDLO O=S(=O)(NC1CC1)c1ccc(NNC=CC(F)(F)F)[nH+]c1 ZINC000817322537 702339883 /nfs/dbraw/zinc/33/98/83/702339883.db2.gz BMVGMSPDTAQXAU-UHFFFAOYSA-N 1 2 322.312 1.872 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(CC(=O)OC)c(F)c1 ZINC000817659212 702437472 /nfs/dbraw/zinc/43/74/72/702437472.db2.gz AJPABIFWXSVGKW-HNNXBMFYSA-N 1 2 318.348 1.577 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(CC(=O)OC)c(F)c1 ZINC000817659212 702437473 /nfs/dbraw/zinc/43/74/73/702437473.db2.gz AJPABIFWXSVGKW-HNNXBMFYSA-N 1 2 318.348 1.577 20 30 DDEDLO C[C@@H]([NH2+]C[C@H]1CCN(S(C)(=O)=O)C1)c1cccc(C#N)c1O ZINC000866310777 706670796 /nfs/dbraw/zinc/67/07/96/706670796.db2.gz PNXRQQXPRKXWHB-VXGBXAGGSA-N 1 2 323.418 1.196 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845282755 703138677 /nfs/dbraw/zinc/13/86/77/703138677.db2.gz YCCRMTZUOGEPQL-KBPBESRZSA-N 1 2 305.378 1.967 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCNC(=O)CSC(C)(C)C)nn1 ZINC000849139654 703618900 /nfs/dbraw/zinc/61/89/00/703618900.db2.gz KHMKKOAQTPPZPT-UHFFFAOYSA-N 1 2 323.466 1.039 20 30 DDEDLO C=CCCn1cc(C[NH2+]C2CN(C(=O)OC(C)(C)C)C2)nn1 ZINC000850178453 703710752 /nfs/dbraw/zinc/71/07/52/703710752.db2.gz AIKXQERCSNNKKH-UHFFFAOYSA-N 1 2 307.398 1.563 20 30 DDEDLO C[C@@H]([NH2+]Cc1nc(C(N)=O)cs1)c1ccc(OCC#N)cc1 ZINC000850541720 703735730 /nfs/dbraw/zinc/73/57/30/703735730.db2.gz ZGEDLOUCNPXRPX-SNVBAGLBSA-N 1 2 316.386 1.995 20 30 DDEDLO C#C[C@@H](NC(=O)NCCCNc1cccc[nH+]1)C1CCOCC1 ZINC000852120932 703931325 /nfs/dbraw/zinc/93/13/25/703931325.db2.gz DJRIZHRZTHQTPE-OAHLLOKOSA-N 1 2 316.405 1.611 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@H+]1C[C@@H](C)OC[C@H]1C ZINC000853504128 704252666 /nfs/dbraw/zinc/25/26/66/704252666.db2.gz NLYLKOPGHGGWGR-GHMZBOCLSA-N 1 2 320.231 1.303 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@H]1C ZINC000853504128 704252668 /nfs/dbraw/zinc/25/26/68/704252668.db2.gz NLYLKOPGHGGWGR-GHMZBOCLSA-N 1 2 320.231 1.303 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1CC(=O)N(C[N@@H+]2CCC[C@H](CC#N)C2)C1 ZINC000853525482 704259602 /nfs/dbraw/zinc/25/96/02/704259602.db2.gz WRULZUZKCVNPSE-ZIAGYGMSSA-N 1 2 321.421 1.760 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1CC(=O)N(C[N@H+]2CCC[C@H](CC#N)C2)C1 ZINC000853525482 704259606 /nfs/dbraw/zinc/25/96/06/704259606.db2.gz WRULZUZKCVNPSE-ZIAGYGMSSA-N 1 2 321.421 1.760 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1C[C@H](C)OC[C@H]1C)c1ccccc1 ZINC000820584811 704304946 /nfs/dbraw/zinc/30/49/46/704304946.db2.gz SUHRRJRMNOVCND-VYDXJSESSA-N 1 2 315.417 1.769 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1C[C@H](C)OC[C@H]1C)c1ccccc1 ZINC000820584811 704304950 /nfs/dbraw/zinc/30/49/50/704304950.db2.gz SUHRRJRMNOVCND-VYDXJSESSA-N 1 2 315.417 1.769 20 30 DDEDLO CC[C@H](C#N)C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000871545256 704312128 /nfs/dbraw/zinc/31/21/28/704312128.db2.gz IZZUZVHZIFOHDM-GFCCVEGCSA-N 1 2 309.410 1.458 20 30 DDEDLO CC[NH+]1CCN(C(=O)C(=O)Nc2cc(C#N)c(F)cc2C)CC1 ZINC000873674205 704824757 /nfs/dbraw/zinc/82/47/57/704824757.db2.gz IIXIHCGTFIVYCH-UHFFFAOYSA-N 1 2 318.352 1.108 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](C/C=C\c2ccccc2)CC1 ZINC000822182957 704891182 /nfs/dbraw/zinc/89/11/82/704891182.db2.gz OHCATPWVKVGAGP-JEZWAEDTSA-N 1 2 312.413 1.882 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)c2cc(C(=O)OC)[nH]c2C)CC1 ZINC000880482849 706988726 /nfs/dbraw/zinc/98/87/26/706988726.db2.gz SPWUJKPXXSTKJH-UHFFFAOYSA-N 1 2 318.373 1.143 20 30 DDEDLO C#CC[C@@H](NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F)C(=O)OC ZINC000823520408 705259908 /nfs/dbraw/zinc/25/99/08/705259908.db2.gz WCSWGZYMQJHEAF-ZJUUUORDSA-N 1 2 317.267 1.058 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc(C#N)c(OC)c2)CC1 ZINC000824872208 705548234 /nfs/dbraw/zinc/54/82/34/705548234.db2.gz PPFLFBQJLJWWRU-UHFFFAOYSA-N 1 2 313.357 1.846 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000825080323 705595901 /nfs/dbraw/zinc/59/59/01/705595901.db2.gz NAJHCKYITWPIPY-ZDUSSCGKSA-N 1 2 313.357 1.736 20 30 DDEDLO O=C(C#Cc1cccs1)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000826585838 705819822 /nfs/dbraw/zinc/81/98/22/705819822.db2.gz RQRBBDQJPOXSON-CQSZACIVSA-N 1 2 304.415 1.423 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC(N2CC[NH+](C)CC2)CC1 ZINC000827462873 706007402 /nfs/dbraw/zinc/00/74/02/706007402.db2.gz UQPJPRQQRXWMAH-OAHLLOKOSA-N 1 2 309.454 1.206 20 30 DDEDLO C=C(C)[C@H](CO)[N@H+]1CCO[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000828052838 706109950 /nfs/dbraw/zinc/10/99/50/706109950.db2.gz LRNVZTIPXYSWQY-RDBSUJKOSA-N 1 2 314.426 1.537 20 30 DDEDLO C=C(C)[C@H](CO)[N@@H+]1CCO[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000828052838 706109951 /nfs/dbraw/zinc/10/99/51/706109951.db2.gz LRNVZTIPXYSWQY-RDBSUJKOSA-N 1 2 314.426 1.537 20 30 DDEDLO N#Cc1cnc(Cl)c(C[NH2+][C@@H]2CCN(C3CCC3)C2=O)c1 ZINC000877661932 706173294 /nfs/dbraw/zinc/17/32/94/706173294.db2.gz JTSJMLVDPWQRFA-CYBMUJFWSA-N 1 2 304.781 1.850 20 30 DDEDLO C[C@H](O)CN(C)N=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872381399 707395149 /nfs/dbraw/zinc/39/51/49/707395149.db2.gz NXSMGNAHFZOLON-HNNXBMFYSA-N 1 2 321.421 1.044 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)CC1 ZINC000828976265 706267002 /nfs/dbraw/zinc/26/70/02/706267002.db2.gz ZLBIPDJIKZZYCB-VQHPVUNQSA-N 1 2 320.433 1.174 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@H+]2CCOCC2(C)C)c1 ZINC000836316917 707439335 /nfs/dbraw/zinc/43/93/35/707439335.db2.gz UWXWKDXWEDYHJS-UHFFFAOYSA-N 1 2 315.417 1.578 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@@H+]2CCOCC2(C)C)c1 ZINC000836316917 707439336 /nfs/dbraw/zinc/43/93/36/707439336.db2.gz UWXWKDXWEDYHJS-UHFFFAOYSA-N 1 2 315.417 1.578 20 30 DDEDLO N#C[C@@]1(C(=O)NCc2ccc(-n3cc[nH+]c3)cc2)CCCOC1 ZINC000865028375 706329071 /nfs/dbraw/zinc/32/90/71/706329071.db2.gz IABOEJJIOCOLFX-KRWDZBQOSA-N 1 2 310.357 1.809 20 30 DDEDLO C#CC[N@@H+](CC[C@H]1CCCC1(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000878237681 706334762 /nfs/dbraw/zinc/33/47/62/706334762.db2.gz OHGXBALDAMHKPE-CHWSQXEVSA-N 1 2 305.390 1.934 20 30 DDEDLO C#CC[N@H+](CC[C@H]1CCCC1(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000878237681 706334763 /nfs/dbraw/zinc/33/47/63/706334763.db2.gz OHGXBALDAMHKPE-CHWSQXEVSA-N 1 2 305.390 1.934 20 30 DDEDLO C#CCNC(=S)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000865266376 706392549 /nfs/dbraw/zinc/39/25/49/706392549.db2.gz MIGGUFWIIZLGOS-INIZCTEOSA-N 1 2 317.458 1.293 20 30 DDEDLO C#CCNC(=S)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000865266376 706392551 /nfs/dbraw/zinc/39/25/51/706392551.db2.gz MIGGUFWIIZLGOS-INIZCTEOSA-N 1 2 317.458 1.293 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CC2CC(F)(F)C2)CC1 ZINC000830195084 706472493 /nfs/dbraw/zinc/47/24/93/706472493.db2.gz LDLMTFADMDZURE-UHFFFAOYSA-N 1 2 306.378 1.002 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@@H](Cc3ccccc3)CC2=O)CC1 ZINC000872557269 707478197 /nfs/dbraw/zinc/47/81/97/707478197.db2.gz LODNAYYADJOCIU-SFHVURJKSA-N 1 2 311.429 1.286 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cc(F)cc(C#N)c2)C[C@@H]1C ZINC000867009076 706871092 /nfs/dbraw/zinc/87/10/92/706871092.db2.gz OSNZWLYJJNKYTA-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cc(F)cc(C#N)c2)C[C@@H]1C ZINC000867009076 706871095 /nfs/dbraw/zinc/87/10/95/706871095.db2.gz OSNZWLYJJNKYTA-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1cc(CC#N)ccn1 ZINC000871735163 707181373 /nfs/dbraw/zinc/18/13/73/707181373.db2.gz ZOOKPDMRVCRCPX-UHFFFAOYSA-N 1 2 317.393 1.380 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)c(C#N)c1 ZINC000872471538 707431490 /nfs/dbraw/zinc/43/14/90/707431490.db2.gz QYBDIIKESSAVLK-UHFFFAOYSA-N 1 2 302.359 1.309 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@]1(C)OCCc2ccccc21 ZINC000882318237 707581862 /nfs/dbraw/zinc/58/18/62/707581862.db2.gz NIQKQZGLTKMUPS-PKOBYXMFSA-N 1 2 312.413 1.688 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@]1(C)OCCc2ccccc21 ZINC000882318237 707581866 /nfs/dbraw/zinc/58/18/66/707581866.db2.gz NIQKQZGLTKMUPS-PKOBYXMFSA-N 1 2 312.413 1.688 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNc1ccc([N+](=O)[O-])cc1C#N ZINC000872771030 707592734 /nfs/dbraw/zinc/59/27/34/707592734.db2.gz CSRVTQFUYPAGLH-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNc1ccc([N+](=O)[O-])cc1C#N ZINC000872771030 707592736 /nfs/dbraw/zinc/59/27/36/707592736.db2.gz CSRVTQFUYPAGLH-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO C#CC1(F)CN(C(=O)NCc2cc[nH+]c(N3CCCCC3)c2)C1 ZINC000883096397 707924695 /nfs/dbraw/zinc/92/46/95/707924695.db2.gz GOIHDXZQVLUJGY-UHFFFAOYSA-N 1 2 316.380 1.939 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)NCCc1cn(C)c[nH+]1 ZINC000838475212 707929038 /nfs/dbraw/zinc/92/90/38/707929038.db2.gz ZYZQRNWXIJNHTJ-UHFFFAOYSA-N 1 2 312.373 1.474 20 30 DDEDLO C#CC1(F)CN(C(=O)NCCc2cn3cccc(C)c3[nH+]2)C1 ZINC000883488809 708014035 /nfs/dbraw/zinc/01/40/35/708014035.db2.gz VOBRYEGLVFYCBA-UHFFFAOYSA-N 1 2 300.337 1.552 20 30 DDEDLO CCn1ncc(C[NH2+][C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)n1 ZINC000839048458 708020069 /nfs/dbraw/zinc/02/00/69/708020069.db2.gz DMNKHOMZRBKXLA-MRXNPFEDSA-N 1 2 324.388 1.060 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000883551981 708025681 /nfs/dbraw/zinc/02/56/81/708025681.db2.gz DXNLHZQAMMCIEB-ZIAGYGMSSA-N 1 2 304.394 1.287 20 30 DDEDLO C=CCN(C(=O)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1)C(C)C ZINC000839245536 708038410 /nfs/dbraw/zinc/03/84/10/708038410.db2.gz ASAGBKNOEHFKAN-GJZGRUSLSA-N 1 2 318.421 1.716 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NC[C@H]2CCCN(CC#N)C2)c[nH+]1 ZINC000896629934 708102197 /nfs/dbraw/zinc/10/21/97/708102197.db2.gz IREURYXUEQOYBT-CQSZACIVSA-N 1 2 303.410 1.278 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1cccc(OC)c1 ZINC000884068189 708124985 /nfs/dbraw/zinc/12/49/85/708124985.db2.gz HCIXQCKQLKSQGN-RISCZKNCSA-N 1 2 306.362 1.319 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H]1c1ccsc1 ZINC000884080432 708130284 /nfs/dbraw/zinc/13/02/84/708130284.db2.gz VRNUCPBHLADAFG-STQMWFEESA-N 1 2 308.403 1.858 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2cc(OC)ccc21 ZINC000884113227 708145096 /nfs/dbraw/zinc/14/50/96/708145096.db2.gz KBSBCOGWDNSMQK-ZDUSSCGKSA-N 1 2 304.346 1.031 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(F)c(COC)c1 ZINC000884129186 708152058 /nfs/dbraw/zinc/15/20/58/708152058.db2.gz ZTAVADJOOVVGLK-AWEZNQCLSA-N 1 2 324.352 1.035 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](CC)Cc1ccc(F)cc1 ZINC000884397651 708280114 /nfs/dbraw/zinc/28/01/14/708280114.db2.gz KUAWEDMEQKYLAU-CABCVRRESA-N 1 2 322.380 1.710 20 30 DDEDLO N#Cc1csc(CNC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)n1 ZINC000897296433 708282807 /nfs/dbraw/zinc/28/28/07/708282807.db2.gz MSXFRGLRRUALCP-LBPRGKRZSA-N 1 2 316.390 1.758 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@H]1c1ccccc1OC ZINC000884411616 708286107 /nfs/dbraw/zinc/28/61/07/708286107.db2.gz SFBGEPVIWKCJAZ-MELADBBJSA-N 1 2 318.373 1.114 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CC[C@@H]([C@@H]3CCCO3)C2)c1=S ZINC000884481783 708313073 /nfs/dbraw/zinc/31/30/73/708313073.db2.gz QYKURJRPYBMVPO-NEPJUHHUSA-N 1 2 307.423 1.476 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CC[C@@H]([C@@H]3CCCO3)C2)c1=S ZINC000884481783 708313078 /nfs/dbraw/zinc/31/30/78/708313078.db2.gz QYKURJRPYBMVPO-NEPJUHHUSA-N 1 2 307.423 1.476 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)C[C@H]2CCCC2(F)F)C1 ZINC000885509155 708561981 /nfs/dbraw/zinc/56/19/81/708561981.db2.gz POUDOZIXOGPOMZ-OLZOCXBDSA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)C[C@H]2CCCC2(F)F)C1 ZINC000885509155 708561983 /nfs/dbraw/zinc/56/19/83/708561983.db2.gz POUDOZIXOGPOMZ-OLZOCXBDSA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(C)cc2C)C1 ZINC000885514056 708563485 /nfs/dbraw/zinc/56/34/85/708563485.db2.gz OBQFNHKYRJNDTN-HNNXBMFYSA-N 1 2 306.431 1.679 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(C)cc2C)C1 ZINC000885514056 708563489 /nfs/dbraw/zinc/56/34/89/708563489.db2.gz OBQFNHKYRJNDTN-HNNXBMFYSA-N 1 2 306.431 1.679 20 30 DDEDLO CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1OC ZINC000886470418 708765959 /nfs/dbraw/zinc/76/59/59/708765959.db2.gz LYXDKTZXZOJOGW-ZDUSSCGKSA-N 1 2 323.418 1.282 20 30 DDEDLO CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1OC ZINC000886470418 708765960 /nfs/dbraw/zinc/76/59/60/708765960.db2.gz LYXDKTZXZOJOGW-ZDUSSCGKSA-N 1 2 323.418 1.282 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@](C)(O)c2ccc(F)cc2)nn1 ZINC000887451014 709046404 /nfs/dbraw/zinc/04/64/04/709046404.db2.gz FTZDUVMFHVLBFE-INIZCTEOSA-N 1 2 302.353 1.438 20 30 DDEDLO N#Cc1sc(NC(=O)N[C@H]2Cc3c[nH+]cn3C2)nc1Cl ZINC000888428176 709308414 /nfs/dbraw/zinc/30/84/14/709308414.db2.gz PKVYTOVJGSPRGC-LURJTMIESA-N 1 2 308.754 1.611 20 30 DDEDLO N#Cc1ccccc1NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000901198817 709964138 /nfs/dbraw/zinc/96/41/38/709964138.db2.gz MCZOYEFUPULOAD-ZBFHGGJFSA-N 1 2 315.373 1.377 20 30 DDEDLO CN(C)c1nc(C(F)(F)F)ccc1C=[NH+]N1CCC[C@@H]1CO ZINC000901252889 709985055 /nfs/dbraw/zinc/98/50/55/709985055.db2.gz QWEHTAPLLAYBPM-LLVKDONJSA-N 1 2 316.327 1.957 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+]Cc2cnn(CCF)c2)C(N)=O)cc1 ZINC000901654719 710109663 /nfs/dbraw/zinc/10/96/63/710109663.db2.gz DLYIKKAPRQYVHX-CQSZACIVSA-N 1 2 301.325 1.040 20 30 DDEDLO N#Cc1ccccc1N1CC[NH+](Cc2cnc3n2CCOC3)CC1 ZINC000891573413 710238899 /nfs/dbraw/zinc/23/88/99/710238899.db2.gz OVWHPDVENPEYJR-UHFFFAOYSA-N 1 2 323.400 1.607 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2nc(-c3ccccc3)no2)C1 ZINC000902728937 710881386 /nfs/dbraw/zinc/88/13/86/710881386.db2.gz COQUSKPBRLSRPD-CQSZACIVSA-N 1 2 310.357 1.564 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2nc(-c3ccccc3)no2)C1 ZINC000902728937 710881387 /nfs/dbraw/zinc/88/13/87/710881387.db2.gz COQUSKPBRLSRPD-CQSZACIVSA-N 1 2 310.357 1.564 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000903207032 711064806 /nfs/dbraw/zinc/06/48/06/711064806.db2.gz OCYVAXSORSFSCK-GASCZTMLSA-N 1 2 319.430 1.610 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000903207032 711064810 /nfs/dbraw/zinc/06/48/10/711064810.db2.gz OCYVAXSORSFSCK-GASCZTMLSA-N 1 2 319.430 1.610 20 30 DDEDLO C=CCC1(NC(=O)CC[NH+]2CCN(C(C)C)CC2)CCOCC1 ZINC000912456269 711335513 /nfs/dbraw/zinc/33/55/13/711335513.db2.gz FBBGLJGCOQLSOD-UHFFFAOYSA-N 1 2 323.481 1.644 20 30 DDEDLO C#CCC1(O)CCN(c2cc(N[C@H](CC)CO)[nH+]cn2)CC1 ZINC000895160778 711437294 /nfs/dbraw/zinc/43/72/94/711437294.db2.gz LIIRQTGLTAIYOL-CYBMUJFWSA-N 1 2 304.394 1.014 20 30 DDEDLO C#CCC1(O)CCN(c2cc(N[C@H](CC)CO)nc[nH+]2)CC1 ZINC000895160778 711437296 /nfs/dbraw/zinc/43/72/96/711437296.db2.gz LIIRQTGLTAIYOL-CYBMUJFWSA-N 1 2 304.394 1.014 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+][C@H]1CCCN(O)C1=O ZINC000895160728 711437503 /nfs/dbraw/zinc/43/75/03/711437503.db2.gz OZPLYIYTOZVPBD-ZDUSSCGKSA-N 1 2 308.765 1.822 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2cccc(Br)c2O)CCCN1O ZINC000895166380 711439686 /nfs/dbraw/zinc/43/96/86/711439686.db2.gz QBJFGUKPSSUNOV-JTQLQIEISA-N 1 2 315.167 1.625 20 30 DDEDLO Cc1c(Cl)cnc(NCC[N@@H+]2CCC3(C2)OCCO3)c1C#N ZINC000895254032 711467763 /nfs/dbraw/zinc/46/77/63/711467763.db2.gz PSVLCRSPPIUWIA-UHFFFAOYSA-N 1 2 322.796 1.776 20 30 DDEDLO Cc1c(Cl)cnc(NCC[N@H+]2CCC3(C2)OCCO3)c1C#N ZINC000895254032 711467765 /nfs/dbraw/zinc/46/77/65/711467765.db2.gz PSVLCRSPPIUWIA-UHFFFAOYSA-N 1 2 322.796 1.776 20 30 DDEDLO C=C(C)C[C@H]([NH2+]C1CCN(c2cnccn2)CC1)C(=O)OCC ZINC000895596803 711554069 /nfs/dbraw/zinc/55/40/69/711554069.db2.gz BYNILCUKNXRSQS-HNNXBMFYSA-N 1 2 318.421 1.933 20 30 DDEDLO CNC(=O)N(C)c1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000905429186 712035484 /nfs/dbraw/zinc/03/54/84/712035484.db2.gz GFNIDWLVQKSJIY-OAHLLOKOSA-N 1 2 319.409 1.080 20 30 DDEDLO CNC(=O)N(C)c1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000905429186 712035486 /nfs/dbraw/zinc/03/54/86/712035486.db2.gz GFNIDWLVQKSJIY-OAHLLOKOSA-N 1 2 319.409 1.080 20 30 DDEDLO N#Cc1cc(F)ccc1N1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000913760912 713297150 /nfs/dbraw/zinc/29/71/50/713297150.db2.gz TXGITWRHIWAUML-UHFFFAOYSA-N 1 2 313.336 1.312 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)cn2)C[C@@H]1C ZINC000907078129 712482486 /nfs/dbraw/zinc/48/24/86/712482486.db2.gz FUBAODULCOJQGW-RYUDHWBXSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)cn2)C[C@@H]1C ZINC000907078129 712482488 /nfs/dbraw/zinc/48/24/88/712482488.db2.gz FUBAODULCOJQGW-RYUDHWBXSA-N 1 2 308.407 1.056 20 30 DDEDLO C#CCOc1ccccc1NC(=O)/C=C(/C)C[NH+]1CCOCC1 ZINC000907505827 712587679 /nfs/dbraw/zinc/58/76/79/712587679.db2.gz XYRSYWBNKGNQRJ-SQFISAMPSA-N 1 2 314.385 1.916 20 30 DDEDLO N#Cc1ccccc1C(F)(F)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000907524666 712589952 /nfs/dbraw/zinc/58/99/52/712589952.db2.gz DOIBMYGHFPKSTQ-GFCCVEGCSA-N 1 2 316.311 1.978 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@H](C)C[NH+]2CCOCC2)cc(C)c1C#N ZINC000907837443 712633573 /nfs/dbraw/zinc/63/35/73/712633573.db2.gz UBFYHWHMRKOJIC-OAHLLOKOSA-N 1 2 315.417 1.968 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)[C@H](C)N1CC[NH+](C)CC1 ZINC000908648443 712828546 /nfs/dbraw/zinc/82/85/46/712828546.db2.gz UGXKWLBNYBGPCT-LSDHHAIUSA-N 1 2 307.438 1.149 20 30 DDEDLO CCCS(=O)(=O)NC1CC[NH+](Cc2cncc(C#N)c2)CC1 ZINC000929274478 713580563 /nfs/dbraw/zinc/58/05/63/713580563.db2.gz XROMWHRIBZEMOO-UHFFFAOYSA-N 1 2 322.434 1.247 20 30 DDEDLO COCC[N@H+](CC(=O)N(C)C)Cc1cc(Cl)cc(C#N)c1 ZINC000929282785 713582116 /nfs/dbraw/zinc/58/21/16/713582116.db2.gz XNWRRXUWRKTJIO-UHFFFAOYSA-N 1 2 309.797 1.748 20 30 DDEDLO COCC[N@@H+](CC(=O)N(C)C)Cc1cc(Cl)cc(C#N)c1 ZINC000929282785 713582117 /nfs/dbraw/zinc/58/21/17/713582117.db2.gz XNWRRXUWRKTJIO-UHFFFAOYSA-N 1 2 309.797 1.748 20 30 DDEDLO C#CC1(O)CN(C(=O)Nc2nc(C[NH+]3CCCCC3)cs2)C1 ZINC000929321265 713588801 /nfs/dbraw/zinc/58/88/01/713588801.db2.gz NWJPNVTXAUCUMF-UHFFFAOYSA-N 1 2 320.418 1.341 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2cc(C#N)ccc2C)C[C@@H]1C ZINC000919580378 713616458 /nfs/dbraw/zinc/61/64/58/713616458.db2.gz OETUELNZOWWGQL-OKILXGFUSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2cc(C#N)ccc2C)C[C@@H]1C ZINC000919580378 713616460 /nfs/dbraw/zinc/61/64/60/713616460.db2.gz OETUELNZOWWGQL-OKILXGFUSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[C@](O)(C[NH+]1CCN([C@@H](C#N)C(C)C)CC1)C(F)(F)F ZINC000930116520 713760058 /nfs/dbraw/zinc/76/00/58/713760058.db2.gz YFSCZXGWPKPIAN-STQMWFEESA-N 1 2 307.360 1.856 20 30 DDEDLO C[C@@H]1[C@H](C)NC(=O)[C@H](C)[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000930830645 713930982 /nfs/dbraw/zinc/93/09/82/713930982.db2.gz AZWKUSUHGRYQMJ-AXFHLTTASA-N 1 2 320.418 1.546 20 30 DDEDLO C[C@@H]1[C@H](C)NC(=O)[C@H](C)[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000930830645 713930983 /nfs/dbraw/zinc/93/09/83/713930983.db2.gz AZWKUSUHGRYQMJ-AXFHLTTASA-N 1 2 320.418 1.546 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)Nc2nc(C(C)=O)c(C)s2)C1 ZINC000931635168 714145303 /nfs/dbraw/zinc/14/53/03/714145303.db2.gz SDGHSBJNKNSNHM-GFCCVEGCSA-N 1 2 320.418 1.873 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)Nc2nc(C(C)=O)c(C)s2)C1 ZINC000931635168 714145304 /nfs/dbraw/zinc/14/53/04/714145304.db2.gz SDGHSBJNKNSNHM-GFCCVEGCSA-N 1 2 320.418 1.873 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@@H+]2CCC[C@@H](C#N)C2)cc1 ZINC000932162650 714275741 /nfs/dbraw/zinc/27/57/41/714275741.db2.gz IOQDPWIGSPJLDY-ZFWWWQNUSA-N 1 2 318.373 1.448 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@H+]2CCC[C@@H](C#N)C2)cc1 ZINC000932162650 714275745 /nfs/dbraw/zinc/27/57/45/714275745.db2.gz IOQDPWIGSPJLDY-ZFWWWQNUSA-N 1 2 318.373 1.448 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H]1c1ccnn1C ZINC000932269268 714296653 /nfs/dbraw/zinc/29/66/53/714296653.db2.gz INTNNVQIXHTYFY-UKRRQHHQSA-N 1 2 303.410 1.565 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H]1c1ccnn1C ZINC000932269268 714296655 /nfs/dbraw/zinc/29/66/55/714296655.db2.gz INTNNVQIXHTYFY-UKRRQHHQSA-N 1 2 303.410 1.565 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000932362030 714317889 /nfs/dbraw/zinc/31/78/89/714317889.db2.gz ZMPAHMLGXBTQFL-IUODEOHRSA-N 1 2 301.394 1.525 20 30 DDEDLO C#CC[N@@H+](CC(=O)N(C)c1ccccc1C(=O)OC)C(C)C ZINC000932391678 714322020 /nfs/dbraw/zinc/32/20/20/714322020.db2.gz KYYZSWPOMSETQB-UHFFFAOYSA-N 1 2 302.374 1.780 20 30 DDEDLO C#CC[N@H+](CC(=O)N(C)c1ccccc1C(=O)OC)C(C)C ZINC000932391678 714322021 /nfs/dbraw/zinc/32/20/21/714322021.db2.gz KYYZSWPOMSETQB-UHFFFAOYSA-N 1 2 302.374 1.780 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)c2ccccc2OC)C1 ZINC000923566551 714407748 /nfs/dbraw/zinc/40/77/48/714407748.db2.gz QOIXNJIHDXUAPZ-ZDUSSCGKSA-N 1 2 300.358 1.092 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)c2ccccc2OC)C1 ZINC000923566551 714407751 /nfs/dbraw/zinc/40/77/51/714407751.db2.gz QOIXNJIHDXUAPZ-ZDUSSCGKSA-N 1 2 300.358 1.092 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ccccc2[S@@](=O)CC)C1 ZINC000923591040 714420399 /nfs/dbraw/zinc/42/03/99/714420399.db2.gz LZQBZJFFTYSXBK-FPTDNZKUSA-N 1 2 318.442 1.642 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccccc2[S@@](=O)CC)C1 ZINC000923591040 714420403 /nfs/dbraw/zinc/42/04/03/714420403.db2.gz LZQBZJFFTYSXBK-FPTDNZKUSA-N 1 2 318.442 1.642 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC000923591386 714420468 /nfs/dbraw/zinc/42/04/68/714420468.db2.gz YIZFWJMWSPFVFT-CYBMUJFWSA-N 1 2 309.369 1.356 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCN(C)C[C@H]1CC(C)C ZINC000933251372 714546971 /nfs/dbraw/zinc/54/69/71/714546971.db2.gz RVGFSSOPXMDVLK-HZPDHXFCSA-N 1 2 308.470 1.657 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCN(C)C[C@H]1CC(C)C ZINC000933251372 714546972 /nfs/dbraw/zinc/54/69/72/714546972.db2.gz RVGFSSOPXMDVLK-HZPDHXFCSA-N 1 2 308.470 1.657 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)N2CC[C@@](C)(C#N)C2)n1 ZINC000924784660 714663744 /nfs/dbraw/zinc/66/37/44/714663744.db2.gz HMPTWNQTMSCALE-AWEZNQCLSA-N 1 2 307.423 1.650 20 30 DDEDLO CC(C)(C)[C@H]1CNC(=O)C[N@@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000933824083 714676831 /nfs/dbraw/zinc/67/68/31/714676831.db2.gz INCDJFPKKRXGER-HUUCEWRRSA-N 1 2 301.390 1.438 20 30 DDEDLO CC(C)(C)[C@H]1CNC(=O)C[N@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000933824083 714676833 /nfs/dbraw/zinc/67/68/33/714676833.db2.gz INCDJFPKKRXGER-HUUCEWRRSA-N 1 2 301.390 1.438 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]([NH+]3CCN(C(C)=O)CC3)C2)CC1 ZINC000956571851 715474868 /nfs/dbraw/zinc/47/48/68/715474868.db2.gz TWXPTSWFVQYBGX-KRWDZBQOSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+]([C@H]3CCN(C(=O)CC)C3)CC2)C1 ZINC000956605707 715486294 /nfs/dbraw/zinc/48/62/94/715486294.db2.gz PRTZTBRXHOPSDI-HNNXBMFYSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC000957104200 715748495 /nfs/dbraw/zinc/74/84/95/715748495.db2.gz OSSJZWFCCXIGID-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000938386097 715820834 /nfs/dbraw/zinc/82/08/34/715820834.db2.gz PUTZJXICSHGIIB-LBPRGKRZSA-N 1 2 304.394 1.190 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2Cc3cc(C)c(C)cc3O2)CC1 ZINC000957730533 716020202 /nfs/dbraw/zinc/02/02/02/716020202.db2.gz JANCETHDXDKMHF-QGZVFWFLSA-N 1 2 300.402 1.937 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2ccc(OC)o2)C1 ZINC000958522323 716609413 /nfs/dbraw/zinc/60/94/13/716609413.db2.gz ORNQMMPKQQOYPQ-QWRGUYRKSA-N 1 2 314.769 1.063 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2ccc(OC)o2)C1 ZINC000958522323 716609417 /nfs/dbraw/zinc/60/94/17/716609417.db2.gz ORNQMMPKQQOYPQ-QWRGUYRKSA-N 1 2 314.769 1.063 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2cc(Cl)no2)C1 ZINC000958602424 716655090 /nfs/dbraw/zinc/65/50/90/716655090.db2.gz GDCYEHGGYSBMHP-IUCAKERBSA-N 1 2 320.176 1.103 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2cc(Cl)no2)C1 ZINC000958602424 716655095 /nfs/dbraw/zinc/65/50/95/716655095.db2.gz GDCYEHGGYSBMHP-IUCAKERBSA-N 1 2 320.176 1.103 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)C(C)(C)C1 ZINC000941211716 717131358 /nfs/dbraw/zinc/13/13/58/717131358.db2.gz XZKSIZYWRCOVDF-HNNXBMFYSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)C(C)(C)C1 ZINC000941211716 717131361 /nfs/dbraw/zinc/13/13/61/717131361.db2.gz XZKSIZYWRCOVDF-HNNXBMFYSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3sccc3Cl)CC2)C1 ZINC000941417030 717173100 /nfs/dbraw/zinc/17/31/00/717173100.db2.gz UEMWFPPDWJZXKI-UHFFFAOYSA-N 1 2 323.849 1.477 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3CCC[C@@H]3C)CC2)C1 ZINC000941418415 717173549 /nfs/dbraw/zinc/17/35/49/717173549.db2.gz SQNSGDUCFKFVNA-HOTGVXAUSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3CCC(F)CC3)CC2)C1 ZINC000941550557 717205641 /nfs/dbraw/zinc/20/56/41/717205641.db2.gz OJKMCWWKYYTNAK-UHFFFAOYSA-N 1 2 321.440 1.366 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](NC(=O)Cc3c[nH+]cn3C)C2)C1 ZINC000941679594 717253011 /nfs/dbraw/zinc/25/30/11/717253011.db2.gz RSGPIIGGMCCLHH-CYBMUJFWSA-N 1 2 316.405 1.036 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ncccn2)CC[C@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC000941930761 717393498 /nfs/dbraw/zinc/39/34/98/717393498.db2.gz VDTQPKMDKUHOSB-GXTWGEPZSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ncccn2)CC[C@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC000941930761 717393502 /nfs/dbraw/zinc/39/35/02/717393502.db2.gz VDTQPKMDKUHOSB-GXTWGEPZSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000964876792 717463679 /nfs/dbraw/zinc/46/36/79/717463679.db2.gz QWXLJPRMHAFESQ-BXUZGUMPSA-N 1 2 309.797 1.513 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000964876792 717463682 /nfs/dbraw/zinc/46/36/82/717463682.db2.gz QWXLJPRMHAFESQ-BXUZGUMPSA-N 1 2 309.797 1.513 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CCN(CC#N)C[C@H]2C)c[nH+]1 ZINC000942179880 717529214 /nfs/dbraw/zinc/52/92/14/717529214.db2.gz DAPYHBPNNSKHMU-TZMCWYRMSA-N 1 2 303.410 1.277 20 30 DDEDLO N#CCN1CCC[C@H]1[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000963364895 717760782 /nfs/dbraw/zinc/76/07/82/717760782.db2.gz DIFINRNROGESEI-ZFWWWQNUSA-N 1 2 301.394 1.179 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2snnc2CC)C1 ZINC000967278295 718812665 /nfs/dbraw/zinc/81/26/65/718812665.db2.gz CHQRVBIRCVTNRU-WCQYABFASA-N 1 2 306.435 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2snnc2CC)C1 ZINC000967278295 718812670 /nfs/dbraw/zinc/81/26/70/718812670.db2.gz CHQRVBIRCVTNRU-WCQYABFASA-N 1 2 306.435 1.564 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC000967336863 718848530 /nfs/dbraw/zinc/84/85/30/718848530.db2.gz NBNMDRCCLLHWLI-XHDPSFHLSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC000967336863 718848535 /nfs/dbraw/zinc/84/85/35/718848535.db2.gz NBNMDRCCLLHWLI-XHDPSFHLSA-N 1 2 324.856 1.850 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000967941978 719096649 /nfs/dbraw/zinc/09/66/49/719096649.db2.gz BTFMIQHAFLFRQH-NWDGAFQWSA-N 1 2 305.382 1.118 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2n[nH]c(C)n2)C[C@@H]1C ZINC000947297436 719107597 /nfs/dbraw/zinc/10/75/97/719107597.db2.gz WURGLHROUUVITD-JQWIXIFHSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2n[nH]c(C)n2)C[C@@H]1C ZINC000947297436 719107600 /nfs/dbraw/zinc/10/76/00/719107600.db2.gz WURGLHROUUVITD-JQWIXIFHSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)Cn2c[nH+]cc2C)C[C@@H]1C ZINC000947552679 719209512 /nfs/dbraw/zinc/20/95/12/719209512.db2.gz XAYJRFUVOLZTQR-GXTWGEPZSA-N 1 2 310.829 1.913 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2C[N@@H+](CCF)CC[C@H]2C)nn1 ZINC000968409749 719567212 /nfs/dbraw/zinc/56/72/12/719567212.db2.gz PTKHIXITGUPWJP-OLZOCXBDSA-N 1 2 309.389 1.264 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2C[N@H+](CCF)CC[C@H]2C)nn1 ZINC000968409749 719567216 /nfs/dbraw/zinc/56/72/16/719567216.db2.gz PTKHIXITGUPWJP-OLZOCXBDSA-N 1 2 309.389 1.264 20 30 DDEDLO CN(C(=O)c1cccc2[nH+]ccn21)[C@H]1CCCN(CC#N)CC1 ZINC000948612032 719587412 /nfs/dbraw/zinc/58/74/12/719587412.db2.gz JVGUCUWDZWXIMD-AWEZNQCLSA-N 1 2 311.389 1.784 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968636258 719706353 /nfs/dbraw/zinc/70/63/53/719706353.db2.gz YPZCDEBPOHIJSL-MLGOLLRUSA-N 1 2 313.405 1.974 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968636258 719706360 /nfs/dbraw/zinc/70/63/60/719706360.db2.gz YPZCDEBPOHIJSL-MLGOLLRUSA-N 1 2 313.405 1.974 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccnn1CC ZINC000948913862 719783410 /nfs/dbraw/zinc/78/34/10/719783410.db2.gz ZZQKWUWKLHXLAN-SFHVURJKSA-N 1 2 322.412 1.865 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccnn1CC ZINC000948913862 719783412 /nfs/dbraw/zinc/78/34/12/719783412.db2.gz ZZQKWUWKLHXLAN-SFHVURJKSA-N 1 2 322.412 1.865 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccnc(C)n1 ZINC000948985462 719824003 /nfs/dbraw/zinc/82/40/03/719824003.db2.gz DPBJOYJIRKYOKM-GOSISDBHSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccnc(C)n1 ZINC000948985462 719824006 /nfs/dbraw/zinc/82/40/06/719824006.db2.gz DPBJOYJIRKYOKM-GOSISDBHSA-N 1 2 320.396 1.747 20 30 DDEDLO CC[C@H](F)C[N@@H+]1CCOC2(CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000949320476 720015600 /nfs/dbraw/zinc/01/56/00/720015600.db2.gz NUZXWZGBNIRMDM-KGLIPLIRSA-N 1 2 311.401 1.588 20 30 DDEDLO CC[C@H](F)C[N@H+]1CCOC2(CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000949320476 720015603 /nfs/dbraw/zinc/01/56/03/720015603.db2.gz NUZXWZGBNIRMDM-KGLIPLIRSA-N 1 2 311.401 1.588 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cscc3C)CC2)C1 ZINC000949331935 720022169 /nfs/dbraw/zinc/02/21/69/720022169.db2.gz ILWOHFHRHGECRG-UHFFFAOYSA-N 1 2 318.442 1.997 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cscc3C)CC2)C1 ZINC000949331935 720022171 /nfs/dbraw/zinc/02/21/71/720022171.db2.gz ILWOHFHRHGECRG-UHFFFAOYSA-N 1 2 318.442 1.997 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc(-n3ccnc3)nc2)CC1 ZINC000949564820 720169822 /nfs/dbraw/zinc/16/98/22/720169822.db2.gz XPXUOCVNYKQRFB-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO Cc1ncc(C[NH+]2CC([C@@H](C)NC(=O)c3c[nH]c(C#N)c3)C2)cn1 ZINC000969526987 720174754 /nfs/dbraw/zinc/17/47/54/720174754.db2.gz SKUPQOLMSFPSFK-LLVKDONJSA-N 1 2 324.388 1.235 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc([C@H](C)OC)no2)C1 ZINC000969576376 720197799 /nfs/dbraw/zinc/19/77/99/720197799.db2.gz IWZCNIGZRNSXRD-NWDGAFQWSA-N 1 2 322.409 1.680 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(=O)[nH]c(C3CC3)n2)C1 ZINC000950573256 720689969 /nfs/dbraw/zinc/68/99/69/720689969.db2.gz MBYHAFCQLCLWGS-UHFFFAOYSA-N 1 2 314.389 1.229 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C)nnc2CC)C1 ZINC000950653938 720722852 /nfs/dbraw/zinc/72/28/52/720722852.db2.gz MLPHEBFVLSWTTL-UHFFFAOYSA-N 1 2 300.406 1.517 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@H]2CCn3ccnc3C2)C1 ZINC000950658914 720724103 /nfs/dbraw/zinc/72/41/03/720724103.db2.gz ZDWCSNDTAXAMCO-AWEZNQCLSA-N 1 2 300.406 1.002 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn(C)c2CCC)C1 ZINC000950726741 720754048 /nfs/dbraw/zinc/75/40/48/720754048.db2.gz CHFXTGWNPVULDA-UHFFFAOYSA-N 1 2 302.422 1.542 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc(-n3cncn3)c2)C1 ZINC000951722415 721153869 /nfs/dbraw/zinc/15/38/69/721153869.db2.gz DFXHZNYBSJDDJD-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C3CC3)o2)C1 ZINC000971219050 721185865 /nfs/dbraw/zinc/18/58/65/721185865.db2.gz VNRROXUIKNMMBA-YPMHNXCESA-N 1 2 302.378 1.744 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C3CC3)o2)C1 ZINC000971219050 721185869 /nfs/dbraw/zinc/18/58/69/721185869.db2.gz VNRROXUIKNMMBA-YPMHNXCESA-N 1 2 302.378 1.744 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC000952380958 721429231 /nfs/dbraw/zinc/42/92/31/721429231.db2.gz QKCHRHNSUWEMQC-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN2C(=O)C2CC2)C1 ZINC000952415463 721441648 /nfs/dbraw/zinc/44/16/48/721441648.db2.gz RHHFCMQOERPDGT-HNNXBMFYSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCCn1cc(C(=O)N(CC)C2C[NH+](CC=C(C)C)C2)nn1 ZINC000952429128 721446544 /nfs/dbraw/zinc/44/65/44/721446544.db2.gz HAXHRGZGHXALNG-UHFFFAOYSA-N 1 2 317.437 1.967 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCCOC3)C2)C1 ZINC000972618212 735360259 /nfs/dbraw/zinc/36/02/59/735360259.db2.gz XGUZPNJPECKISD-SJLPKXTDSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCCOC3)C2)C1 ZINC000972618212 735360260 /nfs/dbraw/zinc/36/02/60/735360260.db2.gz XGUZPNJPECKISD-SJLPKXTDSA-N 1 2 320.433 1.130 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001120878458 782204486 /nfs/dbraw/zinc/20/44/86/782204486.db2.gz LUOPIPUUEIFCIV-KCPJHIHWSA-N 1 2 324.388 1.739 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001120878458 782204490 /nfs/dbraw/zinc/20/44/90/782204490.db2.gz LUOPIPUUEIFCIV-KCPJHIHWSA-N 1 2 324.388 1.739 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)COc3ccsc3)C2)C1 ZINC000972637671 735396553 /nfs/dbraw/zinc/39/65/53/735396553.db2.gz CWRQYGTTZUUQMI-MRXNPFEDSA-N 1 2 320.414 1.063 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)COc3ccsc3)C2)C1 ZINC000972637671 735396559 /nfs/dbraw/zinc/39/65/59/735396559.db2.gz CWRQYGTTZUUQMI-MRXNPFEDSA-N 1 2 320.414 1.063 20 30 DDEDLO C[C@@H](CNC(=O)CCn1cc[nH+]c1)Nc1ncc(C#N)cc1F ZINC001098167912 736298891 /nfs/dbraw/zinc/29/88/91/736298891.db2.gz NZCMTHPUZGTCEG-NSHDSACASA-N 1 2 316.340 1.296 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1cn[nH]c1 ZINC001006799091 732516950 /nfs/dbraw/zinc/51/69/50/732516950.db2.gz ODVNAVSDGMYHSQ-QGZVFWFLSA-N 1 2 308.385 1.656 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1cn[nH]c1 ZINC001006799091 732516952 /nfs/dbraw/zinc/51/69/52/732516952.db2.gz ODVNAVSDGMYHSQ-QGZVFWFLSA-N 1 2 308.385 1.656 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C4CC4)no3)[C@H]2C1 ZINC001083210560 733434543 /nfs/dbraw/zinc/43/45/43/733434543.db2.gz ILUHAIYHAYFLRG-GOEBONIOSA-N 1 2 315.373 1.101 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C4CC4)no3)[C@H]2C1 ZINC001083210560 733434544 /nfs/dbraw/zinc/43/45/44/733434544.db2.gz ILUHAIYHAYFLRG-GOEBONIOSA-N 1 2 315.373 1.101 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)co1 ZINC001027835655 738713828 /nfs/dbraw/zinc/71/38/28/738713828.db2.gz IGGLNVAATRXNHL-HNNXBMFYSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(C[N@H+]2CCC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)co1 ZINC001027835655 738713832 /nfs/dbraw/zinc/71/38/32/738713832.db2.gz IGGLNVAATRXNHL-HNNXBMFYSA-N 1 2 313.361 1.577 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3coc(CCC)n3)[C@H]2C1 ZINC001083246828 734799643 /nfs/dbraw/zinc/79/96/43/734799643.db2.gz MFTAEHORJRXKLJ-LSDHHAIUSA-N 1 2 317.389 1.176 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3coc(CCC)n3)[C@H]2C1 ZINC001083246828 734799645 /nfs/dbraw/zinc/79/96/45/734799645.db2.gz MFTAEHORJRXKLJ-LSDHHAIUSA-N 1 2 317.389 1.176 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3C[C@@H]3OC(C)(C)C)n2C)CC1 ZINC001121310561 782452570 /nfs/dbraw/zinc/45/25/70/782452570.db2.gz SHKBDTFCDVDGDY-KGLIPLIRSA-N 1 2 317.437 1.241 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1sc2nccn2c1C ZINC001024484897 735861337 /nfs/dbraw/zinc/86/13/37/735861337.db2.gz BRORPNAQTVCZGW-CYBMUJFWSA-N 1 2 316.430 1.922 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1sc2nccn2c1C ZINC001024484897 735861340 /nfs/dbraw/zinc/86/13/40/735861340.db2.gz BRORPNAQTVCZGW-CYBMUJFWSA-N 1 2 316.430 1.922 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]2CNC(=O)c2cn[nH]n2)s1 ZINC001027991389 738913957 /nfs/dbraw/zinc/91/39/57/738913957.db2.gz BNURRFLQPDEXIO-SNVBAGLBSA-N 1 2 316.390 1.132 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2cn[nH]n2)s1 ZINC001027991389 738913960 /nfs/dbraw/zinc/91/39/60/738913960.db2.gz BNURRFLQPDEXIO-SNVBAGLBSA-N 1 2 316.390 1.132 20 30 DDEDLO O=C(NC[C@@H]1CCCC[N@@H+]1CC#Cc1ccccc1)c1cnon1 ZINC001024721268 736040601 /nfs/dbraw/zinc/04/06/01/736040601.db2.gz YQCCJIHVDXBBLL-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO O=C(NC[C@@H]1CCCC[N@H+]1CC#Cc1ccccc1)c1cnon1 ZINC001024721268 736040603 /nfs/dbraw/zinc/04/06/03/736040603.db2.gz YQCCJIHVDXBBLL-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(OC)cc2C#N)C1 ZINC001137706547 736718858 /nfs/dbraw/zinc/71/88/58/736718858.db2.gz HONDACJKBBXWKW-OAHLLOKOSA-N 1 2 317.389 1.294 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(OC)cc2C#N)C1 ZINC001137706547 736718860 /nfs/dbraw/zinc/71/88/60/736718860.db2.gz HONDACJKBBXWKW-OAHLLOKOSA-N 1 2 317.389 1.294 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(CC(F)(F)F)c1 ZINC001038342458 737047602 /nfs/dbraw/zinc/04/76/02/737047602.db2.gz SKKZAMTZZNJRPE-GFCCVEGCSA-N 1 2 314.311 1.273 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(CC(F)(F)F)c1 ZINC001038342458 737047606 /nfs/dbraw/zinc/04/76/06/737047606.db2.gz SKKZAMTZZNJRPE-GFCCVEGCSA-N 1 2 314.311 1.273 20 30 DDEDLO CC(C)[C@@H](CNC(=O)[C@H](C)C#N)Nc1[nH+]cnc2c1cnn2C ZINC001105140140 737577681 /nfs/dbraw/zinc/57/76/81/737577681.db2.gz VWMAMZFXIMHCSV-ZYHUDNBSSA-N 1 2 315.381 1.076 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)CC(C)(C)C3CC3)C2)nn1 ZINC001105230668 737636863 /nfs/dbraw/zinc/63/68/63/737636863.db2.gz RPRUUDPVALJZHX-UHFFFAOYSA-N 1 2 315.421 1.211 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)/C=C(/C)CC)C2)nn1 ZINC001105232996 737640060 /nfs/dbraw/zinc/64/00/60/737640060.db2.gz CMILSBOBBYBFET-JYRVWZFOSA-N 1 2 301.394 1.131 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@@H]3CCC[C@H]3C)C2)nn1 ZINC001105247120 737655440 /nfs/dbraw/zinc/65/54/40/737655440.db2.gz QFWVVRRJELNVNN-KGLIPLIRSA-N 1 2 315.421 1.211 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2cccc(Cl)c2)C[C@@H]1O)C1CC1 ZINC001083301010 737702746 /nfs/dbraw/zinc/70/27/46/737702746.db2.gz QQPPIBMRLSOGGC-CVEARBPZSA-N 1 2 318.804 1.263 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2cccc(Cl)c2)C[C@@H]1O)C1CC1 ZINC001083301010 737702749 /nfs/dbraw/zinc/70/27/49/737702749.db2.gz QQPPIBMRLSOGGC-CVEARBPZSA-N 1 2 318.804 1.263 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105305637 737795366 /nfs/dbraw/zinc/79/53/66/737795366.db2.gz KPEIDISKMUKAHL-UONOGXRCSA-N 1 2 315.421 1.233 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105309928 737808096 /nfs/dbraw/zinc/80/80/96/737808096.db2.gz ILHNEWNVLSAHIV-CQSZACIVSA-N 1 2 315.421 1.377 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC(n3cc(C[NH2+]CC#CC)nn3)C2)C1 ZINC001105325274 737866053 /nfs/dbraw/zinc/86/60/53/737866053.db2.gz IKGFRNAGYJJAQF-UHFFFAOYSA-N 1 2 313.405 1.131 20 30 DDEDLO CCC[C@@H](C)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001212009355 741942120 /nfs/dbraw/zinc/94/21/20/741942120.db2.gz OWHCLANPHYJKGQ-BZUAXINKSA-N 1 2 310.438 1.278 20 30 DDEDLO CCC[C@@H](C)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001212009355 741942122 /nfs/dbraw/zinc/94/21/22/741942122.db2.gz OWHCLANPHYJKGQ-BZUAXINKSA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2snnc2C(C)C)C1 ZINC001008945705 738087260 /nfs/dbraw/zinc/08/72/60/738087260.db2.gz NYKOIICZRRHOIF-GFCCVEGCSA-N 1 2 306.435 1.879 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2snnc2C(C)C)C1 ZINC001008945705 738087262 /nfs/dbraw/zinc/08/72/62/738087262.db2.gz NYKOIICZRRHOIF-GFCCVEGCSA-N 1 2 306.435 1.879 20 30 DDEDLO N#Cc1cnccc1N1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1[nH]cc[nH+]1 ZINC001058771639 738252160 /nfs/dbraw/zinc/25/21/60/738252160.db2.gz LEIMMUFZYOPPJP-OKILXGFUSA-N 1 2 322.372 1.099 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@H]([N@@H+](C)Cc2cn(C)nn2)C1 ZINC001027519026 738371974 /nfs/dbraw/zinc/37/19/74/738371974.db2.gz CXYCXMBKRZZWSO-HNNXBMFYSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@H]([N@H+](C)Cc2cn(C)nn2)C1 ZINC001027519026 738371980 /nfs/dbraw/zinc/37/19/80/738371980.db2.gz CXYCXMBKRZZWSO-HNNXBMFYSA-N 1 2 319.453 1.840 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)nn(CCC)c1C ZINC001038892839 739091543 /nfs/dbraw/zinc/09/15/43/739091543.db2.gz HFIWNCVZFBMCSN-HNNXBMFYSA-N 1 2 302.422 1.737 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)nn(CCC)c1C ZINC001038892839 739091545 /nfs/dbraw/zinc/09/15/45/739091545.db2.gz HFIWNCVZFBMCSN-HNNXBMFYSA-N 1 2 302.422 1.737 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1nn(CC)nc1C ZINC001028352085 739391145 /nfs/dbraw/zinc/39/11/45/739391145.db2.gz DIBWVBNZADTPHH-LBPRGKRZSA-N 1 2 311.817 1.553 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1nn(CC)nc1C ZINC001028352085 739391150 /nfs/dbraw/zinc/39/11/50/739391150.db2.gz DIBWVBNZADTPHH-LBPRGKRZSA-N 1 2 311.817 1.553 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)noc2CCC)C1 ZINC001035364611 751449597 /nfs/dbraw/zinc/44/95/97/751449597.db2.gz BTOHJQQFLVFRSS-AWEZNQCLSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)noc2CCC)C1 ZINC001035364611 751449601 /nfs/dbraw/zinc/44/96/01/751449601.db2.gz BTOHJQQFLVFRSS-AWEZNQCLSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001035390821 751460660 /nfs/dbraw/zinc/46/06/60/751460660.db2.gz AGWIHDZCMJWAOU-AWEZNQCLSA-N 1 2 319.405 1.094 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001035390821 751460666 /nfs/dbraw/zinc/46/06/66/751460666.db2.gz AGWIHDZCMJWAOU-AWEZNQCLSA-N 1 2 319.405 1.094 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(Cl)n[nH]c2CC)C1 ZINC001035399145 751468657 /nfs/dbraw/zinc/46/86/57/751468657.db2.gz PRRMEFBFDHGULK-NSHDSACASA-N 1 2 324.812 1.079 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(Cl)n[nH]c2CC)C1 ZINC001035399145 751468662 /nfs/dbraw/zinc/46/86/62/751468662.db2.gz PRRMEFBFDHGULK-NSHDSACASA-N 1 2 324.812 1.079 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1occc1C[NH+](C)C ZINC001032592553 751469697 /nfs/dbraw/zinc/46/96/97/751469697.db2.gz PYEBRFONMDZHCE-GJZGRUSLSA-N 1 2 303.406 1.816 20 30 DDEDLO C=CCN1CCO[C@@H](CNC(=O)c2ccn3c(C)c[nH+]c3c2)C1 ZINC001035376115 751472536 /nfs/dbraw/zinc/47/25/36/751472536.db2.gz VLSMXKFXLWHPFA-HNNXBMFYSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001035414271 751496112 /nfs/dbraw/zinc/49/61/12/751496112.db2.gz GUMQKBQRHYJKAO-ZDUSSCGKSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001035414271 751496113 /nfs/dbraw/zinc/49/61/13/751496113.db2.gz GUMQKBQRHYJKAO-ZDUSSCGKSA-N 1 2 300.362 1.180 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(CC)nnc2C)C1 ZINC001035457162 751505068 /nfs/dbraw/zinc/50/50/68/751505068.db2.gz DZIRUEPHRLSRPV-OAHLLOKOSA-N 1 2 318.421 1.354 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(CC)nnc2C)C1 ZINC001035457162 751505072 /nfs/dbraw/zinc/50/50/72/751505072.db2.gz DZIRUEPHRLSRPV-OAHLLOKOSA-N 1 2 318.421 1.354 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)n(C)n2)C1 ZINC001035439573 751516351 /nfs/dbraw/zinc/51/63/51/751516351.db2.gz ZOUOWWKQTBBRRX-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)n(C)n2)C1 ZINC001035439573 751516354 /nfs/dbraw/zinc/51/63/54/751516354.db2.gz ZOUOWWKQTBBRRX-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC[C@H]1NCC#N ZINC001037884261 751532827 /nfs/dbraw/zinc/53/28/27/751532827.db2.gz NTZCDYZHHSUBKT-TZMCWYRMSA-N 1 2 311.389 1.917 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnnn3CC)[C@@H]2C1 ZINC001075764282 740332546 /nfs/dbraw/zinc/33/25/46/740332546.db2.gz OFLITSWTEUAEEP-WCQYABFASA-N 1 2 309.801 1.197 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnnn3CC)[C@@H]2C1 ZINC001075764282 740332551 /nfs/dbraw/zinc/33/25/51/740332551.db2.gz OFLITSWTEUAEEP-WCQYABFASA-N 1 2 309.801 1.197 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3onc(C)c3c2)C1 ZINC001035463323 751548325 /nfs/dbraw/zinc/54/83/25/751548325.db2.gz GGQBSUZXOTZRSN-ZDUSSCGKSA-N 1 2 316.361 1.148 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3onc(C)c3c2)C1 ZINC001035463323 751548332 /nfs/dbraw/zinc/54/83/32/751548332.db2.gz GGQBSUZXOTZRSN-ZDUSSCGKSA-N 1 2 316.361 1.148 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)Nc1ncccc1C#N ZINC001098268238 740486952 /nfs/dbraw/zinc/48/69/52/740486952.db2.gz ROIFTPBSHNSQES-DGCLKSJQSA-N 1 2 324.388 1.713 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CC(=O)N2CCC2)C1 ZINC001029466572 740872587 /nfs/dbraw/zinc/87/25/87/740872587.db2.gz USXKAFZSBOYNBU-GASCZTMLSA-N 1 2 317.433 1.250 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CC(=O)N2CCC2)C1 ZINC001029466572 740872592 /nfs/dbraw/zinc/87/25/92/740872592.db2.gz USXKAFZSBOYNBU-GASCZTMLSA-N 1 2 317.433 1.250 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)no2)C1 ZINC001035526603 751605738 /nfs/dbraw/zinc/60/57/38/751605738.db2.gz KTXBSNCOVILRFA-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)no2)C1 ZINC001035526603 751605745 /nfs/dbraw/zinc/60/57/45/751605745.db2.gz KTXBSNCOVILRFA-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC)cc2Cl)C1 ZINC001035546892 751613527 /nfs/dbraw/zinc/61/35/27/751613527.db2.gz AHYIOSIYGJRHMZ-CYBMUJFWSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC)cc2Cl)C1 ZINC001035546892 751613531 /nfs/dbraw/zinc/61/35/31/751613531.db2.gz AHYIOSIYGJRHMZ-CYBMUJFWSA-N 1 2 324.808 1.965 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(CCC)c2)C1 ZINC001035535175 751617348 /nfs/dbraw/zinc/61/73/48/751617348.db2.gz MZOQAJBRDFORKD-HNNXBMFYSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn(CCC)c2)C1 ZINC001035535175 751617352 /nfs/dbraw/zinc/61/73/52/751617352.db2.gz MZOQAJBRDFORKD-HNNXBMFYSA-N 1 2 306.410 1.300 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCCCC[C@H](NCC#N)C1 ZINC001088420641 741480691 /nfs/dbraw/zinc/48/06/91/741480691.db2.gz UUGIVFWSKQIQKF-AWEZNQCLSA-N 1 2 303.410 1.237 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2c[nH+]cn2C)C1 ZINC001032616760 751653199 /nfs/dbraw/zinc/65/31/99/751653199.db2.gz UHFBVASUMRQSIY-KBPBESRZSA-N 1 2 300.406 1.562 20 30 DDEDLO Cc1nc([C@H](C)[N@@H+](C)CC2CCN(C(=O)[C@@H](C)C#N)CC2)no1 ZINC001029946917 741636253 /nfs/dbraw/zinc/63/62/53/741636253.db2.gz YLMVIMHZNSZIIW-RYUDHWBXSA-N 1 2 319.409 1.769 20 30 DDEDLO Cc1nc([C@H](C)[N@H+](C)CC2CCN(C(=O)[C@@H](C)C#N)CC2)no1 ZINC001029946917 741636257 /nfs/dbraw/zinc/63/62/57/741636257.db2.gz YLMVIMHZNSZIIW-RYUDHWBXSA-N 1 2 319.409 1.769 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn3c(cccc3C)n2)C1 ZINC001035598355 751655885 /nfs/dbraw/zinc/65/58/85/751655885.db2.gz WNXITNQKPYMWLD-AWEZNQCLSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn3c(cccc3C)n2)C1 ZINC001035598355 751655889 /nfs/dbraw/zinc/65/58/89/751655889.db2.gz WNXITNQKPYMWLD-AWEZNQCLSA-N 1 2 314.389 1.259 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)noc2CC)C1 ZINC001035599892 751658254 /nfs/dbraw/zinc/65/82/54/751658254.db2.gz NEYFXVXIVBCQHC-CYBMUJFWSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)noc2CC)C1 ZINC001035599892 751658261 /nfs/dbraw/zinc/65/82/61/751658261.db2.gz NEYFXVXIVBCQHC-CYBMUJFWSA-N 1 2 307.394 1.552 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2oc(CC)cc2C)C1 ZINC001035582066 751673501 /nfs/dbraw/zinc/67/35/01/751673501.db2.gz VLGKCWWLTHVKQE-OAHLLOKOSA-N 1 2 304.390 1.604 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2oc(CC)cc2C)C1 ZINC001035582066 751673504 /nfs/dbraw/zinc/67/35/04/751673504.db2.gz VLGKCWWLTHVKQE-OAHLLOKOSA-N 1 2 304.390 1.604 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC)cc2)C1 ZINC001035585074 751678838 /nfs/dbraw/zinc/67/88/38/751678838.db2.gz CIYYPDNJIDHGKF-MRXNPFEDSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC)cc2)C1 ZINC001035585074 751678840 /nfs/dbraw/zinc/67/88/40/751678840.db2.gz CIYYPDNJIDHGKF-MRXNPFEDSA-N 1 2 304.390 1.702 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C=C/C(C)(C)C)C2)nn1 ZINC001098645961 741996138 /nfs/dbraw/zinc/99/61/38/741996138.db2.gz YUULSCCULWVOAU-VFADXPBXSA-N 1 2 315.421 1.377 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3oc(C)nc32)C1 ZINC001035625756 751697478 /nfs/dbraw/zinc/69/74/78/751697478.db2.gz QWKRNYWPXRBWAK-CYBMUJFWSA-N 1 2 315.373 1.753 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3oc(C)nc32)C1 ZINC001035625756 751697480 /nfs/dbraw/zinc/69/74/80/751697480.db2.gz QWKRNYWPXRBWAK-CYBMUJFWSA-N 1 2 315.373 1.753 20 30 DDEDLO Cc1nc(NC[C@H]2CCCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001060004645 742197367 /nfs/dbraw/zinc/19/73/67/742197367.db2.gz NWXLLNSOXXLQLV-CYBMUJFWSA-N 1 2 324.388 1.949 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)Nc2c(C#N)cnnc2-c2ccccc2)C1 ZINC001180757460 742915913 /nfs/dbraw/zinc/91/59/13/742915913.db2.gz UTJGKRBWXIITRL-AWEZNQCLSA-N 1 2 323.356 1.284 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)Nc2c(C#N)cnnc2-c2ccccc2)C1 ZINC001180757460 742915917 /nfs/dbraw/zinc/91/59/17/742915917.db2.gz UTJGKRBWXIITRL-AWEZNQCLSA-N 1 2 323.356 1.284 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2cn3c(C)csc3n2)C1 ZINC001043515457 743050975 /nfs/dbraw/zinc/05/09/75/743050975.db2.gz HSIKSHAXDAILIH-UHFFFAOYSA-N 1 2 304.419 1.575 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)Cc1ccc(F)c(C#N)c1 ZINC001181193183 743099693 /nfs/dbraw/zinc/09/96/93/743099693.db2.gz BLSUHFCJOAXLCV-GFCCVEGCSA-N 1 2 305.353 1.077 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)Cc1ccc(F)c(C#N)c1 ZINC001181193183 743099699 /nfs/dbraw/zinc/09/96/99/743099699.db2.gz BLSUHFCJOAXLCV-GFCCVEGCSA-N 1 2 305.353 1.077 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001181469963 743208211 /nfs/dbraw/zinc/20/82/11/743208211.db2.gz XFLINFGAZCVHJO-DGCLKSJQSA-N 1 2 308.382 1.050 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1 ZINC001181897995 743384396 /nfs/dbraw/zinc/38/43/96/743384396.db2.gz FHUPNPWRGAWAQT-GFCCVEGCSA-N 1 2 310.423 1.211 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(C(F)(F)F)CCC2)[C@H](OC)C1 ZINC001212466849 743465700 /nfs/dbraw/zinc/46/57/00/743465700.db2.gz FEALJDCSJWSIPI-GHMZBOCLSA-N 1 2 304.312 1.168 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(C(F)(F)F)CCC2)[C@H](OC)C1 ZINC001212466849 743465704 /nfs/dbraw/zinc/46/57/04/743465704.db2.gz FEALJDCSJWSIPI-GHMZBOCLSA-N 1 2 304.312 1.168 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[NH2+][C@H](C)c1ncc(C(C)(C)C)o1 ZINC001127051614 743676245 /nfs/dbraw/zinc/67/62/45/743676245.db2.gz KAHVUZRZCSKBNH-OLZOCXBDSA-N 1 2 321.421 1.777 20 30 DDEDLO C=C[C@@H]1C[C@@]1(NC(=O)CCc1ccc(N)[nH+]c1)C(=O)OCC ZINC001183935108 743930138 /nfs/dbraw/zinc/93/01/38/743930138.db2.gz GVNYGBMLEPJUOK-WBMJQRKESA-N 1 2 303.362 1.220 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001184637872 744076876 /nfs/dbraw/zinc/07/68/76/744076876.db2.gz MGTHQBKJCUTSBR-CZUORRHYSA-N 1 2 322.409 1.297 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCCN(C(=O)C3CC3)C2)C1 ZINC001030360225 744077813 /nfs/dbraw/zinc/07/78/13/744077813.db2.gz JZFMBPDDMCTMIM-CQSZACIVSA-N 1 2 305.422 1.012 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nnc(C)o2)C1 ZINC001185036037 744148654 /nfs/dbraw/zinc/14/86/54/744148654.db2.gz XKUSCXPFLBUFBC-GXTWGEPZSA-N 1 2 304.394 1.823 20 30 DDEDLO C=CCCc1ccc(C(=O)NCCc2[nH+]ccn2C)c(=O)[nH]1 ZINC001185371068 744217982 /nfs/dbraw/zinc/21/79/82/744217982.db2.gz ANPNMDBTAJUITN-UHFFFAOYSA-N 1 2 300.362 1.612 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2COC[C@H]2c2ccccc2)C1 ZINC001030559049 744264780 /nfs/dbraw/zinc/26/47/80/744264780.db2.gz VHDFNJOILLAOQG-DLBZAZTESA-N 1 2 300.402 1.793 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C(CC)CC)C2)nn1 ZINC001185883390 744308280 /nfs/dbraw/zinc/30/82/80/744308280.db2.gz NPKFKCSRPIYBSZ-MRXNPFEDSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C(N)=O)cn2)[C@H]1C ZINC001089072747 744490814 /nfs/dbraw/zinc/49/08/14/744490814.db2.gz ULLLXAWDAOFPNT-PWSUYJOCSA-N 1 2 322.796 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C(N)=O)cn2)[C@H]1C ZINC001089072747 744490815 /nfs/dbraw/zinc/49/08/15/744490815.db2.gz ULLLXAWDAOFPNT-PWSUYJOCSA-N 1 2 322.796 1.126 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001187297241 744544059 /nfs/dbraw/zinc/54/40/59/744544059.db2.gz ZHYXHWVGJIRNMA-LSDHHAIUSA-N 1 2 316.405 1.020 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001187297241 744544062 /nfs/dbraw/zinc/54/40/62/744544062.db2.gz ZHYXHWVGJIRNMA-LSDHHAIUSA-N 1 2 316.405 1.020 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001187715015 744596406 /nfs/dbraw/zinc/59/64/06/744596406.db2.gz YMALZXQMZNEZFA-DZGCQCFKSA-N 1 2 303.431 1.979 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001187715015 744596409 /nfs/dbraw/zinc/59/64/09/744596409.db2.gz YMALZXQMZNEZFA-DZGCQCFKSA-N 1 2 303.431 1.979 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(C)c1-n1cccc1 ZINC001038567477 744607400 /nfs/dbraw/zinc/60/74/00/744607400.db2.gz NLUILOOUCVJVNF-AWEZNQCLSA-N 1 2 311.389 1.038 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(C)c1-n1cccc1 ZINC001038567477 744607402 /nfs/dbraw/zinc/60/74/02/744607402.db2.gz NLUILOOUCVJVNF-AWEZNQCLSA-N 1 2 311.389 1.038 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H](C)OCC)C1 ZINC001189002633 744808314 /nfs/dbraw/zinc/80/83/14/744808314.db2.gz IYFNUHBIPDJJES-NEPJUHHUSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@@H](C)OCC)C1 ZINC001189002633 744808317 /nfs/dbraw/zinc/80/83/17/744808317.db2.gz IYFNUHBIPDJJES-NEPJUHHUSA-N 1 2 319.243 1.853 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001189743408 744965540 /nfs/dbraw/zinc/96/55/40/744965540.db2.gz CHNLCMYXRBRQMA-OAHLLOKOSA-N 1 2 317.437 1.524 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001189743408 744965546 /nfs/dbraw/zinc/96/55/46/744965546.db2.gz CHNLCMYXRBRQMA-OAHLLOKOSA-N 1 2 317.437 1.524 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)cs2)C1 ZINC001189919003 745035404 /nfs/dbraw/zinc/03/54/04/745035404.db2.gz YAERMPXGFUIBAL-BBRMVZONSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)cs2)C1 ZINC001189919003 745035409 /nfs/dbraw/zinc/03/54/09/745035409.db2.gz YAERMPXGFUIBAL-BBRMVZONSA-N 1 2 323.462 1.811 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001190114516 745120062 /nfs/dbraw/zinc/12/00/62/745120062.db2.gz QKNSQEHGCGMZRM-OAHLLOKOSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001190114516 745120064 /nfs/dbraw/zinc/12/00/64/745120064.db2.gz QKNSQEHGCGMZRM-OAHLLOKOSA-N 1 2 305.378 1.056 20 30 DDEDLO C=C(C)Cc1ccc(C(=O)N2CC[N@@H+](C)C[C@H]2C(=O)OC)cc1 ZINC001190225323 745144903 /nfs/dbraw/zinc/14/49/03/745144903.db2.gz ZTYIDWNTUYMFEH-INIZCTEOSA-N 1 2 316.401 1.734 20 30 DDEDLO C=C(C)Cc1ccc(C(=O)N2CC[N@H+](C)C[C@H]2C(=O)OC)cc1 ZINC001190225323 745144907 /nfs/dbraw/zinc/14/49/07/745144907.db2.gz ZTYIDWNTUYMFEH-INIZCTEOSA-N 1 2 316.401 1.734 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001190398217 745199727 /nfs/dbraw/zinc/19/97/27/745199727.db2.gz SJYOMSXEGSJRTN-CQSZACIVSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001190398217 745199734 /nfs/dbraw/zinc/19/97/34/745199734.db2.gz SJYOMSXEGSJRTN-CQSZACIVSA-N 1 2 319.453 1.933 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001191237901 745462990 /nfs/dbraw/zinc/46/29/90/745462990.db2.gz PUBAMDMPVWHRII-BZUAXINKSA-N 1 2 310.438 1.014 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001191237901 745462991 /nfs/dbraw/zinc/46/29/91/745462991.db2.gz PUBAMDMPVWHRII-BZUAXINKSA-N 1 2 310.438 1.014 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cnn(C)c2)[C@H]1C ZINC000993110483 745540692 /nfs/dbraw/zinc/54/06/92/745540692.db2.gz TYHKJMFHFSQMBP-OCCSQVGLSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cnn(C)c2)[C@H]1C ZINC000993110483 745540694 /nfs/dbraw/zinc/54/06/94/745540694.db2.gz TYHKJMFHFSQMBP-OCCSQVGLSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2nccnc2N)[C@H]1C ZINC000993175337 745712474 /nfs/dbraw/zinc/71/24/74/745712474.db2.gz SGWOZXRQLYZOQR-MNOVXSKESA-N 1 2 309.801 1.394 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2nccnc2N)[C@H]1C ZINC000993175337 745712477 /nfs/dbraw/zinc/71/24/77/745712477.db2.gz SGWOZXRQLYZOQR-MNOVXSKESA-N 1 2 309.801 1.394 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2c(C)cc(C)[nH]c2=O)C1 ZINC001015599574 745718784 /nfs/dbraw/zinc/71/87/84/745718784.db2.gz QXQRUPMNDSHUEM-ZDUSSCGKSA-N 1 2 323.824 1.890 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2c(C)cc(C)[nH]c2=O)C1 ZINC001015599574 745718786 /nfs/dbraw/zinc/71/87/86/745718786.db2.gz QXQRUPMNDSHUEM-ZDUSSCGKSA-N 1 2 323.824 1.890 20 30 DDEDLO Cn1cncc1C[N@@H+]1CCC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007143871 752035929 /nfs/dbraw/zinc/03/59/29/752035929.db2.gz PAIUYFPYQGYVBI-ZDUSSCGKSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1cncc1C[N@H+]1CCC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007143871 752035936 /nfs/dbraw/zinc/03/59/36/752035936.db2.gz PAIUYFPYQGYVBI-ZDUSSCGKSA-N 1 2 312.377 1.014 20 30 DDEDLO C[C@H](CCNc1ncccc1C#N)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106621015 745840451 /nfs/dbraw/zinc/84/04/51/745840451.db2.gz SGAFQXYVTSAJEY-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@H](CCNc1ncccc1C#N)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106621015 745840457 /nfs/dbraw/zinc/84/04/57/745840457.db2.gz SGAFQXYVTSAJEY-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO N#CCS(=O)(=O)N1CCN(c2[nH+]ccc3ccccc32)CC1 ZINC001192960879 745934723 /nfs/dbraw/zinc/93/47/23/745934723.db2.gz GLLHPQARTRDRPV-UHFFFAOYSA-N 1 2 316.386 1.210 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC1C[NH+](C[C@@H](CC)OC)C1 ZINC001031231518 745948791 /nfs/dbraw/zinc/94/87/91/745948791.db2.gz BYZOJFUWXXKSFZ-CQSZACIVSA-N 1 2 319.405 1.485 20 30 DDEDLO C[C@H](c1ccccc1)[NH+]1CCN(S(=O)(=O)[C@@H](C)C#N)CC1 ZINC001193128339 745986611 /nfs/dbraw/zinc/98/66/11/745986611.db2.gz FHKHQQZAYIWJID-UONOGXRCSA-N 1 2 307.419 1.607 20 30 DDEDLO C=CCN1CC[N@@H+](C)C2(CCN(C(=O)OC(C)C)CC2)C1=O ZINC001193468810 746113050 /nfs/dbraw/zinc/11/30/50/746113050.db2.gz MGCQCZQPJPMNNN-UHFFFAOYSA-N 1 2 309.410 1.326 20 30 DDEDLO C=CCN1CC[N@H+](C)C2(CCN(C(=O)OC(C)C)CC2)C1=O ZINC001193468810 746113054 /nfs/dbraw/zinc/11/30/54/746113054.db2.gz MGCQCZQPJPMNNN-UHFFFAOYSA-N 1 2 309.410 1.326 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@@H]1C ZINC000993443903 746155773 /nfs/dbraw/zinc/15/57/73/746155773.db2.gz NMIQJCCHJGXPOA-CMPLNLGQSA-N 1 2 308.813 1.841 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@@H]1C ZINC000993443903 746155775 /nfs/dbraw/zinc/15/57/75/746155775.db2.gz NMIQJCCHJGXPOA-CMPLNLGQSA-N 1 2 308.813 1.841 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cc(=O)n(C)cn2)[C@H]1C ZINC000993457863 746171617 /nfs/dbraw/zinc/17/16/17/746171617.db2.gz GILCPQXZFMGYKN-NEPJUHHUSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cc(=O)n(C)cn2)[C@H]1C ZINC000993457863 746171620 /nfs/dbraw/zinc/17/16/20/746171620.db2.gz GILCPQXZFMGYKN-NEPJUHHUSA-N 1 2 324.812 1.116 20 30 DDEDLO N#Cc1cncc(C(=O)N2CC[C@@H]([NH+]3CCCC3)C(F)(F)C2)c1 ZINC001194114934 746279002 /nfs/dbraw/zinc/27/90/02/746279002.db2.gz YYOKEUQQWBHPSR-CQSZACIVSA-N 1 2 320.343 1.899 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CCC2CCCC2)CC1 ZINC001194689912 746437820 /nfs/dbraw/zinc/43/78/20/746437820.db2.gz ZQICPKXUCFQAQP-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CCC2CCCC2)CC1 ZINC001194689912 746437823 /nfs/dbraw/zinc/43/78/23/746437823.db2.gz ZQICPKXUCFQAQP-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2snnc2C(C)C)[C@H]1C ZINC000994070197 746440908 /nfs/dbraw/zinc/44/09/08/746440908.db2.gz MPQONBVWZXDMLJ-VXGBXAGGSA-N 1 2 306.435 1.877 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2snnc2C(C)C)[C@H]1C ZINC000994070197 746440911 /nfs/dbraw/zinc/44/09/11/746440911.db2.gz MPQONBVWZXDMLJ-VXGBXAGGSA-N 1 2 306.435 1.877 20 30 DDEDLO CC1(CC(=O)N[C@@H]2C[N@H+](Cc3ccccc3C#N)C[C@H]2O)CC1 ZINC001194815095 746466435 /nfs/dbraw/zinc/46/64/35/746466435.db2.gz ANBTWTPSNKBIKA-HZPDHXFCSA-N 1 2 313.401 1.410 20 30 DDEDLO CC1(CC(=O)N[C@@H]2C[N@@H+](Cc3ccccc3C#N)C[C@H]2O)CC1 ZINC001194815095 746466436 /nfs/dbraw/zinc/46/64/36/746466436.db2.gz ANBTWTPSNKBIKA-HZPDHXFCSA-N 1 2 313.401 1.410 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCCN1CC#N ZINC000994166148 746469934 /nfs/dbraw/zinc/46/99/34/746469934.db2.gz YPXOMULLGBKYAA-HIFRSBDPSA-N 1 2 324.388 1.374 20 30 DDEDLO COC[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccc(C#N)s2)CC1 ZINC001195240423 746563864 /nfs/dbraw/zinc/56/38/64/746563864.db2.gz LUFZDQWCWAMQQN-CYBMUJFWSA-N 1 2 321.446 1.937 20 30 DDEDLO COC[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)s2)CC1 ZINC001195240423 746563869 /nfs/dbraw/zinc/56/38/69/746563869.db2.gz LUFZDQWCWAMQQN-CYBMUJFWSA-N 1 2 321.446 1.937 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001007337674 752103044 /nfs/dbraw/zinc/10/30/44/752103044.db2.gz JSEUDOQRJUZJOJ-KGLIPLIRSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001007337674 752103048 /nfs/dbraw/zinc/10/30/48/752103048.db2.gz JSEUDOQRJUZJOJ-KGLIPLIRSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C/C(C)=C/CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001195413450 746594456 /nfs/dbraw/zinc/59/44/56/746594456.db2.gz VCDAJNWHYYCRJP-KJMPDUOLSA-N 1 2 324.424 1.722 20 30 DDEDLO C=C/C(C)=C/CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001195413450 746594457 /nfs/dbraw/zinc/59/44/57/746594457.db2.gz VCDAJNWHYYCRJP-KJMPDUOLSA-N 1 2 324.424 1.722 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2CC(C)(C)C2)CC1 ZINC001195702547 746681825 /nfs/dbraw/zinc/68/18/25/746681825.db2.gz FQOSHIWMKYWHEI-CQSZACIVSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2CC(C)(C)C2)CC1 ZINC001195702547 746681827 /nfs/dbraw/zinc/68/18/27/746681827.db2.gz FQOSHIWMKYWHEI-CQSZACIVSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccccn3)C[C@H]2O)CC1 ZINC001195906031 746733628 /nfs/dbraw/zinc/73/36/28/746733628.db2.gz LGUYHTSQBGQTNS-HZPDHXFCSA-N 1 2 315.417 1.489 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3ccccn3)C[C@H]2O)CC1 ZINC001195906031 746733634 /nfs/dbraw/zinc/73/36/34/746733634.db2.gz LGUYHTSQBGQTNS-HZPDHXFCSA-N 1 2 315.417 1.489 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1O ZINC001195923650 746737649 /nfs/dbraw/zinc/73/76/49/746737649.db2.gz IMIMZGNDWPRBGS-FMKPAKJESA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1O ZINC001195923650 746737652 /nfs/dbraw/zinc/73/76/52/746737652.db2.gz IMIMZGNDWPRBGS-FMKPAKJESA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCc1cnn2c1C[N@H+](CC)CC2)OCC ZINC001128376256 746935644 /nfs/dbraw/zinc/93/56/44/746935644.db2.gz FNDSSVXCZOBAAR-INIZCTEOSA-N 1 2 320.437 1.706 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCc1cnn2c1C[N@@H+](CC)CC2)OCC ZINC001128376256 746935650 /nfs/dbraw/zinc/93/56/50/746935650.db2.gz FNDSSVXCZOBAAR-INIZCTEOSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H](F)C(C)C)CC1 ZINC001196799440 746965858 /nfs/dbraw/zinc/96/58/58/746965858.db2.gz NCGPHGHTPQOVNA-KBPBESRZSA-N 1 2 313.417 1.206 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@H](F)C(C)C)CC1 ZINC001196799440 746965862 /nfs/dbraw/zinc/96/58/62/746965862.db2.gz NCGPHGHTPQOVNA-KBPBESRZSA-N 1 2 313.417 1.206 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)CC(=C)C)CC1 ZINC001196881834 746988536 /nfs/dbraw/zinc/98/85/36/746988536.db2.gz YOTXLCGNKQLZGI-HNNXBMFYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)CC(=C)C)CC1 ZINC001196881834 746988537 /nfs/dbraw/zinc/98/85/37/746988537.db2.gz YOTXLCGNKQLZGI-HNNXBMFYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC001196881818 746989101 /nfs/dbraw/zinc/98/91/01/746989101.db2.gz YMVSFOILFITZMN-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC001196881818 746989105 /nfs/dbraw/zinc/98/91/05/746989105.db2.gz YMVSFOILFITZMN-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)N(C)CC)CC1 ZINC001196852817 746991068 /nfs/dbraw/zinc/99/10/68/746991068.db2.gz DRSQYXBQXHLNFB-HUUCEWRRSA-N 1 2 309.454 1.457 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)N(C)CC)CC1 ZINC001196852817 746991070 /nfs/dbraw/zinc/99/10/70/746991070.db2.gz DRSQYXBQXHLNFB-HUUCEWRRSA-N 1 2 309.454 1.457 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cnnn2CC)CC1 ZINC001196854396 746991835 /nfs/dbraw/zinc/99/18/35/746991835.db2.gz RAIIHTMROVAUKC-LSDHHAIUSA-N 1 2 319.453 1.791 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@H+](Cc2cnnn2CC)CC1 ZINC001196854396 746991838 /nfs/dbraw/zinc/99/18/38/746991838.db2.gz RAIIHTMROVAUKC-LSDHHAIUSA-N 1 2 319.453 1.791 20 30 DDEDLO CCCc1cc(C(=O)N2CCC[N@H+](CC#CCOC)CC2)no1 ZINC001197646763 747222438 /nfs/dbraw/zinc/22/24/38/747222438.db2.gz HUZOMNPMADAJHG-UHFFFAOYSA-N 1 2 319.405 1.425 20 30 DDEDLO CCCc1cc(C(=O)N2CCC[N@@H+](CC#CCOC)CC2)no1 ZINC001197646763 747222442 /nfs/dbraw/zinc/22/24/42/747222442.db2.gz HUZOMNPMADAJHG-UHFFFAOYSA-N 1 2 319.405 1.425 20 30 DDEDLO N#Cc1cccc(NC(=S)N[C@@H]2CCC[N@@H+](C3COC3)C2)c1 ZINC001197727195 747251369 /nfs/dbraw/zinc/25/13/69/747251369.db2.gz WYGAFXRLQAXPBU-CQSZACIVSA-N 1 2 316.430 1.708 20 30 DDEDLO N#Cc1cccc(NC(=S)N[C@@H]2CCC[N@H+](C3COC3)C2)c1 ZINC001197727195 747251370 /nfs/dbraw/zinc/25/13/70/747251370.db2.gz WYGAFXRLQAXPBU-CQSZACIVSA-N 1 2 316.430 1.708 20 30 DDEDLO CCCc1nc(C(=O)N2CCC[N@H+](CC#CCOC)CC2)co1 ZINC001197810426 747271287 /nfs/dbraw/zinc/27/12/87/747271287.db2.gz VPYLEDWNFSZEOD-UHFFFAOYSA-N 1 2 319.405 1.425 20 30 DDEDLO CCCc1nc(C(=O)N2CCC[N@@H+](CC#CCOC)CC2)co1 ZINC001197810426 747271289 /nfs/dbraw/zinc/27/12/89/747271289.db2.gz VPYLEDWNFSZEOD-UHFFFAOYSA-N 1 2 319.405 1.425 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ncc[nH]3)C2)c(F)c1 ZINC001031658643 747332134 /nfs/dbraw/zinc/33/21/34/747332134.db2.gz QNWVVQUSRVDYLM-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C[C@@H]3C[C@@H]3C)c2C1 ZINC001128476463 747354515 /nfs/dbraw/zinc/35/45/15/747354515.db2.gz VACWWKILVJQIRY-KBPBESRZSA-N 1 2 302.422 1.937 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C[C@@H]3C[C@@H]3C)c2C1 ZINC001128476463 747354525 /nfs/dbraw/zinc/35/45/25/747354525.db2.gz VACWWKILVJQIRY-KBPBESRZSA-N 1 2 302.422 1.937 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2conc2C)CC1 ZINC001198343000 747458624 /nfs/dbraw/zinc/45/86/24/747458624.db2.gz DXCAHINONPKOQJ-CQSZACIVSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2conc2C)CC1 ZINC001198343000 747458626 /nfs/dbraw/zinc/45/86/26/747458626.db2.gz DXCAHINONPKOQJ-CQSZACIVSA-N 1 2 307.394 1.608 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152450358 747507316 /nfs/dbraw/zinc/50/73/16/747507316.db2.gz ZAQWDBYJWRZACI-MWLCHTKSSA-N 1 2 302.216 1.881 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152450358 747507318 /nfs/dbraw/zinc/50/73/18/747507318.db2.gz ZAQWDBYJWRZACI-MWLCHTKSSA-N 1 2 302.216 1.881 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000998748496 752199515 /nfs/dbraw/zinc/19/95/15/752199515.db2.gz XDMDRBJBBFQURP-NWDGAFQWSA-N 1 2 305.382 1.433 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+][C@@H](C)c1nnc(CC)o1 ZINC001127608936 747764526 /nfs/dbraw/zinc/76/45/26/747764526.db2.gz JIYIQNWIAOICHF-XQQFMLRXSA-N 1 2 322.409 1.380 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+][C@@H](C)c1nnc(C)o1 ZINC001127609338 747766142 /nfs/dbraw/zinc/76/61/42/747766142.db2.gz WLMFODHZXKSZFK-UHTWSYAYSA-N 1 2 308.382 1.126 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110539482 747920452 /nfs/dbraw/zinc/92/04/52/747920452.db2.gz VCQKLJUCUBMOOC-MIGQKNRLSA-N 1 2 317.433 1.036 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110539482 747920454 /nfs/dbraw/zinc/92/04/54/747920454.db2.gz VCQKLJUCUBMOOC-MIGQKNRLSA-N 1 2 317.433 1.036 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccnc(OC3CCC3)n2)CC1 ZINC001199649903 747932571 /nfs/dbraw/zinc/93/25/71/747932571.db2.gz DIRCJMVHFSQOFV-UHFFFAOYSA-N 1 2 302.378 1.352 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncs1 ZINC001110552417 748214404 /nfs/dbraw/zinc/21/44/04/748214404.db2.gz YIJODZKRIPNIRC-GBOPCIDUSA-N 1 2 319.430 1.403 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncs1 ZINC001110552417 748214408 /nfs/dbraw/zinc/21/44/08/748214408.db2.gz YIJODZKRIPNIRC-GBOPCIDUSA-N 1 2 319.430 1.403 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)CCn2cccn2)CC1 ZINC001004346322 748346238 /nfs/dbraw/zinc/34/62/38/748346238.db2.gz LBJQFXQDFLCTQL-MRXNPFEDSA-N 1 2 315.421 1.500 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)CCn2cccn2)CC1 ZINC001004346322 748346246 /nfs/dbraw/zinc/34/62/46/748346246.db2.gz LBJQFXQDFLCTQL-MRXNPFEDSA-N 1 2 315.421 1.500 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)C2=CCOCC2)CC1 ZINC001004418773 748414396 /nfs/dbraw/zinc/41/43/96/748414396.db2.gz GSLWHYZTVXVWMM-MRXNPFEDSA-N 1 2 303.406 1.560 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)C2=CCOCC2)CC1 ZINC001004418773 748414398 /nfs/dbraw/zinc/41/43/98/748414398.db2.gz GSLWHYZTVXVWMM-MRXNPFEDSA-N 1 2 303.406 1.560 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(OCC)no2)C1 ZINC001108075785 748498103 /nfs/dbraw/zinc/49/81/03/748498103.db2.gz DUKPUGTWIWZLLK-OAHLLOKOSA-N 1 2 309.366 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(OCC)no2)C1 ZINC001108075785 748498106 /nfs/dbraw/zinc/49/81/06/748498106.db2.gz DUKPUGTWIWZLLK-OAHLLOKOSA-N 1 2 309.366 1.080 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnc(C)nc2OC)C1 ZINC001033138535 748514662 /nfs/dbraw/zinc/51/46/62/748514662.db2.gz ZSJUAILKQMFVLS-GFCCVEGCSA-N 1 2 324.812 1.692 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc(C)nc2OC)C1 ZINC001033138535 748514672 /nfs/dbraw/zinc/51/46/72/748514672.db2.gz ZSJUAILKQMFVLS-GFCCVEGCSA-N 1 2 324.812 1.692 20 30 DDEDLO Cc1cnoc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004592664 748573015 /nfs/dbraw/zinc/57/30/15/748573015.db2.gz NUMIHXGEFITWPX-AWEZNQCLSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1cnoc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004592664 748573021 /nfs/dbraw/zinc/57/30/21/748573021.db2.gz NUMIHXGEFITWPX-AWEZNQCLSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1cnoc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001032097352 748623272 /nfs/dbraw/zinc/62/32/72/748623272.db2.gz VSIKTGAWTFVDAI-UHFFFAOYSA-N 1 2 309.369 1.696 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001032123930 748696114 /nfs/dbraw/zinc/69/61/14/748696114.db2.gz MGNVNOLFKXWBKI-ZDUSSCGKSA-N 1 2 313.829 1.045 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)CN2CCCCC2=O)C1 ZINC001033212611 748947700 /nfs/dbraw/zinc/94/77/00/748947700.db2.gz BEGCQGSMJFCLML-CYBMUJFWSA-N 1 2 313.829 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)CN2CCCCC2=O)C1 ZINC001033212611 748947701 /nfs/dbraw/zinc/94/77/01/748947701.db2.gz BEGCQGSMJFCLML-CYBMUJFWSA-N 1 2 313.829 1.284 20 30 DDEDLO CN(C(=O)c1cncn1C)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033236525 749016344 /nfs/dbraw/zinc/01/63/44/749016344.db2.gz GNVVGCAZDYKNOP-INIZCTEOSA-N 1 2 323.400 1.638 20 30 DDEDLO CN(C(=O)c1cncn1C)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033236525 749016346 /nfs/dbraw/zinc/01/63/46/749016346.db2.gz GNVVGCAZDYKNOP-INIZCTEOSA-N 1 2 323.400 1.638 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc(OC)n(C)n2)C1 ZINC001033250575 749052188 /nfs/dbraw/zinc/05/21/88/749052188.db2.gz JBTRGDMHVZEDJA-LLVKDONJSA-N 1 2 312.801 1.328 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(OC)n(C)n2)C1 ZINC001033250575 749052193 /nfs/dbraw/zinc/05/21/93/749052193.db2.gz JBTRGDMHVZEDJA-LLVKDONJSA-N 1 2 312.801 1.328 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114340950 749088733 /nfs/dbraw/zinc/08/87/33/749088733.db2.gz PTKSVHBLEVCGSZ-MUYACECFSA-N 1 2 317.437 1.823 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114340950 749088738 /nfs/dbraw/zinc/08/87/38/749088738.db2.gz PTKSVHBLEVCGSZ-MUYACECFSA-N 1 2 317.437 1.823 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC/C=C\CNc1cc[nH+]c(C)n1 ZINC001107112212 749109398 /nfs/dbraw/zinc/10/93/98/749109398.db2.gz VVHJTQLEMDJDIG-FMFIFOJESA-N 1 2 304.394 1.851 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001108100870 749111564 /nfs/dbraw/zinc/11/15/64/749111564.db2.gz XQRJLXLNWMYVFI-RISCZKNCSA-N 1 2 324.388 1.713 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCN(c3cc[nH+]c(C)n3)C2)nc1 ZINC001061626395 749120273 /nfs/dbraw/zinc/12/02/73/749120273.db2.gz IGPNZJJACXXAHQ-OAHLLOKOSA-N 1 2 321.384 1.418 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001108106887 749214197 /nfs/dbraw/zinc/21/41/97/749214197.db2.gz JSAIAQSRHCSYMJ-KRWDZBQOSA-N 1 2 319.405 1.411 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001108106887 749214203 /nfs/dbraw/zinc/21/42/03/749214203.db2.gz JSAIAQSRHCSYMJ-KRWDZBQOSA-N 1 2 319.405 1.411 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2CN(C(=O)C#CC3CC3)CC2(C)C)n1 ZINC000995807713 749235720 /nfs/dbraw/zinc/23/57/20/749235720.db2.gz BYLYSOUNLYDUFF-CYBMUJFWSA-N 1 2 316.405 1.372 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001033540363 749515577 /nfs/dbraw/zinc/51/55/77/749515577.db2.gz KQLRNJGPTCSQIL-AWEZNQCLSA-N 1 2 324.856 1.983 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001033540363 749515582 /nfs/dbraw/zinc/51/55/82/749515582.db2.gz KQLRNJGPTCSQIL-AWEZNQCLSA-N 1 2 324.856 1.983 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CCC(F)F)nn2)C1 ZINC001107184753 749521871 /nfs/dbraw/zinc/52/18/71/749521871.db2.gz AGWSXIFIOYYHCP-UHFFFAOYSA-N 1 2 313.352 1.372 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc(OC)nn2)C1 ZINC001033555886 749534007 /nfs/dbraw/zinc/53/40/07/749534007.db2.gz UDBBFOQDJVVABM-NSHDSACASA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(OC)nn2)C1 ZINC001033555886 749534013 /nfs/dbraw/zinc/53/40/13/749534013.db2.gz UDBBFOQDJVVABM-NSHDSACASA-N 1 2 310.785 1.384 20 30 DDEDLO N#Cc1ccc(NC/C=C/CNC(=O)CCCn2cc[nH+]c2)nc1 ZINC001107237107 749678874 /nfs/dbraw/zinc/67/88/74/749678874.db2.gz FIVRNMQENPPDGZ-OWOJBTEDSA-N 1 2 324.388 1.714 20 30 DDEDLO N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001039395679 761995033 /nfs/dbraw/zinc/99/50/33/761995033.db2.gz FOBPEVZPOVGXBF-ZNMIVQPWSA-N 1 2 313.405 1.034 20 30 DDEDLO C#Cc1ccc(C(=O)NCCCN(C)c2cc[nH+]c(C)n2)nc1 ZINC001095601797 750070230 /nfs/dbraw/zinc/07/02/30/750070230.db2.gz KMEWFVVQWSAEEL-UHFFFAOYSA-N 1 2 309.373 1.418 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)COc2ccc(C)cc2)C1 ZINC001108382208 762015871 /nfs/dbraw/zinc/01/58/71/762015871.db2.gz KZTLBEATVDTPJJ-GOSISDBHSA-N 1 2 318.417 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)COc2ccc(C)cc2)C1 ZINC001108382208 762015881 /nfs/dbraw/zinc/01/58/81/762015881.db2.gz KZTLBEATVDTPJJ-GOSISDBHSA-N 1 2 318.417 1.767 20 30 DDEDLO CCN(C(=O)c1cc(-n2cc[nH+]c2)ccn1)[C@@H]1CCN(CC#N)C1 ZINC001034009953 750248257 /nfs/dbraw/zinc/24/82/57/750248257.db2.gz OPZTVLYWIDJSCH-OAHLLOKOSA-N 1 2 324.388 1.327 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](COC)OC)C2 ZINC001111000947 750293945 /nfs/dbraw/zinc/29/39/45/750293945.db2.gz ZFHXQHUISHOSDF-FVCCEPFGSA-N 1 2 302.802 1.122 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](COC)OC)C2 ZINC001111000947 750293941 /nfs/dbraw/zinc/29/39/41/750293941.db2.gz ZFHXQHUISHOSDF-FVCCEPFGSA-N 1 2 302.802 1.122 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001034530331 750522898 /nfs/dbraw/zinc/52/28/98/750522898.db2.gz VSAOWZWROLIROR-GFCCVEGCSA-N 1 2 324.812 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001034530331 750522902 /nfs/dbraw/zinc/52/29/02/750522902.db2.gz VSAOWZWROLIROR-GFCCVEGCSA-N 1 2 324.812 1.450 20 30 DDEDLO CC(C)C#CC(=O)NC[C@]1(C)C[N@H+](CCOCC2CC2)CCO1 ZINC001107930103 750663382 /nfs/dbraw/zinc/66/33/82/750663382.db2.gz FHKQOQWYCAVIBC-GOSISDBHSA-N 1 2 322.449 1.280 20 30 DDEDLO CC(C)C#CC(=O)NC[C@]1(C)C[N@@H+](CCOCC2CC2)CCO1 ZINC001107930103 750663384 /nfs/dbraw/zinc/66/33/84/750663384.db2.gz FHKQOQWYCAVIBC-GOSISDBHSA-N 1 2 322.449 1.280 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc2c1cccc2OC ZINC001032428982 750809049 /nfs/dbraw/zinc/80/90/49/750809049.db2.gz XBCJLBCQBZMECP-RYUDHWBXSA-N 1 2 310.357 1.103 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc2c1cccc2OC ZINC001032428982 750809058 /nfs/dbraw/zinc/80/90/58/750809058.db2.gz XBCJLBCQBZMECP-RYUDHWBXSA-N 1 2 310.357 1.103 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001114719339 751023018 /nfs/dbraw/zinc/02/30/18/751023018.db2.gz FHEDRSZMPOBRNF-FOLVSLTJSA-N 1 2 303.431 1.883 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001114719339 751023026 /nfs/dbraw/zinc/02/30/26/751023026.db2.gz FHEDRSZMPOBRNF-FOLVSLTJSA-N 1 2 303.431 1.883 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001114722028 751026257 /nfs/dbraw/zinc/02/62/57/751026257.db2.gz AAKLHGGNGVWJDE-NYTXWWLZSA-N 1 2 300.406 1.625 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001114722028 751026264 /nfs/dbraw/zinc/02/62/64/751026264.db2.gz AAKLHGGNGVWJDE-NYTXWWLZSA-N 1 2 300.406 1.625 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(NC(C)=O)n1 ZINC001032495490 751067935 /nfs/dbraw/zinc/06/79/35/751067935.db2.gz MJYVCAAKGGDSQZ-RYUDHWBXSA-N 1 2 318.402 1.024 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(NC(C)=O)n1 ZINC001032495490 751067943 /nfs/dbraw/zinc/06/79/43/751067943.db2.gz MJYVCAAKGGDSQZ-RYUDHWBXSA-N 1 2 318.402 1.024 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114792517 751084522 /nfs/dbraw/zinc/08/45/22/751084522.db2.gz UNDQEZJFYPUOCX-MMNPAVHPSA-N 1 2 314.433 1.934 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114792517 751084531 /nfs/dbraw/zinc/08/45/31/751084531.db2.gz UNDQEZJFYPUOCX-MMNPAVHPSA-N 1 2 314.433 1.934 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)C1CCN(CC#N)CC1 ZINC000997762432 751261869 /nfs/dbraw/zinc/26/18/69/751261869.db2.gz MCVLXSCSMYFOKW-NWANDNLSSA-N 1 2 315.421 1.264 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2nc(C)n(C)c2c1 ZINC001032555975 751297646 /nfs/dbraw/zinc/29/76/46/751297646.db2.gz KJKVQOPCUKPPAN-GJZGRUSLSA-N 1 2 308.385 1.414 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2nc(C)n(C)c2c1 ZINC001032555975 751297650 /nfs/dbraw/zinc/29/76/50/751297650.db2.gz KJKVQOPCUKPPAN-GJZGRUSLSA-N 1 2 308.385 1.414 20 30 DDEDLO C[C@H](CC(=O)N1CCC2(CCN(CC#N)C2)CC1)n1cc[nH+]c1 ZINC001035232065 751334119 /nfs/dbraw/zinc/33/41/19/751334119.db2.gz WKWJGFDPQMAOTB-OAHLLOKOSA-N 1 2 315.421 1.672 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001007918277 752436071 /nfs/dbraw/zinc/43/60/71/752436071.db2.gz IAEAOTIHZVRLOE-ZDUSSCGKSA-N 1 2 310.829 1.604 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001007918277 752436073 /nfs/dbraw/zinc/43/60/73/752436073.db2.gz IAEAOTIHZVRLOE-ZDUSSCGKSA-N 1 2 310.829 1.604 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c[nH]c2ccc(F)cc12 ZINC001032649514 752595022 /nfs/dbraw/zinc/59/50/22/752595022.db2.gz NMNYWRBLLGPLSM-GJZGRUSLSA-N 1 2 311.360 1.768 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c[nH]c2ccc(F)cc12 ZINC001032649514 752595028 /nfs/dbraw/zinc/59/50/28/752595028.db2.gz NMNYWRBLLGPLSM-GJZGRUSLSA-N 1 2 311.360 1.768 20 30 DDEDLO C#CC[NH2+][C@H]1CCCN(C(=O)c2c(Cl)[nH]nc2C2CC2)C1 ZINC000999343200 752846084 /nfs/dbraw/zinc/84/60/84/752846084.db2.gz WQYWJZLXXOWFBI-NSHDSACASA-N 1 2 306.797 1.768 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(CCOC)CC2)C1 ZINC001107989261 752848786 /nfs/dbraw/zinc/84/87/86/752848786.db2.gz QORKKJVWRYJQQO-INIZCTEOSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(CCOC)CC2)C1 ZINC001107989261 752848789 /nfs/dbraw/zinc/84/87/89/752848789.db2.gz QORKKJVWRYJQQO-INIZCTEOSA-N 1 2 308.422 1.034 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC000999901548 753230244 /nfs/dbraw/zinc/23/02/44/753230244.db2.gz VCWWCJYLCIOKNF-LBPRGKRZSA-N 1 2 321.425 1.428 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(=O)ccoc2c1 ZINC001032736146 753394717 /nfs/dbraw/zinc/39/47/17/753394717.db2.gz XTMYKSODGTVTNB-GJZGRUSLSA-N 1 2 322.364 1.715 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(=O)ccoc2c1 ZINC001032736146 753394723 /nfs/dbraw/zinc/39/47/23/753394723.db2.gz XTMYKSODGTVTNB-GJZGRUSLSA-N 1 2 322.364 1.715 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@]1(C)C[N@H+](CC=C)CCO1 ZINC001107998427 753408650 /nfs/dbraw/zinc/40/86/50/753408650.db2.gz LAEQPAZSSURUFI-QGZVFWFLSA-N 1 2 303.406 1.681 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@]1(C)C[N@@H+](CC=C)CCO1 ZINC001107998427 753408655 /nfs/dbraw/zinc/40/86/55/753408655.db2.gz LAEQPAZSSURUFI-QGZVFWFLSA-N 1 2 303.406 1.681 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)n2cnc(C)c2)C1 ZINC001108023280 753570276 /nfs/dbraw/zinc/57/02/76/753570276.db2.gz YLEPITMKJQPXAC-KRWDZBQOSA-N 1 2 320.437 1.320 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)n2cnc(C)c2)C1 ZINC001108023280 753570280 /nfs/dbraw/zinc/57/02/80/753570280.db2.gz YLEPITMKJQPXAC-KRWDZBQOSA-N 1 2 320.437 1.320 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1c(C)cccc1C ZINC001032768000 753574510 /nfs/dbraw/zinc/57/45/10/753574510.db2.gz YKOVDQIVDJSCIX-IRXDYDNUSA-N 1 2 312.413 1.991 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1c(C)cccc1C ZINC001032768000 753574514 /nfs/dbraw/zinc/57/45/14/753574514.db2.gz YKOVDQIVDJSCIX-IRXDYDNUSA-N 1 2 312.413 1.991 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2cc[nH]c2)[C@@H](O)C1 ZINC001090286985 753639174 /nfs/dbraw/zinc/63/91/74/753639174.db2.gz RNNFTBIRVRIISM-IRXDYDNUSA-N 1 2 324.384 1.252 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2cc[nH]c2)[C@@H](O)C1 ZINC001090286985 753639175 /nfs/dbraw/zinc/63/91/75/753639175.db2.gz RNNFTBIRVRIISM-IRXDYDNUSA-N 1 2 324.384 1.252 20 30 DDEDLO Cc1nsc(NC[C@@H](C)NC(=O)CCc2c[nH]c[nH+]2)c1C#N ZINC001108186416 753647490 /nfs/dbraw/zinc/64/74/90/753647490.db2.gz OUBGVWMARNNILL-SECBINFHSA-N 1 2 318.406 1.596 20 30 DDEDLO Cc1nsc(NC[C@@H](C)NC(=O)CCc2c[nH+]c[nH]2)c1C#N ZINC001108186416 753647496 /nfs/dbraw/zinc/64/74/96/753647496.db2.gz OUBGVWMARNNILL-SECBINFHSA-N 1 2 318.406 1.596 20 30 DDEDLO Cc1ncncc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001010325677 753763849 /nfs/dbraw/zinc/76/38/49/753763849.db2.gz QFFDQYQUDBZSLQ-KRWDZBQOSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1ncncc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001010325677 753763852 /nfs/dbraw/zinc/76/38/52/753763852.db2.gz QFFDQYQUDBZSLQ-KRWDZBQOSA-N 1 2 320.396 1.641 20 30 DDEDLO Cn1cc(CC(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)cn1 ZINC001010364819 753814017 /nfs/dbraw/zinc/81/40/17/753814017.db2.gz NMTWPNPHDQUEQI-KRWDZBQOSA-N 1 2 323.400 1.225 20 30 DDEDLO Cn1cc(CC(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)cn1 ZINC001010364819 753814025 /nfs/dbraw/zinc/81/40/25/753814025.db2.gz NMTWPNPHDQUEQI-KRWDZBQOSA-N 1 2 323.400 1.225 20 30 DDEDLO C=CCC1(C(=O)NCC[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001078232136 753867679 /nfs/dbraw/zinc/86/76/79/753867679.db2.gz VNALAQAVOXVQNI-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001010405522 753880339 /nfs/dbraw/zinc/88/03/39/753880339.db2.gz WFYMPMOVPVUXFJ-MRXNPFEDSA-N 1 2 309.373 1.215 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001010405522 753880345 /nfs/dbraw/zinc/88/03/45/753880345.db2.gz WFYMPMOVPVUXFJ-MRXNPFEDSA-N 1 2 309.373 1.215 20 30 DDEDLO Cc1nc(N2CCCC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001063183181 754026714 /nfs/dbraw/zinc/02/67/14/754026714.db2.gz AZVNEYYVPYDHCS-AWEZNQCLSA-N 1 2 324.388 1.774 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1)n1cncn1 ZINC001010685631 754098073 /nfs/dbraw/zinc/09/80/73/754098073.db2.gz ABUIHENYEOUSSA-XJKSGUPXSA-N 1 2 324.388 1.101 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1)n1cncn1 ZINC001010685631 754098076 /nfs/dbraw/zinc/09/80/76/754098076.db2.gz ABUIHENYEOUSSA-XJKSGUPXSA-N 1 2 324.388 1.101 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3cncs3)C2)c[nH]1 ZINC001010698456 754107124 /nfs/dbraw/zinc/10/71/24/754107124.db2.gz OBAUVDQQLLGXTH-LLVKDONJSA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3cncs3)C2)c[nH]1 ZINC001010698456 754107127 /nfs/dbraw/zinc/10/71/27/754107127.db2.gz OBAUVDQQLLGXTH-LLVKDONJSA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3cncs3)C2)c[nH]1 ZINC001010698457 754107435 /nfs/dbraw/zinc/10/74/35/754107435.db2.gz OBAUVDQQLLGXTH-NSHDSACASA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3cncs3)C2)c[nH]1 ZINC001010698457 754107437 /nfs/dbraw/zinc/10/74/37/754107437.db2.gz OBAUVDQQLLGXTH-NSHDSACASA-N 1 2 301.375 1.347 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1cccc(F)c1 ZINC001032810581 754191178 /nfs/dbraw/zinc/19/11/78/754191178.db2.gz GXPMEKXGVAPLGB-COXVUDFISA-N 1 2 300.377 1.923 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1cccc(F)c1 ZINC001032810581 754191181 /nfs/dbraw/zinc/19/11/81/754191181.db2.gz GXPMEKXGVAPLGB-COXVUDFISA-N 1 2 300.377 1.923 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCC[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001064111964 754520763 /nfs/dbraw/zinc/52/07/63/754520763.db2.gz DRABAQIDNHBWLU-KBPBESRZSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CCC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001064139414 754536701 /nfs/dbraw/zinc/53/67/01/754536701.db2.gz DXFJXDQSXDYNNR-MLGOLLRUSA-N 1 2 324.388 1.038 20 30 DDEDLO CN(c1ccc(C#N)cn1)[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001056997939 762310560 /nfs/dbraw/zinc/31/05/60/762310560.db2.gz MDLSFIQJNMEUBO-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001065034686 755037951 /nfs/dbraw/zinc/03/79/51/755037951.db2.gz RMNZAOPNAJPOGB-HNNXBMFYSA-N 1 2 324.388 1.134 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CCCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001065034686 755037953 /nfs/dbraw/zinc/03/79/53/755037953.db2.gz RMNZAOPNAJPOGB-HNNXBMFYSA-N 1 2 324.388 1.134 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CSCCC)[C@@H](O)C1 ZINC001099701891 755390258 /nfs/dbraw/zinc/39/02/58/755390258.db2.gz LOKAIAOWSGXEAO-RYUDHWBXSA-N 1 2 306.859 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CSCCC)[C@@H](O)C1 ZINC001099701891 755390261 /nfs/dbraw/zinc/39/02/61/755390261.db2.gz LOKAIAOWSGXEAO-RYUDHWBXSA-N 1 2 306.859 1.434 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](Nc2ccncc2C#N)[C@@H]1C ZINC001040157911 762414133 /nfs/dbraw/zinc/41/41/33/762414133.db2.gz WAUHTOQSWUHWBI-JSGCOSHPSA-N 1 2 324.388 1.051 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)CCc1c[nH+]cn1C ZINC001108474827 762415819 /nfs/dbraw/zinc/41/58/19/762415819.db2.gz GBJFGPCNIQEQSH-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001080167529 755748109 /nfs/dbraw/zinc/74/81/09/755748109.db2.gz PKJFPWPGDREQCH-QZKOUSHUSA-N 1 2 312.841 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001080167529 755748113 /nfs/dbraw/zinc/74/81/13/755748113.db2.gz PKJFPWPGDREQCH-QZKOUSHUSA-N 1 2 312.841 1.991 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1Nc1ncccc1C#N ZINC001067083775 755821493 /nfs/dbraw/zinc/82/14/93/755821493.db2.gz FLBVGYPGPIOPIA-OCCSQVGLSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C1CC(C)(C(=O)N(CC)C2CN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001080500637 755959942 /nfs/dbraw/zinc/95/99/42/755959942.db2.gz ZFGAEHMBPKPOAL-UHFFFAOYSA-N 1 2 316.405 1.299 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)C1 ZINC001014839706 755972153 /nfs/dbraw/zinc/97/21/53/755972153.db2.gz RTBIBCKNMDVUPP-LBPRGKRZSA-N 1 2 314.414 1.966 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)C1 ZINC001014839706 755972155 /nfs/dbraw/zinc/97/21/55/755972155.db2.gz RTBIBCKNMDVUPP-LBPRGKRZSA-N 1 2 314.414 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(=O)[nH]c(C3CC3)n2)C1 ZINC001014856462 755982153 /nfs/dbraw/zinc/98/21/53/755982153.db2.gz NKTGCFTXKFANQG-LLVKDONJSA-N 1 2 322.796 1.616 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(=O)[nH]c(C3CC3)n2)C1 ZINC001014856462 755982154 /nfs/dbraw/zinc/98/21/54/755982154.db2.gz NKTGCFTXKFANQG-LLVKDONJSA-N 1 2 322.796 1.616 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C)nc(C)n2)C1 ZINC001080610183 755999131 /nfs/dbraw/zinc/99/91/31/755999131.db2.gz FFSAXEUOIMTHDU-YMTOWFKASA-N 1 2 308.813 1.896 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C)nc(C)n2)C1 ZINC001080610183 755999134 /nfs/dbraw/zinc/99/91/34/755999134.db2.gz FFSAXEUOIMTHDU-YMTOWFKASA-N 1 2 308.813 1.896 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC2CC(F)(F)C2)[C@H](O)C1 ZINC001090368671 756057802 /nfs/dbraw/zinc/05/78/02/756057802.db2.gz SEEICRGGIIUFJF-VXGBXAGGSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC2CC(F)(F)C2)[C@H](O)C1 ZINC001090368671 756057808 /nfs/dbraw/zinc/05/78/08/756057808.db2.gz SEEICRGGIIUFJF-VXGBXAGGSA-N 1 2 322.783 1.726 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(C)noc2CCC)[C@H](OC)C1 ZINC001081659345 756415423 /nfs/dbraw/zinc/41/54/23/756415423.db2.gz WYDMGAPSZFIBBC-UKRRQHHQSA-N 1 2 319.405 1.388 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)noc2CCC)[C@H](OC)C1 ZINC001081659345 756415427 /nfs/dbraw/zinc/41/54/27/756415427.db2.gz WYDMGAPSZFIBBC-UKRRQHHQSA-N 1 2 319.405 1.388 20 30 DDEDLO Cc1nc(C(F)(F)N2CC[NH2+]C[C@@H]2C#N)nc(Cl)c1C ZINC001156327906 762473691 /nfs/dbraw/zinc/47/36/91/762473691.db2.gz RKVKBRGFJKQACE-VIFPVBQESA-N 1 2 301.728 1.594 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1Nc1ncccc1C#N ZINC001067122916 756586043 /nfs/dbraw/zinc/58/60/43/756586043.db2.gz MFJIAAMAFLCWSO-DOMZBBRYSA-N 1 2 324.388 1.188 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cnc(-c3ccccc3)[nH]2)[C@H](OC)C1 ZINC001082186052 756679233 /nfs/dbraw/zinc/67/92/33/756679233.db2.gz BHKJCFSADGGLHW-HZPDHXFCSA-N 1 2 324.384 1.139 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cnc(-c3ccccc3)[nH]2)[C@H](OC)C1 ZINC001082186052 756679236 /nfs/dbraw/zinc/67/92/36/756679236.db2.gz BHKJCFSADGGLHW-HZPDHXFCSA-N 1 2 324.384 1.139 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001082451459 756792359 /nfs/dbraw/zinc/79/23/59/756792359.db2.gz BCSAOVAMUSTHST-CKYFFXLPSA-N 1 2 303.366 1.043 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067140081 756807034 /nfs/dbraw/zinc/80/70/34/756807034.db2.gz QOXWFJLBWWQTJN-YPMHNXCESA-N 1 2 310.361 1.178 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2COc3ccccc3O2)C1 ZINC001016172138 756808102 /nfs/dbraw/zinc/80/81/02/756808102.db2.gz UQLAPKWJZYGSCX-SWLSCSKDSA-N 1 2 322.792 1.769 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2COc3ccccc3O2)C1 ZINC001016172138 756808109 /nfs/dbraw/zinc/80/81/09/756808109.db2.gz UQLAPKWJZYGSCX-SWLSCSKDSA-N 1 2 322.792 1.769 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)COCCCC)[C@@H](O)C1 ZINC001099754626 756864466 /nfs/dbraw/zinc/86/44/66/756864466.db2.gz HSPPPZIHYRBHHZ-OLZOCXBDSA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)COCCCC)[C@@H](O)C1 ZINC001099754626 756864473 /nfs/dbraw/zinc/86/44/73/756864473.db2.gz HSPPPZIHYRBHHZ-OLZOCXBDSA-N 1 2 304.818 1.107 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C(F)(F)F)no1)C2 ZINC001097271780 757041034 /nfs/dbraw/zinc/04/10/34/757041034.db2.gz CZRDZKBADCHKKU-BBBLOLIVSA-N 1 2 313.279 1.662 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C(F)(F)F)no1)C2 ZINC001097271780 757041042 /nfs/dbraw/zinc/04/10/42/757041042.db2.gz CZRDZKBADCHKKU-BBBLOLIVSA-N 1 2 313.279 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc3nnn(C)c32)C1 ZINC001016434411 757043596 /nfs/dbraw/zinc/04/35/96/757043596.db2.gz SCVJEHYCMFMUOU-LLVKDONJSA-N 1 2 319.796 1.525 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc3nnn(C)c32)C1 ZINC001016434411 757043603 /nfs/dbraw/zinc/04/36/03/757043603.db2.gz SCVJEHYCMFMUOU-LLVKDONJSA-N 1 2 319.796 1.525 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCO[C@@H]2C[N@H+](CCC(F)(F)F)C[C@@H]21 ZINC001083026701 757066166 /nfs/dbraw/zinc/06/61/66/757066166.db2.gz KCRLYNSCERVQAV-GARJFASQSA-N 1 2 305.300 1.010 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCO[C@@H]2C[N@@H+](CCC(F)(F)F)C[C@@H]21 ZINC001083026701 757066173 /nfs/dbraw/zinc/06/61/73/757066173.db2.gz KCRLYNSCERVQAV-GARJFASQSA-N 1 2 305.300 1.010 20 30 DDEDLO C=CCn1cccc1C(=O)N1CCO[C@@H]2C[N@@H+](CC#CC)C[C@@H]21 ZINC001083106153 757140300 /nfs/dbraw/zinc/14/03/00/757140300.db2.gz NTLFSLRDSGVWOL-DLBZAZTESA-N 1 2 313.401 1.223 20 30 DDEDLO C=CCn1cccc1C(=O)N1CCO[C@@H]2C[N@H+](CC#CC)C[C@@H]21 ZINC001083106153 757140306 /nfs/dbraw/zinc/14/03/06/757140306.db2.gz NTLFSLRDSGVWOL-DLBZAZTESA-N 1 2 313.401 1.223 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3Cc4ccc(F)cc43)[C@H]2C1 ZINC001083176879 757180845 /nfs/dbraw/zinc/18/08/45/757180845.db2.gz VSSZRDOJLWPWEM-IXDOHACOSA-N 1 2 314.360 1.010 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3Cc4ccc(F)cc43)[C@H]2C1 ZINC001083176879 757180852 /nfs/dbraw/zinc/18/08/52/757180852.db2.gz VSSZRDOJLWPWEM-IXDOHACOSA-N 1 2 314.360 1.010 20 30 DDEDLO N#Cc1cnccc1N1CCCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001097466134 757192880 /nfs/dbraw/zinc/19/28/80/757192880.db2.gz HHUIASFHLASYGL-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2coc3ccc(Cl)cc23)[C@@H](O)C1 ZINC001084007967 757244604 /nfs/dbraw/zinc/24/46/04/757244604.db2.gz QOIVBFOZGQSKFB-KGLIPLIRSA-N 1 2 318.760 1.494 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2coc3ccc(Cl)cc23)[C@@H](O)C1 ZINC001084007967 757244612 /nfs/dbraw/zinc/24/46/12/757244612.db2.gz QOIVBFOZGQSKFB-KGLIPLIRSA-N 1 2 318.760 1.494 20 30 DDEDLO Cc1nc(N[C@H](C)CNC(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001097731217 757436328 /nfs/dbraw/zinc/43/63/28/757436328.db2.gz BZUBCEIMNWMRAP-GHMZBOCLSA-N 1 2 301.394 1.740 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H](C)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001097793831 757530258 /nfs/dbraw/zinc/53/02/58/757530258.db2.gz GCGPESOZHFYUJA-YVEFUNNKSA-N 1 2 318.421 1.906 20 30 DDEDLO Cc1nc(N(C)C)nc(N[C@@H](C)CNC(=O)C#CC(C)(C)C)[nH+]1 ZINC001097806507 757552073 /nfs/dbraw/zinc/55/20/73/757552073.db2.gz NXECWZYPPVUJDJ-NSHDSACASA-N 1 2 318.425 1.212 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(=O)c4ccccc4[nH]3)[C@@H]2C1 ZINC001084497726 757617495 /nfs/dbraw/zinc/61/74/95/757617495.db2.gz ZZGNAIOHOIYNIM-CXAGYDPISA-N 1 2 321.380 1.308 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(=O)c4ccccc4[nH]3)[C@@H]2C1 ZINC001084497726 757617499 /nfs/dbraw/zinc/61/74/99/757617499.db2.gz ZZGNAIOHOIYNIM-CXAGYDPISA-N 1 2 321.380 1.308 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001052729883 757697192 /nfs/dbraw/zinc/69/71/92/757697192.db2.gz RARRJMKHGVNZIQ-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001052867338 757902906 /nfs/dbraw/zinc/90/29/06/757902906.db2.gz MUTNJQGUKRQZLP-ZIAGYGMSSA-N 1 2 318.421 1.306 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2c[nH]c(C#N)c2)cn1 ZINC001017489979 757971192 /nfs/dbraw/zinc/97/11/92/757971192.db2.gz ZHHMFXZLHBXJLH-IYBDPMFKSA-N 1 2 324.388 1.109 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2c[nH]c(C#N)c2)cn1 ZINC001017489979 757971201 /nfs/dbraw/zinc/97/12/01/757971201.db2.gz ZHHMFXZLHBXJLH-IYBDPMFKSA-N 1 2 324.388 1.109 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1nn(C)c2ccccc12 ZINC001017556750 758026841 /nfs/dbraw/zinc/02/68/41/758026841.db2.gz JCSVLNZUCIWJLT-GASCZTMLSA-N 1 2 322.412 1.424 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1nn(C)c2ccccc12 ZINC001017556750 758026850 /nfs/dbraw/zinc/02/68/50/758026850.db2.gz JCSVLNZUCIWJLT-GASCZTMLSA-N 1 2 322.412 1.424 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cn1ccc(C)n1 ZINC001017585202 758052594 /nfs/dbraw/zinc/05/25/94/758052594.db2.gz ZVZRNIRBTXAOOI-BMFZPTHFSA-N 1 2 300.406 1.136 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cn1ccc(C)n1 ZINC001017585202 758052606 /nfs/dbraw/zinc/05/26/06/758052606.db2.gz ZVZRNIRBTXAOOI-BMFZPTHFSA-N 1 2 300.406 1.136 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc(Cl)c1C ZINC001017633946 758099015 /nfs/dbraw/zinc/09/90/15/758099015.db2.gz LDPUFHZHKHWLBF-BETUJISGSA-N 1 2 303.793 1.965 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc(Cl)c1C ZINC001017633946 758099024 /nfs/dbraw/zinc/09/90/24/758099024.db2.gz LDPUFHZHKHWLBF-BETUJISGSA-N 1 2 303.793 1.965 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc(-n2cccc2)c1 ZINC001017641063 758109651 /nfs/dbraw/zinc/10/96/51/758109651.db2.gz LBQIPEBQZOUQFH-CALCHBBNSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc(-n2cccc2)c1 ZINC001017641063 758109656 /nfs/dbraw/zinc/10/96/56/758109656.db2.gz LBQIPEBQZOUQFH-CALCHBBNSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(Cl)n(C)n1 ZINC001017721294 758182452 /nfs/dbraw/zinc/18/24/52/758182452.db2.gz AYVORCUDWMLVNX-TXEJJXNPSA-N 1 2 306.797 1.386 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(Cl)n(C)n1 ZINC001017721294 758182456 /nfs/dbraw/zinc/18/24/56/758182456.db2.gz AYVORCUDWMLVNX-TXEJJXNPSA-N 1 2 306.797 1.386 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nncc2ccccc21 ZINC001017726516 758185965 /nfs/dbraw/zinc/18/59/65/758185965.db2.gz AHJNQBJLXFBVLN-IYBDPMFKSA-N 1 2 320.396 1.942 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nncc2ccccc21 ZINC001017726516 758185967 /nfs/dbraw/zinc/18/59/67/758185967.db2.gz AHJNQBJLXFBVLN-IYBDPMFKSA-N 1 2 320.396 1.942 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(Cl)n1C ZINC001017734141 758193259 /nfs/dbraw/zinc/19/32/59/758193259.db2.gz LFFZHFIAAIGFIU-TXEJJXNPSA-N 1 2 306.797 1.386 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(Cl)n1C ZINC001017734141 758193266 /nfs/dbraw/zinc/19/32/66/758193266.db2.gz LFFZHFIAAIGFIU-TXEJJXNPSA-N 1 2 306.797 1.386 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001053139148 758198622 /nfs/dbraw/zinc/19/86/22/758198622.db2.gz VBTHMMCWGMONQG-OLZOCXBDSA-N 1 2 318.421 1.375 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cc(C)nn2c1C ZINC001017780406 758231495 /nfs/dbraw/zinc/23/14/95/758231495.db2.gz LCHDZNUIQYVKFO-GASCZTMLSA-N 1 2 323.400 1.268 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cc(C)nn2c1C ZINC001017780406 758231499 /nfs/dbraw/zinc/23/14/99/758231499.db2.gz LCHDZNUIQYVKFO-GASCZTMLSA-N 1 2 323.400 1.268 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(CC)ccn1)CCO2 ZINC001053271438 758327708 /nfs/dbraw/zinc/32/77/08/758327708.db2.gz ZQANDPNTYNWDRS-UHFFFAOYSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCCO[C@@H]1CC)CCO2 ZINC001053285348 758339706 /nfs/dbraw/zinc/33/97/06/758339706.db2.gz SFSZFMBMCUPWNM-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C(C)(C)C(F)F)CCO2 ZINC001053420088 758448393 /nfs/dbraw/zinc/44/83/93/758448393.db2.gz DHDYXYJQFVFFSQ-UHFFFAOYSA-N 1 2 302.365 1.767 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(OC)ns1)O2 ZINC001053603619 758623596 /nfs/dbraw/zinc/62/35/96/758623596.db2.gz SDFZONPBGXBIHO-NSHDSACASA-N 1 2 323.418 1.301 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cncc(CC)c1)O2 ZINC001053629102 758646179 /nfs/dbraw/zinc/64/61/79/758646179.db2.gz GNLFWTXDXSBSMR-INIZCTEOSA-N 1 2 315.417 1.793 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1c(C)noc1C ZINC001018256087 758672725 /nfs/dbraw/zinc/67/27/25/758672725.db2.gz MXGAKASNEUCKNU-GASCZTMLSA-N 1 2 301.390 1.532 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1c(C)noc1C ZINC001018256087 758672734 /nfs/dbraw/zinc/67/27/34/758672734.db2.gz MXGAKASNEUCKNU-GASCZTMLSA-N 1 2 301.390 1.532 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(OC)cc1 ZINC001018261788 758677074 /nfs/dbraw/zinc/67/70/74/758677074.db2.gz KWYODDWULANYMT-GASCZTMLSA-N 1 2 314.385 1.382 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(OC)cc1 ZINC001018261788 758677078 /nfs/dbraw/zinc/67/70/78/758677078.db2.gz KWYODDWULANYMT-GASCZTMLSA-N 1 2 314.385 1.382 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1C[C@H]3CC[C@@H]1O3)O2 ZINC001053667068 758678218 /nfs/dbraw/zinc/67/82/18/758678218.db2.gz MFVZBKRAOISKKF-BARDWOONSA-N 1 2 306.406 1.090 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+]([C@H]2CCN(C(C)=O)C2)CC1 ZINC001065675416 758694776 /nfs/dbraw/zinc/69/47/76/758694776.db2.gz BDJAAPGKNVELGI-HOCLYGCPSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc3c([nH]1)CCC3)O2 ZINC001053688975 758698843 /nfs/dbraw/zinc/69/88/43/758698843.db2.gz ZFYZGDQLDDHGKR-AWEZNQCLSA-N 1 2 315.417 1.653 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cccn1CC)O2 ZINC001053698641 758708043 /nfs/dbraw/zinc/70/80/43/758708043.db2.gz QYZFRQOMAQQLOV-AWEZNQCLSA-N 1 2 303.406 1.657 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C1CCOCC1 ZINC001018352745 758751502 /nfs/dbraw/zinc/75/15/02/758751502.db2.gz GAVNUYGETRTWQK-OIISXLGYSA-N 1 2 304.434 1.748 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C1CCOCC1 ZINC001018352745 758751506 /nfs/dbraw/zinc/75/15/06/758751506.db2.gz GAVNUYGETRTWQK-OIISXLGYSA-N 1 2 304.434 1.748 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(=O)C(C)C)C2)CC1 ZINC001018357862 758756467 /nfs/dbraw/zinc/75/64/67/758756467.db2.gz IVVMTXCETDMYRR-HNNXBMFYSA-N 1 2 307.438 1.211 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(=O)/C(C)=C\C)C2)CC1 ZINC001018368456 758764339 /nfs/dbraw/zinc/76/43/39/758764339.db2.gz ZUEQTWWIMGJZHV-STJUIISESA-N 1 2 319.449 1.521 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(=O)[C@H]3C[C@@H]3C)C2)CC1 ZINC001018368819 758764624 /nfs/dbraw/zinc/76/46/24/758764624.db2.gz GGZCVQQWFIQHKH-XHSDSOJGSA-N 1 2 319.449 1.211 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)CC1=CCCCC1)CO2 ZINC001053756914 758775327 /nfs/dbraw/zinc/77/53/27/758775327.db2.gz IODPSPVSVIZCMV-MRXNPFEDSA-N 1 2 302.418 1.860 20 30 DDEDLO C[C@H](Nc1ccc(C#N)cn1)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065780236 758793912 /nfs/dbraw/zinc/79/39/12/758793912.db2.gz QUNJVSBVWWZWNH-GXTWGEPZSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1cccnc1)CO2 ZINC001053776804 758799728 /nfs/dbraw/zinc/79/97/28/758799728.db2.gz CLUREOHYCOMGNC-OAHLLOKOSA-N 1 2 301.390 1.160 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCC(F)(F)C1)CO2 ZINC001053825422 758856551 /nfs/dbraw/zinc/85/65/51/758856551.db2.gz QGEAEUUVRLCTGL-CHWSQXEVSA-N 1 2 312.360 1.405 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CCC1(F)F)CO2 ZINC001053839842 758870558 /nfs/dbraw/zinc/87/05/58/758870558.db2.gz PZFCGESDFUMIQT-RYUDHWBXSA-N 1 2 300.349 1.567 20 30 DDEDLO C=CCOc1ccccc1C(=O)N[C@H]1COC2(C[NH+](CC)C2)C1 ZINC001053849279 758880752 /nfs/dbraw/zinc/88/07/52/758880752.db2.gz GFABBIOYGNJWLT-CQSZACIVSA-N 1 2 316.401 1.844 20 30 DDEDLO C=CCOc1ccc(C(=O)N[C@@H]2COC3(C[NH+](CC)C3)C2)cc1 ZINC001053851308 758884600 /nfs/dbraw/zinc/88/46/00/758884600.db2.gz PPOKBKMANOINEF-HNNXBMFYSA-N 1 2 316.401 1.844 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]nc1CC)CO2 ZINC001053856841 758888576 /nfs/dbraw/zinc/88/85/76/758888576.db2.gz QLFVMQYSIXQNFK-GFCCVEGCSA-N 1 2 304.394 1.121 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@]13CCC[C@H]1OCC3)CO2 ZINC001053869608 758902496 /nfs/dbraw/zinc/90/24/96/758902496.db2.gz PRJCDQCSWKFBLY-DAYGRLMNSA-N 1 2 320.433 1.481 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@H]3CC[C@@H]1O3)CO2 ZINC001053932312 758968193 /nfs/dbraw/zinc/96/81/93/758968193.db2.gz RELSXAOPPVURBT-YJNKXOJESA-N 1 2 306.406 1.090 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnn(CCC)c1)CO2 ZINC001053944937 758980575 /nfs/dbraw/zinc/98/05/75/758980575.db2.gz KZQUBEVUBFVEOV-HNNXBMFYSA-N 1 2 318.421 1.442 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1nc(C)c(C)s1)CO2 ZINC001054013654 759061129 /nfs/dbraw/zinc/06/11/29/759061129.db2.gz XQMMKPRZPLXDMX-ZDUSSCGKSA-N 1 2 319.430 1.356 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccnn1C ZINC001054034198 759088208 /nfs/dbraw/zinc/08/82/08/759088208.db2.gz JTSQJYJCYYSEKV-KRWDZBQOSA-N 1 2 322.412 1.600 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccnn1C ZINC001054034198 759088218 /nfs/dbraw/zinc/08/82/18/759088218.db2.gz JTSQJYJCYYSEKV-KRWDZBQOSA-N 1 2 322.412 1.600 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1CCCCO1 ZINC001054036851 759093518 /nfs/dbraw/zinc/09/35/18/759093518.db2.gz SFHNYYGVMIXQRM-MSOLQXFVSA-N 1 2 312.413 1.732 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1CCCCO1 ZINC001054036851 759093522 /nfs/dbraw/zinc/09/35/22/759093522.db2.gz SFHNYYGVMIXQRM-MSOLQXFVSA-N 1 2 312.413 1.732 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@]3(C2)CCCN(C(=O)[C@@H](C)C#N)C3)[nH]1 ZINC001054102166 759167726 /nfs/dbraw/zinc/16/77/26/759167726.db2.gz KZBQORHFIIZTNQ-BLLLJJGKSA-N 1 2 316.409 1.087 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@]3(C2)CCCN(C(=O)[C@@H](C)C#N)C3)[nH]1 ZINC001054102166 759167730 /nfs/dbraw/zinc/16/77/30/759167730.db2.gz KZBQORHFIIZTNQ-BLLLJJGKSA-N 1 2 316.409 1.087 20 30 DDEDLO C=CC[C@@H](Nc1ccc(-n2c[nH+]c(C)c2C)nn1)C(=O)OC ZINC001169836919 762693829 /nfs/dbraw/zinc/69/38/29/762693829.db2.gz RUGQNMZWNPXVKG-GFCCVEGCSA-N 1 2 301.350 1.809 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O ZINC001154743051 759257859 /nfs/dbraw/zinc/25/78/59/759257859.db2.gz RITXDLWTZAVIHG-ZIAGYGMSSA-N 1 2 318.483 1.460 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O ZINC001154743051 759257864 /nfs/dbraw/zinc/25/78/64/759257864.db2.gz RITXDLWTZAVIHG-ZIAGYGMSSA-N 1 2 318.483 1.460 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@H]3OCCO[C@H]3C)cc2C1 ZINC001054267730 759372583 /nfs/dbraw/zinc/37/25/83/759372583.db2.gz FPPLKIGIDZFGMR-GUYCJALGSA-N 1 2 314.385 1.056 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@H]3OCCO[C@H]3C)cc2C1 ZINC001054267730 759372593 /nfs/dbraw/zinc/37/25/93/759372593.db2.gz FPPLKIGIDZFGMR-GUYCJALGSA-N 1 2 314.385 1.056 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001069136789 767871865 /nfs/dbraw/zinc/87/18/65/767871865.db2.gz ABVRQCZTWJJQAH-JSGCOSHPSA-N 1 2 324.388 1.629 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)CCC2(C)CC2)C[C@H]1NCC#N ZINC001131101120 767882613 /nfs/dbraw/zinc/88/26/13/767882613.db2.gz NQNNGRXBLVJPSE-ZIAGYGMSSA-N 1 2 315.421 1.408 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc([C@@H](C)OC)no2)C1 ZINC001019238017 759707284 /nfs/dbraw/zinc/70/72/84/759707284.db2.gz IWZCNIGZRNSXRD-NEPJUHHUSA-N 1 2 322.409 1.680 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001019238226 759708572 /nfs/dbraw/zinc/70/85/72/759708572.db2.gz RKJMWEJWGCQERJ-LBPRGKRZSA-N 1 2 304.394 1.850 20 30 DDEDLO Cc1nc(NCC[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001066294663 760015960 /nfs/dbraw/zinc/01/59/60/760015960.db2.gz OLHMSKFGFWCPMM-ZDUSSCGKSA-N 1 2 324.388 1.949 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(N2CCCC2)ccn1 ZINC001085703771 760063658 /nfs/dbraw/zinc/06/36/58/760063658.db2.gz YNUNHUKWSYRHDK-MRXNPFEDSA-N 1 2 312.417 1.461 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(N2CCCC2)ccn1 ZINC001085703771 760063664 /nfs/dbraw/zinc/06/36/64/760063664.db2.gz YNUNHUKWSYRHDK-MRXNPFEDSA-N 1 2 312.417 1.461 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncoc1[C@H]1CCCO1 ZINC001085760687 760185336 /nfs/dbraw/zinc/18/53/36/760185336.db2.gz QIVZASBLKBHPHI-ZIAGYGMSSA-N 1 2 317.389 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncoc1[C@H]1CCCO1 ZINC001085760687 760185345 /nfs/dbraw/zinc/18/53/45/760185345.db2.gz QIVZASBLKBHPHI-ZIAGYGMSSA-N 1 2 317.389 1.696 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001085770081 760195668 /nfs/dbraw/zinc/19/56/68/760195668.db2.gz YCLOUXYGEUFYEF-YRXWBPOGSA-N 1 2 324.424 1.463 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001085770081 760195670 /nfs/dbraw/zinc/19/56/70/760195670.db2.gz YCLOUXYGEUFYEF-YRXWBPOGSA-N 1 2 324.424 1.463 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cncs1 ZINC001085826849 760305028 /nfs/dbraw/zinc/30/50/28/760305028.db2.gz AITHERNEPNWGJP-YUELXQCFSA-N 1 2 321.446 1.767 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cncs1 ZINC001085826849 760305037 /nfs/dbraw/zinc/30/50/37/760305037.db2.gz AITHERNEPNWGJP-YUELXQCFSA-N 1 2 321.446 1.767 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(CC)nn(C)c1Cl ZINC001085915422 760530692 /nfs/dbraw/zinc/53/06/92/760530692.db2.gz AISZPLAMIKKOAB-NSHDSACASA-N 1 2 308.813 1.415 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(CC)nn(C)c1Cl ZINC001085915422 760530696 /nfs/dbraw/zinc/53/06/96/760530696.db2.gz AISZPLAMIKKOAB-NSHDSACASA-N 1 2 308.813 1.415 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2C[C@H](F)CC)cn1 ZINC001085933077 760562749 /nfs/dbraw/zinc/56/27/49/760562749.db2.gz PHFOUHKCHSGZSC-ZBFHGGJFSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C[C@H](F)CC)cn1 ZINC001085933077 760562752 /nfs/dbraw/zinc/56/27/52/760562752.db2.gz PHFOUHKCHSGZSC-ZBFHGGJFSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cnoc2C)nc1 ZINC001085933559 760564008 /nfs/dbraw/zinc/56/40/08/760564008.db2.gz FFKOTQKGAXDWNF-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cnoc2C)nc1 ZINC001085933559 760564012 /nfs/dbraw/zinc/56/40/12/760564012.db2.gz FFKOTQKGAXDWNF-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001086004727 760692986 /nfs/dbraw/zinc/69/29/86/760692986.db2.gz NFKNOPNDMVRGAK-AWEZNQCLSA-N 1 2 317.364 1.564 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001086004727 760692989 /nfs/dbraw/zinc/69/29/89/760692989.db2.gz NFKNOPNDMVRGAK-AWEZNQCLSA-N 1 2 317.364 1.564 20 30 DDEDLO Cc1cncc(C[N@@H+]2CC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)c1 ZINC001038173641 760887203 /nfs/dbraw/zinc/88/72/03/760887203.db2.gz BPHPRPGQFTXWDI-INIZCTEOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cncc(C[N@H+]2CC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)c1 ZINC001038173641 760887213 /nfs/dbraw/zinc/88/72/13/760887213.db2.gz BPHPRPGQFTXWDI-INIZCTEOSA-N 1 2 309.373 1.594 20 30 DDEDLO N#Cc1ccc(NCC[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)nc1 ZINC001066388863 760956226 /nfs/dbraw/zinc/95/62/26/760956226.db2.gz DGPXGXFWRUHNJH-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CCCc2cn[nH]c21 ZINC001038264754 760958589 /nfs/dbraw/zinc/95/85/89/760958589.db2.gz YNZUXOXDSDIKII-CABCVRRESA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CCCc2cn[nH]c21 ZINC001038264754 760958596 /nfs/dbraw/zinc/95/85/96/760958596.db2.gz YNZUXOXDSDIKII-CABCVRRESA-N 1 2 302.422 1.986 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ccc(C#N)nc1 ZINC001069374787 767998625 /nfs/dbraw/zinc/99/86/25/767998625.db2.gz AYGDVJPWNIACRG-CJNGLKHVSA-N 1 2 324.388 1.323 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C2CC2)n(CC)n1 ZINC001038328281 761011189 /nfs/dbraw/zinc/01/11/89/761011189.db2.gz UTAYILNZJMDOBA-CQSZACIVSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C2CC2)n(CC)n1 ZINC001038328281 761011199 /nfs/dbraw/zinc/01/11/99/761011199.db2.gz UTAYILNZJMDOBA-CQSZACIVSA-N 1 2 300.406 1.608 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2nn(CC)nc2C)C1 ZINC001046872333 768000910 /nfs/dbraw/zinc/00/09/10/768000910.db2.gz DBYDNOIQACFMDA-CQSZACIVSA-N 1 2 311.817 1.553 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2nn(CC)nc2C)C1 ZINC001046872333 768000915 /nfs/dbraw/zinc/00/09/15/768000915.db2.gz DBYDNOIQACFMDA-CQSZACIVSA-N 1 2 311.817 1.553 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1[nH]cnc1C(F)(F)F ZINC001038340504 761024231 /nfs/dbraw/zinc/02/42/31/761024231.db2.gz DBMVDQKZJKALGF-VIFPVBQESA-N 1 2 300.284 1.256 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1[nH]cnc1C(F)(F)F ZINC001038340504 761024240 /nfs/dbraw/zinc/02/42/40/761024240.db2.gz DBMVDQKZJKALGF-VIFPVBQESA-N 1 2 300.284 1.256 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2[C@H](C)C(=O)N(C)C)CC1 ZINC001038667979 761268288 /nfs/dbraw/zinc/26/82/88/761268288.db2.gz QRSDENUZOMQTNT-UKRRQHHQSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2[C@H](C)C(=O)N(C)C)CC1 ZINC001038667979 761268295 /nfs/dbraw/zinc/26/82/95/761268295.db2.gz QRSDENUZOMQTNT-UKRRQHHQSA-N 1 2 307.438 1.400 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)c3c[nH]c(C#N)c3)CC2(C)C)cc[nH+]1 ZINC001069543480 768054977 /nfs/dbraw/zinc/05/49/77/768054977.db2.gz OJFCKBKBCSICOO-CQSZACIVSA-N 1 2 324.388 1.948 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)nn(CC)c2C)C1 ZINC001108281730 761724083 /nfs/dbraw/zinc/72/40/83/761724083.db2.gz ILUKPOLVNCAVMG-QGZVFWFLSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)nn(CC)c2C)C1 ZINC001108281730 761724087 /nfs/dbraw/zinc/72/40/87/761724087.db2.gz ILUKPOLVNCAVMG-QGZVFWFLSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)CC(=C)C)CC2=O)C1 ZINC001108566895 762709914 /nfs/dbraw/zinc/70/99/14/762709914.db2.gz QAMHFXPWOPJZAI-UONOGXRCSA-N 1 2 305.422 1.176 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)c1cccc2[nH+]ccn21 ZINC001108603222 762788322 /nfs/dbraw/zinc/78/83/22/762788322.db2.gz YRTIMFNXYRLOFB-LBPRGKRZSA-N 1 2 320.356 1.831 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nn1)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108721550 762892566 /nfs/dbraw/zinc/89/25/66/762892566.db2.gz MHZMKRHOBNKADA-RYUDHWBXSA-N 1 2 313.365 1.113 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@]2(CC[N@H+](Cc3ncccn3)C2)C1 ZINC001041272416 762923870 /nfs/dbraw/zinc/92/38/70/762923870.db2.gz HSGGZXRQXONVOD-SFHVURJKSA-N 1 2 310.401 1.314 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@]2(CC[N@@H+](Cc3ncccn3)C2)C1 ZINC001041272416 762923871 /nfs/dbraw/zinc/92/38/71/762923871.db2.gz HSGGZXRQXONVOD-SFHVURJKSA-N 1 2 310.401 1.314 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC(=O)NCC[N@@H+](CC(=C)C)CC1 ZINC001131386164 768098209 /nfs/dbraw/zinc/09/82/09/768098209.db2.gz XFWCZXVJZXLQJL-UHFFFAOYSA-N 1 2 321.465 1.815 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC(=O)NCC[N@H+](CC(=C)C)CC1 ZINC001131386164 768098215 /nfs/dbraw/zinc/09/82/15/768098215.db2.gz XFWCZXVJZXLQJL-UHFFFAOYSA-N 1 2 321.465 1.815 20 30 DDEDLO Cc1ccnc(C[N@@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)n1 ZINC001050045097 763057873 /nfs/dbraw/zinc/05/78/73/763057873.db2.gz WIHOGJKSPYYLPV-DLBZAZTESA-N 1 2 324.428 1.621 20 30 DDEDLO Cc1ccnc(C[N@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)n1 ZINC001050045097 763057880 /nfs/dbraw/zinc/05/78/80/763057880.db2.gz WIHOGJKSPYYLPV-DLBZAZTESA-N 1 2 324.428 1.621 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)[C@@H](C)C=C)CC1 ZINC001131379372 768104474 /nfs/dbraw/zinc/10/44/74/768104474.db2.gz WTIOSROXAVLJDJ-GJZGRUSLSA-N 1 2 307.438 1.281 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)[C@@H](C)C=C)CC1 ZINC001131379372 768104477 /nfs/dbraw/zinc/10/44/77/768104477.db2.gz WTIOSROXAVLJDJ-GJZGRUSLSA-N 1 2 307.438 1.281 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CN(C)c1[nH+]cnc2c1cnn2C ZINC001109194288 763451791 /nfs/dbraw/zinc/45/17/91/763451791.db2.gz PDUIIOHAXCKAKQ-NSHDSACASA-N 1 2 316.409 1.516 20 30 DDEDLO CCC(C)(C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109329339 763582092 /nfs/dbraw/zinc/58/20/92/763582092.db2.gz SQZAOBIUPLOGGT-RDBSUJKOSA-N 1 2 320.437 1.174 20 30 DDEDLO CCC(C)(C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109329339 763582100 /nfs/dbraw/zinc/58/21/00/763582100.db2.gz SQZAOBIUPLOGGT-RDBSUJKOSA-N 1 2 320.437 1.174 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@@H]4CCN(CC#N)[C@H]4C3)ccn12 ZINC001042061321 763604777 /nfs/dbraw/zinc/60/47/77/763604777.db2.gz DEWUNZDCZBJYBU-HOCLYGCPSA-N 1 2 323.400 1.703 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1[C@H]2C[N@H+](C/C(Cl)=C\Cl)C[C@@H]12 ZINC001115332823 763720359 /nfs/dbraw/zinc/72/03/59/763720359.db2.gz QESVMZBHZZUCQK-UAOTZLBZSA-N 1 2 319.232 1.943 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1[C@H]2C[N@@H+](C/C(Cl)=C\Cl)C[C@@H]12 ZINC001115332823 763720369 /nfs/dbraw/zinc/72/03/69/763720369.db2.gz QESVMZBHZZUCQK-UAOTZLBZSA-N 1 2 319.232 1.943 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(C)C=CCC1)C2 ZINC001109605718 763867623 /nfs/dbraw/zinc/86/76/23/763867623.db2.gz OCQZJZUADIILHQ-LLDVTBCESA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(C)C=CCC1)C2 ZINC001109605718 763867632 /nfs/dbraw/zinc/86/76/32/763867632.db2.gz OCQZJZUADIILHQ-LLDVTBCESA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3[C@@H](C)C(N)=O)CC1 ZINC001109681691 763940352 /nfs/dbraw/zinc/94/03/52/763940352.db2.gz DOAXSBAUDZDKCP-CRWXNKLISA-N 1 2 305.422 1.328 20 30 DDEDLO C=CCCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3[C@@H](C)C(N)=O)CC1 ZINC001109681691 763940357 /nfs/dbraw/zinc/94/03/57/763940357.db2.gz DOAXSBAUDZDKCP-CRWXNKLISA-N 1 2 305.422 1.328 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](CNc1ccc(C#N)nc1)C1CC1 ZINC001109882109 764156730 /nfs/dbraw/zinc/15/67/30/764156730.db2.gz PAXBTERGDGJONX-MRXNPFEDSA-N 1 2 324.388 1.534 20 30 DDEDLO Cc1nc(NC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C2CC2)ccc1C#N ZINC001109890563 764169497 /nfs/dbraw/zinc/16/94/97/764169497.db2.gz AUZJWCXAJNYABM-CQSZACIVSA-N 1 2 324.388 1.534 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn2c(n1)CCC2 ZINC001050922449 764285560 /nfs/dbraw/zinc/28/55/60/764285560.db2.gz CSOGMGXGSCKSBN-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cn2c(n1)CCC2 ZINC001050922449 764285565 /nfs/dbraw/zinc/28/55/65/764285565.db2.gz CSOGMGXGSCKSBN-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncc(COC)c1 ZINC001050961744 764354930 /nfs/dbraw/zinc/35/49/30/764354930.db2.gz WLEAITKNJNSITO-INIZCTEOSA-N 1 2 319.405 1.235 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncc(COC)c1 ZINC001050961744 764354939 /nfs/dbraw/zinc/35/49/39/764354939.db2.gz WLEAITKNJNSITO-INIZCTEOSA-N 1 2 319.405 1.235 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1CCC ZINC001050999804 764403011 /nfs/dbraw/zinc/40/30/11/764403011.db2.gz PNVAVLRTVKVRKB-CYBMUJFWSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1CCC ZINC001050999804 764403016 /nfs/dbraw/zinc/40/30/16/764403016.db2.gz PNVAVLRTVKVRKB-CYBMUJFWSA-N 1 2 306.410 1.369 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCCN2C(=O)CC)C1 ZINC001042770145 764431866 /nfs/dbraw/zinc/43/18/66/764431866.db2.gz GYXSRABTUITURC-OAHLLOKOSA-N 1 2 307.438 1.496 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C)c2)[C@@H](n2ccnn2)C1 ZINC001069891313 768216475 /nfs/dbraw/zinc/21/64/75/768216475.db2.gz RMEMOPNPXIOEFN-SJORKVTESA-N 1 2 323.400 1.265 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C)c2)[C@@H](n2ccnn2)C1 ZINC001069891313 768216480 /nfs/dbraw/zinc/21/64/80/768216480.db2.gz RMEMOPNPXIOEFN-SJORKVTESA-N 1 2 323.400 1.265 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccsc2)[C@@H](n2ccnn2)C1 ZINC001069894230 768217917 /nfs/dbraw/zinc/21/79/17/768217917.db2.gz KRHFOESMLQSYOV-KGLIPLIRSA-N 1 2 315.402 1.018 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccsc2)[C@@H](n2ccnn2)C1 ZINC001069894230 768217921 /nfs/dbraw/zinc/21/79/21/768217921.db2.gz KRHFOESMLQSYOV-KGLIPLIRSA-N 1 2 315.402 1.018 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C2CC2)n[nH]1 ZINC001051134099 764536278 /nfs/dbraw/zinc/53/62/78/764536278.db2.gz QSKWCHDYHHZPHY-CQSZACIVSA-N 1 2 318.421 1.684 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C2CC2)n[nH]1 ZINC001051134099 764536284 /nfs/dbraw/zinc/53/62/84/764536284.db2.gz QSKWCHDYHHZPHY-CQSZACIVSA-N 1 2 318.421 1.684 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CCO[C@@H]2CCCC[C@@H]2C)CC1 ZINC001112703695 764622115 /nfs/dbraw/zinc/62/21/15/764622115.db2.gz CRGDOUCXCVZHEF-DLBZAZTESA-N 1 2 324.465 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(F)c[nH]2)[C@H](O)C1 ZINC001090443099 764696291 /nfs/dbraw/zinc/69/62/91/764696291.db2.gz VSEUWLHQCXEEBD-ZYHUDNBSSA-N 1 2 301.749 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(F)c[nH]2)[C@H](O)C1 ZINC001090443099 764696299 /nfs/dbraw/zinc/69/62/99/764696299.db2.gz VSEUWLHQCXEEBD-ZYHUDNBSSA-N 1 2 301.749 1.071 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051428666 764869675 /nfs/dbraw/zinc/86/96/75/764869675.db2.gz YUUXOKGKAQPINB-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001112904472 764944891 /nfs/dbraw/zinc/94/48/91/764944891.db2.gz VPRGSDNTPYKHOY-INIZCTEOSA-N 1 2 310.438 1.683 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(COC)CCCC2)CC1 ZINC001112934553 764994702 /nfs/dbraw/zinc/99/47/02/764994702.db2.gz AHLDAIWXBHLTQO-UHFFFAOYSA-N 1 2 310.438 1.540 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2C[NH+](CCn3nc(C)cc3C)C2)C1 ZINC001043815631 765113334 /nfs/dbraw/zinc/11/33/34/765113334.db2.gz VSZREYBUAQFTMK-UHFFFAOYSA-N 1 2 316.449 1.999 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098186699 765123580 /nfs/dbraw/zinc/12/35/80/765123580.db2.gz GIOMMGXHZSXGOQ-NSHDSACASA-N 1 2 320.441 1.765 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCO[C@H](C[NH2+]Cc2nc(C)no2)C1 ZINC001051802517 765174870 /nfs/dbraw/zinc/17/48/70/765174870.db2.gz CPMBIQGAZCGJPJ-CZUORRHYSA-N 1 2 322.409 1.297 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)[C@@H](C)NC(=O)Cn2cc[nH+]c2)n1 ZINC001113081571 765232847 /nfs/dbraw/zinc/23/28/47/765232847.db2.gz FRGLZJGIPLPPCS-CHWSQXEVSA-N 1 2 312.377 1.464 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C2C[NH+](CC3=CCCCC3)C2)nn1 ZINC001044022744 765239817 /nfs/dbraw/zinc/23/98/17/765239817.db2.gz RVQISWRYWXTMKN-UHFFFAOYSA-N 1 2 315.421 1.721 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(C(F)(F)F)n[nH]2)C1 ZINC001044048570 765254710 /nfs/dbraw/zinc/25/47/10/765254710.db2.gz FECBWYVARAOBLC-UHFFFAOYSA-N 1 2 302.300 1.761 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)C1CN(Cc2ccccc2C#N)C1 ZINC001044090318 765292561 /nfs/dbraw/zinc/29/25/61/765292561.db2.gz HXDAXXJKMFWKMH-UHFFFAOYSA-N 1 2 309.373 1.167 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(-n3ccnc3)ccn2)C1 ZINC001044137275 765334245 /nfs/dbraw/zinc/33/42/45/765334245.db2.gz YBHKBSBVXWMYSL-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(CC)nn(C)c2Cl)C1 ZINC001044157636 765352391 /nfs/dbraw/zinc/35/23/91/765352391.db2.gz QEEJKWBIQXQBOC-UHFFFAOYSA-N 1 2 308.813 1.415 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccncc3F)C2)CC1 ZINC001052002368 765369111 /nfs/dbraw/zinc/36/91/11/765369111.db2.gz GVSLOXNPMQPUEW-AWEZNQCLSA-N 1 2 318.396 1.239 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@]3(C)CC=CCC3)C2)CC1 ZINC001052030386 765405743 /nfs/dbraw/zinc/40/57/43/765405743.db2.gz MSIQFIOSPUFVFX-PKOBYXMFSA-N 1 2 315.461 1.585 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H](C)C(C)(C)C)C2)CC1 ZINC001052043120 765416044 /nfs/dbraw/zinc/41/60/44/765416044.db2.gz PFXFDPOITDQTJV-IRXDYDNUSA-N 1 2 319.493 1.910 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccc(C#C)cc3)C2)CC1 ZINC001052061253 765432639 /nfs/dbraw/zinc/43/26/39/765432639.db2.gz VKTXBLAMBGUSEU-IBGZPJMESA-N 1 2 321.424 1.133 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(OC)ccc2OC)C1 ZINC001044276614 765443068 /nfs/dbraw/zinc/44/30/68/765443068.db2.gz FWMKERYZEDVILA-UHFFFAOYSA-N 1 2 302.374 1.483 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2nnn(C(C)C)c2C)CC1 ZINC001113470309 765721786 /nfs/dbraw/zinc/72/17/86/765721786.db2.gz ONHNFDWDPRSMDC-UHFFFAOYSA-N 1 2 321.425 1.128 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCOCC3CC3)CC2)nc1 ZINC001113559560 765864658 /nfs/dbraw/zinc/86/46/58/765864658.db2.gz YJCSOKNKDQJDPS-UHFFFAOYSA-N 1 2 313.401 1.247 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)N(C)c1[nH+]cnc2c1cnn2C ZINC001113582993 765913212 /nfs/dbraw/zinc/91/32/12/765913212.db2.gz UWFXPWBFTQQWHK-LBPRGKRZSA-N 1 2 314.393 1.108 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCCc2nc(CCC)no2)CC1 ZINC001113628242 765949304 /nfs/dbraw/zinc/94/93/04/765949304.db2.gz SSHBSSGPJGYFPU-UHFFFAOYSA-N 1 2 318.421 1.512 20 30 DDEDLO Cc1cc(N(C)[C@H](C)CNC(=O)Cn2cc[nH+]c2)c(C#N)cn1 ZINC001113646120 765975220 /nfs/dbraw/zinc/97/52/20/765975220.db2.gz IZYNRJADPJOYEB-CYBMUJFWSA-N 1 2 312.377 1.099 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001113713472 766053535 /nfs/dbraw/zinc/05/35/35/766053535.db2.gz RDIOAYKIFKRQPB-OAHLLOKOSA-N 1 2 310.438 1.538 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113724906 766065826 /nfs/dbraw/zinc/06/58/26/766065826.db2.gz KFVVMGRZVTWOGS-BXUZGUMPSA-N 1 2 318.421 1.381 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CCN(c3cc[nH+]c(C)n3)CC2)cn1 ZINC001057886480 766117580 /nfs/dbraw/zinc/11/75/80/766117580.db2.gz XLNWMVUOVQTHSK-UHFFFAOYSA-N 1 2 321.384 1.560 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC1CCN(c2ncccc2C#N)CC1 ZINC001057888328 766118497 /nfs/dbraw/zinc/11/84/97/766118497.db2.gz LPCSWQYTDCTMQM-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001058188227 766295827 /nfs/dbraw/zinc/29/58/27/766295827.db2.gz MLEXTYBOCJYVIH-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001058247310 766323905 /nfs/dbraw/zinc/32/39/05/766323905.db2.gz OLMANUIUYJKRHJ-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO C#CC[NH+]1CCC(C)(NC(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)CC1 ZINC001045506422 766362723 /nfs/dbraw/zinc/36/27/23/766362723.db2.gz NBBQGBHOLKJFPK-XJKSGUPXSA-N 1 2 314.433 1.680 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCN(c3cc[nH+]c(C)n3)C2)cn1 ZINC001058407370 766496916 /nfs/dbraw/zinc/49/69/16/766496916.db2.gz JGNBYODRVRCMMU-OAHLLOKOSA-N 1 2 307.357 1.170 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001113998867 766512619 /nfs/dbraw/zinc/51/26/19/766512619.db2.gz FIKCIJMSVVUKKD-TTZDDIAXSA-N 1 2 316.405 1.004 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001113998867 766512628 /nfs/dbraw/zinc/51/26/28/766512628.db2.gz FIKCIJMSVVUKKD-TTZDDIAXSA-N 1 2 316.405 1.004 20 30 DDEDLO N#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001086612595 766536143 /nfs/dbraw/zinc/53/61/43/766536143.db2.gz YRTSWAXIAKETSD-JKSUJKDBSA-N 1 2 309.373 1.060 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C(C)C)o3)C[C@H]21 ZINC001114051543 766585354 /nfs/dbraw/zinc/58/53/54/766585354.db2.gz XEAWWDKEQKAUFY-LAQFHYBYSA-N 1 2 319.405 1.547 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C(C)C)o3)C[C@H]21 ZINC001114051543 766585360 /nfs/dbraw/zinc/58/53/60/766585360.db2.gz XEAWWDKEQKAUFY-LAQFHYBYSA-N 1 2 319.405 1.547 20 30 DDEDLO C[C@@H]1CCN(c2ccc(C#N)nc2)C[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067964795 766842972 /nfs/dbraw/zinc/84/29/72/766842972.db2.gz IRHSBZLZSSSNSO-CZUORRHYSA-N 1 2 324.388 1.181 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C3CC4(CCC4)C3)CC2)C1 ZINC001046055801 766865415 /nfs/dbraw/zinc/86/54/15/766865415.db2.gz RHIDKLQYUASFSD-MRXNPFEDSA-N 1 2 316.449 1.309 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C[C@@H](C)C2CC2)[C@@H](n2ccnn2)C1 ZINC001129465877 766899337 /nfs/dbraw/zinc/89/93/37/766899337.db2.gz SIHJZDPRPCCOGE-BMFZPTHFSA-N 1 2 315.421 1.079 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C[C@@H](C)C2CC2)[C@@H](n2ccnn2)C1 ZINC001129465877 766899351 /nfs/dbraw/zinc/89/93/51/766899351.db2.gz SIHJZDPRPCCOGE-BMFZPTHFSA-N 1 2 315.421 1.079 20 30 DDEDLO C=CCn1c(N2CC=C(C)CC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001121608198 782589423 /nfs/dbraw/zinc/58/94/23/782589423.db2.gz SOMLNUFXRZYYNZ-YOEHRIQHSA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1c(N2CC=C(C)CC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121608198 782589431 /nfs/dbraw/zinc/58/94/31/782589431.db2.gz SOMLNUFXRZYYNZ-YOEHRIQHSA-N 1 2 317.437 1.532 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001068500951 767379053 /nfs/dbraw/zinc/37/90/53/767379053.db2.gz NFVOOYKMFLPEHI-MQMHXKEQSA-N 1 2 310.361 1.157 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001046434967 767563728 /nfs/dbraw/zinc/56/37/28/767563728.db2.gz VHBAJULHLGHSEA-XJKSGUPXSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001046434967 767563735 /nfs/dbraw/zinc/56/37/35/767563735.db2.gz VHBAJULHLGHSEA-XJKSGUPXSA-N 1 2 322.840 1.779 20 30 DDEDLO C[C@@]1(NC(=O)c2cn[nH]n2)CC[N@H+](Cc2cccc(C#N)c2)C1 ZINC001046438722 767569169 /nfs/dbraw/zinc/56/91/69/767569169.db2.gz FXSDXGIMQMMUSD-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@@]1(NC(=O)c2cn[nH]n2)CC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC001046438722 767569173 /nfs/dbraw/zinc/56/91/73/767569173.db2.gz FXSDXGIMQMMUSD-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@@]1(NC(=O)c2cnn[nH]2)CC[N@H+](Cc2cccc(C#N)c2)C1 ZINC001046438722 767569179 /nfs/dbraw/zinc/56/91/79/767569179.db2.gz FXSDXGIMQMMUSD-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@@]1(NC(=O)c2cnn[nH]2)CC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC001046438722 767569182 /nfs/dbraw/zinc/56/91/82/767569182.db2.gz FXSDXGIMQMMUSD-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001046450072 767585867 /nfs/dbraw/zinc/58/58/67/767585867.db2.gz OTFYOBJJYAZJJZ-HNNXBMFYSA-N 1 2 319.796 1.856 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001046450072 767585870 /nfs/dbraw/zinc/58/58/70/767585870.db2.gz OTFYOBJJYAZJJZ-HNNXBMFYSA-N 1 2 319.796 1.856 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCN(C(=O)Cn3cc[nH+]c3)[C@@H]2C)n1 ZINC001068863616 767667655 /nfs/dbraw/zinc/66/76/55/767667655.db2.gz ADBNBLGSKWZGCD-HIFRSBDPSA-N 1 2 324.388 1.560 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001068866093 767669768 /nfs/dbraw/zinc/66/97/68/767669768.db2.gz YHLNAIIZTKWTFV-GXTWGEPZSA-N 1 2 310.361 1.251 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001068866094 767669922 /nfs/dbraw/zinc/66/99/22/767669922.db2.gz YHLNAIIZTKWTFV-OCCSQVGLSA-N 1 2 310.361 1.251 20 30 DDEDLO C[C@@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)Cc1c[nH+]cn1C ZINC001068872907 767672639 /nfs/dbraw/zinc/67/26/39/767672639.db2.gz SOHAVFNCQFITHJ-IUODEOHRSA-N 1 2 324.388 1.331 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3ccn(C)n3)C2)c1 ZINC001046606384 767732881 /nfs/dbraw/zinc/73/28/81/767732881.db2.gz DCQYSFHUEIWJDP-SFHVURJKSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@]2(C)CC[N@H+](Cc3ccn(C)n3)C2)c1 ZINC001046606384 767732883 /nfs/dbraw/zinc/73/28/83/767732883.db2.gz DCQYSFHUEIWJDP-SFHVURJKSA-N 1 2 323.400 1.191 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2nocc2C)C1 ZINC001046629280 767753330 /nfs/dbraw/zinc/75/33/30/767753330.db2.gz BRBQOTRNPARUJA-KMFMINBZSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2nocc2C)C1 ZINC001046629280 767753334 /nfs/dbraw/zinc/75/33/34/767753334.db2.gz BRBQOTRNPARUJA-KMFMINBZSA-N 1 2 319.405 1.655 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@]2(C)CC[N@H+](CC(=C)Cl)C2)nn1 ZINC001046686774 767803795 /nfs/dbraw/zinc/80/37/95/767803795.db2.gz PUNRQZKJULBNBZ-AWEZNQCLSA-N 1 2 309.801 1.411 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@]2(C)CC[N@@H+](CC(=C)Cl)C2)nn1 ZINC001046686774 767803803 /nfs/dbraw/zinc/80/38/03/767803803.db2.gz PUNRQZKJULBNBZ-AWEZNQCLSA-N 1 2 309.801 1.411 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCn2ccnn2)CC[C@@H]1C ZINC001131992530 768545644 /nfs/dbraw/zinc/54/56/44/768545644.db2.gz MORBWFRAZZBVDC-STQMWFEESA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCn2ccnn2)CC[C@@H]1C ZINC001131992530 768545647 /nfs/dbraw/zinc/54/56/47/768545647.db2.gz MORBWFRAZZBVDC-STQMWFEESA-N 1 2 311.817 1.390 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]2C)on1 ZINC001132021949 768559087 /nfs/dbraw/zinc/55/90/87/768559087.db2.gz SGEYGTSZLIRSKZ-KBPBESRZSA-N 1 2 319.405 1.812 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]2C)on1 ZINC001132021949 768559090 /nfs/dbraw/zinc/55/90/90/768559090.db2.gz SGEYGTSZLIRSKZ-KBPBESRZSA-N 1 2 319.405 1.812 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2coc(C)n2)C1 ZINC001132028979 768587657 /nfs/dbraw/zinc/58/76/57/768587657.db2.gz FAVUFYFJQWJIJP-UKRRQHHQSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2coc(C)n2)C1 ZINC001132028979 768587658 /nfs/dbraw/zinc/58/76/58/768587658.db2.gz FAVUFYFJQWJIJP-UKRRQHHQSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc3ncsc3c2)C1 ZINC001047618796 768616574 /nfs/dbraw/zinc/61/65/74/768616574.db2.gz OYJSSVFTTXEIMF-KBPBESRZSA-N 1 2 315.398 1.047 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc3ncsc3c2)C1 ZINC001047618796 768616578 /nfs/dbraw/zinc/61/65/78/768616578.db2.gz OYJSSVFTTXEIMF-KBPBESRZSA-N 1 2 315.398 1.047 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)c2ccccc2)C[C@H]1NCC#N ZINC001070615574 768619541 /nfs/dbraw/zinc/61/95/41/768619541.db2.gz GPEIMDQSOULIHP-HUUCEWRRSA-N 1 2 309.373 1.141 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C(C)(C)C2CC2)C[N@@H+]1CC(=O)NCC#N ZINC001132223958 768696592 /nfs/dbraw/zinc/69/65/92/768696592.db2.gz IQDNZXIBXAHFTR-GXTWGEPZSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C(C)(C)C2CC2)C[N@H+]1CC(=O)NCC#N ZINC001132223958 768696597 /nfs/dbraw/zinc/69/65/97/768696597.db2.gz IQDNZXIBXAHFTR-GXTWGEPZSA-N 1 2 320.437 1.031 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132326514 768765942 /nfs/dbraw/zinc/76/59/42/768765942.db2.gz DZIKBKSCOAYABW-ZIAGYGMSSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132326514 768765945 /nfs/dbraw/zinc/76/59/45/768765945.db2.gz DZIKBKSCOAYABW-ZIAGYGMSSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)c2cc(Cl)c[nH]2)CC1 ZINC001070936952 768797242 /nfs/dbraw/zinc/79/72/42/768797242.db2.gz BUUUUJYYNPFFRX-UHFFFAOYSA-N 1 2 324.812 1.118 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)c2cc(Cl)c[nH]2)CC1 ZINC001070936952 768797243 /nfs/dbraw/zinc/79/72/43/768797243.db2.gz BUUUUJYYNPFFRX-UHFFFAOYSA-N 1 2 324.812 1.118 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)c2c[nH]cc2C)CC1 ZINC001071020450 768888794 /nfs/dbraw/zinc/88/87/94/768888794.db2.gz DAHVWWVQTSWYJV-UHFFFAOYSA-N 1 2 318.421 1.163 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)c2c[nH]cc2C)CC1 ZINC001071020450 768888807 /nfs/dbraw/zinc/88/88/07/768888807.db2.gz DAHVWWVQTSWYJV-UHFFFAOYSA-N 1 2 318.421 1.163 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)CS(=O)(=O)CCC)CC[C@H]1C ZINC001132499148 768916161 /nfs/dbraw/zinc/91/61/61/768916161.db2.gz CLFVTAYZHWPTNK-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)CS(=O)(=O)CCC)CC[C@H]1C ZINC001132499148 768916166 /nfs/dbraw/zinc/91/61/66/768916166.db2.gz CLFVTAYZHWPTNK-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO CCC(C)(CC)C(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132505906 768936585 /nfs/dbraw/zinc/93/65/85/768936585.db2.gz WNLXOGFLSTYGRK-KBPBESRZSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(C)(CC)C(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132505906 768936590 /nfs/dbraw/zinc/93/65/90/768936590.db2.gz WNLXOGFLSTYGRK-KBPBESRZSA-N 1 2 322.453 1.422 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)c1cc[nH]n1)C[C@@H](C)O2 ZINC001071138785 769004780 /nfs/dbraw/zinc/00/47/80/769004780.db2.gz KNPIEOFYJMURJA-IUODEOHRSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)c1cc[nH]n1)C[C@@H](C)O2 ZINC001071138785 769004791 /nfs/dbraw/zinc/00/47/91/769004791.db2.gz KNPIEOFYJMURJA-IUODEOHRSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@@H](C)O2 ZINC001071138785 769004800 /nfs/dbraw/zinc/00/48/00/769004800.db2.gz KNPIEOFYJMURJA-IUODEOHRSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@@H](C)O2 ZINC001071138785 769004810 /nfs/dbraw/zinc/00/48/10/769004810.db2.gz KNPIEOFYJMURJA-IUODEOHRSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001133383374 769763424 /nfs/dbraw/zinc/76/34/24/769763424.db2.gz OSMRFZOYIPIIQJ-AWEZNQCLSA-N 1 2 312.439 1.745 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(=O)n(C)c2)CC[C@@H]1C ZINC001071641651 769776628 /nfs/dbraw/zinc/77/66/28/769776628.db2.gz CSNWJGXQYKQOMF-GXTWGEPZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(=O)n(C)c2)CC[C@@H]1C ZINC001071641651 769776632 /nfs/dbraw/zinc/77/66/32/769776632.db2.gz CSNWJGXQYKQOMF-GXTWGEPZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)CCCn2cc[nH+]c2)[C@@H](C)C1 ZINC001071778280 770051719 /nfs/dbraw/zinc/05/17/19/770051719.db2.gz RNMIIHZGZLAROD-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@H]1C ZINC001072077034 770517889 /nfs/dbraw/zinc/51/78/89/770517889.db2.gz ZLUNNIIZHCBMFI-ZYHUDNBSSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@H]1C ZINC001072077034 770517899 /nfs/dbraw/zinc/51/78/99/770517899.db2.gz ZLUNNIIZHCBMFI-ZYHUDNBSSA-N 1 2 324.812 1.449 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001072498911 770984492 /nfs/dbraw/zinc/98/44/92/770984492.db2.gz PULUHUYLNLDAOM-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1csnn1 ZINC001049626353 771030458 /nfs/dbraw/zinc/03/04/58/771030458.db2.gz KQUHGLKNGIPKDS-NWDGAFQWSA-N 1 2 312.826 1.970 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1csnn1 ZINC001049626353 771030462 /nfs/dbraw/zinc/03/04/62/771030462.db2.gz KQUHGLKNGIPKDS-NWDGAFQWSA-N 1 2 312.826 1.970 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891217 771237244 /nfs/dbraw/zinc/23/72/44/771237244.db2.gz DRQQZHNUVMEJJV-HZPDHXFCSA-N 1 2 309.373 1.270 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891217 771237248 /nfs/dbraw/zinc/23/72/48/771237248.db2.gz DRQQZHNUVMEJJV-HZPDHXFCSA-N 1 2 309.373 1.270 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001097002064 771568191 /nfs/dbraw/zinc/56/81/91/771568191.db2.gz SHLUIFUWPOVEAG-HAQNSBGRSA-N 1 2 304.398 1.271 20 30 DDEDLO Cc1nc(N2C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001090685341 772107775 /nfs/dbraw/zinc/10/77/75/772107775.db2.gz BGBXOGUEFZRBQW-GPCCPHFNSA-N 1 2 301.394 1.502 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001091450983 772772715 /nfs/dbraw/zinc/77/27/15/772772715.db2.gz SLNHWPRNQLYDAN-NNUKFRKNSA-N 1 2 324.388 1.616 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1C[C@H](Nc2ccc(C#N)nc2)C1 ZINC001091472032 772777929 /nfs/dbraw/zinc/77/79/29/772777929.db2.gz ZTWZOMRTDLPWLD-NNUKFRKNSA-N 1 2 324.388 1.534 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001091476293 772779772 /nfs/dbraw/zinc/77/97/72/772779772.db2.gz HJTKYBMPVFEMQK-JOCQHMNTSA-N 1 2 310.361 1.226 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1coc(C)n1)C2 ZINC001147250157 773079294 /nfs/dbraw/zinc/07/92/94/773079294.db2.gz FMOATNQZHLLVPS-UHFFFAOYSA-N 1 2 305.378 1.220 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+](Cc1coc(C)n1)C2 ZINC001147250157 773079295 /nfs/dbraw/zinc/07/92/95/773079295.db2.gz FMOATNQZHLLVPS-UHFFFAOYSA-N 1 2 305.378 1.220 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC2(C1)CC[N@H+](Cc1ccon1)C2 ZINC001147422155 773139712 /nfs/dbraw/zinc/13/97/12/773139712.db2.gz CIQHPVHTVAJWFR-OAHLLOKOSA-N 1 2 305.378 1.036 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC2(C1)CC[N@@H+](Cc1ccon1)C2 ZINC001147422155 773139717 /nfs/dbraw/zinc/13/97/17/773139717.db2.gz CIQHPVHTVAJWFR-OAHLLOKOSA-N 1 2 305.378 1.036 20 30 DDEDLO C=CCOCC[N@H+]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001147589890 773176810 /nfs/dbraw/zinc/17/68/10/773176810.db2.gz FPNBOWYRHRITBB-LLVKDONJSA-N 1 2 324.318 1.624 20 30 DDEDLO C=CCOCC[N@@H+]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001147589890 773176814 /nfs/dbraw/zinc/17/68/14/773176814.db2.gz FPNBOWYRHRITBB-LLVKDONJSA-N 1 2 324.318 1.624 20 30 DDEDLO C=CCOCC[N@H+]1CCC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001147589890 773176818 /nfs/dbraw/zinc/17/68/18/773176818.db2.gz FPNBOWYRHRITBB-LLVKDONJSA-N 1 2 324.318 1.624 20 30 DDEDLO C=CCOCC[N@@H+]1CCC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001147589890 773176820 /nfs/dbraw/zinc/17/68/20/773176820.db2.gz FPNBOWYRHRITBB-LLVKDONJSA-N 1 2 324.318 1.624 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691748 773389972 /nfs/dbraw/zinc/38/99/72/773389972.db2.gz YAHQLPIGCZUBQW-WZRBSPASSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691748 773389981 /nfs/dbraw/zinc/38/99/81/773389981.db2.gz YAHQLPIGCZUBQW-WZRBSPASSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691748 773389991 /nfs/dbraw/zinc/38/99/91/773389991.db2.gz YAHQLPIGCZUBQW-WZRBSPASSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@]2(C)C1 ZINC001091691748 773389997 /nfs/dbraw/zinc/38/99/97/773389997.db2.gz YAHQLPIGCZUBQW-WZRBSPASSA-N 1 2 306.303 1.690 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001073999418 773578792 /nfs/dbraw/zinc/57/87/92/773578792.db2.gz MMBRLJOEDXQQGR-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccc(=O)[nH]3)C[C@H]21 ZINC001074202183 773724928 /nfs/dbraw/zinc/72/49/28/773724928.db2.gz WALIZGKFROEXCY-CABCVRRESA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3cccc(=O)[nH]3)C[C@H]21 ZINC001074202183 773724934 /nfs/dbraw/zinc/72/49/34/773724934.db2.gz WALIZGKFROEXCY-CABCVRRESA-N 1 2 317.389 1.279 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3C(C)(C)C3(C)C)C[C@H]21 ZINC001074202019 773725262 /nfs/dbraw/zinc/72/52/62/773725262.db2.gz VDGDHMQBQSHWJY-CABCVRRESA-N 1 2 318.461 1.994 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3C(C)(C)C3(C)C)C[C@H]21 ZINC001074202019 773725267 /nfs/dbraw/zinc/72/52/67/773725267.db2.gz VDGDHMQBQSHWJY-CABCVRRESA-N 1 2 318.461 1.994 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3C)C[C@@H]21 ZINC001074208312 773731451 /nfs/dbraw/zinc/73/14/51/773731451.db2.gz GUGKXJGFMGMLJS-HOTGVXAUSA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3C)C[C@@H]21 ZINC001074208312 773731454 /nfs/dbraw/zinc/73/14/54/773731454.db2.gz GUGKXJGFMGMLJS-HOTGVXAUSA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccc(C)o3)C[C@H]21 ZINC001074218968 773741380 /nfs/dbraw/zinc/74/13/80/773741380.db2.gz IFWJHBMUJMAOBU-IAGOWNOFSA-N 1 2 316.401 1.456 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccc(C)o3)C[C@H]21 ZINC001074218968 773741382 /nfs/dbraw/zinc/74/13/82/773741382.db2.gz IFWJHBMUJMAOBU-IAGOWNOFSA-N 1 2 316.401 1.456 20 30 DDEDLO CC#CC[NH+]1CCC2(C[C@@H](C)N(C(=O)Cc3ncn[nH]3)C2)CC1 ZINC001086952816 773742617 /nfs/dbraw/zinc/74/26/17/773742617.db2.gz RAJCKWZTHVJXGQ-CQSZACIVSA-N 1 2 315.421 1.074 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccncc3C)C[C@@H]21 ZINC001074232553 773755810 /nfs/dbraw/zinc/75/58/10/773755810.db2.gz BKHTZMIRRARWDZ-IRXDYDNUSA-N 1 2 315.417 1.881 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccncc3C)C[C@@H]21 ZINC001074232553 773755813 /nfs/dbraw/zinc/75/58/13/773755813.db2.gz BKHTZMIRRARWDZ-IRXDYDNUSA-N 1 2 315.417 1.881 20 30 DDEDLO N#Cc1ccc(NC2CC(CNC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001092234341 774022810 /nfs/dbraw/zinc/02/28/10/774022810.db2.gz TZDFCYDRUJORGJ-UHFFFAOYSA-N 1 2 310.361 1.226 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CCCOC1)c1nccn12 ZINC001092351322 774069644 /nfs/dbraw/zinc/06/96/44/774069644.db2.gz BEQXIRRBZYJZIB-KBPBESRZSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccn(C)c1)c1nccn12 ZINC001092363369 774077635 /nfs/dbraw/zinc/07/76/35/774077635.db2.gz MDJWYQKCNFMOJR-CQSZACIVSA-N 1 2 311.389 1.293 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001075046289 774318706 /nfs/dbraw/zinc/31/87/06/774318706.db2.gz TVSCNQWEXOEXLO-HUUCEWRRSA-N 1 2 316.405 1.038 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2[nH]ncc2F)[C@H](C)C1 ZINC001092938839 774333639 /nfs/dbraw/zinc/33/36/39/774333639.db2.gz FFWULDJHRBHJID-PSASIEDQSA-N 1 2 300.765 1.599 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2[nH]ncc2F)[C@H](C)C1 ZINC001092938839 774333646 /nfs/dbraw/zinc/33/36/46/774333646.db2.gz FFWULDJHRBHJID-PSASIEDQSA-N 1 2 300.765 1.599 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001075097219 774347806 /nfs/dbraw/zinc/34/78/06/774347806.db2.gz MPHDCBYQRGQIKV-KGLIPLIRSA-N 1 2 318.421 1.591 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2[C@H](CC[N@@H+]2Cc2nncs2)C1 ZINC001036713544 774385225 /nfs/dbraw/zinc/38/52/25/774385225.db2.gz KVALGRVWUQLPOT-KGLIPLIRSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2[C@H](CC[N@H+]2Cc2nncs2)C1 ZINC001036713544 774385233 /nfs/dbraw/zinc/38/52/33/774385233.db2.gz KVALGRVWUQLPOT-KGLIPLIRSA-N 1 2 316.430 1.374 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H]3C[C@H](C)CO3)CC2)C1 ZINC001093553983 774799683 /nfs/dbraw/zinc/79/96/83/774799683.db2.gz ZURSJTOJBWPBBP-YOEHRIQHSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3conc3C)CC2)C1 ZINC001093566218 774836386 /nfs/dbraw/zinc/83/63/86/774836386.db2.gz QAOIPGMBLNMYNX-UHFFFAOYSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3c(C)n[nH]c3C)CC2)C1 ZINC001093584326 774862508 /nfs/dbraw/zinc/86/25/08/774862508.db2.gz JFTFZUIGBPNWOD-UHFFFAOYSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCOCC(=O)NCCNc1nc(C)[nH+]c2c1CCCC2 ZINC001093692292 775001134 /nfs/dbraw/zinc/00/11/34/775001134.db2.gz XHELZXDLNMMXHK-UHFFFAOYSA-N 1 2 318.421 1.785 20 30 DDEDLO C#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)C3CC3)c2)nn1 ZINC001099600640 775059648 /nfs/dbraw/zinc/05/96/48/775059648.db2.gz WPDYADJZCXDQLH-UHFFFAOYSA-N 1 2 309.373 1.016 20 30 DDEDLO C#CCOCCC(=O)NCCNc1nc(C)[nH+]c2c1CCCC2 ZINC001093893298 775228066 /nfs/dbraw/zinc/22/80/66/775228066.db2.gz UVPXKUMVVSXHRQ-UHFFFAOYSA-N 1 2 316.405 1.232 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099806731 775304742 /nfs/dbraw/zinc/30/47/42/775304742.db2.gz FUDFKTZGPNGGFL-HOTGVXAUSA-N 1 2 319.380 1.076 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099806731 775304755 /nfs/dbraw/zinc/30/47/55/775304755.db2.gz FUDFKTZGPNGGFL-HOTGVXAUSA-N 1 2 319.380 1.076 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001094051442 775375472 /nfs/dbraw/zinc/37/54/72/775375472.db2.gz WVOXVUYGNCCHHR-LLVKDONJSA-N 1 2 302.382 1.494 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001094099359 775430871 /nfs/dbraw/zinc/43/08/71/775430871.db2.gz ZIOSSXTVUQJWOJ-OAHLLOKOSA-N 1 2 302.382 1.494 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099976816 775508625 /nfs/dbraw/zinc/50/86/25/775508625.db2.gz RFDXPAUJBJCAPI-JKSUJKDBSA-N 1 2 321.396 1.628 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099976816 775508633 /nfs/dbraw/zinc/50/86/33/775508633.db2.gz RFDXPAUJBJCAPI-JKSUJKDBSA-N 1 2 321.396 1.628 20 30 DDEDLO Cc1nsc(NCCNC(=O)C[C@@H](C)n2cc[nH+]c2)c1C#N ZINC001094168138 775512262 /nfs/dbraw/zinc/51/22/62/775512262.db2.gz UVUOZUZESKAMPA-SNVBAGLBSA-N 1 2 318.406 1.699 20 30 DDEDLO Cc1nsc(NCCNC(=O)CCc2c[nH]c[nH+]2)c1C#N ZINC001094225472 775595643 /nfs/dbraw/zinc/59/56/43/775595643.db2.gz WJRIUKZYTYHEPN-UHFFFAOYSA-N 1 2 304.379 1.207 20 30 DDEDLO Cc1nsc(NCCNC(=O)CCc2c[nH+]c[nH]2)c1C#N ZINC001094225472 775595649 /nfs/dbraw/zinc/59/56/49/775595649.db2.gz WJRIUKZYTYHEPN-UHFFFAOYSA-N 1 2 304.379 1.207 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCn2cccc2)[C@@H](O)C1 ZINC001100050294 775619539 /nfs/dbraw/zinc/61/95/39/775619539.db2.gz GFPZATYVMVYRRV-KBPBESRZSA-N 1 2 311.813 1.182 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCn2cccc2)[C@@H](O)C1 ZINC001100050294 775619542 /nfs/dbraw/zinc/61/95/42/775619542.db2.gz GFPZATYVMVYRRV-KBPBESRZSA-N 1 2 311.813 1.182 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@]3(C)C(C)C)nn2)C1 ZINC001094332316 775714705 /nfs/dbraw/zinc/71/47/05/775714705.db2.gz QZZGQNSUYHCNCL-NVXWUHKLSA-N 1 2 317.437 1.619 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC[C@@H](C)C3)nn2)C1 ZINC001094323875 775750382 /nfs/dbraw/zinc/75/03/82/775750382.db2.gz HVIZRBYXVVRQFG-ZIAGYGMSSA-N 1 2 317.437 1.763 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCCN(C)c1ccc(C#N)nc1 ZINC001100368094 776062154 /nfs/dbraw/zinc/06/21/54/776062154.db2.gz MIFAQLWCJOTVIX-GFCCVEGCSA-N 1 2 312.377 1.108 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCCN(C)c1ccc(C#N)nc1 ZINC001100368094 776062162 /nfs/dbraw/zinc/06/21/62/776062162.db2.gz MIFAQLWCJOTVIX-GFCCVEGCSA-N 1 2 312.377 1.108 20 30 DDEDLO CN(CCNC(=O)c1cc2c[nH+]ccc2[nH]1)c1cncc(C#N)n1 ZINC001100404368 776106107 /nfs/dbraw/zinc/10/61/07/776106107.db2.gz XOCWZBUKCZQYEH-UHFFFAOYSA-N 1 2 321.344 1.091 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H]3[C@@H]4CCCC[C@@H]43)CC2=O)C1 ZINC001094717367 776184799 /nfs/dbraw/zinc/18/47/99/776184799.db2.gz IPJDJGHTCOOHMM-MKVSYHDVSA-N 1 2 317.433 1.010 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3CC(C)C3)CC2=O)C1 ZINC001094720132 776187118 /nfs/dbraw/zinc/18/71/18/776187118.db2.gz JUVSOBRDPKKXCE-RUXDESIVSA-N 1 2 305.422 1.010 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3(C)CC=CC3)CC2=O)C1 ZINC001094779573 776270165 /nfs/dbraw/zinc/27/01/65/776270165.db2.gz OWBPDSWMULPCET-AWEZNQCLSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@]34C[C@@H]3CCCC4)CC2=O)C1 ZINC001094782272 776274334 /nfs/dbraw/zinc/27/43/34/776274334.db2.gz OCWXQYBIGHQMQH-IYOUNJFTSA-N 1 2 317.433 1.154 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3C[C@H]3CCC)CC2=O)C1 ZINC001094785884 776276688 /nfs/dbraw/zinc/27/66/88/776276688.db2.gz QWLGTNXRHKXDBG-VNHYZAJKSA-N 1 2 305.422 1.010 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H]([N@@H+]2CCCNC(=O)C2)C[C@H]1C#N ZINC001172981256 776950937 /nfs/dbraw/zinc/95/09/37/776950937.db2.gz UWWMVFPZKUPXNZ-OLZOCXBDSA-N 1 2 322.409 1.100 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H]([N@H+]2CCCNC(=O)C2)C[C@H]1C#N ZINC001172981256 776950943 /nfs/dbraw/zinc/95/09/43/776950943.db2.gz UWWMVFPZKUPXNZ-OLZOCXBDSA-N 1 2 322.409 1.100 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCCN(c1ccc(C#N)cn1)C1CC1 ZINC001101362976 777097592 /nfs/dbraw/zinc/09/75/92/777097592.db2.gz BUEOPFSDXNWRFX-UHFFFAOYSA-N 1 2 324.388 1.014 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095124646 777149128 /nfs/dbraw/zinc/14/91/28/777149128.db2.gz VNBIIOYLOAHNGR-CQSZACIVSA-N 1 2 304.394 1.415 20 30 DDEDLO Cc1ccc(C#N)c(N(CCNC(=O)Cc2c[nH]c[nH+]2)C2CC2)n1 ZINC001101452179 777149643 /nfs/dbraw/zinc/14/96/43/777149643.db2.gz DANOSUHAPGMIJU-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095124672 777149943 /nfs/dbraw/zinc/14/99/43/777149943.db2.gz WFSFOBVUGYRENQ-TZMCWYRMSA-N 1 2 304.394 1.413 20 30 DDEDLO COC(=O)c1cn2c(n1)C[N@H+](C1CCC(C)(C#N)CC1)CC2 ZINC001173598160 777273308 /nfs/dbraw/zinc/27/33/08/777273308.db2.gz NNPPLZHFQYSROA-UHFFFAOYSA-N 1 2 302.378 1.958 20 30 DDEDLO COC(=O)c1cn2c(n1)C[N@@H+](C1CCC(C)(C#N)CC1)CC2 ZINC001173598160 777273315 /nfs/dbraw/zinc/27/33/15/777273315.db2.gz NNPPLZHFQYSROA-UHFFFAOYSA-N 1 2 302.378 1.958 20 30 DDEDLO CC1(C#N)CCC([NH+]2CC3(C2)[C@H](CF)CCS3(=O)=O)CC1 ZINC001173598300 777273844 /nfs/dbraw/zinc/27/38/44/777273844.db2.gz OYLNKLHJISAVEC-HSBZDZAISA-N 1 2 314.426 1.917 20 30 DDEDLO C#CC[C@H]([NH2+]C1CCC2(C[C@H]2C(=O)OCC)CC1)C(=O)OC ZINC001173603577 777274343 /nfs/dbraw/zinc/27/43/43/777274343.db2.gz GLRSXAFZSUTQBF-CWVKQELPSA-N 1 2 307.390 1.653 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C(\C)C1CC1)c1nccn12 ZINC001101614301 777305816 /nfs/dbraw/zinc/30/58/16/777305816.db2.gz RPHVIVLFMRZWSB-BMQCOBNYSA-N 1 2 312.417 1.997 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](F)C(C)C)c1nccn12 ZINC001101638719 777336277 /nfs/dbraw/zinc/33/62/77/777336277.db2.gz AIDVYHGZBRYDJE-ZIAGYGMSSA-N 1 2 318.396 1.472 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C)no1 ZINC001110183349 777723481 /nfs/dbraw/zinc/72/34/81/777723481.db2.gz RNGZWQSBZUOZIM-VDERGJSUSA-N 1 2 319.405 1.796 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C)no1 ZINC001110183349 777723486 /nfs/dbraw/zinc/72/34/86/777723486.db2.gz RNGZWQSBZUOZIM-VDERGJSUSA-N 1 2 319.405 1.796 20 30 DDEDLO C[C@@H](CC(=O)N(C)CCNc1ccncc1C#N)n1cc[nH+]c1 ZINC001101975949 777757051 /nfs/dbraw/zinc/75/70/51/777757051.db2.gz VEYHSFQHIWTRQZ-ZDUSSCGKSA-N 1 2 312.377 1.093 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001102226397 777956563 /nfs/dbraw/zinc/95/65/63/777956563.db2.gz NVPVRQBFNURDQO-VXGBXAGGSA-N 1 2 308.451 1.934 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001102226397 777956572 /nfs/dbraw/zinc/95/65/72/777956572.db2.gz NVPVRQBFNURDQO-VXGBXAGGSA-N 1 2 308.451 1.934 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC1(Nc2ccc(C#N)nc2)CC1 ZINC001110194563 778102996 /nfs/dbraw/zinc/10/29/96/778102996.db2.gz PSQLHZDUQBZTAH-UHFFFAOYSA-N 1 2 310.361 1.288 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102452205 778148388 /nfs/dbraw/zinc/14/83/88/778148388.db2.gz QUAUMBMSJYBASI-UKRRQHHQSA-N 1 2 316.405 1.108 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccco3)C[C@H]21 ZINC001176889030 778296569 /nfs/dbraw/zinc/29/65/69/778296569.db2.gz ZCWJHYHSDOLLFO-CABCVRRESA-N 1 2 320.389 1.398 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccco3)C[C@H]21 ZINC001176889030 778296570 /nfs/dbraw/zinc/29/65/70/778296570.db2.gz ZCWJHYHSDOLLFO-CABCVRRESA-N 1 2 320.389 1.398 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCOCC3CC3)C[C@H]21 ZINC001177011561 778390987 /nfs/dbraw/zinc/39/09/87/778390987.db2.gz CXAMFKVFLSDYTQ-IAGOWNOFSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCOCC3CC3)C[C@H]21 ZINC001177011561 778390993 /nfs/dbraw/zinc/39/09/93/778390993.db2.gz CXAMFKVFLSDYTQ-IAGOWNOFSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3(COC)CCC3)C[C@H]21 ZINC001177050164 778412841 /nfs/dbraw/zinc/41/28/41/778412841.db2.gz YENQPKYTCFGWQB-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(COC)CCC3)C[C@H]21 ZINC001177050164 778412846 /nfs/dbraw/zinc/41/28/46/778412846.db2.gz YENQPKYTCFGWQB-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC3(C)CC3)C[C@@H]21 ZINC001177125774 778437372 /nfs/dbraw/zinc/43/73/72/778437372.db2.gz SNYTWPUMRIJAFQ-JKSUJKDBSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC3(C)CC3)C[C@@H]21 ZINC001177125774 778437375 /nfs/dbraw/zinc/43/73/75/778437375.db2.gz SNYTWPUMRIJAFQ-JKSUJKDBSA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102869140 778463063 /nfs/dbraw/zinc/46/30/63/778463063.db2.gz QFNKLZQPGHMHTE-DZGCQCFKSA-N 1 2 318.421 1.851 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCC[NH2+]Cc1noc(C(C)C)n1 ZINC001177269238 778525089 /nfs/dbraw/zinc/52/50/89/778525089.db2.gz IJFCTUMZSUELFK-GFCCVEGCSA-N 1 2 310.398 1.380 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)nn1 ZINC001103056351 778603299 /nfs/dbraw/zinc/60/32/99/778603299.db2.gz JAOAEHDFNOZPBU-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001103356817 778811528 /nfs/dbraw/zinc/81/15/28/778811528.db2.gz AEMAHPXBCIUEKO-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001103356817 778811533 /nfs/dbraw/zinc/81/15/33/778811533.db2.gz AEMAHPXBCIUEKO-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@](CNc1ccc(C#N)cn1)(NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001103544881 778936314 /nfs/dbraw/zinc/93/63/14/778936314.db2.gz IWJBKPCDGJMPOY-QGZVFWFLSA-N 1 2 324.388 1.616 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)[C@@H]1C ZINC001179360444 779359944 /nfs/dbraw/zinc/35/99/44/779359944.db2.gz VGSFQLQTHNRZMQ-KBPBESRZSA-N 1 2 318.421 1.905 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)CNc1cc[nH+]c(C)n1 ZINC001104207066 779373088 /nfs/dbraw/zinc/37/30/88/779373088.db2.gz YINQXSJVTGIKJT-YUTCNCBUSA-N 1 2 304.394 1.540 20 30 DDEDLO C#CCCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnon1)C2 ZINC001111712893 779445526 /nfs/dbraw/zinc/44/55/26/779445526.db2.gz JCXDSSMFJWXGSV-YOEHRIQHSA-N 1 2 316.405 1.734 20 30 DDEDLO C#CCCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnon1)C2 ZINC001111712893 779445527 /nfs/dbraw/zinc/44/55/27/779445527.db2.gz JCXDSSMFJWXGSV-YOEHRIQHSA-N 1 2 316.405 1.734 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2(Nc3cc[nH+]c(C)n3)CCC2)cn1 ZINC001111829041 779502404 /nfs/dbraw/zinc/50/24/04/779502404.db2.gz PNOJIUHSNBDAGH-UHFFFAOYSA-N 1 2 321.384 1.926 20 30 DDEDLO C[C@H](CC(=O)N[C@]12CCC[C@H]1CN(CC#N)C2)n1cc[nH+]c1 ZINC001111885668 779538225 /nfs/dbraw/zinc/53/82/25/779538225.db2.gz YJLZFDFTIHNWLN-YCPHGPKFSA-N 1 2 301.394 1.328 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)Cc3c[nH]c[nH+]3)CCC2)cn1 ZINC001111910770 779554548 /nfs/dbraw/zinc/55/45/48/779554548.db2.gz GBVQWUXDIOXXIA-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO Cc1nc(N(C)CCCN(C)C(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001112061780 779609493 /nfs/dbraw/zinc/60/94/93/779609493.db2.gz MSSUHOMMOLFEIQ-UHFFFAOYSA-N 1 2 312.377 1.583 20 30 DDEDLO C[C@H](CN(C)C(=O)C#CC1CC1)Nc1[nH+]cnc2c1cnn2C ZINC001115714021 780369631 /nfs/dbraw/zinc/36/96/31/780369631.db2.gz XXXXEZXTKDNCHD-LLVKDONJSA-N 1 2 312.377 1.036 20 30 DDEDLO C=C[C@H](COC)NC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC001116621612 780533669 /nfs/dbraw/zinc/53/36/69/780533669.db2.gz YSAKVXVZKCZQSJ-CYBMUJFWSA-N 1 2 302.378 1.685 20 30 DDEDLO CCn1nncc1C[N@H+](CCNC(=O)C#CC(C)C)C1CC1 ZINC001267326114 837831865 /nfs/dbraw/zinc/83/18/65/837831865.db2.gz MYABTGNJGPJXNR-UHFFFAOYSA-N 1 2 303.410 1.038 20 30 DDEDLO CCn1nncc1C[N@@H+](CCNC(=O)C#CC(C)C)C1CC1 ZINC001267326114 837831875 /nfs/dbraw/zinc/83/18/75/837831875.db2.gz MYABTGNJGPJXNR-UHFFFAOYSA-N 1 2 303.410 1.038 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCCCC)C1 ZINC001266333275 836131871 /nfs/dbraw/zinc/13/18/71/836131871.db2.gz KARKZBLRERGCEV-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)NCCCC)C1 ZINC001266333275 836131874 /nfs/dbraw/zinc/13/18/74/836131874.db2.gz KARKZBLRERGCEV-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@@H+](C)Cc2nccnc2C)cn1 ZINC001266350752 836158130 /nfs/dbraw/zinc/15/81/30/836158130.db2.gz QUGBRIPAMMSQBA-UHFFFAOYSA-N 1 2 309.373 1.023 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@H+](C)Cc2nccnc2C)cn1 ZINC001266350752 836158132 /nfs/dbraw/zinc/15/81/32/836158132.db2.gz QUGBRIPAMMSQBA-UHFFFAOYSA-N 1 2 309.373 1.023 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1ncc(C)o1 ZINC001266465235 836299011 /nfs/dbraw/zinc/29/90/11/836299011.db2.gz RGDRWNKIXOTEID-AWEZNQCLSA-N 1 2 305.378 1.104 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1ncc(C)o1 ZINC001266465235 836299021 /nfs/dbraw/zinc/29/90/21/836299021.db2.gz RGDRWNKIXOTEID-AWEZNQCLSA-N 1 2 305.378 1.104 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1CCCC[N@@H+]1Cc1cnnn1C ZINC001266853580 836939249 /nfs/dbraw/zinc/93/92/49/836939249.db2.gz OOQHYRNGDLUCBY-LSDHHAIUSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1CCCC[N@H+]1Cc1cnnn1C ZINC001266853580 836939256 /nfs/dbraw/zinc/93/92/56/836939256.db2.gz OOQHYRNGDLUCBY-LSDHHAIUSA-N 1 2 319.453 1.888 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@H](C)[NH2+]Cc1ncc(C)o1 ZINC001266990156 837158009 /nfs/dbraw/zinc/15/80/09/837158009.db2.gz MEIUAIOVFOHORV-CYBMUJFWSA-N 1 2 311.385 1.801 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([N@@H+](C)Cc2nccn2C)C1 ZINC001267104345 837352546 /nfs/dbraw/zinc/35/25/46/837352546.db2.gz APUWGZPSRWPANN-OAHLLOKOSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([N@H+](C)Cc2nccn2C)C1 ZINC001267104345 837352556 /nfs/dbraw/zinc/35/25/56/837352556.db2.gz APUWGZPSRWPANN-OAHLLOKOSA-N 1 2 302.422 1.646 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[C@@H]1CC[N@H+](Cc2nncs2)C1 ZINC001267556295 838299729 /nfs/dbraw/zinc/29/97/29/838299729.db2.gz OZITWTZIIXIXFN-CYBMUJFWSA-N 1 2 320.462 1.916 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[C@@H]1CC[N@@H+](Cc2nncs2)C1 ZINC001267556295 838299733 /nfs/dbraw/zinc/29/97/33/838299733.db2.gz OZITWTZIIXIXFN-CYBMUJFWSA-N 1 2 320.462 1.916 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(Cc2cnc(C)o2)CC1 ZINC001267605754 838428634 /nfs/dbraw/zinc/42/86/34/838428634.db2.gz AJVJAVSCFMUHET-UHFFFAOYSA-N 1 2 320.437 1.525 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](NC(=O)c2cc(C)co2)C1 ZINC001267619040 838504820 /nfs/dbraw/zinc/50/48/20/838504820.db2.gz YDDVOCNZXPZJQT-ZIAGYGMSSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](NC(=O)c2cc(C)co2)C1 ZINC001267619040 838504826 /nfs/dbraw/zinc/50/48/26/838504826.db2.gz YDDVOCNZXPZJQT-ZIAGYGMSSA-N 1 2 319.405 1.473 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@@H]2CC=CCC2)C1 ZINC001267629033 838527555 /nfs/dbraw/zinc/52/75/55/838527555.db2.gz JQERVUXHJHGCSY-CVEARBPZSA-N 1 2 317.433 1.063 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H]2CC=CCC2)C1 ZINC001267629033 838527560 /nfs/dbraw/zinc/52/75/60/838527560.db2.gz JQERVUXHJHGCSY-CVEARBPZSA-N 1 2 317.433 1.063 20 30 DDEDLO CC(C)C#CC(=O)NC1C[NH+](C[C@@H](O)Cc2ccc(F)cc2)C1 ZINC001267644306 838563155 /nfs/dbraw/zinc/56/31/55/838563155.db2.gz MUBWMHJTBGSOOE-KRWDZBQOSA-N 1 2 318.392 1.189 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C2CCC(F)CC2)C1 ZINC001267748780 838840808 /nfs/dbraw/zinc/84/08/08/838840808.db2.gz GVXZGMQOGUNIMD-JXQTWKCFSA-N 1 2 311.401 1.007 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C2CCC(F)CC2)C1 ZINC001267748780 838840819 /nfs/dbraw/zinc/84/08/19/838840819.db2.gz GVXZGMQOGUNIMD-JXQTWKCFSA-N 1 2 311.401 1.007 20 30 DDEDLO CN(C(=O)C#CC1CC1)[C@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001267763466 838897026 /nfs/dbraw/zinc/89/70/26/838897026.db2.gz XNWIIUWQQXTHLV-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO CN(C(=O)C#CC1CC1)[C@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001267763466 838897035 /nfs/dbraw/zinc/89/70/35/838897035.db2.gz XNWIIUWQQXTHLV-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]1CN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001279785211 839047299 /nfs/dbraw/zinc/04/72/99/839047299.db2.gz HQZIEMQMKZGXAQ-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]1CN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001279785211 839047314 /nfs/dbraw/zinc/04/73/14/839047314.db2.gz HQZIEMQMKZGXAQ-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H](O)C[N@H+](C)CC=C(Cl)Cl ZINC001411162292 850300914 /nfs/dbraw/zinc/30/09/14/850300914.db2.gz ICXXITGPWRYPFA-UWVGGRQHSA-N 1 2 308.209 1.216 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H](O)C[N@@H+](C)CC=C(Cl)Cl ZINC001411162292 850300923 /nfs/dbraw/zinc/30/09/23/850300923.db2.gz ICXXITGPWRYPFA-UWVGGRQHSA-N 1 2 308.209 1.216 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C2(c3cc(C)no3)CC2)C1 ZINC001268025007 839416478 /nfs/dbraw/zinc/41/64/78/839416478.db2.gz AMCWAQWXHGRDHP-ZDUSSCGKSA-N 1 2 305.378 1.018 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C2(c3cc(C)no3)CC2)C1 ZINC001268025007 839416486 /nfs/dbraw/zinc/41/64/86/839416486.db2.gz AMCWAQWXHGRDHP-ZDUSSCGKSA-N 1 2 305.378 1.018 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001268029830 839446442 /nfs/dbraw/zinc/44/64/42/839446442.db2.gz ZTIQQQHEAPXOFK-BBRMVZONSA-N 1 2 306.381 1.932 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001268029830 839446446 /nfs/dbraw/zinc/44/64/46/839446446.db2.gz ZTIQQQHEAPXOFK-BBRMVZONSA-N 1 2 306.381 1.932 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@](C)(CC)CCC)C1 ZINC001268225791 839858925 /nfs/dbraw/zinc/85/89/25/839858925.db2.gz MPJNUIDBBPXEMD-MAUKXSAKSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@](C)(CC)CCC)C1 ZINC001268225791 839858928 /nfs/dbraw/zinc/85/89/28/839858928.db2.gz MPJNUIDBBPXEMD-MAUKXSAKSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)CC[N@H+](C)Cc1nc(C)cs1 ZINC001268237816 839875512 /nfs/dbraw/zinc/87/55/12/839875512.db2.gz PTOVJTRDRUFKET-ZDUSSCGKSA-N 1 2 309.435 1.380 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)CC[N@@H+](C)Cc1nc(C)cs1 ZINC001268237816 839875517 /nfs/dbraw/zinc/87/55/17/839875517.db2.gz PTOVJTRDRUFKET-ZDUSSCGKSA-N 1 2 309.435 1.380 20 30 DDEDLO CCOc1nnc(C[NH2+]CCN(CC)C(=O)C#CC(C)C)s1 ZINC001268448126 840205557 /nfs/dbraw/zinc/20/55/57/840205557.db2.gz DDQSUSYELZFTND-UHFFFAOYSA-N 1 2 324.450 1.534 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C\C[NH2+][C@H](C)c2ncccn2)cn1 ZINC001268618533 840586299 /nfs/dbraw/zinc/58/62/99/840586299.db2.gz RAGOTQUFJUWSLA-ZRUQZJFASA-N 1 2 321.384 1.490 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H](C)C[N@H+](C)Cc1snnc1C ZINC001268728978 840727836 /nfs/dbraw/zinc/72/78/36/840727836.db2.gz KXFUAIHMVMLECR-QMTHXVAHSA-N 1 2 312.439 1.110 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H](C)C[N@@H+](C)Cc1snnc1C ZINC001268728978 840727842 /nfs/dbraw/zinc/72/78/42/840727842.db2.gz KXFUAIHMVMLECR-QMTHXVAHSA-N 1 2 312.439 1.110 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@H]1CCCCN(C)C1=O ZINC001268752094 840753328 /nfs/dbraw/zinc/75/33/28/840753328.db2.gz MOLXQEAWMDWEEB-CHWSQXEVSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@H]1CCCCN(C)C1=O ZINC001268752094 840753332 /nfs/dbraw/zinc/75/33/32/840753332.db2.gz MOLXQEAWMDWEEB-CHWSQXEVSA-N 1 2 315.845 1.434 20 30 DDEDLO CN(CCC[NH2+]Cc1nnsc1Cl)C(=O)C#CC1CC1 ZINC001268791340 840818968 /nfs/dbraw/zinc/81/89/68/840818968.db2.gz KDSNVAGRTTXJDX-UHFFFAOYSA-N 1 2 312.826 1.543 20 30 DDEDLO C#CC(=O)N1CCC2(C[C@@H]2C(=O)Nc2cccc3[nH+]ccn32)CC1 ZINC001268851063 840899812 /nfs/dbraw/zinc/89/98/12/840899812.db2.gz HQXPXHMHWVLGQO-CYBMUJFWSA-N 1 2 322.368 1.535 20 30 DDEDLO CCC#CC(=O)N1CC2(C1)CC[N@H+](Cc1nccn1CC)C2 ZINC001268951468 841033913 /nfs/dbraw/zinc/03/39/13/841033913.db2.gz RRDORJKGLZGTHI-UHFFFAOYSA-N 1 2 300.406 1.351 20 30 DDEDLO CCC#CC(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1CC)C2 ZINC001268951468 841033920 /nfs/dbraw/zinc/03/39/20/841033920.db2.gz RRDORJKGLZGTHI-UHFFFAOYSA-N 1 2 300.406 1.351 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](CCCC)C(N)=O ZINC001268959432 841034080 /nfs/dbraw/zinc/03/40/80/841034080.db2.gz ABPOAEUJTHPTKI-JYJNAYRXSA-N 1 2 319.449 1.509 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](CCCC)C(N)=O ZINC001268959432 841034085 /nfs/dbraw/zinc/03/40/85/841034085.db2.gz ABPOAEUJTHPTKI-JYJNAYRXSA-N 1 2 319.449 1.509 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2occc2[nH]1 ZINC001268947407 841047492 /nfs/dbraw/zinc/04/74/92/841047492.db2.gz NYCMGJKQVVJDRP-STQMWFEESA-N 1 2 313.357 1.309 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2occc2[nH]1 ZINC001268947407 841047500 /nfs/dbraw/zinc/04/75/00/841047500.db2.gz NYCMGJKQVVJDRP-STQMWFEESA-N 1 2 313.357 1.309 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@H+]1[C@H](C)C(=O)N(C)C ZINC001269271775 841451297 /nfs/dbraw/zinc/45/12/97/841451297.db2.gz CYBQRPMGBYVXDC-HUUCEWRRSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@@H+]1[C@H](C)C(=O)N(C)C ZINC001269271775 841451298 /nfs/dbraw/zinc/45/12/98/841451298.db2.gz CYBQRPMGBYVXDC-HUUCEWRRSA-N 1 2 307.438 1.237 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001269273202 841452990 /nfs/dbraw/zinc/45/29/90/841452990.db2.gz SZJAVGFDSPHKIQ-KGLIPLIRSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001269273202 841452996 /nfs/dbraw/zinc/45/29/96/841452996.db2.gz SZJAVGFDSPHKIQ-KGLIPLIRSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)C[C@@H]2CCC[C@@H](OC)C2)C1 ZINC001269799789 842058402 /nfs/dbraw/zinc/05/84/02/842058402.db2.gz HIULZRNSOZMXPF-NVXWUHKLSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cccnc2C2CC2)C1 ZINC001269812957 842072449 /nfs/dbraw/zinc/07/24/49/842072449.db2.gz YKZIIDLDIPHUQV-UHFFFAOYSA-N 1 2 315.417 1.918 20 30 DDEDLO Cc1nonc1C[N@H+]1CCC[C@@](C)(CNC(=O)C#CC(C)C)C1 ZINC001270959314 843092163 /nfs/dbraw/zinc/09/21/63/843092163.db2.gz VUCDTPNBSTYAJI-KRWDZBQOSA-N 1 2 318.421 1.756 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCC[C@@](C)(CNC(=O)C#CC(C)C)C1 ZINC001270959314 843092171 /nfs/dbraw/zinc/09/21/71/843092171.db2.gz VUCDTPNBSTYAJI-KRWDZBQOSA-N 1 2 318.421 1.756 20 30 DDEDLO N#CC1(C(=O)N2Cc3c[nH+]cn3C[C@@H](COCC3CC3)C2)CC1 ZINC001143174076 861398060 /nfs/dbraw/zinc/39/80/60/861398060.db2.gz MQFFPWSCAARIFW-AWEZNQCLSA-N 1 2 314.389 1.572 20 30 DDEDLO CC(C)C[C@H](CNCC#N)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001271278922 843464269 /nfs/dbraw/zinc/46/42/69/843464269.db2.gz UIOWFHFTHOTCDS-UONOGXRCSA-N 1 2 303.410 1.090 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccc(Cl)cc2F)C1 ZINC001271312564 843487350 /nfs/dbraw/zinc/48/73/50/843487350.db2.gz YQAZNFIMSASKGC-UHFFFAOYSA-N 1 2 310.756 1.279 20 30 DDEDLO Cc1nnc(C[N@H+]2CCCCC[C@H]2CNC(=O)[C@@H](C)C#N)o1 ZINC001409847056 845896586 /nfs/dbraw/zinc/89/65/86/845896586.db2.gz GXZNCHGDWMGGHD-AAEUAGOBSA-N 1 2 305.382 1.399 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCCCC[C@H]2CNC(=O)[C@@H](C)C#N)o1 ZINC001409847056 845896596 /nfs/dbraw/zinc/89/65/96/845896596.db2.gz GXZNCHGDWMGGHD-AAEUAGOBSA-N 1 2 305.382 1.399 20 30 DDEDLO C[N@H+](CC(=O)N1CCOCC1)Cc1ccc(C#N)c(Cl)c1 ZINC001232112128 845919225 /nfs/dbraw/zinc/91/92/25/845919225.db2.gz PIDIZWVZSGDTOX-UHFFFAOYSA-N 1 2 307.781 1.502 20 30 DDEDLO C[N@@H+](CC(=O)N1CCOCC1)Cc1ccc(C#N)c(Cl)c1 ZINC001232112128 845919232 /nfs/dbraw/zinc/91/92/32/845919232.db2.gz PIDIZWVZSGDTOX-UHFFFAOYSA-N 1 2 307.781 1.502 20 30 DDEDLO C=CCOCC(=O)NC[C@]1(C)C[N@H+](Cc2ccsc2)CCO1 ZINC001107813883 847182175 /nfs/dbraw/zinc/18/21/75/847182175.db2.gz XAKBSJWCXBZRAQ-MRXNPFEDSA-N 1 2 324.446 1.658 20 30 DDEDLO C=CCOCC(=O)NC[C@]1(C)C[N@@H+](Cc2ccsc2)CCO1 ZINC001107813883 847182180 /nfs/dbraw/zinc/18/21/80/847182180.db2.gz XAKBSJWCXBZRAQ-MRXNPFEDSA-N 1 2 324.446 1.658 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@](C)(CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001107828009 847231502 /nfs/dbraw/zinc/23/15/02/847231502.db2.gz DZUZRHREAYZXCJ-QGZVFWFLSA-N 1 2 320.437 1.813 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@](C)(CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001107828009 847231515 /nfs/dbraw/zinc/23/15/15/847231515.db2.gz DZUZRHREAYZXCJ-QGZVFWFLSA-N 1 2 320.437 1.813 20 30 DDEDLO C=C(C)CN1C[C@]2(CCN(Cc3c[nH+]c(C)n3C)C2)OCC1=O ZINC001272771042 847403345 /nfs/dbraw/zinc/40/33/45/847403345.db2.gz CDWMOQJYLOOYIR-QGZVFWFLSA-N 1 2 318.421 1.108 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccccc1)c1n[nH]c2ccccc21 ZINC001149675414 861798215 /nfs/dbraw/zinc/79/82/15/861798215.db2.gz SRICCSSTVJADEI-UHFFFAOYSA-N 1 2 318.380 1.934 20 30 DDEDLO Cc1ncsc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@@H]1C#N ZINC001272885820 847586632 /nfs/dbraw/zinc/58/66/32/847586632.db2.gz BOADFLVMKRLVQO-YIYPIFLZSA-N 1 2 316.430 1.786 20 30 DDEDLO Cc1ncsc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@@H]1C#N ZINC001272885820 847586640 /nfs/dbraw/zinc/58/66/40/847586640.db2.gz BOADFLVMKRLVQO-YIYPIFLZSA-N 1 2 316.430 1.786 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1cnc[nH]1 ZINC001273024271 847799767 /nfs/dbraw/zinc/79/97/67/847799767.db2.gz VVHDFKYSCSHCMI-MRXNPFEDSA-N 1 2 301.394 1.670 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1cnc[nH]1 ZINC001273024271 847799774 /nfs/dbraw/zinc/79/97/74/847799774.db2.gz VVHDFKYSCSHCMI-MRXNPFEDSA-N 1 2 301.394 1.670 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1c[nH]cn1 ZINC001273024271 847799784 /nfs/dbraw/zinc/79/97/84/847799784.db2.gz VVHDFKYSCSHCMI-MRXNPFEDSA-N 1 2 301.394 1.670 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1c[nH]cn1 ZINC001273024271 847799787 /nfs/dbraw/zinc/79/97/87/847799787.db2.gz VVHDFKYSCSHCMI-MRXNPFEDSA-N 1 2 301.394 1.670 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H](NC(=O)c2snnc2C2CC2)C1 ZINC001034253040 848194960 /nfs/dbraw/zinc/19/49/60/848194960.db2.gz DILDNMVGUYXZKG-LBPRGKRZSA-N 1 2 304.419 1.633 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H](NC(=O)c2snnc2C2CC2)C1 ZINC001034253040 848194967 /nfs/dbraw/zinc/19/49/67/848194967.db2.gz DILDNMVGUYXZKG-LBPRGKRZSA-N 1 2 304.419 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001034362901 848414571 /nfs/dbraw/zinc/41/45/71/848414571.db2.gz JUIKUIHLYCTCMX-GXTWGEPZSA-N 1 2 304.793 1.838 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001034362901 848414582 /nfs/dbraw/zinc/41/45/82/848414582.db2.gz JUIKUIHLYCTCMX-GXTWGEPZSA-N 1 2 304.793 1.838 20 30 DDEDLO CCOC(=O)c1c[nH]c2cc(N3CCO[C@H](C#N)C3)[nH+]cc21 ZINC001155338090 861974800 /nfs/dbraw/zinc/97/48/00/861974800.db2.gz CCUVBSOIQOSPDZ-SNVBAGLBSA-N 1 2 300.318 1.468 20 30 DDEDLO C=CCN1C[C@@]2(F)CN(Cc3ccc(C)[nH+]c3N)C[C@@]2(F)C1=O ZINC001273423941 850221404 /nfs/dbraw/zinc/22/14/04/850221404.db2.gz LCGZNQCSWJWSKT-JKSUJKDBSA-N 1 2 322.359 1.233 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)CCC1CCC1)CO2 ZINC001327378819 862117286 /nfs/dbraw/zinc/11/72/86/862117286.db2.gz ITEXJIQEAXCUAJ-INIZCTEOSA-N 1 2 322.449 1.729 20 30 DDEDLO C=CCCCC[N@H+]1C[C@]2(F)CN(CCCOC)C(=O)[C@]2(F)C1 ZINC001273500810 851032568 /nfs/dbraw/zinc/03/25/68/851032568.db2.gz MRMORTHDJHJOID-JKSUJKDBSA-N 1 2 316.392 1.954 20 30 DDEDLO C=CCCCC[N@@H+]1C[C@]2(F)CN(CCCOC)C(=O)[C@]2(F)C1 ZINC001273500810 851032572 /nfs/dbraw/zinc/03/25/72/851032572.db2.gz MRMORTHDJHJOID-JKSUJKDBSA-N 1 2 316.392 1.954 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)CC[C@@H]1CCCO1)O2 ZINC001273646696 851179852 /nfs/dbraw/zinc/17/98/52/851179852.db2.gz ONWWZGXBHGAMEP-HOTGVXAUSA-N 1 2 322.449 1.871 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C(\C)C1CC1)CO2 ZINC001273684620 851224731 /nfs/dbraw/zinc/22/47/31/851224731.db2.gz FJQHLCHGIWQWBD-SCZPIIQISA-N 1 2 320.433 1.505 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2[C@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707069 851250265 /nfs/dbraw/zinc/25/02/65/851250265.db2.gz NNBGMGIFYGHBST-DYVFJYSZSA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2[C@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707069 851250268 /nfs/dbraw/zinc/25/02/68/851250268.db2.gz NNBGMGIFYGHBST-DYVFJYSZSA-N 1 2 308.422 1.970 20 30 DDEDLO CC(C)OC(=O)CNC(=O)[C@H]1CC12CC[NH+](CCC#N)CC2 ZINC001274031603 851870211 /nfs/dbraw/zinc/87/02/11/851870211.db2.gz VTJFNENZOMGHOW-CYBMUJFWSA-N 1 2 307.394 1.070 20 30 DDEDLO C=C(C)C[N@@H+]1Cc2ccc(CNC(=O)Cc3nnc[nH]3)cc2C1 ZINC001274036340 851874622 /nfs/dbraw/zinc/87/46/22/851874622.db2.gz OATXLSWZWHCWCA-UHFFFAOYSA-N 1 2 311.389 1.555 20 30 DDEDLO C=C(C)C[N@H+]1Cc2ccc(CNC(=O)Cc3nnc[nH]3)cc2C1 ZINC001274036340 851874633 /nfs/dbraw/zinc/87/46/33/851874633.db2.gz OATXLSWZWHCWCA-UHFFFAOYSA-N 1 2 311.389 1.555 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3ccc(Cl)o3)C2)OCC1=O ZINC001274138146 851968081 /nfs/dbraw/zinc/96/80/81/851968081.db2.gz HRGOPPKWGPCMKT-HNNXBMFYSA-N 1 2 310.781 1.922 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3ccc(Cl)o3)C2)OCC1=O ZINC001274138146 851968085 /nfs/dbraw/zinc/96/80/85/851968085.db2.gz HRGOPPKWGPCMKT-HNNXBMFYSA-N 1 2 310.781 1.922 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1cncc(O)c1)C2 ZINC001274284487 852125063 /nfs/dbraw/zinc/12/50/63/852125063.db2.gz YTCZSHOXCKQZEL-KRWDZBQOSA-N 1 2 317.389 1.024 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1cncc(O)c1)C2 ZINC001274284487 852125067 /nfs/dbraw/zinc/12/50/67/852125067.db2.gz YTCZSHOXCKQZEL-KRWDZBQOSA-N 1 2 317.389 1.024 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC(N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001299369473 852550099 /nfs/dbraw/zinc/55/00/99/852550099.db2.gz RLZAYIONEIEIJK-CHWSQXEVSA-N 1 2 318.421 1.470 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC(N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001299369473 852550105 /nfs/dbraw/zinc/55/01/05/852550105.db2.gz RLZAYIONEIEIJK-CHWSQXEVSA-N 1 2 318.421 1.470 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)COCC(F)F ZINC001274847025 852638039 /nfs/dbraw/zinc/63/80/39/852638039.db2.gz KQDBIENDNJBUBV-HNNXBMFYSA-N 1 2 322.355 1.617 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)COCC(F)F ZINC001274847025 852638047 /nfs/dbraw/zinc/63/80/47/852638047.db2.gz KQDBIENDNJBUBV-HNNXBMFYSA-N 1 2 322.355 1.617 20 30 DDEDLO COCCOCN1C[C@H]2C[C@@H](C1)[N@H+]2Cc1cccc(C#N)c1 ZINC001275290303 852956888 /nfs/dbraw/zinc/95/68/88/852956888.db2.gz FOXAPCFJRJFRRX-CALCHBBNSA-N 1 2 301.390 1.437 20 30 DDEDLO COCCOCN1C[C@H]2C[C@@H](C1)[N@@H+]2Cc1cccc(C#N)c1 ZINC001275290303 852956895 /nfs/dbraw/zinc/95/68/95/852956895.db2.gz FOXAPCFJRJFRRX-CALCHBBNSA-N 1 2 301.390 1.437 20 30 DDEDLO CC[N@@H+](Cc1nocc1C)[C@H](C)CNC(=O)CSCC#N ZINC001151954383 863079615 /nfs/dbraw/zinc/07/96/15/863079615.db2.gz UBJTWIKTRTVRDK-GFCCVEGCSA-N 1 2 310.423 1.566 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([C@@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001111223933 855196298 /nfs/dbraw/zinc/19/62/98/855196298.db2.gz UCKNFVXYRYSJQP-HIFRSBDPSA-N 1 2 316.405 1.474 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnc3c(C(C)C)cnn3c2)CC1 ZINC001156230926 862843342 /nfs/dbraw/zinc/84/33/42/862843342.db2.gz ADUISCCAXLZNCD-UHFFFAOYSA-N 1 2 313.405 1.797 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072606478 857507570 /nfs/dbraw/zinc/50/75/70/857507570.db2.gz QMKABMBEVJRCKG-RYUDHWBXSA-N 1 2 304.394 1.128 20 30 DDEDLO CC(=O)NC[C@H]1C[N@H+](Cc2ccc(C#N)cc2F)CCCO1 ZINC001073501517 858386751 /nfs/dbraw/zinc/38/67/51/858386751.db2.gz LRDHAKNIHPABER-HNNXBMFYSA-N 1 2 305.353 1.424 20 30 DDEDLO CC(=O)NC[C@H]1C[N@@H+](Cc2ccc(C#N)cc2F)CCCO1 ZINC001073501517 858386757 /nfs/dbraw/zinc/38/67/57/858386757.db2.gz LRDHAKNIHPABER-HNNXBMFYSA-N 1 2 305.353 1.424 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H]2CCCO2)C1 ZINC001073522840 858410127 /nfs/dbraw/zinc/41/01/27/858410127.db2.gz MEZBJOYVPJEJCJ-QWHCGFSZSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H]2CCCO2)C1 ZINC001073522840 858410129 /nfs/dbraw/zinc/41/01/29/858410129.db2.gz MEZBJOYVPJEJCJ-QWHCGFSZSA-N 1 2 302.802 1.125 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)CCCOC ZINC001121714911 858580643 /nfs/dbraw/zinc/58/06/43/858580643.db2.gz IYUDLTJOYJORRH-UHFFFAOYSA-N 1 2 304.398 1.261 20 30 DDEDLO O=C(NCC#C[C@@H]1CCCCO1)NCCNc1cccc[nH+]1 ZINC001122032258 858667815 /nfs/dbraw/zinc/66/78/15/858667815.db2.gz UHNFUTFPUDUROB-AWEZNQCLSA-N 1 2 302.378 1.365 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](CO)Nc2cc[nH+]c(C)n2)CC1 ZINC001122452786 858824348 /nfs/dbraw/zinc/82/43/48/858824348.db2.gz VBODKRKZWJETMD-CQSZACIVSA-N 1 2 304.394 1.420 20 30 DDEDLO C#CCCC[N@H+]1Cc2ccnn2CC[C@H]1C(=O)N1CCCCO1 ZINC001276981156 881449360 /nfs/dbraw/zinc/44/93/60/881449360.db2.gz QKFXLRAPMSBYEG-INIZCTEOSA-N 1 2 316.405 1.425 20 30 DDEDLO C#CCCC[N@@H+]1Cc2ccnn2CC[C@H]1C(=O)N1CCCCO1 ZINC001276981156 881449364 /nfs/dbraw/zinc/44/93/64/881449364.db2.gz QKFXLRAPMSBYEG-INIZCTEOSA-N 1 2 316.405 1.425 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125047257 859878940 /nfs/dbraw/zinc/87/89/40/859878940.db2.gz DQCRJYFHIGBEEG-HNNXBMFYSA-N 1 2 318.421 1.234 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)c3ccncc3)C2)cn1 ZINC001140546607 860654272 /nfs/dbraw/zinc/65/42/72/860654272.db2.gz NMMNHYUDKIFFJT-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccncc3)C2)cn1 ZINC001140546607 860654277 /nfs/dbraw/zinc/65/42/77/860654277.db2.gz NMMNHYUDKIFFJT-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO C=CCN1CCN(C(C)C)C(=O)[C@]12CC[N@H+](Cc1cocn1)C2 ZINC001141128694 860790316 /nfs/dbraw/zinc/79/03/16/860790316.db2.gz UWKNZMHDZBRWKV-QGZVFWFLSA-N 1 2 318.421 1.358 20 30 DDEDLO C=CCN1CCN(C(C)C)C(=O)[C@]12CC[N@@H+](Cc1cocn1)C2 ZINC001141128694 860790323 /nfs/dbraw/zinc/79/03/23/860790323.db2.gz UWKNZMHDZBRWKV-QGZVFWFLSA-N 1 2 318.421 1.358 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1nnc(C)o1 ZINC001325902029 860940975 /nfs/dbraw/zinc/94/09/75/860940975.db2.gz ZCRSJZSLLWMQCD-GJZGRUSLSA-N 1 2 316.405 1.747 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1nnc(C)o1 ZINC001325902029 860940988 /nfs/dbraw/zinc/94/09/88/860940988.db2.gz ZCRSJZSLLWMQCD-GJZGRUSLSA-N 1 2 316.405 1.747 20 30 DDEDLO CC[N@H+](Cc1nocc1C)[C@H](C)CNC(=O)CSCC#N ZINC001151954383 863079598 /nfs/dbraw/zinc/07/95/98/863079598.db2.gz UBJTWIKTRTVRDK-GFCCVEGCSA-N 1 2 310.423 1.566 20 30 DDEDLO C[NH+]1CCN(c2ccc(CN=Nc3cccnn3)cc2F)CC1 ZINC001328771398 863212663 /nfs/dbraw/zinc/21/26/63/863212663.db2.gz LFWZLWBSTCOGFP-UHFFFAOYSA-N 1 2 314.368 1.814 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nocc1C ZINC001153306883 863816488 /nfs/dbraw/zinc/81/64/88/863816488.db2.gz XMOSEADJSYQHGX-KGLIPLIRSA-N 1 2 307.394 1.348 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nocc1C ZINC001153306883 863816499 /nfs/dbraw/zinc/81/64/99/863816499.db2.gz XMOSEADJSYQHGX-KGLIPLIRSA-N 1 2 307.394 1.348 20 30 DDEDLO C=C(C)CCC(=O)NC1(C[NH2+]Cc2nnn(C)n2)CCCCC1 ZINC001329826145 863879399 /nfs/dbraw/zinc/87/93/99/863879399.db2.gz VKFHGJVJJMPUTI-UHFFFAOYSA-N 1 2 320.441 1.475 20 30 DDEDLO C=C(C)C[N@@H+](CC)[C@H](C)CNC(=O)c1cncc2nc[nH]c21 ZINC001153472789 863905423 /nfs/dbraw/zinc/90/54/23/863905423.db2.gz YUDWCGQYFDLQGA-GFCCVEGCSA-N 1 2 301.394 1.974 20 30 DDEDLO C=C(C)C[N@H+](CC)[C@H](C)CNC(=O)c1cncc2nc[nH]c21 ZINC001153472789 863905434 /nfs/dbraw/zinc/90/54/34/863905434.db2.gz YUDWCGQYFDLQGA-GFCCVEGCSA-N 1 2 301.394 1.974 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](C)C1C[NH+](CC(=O)NCCC)C1 ZINC001330049554 864047588 /nfs/dbraw/zinc/04/75/88/864047588.db2.gz VCNBCAUECRHMBC-DYVFJYSZSA-N 1 2 309.454 1.551 20 30 DDEDLO C#CCOCCC(=O)NCCC[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001157852497 864193770 /nfs/dbraw/zinc/19/37/70/864193770.db2.gz ACAFWSUQEMMOLP-UHFFFAOYSA-N 1 2 322.409 1.003 20 30 DDEDLO C[C@H]1C[NH+](C2CN(c3ncc4c(C#N)c[nH]c4n3)C2)C[C@H](C)O1 ZINC001158727529 864861456 /nfs/dbraw/zinc/86/14/56/864861456.db2.gz MGNMPPZDNZFXOT-QWRGUYRKSA-N 1 2 312.377 1.127 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@@H]1[N@H+]([C@H](C)c1nncn1C)CC2 ZINC001332085050 865562762 /nfs/dbraw/zinc/56/27/62/865562762.db2.gz YTUKJPBVCDWVOQ-KEYYUXOJSA-N 1 2 317.437 1.956 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@@H]1[N@@H+]([C@H](C)c1nncn1C)CC2 ZINC001332085050 865562767 /nfs/dbraw/zinc/56/27/67/865562767.db2.gz YTUKJPBVCDWVOQ-KEYYUXOJSA-N 1 2 317.437 1.956 20 30 DDEDLO CC(C)n1ncnc1C[NH2+]C1(CNC(=O)C#CC2CC2)CC1 ZINC001277055382 881910758 /nfs/dbraw/zinc/91/07/58/881910758.db2.gz OVEBWBTWDNYFFP-UHFFFAOYSA-N 1 2 301.394 1.011 20 30 DDEDLO C=C[C@H](COC)NC(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC001332557880 865932028 /nfs/dbraw/zinc/93/20/28/865932028.db2.gz HWBPEQOONUNUPT-OAHLLOKOSA-N 1 2 314.389 1.926 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1ncc(C)cc1/C=N/O ZINC001160697289 866042105 /nfs/dbraw/zinc/04/21/05/866042105.db2.gz VGBPICZQVAPURJ-VXSOZLBZSA-N 1 2 317.349 1.128 20 30 DDEDLO C=CCC1(C(=O)NCC2([NH2+]Cc3cnon3)CC2)CCOCC1 ZINC001323601584 866713637 /nfs/dbraw/zinc/71/36/37/866713637.db2.gz FYUMXLWXCFFARB-UHFFFAOYSA-N 1 2 320.393 1.181 20 30 DDEDLO CCN(CC[NH2+]Cc1nc(CC2CC2)no1)C(=O)[C@H](C)C#N ZINC001320694260 866953671 /nfs/dbraw/zinc/95/36/71/866953671.db2.gz GUURZRWTFDEISO-LLVKDONJSA-N 1 2 305.382 1.120 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1CC(CNC(=O)Cn2cc[nH+]c2)C1 ZINC001333772348 866999193 /nfs/dbraw/zinc/99/91/93/866999193.db2.gz KBVAPCKWQNAOAZ-KVULBXGLSA-N 1 2 318.421 1.496 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)N[C@@](C)(C(C)(C)C)C2=O)C1 ZINC001320849281 867074279 /nfs/dbraw/zinc/07/42/79/867074279.db2.gz RWVRJOSVVZIVRH-BLLLJJGKSA-N 1 2 309.410 1.577 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)N[C@@](C)(C(C)(C)C)C2=O)C1 ZINC001320849281 867074293 /nfs/dbraw/zinc/07/42/93/867074293.db2.gz RWVRJOSVVZIVRH-BLLLJJGKSA-N 1 2 309.410 1.577 20 30 DDEDLO CC(C)c1noc([C@H](C)[NH2+]C/C=C/CNC(=O)[C@H](C)C#N)n1 ZINC001320998751 867191065 /nfs/dbraw/zinc/19/10/65/867191065.db2.gz QPFQVCGJHFGKHL-AIIUZBJTSA-N 1 2 305.382 1.676 20 30 DDEDLO C#Cc1cncc(C(=O)NC/C=C/C[NH2+]Cc2nc(C)c(C)o2)c1 ZINC001321243629 867431128 /nfs/dbraw/zinc/43/11/28/867431128.db2.gz AWIZSQTVMVPESX-AATRIKPKSA-N 1 2 324.384 1.744 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)N(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001334490319 867616055 /nfs/dbraw/zinc/61/60/55/867616055.db2.gz DDMMFBPWYBASMG-GFCCVEGCSA-N 1 2 306.410 1.580 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H](C)C[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001321500313 867631431 /nfs/dbraw/zinc/63/14/31/867631431.db2.gz RDJRQWAXBWJIMY-BDJLRTHQSA-N 1 2 324.425 1.289 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C[NH2+]Cc1nc(C(F)F)no1 ZINC001321617695 867698129 /nfs/dbraw/zinc/69/81/29/867698129.db2.gz PESSXVBPRCUNQP-MRVPVSSYSA-N 1 2 302.325 1.814 20 30 DDEDLO C#CC[N@@H+](Cc1c(C)nn(CCS(C)(=O)=O)c1C)CC1CC1 ZINC001325084678 867757845 /nfs/dbraw/zinc/75/78/45/867757845.db2.gz JVLHGFCSUXSYRQ-UHFFFAOYSA-N 1 2 323.462 1.390 20 30 DDEDLO C#CC[N@H+](Cc1c(C)nn(CCS(C)(=O)=O)c1C)CC1CC1 ZINC001325084678 867757850 /nfs/dbraw/zinc/75/78/50/867757850.db2.gz JVLHGFCSUXSYRQ-UHFFFAOYSA-N 1 2 323.462 1.390 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCC(NC(=O)CCCC(C)=O)CC1 ZINC001226053093 882178644 /nfs/dbraw/zinc/17/86/44/882178644.db2.gz ROMCWJLVVMVYLO-CQSZACIVSA-N 1 2 323.437 1.017 20 30 DDEDLO C=CCOCC(=O)NCCC1(C[NH2+][C@@H](C)c2noc(C)n2)CC1 ZINC001163804301 868721609 /nfs/dbraw/zinc/72/16/09/868721609.db2.gz OQMBRPILOORNAS-LBPRGKRZSA-N 1 2 322.409 1.518 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CN(C)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001337260587 869406582 /nfs/dbraw/zinc/40/65/82/869406582.db2.gz SIDLXRYJICIUAG-ZIAGYGMSSA-N 1 2 318.421 1.375 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001338281355 869901596 /nfs/dbraw/zinc/90/15/96/869901596.db2.gz CDDMASYQGZIRTG-GJZGRUSLSA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCCC(=O)N[C@](C)(CNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001297554567 870098866 /nfs/dbraw/zinc/09/88/66/870098866.db2.gz PNWKXLJKUSGBLR-MRXNPFEDSA-N 1 2 304.394 1.250 20 30 DDEDLO C[C@H](C(=O)N(C)C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)(C)C ZINC001317042686 870154037 /nfs/dbraw/zinc/15/40/37/870154037.db2.gz LFVGVBPYQPXPOY-KFWWJZLASA-N 1 2 319.449 1.188 20 30 DDEDLO C[C@H](C(=O)N(C)C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)(C)C ZINC001317042686 870154040 /nfs/dbraw/zinc/15/40/40/870154040.db2.gz LFVGVBPYQPXPOY-KFWWJZLASA-N 1 2 319.449 1.188 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CCC)CCC ZINC001338773379 870160848 /nfs/dbraw/zinc/16/08/48/870160848.db2.gz OLVGXMINETVJNU-ZIAGYGMSSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CCC)CCC ZINC001338773379 870160857 /nfs/dbraw/zinc/16/08/57/870160857.db2.gz OLVGXMINETVJNU-ZIAGYGMSSA-N 1 2 307.442 1.828 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)[C@H](C)COC ZINC001317047454 870162534 /nfs/dbraw/zinc/16/25/34/870162534.db2.gz VBASWAJCSSLCNA-VQHPVUNQSA-N 1 2 322.449 1.230 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)[C@H](C)COC ZINC001317047454 870162543 /nfs/dbraw/zinc/16/25/43/870162543.db2.gz VBASWAJCSSLCNA-VQHPVUNQSA-N 1 2 322.449 1.230 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC(=C)C ZINC001317047586 870163333 /nfs/dbraw/zinc/16/33/33/870163333.db2.gz ZIJYLNMSEKPQDQ-HRCADAONSA-N 1 2 319.449 1.709 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC(=C)C ZINC001317047586 870163338 /nfs/dbraw/zinc/16/33/38/870163338.db2.gz ZIJYLNMSEKPQDQ-HRCADAONSA-N 1 2 319.449 1.709 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC1(C)C ZINC001317051330 870168283 /nfs/dbraw/zinc/16/82/83/870168283.db2.gz WPPRNHPLBIUTSI-OWCLPIDISA-N 1 2 304.434 1.748 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC1(C)C ZINC001317051330 870168293 /nfs/dbraw/zinc/16/82/93/870168293.db2.gz WPPRNHPLBIUTSI-OWCLPIDISA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1C[C@@H]([NH2+]CC(F)(F)C(F)F)C1 ZINC001317110568 870271333 /nfs/dbraw/zinc/27/13/33/870271333.db2.gz VWGCJZZUPZOFGK-BBBLOLIVSA-N 1 2 310.291 1.162 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCN(C)C(=O)CCn1cc[nH+]c1 ZINC001298096152 870276544 /nfs/dbraw/zinc/27/65/44/870276544.db2.gz ZHWKMVIBVSPFBY-UHFFFAOYSA-N 1 2 306.410 1.402 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC ZINC001339240640 870417159 /nfs/dbraw/zinc/41/71/59/870417159.db2.gz PLJXWPGOJYNHRS-CJNGLKHVSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC ZINC001339240640 870417163 /nfs/dbraw/zinc/41/71/63/870417163.db2.gz PLJXWPGOJYNHRS-CJNGLKHVSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCCCC(=O)NC1CC(N(C)C(=O)CCn2cc[nH+]c2)C1 ZINC001339253803 870422522 /nfs/dbraw/zinc/42/25/22/870422522.db2.gz YVXZQLKIPOPPTC-UHFFFAOYSA-N 1 2 318.421 1.735 20 30 DDEDLO C#C[C@H]1CCCCN1c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1C ZINC001339282309 870436733 /nfs/dbraw/zinc/43/67/33/870436733.db2.gz FVXWHLCYPCNKRU-GJZGRUSLSA-N 1 2 317.437 1.589 20 30 DDEDLO C#C[C@H]1CCCCN1c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1C ZINC001339282309 870436741 /nfs/dbraw/zinc/43/67/41/870436741.db2.gz FVXWHLCYPCNKRU-GJZGRUSLSA-N 1 2 317.437 1.589 20 30 DDEDLO C[N@H+]1CCC12CN(C(=O)c1cc(Br)ccc1C#N)C2 ZINC001276415669 870711155 /nfs/dbraw/zinc/71/11/55/870711155.db2.gz OQXHMGOXMVAOIG-UHFFFAOYSA-N 1 2 320.190 1.851 20 30 DDEDLO C[N@@H+]1CCC12CN(C(=O)c1cc(Br)ccc1C#N)C2 ZINC001276415669 870711148 /nfs/dbraw/zinc/71/11/48/870711148.db2.gz OQXHMGOXMVAOIG-UHFFFAOYSA-N 1 2 320.190 1.851 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC1CC[NH+](Cc2nonc2C)CC1 ZINC001226457479 882440972 /nfs/dbraw/zinc/44/09/72/882440972.db2.gz XYVLTTDAYGNZKN-CYBMUJFWSA-N 1 2 322.409 1.440 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001299054703 870866270 /nfs/dbraw/zinc/86/62/70/870866270.db2.gz DAIGJBNBDRDMKM-KBPBESRZSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCn1c([C@@H]2CCC[N@H+]2C)nnc1N1CC[C@](F)(C#N)C1 ZINC001340491601 871146683 /nfs/dbraw/zinc/14/66/83/871146683.db2.gz JKGMSBMPFTVVCI-WFASDCNBSA-N 1 2 304.373 1.673 20 30 DDEDLO C=CCn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CC[C@](F)(C#N)C1 ZINC001340491601 871146697 /nfs/dbraw/zinc/14/66/97/871146697.db2.gz JKGMSBMPFTVVCI-WFASDCNBSA-N 1 2 304.373 1.673 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CC[NH2+][C@H](C)c1nc(C)no1 ZINC001317628074 871243336 /nfs/dbraw/zinc/24/33/36/871243336.db2.gz FSSOHHAQPRMJOE-RTXFEEFZSA-N 1 2 308.382 1.078 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnn(C)n2)[C@@H]1CC ZINC001316736476 871277635 /nfs/dbraw/zinc/27/76/35/871277635.db2.gz IZNSMNMDEJMCSJ-JKSUJKDBSA-N 1 2 317.437 1.478 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnn(C)n2)[C@@H]1CC ZINC001316736476 871277646 /nfs/dbraw/zinc/27/76/46/871277646.db2.gz IZNSMNMDEJMCSJ-JKSUJKDBSA-N 1 2 317.437 1.478 20 30 DDEDLO C=CCOc1cccc(C[NH2+]Cc2nnc3c(=O)[nH]ccn23)c1 ZINC001308524128 871506370 /nfs/dbraw/zinc/50/63/70/871506370.db2.gz IVVDUXMFYOURJV-UHFFFAOYSA-N 1 2 311.345 1.272 20 30 DDEDLO C#CCOCC[N@@H+](C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC001341257293 871580584 /nfs/dbraw/zinc/58/05/84/871580584.db2.gz MPXZUTCDEFXKIB-UHFFFAOYSA-N 1 2 316.317 1.726 20 30 DDEDLO C#CCOCC[N@H+](C)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC001341257293 871580599 /nfs/dbraw/zinc/58/05/99/871580599.db2.gz MPXZUTCDEFXKIB-UHFFFAOYSA-N 1 2 316.317 1.726 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H](C)NC(=O)c2cccs2)C1 ZINC001318052264 871715073 /nfs/dbraw/zinc/71/50/73/871715073.db2.gz YBYZLSNBOMULTF-NSHDSACASA-N 1 2 307.419 1.243 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001317518683 871726345 /nfs/dbraw/zinc/72/63/45/871726345.db2.gz NUHLZYRGHKNKOQ-CQSZACIVSA-N 1 2 303.410 1.040 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+](Cc2cnn(CC)n2)C1 ZINC001317518683 871726358 /nfs/dbraw/zinc/72/63/58/871726358.db2.gz NUHLZYRGHKNKOQ-CQSZACIVSA-N 1 2 303.410 1.040 20 30 DDEDLO C#CCOCCC(=O)NCC1C[NH+](CC=C(Cl)Cl)C1 ZINC001318123337 871764351 /nfs/dbraw/zinc/76/43/51/871764351.db2.gz WQSWOMOSOHSAEA-UHFFFAOYSA-N 1 2 305.205 1.393 20 30 DDEDLO CC(C)CCNC(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001318253612 871880544 /nfs/dbraw/zinc/88/05/44/871880544.db2.gz UWMVQQGBRAZMFR-HOCLYGCPSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001318253612 871880569 /nfs/dbraw/zinc/88/05/69/871880569.db2.gz UWMVQQGBRAZMFR-HOCLYGCPSA-N 1 2 319.449 1.141 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CC[N@H+](Cc2cc3n(n2)CCC3)C1 ZINC001318384777 871975340 /nfs/dbraw/zinc/97/53/40/871975340.db2.gz BIEWATWCPXHQNK-INIZCTEOSA-N 1 2 318.421 1.065 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CC[N@@H+](Cc2cc3n(n2)CCC3)C1 ZINC001318384777 871975356 /nfs/dbraw/zinc/97/53/56/871975356.db2.gz BIEWATWCPXHQNK-INIZCTEOSA-N 1 2 318.421 1.065 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001318402260 872002458 /nfs/dbraw/zinc/00/24/58/872002458.db2.gz KVBYWAVSTNZLRY-CQSZACIVSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001318402260 872002481 /nfs/dbraw/zinc/00/24/81/872002481.db2.gz KVBYWAVSTNZLRY-CQSZACIVSA-N 1 2 321.446 1.524 20 30 DDEDLO C=CCCOCC(=O)N(CC)[C@@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001318492174 872109106 /nfs/dbraw/zinc/10/91/06/872109106.db2.gz OHNQRARHGQUNTF-MRXNPFEDSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCCOCC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001318492174 872109114 /nfs/dbraw/zinc/10/91/14/872109114.db2.gz OHNQRARHGQUNTF-MRXNPFEDSA-N 1 2 320.437 1.436 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@H](CC)CNC(=O)C#CC2CC2)n1 ZINC001318525029 872130429 /nfs/dbraw/zinc/13/04/29/872130429.db2.gz ROBNHOSCRPZUBT-DGCLKSJQSA-N 1 2 304.394 1.591 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC)C1 ZINC001316946258 872437966 /nfs/dbraw/zinc/43/79/66/872437966.db2.gz ABADQZGPMAZCIK-OAHLLOKOSA-N 1 2 307.438 1.287 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC)C1 ZINC001316946258 872437980 /nfs/dbraw/zinc/43/79/80/872437980.db2.gz ABADQZGPMAZCIK-OAHLLOKOSA-N 1 2 307.438 1.287 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)Cc2cccc(OCC)n2)C1 ZINC001319319869 872569251 /nfs/dbraw/zinc/56/92/51/872569251.db2.gz WTBLNPKFARQBOD-HNNXBMFYSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)Cc2cccc(OCC)n2)C1 ZINC001319319869 872569265 /nfs/dbraw/zinc/56/92/65/872569265.db2.gz WTBLNPKFARQBOD-HNNXBMFYSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@]23C[C@H]2CCCC3)C1 ZINC001319321448 872573287 /nfs/dbraw/zinc/57/32/87/872573287.db2.gz CRORMZALUJODRK-JFIYKMOQSA-N 1 2 322.449 1.586 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@]23C[C@H]2CCCC3)C1 ZINC001319321448 872573297 /nfs/dbraw/zinc/57/32/97/872573297.db2.gz CRORMZALUJODRK-JFIYKMOQSA-N 1 2 322.449 1.586 20 30 DDEDLO C[NH+](C)CCN1CCC12C[NH+](Cc1ccc(C#N)cc1O)C2 ZINC001276494495 872613739 /nfs/dbraw/zinc/61/37/39/872613739.db2.gz UORVQWWSXPBXDL-UHFFFAOYSA-N 1 2 300.406 1.086 20 30 DDEDLO C[NH+](C)CCN1CCC12CN(Cc1ccc(C#N)cc1O)C2 ZINC001276494495 872613759 /nfs/dbraw/zinc/61/37/59/872613759.db2.gz UORVQWWSXPBXDL-UHFFFAOYSA-N 1 2 300.406 1.086 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccn(CC)n2)C[C@H]1C ZINC001206913542 872755873 /nfs/dbraw/zinc/75/58/73/872755873.db2.gz ORKUXNKBODFLRY-GDBMZVCRSA-N 1 2 302.422 1.643 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccn(CC)n2)C[C@H]1C ZINC001206913542 872755882 /nfs/dbraw/zinc/75/58/82/872755882.db2.gz ORKUXNKBODFLRY-GDBMZVCRSA-N 1 2 302.422 1.643 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2nccn2C)C[C@H]1C ZINC001207158127 873083984 /nfs/dbraw/zinc/08/39/84/873083984.db2.gz HHANGCQBGLJCNY-ZIAGYGMSSA-N 1 2 304.438 1.959 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2nccn2C)C[C@H]1C ZINC001207158127 873083996 /nfs/dbraw/zinc/08/39/96/873083996.db2.gz HHANGCQBGLJCNY-ZIAGYGMSSA-N 1 2 304.438 1.959 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001207680485 873472333 /nfs/dbraw/zinc/47/23/33/873472333.db2.gz ORHKKXUUXMGETO-CYBMUJFWSA-N 1 2 320.393 1.230 20 30 DDEDLO C=CCCCN(CC)C(=O)NCC[N@@H+]1CCC2(C1)OCCO2 ZINC001346159067 873629457 /nfs/dbraw/zinc/62/94/57/873629457.db2.gz HSJDFHLWTUULNG-UHFFFAOYSA-N 1 2 311.426 1.433 20 30 DDEDLO C=CCCCN(CC)C(=O)NCC[N@H+]1CCC2(C1)OCCO2 ZINC001346159067 873629466 /nfs/dbraw/zinc/62/94/66/873629466.db2.gz HSJDFHLWTUULNG-UHFFFAOYSA-N 1 2 311.426 1.433 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1CCCn1cccn1 ZINC001208077040 873813454 /nfs/dbraw/zinc/81/34/54/873813454.db2.gz TXWIFKPYPDPWBY-HNNXBMFYSA-N 1 2 316.409 1.204 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1CCCn1cccn1 ZINC001208077040 873813458 /nfs/dbraw/zinc/81/34/58/873813458.db2.gz TXWIFKPYPDPWBY-HNNXBMFYSA-N 1 2 316.409 1.204 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](OC)C2CCC2)C1 ZINC001208219365 873914280 /nfs/dbraw/zinc/91/42/80/873914280.db2.gz FAZHRFGWOAWLEX-HONMWMINSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](OC)C2CCC2)C1 ZINC001208219365 873914293 /nfs/dbraw/zinc/91/42/93/873914293.db2.gz FAZHRFGWOAWLEX-HONMWMINSA-N 1 2 300.830 1.991 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCc3cc(OC)ccc3C2)C1=O ZINC001347237829 874069138 /nfs/dbraw/zinc/06/91/38/874069138.db2.gz MJVIIDCRWAISRS-UHFFFAOYSA-N 1 2 301.390 1.934 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCc3cc(OC)ccc3C2)C1=O ZINC001347237829 874069150 /nfs/dbraw/zinc/06/91/50/874069150.db2.gz MJVIIDCRWAISRS-UHFFFAOYSA-N 1 2 301.390 1.934 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nccn2C)C[C@H]1C ZINC001208388290 874097711 /nfs/dbraw/zinc/09/77/11/874097711.db2.gz JHVGZXITDVHMCD-RRFJBIMHSA-N 1 2 304.438 1.959 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nccn2C)C[C@H]1C ZINC001208388290 874097725 /nfs/dbraw/zinc/09/77/25/874097725.db2.gz JHVGZXITDVHMCD-RRFJBIMHSA-N 1 2 304.438 1.959 20 30 DDEDLO CCNc1cc(CNC(=O)NCC#C[C@@H]2CCCCO2)cc[nH+]1 ZINC001347913845 874305569 /nfs/dbraw/zinc/30/55/69/874305569.db2.gz JFNPUBXUGZMDFL-HNNXBMFYSA-N 1 2 316.405 1.885 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](CC(N)=O)CC2(C)C)CCCCC1 ZINC001276633233 874807642 /nfs/dbraw/zinc/80/76/42/874807642.db2.gz KRFHBVWYMVPERB-CQSZACIVSA-N 1 2 319.449 1.272 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](CC(N)=O)CC2(C)C)CCCCC1 ZINC001276633233 874807650 /nfs/dbraw/zinc/80/76/50/874807650.db2.gz KRFHBVWYMVPERB-CQSZACIVSA-N 1 2 319.449 1.272 20 30 DDEDLO Cc1noc([C@@H](C)[NH+]2CCC(NC(=O)C#CC3CC3)CC2)n1 ZINC001227273539 882948316 /nfs/dbraw/zinc/94/83/16/882948316.db2.gz SBDOLVVQURQORB-LLVKDONJSA-N 1 2 302.378 1.433 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)OCc2ccccc2)[C@H](OC)C1 ZINC001213151461 875836660 /nfs/dbraw/zinc/83/66/60/875836660.db2.gz ODKSDPMFPMHRLV-USXIJHARSA-N 1 2 316.401 1.040 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)OCc2ccccc2)[C@H](OC)C1 ZINC001213151461 875836673 /nfs/dbraw/zinc/83/66/73/875836673.db2.gz ODKSDPMFPMHRLV-USXIJHARSA-N 1 2 316.401 1.040 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213319942 875895747 /nfs/dbraw/zinc/89/57/47/875895747.db2.gz HOVILVYQYPNCKM-DAXOMENPSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213319942 875895752 /nfs/dbraw/zinc/89/57/52/875895752.db2.gz HOVILVYQYPNCKM-DAXOMENPSA-N 1 2 321.421 1.901 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001215363568 876678228 /nfs/dbraw/zinc/67/82/28/876678228.db2.gz VLJXRZRWCIGJSA-TZMCWYRMSA-N 1 2 304.394 1.508 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1Nc1ccnc(C#N)c1 ZINC001215546238 876747972 /nfs/dbraw/zinc/74/79/72/876747972.db2.gz GIDLQJAMUFTRJX-UHFFFAOYSA-N 1 2 324.384 1.960 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](Oc2ncnc3[nH]cnc32)C1 ZINC001227536084 883065267 /nfs/dbraw/zinc/06/52/67/883065267.db2.gz XGHPIDJHNBNOFC-AWEZNQCLSA-N 1 2 320.356 1.878 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](Oc2ncnc3[nH]cnc32)C1 ZINC001227536084 883065282 /nfs/dbraw/zinc/06/52/82/883065282.db2.gz XGHPIDJHNBNOFC-AWEZNQCLSA-N 1 2 320.356 1.878 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001227561901 883082139 /nfs/dbraw/zinc/08/21/39/883082139.db2.gz ICCHCOQMHRQWAC-UHFFFAOYSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc[nH+]c1 ZINC001353316257 877247792 /nfs/dbraw/zinc/24/77/92/877247792.db2.gz NMPNJQICYGJLIX-GASCZTMLSA-N 1 2 316.405 1.441 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001353435605 877330089 /nfs/dbraw/zinc/33/00/89/877330089.db2.gz TVWFYKIUDNCXDG-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001353435605 877330105 /nfs/dbraw/zinc/33/01/05/877330105.db2.gz TVWFYKIUDNCXDG-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)C1 ZINC001353512472 877390874 /nfs/dbraw/zinc/39/08/74/877390874.db2.gz KUKJFTMFHNNYQU-ZDUSSCGKSA-N 1 2 316.405 1.416 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H]1CC[N@@H+]1Cc1oc(C)nc1C ZINC001276800185 877836349 /nfs/dbraw/zinc/83/63/49/877836349.db2.gz HHXAGTARDFXNNG-HIFRSBDPSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H]1CC[N@H+]1Cc1oc(C)nc1C ZINC001276800185 877836359 /nfs/dbraw/zinc/83/63/59/877836359.db2.gz HHXAGTARDFXNNG-HIFRSBDPSA-N 1 2 321.421 1.963 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219200902 878007986 /nfs/dbraw/zinc/00/79/86/878007986.db2.gz HHORTTJDQKLUOF-SJORKVTESA-N 1 2 300.402 1.390 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219200902 878008005 /nfs/dbraw/zinc/00/80/05/878008005.db2.gz HHORTTJDQKLUOF-SJORKVTESA-N 1 2 300.402 1.390 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001219235260 878040507 /nfs/dbraw/zinc/04/05/07/878040507.db2.gz PUBAMDMPVWHRII-XHSDSOJGSA-N 1 2 310.438 1.014 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001219235260 878040524 /nfs/dbraw/zinc/04/05/24/878040524.db2.gz PUBAMDMPVWHRII-XHSDSOJGSA-N 1 2 310.438 1.014 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[C@@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001355291964 878488222 /nfs/dbraw/zinc/48/82/22/878488222.db2.gz GKKOJMHVYLOUMP-CZUORRHYSA-N 1 2 306.410 1.496 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@]3(C)CCC=CO3)n2C)CC1 ZINC001355451129 878560386 /nfs/dbraw/zinc/56/03/86/878560386.db2.gz IIFWWJIMMRPCMS-MRXNPFEDSA-N 1 2 301.394 1.110 20 30 DDEDLO C=CC1CCN(c2nnc([C@@H]3C[N@H+](C(C)C)CCO3)n2C)CC1 ZINC001355723942 878692319 /nfs/dbraw/zinc/69/23/19/878692319.db2.gz JDFROHJIHHIEDU-HNNXBMFYSA-N 1 2 319.453 1.999 20 30 DDEDLO C=CC1CCN(c2nnc([C@@H]3C[N@@H+](C(C)C)CCO3)n2C)CC1 ZINC001355723942 878692325 /nfs/dbraw/zinc/69/23/25/878692325.db2.gz JDFROHJIHHIEDU-HNNXBMFYSA-N 1 2 319.453 1.999 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@H](C2CC2)C1 ZINC001355919001 878779278 /nfs/dbraw/zinc/77/92/78/878779278.db2.gz GJEHLYHQADPYLK-KKUMJFAQSA-N 1 2 317.437 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@H](C2CC2)C1 ZINC001355919001 878779290 /nfs/dbraw/zinc/77/92/90/878779290.db2.gz GJEHLYHQADPYLK-KKUMJFAQSA-N 1 2 317.437 1.438 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@@H]1O ZINC001220203832 878816061 /nfs/dbraw/zinc/81/60/61/878816061.db2.gz RJVRFVKWBRCDLH-IMJJTQAJSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@@H]1O ZINC001220203832 878816069 /nfs/dbraw/zinc/81/60/69/878816069.db2.gz RJVRFVKWBRCDLH-IMJJTQAJSA-N 1 2 303.406 1.345 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001356651853 879157479 /nfs/dbraw/zinc/15/74/79/879157479.db2.gz JHZHBNVXTJIURE-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@](C)(NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001356959018 879629002 /nfs/dbraw/zinc/62/90/02/879629002.db2.gz JVLGZTMWIILVQP-QGZVFWFLSA-N 1 2 318.421 1.496 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1(C)CC[NH+](Cc2nncs2)CC1 ZINC001380435583 879650332 /nfs/dbraw/zinc/65/03/32/879650332.db2.gz ROAPBNCAHFDYMD-NSHDSACASA-N 1 2 307.423 1.416 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(OC)o3)[C@@H]2C1 ZINC001221888272 880135651 /nfs/dbraw/zinc/13/56/51/880135651.db2.gz ZFNIKNQDEKOINY-ZIAGYGMSSA-N 1 2 318.373 1.084 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(OC)o3)[C@@H]2C1 ZINC001221888272 880135656 /nfs/dbraw/zinc/13/56/56/880135656.db2.gz ZFNIKNQDEKOINY-ZIAGYGMSSA-N 1 2 318.373 1.084 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001358515678 880473953 /nfs/dbraw/zinc/47/39/53/880473953.db2.gz SBOFFICAWAQNHW-STQMWFEESA-N 1 2 318.421 1.566 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001358515678 880473957 /nfs/dbraw/zinc/47/39/57/880473957.db2.gz SBOFFICAWAQNHW-STQMWFEESA-N 1 2 318.421 1.566 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](Cc2ncnn2CC)CC1 ZINC001222578679 880572985 /nfs/dbraw/zinc/57/29/85/880572985.db2.gz DWYDJTVZFFVTPF-UHFFFAOYSA-N 1 2 305.426 1.592 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[N@H+](Cc3cnns3)[C@H]2C1 ZINC001222656593 880623953 /nfs/dbraw/zinc/62/39/53/880623953.db2.gz OCYCDOXYGSZCNY-HIFRSBDPSA-N 1 2 318.446 1.620 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnns3)[C@H]2C1 ZINC001222656593 880623957 /nfs/dbraw/zinc/62/39/57/880623957.db2.gz OCYCDOXYGSZCNY-HIFRSBDPSA-N 1 2 318.446 1.620 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001358625197 880635863 /nfs/dbraw/zinc/63/58/63/880635863.db2.gz NSHQDHBQENKKRP-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C1CN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001358731829 880823599 /nfs/dbraw/zinc/82/35/99/880823599.db2.gz YULDKIDOTRLLDK-UHFFFAOYSA-N 1 2 318.421 1.234 20 30 DDEDLO C#CCOCCC(=O)N1CC2(C1)CCC[N@H+](Cc1ccon1)C2 ZINC001276862935 880823689 /nfs/dbraw/zinc/82/36/89/880823689.db2.gz SBRRNCWJXHGLMC-UHFFFAOYSA-N 1 2 317.389 1.139 20 30 DDEDLO C#CCOCCC(=O)N1CC2(C1)CCC[N@@H+](Cc1ccon1)C2 ZINC001276862935 880823698 /nfs/dbraw/zinc/82/36/98/880823698.db2.gz SBRRNCWJXHGLMC-UHFFFAOYSA-N 1 2 317.389 1.139 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001287998858 912653849 /nfs/dbraw/zinc/65/38/49/912653849.db2.gz NHZSXPFGZHIKSU-OCCSQVGLSA-N 1 2 304.394 1.414 20 30 DDEDLO N#CC1(NC(=O)[C@H]2CCn3nccc3C[N@@H+]2CC2CC2)CCC1 ZINC001277240879 883175404 /nfs/dbraw/zinc/17/54/04/883175404.db2.gz HDMGIGFLNMFMGB-OAHLLOKOSA-N 1 2 313.405 1.430 20 30 DDEDLO N#CC1(NC(=O)[C@H]2CCn3nccc3C[N@H+]2CC2CC2)CCC1 ZINC001277240879 883175415 /nfs/dbraw/zinc/17/54/15/883175415.db2.gz HDMGIGFLNMFMGB-OAHLLOKOSA-N 1 2 313.405 1.430 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001288045828 912692253 /nfs/dbraw/zinc/69/22/53/912692253.db2.gz SIGGMBCLKGMLIG-ZIAGYGMSSA-N 1 2 318.421 1.330 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(Cl)c(Cl)nn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228991731 883760382 /nfs/dbraw/zinc/76/03/82/883760382.db2.gz CXSPFDIZIBCDEQ-GNARGMICSA-N 1 2 302.161 1.775 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(Cl)c(Cl)nn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228991731 883760389 /nfs/dbraw/zinc/76/03/89/883760389.db2.gz CXSPFDIZIBCDEQ-GNARGMICSA-N 1 2 302.161 1.775 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@]2(NC(=O)COC)CCC[C@H]12 ZINC001382319197 883813405 /nfs/dbraw/zinc/81/34/05/883813405.db2.gz WZUTUUNEZJGQDI-AAEUAGOBSA-N 1 2 317.227 1.655 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@]2(NC(=O)COC)CCC[C@H]12 ZINC001382319197 883813422 /nfs/dbraw/zinc/81/34/22/883813422.db2.gz WZUTUUNEZJGQDI-AAEUAGOBSA-N 1 2 317.227 1.655 20 30 DDEDLO [NH2+]=C1CCCN1Cc1[nH]c(=O)nc(O[C@H]2C=CC(=O)C2)c1Cl ZINC001230094112 884314772 /nfs/dbraw/zinc/31/47/72/884314772.db2.gz ZEIRHOXVAOFYRG-VIFPVBQESA-N 1 2 322.752 1.685 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2nncn2C)CC1 ZINC001230415840 884483149 /nfs/dbraw/zinc/48/31/49/884483149.db2.gz ZGCXJDOVLKDEJZ-STQMWFEESA-N 1 2 321.425 1.048 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2cnns2)CC1 ZINC001230415354 884483164 /nfs/dbraw/zinc/48/31/64/884483164.db2.gz OCIRZYOJMPDBKC-NSHDSACASA-N 1 2 310.423 1.210 20 30 DDEDLO CCC(=O)N[C@@H](C)C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230569894 884598921 /nfs/dbraw/zinc/59/89/21/884598921.db2.gz YGUQKAMKCRCUBQ-DZGCQCFKSA-N 1 2 320.437 1.128 20 30 DDEDLO CCC(=O)N[C@@H](C)C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230569894 884598931 /nfs/dbraw/zinc/59/89/31/884598931.db2.gz YGUQKAMKCRCUBQ-DZGCQCFKSA-N 1 2 320.437 1.128 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)Cc1cccs1 ZINC001230617871 884655984 /nfs/dbraw/zinc/65/59/84/884655984.db2.gz AMSCUSHDBWNSRZ-ZDUSSCGKSA-N 1 2 321.446 1.126 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)Cc1cccs1 ZINC001230617871 884655991 /nfs/dbraw/zinc/65/59/91/884655991.db2.gz AMSCUSHDBWNSRZ-ZDUSSCGKSA-N 1 2 321.446 1.126 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(C)c1 ZINC001230653757 884705266 /nfs/dbraw/zinc/70/52/66/884705266.db2.gz IBYSNSJUGLKZPG-KRWDZBQOSA-N 1 2 300.402 1.791 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(C)c1 ZINC001230653757 884705280 /nfs/dbraw/zinc/70/52/80/884705280.db2.gz IBYSNSJUGLKZPG-KRWDZBQOSA-N 1 2 300.402 1.791 20 30 DDEDLO N#CCC1CN(C(=O)[C@@H]2CC23C[NH+](Cc2ccc(F)nc2)C3)C1 ZINC001277462820 884743406 /nfs/dbraw/zinc/74/34/06/884743406.db2.gz BYZCMNVBLUVGBS-AWEZNQCLSA-N 1 2 314.364 1.415 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770899 884860215 /nfs/dbraw/zinc/86/02/15/884860215.db2.gz WJFUWQFDMGNEPD-KBPBESRZSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770899 884860228 /nfs/dbraw/zinc/86/02/28/884860228.db2.gz WJFUWQFDMGNEPD-KBPBESRZSA-N 1 2 309.454 1.646 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)COC1CCCC1 ZINC001230899201 885025843 /nfs/dbraw/zinc/02/58/43/885025843.db2.gz JOKAUJRAIXWRSH-HNNXBMFYSA-N 1 2 308.422 1.128 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)COC1CCCC1 ZINC001230899201 885025854 /nfs/dbraw/zinc/02/58/54/885025854.db2.gz JOKAUJRAIXWRSH-HNNXBMFYSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C(\C)C1CC1 ZINC001230956828 885084389 /nfs/dbraw/zinc/08/43/89/885084389.db2.gz BWJLDFYWEWTMLK-QNICALHASA-N 1 2 317.433 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C=C(\C)C1CC1 ZINC001230956828 885084403 /nfs/dbraw/zinc/08/44/03/885084403.db2.gz BWJLDFYWEWTMLK-QNICALHASA-N 1 2 317.433 1.013 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001231094019 885215379 /nfs/dbraw/zinc/21/53/79/885215379.db2.gz DVEFCYRBAUUMPZ-GOEBONIOSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001231094019 885215396 /nfs/dbraw/zinc/21/53/96/885215396.db2.gz DVEFCYRBAUUMPZ-GOEBONIOSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](Cc2c[nH]c3ncncc23)CC1 ZINC001232658104 886516983 /nfs/dbraw/zinc/51/69/83/886516983.db2.gz LXLPNFLIVAFHOH-UHFFFAOYSA-N 1 2 301.350 1.350 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)=C1CCCC1 ZINC001233582159 887130604 /nfs/dbraw/zinc/13/06/04/887130604.db2.gz WLEUGYFWRJYKMF-MRXNPFEDSA-N 1 2 317.433 1.159 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)=C1CCCC1 ZINC001233582159 887130611 /nfs/dbraw/zinc/13/06/11/887130611.db2.gz WLEUGYFWRJYKMF-MRXNPFEDSA-N 1 2 317.433 1.159 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cncc(F)c1 ZINC001233601089 887141070 /nfs/dbraw/zinc/14/10/70/887141070.db2.gz QFXHRACJEXMXGY-HNNXBMFYSA-N 1 2 305.353 1.017 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cncc(F)c1 ZINC001233601089 887141065 /nfs/dbraw/zinc/14/10/65/887141065.db2.gz QFXHRACJEXMXGY-HNNXBMFYSA-N 1 2 305.353 1.017 20 30 DDEDLO N#Cc1ccc(F)c(CNS(=O)(=O)CCn2cc[nH+]c2)c1 ZINC001363792955 887234386 /nfs/dbraw/zinc/23/43/86/887234386.db2.gz SQMPHYAIKVEOBI-UHFFFAOYSA-N 1 2 308.338 1.013 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1coc(C)c1 ZINC001233879048 887421495 /nfs/dbraw/zinc/42/14/95/887421495.db2.gz CUHMAPWUTNJXKG-DZGCQCFKSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1coc(C)c1 ZINC001233879048 887421499 /nfs/dbraw/zinc/42/14/99/887421499.db2.gz CUHMAPWUTNJXKG-DZGCQCFKSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234029954 887567900 /nfs/dbraw/zinc/56/79/00/887567900.db2.gz LOQYQPZSPFSVQB-CQSZACIVSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234029954 887567909 /nfs/dbraw/zinc/56/79/09/887567909.db2.gz LOQYQPZSPFSVQB-CQSZACIVSA-N 1 2 307.438 1.258 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001234121270 887665902 /nfs/dbraw/zinc/66/59/02/887665902.db2.gz YJWLUIIYTVINKG-INIZCTEOSA-N 1 2 319.449 1.191 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001234121270 887665913 /nfs/dbraw/zinc/66/59/13/887665913.db2.gz YJWLUIIYTVINKG-INIZCTEOSA-N 1 2 319.449 1.191 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)C)cn1 ZINC001234119850 887666222 /nfs/dbraw/zinc/66/62/22/887666222.db2.gz RCABIPQMJSGYMG-INIZCTEOSA-N 1 2 315.417 1.782 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)C)cn1 ZINC001234119850 887666227 /nfs/dbraw/zinc/66/62/27/887666227.db2.gz RCABIPQMJSGYMG-INIZCTEOSA-N 1 2 315.417 1.782 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C=C(/C)CC ZINC001234131143 887675018 /nfs/dbraw/zinc/67/50/18/887675018.db2.gz PQFJZNGOAJWEGW-QNCSTDJMSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C(/C)CC ZINC001234131143 887675025 /nfs/dbraw/zinc/67/50/25/887675025.db2.gz PQFJZNGOAJWEGW-QNCSTDJMSA-N 1 2 305.422 1.013 20 30 DDEDLO CCCC[C@@H](C(N)=O)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234169404 887705429 /nfs/dbraw/zinc/70/54/29/887705429.db2.gz FFZMKESAOOGITR-GJZGRUSLSA-N 1 2 307.438 1.223 20 30 DDEDLO CCCC[C@@H](C(N)=O)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234169404 887705438 /nfs/dbraw/zinc/70/54/38/887705438.db2.gz FFZMKESAOOGITR-GJZGRUSLSA-N 1 2 307.438 1.223 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001235378207 888601175 /nfs/dbraw/zinc/60/11/75/888601175.db2.gz XWXFQIOLXUDONO-MRXNPFEDSA-N 1 2 315.417 1.470 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001235378207 888601184 /nfs/dbraw/zinc/60/11/84/888601184.db2.gz XWXFQIOLXUDONO-MRXNPFEDSA-N 1 2 315.417 1.470 20 30 DDEDLO Cc1noc(C)c1NC(=O)N1CC[C@H]2[C@@H]1CC[N@@H+]2CCC#N ZINC001277941576 888601793 /nfs/dbraw/zinc/60/17/93/888601793.db2.gz RHXVBXMTKQBKTE-STQMWFEESA-N 1 2 303.366 1.886 20 30 DDEDLO Cc1noc(C)c1NC(=O)N1CC[C@H]2[C@@H]1CC[N@H+]2CCC#N ZINC001277941576 888601800 /nfs/dbraw/zinc/60/18/00/888601800.db2.gz RHXVBXMTKQBKTE-STQMWFEESA-N 1 2 303.366 1.886 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001364436194 888632321 /nfs/dbraw/zinc/63/23/21/888632321.db2.gz PWFNAGKWCFHABO-RYUDHWBXSA-N 1 2 305.382 1.135 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)CCC1 ZINC001364436194 888632325 /nfs/dbraw/zinc/63/23/25/888632325.db2.gz PWFNAGKWCFHABO-RYUDHWBXSA-N 1 2 305.382 1.135 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H]1CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001290011532 913331698 /nfs/dbraw/zinc/33/16/98/913331698.db2.gz YZGKJYYFDLCILU-DZGCQCFKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCN(CC=C)C(=O)N1CC2(C1)C[NH+](Cc1cn[nH]c1)C2 ZINC001278296648 890656155 /nfs/dbraw/zinc/65/61/55/890656155.db2.gz BQMWXBPXCYUEAJ-UHFFFAOYSA-N 1 2 301.394 1.321 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)CC2(C#N)CCCCC2)c[nH+]1 ZINC001365744870 891441669 /nfs/dbraw/zinc/44/16/69/891441669.db2.gz REUJFAALLKLKDU-UHFFFAOYSA-N 1 2 310.423 1.866 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)CC2(C#N)CCCCC2)[nH+]1 ZINC001365744870 891441676 /nfs/dbraw/zinc/44/16/76/891441676.db2.gz REUJFAALLKLKDU-UHFFFAOYSA-N 1 2 310.423 1.866 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365969090 892071015 /nfs/dbraw/zinc/07/10/15/892071015.db2.gz GMYIBXKARWGCRQ-SMDDNHRTSA-N 1 2 300.337 1.548 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365969090 892071029 /nfs/dbraw/zinc/07/10/29/892071029.db2.gz GMYIBXKARWGCRQ-SMDDNHRTSA-N 1 2 300.337 1.548 20 30 DDEDLO CC[C@@H](OC)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001365979347 892114315 /nfs/dbraw/zinc/11/43/15/892114315.db2.gz WNTQKLWNAHSXRP-JKSUJKDBSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H](OC)C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001365979347 892114330 /nfs/dbraw/zinc/11/43/30/892114330.db2.gz WNTQKLWNAHSXRP-JKSUJKDBSA-N 1 2 301.390 1.674 20 30 DDEDLO CCc1nc(C[NH2+][C@@H](C)CCNC(=O)c2c[nH]c(C#N)c2)no1 ZINC001366447116 893585026 /nfs/dbraw/zinc/58/50/26/893585026.db2.gz CZMKUAPAHZRUGV-JTQLQIEISA-N 1 2 316.365 1.130 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](C[C@@H](O)c2cccc(OC)c2)CC1 ZINC001250716314 894378334 /nfs/dbraw/zinc/37/83/34/894378334.db2.gz BWLHYIHROYTFMS-OAHLLOKOSA-N 1 2 318.373 1.507 20 30 DDEDLO COCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccccc1C#N ZINC001366794723 894946207 /nfs/dbraw/zinc/94/62/07/894946207.db2.gz IVAFDHQGOYRUQH-CALCHBBNSA-N 1 2 313.401 1.770 20 30 DDEDLO COCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccccc1C#N ZINC001366794723 894946218 /nfs/dbraw/zinc/94/62/18/894946218.db2.gz IVAFDHQGOYRUQH-CALCHBBNSA-N 1 2 313.401 1.770 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H](CC)NC(C)=O)C1 ZINC001367060759 895711366 /nfs/dbraw/zinc/71/13/66/895711366.db2.gz XNCOSJHTKVCRAZ-QWHCGFSZSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H](CC)NC(C)=O)C1 ZINC001367060759 895711376 /nfs/dbraw/zinc/71/13/76/895711376.db2.gz XNCOSJHTKVCRAZ-QWHCGFSZSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C[C@@H](O)CNc1ccc(N2CC[NH+](C3COC3)CC2)cc1 ZINC001253594451 895922260 /nfs/dbraw/zinc/92/22/60/895922260.db2.gz UWTLYYFMGKAGSV-QGZVFWFLSA-N 1 2 303.406 1.166 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001367143008 895991596 /nfs/dbraw/zinc/99/15/96/895991596.db2.gz RTXNNGZYWRKQKG-KBPBESRZSA-N 1 2 322.453 1.231 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001367143008 895991604 /nfs/dbraw/zinc/99/16/04/895991604.db2.gz RTXNNGZYWRKQKG-KBPBESRZSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC[C@H](N2CC[NH2+]C[C@@H]2C#N)CC1 ZINC001254301690 896340440 /nfs/dbraw/zinc/34/04/40/896340440.db2.gz CEENWFDFYHLRBS-KBPBESRZSA-N 1 2 308.426 1.573 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@]1(O)CC[N@H+](Cc2nccn2C)C1 ZINC001278806042 896582731 /nfs/dbraw/zinc/58/27/31/896582731.db2.gz UJYWKJUMKNQPIN-IRXDYDNUSA-N 1 2 320.437 1.075 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@]1(O)CC[N@@H+](Cc2nccn2C)C1 ZINC001278806042 896582740 /nfs/dbraw/zinc/58/27/40/896582740.db2.gz UJYWKJUMKNQPIN-IRXDYDNUSA-N 1 2 320.437 1.075 20 30 DDEDLO CC(C)[C@@H](CNC(=O)[C@@H](C)C#N)[NH2+]Cc1noc(C2CC2)n1 ZINC001367466819 896841946 /nfs/dbraw/zinc/84/19/46/896841946.db2.gz OKEDMKUTSINROC-CMPLNLGQSA-N 1 2 305.382 1.337 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H](C)Cn1ccc(C)n1 ZINC001367510252 896979416 /nfs/dbraw/zinc/97/94/16/896979416.db2.gz FFGSBSPRJFFOJZ-LBPRGKRZSA-N 1 2 312.845 1.970 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H](C)Cn1ccc(C)n1 ZINC001367510252 896979426 /nfs/dbraw/zinc/97/94/26/896979426.db2.gz FFGSBSPRJFFOJZ-LBPRGKRZSA-N 1 2 312.845 1.970 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)C[C@H](C)c2ccncc2)C1 ZINC001278877869 897071355 /nfs/dbraw/zinc/07/13/55/897071355.db2.gz SDYLGVXLGFQETO-AWEZNQCLSA-N 1 2 303.406 1.314 20 30 DDEDLO CC(C)n1cc(C[N@@H+]2CCC[C@H](CNC(=O)[C@H](C)C#N)C2)nn1 ZINC001390034749 898529287 /nfs/dbraw/zinc/52/92/87/898529287.db2.gz CBOSBAGFLPTZNC-ZIAGYGMSSA-N 1 2 318.425 1.347 20 30 DDEDLO CC(C)n1cc(C[N@H+]2CCC[C@H](CNC(=O)[C@H](C)C#N)C2)nn1 ZINC001390034749 898529297 /nfs/dbraw/zinc/52/92/97/898529297.db2.gz CBOSBAGFLPTZNC-ZIAGYGMSSA-N 1 2 318.425 1.347 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)Cc1ccco1 ZINC001262947372 900430971 /nfs/dbraw/zinc/43/09/71/900430971.db2.gz KDMGVOLQUUKHQK-OCCSQVGLSA-N 1 2 317.393 1.431 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)Cc1ccco1 ZINC001262947372 900430981 /nfs/dbraw/zinc/43/09/81/900430981.db2.gz KDMGVOLQUUKHQK-OCCSQVGLSA-N 1 2 317.393 1.431 20 30 DDEDLO C=CCCCC(=O)NCCCNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001293413694 914460933 /nfs/dbraw/zinc/46/09/33/914460933.db2.gz IEOGUQZPDXNKSY-CQSZACIVSA-N 1 2 318.421 1.424 20 30 DDEDLO C=C[C@H](OC(=O)[C@H]1CCC[N@@H+]1Cc1ccccc1)C(=O)OC ZINC001263526872 900631423 /nfs/dbraw/zinc/63/14/23/900631423.db2.gz NAZRPKFMLZWFKX-CABCVRRESA-N 1 2 303.358 1.922 20 30 DDEDLO C=C[C@H](OC(=O)[C@H]1CCC[N@H+]1Cc1ccccc1)C(=O)OC ZINC001263526872 900631427 /nfs/dbraw/zinc/63/14/27/900631427.db2.gz NAZRPKFMLZWFKX-CABCVRRESA-N 1 2 303.358 1.922 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NC1CC1 ZINC001263806833 900719863 /nfs/dbraw/zinc/71/98/63/900719863.db2.gz FPMSBHAAFYXWNQ-TZMCWYRMSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CC1 ZINC001263806833 900719872 /nfs/dbraw/zinc/71/98/72/900719872.db2.gz FPMSBHAAFYXWNQ-TZMCWYRMSA-N 1 2 307.438 1.398 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnnn2CC)[C@@H]1C ZINC001264155895 900984162 /nfs/dbraw/zinc/98/41/62/900984162.db2.gz DPMWXFDUFYFQHH-ZBFHGGJFSA-N 1 2 317.437 1.571 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnnn2CC)[C@@H]1C ZINC001264155895 900984169 /nfs/dbraw/zinc/98/41/69/900984169.db2.gz DPMWXFDUFYFQHH-ZBFHGGJFSA-N 1 2 317.437 1.571 20 30 DDEDLO N#CCSCC(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1c1ccccc1 ZINC001264442096 901127390 /nfs/dbraw/zinc/12/73/90/901127390.db2.gz LNNDYOTWMPWRSH-LSDHHAIUSA-N 1 2 321.421 1.797 20 30 DDEDLO N#CCSCC(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1c1ccccc1 ZINC001264442096 901127401 /nfs/dbraw/zinc/12/74/01/901127401.db2.gz LNNDYOTWMPWRSH-LSDHHAIUSA-N 1 2 321.421 1.797 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)c2c[nH]cc2C2CC2)CC1 ZINC001265265082 901790333 /nfs/dbraw/zinc/79/03/33/901790333.db2.gz OSWOUVXBUFFVBK-UHFFFAOYSA-N 1 2 314.433 1.263 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@H]1CC[N@H+](CC(=O)NCCC(C)(C)C)C1 ZINC001391608538 902131677 /nfs/dbraw/zinc/13/16/77/902131677.db2.gz FVNXMWPDXICRPF-KBPBESRZSA-N 1 2 322.453 1.231 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NCCC(C)(C)C)C1 ZINC001391608538 902131685 /nfs/dbraw/zinc/13/16/85/902131685.db2.gz FVNXMWPDXICRPF-KBPBESRZSA-N 1 2 322.453 1.231 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC(C)C)no2)C1 ZINC001265901561 902564525 /nfs/dbraw/zinc/56/45/25/902564525.db2.gz ZDAOWLXBLURUOK-CQSZACIVSA-N 1 2 318.421 1.762 20 30 DDEDLO C=CCOCC(=O)N(C)C1CC[NH+](Cc2nc(C)c(C)o2)CC1 ZINC001266177381 903066116 /nfs/dbraw/zinc/06/61/16/903066116.db2.gz AXIXXHBRACGPMU-UHFFFAOYSA-N 1 2 321.421 1.917 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C1CC[NH+](Cc2nonc2C)CC1 ZINC001266181582 903071597 /nfs/dbraw/zinc/07/15/97/903071597.db2.gz JWHXEULWHZYHGY-INIZCTEOSA-N 1 2 322.409 1.128 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H](C)c2ccco2)C1 ZINC001266240026 903175688 /nfs/dbraw/zinc/17/56/88/903175688.db2.gz NOBNCPVLGRNKGH-KBPBESRZSA-N 1 2 319.405 1.266 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)c2ccco2)C1 ZINC001266240026 903175693 /nfs/dbraw/zinc/17/56/93/903175693.db2.gz NOBNCPVLGRNKGH-KBPBESRZSA-N 1 2 319.405 1.266 20 30 DDEDLO CCC(CC)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001266243376 903181622 /nfs/dbraw/zinc/18/16/22/903181622.db2.gz SZCZXQHBWAVUDI-CQSZACIVSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC(CC)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001266243376 903181636 /nfs/dbraw/zinc/18/16/36/903181636.db2.gz SZCZXQHBWAVUDI-CQSZACIVSA-N 1 2 308.426 1.033 20 30 DDEDLO C#CC[N@H+]1CCC[C@](CO)(NC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001279478849 903274155 /nfs/dbraw/zinc/27/41/55/903274155.db2.gz DHAWGMOWTNPSIX-KRWDZBQOSA-N 1 2 319.405 1.162 20 30 DDEDLO C#CC[N@@H+]1CCC[C@](CO)(NC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001279478849 903274165 /nfs/dbraw/zinc/27/41/65/903274165.db2.gz DHAWGMOWTNPSIX-KRWDZBQOSA-N 1 2 319.405 1.162 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001293869464 914742839 /nfs/dbraw/zinc/74/28/39/914742839.db2.gz UBNVQXCGLCSKRH-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001293886774 914750851 /nfs/dbraw/zinc/75/08/51/914750851.db2.gz YPOQPFMERHKKHD-ZDUSSCGKSA-N 1 2 318.421 1.761 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1CN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001280617122 903840517 /nfs/dbraw/zinc/84/05/17/903840517.db2.gz MYTMXBNQPOCPFM-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1CN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001280617122 903840525 /nfs/dbraw/zinc/84/05/25/903840525.db2.gz MYTMXBNQPOCPFM-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)CN(C)C(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001281043869 904349494 /nfs/dbraw/zinc/34/94/94/904349494.db2.gz ZXDLXPGFAQLCFF-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1Cc2ccccc21 ZINC001316609015 904570374 /nfs/dbraw/zinc/57/03/74/904570374.db2.gz ZDFJACSLININRH-FUHWJXTLSA-N 1 2 312.413 1.509 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1Cc2ccccc21 ZINC001316609015 904570380 /nfs/dbraw/zinc/57/03/80/904570380.db2.gz ZDFJACSLININRH-FUHWJXTLSA-N 1 2 312.413 1.509 20 30 DDEDLO COc1cc(C[N@H+](C)C[C@@H](C)NC(=O)c2c[nH]c(C#N)c2)on1 ZINC001392642867 904704453 /nfs/dbraw/zinc/70/44/53/904704453.db2.gz KGERPRMNKOFFBV-SNVBAGLBSA-N 1 2 317.349 1.133 20 30 DDEDLO COc1cc(C[N@@H+](C)C[C@@H](C)NC(=O)c2c[nH]c(C#N)c2)on1 ZINC001392642867 904704465 /nfs/dbraw/zinc/70/44/65/904704465.db2.gz KGERPRMNKOFFBV-SNVBAGLBSA-N 1 2 317.349 1.133 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2nonc2C)CC1(C)C ZINC001281541486 904994506 /nfs/dbraw/zinc/99/45/06/904994506.db2.gz PNDINJCPXPANGW-AWEZNQCLSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2nonc2C)CC1(C)C ZINC001281541486 904994513 /nfs/dbraw/zinc/99/45/13/904994513.db2.gz PNDINJCPXPANGW-AWEZNQCLSA-N 1 2 322.409 1.297 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)C#CC2CC2)CC[N@@H+]1Cc1nccn1C ZINC001281663504 905139790 /nfs/dbraw/zinc/13/97/90/905139790.db2.gz DLJHGZANDYYYMO-DZGCQCFKSA-N 1 2 300.406 1.303 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)C#CC2CC2)CC[N@H+]1Cc1nccn1C ZINC001281663504 905139799 /nfs/dbraw/zinc/13/97/99/905139799.db2.gz DLJHGZANDYYYMO-DZGCQCFKSA-N 1 2 300.406 1.303 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@H+](Cc2cnon2)[C@@H](C)C1 ZINC001281682341 905156354 /nfs/dbraw/zinc/15/63/54/905156354.db2.gz IFYKYQPPAHMRCI-QWHCGFSZSA-N 1 2 308.382 1.131 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@@H+](Cc2cnon2)[C@@H](C)C1 ZINC001281682341 905156362 /nfs/dbraw/zinc/15/63/62/905156362.db2.gz IFYKYQPPAHMRCI-QWHCGFSZSA-N 1 2 308.382 1.131 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@H]1CCCN1C(C)=O ZINC001377365860 921142161 /nfs/dbraw/zinc/14/21/61/921142161.db2.gz IHPBHCCDQQMJEX-CYBMUJFWSA-N 1 2 301.818 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@H]1CCCN1C(C)=O ZINC001377365860 921142167 /nfs/dbraw/zinc/14/21/67/921142167.db2.gz IHPBHCCDQQMJEX-CYBMUJFWSA-N 1 2 301.818 1.188 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001282124094 905563694 /nfs/dbraw/zinc/56/36/94/905563694.db2.gz RKINISIRLOSOOK-UONOGXRCSA-N 1 2 318.421 1.710 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)[C@@H](C)[NH2+]Cc1nnc(C2CC2)o1 ZINC001282128063 905566212 /nfs/dbraw/zinc/56/62/12/905566212.db2.gz GOYYXGSQSVKDPF-GHMZBOCLSA-N 1 2 302.378 1.343 20 30 DDEDLO Cc1ccnc(C[N@H+]2CCC[C@](C)(CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001393189477 906416873 /nfs/dbraw/zinc/41/68/73/906416873.db2.gz RDZCWOMGIMKHHG-SUMWQHHRSA-N 1 2 315.421 1.663 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CCC[C@](C)(CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001393189477 906416884 /nfs/dbraw/zinc/41/68/84/906416884.db2.gz RDZCWOMGIMKHHG-SUMWQHHRSA-N 1 2 315.421 1.663 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](O)(CNC(=O)c2nccs2)C1 ZINC001393274379 906623353 /nfs/dbraw/zinc/62/33/53/906623353.db2.gz FQWCPULSQBDEPS-LBPRGKRZSA-N 1 2 301.799 1.062 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](O)(CNC(=O)c2nccs2)C1 ZINC001393274379 906623360 /nfs/dbraw/zinc/62/33/60/906623360.db2.gz FQWCPULSQBDEPS-LBPRGKRZSA-N 1 2 301.799 1.062 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001283357646 907209586 /nfs/dbraw/zinc/20/95/86/907209586.db2.gz KYZBXOFOVMTLJV-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO CC[C@@H](C(N)=O)[N@@H+]1Cc2ccc(CNC(=O)[C@@H](C)C#N)cc2C1 ZINC001393720836 907751216 /nfs/dbraw/zinc/75/12/16/907751216.db2.gz SDAOKOSNNFNALB-NHYWBVRUSA-N 1 2 314.389 1.042 20 30 DDEDLO CC[C@@H](C(N)=O)[N@H+]1Cc2ccc(CNC(=O)[C@@H](C)C#N)cc2C1 ZINC001393720836 907751228 /nfs/dbraw/zinc/75/12/28/907751228.db2.gz SDAOKOSNNFNALB-NHYWBVRUSA-N 1 2 314.389 1.042 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001284021296 908328439 /nfs/dbraw/zinc/32/84/39/908328439.db2.gz VEVWMJMJNLYJRQ-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001284099635 908474194 /nfs/dbraw/zinc/47/41/94/908474194.db2.gz CAXJXWCIUZFSAK-AUZPSNTRSA-N 1 2 318.421 1.482 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@H](NC(=O)C#CC3CC3)[C@H]2C)on1 ZINC001284127653 908518745 /nfs/dbraw/zinc/51/87/45/908518745.db2.gz CYNYUPRMPHZKGC-DOMZBBRYSA-N 1 2 317.389 1.566 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@H](NC(=O)C#CC3CC3)[C@H]2C)on1 ZINC001284127653 908518761 /nfs/dbraw/zinc/51/87/61/908518761.db2.gz CYNYUPRMPHZKGC-DOMZBBRYSA-N 1 2 317.389 1.566 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](CNC(=O)Cn3cc[nH+]c3)C2)C1 ZINC001284383121 908920667 /nfs/dbraw/zinc/92/06/67/908920667.db2.gz FSFGVSTYBZMUSZ-CQSZACIVSA-N 1 2 316.405 1.204 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1ccc2n[nH]nc2c1 ZINC001394139049 908946887 /nfs/dbraw/zinc/94/68/87/908946887.db2.gz VIGCFLNEAVIYJD-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1ccc2n[nH]nc2c1 ZINC001394139049 908946900 /nfs/dbraw/zinc/94/69/00/908946900.db2.gz VIGCFLNEAVIYJD-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001284682145 909348768 /nfs/dbraw/zinc/34/87/68/909348768.db2.gz ULQNJWDLASHLSG-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@H](C)CN(C)C(=O)c2cc(C#N)c[nH]2)n1 ZINC001394467620 909714808 /nfs/dbraw/zinc/71/48/08/909714808.db2.gz WFOBYUSTZYOMSD-ZJUUUORDSA-N 1 2 316.365 1.389 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](CC)CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001285088481 910054157 /nfs/dbraw/zinc/05/41/57/910054157.db2.gz NPKQDXLPYBXHTB-GFCCVEGCSA-N 1 2 304.394 1.320 20 30 DDEDLO CCn1ncnc1C[NH+]1CCC([C@H](C)NC(=O)[C@H](C)C#N)CC1 ZINC001394841933 910717651 /nfs/dbraw/zinc/71/76/51/910717651.db2.gz APJGDEVKDQJAOK-OLZOCXBDSA-N 1 2 318.425 1.174 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H](C)N(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001285799403 911219178 /nfs/dbraw/zinc/21/91/78/911219178.db2.gz WNURROUIHJRELE-GXTWGEPZSA-N 1 2 320.437 1.826 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]1CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001285865604 911332126 /nfs/dbraw/zinc/33/21/26/911332126.db2.gz OOAGZQJZTPGJBI-KGLIPLIRSA-N 1 2 318.421 1.764 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)Cc1c[nH+]cn1C ZINC001285882055 911360109 /nfs/dbraw/zinc/36/01/09/911360109.db2.gz PTJVMOQBIAZVLX-CYBMUJFWSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)Cn2cc[nH+]c2)CC1(C)C ZINC001286235515 911866876 /nfs/dbraw/zinc/86/68/76/911866876.db2.gz HZJKDYWBFNXKPI-ZDUSSCGKSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001294782988 915362436 /nfs/dbraw/zinc/36/24/36/915362436.db2.gz CILDVEWQJKSZQW-KGLIPLIRSA-N 1 2 318.421 1.580 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001295113531 915581925 /nfs/dbraw/zinc/58/19/25/915581925.db2.gz OALBRKSKLLUQTC-SHTZXODSSA-N 1 2 316.405 1.230 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001295885132 916109324 /nfs/dbraw/zinc/10/93/24/916109324.db2.gz CCHPLQCVOJMRFC-CYBMUJFWSA-N 1 2 306.410 1.330 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001297060592 916702887 /nfs/dbraw/zinc/70/28/87/916702887.db2.gz SZDCXCQELVNOKX-UHFFFAOYSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC(NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001297079050 916716269 /nfs/dbraw/zinc/71/62/69/916716269.db2.gz PUNIVYLJZPPJQN-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC(NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001297079050 916716282 /nfs/dbraw/zinc/71/62/82/916716282.db2.gz PUNIVYLJZPPJQN-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H](C)NC(=O)C(C)(C)C)C1 ZINC001376143727 917796922 /nfs/dbraw/zinc/79/69/22/917796922.db2.gz IGYKUUNKXXVEOV-NWDGAFQWSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H](C)NC(=O)C(C)(C)C)C1 ZINC001376143727 917796939 /nfs/dbraw/zinc/79/69/39/917796939.db2.gz IGYKUUNKXXVEOV-NWDGAFQWSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)[C@H](C)CSC)C1 ZINC001376447089 918511815 /nfs/dbraw/zinc/51/18/15/918511815.db2.gz ATALETLKPSIIAD-BXUZGUMPSA-N 1 2 320.886 1.681 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)[C@H](C)CSC)C1 ZINC001376447089 918511828 /nfs/dbraw/zinc/51/18/28/918511828.db2.gz ATALETLKPSIIAD-BXUZGUMPSA-N 1 2 320.886 1.681 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)Cc1ccn(C)n1 ZINC001376573353 918788127 /nfs/dbraw/zinc/78/81/27/918788127.db2.gz NYWFOMPNGKBUPI-AWEZNQCLSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)Cc1ccn(C)n1 ZINC001376573353 918788141 /nfs/dbraw/zinc/78/81/41/918788141.db2.gz NYWFOMPNGKBUPI-AWEZNQCLSA-N 1 2 310.829 1.686 20 30 DDEDLO N#Cc1ccccc1C[NH2+]C1(CNC(=O)Cc2nnc[nH]2)CCC1 ZINC001376810338 919457860 /nfs/dbraw/zinc/45/78/60/919457860.db2.gz QCFMAJBBZILOFC-UHFFFAOYSA-N 1 2 324.388 1.048 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1cn(C)nc1OC ZINC001377333938 921077124 /nfs/dbraw/zinc/07/71/24/921077124.db2.gz LQEITSLBJCXWRF-UHFFFAOYSA-N 1 2 300.790 1.233 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1cn(C)nc1OC ZINC001377333938 921077138 /nfs/dbraw/zinc/07/71/38/921077138.db2.gz LQEITSLBJCXWRF-UHFFFAOYSA-N 1 2 300.790 1.233 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@@H]1CCc2nccn2C1)C1CC1 ZINC001377538790 922268475 /nfs/dbraw/zinc/26/84/75/922268475.db2.gz YDHGZKBRAACWOD-CYBMUJFWSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@@H]1CCc2nccn2C1)C1CC1 ZINC001377538790 922268491 /nfs/dbraw/zinc/26/84/91/922268491.db2.gz YDHGZKBRAACWOD-CYBMUJFWSA-N 1 2 322.840 1.779 20 30 DDEDLO CCCN(C(=O)[C@@H](C)C#N)[C@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001377647313 922567780 /nfs/dbraw/zinc/56/77/80/922567780.db2.gz ISSVQCXAGXVCAM-GJZGRUSLSA-N 1 2 322.453 1.231 20 30 DDEDLO CCCN(C(=O)[C@@H](C)C#N)[C@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001377647313 922567786 /nfs/dbraw/zinc/56/77/86/922567786.db2.gz ISSVQCXAGXVCAM-GJZGRUSLSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2ccc(F)c(C#N)c2)CC1 ZINC000278754355 214376379 /nfs/dbraw/zinc/37/63/79/214376379.db2.gz FNQUONBLEASPMZ-UHFFFAOYSA-N 1 2 319.380 1.880 20 30 DDEDLO CO[C@@]1(C)CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000317796901 231163621 /nfs/dbraw/zinc/16/36/21/231163621.db2.gz FUMAPNHBRRENDP-INIZCTEOSA-N 1 2 322.430 1.833 20 30 DDEDLO CO[C@@]1(C)CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000317796901 231163623 /nfs/dbraw/zinc/16/36/23/231163623.db2.gz FUMAPNHBRRENDP-INIZCTEOSA-N 1 2 322.430 1.833 20 30 DDEDLO C=CCNC(=O)N1CC[NH+](Cc2cc(OC)cc(OC)c2)CC1 ZINC000083019303 185211231 /nfs/dbraw/zinc/21/12/31/185211231.db2.gz IGYJGTDNZALBCJ-UHFFFAOYSA-N 1 2 319.405 1.717 20 30 DDEDLO CCn1cc[nH+]c1CNS(=O)(=O)Cc1ccc(F)cc1C#N ZINC000451754650 529579818 /nfs/dbraw/zinc/57/98/18/529579818.db2.gz JFTBMCRNHQLDHD-UHFFFAOYSA-N 1 2 322.365 1.533 20 30 DDEDLO CCc1cnccc1[C@@H](C)NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329620188 529674690 /nfs/dbraw/zinc/67/46/90/529674690.db2.gz RBPSZAWSLRPYHQ-UHOFOFEASA-N 1 2 318.421 1.634 20 30 DDEDLO CCc1cnccc1[C@@H](C)NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329620188 529674692 /nfs/dbraw/zinc/67/46/92/529674692.db2.gz RBPSZAWSLRPYHQ-UHOFOFEASA-N 1 2 318.421 1.634 20 30 DDEDLO CCc1ccc(OC[C@H](O)C[N@H+](C)CCC#N)c([N+](=O)[O-])c1 ZINC000414135577 529695308 /nfs/dbraw/zinc/69/53/08/529695308.db2.gz CNWVCBUWJOFPQZ-CYBMUJFWSA-N 1 2 307.350 1.742 20 30 DDEDLO CCc1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)c([N+](=O)[O-])c1 ZINC000414135577 529695309 /nfs/dbraw/zinc/69/53/09/529695309.db2.gz CNWVCBUWJOFPQZ-CYBMUJFWSA-N 1 2 307.350 1.742 20 30 DDEDLO Cc1cc2c[nH]nc2c(C(=O)NCC[N@H+]2CCOC[C@H]2C)c1 ZINC000329099305 539300966 /nfs/dbraw/zinc/30/09/66/539300966.db2.gz MEVVYOSZUNVCJA-GFCCVEGCSA-N 1 2 302.378 1.897 20 30 DDEDLO Cc1cc2c[nH]nc2c(C(=O)NCC[N@@H+]2CCOC[C@H]2C)c1 ZINC000329099305 539300967 /nfs/dbraw/zinc/30/09/67/539300967.db2.gz MEVVYOSZUNVCJA-GFCCVEGCSA-N 1 2 302.378 1.897 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)N2CCCC2)[N@H+](CC(=O)NCC2CCC2)C1 ZINC000328969442 539299873 /nfs/dbraw/zinc/29/98/73/539299873.db2.gz UMJAPOYULRLASQ-GJZGRUSLSA-N 1 2 323.437 1.455 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)N2CCCC2)[N@@H+](CC(=O)NCC2CCC2)C1 ZINC000328969442 539299875 /nfs/dbraw/zinc/29/98/75/539299875.db2.gz UMJAPOYULRLASQ-GJZGRUSLSA-N 1 2 323.437 1.455 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NCc3ccc4c(c3)COC4)C[C@H]21 ZINC000330302650 529784960 /nfs/dbraw/zinc/78/49/60/529784960.db2.gz OOSOMLKALZNHRX-HZPDHXFCSA-N 1 2 317.389 1.146 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NCc3ccc4c(c3)COC4)C[C@H]21 ZINC000330302650 529784961 /nfs/dbraw/zinc/78/49/61/529784961.db2.gz OOSOMLKALZNHRX-HZPDHXFCSA-N 1 2 317.389 1.146 20 30 DDEDLO C=CCSCCNC(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000286446459 222644107 /nfs/dbraw/zinc/64/41/07/222644107.db2.gz IOBFJTVBWXDURT-UHFFFAOYSA-N 1 2 322.434 1.082 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)Cn1cnc2cc(Cl)ccc2c1=O ZINC000414115665 529868516 /nfs/dbraw/zinc/86/85/16/529868516.db2.gz VHEIOAMNWNFVLZ-GFCCVEGCSA-N 1 2 320.780 1.256 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)Cn1cnc2cc(Cl)ccc2c1=O ZINC000414115665 529868517 /nfs/dbraw/zinc/86/85/17/529868517.db2.gz VHEIOAMNWNFVLZ-GFCCVEGCSA-N 1 2 320.780 1.256 20 30 DDEDLO Cc1nsc(N2CC[NH+]([C@@H]3CCCCNC3=O)CC2)c1C#N ZINC000287708528 282434090 /nfs/dbraw/zinc/43/40/90/282434090.db2.gz DTHZZSSMXFKJBC-CYBMUJFWSA-N 1 2 319.434 1.114 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+](C)Cc1nncn1C ZINC000286979179 219254529 /nfs/dbraw/zinc/25/45/29/219254529.db2.gz OOCFRDBHYVSONF-UHFFFAOYSA-N 1 2 301.350 1.206 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+](C)Cc1nncn1C ZINC000286979179 219254530 /nfs/dbraw/zinc/25/45/30/219254530.db2.gz OOCFRDBHYVSONF-UHFFFAOYSA-N 1 2 301.350 1.206 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000186065963 186237521 /nfs/dbraw/zinc/23/75/21/186237521.db2.gz RHBSLSGCBBZFCU-CHWSQXEVSA-N 1 2 307.419 1.442 20 30 DDEDLO COc1cccc(C[NH+]2CCN(c3ncccc3C#N)CC2)n1 ZINC000172007602 198024257 /nfs/dbraw/zinc/02/42/57/198024257.db2.gz IGTLCRVQNRHJFQ-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cccc(C(N)=O)c3)CC2)c1 ZINC000029171417 352236569 /nfs/dbraw/zinc/23/65/69/352236569.db2.gz VXXPGVBNKURIEW-UHFFFAOYSA-N 1 2 321.384 1.374 20 30 DDEDLO C#CCN(CC)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000056643237 352779757 /nfs/dbraw/zinc/77/97/57/352779757.db2.gz UFVUYZOGPZKUNI-KRWDZBQOSA-N 1 2 315.417 1.725 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)NCC(C)C)cc1OC ZINC000060552828 352875765 /nfs/dbraw/zinc/87/57/65/352875765.db2.gz CXZHGWKYPPSMBP-UHFFFAOYSA-N 1 2 309.366 1.113 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@@H](O)COc1ccc(Cl)cc1 ZINC000072689572 353209258 /nfs/dbraw/zinc/20/92/58/353209258.db2.gz SOSIOJQBVSTKAB-CQSZACIVSA-N 1 2 312.797 1.942 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@@H](O)COc1ccc(Cl)cc1 ZINC000072689572 353209262 /nfs/dbraw/zinc/20/92/62/353209262.db2.gz SOSIOJQBVSTKAB-CQSZACIVSA-N 1 2 312.797 1.942 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000073647690 353264478 /nfs/dbraw/zinc/26/44/78/353264478.db2.gz QOKDSVXOTYITQE-ZIAGYGMSSA-N 1 2 315.421 1.378 20 30 DDEDLO N#CCNC(=O)CN1CC[NH+](Cc2ccc(Cl)cc2)CC1 ZINC000076667995 353431414 /nfs/dbraw/zinc/43/14/14/353431414.db2.gz KXVSVLNRVFYQGZ-UHFFFAOYSA-N 1 2 306.797 1.097 20 30 DDEDLO CN(C[C@H](O)C[NH+]1CCOCC1)c1c(Cl)cccc1C#N ZINC000081015884 353645217 /nfs/dbraw/zinc/64/52/17/353645217.db2.gz ATBTYTJVTFHUHI-ZDUSSCGKSA-N 1 2 309.797 1.341 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)Nc1cc(N)ccc1F ZINC000103427872 353929601 /nfs/dbraw/zinc/92/96/01/353929601.db2.gz VSZHICYSMHMLAH-UHFFFAOYSA-N 1 2 308.357 1.599 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)Nc1cc(N)ccc1F ZINC000103427872 353929603 /nfs/dbraw/zinc/92/96/03/353929603.db2.gz VSZHICYSMHMLAH-UHFFFAOYSA-N 1 2 308.357 1.599 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1ncc[nH]1 ZINC000287445614 354435270 /nfs/dbraw/zinc/43/52/70/354435270.db2.gz LDYJVFUCSNTAHI-SWLSCSKDSA-N 1 2 309.373 1.733 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1C[C@H](NC(=O)NCC2(CC#N)CC2)CCO1 ZINC000332989754 354572677 /nfs/dbraw/zinc/57/26/77/354572677.db2.gz QELOSDSAEBLDHP-TZMCWYRMSA-N 1 2 317.393 1.633 20 30 DDEDLO N#CC1(CNS(=O)(=O)c2ccccc2Cn2cc[nH+]c2)CC1 ZINC000581467553 354733745 /nfs/dbraw/zinc/73/37/45/354733745.db2.gz ILFPIHVQERKQRL-UHFFFAOYSA-N 1 2 316.386 1.513 20 30 DDEDLO Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000580717691 354726334 /nfs/dbraw/zinc/72/63/34/354726334.db2.gz HRTHZRNSIMULKX-HNNXBMFYSA-N 1 2 304.350 1.785 20 30 DDEDLO Cc1nnsc1C[N@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000565046823 304040242 /nfs/dbraw/zinc/04/02/42/304040242.db2.gz NGDNPJBEAPCETD-SNVBAGLBSA-N 1 2 315.406 1.219 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000565046823 304040244 /nfs/dbraw/zinc/04/02/44/304040244.db2.gz NGDNPJBEAPCETD-SNVBAGLBSA-N 1 2 315.406 1.219 20 30 DDEDLO N#CC1(F)CC[NH+](Cc2ccc(C(N)=O)cc2[N+](=O)[O-])CC1 ZINC000352467613 283047520 /nfs/dbraw/zinc/04/75/20/283047520.db2.gz LPMHHWNACGWVFD-UHFFFAOYSA-N 1 2 306.297 1.521 20 30 DDEDLO N#Cc1ccc(CN2C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C2=O)c(F)c1 ZINC000586858851 354875135 /nfs/dbraw/zinc/87/51/35/354875135.db2.gz JAAITFCOWNVZNZ-ZDUSSCGKSA-N 1 2 313.292 1.084 20 30 DDEDLO N#Cc1ccc(CN2C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C2=O)c(F)c1 ZINC000586858851 354875136 /nfs/dbraw/zinc/87/51/36/354875136.db2.gz JAAITFCOWNVZNZ-ZDUSSCGKSA-N 1 2 313.292 1.084 20 30 DDEDLO N#Cc1nn(C[N@@H+]2CCN3C(=O)OCC[C@H]3C2)c2ccccc12 ZINC000495011919 235113661 /nfs/dbraw/zinc/11/36/61/235113661.db2.gz LZVCCRWVWZCDHO-LBPRGKRZSA-N 1 2 311.345 1.392 20 30 DDEDLO N#Cc1nn(C[N@H+]2CCN3C(=O)OCC[C@H]3C2)c2ccccc12 ZINC000495011919 235113663 /nfs/dbraw/zinc/11/36/63/235113663.db2.gz LZVCCRWVWZCDHO-LBPRGKRZSA-N 1 2 311.345 1.392 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc2[nH]c(=O)ccc2c1 ZINC000591773765 355382390 /nfs/dbraw/zinc/38/23/90/355382390.db2.gz OQUZYDOKDQQTHA-AWEZNQCLSA-N 1 2 300.362 1.146 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc2[nH]c(=O)ccc2c1 ZINC000591773765 355382391 /nfs/dbraw/zinc/38/23/91/355382391.db2.gz OQUZYDOKDQQTHA-AWEZNQCLSA-N 1 2 300.362 1.146 20 30 DDEDLO CNC(=O)[C@]1(C(F)(F)F)CC[N@H+](C[C@H](C#N)CCC#N)C1 ZINC000592184756 355528662 /nfs/dbraw/zinc/52/86/62/355528662.db2.gz PFHHKJBFKZVPEC-JQWIXIFHSA-N 1 2 302.300 1.430 20 30 DDEDLO CNC(=O)[C@]1(C(F)(F)F)CC[N@@H+](C[C@H](C#N)CCC#N)C1 ZINC000592184756 355528665 /nfs/dbraw/zinc/52/86/65/355528665.db2.gz PFHHKJBFKZVPEC-JQWIXIFHSA-N 1 2 302.300 1.430 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCC[C@@H](c4ccn[nH]4)C3)cnc12 ZINC000592497871 355601378 /nfs/dbraw/zinc/60/13/78/355601378.db2.gz DUCMEJPOSBKJOP-CYBMUJFWSA-N 1 2 307.361 1.704 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCC[C@@H](c4ccn[nH]4)C3)cnc12 ZINC000592497871 355601383 /nfs/dbraw/zinc/60/13/83/355601383.db2.gz DUCMEJPOSBKJOP-CYBMUJFWSA-N 1 2 307.361 1.704 20 30 DDEDLO N#CCCN(C(=O)C[N@@H+]1C[C@@H]2C[C@H]1C[S@@]2=O)c1ccccc1 ZINC000593097056 355795092 /nfs/dbraw/zinc/79/50/92/355795092.db2.gz AZKAIZBFXSUNBL-DFFLPILJSA-N 1 2 317.414 1.138 20 30 DDEDLO N#CCCN(C(=O)C[N@H+]1C[C@@H]2C[C@H]1C[S@@]2=O)c1ccccc1 ZINC000593097056 355795096 /nfs/dbraw/zinc/79/50/96/355795096.db2.gz AZKAIZBFXSUNBL-DFFLPILJSA-N 1 2 317.414 1.138 20 30 DDEDLO N#CCCCCC(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000594013435 356086906 /nfs/dbraw/zinc/08/69/06/356086906.db2.gz ZHRHLENZOYODIQ-UHFFFAOYSA-N 1 2 314.389 1.552 20 30 DDEDLO N#CCCCCC(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000594013435 356086912 /nfs/dbraw/zinc/08/69/12/356086912.db2.gz ZHRHLENZOYODIQ-UHFFFAOYSA-N 1 2 314.389 1.552 20 30 DDEDLO N#CC[C@H]1CCCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000595087360 356380946 /nfs/dbraw/zinc/38/09/46/356380946.db2.gz WWQMUGMYFHSLDK-GFCCVEGCSA-N 1 2 311.345 1.425 20 30 DDEDLO CC(C)N(Cc1ccc(C#N)cc1)C(=O)[C@@H]1C[N@H+](C)CCO1 ZINC000081301063 192247716 /nfs/dbraw/zinc/24/77/16/192247716.db2.gz BISUUFNHBKDPGO-INIZCTEOSA-N 1 2 301.390 1.626 20 30 DDEDLO CC(C)N(Cc1ccc(C#N)cc1)C(=O)[C@@H]1C[N@@H+](C)CCO1 ZINC000081301063 192247719 /nfs/dbraw/zinc/24/77/19/192247719.db2.gz BISUUFNHBKDPGO-INIZCTEOSA-N 1 2 301.390 1.626 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CCN2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000595398517 356478116 /nfs/dbraw/zinc/47/81/16/356478116.db2.gz RFPOKHQOXWOKNH-IXDOHACOSA-N 1 2 308.470 1.261 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)nc(C)n1 ZINC000595438621 356492964 /nfs/dbraw/zinc/49/29/64/356492964.db2.gz QXVMWKSVSQUXEW-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO C[C@@H]1COC2(CCCC2)C[N@@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000595814437 356661786 /nfs/dbraw/zinc/66/17/86/356661786.db2.gz GNBGUSVMZASTQM-CZUORRHYSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@@H]1COC2(CCCC2)C[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000595814437 356661788 /nfs/dbraw/zinc/66/17/88/356661788.db2.gz GNBGUSVMZASTQM-CZUORRHYSA-N 1 2 305.422 1.828 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[NH+]1CCC(c2ccon2)CC1 ZINC000595837710 356672675 /nfs/dbraw/zinc/67/26/75/356672675.db2.gz RRYYUMJBBQZVBD-MRXNPFEDSA-N 1 2 304.394 1.908 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(N)=O ZINC000459427058 283212352 /nfs/dbraw/zinc/21/23/52/283212352.db2.gz JLQNWOCDTBLMTC-YWPYICTPSA-N 1 2 314.345 1.552 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(N)=O ZINC000459427058 283212359 /nfs/dbraw/zinc/21/23/59/283212359.db2.gz JLQNWOCDTBLMTC-YWPYICTPSA-N 1 2 314.345 1.552 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2cc(C#N)ccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000596430682 356876919 /nfs/dbraw/zinc/87/69/19/356876919.db2.gz NIOSDNUWJNWUFJ-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2cc(C#N)ccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000596430682 356876922 /nfs/dbraw/zinc/87/69/22/356876922.db2.gz NIOSDNUWJNWUFJ-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO N#CCC1(CNC(=O)N(CC[NH+]2CCOCC2)CC2CC2)CC1 ZINC000596586436 356925566 /nfs/dbraw/zinc/92/55/66/356925566.db2.gz ASAYIOVYAPOAFM-UHFFFAOYSA-N 1 2 320.437 1.434 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2ccc(F)cc2C#N)[C@@H](C)CO1 ZINC000596783648 356976584 /nfs/dbraw/zinc/97/65/84/356976584.db2.gz OCRKWYMWRJMKIO-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(F)cc2C#N)[C@@H](C)CO1 ZINC000596783648 356976587 /nfs/dbraw/zinc/97/65/87/356976587.db2.gz OCRKWYMWRJMKIO-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)c1C#N ZINC000596901796 357010584 /nfs/dbraw/zinc/01/05/84/357010584.db2.gz PMQWRMQYWKWVHZ-UWVGGRQHSA-N 1 2 323.422 1.554 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)c1C#N ZINC000596901796 357010586 /nfs/dbraw/zinc/01/05/86/357010586.db2.gz PMQWRMQYWKWVHZ-UWVGGRQHSA-N 1 2 323.422 1.554 20 30 DDEDLO Cc1cccc2[nH+]c(CNC(=O)CC3(C#N)CCOCC3)cn21 ZINC000597201579 357091308 /nfs/dbraw/zinc/09/13/08/357091308.db2.gz YJIQBJFZVATUSJ-UHFFFAOYSA-N 1 2 312.373 1.969 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[C@@H]([NH+](C)C)[C@H]2C)cc1C#N ZINC000597699172 357289986 /nfs/dbraw/zinc/28/99/86/357289986.db2.gz ILGAFYJXTQOYJN-BXKDBHETSA-N 1 2 320.418 1.545 20 30 DDEDLO N#C[C@@H](CO)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccc(F)cc1 ZINC000597742848 357313575 /nfs/dbraw/zinc/31/35/75/357313575.db2.gz YTBJZWUMGFORBH-GJZGRUSLSA-N 1 2 305.353 1.181 20 30 DDEDLO N#C[C@@H](CO)NC(=O)[C@@H]1CCCC[N@H+]1Cc1ccc(F)cc1 ZINC000597742848 357313579 /nfs/dbraw/zinc/31/35/79/357313579.db2.gz YTBJZWUMGFORBH-GJZGRUSLSA-N 1 2 305.353 1.181 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1sccc1C#N)C(C)(C)CO ZINC000597845400 357356222 /nfs/dbraw/zinc/35/62/22/357356222.db2.gz GVUPCYRBYVAYDU-GFCCVEGCSA-N 1 2 318.402 1.843 20 30 DDEDLO CO[C@@H]1C[N@@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C[C@@H]1OC ZINC000276819339 213114103 /nfs/dbraw/zinc/11/41/03/213114103.db2.gz FLGIIGJGKITERR-DFBGVHRSSA-N 1 2 303.362 1.231 20 30 DDEDLO CO[C@@H]1C[N@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C[C@@H]1OC ZINC000276819339 213114105 /nfs/dbraw/zinc/11/41/05/213114105.db2.gz FLGIIGJGKITERR-DFBGVHRSSA-N 1 2 303.362 1.231 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[N@@H+]1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329657870 223009961 /nfs/dbraw/zinc/00/99/61/223009961.db2.gz NJWQBUJOAOLNOJ-GHMZBOCLSA-N 1 2 307.398 1.977 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[N@H+]1CCC[C@@H](c2n[nH]c(=O)[nH]2)C1 ZINC000329657870 223009964 /nfs/dbraw/zinc/00/99/64/223009964.db2.gz NJWQBUJOAOLNOJ-GHMZBOCLSA-N 1 2 307.398 1.977 20 30 DDEDLO Cn1cc(NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)c(C2CC2)n1 ZINC000329634463 223005336 /nfs/dbraw/zinc/00/53/36/223005336.db2.gz TWMHLOIBQHSQDJ-KBPBESRZSA-N 1 2 319.409 1.248 20 30 DDEDLO Cn1cc(NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)c(C2CC2)n1 ZINC000329634463 223005337 /nfs/dbraw/zinc/00/53/37/223005337.db2.gz TWMHLOIBQHSQDJ-KBPBESRZSA-N 1 2 319.409 1.248 20 30 DDEDLO Cn1nccc1[C@H]1c2[nH]c[nH+]c2CCN1Cc1ccnc(C#N)c1 ZINC000599445130 357940577 /nfs/dbraw/zinc/94/05/77/357940577.db2.gz QIEXUQIUTQPYNL-KRWDZBQOSA-N 1 2 319.372 1.558 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](Cc2cccc3nccn32)CC1 ZINC000179640758 199068514 /nfs/dbraw/zinc/06/85/14/199068514.db2.gz ORMXUNKZKDJJNS-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#Cc1cccnc1N1CCN(Cc2cccc3[nH+]ccn32)CC1 ZINC000179640758 199068516 /nfs/dbraw/zinc/06/85/16/199068516.db2.gz ORMXUNKZKDJJNS-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@@H+]2CCO[C@H](CCF)C2)cc1 ZINC000599644305 358004586 /nfs/dbraw/zinc/00/45/86/358004586.db2.gz QQEMQNGYMJLMJS-GOEBONIOSA-N 1 2 308.353 1.358 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCO[C@H](CCF)C2)cc1 ZINC000599644305 358004593 /nfs/dbraw/zinc/00/45/93/358004593.db2.gz QQEMQNGYMJLMJS-GOEBONIOSA-N 1 2 308.353 1.358 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)NCCc1cn(C)c[nH+]1 ZINC000601437357 358553045 /nfs/dbraw/zinc/55/30/45/358553045.db2.gz VGDATNPCZKXTFH-UHFFFAOYSA-N 1 2 322.365 1.260 20 30 DDEDLO CC(C)(CNS(=O)(=O)Cc1ccc(C#N)cc1)n1cc[nH+]c1 ZINC000601526658 358589242 /nfs/dbraw/zinc/58/92/42/358589242.db2.gz NPXMCJBUGGDARG-UHFFFAOYSA-N 1 2 318.402 1.609 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCO[C@@H](C(F)F)CC2)CCCCC1 ZINC000601975630 358761992 /nfs/dbraw/zinc/76/19/92/358761992.db2.gz LYBOAKHELNLCDO-GFCCVEGCSA-N 1 2 315.364 1.685 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCO[C@@H](C(F)F)CC2)CCCCC1 ZINC000601975630 358761994 /nfs/dbraw/zinc/76/19/94/358761994.db2.gz LYBOAKHELNLCDO-GFCCVEGCSA-N 1 2 315.364 1.685 20 30 DDEDLO N#CCCCC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000601856287 358717853 /nfs/dbraw/zinc/71/78/53/358717853.db2.gz RVMFNDHKPBBEGG-UHFFFAOYSA-N 1 2 304.419 1.945 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N(C)C[C@@H](C)C#N)cc1 ZINC000602079205 358803291 /nfs/dbraw/zinc/80/32/91/358803291.db2.gz OARWFQWZKCFXBK-BBRMVZONSA-N 1 2 301.390 1.888 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2C(=O)N(C)C[C@@H](C)C#N)cc1 ZINC000602079205 358803294 /nfs/dbraw/zinc/80/32/94/358803294.db2.gz OARWFQWZKCFXBK-BBRMVZONSA-N 1 2 301.390 1.888 20 30 DDEDLO CCc1c[nH]c(CC(=O)N2CC(Nc3cccc(C#N)c3)C2)[nH+]1 ZINC000602188540 358872349 /nfs/dbraw/zinc/87/23/49/358872349.db2.gz KEYKJRNJOUBNBR-UHFFFAOYSA-N 1 2 309.373 1.709 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)cc1F ZINC000602149300 358847275 /nfs/dbraw/zinc/84/72/75/358847275.db2.gz SDVPPZNVUIKJFX-NXEZZACHSA-N 1 2 302.284 1.979 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)cc1F ZINC000602149300 358847278 /nfs/dbraw/zinc/84/72/78/358847278.db2.gz SDVPPZNVUIKJFX-NXEZZACHSA-N 1 2 302.284 1.979 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)N[C@@H]2Cc3c[nH+]cn3C2)cc1 ZINC000602263236 358904321 /nfs/dbraw/zinc/90/43/21/358904321.db2.gz VGAVIPFRUZVZPW-CYBMUJFWSA-N 1 2 311.345 1.058 20 30 DDEDLO N#CCN1CC[NH+](Cc2ccccc2OCCCCO)CC1 ZINC000602452560 358985710 /nfs/dbraw/zinc/98/57/10/358985710.db2.gz DBTIPNFSXREAPN-UHFFFAOYSA-N 1 2 303.406 1.479 20 30 DDEDLO C[C@H](CCC#N)CNc1cccc(C[N@@H+]2CCNC(=O)C2)c1 ZINC000602678734 359120820 /nfs/dbraw/zinc/12/08/20/359120820.db2.gz YGILKYINVWZUMW-CQSZACIVSA-N 1 2 300.406 1.970 20 30 DDEDLO C[C@H](CCC#N)CNc1cccc(C[N@H+]2CCNC(=O)C2)c1 ZINC000602678734 359120822 /nfs/dbraw/zinc/12/08/22/359120822.db2.gz YGILKYINVWZUMW-CQSZACIVSA-N 1 2 300.406 1.970 20 30 DDEDLO COc1cc(OC)c(OC)cc1C[NH+]1CCN(CCC#N)CC1 ZINC000602752534 359170295 /nfs/dbraw/zinc/17/02/95/359170295.db2.gz XYUDPUSKYCQXLH-UHFFFAOYSA-N 1 2 319.405 1.744 20 30 DDEDLO C[N@H+](CCNS(=O)(=O)c1ccccc1)[C@H]1CCC[C@@H]1C#N ZINC000602976201 359335681 /nfs/dbraw/zinc/33/56/81/359335681.db2.gz MNRJFTVXKCIBSM-HIFRSBDPSA-N 1 2 307.419 1.589 20 30 DDEDLO C[N@@H+](CCNS(=O)(=O)c1ccccc1)[C@H]1CCC[C@@H]1C#N ZINC000602976201 359335683 /nfs/dbraw/zinc/33/56/83/359335683.db2.gz MNRJFTVXKCIBSM-HIFRSBDPSA-N 1 2 307.419 1.589 20 30 DDEDLO N#Cc1cccc(Cn2cc(CC[NH+]3CCOCC3)nn2)c1F ZINC000603235012 359503259 /nfs/dbraw/zinc/50/32/59/359503259.db2.gz HSDZMLBWNCNEJC-UHFFFAOYSA-N 1 2 315.352 1.212 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc(C(=O)OC)cc2F)nn1 ZINC000603251933 359516765 /nfs/dbraw/zinc/51/67/65/359516765.db2.gz ZXHUHZHBFOLHIF-UHFFFAOYSA-N 1 2 316.336 1.365 20 30 DDEDLO N#Cc1ccc(N2CCN(c3cc(CO)cc[nH+]3)CC2)c(F)c1 ZINC000603286218 359542828 /nfs/dbraw/zinc/54/28/28/359542828.db2.gz LCOOJCJYCMGERP-UHFFFAOYSA-N 1 2 312.348 1.911 20 30 DDEDLO CC[C@@H](CC#N)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603308112 359558114 /nfs/dbraw/zinc/55/81/14/359558114.db2.gz IVPNWDOZUAAWDT-ZDUSSCGKSA-N 1 2 307.419 1.645 20 30 DDEDLO CC[C@@H](CC#N)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603308112 359558119 /nfs/dbraw/zinc/55/81/19/359558119.db2.gz IVPNWDOZUAAWDT-ZDUSSCGKSA-N 1 2 307.419 1.645 20 30 DDEDLO COCC[N@@H+]1CCN(C(=O)c2ccc(C#N)c(O)c2)C[C@H]1C ZINC000188517995 200259629 /nfs/dbraw/zinc/25/96/29/200259629.db2.gz LODUXRICRXFAKQ-GFCCVEGCSA-N 1 2 303.362 1.057 20 30 DDEDLO COCC[N@H+]1CCN(C(=O)c2ccc(C#N)c(O)c2)C[C@H]1C ZINC000188517995 200259631 /nfs/dbraw/zinc/25/96/31/200259631.db2.gz LODUXRICRXFAKQ-GFCCVEGCSA-N 1 2 303.362 1.057 20 30 DDEDLO Cc1ccn(C)c(=O)c1NC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000329866253 223040574 /nfs/dbraw/zinc/04/05/74/223040574.db2.gz UUPTZDMQDHKTBJ-UHFFFAOYSA-N 1 2 315.377 1.842 20 30 DDEDLO Cc1ccn(C)c(=O)c1NC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000329866253 223040576 /nfs/dbraw/zinc/04/05/76/223040576.db2.gz UUPTZDMQDHKTBJ-UHFFFAOYSA-N 1 2 315.377 1.842 20 30 DDEDLO C[C@@H]1C[C@H](C(=O)NC[C@@H]2C[C@H](F)CN2Cc2[nH+]ccn2C)CO1 ZINC000329949780 223052623 /nfs/dbraw/zinc/05/26/23/223052623.db2.gz MBETZUHPRALBPJ-RFGFWPKPSA-N 1 2 324.400 1.714 20 30 DDEDLO CCn1cc([C@@H]2CN(C(=O)NCc3[nH+]ccn3C)CCO2)cn1 ZINC000330039066 223066771 /nfs/dbraw/zinc/06/67/71/223066771.db2.gz BQMJSJPHIAZSIO-ZDUSSCGKSA-N 1 2 318.381 1.124 20 30 DDEDLO N#C[C@@H]1CN(Cc2cn3cc(Br)ccc3[nH+]2)CCO1 ZINC000610944731 360578897 /nfs/dbraw/zinc/57/88/97/360578897.db2.gz QFTPCAVFTNHNLD-GFCCVEGCSA-N 1 2 321.178 1.821 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N[C@@H]1CCCSC1)[NH+]1CCOCC1 ZINC000330099593 223075128 /nfs/dbraw/zinc/07/51/28/223075128.db2.gz DHGMVBBKSZUFNO-YNEHKIRRSA-N 1 2 301.456 1.495 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H](OC(F)F)C1 ZINC000611579702 360766631 /nfs/dbraw/zinc/76/66/31/360766631.db2.gz NLRAVGMPLWFUJE-NWDGAFQWSA-N 1 2 303.353 1.698 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H](OC(F)F)C1 ZINC000611579702 360766632 /nfs/dbraw/zinc/76/66/32/360766632.db2.gz NLRAVGMPLWFUJE-NWDGAFQWSA-N 1 2 303.353 1.698 20 30 DDEDLO COc1cc(C)cc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c1C ZINC000330181352 223083915 /nfs/dbraw/zinc/08/39/15/223083915.db2.gz GROMNBNLVFBQKB-ZBFHGGJFSA-N 1 2 319.405 1.872 20 30 DDEDLO COc1cc(C)cc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c1C ZINC000330181352 223083918 /nfs/dbraw/zinc/08/39/18/223083918.db2.gz GROMNBNLVFBQKB-ZBFHGGJFSA-N 1 2 319.405 1.872 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)N[C@H]2CCC[C@H]2C#N)CC1 ZINC000332101388 223211375 /nfs/dbraw/zinc/21/13/75/223211375.db2.gz CNQRMPWEZLOXRT-GJZGRUSLSA-N 1 2 313.405 1.914 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)[C@@H]2CCC[N@@H+]2C2CCCC2)CCS1(=O)=O ZINC000329132502 291264536 /nfs/dbraw/zinc/26/45/36/291264536.db2.gz YKPLIUALFSZKNU-UBHSHLNASA-N 1 2 314.451 1.926 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)[C@@H]2CCC[N@H+]2C2CCCC2)CCS1(=O)=O ZINC000329132502 291264538 /nfs/dbraw/zinc/26/45/38/291264538.db2.gz YKPLIUALFSZKNU-UBHSHLNASA-N 1 2 314.451 1.926 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N(CC1CC1)C[C@@H]1C[N@H+](C)CCO1 ZINC000619554022 364058541 /nfs/dbraw/zinc/05/85/41/364058541.db2.gz SWMGFQOVTMWXFW-HNNXBMFYSA-N 1 2 317.389 1.643 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N(CC1CC1)C[C@@H]1C[N@@H+](C)CCO1 ZINC000619554022 364058547 /nfs/dbraw/zinc/05/85/47/364058547.db2.gz SWMGFQOVTMWXFW-HNNXBMFYSA-N 1 2 317.389 1.643 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@@H](CNC(=O)c2ccccc2)C1 ZINC000619718109 364129199 /nfs/dbraw/zinc/12/91/99/364129199.db2.gz ADMLJIBCIWRQPI-GOEBONIOSA-N 1 2 316.401 1.856 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@@H](CNC(=O)c2ccccc2)C1 ZINC000619718109 364129202 /nfs/dbraw/zinc/12/92/02/364129202.db2.gz ADMLJIBCIWRQPI-GOEBONIOSA-N 1 2 316.401 1.856 20 30 DDEDLO CCn1cc[nH+]c1CN1CCN(c2nccnc2C#N)C[C@H]1C ZINC000246994837 202636342 /nfs/dbraw/zinc/63/63/42/202636342.db2.gz BDZASKYLYSXGSB-CYBMUJFWSA-N 1 2 311.393 1.275 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[NH+]1CCC(O)(C(F)(F)F)CC1 ZINC000346504009 223358244 /nfs/dbraw/zinc/35/82/44/223358244.db2.gz QNBLKCQJPIPCMM-SNVBAGLBSA-N 1 2 319.327 1.327 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@H]2C(=O)N2CCOCC2)o1 ZINC000093014353 193193523 /nfs/dbraw/zinc/19/35/23/193193523.db2.gz VIXQWSKGGJFYDF-HNNXBMFYSA-N 1 2 303.362 1.365 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@H]2C(=O)N2CCOCC2)o1 ZINC000093014353 193193525 /nfs/dbraw/zinc/19/35/25/193193525.db2.gz VIXQWSKGGJFYDF-HNNXBMFYSA-N 1 2 303.362 1.365 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@H]1CCC(F)(F)C1 ZINC000359558274 223507075 /nfs/dbraw/zinc/50/70/75/223507075.db2.gz KWHLSPGLBFSNCH-ZDUSSCGKSA-N 1 2 315.364 1.496 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CC(=O)NC3CC3)CC2)cc1Cl ZINC000264665525 204064225 /nfs/dbraw/zinc/06/42/25/204064225.db2.gz SDJKKHCPZLYMJH-UHFFFAOYSA-N 1 2 318.808 1.612 20 30 DDEDLO C#CCOc1ccccc1C[NH+]1CCN(C(=O)C(C)C)CC1 ZINC000264767967 204142658 /nfs/dbraw/zinc/14/26/58/204142658.db2.gz KIMRBBKUKMBDOY-UHFFFAOYSA-N 1 2 300.402 1.999 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)c2cccc(C#N)c2)CC1 ZINC000106032358 248248724 /nfs/dbraw/zinc/24/87/24/248248724.db2.gz SOJKVMKPCINDIL-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO CCCN1CCC[N@H+](CCOc2ccccc2C#N)CC1=O ZINC000189404588 248557115 /nfs/dbraw/zinc/55/71/15/248557115.db2.gz HNMZLMAUVOCZAY-UHFFFAOYSA-N 1 2 301.390 1.881 20 30 DDEDLO CCCN1CCC[N@@H+](CCOc2ccccc2C#N)CC1=O ZINC000189404588 248557120 /nfs/dbraw/zinc/55/71/20/248557120.db2.gz HNMZLMAUVOCZAY-UHFFFAOYSA-N 1 2 301.390 1.881 20 30 DDEDLO Cc1[nH+]c[nH]c1CNC(=O)c1ccn(-c2cccc(C#N)c2)n1 ZINC000337074454 249339816 /nfs/dbraw/zinc/33/98/16/249339816.db2.gz YQBRRVDCOAWSPX-UHFFFAOYSA-N 1 2 306.329 1.706 20 30 DDEDLO C[C@@H](NC(=O)CNc1ccc(C#N)cc1)[C@@H](C)[NH+]1CCOCC1 ZINC000104598545 194018906 /nfs/dbraw/zinc/01/89/06/194018906.db2.gz CGINJMHESMKZBH-ZIAGYGMSSA-N 1 2 316.405 1.196 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCCC[C@@H]1c1ccnn1C ZINC000281514528 285037735 /nfs/dbraw/zinc/03/77/35/285037735.db2.gz VDXALNVVRJZJJW-GDBMZVCRSA-N 1 2 317.437 1.955 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCCC[C@@H]1c1ccnn1C ZINC000281514528 285037738 /nfs/dbraw/zinc/03/77/38/285037738.db2.gz VDXALNVVRJZJJW-GDBMZVCRSA-N 1 2 317.437 1.955 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000332406243 285045822 /nfs/dbraw/zinc/04/58/22/285045822.db2.gz XUQTWSUFHFJFEK-VXGBXAGGSA-N 1 2 311.411 1.273 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+]([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000270000803 208054107 /nfs/dbraw/zinc/05/41/07/208054107.db2.gz YEWMLNHADGVTCO-QGZVFWFLSA-N 1 2 321.384 1.302 20 30 DDEDLO N#Cc1ccc(-c2nc([C@H]3C[N@@H+]4CCCC[C@@H]4CO3)no2)nc1 ZINC000579645655 422806446 /nfs/dbraw/zinc/80/64/46/422806446.db2.gz UHUHTGHSLYLVGX-TZMCWYRMSA-N 1 2 311.345 1.929 20 30 DDEDLO N#Cc1ccc(-c2nc([C@H]3C[N@H+]4CCCC[C@@H]4CO3)no2)nc1 ZINC000579645655 422806449 /nfs/dbraw/zinc/80/64/49/422806449.db2.gz UHUHTGHSLYLVGX-TZMCWYRMSA-N 1 2 311.345 1.929 20 30 DDEDLO COC(=O)C(C)(C)N1CC[NH+](Cc2ccc(C#N)cc2F)CC1 ZINC000494390829 533155604 /nfs/dbraw/zinc/15/56/04/533155604.db2.gz HYLSGMFNJUFZLY-UHFFFAOYSA-N 1 2 319.380 1.767 20 30 DDEDLO COc1cc2c(cc1F)C[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)CC2 ZINC000348813593 533163141 /nfs/dbraw/zinc/16/31/41/533163141.db2.gz SFMPIXAYBNYCFG-LBPRGKRZSA-N 1 2 319.380 1.953 20 30 DDEDLO COc1cc2c(cc1F)C[N@H+]([C@@H](C)C(=O)N(C)CCC#N)CC2 ZINC000348813593 533163145 /nfs/dbraw/zinc/16/31/45/533163145.db2.gz SFMPIXAYBNYCFG-LBPRGKRZSA-N 1 2 319.380 1.953 20 30 DDEDLO COCCCNC(=O)C[N@H+](C)Cc1ccc(C#N)cc1OC ZINC000120604108 195200402 /nfs/dbraw/zinc/20/04/02/195200402.db2.gz LVBUMIGOVSPRDO-UHFFFAOYSA-N 1 2 305.378 1.151 20 30 DDEDLO COCCCNC(=O)C[N@@H+](C)Cc1ccc(C#N)cc1OC ZINC000120604108 195200405 /nfs/dbraw/zinc/20/04/05/195200405.db2.gz LVBUMIGOVSPRDO-UHFFFAOYSA-N 1 2 305.378 1.151 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3cnn(C)c3C)CC2)c1C#N ZINC000289475408 221002379 /nfs/dbraw/zinc/00/23/79/221002379.db2.gz NLZAYYDDKAFKRP-UHFFFAOYSA-N 1 2 316.434 1.687 20 30 DDEDLO Cc1nn(-c2cccc(F)c2)cc1C[NH+]1CCN(CC#N)CC1 ZINC000414383385 224329631 /nfs/dbraw/zinc/32/96/31/224329631.db2.gz RDTBFQVABCNNPD-UHFFFAOYSA-N 1 2 313.380 1.961 20 30 DDEDLO CN(CCC#N)CC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000078645766 221473189 /nfs/dbraw/zinc/47/31/89/221473189.db2.gz BMQNPKAKEROPCH-UHFFFAOYSA-N 1 2 306.435 1.238 20 30 DDEDLO COC(=O)C1CC[NH+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000330440552 533297806 /nfs/dbraw/zinc/29/78/06/533297806.db2.gz UKFDRGSSGDWTPR-LRDDRELGSA-N 1 2 309.410 1.314 20 30 DDEDLO COC(=O)C1CC[NH+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000330440551 533297958 /nfs/dbraw/zinc/29/79/58/533297958.db2.gz UKFDRGSSGDWTPR-BLLLJJGKSA-N 1 2 309.410 1.314 20 30 DDEDLO C[C@@H](CNC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1)c1nccs1 ZINC000329625494 533361933 /nfs/dbraw/zinc/36/19/33/533361933.db2.gz STWWTWVIXVMZIS-SDDRHHMPSA-N 1 2 310.423 1.175 20 30 DDEDLO C[C@@H](CNC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1)c1nccs1 ZINC000329625494 533361939 /nfs/dbraw/zinc/36/19/39/533361939.db2.gz STWWTWVIXVMZIS-SDDRHHMPSA-N 1 2 310.423 1.175 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](Cc2cnc3cnccn23)CC1 ZINC000569784942 304378762 /nfs/dbraw/zinc/37/87/62/304378762.db2.gz DRUZVCXTWKXLBC-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N(C)CC[NH+]1CCOCC1 ZINC000071874858 406858541 /nfs/dbraw/zinc/85/85/41/406858541.db2.gz NKXVUYTUZGURPB-CQSZACIVSA-N 1 2 317.389 1.116 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@H]2C(=O)NC2CC2)c1 ZINC000045957424 407051554 /nfs/dbraw/zinc/05/15/54/407051554.db2.gz ONZMICMKUBSQMV-HNNXBMFYSA-N 1 2 312.373 1.240 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCC[C@H]2C(=O)NC2CC2)c1 ZINC000045957424 407051556 /nfs/dbraw/zinc/05/15/56/407051556.db2.gz ONZMICMKUBSQMV-HNNXBMFYSA-N 1 2 312.373 1.240 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(F)c(C#N)c1 ZINC000077499627 406993137 /nfs/dbraw/zinc/99/31/37/406993137.db2.gz BTUBSBKOVIBUBN-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C=CCOCCCC(=O)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000079563066 407058789 /nfs/dbraw/zinc/05/87/89/407058789.db2.gz RKIVVOHJHVJYSH-UHFFFAOYSA-N 1 2 321.421 1.918 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000052246784 407150387 /nfs/dbraw/zinc/15/03/87/407150387.db2.gz HJCSPGTWZAIXPL-MRXNPFEDSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000052246784 407150389 /nfs/dbraw/zinc/15/03/89/407150389.db2.gz HJCSPGTWZAIXPL-MRXNPFEDSA-N 1 2 308.426 1.033 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCCN(c3ccccc3C#N)CC2)n1C ZINC000052801475 407158028 /nfs/dbraw/zinc/15/80/28/407158028.db2.gz AWILPCVHIUGHQY-UHFFFAOYSA-N 1 2 310.405 1.708 20 30 DDEDLO Cc1nnc(C[N@H+]2CCCN(c3ccccc3C#N)CC2)n1C ZINC000052801475 407158029 /nfs/dbraw/zinc/15/80/29/407158029.db2.gz AWILPCVHIUGHQY-UHFFFAOYSA-N 1 2 310.405 1.708 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCN(CC(F)F)CC1 ZINC000091084883 407176883 /nfs/dbraw/zinc/17/68/83/407176883.db2.gz IYEHIFQEMXKDTM-UHFFFAOYSA-N 1 2 302.369 1.021 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCN(CC(F)F)CC1 ZINC000091084883 407176887 /nfs/dbraw/zinc/17/68/87/407176887.db2.gz IYEHIFQEMXKDTM-UHFFFAOYSA-N 1 2 302.369 1.021 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)N[C@@H]2CCCC[C@H]2C)cs1 ZINC000054391033 407183285 /nfs/dbraw/zinc/18/32/85/407183285.db2.gz AKCYEPRFXINMAZ-ZWNOBZJWSA-N 1 2 324.450 1.978 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](Cc3cccnc3)CC2)c1 ZINC000054485520 407185917 /nfs/dbraw/zinc/18/59/17/407185917.db2.gz DKUIZYUBPHNPPW-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO COc1ccc(C[N@H+](CCCC#N)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000057623657 407216358 /nfs/dbraw/zinc/21/63/58/407216358.db2.gz SCYHJIKPLIXIKE-OAHLLOKOSA-N 1 2 322.430 1.988 20 30 DDEDLO COc1ccc(C[N@@H+](CCCC#N)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000057623657 407216361 /nfs/dbraw/zinc/21/63/61/407216361.db2.gz SCYHJIKPLIXIKE-OAHLLOKOSA-N 1 2 322.430 1.988 20 30 DDEDLO C=CC[N@H+](CCC(=O)Nc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000067400023 407273432 /nfs/dbraw/zinc/27/34/32/407273432.db2.gz QIYSPFMQURRONH-HNNXBMFYSA-N 1 2 322.430 1.690 20 30 DDEDLO C=CC[N@@H+](CCC(=O)Nc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000067400023 407273434 /nfs/dbraw/zinc/27/34/34/407273434.db2.gz QIYSPFMQURRONH-HNNXBMFYSA-N 1 2 322.430 1.690 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)Cc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000108870742 407392016 /nfs/dbraw/zinc/39/20/16/407392016.db2.gz AZDAAHFBTOJXRK-HZPDHXFCSA-N 1 2 309.431 1.265 20 30 DDEDLO C=CC[N@H+](C[C@H](O)Cc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000108870742 407392017 /nfs/dbraw/zinc/39/20/17/407392017.db2.gz AZDAAHFBTOJXRK-HZPDHXFCSA-N 1 2 309.431 1.265 20 30 DDEDLO C[C@H]1CC[C@H](CC(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000126595584 407416959 /nfs/dbraw/zinc/41/69/59/407416959.db2.gz WKNIDSLVMKYZTM-HOTGVXAUSA-N 1 2 307.438 1.887 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)/C=C\c2ccc(OCC#N)cc2)C1 ZINC000254943761 407470672 /nfs/dbraw/zinc/47/06/72/407470672.db2.gz QKADZOANZBFNFS-OUOZUETKSA-N 1 2 315.373 1.049 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)/C=C\c2ccc(OCC#N)cc2)C1 ZINC000254943761 407470681 /nfs/dbraw/zinc/47/06/81/407470681.db2.gz QKADZOANZBFNFS-OUOZUETKSA-N 1 2 315.373 1.049 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000178605576 407506885 /nfs/dbraw/zinc/50/68/85/407506885.db2.gz NXBGGEZRZSCBKR-MRXNPFEDSA-N 1 2 319.430 1.558 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000178605576 407506888 /nfs/dbraw/zinc/50/68/88/407506888.db2.gz NXBGGEZRZSCBKR-MRXNPFEDSA-N 1 2 319.430 1.558 20 30 DDEDLO N#CCOc1ccccc1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000152697590 407673383 /nfs/dbraw/zinc/67/33/83/407673383.db2.gz WHHHLNUABKBDSB-AWEZNQCLSA-N 1 2 322.368 1.993 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](C)c1nnn(-c2ccc(C)cc2)c1C ZINC000272073398 407764839 /nfs/dbraw/zinc/76/48/39/407764839.db2.gz VKXOUHSVQXGXLR-ZDUSSCGKSA-N 1 2 313.405 1.837 20 30 DDEDLO N#Cc1cc(F)ccc1S(=O)(=O)NCCCn1cc[nH+]c1 ZINC000179586723 407810765 /nfs/dbraw/zinc/81/07/65/407810765.db2.gz DHYSWYSGCRZREK-UHFFFAOYSA-N 1 2 308.338 1.262 20 30 DDEDLO C=CCN(C)C(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000153661303 407870563 /nfs/dbraw/zinc/87/05/63/407870563.db2.gz YMGFCPBKPZWWIJ-MRXNPFEDSA-N 1 2 303.406 1.887 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCN(C)C(=O)C1(C)C ZINC000268057538 407872641 /nfs/dbraw/zinc/87/26/41/407872641.db2.gz YYFGSWKQJTYPDU-UHFFFAOYSA-N 1 2 317.389 1.498 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCN(C)C(=O)C1(C)C ZINC000268057538 407872647 /nfs/dbraw/zinc/87/26/47/407872647.db2.gz YYFGSWKQJTYPDU-UHFFFAOYSA-N 1 2 317.389 1.498 20 30 DDEDLO C=CCNC(=O)[C@@H](C)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000118836789 407948430 /nfs/dbraw/zinc/94/84/30/407948430.db2.gz AVDSYUPVGJQACC-LSDHHAIUSA-N 1 2 302.422 1.451 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN([C@@H](C)c2cccnc2)CC1 ZINC000118836789 407948438 /nfs/dbraw/zinc/94/84/38/407948438.db2.gz AVDSYUPVGJQACC-LSDHHAIUSA-N 1 2 302.422 1.451 20 30 DDEDLO COC(=O)[C@@H]1[C@H](O)CCC[N@@H+]1CCCOc1cccc(C#N)c1 ZINC000189609868 408063468 /nfs/dbraw/zinc/06/34/68/408063468.db2.gz UNGQIVDDIYSCON-CVEARBPZSA-N 1 2 318.373 1.325 20 30 DDEDLO COC(=O)[C@@H]1[C@H](O)CCC[N@H+]1CCCOc1cccc(C#N)c1 ZINC000189609868 408063473 /nfs/dbraw/zinc/06/34/73/408063473.db2.gz UNGQIVDDIYSCON-CVEARBPZSA-N 1 2 318.373 1.325 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)[nH]1 ZINC000268506242 408074676 /nfs/dbraw/zinc/07/46/76/408074676.db2.gz SZPMTRSJMCRCHC-AAEUAGOBSA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)[nH]1 ZINC000268506242 408074678 /nfs/dbraw/zinc/07/46/78/408074678.db2.gz SZPMTRSJMCRCHC-AAEUAGOBSA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)n1 ZINC000268506242 408074683 /nfs/dbraw/zinc/07/46/83/408074683.db2.gz SZPMTRSJMCRCHC-AAEUAGOBSA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)n1 ZINC000268506242 408074684 /nfs/dbraw/zinc/07/46/84/408074684.db2.gz SZPMTRSJMCRCHC-AAEUAGOBSA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nc([C@H]2CCC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)n[nH]1 ZINC000268506242 408074691 /nfs/dbraw/zinc/07/46/91/408074691.db2.gz SZPMTRSJMCRCHC-AAEUAGOBSA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nc([C@H]2CCC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)n[nH]1 ZINC000268506242 408074695 /nfs/dbraw/zinc/07/46/95/408074695.db2.gz SZPMTRSJMCRCHC-AAEUAGOBSA-N 1 2 304.398 1.053 20 30 DDEDLO C#CCSCCNC(=O)NCCCCNc1cccc[nH+]1 ZINC000154781089 408086826 /nfs/dbraw/zinc/08/68/26/408086826.db2.gz OANJURIDMPKMCN-UHFFFAOYSA-N 1 2 306.435 1.939 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CCC[C@](C)(O)C2)c(C#N)c1C ZINC000245894088 408089482 /nfs/dbraw/zinc/08/94/82/408089482.db2.gz KCEKYMWMIIYKMF-ZBEGNZNMSA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CCC[C@](C)(O)C2)c(C#N)c1C ZINC000245894088 408089487 /nfs/dbraw/zinc/08/94/87/408089487.db2.gz KCEKYMWMIIYKMF-ZBEGNZNMSA-N 1 2 305.378 1.942 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1CCC[C@H](CO)C1 ZINC000120076982 408117663 /nfs/dbraw/zinc/11/76/63/408117663.db2.gz MRPWRDYMVSHQRR-ZDUSSCGKSA-N 1 2 319.430 1.945 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1CCC[C@H](CO)C1 ZINC000120076982 408117665 /nfs/dbraw/zinc/11/76/65/408117665.db2.gz MRPWRDYMVSHQRR-ZDUSSCGKSA-N 1 2 319.430 1.945 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000181932859 408056234 /nfs/dbraw/zinc/05/62/34/408056234.db2.gz QCIGYTLQNJONSF-AWEZNQCLSA-N 1 2 322.430 1.164 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000181932859 408056240 /nfs/dbraw/zinc/05/62/40/408056240.db2.gz QCIGYTLQNJONSF-AWEZNQCLSA-N 1 2 322.430 1.164 20 30 DDEDLO CC[C@@H](C#N)NC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000120784648 408140871 /nfs/dbraw/zinc/14/08/71/408140871.db2.gz PENVNEPHCCSRAI-LBPRGKRZSA-N 1 2 323.418 1.054 20 30 DDEDLO CCOC(=O)c1c[nH]n(C2CC[NH+](CCCC#N)CC2)c1=N ZINC000120726476 408143129 /nfs/dbraw/zinc/14/31/29/408143129.db2.gz LVZAMPNPGUXXJS-UHFFFAOYSA-N 1 2 305.382 1.413 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000273308491 408145878 /nfs/dbraw/zinc/14/58/78/408145878.db2.gz NALWBTXJSVOTCN-OAHLLOKOSA-N 1 2 318.421 1.808 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[NH+](Cc3cccc(O)c3)CC2)c1 ZINC000175508642 408147992 /nfs/dbraw/zinc/14/79/92/408147992.db2.gz FHYAQQHUCPZOQP-UHFFFAOYSA-N 1 2 310.357 1.550 20 30 DDEDLO C#CC[N@@H+](CN1CC(=O)N(CC)C1=O)[C@H]1CCc2ccccc21 ZINC000122132019 408254093 /nfs/dbraw/zinc/25/40/93/408254093.db2.gz LHLANCKVOYHUDB-INIZCTEOSA-N 1 2 311.385 1.851 20 30 DDEDLO C#CC[N@H+](CN1CC(=O)N(CC)C1=O)[C@H]1CCc2ccccc21 ZINC000122132019 408254098 /nfs/dbraw/zinc/25/40/98/408254098.db2.gz LHLANCKVOYHUDB-INIZCTEOSA-N 1 2 311.385 1.851 20 30 DDEDLO C[N@H+](Cc1cnccn1)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000182830334 408259499 /nfs/dbraw/zinc/25/94/99/408259499.db2.gz KEAFIUDRYUZIBO-KRWDZBQOSA-N 1 2 321.384 1.976 20 30 DDEDLO C[N@@H+](Cc1cnccn1)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000182830334 408259507 /nfs/dbraw/zinc/25/95/07/408259507.db2.gz KEAFIUDRYUZIBO-KRWDZBQOSA-N 1 2 321.384 1.976 20 30 DDEDLO COc1ccc(C[N@@H+]2CCO[C@@H]([C@H]3CCCO3)C2)cc1C#N ZINC000246279230 408200318 /nfs/dbraw/zinc/20/03/18/408200318.db2.gz AUZACJKPNCDBTP-IAGOWNOFSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C[N@H+]2CCO[C@@H]([C@H]3CCCO3)C2)cc1C#N ZINC000246279230 408200327 /nfs/dbraw/zinc/20/03/27/408200327.db2.gz AUZACJKPNCDBTP-IAGOWNOFSA-N 1 2 302.374 1.947 20 30 DDEDLO N#Cc1nccnc1NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000263937053 408321205 /nfs/dbraw/zinc/32/12/05/408321205.db2.gz XLQBSBYHALJWOU-HNNXBMFYSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1nccnc1NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000263937053 408321210 /nfs/dbraw/zinc/32/12/10/408321210.db2.gz XLQBSBYHALJWOU-HNNXBMFYSA-N 1 2 309.373 1.661 20 30 DDEDLO N#CC[N@@H+](CCOc1ccc(S(N)(=O)=O)cc1)C1CCCC1 ZINC000156756561 408273920 /nfs/dbraw/zinc/27/39/20/408273920.db2.gz KTYWNEJEXFTOOK-UHFFFAOYSA-N 1 2 323.418 1.481 20 30 DDEDLO N#CC[N@H+](CCOc1ccc(S(N)(=O)=O)cc1)C1CCCC1 ZINC000156756561 408273923 /nfs/dbraw/zinc/27/39/23/408273923.db2.gz KTYWNEJEXFTOOK-UHFFFAOYSA-N 1 2 323.418 1.481 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(Cc1ccccc1)C(=O)CC#N ZINC000274133776 408302329 /nfs/dbraw/zinc/30/23/29/408302329.db2.gz CEPCDPUVUOAAPG-HNNXBMFYSA-N 1 2 301.390 1.650 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000274138570 408307046 /nfs/dbraw/zinc/30/70/46/408307046.db2.gz JLKAICJONKIXHB-UONOGXRCSA-N 1 2 314.451 1.085 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000274138570 408307050 /nfs/dbraw/zinc/30/70/50/408307050.db2.gz JLKAICJONKIXHB-UONOGXRCSA-N 1 2 314.451 1.085 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2ccc(Cl)cc2C#N)CC1 ZINC000264051402 408354588 /nfs/dbraw/zinc/35/45/88/408354588.db2.gz BIMAOSCYPDFEST-UHFFFAOYSA-N 1 2 306.797 1.788 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)Cc1ccccn1)[NH+]1CCSCC1 ZINC000269651296 408375613 /nfs/dbraw/zinc/37/56/13/408375613.db2.gz CCMNWKVTEOCWMI-AWEZNQCLSA-N 1 2 318.446 1.761 20 30 DDEDLO COc1ccnc(N2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)n1 ZINC000191222151 408391278 /nfs/dbraw/zinc/39/12/78/408391278.db2.gz YXQOYMGOGRBAFV-UHFFFAOYSA-N 1 2 312.377 1.018 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)Cc1ccco1)[NH+]1CCSCC1 ZINC000269692745 408394828 /nfs/dbraw/zinc/39/48/28/408394828.db2.gz ORBGHVXLOFIFGC-CYBMUJFWSA-N 1 2 307.419 1.959 20 30 DDEDLO COCCOCCOCCC/[NH+]=C/c1cccc(OC)c1O ZINC000274429996 408401710 /nfs/dbraw/zinc/40/17/10/408401710.db2.gz FXRNHKWORQQZCD-GHRIWEEISA-N 1 2 311.378 1.889 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](CC(=O)NC3CC3)CC2)cc1F ZINC000191876555 408501475 /nfs/dbraw/zinc/50/14/75/408501475.db2.gz FXSJGANSMHCHIP-UHFFFAOYSA-N 1 2 316.380 1.094 20 30 DDEDLO C[C@@H]1[C@@H](C)[S@@](=O)CC[N@@H+]1CCC(=O)Nc1ccccc1C#N ZINC000265484738 408667042 /nfs/dbraw/zinc/66/70/42/408667042.db2.gz APCWDMNKVULNHM-VJBOLBCHSA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1[C@@H](C)[S@@](=O)CC[N@H+]1CCC(=O)Nc1ccccc1C#N ZINC000265484738 408667048 /nfs/dbraw/zinc/66/70/48/408667048.db2.gz APCWDMNKVULNHM-VJBOLBCHSA-N 1 2 319.430 1.728 20 30 DDEDLO Cn1nccc1[C@@H]1CCCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000281239012 408876813 /nfs/dbraw/zinc/87/68/13/408876813.db2.gz CXDAVRDNTWHAIZ-RDJZCZTQSA-N 1 2 315.421 1.756 20 30 DDEDLO Cn1nccc1[C@@H]1CCCC[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000281239012 408876815 /nfs/dbraw/zinc/87/68/15/408876815.db2.gz CXDAVRDNTWHAIZ-RDJZCZTQSA-N 1 2 315.421 1.756 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1ccc(C#N)cc1[N+](=O)[O-] ZINC000286174600 408936582 /nfs/dbraw/zinc/93/65/82/408936582.db2.gz ABWZLVPWVGJQDB-OCCSQVGLSA-N 1 2 316.361 1.766 20 30 DDEDLO COC(=O)Cn1ncc(NCc2c[nH+]c3ccc(C#N)cn23)c1C ZINC000192995536 163244100 /nfs/dbraw/zinc/24/41/00/163244100.db2.gz VOAJARVLNWHQIP-UHFFFAOYSA-N 1 2 324.344 1.496 20 30 DDEDLO N#CCCOCC[N@H+]1CCOC[C@@H]1C[C@H](O)c1ccccc1 ZINC000292748237 409041165 /nfs/dbraw/zinc/04/11/65/409041165.db2.gz HVDIXYCQTQUFTP-IRXDYDNUSA-N 1 2 304.390 1.741 20 30 DDEDLO N#CCCOCC[N@@H+]1CCOC[C@@H]1C[C@H](O)c1ccccc1 ZINC000292748237 409041166 /nfs/dbraw/zinc/04/11/66/409041166.db2.gz HVDIXYCQTQUFTP-IRXDYDNUSA-N 1 2 304.390 1.741 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)[C@@H](C#N)c2nc3ccccc3c(=O)[nH]2)[C@@H]1C ZINC000292980969 409047564 /nfs/dbraw/zinc/04/75/64/409047564.db2.gz LGHFLPCKEYVHQP-NQBHXWOUSA-N 1 2 310.357 1.852 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)[C@@H](C#N)c2nc3ccccc3c(=O)[nH]2)[C@@H]1C ZINC000292980969 409047567 /nfs/dbraw/zinc/04/75/67/409047567.db2.gz LGHFLPCKEYVHQP-NQBHXWOUSA-N 1 2 310.357 1.852 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)o1 ZINC000287657010 409071079 /nfs/dbraw/zinc/07/10/79/409071079.db2.gz COJCVHWGBZBPGK-SNVBAGLBSA-N 1 2 306.347 1.443 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc3c(c2)CCCC3=O)CC1 ZINC000293284398 409081897 /nfs/dbraw/zinc/08/18/97/409081897.db2.gz CTNAHRPEEYURQF-UHFFFAOYSA-N 1 2 310.397 1.987 20 30 DDEDLO Cc1ccc(C(N)=[NH+]OC[C@H]2CCS(=O)(=O)C2)cc1F ZINC000278624322 409085167 /nfs/dbraw/zinc/08/51/67/409085167.db2.gz KBNHTXVWSWFRII-SNVBAGLBSA-N 1 2 300.355 1.206 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NCc1c[nH+]ccc1OC ZINC000293494619 409125041 /nfs/dbraw/zinc/12/50/41/409125041.db2.gz YMPNRFCKUCIXSP-WFASDCNBSA-N 1 2 305.378 1.871 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccnc3)n2CC=C)CC1 ZINC000283414878 409152537 /nfs/dbraw/zinc/15/25/37/409152537.db2.gz GGFQVJSAIVRSNI-UHFFFAOYSA-N 1 2 308.389 1.281 20 30 DDEDLO C#C[C@@H](NC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1)C(C)(C)C ZINC000288465310 409168783 /nfs/dbraw/zinc/16/87/83/409168783.db2.gz RLRVGFYHZYUOJI-OAHLLOKOSA-N 1 2 324.384 1.975 20 30 DDEDLO Cc1cnn(C)c1C[N@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000289314944 409247404 /nfs/dbraw/zinc/24/74/04/409247404.db2.gz SLKMGTOOUPNTKS-CYBMUJFWSA-N 1 2 311.393 1.101 20 30 DDEDLO Cc1cnn(C)c1C[N@@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000289314944 409247405 /nfs/dbraw/zinc/24/74/05/409247405.db2.gz SLKMGTOOUPNTKS-CYBMUJFWSA-N 1 2 311.393 1.101 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)/C=C/c1ccc(C#N)cc1 ZINC000279405015 409208480 /nfs/dbraw/zinc/20/84/80/409208480.db2.gz WJXYZWYNJBLMKF-KIUWMYQTSA-N 1 2 313.401 1.751 20 30 DDEDLO COc1ccc(F)c(CN(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000294807057 409303998 /nfs/dbraw/zinc/30/39/98/409303998.db2.gz DVEWBISIXMVAOB-UHFFFAOYSA-N 1 2 321.396 1.882 20 30 DDEDLO CC1(C)CN(S(=O)(=O)CCC#N)CC[N@@H+]1Cc1ccccc1 ZINC000295785433 409367857 /nfs/dbraw/zinc/36/78/57/409367857.db2.gz UPUIRNQIZFRROA-UHFFFAOYSA-N 1 2 321.446 1.826 20 30 DDEDLO CC1(C)CN(S(=O)(=O)CCC#N)CC[N@H+]1Cc1ccccc1 ZINC000295785433 409367859 /nfs/dbraw/zinc/36/78/59/409367859.db2.gz UPUIRNQIZFRROA-UHFFFAOYSA-N 1 2 321.446 1.826 20 30 DDEDLO C#Cc1ccc(CNC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)cc1 ZINC000295573482 409353955 /nfs/dbraw/zinc/35/39/55/409353955.db2.gz VGCZFWDGTSDBLE-GOSISDBHSA-N 1 2 312.413 1.928 20 30 DDEDLO C#Cc1ccc(CNC(=O)[C@H]2COCC[N@H+]2C2CCCC2)cc1 ZINC000295573482 409353959 /nfs/dbraw/zinc/35/39/59/409353959.db2.gz VGCZFWDGTSDBLE-GOSISDBHSA-N 1 2 312.413 1.928 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(CC#N)cc3)C[C@@H]21 ZINC000284621345 409354724 /nfs/dbraw/zinc/35/47/24/409354724.db2.gz SYSWICRQVPJWOD-JKSUJKDBSA-N 1 2 314.389 1.689 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(CC#N)cc3)C[C@@H]21 ZINC000284621345 409354728 /nfs/dbraw/zinc/35/47/28/409354728.db2.gz SYSWICRQVPJWOD-JKSUJKDBSA-N 1 2 314.389 1.689 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(F)c(C#N)c2)CC[N@H+]1C ZINC000408082708 164221666 /nfs/dbraw/zinc/22/16/66/164221666.db2.gz HEGPMBOBFUSDBR-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(F)c(C#N)c2)CC[N@@H+]1C ZINC000408082708 164221668 /nfs/dbraw/zinc/22/16/68/164221668.db2.gz HEGPMBOBFUSDBR-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+]1CCOC[C@@H](O)C1 ZINC000295484307 409502609 /nfs/dbraw/zinc/50/26/09/409502609.db2.gz GRLNWHCWOWSJSC-ZBFHGGJFSA-N 1 2 317.389 1.015 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@H+]1CCOC[C@@H](O)C1 ZINC000295484307 409502614 /nfs/dbraw/zinc/50/26/14/409502614.db2.gz GRLNWHCWOWSJSC-ZBFHGGJFSA-N 1 2 317.389 1.015 20 30 DDEDLO Cn1ncc(C(=O)NCc2cccc(-c3[nH]cc[nH+]3)c2)c1C#N ZINC000356705054 409537600 /nfs/dbraw/zinc/53/76/00/409537600.db2.gz QWZPYYWLIJDAHW-UHFFFAOYSA-N 1 2 306.329 1.612 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cncc(C)c3)CC2)c1C#N ZINC000349876655 409917907 /nfs/dbraw/zinc/91/79/07/409917907.db2.gz HNUIMXKZISAILE-UHFFFAOYSA-N 1 2 310.405 1.626 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000342912627 409993446 /nfs/dbraw/zinc/99/34/46/409993446.db2.gz CIMZZNUKWSVBNR-UHFFFAOYSA-N 1 2 312.373 1.571 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)N2CCCC2)[N@H+](CC(=O)NCC2CC2)C1 ZINC000328919074 410007871 /nfs/dbraw/zinc/00/78/71/410007871.db2.gz AOACFXJZJUVGOZ-KBPBESRZSA-N 1 2 309.410 1.065 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)N2CCCC2)[N@@H+](CC(=O)NCC2CC2)C1 ZINC000328919074 410007876 /nfs/dbraw/zinc/00/78/76/410007876.db2.gz AOACFXJZJUVGOZ-KBPBESRZSA-N 1 2 309.410 1.065 20 30 DDEDLO CC(C)N1C(=O)N[C@@H]2C[N@@H+](CC(=O)NC3CCCC3)CC[C@@H]21 ZINC000328948931 410020130 /nfs/dbraw/zinc/02/01/30/410020130.db2.gz HDPPTKZFHCWYIX-KGLIPLIRSA-N 1 2 308.426 1.966 20 30 DDEDLO CC(C)N1C(=O)N[C@@H]2C[N@H+](CC(=O)NC3CCCC3)CC[C@@H]21 ZINC000328948931 410020136 /nfs/dbraw/zinc/02/01/36/410020136.db2.gz HDPPTKZFHCWYIX-KGLIPLIRSA-N 1 2 308.426 1.966 20 30 DDEDLO CC(C)[C@@H](NS(=O)(=O)c1cccc(C#N)c1)c1[nH]cc[nH+]1 ZINC000346563821 410020880 /nfs/dbraw/zinc/02/08/80/410020880.db2.gz RJJMMWZNXDMGBC-CYBMUJFWSA-N 1 2 304.375 1.957 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2sccc2C#N)[C@@H]1C ZINC000346663754 410066149 /nfs/dbraw/zinc/06/61/49/410066149.db2.gz OXSSOFYWXTZNEG-KOLCDFICSA-N 1 2 307.375 1.442 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2sccc2C#N)[C@@H]1C ZINC000346663754 410066155 /nfs/dbraw/zinc/06/61/55/410066155.db2.gz OXSSOFYWXTZNEG-KOLCDFICSA-N 1 2 307.375 1.442 20 30 DDEDLO CCOc1cc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)ccc1C ZINC000329130499 410119157 /nfs/dbraw/zinc/11/91/57/410119157.db2.gz OKYGRPIERGATKR-GDBMZVCRSA-N 1 2 319.405 1.954 20 30 DDEDLO CCOc1cc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)ccc1C ZINC000329130499 410119162 /nfs/dbraw/zinc/11/91/62/410119162.db2.gz OKYGRPIERGATKR-GDBMZVCRSA-N 1 2 319.405 1.954 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)NCC3CCCC3)CC2)cn1 ZINC000329172376 410145052 /nfs/dbraw/zinc/14/50/52/410145052.db2.gz LACGSYCMPMNRHH-UHFFFAOYSA-N 1 2 305.426 1.642 20 30 DDEDLO C[C@@H]1Oc2ccccc2[C@@H]1NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329353688 410253872 /nfs/dbraw/zinc/25/38/72/410253872.db2.gz YDKNZNZWBULUGV-DLKVLKDVSA-N 1 2 317.389 1.437 20 30 DDEDLO C[C@@H]1Oc2ccccc2[C@@H]1NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329353688 410253880 /nfs/dbraw/zinc/25/38/80/410253880.db2.gz YDKNZNZWBULUGV-DLKVLKDVSA-N 1 2 317.389 1.437 20 30 DDEDLO CO[C@H]1CCN(C(=O)N[C@@H](c2[nH+]ccn2C)C2CCOCC2)C1 ZINC000329362778 410256266 /nfs/dbraw/zinc/25/62/66/410256266.db2.gz ZENPUVMFRDQHGM-UONOGXRCSA-N 1 2 322.409 1.523 20 30 DDEDLO C[C@H](C#N)C[N@H+](CCC(=O)NCC(=O)c1ccccc1)C1CC1 ZINC000358026755 410341235 /nfs/dbraw/zinc/34/12/35/410341235.db2.gz BUOVFFDXOANYTR-CQSZACIVSA-N 1 2 313.401 2.000 20 30 DDEDLO C[C@H](C#N)C[N@@H+](CCC(=O)NCC(=O)c1ccccc1)C1CC1 ZINC000358026755 410341239 /nfs/dbraw/zinc/34/12/39/410341239.db2.gz BUOVFFDXOANYTR-CQSZACIVSA-N 1 2 313.401 2.000 20 30 DDEDLO Cc1nc(C2CC2)oc1C(=O)NC[C@@](C)(O)C[NH+]1CCOCC1 ZINC000329447572 410302253 /nfs/dbraw/zinc/30/22/53/410302253.db2.gz CVMCTOGRHVDAGW-MRXNPFEDSA-N 1 2 323.393 1.248 20 30 DDEDLO CCOC(=O)CC[N@H+](C)[C@@H](C(=O)OC)c1cccc(C#N)c1 ZINC000343423929 410442552 /nfs/dbraw/zinc/44/25/52/410442552.db2.gz IEESPUOSRCHTHW-OAHLLOKOSA-N 1 2 304.346 1.657 20 30 DDEDLO CCOC(=O)CC[N@@H+](C)[C@@H](C(=O)OC)c1cccc(C#N)c1 ZINC000343423929 410442561 /nfs/dbraw/zinc/44/25/61/410442561.db2.gz IEESPUOSRCHTHW-OAHLLOKOSA-N 1 2 304.346 1.657 20 30 DDEDLO Cc1oc(NC(=O)C[NH2+][C@@H](C)c2nncn2C)c(C#N)c1C ZINC000358779425 410530372 /nfs/dbraw/zinc/53/03/72/410530372.db2.gz AVMHUQNIHQAYOR-VIFPVBQESA-N 1 2 302.338 1.186 20 30 DDEDLO COc1cccc(OC)c1OC[C@@H](O)C[N@H+](C)C[C@H](C)C#N ZINC000299224856 410573515 /nfs/dbraw/zinc/57/35/15/410573515.db2.gz NCJAIDAVGAOVBJ-OLZOCXBDSA-N 1 2 308.378 1.535 20 30 DDEDLO COc1cccc(OC)c1OC[C@@H](O)C[N@@H+](C)C[C@H](C)C#N ZINC000299224856 410573522 /nfs/dbraw/zinc/57/35/22/410573522.db2.gz NCJAIDAVGAOVBJ-OLZOCXBDSA-N 1 2 308.378 1.535 20 30 DDEDLO Cc1cc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c(C)n1C ZINC000299541087 410596143 /nfs/dbraw/zinc/59/61/43/410596143.db2.gz QKICCUKWZQAOLK-UHFFFAOYSA-N 1 2 318.421 1.330 20 30 DDEDLO CC[N@H+](CCC#N)Cc1ccc(OCC(=O)OC)c([N+](=O)[O-])c1 ZINC000355589853 410596593 /nfs/dbraw/zinc/59/65/93/410596593.db2.gz YGALGCQUGKJPLB-UHFFFAOYSA-N 1 2 321.333 1.882 20 30 DDEDLO CC[N@@H+](CCC#N)Cc1ccc(OCC(=O)OC)c([N+](=O)[O-])c1 ZINC000355589853 410596600 /nfs/dbraw/zinc/59/66/00/410596600.db2.gz YGALGCQUGKJPLB-UHFFFAOYSA-N 1 2 321.333 1.882 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cnccc1C#N ZINC000359091259 410556011 /nfs/dbraw/zinc/55/60/11/410556011.db2.gz GYCLCTNGYUZYFX-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cnccc1C#N ZINC000359091259 410556020 /nfs/dbraw/zinc/55/60/20/410556020.db2.gz GYCLCTNGYUZYFX-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)N2C[C@H](C)C[C@H](C)C2)C1=O ZINC000337219012 410660300 /nfs/dbraw/zinc/66/03/00/410660300.db2.gz JMTWSYQNBYIPOY-FZKCQIBNSA-N 1 2 321.465 1.598 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)N2C[C@H](C)C[C@H](C)C2)C1=O ZINC000337219012 410660305 /nfs/dbraw/zinc/66/03/05/410660305.db2.gz JMTWSYQNBYIPOY-FZKCQIBNSA-N 1 2 321.465 1.598 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)C[NH+]1CCC(F)(C#N)CC1)C(=O)OC ZINC000352491602 410662743 /nfs/dbraw/zinc/66/27/43/410662743.db2.gz RQODXRYZCWWGOW-AAEUAGOBSA-N 1 2 313.373 1.018 20 30 DDEDLO CN(C[C@H]1CCC[N@H+](C[C@@H](C#N)CCC#N)C1)S(C)(=O)=O ZINC000352543642 410665181 /nfs/dbraw/zinc/66/51/81/410665181.db2.gz HQELGNDEIOJSTJ-ZIAGYGMSSA-N 1 2 312.439 1.033 20 30 DDEDLO CN(C[C@H]1CCC[N@@H+](C[C@@H](C#N)CCC#N)C1)S(C)(=O)=O ZINC000352543642 410665186 /nfs/dbraw/zinc/66/51/86/410665186.db2.gz HQELGNDEIOJSTJ-ZIAGYGMSSA-N 1 2 312.439 1.033 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NC[C@H](c1ccco1)[NH+]1CCCCC1 ZINC000352412719 410654314 /nfs/dbraw/zinc/65/43/14/410654314.db2.gz IFLRUESEDLDAQO-CHWSQXEVSA-N 1 2 311.407 1.638 20 30 DDEDLO N#Cc1c(F)cccc1-n1ccc(NC(=O)CCc2[nH]cc[nH+]2)n1 ZINC000355923433 410732994 /nfs/dbraw/zinc/73/29/94/410732994.db2.gz XLZGFNZPRVHFPL-UHFFFAOYSA-N 1 2 324.319 2.177 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@H+](Cc1ccc(OC)c(C#N)c1)C2 ZINC000348159176 410832215 /nfs/dbraw/zinc/83/22/15/410832215.db2.gz JADIDUQBDNGSGH-UHFFFAOYSA-N 1 2 324.384 1.702 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@@H+](Cc1ccc(OC)c(C#N)c1)C2 ZINC000348159176 410832225 /nfs/dbraw/zinc/83/22/25/410832225.db2.gz JADIDUQBDNGSGH-UHFFFAOYSA-N 1 2 324.384 1.702 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@@H+]1CCOC[C@H]1C)c1ccc(C#N)cc1 ZINC000356148109 410864628 /nfs/dbraw/zinc/86/46/28/410864628.db2.gz IHILXCYCRFQRPS-ZIAGYGMSSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@H+]1CCOC[C@H]1C)c1ccc(C#N)cc1 ZINC000356148109 410864630 /nfs/dbraw/zinc/86/46/30/410864630.db2.gz IHILXCYCRFQRPS-ZIAGYGMSSA-N 1 2 316.405 1.639 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H]1[C@@H](O)C(F)(F)F ZINC000330687500 410880282 /nfs/dbraw/zinc/88/02/82/410880282.db2.gz WEQJVCKTBLCPLK-UHTWSYAYSA-N 1 2 321.343 1.428 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H]1[C@@H](O)C(F)(F)F ZINC000330687500 410880288 /nfs/dbraw/zinc/88/02/88/410880288.db2.gz WEQJVCKTBLCPLK-UHTWSYAYSA-N 1 2 321.343 1.428 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cn4ccccc4n3)CC2)nn1 ZINC000301855154 410816847 /nfs/dbraw/zinc/81/68/47/410816847.db2.gz YRQGDZXPUWQGKQ-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2cccc(C#N)c2)CC[S@]1=O ZINC000330835884 410897609 /nfs/dbraw/zinc/89/76/09/410897609.db2.gz IIMHKMHZRWKRJB-XUSGNXJCSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2cccc(C#N)c2)CC[S@]1=O ZINC000330835884 410897616 /nfs/dbraw/zinc/89/76/16/410897616.db2.gz IIMHKMHZRWKRJB-XUSGNXJCSA-N 1 2 305.403 1.340 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)n1 ZINC000618994229 417244421 /nfs/dbraw/zinc/24/44/21/417244421.db2.gz NPKYYCSVEFNADC-CYBMUJFWSA-N 1 2 312.333 1.372 20 30 DDEDLO N#CC1(CNC(=O)N2CC[NH+](Cc3cccnc3)CC2)CCC1 ZINC000419641403 226203148 /nfs/dbraw/zinc/20/31/48/226203148.db2.gz JHDRURHJXSGCJL-UHFFFAOYSA-N 1 2 313.405 1.603 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)C[C@H](C2CC2)O1 ZINC000373506823 418433311 /nfs/dbraw/zinc/43/33/11/418433311.db2.gz GCPOXPNXYGDXMC-DZGCQCFKSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C[C@H](C2CC2)O1 ZINC000373506823 418433314 /nfs/dbraw/zinc/43/33/14/418433314.db2.gz GCPOXPNXYGDXMC-DZGCQCFKSA-N 1 2 305.422 1.828 20 30 DDEDLO CNC(=O)[C@H]1Cc2ccccc2C[N@@H+]1Cc1cc(C#N)n(C)c1 ZINC000373813528 418462638 /nfs/dbraw/zinc/46/26/38/418462638.db2.gz VJGGQMUSHNMCHN-QGZVFWFLSA-N 1 2 308.385 1.570 20 30 DDEDLO CNC(=O)[C@H]1Cc2ccccc2C[N@H+]1Cc1cc(C#N)n(C)c1 ZINC000373813528 418462641 /nfs/dbraw/zinc/46/26/41/418462641.db2.gz VJGGQMUSHNMCHN-QGZVFWFLSA-N 1 2 308.385 1.570 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@H](NS(=O)(=O)c1ccc(C#N)cc1)C2 ZINC000374143282 418493980 /nfs/dbraw/zinc/49/39/80/418493980.db2.gz LNFMXYFWVDRJTN-ZDUSSCGKSA-N 1 2 316.386 1.357 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCSC[C@@H]1c1cnn(C)c1 ZINC000191171977 222098071 /nfs/dbraw/zinc/09/80/71/222098071.db2.gz OMDKTTQZQIHYME-CQSZACIVSA-N 1 2 321.450 1.272 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCSC[C@@H]1c1cnn(C)c1 ZINC000191171977 222098075 /nfs/dbraw/zinc/09/80/75/222098075.db2.gz OMDKTTQZQIHYME-CQSZACIVSA-N 1 2 321.450 1.272 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1cc(Cl)ccc1F ZINC000189286036 222040385 /nfs/dbraw/zinc/04/03/85/222040385.db2.gz FIUKMNYKVHXPIM-UHFFFAOYSA-N 1 2 301.749 1.600 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)CC1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000190861245 222089720 /nfs/dbraw/zinc/08/97/20/222089720.db2.gz VJOGYRIJAXFZPL-CABCVRRESA-N 1 2 301.452 1.603 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)CC1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000190861245 222089724 /nfs/dbraw/zinc/08/97/24/222089724.db2.gz VJOGYRIJAXFZPL-CABCVRRESA-N 1 2 301.452 1.603 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NCCOc1ccc(C(F)(F)F)cc1 ZINC000192220120 222122063 /nfs/dbraw/zinc/12/20/63/222122063.db2.gz SUUWBIQGNJQEDZ-UHFFFAOYSA-N 1 2 314.307 1.765 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NCCOc1ccc(C(F)(F)F)cc1 ZINC000192220120 222122066 /nfs/dbraw/zinc/12/20/66/222122066.db2.gz SUUWBIQGNJQEDZ-UHFFFAOYSA-N 1 2 314.307 1.765 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1ccccc1C(=O)NC1CCCC1 ZINC000192238943 222124475 /nfs/dbraw/zinc/12/44/75/222124475.db2.gz PTDBKMQBFXAGOU-UHFFFAOYSA-N 1 2 313.401 1.863 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1ccccc1C(=O)NC1CCCC1 ZINC000192238943 222124476 /nfs/dbraw/zinc/12/44/76/222124476.db2.gz PTDBKMQBFXAGOU-UHFFFAOYSA-N 1 2 313.401 1.863 20 30 DDEDLO COCC[C@H]1COCC[N@@H+]1CCOc1ccc(C#N)cc1OC ZINC000291150638 222175591 /nfs/dbraw/zinc/17/55/91/222175591.db2.gz DRQZJDIUMZDJAX-HNNXBMFYSA-N 1 2 320.389 1.683 20 30 DDEDLO COCC[C@H]1COCC[N@H+]1CCOc1ccc(C#N)cc1OC ZINC000291150638 222175594 /nfs/dbraw/zinc/17/55/94/222175594.db2.gz DRQZJDIUMZDJAX-HNNXBMFYSA-N 1 2 320.389 1.683 20 30 DDEDLO CC[C@@H](C#N)NC(=O)c1cccc(CNc2cc[nH+]c(C)n2)c1 ZINC000344795351 418634361 /nfs/dbraw/zinc/63/43/61/418634361.db2.gz ZMVCGWZIQMTUIH-HNNXBMFYSA-N 1 2 309.373 2.429 20 30 DDEDLO CO/N=C/C(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(OC)c1 ZINC000377703965 418713331 /nfs/dbraw/zinc/71/33/31/418713331.db2.gz WZCVZVSOQFCYDL-NGWPFTMJSA-N 1 2 302.334 1.267 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3ccc(F)cn3)CC2)C1=O ZINC000375609198 418661688 /nfs/dbraw/zinc/66/16/88/418661688.db2.gz XMNXZMRJGZVMMU-AWEZNQCLSA-N 1 2 304.369 1.130 20 30 DDEDLO Cc1ccc(C(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@@H]2C)cc1C#N ZINC000375910889 418690914 /nfs/dbraw/zinc/69/09/14/418690914.db2.gz BFONWXHVKRPAPC-YOEHRIQHSA-N 1 2 313.401 1.802 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)NCc1ccc(-n2cc[nH+]c2)nc1 ZINC000368543304 418721323 /nfs/dbraw/zinc/72/13/23/418721323.db2.gz LTAKDNMWGBENSO-UHFFFAOYSA-N 1 2 306.329 1.407 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@@H+]1CCOc1cccc(C#N)c1 ZINC000376534344 418698644 /nfs/dbraw/zinc/69/86/44/418698644.db2.gz VQXUEEWGWZCMHP-CQSZACIVSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@H+]1CCOc1cccc(C#N)c1 ZINC000376534344 418698645 /nfs/dbraw/zinc/69/86/45/418698645.db2.gz VQXUEEWGWZCMHP-CQSZACIVSA-N 1 2 304.346 1.201 20 30 DDEDLO CCCCCCCCOCC(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000369628717 418736297 /nfs/dbraw/zinc/73/62/97/418736297.db2.gz HMIBLAHXJWDTTH-HNNXBMFYSA-N 1 2 300.443 1.810 20 30 DDEDLO CCCCCCCCOCC(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC000369628717 418736300 /nfs/dbraw/zinc/73/63/00/418736300.db2.gz HMIBLAHXJWDTTH-HNNXBMFYSA-N 1 2 300.443 1.810 20 30 DDEDLO CN(C(=O)C[N@@H+]1CC[C@@H]2CCN(C)C[C@H]21)C1(C#N)CCCCC1 ZINC000370204766 418742331 /nfs/dbraw/zinc/74/23/31/418742331.db2.gz TWXPCTPUNFEZJT-JKSUJKDBSA-N 1 2 318.465 1.697 20 30 DDEDLO CN(C(=O)C[N@H+]1CC[C@@H]2CCN(C)C[C@H]21)C1(C#N)CCCCC1 ZINC000370204766 418742332 /nfs/dbraw/zinc/74/23/32/418742332.db2.gz TWXPCTPUNFEZJT-JKSUJKDBSA-N 1 2 318.465 1.697 20 30 DDEDLO C=CCCCCNC(=O)N1CC[NH+]([C@H]2CCCCNC2=O)CC1 ZINC000370375598 418743660 /nfs/dbraw/zinc/74/36/60/418743660.db2.gz VUBDHIMMVYYSAH-HNNXBMFYSA-N 1 2 322.453 1.339 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2OC)C1 ZINC000362427448 418754078 /nfs/dbraw/zinc/75/40/78/418754078.db2.gz BXZVDGJOPTUHOD-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2OC)C1 ZINC000362427448 418754081 /nfs/dbraw/zinc/75/40/81/418754081.db2.gz BXZVDGJOPTUHOD-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](C)[C@@H](C)C(=O)NC(C)(C)C ZINC000362577857 418756749 /nfs/dbraw/zinc/75/67/49/418756749.db2.gz BWXWNLHCJUFBTJ-STQMWFEESA-N 1 2 310.442 1.230 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)[C@@H](C)C(=O)NC(C)(C)C ZINC000362577857 418756751 /nfs/dbraw/zinc/75/67/51/418756751.db2.gz BWXWNLHCJUFBTJ-STQMWFEESA-N 1 2 310.442 1.230 20 30 DDEDLO Cc1cn2c([nH+]1)CN(CC(=O)NCc1cccc(C#N)c1)CC2 ZINC000363714296 418769376 /nfs/dbraw/zinc/76/93/76/418769376.db2.gz PXGWYZMARLXIMR-UHFFFAOYSA-N 1 2 309.373 1.195 20 30 DDEDLO Cc1n[nH]c(C2CC[NH+](CC(=O)Nc3ccc(C#N)cc3)CC2)n1 ZINC000364376226 418776481 /nfs/dbraw/zinc/77/64/81/418776481.db2.gz ISUIFPPLRUSRHE-UHFFFAOYSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1nc(C2CC[NH+](CC(=O)Nc3ccc(C#N)cc3)CC2)n[nH]1 ZINC000364376226 418776484 /nfs/dbraw/zinc/77/64/84/418776484.db2.gz ISUIFPPLRUSRHE-UHFFFAOYSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1nnc(C2CC[NH+](CC(=O)Nc3ccc(C#N)cc3)CC2)[nH]1 ZINC000364376226 418776487 /nfs/dbraw/zinc/77/64/87/418776487.db2.gz ISUIFPPLRUSRHE-UHFFFAOYSA-N 1 2 324.388 1.803 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](CC[C@@H]3CCOC3=O)CCO2)c1 ZINC000372344183 418859114 /nfs/dbraw/zinc/85/91/14/418859114.db2.gz FYXXWPSUQIXXDY-GDBMZVCRSA-N 1 2 300.358 1.885 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](CC[C@@H]3CCOC3=O)CCO2)c1 ZINC000372344183 418859116 /nfs/dbraw/zinc/85/91/16/418859116.db2.gz FYXXWPSUQIXXDY-GDBMZVCRSA-N 1 2 300.358 1.885 20 30 DDEDLO N#CCC1(O)C[NH+](C[C@H](O)COCc2ccccc2Cl)C1 ZINC000424136559 228233199 /nfs/dbraw/zinc/23/31/99/228233199.db2.gz NVGANDPNLPBJFW-ZDUSSCGKSA-N 1 2 310.781 1.178 20 30 DDEDLO C[C@@H](C(=O)N1CCc2c1cccc2C#N)[NH+]1CCSCC1 ZINC000421657478 419672907 /nfs/dbraw/zinc/67/29/07/419672907.db2.gz OKKYAZOCGFBKKE-LBPRGKRZSA-N 1 2 301.415 1.885 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCC(F)(F)F)[N@H+](C)CC(C)(C)C#N ZINC000433325910 229186658 /nfs/dbraw/zinc/18/66/58/229186658.db2.gz LKWQRULFYPEANB-MRVPVSSYSA-N 1 2 308.304 1.245 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCC(F)(F)F)[N@@H+](C)CC(C)(C)C#N ZINC000433325910 229186661 /nfs/dbraw/zinc/18/66/61/229186661.db2.gz LKWQRULFYPEANB-MRVPVSSYSA-N 1 2 308.304 1.245 20 30 DDEDLO N#Cc1c(F)cccc1C[NH+]1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000428952957 419952004 /nfs/dbraw/zinc/95/20/04/419952004.db2.gz NFZAXTVAWHMBEO-UHFFFAOYSA-N 1 2 301.325 1.901 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)o1 ZINC000429856833 420053316 /nfs/dbraw/zinc/05/33/16/420053316.db2.gz ADCYCDNOENPUFL-UHFFFAOYSA-N 1 2 320.352 1.981 20 30 DDEDLO CCOC(=O)[C@H]1CCCCN1C(=O)C[N@H+](C)CC(C)(C)C#N ZINC000433305062 420250404 /nfs/dbraw/zinc/25/04/04/420250404.db2.gz MCWCEKYCIIJKSK-CYBMUJFWSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOC(=O)[C@H]1CCCCN1C(=O)C[N@@H+](C)CC(C)(C)C#N ZINC000433305062 420250407 /nfs/dbraw/zinc/25/04/07/420250407.db2.gz MCWCEKYCIIJKSK-CYBMUJFWSA-N 1 2 309.410 1.412 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@H](C)[N@H+](C)CC(C)(C)C#N)CC1 ZINC000433318750 420251158 /nfs/dbraw/zinc/25/11/58/420251158.db2.gz HJNPTWPADQCTGB-LBPRGKRZSA-N 1 2 309.410 1.268 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@H](C)[N@@H+](C)CC(C)(C)C#N)CC1 ZINC000433318750 420251163 /nfs/dbraw/zinc/25/11/63/420251163.db2.gz HJNPTWPADQCTGB-LBPRGKRZSA-N 1 2 309.410 1.268 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[NH+](CCc2ccccc2)CC1 ZINC000416373172 420347341 /nfs/dbraw/zinc/34/73/41/420347341.db2.gz LWKRYFAYDKIAOY-CQSZACIVSA-N 1 2 307.419 1.089 20 30 DDEDLO N#CC[C@@H]1CC[C@H](Nc2cc(N3CCC[C@H]3CO)nc[nH+]2)C1 ZINC000450675948 420507576 /nfs/dbraw/zinc/50/75/76/420507576.db2.gz WBTABCQXPXJBMR-IHRRRGAJSA-N 1 2 301.394 1.932 20 30 DDEDLO N#CC[C@@H]1CC[C@H](Nc2cc(N3CCC[C@H]3CO)[nH+]cn2)C1 ZINC000450675948 420507577 /nfs/dbraw/zinc/50/75/77/420507577.db2.gz WBTABCQXPXJBMR-IHRRRGAJSA-N 1 2 301.394 1.932 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000456766365 420540752 /nfs/dbraw/zinc/54/07/52/420540752.db2.gz IJTPULMDOZKXSB-HZPDHXFCSA-N 1 2 312.454 1.567 20 30 DDEDLO C=CCC[C@@H](CO)Nc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000450892248 420552300 /nfs/dbraw/zinc/55/23/00/420552300.db2.gz CTMOLRCZJODYHD-KGLIPLIRSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CCC[C@@H](CO)Nc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000450892248 420552302 /nfs/dbraw/zinc/55/23/02/420552302.db2.gz CTMOLRCZJODYHD-KGLIPLIRSA-N 1 2 306.410 1.424 20 30 DDEDLO CNC(=O)c1ccc(/C=C/C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000493001785 420731788 /nfs/dbraw/zinc/73/17/88/420731788.db2.gz CNIGADTXUWBOMG-JEJOPICUSA-N 1 2 314.389 1.019 20 30 DDEDLO Cc1cc(=O)[nH]c([C@H](C)[NH+]2CCN(c3cccc(C#N)n3)CC2)n1 ZINC000447879419 420810535 /nfs/dbraw/zinc/81/05/35/420810535.db2.gz QIBISHMIXCHLBT-ZDUSSCGKSA-N 1 2 324.388 1.641 20 30 DDEDLO C[C@H]1C[C@]1(C#N)C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000448789385 420897571 /nfs/dbraw/zinc/89/75/71/420897571.db2.gz AKRXZZVBLYNRJZ-KBXCAEBGSA-N 1 2 312.417 1.922 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C\c1ccccc1-n1cccn1 ZINC000493704458 420918740 /nfs/dbraw/zinc/91/87/40/420918740.db2.gz HMWJFANATATEKB-HJCTWCACSA-N 1 2 323.400 1.846 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CC[N@H+](C)C[C@H]1c1ccccc1 ZINC000459872126 420894464 /nfs/dbraw/zinc/89/44/64/420894464.db2.gz GUQWYMJNSBYSHI-INIZCTEOSA-N 1 2 315.417 1.536 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CC[N@@H+](C)C[C@H]1c1ccccc1 ZINC000459872126 420894469 /nfs/dbraw/zinc/89/44/69/420894469.db2.gz GUQWYMJNSBYSHI-INIZCTEOSA-N 1 2 315.417 1.536 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)/C=C\c1cccc(C#N)c1 ZINC000493726792 420924613 /nfs/dbraw/zinc/92/46/13/420924613.db2.gz RELMJLBULPUHIQ-IDTUSYRASA-N 1 2 313.401 1.751 20 30 DDEDLO COc1ccc(/C=C/C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1OC ZINC000493805677 420946312 /nfs/dbraw/zinc/94/63/12/420946312.db2.gz BHXBIIZRTFMTLW-LKUPDRCFSA-N 1 2 317.389 1.677 20 30 DDEDLO COC(=O)C[C@H](C)[NH+]1CCN(C(=O)c2ccc(C#N)s2)CC1 ZINC000487809138 421051612 /nfs/dbraw/zinc/05/16/12/421051612.db2.gz XLBKOEYXXATUAD-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO CN(C)C(=O)O[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000495867151 421078245 /nfs/dbraw/zinc/07/82/45/421078245.db2.gz QHBOFGUZVIONSK-CQSZACIVSA-N 1 2 316.361 1.269 20 30 DDEDLO CN(C)C(=O)O[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000495867151 421078250 /nfs/dbraw/zinc/07/82/50/421078250.db2.gz QHBOFGUZVIONSK-CQSZACIVSA-N 1 2 316.361 1.269 20 30 DDEDLO C[C@H]([C@H](C)Nc1cccc(C#N)c1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000450313304 421180434 /nfs/dbraw/zinc/18/04/34/421180434.db2.gz PYNXMOQOPZMMED-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)[C@H](C)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000450563435 421209867 /nfs/dbraw/zinc/20/98/67/421209867.db2.gz UGMZNXLSOLUULW-PVAVHDDUSA-N 1 2 323.458 1.826 20 30 DDEDLO C=CC[N@H+](C[C@H](O)[C@H](C)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000450563435 421209868 /nfs/dbraw/zinc/20/98/68/421209868.db2.gz UGMZNXLSOLUULW-PVAVHDDUSA-N 1 2 323.458 1.826 20 30 DDEDLO Cc1[nH+]c2cc(NC(=O)C(=O)NCCCCC#N)ccc2n1C ZINC000525283161 421285001 /nfs/dbraw/zinc/28/50/01/421285001.db2.gz BKSHZUQMDMQRDA-UHFFFAOYSA-N 1 2 313.361 1.630 20 30 DDEDLO Cc1nnc([C@H]2CC[N@H+](CC(=O)Nc3ccc(C#N)cc3)C2)[nH]1 ZINC000560722685 421291205 /nfs/dbraw/zinc/29/12/05/421291205.db2.gz NZZATGOXNUNHME-ZDUSSCGKSA-N 1 2 310.361 1.413 20 30 DDEDLO Cc1nnc([C@H]2CC[N@@H+](CC(=O)Nc3ccc(C#N)cc3)C2)[nH]1 ZINC000560722685 421291208 /nfs/dbraw/zinc/29/12/08/421291208.db2.gz NZZATGOXNUNHME-ZDUSSCGKSA-N 1 2 310.361 1.413 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@H+](CC(=O)Nc3ccc(C#N)cc3)C2)n1 ZINC000560722685 421291212 /nfs/dbraw/zinc/29/12/12/421291212.db2.gz NZZATGOXNUNHME-ZDUSSCGKSA-N 1 2 310.361 1.413 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@@H+](CC(=O)Nc3ccc(C#N)cc3)C2)n1 ZINC000560722685 421291215 /nfs/dbraw/zinc/29/12/15/421291215.db2.gz NZZATGOXNUNHME-ZDUSSCGKSA-N 1 2 310.361 1.413 20 30 DDEDLO Cc1cc(C#N)nc(NCCNc2cc(C)[nH+]c(C(C)C)n2)n1 ZINC000528159312 421473175 /nfs/dbraw/zinc/47/31/75/421473175.db2.gz XJRFXNZTOVERTQ-UHFFFAOYSA-N 1 2 311.393 1.246 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2CNS(=O)(=O)[C@H](C)C#N)cc1 ZINC000528501382 421495272 /nfs/dbraw/zinc/49/52/72/421495272.db2.gz LQAGMYDVZIOOKS-TZMCWYRMSA-N 1 2 323.418 1.101 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2CNS(=O)(=O)[C@H](C)C#N)cc1 ZINC000528501382 421495274 /nfs/dbraw/zinc/49/52/74/421495274.db2.gz LQAGMYDVZIOOKS-TZMCWYRMSA-N 1 2 323.418 1.101 20 30 DDEDLO N#CCc1ccnc(NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)c1 ZINC000530288051 421591898 /nfs/dbraw/zinc/59/18/98/421591898.db2.gz SGQFVQXSRJTOSF-HNNXBMFYSA-N 1 2 314.389 1.730 20 30 DDEDLO N#CCc1ccnc(NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)c1 ZINC000530288051 421591899 /nfs/dbraw/zinc/59/18/99/421591899.db2.gz SGQFVQXSRJTOSF-HNNXBMFYSA-N 1 2 314.389 1.730 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)NC2CCCCC2)C1=O ZINC000532205584 421652163 /nfs/dbraw/zinc/65/21/63/421652163.db2.gz NVEWSJHZUVJREE-DZGCQCFKSA-N 1 2 307.438 1.543 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)NC2CCCCC2)C1=O ZINC000532205584 421652164 /nfs/dbraw/zinc/65/21/64/421652164.db2.gz NVEWSJHZUVJREE-DZGCQCFKSA-N 1 2 307.438 1.543 20 30 DDEDLO N#Cc1c(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)n[nH]c1C1CC1 ZINC000571116912 421689470 /nfs/dbraw/zinc/68/94/70/421689470.db2.gz HATOCJZHDIDWFT-UHFFFAOYSA-N 1 2 320.316 1.387 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)[C@H](C)[NH+]1CC(C)C1 ZINC000572422121 421786473 /nfs/dbraw/zinc/78/64/73/421786473.db2.gz SSHIXMRSSKZRRL-ZDUSSCGKSA-N 1 2 324.388 1.726 20 30 DDEDLO C=CCn1c(CC)nnc1N1CC[NH+](Cc2ccco2)CC1 ZINC000572850214 421872256 /nfs/dbraw/zinc/87/22/56/421872256.db2.gz BUMAGCVMEYTHGV-UHFFFAOYSA-N 1 2 301.394 1.942 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NCc1ccc(OC)c(OC)c1 ZINC000573016451 421900206 /nfs/dbraw/zinc/90/02/06/421900206.db2.gz RSTQLITZYAMJOZ-ZDUSSCGKSA-N 1 2 305.378 1.602 20 30 DDEDLO C[C@@H]1C[C@@]2(CCO1)C[N@@H+](CC(=O)NC1(C#N)CCC1)C[C@H](C)O2 ZINC000574264733 422083363 /nfs/dbraw/zinc/08/33/63/422083363.db2.gz JQTXDVNCJKEFAI-KEYYUXOJSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@@H]1C[C@@]2(CCO1)C[N@H+](CC(=O)NC1(C#N)CCC1)C[C@H](C)O2 ZINC000574264733 422083369 /nfs/dbraw/zinc/08/33/69/422083369.db2.gz JQTXDVNCJKEFAI-KEYYUXOJSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@H]1CCN(c2cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)ccn2)C1 ZINC000574576082 422138122 /nfs/dbraw/zinc/13/81/22/422138122.db2.gz GUEKBERLZSUGLC-SUMWQHHRSA-N 1 2 315.421 1.501 20 30 DDEDLO CCO[C@@H]1COC[C@H]1[NH2+]Cc1cccc(C(=O)OC)c1C#N ZINC000582401776 422145640 /nfs/dbraw/zinc/14/56/40/422145640.db2.gz QLCAMIKZJFXANV-HUUCEWRRSA-N 1 2 304.346 1.238 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCc2nnc(NC)cc2C1 ZINC000591868534 422327662 /nfs/dbraw/zinc/32/76/62/422327662.db2.gz OTUFFFWUOSHHDK-ZDUSSCGKSA-N 1 2 317.437 1.690 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCc2nnc(NC)cc2C1 ZINC000591868534 422327665 /nfs/dbraw/zinc/32/76/65/422327665.db2.gz OTUFFFWUOSHHDK-ZDUSSCGKSA-N 1 2 317.437 1.690 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCCN(Cc3[nH+]ccn3C)CC2)C1 ZINC000630936568 422424880 /nfs/dbraw/zinc/42/48/80/422424880.db2.gz LAHLQXVZUGGCNQ-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C=CC[C@@H]1N(C(=O)C(=O)N(C)Cc2[nH]cc[nH+]2)CCCC1(C)C ZINC000632632597 422483193 /nfs/dbraw/zinc/48/31/93/422483193.db2.gz CXJRGPBIZCSSOS-ZDUSSCGKSA-N 1 2 318.421 1.961 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000115538889 263337888 /nfs/dbraw/zinc/33/78/88/263337888.db2.gz SEMPTUDJAYBRCY-ZBFHGGJFSA-N 1 2 314.389 1.075 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000115538889 263337891 /nfs/dbraw/zinc/33/78/91/263337891.db2.gz SEMPTUDJAYBRCY-ZBFHGGJFSA-N 1 2 314.389 1.075 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)Nc2ccccc2C)nn1 ZINC000640833843 423221745 /nfs/dbraw/zinc/22/17/45/423221745.db2.gz NBNSNZXFSOAJCN-AWEZNQCLSA-N 1 2 311.389 1.899 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)c2nc(-c3cccnc3)no2)nn1 ZINC000640900822 423264950 /nfs/dbraw/zinc/26/49/50/423264950.db2.gz TXAGKHDFQAVHBF-LBPRGKRZSA-N 1 2 323.360 1.445 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(c3n[nH]c(=O)[nH]3)CC2)nn1 ZINC000653651544 423564957 /nfs/dbraw/zinc/56/49/57/423564957.db2.gz NCNXUIJUZVJJJY-UHFFFAOYSA-N 1 2 303.370 1.058 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@H](C(F)(F)F)[C@H](CO)C2)nn1 ZINC000653672132 423573230 /nfs/dbraw/zinc/57/32/30/423573230.db2.gz CNFRKHMHGQAFTK-AAEUAGOBSA-N 1 2 318.343 1.847 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@H](C(F)(F)F)[C@H](CO)C2)nn1 ZINC000653672132 423573234 /nfs/dbraw/zinc/57/32/34/423573234.db2.gz CNFRKHMHGQAFTK-AAEUAGOBSA-N 1 2 318.343 1.847 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000665976166 423981569 /nfs/dbraw/zinc/98/15/69/423981569.db2.gz JNXADISFQZUKSC-DOTOQJQBSA-N 1 2 304.390 1.113 20 30 DDEDLO C=CC[C@H](CO)CNc1nc2c(cnn2C(C)(C)C)c(N)[nH+]1 ZINC000663770061 424158125 /nfs/dbraw/zinc/15/81/25/424158125.db2.gz JDQGKVSTRBULNW-JTQLQIEISA-N 1 2 304.398 1.760 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CCC(=O)N(C)CC2)c1C ZINC000661808845 424186967 /nfs/dbraw/zinc/18/69/67/424186967.db2.gz KURFFUOUNLHUMD-UHFFFAOYSA-N 1 2 303.406 1.638 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CCC(=O)N(C)CC2)c1C ZINC000661808845 424186977 /nfs/dbraw/zinc/18/69/77/424186977.db2.gz KURFFUOUNLHUMD-UHFFFAOYSA-N 1 2 303.406 1.638 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH2+][C@H](CC)c1nnc2n1CCCCC2 ZINC000661938529 424274260 /nfs/dbraw/zinc/27/42/60/424274260.db2.gz RIZGAWGRGQROPE-CHWSQXEVSA-N 1 2 305.426 1.736 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2ccc(-c3nncnn3)cc2)nn1 ZINC000657502060 424383997 /nfs/dbraw/zinc/38/39/97/424383997.db2.gz DJLOEMDRYDUMLB-UHFFFAOYSA-N 1 2 322.376 1.391 20 30 DDEDLO C=C[C@](C)(O)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000665458951 424805987 /nfs/dbraw/zinc/80/59/87/424805987.db2.gz VEXMLLVPDKFJGR-KRWDZBQOSA-N 1 2 304.390 1.072 20 30 DDEDLO CCNC(=O)NC(=O)C[N@H+]1CC=C(c2ccc(C#N)cc2)CC1 ZINC000362617795 266165507 /nfs/dbraw/zinc/16/55/07/266165507.db2.gz TTWIGLOGNUAIDE-UHFFFAOYSA-N 1 2 312.373 1.493 20 30 DDEDLO CCNC(=O)NC(=O)C[N@@H+]1CC=C(c2ccc(C#N)cc2)CC1 ZINC000362617795 266165509 /nfs/dbraw/zinc/16/55/09/266165509.db2.gz TTWIGLOGNUAIDE-UHFFFAOYSA-N 1 2 312.373 1.493 20 30 DDEDLO COC(=O)C[C@@](C)([NH2+]Cc1nc(C#N)cs1)c1ccncc1 ZINC000356408230 266628721 /nfs/dbraw/zinc/62/87/21/266628721.db2.gz IFFQHNCOHLFIRN-OAHLLOKOSA-N 1 2 316.386 1.978 20 30 DDEDLO C[C@@H]1[C@H](C)N(CCS(=O)(=O)c2cccc(C#N)c2)CC[N@H+]1C ZINC000357041230 267106934 /nfs/dbraw/zinc/10/69/34/267106934.db2.gz QLLGBYWAUZOAQZ-KGLIPLIRSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1[C@H](C)N(CCS(=O)(=O)c2cccc(C#N)c2)CC[N@@H+]1C ZINC000357041230 267106936 /nfs/dbraw/zinc/10/69/36/267106936.db2.gz QLLGBYWAUZOAQZ-KGLIPLIRSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@H]1CC[S@](=O)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000375293885 267223893 /nfs/dbraw/zinc/22/38/93/267223893.db2.gz SYJZQHPUZJADOV-QKVFXAPYSA-N 1 2 302.403 1.549 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cc1 ZINC000366840577 268145028 /nfs/dbraw/zinc/14/50/28/268145028.db2.gz GIWSYHJFKWDQRQ-HNNXBMFYSA-N 1 2 300.362 1.497 20 30 DDEDLO N#Cc1ccc(OCC[NH+]2CCN([C@@H]3CCOC3)CC2)cc1 ZINC000366547121 268173085 /nfs/dbraw/zinc/17/30/85/268173085.db2.gz YVVBPVTWPCHUEC-MRXNPFEDSA-N 1 2 301.390 1.344 20 30 DDEDLO N#Cc1ccccc1OCC(=O)Nc1c[nH+]ccc1-n1ccnn1 ZINC000367392989 268282471 /nfs/dbraw/zinc/28/24/71/268282471.db2.gz XNGYNNIECOZOBC-UHFFFAOYSA-N 1 2 320.312 1.551 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+]([C@H]3CCCCNC3=O)CC2)CCC1 ZINC000372776989 277824343 /nfs/dbraw/zinc/82/43/43/277824343.db2.gz RDIJXKGGUFOQBO-HNNXBMFYSA-N 1 2 319.449 1.546 20 30 DDEDLO CC(=O)Nc1ccc(/C=C\C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000493284516 278189641 /nfs/dbraw/zinc/18/96/41/278189641.db2.gz BJXUZRLAXWFEDL-CGQZWFTASA-N 1 2 314.389 1.618 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1c1snc(Cl)c1C#N ZINC000291191351 279119017 /nfs/dbraw/zinc/11/90/17/279119017.db2.gz SSSCXAAYVRMQMY-MWLCHTKSSA-N 1 2 312.826 1.968 20 30 DDEDLO [O-]C(=[NH+][C@@H]1[C@@H]2CCO[C@H]2C12CCCC2)N1CCn2c[nH+]cc2C1 ZINC000329681297 295386106 /nfs/dbraw/zinc/38/61/06/295386106.db2.gz WVEOSHRVNSAXNA-RRFJBIMHSA-N 1 2 316.405 1.960 20 30 DDEDLO O=C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCCC2)N1CCn2c[nH+]cc2C1 ZINC000329681297 295386110 /nfs/dbraw/zinc/38/61/10/295386110.db2.gz WVEOSHRVNSAXNA-RRFJBIMHSA-N 1 2 316.405 1.960 20 30 DDEDLO C[C@H](CNC(=O)N1CC[C@H](O)C12CCCC2)[NH+]1CCOCC1 ZINC000330346367 298244901 /nfs/dbraw/zinc/24/49/01/298244901.db2.gz GLQRLELALDVQLH-KGLIPLIRSA-N 1 2 311.426 1.001 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ccncc3C#N)c[nH+]2)CCO1 ZINC000545240174 303485850 /nfs/dbraw/zinc/48/58/50/303485850.db2.gz MBYHHIWBMJEVPL-CYBMUJFWSA-N 1 2 309.373 1.607 20 30 DDEDLO N#Cc1cccc(CC(=O)N2CC[NH2+][C@H](c3ccncc3)C2)c1 ZINC000558641882 303777007 /nfs/dbraw/zinc/77/70/07/303777007.db2.gz XPNOTBYHPINIFH-KRWDZBQOSA-N 1 2 306.369 1.669 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)[C@H]3CCCO3)CC2)s1 ZINC000367430784 307093235 /nfs/dbraw/zinc/09/32/35/307093235.db2.gz LYBZVKJIHCPAAO-OAHLLOKOSA-N 1 2 319.430 1.833 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)[C@H]3CCCO3)CC2)s1 ZINC000367430784 307093236 /nfs/dbraw/zinc/09/32/36/307093236.db2.gz LYBZVKJIHCPAAO-OAHLLOKOSA-N 1 2 319.430 1.833 20 30 DDEDLO COC(=O)[C@H]([NH2+]CCOc1ccc(C#N)cc1OC)C(C)C ZINC000546591921 307729394 /nfs/dbraw/zinc/72/93/94/307729394.db2.gz ZCWJLVBDEGXONF-OAHLLOKOSA-N 1 2 306.362 1.733 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000576893436 308347464 /nfs/dbraw/zinc/34/74/64/308347464.db2.gz GSXVURHLLXMIMZ-AWEZNQCLSA-N 1 2 315.421 1.236 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NS(=O)(=O)c1ccc(C#N)nc1)C1CC1 ZINC000581016777 308648383 /nfs/dbraw/zinc/64/83/83/308648383.db2.gz FFHRAGIQWGQOLY-CYBMUJFWSA-N 1 2 317.374 1.116 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CC1)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000160809395 333665499 /nfs/dbraw/zinc/66/54/99/333665499.db2.gz LDEFJSCWTOLALC-CYBMUJFWSA-N 1 2 316.380 1.509 20 30 DDEDLO C(#CC[N@@H+]1CCO[C@@H]2CCC[C@@H]21)CN1CCO[C@H]2CCC[C@@H]21 ZINC000375351652 337008796 /nfs/dbraw/zinc/00/87/96/337008796.db2.gz DUPJETGRAFIRDR-XLAORIBOSA-N 1 2 304.434 1.496 20 30 DDEDLO C(#CC[N@H+]1CCO[C@@H]2CCC[C@@H]21)CN1CCO[C@H]2CCC[C@@H]21 ZINC000375351652 337008797 /nfs/dbraw/zinc/00/87/97/337008797.db2.gz DUPJETGRAFIRDR-XLAORIBOSA-N 1 2 304.434 1.496 20 30 DDEDLO C(#CC[N@@H+]1CCO[C@H]2CCC[C@@H]21)CN1CCO[C@@H]2CCC[C@@H]21 ZINC000375351652 337008798 /nfs/dbraw/zinc/00/87/98/337008798.db2.gz DUPJETGRAFIRDR-XLAORIBOSA-N 1 2 304.434 1.496 20 30 DDEDLO C(#CC[N@H+]1CCO[C@H]2CCC[C@@H]21)CN1CCO[C@@H]2CCC[C@@H]21 ZINC000375351652 337008799 /nfs/dbraw/zinc/00/87/99/337008799.db2.gz DUPJETGRAFIRDR-XLAORIBOSA-N 1 2 304.434 1.496 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000583305368 337310507 /nfs/dbraw/zinc/31/05/07/337310507.db2.gz TYDJJLJSXINRTM-KGLIPLIRSA-N 1 2 311.426 1.130 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000583305368 337310508 /nfs/dbraw/zinc/31/05/08/337310508.db2.gz TYDJJLJSXINRTM-KGLIPLIRSA-N 1 2 311.426 1.130 20 30 DDEDLO C=CCN(C(=O)[C@@H]1CCCc2[nH+]c[nH]c21)[C@@H]1CCS(=O)(=O)C1 ZINC000547755694 341230570 /nfs/dbraw/zinc/23/05/70/341230570.db2.gz LPZJUZQBRKQIHG-VXGBXAGGSA-N 1 2 323.418 1.031 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1ccc(OC(F)F)cc1 ZINC000564873145 341511955 /nfs/dbraw/zinc/51/19/55/341511955.db2.gz UJQPNQRDTFBBRG-HNNXBMFYSA-N 1 2 311.332 1.791 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[NH2+]C[C@@H](C#N)CCC#N)s1 ZINC000574312906 341754974 /nfs/dbraw/zinc/75/49/74/341754974.db2.gz PARSASUEHYOWEM-SNVBAGLBSA-N 1 2 312.420 1.189 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)C(=O)Nc2c[nH+]ccc2OC)C1 ZINC000658435872 484355285 /nfs/dbraw/zinc/35/52/85/484355285.db2.gz FDYCSUAWWFIQGF-LBPRGKRZSA-N 1 2 303.362 1.843 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000661119818 484923965 /nfs/dbraw/zinc/92/39/65/484923965.db2.gz KHOXRPJDFONLHE-OAGGEKHMSA-N 1 2 323.437 1.084 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000668091007 484946043 /nfs/dbraw/zinc/94/60/43/484946043.db2.gz XRBBQNVNFMSQKC-KGLIPLIRSA-N 1 2 304.394 1.587 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000668091009 484946059 /nfs/dbraw/zinc/94/60/59/484946059.db2.gz XRBBQNVNFMSQKC-ZIAGYGMSSA-N 1 2 304.394 1.587 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)C(=O)NCCCn2cc[nH+]c2)C1 ZINC000668332183 485112284 /nfs/dbraw/zinc/11/22/84/485112284.db2.gz FQATVIUOCQLOFY-AWEZNQCLSA-N 1 2 304.394 1.204 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H](CS(C)(=O)=O)C2)ccc1C#N ZINC000292857538 533818330 /nfs/dbraw/zinc/81/83/30/533818330.db2.gz UXEHDJHUQHIBBJ-CYBMUJFWSA-N 1 2 308.403 1.433 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H](CS(C)(=O)=O)C2)ccc1C#N ZINC000292857538 533818339 /nfs/dbraw/zinc/81/83/39/533818339.db2.gz UXEHDJHUQHIBBJ-CYBMUJFWSA-N 1 2 308.403 1.433 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@H](NC(=O)c1ccccc1OCC#N)C2 ZINC000364501111 534506142 /nfs/dbraw/zinc/50/61/42/534506142.db2.gz HITIOIJNJSYVRY-ZDUSSCGKSA-N 1 2 310.357 1.839 20 30 DDEDLO CO[C@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000156720064 523972371 /nfs/dbraw/zinc/97/23/71/523972371.db2.gz TYNWLHKQWCMLJC-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO CO[C@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000156720064 523972372 /nfs/dbraw/zinc/97/23/72/523972372.db2.gz TYNWLHKQWCMLJC-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N1CCO[C@H](C2CC2)C1 ZINC000330151998 534758125 /nfs/dbraw/zinc/75/81/25/534758125.db2.gz WKJUWJDLWKJJRN-AWEZNQCLSA-N 1 2 304.394 1.744 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)C(=O)Nc1ccc(C#N)cc1Cl ZINC000490522615 534788412 /nfs/dbraw/zinc/78/84/12/534788412.db2.gz ZNOHKPAUVGEDDV-UHFFFAOYSA-N 1 2 317.736 1.200 20 30 DDEDLO CC(C)(C)OC(=O)N[C@@H]1CC[N@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC000492098619 526484545 /nfs/dbraw/zinc/48/45/45/526484545.db2.gz JYIHNZMXSWJLJO-GFCCVEGCSA-N 1 2 322.409 1.148 20 30 DDEDLO CC(C)(C)OC(=O)N[C@@H]1CC[N@@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC000492098619 526484553 /nfs/dbraw/zinc/48/45/53/526484553.db2.gz JYIHNZMXSWJLJO-GFCCVEGCSA-N 1 2 322.409 1.148 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N2CCCCCC2)C1=O ZINC000337238081 526503587 /nfs/dbraw/zinc/50/35/87/526503587.db2.gz ZDXBGBGIWCBHAT-CABCVRRESA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N2CCCCCC2)C1=O ZINC000337238081 526503591 /nfs/dbraw/zinc/50/35/91/526503591.db2.gz ZDXBGBGIWCBHAT-CABCVRRESA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCO[C@H](COc3ccccc3)C2)C1=O ZINC000337205680 526509742 /nfs/dbraw/zinc/50/97/42/526509742.db2.gz LBCVEFIPSIVOMI-IRXDYDNUSA-N 1 2 316.401 1.553 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCO[C@H](COc3ccccc3)C2)C1=O ZINC000337205680 526509747 /nfs/dbraw/zinc/50/97/47/526509747.db2.gz LBCVEFIPSIVOMI-IRXDYDNUSA-N 1 2 316.401 1.553 20 30 DDEDLO C=C(C)CN(CC)C(=O)CN(C)CC[N@@H+]1CCOC(C)(C)C1 ZINC000434704602 526519542 /nfs/dbraw/zinc/51/95/42/526519542.db2.gz FRDFFFNXWHCSDX-UHFFFAOYSA-N 1 2 311.470 1.454 20 30 DDEDLO C=C(C)CN(CC)C(=O)CN(C)CC[N@H+]1CCOC(C)(C)C1 ZINC000434704602 526519547 /nfs/dbraw/zinc/51/95/47/526519547.db2.gz FRDFFFNXWHCSDX-UHFFFAOYSA-N 1 2 311.470 1.454 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+]C1(c2nc(C)cs2)CCC1 ZINC000345629606 526595748 /nfs/dbraw/zinc/59/57/48/526595748.db2.gz CUWAFURMWZVIGD-UHFFFAOYSA-N 1 2 308.407 1.432 20 30 DDEDLO CC(=O)N1CC[C@@H](NC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)C1 ZINC000329950826 526640586 /nfs/dbraw/zinc/64/05/86/526640586.db2.gz HZZIEGRQVPQTCG-CYBMUJFWSA-N 1 2 305.382 1.124 20 30 DDEDLO CC(=O)N1CC[C@@H](NC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)C1 ZINC000329950826 526640593 /nfs/dbraw/zinc/64/05/93/526640593.db2.gz HZZIEGRQVPQTCG-CYBMUJFWSA-N 1 2 305.382 1.124 20 30 DDEDLO C#CCN(C(=O)Nc1ccc(C)[nH+]c1C)[C@H]1CCS(=O)(=O)C1 ZINC000490914262 526775473 /nfs/dbraw/zinc/77/54/73/526775473.db2.gz GVXBNCHHIIGLMA-ZDUSSCGKSA-N 1 2 321.402 1.353 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[NH2+][C@H](c2ccncc2)C1)OCC ZINC000337667001 526809885 /nfs/dbraw/zinc/80/98/85/526809885.db2.gz TWGJNBGEVMOYRB-HOTGVXAUSA-N 1 2 303.406 1.926 20 30 DDEDLO C#CC[N@@H+](CC(=O)N(C)CC(=O)OCC)Cc1ccccc1 ZINC000491125459 526882453 /nfs/dbraw/zinc/88/24/53/526882453.db2.gz FBLSMUROPIBONP-UHFFFAOYSA-N 1 2 302.374 1.143 20 30 DDEDLO C#CC[N@H+](CC(=O)N(C)CC(=O)OCC)Cc1ccccc1 ZINC000491125459 526882456 /nfs/dbraw/zinc/88/24/56/526882456.db2.gz FBLSMUROPIBONP-UHFFFAOYSA-N 1 2 302.374 1.143 20 30 DDEDLO C=CC(=O)Nc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000490754678 526940267 /nfs/dbraw/zinc/94/02/67/526940267.db2.gz CDGKHKZZXFAHEV-MRXNPFEDSA-N 1 2 300.362 1.385 20 30 DDEDLO CC(C)(CC#N)CNC(=O)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000422478121 526950266 /nfs/dbraw/zinc/95/02/66/526950266.db2.gz GJFNKSBIVFVHSS-LBPRGKRZSA-N 1 2 317.393 1.519 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@@](C)(C3CCCC3)CC2=O)CC1 ZINC000491041179 526953746 /nfs/dbraw/zinc/95/37/46/526953746.db2.gz XXTWKZHHMRZOHW-SFHVURJKSA-N 1 2 303.450 1.624 20 30 DDEDLO C=CC[C@@H](CO)CNc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000433766451 527084553 /nfs/dbraw/zinc/08/45/53/527084553.db2.gz PNKUWFGBHVCJKY-ZIAGYGMSSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CC[C@@H](CO)CNc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000433766451 527084556 /nfs/dbraw/zinc/08/45/56/527084556.db2.gz PNKUWFGBHVCJKY-ZIAGYGMSSA-N 1 2 306.410 1.424 20 30 DDEDLO C#C[C@@H](C)N(C)CC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000491553652 527213258 /nfs/dbraw/zinc/21/32/58/527213258.db2.gz BNTKQPHYHQWIGG-OAHLLOKOSA-N 1 2 315.417 1.411 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000491348701 527256253 /nfs/dbraw/zinc/25/62/53/527256253.db2.gz FBFQFJKXFAERAQ-DZGCQCFKSA-N 1 2 318.421 1.401 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000491348701 527256259 /nfs/dbraw/zinc/25/62/59/527256259.db2.gz FBFQFJKXFAERAQ-DZGCQCFKSA-N 1 2 318.421 1.401 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NCc1ccc(N(CC)CC)[nH+]c1 ZINC000491709573 527301278 /nfs/dbraw/zinc/30/12/78/527301278.db2.gz RRPVSYXYSCOGAB-OAHLLOKOSA-N 1 2 316.405 1.471 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)Cc1nc2oc(C)c(C(=O)OCC)c2c(N)n1 ZINC000491496488 527333170 /nfs/dbraw/zinc/33/31/70/527333170.db2.gz QQCAYFJUSDGMJJ-VIFPVBQESA-N 1 2 316.361 1.744 20 30 DDEDLO C#C[C@H](C)[N@H+](C)Cc1nc2oc(C)c(C(=O)OCC)c2c(N)n1 ZINC000491496488 527333174 /nfs/dbraw/zinc/33/31/74/527333174.db2.gz QQCAYFJUSDGMJJ-VIFPVBQESA-N 1 2 316.361 1.744 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH2+][C@@H](c2cccc(F)c2)C1 ZINC000451666940 527485581 /nfs/dbraw/zinc/48/55/81/527485581.db2.gz SUCUCCMFVXKWAB-OAGGEKHMSA-N 1 2 304.365 1.890 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)C1CC[NH+](CC(F)F)CC1 ZINC000424924340 527492996 /nfs/dbraw/zinc/49/29/96/527492996.db2.gz LUHMUCSCNNIXQS-STQMWFEESA-N 1 2 302.365 1.671 20 30 DDEDLO CC(C)[C@@H](NS(=O)(=O)c1ccccc1C#N)c1[nH]cc[nH+]1 ZINC000295152508 528595730 /nfs/dbraw/zinc/59/57/30/528595730.db2.gz AIWFAJRPECZXKV-CYBMUJFWSA-N 1 2 304.375 1.957 20 30 DDEDLO CC[N@H+](Cc1cnn(C)c1)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000343741561 528877402 /nfs/dbraw/zinc/87/74/02/528877402.db2.gz XFMGDVRDULWRSL-BBRMVZONSA-N 1 2 305.426 1.685 20 30 DDEDLO CC[N@@H+](Cc1cnn(C)c1)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000343741561 528877410 /nfs/dbraw/zinc/87/74/10/528877410.db2.gz XFMGDVRDULWRSL-BBRMVZONSA-N 1 2 305.426 1.685 20 30 DDEDLO CCC[C@H](NC(=O)[C@H](C)O[NH+]=C(N)CCO)c1ccccc1 ZINC000121374485 696709169 /nfs/dbraw/zinc/70/91/69/696709169.db2.gz QBTUUJFCSIUCDD-JSGCOSHPSA-N 1 2 307.394 1.704 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3oc(C)cc3C)C2)C1 ZINC000972323206 695216003 /nfs/dbraw/zinc/21/60/03/695216003.db2.gz IIKHTAJATSDEQS-SFHVURJKSA-N 1 2 316.401 1.837 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3oc(C)cc3C)C2)C1 ZINC000972323206 695216004 /nfs/dbraw/zinc/21/60/04/695216004.db2.gz IIKHTAJATSDEQS-SFHVURJKSA-N 1 2 316.401 1.837 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccoc3Cl)C2)C1 ZINC000972352205 695224471 /nfs/dbraw/zinc/22/44/71/695224471.db2.gz MDBUHVJVFIBSLF-MRXNPFEDSA-N 1 2 322.792 1.873 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccoc3Cl)C2)C1 ZINC000972352205 695224473 /nfs/dbraw/zinc/22/44/73/695224473.db2.gz MDBUHVJVFIBSLF-MRXNPFEDSA-N 1 2 322.792 1.873 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)/C=C\c3ccc[nH]3)C2)C1 ZINC000972358028 695226898 /nfs/dbraw/zinc/22/68/98/695226898.db2.gz PDQRUFLYSHEWTK-MJRGOJFPSA-N 1 2 313.401 1.355 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)/C=C\c3ccc[nH]3)C2)C1 ZINC000972358028 695226902 /nfs/dbraw/zinc/22/69/02/695226902.db2.gz PDQRUFLYSHEWTK-MJRGOJFPSA-N 1 2 313.401 1.355 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccoc3CC)C2)C1 ZINC000972363533 695229500 /nfs/dbraw/zinc/22/95/00/695229500.db2.gz BATRKBGXLPPDGS-KRWDZBQOSA-N 1 2 304.390 1.945 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccoc3CC)C2)C1 ZINC000972363533 695229501 /nfs/dbraw/zinc/22/95/01/695229501.db2.gz BATRKBGXLPPDGS-KRWDZBQOSA-N 1 2 304.390 1.945 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3onc(C)c3C)C2)C1 ZINC000972424187 695246319 /nfs/dbraw/zinc/24/63/19/695246319.db2.gz GXWPKMWJFRIPFN-QGZVFWFLSA-N 1 2 317.389 1.232 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3onc(C)c3C)C2)C1 ZINC000972424187 695246321 /nfs/dbraw/zinc/24/63/21/695246321.db2.gz GXWPKMWJFRIPFN-QGZVFWFLSA-N 1 2 317.389 1.232 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(CC)o3)C2)C1 ZINC000972436736 695251281 /nfs/dbraw/zinc/25/12/81/695251281.db2.gz CVCROIDDZWSPFG-SFHVURJKSA-N 1 2 316.401 1.782 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(CC)o3)C2)C1 ZINC000972436736 695251282 /nfs/dbraw/zinc/25/12/82/695251282.db2.gz CVCROIDDZWSPFG-SFHVURJKSA-N 1 2 316.401 1.782 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccn(CC)c3C)C2)C1 ZINC000972514022 695270211 /nfs/dbraw/zinc/27/02/11/695270211.db2.gz NFJLVHZBIWACTA-GOSISDBHSA-N 1 2 315.417 1.367 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccn(CC)c3C)C2)C1 ZINC000972514022 695270213 /nfs/dbraw/zinc/27/02/13/695270213.db2.gz NFJLVHZBIWACTA-GOSISDBHSA-N 1 2 315.417 1.367 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccnc3C)C2)C1 ZINC000972526339 695273291 /nfs/dbraw/zinc/27/32/91/695273291.db2.gz JHBISHNIRNSWPD-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccnc3C)C2)C1 ZINC000972526339 695273292 /nfs/dbraw/zinc/27/32/92/695273292.db2.gz JHBISHNIRNSWPD-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3occc3C)C2)C1 ZINC000972543522 695277787 /nfs/dbraw/zinc/27/77/87/695277787.db2.gz PKFDUUGXAIUSAH-SFHVURJKSA-N 1 2 316.401 1.457 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3occc3C)C2)C1 ZINC000972543522 695277789 /nfs/dbraw/zinc/27/77/89/695277789.db2.gz PKFDUUGXAIUSAH-SFHVURJKSA-N 1 2 316.401 1.457 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC000972695646 695318041 /nfs/dbraw/zinc/31/80/41/695318041.db2.gz DUQSSRXOPLFTFL-WCQYABFASA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC000972695646 695318043 /nfs/dbraw/zinc/31/80/43/695318043.db2.gz DUQSSRXOPLFTFL-WCQYABFASA-N 1 2 313.829 1.140 20 30 DDEDLO CC1=CCC[N@H+](CN2C(=O)N[C@@](C)(c3cccc(C#N)c3)C2=O)C1 ZINC000797823187 700025546 /nfs/dbraw/zinc/02/55/46/700025546.db2.gz NILHFBLLMPKNHP-SFHVURJKSA-N 1 2 324.384 1.935 20 30 DDEDLO CC1=CCC[N@@H+](CN2C(=O)N[C@@](C)(c3cccc(C#N)c3)C2=O)C1 ZINC000797823187 700025548 /nfs/dbraw/zinc/02/55/48/700025548.db2.gz NILHFBLLMPKNHP-SFHVURJKSA-N 1 2 324.384 1.935 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ncc(OC)cn2)C(C)(C)C1 ZINC000974826698 695744126 /nfs/dbraw/zinc/74/41/26/695744126.db2.gz YBEZCJQNJPPEQT-LBPRGKRZSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ncc(OC)cn2)C(C)(C)C1 ZINC000974826698 695744127 /nfs/dbraw/zinc/74/41/27/695744127.db2.gz YBEZCJQNJPPEQT-LBPRGKRZSA-N 1 2 324.812 1.678 20 30 DDEDLO N#Cc1ccc(NC(=O)CO[NH+]=C(N)c2ccc(F)cc2)cc1 ZINC000029464394 696110704 /nfs/dbraw/zinc/11/07/04/696110704.db2.gz HTPRGALQYMWJPG-UHFFFAOYSA-N 1 2 312.304 1.973 20 30 DDEDLO CC1(C)C[N@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1nnc[nH]1 ZINC000977349217 696124288 /nfs/dbraw/zinc/12/42/88/696124288.db2.gz CJRHXRRKJDXFTO-AWEZNQCLSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1nnc[nH]1 ZINC000977349217 696124289 /nfs/dbraw/zinc/12/42/89/696124289.db2.gz CJRHXRRKJDXFTO-AWEZNQCLSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1ncn[nH]1 ZINC000977349217 696124290 /nfs/dbraw/zinc/12/42/90/696124290.db2.gz CJRHXRRKJDXFTO-AWEZNQCLSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1ncn[nH]1 ZINC000977349217 696124292 /nfs/dbraw/zinc/12/42/92/696124292.db2.gz CJRHXRRKJDXFTO-AWEZNQCLSA-N 1 2 324.388 1.317 20 30 DDEDLO C[N@H+](CC(=O)NCCC#N)Cc1cc(Br)cs1 ZINC000047752603 696202903 /nfs/dbraw/zinc/20/29/03/696202903.db2.gz ARVHMRHIMIJOLB-UHFFFAOYSA-N 1 2 316.224 1.972 20 30 DDEDLO C[N@@H+](CC(=O)NCCC#N)Cc1cc(Br)cs1 ZINC000047752603 696202905 /nfs/dbraw/zinc/20/29/05/696202905.db2.gz ARVHMRHIMIJOLB-UHFFFAOYSA-N 1 2 316.224 1.972 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000056315671 696290050 /nfs/dbraw/zinc/29/00/50/696290050.db2.gz WHKUZMVMZMZFPF-OLZOCXBDSA-N 1 2 309.410 1.113 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000056315671 696290051 /nfs/dbraw/zinc/29/00/51/696290051.db2.gz WHKUZMVMZMZFPF-OLZOCXBDSA-N 1 2 309.410 1.113 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CCC[C@H]([C@@H]3CCOC3)C2)c1=S ZINC000747826960 700088659 /nfs/dbraw/zinc/08/86/59/700088659.db2.gz FGQDWDBNYIPBHK-QWHCGFSZSA-N 1 2 309.439 1.701 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CCC[C@H]([C@@H]3CCOC3)C2)c1=S ZINC000747826960 700088662 /nfs/dbraw/zinc/08/86/62/700088662.db2.gz FGQDWDBNYIPBHK-QWHCGFSZSA-N 1 2 309.439 1.701 20 30 DDEDLO COCC(=O)N1CCC[N@H+](CC#Cc2cccc(Cl)c2)CC1 ZINC000980576708 696804507 /nfs/dbraw/zinc/80/45/07/696804507.db2.gz AQMCPLCIYMCOQL-UHFFFAOYSA-N 1 2 320.820 1.872 20 30 DDEDLO COCC(=O)N1CCC[N@@H+](CC#Cc2cccc(Cl)c2)CC1 ZINC000980576708 696804510 /nfs/dbraw/zinc/80/45/10/696804510.db2.gz AQMCPLCIYMCOQL-UHFFFAOYSA-N 1 2 320.820 1.872 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@H+](Cc2ccc(C#N)s2)CC1 ZINC000980786715 696889191 /nfs/dbraw/zinc/88/91/91/696889191.db2.gz WJMXWHPDGFEQAB-GFCCVEGCSA-N 1 2 307.419 1.689 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)s2)CC1 ZINC000980786715 696889195 /nfs/dbraw/zinc/88/91/95/696889195.db2.gz WJMXWHPDGFEQAB-GFCCVEGCSA-N 1 2 307.419 1.689 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](Cc2ccc(C#N)cc2F)CC1 ZINC000980847373 696915958 /nfs/dbraw/zinc/91/59/58/696915958.db2.gz AAKULYKEDQOUPY-CYBMUJFWSA-N 1 2 314.364 1.891 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)cc2F)CC1 ZINC000980847373 696915961 /nfs/dbraw/zinc/91/59/61/696915961.db2.gz AAKULYKEDQOUPY-CYBMUJFWSA-N 1 2 314.364 1.891 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](CC#Cc2ccc(F)cc2)CC1 ZINC000980848487 696916997 /nfs/dbraw/zinc/91/69/97/696916997.db2.gz YMSSTRDVMGZOCI-OAHLLOKOSA-N 1 2 313.376 1.871 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](CC#Cc2ccc(F)cc2)CC1 ZINC000980848487 696916999 /nfs/dbraw/zinc/91/69/99/696916999.db2.gz YMSSTRDVMGZOCI-OAHLLOKOSA-N 1 2 313.376 1.871 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000155234595 696944978 /nfs/dbraw/zinc/94/49/78/696944978.db2.gz SGXRIVPKGCGLSW-UHFFFAOYSA-N 1 2 302.374 1.076 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@@H+](Cc3ocnc3C)CC2)c1 ZINC000981923729 696969992 /nfs/dbraw/zinc/96/99/92/696969992.db2.gz YDQQUZGIKKVILP-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@H+](Cc3ocnc3C)CC2)c1 ZINC000981923729 696969994 /nfs/dbraw/zinc/96/99/94/696969994.db2.gz YDQQUZGIKKVILP-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)Nc2ccc(C)[nH+]c2N(C)C)CC1 ZINC000156902145 696970121 /nfs/dbraw/zinc/97/01/21/696970121.db2.gz XXTCNADNSKKVSZ-UHFFFAOYSA-N 1 2 316.405 1.819 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCN(C(=O)c3c[nH]c(C#N)c3)CC2)ncn1 ZINC000981006210 696977687 /nfs/dbraw/zinc/97/76/87/696977687.db2.gz NDYDFTGLGXWHPS-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO Cc1cc(C[N@H+]2CCCN(C(=O)c3c[nH]c(C#N)c3)CC2)ncn1 ZINC000981006210 696977691 /nfs/dbraw/zinc/97/76/91/696977691.db2.gz NDYDFTGLGXWHPS-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2ncoc2C(F)(F)F)CC1 ZINC000981500987 697113030 /nfs/dbraw/zinc/11/30/30/697113030.db2.gz GZJMRECFXQYECB-UHFFFAOYSA-N 1 2 301.268 1.475 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2ncoc2C(F)(F)F)CC1 ZINC000981500987 697113032 /nfs/dbraw/zinc/11/30/32/697113032.db2.gz GZJMRECFXQYECB-UHFFFAOYSA-N 1 2 301.268 1.475 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1C[C@@]2(C)CN(CC#N)C[C@@]2(C)C1 ZINC000982634192 697162409 /nfs/dbraw/zinc/16/24/09/697162409.db2.gz GAGRFRAODIMZMZ-RRQGHBQHSA-N 1 2 315.421 1.282 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1C[C@@]2(C)CN(CC#N)C[C@@]2(C)C1 ZINC000982634192 697162411 /nfs/dbraw/zinc/16/24/11/697162411.db2.gz GAGRFRAODIMZMZ-RRQGHBQHSA-N 1 2 315.421 1.282 20 30 DDEDLO CC(C)C[N@@H+]1CCO[C@H](CNC=C2C(=O)CC(C)(C)CC2=O)C1 ZINC000194964467 697726638 /nfs/dbraw/zinc/72/66/38/697726638.db2.gz CITCSZRXMSISOV-CQSZACIVSA-N 1 2 322.449 1.775 20 30 DDEDLO CC(C)C[N@H+]1CCO[C@H](CNC=C2C(=O)CC(C)(C)CC2=O)C1 ZINC000194964467 697726639 /nfs/dbraw/zinc/72/66/39/697726639.db2.gz CITCSZRXMSISOV-CQSZACIVSA-N 1 2 322.449 1.775 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)[C@H]2C)o1 ZINC000986251816 697753320 /nfs/dbraw/zinc/75/33/20/697753320.db2.gz AJNIUZNUKBJKGS-GXTWGEPZSA-N 1 2 316.405 1.685 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC(c2c[nH]c[nH+]2)CC1)[C@@H]1CCCO1 ZINC000776227953 698084268 /nfs/dbraw/zinc/08/42/68/698084268.db2.gz MQQJEWHHQVVBID-ZFWWWQNUSA-N 1 2 302.378 1.480 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC(c2c[nH+]c[nH]2)CC1)[C@@H]1CCCO1 ZINC000776227953 698084272 /nfs/dbraw/zinc/08/42/72/698084272.db2.gz MQQJEWHHQVVBID-ZFWWWQNUSA-N 1 2 302.378 1.480 20 30 DDEDLO Cc1ncc(C(=O)N2C[C@H]([NH2+]Cc3ccccc3C#N)C[C@@H]2C)[nH]1 ZINC000988368010 698339419 /nfs/dbraw/zinc/33/94/19/698339419.db2.gz NGHGJNVNFJORGC-BLLLJJGKSA-N 1 2 323.400 1.983 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000800369286 700219018 /nfs/dbraw/zinc/21/90/18/700219018.db2.gz KWZOSYFKTMPGBN-FRRDWIJNSA-N 1 2 312.410 1.949 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000800369286 700219020 /nfs/dbraw/zinc/21/90/20/700219020.db2.gz KWZOSYFKTMPGBN-FRRDWIJNSA-N 1 2 312.410 1.949 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](C/C=C\Cl)CC2)cn1 ZINC000989513138 698652343 /nfs/dbraw/zinc/65/23/43/698652343.db2.gz XRONVDJQGNLVEQ-CLTKARDFSA-N 1 2 303.793 1.963 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](C/C=C\Cl)CC2)cn1 ZINC000989513138 698652345 /nfs/dbraw/zinc/65/23/45/698652345.db2.gz XRONVDJQGNLVEQ-CLTKARDFSA-N 1 2 303.793 1.963 20 30 DDEDLO CON=Cc1ccc(C(=O)NCC[N@@H+]2CCO[C@@H](C)C2)cc1 ZINC000725721870 699331820 /nfs/dbraw/zinc/33/18/20/699331820.db2.gz WHHCHOJOFSQXTL-ZDUSSCGKSA-N 1 2 305.378 1.117 20 30 DDEDLO CON=Cc1ccc(C(=O)NCC[N@H+]2CCO[C@@H](C)C2)cc1 ZINC000725721870 699331823 /nfs/dbraw/zinc/33/18/23/699331823.db2.gz WHHCHOJOFSQXTL-ZDUSSCGKSA-N 1 2 305.378 1.117 20 30 DDEDLO C=CCCC(=O)OCC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000726649749 699372305 /nfs/dbraw/zinc/37/23/05/699372305.db2.gz BSBUNXNWHAOOIA-UHFFFAOYSA-N 1 2 322.430 1.902 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)CCN2C(C)=O ZINC000730095469 699500395 /nfs/dbraw/zinc/50/03/95/699500395.db2.gz FKIOWPLQKPHYGO-KRWDZBQOSA-N 1 2 311.385 1.632 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)CCN2C(C)=O ZINC000730095469 699500396 /nfs/dbraw/zinc/50/03/96/699500396.db2.gz FKIOWPLQKPHYGO-KRWDZBQOSA-N 1 2 311.385 1.632 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=S)Nc2ccccc2C#N)C1 ZINC000731948304 699542668 /nfs/dbraw/zinc/54/26/68/699542668.db2.gz YMAQLCRXKFCSHO-ZDUSSCGKSA-N 1 2 303.435 1.090 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=S)Nc2ccccc2C#N)C1 ZINC000731948304 699542670 /nfs/dbraw/zinc/54/26/70/699542670.db2.gz YMAQLCRXKFCSHO-ZDUSSCGKSA-N 1 2 303.435 1.090 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)CN1C[C@H](C)CC1=O ZINC000733294582 699586992 /nfs/dbraw/zinc/58/69/92/699586992.db2.gz KGTBBIOGTBCRJG-CQSZACIVSA-N 1 2 301.390 1.833 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)CN1C[C@H](C)CC1=O ZINC000733294582 699586994 /nfs/dbraw/zinc/58/69/94/699586994.db2.gz KGTBBIOGTBCRJG-CQSZACIVSA-N 1 2 301.390 1.833 20 30 DDEDLO C=CC[C@@H](NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)c1ccncc1 ZINC000734666526 699658512 /nfs/dbraw/zinc/65/85/12/699658512.db2.gz ZDFBFIRYJKYDER-GOEBONIOSA-N 1 2 318.421 1.719 20 30 DDEDLO C=CC[C@@H](NC(=O)NCC[N@H+]1CCO[C@@H](C)C1)c1ccncc1 ZINC000734666526 699658514 /nfs/dbraw/zinc/65/85/14/699658514.db2.gz ZDFBFIRYJKYDER-GOEBONIOSA-N 1 2 318.421 1.719 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@@]2(C)[C@@H](C1)C2(Cl)Cl ZINC000792910157 699721730 /nfs/dbraw/zinc/72/17/30/699721730.db2.gz YSTGFOIAHBKTOM-SKDRFNHKSA-N 1 2 320.220 1.514 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@@]2(C)[C@@H](C1)C2(Cl)Cl ZINC000792910157 699721732 /nfs/dbraw/zinc/72/17/32/699721732.db2.gz YSTGFOIAHBKTOM-SKDRFNHKSA-N 1 2 320.220 1.514 20 30 DDEDLO N#Cc1ccccc1NC(=O)CC[N@@H+]1CCO[C@H](C(F)F)C1 ZINC000801563007 700321542 /nfs/dbraw/zinc/32/15/42/700321542.db2.gz JYUVPUDAKWLHCD-ZDUSSCGKSA-N 1 2 309.316 1.853 20 30 DDEDLO N#Cc1ccccc1NC(=O)CC[N@H+]1CCO[C@H](C(F)F)C1 ZINC000801563007 700321545 /nfs/dbraw/zinc/32/15/45/700321545.db2.gz JYUVPUDAKWLHCD-ZDUSSCGKSA-N 1 2 309.316 1.853 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1ccc([S@](C)=O)cc1 ZINC000752509133 700369544 /nfs/dbraw/zinc/36/95/44/700369544.db2.gz OBEUCPUSGOZWHI-BTYIYWSLSA-N 1 2 304.415 1.138 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1ccc([S@](C)=O)cc1 ZINC000752509133 700369548 /nfs/dbraw/zinc/36/95/48/700369548.db2.gz OBEUCPUSGOZWHI-BTYIYWSLSA-N 1 2 304.415 1.138 20 30 DDEDLO Cc1[nH+]c[nH]c1CN=Nc1nc(Br)cn2ccnc12 ZINC000755758084 700582138 /nfs/dbraw/zinc/58/21/38/700582138.db2.gz MUPKOQCCXMXMAN-UHFFFAOYSA-N 1 2 320.154 1.969 20 30 DDEDLO CCN(C)C(=O)CO[NH+]=C(N)c1ccc(Br)cc1 ZINC000758772277 700722351 /nfs/dbraw/zinc/72/23/51/700722351.db2.gz OKIZMQZCTUYDKL-UHFFFAOYSA-N 1 2 314.183 1.564 20 30 DDEDLO C#CCOCCNC(=O)N(C)CCCc1[nH+]ccn1CCC ZINC000759110093 700737669 /nfs/dbraw/zinc/73/76/69/700737669.db2.gz ALXMLRPUBTVGIJ-UHFFFAOYSA-N 1 2 306.410 1.517 20 30 DDEDLO CCNc1nc(N=NCc2ccc[nH+]c2N)nc(NCC)n1 ZINC000764665824 700981387 /nfs/dbraw/zinc/98/13/87/700981387.db2.gz NDEBDMYCXJKTQI-UHFFFAOYSA-N 1 2 301.358 1.158 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCN(C2CCCCC2)C1=O ZINC000766279116 701045048 /nfs/dbraw/zinc/04/50/48/701045048.db2.gz FXCYQSREOXRAIQ-HOTGVXAUSA-N 1 2 317.433 1.134 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CCN(C2CCCCC2)C1=O ZINC000766279116 701045050 /nfs/dbraw/zinc/04/50/50/701045050.db2.gz FXCYQSREOXRAIQ-HOTGVXAUSA-N 1 2 317.433 1.134 20 30 DDEDLO C=CCN(C(=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C)C(C)C ZINC000803668292 701133302 /nfs/dbraw/zinc/13/33/02/701133302.db2.gz KXYVRBHKYABEDI-UHFFFAOYSA-N 1 2 319.405 1.746 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(C(=O)[C@H](O)c2ccc(C#N)cc2)C1 ZINC000769771182 701255539 /nfs/dbraw/zinc/25/55/39/701255539.db2.gz GSAGMPAUEGKATI-JKSUJKDBSA-N 1 2 324.384 1.731 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2cccc(F)c2C#N)CC[N@H+]1C ZINC000770722615 701291993 /nfs/dbraw/zinc/29/19/93/701291993.db2.gz HKEPBUITEVAZHO-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2cccc(F)c2C#N)CC[N@@H+]1C ZINC000770722615 701291995 /nfs/dbraw/zinc/29/19/95/701291995.db2.gz HKEPBUITEVAZHO-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C#CCCCNC(=O)C(=O)N(C)CCCc1[nH+]ccn1CCC ZINC000806910649 701454118 /nfs/dbraw/zinc/45/41/18/701454118.db2.gz OBPINRNZSWNCSK-UHFFFAOYSA-N 1 2 318.421 1.214 20 30 DDEDLO COc1ccc(C[NH2+]Cc2cnn(C)n2)cc1OCCCC#N ZINC000808418438 701517394 /nfs/dbraw/zinc/51/73/94/701517394.db2.gz RRFPYVIZWTZKTO-UHFFFAOYSA-N 1 2 315.377 1.796 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@H](CC)O[C@]3(CCOC3)C2)C1=O ZINC000840062276 701947326 /nfs/dbraw/zinc/94/73/26/701947326.db2.gz UOCLSYFSWCSUHS-GOEBONIOSA-N 1 2 309.410 1.137 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@H](CC)O[C@]3(CCOC3)C2)C1=O ZINC000840062276 701947331 /nfs/dbraw/zinc/94/73/31/701947331.db2.gz UOCLSYFSWCSUHS-GOEBONIOSA-N 1 2 309.410 1.137 20 30 DDEDLO COc1ncnc(N)c1C=[NH+]N[C@@H](C)COc1ccccc1 ZINC000811666456 702025343 /nfs/dbraw/zinc/02/53/43/702025343.db2.gz DNXSDBYBLRPYEZ-NSHDSACASA-N 1 2 301.350 1.458 20 30 DDEDLO C#CCSCCNc1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000840267989 702049514 /nfs/dbraw/zinc/04/95/14/702049514.db2.gz SFBHDZOHWZVKLI-CHWSQXEVSA-N 1 2 306.435 1.462 20 30 DDEDLO C#CCSCCNc1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000840267989 702049519 /nfs/dbraw/zinc/04/95/19/702049519.db2.gz SFBHDZOHWZVKLI-CHWSQXEVSA-N 1 2 306.435 1.462 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)COc1cccc(C#N)c1 ZINC000840526494 702142224 /nfs/dbraw/zinc/14/22/24/702142224.db2.gz XUKWVWJFHFBORJ-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO C[C@@H]1C[N@H+](CCOC(=O)[C@@H]2C[C@H]2c2cccc(C#N)c2)CCO1 ZINC000840605640 702170441 /nfs/dbraw/zinc/17/04/41/702170441.db2.gz PGYCHRAJCAVQRQ-XOKHGSTOSA-N 1 2 314.385 1.926 20 30 DDEDLO C[C@@H]1C[N@@H+](CCOC(=O)[C@@H]2C[C@H]2c2cccc(C#N)c2)CCO1 ZINC000840605640 702170445 /nfs/dbraw/zinc/17/04/45/702170445.db2.gz PGYCHRAJCAVQRQ-XOKHGSTOSA-N 1 2 314.385 1.926 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000868515587 702172338 /nfs/dbraw/zinc/17/23/38/702172338.db2.gz ZYIVGTLBBJPQQM-NVXWUHKLSA-N 1 2 315.417 1.517 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000868515587 702172342 /nfs/dbraw/zinc/17/23/42/702172342.db2.gz ZYIVGTLBBJPQQM-NVXWUHKLSA-N 1 2 315.417 1.517 20 30 DDEDLO N#CCSCC(=O)OCc1cccc(C[NH+]2CCOCC2)c1 ZINC000817182085 702301970 /nfs/dbraw/zinc/30/19/70/702301970.db2.gz VHBMTRXZERBWSM-UHFFFAOYSA-N 1 2 320.414 1.819 20 30 DDEDLO CCNC(=O)N1CC[C@H]([NH2+][C@H](C)c2cccc(C#N)c2O)C1 ZINC000866306868 706670338 /nfs/dbraw/zinc/67/03/38/706670338.db2.gz WNYLHELURPUOJU-YPMHNXCESA-N 1 2 302.378 1.718 20 30 DDEDLO C[C@H]([NH2+]C[C@H]1CCN(S(C)(=O)=O)C1)c1cccc(C#N)c1O ZINC000866310773 706670713 /nfs/dbraw/zinc/67/07/13/706670713.db2.gz PNXRQQXPRKXWHB-NWDGAFQWSA-N 1 2 323.418 1.196 20 30 DDEDLO C[C@H]([NH2+]C[C@@H]1CCCCN1CCO)c1cccc(C#N)c1O ZINC000866366356 706682323 /nfs/dbraw/zinc/68/23/23/706682323.db2.gz LMVSFSFJYQEJOW-ZFWWWQNUSA-N 1 2 303.406 1.761 20 30 DDEDLO CCN(CCO)[NH+]=C(C)c1c(F)cccc1N1CCOCC1 ZINC000842535993 702732654 /nfs/dbraw/zinc/73/26/54/702732654.db2.gz ZZOVGHYINYJYPQ-UHFFFAOYSA-N 1 2 309.385 1.701 20 30 DDEDLO CC(C)(C)C(=O)/C=C1\SCC(=O)N1Cc1noc(C[NH3+])n1 ZINC000843316447 702858644 /nfs/dbraw/zinc/85/86/44/702858644.db2.gz RGNGJGXZDNQMEX-QCDXTXTGSA-N 1 2 310.379 1.060 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCC(c2nc(C3CCC3)no2)CC1 ZINC000879551137 706715868 /nfs/dbraw/zinc/71/58/68/706715868.db2.gz MOVZPIDKDQXARX-UHFFFAOYSA-N 1 2 304.394 1.819 20 30 DDEDLO C[C@@H]([NH2+]C[C@@]12COC(=O)N1CCOC2)c1cccc(C#N)c1O ZINC000866522854 706721069 /nfs/dbraw/zinc/72/10/69/706721069.db2.gz HLFHPBQRVNUURH-BDJLRTHQSA-N 1 2 317.345 1.136 20 30 DDEDLO CCOCCCNC(=O)[C@@H](C#N)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845440201 703156941 /nfs/dbraw/zinc/15/69/41/703156941.db2.gz OGZGSFVXFCJQHU-STQMWFEESA-N 1 2 306.366 1.086 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000846328357 703265037 /nfs/dbraw/zinc/26/50/37/703265037.db2.gz HJKYNEVKCGKOBL-HZPDHXFCSA-N 1 2 324.384 1.509 20 30 DDEDLO COc1cc(C=NNCCCn2cc[nH+]c2)ccc1OC(C)=O ZINC000848417764 703548114 /nfs/dbraw/zinc/54/81/14/703548114.db2.gz DXMFTMVWLBTJSU-UHFFFAOYSA-N 1 2 316.361 1.831 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CN2C(=O)N[C@](CCC)(C3CC3)C2=O)C1 ZINC000848494981 703557703 /nfs/dbraw/zinc/55/77/03/703557703.db2.gz XEOYBYHVRPAGSV-SUMWQHHRSA-N 1 2 303.406 1.790 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CN2C(=O)N[C@](CCC)(C3CC3)C2=O)C1 ZINC000848494981 703557704 /nfs/dbraw/zinc/55/77/04/703557704.db2.gz XEOYBYHVRPAGSV-SUMWQHHRSA-N 1 2 303.406 1.790 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(/C=C\C(=O)OC)o2)C1=O ZINC000848907542 703601705 /nfs/dbraw/zinc/60/17/05/703601705.db2.gz FPLLOHHBOZGTET-ZPIQOJFGSA-N 1 2 318.373 1.685 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(/C=C\C(=O)OC)o2)C1=O ZINC000848907542 703601707 /nfs/dbraw/zinc/60/17/07/703601707.db2.gz FPLLOHHBOZGTET-ZPIQOJFGSA-N 1 2 318.373 1.685 20 30 DDEDLO CN(C)c1cc(COC(=O)CC2(C#N)CCOCC2)cc[nH+]1 ZINC000850298727 703721715 /nfs/dbraw/zinc/72/17/15/703721715.db2.gz VSRGIMWBGLQMMR-UHFFFAOYSA-N 1 2 303.362 1.901 20 30 DDEDLO CC(C)(C)OC(=O)CN1CC[C@H]([NH+]2CCC(C#N)CC2)C1=O ZINC000851739878 703841080 /nfs/dbraw/zinc/84/10/80/703841080.db2.gz IBMVJPZBCHLUCB-ZDUSSCGKSA-N 1 2 307.394 1.165 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@H](O)c2ccccn2)n1 ZINC000851996204 703900233 /nfs/dbraw/zinc/90/02/33/703900233.db2.gz KEFFZPJFGHOPTR-KRWDZBQOSA-N 1 2 314.389 1.093 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@H](O)c2ccccn2)n1 ZINC000851996204 703900236 /nfs/dbraw/zinc/90/02/36/703900236.db2.gz KEFFZPJFGHOPTR-KRWDZBQOSA-N 1 2 314.389 1.093 20 30 DDEDLO CC(C)COC[C@H](O)C[N@@H+]1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852326348 704013088 /nfs/dbraw/zinc/01/30/88/704013088.db2.gz NDNHVMNRAZPZCI-AEFFLSMTSA-N 1 2 317.433 1.972 20 30 DDEDLO CC(C)COC[C@H](O)C[N@H+]1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852326348 704013091 /nfs/dbraw/zinc/01/30/91/704013091.db2.gz NDNHVMNRAZPZCI-AEFFLSMTSA-N 1 2 317.433 1.972 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H]2COC[C@]2(C)C1 ZINC000852535103 704070117 /nfs/dbraw/zinc/07/01/17/704070117.db2.gz UXOZLNQPJRQDRG-VYDXJSESSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@@H]2COC[C@]2(C)C1 ZINC000852535103 704070120 /nfs/dbraw/zinc/07/01/20/704070120.db2.gz UXOZLNQPJRQDRG-VYDXJSESSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)Cc1ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000819400080 704120859 /nfs/dbraw/zinc/12/08/59/704120859.db2.gz DMIOMUZKHUNIDY-HNNXBMFYSA-N 1 2 305.378 1.020 20 30 DDEDLO COC(=O)Cc1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000819400080 704120860 /nfs/dbraw/zinc/12/08/60/704120860.db2.gz DMIOMUZKHUNIDY-HNNXBMFYSA-N 1 2 305.378 1.020 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@H](C)[C@H](CO)C1 ZINC000856795150 704538941 /nfs/dbraw/zinc/53/89/41/704538941.db2.gz BCWBGLMHLPZVRX-RCBQFDQVSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@H](C)[C@H](CO)C1 ZINC000856795150 704538942 /nfs/dbraw/zinc/53/89/42/704538942.db2.gz BCWBGLMHLPZVRX-RCBQFDQVSA-N 1 2 302.374 1.723 20 30 DDEDLO Cc1ncc(S(=O)(=O)N2c3cc[nH+]cc3C[C@@H]2C)cc1C#N ZINC000857899709 704639649 /nfs/dbraw/zinc/63/96/49/704639649.db2.gz MIWQRKHHTNJHIZ-JTQLQIEISA-N 1 2 314.370 1.797 20 30 DDEDLO C#CCN(CC(=O)N[C@H]1CCn2c[nH+]cc21)C(=O)OC(C)(C)C ZINC000857974334 704652522 /nfs/dbraw/zinc/65/25/22/704652522.db2.gz GPLXQZSNDLGFBF-LBPRGKRZSA-N 1 2 318.377 1.314 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N[C@H](CC#C)COC)[nH+]cn1 ZINC000858860286 704765265 /nfs/dbraw/zinc/76/52/65/704765265.db2.gz KSNGRVJPLYHVEU-ZIAGYGMSSA-N 1 2 302.378 1.377 20 30 DDEDLO CN1c2ccccc2C(C)(C)/C1=C\C(=O)C[N@@H+]1C[C@@H]2C[C@]2(O)C1 ZINC000880416254 706967609 /nfs/dbraw/zinc/96/76/09/706967609.db2.gz KPCKZIKOZRBWFE-WQOOLBAFSA-N 1 2 312.413 1.934 20 30 DDEDLO CN1c2ccccc2C(C)(C)/C1=C\C(=O)C[N@H+]1C[C@@H]2C[C@]2(O)C1 ZINC000880416254 706967613 /nfs/dbraw/zinc/96/76/13/706967613.db2.gz KPCKZIKOZRBWFE-WQOOLBAFSA-N 1 2 312.413 1.934 20 30 DDEDLO N#CCn1cc(NC(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)cn1 ZINC000874253464 704985601 /nfs/dbraw/zinc/98/56/01/704985601.db2.gz PRVQNIMZDOIJTO-SNVBAGLBSA-N 1 2 312.255 1.735 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)COc1ccccc1C#N ZINC000874698537 705131473 /nfs/dbraw/zinc/13/14/73/705131473.db2.gz GWPXRFPBTQFWEL-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)COc1ccccc1C#N ZINC000874698537 705131478 /nfs/dbraw/zinc/13/14/78/705131478.db2.gz GWPXRFPBTQFWEL-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)Nc2cc(CC#N)ccn2)C1 ZINC000871725673 707178001 /nfs/dbraw/zinc/17/80/01/707178001.db2.gz ISFWOAPLEJBTLJ-CYBMUJFWSA-N 1 2 310.361 1.903 20 30 DDEDLO C=CC(C)(C)CCCNC(=O)C(=O)NCCc1cn(C)c[nH+]1 ZINC000875404491 705377175 /nfs/dbraw/zinc/37/71/75/705377175.db2.gz YNYVNDPAEDJJJM-UHFFFAOYSA-N 1 2 306.410 1.187 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cc(OC)ccc2OC)CC1 ZINC000824862055 705544588 /nfs/dbraw/zinc/54/45/88/705544588.db2.gz USBSYTPYDNXYBK-UHFFFAOYSA-N 1 2 318.373 1.983 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cccc3[nH]c(=O)oc32)C1=O ZINC000894232189 711027204 /nfs/dbraw/zinc/02/72/04/711027204.db2.gz XFVDLCYSSLYGIQ-CYBMUJFWSA-N 1 2 301.346 1.752 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cccc3[nH]c(=O)oc32)C1=O ZINC000894232189 711027209 /nfs/dbraw/zinc/02/72/09/711027209.db2.gz XFVDLCYSSLYGIQ-CYBMUJFWSA-N 1 2 301.346 1.752 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@H](CNC(=O)[C@H](C)CC#N)C2)cc1 ZINC000826590121 705820696 /nfs/dbraw/zinc/82/06/96/705820696.db2.gz PASQRMOKUVNTGB-NVXWUHKLSA-N 1 2 315.417 1.862 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@H](CNC(=O)[C@H](C)CC#N)C2)cc1 ZINC000826590121 705820699 /nfs/dbraw/zinc/82/06/99/705820699.db2.gz PASQRMOKUVNTGB-NVXWUHKLSA-N 1 2 315.417 1.862 20 30 DDEDLO C(=Nn1cccn1)c1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872392999 707397461 /nfs/dbraw/zinc/39/74/61/707397461.db2.gz HHDZCORBINRFPO-UHFFFAOYSA-N 1 2 300.362 1.476 20 30 DDEDLO C=CCOc1ccc(C[N@H+](C)CCS(N)(=O)=O)cc1Cl ZINC000877827206 706225898 /nfs/dbraw/zinc/22/58/98/706225898.db2.gz OXYCEYAPTGJCHW-UHFFFAOYSA-N 1 2 318.826 1.625 20 30 DDEDLO C=CCOc1ccc(C[N@@H+](C)CCS(N)(=O)=O)cc1Cl ZINC000877827206 706225900 /nfs/dbraw/zinc/22/59/00/706225900.db2.gz OXYCEYAPTGJCHW-UHFFFAOYSA-N 1 2 318.826 1.625 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)NCC(C)(C)n1cc[nH+]c1 ZINC000872511299 707454903 /nfs/dbraw/zinc/45/49/03/707454903.db2.gz BBVWEMWNYVFSKU-UHFFFAOYSA-N 1 2 318.402 1.777 20 30 DDEDLO N#Cc1cc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)co1 ZINC000865690865 706497853 /nfs/dbraw/zinc/49/78/53/706497853.db2.gz OHZGUWOJPYWFRA-AWEZNQCLSA-N 1 2 303.362 1.478 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)CC#N)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000878818210 706507934 /nfs/dbraw/zinc/50/79/34/706507934.db2.gz NWADMRFGGOKJRR-UONOGXRCSA-N 1 2 316.405 1.742 20 30 DDEDLO C#CC[N@@H+](Cc1cccc(S(=O)(=O)N(C)C)c1)C1CSC1 ZINC000878909777 706530032 /nfs/dbraw/zinc/53/00/32/706530032.db2.gz BPZQPFXDINPFDJ-UHFFFAOYSA-N 1 2 324.471 1.488 20 30 DDEDLO C#CC[N@H+](Cc1cccc(S(=O)(=O)N(C)C)c1)C1CSC1 ZINC000878909777 706530035 /nfs/dbraw/zinc/53/00/35/706530035.db2.gz BPZQPFXDINPFDJ-UHFFFAOYSA-N 1 2 324.471 1.488 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cc(F)cc(C#N)c1 ZINC000880329720 706942260 /nfs/dbraw/zinc/94/22/60/706942260.db2.gz HRPBRBGOFNGIMY-OAHLLOKOSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cc(F)cc(C#N)c1 ZINC000880329720 706942262 /nfs/dbraw/zinc/94/22/62/706942262.db2.gz HRPBRBGOFNGIMY-OAHLLOKOSA-N 1 2 320.368 1.930 20 30 DDEDLO C=CCONC(=O)CC[NH+]1CCN(c2cccc(C)c2)CC1 ZINC000837048106 707571670 /nfs/dbraw/zinc/57/16/70/707571670.db2.gz MNYGGGCYWJKIQL-UHFFFAOYSA-N 1 2 303.406 1.741 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000882903366 707845834 /nfs/dbraw/zinc/84/58/34/707845834.db2.gz BAQNKYRLBOMNGN-DOTOQJQBSA-N 1 2 318.417 1.713 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000882903366 707845835 /nfs/dbraw/zinc/84/58/35/707845835.db2.gz BAQNKYRLBOMNGN-DOTOQJQBSA-N 1 2 318.417 1.713 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000883005318 707893539 /nfs/dbraw/zinc/89/35/39/707893539.db2.gz KCWMVQQKYCLQCV-CYBMUJFWSA-N 1 2 306.410 1.567 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000883005318 707893542 /nfs/dbraw/zinc/89/35/42/707893542.db2.gz KCWMVQQKYCLQCV-CYBMUJFWSA-N 1 2 306.410 1.567 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](CCC(=O)N3CCCC3)CCO2)c1 ZINC000838906054 708000844 /nfs/dbraw/zinc/00/08/44/708000844.db2.gz IUAVSLQTDLHUFQ-KRWDZBQOSA-N 1 2 313.401 1.944 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](CCC(=O)N3CCCC3)CCO2)c1 ZINC000838906054 708000845 /nfs/dbraw/zinc/00/08/45/708000845.db2.gz IUAVSLQTDLHUFQ-KRWDZBQOSA-N 1 2 313.401 1.944 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCc2ccc(F)cc21 ZINC000884129210 708152457 /nfs/dbraw/zinc/15/24/57/708152457.db2.gz ASCZUAGWGIDFAK-KBPBESRZSA-N 1 2 306.337 1.376 20 30 DDEDLO CN(C)c1cc(N2CCO[C@@H](c3ccc(C#N)cc3)C2)nc[nH+]1 ZINC000896872197 708169855 /nfs/dbraw/zinc/16/98/55/708169855.db2.gz CBSPQUZFKUFEOY-OAHLLOKOSA-N 1 2 309.373 1.992 20 30 DDEDLO CN(C)c1cc(N2CCO[C@@H](c3ccc(C#N)cc3)C2)[nH+]cn1 ZINC000896872197 708169858 /nfs/dbraw/zinc/16/98/58/708169858.db2.gz CBSPQUZFKUFEOY-OAHLLOKOSA-N 1 2 309.373 1.992 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc(N(C)C)c1C ZINC000884317326 708241774 /nfs/dbraw/zinc/24/17/74/708241774.db2.gz RDRBUEQTUCLWTK-LBPRGKRZSA-N 1 2 305.378 1.446 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H]1C[C@H](OCC)C12CCC2 ZINC000884328084 708247226 /nfs/dbraw/zinc/24/72/26/708247226.db2.gz IUQABHYPHKYUQZ-MJBXVCDLSA-N 1 2 324.421 1.239 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C1CCC1)C1CCCC1 ZINC000884374831 708269379 /nfs/dbraw/zinc/26/93/79/708269379.db2.gz DGRGJSQEXVPGEJ-GOEBONIOSA-N 1 2 308.422 1.908 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000884593612 708335176 /nfs/dbraw/zinc/33/51/76/708335176.db2.gz ORCBGHACTLNXGR-CABCVRRESA-N 1 2 300.406 1.535 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000884593612 708335179 /nfs/dbraw/zinc/33/51/79/708335179.db2.gz ORCBGHACTLNXGR-CABCVRRESA-N 1 2 300.406 1.535 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(CCC#N)cc1 ZINC000884943708 708426008 /nfs/dbraw/zinc/42/60/08/708426008.db2.gz ZTRYRLJZZCMGPH-CYBMUJFWSA-N 1 2 318.402 1.706 20 30 DDEDLO Cn1c[nH+]cc1CCNS(=O)(=O)c1ccc(CCC#N)cc1 ZINC000885101258 708472762 /nfs/dbraw/zinc/47/27/62/708472762.db2.gz RWOPNNZVRXGCAH-UHFFFAOYSA-N 1 2 318.402 1.397 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C#N)cc2C)C1 ZINC000885511387 708563044 /nfs/dbraw/zinc/56/30/44/708563044.db2.gz HKCYSXAIDPZMLV-OAHLLOKOSA-N 1 2 317.414 1.243 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C#N)cc2C)C1 ZINC000885511387 708563045 /nfs/dbraw/zinc/56/30/45/708563045.db2.gz HKCYSXAIDPZMLV-OAHLLOKOSA-N 1 2 317.414 1.243 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2ccccc2C)C1 ZINC000885511308 708563052 /nfs/dbraw/zinc/56/30/52/708563052.db2.gz FNFMBYHBUNYJTB-INIZCTEOSA-N 1 2 306.431 1.512 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2ccccc2C)C1 ZINC000885511308 708563054 /nfs/dbraw/zinc/56/30/54/708563054.db2.gz FNFMBYHBUNYJTB-INIZCTEOSA-N 1 2 306.431 1.512 20 30 DDEDLO N#CCCN(CCOC1CCCCC1)CC[NH+]1CCOCC1 ZINC000886030529 708684929 /nfs/dbraw/zinc/68/49/29/708684929.db2.gz MTCJVSPGQAXDNK-UHFFFAOYSA-N 1 2 309.454 1.884 20 30 DDEDLO CO[C@H](C)c1noc(C[N@H+](C)C[C@@H](O)CC2(C#N)CCC2)n1 ZINC000886030102 708685185 /nfs/dbraw/zinc/68/51/85/708685185.db2.gz GJJGPAYYFOMJAB-NEPJUHHUSA-N 1 2 308.382 1.654 20 30 DDEDLO CO[C@H](C)c1noc(C[N@@H+](C)C[C@@H](O)CC2(C#N)CCC2)n1 ZINC000886030102 708685187 /nfs/dbraw/zinc/68/51/87/708685187.db2.gz GJJGPAYYFOMJAB-NEPJUHHUSA-N 1 2 308.382 1.654 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)N[C@@H](CO)c1c[nH+]cn1C ZINC000898892326 708910734 /nfs/dbraw/zinc/91/07/34/708910734.db2.gz PAYBFMGKLBSLRP-DOMZBBRYSA-N 1 2 312.373 1.320 20 30 DDEDLO C#CCOCCNC(=O)N[C@H]1CC[C@H](Nc2cccc[nH+]2)CC1 ZINC000888795667 709382839 /nfs/dbraw/zinc/38/28/39/709382839.db2.gz QOOLZCPGZVFJKV-SHTZXODSSA-N 1 2 316.405 1.754 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(CC)cc2)C1 ZINC000891608516 710247151 /nfs/dbraw/zinc/24/71/51/710247151.db2.gz YPVUXBAGFUPSGE-INIZCTEOSA-N 1 2 313.401 1.401 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(CC)cc2)C1 ZINC000891608516 710247153 /nfs/dbraw/zinc/24/71/53/710247153.db2.gz YPVUXBAGFUPSGE-INIZCTEOSA-N 1 2 313.401 1.401 20 30 DDEDLO C=CCCC[C@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C(=O)OC ZINC000928312841 713172763 /nfs/dbraw/zinc/17/27/63/713172763.db2.gz HIDOMSYRJBJQPD-OLZOCXBDSA-N 1 2 305.378 1.460 20 30 DDEDLO N#Cc1ccc(N2CCN(c3nccn4c[nH+]cc34)CC2)nc1 ZINC000891953190 710336817 /nfs/dbraw/zinc/33/68/17/710336817.db2.gz XXWLCCMOPDFZCV-UHFFFAOYSA-N 1 2 305.345 1.323 20 30 DDEDLO N#Cc1cccc([C@@H]2C[C@H]2C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)c1 ZINC000913453394 713222405 /nfs/dbraw/zinc/22/24/05/713222405.db2.gz MCPVANWJGUEEKI-GVDBMIGSSA-N 1 2 321.384 1.558 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[NH2+][C@H](c2cnn(C)c2)C1 ZINC000913462754 713226742 /nfs/dbraw/zinc/22/67/42/713226742.db2.gz NYDWDSBKZHMAFW-GOEBONIOSA-N 1 2 306.410 1.122 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)COc1ccccc1C#N ZINC000928635344 713243326 /nfs/dbraw/zinc/24/33/26/713243326.db2.gz KNORGCHSVVUBHC-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(C2Cc3ccccc3C2)no1 ZINC000904092020 711378766 /nfs/dbraw/zinc/37/87/66/711378766.db2.gz AMWPYTFZRBSSMY-AWEZNQCLSA-N 1 2 313.357 1.551 20 30 DDEDLO CCc1nnc(N[C@@H](CC)C[NH+]2CCOCC2)c(C#N)c1CC ZINC000895804311 711610540 /nfs/dbraw/zinc/61/05/40/711610540.db2.gz IZROCYICSNSXOS-ZDUSSCGKSA-N 1 2 317.437 1.996 20 30 DDEDLO Cc1ncc(CO)c(/C=N\Cc2nc(C[NH+](C)C)cs2)c1O ZINC000905003422 711908743 /nfs/dbraw/zinc/90/87/43/711908743.db2.gz WUNCYJADMTXRDK-BNCCVWRVSA-N 1 2 320.418 1.725 20 30 DDEDLO C=CC[C@@H]([NH2+]C1CCN(c2cc(CC)ncn2)CC1)C(=O)OC ZINC000905759740 712140710 /nfs/dbraw/zinc/14/07/10/712140710.db2.gz BWUGGXYEXWYAOL-OAHLLOKOSA-N 1 2 318.421 1.715 20 30 DDEDLO C=CC[C@H]([NH2+]CCCN1c2ccccc2OCC1=O)C(=O)OC ZINC000905761292 712140718 /nfs/dbraw/zinc/14/07/18/712140718.db2.gz OEADWNHSMIZHPT-ZDUSSCGKSA-N 1 2 318.373 1.509 20 30 DDEDLO C=CC[C@H](CO)NC(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC000907362127 712552448 /nfs/dbraw/zinc/55/24/48/712552448.db2.gz IKPZGBJIZOIUAU-MRXNPFEDSA-N 1 2 314.389 1.662 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(C(=O)CNc3cccc[nH+]3)C2)nc1 ZINC000908346394 712747798 /nfs/dbraw/zinc/74/77/98/712747798.db2.gz LPJIWZSDLJFKIH-AWEZNQCLSA-N 1 2 323.356 1.440 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)CC(C)(C)C#N)C1 ZINC000914298240 713372656 /nfs/dbraw/zinc/37/26/56/713372656.db2.gz RRFJFOSNZHXFSU-AWEZNQCLSA-N 1 2 307.419 1.642 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)CC(C)(C)C#N)C1 ZINC000914298240 713372657 /nfs/dbraw/zinc/37/26/57/713372657.db2.gz RRFJFOSNZHXFSU-AWEZNQCLSA-N 1 2 307.419 1.642 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccc(C#N)cc1)Cc1cccc(C(N)=O)c1 ZINC000918187807 713532825 /nfs/dbraw/zinc/53/28/25/713532825.db2.gz BEOWUBLJVXULQS-UHFFFAOYSA-N 1 2 322.368 1.728 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccc(C#N)cc1)Cc1cccc(C(N)=O)c1 ZINC000918187807 713532826 /nfs/dbraw/zinc/53/28/26/713532826.db2.gz BEOWUBLJVXULQS-UHFFFAOYSA-N 1 2 322.368 1.728 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2ccccc2CC#N)C[C@@H]1C ZINC000919580338 713616497 /nfs/dbraw/zinc/61/64/97/713616497.db2.gz NIJBGTMXOMXBCG-OKILXGFUSA-N 1 2 321.446 1.856 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2ccccc2CC#N)C[C@@H]1C ZINC000919580338 713616500 /nfs/dbraw/zinc/61/65/00/713616500.db2.gz NIJBGTMXOMXBCG-OKILXGFUSA-N 1 2 321.446 1.856 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2cc(F)ccc2F)CC1 ZINC000921439456 713772037 /nfs/dbraw/zinc/77/20/37/713772037.db2.gz AWZWUKBOKWHUJP-UHFFFAOYSA-N 1 2 314.357 1.341 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2cc(Cl)ccc2OC)CC1 ZINC000931145880 714015662 /nfs/dbraw/zinc/01/56/62/714015662.db2.gz UVLXTTSGTALRNN-UHFFFAOYSA-N 1 2 322.792 1.747 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2cccc3nsnc32)CC1 ZINC000931146891 714015885 /nfs/dbraw/zinc/01/58/85/714015885.db2.gz SATHIQLGBSDGHB-UHFFFAOYSA-N 1 2 316.386 1.090 20 30 DDEDLO COC(=O)/C=C(/C)C[NH+]1CCN(c2ccc(F)cc2C#N)CC1 ZINC000931548815 714125028 /nfs/dbraw/zinc/12/50/28/714125028.db2.gz KPOZHFWUXQPONI-LCYFTJDESA-N 1 2 317.364 1.939 20 30 DDEDLO C#Cc1cccc(CNC(=O)N[C@H](CC)C[NH+]2CCOCC2)c1 ZINC000922782385 714146364 /nfs/dbraw/zinc/14/63/64/714146364.db2.gz YLLPXEGUONAGOX-QGZVFWFLSA-N 1 2 315.417 1.578 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2sccc2C#N)CCO1 ZINC000931847716 714196811 /nfs/dbraw/zinc/19/68/11/714196811.db2.gz OFKKHKTTZSARHO-UHFFFAOYSA-N 1 2 308.407 1.852 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2sccc2C#N)CCO1 ZINC000931847716 714196812 /nfs/dbraw/zinc/19/68/12/714196812.db2.gz OFKKHKTTZSARHO-UHFFFAOYSA-N 1 2 308.407 1.852 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CC[C@H](c2noc(C)n2)C1 ZINC000931945904 714217955 /nfs/dbraw/zinc/21/79/55/714217955.db2.gz HKSXDUCRHNROAB-YPMHNXCESA-N 1 2 305.382 1.176 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@H](c2noc(C)n2)C1 ZINC000931945904 714217956 /nfs/dbraw/zinc/21/79/56/714217956.db2.gz HKSXDUCRHNROAB-YPMHNXCESA-N 1 2 305.382 1.176 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2c(C)oc3nc[nH]c(=O)c32)C1 ZINC000923591317 714420599 /nfs/dbraw/zinc/42/05/99/714420599.db2.gz WDKVZDKQAVMHBU-LLVKDONJSA-N 1 2 314.345 1.064 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2c(C)oc3nc[nH]c(=O)c32)C1 ZINC000923591317 714420600 /nfs/dbraw/zinc/42/06/00/714420600.db2.gz WDKVZDKQAVMHBU-LLVKDONJSA-N 1 2 314.345 1.064 20 30 DDEDLO N#Cc1ccc(COC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)o1 ZINC000923774868 714468023 /nfs/dbraw/zinc/46/80/23/714468023.db2.gz ZQRJMAJQKIWZJW-HIFRSBDPSA-N 1 2 320.345 1.074 20 30 DDEDLO C#CC[C@H](CO)NC(=O)N[C@H]1CC[C@H](Nc2cccc[nH+]2)CC1 ZINC000923810972 714474027 /nfs/dbraw/zinc/47/40/27/714474027.db2.gz RUJHTPBLZLMSJT-RBSFLKMASA-N 1 2 316.405 1.488 20 30 DDEDLO CCn1c[nH+]c2c1CCN(CC(=O)N[C@](C)(C#N)C1CC1)C2 ZINC000933264144 714549640 /nfs/dbraw/zinc/54/96/40/714549640.db2.gz VCQDOKJGRRVRRP-MRXNPFEDSA-N 1 2 301.394 1.070 20 30 DDEDLO CC[C@@H]([NH2+]C[C@@H]1CCS(=O)(=O)C1)c1cccc(C#N)c1O ZINC000924600051 714616609 /nfs/dbraw/zinc/61/66/09/714616609.db2.gz PVSZNKDHYRRPFI-SMDDNHRTSA-N 1 2 308.403 1.739 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCOC[C@H]1C[C@H]1CCOC1 ZINC000933671096 714644174 /nfs/dbraw/zinc/64/41/74/714644174.db2.gz MJADGMAZDAOXIF-BZUAXINKSA-N 1 2 323.437 1.122 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCOC[C@H]1C[C@H]1CCOC1 ZINC000933671096 714644175 /nfs/dbraw/zinc/64/41/75/714644175.db2.gz MJADGMAZDAOXIF-BZUAXINKSA-N 1 2 323.437 1.122 20 30 DDEDLO C[C@H]1CN(C(=O)C[N@H+](C)Cc2cc(C#N)cs2)C[C@H](C)O1 ZINC000933778050 714669924 /nfs/dbraw/zinc/66/99/24/714669924.db2.gz JGMJUKAKBKFPEQ-RYUDHWBXSA-N 1 2 307.419 1.687 20 30 DDEDLO C[C@H]1CN(C(=O)C[N@@H+](C)Cc2cc(C#N)cs2)C[C@H](C)O1 ZINC000933778050 714669925 /nfs/dbraw/zinc/66/99/25/714669925.db2.gz JGMJUKAKBKFPEQ-RYUDHWBXSA-N 1 2 307.419 1.687 20 30 DDEDLO C[N@H+](Cc1cc(C#N)cs1)[C@H]1CCN(C2CCOCC2)C1=O ZINC000933777677 714669955 /nfs/dbraw/zinc/66/99/55/714669955.db2.gz NFOMXOQSXFXVBE-HNNXBMFYSA-N 1 2 319.430 1.831 20 30 DDEDLO C[N@@H+](Cc1cc(C#N)cs1)[C@H]1CCN(C2CCOCC2)C1=O ZINC000933777677 714669957 /nfs/dbraw/zinc/66/99/57/714669957.db2.gz NFOMXOQSXFXVBE-HNNXBMFYSA-N 1 2 319.430 1.831 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@@H](CC#N)C1 ZINC000925605886 714897709 /nfs/dbraw/zinc/89/77/09/714897709.db2.gz JBMSHBDYMTVPDP-LSDHHAIUSA-N 1 2 308.426 1.432 20 30 DDEDLO CC[C@H]([NH2+][C@H]1CCc2c(nnn2C)C1)c1cccc(C#N)c1O ZINC000926668667 715110030 /nfs/dbraw/zinc/11/00/30/715110030.db2.gz PUKAXERHHNUOLS-JSGCOSHPSA-N 1 2 311.389 1.991 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000935912118 715137383 /nfs/dbraw/zinc/13/73/83/715137383.db2.gz QLWOKQRWJLAQKV-KGLIPLIRSA-N 1 2 305.422 1.760 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cc(C)nc3n[nH]c(C)c32)C1 ZINC000956957964 715682088 /nfs/dbraw/zinc/68/20/88/715682088.db2.gz APQDRWAOVFNLRV-UHFFFAOYSA-N 1 2 313.405 1.907 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC000957117926 715756838 /nfs/dbraw/zinc/75/68/38/715756838.db2.gz MXAXGQXXMQGEJJ-UHFFFAOYSA-N 1 2 302.422 1.877 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(-n3ccnc3)cn2)C1 ZINC000957129391 715760884 /nfs/dbraw/zinc/76/08/84/715760884.db2.gz CJMHGEJBNHAXOD-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](C[C@H]3CC[C@H](C)O3)CC2)nc1 ZINC000957378799 715870819 /nfs/dbraw/zinc/87/08/19/715870819.db2.gz IOPGXFYABLGCBB-GOEBONIOSA-N 1 2 313.401 1.388 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN2C(=O)C(C)C)C1 ZINC000957406034 715885111 /nfs/dbraw/zinc/88/51/11/715885111.db2.gz SHLGKIUMSLDLOF-HNNXBMFYSA-N 1 2 307.438 1.352 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@H]2Cc3cccc(C)c3O2)C1 ZINC000957476262 715918811 /nfs/dbraw/zinc/91/88/11/715918811.db2.gz UYLBGOPHVIAYRA-KRWDZBQOSA-N 1 2 312.413 1.855 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@H](Cc2ccccc2)OC)CC1 ZINC000957708884 716009787 /nfs/dbraw/zinc/00/97/87/716009787.db2.gz OLSDQNRXEIWCPO-KRWDZBQOSA-N 1 2 302.418 1.964 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(OC)c(OC)cc2C)CC1 ZINC000957739663 716025476 /nfs/dbraw/zinc/02/54/76/716025476.db2.gz HZXVBHSGAQILMK-UHFFFAOYSA-N 1 2 304.390 1.956 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@@H](c2cccnc2)[NH+](C)C)CC1 ZINC000957805515 716060023 /nfs/dbraw/zinc/06/00/23/716060023.db2.gz ZWGKBRSWCTUZTB-OAHLLOKOSA-N 1 2 322.840 1.581 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2oc(C)nc2C)C1 ZINC000957954241 716248602 /nfs/dbraw/zinc/24/86/02/716248602.db2.gz ZUTSUQQPFJLVRT-NWDGAFQWSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2oc(C)nc2C)C1 ZINC000957954241 716248606 /nfs/dbraw/zinc/24/86/06/716248606.db2.gz ZUTSUQQPFJLVRT-NWDGAFQWSA-N 1 2 313.785 1.066 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)o1 ZINC000959920281 716356655 /nfs/dbraw/zinc/35/66/55/716356655.db2.gz SCIKCKCRFQRQJD-OLZOCXBDSA-N 1 2 319.409 1.823 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960617873 716633735 /nfs/dbraw/zinc/63/37/35/716633735.db2.gz OBDDQVUWDIAGRX-SWLSCSKDSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960617873 716633739 /nfs/dbraw/zinc/63/37/39/716633739.db2.gz OBDDQVUWDIAGRX-SWLSCSKDSA-N 1 2 316.405 1.775 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1ccon1 ZINC000960884861 716722711 /nfs/dbraw/zinc/72/27/11/716722711.db2.gz RLDFDPSCXWTPHE-XHSDSOJGSA-N 1 2 319.405 1.689 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H]1C[N@H+](C)Cc1ccon1 ZINC000960884861 716722716 /nfs/dbraw/zinc/72/27/16/716722716.db2.gz RLDFDPSCXWTPHE-XHSDSOJGSA-N 1 2 319.405 1.689 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nc(C)no4)C[C@H]32)cc1 ZINC000961436729 716946138 /nfs/dbraw/zinc/94/61/38/716946138.db2.gz AJLIMCQOWKWWAH-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nc(C)no4)C[C@H]32)cc1 ZINC000961436729 716946142 /nfs/dbraw/zinc/94/61/42/716946142.db2.gz AJLIMCQOWKWWAH-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cccnc3C3CC3)CC2)C1 ZINC000941475768 717183754 /nfs/dbraw/zinc/18/37/54/717183754.db2.gz ZSDGKLUPWZQCGX-UHFFFAOYSA-N 1 2 324.428 1.034 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3CC=CCC3)CC2)C1 ZINC000941516841 717190924 /nfs/dbraw/zinc/19/09/24/717190924.db2.gz IUKOMGKKTSXILQ-INIZCTEOSA-N 1 2 301.434 1.194 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3occc3C(C)C)CC2)C1 ZINC000941529101 717195877 /nfs/dbraw/zinc/19/58/77/717195877.db2.gz KEIXBHOUXFIWBN-UHFFFAOYSA-N 1 2 315.417 1.478 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCCC[C@H]3C)CC2)C1 ZINC000941541084 717200620 /nfs/dbraw/zinc/20/06/20/717200620.db2.gz UTDSUGUCHDNEQP-SJLPKXTDSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CC[C@H](C)C3)CC2)C1 ZINC000941595819 717224142 /nfs/dbraw/zinc/22/41/42/717224142.db2.gz PABJJMXPVDQEAM-HOTGVXAUSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CCc3cccs3)CC2)C1 ZINC000941665247 717247454 /nfs/dbraw/zinc/24/74/54/717247454.db2.gz ORRCLKCHVQOUGX-UHFFFAOYSA-N 1 2 317.458 1.142 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC000962016618 717279754 /nfs/dbraw/zinc/27/97/54/717279754.db2.gz LHKREXYQCGMTJN-RBZJEDDUSA-N 1 2 317.389 1.202 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC000962016618 717279757 /nfs/dbraw/zinc/27/97/57/717279757.db2.gz LHKREXYQCGMTJN-RBZJEDDUSA-N 1 2 317.389 1.202 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccn1 ZINC000962120749 717312719 /nfs/dbraw/zinc/31/27/19/717312719.db2.gz PQDYURYGWYLFML-URLYPYJESA-N 1 2 323.194 1.045 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccn1 ZINC000962120749 717312721 /nfs/dbraw/zinc/31/27/21/717312721.db2.gz PQDYURYGWYLFML-URLYPYJESA-N 1 2 323.194 1.045 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000941826686 717339353 /nfs/dbraw/zinc/33/93/53/717339353.db2.gz POLPZKKXSLVHEY-UHFFFAOYSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)CN2CCCC2=O)C1 ZINC000964969544 717494089 /nfs/dbraw/zinc/49/40/89/717494089.db2.gz UMDCITPATYYLKC-WCQYABFASA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)CN2CCCC2=O)C1 ZINC000964969544 717494090 /nfs/dbraw/zinc/49/40/90/717494090.db2.gz UMDCITPATYYLKC-WCQYABFASA-N 1 2 313.829 1.188 20 30 DDEDLO C=CCC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000966238994 718479662 /nfs/dbraw/zinc/47/96/62/718479662.db2.gz VXVQDEKXXROSAQ-WFASDCNBSA-N 1 2 313.405 1.974 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000966238994 718479665 /nfs/dbraw/zinc/47/96/65/718479665.db2.gz VXVQDEKXXROSAQ-WFASDCNBSA-N 1 2 313.405 1.974 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)[C@@H](C)C2)nc1 ZINC000947948446 719315593 /nfs/dbraw/zinc/31/55/93/719315593.db2.gz NTBFQSJHQRKWNZ-ZFWWWQNUSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)[C@@H](C)C2)nc1 ZINC000947948446 719315595 /nfs/dbraw/zinc/31/55/95/719315595.db2.gz NTBFQSJHQRKWNZ-ZFWWWQNUSA-N 1 2 324.384 1.834 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cn2ccc(C)n2)C1 ZINC000968339087 719518758 /nfs/dbraw/zinc/51/87/58/719518758.db2.gz AVFFNKKTBDJMRP-SMDDNHRTSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cn2ccc(C)n2)C1 ZINC000968339087 719518762 /nfs/dbraw/zinc/51/87/62/719518762.db2.gz AVFFNKKTBDJMRP-SMDDNHRTSA-N 1 2 310.829 1.771 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@@H]2COc3ccccc3O2)CC1 ZINC000948555605 719545710 /nfs/dbraw/zinc/54/57/10/719545710.db2.gz BLQJUSKYCCLOIS-OAHLLOKOSA-N 1 2 314.385 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cncc(OC)n2)C1 ZINC000968390986 719552869 /nfs/dbraw/zinc/55/28/69/719552869.db2.gz OQBOPGMEDNARRZ-ZWNOBZJWSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cncc(OC)n2)C1 ZINC000968390986 719552872 /nfs/dbraw/zinc/55/28/72/719552872.db2.gz OQBOPGMEDNARRZ-ZWNOBZJWSA-N 1 2 324.812 1.678 20 30 DDEDLO CN(C(=O)[C@@H]1CCn2cc[nH+]c2C1)[C@@H]1CCCN(CC#N)CC1 ZINC000948567020 719553330 /nfs/dbraw/zinc/55/33/30/719553330.db2.gz SOIFCZBQJUVNLG-HUUCEWRRSA-N 1 2 315.421 1.282 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)/C=C(\C)C3CC3)CC2)C1 ZINC000949312020 720009293 /nfs/dbraw/zinc/00/92/93/720009293.db2.gz WTAVVNLBRXJHBM-FYWRMAATSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)/C=C(\C)C3CC3)CC2)C1 ZINC000949312020 720009295 /nfs/dbraw/zinc/00/92/95/720009295.db2.gz WTAVVNLBRXJHBM-FYWRMAATSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CCCOCC3)CC2)C1 ZINC000949352056 720035449 /nfs/dbraw/zinc/03/54/49/720035449.db2.gz NCNWKXYCHSSIHV-INIZCTEOSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CCCOCC3)CC2)C1 ZINC000949352056 720035450 /nfs/dbraw/zinc/03/54/50/720035450.db2.gz NCNWKXYCHSSIHV-INIZCTEOSA-N 1 2 320.433 1.130 20 30 DDEDLO CCN(C(=O)c1ccc(C#N)[nH]1)C1C[NH+](CCOC2CCC2)C1 ZINC000949913000 720414293 /nfs/dbraw/zinc/41/42/93/720414293.db2.gz MBASQWXHNDSMRX-UHFFFAOYSA-N 1 2 316.405 1.602 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+](CCc2cnn(C)c2)CC1 ZINC000950051529 720468814 /nfs/dbraw/zinc/46/88/14/720468814.db2.gz ONZGVNVPSZPQGM-QGZVFWFLSA-N 1 2 304.438 1.709 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC000950331115 720580461 /nfs/dbraw/zinc/58/04/61/720580461.db2.gz LYRBQEJYNROCFJ-OAHLLOKOSA-N 1 2 302.422 1.938 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(C3CCC3)nn2)C1 ZINC000950340795 720584940 /nfs/dbraw/zinc/58/49/40/720584940.db2.gz MEBKMDHPVQSZPN-UHFFFAOYSA-N 1 2 301.394 1.173 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC000970200024 720660575 /nfs/dbraw/zinc/66/05/75/720660575.db2.gz XEVDXMRJCPVSEV-MRVWCRGKSA-N 1 2 322.840 1.713 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cn(C)nc2C(F)(F)F)CC1 ZINC000950732601 720757339 /nfs/dbraw/zinc/75/73/39/720757339.db2.gz OQJTZSXUUNMICW-UHFFFAOYSA-N 1 2 316.327 1.773 20 30 DDEDLO C=CC[NH+]1CC([C@H](C)NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC000970666663 720877515 /nfs/dbraw/zinc/87/75/15/720877515.db2.gz AAICZQQMYOLGJB-RYUDHWBXSA-N 1 2 302.422 1.771 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CC23CCOCC3)C1 ZINC000970996060 721044748 /nfs/dbraw/zinc/04/47/48/721044748.db2.gz HCZXLUXXWGDMPK-OCCSQVGLSA-N 1 2 312.841 1.992 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCCOC3)C2)C1 ZINC000972616796 735355178 /nfs/dbraw/zinc/35/51/78/735355178.db2.gz HETIAJZGGZVOHR-SJLPKXTDSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCCOC3)C2)C1 ZINC000972616796 735355180 /nfs/dbraw/zinc/35/51/80/735355180.db2.gz HETIAJZGGZVOHR-SJLPKXTDSA-N 1 2 322.449 1.683 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCO1 ZINC001027737692 738608354 /nfs/dbraw/zinc/60/83/54/738608354.db2.gz SUIIZZGKRLPAGL-NWDGAFQWSA-N 1 2 317.227 1.655 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCO1 ZINC001027737692 738608356 /nfs/dbraw/zinc/60/83/56/738608356.db2.gz SUIIZZGKRLPAGL-NWDGAFQWSA-N 1 2 317.227 1.655 20 30 DDEDLO N#CCc1cc[nH+]c(N2CCC(OCC(=O)NC3CC3)CC2)c1 ZINC001167144758 732752863 /nfs/dbraw/zinc/75/28/63/732752863.db2.gz RPPAQOUCWRPSIC-UHFFFAOYSA-N 1 2 314.389 1.412 20 30 DDEDLO CCNC(=O)CO[C@H]1CCCN(c2cc(CC#N)cc[nH+]2)C1 ZINC001167148301 732767021 /nfs/dbraw/zinc/76/70/21/732767021.db2.gz BDEBYXDNNPAFAK-AWEZNQCLSA-N 1 2 302.378 1.269 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CCC[C@H]2CN(CC#N)CC[C@H]21 ZINC001021640520 733393846 /nfs/dbraw/zinc/39/38/46/733393846.db2.gz WGKPLFMMJHSJGC-LSDHHAIUSA-N 1 2 315.421 1.189 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2nccs2)[nH]1 ZINC001027833573 738710004 /nfs/dbraw/zinc/71/00/04/738710004.db2.gz NZZSDXTVWKVXCK-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2nccs2)[nH]1 ZINC001027833573 738710005 /nfs/dbraw/zinc/71/00/05/738710005.db2.gz NZZSDXTVWKVXCK-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@H]2CN(CC#N)CC[C@H]21 ZINC001021720964 733483422 /nfs/dbraw/zinc/48/34/22/733483422.db2.gz ANSFMTQVORSOPM-DZGCQCFKSA-N 1 2 301.394 1.097 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3coc(C4CC4)n3)[C@H]2C1 ZINC001083216629 733602781 /nfs/dbraw/zinc/60/27/81/733602781.db2.gz JKEFNLBWHUGAMC-UONOGXRCSA-N 1 2 303.362 1.263 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3coc(C4CC4)n3)[C@H]2C1 ZINC001083216629 733602784 /nfs/dbraw/zinc/60/27/84/733602784.db2.gz JKEFNLBWHUGAMC-UONOGXRCSA-N 1 2 303.362 1.263 20 30 DDEDLO Cn1cc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2ccccc2C#N)nn1 ZINC001027860064 738744559 /nfs/dbraw/zinc/74/45/59/738744559.db2.gz CWFMVZZATMFYOD-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2ccccc2C#N)nn1 ZINC001027860064 738744561 /nfs/dbraw/zinc/74/45/61/738744561.db2.gz CWFMVZZATMFYOD-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(F)cc2F)C1 ZINC000891607553 734421843 /nfs/dbraw/zinc/42/18/43/734421843.db2.gz UIISRHXYZMONRR-GFCCVEGCSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(F)cc2F)C1 ZINC000891607553 734421844 /nfs/dbraw/zinc/42/18/44/734421844.db2.gz UIISRHXYZMONRR-GFCCVEGCSA-N 1 2 321.327 1.117 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC001027928634 738822491 /nfs/dbraw/zinc/82/24/91/738822491.db2.gz VBPGNPLGLRGYGK-KGLIPLIRSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC001027928634 738822496 /nfs/dbraw/zinc/82/24/96/738822496.db2.gz VBPGNPLGLRGYGK-KGLIPLIRSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3sccc3COC)[C@H]2C1 ZINC001083247207 734804215 /nfs/dbraw/zinc/80/42/15/734804215.db2.gz GKTMUDNXARBKSH-UONOGXRCSA-N 1 2 322.430 1.606 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3sccc3COC)[C@H]2C1 ZINC001083247207 734804217 /nfs/dbraw/zinc/80/42/17/734804217.db2.gz GKTMUDNXARBKSH-UONOGXRCSA-N 1 2 322.430 1.606 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C)c3ccco3)[C@H]2C1 ZINC001083247878 734805576 /nfs/dbraw/zinc/80/55/76/734805576.db2.gz ICEWSCVLPMIHSW-IJEWVQPXSA-N 1 2 302.374 1.318 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C)c3ccco3)[C@H]2C1 ZINC001083247878 734805578 /nfs/dbraw/zinc/80/55/78/734805578.db2.gz ICEWSCVLPMIHSW-IJEWVQPXSA-N 1 2 302.374 1.318 20 30 DDEDLO COC(=O)[C@H](CS)Nc1ccc(C)cc1C[NH+]1CCOCC1 ZINC001167623677 734846423 /nfs/dbraw/zinc/84/64/23/734846423.db2.gz WHJICDFTEDKYPT-HNNXBMFYSA-N 1 2 324.446 1.711 20 30 DDEDLO C=CCCCC(=O)NC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098224536 735109300 /nfs/dbraw/zinc/10/93/00/735109300.db2.gz WOGXKLDPBSINSX-NSHDSACASA-N 1 2 306.414 1.519 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H](NC(=O)c3occc3C)C2)C1=O ZINC001010222324 738883982 /nfs/dbraw/zinc/88/39/82/738883982.db2.gz SKBYVVIDGYOHQQ-UONOGXRCSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H](NC(=O)c3occc3C)C2)C1=O ZINC001010222324 738883986 /nfs/dbraw/zinc/88/39/86/738883986.db2.gz SKBYVVIDGYOHQQ-UONOGXRCSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C(F)F)o3)[C@H]2C1 ZINC001083261342 735274425 /nfs/dbraw/zinc/27/44/25/735274425.db2.gz POQDHXBVPAVYFE-GXFFZTMASA-N 1 2 312.316 1.928 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C(F)F)o3)[C@H]2C1 ZINC001083261342 735274428 /nfs/dbraw/zinc/27/44/28/735274428.db2.gz POQDHXBVPAVYFE-GXFFZTMASA-N 1 2 312.316 1.928 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](NC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)nc1 ZINC001058591116 736025851 /nfs/dbraw/zinc/02/58/51/736025851.db2.gz WILITMHIKZKVIO-MGPQQGTHSA-N 1 2 322.372 1.175 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](NC(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)nc1 ZINC001058591116 736025854 /nfs/dbraw/zinc/02/58/54/736025854.db2.gz WILITMHIKZKVIO-MGPQQGTHSA-N 1 2 322.372 1.175 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104540405 736206177 /nfs/dbraw/zinc/20/61/77/736206177.db2.gz XTDOFUALLMXTME-GFCCVEGCSA-N 1 2 318.425 1.308 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@H](NC(=O)C(C)(F)F)C2)C1=O ZINC001006565129 736486346 /nfs/dbraw/zinc/48/63/46/736486346.db2.gz FIMHWOVGJAQJCA-RYUDHWBXSA-N 1 2 315.364 1.009 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@H](NC(=O)C(C)(F)F)C2)C1=O ZINC001006565129 736486349 /nfs/dbraw/zinc/48/63/49/736486349.db2.gz FIMHWOVGJAQJCA-RYUDHWBXSA-N 1 2 315.364 1.009 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccccc3C)[C@H]2C1 ZINC001083282672 736722357 /nfs/dbraw/zinc/72/23/57/736722357.db2.gz NNPPWUIKZUWJTI-RYQLBKOJSA-N 1 2 312.413 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccccc3C)[C@H]2C1 ZINC001083282672 736722363 /nfs/dbraw/zinc/72/23/63/736722363.db2.gz NNPPWUIKZUWJTI-RYQLBKOJSA-N 1 2 312.413 1.643 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CNC(=O)c1nc2cccnc2s1 ZINC001038351175 737107900 /nfs/dbraw/zinc/10/79/00/737107900.db2.gz PUMCSZWFQMTTIE-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1nc2cccnc2s1 ZINC001038351175 737107904 /nfs/dbraw/zinc/10/79/04/737107904.db2.gz PUMCSZWFQMTTIE-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO CC(C)(C(=O)N1C[C@@H]2CC[C@H](NCC#N)[C@@H]2C1)c1c[nH+]c[nH]1 ZINC001026399895 737480258 /nfs/dbraw/zinc/48/02/58/737480258.db2.gz AEXBGNLDARXBHM-XQQFMLRXSA-N 1 2 301.394 1.037 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnn(C)c3C)[C@@H]2C1 ZINC001075548821 737545696 /nfs/dbraw/zinc/54/56/96/737545696.db2.gz WEQGNEALVOHQIR-GXTWGEPZSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnn(C)c3C)[C@@H]2C1 ZINC001075548821 737545699 /nfs/dbraw/zinc/54/56/99/737545699.db2.gz WEQGNEALVOHQIR-GXTWGEPZSA-N 1 2 308.813 1.627 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@H](NC(=O)C(F)F)C2)cc1C#N ZINC001006504461 737706639 /nfs/dbraw/zinc/70/66/39/737706639.db2.gz ZVOWFQMPMUWDQJ-ZDUSSCGKSA-N 1 2 323.343 1.913 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@H](NC(=O)C(F)F)C2)cc1C#N ZINC001006504461 737706641 /nfs/dbraw/zinc/70/66/41/737706641.db2.gz ZVOWFQMPMUWDQJ-ZDUSSCGKSA-N 1 2 323.343 1.913 20 30 DDEDLO C=CCn1ccc2c1nc[nH+]c2Nc1cc(=O)[nH]c(=O)n1C1CC1 ZINC001167759969 737796740 /nfs/dbraw/zinc/79/67/40/737796740.db2.gz DBYQFZUNHLBBDW-UHFFFAOYSA-N 1 2 324.344 1.958 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCCN(C(=O)[C@H](C)OC)C1 ZINC001027319826 738191560 /nfs/dbraw/zinc/19/15/60/738191560.db2.gz PQUSDMBEMWBGJM-NWDGAFQWSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCCN(C(=O)[C@H](C)OC)C1 ZINC001027319826 738191562 /nfs/dbraw/zinc/19/15/62/738191562.db2.gz PQUSDMBEMWBGJM-NWDGAFQWSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027335801 738208056 /nfs/dbraw/zinc/20/80/56/738208056.db2.gz KJFTUDHLIUCKPA-CMPLNLGQSA-N 1 2 314.227 1.977 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027335801 738208060 /nfs/dbraw/zinc/20/80/60/738208060.db2.gz KJFTUDHLIUCKPA-CMPLNLGQSA-N 1 2 314.227 1.977 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnn2cccnc12 ZINC001028067488 738983952 /nfs/dbraw/zinc/98/39/52/738983952.db2.gz VJJAXMMVJWRGFY-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnn2cccnc12 ZINC001028067488 738983954 /nfs/dbraw/zinc/98/39/54/738983954.db2.gz VJJAXMMVJWRGFY-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001028227509 739197296 /nfs/dbraw/zinc/19/72/96/739197296.db2.gz XOLJWBXCWOBPCB-LLVKDONJSA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001028227509 739197297 /nfs/dbraw/zinc/19/72/97/739197297.db2.gz XOLJWBXCWOBPCB-LLVKDONJSA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001035371559 751435538 /nfs/dbraw/zinc/43/55/38/751435538.db2.gz CHWNCYJFDMRAJF-YYIAUSFCSA-N 1 2 304.434 1.982 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001035371559 751435540 /nfs/dbraw/zinc/43/55/40/751435540.db2.gz CHWNCYJFDMRAJF-YYIAUSFCSA-N 1 2 304.434 1.982 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1C[N@H+](CC=C(C)C)CCO1 ZINC001035370915 751435641 /nfs/dbraw/zinc/43/56/41/751435641.db2.gz RWDUBVTVGHSNEA-BBWFWOEESA-N 1 2 322.449 1.751 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1C[N@@H+](CC=C(C)C)CCO1 ZINC001035370915 751435643 /nfs/dbraw/zinc/43/56/43/751435643.db2.gz RWDUBVTVGHSNEA-BBWFWOEESA-N 1 2 322.449 1.751 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1OC ZINC001211766039 739514251 /nfs/dbraw/zinc/51/42/51/739514251.db2.gz PMILWLGUFPMDHJ-IAGOWNOFSA-N 1 2 318.392 1.793 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1OC ZINC001211766039 739514252 /nfs/dbraw/zinc/51/42/52/739514252.db2.gz PMILWLGUFPMDHJ-IAGOWNOFSA-N 1 2 318.392 1.793 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccnn2CCC)C1 ZINC001035387760 751457857 /nfs/dbraw/zinc/45/78/57/751457857.db2.gz QIEIXHGJHAENMZ-CQSZACIVSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccnn2CCC)C1 ZINC001035387760 751457859 /nfs/dbraw/zinc/45/78/59/751457859.db2.gz QIEIXHGJHAENMZ-CQSZACIVSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](CNC(=O)c2cn(C)c(C)cc2=O)C1 ZINC001028610831 739787810 /nfs/dbraw/zinc/78/78/10/739787810.db2.gz UMOLEJUIKFIHLX-ZDUSSCGKSA-N 1 2 323.824 1.498 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](CNC(=O)c2cn(C)c(C)cc2=O)C1 ZINC001028610831 739787812 /nfs/dbraw/zinc/78/78/12/739787812.db2.gz UMOLEJUIKFIHLX-ZDUSSCGKSA-N 1 2 323.824 1.498 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2occ3c2CCOC3)C1 ZINC001035456709 751505502 /nfs/dbraw/zinc/50/55/02/751505502.db2.gz LHXHEBAJJSDWFG-CQSZACIVSA-N 1 2 320.389 1.359 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2occ3c2CCOC3)C1 ZINC001035456709 751505506 /nfs/dbraw/zinc/50/55/06/751505506.db2.gz LHXHEBAJJSDWFG-CQSZACIVSA-N 1 2 320.389 1.359 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)oc(C)c2C)C1 ZINC001035439822 751517632 /nfs/dbraw/zinc/51/76/32/751517632.db2.gz QZSZDPGWOHHGDT-HNNXBMFYSA-N 1 2 304.390 1.659 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)oc(C)c2C)C1 ZINC001035439822 751517636 /nfs/dbraw/zinc/51/76/36/751517636.db2.gz QZSZDPGWOHHGDT-HNNXBMFYSA-N 1 2 304.390 1.659 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C)c(C)n2)C1 ZINC001035444189 751520504 /nfs/dbraw/zinc/52/05/04/751520504.db2.gz MPGJFWFQJREHHA-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(C)c(C)n2)C1 ZINC001035444189 751520506 /nfs/dbraw/zinc/52/05/06/751520506.db2.gz MPGJFWFQJREHHA-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(Cl)c2F)C1 ZINC001035487426 751540370 /nfs/dbraw/zinc/54/03/70/751540370.db2.gz AAWKYXVCBBTGSN-GFCCVEGCSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccc(Cl)c2F)C1 ZINC001035487426 751540378 /nfs/dbraw/zinc/54/03/78/751540378.db2.gz AAWKYXVCBBTGSN-GFCCVEGCSA-N 1 2 324.783 1.933 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCc3cccnc32)C1 ZINC001035487827 751541559 /nfs/dbraw/zinc/54/15/59/751541559.db2.gz NWRXVTKQNCYKFN-CABCVRRESA-N 1 2 301.390 1.114 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCc3cccnc32)C1 ZINC001035487827 751541562 /nfs/dbraw/zinc/54/15/62/751541562.db2.gz NWRXVTKQNCYKFN-CABCVRRESA-N 1 2 301.390 1.114 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001035467934 751552617 /nfs/dbraw/zinc/55/26/17/751552617.db2.gz VMAAPXBFALWBLK-CYBMUJFWSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001035467934 751552622 /nfs/dbraw/zinc/55/26/22/751552622.db2.gz VMAAPXBFALWBLK-CYBMUJFWSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3ccc(F)cc32)C1 ZINC001035492305 751574649 /nfs/dbraw/zinc/57/46/49/751574649.db2.gz XBNXKFZFLOMBBZ-HOCLYGCPSA-N 1 2 304.365 1.468 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3ccc(F)cc32)C1 ZINC001035492305 751574654 /nfs/dbraw/zinc/57/46/54/751574654.db2.gz XBNXKFZFLOMBBZ-HOCLYGCPSA-N 1 2 304.365 1.468 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H](C)CC(=C)C)C2)nn1 ZINC001098706261 740771255 /nfs/dbraw/zinc/77/12/55/740771255.db2.gz WPJHDCIZVNEVFO-GOEBONIOSA-N 1 2 315.421 1.377 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc(OCC)c2)C1 ZINC001035498383 751581260 /nfs/dbraw/zinc/58/12/60/751581260.db2.gz AUMYBFKBXDKIBQ-AWEZNQCLSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccnc(OCC)c2)C1 ZINC001035498383 751581264 /nfs/dbraw/zinc/58/12/64/751581264.db2.gz AUMYBFKBXDKIBQ-AWEZNQCLSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2sc(N(C)C)nc2C)C1 ZINC001035531524 751612038 /nfs/dbraw/zinc/61/20/38/751612038.db2.gz UPMJBCGDYCOBJT-LBPRGKRZSA-N 1 2 324.450 1.134 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2sc(N(C)C)nc2C)C1 ZINC001035531524 751612046 /nfs/dbraw/zinc/61/20/46/751612046.db2.gz UPMJBCGDYCOBJT-LBPRGKRZSA-N 1 2 324.450 1.134 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnn(CCCC)c2C)C1 ZINC001035573387 751627705 /nfs/dbraw/zinc/62/77/05/751627705.db2.gz HGUYOBOQQUZWPR-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnn(CCCC)c2C)C1 ZINC001035573387 751627711 /nfs/dbraw/zinc/62/77/11/751627711.db2.gz HGUYOBOQQUZWPR-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCc3ccccc3O2)C1 ZINC001035551115 751634139 /nfs/dbraw/zinc/63/41/39/751634139.db2.gz SBOGQKYEUFJBRU-RDJZCZTQSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCc3ccccc3O2)C1 ZINC001035551115 751634144 /nfs/dbraw/zinc/63/41/44/751634144.db2.gz SBOGQKYEUFJBRU-RDJZCZTQSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(NC(C)=O)c2)C1 ZINC001035599047 751656796 /nfs/dbraw/zinc/65/67/96/751656796.db2.gz CYMSTISCQNUPFE-INIZCTEOSA-N 1 2 317.389 1.262 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(NC(C)=O)c2)C1 ZINC001035599047 751656803 /nfs/dbraw/zinc/65/68/03/751656803.db2.gz CYMSTISCQNUPFE-INIZCTEOSA-N 1 2 317.389 1.262 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CCN(C)c1ncccc1C#N ZINC001105442725 741699172 /nfs/dbraw/zinc/69/91/72/741699172.db2.gz UHELPVBINKXHPX-UHFFFAOYSA-N 1 2 312.377 1.122 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)c1cccc2[nH+]ccn21 ZINC001075887003 741740640 /nfs/dbraw/zinc/74/06/40/741740640.db2.gz RXNAIYZBZDVNKG-ZDUSSCGKSA-N 1 2 314.389 1.925 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]c3cccnc23)C1 ZINC001035576207 751665062 /nfs/dbraw/zinc/66/50/62/751665062.db2.gz IXNBPWONWPVYHY-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c[nH]c3cccnc23)C1 ZINC001035576207 751665064 /nfs/dbraw/zinc/66/50/64/751665064.db2.gz IXNBPWONWPVYHY-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCc3cn[nH]c32)[C@H]1C ZINC001088568453 741844128 /nfs/dbraw/zinc/84/41/28/741844128.db2.gz IQIALMASUSREAY-SNPRPXQTSA-N 1 2 302.422 1.985 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCc3cn[nH]c32)[C@H]1C ZINC001088568453 741844132 /nfs/dbraw/zinc/84/41/32/741844132.db2.gz IQIALMASUSREAY-SNPRPXQTSA-N 1 2 302.422 1.985 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(C3CC3)s2)C1 ZINC001035588118 751682497 /nfs/dbraw/zinc/68/24/97/751682497.db2.gz FUCPPODTQFKKIV-ZDUSSCGKSA-N 1 2 319.430 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnc(C3CC3)s2)C1 ZINC001035588118 751682503 /nfs/dbraw/zinc/68/25/03/751682503.db2.gz FUCPPODTQFKKIV-ZDUSSCGKSA-N 1 2 319.430 1.474 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2nc(C)c(C)s2)C1 ZINC001035607434 751711850 /nfs/dbraw/zinc/71/18/50/751711850.db2.gz SAJXZVOMMNTPQJ-CYBMUJFWSA-N 1 2 309.435 1.767 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2nc(C)c(C)s2)C1 ZINC001035607434 751711862 /nfs/dbraw/zinc/71/18/62/751711862.db2.gz SAJXZVOMMNTPQJ-CYBMUJFWSA-N 1 2 309.435 1.767 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](C)CCCNc1cc[nH+]c(C)n1 ZINC001114917690 751714688 /nfs/dbraw/zinc/71/46/88/751714688.db2.gz KJYQCPNZQPKGAF-LRDDRELGSA-N 1 2 306.410 1.809 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnnn3C)[C@@H]2C)cc1 ZINC001088787265 742363134 /nfs/dbraw/zinc/36/31/34/742363134.db2.gz ZMQMPVURUMSALU-DYVFJYSZSA-N 1 2 323.400 1.189 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnnn3C)[C@@H]2C)cc1 ZINC001088787265 742363138 /nfs/dbraw/zinc/36/31/38/742363138.db2.gz ZMQMPVURUMSALU-DYVFJYSZSA-N 1 2 323.400 1.189 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)CCc1nnc[nH]1)CC2 ZINC001035679974 751759661 /nfs/dbraw/zinc/75/96/61/751759661.db2.gz AZNRTGGLPSIPRJ-UHFFFAOYSA-N 1 2 323.828 1.414 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001076546140 742783403 /nfs/dbraw/zinc/78/34/03/742783403.db2.gz SOYBSWANZKGLTL-LSDHHAIUSA-N 1 2 318.421 1.505 20 30 DDEDLO CC(C)c1cnc(C[NH2+][C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001181106972 743061898 /nfs/dbraw/zinc/06/18/98/743061898.db2.gz OKEHSWIRROJJJE-GFCCVEGCSA-N 1 2 322.434 1.745 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077016758 743174070 /nfs/dbraw/zinc/17/40/70/743174070.db2.gz AWORADXRCWUNNH-KGLIPLIRSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077016758 743174072 /nfs/dbraw/zinc/17/40/72/743174072.db2.gz AWORADXRCWUNNH-KGLIPLIRSA-N 1 2 320.437 1.956 20 30 DDEDLO Cc1cc(C[NH+]2CCC(NC(=O)c3ccc(C#N)[nH]3)CC2)no1 ZINC001002622212 743282247 /nfs/dbraw/zinc/28/22/47/743282247.db2.gz AAWOHDMZGPOKLO-UHFFFAOYSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CCNC(=O)c1ccc(N[C@@H]2CCC[N@@H+](C3COC3)C2)nc1 ZINC001168368435 743363670 /nfs/dbraw/zinc/36/36/70/743363670.db2.gz NQDKTWCQRSHYSU-CQSZACIVSA-N 1 2 316.405 1.273 20 30 DDEDLO C=CCNC(=O)c1ccc(N[C@@H]2CCC[N@H+](C3COC3)C2)nc1 ZINC001168368435 743363678 /nfs/dbraw/zinc/36/36/78/743363678.db2.gz NQDKTWCQRSHYSU-CQSZACIVSA-N 1 2 316.405 1.273 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H](NC(=O)[C@@H]3CCCO3)C2)s1 ZINC001006673415 751824966 /nfs/dbraw/zinc/82/49/66/751824966.db2.gz ULQKKGIDNUUQEU-WFASDCNBSA-N 1 2 319.430 1.879 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H](NC(=O)[C@@H]3CCCO3)C2)s1 ZINC001006673415 751824975 /nfs/dbraw/zinc/82/49/75/751824975.db2.gz ULQKKGIDNUUQEU-WFASDCNBSA-N 1 2 319.430 1.879 20 30 DDEDLO C=C(Cl)CN1CC2(C1)CCN(C(=O)CCn1cc[nH+]c1)CC2 ZINC001035763418 751847246 /nfs/dbraw/zinc/84/72/46/751847246.db2.gz YDANPIBXJPCECM-UHFFFAOYSA-N 1 2 322.840 1.950 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001182893047 743747290 /nfs/dbraw/zinc/74/72/90/743747290.db2.gz DBYKJGLLZJCMCX-GFCCVEGCSA-N 1 2 306.410 1.925 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001060268231 743765410 /nfs/dbraw/zinc/76/54/10/743765410.db2.gz MXINQZOULALBRK-CQSZACIVSA-N 1 2 324.388 1.134 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCC[C@H]1C(=O)N[C@H]1C[C@H](O)C1 ZINC001183838925 743916818 /nfs/dbraw/zinc/91/68/18/743916818.db2.gz NVGLKEOSKCZUCB-ULQDDVLXSA-N 1 2 313.401 1.552 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCC[C@H]1C(=O)N[C@H]1C[C@H](O)C1 ZINC001183838925 743916821 /nfs/dbraw/zinc/91/68/21/743916821.db2.gz NVGLKEOSKCZUCB-ULQDDVLXSA-N 1 2 313.401 1.552 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)cn1 ZINC001060312873 744021467 /nfs/dbraw/zinc/02/14/67/744021467.db2.gz KGEGSLORWWKDCA-INIZCTEOSA-N 1 2 324.388 1.712 20 30 DDEDLO C[C@@H](CC(=O)NCC1(Nc2ccc(C#N)cn2)CC1)n1cc[nH+]c1 ZINC001110361693 744210257 /nfs/dbraw/zinc/21/02/57/744210257.db2.gz YFARNHCIGTZPCN-ZDUSSCGKSA-N 1 2 324.388 1.862 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C[C@@H](C)CC)C2)nn1 ZINC001185932336 744316499 /nfs/dbraw/zinc/31/64/99/744316499.db2.gz GCPPPNHONGZFDA-HOCLYGCPSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2c(OC)cccc2OC)C1 ZINC001030620305 744336366 /nfs/dbraw/zinc/33/63/66/744336366.db2.gz FWXOOQVNVNLBEO-UHFFFAOYSA-N 1 2 304.390 1.623 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnon2)CC[N@@H+]1Cc1ccccc1C#N ZINC001088931837 744377808 /nfs/dbraw/zinc/37/78/08/744377808.db2.gz XSIUWPGSGNQGKL-RISCZKNCSA-N 1 2 311.345 1.334 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnon2)CC[N@H+]1Cc1ccccc1C#N ZINC001088931837 744377811 /nfs/dbraw/zinc/37/78/11/744377811.db2.gz XSIUWPGSGNQGKL-RISCZKNCSA-N 1 2 311.345 1.334 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCc3c[nH]c[nH+]3)CC2)cn1 ZINC001110383432 744427390 /nfs/dbraw/zinc/42/73/90/744427390.db2.gz FFJCAWTWQBNWBN-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCc3c[nH+]c[nH]3)CC2)cn1 ZINC001110383432 744427394 /nfs/dbraw/zinc/42/73/94/744427394.db2.gz FFJCAWTWQBNWBN-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H]2CCc3ccc(F)cc3O2)C1 ZINC001030679741 744439470 /nfs/dbraw/zinc/43/94/70/744439470.db2.gz ORUOXCDYKYVIGD-OAHLLOKOSA-N 1 2 302.349 1.343 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001187737745 744602246 /nfs/dbraw/zinc/60/22/46/744602246.db2.gz ZPHYBMZGYFCUAF-GOEBONIOSA-N 1 2 300.406 1.256 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001187737745 744602248 /nfs/dbraw/zinc/60/22/48/744602248.db2.gz ZPHYBMZGYFCUAF-GOEBONIOSA-N 1 2 300.406 1.256 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCCN(CC(F)F)C2)C1 ZINC001030857218 744733700 /nfs/dbraw/zinc/73/37/00/744733700.db2.gz QCPAOSRXOQUOBN-GFCCVEGCSA-N 1 2 301.381 1.340 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)(C)C ZINC001110401374 744758873 /nfs/dbraw/zinc/75/88/73/744758873.db2.gz AZLDLOFJJBQWAF-DGAVXFQQSA-N 1 2 320.437 1.030 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)(C)C ZINC001110401374 744758874 /nfs/dbraw/zinc/75/88/74/744758874.db2.gz AZLDLOFJJBQWAF-DGAVXFQQSA-N 1 2 320.437 1.030 20 30 DDEDLO Cn1cnc(C(=O)N[C@@H]2CCC[N@H+](CC#Cc3ccccc3)C2)c1 ZINC001007105194 744811395 /nfs/dbraw/zinc/81/13/95/744811395.db2.gz HNXWFPOUOXWNDT-QGZVFWFLSA-N 1 2 322.412 1.666 20 30 DDEDLO Cn1cnc(C(=O)N[C@@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)c1 ZINC001007105194 744811400 /nfs/dbraw/zinc/81/14/00/744811400.db2.gz HNXWFPOUOXWNDT-QGZVFWFLSA-N 1 2 322.412 1.666 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2csc(C)n2)C1 ZINC001189372500 744878432 /nfs/dbraw/zinc/87/84/32/744878432.db2.gz YAHIWXFHIDYCDF-CQSZACIVSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2csc(C)n2)C1 ZINC001189372500 744878435 /nfs/dbraw/zinc/87/84/35/744878435.db2.gz YAHIWXFHIDYCDF-CQSZACIVSA-N 1 2 309.435 1.687 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cc(-c3cccs3)n[nH]2)C1 ZINC001030989954 745034356 /nfs/dbraw/zinc/03/43/56/745034356.db2.gz ZVAMZUHFCDUVSF-UHFFFAOYSA-N 1 2 300.387 1.576 20 30 DDEDLO COCC#CC[N@H+](C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190270091 745169113 /nfs/dbraw/zinc/16/91/13/745169113.db2.gz NNBLBTHFTOYMDW-QWRGUYRKSA-N 1 2 310.291 1.069 20 30 DDEDLO COCC#CC[N@@H+](C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190270091 745169114 /nfs/dbraw/zinc/16/91/14/745169114.db2.gz NNBLBTHFTOYMDW-QWRGUYRKSA-N 1 2 310.291 1.069 20 30 DDEDLO COCC#CC[N@H+](C)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001190270091 745169117 /nfs/dbraw/zinc/16/91/17/745169117.db2.gz NNBLBTHFTOYMDW-QWRGUYRKSA-N 1 2 310.291 1.069 20 30 DDEDLO COCC#CC[N@@H+](C)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001190270091 745169121 /nfs/dbraw/zinc/16/91/21/745169121.db2.gz NNBLBTHFTOYMDW-QWRGUYRKSA-N 1 2 310.291 1.069 20 30 DDEDLO N#Cc1ccc(F)c(S(=O)(=O)N2CC[N@@H+]3CCCC[C@H]3C2)c1 ZINC001190630991 745279703 /nfs/dbraw/zinc/27/97/03/745279703.db2.gz PJUJZXGDQIWVBN-ZDUSSCGKSA-N 1 2 323.393 1.556 20 30 DDEDLO N#Cc1ccc(F)c(S(=O)(=O)N2CC[N@H+]3CCCC[C@H]3C2)c1 ZINC001190630991 745279708 /nfs/dbraw/zinc/27/97/08/745279708.db2.gz PJUJZXGDQIWVBN-ZDUSSCGKSA-N 1 2 323.393 1.556 20 30 DDEDLO N#Cc1ccc(F)c(S(=O)(=O)N2CC[N@@H+]3CCCC[C@@H]3C2)c1 ZINC001190630932 745279747 /nfs/dbraw/zinc/27/97/47/745279747.db2.gz PJUJZXGDQIWVBN-CYBMUJFWSA-N 1 2 323.393 1.556 20 30 DDEDLO N#Cc1ccc(F)c(S(=O)(=O)N2CC[N@H+]3CCCC[C@@H]3C2)c1 ZINC001190630932 745279751 /nfs/dbraw/zinc/27/97/51/745279751.db2.gz PJUJZXGDQIWVBN-CYBMUJFWSA-N 1 2 323.393 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccc(=O)[nH]n2)[C@H]1C ZINC000993003166 745361021 /nfs/dbraw/zinc/36/10/21/745361021.db2.gz KBWLQYOLCWKPEO-MNOVXSKESA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(=O)[nH]n2)[C@H]1C ZINC000993003166 745361026 /nfs/dbraw/zinc/36/10/26/745361026.db2.gz KBWLQYOLCWKPEO-MNOVXSKESA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001191868288 745634559 /nfs/dbraw/zinc/63/45/59/745634559.db2.gz RAJVKUSEFOPLPU-CABCVRRESA-N 1 2 319.453 1.933 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001191868288 745634561 /nfs/dbraw/zinc/63/45/61/745634561.db2.gz RAJVKUSEFOPLPU-CABCVRRESA-N 1 2 319.453 1.933 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ccc(C)nc2OCCC)C1 ZINC001031182431 745647937 /nfs/dbraw/zinc/64/79/37/745647937.db2.gz RICCJKBKBIGFSA-UHFFFAOYSA-N 1 2 301.390 1.616 20 30 DDEDLO C[C@@H](CCNc1ncc(C#N)cc1F)NC(=O)Cc1[nH]cc[nH+]1 ZINC001106426397 745685824 /nfs/dbraw/zinc/68/58/24/745685824.db2.gz GZTHILIIBIEMPM-JTQLQIEISA-N 1 2 316.340 1.365 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C[C@H]1CNCC#N ZINC001106491048 745745175 /nfs/dbraw/zinc/74/51/75/745745175.db2.gz CDIIBXZIXDRXHH-GDBMZVCRSA-N 1 2 323.400 1.694 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192288769 745745467 /nfs/dbraw/zinc/74/54/67/745745467.db2.gz JDRPLZUISMNQLU-RTBURBONSA-N 1 2 324.424 1.393 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192288769 745745471 /nfs/dbraw/zinc/74/54/71/745745471.db2.gz JDRPLZUISMNQLU-RTBURBONSA-N 1 2 324.424 1.393 20 30 DDEDLO C[C@H](CCNc1ncccc1C#N)NC(=O)CCn1cc[nH+]c1 ZINC001106520339 745767138 /nfs/dbraw/zinc/76/71/38/745767138.db2.gz BPLIZOQXKUKKKM-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)c2cncnc2)C1 ZINC001007180858 752050546 /nfs/dbraw/zinc/05/05/46/752050546.db2.gz DYANHFPQHOVVQT-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)c2cncnc2)C1 ZINC001007180858 752050552 /nfs/dbraw/zinc/05/05/52/752050552.db2.gz DYANHFPQHOVVQT-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](Cc3cnnn3C)[C@H]2C)C1 ZINC000993793509 746316624 /nfs/dbraw/zinc/31/66/24/746316624.db2.gz ULOLZXFJTJGIJU-DZGCQCFKSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnnn3C)[C@H]2C)C1 ZINC000993793509 746316630 /nfs/dbraw/zinc/31/66/30/746316630.db2.gz ULOLZXFJTJGIJU-DZGCQCFKSA-N 1 2 317.437 1.641 20 30 DDEDLO C=CCOC(=O)N1CC[N@@H+](Cc2ccccc2)C[C@H]1C(=O)OC ZINC001194311972 746333198 /nfs/dbraw/zinc/33/31/98/746333198.db2.gz YXQWQHDXGXVASG-HNNXBMFYSA-N 1 2 318.373 1.668 20 30 DDEDLO C=CCOC(=O)N1CC[N@H+](Cc2ccccc2)C[C@H]1C(=O)OC ZINC001194311972 746333201 /nfs/dbraw/zinc/33/32/01/746333201.db2.gz YXQWQHDXGXVASG-HNNXBMFYSA-N 1 2 318.373 1.668 20 30 DDEDLO COCCC(=O)N1CCC[N@H+](Cc2cc(C#N)ccc2F)CC1 ZINC001194621806 746428226 /nfs/dbraw/zinc/42/82/26/746428226.db2.gz IYXDURINJUBWPT-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO COCCC(=O)N1CCC[N@@H+](Cc2cc(C#N)ccc2F)CC1 ZINC001194621806 746428227 /nfs/dbraw/zinc/42/82/27/746428227.db2.gz IYXDURINJUBWPT-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO CCOCC(=O)N1CCC[N@H+](Cc2cc(C#N)ccc2F)CC1 ZINC001194746509 746444683 /nfs/dbraw/zinc/44/46/83/746444683.db2.gz GYASKGQPUOZBHJ-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO CCOCC(=O)N1CCC[N@@H+](Cc2cc(C#N)ccc2F)CC1 ZINC001194746509 746444686 /nfs/dbraw/zinc/44/46/86/746444686.db2.gz GYASKGQPUOZBHJ-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO CC[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)N(CC)CCC#N)CC1 ZINC001194868741 746476772 /nfs/dbraw/zinc/47/67/72/746476772.db2.gz FSSZPPKDQHJPEV-OAHLLOKOSA-N 1 2 322.453 1.329 20 30 DDEDLO CC[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)N(CC)CCC#N)CC1 ZINC001194868741 746476776 /nfs/dbraw/zinc/47/67/76/746476776.db2.gz FSSZPPKDQHJPEV-OAHLLOKOSA-N 1 2 322.453 1.329 20 30 DDEDLO CCc1nocc1C[N@@H+]1CCCN(C(=O)CSCC#N)CC1 ZINC001194913019 746485319 /nfs/dbraw/zinc/48/53/19/746485319.db2.gz NKPNAXWXFRTKQP-UHFFFAOYSA-N 1 2 322.434 1.528 20 30 DDEDLO CCc1nocc1C[N@H+]1CCCN(C(=O)CSCC#N)CC1 ZINC001194913019 746485321 /nfs/dbraw/zinc/48/53/21/746485321.db2.gz NKPNAXWXFRTKQP-UHFFFAOYSA-N 1 2 322.434 1.528 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccc(=O)n(C)n2)[C@@H]1C ZINC000994356110 746604085 /nfs/dbraw/zinc/60/40/85/746604085.db2.gz YOWWJHXZTDBQQY-RYUDHWBXSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(=O)n(C)n2)[C@@H]1C ZINC000994356110 746604087 /nfs/dbraw/zinc/60/40/87/746604087.db2.gz YOWWJHXZTDBQQY-RYUDHWBXSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@@H]1C ZINC000994416930 746641978 /nfs/dbraw/zinc/64/19/78/746641978.db2.gz BAWXLRLEFJRXPL-CMPLNLGQSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@@H]1C ZINC000994416930 746641980 /nfs/dbraw/zinc/64/19/80/746641980.db2.gz BAWXLRLEFJRXPL-CMPLNLGQSA-N 1 2 324.812 1.449 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3cnoc3C)C[C@H]2O)CC1 ZINC001195905943 746733191 /nfs/dbraw/zinc/73/31/91/746733191.db2.gz GRHMCIWUEIIOCA-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cnoc3C)C[C@H]2O)CC1 ZINC001195905943 746733192 /nfs/dbraw/zinc/73/31/92/746733192.db2.gz GRHMCIWUEIIOCA-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cncc3[nH]cnc32)C1 ZINC001007381866 752119309 /nfs/dbraw/zinc/11/93/09/752119309.db2.gz HNDRBNYIPLZCEU-NSHDSACASA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cncc3[nH]cnc32)C1 ZINC001007381866 752119311 /nfs/dbraw/zinc/11/93/11/752119311.db2.gz HNDRBNYIPLZCEU-NSHDSACASA-N 1 2 319.796 1.905 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ncc(C)cc2C)CC1 ZINC001196594265 746907752 /nfs/dbraw/zinc/90/77/52/746907752.db2.gz IBDQTJNTWYYLQK-UHFFFAOYSA-N 1 2 315.417 1.496 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ncc(C)cc2C)CC1 ZINC001196594265 746907758 /nfs/dbraw/zinc/90/77/58/746907758.db2.gz IBDQTJNTWYYLQK-UHFFFAOYSA-N 1 2 315.417 1.496 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)C(=C)C)CC1 ZINC001196724381 746945032 /nfs/dbraw/zinc/94/50/32/746945032.db2.gz INPLBZYYWIMTGS-HNNXBMFYSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C(C)(C)C(=C)C)CC1 ZINC001196724381 746945035 /nfs/dbraw/zinc/94/50/35/746945035.db2.gz INPLBZYYWIMTGS-HNNXBMFYSA-N 1 2 319.449 1.261 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)NCC1C[NH+](Cc2ccc(C#N)c(F)c2)C1 ZINC001031522104 746951236 /nfs/dbraw/zinc/95/12/36/746951236.db2.gz PWLFBGZSTWSCIW-IAQYHMDHSA-N 1 2 301.365 1.901 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCCCC)CC1 ZINC001196854699 746991993 /nfs/dbraw/zinc/99/19/93/746991993.db2.gz SFJPEWCWEQJKET-HZPDHXFCSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NCCCC)CC1 ZINC001196854699 746991998 /nfs/dbraw/zinc/99/19/98/746991998.db2.gz SFJPEWCWEQJKET-HZPDHXFCSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH2+]Cc1nc(-c2ccncn2)no1 ZINC001128409185 747019120 /nfs/dbraw/zinc/01/91/20/747019120.db2.gz WGLVTEKLTZGTOA-UHFFFAOYSA-N 1 2 316.365 1.089 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@@H+](CC(=O)N(C)CC)CC2)CC1 ZINC001197055539 747038720 /nfs/dbraw/zinc/03/87/20/747038720.db2.gz UZIDVURGJCTAGM-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@H+](CC(=O)N(C)CC)CC2)CC1 ZINC001197055539 747038729 /nfs/dbraw/zinc/03/87/29/747038729.db2.gz UZIDVURGJCTAGM-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001197037232 747045779 /nfs/dbraw/zinc/04/57/79/747045779.db2.gz MBMHBRITVRKWRT-KRWDZBQOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001197037232 747045787 /nfs/dbraw/zinc/04/57/87/747045787.db2.gz MBMHBRITVRKWRT-KRWDZBQOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(CCC)CC2)CC1 ZINC001197082916 747058314 /nfs/dbraw/zinc/05/83/14/747058314.db2.gz KSIVOGDQEWFQRD-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(CCC)CC2)CC1 ZINC001197082916 747058321 /nfs/dbraw/zinc/05/83/21/747058321.db2.gz KSIVOGDQEWFQRD-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO Cc1ncc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)[nH]1 ZINC001031581896 747097923 /nfs/dbraw/zinc/09/79/23/747097923.db2.gz LPYYLMHPGWANCY-UHFFFAOYSA-N 1 2 308.385 1.431 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)c2cnco2)CC1 ZINC001003398734 747183644 /nfs/dbraw/zinc/18/36/44/747183644.db2.gz KOHFYLZQTPUYSE-UHFFFAOYSA-N 1 2 314.183 1.777 20 30 DDEDLO CCn1ccnc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031636179 747273912 /nfs/dbraw/zinc/27/39/12/747273912.db2.gz NZPVNGTZDZJZHR-UHFFFAOYSA-N 1 2 322.412 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCCn2cccn2)CC1 ZINC001198159668 747395547 /nfs/dbraw/zinc/39/55/47/747395547.db2.gz TWLOVRAFADBAJF-UHFFFAOYSA-N 1 2 310.829 1.950 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCCn2cccn2)CC1 ZINC001198159668 747395553 /nfs/dbraw/zinc/39/55/53/747395553.db2.gz TWLOVRAFADBAJF-UHFFFAOYSA-N 1 2 310.829 1.950 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccccc2C)[C@H](O)C1 ZINC001090032843 747406478 /nfs/dbraw/zinc/40/64/78/747406478.db2.gz UJUYFRPEHSDGIA-LSDHHAIUSA-N 1 2 308.809 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccccc2C)[C@H](O)C1 ZINC001090032843 747406483 /nfs/dbraw/zinc/40/64/83/747406483.db2.gz UJUYFRPEHSDGIA-LSDHHAIUSA-N 1 2 308.809 1.913 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212256070 747408621 /nfs/dbraw/zinc/40/86/21/747408621.db2.gz UFNOKQVRKSDLTJ-HUUCEWRRSA-N 1 2 319.405 1.348 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212256070 747408623 /nfs/dbraw/zinc/40/86/23/747408623.db2.gz UFNOKQVRKSDLTJ-HUUCEWRRSA-N 1 2 319.405 1.348 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cnc3[nH]c(C)nc3c2)C1 ZINC001031720772 747525958 /nfs/dbraw/zinc/52/59/58/747525958.db2.gz GEQGOBFOOGRVDD-UHFFFAOYSA-N 1 2 319.796 1.680 20 30 DDEDLO CC1(C)CC[C@H](CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)N(CC#N)C1 ZINC001089654323 747582492 /nfs/dbraw/zinc/58/24/92/747582492.db2.gz XLDJGIYDTXBOKP-MGPQQGTHSA-N 1 2 315.421 1.644 20 30 DDEDLO CC1(C)CC[C@H](CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)N(CC#N)C1 ZINC001089654323 747582496 /nfs/dbraw/zinc/58/24/96/747582496.db2.gz XLDJGIYDTXBOKP-MGPQQGTHSA-N 1 2 315.421 1.644 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000998748495 752199727 /nfs/dbraw/zinc/19/97/27/752199727.db2.gz XDMDRBJBBFQURP-NEPJUHHUSA-N 1 2 305.382 1.433 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+]Cc1nnc(C2CC2)o1 ZINC001127605296 747727654 /nfs/dbraw/zinc/72/76/54/747727654.db2.gz WWPPGUVMJNGVIJ-OLZOCXBDSA-N 1 2 320.393 1.134 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110539434 747920923 /nfs/dbraw/zinc/92/09/23/747920923.db2.gz SUNXIIFQHCDTIO-OAGGEKHMSA-N 1 2 317.433 1.038 20 30 DDEDLO CCCCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110539434 747920928 /nfs/dbraw/zinc/92/09/28/747920928.db2.gz SUNXIIFQHCDTIO-OAGGEKHMSA-N 1 2 317.433 1.038 20 30 DDEDLO N#Cc1cnc(C(=O)N2CC[N@@H+]3CCc4ccccc4[C@@H]3C2)cn1 ZINC001199666310 747942818 /nfs/dbraw/zinc/94/28/18/747942818.db2.gz ROVOLKHLWLEQTR-KRWDZBQOSA-N 1 2 319.368 1.403 20 30 DDEDLO N#Cc1cnc(C(=O)N2CC[N@H+]3CCc4ccccc4[C@@H]3C2)cn1 ZINC001199666310 747942822 /nfs/dbraw/zinc/94/28/22/747942822.db2.gz ROVOLKHLWLEQTR-KRWDZBQOSA-N 1 2 319.368 1.403 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cncc3nc[nH]c32)C1 ZINC001033100512 748274820 /nfs/dbraw/zinc/27/48/20/748274820.db2.gz AKXPUZUCFJYAKU-NSHDSACASA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cncc3nc[nH]c32)C1 ZINC001033100512 748274822 /nfs/dbraw/zinc/27/48/22/748274822.db2.gz AKXPUZUCFJYAKU-NSHDSACASA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(C)CCC(=O)N1CCCO[C@H](C[NH2+]Cc2nc(C)no2)C1 ZINC001200626790 748295224 /nfs/dbraw/zinc/29/52/24/748295224.db2.gz BZZFRNCHEKKYTC-CQSZACIVSA-N 1 2 322.409 1.441 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC)CCNc1cc[nH+]c(C)n1 ZINC001106961766 748338637 /nfs/dbraw/zinc/33/86/37/748338637.db2.gz PTCILXAUVRHXLP-KGLIPLIRSA-N 1 2 304.394 1.637 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2ccnnc2)C1 ZINC001032003694 748399076 /nfs/dbraw/zinc/39/90/76/748399076.db2.gz FKZWIVHXNUGOGC-UHFFFAOYSA-N 1 2 307.357 1.210 20 30 DDEDLO CCn1nncc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004431402 748426847 /nfs/dbraw/zinc/42/68/47/748426847.db2.gz XGNQQTRBJCCLBF-CQSZACIVSA-N 1 2 316.409 1.138 20 30 DDEDLO CCn1nncc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004431402 748426852 /nfs/dbraw/zinc/42/68/52/748426852.db2.gz XGNQQTRBJCCLBF-CQSZACIVSA-N 1 2 316.409 1.138 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2CCC(C)(C)CO2)C1 ZINC001108085091 748744834 /nfs/dbraw/zinc/74/48/34/748744834.db2.gz RHGBLCFTIIDTRM-MAUKXSAKSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2CCC(C)(C)CO2)C1 ZINC001108085091 748744838 /nfs/dbraw/zinc/74/48/38/748744838.db2.gz RHGBLCFTIIDTRM-MAUKXSAKSA-N 1 2 324.465 1.975 20 30 DDEDLO Cn1ccc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)n1 ZINC001032187194 748785703 /nfs/dbraw/zinc/78/57/03/748785703.db2.gz IRNLGTFVEWCDML-UHFFFAOYSA-N 1 2 308.385 1.133 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1(C)CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110586914 748798869 /nfs/dbraw/zinc/79/88/69/748798869.db2.gz PRVBEKDTIUVHDH-QGZVFWFLSA-N 1 2 318.421 1.587 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095314885 748920511 /nfs/dbraw/zinc/92/05/11/748920511.db2.gz NCDBYNYRVWMQQN-GXTWGEPZSA-N 1 2 302.378 1.226 20 30 DDEDLO Cc1nc(N2CC[C@](C)(NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001110720949 748940102 /nfs/dbraw/zinc/94/01/02/748940102.db2.gz PDDHWIXNOMXFJF-AWEZNQCLSA-N 1 2 305.407 1.127 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2ccnc(C)n2)C1 ZINC001108096680 748971811 /nfs/dbraw/zinc/97/18/11/748971811.db2.gz UOKSLFINCPUTQP-KRWDZBQOSA-N 1 2 318.421 1.111 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2ccnc(C)n2)C1 ZINC001108096680 748971816 /nfs/dbraw/zinc/97/18/16/748971816.db2.gz UOKSLFINCPUTQP-KRWDZBQOSA-N 1 2 318.421 1.111 20 30 DDEDLO Cc1nc(N2CC[C@@](C)(NC(=O)C#CC3CC3)C2)c(C)c(C)[nH+]1 ZINC001110753797 748982207 /nfs/dbraw/zinc/98/22/07/748982207.db2.gz QTXYBZHCMTVXGR-GOSISDBHSA-N 1 2 312.417 1.900 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)CCCNc1cc[nH+]c(C)n1 ZINC001114999607 749050283 /nfs/dbraw/zinc/05/02/83/749050283.db2.gz NGKMIJHOUWDPFY-CYBMUJFWSA-N 1 2 304.394 1.522 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1nc(C(C)C)no1 ZINC001125247770 749070609 /nfs/dbraw/zinc/07/06/09/749070609.db2.gz GKPBNHYVEFNYOX-UHFFFAOYSA-N 1 2 310.398 1.382 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnc3nccn3c2)C1 ZINC001033265731 749087216 /nfs/dbraw/zinc/08/72/16/749087216.db2.gz MRBKJGVWIQHTKW-ZDUSSCGKSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnc3nccn3c2)C1 ZINC001033265731 749087222 /nfs/dbraw/zinc/08/72/22/749087222.db2.gz MRBKJGVWIQHTKW-ZDUSSCGKSA-N 1 2 319.796 1.628 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)CCCOC)C1 ZINC001108328467 761920881 /nfs/dbraw/zinc/92/08/81/761920881.db2.gz HSPVSPLFTPVFCT-WBVHZDCISA-N 1 2 310.438 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)CCCOC)C1 ZINC001108328467 761920886 /nfs/dbraw/zinc/92/08/86/761920886.db2.gz HSPVSPLFTPVFCT-WBVHZDCISA-N 1 2 310.438 1.280 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2c(C)nn(C)c2C)C1 ZINC001033305636 749157239 /nfs/dbraw/zinc/15/72/39/749157239.db2.gz GTQOQPNPWDYMCD-CQSZACIVSA-N 1 2 324.856 1.865 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2c(C)nn(C)c2C)C1 ZINC001033305636 749157245 /nfs/dbraw/zinc/15/72/45/749157245.db2.gz GTQOQPNPWDYMCD-CQSZACIVSA-N 1 2 324.856 1.865 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001033319457 749179143 /nfs/dbraw/zinc/17/91/43/749179143.db2.gz DVYRWJVUJHDZPZ-STQMWFEESA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001033319457 749179148 /nfs/dbraw/zinc/17/91/48/749179148.db2.gz DVYRWJVUJHDZPZ-STQMWFEESA-N 1 2 313.829 1.283 20 30 DDEDLO Cc1nn[nH]c1C(=O)N(C)[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2)C1 ZINC001033320056 749180677 /nfs/dbraw/zinc/18/06/77/749180677.db2.gz YNVWXWPGPQBSTJ-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1nn[nH]c1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001033320056 749180683 /nfs/dbraw/zinc/18/06/83/749180683.db2.gz YNVWXWPGPQBSTJ-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@H+](Cc3ccn(C)n3)C2)cc1 ZINC001033321246 749186855 /nfs/dbraw/zinc/18/68/55/749186855.db2.gz DQLWWGJEDRAQGJ-SFHVURJKSA-N 1 2 322.412 1.748 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3ccn(C)n3)C2)cc1 ZINC001033321246 749186860 /nfs/dbraw/zinc/18/68/60/749186860.db2.gz DQLWWGJEDRAQGJ-SFHVURJKSA-N 1 2 322.412 1.748 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001108106888 749214240 /nfs/dbraw/zinc/21/42/40/749214240.db2.gz JSAIAQSRHCSYMJ-QGZVFWFLSA-N 1 2 319.405 1.411 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001108106888 749214245 /nfs/dbraw/zinc/21/42/45/749214245.db2.gz JSAIAQSRHCSYMJ-QGZVFWFLSA-N 1 2 319.405 1.411 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114457490 749239757 /nfs/dbraw/zinc/23/97/57/749239757.db2.gz RNDHHMXVSPVFFW-AWEZNQCLSA-N 1 2 318.421 1.709 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](C)OCC=C)c2C1 ZINC001128602389 749242508 /nfs/dbraw/zinc/24/25/08/749242508.db2.gz GWPCFAIVNNOBBW-CQSZACIVSA-N 1 2 318.421 1.482 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H](C)OCC=C)c2C1 ZINC001128602389 749242514 /nfs/dbraw/zinc/24/25/14/749242514.db2.gz GWPCFAIVNNOBBW-CQSZACIVSA-N 1 2 318.421 1.482 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H]3CCC[C@H]3C)nn2)C1 ZINC001107174508 749493641 /nfs/dbraw/zinc/49/36/41/749493641.db2.gz TVMQMJDVLBBGKU-KGLIPLIRSA-N 1 2 317.437 1.763 20 30 DDEDLO O=C(C#CC1CC1)N1CCC([NH2+]Cc2nnsc2Cl)CC1 ZINC000996393468 749552666 /nfs/dbraw/zinc/55/26/66/749552666.db2.gz ABJSIYQFNMGBHC-UHFFFAOYSA-N 1 2 324.837 1.686 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)[C@@H](C)C=C)nn2)C1 ZINC001107198092 749563140 /nfs/dbraw/zinc/56/31/40/749563140.db2.gz RTKDYHUOYHBIDD-QWHCGFSZSA-N 1 2 303.410 1.395 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CC[C@@H](N(CC)C(C)=O)C2)c1 ZINC001033715990 749768063 /nfs/dbraw/zinc/76/80/63/749768063.db2.gz PEPNQGZLCFCJII-QGZVFWFLSA-N 1 2 313.401 1.549 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CC[C@@H](N(CC)C(C)=O)C2)c1 ZINC001033715990 749768068 /nfs/dbraw/zinc/76/80/68/749768068.db2.gz PEPNQGZLCFCJII-QGZVFWFLSA-N 1 2 313.401 1.549 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(CC=C)CCOCC2)C1 ZINC001108368944 761988728 /nfs/dbraw/zinc/98/87/28/761988728.db2.gz HKRHDPGFCKQQDC-KRWDZBQOSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(CC=C)CCOCC2)C1 ZINC001108368944 761988733 /nfs/dbraw/zinc/98/87/33/761988733.db2.gz HKRHDPGFCKQQDC-KRWDZBQOSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CC[NH+]1CCC(N(C)C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001005395075 749967199 /nfs/dbraw/zinc/96/71/99/749967199.db2.gz HLVMVOFKAREBQJ-AWEZNQCLSA-N 1 2 302.422 1.938 20 30 DDEDLO Cc1nc(N(C)CCCNC(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001095507177 749990167 /nfs/dbraw/zinc/99/01/67/749990167.db2.gz KJSZAPCNTJFSGK-GFCCVEGCSA-N 1 2 315.421 1.766 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC/C=C/CNc1ccncc1C#N ZINC001107549951 750113843 /nfs/dbraw/zinc/11/38/43/750113843.db2.gz UAXGWVYWKWCLNG-IBUXWKBASA-N 1 2 324.388 1.061 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC/C=C/CNc1ccncc1C#N ZINC001107549951 750113847 /nfs/dbraw/zinc/11/38/47/750113847.db2.gz UAXGWVYWKWCLNG-IBUXWKBASA-N 1 2 324.388 1.061 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC/C=C\CNc1ccc(C#N)cn1 ZINC001107548955 750122514 /nfs/dbraw/zinc/12/25/14/750122514.db2.gz DBQZAKPYAMALNL-XQJDBVBESA-N 1 2 324.388 1.639 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC/C=C\CNc1ccc(C#N)cn1 ZINC001107548955 750122519 /nfs/dbraw/zinc/12/25/19/750122519.db2.gz DBQZAKPYAMALNL-XQJDBVBESA-N 1 2 324.388 1.639 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098191320 750153974 /nfs/dbraw/zinc/15/39/74/750153974.db2.gz CVYZYDMKTFEBTE-LBPRGKRZSA-N 1 2 318.425 1.356 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2csc(C)n2)C1 ZINC001108385398 762022575 /nfs/dbraw/zinc/02/25/75/762022575.db2.gz GMFGDZPWGAZBLH-HNNXBMFYSA-N 1 2 309.435 1.387 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2csc(C)n2)C1 ZINC001108385398 762022585 /nfs/dbraw/zinc/02/25/85/762022585.db2.gz GMFGDZPWGAZBLH-HNNXBMFYSA-N 1 2 309.435 1.387 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2ccc(=O)n(C)n2)C1 ZINC001034036118 750283462 /nfs/dbraw/zinc/28/34/62/750283462.db2.gz PCTIBJVDNYLNQX-LBPRGKRZSA-N 1 2 324.812 1.069 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc(=O)n(C)n2)C1 ZINC001034036118 750283465 /nfs/dbraw/zinc/28/34/65/750283465.db2.gz PCTIBJVDNYLNQX-LBPRGKRZSA-N 1 2 324.812 1.069 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)CCc1c[nH+]cn1C ZINC001107874501 750516826 /nfs/dbraw/zinc/51/68/26/750516826.db2.gz GBJFGPCNIQEQSH-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001060795661 750524467 /nfs/dbraw/zinc/52/44/67/750524467.db2.gz RKMOADJECLOFIL-HDJSIYSDSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc([C@H](C)OC)n1 ZINC001032402765 750589724 /nfs/dbraw/zinc/58/97/24/750589724.db2.gz JBUJTJNDWQQEPP-SRVKXCTJSA-N 1 2 307.419 1.935 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc([C@H](C)OC)n1 ZINC001032402765 750589727 /nfs/dbraw/zinc/58/97/27/750589727.db2.gz JBUJTJNDWQQEPP-SRVKXCTJSA-N 1 2 307.419 1.935 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)cccc1OC ZINC001032415922 750688673 /nfs/dbraw/zinc/68/86/73/750688673.db2.gz PUVJOFKFLODDMD-STQMWFEESA-N 1 2 302.349 1.756 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)cccc1OC ZINC001032415922 750688677 /nfs/dbraw/zinc/68/86/77/750688677.db2.gz PUVJOFKFLODDMD-STQMWFEESA-N 1 2 302.349 1.756 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)CCCC)CC2 ZINC001127943973 750806558 /nfs/dbraw/zinc/80/65/58/750806558.db2.gz JPNPWJUBGKASQM-ZDUSSCGKSA-N 1 2 303.410 1.012 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)N(C)CCO2 ZINC001032475898 750965203 /nfs/dbraw/zinc/96/52/03/750965203.db2.gz OJILRSKBXPZZEI-GJZGRUSLSA-N 1 2 311.385 1.047 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)N(C)CCO2 ZINC001032475898 750965207 /nfs/dbraw/zinc/96/52/07/750965207.db2.gz OJILRSKBXPZZEI-GJZGRUSLSA-N 1 2 311.385 1.047 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114672904 750973853 /nfs/dbraw/zinc/97/38/53/750973853.db2.gz XUWSAQORMDFCOR-NHAGDIPZSA-N 1 2 300.406 1.544 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114672904 750973857 /nfs/dbraw/zinc/97/38/57/750973857.db2.gz XUWSAQORMDFCOR-NHAGDIPZSA-N 1 2 300.406 1.544 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114686116 750986707 /nfs/dbraw/zinc/98/67/07/750986707.db2.gz GQBVOEKTAVAHPO-NMKXLXIOSA-N 1 2 317.437 1.687 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114686116 750986709 /nfs/dbraw/zinc/98/67/09/750986709.db2.gz GQBVOEKTAVAHPO-NMKXLXIOSA-N 1 2 317.437 1.687 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)c1c(C)noc1C ZINC001032483945 750992954 /nfs/dbraw/zinc/99/29/54/750992954.db2.gz ZEDGJLYIYRVNOG-CQDKDKBSSA-N 1 2 301.390 1.703 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)c1c(C)noc1C ZINC001032483945 750992956 /nfs/dbraw/zinc/99/29/56/750992956.db2.gz ZEDGJLYIYRVNOG-CQDKDKBSSA-N 1 2 301.390 1.703 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001114710325 751011392 /nfs/dbraw/zinc/01/13/92/751011392.db2.gz BJWZGHLPIYZLHF-YYWXWVFPSA-N 1 2 316.405 1.679 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001114710325 751011400 /nfs/dbraw/zinc/01/14/00/751011400.db2.gz BJWZGHLPIYZLHF-YYWXWVFPSA-N 1 2 316.405 1.679 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C1CCN(CC#N)CC1 ZINC000997648198 751181207 /nfs/dbraw/zinc/18/12/07/751181207.db2.gz GCNCMVPLWZNYEB-DZGCQCFKSA-N 1 2 315.421 1.186 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(N(C)C(=O)c2coc(OC)n2)CC1 ZINC001005778238 751189047 /nfs/dbraw/zinc/18/90/47/751189047.db2.gz TYGBFHWHCAVZLJ-UHFFFAOYSA-N 1 2 313.785 1.972 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nc(C)c2)C1 ZINC001107972074 751287052 /nfs/dbraw/zinc/28/70/52/751287052.db2.gz FBUXBYRBDBNNQV-QGZVFWFLSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nc(C)c2)C1 ZINC001107972074 751287055 /nfs/dbraw/zinc/28/70/55/751287055.db2.gz FBUXBYRBDBNNQV-QGZVFWFLSA-N 1 2 303.406 1.705 20 30 DDEDLO Cc1n[nH]nc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032555751 751297095 /nfs/dbraw/zinc/29/70/95/751297095.db2.gz CZTXRRHAOFLDEP-GJZGRUSLSA-N 1 2 322.372 1.084 20 30 DDEDLO Cc1n[nH]nc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032555751 751297098 /nfs/dbraw/zinc/29/70/98/751297098.db2.gz CZTXRRHAOFLDEP-GJZGRUSLSA-N 1 2 322.372 1.084 20 30 DDEDLO C=CC(C)(C)C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1cc[nH+]c1)C2 ZINC000997885214 751338931 /nfs/dbraw/zinc/33/89/31/751338931.db2.gz GCIKKMGGGIVPMV-RDBSUJKOSA-N 1 2 316.405 1.343 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999129882 752535793 /nfs/dbraw/zinc/53/57/93/752535793.db2.gz SMWGYLIYQVLFMX-AWEZNQCLSA-N 1 2 316.405 1.516 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nnc2ccccc2c1O ZINC001032659360 752622479 /nfs/dbraw/zinc/62/24/79/752622479.db2.gz ZZTKZUDXIKJWSQ-STQMWFEESA-N 1 2 322.368 1.257 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nnc2ccccc2c1O ZINC001032659360 752622484 /nfs/dbraw/zinc/62/24/84/752622484.db2.gz ZZTKZUDXIKJWSQ-STQMWFEESA-N 1 2 322.368 1.257 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc2n[nH]c(C)c21 ZINC001032681971 752709832 /nfs/dbraw/zinc/70/98/32/752709832.db2.gz TXJUDTDAMPVFMC-STQMWFEESA-N 1 2 309.373 1.107 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc2n[nH]c(C)c21 ZINC001032681971 752709839 /nfs/dbraw/zinc/70/98/39/752709839.db2.gz TXJUDTDAMPVFMC-STQMWFEESA-N 1 2 309.373 1.107 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001062060234 752713356 /nfs/dbraw/zinc/71/33/56/752713356.db2.gz NDBJEPQJPDVYDD-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO CN(C[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1)c1ccc(C#N)cn1 ZINC001062128958 752791115 /nfs/dbraw/zinc/79/11/15/752791115.db2.gz HVYOCBNHLHVEKI-HNNXBMFYSA-N 1 2 324.388 1.135 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC001032712555 752827654 /nfs/dbraw/zinc/82/76/54/752827654.db2.gz AZCGVAJKQMWRKN-IRXDYDNUSA-N 1 2 320.396 1.999 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC001032712555 752827659 /nfs/dbraw/zinc/82/76/59/752827659.db2.gz AZCGVAJKQMWRKN-IRXDYDNUSA-N 1 2 320.396 1.999 20 30 DDEDLO C#CC[NH2+][C@@H]1CCCN(C(=O)c2c(Cl)[nH]nc2C2CC2)C1 ZINC000999343197 752846345 /nfs/dbraw/zinc/84/63/45/752846345.db2.gz WQYWJZLXXOWFBI-LLVKDONJSA-N 1 2 306.797 1.768 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCCOC(C)C)C1 ZINC001108436889 762198180 /nfs/dbraw/zinc/19/81/80/762198180.db2.gz DXKRHOUNTLDSFZ-KRWDZBQOSA-N 1 2 310.438 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCCOC(C)C)C1 ZINC001108436889 762198184 /nfs/dbraw/zinc/19/81/84/762198184.db2.gz DXKRHOUNTLDSFZ-KRWDZBQOSA-N 1 2 310.438 1.422 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001009650448 753297677 /nfs/dbraw/zinc/29/76/77/753297677.db2.gz NKAYPKLRZJXPAL-UONOGXRCSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001009650448 753297680 /nfs/dbraw/zinc/29/76/80/753297680.db2.gz NKAYPKLRZJXPAL-UONOGXRCSA-N 1 2 313.829 1.330 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cccnc3s2)C1 ZINC001077942325 753470868 /nfs/dbraw/zinc/47/08/68/753470868.db2.gz JDUIICVKLTZSFY-VXGBXAGGSA-N 1 2 303.387 1.257 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cccnc3s2)C1 ZINC001077942325 753470875 /nfs/dbraw/zinc/47/08/75/753470875.db2.gz JDUIICVKLTZSFY-VXGBXAGGSA-N 1 2 303.387 1.257 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccccc1CC ZINC001032761683 753542326 /nfs/dbraw/zinc/54/23/26/753542326.db2.gz DFWOOFVBXJSGHI-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccccc1CC ZINC001032761683 753542332 /nfs/dbraw/zinc/54/23/32/753542332.db2.gz DFWOOFVBXJSGHI-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Oc1ccc(F)cc1 ZINC001032774781 753587689 /nfs/dbraw/zinc/58/76/89/753587689.db2.gz RTOLAPFKVDRSTB-QEJZJMRPSA-N 1 2 302.349 1.511 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Oc1ccc(F)cc1 ZINC001032774781 753587699 /nfs/dbraw/zinc/58/76/99/753587699.db2.gz RTOLAPFKVDRSTB-QEJZJMRPSA-N 1 2 302.349 1.511 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@]2(C)C[N@H+](CC#CC)CCO2)CC1 ZINC001108186315 753647378 /nfs/dbraw/zinc/64/73/78/753647378.db2.gz MSPGWUTZGGDAFD-SFHVURJKSA-N 1 2 304.434 1.963 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@]2(C)C[N@@H+](CC#CC)CCO2)CC1 ZINC001108186315 753647384 /nfs/dbraw/zinc/64/73/84/753647384.db2.gz MSPGWUTZGGDAFD-SFHVURJKSA-N 1 2 304.434 1.963 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)n(C)n1 ZINC001010338284 753778564 /nfs/dbraw/zinc/77/85/64/753778564.db2.gz LAIRSWJRNVZLDU-KRWDZBQOSA-N 1 2 322.412 1.584 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n(C)n1 ZINC001010338284 753778569 /nfs/dbraw/zinc/77/85/69/753778569.db2.gz LAIRSWJRNVZLDU-KRWDZBQOSA-N 1 2 322.412 1.584 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2nc(C(C)(C)C)ccc2C#N)C[NH2+]1 ZINC001169128999 762245492 /nfs/dbraw/zinc/24/54/92/762245492.db2.gz BMJAKYDXKCEUEG-NEPJUHHUSA-N 1 2 302.378 1.566 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cccc(F)c3o2)C1 ZINC001078203107 753857986 /nfs/dbraw/zinc/85/79/86/753857986.db2.gz ZZZBFKLNWSDWLZ-ZIAGYGMSSA-N 1 2 316.332 1.370 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cccc(F)c3o2)C1 ZINC001078203107 753857990 /nfs/dbraw/zinc/85/79/90/753857990.db2.gz ZZZBFKLNWSDWLZ-ZIAGYGMSSA-N 1 2 316.332 1.370 20 30 DDEDLO CC(C)C#CC(=O)NCC1(NC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001062964883 753875595 /nfs/dbraw/zinc/87/55/95/753875595.db2.gz QSFZYXFPVNURSS-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO CC(C)C#CC(=O)NCC1(NC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001062964883 753875601 /nfs/dbraw/zinc/87/56/01/753875601.db2.gz QSFZYXFPVNURSS-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(COCC)CC2)C1 ZINC001108035765 754213269 /nfs/dbraw/zinc/21/32/69/754213269.db2.gz JNXBEHJAYYUKFW-INIZCTEOSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(COCC)CC2)C1 ZINC001108035765 754213274 /nfs/dbraw/zinc/21/32/74/754213274.db2.gz JNXBEHJAYYUKFW-INIZCTEOSA-N 1 2 308.422 1.034 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001060998529 754259763 /nfs/dbraw/zinc/25/97/63/754259763.db2.gz XOTMEJOOZGTLJS-ZDUSSCGKSA-N 1 2 324.388 1.488 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)CCc2c[nH+]cn2C)CCC1 ZINC001063983498 754426774 /nfs/dbraw/zinc/42/67/74/754426774.db2.gz LSYUNMXNOXDPPL-UHFFFAOYSA-N 1 2 318.421 1.474 20 30 DDEDLO Cc1nc(NC[C@@H]2CCC[C@H]2NC(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001064086316 754492162 /nfs/dbraw/zinc/49/21/62/754492162.db2.gz AYUPUBYRHRCLPX-GXTWGEPZSA-N 1 2 324.388 1.995 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc3scnc3c2)[C@@H](O)C1 ZINC001083908984 754771977 /nfs/dbraw/zinc/77/19/77/754771977.db2.gz ABFSKZQSBHWXJC-KGLIPLIRSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3scnc3c2)[C@@H](O)C1 ZINC001083908984 754771981 /nfs/dbraw/zinc/77/19/81/754771981.db2.gz ABFSKZQSBHWXJC-KGLIPLIRSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CCCCC(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064704457 754782507 /nfs/dbraw/zinc/78/25/07/754782507.db2.gz AXLVAZYXUHBFJH-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001079541808 755427375 /nfs/dbraw/zinc/42/73/75/755427375.db2.gz DOZMZIFTJOANAC-QMTHXVAHSA-N 1 2 313.361 1.433 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001079541808 755427372 /nfs/dbraw/zinc/42/73/72/755427372.db2.gz DOZMZIFTJOANAC-QMTHXVAHSA-N 1 2 313.361 1.433 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2c(Cl)cnn2C)C1 ZINC001079704878 755538815 /nfs/dbraw/zinc/53/88/15/755538815.db2.gz MDOWLDKPZHARMV-LDYMZIIASA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2c(Cl)cnn2C)C1 ZINC001079704878 755538819 /nfs/dbraw/zinc/53/88/19/755538819.db2.gz MDOWLDKPZHARMV-LDYMZIIASA-N 1 2 317.220 1.876 20 30 DDEDLO CCN(C(=O)C#CC(C)(C)C)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001079744206 755570987 /nfs/dbraw/zinc/57/09/87/755570987.db2.gz WOHQTMCZRCKHSS-UHFFFAOYSA-N 1 2 316.405 1.061 20 30 DDEDLO C#CCCCCC(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080031672 755691625 /nfs/dbraw/zinc/69/16/25/755691625.db2.gz FIXUKFLIXCWTIW-UHFFFAOYSA-N 1 2 316.405 1.136 20 30 DDEDLO CCn1ncc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001014360055 755695742 /nfs/dbraw/zinc/69/57/42/755695742.db2.gz WVGBQXSKGCXKJF-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1ncc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001014360055 755695746 /nfs/dbraw/zinc/69/57/46/755695746.db2.gz WVGBQXSKGCXKJF-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](Nc2ccc(C#N)nc2)[C@@H]1C ZINC001040156488 762412753 /nfs/dbraw/zinc/41/27/53/762412753.db2.gz AIJCPKZPUQLXJN-WFASDCNBSA-N 1 2 324.388 1.629 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001014429125 755732336 /nfs/dbraw/zinc/73/23/36/755732336.db2.gz DNHREYGHADACJJ-STQMWFEESA-N 1 2 308.813 1.773 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001014429125 755732339 /nfs/dbraw/zinc/73/23/39/755732339.db2.gz DNHREYGHADACJJ-STQMWFEESA-N 1 2 308.813 1.773 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080353816 755866938 /nfs/dbraw/zinc/86/69/38/755866938.db2.gz NBYQQBVYUBBFGC-OLZOCXBDSA-N 1 2 318.421 1.470 20 30 DDEDLO C=CCCCC(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001080387667 755875021 /nfs/dbraw/zinc/87/50/21/755875021.db2.gz LELXMANLIZKVOU-UHFFFAOYSA-N 1 2 304.394 1.299 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2cnc(CC3CC3)s2)C1 ZINC001015388949 756277732 /nfs/dbraw/zinc/27/77/32/756277732.db2.gz SOYOKQZHVLNING-CYBMUJFWSA-N 1 2 303.431 1.923 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2cnc(CC3CC3)s2)C1 ZINC001015388949 756277735 /nfs/dbraw/zinc/27/77/35/756277735.db2.gz SOYOKQZHVLNING-CYBMUJFWSA-N 1 2 303.431 1.923 20 30 DDEDLO CC[C@@H](F)C[N@@H+]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@H](OC)C1 ZINC001081554792 756379198 /nfs/dbraw/zinc/37/91/98/756379198.db2.gz HEAVZASRPWQCFF-LERXQTSPSA-N 1 2 308.357 1.063 20 30 DDEDLO CC[C@@H](F)C[N@H+]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@H](OC)C1 ZINC001081554792 756379200 /nfs/dbraw/zinc/37/92/00/756379200.db2.gz HEAVZASRPWQCFF-LERXQTSPSA-N 1 2 308.357 1.063 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3cncs3)C2)c1 ZINC001015678451 756460572 /nfs/dbraw/zinc/46/05/72/756460572.db2.gz IICGOHYVZMPDGK-AWEZNQCLSA-N 1 2 312.398 1.524 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3cncs3)C2)c1 ZINC001015678451 756460576 /nfs/dbraw/zinc/46/05/76/756460576.db2.gz IICGOHYVZMPDGK-AWEZNQCLSA-N 1 2 312.398 1.524 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3cncc(F)c3)C2)c1 ZINC001015679332 756461186 /nfs/dbraw/zinc/46/11/86/756461186.db2.gz ZITRKOYUODVAIN-QGZVFWFLSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3cncc(F)c3)C2)c1 ZINC001015679332 756461192 /nfs/dbraw/zinc/46/11/92/756461192.db2.gz ZITRKOYUODVAIN-QGZVFWFLSA-N 1 2 324.359 1.601 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1OC ZINC001081798648 756484198 /nfs/dbraw/zinc/48/41/98/756484198.db2.gz KGYHDSFPQGLUKR-RLWBPUTGSA-N 1 2 323.824 1.856 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1OC ZINC001081798648 756484201 /nfs/dbraw/zinc/48/42/01/756484201.db2.gz KGYHDSFPQGLUKR-RLWBPUTGSA-N 1 2 323.824 1.856 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(-c3ccco3)o2)[C@H](OC)C1 ZINC001081929476 756548189 /nfs/dbraw/zinc/54/81/89/756548189.db2.gz PUTDSIXHPNWYRO-MLGOLLRUSA-N 1 2 314.341 1.602 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(-c3ccco3)o2)[C@H](OC)C1 ZINC001081929476 756548191 /nfs/dbraw/zinc/54/81/91/756548191.db2.gz PUTDSIXHPNWYRO-MLGOLLRUSA-N 1 2 314.341 1.602 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@@H+](C/C=C\Cl)C[C@H]2OC)c1 ZINC001082118226 756638456 /nfs/dbraw/zinc/63/84/56/756638456.db2.gz MSAQINDVTIKYQB-ZYDHHDLOSA-N 1 2 319.792 1.244 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@H+](C/C=C\Cl)C[C@H]2OC)c1 ZINC001082118226 756638458 /nfs/dbraw/zinc/63/84/58/756638458.db2.gz MSAQINDVTIKYQB-ZYDHHDLOSA-N 1 2 319.792 1.244 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cncc(F)c3)C2)cn1 ZINC001016026678 756730565 /nfs/dbraw/zinc/73/05/65/756730565.db2.gz HXDSXVJGWWEAQY-QGZVFWFLSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cncc(F)c3)C2)cn1 ZINC001016026678 756730570 /nfs/dbraw/zinc/73/05/70/756730570.db2.gz HXDSXVJGWWEAQY-QGZVFWFLSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)cn3)C2)nc1 ZINC001016026931 756730908 /nfs/dbraw/zinc/73/09/08/756730908.db2.gz KFMBLJWXGVYBKT-OAHLLOKOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)cn3)C2)nc1 ZINC001016026931 756730911 /nfs/dbraw/zinc/73/09/11/756730911.db2.gz KFMBLJWXGVYBKT-OAHLLOKOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C23CC4CC(CC(C4)C2)C3)[C@H](OC)C1 ZINC001082340795 756746779 /nfs/dbraw/zinc/74/67/79/756746779.db2.gz GWLBHVSJSYPBOH-MNLQNLKMSA-N 1 2 316.445 1.651 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C23CC4CC(CC(C4)C2)C3)[C@H](OC)C1 ZINC001082340795 756746781 /nfs/dbraw/zinc/74/67/81/756746781.db2.gz GWLBHVSJSYPBOH-MNLQNLKMSA-N 1 2 316.445 1.651 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2sccc2C2CC2)[C@H](OC)C1 ZINC001082357158 756754319 /nfs/dbraw/zinc/75/43/19/756754319.db2.gz KRROFWYPKAFESZ-ZIAGYGMSSA-N 1 2 304.415 1.688 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2sccc2C2CC2)[C@H](OC)C1 ZINC001082357158 756754320 /nfs/dbraw/zinc/75/43/20/756754320.db2.gz KRROFWYPKAFESZ-ZIAGYGMSSA-N 1 2 304.415 1.688 20 30 DDEDLO CN(c1ccc(C#N)cn1)[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001057059356 762501840 /nfs/dbraw/zinc/50/18/40/762501840.db2.gz QCQSIHUSLSQXPB-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(c1ccc(C#N)cn1)[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001057059356 762501844 /nfs/dbraw/zinc/50/18/44/762501844.db2.gz QCQSIHUSLSQXPB-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO C[C@@H](CC(=O)N[C@H]1C[C@@H](Nc2ccncc2C#N)C1)n1cc[nH+]c1 ZINC001097254777 757020168 /nfs/dbraw/zinc/02/01/68/757020168.db2.gz UKGRPTBDHLICOZ-AEGPPILISA-N 1 2 324.388 1.282 20 30 DDEDLO C[C@@H](CC(=O)N[C@H]1C[C@@H](Nc2ncccc2C#N)C1)n1cc[nH+]c1 ZINC001097254600 757020786 /nfs/dbraw/zinc/02/07/86/757020786.db2.gz PGQWMWFURUDLFC-AEGPPILISA-N 1 2 324.388 1.860 20 30 DDEDLO CC(C)C(=O)N1CCO[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001083004376 757043337 /nfs/dbraw/zinc/04/33/37/757043337.db2.gz XYMOXEFHAFJSQT-ZWKOTPCHSA-N 1 2 312.413 1.606 20 30 DDEDLO CC(C)C(=O)N1CCO[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001083004376 757043340 /nfs/dbraw/zinc/04/33/40/757043340.db2.gz XYMOXEFHAFJSQT-ZWKOTPCHSA-N 1 2 312.413 1.606 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3oc(C)cc3C)[C@H]2C1 ZINC001083041036 757089723 /nfs/dbraw/zinc/08/97/23/757089723.db2.gz ODSFDNSVBQYXGO-LSDHHAIUSA-N 1 2 302.374 1.445 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3oc(C)cc3C)[C@H]2C1 ZINC001083041036 757089729 /nfs/dbraw/zinc/08/97/29/757089729.db2.gz ODSFDNSVBQYXGO-LSDHHAIUSA-N 1 2 302.374 1.445 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCC=CCCC3)[C@H]2C1 ZINC001083119008 757121405 /nfs/dbraw/zinc/12/14/05/757121405.db2.gz YSKVJVMYOQJTDQ-YESZJQIVSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCC=CCCC3)[C@H]2C1 ZINC001083119008 757121415 /nfs/dbraw/zinc/12/14/15/757121415.db2.gz YSKVJVMYOQJTDQ-YESZJQIVSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(Cl)c3C)[C@H]2C1 ZINC001083087756 757128589 /nfs/dbraw/zinc/12/85/89/757128589.db2.gz ARWLJIDQMRUDDA-JKSUJKDBSA-N 1 2 318.804 1.807 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(Cl)c3C)[C@H]2C1 ZINC001083087756 757128596 /nfs/dbraw/zinc/12/85/96/757128596.db2.gz ARWLJIDQMRUDDA-JKSUJKDBSA-N 1 2 318.804 1.807 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@@H]2CNC(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001097437057 757183058 /nfs/dbraw/zinc/18/30/58/757183058.db2.gz MYNJPZARUAOFKN-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccsc2C(F)(F)F)[C@@H](O)C1 ZINC001083999209 757211770 /nfs/dbraw/zinc/21/17/70/757211770.db2.gz FCXTWEASMUELGR-ZJUUUORDSA-N 1 2 318.320 1.175 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccsc2C(F)(F)F)[C@@H](O)C1 ZINC001083999209 757211779 /nfs/dbraw/zinc/21/17/79/757211779.db2.gz FCXTWEASMUELGR-ZJUUUORDSA-N 1 2 318.320 1.175 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099794675 757250489 /nfs/dbraw/zinc/25/04/89/757250489.db2.gz PZRQYGIQVCJQJD-LSDHHAIUSA-N 1 2 321.446 1.388 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099794675 757250496 /nfs/dbraw/zinc/25/04/96/757250496.db2.gz PZRQYGIQVCJQJD-LSDHHAIUSA-N 1 2 321.446 1.388 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(COC(C)C)cc2)[C@@H](O)C1 ZINC001084047086 757259180 /nfs/dbraw/zinc/25/91/80/757259180.db2.gz ZXPOBSWBJHXBAA-SJORKVTESA-N 1 2 316.401 1.020 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(COC(C)C)cc2)[C@@H](O)C1 ZINC001084047086 757259181 /nfs/dbraw/zinc/25/91/81/757259181.db2.gz ZXPOBSWBJHXBAA-SJORKVTESA-N 1 2 316.401 1.020 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2scnc2Cl)[C@@H](O)C1 ZINC001084063187 757264320 /nfs/dbraw/zinc/26/43/20/757264320.db2.gz UODKKHKCKTXZMO-BDAKNGLRSA-N 1 2 301.799 1.148 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2scnc2Cl)[C@@H](O)C1 ZINC001084063187 757264330 /nfs/dbraw/zinc/26/43/30/757264330.db2.gz UODKKHKCKTXZMO-BDAKNGLRSA-N 1 2 301.799 1.148 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001097584086 757276060 /nfs/dbraw/zinc/27/60/60/757276060.db2.gz ZXGJXEISMWCKFG-OYCUPVHPSA-N 1 2 300.406 1.794 20 30 DDEDLO O=C(c1ncc[nH]1)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084330669 757490828 /nfs/dbraw/zinc/49/08/28/757490828.db2.gz WJCPQSKHYXIMHF-IAGOWNOFSA-N 1 2 320.396 1.608 20 30 DDEDLO O=C(c1ncc[nH]1)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084330669 757490833 /nfs/dbraw/zinc/49/08/33/757490833.db2.gz WJCPQSKHYXIMHF-IAGOWNOFSA-N 1 2 320.396 1.608 20 30 DDEDLO CCn1ncnc1C[N@H+](C)C[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001017077541 757619307 /nfs/dbraw/zinc/61/93/07/757619307.db2.gz OAFPTFDSTBZTKD-KBPBESRZSA-N 1 2 318.425 1.128 20 30 DDEDLO CCn1ncnc1C[N@@H+](C)C[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001017077541 757619319 /nfs/dbraw/zinc/61/93/19/757619319.db2.gz OAFPTFDSTBZTKD-KBPBESRZSA-N 1 2 318.425 1.128 20 30 DDEDLO CCn1ncnc1C[N@H+](C)C[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001017077552 757620093 /nfs/dbraw/zinc/62/00/93/757620093.db2.gz OAFPTFDSTBZTKD-UONOGXRCSA-N 1 2 318.425 1.128 20 30 DDEDLO CCn1ncnc1C[N@@H+](C)C[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001017077552 757620099 /nfs/dbraw/zinc/62/00/99/757620099.db2.gz OAFPTFDSTBZTKD-UONOGXRCSA-N 1 2 318.425 1.128 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2nccnc2c1 ZINC001017623957 758094378 /nfs/dbraw/zinc/09/43/78/758094378.db2.gz FGBHNHRZFWMQGD-OKILXGFUSA-N 1 2 309.373 1.500 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2nccnc2c1 ZINC001017623957 758094388 /nfs/dbraw/zinc/09/43/88/758094388.db2.gz FGBHNHRZFWMQGD-OKILXGFUSA-N 1 2 309.373 1.500 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2cccn2cn1 ZINC001017651570 758121218 /nfs/dbraw/zinc/12/12/18/758121218.db2.gz LGHPCLUSOBOKNS-IYBDPMFKSA-N 1 2 308.385 1.646 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2cccn2cn1 ZINC001017651570 758121220 /nfs/dbraw/zinc/12/12/20/758121220.db2.gz LGHPCLUSOBOKNS-IYBDPMFKSA-N 1 2 308.385 1.646 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099859289 758123129 /nfs/dbraw/zinc/12/31/29/758123129.db2.gz ZKPSQLAGRDAPGL-ZIAGYGMSSA-N 1 2 323.462 1.859 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099859289 758123134 /nfs/dbraw/zinc/12/31/34/758123134.db2.gz ZKPSQLAGRDAPGL-ZIAGYGMSSA-N 1 2 323.462 1.859 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1c2c[nH]nc2CC[C@H]1C ZINC001017747008 758204179 /nfs/dbraw/zinc/20/41/79/758204179.db2.gz NCFKSVIBJHRNCN-WVZRYYJFSA-N 1 2 312.417 1.384 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1c2c[nH]nc2CC[C@H]1C ZINC001017747008 758204188 /nfs/dbraw/zinc/20/41/88/758204188.db2.gz NCFKSVIBJHRNCN-WVZRYYJFSA-N 1 2 312.417 1.384 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC[C@H]1CCOC1)CCO2 ZINC001053215570 758272878 /nfs/dbraw/zinc/27/28/78/758272878.db2.gz ZSHGPGBVUDHTOL-HNNXBMFYSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCCO[C@H]1C)CCO2 ZINC001053232687 758288526 /nfs/dbraw/zinc/28/85/26/758288526.db2.gz WLUQJPKSRNYFTA-GJZGRUSLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1OCC[C@H]1CC)CCO2 ZINC001053293183 758348668 /nfs/dbraw/zinc/34/86/68/758348668.db2.gz IJQFBARPAINOKC-CABCVRRESA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001053312905 758366263 /nfs/dbraw/zinc/36/62/63/758366263.db2.gz QWUMJVMYJGJLKL-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CC[C@H]3C[C@H]3C1)CCO2 ZINC001053314441 758367681 /nfs/dbraw/zinc/36/76/81/758367681.db2.gz QXOSUKAAAYOLPU-XHSDSOJGSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(OC)cs1)CCO2 ZINC001053369891 758407923 /nfs/dbraw/zinc/40/79/23/758407923.db2.gz MESWKFZGYDFYTO-UHFFFAOYSA-N 1 2 322.430 1.860 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C=C(CC)CC)CC2=O)C1 ZINC001108532972 762626870 /nfs/dbraw/zinc/62/68/70/762626870.db2.gz OLPBHRPPEAKZKU-OAHLLOKOSA-N 1 2 319.449 1.710 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1csc(C2CC2)n1 ZINC001018032774 758491486 /nfs/dbraw/zinc/49/14/86/758491486.db2.gz MNUXZOKSUDUHOB-GASCZTMLSA-N 1 2 315.442 1.871 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1csc(C2CC2)n1 ZINC001018032774 758491495 /nfs/dbraw/zinc/49/14/95/758491495.db2.gz MNUXZOKSUDUHOB-GASCZTMLSA-N 1 2 315.442 1.871 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)nc1OC ZINC001018034026 758492883 /nfs/dbraw/zinc/49/28/83/758492883.db2.gz GBKUICSOCDZNPT-GASCZTMLSA-N 1 2 313.401 1.711 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)nc1OC ZINC001018034026 758492891 /nfs/dbraw/zinc/49/28/91/758492891.db2.gz GBKUICSOCDZNPT-GASCZTMLSA-N 1 2 313.401 1.711 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)C[C@@H](C)O2 ZINC001018065320 758518025 /nfs/dbraw/zinc/51/80/25/758518025.db2.gz QDYUAUOOWHZCNN-XYPHTWIQSA-N 1 2 310.397 1.932 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)C[C@@H](C)O2 ZINC001018065320 758518033 /nfs/dbraw/zinc/51/80/33/758518033.db2.gz QDYUAUOOWHZCNN-XYPHTWIQSA-N 1 2 310.397 1.932 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)CCCC)CC2=O)C1 ZINC001108541129 762639993 /nfs/dbraw/zinc/63/99/93/762639993.db2.gz ONEIMCNGCFLCKU-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO C[C@@]1(CNc2ncccc2C#N)CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065494699 758580182 /nfs/dbraw/zinc/58/01/82/758580182.db2.gz XWQBOONCFJCPGO-KRWDZBQOSA-N 1 2 324.388 1.570 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncoc1C)O2 ZINC001053576143 758586714 /nfs/dbraw/zinc/58/67/14/758586714.db2.gz NOCWKQWKQSCXIQ-CYBMUJFWSA-N 1 2 305.378 1.522 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C#N)c[nH]1)O2 ZINC001053588512 758604770 /nfs/dbraw/zinc/60/47/70/758604770.db2.gz WSFUAVJNVZZCHN-ZDUSSCGKSA-N 1 2 300.362 1.036 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)cc(C)n1)O2 ZINC001053620779 758640005 /nfs/dbraw/zinc/64/00/05/758640005.db2.gz UECZZBGAJZJTPO-HNNXBMFYSA-N 1 2 315.417 1.848 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(OCC)no1)O2 ZINC001053629316 758647543 /nfs/dbraw/zinc/64/75/43/758647543.db2.gz LYMVXEJMDAJYIJ-GFCCVEGCSA-N 1 2 321.377 1.223 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+]([C@H]2CCN(C(C)=O)C2)CC1 ZINC001065675284 758694021 /nfs/dbraw/zinc/69/40/21/758694021.db2.gz PGJVBGUCQDVRBA-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncccc1Cl)O2 ZINC001053691760 758701301 /nfs/dbraw/zinc/70/13/01/758701301.db2.gz CWOJNSQAEXLBNR-GFCCVEGCSA-N 1 2 321.808 1.884 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c[nH]cc1C)O2 ZINC001053695304 758705117 /nfs/dbraw/zinc/70/51/17/758705117.db2.gz CNOFIBCVUPUCHR-AWEZNQCLSA-N 1 2 303.406 1.862 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3C[C@@H]3C)C2)CC1 ZINC001065708563 758728736 /nfs/dbraw/zinc/72/87/36/758728736.db2.gz FEACBXFPDBLZDG-XHSDSOJGSA-N 1 2 319.449 1.354 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)noc1C)CO2 ZINC001053735409 758749179 /nfs/dbraw/zinc/74/91/79/758749179.db2.gz FLGAOEYUFHXBRV-CYBMUJFWSA-N 1 2 305.378 1.441 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cscc1C)CO2 ZINC001053764311 758783644 /nfs/dbraw/zinc/78/36/44/758783644.db2.gz NGHJKYZMFHWXLD-CYBMUJFWSA-N 1 2 304.415 1.653 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccsc1C)CO2 ZINC001053794990 758821342 /nfs/dbraw/zinc/82/13/42/758821342.db2.gz CLBFPCVJBGSLDO-CYBMUJFWSA-N 1 2 304.415 1.653 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CCCC3(CC3)C1)CO2 ZINC001053799845 758827242 /nfs/dbraw/zinc/82/72/42/758827242.db2.gz CMLKKZURIOKJFY-CVEARBPZSA-N 1 2 316.445 1.940 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1scnc1CC)CO2 ZINC001053823640 758853500 /nfs/dbraw/zinc/85/35/00/758853500.db2.gz PJXMUGKNGQPKPV-GFCCVEGCSA-N 1 2 319.430 1.302 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1conc1C(C)C)CO2 ZINC001053827763 758857230 /nfs/dbraw/zinc/85/72/30/758857230.db2.gz HYAWZTCKSJITBE-ZDUSSCGKSA-N 1 2 319.405 1.947 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1onc(C)c1C)CO2 ZINC001053838994 758868710 /nfs/dbraw/zinc/86/87/10/758868710.db2.gz SHRXBWYYCOTEFE-CYBMUJFWSA-N 1 2 305.378 1.441 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1[nH]c(C)cc1C)CO2 ZINC001053846950 758880012 /nfs/dbraw/zinc/88/00/12/758880012.db2.gz TWCWEBFYDPGNAC-CQSZACIVSA-N 1 2 303.406 1.781 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cn1nc(C)cc1C)CO2 ZINC001053864141 758897742 /nfs/dbraw/zinc/89/77/42/758897742.db2.gz VZYIYKUUSXHEOE-HNNXBMFYSA-N 1 2 318.421 1.036 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc[nH]c1C(C)C)CO2 ZINC001053901684 758933795 /nfs/dbraw/zinc/93/37/95/758933795.db2.gz MHSJHXHKHCUMEI-AWEZNQCLSA-N 1 2 315.417 1.735 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C(C)C)n[nH]1)CO2 ZINC001053931658 758967991 /nfs/dbraw/zinc/96/79/91/758967991.db2.gz CBQWYDGDAKHCSI-ZDUSSCGKSA-N 1 2 318.421 1.682 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)c1ccc(C)o1)CO2 ZINC001053948485 758986737 /nfs/dbraw/zinc/98/67/37/758986737.db2.gz NIKZMCSNBNGXRM-LSDHHAIUSA-N 1 2 316.401 1.674 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cccn1CC)CO2 ZINC001054007774 759054494 /nfs/dbraw/zinc/05/44/94/759054494.db2.gz MGVWFNOFIYQOHG-AWEZNQCLSA-N 1 2 303.406 1.657 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1n[nH]c(C)c1C ZINC001054035531 759091470 /nfs/dbraw/zinc/09/14/70/759091470.db2.gz PKSRXPHCENBXRS-KRWDZBQOSA-N 1 2 322.412 1.816 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1n[nH]c(C)c1C ZINC001054035531 759091474 /nfs/dbraw/zinc/09/14/74/759091474.db2.gz PKSRXPHCENBXRS-KRWDZBQOSA-N 1 2 322.412 1.816 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cccnc3OC)cc2C1 ZINC001054278653 759387521 /nfs/dbraw/zinc/38/75/21/759387521.db2.gz JJYWDRWQPYMTIU-UHFFFAOYSA-N 1 2 321.380 1.969 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cccnc3OC)cc2C1 ZINC001054278653 759387532 /nfs/dbraw/zinc/38/75/32/759387532.db2.gz JJYWDRWQPYMTIU-UHFFFAOYSA-N 1 2 321.380 1.969 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)Cn1cc[nH+]c1 ZINC001069116990 767857729 /nfs/dbraw/zinc/85/77/29/767857729.db2.gz WNQUWMKYKIWUHZ-DOMZBBRYSA-N 1 2 310.361 1.251 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)C2CC=CC2)C1=O ZINC001085526735 759628209 /nfs/dbraw/zinc/62/82/09/759628209.db2.gz IYXZGXQBFYKXFO-JKSUJKDBSA-N 1 2 317.433 1.272 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C2CC=CC2)C1=O ZINC001085526735 759628212 /nfs/dbraw/zinc/62/82/12/759628212.db2.gz IYXZGXQBFYKXFO-JKSUJKDBSA-N 1 2 317.433 1.272 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccnc1)C(=O)c1ccc(C#N)[nH]1 ZINC001085553117 759680778 /nfs/dbraw/zinc/68/07/78/759680778.db2.gz XASNHNREHBHXHJ-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccnc1)C(=O)c1ccc(C#N)[nH]1 ZINC001085553117 759680782 /nfs/dbraw/zinc/68/07/82/759680782.db2.gz XASNHNREHBHXHJ-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1)C(=O)c1ncc[nH]1 ZINC001085588622 759776751 /nfs/dbraw/zinc/77/67/51/759776751.db2.gz QPTFUAWAKOUZQW-LLVKDONJSA-N 1 2 315.402 1.689 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1)C(=O)c1ncc[nH]1 ZINC001085588622 759776758 /nfs/dbraw/zinc/77/67/58/759776758.db2.gz QPTFUAWAKOUZQW-LLVKDONJSA-N 1 2 315.402 1.689 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc2n1[C@@H](C)CCC2 ZINC001085609406 759829690 /nfs/dbraw/zinc/82/96/90/759829690.db2.gz QWUBFBKLQMXQGQ-KBPBESRZSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc2n1[C@@H](C)CCC2 ZINC001085609406 759829697 /nfs/dbraw/zinc/82/96/97/759829697.db2.gz QWUBFBKLQMXQGQ-KBPBESRZSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccn(C2CCCC2)n1 ZINC001085622752 759865692 /nfs/dbraw/zinc/86/56/92/759865692.db2.gz DEVQHXBWQCMAKV-HNNXBMFYSA-N 1 2 300.406 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccn(C2CCCC2)n1 ZINC001085622752 759865698 /nfs/dbraw/zinc/86/56/98/759865698.db2.gz DEVQHXBWQCMAKV-HNNXBMFYSA-N 1 2 300.406 1.778 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2cc(C)nn2c1C ZINC001085751465 760167563 /nfs/dbraw/zinc/16/75/63/760167563.db2.gz HDQBNUHFIPPEOP-CQSZACIVSA-N 1 2 311.389 1.126 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2cc(C)nn2c1C ZINC001085751465 760167568 /nfs/dbraw/zinc/16/75/68/760167568.db2.gz HDQBNUHFIPPEOP-CQSZACIVSA-N 1 2 311.389 1.126 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC(=O)N2CCC2)CC1 ZINC001085798444 760251556 /nfs/dbraw/zinc/25/15/56/760251556.db2.gz RUAILBJWYAUEOU-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2CC(=O)N2CCC2)CC1 ZINC001085798444 760251560 /nfs/dbraw/zinc/25/15/60/760251560.db2.gz RUAILBJWYAUEOU-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2[C@H](C)C(=O)N(C)C)CC1 ZINC001085802260 760258990 /nfs/dbraw/zinc/25/89/90/760258990.db2.gz PJQQOPBQDLAANF-GDBMZVCRSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@H](C)C(=O)N(C)C)CC1 ZINC001085802260 760258994 /nfs/dbraw/zinc/25/89/94/760258994.db2.gz PJQQOPBQDLAANF-GDBMZVCRSA-N 1 2 321.465 1.742 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(-c2ccncc2)on1 ZINC001038642311 760342294 /nfs/dbraw/zinc/34/22/94/760342294.db2.gz HZTXIICQCWXIKO-CQSZACIVSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(-c2ccncc2)on1 ZINC001038642311 760342303 /nfs/dbraw/zinc/34/23/03/760342303.db2.gz HZTXIICQCWXIKO-CQSZACIVSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C(N)=O)s1 ZINC001085872155 760422707 /nfs/dbraw/zinc/42/27/07/760422707.db2.gz PTUQBWBEZHXMFG-NSHDSACASA-N 1 2 305.403 1.017 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C(N)=O)s1 ZINC001085872155 760422710 /nfs/dbraw/zinc/42/27/10/760422710.db2.gz PTUQBWBEZHXMFG-NSHDSACASA-N 1 2 305.403 1.017 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1cnon1 ZINC001085884067 760460586 /nfs/dbraw/zinc/46/05/86/760460586.db2.gz TXRCSMKSEJHUMW-CQSZACIVSA-N 1 2 311.345 1.288 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1cnon1 ZINC001085884067 760460590 /nfs/dbraw/zinc/46/05/90/760460590.db2.gz TXRCSMKSEJHUMW-CQSZACIVSA-N 1 2 311.345 1.288 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2ccccn2)cn1 ZINC001085933735 760564580 /nfs/dbraw/zinc/56/45/80/760564580.db2.gz JKJNXDDWWDLYEK-SFHVURJKSA-N 1 2 320.396 1.804 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2ccccn2)cn1 ZINC001085933735 760564585 /nfs/dbraw/zinc/56/45/85/760564585.db2.gz JKJNXDDWWDLYEK-SFHVURJKSA-N 1 2 320.396 1.804 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(COC)c(F)c1 ZINC001085945039 760585819 /nfs/dbraw/zinc/58/58/19/760585819.db2.gz YEVLAWCYWCVCBL-OAHLLOKOSA-N 1 2 304.365 1.752 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(COC)c(F)c1 ZINC001085945039 760585823 /nfs/dbraw/zinc/58/58/23/760585823.db2.gz YEVLAWCYWCVCBL-OAHLLOKOSA-N 1 2 304.365 1.752 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001066361234 760719071 /nfs/dbraw/zinc/71/90/71/760719071.db2.gz GNTNXHUFKKMQSI-AWEZNQCLSA-N 1 2 318.421 1.724 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)c(OC)c2)C1 ZINC001108233743 760777834 /nfs/dbraw/zinc/77/78/34/760777834.db2.gz BNFBNHRZCRZAQP-KRWDZBQOSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)c(OC)c2)C1 ZINC001108233743 760777840 /nfs/dbraw/zinc/77/78/40/760777840.db2.gz BNFBNHRZCRZAQP-KRWDZBQOSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001066379158 760927001 /nfs/dbraw/zinc/92/70/01/760927001.db2.gz RVJQLKZIWWPYMO-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)CCC)CC1 ZINC001131341808 767999136 /nfs/dbraw/zinc/99/91/36/767999136.db2.gz CHNBOKYQYMQHDF-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)CCC)CC1 ZINC001131341808 767999139 /nfs/dbraw/zinc/99/91/39/767999139.db2.gz CHNBOKYQYMQHDF-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ccc(C#N)cn1 ZINC001069376321 768000671 /nfs/dbraw/zinc/00/06/71/768000671.db2.gz VTFIJQHWADHGNX-UKRRQHHQSA-N 1 2 324.388 1.323 20 30 DDEDLO CCc1n[nH]cc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038434365 761114851 /nfs/dbraw/zinc/11/48/51/761114851.db2.gz JHQMEARHIFYOIE-OAHLLOKOSA-N 1 2 323.400 1.848 20 30 DDEDLO CCc1n[nH]cc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038434365 761114856 /nfs/dbraw/zinc/11/48/56/761114856.db2.gz JHQMEARHIFYOIE-OAHLLOKOSA-N 1 2 323.400 1.848 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)N(C)C(=O)C2 ZINC001038472777 761145622 /nfs/dbraw/zinc/14/56/22/761145622.db2.gz FVOCJXVZUZOQJC-OAHLLOKOSA-N 1 2 311.385 1.033 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)N(C)C(=O)C2 ZINC001038472777 761145625 /nfs/dbraw/zinc/14/56/25/761145625.db2.gz FVOCJXVZUZOQJC-OAHLLOKOSA-N 1 2 311.385 1.033 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C3CC3)no2)C1 ZINC001108251577 761153011 /nfs/dbraw/zinc/15/30/11/761153011.db2.gz IGEITKWPNDQEQN-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C3CC3)no2)C1 ZINC001108251577 761153015 /nfs/dbraw/zinc/15/30/15/761153015.db2.gz IGEITKWPNDQEQN-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C[C@H]1[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ncccc1C#N ZINC001069414771 768015700 /nfs/dbraw/zinc/01/57/00/768015700.db2.gz GLTMDOJNDMHJAP-SWLSCSKDSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066445624 761183391 /nfs/dbraw/zinc/18/33/91/761183391.db2.gz KEFXXFHKCFQFHJ-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001038721250 761327991 /nfs/dbraw/zinc/32/79/91/761327991.db2.gz YHJFMCHWWQPDJV-MANSERQUSA-N 1 2 324.424 1.796 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001038721250 761328000 /nfs/dbraw/zinc/32/80/00/761328000.db2.gz YHJFMCHWWQPDJV-MANSERQUSA-N 1 2 324.424 1.796 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001056745260 761386372 /nfs/dbraw/zinc/38/63/72/761386372.db2.gz UBCQFOJMKRBZIL-GFCCVEGCSA-N 1 2 318.425 1.471 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001069510108 768039485 /nfs/dbraw/zinc/03/94/85/768039485.db2.gz FNCVJSXKOFLOFX-JSGCOSHPSA-N 1 2 324.388 1.616 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc2c1OCCCO2 ZINC001038848786 761471392 /nfs/dbraw/zinc/47/13/92/761471392.db2.gz FDVMZRAQIMSMMP-CQSZACIVSA-N 1 2 314.385 1.675 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc2c1OCCCO2 ZINC001038848786 761471397 /nfs/dbraw/zinc/47/13/97/761471397.db2.gz FDVMZRAQIMSMMP-CQSZACIVSA-N 1 2 314.385 1.675 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(C2CCCCC2)c1 ZINC001038889884 761516319 /nfs/dbraw/zinc/51/63/19/761516319.db2.gz MLDXXMQMHOCWOL-MRXNPFEDSA-N 1 2 300.406 1.826 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(C2CCCCC2)c1 ZINC001038889884 761516322 /nfs/dbraw/zinc/51/63/22/761516322.db2.gz MLDXXMQMHOCWOL-MRXNPFEDSA-N 1 2 300.406 1.826 20 30 DDEDLO Cc1nccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n1 ZINC001039030198 761668384 /nfs/dbraw/zinc/66/83/84/761668384.db2.gz VBALZVHXIJWMTO-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)n1 ZINC001039030198 761668387 /nfs/dbraw/zinc/66/83/87/761668387.db2.gz VBALZVHXIJWMTO-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nc[nH]c1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001039082248 761720409 /nfs/dbraw/zinc/72/04/09/761720409.db2.gz JBFBSZHVQARQFB-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1nc[nH]c1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001039082248 761720414 /nfs/dbraw/zinc/72/04/14/761720414.db2.gz JBFBSZHVQARQFB-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnc(C)c2)C1 ZINC001108293983 761841430 /nfs/dbraw/zinc/84/14/30/761841430.db2.gz RAIWBKABBBWFPY-QGZVFWFLSA-N 1 2 301.390 1.234 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnc(C)c2)C1 ZINC001108293983 761841440 /nfs/dbraw/zinc/84/14/40/761841440.db2.gz RAIWBKABBBWFPY-QGZVFWFLSA-N 1 2 301.390 1.234 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)CC(C)(C)C)CC2=O)C1 ZINC001108576402 762724857 /nfs/dbraw/zinc/72/48/57/762724857.db2.gz SDMFIXUDZNRAMR-UONOGXRCSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@H](C)CC(C)C)CC2=O)C1 ZINC001108586078 762762750 /nfs/dbraw/zinc/76/27/50/762762750.db2.gz ZGLKZWLUJNIQLN-CABCVRRESA-N 1 2 321.465 1.646 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@@H](C)C(C)(C)C)CC2=O)C1 ZINC001108589549 762775882 /nfs/dbraw/zinc/77/58/82/762775882.db2.gz UVOVDJYQKYAMRY-ZIAGYGMSSA-N 1 2 321.465 1.646 20 30 DDEDLO COC(=O)n1ncc(C#N)c1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC001169965328 762779120 /nfs/dbraw/zinc/77/91/20/762779120.db2.gz SECUAABHWLJCDP-UHFFFAOYSA-N 1 2 323.316 1.753 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC001001437903 762864614 /nfs/dbraw/zinc/86/46/14/762864614.db2.gz BLJINKBKNKGCRH-UHFFFAOYSA-N 1 2 322.796 1.228 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC001001437903 762864621 /nfs/dbraw/zinc/86/46/21/762864621.db2.gz BLJINKBKNKGCRH-UHFFFAOYSA-N 1 2 322.796 1.228 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2(CC=C)CCC2)CC1 ZINC001131381065 768095183 /nfs/dbraw/zinc/09/51/83/768095183.db2.gz GXSTZQLCYWVKKQ-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2(CC=C)CCC2)CC1 ZINC001131381065 768095190 /nfs/dbraw/zinc/09/51/90/768095190.db2.gz GXSTZQLCYWVKKQ-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C(C)(C)CC=C)CC1 ZINC001131385955 768098448 /nfs/dbraw/zinc/09/84/48/768098448.db2.gz PTDDPLMQZOBSMU-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C(C)(C)CC=C)CC1 ZINC001131385955 768098456 /nfs/dbraw/zinc/09/84/56/768098456.db2.gz PTDDPLMQZOBSMU-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO Cc1nc(N2CCCN(C(=O)Cc3c[nH+]c[nH]3)CC2)ccc1C#N ZINC001057240290 763033782 /nfs/dbraw/zinc/03/37/82/763033782.db2.gz ZPMXDDWCTUYDBC-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1cncn1)C2 ZINC001108936059 763122525 /nfs/dbraw/zinc/12/25/25/763122525.db2.gz PTKXSEFLYRFWDB-AGIUHOORSA-N 1 2 309.801 1.142 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1cncn1)C2 ZINC001108936059 763122530 /nfs/dbraw/zinc/12/25/30/763122530.db2.gz PTKXSEFLYRFWDB-AGIUHOORSA-N 1 2 309.801 1.142 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1CC ZINC001109046283 763261726 /nfs/dbraw/zinc/26/17/26/763261726.db2.gz MHWLKSDPWYIGME-SNPRPXQTSA-N 1 2 303.410 1.486 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1CC ZINC001109046283 763261730 /nfs/dbraw/zinc/26/17/30/763261730.db2.gz MHWLKSDPWYIGME-SNPRPXQTSA-N 1 2 303.410 1.486 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@H]21 ZINC001041991385 763543967 /nfs/dbraw/zinc/54/39/67/763543967.db2.gz BZUFQLBJPROHSF-GXTWGEPZSA-N 1 2 308.813 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@H]21 ZINC001041991385 763543973 /nfs/dbraw/zinc/54/39/73/763543973.db2.gz BZUFQLBJPROHSF-GXTWGEPZSA-N 1 2 308.813 1.628 20 30 DDEDLO C=CC[N@H+]1CCC(F)(F)[C@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001046953723 768148504 /nfs/dbraw/zinc/14/85/04/768148504.db2.gz JTUVUZZZYOXTPO-LLVKDONJSA-N 1 2 324.375 1.771 20 30 DDEDLO C=CC[N@@H+]1CCC(F)(F)[C@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001046953723 768148507 /nfs/dbraw/zinc/14/85/07/768148507.db2.gz JTUVUZZZYOXTPO-LLVKDONJSA-N 1 2 324.375 1.771 20 30 DDEDLO N#CCN1CC[C@H]2CCN(C(=O)c3cccc4[nH+]ccn43)C[C@H]21 ZINC001042148988 763710117 /nfs/dbraw/zinc/71/01/17/763710117.db2.gz OBNVNDWAOJBYID-DZGCQCFKSA-N 1 2 309.373 1.394 20 30 DDEDLO CN(CCCNc1cccc(F)c1C#N)C(=O)Cn1cc[nH+]c1 ZINC001109472258 763741035 /nfs/dbraw/zinc/74/10/35/763741035.db2.gz CVVMHFUMWQOSKA-UHFFFAOYSA-N 1 2 315.352 1.854 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@@H+](Cc3cn(C)nn3)[C@H]2C1 ZINC001042184843 763750555 /nfs/dbraw/zinc/75/05/55/763750555.db2.gz ZUVWVOLGNZSKTB-ZFWWWQNUSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@H+](Cc3cn(C)nn3)[C@H]2C1 ZINC001042184843 763750560 /nfs/dbraw/zinc/75/05/60/763750560.db2.gz ZUVWVOLGNZSKTB-ZFWWWQNUSA-N 1 2 317.437 1.450 20 30 DDEDLO N#Cc1ccc(NCC2CCN(C(=O)Cc3[nH]cc[nH+]3)CC2)cn1 ZINC001057511592 763882732 /nfs/dbraw/zinc/88/27/32/763882732.db2.gz LAOKMJLYQLVXMV-UHFFFAOYSA-N 1 2 324.388 1.570 20 30 DDEDLO N#Cc1ccc(NCC2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)cn1 ZINC001057544940 763955608 /nfs/dbraw/zinc/95/56/08/763955608.db2.gz KRDUEHZZHKURGQ-UHFFFAOYSA-N 1 2 324.388 1.570 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109819176 764086187 /nfs/dbraw/zinc/08/61/87/764086187.db2.gz YZERHNSNERTWOA-CJNGLKHVSA-N 1 2 304.394 1.419 20 30 DDEDLO C=CCCOCC(=O)N[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109867465 764151162 /nfs/dbraw/zinc/15/11/62/764151162.db2.gz LUPUEPCEQLPUPP-CQSZACIVSA-N 1 2 304.394 1.684 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@H](Nc2ccc(C#N)nc2)C1 ZINC001057676439 764302299 /nfs/dbraw/zinc/30/22/99/764302299.db2.gz OANLPQAABKVCAB-HNNXBMFYSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001057684114 764327455 /nfs/dbraw/zinc/32/74/55/764327455.db2.gz NJSCWVWBSBMQEP-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnn(CCOC)c2C)C1 ZINC001042712249 764379830 /nfs/dbraw/zinc/37/98/30/764379830.db2.gz FPNRXJTWGQXFLM-UHFFFAOYSA-N 1 2 306.410 1.170 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2csc([C@@H](C)OC)n2)C1 ZINC001042722729 764388628 /nfs/dbraw/zinc/38/86/28/764388628.db2.gz BNELWRNZLVYXGO-LLVKDONJSA-N 1 2 307.419 1.630 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(OC)cc1F ZINC001051008191 764412171 /nfs/dbraw/zinc/41/21/71/764412171.db2.gz SFDFRDSKWSPFBB-CYBMUJFWSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(OC)cc1F ZINC001051008191 764412178 /nfs/dbraw/zinc/41/21/78/764412178.db2.gz SFDFRDSKWSPFBB-CYBMUJFWSA-N 1 2 322.380 1.841 20 30 DDEDLO C#CCN1CCOC[C@@H]1CNC(=O)c1c(C)cc(C)[nH+]c1C ZINC001051037992 764442908 /nfs/dbraw/zinc/44/29/08/764442908.db2.gz PVMBCWSDDNVTRS-HNNXBMFYSA-N 1 2 301.390 1.071 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C[C@H]2COc3ccccc3O2)C1 ZINC001042801073 764455495 /nfs/dbraw/zinc/45/54/95/764455495.db2.gz RGMJPNCRBGDIHK-HNNXBMFYSA-N 1 2 316.401 1.935 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccnn2C2CCCC2)C1 ZINC001042817268 764465740 /nfs/dbraw/zinc/46/57/40/764465740.db2.gz PRCZENOSRXFHQO-UHFFFAOYSA-N 1 2 300.406 1.778 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)N(C(C)(C)C)C2)C1 ZINC001042841139 764477508 /nfs/dbraw/zinc/47/75/08/764477508.db2.gz KHTATBPCFHFAGS-ZDUSSCGKSA-N 1 2 307.438 1.352 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(C(C)(C)C)c2C)C1 ZINC001042847185 764480323 /nfs/dbraw/zinc/48/03/23/764480323.db2.gz AUEBDSFSYNFBGY-UHFFFAOYSA-N 1 2 302.422 1.726 20 30 DDEDLO Cc1nc(N2CCC[C@H](N(C)C(=O)[C@@H](C)C#N)CC2)cc[nH+]1 ZINC001112625238 764492160 /nfs/dbraw/zinc/49/21/60/764492160.db2.gz PZFUDMQDEINVFE-JSGCOSHPSA-N 1 2 301.394 1.762 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1coc(C2CC2)n1 ZINC001051152537 764558487 /nfs/dbraw/zinc/55/84/87/764558487.db2.gz ITQYXEDEAIVIEN-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1coc(C2CC2)n1 ZINC001051152537 764558495 /nfs/dbraw/zinc/55/84/95/764558495.db2.gz ITQYXEDEAIVIEN-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(CC(C)C)c1 ZINC001051187745 764597044 /nfs/dbraw/zinc/59/70/44/764597044.db2.gz BTDRKVYGSBRMON-MRXNPFEDSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(CC(C)C)c1 ZINC001051187745 764597051 /nfs/dbraw/zinc/59/70/51/764597051.db2.gz BTDRKVYGSBRMON-MRXNPFEDSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112791221 764779607 /nfs/dbraw/zinc/77/96/07/764779607.db2.gz ZWENVWDLGDIIOJ-AWEZNQCLSA-N 1 2 304.394 1.415 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2COc3ccc(F)cc3C2)C1 ZINC001043335948 764842674 /nfs/dbraw/zinc/84/26/74/764842674.db2.gz FCWAEGKBLSXKOF-ZDUSSCGKSA-N 1 2 304.365 1.705 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2[nH]ccc2C2CC2)CC1 ZINC001112940141 765005635 /nfs/dbraw/zinc/00/56/35/765005635.db2.gz VWJNIHMDKYLOQH-UHFFFAOYSA-N 1 2 303.406 1.853 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C2CCN(CC(F)F)CC2)C1 ZINC001043642530 765022016 /nfs/dbraw/zinc/02/20/16/765022016.db2.gz UXYWQLXZIWOWAT-UHFFFAOYSA-N 1 2 315.408 1.682 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCc3cnn(C)c3C2)C1 ZINC001043643844 765023243 /nfs/dbraw/zinc/02/32/43/765023243.db2.gz ZIGALBFIJDYOGO-CYBMUJFWSA-N 1 2 302.422 1.244 20 30 DDEDLO C[C@@H](Nc1ncccc1C#N)[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001113096328 765255323 /nfs/dbraw/zinc/25/53/23/765255323.db2.gz KADIUCRBLMXDKP-NWDGAFQWSA-N 1 2 312.377 1.235 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C3CCOCC3)C2)CC1 ZINC001051964818 765321188 /nfs/dbraw/zinc/32/11/88/765321188.db2.gz OVQYPHMTGZPMGP-KRWDZBQOSA-N 1 2 321.465 1.208 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cccnc3)C2)CC1 ZINC001051970814 765328235 /nfs/dbraw/zinc/32/82/35/765328235.db2.gz NFMJUFJLTVHLDL-MRXNPFEDSA-N 1 2 300.406 1.100 20 30 DDEDLO C[C@@H](NC(=O)Cc1[nH]cc[nH+]1)[C@H](C)Nc1cccc(F)c1C#N ZINC001113155026 765344682 /nfs/dbraw/zinc/34/46/82/765344682.db2.gz LYAPIBLJTMDRAD-WDEREUQCSA-N 1 2 315.352 1.968 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3CC3(C)C)C2)CC1 ZINC001052013308 765381703 /nfs/dbraw/zinc/38/17/03/765381703.db2.gz VFJPKIJNFQBZTB-JKSUJKDBSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3=CCCC3)C2)CC1 ZINC001052012368 765382570 /nfs/dbraw/zinc/38/25/70/765382570.db2.gz GJBYEADWTFGORR-QGZVFWFLSA-N 1 2 301.434 1.339 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113194174 765391560 /nfs/dbraw/zinc/39/15/60/765391560.db2.gz PGFFUPQPDWCZLG-NEPJUHHUSA-N 1 2 320.441 1.907 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131711965 768289151 /nfs/dbraw/zinc/28/91/51/768289151.db2.gz MLVDAEAFNLWZRK-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131711965 768289156 /nfs/dbraw/zinc/28/91/56/768289156.db2.gz MLVDAEAFNLWZRK-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](C[C@@H](O)CC(F)(F)F)CC1 ZINC001113225974 765435256 /nfs/dbraw/zinc/43/52/56/765435256.db2.gz DYZSSNNLAKQBMS-ZDUSSCGKSA-N 1 2 320.355 1.638 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccc(Cl)[nH]3)C2)CC1 ZINC001052077425 765448748 /nfs/dbraw/zinc/44/87/48/765448748.db2.gz QUZWFHBHMYMOCL-LBPRGKRZSA-N 1 2 321.812 1.024 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](N2CC[NH+](CC#CC)CC2)C1 ZINC001052077453 765450278 /nfs/dbraw/zinc/45/02/78/765450278.db2.gz RHGWJKGIDRCGMO-PKOBYXMFSA-N 1 2 317.477 1.831 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)Cc3ccco3)C2)CC1 ZINC001052098851 765470901 /nfs/dbraw/zinc/47/09/01/765470901.db2.gz CSHRSZIRHVSFRM-INIZCTEOSA-N 1 2 315.417 1.064 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2Cc3cc(C)c(C)cc3O2)C1 ZINC001044322687 765481517 /nfs/dbraw/zinc/48/15/17/765481517.db2.gz NKDFQRCOKXSISA-GOSISDBHSA-N 1 2 312.413 1.773 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H](C)[C@H](C)Nc2cc[nH+]c(C)n2)c1 ZINC001113288958 765529985 /nfs/dbraw/zinc/52/99/85/765529985.db2.gz QJOLWKFDZZBOMS-NWDGAFQWSA-N 1 2 309.373 1.780 20 30 DDEDLO C[C@H](NC(=O)Cc1c[nH]c[nH+]1)[C@H](C)Nc1cccc(F)c1C#N ZINC001113313420 765553775 /nfs/dbraw/zinc/55/37/75/765553775.db2.gz HWHYLXSOELSDLY-QWRGUYRKSA-N 1 2 315.352 1.968 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@H](C)OCc2ccncc2)CC1 ZINC001113360003 765610393 /nfs/dbraw/zinc/61/03/93/765610393.db2.gz NMFNDGHRJQICJC-HNNXBMFYSA-N 1 2 303.406 1.707 20 30 DDEDLO C=CCC[C@H](C)[NH+]1CCN(C(=O)[C@@H]2CCc3[nH]nnc3C2)CC1 ZINC001113532996 765831320 /nfs/dbraw/zinc/83/13/20/765831320.db2.gz OFOZBIFBVUXYJJ-UONOGXRCSA-N 1 2 317.437 1.409 20 30 DDEDLO C=CCC[C@H](C)[NH+]1CCN(C(=O)[C@@H]2CCc3nn[nH]c3C2)CC1 ZINC001113532996 765831326 /nfs/dbraw/zinc/83/13/26/765831326.db2.gz OFOZBIFBVUXYJJ-UONOGXRCSA-N 1 2 317.437 1.409 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)c2cnn[nH]2)CC1 ZINC001052520784 765913842 /nfs/dbraw/zinc/91/38/42/765913842.db2.gz KDPLDMPIDAWJKU-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)c2cnn[nH]2)CC1 ZINC001052520784 765913848 /nfs/dbraw/zinc/91/38/48/765913848.db2.gz KDPLDMPIDAWJKU-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCOc2ccccc2OC)CC1 ZINC001113602421 765931608 /nfs/dbraw/zinc/93/16/08/765931608.db2.gz WMOYWAMMEZCORL-UHFFFAOYSA-N 1 2 304.390 1.794 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2sc(C)nc2C)CC1 ZINC001113614688 765939588 /nfs/dbraw/zinc/93/95/88/765939588.db2.gz WBRSHAPYWGODSM-UHFFFAOYSA-N 1 2 309.435 1.720 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)N(C)c2cc[nH+]c(C)n2)cn1 ZINC001113663758 766000003 /nfs/dbraw/zinc/00/00/03/766000003.db2.gz OWOJHGUFPQMSGG-LBPRGKRZSA-N 1 2 309.373 1.416 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn(C)c2C2CC2)CC1 ZINC001113727172 766074780 /nfs/dbraw/zinc/07/47/80/766074780.db2.gz GFOXNRDJAUEWCK-UHFFFAOYSA-N 1 2 318.421 1.258 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC1CCN(c2ccc(C#N)nc2)CC1 ZINC001057885088 766117712 /nfs/dbraw/zinc/11/77/12/766117712.db2.gz CPWQGXOCCMJUAP-UHFFFAOYSA-N 1 2 324.388 1.014 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001058188247 766295755 /nfs/dbraw/zinc/29/57/55/766295755.db2.gz MZQIQXBJKQTXMH-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO Cc1nc(N(C)[C@H](C)CNC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001113898090 766363322 /nfs/dbraw/zinc/36/33/22/766363322.db2.gz LCPARVIUQVNEOV-LLVKDONJSA-N 1 2 312.377 1.168 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067501650 766593167 /nfs/dbraw/zinc/59/31/67/766593167.db2.gz NHUKRVHPOMLCGJ-UHFFFAOYSA-N 1 2 320.437 1.792 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccc(C#N)cn1 ZINC001067541969 766605094 /nfs/dbraw/zinc/60/50/94/766605094.db2.gz GSGDREQVGSXSHM-HIFRSBDPSA-N 1 2 324.388 1.323 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ncccc1C#N ZINC001067543312 766605640 /nfs/dbraw/zinc/60/56/40/766605640.db2.gz WRZAXVDVBZCCFP-DZGCQCFKSA-N 1 2 324.388 1.323 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114162170 766714754 /nfs/dbraw/zinc/71/47/54/766714754.db2.gz QIBFUKPYDJEBFN-TTZDDIAXSA-N 1 2 315.421 1.116 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114162170 766714759 /nfs/dbraw/zinc/71/47/59/766714759.db2.gz QIBFUKPYDJEBFN-TTZDDIAXSA-N 1 2 315.421 1.116 20 30 DDEDLO N#CCN1CC[C@@]2(C1)CCCCN(C(=O)Cn1cc[nH+]c1)C2 ZINC001045987638 766764094 /nfs/dbraw/zinc/76/40/94/766764094.db2.gz XHFIJTUQWGZGRG-MRXNPFEDSA-N 1 2 301.394 1.111 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC1CC1 ZINC001114252776 766819795 /nfs/dbraw/zinc/81/97/95/766819795.db2.gz CBVSOYUJNSFQMR-DZFIZOCASA-N 1 2 323.371 1.657 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC1CC1 ZINC001114252776 766819806 /nfs/dbraw/zinc/81/98/06/766819806.db2.gz CBVSOYUJNSFQMR-DZFIZOCASA-N 1 2 323.371 1.657 20 30 DDEDLO C[C@@H]1CCN(c2ccncc2C#N)C[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067993883 766861797 /nfs/dbraw/zinc/86/17/97/766861797.db2.gz PRUOIBQXLFKPOR-DOMZBBRYSA-N 1 2 324.388 1.250 20 30 DDEDLO C=Cc1ccc(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)cc1 ZINC001046063602 766879119 /nfs/dbraw/zinc/87/91/19/766879119.db2.gz SEOVNPSMSARWCW-SFHVURJKSA-N 1 2 324.428 1.685 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)[C@H]1CCSC1 ZINC001121607627 782589303 /nfs/dbraw/zinc/58/93/03/782589303.db2.gz APJWRZZJUNUCIS-AVGNSLFASA-N 1 2 323.466 1.143 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)[C@H]1CCSC1 ZINC001121607627 782589316 /nfs/dbraw/zinc/58/93/16/782589316.db2.gz APJWRZZJUNUCIS-AVGNSLFASA-N 1 2 323.466 1.143 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)[C@H](C)CC ZINC001121610140 782590739 /nfs/dbraw/zinc/59/07/39/782590739.db2.gz QGNPOQTWXWRESM-MCIONIFRSA-N 1 2 307.442 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)[C@H](C)CC ZINC001121610140 782590747 /nfs/dbraw/zinc/59/07/47/782590747.db2.gz QGNPOQTWXWRESM-MCIONIFRSA-N 1 2 307.442 1.826 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CC[C@](C)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001046223445 767209620 /nfs/dbraw/zinc/20/96/20/767209620.db2.gz LDFJIWGFJBIUKZ-PXAZEXFGSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CC[C@](C)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001046223445 767209627 /nfs/dbraw/zinc/20/96/27/767209627.db2.gz LDFJIWGFJBIUKZ-PXAZEXFGSA-N 1 2 324.388 1.632 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@](C)(NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001046443320 767578541 /nfs/dbraw/zinc/57/85/41/767578541.db2.gz XRLQAHIATLTCQS-QGZVFWFLSA-N 1 2 300.406 1.506 20 30 DDEDLO CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001046443320 767578544 /nfs/dbraw/zinc/57/85/44/767578544.db2.gz XRLQAHIATLTCQS-QGZVFWFLSA-N 1 2 300.406 1.506 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cn(C)nc2OC)C1 ZINC001046538658 767667883 /nfs/dbraw/zinc/66/78/83/767667883.db2.gz NEJOAASZQDUCSN-AWEZNQCLSA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cn(C)nc2OC)C1 ZINC001046538658 767667887 /nfs/dbraw/zinc/66/78/87/767667887.db2.gz NEJOAASZQDUCSN-AWEZNQCLSA-N 1 2 312.801 1.375 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCn1cc[nH+]c1 ZINC001068927325 767703855 /nfs/dbraw/zinc/70/38/55/767703855.db2.gz DNKKZYUUUVVMPY-HIFRSBDPSA-N 1 2 324.388 1.641 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@@H]2C)n1 ZINC001068963255 767730737 /nfs/dbraw/zinc/73/07/37/767730737.db2.gz QPTHJGCHIXNJTH-DOMZBBRYSA-N 1 2 324.388 1.629 20 30 DDEDLO CN(C(=O)C=C1CCC1)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047345796 768417283 /nfs/dbraw/zinc/41/72/83/768417283.db2.gz XBDBVKLJPUXHKO-OALUTQOASA-N 1 2 324.424 1.652 20 30 DDEDLO CN(C(=O)C=C1CCC1)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047345796 768417287 /nfs/dbraw/zinc/41/72/87/768417287.db2.gz XBDBVKLJPUXHKO-OALUTQOASA-N 1 2 324.424 1.652 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+]Cc1nc(CCC)no1 ZINC001131866839 768443039 /nfs/dbraw/zinc/44/30/39/768443039.db2.gz DGJOZLAHULGJNQ-INIZCTEOSA-N 1 2 324.425 1.457 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+]Cc1nc(C2CC2)no1 ZINC001131867818 768444021 /nfs/dbraw/zinc/44/40/21/768444021.db2.gz WJBLATBIUZFXIL-MRXNPFEDSA-N 1 2 322.409 1.382 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C)nc2C2CC2)C1 ZINC001047474969 768503609 /nfs/dbraw/zinc/50/36/09/768503609.db2.gz XNTXOFRRINMRFD-HOTGVXAUSA-N 1 2 313.401 1.018 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C)nc2C2CC2)C1 ZINC001047474969 768503613 /nfs/dbraw/zinc/50/36/13/768503613.db2.gz XNTXOFRRINMRFD-HOTGVXAUSA-N 1 2 313.401 1.018 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C#CC(C)(C)C)C[N@@H+]1Cc1cnnn1C ZINC001132033782 768579420 /nfs/dbraw/zinc/57/94/20/768579420.db2.gz KZMOJSWZVNIYDW-KBPBESRZSA-N 1 2 317.437 1.334 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C#CC(C)(C)C)C[N@H+]1Cc1cnnn1C ZINC001132033782 768579425 /nfs/dbraw/zinc/57/94/25/768579425.db2.gz KZMOJSWZVNIYDW-KBPBESRZSA-N 1 2 317.437 1.334 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132065631 768615097 /nfs/dbraw/zinc/61/50/97/768615097.db2.gz WXPOMZMLMCAOPE-ZIAGYGMSSA-N 1 2 322.453 1.277 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132065631 768615099 /nfs/dbraw/zinc/61/50/99/768615099.db2.gz WXPOMZMLMCAOPE-ZIAGYGMSSA-N 1 2 322.453 1.277 20 30 DDEDLO C=CCOCC[N@H+]1C[C@H](NC(=O)c2[nH]ncc2F)CC[C@H]1C ZINC001132272813 768730143 /nfs/dbraw/zinc/73/01/43/768730143.db2.gz BYGIRQBCUPYEJQ-VXGBXAGGSA-N 1 2 310.373 1.334 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@H](NC(=O)c2[nH]ncc2F)CC[C@H]1C ZINC001132272813 768730145 /nfs/dbraw/zinc/73/01/45/768730145.db2.gz BYGIRQBCUPYEJQ-VXGBXAGGSA-N 1 2 310.373 1.334 20 30 DDEDLO CCCC1(C(=O)N[C@H]2CC[C@H](C)[N@H+](CC(=O)NCC#N)C2)CC1 ZINC001132304928 768747879 /nfs/dbraw/zinc/74/78/79/768747879.db2.gz LIXQKMVWPTXIAG-KBPBESRZSA-N 1 2 320.437 1.176 20 30 DDEDLO CCCC1(C(=O)N[C@H]2CC[C@H](C)[N@@H+](CC(=O)NCC#N)C2)CC1 ZINC001132304928 768747883 /nfs/dbraw/zinc/74/78/83/768747883.db2.gz LIXQKMVWPTXIAG-KBPBESRZSA-N 1 2 320.437 1.176 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCCCC(N)=O)CC[C@@H]1C ZINC001132419969 768816551 /nfs/dbraw/zinc/81/65/51/768816551.db2.gz KYGDAPQQDVQJBV-STQMWFEESA-N 1 2 315.845 1.754 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCCCC(N)=O)CC[C@@H]1C ZINC001132419969 768816563 /nfs/dbraw/zinc/81/65/63/768816563.db2.gz KYGDAPQQDVQJBV-STQMWFEESA-N 1 2 315.845 1.754 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)COCCCOC)CC[C@H]1C ZINC001132410647 768823758 /nfs/dbraw/zinc/82/37/58/768823758.db2.gz MSBRAHFCVIQPEP-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)COCCCOC)CC[C@H]1C ZINC001132410647 768823769 /nfs/dbraw/zinc/82/37/69/768823769.db2.gz MSBRAHFCVIQPEP-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)CSC)C[C@H](C)O2 ZINC001071131204 768989736 /nfs/dbraw/zinc/98/97/36/768989736.db2.gz BTNQEMJOJPQGGE-JSGCOSHPSA-N 1 2 318.870 1.794 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)CSC)C[C@H](C)O2 ZINC001071131204 768989731 /nfs/dbraw/zinc/98/97/31/768989731.db2.gz BTNQEMJOJPQGGE-JSGCOSHPSA-N 1 2 318.870 1.794 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1nc(CC(C)C)no1 ZINC001132568724 768991068 /nfs/dbraw/zinc/99/10/68/768991068.db2.gz CSNIKFZAFYALKR-QWHCGFSZSA-N 1 2 308.426 1.932 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001132593171 769015019 /nfs/dbraw/zinc/01/50/19/769015019.db2.gz ULDRMAIRDMBUDL-CYBMUJFWSA-N 1 2 322.409 1.567 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@H]1C ZINC001071499079 769519970 /nfs/dbraw/zinc/51/99/70/769519970.db2.gz XVDAIIXQGIFYDK-ZYHUDNBSSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@H]1C ZINC001071499079 769519975 /nfs/dbraw/zinc/51/99/75/769519975.db2.gz XVDAIIXQGIFYDK-ZYHUDNBSSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cnn(C)c2C)CC[C@@H]1C ZINC001071557969 769621002 /nfs/dbraw/zinc/62/10/02/769621002.db2.gz XSDDVGRXMVQVDW-SWLSCSKDSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cnn(C)c2C)CC[C@@H]1C ZINC001071557969 769621009 /nfs/dbraw/zinc/62/10/09/769621009.db2.gz XSDDVGRXMVQVDW-SWLSCSKDSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@H]1C ZINC001071593586 769679939 /nfs/dbraw/zinc/67/99/39/769679939.db2.gz DLLSLARECDMXLW-ZYHUDNBSSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@H]1C ZINC001071593586 769679946 /nfs/dbraw/zinc/67/99/46/769679946.db2.gz DLLSLARECDMXLW-ZYHUDNBSSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccn(C)c(=O)c2)CC[C@@H]1C ZINC001071613088 769719404 /nfs/dbraw/zinc/71/94/04/769719404.db2.gz RKCWWZBGTQSAET-JSGCOSHPSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccn(C)c(=O)c2)CC[C@@H]1C ZINC001071613088 769719412 /nfs/dbraw/zinc/71/94/12/769719412.db2.gz RKCWWZBGTQSAET-JSGCOSHPSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ncc(OC)cn2)CC[C@H]1C ZINC001071674742 769842626 /nfs/dbraw/zinc/84/26/26/769842626.db2.gz ZBCJSOFJTHWQQS-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ncc(OC)cn2)CC[C@H]1C ZINC001071674742 769842635 /nfs/dbraw/zinc/84/26/35/769842635.db2.gz ZBCJSOFJTHWQQS-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2[nH+]ccn2C)C1 ZINC001071787222 770071874 /nfs/dbraw/zinc/07/18/74/770071874.db2.gz MWZXJFDQBLTMBL-ZIAGYGMSSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001071995950 770406699 /nfs/dbraw/zinc/40/66/99/770406699.db2.gz NSCAVVFQGKMAHM-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)n1 ZINC001049382186 770762023 /nfs/dbraw/zinc/76/20/23/770762023.db2.gz NCSJGNHQFTZGQY-KGYLQXTDSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)n1 ZINC001049382186 770762027 /nfs/dbraw/zinc/76/20/27/770762027.db2.gz NCSJGNHQFTZGQY-KGYLQXTDSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049467639 770890959 /nfs/dbraw/zinc/89/09/59/770890959.db2.gz PLFALKMPKPRUCT-GJZGRUSLSA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049467639 770890974 /nfs/dbraw/zinc/89/09/74/770890974.db2.gz PLFALKMPKPRUCT-GJZGRUSLSA-N 1 2 314.389 1.357 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H]2CCCN(C(=O)COC)[C@@H]2C1 ZINC001049942695 771297106 /nfs/dbraw/zinc/29/71/06/771297106.db2.gz URVOQTGUSJFXLL-NWDGAFQWSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H]2CCCN(C(=O)COC)[C@@H]2C1 ZINC001049942695 771297113 /nfs/dbraw/zinc/29/71/13/771297113.db2.gz URVOQTGUSJFXLL-NWDGAFQWSA-N 1 2 317.227 1.464 20 30 DDEDLO N#Cc1ccc(N[C@H](CNC(=O)Cn2cc[nH+]c2)C2CC2)cn1 ZINC001096683377 771391572 /nfs/dbraw/zinc/39/15/72/771391572.db2.gz KQJLQZHLMOHSSC-OAHLLOKOSA-N 1 2 310.361 1.157 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@H](Nc2ccncc2C#N)C1 ZINC001097105891 771625582 /nfs/dbraw/zinc/62/55/82/771625582.db2.gz FOAXBACSHULGIY-MRVWCRGKSA-N 1 2 324.388 1.036 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@H](Nc2ccncc2C#N)C1 ZINC001097105891 771625584 /nfs/dbraw/zinc/62/55/84/771625584.db2.gz FOAXBACSHULGIY-MRVWCRGKSA-N 1 2 324.388 1.036 20 30 DDEDLO C[C@@H](CC(=O)N[C@H]1C[C@H](Nc2ccc(C#N)nc2)C1)n1cc[nH+]c1 ZINC001097105445 771625629 /nfs/dbraw/zinc/62/56/29/771625629.db2.gz VOBIYVYONMKNRD-RCBQFDQVSA-N 1 2 324.388 1.860 20 30 DDEDLO Cc1nc(N2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)c(C)c(C)[nH+]1 ZINC001090704877 772132477 /nfs/dbraw/zinc/13/24/77/772132477.db2.gz CTVXRLYZDHPCKD-BDJLRTHQSA-N 1 2 312.417 1.756 20 30 DDEDLO C#CC(=O)N1CC[NH+](CCCC(=O)c2ccc(F)cc2)CC1 ZINC001143908051 772336588 /nfs/dbraw/zinc/33/65/88/772336588.db2.gz CHZCPYRYIIICON-UHFFFAOYSA-N 1 2 302.349 1.566 20 30 DDEDLO N#Cc1cncc(C[N@H+]2CCC[C@@H](NC(=O)c3ccccn3)C2)c1 ZINC001144084607 772399810 /nfs/dbraw/zinc/39/98/10/772399810.db2.gz IMGOLLYMLJPSRU-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccccn3)C2)c1 ZINC001144084607 772399817 /nfs/dbraw/zinc/39/98/17/772399817.db2.gz IMGOLLYMLJPSRU-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO C#CC[NH+]1CCN(Cc2nc(OC)ccc2Br)CC1 ZINC001144609752 772576949 /nfs/dbraw/zinc/57/69/49/772576949.db2.gz MUFSMMUAZQIQAU-UHFFFAOYSA-N 1 2 324.222 1.604 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N[C@H]1C[C@H](CNC(=O)C#CC2CC2)C1 ZINC001091455761 772775322 /nfs/dbraw/zinc/77/53/22/772775322.db2.gz SSTILAJNFWCSSC-JOCQHMNTSA-N 1 2 324.388 1.083 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CN(C(=O)Cc3ccn[nH]3)C[C@]2(C)C1 ZINC001091558668 772864156 /nfs/dbraw/zinc/86/41/56/772864156.db2.gz FKGFJOXCRFJBJO-DOMZBBRYSA-N 1 2 308.813 1.485 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CN(C(=O)Cc3ccn[nH]3)C[C@]2(C)C1 ZINC001091558668 772864157 /nfs/dbraw/zinc/86/41/57/772864157.db2.gz FKGFJOXCRFJBJO-DOMZBBRYSA-N 1 2 308.813 1.485 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C)o1)C2 ZINC001147441151 773147404 /nfs/dbraw/zinc/14/74/04/773147404.db2.gz RZJLQARZEUTFCU-MRXNPFEDSA-N 1 2 319.405 1.344 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C)o1)C2 ZINC001147441151 773147406 /nfs/dbraw/zinc/14/74/06/773147406.db2.gz RZJLQARZEUTFCU-MRXNPFEDSA-N 1 2 319.405 1.344 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cc(C)on1)C2 ZINC001147530711 773169985 /nfs/dbraw/zinc/16/99/85/773169985.db2.gz PZCLAUHMZCGMKU-CQSZACIVSA-N 1 2 317.389 1.056 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cc(C)on1)C2 ZINC001147530711 773169987 /nfs/dbraw/zinc/16/99/87/773169987.db2.gz PZCLAUHMZCGMKU-CQSZACIVSA-N 1 2 317.389 1.056 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001148124636 773372189 /nfs/dbraw/zinc/37/21/89/773372189.db2.gz FOCIAUDHUPMUED-CYBMUJFWSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001148124636 773372194 /nfs/dbraw/zinc/37/21/94/773372194.db2.gz FOCIAUDHUPMUED-CYBMUJFWSA-N 1 2 304.394 1.706 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001073917250 773523646 /nfs/dbraw/zinc/52/36/46/773523646.db2.gz XZGADJZLXOXUGO-ZFWWWQNUSA-N 1 2 316.405 1.109 20 30 DDEDLO C[C@H](C#N)c1cccc(C(=O)NCC2([NH+]3CCCC3)COC2)c1 ZINC001148622328 773555003 /nfs/dbraw/zinc/55/50/03/773555003.db2.gz LFVVLWCHMFKCQR-CQSZACIVSA-N 1 2 313.401 1.908 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001074036097 773599401 /nfs/dbraw/zinc/59/94/01/773599401.db2.gz IXDNHDBIVJERDY-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001074101368 773631856 /nfs/dbraw/zinc/63/18/56/773631856.db2.gz MEBNTRMVMHPTAJ-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)no3)C[C@@H]21 ZINC001074170419 773691720 /nfs/dbraw/zinc/69/17/20/773691720.db2.gz NFRQRSXUPWAJGT-UONOGXRCSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)no3)C[C@@H]21 ZINC001074170419 773691725 /nfs/dbraw/zinc/69/17/25/773691725.db2.gz NFRQRSXUPWAJGT-UONOGXRCSA-N 1 2 305.378 1.474 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)CNC(=O)CCc2c[nH]c[nH+]2)n1 ZINC001098344459 773796998 /nfs/dbraw/zinc/79/69/98/773796998.db2.gz NBXSEBAQKVJDMQ-LBPRGKRZSA-N 1 2 312.377 1.534 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)CNC(=O)CCc2c[nH+]c[nH]2)n1 ZINC001098344459 773797001 /nfs/dbraw/zinc/79/70/01/773797001.db2.gz NBXSEBAQKVJDMQ-LBPRGKRZSA-N 1 2 312.377 1.534 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CC4(CCC4)C3)C[C@@H]21 ZINC001074314242 773816444 /nfs/dbraw/zinc/81/64/44/773816444.db2.gz BNJKGXXFYLCWLU-IRXDYDNUSA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CC4(CCC4)C3)C[C@@H]21 ZINC001074314242 773816449 /nfs/dbraw/zinc/81/64/49/773816449.db2.gz BNJKGXXFYLCWLU-IRXDYDNUSA-N 1 2 316.445 1.892 20 30 DDEDLO Cc1ccc(N[C@@H]2C(=O)N(O)C[C@@H]2C)c(C[NH+]2CCOCC2)c1 ZINC001171368550 773825926 /nfs/dbraw/zinc/82/59/26/773825926.db2.gz IZWAHJDBSWOTQC-BBRMVZONSA-N 1 2 319.405 1.475 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3=CCOCC3)C[C@@H]21 ZINC001074333492 773829812 /nfs/dbraw/zinc/82/98/12/773829812.db2.gz COYOZOZFDVVVSX-DLBZAZTESA-N 1 2 320.433 1.601 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC3=CCOCC3)C[C@@H]21 ZINC001074333492 773829816 /nfs/dbraw/zinc/82/98/16/773829816.db2.gz COYOZOZFDVVVSX-DLBZAZTESA-N 1 2 320.433 1.601 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]c(C)nc3C)C[C@@H]21 ZINC001074341703 773838488 /nfs/dbraw/zinc/83/84/88/773838488.db2.gz WJFRVTWRMMNGSW-LSDHHAIUSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]c(C)nc3C)C[C@@H]21 ZINC001074341703 773838493 /nfs/dbraw/zinc/83/84/93/773838493.db2.gz WJFRVTWRMMNGSW-LSDHHAIUSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccco3)C[C@H]21 ZINC001074366001 773858133 /nfs/dbraw/zinc/85/81/33/773858133.db2.gz MOACDZMPMCFOGN-CVEARBPZSA-N 1 2 302.374 1.147 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccco3)C[C@H]21 ZINC001074366001 773858135 /nfs/dbraw/zinc/85/81/35/773858135.db2.gz MOACDZMPMCFOGN-CVEARBPZSA-N 1 2 302.374 1.147 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(c2[nH+]cnc3c2cnn3C)[C@@H]1C ZINC001091937943 773881347 /nfs/dbraw/zinc/88/13/47/773881347.db2.gz BULDPHYDKAANAA-YPMHNXCESA-N 1 2 314.393 1.413 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccc[nH]3)C[C@H]21 ZINC001074413372 773908379 /nfs/dbraw/zinc/90/83/79/773908379.db2.gz SEANJYGPGGQWIX-HZPDHXFCSA-N 1 2 303.406 1.435 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccc[nH]3)C[C@H]21 ZINC001074413372 773908381 /nfs/dbraw/zinc/90/83/81/773908381.db2.gz SEANJYGPGGQWIX-HZPDHXFCSA-N 1 2 303.406 1.435 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cncc(C)c1)c1nccn12 ZINC001092356665 774072257 /nfs/dbraw/zinc/07/22/57/774072257.db2.gz KHQHXVMUTJIYQY-HNNXBMFYSA-N 1 2 323.400 1.658 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1CCOCC1)c1nccn12 ZINC001092341945 774090980 /nfs/dbraw/zinc/09/09/80/774090980.db2.gz GKNGWPDEQBFBOQ-AWEZNQCLSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccncc1)c1nccn12 ZINC001092342910 774092483 /nfs/dbraw/zinc/09/24/83/774092483.db2.gz YZWBFJIZZHDDTQ-CQSZACIVSA-N 1 2 309.373 1.350 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@]13C[C@H]1CCC3)c1nccn12 ZINC001092383796 774094750 /nfs/dbraw/zinc/09/47/50/774094750.db2.gz PNILBOWRFSVIKR-ZRGWGRIASA-N 1 2 324.428 1.669 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC13CCC3)c1nccn12 ZINC001092399215 774101419 /nfs/dbraw/zinc/10/14/19/774101419.db2.gz AZGVJKCTNTXMII-KBPBESRZSA-N 1 2 312.417 1.831 20 30 DDEDLO C[C@@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)Nc1ccncc1C#N ZINC001098379993 774555003 /nfs/dbraw/zinc/55/50/03/774555003.db2.gz LYLFRSPPSLLNPO-NSHDSACASA-N 1 2 320.356 1.482 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCCN(C(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001098689474 774643000 /nfs/dbraw/zinc/64/30/00/774643000.db2.gz CFNSWEYTACZUHG-HNNXBMFYSA-N 1 2 300.406 1.872 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC(F)(F)F)CC2)C1 ZINC001093511878 774758989 /nfs/dbraw/zinc/75/89/89/774758989.db2.gz GFSYYSJTOCTSDZ-UHFFFAOYSA-N 1 2 306.328 1.817 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC3CCOCC3)CC2)C1 ZINC001093519584 774770104 /nfs/dbraw/zinc/77/01/04/774770104.db2.gz QPQSLIAQUACILI-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H](OC)C3CC3)CC2)C1 ZINC001093532504 774786373 /nfs/dbraw/zinc/78/63/73/774786373.db2.gz BONFNEHOPVNJCL-QGZVFWFLSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CC3(F)F)CC2)C1 ZINC001093533654 774787490 /nfs/dbraw/zinc/78/74/90/774787490.db2.gz UAOBLKSJIPWSLJ-ZDUSSCGKSA-N 1 2 300.349 1.519 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCNc1nc(C)[nH+]c2c1CCCC2 ZINC001093572624 774844934 /nfs/dbraw/zinc/84/49/34/774844934.db2.gz VGDMPLFCWLTWRQ-KRWDZBQOSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3OCC[C@H]3C)CC2)C1 ZINC001093574184 774847645 /nfs/dbraw/zinc/84/76/45/774847645.db2.gz QTIWLPHKRBHFSA-PBHICJAKSA-N 1 2 322.449 1.679 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC[C@H](C)Nc1ccc(C#N)nc1 ZINC001099361468 774963122 /nfs/dbraw/zinc/96/31/22/774963122.db2.gz GMYDTUOAGKOKBA-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO Cc1nsc(N[C@@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001099404829 774981508 /nfs/dbraw/zinc/98/15/08/774981508.db2.gz JWYBTYLAWSUZEE-VIFPVBQESA-N 1 2 318.406 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CN(C(=O)Cc3ncn[nH]3)CC[C@H]21 ZINC001036865068 774989982 /nfs/dbraw/zinc/98/99/82/774989982.db2.gz IANAOYXIWQYYKX-NWDGAFQWSA-N 1 2 309.801 1.023 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CN(C(=O)Cc3ncn[nH]3)CC[C@H]21 ZINC001036865068 774989984 /nfs/dbraw/zinc/98/99/84/774989984.db2.gz IANAOYXIWQYYKX-NWDGAFQWSA-N 1 2 309.801 1.023 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001099615993 775068712 /nfs/dbraw/zinc/06/87/12/775068712.db2.gz BZWSOIKVAHWURY-LLVKDONJSA-N 1 2 316.409 1.882 20 30 DDEDLO C=CCC1(C(=O)NCCNc2cc[nH+]c(C)n2)CCOCC1 ZINC001093780691 775075204 /nfs/dbraw/zinc/07/52/04/775075204.db2.gz YGWIBYNTEXYHIO-UHFFFAOYSA-N 1 2 304.394 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2ccco2)[C@@H](O)C1 ZINC001099653866 775100629 /nfs/dbraw/zinc/10/06/29/775100629.db2.gz ICIXIMGYWBPRIA-KGLIPLIRSA-N 1 2 312.797 1.516 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2ccco2)[C@@H](O)C1 ZINC001099653866 775100633 /nfs/dbraw/zinc/10/06/33/775100633.db2.gz ICIXIMGYWBPRIA-KGLIPLIRSA-N 1 2 312.797 1.516 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[C@@H](C)Nc2cc[nH+]c(C)n2)c1 ZINC001099673415 775122380 /nfs/dbraw/zinc/12/23/80/775122380.db2.gz ZLQJKJKQWALOOM-GFCCVEGCSA-N 1 2 309.373 1.782 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCCNc3ccncc3C#N)ccn12 ZINC001093888213 775187554 /nfs/dbraw/zinc/18/75/54/775187554.db2.gz UPTHFCGHEWUVST-UHFFFAOYSA-N 1 2 320.356 1.173 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001094051444 775375444 /nfs/dbraw/zinc/37/54/44/775375444.db2.gz WVOXVUYGNCCHHR-NSHDSACASA-N 1 2 302.382 1.494 20 30 DDEDLO Cc1cc(NCCNC(=O)c2cc3c[nH+]ccc3[nH]2)c(C#N)cn1 ZINC001094236643 775573244 /nfs/dbraw/zinc/57/32/44/775573244.db2.gz WIODHYCNIYATNM-UHFFFAOYSA-N 1 2 320.356 1.402 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3[C@@H]4CCCC[C@@H]43)nn2)C1 ZINC001094281182 775638720 /nfs/dbraw/zinc/63/87/20/775638720.db2.gz MUUBEHJBYSAZBI-ZSHCYNCHSA-N 1 2 315.421 1.373 20 30 DDEDLO Cc1nsc(NCCNC(=O)CCc2[nH+]ccn2C)c1C#N ZINC001094302233 775653337 /nfs/dbraw/zinc/65/33/37/775653337.db2.gz UAEUGWBDKBDENQ-UHFFFAOYSA-N 1 2 318.406 1.218 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCC3(C)C)nn2)C1 ZINC001094310801 775664848 /nfs/dbraw/zinc/66/48/48/775664848.db2.gz STNUUVXIEWFFGY-HNNXBMFYSA-N 1 2 317.437 1.763 20 30 DDEDLO C#CCCCCC(=O)NCC1CC([NH2+]Cc2noc(CC)n2)C1 ZINC001100259668 775903073 /nfs/dbraw/zinc/90/30/73/775903073.db2.gz QQKBTLLUTFIPRV-UHFFFAOYSA-N 1 2 318.421 1.810 20 30 DDEDLO N#Cc1cccnc1NCCCNC(=O)CCCn1cc[nH+]c1 ZINC001094470067 775919345 /nfs/dbraw/zinc/91/93/45/775919345.db2.gz MSSWUVJZBCPXSV-UHFFFAOYSA-N 1 2 312.377 1.548 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100276076 775924281 /nfs/dbraw/zinc/92/42/81/775924281.db2.gz ROQRTAWIKWEZBG-LBPRGKRZSA-N 1 2 316.409 1.518 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)C3CCCC3)CC2=O)C1 ZINC001094806252 776305119 /nfs/dbraw/zinc/30/51/19/776305119.db2.gz KMJYSPNQKTUUNX-ZFWWWQNUSA-N 1 2 319.449 1.400 20 30 DDEDLO C[C@H](CCCCNCC#N)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001171596037 776362058 /nfs/dbraw/zinc/36/20/58/776362058.db2.gz IZZLJPDVNYQNSH-KGLIPLIRSA-N 1 2 303.410 1.234 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100974040 776782034 /nfs/dbraw/zinc/78/20/34/776782034.db2.gz NIKGNRJQYKZENJ-BBRMVZONSA-N 1 2 304.394 1.055 20 30 DDEDLO N#Cc1cccnc1N1CC[C@@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001101069262 776876089 /nfs/dbraw/zinc/87/60/89/776876089.db2.gz PAEKDUSLBRBQNO-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO N#Cc1cccnc1N1CC[C@@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001101069262 776876095 /nfs/dbraw/zinc/87/60/95/776876095.db2.gz PAEKDUSLBRBQNO-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO COC(=O)[C@H](C)[NH2+]C1CCN(C(=O)c2ccc(C#N)cc2)CC1 ZINC001173423000 777182172 /nfs/dbraw/zinc/18/21/72/777182172.db2.gz BDJOTXBGLYDVOW-LBPRGKRZSA-N 1 2 315.373 1.314 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn[nH]1)C2 ZINC001095196577 777213558 /nfs/dbraw/zinc/21/35/58/777213558.db2.gz OPHRSJSXNXSOIW-PVAVHDDUSA-N 1 2 321.384 1.817 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn[nH]1)C2 ZINC001095196577 777213565 /nfs/dbraw/zinc/21/35/65/777213565.db2.gz OPHRSJSXNXSOIW-PVAVHDDUSA-N 1 2 321.384 1.817 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CCCOC)c1nccn12 ZINC001101609912 777299517 /nfs/dbraw/zinc/29/95/17/777299517.db2.gz BDKGJFRGYCVKAJ-ZDUSSCGKSA-N 1 2 304.394 1.068 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C/C1CC1)c1nccn12 ZINC001101620183 777313639 /nfs/dbraw/zinc/31/36/39/777313639.db2.gz DRYGYBIUYPJKLM-LFAOLKIESA-N 1 2 310.401 1.445 20 30 DDEDLO N#Cc1cc(F)cc(Nc2cnn(CC[NH+]3CCOCC3)c2)c1 ZINC001174491745 777485864 /nfs/dbraw/zinc/48/58/64/777485864.db2.gz SCIIFIHFKJRYBZ-UHFFFAOYSA-N 1 2 315.352 1.970 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101928998 777691139 /nfs/dbraw/zinc/69/11/39/777691139.db2.gz IZSJCGSMHACHNB-MRXNPFEDSA-N 1 2 316.409 1.836 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCCN(c1cc[nH+]c(C)n1)C(C)C ZINC001102119919 777886428 /nfs/dbraw/zinc/88/64/28/777886428.db2.gz IHJACIRMSPLWCK-CQSZACIVSA-N 1 2 318.421 1.544 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2c1cc(C)[nH+]c(CC)n1 ZINC001102551720 778204546 /nfs/dbraw/zinc/20/45/46/778204546.db2.gz YNKRPOLZEIWVHE-GJZGRUSLSA-N 1 2 312.417 1.940 20 30 DDEDLO C=CCOC(=O)[C@H](Cc1ccccc1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001176836121 778267675 /nfs/dbraw/zinc/26/76/75/778267675.db2.gz CADXEANJARJCHW-HNNXBMFYSA-N 1 2 313.357 1.409 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCC)C[C@H]21 ZINC001176917442 778340104 /nfs/dbraw/zinc/34/01/04/778340104.db2.gz IQKHRDRJZNDFJO-HZPDHXFCSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCC)C[C@H]21 ZINC001176917442 778340113 /nfs/dbraw/zinc/34/01/13/778340113.db2.gz IQKHRDRJZNDFJO-HZPDHXFCSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC3(COC)CC3)C[C@H]21 ZINC001177014011 778393708 /nfs/dbraw/zinc/39/37/08/778393708.db2.gz WYLRIPJKJWOJDD-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3(COC)CC3)C[C@H]21 ZINC001177014011 778393714 /nfs/dbraw/zinc/39/37/14/778393714.db2.gz WYLRIPJKJWOJDD-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC(C)(F)F)C[C@@H]21 ZINC001177041449 778404516 /nfs/dbraw/zinc/40/45/16/778404516.db2.gz HUJZHNHOWQDGOP-UONOGXRCSA-N 1 2 314.376 1.747 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC(C)(F)F)C[C@@H]21 ZINC001177041449 778404521 /nfs/dbraw/zinc/40/45/21/778404521.db2.gz HUJZHNHOWQDGOP-UONOGXRCSA-N 1 2 314.376 1.747 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3(COC)CCC3)C[C@@H]21 ZINC001177050162 778412817 /nfs/dbraw/zinc/41/28/17/778412817.db2.gz YENQPKYTCFGWQB-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3(COC)CCC3)C[C@@H]21 ZINC001177050162 778412821 /nfs/dbraw/zinc/41/28/21/778412821.db2.gz YENQPKYTCFGWQB-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3(COC)CC3)C[C@H]21 ZINC001177054936 778415713 /nfs/dbraw/zinc/41/57/13/778415713.db2.gz FASRAVASOKSCCX-CABCVRRESA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3(COC)CC3)C[C@H]21 ZINC001177054936 778415716 /nfs/dbraw/zinc/41/57/16/778415716.db2.gz FASRAVASOKSCCX-CABCVRRESA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)COC)C[C@@H]21 ZINC001177054909 778416264 /nfs/dbraw/zinc/41/62/64/778416264.db2.gz DONUJNGSIXERFJ-HOTGVXAUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)COC)C[C@@H]21 ZINC001177054909 778416270 /nfs/dbraw/zinc/41/62/70/778416270.db2.gz DONUJNGSIXERFJ-HOTGVXAUSA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@H]2OCC[N@@H+](CCCO)[C@@H]2C1 ZINC001177060883 778420348 /nfs/dbraw/zinc/42/03/48/778420348.db2.gz GPDBRBOAGALJMZ-IAGOWNOFSA-N 1 2 322.449 1.254 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@H]2OCC[N@H+](CCCO)[C@@H]2C1 ZINC001177060883 778420351 /nfs/dbraw/zinc/42/03/51/778420351.db2.gz GPDBRBOAGALJMZ-IAGOWNOFSA-N 1 2 322.449 1.254 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CCC)CC3)C[C@@H]21 ZINC001177093604 778443825 /nfs/dbraw/zinc/44/38/25/778443825.db2.gz HGDPRCXNAAENDA-JKSUJKDBSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CCC)CC3)C[C@@H]21 ZINC001177093604 778443828 /nfs/dbraw/zinc/44/38/28/778443828.db2.gz HGDPRCXNAAENDA-JKSUJKDBSA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102868881 778462886 /nfs/dbraw/zinc/46/28/86/778462886.db2.gz KQFLURJIZLCJOY-OAHLLOKOSA-N 1 2 318.421 1.853 20 30 DDEDLO C=CCCOCC(=O)NCCC[NH2+]Cc1noc(CCC)n1 ZINC001177264774 778522853 /nfs/dbraw/zinc/52/28/53/778522853.db2.gz YXZPVEKOYXAPJG-UHFFFAOYSA-N 1 2 310.398 1.211 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H](CC)Nc2cc[nH+]c(C)n2)c1 ZINC001103308978 778775345 /nfs/dbraw/zinc/77/53/45/778775345.db2.gz PPACNFOCZLPODI-HNNXBMFYSA-N 1 2 309.373 1.782 20 30 DDEDLO N#Cc1cc2cccnc2nc1NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001178101019 778869303 /nfs/dbraw/zinc/86/93/03/778869303.db2.gz MRMCWLUFTLQIAP-LBPRGKRZSA-N 1 2 318.340 1.899 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](Nc2cc[nH+]c(C)n2)CC1 ZINC001103646309 778978719 /nfs/dbraw/zinc/97/87/19/778978719.db2.gz LNXNHNFCZWRESZ-SHTZXODSSA-N 1 2 316.405 1.664 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2nc(COC)no2)[C@@H]1C ZINC001178324012 779003888 /nfs/dbraw/zinc/00/38/88/779003888.db2.gz DQFLHRUWHWFABJ-AVGNSLFASA-N 1 2 322.409 1.822 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)[C@@H]1C ZINC001178434466 779026245 /nfs/dbraw/zinc/02/62/45/779026245.db2.gz JKTMLRLAVFPHLF-JSGCOSHPSA-N 1 2 322.409 1.440 20 30 DDEDLO C=C(C)CCC(=O)NCC1([NH2+]Cc2nnn(C)n2)CCCCC1 ZINC001115556786 780234159 /nfs/dbraw/zinc/23/41/59/780234159.db2.gz BRKNSQNIRPFCQP-UHFFFAOYSA-N 1 2 320.441 1.475 20 30 DDEDLO C[C@H](CN(C)C(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)cn1 ZINC001115689133 780347077 /nfs/dbraw/zinc/34/70/77/780347077.db2.gz SSPPQMHXEMLBTK-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO C=CCN(C(=O)C(=O)NCC[NH+]1Cc2ccccc2C1)C1CC1 ZINC001117097515 780655054 /nfs/dbraw/zinc/65/50/54/780655054.db2.gz VTCDBMXCTLGPMQ-UHFFFAOYSA-N 1 2 313.401 1.295 20 30 DDEDLO C=CCC1(O)CC[NH+](Cc2nc3c(c(=O)[nH]2)COCC3)CC1 ZINC001119440185 781455220 /nfs/dbraw/zinc/45/52/20/781455220.db2.gz ZAPNFFQWPWYULW-UHFFFAOYSA-N 1 2 305.378 1.158 20 30 DDEDLO C=CCOc1cccc(C[NH2+][C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001119614160 781536192 /nfs/dbraw/zinc/53/61/92/781536192.db2.gz VUUQFFLPBJBWPF-HNNXBMFYSA-N 1 2 301.346 1.760 20 30 DDEDLO C=CCCC(=O)NCC[N@@H+](C)CC(=O)N[C@H]1CCCC[C@@H]1C ZINC001267188172 837499910 /nfs/dbraw/zinc/49/99/10/837499910.db2.gz LQTIVZXVBJSDEL-GJZGRUSLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCCC(=O)NCC[N@H+](C)CC(=O)N[C@H]1CCCC[C@@H]1C ZINC001267188172 837499915 /nfs/dbraw/zinc/49/99/15/837499915.db2.gz LQTIVZXVBJSDEL-GJZGRUSLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CCCS(=O)(=O)CC)C1 ZINC001266335735 836134419 /nfs/dbraw/zinc/13/44/19/836134419.db2.gz DIMUWKKCZQEKDB-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](CCCS(=O)(=O)CC)C1 ZINC001266335735 836134423 /nfs/dbraw/zinc/13/44/23/836134423.db2.gz DIMUWKKCZQEKDB-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCOCC[N@H+](C)CCNC(=O)c1cccc2nc[nH]c21 ZINC001266340335 836143165 /nfs/dbraw/zinc/14/31/65/836143165.db2.gz SSGNSKAVPBQGQP-UHFFFAOYSA-N 1 2 302.378 1.427 20 30 DDEDLO C=CCOCC[N@@H+](C)CCNC(=O)c1cccc2nc[nH]c21 ZINC001266340335 836143169 /nfs/dbraw/zinc/14/31/69/836143169.db2.gz SSGNSKAVPBQGQP-UHFFFAOYSA-N 1 2 302.378 1.427 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001266343817 836146956 /nfs/dbraw/zinc/14/69/56/836146956.db2.gz AAPKYCPBMBGQFF-KBPBESRZSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001266343817 836146962 /nfs/dbraw/zinc/14/69/62/836146962.db2.gz AAPKYCPBMBGQFF-KBPBESRZSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1ccc(C)c(C)c1 ZINC001266355029 836163826 /nfs/dbraw/zinc/16/38/26/836163826.db2.gz YFOJZLFBSQMTQH-UHFFFAOYSA-N 1 2 303.406 1.267 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1ccc(C)c(C)c1 ZINC001266355029 836163834 /nfs/dbraw/zinc/16/38/34/836163834.db2.gz YFOJZLFBSQMTQH-UHFFFAOYSA-N 1 2 303.406 1.267 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(C(F)F)CC1 ZINC001266486515 836335724 /nfs/dbraw/zinc/33/57/24/836335724.db2.gz OKDWAZNFZXVBCC-BETUJISGSA-N 1 2 312.360 1.357 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(C(F)F)CC1 ZINC001266486515 836335735 /nfs/dbraw/zinc/33/57/35/836335735.db2.gz OKDWAZNFZXVBCC-BETUJISGSA-N 1 2 312.360 1.357 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H]1CC[N@H+](Cc2nncs2)C1 ZINC001266502538 836359802 /nfs/dbraw/zinc/35/98/02/836359802.db2.gz GCQGTRRCJHQSEJ-QWHCGFSZSA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H]1CC[N@@H+](Cc2nncs2)C1 ZINC001266502538 836359810 /nfs/dbraw/zinc/35/98/10/836359810.db2.gz GCQGTRRCJHQSEJ-QWHCGFSZSA-N 1 2 324.450 1.457 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCCC[N@H+]1Cc1ccn(C)n1 ZINC001266839420 836908805 /nfs/dbraw/zinc/90/88/05/836908805.db2.gz AMYPEEPDIULIMI-MRXNPFEDSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1ccn(C)n1 ZINC001266839420 836908814 /nfs/dbraw/zinc/90/88/14/836908814.db2.gz AMYPEEPDIULIMI-MRXNPFEDSA-N 1 2 302.422 1.694 20 30 DDEDLO C[C@@H](CNC(=O)CSCC#N)[NH2+]Cc1nc(C2CCC2)no1 ZINC001266923728 837065210 /nfs/dbraw/zinc/06/52/10/837065210.db2.gz OGTKAAZOTCWSAN-JTQLQIEISA-N 1 2 323.422 1.188 20 30 DDEDLO CCc1nc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CC#CCOC)co1 ZINC001267401937 837978158 /nfs/dbraw/zinc/97/81/58/837978158.db2.gz VXBJMQSRDQENCL-OKILXGFUSA-N 1 2 317.389 1.176 20 30 DDEDLO CCc1nc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CC#CCOC)co1 ZINC001267401937 837978161 /nfs/dbraw/zinc/97/81/61/837978161.db2.gz VXBJMQSRDQENCL-OKILXGFUSA-N 1 2 317.389 1.176 20 30 DDEDLO CCCN(C(=O)CCc1[nH+]ccn1C)[C@H]1CCN(CC#N)C1 ZINC001267475969 838135273 /nfs/dbraw/zinc/13/52/73/838135273.db2.gz RRWLOMZLACJYLS-AWEZNQCLSA-N 1 2 303.410 1.189 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCC[C@H]3CCN(CC#N)C3)ccn12 ZINC001267531511 838257964 /nfs/dbraw/zinc/25/79/64/838257964.db2.gz SSMHZNKMNMBXKL-AWEZNQCLSA-N 1 2 311.389 1.608 20 30 DDEDLO CCC[C@@H](C)C(=O)N(CCC)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267540482 838270486 /nfs/dbraw/zinc/27/04/86/838270486.db2.gz ZSAFNCQBYSWNGO-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO CCC[C@@H](C)C(=O)N(CCC)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267540482 838270493 /nfs/dbraw/zinc/27/04/93/838270493.db2.gz ZSAFNCQBYSWNGO-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](N(CCC)C(=O)[C@@H](F)CC)C1 ZINC001267547310 838285085 /nfs/dbraw/zinc/28/50/85/838285085.db2.gz WMYHKQANMGOPGH-KBPBESRZSA-N 1 2 313.417 1.350 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](N(CCC)C(=O)[C@@H](F)CC)C1 ZINC001267547310 838285090 /nfs/dbraw/zinc/28/50/90/838285090.db2.gz WMYHKQANMGOPGH-KBPBESRZSA-N 1 2 313.417 1.350 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)c2ccsc2C)CC1 ZINC001267572571 838336308 /nfs/dbraw/zinc/33/63/08/838336308.db2.gz PKMBYVIFVLWERS-UHFFFAOYSA-N 1 2 305.447 1.379 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](CC(=O)NCCCC)C2)C1 ZINC001267629897 838531792 /nfs/dbraw/zinc/53/17/92/838531792.db2.gz QIEVKHSXZVVQDX-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](CC(=O)NCCCC)C2)C1 ZINC001267629897 838531799 /nfs/dbraw/zinc/53/17/99/838531799.db2.gz QIEVKHSXZVVQDX-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc(N(C)C)cc2)C1 ZINC001267668023 838609762 /nfs/dbraw/zinc/60/97/62/838609762.db2.gz UTMNSOSFESLUFJ-UHFFFAOYSA-N 1 2 303.406 1.369 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](CCCS(C)(=O)=O)C1 ZINC001267727661 838757557 /nfs/dbraw/zinc/75/75/57/838757557.db2.gz LHCMTXYILVGKHS-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](CCCS(C)(=O)=O)C1 ZINC001267727661 838757563 /nfs/dbraw/zinc/75/75/63/838757563.db2.gz LHCMTXYILVGKHS-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001267768252 838912958 /nfs/dbraw/zinc/91/29/58/838912958.db2.gz MRVGAONLYBODRT-AWEZNQCLSA-N 1 2 318.421 1.730 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001267768252 838912962 /nfs/dbraw/zinc/91/29/62/838912962.db2.gz MRVGAONLYBODRT-AWEZNQCLSA-N 1 2 318.421 1.730 20 30 DDEDLO C=CCCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001267772024 838936441 /nfs/dbraw/zinc/93/64/41/838936441.db2.gz RLHXMVXUMIVSHL-OAHLLOKOSA-N 1 2 318.421 1.874 20 30 DDEDLO C=CCCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2cncc(OC)n2)C1 ZINC001267772024 838936449 /nfs/dbraw/zinc/93/64/49/838936449.db2.gz RLHXMVXUMIVSHL-OAHLLOKOSA-N 1 2 318.421 1.874 20 30 DDEDLO Cn1nccc1C[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267931545 839214907 /nfs/dbraw/zinc/21/49/07/839214907.db2.gz VTOICGPJUFAWHP-CQSZACIVSA-N 1 2 302.422 1.550 20 30 DDEDLO Cn1nccc1C[N@H+]1CCC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267931545 839214917 /nfs/dbraw/zinc/21/49/17/839214917.db2.gz VTOICGPJUFAWHP-CQSZACIVSA-N 1 2 302.422 1.550 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)C[C@H]2CCC(C)(C)CO2)C1 ZINC001268023426 839407088 /nfs/dbraw/zinc/40/70/88/839407088.db2.gz KBGPRZGLQAOEAE-HZPDHXFCSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)C[C@H]2CCC(C)(C)CO2)C1 ZINC001268023426 839407093 /nfs/dbraw/zinc/40/70/93/839407093.db2.gz KBGPRZGLQAOEAE-HZPDHXFCSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](C)Oc2ccccc2)C1 ZINC001268030115 839448630 /nfs/dbraw/zinc/44/86/30/839448630.db2.gz MUMMEXVPMGULPZ-WBVHZDCISA-N 1 2 318.417 1.847 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H](C)Oc2ccccc2)C1 ZINC001268030115 839448641 /nfs/dbraw/zinc/44/86/41/839448641.db2.gz MUMMEXVPMGULPZ-WBVHZDCISA-N 1 2 318.417 1.847 20 30 DDEDLO C#CCCCC(=O)NCC1CC[NH+](Cc2cnns2)CC1 ZINC001223012269 839551331 /nfs/dbraw/zinc/55/13/31/839551331.db2.gz HCJHSHRDYOKOKQ-UHFFFAOYSA-N 1 2 306.435 1.670 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@@H]1CCCC12CC2 ZINC001268241230 839880249 /nfs/dbraw/zinc/88/02/49/839880249.db2.gz WNVWLGGAIDLCTF-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@@H]1CCCC12CC2 ZINC001268241230 839880258 /nfs/dbraw/zinc/88/02/58/839880258.db2.gz WNVWLGGAIDLCTF-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCC(CC=C)C(=O)NC1C[NH+](C[C@@H]2CCc3ncnn32)C1 ZINC001268311408 839979978 /nfs/dbraw/zinc/97/99/78/839979978.db2.gz ZNUPZUMFXHBQEQ-HNNXBMFYSA-N 1 2 315.421 1.334 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CC(CNC(=O)C2(CCCC)CC2)C1 ZINC001268874215 840928828 /nfs/dbraw/zinc/92/88/28/840928828.db2.gz HGWRJLFPWPEWJT-CQSZACIVSA-N 1 2 319.449 1.143 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCn1ccnc1C ZINC001268974540 841075861 /nfs/dbraw/zinc/07/58/61/841075861.db2.gz VLLQRKZGLCINQN-HOTGVXAUSA-N 1 2 302.422 1.833 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCn1ccnc1C ZINC001268974540 841075870 /nfs/dbraw/zinc/07/58/70/841075870.db2.gz VLLQRKZGLCINQN-HOTGVXAUSA-N 1 2 302.422 1.833 20 30 DDEDLO C=C(C(=O)N1CC2(C1)CC[N@@H+](COCCOC)C2)C(F)(F)F ZINC001269004956 841109245 /nfs/dbraw/zinc/10/92/45/841109245.db2.gz VGADWVJLOSZGCE-UHFFFAOYSA-N 1 2 322.327 1.260 20 30 DDEDLO C=C(C(=O)N1CC2(C1)CC[N@H+](COCCOC)C2)C(F)(F)F ZINC001269004956 841109246 /nfs/dbraw/zinc/10/92/46/841109246.db2.gz VGADWVJLOSZGCE-UHFFFAOYSA-N 1 2 322.327 1.260 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCC(C)=C(C)C2)C1 ZINC001269026103 841125469 /nfs/dbraw/zinc/12/54/69/841125469.db2.gz PAMFJVHSOIZJAX-HOTGVXAUSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCC(C)=C(C)C2)C1 ZINC001269026103 841125474 /nfs/dbraw/zinc/12/54/74/841125474.db2.gz PAMFJVHSOIZJAX-HOTGVXAUSA-N 1 2 319.449 1.616 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001269121757 841231494 /nfs/dbraw/zinc/23/14/94/841231494.db2.gz LOTUCEPZHJBRBY-CQSZACIVSA-N 1 2 316.405 1.369 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2cncc(OC)n2)C1 ZINC001269121757 841231498 /nfs/dbraw/zinc/23/14/98/841231498.db2.gz LOTUCEPZHJBRBY-CQSZACIVSA-N 1 2 316.405 1.369 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](CC(=O)N[C@@H](C)CC)C2)C1 ZINC001269165926 841287521 /nfs/dbraw/zinc/28/75/21/841287521.db2.gz OZZAVXZQRUFUKP-UONOGXRCSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](CC(=O)N[C@@H](C)CC)C2)C1 ZINC001269165926 841287531 /nfs/dbraw/zinc/28/75/31/841287531.db2.gz OZZAVXZQRUFUKP-UONOGXRCSA-N 1 2 307.438 1.448 20 30 DDEDLO N#CCSCC(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001269200736 841332809 /nfs/dbraw/zinc/33/28/09/841332809.db2.gz LZLRSBOQLVAEHM-HNNXBMFYSA-N 1 2 314.414 1.506 20 30 DDEDLO N#CCSCC(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001269200736 841332821 /nfs/dbraw/zinc/33/28/21/841332821.db2.gz LZLRSBOQLVAEHM-HNNXBMFYSA-N 1 2 314.414 1.506 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001269223571 841368292 /nfs/dbraw/zinc/36/82/92/841368292.db2.gz PZAYJAFORLZRKM-LRDDRELGSA-N 1 2 319.430 1.637 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001269223571 841368300 /nfs/dbraw/zinc/36/83/00/841368300.db2.gz PZAYJAFORLZRKM-LRDDRELGSA-N 1 2 319.430 1.637 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CC[N@H+]1Cc1cnc(C)s1 ZINC001269224034 841371938 /nfs/dbraw/zinc/37/19/38/841371938.db2.gz TZNSTQMRYNDATN-DOMZBBRYSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CC[N@@H+]1Cc1cnc(C)s1 ZINC001269224034 841371947 /nfs/dbraw/zinc/37/19/47/841371947.db2.gz TZNSTQMRYNDATN-DOMZBBRYSA-N 1 2 309.435 1.469 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001269325166 841523607 /nfs/dbraw/zinc/52/36/07/841523607.db2.gz WLFSJJUQJVHLQC-KRWDZBQOSA-N 1 2 314.433 1.565 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001269325166 841523612 /nfs/dbraw/zinc/52/36/12/841523612.db2.gz WLFSJJUQJVHLQC-KRWDZBQOSA-N 1 2 314.433 1.565 20 30 DDEDLO C=CCN1CC[C@@]2(CCCN2C(=O)CCn2cc[nH+]c2)C1=O ZINC001269581758 841788008 /nfs/dbraw/zinc/78/80/08/841788008.db2.gz UVTJGESQACMVDP-INIZCTEOSA-N 1 2 302.378 1.053 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)COCCc2cccc(F)c2)C1 ZINC001269800861 842057854 /nfs/dbraw/zinc/05/78/54/842057854.db2.gz RCEGWYCXABYZLG-UHFFFAOYSA-N 1 2 318.392 1.551 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1cnsn1 ZINC001270034087 842242643 /nfs/dbraw/zinc/24/26/43/842242643.db2.gz GESGYLYGXKPBPA-CQSZACIVSA-N 1 2 306.435 1.812 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cccc(=O)[nH]1 ZINC001270507961 842628708 /nfs/dbraw/zinc/62/87/08/842628708.db2.gz PJIHFCABABXQBP-FPMFFAJLSA-N 1 2 300.362 1.122 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cccc(=O)[nH]1 ZINC001270507961 842628712 /nfs/dbraw/zinc/62/87/12/842628712.db2.gz PJIHFCABABXQBP-FPMFFAJLSA-N 1 2 300.362 1.122 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C[C@H]2CCC(F)(F)C2)C1 ZINC001270659171 842787824 /nfs/dbraw/zinc/78/78/24/842787824.db2.gz VIGFRUWDLSQSDH-OLZOCXBDSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C[C@H]2CCC(F)(F)C2)C1 ZINC001270659171 842787826 /nfs/dbraw/zinc/78/78/26/842787826.db2.gz VIGFRUWDLSQSDH-OLZOCXBDSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@@H+]([C@H](C)c2nc(C)no2)C1 ZINC001270663861 842793045 /nfs/dbraw/zinc/79/30/45/842793045.db2.gz GAWIWEUOSAMJAI-MLGOLLRUSA-N 1 2 322.409 1.612 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@H+]([C@H](C)c2nc(C)no2)C1 ZINC001270663861 842793057 /nfs/dbraw/zinc/79/30/57/842793057.db2.gz GAWIWEUOSAMJAI-MLGOLLRUSA-N 1 2 322.409 1.612 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)CCc2csc(C)n2)C1 ZINC001270682001 842815508 /nfs/dbraw/zinc/81/55/08/842815508.db2.gz HJQPVALVSBOIGS-HNNXBMFYSA-N 1 2 323.462 1.777 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)CCc2csc(C)n2)C1 ZINC001270682001 842815517 /nfs/dbraw/zinc/81/55/17/842815517.db2.gz HJQPVALVSBOIGS-HNNXBMFYSA-N 1 2 323.462 1.777 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2C[N@@H+](CCOCC)CCO2)CCCC1 ZINC001270708215 842836518 /nfs/dbraw/zinc/83/65/18/842836518.db2.gz XOTIBOJAFVRZSU-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2C[N@H+](CCOCC)CCO2)CCCC1 ZINC001270708215 842836505 /nfs/dbraw/zinc/83/65/05/842836505.db2.gz XOTIBOJAFVRZSU-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(C)[C@@H](C)CNCc1cc(C)on1 ZINC001270994129 843118096 /nfs/dbraw/zinc/11/80/96/843118096.db2.gz AZWFXZHKWFJVCZ-BBRMVZONSA-N 1 2 318.421 1.017 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)[C@@H](C)CNCc1cc(C)on1 ZINC001270994129 843118102 /nfs/dbraw/zinc/11/81/02/843118102.db2.gz AZWFXZHKWFJVCZ-BBRMVZONSA-N 1 2 318.421 1.017 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@H+]([C@H](C)C(N)=O)CCC1(F)F ZINC001271099428 843213670 /nfs/dbraw/zinc/21/36/70/843213670.db2.gz COPXFUYSXRREKO-GHMZBOCLSA-N 1 2 317.380 1.146 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@@H+]([C@H](C)C(N)=O)CCC1(F)F ZINC001271099428 843213684 /nfs/dbraw/zinc/21/36/84/843213684.db2.gz COPXFUYSXRREKO-GHMZBOCLSA-N 1 2 317.380 1.146 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CCC3(CC2)NC(=O)NC3=O)c1F ZINC001143174784 861400605 /nfs/dbraw/zinc/40/06/05/861400605.db2.gz YVAQKQICJMVVGF-UHFFFAOYSA-N 1 2 320.299 1.010 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@]1(O)CC[N@H+](Cc2cc(C)on2)C1 ZINC001271185154 843391834 /nfs/dbraw/zinc/39/18/34/843391834.db2.gz TWOUJGOVQDCYSA-YOEHRIQHSA-N 1 2 321.421 1.638 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@]1(O)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001271185154 843391845 /nfs/dbraw/zinc/39/18/45/843391845.db2.gz TWOUJGOVQDCYSA-YOEHRIQHSA-N 1 2 321.421 1.638 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001154742561 861412129 /nfs/dbraw/zinc/41/21/29/861412129.db2.gz HSSBOVTUWJTWBP-ZIAGYGMSSA-N 1 2 307.442 1.744 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001154742561 861412133 /nfs/dbraw/zinc/41/21/33/861412133.db2.gz HSSBOVTUWJTWBP-ZIAGYGMSSA-N 1 2 307.442 1.744 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1COCCN1Cc1c[nH+]cn1C ZINC001326646805 861507707 /nfs/dbraw/zinc/50/77/07/861507707.db2.gz GAXBXHAVTHHJEP-OAHLLOKOSA-N 1 2 320.437 1.339 20 30 DDEDLO C=CCOC[C@@H]1c2c(ncn2C)CCN1Cc1c[nH+]cn1C ZINC001204383263 861556734 /nfs/dbraw/zinc/55/67/34/861556734.db2.gz CYVWSTDMTCLRHX-OAHLLOKOSA-N 1 2 301.394 1.456 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H](CC)OC)C1 ZINC001149350370 861560686 /nfs/dbraw/zinc/56/06/86/861560686.db2.gz YQIAHQLOEJAUFK-OLZOCXBDSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H](CC)OC)C1 ZINC001149350370 861560689 /nfs/dbraw/zinc/56/06/89/861560689.db2.gz YQIAHQLOEJAUFK-OLZOCXBDSA-N 1 2 304.818 1.371 20 30 DDEDLO CC#CCCCC(=O)NCC1(NC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001299222963 846503274 /nfs/dbraw/zinc/50/32/74/846503274.db2.gz OFYVPALRKNRXFJ-UHFFFAOYSA-N 1 2 316.405 1.219 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)CCc2nccn2C)C1 ZINC001409903180 846525123 /nfs/dbraw/zinc/52/51/23/846525123.db2.gz BGYUCVUAIYGDSM-LBPRGKRZSA-N 1 2 310.829 1.542 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc(F)cc1C)C2 ZINC001272667930 846540088 /nfs/dbraw/zinc/54/00/88/846540088.db2.gz ZRLFFCWOMUAZCD-UHFFFAOYSA-N 1 2 302.349 1.181 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CO[C@@H](C)CC)C1 ZINC001149549122 861702588 /nfs/dbraw/zinc/70/25/88/861702588.db2.gz LSCPFNDPIZOKDW-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CO[C@@H](C)CC)C1 ZINC001149549122 861702596 /nfs/dbraw/zinc/70/25/96/861702596.db2.gz LSCPFNDPIZOKDW-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO Cc1ccncc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C#N)[nH]1 ZINC001032362565 847013889 /nfs/dbraw/zinc/01/38/89/847013889.db2.gz HOBGMFQYDFXCSC-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1ccncc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C#N)[nH]1 ZINC001032362565 847013900 /nfs/dbraw/zinc/01/39/00/847013900.db2.gz HOBGMFQYDFXCSC-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@]1(C)C[N@H+](CCC(F)(F)F)CCO1 ZINC001107821108 847226216 /nfs/dbraw/zinc/22/62/16/847226216.db2.gz JHKQFWYJSAYWNK-JQWIXIFHSA-N 1 2 307.316 1.306 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@]1(C)C[N@@H+](CCC(F)(F)F)CCO1 ZINC001107821108 847226226 /nfs/dbraw/zinc/22/62/26/847226226.db2.gz JHKQFWYJSAYWNK-JQWIXIFHSA-N 1 2 307.316 1.306 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1ccon1 ZINC001272756265 847377038 /nfs/dbraw/zinc/37/70/38/847377038.db2.gz CILHUMAXGQSFPH-INIZCTEOSA-N 1 2 302.378 1.935 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1ccon1 ZINC001272756265 847377047 /nfs/dbraw/zinc/37/70/47/847377047.db2.gz CILHUMAXGQSFPH-INIZCTEOSA-N 1 2 302.378 1.935 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnn1CCC ZINC001272859152 847558386 /nfs/dbraw/zinc/55/83/86/847558386.db2.gz MSKJSWRHCYPBSE-OAHLLOKOSA-N 1 2 320.437 1.690 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnn1CCC ZINC001272859152 847558392 /nfs/dbraw/zinc/55/83/92/847558392.db2.gz MSKJSWRHCYPBSE-OAHLLOKOSA-N 1 2 320.437 1.690 20 30 DDEDLO CN1C(=O)COCC12C[NH+](Cc1c[nH]c3ccc(C#N)cc13)C2 ZINC001273300633 849367881 /nfs/dbraw/zinc/36/78/81/849367881.db2.gz WBOWZTAFGRLKFN-UHFFFAOYSA-N 1 2 310.357 1.083 20 30 DDEDLO CCN(CC[NH2+]Cc1nc([C@H](C)OC)no1)C(=O)C#CC(C)C ZINC001273362293 849678312 /nfs/dbraw/zinc/67/83/12/849678312.db2.gz BTZIAHBTTULYDD-ZDUSSCGKSA-N 1 2 322.409 1.375 20 30 DDEDLO C=CCCCCC[NH+]1CC2(C1)CN(C(=O)c1cn[nH]n1)CCO2 ZINC001327327231 862063710 /nfs/dbraw/zinc/06/37/10/862063710.db2.gz VEIDOIKQILDDLG-UHFFFAOYSA-N 1 2 319.409 1.078 20 30 DDEDLO C#CCN1CC2(CCC1=O)CCN(c1cc[nH+]c(OC)c1)CC2 ZINC001273585250 851116519 /nfs/dbraw/zinc/11/65/19/851116519.db2.gz NOXRYAJPTGUCPL-UHFFFAOYSA-N 1 2 313.401 1.932 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@H]1C1CC1)CO2 ZINC001273722525 851275554 /nfs/dbraw/zinc/27/55/54/851275554.db2.gz FPLXUGVZZGZLCX-HRCADAONSA-N 1 2 320.433 1.195 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](C[C@H](O)CCCCC)C[C@@]2(F)C1=O ZINC001273876002 851452043 /nfs/dbraw/zinc/45/20/43/851452043.db2.gz RCIPDZXZTLOREM-VNQPRFMTSA-N 1 2 314.376 1.135 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](C[C@H](O)CCCCC)C[C@@]2(F)C1=O ZINC001273876002 851452047 /nfs/dbraw/zinc/45/20/47/851452047.db2.gz RCIPDZXZTLOREM-VNQPRFMTSA-N 1 2 314.376 1.135 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)Cc3ccn(CC)n3)cc2C1 ZINC001274028312 851866634 /nfs/dbraw/zinc/86/66/34/851866634.db2.gz SHWHOERWOULSEU-UHFFFAOYSA-N 1 2 322.412 1.711 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)Cc3ccn(CC)n3)cc2C1 ZINC001274028312 851866640 /nfs/dbraw/zinc/86/66/40/851866640.db2.gz SHWHOERWOULSEU-UHFFFAOYSA-N 1 2 322.412 1.711 20 30 DDEDLO CC[C@H](F)C[NH+]1CC(N2CCN(C(=O)C#CC(C)C)CC2)C1 ZINC001274474420 852308714 /nfs/dbraw/zinc/30/87/14/852308714.db2.gz MRSLALZGDMBVRE-HNNXBMFYSA-N 1 2 309.429 1.222 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C/C[NH2+][C@@H](C)c2ncc(C)o2)nc1 ZINC001274512088 852346082 /nfs/dbraw/zinc/34/60/82/852346082.db2.gz UNCCQGHCBITZLT-GJBLVYBDSA-N 1 2 324.384 1.996 20 30 DDEDLO COCCOCN1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc(C#N)cn1 ZINC001275032498 852762153 /nfs/dbraw/zinc/76/21/53/852762153.db2.gz RZBOWYXWFPLOJT-CALCHBBNSA-N 1 2 316.405 1.222 20 30 DDEDLO COCCOCN1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(C#N)cn1 ZINC001275032498 852762155 /nfs/dbraw/zinc/76/21/55/852762155.db2.gz RZBOWYXWFPLOJT-CALCHBBNSA-N 1 2 316.405 1.222 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1coc(C)n1 ZINC001275107832 852812042 /nfs/dbraw/zinc/81/20/42/852812042.db2.gz GJZLWGAYKINOGC-XJKSGUPXSA-N 1 2 319.405 1.444 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1coc(C)n1 ZINC001275107832 852812045 /nfs/dbraw/zinc/81/20/45/852812045.db2.gz GJZLWGAYKINOGC-XJKSGUPXSA-N 1 2 319.405 1.444 20 30 DDEDLO Cc1cc(C#N)cc(C(=O)N2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)c1 ZINC001275584496 853398575 /nfs/dbraw/zinc/39/85/75/853398575.db2.gz BYUYCBXYGAVNAZ-UHFFFAOYSA-N 1 2 321.384 1.548 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)C[N@@H+](C)Cc2cnnn2CC)C1 ZINC001275647631 853486058 /nfs/dbraw/zinc/48/60/58/853486058.db2.gz DEODCXXSVUADOV-CYBMUJFWSA-N 1 2 305.426 1.591 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)C[N@H+](C)Cc2cnnn2CC)C1 ZINC001275647631 853486061 /nfs/dbraw/zinc/48/60/61/853486061.db2.gz DEODCXXSVUADOV-CYBMUJFWSA-N 1 2 305.426 1.591 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H](C)[N@@H+](C)Cc1ccn(CC)n1 ZINC001275819553 853814900 /nfs/dbraw/zinc/81/49/00/853814900.db2.gz STGUXEVHYNVSND-XJKSGUPXSA-N 1 2 308.426 1.167 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H](C)[N@H+](C)Cc1ccn(CC)n1 ZINC001275819553 853814906 /nfs/dbraw/zinc/81/49/06/853814906.db2.gz STGUXEVHYNVSND-XJKSGUPXSA-N 1 2 308.426 1.167 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](C)Cc1nc(C)cs1 ZINC001275819515 853815018 /nfs/dbraw/zinc/81/50/18/853815018.db2.gz SJXCSBUONKDNII-DOMZBBRYSA-N 1 2 311.451 1.715 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](C)Cc1nc(C)cs1 ZINC001275819515 853815023 /nfs/dbraw/zinc/81/50/23/853815023.db2.gz SJXCSBUONKDNII-DOMZBBRYSA-N 1 2 311.451 1.715 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H](C)[N@H+](C)Cc1nc(C)cs1 ZINC001275819517 853815490 /nfs/dbraw/zinc/81/54/90/853815490.db2.gz SJXCSBUONKDNII-SWLSCSKDSA-N 1 2 311.451 1.715 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H](C)[N@@H+](C)Cc1nc(C)cs1 ZINC001275819517 853815495 /nfs/dbraw/zinc/81/54/95/853815495.db2.gz SJXCSBUONKDNII-SWLSCSKDSA-N 1 2 311.451 1.715 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H](C)[N@H+](C)Cc1ccn(C)n1 ZINC001275954755 854010813 /nfs/dbraw/zinc/01/08/13/854010813.db2.gz DTDHWEDGQUGPCG-KBMXLJTQSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H](C)[N@@H+](C)Cc1ccn(C)n1 ZINC001275954755 854010817 /nfs/dbraw/zinc/01/08/17/854010817.db2.gz DTDHWEDGQUGPCG-KBMXLJTQSA-N 1 2 320.437 1.338 20 30 DDEDLO N#Cc1cc(C(=O)NC2CC[NH+]([C@@H]3CCOC3=O)CC2)cs1 ZINC001411945847 854016523 /nfs/dbraw/zinc/01/65/23/854016523.db2.gz DWXNSCAKMMGECV-CYBMUJFWSA-N 1 2 319.386 1.129 20 30 DDEDLO Cc1noc(C[NH2+][C@H]2CN(C(=O)C#CC(C)(C)C)C[C@@H]2C)n1 ZINC001327864172 862524541 /nfs/dbraw/zinc/52/45/41/862524541.db2.gz XHRJUXKRYBUWRN-AAEUAGOBSA-N 1 2 304.394 1.364 20 30 DDEDLO CC#CC[N@H+](C)[C@H](C)CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001276002999 854128804 /nfs/dbraw/zinc/12/88/04/854128804.db2.gz OYPDHWVWCMZJNJ-CYBMUJFWSA-N 1 2 302.422 1.752 20 30 DDEDLO CC#CC[N@@H+](C)[C@H](C)CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001276002999 854128809 /nfs/dbraw/zinc/12/88/09/854128809.db2.gz OYPDHWVWCMZJNJ-CYBMUJFWSA-N 1 2 302.422 1.752 20 30 DDEDLO COc1nscc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001206659158 862614439 /nfs/dbraw/zinc/61/44/39/862614439.db2.gz DPAPRUAZMJXPHE-HOSYDEDBSA-N 1 2 308.407 1.248 20 30 DDEDLO COc1nscc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001206659158 862614445 /nfs/dbraw/zinc/61/44/45/862614445.db2.gz DPAPRUAZMJXPHE-HOSYDEDBSA-N 1 2 308.407 1.248 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCCCC[N@@H+]1Cc1nccn1C ZINC001276298976 855099400 /nfs/dbraw/zinc/09/94/00/855099400.db2.gz DNRZFPOTVJGVIA-MRXNPFEDSA-N 1 2 316.449 1.940 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCCCC[N@H+]1Cc1nccn1C ZINC001276298976 855099405 /nfs/dbraw/zinc/09/94/05/855099405.db2.gz DNRZFPOTVJGVIA-MRXNPFEDSA-N 1 2 316.449 1.940 20 30 DDEDLO CCC(=O)NC1CC[NH+]([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC001413065807 856317801 /nfs/dbraw/zinc/31/78/01/856317801.db2.gz ZMTWUXIQMILBHK-INIZCTEOSA-N 1 2 314.389 1.075 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@@]2(CCOC2=O)C1 ZINC001413086910 856390083 /nfs/dbraw/zinc/39/00/83/856390083.db2.gz ALQUBSABUOOCCB-CQSZACIVSA-N 1 2 305.359 1.197 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@@]2(CCOC2=O)C1 ZINC001413086910 856390096 /nfs/dbraw/zinc/39/00/96/856390096.db2.gz ALQUBSABUOOCCB-CQSZACIVSA-N 1 2 305.359 1.197 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCC[C@H]3[C@H]2CCN3CC#N)c[nH+]1 ZINC001049544361 856953817 /nfs/dbraw/zinc/95/38/17/856953817.db2.gz CAZXVQDRTSUNRE-LSDHHAIUSA-N 1 2 315.421 1.516 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001049555875 856970319 /nfs/dbraw/zinc/97/03/19/856970319.db2.gz BHRDENKOEJFMJP-RRFJBIMHSA-N 1 2 313.405 1.034 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)C1C[NH+](Cc2nc(C)cs2)C1 ZINC001276383121 857280156 /nfs/dbraw/zinc/28/01/56/857280156.db2.gz YHEDCLCMOGVMEG-ZDUSSCGKSA-N 1 2 321.446 1.428 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)n1 ZINC001072712865 857633072 /nfs/dbraw/zinc/63/30/72/857633072.db2.gz XJGLIHDSBKNRON-GFCCVEGCSA-N 1 2 314.389 1.387 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)n1 ZINC001072712865 857633076 /nfs/dbraw/zinc/63/30/76/857633076.db2.gz XJGLIHDSBKNRON-GFCCVEGCSA-N 1 2 314.389 1.387 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001072945292 857921953 /nfs/dbraw/zinc/92/19/53/857921953.db2.gz YGGFPJJAGMWWLU-MRXNPFEDSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001072945292 857921962 /nfs/dbraw/zinc/92/19/62/857921962.db2.gz YGGFPJJAGMWWLU-MRXNPFEDSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001073577241 858456374 /nfs/dbraw/zinc/45/63/74/858456374.db2.gz SUHMXNGSIMRDCH-AWEZNQCLSA-N 1 2 322.796 1.460 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001073577241 858456377 /nfs/dbraw/zinc/45/63/77/858456377.db2.gz SUHMXNGSIMRDCH-AWEZNQCLSA-N 1 2 322.796 1.460 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2[nH]ccc2C)C1 ZINC001073591653 858467354 /nfs/dbraw/zinc/46/73/54/858467354.db2.gz MKGFIBPCSHGCIJ-CYBMUJFWSA-N 1 2 311.813 1.896 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2[nH]ccc2C)C1 ZINC001073591653 858467352 /nfs/dbraw/zinc/46/73/52/858467352.db2.gz MKGFIBPCSHGCIJ-CYBMUJFWSA-N 1 2 311.813 1.896 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3oc(C)nc3C)n2CC)CC1 ZINC001121863166 858614650 /nfs/dbraw/zinc/61/46/50/858614650.db2.gz DZAMROHTYRPZCV-UHFFFAOYSA-N 1 2 314.393 1.325 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](CO)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001122507560 858857225 /nfs/dbraw/zinc/85/72/25/858857225.db2.gz COYRWJDWWFDBCO-AWEZNQCLSA-N 1 2 318.421 1.908 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C[NH+]2CCCC2)n1CCCOCC ZINC001122611623 858910694 /nfs/dbraw/zinc/91/06/94/858910694.db2.gz ZORGPIFJSGGZHN-HNNXBMFYSA-N 1 2 319.453 1.758 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C[NH+]2CCCC2)n1C[C@@H]1CCOC1 ZINC001123236436 859139007 /nfs/dbraw/zinc/13/90/07/859139007.db2.gz MCSWSPNEDAFRCG-CABCVRRESA-N 1 2 317.437 1.368 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](O)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001124164781 859610962 /nfs/dbraw/zinc/61/09/62/859610962.db2.gz UKVBLDWORHZCNU-AWEZNQCLSA-N 1 2 318.421 1.860 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125264732 859936695 /nfs/dbraw/zinc/93/66/95/859936695.db2.gz GWTNOARAZKOIMB-WMLDXEAASA-N 1 2 320.437 1.643 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(Cc3cc(C#N)ccc3F)C2)C[C@H](C)O1 ZINC001139650397 860447464 /nfs/dbraw/zinc/44/74/64/860447464.db2.gz FNRQSRZULNZGSU-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(Cc3cc(C#N)ccc3F)C2)C[C@H](C)O1 ZINC001139650397 860447468 /nfs/dbraw/zinc/44/74/68/860447468.db2.gz FNRQSRZULNZGSU-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO CCOC[C@@H]1C[N@H+](Cc2ccc(C#N)nc2)Cc2nnn(C)c21 ZINC001140542760 860653365 /nfs/dbraw/zinc/65/33/65/860653365.db2.gz DDSLCWPJGIKOMK-ZDUSSCGKSA-N 1 2 312.377 1.218 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](Cc2ccc(C#N)nc2)Cc2nnn(C)c21 ZINC001140542760 860653367 /nfs/dbraw/zinc/65/33/67/860653367.db2.gz DDSLCWPJGIKOMK-ZDUSSCGKSA-N 1 2 312.377 1.218 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCC[N@@H+]1Cc1sc(N)c(C#N)c1Cl ZINC001141114843 860787680 /nfs/dbraw/zinc/78/76/80/860787680.db2.gz XBVRKKHQPPOZOC-VIFPVBQESA-N 1 2 312.826 1.908 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCC[N@H+]1Cc1sc(N)c(C#N)c1Cl ZINC001141114843 860787683 /nfs/dbraw/zinc/78/76/83/860787683.db2.gz XBVRKKHQPPOZOC-VIFPVBQESA-N 1 2 312.826 1.908 20 30 DDEDLO O=C(CC#Cc1ccccc1)NCC[NH2+]Cc1csnn1 ZINC001151953321 863077523 /nfs/dbraw/zinc/07/75/23/863077523.db2.gz YZZYVCHARPNSCU-UHFFFAOYSA-N 1 2 300.387 1.186 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ccon1 ZINC001152234330 863234228 /nfs/dbraw/zinc/23/42/28/863234228.db2.gz IZBHQXGGDWPAOZ-KGLIPLIRSA-N 1 2 309.410 1.982 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ccon1 ZINC001152234330 863234245 /nfs/dbraw/zinc/23/42/45/863234245.db2.gz IZBHQXGGDWPAOZ-KGLIPLIRSA-N 1 2 309.410 1.982 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@H](C)[NH2+]Cc1nc(COC)no1 ZINC001329103377 863476943 /nfs/dbraw/zinc/47/69/43/863476943.db2.gz KDBMUMDZSMKTFH-RYUDHWBXSA-N 1 2 324.425 1.801 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccc(C)n1 ZINC001153025412 863662722 /nfs/dbraw/zinc/66/27/22/863662722.db2.gz VOQLVXJVPSPEDB-OAHLLOKOSA-N 1 2 302.422 1.915 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccc(C)n1 ZINC001153025412 863662727 /nfs/dbraw/zinc/66/27/27/863662727.db2.gz VOQLVXJVPSPEDB-OAHLLOKOSA-N 1 2 302.422 1.915 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001153091173 863702992 /nfs/dbraw/zinc/70/29/92/863702992.db2.gz HAEWMOXLKZBSTA-LBPRGKRZSA-N 1 2 324.425 1.727 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC001153158770 863739011 /nfs/dbraw/zinc/73/90/11/863739011.db2.gz FUFANCKFIPULDA-GFCCVEGCSA-N 1 2 309.410 1.769 20 30 DDEDLO CCCC[C@H](C[NH2+]Cc1nc(C)no1)NC(=O)C#CC(C)C ZINC001329876951 863914294 /nfs/dbraw/zinc/91/42/94/863914294.db2.gz TVNVUCXZMMIMOE-CQSZACIVSA-N 1 2 306.410 1.802 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C2(C)CCCCC2)C1 ZINC001330049974 864047189 /nfs/dbraw/zinc/04/71/89/864047189.db2.gz XWGXNXRQRXIFCJ-AWEZNQCLSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccsc2)C(C)(C)C1 ZINC001330169524 864120905 /nfs/dbraw/zinc/12/09/05/864120905.db2.gz AHIYXOPZHKFSDZ-CYBMUJFWSA-N 1 2 321.446 1.491 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccsc2)C(C)(C)C1 ZINC001330169524 864120911 /nfs/dbraw/zinc/12/09/11/864120911.db2.gz AHIYXOPZHKFSDZ-CYBMUJFWSA-N 1 2 321.446 1.491 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](C)CCN1c1nc(Cl)cc(C)c1C#N ZINC001157770960 864121879 /nfs/dbraw/zinc/12/18/79/864121879.db2.gz WYVJPHNBRCQRNM-LLVKDONJSA-N 1 2 308.769 1.209 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](C)CCN1c1nc(Cl)cc(C)c1C#N ZINC001157770960 864121882 /nfs/dbraw/zinc/12/18/82/864121882.db2.gz WYVJPHNBRCQRNM-LLVKDONJSA-N 1 2 308.769 1.209 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[N@@H+](Cc2cc(OC)no2)CC1(C)C ZINC001330185562 864136708 /nfs/dbraw/zinc/13/67/08/864136708.db2.gz UIUMKSDWRHPVCH-ZDUSSCGKSA-N 1 2 323.393 1.212 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[N@H+](Cc2cc(OC)no2)CC1(C)C ZINC001330185562 864136713 /nfs/dbraw/zinc/13/67/13/864136713.db2.gz UIUMKSDWRHPVCH-ZDUSSCGKSA-N 1 2 323.393 1.212 20 30 DDEDLO C#CCOCCC(=O)NCCC[NH2+]Cc1noc(CCCC)n1 ZINC001157853191 864194010 /nfs/dbraw/zinc/19/40/10/864194010.db2.gz WDSWUJVWGMEACD-UHFFFAOYSA-N 1 2 322.409 1.048 20 30 DDEDLO COc1cc(N2CCN(c3cc(C#N)nc(C)n3)CC2)cc[nH+]1 ZINC001158097821 864424465 /nfs/dbraw/zinc/42/44/65/864424465.db2.gz JSHCIDKEHNUYAN-UHFFFAOYSA-N 1 2 310.361 1.387 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(c3ccc(Cl)c(C#N)n3)C2)C[C@@H](C)O1 ZINC001158716803 864846536 /nfs/dbraw/zinc/84/65/36/864846536.db2.gz IMMBVYQRXHSLCV-GHMZBOCLSA-N 1 2 306.797 1.904 20 30 DDEDLO CC(C)C[C@H](C(=O)N(C)[C@@H](CNCC#N)C1CC1)n1cc[nH+]c1 ZINC001331294313 864993967 /nfs/dbraw/zinc/99/39/67/864993967.db2.gz IWKLNSHWMOGSHQ-CVEARBPZSA-N 1 2 317.437 1.820 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@H](C)CN(C)C(=O)C#CC2CC2)n1 ZINC001331439646 865084001 /nfs/dbraw/zinc/08/40/01/865084001.db2.gz NUEQMYMVRCEYMT-NEPJUHHUSA-N 1 2 304.394 1.543 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@H+](Cc2nccn2C)CC1 ZINC001159586759 865412571 /nfs/dbraw/zinc/41/25/71/865412571.db2.gz CCYFZLTWFOCQKK-CYBMUJFWSA-N 1 2 301.394 1.218 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@@H+](Cc2nccn2C)CC1 ZINC001159586759 865412577 /nfs/dbraw/zinc/41/25/77/865412577.db2.gz CCYFZLTWFOCQKK-CYBMUJFWSA-N 1 2 301.394 1.218 20 30 DDEDLO N#Cc1cc(Cl)nnc1N[C@H]1CCC[C@@H]1[NH+]1CCOCC1 ZINC001160726334 866077558 /nfs/dbraw/zinc/07/75/58/866077558.db2.gz YUHRBJGSXOKPRI-RYUDHWBXSA-N 1 2 307.785 1.667 20 30 DDEDLO C=C1CCC(CNC(=O)C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC001333049533 866372542 /nfs/dbraw/zinc/37/25/42/866372542.db2.gz JMMIFAGIFONZKT-CQSZACIVSA-N 1 2 316.405 1.177 20 30 DDEDLO C#CCCCC(=O)NCC1([NH2+]Cc2ncnn2C(C)C)CC1 ZINC001323434461 866608013 /nfs/dbraw/zinc/60/80/13/866608013.db2.gz BODGKIHRJAJOOV-UHFFFAOYSA-N 1 2 303.410 1.401 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001333313439 866623780 /nfs/dbraw/zinc/62/37/80/866623780.db2.gz YQLZJMARJVVGIJ-QWRGUYRKSA-N 1 2 303.366 1.347 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001333313439 866623786 /nfs/dbraw/zinc/62/37/86/866623786.db2.gz YQLZJMARJVVGIJ-QWRGUYRKSA-N 1 2 303.366 1.347 20 30 DDEDLO C=C[C@@H](COC)NC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC001320300768 866625542 /nfs/dbraw/zinc/62/55/42/866625542.db2.gz HYSYUSQQIQXPFG-ZDUSSCGKSA-N 1 2 320.393 1.549 20 30 DDEDLO C#CCCOc1ccc(C(=O)N(C)C2C[NH+](C[C@H](C)O)C2)cc1 ZINC001323920965 866949368 /nfs/dbraw/zinc/94/93/68/866949368.db2.gz KIMFQHWVPKOUFF-AWEZNQCLSA-N 1 2 316.401 1.226 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NCCC1=CCN(CC#N)CC1 ZINC001161805373 867006165 /nfs/dbraw/zinc/00/61/65/867006165.db2.gz GLFWNHQQIOQEGG-UHFFFAOYSA-N 1 2 301.394 1.015 20 30 DDEDLO C[C@@H](C#N)C(=O)NC/C=C\C[NH2+]Cc1nc(-c2ccco2)no1 ZINC001320999593 867192841 /nfs/dbraw/zinc/19/28/41/867192841.db2.gz VTLUJSSDPKPYQO-QUCGXOGASA-N 1 2 315.333 1.251 20 30 DDEDLO Cn1ncc(C[NH+]2CCC(C)(NC(=O)C#CC(C)(C)C)CC2)n1 ZINC001324310526 867196979 /nfs/dbraw/zinc/19/69/79/867196979.db2.gz XOUSGXIBEWTYCT-UHFFFAOYSA-N 1 2 317.437 1.335 20 30 DDEDLO COCc1nc(C[NH2+]C/C=C\CNC(=O)C#CC2CC2)cs1 ZINC001321052553 867265350 /nfs/dbraw/zinc/26/53/50/867265350.db2.gz SQAVRIKJDFGXDR-IHWYPQMZSA-N 1 2 319.430 1.465 20 30 DDEDLO C=CC(C)(C)CC(=O)NC/C=C/C[NH2+][C@@H](C)c1nnnn1C ZINC001321078611 867293836 /nfs/dbraw/zinc/29/38/36/867293836.db2.gz YTRVNDAEQFEHPR-GUOLPTJISA-N 1 2 306.414 1.135 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC/C=C/C[NH2+][C@H](C)c1nc(C)no1 ZINC001321252025 867437875 /nfs/dbraw/zinc/43/78/75/867437875.db2.gz MHLUNUXFWTYUBL-JBLHYKRYSA-N 1 2 320.393 1.292 20 30 DDEDLO C#CC1(Nc2cc(N3CCC[C@@H]3C(N)=O)nc[nH+]2)CCCCC1 ZINC001162480199 867564391 /nfs/dbraw/zinc/56/43/91/867564391.db2.gz YICYEQPUEICJGM-CYBMUJFWSA-N 1 2 313.405 1.679 20 30 DDEDLO C#CC1(Nc2cc(N3CCC[C@@H]3C(N)=O)[nH+]cn2)CCCCC1 ZINC001162480199 867564399 /nfs/dbraw/zinc/56/43/99/867564399.db2.gz YICYEQPUEICJGM-CYBMUJFWSA-N 1 2 313.405 1.679 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNc2nccc(Br)c2C#N)C1 ZINC001162689725 867739802 /nfs/dbraw/zinc/73/98/02/867739802.db2.gz NNTKBYBXVXYNFT-VIFPVBQESA-N 1 2 311.183 1.458 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNc2nccc(Br)c2C#N)C1 ZINC001162689725 867739811 /nfs/dbraw/zinc/73/98/11/867739811.db2.gz NNTKBYBXVXYNFT-VIFPVBQESA-N 1 2 311.183 1.458 20 30 DDEDLO COc1nc(NC[C@H]([NH3+])C(=O)OC(C)(C)C)c(F)cc1C#N ZINC001162854018 867878632 /nfs/dbraw/zinc/87/86/32/867878632.db2.gz HYPLGKCHMJOIPM-JTQLQIEISA-N 1 2 310.329 1.182 20 30 DDEDLO C#CC[C@@H](NC(=O)C(=O)N[C@@H](C)Cn1cc[nH+]c1)c1ccccc1 ZINC001322220046 868059326 /nfs/dbraw/zinc/05/93/26/868059326.db2.gz WLYGDJUHBUPQAF-GOEBONIOSA-N 1 2 324.384 1.269 20 30 DDEDLO C=CCOC(=O)N1CCC2(CN(c3cc(N)nc[nH+]3)C2)CC1 ZINC001163865071 868764667 /nfs/dbraw/zinc/76/46/67/868764667.db2.gz BMICTCYTWHLYGE-UHFFFAOYSA-N 1 2 303.366 1.284 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2nccc(-c3ccccc3)c2C#N)C[NH2+]1 ZINC001164302533 869105775 /nfs/dbraw/zinc/10/57/75/869105775.db2.gz WMWVPMFBQMIXAI-BBRMVZONSA-N 1 2 322.368 1.936 20 30 DDEDLO C=C(C)CCC(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC001337234005 869393719 /nfs/dbraw/zinc/39/37/19/869393719.db2.gz DEERXUDXXJIJOY-UHFFFAOYSA-N 1 2 310.438 1.729 20 30 DDEDLO N#Cc1cnc(N2CCc3onc(Cn4cc[nH+]c4)c3C2)cn1 ZINC001165546752 869638529 /nfs/dbraw/zinc/63/85/29/869638529.db2.gz KRTOACKJFDFNDC-UHFFFAOYSA-N 1 2 307.317 1.144 20 30 DDEDLO CO[C@H](C)C(=O)NC1CC[NH+](Cc2cc(F)ccc2C#N)CC1 ZINC001226310268 882342768 /nfs/dbraw/zinc/34/27/68/882342768.db2.gz WKJJBTQYBPSXAH-GFCCVEGCSA-N 1 2 319.380 1.813 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(Cc2cc(C)on2)CC1 ZINC001316961701 869999073 /nfs/dbraw/zinc/99/90/73/869999073.db2.gz DPWVYDBVGWLACC-UHFFFAOYSA-N 1 2 306.410 1.183 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCCC1(C[NH2+]Cc2nnn(C)n2)CC1 ZINC001166693648 870159294 /nfs/dbraw/zinc/15/92/94/870159294.db2.gz IJGXABDFSPNFLM-OLZOCXBDSA-N 1 2 320.441 1.044 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCN(C)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001298074953 870271145 /nfs/dbraw/zinc/27/11/45/870271145.db2.gz UAVLYWZNFNEFFZ-CQSZACIVSA-N 1 2 320.437 1.963 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)COC[C@H]1CCCO1 ZINC001381633432 882390679 /nfs/dbraw/zinc/39/06/79/882390679.db2.gz AXPRVILJEBYAFW-QWHCGFSZSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)COC[C@H]1CCCO1 ZINC001381633432 882390698 /nfs/dbraw/zinc/39/06/98/882390698.db2.gz AXPRVILJEBYAFW-QWHCGFSZSA-N 1 2 304.818 1.371 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H](C)C#N ZINC001317170201 870406562 /nfs/dbraw/zinc/40/65/62/870406562.db2.gz WACGTHWZMJCEHD-ZIAGYGMSSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H](C)C#N ZINC001317170201 870406568 /nfs/dbraw/zinc/40/65/68/870406568.db2.gz WACGTHWZMJCEHD-ZIAGYGMSSA-N 1 2 308.426 1.033 20 30 DDEDLO C=CCN(c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC)C1CC1 ZINC001339352316 870456278 /nfs/dbraw/zinc/45/62/78/870456278.db2.gz APXACSGJRLEIOO-BBRMVZONSA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCN(c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC)C1CC1 ZINC001339352316 870456289 /nfs/dbraw/zinc/45/62/89/870456289.db2.gz APXACSGJRLEIOO-BBRMVZONSA-N 1 2 305.426 1.364 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@H+](C/C(Cl)=C/Cl)C[C@H]21 ZINC001317211708 870477326 /nfs/dbraw/zinc/47/73/26/870477326.db2.gz SPDXFHBQWFWZEX-CUNIMKTBSA-N 1 2 319.232 1.679 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@@H+](C/C(Cl)=C/Cl)C[C@H]21 ZINC001317211708 870477341 /nfs/dbraw/zinc/47/73/41/870477341.db2.gz SPDXFHBQWFWZEX-CUNIMKTBSA-N 1 2 319.232 1.679 20 30 DDEDLO C#CCCCC(=O)NCC[N@@H+](C)CC(=O)N1CCCC[C@@H]1C ZINC001317443935 870846554 /nfs/dbraw/zinc/84/65/54/870846554.db2.gz FVUCVUNIAUXULT-HNNXBMFYSA-N 1 2 307.438 1.239 20 30 DDEDLO C#CCCCC(=O)NCC[N@H+](C)CC(=O)N1CCCC[C@@H]1C ZINC001317443935 870846559 /nfs/dbraw/zinc/84/65/59/870846559.db2.gz FVUCVUNIAUXULT-HNNXBMFYSA-N 1 2 307.438 1.239 20 30 DDEDLO Cn1ccc(=O)c(C(=O)NCC[N@H+](C)CC#Cc2ccccc2)c1 ZINC001317450358 870862096 /nfs/dbraw/zinc/86/20/96/870862096.db2.gz FBYGZKKYGYYTNA-UHFFFAOYSA-N 1 2 323.396 1.099 20 30 DDEDLO Cn1ccc(=O)c(C(=O)NCC[N@@H+](C)CC#Cc2ccccc2)c1 ZINC001317450358 870862108 /nfs/dbraw/zinc/86/21/08/870862108.db2.gz FBYGZKKYGYYTNA-UHFFFAOYSA-N 1 2 323.396 1.099 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](C)Cc1cc(=O)c(OC)co1 ZINC001317458688 870872351 /nfs/dbraw/zinc/87/23/51/870872351.db2.gz KEFXLUTYQJMAMT-UHFFFAOYSA-N 1 2 308.378 1.409 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](C)Cc1cc(=O)c(OC)co1 ZINC001317458688 870872364 /nfs/dbraw/zinc/87/23/64/870872364.db2.gz KEFXLUTYQJMAMT-UHFFFAOYSA-N 1 2 308.378 1.409 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H]1CCCC[C@H]1CC ZINC001317468129 870888118 /nfs/dbraw/zinc/88/81/18/870888118.db2.gz OOBMREZPLIICQA-CABCVRRESA-N 1 2 307.438 1.000 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)[C@H]1CCCC[C@H]1CC ZINC001317468129 870888127 /nfs/dbraw/zinc/88/81/27/870888127.db2.gz OOBMREZPLIICQA-CABCVRRESA-N 1 2 307.438 1.000 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC#N)C1CCCC1 ZINC001317508408 870948687 /nfs/dbraw/zinc/94/86/87/870948687.db2.gz KLEMPOGGNWYVAI-ZFWWWQNUSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC#N)C1CCCC1 ZINC001317508408 870948698 /nfs/dbraw/zinc/94/86/98/870948698.db2.gz KLEMPOGGNWYVAI-ZFWWWQNUSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CCC)CC1CC1 ZINC001340258089 870991867 /nfs/dbraw/zinc/99/18/67/870991867.db2.gz FRWHWHYKBCRMEF-GJZGRUSLSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(CCC)CC1CC1 ZINC001340258089 870991879 /nfs/dbraw/zinc/99/18/79/870991879.db2.gz FRWHWHYKBCRMEF-GJZGRUSLSA-N 1 2 319.453 1.828 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C[C@@H](C)SC)n2CC=C)CC1 ZINC001340399025 871080651 /nfs/dbraw/zinc/08/06/51/871080651.db2.gz PXKGSQKKATVDNE-CQSZACIVSA-N 1 2 319.478 1.513 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@@H](CC)C[NH+]2CCOCC2)cc1 ZINC001303791084 871155226 /nfs/dbraw/zinc/15/52/26/871155226.db2.gz TZPBLTYMYOXCCC-SFHVURJKSA-N 1 2 314.429 1.828 20 30 DDEDLO C=CCn1c(N(C)CCCC)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001340558269 871196137 /nfs/dbraw/zinc/19/61/37/871196137.db2.gz FGDFCMJMEMRKGN-XJKSGUPXSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CCn1c(N(C)CCCC)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001340558269 871196147 /nfs/dbraw/zinc/19/61/47/871196147.db2.gz FGDFCMJMEMRKGN-XJKSGUPXSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CCCC(=O)NC1CC[NH+]([C@@H](C)c2nc(COC)no2)CC1 ZINC001226528288 882485906 /nfs/dbraw/zinc/48/59/06/882485906.db2.gz VWAZOODOUUEDCN-LBPRGKRZSA-N 1 2 322.409 1.824 20 30 DDEDLO C=C(Cl)C[NH2+]CCN(C)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001317641039 871281898 /nfs/dbraw/zinc/28/18/98/871281898.db2.gz VPVDYLDSRJTDCE-UHFFFAOYSA-N 1 2 310.707 1.843 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(CC)CCOCC ZINC001340732394 871315108 /nfs/dbraw/zinc/31/51/08/871315108.db2.gz GFTLZDMGIKNNKZ-UHFFFAOYSA-N 1 2 318.425 1.651 20 30 DDEDLO CC[C@@H]1CCCCN1C(=O)C[N@H+](C)CCNC(=O)C#CC(C)C ZINC001317443352 871327405 /nfs/dbraw/zinc/32/74/05/871327405.db2.gz IVEGQEBFPKPHGI-MRXNPFEDSA-N 1 2 321.465 1.485 20 30 DDEDLO CC[C@@H]1CCCCN1C(=O)C[N@@H+](C)CCNC(=O)C#CC(C)C ZINC001317443352 871327421 /nfs/dbraw/zinc/32/74/21/871327421.db2.gz IVEGQEBFPKPHGI-MRXNPFEDSA-N 1 2 321.465 1.485 20 30 DDEDLO C[C@@H](C#N)C(=O)N(Cc1ccccc1)[C@@H]1C[N@H+](CCF)C[C@H]1O ZINC001205109599 871366916 /nfs/dbraw/zinc/36/69/16/871366916.db2.gz GCRHOZWRLAOVTA-NUEKZKHPSA-N 1 2 319.380 1.189 20 30 DDEDLO C[C@@H](C#N)C(=O)N(Cc1ccccc1)[C@@H]1C[N@@H+](CCF)C[C@H]1O ZINC001205109599 871366935 /nfs/dbraw/zinc/36/69/35/871366935.db2.gz GCRHOZWRLAOVTA-NUEKZKHPSA-N 1 2 319.380 1.189 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)C2(C3CCC3)CCC2)CC1 ZINC001317819788 871548739 /nfs/dbraw/zinc/54/87/39/871548739.db2.gz HBOLGLFBCOLWJM-UHFFFAOYSA-N 1 2 317.477 1.666 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H](C)C[C@H]1C[NH2+]Cc1nnc(C)o1 ZINC001316696458 871628272 /nfs/dbraw/zinc/62/82/72/871628272.db2.gz IIEPIFPGOZRTRU-OCCSQVGLSA-N 1 2 322.409 1.297 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001317931368 871634308 /nfs/dbraw/zinc/63/43/08/871634308.db2.gz UIPCFXPMKYWIPY-MRXNPFEDSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001317931368 871634326 /nfs/dbraw/zinc/63/43/26/871634326.db2.gz UIPCFXPMKYWIPY-MRXNPFEDSA-N 1 2 321.465 1.533 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@H](C)CC)C1 ZINC001317940029 871637310 /nfs/dbraw/zinc/63/73/10/871637310.db2.gz AHXDPDXHPYDERE-ZIAGYGMSSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@H](C)CC)C1 ZINC001317940029 871637327 /nfs/dbraw/zinc/63/73/27/871637327.db2.gz AHXDPDXHPYDERE-ZIAGYGMSSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)CCc2ccc(F)cc2)C1 ZINC001318042818 871708193 /nfs/dbraw/zinc/70/81/93/871708193.db2.gz ITFNWPPPKOURNE-UHFFFAOYSA-N 1 2 306.381 1.761 20 30 DDEDLO C[C@H](C#N)C(=O)NC1CC[NH+](Cc2cc3n(n2)CCC3)CC1 ZINC001226640030 882559667 /nfs/dbraw/zinc/55/96/67/882559667.db2.gz KAMXQFMNNZODDX-GFCCVEGCSA-N 1 2 301.394 1.070 20 30 DDEDLO C[C@H](NCC#N)[C@@H]1CCCCN1C(=O)CCc1[nH+]ccn1C ZINC001316882506 872199856 /nfs/dbraw/zinc/19/98/56/872199856.db2.gz ZJBMQXAFWNTJEL-KBPBESRZSA-N 1 2 303.410 1.235 20 30 DDEDLO N#CCN1CCC(CCNC(=O)[C@H]2CCc3c[nH+]cn3C2)CC1 ZINC001319028427 872403582 /nfs/dbraw/zinc/40/35/82/872403582.db2.gz ZUKBIQJBAUUZOA-HNNXBMFYSA-N 1 2 315.421 1.187 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(COC)no1 ZINC001319074532 872427990 /nfs/dbraw/zinc/42/79/90/872427990.db2.gz DASZXCXEEAUGMD-CYBMUJFWSA-N 1 2 322.409 1.653 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc([C@@H]3CCC[N@@H+]3C)n2CCOC)C1 ZINC001343216211 872538507 /nfs/dbraw/zinc/53/85/07/872538507.db2.gz FQLGYMBEPSUKJX-GJZGRUSLSA-N 1 2 317.437 1.541 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc([C@@H]3CCC[N@H+]3C)n2CCOC)C1 ZINC001343216211 872538511 /nfs/dbraw/zinc/53/85/11/872538511.db2.gz FQLGYMBEPSUKJX-GJZGRUSLSA-N 1 2 317.437 1.541 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)Cc2ccc3c(c2)COC3)C1 ZINC001319330221 872579426 /nfs/dbraw/zinc/57/94/26/872579426.db2.gz PWTUNQKGSMQXEQ-QGZVFWFLSA-N 1 2 316.401 1.262 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)Cc2ccc3c(c2)COC3)C1 ZINC001319330221 872579433 /nfs/dbraw/zinc/57/94/33/872579433.db2.gz PWTUNQKGSMQXEQ-QGZVFWFLSA-N 1 2 316.401 1.262 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001207028709 872943077 /nfs/dbraw/zinc/94/30/77/872943077.db2.gz BTSMMENVSQPKQI-GMTAPVOTSA-N 1 2 312.307 1.526 20 30 DDEDLO C=CCOCC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001207028709 872943067 /nfs/dbraw/zinc/94/30/67/872943067.db2.gz BTSMMENVSQPKQI-GMTAPVOTSA-N 1 2 312.307 1.526 20 30 DDEDLO C=CCOCC[N@H+]1C[C@@H](C)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001207028709 872943042 /nfs/dbraw/zinc/94/30/42/872943042.db2.gz BTSMMENVSQPKQI-GMTAPVOTSA-N 1 2 312.307 1.526 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001207028709 872943051 /nfs/dbraw/zinc/94/30/51/872943051.db2.gz BTSMMENVSQPKQI-GMTAPVOTSA-N 1 2 312.307 1.526 20 30 DDEDLO Cn1cc(C[N@@H+]2CC[C@@H](N3CCOCC3)[C@@H](F)C2)cc1C#N ZINC001207108600 873028107 /nfs/dbraw/zinc/02/81/07/873028107.db2.gz OEYAKNVXJMPVKY-JKSUJKDBSA-N 1 2 306.385 1.141 20 30 DDEDLO Cn1cc(C[N@H+]2CC[C@@H](N3CCOCC3)[C@@H](F)C2)cc1C#N ZINC001207108600 873028121 /nfs/dbraw/zinc/02/81/21/873028121.db2.gz OEYAKNVXJMPVKY-JKSUJKDBSA-N 1 2 306.385 1.141 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C[C@H]2C1 ZINC001345022423 873226999 /nfs/dbraw/zinc/22/69/99/873226999.db2.gz IOWZSSUBZRZPHP-KGLIPLIRSA-N 1 2 316.405 1.225 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@]2(CCN(C(=O)CCCC(C)=O)C2)C1 ZINC001276532367 873261435 /nfs/dbraw/zinc/26/14/35/873261435.db2.gz LBUMCXBKTUYKTL-QGZVFWFLSA-N 1 2 308.422 1.625 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@]2(CCN(C(=O)CCCC(C)=O)C2)C1 ZINC001276532367 873261451 /nfs/dbraw/zinc/26/14/51/873261451.db2.gz LBUMCXBKTUYKTL-QGZVFWFLSA-N 1 2 308.422 1.625 20 30 DDEDLO N#CCCC[C@@H]([NH3+])c1nc(CS(=O)(=O)c2ccccc2)no1 ZINC001345668340 873470572 /nfs/dbraw/zinc/47/05/72/873470572.db2.gz FJPIKHZERGWFLQ-GFCCVEGCSA-N 1 2 320.374 1.737 20 30 DDEDLO C#CCN(C)c1nnc(C[NH+]2CCCC2)n1C[C@H]1CCCCO1 ZINC001345756840 873502264 /nfs/dbraw/zinc/50/22/64/873502264.db2.gz AVKQLPFOCKAOPP-OAHLLOKOSA-N 1 2 317.437 1.512 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC001346401247 873735229 /nfs/dbraw/zinc/73/52/29/873735229.db2.gz LQDWQUDBYDZJOZ-KBPBESRZSA-N 1 2 318.421 1.597 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1cc[nH+]c(NCC)c1)C1CCOCC1 ZINC001346518018 873786570 /nfs/dbraw/zinc/78/65/70/873786570.db2.gz KPPDKUOBULUFFY-OAHLLOKOSA-N 1 2 316.405 1.741 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](CC)CO)n2CC(=C)C)CC1 ZINC001346560477 873804006 /nfs/dbraw/zinc/80/40/06/873804006.db2.gz IIBWKWFIWRODFP-OAHLLOKOSA-N 1 2 317.437 1.095 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)N[C@H](C)[C@H]2CN(C)CC[N@@H+]2C)C1 ZINC001346766017 873886345 /nfs/dbraw/zinc/88/63/45/873886345.db2.gz FPCFJMGTEXRCMU-BZUAXINKSA-N 1 2 308.470 1.618 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)N[C@H](C)[C@H]2CN(C)CC[N@H+]2C)C1 ZINC001346766017 873886358 /nfs/dbraw/zinc/88/63/58/873886358.db2.gz FPCFJMGTEXRCMU-BZUAXINKSA-N 1 2 308.470 1.618 20 30 DDEDLO C=C(C)COCCNC(=O)N1CCC(c2c[nH+]cn2C)CC1 ZINC001347487589 874160481 /nfs/dbraw/zinc/16/04/81/874160481.db2.gz ZYSBOIFGHQLDFH-UHFFFAOYSA-N 1 2 306.410 1.902 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)s1 ZINC001381855170 882815036 /nfs/dbraw/zinc/81/50/36/882815036.db2.gz MRKBLPDPNCQYAO-CMPLNLGQSA-N 1 2 306.435 1.938 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)s1 ZINC001381855170 882815053 /nfs/dbraw/zinc/81/50/53/882815053.db2.gz MRKBLPDPNCQYAO-CMPLNLGQSA-N 1 2 306.435 1.938 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001209334586 874818917 /nfs/dbraw/zinc/81/89/17/874818917.db2.gz FRGWFIQYXKNGNE-CYBMUJFWSA-N 1 2 321.425 1.573 20 30 DDEDLO C=C[C@@H](COC)[NH2+]Cc1cn(Cc2ccc(OC)cc2)nn1 ZINC001348903226 874865991 /nfs/dbraw/zinc/86/59/91/874865991.db2.gz PCLGTRJARJGTHB-AWEZNQCLSA-N 1 2 302.378 1.626 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCCNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001349237856 875053780 /nfs/dbraw/zinc/05/37/80/875053780.db2.gz ZIUWPQSSAWJHJA-ZIAGYGMSSA-N 1 2 318.421 1.280 20 30 DDEDLO Cc1ccc(C[N@@H+]2CC[C@H]3C[C@]32C(=O)NC2(C#N)CCC2)cn1 ZINC001277190019 882915389 /nfs/dbraw/zinc/91/53/89/882915389.db2.gz BZLDRSSZSCUGPB-MAUKXSAKSA-N 1 2 310.401 1.917 20 30 DDEDLO Cc1ccc(C[N@H+]2CC[C@H]3C[C@]32C(=O)NC2(C#N)CCC2)cn1 ZINC001277190019 882915397 /nfs/dbraw/zinc/91/53/97/882915397.db2.gz BZLDRSSZSCUGPB-MAUKXSAKSA-N 1 2 310.401 1.917 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)cn1 ZINC001378643535 875307361 /nfs/dbraw/zinc/30/73/61/875307361.db2.gz OEKPLVBBUCNGBO-BXUZGUMPSA-N 1 2 301.394 1.271 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)cn1 ZINC001378643535 875307379 /nfs/dbraw/zinc/30/73/79/875307379.db2.gz OEKPLVBBUCNGBO-BXUZGUMPSA-N 1 2 301.394 1.271 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001350234686 875590366 /nfs/dbraw/zinc/59/03/66/875590366.db2.gz OBJZHUJRQXTPPU-CHWSQXEVSA-N 1 2 318.421 1.738 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001350234686 875590377 /nfs/dbraw/zinc/59/03/77/875590377.db2.gz OBJZHUJRQXTPPU-CHWSQXEVSA-N 1 2 318.421 1.738 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001350583605 875769469 /nfs/dbraw/zinc/76/94/69/875769469.db2.gz QSPJRRQVMTUBCX-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001350583605 875769476 /nfs/dbraw/zinc/76/94/76/875769476.db2.gz QSPJRRQVMTUBCX-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO CC[C@H](F)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001213275618 875872552 /nfs/dbraw/zinc/87/25/52/875872552.db2.gz MIRADXJIYNNLMH-GVDBMIGSSA-N 1 2 318.392 1.602 20 30 DDEDLO CC[C@H](F)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001213275618 875872561 /nfs/dbraw/zinc/87/25/61/875872561.db2.gz MIRADXJIYNNLMH-GVDBMIGSSA-N 1 2 318.392 1.602 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+](Cc2nccs2)CC1 ZINC001227332340 882973387 /nfs/dbraw/zinc/97/33/87/882973387.db2.gz MQVVHTLZSOKVRS-UHFFFAOYSA-N 1 2 307.419 1.264 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](CC#CCOC)C[C@H]2OC)CC1 ZINC001213395366 875920598 /nfs/dbraw/zinc/92/05/98/875920598.db2.gz ZCJOPVIHJJBSDC-HZPDHXFCSA-N 1 2 320.433 1.198 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](CC#CCOC)C[C@H]2OC)CC1 ZINC001213395366 875920605 /nfs/dbraw/zinc/92/06/05/875920605.db2.gz ZCJOPVIHJJBSDC-HZPDHXFCSA-N 1 2 320.433 1.198 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@H]2C[C@H](O)C[N@@H+]2C)n1CC ZINC001352904105 876997852 /nfs/dbraw/zinc/99/78/52/876997852.db2.gz YZXLKOYLIUMYTM-QLFBSQMISA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@H]2C[C@H](O)C[N@H+]2C)n1CC ZINC001352904105 876997864 /nfs/dbraw/zinc/99/78/64/876997864.db2.gz YZXLKOYLIUMYTM-QLFBSQMISA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H](C)[C@H]([NH2+][C@H](C)c2noc(C)n2)C1 ZINC001216935942 877097271 /nfs/dbraw/zinc/09/72/71/877097271.db2.gz GOHPOUYTMSLCRL-YRGRVCCFSA-N 1 2 322.409 1.468 20 30 DDEDLO CCC[C@@H](C)CC(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001217494168 877212306 /nfs/dbraw/zinc/21/23/06/877212306.db2.gz YTVKMGTYIZTJHX-IXDOHACOSA-N 1 2 322.449 1.374 20 30 DDEDLO CCC[C@@H](C)CC(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001217494168 877212324 /nfs/dbraw/zinc/21/23/24/877212324.db2.gz YTVKMGTYIZTJHX-IXDOHACOSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCNC(=O)CCN[C@@H](C[NH+](C)C)c1ccc(OC)cc1 ZINC001353327212 877257682 /nfs/dbraw/zinc/25/76/82/877257682.db2.gz HLRADOMGDLNQNU-INIZCTEOSA-N 1 2 303.406 1.027 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC001353378571 877288968 /nfs/dbraw/zinc/28/89/68/877288968.db2.gz HCRXBTWTIZQVQS-KGLIPLIRSA-N 1 2 316.405 1.129 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@H](C)C(F)(F)F)[C@H]2C1 ZINC001218591119 877596926 /nfs/dbraw/zinc/59/69/26/877596926.db2.gz WWUQILHJAQTDLF-SDDRHHMPSA-N 1 2 304.312 1.120 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@H](C)C(F)(F)F)[C@H]2C1 ZINC001218591119 877596940 /nfs/dbraw/zinc/59/69/40/877596940.db2.gz WWUQILHJAQTDLF-SDDRHHMPSA-N 1 2 304.312 1.120 20 30 DDEDLO O=C(C[C@@H]1CCCO1)NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001276792718 877663992 /nfs/dbraw/zinc/66/39/92/877663992.db2.gz KYEHZGYQHHZFSE-ROUUACIJSA-N 1 2 312.413 1.798 20 30 DDEDLO O=C(C[C@@H]1CCCO1)NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001276792718 877664007 /nfs/dbraw/zinc/66/40/07/877664007.db2.gz KYEHZGYQHHZFSE-ROUUACIJSA-N 1 2 312.413 1.798 20 30 DDEDLO CCCOCC(=O)NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001276799701 877802544 /nfs/dbraw/zinc/80/25/44/877802544.db2.gz DKJRKPWIEFFOHS-KRWDZBQOSA-N 1 2 300.402 1.655 20 30 DDEDLO CCCOCC(=O)NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001276799701 877802547 /nfs/dbraw/zinc/80/25/47/877802547.db2.gz DKJRKPWIEFFOHS-KRWDZBQOSA-N 1 2 300.402 1.655 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219332590 878124336 /nfs/dbraw/zinc/12/43/36/878124336.db2.gz AVVKGESYPPPZGV-CVEARBPZSA-N 1 2 317.364 1.325 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219332590 878124350 /nfs/dbraw/zinc/12/43/50/878124350.db2.gz AVVKGESYPPPZGV-CVEARBPZSA-N 1 2 317.364 1.325 20 30 DDEDLO C#CCN(C(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21)C(C)C ZINC001354674704 878148441 /nfs/dbraw/zinc/14/84/41/878148441.db2.gz JSKQBVPJHKBPCC-MRXNPFEDSA-N 1 2 313.401 1.160 20 30 DDEDLO C#CCN(C(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21)C(C)C ZINC001354674704 878148445 /nfs/dbraw/zinc/14/84/45/878148445.db2.gz JSKQBVPJHKBPCC-MRXNPFEDSA-N 1 2 313.401 1.160 20 30 DDEDLO N#CCOc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)C(F)F)cc1 ZINC001379944770 878374088 /nfs/dbraw/zinc/37/40/88/878374088.db2.gz VVIGGCGVQIZVHC-GFCCVEGCSA-N 1 2 309.316 1.545 20 30 DDEDLO N#CCOc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)C(F)F)cc1 ZINC001379944770 878374105 /nfs/dbraw/zinc/37/41/05/878374105.db2.gz VVIGGCGVQIZVHC-GFCCVEGCSA-N 1 2 309.316 1.545 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@@H]1O ZINC001220133652 878722961 /nfs/dbraw/zinc/72/29/61/878722961.db2.gz JFZZUPFSSVZCGM-YUELXQCFSA-N 1 2 318.421 1.301 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@@H]1O ZINC001220133652 878722971 /nfs/dbraw/zinc/72/29/71/878722971.db2.gz JFZZUPFSSVZCGM-YUELXQCFSA-N 1 2 318.421 1.301 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220133772 878723788 /nfs/dbraw/zinc/72/37/88/878723788.db2.gz NPALYDUYVNIHGZ-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220133772 878723797 /nfs/dbraw/zinc/72/37/97/878723797.db2.gz NPALYDUYVNIHGZ-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO CC#CCCCC(=O)NCCN(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001355829598 878737226 /nfs/dbraw/zinc/73/72/26/878737226.db2.gz ACRNIVLEYPKPPU-UHFFFAOYSA-N 1 2 304.394 1.111 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220205447 878820514 /nfs/dbraw/zinc/82/05/14/878820514.db2.gz AJIDPDFPDOULGA-YUELXQCFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220205447 878820523 /nfs/dbraw/zinc/82/05/23/878820523.db2.gz AJIDPDFPDOULGA-YUELXQCFSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001356301731 878978492 /nfs/dbraw/zinc/97/84/92/878978492.db2.gz KHRNACSYMSLNOG-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220435616 879012212 /nfs/dbraw/zinc/01/22/12/879012212.db2.gz HJKSTJGUCLMNGY-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220435616 879012232 /nfs/dbraw/zinc/01/22/32/879012232.db2.gz HJKSTJGUCLMNGY-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C#C[C@H]1CCCCN1C(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001356426006 879045393 /nfs/dbraw/zinc/04/53/93/879045393.db2.gz YZJSFECRZQASPR-AWEZNQCLSA-N 1 2 314.389 1.130 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C(CC)CC)[C@@H]2C1 ZINC001221280936 879645958 /nfs/dbraw/zinc/64/59/58/879645958.db2.gz LGDKCBWLROWLEC-HUUCEWRRSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(CC)CC)[C@@H]2C1 ZINC001221280936 879645966 /nfs/dbraw/zinc/64/59/66/879645966.db2.gz LGDKCBWLROWLEC-HUUCEWRRSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CC(C)(C)C(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCCC1 ZINC001357036732 879729527 /nfs/dbraw/zinc/72/95/27/879729527.db2.gz KCMYUUMQWKKHFD-UHFFFAOYSA-N 1 2 318.421 1.641 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3cc(C)no3)C[C@H]21 ZINC001221539022 879932041 /nfs/dbraw/zinc/93/20/41/879932041.db2.gz JGTGAYFVMVMHRW-SQWLQELKSA-N 1 2 317.389 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3cc(C)no3)C[C@H]21 ZINC001221539022 879932054 /nfs/dbraw/zinc/93/20/54/879932054.db2.gz JGTGAYFVMVMHRW-SQWLQELKSA-N 1 2 317.389 1.054 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001358348546 880408285 /nfs/dbraw/zinc/40/82/85/880408285.db2.gz VSRPQUJHCMXITQ-ZIAGYGMSSA-N 1 2 318.421 1.423 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3cnon3)[C@H]2C1 ZINC001222617598 880604769 /nfs/dbraw/zinc/60/47/69/880604769.db2.gz HHZKLGZWRGCDSD-HIFRSBDPSA-N 1 2 302.378 1.296 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnon3)[C@H]2C1 ZINC001222617598 880604773 /nfs/dbraw/zinc/60/47/73/880604773.db2.gz HHZKLGZWRGCDSD-HIFRSBDPSA-N 1 2 302.378 1.296 20 30 DDEDLO CC(C)c1noc(C[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)n1 ZINC001222642174 880617089 /nfs/dbraw/zinc/61/70/89/880617089.db2.gz YVGKSYBWBOPWDM-GFCCVEGCSA-N 1 2 319.409 1.681 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CCC[N@@H+](Cc1nccn1C)C2 ZINC001276856146 880777112 /nfs/dbraw/zinc/77/71/12/880777112.db2.gz ZCUIKRNPXXQYEZ-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CCC[N@H+](Cc1nccn1C)C2 ZINC001276856146 880777119 /nfs/dbraw/zinc/77/71/19/880777119.db2.gz ZCUIKRNPXXQYEZ-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](C)N(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001287942267 912610163 /nfs/dbraw/zinc/61/01/63/912610163.db2.gz KKVLUFNWTIORJH-AAEUAGOBSA-N 1 2 304.394 1.332 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nncn3C)[C@H]2C1 ZINC001223393305 880944896 /nfs/dbraw/zinc/94/48/96/880944896.db2.gz KMTIYLKFPGFIHK-CABCVRRESA-N 1 2 317.437 1.594 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3nncn3C)[C@H]2C1 ZINC001223393305 880944902 /nfs/dbraw/zinc/94/49/02/880944902.db2.gz KMTIYLKFPGFIHK-CABCVRRESA-N 1 2 317.437 1.594 20 30 DDEDLO CCc1ccc([C@H](O)C[NH+]2CCN(C(=O)[C@@H](C)C#N)CC2)cc1 ZINC001381078209 880972126 /nfs/dbraw/zinc/97/21/26/880972126.db2.gz FWDMIFNZPCGOOP-WMLDXEAASA-N 1 2 315.417 1.586 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224187699 881265890 /nfs/dbraw/zinc/26/58/90/881265890.db2.gz XWJWBQIRBWUHOO-AWEZNQCLSA-N 1 2 319.453 1.746 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@@H]23)o1 ZINC001382325106 883825570 /nfs/dbraw/zinc/82/55/70/883825570.db2.gz HONUOYMALLZBGB-XHBSWPGZSA-N 1 2 317.393 1.405 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@@H]23)o1 ZINC001382325106 883825587 /nfs/dbraw/zinc/82/55/87/883825587.db2.gz HONUOYMALLZBGB-XHBSWPGZSA-N 1 2 317.393 1.405 20 30 DDEDLO Cc1ccc(C#N)c(NC(=O)C23CC([NH2+]Cc4c[nH]nn4)(C2)C3)c1 ZINC001277375291 883915497 /nfs/dbraw/zinc/91/54/97/883915497.db2.gz JMIQMLWGVYNMRZ-UHFFFAOYSA-N 1 2 322.372 1.636 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2nc(C)c(C)o2)CC1 ZINC001230411818 884477301 /nfs/dbraw/zinc/47/73/01/884477301.db2.gz UWPWDOJJPZWKLN-UHFFFAOYSA-N 1 2 321.421 1.965 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2cnns2)CC1 ZINC001230411795 884478527 /nfs/dbraw/zinc/47/85/27/884478527.db2.gz TZPFMNHHWUKJJZ-UHFFFAOYSA-N 1 2 310.423 1.211 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)c1cc(F)c(C#N)c(F)c1 ZINC001362720026 884481779 /nfs/dbraw/zinc/48/17/79/884481779.db2.gz ORSYLHQWLFRYKL-LBPRGKRZSA-N 1 2 306.272 1.033 20 30 DDEDLO C=CCCC(=O)NC1CC(N(C)C(=O)CCc2[nH+]ccn2C)C1 ZINC001288335707 912838136 /nfs/dbraw/zinc/83/81/36/912838136.db2.gz KGRWTIRPRUCUJJ-UHFFFAOYSA-N 1 2 318.421 1.425 20 30 DDEDLO CC#CCCCC(=O)N(C)C1CC(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288337663 912841854 /nfs/dbraw/zinc/84/18/54/912841854.db2.gz VCAGKLVUDVRMFT-UHFFFAOYSA-N 1 2 316.405 1.251 20 30 DDEDLO COCCO[C@@H](C)C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230604899 884644119 /nfs/dbraw/zinc/64/41/19/884644119.db2.gz KGJTXYHVQJPONO-GOEBONIOSA-N 1 2 323.437 1.264 20 30 DDEDLO COCCO[C@@H](C)C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230604899 884644124 /nfs/dbraw/zinc/64/41/24/884644124.db2.gz KGJTXYHVQJPONO-GOEBONIOSA-N 1 2 323.437 1.264 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815339 884917499 /nfs/dbraw/zinc/91/74/99/884917499.db2.gz NDALCMFSBXJAFS-CHWSQXEVSA-N 1 2 319.434 1.327 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815339 884917513 /nfs/dbraw/zinc/91/75/13/884917513.db2.gz NDALCMFSBXJAFS-CHWSQXEVSA-N 1 2 319.434 1.327 20 30 DDEDLO C=C[C@@H]1O[C@H](Oc2[nH+][nH]cc3nncc2-3)[C@H]2OC(C)(C)O[C@@H]12 ZINC001230901574 885029004 /nfs/dbraw/zinc/02/90/04/885029004.db2.gz HVHFQMLTFFSBBA-MRBYEJRBSA-N 1 2 304.306 1.163 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CC(=C)C ZINC001231122313 885255189 /nfs/dbraw/zinc/25/51/89/885255189.db2.gz LARCTHVASUAUKE-MRXNPFEDSA-N 1 2 321.465 1.910 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CC(=C)C ZINC001231122313 885255208 /nfs/dbraw/zinc/25/52/08/885255208.db2.gz LARCTHVASUAUKE-MRXNPFEDSA-N 1 2 321.465 1.910 20 30 DDEDLO Cn1ccnc1C[N@H+](C)CCOCCNC(=O)C#CC(C)(C)C ZINC001277494042 885343564 /nfs/dbraw/zinc/34/35/64/885343564.db2.gz NMJNHIRRXIDINL-UHFFFAOYSA-N 1 2 320.437 1.034 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)CCOCCNC(=O)C#CC(C)(C)C ZINC001277494042 885343587 /nfs/dbraw/zinc/34/35/87/885343587.db2.gz NMJNHIRRXIDINL-UHFFFAOYSA-N 1 2 320.437 1.034 20 30 DDEDLO N#Cc1ccc(O)c(C[NH+]2CCC3(CCNC(=O)O3)CC2)c1 ZINC001232677993 886530626 /nfs/dbraw/zinc/53/06/26/886530626.db2.gz NTCGKXBDOWRQRJ-UHFFFAOYSA-N 1 2 301.346 1.728 20 30 DDEDLO N#Cc1cc(C[N@@H+]2C[C@H](O)[C@@H](CO)C2)ccc1Br ZINC001232893117 886654266 /nfs/dbraw/zinc/65/42/66/886654266.db2.gz BNYRXMFLOPLQOD-YPMHNXCESA-N 1 2 311.179 1.106 20 30 DDEDLO N#Cc1cc(C[N@H+]2C[C@H](O)[C@@H](CO)C2)ccc1Br ZINC001232893117 886654276 /nfs/dbraw/zinc/65/42/76/886654276.db2.gz BNYRXMFLOPLQOD-YPMHNXCESA-N 1 2 311.179 1.106 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CC1CC1)[C@@](C)(CC)C(=O)OC ZINC001363798989 887250220 /nfs/dbraw/zinc/25/02/20/887250220.db2.gz ASWOTEIZZVUWRH-KRWDZBQOSA-N 1 2 323.437 1.802 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CC1CC1)[C@@](C)(CC)C(=O)OC ZINC001363798989 887250240 /nfs/dbraw/zinc/25/02/40/887250240.db2.gz ASWOTEIZZVUWRH-KRWDZBQOSA-N 1 2 323.437 1.802 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H](C)[NH2+]Cc1nc(C(F)F)no1 ZINC001277812540 887392222 /nfs/dbraw/zinc/39/22/22/887392222.db2.gz ZCBPPKGGBBHBKO-JTQLQIEISA-N 1 2 314.336 1.603 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@@H](C)C1CC1 ZINC001233948430 887491402 /nfs/dbraw/zinc/49/14/02/887491402.db2.gz VSJNBUMFHXZXJQ-GOEBONIOSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@@H](C)C1CC1 ZINC001233948430 887491413 /nfs/dbraw/zinc/49/14/13/887491413.db2.gz VSJNBUMFHXZXJQ-GOEBONIOSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC(C)(C)CC ZINC001233966045 887504967 /nfs/dbraw/zinc/50/49/67/887504967.db2.gz IPUFATXLTOQWHB-HNNXBMFYSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC(C)(C)CC ZINC001233966045 887504982 /nfs/dbraw/zinc/50/49/82/887504982.db2.gz IPUFATXLTOQWHB-HNNXBMFYSA-N 1 2 321.465 1.627 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1C ZINC001233979292 887520742 /nfs/dbraw/zinc/52/07/42/887520742.db2.gz ZADPKXBDPNUFBV-GDBMZVCRSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1C ZINC001233979292 887520753 /nfs/dbraw/zinc/52/07/53/887520753.db2.gz ZADPKXBDPNUFBV-GDBMZVCRSA-N 1 2 307.394 1.343 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C1CC1 ZINC001234100428 887644857 /nfs/dbraw/zinc/64/48/57/887644857.db2.gz ZEMLLHQMLNXHRL-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C1CC1 ZINC001234100428 887644876 /nfs/dbraw/zinc/64/48/76/887644876.db2.gz ZEMLLHQMLNXHRL-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1C[C@@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001384548095 887662510 /nfs/dbraw/zinc/66/25/10/887662510.db2.gz RWXUGSUXBILAIH-XDQVBPFNSA-N 1 2 317.393 1.005 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234186793 887724348 /nfs/dbraw/zinc/72/43/48/887724348.db2.gz NMAMRRGRUWCYAB-HNNXBMFYSA-N 1 2 315.417 1.992 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234186793 887724359 /nfs/dbraw/zinc/72/43/59/887724359.db2.gz NMAMRRGRUWCYAB-HNNXBMFYSA-N 1 2 315.417 1.992 20 30 DDEDLO CN(C[C@H]1CCN1Cc1c[nH+]cn1C)C(=O)C#CC(C)(C)C ZINC001234196975 887738582 /nfs/dbraw/zinc/73/85/82/887738582.db2.gz XDWCZMLLYMHFAQ-CQSZACIVSA-N 1 2 302.422 1.502 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccccn1 ZINC001234215634 887753642 /nfs/dbraw/zinc/75/36/42/887753642.db2.gz NSXVLDTZZWAVON-HOCLYGCPSA-N 1 2 301.390 1.153 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccccn1 ZINC001234215634 887753654 /nfs/dbraw/zinc/75/36/54/887753654.db2.gz NSXVLDTZZWAVON-HOCLYGCPSA-N 1 2 301.390 1.153 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1C ZINC001234226026 887767647 /nfs/dbraw/zinc/76/76/47/887767647.db2.gz QQLZUEUXGPJGNH-UKRRQHHQSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1C ZINC001234226026 887767666 /nfs/dbraw/zinc/76/76/66/887767666.db2.gz QQLZUEUXGPJGNH-UKRRQHHQSA-N 1 2 305.378 1.054 20 30 DDEDLO Cc1noc(C[NH2+]C[C@H]2CCCN2C(=O)C#CC(C)(C)C)n1 ZINC001277851280 887914832 /nfs/dbraw/zinc/91/48/32/887914832.db2.gz HJNJUSRFKVJZJQ-CYBMUJFWSA-N 1 2 304.394 1.508 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1OCC[C@H]1C(C)C ZINC001234377740 887917969 /nfs/dbraw/zinc/91/79/69/887917969.db2.gz IAAIWMBPSRUBLF-ULQDDVLXSA-N 1 2 322.449 1.230 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1OCC[C@H]1C(C)C ZINC001234377740 887917975 /nfs/dbraw/zinc/91/79/75/887917975.db2.gz IAAIWMBPSRUBLF-ULQDDVLXSA-N 1 2 322.449 1.230 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NCCCC ZINC001234603539 888134140 /nfs/dbraw/zinc/13/41/40/888134140.db2.gz DRMFSGCDHGTWQE-OAHLLOKOSA-N 1 2 309.454 1.792 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NCCCC ZINC001234603539 888134154 /nfs/dbraw/zinc/13/41/54/888134154.db2.gz DRMFSGCDHGTWQE-OAHLLOKOSA-N 1 2 309.454 1.792 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2COC[C@H](C1)[N@@H+]2Cc1ccccc1CC#N ZINC001235530551 888713634 /nfs/dbraw/zinc/71/36/34/888713634.db2.gz VURYBHIEXIQFRQ-OSYLJGHBSA-N 1 2 314.385 1.905 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2COC[C@H](C1)[N@H+]2Cc1ccccc1CC#N ZINC001235530551 888713646 /nfs/dbraw/zinc/71/36/46/888713646.db2.gz VURYBHIEXIQFRQ-OSYLJGHBSA-N 1 2 314.385 1.905 20 30 DDEDLO Cc1cc(C#N)cc(NC2CC[NH+]([C@H]3CCOC3=O)CC2)n1 ZINC001364559910 888924980 /nfs/dbraw/zinc/92/49/80/888924980.db2.gz PVLWRUOXNLAXNG-AWEZNQCLSA-N 1 2 300.362 1.454 20 30 DDEDLO CS(=O)(=O)c1ccc(C[NH2+]CC2(C#N)CCOCC2)s1 ZINC001364729906 889291084 /nfs/dbraw/zinc/29/10/84/889291084.db2.gz RZHPOMOKJMRPEO-UHFFFAOYSA-N 1 2 314.432 1.562 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001364758117 889349773 /nfs/dbraw/zinc/34/97/73/889349773.db2.gz RREGHWREFJRAMB-NVXWUHKLSA-N 1 2 318.373 1.246 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001364758117 889349787 /nfs/dbraw/zinc/34/97/87/889349787.db2.gz RREGHWREFJRAMB-NVXWUHKLSA-N 1 2 318.373 1.246 20 30 DDEDLO CC(C)C[N@@H+]1Cc2ccnn2CC[C@@H]1C(=O)NC1(C#N)CCC1 ZINC001278118736 889727597 /nfs/dbraw/zinc/72/75/97/889727597.db2.gz QYFGTPRUDRFNSZ-OAHLLOKOSA-N 1 2 315.421 1.676 20 30 DDEDLO CC(C)C[N@H+]1Cc2ccnn2CC[C@@H]1C(=O)NC1(C#N)CCC1 ZINC001278118736 889727605 /nfs/dbraw/zinc/72/76/05/889727605.db2.gz QYFGTPRUDRFNSZ-OAHLLOKOSA-N 1 2 315.421 1.676 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CCC[C@@]4(CNC(=O)O4)C3)cn2c1 ZINC001237606289 889748838 /nfs/dbraw/zinc/74/88/38/889748838.db2.gz JODXLUHVOJVKKU-MRXNPFEDSA-N 1 2 311.345 1.280 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CCC[C@@]4(CNC(=O)O4)C3)cn2c1 ZINC001237606289 889748844 /nfs/dbraw/zinc/74/88/44/889748844.db2.gz JODXLUHVOJVKKU-MRXNPFEDSA-N 1 2 311.345 1.280 20 30 DDEDLO COc1cc(CN2CC([NH+]3C[C@H](C)O[C@@H](C)C3)C2)ccc1C#N ZINC001238416069 890204134 /nfs/dbraw/zinc/20/41/34/890204134.db2.gz HYWQQFOJMVBDRG-KBPBESRZSA-N 1 2 315.417 1.860 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCN3CCOC[C@@]3(CO)C2)c(Cl)c1 ZINC001365338413 890618574 /nfs/dbraw/zinc/61/85/74/890618574.db2.gz NAKSXTZLJVITLI-INIZCTEOSA-N 1 2 321.808 1.091 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCN3CCOC[C@@]3(CO)C2)c(Cl)c1 ZINC001365338413 890618577 /nfs/dbraw/zinc/61/85/77/890618577.db2.gz NAKSXTZLJVITLI-INIZCTEOSA-N 1 2 321.808 1.091 20 30 DDEDLO C=CCN(CC=C)C(=O)N1CC[C@H]2[C@@H]1CC[N@H+]2COCCOC ZINC001278296673 890655248 /nfs/dbraw/zinc/65/52/48/890655248.db2.gz CXCNGCDPDIHSIM-HOTGVXAUSA-N 1 2 323.437 1.550 20 30 DDEDLO C=CCN(CC=C)C(=O)N1CC[C@H]2[C@@H]1CC[N@@H+]2COCCOC ZINC001278296673 890655253 /nfs/dbraw/zinc/65/52/53/890655253.db2.gz CXCNGCDPDIHSIM-HOTGVXAUSA-N 1 2 323.437 1.550 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC3(C[C@H]3C(=O)NCC(F)F)C2)c(F)c1 ZINC001278305077 890778205 /nfs/dbraw/zinc/77/82/05/890778205.db2.gz FKVPSZFVIJMWMX-LBPRGKRZSA-N 1 2 323.318 1.901 20 30 DDEDLO N#Cc1cnnc(-c2ccc(OCC[NH+]3CCOCC3)cc2)c1 ZINC001240450349 890934135 /nfs/dbraw/zinc/93/41/35/890934135.db2.gz ZJWXTKQKJYXNLG-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001365937792 891969669 /nfs/dbraw/zinc/96/96/69/891969669.db2.gz MVMYVDTYMQRACY-MRXNPFEDSA-N 1 2 301.390 1.675 20 30 DDEDLO CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001365937792 891969680 /nfs/dbraw/zinc/96/96/80/891969680.db2.gz MVMYVDTYMQRACY-MRXNPFEDSA-N 1 2 301.390 1.675 20 30 DDEDLO CC(C)C#CC(=O)N1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC001292402570 913660245 /nfs/dbraw/zinc/66/02/45/913660245.db2.gz KWYNWKSKYBYSNS-OAHLLOKOSA-N 1 2 316.405 1.164 20 30 DDEDLO CCCCCCCCOC(=O)CN[C@H]1C[NH2+][C@H](C(=O)OC)C1 ZINC001246309253 892533230 /nfs/dbraw/zinc/53/32/30/892533230.db2.gz NWEZLOHNRMOZLY-KGLIPLIRSA-N 1 2 314.426 1.383 20 30 DDEDLO CCc1cc(C#N)ccc1C[NH+]1CC(N2CCN(C)C(=O)C2)C1 ZINC001248752016 893684039 /nfs/dbraw/zinc/68/40/39/893684039.db2.gz TULIHRXKYAOASH-UHFFFAOYSA-N 1 2 312.417 1.079 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(C)nc2Br)CC1 ZINC001249419720 893979504 /nfs/dbraw/zinc/97/95/04/893979504.db2.gz JDZOZSVFAIUXQT-UHFFFAOYSA-N 1 2 308.223 1.903 20 30 DDEDLO Cc1cc(C#N)cc(Cl)c1NC[C@@H](O)C[NH+]1CCOCC1 ZINC001251026086 894575101 /nfs/dbraw/zinc/57/51/01/894575101.db2.gz AWJZQFLTRLNAHF-CYBMUJFWSA-N 1 2 309.797 1.625 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)Cn2ccccc2=O)C1 ZINC001367068758 895744359 /nfs/dbraw/zinc/74/43/59/895744359.db2.gz JULYUQGTMVNUCX-CQSZACIVSA-N 1 2 323.824 1.524 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)Cn2ccccc2=O)C1 ZINC001367068758 895744374 /nfs/dbraw/zinc/74/43/74/895744374.db2.gz JULYUQGTMVNUCX-CQSZACIVSA-N 1 2 323.824 1.524 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001367075607 895768676 /nfs/dbraw/zinc/76/86/76/895768676.db2.gz QTDPHIMTXFEHIN-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001367075607 895768690 /nfs/dbraw/zinc/76/86/90/895768690.db2.gz QTDPHIMTXFEHIN-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1C[N@@H+]([C@H]2CC[C@@H](C#N)C2)CCO1 ZINC001254668663 896567997 /nfs/dbraw/zinc/56/79/97/896567997.db2.gz HPBPPPOTZDDEJT-RDBSUJKOSA-N 1 2 309.410 1.904 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1C[N@H+]([C@H]2CC[C@@H](C#N)C2)CCO1 ZINC001254668663 896568005 /nfs/dbraw/zinc/56/80/05/896568005.db2.gz HPBPPPOTZDDEJT-RDBSUJKOSA-N 1 2 309.410 1.904 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@@H]2[C@@H](C=C(C)C)C2(C)C)C1 ZINC001278887553 897111157 /nfs/dbraw/zinc/11/11/57/897111157.db2.gz GTRICLATNBCQHU-CABCVRRESA-N 1 2 306.450 1.964 20 30 DDEDLO N#CC1CCC([N@H+]2CCn3c(Br)nnc3C2)CC1 ZINC001256970323 897650348 /nfs/dbraw/zinc/65/03/48/897650348.db2.gz YDGDKHWYMYWJSG-UHFFFAOYSA-N 1 2 310.199 1.939 20 30 DDEDLO N#CC1CCC([N@@H+]2CCn3c(Br)nnc3C2)CC1 ZINC001256970323 897650352 /nfs/dbraw/zinc/65/03/52/897650352.db2.gz YDGDKHWYMYWJSG-UHFFFAOYSA-N 1 2 310.199 1.939 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@]1(C)CCC(=O)NC1 ZINC001367824067 897925720 /nfs/dbraw/zinc/92/57/20/897925720.db2.gz FEEMJYBXFHXYEZ-BXUZGUMPSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@]1(C)CCC(=O)NC1 ZINC001367824067 897925725 /nfs/dbraw/zinc/92/57/25/897925725.db2.gz FEEMJYBXFHXYEZ-BXUZGUMPSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](C)(NC(=O)[C@H](C)OC)C1 ZINC001368160039 898854985 /nfs/dbraw/zinc/85/49/85/898854985.db2.gz REZVTZPLKMTRIZ-JQWIXIFHSA-N 1 2 305.216 1.511 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](C)(NC(=O)[C@H](C)OC)C1 ZINC001368160039 898855004 /nfs/dbraw/zinc/85/50/04/898855004.db2.gz REZVTZPLKMTRIZ-JQWIXIFHSA-N 1 2 305.216 1.511 20 30 DDEDLO C=C[C@H](CC(=O)N1CC[NH2+]C[C@@H]1C(=O)OCC)c1ccccc1 ZINC001261501469 899608721 /nfs/dbraw/zinc/60/87/21/899608721.db2.gz BXSIZMRVSFDXAU-GDBMZVCRSA-N 1 2 316.401 1.710 20 30 DDEDLO CC[C@H](CNC(=O)c1cccc2[nH+]ccn21)NC(=O)[C@H](C)C#N ZINC001390556498 899665145 /nfs/dbraw/zinc/66/51/45/899665145.db2.gz SCNIISPVZOMFGH-VXGBXAGGSA-N 1 2 313.361 1.119 20 30 DDEDLO C#CCN(C(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C)C(C)C ZINC001262298734 900087295 /nfs/dbraw/zinc/08/72/95/900087295.db2.gz XWMYEIOZCQYBKJ-INIZCTEOSA-N 1 2 313.401 1.160 20 30 DDEDLO C#CCN(C(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C)C(C)C ZINC001262298734 900087306 /nfs/dbraw/zinc/08/73/06/900087306.db2.gz XWMYEIOZCQYBKJ-INIZCTEOSA-N 1 2 313.401 1.160 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1CC=C ZINC001262939401 900427410 /nfs/dbraw/zinc/42/74/10/900427410.db2.gz FJKMBGUCYLQKRA-CABCVRRESA-N 1 2 315.421 1.459 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2C[N@H+]3CCCC[C@@H]3CO2)n1CC=C ZINC001262939401 900427418 /nfs/dbraw/zinc/42/74/18/900427418.db2.gz FJKMBGUCYLQKRA-CABCVRRESA-N 1 2 315.421 1.459 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C)[C@H]1C ZINC001262947583 900431059 /nfs/dbraw/zinc/43/10/59/900431059.db2.gz OOQBIYYWNUFBBS-MQYQWHSLSA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C)[C@H]1C ZINC001262947583 900431067 /nfs/dbraw/zinc/43/10/67/900431067.db2.gz OOQBIYYWNUFBBS-MQYQWHSLSA-N 1 2 305.426 1.436 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2nc(C)no2)[C@@H]1CC ZINC001264050100 900893709 /nfs/dbraw/zinc/89/37/09/900893709.db2.gz BAXMGTZREBJCAC-ZENOOKHLSA-N 1 2 322.409 1.174 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)no2)[C@@H]1CC ZINC001264050100 900893715 /nfs/dbraw/zinc/89/37/15/900893715.db2.gz BAXMGTZREBJCAC-ZENOOKHLSA-N 1 2 322.409 1.174 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]([N@H+](CCO)Cc2ccon2)C1 ZINC001264076667 900910949 /nfs/dbraw/zinc/91/09/49/900910949.db2.gz JHHQPJWXCLAMDJ-INIZCTEOSA-N 1 2 321.421 1.816 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]([N@@H+](CCO)Cc2ccon2)C1 ZINC001264076667 900910953 /nfs/dbraw/zinc/91/09/53/900910953.db2.gz JHHQPJWXCLAMDJ-INIZCTEOSA-N 1 2 321.421 1.816 20 30 DDEDLO CCC[N@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1nonc1C ZINC001391126656 900986115 /nfs/dbraw/zinc/98/61/15/900986115.db2.gz OEYKIKRHPBMOKC-UHFFFAOYSA-N 1 2 316.365 1.220 20 30 DDEDLO CCC[N@@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1nonc1C ZINC001391126656 900986130 /nfs/dbraw/zinc/98/61/30/900986130.db2.gz OEYKIKRHPBMOKC-UHFFFAOYSA-N 1 2 316.365 1.220 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCC(C)(C)C1 ZINC001264370451 901049573 /nfs/dbraw/zinc/04/95/73/901049573.db2.gz CWFSHGUYSUGJLD-CVEARBPZSA-N 1 2 306.450 1.995 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCC(C)(C)C1 ZINC001264370451 901049580 /nfs/dbraw/zinc/04/95/80/901049580.db2.gz CWFSHGUYSUGJLD-CVEARBPZSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@]1(C)OCCc2ccccc21 ZINC001264382980 901070417 /nfs/dbraw/zinc/07/04/17/901070417.db2.gz SSHLXWFDBDNYJO-APWZRJJASA-N 1 2 312.413 1.640 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@]1(C)OCCc2ccccc21 ZINC001264382980 901070424 /nfs/dbraw/zinc/07/04/24/901070424.db2.gz SSHLXWFDBDNYJO-APWZRJJASA-N 1 2 312.413 1.640 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2cocc2C)[C@H]1C ZINC001264715111 901308512 /nfs/dbraw/zinc/30/85/12/901308512.db2.gz MTQZSZKSIGADDM-OCCSQVGLSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2cocc2C)[C@H]1C ZINC001264715111 901308521 /nfs/dbraw/zinc/30/85/21/901308521.db2.gz MTQZSZKSIGADDM-OCCSQVGLSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCC[N@@H+](C)[C@H](C)c1nnnn1C ZINC001265041401 901475041 /nfs/dbraw/zinc/47/50/41/901475041.db2.gz XOCPZAZFQAJINP-GFCCVEGCSA-N 1 2 308.430 1.312 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCC[N@H+](C)[C@H](C)c1nnnn1C ZINC001265041401 901475049 /nfs/dbraw/zinc/47/50/49/901475049.db2.gz XOCPZAZFQAJINP-GFCCVEGCSA-N 1 2 308.430 1.312 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@H+]([C@@H](C)c1nncn1C)C1CC1 ZINC001265161087 901647970 /nfs/dbraw/zinc/64/79/70/901647970.db2.gz BGBOUYBPRJHQAE-AWEZNQCLSA-N 1 2 317.437 1.506 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@@H+]([C@@H](C)c1nncn1C)C1CC1 ZINC001265161087 901647976 /nfs/dbraw/zinc/64/79/76/901647976.db2.gz BGBOUYBPRJHQAE-AWEZNQCLSA-N 1 2 317.437 1.506 20 30 DDEDLO CC(C)c1ccccc1C(=O)NCC[NH+]1CCN(CC#N)CC1 ZINC001265260139 901783695 /nfs/dbraw/zinc/78/36/95/901783695.db2.gz XTLZRJIYBOZCDT-UHFFFAOYSA-N 1 2 314.433 1.681 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)c2cc(C)oc2C)C1 ZINC001265270865 901796036 /nfs/dbraw/zinc/79/60/36/901796036.db2.gz NGQFCVKFNRTUFX-JSGCOSHPSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)c2cc(C)oc2C)C1 ZINC001265270865 901796047 /nfs/dbraw/zinc/79/60/47/901796047.db2.gz NGQFCVKFNRTUFX-JSGCOSHPSA-N 1 2 319.405 1.391 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265282480 901820562 /nfs/dbraw/zinc/82/05/62/901820562.db2.gz UMCFMKBLKZSUKF-CQSZACIVSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265282480 901820572 /nfs/dbraw/zinc/82/05/72/901820572.db2.gz UMCFMKBLKZSUKF-CQSZACIVSA-N 1 2 307.438 1.143 20 30 DDEDLO CC(C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001391579180 902054319 /nfs/dbraw/zinc/05/43/19/902054319.db2.gz HYTIWYFOUUSTFC-CQSZACIVSA-N 1 2 319.380 1.549 20 30 DDEDLO CC(C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001391579180 902054327 /nfs/dbraw/zinc/05/43/27/902054327.db2.gz HYTIWYFOUUSTFC-CQSZACIVSA-N 1 2 319.380 1.549 20 30 DDEDLO Cn1ncnc1C[N@@H+]1CCC[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001265582257 902159348 /nfs/dbraw/zinc/15/93/48/902159348.db2.gz ODXYAMZEELMQBH-AWEZNQCLSA-N 1 2 317.437 1.193 20 30 DDEDLO Cn1ncnc1C[N@H+]1CCC[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001265582257 902159355 /nfs/dbraw/zinc/15/93/55/902159355.db2.gz ODXYAMZEELMQBH-AWEZNQCLSA-N 1 2 317.437 1.193 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001265903282 902567130 /nfs/dbraw/zinc/56/71/30/902567130.db2.gz KXOMKJVLYIXLFA-LBPRGKRZSA-N 1 2 304.394 1.372 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@@H+](C)[C@@H](C)c2nnnn2C)C1 ZINC001265921186 902598715 /nfs/dbraw/zinc/59/87/15/902598715.db2.gz JFFPQOWTWHPLNA-LBPRGKRZSA-N 1 2 306.414 1.066 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@H+](C)[C@@H](C)c2nnnn2C)C1 ZINC001265921186 902598723 /nfs/dbraw/zinc/59/87/23/902598723.db2.gz JFFPQOWTWHPLNA-LBPRGKRZSA-N 1 2 306.414 1.066 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2cc(C)oc2C)C1 ZINC001266200645 903097042 /nfs/dbraw/zinc/09/70/42/903097042.db2.gz PZJPGLHFZYFPBQ-CQSZACIVSA-N 1 2 319.405 1.393 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2cc(C)oc2C)C1 ZINC001266200645 903097053 /nfs/dbraw/zinc/09/70/53/903097053.db2.gz PZJPGLHFZYFPBQ-CQSZACIVSA-N 1 2 319.405 1.393 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(C)no2)C1 ZINC001266217826 903131761 /nfs/dbraw/zinc/13/17/61/903131761.db2.gz IAELSGIFLKIGHH-ZIAGYGMSSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C)no2)C1 ZINC001266217826 903131766 /nfs/dbraw/zinc/13/17/66/903131766.db2.gz IAELSGIFLKIGHH-ZIAGYGMSSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(C)no2)C1 ZINC001266217825 903132411 /nfs/dbraw/zinc/13/24/11/903132411.db2.gz IAELSGIFLKIGHH-UONOGXRCSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C)no2)C1 ZINC001266217825 903132417 /nfs/dbraw/zinc/13/24/17/903132417.db2.gz IAELSGIFLKIGHH-UONOGXRCSA-N 1 2 305.378 1.102 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@@H+]1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001266219367 903135435 /nfs/dbraw/zinc/13/54/35/903135435.db2.gz XYSIQVIOEAOPJM-HOTGVXAUSA-N 1 2 321.465 1.531 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@H+]1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001266219367 903135448 /nfs/dbraw/zinc/13/54/48/903135448.db2.gz XYSIQVIOEAOPJM-HOTGVXAUSA-N 1 2 321.465 1.531 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N(C)CC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001392274184 903731084 /nfs/dbraw/zinc/73/10/84/903731084.db2.gz YTGSLTBBBPAAPY-MRXNPFEDSA-N 1 2 321.396 1.604 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N(C)CC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001392274184 903731094 /nfs/dbraw/zinc/73/10/94/903731094.db2.gz YTGSLTBBBPAAPY-MRXNPFEDSA-N 1 2 321.396 1.604 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001280523203 903758486 /nfs/dbraw/zinc/75/84/86/903758486.db2.gz GZWMDMIPUCRBIR-GFCCVEGCSA-N 1 2 306.410 1.518 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001280527751 903766634 /nfs/dbraw/zinc/76/66/34/903766634.db2.gz CKYAVIPVGYGJKT-ZDUSSCGKSA-N 1 2 304.394 1.109 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C[C@H](C)CC)C2)CC1 ZINC001280681213 903917506 /nfs/dbraw/zinc/91/75/06/903917506.db2.gz ZNCVHBUBLONFTH-SJORKVTESA-N 1 2 305.466 1.664 20 30 DDEDLO C[C@H](C[NH2+]Cc1nc(C2CC2)no1)NC(=O)c1c[nH]c(C#N)c1 ZINC001392478976 904223042 /nfs/dbraw/zinc/22/30/42/904223042.db2.gz YCIZKVJEYPCZOR-SECBINFHSA-N 1 2 314.349 1.055 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1(CCCC)CC1)CO2 ZINC001280968259 904253575 /nfs/dbraw/zinc/25/35/75/904253575.db2.gz KRMAVBLXNNOBBW-HNNXBMFYSA-N 1 2 304.434 1.940 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001281048012 904354670 /nfs/dbraw/zinc/35/46/70/904354670.db2.gz SFBZQINEJCFHLX-CXAGYDPISA-N 1 2 320.437 1.908 20 30 DDEDLO C[C@H]1[C@H](NC(=O)C#CC(C)(C)C)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001281154257 904488752 /nfs/dbraw/zinc/48/87/52/904488752.db2.gz OXRZYOPFPWQIMA-GXTWGEPZSA-N 1 2 316.405 1.107 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001281163422 904510694 /nfs/dbraw/zinc/51/06/94/904510694.db2.gz FCGPKICUHKTGFC-HUUCEWRRSA-N 1 2 316.405 1.182 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)Cc3cnn(C)c3)cc2C1 ZINC001281293929 904659891 /nfs/dbraw/zinc/65/98/91/904659891.db2.gz ZIWPYMHJGXBPIJ-UHFFFAOYSA-N 1 2 308.385 1.228 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)Cc3cnn(C)c3)cc2C1 ZINC001281293929 904659898 /nfs/dbraw/zinc/65/98/98/904659898.db2.gz ZIWPYMHJGXBPIJ-UHFFFAOYSA-N 1 2 308.385 1.228 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001375075786 914874297 /nfs/dbraw/zinc/87/42/97/914874297.db2.gz HBMNCHHXUDULHE-NEPJUHHUSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001375075786 914874318 /nfs/dbraw/zinc/87/43/18/914874318.db2.gz HBMNCHHXUDULHE-NEPJUHHUSA-N 1 2 317.861 1.726 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@H]2C[C@@H](CNC(=O)C#CC(C)C)C2)no1 ZINC001316614189 905220296 /nfs/dbraw/zinc/22/02/96/905220296.db2.gz ALQGYCGEUNBXCO-FPMFFAJLSA-N 1 2 304.394 1.583 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)CN1CCCCCC1=O ZINC001377364151 921139602 /nfs/dbraw/zinc/13/96/02/921139602.db2.gz JAVBDUYPNWCTTM-UHFFFAOYSA-N 1 2 315.845 1.580 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)CN1CCCCCC1=O ZINC001377364151 921139607 /nfs/dbraw/zinc/13/96/07/921139607.db2.gz JAVBDUYPNWCTTM-UHFFFAOYSA-N 1 2 315.845 1.580 20 30 DDEDLO COC[C@H](C)CC(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001392862478 905499109 /nfs/dbraw/zinc/49/91/09/905499109.db2.gz MUNCYEVCQOXBKB-OLZOCXBDSA-N 1 2 321.446 1.983 20 30 DDEDLO COC[C@H](C)CC(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001392862478 905499122 /nfs/dbraw/zinc/49/91/22/905499122.db2.gz MUNCYEVCQOXBKB-OLZOCXBDSA-N 1 2 321.446 1.983 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@H](C)[N@H+](C)Cc1ccn(C)n1 ZINC001282486990 905822725 /nfs/dbraw/zinc/82/27/25/905822725.db2.gz SQZHOTMSDOWLOE-OAHLLOKOSA-N 1 2 324.428 1.581 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@H](C)[N@@H+](C)Cc1ccn(C)n1 ZINC001282486990 905822741 /nfs/dbraw/zinc/82/27/41/905822741.db2.gz SQZHOTMSDOWLOE-OAHLLOKOSA-N 1 2 324.428 1.581 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)C1C[NH+](Cc2ccn(C)n2)C1 ZINC001282744868 906013816 /nfs/dbraw/zinc/01/38/16/906013816.db2.gz RIWPELWBFCIUMC-GUYCJALGSA-N 1 2 304.438 1.959 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)n(C)n2)CC1(C)C ZINC001282802301 906057425 /nfs/dbraw/zinc/05/74/25/906057425.db2.gz ZYNPJKVUIZNCAW-MRXNPFEDSA-N 1 2 316.449 1.859 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)n(C)n2)CC1(C)C ZINC001282802301 906057438 /nfs/dbraw/zinc/05/74/38/906057438.db2.gz ZYNPJKVUIZNCAW-MRXNPFEDSA-N 1 2 316.449 1.859 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@@H]1CC[C@@H]2CN(CC#N)C[C@@H]2C1 ZINC001282957817 906332388 /nfs/dbraw/zinc/33/23/88/906332388.db2.gz IZRVJIBLFPIFPO-QLFBSQMISA-N 1 2 315.421 1.093 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1ccc(C(N)=O)cc1 ZINC001372471576 907378009 /nfs/dbraw/zinc/37/80/09/907378009.db2.gz NGWUJYVXTFDHGB-UHFFFAOYSA-N 1 2 309.797 1.590 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1ccc(C(N)=O)cc1 ZINC001372471576 907378025 /nfs/dbraw/zinc/37/80/25/907378025.db2.gz NGWUJYVXTFDHGB-UHFFFAOYSA-N 1 2 309.797 1.590 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1nc2c(o1)CCCC2 ZINC001372498744 907455161 /nfs/dbraw/zinc/45/51/61/907455161.db2.gz ILRREAXRZYHSMF-OLZOCXBDSA-N 1 2 316.405 1.794 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC[N@H+]1Cc1nc2c(o1)CCCC2 ZINC001372498744 907455165 /nfs/dbraw/zinc/45/51/65/907455165.db2.gz ILRREAXRZYHSMF-OLZOCXBDSA-N 1 2 316.405 1.794 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](CO)[NH2+]C/C(Cl)=C\Cl ZINC001283804215 907980609 /nfs/dbraw/zinc/98/06/09/907980609.db2.gz NDXFQQBPGGLRPQ-GKIGXUJUSA-N 1 2 309.237 1.974 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]([NH2+]Cc2nc(C)no2)C[C@H]1C ZINC001284030100 908345377 /nfs/dbraw/zinc/34/53/77/908345377.db2.gz GRGAAPPMKBJMAP-DGCLKSJQSA-N 1 2 308.382 1.050 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@@H]1CCN(C)C(=O)C1)C1CC1 ZINC001372830904 908374026 /nfs/dbraw/zinc/37/40/26/908374026.db2.gz VLJMYOXEZVTTLL-GFCCVEGCSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@@H]1CCN(C)C(=O)C1)C1CC1 ZINC001372830904 908374038 /nfs/dbraw/zinc/37/40/38/908374038.db2.gz VLJMYOXEZVTTLL-GFCCVEGCSA-N 1 2 313.829 1.188 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@H+](Cc2cc(C)n(C)n2)[C@@H]1C ZINC001284123728 908511648 /nfs/dbraw/zinc/51/16/48/908511648.db2.gz XKLULHDKKPSGDD-ZBFHGGJFSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(C)n(C)n2)[C@@H]1C ZINC001284123728 908511662 /nfs/dbraw/zinc/51/16/62/908511662.db2.gz XKLULHDKKPSGDD-ZBFHGGJFSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCCCC(=O)NCCN(C)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001284577568 909206613 /nfs/dbraw/zinc/20/66/13/909206613.db2.gz XVASMPCGDXXWNL-AWEZNQCLSA-N 1 2 318.421 1.376 20 30 DDEDLO C=CCCCC(=O)N[C@H](C[NH2+]Cc1nnn(C)n1)C(C)(C)C ZINC001284618048 909262882 /nfs/dbraw/zinc/26/28/82/909262882.db2.gz IPZVAJCLNARFOW-GFCCVEGCSA-N 1 2 308.430 1.187 20 30 DDEDLO C=C(Cl)CN1CC[NH+](CCN(C)C(=O)c2cc[nH]c2)CC1 ZINC001373260721 909337271 /nfs/dbraw/zinc/33/72/71/909337271.db2.gz VGJIJIABEVWLGN-UHFFFAOYSA-N 1 2 310.829 1.457 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C1CC[NH+](Cc2cnon2)CC1 ZINC001284711896 909384042 /nfs/dbraw/zinc/38/40/42/909384042.db2.gz DSRVGUBCYVKBNY-ZDUSSCGKSA-N 1 2 304.394 1.590 20 30 DDEDLO C#CCCCC(=O)NC1(C2CC[NH+](Cc3cnon3)CC2)CC1 ZINC001284842165 909558066 /nfs/dbraw/zinc/55/80/66/909558066.db2.gz LCWKUOJWMJDRPN-UHFFFAOYSA-N 1 2 316.405 1.734 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284935226 909765667 /nfs/dbraw/zinc/76/56/67/909765667.db2.gz YNKULZSSBOUIQX-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284935226 909765678 /nfs/dbraw/zinc/76/56/78/909765678.db2.gz YNKULZSSBOUIQX-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001285362641 910418387 /nfs/dbraw/zinc/41/83/87/910418387.db2.gz VPCKACVDIMHVMX-UONOGXRCSA-N 1 2 318.421 1.022 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@H]1CC[N@H+](Cc2ccncc2Cl)C1 ZINC001373672664 910576160 /nfs/dbraw/zinc/57/61/60/910576160.db2.gz ZBFIKBFTGCATNN-AAEUAGOBSA-N 1 2 306.797 1.927 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@H]1CC[N@@H+](Cc2ccncc2Cl)C1 ZINC001373672664 910576169 /nfs/dbraw/zinc/57/61/69/910576169.db2.gz ZBFIKBFTGCATNN-AAEUAGOBSA-N 1 2 306.797 1.927 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001285660045 910989536 /nfs/dbraw/zinc/98/95/36/910989536.db2.gz IRMDSTYYSLQGGQ-CHWSQXEVSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001285660045 910989556 /nfs/dbraw/zinc/98/95/56/910989556.db2.gz IRMDSTYYSLQGGQ-CHWSQXEVSA-N 1 2 306.410 1.566 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@@H](C)CNC(=O)C#CC(C)(C)C ZINC001285669268 911006947 /nfs/dbraw/zinc/00/69/47/911006947.db2.gz GJGHWXLQKFHXLI-LBPRGKRZSA-N 1 2 318.421 1.273 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)CNC(=O)Cc2c[nH+]cn2C)CCC1 ZINC001285774996 911189231 /nfs/dbraw/zinc/18/92/31/911189231.db2.gz CVKDHCRJZORCAC-ZDUSSCGKSA-N 1 2 318.421 1.330 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCN1C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001285851848 911301033 /nfs/dbraw/zinc/30/10/33/911301033.db2.gz PUJFAIPLFOEKFZ-UKRRQHHQSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCN1C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001285851848 911301056 /nfs/dbraw/zinc/30/10/56/911301056.db2.gz PUJFAIPLFOEKFZ-UKRRQHHQSA-N 1 2 316.405 1.109 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)[C@]2(F)CCOC2)cc1 ZINC001375333164 915632601 /nfs/dbraw/zinc/63/26/01/915632601.db2.gz HHPQHAMTRKTREY-RDJZCZTQSA-N 1 2 317.364 1.377 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@]2(F)CCOC2)cc1 ZINC001375333164 915632609 /nfs/dbraw/zinc/63/26/09/915632609.db2.gz HHPQHAMTRKTREY-RDJZCZTQSA-N 1 2 317.364 1.377 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1c[nH]c[nH+]1 ZINC001295349467 915734311 /nfs/dbraw/zinc/73/43/11/915734311.db2.gz ZPEBNOFVGHXYSN-HALDLXJZSA-N 1 2 316.405 1.272 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1c[nH+]c[nH]1 ZINC001295349467 915734322 /nfs/dbraw/zinc/73/43/22/915734322.db2.gz ZPEBNOFVGHXYSN-HALDLXJZSA-N 1 2 316.405 1.272 20 30 DDEDLO Cc1cccc(OCC[NH+]2CC(N(C)C(=O)[C@@H](C)C#N)C2)c1 ZINC001375667655 916650054 /nfs/dbraw/zinc/65/00/54/916650054.db2.gz MSCWPIHGQQEHQX-AWEZNQCLSA-N 1 2 301.390 1.676 20 30 DDEDLO CCc1noc(C[NH2+]C[C@@H](NC(=O)[C@@H](C)C#N)C2CCCC2)n1 ZINC001375782938 916949598 /nfs/dbraw/zinc/94/95/98/916949598.db2.gz DFAGPDQNSWSYRD-WCQYABFASA-N 1 2 319.409 1.556 20 30 DDEDLO CCC(=O)N[C@H](C)C(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001377158421 920495136 /nfs/dbraw/zinc/49/51/36/920495136.db2.gz BDSAAGMZESOEIX-CYBMUJFWSA-N 1 2 316.405 1.021 20 30 DDEDLO CCC(=O)N[C@H](C)C(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001377158421 920495149 /nfs/dbraw/zinc/49/51/49/920495149.db2.gz BDSAAGMZESOEIX-CYBMUJFWSA-N 1 2 316.405 1.021 20 30 DDEDLO C[N@H+](CCNC(=O)c1csnn1)Cc1cc(C#N)ccc1F ZINC001377197342 920601424 /nfs/dbraw/zinc/60/14/24/920601424.db2.gz GTQHVBVOTDWVQO-UHFFFAOYSA-N 1 2 319.365 1.411 20 30 DDEDLO C[N@@H+](CCNC(=O)c1csnn1)Cc1cc(C#N)ccc1F ZINC001377197342 920601427 /nfs/dbraw/zinc/60/14/27/920601427.db2.gz GTQHVBVOTDWVQO-UHFFFAOYSA-N 1 2 319.365 1.411 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)C[C@H]1CCCC(=O)N1)C1CC1 ZINC001377534584 922253184 /nfs/dbraw/zinc/25/31/84/922253184.db2.gz IBWZHZMXDNUOQS-GFCCVEGCSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)C[C@H]1CCCC(=O)N1)C1CC1 ZINC001377534584 922253195 /nfs/dbraw/zinc/25/31/95/922253195.db2.gz IBWZHZMXDNUOQS-GFCCVEGCSA-N 1 2 313.829 1.378 20 30 DDEDLO N#Cc1ccccc1C[N@@H+](CCNC(=O)c1cnon1)C1CC1 ZINC001377558695 922330247 /nfs/dbraw/zinc/33/02/47/922330247.db2.gz WKEHJTWISQGMPG-UHFFFAOYSA-N 1 2 311.345 1.336 20 30 DDEDLO N#Cc1ccccc1C[N@H+](CCNC(=O)c1cnon1)C1CC1 ZINC001377558695 922330260 /nfs/dbraw/zinc/33/02/60/922330260.db2.gz WKEHJTWISQGMPG-UHFFFAOYSA-N 1 2 311.345 1.336 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000451925408 231236280 /nfs/dbraw/zinc/23/62/80/231236280.db2.gz FPYZHIABHMYWHG-JTQLQIEISA-N 1 2 322.365 1.953 20 30 DDEDLO COC(=O)[C@H]([NH2+]C[C@H](O)COc1ccc(CC#N)cc1)C(C)C ZINC000614912411 362071783 /nfs/dbraw/zinc/07/17/83/362071783.db2.gz QYILQEQIQYUCQH-GOEBONIOSA-N 1 2 320.389 1.280 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)[C@@H]1CCCCO1 ZINC000329423782 539304232 /nfs/dbraw/zinc/30/42/32/539304232.db2.gz FXNDTOYRDRQVFF-KBPBESRZSA-N 1 2 313.442 1.558 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)[C@@H]1CCCCO1 ZINC000329423782 539304234 /nfs/dbraw/zinc/30/42/34/539304234.db2.gz FXNDTOYRDRQVFF-KBPBESRZSA-N 1 2 313.442 1.558 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)NC[C@H]3CC3(C)C)CC2)cn1 ZINC000329465965 539304584 /nfs/dbraw/zinc/30/45/84/539304584.db2.gz VTWACNAITKKYFQ-CQSZACIVSA-N 1 2 305.426 1.498 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N[C@@H]2CSc3ccccc32)C1 ZINC000329500951 539305038 /nfs/dbraw/zinc/30/50/38/539305038.db2.gz GBNSMQWPEHDNPX-DGCLKSJQSA-N 1 2 307.419 1.668 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N[C@@H]2CSc3ccccc32)C1 ZINC000329500951 539305039 /nfs/dbraw/zinc/30/50/39/539305039.db2.gz GBNSMQWPEHDNPX-DGCLKSJQSA-N 1 2 307.419 1.668 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc([C@H]2CCOC2)cc1 ZINC000414123094 529868388 /nfs/dbraw/zinc/86/83/88/529868388.db2.gz JEBQRHWYIXTNGY-HOTGVXAUSA-N 1 2 304.390 1.776 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc([C@H]2CCOC2)cc1 ZINC000414123094 529868389 /nfs/dbraw/zinc/86/83/89/529868389.db2.gz JEBQRHWYIXTNGY-HOTGVXAUSA-N 1 2 304.390 1.776 20 30 DDEDLO CN(C[C@@H]1CCCC[C@@H]1O)C([O-])=[NH+][C@H]1CCc2[nH]c[nH+]c2C1 ZINC000329723832 529945926 /nfs/dbraw/zinc/94/59/26/529945926.db2.gz FRQRCXOLPCMTEH-HUBLWGQQSA-N 1 2 306.410 1.664 20 30 DDEDLO CN(C[C@@H]1CCCC[C@@H]1O)C([O-])=[NH+][C@H]1CCc2[nH+]c[nH]c2C1 ZINC000329723832 529945927 /nfs/dbraw/zinc/94/59/27/529945927.db2.gz FRQRCXOLPCMTEH-HUBLWGQQSA-N 1 2 306.410 1.664 20 30 DDEDLO C[C@@H](CC(F)(F)F)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000457518654 232071542 /nfs/dbraw/zinc/07/15/42/232071542.db2.gz XIZKCAVIOKTWRY-LBPRGKRZSA-N 1 2 321.343 1.649 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2scnc2C2CC2)CC1 ZINC000495061122 539547457 /nfs/dbraw/zinc/54/74/57/539547457.db2.gz SEWMRZTVFPTFHP-UHFFFAOYSA-N 1 2 320.462 1.440 20 30 DDEDLO CC1(C)C[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C[C@@H]1O ZINC000279959730 215271826 /nfs/dbraw/zinc/27/18/26/215271826.db2.gz YHGVDPHXDHYSCR-NEPJUHHUSA-N 1 2 305.403 1.428 20 30 DDEDLO CC1(C)C[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C[C@@H]1O ZINC000279959730 215271829 /nfs/dbraw/zinc/27/18/29/215271829.db2.gz YHGVDPHXDHYSCR-NEPJUHHUSA-N 1 2 305.403 1.428 20 30 DDEDLO N#Cc1cccc(OCC[N@@H+]2CCO[C@@H](C(=O)C3CC3)C2)c1 ZINC000564813615 304015360 /nfs/dbraw/zinc/01/53/60/304015360.db2.gz JTGZYKRBMDOBKH-MRXNPFEDSA-N 1 2 300.358 1.617 20 30 DDEDLO N#Cc1cccc(OCC[N@H+]2CCO[C@@H](C(=O)C3CC3)C2)c1 ZINC000564813615 304015361 /nfs/dbraw/zinc/01/53/61/304015361.db2.gz JTGZYKRBMDOBKH-MRXNPFEDSA-N 1 2 300.358 1.617 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000277248529 213393810 /nfs/dbraw/zinc/39/38/10/213393810.db2.gz PPNZCMJHHITBNW-QGZVFWFLSA-N 1 2 300.402 1.807 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000277248529 213393813 /nfs/dbraw/zinc/39/38/13/213393813.db2.gz PPNZCMJHHITBNW-QGZVFWFLSA-N 1 2 300.402 1.807 20 30 DDEDLO C=CCC[NH+]1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000172618807 198126041 /nfs/dbraw/zinc/12/60/41/198126041.db2.gz FELKKWKFCQRJQO-UHFFFAOYSA-N 1 2 310.419 1.578 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2cn3ccccc3[nH+]2)cc1 ZINC000007050321 352120905 /nfs/dbraw/zinc/12/09/05/352120905.db2.gz RHYKFCIIISKHIR-UHFFFAOYSA-N 1 2 312.354 1.684 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000029450273 352238935 /nfs/dbraw/zinc/23/89/35/352238935.db2.gz UPFUBQZNZOHYEW-KGLIPLIRSA-N 1 2 315.421 1.378 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@H](NC(=O)OCC)C1 ZINC000047795115 352512463 /nfs/dbraw/zinc/51/24/63/352512463.db2.gz ZJNLTMSRYMRKDT-CQSZACIVSA-N 1 2 311.426 1.622 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@H](NC(=O)OCC)C1 ZINC000047795115 352512468 /nfs/dbraw/zinc/51/24/68/352512468.db2.gz ZJNLTMSRYMRKDT-CQSZACIVSA-N 1 2 311.426 1.622 20 30 DDEDLO CCc1nc(CN2CC[NH+](Cc3ccc(C#N)cc3)CC2)no1 ZINC000054316506 352687044 /nfs/dbraw/zinc/68/70/44/352687044.db2.gz SZVKYEPZOWTXOO-UHFFFAOYSA-N 1 2 311.389 1.821 20 30 DDEDLO C#CCN(CC)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000056550636 352776952 /nfs/dbraw/zinc/77/69/52/352776952.db2.gz WAGKUZZMACLIET-QGZVFWFLSA-N 1 2 315.417 1.552 20 30 DDEDLO C#CCN(CC)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000056550636 352776954 /nfs/dbraw/zinc/77/69/54/352776954.db2.gz WAGKUZZMACLIET-QGZVFWFLSA-N 1 2 315.417 1.552 20 30 DDEDLO C#CCN(C(=O)N[C@@H](C)C[NH+]1CCOCC1)C1CCCCC1 ZINC000062485960 352899206 /nfs/dbraw/zinc/89/92/06/352899206.db2.gz JQWQFIVBARIPBH-HNNXBMFYSA-N 1 2 307.438 1.685 20 30 DDEDLO C#CCN(C(=S)NCC[NH+]1CCOCC1)C1CCCCC1 ZINC000065705061 352959063 /nfs/dbraw/zinc/95/90/63/352959063.db2.gz VBDWBCFRLCAODN-UHFFFAOYSA-N 1 2 309.479 1.461 20 30 DDEDLO O=C(Nc1nc(O)c[nH]1)[C@@H](c1ccccc1)[NH+]1CCSCC1 ZINC000069390590 353159405 /nfs/dbraw/zinc/15/94/05/353159405.db2.gz HOGJWLKQMCYHFQ-CYBMUJFWSA-N 1 2 318.402 1.219 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)Cc3ccon3)CC2)cc1 ZINC000072813350 353217187 /nfs/dbraw/zinc/21/71/87/353217187.db2.gz XMFLTMSOKSHMGZ-UHFFFAOYSA-N 1 2 310.357 1.433 20 30 DDEDLO N#Cc1ccc(/C=C\C(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)cc1 ZINC000491868335 234320088 /nfs/dbraw/zinc/32/00/88/234320088.db2.gz LLDVXTRGIHPRKN-WAYWQWQTSA-N 1 2 321.384 1.639 20 30 DDEDLO C=CCNC(=O)[C@@H](C)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000076343190 353415814 /nfs/dbraw/zinc/41/58/14/353415814.db2.gz QUPHQMDKLHUYNF-OAHLLOKOSA-N 1 2 317.433 1.504 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(Cc2ccccc2OC)CC1 ZINC000076343190 353415817 /nfs/dbraw/zinc/41/58/17/353415817.db2.gz QUPHQMDKLHUYNF-OAHLLOKOSA-N 1 2 317.433 1.504 20 30 DDEDLO C[C@@H]1[C@@H](C)[S@@](=O)CC[N@@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000076813839 353439148 /nfs/dbraw/zinc/43/91/48/353439148.db2.gz ZJLZTOUEQFQSTI-GIYNXVAASA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1[C@@H](C)[S@@](=O)CC[N@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000076813839 353439150 /nfs/dbraw/zinc/43/91/50/353439150.db2.gz ZJLZTOUEQFQSTI-GIYNXVAASA-N 1 2 319.430 1.728 20 30 DDEDLO C=CCN(Cc1ccccc1F)C[C@@H](O)C[NH+]1CCOCC1 ZINC000079394077 353560363 /nfs/dbraw/zinc/56/03/63/353560363.db2.gz MMZJPFIOHJWOTP-INIZCTEOSA-N 1 2 308.397 1.507 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@@H]([C@@H](C)O)C1 ZINC000089928960 353784063 /nfs/dbraw/zinc/78/40/63/353784063.db2.gz UEKGKDMOFNLHLA-WQVCFCJDSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCC[C@@H]([C@@H](C)O)C1 ZINC000089928960 353784065 /nfs/dbraw/zinc/78/40/65/353784065.db2.gz UEKGKDMOFNLHLA-WQVCFCJDSA-N 1 2 302.374 1.865 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC000185190243 354281337 /nfs/dbraw/zinc/28/13/37/354281337.db2.gz SELDENWSHIZWHK-NXEZZACHSA-N 1 2 323.397 1.418 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)Cc2ccc(C#N)cc2)C[C@H]1C ZINC000250363490 354381204 /nfs/dbraw/zinc/38/12/04/354381204.db2.gz UIZFLYIPKMUKQN-CYBMUJFWSA-N 1 2 307.419 1.414 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)Cc2ccc(C#N)cc2)C[C@H]1C ZINC000250363490 354381207 /nfs/dbraw/zinc/38/12/07/354381207.db2.gz UIZFLYIPKMUKQN-CYBMUJFWSA-N 1 2 307.419 1.414 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCC(S(C)(=O)=O)CC1 ZINC000315052205 354490370 /nfs/dbraw/zinc/49/03/70/354490370.db2.gz JMACBSANDXHXHU-UHFFFAOYSA-N 1 2 308.403 1.576 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@H](O)COc1ccccc1C#N ZINC000316078858 354499877 /nfs/dbraw/zinc/49/98/77/354499877.db2.gz IJZYSHUKWOCJPU-HNNXBMFYSA-N 1 2 303.362 1.160 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@H](O)COc1ccccc1C#N ZINC000316078858 354499880 /nfs/dbraw/zinc/49/98/80/354499880.db2.gz IJZYSHUKWOCJPU-HNNXBMFYSA-N 1 2 303.362 1.160 20 30 DDEDLO CC(C)[C@@H](NC[C@H](O)C[N@H+](C)CCC#N)c1nc(C2CC2)no1 ZINC000578186317 354704882 /nfs/dbraw/zinc/70/48/82/354704882.db2.gz DZNPLXVMMTXRKJ-UONOGXRCSA-N 1 2 321.425 1.440 20 30 DDEDLO CC(C)[C@@H](NC[C@H](O)C[N@@H+](C)CCC#N)c1nc(C2CC2)no1 ZINC000578186317 354704885 /nfs/dbraw/zinc/70/48/85/354704885.db2.gz DZNPLXVMMTXRKJ-UONOGXRCSA-N 1 2 321.425 1.440 20 30 DDEDLO Cc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)ccc1-n1cncn1 ZINC000578578845 354709726 /nfs/dbraw/zinc/70/97/26/354709726.db2.gz WAIFWSHKJMFYFO-INIZCTEOSA-N 1 2 312.377 1.149 20 30 DDEDLO Cc1cc(Cl)ccc1OCC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000578949710 354713789 /nfs/dbraw/zinc/71/37/89/354713789.db2.gz NOIGMRZNZHWAEM-HNNXBMFYSA-N 1 2 309.797 1.987 20 30 DDEDLO C=C(C)C[NH+]1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC000578991234 354714547 /nfs/dbraw/zinc/71/45/47/354714547.db2.gz FMDOLBKIWOJNFF-UHFFFAOYSA-N 1 2 309.435 1.215 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cccc(C(F)(F)F)n1 ZINC000579598743 354721154 /nfs/dbraw/zinc/72/11/54/354721154.db2.gz PHJQIGBSVFGIRN-LBPRGKRZSA-N 1 2 300.284 1.674 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C\c1ccccc1-n1cccn1 ZINC000493704459 234904206 /nfs/dbraw/zinc/90/42/06/234904206.db2.gz HMWJFANATATEKB-LPADLIQXSA-N 1 2 323.400 1.846 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@H+](Cc3cccc(F)c3C#N)CCN2C1=O ZINC000589984545 355036761 /nfs/dbraw/zinc/03/67/61/355036761.db2.gz FTVJRXOXZFQGOJ-AWEZNQCLSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@@H+](Cc3cccc(F)c3C#N)CCN2C1=O ZINC000589984545 355036768 /nfs/dbraw/zinc/03/67/68/355036768.db2.gz FTVJRXOXZFQGOJ-AWEZNQCLSA-N 1 2 316.336 1.166 20 30 DDEDLO N#Cc1nn(C[N@@H+]2CCN3C(=O)OCC[C@@H]3C2)c2ccccc12 ZINC000495011915 235114267 /nfs/dbraw/zinc/11/42/67/235114267.db2.gz LZVCCRWVWZCDHO-GFCCVEGCSA-N 1 2 311.345 1.392 20 30 DDEDLO N#Cc1nn(C[N@H+]2CCN3C(=O)OCC[C@@H]3C2)c2ccccc12 ZINC000495011915 235114269 /nfs/dbraw/zinc/11/42/69/235114269.db2.gz LZVCCRWVWZCDHO-GFCCVEGCSA-N 1 2 311.345 1.392 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](N3CCn4c[nH+]cc4C3)C2=O)cc1 ZINC000590600460 355126764 /nfs/dbraw/zinc/12/67/64/355126764.db2.gz XSGVGHNWFOXCJP-INIZCTEOSA-N 1 2 307.357 1.376 20 30 DDEDLO N#CCC(=O)N1CCN(C(=O)c2ccc(-c3c[nH]c[nH+]3)cc2)CC1 ZINC000601323571 358496435 /nfs/dbraw/zinc/49/64/35/358496435.db2.gz NHKMPLLXUUBVFG-UHFFFAOYSA-N 1 2 323.356 1.275 20 30 DDEDLO COc1ccc(-c2noc(C[N@@H+]3CC[C@@](O)(CC#N)C3)n2)cc1 ZINC000592146954 355512521 /nfs/dbraw/zinc/51/25/21/355512521.db2.gz HBSKIXUYPSMALY-INIZCTEOSA-N 1 2 314.345 1.596 20 30 DDEDLO COc1ccc(-c2noc(C[N@H+]3CC[C@@](O)(CC#N)C3)n2)cc1 ZINC000592146954 355512524 /nfs/dbraw/zinc/51/25/24/355512524.db2.gz HBSKIXUYPSMALY-INIZCTEOSA-N 1 2 314.345 1.596 20 30 DDEDLO CCc1ccc(NC(=O)[C@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592147847 355514348 /nfs/dbraw/zinc/51/43/48/355514348.db2.gz OYOJZUNIWJZGAL-GUYCJALGSA-N 1 2 301.390 1.926 20 30 DDEDLO CCc1ccc(NC(=O)[C@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592147847 355514349 /nfs/dbraw/zinc/51/43/49/355514349.db2.gz OYOJZUNIWJZGAL-GUYCJALGSA-N 1 2 301.390 1.926 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148993 355515425 /nfs/dbraw/zinc/51/54/25/355515425.db2.gz GLZWBZXDODIVNH-XHDPSFHLSA-N 1 2 318.333 1.272 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc([N+](=O)[O-])c1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148993 355515429 /nfs/dbraw/zinc/51/54/29/355515429.db2.gz GLZWBZXDODIVNH-XHDPSFHLSA-N 1 2 318.333 1.272 20 30 DDEDLO C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149794 355516066 /nfs/dbraw/zinc/51/60/66/355516066.db2.gz WNIYYMABQHUAHB-IAQYHMDHSA-N 1 2 318.333 1.272 20 30 DDEDLO C[C@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149794 355516069 /nfs/dbraw/zinc/51/60/69/355516069.db2.gz WNIYYMABQHUAHB-IAQYHMDHSA-N 1 2 318.333 1.272 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149796 355516772 /nfs/dbraw/zinc/51/67/72/355516772.db2.gz WNIYYMABQHUAHB-XHDPSFHLSA-N 1 2 318.333 1.272 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc([N+](=O)[O-])cc1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149796 355516774 /nfs/dbraw/zinc/51/67/74/355516774.db2.gz WNIYYMABQHUAHB-XHDPSFHLSA-N 1 2 318.333 1.272 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2ccn(-c3ccc(F)cc3)n2)C1 ZINC000592151755 355518664 /nfs/dbraw/zinc/51/86/64/355518664.db2.gz QZFYQKMRUOEQDV-MRXNPFEDSA-N 1 2 300.337 1.862 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2ccn(-c3ccc(F)cc3)n2)C1 ZINC000592151755 355518665 /nfs/dbraw/zinc/51/86/65/355518665.db2.gz QZFYQKMRUOEQDV-MRXNPFEDSA-N 1 2 300.337 1.862 20 30 DDEDLO N#CCCOCC[N@H+]1Cc2ccccc2C[C@@H]1C(=O)NC1CC1 ZINC000593039004 355777007 /nfs/dbraw/zinc/77/70/07/355777007.db2.gz AKLHHEAXVFALBR-QGZVFWFLSA-N 1 2 313.401 1.622 20 30 DDEDLO N#CCCOCC[N@@H+]1Cc2ccccc2C[C@@H]1C(=O)NC1CC1 ZINC000593039004 355777010 /nfs/dbraw/zinc/77/70/10/355777010.db2.gz AKLHHEAXVFALBR-QGZVFWFLSA-N 1 2 313.401 1.622 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)C2(CCC2)CO1 ZINC000593092904 355793034 /nfs/dbraw/zinc/79/30/34/355793034.db2.gz PGJYIHFLRFDKSY-CQSZACIVSA-N 1 2 304.394 1.286 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)C2(CCC2)CO1 ZINC000593092904 355793035 /nfs/dbraw/zinc/79/30/35/355793035.db2.gz PGJYIHFLRFDKSY-CQSZACIVSA-N 1 2 304.394 1.286 20 30 DDEDLO CC[C@H](C#N)C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000593406597 355876167 /nfs/dbraw/zinc/87/61/67/355876167.db2.gz IDHDIVQXXYNIGZ-TZMCWYRMSA-N 1 2 302.378 1.473 20 30 DDEDLO C[C@H]1C[C@H](NS(=O)(=O)c2ccsc2C#N)c2[nH+]ccn21 ZINC000593607191 355941254 /nfs/dbraw/zinc/94/12/54/355941254.db2.gz GFPVIYOIJCSKNB-IUCAKERBSA-N 1 2 308.388 1.801 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCCc2c(F)cccc2F)CC1 ZINC000594003503 356084162 /nfs/dbraw/zinc/08/41/62/356084162.db2.gz OFQLCPRJBBVHOV-UHFFFAOYSA-N 1 2 307.344 1.955 20 30 DDEDLO COCc1n[nH]c(C[NH2+]Cc2cccc(OCCCC#N)c2)n1 ZINC000594969861 356365981 /nfs/dbraw/zinc/36/59/81/356365981.db2.gz RDTWNLDMDSKDGU-UHFFFAOYSA-N 1 2 315.377 1.923 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)NC1(C#N)CCC1)c1nnc2n1CCCCC2 ZINC000595432115 356490292 /nfs/dbraw/zinc/49/02/92/356490292.db2.gz GNBZXTZYASTOJS-GFCCVEGCSA-N 1 2 316.409 1.218 20 30 DDEDLO C[C@@H]1C[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H](C)C1O ZINC000595742859 356631500 /nfs/dbraw/zinc/63/15/00/356631500.db2.gz JUOIEMQSCPBGDJ-CHWSQXEVSA-N 1 2 322.430 1.281 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCc2cc(OC)ccc2C1 ZINC000595759316 356640548 /nfs/dbraw/zinc/64/05/48/356640548.db2.gz MEYVZWRKPDHUHF-LBPRGKRZSA-N 1 2 317.389 1.454 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCc2cc(OC)ccc2C1 ZINC000595759316 356640552 /nfs/dbraw/zinc/64/05/52/356640552.db2.gz MEYVZWRKPDHUHF-LBPRGKRZSA-N 1 2 317.389 1.454 20 30 DDEDLO Cn1ncc2c1CC(C)(C)C[N@H+](CC(=O)NC1(C#N)CCC1)C2 ZINC000596599854 356930221 /nfs/dbraw/zinc/93/02/21/356930221.db2.gz ZCNGGDSZHZKNJJ-UHFFFAOYSA-N 1 2 315.421 1.367 20 30 DDEDLO Cn1ncc2c1CC(C)(C)C[N@@H+](CC(=O)NC1(C#N)CCC1)C2 ZINC000596599854 356930224 /nfs/dbraw/zinc/93/02/24/356930224.db2.gz ZCNGGDSZHZKNJJ-UHFFFAOYSA-N 1 2 315.421 1.367 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)NCC1(C#N)CCCC1 ZINC000596643858 356940280 /nfs/dbraw/zinc/94/02/80/356940280.db2.gz NBESDVXFWUXSQQ-KGLIPLIRSA-N 1 2 308.426 1.479 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)NCC1(C#N)CCCC1 ZINC000596643858 356940283 /nfs/dbraw/zinc/94/02/83/356940283.db2.gz NBESDVXFWUXSQQ-KGLIPLIRSA-N 1 2 308.426 1.479 20 30 DDEDLO C[C@@H](CC#N)C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000596813346 356983346 /nfs/dbraw/zinc/98/33/46/356983346.db2.gz LHMXEFNMPVWDAW-QWHCGFSZSA-N 1 2 302.378 1.473 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)c1 ZINC000597708639 357295578 /nfs/dbraw/zinc/29/55/78/357295578.db2.gz ZBMKIUJNWBRJST-HNNXBMFYSA-N 1 2 315.373 1.201 20 30 DDEDLO C[C@@H](CC#N)C(=O)N(CC[NH+]1CCOCC1)[C@H]1CCSC1 ZINC000597676603 357280210 /nfs/dbraw/zinc/28/02/10/357280210.db2.gz ASFYDUZSZVWFOR-KBPBESRZSA-N 1 2 311.451 1.202 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000597775668 357325243 /nfs/dbraw/zinc/32/52/43/357325243.db2.gz GAVJIASIHDNTMU-UHFFFAOYSA-N 1 2 323.343 1.677 20 30 DDEDLO Cc1nc(C(=O)N2CCN(C)[C@H](c3[nH+]ccn3C)C2)ccc1C#N ZINC000276810857 213107472 /nfs/dbraw/zinc/10/74/72/213107472.db2.gz WYGNYGYTOXOJHK-HNNXBMFYSA-N 1 2 324.388 1.124 20 30 DDEDLO CCOc1ncnc2c1C[N@H+](C[C@H](O)CC(C)(C)C#N)CC2 ZINC000598590515 357643076 /nfs/dbraw/zinc/64/30/76/357643076.db2.gz NJCPXERFMVDOCL-GFCCVEGCSA-N 1 2 304.394 1.534 20 30 DDEDLO CCOc1ncnc2c1C[N@@H+](C[C@H](O)CC(C)(C)C#N)CC2 ZINC000598590515 357643081 /nfs/dbraw/zinc/64/30/81/357643081.db2.gz NJCPXERFMVDOCL-GFCCVEGCSA-N 1 2 304.394 1.534 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)[C@@H]2C[C@@]23CCOC3)CC1 ZINC000329689412 223013355 /nfs/dbraw/zinc/01/33/55/223013355.db2.gz ZGRAVAUVURJKBX-HACGYAERSA-N 1 2 321.421 1.065 20 30 DDEDLO N#Cc1ccc(CSCC(=O)N[C@@H]2Cc3c[nH+]cn3C2)cc1 ZINC000598944954 357768222 /nfs/dbraw/zinc/76/82/22/357768222.db2.gz VZYBXXBVERRTSS-CQSZACIVSA-N 1 2 312.398 1.729 20 30 DDEDLO N#Cc1cccc(NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)n1 ZINC000599182761 357841809 /nfs/dbraw/zinc/84/18/09/357841809.db2.gz AIIZLPVGUGJQRV-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1cccc(NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)n1 ZINC000599182761 357841814 /nfs/dbraw/zinc/84/18/14/357841814.db2.gz AIIZLPVGUGJQRV-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO CN(Cc1cccc(C#N)c1)C(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000599195049 357846861 /nfs/dbraw/zinc/84/68/61/357846861.db2.gz OVNSLJDJUNJFKE-OAHLLOKOSA-N 1 2 302.378 1.030 20 30 DDEDLO CN(Cc1cccc(C#N)c1)C(=O)NC[C@@H]1COCC[N@H+]1C ZINC000599195049 357846865 /nfs/dbraw/zinc/84/68/65/357846865.db2.gz OVNSLJDJUNJFKE-OAHLLOKOSA-N 1 2 302.378 1.030 20 30 DDEDLO COCCOCCN1CC[NH+](Cc2ccc(C)c(C#N)c2)CC1 ZINC000599452804 357943034 /nfs/dbraw/zinc/94/30/34/357943034.db2.gz BPBGYAWTQYSKIY-UHFFFAOYSA-N 1 2 317.433 1.647 20 30 DDEDLO COC(=O)[C@H]1CC[C@H](C(=O)OC)C[N@H+]1Cc1ccc(C#N)cc1 ZINC000599581207 357982565 /nfs/dbraw/zinc/98/25/65/357982565.db2.gz DTXIGBLDJZCRLP-LSDHHAIUSA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@H]1CC[C@H](C(=O)OC)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC000599581207 357982567 /nfs/dbraw/zinc/98/25/67/357982567.db2.gz DTXIGBLDJZCRLP-LSDHHAIUSA-N 1 2 316.357 1.485 20 30 DDEDLO N#CCc1cccc2c1CC[N@@H+](CC(=O)NC1CCOCC1)C2 ZINC000599679817 358021844 /nfs/dbraw/zinc/02/18/44/358021844.db2.gz XWSSSBWJPMKCSS-UHFFFAOYSA-N 1 2 313.401 1.406 20 30 DDEDLO N#CCc1cccc2c1CC[N@H+](CC(=O)NC1CCOCC1)C2 ZINC000599679817 358021846 /nfs/dbraw/zinc/02/18/46/358021846.db2.gz XWSSSBWJPMKCSS-UHFFFAOYSA-N 1 2 313.401 1.406 20 30 DDEDLO COC[C@]1(C)C[N@@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)CCO1 ZINC000599693412 358027896 /nfs/dbraw/zinc/02/78/96/358027896.db2.gz PJDMFVBLGUVGAC-CWRNSKLLSA-N 1 2 311.426 1.167 20 30 DDEDLO COC[C@]1(C)C[N@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)CCO1 ZINC000599693412 358027898 /nfs/dbraw/zinc/02/78/98/358027898.db2.gz PJDMFVBLGUVGAC-CWRNSKLLSA-N 1 2 311.426 1.167 20 30 DDEDLO N#CCc1ccccc1S(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC000601416441 358542856 /nfs/dbraw/zinc/54/28/56/358542856.db2.gz QFSSROAQRLROEM-UHFFFAOYSA-N 1 2 302.359 1.154 20 30 DDEDLO CCn1cc[nH+]c1CNS(=O)(=O)Cc1cccc(C#N)c1 ZINC000601471226 358568210 /nfs/dbraw/zinc/56/82/10/358568210.db2.gz VSGOXEDYRAUYKE-UHFFFAOYSA-N 1 2 304.375 1.394 20 30 DDEDLO CN(C[C@@H]1C[N@H+](C)CCO1)C(=O)Cc1c(F)cccc1C#N ZINC000601737981 358672034 /nfs/dbraw/zinc/67/20/34/358672034.db2.gz WXVOCUUTHQQUJW-ZDUSSCGKSA-N 1 2 305.353 1.029 20 30 DDEDLO CN(C[C@@H]1C[N@@H+](C)CCO1)C(=O)Cc1c(F)cccc1C#N ZINC000601737981 358672038 /nfs/dbraw/zinc/67/20/38/358672038.db2.gz WXVOCUUTHQQUJW-ZDUSSCGKSA-N 1 2 305.353 1.029 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N[C@@H]2CCn3c[nH+]cc3C2)cc1 ZINC000601966732 358756711 /nfs/dbraw/zinc/75/67/11/358756711.db2.gz DHAYBOLRIYQYAE-GFCCVEGCSA-N 1 2 302.359 1.048 20 30 DDEDLO N#C[C@@]1(NC(=O)c2cc(-n3cc[nH+]c3)ccc2O)CCSC1 ZINC000602087281 358807035 /nfs/dbraw/zinc/80/70/35/358807035.db2.gz VQRTXROHDCYDDE-HNNXBMFYSA-N 1 2 314.370 1.707 20 30 DDEDLO Cc1[nH]nc(NC(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)c1C#N ZINC000602190775 358873352 /nfs/dbraw/zinc/87/33/52/358873352.db2.gz UQEOMKOQOVKBBE-VIFPVBQESA-N 1 2 312.255 1.919 20 30 DDEDLO N#CCCN1CCN(C(=O)c2ccc(-c3c[nH]c[nH+]3)cc2)CC1 ZINC000602200333 358880545 /nfs/dbraw/zinc/88/05/45/358880545.db2.gz KSNAYVVBMCXELO-UHFFFAOYSA-N 1 2 309.373 1.748 20 30 DDEDLO C=C(C)C[C@H]([NH2+][C@@H]1CCC[C@@H](S(C)(=O)=O)C1)C(=O)OC ZINC000602735416 359156966 /nfs/dbraw/zinc/15/69/66/359156966.db2.gz WUGIRPDWPPXIHI-UPJWGTAASA-N 1 2 303.424 1.440 20 30 DDEDLO COc1ccc2nc(C[N@H+]3C[C@@H](C)N(CC#N)[C@@H](C)C3)[nH]c2n1 ZINC000602855044 359241233 /nfs/dbraw/zinc/24/12/33/359241233.db2.gz RNZCXEAPRROVRY-TXEJJXNPSA-N 1 2 314.393 1.385 20 30 DDEDLO COc1ccc2nc(C[N@@H+]3C[C@@H](C)N(CC#N)[C@@H](C)C3)[nH]c2n1 ZINC000602855044 359241236 /nfs/dbraw/zinc/24/12/36/359241236.db2.gz RNZCXEAPRROVRY-TXEJJXNPSA-N 1 2 314.393 1.385 20 30 DDEDLO CCOc1ccc(OCCNC(=O)C[NH2+][C@@H](C)CC#N)cc1 ZINC000602861675 359246434 /nfs/dbraw/zinc/24/64/34/359246434.db2.gz HDRCQEVCAVIIGG-ZDUSSCGKSA-N 1 2 305.378 1.472 20 30 DDEDLO CC(C)C[C@H](C(=O)NC[C@H]1CCCN(CC#N)C1)n1cc[nH+]c1 ZINC000602897933 359280239 /nfs/dbraw/zinc/28/02/39/359280239.db2.gz UYZDNTHKJWWMCU-HZPDHXFCSA-N 1 2 317.437 1.822 20 30 DDEDLO CC(C)(C#N)CC[N@@H+]1CCc2c(cccc2S(N)(=O)=O)C1 ZINC000603020868 359363481 /nfs/dbraw/zinc/36/34/81/359363481.db2.gz SUNOIYUCAMIKBL-UHFFFAOYSA-N 1 2 307.419 1.632 20 30 DDEDLO CC(C)(C#N)CC[N@H+]1CCc2c(cccc2S(N)(=O)=O)C1 ZINC000603020868 359363485 /nfs/dbraw/zinc/36/34/85/359363485.db2.gz SUNOIYUCAMIKBL-UHFFFAOYSA-N 1 2 307.419 1.632 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+](C)CC(=O)N(C)C1CCCCC1 ZINC000603876803 359691419 /nfs/dbraw/zinc/69/14/19/359691419.db2.gz WKKZPIJGDZLHRB-AWEZNQCLSA-N 1 2 322.453 1.470 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+](C)CC(=O)N(C)C1CCCCC1 ZINC000603876803 359691424 /nfs/dbraw/zinc/69/14/24/359691424.db2.gz WKKZPIJGDZLHRB-AWEZNQCLSA-N 1 2 322.453 1.470 20 30 DDEDLO O=C(C[C@@H]1CCOC1)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000329828024 223035899 /nfs/dbraw/zinc/03/58/99/223035899.db2.gz JAAMNXFGXZZKDR-ZDUSSCGKSA-N 1 2 305.378 1.801 20 30 DDEDLO C[C@H](c1nnnn1C)[NH+]1CCC(Oc2cccc(C#N)c2)CC1 ZINC000189119111 200351584 /nfs/dbraw/zinc/35/15/84/200351584.db2.gz IJSSJVKHHZHLQF-GFCCVEGCSA-N 1 2 312.377 1.686 20 30 DDEDLO COc1c(C)ccc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)c1C ZINC000329919645 223046943 /nfs/dbraw/zinc/04/69/43/223046943.db2.gz GLKLCFXUHCDPMK-LSDHHAIUSA-N 1 2 319.405 1.872 20 30 DDEDLO COc1c(C)ccc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)c1C ZINC000329919645 223046945 /nfs/dbraw/zinc/04/69/45/223046945.db2.gz GLKLCFXUHCDPMK-LSDHHAIUSA-N 1 2 319.405 1.872 20 30 DDEDLO O=C(N[C@@H]1CC[S@@](=O)C1)NC1CCN(c2cccc[nH+]2)CC1 ZINC000330000521 223060966 /nfs/dbraw/zinc/06/09/66/223060966.db2.gz WOJJABWBIWVNJJ-DMZKTXOQSA-N 1 2 322.434 1.075 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2C(=O)NCCc2[nH]cc[nH+]2)cc1 ZINC000610427314 360432764 /nfs/dbraw/zinc/43/27/64/360432764.db2.gz MDBICZFXMXOIMH-HNNXBMFYSA-N 1 2 309.373 1.609 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@@H](CCO)C1 ZINC000610581766 360475470 /nfs/dbraw/zinc/47/54/70/360475470.db2.gz JOTLOPUEGNRAMJ-BBRMVZONSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCC[C@@H](CCO)C1 ZINC000610581766 360475476 /nfs/dbraw/zinc/47/54/76/360475476.db2.gz JOTLOPUEGNRAMJ-BBRMVZONSA-N 1 2 302.374 1.867 20 30 DDEDLO Cc1cccc(CNC(=O)C[NH+]2CCN(CCC#N)CC2)c1 ZINC000611174334 360646479 /nfs/dbraw/zinc/64/64/79/360646479.db2.gz HCXBSQKJOBVSJM-UHFFFAOYSA-N 1 2 300.406 1.143 20 30 DDEDLO N#Cc1nc(C2CC2)oc1N1CC[NH+](CC2=CCCOC2)CC1 ZINC000611259831 360682020 /nfs/dbraw/zinc/68/20/20/360682020.db2.gz FLWLVGJBQRQBAG-UHFFFAOYSA-N 1 2 314.389 1.892 20 30 DDEDLO N#CC1(CN2CC[NH+](CC(=O)NC3CCCCC3)CC2)CC1 ZINC000611431528 360719911 /nfs/dbraw/zinc/71/99/11/360719911.db2.gz BOEBGBOKQLIUAP-UHFFFAOYSA-N 1 2 304.438 1.357 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000612347424 360979989 /nfs/dbraw/zinc/97/99/89/360979989.db2.gz OMKDRZDKPGOWCR-BPUTZDHNSA-N 1 2 318.421 1.764 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCSC[C@@H]2CCO)CCCCC1 ZINC000330976084 223160017 /nfs/dbraw/zinc/16/00/17/223160017.db2.gz OFZKBQULOVXXNR-ZDUSSCGKSA-N 1 2 311.451 1.129 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCSC[C@@H]2CCO)CCCCC1 ZINC000330976084 223160020 /nfs/dbraw/zinc/16/00/20/223160020.db2.gz OFZKBQULOVXXNR-ZDUSSCGKSA-N 1 2 311.451 1.129 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)NCCC#N)c[nH+]2)C[C@@H](C)O1 ZINC000331183161 223192542 /nfs/dbraw/zinc/19/25/42/223192542.db2.gz ZYJCLQOLIUOAPK-CHWSQXEVSA-N 1 2 317.393 1.612 20 30 DDEDLO C[C@H]1CN(c2ccc(CNC(=O)NCCC#N)c[nH+]2)CCO1 ZINC000331151763 223189943 /nfs/dbraw/zinc/18/99/43/223189943.db2.gz OEEHSAAICKZGOZ-LBPRGKRZSA-N 1 2 303.366 1.224 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc(CC#N)cc1 ZINC000343538964 223321785 /nfs/dbraw/zinc/32/17/85/223321785.db2.gz OQAABLPTEOFSPJ-UHFFFAOYSA-N 1 2 312.417 1.801 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1)OCC ZINC000275412099 212318413 /nfs/dbraw/zinc/31/84/13/212318413.db2.gz BPWHGLDKVXEGEJ-LSDHHAIUSA-N 1 2 320.437 1.607 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cncc(F)c1 ZINC000275144157 212147312 /nfs/dbraw/zinc/14/73/12/212147312.db2.gz PSLSJSNUSJETMK-LBPRGKRZSA-N 1 2 312.352 1.593 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cncc(F)c1 ZINC000275144157 212147313 /nfs/dbraw/zinc/14/73/13/212147313.db2.gz PSLSJSNUSJETMK-LBPRGKRZSA-N 1 2 312.352 1.593 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@H](C)O1 ZINC000264714146 204095109 /nfs/dbraw/zinc/09/51/09/204095109.db2.gz LLXVDIJQSDTKQZ-XJKSGUPXSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@H](C)O1 ZINC000264714146 204095113 /nfs/dbraw/zinc/09/51/13/204095113.db2.gz LLXVDIJQSDTKQZ-XJKSGUPXSA-N 1 2 301.390 1.674 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000266114837 205109532 /nfs/dbraw/zinc/10/95/32/205109532.db2.gz NMKNPMARTPWHPA-UHFFFAOYSA-N 1 2 301.346 1.684 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(C(=O)OCC)CC1 ZINC000042249049 183246613 /nfs/dbraw/zinc/24/66/13/183246613.db2.gz BJOYNODXYXREIK-AWEZNQCLSA-N 1 2 311.426 1.574 20 30 DDEDLO C[N@@H+](Cc1cscn1)C[C@H](O)COc1ccc(C#N)cc1 ZINC000042865329 183315625 /nfs/dbraw/zinc/31/56/25/183315625.db2.gz NSEKABNKSISJIE-AWEZNQCLSA-N 1 2 303.387 1.886 20 30 DDEDLO C[N@H+](Cc1cscn1)C[C@H](O)COc1ccc(C#N)cc1 ZINC000042865329 183315627 /nfs/dbraw/zinc/31/56/27/183315627.db2.gz NSEKABNKSISJIE-AWEZNQCLSA-N 1 2 303.387 1.886 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@H](NC(=O)NC3CC3)C2)c1 ZINC000273989614 211246435 /nfs/dbraw/zinc/24/64/35/211246435.db2.gz LTZZEPCQSCHBCW-AWEZNQCLSA-N 1 2 302.353 1.733 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@H](NC(=O)NC3CC3)C2)c1 ZINC000273989614 211246439 /nfs/dbraw/zinc/24/64/39/211246439.db2.gz LTZZEPCQSCHBCW-AWEZNQCLSA-N 1 2 302.353 1.733 20 30 DDEDLO CO[C@@]1(C)CCCN(C(=O)NCC2([NH+]3CCOCC3)CC2)C1 ZINC000329967628 533020910 /nfs/dbraw/zinc/02/09/10/533020910.db2.gz WHADZQWMZXYZCV-HNNXBMFYSA-N 1 2 311.426 1.266 20 30 DDEDLO N#Cc1c(N2CC[NH+](Cc3ccccn3)CC2)nc2ccccn12 ZINC000272898384 210287421 /nfs/dbraw/zinc/28/74/21/210287421.db2.gz LJURQLOIFVNFLR-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO COCC(COC)[NH+]1CCN(C(=O)c2sccc2C#N)CC1 ZINC000412438459 533099049 /nfs/dbraw/zinc/09/90/49/533099049.db2.gz TXTZTGGJSVPYSU-UHFFFAOYSA-N 1 2 323.418 1.039 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+](C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000157614663 197201969 /nfs/dbraw/zinc/20/19/69/197201969.db2.gz YGNHZTNFZIAAQC-HNNXBMFYSA-N 1 2 307.415 1.708 20 30 DDEDLO C#CCCOc1ccc(C[N@H+](C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000157614663 197201970 /nfs/dbraw/zinc/20/19/70/197201970.db2.gz YGNHZTNFZIAAQC-HNNXBMFYSA-N 1 2 307.415 1.708 20 30 DDEDLO Cc1cc2c(cc1F)ncn(C[C@H](O)C[N@H+](C)CCC#N)c2=O ZINC000414149751 224305706 /nfs/dbraw/zinc/30/57/06/224305706.db2.gz OJSCXECLQDJOOH-GFCCVEGCSA-N 1 2 318.352 1.050 20 30 DDEDLO Cc1cc2c(cc1F)ncn(C[C@H](O)C[N@@H+](C)CCC#N)c2=O ZINC000414149751 224305708 /nfs/dbraw/zinc/30/57/08/224305708.db2.gz OJSCXECLQDJOOH-GFCCVEGCSA-N 1 2 318.352 1.050 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CC[C@@](O)(C(F)F)C1 ZINC000451440663 533334696 /nfs/dbraw/zinc/33/46/96/533334696.db2.gz XKPXICDHXKHORF-BONVTDFDSA-N 1 2 309.316 1.587 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CC[C@@](O)(C(F)F)C1 ZINC000451440663 533334702 /nfs/dbraw/zinc/33/47/02/533334702.db2.gz XKPXICDHXKHORF-BONVTDFDSA-N 1 2 309.316 1.587 20 30 DDEDLO CO[C@]1(C)CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000317796903 533370258 /nfs/dbraw/zinc/37/02/58/533370258.db2.gz FUMAPNHBRRENDP-MRXNPFEDSA-N 1 2 322.430 1.833 20 30 DDEDLO CO[C@]1(C)CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000317796903 533370263 /nfs/dbraw/zinc/37/02/63/533370263.db2.gz FUMAPNHBRRENDP-MRXNPFEDSA-N 1 2 322.430 1.833 20 30 DDEDLO COc1cc(C)cc(OC)c1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000414167630 533647266 /nfs/dbraw/zinc/64/72/66/533647266.db2.gz SVJZJRNWWFUXHM-ZDUSSCGKSA-N 1 2 308.378 1.597 20 30 DDEDLO COc1cc(C)cc(OC)c1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414167630 533647269 /nfs/dbraw/zinc/64/72/69/533647269.db2.gz SVJZJRNWWFUXHM-ZDUSSCGKSA-N 1 2 308.378 1.597 20 30 DDEDLO CCC[N@H+](CC(=O)NCC#N)[C@@H](C)C(=O)Nc1ccccc1 ZINC000066497332 407251812 /nfs/dbraw/zinc/25/18/12/407251812.db2.gz KCZHTSADXNSEHA-ZDUSSCGKSA-N 1 2 302.378 1.365 20 30 DDEDLO CCC[N@@H+](CC(=O)NCC#N)[C@@H](C)C(=O)Nc1ccccc1 ZINC000066497332 407251814 /nfs/dbraw/zinc/25/18/14/407251814.db2.gz KCZHTSADXNSEHA-ZDUSSCGKSA-N 1 2 302.378 1.365 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(C)cc2C)CC1 ZINC000063589774 407233674 /nfs/dbraw/zinc/23/36/74/407233674.db2.gz VBTZCZUUGGZWMC-UHFFFAOYSA-N 1 2 306.431 1.633 20 30 DDEDLO Cc1cc(F)ccc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000125487540 407389840 /nfs/dbraw/zinc/38/98/40/407389840.db2.gz QDRQGIKHSCKKHG-UHFFFAOYSA-N 1 2 319.380 1.822 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000127543784 407439719 /nfs/dbraw/zinc/43/97/19/407439719.db2.gz IPVNYJSOKXMVGU-STQMWFEESA-N 1 2 309.410 1.066 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000127543784 407439721 /nfs/dbraw/zinc/43/97/21/407439721.db2.gz IPVNYJSOKXMVGU-STQMWFEESA-N 1 2 309.410 1.066 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@]2([NH+]3CCOCC3)CCSC2)n1 ZINC000127824066 407469325 /nfs/dbraw/zinc/46/93/25/407469325.db2.gz FKZXSZRDVJUJKF-INIZCTEOSA-N 1 2 318.446 1.882 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)NCc2ccn3cc[nH+]c3c2)c1 ZINC000178405019 407446598 /nfs/dbraw/zinc/44/65/98/407446598.db2.gz YVVFACZDFFFSJW-UHFFFAOYSA-N 1 2 312.354 1.684 20 30 DDEDLO Cc1nc(C(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)ccc1C#N ZINC000170886468 407545597 /nfs/dbraw/zinc/54/55/97/407545597.db2.gz XKKKELRYUGZNSM-WCQYABFASA-N 1 2 302.378 1.101 20 30 DDEDLO C[N@H+](CCc1cnccn1)CC(=O)N(CCC#N)c1ccccc1 ZINC000271288695 407618205 /nfs/dbraw/zinc/61/82/05/407618205.db2.gz BURARSDNXHGMNA-UHFFFAOYSA-N 1 2 323.400 1.898 20 30 DDEDLO C[N@@H+](CCc1cnccn1)CC(=O)N(CCC#N)c1ccccc1 ZINC000271288695 407618209 /nfs/dbraw/zinc/61/82/09/407618209.db2.gz BURARSDNXHGMNA-UHFFFAOYSA-N 1 2 323.400 1.898 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)CC3(CCC(C)CC3)C2=O)CC1 ZINC000171210335 407629804 /nfs/dbraw/zinc/62/98/04/407629804.db2.gz ICHFRSQQQUIELL-UHFFFAOYSA-N 1 2 317.433 1.150 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1c(C(=O)OCC)cnn1C)C1CC1 ZINC000271362408 407653765 /nfs/dbraw/zinc/65/37/65/407653765.db2.gz FSTHEKMXRWRRLN-UHFFFAOYSA-N 1 2 306.366 1.186 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1c(C(=O)OCC)cnn1C)C1CC1 ZINC000271362408 407653771 /nfs/dbraw/zinc/65/37/71/407653771.db2.gz FSTHEKMXRWRRLN-UHFFFAOYSA-N 1 2 306.366 1.186 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)C[N@H+]1CCCOc1ccc(C#N)cc1 ZINC000116282462 407737773 /nfs/dbraw/zinc/73/77/73/407737773.db2.gz DRAPJQKWJUEPFR-HOTGVXAUSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)C[N@@H+]1CCCOc1ccc(C#N)cc1 ZINC000116282462 407737779 /nfs/dbraw/zinc/73/77/79/407737779.db2.gz DRAPJQKWJUEPFR-HOTGVXAUSA-N 1 2 318.373 1.589 20 30 DDEDLO CS(=O)(=O)CCCO[NH+]=C(N)COc1cc(F)cc(F)c1 ZINC000186838508 407782478 /nfs/dbraw/zinc/78/24/78/407782478.db2.gz LTBFJJKRBIFJLZ-UHFFFAOYSA-N 1 2 322.333 1.067 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1ccc(N2CCCCC2)nc1 ZINC000267309128 407830988 /nfs/dbraw/zinc/83/09/88/407830988.db2.gz MDLHTOLGSINVJY-UHFFFAOYSA-N 1 2 300.406 1.966 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1ccc(N2CCCCC2)nc1 ZINC000267309128 407830995 /nfs/dbraw/zinc/83/09/95/407830995.db2.gz MDLHTOLGSINVJY-UHFFFAOYSA-N 1 2 300.406 1.966 20 30 DDEDLO COC(=O)C1([NH2+]C[C@@H](O)COc2ccc(C#N)cc2)CCCC1 ZINC000118862607 407958319 /nfs/dbraw/zinc/95/83/19/407958319.db2.gz UPMRLODBBZMFQN-CQSZACIVSA-N 1 2 318.373 1.373 20 30 DDEDLO N#Cc1ccc(NCC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000154572870 408054049 /nfs/dbraw/zinc/05/40/49/408054049.db2.gz LPTMYLPJUPJXRP-MRXNPFEDSA-N 1 2 321.384 1.760 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)N2CC[NH+](C3CC3)CC2)c1C#N ZINC000174801219 407993185 /nfs/dbraw/zinc/99/31/85/407993185.db2.gz SRCOKTBSKIWSMC-UHFFFAOYSA-N 1 2 312.373 1.112 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnn(-c3ccccc3)n2)CC1 ZINC000119452838 408072851 /nfs/dbraw/zinc/07/28/51/408072851.db2.gz GIARMDOMCRGNFF-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCO[C@H](C(=O)NC)C2)cc1OC ZINC000182459635 408169832 /nfs/dbraw/zinc/16/98/32/408169832.db2.gz VXAJWYNYRMJVID-INIZCTEOSA-N 1 2 320.389 1.207 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCO[C@H](C(=O)NC)C2)cc1OC ZINC000182459635 408169840 /nfs/dbraw/zinc/16/98/40/408169840.db2.gz VXAJWYNYRMJVID-INIZCTEOSA-N 1 2 320.389 1.207 20 30 DDEDLO Cc1ncc(C[NH+]2CCN(C(=O)c3cc(C#N)c[nH]3)CC2)s1 ZINC000175617892 408174846 /nfs/dbraw/zinc/17/48/46/408174846.db2.gz QQOJVWPXWLYHMC-UHFFFAOYSA-N 1 2 315.402 1.609 20 30 DDEDLO CCn1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cn1 ZINC000246440331 408255760 /nfs/dbraw/zinc/25/57/60/408255760.db2.gz NHTGCAACSNXNHS-CYBMUJFWSA-N 1 2 311.393 1.275 20 30 DDEDLO CCn1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cn1 ZINC000246440331 408255766 /nfs/dbraw/zinc/25/57/66/408255766.db2.gz NHTGCAACSNXNHS-CYBMUJFWSA-N 1 2 311.393 1.275 20 30 DDEDLO C[N@H+](Cc1cnccn1)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000182830312 408261455 /nfs/dbraw/zinc/26/14/55/408261455.db2.gz KEAFIUDRYUZIBO-QGZVFWFLSA-N 1 2 321.384 1.976 20 30 DDEDLO C[N@@H+](Cc1cnccn1)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000182830312 408261457 /nfs/dbraw/zinc/26/14/57/408261457.db2.gz KEAFIUDRYUZIBO-QGZVFWFLSA-N 1 2 321.384 1.976 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000121574990 408235100 /nfs/dbraw/zinc/23/51/00/408235100.db2.gz WCSHLZVPBJNDHZ-QGZVFWFLSA-N 1 2 314.433 1.737 20 30 DDEDLO CCS(=O)(=O)NCCC/[NH+]=C/c1cc(OC)ccc1O ZINC000122220435 408268181 /nfs/dbraw/zinc/26/81/81/408268181.db2.gz KAZFHFNFRFFONL-GXDHUFHOSA-N 1 2 300.380 1.149 20 30 DDEDLO CC#CC[N@@H+](C)[C@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000176305295 408337448 /nfs/dbraw/zinc/33/74/48/408337448.db2.gz OGWRJFOIVCMOKA-INIZCTEOSA-N 1 2 302.374 1.764 20 30 DDEDLO CC#CC[N@H+](C)[C@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000176305295 408337450 /nfs/dbraw/zinc/33/74/50/408337450.db2.gz OGWRJFOIVCMOKA-INIZCTEOSA-N 1 2 302.374 1.764 20 30 DDEDLO Cc1cccc(S(=O)(=O)N[C@H](C)Cn2cc[nH+]c2)c1C#N ZINC000269663356 408382050 /nfs/dbraw/zinc/38/20/50/408382050.db2.gz MGPKJGKMEIFORN-GFCCVEGCSA-N 1 2 304.375 1.430 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(CCOCC)CC1 ZINC000264291343 408430777 /nfs/dbraw/zinc/43/07/77/408430777.db2.gz NXTFDXKZPXDMSU-MRXNPFEDSA-N 1 2 309.454 1.230 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1CC[C@H](CN2CCOCC2)C1 ZINC000160626842 408506403 /nfs/dbraw/zinc/50/64/03/408506403.db2.gz BSSFVSAHVSOSIF-CQSZACIVSA-N 1 2 303.381 1.851 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1CC[C@H](CN2CCOCC2)C1 ZINC000160626842 408506406 /nfs/dbraw/zinc/50/64/06/408506406.db2.gz BSSFVSAHVSOSIF-CQSZACIVSA-N 1 2 303.381 1.851 20 30 DDEDLO N#CCc1ccc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)cc1 ZINC000270367732 408507613 /nfs/dbraw/zinc/50/76/13/408507613.db2.gz ZWFRZNDHCKYZAJ-KRWDZBQOSA-N 1 2 313.401 1.689 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](C)CC[N@@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000274823440 408545952 /nfs/dbraw/zinc/54/59/52/408545952.db2.gz BXBBAJNPFQFFBC-RCBQFDQVSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](C)CC[N@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000274823440 408545956 /nfs/dbraw/zinc/54/59/56/408545956.db2.gz BXBBAJNPFQFFBC-RCBQFDQVSA-N 1 2 302.374 1.865 20 30 DDEDLO COc1ccc(Cl)cc1NC(=O)[C@H](C)O[NH+]=C(N)CCO ZINC000275550382 408598246 /nfs/dbraw/zinc/59/82/46/408598246.db2.gz VBXTXGQJBNDYDY-QMMMGPOBSA-N 1 2 315.757 1.347 20 30 DDEDLO COc1cc(C#N)ccc1OCC[NH2+][C@@H](C)c1ncn(C)n1 ZINC000275582979 408610155 /nfs/dbraw/zinc/61/01/55/408610155.db2.gz PZXHCOHJVDOWQC-NSHDSACASA-N 1 2 301.350 1.425 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN([C@@H](C)c2nccs2)CC1 ZINC000184619675 408657907 /nfs/dbraw/zinc/65/79/07/408657907.db2.gz HTFLKUPGZKVPRK-OLZOCXBDSA-N 1 2 308.451 1.512 20 30 DDEDLO COC[C@H](C)O[NH+]=C(N)c1ccc(-n2nc(C)cc2C)nc1 ZINC000178309506 408803914 /nfs/dbraw/zinc/80/39/14/408803914.db2.gz JKQGIOAGFAILNZ-LBPRGKRZSA-N 1 2 303.366 1.556 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000185434091 408808431 /nfs/dbraw/zinc/80/84/31/408808431.db2.gz WIKWZJMNIBXTGQ-WBMJQRKESA-N 1 2 314.364 1.376 20 30 DDEDLO CC(=O)N1CCC[C@@H](C/[NH+]=C/c2c(CO)cnc(C)c2O)C1 ZINC000281245576 408877233 /nfs/dbraw/zinc/87/72/33/408877233.db2.gz VLKXRAWMTUULFA-TVYFDWKESA-N 1 2 305.378 1.265 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1C[C@H](NC(=O)c2ccc(C#N)cc2)CCO1 ZINC000276232194 408826619 /nfs/dbraw/zinc/82/66/19/408826619.db2.gz KAXVRPILLBQPJB-GDBMZVCRSA-N 1 2 310.357 1.942 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@@H]1CO ZINC000189696181 163111216 /nfs/dbraw/zinc/11/12/16/163111216.db2.gz NYJLNOIDXQASGF-IUODEOHRSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@@H]1CO ZINC000189696181 163111217 /nfs/dbraw/zinc/11/12/17/163111217.db2.gz NYJLNOIDXQASGF-IUODEOHRSA-N 1 2 308.403 1.035 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])ccc1NCC1([NH+]2CCOCC2)CC1 ZINC000277382681 408930631 /nfs/dbraw/zinc/93/06/31/408930631.db2.gz INTZRYZVTKBUBO-UHFFFAOYSA-N 1 2 302.334 1.743 20 30 DDEDLO N#Cc1cc(C(=O)NC2CCN(c3cccc[nH+]3)CC2)ccn1 ZINC000192701548 163233353 /nfs/dbraw/zinc/23/33/53/163233353.db2.gz HJKMPOBAHFJQGM-UHFFFAOYSA-N 1 2 307.357 1.747 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3nc(C)cc(C)n3)CC2)C1=O ZINC000281971130 408962566 /nfs/dbraw/zinc/96/25/66/408962566.db2.gz LDWXAMGPWUOWBQ-OAHLLOKOSA-N 1 2 315.421 1.002 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](Cc3cc(=O)c(O)co3)C2)nc1 ZINC000292090908 408978097 /nfs/dbraw/zinc/97/80/97/408978097.db2.gz FMUQBOFKNHSNSM-GFCCVEGCSA-N 1 2 313.313 1.265 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](Cc3cc(=O)c(O)co3)C2)nc1 ZINC000292090908 408978099 /nfs/dbraw/zinc/97/80/99/408978099.db2.gz FMUQBOFKNHSNSM-GFCCVEGCSA-N 1 2 313.313 1.265 20 30 DDEDLO Cc1[nH]c(C(=O)N[C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)c[nH+]1 ZINC000277793415 409012680 /nfs/dbraw/zinc/01/26/80/409012680.db2.gz KANYJTFTLBHUGN-AWEZNQCLSA-N 1 2 323.356 1.121 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(C)(C)C)n2CC=C)CC1 ZINC000282418229 409050640 /nfs/dbraw/zinc/05/06/40/409050640.db2.gz XVIVRNWSNLABAS-UHFFFAOYSA-N 1 2 301.438 1.808 20 30 DDEDLO Cc1nocc1C[NH+]1CCN(c2snc(C)c2C#N)CC1 ZINC000293857276 409195786 /nfs/dbraw/zinc/19/57/86/409195786.db2.gz IDJJFAVNDMPWOO-UHFFFAOYSA-N 1 2 303.391 1.942 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000283910674 409237793 /nfs/dbraw/zinc/23/77/93/409237793.db2.gz DNAMAXATCUCXJN-UHFFFAOYSA-N 1 2 304.394 1.236 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC[N@@H+](C)[C@H](Cc2ccccc2)C1 ZINC000283959331 409247060 /nfs/dbraw/zinc/24/70/60/409247060.db2.gz MXQVFLDBLLMNPT-MRXNPFEDSA-N 1 2 308.447 1.751 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC[N@H+](C)[C@H](Cc2ccccc2)C1 ZINC000283959331 409247063 /nfs/dbraw/zinc/24/70/63/409247063.db2.gz MXQVFLDBLLMNPT-MRXNPFEDSA-N 1 2 308.447 1.751 20 30 DDEDLO CN(Cc1ccc(F)cc1)C(=O)CO[NH+]=C(N)[C@@H]1CCCO1 ZINC000284028199 409260027 /nfs/dbraw/zinc/26/00/27/409260027.db2.gz ILKIUUFJKWWVRI-ZDUSSCGKSA-N 1 2 309.341 1.252 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)/C=C/c1ccc(C#N)cc1 ZINC000279405017 409208735 /nfs/dbraw/zinc/20/87/35/409208735.db2.gz WJXYZWYNJBLMKF-MVGZEHJDSA-N 1 2 313.401 1.751 20 30 DDEDLO C#CCO[C@H](C)C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000294603654 409295681 /nfs/dbraw/zinc/29/56/81/409295681.db2.gz RMPAHNRCFCSAHI-OAHLLOKOSA-N 1 2 315.417 1.411 20 30 DDEDLO C[C@@H]1CCc2ccccc2N1C(=O)CO[NH+]=C(N)[C@H]1CCCO1 ZINC000284458195 409341241 /nfs/dbraw/zinc/34/12/41/409341241.db2.gz QMTDWNYDPFJSJO-IUODEOHRSA-N 1 2 317.389 1.822 20 30 DDEDLO CCOc1ccc(NC(=O)[C@H](C)O[NH+]=C(N)[C@H]2CCCO2)cc1 ZINC000284494771 409345513 /nfs/dbraw/zinc/34/55/13/409345513.db2.gz SOHUDZNDYZCCCB-SMDDNHRTSA-N 1 2 321.377 1.880 20 30 DDEDLO NC(=[NH+]OCC(=O)Nc1ccnn1C1CCCC1)[C@@H]1CCCO1 ZINC000284540914 409349528 /nfs/dbraw/zinc/34/95/28/409349528.db2.gz VKHMNSVWPIKMSQ-LBPRGKRZSA-N 1 2 321.381 1.405 20 30 DDEDLO C=CCCS(=O)(=O)N[C@@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000285249199 409406138 /nfs/dbraw/zinc/40/61/38/409406138.db2.gz YYEPBPLRLLEZKQ-CYBMUJFWSA-N 1 2 313.423 1.383 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000285393755 409427539 /nfs/dbraw/zinc/42/75/39/409427539.db2.gz JNMUOJKTAQGIOY-INIZCTEOSA-N 1 2 302.378 1.474 20 30 DDEDLO COc1nccnc1C[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000296164882 409449577 /nfs/dbraw/zinc/44/95/77/409449577.db2.gz WTZCFJLWXZNNDJ-ZDUSSCGKSA-N 1 2 311.345 1.405 20 30 DDEDLO COc1nccnc1C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000296164882 409449580 /nfs/dbraw/zinc/44/95/80/409449580.db2.gz WTZCFJLWXZNNDJ-ZDUSSCGKSA-N 1 2 311.345 1.405 20 30 DDEDLO COC(=O)CN(CC#N)C(=O)CCn1c(C)[nH+]c2ccccc21 ZINC000356820964 409638356 /nfs/dbraw/zinc/63/83/56/409638356.db2.gz JOABXOUHOOJXQA-UHFFFAOYSA-N 1 2 314.345 1.260 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000353945780 409574162 /nfs/dbraw/zinc/57/41/62/409574162.db2.gz CHUXXWMCQUZZSU-UHFFFAOYSA-N 1 2 317.433 1.633 20 30 DDEDLO C=CCOc1ccc(CN2CC[NH+](C(C)(C)C(N)=O)CC2)cc1 ZINC000353945780 409574168 /nfs/dbraw/zinc/57/41/68/409574168.db2.gz CHUXXWMCQUZZSU-UHFFFAOYSA-N 1 2 317.433 1.633 20 30 DDEDLO N#Cc1ccc(NCC(=O)Nc2ccc(-n3cc[nH+]c3)cc2)nc1 ZINC000345532541 409688516 /nfs/dbraw/zinc/68/85/16/409688516.db2.gz ANIHOSLERGBSPK-UHFFFAOYSA-N 1 2 318.340 2.190 20 30 DDEDLO N#Cc1cc(Br)ccc1C[N@@H+]1C[C@H](O)[C@H](CO)C1 ZINC000317750471 409870498 /nfs/dbraw/zinc/87/04/98/409870498.db2.gz YQQFRJJPNAVKJR-AAEUAGOBSA-N 1 2 311.179 1.106 20 30 DDEDLO N#Cc1cc(Br)ccc1C[N@H+]1C[C@H](O)[C@H](CO)C1 ZINC000317750471 409870502 /nfs/dbraw/zinc/87/05/02/409870502.db2.gz YQQFRJJPNAVKJR-AAEUAGOBSA-N 1 2 311.179 1.106 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCCNC(=O)c1cnn(C)c1C#N ZINC000354548448 409930888 /nfs/dbraw/zinc/93/08/88/409930888.db2.gz MFDFKIKZNYRNJT-UHFFFAOYSA-N 1 2 322.372 1.770 20 30 DDEDLO CCN1CCN(C(=O)NCc2ccco2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328625027 409941664 /nfs/dbraw/zinc/94/16/64/409941664.db2.gz KHCMZARVCYGOJU-CYBMUJFWSA-N 1 2 303.366 1.796 20 30 DDEDLO CC(=O)Nc1ccn([C@@H]2CC[N@H+](Cc3nnc(C4CC4)[nH]3)C2)n1 ZINC000328624414 409941698 /nfs/dbraw/zinc/94/16/98/409941698.db2.gz JVUIKTZCZPQSGU-GFCCVEGCSA-N 1 2 315.381 1.934 20 30 DDEDLO CC(=O)Nc1ccn([C@@H]2CC[N@@H+](Cc3nnc(C4CC4)[nH]3)C2)n1 ZINC000328624414 409941705 /nfs/dbraw/zinc/94/17/05/409941705.db2.gz JVUIKTZCZPQSGU-GFCCVEGCSA-N 1 2 315.381 1.934 20 30 DDEDLO Cn1cnc(C[NH2+]Cc2cn(C)nc2-c2ccc(C#N)cc2)n1 ZINC000350286242 409942574 /nfs/dbraw/zinc/94/25/74/409942574.db2.gz LBCSWDUWKGHNQG-UHFFFAOYSA-N 1 2 307.361 1.377 20 30 DDEDLO Cc1cn2cc(NS(=O)(=O)c3cncc(C#N)c3)ccc2[nH+]1 ZINC000357391307 410010991 /nfs/dbraw/zinc/01/09/91/410010991.db2.gz QCRFDJFITMEZRF-UHFFFAOYSA-N 1 2 313.342 1.710 20 30 DDEDLO C=C(C)C[N@@H+](C)CC(=O)N(CC(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000351099561 410034120 /nfs/dbraw/zinc/03/41/20/410034120.db2.gz LEYIKYTVSFDZFM-CQSZACIVSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C(C)C[N@H+](C)CC(=O)N(CC(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000351099561 410034124 /nfs/dbraw/zinc/03/41/24/410034124.db2.gz LEYIKYTVSFDZFM-CQSZACIVSA-N 1 2 316.467 1.166 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCN2C(=O)CC[C@@H]2C1 ZINC000329019674 410055255 /nfs/dbraw/zinc/05/52/55/410055255.db2.gz HKAHQUKUYYZHSM-ZIAGYGMSSA-N 1 2 318.421 1.864 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCN2C(=O)CC[C@@H]2C1 ZINC000329019674 410055263 /nfs/dbraw/zinc/05/52/63/410055263.db2.gz HKAHQUKUYYZHSM-ZIAGYGMSSA-N 1 2 318.421 1.864 20 30 DDEDLO C[C@@H](CNC(=O)c1cc2[nH]cnc2cc1F)C[NH+]1CCOCC1 ZINC000329153594 410131051 /nfs/dbraw/zinc/13/10/51/410131051.db2.gz AFQJIRJGWOMDIJ-NSHDSACASA-N 1 2 320.368 1.975 20 30 DDEDLO Cc1cncc(CNC(=O)N2C[C@H]([NH+]3CCOCC3)C[C@H]2C)c1 ZINC000329241717 410186102 /nfs/dbraw/zinc/18/61/02/410186102.db2.gz RWXYWEDPXRRJTB-GDBMZVCRSA-N 1 2 318.421 1.599 20 30 DDEDLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@@H]1CCCCS1(=O)=O ZINC000329247565 410189101 /nfs/dbraw/zinc/18/91/01/410189101.db2.gz RWNKESCSHRUMTB-LBPRGKRZSA-N 1 2 311.407 1.992 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1nccc(C#N)c1Cl ZINC000343250842 410275009 /nfs/dbraw/zinc/27/50/09/410275009.db2.gz NPCKQGSKNRPOMH-WCQYABFASA-N 1 2 306.797 1.906 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NC1CC1)[N@H+](C)Cc1cnc(N(C)C)nc1 ZINC000329520632 410341799 /nfs/dbraw/zinc/34/17/99/410341799.db2.gz QKPYPTFUOQHUGP-JTQLQIEISA-N 1 2 320.397 1.396 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NC1CC1)[N@@H+](C)Cc1cnc(N(C)C)nc1 ZINC000329520632 410341803 /nfs/dbraw/zinc/34/18/03/410341803.db2.gz QKPYPTFUOQHUGP-JTQLQIEISA-N 1 2 320.397 1.396 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@H+](C)Cc1cnc(N(C)C)nc1 ZINC000329520633 410342559 /nfs/dbraw/zinc/34/25/59/410342559.db2.gz QKPYPTFUOQHUGP-SNVBAGLBSA-N 1 2 320.397 1.396 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@@H+](C)Cc1cnc(N(C)C)nc1 ZINC000329520633 410342562 /nfs/dbraw/zinc/34/25/62/410342562.db2.gz QKPYPTFUOQHUGP-SNVBAGLBSA-N 1 2 320.397 1.396 20 30 DDEDLO CCOC(=O)[C@](C)(O)C[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000355078061 410297141 /nfs/dbraw/zinc/29/71/41/410297141.db2.gz AETKFEJSQZBGOK-DOTOQJQBSA-N 1 2 318.373 1.246 20 30 DDEDLO CCOC(=O)[C@](C)(O)C[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000355078061 410297148 /nfs/dbraw/zinc/29/71/48/410297148.db2.gz AETKFEJSQZBGOK-DOTOQJQBSA-N 1 2 318.373 1.246 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cccc4c3CNC4=O)C[C@H]21 ZINC000329445955 410303131 /nfs/dbraw/zinc/30/31/31/410303131.db2.gz NVDIKDFHLWZCFH-KGLIPLIRSA-N 1 2 316.361 1.065 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cccc4c3CNC4=O)C[C@H]21 ZINC000329445955 410303137 /nfs/dbraw/zinc/30/31/37/410303137.db2.gz NVDIKDFHLWZCFH-KGLIPLIRSA-N 1 2 316.361 1.065 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)NCc2nnc(C3CC3)o2)CCO1 ZINC000329471478 410313310 /nfs/dbraw/zinc/31/33/10/410313310.db2.gz ZMNYMLQEIYRRCE-UHFFFAOYSA-N 1 2 323.397 1.061 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)NCc2nnc(C3CC3)o2)CCO1 ZINC000329471478 410313316 /nfs/dbraw/zinc/31/33/16/410313316.db2.gz ZMNYMLQEIYRRCE-UHFFFAOYSA-N 1 2 323.397 1.061 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000343290607 410318090 /nfs/dbraw/zinc/31/80/90/410318090.db2.gz WYOGRCWGMBFWHW-UHFFFAOYSA-N 1 2 317.736 1.200 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)c2cccnc2C2CC2)CC1 ZINC000329560876 410360846 /nfs/dbraw/zinc/36/08/46/410360846.db2.gz MKJCQGJVGVWVNH-UHFFFAOYSA-N 1 2 316.405 1.428 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC000355232850 410390702 /nfs/dbraw/zinc/39/07/02/410390702.db2.gz HJBQIIZPPKBPGI-MRXNPFEDSA-N 1 2 318.442 1.867 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC000355232850 410390708 /nfs/dbraw/zinc/39/07/08/410390708.db2.gz HJBQIIZPPKBPGI-MRXNPFEDSA-N 1 2 318.442 1.867 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCCCc1cccc(C)[nH+]1 ZINC000351996789 410402782 /nfs/dbraw/zinc/40/27/82/410402782.db2.gz VKVSJDSFLYIWGH-CYBMUJFWSA-N 1 2 324.450 1.639 20 30 DDEDLO N#Cc1cc(C(=O)Nc2ccc(Cn3cc[nH+]c3)cn2)ccn1 ZINC000343373062 410404289 /nfs/dbraw/zinc/40/42/89/410404289.db2.gz ZPVITYPZPXOGHG-UHFFFAOYSA-N 1 2 304.313 1.845 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCCN(C(=O)[C@H](C)C#N)CC2)no1 ZINC000358224384 410409214 /nfs/dbraw/zinc/40/92/14/410409214.db2.gz PLDJNAMXYKHWDY-YPMHNXCESA-N 1 2 305.382 1.351 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCCN(C(=O)[C@H](C)C#N)CC2)no1 ZINC000358224384 410409219 /nfs/dbraw/zinc/40/92/19/410409219.db2.gz PLDJNAMXYKHWDY-YPMHNXCESA-N 1 2 305.382 1.351 20 30 DDEDLO C=CCSCCNC(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000358329084 410447027 /nfs/dbraw/zinc/44/70/27/410447027.db2.gz NUKDLAQNKKAZLB-UHFFFAOYSA-N 1 2 323.466 1.167 20 30 DDEDLO Cc1cc(N2CCC(N3CCCS3(=O)=O)CC2)c(C#N)c[nH+]1 ZINC000333390530 410520233 /nfs/dbraw/zinc/52/02/33/410520233.db2.gz LZFHTQBPDVXTSC-UHFFFAOYSA-N 1 2 320.418 1.266 20 30 DDEDLO C=CCOc1ccc(CNC(=O)C(C)(C)[NH+]2CCOCC2)cc1 ZINC000359085195 410553480 /nfs/dbraw/zinc/55/34/80/410553480.db2.gz HWDFVIGEEUSMHK-UHFFFAOYSA-N 1 2 318.417 1.978 20 30 DDEDLO COc1ccccc1CCNC(=O)C[NH+]1CCC(F)(C#N)CC1 ZINC000352485074 410660646 /nfs/dbraw/zinc/66/06/46/410660646.db2.gz XFFGYQPFZOGTMJ-UHFFFAOYSA-N 1 2 319.380 1.682 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Cc1c(F)cccc1C#N ZINC000352434919 410657074 /nfs/dbraw/zinc/65/70/74/410657074.db2.gz CZOKOKUUJZQQAR-UHFFFAOYSA-N 1 2 319.380 1.467 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Cc1c(F)cccc1C#N ZINC000352434919 410657078 /nfs/dbraw/zinc/65/70/78/410657078.db2.gz CZOKOKUUJZQQAR-UHFFFAOYSA-N 1 2 319.380 1.467 20 30 DDEDLO C[C@@H]([NH2+]Cc1nc(C#N)cs1)C(=O)NCc1ccccc1 ZINC000352843392 410679962 /nfs/dbraw/zinc/67/99/62/410679962.db2.gz ZNDRQKVTUZEXCW-LLVKDONJSA-N 1 2 300.387 1.809 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H](F)C[C@@H]2CNc2cnc(C#N)cn2)cn1 ZINC000359658398 410798311 /nfs/dbraw/zinc/79/83/11/410798311.db2.gz CVQVNMMZEJEGLK-TZMCWYRMSA-N 1 2 315.356 1.106 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H](F)C[C@@H]2CNc2cnc(C#N)cn2)cn1 ZINC000359658398 410798317 /nfs/dbraw/zinc/79/83/17/410798317.db2.gz CVQVNMMZEJEGLK-TZMCWYRMSA-N 1 2 315.356 1.106 20 30 DDEDLO C=CCCCNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000356052680 410798998 /nfs/dbraw/zinc/79/89/98/410798998.db2.gz JQUYIDUDHDFNQD-AWEZNQCLSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCCNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000356052680 410799004 /nfs/dbraw/zinc/79/90/04/410799004.db2.gz JQUYIDUDHDFNQD-AWEZNQCLSA-N 1 2 306.410 1.566 20 30 DDEDLO N#Cc1ccccc1NC(=O)CC[N@@H+]1CCN(C2CC2)C(=O)C1 ZINC000337469689 410809867 /nfs/dbraw/zinc/80/98/67/410809867.db2.gz OQZWPAOQJYBMSW-UHFFFAOYSA-N 1 2 312.373 1.193 20 30 DDEDLO N#Cc1ccccc1NC(=O)CC[N@H+]1CCN(C2CC2)C(=O)C1 ZINC000337469689 410809873 /nfs/dbraw/zinc/80/98/73/410809873.db2.gz OQZWPAOQJYBMSW-UHFFFAOYSA-N 1 2 312.373 1.193 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000302145762 410840307 /nfs/dbraw/zinc/84/03/07/410840307.db2.gz KQFALMCBIGNWDO-HUUCEWRRSA-N 1 2 318.421 1.467 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000302145762 410840315 /nfs/dbraw/zinc/84/03/15/410840315.db2.gz KQFALMCBIGNWDO-HUUCEWRRSA-N 1 2 318.421 1.467 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cccc(C#N)c1 ZINC000451937748 287190676 /nfs/dbraw/zinc/19/06/76/287190676.db2.gz VPGBNDLDJMSPDF-CQSZACIVSA-N 1 2 307.419 1.663 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cccc(C#N)c1 ZINC000451937748 287190677 /nfs/dbraw/zinc/19/06/77/287190677.db2.gz VPGBNDLDJMSPDF-CQSZACIVSA-N 1 2 307.419 1.663 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356482459 411052168 /nfs/dbraw/zinc/05/21/68/411052168.db2.gz VBZXFBXHAIPBTP-MRXNPFEDSA-N 1 2 318.425 1.029 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356482459 411052173 /nfs/dbraw/zinc/05/21/73/411052173.db2.gz VBZXFBXHAIPBTP-MRXNPFEDSA-N 1 2 318.425 1.029 20 30 DDEDLO C[C@@H](NC(=O)[C@@H](C)c1cccc(C#N)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000360144481 411072485 /nfs/dbraw/zinc/07/24/85/411072485.db2.gz VPDLTFQPDXWVEM-RRFJBIMHSA-N 1 2 315.417 1.887 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CCCSCC#N)[C@H](C(=O)OC)C1 ZINC000356460602 411043876 /nfs/dbraw/zinc/04/38/76/411043876.db2.gz SFFDQKCMVLFYPW-NEPJUHHUSA-N 1 2 314.407 1.060 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CCCSCC#N)[C@H](C(=O)OC)C1 ZINC000356460602 411043881 /nfs/dbraw/zinc/04/38/81/411043881.db2.gz SFFDQKCMVLFYPW-NEPJUHHUSA-N 1 2 314.407 1.060 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCO[C@@]4(CCSC4)C3)n2c1 ZINC000580446954 422934096 /nfs/dbraw/zinc/93/40/96/422934096.db2.gz FFUGMKIYJLHNJP-INIZCTEOSA-N 1 2 314.414 1.914 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCO[C@@]4(CCSC4)C3)n2c1 ZINC000580446954 422934098 /nfs/dbraw/zinc/93/40/98/422934098.db2.gz FFUGMKIYJLHNJP-INIZCTEOSA-N 1 2 314.414 1.914 20 30 DDEDLO N#Cc1cnc(N[C@@H]2CCN(c3cccc[nH+]3)C2)c([N+](=O)[O-])c1 ZINC000297344849 227039725 /nfs/dbraw/zinc/03/97/25/227039725.db2.gz BDPYSQITSULZEA-GFCCVEGCSA-N 1 2 310.317 1.947 20 30 DDEDLO CCO[C@H](C)c1noc(C[N@@H+]2CCCN([C@H](C)C#N)CC2)n1 ZINC000373282476 418417316 /nfs/dbraw/zinc/41/73/16/418417316.db2.gz CUDDQQPDQHRFFS-CHWSQXEVSA-N 1 2 307.398 1.587 20 30 DDEDLO CCO[C@H](C)c1noc(C[N@H+]2CCCN([C@H](C)C#N)CC2)n1 ZINC000373282476 418417321 /nfs/dbraw/zinc/41/73/21/418417321.db2.gz CUDDQQPDQHRFFS-CHWSQXEVSA-N 1 2 307.398 1.587 20 30 DDEDLO Cn1c[nH+]c2c1CCN(C(=O)NCCc1cccc(C#N)c1)C2 ZINC000366984402 418547496 /nfs/dbraw/zinc/54/74/96/418547496.db2.gz VATQSRGSKKMDHB-UHFFFAOYSA-N 1 2 309.373 1.602 20 30 DDEDLO C=CC[N@@H+](CCOC)[C@H](C)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000191128970 222096440 /nfs/dbraw/zinc/09/64/40/222096440.db2.gz MFFGTWSLJUARMZ-GFCCVEGCSA-N 1 2 305.378 1.247 20 30 DDEDLO C=CC[N@H+](CCOC)[C@H](C)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000191128970 222096442 /nfs/dbraw/zinc/09/64/42/222096442.db2.gz MFFGTWSLJUARMZ-GFCCVEGCSA-N 1 2 305.378 1.247 20 30 DDEDLO C[C@H](NC(=O)c1cccc(F)c1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000189187304 222038596 /nfs/dbraw/zinc/03/85/96/222038596.db2.gz BMWPLCUXAHHGEL-RYUDHWBXSA-N 1 2 305.353 1.536 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NCCOc1cccc(C(F)(F)F)c1 ZINC000192235987 222123567 /nfs/dbraw/zinc/12/35/67/222123567.db2.gz FLNJFNIMKBRTFK-UHFFFAOYSA-N 1 2 314.307 1.765 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NCCOc1cccc(C(F)(F)F)c1 ZINC000192235987 222123572 /nfs/dbraw/zinc/12/35/72/222123572.db2.gz FLNJFNIMKBRTFK-UHFFFAOYSA-N 1 2 314.307 1.765 20 30 DDEDLO CCn1ccnc(NCc2[nH]c(-c3cccc(C#N)c3)c[nH+]2)c1=O ZINC000374987840 418584163 /nfs/dbraw/zinc/58/41/63/418584163.db2.gz FTZZITHJVKWLBS-UHFFFAOYSA-N 1 2 320.356 2.137 20 30 DDEDLO COc1ccnc(NC2CC[NH+](C[C@H](C#N)CCC#N)CC2)n1 ZINC000192854815 222137949 /nfs/dbraw/zinc/13/79/49/222137949.db2.gz HFJBEMMBLCKWLR-ZDUSSCGKSA-N 1 2 314.393 1.805 20 30 DDEDLO C=CCOC[C@@H](NCc1c[nH+]c2cc(C)ccn12)C(=O)OC ZINC000361112817 418587088 /nfs/dbraw/zinc/58/70/88/418587088.db2.gz JLHWREGMTPDXCY-CQSZACIVSA-N 1 2 303.362 1.477 20 30 DDEDLO CC[N@@H+](CC(=O)N1CCN([C@H](C#N)C(C)C)CC1)C[C@@H](C)C#N ZINC000245554855 222216609 /nfs/dbraw/zinc/21/66/09/222216609.db2.gz HKSGOHDWTBFWKL-JKSUJKDBSA-N 1 2 319.453 1.160 20 30 DDEDLO CC[N@H+](CC(=O)N1CCN([C@H](C#N)C(C)C)CC1)C[C@@H](C)C#N ZINC000245554855 222216613 /nfs/dbraw/zinc/21/66/13/222216613.db2.gz HKSGOHDWTBFWKL-JKSUJKDBSA-N 1 2 319.453 1.160 20 30 DDEDLO C[C@H](CNC(=O)Cc1ccc(OCC#N)cc1)Cn1cc[nH+]c1 ZINC000267068651 222370210 /nfs/dbraw/zinc/37/02/10/222370210.db2.gz HQMSZHQPTCONSW-CQSZACIVSA-N 1 2 312.373 1.780 20 30 DDEDLO N#C[C@H]1CSCCN1C(=O)[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000377178608 418707011 /nfs/dbraw/zinc/70/70/11/418707011.db2.gz LMWNKXGXHPCPPR-KBPBESRZSA-N 1 2 316.430 1.766 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCOC[C@@H]3[C@@H]3CCCO3)n2c1 ZINC000377709404 418713974 /nfs/dbraw/zinc/71/39/74/418713974.db2.gz YVZJGBBXYMYQFA-CVEARBPZSA-N 1 2 312.373 1.586 20 30 DDEDLO CC(C)(C#N)CCS(=O)(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000377835654 418715716 /nfs/dbraw/zinc/71/57/16/418715716.db2.gz VOVSJKUZGLXQDB-CYBMUJFWSA-N 1 2 322.434 1.520 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCOC[C@H]2CC(=O)OC)cc1 ZINC000377870763 418716033 /nfs/dbraw/zinc/71/60/33/418716033.db2.gz WMBVBHWWHNJMAI-OAHLLOKOSA-N 1 2 303.358 1.463 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCOC[C@H]2CC(=O)OC)cc1 ZINC000377870763 418716036 /nfs/dbraw/zinc/71/60/36/418716036.db2.gz WMBVBHWWHNJMAI-OAHLLOKOSA-N 1 2 303.358 1.463 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNc1ncc([N+](=O)[O-])cc1C#N)CCC2 ZINC000378232199 418719733 /nfs/dbraw/zinc/71/97/33/418719733.db2.gz KPFBGUHNMHLYBK-NSHDSACASA-N 1 2 312.333 2.356 20 30 DDEDLO C#CCC(C)(C)C(=O)N1CC[NH+](C2(C(N)=O)CCCCC2)CC1 ZINC000368392520 418720047 /nfs/dbraw/zinc/72/00/47/418720047.db2.gz GKDPLJHPPWKQFG-UHFFFAOYSA-N 1 2 319.449 1.368 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)ccc1C#N ZINC000368039419 418677881 /nfs/dbraw/zinc/67/78/81/418677881.db2.gz RESUASCUSAZPOW-DZGCQCFKSA-N 1 2 306.387 1.186 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)ccc1C#N ZINC000368039419 418677883 /nfs/dbraw/zinc/67/78/83/418677883.db2.gz RESUASCUSAZPOW-DZGCQCFKSA-N 1 2 306.387 1.186 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)s1 ZINC000378313469 418721352 /nfs/dbraw/zinc/72/13/52/418721352.db2.gz SYQFFURKDXTOAI-SECBINFHSA-N 1 2 303.391 1.751 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)s1 ZINC000378313469 418721354 /nfs/dbraw/zinc/72/13/54/418721354.db2.gz SYQFFURKDXTOAI-SECBINFHSA-N 1 2 303.391 1.751 20 30 DDEDLO CC#CCC[NH+]1CCC(n2[nH]cc(C(=O)OCC)c2=N)CC1 ZINC000376950266 418703262 /nfs/dbraw/zinc/70/32/62/418703262.db2.gz RBVIAGONRRHQFZ-UHFFFAOYSA-N 1 2 304.394 1.523 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1N(CCC#N)CC(C)(C)C ZINC000377051170 418704639 /nfs/dbraw/zinc/70/46/39/418704639.db2.gz MVYQTUXLRXNGEI-CVEARBPZSA-N 1 2 308.470 1.958 20 30 DDEDLO CCCC(=O)N1CCC[N@H+](CC(=O)N(CC)C[C@H](C)C#N)CC1 ZINC000361942404 418728586 /nfs/dbraw/zinc/72/85/86/418728586.db2.gz HHBNTPRISLHBQX-OAHLLOKOSA-N 1 2 322.453 1.329 20 30 DDEDLO CCCC(=O)N1CCC[N@@H+](CC(=O)N(CC)C[C@H](C)C#N)CC1 ZINC000361942404 418728589 /nfs/dbraw/zinc/72/85/89/418728589.db2.gz HHBNTPRISLHBQX-OAHLLOKOSA-N 1 2 322.453 1.329 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000369315588 418732127 /nfs/dbraw/zinc/73/21/27/418732127.db2.gz VMCFXLKFKBVCCI-LLVKDONJSA-N 1 2 323.256 1.395 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000369315588 418732129 /nfs/dbraw/zinc/73/21/29/418732129.db2.gz VMCFXLKFKBVCCI-LLVKDONJSA-N 1 2 323.256 1.395 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCOC[C@@H]1Cc1c[nH]c2ccccc12 ZINC000369705357 418737191 /nfs/dbraw/zinc/73/71/91/418737191.db2.gz XGGNGMGRVBLORX-HNNXBMFYSA-N 1 2 313.401 1.713 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCOC[C@@H]1Cc1c[nH]c2ccccc12 ZINC000369705357 418737193 /nfs/dbraw/zinc/73/71/93/418737193.db2.gz XGGNGMGRVBLORX-HNNXBMFYSA-N 1 2 313.401 1.713 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCc2nnc(N)cc2C1 ZINC000369759868 418738106 /nfs/dbraw/zinc/73/81/06/418738106.db2.gz KMSHLENWROSDPR-GFCCVEGCSA-N 1 2 303.410 1.230 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCc2nnc(N)cc2C1 ZINC000369759868 418738107 /nfs/dbraw/zinc/73/81/07/418738107.db2.gz KMSHLENWROSDPR-GFCCVEGCSA-N 1 2 303.410 1.230 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000370232725 418742264 /nfs/dbraw/zinc/74/22/64/418742264.db2.gz YGCKWMCRSTWGSB-CVEARBPZSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@H+]1CCOc1ccc(C#N)cc1 ZINC000370232725 418742266 /nfs/dbraw/zinc/74/22/66/418742266.db2.gz YGCKWMCRSTWGSB-CVEARBPZSA-N 1 2 318.373 1.589 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCC(c2nc[nH]n2)CC1 ZINC000363367857 418764496 /nfs/dbraw/zinc/76/44/96/418764496.db2.gz VHSCTNDZEGBZAC-ZDUSSCGKSA-N 1 2 303.410 1.573 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2C[C@H](C)C[C@H](O)C2)c(C#N)c1C ZINC000401629331 418765408 /nfs/dbraw/zinc/76/54/08/418765408.db2.gz XKCQNOUINGQISX-SKDRFNHKSA-N 1 2 307.419 1.878 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2C[C@H](C)C[C@H](O)C2)c(C#N)c1C ZINC000401629331 418765409 /nfs/dbraw/zinc/76/54/09/418765409.db2.gz XKCQNOUINGQISX-SKDRFNHKSA-N 1 2 307.419 1.878 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC000364314363 418775128 /nfs/dbraw/zinc/77/51/28/418775128.db2.gz ODFQIETUXWDYTD-UHFFFAOYSA-N 1 2 321.465 1.629 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC000364314363 418775130 /nfs/dbraw/zinc/77/51/30/418775130.db2.gz ODFQIETUXWDYTD-UHFFFAOYSA-N 1 2 321.465 1.629 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CC=C(c2cccnc2)CC1 ZINC000371337603 418779425 /nfs/dbraw/zinc/77/94/25/418779425.db2.gz VQRCGLOZJXDMAM-UHFFFAOYSA-N 1 2 323.400 1.827 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CC=C(c2cccnc2)CC1 ZINC000371337603 418779427 /nfs/dbraw/zinc/77/94/27/418779427.db2.gz VQRCGLOZJXDMAM-UHFFFAOYSA-N 1 2 323.400 1.827 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(C(=O)CC(C)(C)C#N)CC1 ZINC000408153647 418790598 /nfs/dbraw/zinc/79/05/98/418790598.db2.gz LDDAUFQPGBTWDW-CQSZACIVSA-N 1 2 320.437 1.081 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CC[C@H](O)[C@@H](CO)C2)c1C ZINC000412115490 419678791 /nfs/dbraw/zinc/67/87/91/419678791.db2.gz YNWFBBCUNOHQAD-ZBFHGGJFSA-N 1 2 306.406 1.149 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CC[C@H](O)[C@@H](CO)C2)c1C ZINC000412115490 419678796 /nfs/dbraw/zinc/67/87/96/419678796.db2.gz YNWFBBCUNOHQAD-ZBFHGGJFSA-N 1 2 306.406 1.149 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)[C@H](C#N)CCc1ccccc1 ZINC000433221425 229175337 /nfs/dbraw/zinc/17/53/37/229175337.db2.gz UBURJGVYDZENFP-RDJZCZTQSA-N 1 2 315.417 1.596 20 30 DDEDLO CN(C)S(=O)(=O)c1cccc(C[N@H+](C)CC(C)(C)C#N)c1 ZINC000433307423 229185904 /nfs/dbraw/zinc/18/59/04/229185904.db2.gz UWCXYMZCXRHUIS-UHFFFAOYSA-N 1 2 309.435 1.918 20 30 DDEDLO CN(C)S(=O)(=O)c1cccc(C[N@@H+](C)CC(C)(C)C#N)c1 ZINC000433307423 229185909 /nfs/dbraw/zinc/18/59/09/229185909.db2.gz UWCXYMZCXRHUIS-UHFFFAOYSA-N 1 2 309.435 1.918 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NCc1ccc(N2CCC(C)CC2)[nH+]c1 ZINC000416372743 420346793 /nfs/dbraw/zinc/34/67/93/420346793.db2.gz NLFKZJKTUCWBKU-ZDUSSCGKSA-N 1 2 322.434 1.649 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N[C@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000416389387 420352688 /nfs/dbraw/zinc/35/26/88/420352688.db2.gz OHWQKTYVFLJGLV-MNOVXSKESA-N 1 2 310.423 1.327 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NCc1cc[nH+]c(N2CCCCCC2)c1 ZINC000416393835 420354973 /nfs/dbraw/zinc/35/49/73/420354973.db2.gz VZPQOKPJBFOGNO-ZDUSSCGKSA-N 1 2 322.434 1.793 20 30 DDEDLO CCC(CC)[C@@H](C(=O)NCC1(CC#N)CC1)[NH+]1CCOCC1 ZINC000435960245 420299464 /nfs/dbraw/zinc/29/94/64/420299464.db2.gz RVFAJVNRSXCHRC-HNNXBMFYSA-N 1 2 307.438 1.933 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](c2nnc[nH]2)C1 ZINC000425528188 420409569 /nfs/dbraw/zinc/40/95/69/420409569.db2.gz CJZJZUCHHHRGRN-KGLIPLIRSA-N 1 2 311.345 1.380 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](c2nnc[nH]2)C1 ZINC000425528188 420409571 /nfs/dbraw/zinc/40/95/71/420409571.db2.gz CJZJZUCHHHRGRN-KGLIPLIRSA-N 1 2 311.345 1.380 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](c2nnc[nH]2)C1 ZINC000425528190 420409707 /nfs/dbraw/zinc/40/97/07/420409707.db2.gz CJZJZUCHHHRGRN-ZIAGYGMSSA-N 1 2 311.345 1.380 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](c2nnc[nH]2)C1 ZINC000425528190 420409710 /nfs/dbraw/zinc/40/97/10/420409710.db2.gz CJZJZUCHHHRGRN-ZIAGYGMSSA-N 1 2 311.345 1.380 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)N2CC[NH+](Cc3cccnc3)CC2)C1 ZINC000425614862 420424064 /nfs/dbraw/zinc/42/40/64/420424064.db2.gz WOCLWCMQUZAWRR-GOEBONIOSA-N 1 2 313.405 1.601 20 30 DDEDLO C=CCC[C@H](CO)Nc1nc(NC(C)(C)C)nc(NCC)[nH+]1 ZINC000450912957 420559446 /nfs/dbraw/zinc/55/94/46/420559446.db2.gz MBGBDIVKZPMYHV-LLVKDONJSA-N 1 2 308.430 1.097 20 30 DDEDLO C=CCC[C@H](CO)Nc1nc(NCC)[nH+]c(NC(C)(C)C)n1 ZINC000450912957 420559450 /nfs/dbraw/zinc/55/94/50/420559450.db2.gz MBGBDIVKZPMYHV-LLVKDONJSA-N 1 2 308.430 1.097 20 30 DDEDLO C=CCC[C@H](CO)Nc1nc(NCC)nc(NC(C)(C)C)[nH+]1 ZINC000450912957 420559453 /nfs/dbraw/zinc/55/94/53/420559453.db2.gz MBGBDIVKZPMYHV-LLVKDONJSA-N 1 2 308.430 1.097 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)/C=C\c2[nH+]ccn2CC)C1=O ZINC000492571472 420595623 /nfs/dbraw/zinc/59/56/23/420595623.db2.gz ZYJYHGPDZRSAOV-FMFIFOJESA-N 1 2 302.378 1.162 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000457628658 420634346 /nfs/dbraw/zinc/63/43/46/420634346.db2.gz VKTWJISLGBTUTM-PMPSAXMXSA-N 1 2 308.422 1.291 20 30 DDEDLO C[C@@H](CC#N)[N@H+](C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000492766775 420657421 /nfs/dbraw/zinc/65/74/21/420657421.db2.gz ISZMAAKDMRDSAQ-AWEZNQCLSA-N 1 2 310.442 1.773 20 30 DDEDLO C[C@@H](CC#N)[N@@H+](C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000492766775 420657424 /nfs/dbraw/zinc/65/74/24/420657424.db2.gz ISZMAAKDMRDSAQ-AWEZNQCLSA-N 1 2 310.442 1.773 20 30 DDEDLO C[C@@H](CC#N)N(C)CC[NH+]1CCN(C(=O)OC(C)(C)C)CC1 ZINC000492766775 420657425 /nfs/dbraw/zinc/65/74/25/420657425.db2.gz ISZMAAKDMRDSAQ-AWEZNQCLSA-N 1 2 310.442 1.773 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000458569518 420687447 /nfs/dbraw/zinc/68/74/47/420687447.db2.gz KPGRVWIPIIYTJF-ZIAGYGMSSA-N 1 2 306.410 1.695 20 30 DDEDLO CC#CCCNC(=O)N(CC[NH+]1CCOCC1)Cc1ccco1 ZINC000458659603 420708556 /nfs/dbraw/zinc/70/85/56/420708556.db2.gz PBXAHOUJZOAPDR-UHFFFAOYSA-N 1 2 319.405 1.537 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(C)c(OC)c2)CC1 ZINC000447793854 420802712 /nfs/dbraw/zinc/80/27/12/420802712.db2.gz AQPOKMXMAHZGDT-UHFFFAOYSA-N 1 2 317.433 1.423 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C#N)c(F)c1 ZINC000454277452 420829461 /nfs/dbraw/zinc/82/94/61/420829461.db2.gz UDWHSWGFSOPKPK-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000448604471 420870616 /nfs/dbraw/zinc/87/06/16/420870616.db2.gz IEHRXHDKPXTQQG-QGPMSJSTSA-N 1 2 313.401 1.553 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000448604471 420870622 /nfs/dbraw/zinc/87/06/22/420870622.db2.gz IEHRXHDKPXTQQG-QGPMSJSTSA-N 1 2 313.401 1.553 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C(=O)[C@@]2(C#N)C[C@H]2C)CC1 ZINC000448708811 420885486 /nfs/dbraw/zinc/88/54/86/420885486.db2.gz OUVPYYWZJONTCK-BDJLRTHQSA-N 1 2 302.378 1.485 20 30 DDEDLO C=CCCCS(=O)(=O)NC[C@H](C(C)C)[NH+]1CCOCC1 ZINC000489072715 421135257 /nfs/dbraw/zinc/13/52/57/421135257.db2.gz KTONRBGACFSHGM-CQSZACIVSA-N 1 2 304.456 1.229 20 30 DDEDLO CCOC(=O)N1CCC[N@@H+]([C@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000492187089 421211231 /nfs/dbraw/zinc/21/12/31/421211231.db2.gz GTFNAPNJZOTSEB-CYBMUJFWSA-N 1 2 322.409 1.102 20 30 DDEDLO CCOC(=O)N1CCC[N@H+]([C@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000492187089 421211233 /nfs/dbraw/zinc/21/12/33/421211233.db2.gz GTFNAPNJZOTSEB-CYBMUJFWSA-N 1 2 322.409 1.102 20 30 DDEDLO C[C@@H]1C[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)[C@H](C)CO1 ZINC000528343044 421480568 /nfs/dbraw/zinc/48/05/68/421480568.db2.gz XZGZNUCHFVLFLA-ZIAGYGMSSA-N 1 2 312.369 1.395 20 30 DDEDLO C[C@@H]1C[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)[C@H](C)CO1 ZINC000528343044 421480571 /nfs/dbraw/zinc/48/05/71/421480571.db2.gz XZGZNUCHFVLFLA-ZIAGYGMSSA-N 1 2 312.369 1.395 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CC#CCN1C(=O)c2ccccc2C1=O ZINC000528380331 421484181 /nfs/dbraw/zinc/48/41/81/421484181.db2.gz DXHCJIUXKIDOMC-CQSZACIVSA-N 1 2 312.369 1.397 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CC#CCN1C(=O)c2ccccc2C1=O ZINC000528380331 421484185 /nfs/dbraw/zinc/48/41/85/421484185.db2.gz DXHCJIUXKIDOMC-CQSZACIVSA-N 1 2 312.369 1.397 20 30 DDEDLO C=CCNC(=O)CNC(=O)[C@H](c1ccc(Cl)cc1)[NH+](C)C ZINC000563390795 421500540 /nfs/dbraw/zinc/50/05/40/421500540.db2.gz WRIZICKEEXDYJW-AWEZNQCLSA-N 1 2 309.797 1.361 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H](C)CC[NH+](C)C)cc1C#N ZINC000359576903 269817295 /nfs/dbraw/zinc/81/72/95/269817295.db2.gz MLALFRCHLFHQDS-SECBINFHSA-N 1 2 308.407 1.451 20 30 DDEDLO COc1ccccc1OCCC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000567995687 421616327 /nfs/dbraw/zinc/61/63/27/421616327.db2.gz SCNHIYGYUPWPOO-MRXNPFEDSA-N 1 2 305.378 1.424 20 30 DDEDLO C[N@@H+](CC(=O)NC1(C#N)CCC1)C[C@@H]1OCCc2ccccc21 ZINC000553873070 421628421 /nfs/dbraw/zinc/62/84/21/421628421.db2.gz VPWKOGBZHLJPDB-INIZCTEOSA-N 1 2 313.401 1.795 20 30 DDEDLO C[N@H+](CC(=O)NC1(C#N)CCC1)C[C@@H]1OCCc2ccccc21 ZINC000553873070 421628424 /nfs/dbraw/zinc/62/84/24/421628424.db2.gz VPWKOGBZHLJPDB-INIZCTEOSA-N 1 2 313.401 1.795 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNCc1nnc(C(C)(C)C)s1 ZINC000566112415 421602985 /nfs/dbraw/zinc/60/29/85/421602985.db2.gz NXBZQHRGHKMTCR-NSHDSACASA-N 1 2 311.455 1.132 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNCc1nnc(C(C)(C)C)s1 ZINC000566112415 421602987 /nfs/dbraw/zinc/60/29/87/421602987.db2.gz NXBZQHRGHKMTCR-NSHDSACASA-N 1 2 311.455 1.132 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccn(-c2ccc(F)cc2)n1 ZINC000519266193 421687099 /nfs/dbraw/zinc/68/70/99/421687099.db2.gz FGFXHWVIDPFBGN-INIZCTEOSA-N 1 2 315.352 1.585 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@H+](C)CC(=O)Nc1ccc(C#N)cc1 ZINC000362583301 269823354 /nfs/dbraw/zinc/82/33/54/269823354.db2.gz IJXKMEYLAWPBLE-LBPRGKRZSA-N 1 2 316.405 1.732 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@@H+](C)CC(=O)Nc1ccc(C#N)cc1 ZINC000362583301 269823355 /nfs/dbraw/zinc/82/33/55/269823355.db2.gz IJXKMEYLAWPBLE-LBPRGKRZSA-N 1 2 316.405 1.732 20 30 DDEDLO Cc1cc(NCC(=O)N[C@@H]2CCOc3ccccc32)c(C#N)c[nH+]1 ZINC000519644397 421712745 /nfs/dbraw/zinc/71/27/45/421712745.db2.gz VAADVHMZVWAVES-OAHLLOKOSA-N 1 2 322.368 1.736 20 30 DDEDLO C[C@@H](C(=O)NCC(C)(C)[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000359887759 269823841 /nfs/dbraw/zinc/82/38/41/269823841.db2.gz CMZYHNYBLPIIAJ-CQSZACIVSA-N 1 2 315.417 1.889 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@@H]2C[C@H]2c2cccc(C#N)c2)CCO1 ZINC000519751076 421723362 /nfs/dbraw/zinc/72/33/62/421723362.db2.gz IPUJWCXGCFHBPD-XOKHGSTOSA-N 1 2 313.401 1.499 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@@H]2C[C@H]2c2cccc(C#N)c2)CCO1 ZINC000519751076 421723365 /nfs/dbraw/zinc/72/33/65/421723365.db2.gz IPUJWCXGCFHBPD-XOKHGSTOSA-N 1 2 313.401 1.499 20 30 DDEDLO C[C@H](CC(=O)N1CCN(c2cccc(C#N)n2)CC1)n1cc[nH+]c1 ZINC000571686856 421736602 /nfs/dbraw/zinc/73/66/02/421736602.db2.gz FMBJFEWWEKDHOJ-CQSZACIVSA-N 1 2 324.388 1.450 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000572144515 421766600 /nfs/dbraw/zinc/76/66/00/421766600.db2.gz XRHRQWFIVZKEHK-HIFRSBDPSA-N 1 2 314.389 1.197 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]CCC(=O)Nc2sccc2C#N)n1 ZINC000544474924 421844944 /nfs/dbraw/zinc/84/49/44/421844944.db2.gz ALQWEOXUCMVHDI-MRVPVSSYSA-N 1 2 305.363 1.991 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000574959263 422223247 /nfs/dbraw/zinc/22/32/47/422223247.db2.gz QIBVAOZOTYAURD-KGLIPLIRSA-N 1 2 311.426 1.130 20 30 DDEDLO CN1CC[N@H+](C)C[C@H]1c1noc(C2(c3cccc(C#N)c3)CC2)n1 ZINC000576080579 422366690 /nfs/dbraw/zinc/36/66/90/422366690.db2.gz BRVPMBYPODACGG-HNNXBMFYSA-N 1 2 323.400 1.939 20 30 DDEDLO CN1CC[N@@H+](C)C[C@H]1c1noc(C2(c3cccc(C#N)c3)CC2)n1 ZINC000576080579 422366696 /nfs/dbraw/zinc/36/66/96/422366696.db2.gz BRVPMBYPODACGG-HNNXBMFYSA-N 1 2 323.400 1.939 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NCCN1CCc2ccccc21 ZINC000576289569 422373374 /nfs/dbraw/zinc/37/33/74/422373374.db2.gz IPJVEOXWTUPNDT-HNNXBMFYSA-N 1 2 300.406 1.447 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2nc(C#N)c(Cl)s2)[C@H](C)CO1 ZINC000596430660 422376467 /nfs/dbraw/zinc/37/64/67/422376467.db2.gz MROXVZJVTBFBBP-RKDXNWHRSA-N 1 2 300.815 2.189 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2nc(C#N)c(Cl)s2)[C@H](C)CO1 ZINC000596430660 422376472 /nfs/dbraw/zinc/37/64/72/422376472.db2.gz MROXVZJVTBFBBP-RKDXNWHRSA-N 1 2 300.815 2.189 20 30 DDEDLO C[N@H+](CC#CCN1C(=O)c2ccccc2C1=O)[C@H]1CCC[C@@H]1C#N ZINC000602981916 422439904 /nfs/dbraw/zinc/43/99/04/422439904.db2.gz LLXYUHAWCQEEIC-PBHICJAKSA-N 1 2 321.380 1.910 20 30 DDEDLO C[N@@H+](CC#CCN1C(=O)c2ccccc2C1=O)[C@H]1CCC[C@@H]1C#N ZINC000602981916 422439909 /nfs/dbraw/zinc/43/99/09/422439909.db2.gz LLXYUHAWCQEEIC-PBHICJAKSA-N 1 2 321.380 1.910 20 30 DDEDLO Cc1cc(NC(=O)C[N@@H+]2CCc3c(CC#N)cccc3C2)no1 ZINC000599685525 422406439 /nfs/dbraw/zinc/40/64/39/422406439.db2.gz FJRZCQRDAHUFFS-UHFFFAOYSA-N 1 2 310.357 2.046 20 30 DDEDLO Cc1cc(NC(=O)C[N@H+]2CCc3c(CC#N)cccc3C2)no1 ZINC000599685525 422406444 /nfs/dbraw/zinc/40/64/44/422406444.db2.gz FJRZCQRDAHUFFS-UHFFFAOYSA-N 1 2 310.357 2.046 20 30 DDEDLO N#C[C@H]1CSCCN1C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000602133926 422431838 /nfs/dbraw/zinc/43/18/38/422431838.db2.gz UMEHYBIBQWUGNM-VHSXEESVSA-N 1 2 318.324 1.844 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNC(=O)Nc1nn(C)cc1C#N ZINC000610562832 422469167 /nfs/dbraw/zinc/46/91/67/422469167.db2.gz IGCRYVOGFIDGAT-UHFFFAOYSA-N 1 2 323.360 1.772 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N([C@@H](C)C2CC2)C2CC2)nn1 ZINC000640971406 423304478 /nfs/dbraw/zinc/30/44/78/423304478.db2.gz KPJGXGURLRIIQG-ZDUSSCGKSA-N 1 2 315.421 1.181 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cnc3c(cnn3C(C)C)c2)nn1 ZINC000641021382 423329526 /nfs/dbraw/zinc/32/95/26/423329526.db2.gz ALSRDZQKUJZBIC-UHFFFAOYSA-N 1 2 323.404 1.765 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC/C=C/c2cncc(OC)c2)nn1 ZINC000641183410 423454565 /nfs/dbraw/zinc/45/45/65/423454565.db2.gz SSZIXOYYFJXZIE-FNORWQNLSA-N 1 2 311.389 1.898 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@H](CC)O[C@]3(CCOC3)C2)nn1 ZINC000653654146 423566580 /nfs/dbraw/zinc/56/65/80/423566580.db2.gz BFRVBXGKMFLSEM-JKSUJKDBSA-N 1 2 306.410 1.624 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@H](CC)O[C@]3(CCOC3)C2)nn1 ZINC000653654146 423566582 /nfs/dbraw/zinc/56/65/82/423566582.db2.gz BFRVBXGKMFLSEM-JKSUJKDBSA-N 1 2 306.410 1.624 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000663839001 424216965 /nfs/dbraw/zinc/21/69/65/424216965.db2.gz GLGOJNHMPDQWSZ-UHFFFAOYSA-N 1 2 306.410 1.467 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000663839001 424216973 /nfs/dbraw/zinc/21/69/73/424216973.db2.gz GLGOJNHMPDQWSZ-UHFFFAOYSA-N 1 2 306.410 1.467 20 30 DDEDLO C=CCCn1cc(CNCc2[nH+]ccn2CC(F)(F)F)nn1 ZINC000657346117 424298114 /nfs/dbraw/zinc/29/81/14/424298114.db2.gz XSMFWZUEFYRWKP-UHFFFAOYSA-N 1 2 314.315 1.903 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1nc2sc3c(c2c(N)n1)CCCC3 ZINC000662167639 424450983 /nfs/dbraw/zinc/45/09/83/424450983.db2.gz CVSLTKCNAZQEMF-SECBINFHSA-N 1 2 304.419 1.789 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CCc2nc(C(C)=O)c(C)[nH]2)C1=O ZINC000660463395 424696252 /nfs/dbraw/zinc/69/62/52/424696252.db2.gz CFNQCSWOJQSKPV-ZDUSSCGKSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CCc2nc(C(C)=O)c(C)[nH]2)C1=O ZINC000660463395 424696253 /nfs/dbraw/zinc/69/62/53/424696253.db2.gz CFNQCSWOJQSKPV-ZDUSSCGKSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CCc2nc(C)c(C(C)=O)[nH]2)C1=O ZINC000660463395 424696254 /nfs/dbraw/zinc/69/62/54/424696254.db2.gz CFNQCSWOJQSKPV-ZDUSSCGKSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CCc2nc(C)c(C(C)=O)[nH]2)C1=O ZINC000660463395 424696256 /nfs/dbraw/zinc/69/62/56/424696256.db2.gz CFNQCSWOJQSKPV-ZDUSSCGKSA-N 1 2 304.394 1.182 20 30 DDEDLO CCCC[N@@H+]1CCOC[C@@H]1C(=O)NCCc1cccc(C#N)c1 ZINC000366850576 266031394 /nfs/dbraw/zinc/03/13/94/266031394.db2.gz LTNUAOCXMWKXEV-QGZVFWFLSA-N 1 2 315.417 1.718 20 30 DDEDLO CCCC[N@H+]1CCOC[C@@H]1C(=O)NCCc1cccc(C#N)c1 ZINC000366850576 266031396 /nfs/dbraw/zinc/03/13/96/266031396.db2.gz LTNUAOCXMWKXEV-QGZVFWFLSA-N 1 2 315.417 1.718 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000374510761 266034885 /nfs/dbraw/zinc/03/48/85/266034885.db2.gz ZDDWSQWMTBEUPP-CQSZACIVSA-N 1 2 315.421 1.380 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355096169 266110904 /nfs/dbraw/zinc/11/09/04/266110904.db2.gz AKRFOHQMLHNCGV-AWEZNQCLSA-N 1 2 315.421 1.332 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@@H](C)OC ZINC000361572572 266129310 /nfs/dbraw/zinc/12/93/10/266129310.db2.gz FOEAZCMWDRCAEO-CYBMUJFWSA-N 1 2 310.419 1.689 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@@H](C)OC ZINC000361572572 266129313 /nfs/dbraw/zinc/12/93/13/266129313.db2.gz FOEAZCMWDRCAEO-CYBMUJFWSA-N 1 2 310.419 1.689 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000350839175 267046257 /nfs/dbraw/zinc/04/62/57/267046257.db2.gz WARSJIPRFXEXAQ-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000350839175 267046259 /nfs/dbraw/zinc/04/62/59/267046259.db2.gz WARSJIPRFXEXAQ-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@H+](CC(=O)Nc1ccc(C#N)cc1)CCO2 ZINC000369299698 267102412 /nfs/dbraw/zinc/10/24/12/267102412.db2.gz VNOKCANCGPCUPP-GUYCJALGSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@@H+](CC(=O)Nc1ccc(C#N)cc1)CCO2 ZINC000369299698 267102416 /nfs/dbraw/zinc/10/24/16/267102416.db2.gz VNOKCANCGPCUPP-GUYCJALGSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)[C@@H](C)c1cccc(C#N)c1 ZINC000360008488 267157393 /nfs/dbraw/zinc/15/73/93/267157393.db2.gz VOPBGCQSFPIYJQ-KGLIPLIRSA-N 1 2 301.390 1.499 20 30 DDEDLO C[C@H]1C[NH+](CCN(C)C(=O)c2ccc(C#N)s2)C[C@H](C)O1 ZINC000345394718 267231221 /nfs/dbraw/zinc/23/12/21/267231221.db2.gz UXYQHNSSUCZZDY-RYUDHWBXSA-N 1 2 307.419 1.801 20 30 DDEDLO CCn1ncc(C[NH2+]Cc2cn(C)nc2-c2ccc(C#N)cc2)n1 ZINC000527735997 267262030 /nfs/dbraw/zinc/26/20/30/267262030.db2.gz PBUHCEDRRNQFOF-UHFFFAOYSA-N 1 2 321.388 1.860 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](CC(=O)NC3CCCC3)CC2)n1 ZINC000355097175 268261979 /nfs/dbraw/zinc/26/19/79/268261979.db2.gz CNEFHXKVKNBRHF-UHFFFAOYSA-N 1 2 313.405 1.134 20 30 DDEDLO N#Cc1ncccc1NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000377414247 268327698 /nfs/dbraw/zinc/32/76/98/268327698.db2.gz UKWTYIMRGAPZMS-INIZCTEOSA-N 1 2 302.378 1.247 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNc1cc(N)c([N+](=O)[O-])c(C#N)c1 ZINC000341977888 271265445 /nfs/dbraw/zinc/26/54/45/271265445.db2.gz CHKPCIFDPDAGDY-JTQLQIEISA-N 1 2 305.338 1.181 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNc1cc(N)c([N+](=O)[O-])c(C#N)c1 ZINC000341977888 271265447 /nfs/dbraw/zinc/26/54/47/271265447.db2.gz CHKPCIFDPDAGDY-JTQLQIEISA-N 1 2 305.338 1.181 20 30 DDEDLO CCOC(=O)CC[N@@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000374353037 276277717 /nfs/dbraw/zinc/27/77/17/276277717.db2.gz QUHJRVASXBLKIP-YVEFUNNKSA-N 1 2 313.357 1.285 20 30 DDEDLO CCOC(=O)CC[N@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000374353037 276277719 /nfs/dbraw/zinc/27/77/19/276277719.db2.gz QUHJRVASXBLKIP-YVEFUNNKSA-N 1 2 313.357 1.285 20 30 DDEDLO C#CCC(CC#C)C(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000372982640 277669699 /nfs/dbraw/zinc/66/96/99/277669699.db2.gz PQFPJAKEHLTMAJ-QGZVFWFLSA-N 1 2 302.418 1.363 20 30 DDEDLO CC(=O)N1CCC(NC(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)CC1 ZINC000329168912 277981566 /nfs/dbraw/zinc/98/15/66/277981566.db2.gz JINUMFZZXOATTB-CQSZACIVSA-N 1 2 319.409 1.862 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1C[C@@]2(CCOC2)OC(C)(C)C1 ZINC000376018672 280102002 /nfs/dbraw/zinc/10/20/02/280102002.db2.gz KDXBKAFQJGQHAP-IAGOWNOFSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1C[C@@]2(CCOC2)OC(C)(C)C1 ZINC000376018672 280102006 /nfs/dbraw/zinc/10/20/06/280102006.db2.gz KDXBKAFQJGQHAP-IAGOWNOFSA-N 1 2 323.437 1.311 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cccc(C#N)c1 ZINC000451937747 288284900 /nfs/dbraw/zinc/28/49/00/288284900.db2.gz VPGBNDLDJMSPDF-AWEZNQCLSA-N 1 2 307.419 1.663 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cccc(C#N)c1 ZINC000451937747 288284901 /nfs/dbraw/zinc/28/49/01/288284901.db2.gz VPGBNDLDJMSPDF-AWEZNQCLSA-N 1 2 307.419 1.663 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)NC(C)(C)c1noc(C[NH+](C)C)n1 ZINC000081729804 281010844 /nfs/dbraw/zinc/01/08/44/281010844.db2.gz PSKCFGVHKPQQKK-UHFFFAOYSA-N 1 2 316.365 1.006 20 30 DDEDLO CC[C@H](C)NC(=O)CN1CC[NH+](Cc2cc(C#N)cs2)CC1 ZINC000129132268 281333154 /nfs/dbraw/zinc/33/31/54/281333154.db2.gz FRTBWZKDZXMUPX-ZDUSSCGKSA-N 1 2 320.462 1.652 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)N1CCC[C@H]1CO)C1CCOCC1 ZINC000329336891 303002892 /nfs/dbraw/zinc/00/28/92/303002892.db2.gz MEPHWWQQNQBCDC-UONOGXRCSA-N 1 2 322.409 1.259 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCOCC23CCCC3)CCCCC1 ZINC000367185393 303145631 /nfs/dbraw/zinc/14/56/31/303145631.db2.gz LYDFTNIVMJDAED-UHFFFAOYSA-N 1 2 305.422 1.974 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCOCC23CCCC3)CCCCC1 ZINC000367185393 303145632 /nfs/dbraw/zinc/14/56/32/303145632.db2.gz LYDFTNIVMJDAED-UHFFFAOYSA-N 1 2 305.422 1.974 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCOCC12CCCCC2 ZINC000451816185 303152723 /nfs/dbraw/zinc/15/27/23/303152723.db2.gz NVZFKAIAPSUEAN-UHFFFAOYSA-N 1 2 318.421 1.677 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCOCC12CCCCC2 ZINC000451816185 303152727 /nfs/dbraw/zinc/15/27/27/303152727.db2.gz NVZFKAIAPSUEAN-UHFFFAOYSA-N 1 2 318.421 1.677 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H](C)Nc1cc[nH+]c(C)n1 ZINC001120680703 782090357 /nfs/dbraw/zinc/09/03/57/782090357.db2.gz WMDXZZCBZSYGTP-YUTCNCBUSA-N 1 2 304.394 1.635 20 30 DDEDLO CC(C)NC(=O)CO[NH+]=C(N)c1ccc(N2CCCCC2)nc1 ZINC000058498654 331798613 /nfs/dbraw/zinc/79/86/13/331798613.db2.gz MORZBWITHZZVLR-UHFFFAOYSA-N 1 2 319.409 1.233 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](CC(=O)N[C@@H](C#N)C1CC1)C(F)(F)F ZINC000575428338 332670235 /nfs/dbraw/zinc/67/02/35/332670235.db2.gz RDQROHWTKKNMMH-ZJUUUORDSA-N 1 2 300.284 1.874 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCO[C@@]2(CCSC2)C1 ZINC000563071176 333305242 /nfs/dbraw/zinc/30/52/42/333305242.db2.gz WFTUSVWDVFVBPO-CABCVRRESA-N 1 2 311.451 1.249 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCO[C@@]2(CCSC2)C1 ZINC000563071176 333305243 /nfs/dbraw/zinc/30/52/43/333305243.db2.gz WFTUSVWDVFVBPO-CABCVRRESA-N 1 2 311.451 1.249 20 30 DDEDLO C#CC[NH+]1CCN(CN2CC[C@](C)(c3ccccc3)C2=O)CC1 ZINC000188631640 333381430 /nfs/dbraw/zinc/38/14/30/333381430.db2.gz LBDCJGDWVHQSFL-LJQANCHMSA-N 1 2 311.429 1.385 20 30 DDEDLO Cc1nn(CC(C)C)c(C)c1CC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000567995402 333512419 /nfs/dbraw/zinc/51/24/19/333512419.db2.gz JFMITNYBSNEUHY-QGZVFWFLSA-N 1 2 319.453 1.659 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCO[C@@]3(CCCOC3)C2)c([N+](=O)[O-])c1 ZINC000583634420 336009202 /nfs/dbraw/zinc/00/92/02/336009202.db2.gz USKYJNKVKLMLBX-INIZCTEOSA-N 1 2 317.345 1.848 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCO[C@@]3(CCCOC3)C2)c([N+](=O)[O-])c1 ZINC000583634420 336009203 /nfs/dbraw/zinc/00/92/03/336009203.db2.gz USKYJNKVKLMLBX-INIZCTEOSA-N 1 2 317.345 1.848 20 30 DDEDLO C=C(C)C[N@H+](Cc1ccc(CO)o1)C[C@@H](C)CS(C)(=O)=O ZINC000352815078 336249676 /nfs/dbraw/zinc/24/96/76/336249676.db2.gz LFXPOCKOKYAHLX-CYBMUJFWSA-N 1 2 315.435 1.831 20 30 DDEDLO C=C(C)C[N@@H+](Cc1ccc(CO)o1)C[C@@H](C)CS(C)(=O)=O ZINC000352815078 336249677 /nfs/dbraw/zinc/24/96/77/336249677.db2.gz LFXPOCKOKYAHLX-CYBMUJFWSA-N 1 2 315.435 1.831 20 30 DDEDLO C=CCN(CC)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000376801712 337041496 /nfs/dbraw/zinc/04/14/96/337041496.db2.gz LYESOZKDMHMJQI-UHFFFAOYSA-N 1 2 307.398 1.255 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)NCC2(C#N)CCC2)CCN1C ZINC000582895303 337212256 /nfs/dbraw/zinc/21/22/56/337212256.db2.gz CPWBIMKXKPWVMU-CYBMUJFWSA-N 1 2 316.409 1.112 20 30 DDEDLO Cc1ccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c(O)c1 ZINC000120927069 337881933 /nfs/dbraw/zinc/88/19/33/337881933.db2.gz OZLWCRQZQIIAPP-UHFFFAOYSA-N 1 2 317.389 1.389 20 30 DDEDLO C[C@H](CNC(=O)c1cccc(SCC#N)c1)[NH+]1CCOCC1 ZINC000079507254 341260343 /nfs/dbraw/zinc/26/03/43/341260343.db2.gz IYVIDTGAITUBBQ-CYBMUJFWSA-N 1 2 319.430 1.753 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C1=Cc2cc(F)ccc2OC1 ZINC000568638166 341677103 /nfs/dbraw/zinc/67/71/03/341677103.db2.gz OLXPJVISPRLRFM-INIZCTEOSA-N 1 2 303.337 1.562 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc([N+](=O)[O-])cc1Cl ZINC000576231111 341835022 /nfs/dbraw/zinc/83/50/22/341835022.db2.gz ZYQPRBBGPUVLBE-CYBMUJFWSA-N 1 2 310.741 1.822 20 30 DDEDLO C=CCNC(=O)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000053512327 483937064 /nfs/dbraw/zinc/93/70/64/483937064.db2.gz JWVDMNRHIIEPNP-UHFFFAOYSA-N 1 2 303.410 1.926 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000661068662 484887058 /nfs/dbraw/zinc/88/70/58/484887058.db2.gz CCJGACJMGULQRQ-GASCZTMLSA-N 1 2 305.422 1.744 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000668191139 485016175 /nfs/dbraw/zinc/01/61/75/485016175.db2.gz YUFLNJGIPYGFNY-GJZGRUSLSA-N 1 2 304.394 1.518 20 30 DDEDLO C=C[C@H](O)C(=O)N1CC[NH+]([C@@H](C)c2cccc(OC)c2)CC1 ZINC000668239654 485047962 /nfs/dbraw/zinc/04/79/62/485047962.db2.gz PTYZRFWLOGPKCL-BBRMVZONSA-N 1 2 304.390 1.447 20 30 DDEDLO C=C[C@H](O)C(=O)N1CC[NH+]([C@H](C)c2cccc(OC)c2)CC1 ZINC000668239655 485048177 /nfs/dbraw/zinc/04/81/77/485048177.db2.gz PTYZRFWLOGPKCL-CJNGLKHVSA-N 1 2 304.390 1.447 20 30 DDEDLO COCC#CCN1CC[NH+](Cc2cc(OC)cc(OC)c2)CC1 ZINC000677819895 486548327 /nfs/dbraw/zinc/54/83/27/486548327.db2.gz CTQDFZGPLCUJFK-UHFFFAOYSA-N 1 2 318.417 1.471 20 30 DDEDLO COCC#CC[NH+]1CCN(Cc2cc(OC)cc(OC)c2)CC1 ZINC000677819895 486548331 /nfs/dbraw/zinc/54/83/31/486548331.db2.gz CTQDFZGPLCUJFK-UHFFFAOYSA-N 1 2 318.417 1.471 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)Nc2ccccc2C#N)CC[S@]1=O ZINC000330875542 533925456 /nfs/dbraw/zinc/92/54/56/533925456.db2.gz ZYLLAIDCULPBJI-QKVFXAPYSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)Nc2ccccc2C#N)CC[S@]1=O ZINC000330875542 533925466 /nfs/dbraw/zinc/92/54/66/533925466.db2.gz ZYLLAIDCULPBJI-QKVFXAPYSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)[C@@H](C#N)CCc1ccccc1 ZINC000433221424 534064137 /nfs/dbraw/zinc/06/41/37/534064137.db2.gz UBURJGVYDZENFP-NVXWUHKLSA-N 1 2 315.417 1.596 20 30 DDEDLO N#CCOc1ccc(/C=C\C(=O)NCC[NH+]2CCOCC2)cc1 ZINC000490702819 534689674 /nfs/dbraw/zinc/68/96/74/534689674.db2.gz NWNYYMTVJQBYLA-UTCJRWHESA-N 1 2 315.373 1.051 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N1CCO[C@@H](C2CC2)C1 ZINC000330151999 534757592 /nfs/dbraw/zinc/75/75/92/534757592.db2.gz WKJUWJDLWKJJRN-CQSZACIVSA-N 1 2 304.394 1.744 20 30 DDEDLO CC(C)(C)OC(=O)C1CN(Cc2c[nH+]c3ccc(C#N)cn23)C1 ZINC000459593189 526344643 /nfs/dbraw/zinc/34/46/43/526344643.db2.gz NXTZPBRUGKVAOM-UHFFFAOYSA-N 1 2 312.373 1.979 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)NCCC2CCCCC2)C1 ZINC000330947481 526400864 /nfs/dbraw/zinc/40/08/64/526400864.db2.gz MAOSRJZFPJDHIQ-CQSZACIVSA-N 1 2 310.442 1.281 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCCC2CCCCC2)C1 ZINC000330947481 526400870 /nfs/dbraw/zinc/40/08/70/526400870.db2.gz MAOSRJZFPJDHIQ-CQSZACIVSA-N 1 2 310.442 1.281 20 30 DDEDLO C=CCN1CC[C@@H](N(C)Cc2[nH+]ccn2CC(F)(F)F)C1=O ZINC000337144072 526470562 /nfs/dbraw/zinc/47/05/62/526470562.db2.gz WEDSOOLEUPKSEB-LLVKDONJSA-N 1 2 316.327 1.664 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)N2CCCCCC2)C1=O ZINC000337238083 526472392 /nfs/dbraw/zinc/47/23/92/526472392.db2.gz ZDXBGBGIWCBHAT-HUUCEWRRSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)N2CCCCCC2)C1=O ZINC000337238083 526472395 /nfs/dbraw/zinc/47/23/95/526472395.db2.gz ZDXBGBGIWCBHAT-HUUCEWRRSA-N 1 2 307.438 1.496 20 30 DDEDLO CC(=O)NC1C[NH+](Cc2cn(C)nc2-c2ccc(C#N)cc2)C1 ZINC000459554547 526715493 /nfs/dbraw/zinc/71/54/93/526715493.db2.gz MWDVIWWVIKZNPT-UHFFFAOYSA-N 1 2 309.373 1.279 20 30 DDEDLO C=CCOc1ccc(C(=O)N(C)CC[NH+]2CCOCC2)cc1 ZINC000339730260 526835424 /nfs/dbraw/zinc/83/54/24/526835424.db2.gz MPTWSYQGEYFMEZ-UHFFFAOYSA-N 1 2 304.390 1.656 20 30 DDEDLO C#CC[N@@H+](CC#CC)Cc1cc(Cl)cc(S(N)(=O)=O)c1 ZINC000491746833 526864081 /nfs/dbraw/zinc/86/40/81/526864081.db2.gz QXFGTRXDOCWFGH-UHFFFAOYSA-N 1 2 310.806 1.446 20 30 DDEDLO C#CC[N@H+](CC#CC)Cc1cc(Cl)cc(S(N)(=O)=O)c1 ZINC000491746833 526864085 /nfs/dbraw/zinc/86/40/85/526864085.db2.gz QXFGTRXDOCWFGH-UHFFFAOYSA-N 1 2 310.806 1.446 20 30 DDEDLO C#CC[N@@H+](CC(=O)N[C@H](C(=O)OC)C(C)C)Cc1ccccc1 ZINC000491703110 526883509 /nfs/dbraw/zinc/88/35/09/526883509.db2.gz WSYDZYYERXUWHK-KRWDZBQOSA-N 1 2 316.401 1.436 20 30 DDEDLO C#CC[N@H+](CC(=O)N[C@H](C(=O)OC)C(C)C)Cc1ccccc1 ZINC000491703110 526883517 /nfs/dbraw/zinc/88/35/17/526883517.db2.gz WSYDZYYERXUWHK-KRWDZBQOSA-N 1 2 316.401 1.436 20 30 DDEDLO C#CC[N@@H+](Cc1cn(CC(=O)OC)nn1)[C@@H]1CCc2ccccc21 ZINC000491670910 526912404 /nfs/dbraw/zinc/91/24/04/526912404.db2.gz PQNUZQMYWQEHCL-QGZVFWFLSA-N 1 2 324.384 1.574 20 30 DDEDLO C#CC[N@H+](Cc1cn(CC(=O)OC)nn1)[C@@H]1CCc2ccccc21 ZINC000491670910 526912407 /nfs/dbraw/zinc/91/24/07/526912407.db2.gz PQNUZQMYWQEHCL-QGZVFWFLSA-N 1 2 324.384 1.574 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000491209523 526945248 /nfs/dbraw/zinc/94/52/48/526945248.db2.gz IIDVTTIFZUXPRB-HNNXBMFYSA-N 1 2 310.357 1.726 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000491209523 526945252 /nfs/dbraw/zinc/94/52/52/526945252.db2.gz IIDVTTIFZUXPRB-HNNXBMFYSA-N 1 2 310.357 1.726 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(Cc2cc(F)ccc2Cl)CC1 ZINC000491326384 527002593 /nfs/dbraw/zinc/00/25/93/527002593.db2.gz MRMLYHUTBFTUMP-UHFFFAOYSA-N 1 2 323.799 1.346 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2cc(OC)ccc2F)CC1 ZINC000491779570 527027640 /nfs/dbraw/zinc/02/76/40/527027640.db2.gz ZXZRZSCMUJRMEA-ZDUSSCGKSA-N 1 2 319.380 1.094 20 30 DDEDLO C=CCCCCC[NH2+]C1(C(=O)OC)CCS(=O)(=O)CC1 ZINC000377096453 527137437 /nfs/dbraw/zinc/13/74/37/527137437.db2.gz AUXWKHWTNQIXOU-UHFFFAOYSA-N 1 2 303.424 1.443 20 30 DDEDLO C=CCc1cc([N+](=O)[O-])ccc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000414118390 527247293 /nfs/dbraw/zinc/24/72/93/527247293.db2.gz AOPHOLLFOQHHAC-HNNXBMFYSA-N 1 2 319.361 1.908 20 30 DDEDLO C=CCc1cc([N+](=O)[O-])ccc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414118390 527247299 /nfs/dbraw/zinc/24/72/99/527247299.db2.gz AOPHOLLFOQHHAC-HNNXBMFYSA-N 1 2 319.361 1.908 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000491621343 527297434 /nfs/dbraw/zinc/29/74/34/527297434.db2.gz GEYURXBQUVXPGR-HZPDHXFCSA-N 1 2 309.369 1.792 20 30 DDEDLO C#C[C@H](CCC)NC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000491286497 527365601 /nfs/dbraw/zinc/36/56/01/527365601.db2.gz IRNBORQOIPENCK-CQSZACIVSA-N 1 2 324.384 1.944 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)[C@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000491616700 527424637 /nfs/dbraw/zinc/42/46/37/527424637.db2.gz AGGBCZDCLJRSCD-STQMWFEESA-N 1 2 301.390 1.499 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)[C@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000491616700 527424646 /nfs/dbraw/zinc/42/46/46/527424646.db2.gz AGGBCZDCLJRSCD-STQMWFEESA-N 1 2 301.390 1.499 20 30 DDEDLO CC(C)C(=O)Nc1cccc(OC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000414143788 527549193 /nfs/dbraw/zinc/54/91/93/527549193.db2.gz IXWQJDKUVXQAOG-OAHLLOKOSA-N 1 2 319.405 1.866 20 30 DDEDLO CC(C)C(=O)Nc1cccc(OC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000414143788 527549200 /nfs/dbraw/zinc/54/92/00/527549200.db2.gz IXWQJDKUVXQAOG-OAHLLOKOSA-N 1 2 319.405 1.866 20 30 DDEDLO CC(C)CC[C@@H]1CCC(=O)N(C[C@H](O)C[N@H+](C)CCC#N)C1=O ZINC000414126796 527814074 /nfs/dbraw/zinc/81/40/74/527814074.db2.gz FLCZZZVQNZKTTH-HUUCEWRRSA-N 1 2 323.437 1.394 20 30 DDEDLO CC(C)CC[C@@H]1CCC(=O)N(C[C@H](O)C[N@@H+](C)CCC#N)C1=O ZINC000414126796 527814082 /nfs/dbraw/zinc/81/40/82/527814082.db2.gz FLCZZZVQNZKTTH-HUUCEWRRSA-N 1 2 323.437 1.394 20 30 DDEDLO CCO[C@H](C)c1ncc(C(=O)NC[C@@H]2C[N@H+](C)CCO2)s1 ZINC000330906633 528013747 /nfs/dbraw/zinc/01/37/47/528013747.db2.gz DQVTYHFODLYTNR-GHMZBOCLSA-N 1 2 313.423 1.876 20 30 DDEDLO CCO[C@H](C)c1ncc(C(=O)NC[C@@H]2C[N@@H+](C)CCO2)s1 ZINC000330906633 528013751 /nfs/dbraw/zinc/01/37/51/528013751.db2.gz DQVTYHFODLYTNR-GHMZBOCLSA-N 1 2 313.423 1.876 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000336913081 528378991 /nfs/dbraw/zinc/37/89/91/528378991.db2.gz JUPUXPYTTCEEBF-UHFFFAOYSA-N 1 2 311.411 1.000 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)c2cc(C)c(C#N)cn2)CCO1 ZINC000457755311 529293772 /nfs/dbraw/zinc/29/37/72/529293772.db2.gz GFNRNHMOFYGPIF-AWEZNQCLSA-N 1 2 302.378 1.102 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)c2cc(C)c(C#N)cn2)CCO1 ZINC000457755311 529293776 /nfs/dbraw/zinc/29/37/76/529293776.db2.gz GFNRNHMOFYGPIF-AWEZNQCLSA-N 1 2 302.378 1.102 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1oc(C)c(C)c1-c1nn[nH]n1)C1CC1 ZINC000823904280 608266904 /nfs/dbraw/zinc/26/69/04/608266904.db2.gz ZIWBCIMWYNMFPT-UHFFFAOYSA-N 1 2 316.365 1.665 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1oc(C)c(C)c1-c1nn[nH]n1)C1CC1 ZINC000823904280 608266907 /nfs/dbraw/zinc/26/69/07/608266907.db2.gz ZIWBCIMWYNMFPT-UHFFFAOYSA-N 1 2 316.365 1.665 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccco3)C2)C1 ZINC000972254006 695191822 /nfs/dbraw/zinc/19/18/22/695191822.db2.gz TYRWSXMFWDOUIH-KRWDZBQOSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccco3)C2)C1 ZINC000972254006 695191823 /nfs/dbraw/zinc/19/18/23/695191823.db2.gz TYRWSXMFWDOUIH-KRWDZBQOSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(F)=C3CCCC3)C2)C1 ZINC000972338718 695221082 /nfs/dbraw/zinc/22/10/82/695221082.db2.gz JOEDEFWNQKAFNF-KRWDZBQOSA-N 1 2 306.381 1.721 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(F)=C3CCCC3)C2)C1 ZINC000972338718 695221084 /nfs/dbraw/zinc/22/10/84/695221084.db2.gz JOEDEFWNQKAFNF-KRWDZBQOSA-N 1 2 306.381 1.721 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)c(C)o3)C2)C1 ZINC000972341162 695221251 /nfs/dbraw/zinc/22/12/51/695221251.db2.gz AWUDRIOOSXYAGO-QGZVFWFLSA-N 1 2 304.390 1.999 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)c(C)o3)C2)C1 ZINC000972341162 695221252 /nfs/dbraw/zinc/22/12/52/695221252.db2.gz AWUDRIOOSXYAGO-QGZVFWFLSA-N 1 2 304.390 1.999 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cncs3)C2)C1 ZINC000972420171 695245166 /nfs/dbraw/zinc/24/51/66/695245166.db2.gz OZJVKOXQSLOLKA-HNNXBMFYSA-N 1 2 307.419 1.175 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cncs3)C2)C1 ZINC000972420171 695245168 /nfs/dbraw/zinc/24/51/68/695245168.db2.gz OZJVKOXQSLOLKA-HNNXBMFYSA-N 1 2 307.419 1.175 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3ccccn3)C2)C1 ZINC000972494677 695264844 /nfs/dbraw/zinc/26/48/44/695264844.db2.gz UBRHFVBYTUPBSI-GOSISDBHSA-N 1 2 315.417 1.504 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3ccccn3)C2)C1 ZINC000972494677 695264845 /nfs/dbraw/zinc/26/48/45/695264845.db2.gz UBRHFVBYTUPBSI-GOSISDBHSA-N 1 2 315.417 1.504 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C=C)cc3)C2)C1 ZINC000972563138 695284225 /nfs/dbraw/zinc/28/42/25/695284225.db2.gz MPTORDWOLRBYRX-LJQANCHMSA-N 1 2 310.397 1.880 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C=C)cc3)C2)C1 ZINC000972563138 695284226 /nfs/dbraw/zinc/28/42/26/695284226.db2.gz MPTORDWOLRBYRX-LJQANCHMSA-N 1 2 310.397 1.880 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3nc(C)c(C)s3)C2)C1 ZINC000972674035 695314669 /nfs/dbraw/zinc/31/46/69/695314669.db2.gz NQXHMECMXIOGHV-INIZCTEOSA-N 1 2 321.446 1.863 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3nc(C)c(C)s3)C2)C1 ZINC000972674035 695314672 /nfs/dbraw/zinc/31/46/72/695314672.db2.gz NQXHMECMXIOGHV-INIZCTEOSA-N 1 2 321.446 1.863 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccc4c3CCC4)C2)C1 ZINC000972693186 695317247 /nfs/dbraw/zinc/31/72/47/695317247.db2.gz WPZACUOJRVDKAN-HXUWFJFHSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccc4c3CCC4)C2)C1 ZINC000972693186 695317248 /nfs/dbraw/zinc/31/72/48/695317248.db2.gz WPZACUOJRVDKAN-HXUWFJFHSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2nc3cccnc3s2)C(C)(C)C1 ZINC000974688032 695712085 /nfs/dbraw/zinc/71/20/85/695712085.db2.gz GUSYQZNJALREDF-LBPRGKRZSA-N 1 2 314.414 1.765 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2nc3cccnc3s2)C(C)(C)C1 ZINC000974688032 695712086 /nfs/dbraw/zinc/71/20/86/695712086.db2.gz GUSYQZNJALREDF-LBPRGKRZSA-N 1 2 314.414 1.765 20 30 DDEDLO C=CC[N@@H+]1C[C@H](NC(=O)c2[nH]nc3c2CCCC3)C(C)(C)C1 ZINC000974778780 695732786 /nfs/dbraw/zinc/73/27/86/695732786.db2.gz VWMISMYGZSXSGU-AWEZNQCLSA-N 1 2 302.422 1.915 20 30 DDEDLO C=CC[N@H+]1C[C@H](NC(=O)c2[nH]nc3c2CCCC3)C(C)(C)C1 ZINC000974778780 695732787 /nfs/dbraw/zinc/73/27/87/695732787.db2.gz VWMISMYGZSXSGU-AWEZNQCLSA-N 1 2 302.422 1.915 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC000798173568 700046772 /nfs/dbraw/zinc/04/67/72/700046772.db2.gz WPNQZDUSSVCVTK-QGZVFWFLSA-N 1 2 313.401 1.390 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2C[N@@H+](CC(=C)Cl)CC2(C)C)nn1 ZINC000977257245 696094580 /nfs/dbraw/zinc/09/45/80/696094580.db2.gz YDDAXMNKMYCXCQ-CYBMUJFWSA-N 1 2 323.828 1.657 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2C[N@H+](CC(=C)Cl)CC2(C)C)nn1 ZINC000977257245 696094582 /nfs/dbraw/zinc/09/45/82/696094582.db2.gz YDDAXMNKMYCXCQ-CYBMUJFWSA-N 1 2 323.828 1.657 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)N(C)c2ccccc2)cc1 ZINC000054230067 696274193 /nfs/dbraw/zinc/27/41/93/696274193.db2.gz PIDUDGLDSMKQSV-UHFFFAOYSA-N 1 2 313.357 1.995 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCc1ncn(-c2ccccc2)n1 ZINC000092914578 696597930 /nfs/dbraw/zinc/59/79/30/696597930.db2.gz QSEMUJVEKUMNMO-UHFFFAOYSA-N 1 2 308.345 1.694 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CC[C@H](NC(=O)c3[nH]ncc3F)C[C@H]2C1 ZINC000980143960 696691953 /nfs/dbraw/zinc/69/19/53/696691953.db2.gz GCEQSYVVHHANJZ-AVGNSLFASA-N 1 2 304.369 1.402 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CC[C@H](NC(=O)c3[nH]ncc3F)C[C@H]2C1 ZINC000980143960 696691955 /nfs/dbraw/zinc/69/19/55/696691955.db2.gz GCEQSYVVHHANJZ-AVGNSLFASA-N 1 2 304.369 1.402 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+]([C@@H](C)c2ccsc2)CC1 ZINC000129604456 696790362 /nfs/dbraw/zinc/79/03/62/696790362.db2.gz CWRXRIMZWUACAT-AWEZNQCLSA-N 1 2 306.431 1.993 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cc2c(C)nn(C)c2C)CC1 ZINC000981710710 696886120 /nfs/dbraw/zinc/88/61/20/696886120.db2.gz HQVZTEIMJHSNCT-UHFFFAOYSA-N 1 2 324.856 1.866 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cc2c(C)nn(C)c2C)CC1 ZINC000981710710 696886122 /nfs/dbraw/zinc/88/61/22/696886122.db2.gz HQVZTEIMJHSNCT-UHFFFAOYSA-N 1 2 324.856 1.866 20 30 DDEDLO Cc1nocc1C[N@@H+]1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000980991475 696973510 /nfs/dbraw/zinc/97/35/10/696973510.db2.gz MTEHEKKWXSHWPL-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO Cc1nocc1C[N@H+]1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000980991475 696973511 /nfs/dbraw/zinc/97/35/11/696973511.db2.gz MTEHEKKWXSHWPL-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO C[C@@H](CC(=O)N1C[C@@]2(C)CN(CC#N)C[C@@]2(C)C1)n1cc[nH+]c1 ZINC000982597580 697156031 /nfs/dbraw/zinc/15/60/31/697156031.db2.gz AHFWWPLQJMYDFZ-BHYGNILZSA-N 1 2 315.421 1.528 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C2CCN(C(=O)[C@H](C)C#N)CC2)s1 ZINC000985331173 697491659 /nfs/dbraw/zinc/49/16/59/697491659.db2.gz DATDSQXLKJIXRN-SNVBAGLBSA-N 1 2 307.423 1.429 20 30 DDEDLO Cc1nnc(C[N@H+](C)C2CCN(C(=O)[C@H](C)C#N)CC2)s1 ZINC000985331173 697491661 /nfs/dbraw/zinc/49/16/61/697491661.db2.gz DATDSQXLKJIXRN-SNVBAGLBSA-N 1 2 307.423 1.429 20 30 DDEDLO Cn1cccc1C(O)=CONC(=[NH2+])COc1cccc(F)c1 ZINC000186832534 697538435 /nfs/dbraw/zinc/53/84/35/697538435.db2.gz UQRQYXHWPHDZGP-UHFFFAOYSA-N 1 2 305.309 1.715 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)Nc1nnc(C(C)(C)C)s1)[C@@H]1CCCO1 ZINC000775801793 698039048 /nfs/dbraw/zinc/03/90/48/698039048.db2.gz YZNLDNPEIAAYLB-MNOVXSKESA-N 1 2 322.434 1.545 20 30 DDEDLO C[C@H]1[C@@H](NCC#N)CCN1C(=O)C[N@@H+]1CCc2sccc2C1 ZINC000987567112 698152874 /nfs/dbraw/zinc/15/28/74/698152874.db2.gz MNLISVTVLVNVGX-JSGCOSHPSA-N 1 2 318.446 1.209 20 30 DDEDLO C[C@H]1[C@@H](NCC#N)CCN1C(=O)C[N@H+]1CCc2sccc2C1 ZINC000987567112 698152876 /nfs/dbraw/zinc/15/28/76/698152876.db2.gz MNLISVTVLVNVGX-JSGCOSHPSA-N 1 2 318.446 1.209 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(C)n([C@@H](C)CC)n2)CC1 ZINC000778257218 698254155 /nfs/dbraw/zinc/25/41/55/698254155.db2.gz UCXPFNUJEJVPAK-AWEZNQCLSA-N 1 2 302.422 1.944 20 30 DDEDLO C#CC[NH2+][C@H]1C[C@H](C)N(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000989286953 698578061 /nfs/dbraw/zinc/57/80/61/698578061.db2.gz AUTSZPRCZNNLNZ-WFASDCNBSA-N 1 2 309.373 1.298 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@H](Cc3ccccc3)OC2=O)CC1 ZINC000781139253 698592602 /nfs/dbraw/zinc/59/26/02/698592602.db2.gz VLFSIDWODQJMNC-KRWDZBQOSA-N 1 2 313.401 1.258 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2coc(C3CCOCC3)n2)CC1 ZINC000989431294 698618083 /nfs/dbraw/zinc/61/80/83/698618083.db2.gz QFOUPXUCPHDLFK-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2coc(C3CCOCC3)n2)CC1 ZINC000989431294 698618087 /nfs/dbraw/zinc/61/80/87/698618087.db2.gz QFOUPXUCPHDLFK-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO N#CCC1CC[NH+](CN2C(=O)NC(C3CC3)(C3CC3)C2=O)CC1 ZINC000781571535 698631437 /nfs/dbraw/zinc/63/14/37/698631437.db2.gz GSCBIMGXBNVPKE-UHFFFAOYSA-N 1 2 316.405 1.680 20 30 DDEDLO N#CCC1CC[NH+](CN2C(=O)C(=O)N(C3CCCC3)C2=O)CC1 ZINC000781571866 698631623 /nfs/dbraw/zinc/63/16/23/698631623.db2.gz KNKVOAXWTBTHFW-UHFFFAOYSA-N 1 2 318.377 1.303 20 30 DDEDLO CN(C)c1cc(C[NH+]2CCN(c3ncccc3C#N)CC2)ccn1 ZINC000782175905 698693540 /nfs/dbraw/zinc/69/35/40/698693540.db2.gz UIQQPRLAZGKDSK-UHFFFAOYSA-N 1 2 322.416 1.736 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)OC(=O)Cc1cccc(OCC#N)c1 ZINC000782301925 698706169 /nfs/dbraw/zinc/70/61/69/698706169.db2.gz QQDGQAHSPCGBOM-CQSZACIVSA-N 1 2 318.373 1.395 20 30 DDEDLO NC(=O)c1ccc(N=NCc2cc(-n3cc[nH+]c3)cs2)nn1 ZINC000783855445 698887568 /nfs/dbraw/zinc/88/75/68/698887568.db2.gz MHJOODXGCVYJTK-UHFFFAOYSA-N 1 2 313.346 1.269 20 30 DDEDLO C[C@H](C#N)CN(CN1C[C@H](c2c[nH+]cn2C)CC1=O)C1CC1 ZINC000783993412 698900944 /nfs/dbraw/zinc/90/09/44/698900944.db2.gz WTDLZPGDYQDKKP-CHWSQXEVSA-N 1 2 301.394 1.318 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+]C[C@H]1CC(C(N)=O)=NO1 ZINC000789562847 699395923 /nfs/dbraw/zinc/39/59/23/699395923.db2.gz ZOBHDKBPQOHFKN-SNVBAGLBSA-N 1 2 321.764 1.072 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)c2cccc3[nH+]ccn32)n1 ZINC000790119148 699443631 /nfs/dbraw/zinc/44/36/31/699443631.db2.gz NIQXFLNPZILHLO-UHFFFAOYSA-N 1 2 307.357 1.826 20 30 DDEDLO N#CCC(=O)Nc1ccc(C(=O)OCc2cc[nH+]c(N)c2)cc1 ZINC000791512318 699638864 /nfs/dbraw/zinc/63/88/64/699638864.db2.gz YOAHJYUZKHXRIF-UHFFFAOYSA-N 1 2 310.313 1.873 20 30 DDEDLO C=CC[C@@H](NC(=O)N[C@H](C)C[NH+]1CCOCC1)c1ccncc1 ZINC000734659344 699658486 /nfs/dbraw/zinc/65/84/86/699658486.db2.gz USHDSJHDUUFKHS-GDBMZVCRSA-N 1 2 318.421 1.719 20 30 DDEDLO N#Cc1ccccc1N1CCC(NC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC000793572888 699765448 /nfs/dbraw/zinc/76/54/48/699765448.db2.gz MXYKARCKWOJABI-UHFFFAOYSA-N 1 2 323.400 1.999 20 30 DDEDLO N#Cc1ccccc1N1CCC(NC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC000793572888 699765449 /nfs/dbraw/zinc/76/54/49/699765449.db2.gz MXYKARCKWOJABI-UHFFFAOYSA-N 1 2 323.400 1.999 20 30 DDEDLO CC[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)NC1(C#N)CCCCC1 ZINC000741149570 699817852 /nfs/dbraw/zinc/81/78/52/699817852.db2.gz ZUIDTMAHJZAUKW-AWEZNQCLSA-N 1 2 321.421 1.747 20 30 DDEDLO CC[N@H+]1CCCC[C@H]1C(=O)OCC(=O)NC1(C#N)CCCCC1 ZINC000741149570 699817855 /nfs/dbraw/zinc/81/78/55/699817855.db2.gz ZUIDTMAHJZAUKW-AWEZNQCLSA-N 1 2 321.421 1.747 20 30 DDEDLO COC(=O)c1cnoc1CO[NH+]=C(N)c1ccc(F)c(C)c1 ZINC000795207087 699851775 /nfs/dbraw/zinc/85/17/75/699851775.db2.gz TVOCFXIFJKRFLM-UHFFFAOYSA-N 1 2 307.281 1.746 20 30 DDEDLO C[C@H](C#N)C(=O)NC1CC[NH+](c2ccc(N(C)C)cc2)CC1 ZINC000743701034 699924472 /nfs/dbraw/zinc/92/44/72/699924472.db2.gz MZFGOPMJSLHFQZ-CYBMUJFWSA-N 1 2 300.406 1.997 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)N(C)C(=O)CO2 ZINC000743802939 699927334 /nfs/dbraw/zinc/92/73/34/699927334.db2.gz BCLHFCYVWCGFII-ZDUSSCGKSA-N 1 2 313.357 1.078 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)N(C)C(=O)CO2 ZINC000743802939 699927337 /nfs/dbraw/zinc/92/73/37/699927337.db2.gz BCLHFCYVWCGFII-ZDUSSCGKSA-N 1 2 313.357 1.078 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(OC)cccc2OC)CC1 ZINC000744364674 699946725 /nfs/dbraw/zinc/94/67/25/699946725.db2.gz YVHLDWZNVJYTCW-UHFFFAOYSA-N 1 2 302.374 1.485 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(C(=O)c2cccc(O)c2)CC1 ZINC000801932998 700356979 /nfs/dbraw/zinc/35/69/79/700356979.db2.gz FMDFCCWSXUYDTL-CYBMUJFWSA-N 1 2 303.362 1.079 20 30 DDEDLO N#Cc1ccccc1OCC(=O)OCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000753893798 700465119 /nfs/dbraw/zinc/46/51/19/700465119.db2.gz BEQJVBSYASZJML-GASCZTMLSA-N 1 2 316.357 1.343 20 30 DDEDLO N#Cc1ccccc1OCC(=O)OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000753893798 700465121 /nfs/dbraw/zinc/46/51/21/700465121.db2.gz BEQJVBSYASZJML-GASCZTMLSA-N 1 2 316.357 1.343 20 30 DDEDLO C#CCNC(=O)C[NH2+][C@@H](CC)c1nc(C(F)(F)F)cs1 ZINC000754501304 700505897 /nfs/dbraw/zinc/50/58/97/700505897.db2.gz SLLMSAITPLVRMX-QMMMGPOBSA-N 1 2 305.325 1.952 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCO[C@@H](C(=O)OC)C2)c(O)c(OC)c1 ZINC000754726232 700522528 /nfs/dbraw/zinc/52/25/28/700522528.db2.gz HIVDJKNRCCTEIJ-OAHLLOKOSA-N 1 2 321.373 1.503 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCO[C@@H](C(=O)OC)C2)c(O)c(OC)c1 ZINC000754726232 700522529 /nfs/dbraw/zinc/52/25/29/700522529.db2.gz HIVDJKNRCCTEIJ-OAHLLOKOSA-N 1 2 321.373 1.503 20 30 DDEDLO C[C@H](N[NH+]=Cc1cnc(N2CCN(C)CC2)nc1)c1ccccc1 ZINC000755664909 700576477 /nfs/dbraw/zinc/57/64/77/700576477.db2.gz RACWWMCCOZYVDG-HNNXBMFYSA-N 1 2 324.432 1.913 20 30 DDEDLO C#CC[C@H](NC(=O)NCC[N@H+]1CCOC[C@H]1C)c1ccccc1 ZINC000757035198 700653468 /nfs/dbraw/zinc/65/34/68/700653468.db2.gz PYCPSSGDRCOFFH-WBVHZDCISA-N 1 2 315.417 1.771 20 30 DDEDLO C#CC[C@H](NC(=O)NCC[N@@H+]1CCOC[C@H]1C)c1ccccc1 ZINC000757035198 700653469 /nfs/dbraw/zinc/65/34/69/700653469.db2.gz PYCPSSGDRCOFFH-WBVHZDCISA-N 1 2 315.417 1.771 20 30 DDEDLO COC(=O)C[C@H]1CCCC[N@@H+]1Cn1ccc(C)c(C#N)c1=O ZINC000758196071 700688468 /nfs/dbraw/zinc/68/84/68/700688468.db2.gz DFMUCTNGPSSQBR-CYBMUJFWSA-N 1 2 303.362 1.404 20 30 DDEDLO COC(=O)C[C@H]1CCCC[N@H+]1Cn1ccc(C)c(C#N)c1=O ZINC000758196071 700688469 /nfs/dbraw/zinc/68/84/69/700688469.db2.gz DFMUCTNGPSSQBR-CYBMUJFWSA-N 1 2 303.362 1.404 20 30 DDEDLO C#CCNC(=S)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000758229889 700692003 /nfs/dbraw/zinc/69/20/03/700692003.db2.gz JYCVTUQLYNBSTG-MRXNPFEDSA-N 1 2 317.458 1.027 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CCC(c2cc(C)[nH]n2)CC1 ZINC000760427418 700807729 /nfs/dbraw/zinc/80/77/29/700807729.db2.gz MLYXBKNAUBAAPY-INIZCTEOSA-N 1 2 300.406 1.522 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC(c2cc(C)[nH]n2)CC1 ZINC000760427418 700807731 /nfs/dbraw/zinc/80/77/31/700807731.db2.gz MLYXBKNAUBAAPY-INIZCTEOSA-N 1 2 300.406 1.522 20 30 DDEDLO C=CCN1CC(=O)N(Cc2cc[nH+]c(N3CCCC3)c2)C1=O ZINC000760981879 700833143 /nfs/dbraw/zinc/83/31/43/700833143.db2.gz RBWNJHQOLKUHFH-UHFFFAOYSA-N 1 2 300.362 1.632 20 30 DDEDLO CC[N@H+](C[C@H]1CCCO1)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766612265 701056569 /nfs/dbraw/zinc/05/65/69/701056569.db2.gz MWANUUAHIRCYOZ-CQSZACIVSA-N 1 2 301.390 1.667 20 30 DDEDLO CC[N@@H+](C[C@H]1CCCO1)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766612265 701056571 /nfs/dbraw/zinc/05/65/71/701056571.db2.gz MWANUUAHIRCYOZ-CQSZACIVSA-N 1 2 301.390 1.667 20 30 DDEDLO CCCC[C@@H](ON=C(C)C)C(=O)N1CC[NH+](CCOC)CC1 ZINC000768125497 701159688 /nfs/dbraw/zinc/15/96/88/701159688.db2.gz NCQVTCBOKDXBHJ-OAHLLOKOSA-N 1 2 313.442 1.748 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCc2ccc(C#N)cc2Cl)C1 ZINC000805469847 701383619 /nfs/dbraw/zinc/38/36/19/701383619.db2.gz RSBRMALDIQUOQZ-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCc2ccc(C#N)cc2Cl)C1 ZINC000805469847 701383620 /nfs/dbraw/zinc/38/36/20/701383620.db2.gz RSBRMALDIQUOQZ-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCc2ccc(OC)c(C#N)c2)C1 ZINC000805476372 701384596 /nfs/dbraw/zinc/38/45/96/701384596.db2.gz NNFYASQKGSMRPU-OAHLLOKOSA-N 1 2 304.346 1.331 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCc2ccc(OC)c(C#N)c2)C1 ZINC000805476372 701384597 /nfs/dbraw/zinc/38/45/97/701384597.db2.gz NNFYASQKGSMRPU-OAHLLOKOSA-N 1 2 304.346 1.331 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000879154124 706600737 /nfs/dbraw/zinc/60/07/37/706600737.db2.gz MFIORSHVEWDGCZ-RDJZCZTQSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000879154124 706600741 /nfs/dbraw/zinc/60/07/41/706600741.db2.gz MFIORSHVEWDGCZ-RDJZCZTQSA-N 1 2 318.373 1.741 20 30 DDEDLO CC[C@@H](C#N)Oc1cc(C[NH2+]Cc2cnn(C)n2)ccc1OC ZINC000815126937 701807778 /nfs/dbraw/zinc/80/77/78/701807778.db2.gz SVLLYIAHLIHHRW-AWEZNQCLSA-N 1 2 315.377 1.794 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc([C@@H]2CCC(=O)N2)c1 ZINC000839868044 701852672 /nfs/dbraw/zinc/85/26/72/701852672.db2.gz WVTKBBSHGQIJTL-HOTGVXAUSA-N 1 2 311.385 1.674 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc([C@@H]2CCC(=O)N2)c1 ZINC000839868044 701852680 /nfs/dbraw/zinc/85/26/80/701852680.db2.gz WVTKBBSHGQIJTL-HOTGVXAUSA-N 1 2 311.385 1.674 20 30 DDEDLO C#CC[C@H]1CCC[N@@H+](Cn2nc(CCC(N)=O)n(C)c2=S)C1 ZINC000842627984 702745480 /nfs/dbraw/zinc/74/54/80/702745480.db2.gz RHBQODZVMUIRNZ-LBPRGKRZSA-N 1 2 321.450 1.062 20 30 DDEDLO C#CC[C@H]1CCC[N@H+](Cn2nc(CCC(N)=O)n(C)c2=S)C1 ZINC000842627984 702745482 /nfs/dbraw/zinc/74/54/82/702745482.db2.gz RHBQODZVMUIRNZ-LBPRGKRZSA-N 1 2 321.450 1.062 20 30 DDEDLO C[C@H]1CC2(C[N@@H+]1Cn1nc(CC#N)n(C)c1=S)CCOCC2 ZINC000842638751 702747808 /nfs/dbraw/zinc/74/78/08/702747808.db2.gz NFLQOWGOLVCANF-LBPRGKRZSA-N 1 2 321.450 1.866 20 30 DDEDLO C[C@H]1CC2(C[N@H+]1Cn1nc(CC#N)n(C)c1=S)CCOCC2 ZINC000842638751 702747810 /nfs/dbraw/zinc/74/78/10/702747810.db2.gz NFLQOWGOLVCANF-LBPRGKRZSA-N 1 2 321.450 1.866 20 30 DDEDLO CC(C)(C)OC(=O)C(=O)N1CC[NH+]([C@H]2CC[C@@H](C#N)C2)CC1 ZINC000844340302 703017397 /nfs/dbraw/zinc/01/73/97/703017397.db2.gz BBUBNQYWHIWUOI-OLZOCXBDSA-N 1 2 307.394 1.165 20 30 DDEDLO C[C@H](CC(=O)[C@H](C#N)C(=O)NC1CCCCC1)n1cc[nH+]c1 ZINC000845441733 703157583 /nfs/dbraw/zinc/15/75/83/703157583.db2.gz SHFHDRFPEKLNOS-OCCSQVGLSA-N 1 2 302.378 1.992 20 30 DDEDLO C[C@H](CC(=O)OCCOC1(C#N)CCOCC1)n1cc[nH+]c1 ZINC000845570964 703176386 /nfs/dbraw/zinc/17/63/86/703176386.db2.gz AUTVQHDXAOVACF-CYBMUJFWSA-N 1 2 307.350 1.467 20 30 DDEDLO Cn1c[nH+]cc1CNS(=O)(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000845941476 703218300 /nfs/dbraw/zinc/21/83/00/703218300.db2.gz WEXDJJNYRJWCDJ-UHFFFAOYSA-N 1 2 318.402 1.700 20 30 DDEDLO C[C@@H](CC(=O)N(C)C[C@H](O)c1cccc(C#N)c1)n1cc[nH+]c1 ZINC000846327715 703265178 /nfs/dbraw/zinc/26/51/78/703265178.db2.gz DVBKHKKXISRWBA-BBRMVZONSA-N 1 2 312.373 1.898 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CN2Cc3ccc(C(=O)OC)cc3C2=O)C1 ZINC000848494415 703557584 /nfs/dbraw/zinc/55/75/84/703557584.db2.gz PPNOBDFJKXXDCQ-CYBMUJFWSA-N 1 2 312.369 1.732 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CN2Cc3ccc(C(=O)OC)cc3C2=O)C1 ZINC000848494415 703557586 /nfs/dbraw/zinc/55/75/86/703557586.db2.gz PPNOBDFJKXXDCQ-CYBMUJFWSA-N 1 2 312.369 1.732 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CC[C@H]([C@H](C)O)C3)[nH+]cn2)C1 ZINC000848751970 703583901 /nfs/dbraw/zinc/58/39/01/703583901.db2.gz XZMODYZVUWXJLL-ZNMIVQPWSA-N 1 2 300.406 1.533 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CC[C@H]([C@H](C)O)C3)nc[nH+]2)C1 ZINC000848751970 703583902 /nfs/dbraw/zinc/58/39/02/703583902.db2.gz XZMODYZVUWXJLL-ZNMIVQPWSA-N 1 2 300.406 1.533 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc(OC(C)=O)cc2)CC1 ZINC000831694627 706755033 /nfs/dbraw/zinc/75/50/33/706755033.db2.gz GMILHODEUZMOKJ-UHFFFAOYSA-N 1 2 316.357 1.891 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CCCC[C@H]2[NH+]2CCOCC2)co1 ZINC000866671409 706759877 /nfs/dbraw/zinc/75/98/77/706759877.db2.gz RRJFRVPJIRXENN-HUUCEWRRSA-N 1 2 303.362 1.524 20 30 DDEDLO C=C(Cl)C[C@@H](NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)C(=O)OCC ZINC000870034658 703898342 /nfs/dbraw/zinc/89/83/42/703898342.db2.gz GZPPDPMZTUOMCI-JOYOIKCWSA-N 1 2 313.785 1.779 20 30 DDEDLO C=C(Cl)C[C@@H](NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)C(=O)OCC ZINC000870034658 703898344 /nfs/dbraw/zinc/89/83/44/703898344.db2.gz GZPPDPMZTUOMCI-JOYOIKCWSA-N 1 2 313.785 1.779 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)CCO[C@@H]2CC2(F)F)n1 ZINC000851996222 703900101 /nfs/dbraw/zinc/90/01/01/703900101.db2.gz KSEQQUNCVPDABS-CQSZACIVSA-N 1 2 313.348 1.389 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)CCO[C@@H]2CC2(F)F)n1 ZINC000851996222 703900102 /nfs/dbraw/zinc/90/01/02/703900102.db2.gz KSEQQUNCVPDABS-CQSZACIVSA-N 1 2 313.348 1.389 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@@H+](C[C@H](O)Cn2cccn2)C1 ZINC000852328629 704013507 /nfs/dbraw/zinc/01/35/07/704013507.db2.gz JKBYNCDVJZZLDI-RDJZCZTQSA-N 1 2 311.389 1.196 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@H+](C[C@H](O)Cn2cccn2)C1 ZINC000852328629 704013509 /nfs/dbraw/zinc/01/35/09/704013509.db2.gz JKBYNCDVJZZLDI-RDJZCZTQSA-N 1 2 311.389 1.196 20 30 DDEDLO CCOCC[C@@H](O)C[N@@H+]1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852333873 704015121 /nfs/dbraw/zinc/01/51/21/704015121.db2.gz XHQMRXXYSBGOAD-NVXWUHKLSA-N 1 2 303.406 1.726 20 30 DDEDLO CCOCC[C@@H](O)C[N@H+]1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852333873 704015125 /nfs/dbraw/zinc/01/51/25/704015125.db2.gz XHQMRXXYSBGOAD-NVXWUHKLSA-N 1 2 303.406 1.726 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC(c2[nH]cc[nH+]2)CC1)C1CCOCC1 ZINC000852643641 704091331 /nfs/dbraw/zinc/09/13/31/704091331.db2.gz FJPPKLYJYFZPKB-OAHLLOKOSA-N 1 2 316.405 1.727 20 30 DDEDLO CC(C)[C@@H]1N(C[N@@H+]2CCC[C@@H](CC#N)C2)C(=O)[C@@]12CCCO2 ZINC000853525305 704259841 /nfs/dbraw/zinc/25/98/41/704259841.db2.gz UWEHHQKJSRIBHQ-YQQAZPJKSA-N 1 2 305.422 1.986 20 30 DDEDLO CC(C)[C@@H]1N(C[N@H+]2CCC[C@@H](CC#N)C2)C(=O)[C@@]12CCCO2 ZINC000853525305 704259842 /nfs/dbraw/zinc/25/98/42/704259842.db2.gz UWEHHQKJSRIBHQ-YQQAZPJKSA-N 1 2 305.422 1.986 20 30 DDEDLO C=CC[N@H+](CN1C(=O)[C@]2(CCCO2)[C@@H]1C(C)C)[C@@H](C)COC ZINC000853533886 704261559 /nfs/dbraw/zinc/26/15/59/704261559.db2.gz CTJHDQFPDQYUJW-ZOBUZTSGSA-N 1 2 310.438 1.883 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)[C@]2(CCCO2)[C@@H]1C(C)C)[C@@H](C)COC ZINC000853533886 704261563 /nfs/dbraw/zinc/26/15/63/704261563.db2.gz CTJHDQFPDQYUJW-ZOBUZTSGSA-N 1 2 310.438 1.883 20 30 DDEDLO C=CC[N@H+](CN1C[C@H](c2cncn2C)CC1=O)[C@@H](C)COC ZINC000853535627 704261992 /nfs/dbraw/zinc/26/19/92/704261992.db2.gz XVKVKASQLSIYOY-UONOGXRCSA-N 1 2 306.410 1.216 20 30 DDEDLO C=CC[N@@H+](CN1C[C@H](c2cncn2C)CC1=O)[C@@H](C)COC ZINC000853535627 704261995 /nfs/dbraw/zinc/26/19/95/704261995.db2.gz XVKVKASQLSIYOY-UONOGXRCSA-N 1 2 306.410 1.216 20 30 DDEDLO C#C[C@H](NC(=O)N(C)[C@@H](C)C[NH+]1CCOCC1)c1ccccc1 ZINC000820595164 704307564 /nfs/dbraw/zinc/30/75/64/704307564.db2.gz OZJBJXLYQLDZNI-RDJZCZTQSA-N 1 2 315.417 1.723 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NC[C@H](O)c1cnn(C)c1 ZINC000820664898 704316954 /nfs/dbraw/zinc/31/69/54/704316954.db2.gz ROCVWVYEGOQMEV-AWEZNQCLSA-N 1 2 300.362 1.753 20 30 DDEDLO N#Cc1ccc(F)c(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)c1 ZINC000854730548 704445425 /nfs/dbraw/zinc/44/54/25/704445425.db2.gz NIBCECWMHDKQGO-CQSZACIVSA-N 1 2 317.364 1.634 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC[C@@H](CF)C2)nc1 ZINC000880238290 706916801 /nfs/dbraw/zinc/91/68/01/706916801.db2.gz QYHLZFHQBZWGSS-LBPRGKRZSA-N 1 2 311.382 1.408 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@@H](CF)C2)nc1 ZINC000880238290 706916802 /nfs/dbraw/zinc/91/68/02/706916802.db2.gz QYHLZFHQBZWGSS-LBPRGKRZSA-N 1 2 311.382 1.408 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H](C#N)[C@H](Nc2cc[nH+]c(CO)c2)C1 ZINC000858481739 704716774 /nfs/dbraw/zinc/71/67/74/704716774.db2.gz OHSYWZFZPYUWED-BXUZGUMPSA-N 1 2 318.377 1.745 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000859027880 704787131 /nfs/dbraw/zinc/78/71/31/704787131.db2.gz ISXJUJYJYTVWJW-HNNXBMFYSA-N 1 2 321.446 1.614 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000859036664 704789268 /nfs/dbraw/zinc/78/92/68/704789268.db2.gz XNKATLXXHYBBMU-DZGCQCFKSA-N 1 2 303.362 1.283 20 30 DDEDLO C#C[C@H](NC(=O)c1cc(-n2cc[nH+]c2)ccc1O)[C@H]1CCCO1 ZINC000822072813 704871585 /nfs/dbraw/zinc/87/15/85/704871585.db2.gz MTONXEQOKFJOQM-GOEBONIOSA-N 1 2 311.341 1.489 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000822680102 705005077 /nfs/dbraw/zinc/00/50/77/705005077.db2.gz PDYQKVHTVCEXQB-NVXWUHKLSA-N 1 2 316.401 1.160 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000822680102 705005083 /nfs/dbraw/zinc/00/50/83/705005083.db2.gz PDYQKVHTVCEXQB-NVXWUHKLSA-N 1 2 316.401 1.160 20 30 DDEDLO N#CC[C@@H]1CCCN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000824747898 705522868 /nfs/dbraw/zinc/52/28/68/705522868.db2.gz WGGDIEYEKXTYTF-XHSDSOJGSA-N 1 2 321.421 1.018 20 30 DDEDLO C#Cc1cnc(NC[C@@]2([NH+]3CCOCC3)CCSC2)nc1 ZINC000827442853 706002596 /nfs/dbraw/zinc/00/25/96/706002596.db2.gz SLBRGLOIAUPHKV-HNNXBMFYSA-N 1 2 304.419 1.078 20 30 DDEDLO C#CCCCCCC[N@H+]1CC[C@H](C(=O)OC)C[C@@H]1C(=O)OC ZINC000877185283 706010289 /nfs/dbraw/zinc/01/02/89/706010289.db2.gz RDNLQXDKABQHFP-LSDHHAIUSA-N 1 2 309.406 1.997 20 30 DDEDLO C#CCCCCCC[N@@H+]1CC[C@H](C(=O)OC)C[C@@H]1C(=O)OC ZINC000877185283 706010291 /nfs/dbraw/zinc/01/02/91/706010291.db2.gz RDNLQXDKABQHFP-LSDHHAIUSA-N 1 2 309.406 1.997 20 30 DDEDLO C#CCCCCCC[N@H+]1CC[C@@H](C(=O)OC)C[C@H]1C(=O)OC ZINC000877185280 706010303 /nfs/dbraw/zinc/01/03/03/706010303.db2.gz RDNLQXDKABQHFP-CABCVRRESA-N 1 2 309.406 1.997 20 30 DDEDLO C#CCCCCCC[N@@H+]1CC[C@@H](C(=O)OC)C[C@H]1C(=O)OC ZINC000877185280 706010306 /nfs/dbraw/zinc/01/03/06/706010306.db2.gz RDNLQXDKABQHFP-CABCVRRESA-N 1 2 309.406 1.997 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(CC#N)cc1 ZINC000878085924 706288748 /nfs/dbraw/zinc/28/87/48/706288748.db2.gz SYVORNOEWRUWCR-INIZCTEOSA-N 1 2 316.405 1.985 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(CC#N)cc1 ZINC000878085924 706288750 /nfs/dbraw/zinc/28/87/50/706288750.db2.gz SYVORNOEWRUWCR-INIZCTEOSA-N 1 2 316.405 1.985 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000865058336 706338253 /nfs/dbraw/zinc/33/82/53/706338253.db2.gz ZNKWXLMZDDZJNK-IHWYPQMZSA-N 1 2 301.346 1.394 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)c1cc(C(=O)OC)[nH]c1C)[C@@H]1CCCO1 ZINC000878454549 706392795 /nfs/dbraw/zinc/39/27/95/706392795.db2.gz YCEFPDVFJAPYAN-WFASDCNBSA-N 1 2 304.346 1.063 20 30 DDEDLO C#CC[NH+]1CCN(c2nc(Cl)nc3c2ncn3CC)CC1 ZINC000865426987 706428285 /nfs/dbraw/zinc/42/82/85/706428285.db2.gz JZPZATRKZWJNPJ-UHFFFAOYSA-N 1 2 304.785 1.255 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CC[C@](C(=O)NC)(C(F)(F)F)C1 ZINC000878768059 706494399 /nfs/dbraw/zinc/49/43/99/706494399.db2.gz CCGNLYZKMBAZHL-CABZTGNLSA-N 1 2 308.300 1.105 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CC[C@](C(=O)NC)(C(F)(F)F)C1 ZINC000878768059 706494402 /nfs/dbraw/zinc/49/44/02/706494402.db2.gz CCGNLYZKMBAZHL-CABZTGNLSA-N 1 2 308.300 1.105 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCC2)CC[C@H](C(F)(F)F)O1 ZINC000880063302 706867919 /nfs/dbraw/zinc/86/79/19/706867919.db2.gz YJAIJDQOMBPUMC-GHMZBOCLSA-N 1 2 319.327 1.591 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)CC[C@H](C(F)(F)F)O1 ZINC000880063302 706867921 /nfs/dbraw/zinc/86/79/21/706867921.db2.gz YJAIJDQOMBPUMC-GHMZBOCLSA-N 1 2 319.327 1.591 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2cccc(C(=O)OC)c2C#N)CC1 ZINC000880480745 706987638 /nfs/dbraw/zinc/98/76/38/706987638.db2.gz DWKDEXLUMDTVJL-UHFFFAOYSA-N 1 2 312.369 1.695 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC000867551912 707037313 /nfs/dbraw/zinc/03/73/13/707037313.db2.gz XRZYDSHRYUOPFO-OAHLLOKOSA-N 1 2 301.390 1.324 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC000867551912 707037314 /nfs/dbraw/zinc/03/73/14/707037314.db2.gz XRZYDSHRYUOPFO-OAHLLOKOSA-N 1 2 301.390 1.324 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C)cc2C#N)[C@@H](C)C1 ZINC000872486028 707439916 /nfs/dbraw/zinc/43/99/16/707439916.db2.gz HGNQWBCSIYGRPT-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C)cc2C#N)[C@@H](C)C1 ZINC000872486028 707439917 /nfs/dbraw/zinc/43/99/17/707439917.db2.gz HGNQWBCSIYGRPT-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000836861954 707532992 /nfs/dbraw/zinc/53/29/92/707532992.db2.gz YHTKNQPUDNVWSY-HDICACEKSA-N 1 2 312.413 1.580 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000836861954 707532997 /nfs/dbraw/zinc/53/29/97/707532997.db2.gz YHTKNQPUDNVWSY-HDICACEKSA-N 1 2 312.413 1.580 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000883006101 707894219 /nfs/dbraw/zinc/89/42/19/707894219.db2.gz JHLRZNORJDGTAK-ZIAGYGMSSA-N 1 2 306.410 1.567 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000883006101 707894223 /nfs/dbraw/zinc/89/42/23/707894223.db2.gz JHLRZNORJDGTAK-ZIAGYGMSSA-N 1 2 306.410 1.567 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CC[C@H]2CCCC[C@@H]2C1 ZINC000884062004 708122544 /nfs/dbraw/zinc/12/25/44/708122544.db2.gz BXHXQHRWDNWVRL-TUVASFSCSA-N 1 2 308.422 1.908 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@@H](c2ccccc2)C[C@H]1C ZINC000884142709 708158360 /nfs/dbraw/zinc/15/83/60/708158360.db2.gz IMXBQIDKSGJYRL-KBMXLJTQSA-N 1 2 316.401 1.838 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)c1ccc(OC)c(F)c1 ZINC000884161258 708166134 /nfs/dbraw/zinc/16/61/34/708166134.db2.gz AIMLCBJLHUWNSU-LBPRGKRZSA-N 1 2 310.325 1.244 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1cccc(F)c1F ZINC000884329969 708248211 /nfs/dbraw/zinc/24/82/11/708248211.db2.gz ZSIULKDOPXOOQG-SKDRFNHKSA-N 1 2 312.316 1.589 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(CCC#N)cc1 ZINC000884943709 708425905 /nfs/dbraw/zinc/42/59/05/708425905.db2.gz ZTRYRLJZZCMGPH-ZDUSSCGKSA-N 1 2 318.402 1.706 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(N(C)C)cc2)C1 ZINC000885512769 708563434 /nfs/dbraw/zinc/56/34/34/708563434.db2.gz YSASBGXVRHVHQP-AWEZNQCLSA-N 1 2 321.446 1.129 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(N(C)C)cc2)C1 ZINC000885512769 708563438 /nfs/dbraw/zinc/56/34/38/708563438.db2.gz YSASBGXVRHVHQP-AWEZNQCLSA-N 1 2 321.446 1.129 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000885514061 708563542 /nfs/dbraw/zinc/56/35/42/708563542.db2.gz OGKIHOLCDSSBQF-CYBMUJFWSA-N 1 2 312.822 1.716 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000885514061 708563544 /nfs/dbraw/zinc/56/35/44/708563544.db2.gz OGKIHOLCDSSBQF-CYBMUJFWSA-N 1 2 312.822 1.716 20 30 DDEDLO C#CC[C@H]1CC[N@@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000886363063 708749737 /nfs/dbraw/zinc/74/97/37/708749737.db2.gz YUZTVKSEOQABOR-LBPRGKRZSA-N 1 2 303.387 1.354 20 30 DDEDLO C#CC[C@H]1CC[N@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000886363063 708749739 /nfs/dbraw/zinc/74/97/39/708749739.db2.gz YUZTVKSEOQABOR-LBPRGKRZSA-N 1 2 303.387 1.354 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC[C@H](c3ncccn3)C2)cc1 ZINC000886686920 708813880 /nfs/dbraw/zinc/81/38/80/708813880.db2.gz ZXWWGVMHCKTRKU-JKSUJKDBSA-N 1 2 321.384 1.754 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC[C@H](c3ncccn3)C2)cc1 ZINC000886686920 708813881 /nfs/dbraw/zinc/81/38/81/708813881.db2.gz ZXWWGVMHCKTRKU-JKSUJKDBSA-N 1 2 321.384 1.754 20 30 DDEDLO CC(C)OC(=O)C1CC[NH+](CC(=O)NC2(C#N)CCC2)CC1 ZINC000886728865 708825074 /nfs/dbraw/zinc/82/50/74/708825074.db2.gz NFUCVUCDJQSMJP-UHFFFAOYSA-N 1 2 307.394 1.212 20 30 DDEDLO OCCOCC1(O)CC[NH+](CC#Cc2ccccc2Cl)CC1 ZINC000886746896 708834462 /nfs/dbraw/zinc/83/44/62/708834462.db2.gz FNBPRBQXWWDXCY-UHFFFAOYSA-N 1 2 323.820 1.527 20 30 DDEDLO CC[C@H](C(=O)N1CCO[C@](C)(C#N)C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000887687145 709102801 /nfs/dbraw/zinc/10/28/01/709102801.db2.gz WENUELATLDJJBQ-IIAWOOMASA-N 1 2 309.410 1.017 20 30 DDEDLO CC[C@H](C(=O)N1CCO[C@](C)(C#N)C1)[N@H+]1CCO[C@H](CC)C1 ZINC000887687145 709102804 /nfs/dbraw/zinc/10/28/04/709102804.db2.gz WENUELATLDJJBQ-IIAWOOMASA-N 1 2 309.410 1.017 20 30 DDEDLO C[N@@H+]1CCN(C(=O)c2ccc(C(C)(C)C#N)cc2)[C@@H](CO)C1 ZINC000912739084 713079750 /nfs/dbraw/zinc/07/97/50/713079750.db2.gz PPKXOTLQWKASDN-OAHLLOKOSA-N 1 2 301.390 1.236 20 30 DDEDLO C[N@H+]1CCN(C(=O)c2ccc(C(C)(C)C#N)cc2)[C@@H](CO)C1 ZINC000912739084 713079752 /nfs/dbraw/zinc/07/97/52/713079752.db2.gz PPKXOTLQWKASDN-OAHLLOKOSA-N 1 2 301.390 1.236 20 30 DDEDLO O=C(c1cccc(C#CCO)c1)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000900539127 709642856 /nfs/dbraw/zinc/64/28/56/709642856.db2.gz BXKOJESZXVRTKE-MRXNPFEDSA-N 1 2 309.369 1.773 20 30 DDEDLO N#Cc1cccc(OC2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)c1 ZINC000900580644 709660604 /nfs/dbraw/zinc/66/06/04/709660604.db2.gz SYRHDCHMRYGDHG-UHFFFAOYSA-N 1 2 310.357 1.894 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)c1)CN1C[C@H]([C@H]2CCCO2)CC1=O ZINC000902048495 710595353 /nfs/dbraw/zinc/59/53/53/710595353.db2.gz HRMJEAIFPXJYNM-IAGOWNOFSA-N 1 2 313.401 1.975 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)c1)CN1C[C@H]([C@H]2CCCO2)CC1=O ZINC000902048495 710595357 /nfs/dbraw/zinc/59/53/57/710595357.db2.gz HRMJEAIFPXJYNM-IAGOWNOFSA-N 1 2 313.401 1.975 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1)CN1C[C@H]([C@@H]2CCCO2)CC1=O ZINC000902050079 710595993 /nfs/dbraw/zinc/59/59/93/710595993.db2.gz QZBLDVWODZCDPW-SJORKVTESA-N 1 2 313.401 1.975 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1)CN1C[C@H]([C@@H]2CCCO2)CC1=O ZINC000902050079 710596000 /nfs/dbraw/zinc/59/60/00/710596000.db2.gz QZBLDVWODZCDPW-SJORKVTESA-N 1 2 313.401 1.975 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1cn(Cc2ccccc2)nn1 ZINC000893503553 710680720 /nfs/dbraw/zinc/68/07/20/710680720.db2.gz BCLZQHOCSDDWRP-UHFFFAOYSA-N 1 2 320.418 1.017 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2C[C@@H]3CSC[C@]3(C)C2)c1=S ZINC000902675184 710856890 /nfs/dbraw/zinc/85/68/90/710856890.db2.gz QIBPELFFWSYALH-MFKMUULPSA-N 1 2 309.464 1.660 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2C[C@@H]3CSC[C@]3(C)C2)c1=S ZINC000902675184 710856894 /nfs/dbraw/zinc/85/68/94/710856894.db2.gz QIBPELFFWSYALH-MFKMUULPSA-N 1 2 309.464 1.660 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(C#N)cc1 ZINC000902940574 710967559 /nfs/dbraw/zinc/96/75/59/710967559.db2.gz UBUKOYUFNIBUNT-AWEZNQCLSA-N 1 2 302.378 1.791 20 30 DDEDLO COc1ccc(C[NH2+][C@H]2CCCN(O)C2=O)c(Cl)c1OC ZINC000895167531 711440617 /nfs/dbraw/zinc/44/06/17/711440617.db2.gz XGGGJOJFLWLAJP-JTQLQIEISA-N 1 2 314.769 1.827 20 30 DDEDLO Cc1cc(N[C@H](C)[C@@H]2CN(C)CC[N@@H+]2C)c(C#N)cc1[N+](=O)[O-] ZINC000895246110 711463804 /nfs/dbraw/zinc/46/38/04/711463804.db2.gz LIQUDKPJCJZODP-WBMJQRKESA-N 1 2 317.393 1.821 20 30 DDEDLO Cc1cc(N[C@H](C)[C@@H]2CN(C)CC[N@H+]2C)c(C#N)cc1[N+](=O)[O-] ZINC000895246110 711463805 /nfs/dbraw/zinc/46/38/05/711463805.db2.gz LIQUDKPJCJZODP-WBMJQRKESA-N 1 2 317.393 1.821 20 30 DDEDLO C#CCC1(NC(=O)Cc2cn3cccc(C)c3[nH+]2)CCOCC1 ZINC000895247351 711464549 /nfs/dbraw/zinc/46/45/49/711464549.db2.gz AJTQCVDIGQIEKG-UHFFFAOYSA-N 1 2 311.385 1.874 20 30 DDEDLO C[N@H+](CC(=O)N1CCOCC1)Cc1cc(Cl)cc(C#N)c1 ZINC000929225616 713570796 /nfs/dbraw/zinc/57/07/96/713570796.db2.gz UYGHLSIFVHLDFW-UHFFFAOYSA-N 1 2 307.781 1.502 20 30 DDEDLO C[N@@H+](CC(=O)N1CCOCC1)Cc1cc(Cl)cc(C#N)c1 ZINC000929225616 713570797 /nfs/dbraw/zinc/57/07/97/713570797.db2.gz UYGHLSIFVHLDFW-UHFFFAOYSA-N 1 2 307.781 1.502 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2ccc(C#N)cc2C)C[C@@H]1C ZINC000919580009 713616543 /nfs/dbraw/zinc/61/65/43/713616543.db2.gz MZAPGZVHYKSVNM-OKILXGFUSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2ccc(C#N)cc2C)C[C@@H]1C ZINC000919580009 713616544 /nfs/dbraw/zinc/61/65/44/713616544.db2.gz MZAPGZVHYKSVNM-OKILXGFUSA-N 1 2 321.446 1.970 20 30 DDEDLO Cn1cc(N2CC[N@H+](CC3CCC(C#N)CC3)CC2=O)cn1 ZINC000929801056 713692694 /nfs/dbraw/zinc/69/26/94/713692694.db2.gz MMRRIUQHYRKWMI-UHFFFAOYSA-N 1 2 301.394 1.399 20 30 DDEDLO Cn1cc(N2CC[N@@H+](CC3CCC(C#N)CC3)CC2=O)cn1 ZINC000929801056 713692695 /nfs/dbraw/zinc/69/26/95/713692695.db2.gz MMRRIUQHYRKWMI-UHFFFAOYSA-N 1 2 301.394 1.399 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2ccc(NC(C)=O)cc2)CC1 ZINC000931145671 714014940 /nfs/dbraw/zinc/01/49/40/714014940.db2.gz NDIMXEWXPYZEGG-UHFFFAOYSA-N 1 2 315.373 1.044 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3[nH]nc4c3COCC4)CC2)cc1 ZINC000931545847 714124297 /nfs/dbraw/zinc/12/42/97/714124297.db2.gz HJOCCSZHMVMVOM-UHFFFAOYSA-N 1 2 323.400 1.676 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@H](C2OCCO2)C1 ZINC000932000020 714229211 /nfs/dbraw/zinc/22/92/11/714229211.db2.gz YHECHYCHRROJQE-CABCVRRESA-N 1 2 316.357 1.467 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@H](C2OCCO2)C1 ZINC000932000020 714229212 /nfs/dbraw/zinc/22/92/12/714229212.db2.gz YHECHYCHRROJQE-CABCVRRESA-N 1 2 316.357 1.467 20 30 DDEDLO C[C@@]1(C2CC[NH+](Cc3nc(C#N)cs3)CC2)COC(=O)N1 ZINC000932098323 714258025 /nfs/dbraw/zinc/25/80/25/714258025.db2.gz GKSQJVHPUIYXEW-AWEZNQCLSA-N 1 2 306.391 1.725 20 30 DDEDLO CCOC(=O)C1(C(F)(F)F)CC[NH+](C[C@H](O)CC#N)CC1 ZINC000932655826 714379228 /nfs/dbraw/zinc/37/92/28/714379228.db2.gz LCMXGCPUJIFMBT-SNVBAGLBSA-N 1 2 308.300 1.469 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCC(=O)c3ccccc32)C1 ZINC000923561760 714404561 /nfs/dbraw/zinc/40/45/61/714404561.db2.gz LIFJBKABAVBNDD-WMLDXEAASA-N 1 2 310.397 1.961 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCC(=O)c3ccccc32)C1 ZINC000923561760 714404563 /nfs/dbraw/zinc/40/45/63/714404563.db2.gz LIFJBKABAVBNDD-WMLDXEAASA-N 1 2 310.397 1.961 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](C[C@@H](O)Cn3cccn3)CCO2)cc1 ZINC000933624418 714633454 /nfs/dbraw/zinc/63/34/54/714633454.db2.gz IMNZFJFFFJIOCZ-SJORKVTESA-N 1 2 312.373 1.189 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](C[C@@H](O)Cn3cccn3)CCO2)cc1 ZINC000933624418 714633455 /nfs/dbraw/zinc/63/34/55/714633455.db2.gz IMNZFJFFFJIOCZ-SJORKVTESA-N 1 2 312.373 1.189 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H]1c1ccc(OC)cn1 ZINC000934348741 714801235 /nfs/dbraw/zinc/80/12/35/714801235.db2.gz HGQZCCVIHUPALY-INIZCTEOSA-N 1 2 316.405 1.989 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H]1c1ccc(OC)cn1 ZINC000934348741 714801237 /nfs/dbraw/zinc/80/12/37/714801237.db2.gz HGQZCCVIHUPALY-INIZCTEOSA-N 1 2 316.405 1.989 20 30 DDEDLO C#Cc1ccc(NC(=O)N2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)cc1 ZINC000934924828 714930658 /nfs/dbraw/zinc/93/06/58/714930658.db2.gz BDFLJZVUAIYVJD-WMLDXEAASA-N 1 2 313.401 1.995 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+]([C@H]2CCN(C(C)=O)C2)CC1 ZINC000956604738 715485189 /nfs/dbraw/zinc/48/51/89/715485189.db2.gz GTOINXWMCTYTIQ-DOTOQJQBSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3n[nH]c(C)c3c2)C1 ZINC000957383609 715872703 /nfs/dbraw/zinc/87/27/03/715872703.db2.gz XJWZBDYAUYSHNT-UHFFFAOYSA-N 1 2 311.389 1.436 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cncnc2C(C)C)C1 ZINC000957399492 715882310 /nfs/dbraw/zinc/88/23/10/715882310.db2.gz IUAOCSAJTCMZTI-UHFFFAOYSA-N 1 2 300.406 1.770 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C)n(C(C)C)n2)C1 ZINC000957469717 715915216 /nfs/dbraw/zinc/91/52/16/715915216.db2.gz VBYOERFYLZNLKC-UHFFFAOYSA-N 1 2 302.422 1.942 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cc3ccccc3n2C)CC1 ZINC000957839544 716081564 /nfs/dbraw/zinc/08/15/64/716081564.db2.gz DKOORWVFNMWEOO-UHFFFAOYSA-N 1 2 309.413 1.888 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)nn1C ZINC000960627394 716636994 /nfs/dbraw/zinc/63/69/94/716636994.db2.gz PKCXXNIJMARSNI-KRWDZBQOSA-N 1 2 314.433 1.565 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)nn1C ZINC000960627394 716636996 /nfs/dbraw/zinc/63/69/96/716636996.db2.gz PKCXXNIJMARSNI-KRWDZBQOSA-N 1 2 314.433 1.565 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000961618846 717032726 /nfs/dbraw/zinc/03/27/26/717032726.db2.gz WEDIDXNWFOQPIC-DCQANWLSSA-N 1 2 321.812 1.024 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000961618846 717032728 /nfs/dbraw/zinc/03/27/28/717032728.db2.gz WEDIDXNWFOQPIC-DCQANWLSSA-N 1 2 321.812 1.024 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nonc4C)C[C@H]32)CC1 ZINC000961665903 717051350 /nfs/dbraw/zinc/05/13/50/717051350.db2.gz FWPMLSWSGDJQEB-FOLVSLTJSA-N 1 2 316.405 1.671 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nonc4C)C[C@H]32)CC1 ZINC000961665903 717051355 /nfs/dbraw/zinc/05/13/55/717051355.db2.gz FWPMLSWSGDJQEB-FOLVSLTJSA-N 1 2 316.405 1.671 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3cc(C)cs3)CC2)C1 ZINC000941401044 717170384 /nfs/dbraw/zinc/17/03/84/717170384.db2.gz OQPXDTNGPWPGKX-UHFFFAOYSA-N 1 2 317.458 1.522 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccsc3Cl)CC2)C1 ZINC000941595236 717223653 /nfs/dbraw/zinc/22/36/53/717223653.db2.gz HILTXVKLKJTMTR-UHFFFAOYSA-N 1 2 323.849 1.477 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2n[nH]c(C)n2)[C@H](C)C1 ZINC000942034176 717441287 /nfs/dbraw/zinc/44/12/87/717441287.db2.gz TXJCSJOORSNHIM-BXKDBHETSA-N 1 2 311.817 1.235 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2n[nH]c(C)n2)[C@H](C)C1 ZINC000942034176 717441292 /nfs/dbraw/zinc/44/12/92/717441292.db2.gz TXJCSJOORSNHIM-BXKDBHETSA-N 1 2 311.817 1.235 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H]([C@H]2CCCN2CC#N)C1 ZINC000963408585 717779092 /nfs/dbraw/zinc/77/90/92/717779092.db2.gz VFVCPVJOUOAQBC-GDBMZVCRSA-N 1 2 315.421 1.487 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cncnc2)C1 ZINC000965963350 717836069 /nfs/dbraw/zinc/83/60/69/717836069.db2.gz IDWHGOOXAGJYKV-FZMZJTMJSA-N 1 2 308.813 1.598 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cncnc2)C1 ZINC000965963350 717836076 /nfs/dbraw/zinc/83/60/76/717836076.db2.gz IDWHGOOXAGJYKV-FZMZJTMJSA-N 1 2 308.813 1.598 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC(NC(=O)Cn2cc[nH+]c2)CC1 ZINC000948203118 719392858 /nfs/dbraw/zinc/39/28/58/719392858.db2.gz ICOBTJZQYBBTLX-KRWDZBQOSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC000968501460 719627143 /nfs/dbraw/zinc/62/71/43/719627143.db2.gz VOBVXXICULVUJS-GXFFZTMASA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC000968501460 719627145 /nfs/dbraw/zinc/62/71/45/719627145.db2.gz VOBVXXICULVUJS-GXFFZTMASA-N 1 2 324.812 1.678 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn(C)n1 ZINC000948969348 719815026 /nfs/dbraw/zinc/81/50/26/719815026.db2.gz GXZLBEJLMIDWTJ-QGZVFWFLSA-N 1 2 323.400 1.168 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn(C)n1 ZINC000948969348 719815035 /nfs/dbraw/zinc/81/50/35/719815035.db2.gz GXZLBEJLMIDWTJ-QGZVFWFLSA-N 1 2 323.400 1.168 20 30 DDEDLO N#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000968898809 719851892 /nfs/dbraw/zinc/85/18/92/719851892.db2.gz IMGJDAWVRSOROY-MGPQQGTHSA-N 1 2 301.394 1.398 20 30 DDEDLO N#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000968898809 719851900 /nfs/dbraw/zinc/85/19/00/719851900.db2.gz IMGJDAWVRSOROY-MGPQQGTHSA-N 1 2 301.394 1.398 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(N3CCCC3)ccn2)CC1 ZINC000949142954 719928329 /nfs/dbraw/zinc/92/83/29/719928329.db2.gz FRSQFOAMYNBFRN-UHFFFAOYSA-N 1 2 300.406 1.626 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@@H]3C=CCC3)CC2)C1 ZINC000949295604 719998771 /nfs/dbraw/zinc/99/87/71/719998771.db2.gz PMSVRQJVWPIUGC-MRXNPFEDSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@@H]3C=CCC3)CC2)C1 ZINC000949295604 719998772 /nfs/dbraw/zinc/99/87/72/719998772.db2.gz PMSVRQJVWPIUGC-MRXNPFEDSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)Cc3ccoc3)CC2)C1 ZINC000949346561 720031621 /nfs/dbraw/zinc/03/16/21/720031621.db2.gz KZUAINLOPDTIAZ-UHFFFAOYSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)Cc3ccoc3)CC2)C1 ZINC000949346561 720031623 /nfs/dbraw/zinc/03/16/23/720031623.db2.gz KZUAINLOPDTIAZ-UHFFFAOYSA-N 1 2 302.374 1.149 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnc3c(c2)c(C)nn3C)CC1 ZINC000949568103 720172762 /nfs/dbraw/zinc/17/27/62/720172762.db2.gz VXEQHFANBFNCSJ-UHFFFAOYSA-N 1 2 313.405 1.611 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC000969985547 720586202 /nfs/dbraw/zinc/58/62/02/720586202.db2.gz RHPMNVFFQUOJAN-VXGBXAGGSA-N 1 2 313.829 1.044 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn3c(n2)CC[C@@H](C)C3)C1 ZINC000950620998 720707746 /nfs/dbraw/zinc/70/77/46/720707746.db2.gz KRQYDVQIJLMHBT-CQSZACIVSA-N 1 2 314.433 1.635 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC000970423914 720756788 /nfs/dbraw/zinc/75/67/88/720756788.db2.gz OUUQGEFPCHQLQC-OCCSQVGLSA-N 1 2 319.836 1.985 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000950917228 720826057 /nfs/dbraw/zinc/82/60/57/720826057.db2.gz SCLQBMJUKROKRP-CMPLNLGQSA-N 1 2 311.345 1.053 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC000970798364 720940037 /nfs/dbraw/zinc/94/00/37/720940037.db2.gz SFWZQDMZKZIAFD-OCCSQVGLSA-N 1 2 319.836 1.985 20 30 DDEDLO C=CCN1CC(N(CC)C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000951365958 721003459 /nfs/dbraw/zinc/00/34/59/721003459.db2.gz UKSMFPZJJGTBJH-KRWDZBQOSA-N 1 2 321.465 1.349 20 30 DDEDLO C=CCN1CC(N(CC)C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000951365958 721003465 /nfs/dbraw/zinc/00/34/65/721003465.db2.gz UKSMFPZJJGTBJH-KRWDZBQOSA-N 1 2 321.465 1.349 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(C(=O)CCc3cn[nH]c3)CC2)c1 ZINC000951584675 721085637 /nfs/dbraw/zinc/08/56/37/721085637.db2.gz MQBGKJZBPZBXCM-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000951817129 721201045 /nfs/dbraw/zinc/20/10/45/721201045.db2.gz QRVZSBDZIHNGAW-ZDUSSCGKSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc3n[nH]c(=O)n3c2)C1 ZINC000953836438 721667186 /nfs/dbraw/zinc/66/71/86/721667186.db2.gz MKIUJNGPUWUIRF-UHFFFAOYSA-N 1 2 315.377 1.157 20 30 DDEDLO Cc1c[nH+]c2n1CCN(C)C21CCN(c2nccnc2C#N)CC1 ZINC001164917552 721812521 /nfs/dbraw/zinc/81/25/21/721812521.db2.gz NCLMAPOXMFHUGQ-UHFFFAOYSA-N 1 2 323.404 1.294 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2cc[nH]c2)s1 ZINC001038283781 735311495 /nfs/dbraw/zinc/31/14/95/735311495.db2.gz FUILXBUXJQKJPS-LBPRGKRZSA-N 1 2 300.387 1.952 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cc[nH]c2)s1 ZINC001038283781 735311498 /nfs/dbraw/zinc/31/14/98/735311498.db2.gz FUILXBUXJQKJPS-LBPRGKRZSA-N 1 2 300.387 1.952 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH]c[nH+]1)CNc1ccc(C#N)nc1 ZINC001104245949 732850159 /nfs/dbraw/zinc/85/01/59/732850159.db2.gz FUYSAUCUWWOLIE-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH+]c[nH]1)CNc1ccc(C#N)nc1 ZINC001104245949 732850162 /nfs/dbraw/zinc/85/01/62/732850162.db2.gz FUYSAUCUWWOLIE-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO C=CC[N@@H+](CCCNC(=O)OC(C)(C)C)CC(=O)OCC ZINC001167251755 732865634 /nfs/dbraw/zinc/86/56/34/732865634.db2.gz IOEFSHPCNMNUAH-UHFFFAOYSA-N 1 2 300.399 1.952 20 30 DDEDLO C=CC[N@H+](CCCNC(=O)OC(C)(C)C)CC(=O)OCC ZINC001167251755 732865639 /nfs/dbraw/zinc/86/56/39/732865639.db2.gz IOEFSHPCNMNUAH-UHFFFAOYSA-N 1 2 300.399 1.952 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C[C@@H]2CCCO2)C1 ZINC001149249110 733497872 /nfs/dbraw/zinc/49/78/72/733497872.db2.gz UMIAHRMTYGKYKF-KBPBESRZSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C[C@@H]2CCCO2)C1 ZINC001149249110 733497877 /nfs/dbraw/zinc/49/78/77/733497877.db2.gz UMIAHRMTYGKYKF-KBPBESRZSA-N 1 2 316.829 1.515 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(OC)c(C)c3)[C@H]2C1 ZINC001083223843 734434747 /nfs/dbraw/zinc/43/47/47/734434747.db2.gz SFMANKPNDDEXLW-DOTOQJQBSA-N 1 2 314.385 1.162 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(OC)c(C)c3)[C@H]2C1 ZINC001083223843 734434749 /nfs/dbraw/zinc/43/47/49/734434749.db2.gz SFMANKPNDDEXLW-DOTOQJQBSA-N 1 2 314.385 1.162 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@@H]([NH2+][C@@H](C)c3noc(C)n3)C2)cc1 ZINC001022527126 734583430 /nfs/dbraw/zinc/58/34/30/734583430.db2.gz RCHPTLUVURPJGE-KNXALSJPSA-N 1 2 324.384 1.971 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnn2ccncc12 ZINC001027934524 738834627 /nfs/dbraw/zinc/83/46/27/738834627.db2.gz TTZYWWYGTIVOAR-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnn2ccncc12 ZINC001027934524 738834632 /nfs/dbraw/zinc/83/46/32/738834632.db2.gz TTZYWWYGTIVOAR-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2CC[N@H+]2CCC(F)(F)F)c1 ZINC001038139452 734715144 /nfs/dbraw/zinc/71/51/44/734715144.db2.gz JVCVVWSGXJXGLX-SNVBAGLBSA-N 1 2 300.284 1.643 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2CC[N@@H+]2CCC(F)(F)F)c1 ZINC001038139452 734715147 /nfs/dbraw/zinc/71/51/47/734715147.db2.gz JVCVVWSGXJXGLX-SNVBAGLBSA-N 1 2 300.284 1.643 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc4[nH]cnc4c3)n2C)CC1 ZINC001121273272 782435449 /nfs/dbraw/zinc/43/54/49/782435449.db2.gz NORGYSMWBWNVHZ-UHFFFAOYSA-N 1 2 321.388 1.114 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)[C@]12C[C@H]1COC2 ZINC001038272509 735211607 /nfs/dbraw/zinc/21/16/07/735211607.db2.gz SZVUHOADQWUKEM-LNLFQRSKSA-N 1 2 310.397 1.265 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)[C@]12C[C@H]1COC2 ZINC001038272509 735211609 /nfs/dbraw/zinc/21/16/09/735211609.db2.gz SZVUHOADQWUKEM-LNLFQRSKSA-N 1 2 310.397 1.265 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@]3(C)C[C@@H](O)C[N@@H+]3C)n2C)C1 ZINC001121373503 782478814 /nfs/dbraw/zinc/47/88/14/782478814.db2.gz ZUINYVZFJSBFTE-CPUCHLNUSA-N 1 2 319.453 1.519 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@]3(C)C[C@@H](O)C[N@H+]3C)n2C)C1 ZINC001121373503 782478820 /nfs/dbraw/zinc/47/88/20/782478820.db2.gz ZUINYVZFJSBFTE-CPUCHLNUSA-N 1 2 319.453 1.519 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCn2cncc2C1 ZINC001027976521 738896313 /nfs/dbraw/zinc/89/63/13/738896313.db2.gz CGCKBXRBBZORPG-KGLIPLIRSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCn2cncc2C1 ZINC001027976521 738896318 /nfs/dbraw/zinc/89/63/18/738896318.db2.gz CGCKBXRBBZORPG-KGLIPLIRSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001024469086 735846525 /nfs/dbraw/zinc/84/65/25/735846525.db2.gz PMBDLQXCDUBJPV-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001024469086 735846530 /nfs/dbraw/zinc/84/65/30/735846530.db2.gz PMBDLQXCDUBJPV-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001024498735 735877210 /nfs/dbraw/zinc/87/72/10/735877210.db2.gz ZYODJHIMVQWKDL-QWHCGFSZSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001024498735 735877212 /nfs/dbraw/zinc/87/72/12/735877212.db2.gz ZYODJHIMVQWKDL-QWHCGFSZSA-N 1 2 313.829 1.378 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@H]2CCCCN2C(=O)C#CC2CC2)o1 ZINC001024959782 736184451 /nfs/dbraw/zinc/18/44/51/736184451.db2.gz IXRDFCKYNCUIJA-CQSZACIVSA-N 1 2 302.378 1.262 20 30 DDEDLO C=CC[N@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001025268013 736399364 /nfs/dbraw/zinc/39/93/64/736399364.db2.gz UOWWWSANEDUXLX-HUUCEWRRSA-N 1 2 318.421 1.771 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001025268013 736399366 /nfs/dbraw/zinc/39/93/66/736399366.db2.gz UOWWWSANEDUXLX-HUUCEWRRSA-N 1 2 318.421 1.771 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)Cc2ccon2)C1 ZINC001006959497 739892217 /nfs/dbraw/zinc/89/22/17/739892217.db2.gz RUICGDJSZVWUDB-QGZVFWFLSA-N 1 2 324.384 1.870 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)Cc2ccon2)C1 ZINC001006959497 739892220 /nfs/dbraw/zinc/89/22/20/739892220.db2.gz RUICGDJSZVWUDB-QGZVFWFLSA-N 1 2 324.384 1.870 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@H](C)CNc1ncccc1C#N ZINC001104643814 736481341 /nfs/dbraw/zinc/48/13/41/736481341.db2.gz FHSRMCXPWALBGF-LLVKDONJSA-N 1 2 312.377 1.486 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CCCCS1(=O)=O ZINC001038338165 736910463 /nfs/dbraw/zinc/91/04/63/736910463.db2.gz OUQGIRHEZKOBNY-UONOGXRCSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1CCCCS1(=O)=O ZINC001038338165 736910473 /nfs/dbraw/zinc/91/04/73/736910473.db2.gz OUQGIRHEZKOBNY-UONOGXRCSA-N 1 2 314.451 1.110 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@@H]4CC[C@@H](NCC#N)[C@H]4C3)ccn12 ZINC001026266694 737270876 /nfs/dbraw/zinc/27/08/76/737270876.db2.gz HYRZTKBWFCBYOQ-HRCADAONSA-N 1 2 323.400 1.607 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)C(C)(C)C)C2)nn1 ZINC001105270905 737699286 /nfs/dbraw/zinc/69/92/86/737699286.db2.gz PMZGTLPXVRDPMV-ZDUSSCGKSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105299190 737768707 /nfs/dbraw/zinc/76/87/07/737768707.db2.gz KFOWNKHCVNJFGR-UHFFFAOYSA-N 1 2 309.389 1.323 20 30 DDEDLO C[C@H]([NH2+]CCNC(=O)c1c[nH]c(C#N)c1)c1nc(C2CC2)no1 ZINC001125978757 738039388 /nfs/dbraw/zinc/03/93/88/738039388.db2.gz XABWIJDKUXXUFO-VIFPVBQESA-N 1 2 314.349 1.227 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2CCC[N@@H+](C/C=C/Cl)C2)nn1 ZINC001008801299 738039753 /nfs/dbraw/zinc/03/97/53/738039753.db2.gz WAJUVILQRJZPDM-FVOPLDGLSA-N 1 2 309.801 1.411 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2CCC[N@H+](C/C=C/Cl)C2)nn1 ZINC001008801299 738039755 /nfs/dbraw/zinc/03/97/55/738039755.db2.gz WAJUVILQRJZPDM-FVOPLDGLSA-N 1 2 309.801 1.411 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCCN(C(=O)[C@H](C)OC)C1 ZINC001027319827 738191308 /nfs/dbraw/zinc/19/13/08/738191308.db2.gz PQUSDMBEMWBGJM-RYUDHWBXSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCCN(C(=O)[C@H](C)OC)C1 ZINC001027319827 738191310 /nfs/dbraw/zinc/19/13/10/738191310.db2.gz PQUSDMBEMWBGJM-RYUDHWBXSA-N 1 2 319.243 1.853 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405158 738269164 /nfs/dbraw/zinc/26/91/64/738269164.db2.gz CSEQJFCFCMPTHK-CQSZACIVSA-N 1 2 318.446 1.683 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405158 738269166 /nfs/dbraw/zinc/26/91/66/738269166.db2.gz CSEQJFCFCMPTHK-CQSZACIVSA-N 1 2 318.446 1.683 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)CC(C)(C)C)C2)nn1 ZINC001105339199 738282953 /nfs/dbraw/zinc/28/29/53/738282953.db2.gz LEMRQNWSXFXHLP-CYBMUJFWSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)CC(C)(C)C)C2)nn1 ZINC001105339201 738283119 /nfs/dbraw/zinc/28/31/19/738283119.db2.gz LEMRQNWSXFXHLP-ZDUSSCGKSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1cnc2ccc(C)cc21 ZINC001032584303 751405961 /nfs/dbraw/zinc/40/59/61/751405961.db2.gz SPWSVKKVSDHRFH-GJZGRUSLSA-N 1 2 308.385 1.263 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1cnc2ccc(C)cc21 ZINC001032584303 751405965 /nfs/dbraw/zinc/40/59/65/751405965.db2.gz SPWSVKKVSDHRFH-GJZGRUSLSA-N 1 2 308.385 1.263 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H]2CCC[N@H+]2CC(=C)Cl)nn1 ZINC001028192595 739143739 /nfs/dbraw/zinc/14/37/39/739143739.db2.gz OGZFFRXXMJCYAS-CYBMUJFWSA-N 1 2 323.828 1.801 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H]2CCC[N@@H+]2CC(=C)Cl)nn1 ZINC001028192595 739143740 /nfs/dbraw/zinc/14/37/40/739143740.db2.gz OGZFFRXXMJCYAS-CYBMUJFWSA-N 1 2 323.828 1.801 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001028240309 739214242 /nfs/dbraw/zinc/21/42/42/739214242.db2.gz PLFCMRYJTABADQ-OAHLLOKOSA-N 1 2 311.389 1.852 20 30 DDEDLO C=CC[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001028240309 739214246 /nfs/dbraw/zinc/21/42/46/739214246.db2.gz PLFCMRYJTABADQ-OAHLLOKOSA-N 1 2 311.389 1.852 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CC[C@H](Nc2ccc(C#N)cn2)C1 ZINC001058941687 739268016 /nfs/dbraw/zinc/26/80/16/739268016.db2.gz NKLHQXYWMLCZIW-AWEZNQCLSA-N 1 2 324.388 1.332 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccnn2C2CCC2)C1 ZINC001035367681 751432483 /nfs/dbraw/zinc/43/24/83/751432483.db2.gz JRZMJCVXKXRQFV-CQSZACIVSA-N 1 2 304.394 1.225 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccnn2C2CCC2)C1 ZINC001035367681 751432487 /nfs/dbraw/zinc/43/24/87/751432487.db2.gz JRZMJCVXKXRQFV-CQSZACIVSA-N 1 2 304.394 1.225 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCN1C(C)=O ZINC001028337459 739374486 /nfs/dbraw/zinc/37/44/86/739374486.db2.gz MOPBOBQFAIQYMD-ZIAGYGMSSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCN1C(C)=O ZINC001028337459 739374490 /nfs/dbraw/zinc/37/44/90/739374490.db2.gz MOPBOBQFAIQYMD-ZIAGYGMSSA-N 1 2 313.829 1.330 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1OC ZINC001211768698 739521512 /nfs/dbraw/zinc/52/15/12/739521512.db2.gz NHJUOKLHQTUJRI-HZPDHXFCSA-N 1 2 319.380 1.813 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1OC ZINC001211768698 739521517 /nfs/dbraw/zinc/52/15/17/739521517.db2.gz NHJUOKLHQTUJRI-HZPDHXFCSA-N 1 2 319.380 1.813 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnn2[C@@H](C)CC)C1 ZINC001035386369 751456164 /nfs/dbraw/zinc/45/61/64/751456164.db2.gz NCXRLYBHKYVDPY-KBPBESRZSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccnn2[C@@H](C)CC)C1 ZINC001035386369 751456165 /nfs/dbraw/zinc/45/61/65/751456165.db2.gz NCXRLYBHKYVDPY-KBPBESRZSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](N(C)C(=O)Cn2cc[nH+]c2)CC1 ZINC001078993095 751463981 /nfs/dbraw/zinc/46/39/81/751463981.db2.gz OFLORSJSSAGLIO-OAHLLOKOSA-N 1 2 318.421 1.689 20 30 DDEDLO Cc1cc(N(C)CCN(C)C(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001105371837 739706631 /nfs/dbraw/zinc/70/66/31/739706631.db2.gz HXKYZYDULHSHFL-NSHDSACASA-N 1 2 301.394 1.717 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1CC[N@H+](Cc2cnon2)C1 ZINC001028593940 739755169 /nfs/dbraw/zinc/75/51/69/739755169.db2.gz XKEFQYLOGPQZNB-ZDUSSCGKSA-N 1 2 315.377 1.309 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1CC[N@@H+](Cc2cnon2)C1 ZINC001028593940 739755174 /nfs/dbraw/zinc/75/51/74/739755174.db2.gz XKEFQYLOGPQZNB-ZDUSSCGKSA-N 1 2 315.377 1.309 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001035428366 751486384 /nfs/dbraw/zinc/48/63/84/751486384.db2.gz YSFXNHAGJXKERC-OAHLLOKOSA-N 1 2 318.421 1.092 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001035428366 751486388 /nfs/dbraw/zinc/48/63/88/751486388.db2.gz YSFXNHAGJXKERC-OAHLLOKOSA-N 1 2 318.421 1.092 20 30 DDEDLO N#CCN1CC[C@H](CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001028716469 739948961 /nfs/dbraw/zinc/94/89/61/739948961.db2.gz YJAXHVGANJZXJL-CQSZACIVSA-N 1 2 309.373 1.448 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cn3c(n2)CCCC3)C1 ZINC001107980820 751518844 /nfs/dbraw/zinc/51/88/44/751518844.db2.gz YIXLXTITDKAELF-KRWDZBQOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cn3c(n2)CCCC3)C1 ZINC001107980820 751518845 /nfs/dbraw/zinc/51/88/45/751518845.db2.gz YIXLXTITDKAELF-KRWDZBQOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)[C@@H](C)CO3)C1 ZINC001035480671 751534389 /nfs/dbraw/zinc/53/43/89/751534389.db2.gz HMDSXMVANWNQHR-DZGCQCFKSA-N 1 2 316.401 1.799 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)[C@@H](C)CO3)C1 ZINC001035480671 751534393 /nfs/dbraw/zinc/53/43/93/751534393.db2.gz HMDSXMVANWNQHR-DZGCQCFKSA-N 1 2 316.401 1.799 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001035487836 751541744 /nfs/dbraw/zinc/54/17/44/751541744.db2.gz NWRXVTKQNCYKFN-LSDHHAIUSA-N 1 2 301.390 1.114 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001035487836 751541746 /nfs/dbraw/zinc/54/17/46/751541746.db2.gz NWRXVTKQNCYKFN-LSDHHAIUSA-N 1 2 301.390 1.114 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)cc2C)C1 ZINC001035501996 751557916 /nfs/dbraw/zinc/55/79/16/751557916.db2.gz MUWOEEZQNHIPMJ-HNNXBMFYSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)cc2C)C1 ZINC001035501996 751557917 /nfs/dbraw/zinc/55/79/17/751557917.db2.gz MUWOEEZQNHIPMJ-HNNXBMFYSA-N 1 2 304.390 1.620 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncn(C(C)(C)C)n2)C1 ZINC001035508186 751564823 /nfs/dbraw/zinc/56/48/23/751564823.db2.gz QLDQFBIOOBFDPD-CYBMUJFWSA-N 1 2 321.425 1.040 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncn(C(C)(C)C)n2)C1 ZINC001035508186 751564827 /nfs/dbraw/zinc/56/48/27/751564827.db2.gz QLDQFBIOOBFDPD-CYBMUJFWSA-N 1 2 321.425 1.040 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn(C)ccc2=O)[C@H]1CC ZINC001087652473 740692950 /nfs/dbraw/zinc/69/29/50/740692950.db2.gz URAXDMDJERPOCH-UONOGXRCSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn(C)ccc2=O)[C@H]1CC ZINC001087652473 740692952 /nfs/dbraw/zinc/69/29/52/740692952.db2.gz URAXDMDJERPOCH-UONOGXRCSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2oc(C3CC3)nc2C)C1 ZINC001035537201 751582767 /nfs/dbraw/zinc/58/27/67/751582767.db2.gz CZFCBPHTKXHJMM-CQSZACIVSA-N 1 2 319.405 1.867 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2oc(C3CC3)nc2C)C1 ZINC001035537201 751582770 /nfs/dbraw/zinc/58/27/70/751582770.db2.gz CZFCBPHTKXHJMM-CQSZACIVSA-N 1 2 319.405 1.867 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2nc(CC)oc2C)C1 ZINC001035539205 751585279 /nfs/dbraw/zinc/58/52/79/751585279.db2.gz DBEOTRYJPKGQJI-CYBMUJFWSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2nc(CC)oc2C)C1 ZINC001035539205 751585283 /nfs/dbraw/zinc/58/52/83/751585283.db2.gz DBEOTRYJPKGQJI-CYBMUJFWSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098715859 740971351 /nfs/dbraw/zinc/97/13/51/740971351.db2.gz KRPOTXYJEHHSBC-HOCLYGCPSA-N 1 2 323.416 1.713 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCc3ccccc3C2)C1 ZINC001035526514 751605374 /nfs/dbraw/zinc/60/53/74/751605374.db2.gz RGXYRFIWGYWWPS-ZWKOTPCHSA-N 1 2 314.429 1.795 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCc3ccccc3C2)C1 ZINC001035526514 751605376 /nfs/dbraw/zinc/60/53/76/751605376.db2.gz RGXYRFIWGYWWPS-ZWKOTPCHSA-N 1 2 314.429 1.795 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCc3ccccc3C2)C1 ZINC001035526513 751605833 /nfs/dbraw/zinc/60/58/33/751605833.db2.gz RGXYRFIWGYWWPS-ROUUACIJSA-N 1 2 314.429 1.795 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCc3ccccc3C2)C1 ZINC001035526513 751605839 /nfs/dbraw/zinc/60/58/39/751605839.db2.gz RGXYRFIWGYWWPS-ROUUACIJSA-N 1 2 314.429 1.795 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001035569254 751622370 /nfs/dbraw/zinc/62/23/70/751622370.db2.gz FGRBKNDAGBZCGW-HNNXBMFYSA-N 1 2 317.433 1.900 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001035569254 751622372 /nfs/dbraw/zinc/62/23/72/751622372.db2.gz FGRBKNDAGBZCGW-HNNXBMFYSA-N 1 2 317.433 1.900 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001029798546 741311928 /nfs/dbraw/zinc/31/19/28/741311928.db2.gz LEHDQDCTIAJAPE-AWEZNQCLSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001029798546 741311936 /nfs/dbraw/zinc/31/19/36/741311936.db2.gz LEHDQDCTIAJAPE-AWEZNQCLSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(F)c2OCC)C1 ZINC001035560309 751642553 /nfs/dbraw/zinc/64/25/53/751642553.db2.gz SOTPQMMAZFIDCE-CYBMUJFWSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc(F)c2OCC)C1 ZINC001035560309 751642560 /nfs/dbraw/zinc/64/25/60/751642560.db2.gz SOTPQMMAZFIDCE-CYBMUJFWSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)C2CCCC2)C1=O ZINC001038008796 751658083 /nfs/dbraw/zinc/65/80/83/751658083.db2.gz LPGOEYHJYBNTNW-HUUCEWRRSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)C2CCCC2)C1=O ZINC001038008796 751658089 /nfs/dbraw/zinc/65/80/89/751658089.db2.gz LPGOEYHJYBNTNW-HUUCEWRRSA-N 1 2 305.422 1.154 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cncnc2)CC[N@@H+]1Cc1ccccc1C#N ZINC001088535881 741707802 /nfs/dbraw/zinc/70/78/02/741707802.db2.gz RGVXVFBIZZKYRZ-DYVFJYSZSA-N 1 2 321.384 1.741 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cncnc2)CC[N@H+]1Cc1ccccc1C#N ZINC001088535881 741707803 /nfs/dbraw/zinc/70/78/03/741707803.db2.gz RGVXVFBIZZKYRZ-DYVFJYSZSA-N 1 2 321.384 1.741 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc3c(s2)CCC3)C1 ZINC001035603569 751662182 /nfs/dbraw/zinc/66/21/82/751662182.db2.gz TWUWHSTUKYEGTP-CYBMUJFWSA-N 1 2 306.431 1.853 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc3c(s2)CCC3)C1 ZINC001035603569 751662184 /nfs/dbraw/zinc/66/21/84/751662184.db2.gz TWUWHSTUKYEGTP-CYBMUJFWSA-N 1 2 306.431 1.853 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn3c2CCCC3)C1 ZINC001035578432 751668410 /nfs/dbraw/zinc/66/84/10/751668410.db2.gz RKOFBWXGQGNLOT-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn3c2CCCC3)C1 ZINC001035578432 751668415 /nfs/dbraw/zinc/66/84/15/751668415.db2.gz RKOFBWXGQGNLOT-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCCCC(=O)NCC[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001059867036 741811126 /nfs/dbraw/zinc/81/11/26/741811126.db2.gz IOIIKPQLADVGGS-OAHLLOKOSA-N 1 2 318.421 1.594 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3cccc(F)c3O2)C1 ZINC001035606346 751684745 /nfs/dbraw/zinc/68/47/45/751684745.db2.gz BOKWWRRYQUJALM-UKRRQHHQSA-N 1 2 320.364 1.132 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(OC)n2)C[C@H]1OC ZINC001211997148 741932483 /nfs/dbraw/zinc/93/24/83/741932483.db2.gz IWGSFQUXQXWUPF-HUUCEWRRSA-N 1 2 319.405 1.372 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cccc(OC)n2)C[C@H]1OC ZINC001211997148 741932485 /nfs/dbraw/zinc/93/24/85/741932485.db2.gz IWGSFQUXQXWUPF-HUUCEWRRSA-N 1 2 319.405 1.372 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccccc2OC)C1 ZINC001035587863 751680962 /nfs/dbraw/zinc/68/09/62/751680962.db2.gz AODWZZWBOADNDZ-CQSZACIVSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccccc2OC)C1 ZINC001035587863 751680969 /nfs/dbraw/zinc/68/09/69/751680969.db2.gz AODWZZWBOADNDZ-CQSZACIVSA-N 1 2 302.374 1.149 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3cccc(F)c3O2)C1 ZINC001035606346 751684750 /nfs/dbraw/zinc/68/47/50/751684750.db2.gz BOKWWRRYQUJALM-UKRRQHHQSA-N 1 2 320.364 1.132 20 30 DDEDLO Cc1nc(NC[C@H]2CCC[C@@H]2NC(=O)CSCC#N)cc[nH+]1 ZINC001105638980 742237587 /nfs/dbraw/zinc/23/75/87/742237587.db2.gz MLVPQZXJMOMAKH-OLZOCXBDSA-N 1 2 319.434 1.739 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(Cl)c2C)[C@@H](O)C1 ZINC001083482026 742343184 /nfs/dbraw/zinc/34/31/84/742343184.db2.gz JLQKJBHQPPRVMF-CABCVRRESA-N 1 2 306.793 1.447 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(Cl)c2C)[C@@H](O)C1 ZINC001083482026 742343188 /nfs/dbraw/zinc/34/31/88/742343188.db2.gz JLQKJBHQPPRVMF-CABCVRRESA-N 1 2 306.793 1.447 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cccc4[nH]c(C)nc43)[C@@H]2C1 ZINC001076430318 742728969 /nfs/dbraw/zinc/72/89/69/742728969.db2.gz GTJMSWFATRQDHN-XJKSGUPXSA-N 1 2 308.385 1.651 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cccc4[nH]c(C)nc43)[C@@H]2C1 ZINC001076430318 742728971 /nfs/dbraw/zinc/72/89/71/742728971.db2.gz GTJMSWFATRQDHN-XJKSGUPXSA-N 1 2 308.385 1.651 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CCNC(=O)C#CC(C)(C)C ZINC001076434573 742733496 /nfs/dbraw/zinc/73/34/96/742733496.db2.gz ZPGWFQWRDLHCKY-LBPRGKRZSA-N 1 2 318.421 1.321 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c(CC)c(CC)n[nH]c2=O)C1 ZINC001042828328 742875590 /nfs/dbraw/zinc/87/55/90/742875590.db2.gz POFGYEGVSJBKIT-UHFFFAOYSA-N 1 2 318.421 1.639 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001076711247 742932420 /nfs/dbraw/zinc/93/24/20/742932420.db2.gz CMKMMQLBDIIGDL-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001076711247 742932425 /nfs/dbraw/zinc/93/24/25/742932425.db2.gz CMKMMQLBDIIGDL-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO Cc1nc(C[NH+]2CC3(C2)CCN(C(=O)[C@@H](C)C#N)CC3)c(C)o1 ZINC001035670041 751776268 /nfs/dbraw/zinc/77/62/68/751776268.db2.gz BDYSKELMHWBGTA-LBPRGKRZSA-N 1 2 316.405 1.876 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1ccon1)CC2 ZINC001035671626 751777087 /nfs/dbraw/zinc/77/70/87/751777087.db2.gz UCTXJORXBCPJQX-UHFFFAOYSA-N 1 2 309.797 1.894 20 30 DDEDLO C=CCCC(=O)NC1(CCOC)CC[NH+](Cc2cnon2)CC1 ZINC001151014377 743073931 /nfs/dbraw/zinc/07/39/31/743073931.db2.gz KIYXQINGVBXHCU-UHFFFAOYSA-N 1 2 322.409 1.523 20 30 DDEDLO C=C[C@@H](C(=O)N1CC(NC(=O)Cc2[nH]cc[nH+]2)C1)c1ccccc1 ZINC000998391882 751790290 /nfs/dbraw/zinc/79/02/90/751790290.db2.gz MRXZBASQSVLJSD-OAHLLOKOSA-N 1 2 324.384 1.249 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)c2cnccn2)C1 ZINC001006631879 751802967 /nfs/dbraw/zinc/80/29/67/751802967.db2.gz OEVKIYPZLNYRFX-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)c2cnccn2)C1 ZINC001006631879 751802978 /nfs/dbraw/zinc/80/29/78/751802978.db2.gz OEVKIYPZLNYRFX-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(-c3ccccc3)o2)C1 ZINC001077182705 743299276 /nfs/dbraw/zinc/29/92/76/743299276.db2.gz BAYPBSJTVZPJDP-ZIAGYGMSSA-N 1 2 313.357 1.303 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(-c3ccccc3)o2)C1 ZINC001077182705 743299284 /nfs/dbraw/zinc/29/92/84/743299284.db2.gz BAYPBSJTVZPJDP-ZIAGYGMSSA-N 1 2 313.357 1.303 20 30 DDEDLO C=C(Cl)CN1C[C@@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)[C@@H](O)C1 ZINC001083570374 743362362 /nfs/dbraw/zinc/36/23/62/743362362.db2.gz SQTBDSNCXFOPRC-KGLIPLIRSA-N 1 2 320.780 1.090 20 30 DDEDLO CCC(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001089981138 743374232 /nfs/dbraw/zinc/37/42/32/743374232.db2.gz OYBMWTHXMPKKBB-GJZGRUSLSA-N 1 2 305.353 1.159 20 30 DDEDLO CCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001089981138 743374241 /nfs/dbraw/zinc/37/42/41/743374241.db2.gz OYBMWTHXMPKKBB-GJZGRUSLSA-N 1 2 305.353 1.159 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)/C=C\C3CC3)c2C1 ZINC001128294904 743505209 /nfs/dbraw/zinc/50/52/09/743505209.db2.gz DQFYPHZBRNMESZ-SREVYHEPSA-N 1 2 300.406 1.857 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)/C=C\C3CC3)c2C1 ZINC001128294904 743505215 /nfs/dbraw/zinc/50/52/15/743505215.db2.gz DQFYPHZBRNMESZ-SREVYHEPSA-N 1 2 300.406 1.857 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccn(CC(F)(F)F)n1 ZINC001038377715 743559146 /nfs/dbraw/zinc/55/91/46/743559146.db2.gz DMOASMWBQUPVJV-NSHDSACASA-N 1 2 314.311 1.273 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccn(CC(F)(F)F)n1 ZINC001038377715 743559154 /nfs/dbraw/zinc/55/91/54/743559154.db2.gz DMOASMWBQUPVJV-NSHDSACASA-N 1 2 314.311 1.273 20 30 DDEDLO COC1CC(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)s2)C1 ZINC001038384895 743704072 /nfs/dbraw/zinc/70/40/72/743704072.db2.gz OOJMMIJSZVWJBW-OTTFEQOBSA-N 1 2 319.430 1.735 20 30 DDEDLO COC1CC(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)s2)C1 ZINC001038384895 743704076 /nfs/dbraw/zinc/70/40/76/743704076.db2.gz OOJMMIJSZVWJBW-OTTFEQOBSA-N 1 2 319.430 1.735 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001105719584 743757564 /nfs/dbraw/zinc/75/75/64/743757564.db2.gz LOHMTQKIGVWHHW-ZIAGYGMSSA-N 1 2 310.438 1.129 20 30 DDEDLO C#CCOCCC(=O)NCCN(CCC)c1cc[nH+]c(C)n1 ZINC001101185074 743949229 /nfs/dbraw/zinc/94/92/29/743949229.db2.gz NYFIGIOGLXDWIO-UHFFFAOYSA-N 1 2 304.394 1.158 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001185056582 744145052 /nfs/dbraw/zinc/14/50/52/744145052.db2.gz QNZGSAGMNPGDOG-QWHCGFSZSA-N 1 2 322.409 1.509 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2CCCC[C@H]2n2cccn2)C1 ZINC001030463263 744177544 /nfs/dbraw/zinc/17/75/44/744177544.db2.gz HYNCCFRNKBMMJS-HZPDHXFCSA-N 1 2 300.406 1.438 20 30 DDEDLO Cc1nc(C#N)c(NC(=O)[C@H](CO)[N@H+](C)Cc2ccccc2)o1 ZINC001185223266 744183571 /nfs/dbraw/zinc/18/35/71/744183571.db2.gz CXARXPLDHLYXLH-AWEZNQCLSA-N 1 2 314.345 1.286 20 30 DDEDLO Cc1nc(C#N)c(NC(=O)[C@H](CO)[N@@H+](C)Cc2ccccc2)o1 ZINC001185223266 744183572 /nfs/dbraw/zinc/18/35/72/744183572.db2.gz CXARXPLDHLYXLH-AWEZNQCLSA-N 1 2 314.345 1.286 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C(C)C)C2)nn1 ZINC001185873688 744298075 /nfs/dbraw/zinc/29/80/75/744298075.db2.gz WMFRIVAXSCHWSD-HNNXBMFYSA-N 1 2 303.410 1.211 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cccnn2)CC[N@@H+]1Cc1ccccc1C#N ZINC001088935353 744383573 /nfs/dbraw/zinc/38/35/73/744383573.db2.gz ATHKBQGPYCMRRZ-CJNGLKHVSA-N 1 2 321.384 1.741 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cccnn2)CC[N@H+]1Cc1ccccc1C#N ZINC001088935353 744383578 /nfs/dbraw/zinc/38/35/78/744383578.db2.gz ATHKBQGPYCMRRZ-CJNGLKHVSA-N 1 2 321.384 1.741 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1nc(C)oc1C ZINC001110390337 744511404 /nfs/dbraw/zinc/51/14/04/744511404.db2.gz INAMVICQHKGNOZ-FMKPAKJESA-N 1 2 319.405 1.716 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1nc(C)oc1C ZINC001110390337 744511407 /nfs/dbraw/zinc/51/14/07/744511407.db2.gz INAMVICQHKGNOZ-FMKPAKJESA-N 1 2 319.405 1.716 20 30 DDEDLO CC(C)(S)CNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC001187449211 744565310 /nfs/dbraw/zinc/56/53/10/744565310.db2.gz CCYASSYLWMKRIU-UHFFFAOYSA-N 1 2 308.447 1.957 20 30 DDEDLO COc1ncccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C#N)C2 ZINC001110394839 744575421 /nfs/dbraw/zinc/57/54/21/744575421.db2.gz NMXPMXFHOSTRIQ-MYPMTAMASA-N 1 2 314.389 1.471 20 30 DDEDLO COc1ncccc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C#N)C2 ZINC001110394839 744575424 /nfs/dbraw/zinc/57/54/24/744575424.db2.gz NMXPMXFHOSTRIQ-MYPMTAMASA-N 1 2 314.389 1.471 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001187729042 744597474 /nfs/dbraw/zinc/59/74/74/744597474.db2.gz JSHJYLFNEWYSJL-DZGCQCFKSA-N 1 2 302.378 1.214 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001187729042 744597475 /nfs/dbraw/zinc/59/74/75/744597475.db2.gz JSHJYLFNEWYSJL-DZGCQCFKSA-N 1 2 302.378 1.214 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001187866045 744622673 /nfs/dbraw/zinc/62/26/73/744622673.db2.gz XCKXLCNQQAYRCV-LSDHHAIUSA-N 1 2 317.389 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001187866045 744622675 /nfs/dbraw/zinc/62/26/75/744622675.db2.gz XCKXLCNQQAYRCV-LSDHHAIUSA-N 1 2 317.389 1.056 20 30 DDEDLO CC1(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)CCCCC1 ZINC001046057339 744687974 /nfs/dbraw/zinc/68/79/74/744687974.db2.gz MSVAXGUGSYPKFE-INIZCTEOSA-N 1 2 318.465 1.699 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001077510355 744738632 /nfs/dbraw/zinc/73/86/32/744738632.db2.gz QRQAIBKWIABLDJ-IAGOWNOFSA-N 1 2 311.385 1.193 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001077510355 744738634 /nfs/dbraw/zinc/73/86/34/744738634.db2.gz QRQAIBKWIABLDJ-IAGOWNOFSA-N 1 2 311.385 1.193 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C2CC2)no1 ZINC001110401667 744760334 /nfs/dbraw/zinc/76/03/34/744760334.db2.gz LGPQFPDRVRFJSJ-XOPPTJLZSA-N 1 2 314.389 1.933 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C2CC2)no1 ZINC001110401667 744760336 /nfs/dbraw/zinc/76/03/36/744760336.db2.gz LGPQFPDRVRFJSJ-XOPPTJLZSA-N 1 2 314.389 1.933 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2snnc2C)C1 ZINC001189917011 745031920 /nfs/dbraw/zinc/03/19/20/745031920.db2.gz BJTHGHGNJOCCCK-SWLSCSKDSA-N 1 2 324.450 1.206 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2snnc2C)C1 ZINC001189917011 745031926 /nfs/dbraw/zinc/03/19/26/745031926.db2.gz BJTHGHGNJOCCCK-SWLSCSKDSA-N 1 2 324.450 1.206 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001190084941 745107233 /nfs/dbraw/zinc/10/72/33/745107233.db2.gz UMZUXQUTAZCGQG-INIZCTEOSA-N 1 2 316.449 1.985 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001190084941 745107235 /nfs/dbraw/zinc/10/72/35/745107235.db2.gz UMZUXQUTAZCGQG-INIZCTEOSA-N 1 2 316.449 1.985 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[C@H]([NH+]3CCCC3)C(F)(F)C2)ccn1 ZINC001191549653 745546399 /nfs/dbraw/zinc/54/63/99/745546399.db2.gz OZQCHUAPWNTXKR-AWEZNQCLSA-N 1 2 320.343 1.899 20 30 DDEDLO CC[C@H](C)CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192511143 745801736 /nfs/dbraw/zinc/80/17/36/745801736.db2.gz XMQYEBWDKDEZMP-CGTJXYLNSA-N 1 2 314.429 1.636 20 30 DDEDLO CC[C@H](C)CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192511143 745801742 /nfs/dbraw/zinc/80/17/42/745801742.db2.gz XMQYEBWDKDEZMP-CGTJXYLNSA-N 1 2 314.429 1.636 20 30 DDEDLO CCN(CCNc1ccc(C#N)nc1)C(=O)Cc1[nH]c[nH+]c1C ZINC001106813877 746149510 /nfs/dbraw/zinc/14/95/10/746149510.db2.gz XYEZLLYIGIOPEK-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC3(C2)CC[N@H+](CC2CC2)C3)c1O ZINC001193644357 746160905 /nfs/dbraw/zinc/16/09/05/746160905.db2.gz VMJYWZBMLPFLRU-UHFFFAOYSA-N 1 2 311.385 1.822 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC3(C2)CC[N@@H+](CC2CC2)C3)c1O ZINC001193644357 746160910 /nfs/dbraw/zinc/16/09/10/746160910.db2.gz VMJYWZBMLPFLRU-UHFFFAOYSA-N 1 2 311.385 1.822 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CCC[N@@H+]1Cc1ccc(C#N)cc1 ZINC000993545566 746206847 /nfs/dbraw/zinc/20/68/47/746206847.db2.gz VYUBJWQVCCRUEO-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000993545566 746206851 /nfs/dbraw/zinc/20/68/51/746206851.db2.gz VYUBJWQVCCRUEO-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000993545566 746206857 /nfs/dbraw/zinc/20/68/57/746206857.db2.gz VYUBJWQVCCRUEO-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2nc3cnccc3s2)[C@@H]1C ZINC000993571633 746210378 /nfs/dbraw/zinc/21/03/78/746210378.db2.gz KJASCWXARXSSHV-NWDGAFQWSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2nc3cnccc3s2)[C@@H]1C ZINC000993571633 746210381 /nfs/dbraw/zinc/21/03/81/746210381.db2.gz KJASCWXARXSSHV-NWDGAFQWSA-N 1 2 314.414 1.907 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2c(C)nc[nH]c2=O)[C@H]1C ZINC000993717768 746285580 /nfs/dbraw/zinc/28/55/80/746285580.db2.gz IDGWPDFQGXSEKE-VXGBXAGGSA-N 1 2 324.812 1.826 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2c(C)nc[nH]c2=O)[C@H]1C ZINC000993717768 746285584 /nfs/dbraw/zinc/28/55/84/746285584.db2.gz IDGWPDFQGXSEKE-VXGBXAGGSA-N 1 2 324.812 1.826 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@H]1C ZINC000993825324 746341882 /nfs/dbraw/zinc/34/18/82/746341882.db2.gz NVOCLVCMXREXEP-MNOVXSKESA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@H]1C ZINC000993825324 746341889 /nfs/dbraw/zinc/34/18/89/746341889.db2.gz NVOCLVCMXREXEP-MNOVXSKESA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@@H]1C ZINC000993825325 746342026 /nfs/dbraw/zinc/34/20/26/746342026.db2.gz NVOCLVCMXREXEP-QWRGUYRKSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@@H]1C ZINC000993825325 746342028 /nfs/dbraw/zinc/34/20/28/746342028.db2.gz NVOCLVCMXREXEP-QWRGUYRKSA-N 1 2 324.812 1.449 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001194382043 746362731 /nfs/dbraw/zinc/36/27/31/746362731.db2.gz SOQORKLXRJJHTH-HNNXBMFYSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001194382043 746362736 /nfs/dbraw/zinc/36/27/36/746362736.db2.gz SOQORKLXRJJHTH-HNNXBMFYSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001194388133 746364056 /nfs/dbraw/zinc/36/40/56/746364056.db2.gz MOGAWVVUNGIKHH-OAHLLOKOSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001194388133 746364062 /nfs/dbraw/zinc/36/40/62/746364062.db2.gz MOGAWVVUNGIKHH-OAHLLOKOSA-N 1 2 307.394 1.608 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2c[nH+]ccc2N(C)C)[C@H]1C ZINC000994270238 746556295 /nfs/dbraw/zinc/55/62/95/746556295.db2.gz QMLJCZWUWAXYJI-HIFRSBDPSA-N 1 2 300.406 1.364 20 30 DDEDLO C#CCN1CCC[C@H](NC(=O)c2c[nH+]ccc2N(C)C)[C@H]1C ZINC000994270238 746556300 /nfs/dbraw/zinc/55/63/00/746556300.db2.gz QMLJCZWUWAXYJI-HIFRSBDPSA-N 1 2 300.406 1.364 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001195540976 746640003 /nfs/dbraw/zinc/64/00/03/746640003.db2.gz KLGFZKOOYWTARX-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001195540976 746640005 /nfs/dbraw/zinc/64/00/05/746640005.db2.gz KLGFZKOOYWTARX-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195568087 746655807 /nfs/dbraw/zinc/65/58/07/746655807.db2.gz WPJOLUXWPRFLEZ-BPLDGKMQSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195568087 746655808 /nfs/dbraw/zinc/65/58/08/746655808.db2.gz WPJOLUXWPRFLEZ-BPLDGKMQSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cc(OC)no2)CC1 ZINC001195681212 746670071 /nfs/dbraw/zinc/67/00/71/746670071.db2.gz BBBTYXGAZVTCTE-INIZCTEOSA-N 1 2 323.393 1.045 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+](Cc2cc(OC)no2)CC1 ZINC001195681212 746670074 /nfs/dbraw/zinc/67/00/74/746670074.db2.gz BBBTYXGAZVTCTE-INIZCTEOSA-N 1 2 323.393 1.045 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195754772 746687443 /nfs/dbraw/zinc/68/74/43/746687443.db2.gz UHVJBOLIEAPAOF-MRXNPFEDSA-N 1 2 321.465 1.485 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195754772 746687447 /nfs/dbraw/zinc/68/74/47/746687447.db2.gz UHVJBOLIEAPAOF-MRXNPFEDSA-N 1 2 321.465 1.485 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195738853 746696046 /nfs/dbraw/zinc/69/60/46/746696046.db2.gz DVIKOLITEMOYNM-ZIAGYGMSSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195738853 746696051 /nfs/dbraw/zinc/69/60/51/746696051.db2.gz DVIKOLITEMOYNM-ZIAGYGMSSA-N 1 2 309.435 1.469 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)C3(CF)CC3)C2)s1 ZINC001196106575 746778536 /nfs/dbraw/zinc/77/85/36/746778536.db2.gz NIDLXQZSECFHPG-CHWSQXEVSA-N 1 2 323.393 1.031 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)C3(CF)CC3)C2)s1 ZINC001196106575 746778540 /nfs/dbraw/zinc/77/85/40/746778540.db2.gz NIDLXQZSECFHPG-CHWSQXEVSA-N 1 2 323.393 1.031 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)C2CCC2)CC1 ZINC001196268192 746812706 /nfs/dbraw/zinc/81/27/06/746812706.db2.gz CIWQANIDHZORLI-GJZGRUSLSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)C2CCC2)CC1 ZINC001196268192 746812712 /nfs/dbraw/zinc/81/27/12/746812712.db2.gz CIWQANIDHZORLI-GJZGRUSLSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(C)C2CCC2)CC1 ZINC001196689682 746948075 /nfs/dbraw/zinc/94/80/75/746948075.db2.gz JORKCIWCYIFKAX-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)C2CCC2)CC1 ZINC001196689682 746948080 /nfs/dbraw/zinc/94/80/80/746948080.db2.gz JORKCIWCYIFKAX-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccnn2C)CC1 ZINC001196853867 746990961 /nfs/dbraw/zinc/99/09/61/746990961.db2.gz MPWLAFVXJDJZMP-HUUCEWRRSA-N 1 2 304.438 1.913 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccnn2C)CC1 ZINC001196853867 746990964 /nfs/dbraw/zinc/99/09/64/746990964.db2.gz MPWLAFVXJDJZMP-HUUCEWRRSA-N 1 2 304.438 1.913 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH2+]Cc1nc(CC(F)(F)F)no1 ZINC001128413855 747030625 /nfs/dbraw/zinc/03/06/25/747030625.db2.gz OYAXRDRGRJGJFJ-UHFFFAOYSA-N 1 2 320.315 1.737 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@](C)(C=C)CC)CC1 ZINC001197036220 747043130 /nfs/dbraw/zinc/04/31/30/747043130.db2.gz CBNHBHUKTJSJQX-QAPCUYQASA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@](C)(C=C)CC)CC1 ZINC001197036220 747043138 /nfs/dbraw/zinc/04/31/38/747043138.db2.gz CBNHBHUKTJSJQX-QAPCUYQASA-N 1 2 319.449 1.261 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CCCC(C)C)CC1 ZINC001197236876 747114036 /nfs/dbraw/zinc/11/40/36/747114036.db2.gz UGMJNPZKPIUYIB-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CCCC(C)C)CC1 ZINC001197236876 747114040 /nfs/dbraw/zinc/11/40/40/747114040.db2.gz UGMJNPZKPIUYIB-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2cccnc2)C1 ZINC001108055527 747152901 /nfs/dbraw/zinc/15/29/01/747152901.db2.gz QFADSTIPFFNGIR-SFHVURJKSA-N 1 2 315.417 1.245 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2cccnc2)C1 ZINC001108055527 747152903 /nfs/dbraw/zinc/15/29/03/747152903.db2.gz QFADSTIPFFNGIR-SFHVURJKSA-N 1 2 315.417 1.245 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3cn(C)nn3)CC2)cc1 ZINC001003412483 747190069 /nfs/dbraw/zinc/19/00/69/747190069.db2.gz LKYBFNYDPJRRHS-UHFFFAOYSA-N 1 2 323.400 1.191 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1ccc(F)cn1 ZINC001031626041 747241063 /nfs/dbraw/zinc/24/10/63/747241063.db2.gz UMIDVRWWSIKWJF-UHFFFAOYSA-N 1 2 323.371 1.934 20 30 DDEDLO CN(c1ccc(C#N)cn1)[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001061272009 747259635 /nfs/dbraw/zinc/25/96/35/747259635.db2.gz UYUMRQFEWQYTGW-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2nccnc2C)CC1 ZINC001198331041 747454767 /nfs/dbraw/zinc/45/47/67/747454767.db2.gz VEFCQXRQXMVJPK-UHFFFAOYSA-N 1 2 318.421 1.412 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2nccnc2C)CC1 ZINC001198331041 747454768 /nfs/dbraw/zinc/45/47/68/747454768.db2.gz VEFCQXRQXMVJPK-UHFFFAOYSA-N 1 2 318.421 1.412 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccc(F)cn2)CC1 ZINC001198343659 747458827 /nfs/dbraw/zinc/45/88/27/747458827.db2.gz MAFBFBPHGLRYQR-AWEZNQCLSA-N 1 2 321.396 1.846 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccc(F)cn2)CC1 ZINC001198343659 747458829 /nfs/dbraw/zinc/45/88/29/747458829.db2.gz MAFBFBPHGLRYQR-AWEZNQCLSA-N 1 2 321.396 1.846 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]([NH2+]CC(N)=O)c1ccccc1OC ZINC001198835157 747612204 /nfs/dbraw/zinc/61/22/04/747612204.db2.gz SERSVLLWSQEAGC-CYBMUJFWSA-N 1 2 319.405 1.140 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CCCC)C2 ZINC001110519251 747630815 /nfs/dbraw/zinc/63/08/15/747630815.db2.gz NSZOCOABWPVHDI-JJXSEGSLSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CCCC)C2 ZINC001110519251 747630820 /nfs/dbraw/zinc/63/08/20/747630820.db2.gz NSZOCOABWPVHDI-JJXSEGSLSA-N 1 2 319.449 1.284 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+]Cc1nc(C2CC2)no1 ZINC001127604290 747718591 /nfs/dbraw/zinc/71/85/91/747718591.db2.gz CMKGCOSIWWZKLT-OLZOCXBDSA-N 1 2 320.393 1.134 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CC(=O)N[C@H](CC)C2)C1 ZINC001031871338 748078884 /nfs/dbraw/zinc/07/88/84/748078884.db2.gz WXDHMBUDBAAZBL-CHWSQXEVSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCCc3nn(C)cc32)C1 ZINC001031927483 748224638 /nfs/dbraw/zinc/22/46/38/748224638.db2.gz MIIBMFZDEWSZDZ-CYBMUJFWSA-N 1 2 322.840 1.641 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001108069454 748301963 /nfs/dbraw/zinc/30/19/63/748301963.db2.gz DGHNQNTXZWTVMW-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001108069454 748301964 /nfs/dbraw/zinc/30/19/64/748301964.db2.gz DGHNQNTXZWTVMW-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNC(F)(F)c1ccc(C#N)c(Cl)n1 ZINC001161946335 748338636 /nfs/dbraw/zinc/33/86/36/748338636.db2.gz WIQLRODMOYDNCL-MRVPVSSYSA-N 1 2 318.711 1.136 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2ccon2)CC1 ZINC001004396313 748398425 /nfs/dbraw/zinc/39/84/25/748398425.db2.gz MYKAAKYCPVPIAF-OAHLLOKOSA-N 1 2 302.378 1.444 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2ccon2)CC1 ZINC001004396313 748398430 /nfs/dbraw/zinc/39/84/30/748398430.db2.gz MYKAAKYCPVPIAF-OAHLLOKOSA-N 1 2 302.378 1.444 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C)c(C#N)c2)C[C@H]1C ZINC001201315766 748476007 /nfs/dbraw/zinc/47/60/07/748476007.db2.gz KVDFQAWZDZRANW-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C)c(C#N)c2)C[C@H]1C ZINC001201315766 748476013 /nfs/dbraw/zinc/47/60/13/748476013.db2.gz KVDFQAWZDZRANW-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1nonc1CC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004586440 748568903 /nfs/dbraw/zinc/56/89/03/748568903.db2.gz SLMAKFNSHRIZHP-HNNXBMFYSA-N 1 2 317.393 1.147 20 30 DDEDLO Cc1nonc1CC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004586440 748568908 /nfs/dbraw/zinc/56/89/08/748568908.db2.gz SLMAKFNSHRIZHP-HNNXBMFYSA-N 1 2 317.393 1.147 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001033178761 748726625 /nfs/dbraw/zinc/72/66/25/748726625.db2.gz YQJATQLHFGAIIK-OLZOCXBDSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001033178761 748726630 /nfs/dbraw/zinc/72/66/30/748726630.db2.gz YQJATQLHFGAIIK-OLZOCXBDSA-N 1 2 313.829 1.140 20 30 DDEDLO Cc1n[nH]c(C(=O)NCC[NH2+]Cc2ccc(C#N)c(F)c2)c1C ZINC001125039879 748804023 /nfs/dbraw/zinc/80/40/23/748804023.db2.gz XVURCJYYHJEFLO-UHFFFAOYSA-N 1 2 315.352 1.557 20 30 DDEDLO C#CCN(C(=O)[C@H]1C[C@H]1C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110616204 748829621 /nfs/dbraw/zinc/82/96/21/748829621.db2.gz YUKREPDMYDPTSP-CJNGLKHVSA-N 1 2 312.417 1.872 20 30 DDEDLO N#CCN1CC[C@@H]([C@@H]2CCCCN2C(=O)Cn2cc[nH+]c2)C1 ZINC001039253794 761892616 /nfs/dbraw/zinc/89/26/16/761892616.db2.gz OJPKGNDRDGXTDG-CABCVRRESA-N 1 2 301.394 1.110 20 30 DDEDLO C[C@]1(NC(=O)Cc2c[nH]c[nH+]2)CCN(c2ncccc2C#N)C1 ZINC001110818105 749040330 /nfs/dbraw/zinc/04/03/30/749040330.db2.gz SPLDWBMCUGYTPS-INIZCTEOSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+][C@@H](C)c1nnc(CC)o1 ZINC001125255382 749084124 /nfs/dbraw/zinc/08/41/24/749084124.db2.gz CVIXSYYBAUBAQP-LBPRGKRZSA-N 1 2 310.398 1.382 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)nn(C)c2C)C1 ZINC001108106933 749216263 /nfs/dbraw/zinc/21/62/63/749216263.db2.gz LFUIOZDQJRDNNE-INIZCTEOSA-N 1 2 306.410 1.044 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)nn(C)c2C)C1 ZINC001108106933 749216267 /nfs/dbraw/zinc/21/62/67/749216267.db2.gz LFUIOZDQJRDNNE-INIZCTEOSA-N 1 2 306.410 1.044 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001108334182 761929650 /nfs/dbraw/zinc/92/96/50/761929650.db2.gz DZBDJPCRQFYTDR-QGZVFWFLSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001108334182 761929656 /nfs/dbraw/zinc/92/96/56/761929656.db2.gz DZBDJPCRQFYTDR-QGZVFWFLSA-N 1 2 320.437 1.779 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2CN(C(=O)C#CC3CC3)CC2(C)C)o1 ZINC000995800137 749233211 /nfs/dbraw/zinc/23/32/11/749233211.db2.gz VNFJHCGWCSXTPS-ZDUSSCGKSA-N 1 2 316.405 1.372 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001033413112 749308467 /nfs/dbraw/zinc/30/84/67/749308467.db2.gz MKMADYKUPBGWRY-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001033413112 749308471 /nfs/dbraw/zinc/30/84/71/749308471.db2.gz MKMADYKUPBGWRY-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C[C@H](C=C)CC)nn2)C1 ZINC001107198669 749564100 /nfs/dbraw/zinc/56/41/00/749564100.db2.gz OKYQCFZCSOUVFM-CQSZACIVSA-N 1 2 315.421 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn(C)n1 ZINC001039374273 761975449 /nfs/dbraw/zinc/97/54/49/761975449.db2.gz MYUMASOSCVDVOH-CABCVRRESA-N 1 2 322.840 1.780 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn(C)n1 ZINC001039374273 761975451 /nfs/dbraw/zinc/97/54/51/761975451.db2.gz MYUMASOSCVDVOH-CABCVRRESA-N 1 2 322.840 1.780 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001039377598 761977797 /nfs/dbraw/zinc/97/77/97/761977797.db2.gz GVCKDWYNPXAZLH-WOPDTQHZSA-N 1 2 306.303 1.975 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001039377598 761977803 /nfs/dbraw/zinc/97/78/03/761977803.db2.gz GVCKDWYNPXAZLH-WOPDTQHZSA-N 1 2 306.303 1.975 20 30 DDEDLO CC[C@@H](OC)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032310801 749846666 /nfs/dbraw/zinc/84/66/66/749846666.db2.gz LNMQYGHSTVKIHL-YESZJQIVSA-N 1 2 313.401 1.768 20 30 DDEDLO CC[C@@H](OC)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032310801 749846667 /nfs/dbraw/zinc/84/66/67/749846667.db2.gz LNMQYGHSTVKIHL-YESZJQIVSA-N 1 2 313.401 1.768 20 30 DDEDLO C=C(C)CCC(=O)NC/C=C/CNc1[nH+]cnc2c1cnn2C ZINC001107376029 749869545 /nfs/dbraw/zinc/86/95/45/749869545.db2.gz CYZFTPXXZFYDEA-SNAWJCMRSA-N 1 2 314.393 1.804 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(OC)cc2)C1 ZINC001108363850 761994287 /nfs/dbraw/zinc/99/42/87/761994287.db2.gz FVRHDKWKYIGJGG-SFHVURJKSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(OC)cc2)C1 ZINC001108363850 761994295 /nfs/dbraw/zinc/99/42/95/761994295.db2.gz FVRHDKWKYIGJGG-SFHVURJKSA-N 1 2 318.417 1.631 20 30 DDEDLO Cc1cc(NC/C=C\CNC(=O)CCn2cc[nH+]c2)c(C#N)cn1 ZINC001107445766 749968139 /nfs/dbraw/zinc/96/81/39/749968139.db2.gz PZUMWQUXDHAVGH-IHWYPQMZSA-N 1 2 324.388 1.055 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)C(F)C(F)(F)F)s1 ZINC001127778112 749976939 /nfs/dbraw/zinc/97/69/39/749976939.db2.gz DIQNWFIPEUBPBS-SECBINFHSA-N 1 2 309.288 1.726 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)[C@@H](F)C(F)(F)F)s1 ZINC001127778112 749976942 /nfs/dbraw/zinc/97/69/42/749976942.db2.gz DIQNWFIPEUBPBS-SECBINFHSA-N 1 2 309.288 1.726 20 30 DDEDLO CN(CCCNC(=O)Cc1[nH]cc[nH+]1)c1ncc(C#N)cc1F ZINC001095617486 750084155 /nfs/dbraw/zinc/08/41/55/750084155.db2.gz HXRLNSMOMINYQN-UHFFFAOYSA-N 1 2 316.340 1.001 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001033924318 750109819 /nfs/dbraw/zinc/10/98/19/750109819.db2.gz WVAXZBIKXQHDCK-CHWSQXEVSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001033924318 750109821 /nfs/dbraw/zinc/10/98/21/750109821.db2.gz WVAXZBIKXQHDCK-CHWSQXEVSA-N 1 2 313.829 1.140 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)COc2ccc(F)cc2)C1 ZINC001108390571 762012735 /nfs/dbraw/zinc/01/27/35/762012735.db2.gz HAXURYVERXYIPK-KRWDZBQOSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)COc2ccc(F)cc2)C1 ZINC001108390571 762012744 /nfs/dbraw/zinc/01/27/44/762012744.db2.gz HAXURYVERXYIPK-KRWDZBQOSA-N 1 2 322.380 1.598 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)CCC)C2 ZINC001110970231 750264169 /nfs/dbraw/zinc/26/41/69/750264169.db2.gz ORQFIHBTHHMWJI-KFWWJZLASA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)CCC)C2 ZINC001110970231 750264174 /nfs/dbraw/zinc/26/41/74/750264174.db2.gz ORQFIHBTHHMWJI-KFWWJZLASA-N 1 2 319.449 1.284 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001034085019 750363384 /nfs/dbraw/zinc/36/33/84/750363384.db2.gz SGDPTFHTXNDXGM-AWEZNQCLSA-N 1 2 309.797 1.657 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001034085019 750363391 /nfs/dbraw/zinc/36/33/91/750363391.db2.gz SGDPTFHTXNDXGM-AWEZNQCLSA-N 1 2 309.797 1.657 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(OC)o1)C2 ZINC001111176249 750409157 /nfs/dbraw/zinc/40/91/57/750409157.db2.gz HJWQWEXHKCITGQ-MCIONIFRSA-N 1 2 318.373 1.273 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(OC)o1)C2 ZINC001111176249 750409159 /nfs/dbraw/zinc/40/91/59/750409159.db2.gz HJWQWEXHKCITGQ-MCIONIFRSA-N 1 2 318.373 1.273 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC[C@H](C)CC)C2 ZINC001111176967 750410338 /nfs/dbraw/zinc/41/03/38/750410338.db2.gz USDBGWDOOCXCGV-FPCVCCKLSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC[C@H](C)CC)C2 ZINC001111176967 750410341 /nfs/dbraw/zinc/41/03/41/750410341.db2.gz USDBGWDOOCXCGV-FPCVCCKLSA-N 1 2 319.449 1.284 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccnc2N(C)C)C1 ZINC001108395599 762038651 /nfs/dbraw/zinc/03/86/51/762038651.db2.gz UGRADUHAXODIEF-QGZVFWFLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccnc2N(C)C)C1 ZINC001108395599 762038659 /nfs/dbraw/zinc/03/86/59/762038659.db2.gz UGRADUHAXODIEF-QGZVFWFLSA-N 1 2 318.421 1.154 20 30 DDEDLO CCN(CCCNC(=O)CSCC#N)c1cc[nH+]c(C)n1 ZINC001095829576 750486758 /nfs/dbraw/zinc/48/67/58/750486758.db2.gz UMOBSLOWHHZEPO-UHFFFAOYSA-N 1 2 307.423 1.374 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)ccn2C)C1 ZINC001107898785 750591341 /nfs/dbraw/zinc/59/13/41/750591341.db2.gz LFHQXKZGXZBVOQ-KRWDZBQOSA-N 1 2 303.406 1.178 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)ccn2C)C1 ZINC001107898785 750591345 /nfs/dbraw/zinc/59/13/45/750591345.db2.gz LFHQXKZGXZBVOQ-KRWDZBQOSA-N 1 2 303.406 1.178 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001114598699 750807809 /nfs/dbraw/zinc/80/78/09/750807809.db2.gz NGSWWTTTYNNJNT-ZSOGYDGISA-N 1 2 300.406 1.796 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(C(F)F)CCC2)C1 ZINC001108158246 750875886 /nfs/dbraw/zinc/87/58/86/750875886.db2.gz CYYVXWXTOUVBNG-CQSZACIVSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(C(F)F)CCC2)C1 ZINC001108158246 750875895 /nfs/dbraw/zinc/87/58/95/750875895.db2.gz CYYVXWXTOUVBNG-CQSZACIVSA-N 1 2 302.365 1.815 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2n1CCCCC2 ZINC001032472800 750951383 /nfs/dbraw/zinc/95/13/83/750951383.db2.gz RJAYGGRJJYVIOG-GJZGRUSLSA-N 1 2 312.417 1.532 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2n1CCCCC2 ZINC001032472800 750951386 /nfs/dbraw/zinc/95/13/86/750951386.db2.gz RJAYGGRJJYVIOG-GJZGRUSLSA-N 1 2 312.417 1.532 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001114711598 751012240 /nfs/dbraw/zinc/01/22/40/751012240.db2.gz XOQMWFUNCGDVQQ-VIKVFOODSA-N 1 2 302.378 1.118 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001114711598 751012245 /nfs/dbraw/zinc/01/22/45/751012245.db2.gz XOQMWFUNCGDVQQ-VIKVFOODSA-N 1 2 302.378 1.118 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cnns4)C[C@H]32)CC1 ZINC001114797574 751091859 /nfs/dbraw/zinc/09/18/59/751091859.db2.gz VNGYEAQAKVKZKM-WDNDVIMCSA-N 1 2 318.446 1.831 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cnns4)C[C@H]32)CC1 ZINC001114797574 751091865 /nfs/dbraw/zinc/09/18/65/751091865.db2.gz VNGYEAQAKVKZKM-WDNDVIMCSA-N 1 2 318.446 1.831 20 30 DDEDLO C#Cc1ccc(C(=O)NCCCN(CC)c2cc[nH+]c(C)n2)nc1 ZINC001095899292 751195971 /nfs/dbraw/zinc/19/59/71/751195971.db2.gz WMWOSNWUIVVMQE-UHFFFAOYSA-N 1 2 323.400 1.808 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C(C)C)c1Cl ZINC001032542971 751237249 /nfs/dbraw/zinc/23/72/49/751237249.db2.gz AMYINUYEFWFNLL-RYUDHWBXSA-N 1 2 306.797 1.649 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C(C)C)c1Cl ZINC001032542971 751237256 /nfs/dbraw/zinc/23/72/56/751237256.db2.gz AMYINUYEFWFNLL-RYUDHWBXSA-N 1 2 306.797 1.649 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+][C@H](C)c2nnc(CC)o2)CCC1 ZINC001129124639 751298222 /nfs/dbraw/zinc/29/82/22/751298222.db2.gz BJBGYWDZRJNHRW-GFCCVEGCSA-N 1 2 304.394 1.592 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[C@@H]([NH2+]Cc3csnn3)C2)[nH]1 ZINC000998963394 752390901 /nfs/dbraw/zinc/39/09/01/752390901.db2.gz XKCGOSGFYKTYFI-LLVKDONJSA-N 1 2 316.390 1.132 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001008167085 752588945 /nfs/dbraw/zinc/58/89/45/752588945.db2.gz DSTPVLLZFAJJRL-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001008167085 752588948 /nfs/dbraw/zinc/58/89/48/752588948.db2.gz DSTPVLLZFAJJRL-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001032658349 752626803 /nfs/dbraw/zinc/62/68/03/752626803.db2.gz GVFPICZDMOCKPR-ZOBUZTSGSA-N 1 2 314.360 1.438 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001032658349 752626809 /nfs/dbraw/zinc/62/68/09/752626809.db2.gz GVFPICZDMOCKPR-ZOBUZTSGSA-N 1 2 314.360 1.438 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001008249852 752634868 /nfs/dbraw/zinc/63/48/68/752634868.db2.gz FTDRMKCOHPBEMP-WFASDCNBSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001008249852 752634870 /nfs/dbraw/zinc/63/48/70/752634870.db2.gz FTDRMKCOHPBEMP-WFASDCNBSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001008337021 752680312 /nfs/dbraw/zinc/68/03/12/752680312.db2.gz BYERGGFQQJSAKI-RYUDHWBXSA-N 1 2 323.828 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001008337021 752680316 /nfs/dbraw/zinc/68/03/16/752680316.db2.gz BYERGGFQQJSAKI-RYUDHWBXSA-N 1 2 323.828 1.558 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1ccncn1 ZINC001008923994 752984619 /nfs/dbraw/zinc/98/46/19/752984619.db2.gz KNWWOHBHSZTIEF-KRWDZBQOSA-N 1 2 320.396 1.723 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1ccncn1 ZINC001008923994 752984623 /nfs/dbraw/zinc/98/46/23/752984623.db2.gz KNWWOHBHSZTIEF-KRWDZBQOSA-N 1 2 320.396 1.723 20 30 DDEDLO N#Cc1cccnc1N1C[C@@H]2C[C@H]1CN2C(=O)CCn1cc[nH+]c1 ZINC001062553099 753107640 /nfs/dbraw/zinc/10/76/40/753107640.db2.gz SZSRYLJTAAZQCD-GJZGRUSLSA-N 1 2 322.372 1.030 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccncc2C)[C@@H](O)C1 ZINC001090266847 753319114 /nfs/dbraw/zinc/31/91/14/753319114.db2.gz XURKBUZEVHZWEL-CABCVRRESA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccncc2C)[C@@H](O)C1 ZINC001090266847 753319118 /nfs/dbraw/zinc/31/91/18/753319118.db2.gz XURKBUZEVHZWEL-CABCVRRESA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2snnc2C(C)C)C1 ZINC001077881349 753332679 /nfs/dbraw/zinc/33/26/79/753332679.db2.gz RULDBZGJNKRBJG-GHMZBOCLSA-N 1 2 310.423 1.013 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2snnc2C(C)C)C1 ZINC001077881349 753332683 /nfs/dbraw/zinc/33/26/83/753332683.db2.gz RULDBZGJNKRBJG-GHMZBOCLSA-N 1 2 310.423 1.013 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H](CNc3cc[nH+]c(C)n3)C2)cn1 ZINC001060898676 753348665 /nfs/dbraw/zinc/34/86/65/753348665.db2.gz VSAHMNZLZNDZCJ-CQSZACIVSA-N 1 2 321.384 1.736 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(C)nn(C(C)C)c1C ZINC001032724980 753358477 /nfs/dbraw/zinc/35/84/77/753358477.db2.gz JYQMMKYRRLOFLL-HOTGVXAUSA-N 1 2 314.433 1.542 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(C)nn(C(C)C)c1C ZINC001032724980 753358483 /nfs/dbraw/zinc/35/84/83/753358483.db2.gz JYQMMKYRRLOFLL-HOTGVXAUSA-N 1 2 314.433 1.542 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ccc(C#N)cn1 ZINC001039767408 762208286 /nfs/dbraw/zinc/20/82/86/762208286.db2.gz KYUSMZSCUYQKTJ-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ccc(C#N)cn1 ZINC001039767407 762208345 /nfs/dbraw/zinc/20/83/45/762208345.db2.gz KYUSMZSCUYQKTJ-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ccc(C#N)nc1 ZINC001039767596 762208435 /nfs/dbraw/zinc/20/84/35/762208435.db2.gz OEGWBPOSHSWONN-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2ccsc2)C1 ZINC001107997865 753396637 /nfs/dbraw/zinc/39/66/37/753396637.db2.gz FKHNKDYZLUQXNL-KRWDZBQOSA-N 1 2 320.458 1.911 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2ccsc2)C1 ZINC001107997865 753396638 /nfs/dbraw/zinc/39/66/38/753396638.db2.gz FKHNKDYZLUQXNL-KRWDZBQOSA-N 1 2 320.458 1.911 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc(NC(C)=O)c1 ZINC001032741265 753417868 /nfs/dbraw/zinc/41/78/68/753417868.db2.gz FASBKUYCDKWEBT-IRXDYDNUSA-N 1 2 311.385 1.106 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc(NC(C)=O)c1 ZINC001032741265 753417870 /nfs/dbraw/zinc/41/78/70/753417870.db2.gz FASBKUYCDKWEBT-IRXDYDNUSA-N 1 2 311.385 1.106 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCCC23CC3)C1 ZINC001108023755 753569506 /nfs/dbraw/zinc/56/95/06/753569506.db2.gz FONYIVVSMIOAFP-RDJZCZTQSA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCCC23CC3)C1 ZINC001108023755 753569510 /nfs/dbraw/zinc/56/95/10/753569510.db2.gz FONYIVVSMIOAFP-RDJZCZTQSA-N 1 2 304.434 1.797 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)Cn2cccn2)C1 ZINC001010194356 753672014 /nfs/dbraw/zinc/67/20/14/753672014.db2.gz LCSRDXWZHNMHBW-INIZCTEOSA-N 1 2 309.373 1.145 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)Cn2cccn2)C1 ZINC001010194356 753672018 /nfs/dbraw/zinc/67/20/18/753672018.db2.gz LCSRDXWZHNMHBW-INIZCTEOSA-N 1 2 309.373 1.145 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cn[nH]c3)C2)cc1F ZINC001010303220 753748799 /nfs/dbraw/zinc/74/87/99/753748799.db2.gz PRDGIWZGQAPYGH-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cn[nH]c3)C2)cc1F ZINC001010303220 753748805 /nfs/dbraw/zinc/74/88/05/753748805.db2.gz PRDGIWZGQAPYGH-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001010381386 753839149 /nfs/dbraw/zinc/83/91/49/753839149.db2.gz RCMADGZMZFMKQK-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001010381386 753839157 /nfs/dbraw/zinc/83/91/57/753839157.db2.gz RCMADGZMZFMKQK-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(OC)c(Cl)c1 ZINC001032797849 753983910 /nfs/dbraw/zinc/98/39/10/753983910.db2.gz GHSMDFRTIGQQRO-STQMWFEESA-N 1 2 319.792 1.666 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(OC)c(Cl)c1 ZINC001032797849 753983915 /nfs/dbraw/zinc/98/39/15/753983915.db2.gz GHSMDFRTIGQQRO-STQMWFEESA-N 1 2 319.792 1.666 20 30 DDEDLO N#Cc1cnccc1N1CCCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001063234182 754054523 /nfs/dbraw/zinc/05/45/23/754054523.db2.gz AJHBEEACCGQELC-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001010642367 754074236 /nfs/dbraw/zinc/07/42/36/754074236.db2.gz IYURQRMVUKQGRH-INIZCTEOSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001010642367 754074240 /nfs/dbraw/zinc/07/42/40/754074240.db2.gz IYURQRMVUKQGRH-INIZCTEOSA-N 1 2 319.368 1.762 20 30 DDEDLO CC(C)(C)C#CC(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063517499 754204728 /nfs/dbraw/zinc/20/47/28/754204728.db2.gz HSFZBMAYHGAHTH-UHFFFAOYSA-N 1 2 316.405 1.088 20 30 DDEDLO Cc1nc(NC[C@H]2CCCN2C(=O)Cc2c[nH+]c[nH]2)ccc1C#N ZINC001063566737 754238155 /nfs/dbraw/zinc/23/81/55/754238155.db2.gz HSXZJNXRCOVYST-OAHLLOKOSA-N 1 2 324.388 1.630 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COC1CCC(C)CC1 ZINC001032815425 754350621 /nfs/dbraw/zinc/35/06/21/754350621.db2.gz YKXNXEANJNNHJD-YZUHTNEWSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COC1CCC(C)CC1 ZINC001032815425 754350632 /nfs/dbraw/zinc/35/06/32/754350632.db2.gz YKXNXEANJNNHJD-YZUHTNEWSA-N 1 2 304.434 1.890 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@H]1Nc1ncccc1C#N ZINC001063802059 754353998 /nfs/dbraw/zinc/35/39/98/754353998.db2.gz GTKNDMYXHCOQBC-IUODEOHRSA-N 1 2 324.388 1.568 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCC[C@@H]2NC(=O)Cc2c[nH]c[nH+]2)nc1 ZINC001064140995 754537614 /nfs/dbraw/zinc/53/76/14/754537614.db2.gz PVMQBUUNNFWFBP-ZFWWWQNUSA-N 1 2 324.388 1.616 20 30 DDEDLO Cc1nc(NC[C@@H]2CCCN2C(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001064927475 754960270 /nfs/dbraw/zinc/96/02/70/754960270.db2.gz DSFJAWGESVOOIO-HNNXBMFYSA-N 1 2 324.388 1.561 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCOCC2)C1 ZINC001079639872 755509149 /nfs/dbraw/zinc/50/91/49/755509149.db2.gz VSXGQNHOCACOEE-KWCYVHTRSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCOCC2)C1 ZINC001079639872 755509151 /nfs/dbraw/zinc/50/91/51/755509151.db2.gz VSXGQNHOCACOEE-KWCYVHTRSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001014102229 755594694 /nfs/dbraw/zinc/59/46/94/755594694.db2.gz JKBVGTMCBRUXCE-GXTWGEPZSA-N 1 2 316.405 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001079809118 755597551 /nfs/dbraw/zinc/59/75/51/755597551.db2.gz MROIVTXBIHVHFW-PRHODGIISA-N 1 2 320.784 1.156 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001079809118 755597553 /nfs/dbraw/zinc/59/75/53/755597553.db2.gz MROIVTXBIHVHFW-PRHODGIISA-N 1 2 320.784 1.156 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080032395 755692405 /nfs/dbraw/zinc/69/24/05/755692405.db2.gz ZYHAAJJWQOJAIC-ZIAGYGMSSA-N 1 2 318.421 1.401 20 30 DDEDLO Cc1nnccc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015117763 756131745 /nfs/dbraw/zinc/13/17/45/756131745.db2.gz GDYGGVYADYXJAA-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nnccc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015117763 756131753 /nfs/dbraw/zinc/13/17/53/756131753.db2.gz GDYGGVYADYXJAA-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ncoc2C(C)C)C[C@H]1O ZINC001099727910 756435801 /nfs/dbraw/zinc/43/58/01/756435801.db2.gz SXSIDIYBTGWIIX-UKRRQHHQSA-N 1 2 321.421 1.816 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ncoc2C(C)C)C[C@H]1O ZINC001099727910 756435804 /nfs/dbraw/zinc/43/58/04/756435804.db2.gz SXSIDIYBTGWIIX-UKRRQHHQSA-N 1 2 321.421 1.816 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(OC)nn3)C2)C1 ZINC001015673652 756456087 /nfs/dbraw/zinc/45/60/87/756456087.db2.gz NLPFAZNSUHFYDL-ZDUSSCGKSA-N 1 2 316.405 1.532 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3ccc(OC)nn3)C2)C1 ZINC001015673652 756456090 /nfs/dbraw/zinc/45/60/90/756456090.db2.gz NLPFAZNSUHFYDL-ZDUSSCGKSA-N 1 2 316.405 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2nccn3ccnc23)C1 ZINC001015719223 756492129 /nfs/dbraw/zinc/49/21/29/756492129.db2.gz JHTOWBWDOTVRND-NSHDSACASA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2nccn3ccnc23)C1 ZINC001015719223 756492132 /nfs/dbraw/zinc/49/21/32/756492132.db2.gz JHTOWBWDOTVRND-NSHDSACASA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001015732799 756504656 /nfs/dbraw/zinc/50/46/56/756504656.db2.gz FZGZCVLPSLXHMK-MELADBBJSA-N 1 2 308.813 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001015732799 756504662 /nfs/dbraw/zinc/50/46/62/756504662.db2.gz FZGZCVLPSLXHMK-MELADBBJSA-N 1 2 308.813 1.467 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc(COC)cs2)[C@H](OC)C1 ZINC001081902494 756526656 /nfs/dbraw/zinc/52/66/56/756526656.db2.gz ZUVULAVTAVOBGJ-CHWSQXEVSA-N 1 2 310.419 1.510 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc(COC)cs2)[C@H](OC)C1 ZINC001081902494 756526658 /nfs/dbraw/zinc/52/66/58/756526658.db2.gz ZUVULAVTAVOBGJ-CHWSQXEVSA-N 1 2 310.419 1.510 20 30 DDEDLO N#CCN1CCC[C@@]2(CCN(C(=O)c3cccc4[nH+]ccn43)C2)C1 ZINC001040292979 762478797 /nfs/dbraw/zinc/47/87/97/762478797.db2.gz NQZJKTDOXBVUDS-GOSISDBHSA-N 1 2 323.400 1.786 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2ncc3ccccc32)C1 ZINC001015866124 756599936 /nfs/dbraw/zinc/59/99/36/756599936.db2.gz MDQKHNWQNWGKTH-AWEZNQCLSA-N 1 2 318.808 1.979 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2ncc3ccccc32)C1 ZINC001015866124 756599940 /nfs/dbraw/zinc/59/99/40/756599940.db2.gz MDQKHNWQNWGKTH-AWEZNQCLSA-N 1 2 318.808 1.979 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001082148485 756653309 /nfs/dbraw/zinc/65/33/09/756653309.db2.gz YTDUIMJJEGMWHL-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CCC3CCCC3)CC2=O)C1 ZINC001108500209 762483640 /nfs/dbraw/zinc/48/36/40/762483640.db2.gz MTSCLDIDODUNLE-OAHLLOKOSA-N 1 2 319.449 1.544 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](C)[C@@H](Nc2ncccc2C#N)C1 ZINC001067126203 756662516 /nfs/dbraw/zinc/66/25/16/756662516.db2.gz BDRKFBCZIUAHDH-ABAIWWIYSA-N 1 2 324.388 1.486 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc([C@@H](C)CC)no2)[C@H](OC)C1 ZINC001082212113 756686113 /nfs/dbraw/zinc/68/61/13/756686113.db2.gz XESDGEPFMAWRJB-NJZAAPMLSA-N 1 2 305.378 1.250 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc([C@@H](C)CC)no2)[C@H](OC)C1 ZINC001082212113 756686117 /nfs/dbraw/zinc/68/61/17/756686117.db2.gz XESDGEPFMAWRJB-NJZAAPMLSA-N 1 2 305.378 1.250 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001015988074 756699850 /nfs/dbraw/zinc/69/98/50/756699850.db2.gz RRQJKNHHRGRHEW-DGCLKSJQSA-N 1 2 308.813 1.458 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001015988074 756699853 /nfs/dbraw/zinc/69/98/53/756699853.db2.gz RRQJKNHHRGRHEW-DGCLKSJQSA-N 1 2 308.813 1.458 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cnc(C(C)C)s2)[C@H](OC)C1 ZINC001082403515 756772389 /nfs/dbraw/zinc/77/23/89/756772389.db2.gz FTEKDCINCVDIHW-VXGBXAGGSA-N 1 2 309.435 1.882 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cnc(C(C)C)s2)[C@H](OC)C1 ZINC001082403515 756772392 /nfs/dbraw/zinc/77/23/92/756772392.db2.gz FTEKDCINCVDIHW-VXGBXAGGSA-N 1 2 309.435 1.882 20 30 DDEDLO CC(=O)N1CCO[C@@H]2C[N@H+](Cc3ccc(F)c(C#N)c3)C[C@@H]21 ZINC001082970425 756991261 /nfs/dbraw/zinc/99/12/61/756991261.db2.gz LYNRRRIWSWZMNC-JKSUJKDBSA-N 1 2 303.337 1.129 20 30 DDEDLO CC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccc(F)c(C#N)c3)C[C@@H]21 ZINC001082970425 756991267 /nfs/dbraw/zinc/99/12/67/756991267.db2.gz LYNRRRIWSWZMNC-JKSUJKDBSA-N 1 2 303.337 1.129 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCO[C@@H]2C[N@H+](Cc3ccccc3F)C[C@@H]21 ZINC001083025867 757064228 /nfs/dbraw/zinc/06/42/28/757064228.db2.gz FBXNUIOVANQLAW-UHOFOFEASA-N 1 2 317.364 1.397 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccccc3F)C[C@@H]21 ZINC001083025867 757064236 /nfs/dbraw/zinc/06/42/36/757064236.db2.gz FBXNUIOVANQLAW-UHOFOFEASA-N 1 2 317.364 1.397 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccn4ccccc34)[C@H]2C1 ZINC001083139598 757153009 /nfs/dbraw/zinc/15/30/09/757153009.db2.gz KGGWYRDIQYUBQU-DLBZAZTESA-N 1 2 309.369 1.098 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccn4ccccc34)[C@H]2C1 ZINC001083139598 757153014 /nfs/dbraw/zinc/15/30/14/757153014.db2.gz KGGWYRDIQYUBQU-DLBZAZTESA-N 1 2 309.369 1.098 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nc3cnccc3s1)C2 ZINC001097500491 757197674 /nfs/dbraw/zinc/19/76/74/757197674.db2.gz HHDRCXWQVMVXER-WZRBSPASSA-N 1 2 312.398 1.660 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nc3cnccc3s1)C2 ZINC001097500491 757197679 /nfs/dbraw/zinc/19/76/79/757197679.db2.gz HHDRCXWQVMVXER-WZRBSPASSA-N 1 2 312.398 1.660 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3ccccc3C#N)C[C@H]21 ZINC001084236753 757437649 /nfs/dbraw/zinc/43/76/49/757437649.db2.gz PWCCKBNXVHBPTE-KBRIMQKVSA-N 1 2 308.385 1.751 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccccc3C#N)C[C@H]21 ZINC001084236753 757437654 /nfs/dbraw/zinc/43/76/54/757437654.db2.gz PWCCKBNXVHBPTE-KBRIMQKVSA-N 1 2 308.385 1.751 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3cncc(F)c3)C[C@H]21 ZINC001084236319 757438060 /nfs/dbraw/zinc/43/80/60/757438060.db2.gz DTUJNERPTWPKNF-UXIGCNINSA-N 1 2 302.353 1.413 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3cncc(F)c3)C[C@H]21 ZINC001084236319 757438067 /nfs/dbraw/zinc/43/80/67/757438067.db2.gz DTUJNERPTWPKNF-UXIGCNINSA-N 1 2 302.353 1.413 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084334145 757495972 /nfs/dbraw/zinc/49/59/72/757495972.db2.gz QMFADQJGNNVVQI-WOJBJXKFSA-N 1 2 318.420 1.984 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084334145 757495980 /nfs/dbraw/zinc/49/59/80/757495980.db2.gz QMFADQJGNNVVQI-WOJBJXKFSA-N 1 2 318.420 1.984 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3ccncn3)[C@@H]2C1 ZINC001084380065 757528816 /nfs/dbraw/zinc/52/88/16/757528816.db2.gz CZWFWNIQRXFETE-UKRRQHHQSA-N 1 2 320.824 1.694 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3ccncn3)[C@@H]2C1 ZINC001084380065 757528825 /nfs/dbraw/zinc/52/88/25/757528825.db2.gz CZWFWNIQRXFETE-UKRRQHHQSA-N 1 2 320.824 1.694 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)/C=C(\C)C3CC3)CC2=O)C1 ZINC001108513099 762566425 /nfs/dbraw/zinc/56/64/25/762566425.db2.gz ALHJPAACXFYCFY-NNSJBKGDSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H](C)C(C)C)CC2=O)C1 ZINC001108518367 762567583 /nfs/dbraw/zinc/56/75/83/762567583.db2.gz XZHLMKCKRHQDER-KGLIPLIRSA-N 1 2 307.438 1.256 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)Nc1ccc(C#N)cn1 ZINC001098294020 757871033 /nfs/dbraw/zinc/87/10/33/757871033.db2.gz GNNBQBFJQVSEGW-MRVWCRGKSA-N 1 2 324.388 1.407 20 30 DDEDLO CC[C@H](F)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C#N)[nH]1 ZINC001017483719 757967036 /nfs/dbraw/zinc/96/70/36/757967036.db2.gz WDAZMVUUFGPPNU-FPMFFAJLSA-N 1 2 304.369 1.923 20 30 DDEDLO CC[C@H](F)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C#N)[nH]1 ZINC001017483719 757967042 /nfs/dbraw/zinc/96/70/42/757967042.db2.gz WDAZMVUUFGPPNU-FPMFFAJLSA-N 1 2 304.369 1.923 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1nc(CC)c[nH]1 ZINC001017628528 758097685 /nfs/dbraw/zinc/09/76/85/758097685.db2.gz BCMGYIAXVJRIIP-GASCZTMLSA-N 1 2 300.406 1.213 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1nc(CC)c[nH]1 ZINC001017628528 758097700 /nfs/dbraw/zinc/09/77/00/758097700.db2.gz BCMGYIAXVJRIIP-GASCZTMLSA-N 1 2 300.406 1.213 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(CC(F)F)n1 ZINC001017641734 758110498 /nfs/dbraw/zinc/11/04/98/758110498.db2.gz ODTNSXMCTFGOAI-TXEJJXNPSA-N 1 2 310.348 1.623 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(CC(F)F)n1 ZINC001017641734 758110513 /nfs/dbraw/zinc/11/05/13/758110513.db2.gz ODTNSXMCTFGOAI-TXEJJXNPSA-N 1 2 310.348 1.623 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccsc1COC ZINC001017663362 758131995 /nfs/dbraw/zinc/13/19/95/758131995.db2.gz SCQYLGLYLUBAKZ-BETUJISGSA-N 1 2 304.415 1.817 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccsc1COC ZINC001017663362 758132001 /nfs/dbraw/zinc/13/20/01/758132001.db2.gz SCQYLGLYLUBAKZ-BETUJISGSA-N 1 2 304.415 1.817 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCn2nccc21 ZINC001017675607 758145363 /nfs/dbraw/zinc/14/53/63/758145363.db2.gz JEBVBLHDNAQSNP-XHSDSOJGSA-N 1 2 312.417 1.459 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCn2nccc21 ZINC001017675607 758145372 /nfs/dbraw/zinc/14/53/72/758145372.db2.gz JEBVBLHDNAQSNP-XHSDSOJGSA-N 1 2 312.417 1.459 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1-c1ccoc1 ZINC001017766370 758216741 /nfs/dbraw/zinc/21/67/41/758216741.db2.gz XDKUQEOLAXOCPP-GASCZTMLSA-N 1 2 324.384 1.602 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1-c1ccoc1 ZINC001017766370 758216754 /nfs/dbraw/zinc/21/67/54/758216754.db2.gz XDKUQEOLAXOCPP-GASCZTMLSA-N 1 2 324.384 1.602 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC[C@@H]1CCOC1)CCO2 ZINC001053215571 758272843 /nfs/dbraw/zinc/27/28/43/758272843.db2.gz ZSHGPGBVUDHTOL-OAHLLOKOSA-N 1 2 308.422 1.292 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1coc(OC)n1)CCO2 ZINC001053360013 758400871 /nfs/dbraw/zinc/40/08/71/758400871.db2.gz BNMBMHFRTWGRHN-UHFFFAOYSA-N 1 2 321.377 1.176 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2c1ncn2C ZINC001017933859 758405551 /nfs/dbraw/zinc/40/55/51/758405551.db2.gz ZUWOUNCTRATDAF-OKILXGFUSA-N 1 2 323.400 1.280 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2c1ncn2C ZINC001017933859 758405553 /nfs/dbraw/zinc/40/55/53/758405553.db2.gz ZUWOUNCTRATDAF-OKILXGFUSA-N 1 2 323.400 1.280 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108196045 758520185 /nfs/dbraw/zinc/52/01/85/758520185.db2.gz QWIVWUJXQYARTL-HNNXBMFYSA-N 1 2 310.373 1.336 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108196045 758520195 /nfs/dbraw/zinc/52/01/95/758520195.db2.gz QWIVWUJXQYARTL-HNNXBMFYSA-N 1 2 310.373 1.336 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1c(C)n[nH]c1C ZINC001018072810 758523063 /nfs/dbraw/zinc/52/30/63/758523063.db2.gz IUKXIEXIFGCHRO-DFBGVHRSSA-N 1 2 300.406 1.438 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1c(C)n[nH]c1C ZINC001018072810 758523066 /nfs/dbraw/zinc/52/30/66/758523066.db2.gz IUKXIEXIFGCHRO-DFBGVHRSSA-N 1 2 300.406 1.438 20 30 DDEDLO C[C@@]1(CNc2ccc(C#N)nc2)CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065445781 758552071 /nfs/dbraw/zinc/55/20/71/758552071.db2.gz UGDMFPMPFVFZLQ-KRWDZBQOSA-N 1 2 324.388 1.570 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnc(C)[nH]1)O2 ZINC001053584054 758599087 /nfs/dbraw/zinc/59/90/87/758599087.db2.gz LOUSSBVOQXXDHK-ZDUSSCGKSA-N 1 2 304.394 1.257 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)C1CC(F)(F)C1)O2 ZINC001053586597 758601573 /nfs/dbraw/zinc/60/15/73/758601573.db2.gz VQBFJDGYPNWHOH-CYBMUJFWSA-N 1 2 314.376 1.957 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2c(cccc2C)O1 ZINC001018181661 758608269 /nfs/dbraw/zinc/60/82/69/758608269.db2.gz REJDYQCUEAFKKT-FCEWJHQRSA-N 1 2 324.424 1.997 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2c(cccc2C)O1 ZINC001018181661 758608275 /nfs/dbraw/zinc/60/82/75/758608275.db2.gz REJDYQCUEAFKKT-FCEWJHQRSA-N 1 2 324.424 1.997 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1nc(C)sc1C)O2 ZINC001053614903 758632365 /nfs/dbraw/zinc/63/23/65/758632365.db2.gz UUPREVGMUWQLKQ-CYBMUJFWSA-N 1 2 321.446 1.909 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1[nH]c(C)cc1C)O2 ZINC001053618153 758636328 /nfs/dbraw/zinc/63/63/28/758636328.db2.gz QTPPGFMBTVBGKU-CQSZACIVSA-N 1 2 303.406 1.781 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCO[C@H]1C1CC1)O2 ZINC001053638835 758653969 /nfs/dbraw/zinc/65/39/69/758653969.db2.gz XVFCYMHJZFWBPR-XHSDSOJGSA-N 1 2 320.433 1.337 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2c(cccc2C)n1 ZINC001018252044 758668442 /nfs/dbraw/zinc/66/84/42/758668442.db2.gz NBSIGMHUBHWVGZ-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2c(cccc2C)n1 ZINC001018252044 758668444 /nfs/dbraw/zinc/66/84/44/758668444.db2.gz NBSIGMHUBHWVGZ-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c[nH]c(=O)cc1C)O2 ZINC001053662360 758675446 /nfs/dbraw/zinc/67/54/46/758675446.db2.gz KNGKTCNWMWXRCO-CYBMUJFWSA-N 1 2 317.389 1.245 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)[C@@H]3C[C@H]3C)CC2)C1 ZINC001065694743 758711527 /nfs/dbraw/zinc/71/15/27/758711527.db2.gz OSGDQNPNLIUXRJ-BZUAXINKSA-N 1 2 319.449 1.354 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)[C@H]3C[C@@H]3C)CC2)C1 ZINC001065694746 758711730 /nfs/dbraw/zinc/71/17/30/758711730.db2.gz OSGDQNPNLIUXRJ-XHSDSOJGSA-N 1 2 319.449 1.354 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)=C1CCCC1)CO2 ZINC001053772473 758794238 /nfs/dbraw/zinc/79/42/38/758794238.db2.gz PDKHCWQDDMKAFN-INIZCTEOSA-N 1 2 302.418 1.860 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1csnc1C)CO2 ZINC001053780337 758804256 /nfs/dbraw/zinc/80/42/56/758804256.db2.gz HTUAAFOWCFFECC-LBPRGKRZSA-N 1 2 305.403 1.048 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C1CC(OCC)C1)CO2 ZINC001053788301 758813537 /nfs/dbraw/zinc/81/35/37/758813537.db2.gz KIKRTIIEWJUEAN-SLTAFYQDSA-N 1 2 308.422 1.337 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(COC)o1)CO2 ZINC001053866001 758900281 /nfs/dbraw/zinc/90/02/81/758900281.db2.gz OSZGARWQVNDLMF-ZDUSSCGKSA-N 1 2 318.373 1.022 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc(Cl)c1)CO2 ZINC001053990489 759035464 /nfs/dbraw/zinc/03/54/64/759035464.db2.gz CGRWESDJZULQBM-HNNXBMFYSA-N 1 2 318.804 1.936 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)c(C)s1)CO2 ZINC001054002251 759048220 /nfs/dbraw/zinc/04/82/20/759048220.db2.gz VMZYZDFVTACRNX-CQSZACIVSA-N 1 2 318.442 1.961 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)noc1CC)CO2 ZINC001054006363 759053300 /nfs/dbraw/zinc/05/33/00/759053300.db2.gz YTJVMBVCLMLFHO-ZDUSSCGKSA-N 1 2 317.389 1.142 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccn1CC)CO2 ZINC001054007775 759054337 /nfs/dbraw/zinc/05/43/37/759054337.db2.gz MGVWFNOFIYQOHG-CQSZACIVSA-N 1 2 303.406 1.657 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc3c1CCC3)CO2 ZINC001054020257 759070403 /nfs/dbraw/zinc/07/04/03/759070403.db2.gz KFXQBZKFAVUZHA-INIZCTEOSA-N 1 2 324.424 1.772 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1n[nH]cc1C ZINC001054041773 759100123 /nfs/dbraw/zinc/10/01/23/759100123.db2.gz STDBRIRPGDNYEY-INIZCTEOSA-N 1 2 308.385 1.508 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1n[nH]cc1C ZINC001054041773 759100128 /nfs/dbraw/zinc/10/01/28/759100128.db2.gz STDBRIRPGDNYEY-INIZCTEOSA-N 1 2 308.385 1.508 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066226161 759136348 /nfs/dbraw/zinc/13/63/48/759136348.db2.gz ORHIHNGCYXIIDO-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO COC(=O)/C=C(/C)C[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000824133568 759153223 /nfs/dbraw/zinc/15/32/23/759153223.db2.gz AGALPSUUZZXDGS-LCYFTJDESA-N 1 2 300.362 1.195 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n[nH]1 ZINC001085502371 759562392 /nfs/dbraw/zinc/56/23/92/759562392.db2.gz WZIYAJRGVLVYRI-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)n[nH]1 ZINC001085502371 759562395 /nfs/dbraw/zinc/56/23/95/759562395.db2.gz WZIYAJRGVLVYRI-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([NH2+]Cc3nnc(C4CC4)o3)C2)C1 ZINC001019353654 759840751 /nfs/dbraw/zinc/84/07/51/759840751.db2.gz VUFWNBPBIVWLON-ZDUSSCGKSA-N 1 2 316.405 1.994 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C(C)C)CC2)[C@@H](O)C1 ZINC001099996789 760283313 /nfs/dbraw/zinc/28/33/13/760283313.db2.gz OYXQUWZIEKEGTN-OLZOCXBDSA-N 1 2 300.830 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C(C)C)CC2)[C@@H](O)C1 ZINC001099996789 760283318 /nfs/dbraw/zinc/28/33/18/760283318.db2.gz OYXQUWZIEKEGTN-OLZOCXBDSA-N 1 2 300.830 1.727 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2ccncc2)on1 ZINC001085792880 760250988 /nfs/dbraw/zinc/25/09/88/760250988.db2.gz WMDKOIBQMSFJQB-AWEZNQCLSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2ccncc2)on1 ZINC001085792880 760250990 /nfs/dbraw/zinc/25/09/90/760250990.db2.gz WMDKOIBQMSFJQB-AWEZNQCLSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1OCCC ZINC001085799640 760269448 /nfs/dbraw/zinc/26/94/48/760269448.db2.gz WUVSTQCQIRDLBU-AWEZNQCLSA-N 1 2 301.390 1.650 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1OCCC ZINC001085799640 760269451 /nfs/dbraw/zinc/26/94/51/760269451.db2.gz WUVSTQCQIRDLBU-AWEZNQCLSA-N 1 2 301.390 1.650 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001085826901 760305380 /nfs/dbraw/zinc/30/53/80/760305380.db2.gz BWPXPSFDOQOQOO-XHSDSOJGSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001085826901 760305390 /nfs/dbraw/zinc/30/53/90/760305390.db2.gz BWPXPSFDOQOQOO-XHSDSOJGSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001046810221 767944180 /nfs/dbraw/zinc/94/41/80/767944180.db2.gz IQZGWPKGISRIAE-DOMZBBRYSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001046810221 767944181 /nfs/dbraw/zinc/94/41/81/767944181.db2.gz IQZGWPKGISRIAE-DOMZBBRYSA-N 1 2 313.829 1.330 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(CC(C)C)c1C ZINC001085950265 760594013 /nfs/dbraw/zinc/59/40/13/760594013.db2.gz AUDHXADFVNKAAU-OAHLLOKOSA-N 1 2 302.422 1.627 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(CC(C)C)c1C ZINC001085950265 760594016 /nfs/dbraw/zinc/59/40/16/760594016.db2.gz AUDHXADFVNKAAU-OAHLLOKOSA-N 1 2 302.422 1.627 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCS1(=O)=O ZINC001085979938 760648838 /nfs/dbraw/zinc/64/88/38/760648838.db2.gz GBJCFROWONPGMS-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCS1(=O)=O ZINC001085979938 760648844 /nfs/dbraw/zinc/64/88/44/760648844.db2.gz GBJCFROWONPGMS-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c2c(nn1C)CCCC2 ZINC001038296737 760983861 /nfs/dbraw/zinc/98/38/61/760983861.db2.gz QCKHMEUFEZSZLX-ZDUSSCGKSA-N 1 2 300.406 1.126 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c2c(nn1C)CCCC2 ZINC001038296737 760983870 /nfs/dbraw/zinc/98/38/70/760983870.db2.gz QCKHMEUFEZSZLX-ZDUSSCGKSA-N 1 2 300.406 1.126 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccc(C)cc2)[C@H](O)C1 ZINC001090407564 761173150 /nfs/dbraw/zinc/17/31/50/761173150.db2.gz OTPZVTYYSNDKQE-JKSUJKDBSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccc(C)cc2)[C@H](O)C1 ZINC001090407564 761173156 /nfs/dbraw/zinc/17/31/56/761173156.db2.gz OTPZVTYYSNDKQE-JKSUJKDBSA-N 1 2 322.836 1.841 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001066465636 761269970 /nfs/dbraw/zinc/26/99/70/761269970.db2.gz QKYWJZMEAGHGOI-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@H+]2Cc2ncccc2C)c1 ZINC001038684108 761285648 /nfs/dbraw/zinc/28/56/48/761285648.db2.gz HTUNZZXZQJSZRZ-QGZVFWFLSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ncccc2C)c1 ZINC001038684108 761285652 /nfs/dbraw/zinc/28/56/52/761285652.db2.gz HTUNZZXZQJSZRZ-QGZVFWFLSA-N 1 2 320.396 1.771 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001098320123 761298140 /nfs/dbraw/zinc/29/81/40/761298140.db2.gz OTHGPFYNNHSEKC-RYUDHWBXSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001098320123 761298144 /nfs/dbraw/zinc/29/81/44/761298144.db2.gz OTHGPFYNNHSEKC-RYUDHWBXSA-N 1 2 312.377 1.472 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001056682236 761339442 /nfs/dbraw/zinc/33/94/42/761339442.db2.gz NKLHQXYWMLCZIW-CQSZACIVSA-N 1 2 324.388 1.332 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1OCC[C@@H]1c1ccccc1 ZINC001038805172 761422836 /nfs/dbraw/zinc/42/28/36/761422836.db2.gz VSPHSMWNORKNPD-KZNAEPCWSA-N 1 2 312.413 1.773 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1OCC[C@@H]1c1ccccc1 ZINC001038805172 761422838 /nfs/dbraw/zinc/42/28/38/761422838.db2.gz VSPHSMWNORKNPD-KZNAEPCWSA-N 1 2 312.413 1.773 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001038904265 761529925 /nfs/dbraw/zinc/52/99/25/761529925.db2.gz UPKORTZOZZUPIW-AWEZNQCLSA-N 1 2 312.373 1.508 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001038904265 761529928 /nfs/dbraw/zinc/52/99/28/761529928.db2.gz UPKORTZOZZUPIW-AWEZNQCLSA-N 1 2 312.373 1.508 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1n[nH]c2c1CCCCC2 ZINC001039020778 761657707 /nfs/dbraw/zinc/65/77/07/761657707.db2.gz HFNMPSUQMXJIOX-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1n[nH]c2c1CCCCC2 ZINC001039020778 761657710 /nfs/dbraw/zinc/65/77/10/761657710.db2.gz HFNMPSUQMXJIOX-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)c2c(C)noc2C)C1 ZINC001108279599 761680916 /nfs/dbraw/zinc/68/09/16/761680916.db2.gz GPBGWLFULBOYJN-PXAZEXFGSA-N 1 2 321.421 1.788 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)c2c(C)noc2C)C1 ZINC001108279599 761680918 /nfs/dbraw/zinc/68/09/18/761680918.db2.gz GPBGWLFULBOYJN-PXAZEXFGSA-N 1 2 321.421 1.788 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H](CCC)C(C)C)CC2=O)C1 ZINC001108576292 762724692 /nfs/dbraw/zinc/72/46/92/762724692.db2.gz PYRQOAZOKUUHIQ-GDBMZVCRSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3(CC)CCCC3)CC2=O)C1 ZINC001108583731 762751255 /nfs/dbraw/zinc/75/12/55/762751255.db2.gz RATOVPVVJYKIBN-AWEZNQCLSA-N 1 2 319.449 1.544 20 30 DDEDLO C[C@@H](CNc1cnc(C#N)cn1)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001108778021 762930482 /nfs/dbraw/zinc/93/04/82/762930482.db2.gz SEOWKGILIGHPPG-JTQLQIEISA-N 1 2 321.344 1.455 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001057237891 763025094 /nfs/dbraw/zinc/02/50/94/763025094.db2.gz JZIPNQSRYQTAJX-CABCVRRESA-N 1 2 316.405 1.415 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@@H]2CCCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001050045486 763057571 /nfs/dbraw/zinc/05/75/71/763057571.db2.gz GXDQURCOZUMLJP-DOTOQJQBSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@@H]2CCCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001050045486 763057577 /nfs/dbraw/zinc/05/75/77/763057577.db2.gz GXDQURCOZUMLJP-DOTOQJQBSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@H]4CN(CC#N)C[C@H]43)ccn12 ZINC001050049019 763062314 /nfs/dbraw/zinc/06/23/14/763062314.db2.gz ROELYDZEKQQZED-JKSUJKDBSA-N 1 2 323.400 1.703 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2CCCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001050053518 763067469 /nfs/dbraw/zinc/06/74/69/763067469.db2.gz NOEBYKZKJXKJPW-TUAOUCFPSA-N 1 2 306.303 1.833 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2CCCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001050053518 763067471 /nfs/dbraw/zinc/06/74/71/763067471.db2.gz NOEBYKZKJXKJPW-TUAOUCFPSA-N 1 2 306.303 1.833 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H](C)C(C)(C)C)CC1 ZINC001131392858 768111111 /nfs/dbraw/zinc/11/11/11/768111111.db2.gz GVIYCXKHHGMTPV-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H](C)C(C)(C)C)CC1 ZINC001131392858 768111117 /nfs/dbraw/zinc/11/11/17/768111117.db2.gz GVIYCXKHHGMTPV-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)CN(C)c2cc[nH+]c(C)n2)cn1 ZINC001109031888 763247632 /nfs/dbraw/zinc/24/76/32/763247632.db2.gz UNWXQGDOKMBNGJ-GFCCVEGCSA-N 1 2 309.373 1.416 20 30 DDEDLO Cc1nnsc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C#N)C2 ZINC001109088485 763310715 /nfs/dbraw/zinc/31/07/15/763310715.db2.gz NNIKGXRPPIARAH-YJQGPUDQSA-N 1 2 305.407 1.228 20 30 DDEDLO Cc1nnsc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C#N)C2 ZINC001109088485 763310723 /nfs/dbraw/zinc/31/07/23/763310723.db2.gz NNIKGXRPPIARAH-YJQGPUDQSA-N 1 2 305.407 1.228 20 30 DDEDLO C#CCC1(C(=O)N[C@H](C)CN(C)c2cc[nH+]c(C)n2)CCC1 ZINC001109126988 763374823 /nfs/dbraw/zinc/37/48/23/763374823.db2.gz SBIBIZXAFGVSOU-CYBMUJFWSA-N 1 2 300.406 1.920 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001050268941 763395702 /nfs/dbraw/zinc/39/57/02/763395702.db2.gz PRIIUNSGORTZNG-TZMCWYRMSA-N 1 2 318.421 1.580 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109166505 763406929 /nfs/dbraw/zinc/40/69/29/763406929.db2.gz UPAORMTXGZWJCL-PMPSAXMXSA-N 1 2 319.449 1.428 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109166505 763406935 /nfs/dbraw/zinc/40/69/35/763406935.db2.gz UPAORMTXGZWJCL-PMPSAXMXSA-N 1 2 319.449 1.428 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccsc2)CC[C@@H]1C ZINC001131798250 768375972 /nfs/dbraw/zinc/37/59/72/768375972.db2.gz SPEHEZFXOOTWTB-GXTWGEPZSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccsc2)CC[C@@H]1C ZINC001131798250 768375978 /nfs/dbraw/zinc/37/59/78/768375978.db2.gz SPEHEZFXOOTWTB-GXTWGEPZSA-N 1 2 319.430 1.080 20 30 DDEDLO Cc1nsc(N(C)C[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001109254587 763518141 /nfs/dbraw/zinc/51/81/41/763518141.db2.gz BPJYWRCBBPJLIB-SECBINFHSA-N 1 2 318.406 1.230 20 30 DDEDLO C[C@H](CN(C)c1ccc(C#N)cn1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001109294339 763554778 /nfs/dbraw/zinc/55/47/78/763554778.db2.gz SCDGCPBALGWMPM-MRVWCRGKSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@H](CN(C)c1ccc(C#N)cn1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001109294339 763554784 /nfs/dbraw/zinc/55/47/84/763554784.db2.gz SCDGCPBALGWMPM-MRVWCRGKSA-N 1 2 324.388 1.421 20 30 DDEDLO Cc1ccc(C#N)c(N2C[C@@H](C)[C@H](NC(=O)Cn3cc[nH+]c3)C2)n1 ZINC001042037943 763583917 /nfs/dbraw/zinc/58/39/17/763583917.db2.gz ZXKQTPBLXKFNTG-IUODEOHRSA-N 1 2 324.388 1.099 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@H+](Cc3ncccn3)[C@H]2C1 ZINC001042058780 763602801 /nfs/dbraw/zinc/60/28/01/763602801.db2.gz VSIQXQGJYSHZAZ-CVEARBPZSA-N 1 2 310.401 1.313 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@@H+](Cc3ncccn3)[C@H]2C1 ZINC001042058780 763602809 /nfs/dbraw/zinc/60/28/09/763602809.db2.gz VSIQXQGJYSHZAZ-CVEARBPZSA-N 1 2 310.401 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)c3nonc3C)C[C@H]21 ZINC001042380538 763983014 /nfs/dbraw/zinc/98/30/14/763983014.db2.gz UPSOKKIOQCZQNJ-NWDGAFQWSA-N 1 2 310.785 1.667 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)c3nonc3C)C[C@H]21 ZINC001042380538 763983018 /nfs/dbraw/zinc/98/30/18/763983018.db2.gz UPSOKKIOQCZQNJ-NWDGAFQWSA-N 1 2 310.785 1.667 20 30 DDEDLO CN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](C[C@@H]2CCCCO2)C1 ZINC001042589465 764241626 /nfs/dbraw/zinc/24/16/26/764241626.db2.gz GQQKYQWUXLQYDO-AWEZNQCLSA-N 1 2 302.378 1.212 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3C[C@H](C)CO3)c2C1 ZINC001069868141 768199820 /nfs/dbraw/zinc/19/98/20/768199820.db2.gz AVPMMJRMGHCJMF-XJKSGUPXSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3C[C@H](C)CO3)c2C1 ZINC001069868141 768199821 /nfs/dbraw/zinc/19/98/21/768199821.db2.gz AVPMMJRMGHCJMF-XJKSGUPXSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nnc1C ZINC001050938115 764305743 /nfs/dbraw/zinc/30/57/43/764305743.db2.gz JNXQDPVAKWMSDJ-AWEZNQCLSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nnc1C ZINC001050938115 764305748 /nfs/dbraw/zinc/30/57/48/764305748.db2.gz JNXQDPVAKWMSDJ-AWEZNQCLSA-N 1 2 304.394 1.100 20 30 DDEDLO N#CCSCC(=O)N1CC[NH+](CCc2ccccc2F)CC1 ZINC001112629845 764497937 /nfs/dbraw/zinc/49/79/37/764497937.db2.gz CKJURCYYSXEXDK-UHFFFAOYSA-N 1 2 321.421 1.769 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn3cccc(Cl)c23)C1 ZINC001043287390 764811357 /nfs/dbraw/zinc/81/13/57/764811357.db2.gz SCFXOMDMLDBUBX-UHFFFAOYSA-N 1 2 316.792 1.767 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2ncccc2C)CC1 ZINC001112842785 764865105 /nfs/dbraw/zinc/86/51/05/764865105.db2.gz BXXLNMADUJOTJK-UHFFFAOYSA-N 1 2 301.390 1.074 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2ccc(OC)cc2)CC1 ZINC001112842762 764865901 /nfs/dbraw/zinc/86/59/01/764865901.db2.gz AKWLENUIUXYNCC-UHFFFAOYSA-N 1 2 316.401 1.379 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2cc(F)ccc2C)CC1 ZINC001112843044 764866978 /nfs/dbraw/zinc/86/69/78/764866978.db2.gz LVBAOLPWKNQGJN-UHFFFAOYSA-N 1 2 318.392 1.818 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2[nH]cnc2C(C)(C)C)CC1 ZINC001112864972 764892134 /nfs/dbraw/zinc/89/21/34/764892134.db2.gz MFNKECAGWKLRLA-UHFFFAOYSA-N 1 2 320.437 1.668 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001043580150 764986827 /nfs/dbraw/zinc/98/68/27/764986827.db2.gz PWVYOUYSVUIWNG-MLGOLLRUSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001043580150 764986835 /nfs/dbraw/zinc/98/68/35/764986835.db2.gz PWVYOUYSVUIWNG-MLGOLLRUSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H](Nc1cncc(C#N)n1)[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001112994849 765107651 /nfs/dbraw/zinc/10/76/51/765107651.db2.gz ZXIVUCKELXUEOD-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113010288 765135226 /nfs/dbraw/zinc/13/52/26/765135226.db2.gz WYBKEEMCFRKIEO-VXGBXAGGSA-N 1 2 314.393 1.472 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCO[C@H](C[NH2+]Cc3nnc(C)o3)C2)C1 ZINC001051815400 765186409 /nfs/dbraw/zinc/18/64/09/765186409.db2.gz UUOWMSAJUIHETD-CYBMUJFWSA-N 1 2 320.393 1.051 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC001051970129 765327060 /nfs/dbraw/zinc/32/70/60/765327060.db2.gz XRQDJHUARIKXSB-QGZVFWFLSA-N 1 2 303.450 1.418 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2n[nH]c3c2C[C@H](C)CC3)C1 ZINC001044127443 765327539 /nfs/dbraw/zinc/32/75/39/765327539.db2.gz SEXWAVIDDYQDRT-GFCCVEGCSA-N 1 2 302.422 1.867 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccc(C)o3)C2)CC1 ZINC001051977477 765337833 /nfs/dbraw/zinc/33/78/33/765337833.db2.gz XHUFHLCOCRRJQV-HNNXBMFYSA-N 1 2 303.406 1.606 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)CC(F)(F)F)C2)CC1 ZINC001051994120 765359984 /nfs/dbraw/zinc/35/99/84/765359984.db2.gz VPNBFVCEHDEVPT-CYBMUJFWSA-N 1 2 317.355 1.181 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)CCC3CCC3)C2)CC1 ZINC001052006908 765374810 /nfs/dbraw/zinc/37/48/10/765374810.db2.gz SRTGZADDLXNAFA-GOSISDBHSA-N 1 2 317.477 1.809 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(C(=O)N(C)C)c2)C1 ZINC001044199999 765388538 /nfs/dbraw/zinc/38/85/38/765388538.db2.gz XQOJYHXWLSNSLS-UHFFFAOYSA-N 1 2 313.401 1.168 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCN2C(=O)C(C)C)C1 ZINC001044310521 765472513 /nfs/dbraw/zinc/47/25/13/765472513.db2.gz WQLRVXNUOLXHSP-OAHLLOKOSA-N 1 2 307.438 1.352 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)C3(F)CCCCC3)C2)CC1 ZINC001052104979 765475274 /nfs/dbraw/zinc/47/52/74/765475274.db2.gz CMFHEVUGWLWTPG-OAHLLOKOSA-N 1 2 322.428 1.401 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ocnc2C(F)F)CC1 ZINC001113348824 765584790 /nfs/dbraw/zinc/58/47/90/765584790.db2.gz GTKAMJZOFNZSKQ-UHFFFAOYSA-N 1 2 315.320 1.573 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCCc2nc(C3CC3)no2)CC1 ZINC001113412357 765664582 /nfs/dbraw/zinc/66/45/82/765664582.db2.gz TUNVXAOUYGQANJ-UHFFFAOYSA-N 1 2 316.405 1.437 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ccns2)C1 ZINC001086591331 765684809 /nfs/dbraw/zinc/68/48/09/765684809.db2.gz HMCJQTXNRKRCLY-LSDHHAIUSA-N 1 2 311.410 1.974 20 30 DDEDLO C#CC[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ccns2)C1 ZINC001086591331 765684815 /nfs/dbraw/zinc/68/48/15/765684815.db2.gz HMCJQTXNRKRCLY-LSDHHAIUSA-N 1 2 311.410 1.974 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnn(CCCOC)c2)CC1 ZINC001113513341 765800549 /nfs/dbraw/zinc/80/05/49/765800549.db2.gz HRRDGQZWFNCKTC-UHFFFAOYSA-N 1 2 306.410 1.254 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC001113712768 766051621 /nfs/dbraw/zinc/05/16/21/766051621.db2.gz FJJPCEBFQIGNHO-OAHLLOKOSA-N 1 2 318.417 1.931 20 30 DDEDLO N#Cc1ncncc1N(C(N)=[NH2+])c1ccc(N2CCOCC2)cc1 ZINC001170166343 766131964 /nfs/dbraw/zinc/13/19/64/766131964.db2.gz GVJKLQBYVWUMBU-UHFFFAOYSA-N 1 2 323.360 1.216 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C(C)C)o3)C[C@H]21 ZINC001114005302 766523467 /nfs/dbraw/zinc/52/34/67/766523467.db2.gz PSIUJPHCXKEEDN-JYAVWHMHSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C(C)C)o3)C[C@H]21 ZINC001114005302 766523469 /nfs/dbraw/zinc/52/34/69/766523469.db2.gz PSIUJPHCXKEEDN-JYAVWHMHSA-N 1 2 304.394 1.706 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001086615755 766684779 /nfs/dbraw/zinc/68/47/79/766684779.db2.gz RAQIYFBIVQWFAC-LSDHHAIUSA-N 1 2 309.373 1.026 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001086615755 766684787 /nfs/dbraw/zinc/68/47/87/766684787.db2.gz RAQIYFBIVQWFAC-LSDHHAIUSA-N 1 2 309.373 1.026 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001114159790 766711746 /nfs/dbraw/zinc/71/17/46/766711746.db2.gz LXKTWLXWTJCGHI-HALDLXJZSA-N 1 2 301.390 1.723 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001114159790 766711751 /nfs/dbraw/zinc/71/17/51/766711751.db2.gz LXKTWLXWTJCGHI-HALDLXJZSA-N 1 2 301.390 1.723 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC1CC1 ZINC001114252720 766820040 /nfs/dbraw/zinc/82/00/40/766820040.db2.gz BGDSNNPABIGOKE-IMRBUKKESA-N 1 2 309.207 1.355 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC1CC1 ZINC001114252720 766820048 /nfs/dbraw/zinc/82/00/48/766820048.db2.gz BGDSNNPABIGOKE-IMRBUKKESA-N 1 2 309.207 1.355 20 30 DDEDLO CC(C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)=C1CCCC1 ZINC001046021474 766821291 /nfs/dbraw/zinc/82/12/91/766821291.db2.gz HZULFYQACKQZOA-KRWDZBQOSA-N 1 2 316.449 1.619 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C3CCC(F)CC3)CC2)C1 ZINC001046061251 766872550 /nfs/dbraw/zinc/87/25/50/766872550.db2.gz KJSXJJKJUHEVQR-UYSNPLJNSA-N 1 2 322.428 1.257 20 30 DDEDLO C[C@@]1(NC(=O)c2c[nH]c(C#N)c2)CC[N@H+](Cc2nccs2)C1 ZINC001046252791 767302713 /nfs/dbraw/zinc/30/27/13/767302713.db2.gz KDBIWVZIMIAVDM-OAHLLOKOSA-N 1 2 315.402 1.737 20 30 DDEDLO C[C@@]1(NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+](Cc2nccs2)C1 ZINC001046252791 767302716 /nfs/dbraw/zinc/30/27/16/767302716.db2.gz KDBIWVZIMIAVDM-OAHLLOKOSA-N 1 2 315.402 1.737 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnc3n2CCOC3)C1 ZINC001046392556 767504214 /nfs/dbraw/zinc/50/42/14/767504214.db2.gz XAUNGXCTKRAFPC-OAHLLOKOSA-N 1 2 324.812 1.360 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnc3n2CCOC3)C1 ZINC001046392556 767504217 /nfs/dbraw/zinc/50/42/17/767504217.db2.gz XAUNGXCTKRAFPC-OAHLLOKOSA-N 1 2 324.812 1.360 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2c(C)nn(C)c2C)C1 ZINC001046523912 767654778 /nfs/dbraw/zinc/65/47/78/767654778.db2.gz JFMKWQHXZQRLQW-HNNXBMFYSA-N 1 2 310.829 1.984 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2c(C)nn(C)c2C)C1 ZINC001046523912 767654782 /nfs/dbraw/zinc/65/47/82/767654782.db2.gz JFMKWQHXZQRLQW-HNNXBMFYSA-N 1 2 310.829 1.984 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cn(C)nc2OC)C1 ZINC001046538659 767667900 /nfs/dbraw/zinc/66/79/00/767667900.db2.gz NEJOAASZQDUCSN-CQSZACIVSA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cn(C)nc2OC)C1 ZINC001046538659 767667903 /nfs/dbraw/zinc/66/79/03/767667903.db2.gz NEJOAASZQDUCSN-CQSZACIVSA-N 1 2 312.801 1.375 20 30 DDEDLO C=CCO[C@H](C)C(=O)N(C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001096113427 767731656 /nfs/dbraw/zinc/73/16/56/767731656.db2.gz UMJFOWHNFJFPTM-CYBMUJFWSA-N 1 2 318.421 1.803 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001098084972 767846320 /nfs/dbraw/zinc/84/63/20/767846320.db2.gz ZICHFMCCLGBFIM-ZDUSSCGKSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001098084972 767846325 /nfs/dbraw/zinc/84/63/25/767846325.db2.gz ZICHFMCCLGBFIM-ZDUSSCGKSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096186126 768480452 /nfs/dbraw/zinc/48/04/52/768480452.db2.gz PDQGOLFFYFTGFA-CQSZACIVSA-N 1 2 304.394 1.463 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C)c(F)c2F)C1 ZINC001047539078 768551640 /nfs/dbraw/zinc/55/16/40/768551640.db2.gz CYTDGLXHJZEARN-STQMWFEESA-N 1 2 308.328 1.024 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C)c(F)c2F)C1 ZINC001047539078 768551644 /nfs/dbraw/zinc/55/16/44/768551644.db2.gz CYTDGLXHJZEARN-STQMWFEESA-N 1 2 308.328 1.024 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@@H]2C)cn1 ZINC001132034690 768580656 /nfs/dbraw/zinc/58/06/56/768580656.db2.gz UMFNFULRLNZTMS-GOEBONIOSA-N 1 2 312.417 1.668 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@@H]2C)cn1 ZINC001132034690 768580660 /nfs/dbraw/zinc/58/06/60/768580660.db2.gz UMFNFULRLNZTMS-GOEBONIOSA-N 1 2 312.417 1.668 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2ncc(C)o2)C1 ZINC001132041337 768583674 /nfs/dbraw/zinc/58/36/74/768583674.db2.gz CCWRYDNSOAHXMG-UKRRQHHQSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2ncc(C)o2)C1 ZINC001132041337 768583679 /nfs/dbraw/zinc/58/36/79/768583679.db2.gz CCWRYDNSOAHXMG-UKRRQHHQSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C2(C)CCCC2)CC[C@H]1C ZINC001132201462 768684112 /nfs/dbraw/zinc/68/41/12/768684112.db2.gz YUHOAPWRGOHOAG-HUUCEWRRSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C2(C)CCCC2)CC[C@H]1C ZINC001132201462 768684118 /nfs/dbraw/zinc/68/41/18/768684118.db2.gz YUHOAPWRGOHOAG-HUUCEWRRSA-N 1 2 319.449 1.285 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001096242237 768733551 /nfs/dbraw/zinc/73/35/51/768733551.db2.gz ZIKODMMPKWDXGC-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001096242237 768733554 /nfs/dbraw/zinc/73/35/54/768733554.db2.gz ZIKODMMPKWDXGC-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132332766 768758914 /nfs/dbraw/zinc/75/89/14/768758914.db2.gz WTFXOIFHAQXSDI-UONOGXRCSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132332766 768758921 /nfs/dbraw/zinc/75/89/21/768758921.db2.gz WTFXOIFHAQXSDI-UONOGXRCSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)c2ccccc2C)CC1 ZINC001070933653 768792205 /nfs/dbraw/zinc/79/22/05/768792205.db2.gz XDNPLEUUMIRKLI-UHFFFAOYSA-N 1 2 315.417 1.445 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)c2ccccc2C)CC1 ZINC001070933653 768792208 /nfs/dbraw/zinc/79/22/08/768792208.db2.gz XDNPLEUUMIRKLI-UHFFFAOYSA-N 1 2 315.417 1.445 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)Cc2ccsc2)CC1 ZINC001070934573 768794667 /nfs/dbraw/zinc/79/46/67/768794667.db2.gz IQHOXGNJUVSHFP-UHFFFAOYSA-N 1 2 321.446 1.127 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)Cc2ccsc2)CC1 ZINC001070934573 768794669 /nfs/dbraw/zinc/79/46/69/768794669.db2.gz IQHOXGNJUVSHFP-UHFFFAOYSA-N 1 2 321.446 1.127 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C2CCC2)CC1 ZINC001070973302 768823833 /nfs/dbraw/zinc/82/38/33/768823833.db2.gz JNDWIPQLOLNLAE-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C2CCC2)CC1 ZINC001070973302 768823844 /nfs/dbraw/zinc/82/38/44/768823844.db2.gz JNDWIPQLOLNLAE-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001070973125 768825255 /nfs/dbraw/zinc/82/52/55/768825255.db2.gz CVPLZNUEIAERFE-CQSZACIVSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001070973125 768825266 /nfs/dbraw/zinc/82/52/66/768825266.db2.gz CVPLZNUEIAERFE-CQSZACIVSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067989543 768921656 /nfs/dbraw/zinc/92/16/56/768921656.db2.gz NMKIRFDXQAJCQP-CQSZACIVSA-N 1 2 320.437 1.861 20 30 DDEDLO CC(C)(C)C(=O)NCC[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC001096283577 768949057 /nfs/dbraw/zinc/94/90/57/768949057.db2.gz MIHVTVNEOSGCJZ-UHFFFAOYSA-N 1 2 315.421 1.238 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071416539 769402642 /nfs/dbraw/zinc/40/26/42/769402642.db2.gz VNMUNCCIRYICNM-DYVFJYSZSA-N 1 2 318.421 1.662 20 30 DDEDLO CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH+]ccc1N(C)C)C2 ZINC001096364092 769417322 /nfs/dbraw/zinc/41/73/22/769417322.db2.gz JXLKWNJYULKRJP-KMFMINBZSA-N 1 2 312.417 1.506 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2nnc(C)o2)CC[C@H]1C ZINC001071489794 769507204 /nfs/dbraw/zinc/50/72/04/769507204.db2.gz BLZJDDHLAUDIMO-ZYHUDNBSSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2nnc(C)o2)CC[C@H]1C ZINC001071489794 769507212 /nfs/dbraw/zinc/50/72/12/769507212.db2.gz BLZJDDHLAUDIMO-ZYHUDNBSSA-N 1 2 312.801 1.642 20 30 DDEDLO CC(C)c1noc(C[N@H+]2C[C@H]3CN(C(=O)[C@@H](C)C#N)C[C@H]3C2)n1 ZINC001048727972 769781876 /nfs/dbraw/zinc/78/18/76/769781876.db2.gz QBPXFNXLADITGZ-RWMBFGLXSA-N 1 2 317.393 1.243 20 30 DDEDLO CC(C)c1noc(C[N@@H+]2C[C@H]3CN(C(=O)[C@@H](C)C#N)C[C@H]3C2)n1 ZINC001048727972 769781886 /nfs/dbraw/zinc/78/18/86/769781886.db2.gz QBPXFNXLADITGZ-RWMBFGLXSA-N 1 2 317.393 1.243 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CN1CC#N ZINC001071956984 770347422 /nfs/dbraw/zinc/34/74/22/770347422.db2.gz AIDMDHAMOCRSKU-KGLIPLIRSA-N 1 2 324.388 1.374 20 30 DDEDLO C[C@@H](CC(=O)N[C@H]1CCN(c2ccc(C#N)cn2)C1)n1cc[nH+]c1 ZINC001096488789 770450147 /nfs/dbraw/zinc/45/01/47/770450147.db2.gz STZQDJAQNOVYHT-ZFWWWQNUSA-N 1 2 324.388 1.496 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001049995840 771350570 /nfs/dbraw/zinc/35/05/70/771350570.db2.gz QHBYNZAGKRVKRH-MBNYWOFBSA-N 1 2 304.419 1.726 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001049995840 771350577 /nfs/dbraw/zinc/35/05/77/771350577.db2.gz QHBYNZAGKRVKRH-MBNYWOFBSA-N 1 2 304.419 1.726 20 30 DDEDLO N#Cc1ccc(N[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C2CC2)nc1 ZINC001096712821 771410797 /nfs/dbraw/zinc/41/07/97/771410797.db2.gz ZCIQIQNFOUDYPP-ZDUSSCGKSA-N 1 2 310.361 1.226 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)n1cccn1)C2 ZINC001096834355 771477085 /nfs/dbraw/zinc/47/70/85/771477085.db2.gz LYKGREXWLXGENL-SYQHCUMBSA-N 1 2 308.813 1.918 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)n1cccn1)C2 ZINC001096834355 771477090 /nfs/dbraw/zinc/47/70/90/771477090.db2.gz LYKGREXWLXGENL-SYQHCUMBSA-N 1 2 308.813 1.918 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096821591 771489405 /nfs/dbraw/zinc/48/94/05/771489405.db2.gz MIDKMZYQORVQDU-ILXRZTDVSA-N 1 2 316.405 1.683 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc([C@@H](C)OC)s1)C2 ZINC001097080232 771614542 /nfs/dbraw/zinc/61/45/42/771614542.db2.gz XEPQRKLRBUTTNZ-LPWJVIDDSA-N 1 2 319.430 1.819 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc([C@@H](C)OC)s1)C2 ZINC001097080232 771614545 /nfs/dbraw/zinc/61/45/45/771614545.db2.gz XEPQRKLRBUTTNZ-LPWJVIDDSA-N 1 2 319.430 1.819 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C(C)C)nn1)C2 ZINC001147165189 773056774 /nfs/dbraw/zinc/05/67/74/773056774.db2.gz DFUNRKBJOZUTKI-UHFFFAOYSA-N 1 2 317.437 1.860 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1cn(C(C)C)nn1)C2 ZINC001147165189 773056778 /nfs/dbraw/zinc/05/67/78/773056778.db2.gz DFUNRKBJOZUTKI-UHFFFAOYSA-N 1 2 317.437 1.860 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC2(C1)CC[N@@H+](Cc1coc(C)n1)C2 ZINC001147446625 773148680 /nfs/dbraw/zinc/14/86/80/773148680.db2.gz WIBDZYOXQZZAJS-MRXNPFEDSA-N 1 2 319.405 1.344 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC2(C1)CC[N@H+](Cc1coc(C)n1)C2 ZINC001147446625 773148684 /nfs/dbraw/zinc/14/86/84/773148684.db2.gz WIBDZYOXQZZAJS-MRXNPFEDSA-N 1 2 319.405 1.344 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001147652488 773192875 /nfs/dbraw/zinc/19/28/75/773192875.db2.gz LTXDYLORNLOXKT-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001147652488 773192878 /nfs/dbraw/zinc/19/28/78/773192878.db2.gz LTXDYLORNLOXKT-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H]2CCC2(F)F)C1 ZINC001073657408 773272001 /nfs/dbraw/zinc/27/20/01/773272001.db2.gz GERMIYYOOQRZJM-NWDGAFQWSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H]2CCC2(F)F)C1 ZINC001073657408 773272006 /nfs/dbraw/zinc/27/20/06/773272006.db2.gz GERMIYYOOQRZJM-NWDGAFQWSA-N 1 2 322.783 1.991 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001148111350 773353456 /nfs/dbraw/zinc/35/34/56/773353456.db2.gz VIDJTEYUQCVNTG-UHFFFAOYSA-N 1 2 315.421 1.043 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001148111350 773353464 /nfs/dbraw/zinc/35/34/64/773353464.db2.gz VIDJTEYUQCVNTG-UHFFFAOYSA-N 1 2 315.421 1.043 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)o1)C2 ZINC001148089058 773360570 /nfs/dbraw/zinc/36/05/70/773360570.db2.gz RUIKLYXNNPXXCF-QWHCGFSZSA-N 1 2 318.421 1.871 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)o1)C2 ZINC001148089058 773360578 /nfs/dbraw/zinc/36/05/78/773360578.db2.gz RUIKLYXNNPXXCF-QWHCGFSZSA-N 1 2 318.421 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C[C@@H]2CCOC2)C1 ZINC001149446740 773363467 /nfs/dbraw/zinc/36/34/67/773363467.db2.gz IQXDRAFFRGNGPD-KBPBESRZSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C[C@@H]2CCOC2)C1 ZINC001149446740 773363471 /nfs/dbraw/zinc/36/34/71/773363471.db2.gz IQXDRAFFRGNGPD-KBPBESRZSA-N 1 2 316.829 1.373 20 30 DDEDLO C=CCC1(C(=O)N2CC3(C2)CC[N@H+](Cc2cnon2)C3)CCC1 ZINC001148248701 773409671 /nfs/dbraw/zinc/40/96/71/773409671.db2.gz DNFMLMBAJSRJRK-UHFFFAOYSA-N 1 2 316.405 1.850 20 30 DDEDLO C=CCC1(C(=O)N2CC3(C2)CC[N@@H+](Cc2cnon2)C3)CCC1 ZINC001148248701 773409677 /nfs/dbraw/zinc/40/96/77/773409677.db2.gz DNFMLMBAJSRJRK-UHFFFAOYSA-N 1 2 316.405 1.850 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001074025750 773593409 /nfs/dbraw/zinc/59/34/09/773593409.db2.gz XKLAXLHLBQWDRL-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001074025750 773593416 /nfs/dbraw/zinc/59/34/16/773593416.db2.gz XKLAXLHLBQWDRL-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnns1)C2 ZINC001148899753 773629180 /nfs/dbraw/zinc/62/91/80/773629180.db2.gz CZNFFRNWSKQISF-GFCCVEGCSA-N 1 2 322.434 1.164 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnns1)C2 ZINC001148899753 773629185 /nfs/dbraw/zinc/62/91/85/773629185.db2.gz CZNFFRNWSKQISF-GFCCVEGCSA-N 1 2 322.434 1.164 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1cc(C)on1)C2 ZINC001148886975 773639429 /nfs/dbraw/zinc/63/94/29/773639429.db2.gz AHFXNPHYHNZRQF-UHFFFAOYSA-N 1 2 319.405 1.610 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@H+](Cc1cc(C)on1)C2 ZINC001148886975 773639433 /nfs/dbraw/zinc/63/94/33/773639433.db2.gz AHFXNPHYHNZRQF-UHFFFAOYSA-N 1 2 319.405 1.610 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074149180 773675124 /nfs/dbraw/zinc/67/51/24/773675124.db2.gz GWXMZDYXGMDDIV-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)on3)C[C@H]21 ZINC001074151381 773676831 /nfs/dbraw/zinc/67/68/31/773676831.db2.gz LQEPTCVOFTXODF-CABCVRRESA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)on3)C[C@H]21 ZINC001074151381 773676833 /nfs/dbraw/zinc/67/68/33/773676833.db2.gz LQEPTCVOFTXODF-CABCVRRESA-N 1 2 305.378 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3ccco3)C[C@@H]21 ZINC001074151546 773676971 /nfs/dbraw/zinc/67/69/71/773676971.db2.gz OSEPUSCCKQAGNB-QVOWHETESA-N 1 2 314.385 1.618 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3ccco3)C[C@@H]21 ZINC001074151546 773676974 /nfs/dbraw/zinc/67/69/74/773676974.db2.gz OSEPUSCCKQAGNB-QVOWHETESA-N 1 2 314.385 1.618 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccsc3)C[C@@H]21 ZINC001074154933 773679941 /nfs/dbraw/zinc/67/99/41/773679941.db2.gz MDGFTXYPWNPHMG-HOTGVXAUSA-N 1 2 318.442 1.616 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccsc3)C[C@@H]21 ZINC001074154933 773679944 /nfs/dbraw/zinc/67/99/44/773679944.db2.gz MDGFTXYPWNPHMG-HOTGVXAUSA-N 1 2 318.442 1.616 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001074188747 773710623 /nfs/dbraw/zinc/71/06/23/773710623.db2.gz YLPJBBYESRFYDQ-CABCVRRESA-N 1 2 304.394 1.210 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001074188747 773710625 /nfs/dbraw/zinc/71/06/25/773710625.db2.gz YLPJBBYESRFYDQ-CABCVRRESA-N 1 2 304.394 1.210 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]ccc3C)C[C@@H]21 ZINC001074230234 773754477 /nfs/dbraw/zinc/75/44/77/773754477.db2.gz VXSPTYKJIRCPKS-LSDHHAIUSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]ccc3C)C[C@@H]21 ZINC001074230234 773754482 /nfs/dbraw/zinc/75/44/82/773754482.db2.gz VXSPTYKJIRCPKS-LSDHHAIUSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3[nH]ccc3C)C[C@@H]21 ZINC001074230232 773754702 /nfs/dbraw/zinc/75/47/02/773754702.db2.gz VXSPTYKJIRCPKS-GJZGRUSLSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3[nH]ccc3C)C[C@@H]21 ZINC001074230232 773754705 /nfs/dbraw/zinc/75/47/05/773754705.db2.gz VXSPTYKJIRCPKS-GJZGRUSLSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@H]21 ZINC001074241436 773763860 /nfs/dbraw/zinc/76/38/60/773763860.db2.gz IJVJUCMOLWNVRI-OLZOCXBDSA-N 1 2 314.376 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@H]21 ZINC001074241436 773763863 /nfs/dbraw/zinc/76/38/63/773763863.db2.gz IJVJUCMOLWNVRI-OLZOCXBDSA-N 1 2 314.376 1.910 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(CF)CCC3)C[C@@H]21 ZINC001074342746 773839498 /nfs/dbraw/zinc/83/94/98/773839498.db2.gz AQGRGBYJDLEUJA-GJZGRUSLSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CF)CCC3)C[C@@H]21 ZINC001074342746 773839504 /nfs/dbraw/zinc/83/95/04/773839504.db2.gz AQGRGBYJDLEUJA-GJZGRUSLSA-N 1 2 308.397 1.451 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCOCC3)C[C@H]21 ZINC001074354113 773849527 /nfs/dbraw/zinc/84/95/27/773849527.db2.gz LAMGGRAGLWPKHN-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCOCC3)C[C@H]21 ZINC001074354113 773849530 /nfs/dbraw/zinc/84/95/30/773849530.db2.gz LAMGGRAGLWPKHN-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(Cl)CN1CCO[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C[C@@H]21 ZINC001074371944 773864992 /nfs/dbraw/zinc/86/49/92/773864992.db2.gz OQLALFQHDMQRSA-QWHCGFSZSA-N 1 2 324.812 1.006 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC=CCC1)c1nccn12 ZINC001092344916 774064267 /nfs/dbraw/zinc/06/42/67/774064267.db2.gz VAXBTDZDIAWRKG-HZPDHXFCSA-N 1 2 324.428 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CCCOC1)c1nccn12 ZINC001092351323 774069181 /nfs/dbraw/zinc/06/91/81/774069181.db2.gz BEQXIRRBZYJZIB-KGLIPLIRSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CCCCO1)c1nccn12 ZINC001092362926 774076499 /nfs/dbraw/zinc/07/64/99/774076499.db2.gz FCFZJZOMHOEPPY-KBPBESRZSA-N 1 2 316.405 1.210 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1coc(C)n1)c1nccn12 ZINC001092363969 774077962 /nfs/dbraw/zinc/07/79/62/774077962.db2.gz SFTSDYDPBVPGDI-GFCCVEGCSA-N 1 2 313.361 1.251 20 30 DDEDLO Cc1nc(N2C[C@@H](CNC(=O)[C@@H](C)C#N)[C@H](C)C2)c(C)c(C)[nH+]1 ZINC001092337009 774086740 /nfs/dbraw/zinc/08/67/40/774086740.db2.gz WYMIMFVYVMPDBN-FIXISWKDSA-N 1 2 315.421 1.750 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)(F)F)c1nccn12 ZINC001092342102 774091554 /nfs/dbraw/zinc/09/15/54/774091554.db2.gz HKWTUPAQWSGOKH-LLVKDONJSA-N 1 2 308.332 1.134 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C)CC(=C)C1)c1nccn12 ZINC001092393036 774099466 /nfs/dbraw/zinc/09/94/66/774099466.db2.gz REQJFYOZLMGYHJ-CQSZACIVSA-N 1 2 312.417 1.997 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1[nH]ccc1C)c1nccn12 ZINC001092366605 774105852 /nfs/dbraw/zinc/10/58/52/774105852.db2.gz UTUWSSUJKJNQRV-CQSZACIVSA-N 1 2 323.400 1.429 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074975252 774279066 /nfs/dbraw/zinc/27/90/66/774279066.db2.gz OWCMXHVRRZXGNR-KBPBESRZSA-N 1 2 316.405 1.107 20 30 DDEDLO CCc1cc(N2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC2CC2)C3)nc(C)[nH+]1 ZINC001092828512 774284540 /nfs/dbraw/zinc/28/45/40/774284540.db2.gz NMMBQWCIWALEMP-IKGGRYGDSA-N 1 2 324.428 1.987 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2CN(c3nc(C)[nH+]c(C)c3C)C[C@H]21 ZINC001093222197 774534787 /nfs/dbraw/zinc/53/47/87/774534787.db2.gz IDWAFNLLDUKYPL-FOLVSLTJSA-N 1 2 316.405 1.155 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CCCC=C)C2)nn1 ZINC001098711918 774650526 /nfs/dbraw/zinc/65/05/26/774650526.db2.gz YUVCHHMCPZDVJP-OAHLLOKOSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cncnc3C)CC2)C1 ZINC001093509551 774754956 /nfs/dbraw/zinc/75/49/56/774754956.db2.gz OYACVJJDWAMWCE-UHFFFAOYSA-N 1 2 316.405 1.276 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@](C)(O)C3CC3)CC2)C1 ZINC001093527640 774779792 /nfs/dbraw/zinc/77/97/92/774779792.db2.gz YIXOXPHZDGIFAB-SFHVURJKSA-N 1 2 322.449 1.415 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CCc3ccon3)CC2)C1 ZINC001093553629 774797425 /nfs/dbraw/zinc/79/74/25/774797425.db2.gz DLNOAMAYGIPQMW-UHFFFAOYSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCOC[C@@H]3C)CC2)C1 ZINC001093570161 774842222 /nfs/dbraw/zinc/84/22/22/774842222.db2.gz NUZMOJXCOSHGIQ-WMLDXEAASA-N 1 2 322.449 1.537 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3nc(C)oc3C)CC2)C1 ZINC001093587774 774867633 /nfs/dbraw/zinc/86/76/33/774867633.db2.gz CCNCVUZWCQETCS-UHFFFAOYSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3c[nH]cc3C)CC2)C1 ZINC001093596704 774900953 /nfs/dbraw/zinc/90/09/53/774900953.db2.gz MBAXFXVGXCOOIN-UHFFFAOYSA-N 1 2 303.406 1.815 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccnc(C)n3)CC2)C1 ZINC001093598579 774903385 /nfs/dbraw/zinc/90/33/85/774903385.db2.gz VKXAKEKGMMMREI-UHFFFAOYSA-N 1 2 316.405 1.276 20 30 DDEDLO C[C@@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001099765370 775242550 /nfs/dbraw/zinc/24/25/50/775242550.db2.gz QYHZEJKXBAATPG-IACUBPJLSA-N 1 2 324.388 1.787 20 30 DDEDLO C[C@@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001099765370 775242558 /nfs/dbraw/zinc/24/25/58/775242558.db2.gz QYHZEJKXBAATPG-IACUBPJLSA-N 1 2 324.388 1.787 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@@H]2CCc3c[nH+]cn3C2)n1 ZINC001093958818 775271126 /nfs/dbraw/zinc/27/11/26/775271126.db2.gz SGJNQKZCBWYSLD-CQSZACIVSA-N 1 2 324.388 1.249 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099804074 775302481 /nfs/dbraw/zinc/30/24/81/775302481.db2.gz HSFGSRYGWSIPRJ-HOCLYGCPSA-N 1 2 319.405 1.146 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099804074 775302494 /nfs/dbraw/zinc/30/24/94/775302494.db2.gz HSFGSRYGWSIPRJ-HOCLYGCPSA-N 1 2 319.405 1.146 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC2(COC)CC2)[C@H](O)C1 ZINC001099816386 775314636 /nfs/dbraw/zinc/31/46/36/775314636.db2.gz XHDNXNWFOGSSEZ-CHWSQXEVSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC2(COC)CC2)[C@H](O)C1 ZINC001099816386 775314651 /nfs/dbraw/zinc/31/46/51/775314651.db2.gz XHDNXNWFOGSSEZ-CHWSQXEVSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(CCF)CC2)[C@@H](O)C1 ZINC001099931405 775329557 /nfs/dbraw/zinc/32/95/57/775329557.db2.gz QIEPMPVPVKLSQC-RYUDHWBXSA-N 1 2 304.793 1.430 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(CCF)CC2)[C@@H](O)C1 ZINC001099931405 775329569 /nfs/dbraw/zinc/32/95/69/775329569.db2.gz QIEPMPVPVKLSQC-RYUDHWBXSA-N 1 2 304.793 1.430 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=C)Cl)C[C@H]1O ZINC001099946761 775457749 /nfs/dbraw/zinc/45/77/49/775457749.db2.gz LFHQWJQGKWACNC-QWHCGFSZSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=C)Cl)C[C@H]1O ZINC001099946761 775457754 /nfs/dbraw/zinc/45/77/54/775457754.db2.gz LFHQWJQGKWACNC-QWHCGFSZSA-N 1 2 300.830 1.893 20 30 DDEDLO N#Cc1cnc(NCCNC(=O)Cc2c[nH]c[nH+]2)c(Cl)c1 ZINC001094150446 775491600 /nfs/dbraw/zinc/49/16/00/775491600.db2.gz HSSKADHVFUXCNF-UHFFFAOYSA-N 1 2 304.741 1.101 20 30 DDEDLO CCCCc1nc(C[NH2+]C2CC(CNC(=O)[C@@H](C)C#N)C2)no1 ZINC001100190497 775793811 /nfs/dbraw/zinc/79/38/11/775793811.db2.gz WIPQMPDJKNLQFX-HIFPTAJRSA-N 1 2 319.409 1.556 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)CCCn2cc[nH+]c2)nc1 ZINC001094470316 775920487 /nfs/dbraw/zinc/92/04/87/775920487.db2.gz WSOMSPCFXFMYTO-UHFFFAOYSA-N 1 2 312.377 1.548 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094712532 776179870 /nfs/dbraw/zinc/17/98/70/776179870.db2.gz HJGONRJEBFYZSH-NWDGAFQWSA-N 1 2 316.409 1.740 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)C3CCC3)CC2=O)C1 ZINC001094751038 776246340 /nfs/dbraw/zinc/24/63/40/776246340.db2.gz NVBDJFNNKKIGFG-DZGCQCFKSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3(C)CC=CC3)CC2=O)C1 ZINC001094779575 776270175 /nfs/dbraw/zinc/27/01/75/776270175.db2.gz OWBPDSWMULPCET-CQSZACIVSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H]3CCC(C)(C)C3)CC2=O)C1 ZINC001094786608 776279033 /nfs/dbraw/zinc/27/90/33/776279033.db2.gz XFVBQHWTAWIROW-KBPBESRZSA-N 1 2 319.449 1.400 20 30 DDEDLO C[C@@H](CC(=O)NCCCNc1ccc(C#N)cn1)n1cc[nH+]c1 ZINC001094824831 776332674 /nfs/dbraw/zinc/33/26/74/776332674.db2.gz ZIEDNBCHIMZBQB-ZDUSSCGKSA-N 1 2 312.377 1.719 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1[C@H]1COC(C)(C)C1 ZINC001172282922 776752894 /nfs/dbraw/zinc/75/28/94/776752894.db2.gz HPIYMRFQOWPDNU-OCCSQVGLSA-N 1 2 306.410 1.484 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1[C@H]1COC(C)(C)C1 ZINC001172282922 776752899 /nfs/dbraw/zinc/75/28/99/776752899.db2.gz HPIYMRFQOWPDNU-OCCSQVGLSA-N 1 2 306.410 1.484 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)cc[nH+]1 ZINC001095113379 777120997 /nfs/dbraw/zinc/12/09/97/777120997.db2.gz PTZLAYHUWZABHP-CQSZACIVSA-N 1 2 300.406 1.872 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CCCCOC)c1nccn12 ZINC001101617345 777310463 /nfs/dbraw/zinc/31/04/63/777310463.db2.gz NWBUGMKWCJAWIY-AWEZNQCLSA-N 1 2 318.421 1.458 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001101847648 777599973 /nfs/dbraw/zinc/59/99/73/777599973.db2.gz MPPKFQCJVKKVAE-ZDUSSCGKSA-N 1 2 324.388 1.283 20 30 DDEDLO Cc1nc(N2CC[C@H](CCNC(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001102301947 778034888 /nfs/dbraw/zinc/03/48/88/778034888.db2.gz MVYSDAIAPMYLBK-NHYWBVRUSA-N 1 2 315.421 1.894 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)cc1 ZINC001176832073 778264528 /nfs/dbraw/zinc/26/45/28/778264528.db2.gz GZYTUWANLKBVEY-INIZCTEOSA-N 1 2 310.357 1.894 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)C)C[C@@H]21 ZINC001176913635 778337773 /nfs/dbraw/zinc/33/77/73/778337773.db2.gz VCENSCYDOOFXOG-HOTGVXAUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)C)C[C@@H]21 ZINC001176913635 778337778 /nfs/dbraw/zinc/33/77/78/778337778.db2.gz VCENSCYDOOFXOG-HOTGVXAUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]2OCC[N@@H+](CC(=C)C)[C@@H]2C1 ZINC001176978596 778363650 /nfs/dbraw/zinc/36/36/50/778363650.db2.gz VDEQDHLVDDPOJU-IAGOWNOFSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]2OCC[N@H+](CC(=C)C)[C@@H]2C1 ZINC001176978596 778363654 /nfs/dbraw/zinc/36/36/54/778363654.db2.gz VDEQDHLVDDPOJU-IAGOWNOFSA-N 1 2 322.449 1.847 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCCOC)C[C@@H]21 ZINC001176943209 778371388 /nfs/dbraw/zinc/37/13/88/778371388.db2.gz GUHUAGSDTSFHSJ-HOTGVXAUSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCCOC)C[C@@H]21 ZINC001176943209 778371391 /nfs/dbraw/zinc/37/13/91/778371391.db2.gz GUHUAGSDTSFHSJ-HOTGVXAUSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(COCC)CC3)C[C@@H]21 ZINC001177040921 778404527 /nfs/dbraw/zinc/40/45/27/778404527.db2.gz DZSLHMDSVHPQGB-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(COCC)CC3)C[C@@H]21 ZINC001177040921 778404530 /nfs/dbraw/zinc/40/45/30/778404530.db2.gz DZSLHMDSVHPQGB-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(CCC)CC3)C[C@H]21 ZINC001177093596 778443588 /nfs/dbraw/zinc/44/35/88/778443588.db2.gz HGDPRCXNAAENDA-CVEARBPZSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CCC)CC3)C[C@H]21 ZINC001177093596 778443594 /nfs/dbraw/zinc/44/35/94/778443594.db2.gz HGDPRCXNAAENDA-CVEARBPZSA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CCOC)[C@H]3C2)CCC1 ZINC001177100189 778446078 /nfs/dbraw/zinc/44/60/78/778446078.db2.gz APGXDSCBHSCJGL-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CCOC)[C@H]3C2)CCC1 ZINC001177100189 778446080 /nfs/dbraw/zinc/44/60/80/778446080.db2.gz APGXDSCBHSCJGL-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCCC(=O)NC[C@H](CC)Nc1[nH+]cnc2c1cnn2C ZINC001102985846 778528978 /nfs/dbraw/zinc/52/89/78/778528978.db2.gz XTVVKXNHZUJSQC-NSHDSACASA-N 1 2 302.382 1.636 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H](CC)Nc2cc[nH+]c(C)n2)c1 ZINC001103308979 778775505 /nfs/dbraw/zinc/77/55/05/778775505.db2.gz PPACNFOCZLPODI-OAHLLOKOSA-N 1 2 309.373 1.782 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2CCN(C(=O)C#CC(C)(C)C)[C@H]2C)o1 ZINC001178671673 779130041 /nfs/dbraw/zinc/13/00/41/779130041.db2.gz LAVVXEFDPNYZOI-STQMWFEESA-N 1 2 318.421 1.761 20 30 DDEDLO N#Cc1cc[nH]c1NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001178987738 779252687 /nfs/dbraw/zinc/25/26/87/779252687.db2.gz GCHUYQAXKURQGD-HNNXBMFYSA-N 1 2 310.357 1.726 20 30 DDEDLO N#Cc1cc[nH]c1NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001178987738 779252693 /nfs/dbraw/zinc/25/26/93/779252693.db2.gz GCHUYQAXKURQGD-HNNXBMFYSA-N 1 2 310.357 1.726 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001111910767 779554393 /nfs/dbraw/zinc/55/43/93/779554393.db2.gz FWVIGYTYVCCATO-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H](C)[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001112320127 779715125 /nfs/dbraw/zinc/71/51/25/779715125.db2.gz NSAFNBXZPNVZDD-GXTWGEPZSA-N 1 2 304.394 1.637 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)[C@@H](CNc1ccc(C#N)cn1)C1CC1 ZINC001115524981 780212062 /nfs/dbraw/zinc/21/20/62/780212062.db2.gz IWVRVIWKPPHIFF-HNNXBMFYSA-N 1 2 324.388 1.499 20 30 DDEDLO Cc1nsc(N[C@@H](C)CN(C)C(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001119980614 781706715 /nfs/dbraw/zinc/70/67/15/781706715.db2.gz XSXBZGBWQPLJNC-VIFPVBQESA-N 1 2 318.406 1.548 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@@H+](C)Cc2cc(OC)no2)cc1 ZINC001267210680 837540321 /nfs/dbraw/zinc/54/03/21/837540321.db2.gz LHWYVOIDSZUHCD-UHFFFAOYSA-N 1 2 313.357 1.526 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@H+](C)Cc2cc(OC)no2)cc1 ZINC001267210680 837540331 /nfs/dbraw/zinc/54/03/31/837540331.db2.gz LHWYVOIDSZUHCD-UHFFFAOYSA-N 1 2 313.357 1.526 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@@H+](C)CC(=O)N(C)CC2CC2)CC1 ZINC001267216466 837549469 /nfs/dbraw/zinc/54/94/69/837549469.db2.gz YBZGWMMXBPMWRM-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@H+](C)CC(=O)N(C)CC2CC2)CC1 ZINC001267216466 837549473 /nfs/dbraw/zinc/54/94/73/837549473.db2.gz YBZGWMMXBPMWRM-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+](Cc2nnc3n2CCCC3)C1 ZINC001267288926 837750246 /nfs/dbraw/zinc/75/02/46/837750246.db2.gz WEXAQUNLQNUHGN-AWEZNQCLSA-N 1 2 317.437 1.519 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+](Cc2nnc3n2CCCC3)C1 ZINC001267288926 837750252 /nfs/dbraw/zinc/75/02/52/837750252.db2.gz WEXAQUNLQNUHGN-AWEZNQCLSA-N 1 2 317.437 1.519 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001266246748 835981163 /nfs/dbraw/zinc/98/11/63/835981163.db2.gz WCYSEUJXPXTJTO-GJZGRUSLSA-N 1 2 322.453 1.279 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001266246748 835981175 /nfs/dbraw/zinc/98/11/75/835981175.db2.gz WCYSEUJXPXTJTO-GJZGRUSLSA-N 1 2 322.453 1.279 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)Cc2cc(C)ccc2F)CC1 ZINC001266297373 836073476 /nfs/dbraw/zinc/07/34/76/836073476.db2.gz OGJNJAJSSCJEHC-UHFFFAOYSA-N 1 2 317.408 1.044 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](CC(=O)NCc2cccs2)C1 ZINC001266307392 836091390 /nfs/dbraw/zinc/09/13/90/836091390.db2.gz CEVAMFMPLMNDGF-ZDUSSCGKSA-N 1 2 321.446 1.521 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](CC(=O)NCc2cccs2)C1 ZINC001266307392 836091398 /nfs/dbraw/zinc/09/13/98/836091398.db2.gz CEVAMFMPLMNDGF-ZDUSSCGKSA-N 1 2 321.446 1.521 20 30 DDEDLO C=C(CC(C)C)C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC001346308774 836221447 /nfs/dbraw/zinc/22/14/47/836221447.db2.gz WNELKNDIUTZVCE-MRXNPFEDSA-N 1 2 312.479 1.913 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@H+]1Cc1ncc(C)s1 ZINC001266453776 836278620 /nfs/dbraw/zinc/27/86/20/836278620.db2.gz LCIJMLXKNZHBOB-ZDUSSCGKSA-N 1 2 309.435 1.735 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1ncc(C)s1 ZINC001266453776 836278632 /nfs/dbraw/zinc/27/86/32/836278632.db2.gz LCIJMLXKNZHBOB-ZDUSSCGKSA-N 1 2 309.435 1.735 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001266894161 837016086 /nfs/dbraw/zinc/01/60/86/837016086.db2.gz GYKMSHGMNMGVCY-LSDHHAIUSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001266894161 837016098 /nfs/dbraw/zinc/01/60/98/837016098.db2.gz GYKMSHGMNMGVCY-LSDHHAIUSA-N 1 2 319.453 1.888 20 30 DDEDLO C#CC[NH2+][C@H](CNC(=O)c1cnn[nH]1)c1ccc(C(C)C)cc1 ZINC001267345460 837873193 /nfs/dbraw/zinc/87/31/93/837873193.db2.gz FSMPFEPSPNFLPS-OAHLLOKOSA-N 1 2 311.389 1.622 20 30 DDEDLO C#CC[N@H+]1CCC[C@@](CO)(NC(=O)c2cnc(C3CC3)s2)C1 ZINC001271988644 844271236 /nfs/dbraw/zinc/27/12/36/844271236.db2.gz WLNDCRZOWPPMBO-MRXNPFEDSA-N 1 2 319.430 1.210 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@](CO)(NC(=O)c2cnc(C3CC3)s2)C1 ZINC001271988644 844271244 /nfs/dbraw/zinc/27/12/44/844271244.db2.gz WLNDCRZOWPPMBO-MRXNPFEDSA-N 1 2 319.430 1.210 20 30 DDEDLO C[C@@H](CC(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2CC#N)n1cc[nH+]c1 ZINC001279237565 837901928 /nfs/dbraw/zinc/90/19/28/837901928.db2.gz ZZHQLRRQEPKEMF-JONQDZQNSA-N 1 2 315.421 1.717 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1conc1C ZINC001267406202 838002089 /nfs/dbraw/zinc/00/20/89/838002089.db2.gz XNQPMHUYJIAUTF-CWRNSKLLSA-N 1 2 317.389 1.197 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1conc1C ZINC001267406202 838002103 /nfs/dbraw/zinc/00/21/03/838002103.db2.gz XNQPMHUYJIAUTF-CWRNSKLLSA-N 1 2 317.389 1.197 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[C@@H]1CC[N@H+](Cc2csnn2)C1 ZINC001267524032 838245691 /nfs/dbraw/zinc/24/56/91/838245691.db2.gz NSYNCIVCKXDCCU-DOMZBBRYSA-N 1 2 324.450 1.193 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[C@@H]1CC[N@@H+](Cc2csnn2)C1 ZINC001267524032 838245697 /nfs/dbraw/zinc/24/56/97/838245697.db2.gz NSYNCIVCKXDCCU-DOMZBBRYSA-N 1 2 324.450 1.193 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@@H]2CCCC[C@@H]2C)CC1 ZINC001267617223 838493996 /nfs/dbraw/zinc/49/39/96/838493996.db2.gz AWIYWFUZPQOCDB-ROUUACIJSA-N 1 2 319.493 1.912 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001267623648 838516929 /nfs/dbraw/zinc/51/69/29/838516929.db2.gz VNPPGMILRCNPRP-ZFWWWQNUSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001267623648 838516935 /nfs/dbraw/zinc/51/69/35/838516935.db2.gz VNPPGMILRCNPRP-ZFWWWQNUSA-N 1 2 307.438 1.448 20 30 DDEDLO CC[C@H](CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1)C(C)C ZINC001267633934 838541813 /nfs/dbraw/zinc/54/18/13/838541813.db2.gz VVCWKIALLIPPBB-CABCVRRESA-N 1 2 322.453 1.279 20 30 DDEDLO CC[C@H](CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1)C(C)C ZINC001267633934 838541824 /nfs/dbraw/zinc/54/18/24/838541824.db2.gz VVCWKIALLIPPBB-CABCVRRESA-N 1 2 322.453 1.279 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)[C@@H]2OCCc3ccccc32)C1 ZINC001267656273 838592282 /nfs/dbraw/zinc/59/22/82/838592282.db2.gz ZZFOIZRFEOMPAH-QGZVFWFLSA-N 1 2 316.401 1.303 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CC[C@H](NC(=O)CSCC#N)C2)cn1 ZINC001267719011 838725415 /nfs/dbraw/zinc/72/54/15/838725415.db2.gz NLLPXYRFJDRLML-STQMWFEESA-N 1 2 319.434 1.293 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CC[C@H](NC(=O)CSCC#N)C2)cn1 ZINC001267719011 838725419 /nfs/dbraw/zinc/72/54/19/838725419.db2.gz NLLPXYRFJDRLML-STQMWFEESA-N 1 2 319.434 1.293 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001267734689 838785304 /nfs/dbraw/zinc/78/53/04/838785304.db2.gz UPCAIFQRYVHOFT-AWEZNQCLSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001267734689 838785309 /nfs/dbraw/zinc/78/53/09/838785309.db2.gz UPCAIFQRYVHOFT-AWEZNQCLSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCCC(=O)N1CC(n2cc(C[NH2+]C/C=C/Cl)nn2)C1 ZINC001105184932 839162547 /nfs/dbraw/zinc/16/25/47/839162547.db2.gz CXPMYYQMJVXKCH-GQCTYLIASA-N 1 2 309.801 1.470 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C[NH2+]Cc1cnsn1)CC(C)C ZINC001268105398 839695522 /nfs/dbraw/zinc/69/55/22/839695522.db2.gz OKFWSDNRPHWJIF-CYBMUJFWSA-N 1 2 308.451 1.818 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@H](NC(=O)C#CC(C)(C)C)C2)cn1 ZINC001268194915 839824456 /nfs/dbraw/zinc/82/44/56/839824456.db2.gz LELGKYJAYHUBHZ-HNNXBMFYSA-N 1 2 314.433 1.915 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@H](NC(=O)C#CC(C)(C)C)C2)cn1 ZINC001268194915 839824458 /nfs/dbraw/zinc/82/44/58/839824458.db2.gz LELGKYJAYHUBHZ-HNNXBMFYSA-N 1 2 314.433 1.915 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1cccc(C)c1 ZINC001268230425 839864209 /nfs/dbraw/zinc/86/42/09/839864209.db2.gz PTNSCGLFWHHXSX-UHFFFAOYSA-N 1 2 303.406 1.301 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1cccc(C)c1 ZINC001268230425 839864216 /nfs/dbraw/zinc/86/42/16/839864216.db2.gz PTNSCGLFWHHXSX-UHFFFAOYSA-N 1 2 303.406 1.301 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C\C[NH2+][C@@H](C)c2ncccn2)cn1 ZINC001268618532 840587052 /nfs/dbraw/zinc/58/70/52/840587052.db2.gz RAGOTQUFJUWSLA-WSNITJDQSA-N 1 2 321.384 1.490 20 30 DDEDLO C[C@H](C[N@H+](C)Cc1cc2n(n1)CCC2)NC(=O)C#CC(C)(C)C ZINC001268729614 840724678 /nfs/dbraw/zinc/72/46/78/840724678.db2.gz HQWKYABDJDKCNJ-CQSZACIVSA-N 1 2 316.449 1.815 20 30 DDEDLO C[C@H](C[N@@H+](C)Cc1cc2n(n1)CCC2)NC(=O)C#CC(C)(C)C ZINC001268729614 840724681 /nfs/dbraw/zinc/72/46/81/840724681.db2.gz HQWKYABDJDKCNJ-CQSZACIVSA-N 1 2 316.449 1.815 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)C(=O)N1CCC[C@@H](C)C1 ZINC001268748221 840752792 /nfs/dbraw/zinc/75/27/92/840752792.db2.gz DWVOTBJCZNRCJC-DGCLKSJQSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)C(=O)N1CCC[C@@H](C)C1 ZINC001268748221 840752800 /nfs/dbraw/zinc/75/28/00/840752800.db2.gz DWVOTBJCZNRCJC-DGCLKSJQSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)CCC[NH2+][C@@H](C)c1noc(C)n1 ZINC001268789006 840809801 /nfs/dbraw/zinc/80/98/01/840809801.db2.gz GAIJPSYWFBXRLX-XHDPSFHLSA-N 1 2 310.398 1.204 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](C)C(=O)NC1CC1 ZINC001268934350 841004477 /nfs/dbraw/zinc/00/44/77/841004477.db2.gz DJKHGEROKVJJNM-KBMXLJTQSA-N 1 2 317.433 1.132 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](C)C(=O)NC1CC1 ZINC001268934350 841004483 /nfs/dbraw/zinc/00/44/83/841004483.db2.gz DJKHGEROKVJJNM-KBMXLJTQSA-N 1 2 317.433 1.132 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCCC2(C[NH+](CC(=O)N(C)C)C2)C1 ZINC001268985032 841086742 /nfs/dbraw/zinc/08/67/42/841086742.db2.gz DBFCLPJTOICEJM-UHFFFAOYSA-N 1 2 321.465 1.601 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001269129515 841246242 /nfs/dbraw/zinc/24/62/42/841246242.db2.gz MERKNWYORAYCML-TZMCWYRMSA-N 1 2 305.378 1.020 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001269129515 841246248 /nfs/dbraw/zinc/24/62/48/841246248.db2.gz MERKNWYORAYCML-TZMCWYRMSA-N 1 2 305.378 1.020 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H]1CCN(C2CCC2)C1=O ZINC001269223211 841374632 /nfs/dbraw/zinc/37/46/32/841374632.db2.gz MHTXGWRJHVPQLY-JKSUJKDBSA-N 1 2 317.433 1.134 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@@H]1CCN(C2CCC2)C1=O ZINC001269223211 841374643 /nfs/dbraw/zinc/37/46/43/841374643.db2.gz MHTXGWRJHVPQLY-JKSUJKDBSA-N 1 2 317.433 1.134 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H](C)n1cnc2ccccc21 ZINC001269327060 841521923 /nfs/dbraw/zinc/52/19/23/841521923.db2.gz ROJNRUKRMDVRNX-GJZGRUSLSA-N 1 2 310.401 1.811 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H](C)n1cnc2ccccc21 ZINC001269327060 841521929 /nfs/dbraw/zinc/52/19/29/841521929.db2.gz ROJNRUKRMDVRNX-GJZGRUSLSA-N 1 2 310.401 1.811 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001270556123 842668865 /nfs/dbraw/zinc/66/88/65/842668865.db2.gz ANHQKNFFEOIIKG-INIZCTEOSA-N 1 2 307.394 1.575 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001270556123 842668868 /nfs/dbraw/zinc/66/88/68/842668868.db2.gz ANHQKNFFEOIIKG-INIZCTEOSA-N 1 2 307.394 1.575 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)CC2CCC(OC)CC2)C1 ZINC001270700264 842830066 /nfs/dbraw/zinc/83/00/66/842830066.db2.gz FEDQMTVACVQLCL-JCYILVPMSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)CC2CCC(OC)CC2)C1 ZINC001270700264 842830074 /nfs/dbraw/zinc/83/00/74/842830074.db2.gz FEDQMTVACVQLCL-JCYILVPMSA-N 1 2 324.465 1.975 20 30 DDEDLO CC#CC(=O)N1CCC[C@]2(C1)C[N@H+](Cc1ccc[nH]1)CCO2 ZINC001270717158 842844492 /nfs/dbraw/zinc/84/44/92/842844492.db2.gz VXKNIMWBGXVYAW-QGZVFWFLSA-N 1 2 301.390 1.231 20 30 DDEDLO CC#CC(=O)N1CCC[C@]2(C1)C[N@@H+](Cc1ccc[nH]1)CCO2 ZINC001270717158 842844500 /nfs/dbraw/zinc/84/45/00/842844500.db2.gz VXKNIMWBGXVYAW-QGZVFWFLSA-N 1 2 301.390 1.231 20 30 DDEDLO C=CCSCC(=O)N1CC2(C1)CC[N@@H+](COCCOC)C2 ZINC001271194298 843400524 /nfs/dbraw/zinc/40/05/24/843400524.db2.gz JCIRUQUHFFISSH-UHFFFAOYSA-N 1 2 314.451 1.060 20 30 DDEDLO C=CCSCC(=O)N1CC2(C1)CC[N@H+](COCCOC)C2 ZINC001271194298 843400531 /nfs/dbraw/zinc/40/05/31/843400531.db2.gz JCIRUQUHFFISSH-UHFFFAOYSA-N 1 2 314.451 1.060 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C[C@H]2C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001271212532 843417458 /nfs/dbraw/zinc/41/74/58/843417458.db2.gz UBDYEMHWUDKKKD-NEPJUHHUSA-N 1 2 323.356 1.281 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CC[C@H](C)OC)C1 ZINC001149574429 861720921 /nfs/dbraw/zinc/72/09/21/861720921.db2.gz YAYHUKWZVNBOHS-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CC[C@H](C)OC)C1 ZINC001149574429 861720929 /nfs/dbraw/zinc/72/09/29/861720929.db2.gz YAYHUKWZVNBOHS-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]1(C)C[N@H+](Cc2ccsc2)CCO1 ZINC001107820779 847220581 /nfs/dbraw/zinc/22/05/81/847220581.db2.gz CLGGTSWXCOYXOA-SWLSCSKDSA-N 1 2 307.419 1.615 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]1(C)C[N@@H+](Cc2ccsc2)CCO1 ZINC001107820779 847220586 /nfs/dbraw/zinc/22/05/86/847220586.db2.gz CLGGTSWXCOYXOA-SWLSCSKDSA-N 1 2 307.419 1.615 20 30 DDEDLO C=C(C)C[N@@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744770 861868292 /nfs/dbraw/zinc/86/82/92/861868292.db2.gz DDXZZYQKZIYPQZ-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)CCn2cc(C)cn2)C1 ZINC001409974003 848123042 /nfs/dbraw/zinc/12/30/42/848123042.db2.gz PAXJMICPARPVHD-CYBMUJFWSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(C)C[N@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744770 861868306 /nfs/dbraw/zinc/86/83/06/861868306.db2.gz DDXZZYQKZIYPQZ-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149744770 861868328 /nfs/dbraw/zinc/86/83/28/861868328.db2.gz DDXZZYQKZIYPQZ-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149744770 861868351 /nfs/dbraw/zinc/86/83/51/861868351.db2.gz DDXZZYQKZIYPQZ-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001034396633 848460353 /nfs/dbraw/zinc/46/03/53/848460353.db2.gz FGSVNXACPRHECJ-LLVKDONJSA-N 1 2 324.812 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001034396633 848460361 /nfs/dbraw/zinc/46/03/61/848460361.db2.gz FGSVNXACPRHECJ-LLVKDONJSA-N 1 2 324.812 1.450 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2ccc(OC)cc2C#N)C1=O ZINC001273236254 848963111 /nfs/dbraw/zinc/96/31/11/848963111.db2.gz CZWHOODTNOQIRD-IBGZPJMESA-N 1 2 323.396 1.767 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2ccc(OC)cc2C#N)C1=O ZINC001273236254 848963116 /nfs/dbraw/zinc/96/31/16/848963116.db2.gz CZWHOODTNOQIRD-IBGZPJMESA-N 1 2 323.396 1.767 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2ccnc(SC)n2)C1=O ZINC001273281095 849326209 /nfs/dbraw/zinc/32/62/09/849326209.db2.gz AVZQUIYPNVCXMZ-MRXNPFEDSA-N 1 2 316.430 1.399 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2ccnc(SC)n2)C1=O ZINC001273281095 849326216 /nfs/dbraw/zinc/32/62/16/849326216.db2.gz AVZQUIYPNVCXMZ-MRXNPFEDSA-N 1 2 316.430 1.399 20 30 DDEDLO CC#CCCCC(=O)N1CCO[C@@H](C[NH2+]Cc2cnsn2)C1 ZINC001273300924 849368392 /nfs/dbraw/zinc/36/83/92/849368392.db2.gz OGRFLNOHWMLYLO-AWEZNQCLSA-N 1 2 322.434 1.049 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC2(C[NH+](CCOCC)C2)O1 ZINC001327348942 862087258 /nfs/dbraw/zinc/08/72/58/862087258.db2.gz DLLKBXQCEZBEDR-OAHLLOKOSA-N 1 2 308.422 1.176 20 30 DDEDLO C=CCN1CC2(C[NH+](CCc3ccc(C#N)cc3)C2)OCC1=O ZINC001273460501 850846101 /nfs/dbraw/zinc/84/61/01/850846101.db2.gz MPEUDGIRGMHZBF-UHFFFAOYSA-N 1 2 311.385 1.200 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cnc(OC)c(F)c2)C1=O ZINC001273664384 851199607 /nfs/dbraw/zinc/19/96/07/851199607.db2.gz JIKZGZJNWPZYOG-KRWDZBQOSA-N 1 2 317.364 1.429 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cnc(OC)c(F)c2)C1=O ZINC001273664384 851199610 /nfs/dbraw/zinc/19/96/10/851199610.db2.gz JIKZGZJNWPZYOG-KRWDZBQOSA-N 1 2 317.364 1.429 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)C[C@H](C)COC)O2 ZINC001273669127 851204170 /nfs/dbraw/zinc/20/41/70/851204170.db2.gz NOVRGQLJIUTVNN-GJZGRUSLSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1Cc2ccccc2C[N@H+]1[C@@H](C)C(N)=O ZINC001327505109 862221763 /nfs/dbraw/zinc/22/17/63/862221763.db2.gz BJAUAWPNYVZRKB-BBRMVZONSA-N 1 2 315.417 1.370 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1Cc2ccccc2C[N@@H+]1[C@@H](C)C(N)=O ZINC001327505109 862221776 /nfs/dbraw/zinc/22/17/76/862221776.db2.gz BJAUAWPNYVZRKB-BBRMVZONSA-N 1 2 315.417 1.370 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@@](CO)([NH2+]Cc2ccon2)C1 ZINC001327568058 862281840 /nfs/dbraw/zinc/28/18/40/862281840.db2.gz AYMZRUZQAMSBTQ-QGZVFWFLSA-N 1 2 321.421 1.720 20 30 DDEDLO CC[C@@H](F)C[NH+]1CC(N2CCN(C(=O)C#CC(C)C)CC2)C1 ZINC001274474421 852308168 /nfs/dbraw/zinc/30/81/68/852308168.db2.gz MRSLALZGDMBVRE-OAHLLOKOSA-N 1 2 309.429 1.222 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)COCC[N@H+](Cc1cnsc1)C2 ZINC001274516949 852354002 /nfs/dbraw/zinc/35/40/02/852354002.db2.gz UWZJENNNYZCYRU-MRXNPFEDSA-N 1 2 321.446 1.770 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)COCC[N@@H+](Cc1cnsc1)C2 ZINC001274516949 852354013 /nfs/dbraw/zinc/35/40/13/852354013.db2.gz UWZJENNNYZCYRU-MRXNPFEDSA-N 1 2 321.446 1.770 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@@H]1c2ccccc2CCN1CC#N ZINC001274855101 852643702 /nfs/dbraw/zinc/64/37/02/852643702.db2.gz CGPMDAMPQIPRCJ-QGZVFWFLSA-N 1 2 323.400 1.202 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CC3(OC)CCC3)CC2)C1 ZINC001274868308 852657923 /nfs/dbraw/zinc/65/79/23/852657923.db2.gz XBRIDBUURMTFSP-UHFFFAOYSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CC3(OC)CCC3)CC2)C1 ZINC001274868308 852657928 /nfs/dbraw/zinc/65/79/28/852657928.db2.gz XBRIDBUURMTFSP-UHFFFAOYSA-N 1 2 320.433 1.272 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@H]2CCCN2C(=O)CSCC#N)no1 ZINC001275087153 852797361 /nfs/dbraw/zinc/79/73/61/852797361.db2.gz JMSQFZXXHIEFHO-CQSZACIVSA-N 1 2 322.434 1.663 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@H]2CCCN2C(=O)CSCC#N)no1 ZINC001275087153 852797366 /nfs/dbraw/zinc/79/73/66/852797366.db2.gz JMSQFZXXHIEFHO-CQSZACIVSA-N 1 2 322.434 1.663 20 30 DDEDLO Cc1cnc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)cn1 ZINC001276356462 855713788 /nfs/dbraw/zinc/71/37/88/855713788.db2.gz VHIRJAXITUPXLF-UHFFFAOYSA-N 1 2 322.416 1.313 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@@H+]2CCOC[C@@H]2[C@H]2CCCO2)c1 ZINC001412979639 855852651 /nfs/dbraw/zinc/85/26/51/855852651.db2.gz BIKNXARPSGNQHB-BRWVUGGUSA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@H+]2CCOC[C@@H]2[C@H]2CCCO2)c1 ZINC001412979639 855852662 /nfs/dbraw/zinc/85/26/62/855852662.db2.gz BIKNXARPSGNQHB-BRWVUGGUSA-N 1 2 302.374 1.471 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001072631481 857535359 /nfs/dbraw/zinc/53/53/59/857535359.db2.gz AFFSWTNHFQJVRV-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001072631481 857535368 /nfs/dbraw/zinc/53/53/68/857535368.db2.gz AFFSWTNHFQJVRV-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(C2)CC[N@H+](Cc2ncccn2)C3)[nH]1 ZINC001072634543 857539431 /nfs/dbraw/zinc/53/94/31/857539431.db2.gz BKNYRFQLJRZSNY-UHFFFAOYSA-N 1 2 322.372 1.024 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(C2)CC[N@@H+](Cc2ncccn2)C3)[nH]1 ZINC001072634543 857539441 /nfs/dbraw/zinc/53/94/41/857539441.db2.gz BKNYRFQLJRZSNY-UHFFFAOYSA-N 1 2 322.372 1.024 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cncnc2C)C1 ZINC001073541532 858425539 /nfs/dbraw/zinc/42/55/39/858425539.db2.gz RERSPFCURLCEJM-CYBMUJFWSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cncnc2C)C1 ZINC001073541532 858425543 /nfs/dbraw/zinc/42/55/43/858425543.db2.gz RERSPFCURLCEJM-CYBMUJFWSA-N 1 2 324.812 1.358 20 30 DDEDLO C#CCOCCC(=O)N1C[C@@H](Nc2cc[nH+]c(C)n2)C(C)(C)C1 ZINC001123971313 859503592 /nfs/dbraw/zinc/50/35/92/859503592.db2.gz DQPXXDUIXXBRQV-CQSZACIVSA-N 1 2 316.405 1.474 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1nnc(C2CC2)o1 ZINC001125248463 859934272 /nfs/dbraw/zinc/93/42/72/859934272.db2.gz XPQVCKJVWNTQGH-UHFFFAOYSA-N 1 2 308.382 1.136 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)[C@H](C)CNC(=O)c1cn(C)ccc1=O ZINC001154272792 860941144 /nfs/dbraw/zinc/94/11/44/860941144.db2.gz RFYRNAZLUIFGKU-GFCCVEGCSA-N 1 2 311.813 1.578 20 30 DDEDLO C=C(Cl)C[N@H+](CC)[C@H](C)CNC(=O)c1cn(C)ccc1=O ZINC001154272792 860941157 /nfs/dbraw/zinc/94/11/57/860941157.db2.gz RFYRNAZLUIFGKU-GFCCVEGCSA-N 1 2 311.813 1.578 20 30 DDEDLO CCn1ccc(C[N@H+](C)C[C@@H]2CCCN2C(=O)C#CC(C)C)n1 ZINC001328719545 863172295 /nfs/dbraw/zinc/17/22/95/863172295.db2.gz ILNPGIGFCWAASD-KRWDZBQOSA-N 1 2 316.449 1.985 20 30 DDEDLO CCn1ccc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)C#CC(C)C)n1 ZINC001328719545 863172305 /nfs/dbraw/zinc/17/23/05/863172305.db2.gz ILNPGIGFCWAASD-KRWDZBQOSA-N 1 2 316.449 1.985 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1nccn1C ZINC001328721577 863174565 /nfs/dbraw/zinc/17/45/65/863174565.db2.gz VSUCXFVJGBGSBO-YOEHRIQHSA-N 1 2 320.437 1.170 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]1C[N@H+](C)Cc1nccn1C ZINC001328721577 863174576 /nfs/dbraw/zinc/17/45/76/863174576.db2.gz VSUCXFVJGBGSBO-YOEHRIQHSA-N 1 2 320.437 1.170 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@@H](C)CNC(=O)CSCC#N)n1 ZINC001329386928 863636330 /nfs/dbraw/zinc/63/63/30/863636330.db2.gz UHJOAOVCCLBQTO-LBPRGKRZSA-N 1 2 309.439 1.096 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@@H](C)CNC(=O)CSCC#N)n1 ZINC001329386928 863636335 /nfs/dbraw/zinc/63/63/35/863636335.db2.gz UHJOAOVCCLBQTO-LBPRGKRZSA-N 1 2 309.439 1.096 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2cnsn2)CCCCC1 ZINC001153009713 863650343 /nfs/dbraw/zinc/65/03/43/863650343.db2.gz QHQMDCNLRVTSMV-UHFFFAOYSA-N 1 2 306.435 1.718 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)[N@H+](C)CC(=O)Nc1ccon1 ZINC001329448914 863672956 /nfs/dbraw/zinc/67/29/56/863672956.db2.gz FEMLFKFNGKZJPT-LBPRGKRZSA-N 1 2 308.382 1.406 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)[N@@H+](C)CC(=O)Nc1ccon1 ZINC001329448914 863672959 /nfs/dbraw/zinc/67/29/59/863672959.db2.gz FEMLFKFNGKZJPT-LBPRGKRZSA-N 1 2 308.382 1.406 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001153081520 863699463 /nfs/dbraw/zinc/69/94/63/863699463.db2.gz SAENWLKQTDWZEX-UHFFFAOYSA-N 1 2 320.349 1.128 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)o1 ZINC001153326996 863827135 /nfs/dbraw/zinc/82/71/35/863827135.db2.gz IMZPEYHQCPAESO-OCCSQVGLSA-N 1 2 307.394 1.348 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)o1 ZINC001153326996 863827140 /nfs/dbraw/zinc/82/71/40/863827140.db2.gz IMZPEYHQCPAESO-OCCSQVGLSA-N 1 2 307.394 1.348 20 30 DDEDLO CCc1nc(C#N)c(C#N)nc1NCC1([NH+]2CCCC2)COC1 ZINC001157654155 864017045 /nfs/dbraw/zinc/01/70/45/864017045.db2.gz APAFVNNZTLFQDW-UHFFFAOYSA-N 1 2 312.377 1.059 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@@H](C)C(CC)CC)C1 ZINC001330020219 864023674 /nfs/dbraw/zinc/02/36/74/864023674.db2.gz HGEWTDKYDPQTOJ-UONOGXRCSA-N 1 2 321.465 1.245 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)C2C[NH+](CC(=O)NCC)C2)CCC1 ZINC001330045724 864043943 /nfs/dbraw/zinc/04/39/43/864043943.db2.gz DNZDFGGOICWOIA-ZDUSSCGKSA-N 1 2 307.438 1.305 20 30 DDEDLO COc1cc(N2CCN(c3ncc(F)cc3C#N)CC2)cc[nH+]1 ZINC001158098288 864426641 /nfs/dbraw/zinc/42/66/41/864426641.db2.gz OUXZAWZCNZHAGF-UHFFFAOYSA-N 1 2 313.336 1.823 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCCC[NH2+]Cc1noc(CC)n1 ZINC001158309878 864566519 /nfs/dbraw/zinc/56/65/19/864566519.db2.gz CKZBNRSVRDJBGK-OLZOCXBDSA-N 1 2 322.409 1.209 20 30 DDEDLO C=C(Br)C[NH2+]CCCNC(=O)C(F)C(F)(F)F ZINC001158471037 864673612 /nfs/dbraw/zinc/67/36/12/864673612.db2.gz HEPQQTDHQYWWNU-ZETCQYMHSA-N 1 2 321.112 1.891 20 30 DDEDLO C=C(Br)C[NH2+]CCCNC(=O)[C@H](F)C(F)(F)F ZINC001158471037 864673617 /nfs/dbraw/zinc/67/36/17/864673617.db2.gz HEPQQTDHQYWWNU-ZETCQYMHSA-N 1 2 321.112 1.891 20 30 DDEDLO CCc1nnc(C[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001159586732 865413306 /nfs/dbraw/zinc/41/33/06/865413306.db2.gz BOSSUFPYXILUDC-LBPRGKRZSA-N 1 2 317.393 1.430 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001159586732 865413317 /nfs/dbraw/zinc/41/33/17/865413317.db2.gz BOSSUFPYXILUDC-LBPRGKRZSA-N 1 2 317.393 1.430 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2cc(F)c[nH]2)[C@@H]1C ZINC001332187756 865650997 /nfs/dbraw/zinc/65/09/97/865650997.db2.gz XZTMBBYVRJMYEG-AAEUAGOBSA-N 1 2 322.384 1.039 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2cc(F)c[nH]2)[C@@H]1C ZINC001332187756 865650999 /nfs/dbraw/zinc/65/09/99/865650999.db2.gz XZTMBBYVRJMYEG-AAEUAGOBSA-N 1 2 322.384 1.039 20 30 DDEDLO C=C[C@@H](COC)NC(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC001332557878 865931666 /nfs/dbraw/zinc/93/16/66/865931666.db2.gz HWBPEQOONUNUPT-HNNXBMFYSA-N 1 2 314.389 1.926 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](O)C[NH2+]Cc1cnsn1 ZINC001332566816 865939655 /nfs/dbraw/zinc/93/96/55/865939655.db2.gz QRZMZDLQGKRMCC-JSGCOSHPSA-N 1 2 312.439 1.049 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1cc(Cl)ccc1OC ZINC001319773259 866283072 /nfs/dbraw/zinc/28/30/72/866283072.db2.gz MDCNQNVNNRJCMB-UHFFFAOYSA-N 1 2 310.781 1.869 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1cc(Cl)ccc1OC ZINC001319773259 866283088 /nfs/dbraw/zinc/28/30/88/866283088.db2.gz MDCNQNVNNRJCMB-UHFFFAOYSA-N 1 2 310.781 1.869 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)CC[N@H+](C)Cc1nc(C)cs1 ZINC001319991722 866420565 /nfs/dbraw/zinc/42/05/65/866420565.db2.gz SENOMCAYIHQJIS-HNNXBMFYSA-N 1 2 311.451 1.669 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)CC[N@@H+](C)Cc1nc(C)cs1 ZINC001319991722 866420571 /nfs/dbraw/zinc/42/05/71/866420571.db2.gz SENOMCAYIHQJIS-HNNXBMFYSA-N 1 2 311.451 1.669 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)CC[N@H+](C)Cc1cncn1C ZINC001320058240 866451276 /nfs/dbraw/zinc/45/12/76/866451276.db2.gz JLZKVRSTIWHEDG-HOTGVXAUSA-N 1 2 320.437 1.292 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)CC[N@@H+](C)Cc1cncn1C ZINC001320058240 866451282 /nfs/dbraw/zinc/45/12/82/866451282.db2.gz JLZKVRSTIWHEDG-HOTGVXAUSA-N 1 2 320.437 1.292 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C(C)(C)CC=C ZINC001323309287 866519405 /nfs/dbraw/zinc/51/94/05/866519405.db2.gz NYQWRUDSTCDVSA-KGLIPLIRSA-N 1 2 307.438 1.470 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C(C)(C)CC=C ZINC001323309287 866519416 /nfs/dbraw/zinc/51/94/16/866519416.db2.gz NYQWRUDSTCDVSA-KGLIPLIRSA-N 1 2 307.438 1.470 20 30 DDEDLO C#CC[N@H+]1CC=C(CCNC(=O)c2cccc3nc[nH]c32)CC1 ZINC001161530517 866739039 /nfs/dbraw/zinc/73/90/39/866739039.db2.gz FCZNVVNMOINFBR-UHFFFAOYSA-N 1 2 308.385 1.948 20 30 DDEDLO C#CC[N@@H+]1CC=C(CCNC(=O)c2cccc3nc[nH]c32)CC1 ZINC001161530517 866739055 /nfs/dbraw/zinc/73/90/55/866739055.db2.gz FCZNVVNMOINFBR-UHFFFAOYSA-N 1 2 308.385 1.948 20 30 DDEDLO S=C(NCc1cccnc1)NN=Cc1cccc2[nH+]ccn21 ZINC001324139567 867099500 /nfs/dbraw/zinc/09/95/00/867099500.db2.gz JNEUVCSEFSNKAE-UHFFFAOYSA-N 1 2 310.386 1.728 20 30 DDEDLO N#CCNC[C@@H]1CCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001324198137 867138231 /nfs/dbraw/zinc/13/82/31/867138231.db2.gz CBZGNUAQXBSHHU-INIZCTEOSA-N 1 2 309.373 1.590 20 30 DDEDLO C=CCOCCCC(=O)N[C@]1(C)CC[N@H+](Cc2ncccn2)C1 ZINC001324603437 867395787 /nfs/dbraw/zinc/39/57/87/867395787.db2.gz YIEQGRIWDTWFEP-QGZVFWFLSA-N 1 2 318.421 1.540 20 30 DDEDLO C=CCOCCCC(=O)N[C@]1(C)CC[N@@H+](Cc2ncccn2)C1 ZINC001324603437 867395802 /nfs/dbraw/zinc/39/58/02/867395802.db2.gz YIEQGRIWDTWFEP-QGZVFWFLSA-N 1 2 318.421 1.540 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC/C=C/C[NH2+][C@@H](C)c1nc(C)no1 ZINC001321252027 867438099 /nfs/dbraw/zinc/43/80/99/867438099.db2.gz MHLUNUXFWTYUBL-SQHVPGORSA-N 1 2 320.393 1.292 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)N[C@](C)(C3CCCC3)C2=O)C1 ZINC001321808558 867805224 /nfs/dbraw/zinc/80/52/24/867805224.db2.gz HIFXIRLEMUYIIQ-WMLDXEAASA-N 1 2 321.421 1.722 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)N[C@](C)(C3CCCC3)C2=O)C1 ZINC001321808558 867805233 /nfs/dbraw/zinc/80/52/33/867805233.db2.gz HIFXIRLEMUYIIQ-WMLDXEAASA-N 1 2 321.421 1.722 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001163953655 868822650 /nfs/dbraw/zinc/82/26/50/868822650.db2.gz ZKMKBOZJWMMUFJ-UHFFFAOYSA-N 1 2 308.430 1.236 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001164223490 869034435 /nfs/dbraw/zinc/03/44/35/869034435.db2.gz SZONERXECJILCG-CHWSQXEVSA-N 1 2 324.425 1.313 20 30 DDEDLO C#Cc1ccc(NC(=O)C(=O)N2CCC[C@H](n3cc[nH+]c3)C2)cc1 ZINC001336589434 869034398 /nfs/dbraw/zinc/03/43/98/869034398.db2.gz BZXVDTBBPXHOON-INIZCTEOSA-N 1 2 322.368 1.667 20 30 DDEDLO C#CC[NH+]1CCN(c2cc(Cl)nc([C@@H]3CCCO3)n2)CC1 ZINC001337274391 869412983 /nfs/dbraw/zinc/41/29/83/869412983.db2.gz FLBXYOYRBLCCAA-LBPRGKRZSA-N 1 2 306.797 1.737 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H](NC(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001338094038 869799395 /nfs/dbraw/zinc/79/93/95/869799395.db2.gz YIWHDNKLCYCCRO-HUUCEWRRSA-N 1 2 316.405 1.038 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](CC)NC(=O)Cc1c[nH+]cn1C ZINC001297415550 870041094 /nfs/dbraw/zinc/04/10/94/870041094.db2.gz ATQNMPRSYOBDLF-ZDUSSCGKSA-N 1 2 306.410 1.330 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N(CC)CCC ZINC001338835211 870196321 /nfs/dbraw/zinc/19/63/21/870196321.db2.gz WHZLCLIJBMHDTQ-UHFFFAOYSA-N 1 2 321.469 1.905 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N(C[C@H](C)O)C1CC1 ZINC001338894704 870228681 /nfs/dbraw/zinc/22/86/81/870228681.db2.gz AJZBANNNAFIEQU-DZGCQCFKSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N(C[C@H](C)O)C1CC1 ZINC001338894704 870228698 /nfs/dbraw/zinc/22/86/98/870228698.db2.gz AJZBANNNAFIEQU-DZGCQCFKSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)CCOCC ZINC001338895160 870229901 /nfs/dbraw/zinc/22/99/01/870229901.db2.gz NSPGSPQMHQCQMW-KGLIPLIRSA-N 1 2 323.441 1.064 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)CCOCC ZINC001338895160 870229916 /nfs/dbraw/zinc/22/99/16/870229916.db2.gz NSPGSPQMHQCQMW-KGLIPLIRSA-N 1 2 323.441 1.064 20 30 DDEDLO N#Cc1c[nH]c2cc[nH+]c(N3CCN(c4cccnn4)CC3)c12 ZINC001166781726 870242821 /nfs/dbraw/zinc/24/28/21/870242821.db2.gz QHXPFKRRBSVEQY-UHFFFAOYSA-N 1 2 305.345 1.551 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001317171045 870407857 /nfs/dbraw/zinc/40/78/57/870407857.db2.gz MFZZZDGQLDRHBX-CQSZACIVSA-N 1 2 307.438 1.143 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001317171045 870407861 /nfs/dbraw/zinc/40/78/61/870407861.db2.gz MFZZZDGQLDRHBX-CQSZACIVSA-N 1 2 307.438 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)[NH2+][C@H](C)c2csnn2)cn1 ZINC001317280295 870571887 /nfs/dbraw/zinc/57/18/87/870571887.db2.gz QIFOFEGBDPECES-WDEREUQCSA-N 1 2 315.402 1.384 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001298710270 870686047 /nfs/dbraw/zinc/68/60/47/870686047.db2.gz YEPHLCYBFJLHFU-MGPQQGTHSA-N 1 2 318.421 1.501 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)Cc2c[nH+]ccc2OC)CC1 ZINC001299130278 870918748 /nfs/dbraw/zinc/91/87/48/870918748.db2.gz KPLVLQOXSWKJDZ-UHFFFAOYSA-N 1 2 317.389 1.364 20 30 DDEDLO COc1ccc(C(=O)CO[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cc1 ZINC001204805643 871240492 /nfs/dbraw/zinc/24/04/92/871240492.db2.gz HCHSCNCWLHBXIH-RCKFOTBSSA-N 1 2 303.358 1.507 20 30 DDEDLO COc1ccc(C(=O)CO[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cc1 ZINC001204805643 871240509 /nfs/dbraw/zinc/24/05/09/871240509.db2.gz HCHSCNCWLHBXIH-RCKFOTBSSA-N 1 2 303.358 1.507 20 30 DDEDLO CC[C@](COC)([NH2+]CCCC1(C#N)CCOCC1)C(=O)OC ZINC001307823173 871456423 /nfs/dbraw/zinc/45/64/23/871456423.db2.gz CFVYDQYMUUCLNR-MRXNPFEDSA-N 1 2 312.410 1.645 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(Cc3ccc(F)c(C#N)c3)C2)CCO1 ZINC001205493752 871587267 /nfs/dbraw/zinc/58/72/67/871587267.db2.gz OGUGFYDKGRRTEM-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO CC1(C)C[N@H+](C2CN(Cc3ccc(F)c(C#N)c3)C2)CCO1 ZINC001205493752 871587280 /nfs/dbraw/zinc/58/72/80/871587280.db2.gz OGUGFYDKGRRTEM-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C[C@H](C)CC(C)C)C1 ZINC001317949850 871647231 /nfs/dbraw/zinc/64/72/31/871647231.db2.gz NWUBXNDIFRBVMI-HZPDHXFCSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C[C@H](C)CC(C)C)C1 ZINC001317949850 871647244 /nfs/dbraw/zinc/64/72/44/871647244.db2.gz NWUBXNDIFRBVMI-HZPDHXFCSA-N 1 2 321.465 1.389 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NC1C[NH+](CCc2cnn(C)c2)C1)OCC ZINC001318029363 871699540 /nfs/dbraw/zinc/69/95/40/871699540.db2.gz VGMQZOSUVWPRGM-MRXNPFEDSA-N 1 2 320.437 1.134 20 30 DDEDLO C=CCCOCC(=O)NC1C[NH+](CCc2scnc2C)C1 ZINC001318093749 871741218 /nfs/dbraw/zinc/74/12/18/871741218.db2.gz ROPCBKCUEGSFJG-UHFFFAOYSA-N 1 2 309.435 1.387 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1oc(C)nc1C ZINC001318197363 871817165 /nfs/dbraw/zinc/81/71/65/871817165.db2.gz BMKNPZMJPIQMAS-SNPRPXQTSA-N 1 2 317.389 1.115 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1oc(C)nc1C ZINC001318197363 871817180 /nfs/dbraw/zinc/81/71/80/871817180.db2.gz BMKNPZMJPIQMAS-SNPRPXQTSA-N 1 2 317.389 1.115 20 30 DDEDLO Cc1nc(C[N@@H+](C)CCOCCN(C)C(=O)[C@H](C)C#N)cs1 ZINC001316762144 871833382 /nfs/dbraw/zinc/83/33/82/871833382.db2.gz WQAKAJHNTJYYJT-GFCCVEGCSA-N 1 2 324.450 1.518 20 30 DDEDLO Cc1nc(C[N@H+](C)CCOCCN(C)C(=O)[C@H](C)C#N)cs1 ZINC001316762144 871833391 /nfs/dbraw/zinc/83/33/91/871833391.db2.gz WQAKAJHNTJYYJT-GFCCVEGCSA-N 1 2 324.450 1.518 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC(=O)N(CC)C1CC1 ZINC001318216110 871838815 /nfs/dbraw/zinc/83/88/15/871838815.db2.gz LFPIKTSVYYBJKY-HOTGVXAUSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC(=O)N(CC)C1CC1 ZINC001318216110 871838829 /nfs/dbraw/zinc/83/88/29/871838829.db2.gz LFPIKTSVYYBJKY-HOTGVXAUSA-N 1 2 319.449 1.639 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1occc1C ZINC001318216614 871842077 /nfs/dbraw/zinc/84/20/77/871842077.db2.gz QMAIDIOVXMWNAZ-GJZGRUSLSA-N 1 2 302.374 1.065 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1occc1C ZINC001318216614 871842095 /nfs/dbraw/zinc/84/20/95/871842095.db2.gz QMAIDIOVXMWNAZ-GJZGRUSLSA-N 1 2 302.374 1.065 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2n[nH]cc2c1 ZINC001318216609 871842353 /nfs/dbraw/zinc/84/23/53/871842353.db2.gz QFKDWWGVMGJYFK-HOTGVXAUSA-N 1 2 324.384 1.111 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2n[nH]cc2c1 ZINC001318216609 871842375 /nfs/dbraw/zinc/84/23/75/871842375.db2.gz QFKDWWGVMGJYFK-HOTGVXAUSA-N 1 2 324.384 1.111 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+]([C@H](C)c2ncc(C)o2)C1 ZINC001318308902 871921185 /nfs/dbraw/zinc/92/11/85/871921185.db2.gz BKGNBKXSWRKNNW-KGLIPLIRSA-N 1 2 307.394 1.827 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+]([C@H](C)c2ncc(C)o2)C1 ZINC001318308902 871921187 /nfs/dbraw/zinc/92/11/87/871921187.db2.gz BKGNBKXSWRKNNW-KGLIPLIRSA-N 1 2 307.394 1.827 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)CC(=C)C ZINC001342062469 871978885 /nfs/dbraw/zinc/97/88/85/871978885.db2.gz VECGVZVPWAUSKC-KBPBESRZSA-N 1 2 305.426 1.604 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)CC(=C)C ZINC001342062469 871978895 /nfs/dbraw/zinc/97/88/95/871978895.db2.gz VECGVZVPWAUSKC-KBPBESRZSA-N 1 2 305.426 1.604 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](N(C)C(=O)CS(=O)(=O)C(C)(C)C)C1 ZINC001318441989 872044536 /nfs/dbraw/zinc/04/45/36/872044536.db2.gz IVBNEOYBTNWAMU-ZDUSSCGKSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)CS(=O)(=O)C(C)(C)C)C1 ZINC001318441989 872044556 /nfs/dbraw/zinc/04/45/56/872044556.db2.gz IVBNEOYBTNWAMU-ZDUSSCGKSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](NC(=O)CS(=O)(=O)CCCC)[C@H]1C ZINC001316799242 872061765 /nfs/dbraw/zinc/06/17/65/872061765.db2.gz AIEWTSSGRGUTSP-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](NC(=O)CS(=O)(=O)CCCC)[C@H]1C ZINC001316799242 872061792 /nfs/dbraw/zinc/06/17/92/872061792.db2.gz AIEWTSSGRGUTSP-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCC)C1 ZINC001206171059 872110851 /nfs/dbraw/zinc/11/08/51/872110851.db2.gz XWDVNMXXQKNXDE-YUSALJHKSA-N 1 2 319.243 1.757 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCC)C1 ZINC001206171059 872110858 /nfs/dbraw/zinc/11/08/58/872110858.db2.gz XWDVNMXXQKNXDE-YUSALJHKSA-N 1 2 319.243 1.757 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1cc2n(n1)CCCC2=O ZINC001342594024 872284280 /nfs/dbraw/zinc/28/42/80/872284280.db2.gz XRIZXWLXEALVCC-LBPRGKRZSA-N 1 2 301.346 1.114 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1cc2n(n1)CCCC2=O ZINC001342594024 872284301 /nfs/dbraw/zinc/28/43/01/872284301.db2.gz XRIZXWLXEALVCC-LBPRGKRZSA-N 1 2 301.346 1.114 20 30 DDEDLO C[C@H](C(=O)Nc1cnn(-c2ncccc2C#N)c1)n1cc[nH+]c1 ZINC001342608069 872293691 /nfs/dbraw/zinc/29/36/91/872293691.db2.gz RNDQSLCOYTVPLQ-LLVKDONJSA-N 1 2 307.317 1.535 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](CCCNC(=O)[C@H](C)C#N)C2CC2)n1 ZINC001316914769 872348264 /nfs/dbraw/zinc/34/82/64/872348264.db2.gz RQTSNKFYTTWZLI-GHMZBOCLSA-N 1 2 305.382 1.569 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](CCCNC(=O)[C@H](C)C#N)C2CC2)n1 ZINC001316914769 872348279 /nfs/dbraw/zinc/34/82/79/872348279.db2.gz RQTSNKFYTTWZLI-GHMZBOCLSA-N 1 2 305.382 1.569 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](NC(=O)c2ccoc2C)C1 ZINC001316936871 872412775 /nfs/dbraw/zinc/41/27/75/872412775.db2.gz BAEXMYANRDPVRZ-OCCSQVGLSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](NC(=O)c2ccoc2C)C1 ZINC001316936871 872412786 /nfs/dbraw/zinc/41/27/86/872412786.db2.gz BAEXMYANRDPVRZ-OCCSQVGLSA-N 1 2 319.405 1.473 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001316948900 872447549 /nfs/dbraw/zinc/44/75/49/872447549.db2.gz JBLXFZAZIZYJLD-HOTGVXAUSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001316948900 872447562 /nfs/dbraw/zinc/44/75/62/872447562.db2.gz JBLXFZAZIZYJLD-HOTGVXAUSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+]([C@@H](C)C(N)=O)C2)CCCC1 ZINC001316955234 872463691 /nfs/dbraw/zinc/46/36/91/872463691.db2.gz ZMMLNOSHRSSIJG-KBPBESRZSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+]([C@@H](C)C(N)=O)C2)CCCC1 ZINC001316955234 872463696 /nfs/dbraw/zinc/46/36/96/872463696.db2.gz ZMMLNOSHRSSIJG-KBPBESRZSA-N 1 2 307.438 1.577 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cncc(OC)n2)C[C@H]1C ZINC001206913683 872756346 /nfs/dbraw/zinc/75/63/46/872756346.db2.gz UMCYCEDTXBBJPM-UKRRQHHQSA-N 1 2 316.405 1.225 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cncc(OC)n2)C[C@H]1C ZINC001206913683 872756355 /nfs/dbraw/zinc/75/63/55/872756355.db2.gz UMCYCEDTXBBJPM-UKRRQHHQSA-N 1 2 316.405 1.225 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001207215410 873128068 /nfs/dbraw/zinc/12/80/68/873128068.db2.gz IQDBZAGRJHRQQA-UKRRQHHQSA-N 1 2 305.426 1.591 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001207215410 873128073 /nfs/dbraw/zinc/12/80/73/873128073.db2.gz IQDBZAGRJHRQQA-UKRRQHHQSA-N 1 2 305.426 1.591 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](CC)OCC)C2)C1 ZINC001276531659 873250952 /nfs/dbraw/zinc/25/09/52/873250952.db2.gz DKMDVKMSSUFBFK-WBVHZDCISA-N 1 2 308.422 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](CC)OCC)C2)C1 ZINC001276531659 873250961 /nfs/dbraw/zinc/25/09/61/873250961.db2.gz DKMDVKMSSUFBFK-WBVHZDCISA-N 1 2 308.422 1.128 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc(Cc3[nH+]ccn3C)n2CC2CC2)C1 ZINC001346083771 873610714 /nfs/dbraw/zinc/61/07/14/873610714.db2.gz DXUBLDDCKRZHPB-AWEZNQCLSA-N 1 2 324.432 1.862 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@H+](Cc2cn(C)nc2C(F)F)C1 ZINC001378050409 873997391 /nfs/dbraw/zinc/99/73/91/873997391.db2.gz HKCWEDVPJWAJGB-KOLCDFICSA-N 1 2 311.336 1.208 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@@H+](Cc2cn(C)nc2C(F)F)C1 ZINC001378050409 873997395 /nfs/dbraw/zinc/99/73/95/873997395.db2.gz HKCWEDVPJWAJGB-KOLCDFICSA-N 1 2 311.336 1.208 20 30 DDEDLO CCCC(CCC)C(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001208438037 874139594 /nfs/dbraw/zinc/13/95/94/874139594.db2.gz ASAWKCUBKJCVBF-UKRRQHHQSA-N 1 2 322.453 1.279 20 30 DDEDLO CCCC(CCC)C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001208438037 874139602 /nfs/dbraw/zinc/13/96/02/874139602.db2.gz ASAWKCUBKJCVBF-UKRRQHHQSA-N 1 2 322.453 1.279 20 30 DDEDLO N#Cc1ccc(CC[N@@H+]2CCN(C3CCOCC3)C(=O)C2)cc1 ZINC001208927744 874530344 /nfs/dbraw/zinc/53/03/44/874530344.db2.gz PRLWDAAWNANXMA-UHFFFAOYSA-N 1 2 313.401 1.424 20 30 DDEDLO N#Cc1ccc(CC[N@H+]2CCN(C3CCOCC3)C(=O)C2)cc1 ZINC001208927744 874530352 /nfs/dbraw/zinc/53/03/52/874530352.db2.gz PRLWDAAWNANXMA-UHFFFAOYSA-N 1 2 313.401 1.424 20 30 DDEDLO Cc1nonc1C[NH2+]Cc1ccc(CNC(=O)[C@@H](C)C#N)cc1 ZINC001378461995 874921707 /nfs/dbraw/zinc/92/17/07/874921707.db2.gz QOKDHQXDJIUKSB-NSHDSACASA-N 1 2 313.361 1.444 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)C2(CCOC)CC2)C1 ZINC001378523168 875044240 /nfs/dbraw/zinc/04/42/40/875044240.db2.gz YMOGEQXZMIXPFU-GFCCVEGCSA-N 1 2 300.830 1.992 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nc4ccc([N+](=O)[O-])cc4o3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227233316 882922407 /nfs/dbraw/zinc/92/24/07/882922407.db2.gz CSTBTDSRBZDMEU-LSVRBPJHSA-N 1 2 317.301 1.727 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nc4ccc([N+](=O)[O-])cc4o3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227233316 882922416 /nfs/dbraw/zinc/92/24/16/882922416.db2.gz CSTBTDSRBZDMEU-LSVRBPJHSA-N 1 2 317.301 1.727 20 30 DDEDLO Cc1nnc([C@@H](C)[NH+]2CCC(NC(=O)C#CC(C)C)CC2)o1 ZINC001227265114 882942522 /nfs/dbraw/zinc/94/25/22/882942522.db2.gz DMPJKXASCCCRRY-GFCCVEGCSA-N 1 2 304.394 1.679 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC001350551166 875754088 /nfs/dbraw/zinc/75/40/88/875754088.db2.gz MDWBSPRBZVWFLO-UONOGXRCSA-N 1 2 316.405 1.129 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001211425231 875802724 /nfs/dbraw/zinc/80/27/24/875802724.db2.gz JAZDQKPPCRMYHZ-MRVWCRGKSA-N 1 2 323.462 1.979 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001211425231 875802731 /nfs/dbraw/zinc/80/27/31/875802731.db2.gz JAZDQKPPCRMYHZ-MRVWCRGKSA-N 1 2 323.462 1.979 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COC[C@H]2CCCO2)C1 ZINC001211475980 875826540 /nfs/dbraw/zinc/82/65/40/875826540.db2.gz PZCJUHUMQAGPGZ-MRVWCRGKSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)COC[C@H]2CCCO2)C1 ZINC001211475980 875826552 /nfs/dbraw/zinc/82/65/52/875826552.db2.gz PZCJUHUMQAGPGZ-MRVWCRGKSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213319916 875895732 /nfs/dbraw/zinc/89/57/32/875895732.db2.gz GSVOSSZZNTZVNE-NUEKZKHPSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213319916 875895734 /nfs/dbraw/zinc/89/57/34/875895734.db2.gz GSVOSSZZNTZVNE-NUEKZKHPSA-N 1 2 320.437 1.338 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2cnsn2)C1 ZINC001216930219 877097014 /nfs/dbraw/zinc/09/70/14/877097014.db2.gz KBFLVMKDXIYPLJ-NQBHXWOUSA-N 1 2 310.423 1.066 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2cnsn2)C1 ZINC001216930220 877098698 /nfs/dbraw/zinc/09/86/98/877098698.db2.gz KBFLVMKDXIYPLJ-NTZNESFSSA-N 1 2 310.423 1.066 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cnoc3C)C[C@@H]21 ZINC001217504266 877215244 /nfs/dbraw/zinc/21/52/44/877215244.db2.gz YVTJEKQFGDQZKZ-LSDHHAIUSA-N 1 2 305.378 1.361 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cnoc3C)C[C@@H]21 ZINC001217504266 877215263 /nfs/dbraw/zinc/21/52/63/877215263.db2.gz YVTJEKQFGDQZKZ-LSDHHAIUSA-N 1 2 305.378 1.361 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@H](C)C(C)C)[C@H]2C1 ZINC001217510678 877216387 /nfs/dbraw/zinc/21/63/87/877216387.db2.gz PSXOWSUCKCCEEZ-YESZJQIVSA-N 1 2 322.449 1.230 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@H](C)C(C)C)[C@H]2C1 ZINC001217510678 877216393 /nfs/dbraw/zinc/21/63/93/877216393.db2.gz PSXOWSUCKCCEEZ-YESZJQIVSA-N 1 2 322.449 1.230 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001353649391 877464306 /nfs/dbraw/zinc/46/43/06/877464306.db2.gz UTHZQFZHGBHJBL-HDJSIYSDSA-N 1 2 318.421 1.639 20 30 DDEDLO N#CCCc1ccc(NC(=O)C(=O)NCCn2cc[nH+]c2)cc1 ZINC001354058244 877721322 /nfs/dbraw/zinc/72/13/22/877721322.db2.gz CNWOQBDSWFTHPK-UHFFFAOYSA-N 1 2 311.345 1.094 20 30 DDEDLO C#C[C@](C)(N)C(=O)Nc1ccc(N2CC[NH+](CC)CC2)c(F)c1 ZINC001218887882 877786300 /nfs/dbraw/zinc/78/63/00/877786300.db2.gz AHLPXFUUJDEMLJ-KRWDZBQOSA-N 1 2 318.396 1.257 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001354345061 877906021 /nfs/dbraw/zinc/90/60/21/877906021.db2.gz SWBRZMBXDCHMMW-GFCCVEGCSA-N 1 2 304.394 1.075 20 30 DDEDLO Cc1cc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)ccn1 ZINC001276806281 878372369 /nfs/dbraw/zinc/37/23/69/878372369.db2.gz YBXPEZREFRXFRY-UHFFFAOYSA-N 1 2 321.428 1.918 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001355227132 878469532 /nfs/dbraw/zinc/46/95/32/878469532.db2.gz OYQYOJAAKCSGLE-ZIAGYGMSSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)CC(F)(F)F ZINC001355920648 878778211 /nfs/dbraw/zinc/77/82/11/878778211.db2.gz UTWBUAUUXBVNIS-UWVGGRQHSA-N 1 2 319.331 1.200 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)CC(F)(F)F ZINC001355920648 878778220 /nfs/dbraw/zinc/77/82/20/878778220.db2.gz UTWBUAUUXBVNIS-UWVGGRQHSA-N 1 2 319.331 1.200 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220185784 878796637 /nfs/dbraw/zinc/79/66/37/878796637.db2.gz NHMDVXWNNHIXCB-CABCVRRESA-N 1 2 321.446 1.306 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220185784 878796651 /nfs/dbraw/zinc/79/66/51/878796651.db2.gz NHMDVXWNNHIXCB-CABCVRRESA-N 1 2 321.446 1.306 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001287678745 912389680 /nfs/dbraw/zinc/38/96/80/912389680.db2.gz CCJCEWLPVLDRLG-LBPRGKRZSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001287678745 912389700 /nfs/dbraw/zinc/38/97/00/912389700.db2.gz CCJCEWLPVLDRLG-LBPRGKRZSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](N(CCC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001356696352 879198576 /nfs/dbraw/zinc/19/85/76/879198576.db2.gz DTNYQIZIPVZLKV-CQSZACIVSA-N 1 2 318.421 1.758 20 30 DDEDLO Cc1noc([C@H](C)[NH+]2CCC(C)(NC(=O)[C@H](C)C#N)CC2)n1 ZINC001380296452 879263120 /nfs/dbraw/zinc/26/31/20/879263120.db2.gz BRBLQURJJIFYKO-MNOVXSKESA-N 1 2 305.382 1.569 20 30 DDEDLO C=CCC1(C(=O)NCC2CN(C(=O)Cc3[nH]cc[nH+]3)C2)CCC1 ZINC001356832757 879449159 /nfs/dbraw/zinc/44/91/59/879449159.db2.gz YVTYTDCJJSNCOY-UHFFFAOYSA-N 1 2 316.405 1.273 20 30 DDEDLO C=CCC1(C(=O)N2CC(CNC(=O)Cc3c[nH]c[nH+]3)C2)CCC1 ZINC001356837261 879455632 /nfs/dbraw/zinc/45/56/32/879455632.db2.gz UYROMUKCMHWHIE-UHFFFAOYSA-N 1 2 316.405 1.273 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](CC)NC(=O)Cn2cc[nH+]c2)cc1 ZINC001356910110 879572173 /nfs/dbraw/zinc/57/21/73/879572173.db2.gz SCZTVXOFUYNHCY-MRXNPFEDSA-N 1 2 324.384 1.189 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1(C)CC[NH+](Cc2nncs2)CC1 ZINC001380435581 879649217 /nfs/dbraw/zinc/64/92/17/879649217.db2.gz ROAPBNCAHFDYMD-LLVKDONJSA-N 1 2 307.423 1.416 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C(F)=C(C)C)[C@@H]2C1 ZINC001221478096 879863352 /nfs/dbraw/zinc/86/33/52/879863352.db2.gz SPQHRDFGMDYKDM-CHWSQXEVSA-N 1 2 309.385 1.085 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(F)=C(C)C)[C@@H]2C1 ZINC001221478096 879863369 /nfs/dbraw/zinc/86/33/69/879863369.db2.gz SPQHRDFGMDYKDM-CHWSQXEVSA-N 1 2 309.385 1.085 20 30 DDEDLO CCCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221530506 879923872 /nfs/dbraw/zinc/92/38/72/879923872.db2.gz OEFNRNIBAQOSOW-ARFHVFGLSA-N 1 2 319.449 1.093 20 30 DDEDLO CCCNC(=O)[C@H](C)[N@H+]1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221530506 879923886 /nfs/dbraw/zinc/92/38/86/879923886.db2.gz OEFNRNIBAQOSOW-ARFHVFGLSA-N 1 2 319.449 1.093 20 30 DDEDLO CC(C)NC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C#CC(C)(C)C)[C@@H]2C1 ZINC001221536301 879933565 /nfs/dbraw/zinc/93/35/65/879933565.db2.gz IMYTWXSADGKADA-HUUCEWRRSA-N 1 2 319.449 1.093 20 30 DDEDLO CC(C)NC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C#CC(C)(C)C)[C@@H]2C1 ZINC001221536301 879933570 /nfs/dbraw/zinc/93/35/70/879933570.db2.gz IMYTWXSADGKADA-HUUCEWRRSA-N 1 2 319.449 1.093 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C(C)(C)CC)[C@@H]2C1 ZINC001221778232 880071982 /nfs/dbraw/zinc/07/19/82/880071982.db2.gz AXKWPCYYZGVQNZ-ZIAGYGMSSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(C)CC)[C@@H]2C1 ZINC001221778232 880071987 /nfs/dbraw/zinc/07/19/87/880071987.db2.gz AXKWPCYYZGVQNZ-ZIAGYGMSSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3C)C[C@H]21 ZINC001221780027 880076372 /nfs/dbraw/zinc/07/63/72/880076372.db2.gz YEJFLGSTYNOFPK-UKRRQHHQSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3C)C[C@H]21 ZINC001221780027 880076383 /nfs/dbraw/zinc/07/63/83/880076383.db2.gz YEJFLGSTYNOFPK-UKRRQHHQSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CCCC(=O)NC/C=C/CNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001357655783 880118871 /nfs/dbraw/zinc/11/88/71/880118871.db2.gz YBTRHLVTKXFCKC-ISZGNANSSA-N 1 2 316.405 1.200 20 30 DDEDLO C=CCCC(=O)NC/C=C\CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001357655080 880119369 /nfs/dbraw/zinc/11/93/69/880119369.db2.gz RQKJLMWSHBQQCZ-DSYXLKISSA-N 1 2 316.405 1.584 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)CCCn3ccnc3C)[C@@H]2C1 ZINC001221872926 880126830 /nfs/dbraw/zinc/12/68/30/880126830.db2.gz KUCKLVKOAOUPMZ-IAGOWNOFSA-N 1 2 314.433 1.528 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)CCCn3ccnc3C)[C@@H]2C1 ZINC001221872926 880126843 /nfs/dbraw/zinc/12/68/43/880126843.db2.gz KUCKLVKOAOUPMZ-IAGOWNOFSA-N 1 2 314.433 1.528 20 30 DDEDLO CC#CCCCC(=O)NC/C=C/CNC(=O)Cc1[nH]c[nH+]c1C ZINC001357988913 880275835 /nfs/dbraw/zinc/27/58/35/880275835.db2.gz BCFDLUXCUHXXOA-BQYQJAHWSA-N 1 2 316.405 1.243 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)CCCn1cc[nH+]c1 ZINC001358240727 880378404 /nfs/dbraw/zinc/37/84/04/880378404.db2.gz ONFBHJJDQUWMLL-CYBMUJFWSA-N 1 2 306.410 1.496 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCN1C(=O)CCCn1cc[nH+]c1 ZINC001358603803 880609835 /nfs/dbraw/zinc/60/98/35/880609835.db2.gz KZBWJGRMDFZGGM-HNNXBMFYSA-N 1 2 316.405 1.040 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(OC)n1 ZINC001276833139 880662327 /nfs/dbraw/zinc/66/23/27/880662327.db2.gz CBAJDVFVFFQGGX-WMLDXEAASA-N 1 2 319.405 1.108 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(OC)n1 ZINC001276833139 880662339 /nfs/dbraw/zinc/66/23/39/880662339.db2.gz CBAJDVFVFFQGGX-WMLDXEAASA-N 1 2 319.405 1.108 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@H]2C[C@@H](C1)N(Cc1[nH]ccc1C#N)C2 ZINC001276840698 880709365 /nfs/dbraw/zinc/70/93/65/880709365.db2.gz UFPYKAJDGOSEEW-ZBFHGGJFSA-N 1 2 324.432 1.809 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@H]2C[C@@H](C1)N(Cc1[nH]ccc1C#N)C2 ZINC001276840698 880709371 /nfs/dbraw/zinc/70/93/71/880709371.db2.gz UFPYKAJDGOSEEW-ZBFHGGJFSA-N 1 2 324.432 1.809 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224198838 881270250 /nfs/dbraw/zinc/27/02/50/881270250.db2.gz VYIAZVTWWSQMND-AWEZNQCLSA-N 1 2 319.453 1.746 20 30 DDEDLO Cc1noc(C[NH+]2CCC([C@@H](C)NC(=O)[C@H](C)C#N)CC2)n1 ZINC001382558579 884249284 /nfs/dbraw/zinc/24/92/84/884249284.db2.gz TXIDMRWGSJPETA-GHMZBOCLSA-N 1 2 305.382 1.254 20 30 DDEDLO COCC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC001230539689 884555651 /nfs/dbraw/zinc/55/56/51/884555651.db2.gz ZQXISZHJGNXYQW-MRXNPFEDSA-N 1 2 304.365 1.356 20 30 DDEDLO COCC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccc(F)cc1 ZINC001230539689 884555659 /nfs/dbraw/zinc/55/56/59/884555659.db2.gz ZQXISZHJGNXYQW-MRXNPFEDSA-N 1 2 304.365 1.356 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CCCC1 ZINC001230556745 884578899 /nfs/dbraw/zinc/57/88/99/884578899.db2.gz PFJCVVUCWICAOC-DZGCQCFKSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1CCCC1 ZINC001230556745 884578917 /nfs/dbraw/zinc/57/89/17/884578917.db2.gz PFJCVVUCWICAOC-DZGCQCFKSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1CCC1 ZINC001230557533 884581443 /nfs/dbraw/zinc/58/14/43/884581443.db2.gz WCLCPWLAQZQZGO-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1CCC1 ZINC001230557533 884581454 /nfs/dbraw/zinc/58/14/54/884581454.db2.gz WCLCPWLAQZQZGO-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CC[N@@H+](CCCNC(=O)c1ncccc1O)Cc1cccnc1 ZINC001230741833 884820547 /nfs/dbraw/zinc/82/05/47/884820547.db2.gz XTLGJHLTCGXELG-UHFFFAOYSA-N 1 2 324.384 1.438 20 30 DDEDLO C#CC[N@H+](CCCNC(=O)c1ncccc1O)Cc1cccnc1 ZINC001230741833 884820563 /nfs/dbraw/zinc/82/05/63/884820563.db2.gz XTLGJHLTCGXELG-UHFFFAOYSA-N 1 2 324.384 1.438 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(CC)CC ZINC001230834773 884935515 /nfs/dbraw/zinc/93/55/15/884935515.db2.gz LXLQIVFOPGKKEH-HIFRSBDPSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(CC)CC ZINC001230834773 884935533 /nfs/dbraw/zinc/93/55/33/884935533.db2.gz LXLQIVFOPGKKEH-HIFRSBDPSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H]1CCN(C2CCC2)C1=O ZINC001231170991 885319750 /nfs/dbraw/zinc/31/97/50/885319750.db2.gz XFXNKFYHLHCOKD-JKSUJKDBSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H]1CCN(C2CCC2)C1=O ZINC001231170991 885319772 /nfs/dbraw/zinc/31/97/72/885319772.db2.gz XFXNKFYHLHCOKD-JKSUJKDBSA-N 1 2 319.449 1.639 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231215010 885378678 /nfs/dbraw/zinc/37/86/78/885378678.db2.gz DSYBPFHLBZPNAM-AEFFLSMTSA-N 1 2 314.429 1.853 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231215010 885378696 /nfs/dbraw/zinc/37/86/96/885378696.db2.gz DSYBPFHLBZPNAM-AEFFLSMTSA-N 1 2 314.429 1.853 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1oc(CC)nc1C ZINC001231239577 885418118 /nfs/dbraw/zinc/41/81/18/885418118.db2.gz FAMMFQAORRQRDK-CQSZACIVSA-N 1 2 321.421 1.781 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1oc(CC)nc1C ZINC001231239577 885418125 /nfs/dbraw/zinc/41/81/25/885418125.db2.gz FAMMFQAORRQRDK-CQSZACIVSA-N 1 2 321.421 1.781 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231246122 885426471 /nfs/dbraw/zinc/42/64/71/885426471.db2.gz CWIHESGJXBNBLK-WCQYABFASA-N 1 2 304.394 1.738 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231246122 885426478 /nfs/dbraw/zinc/42/64/78/885426478.db2.gz CWIHESGJXBNBLK-WCQYABFASA-N 1 2 304.394 1.738 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)nc1 ZINC001231256524 885440821 /nfs/dbraw/zinc/44/08/21/885440821.db2.gz XUDUKVYDTQXRGH-AWEZNQCLSA-N 1 2 305.353 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)nc1 ZINC001231256524 885440826 /nfs/dbraw/zinc/44/08/26/885440826.db2.gz XUDUKVYDTQXRGH-AWEZNQCLSA-N 1 2 305.353 1.017 20 30 DDEDLO CCc1nc(C)c(C[N@@H+]2CC[C@@H]2CN(C)C(=O)[C@H](C)C#N)o1 ZINC001231293683 885475940 /nfs/dbraw/zinc/47/59/40/885475940.db2.gz PMWBPFQQZWEHKR-DGCLKSJQSA-N 1 2 304.394 1.738 20 30 DDEDLO CCc1nc(C)c(C[N@H+]2CC[C@@H]2CN(C)C(=O)[C@H](C)C#N)o1 ZINC001231293683 885475951 /nfs/dbraw/zinc/47/59/51/885475951.db2.gz PMWBPFQQZWEHKR-DGCLKSJQSA-N 1 2 304.394 1.738 20 30 DDEDLO COC(=O)c1cc(C[N@@H+]2CC[C@@H]3SC(=O)C=C3C2)ccc1O ZINC001231421124 885655405 /nfs/dbraw/zinc/65/54/05/885655405.db2.gz KTMIFPDLYXBNEQ-AWEZNQCLSA-N 1 2 319.382 1.953 20 30 DDEDLO COC(=O)c1cc(C[N@H+]2CC[C@@H]3SC(=O)C=C3C2)ccc1O ZINC001231421124 885655408 /nfs/dbraw/zinc/65/54/08/885655408.db2.gz KTMIFPDLYXBNEQ-AWEZNQCLSA-N 1 2 319.382 1.953 20 30 DDEDLO C=CCOC[C@H]1CCC[C@@]12C[N@H+](Cc1ccc(=O)[nH]n1)CCO2 ZINC001232025414 886021497 /nfs/dbraw/zinc/02/14/97/886021497.db2.gz YQPDSGLZXHAUOI-RHSMWYFYSA-N 1 2 319.405 1.756 20 30 DDEDLO C=CCOC[C@H]1CCC[C@@]12C[N@@H+](Cc1ccc(=O)[nH]n1)CCO2 ZINC001232025414 886021508 /nfs/dbraw/zinc/02/15/08/886021508.db2.gz YQPDSGLZXHAUOI-RHSMWYFYSA-N 1 2 319.405 1.756 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NC1CC1 ZINC001233801869 887338360 /nfs/dbraw/zinc/33/83/60/887338360.db2.gz SGVSUJZQDSVNHP-GDBMZVCRSA-N 1 2 319.449 1.380 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CC1 ZINC001233801869 887338378 /nfs/dbraw/zinc/33/83/78/887338378.db2.gz SGVSUJZQDSVNHP-GDBMZVCRSA-N 1 2 319.449 1.380 20 30 DDEDLO C=C1CN(C(=O)NCc2cc[nH+]c(N3CCSCC3)c2)C1 ZINC001233875094 887417647 /nfs/dbraw/zinc/41/76/47/887417647.db2.gz ZHPUHKGXVLSEOM-UHFFFAOYSA-N 1 2 304.419 1.716 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)C)ccn1 ZINC001234118727 887663522 /nfs/dbraw/zinc/66/35/22/887663522.db2.gz KEEXMMZBIKGXAC-MRXNPFEDSA-N 1 2 315.417 1.782 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)C)ccn1 ZINC001234118727 887663527 /nfs/dbraw/zinc/66/35/27/887663527.db2.gz KEEXMMZBIKGXAC-MRXNPFEDSA-N 1 2 315.417 1.782 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccccc1F ZINC001234214967 887750999 /nfs/dbraw/zinc/75/09/99/887750999.db2.gz GMAJRODGKHBMCY-GOEBONIOSA-N 1 2 318.392 1.897 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccccc1F ZINC001234214967 887751014 /nfs/dbraw/zinc/75/10/14/887751014.db2.gz GMAJRODGKHBMCY-GOEBONIOSA-N 1 2 318.392 1.897 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214550 887752123 /nfs/dbraw/zinc/75/21/23/887752123.db2.gz CKPGNCJKSJRSDF-UONOGXRCSA-N 1 2 307.438 1.045 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214550 887752130 /nfs/dbraw/zinc/75/21/30/887752130.db2.gz CKPGNCJKSJRSDF-UONOGXRCSA-N 1 2 307.438 1.045 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214785 887753712 /nfs/dbraw/zinc/75/37/12/887753712.db2.gz FBNHIXQEBCLEKC-DZGCQCFKSA-N 1 2 319.449 1.236 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214785 887753723 /nfs/dbraw/zinc/75/37/23/887753723.db2.gz FBNHIXQEBCLEKC-DZGCQCFKSA-N 1 2 319.449 1.236 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(C)c1F ZINC001234447498 887977481 /nfs/dbraw/zinc/97/74/81/887977481.db2.gz VXRBRNPWRHYHST-OAHLLOKOSA-N 1 2 318.392 1.930 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(C)c1F ZINC001234447498 887977489 /nfs/dbraw/zinc/97/74/89/887977489.db2.gz VXRBRNPWRHYHST-OAHLLOKOSA-N 1 2 318.392 1.930 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)C ZINC001234555890 888091908 /nfs/dbraw/zinc/09/19/08/888091908.db2.gz ZBZBQPHMFRPYEN-GFCCVEGCSA-N 1 2 315.845 1.386 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)C ZINC001234555890 888091932 /nfs/dbraw/zinc/09/19/32/888091932.db2.gz ZBZBQPHMFRPYEN-GFCCVEGCSA-N 1 2 315.845 1.386 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2ccnn2C)CCC1 ZINC001235210365 888478339 /nfs/dbraw/zinc/47/83/39/888478339.db2.gz ROXBWDLRTINKOZ-MRXNPFEDSA-N 1 2 314.433 1.646 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2ccnn2C)CCC1 ZINC001235210365 888478353 /nfs/dbraw/zinc/47/83/53/888478353.db2.gz ROXBWDLRTINKOZ-MRXNPFEDSA-N 1 2 314.433 1.646 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1coc(C)n1 ZINC001235229327 888493509 /nfs/dbraw/zinc/49/35/09/888493509.db2.gz NRPRVYOTHXKFPG-ZDUSSCGKSA-N 1 2 309.410 1.902 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1coc(C)n1 ZINC001235229327 888493516 /nfs/dbraw/zinc/49/35/16/888493516.db2.gz NRPRVYOTHXKFPG-ZDUSSCGKSA-N 1 2 309.410 1.902 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(C2CC2)o1 ZINC001235469510 888666361 /nfs/dbraw/zinc/66/63/61/888666361.db2.gz FXHUIWJNGAQKNL-CQSZACIVSA-N 1 2 317.389 1.348 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(C2CC2)o1 ZINC001235469510 888666375 /nfs/dbraw/zinc/66/63/75/888666375.db2.gz FXHUIWJNGAQKNL-CQSZACIVSA-N 1 2 317.389 1.348 20 30 DDEDLO COc1ccc(NC(C)=O)cc1NC(=O)[C@@H](C)[NH2+][C@H](C)CC#N ZINC001364452736 888669402 /nfs/dbraw/zinc/66/94/02/888669402.db2.gz AVSRCHMNOHEVGE-GHMZBOCLSA-N 1 2 318.377 1.872 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@]2(CCOC2=O)C1 ZINC001364559483 888924815 /nfs/dbraw/zinc/92/48/15/888924815.db2.gz CGKPVTQGYGLCFC-PBHICJAKSA-N 1 2 314.341 1.411 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@]2(CCOC2=O)C1 ZINC001364559483 888924833 /nfs/dbraw/zinc/92/48/33/888924833.db2.gz CGKPVTQGYGLCFC-PBHICJAKSA-N 1 2 314.341 1.411 20 30 DDEDLO Cn1ncc(CNC(=O)OC(C)(C)C)c1C[NH2+]CC1(C#N)CC1 ZINC001364701236 889231624 /nfs/dbraw/zinc/23/16/24/889231624.db2.gz BPAGRRVYMKVGDX-UHFFFAOYSA-N 1 2 319.409 1.838 20 30 DDEDLO C#CCN(C(=O)CC)C1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001278107649 889675400 /nfs/dbraw/zinc/67/54/00/889675400.db2.gz YPATVTWTNVXZJP-UHFFFAOYSA-N 1 2 303.410 1.134 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](CO)[NH2+]Cc1cn(C(C)C)nn1 ZINC001278127588 889786935 /nfs/dbraw/zinc/78/69/35/889786935.db2.gz BCBLLPWTOUTTLG-AWEZNQCLSA-N 1 2 323.441 1.028 20 30 DDEDLO COc1cc(CN2CC([NH+]3C[C@@H](C)O[C@H](C)C3)C2)ccc1C#N ZINC001238416071 890204732 /nfs/dbraw/zinc/20/47/32/890204732.db2.gz HYWQQFOJMVBDRG-ZIAGYGMSSA-N 1 2 315.417 1.860 20 30 DDEDLO CCOC(=O)CN1CC[NH+](Cc2ccc(C#N)c(OC)c2)CC1 ZINC001238413799 890205600 /nfs/dbraw/zinc/20/56/00/890205600.db2.gz ROIZZALZANTNCB-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO C[N@@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(CC#N)cc1Cl ZINC001365465655 890845551 /nfs/dbraw/zinc/84/55/51/890845551.db2.gz SVZIOZQMAVFWQV-NSHDSACASA-N 1 2 313.810 1.388 20 30 DDEDLO C[N@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(CC#N)cc1Cl ZINC001365465655 890845561 /nfs/dbraw/zinc/84/55/61/890845561.db2.gz SVZIOZQMAVFWQV-NSHDSACASA-N 1 2 313.810 1.388 20 30 DDEDLO C=C(Br)C[NH+]1CCC(N(C)C(=O)COC)CC1 ZINC001365920853 891917169 /nfs/dbraw/zinc/91/71/69/891917169.db2.gz YERYWPCAZASOIW-UHFFFAOYSA-N 1 2 305.216 1.464 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)COCc2cccnc2)C1 ZINC001366001073 892178818 /nfs/dbraw/zinc/17/88/18/892178818.db2.gz YDSQBKFVEWOJTF-CQSZACIVSA-N 1 2 309.797 1.541 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)COCc2cccnc2)C1 ZINC001366001073 892178828 /nfs/dbraw/zinc/17/88/28/892178828.db2.gz YDSQBKFVEWOJTF-CQSZACIVSA-N 1 2 309.797 1.541 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CSCC#N)CCC[N@@H+]1Cc1ccns1 ZINC001278501082 893564456 /nfs/dbraw/zinc/56/44/56/893564456.db2.gz RBRHELIRTOBART-YPMHNXCESA-N 1 2 324.475 1.869 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CSCC#N)CCC[N@H+]1Cc1ccns1 ZINC001278501082 893564469 /nfs/dbraw/zinc/56/44/69/893564469.db2.gz RBRHELIRTOBART-YPMHNXCESA-N 1 2 324.475 1.869 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1scc2c1OCCO2 ZINC001366582006 894136769 /nfs/dbraw/zinc/13/67/69/894136769.db2.gz WYMOOAIFMLFEND-UHFFFAOYSA-N 1 2 316.810 1.933 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1scc2c1OCCO2 ZINC001366582006 894136780 /nfs/dbraw/zinc/13/67/80/894136780.db2.gz WYMOOAIFMLFEND-UHFFFAOYSA-N 1 2 316.810 1.933 20 30 DDEDLO Cc1cc(Cl)nc(NC[C@H](O)C[NH+]2CCOCC2)c1C#N ZINC001251010765 894565698 /nfs/dbraw/zinc/56/56/98/894565698.db2.gz CNCURMHQTZCEAU-NSHDSACASA-N 1 2 310.785 1.020 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)CCC[N@@H+]([C@@H](C)c2nnnn2C)C1 ZINC001278648809 895032406 /nfs/dbraw/zinc/03/24/06/895032406.db2.gz UGMNUKJUFJNOFQ-XJKSGUPXSA-N 1 2 320.441 1.456 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)CCC[N@H+]([C@@H](C)c2nnnn2C)C1 ZINC001278648809 895032425 /nfs/dbraw/zinc/03/24/25/895032425.db2.gz UGMNUKJUFJNOFQ-XJKSGUPXSA-N 1 2 320.441 1.456 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1C[C@H](O)C(C)C ZINC001253758772 896061817 /nfs/dbraw/zinc/06/18/17/896061817.db2.gz BRGSMRKWHUELHA-GJZGRUSLSA-N 1 2 308.426 1.417 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1C[C@H](O)C(C)C ZINC001253758772 896061835 /nfs/dbraw/zinc/06/18/35/896061835.db2.gz BRGSMRKWHUELHA-GJZGRUSLSA-N 1 2 308.426 1.417 20 30 DDEDLO C=C(C)CCC(=O)NCCNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001292828242 914030442 /nfs/dbraw/zinc/03/04/42/914030442.db2.gz JGSXKOBZYVVPGB-ZDUSSCGKSA-N 1 2 304.394 1.034 20 30 DDEDLO Cc1ccnc(C[NH2+]Cc2ccc(CNC(=O)[C@H](C)C#N)cc2)n1 ZINC001367201346 896125681 /nfs/dbraw/zinc/12/56/81/896125681.db2.gz KBCUVLCQPVMDAR-CYBMUJFWSA-N 1 2 323.400 1.851 20 30 DDEDLO CC(C)(C)OC(=O)N1CCCC[C@@H](N2CC[NH2+]C[C@@H]2C#N)C1 ZINC001254199938 896292668 /nfs/dbraw/zinc/29/26/68/896292668.db2.gz VRAGRRXPFPWNCO-KGLIPLIRSA-N 1 2 308.426 1.573 20 30 DDEDLO CC(C)(C)OC(=O)N1CC2(C1)CC[C@@H](N1CC[NH2+]C[C@@H]1C#N)C2 ZINC001254776275 896599198 /nfs/dbraw/zinc/59/91/98/896599198.db2.gz HKDDKPQFUBNDEQ-KGLIPLIRSA-N 1 2 320.437 1.573 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](C)C#N)C1 ZINC001389357808 897021247 /nfs/dbraw/zinc/02/12/47/897021247.db2.gz HPMKBCSNJACWJK-GXTWGEPZSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](C)C#N)C1 ZINC001389357808 897021253 /nfs/dbraw/zinc/02/12/53/897021253.db2.gz HPMKBCSNJACWJK-GXTWGEPZSA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C[NH2+]Cc1ncn(-c2ccccc2)n1 ZINC001367724962 897592415 /nfs/dbraw/zinc/59/24/15/897592415.db2.gz KNGBAZRBAGNHEV-OLZOCXBDSA-N 1 2 312.377 1.021 20 30 DDEDLO CCn1nc(C)c(C[NH+]2CCC(C)(NC(=O)[C@H](C)C#N)CC2)n1 ZINC001368111833 898726110 /nfs/dbraw/zinc/72/61/10/898726110.db2.gz IXXYKQZXPVYBQH-GFCCVEGCSA-N 1 2 318.425 1.237 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)CCOCC ZINC001262991123 900460252 /nfs/dbraw/zinc/46/02/52/900460252.db2.gz ZYWMGTOFKFOWTO-UHFFFAOYSA-N 1 2 304.398 1.261 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NC1CC1 ZINC001263806832 900721333 /nfs/dbraw/zinc/72/13/33/900721333.db2.gz FPMSBHAAFYXWNQ-OCCSQVGLSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CC1 ZINC001263806832 900721340 /nfs/dbraw/zinc/72/13/40/900721340.db2.gz FPMSBHAAFYXWNQ-OCCSQVGLSA-N 1 2 307.438 1.398 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](CC)c1c(C)noc1C ZINC001264374889 901060778 /nfs/dbraw/zinc/06/07/78/901060778.db2.gz PWDSIJAHXMOJEC-GJZGRUSLSA-N 1 2 303.406 1.951 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](CC)c1c(C)noc1C ZINC001264374889 901060786 /nfs/dbraw/zinc/06/07/86/901060786.db2.gz PWDSIJAHXMOJEC-GJZGRUSLSA-N 1 2 303.406 1.951 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1ccnc2[nH]cnc21)C1CC1 ZINC001391166862 901119233 /nfs/dbraw/zinc/11/92/33/901119233.db2.gz IIYVGXJSTUTLBA-UHFFFAOYSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1ccnc2[nH]cnc21)C1CC1 ZINC001391166862 901119245 /nfs/dbraw/zinc/11/92/45/901119245.db2.gz IIYVGXJSTUTLBA-UHFFFAOYSA-N 1 2 319.796 1.905 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C1CC[NH+](Cc2cc(C)on2)CC1 ZINC001265191974 901686872 /nfs/dbraw/zinc/68/68/72/901686872.db2.gz KJCFEDGGTUBDEQ-AWEZNQCLSA-N 1 2 319.405 1.444 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H](CC)SC)C1 ZINC001265210194 901710897 /nfs/dbraw/zinc/71/08/97/901710897.db2.gz IDQCPLWWOYKBIN-OLZOCXBDSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](CC)SC)C1 ZINC001265210194 901710912 /nfs/dbraw/zinc/71/09/12/901710912.db2.gz IDQCPLWWOYKBIN-OLZOCXBDSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001265285659 901827987 /nfs/dbraw/zinc/82/79/87/901827987.db2.gz DZCDDJNUKPJYLR-ZFWWWQNUSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001265285659 901827995 /nfs/dbraw/zinc/82/79/95/901827995.db2.gz DZCDDJNUKPJYLR-ZFWWWQNUSA-N 1 2 321.465 1.694 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)CCCn2ccccc2=O)C1 ZINC001391494983 901847153 /nfs/dbraw/zinc/84/71/53/901847153.db2.gz UQSAJPZBUHEAOL-UHFFFAOYSA-N 1 2 323.824 1.429 20 30 DDEDLO C=CCC[C@H](C(=O)N[C@H]1CC[N@@H+](CC(N)=O)C1)c1ccccc1 ZINC001265321166 901885099 /nfs/dbraw/zinc/88/50/99/901885099.db2.gz GFJDXEJWXVDXJN-HOTGVXAUSA-N 1 2 315.417 1.412 20 30 DDEDLO C=CCC[C@H](C(=O)N[C@H]1CC[N@H+](CC(N)=O)C1)c1ccccc1 ZINC001265321166 901885106 /nfs/dbraw/zinc/88/51/06/901885106.db2.gz GFJDXEJWXVDXJN-HOTGVXAUSA-N 1 2 315.417 1.412 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+][C@H](C)c2nc(C(C)C)no2)C1 ZINC001265422665 901999996 /nfs/dbraw/zinc/99/99/96/901999996.db2.gz VQWAIQGKEWFMGU-OLZOCXBDSA-N 1 2 322.409 1.647 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[NH2+]Cc2nnc(C3CC3)o2)cc1 ZINC001265806421 902423902 /nfs/dbraw/zinc/42/39/02/902423902.db2.gz DJKKZSNRVOBDDB-GFCCVEGCSA-N 1 2 324.384 1.836 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)[NH2+][C@@H](C)c1nc(CC)no1 ZINC001265810756 902429190 /nfs/dbraw/zinc/42/91/90/902429190.db2.gz FPMOGPNSTQCWNH-STQMWFEESA-N 1 2 306.410 1.981 20 30 DDEDLO C#CCN1CCC[C@H]1C(=O)NCCC[N@@H+](C)Cc1ccns1 ZINC001265865398 902509949 /nfs/dbraw/zinc/50/99/49/902509949.db2.gz ZVDMOPFHRVNXBT-HNNXBMFYSA-N 1 2 320.462 1.179 20 30 DDEDLO C#CCN1CCC[C@H]1C(=O)NCCC[N@H+](C)Cc1ccns1 ZINC001265865398 902509960 /nfs/dbraw/zinc/50/99/60/902509960.db2.gz ZVDMOPFHRVNXBT-HNNXBMFYSA-N 1 2 320.462 1.179 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC001265895832 902554575 /nfs/dbraw/zinc/55/45/75/902554575.db2.gz SZBJGNDAVBTQHW-VIFPVBQESA-N 1 2 300.309 1.664 20 30 DDEDLO CCC(CC)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001266243375 903181416 /nfs/dbraw/zinc/18/14/16/903181416.db2.gz SZCZXQHBWAVUDI-AWEZNQCLSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC(CC)CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001266243375 903181431 /nfs/dbraw/zinc/18/14/31/903181431.db2.gz SZCZXQHBWAVUDI-AWEZNQCLSA-N 1 2 308.426 1.033 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280316123 903592034 /nfs/dbraw/zinc/59/20/34/903592034.db2.gz APSGHYVEHJAOON-UONOGXRCSA-N 1 2 318.421 1.545 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280316123 903592041 /nfs/dbraw/zinc/59/20/41/903592041.db2.gz APSGHYVEHJAOON-UONOGXRCSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)COc1ccccc1C ZINC001280420738 903655652 /nfs/dbraw/zinc/65/56/52/903655652.db2.gz FKPWEZVVODHVEQ-INIZCTEOSA-N 1 2 318.417 1.767 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)COc1ccccc1C ZINC001280420738 903655662 /nfs/dbraw/zinc/65/56/62/903655662.db2.gz FKPWEZVVODHVEQ-INIZCTEOSA-N 1 2 318.417 1.767 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001280517894 903754360 /nfs/dbraw/zinc/75/43/60/903754360.db2.gz KTCDFUKCSLKVJU-BFHYXJOUSA-N 1 2 318.421 1.833 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001280517894 903754375 /nfs/dbraw/zinc/75/43/75/903754375.db2.gz KTCDFUKCSLKVJU-BFHYXJOUSA-N 1 2 318.421 1.833 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001293870339 914746719 /nfs/dbraw/zinc/74/67/19/914746719.db2.gz JBPOHXPIZJTBFZ-AWEZNQCLSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1CN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001280617126 903840026 /nfs/dbraw/zinc/84/00/26/903840026.db2.gz MYTMXBNQPOCPFM-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1CN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001280617126 903840040 /nfs/dbraw/zinc/84/00/40/903840040.db2.gz MYTMXBNQPOCPFM-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1CN(C(=O)CCc2c[nH+]cn2C)C1 ZINC001280617954 903842051 /nfs/dbraw/zinc/84/20/51/903842051.db2.gz SWGZFNYERXBOGD-CYBMUJFWSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2nncn2C)CC1 ZINC001280734604 903969642 /nfs/dbraw/zinc/96/96/42/903969642.db2.gz XFSACAXJRKKXDF-CQSZACIVSA-N 1 2 303.410 1.089 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2nncn2C)CC1 ZINC001280734604 903969653 /nfs/dbraw/zinc/96/96/53/903969653.db2.gz XFSACAXJRKKXDF-CQSZACIVSA-N 1 2 303.410 1.089 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCC[N@H+](C)CCS(C)(=O)=O ZINC001280848806 904115098 /nfs/dbraw/zinc/11/50/98/904115098.db2.gz URXMBSITSDXBOV-UHFFFAOYSA-N 1 2 304.456 1.024 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCC[N@@H+](C)CCS(C)(=O)=O ZINC001280848806 904115107 /nfs/dbraw/zinc/11/51/07/904115107.db2.gz URXMBSITSDXBOV-UHFFFAOYSA-N 1 2 304.456 1.024 20 30 DDEDLO C=CCCC(=O)N(C)C1CCN(C(=O)Cc2c[nH+]cn2C)CC1 ZINC001280890392 904155037 /nfs/dbraw/zinc/15/50/37/904155037.db2.gz IKXSTHGCMWSYTR-UHFFFAOYSA-N 1 2 318.421 1.378 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#CCOC)CC1 ZINC001316607148 904160372 /nfs/dbraw/zinc/16/03/72/904160372.db2.gz RFLOUFZXJSLNBG-KRWDZBQOSA-N 1 2 304.434 1.915 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#CCOC)CC1 ZINC001316607148 904160380 /nfs/dbraw/zinc/16/03/80/904160380.db2.gz RFLOUFZXJSLNBG-KRWDZBQOSA-N 1 2 304.434 1.915 20 30 DDEDLO CC(C)CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)C#N)CO2 ZINC001280935268 904211879 /nfs/dbraw/zinc/21/18/79/904211879.db2.gz KTMUBCQXZZIPCF-GJZGRUSLSA-N 1 2 323.437 1.168 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)CC(C)(C)COC)CO2 ZINC001280966641 904252159 /nfs/dbraw/zinc/25/21/59/904252159.db2.gz CSNGNERDNSJQCS-AWEZNQCLSA-N 1 2 308.422 1.032 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@@H]1CCc2cncn2C1 ZINC001392668941 904819414 /nfs/dbraw/zinc/81/94/14/904819414.db2.gz DXOFXICYWLDJLY-QWHCGFSZSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@@H]1CCc2cncn2C1 ZINC001392668941 904819427 /nfs/dbraw/zinc/81/94/27/904819427.db2.gz DXOFXICYWLDJLY-QWHCGFSZSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1(CCO)C[NH+](Cc2ccccc2)C1 ZINC001282511333 905843354 /nfs/dbraw/zinc/84/33/54/905843354.db2.gz RMYIAVRECGYXJE-KRWDZBQOSA-N 1 2 318.417 1.067 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1(CCO)C[NH+](C[C@@H]2CC[C@@H](C)O2)C1 ZINC001282530275 905855165 /nfs/dbraw/zinc/85/51/65/905855165.db2.gz JDYYKCWUWOETLG-CABCVRRESA-N 1 2 324.465 1.709 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)c2ccccc2C)C1 ZINC001282682947 905946381 /nfs/dbraw/zinc/94/63/81/905946381.db2.gz UUDJIGABCKMJII-CQSZACIVSA-N 1 2 315.417 1.347 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@@H](NC(=O)[C@H](C)C#N)C2CCCC2)o1 ZINC001393081228 906132801 /nfs/dbraw/zinc/13/28/01/906132801.db2.gz VTIMAOPFHDLHIA-ZWNOBZJWSA-N 1 2 305.382 1.302 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]([C@@H](C)[NH2+]Cc2nnc(C)o2)C1 ZINC001282904381 906203732 /nfs/dbraw/zinc/20/37/32/906203732.db2.gz JHIKHULSLPBKJK-DGCLKSJQSA-N 1 2 308.382 1.050 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001283288095 907057371 /nfs/dbraw/zinc/05/73/71/907057371.db2.gz TULVRLWFQCENJR-BFHYXJOUSA-N 1 2 318.421 1.738 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001283288095 907057387 /nfs/dbraw/zinc/05/73/87/907057387.db2.gz TULVRLWFQCENJR-BFHYXJOUSA-N 1 2 318.421 1.738 20 30 DDEDLO CC(C)CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001393512613 907185022 /nfs/dbraw/zinc/18/50/22/907185022.db2.gz RBQJHDXNVLOLMR-HNNXBMFYSA-N 1 2 318.421 1.753 20 30 DDEDLO CC(C)CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001393512613 907185032 /nfs/dbraw/zinc/18/50/32/907185032.db2.gz RBQJHDXNVLOLMR-HNNXBMFYSA-N 1 2 318.421 1.753 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001283885433 908131294 /nfs/dbraw/zinc/13/12/94/908131294.db2.gz COBFIJDWDKGMBE-UONOGXRCSA-N 1 2 318.421 1.764 20 30 DDEDLO C[C@@H](NC(=O)c1ncn[nH]1)[C@H](C)[NH2+]Cc1cc(F)ccc1C#N ZINC001393978080 908440311 /nfs/dbraw/zinc/44/03/11/908440311.db2.gz YMTAVKNXKSKVEM-VHSXEESVSA-N 1 2 316.340 1.112 20 30 DDEDLO C[C@@H](NC(=O)c1nc[nH]n1)[C@H](C)[NH2+]Cc1cc(F)ccc1C#N ZINC001393978080 908440321 /nfs/dbraw/zinc/44/03/21/908440321.db2.gz YMTAVKNXKSKVEM-VHSXEESVSA-N 1 2 316.340 1.112 20 30 DDEDLO C[C@H]1[C@H](NC(=O)CSCC#N)CCC[N@@H+]1Cc1ccnn1C ZINC001284117608 908501030 /nfs/dbraw/zinc/50/10/30/908501030.db2.gz GSGLXUKZCDMFCF-GXTWGEPZSA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@H]1[C@H](NC(=O)CSCC#N)CCC[N@H+]1Cc1ccnn1C ZINC001284117608 908501045 /nfs/dbraw/zinc/50/10/45/908501045.db2.gz GSGLXUKZCDMFCF-GXTWGEPZSA-N 1 2 321.450 1.146 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)COC[C@@H]2CCCO2)C1 ZINC001394251032 909188045 /nfs/dbraw/zinc/18/80/45/909188045.db2.gz JADVECLTMJZAST-JSGCOSHPSA-N 1 2 316.829 1.371 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001285179997 910153751 /nfs/dbraw/zinc/15/37/51/910153751.db2.gz PDQLWMVQFJMYTI-HUUCEWRRSA-N 1 2 318.421 1.908 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001285224034 910241468 /nfs/dbraw/zinc/24/14/68/910241468.db2.gz DEHVMQVQGGIWJG-CYBMUJFWSA-N 1 2 320.437 1.433 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H](CC(C)C)NC(=O)Cn1cc[nH+]c1 ZINC001285257436 910280151 /nfs/dbraw/zinc/28/01/51/910280151.db2.gz VXPULGKEPKHIJL-HNNXBMFYSA-N 1 2 318.421 1.190 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC(C)(C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001285491111 910650111 /nfs/dbraw/zinc/65/01/11/910650111.db2.gz KGJHRPIQSYNPIC-UHFFFAOYSA-N 1 2 318.421 1.212 20 30 DDEDLO CCCC[C@H](CNC(=O)[C@@H](C)C#N)NC(=O)Cc1[nH]c[nH+]c1C ZINC001395242109 911623117 /nfs/dbraw/zinc/62/31/17/911623117.db2.gz BOXAKKSGAPZKJM-WCQYABFASA-N 1 2 319.409 1.212 20 30 DDEDLO COc1ccc(C[NH+]2CCN(C(=O)c3ccc(C#N)[nH]3)CC2)cc1 ZINC001374075406 911813421 /nfs/dbraw/zinc/81/34/21/911813421.db2.gz OLTYCARTQPXLSH-UHFFFAOYSA-N 1 2 324.384 1.853 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001294714781 915301739 /nfs/dbraw/zinc/30/17/39/915301739.db2.gz DPQZOVIHCOBNSU-STQMWFEESA-N 1 2 316.405 1.513 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001294783141 915361356 /nfs/dbraw/zinc/36/13/56/915361356.db2.gz DAFUOXQCBKUYSF-JHJVBQTASA-N 1 2 316.405 1.443 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001294783141 915361373 /nfs/dbraw/zinc/36/13/73/915361373.db2.gz DAFUOXQCBKUYSF-JHJVBQTASA-N 1 2 316.405 1.443 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)/C(C)=C/C)CC1 ZINC001296825568 916580337 /nfs/dbraw/zinc/58/03/37/916580337.db2.gz XCQJVLWTIIWNDG-GIDUJCDVSA-N 1 2 307.438 1.521 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001296915266 916629003 /nfs/dbraw/zinc/62/90/03/916629003.db2.gz XLZKBLTZWWTFOO-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001296915266 916629014 /nfs/dbraw/zinc/62/90/14/916629014.db2.gz XLZKBLTZWWTFOO-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000614181783 361740632 /nfs/dbraw/zinc/74/06/32/361740632.db2.gz DJBRIDINBWVVBU-HZPDHXFCSA-N 1 2 317.389 1.532 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)C(F)(F)c2ncccc2C)CC1 ZINC000278391584 214138846 /nfs/dbraw/zinc/13/88/46/214138846.db2.gz APCCPUCSKLOILQ-UHFFFAOYSA-N 1 2 307.344 1.649 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)NC[C@@H]1CCCN(CC#N)C1)C2 ZINC000614266515 361781440 /nfs/dbraw/zinc/78/14/40/361781440.db2.gz XJEMPEQROJPXTP-KBPBESRZSA-N 1 2 315.421 1.175 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)NC[C@@H]1CCCN(CC#N)C1)CC2 ZINC000614266515 361781445 /nfs/dbraw/zinc/78/14/45/361781445.db2.gz XJEMPEQROJPXTP-KBPBESRZSA-N 1 2 315.421 1.175 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)c2sccc2C#N)CCN1C ZINC000328644306 231282322 /nfs/dbraw/zinc/28/23/22/231282322.db2.gz ZCFCZZOTGCEYNV-GFCCVEGCSA-N 1 2 315.402 1.482 20 30 DDEDLO CC[C@H](C(=O)N(CCC#N)CCC#N)[N@@H+]1CCO[C@@H](CC)C1 ZINC000339250711 529592301 /nfs/dbraw/zinc/59/23/01/529592301.db2.gz IHDXEHGSOLZBHW-LSDHHAIUSA-N 1 2 306.410 1.532 20 30 DDEDLO CC[C@H](C(=O)N(CCC#N)CCC#N)[N@H+]1CCO[C@@H](CC)C1 ZINC000339250711 529592303 /nfs/dbraw/zinc/59/23/03/529592303.db2.gz IHDXEHGSOLZBHW-LSDHHAIUSA-N 1 2 306.410 1.532 20 30 DDEDLO CN(CC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C)C(=O)c1cccs1 ZINC000329200071 539301705 /nfs/dbraw/zinc/30/17/05/539301705.db2.gz BFKHDVNEDFBTCB-GFCCVEGCSA-N 1 2 324.450 1.022 20 30 DDEDLO CN(CC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)C(=O)c1cccs1 ZINC000329200071 539301706 /nfs/dbraw/zinc/30/17/06/539301706.db2.gz BFKHDVNEDFBTCB-GFCCVEGCSA-N 1 2 324.450 1.022 20 30 DDEDLO Cc1nn(C)c2ncc(C(=O)N[C@H]3CCn4cc[nH+]c4C3)cc12 ZINC000330328088 282286068 /nfs/dbraw/zinc/28/60/68/282286068.db2.gz VWEZURXHULAIKR-LBPRGKRZSA-N 1 2 310.361 1.793 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)N[C@H]2CCC[C@@H]2C#N)C1 ZINC000333147467 529788420 /nfs/dbraw/zinc/78/84/20/529788420.db2.gz LKSAEZAQWASEQI-PMPSAXMXSA-N 1 2 320.437 1.431 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)N[C@H]2CCC[C@@H]2C#N)C1 ZINC000333147467 529788421 /nfs/dbraw/zinc/78/84/21/529788421.db2.gz LKSAEZAQWASEQI-PMPSAXMXSA-N 1 2 320.437 1.431 20 30 DDEDLO C[N@H+](CC(=O)NC[C@@H]1COc2ccccc2O1)CC(C)(C)C#N ZINC000433313827 529834736 /nfs/dbraw/zinc/83/47/36/529834736.db2.gz SBFDKETXFUWEHF-CYBMUJFWSA-N 1 2 317.389 1.424 20 30 DDEDLO C[N@@H+](CC(=O)NC[C@@H]1COc2ccccc2O1)CC(C)(C)C#N ZINC000433313827 529834737 /nfs/dbraw/zinc/83/47/37/529834737.db2.gz SBFDKETXFUWEHF-CYBMUJFWSA-N 1 2 317.389 1.424 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CSc1ncn(-c2ccccc2)n1 ZINC000414115178 529868226 /nfs/dbraw/zinc/86/82/26/529868226.db2.gz UTUANAMNERNSHS-CQSZACIVSA-N 1 2 317.418 1.566 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CSc1ncn(-c2ccccc2)n1 ZINC000414115178 529868227 /nfs/dbraw/zinc/86/82/27/529868227.db2.gz UTUANAMNERNSHS-CQSZACIVSA-N 1 2 317.418 1.566 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cnc2ccc(F)cc2c1 ZINC000414120617 529868473 /nfs/dbraw/zinc/86/84/73/529868473.db2.gz CXMAWOLKZBEOQC-CQSZACIVSA-N 1 2 303.337 1.959 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cnc2ccc(F)cc2c1 ZINC000414120617 529868474 /nfs/dbraw/zinc/86/84/74/529868474.db2.gz CXMAWOLKZBEOQC-CQSZACIVSA-N 1 2 303.337 1.959 20 30 DDEDLO C[N@H+](Cc1cc(C#N)ccc1F)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000292345953 529976156 /nfs/dbraw/zinc/97/61/56/529976156.db2.gz XFTQDGROIHRQRD-CYBMUJFWSA-N 1 2 311.382 1.163 20 30 DDEDLO C[N@@H+](Cc1cc(C#N)ccc1F)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000292345953 529976158 /nfs/dbraw/zinc/97/61/58/529976158.db2.gz XFTQDGROIHRQRD-CYBMUJFWSA-N 1 2 311.382 1.163 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC000353456949 539438662 /nfs/dbraw/zinc/43/86/62/539438662.db2.gz FOVJHJFWTVYOSG-QGZVFWFLSA-N 1 2 304.390 1.784 20 30 DDEDLO COC1(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)CCC1 ZINC000272706833 210110308 /nfs/dbraw/zinc/11/03/08/210110308.db2.gz HLFAWKKXRVEQAM-UHFFFAOYSA-N 1 2 313.401 1.772 20 30 DDEDLO C[C@@H]1[N@H+](CC(=O)NCc2ccc(C#N)cc2)CCOC1(C)C ZINC000331039406 232396499 /nfs/dbraw/zinc/39/64/99/232396499.db2.gz BZGQCXTZPSPBOB-ZDUSSCGKSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@@H]1[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CCOC1(C)C ZINC000331039406 232396508 /nfs/dbraw/zinc/39/65/08/232396508.db2.gz BZGQCXTZPSPBOB-ZDUSSCGKSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+](CCO)Cc1cccnc1 ZINC000269572505 186388643 /nfs/dbraw/zinc/38/86/43/186388643.db2.gz BNGPWNNJQAPLRK-AWEZNQCLSA-N 1 2 324.384 1.775 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](CCO)Cc1cccnc1 ZINC000269572505 186388645 /nfs/dbraw/zinc/38/86/45/186388645.db2.gz BNGPWNNJQAPLRK-AWEZNQCLSA-N 1 2 324.384 1.775 20 30 DDEDLO CCOC(=O)C1CC[NH+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000007016310 352119965 /nfs/dbraw/zinc/11/99/65/352119965.db2.gz WMEQJFFCTZJCTH-DYVFJYSZSA-N 1 2 323.437 1.704 20 30 DDEDLO CC(C)(CNC(=O)NCC#Cc1ccccc1)[NH+]1CCOCC1 ZINC000045858529 352426457 /nfs/dbraw/zinc/42/64/57/352426457.db2.gz OZRJVKKJHPABAE-UHFFFAOYSA-N 1 2 315.417 1.448 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)[C@H](C)Oc1ccccc1C#N ZINC000047151637 352484031 /nfs/dbraw/zinc/48/40/31/352484031.db2.gz FGPWMIJPKCDZBM-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C=C(C)CN(CC)C(=O)CN1CC[NH+](Cc2ccco2)CC1 ZINC000053441098 352656254 /nfs/dbraw/zinc/65/62/54/352656254.db2.gz LGZLCSVJPYHIJO-UHFFFAOYSA-N 1 2 305.422 1.822 20 30 DDEDLO C=CCN(C(=O)c1cc(-n2cc[nH+]c2)ccn1)[C@@H](C)COC ZINC000617581554 363192813 /nfs/dbraw/zinc/19/28/13/363192813.db2.gz UAJVTBWJRNIOTG-ZDUSSCGKSA-N 1 2 300.362 1.930 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@@H+]2CC(=O)N(CCC#N)CCC#N)on1 ZINC000054989671 352710903 /nfs/dbraw/zinc/71/09/03/352710903.db2.gz FTROEXLBZDTRIK-AWEZNQCLSA-N 1 2 315.377 1.776 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@H+]2CC(=O)N(CCC#N)CCC#N)on1 ZINC000054989671 352710906 /nfs/dbraw/zinc/71/09/06/352710906.db2.gz FTROEXLBZDTRIK-AWEZNQCLSA-N 1 2 315.377 1.776 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC000076085727 353398110 /nfs/dbraw/zinc/39/81/10/353398110.db2.gz WQDOQOOMPWPHHD-LBPRGKRZSA-N 1 2 321.450 1.909 20 30 DDEDLO COCCCOCC[N@H+](C)[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000091102749 353810617 /nfs/dbraw/zinc/81/06/17/353810617.db2.gz ZJKYIIXCNAJYFQ-OAHLLOKOSA-N 1 2 305.378 1.070 20 30 DDEDLO COCCCOCC[N@@H+](C)[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000091102749 353810621 /nfs/dbraw/zinc/81/06/21/353810621.db2.gz ZJKYIIXCNAJYFQ-OAHLLOKOSA-N 1 2 305.378 1.070 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)c1ccccc1CC#N ZINC000601292524 358481189 /nfs/dbraw/zinc/48/11/89/358481189.db2.gz POUXNRIKEMNRIX-UHFFFAOYSA-N 1 2 304.375 1.307 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](CCOc2ccc(C#N)cc2)C[C@@H](C)O1 ZINC000123949226 354035905 /nfs/dbraw/zinc/03/59/05/354035905.db2.gz JJTQIKRXDYSKNC-DOMZBBRYSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](CCOc2ccc(C#N)cc2)C[C@@H](C)O1 ZINC000123949226 354035908 /nfs/dbraw/zinc/03/59/08/354035908.db2.gz JJTQIKRXDYSKNC-DOMZBBRYSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)C2(C#N)CCCCC2)C[C@H]1C ZINC000330741184 291025744 /nfs/dbraw/zinc/02/57/44/291025744.db2.gz PJCWVKFCIHIUBC-CQSZACIVSA-N 1 2 321.421 1.556 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)C2(C#N)CCCCC2)C[C@H]1C ZINC000330741184 291025747 /nfs/dbraw/zinc/02/57/47/291025747.db2.gz PJCWVKFCIHIUBC-CQSZACIVSA-N 1 2 321.421 1.556 20 30 DDEDLO C=CC[N@H+](CCC(=O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000182652594 354274725 /nfs/dbraw/zinc/27/47/25/354274725.db2.gz FCAJUSDTJDMPFS-OAHLLOKOSA-N 1 2 307.415 1.935 20 30 DDEDLO C=CC[N@@H+](CCC(=O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000182652594 354274726 /nfs/dbraw/zinc/27/47/26/354274726.db2.gz FCAJUSDTJDMPFS-OAHLLOKOSA-N 1 2 307.415 1.935 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(C(F)(F)F)cn1 ZINC000581345600 354732641 /nfs/dbraw/zinc/73/26/41/354732641.db2.gz GBLFXMWAFWSZJQ-GFCCVEGCSA-N 1 2 300.284 1.674 20 30 DDEDLO C[C@@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1cccc([N+](=O)[O-])c1 ZINC000580777136 354727079 /nfs/dbraw/zinc/72/70/79/354727079.db2.gz KKIXDLDBCBAZBK-ABAIWWIYSA-N 1 2 304.350 1.658 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(Br)o1 ZINC000584695660 354746289 /nfs/dbraw/zinc/74/62/89/354746289.db2.gz WRIZVCFSUJAMHV-NSHDSACASA-N 1 2 300.156 1.616 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(c2cc(C#N)cc(C)n2)CC1 ZINC000585702840 354829130 /nfs/dbraw/zinc/82/91/30/354829130.db2.gz MLMAINIFDXJPNP-CYBMUJFWSA-N 1 2 315.421 1.298 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)N2CCO[C@H]3CCCC[C@@H]32)CC1 ZINC000352492161 283047347 /nfs/dbraw/zinc/04/73/47/283047347.db2.gz WGRCSPGYZAOZEO-KBPBESRZSA-N 1 2 309.385 1.484 20 30 DDEDLO N#Cc1cncc(S(=O)(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)c1 ZINC000589278785 354981200 /nfs/dbraw/zinc/98/12/00/354981200.db2.gz MDYLQTHRNDNZBK-CYBMUJFWSA-N 1 2 317.374 1.176 20 30 DDEDLO Cc1cc(NC2CCN(C(=O)c3ccncc3)CC2)c(C#N)c[nH+]1 ZINC000591818764 355390661 /nfs/dbraw/zinc/39/06/61/355390661.db2.gz AALIPLNIPFIZDM-UHFFFAOYSA-N 1 2 321.384 1.795 20 30 DDEDLO Cc1cc(NC2CCN(S(=O)(=O)C(C)C)CC2)c(C#N)c[nH+]1 ZINC000591824431 355391624 /nfs/dbraw/zinc/39/16/24/355391624.db2.gz PLOXWGCWKTYBMG-UHFFFAOYSA-N 1 2 322.434 1.298 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000591821533 355391674 /nfs/dbraw/zinc/39/16/74/355391674.db2.gz MSWCOQJOWJOJMJ-ZDUSSCGKSA-N 1 2 302.334 1.377 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(F)c(F)c1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592146378 355511390 /nfs/dbraw/zinc/51/13/90/355511390.db2.gz HHYCWPBYAQVLQW-ZUZCIYMTSA-N 1 2 309.316 1.642 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(F)c(F)c1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592146378 355511393 /nfs/dbraw/zinc/51/13/93/355511393.db2.gz HHYCWPBYAQVLQW-ZUZCIYMTSA-N 1 2 309.316 1.642 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(F)c(F)c1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146329 355512167 /nfs/dbraw/zinc/51/21/67/355512167.db2.gz HHYCWPBYAQVLQW-BONVTDFDSA-N 1 2 309.316 1.642 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(F)c(F)c1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146329 355512169 /nfs/dbraw/zinc/51/21/69/355512169.db2.gz HHYCWPBYAQVLQW-BONVTDFDSA-N 1 2 309.316 1.642 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc2ncccc21)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149306 355516780 /nfs/dbraw/zinc/51/67/80/355516780.db2.gz MQUKHKXREXBCOH-SCLBCKFNSA-N 1 2 324.384 1.912 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc2ncccc21)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149306 355516784 /nfs/dbraw/zinc/51/67/84/355516784.db2.gz MQUKHKXREXBCOH-SCLBCKFNSA-N 1 2 324.384 1.912 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)CC1 ZINC000592947382 355748382 /nfs/dbraw/zinc/74/83/82/355748382.db2.gz FUNHGHVBUFJLSR-HOCLYGCPSA-N 1 2 315.421 1.138 20 30 DDEDLO COC(=O)c1ccccc1CSC[C@H](O)C[N@H+](C)CCC#N ZINC000592999837 355765722 /nfs/dbraw/zinc/76/57/22/355765722.db2.gz SNUWYZQSSKGKGO-CQSZACIVSA-N 1 2 322.430 1.913 20 30 DDEDLO COC(=O)c1ccccc1CSC[C@H](O)C[N@@H+](C)CCC#N ZINC000592999837 355765728 /nfs/dbraw/zinc/76/57/28/355765728.db2.gz SNUWYZQSSKGKGO-CQSZACIVSA-N 1 2 322.430 1.913 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCN3CC(F)(F)C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000593034873 355776538 /nfs/dbraw/zinc/77/65/38/355776538.db2.gz KYJRQAKHBMVAPB-ZDUSSCGKSA-N 1 2 322.315 1.992 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCN3CC(F)(F)C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000593034873 355776542 /nfs/dbraw/zinc/77/65/42/355776542.db2.gz KYJRQAKHBMVAPB-ZDUSSCGKSA-N 1 2 322.315 1.992 20 30 DDEDLO CS(=O)(=O)N1CC[C@@H](C[N@@H+]2Cc3cccc(C#N)c3C2)C1 ZINC000593127384 355807710 /nfs/dbraw/zinc/80/77/10/355807710.db2.gz OODYRDZGXMPAHK-LBPRGKRZSA-N 1 2 305.403 1.155 20 30 DDEDLO CS(=O)(=O)N1CC[C@@H](C[N@H+]2Cc3cccc(C#N)c3C2)C1 ZINC000593127384 355807713 /nfs/dbraw/zinc/80/77/13/355807713.db2.gz OODYRDZGXMPAHK-LBPRGKRZSA-N 1 2 305.403 1.155 20 30 DDEDLO CC[C@H](C#N)C(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000593462251 355892774 /nfs/dbraw/zinc/89/27/74/355892774.db2.gz BZYQXFDHCGDOKP-SJORKVTESA-N 1 2 315.417 1.596 20 30 DDEDLO C[C@H](CNC(=O)c1sccc1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594108876 356116074 /nfs/dbraw/zinc/11/60/74/356116074.db2.gz YYTOJVAVEUKMGY-UTUOFQBUSA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@H](CNC(=O)c1sccc1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594108876 356116077 /nfs/dbraw/zinc/11/60/77/356116077.db2.gz YYTOJVAVEUKMGY-UTUOFQBUSA-N 1 2 307.419 1.847 20 30 DDEDLO N#Cc1ccc2c(c1)CN(C(=O)C1([NH+]3CCOCC3)CCC1)C2 ZINC000594399477 356197814 /nfs/dbraw/zinc/19/78/14/356197814.db2.gz LGFFKBHQZOFKBQ-UHFFFAOYSA-N 1 2 311.385 1.655 20 30 DDEDLO C[C@@H](CNC(=O)c1ccc(C#N)c(O)c1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594404074 356198924 /nfs/dbraw/zinc/19/89/24/356198924.db2.gz RELPOPDQNDAROZ-RWMBFGLXSA-N 1 2 317.389 1.491 20 30 DDEDLO C[C@@H](CNC(=O)c1ccc(C#N)c(O)c1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594404074 356198926 /nfs/dbraw/zinc/19/89/26/356198926.db2.gz RELPOPDQNDAROZ-RWMBFGLXSA-N 1 2 317.389 1.491 20 30 DDEDLO C[C@@H](NS(=O)(=O)Cc1ccc(CC#N)cc1)c1[nH+]ccn1C ZINC000595382314 356473148 /nfs/dbraw/zinc/47/31/48/356473148.db2.gz FIVHRFICQYTAGY-GFCCVEGCSA-N 1 2 318.402 1.667 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CCN2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000595398518 356478072 /nfs/dbraw/zinc/47/80/72/356478072.db2.gz RFPOKHQOXWOKNH-ZACQAIPSSA-N 1 2 308.470 1.261 20 30 DDEDLO Cn1cc([C@H]([NH2+]Cc2ccncc2C#N)C2(CO)CCC2)cn1 ZINC000595496004 356517527 /nfs/dbraw/zinc/51/75/27/356517527.db2.gz XIGIUEOGYRBZSQ-INIZCTEOSA-N 1 2 311.389 1.680 20 30 DDEDLO CN(Cc1c[nH+]c[nH]1)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000595592198 356566405 /nfs/dbraw/zinc/56/64/05/356566405.db2.gz MZMFVKWXPRWFAR-GFCCVEGCSA-N 1 2 301.375 1.580 20 30 DDEDLO CN(Cc1c[nH]c[nH+]1)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000595592198 356566407 /nfs/dbraw/zinc/56/64/07/356566407.db2.gz MZMFVKWXPRWFAR-GFCCVEGCSA-N 1 2 301.375 1.580 20 30 DDEDLO N#Cc1ccc(CCNC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000595634179 356586358 /nfs/dbraw/zinc/58/63/58/356586358.db2.gz DDOFTPDRHOTNAD-AWEZNQCLSA-N 1 2 309.373 1.681 20 30 DDEDLO N#Cc1ccc(CCNC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000595634179 356586363 /nfs/dbraw/zinc/58/63/63/356586363.db2.gz DDOFTPDRHOTNAD-AWEZNQCLSA-N 1 2 309.373 1.681 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@@H+]2CCC3(COC3)C2)C1=O ZINC000595834516 356670479 /nfs/dbraw/zinc/67/04/79/356670479.db2.gz PJYWTLKBPYLDGN-LBPRGKRZSA-N 1 2 303.387 1.447 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@H+]2CCC3(COC3)C2)C1=O ZINC000595834516 356670481 /nfs/dbraw/zinc/67/04/81/356670481.db2.gz PJYWTLKBPYLDGN-LBPRGKRZSA-N 1 2 303.387 1.447 20 30 DDEDLO C[C@]1(C#N)CC[N@H+](C[C@@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000595836464 356671008 /nfs/dbraw/zinc/67/10/08/356671008.db2.gz YFFMJEHOPUSVRY-UKRRQHHQSA-N 1 2 305.334 1.570 20 30 DDEDLO C[C@]1(C#N)CC[N@@H+](C[C@@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000595836464 356671012 /nfs/dbraw/zinc/67/10/12/356671012.db2.gz YFFMJEHOPUSVRY-UKRRQHHQSA-N 1 2 305.334 1.570 20 30 DDEDLO CC(C)(C)OC(=O)CN1CC[C@H]([N@@H+]2CC[C@](C)(C#N)C2)C1=O ZINC000595841152 356673027 /nfs/dbraw/zinc/67/30/27/356673027.db2.gz IWMDFBGNNJVZOO-BLLLJJGKSA-N 1 2 307.394 1.165 20 30 DDEDLO CC(C)(C)OC(=O)CN1CC[C@H]([N@H+]2CC[C@](C)(C#N)C2)C1=O ZINC000595841152 356673034 /nfs/dbraw/zinc/67/30/34/356673034.db2.gz IWMDFBGNNJVZOO-BLLLJJGKSA-N 1 2 307.394 1.165 20 30 DDEDLO Cc1cc(NC[C@H]2CN(C)CC[N@@H+]2C)cc(C#N)c1[N+](=O)[O-] ZINC000596122280 356781168 /nfs/dbraw/zinc/78/11/68/356781168.db2.gz MNIKYBXYKBIJDM-AWEZNQCLSA-N 1 2 303.366 1.433 20 30 DDEDLO Cc1cc(NC[C@H]2CN(C)CC[N@H+]2C)cc(C#N)c1[N+](=O)[O-] ZINC000596122280 356781170 /nfs/dbraw/zinc/78/11/70/356781170.db2.gz MNIKYBXYKBIJDM-AWEZNQCLSA-N 1 2 303.366 1.433 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2ncc(C#N)cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000596431585 356878024 /nfs/dbraw/zinc/87/80/24/356878024.db2.gz PJOYAWWPROSVGE-GHMZBOCLSA-N 1 2 305.338 1.383 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2ncc(C#N)cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000596431585 356878026 /nfs/dbraw/zinc/87/80/26/356878026.db2.gz PJOYAWWPROSVGE-GHMZBOCLSA-N 1 2 305.338 1.383 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([NH+]2CCC3(CCO3)CC2)C1=O ZINC000374376815 283226618 /nfs/dbraw/zinc/22/66/18/283226618.db2.gz GUPVARDXCFQHIT-CYBMUJFWSA-N 1 2 317.414 1.980 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)NCC1(C#N)CCC1)C(C)(C)CO ZINC000596632251 356937710 /nfs/dbraw/zinc/93/77/10/356937710.db2.gz ITQKOYLOVYIQMB-LBPRGKRZSA-N 1 2 319.409 1.473 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1ccc(F)c(C#N)c1 ZINC000596725238 356961834 /nfs/dbraw/zinc/96/18/34/356961834.db2.gz HPEIYRDKYZTYQA-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1ccc(F)c(C#N)c1 ZINC000596725238 356961837 /nfs/dbraw/zinc/96/18/37/356961837.db2.gz HPEIYRDKYZTYQA-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO COCC(=O)N1CC[NH+](Cc2ccc(C3(C#N)CC3)cc2)CC1 ZINC000596980199 357033126 /nfs/dbraw/zinc/03/31/26/357033126.db2.gz VPPOTPKYDHPAPB-UHFFFAOYSA-N 1 2 313.401 1.532 20 30 DDEDLO N#C[C@]1(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)CC12CCCC2 ZINC000597708635 357295614 /nfs/dbraw/zinc/29/56/14/357295614.db2.gz ZAZMKYMFPNMTSI-YJBOKZPZSA-N 1 2 317.433 1.784 20 30 DDEDLO C[C@H](CC#N)C(=O)N(CC[NH+]1CCOCC1)Cc1ccco1 ZINC000597755793 357318151 /nfs/dbraw/zinc/31/81/51/357318151.db2.gz SZXLVMPLEAZVFB-CQSZACIVSA-N 1 2 305.378 1.490 20 30 DDEDLO N#Cc1ccnc(C(=O)NCc2ccc[nH+]c2N2CCCC2)c1 ZINC000597944838 357393900 /nfs/dbraw/zinc/39/39/00/357393900.db2.gz WLJMBKOBDWDBOG-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO N#Cc1cnc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c(Cl)c1 ZINC000598151076 357474934 /nfs/dbraw/zinc/47/49/34/357474934.db2.gz QEXPMTFSUBYZFF-LBPRGKRZSA-N 1 2 320.780 1.153 20 30 DDEDLO N#Cc1cc(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)ccn1 ZINC000598343092 357546077 /nfs/dbraw/zinc/54/60/77/357546077.db2.gz QUNOTQRDFIEHEI-UHFFFAOYSA-N 1 2 314.389 1.138 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000598343808 357546566 /nfs/dbraw/zinc/54/65/66/357546566.db2.gz YPYWAGJMCYMKLD-UHFFFAOYSA-N 1 2 317.389 1.644 20 30 DDEDLO O=C(NCc1c[nH+]c[nH]1)C1CCN(C(=O)N2CCCC2)CC1 ZINC000329728127 223018244 /nfs/dbraw/zinc/01/82/44/223018244.db2.gz HCOPLGURRGMBBY-UHFFFAOYSA-N 1 2 305.382 1.794 20 30 DDEDLO O=C(NCc1c[nH]c[nH+]1)C1CCN(C(=O)N2CCCC2)CC1 ZINC000329728127 223018247 /nfs/dbraw/zinc/01/82/47/223018247.db2.gz HCOPLGURRGMBBY-UHFFFAOYSA-N 1 2 305.382 1.794 20 30 DDEDLO COc1ccc(OC(C)(C)C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000598837815 357739972 /nfs/dbraw/zinc/73/99/72/357739972.db2.gz YHIOQPHNIPIVMF-QGZVFWFLSA-N 1 2 319.405 1.813 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)N[C@@H]1CCc2cc(C#N)ccc21 ZINC000599195344 357846748 /nfs/dbraw/zinc/84/67/48/357846748.db2.gz VJZFUMHPYZAVPS-GDBMZVCRSA-N 1 2 314.389 1.175 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)N[C@@H]1CCc2cc(C#N)ccc21 ZINC000599195344 357846752 /nfs/dbraw/zinc/84/67/52/357846752.db2.gz VJZFUMHPYZAVPS-GDBMZVCRSA-N 1 2 314.389 1.175 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCOC[C@@H]2c2ccco2)CCOCC1 ZINC000599294856 357880427 /nfs/dbraw/zinc/88/04/27/357880427.db2.gz RKQJKPSLLJVGSR-LSDHHAIUSA-N 1 2 320.389 1.724 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCOC[C@@H]2c2ccco2)CCOCC1 ZINC000599294856 357880431 /nfs/dbraw/zinc/88/04/31/357880431.db2.gz RKQJKPSLLJVGSR-LSDHHAIUSA-N 1 2 320.389 1.724 20 30 DDEDLO CO[C@@H]1CS(=O)(=O)[C@H]2C[N@H+](Cc3ccc(C)c(C#N)c3)C[C@H]21 ZINC000599468791 357948139 /nfs/dbraw/zinc/94/81/39/357948139.db2.gz QAODFSXBJFKBII-XHSDSOJGSA-N 1 2 320.414 1.111 20 30 DDEDLO CO[C@@H]1CS(=O)(=O)[C@H]2C[N@@H+](Cc3ccc(C)c(C#N)c3)C[C@H]21 ZINC000599468791 357948141 /nfs/dbraw/zinc/94/81/41/357948141.db2.gz QAODFSXBJFKBII-XHSDSOJGSA-N 1 2 320.414 1.111 20 30 DDEDLO CC(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000599699160 358031366 /nfs/dbraw/zinc/03/13/66/358031366.db2.gz CTXKKHNFTUZLHA-INIZCTEOSA-N 1 2 314.389 1.487 20 30 DDEDLO CC(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000599699160 358031372 /nfs/dbraw/zinc/03/13/72/358031372.db2.gz CTXKKHNFTUZLHA-INIZCTEOSA-N 1 2 314.389 1.487 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C#N)cs1 ZINC000287507858 291129578 /nfs/dbraw/zinc/12/95/78/291129578.db2.gz UURJQCGWBHVYSQ-AAEUAGOBSA-N 1 2 305.403 1.555 20 30 DDEDLO Cc1nocc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000600946649 358351960 /nfs/dbraw/zinc/35/19/60/358351960.db2.gz VTNYBMKEQZWNLN-UHFFFAOYSA-N 1 2 310.357 1.813 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CC1 ZINC000602089140 358807821 /nfs/dbraw/zinc/80/78/21/358807821.db2.gz RFAKESLFCBBZTQ-NFAWXSAZSA-N 1 2 301.394 1.206 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC000602089140 358807824 /nfs/dbraw/zinc/80/78/24/358807824.db2.gz RFAKESLFCBBZTQ-NFAWXSAZSA-N 1 2 301.394 1.206 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000602124084 358830216 /nfs/dbraw/zinc/83/02/16/358830216.db2.gz VHDZRARSXHMNSE-MWLCHTKSSA-N 1 2 304.272 1.421 20 30 DDEDLO Cc1ccc(CO[C@@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000602331146 358933905 /nfs/dbraw/zinc/93/39/05/358933905.db2.gz AHMISZQJILSBFH-YOEHRIQHSA-N 1 2 303.406 1.860 20 30 DDEDLO N#Cc1csc(C[N@H+]2CCC[C@H](C(=O)N3CCOCC3)C2)n1 ZINC000565632244 304080693 /nfs/dbraw/zinc/08/06/93/304080693.db2.gz XRXOFRXOPXRLPI-LBPRGKRZSA-N 1 2 320.418 1.086 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CCC[C@H](C(=O)N3CCOCC3)C2)n1 ZINC000565632244 304080695 /nfs/dbraw/zinc/08/06/95/304080695.db2.gz XRXOFRXOPXRLPI-LBPRGKRZSA-N 1 2 320.418 1.086 20 30 DDEDLO CCOC(=O)C1([S@](C)=O)CC[NH+](CC2(CC#N)CC2)CC1 ZINC000602834314 359225202 /nfs/dbraw/zinc/22/52/02/359225202.db2.gz AKDJJUQTCTXDOW-NRFANRHFSA-N 1 2 312.435 1.456 20 30 DDEDLO Cc1cccc(CNC(=O)C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000602854322 359241469 /nfs/dbraw/zinc/24/14/69/359241469.db2.gz BNUJRZCOEUFTRR-IYBDPMFKSA-N 1 2 314.433 1.529 20 30 DDEDLO Cc1cccc(CNC(=O)C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000602854322 359241472 /nfs/dbraw/zinc/24/14/72/359241472.db2.gz BNUJRZCOEUFTRR-IYBDPMFKSA-N 1 2 314.433 1.529 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)N(C)Cc2ccco2)C[C@H](C)N1CC#N ZINC000602856544 359242330 /nfs/dbraw/zinc/24/23/30/359242330.db2.gz KNZBBHRDYWLGHD-KBPBESRZSA-N 1 2 304.394 1.156 20 30 DDEDLO C[C@@H]1CCCC[C@H]1N(C)C(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602863189 359247490 /nfs/dbraw/zinc/24/74/90/359247490.db2.gz OZUMEFPTSRAJBC-WCXIOVBPSA-N 1 2 320.481 1.942 20 30 DDEDLO C[C@@H]1CCCC[C@H]1N(C)C(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602863189 359247493 /nfs/dbraw/zinc/24/74/93/359247493.db2.gz OZUMEFPTSRAJBC-WCXIOVBPSA-N 1 2 320.481 1.942 20 30 DDEDLO CCN(Cc1ccc2c(c1)OCCO2)C(=O)C[NH2+][C@H](C)CC#N ZINC000602864650 359248669 /nfs/dbraw/zinc/24/86/69/359248669.db2.gz SHYWVGIOGAMQNY-CYBMUJFWSA-N 1 2 317.389 1.698 20 30 DDEDLO N#CC1(c2ccccn2)CC[NH+](CC(=O)Nc2ccccn2)CC1 ZINC000602825125 359218443 /nfs/dbraw/zinc/21/84/43/359218443.db2.gz QUYPWDUXBBZLMK-UHFFFAOYSA-N 1 2 321.384 1.972 20 30 DDEDLO CCN1OC[C@H]([NH+]2CCC(Nc3ccccc3C#N)CC2)C1=O ZINC000602897758 359279268 /nfs/dbraw/zinc/27/92/68/359279268.db2.gz ZEFCNLRUKQVOBC-INIZCTEOSA-N 1 2 314.389 1.597 20 30 DDEDLO N#Cc1cc(NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)ccn1 ZINC000603121566 359418291 /nfs/dbraw/zinc/41/82/91/359418291.db2.gz GIMPSKSZKRTSNS-HNNXBMFYSA-N 1 2 315.377 1.282 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cnc(C(=O)OCC)s2)nn1 ZINC000603243922 359510019 /nfs/dbraw/zinc/51/00/19/359510019.db2.gz HVXIURYMLCTTBQ-UHFFFAOYSA-N 1 2 319.390 1.073 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603284482 359540955 /nfs/dbraw/zinc/54/09/55/359540955.db2.gz RHKUXJINCJXSDL-ZDUSSCGKSA-N 1 2 321.446 1.845 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603284482 359540957 /nfs/dbraw/zinc/54/09/57/359540957.db2.gz RHKUXJINCJXSDL-ZDUSSCGKSA-N 1 2 321.446 1.845 20 30 DDEDLO N#Cc1ccc(Cn2cc[nH+]c2CN2CCOCC2)c(F)c1 ZINC000603424320 359632128 /nfs/dbraw/zinc/63/21/28/359632128.db2.gz WAPRJFZAQUSNSM-UHFFFAOYSA-N 1 2 300.337 1.774 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000276231518 212745392 /nfs/dbraw/zinc/74/53/92/212745392.db2.gz NILDQTNLWWZKSP-KRWDZBQOSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H](O)C[C@@H]1COCCN1C(=O)NC[C@@H]1CCCn2cc[nH+]c21 ZINC000329844313 223037039 /nfs/dbraw/zinc/03/70/39/223037039.db2.gz LIJAIJSRBTVMHO-MELADBBJSA-N 1 2 322.409 1.146 20 30 DDEDLO O=C(C[C@H]1CCOC1)NCc1ccc[nH+]c1N1CCOCC1 ZINC000329856328 223037632 /nfs/dbraw/zinc/03/76/32/223037632.db2.gz USKYMUYKGAFUBI-CYBMUJFWSA-N 1 2 305.378 1.801 20 30 DDEDLO COCCN(CCC#N)C(=O)Cn1c(C)[nH+]c2ccccc21 ZINC000609136212 360263395 /nfs/dbraw/zinc/26/33/95/360263395.db2.gz CLYGLXLMWAAETP-UHFFFAOYSA-N 1 2 300.362 1.733 20 30 DDEDLO CCC[C@H](C)NC(=O)[C@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609485873 360313542 /nfs/dbraw/zinc/31/35/42/360313542.db2.gz ZCYQNGBKXHPJDI-HRCADAONSA-N 1 2 308.470 1.845 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000611018851 360604824 /nfs/dbraw/zinc/60/48/24/360604824.db2.gz WWLSVTTYZYZTOH-AWEZNQCLSA-N 1 2 316.386 1.781 20 30 DDEDLO C[C@H](C1CC1)N(C(=O)C[NH+]1CCN(CCC#N)CC1)C1CC1 ZINC000611174242 360646711 /nfs/dbraw/zinc/64/67/11/360646711.db2.gz BRCMMNPWPMMUBA-CQSZACIVSA-N 1 2 304.438 1.307 20 30 DDEDLO C[C@H](C(=O)NCc1ccc(F)cc1)[NH+]1CCN(CCC#N)CC1 ZINC000611173842 360646864 /nfs/dbraw/zinc/64/68/64/360646864.db2.gz NKMKOJLHPUUBDR-CQSZACIVSA-N 1 2 318.396 1.362 20 30 DDEDLO CC[N@@H+]1CCN(C(=O)c2cc(C#N)c(SC)[nH]c2=O)C[C@@H]1C ZINC000611100416 360622632 /nfs/dbraw/zinc/62/26/32/360622632.db2.gz BQQYBDZONVSYPT-JTQLQIEISA-N 1 2 320.418 1.547 20 30 DDEDLO CC[N@H+]1CCN(C(=O)c2cc(C#N)c(SC)[nH]c2=O)C[C@@H]1C ZINC000611100416 360622634 /nfs/dbraw/zinc/62/26/34/360622634.db2.gz BQQYBDZONVSYPT-JTQLQIEISA-N 1 2 320.418 1.547 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)NC[C@@H](O)C(F)(F)F ZINC000330455209 223118175 /nfs/dbraw/zinc/11/81/75/223118175.db2.gz MXCWUMAZRVIHBS-SECBINFHSA-N 1 2 306.288 1.146 20 30 DDEDLO C[C@@H](CC#N)N(C)CC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000330979156 223160462 /nfs/dbraw/zinc/16/04/62/223160462.db2.gz UUAMNJLQQVVODX-AWEZNQCLSA-N 1 2 320.462 1.626 20 30 DDEDLO N#CC1(CNC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)CCC1 ZINC000333483169 223221765 /nfs/dbraw/zinc/22/17/65/223221765.db2.gz FUHZURFUDFDBJY-AWEZNQCLSA-N 1 2 306.410 1.186 20 30 DDEDLO Cn1ncc2c1CCC[N@H+](CC(=O)NC1(C#N)CCCCC1)C2 ZINC000334023957 223226033 /nfs/dbraw/zinc/22/60/33/223226033.db2.gz FUPWTKWSHXMLNL-UHFFFAOYSA-N 1 2 315.421 1.511 20 30 DDEDLO Cn1ncc2c1CCC[N@@H+](CC(=O)NC1(C#N)CCCCC1)C2 ZINC000334023957 223226035 /nfs/dbraw/zinc/22/60/35/223226035.db2.gz FUPWTKWSHXMLNL-UHFFFAOYSA-N 1 2 315.421 1.511 20 30 DDEDLO Cc1ccc(C(=O)N2CC[NH+](CCOCCC#N)CC2)cc1 ZINC000339026513 223265695 /nfs/dbraw/zinc/26/56/95/223265695.db2.gz ROXBBRHKFNKDTC-UHFFFAOYSA-N 1 2 301.390 1.683 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2ccc(C#N)cc2)C[C@H](C)O1 ZINC000194725349 201278144 /nfs/dbraw/zinc/27/81/44/201278144.db2.gz PDNKLZRVMSDFRK-OKILXGFUSA-N 1 2 301.390 1.739 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2ccc(C#N)cc2)C[C@H](C)O1 ZINC000194725349 201278147 /nfs/dbraw/zinc/27/81/47/201278147.db2.gz PDNKLZRVMSDFRK-OKILXGFUSA-N 1 2 301.390 1.739 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(Cc2ccc(Cl)cc2C#N)CC1 ZINC000614159570 361729166 /nfs/dbraw/zinc/72/91/66/361729166.db2.gz HWKFKTZOGWHHAE-UHFFFAOYSA-N 1 2 320.824 1.417 20 30 DDEDLO N#CC1(CNC(=O)NCc2cc[nH+]c(N3CCOCC3)c2)CC1 ZINC000619526313 364048955 /nfs/dbraw/zinc/04/89/55/364048955.db2.gz FULBPLOOHSAFLS-UHFFFAOYSA-N 1 2 315.377 1.021 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCc1ccc(OCCOC)cc1)C1CC1 ZINC000274017107 211275028 /nfs/dbraw/zinc/27/50/28/211275028.db2.gz BNAKVGRIQLPVTO-UHFFFAOYSA-N 1 2 316.401 1.426 20 30 DDEDLO C#CC[N@H+](CC(=O)NCc1ccc(OCCOC)cc1)C1CC1 ZINC000274017107 211275032 /nfs/dbraw/zinc/27/50/32/211275032.db2.gz BNAKVGRIQLPVTO-UHFFFAOYSA-N 1 2 316.401 1.426 20 30 DDEDLO Cc1ncc(C[NH+]2CCN(c3nccnc3C#N)CC2)s1 ZINC000105790329 194129073 /nfs/dbraw/zinc/12/90/73/194129073.db2.gz HSNLDIUTGQFCHN-UHFFFAOYSA-N 1 2 300.391 1.435 20 30 DDEDLO CC(C)[C@H]([NH2+]CC(=O)Nc1sccc1C#N)c1nncn1C ZINC000285267062 218358488 /nfs/dbraw/zinc/35/84/88/218358488.db2.gz DLXBURCCBTXUSI-LBPRGKRZSA-N 1 2 318.406 1.674 20 30 DDEDLO CCNC(=O)N1CC[NH+](CCOc2cccc(C#N)c2)CC1 ZINC000109746409 194281718 /nfs/dbraw/zinc/28/17/18/194281718.db2.gz XLWWNOVSZQRMLJ-UHFFFAOYSA-N 1 2 302.378 1.284 20 30 DDEDLO C[C@@H](O)[C@H]1C[N@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000414561874 224353022 /nfs/dbraw/zinc/35/30/22/224353022.db2.gz ANVDGDMHIUATGF-RHSMWYFYSA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@@H](O)[C@H]1C[N@@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000414561874 224353025 /nfs/dbraw/zinc/35/30/25/224353025.db2.gz ANVDGDMHIUATGF-RHSMWYFYSA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@@H](C(=O)Nc1ncccn1)[N@@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000330124522 533360402 /nfs/dbraw/zinc/36/04/02/533360402.db2.gz LITYNDRLYPCCIO-WWGRRREGSA-N 1 2 320.393 1.723 20 30 DDEDLO C[C@@H](C(=O)Nc1ncccn1)[N@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000330124522 533360407 /nfs/dbraw/zinc/36/04/07/533360407.db2.gz LITYNDRLYPCCIO-WWGRRREGSA-N 1 2 320.393 1.723 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(c2cccc(C(F)F)c2C#N)CC1 ZINC000568413849 304293057 /nfs/dbraw/zinc/29/30/57/304293057.db2.gz SSBVCAVTQORDMB-UHFFFAOYSA-N 1 2 322.359 1.754 20 30 DDEDLO COC(=O)c1cnoc1CO[NH+]=C(N)Cc1csc(C)n1 ZINC000285245910 292032164 /nfs/dbraw/zinc/03/21/64/292032164.db2.gz RLZDOIFNOVQYNM-UHFFFAOYSA-N 1 2 310.335 1.258 20 30 DDEDLO Cc1oc(NC(=O)C[NH+]2CCC(CC(N)=O)CC2)c(C#N)c1C ZINC000077168554 406978613 /nfs/dbraw/zinc/97/86/13/406978613.db2.gz PDGJHOWXUOHNHQ-UHFFFAOYSA-N 1 2 318.377 1.294 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCCCOc1ccc(F)cc1 ZINC000078640034 407037471 /nfs/dbraw/zinc/03/74/71/407037471.db2.gz CQSFQQNSXNEAMF-UHFFFAOYSA-N 1 2 311.357 1.216 20 30 DDEDLO COc1cc(C#N)ccc1OCC[NH+]1CCN(C(C)=O)CC1 ZINC000077247063 406982497 /nfs/dbraw/zinc/98/24/97/406982497.db2.gz KFVXCSDLOKOCJW-UHFFFAOYSA-N 1 2 303.362 1.110 20 30 DDEDLO C[C@H]1C[NH+]=C(N2CCN(C(=O)c3cc(C#N)cs3)CC2)S1 ZINC000084302473 407086938 /nfs/dbraw/zinc/08/69/38/407086938.db2.gz IURHLJKWEYECCZ-JTQLQIEISA-N 1 2 320.443 1.869 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCO[C@@H](COC)C2)cc(OC)c1O ZINC000093528527 407198811 /nfs/dbraw/zinc/19/88/11/407198811.db2.gz YKMVRYGGBYCSPX-OAHLLOKOSA-N 1 2 307.390 1.977 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCO[C@@H](COC)C2)cc(OC)c1O ZINC000093528527 407198813 /nfs/dbraw/zinc/19/88/13/407198813.db2.gz YKMVRYGGBYCSPX-OAHLLOKOSA-N 1 2 307.390 1.977 20 30 DDEDLO Cc1ccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)cc1 ZINC000060633946 407226297 /nfs/dbraw/zinc/22/62/97/407226297.db2.gz SOJLONIUUSOPCH-UHFFFAOYSA-N 1 2 301.390 1.683 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCCCS(=O)(=O)C(C)C)cs1 ZINC000122974369 407316014 /nfs/dbraw/zinc/31/60/14/407316014.db2.gz VZXXOUDTMXDBEM-UHFFFAOYSA-N 1 2 319.452 1.496 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](CCOc2cccc(C#N)c2)C[C@@H](C)O1 ZINC000123873070 407341708 /nfs/dbraw/zinc/34/17/08/407341708.db2.gz PSQZGLSDBJLIHE-IUODEOHRSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](CCOc2cccc(C#N)c2)C[C@@H](C)O1 ZINC000123873070 407341710 /nfs/dbraw/zinc/34/17/10/407341710.db2.gz PSQZGLSDBJLIHE-IUODEOHRSA-N 1 2 304.346 1.199 20 30 DDEDLO CNC(=O)[C@H]1CCCC[N@@H+]1CC(=O)N(C)C1(C#N)CCCCC1 ZINC000104672137 407352150 /nfs/dbraw/zinc/35/21/50/407352150.db2.gz VFZRHMOHYVJVLY-CQSZACIVSA-N 1 2 320.437 1.272 20 30 DDEDLO CNC(=O)[C@H]1CCCC[N@H+]1CC(=O)N(C)C1(C#N)CCCCC1 ZINC000104672137 407352151 /nfs/dbraw/zinc/35/21/51/407352151.db2.gz VFZRHMOHYVJVLY-CQSZACIVSA-N 1 2 320.437 1.272 20 30 DDEDLO C[C@@H](CN1CC[NH+](C)CC1)/N=C/c1cc([N+](=O)[O-])ccc1O ZINC000106586436 407360003 /nfs/dbraw/zinc/36/00/03/407360003.db2.gz VHAASPWEVJFOJU-SEJMYLSOSA-N 1 2 306.366 1.355 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000124733196 407367785 /nfs/dbraw/zinc/36/77/85/407367785.db2.gz TUZQGWVNBWZFTL-KRWDZBQOSA-N 1 2 312.417 1.491 20 30 DDEDLO C=CCOc1ccccc1C[NH+]1CCN(S(=O)(=O)CC)CC1 ZINC000127238770 407431922 /nfs/dbraw/zinc/43/19/22/407431922.db2.gz SQXQRMJAQVXFSO-UHFFFAOYSA-N 1 2 324.446 1.719 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)c1ccc(C#N)[nH]1 ZINC000151728702 407486235 /nfs/dbraw/zinc/48/62/35/407486235.db2.gz KECGMXPNYGOEHL-UHFFFAOYSA-N 1 2 311.345 1.679 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C(=O)CC)cc2)CC1 ZINC000128417127 407531599 /nfs/dbraw/zinc/53/15/99/407531599.db2.gz SHCPBOYBTSGPKG-UHFFFAOYSA-N 1 2 314.385 1.436 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccc(C#N)o2)CCN1c1nccn2cnnc12 ZINC000271248978 407597257 /nfs/dbraw/zinc/59/72/57/407597257.db2.gz HYJQYZITYRZFGR-LBPRGKRZSA-N 1 2 323.360 1.300 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccc(C#N)o2)CCN1c1nccn2cnnc12 ZINC000271248978 407597263 /nfs/dbraw/zinc/59/72/63/407597263.db2.gz HYJQYZITYRZFGR-LBPRGKRZSA-N 1 2 323.360 1.300 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CCN1c1cc[nH+]c(C2CC2)n1 ZINC000130025780 407646140 /nfs/dbraw/zinc/64/61/40/407646140.db2.gz VVRCIKGEZUIZMT-LBPRGKRZSA-N 1 2 321.388 1.731 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccncc3)CC2)cn1 ZINC000115320823 407665512 /nfs/dbraw/zinc/66/55/12/407665512.db2.gz QITDSQQCUGRSHS-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO C=CC[N@@H+](Cc1cccc(C(=O)OC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000171749194 407758116 /nfs/dbraw/zinc/75/81/16/407758116.db2.gz FZUVHIKCUNZBMT-HNNXBMFYSA-N 1 2 323.414 1.648 20 30 DDEDLO C=CC[N@H+](Cc1cccc(C(=O)OC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000171749194 407758120 /nfs/dbraw/zinc/75/81/20/407758120.db2.gz FZUVHIKCUNZBMT-HNNXBMFYSA-N 1 2 323.414 1.648 20 30 DDEDLO C=CC[C@@H](C)NC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000116948790 407800662 /nfs/dbraw/zinc/80/06/62/407800662.db2.gz OZVCWEUXTWOLOU-HIFRSBDPSA-N 1 2 313.467 1.458 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+](Cc1cccnc1)C1CC1 ZINC000180272348 407872970 /nfs/dbraw/zinc/87/29/70/407872970.db2.gz ANYUNINOLXFTJN-UHFFFAOYSA-N 1 2 311.389 1.702 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+](Cc1cccnc1)C1CC1 ZINC000180272348 407872975 /nfs/dbraw/zinc/87/29/75/407872975.db2.gz ANYUNINOLXFTJN-UHFFFAOYSA-N 1 2 311.389 1.702 20 30 DDEDLO COCCCNC(=O)C[N@H+](C)Cc1ccc(OC)c(C#N)c1 ZINC000134977582 407958450 /nfs/dbraw/zinc/95/84/50/407958450.db2.gz LCFANDNVRMAABP-UHFFFAOYSA-N 1 2 305.378 1.151 20 30 DDEDLO COCCCNC(=O)C[N@@H+](C)Cc1ccc(OC)c(C#N)c1 ZINC000134977582 407958456 /nfs/dbraw/zinc/95/84/56/407958456.db2.gz LCFANDNVRMAABP-UHFFFAOYSA-N 1 2 305.378 1.151 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCC(=O)N(C(C)C)C(C)C)c1 ZINC000174215277 407919961 /nfs/dbraw/zinc/91/99/61/407919961.db2.gz QYJJNXXLRKHNFT-UHFFFAOYSA-N 1 2 307.394 1.978 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](C)Cc1cn(C)nc1C(F)(F)F ZINC000154979163 408112710 /nfs/dbraw/zinc/11/27/10/408112710.db2.gz OPFBOWSJHCNCAU-SECBINFHSA-N 1 2 304.316 1.561 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](C)Cc1cn(C)nc1C(F)(F)F ZINC000154979163 408112715 /nfs/dbraw/zinc/11/27/15/408112715.db2.gz OPFBOWSJHCNCAU-SECBINFHSA-N 1 2 304.316 1.561 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cccc(C)c2F)CC1 ZINC000273225810 408113471 /nfs/dbraw/zinc/11/34/71/408113471.db2.gz RCAZTEIGZVUWDX-UHFFFAOYSA-N 1 2 310.394 1.464 20 30 DDEDLO C[C@@H](CNC(=O)C#Cc1ccc2c(c1)OCO2)Cn1cc[nH+]c1 ZINC000119988630 408116643 /nfs/dbraw/zinc/11/66/43/408116643.db2.gz MMMVCUAYTDFKCO-ZDUSSCGKSA-N 1 2 311.341 1.416 20 30 DDEDLO NC(=[NH+]OCCC1OCCO1)c1ccc(N2CCCCC2)nc1 ZINC000120199607 408122029 /nfs/dbraw/zinc/12/20/29/408122029.db2.gz MPQPGHOJEUBIQH-UHFFFAOYSA-N 1 2 320.393 1.472 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@H+]3CCC[C@@H]3C(N)=O)C2=O)cc1 ZINC000182631723 408210741 /nfs/dbraw/zinc/21/07/41/408210741.db2.gz NHZGZRKSFJJBME-HUUCEWRRSA-N 1 2 312.373 1.003 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@@H+]3CCC[C@@H]3C(N)=O)C2=O)cc1 ZINC000182631723 408210749 /nfs/dbraw/zinc/21/07/49/408210749.db2.gz NHZGZRKSFJJBME-HUUCEWRRSA-N 1 2 312.373 1.003 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH+]1CCN(c2cccs2)CC1 ZINC000121465400 408217900 /nfs/dbraw/zinc/21/79/00/408217900.db2.gz QPIDQIVLKZYMPW-GFCCVEGCSA-N 1 2 322.434 1.270 20 30 DDEDLO CC(C)c1nnc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)o1 ZINC000158156843 408330035 /nfs/dbraw/zinc/33/00/35/408330035.db2.gz UVBHSBXIXUZRHH-UHFFFAOYSA-N 1 2 302.334 1.965 20 30 DDEDLO C=CCNC(=O)N1CC[NH+](CCOc2cccc(OC)c2)CC1 ZINC000151292200 408290620 /nfs/dbraw/zinc/29/06/20/408290620.db2.gz JKNQAHJGZDBNNS-UHFFFAOYSA-N 1 2 319.405 1.587 20 30 DDEDLO N#CCc1ccc(OS(=O)(=O)CCC[NH+]2CCOCC2)cc1 ZINC000274148854 408309679 /nfs/dbraw/zinc/30/96/79/408309679.db2.gz BXGUUQLDOAAMGU-UHFFFAOYSA-N 1 2 324.402 1.184 20 30 DDEDLO Cc1csc(N2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)n1 ZINC000191228145 408391415 /nfs/dbraw/zinc/39/14/15/408391415.db2.gz PCJLEIUJOBUIHF-UHFFFAOYSA-N 1 2 301.419 1.984 20 30 DDEDLO Cn1cc(C[N@@H+]2CCOC[C@@H]2C[C@H](O)c2ccco2)cc1C#N ZINC000191446570 408428338 /nfs/dbraw/zinc/42/83/38/408428338.db2.gz IIEATBLTBAOETK-HOTGVXAUSA-N 1 2 315.373 1.814 20 30 DDEDLO Cn1cc(C[N@H+]2CCOC[C@@H]2C[C@H](O)c2ccco2)cc1C#N ZINC000191446570 408428344 /nfs/dbraw/zinc/42/83/44/408428344.db2.gz IIEATBLTBAOETK-HOTGVXAUSA-N 1 2 315.373 1.814 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@H](C)C[NH+]2CCOCC2)cc1 ZINC000160593669 408500551 /nfs/dbraw/zinc/50/05/51/408500551.db2.gz OHJHAVIJCQKLMO-CQSZACIVSA-N 1 2 302.374 1.149 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+](C)Cc1nc2ccccc2n1C ZINC000177199025 408549448 /nfs/dbraw/zinc/54/94/48/408549448.db2.gz NGDPVVNZVWIDDL-ZDUSSCGKSA-N 1 2 313.405 1.766 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+](C)Cc1nc2ccccc2n1C ZINC000177199025 408549449 /nfs/dbraw/zinc/54/94/49/408549449.db2.gz NGDPVVNZVWIDDL-ZDUSSCGKSA-N 1 2 313.405 1.766 20 30 DDEDLO C#CC[N@H+](C)CCCS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000192235727 408560465 /nfs/dbraw/zinc/56/04/65/408560465.db2.gz ADBMBDHBDJWTDT-UHFFFAOYSA-N 1 2 308.403 1.374 20 30 DDEDLO C#CC[N@@H+](C)CCCS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000192235727 408560471 /nfs/dbraw/zinc/56/04/71/408560471.db2.gz ADBMBDHBDJWTDT-UHFFFAOYSA-N 1 2 308.403 1.374 20 30 DDEDLO CC1(O)CC[NH+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000177313705 408577605 /nfs/dbraw/zinc/57/76/05/408577605.db2.gz DRWJEIIKGFFPCQ-GFCCVEGCSA-N 1 2 305.403 1.572 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH2+][C@H](COC)c1ccc(C)o1 ZINC000184730528 408678851 /nfs/dbraw/zinc/67/88/51/408678851.db2.gz LAUBTUMASLBKGL-NWDGAFQWSA-N 1 2 309.366 1.265 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@H+](CC(=O)N(CC)C[C@@H](C)C#N)C1 ZINC000249733383 408759228 /nfs/dbraw/zinc/75/92/28/408759228.db2.gz VBNCBZRYZBZDGP-UONOGXRCSA-N 1 2 324.425 1.205 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N(CC)C[C@@H](C)C#N)C1 ZINC000249733383 408759236 /nfs/dbraw/zinc/75/92/36/408759236.db2.gz VBNCBZRYZBZDGP-UONOGXRCSA-N 1 2 324.425 1.205 20 30 DDEDLO N#CCCCOc1cccnc1NC(=O)NCc1[nH]cc[nH+]1 ZINC000276059981 408765671 /nfs/dbraw/zinc/76/56/71/408765671.db2.gz KCUCSXRZPRYGTJ-UHFFFAOYSA-N 1 2 300.322 1.809 20 30 DDEDLO Cn1nccc1[C@@H]1CCCC[N@@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000281239004 408877429 /nfs/dbraw/zinc/87/74/29/408877429.db2.gz CXDAVRDNTWHAIZ-DOTOQJQBSA-N 1 2 315.421 1.756 20 30 DDEDLO Cn1nccc1[C@@H]1CCCC[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000281239004 408877432 /nfs/dbraw/zinc/87/74/32/408877432.db2.gz CXDAVRDNTWHAIZ-DOTOQJQBSA-N 1 2 315.421 1.756 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NC[C@@H](c1c(F)cccc1F)[NH+](C)C ZINC000290835395 408841606 /nfs/dbraw/zinc/84/16/06/408841606.db2.gz BJAKOYXRBZCCDK-GWCFXTLKSA-N 1 2 313.348 1.414 20 30 DDEDLO CC(C)(C#N)c1ccc(C(=O)NC[C@H]2C[NH+]3CCN2CC3)cc1 ZINC000281133844 408873038 /nfs/dbraw/zinc/87/30/38/408873038.db2.gz IZTNDUAMBOEIHQ-INIZCTEOSA-N 1 2 312.417 1.217 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)c2ccc(C(=O)OC)o2)C1=O ZINC000281514042 408889121 /nfs/dbraw/zinc/88/91/21/408889121.db2.gz YXHHBOQHJNTBOT-NEPJUHHUSA-N 1 2 306.362 1.846 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)c2ccc(C(=O)OC)o2)C1=O ZINC000281514042 408889122 /nfs/dbraw/zinc/88/91/22/408889122.db2.gz YXHHBOQHJNTBOT-NEPJUHHUSA-N 1 2 306.362 1.846 20 30 DDEDLO Cn1cc(C[NH2+]Cc2nc(COc3ccccc3)n[nH]2)cc1C#N ZINC000191613697 163195092 /nfs/dbraw/zinc/19/50/92/163195092.db2.gz ZWADLJZSPAKAQE-UHFFFAOYSA-N 1 2 322.372 1.884 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3nc(C)c(C)s3)CC2)C1=O ZINC000281875866 408946119 /nfs/dbraw/zinc/94/61/19/408946119.db2.gz COHZZJWRKXILQT-CQSZACIVSA-N 1 2 320.462 1.669 20 30 DDEDLO N#CCCCC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000291928353 408946401 /nfs/dbraw/zinc/94/64/01/408946401.db2.gz ODZVOHHAMJJJQH-SJORKVTESA-N 1 2 313.401 1.792 20 30 DDEDLO N#CCCCC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000291928353 408946403 /nfs/dbraw/zinc/94/64/03/408946403.db2.gz ODZVOHHAMJJJQH-SJORKVTESA-N 1 2 313.401 1.792 20 30 DDEDLO COc1cc(C[N@@H+]2CCCC[C@H](S(C)(=O)=O)C2)ccc1C#N ZINC000282232382 409015635 /nfs/dbraw/zinc/01/56/35/409015635.db2.gz IOQAQSKWONBWCN-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1cc(C[N@H+]2CCCC[C@H](S(C)(=O)=O)C2)ccc1C#N ZINC000282232382 409015637 /nfs/dbraw/zinc/01/56/37/409015637.db2.gz IOQAQSKWONBWCN-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO C#CCN(C)C(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000292443053 409029386 /nfs/dbraw/zinc/02/93/86/409029386.db2.gz NZCZDJCCWHECMO-CQSZACIVSA-N 1 2 300.337 1.923 20 30 DDEDLO COCC[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)Cc1ccccn1 ZINC000287460788 409040893 /nfs/dbraw/zinc/04/08/93/409040893.db2.gz RGMPQBSQFGOWJY-QGZVFWFLSA-N 1 2 316.405 1.338 20 30 DDEDLO COCC[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)Cc1ccccn1 ZINC000287460788 409040904 /nfs/dbraw/zinc/04/09/04/409040904.db2.gz RGMPQBSQFGOWJY-QGZVFWFLSA-N 1 2 316.405 1.338 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC2([NH+]3CCOCC3)CC2)cc1 ZINC000278105411 409065991 /nfs/dbraw/zinc/06/59/91/409065991.db2.gz ZPWQDAOQYQZOSW-UHFFFAOYSA-N 1 2 314.385 1.293 20 30 DDEDLO C[C@@H]1[C@H](N2CCOCC2)CC[N@@H+]1Cc1cc(F)ccc1C#N ZINC000289360948 409254574 /nfs/dbraw/zinc/25/45/74/409254574.db2.gz AGHFNEWTPSNGSR-CXAGYDPISA-N 1 2 303.381 1.992 20 30 DDEDLO C[C@@H]1[C@H](N2CCOCC2)CC[N@H+]1Cc1cc(F)ccc1C#N ZINC000289360948 409254575 /nfs/dbraw/zinc/25/45/75/409254575.db2.gz AGHFNEWTPSNGSR-CXAGYDPISA-N 1 2 303.381 1.992 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnc(OCC3CC3)cn2)CC1 ZINC000284193053 409294029 /nfs/dbraw/zinc/29/40/29/409294029.db2.gz HPHNZSSIQLIIMJ-UHFFFAOYSA-N 1 2 314.389 1.047 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC000285409742 409432465 /nfs/dbraw/zinc/43/24/65/409432465.db2.gz XRMJJWAUZRSAGU-UHFFFAOYSA-N 1 2 302.378 1.200 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000342277469 409567775 /nfs/dbraw/zinc/56/77/75/409567775.db2.gz DMRBHKGZPMCZRX-WCVJEAGWSA-N 1 2 308.422 1.289 20 30 DDEDLO C=CCN(C)C(=O)[C@H]1CCC(=O)N(CCC)[C@@H]1c1c[nH+]cn1C ZINC000356903362 409708606 /nfs/dbraw/zinc/70/86/06/409708606.db2.gz NAKWDQUNCCAUGB-BBRMVZONSA-N 1 2 318.421 1.754 20 30 DDEDLO COCC[N@H+](Cc1cc(C#N)cs1)[C@H]1CCS(=O)(=O)C1 ZINC000349270863 409770987 /nfs/dbraw/zinc/77/09/87/409770987.db2.gz AMFYSJTVUFKTBQ-LBPRGKRZSA-N 1 2 314.432 1.255 20 30 DDEDLO COCC[N@@H+](Cc1cc(C#N)cs1)[C@H]1CCS(=O)(=O)C1 ZINC000349270863 409770992 /nfs/dbraw/zinc/77/09/92/409770992.db2.gz AMFYSJTVUFKTBQ-LBPRGKRZSA-N 1 2 314.432 1.255 20 30 DDEDLO C=CCCCN(C)C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000354469474 409881233 /nfs/dbraw/zinc/88/12/33/409881233.db2.gz XCEXFKCAPDCYNL-OAHLLOKOSA-N 1 2 320.437 1.908 20 30 DDEDLO C=CCCCN(C)C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000354469474 409881238 /nfs/dbraw/zinc/88/12/38/409881238.db2.gz XCEXFKCAPDCYNL-OAHLLOKOSA-N 1 2 320.437 1.908 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CC(=O)N(C)[C@H](C)C1)CCC2 ZINC000328683355 409953571 /nfs/dbraw/zinc/95/35/71/409953571.db2.gz WEVRBFZKEHTHJD-OLZOCXBDSA-N 1 2 319.409 1.145 20 30 DDEDLO CC(C)(C)n1ncnc1CNC(=O)N1CCn2cc[nH+]c2C1 ZINC000328772214 409975546 /nfs/dbraw/zinc/97/55/46/409975546.db2.gz DGEFHMPOLCKGSO-UHFFFAOYSA-N 1 2 303.370 1.159 20 30 DDEDLO C[C@H](CNC(=O)N1CCC[C@@H]1[C@@H]1CCCO1)[NH+]1CCOCC1 ZINC000328853002 409991849 /nfs/dbraw/zinc/99/18/49/409991849.db2.gz BWLAQIRPTXWWHP-KFWWJZLASA-N 1 2 311.426 1.265 20 30 DDEDLO CN1CCOC[C@@H]1C(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000328915764 410010232 /nfs/dbraw/zinc/01/02/32/410010232.db2.gz ACFVJMPNSBGOAD-OAHLLOKOSA-N 1 2 318.421 1.859 20 30 DDEDLO CN1CCOC[C@H]1C(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000328935271 410011471 /nfs/dbraw/zinc/01/14/71/410011471.db2.gz FLZJBXJWAWLSIZ-LBPRGKRZSA-N 1 2 308.769 1.775 20 30 DDEDLO Cc1noc([C@H]2C[N@@H+](Cc3cccc(C#N)c3F)CCN2C)n1 ZINC000332269676 410030794 /nfs/dbraw/zinc/03/07/94/410030794.db2.gz GKRRRVUGGXBLGN-CQSZACIVSA-N 1 2 315.352 1.878 20 30 DDEDLO Cc1noc([C@H]2C[N@H+](Cc3cccc(C#N)c3F)CCN2C)n1 ZINC000332269676 410030802 /nfs/dbraw/zinc/03/08/02/410030802.db2.gz GKRRRVUGGXBLGN-CQSZACIVSA-N 1 2 315.352 1.878 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@H](CS(C)(=O)=O)C1 ZINC000339154021 410064189 /nfs/dbraw/zinc/06/41/89/410064189.db2.gz KCIQOAXPFAFZCY-AWEZNQCLSA-N 1 2 316.467 1.168 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@H](CS(C)(=O)=O)C1 ZINC000339154021 410064196 /nfs/dbraw/zinc/06/41/96/410064196.db2.gz KCIQOAXPFAFZCY-AWEZNQCLSA-N 1 2 316.467 1.168 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(c2cccc(C#N)n2)C1 ZINC000357622779 410144185 /nfs/dbraw/zinc/14/41/85/410144185.db2.gz FUYLUSYYNRANLL-QGZVFWFLSA-N 1 2 316.405 1.853 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000354894044 410156999 /nfs/dbraw/zinc/15/69/99/410156999.db2.gz ZJPXBFPDVZUPRB-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@H+](CC(=O)NC1CCCCC1)C2 ZINC000329208559 410167846 /nfs/dbraw/zinc/16/78/46/410167846.db2.gz XZZDYIPRUXZFQH-UHFFFAOYSA-N 1 2 318.421 1.911 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@@H+](CC(=O)NC1CCCCC1)C2 ZINC000329208559 410167851 /nfs/dbraw/zinc/16/78/51/410167851.db2.gz XZZDYIPRUXZFQH-UHFFFAOYSA-N 1 2 318.421 1.911 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N1CCCC[C@H]1CCO ZINC000329222780 410174474 /nfs/dbraw/zinc/17/44/74/410174474.db2.gz WXZKBIOVBLVQLO-KBPBESRZSA-N 1 2 306.410 1.911 20 30 DDEDLO Cc1nn(C)c(C)c1[C@H](C)C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC000329342196 410243723 /nfs/dbraw/zinc/24/37/23/410243723.db2.gz ZZFLWRJDZHSMBK-OLZOCXBDSA-N 1 2 321.469 1.733 20 30 DDEDLO CCOc1ccc(C[NH+]2CCN(C(=O)[C@@H](C)C#N)CC2)cc1 ZINC000357806079 410259124 /nfs/dbraw/zinc/25/91/24/410259124.db2.gz SMAVXXRUYOHMHA-AWEZNQCLSA-N 1 2 301.390 1.889 20 30 DDEDLO CC[C@H]1CN(C(=O)NCC[N@@H+]2CCOCC2(C)C)CCCO1 ZINC000329312055 410226844 /nfs/dbraw/zinc/22/68/44/410226844.db2.gz RHABAXAEBCWKGK-AWEZNQCLSA-N 1 2 313.442 1.512 20 30 DDEDLO CC[C@H]1CN(C(=O)NCC[N@H+]2CCOCC2(C)C)CCCO1 ZINC000329312055 410226846 /nfs/dbraw/zinc/22/68/46/410226846.db2.gz RHABAXAEBCWKGK-AWEZNQCLSA-N 1 2 313.442 1.512 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)Cc1cc(C#N)ccc1F ZINC000347052283 410327538 /nfs/dbraw/zinc/32/75/38/410327538.db2.gz JPIWGXIRUIOMCL-UHFFFAOYSA-N 1 2 322.365 1.393 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@@H]1C ZINC000346995417 410299379 /nfs/dbraw/zinc/29/93/79/410299379.db2.gz VVSVLRPCLAIRFT-PWSUYJOCSA-N 1 2 319.361 1.590 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@@H]1C ZINC000346995417 410299382 /nfs/dbraw/zinc/29/93/82/410299382.db2.gz VVSVLRPCLAIRFT-PWSUYJOCSA-N 1 2 319.361 1.590 20 30 DDEDLO Cc1nc(C2CC2)oc1C(=O)NC[C@](C)(O)C[NH+]1CCOCC1 ZINC000329447569 410302153 /nfs/dbraw/zinc/30/21/53/410302153.db2.gz CVMCTOGRHVDAGW-INIZCTEOSA-N 1 2 323.393 1.248 20 30 DDEDLO Cc1cn2cc(NC(=O)N3C[C@@H]4CC[C@H](O)[C@H]4C3)ccc2[nH+]1 ZINC000329564478 410364163 /nfs/dbraw/zinc/36/41/63/410364163.db2.gz NXIRGEZYZIRVRZ-UBHSHLNASA-N 1 2 300.362 1.891 20 30 DDEDLO CO[C@](C)(CNC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1)C1CC1 ZINC000329635890 410395934 /nfs/dbraw/zinc/39/59/34/410395934.db2.gz UTJZLLYWJQCXON-IJEWVQPXSA-N 1 2 311.426 1.120 20 30 DDEDLO CO[C@](C)(CNC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1)C1CC1 ZINC000329635890 410395941 /nfs/dbraw/zinc/39/59/41/410395941.db2.gz UTJZLLYWJQCXON-IJEWVQPXSA-N 1 2 311.426 1.120 20 30 DDEDLO COc1c(C)c[nH+]c(CN(C)C(=O)c2cnn(C)c2C#N)c1C ZINC000355356538 410464434 /nfs/dbraw/zinc/46/44/34/410464434.db2.gz QZZZBFDIOFYYTR-UHFFFAOYSA-N 1 2 313.361 1.584 20 30 DDEDLO Cc1ccc(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)c2n[nH]cc21 ZINC000329929954 410488176 /nfs/dbraw/zinc/48/81/76/410488176.db2.gz NZSAZBZOGAQDOX-KBPBESRZSA-N 1 2 315.377 1.432 20 30 DDEDLO Cc1ccc(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)c2n[nH]cc21 ZINC000329929954 410488181 /nfs/dbraw/zinc/48/81/81/410488181.db2.gz NZSAZBZOGAQDOX-KBPBESRZSA-N 1 2 315.377 1.432 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC(=O)NC2CC2)C[C@@]2(CCCOC2)O1 ZINC000330097287 410542198 /nfs/dbraw/zinc/54/21/98/410542198.db2.gz APQTXLALRFCINI-IAQYHMDHSA-N 1 2 311.382 1.289 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC(=O)NC2CC2)C[C@@]2(CCCOC2)O1 ZINC000330097287 410542205 /nfs/dbraw/zinc/54/22/05/410542205.db2.gz APQTXLALRFCINI-IAQYHMDHSA-N 1 2 311.382 1.289 20 30 DDEDLO C[C@H](C(=O)NC(=O)Nc1ccccc1F)[N@@H+](C)C[C@H](C)C#N ZINC000299146247 410545264 /nfs/dbraw/zinc/54/52/64/410545264.db2.gz CJRGTHASQJFWSK-GHMZBOCLSA-N 1 2 306.341 1.954 20 30 DDEDLO C[C@H](C(=O)NC(=O)Nc1ccccc1F)[N@H+](C)C[C@H](C)C#N ZINC000299146247 410545275 /nfs/dbraw/zinc/54/52/75/410545275.db2.gz CJRGTHASQJFWSK-GHMZBOCLSA-N 1 2 306.341 1.954 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(C2CC2)C2CCCC2)C1=O ZINC000337236782 410666861 /nfs/dbraw/zinc/66/68/61/410666861.db2.gz YGUMYOUMURRDCT-INIZCTEOSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(C2CC2)C2CCCC2)C1=O ZINC000337236782 410666868 /nfs/dbraw/zinc/66/68/68/410666868.db2.gz YGUMYOUMURRDCT-INIZCTEOSA-N 1 2 319.449 1.639 20 30 DDEDLO C[C@@H](C#N)C[N@H+](CCN1C(=O)NC2(CCCC2)C1=O)C1CC1 ZINC000336856986 410644101 /nfs/dbraw/zinc/64/41/01/410644101.db2.gz PMJVTGXZFVAZBD-LBPRGKRZSA-N 1 2 304.394 1.475 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](CCN1C(=O)NC2(CCCC2)C1=O)C1CC1 ZINC000336856986 410644105 /nfs/dbraw/zinc/64/41/05/410644105.db2.gz PMJVTGXZFVAZBD-LBPRGKRZSA-N 1 2 304.394 1.475 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)cn1 ZINC000359420178 410651618 /nfs/dbraw/zinc/65/16/18/410651618.db2.gz ZFFMWFGKGALDCJ-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000352421877 410656523 /nfs/dbraw/zinc/65/65/23/410656523.db2.gz OJEQXYDEEXRNDJ-GFCCVEGCSA-N 1 2 304.375 1.263 20 30 DDEDLO CC[C@@H]1CN(C(C)=O)[C@@H](CC)C[N@@H+]1CC(=O)NC1(C#N)CCC1 ZINC000347066404 287180865 /nfs/dbraw/zinc/18/08/65/287180865.db2.gz ZTLDRLJJSMIVNY-CABCVRRESA-N 1 2 320.437 1.270 20 30 DDEDLO CC[C@@H]1CN(C(C)=O)[C@@H](CC)C[N@H+]1CC(=O)NC1(C#N)CCC1 ZINC000347066404 287180868 /nfs/dbraw/zinc/18/08/68/287180868.db2.gz ZTLDRLJJSMIVNY-CABCVRRESA-N 1 2 320.437 1.270 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)Nc2cccc(C#N)c2)CC[S@]1=O ZINC000330835887 410896362 /nfs/dbraw/zinc/89/63/62/410896362.db2.gz IIMHKMHZRWKRJB-LAJNKCICSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)Nc2cccc(C#N)c2)CC[S@]1=O ZINC000330835887 410896367 /nfs/dbraw/zinc/89/63/67/410896367.db2.gz IIMHKMHZRWKRJB-LAJNKCICSA-N 1 2 305.403 1.340 20 30 DDEDLO C[NH+](C)Cc1nc(C(C)(C)NC(=O)c2sccc2C#N)no1 ZINC000337630503 410908516 /nfs/dbraw/zinc/90/85/16/410908516.db2.gz CZWMMDVVZAPPMX-UHFFFAOYSA-N 1 2 319.390 1.729 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)COc1ccccc1C#N)C1CC1 ZINC000341724463 411052325 /nfs/dbraw/zinc/05/23/25/411052325.db2.gz ZMNPWVOYNVUCOS-INIZCTEOSA-N 1 2 310.357 1.938 20 30 DDEDLO Cn1cc(C[NH+]2CCN(Cc3ccc(F)c(C#N)c3)CC2)cn1 ZINC000344341591 411093577 /nfs/dbraw/zinc/09/35/77/411093577.db2.gz DFXVETGUDBSWTL-UHFFFAOYSA-N 1 2 313.380 1.749 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1cc(C#N)c(Br)cn1 ZINC000600863026 416619254 /nfs/dbraw/zinc/61/92/54/416619254.db2.gz GOIXRTIBSUIRLC-SNVBAGLBSA-N 1 2 311.183 1.458 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1cc(C#N)c(Br)cn1 ZINC000600863026 416619258 /nfs/dbraw/zinc/61/92/58/416619258.db2.gz GOIXRTIBSUIRLC-SNVBAGLBSA-N 1 2 311.183 1.458 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)Nc1nn(C)cc1C#N ZINC000610565030 416659519 /nfs/dbraw/zinc/65/95/19/416659519.db2.gz OJCUUIAWOBBUKW-UHFFFAOYSA-N 1 2 301.354 1.466 20 30 DDEDLO CC(C)N1CC[C@@H](O[NH+]=C(N)c2ccc3c(c2)CCO3)C1=O ZINC000158183697 221685358 /nfs/dbraw/zinc/68/53/58/221685358.db2.gz MKRBGBAUBCYZQC-CQSZACIVSA-N 1 2 303.362 1.268 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1C[C@H](C)O[C@@]2(CCOC2)C1 ZINC000373797209 418461788 /nfs/dbraw/zinc/46/17/88/418461788.db2.gz NANXUKGNDKYVMZ-GUYCJALGSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1C[C@H](C)O[C@@]2(CCOC2)C1 ZINC000373797209 418461792 /nfs/dbraw/zinc/46/17/92/418461792.db2.gz NANXUKGNDKYVMZ-GUYCJALGSA-N 1 2 302.374 1.947 20 30 DDEDLO O=C1CO[C@@H]2CC[N@@H+](CC#Cc3ccc(Cl)cc3)C[C@H]2N1 ZINC000366795646 418519291 /nfs/dbraw/zinc/51/92/91/418519291.db2.gz IBYQCHSDCDIXIO-HUUCEWRRSA-N 1 2 304.777 1.281 20 30 DDEDLO O=C1CO[C@@H]2CC[N@H+](CC#Cc3ccc(Cl)cc3)C[C@H]2N1 ZINC000366795646 418519295 /nfs/dbraw/zinc/51/92/95/418519295.db2.gz IBYQCHSDCDIXIO-HUUCEWRRSA-N 1 2 304.777 1.281 20 30 DDEDLO C=CCc1cc(C[NH+]2CC(n3ccnn3)C2)cc(OC)c1O ZINC000374814375 418565448 /nfs/dbraw/zinc/56/54/48/418565448.db2.gz AGHXBRBCQOORSB-UHFFFAOYSA-N 1 2 300.362 1.778 20 30 DDEDLO C[C@@H](NC(=O)c1cccc(F)c1C#N)[C@@H](C)[NH+]1CCOCC1 ZINC000189187283 222038683 /nfs/dbraw/zinc/03/86/83/222038683.db2.gz BMWPLCUXAHHGEL-VXGBXAGGSA-N 1 2 305.353 1.536 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N(C)Cc1ccccc1Br ZINC000192224176 222123962 /nfs/dbraw/zinc/12/39/62/222123962.db2.gz UHHUVHKIPINYDL-UHFFFAOYSA-N 1 2 309.207 1.973 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N(C)Cc1ccccc1Br ZINC000192224176 222123963 /nfs/dbraw/zinc/12/39/63/222123963.db2.gz UHHUVHKIPINYDL-UHFFFAOYSA-N 1 2 309.207 1.973 20 30 DDEDLO C=CCOC[C@@H]([NH2+][C@@H]1CCc2c(cccc2OC)C1)C(=O)OC ZINC000361112879 418586812 /nfs/dbraw/zinc/58/68/12/418586812.db2.gz JZALXAHRFXUUBP-GDBMZVCRSA-N 1 2 319.401 1.886 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)cc1 ZINC000264323261 222330433 /nfs/dbraw/zinc/33/04/33/222330433.db2.gz VUZWQPGWXFLYCU-WOHQBKTFSA-N 1 2 319.405 1.506 20 30 DDEDLO O=C(Nc1ccn(CC[NH+]2CCOCC2)n1)N[C@H]1C=CCCC1 ZINC000329821919 418610097 /nfs/dbraw/zinc/61/00/97/418610097.db2.gz YWPSGFGMEDAQDQ-AWEZNQCLSA-N 1 2 319.409 1.650 20 30 DDEDLO COC(=O)c1cccc(OCC(N)=NOCc2c[nH+]cn2C)c1 ZINC000267806614 222398889 /nfs/dbraw/zinc/39/88/89/222398889.db2.gz ROOADSYDDGZVQT-UHFFFAOYSA-N 1 2 318.333 1.075 20 30 DDEDLO Cc1cnn(C)c1C[N@@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000377550235 418710963 /nfs/dbraw/zinc/71/09/63/418710963.db2.gz OPOPZEDGZNQSBE-ACJLOTCBSA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1cnn(C)c1C[N@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000377550235 418710966 /nfs/dbraw/zinc/71/09/66/418710966.db2.gz OPOPZEDGZNQSBE-ACJLOTCBSA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1cnn(C)c1C[N@@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000377550237 418711395 /nfs/dbraw/zinc/71/13/95/418711395.db2.gz OPOPZEDGZNQSBE-SCLBCKFNSA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1cnn(C)c1C[N@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000377550237 418711398 /nfs/dbraw/zinc/71/13/98/418711398.db2.gz OPOPZEDGZNQSBE-SCLBCKFNSA-N 1 2 321.384 1.574 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CC[C@H](NC(=O)C4CCC4)C3)n2c1 ZINC000378352121 418721391 /nfs/dbraw/zinc/72/13/91/418721391.db2.gz YEWXQSUZVCBRNY-HNNXBMFYSA-N 1 2 323.400 1.697 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CC[C@H](NC(=O)C4CCC4)C3)n2c1 ZINC000378352121 418721393 /nfs/dbraw/zinc/72/13/93/418721393.db2.gz YEWXQSUZVCBRNY-HNNXBMFYSA-N 1 2 323.400 1.697 20 30 DDEDLO Cc1cccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)n1 ZINC000362465029 418755163 /nfs/dbraw/zinc/75/51/63/418755163.db2.gz RPEXXIXBCKFYBN-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)n1 ZINC000362465029 418755165 /nfs/dbraw/zinc/75/51/65/418755165.db2.gz RPEXXIXBCKFYBN-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO COC(=O)c1cn([C@H]2CCC[N@H+](C[C@@H](C#N)CCC#N)C2)nn1 ZINC000368847780 418725444 /nfs/dbraw/zinc/72/54/44/418725444.db2.gz QSTHLJDXQBCQHW-OLZOCXBDSA-N 1 2 316.365 1.145 20 30 DDEDLO COC(=O)c1cn([C@H]2CCC[N@@H+](C[C@@H](C#N)CCC#N)C2)nn1 ZINC000368847780 418725446 /nfs/dbraw/zinc/72/54/46/418725446.db2.gz QSTHLJDXQBCQHW-OLZOCXBDSA-N 1 2 316.365 1.145 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CC[C@H](Oc2ccc[nH+]c2N(C)C)C1 ZINC000368805534 418726168 /nfs/dbraw/zinc/72/61/68/418726168.db2.gz JMAGXJWTRRUUGC-KBPBESRZSA-N 1 2 316.405 1.722 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)[C@H]2CCCO2)CC1 ZINC000368911288 418727117 /nfs/dbraw/zinc/72/71/17/418727117.db2.gz PFKBGAOKCAVOIA-QGZVFWFLSA-N 1 2 313.401 1.772 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)[C@H]2CCCO2)CC1 ZINC000368911288 418727120 /nfs/dbraw/zinc/72/71/20/418727120.db2.gz PFKBGAOKCAVOIA-QGZVFWFLSA-N 1 2 313.401 1.772 20 30 DDEDLO C=C(Br)C[N@@H+]1CCCN(C(=O)[C@H]2CCCO2)CC1 ZINC000368899580 418727275 /nfs/dbraw/zinc/72/72/75/418727275.db2.gz LJYHCSPLCXXYBG-GFCCVEGCSA-N 1 2 317.227 1.608 20 30 DDEDLO C=C(Br)C[N@H+]1CCCN(C(=O)[C@H]2CCCO2)CC1 ZINC000368899580 418727276 /nfs/dbraw/zinc/72/72/76/418727276.db2.gz LJYHCSPLCXXYBG-GFCCVEGCSA-N 1 2 317.227 1.608 20 30 DDEDLO Cc1ccnc(N2CC[C@@H]([N@H+](C)Cc3nccc(N)n3)C2)c1C#N ZINC000372519240 418879537 /nfs/dbraw/zinc/87/95/37/418879537.db2.gz ALZLZOAILVMHJI-CYBMUJFWSA-N 1 2 323.404 1.345 20 30 DDEDLO Cc1ccnc(N2CC[C@@H]([N@@H+](C)Cc3nccc(N)n3)C2)c1C#N ZINC000372519240 418879539 /nfs/dbraw/zinc/87/95/39/418879539.db2.gz ALZLZOAILVMHJI-CYBMUJFWSA-N 1 2 323.404 1.345 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@@H]2CCN(c3ccc(C#N)cc3)C2=O)n1 ZINC000411522156 418911501 /nfs/dbraw/zinc/91/15/01/418911501.db2.gz VNTWPWDCBHGDFA-QMTHXVAHSA-N 1 2 311.345 1.706 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000365869334 418918045 /nfs/dbraw/zinc/91/80/45/418918045.db2.gz FMNOQHLGJUKXLC-GDBMZVCRSA-N 1 2 303.406 1.859 20 30 DDEDLO N#CCC1(O)C[NH+](C[C@@H](O)Cc2ccccc2C(F)(F)F)C1 ZINC000424144784 228235795 /nfs/dbraw/zinc/23/57/95/228235795.db2.gz YBDXAOFNDGLFSZ-LBPRGKRZSA-N 1 2 314.307 1.569 20 30 DDEDLO Cc1cc(NC[C@@]2([NH+]3CCOCC3)CCSC2)c(C#N)cn1 ZINC000425213307 228391649 /nfs/dbraw/zinc/39/16/49/228391649.db2.gz GRSOKETVOWGCGG-INIZCTEOSA-N 1 2 318.446 1.303 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCO[C@H](C2CCC2)C1 ZINC000411879189 419450983 /nfs/dbraw/zinc/45/09/83/419450983.db2.gz UESBQBGZKQUPMB-HNNXBMFYSA-N 1 2 304.394 1.143 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCO[C@H](C2CCC2)C1 ZINC000411879189 419450990 /nfs/dbraw/zinc/45/09/90/419450990.db2.gz UESBQBGZKQUPMB-HNNXBMFYSA-N 1 2 304.394 1.143 20 30 DDEDLO N#Cc1cccc(NCC(=O)NCc2cn3c([nH+]2)CCCC3)c1 ZINC000426447802 419473521 /nfs/dbraw/zinc/47/35/21/419473521.db2.gz MVOYEMZAMCXIMP-UHFFFAOYSA-N 1 2 309.373 1.819 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CC[C@H](O)[C@H](CO)C2)c1C ZINC000412115489 419678185 /nfs/dbraw/zinc/67/81/85/419678185.db2.gz YNWFBBCUNOHQAD-HOCLYGCPSA-N 1 2 306.406 1.149 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CC[C@H](O)[C@H](CO)C2)c1C ZINC000412115489 419678193 /nfs/dbraw/zinc/67/81/93/419678193.db2.gz YNWFBBCUNOHQAD-HOCLYGCPSA-N 1 2 306.406 1.149 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NC[C@H](C)[N@@H+]1CCc2sccc2C1 ZINC000416368761 420344830 /nfs/dbraw/zinc/34/48/30/420344830.db2.gz IFQGBMZDZVYMIN-WDEREUQCSA-N 1 2 313.448 1.326 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NC[C@H](C)[N@H+]1CCc2sccc2C1 ZINC000416368761 420344832 /nfs/dbraw/zinc/34/48/32/420344832.db2.gz IFQGBMZDZVYMIN-WDEREUQCSA-N 1 2 313.448 1.326 20 30 DDEDLO C=CCn1cc(CNC(=O)N[C@@H](C)c2[nH+]ccn2CC)nn1 ZINC000425594244 420422680 /nfs/dbraw/zinc/42/26/80/420422680.db2.gz YHDUXXVKJXKHSF-NSHDSACASA-N 1 2 303.370 1.241 20 30 DDEDLO C=C[C@@H](C)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000437773458 420423176 /nfs/dbraw/zinc/42/31/76/420423176.db2.gz JRYVEZIUOPBZIM-OIISXLGYSA-N 1 2 315.417 1.856 20 30 DDEDLO C=C[C@@H](C)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000437773458 420423181 /nfs/dbraw/zinc/42/31/81/420423181.db2.gz JRYVEZIUOPBZIM-OIISXLGYSA-N 1 2 315.417 1.856 20 30 DDEDLO C=CCC[C@@H](CO)Nc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000450892157 420552356 /nfs/dbraw/zinc/55/23/56/420552356.db2.gz CTMOLRCZJODYHD-KBPBESRZSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CCC[C@@H](CO)Nc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000450892157 420552360 /nfs/dbraw/zinc/55/23/60/420552360.db2.gz CTMOLRCZJODYHD-KBPBESRZSA-N 1 2 306.410 1.424 20 30 DDEDLO C=C(C)C[N@H+](Cc1ccc(CO)o1)C[C@@H](C)S(C)(=O)=O ZINC000450984579 420576656 /nfs/dbraw/zinc/57/66/56/420576656.db2.gz WVGFMLJTNWMDRO-GFCCVEGCSA-N 1 2 301.408 1.583 20 30 DDEDLO C=C(C)C[N@@H+](Cc1ccc(CO)o1)C[C@@H](C)S(C)(=O)=O ZINC000450984579 420576660 /nfs/dbraw/zinc/57/66/60/420576660.db2.gz WVGFMLJTNWMDRO-GFCCVEGCSA-N 1 2 301.408 1.583 20 30 DDEDLO C[N@H+](CC#Cc1ccccc1Cl)CC(=O)N1CCOCC1 ZINC000441153757 420636099 /nfs/dbraw/zinc/63/60/99/420636099.db2.gz LLHOZNVRQSICTR-UHFFFAOYSA-N 1 2 306.793 1.482 20 30 DDEDLO C[N@@H+](CC#Cc1ccccc1Cl)CC(=O)N1CCOCC1 ZINC000441153757 420636102 /nfs/dbraw/zinc/63/61/02/420636102.db2.gz LLHOZNVRQSICTR-UHFFFAOYSA-N 1 2 306.793 1.482 20 30 DDEDLO C=CCOc1ccc(CC(=O)N(C)CC[NH+]2CCOCC2)cc1 ZINC000448878875 420909804 /nfs/dbraw/zinc/90/98/04/420909804.db2.gz VHFAIAQETFLMME-UHFFFAOYSA-N 1 2 318.417 1.585 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)Nc2cnc(C#N)c(Cl)c2)C1 ZINC000455785248 421062224 /nfs/dbraw/zinc/06/22/24/421062224.db2.gz LTIFMYNNPGGKOU-SNVBAGLBSA-N 1 2 309.757 1.059 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)Nc2cnc(C#N)c(Cl)c2)C1 ZINC000455785248 421062227 /nfs/dbraw/zinc/06/22/27/421062227.db2.gz LTIFMYNNPGGKOU-SNVBAGLBSA-N 1 2 309.757 1.059 20 30 DDEDLO CNC(=O)OC[C@H]1CCCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000495637840 421039248 /nfs/dbraw/zinc/03/92/48/421039248.db2.gz FKGVNGSOULWYAU-CJNGLKHVSA-N 1 2 322.409 1.005 20 30 DDEDLO CNC(=O)OC[C@H]1CCCC[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000495637840 421039252 /nfs/dbraw/zinc/03/92/52/421039252.db2.gz FKGVNGSOULWYAU-CJNGLKHVSA-N 1 2 322.409 1.005 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000449836836 421094377 /nfs/dbraw/zinc/09/43/77/421094377.db2.gz VKLLMWMOQXVQAR-UHFFFAOYSA-N 1 2 324.384 1.560 20 30 DDEDLO C[C@H](C[NH+]1CCN(Cc2ccc(C#N)cc2)CC1)S(C)(=O)=O ZINC000450242383 421168771 /nfs/dbraw/zinc/16/87/71/421168771.db2.gz ORAKDPVXVKPVPB-CQSZACIVSA-N 1 2 321.446 1.109 20 30 DDEDLO C#C[C@H]1COCCN1Cc1ccccc1C[N@@H+]1CCOC[C@@H]1C#C ZINC000491767258 421202840 /nfs/dbraw/zinc/20/28/40/421202840.db2.gz IGNDQGAXOUMZCL-PMACEKPBSA-N 1 2 324.424 1.355 20 30 DDEDLO C#C[C@H]1COCCN1Cc1ccccc1C[N@H+]1CCOC[C@@H]1C#C ZINC000491767258 421202841 /nfs/dbraw/zinc/20/28/41/421202841.db2.gz IGNDQGAXOUMZCL-PMACEKPBSA-N 1 2 324.424 1.355 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNc2c(C#N)cccc2C#N)C1 ZINC000450542391 421208289 /nfs/dbraw/zinc/20/82/89/421208289.db2.gz VMERINBHMUMDHD-ZDUSSCGKSA-N 1 2 312.373 1.727 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNc2c(C#N)cccc2C#N)C1 ZINC000450542391 421208291 /nfs/dbraw/zinc/20/82/91/421208291.db2.gz VMERINBHMUMDHD-ZDUSSCGKSA-N 1 2 312.373 1.727 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@H](C)O[C@]2(CCO[C@H]2C)C1 ZINC000562502852 421374852 /nfs/dbraw/zinc/37/48/52/421374852.db2.gz VXZSPMMXJWZXRO-VWPFQQQWSA-N 1 2 321.421 1.206 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@H](C)O[C@]2(CCO[C@H]2C)C1 ZINC000562502852 421374855 /nfs/dbraw/zinc/37/48/55/421374855.db2.gz VXZSPMMXJWZXRO-VWPFQQQWSA-N 1 2 321.421 1.206 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCc3nc(C(C)C)ncc3C2)C1=O ZINC000527623381 421425449 /nfs/dbraw/zinc/42/54/49/421425449.db2.gz NXUWKHDYTIWAGD-OAHLLOKOSA-N 1 2 300.406 1.745 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCc3nc(C(C)C)ncc3C2)C1=O ZINC000527623381 421425452 /nfs/dbraw/zinc/42/54/52/421425452.db2.gz NXUWKHDYTIWAGD-OAHLLOKOSA-N 1 2 300.406 1.745 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Nc1cccc(C#N)n1 ZINC000514671459 421445280 /nfs/dbraw/zinc/44/52/80/421445280.db2.gz NUKOBLQHYMKPTH-GXTWGEPZSA-N 1 2 315.377 1.280 20 30 DDEDLO COc1ccc[nH+]c1N1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000527982896 421460846 /nfs/dbraw/zinc/46/08/46/421460846.db2.gz JGDYIOGLKBHXJT-UHFFFAOYSA-N 1 2 312.377 1.330 20 30 DDEDLO Cn1nc(C(F)(F)F)cc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000563220034 421474371 /nfs/dbraw/zinc/47/43/71/421474371.db2.gz FFPZWUUYHHLKJX-NSHDSACASA-N 1 2 303.288 1.013 20 30 DDEDLO CC(C)c1noc2ncc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc21 ZINC000563221045 421474508 /nfs/dbraw/zinc/47/45/08/421474508.db2.gz YMOXIZPHNJTBGD-INIZCTEOSA-N 1 2 315.377 1.920 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000563892674 421553797 /nfs/dbraw/zinc/55/37/97/421553797.db2.gz YZEUJGBLGZQUQF-ZDUSSCGKSA-N 1 2 305.422 1.760 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)COCc1cccc(Cl)c1 ZINC000566309788 421604465 /nfs/dbraw/zinc/60/44/65/421604465.db2.gz SNGVOSDVFOJZNZ-HNNXBMFYSA-N 1 2 309.797 1.817 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccccc1NC(=O)C1CC1 ZINC000568009160 421616260 /nfs/dbraw/zinc/61/62/60/421616260.db2.gz YJXHRPYFLAIWIZ-AWEZNQCLSA-N 1 2 317.389 1.620 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccccc1NC(=O)C1CC1 ZINC000568009160 421616261 /nfs/dbraw/zinc/61/62/61/421616261.db2.gz YJXHRPYFLAIWIZ-AWEZNQCLSA-N 1 2 317.389 1.620 20 30 DDEDLO N#Cc1ccncc1C(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000554617317 421656626 /nfs/dbraw/zinc/65/66/26/421656626.db2.gz LMFNUTQKMGJWAS-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2nc3c(cc2C#N)CCCC3)CCO1 ZINC000535748805 421710102 /nfs/dbraw/zinc/71/01/02/421710102.db2.gz FCEQBISSNNAKRC-ZDUSSCGKSA-N 1 2 300.406 1.965 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2nc3c(cc2C#N)CCCC3)CCO1 ZINC000535748805 421710107 /nfs/dbraw/zinc/71/01/07/421710107.db2.gz FCEQBISSNNAKRC-ZDUSSCGKSA-N 1 2 300.406 1.965 20 30 DDEDLO Cc1cc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)ccc1C#N ZINC000572685586 421810501 /nfs/dbraw/zinc/81/05/01/421810501.db2.gz DHJQZNQUHPWUPG-CYBMUJFWSA-N 1 2 316.386 1.357 20 30 DDEDLO C[C@@H](C#N)CNC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000541951099 421815371 /nfs/dbraw/zinc/81/53/71/421815371.db2.gz ZZJFJGNOGZXIFO-AWEZNQCLSA-N 1 2 311.389 1.545 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000572144514 421766189 /nfs/dbraw/zinc/76/61/89/421766189.db2.gz XRHRQWFIVZKEHK-DZGCQCFKSA-N 1 2 314.389 1.197 20 30 DDEDLO Cc1ccnc(N[C@H]2CCCN(Cc3[nH+]ccn3C)C2=O)c1C#N ZINC000544143456 421843166 /nfs/dbraw/zinc/84/31/66/421843166.db2.gz MGWYWXDNHDUZER-AWEZNQCLSA-N 1 2 324.388 1.598 20 30 DDEDLO COc1ccc(CCC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1OC ZINC000573511134 421975456 /nfs/dbraw/zinc/97/54/56/421975456.db2.gz CRELFEYNEPMGEM-KRWDZBQOSA-N 1 2 319.405 1.596 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000628576117 422235762 /nfs/dbraw/zinc/23/57/62/422235762.db2.gz QPBIDNLJTSLBTC-PBHICJAKSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000628576117 422235768 /nfs/dbraw/zinc/23/57/68/422235768.db2.gz QPBIDNLJTSLBTC-PBHICJAKSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000628575095 422237340 /nfs/dbraw/zinc/23/73/40/422237340.db2.gz BISMVLRIIZTULC-NVXWUHKLSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000628575095 422237347 /nfs/dbraw/zinc/23/73/47/422237347.db2.gz BISMVLRIIZTULC-NVXWUHKLSA-N 1 2 310.438 1.321 20 30 DDEDLO COCC[NH+]1CCN(C(=O)Nc2scc(C)c2C#N)CC1 ZINC000575812170 422331439 /nfs/dbraw/zinc/33/14/39/422331439.db2.gz JPPQHXRLJVAXSV-UHFFFAOYSA-N 1 2 308.407 1.724 20 30 DDEDLO N#Cc1cnnc(NC[C@@H](c2ccccc2)[NH+]2CCOCC2)c1 ZINC000596071525 422362213 /nfs/dbraw/zinc/36/22/13/422362213.db2.gz PXZVUAHFJBXSIG-INIZCTEOSA-N 1 2 309.373 1.834 20 30 DDEDLO C=CCN(CCOC)C(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635847358 422403902 /nfs/dbraw/zinc/40/39/02/422403902.db2.gz VWUOLMINWAWBIF-KRWDZBQOSA-N 1 2 318.417 1.931 20 30 DDEDLO C=CCN(CCOC)C(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635847358 422403908 /nfs/dbraw/zinc/40/39/08/422403908.db2.gz VWUOLMINWAWBIF-KRWDZBQOSA-N 1 2 318.417 1.931 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccccc2OC)nn1 ZINC000640763359 423170632 /nfs/dbraw/zinc/17/06/32/423170632.db2.gz GFLCKOJLPKUQOQ-UHFFFAOYSA-N 1 2 313.361 1.038 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(C)CC2CCCCC2)nn1 ZINC000640967659 423302338 /nfs/dbraw/zinc/30/23/38/423302338.db2.gz IGGPRWAGPBXRHH-UHFFFAOYSA-N 1 2 317.437 1.430 20 30 DDEDLO C=CCCn1cc(CN2CC[NH+](Cc3ccccn3)CC2)nn1 ZINC000653485275 423497068 /nfs/dbraw/zinc/49/70/68/423497068.db2.gz FADRSVYSPAGDSC-UHFFFAOYSA-N 1 2 312.421 1.567 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@@H](CC)O[C@@]3(CCOC3)C2)nn1 ZINC000653654143 423567354 /nfs/dbraw/zinc/56/73/54/423567354.db2.gz BFRVBXGKMFLSEM-CVEARBPZSA-N 1 2 306.410 1.624 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@@H](CC)O[C@@]3(CCOC3)C2)nn1 ZINC000653654143 423567357 /nfs/dbraw/zinc/56/73/57/423567357.db2.gz BFRVBXGKMFLSEM-CVEARBPZSA-N 1 2 306.410 1.624 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CCOCC1 ZINC000644674642 423757862 /nfs/dbraw/zinc/75/78/62/423757862.db2.gz UDZOXMHFJFYHJY-OAHLLOKOSA-N 1 2 308.422 1.292 20 30 DDEDLO Clc1cccc(C#CC[N@@H+]2CCO[C@@H](Cn3ccnn3)C2)c1 ZINC000639855135 423834445 /nfs/dbraw/zinc/83/44/45/423834445.db2.gz LOSJUDGVHYIMIT-MRXNPFEDSA-N 1 2 316.792 1.684 20 30 DDEDLO Clc1cccc(C#CC[N@H+]2CCO[C@@H](Cn3ccnn3)C2)c1 ZINC000639855135 423834454 /nfs/dbraw/zinc/83/44/54/423834454.db2.gz LOSJUDGVHYIMIT-MRXNPFEDSA-N 1 2 316.792 1.684 20 30 DDEDLO C=CCCn1cc(C[NH2+]CC(=O)N(C)Cc2ccccc2)nn1 ZINC000657315814 424286206 /nfs/dbraw/zinc/28/62/06/424286206.db2.gz CIKAJTWXATVSLO-UHFFFAOYSA-N 1 2 313.405 1.602 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662364946 424591097 /nfs/dbraw/zinc/59/10/97/424591097.db2.gz SIXQJCVWYNXWRJ-IUODEOHRSA-N 1 2 302.365 1.932 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662364946 424591102 /nfs/dbraw/zinc/59/11/02/424591102.db2.gz SIXQJCVWYNXWRJ-IUODEOHRSA-N 1 2 302.365 1.932 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000655633961 424605851 /nfs/dbraw/zinc/60/58/51/424605851.db2.gz LHLUSBNJRAYPNA-HNNXBMFYSA-N 1 2 316.405 1.812 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)cn1 ZINC000359409719 268037594 /nfs/dbraw/zinc/03/75/94/268037594.db2.gz JQYPOKWORSDVLX-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)cc1 ZINC000364725921 268193107 /nfs/dbraw/zinc/19/31/07/268193107.db2.gz VWBABEDXEHYAQC-ZDUSSCGKSA-N 1 2 302.359 1.807 20 30 DDEDLO N#Cc1cccc(CC(=O)N2CC[NH+](Cc3ccccn3)CC2)c1 ZINC000531148620 268272997 /nfs/dbraw/zinc/27/29/97/268272997.db2.gz AIZBSKZVINEEOR-UHFFFAOYSA-N 1 2 320.396 1.840 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CC[NH+](Cc3ccccn3)CC2)n1 ZINC000519763004 268279712 /nfs/dbraw/zinc/27/97/12/268279712.db2.gz ASCMNRPAQBBJQT-UHFFFAOYSA-N 1 2 322.372 1.698 20 30 DDEDLO C[C@@H](C(=O)N1CC(=O)Nc2ccccc21)[NH+]1CCC(C#N)CC1 ZINC000142046369 274191525 /nfs/dbraw/zinc/19/15/25/274191525.db2.gz PLOYVQISOVQFCH-LBPRGKRZSA-N 1 2 312.373 1.596 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)Cc1nnc2n1c1ccc(C)cc1c(=O)n2C ZINC000491287112 275385457 /nfs/dbraw/zinc/38/54/57/275385457.db2.gz KZLNOGXBUZMHQP-GFCCVEGCSA-N 1 2 309.373 1.343 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)Cc1nnc2n1c1ccc(C)cc1c(=O)n2C ZINC000491287112 275385459 /nfs/dbraw/zinc/38/54/59/275385459.db2.gz KZLNOGXBUZMHQP-GFCCVEGCSA-N 1 2 309.373 1.343 20 30 DDEDLO C=C[C@H](C)NC(=O)[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1c[nH+]cn1C ZINC000345732263 277910320 /nfs/dbraw/zinc/91/03/20/277910320.db2.gz ICSNJGVFSQETFC-NORZTCDRSA-N 1 2 316.405 1.553 20 30 DDEDLO Cc1oncc1C[N@@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000364607228 279281200 /nfs/dbraw/zinc/28/12/00/279281200.db2.gz MCQYOXCWAZCEKC-GUYCJALGSA-N 1 2 308.341 1.829 20 30 DDEDLO Cc1oncc1C[N@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000364607228 279281201 /nfs/dbraw/zinc/28/12/01/279281201.db2.gz MCQYOXCWAZCEKC-GUYCJALGSA-N 1 2 308.341 1.829 20 30 DDEDLO CN(C(=O)c1cc(C#N)cn1C)C(C)(C)C[NH+]1CCOCC1 ZINC000414055103 288917590 /nfs/dbraw/zinc/91/75/90/288917590.db2.gz WWRNGOADYBUOQT-UHFFFAOYSA-N 1 2 304.394 1.080 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)o1 ZINC000287643976 294936753 /nfs/dbraw/zinc/93/67/53/294936753.db2.gz BYUDSMOMSMMZII-LLVKDONJSA-N 1 2 306.347 1.790 20 30 DDEDLO C[C@H](CCC#N)N1CC[NH+]([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000411234879 298364755 /nfs/dbraw/zinc/36/47/55/298364755.db2.gz GFOGUKDNGRXNLO-CABCVRRESA-N 1 2 306.454 1.744 20 30 DDEDLO C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)[C@@H](C1CC1)[NH+]1CCCC1 ZINC000329593759 300377225 /nfs/dbraw/zinc/37/72/25/300377225.db2.gz UTNRXTYEIABDCX-CYZMBNFOSA-N 1 2 300.424 1.250 20 30 DDEDLO CCCc1noc(C[NH+]2CCC(n3cnc(C#N)n3)CC2)n1 ZINC000369731450 301217193 /nfs/dbraw/zinc/21/71/93/301217193.db2.gz VCPLVEUJMIUXOU-UHFFFAOYSA-N 1 2 301.354 1.322 20 30 DDEDLO Cc1cc(N2CCC[C@@]3(CCS(=O)(=O)C3)C2)c(C#N)c[nH+]1 ZINC000333386683 301337117 /nfs/dbraw/zinc/33/71/17/301337117.db2.gz CHPYUZTWSFKXEO-OAHLLOKOSA-N 1 2 305.403 1.667 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000555136590 303711120 /nfs/dbraw/zinc/71/11/20/303711120.db2.gz QQYZNFLNYGTGEQ-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO COC(=O)c1cccc(C[NH2+]C2(C(=O)N(C)C)CC2)c1C#N ZINC000566842405 308064757 /nfs/dbraw/zinc/06/47/57/308064757.db2.gz RIAVUDZVJWGYTG-UHFFFAOYSA-N 1 2 301.346 1.055 20 30 DDEDLO CC1=C[C@@H](C)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000567021357 308068983 /nfs/dbraw/zinc/06/89/83/308068983.db2.gz RWXNJDABGOWUEA-GFCCVEGCSA-N 1 2 305.403 1.625 20 30 DDEDLO CC1=C[C@@H](C)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000567021357 308068985 /nfs/dbraw/zinc/06/89/85/308068985.db2.gz RWXNJDABGOWUEA-GFCCVEGCSA-N 1 2 305.403 1.625 20 30 DDEDLO Cc1cc(N[C@@H]2CCN(Cc3ccccc3)C2=O)c(C#N)c[nH+]1 ZINC000568503540 308120521 /nfs/dbraw/zinc/12/05/21/308120521.db2.gz JYSBYFXYVKXTFK-MRXNPFEDSA-N 1 2 306.369 1.897 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ncccc1O ZINC000570972970 308187210 /nfs/dbraw/zinc/18/72/10/308187210.db2.gz VCONHOFRZZYBRO-LBPRGKRZSA-N 1 2 310.361 1.160 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ncccc1O ZINC000570972970 308187211 /nfs/dbraw/zinc/18/72/11/308187211.db2.gz VCONHOFRZZYBRO-LBPRGKRZSA-N 1 2 310.361 1.160 20 30 DDEDLO N#CCc1ccc(CNC(=O)C2([NH+]3CCOCC3)CCC2)cc1 ZINC000570635171 332787966 /nfs/dbraw/zinc/78/79/66/332787966.db2.gz YHOVMXFKDGTGAV-UHFFFAOYSA-N 1 2 313.401 1.624 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000572615330 332077789 /nfs/dbraw/zinc/07/77/89/332077789.db2.gz XTBMKSJQJJWTRB-QWRGUYRKSA-N 1 2 319.327 1.757 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000584296052 332224115 /nfs/dbraw/zinc/22/41/15/332224115.db2.gz AZTCUXNQZAKVEU-OCCSQVGLSA-N 1 2 305.422 1.760 20 30 DDEDLO CN(C)S(=O)(=O)c1ccccc1C[NH2+]C[C@H](C#N)CCC#N ZINC000583435870 332405885 /nfs/dbraw/zinc/40/58/85/332405885.db2.gz IEZLBISUVIMUGH-ZDUSSCGKSA-N 1 2 320.418 1.470 20 30 DDEDLO CC(=O)c1cccc(O[C@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000566977483 332624243 /nfs/dbraw/zinc/62/42/43/332624243.db2.gz WULNKEPYZAIHET-DYVFJYSZSA-N 1 2 317.389 1.617 20 30 DDEDLO CC(C)c1ncc(C[N@H+](C)[C@@H](C)C(=O)NC2(C#N)CCC2)cn1 ZINC000571653241 334068128 /nfs/dbraw/zinc/06/81/28/334068128.db2.gz KWJZFNDGMDYKCB-ZDUSSCGKSA-N 1 2 315.421 1.983 20 30 DDEDLO CC(C)c1ncc(C[N@@H+](C)[C@@H](C)C(=O)NC2(C#N)CCC2)cn1 ZINC000571653241 334068130 /nfs/dbraw/zinc/06/81/30/334068130.db2.gz KWJZFNDGMDYKCB-ZDUSSCGKSA-N 1 2 315.421 1.983 20 30 DDEDLO C=CCCCCCNC(=O)N(C)C[C@H](O)C[NH+]1CCOCC1 ZINC000582190130 335750276 /nfs/dbraw/zinc/75/02/76/335750276.db2.gz LJWULFZCFQRDSO-HNNXBMFYSA-N 1 2 313.442 1.067 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@H](n2ccnn2)C1 ZINC000583627342 336000124 /nfs/dbraw/zinc/00/01/24/336000124.db2.gz PAGMSGYPSCOMGU-LBPRGKRZSA-N 1 2 316.390 1.487 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@H](n2ccnn2)C1 ZINC000583627342 336000125 /nfs/dbraw/zinc/00/01/25/336000125.db2.gz PAGMSGYPSCOMGU-LBPRGKRZSA-N 1 2 316.390 1.487 20 30 DDEDLO COc1ccc(CCC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1F ZINC000583238777 337282810 /nfs/dbraw/zinc/28/28/10/337282810.db2.gz QGEKPLVCKSSATK-INIZCTEOSA-N 1 2 307.369 1.727 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCN(C(=O)OC(C)(C)C)C(C)(C)C1 ZINC000496294749 340002404 /nfs/dbraw/zinc/00/24/04/340002404.db2.gz PHELNWYJHCABOD-UHFFFAOYSA-N 1 2 311.426 1.620 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCN(C(=O)OC(C)(C)C)C(C)(C)C1 ZINC000496294749 340002405 /nfs/dbraw/zinc/00/24/05/340002405.db2.gz PHELNWYJHCABOD-UHFFFAOYSA-N 1 2 311.426 1.620 20 30 DDEDLO COC(=O)Nc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000496570323 340008077 /nfs/dbraw/zinc/00/80/77/340008077.db2.gz KICMONGMIPDKCP-HNNXBMFYSA-N 1 2 304.350 1.439 20 30 DDEDLO CCC(C#N)(CC)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000528411023 340717271 /nfs/dbraw/zinc/71/72/71/340717271.db2.gz HOTMNIGCPFEIDR-UHFFFAOYSA-N 1 2 319.409 1.484 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccnc(NC(=O)C2CC2)c1 ZINC000564333155 341237335 /nfs/dbraw/zinc/23/73/35/341237335.db2.gz CCXBJVBVRNIBPL-MRXNPFEDSA-N 1 2 315.377 1.004 20 30 DDEDLO COC(=O)[C@H](O)C1CC[NH+](CC#Cc2ccccc2Cl)CC1 ZINC000135345092 341237389 /nfs/dbraw/zinc/23/73/89/341237389.db2.gz HLUKIHXASXKSTH-MRXNPFEDSA-N 1 2 321.804 1.937 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1cnn(-c2ccccc2)c1 ZINC000566858328 341570146 /nfs/dbraw/zinc/57/01/46/341570146.db2.gz CZSHMMFLDVXRKM-QGZVFWFLSA-N 1 2 311.389 1.375 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(F)c(F)c(F)c2)CC1 ZINC000154936230 341598586 /nfs/dbraw/zinc/59/85/86/341598586.db2.gz XMGFDYNSYOMMRA-UHFFFAOYSA-N 1 2 311.307 1.293 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C1 ZINC000661191951 484967989 /nfs/dbraw/zinc/96/79/89/484967989.db2.gz UPFNSVFQPHVSBJ-NXEZZACHSA-N 1 2 301.330 1.096 20 30 DDEDLO C=CCOCC[N@H+]1C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C1 ZINC000661191951 484967993 /nfs/dbraw/zinc/96/79/93/484967993.db2.gz UPFNSVFQPHVSBJ-NXEZZACHSA-N 1 2 301.330 1.096 20 30 DDEDLO C=CCc1cc(C[N@H+](C)Cc2cn(C)nn2)cc(OC)c1O ZINC000668605265 485237292 /nfs/dbraw/zinc/23/72/92/485237292.db2.gz IVYWSUPGJOBUMY-UHFFFAOYSA-N 1 2 302.378 1.890 20 30 DDEDLO C=CCc1cc(C[N@@H+](C)Cc2cn(C)nn2)cc(OC)c1O ZINC000668605265 485237298 /nfs/dbraw/zinc/23/72/98/485237298.db2.gz IVYWSUPGJOBUMY-UHFFFAOYSA-N 1 2 302.378 1.890 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000672783577 485347272 /nfs/dbraw/zinc/34/72/72/485347272.db2.gz MRBZWJUITYENLK-CABCVRRESA-N 1 2 318.421 1.908 20 30 DDEDLO C=CCOCCNC(=O)NCc1ccc(N(CC)CC)[nH+]c1 ZINC000679482031 485897903 /nfs/dbraw/zinc/89/79/03/485897903.db2.gz AJYFZGJDFPBBNT-UHFFFAOYSA-N 1 2 306.410 1.930 20 30 DDEDLO COCC#CC[N@H+](C)CC(=O)Nc1nnc(CC(C)C)s1 ZINC000685580956 486567826 /nfs/dbraw/zinc/56/78/26/486567826.db2.gz YGUWWNWSMAZTDV-UHFFFAOYSA-N 1 2 310.423 1.257 20 30 DDEDLO COCC#CC[N@@H+](C)CC(=O)Nc1nnc(CC(C)C)s1 ZINC000685580956 486567831 /nfs/dbraw/zinc/56/78/31/486567831.db2.gz YGUWWNWSMAZTDV-UHFFFAOYSA-N 1 2 310.423 1.257 20 30 DDEDLO N#C[C@@H]1CN(C(=O)/C=C\c2ccc(-n3cc[nH+]c3)cc2)CCO1 ZINC000255223967 490708231 /nfs/dbraw/zinc/70/82/31/490708231.db2.gz YQCSYMIXZORKDC-QQNRWZHASA-N 1 2 308.341 1.636 20 30 DDEDLO CSC[C@H](C)NC(=O)N[C@@H]1CCO[C@H](c2c[nH+]cn2C)C1 ZINC000331178771 533764107 /nfs/dbraw/zinc/76/41/07/533764107.db2.gz GHWBELOUJWLCRG-LOWVWBTDSA-N 1 2 312.439 1.895 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1C[C@@H](NC(=O)c2cc(C#N)cn2C)CCO1 ZINC000331744014 534815480 /nfs/dbraw/zinc/81/54/80/534815480.db2.gz HDABDOQXHYJXNQ-SWLSCSKDSA-N 1 2 313.361 1.280 20 30 DDEDLO Cn1ncc(Cl)c1C[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292848806 534830014 /nfs/dbraw/zinc/83/00/14/534830014.db2.gz TVXFAMUNMKGWEV-GFCCVEGCSA-N 1 2 317.780 1.993 20 30 DDEDLO Cn1ncc(Cl)c1C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292848806 534830017 /nfs/dbraw/zinc/83/00/17/534830017.db2.gz TVXFAMUNMKGWEV-GFCCVEGCSA-N 1 2 317.780 1.993 20 30 DDEDLO C=CCN(CCOC)C(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@@H]1C ZINC000346667817 526295409 /nfs/dbraw/zinc/29/54/09/526295409.db2.gz GUXKIFOVVKTYTI-LSDHHAIUSA-N 1 2 303.406 1.815 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C(=O)OCC)co2)C1=O ZINC000343598931 526500707 /nfs/dbraw/zinc/50/07/07/526500707.db2.gz GFWWDXWIJGZQQA-AWEZNQCLSA-N 1 2 306.362 1.675 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C(=O)OCC)co2)C1=O ZINC000343598931 526500710 /nfs/dbraw/zinc/50/07/10/526500710.db2.gz GFWWDXWIJGZQQA-AWEZNQCLSA-N 1 2 306.362 1.675 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2nc3cccnc3s2)C1=O ZINC000337224170 526501999 /nfs/dbraw/zinc/50/19/99/526501999.db2.gz NHCUJRBRVMNWTB-LBPRGKRZSA-N 1 2 302.403 1.910 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2nc3cccnc3s2)C1=O ZINC000337224170 526502005 /nfs/dbraw/zinc/50/20/05/526502005.db2.gz NHCUJRBRVMNWTB-LBPRGKRZSA-N 1 2 302.403 1.910 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC000330731902 526520393 /nfs/dbraw/zinc/52/03/93/526520393.db2.gz KUEGXAUMQIWJDX-CQSZACIVSA-N 1 2 305.426 1.717 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC000330731902 526520399 /nfs/dbraw/zinc/52/03/99/526520399.db2.gz KUEGXAUMQIWJDX-CQSZACIVSA-N 1 2 305.426 1.717 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000330731902 526520401 /nfs/dbraw/zinc/52/04/01/526520401.db2.gz KUEGXAUMQIWJDX-CQSZACIVSA-N 1 2 305.426 1.717 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000330731902 526520403 /nfs/dbraw/zinc/52/04/03/526520403.db2.gz KUEGXAUMQIWJDX-CQSZACIVSA-N 1 2 305.426 1.717 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000330731902 526520404 /nfs/dbraw/zinc/52/04/04/526520404.db2.gz KUEGXAUMQIWJDX-CQSZACIVSA-N 1 2 305.426 1.717 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000330731902 526520406 /nfs/dbraw/zinc/52/04/06/526520406.db2.gz KUEGXAUMQIWJDX-CQSZACIVSA-N 1 2 305.426 1.717 20 30 DDEDLO C#CCN(CC#CC)C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000490713154 526857273 /nfs/dbraw/zinc/85/72/73/526857273.db2.gz IFXGUSUEJYMPSA-UHFFFAOYSA-N 1 2 310.401 1.850 20 30 DDEDLO C#CC[N@H+](CC#CC)CCCOc1ccc(S(C)(=O)=O)cc1 ZINC000490695073 526864488 /nfs/dbraw/zinc/86/44/88/526864488.db2.gz BOAICSNVCIWTNB-UHFFFAOYSA-N 1 2 319.426 1.818 20 30 DDEDLO C#CC[N@@H+](CC#CC)CCCOc1ccc(S(C)(=O)=O)cc1 ZINC000490695073 526864491 /nfs/dbraw/zinc/86/44/91/526864491.db2.gz BOAICSNVCIWTNB-UHFFFAOYSA-N 1 2 319.426 1.818 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1)C1CC1 ZINC000491690479 526883658 /nfs/dbraw/zinc/88/36/58/526883658.db2.gz CQLDMJAGVDPZBT-QGZVFWFLSA-N 1 2 316.376 1.823 20 30 DDEDLO C#CC[N@H+](CC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1)C1CC1 ZINC000491690479 526883660 /nfs/dbraw/zinc/88/36/60/526883660.db2.gz CQLDMJAGVDPZBT-QGZVFWFLSA-N 1 2 316.376 1.823 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C)cc2[N+](=O)[O-])CC1 ZINC000491784421 526952080 /nfs/dbraw/zinc/95/20/80/526952080.db2.gz LFLPUYDYYCVKGD-UHFFFAOYSA-N 1 2 317.345 1.059 20 30 DDEDLO CC(C)(CC#N)CNC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000459225164 526953121 /nfs/dbraw/zinc/95/31/21/526953121.db2.gz BTAGBQLWWHMUPS-UHFFFAOYSA-N 1 2 315.421 1.849 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC3CCCC3)n2CC)CC1 ZINC000491154764 526955678 /nfs/dbraw/zinc/95/56/78/526955678.db2.gz LYSCGNYNWGZRMD-UHFFFAOYSA-N 1 2 301.438 1.786 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCO3)n2C2CC2)CC1 ZINC000491634667 526957390 /nfs/dbraw/zinc/95/73/90/526957390.db2.gz UPBKBUWWTARWMP-CQSZACIVSA-N 1 2 301.394 1.220 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2csc([C@@H](C)OC)n2)CC1 ZINC000491203342 526970606 /nfs/dbraw/zinc/97/06/06/526970606.db2.gz VEJQJKNZSFXGQC-GFCCVEGCSA-N 1 2 321.446 1.812 20 30 DDEDLO CC(=O)Nc1cccc(C(=O)N[C@H](C)C[NH+]2CCN(C)CC2)c1 ZINC000330635615 527032009 /nfs/dbraw/zinc/03/20/09/527032009.db2.gz ALNWNIBVWSHITI-CYBMUJFWSA-N 1 2 318.421 1.586 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+]2CCO[C@H](CC)C2)CCOCC1 ZINC000424851161 527053723 /nfs/dbraw/zinc/05/37/23/527053723.db2.gz JNRDEALRNJZDFN-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+]2CCO[C@H](CC)C2)CCOCC1 ZINC000424851161 527053729 /nfs/dbraw/zinc/05/37/29/527053729.db2.gz JNRDEALRNJZDFN-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCn1c(CC(C)C)nnc1N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000337837426 527311262 /nfs/dbraw/zinc/31/12/62/527311262.db2.gz CVQWEIOBGZAJDF-OAHLLOKOSA-N 1 2 319.453 1.574 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1CCOC[C@H]1C)c1ccc(F)cc1 ZINC000491696463 527392306 /nfs/dbraw/zinc/39/23/06/527392306.db2.gz JCCZGGHUYCXHMR-CJNGLKHVSA-N 1 2 319.380 1.520 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1CCOC[C@H]1C)c1ccc(F)cc1 ZINC000491696463 527392310 /nfs/dbraw/zinc/39/23/10/527392310.db2.gz JCCZGGHUYCXHMR-CJNGLKHVSA-N 1 2 319.380 1.520 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)Nc1ccc(OCC)cc1 ZINC000491659236 527427642 /nfs/dbraw/zinc/42/76/42/527427642.db2.gz MTABHFWRAVDRNO-HNNXBMFYSA-N 1 2 302.374 1.748 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)Nc1ccc(OCC)cc1 ZINC000491659236 527427648 /nfs/dbraw/zinc/42/76/48/527427648.db2.gz MTABHFWRAVDRNO-HNNXBMFYSA-N 1 2 302.374 1.748 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCN(C(=O)N(C)C)CC1(C)C ZINC000348953105 527609780 /nfs/dbraw/zinc/60/97/80/527609780.db2.gz XGAPUKSTSGKFAO-UHFFFAOYSA-N 1 2 322.453 1.265 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCN(C(=O)N(C)C)CC1(C)C ZINC000348953105 527609788 /nfs/dbraw/zinc/60/97/88/527609788.db2.gz XGAPUKSTSGKFAO-UHFFFAOYSA-N 1 2 322.453 1.265 20 30 DDEDLO CCN1C[C@H](C(=O)N[C@@H]2CCO[C@H](c3c[nH+]cn3C)C2)CC1=O ZINC000330334606 527952625 /nfs/dbraw/zinc/95/26/25/527952625.db2.gz QTFKKJFNJGYZOZ-BZPMIXESSA-N 1 2 320.393 1.465 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCCN1C(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000330935219 528052211 /nfs/dbraw/zinc/05/22/11/528052211.db2.gz MDTMVMNCJYYEKT-KBPBESRZSA-N 1 2 321.425 1.518 20 30 DDEDLO CCOC(=O)c1ccccc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000414160940 528264237 /nfs/dbraw/zinc/26/42/37/528264237.db2.gz JQODECMSFFCNJG-ZDUSSCGKSA-N 1 2 306.362 1.448 20 30 DDEDLO CCOC(=O)c1ccccc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414160940 528264241 /nfs/dbraw/zinc/26/42/41/528264241.db2.gz JQODECMSFFCNJG-ZDUSSCGKSA-N 1 2 306.362 1.448 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCCc1cc[nH+]cc1C ZINC000336941479 528642777 /nfs/dbraw/zinc/64/27/77/528642777.db2.gz JSUQIDRIOJNGQS-LBPRGKRZSA-N 1 2 310.423 1.249 20 30 DDEDLO C[C@H](CN(C)C(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001120838260 782176587 /nfs/dbraw/zinc/17/65/87/782176587.db2.gz IQKMIENPODMYFO-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO C[C@H](CN(C)C(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001120838260 782176593 /nfs/dbraw/zinc/17/65/93/782176593.db2.gz IQKMIENPODMYFO-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO C=CC[N@H+](Cc1ccc(OC)cc1)CN1CC(=O)N(CC)C1=O ZINC000122144805 696718849 /nfs/dbraw/zinc/71/88/49/696718849.db2.gz QBVCGXBPDQASRI-UHFFFAOYSA-N 1 2 317.389 1.925 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(OC)cc1)CN1CC(=O)N(CC)C1=O ZINC000122144805 696718851 /nfs/dbraw/zinc/71/88/51/696718851.db2.gz QBVCGXBPDQASRI-UHFFFAOYSA-N 1 2 317.389 1.925 20 30 DDEDLO COC(=O)[C@H](OC(=O)[C@@H]1CCCC[N@@H+]1C)c1ccc(C#N)cc1 ZINC000745085428 699970993 /nfs/dbraw/zinc/97/09/93/699970993.db2.gz OLZVXTYJMYGGGY-LSDHHAIUSA-N 1 2 316.357 1.800 20 30 DDEDLO COC(=O)[C@H](OC(=O)[C@@H]1CCCC[N@H+]1C)c1ccc(C#N)cc1 ZINC000745085428 699970995 /nfs/dbraw/zinc/97/09/95/699970995.db2.gz OLZVXTYJMYGGGY-LSDHHAIUSA-N 1 2 316.357 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCc3cncn3C2)C1 ZINC000971818219 695102219 /nfs/dbraw/zinc/10/22/19/695102219.db2.gz NMGMIGGILOGYOF-UKRRQHHQSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCc3cncn3C2)C1 ZINC000971818219 695102221 /nfs/dbraw/zinc/10/22/21/695102221.db2.gz NMGMIGGILOGYOF-UKRRQHHQSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC000972079469 695152318 /nfs/dbraw/zinc/15/23/18/695152318.db2.gz NUOVDZVEBQYALJ-LBPRGKRZSA-N 1 2 307.394 1.928 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC000972079469 695152319 /nfs/dbraw/zinc/15/23/19/695152319.db2.gz NUOVDZVEBQYALJ-LBPRGKRZSA-N 1 2 307.394 1.928 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)/C=C(/C)C3CC3)C2)C1 ZINC000972282200 695203734 /nfs/dbraw/zinc/20/37/34/695203734.db2.gz PCAPGUCLPUHRRJ-WTQUMQLASA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)/C=C(/C)C3CC3)C2)C1 ZINC000972282200 695203735 /nfs/dbraw/zinc/20/37/35/695203735.db2.gz PCAPGUCLPUHRRJ-WTQUMQLASA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccncc3F)C2)C1 ZINC000972309544 695213360 /nfs/dbraw/zinc/21/33/60/695213360.db2.gz BGLONUSOYVWOTN-QGZVFWFLSA-N 1 2 317.364 1.161 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccncc3F)C2)C1 ZINC000972309544 695213362 /nfs/dbraw/zinc/21/33/62/695213362.db2.gz BGLONUSOYVWOTN-QGZVFWFLSA-N 1 2 317.364 1.161 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cncc(F)c3)C2)C1 ZINC000972331597 695218979 /nfs/dbraw/zinc/21/89/79/695218979.db2.gz GKUCDKWDLACROB-MRXNPFEDSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cncc(F)c3)C2)C1 ZINC000972331597 695218982 /nfs/dbraw/zinc/21/89/82/695218982.db2.gz GKUCDKWDLACROB-MRXNPFEDSA-N 1 2 305.353 1.324 20 30 DDEDLO NC(=O)CO[NH+]=C(N)c1ccc(COc2ccccc2F)cc1 ZINC000745450469 699982258 /nfs/dbraw/zinc/98/22/58/699982258.db2.gz BZIXMUYYVGEQON-UHFFFAOYSA-N 1 2 317.320 1.527 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C3CCC3)C2)C1 ZINC000972431057 695249710 /nfs/dbraw/zinc/24/97/10/695249710.db2.gz MFDWAJRDYFKXMD-YJBOKZPZSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C3CCC3)C2)C1 ZINC000972431057 695249712 /nfs/dbraw/zinc/24/97/12/695249712.db2.gz MFDWAJRDYFKXMD-YJBOKZPZSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C#C)cc3)C2)C1 ZINC000972493328 695264501 /nfs/dbraw/zinc/26/45/01/695264501.db2.gz WUESHEBTIAZALZ-IBGZPJMESA-N 1 2 308.381 1.218 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C#C)cc3)C2)C1 ZINC000972493328 695264503 /nfs/dbraw/zinc/26/45/03/695264503.db2.gz WUESHEBTIAZALZ-IBGZPJMESA-N 1 2 308.381 1.218 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C)CC=CC3)C2)C1 ZINC000972530124 695274265 /nfs/dbraw/zinc/27/42/65/695274265.db2.gz AFUKXGBUEDVZQW-GOSISDBHSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C)CC=CC3)C2)C1 ZINC000972530124 695274267 /nfs/dbraw/zinc/27/42/67/695274267.db2.gz AFUKXGBUEDVZQW-GOSISDBHSA-N 1 2 302.418 1.669 20 30 DDEDLO Cc1cccn2cc(CC(=O)N3CC[C@H](N(C)CC#N)C3)[nH+]c12 ZINC000972547161 695278820 /nfs/dbraw/zinc/27/88/20/695278820.db2.gz MRGUXFFXNHBMMY-HNNXBMFYSA-N 1 2 311.389 1.242 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccsc3C)C2)C1 ZINC000972581595 695289213 /nfs/dbraw/zinc/28/92/13/695289213.db2.gz BGMPSAHQEPYZFX-QGZVFWFLSA-N 1 2 318.442 1.536 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccsc3C)C2)C1 ZINC000972581595 695289214 /nfs/dbraw/zinc/28/92/14/695289214.db2.gz BGMPSAHQEPYZFX-QGZVFWFLSA-N 1 2 318.442 1.536 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)Cc3ccco3)C2)C1 ZINC000972592025 695292579 /nfs/dbraw/zinc/29/25/79/695292579.db2.gz HFVOUGDPMAPACJ-QAPCUYQASA-N 1 2 318.417 1.948 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)Cc3ccco3)C2)C1 ZINC000972592025 695292581 /nfs/dbraw/zinc/29/25/81/695292581.db2.gz HFVOUGDPMAPACJ-QAPCUYQASA-N 1 2 318.417 1.948 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnc(C)nc3C)C2)C1 ZINC000972602596 695295590 /nfs/dbraw/zinc/29/55/90/695295590.db2.gz OBPDKHMJXNRSLT-QGZVFWFLSA-N 1 2 316.405 1.196 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnc(C)nc3C)C2)C1 ZINC000972602596 695295592 /nfs/dbraw/zinc/29/55/92/695295592.db2.gz OBPDKHMJXNRSLT-QGZVFWFLSA-N 1 2 316.405 1.196 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3cccs3)C2)C1 ZINC000972668921 695314124 /nfs/dbraw/zinc/31/41/24/695314124.db2.gz GDAAQBXRTSYIKJ-KRWDZBQOSA-N 1 2 318.442 1.617 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3cccs3)C2)C1 ZINC000972668921 695314125 /nfs/dbraw/zinc/31/41/25/695314125.db2.gz GDAAQBXRTSYIKJ-KRWDZBQOSA-N 1 2 318.442 1.617 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCO[C@H](C)C1)c1cccc(C#N)c1 ZINC000745794236 699994460 /nfs/dbraw/zinc/99/44/60/699994460.db2.gz YAAXTALXLGPKAW-KGLIPLIRSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCO[C@H](C)C1)c1cccc(C#N)c1 ZINC000745794236 699994462 /nfs/dbraw/zinc/99/44/62/699994462.db2.gz YAAXTALXLGPKAW-KGLIPLIRSA-N 1 2 316.405 1.639 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)CC1 ZINC000973540238 695492498 /nfs/dbraw/zinc/49/24/98/695492498.db2.gz QEHHKYBHRXWMSU-HDJSIYSDSA-N 1 2 316.405 1.462 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccn(C)c(=O)c2)C(C)(C)C1 ZINC000974759921 695728616 /nfs/dbraw/zinc/72/86/16/695728616.db2.gz YHVJWLFRSZRUQI-CYBMUJFWSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccn(C)c(=O)c2)C(C)(C)C1 ZINC000974759921 695728618 /nfs/dbraw/zinc/72/86/18/695728618.db2.gz YHVJWLFRSZRUQI-CYBMUJFWSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974771469 695731082 /nfs/dbraw/zinc/73/10/82/695731082.db2.gz YKEWXJQXFXLPRI-NEPJUHHUSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974771469 695731083 /nfs/dbraw/zinc/73/10/83/695731083.db2.gz YKEWXJQXFXLPRI-NEPJUHHUSA-N 1 2 313.829 1.092 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C(C)(C)C1 ZINC000974789072 695734773 /nfs/dbraw/zinc/73/47/73/695734773.db2.gz JIFLJOIDDQBODB-QGZVFWFLSA-N 1 2 323.396 1.602 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C(C)(C)C1 ZINC000974789072 695734774 /nfs/dbraw/zinc/73/47/74/695734774.db2.gz JIFLJOIDDQBODB-QGZVFWFLSA-N 1 2 323.396 1.602 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C(C)(C)C1 ZINC000974845185 695747181 /nfs/dbraw/zinc/74/71/81/695747181.db2.gz KJGITAYZGQLHAX-QZOBBIQNSA-N 1 2 324.852 1.989 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C(C)(C)C1 ZINC000974845185 695747183 /nfs/dbraw/zinc/74/71/83/695747183.db2.gz KJGITAYZGQLHAX-QZOBBIQNSA-N 1 2 324.852 1.989 20 30 DDEDLO CC1(C)CN(CC#N)C[C@H]1NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000975028740 695779916 /nfs/dbraw/zinc/77/99/16/695779916.db2.gz MQYZHJJEFOLPCY-WCQYABFASA-N 1 2 301.394 1.180 20 30 DDEDLO C#CC[NH+]1CCC(Nc2ccc(S(=O)(=O)CC)cc2)CC1 ZINC000037283551 696132270 /nfs/dbraw/zinc/13/22/70/696132270.db2.gz WEUPEFLWFLTXTH-UHFFFAOYSA-N 1 2 306.431 1.990 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCC(=O)N2CCC(C)CC2)cc1 ZINC000060455437 696310690 /nfs/dbraw/zinc/31/06/90/696310690.db2.gz AKEAOKCYWVERQL-UHFFFAOYSA-N 1 2 319.405 1.785 20 30 DDEDLO CCC[N@@H+](Cc1ccc(C#N)cc1)Cn1cnc(C(=O)OC)n1 ZINC000066350478 696351197 /nfs/dbraw/zinc/35/11/97/696351197.db2.gz OJUUFJOTZHULOS-UHFFFAOYSA-N 1 2 313.361 1.806 20 30 DDEDLO CCC[N@H+](Cc1ccc(C#N)cc1)Cn1cnc(C(=O)OC)n1 ZINC000066350478 696351200 /nfs/dbraw/zinc/35/12/00/696351200.db2.gz OJUUFJOTZHULOS-UHFFFAOYSA-N 1 2 313.361 1.806 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC000981887549 696956230 /nfs/dbraw/zinc/95/62/30/696956230.db2.gz JORGNNPGIDBGIO-QGZVFWFLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC000981887549 696956231 /nfs/dbraw/zinc/95/62/31/696956231.db2.gz JORGNNPGIDBGIO-QGZVFWFLSA-N 1 2 307.438 1.402 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+](C)CC(=O)NCCCOC ZINC000171628068 697360652 /nfs/dbraw/zinc/36/06/52/697360652.db2.gz XAASITBQGZVHDE-UHFFFAOYSA-N 1 2 304.390 1.283 20 30 DDEDLO C#CCOc1ccccc1C[N@H+](C)CC(=O)NCCCOC ZINC000171628068 697360654 /nfs/dbraw/zinc/36/06/54/697360654.db2.gz XAASITBQGZVHDE-UHFFFAOYSA-N 1 2 304.390 1.283 20 30 DDEDLO CC1(C)NC(=O)N(CCCO[NH+]=C(N)c2ccccc2)C1=O ZINC000178917645 697430604 /nfs/dbraw/zinc/43/06/04/697430604.db2.gz CZWTVFFTIBESKM-UHFFFAOYSA-N 1 2 304.350 1.044 20 30 DDEDLO N#Cc1ccc(NC(=O)CO[NH+]=C(N)Cc2cccnc2)cc1 ZINC000179924208 697443022 /nfs/dbraw/zinc/44/30/22/697443022.db2.gz CPHPQEIMUAVSAA-UHFFFAOYSA-N 1 2 309.329 1.423 20 30 DDEDLO CSCCCON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181837439 697465248 /nfs/dbraw/zinc/46/52/48/697465248.db2.gz BYOKLGWXJDEBEA-HNNXBMFYSA-N 1 2 323.462 1.929 20 30 DDEDLO CSCCCON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181837439 697465250 /nfs/dbraw/zinc/46/52/50/697465250.db2.gz BYOKLGWXJDEBEA-HNNXBMFYSA-N 1 2 323.462 1.929 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+]Cc3nc(C)no3)[C@H]2C)cc1 ZINC000986636682 697860458 /nfs/dbraw/zinc/86/04/58/697860458.db2.gz KYEPAQWTLOXAEA-WBMJQRKESA-N 1 2 324.384 1.752 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]([NH2+]Cc3nnn(C)n3)[C@H]2C)CC1 ZINC000986858265 697931376 /nfs/dbraw/zinc/93/13/76/697931376.db2.gz WKQFTPCSLFVPIB-TZMCWYRMSA-N 1 2 318.425 1.036 20 30 DDEDLO CC[C@]1(O)CC[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000778385928 698270282 /nfs/dbraw/zinc/27/02/82/698270282.db2.gz QOKBMKRURZLPPI-KRWDZBQOSA-N 1 2 312.373 1.267 20 30 DDEDLO CC[C@]1(O)CC[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000778385928 698270286 /nfs/dbraw/zinc/27/02/86/698270286.db2.gz QOKBMKRURZLPPI-KRWDZBQOSA-N 1 2 312.373 1.267 20 30 DDEDLO C[C@@]1(c2cccc(C#N)c2)NC(=O)N(C[N@H+]2CC=CCC2)C1=O ZINC000778577431 698349258 /nfs/dbraw/zinc/34/92/58/698349258.db2.gz UEDWLFUUPLLHAH-KRWDZBQOSA-N 1 2 310.357 1.545 20 30 DDEDLO C[C@@]1(c2cccc(C#N)c2)NC(=O)N(C[N@@H+]2CC=CCC2)C1=O ZINC000778577431 698349264 /nfs/dbraw/zinc/34/92/64/698349264.db2.gz UEDWLFUUPLLHAH-KRWDZBQOSA-N 1 2 310.357 1.545 20 30 DDEDLO C=CCOCCNC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000779987108 698482272 /nfs/dbraw/zinc/48/22/72/698482272.db2.gz USPLYIXENDCTSF-UHFFFAOYSA-N 1 2 300.362 1.874 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[N@@H+](C)[C@H](Cc2ccccc2)C1 ZINC000289239725 698567335 /nfs/dbraw/zinc/56/73/35/698567335.db2.gz SNCOZOQRWNICRS-MRXNPFEDSA-N 1 2 306.431 1.198 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[N@H+](C)[C@H](Cc2ccccc2)C1 ZINC000289239725 698567336 /nfs/dbraw/zinc/56/73/36/698567336.db2.gz SNCOZOQRWNICRS-MRXNPFEDSA-N 1 2 306.431 1.198 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2ccoc2Br)CC1 ZINC000989422517 698613145 /nfs/dbraw/zinc/61/31/45/698613145.db2.gz MCOYKOMQOUKFET-UHFFFAOYSA-N 1 2 311.179 1.823 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2ccoc2Br)CC1 ZINC000989422517 698613146 /nfs/dbraw/zinc/61/31/46/698613146.db2.gz MCOYKOMQOUKFET-UHFFFAOYSA-N 1 2 311.179 1.823 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cc3c(s2)CCOC3)CC1 ZINC000989508327 698650621 /nfs/dbraw/zinc/65/06/21/698650621.db2.gz ARKQGDRRIUGIIU-UHFFFAOYSA-N 1 2 304.415 1.602 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cc3c(s2)CCOC3)CC1 ZINC000989508327 698650622 /nfs/dbraw/zinc/65/06/22/698650622.db2.gz ARKQGDRRIUGIIU-UHFFFAOYSA-N 1 2 304.415 1.602 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCCc1nnc(-c2ccccc2)[nH]1 ZINC000784790040 699040172 /nfs/dbraw/zinc/04/01/72/699040172.db2.gz IVVVJUPIIPXGBB-HNNXBMFYSA-N 1 2 323.400 1.228 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCCc1nnc(-c2ccccc2)[nH]1 ZINC000784790040 699040175 /nfs/dbraw/zinc/04/01/75/699040175.db2.gz IVVVJUPIIPXGBB-HNNXBMFYSA-N 1 2 323.400 1.228 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)/C=C\c2ccc(Br)o2)CC1 ZINC000700603541 699221942 /nfs/dbraw/zinc/22/19/42/699221942.db2.gz YLMFYYKPBFXMAF-XQRVVYSFSA-N 1 2 323.190 1.833 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)N(C(N)=O)CC2 ZINC000787716514 699234088 /nfs/dbraw/zinc/23/40/88/699234088.db2.gz YEMAXQGDJSUSRU-AWEZNQCLSA-N 1 2 312.373 1.164 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)N(C(N)=O)CC2 ZINC000787716514 699234090 /nfs/dbraw/zinc/23/40/90/699234090.db2.gz YEMAXQGDJSUSRU-AWEZNQCLSA-N 1 2 312.373 1.164 20 30 DDEDLO N#Cc1ccc(CNC(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)nc1 ZINC000789220635 699370906 /nfs/dbraw/zinc/37/09/06/699370906.db2.gz UKWFGXHBSAHMLH-MRXNPFEDSA-N 1 2 323.356 1.711 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCCC[C@H]1C1OCCO1 ZINC000728173121 699440340 /nfs/dbraw/zinc/44/03/40/699440340.db2.gz BDXFPRSNTGXUNF-KBPBESRZSA-N 1 2 309.410 1.222 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCCC[C@H]1C1OCCO1 ZINC000728173121 699440342 /nfs/dbraw/zinc/44/03/42/699440342.db2.gz BDXFPRSNTGXUNF-KBPBESRZSA-N 1 2 309.410 1.222 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000730104658 699501539 /nfs/dbraw/zinc/50/15/39/699501539.db2.gz TUPXFVKBARIWBQ-INIZCTEOSA-N 1 2 311.385 1.615 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000730104658 699501540 /nfs/dbraw/zinc/50/15/40/699501540.db2.gz TUPXFVKBARIWBQ-INIZCTEOSA-N 1 2 311.385 1.615 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)NCCC)cc1 ZINC000732059394 699545045 /nfs/dbraw/zinc/54/50/45/699545045.db2.gz GETKBHVFECCCJU-INIZCTEOSA-N 1 2 313.401 1.863 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)NCCC)cc1 ZINC000732059394 699545048 /nfs/dbraw/zinc/54/50/48/699545048.db2.gz GETKBHVFECCCJU-INIZCTEOSA-N 1 2 313.401 1.863 20 30 DDEDLO C#CC[N@@H+](CCCc1ccc(C)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000792793538 699715940 /nfs/dbraw/zinc/71/59/40/699715940.db2.gz RBPSKSHXXICPAR-INIZCTEOSA-N 1 2 306.431 1.445 20 30 DDEDLO C#CC[N@H+](CCCc1ccc(C)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000792793538 699715941 /nfs/dbraw/zinc/71/59/41/699715941.db2.gz RBPSKSHXXICPAR-INIZCTEOSA-N 1 2 306.431 1.445 20 30 DDEDLO CO[C@H]1C[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)CC[C@@H]1C ZINC000793516271 699762753 /nfs/dbraw/zinc/76/27/53/699762753.db2.gz MORDYHNAROLASG-GUYCJALGSA-N 1 2 315.417 1.913 20 30 DDEDLO CO[C@H]1C[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)CC[C@@H]1C ZINC000793516271 699762755 /nfs/dbraw/zinc/76/27/55/699762755.db2.gz MORDYHNAROLASG-GUYCJALGSA-N 1 2 315.417 1.913 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)CCCn3cc[nH+]c3)CC2)cn1 ZINC000801285561 700289372 /nfs/dbraw/zinc/28/93/72/700289372.db2.gz VAQBNCBLNIMCBL-UHFFFAOYSA-N 1 2 324.388 1.279 20 30 DDEDLO COc1cccc(C(=O)N2CC[NH+](CCO[C@H](C)C#N)CC2)c1 ZINC000801909967 700352206 /nfs/dbraw/zinc/35/22/06/700352206.db2.gz JEWNUKBMMLOXQD-CQSZACIVSA-N 1 2 317.389 1.382 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCC(C)C)n2CCOC)CC1 ZINC000802444512 700402952 /nfs/dbraw/zinc/40/29/52/700402952.db2.gz QDTYWSGWYXBGPX-UHFFFAOYSA-N 1 2 319.453 1.268 20 30 DDEDLO Cc1[nH+]c[nH]c1CN=Nc1ccc(S(=O)(=O)NC(C)C)cn1 ZINC000755646647 700575710 /nfs/dbraw/zinc/57/57/10/700575710.db2.gz YCKCOUBVKHEGKZ-UHFFFAOYSA-N 1 2 322.394 1.246 20 30 DDEDLO C[C@@H]1CCSCC[N@@H+]1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766634603 701057578 /nfs/dbraw/zinc/05/75/78/701057578.db2.gz MOXVPYWEVXFRPJ-GFCCVEGCSA-N 1 2 303.431 1.994 20 30 DDEDLO C[C@@H]1CCSCC[N@H+]1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766634603 701057580 /nfs/dbraw/zinc/05/75/80/701057580.db2.gz MOXVPYWEVXFRPJ-GFCCVEGCSA-N 1 2 303.431 1.994 20 30 DDEDLO N#Cc1ccccc1/C=C\C(=O)OCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000767475051 701109886 /nfs/dbraw/zinc/10/98/86/701109886.db2.gz JHBAUZIVNXXCNO-HGGBWVLCSA-N 1 2 312.369 1.978 20 30 DDEDLO N#Cc1ccccc1/C=C\C(=O)OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000767475051 701109888 /nfs/dbraw/zinc/10/98/88/701109888.db2.gz JHBAUZIVNXXCNO-HGGBWVLCSA-N 1 2 312.369 1.978 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@H+]1CCOC[C@H]1CCO ZINC000767602014 701121221 /nfs/dbraw/zinc/12/12/21/701121221.db2.gz WAIXBQNTAOXDMW-GFCCVEGCSA-N 1 2 309.391 1.032 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@@H+]1CCOC[C@H]1CCO ZINC000767602014 701121224 /nfs/dbraw/zinc/12/12/24/701121224.db2.gz WAIXBQNTAOXDMW-GFCCVEGCSA-N 1 2 309.391 1.032 20 30 DDEDLO N#Cc1ccc(CNC(=O)CO[NH+]=C(N)Cc2cccnc2)cc1 ZINC000771663778 701327540 /nfs/dbraw/zinc/32/75/40/701327540.db2.gz AVDVVIKQPRSUKL-UHFFFAOYSA-N 1 2 323.356 1.311 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2ccccc2OC)CC1 ZINC000772115124 701343748 /nfs/dbraw/zinc/34/37/48/701343748.db2.gz VVCPOLULNXAZLA-UHFFFAOYSA-N 1 2 315.369 1.766 20 30 DDEDLO C#CC[N@H+](Cc1ccccc1)[C@@H](C)C(=O)NC(=O)NCC(C)C ZINC000839601020 701750170 /nfs/dbraw/zinc/75/01/70/701750170.db2.gz HCKHUFFFZAZZSB-HNNXBMFYSA-N 1 2 315.417 1.992 20 30 DDEDLO C#CC[N@@H+](Cc1ccccc1)[C@@H](C)C(=O)NC(=O)NCC(C)C ZINC000839601020 701750172 /nfs/dbraw/zinc/75/01/72/701750172.db2.gz HCKHUFFFZAZZSB-HNNXBMFYSA-N 1 2 315.417 1.992 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc([C@H]2CCC(=O)N2)c1 ZINC000839868043 701852307 /nfs/dbraw/zinc/85/23/07/701852307.db2.gz WVTKBBSHGQIJTL-CVEARBPZSA-N 1 2 311.385 1.674 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc([C@H]2CCC(=O)N2)c1 ZINC000839868043 701852311 /nfs/dbraw/zinc/85/23/11/701852311.db2.gz WVTKBBSHGQIJTL-CVEARBPZSA-N 1 2 311.385 1.674 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc([C@@H](C)C(=O)OC)cc1 ZINC000839897025 701858620 /nfs/dbraw/zinc/85/86/20/701858620.db2.gz ZPFPBXZVEKSRGK-CJNGLKHVSA-N 1 2 314.385 1.999 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc([C@@H](C)C(=O)OC)cc1 ZINC000839897025 701858626 /nfs/dbraw/zinc/85/86/26/701858626.db2.gz ZPFPBXZVEKSRGK-CJNGLKHVSA-N 1 2 314.385 1.999 20 30 DDEDLO C=CCC(F)(F)C(=O)N[C@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000815820824 701940852 /nfs/dbraw/zinc/94/08/52/701940852.db2.gz ZSIDVGSMGRTZSP-STQMWFEESA-N 1 2 302.365 1.957 20 30 DDEDLO C[C@@H]1CN(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C(=O)[C@H]1C ZINC000840074573 701953867 /nfs/dbraw/zinc/95/38/67/701953867.db2.gz FDBLUPWATWMLRT-VNHYZAJKSA-N 1 2 314.389 1.478 20 30 DDEDLO C[C@@H]1CN(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C(=O)[C@H]1C ZINC000840074573 701953872 /nfs/dbraw/zinc/95/38/72/701953872.db2.gz FDBLUPWATWMLRT-VNHYZAJKSA-N 1 2 314.389 1.478 20 30 DDEDLO CC(C)[C@@H]1C[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CCCO1 ZINC000840096910 701967302 /nfs/dbraw/zinc/96/73/02/701967302.db2.gz RBSMGBLUNZEKFU-KRWDZBQOSA-N 1 2 315.417 1.913 20 30 DDEDLO CC(C)[C@@H]1C[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CCCO1 ZINC000840096910 701967303 /nfs/dbraw/zinc/96/73/03/701967303.db2.gz RBSMGBLUNZEKFU-KRWDZBQOSA-N 1 2 315.417 1.913 20 30 DDEDLO O=C(N[C@H]1CCCN(O)C1=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000816755102 702180301 /nfs/dbraw/zinc/18/03/01/702180301.db2.gz SRYAFCLBLGYHRL-AWEZNQCLSA-N 1 2 314.345 1.041 20 30 DDEDLO CCCCCCCS(=O)(=O)N1C[C@@H](C)[N@H+](CCO)C[C@H]1C ZINC000841574522 702502905 /nfs/dbraw/zinc/50/29/05/702502905.db2.gz XQOHQUWSBSWNAE-HUUCEWRRSA-N 1 2 320.499 1.674 20 30 DDEDLO CCCCCCCS(=O)(=O)N1C[C@@H](C)[N@@H+](CCO)C[C@H]1C ZINC000841574522 702502906 /nfs/dbraw/zinc/50/29/06/702502906.db2.gz XQOHQUWSBSWNAE-HUUCEWRRSA-N 1 2 320.499 1.674 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@H+](C[C@H](O)c2ccc(C#N)cc2)CCO1 ZINC000879430926 706678421 /nfs/dbraw/zinc/67/84/21/706678421.db2.gz NUOQSUSDZYJVBB-DOTOQJQBSA-N 1 2 318.373 1.246 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@@H+](C[C@H](O)c2ccc(C#N)cc2)CCO1 ZINC000879430926 706678425 /nfs/dbraw/zinc/67/84/25/706678425.db2.gz NUOQSUSDZYJVBB-DOTOQJQBSA-N 1 2 318.373 1.246 20 30 DDEDLO C=CCn1cc(C[NH2+][C@H](C)c2cc(C(=O)OC)ccc2O)nn1 ZINC000818345827 702638789 /nfs/dbraw/zinc/63/87/89/702638789.db2.gz GFENAAOBACECGZ-LLVKDONJSA-N 1 2 316.361 1.807 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CC3(C[C@@H]2C)CCOCC3)c1=S ZINC000842638120 702747349 /nfs/dbraw/zinc/74/73/49/702747349.db2.gz IJCYQQCQJKTPDE-LBPRGKRZSA-N 1 2 309.439 1.844 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CC3(C[C@@H]2C)CCOCC3)c1=S ZINC000842638120 702747352 /nfs/dbraw/zinc/74/73/52/702747352.db2.gz IJCYQQCQJKTPDE-LBPRGKRZSA-N 1 2 309.439 1.844 20 30 DDEDLO C=CCCn1cc(C[N@@H+](CC2CC2)[C@@H](C(N)=O)C2CC2)nn1 ZINC000879486149 706693907 /nfs/dbraw/zinc/69/39/07/706693907.db2.gz LDMANHKHSUUMQE-OAHLLOKOSA-N 1 2 303.410 1.330 20 30 DDEDLO C=CCCn1cc(C[N@H+](CC2CC2)[C@@H](C(N)=O)C2CC2)nn1 ZINC000879486149 706693911 /nfs/dbraw/zinc/69/39/11/706693911.db2.gz LDMANHKHSUUMQE-OAHLLOKOSA-N 1 2 303.410 1.330 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845282757 703138490 /nfs/dbraw/zinc/13/84/90/703138490.db2.gz YCCRMTZUOGEPQL-UONOGXRCSA-N 1 2 305.378 1.967 20 30 DDEDLO C[C@H]([NH2+]Cc1nc(C(N)=O)cs1)c1ccc(OCC#N)cc1 ZINC000850541718 703735596 /nfs/dbraw/zinc/73/55/96/703735596.db2.gz ZGEDLOUCNPXRPX-JTQLQIEISA-N 1 2 316.386 1.995 20 30 DDEDLO C=C(Cl)C[C@H](NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)C(=O)OCC ZINC000870034657 703898384 /nfs/dbraw/zinc/89/83/84/703898384.db2.gz GZPPDPMZTUOMCI-CABZTGNLSA-N 1 2 313.785 1.779 20 30 DDEDLO C=C(Cl)C[C@H](NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)C(=O)OCC ZINC000870034657 703898386 /nfs/dbraw/zinc/89/83/86/703898386.db2.gz GZPPDPMZTUOMCI-CABZTGNLSA-N 1 2 313.785 1.779 20 30 DDEDLO N#Cc1ccc([C@H](O)C[NH+]2CCN(c3ncns3)CC2)cc1 ZINC000852127747 703935095 /nfs/dbraw/zinc/93/50/95/703935095.db2.gz VDFJBBBMMJMJIG-CQSZACIVSA-N 1 2 315.402 1.265 20 30 DDEDLO N#CCOc1ccc(Cl)cc1C[NH+]1CCC(O)(CO)CC1 ZINC000852499623 704063963 /nfs/dbraw/zinc/06/39/63/704063963.db2.gz UGJITEMUTAZKBR-UHFFFAOYSA-N 1 2 310.781 1.562 20 30 DDEDLO COC(=O)NC1CC[NH+](CC(=O)Nc2ccc(C#N)cc2)CC1 ZINC000852768141 704119382 /nfs/dbraw/zinc/11/93/82/704119382.db2.gz OJIIEIIBFLDNIA-UHFFFAOYSA-N 1 2 316.361 1.317 20 30 DDEDLO CC(C)Oc1ccc(C#N)cc1NC[C@H](O)C[N@H+](C)CCC#N ZINC000819422987 704123440 /nfs/dbraw/zinc/12/34/40/704123440.db2.gz HIZXZTYCUITBPW-HNNXBMFYSA-N 1 2 316.405 1.964 20 30 DDEDLO CC(C)Oc1ccc(C#N)cc1NC[C@H](O)C[N@@H+](C)CCC#N ZINC000819422987 704123442 /nfs/dbraw/zinc/12/34/42/704123442.db2.gz HIZXZTYCUITBPW-HNNXBMFYSA-N 1 2 316.405 1.964 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc(O[C@H]2CCOC2)cc1 ZINC000819448303 704126769 /nfs/dbraw/zinc/12/67/69/704126769.db2.gz VXYRNXUYKNCCJX-RDJZCZTQSA-N 1 2 319.405 1.473 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc(O[C@H]2CCOC2)cc1 ZINC000819448303 704126771 /nfs/dbraw/zinc/12/67/71/704126771.db2.gz VXYRNXUYKNCCJX-RDJZCZTQSA-N 1 2 319.405 1.473 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc(OC2COC2)cc1 ZINC000819473674 704131166 /nfs/dbraw/zinc/13/11/66/704131166.db2.gz PSGRHZBISPTHAZ-AWEZNQCLSA-N 1 2 305.378 1.082 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc(OC2COC2)cc1 ZINC000819473674 704131167 /nfs/dbraw/zinc/13/11/67/704131167.db2.gz PSGRHZBISPTHAZ-AWEZNQCLSA-N 1 2 305.378 1.082 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1cc(C#N)ccc1F)[NH+]1CCOCC1 ZINC000820236564 704244458 /nfs/dbraw/zinc/24/44/58/704244458.db2.gz LPGLFGPGYVVODK-INIZCTEOSA-N 1 2 319.380 1.784 20 30 DDEDLO C[C@@]12C(=O)N(C[N@@H+]3CCC[C@H](CC#N)C3)C(=O)[C@H]1[C@@H]1CC[C@H]2C1 ZINC000853525274 704259783 /nfs/dbraw/zinc/25/97/83/704259783.db2.gz UNXFQUVUPGCQHT-NSIVTLKISA-N 1 2 315.417 1.991 20 30 DDEDLO C[C@@]12C(=O)N(C[N@H+]3CCC[C@H](CC#N)C3)C(=O)[C@H]1[C@@H]1CC[C@H]2C1 ZINC000853525274 704259784 /nfs/dbraw/zinc/25/97/84/704259784.db2.gz UNXFQUVUPGCQHT-NSIVTLKISA-N 1 2 315.417 1.991 20 30 DDEDLO CC(C)[C@@H]1N(C[N@@H+]2CCC[C@H](CC#N)C2)C(=O)[C@@]12CCCO2 ZINC000853525303 704259872 /nfs/dbraw/zinc/25/98/72/704259872.db2.gz UWEHHQKJSRIBHQ-HLLBOEOZSA-N 1 2 305.422 1.986 20 30 DDEDLO CC(C)[C@@H]1N(C[N@H+]2CCC[C@H](CC#N)C2)C(=O)[C@@]12CCCO2 ZINC000853525303 704259874 /nfs/dbraw/zinc/25/98/74/704259874.db2.gz UWEHHQKJSRIBHQ-HLLBOEOZSA-N 1 2 305.422 1.986 20 30 DDEDLO N#CC1(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)CCSCC1 ZINC000821021318 704360576 /nfs/dbraw/zinc/36/05/76/704360576.db2.gz OXCJLVVUIJMMOS-CQSZACIVSA-N 1 2 323.462 1.347 20 30 DDEDLO CC(C)C#CC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000854256371 704372632 /nfs/dbraw/zinc/37/26/32/704372632.db2.gz OUYLXHDNGBFNGS-UHFFFAOYSA-N 1 2 300.402 1.869 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000858858961 704765012 /nfs/dbraw/zinc/76/50/12/704765012.db2.gz WFZBSGYQQJHFBW-CQSZACIVSA-N 1 2 318.421 1.668 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000858858961 704765013 /nfs/dbraw/zinc/76/50/13/704765013.db2.gz WFZBSGYQQJHFBW-CQSZACIVSA-N 1 2 318.421 1.668 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@@H+]1CCC[C@@]12CCOC2=O ZINC000859261921 704839813 /nfs/dbraw/zinc/83/98/13/704839813.db2.gz SHEKLCDGVXFECL-PBHICJAKSA-N 1 2 316.357 1.079 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@H+]1CCC[C@@]12CCOC2=O ZINC000859261921 704839820 /nfs/dbraw/zinc/83/98/20/704839820.db2.gz SHEKLCDGVXFECL-PBHICJAKSA-N 1 2 316.357 1.079 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000822680104 705005535 /nfs/dbraw/zinc/00/55/35/705005535.db2.gz PDYQKVHTVCEXQB-WBVHZDCISA-N 1 2 316.401 1.160 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000822680104 705005538 /nfs/dbraw/zinc/00/55/38/705005538.db2.gz PDYQKVHTVCEXQB-WBVHZDCISA-N 1 2 316.401 1.160 20 30 DDEDLO CC(C)S(=O)(=O)CC[N@@H+]1CCc2c(CC#N)cccc2C1 ZINC000860106397 705101752 /nfs/dbraw/zinc/10/17/52/705101752.db2.gz HXDDDSPASDAETP-UHFFFAOYSA-N 1 2 306.431 1.934 20 30 DDEDLO CC(C)S(=O)(=O)CC[N@H+]1CCc2c(CC#N)cccc2C1 ZINC000860106397 705101755 /nfs/dbraw/zinc/10/17/55/705101755.db2.gz HXDDDSPASDAETP-UHFFFAOYSA-N 1 2 306.431 1.934 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000875475971 705400868 /nfs/dbraw/zinc/40/08/68/705400868.db2.gz IZAIURJDMIQIJE-LBPRGKRZSA-N 1 2 324.425 1.251 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)NCC1CCC(C#N)CC1 ZINC000875557373 705428763 /nfs/dbraw/zinc/42/87/63/705428763.db2.gz CSJXXYOWONVQQJ-UYSNPLJNSA-N 1 2 322.453 1.726 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)NCC1CCC(C#N)CC1 ZINC000875557373 705428766 /nfs/dbraw/zinc/42/87/66/705428766.db2.gz CSJXXYOWONVQQJ-UYSNPLJNSA-N 1 2 322.453 1.726 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2F)CC1 ZINC000825078153 705595261 /nfs/dbraw/zinc/59/52/61/705595261.db2.gz VXYQZIUKKBGDLO-UHFFFAOYSA-N 1 2 305.309 1.515 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000826564931 705815480 /nfs/dbraw/zinc/81/54/80/705815480.db2.gz RXAJEAYZJNQEGA-QGZVFWFLSA-N 1 2 318.417 1.515 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000826564931 705815484 /nfs/dbraw/zinc/81/54/84/705815484.db2.gz RXAJEAYZJNQEGA-QGZVFWFLSA-N 1 2 318.417 1.515 20 30 DDEDLO Cc1onc(CC(=O)NC[C@@H]2c3ccccc3C[N@H+]2C)c1C#N ZINC000826711305 705854860 /nfs/dbraw/zinc/85/48/60/705854860.db2.gz YYQJVDPWZJAGRN-MRXNPFEDSA-N 1 2 310.357 1.700 20 30 DDEDLO Cc1onc(CC(=O)NC[C@@H]2c3ccccc3C[N@@H+]2C)c1C#N ZINC000826711305 705854866 /nfs/dbraw/zinc/85/48/66/705854866.db2.gz YYQJVDPWZJAGRN-MRXNPFEDSA-N 1 2 310.357 1.700 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)n1 ZINC000877645420 706168968 /nfs/dbraw/zinc/16/89/68/706168968.db2.gz KSKPLSDIYKKANY-DLBZAZTESA-N 1 2 321.384 1.304 20 30 DDEDLO N#Cc1cccc(C[N@H+]2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)n1 ZINC000877645420 706168971 /nfs/dbraw/zinc/16/89/71/706168971.db2.gz KSKPLSDIYKKANY-DLBZAZTESA-N 1 2 321.384 1.304 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)[C@@H]1CCn3c[nH+]cc3C1)C2 ZINC000829496846 706345339 /nfs/dbraw/zinc/34/53/39/706345339.db2.gz BEVSNUUTPDWTHX-ZBFHGGJFSA-N 1 2 306.369 1.601 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC000878298188 706351155 /nfs/dbraw/zinc/35/11/55/706351155.db2.gz CNHINDUQTISVBO-UHFFFAOYSA-N 1 2 317.437 1.317 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@H]2OCC[C@@H]2C1 ZINC000829599067 706364501 /nfs/dbraw/zinc/36/45/01/706364501.db2.gz NAZAQJXRJVIOMQ-PMPSAXMXSA-N 1 2 300.358 1.883 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@H]2OCC[C@@H]2C1 ZINC000829599067 706364502 /nfs/dbraw/zinc/36/45/02/706364502.db2.gz NAZAQJXRJVIOMQ-PMPSAXMXSA-N 1 2 300.358 1.883 20 30 DDEDLO C=C(Cl)C[C@H]1NC(=O)N(CCCNc2cccc[nH+]2)C1=O ZINC000865298539 706397699 /nfs/dbraw/zinc/39/76/99/706397699.db2.gz GRSHRLKWLXTHEF-LLVKDONJSA-N 1 2 308.769 1.947 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@@H+]1C[C@@H]2C[C@H]1C[S@]2=O)c1ccccc1 ZINC000878811736 706506417 /nfs/dbraw/zinc/50/64/17/706506417.db2.gz NOFAUNAMKVMSLZ-NRVIRDBHSA-N 1 2 316.426 1.632 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@H+]1C[C@@H]2C[C@H]1C[S@]2=O)c1ccccc1 ZINC000878811736 706506418 /nfs/dbraw/zinc/50/64/18/706506418.db2.gz NOFAUNAMKVMSLZ-NRVIRDBHSA-N 1 2 316.426 1.632 20 30 DDEDLO COc1ccc(NC(=O)[C@H](C)[NH+]2CCSCC2)cc1C#N ZINC000830416817 706514188 /nfs/dbraw/zinc/51/41/88/706514188.db2.gz JEDVCCRFRJGVHT-NSHDSACASA-N 1 2 305.403 1.943 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)NC2CCCCCCC2)nn1 ZINC000880971588 707100993 /nfs/dbraw/zinc/10/09/93/707100993.db2.gz JMTBRZVQAWNDMO-UHFFFAOYSA-N 1 2 317.437 1.620 20 30 DDEDLO C=C[C@](C)(O)c1cn(Cc2[nH+]ccn2CC(F)(F)F)nn1 ZINC000881284876 707179719 /nfs/dbraw/zinc/17/97/19/707179719.db2.gz CDMIYYOPYANSNX-NSHDSACASA-N 1 2 301.272 1.479 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1cc(CC#N)ccn1 ZINC000871735238 707181499 /nfs/dbraw/zinc/18/14/99/707181499.db2.gz JTDHCASQJQBVIM-OLZOCXBDSA-N 1 2 317.393 1.378 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1cc(CC#N)ccn1 ZINC000871735238 707181501 /nfs/dbraw/zinc/18/15/01/707181501.db2.gz JTDHCASQJQBVIM-OLZOCXBDSA-N 1 2 317.393 1.378 20 30 DDEDLO C[C@H](NC(=S)NN=C1CCC[N@@H+]2CCSC[C@@H]12)C1CC1 ZINC000872355998 707388523 /nfs/dbraw/zinc/38/85/23/707388523.db2.gz WDQKSBUTDWFFTF-GWCFXTLKSA-N 1 2 312.508 1.816 20 30 DDEDLO C[C@H](NC(=S)NN=C1CCC[N@H+]2CCSC[C@@H]12)C1CC1 ZINC000872355998 707388528 /nfs/dbraw/zinc/38/85/28/707388528.db2.gz WDQKSBUTDWFFTF-GWCFXTLKSA-N 1 2 312.508 1.816 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[N@@H+](C)[C@@H](C)[C@H]1C ZINC000872468443 707429554 /nfs/dbraw/zinc/42/95/54/707429554.db2.gz UMIVWAARQWAMNU-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[N@H+](C)[C@@H](C)[C@H]1C ZINC000872468443 707429557 /nfs/dbraw/zinc/42/95/57/707429557.db2.gz UMIVWAARQWAMNU-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2ccc(C)cc2C#N)CC1 ZINC000872492798 707443658 /nfs/dbraw/zinc/44/36/58/707443658.db2.gz LNSUTYUBKWMSBL-CQSZACIVSA-N 1 2 321.446 1.972 20 30 DDEDLO C=CC(C)(C)CCCNC(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC000872908841 707648722 /nfs/dbraw/zinc/64/87/22/707648722.db2.gz XIBPVERMECRZSA-UHFFFAOYSA-N 1 2 320.437 1.840 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1ccc(C(C)C)cc1 ZINC000884055252 708119564 /nfs/dbraw/zinc/11/95/64/708119564.db2.gz GXMSVGIWDDDKAK-INIZCTEOSA-N 1 2 318.417 1.915 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](c1ccccc1)C1CC1 ZINC000884063702 708122975 /nfs/dbraw/zinc/12/29/75/708122975.db2.gz JDGYRZBQUZUERO-HOCLYGCPSA-N 1 2 302.374 1.701 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC(c2ccccc2)CC1 ZINC000884066792 708124392 /nfs/dbraw/zinc/12/43/92/708124392.db2.gz JJJZYPUPTVPHGL-INIZCTEOSA-N 1 2 316.401 1.839 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(F)c(Cl)c1 ZINC000884069877 708125408 /nfs/dbraw/zinc/12/54/08/708125408.db2.gz ROEQDZHQJLHDEO-LBPRGKRZSA-N 1 2 314.744 1.542 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1C[C@]1(C)c1ccccc1 ZINC000884154591 708163310 /nfs/dbraw/zinc/16/33/10/708163310.db2.gz JXQXUIYQBDBJFQ-GRDNDAEWSA-N 1 2 302.374 1.279 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1csc(CC)n1 ZINC000884201613 708186419 /nfs/dbraw/zinc/18/64/19/708186419.db2.gz CIWVFIJBMUAALI-NSHDSACASA-N 1 2 311.407 1.111 20 30 DDEDLO N#Cc1c2ccccc2[nH]c1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000896964610 708193900 /nfs/dbraw/zinc/19/39/00/708193900.db2.gz VZWBFPZEJPUFOR-ZDUSSCGKSA-N 1 2 324.384 1.586 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccn(C2CCCC2)n1 ZINC000884326662 708245974 /nfs/dbraw/zinc/24/59/74/708245974.db2.gz YPVBKYKFFCCFRI-AWEZNQCLSA-N 1 2 320.393 1.061 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)c1ccc(Cl)cn1 ZINC000884333841 708250085 /nfs/dbraw/zinc/25/00/85/708250085.db2.gz ZRFDXEYJWQPKEQ-ONGXEEELSA-N 1 2 311.769 1.359 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC(C2CCOCC2)CC1 ZINC000884373101 708268232 /nfs/dbraw/zinc/26/82/32/708268232.db2.gz CGXCIUKLBVOGGJ-HNNXBMFYSA-N 1 2 324.421 1.098 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cccc(CC#N)c2)C1 ZINC000885508342 708561843 /nfs/dbraw/zinc/56/18/43/708561843.db2.gz FWIVGUMQTOMBIV-HNNXBMFYSA-N 1 2 317.414 1.129 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cccc(CC#N)c2)C1 ZINC000885508342 708561846 /nfs/dbraw/zinc/56/18/46/708561846.db2.gz FWIVGUMQTOMBIV-HNNXBMFYSA-N 1 2 317.414 1.129 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)/C=C\c2ccc(C)cc2)C1 ZINC000885509203 708562401 /nfs/dbraw/zinc/56/24/01/708562401.db2.gz PTXOFYZZCCFOGD-OJNOIJSXSA-N 1 2 318.442 1.983 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)/C=C\c2ccc(C)cc2)C1 ZINC000885509203 708562402 /nfs/dbraw/zinc/56/24/02/708562402.db2.gz PTXOFYZZCCFOGD-OJNOIJSXSA-N 1 2 318.442 1.983 20 30 DDEDLO CCOC(=O)[C@H]([NH2+]C[C@@H](O)CC1(C#N)CCC1)[C@H]1CCCOC1 ZINC000886120384 708701962 /nfs/dbraw/zinc/70/19/62/708701962.db2.gz CLVLZBFWLOEIIS-SOUVJXGZSA-N 1 2 324.421 1.379 20 30 DDEDLO C=C(C)C[C@H](NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1)C(=O)OC ZINC000899165864 709006846 /nfs/dbraw/zinc/00/68/46/709006846.db2.gz YJILYOUPWWQKMT-UONOGXRCSA-N 1 2 320.393 1.414 20 30 DDEDLO C=C(C)C[C@H](NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1)C(=O)OC ZINC000899165864 709006849 /nfs/dbraw/zinc/00/68/49/709006849.db2.gz YJILYOUPWWQKMT-UONOGXRCSA-N 1 2 320.393 1.414 20 30 DDEDLO C[C@@]1(C#N)CN(C(=O)c2cccc(Cn3cc[nH+]c3)c2)CCO1 ZINC000887671088 709096990 /nfs/dbraw/zinc/09/69/90/709096990.db2.gz NNPNCNWWBZIYCV-QGZVFWFLSA-N 1 2 310.357 1.686 20 30 DDEDLO C[C@H](C(=O)N1CCC2(CC1)CC(=O)C=CO2)[NH+]1CCSCC1 ZINC000887776409 709122170 /nfs/dbraw/zinc/12/21/70/709122170.db2.gz GTZYXGOCRSUPLG-CYBMUJFWSA-N 1 2 324.446 1.288 20 30 DDEDLO C=C(CC[NH+](C)C)C(=O)N(C)Cc1nc(=O)c2sccc2[nH]1 ZINC000900071024 709281032 /nfs/dbraw/zinc/28/10/32/709281032.db2.gz GAZQBDBXGMHQGK-UHFFFAOYSA-N 1 2 320.418 1.863 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1ccc(C#N)cn1 ZINC000889241023 709466498 /nfs/dbraw/zinc/46/64/98/709466498.db2.gz WMAYONBLJAZCBO-NEPJUHHUSA-N 1 2 303.366 1.184 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1ccc(C#N)cn1 ZINC000889241023 709466500 /nfs/dbraw/zinc/46/65/00/709466500.db2.gz WMAYONBLJAZCBO-NEPJUHHUSA-N 1 2 303.366 1.184 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2c(C)cccc2C)C1 ZINC000891606508 710246626 /nfs/dbraw/zinc/24/66/26/710246626.db2.gz OEERCMYNQRQKSY-OAHLLOKOSA-N 1 2 313.401 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2c(C)cccc2C)C1 ZINC000891606508 710246627 /nfs/dbraw/zinc/24/66/27/710246627.db2.gz OEERCMYNQRQKSY-OAHLLOKOSA-N 1 2 313.401 1.456 20 30 DDEDLO C=CCCC[C@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C(=O)OC ZINC000928312843 713172798 /nfs/dbraw/zinc/17/27/98/713172798.db2.gz HIDOMSYRJBJQPD-STQMWFEESA-N 1 2 305.378 1.460 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1)CN1C[C@@H]([C@@H]2CCCO2)CC1=O ZINC000902050078 710595442 /nfs/dbraw/zinc/59/54/42/710595442.db2.gz QZBLDVWODZCDPW-IRXDYDNUSA-N 1 2 313.401 1.975 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1)CN1C[C@@H]([C@@H]2CCCO2)CC1=O ZINC000902050078 710595445 /nfs/dbraw/zinc/59/54/45/710595445.db2.gz QZBLDVWODZCDPW-IRXDYDNUSA-N 1 2 313.401 1.975 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000893844993 710855362 /nfs/dbraw/zinc/85/53/62/710855362.db2.gz POXKCWGCMLJSKY-CQSZACIVSA-N 1 2 301.390 1.626 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000893844993 710855366 /nfs/dbraw/zinc/85/53/66/710855366.db2.gz POXKCWGCMLJSKY-CQSZACIVSA-N 1 2 301.390 1.626 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1cn(C)nn1 ZINC000902971675 710980135 /nfs/dbraw/zinc/98/01/35/710980135.db2.gz ZDBONJUYWLULIE-DOMZBBRYSA-N 1 2 324.388 1.138 20 30 DDEDLO O=C(NCC#C[C@H]1CCCCO1)N[C@H]1CCn2cc[nH+]c2C1 ZINC000894307099 711060117 /nfs/dbraw/zinc/06/01/17/711060117.db2.gz BDXJBZVCDDFWGN-UONOGXRCSA-N 1 2 302.378 1.070 20 30 DDEDLO Cc1cccc(S(=O)(=O)N[C@@H]2CCn3c[nH+]cc3C2)c1C#N ZINC000903195748 711061236 /nfs/dbraw/zinc/06/12/36/711061236.db2.gz ZZJBBUYQFULDTI-GFCCVEGCSA-N 1 2 316.386 1.357 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1[NH2+]Cc1nc(CS(C)(=O)=O)n[nH]1 ZINC000903295829 711092161 /nfs/dbraw/zinc/09/21/61/711092161.db2.gz CAOARDBAAJGSMF-NEPJUHHUSA-N 1 2 312.439 1.574 20 30 DDEDLO N#C[C@]1(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CC2CCC1CC2 ZINC000906975007 712454052 /nfs/dbraw/zinc/45/40/52/712454052.db2.gz JEGXMVSJPIGTNZ-UNGSAITNSA-N 1 2 317.433 1.640 20 30 DDEDLO O=C(c1cccc(C#CCO)c1)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000907837574 712633639 /nfs/dbraw/zinc/63/36/39/712633639.db2.gz WPAZTGMCGBCRAQ-GOSISDBHSA-N 1 2 322.364 1.719 20 30 DDEDLO C[N@H+](Cc1ccccc1)C1CN(S(=O)(=O)CC(C)(C)C#N)C1 ZINC000915569427 713423899 /nfs/dbraw/zinc/42/38/99/713423899.db2.gz VPYFZUZJCDHITA-UHFFFAOYSA-N 1 2 321.446 1.682 20 30 DDEDLO C[N@@H+](Cc1ccccc1)C1CN(S(=O)(=O)CC(C)(C)C#N)C1 ZINC000915569427 713423902 /nfs/dbraw/zinc/42/39/02/713423902.db2.gz VPYFZUZJCDHITA-UHFFFAOYSA-N 1 2 321.446 1.682 20 30 DDEDLO C#CC[C@H](CO)NC(=O)N[C@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000920874054 713699830 /nfs/dbraw/zinc/69/98/30/713699830.db2.gz GFQUVNPNHYSZHL-UKRRQHHQSA-N 1 2 312.373 1.617 20 30 DDEDLO N#Cc1nc(C2CC2)oc1N1CC[NH+](CCOC2CC2)CC1 ZINC000929878230 713711949 /nfs/dbraw/zinc/71/19/49/713711949.db2.gz QFURUSFSXZOASV-UHFFFAOYSA-N 1 2 302.378 1.725 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+]2CCO[C@@H](C(F)F)C2)cc1 ZINC000930343122 713808699 /nfs/dbraw/zinc/80/86/99/713808699.db2.gz ORJAYMYADKXNGO-CQSZACIVSA-N 1 2 308.328 1.962 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+]2CCO[C@@H](C(F)F)C2)cc1 ZINC000930343122 713808703 /nfs/dbraw/zinc/80/87/03/713808703.db2.gz ORJAYMYADKXNGO-CQSZACIVSA-N 1 2 308.328 1.962 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CC[C@@H](c2n[nH]cc2C(=O)OCC)C1 ZINC000931011682 713981941 /nfs/dbraw/zinc/98/19/41/713981941.db2.gz MKDRIFNRQLOMMF-YPMHNXCESA-N 1 2 321.377 1.493 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CC[C@@H](c2n[nH]cc2C(=O)OCC)C1 ZINC000931011682 713981942 /nfs/dbraw/zinc/98/19/42/713981942.db2.gz MKDRIFNRQLOMMF-YPMHNXCESA-N 1 2 321.377 1.493 20 30 DDEDLO CNC(=O)NC[C@H]1C[N@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000931168125 714020444 /nfs/dbraw/zinc/02/04/44/714020444.db2.gz VEHQPQNRGLVRRU-HNNXBMFYSA-N 1 2 316.405 1.305 20 30 DDEDLO CNC(=O)NC[C@H]1C[N@@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000931168125 714020447 /nfs/dbraw/zinc/02/04/47/714020447.db2.gz VEHQPQNRGLVRRU-HNNXBMFYSA-N 1 2 316.405 1.305 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(F)(F)c2ccccc2C#N)C1 ZINC000923558424 714402266 /nfs/dbraw/zinc/40/22/66/714402266.db2.gz RKUXAFDRZZRZRS-CQSZACIVSA-N 1 2 317.339 1.864 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(F)(F)c2ccccc2C#N)C1 ZINC000923558424 714402268 /nfs/dbraw/zinc/40/22/68/714402268.db2.gz RKUXAFDRZZRZRS-CQSZACIVSA-N 1 2 317.339 1.864 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000923598728 714424693 /nfs/dbraw/zinc/42/46/93/714424693.db2.gz NPTWIWLRMVFXBR-LLVKDONJSA-N 1 2 305.309 1.561 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2c(F)cccc2[N+](=O)[O-])C1 ZINC000923598728 714424695 /nfs/dbraw/zinc/42/46/95/714424695.db2.gz NPTWIWLRMVFXBR-LLVKDONJSA-N 1 2 305.309 1.561 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932888663 714431161 /nfs/dbraw/zinc/43/11/61/714431161.db2.gz JLVFJIVVTXUKBY-MNOVXSKESA-N 1 2 322.331 1.241 20 30 DDEDLO CCn1cnc2c1CC[N@H+](C[C@H](O)CC1(C#N)CCOCC1)C2 ZINC000933252884 714547713 /nfs/dbraw/zinc/54/77/13/714547713.db2.gz ITZKNPIXBRSVJV-CQSZACIVSA-N 1 2 318.421 1.332 20 30 DDEDLO CCn1cnc2c1CC[N@@H+](C[C@H](O)CC1(C#N)CCOCC1)C2 ZINC000933252884 714547716 /nfs/dbraw/zinc/54/77/16/714547716.db2.gz ITZKNPIXBRSVJV-CQSZACIVSA-N 1 2 318.421 1.332 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[N@@H+]2CC(=O)NC[C@H]2c2ccccc2)cc1 ZINC000933328055 714563227 /nfs/dbraw/zinc/56/32/27/714563227.db2.gz WMHYKHSTVFDRHT-ROUUACIJSA-N 1 2 321.380 1.765 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[N@H+]2CC(=O)NC[C@H]2c2ccccc2)cc1 ZINC000933328055 714563228 /nfs/dbraw/zinc/56/32/28/714563228.db2.gz WMHYKHSTVFDRHT-ROUUACIJSA-N 1 2 321.380 1.765 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000924776681 714661507 /nfs/dbraw/zinc/66/15/07/714661507.db2.gz LMPDHMKXPWHSQK-NSHDSACASA-N 1 2 312.439 1.189 20 30 DDEDLO COC(=O)[C@](C)(Cn1cccn1)[NH2+]CC1CCC(C#N)CC1 ZINC000934717065 714887410 /nfs/dbraw/zinc/88/74/10/714887410.db2.gz OIDNMXYFVVZKGG-XUJLQICISA-N 1 2 304.394 1.734 20 30 DDEDLO N#CC1(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CCSCC1 ZINC000935061122 714966443 /nfs/dbraw/zinc/96/64/43/714966443.db2.gz PETODRXDTQLZFK-CYBMUJFWSA-N 1 2 316.430 1.813 20 30 DDEDLO C[C@@H](C#N)CN(C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C1CC1 ZINC000935070129 714968495 /nfs/dbraw/zinc/96/84/95/714968495.db2.gz YOZQMGDKBMHQSC-IMJJTQAJSA-N 1 2 321.421 1.017 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+]([C@@H]2CCN(C(C)=O)C2)CC1 ZINC000956604739 715485564 /nfs/dbraw/zinc/48/55/64/715485564.db2.gz GTOINXWMCTYTIQ-NVXWUHKLSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc(OC)c2OC)C1 ZINC000957320570 715833989 /nfs/dbraw/zinc/83/39/89/715833989.db2.gz MPDHCCRCPFISMW-UHFFFAOYSA-N 1 2 316.401 1.873 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)N(C3CCCC3)C2)C1 ZINC000957375873 715868235 /nfs/dbraw/zinc/86/82/35/715868235.db2.gz NSKMCGUGUYVRTL-CQSZACIVSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCc3nnc(C)n3C2)C1 ZINC000957416185 715891525 /nfs/dbraw/zinc/89/15/25/715891525.db2.gz OLSCOAHJKFDTAH-AWEZNQCLSA-N 1 2 317.437 1.258 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(N(C)C)ccn2)C1 ZINC000957448389 715905982 /nfs/dbraw/zinc/90/59/82/715905982.db2.gz FKJCDDGTTGBVSQ-UHFFFAOYSA-N 1 2 300.406 1.317 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000939001066 716079872 /nfs/dbraw/zinc/07/98/72/716079872.db2.gz QOMFTUHIDDDYTO-AWEZNQCLSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)F ZINC000958053518 716296940 /nfs/dbraw/zinc/29/69/40/716296940.db2.gz SRAJFQOSWURQFV-MYJAWHEDSA-N 1 2 305.191 1.690 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)F ZINC000958053518 716296943 /nfs/dbraw/zinc/29/69/43/716296943.db2.gz SRAJFQOSWURQFV-MYJAWHEDSA-N 1 2 305.191 1.690 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000939483133 716279451 /nfs/dbraw/zinc/27/94/51/716279451.db2.gz WVFYFFRMTBNHBS-SUMWQHHRSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2onc(C)c2C)C1 ZINC000958172626 716374772 /nfs/dbraw/zinc/37/47/72/716374772.db2.gz WVCMZWVQIHEASO-NWDGAFQWSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2onc(C)c2C)C1 ZINC000958172626 716374775 /nfs/dbraw/zinc/37/47/75/716374775.db2.gz WVCMZWVQIHEASO-NWDGAFQWSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]2O)CC1 ZINC000958370710 716507024 /nfs/dbraw/zinc/50/70/24/716507024.db2.gz TYPDAVJPDPGRDE-GOEBONIOSA-N 1 2 319.405 1.330 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2C[N@H+](Cc3ccon3)C[C@H]2O)CC1 ZINC000958370710 716507030 /nfs/dbraw/zinc/50/70/30/716507030.db2.gz TYPDAVJPDPGRDE-GOEBONIOSA-N 1 2 319.405 1.330 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H](C)[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC000940707252 716924026 /nfs/dbraw/zinc/92/40/26/716924026.db2.gz SEYLCYYBTGXRSS-TZMCWYRMSA-N 1 2 316.405 1.272 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nc(CC)no4)C[C@H]32)C1 ZINC000961734428 717079158 /nfs/dbraw/zinc/07/91/58/717079158.db2.gz QFGDKFAOUZNDCA-JYAVWHMHSA-N 1 2 316.405 1.535 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nc(CC)no4)C[C@H]32)C1 ZINC000961734428 717079162 /nfs/dbraw/zinc/07/91/62/717079162.db2.gz QFGDKFAOUZNDCA-JYAVWHMHSA-N 1 2 316.405 1.535 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CC=CCC3)CC2)C1 ZINC000941305635 717151229 /nfs/dbraw/zinc/15/12/29/717151229.db2.gz GQRNHXNPWXYCMA-MRXNPFEDSA-N 1 2 301.434 1.194 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccoc3Cl)CC2)C1 ZINC000941386810 717166552 /nfs/dbraw/zinc/16/65/52/717166552.db2.gz PLEQTFIYNXYGRY-UHFFFAOYSA-N 1 2 307.781 1.008 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CC34CCCC4)CC2)C1 ZINC000941626824 717235422 /nfs/dbraw/zinc/23/54/22/717235422.db2.gz XFKQWGPPEZHIGH-INIZCTEOSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(C)c(C)c3)CC2)C1 ZINC000941657123 717246007 /nfs/dbraw/zinc/24/60/07/717246007.db2.gz UKWYYGKVIXJLKB-UHFFFAOYSA-N 1 2 311.429 1.379 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964905221 717472393 /nfs/dbraw/zinc/47/23/93/717472393.db2.gz NUZRKPDVBRUPRL-CABZTGNLSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964905221 717472397 /nfs/dbraw/zinc/47/23/97/717472397.db2.gz NUZRKPDVBRUPRL-CABZTGNLSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965992308 717851697 /nfs/dbraw/zinc/85/16/97/717851697.db2.gz ZZGFIOXCUSBTHQ-BXKDBHETSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965992308 717851702 /nfs/dbraw/zinc/85/17/02/717851702.db2.gz ZZGFIOXCUSBTHQ-BXKDBHETSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ncc(OC)cn2)C1 ZINC000967294167 718827081 /nfs/dbraw/zinc/82/70/81/718827081.db2.gz YKTZYXMLKFJACR-MFKMUULPSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ncc(OC)cn2)C1 ZINC000967294167 718827087 /nfs/dbraw/zinc/82/70/87/718827087.db2.gz YKTZYXMLKFJACR-MFKMUULPSA-N 1 2 324.812 1.678 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CC[C@H]2CN(CC#N)C[C@H]2CC1 ZINC000946878675 718934289 /nfs/dbraw/zinc/93/42/89/718934289.db2.gz VZOZZVWLNKPVFU-GASCZTMLSA-N 1 2 315.421 1.047 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1ncccn1 ZINC000947215847 719076269 /nfs/dbraw/zinc/07/62/69/719076269.db2.gz BFMYRPHUIOHWTH-QWHCGFSZSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1ncccn1 ZINC000947215847 719076273 /nfs/dbraw/zinc/07/62/73/719076273.db2.gz BFMYRPHUIOHWTH-QWHCGFSZSA-N 1 2 324.388 1.459 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cncs3)CC2)C1 ZINC000949314061 720009930 /nfs/dbraw/zinc/00/99/30/720009930.db2.gz HOVSHZABQPDAPQ-UHFFFAOYSA-N 1 2 305.403 1.083 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cncs3)CC2)C1 ZINC000949314061 720009935 /nfs/dbraw/zinc/00/99/35/720009935.db2.gz HOVSHZABQPDAPQ-UHFFFAOYSA-N 1 2 305.403 1.083 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3(C)CCCC3)CC2)C1 ZINC000949427343 720080688 /nfs/dbraw/zinc/08/06/88/720080688.db2.gz LSIOJTGUBLSWKC-UHFFFAOYSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3(C)CCCC3)CC2)C1 ZINC000949427343 720080694 /nfs/dbraw/zinc/08/06/94/720080694.db2.gz LSIOJTGUBLSWKC-UHFFFAOYSA-N 1 2 304.434 1.893 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccc3nc[nH]c3n2)C1 ZINC000969944294 720569296 /nfs/dbraw/zinc/56/92/96/720569296.db2.gz MEYPKHNYDMCPSR-SNVBAGLBSA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc3n[nH]nc3c2)C1 ZINC000950514883 720666563 /nfs/dbraw/zinc/66/65/63/720666563.db2.gz JTLDUVMOEMHLPN-UHFFFAOYSA-N 1 2 300.366 1.075 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cn(C)nc2Cl)C1 ZINC000970722909 720909551 /nfs/dbraw/zinc/90/95/51/720909551.db2.gz SGPFUGXCCFFBHB-SECBINFHSA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC000971134424 721128767 /nfs/dbraw/zinc/12/87/67/721128767.db2.gz LVMQDWVTEUNMBJ-NSHDSACASA-N 1 2 313.199 1.465 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC000971134424 721128768 /nfs/dbraw/zinc/12/87/68/721128768.db2.gz LVMQDWVTEUNMBJ-NSHDSACASA-N 1 2 313.199 1.465 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC000971212473 721182043 /nfs/dbraw/zinc/18/20/43/721182043.db2.gz ZIPFJFIQIGFWJW-WFASDCNBSA-N 1 2 301.394 1.022 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC000971212473 721182045 /nfs/dbraw/zinc/18/20/45/721182045.db2.gz ZIPFJFIQIGFWJW-WFASDCNBSA-N 1 2 301.394 1.022 20 30 DDEDLO C[N@H+](Cc1ccccc1C#N)[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC000971245332 721199787 /nfs/dbraw/zinc/19/97/87/721199787.db2.gz AUYQYOGAKDJQJE-QGZVFWFLSA-N 1 2 323.400 1.557 20 30 DDEDLO C[N@@H+](Cc1ccccc1C#N)[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC000971245332 721199790 /nfs/dbraw/zinc/19/97/90/721199790.db2.gz AUYQYOGAKDJQJE-QGZVFWFLSA-N 1 2 323.400 1.557 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3CCN(C(=O)C(F)F)[C@@H]3C2)c(F)c1 ZINC001075471873 735336727 /nfs/dbraw/zinc/33/67/27/735336727.db2.gz BBASAJMHSKSCJF-GXTWGEPZSA-N 1 2 323.318 1.995 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3CCN(C(=O)C(F)F)[C@@H]3C2)c(F)c1 ZINC001075471873 735336728 /nfs/dbraw/zinc/33/67/28/735336728.db2.gz BBASAJMHSKSCJF-GXTWGEPZSA-N 1 2 323.318 1.995 20 30 DDEDLO CN(CC#N)[C@@H]1CCCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001027637126 738496587 /nfs/dbraw/zinc/49/65/87/738496587.db2.gz MISVTSFGVULURH-QGZVFWFLSA-N 1 2 323.400 1.932 20 30 DDEDLO CN(CC#N)[C@@H]1CCCN(C(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC001027644993 738505651 /nfs/dbraw/zinc/50/56/51/738505651.db2.gz ALEVOUJMRXFABJ-OAHLLOKOSA-N 1 2 324.388 1.327 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406554 738266749 /nfs/dbraw/zinc/26/67/49/738266749.db2.gz VDAKYQXWRSRPBC-GDBMZVCRSA-N 1 2 312.417 1.874 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406554 738266750 /nfs/dbraw/zinc/26/67/50/738266750.db2.gz VDAKYQXWRSRPBC-GDBMZVCRSA-N 1 2 312.417 1.874 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H]1CNC(=O)c1cnccn1 ZINC001027730651 738600095 /nfs/dbraw/zinc/60/00/95/738600095.db2.gz VWGHRSDXANDQPY-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H]1CNC(=O)c1cnccn1 ZINC001027730651 738600097 /nfs/dbraw/zinc/60/00/97/738600097.db2.gz VWGHRSDXANDQPY-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO Cc1nc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)co1 ZINC001038196801 733239850 /nfs/dbraw/zinc/23/98/50/733239850.db2.gz FCGILUBUGNEZLY-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1nc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)co1 ZINC001038196801 733239851 /nfs/dbraw/zinc/23/98/51/733239851.db2.gz FCGILUBUGNEZLY-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO CCn1nncc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038237409 734695131 /nfs/dbraw/zinc/69/51/31/734695131.db2.gz XHEMFXJTHAQFJS-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1nncc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038237409 734695133 /nfs/dbraw/zinc/69/51/33/734695133.db2.gz XHEMFXJTHAQFJS-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO C[C@H]1CN(c2ccc(C#N)cn2)CC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067439459 734898764 /nfs/dbraw/zinc/89/87/64/734898764.db2.gz AKSWSFXOLCBOTH-SWLSCSKDSA-N 1 2 324.388 1.250 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(OC)cc3)[C@H]2C1 ZINC001083256143 734996009 /nfs/dbraw/zinc/99/60/09/734996009.db2.gz HRIKWTZVALNPRT-DLBZAZTESA-N 1 2 314.385 1.244 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(OC)cc3)[C@H]2C1 ZINC001083256143 734996013 /nfs/dbraw/zinc/99/60/13/734996013.db2.gz HRIKWTZVALNPRT-DLBZAZTESA-N 1 2 314.385 1.244 20 30 DDEDLO C[C@H]1CN(c2ccncc2C#N)CC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067441408 735020599 /nfs/dbraw/zinc/02/05/99/735020599.db2.gz YXUVJOISQYDURK-WFASDCNBSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3csc(C)n3)C2)C1 ZINC000972517676 735057184 /nfs/dbraw/zinc/05/71/84/735057184.db2.gz FGALCSLRCGDEGN-HNNXBMFYSA-N 1 2 307.419 1.554 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3csc(C)n3)C2)C1 ZINC000972517676 735057189 /nfs/dbraw/zinc/05/71/89/735057189.db2.gz FGALCSLRCGDEGN-HNNXBMFYSA-N 1 2 307.419 1.554 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024519953 735889208 /nfs/dbraw/zinc/88/92/08/735889208.db2.gz ADQGXQNEQNBKQE-OLZOCXBDSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024519953 735889210 /nfs/dbraw/zinc/88/92/10/735889210.db2.gz ADQGXQNEQNBKQE-OLZOCXBDSA-N 1 2 313.829 1.236 20 30 DDEDLO O=C(NC[C@H]1CCCC[N@@H+]1CC#Cc1ccccc1)c1cnon1 ZINC001024721271 736040656 /nfs/dbraw/zinc/04/06/56/736040656.db2.gz YQCCJIHVDXBBLL-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO O=C(NC[C@H]1CCCC[N@H+]1CC#Cc1ccccc1)c1cnon1 ZINC001024721271 736040659 /nfs/dbraw/zinc/04/06/59/736040659.db2.gz YQCCJIHVDXBBLL-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@H]2CCCCN2C(=O)C#CC2CC2)no1 ZINC001024960464 736185120 /nfs/dbraw/zinc/18/51/20/736185120.db2.gz UKYOVFUQLWSWOG-IUODEOHRSA-N 1 2 316.405 1.823 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc(C#N)s1 ZINC001029177664 740411067 /nfs/dbraw/zinc/41/10/67/740411067.db2.gz JLLWCFLARKDITR-TXEJJXNPSA-N 1 2 305.403 1.441 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(C#N)s1 ZINC001029177664 740411071 /nfs/dbraw/zinc/41/10/71/740411071.db2.gz JLLWCFLARKDITR-TXEJJXNPSA-N 1 2 305.403 1.441 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C3CCC(=C)CC3)C2)nn1 ZINC001105321017 737845916 /nfs/dbraw/zinc/84/59/16/737845916.db2.gz UZJSYEUOFBPSIF-UHFFFAOYSA-N 1 2 313.405 1.131 20 30 DDEDLO CC(C)(C)c1nnc(C[NH2+]CCNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001125995140 738107858 /nfs/dbraw/zinc/10/78/58/738107858.db2.gz VMKLIWJEHSUPPE-UHFFFAOYSA-N 1 2 316.365 1.086 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CCC[C@H](N(C)CC#N)C1 ZINC001027461881 738315755 /nfs/dbraw/zinc/31/57/55/738315755.db2.gz RDVWQGAPTSQAFR-AWEZNQCLSA-N 1 2 302.378 1.079 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2ccn(C)n2)c1 ZINC001028128028 739070077 /nfs/dbraw/zinc/07/00/77/739070077.db2.gz ZYCREVNYEYMTJU-KRWDZBQOSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2ccn(C)n2)c1 ZINC001028128028 739070078 /nfs/dbraw/zinc/07/00/78/739070078.db2.gz ZYCREVNYEYMTJU-KRWDZBQOSA-N 1 2 323.400 1.191 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)oc1C ZINC001075619551 739218168 /nfs/dbraw/zinc/21/81/68/739218168.db2.gz HQBOUVQUFZOHOJ-DDTOSNHZSA-N 1 2 302.378 1.484 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)oc1C ZINC001075619551 739218173 /nfs/dbraw/zinc/21/81/73/739218173.db2.gz HQBOUVQUFZOHOJ-DDTOSNHZSA-N 1 2 302.378 1.484 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+][C@H](C)c1nnc(CC(C)C)o1 ZINC001126343830 739252279 /nfs/dbraw/zinc/25/22/79/739252279.db2.gz JARKNNLAMDPMOP-CYBMUJFWSA-N 1 2 306.410 1.838 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001035369033 751432214 /nfs/dbraw/zinc/43/22/14/751432214.db2.gz ANRIGLKZONAIAF-CYBMUJFWSA-N 1 2 319.405 1.426 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001035369033 751432220 /nfs/dbraw/zinc/43/22/20/751432220.db2.gz ANRIGLKZONAIAF-CYBMUJFWSA-N 1 2 319.405 1.426 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001035375514 751438897 /nfs/dbraw/zinc/43/88/97/751438897.db2.gz SJQDTWKSXRVBLS-ZDUSSCGKSA-N 1 2 306.410 1.225 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001035375514 751438898 /nfs/dbraw/zinc/43/88/98/751438898.db2.gz SJQDTWKSXRVBLS-ZDUSSCGKSA-N 1 2 306.410 1.225 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc(C(N)=O)ccn1 ZINC001028357137 739397359 /nfs/dbraw/zinc/39/73/59/739397359.db2.gz ZRCYBPQJCNCJHT-GFCCVEGCSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(C(N)=O)ccn1 ZINC001028357137 739397362 /nfs/dbraw/zinc/39/73/62/739397362.db2.gz ZRCYBPQJCNCJHT-GFCCVEGCSA-N 1 2 322.796 1.127 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(CC)ccn2)C1 ZINC001035382459 751451465 /nfs/dbraw/zinc/45/14/65/751451465.db2.gz DVWXLXRLGSPTAN-HNNXBMFYSA-N 1 2 301.390 1.098 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(CC)ccn2)C1 ZINC001035382459 751451469 /nfs/dbraw/zinc/45/14/69/751451469.db2.gz DVWXLXRLGSPTAN-HNNXBMFYSA-N 1 2 301.390 1.098 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001126377938 739625598 /nfs/dbraw/zinc/62/55/98/739625598.db2.gz GXIKXEXQNHBZID-MRXNPFEDSA-N 1 2 307.394 1.086 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+][C@H](C)c1nnc(CC(C)C)o1 ZINC001126378197 739626927 /nfs/dbraw/zinc/62/69/27/739626927.db2.gz JCNXUHIDTPUPAU-MLGOLLRUSA-N 1 2 324.425 1.362 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3cc(C)cn3c2)C1 ZINC001035398050 751467733 /nfs/dbraw/zinc/46/77/33/751467733.db2.gz IWACXKHOVAXYHJ-KRWDZBQOSA-N 1 2 313.401 1.864 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3cc(C)cn3c2)C1 ZINC001035398050 751467736 /nfs/dbraw/zinc/46/77/36/751467736.db2.gz IWACXKHOVAXYHJ-KRWDZBQOSA-N 1 2 313.401 1.864 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cncc(COC)c2)C1 ZINC001035402686 751472053 /nfs/dbraw/zinc/47/20/53/751472053.db2.gz NMMXVFJLATUXEV-MRXNPFEDSA-N 1 2 319.405 1.235 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cncc(COC)c2)C1 ZINC001035402686 751472058 /nfs/dbraw/zinc/47/20/58/751472058.db2.gz NMMXVFJLATUXEV-MRXNPFEDSA-N 1 2 319.405 1.235 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H](NC(=O)CC2CCC2)[C@@H](O)C1 ZINC001083384289 739752357 /nfs/dbraw/zinc/75/23/57/739752357.db2.gz UQFAVEYJDMISEC-SJORKVTESA-N 1 2 313.401 1.410 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H](NC(=O)CC2CCC2)[C@@H](O)C1 ZINC001083384289 739752359 /nfs/dbraw/zinc/75/23/59/739752359.db2.gz UQFAVEYJDMISEC-SJORKVTESA-N 1 2 313.401 1.410 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cncc(Cl)c2C)C1 ZINC001035405617 751488656 /nfs/dbraw/zinc/48/86/56/751488656.db2.gz ZLFSQEDEEIPXIW-ZDUSSCGKSA-N 1 2 321.808 1.497 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cncc(Cl)c2C)C1 ZINC001035405617 751488660 /nfs/dbraw/zinc/48/86/60/751488660.db2.gz ZLFSQEDEEIPXIW-ZDUSSCGKSA-N 1 2 321.808 1.497 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccsc2COC)C1 ZINC001035415636 751496808 /nfs/dbraw/zinc/49/68/08/751496808.db2.gz CUGJMJSONBELGY-CYBMUJFWSA-N 1 2 322.430 1.348 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccsc2COC)C1 ZINC001035415636 751496812 /nfs/dbraw/zinc/49/68/12/751496812.db2.gz CUGJMJSONBELGY-CYBMUJFWSA-N 1 2 322.430 1.348 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC001035416912 751498547 /nfs/dbraw/zinc/49/85/47/751498547.db2.gz NWLLQMRGEJZDPX-SOUVJXGZSA-N 1 2 306.431 1.855 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC001035416912 751498552 /nfs/dbraw/zinc/49/85/52/751498552.db2.gz NWLLQMRGEJZDPX-SOUVJXGZSA-N 1 2 306.431 1.855 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C)c(OC)c2)C1 ZINC001035436978 751514343 /nfs/dbraw/zinc/51/43/43/751514343.db2.gz BJTKPQDRMBPUJC-OAHLLOKOSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C)c(OC)c2)C1 ZINC001035436978 751514345 /nfs/dbraw/zinc/51/43/45/751514345.db2.gz BJTKPQDRMBPUJC-OAHLLOKOSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)nc(OC)c2)C1 ZINC001035485626 751539276 /nfs/dbraw/zinc/53/92/76/751539276.db2.gz SCWOGMSSRFTRHP-CQSZACIVSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)nc(OC)c2)C1 ZINC001035485626 751539277 /nfs/dbraw/zinc/53/92/77/751539277.db2.gz SCWOGMSSRFTRHP-CQSZACIVSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc[nH]c2C2CCC2)C1 ZINC001035505323 751560770 /nfs/dbraw/zinc/56/07/70/751560770.db2.gz BFSXCAWUINCNRN-AWEZNQCLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc[nH]c2C2CCC2)C1 ZINC001035505323 751560778 /nfs/dbraw/zinc/56/07/78/751560778.db2.gz BFSXCAWUINCNRN-AWEZNQCLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001035511282 751568645 /nfs/dbraw/zinc/56/86/45/751568645.db2.gz PNKXMZTZJPLWNW-WBVHZDCISA-N 1 2 315.417 1.190 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001035511282 751568650 /nfs/dbraw/zinc/56/86/50/751568650.db2.gz PNKXMZTZJPLWNW-WBVHZDCISA-N 1 2 315.417 1.190 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c(CC)ncnc2CC)C1 ZINC001035512175 751569799 /nfs/dbraw/zinc/56/97/99/751569799.db2.gz UCMOZSPNSJQBPK-CYBMUJFWSA-N 1 2 318.421 1.218 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c(CC)ncnc2CC)C1 ZINC001035512175 751569801 /nfs/dbraw/zinc/56/98/01/751569801.db2.gz UCMOZSPNSJQBPK-CYBMUJFWSA-N 1 2 318.421 1.218 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CC(=O)NC)CC1 ZINC001029455033 740830368 /nfs/dbraw/zinc/83/03/68/740830368.db2.gz PRIVQHUNEDPGDL-GASCZTMLSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CC(=O)NC)CC1 ZINC001029455033 740830370 /nfs/dbraw/zinc/83/03/70/740830370.db2.gz PRIVQHUNEDPGDL-GASCZTMLSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@H](CC)C(N)=O ZINC001029456753 740834291 /nfs/dbraw/zinc/83/42/91/740834291.db2.gz PJYRMNAVTFZQBG-UMPJEAMMSA-N 1 2 307.438 1.528 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@H](CC)C(N)=O ZINC001029456753 740834296 /nfs/dbraw/zinc/83/42/96/740834296.db2.gz PJYRMNAVTFZQBG-UMPJEAMMSA-N 1 2 307.438 1.528 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@H](C)C(=O)NC ZINC001029456451 740834834 /nfs/dbraw/zinc/83/48/34/740834834.db2.gz DNLWVUYIFWCTGI-VWPFQQQWSA-N 1 2 307.438 1.398 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)NC ZINC001029456451 740834836 /nfs/dbraw/zinc/83/48/36/740834836.db2.gz DNLWVUYIFWCTGI-VWPFQQQWSA-N 1 2 307.438 1.398 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C)nc2OC)C1 ZINC001035541621 751587766 /nfs/dbraw/zinc/58/77/66/751587766.db2.gz JMJJGVLSGAVVRD-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C)nc2OC)C1 ZINC001035541621 751587771 /nfs/dbraw/zinc/58/77/71/751587771.db2.gz JMJJGVLSGAVVRD-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001035519393 751595812 /nfs/dbraw/zinc/59/58/12/751595812.db2.gz OAFQSDBNLDCJFY-YESZJQIVSA-N 1 2 315.417 1.578 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001035519393 751595819 /nfs/dbraw/zinc/59/58/19/751595819.db2.gz OAFQSDBNLDCJFY-YESZJQIVSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc(C)nc2C(C)C)C1 ZINC001035530406 751611205 /nfs/dbraw/zinc/61/12/05/751611205.db2.gz MSSKAVHRVURBBY-CQSZACIVSA-N 1 2 318.421 1.525 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc(C)nc2C(C)C)C1 ZINC001035530406 751611209 /nfs/dbraw/zinc/61/12/09/751611209.db2.gz MSSKAVHRVURBBY-CQSZACIVSA-N 1 2 318.421 1.525 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001059549924 741185990 /nfs/dbraw/zinc/18/59/90/741185990.db2.gz TYJIKYIVJRGSIR-AWEZNQCLSA-N 1 2 316.405 1.111 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncoc2C(C)(C)C)C1 ZINC001035570386 751624118 /nfs/dbraw/zinc/62/41/18/751624118.db2.gz PSHNYYTYNRJRQL-ZDUSSCGKSA-N 1 2 321.421 1.979 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncoc2C(C)(C)C)C1 ZINC001035570386 751624121 /nfs/dbraw/zinc/62/41/21/751624121.db2.gz PSHNYYTYNRJRQL-ZDUSSCGKSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001035572384 751626853 /nfs/dbraw/zinc/62/68/53/751626853.db2.gz ZTJHLVFOOPFZIO-LBPRGKRZSA-N 1 2 306.410 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001035572384 751626861 /nfs/dbraw/zinc/62/68/61/751626861.db2.gz ZTJHLVFOOPFZIO-LBPRGKRZSA-N 1 2 306.410 1.324 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C(C)(C)CC=C)C2)nn1 ZINC001098739600 741530990 /nfs/dbraw/zinc/53/09/90/741530990.db2.gz HETVYHFFBUKVRH-HNNXBMFYSA-N 1 2 315.421 1.377 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001038473776 741798524 /nfs/dbraw/zinc/79/85/24/741798524.db2.gz AAGXWFAZMPYSJJ-ZBFHGGJFSA-N 1 2 318.804 1.857 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001038473776 741798527 /nfs/dbraw/zinc/79/85/27/741798527.db2.gz AAGXWFAZMPYSJJ-ZBFHGGJFSA-N 1 2 318.804 1.857 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H](CCNc2cc[nH+]c(C)n2)C1 ZINC001111616339 742060935 /nfs/dbraw/zinc/06/09/35/742060935.db2.gz QLKHVJAUODPETB-ZFWWWQNUSA-N 1 2 316.405 1.474 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnn2CC(F)F)C1 ZINC001014446806 742185871 /nfs/dbraw/zinc/18/58/71/742185871.db2.gz IXXLLXWHFXOZRX-JTQLQIEISA-N 1 2 318.755 1.705 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnn2CC(F)F)C1 ZINC001014446806 742185872 /nfs/dbraw/zinc/18/58/72/742185872.db2.gz IXXLLXWHFXOZRX-JTQLQIEISA-N 1 2 318.755 1.705 20 30 DDEDLO Cc1nc(NC[C@H]2CCCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001060011861 742204398 /nfs/dbraw/zinc/20/43/98/742204398.db2.gz JACBIDZIADTSAP-CYBMUJFWSA-N 1 2 324.388 1.949 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060048974 742281473 /nfs/dbraw/zinc/28/14/73/742281473.db2.gz QBZQGEGRRNEQAL-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO O=C(C#CC1CC1)NCC[NH2+]Cc1nc(C2CCCC2)no1 ZINC001126875928 742406561 /nfs/dbraw/zinc/40/65/61/742406561.db2.gz SMJDPXWIDLVCBP-UHFFFAOYSA-N 1 2 302.378 1.346 20 30 DDEDLO Cc1ccccc1-c1noc(C[NH2+]CCNC(=O)C#CC2CC2)n1 ZINC001126881632 742416250 /nfs/dbraw/zinc/41/62/50/742416250.db2.gz WVRJTGKWILBFAF-UHFFFAOYSA-N 1 2 324.384 1.664 20 30 DDEDLO O=C(C#CC1CC1)NCC[NH2+]Cc1nc(-c2ccsc2)no1 ZINC001126885139 742425420 /nfs/dbraw/zinc/42/54/20/742425420.db2.gz BUKWFUCTWPTTIU-UHFFFAOYSA-N 1 2 316.386 1.417 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001126915681 742486440 /nfs/dbraw/zinc/48/64/40/742486440.db2.gz GWXWATZZBNLZGA-UHFFFAOYSA-N 1 2 303.406 1.809 20 30 DDEDLO N#Cc1cc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)C3CCC3)C2)ccc1F ZINC001076664346 742875374 /nfs/dbraw/zinc/87/53/74/742875374.db2.gz QZYUNFZBGGWWGJ-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1cc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)C3CCC3)C2)ccc1F ZINC001076664346 742875383 /nfs/dbraw/zinc/87/53/83/742875383.db2.gz QZYUNFZBGGWWGJ-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001181469964 743207921 /nfs/dbraw/zinc/20/79/21/743207921.db2.gz XFLINFGAZCVHJO-WCQYABFASA-N 1 2 308.382 1.050 20 30 DDEDLO N#Cc1ccc(C(=O)NC2CC[NH+](Cc3ncccn3)CC2)[nH]1 ZINC001002627012 743293296 /nfs/dbraw/zinc/29/32/96/743293296.db2.gz PILHTPYTQNCMAK-UHFFFAOYSA-N 1 2 310.361 1.071 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(OC)c(C(C)C)c2)[C@@H](O)C1 ZINC001083609271 743539851 /nfs/dbraw/zinc/53/98/51/743539851.db2.gz NAMPOFPMBLPYOQ-CVEARBPZSA-N 1 2 316.401 1.227 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(OC)c(C(C)C)c2)[C@@H](O)C1 ZINC001083609271 743539852 /nfs/dbraw/zinc/53/98/52/743539852.db2.gz NAMPOFPMBLPYOQ-CVEARBPZSA-N 1 2 316.401 1.227 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[N@@H+](C2COC2)C1 ZINC001168371251 743558680 /nfs/dbraw/zinc/55/86/80/743558680.db2.gz AWRGYODYUQPPMT-GFCCVEGCSA-N 1 2 302.334 1.742 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])ccc1N[C@@H]1CCC[N@H+](C2COC2)C1 ZINC001168371251 743558681 /nfs/dbraw/zinc/55/86/81/743558681.db2.gz AWRGYODYUQPPMT-GFCCVEGCSA-N 1 2 302.334 1.742 20 30 DDEDLO Cc1nc(NC2(CNC(=O)CCn3cc[nH+]c3)CC2)ccc1C#N ZINC001110290581 743620026 /nfs/dbraw/zinc/62/00/26/743620026.db2.gz YPZNSHOTIDSLFG-UHFFFAOYSA-N 1 2 324.388 1.609 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@H]1CNC(=O)c1ccc[nH]1 ZINC001038053586 743677794 /nfs/dbraw/zinc/67/77/94/743677794.db2.gz WEIFAULHXOQBKV-HNNXBMFYSA-N 1 2 324.384 1.899 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@H]1CNC(=O)c1ccc[nH]1 ZINC001038053586 743677799 /nfs/dbraw/zinc/67/77/99/743677799.db2.gz WEIFAULHXOQBKV-HNNXBMFYSA-N 1 2 324.384 1.899 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C(C)(C)CCOC)CC2)C1 ZINC001105730818 743766604 /nfs/dbraw/zinc/76/66/04/743766604.db2.gz YQWFJVCLMPVPFC-UHFFFAOYSA-N 1 2 324.465 1.927 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001060312478 744020761 /nfs/dbraw/zinc/02/07/61/744020761.db2.gz ANSYQFJDGDTVHH-OAHLLOKOSA-N 1 2 324.388 1.134 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CCOC)no2)C1 ZINC001185297136 744200759 /nfs/dbraw/zinc/20/07/59/744200759.db2.gz YZHAFXPTZPVEEZ-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C(F)=C(C)C)C2)nn1 ZINC001185915402 744314130 /nfs/dbraw/zinc/31/41/30/744314130.db2.gz DXRNIEQZUWGXDX-CQSZACIVSA-N 1 2 319.384 1.428 20 30 DDEDLO C=C(C)CC[NH+]1CC(NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001030632224 744356836 /nfs/dbraw/zinc/35/68/36/744356836.db2.gz OKKLSMLWJRZWAP-UHFFFAOYSA-N 1 2 311.385 1.908 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001187296982 744543753 /nfs/dbraw/zinc/54/37/53/744543753.db2.gz WEBRHIAHIMDWCW-DZGCQCFKSA-N 1 2 305.378 1.218 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001187296982 744543755 /nfs/dbraw/zinc/54/37/55/744543755.db2.gz WEBRHIAHIMDWCW-DZGCQCFKSA-N 1 2 305.378 1.218 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001187736884 744601885 /nfs/dbraw/zinc/60/18/85/744601885.db2.gz KQEDGFHHYVCIJI-UONOGXRCSA-N 1 2 316.405 1.468 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001187736884 744601887 /nfs/dbraw/zinc/60/18/87/744601887.db2.gz KQEDGFHHYVCIJI-UONOGXRCSA-N 1 2 316.405 1.468 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001187866036 744622423 /nfs/dbraw/zinc/62/24/23/744622423.db2.gz WPCRNAVAADOPSI-UONOGXRCSA-N 1 2 319.430 1.216 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001187866036 744622427 /nfs/dbraw/zinc/62/24/27/744622427.db2.gz WPCRNAVAADOPSI-UONOGXRCSA-N 1 2 319.430 1.216 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001077499991 744649044 /nfs/dbraw/zinc/64/90/44/744649044.db2.gz VIJLEKICDVMVAJ-UONOGXRCSA-N 1 2 320.437 1.576 20 30 DDEDLO C[N@@H+](Cc1ccon1)C[C@@H]1CCCCN1C(=O)C#CC1CC1 ZINC001089174656 744662832 /nfs/dbraw/zinc/66/28/32/744662832.db2.gz FTHHCBPUHGRFCO-INIZCTEOSA-N 1 2 301.390 1.901 20 30 DDEDLO C[N@H+](Cc1ccon1)C[C@@H]1CCCCN1C(=O)C#CC1CC1 ZINC001089174656 744662834 /nfs/dbraw/zinc/66/28/34/744662834.db2.gz FTHHCBPUHGRFCO-INIZCTEOSA-N 1 2 301.390 1.901 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001089251183 744787918 /nfs/dbraw/zinc/78/79/18/744787918.db2.gz UNFUYMBANDUHSC-VXGBXAGGSA-N 1 2 320.441 1.907 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)[C@H]1CCN(C(=O)CSCC#N)C1 ZINC001188966017 744801055 /nfs/dbraw/zinc/80/10/55/744801055.db2.gz SAIAIBQIDFWXBF-OLZOCXBDSA-N 1 2 319.434 1.327 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)[C@H]1CCN(C(=O)CSCC#N)C1 ZINC001188966017 744801059 /nfs/dbraw/zinc/80/10/59/744801059.db2.gz SAIAIBQIDFWXBF-OLZOCXBDSA-N 1 2 319.434 1.327 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2coc(C3CC3)n2)C1 ZINC001189363583 744886348 /nfs/dbraw/zinc/88/63/48/744886348.db2.gz MYDDFFQCHCZFAI-HNNXBMFYSA-N 1 2 319.405 1.787 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C3CC3)n2)C1 ZINC001189363583 744886351 /nfs/dbraw/zinc/88/63/51/744886351.db2.gz MYDDFFQCHCZFAI-HNNXBMFYSA-N 1 2 319.405 1.787 20 30 DDEDLO CC[NH+]1CCN(CCC(=O)Nc2cc(C#N)ccc2O)CC1 ZINC000176406380 744902971 /nfs/dbraw/zinc/90/29/71/744902971.db2.gz XTDARVRRYSVWCK-UHFFFAOYSA-N 1 2 302.378 1.230 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001189926076 745038658 /nfs/dbraw/zinc/03/86/58/745038658.db2.gz KOZTUAQXRLUFLU-ZDUSSCGKSA-N 1 2 305.378 1.519 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001189926076 745038663 /nfs/dbraw/zinc/03/86/63/745038663.db2.gz KOZTUAQXRLUFLU-ZDUSSCGKSA-N 1 2 305.378 1.519 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190053871 745092097 /nfs/dbraw/zinc/09/20/97/745092097.db2.gz LKBZDZGKIWPKBO-INIZCTEOSA-N 1 2 302.422 1.595 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190053871 745092101 /nfs/dbraw/zinc/09/21/01/745092101.db2.gz LKBZDZGKIWPKBO-INIZCTEOSA-N 1 2 302.422 1.595 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001190053524 745092138 /nfs/dbraw/zinc/09/21/38/745092138.db2.gz JTDADVYCRZVBNB-CYBMUJFWSA-N 1 2 306.435 1.539 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001190053524 745092142 /nfs/dbraw/zinc/09/21/42/745092142.db2.gz JTDADVYCRZVBNB-CYBMUJFWSA-N 1 2 306.435 1.539 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2ncccn2)C1 ZINC001190112270 745118200 /nfs/dbraw/zinc/11/82/00/745118200.db2.gz DSKAEZCDPBKHQA-CABCVRRESA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2ncccn2)C1 ZINC001190112270 745118201 /nfs/dbraw/zinc/11/82/01/745118201.db2.gz DSKAEZCDPBKHQA-CABCVRRESA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001190115110 745120089 /nfs/dbraw/zinc/12/00/89/745120089.db2.gz XARLYPUMEGSZTJ-GXTWGEPZSA-N 1 2 320.393 1.012 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001190115110 745120091 /nfs/dbraw/zinc/12/00/91/745120091.db2.gz XARLYPUMEGSZTJ-GXTWGEPZSA-N 1 2 320.393 1.012 20 30 DDEDLO Cc1nocc1C[N@@H+]1CCC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007028421 751990294 /nfs/dbraw/zinc/99/02/94/751990294.db2.gz IRLOPCRSGWAXPY-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nocc1C[N@H+]1CCC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007028421 751990303 /nfs/dbraw/zinc/99/03/03/751990303.db2.gz IRLOPCRSGWAXPY-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CC2(F)F)C1 ZINC001079667485 755511027 /nfs/dbraw/zinc/51/10/27/755511027.db2.gz YEYMNGIIIMWNFO-SZEHBUNVSA-N 1 2 323.181 1.987 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CC2(F)F)C1 ZINC001079667485 755511031 /nfs/dbraw/zinc/51/10/31/755511031.db2.gz YEYMNGIIIMWNFO-SZEHBUNVSA-N 1 2 323.181 1.987 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CN2CCCC2=O)[C@H]1C ZINC000993034986 745394892 /nfs/dbraw/zinc/39/48/92/745394892.db2.gz LEPSAVVPYVBLSH-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CN2CCCC2=O)[C@H]1C ZINC000993034986 745394895 /nfs/dbraw/zinc/39/48/95/745394895.db2.gz LEPSAVVPYVBLSH-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191127220 745430744 /nfs/dbraw/zinc/43/07/44/745430744.db2.gz HHORTTJDQKLUOF-IAGOWNOFSA-N 1 2 300.402 1.390 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191127220 745430749 /nfs/dbraw/zinc/43/07/49/745430749.db2.gz HHORTTJDQKLUOF-IAGOWNOFSA-N 1 2 300.402 1.390 20 30 DDEDLO C[C@H](CCNc1ccncc1C#N)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106309896 745592589 /nfs/dbraw/zinc/59/25/89/745592589.db2.gz MCMLRACUVFVSRH-GFCCVEGCSA-N 1 2 312.377 1.038 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N2CCc3[nH+]ccn3CC2)c(F)c1 ZINC001191927700 745647283 /nfs/dbraw/zinc/64/72/83/745647283.db2.gz JGRPGDJYOFJWEJ-UHFFFAOYSA-N 1 2 302.284 1.731 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)[C@]3(F)CCOC3)C2)s1 ZINC001015611293 745838958 /nfs/dbraw/zinc/83/89/58/745838958.db2.gz ICHVGBHRPULIQR-NHYWBVRUSA-N 1 2 323.393 1.439 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)[C@]3(F)CCOC3)C2)s1 ZINC001015611293 745838964 /nfs/dbraw/zinc/83/89/64/745838964.db2.gz ICHVGBHRPULIQR-NHYWBVRUSA-N 1 2 323.393 1.439 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)cn1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001106624907 745845666 /nfs/dbraw/zinc/84/56/66/745845666.db2.gz FTCHNTPHLNXZSL-MRVWCRGKSA-N 1 2 324.388 1.787 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)cn1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001106624907 745845673 /nfs/dbraw/zinc/84/56/73/745845673.db2.gz FTCHNTPHLNXZSL-MRVWCRGKSA-N 1 2 324.388 1.787 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cncnc2)[C@H]1C ZINC000993284020 745940684 /nfs/dbraw/zinc/94/06/84/745940684.db2.gz NEWZMFKODWHXGB-OCCSQVGLSA-N 1 2 308.813 1.741 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cncnc2)[C@H]1C ZINC000993284020 745940687 /nfs/dbraw/zinc/94/06/87/745940687.db2.gz NEWZMFKODWHXGB-OCCSQVGLSA-N 1 2 308.813 1.741 20 30 DDEDLO C#Cc1cccc(NC(=O)NC(=O)C[N@@H+](C)Cc2cccnc2)c1 ZINC001192964343 745948957 /nfs/dbraw/zinc/94/89/57/745948957.db2.gz KODHDILEJJAFLL-UHFFFAOYSA-N 1 2 322.368 1.843 20 30 DDEDLO C#Cc1cccc(NC(=O)NC(=O)C[N@H+](C)Cc2cccnc2)c1 ZINC001192964343 745948961 /nfs/dbraw/zinc/94/89/61/745948961.db2.gz KODHDILEJJAFLL-UHFFFAOYSA-N 1 2 322.368 1.843 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@@H]1C ZINC000993771084 746320323 /nfs/dbraw/zinc/32/03/23/746320323.db2.gz GDEMBVCVARKAMT-WDEREUQCSA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@@H]1C ZINC000993771084 746320329 /nfs/dbraw/zinc/32/03/29/746320329.db2.gz GDEMBVCVARKAMT-WDEREUQCSA-N 1 2 312.801 1.461 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CCCN1CC#N ZINC000994143370 746463585 /nfs/dbraw/zinc/46/35/85/746463585.db2.gz FNWROSQTYYJODZ-PBHICJAKSA-N 1 2 323.400 1.979 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1C ZINC001112405324 746499423 /nfs/dbraw/zinc/49/94/23/746499423.db2.gz OBRLMMVZOKDBDP-ZFWWWQNUSA-N 1 2 300.406 1.920 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC1C[NH+](C[C@@H]2CCCCO2)C1 ZINC001031314178 746532710 /nfs/dbraw/zinc/53/27/10/746532710.db2.gz MCGRVCUWYCXMJG-SFHVURJKSA-N 1 2 312.413 1.580 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(=O)n(C)n2)[C@@H]1C ZINC000994356108 746604219 /nfs/dbraw/zinc/60/42/19/746604219.db2.gz YOWWJHXZTDBQQY-NWDGAFQWSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(=O)n(C)n2)[C@@H]1C ZINC000994356108 746604220 /nfs/dbraw/zinc/60/42/20/746604220.db2.gz YOWWJHXZTDBQQY-NWDGAFQWSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1O ZINC001195532262 746638613 /nfs/dbraw/zinc/63/86/13/746638613.db2.gz NOIRRLVRNJCHQK-KCPJHIHWSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1O ZINC001195532262 746638615 /nfs/dbraw/zinc/63/86/15/746638615.db2.gz NOIRRLVRNJCHQK-KCPJHIHWSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)NCCCC)CC1 ZINC001195645347 746664064 /nfs/dbraw/zinc/66/40/64/746664064.db2.gz QBAAZEGQIHQKIT-UHFFFAOYSA-N 1 2 307.438 1.241 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)NCCCC)CC1 ZINC001195645347 746664066 /nfs/dbraw/zinc/66/40/66/746664066.db2.gz QBAAZEGQIHQKIT-UHFFFAOYSA-N 1 2 307.438 1.241 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+](Cc2cncs2)CC1 ZINC001195683218 746671590 /nfs/dbraw/zinc/67/15/90/746671590.db2.gz XUCZSQLFVLBMMM-HNNXBMFYSA-N 1 2 309.435 1.505 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cncs2)CC1 ZINC001195683218 746671592 /nfs/dbraw/zinc/67/15/92/746671592.db2.gz XUCZSQLFVLBMMM-HNNXBMFYSA-N 1 2 309.435 1.505 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108054351 746891894 /nfs/dbraw/zinc/89/18/94/746891894.db2.gz LXZAULQQIQSAEX-GFCCVEGCSA-N 1 2 314.393 1.474 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)[C@@H]3CCCO3)C2)c(F)c1 ZINC001031535697 746978286 /nfs/dbraw/zinc/97/82/86/746978286.db2.gz ZGFUIONGJFSCPZ-INIZCTEOSA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)c3cocn3)C2)ccc1F ZINC001031579861 747095010 /nfs/dbraw/zinc/09/50/10/747095010.db2.gz NEZRGNSNEFSYDN-UHFFFAOYSA-N 1 2 314.320 1.547 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CC[C@H](C)C2)CC1 ZINC001197338425 747140925 /nfs/dbraw/zinc/14/09/25/747140925.db2.gz AAPKYGNYGOUUJJ-LSDHHAIUSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CC[C@H](C)C2)CC1 ZINC001197338425 747140929 /nfs/dbraw/zinc/14/09/29/747140929.db2.gz AAPKYGNYGOUUJJ-LSDHHAIUSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CC23CCCC3)CC1 ZINC001197698787 747241793 /nfs/dbraw/zinc/24/17/93/747241793.db2.gz LJATXRHXMLCYBA-OAHLLOKOSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CC23CCCC3)CC1 ZINC001197698787 747241796 /nfs/dbraw/zinc/24/17/96/747241796.db2.gz LJATXRHXMLCYBA-OAHLLOKOSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C)n(C)n1 ZINC001110494127 747246007 /nfs/dbraw/zinc/24/60/07/747246007.db2.gz IOWIOIRMKUPROE-ZACQAIPSSA-N 1 2 314.433 1.754 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C)n(C)n1 ZINC001110494127 747246010 /nfs/dbraw/zinc/24/60/10/747246010.db2.gz IOWIOIRMKUPROE-ZACQAIPSSA-N 1 2 314.433 1.754 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)cnn1 ZINC001031635659 747269248 /nfs/dbraw/zinc/26/92/48/747269248.db2.gz YVJFYGBDVKWHKO-UHFFFAOYSA-N 1 2 321.384 1.519 20 30 DDEDLO C=C1CCC(C(=O)NC2CC[NH+](Cc3cn(C)nn3)CC2)CC1 ZINC001003561386 747289566 /nfs/dbraw/zinc/28/95/66/747289566.db2.gz DVDDOFNLKRCUKN-UHFFFAOYSA-N 1 2 317.437 1.642 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(Cl)no2)CC1 ZINC001197898945 747302393 /nfs/dbraw/zinc/30/23/93/747302393.db2.gz JBCSQRYJCFRYGX-UHFFFAOYSA-N 1 2 311.769 1.126 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(Cl)no2)CC1 ZINC001197898945 747302398 /nfs/dbraw/zinc/30/23/98/747302398.db2.gz JBCSQRYJCFRYGX-UHFFFAOYSA-N 1 2 311.769 1.126 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC[NH+](Cc3nnc(C)o3)CC2)C1 ZINC001003596479 747310461 /nfs/dbraw/zinc/31/04/61/747310461.db2.gz WJNCXPWXBRFLBT-UHFFFAOYSA-N 1 2 304.394 1.815 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cnn3ccncc23)C1 ZINC001007507004 752179003 /nfs/dbraw/zinc/17/90/03/752179003.db2.gz SCCAEUJKCOFFMK-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cnn3ccncc23)C1 ZINC001007507004 752179005 /nfs/dbraw/zinc/17/90/05/752179005.db2.gz SCCAEUJKCOFFMK-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC000998745050 752196585 /nfs/dbraw/zinc/19/65/85/752196585.db2.gz FQFSMCQVPDUUAD-PWSUYJOCSA-N 1 2 303.366 1.187 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3ccon3)CC2)nc1 ZINC001004003311 747903162 /nfs/dbraw/zinc/90/31/62/747903162.db2.gz JBLNLPHQPYQNNC-UHFFFAOYSA-N 1 2 310.357 1.445 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110539294 747937975 /nfs/dbraw/zinc/93/79/75/747937975.db2.gz MVAHATOWOJRSBD-MMTVNHQJSA-N 1 2 310.401 1.673 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110539294 747937976 /nfs/dbraw/zinc/93/79/76/747937976.db2.gz MVAHATOWOJRSBD-MMTVNHQJSA-N 1 2 310.401 1.673 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C)n2C)[C@@H](O)C1 ZINC001090063250 747972133 /nfs/dbraw/zinc/97/21/33/747972133.db2.gz FTZWWDPBWFPJBO-JSGCOSHPSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C)n2C)[C@@H](O)C1 ZINC001090063250 747972137 /nfs/dbraw/zinc/97/21/37/747972137.db2.gz FTZWWDPBWFPJBO-JSGCOSHPSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccccc2O)[C@H](O)C1 ZINC001090076092 748086979 /nfs/dbraw/zinc/08/69/79/748086979.db2.gz LZMDUGQIHMUPFZ-TZMCWYRMSA-N 1 2 310.781 1.310 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccccc2O)[C@H](O)C1 ZINC001090076092 748086988 /nfs/dbraw/zinc/08/69/88/748086988.db2.gz LZMDUGQIHMUPFZ-TZMCWYRMSA-N 1 2 310.781 1.310 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001033080441 748222838 /nfs/dbraw/zinc/22/28/38/748222838.db2.gz AMYJXYXDJJYUDS-CABCVRRESA-N 1 2 300.406 1.386 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001033080441 748222845 /nfs/dbraw/zinc/22/28/45/748222845.db2.gz AMYJXYXDJJYUDS-CABCVRRESA-N 1 2 300.406 1.386 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1nnc(C2CC2)o1 ZINC001124484336 748275163 /nfs/dbraw/zinc/27/51/63/748275163.db2.gz UMHDLEZCGKJWJV-LLVKDONJSA-N 1 2 308.382 1.134 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001124495995 748288414 /nfs/dbraw/zinc/28/84/14/748288414.db2.gz URYYJJRUAIOSIS-NEPJUHHUSA-N 1 2 322.409 1.695 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(CC)no1 ZINC001124496144 748289181 /nfs/dbraw/zinc/28/91/81/748289181.db2.gz WWJMXDBMLFQZJG-NEPJUHHUSA-N 1 2 310.398 1.380 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)Cc2cccnc2)CC1 ZINC001004408573 748408750 /nfs/dbraw/zinc/40/87/50/748408750.db2.gz STMBZQWZVXNHQH-KRWDZBQOSA-N 1 2 312.417 1.851 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)Cc2cccnc2)CC1 ZINC001004408573 748408754 /nfs/dbraw/zinc/40/87/54/748408754.db2.gz STMBZQWZVXNHQH-KRWDZBQOSA-N 1 2 312.417 1.851 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001004515272 748504052 /nfs/dbraw/zinc/50/40/52/748504052.db2.gz MXKIAODSNXYUEU-XUWVNRHRSA-N 1 2 303.406 1.105 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001004515272 748504055 /nfs/dbraw/zinc/50/40/55/748504055.db2.gz MXKIAODSNXYUEU-XUWVNRHRSA-N 1 2 303.406 1.105 20 30 DDEDLO CO[C@H](C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1)C(C)C ZINC001004526460 748515758 /nfs/dbraw/zinc/51/57/58/748515758.db2.gz AAAXRZCCMCTZTB-HOTGVXAUSA-N 1 2 307.438 1.884 20 30 DDEDLO CO[C@H](C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1)C(C)C ZINC001004526460 748515761 /nfs/dbraw/zinc/51/57/61/748515761.db2.gz AAAXRZCCMCTZTB-HOTGVXAUSA-N 1 2 307.438 1.884 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)O1 ZINC001004548291 748539755 /nfs/dbraw/zinc/53/97/55/748539755.db2.gz UWFUVNXWBWIIIU-FVQBIDKESA-N 1 2 305.422 1.780 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)O1 ZINC001004548291 748539757 /nfs/dbraw/zinc/53/97/57/748539757.db2.gz UWFUVNXWBWIIIU-FVQBIDKESA-N 1 2 305.422 1.780 20 30 DDEDLO Cc1nc[nH]c1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004622827 748589142 /nfs/dbraw/zinc/58/91/42/748589142.db2.gz DJMVRRLCCNXGTQ-AWEZNQCLSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1nc[nH]c1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004622827 748589147 /nfs/dbraw/zinc/58/91/47/748589147.db2.gz DJMVRRLCCNXGTQ-AWEZNQCLSA-N 1 2 301.394 1.558 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCO[C@H](CC)C2)C1 ZINC001032110540 748654459 /nfs/dbraw/zinc/65/44/59/748654459.db2.gz LONOZXKPDFIWFP-ZIAGYGMSSA-N 1 2 300.830 1.992 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3ccns3)C2)nc1 ZINC001032137248 748730329 /nfs/dbraw/zinc/73/03/29/748730329.db2.gz MHUFLRJCGMMIMZ-UHFFFAOYSA-N 1 2 312.398 1.381 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+]Cc1nnc(C2CC2)o1)OCC ZINC001128528301 748740289 /nfs/dbraw/zinc/74/02/89/748740289.db2.gz LKHURYNTPGYHDA-CYBMUJFWSA-N 1 2 322.409 1.524 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H]2CCC(C)(C)CO2)C1 ZINC001108085092 748745066 /nfs/dbraw/zinc/74/50/66/748745066.db2.gz RHGBLCFTIIDTRM-QAPCUYQASA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H]2CCC(C)(C)CO2)C1 ZINC001108085092 748745070 /nfs/dbraw/zinc/74/50/70/748745070.db2.gz RHGBLCFTIIDTRM-QAPCUYQASA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)NC(=O)CC)C2 ZINC001110587767 748799826 /nfs/dbraw/zinc/79/98/26/748799826.db2.gz YFWAWGRKCQNVKF-LPWJVIDDSA-N 1 2 313.829 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)NC(=O)CC)C2 ZINC001110587767 748799834 /nfs/dbraw/zinc/79/98/34/748799834.db2.gz YFWAWGRKCQNVKF-LPWJVIDDSA-N 1 2 313.829 1.375 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]nc2C2CC2)C1 ZINC001108319314 761894267 /nfs/dbraw/zinc/89/42/67/761894267.db2.gz RZKMXOSRGRYEMU-INIZCTEOSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]nc2C2CC2)C1 ZINC001108319314 761894274 /nfs/dbraw/zinc/89/42/74/761894274.db2.gz RZKMXOSRGRYEMU-INIZCTEOSA-N 1 2 304.394 1.294 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnc(F)c2)[C@@H](O)C1 ZINC001090115725 748872989 /nfs/dbraw/zinc/87/29/89/748872989.db2.gz VPEOWHKRVMKNJH-RYUDHWBXSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnc(F)c2)[C@@H](O)C1 ZINC001090115725 748872993 /nfs/dbraw/zinc/87/29/93/748872993.db2.gz VPEOWHKRVMKNJH-RYUDHWBXSA-N 1 2 313.760 1.138 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095314891 748920803 /nfs/dbraw/zinc/92/08/03/748920803.db2.gz NCDBYNYRVWMQQN-JSGCOSHPSA-N 1 2 302.378 1.226 20 30 DDEDLO Cc1cc(N(C)[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001056871348 761904061 /nfs/dbraw/zinc/90/40/61/761904061.db2.gz JGMIHGOISNBMBZ-BXUZGUMPSA-N 1 2 313.405 1.859 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2CN(C(=O)[C@H](C)C#N)CC2(C)C)o1 ZINC000995577551 748955114 /nfs/dbraw/zinc/95/51/14/748955114.db2.gz CSZWOJQMKRIFFB-MNOVXSKESA-N 1 2 305.382 1.118 20 30 DDEDLO C[C@]1(NC(=O)Cc2c[nH]c[nH+]2)CCN(c2ccc(C#N)cn2)C1 ZINC001110817797 749040267 /nfs/dbraw/zinc/04/02/67/749040267.db2.gz LGCQCIIHRFXTCX-INIZCTEOSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cncnc1)C2 ZINC001110817223 749040513 /nfs/dbraw/zinc/04/05/13/749040513.db2.gz SQLPGHGAYRRVKY-KFWWJZLASA-N 1 2 320.824 1.883 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cncnc1)C2 ZINC001110817223 749040519 /nfs/dbraw/zinc/04/05/19/749040519.db2.gz SQLPGHGAYRRVKY-KFWWJZLASA-N 1 2 320.824 1.883 20 30 DDEDLO C[C@@]1(NC(=O)Cc2c[nH]c[nH+]2)CCN(c2ccncc2C#N)C1 ZINC001110818232 749040580 /nfs/dbraw/zinc/04/05/80/749040580.db2.gz WOJRFKOLZNVWRQ-MRXNPFEDSA-N 1 2 310.361 1.004 20 30 DDEDLO CN(C(=O)c1cnco1)[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001033304236 749154529 /nfs/dbraw/zinc/15/45/29/749154529.db2.gz QWMMJWJKNMPTOC-INIZCTEOSA-N 1 2 309.369 1.873 20 30 DDEDLO CN(C(=O)c1cnco1)[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001033304236 749154531 /nfs/dbraw/zinc/15/45/31/749154531.db2.gz QWMMJWJKNMPTOC-INIZCTEOSA-N 1 2 309.369 1.873 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114429872 749201707 /nfs/dbraw/zinc/20/17/07/749201707.db2.gz HDLVPBKIMLEDRE-ZDUSSCGKSA-N 1 2 304.394 1.319 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CCSCC)nn2)C1 ZINC001107134975 749214937 /nfs/dbraw/zinc/21/49/37/749214937.db2.gz KOWDCUFIONRXOF-UHFFFAOYSA-N 1 2 309.439 1.080 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2nccn3ccnc23)C1 ZINC001033431266 749353534 /nfs/dbraw/zinc/35/35/34/749353534.db2.gz GYKMTFANEJUODF-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2nccn3ccnc23)C1 ZINC001033431266 749353539 /nfs/dbraw/zinc/35/35/39/749353539.db2.gz GYKMTFANEJUODF-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CCn1cncn1 ZINC001039334220 761943243 /nfs/dbraw/zinc/94/32/43/761943243.db2.gz DYFNNPSZHVGAMT-KGLIPLIRSA-N 1 2 323.828 1.486 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CCn1cncn1 ZINC001039334220 761943249 /nfs/dbraw/zinc/94/32/49/761943249.db2.gz DYFNNPSZHVGAMT-KGLIPLIRSA-N 1 2 323.828 1.486 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnsn1 ZINC001039339372 761947237 /nfs/dbraw/zinc/94/72/37/761947237.db2.gz SHVALXQVLHBGPU-MNOVXSKESA-N 1 2 312.826 1.970 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnsn1 ZINC001039339372 761947242 /nfs/dbraw/zinc/94/72/42/761947242.db2.gz SHVALXQVLHBGPU-MNOVXSKESA-N 1 2 312.826 1.970 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnc(OC)nc2)C1 ZINC001033554432 749531349 /nfs/dbraw/zinc/53/13/49/749531349.db2.gz MTPRDEKJXXORJS-GFCCVEGCSA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc(OC)nc2)C1 ZINC001033554432 749531356 /nfs/dbraw/zinc/53/13/56/749531356.db2.gz MTPRDEKJXXORJS-GFCCVEGCSA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(OC)nn2)C1 ZINC001033555885 749534727 /nfs/dbraw/zinc/53/47/27/749534727.db2.gz UDBBFOQDJVVABM-LLVKDONJSA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(OC)nn2)C1 ZINC001033555885 749534732 /nfs/dbraw/zinc/53/47/32/749534732.db2.gz UDBBFOQDJVVABM-LLVKDONJSA-N 1 2 310.785 1.384 20 30 DDEDLO C#CC[NH+]1CCC(N(C)C(=O)c2cc(-c3ccoc3)[nH]n2)CC1 ZINC001006413277 749577985 /nfs/dbraw/zinc/57/79/85/749577985.db2.gz OFQVCVHVKYUYKR-UHFFFAOYSA-N 1 2 312.373 1.839 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@H+](CC(=C)Cl)C2)nc1 ZINC001033594063 749580566 /nfs/dbraw/zinc/58/05/66/749580566.db2.gz RLXAKRDCMVTKAU-AWEZNQCLSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@@H+](CC(=C)Cl)C2)nc1 ZINC001033594063 749580571 /nfs/dbraw/zinc/58/05/71/749580571.db2.gz RLXAKRDCMVTKAU-AWEZNQCLSA-N 1 2 303.793 1.962 20 30 DDEDLO N#Cc1ccc(N2CCC(CNC(=O)Cn3cc[nH+]c3)CC2)nc1 ZINC001095359545 749597896 /nfs/dbraw/zinc/59/78/96/749597896.db2.gz MTWVDKIRUPRMFO-UHFFFAOYSA-N 1 2 324.388 1.183 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nccn1CC)C2 ZINC001095367457 749605344 /nfs/dbraw/zinc/60/53/44/749605344.db2.gz GQDIIDLMTKZTKC-AGIUHOORSA-N 1 2 308.813 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nccn1CC)C2 ZINC001095367457 749605347 /nfs/dbraw/zinc/60/53/47/749605347.db2.gz GQDIIDLMTKZTKC-AGIUHOORSA-N 1 2 308.813 1.991 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H](C)CC(C)C)nn2)C1 ZINC001107225109 749650439 /nfs/dbraw/zinc/65/04/39/749650439.db2.gz NPIKQKPHDDSMKW-CQSZACIVSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001033931796 750140030 /nfs/dbraw/zinc/14/00/30/750140030.db2.gz UDCRSBIEAGGZLO-GFCCVEGCSA-N 1 2 324.812 1.780 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001033931796 750140036 /nfs/dbraw/zinc/14/00/36/750140036.db2.gz UDCRSBIEAGGZLO-GFCCVEGCSA-N 1 2 324.812 1.780 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC ZINC001110932851 750239054 /nfs/dbraw/zinc/23/90/54/750239054.db2.gz AOFRUVFKIGMZIK-TUVASFSCSA-N 1 2 307.438 1.446 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC ZINC001110932851 750239058 /nfs/dbraw/zinc/23/90/58/750239058.db2.gz AOFRUVFKIGMZIK-TUVASFSCSA-N 1 2 307.438 1.446 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cnc(C)o3)C[C@H]2O)C1 ZINC001077645713 750286976 /nfs/dbraw/zinc/28/69/76/750286976.db2.gz SMAKUJOOIJMHHN-ZIAGYGMSSA-N 1 2 305.378 1.001 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cnc(C)o3)C[C@H]2O)C1 ZINC001077645713 750286982 /nfs/dbraw/zinc/28/69/82/750286982.db2.gz SMAKUJOOIJMHHN-ZIAGYGMSSA-N 1 2 305.378 1.001 20 30 DDEDLO Cc1nc(N2CCC(F)(F)[C@@H](CNC(=O)[C@H](C)C#N)C2)cc[nH+]1 ZINC001111010259 750301013 /nfs/dbraw/zinc/30/10/13/750301013.db2.gz ADXWBIHQEWHXJC-PWSUYJOCSA-N 1 2 323.347 1.523 20 30 DDEDLO Cc1nc(NC[C@@H](C)NC(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001107653131 750303480 /nfs/dbraw/zinc/30/34/80/750303480.db2.gz RPBMIQWWMBZMJT-WDEREUQCSA-N 1 2 301.394 1.740 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C(C)C)C2 ZINC001111086511 750356374 /nfs/dbraw/zinc/35/63/74/750356374.db2.gz UDVQJROWOYNETL-YJNKXOJESA-N 1 2 307.438 1.302 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C(C)C)C2 ZINC001111086511 750356381 /nfs/dbraw/zinc/35/63/81/750356381.db2.gz UDVQJROWOYNETL-YJNKXOJESA-N 1 2 307.438 1.302 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C[C@H](C)CCC)[C@@H](n2ccnn2)C1 ZINC001128833735 750375723 /nfs/dbraw/zinc/37/57/23/750375723.db2.gz AOKZURFMKJREFA-KFWWJZLASA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C[C@H](C)CCC)[C@@H](n2ccnn2)C1 ZINC001128833735 750375729 /nfs/dbraw/zinc/37/57/29/750375729.db2.gz AOKZURFMKJREFA-KFWWJZLASA-N 1 2 303.410 1.079 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)cc2)C1 ZINC001107689402 750383173 /nfs/dbraw/zinc/38/31/73/750383173.db2.gz QXCPSIXCOZYQGP-KRWDZBQOSA-N 1 2 304.365 1.670 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)cc2)C1 ZINC001107689402 750383178 /nfs/dbraw/zinc/38/31/78/750383178.db2.gz QXCPSIXCOZYQGP-KRWDZBQOSA-N 1 2 304.365 1.670 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)[C@H]2COCCO2)C1 ZINC001034104267 750407863 /nfs/dbraw/zinc/40/78/63/750407863.db2.gz JQJINCHOJIZWMS-CHWSQXEVSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)[C@H]2COCCO2)C1 ZINC001034104267 750407867 /nfs/dbraw/zinc/40/78/67/750407867.db2.gz JQJINCHOJIZWMS-CHWSQXEVSA-N 1 2 302.802 1.125 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C#CC1CC1 ZINC001032397532 750568718 /nfs/dbraw/zinc/56/87/18/750568718.db2.gz IZAOYGWIKGDHMH-GJZGRUSLSA-N 1 2 313.401 1.744 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C#CC1CC1 ZINC001032397532 750568721 /nfs/dbraw/zinc/56/87/21/750568721.db2.gz IZAOYGWIKGDHMH-GJZGRUSLSA-N 1 2 313.401 1.744 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CN(c2ccccn2)C[C@H]1C ZINC001032409523 750613341 /nfs/dbraw/zinc/61/33/41/750613341.db2.gz ROTJXINGQSNDIP-LTIDMASMSA-N 1 2 324.428 1.072 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CN(c2ccccn2)C[C@H]1C ZINC001032409523 750613344 /nfs/dbraw/zinc/61/33/44/750613344.db2.gz ROTJXINGQSNDIP-LTIDMASMSA-N 1 2 324.428 1.072 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCO[C@H]1c1ccncc1 ZINC001032409236 750617844 /nfs/dbraw/zinc/61/78/44/750617844.db2.gz GTRCCJGDBDKQJA-NXOAAHMSSA-N 1 2 311.385 1.078 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCO[C@H]1c1ccncc1 ZINC001032409236 750617845 /nfs/dbraw/zinc/61/78/45/750617845.db2.gz GTRCCJGDBDKQJA-NXOAAHMSSA-N 1 2 311.385 1.078 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](CCC)OCC)C1 ZINC001108148189 750778740 /nfs/dbraw/zinc/77/87/40/750778740.db2.gz JHUVFQZSAXTQEP-NVXWUHKLSA-N 1 2 310.438 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](CCC)OCC)C1 ZINC001108148189 750778745 /nfs/dbraw/zinc/77/87/45/750778745.db2.gz JHUVFQZSAXTQEP-NVXWUHKLSA-N 1 2 310.438 1.422 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccccc1-c1cn[nH]c1 ZINC001032437189 750830140 /nfs/dbraw/zinc/83/01/40/750830140.db2.gz WLVHFQYZPFOFAK-GJZGRUSLSA-N 1 2 306.369 1.609 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccccc1-c1cn[nH]c1 ZINC001032437189 750830146 /nfs/dbraw/zinc/83/01/46/750830146.db2.gz WLVHFQYZPFOFAK-GJZGRUSLSA-N 1 2 306.369 1.609 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(CC(F)F)n1 ZINC001032463422 750889349 /nfs/dbraw/zinc/88/93/49/750889349.db2.gz RWLXGEHIKQJCMP-RYUDHWBXSA-N 1 2 308.332 1.070 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(CC(F)F)n1 ZINC001032463422 750889356 /nfs/dbraw/zinc/88/93/56/750889356.db2.gz RWLXGEHIKQJCMP-RYUDHWBXSA-N 1 2 308.332 1.070 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nc(CC)no3)C[C@H]21 ZINC001114692228 750994883 /nfs/dbraw/zinc/99/48/83/750994883.db2.gz CWLQCUNUALHZPI-CIQGVGRVSA-N 1 2 318.421 1.952 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nc(CC)no3)C[C@H]21 ZINC001114692228 750994886 /nfs/dbraw/zinc/99/48/86/750994886.db2.gz CWLQCUNUALHZPI-CIQGVGRVSA-N 1 2 318.421 1.952 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)CC ZINC001114696108 750998296 /nfs/dbraw/zinc/99/82/96/750998296.db2.gz RFNRDCIHSYSEAF-ZRUFSTJUSA-N 1 2 305.191 1.690 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)CC ZINC001114696108 750998301 /nfs/dbraw/zinc/99/83/01/750998301.db2.gz RFNRDCIHSYSEAF-ZRUFSTJUSA-N 1 2 305.191 1.690 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CF)CC1 ZINC001114814480 751119059 /nfs/dbraw/zinc/11/90/59/751119059.db2.gz INAWYUDOHMWKSF-URLYPYJESA-N 1 2 317.202 1.691 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CF)CC1 ZINC001114814480 751119062 /nfs/dbraw/zinc/11/90/62/751119062.db2.gz INAWYUDOHMWKSF-URLYPYJESA-N 1 2 317.202 1.691 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC001032516271 751166502 /nfs/dbraw/zinc/16/65/02/751166502.db2.gz OMWKPDSDABFCPZ-LUKYLMHMSA-N 1 2 302.418 1.358 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC001032516271 751166509 /nfs/dbraw/zinc/16/65/09/751166509.db2.gz OMWKPDSDABFCPZ-LUKYLMHMSA-N 1 2 302.418 1.358 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nn(C)c1Cl ZINC001032549118 751272035 /nfs/dbraw/zinc/27/20/35/751272035.db2.gz QSFNTTYGNZDBEY-RYUDHWBXSA-N 1 2 306.797 1.304 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nn(C)c1Cl ZINC001032549118 751272037 /nfs/dbraw/zinc/27/20/37/751272037.db2.gz QSFNTTYGNZDBEY-RYUDHWBXSA-N 1 2 306.797 1.304 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2cc(C)nn2c1C ZINC001032550447 751276455 /nfs/dbraw/zinc/27/64/55/751276455.db2.gz ROJDJMRQFJUTIL-GJZGRUSLSA-N 1 2 323.400 1.268 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2cc(C)nn2c1C ZINC001032550447 751276458 /nfs/dbraw/zinc/27/64/58/751276458.db2.gz ROJDJMRQFJUTIL-GJZGRUSLSA-N 1 2 323.400 1.268 20 30 DDEDLO C[C@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cn2)CC[C@H]1NCC#N ZINC001036253244 752307178 /nfs/dbraw/zinc/30/71/78/752307178.db2.gz JTAMXIVQLKWVFK-DZGCQCFKSA-N 1 2 324.388 1.231 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001008249527 752635158 /nfs/dbraw/zinc/63/51/58/752635158.db2.gz FTDRMKCOHPBEMP-DOMZBBRYSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001008249527 752635162 /nfs/dbraw/zinc/63/51/62/752635162.db2.gz FTDRMKCOHPBEMP-DOMZBBRYSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](C)c2cncnc2)C1 ZINC001008277824 752649587 /nfs/dbraw/zinc/64/95/87/752649587.db2.gz BJOKXCFWEMDCLK-GXTWGEPZSA-N 1 2 308.813 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](C)c2cncnc2)C1 ZINC001008277824 752649595 /nfs/dbraw/zinc/64/95/95/752649595.db2.gz BJOKXCFWEMDCLK-GXTWGEPZSA-N 1 2 308.813 1.913 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cc(F)ccc2F)C1 ZINC001108165428 753051071 /nfs/dbraw/zinc/05/10/71/753051071.db2.gz FWEWHSDSMNRQFB-QGZVFWFLSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cc(F)ccc2F)C1 ZINC001108165428 753051076 /nfs/dbraw/zinc/05/10/76/753051076.db2.gz FWEWHSDSMNRQFB-QGZVFWFLSA-N 1 2 324.371 1.900 20 30 DDEDLO Cn1ccc(C(=O)N[C@@H]2CCC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001009534292 753236471 /nfs/dbraw/zinc/23/64/71/753236471.db2.gz DFUVQCGTVPPSNZ-QGZVFWFLSA-N 1 2 322.412 1.666 20 30 DDEDLO Cn1ccc(C(=O)N[C@@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001009534292 753236476 /nfs/dbraw/zinc/23/64/76/753236476.db2.gz DFUVQCGTVPPSNZ-QGZVFWFLSA-N 1 2 322.412 1.666 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)CN1c1ccc(C#N)nc1 ZINC001039758764 762204832 /nfs/dbraw/zinc/20/48/32/762204832.db2.gz ZTSYSCAITBTWLB-DZGCQCFKSA-N 1 2 324.388 1.323 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nn(CCC)c1C ZINC001032722585 753341667 /nfs/dbraw/zinc/34/16/67/753341667.db2.gz ZAKWHUQBPWHFDW-HOTGVXAUSA-N 1 2 314.433 1.832 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nn(CCC)c1C ZINC001032722585 753341673 /nfs/dbraw/zinc/34/16/73/753341673.db2.gz ZAKWHUQBPWHFDW-HOTGVXAUSA-N 1 2 314.433 1.832 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)CCOc2ccccc21 ZINC001032733580 753386517 /nfs/dbraw/zinc/38/65/17/753386517.db2.gz BEJQQQQXZXVZNE-YZVOILCLSA-N 1 2 310.397 1.645 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)CCOc2ccccc21 ZINC001032733580 753386525 /nfs/dbraw/zinc/38/65/25/753386525.db2.gz BEJQQQQXZXVZNE-YZVOILCLSA-N 1 2 310.397 1.645 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)c(C)c2)C1 ZINC001108172396 753388392 /nfs/dbraw/zinc/38/83/92/753388392.db2.gz BXGXLZYGQPWPKX-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)c(C)c2)C1 ZINC001108172396 753388397 /nfs/dbraw/zinc/38/83/97/753388397.db2.gz BXGXLZYGQPWPKX-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]([NH2+]Cc3csnn3)C2)C1 ZINC001000127086 753393618 /nfs/dbraw/zinc/39/36/18/753393618.db2.gz BAUNYZOCDTZKEN-LBPRGKRZSA-N 1 2 306.435 1.975 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001078054285 753685164 /nfs/dbraw/zinc/68/51/64/753685164.db2.gz WZEYUKVIECHXHF-HUUCEWRRSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001078054285 753685169 /nfs/dbraw/zinc/68/51/69/753685169.db2.gz WZEYUKVIECHXHF-HUUCEWRRSA-N 1 2 319.380 1.405 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3ncsc3c2)C1 ZINC001078124339 753770021 /nfs/dbraw/zinc/77/00/21/753770021.db2.gz LLMOEVCRKAMAOM-ZIAGYGMSSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3ncsc3c2)C1 ZINC001078124339 753770027 /nfs/dbraw/zinc/77/00/27/753770027.db2.gz LLMOEVCRKAMAOM-ZIAGYGMSSA-N 1 2 315.398 1.095 20 30 DDEDLO Cc1cc(N2CC[C@@H](N(C)C(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001062967346 753878180 /nfs/dbraw/zinc/87/81/80/753878180.db2.gz OHYBDNLUGCLXPR-CQSZACIVSA-N 1 2 324.388 1.265 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](OC)c1ccc(F)cc1 ZINC001032811866 754208665 /nfs/dbraw/zinc/20/86/65/754208665.db2.gz RGSFJKGRVILTPF-YESZJQIVSA-N 1 2 316.376 1.822 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](OC)c1ccc(F)cc1 ZINC001032811866 754208669 /nfs/dbraw/zinc/20/86/69/754208669.db2.gz RGSFJKGRVILTPF-YESZJQIVSA-N 1 2 316.376 1.822 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)n1nc(C)cc1C ZINC001032811580 754213713 /nfs/dbraw/zinc/21/37/13/754213713.db2.gz DWGHNUORBTZTCZ-JYJNAYRXSA-N 1 2 300.406 1.369 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)n1nc(C)cc1C ZINC001032811580 754213718 /nfs/dbraw/zinc/21/37/18/754213718.db2.gz DWGHNUORBTZTCZ-JYJNAYRXSA-N 1 2 300.406 1.369 20 30 DDEDLO C#CCC1(C(=O)N[C@@H](C)CCNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001078754487 754352463 /nfs/dbraw/zinc/35/24/63/754352463.db2.gz YJCQGKOWBZFPBV-ZDUSSCGKSA-N 1 2 316.405 1.157 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccncc2C#N)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064833430 754876563 /nfs/dbraw/zinc/87/65/63/754876563.db2.gz YMOQWXUUXDYOQX-OCCSQVGLSA-N 1 2 324.388 1.132 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN2C(=O)CCc2[nH]cc[nH+]2)nc1 ZINC001064901810 754941514 /nfs/dbraw/zinc/94/15/14/754941514.db2.gz LNDKNNKVXYGKGP-AWEZNQCLSA-N 1 2 324.388 1.712 20 30 DDEDLO CC(=O)N1CC[NH+]([C@H]2CCN(c3cccc(F)c3C#N)C2)CC1 ZINC001065184868 755114284 /nfs/dbraw/zinc/11/42/84/755114284.db2.gz NVMRHVOXEYTQDF-AWEZNQCLSA-N 1 2 316.380 1.440 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CSCCC)[C@H](O)C1 ZINC001099701890 755390403 /nfs/dbraw/zinc/39/04/03/755390403.db2.gz LOKAIAOWSGXEAO-NWDGAFQWSA-N 1 2 306.859 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CSCCC)[C@H](O)C1 ZINC001099701890 755390407 /nfs/dbraw/zinc/39/04/07/755390407.db2.gz LOKAIAOWSGXEAO-NWDGAFQWSA-N 1 2 306.859 1.434 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001080028871 755689443 /nfs/dbraw/zinc/68/94/43/755689443.db2.gz WKFLDVUEVLPRAL-IUODEOHRSA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001080028871 755689447 /nfs/dbraw/zinc/68/94/47/755689447.db2.gz WKFLDVUEVLPRAL-IUODEOHRSA-N 1 2 300.406 1.362 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3ncc[nH]3)C2)c(F)c1 ZINC001014379581 755707860 /nfs/dbraw/zinc/70/78/60/755707860.db2.gz IVDKLYLGTOECII-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3ncc[nH]3)C2)c(F)c1 ZINC001014379581 755707865 /nfs/dbraw/zinc/70/78/65/755707865.db2.gz IVDKLYLGTOECII-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001080104953 755724500 /nfs/dbraw/zinc/72/45/00/755724500.db2.gz WMJXOKOKGKDRPV-BXKDBHETSA-N 1 2 310.785 1.288 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001080104953 755724503 /nfs/dbraw/zinc/72/45/03/755724503.db2.gz WMJXOKOKGKDRPV-BXKDBHETSA-N 1 2 310.785 1.288 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001080250857 755797571 /nfs/dbraw/zinc/79/75/71/755797571.db2.gz KNBOSNYHKXBDFO-CZUORRHYSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001080250857 755797575 /nfs/dbraw/zinc/79/75/75/755797575.db2.gz KNBOSNYHKXBDFO-CZUORRHYSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001014687294 755886126 /nfs/dbraw/zinc/88/61/26/755886126.db2.gz KVINCDJPNIRYDH-LBPRGKRZSA-N 1 2 309.797 1.644 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001014687294 755886130 /nfs/dbraw/zinc/88/61/30/755886130.db2.gz KVINCDJPNIRYDH-LBPRGKRZSA-N 1 2 309.797 1.644 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@]2(C1)CCC[N@H+](Cc1ncccn1)C2 ZINC001040200619 762435581 /nfs/dbraw/zinc/43/55/81/762435581.db2.gz IELTVAFPZNEHNW-LJQANCHMSA-N 1 2 324.428 1.705 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@]2(C1)CCC[N@@H+](Cc1ncccn1)C2 ZINC001040200619 762435584 /nfs/dbraw/zinc/43/55/84/762435584.db2.gz IELTVAFPZNEHNW-LJQANCHMSA-N 1 2 324.428 1.705 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001015019768 756076577 /nfs/dbraw/zinc/07/65/77/756076577.db2.gz RNXFTYJZRAPSHQ-LLVKDONJSA-N 1 2 301.371 1.027 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001015019768 756076582 /nfs/dbraw/zinc/07/65/82/756076582.db2.gz RNXFTYJZRAPSHQ-LLVKDONJSA-N 1 2 301.371 1.027 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ccc[nH]1 ZINC001081356597 756311452 /nfs/dbraw/zinc/31/14/52/756311452.db2.gz RCEFAAXDJPHGNI-IAGOWNOFSA-N 1 2 324.384 1.516 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ccc[nH]1 ZINC001081356597 756311458 /nfs/dbraw/zinc/31/14/58/756311458.db2.gz RCEFAAXDJPHGNI-IAGOWNOFSA-N 1 2 324.384 1.516 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@@H]1C ZINC001040252209 762460348 /nfs/dbraw/zinc/46/03/48/762460348.db2.gz LILAEOSBBHSEKS-ATGSNQNLSA-N 1 2 316.405 1.777 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)Cc2cnoc2)C1 ZINC001015598229 756399723 /nfs/dbraw/zinc/39/97/23/756399723.db2.gz JERZLADWSZDRRT-MRXNPFEDSA-N 1 2 310.357 1.479 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)Cc2cnoc2)C1 ZINC001015598229 756399726 /nfs/dbraw/zinc/39/97/26/756399726.db2.gz JERZLADWSZDRRT-MRXNPFEDSA-N 1 2 310.357 1.479 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cc(OC)no3)C2)C1 ZINC001015676368 756458872 /nfs/dbraw/zinc/45/88/72/756458872.db2.gz KDSRHSYWODMIOV-GFCCVEGCSA-N 1 2 305.378 1.730 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cc(OC)no3)C2)C1 ZINC001015676368 756458875 /nfs/dbraw/zinc/45/88/75/756458875.db2.gz KDSRHSYWODMIOV-GFCCVEGCSA-N 1 2 305.378 1.730 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccnn2C2CCCC2)[C@H](OC)C1 ZINC001081751529 756464901 /nfs/dbraw/zinc/46/49/01/756464901.db2.gz LSVUTXZTNPCKHQ-GDBMZVCRSA-N 1 2 316.405 1.060 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccnn2C2CCCC2)[C@H](OC)C1 ZINC001081751529 756464903 /nfs/dbraw/zinc/46/49/03/756464903.db2.gz LSVUTXZTNPCKHQ-GDBMZVCRSA-N 1 2 316.405 1.060 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2snnc2C2CC2)[C@H](OC)C1 ZINC001081817372 756493554 /nfs/dbraw/zinc/49/35/54/756493554.db2.gz OMFKNDSWEUQCPX-VXGBXAGGSA-N 1 2 322.434 1.421 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2snnc2C2CC2)[C@H](OC)C1 ZINC001081817372 756493558 /nfs/dbraw/zinc/49/35/58/756493558.db2.gz OMFKNDSWEUQCPX-VXGBXAGGSA-N 1 2 322.434 1.421 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2coc3cc(F)ccc23)[C@H](OC)C1 ZINC001081877066 756503561 /nfs/dbraw/zinc/50/35/61/756503561.db2.gz ARYLOOGHUXMISY-GDBMZVCRSA-N 1 2 316.332 1.634 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2coc3cc(F)ccc23)[C@H](OC)C1 ZINC001081877066 756503567 /nfs/dbraw/zinc/50/35/67/756503567.db2.gz ARYLOOGHUXMISY-GDBMZVCRSA-N 1 2 316.332 1.634 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001082063383 756621482 /nfs/dbraw/zinc/62/14/82/756621482.db2.gz NHUJTGHEVNPTSP-HNNXBMFYSA-N 1 2 316.405 1.040 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1OC ZINC001082150362 756655195 /nfs/dbraw/zinc/65/51/95/756655195.db2.gz FOQKWGWFOGGASE-FLVOHGNTSA-N 1 2 314.813 1.145 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1OC ZINC001082150362 756655198 /nfs/dbraw/zinc/65/51/98/756655198.db2.gz FOQKWGWFOGGASE-FLVOHGNTSA-N 1 2 314.813 1.145 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)ccc(OC)c2F)[C@H](OC)C1 ZINC001082336251 756743659 /nfs/dbraw/zinc/74/36/59/756743659.db2.gz DUMNWFVWFPPTRM-DGCLKSJQSA-N 1 2 324.327 1.036 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(F)ccc(OC)c2F)[C@H](OC)C1 ZINC001082336251 756743663 /nfs/dbraw/zinc/74/36/63/756743663.db2.gz DUMNWFVWFPPTRM-DGCLKSJQSA-N 1 2 324.327 1.036 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C3CCCC3)no2)[C@H](OC)C1 ZINC001082376384 756759646 /nfs/dbraw/zinc/75/96/46/756759646.db2.gz QVSJTZITXXYTNK-GDBMZVCRSA-N 1 2 317.389 1.394 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C3CCCC3)no2)[C@H](OC)C1 ZINC001082376384 756759649 /nfs/dbraw/zinc/75/96/49/756759649.db2.gz QVSJTZITXXYTNK-GDBMZVCRSA-N 1 2 317.389 1.394 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2CCN(C(C)=O)CC2)C1 ZINC001016216748 756837025 /nfs/dbraw/zinc/83/70/25/756837025.db2.gz PIFGVXVJRVPDSE-CQSZACIVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2CCN(C(C)=O)CC2)C1 ZINC001016216748 756837031 /nfs/dbraw/zinc/83/70/31/756837031.db2.gz PIFGVXVJRVPDSE-CQSZACIVSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001097374060 757118791 /nfs/dbraw/zinc/11/87/91/757118791.db2.gz DJYNSZJUWNKGLM-UKRRQHHQSA-N 1 2 316.405 1.474 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c(F)c3)[C@H]2C1 ZINC001083136298 757150397 /nfs/dbraw/zinc/15/03/97/757150397.db2.gz PXFPUXKQQVPITN-JKSUJKDBSA-N 1 2 302.349 1.293 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c(F)c3)[C@H]2C1 ZINC001083136298 757150400 /nfs/dbraw/zinc/15/04/00/757150400.db2.gz PXFPUXKQQVPITN-JKSUJKDBSA-N 1 2 302.349 1.293 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@@H]2CNC(=O)Cn2cc[nH+]c2)nc1 ZINC001097429108 757177167 /nfs/dbraw/zinc/17/71/67/757177167.db2.gz MZERIIPWHHJDIR-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1snnc1CC)C2 ZINC001097470121 757194230 /nfs/dbraw/zinc/19/42/30/757194230.db2.gz JIDVTYUQHTYOAR-RTXFEEFZSA-N 1 2 304.419 1.459 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1snnc1CC)C2 ZINC001097470121 757194232 /nfs/dbraw/zinc/19/42/32/757194232.db2.gz JIDVTYUQHTYOAR-RTXFEEFZSA-N 1 2 304.419 1.459 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2oc3ccccc3c2C)[C@@H](O)C1 ZINC001084027624 757232907 /nfs/dbraw/zinc/23/29/07/757232907.db2.gz QNJJWVPXVGPSDP-CABCVRRESA-N 1 2 312.369 1.539 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2oc3ccccc3c2C)[C@@H](O)C1 ZINC001084027624 757232911 /nfs/dbraw/zinc/23/29/11/757232911.db2.gz QNJJWVPXVGPSDP-CABCVRRESA-N 1 2 312.369 1.539 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3ccc(Cl)nc3)C[C@H]21 ZINC001084235586 757436304 /nfs/dbraw/zinc/43/63/04/757436304.db2.gz ICNYNQJVJWBOGR-IACUBPJLSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccc(Cl)nc3)C[C@H]21 ZINC001084235586 757436312 /nfs/dbraw/zinc/43/63/12/757436312.db2.gz ICNYNQJVJWBOGR-IACUBPJLSA-N 1 2 318.808 1.927 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3OCCO[C@H]3C)[C@@H]2C1 ZINC001084418810 757540533 /nfs/dbraw/zinc/54/05/33/757540533.db2.gz USYRBXUKRVOZRQ-DGAVXFQQSA-N 1 2 314.813 1.076 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H]3OCCO[C@H]3C)[C@@H]2C1 ZINC001084418810 757540535 /nfs/dbraw/zinc/54/05/35/757540535.db2.gz USYRBXUKRVOZRQ-DGAVXFQQSA-N 1 2 314.813 1.076 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2CN(C(=O)c3snnc3C3CC3)[C@@H]2C1 ZINC001084425273 757545168 /nfs/dbraw/zinc/54/51/68/757545168.db2.gz HBBODRWPCCFCJW-VXGBXAGGSA-N 1 2 304.419 1.748 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2CN(C(=O)c3snnc3C3CC3)[C@@H]2C1 ZINC001084425273 757545176 /nfs/dbraw/zinc/54/51/76/757545176.db2.gz HBBODRWPCCFCJW-VXGBXAGGSA-N 1 2 304.419 1.748 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001052729377 757696359 /nfs/dbraw/zinc/69/63/59/757696359.db2.gz NOBOEDVPTJHQIN-GJZGRUSLSA-N 1 2 318.421 1.450 20 30 DDEDLO Cc1cncc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C#CC2CC2)c1 ZINC001017539682 758008666 /nfs/dbraw/zinc/00/86/66/758008666.db2.gz DDOBVRFBICSBAF-HDICACEKSA-N 1 2 309.413 1.979 20 30 DDEDLO Cc1cncc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C#CC2CC2)c1 ZINC001017539682 758008674 /nfs/dbraw/zinc/00/86/74/758008674.db2.gz DDOBVRFBICSBAF-HDICACEKSA-N 1 2 309.413 1.979 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cccc(F)c1)CCO2 ZINC001053136058 758193548 /nfs/dbraw/zinc/19/35/48/758193548.db2.gz IZEJMHZGLRINTJ-UHFFFAOYSA-N 1 2 304.365 1.929 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnc2ccccc2n1 ZINC001017738671 758197151 /nfs/dbraw/zinc/19/71/51/758197151.db2.gz WHWGYEWBSBUBDS-BETUJISGSA-N 1 2 309.373 1.500 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnc2ccccc2n1 ZINC001017738671 758197155 /nfs/dbraw/zinc/19/71/55/758197155.db2.gz WHWGYEWBSBUBDS-BETUJISGSA-N 1 2 309.373 1.500 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1cccnc1)CCO2 ZINC001053221640 758278503 /nfs/dbraw/zinc/27/85/03/758278503.db2.gz QMWJTWGKAQHXTF-UHFFFAOYSA-N 1 2 301.390 1.113 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(Cl)[nH]2)C1 ZINC001108195392 758420673 /nfs/dbraw/zinc/42/06/73/758420673.db2.gz AUVAXTSWKQBQBI-OAHLLOKOSA-N 1 2 309.797 1.512 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(Cl)[nH]2)C1 ZINC001108195392 758420676 /nfs/dbraw/zinc/42/06/76/758420676.db2.gz AUVAXTSWKQBQBI-OAHLLOKOSA-N 1 2 309.797 1.512 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cccnc1C)CCO2 ZINC001053404152 758435843 /nfs/dbraw/zinc/43/58/43/758435843.db2.gz YGJUTJOSQGFTEH-UHFFFAOYSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)c1ccco1)CCO2 ZINC001053425077 758452916 /nfs/dbraw/zinc/45/29/16/758452916.db2.gz VASLEIIKRUXIGH-CQSZACIVSA-N 1 2 304.390 1.872 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCOC2(C[NH+](CCC)C2)C1 ZINC001053470080 758485165 /nfs/dbraw/zinc/48/51/65/758485165.db2.gz ZBWPFYNHLPPVEL-GJZGRUSLSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cn2cccc(C)c2n1 ZINC001018062788 758516016 /nfs/dbraw/zinc/51/60/16/758516016.db2.gz GOFAPSIUPTWYQJ-CALCHBBNSA-N 1 2 322.412 1.494 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cn2cccc(C)c2n1 ZINC001018062788 758516023 /nfs/dbraw/zinc/51/60/23/758516023.db2.gz GOFAPSIUPTWYQJ-CALCHBBNSA-N 1 2 322.412 1.494 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@H](C)[C@@H]1CCCO1)CCO2 ZINC001053517964 758525525 /nfs/dbraw/zinc/52/55/25/758525525.db2.gz JYSMQMGEAHZXHS-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)c1cnn(C)c1 ZINC001018124732 758565311 /nfs/dbraw/zinc/56/53/11/758565311.db2.gz SOKSJZDZSJWPFB-IYBDPMFKSA-N 1 2 314.433 1.396 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)c1cnn(C)c1 ZINC001018124732 758565317 /nfs/dbraw/zinc/56/53/17/758565317.db2.gz SOKSJZDZSJWPFB-IYBDPMFKSA-N 1 2 314.433 1.396 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-n2ccnc2)ccn1 ZINC001018136476 758571505 /nfs/dbraw/zinc/57/15/05/758571505.db2.gz ALJRHWZXNZLXTM-IYBDPMFKSA-N 1 2 323.400 1.742 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-n2ccnc2)ccn1 ZINC001018136476 758571510 /nfs/dbraw/zinc/57/15/10/758571510.db2.gz ALJRHWZXNZLXTM-IYBDPMFKSA-N 1 2 323.400 1.742 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nc(C)[nH]c21 ZINC001018142988 758577993 /nfs/dbraw/zinc/57/79/93/758577993.db2.gz XDCVYOWGVWIPTK-OKILXGFUSA-N 1 2 308.385 1.793 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nc(C)[nH]c21 ZINC001018142988 758577998 /nfs/dbraw/zinc/57/79/98/758577998.db2.gz XDCVYOWGVWIPTK-OKILXGFUSA-N 1 2 308.385 1.793 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)n(C)n1)O2 ZINC001053576609 758587853 /nfs/dbraw/zinc/58/78/53/758587853.db2.gz TYBXIVJREHYILX-CQSZACIVSA-N 1 2 318.421 1.268 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)ccc1OC ZINC001018254593 758671054 /nfs/dbraw/zinc/67/10/54/758671054.db2.gz XVATVVKSENQXSQ-OKILXGFUSA-N 1 2 314.385 1.626 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)ccc1OC ZINC001018254593 758671058 /nfs/dbraw/zinc/67/10/58/758671058.db2.gz XVATVVKSENQXSQ-OKILXGFUSA-N 1 2 314.385 1.626 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1C[C@H]3CC[C@@H]1O3)O2 ZINC001053667559 758679493 /nfs/dbraw/zinc/67/94/93/758679493.db2.gz ZMDQEPFJJYJDAT-FPCVCCKLSA-N 1 2 320.433 1.480 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1nocc1C)O2 ZINC001053687229 758697174 /nfs/dbraw/zinc/69/71/74/758697174.db2.gz LTKCFGDOHMIPDZ-CYBMUJFWSA-N 1 2 305.378 1.522 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CCC)C2)CC1 ZINC001065682569 758701389 /nfs/dbraw/zinc/70/13/89/758701389.db2.gz JTRLGZCEUCBZJT-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C3CC3)CC2)C1 ZINC001065692130 758710138 /nfs/dbraw/zinc/71/01/38/758710138.db2.gz PHPZAIMOFKWXIK-OAHLLOKOSA-N 1 2 305.422 1.108 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)[nH]c(=O)n2C ZINC001018312532 758721119 /nfs/dbraw/zinc/72/11/19/758721119.db2.gz GGGLIWLBXQCOKV-OKILXGFUSA-N 1 2 324.384 1.201 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)[nH]c(=O)n2C ZINC001018312532 758721129 /nfs/dbraw/zinc/72/11/29/758721129.db2.gz GGGLIWLBXQCOKV-OKILXGFUSA-N 1 2 324.384 1.201 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CCC)CC2)C1 ZINC001065710953 758728526 /nfs/dbraw/zinc/72/85/26/758728526.db2.gz GRNFPMFEJMOLJG-INIZCTEOSA-N 1 2 321.465 1.888 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C3CC3)CC2)C1 ZINC001065712060 758729864 /nfs/dbraw/zinc/72/98/64/758729864.db2.gz UHYKUNJJGAZHDZ-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]([NH+]3CCN(C(C)=O)CC3)C2)CCC1 ZINC001065713114 758730517 /nfs/dbraw/zinc/73/05/17/758730517.db2.gz MERUCGHPXCUPJI-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(=O)[C@H](C)CC)C2)CC1 ZINC001018358152 758756724 /nfs/dbraw/zinc/75/67/24/758756724.db2.gz MHHZTANQYAICBV-CVEARBPZSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)n1cccc1)CO2 ZINC001053777419 758799297 /nfs/dbraw/zinc/79/92/97/758799297.db2.gz KYTLLNHXTXLJMW-CABCVRRESA-N 1 2 303.406 1.585 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnn(CCF)c1)CO2 ZINC001053852466 758884085 /nfs/dbraw/zinc/88/40/85/758884085.db2.gz LMNMTUSYXKKNNF-CQSZACIVSA-N 1 2 322.384 1.002 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncccc1CC)CO2 ZINC001053854309 758886102 /nfs/dbraw/zinc/88/61/02/758886102.db2.gz VYTKUAWZSTZUAL-OAHLLOKOSA-N 1 2 315.417 1.793 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cncc(CC)c1)CO2 ZINC001053871386 758905328 /nfs/dbraw/zinc/90/53/28/758905328.db2.gz DXCLBHMQMSKKHI-INIZCTEOSA-N 1 2 315.417 1.793 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)C[C@H](NC(=O)Cn1cncc1C)CO2 ZINC001053871619 758905429 /nfs/dbraw/zinc/90/54/29/758905429.db2.gz IWXXEYHQROSDGT-HNNXBMFYSA-N 1 2 318.421 1.117 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1csc(C)n1)CO2 ZINC001053905278 758937528 /nfs/dbraw/zinc/93/75/28/758937528.db2.gz CFKWXSONWSORLR-LBPRGKRZSA-N 1 2 307.419 1.601 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1csc(C)n1)CO2 ZINC001053905977 758939008 /nfs/dbraw/zinc/93/90/08/758939008.db2.gz RDXXYRAILXXHAS-GFCCVEGCSA-N 1 2 305.403 1.048 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CC3(C)CC3)CC2=O)C1 ZINC001108557193 762672159 /nfs/dbraw/zinc/67/21/59/762672159.db2.gz RRFIPCSFYBDHRR-CYBMUJFWSA-N 1 2 305.422 1.154 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccn(C)c1CC)CO2 ZINC001053926438 758961780 /nfs/dbraw/zinc/96/17/80/758961780.db2.gz FPYVIHCUCSRZMY-CQSZACIVSA-N 1 2 315.417 1.184 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccco1)CO2 ZINC001053934380 758969468 /nfs/dbraw/zinc/96/94/68/758969468.db2.gz MGINLELEAGGYOZ-RBSFLKMASA-N 1 2 314.385 1.366 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)c1ccc(C)o1)CO2 ZINC001053948484 758986184 /nfs/dbraw/zinc/98/61/84/758986184.db2.gz NIKZMCSNBNGXRM-HUUCEWRRSA-N 1 2 316.401 1.674 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccn1C(C)C)CO2 ZINC001054010697 759058673 /nfs/dbraw/zinc/05/86/73/759058673.db2.gz XBPGIZBYBXAJRD-OAHLLOKOSA-N 1 2 315.417 1.665 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nccc(C)n1 ZINC001054038414 759095498 /nfs/dbraw/zinc/09/54/98/759095498.db2.gz CDVIOTDYDLEZIT-QGZVFWFLSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nccc(C)n1 ZINC001054038414 759095504 /nfs/dbraw/zinc/09/55/04/759095504.db2.gz CDVIOTDYDLEZIT-QGZVFWFLSA-N 1 2 320.396 1.575 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccn(C)n1 ZINC001054059237 759112428 /nfs/dbraw/zinc/11/24/28/759112428.db2.gz KEKVWKIKOOOTMJ-KRWDZBQOSA-N 1 2 322.412 1.600 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccn(C)n1 ZINC001054059237 759112432 /nfs/dbraw/zinc/11/24/32/759112432.db2.gz KEKVWKIKOOOTMJ-KRWDZBQOSA-N 1 2 322.412 1.600 20 30 DDEDLO C[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1snc(Cl)c1C#N ZINC001098306897 759294798 /nfs/dbraw/zinc/29/47/98/759294798.db2.gz PPYPLASHHUFKEA-SSDOTTSWSA-N 1 2 324.797 1.551 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(CC)nn3C)cc2C1 ZINC001054287166 759395267 /nfs/dbraw/zinc/39/52/67/759395267.db2.gz BKQCLVXUPCQAMY-UHFFFAOYSA-N 1 2 322.412 1.861 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(CC)nn3C)cc2C1 ZINC001054287166 759395277 /nfs/dbraw/zinc/39/52/77/759395277.db2.gz BKQCLVXUPCQAMY-UHFFFAOYSA-N 1 2 322.412 1.861 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131057681 767857310 /nfs/dbraw/zinc/85/73/10/767857310.db2.gz SGJRLVPTAYPXCU-RRFJBIMHSA-N 1 2 315.421 1.430 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)Cc1c[nH+]cn1C ZINC001069122029 767861197 /nfs/dbraw/zinc/86/11/97/767861197.db2.gz MPLOLHPVBCOSKA-SWLSCSKDSA-N 1 2 324.388 1.331 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1)C(=O)c1cn[nH]c1 ZINC001085499135 759543601 /nfs/dbraw/zinc/54/36/01/759543601.db2.gz UONKGFKVSSISIN-LBPRGKRZSA-N 1 2 315.402 1.689 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1)C(=O)c1cn[nH]c1 ZINC001085499135 759543607 /nfs/dbraw/zinc/54/36/07/759543607.db2.gz UONKGFKVSSISIN-LBPRGKRZSA-N 1 2 315.402 1.689 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)nn1C ZINC001085616035 759855760 /nfs/dbraw/zinc/85/57/60/759855760.db2.gz BTGMJDPBUXJERL-SNVBAGLBSA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)nn1C ZINC001085616035 759855770 /nfs/dbraw/zinc/85/57/70/759855770.db2.gz BTGMJDPBUXJERL-SNVBAGLBSA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncoc1C(F)(F)F ZINC001085679271 759991607 /nfs/dbraw/zinc/99/16/07/759991607.db2.gz FKEQOXVRWGXEFD-SECBINFHSA-N 1 2 301.268 1.473 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncoc1C(F)(F)F ZINC001085679271 759991609 /nfs/dbraw/zinc/99/16/09/759991609.db2.gz FKEQOXVRWGXEFD-SECBINFHSA-N 1 2 301.268 1.473 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C(F)(F)F)cn1 ZINC001085753246 760157736 /nfs/dbraw/zinc/15/77/36/760157736.db2.gz BJKZNXVMUVUEGH-GFCCVEGCSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C(F)(F)F)cn1 ZINC001085753246 760157739 /nfs/dbraw/zinc/15/77/39/760157739.db2.gz BJKZNXVMUVUEGH-GFCCVEGCSA-N 1 2 311.307 1.880 20 30 DDEDLO N#Cc1ccc(N2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cn2cc[nH+]c2)C3)cn1 ZINC001055051603 760306267 /nfs/dbraw/zinc/30/62/67/760306267.db2.gz KQGDYZVYZFDMJC-KBMXLJTQSA-N 1 2 322.372 1.076 20 30 DDEDLO N#Cc1cnccc1N1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1[nH]cc[nH+]1)C2 ZINC001055378650 760481001 /nfs/dbraw/zinc/48/10/01/760481001.db2.gz ACJKGJZDACLTFY-NFAWXSAZSA-N 1 2 322.372 1.145 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001085915534 760530427 /nfs/dbraw/zinc/53/04/27/760530427.db2.gz CUQKTVWOSJONFO-CQSZACIVSA-N 1 2 312.373 1.460 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001085915534 760530435 /nfs/dbraw/zinc/53/04/35/760530435.db2.gz CUQKTVWOSJONFO-CQSZACIVSA-N 1 2 312.373 1.460 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)nn(CCC)c1C ZINC001085915925 760532873 /nfs/dbraw/zinc/53/28/73/760532873.db2.gz NHIJTYBFTHNNFR-HNNXBMFYSA-N 1 2 302.422 1.689 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)nn(CCC)c1C ZINC001085915925 760532884 /nfs/dbraw/zinc/53/28/84/760532884.db2.gz NHIJTYBFTHNNFR-HNNXBMFYSA-N 1 2 302.422 1.689 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2C/C=C\Cl)nc1 ZINC001085933977 760563992 /nfs/dbraw/zinc/56/39/92/760563992.db2.gz OROPDKBHSFHQPR-SMGNDMQFSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2C/C=C\Cl)nc1 ZINC001085933977 760563996 /nfs/dbraw/zinc/56/39/96/760563996.db2.gz OROPDKBHSFHQPR-SMGNDMQFSA-N 1 2 303.793 1.962 20 30 DDEDLO Cc1nsc(N[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001098007132 760770435 /nfs/dbraw/zinc/77/04/35/760770435.db2.gz UFLITSCQGUUDGJ-QMMMGPOBSA-N 1 2 304.379 1.206 20 30 DDEDLO CCn1ncc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n1 ZINC001038231438 760933401 /nfs/dbraw/zinc/93/34/01/760933401.db2.gz OLALDZUPWFNPII-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1ncc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)n1 ZINC001038231438 760933410 /nfs/dbraw/zinc/93/34/10/760933410.db2.gz OLALDZUPWFNPII-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1scnc1C ZINC001038709627 761313030 /nfs/dbraw/zinc/31/30/30/761313030.db2.gz GVPSQHNBGGFTIK-MJBXVCDLSA-N 1 2 321.446 1.733 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1scnc1C ZINC001038709627 761313041 /nfs/dbraw/zinc/31/30/41/761313041.db2.gz GVPSQHNBGGFTIK-MJBXVCDLSA-N 1 2 321.446 1.733 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cncs1 ZINC001038714984 761319463 /nfs/dbraw/zinc/31/94/63/761319463.db2.gz ZNLVUXFBDANKHD-YUTCNCBUSA-N 1 2 307.419 1.425 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cncs1 ZINC001038714984 761319476 /nfs/dbraw/zinc/31/94/76/761319476.db2.gz ZNLVUXFBDANKHD-YUTCNCBUSA-N 1 2 307.419 1.425 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1c(F)cccc1NC(C)=O ZINC001038783450 761406654 /nfs/dbraw/zinc/40/66/54/761406654.db2.gz XSRJABNFRFGWNI-LBPRGKRZSA-N 1 2 303.337 1.221 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(F)cccc1NC(C)=O ZINC001038783450 761406657 /nfs/dbraw/zinc/40/66/57/761406657.db2.gz XSRJABNFRFGWNI-LBPRGKRZSA-N 1 2 303.337 1.221 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc3cccnn32)C1 ZINC001108261704 761425711 /nfs/dbraw/zinc/42/57/11/761425711.db2.gz DCIRSUTWJHQURI-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc3cccnn32)C1 ZINC001108261704 761425717 /nfs/dbraw/zinc/42/57/17/761425717.db2.gz DCIRSUTWJHQURI-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO CCn1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)nn1 ZINC001038852432 761476726 /nfs/dbraw/zinc/47/67/26/761476726.db2.gz BDPJOWXECNIVHJ-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)nn1 ZINC001038852432 761476728 /nfs/dbraw/zinc/47/67/28/761476728.db2.gz BDPJOWXECNIVHJ-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C)nc1OCC ZINC001038859399 761483595 /nfs/dbraw/zinc/48/35/95/761483595.db2.gz GDAGKVOMDXHDDS-CQSZACIVSA-N 1 2 301.390 1.616 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(C)nc1OCC ZINC001038859399 761483598 /nfs/dbraw/zinc/48/35/98/761483598.db2.gz GDAGKVOMDXHDDS-CQSZACIVSA-N 1 2 301.390 1.616 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1coc(C2CCOCC2)n1 ZINC001038889190 761514951 /nfs/dbraw/zinc/51/49/51/761514951.db2.gz JUYJESKFCOTNMV-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1coc(C2CCOCC2)n1 ZINC001038889190 761514957 /nfs/dbraw/zinc/51/49/57/761514957.db2.gz JUYJESKFCOTNMV-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ncccc1OC(C)C ZINC001038976304 761609858 /nfs/dbraw/zinc/60/98/58/761609858.db2.gz NEPPJIPITGIRGQ-AWEZNQCLSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ncccc1OC(C)C ZINC001038976304 761609861 /nfs/dbraw/zinc/60/98/61/761609861.db2.gz NEPPJIPITGIRGQ-AWEZNQCLSA-N 1 2 301.390 1.696 20 30 DDEDLO C#CC[NH+]1CCC(C2(NC(=O)c3ccc4[nH]nnc4c3)CC2)CC1 ZINC001000327568 761645358 /nfs/dbraw/zinc/64/53/58/761645358.db2.gz BUIMVIAPGHIPNM-UHFFFAOYSA-N 1 2 323.400 1.566 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC001000515049 761860821 /nfs/dbraw/zinc/86/08/21/761860821.db2.gz HKTLZVQNCOFNAR-CHWSQXEVSA-N 1 2 312.797 1.296 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC001000515049 761860829 /nfs/dbraw/zinc/86/08/29/761860829.db2.gz HKTLZVQNCOFNAR-CHWSQXEVSA-N 1 2 312.797 1.296 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CCC3(C)CC3)CC2=O)C1 ZINC001108583666 762750620 /nfs/dbraw/zinc/75/06/20/762750620.db2.gz PBBCMTNWVPGXOR-CQSZACIVSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@@H](CC)C(C)C)CC2=O)C1 ZINC001108587042 762757206 /nfs/dbraw/zinc/75/72/06/762757206.db2.gz HNGLMEGHJGNRCU-HUUCEWRRSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CCCCCC)CC2=O)C1 ZINC001108588770 762773259 /nfs/dbraw/zinc/77/32/59/762773259.db2.gz JBCKYCPWUJOSDJ-HNNXBMFYSA-N 1 2 321.465 1.934 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C(C)(CC)CC)CC2=O)C1 ZINC001108590646 762781059 /nfs/dbraw/zinc/78/10/59/762781059.db2.gz OFEXQXPWLASBRN-CQSZACIVSA-N 1 2 321.465 1.790 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001108707427 762878001 /nfs/dbraw/zinc/87/80/01/762878001.db2.gz GDARHYGXDTZKSX-IACUBPJLSA-N 1 2 324.388 1.407 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C)no1 ZINC001109265985 763527891 /nfs/dbraw/zinc/52/78/91/763527891.db2.gz JIVVSRHCYFYRQG-VDERGJSUSA-N 1 2 317.389 1.243 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C)no1 ZINC001109265985 763527898 /nfs/dbraw/zinc/52/78/98/763527898.db2.gz JIVVSRHCYFYRQG-VDERGJSUSA-N 1 2 317.389 1.243 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)CCc3nnc[nH]3)C[C@H]21 ZINC001042005031 763556388 /nfs/dbraw/zinc/55/63/88/763556388.db2.gz BUQPBHLEHPRKDH-CHWSQXEVSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)CCc3nnc[nH]3)C[C@H]21 ZINC001042005031 763556392 /nfs/dbraw/zinc/55/63/92/763556392.db2.gz BUQPBHLEHPRKDH-CHWSQXEVSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)CCc3nc[nH]n3)C[C@H]21 ZINC001042005031 763556397 /nfs/dbraw/zinc/55/63/97/763556397.db2.gz BUQPBHLEHPRKDH-CHWSQXEVSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)CCc3nc[nH]n3)C[C@H]21 ZINC001042005031 763556399 /nfs/dbraw/zinc/55/63/99/763556399.db2.gz BUQPBHLEHPRKDH-CHWSQXEVSA-N 1 2 323.828 1.413 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C=C)CC)C2 ZINC001109634284 763885639 /nfs/dbraw/zinc/88/56/39/763885639.db2.gz JEUNSUJOJKPRSF-FZKCQIBNSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C=C)CC)C2 ZINC001109634284 763885647 /nfs/dbraw/zinc/88/56/47/763885647.db2.gz JEUNSUJOJKPRSF-FZKCQIBNSA-N 1 2 317.433 1.060 20 30 DDEDLO C=CCCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3[C@H](C)C(N)=O)CC1 ZINC001109681692 763939932 /nfs/dbraw/zinc/93/99/32/763939932.db2.gz DOAXSBAUDZDKCP-MQYQWHSLSA-N 1 2 305.422 1.328 20 30 DDEDLO C=CCCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3[C@H](C)C(N)=O)CC1 ZINC001109681692 763939938 /nfs/dbraw/zinc/93/99/38/763939938.db2.gz DOAXSBAUDZDKCP-MQYQWHSLSA-N 1 2 305.422 1.328 20 30 DDEDLO N#Cc1ccc(NC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C2CC2)nc1 ZINC001109821177 764104027 /nfs/dbraw/zinc/10/40/27/764104027.db2.gz YNIDEBHAVISQSW-AWEZNQCLSA-N 1 2 324.388 1.616 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2NC[C@H](NC(=O)C#CC1CC1)C1CC1 ZINC001109843862 764124856 /nfs/dbraw/zinc/12/48/56/764124856.db2.gz CUGOINJIMXHFTK-AWEZNQCLSA-N 1 2 324.388 1.083 20 30 DDEDLO N#Cc1ccc(NC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C2CC2)cn1 ZINC001110005257 764285613 /nfs/dbraw/zinc/28/56/13/764285613.db2.gz NTUWYWZGIGVLAL-MRXNPFEDSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C2CC2)cn1 ZINC001110005257 764285621 /nfs/dbraw/zinc/28/56/21/764285621.db2.gz NTUWYWZGIGVLAL-MRXNPFEDSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@H]1CC[C@@H](C[NH+]2CC(N(C)C(=O)c3c[nH]c(C#N)c3)C2)O1 ZINC001042638467 764298801 /nfs/dbraw/zinc/29/88/01/764298801.db2.gz JMVQFUNEMKITAY-NHYWBVRUSA-N 1 2 302.378 1.210 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1CC(C)C ZINC001050943785 764318856 /nfs/dbraw/zinc/31/88/56/764318856.db2.gz QWLJTLKKVHMYIU-HNNXBMFYSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1CC(C)C ZINC001050943785 764318861 /nfs/dbraw/zinc/31/88/61/764318861.db2.gz QWLJTLKKVHMYIU-HNNXBMFYSA-N 1 2 320.437 1.546 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn2c1CCC2 ZINC001050947408 764326408 /nfs/dbraw/zinc/32/64/08/764326408.db2.gz VXVKNEAXWCVNBB-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn2c1CCC2 ZINC001050947408 764326412 /nfs/dbraw/zinc/32/64/12/764326412.db2.gz VXVKNEAXWCVNBB-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cncc(CC)c2)[C@@H](O)C1 ZINC001090436280 764346854 /nfs/dbraw/zinc/34/68/54/764346854.db2.gz PIAYTYDRAVRRGH-CABCVRRESA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cncc(CC)c2)[C@@H](O)C1 ZINC001090436280 764346862 /nfs/dbraw/zinc/34/68/62/764346862.db2.gz PIAYTYDRAVRRGH-CABCVRRESA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)ncn1C ZINC001050967162 764362258 /nfs/dbraw/zinc/36/22/58/764362258.db2.gz UQTCXOVWKDTKTN-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)ncn1C ZINC001050967162 764362265 /nfs/dbraw/zinc/36/22/65/764362265.db2.gz UQTCXOVWKDTKTN-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO C[C@@H]1CN(c2ncccc2C#N)CC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067407320 764401114 /nfs/dbraw/zinc/40/11/14/764401114.db2.gz FHYHHHRQMWDYQU-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncc2[nH]ccc21 ZINC001051005392 764408333 /nfs/dbraw/zinc/40/83/33/764408333.db2.gz ZAODYXCDQCIZOO-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncc2[nH]ccc21 ZINC001051005392 764408341 /nfs/dbraw/zinc/40/83/41/764408341.db2.gz ZAODYXCDQCIZOO-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccn2ccccc12 ZINC001051019129 764423031 /nfs/dbraw/zinc/42/30/31/764423031.db2.gz BWJVBFRCXHXLJJ-OAHLLOKOSA-N 1 2 313.401 1.946 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccn2ccccc12 ZINC001051019129 764423035 /nfs/dbraw/zinc/42/30/35/764423035.db2.gz BWJVBFRCXHXLJJ-OAHLLOKOSA-N 1 2 313.401 1.946 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncc(CC)c1 ZINC001051024281 764427628 /nfs/dbraw/zinc/42/76/28/764427628.db2.gz AYJYDJMCEPYKQC-INIZCTEOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncc(CC)c1 ZINC001051024281 764427633 /nfs/dbraw/zinc/42/76/33/764427633.db2.gz AYJYDJMCEPYKQC-INIZCTEOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)N(C(CC)CC)C2)C1 ZINC001042835908 764476317 /nfs/dbraw/zinc/47/63/17/764476317.db2.gz WGWOLEDUFTZOEV-AWEZNQCLSA-N 1 2 321.465 1.742 20 30 DDEDLO Cc1nc(N2CCC[C@@H](N(C)C(=O)[C@H](C)C#N)CC2)cc[nH+]1 ZINC001112625240 764492229 /nfs/dbraw/zinc/49/22/29/764492229.db2.gz PZFUDMQDEINVFE-TZMCWYRMSA-N 1 2 301.394 1.762 20 30 DDEDLO N#CCSCC(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001112630110 764498043 /nfs/dbraw/zinc/49/80/43/764498043.db2.gz KVSWYCUBUNPHOP-UHFFFAOYSA-N 1 2 311.451 1.204 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnoc1CC ZINC001051143630 764548753 /nfs/dbraw/zinc/54/87/53/764548753.db2.gz HWEHGPBMROXNHD-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnoc1CC ZINC001051143630 764548758 /nfs/dbraw/zinc/54/87/58/764548758.db2.gz HWEHGPBMROXNHD-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc2c(c1)COC2 ZINC001051161362 764567731 /nfs/dbraw/zinc/56/77/31/764567731.db2.gz DFMPZDRVUHDMHH-QGZVFWFLSA-N 1 2 316.401 1.724 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc2c(c1)COC2 ZINC001051161362 764567737 /nfs/dbraw/zinc/56/77/37/764567737.db2.gz DFMPZDRVUHDMHH-QGZVFWFLSA-N 1 2 316.401 1.724 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(N(C)C)cc1 ZINC001051226122 764644282 /nfs/dbraw/zinc/64/42/82/764644282.db2.gz MJKPEBPEXWJCDV-KRWDZBQOSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(N(C)C)cc1 ZINC001051226122 764644287 /nfs/dbraw/zinc/64/42/87/764644287.db2.gz MJKPEBPEXWJCDV-KRWDZBQOSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(C)nc1C ZINC001051257536 764681181 /nfs/dbraw/zinc/68/11/81/764681181.db2.gz HHFJNHPBVTUIMX-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(C)nc1C ZINC001051257536 764681187 /nfs/dbraw/zinc/68/11/87/764681187.db2.gz HHFJNHPBVTUIMX-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2ncccc2C)CC1 ZINC001112801094 764790372 /nfs/dbraw/zinc/79/03/72/764790372.db2.gz FHXVKFGVLZSMSL-KRWDZBQOSA-N 1 2 303.406 1.361 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001112809261 764791281 /nfs/dbraw/zinc/79/12/81/764791281.db2.gz AYXOCUONGHVPMP-INIZCTEOSA-N 1 2 308.422 1.130 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@@H]3CC[C@@H](C)O3)C2)cc1 ZINC001043505568 764949057 /nfs/dbraw/zinc/94/90/57/764949057.db2.gz WLGVNSFINZOBNE-KDOFPFPSSA-N 1 2 312.413 1.992 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCc3cnn(C)c3C2)C1 ZINC001043643847 765023386 /nfs/dbraw/zinc/02/33/86/765023386.db2.gz ZIGALBFIJDYOGO-ZDUSSCGKSA-N 1 2 302.422 1.244 20 30 DDEDLO Cc1nc(NC2CC(CNC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001051890546 765253664 /nfs/dbraw/zinc/25/36/64/765253664.db2.gz HBOBLKOLDLDAHX-UHFFFAOYSA-N 1 2 310.361 1.605 20 30 DDEDLO CC(=O)N1CC[C@@H](N2CC[NH+](Cc3cccc(C#N)c3)CC2)C1 ZINC001051956449 765308597 /nfs/dbraw/zinc/30/85/97/765308597.db2.gz NXNSZECCKYVGIQ-GOSISDBHSA-N 1 2 312.417 1.297 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3CC=CCC3)C2)CC1 ZINC001051982123 765345050 /nfs/dbraw/zinc/34/50/50/765345050.db2.gz YIGFXFNMQUBLRL-SJORKVTESA-N 1 2 301.434 1.194 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3CC=CCC3)C2)CC1 ZINC001051981463 765345411 /nfs/dbraw/zinc/34/54/11/765345411.db2.gz PZOPGUUIGZLIMM-ZWKOTPCHSA-N 1 2 315.461 1.585 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)c3ccc[nH]3)C2)CC1 ZINC001051982323 765346401 /nfs/dbraw/zinc/34/64/01/765346401.db2.gz DBIXVWXSUPLVHI-HNNXBMFYSA-N 1 2 302.422 1.423 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)C(F)=C3CCCC3)C2)CC1 ZINC001052013400 765381454 /nfs/dbraw/zinc/38/14/54/765381454.db2.gz XDUGZNSSNQBTJY-OAHLLOKOSA-N 1 2 320.412 1.526 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001052026805 765403418 /nfs/dbraw/zinc/40/34/18/765403418.db2.gz UGBWNEWRSAVGKH-MRXNPFEDSA-N 1 2 321.852 1.371 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(OC)c(C)c(OC)c2)C1 ZINC001044268828 765437507 /nfs/dbraw/zinc/43/75/07/765437507.db2.gz YNXHKNHXNQXKRW-UHFFFAOYSA-N 1 2 316.401 1.792 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)Cc3cnoc3)C2)CC1 ZINC001052068111 765441898 /nfs/dbraw/zinc/44/18/98/765441898.db2.gz WAOTWIXFEGMHNW-INIZCTEOSA-N 1 2 318.421 1.012 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001113243587 765477889 /nfs/dbraw/zinc/47/78/89/765477889.db2.gz KQDHTHAJROHWBA-SJORKVTESA-N 1 2 324.465 1.929 20 30 DDEDLO CCC(C)(CC)C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052119234 765482740 /nfs/dbraw/zinc/48/27/40/765482740.db2.gz MWLABFTUHDQZQQ-HNNXBMFYSA-N 1 2 306.454 1.555 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](NC(=O)CCOC)CC[C@@H]1C ZINC001131735162 768304864 /nfs/dbraw/zinc/30/48/64/768304864.db2.gz PKDXQIUJCVYNPX-RYUDHWBXSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](NC(=O)CCOC)CC[C@@H]1C ZINC001131735162 768304870 /nfs/dbraw/zinc/30/48/70/768304870.db2.gz PKDXQIUJCVYNPX-RYUDHWBXSA-N 1 2 319.243 1.901 20 30 DDEDLO C[C@@H]1C[C@@H](NCC#N)CCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001044802656 765822938 /nfs/dbraw/zinc/82/29/38/765822938.db2.gz XHUWBTKCLITCOF-ZBFHGGJFSA-N 1 2 323.400 1.979 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)N(C)c1ncnc2c1C[N@H+](C)CC2 ZINC001113562250 765867998 /nfs/dbraw/zinc/86/79/98/765867998.db2.gz HLFMYULOVWOFKI-ZDUSSCGKSA-N 1 2 317.437 1.372 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)N(C)c1ncnc2c1C[N@@H+](C)CC2 ZINC001113562250 765868005 /nfs/dbraw/zinc/86/80/05/765868005.db2.gz HLFMYULOVWOFKI-ZDUSSCGKSA-N 1 2 317.437 1.372 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001057772179 765904270 /nfs/dbraw/zinc/90/42/70/765904270.db2.gz ZFNVSEHUGNAILQ-OAHLLOKOSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001057772179 765904274 /nfs/dbraw/zinc/90/42/74/765904274.db2.gz ZFNVSEHUGNAILQ-OAHLLOKOSA-N 1 2 324.388 1.712 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccc(C)o2)CC[C@H]1C ZINC001131768420 768335180 /nfs/dbraw/zinc/33/51/80/768335180.db2.gz QFGXWTWTASKQEZ-OCCSQVGLSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccc(C)o2)CC[C@H]1C ZINC001131768420 768335186 /nfs/dbraw/zinc/33/51/86/768335186.db2.gz QFGXWTWTASKQEZ-OCCSQVGLSA-N 1 2 319.405 1.473 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)N(C)c1ccc(C#N)nc1 ZINC001113682066 766014627 /nfs/dbraw/zinc/01/46/27/766014627.db2.gz QUNJAYBKZOAYQL-NSHDSACASA-N 1 2 312.377 1.168 20 30 DDEDLO CCc1nnc(C[NH2+]C[C@@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC001045030841 766049581 /nfs/dbraw/zinc/04/95/81/766049581.db2.gz XUAOFYMKRUNCLV-ZDUSSCGKSA-N 1 2 302.378 1.126 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccco2)CC[C@@H]1C ZINC001131759955 768347690 /nfs/dbraw/zinc/34/76/90/768347690.db2.gz DNCLMECUDXWWCC-QWHCGFSZSA-N 1 2 305.378 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccco2)CC[C@@H]1C ZINC001131759955 768347697 /nfs/dbraw/zinc/34/76/97/768347697.db2.gz DNCLMECUDXWWCC-QWHCGFSZSA-N 1 2 305.378 1.165 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@H]1CCCN(c2ccc(C#N)cn2)C1 ZINC001058177312 766289786 /nfs/dbraw/zinc/28/97/86/766289786.db2.gz WBTAOFGLWDYKBY-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CCCC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001045512135 766366485 /nfs/dbraw/zinc/36/64/85/766366485.db2.gz NUEFBEQKKNGNNI-HZPDHXFCSA-N 1 2 324.388 1.111 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)c(C)c(C)[nH+]1 ZINC001058322697 766403612 /nfs/dbraw/zinc/40/36/12/766403612.db2.gz HZGQSPRWCOTARC-CQSZACIVSA-N 1 2 324.388 1.610 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cscn1 ZINC001045736394 766545052 /nfs/dbraw/zinc/54/50/52/766545052.db2.gz ZVSLFNMERKTNDJ-BNOWGMLFSA-N 1 2 304.419 1.868 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cscn1 ZINC001045736394 766545058 /nfs/dbraw/zinc/54/50/58/766545058.db2.gz ZVSLFNMERKTNDJ-BNOWGMLFSA-N 1 2 304.419 1.868 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccc(C#N)nc1 ZINC001067542273 766605212 /nfs/dbraw/zinc/60/52/12/766605212.db2.gz JLPVYUOKDASEKV-KGLIPLIRSA-N 1 2 324.388 1.323 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](CCCC)CNc1cc[nH+]c(C)n1 ZINC001114281804 766851097 /nfs/dbraw/zinc/85/10/97/766851097.db2.gz LGVJYIITFCWTMH-HIFRSBDPSA-N 1 2 318.421 1.910 20 30 DDEDLO C=CCn1c([C@@H]2C[N@H+](C(C)C)CCO2)nnc1N1CCOCC1 ZINC001121595070 782582598 /nfs/dbraw/zinc/58/25/98/782582598.db2.gz IGZDPAZTIWTZJK-AWEZNQCLSA-N 1 2 321.425 1.082 20 30 DDEDLO C=CCn1c([C@@H]2C[N@@H+](C(C)C)CCO2)nnc1N1CCOCC1 ZINC001121595070 782582602 /nfs/dbraw/zinc/58/26/02/782582602.db2.gz IGZDPAZTIWTZJK-AWEZNQCLSA-N 1 2 321.425 1.082 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C3CC4(CCC4)C3)CC2)C1 ZINC001046055800 766866104 /nfs/dbraw/zinc/86/61/04/766866104.db2.gz RHIDKLQYUASFSD-INIZCTEOSA-N 1 2 316.449 1.309 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)CC(C)C ZINC001121611525 782590816 /nfs/dbraw/zinc/59/08/16/782590816.db2.gz ZUANNJZNVHVVAC-KBPBESRZSA-N 1 2 307.442 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)CC(C)C ZINC001121611525 782590824 /nfs/dbraw/zinc/59/08/24/782590824.db2.gz ZUANNJZNVHVVAC-KBPBESRZSA-N 1 2 307.442 1.684 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@@H](C)N(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001068302126 767078638 /nfs/dbraw/zinc/07/86/38/767078638.db2.gz QQALICMDDNQKOX-DGCLKSJQSA-N 1 2 324.388 1.948 20 30 DDEDLO C[C@H]1C[C@@H](CNc2ccc(C#N)cn2)CN1C(=O)Cn1cc[nH+]c1 ZINC001068334960 767115412 /nfs/dbraw/zinc/11/54/12/767115412.db2.gz DYTMQJWFEDJNTO-ZFWWWQNUSA-N 1 2 324.388 1.499 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cc3n(n2)CCC3)C1 ZINC001046363144 767471949 /nfs/dbraw/zinc/47/19/49/767471949.db2.gz WPAZVMFXTRBWSO-HNNXBMFYSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cc3n(n2)CCC3)C1 ZINC001046363144 767471951 /nfs/dbraw/zinc/47/19/51/767471951.db2.gz WPAZVMFXTRBWSO-HNNXBMFYSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2CC3(CCC3)C2)[C@H](O)C1 ZINC001090496894 767813430 /nfs/dbraw/zinc/81/34/30/767813430.db2.gz SEMBQTPUHDXYJL-ZIAGYGMSSA-N 1 2 312.841 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2CC3(CCC3)C2)[C@H](O)C1 ZINC001090496894 767813436 /nfs/dbraw/zinc/81/34/36/767813436.db2.gz SEMBQTPUHDXYJL-ZIAGYGMSSA-N 1 2 312.841 1.871 20 30 DDEDLO CCCCCC(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001131824349 768390685 /nfs/dbraw/zinc/39/06/85/768390685.db2.gz AMAZHXUTFQVKRQ-KBPBESRZSA-N 1 2 308.426 1.176 20 30 DDEDLO CCCCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001131824349 768390695 /nfs/dbraw/zinc/39/06/95/768390695.db2.gz AMAZHXUTFQVKRQ-KBPBESRZSA-N 1 2 308.426 1.176 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CNC(=O)C2CC2)CC[C@@H]1C ZINC001131830833 768411283 /nfs/dbraw/zinc/41/12/83/768411283.db2.gz VSYAGDCHDVVDPT-AAEUAGOBSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CNC(=O)C2CC2)CC[C@@H]1C ZINC001131830833 768411287 /nfs/dbraw/zinc/41/12/87/768411287.db2.gz VSYAGDCHDVVDPT-AAEUAGOBSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CSCC#N)CC[C@H]1C ZINC001131864638 768440755 /nfs/dbraw/zinc/44/07/55/768440755.db2.gz DYXOLWKBJDFRJP-NEPJUHHUSA-N 1 2 301.843 1.965 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CSCC#N)CC[C@H]1C ZINC001131864638 768440758 /nfs/dbraw/zinc/44/07/58/768440758.db2.gz DYXOLWKBJDFRJP-NEPJUHHUSA-N 1 2 301.843 1.965 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2nc3ccccc3cc2C)C1 ZINC001047462847 768495809 /nfs/dbraw/zinc/49/58/09/768495809.db2.gz NEHXZHSEUOVIDD-IRXDYDNUSA-N 1 2 323.396 1.294 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2nc3ccccc3cc2C)C1 ZINC001047462847 768495814 /nfs/dbraw/zinc/49/58/14/768495814.db2.gz NEHXZHSEUOVIDD-IRXDYDNUSA-N 1 2 323.396 1.294 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N(C)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047490869 768515335 /nfs/dbraw/zinc/51/53/35/768515335.db2.gz FDSRKNFZMXVWGY-DKIMLUQUSA-N 1 2 312.413 1.198 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N(C)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047490869 768515339 /nfs/dbraw/zinc/51/53/39/768515339.db2.gz FDSRKNFZMXVWGY-DKIMLUQUSA-N 1 2 312.413 1.198 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001131973123 768518631 /nfs/dbraw/zinc/51/86/31/768518631.db2.gz YINWPUICOOXMCY-HUUCEWRRSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001131973123 768518634 /nfs/dbraw/zinc/51/86/34/768518634.db2.gz YINWPUICOOXMCY-HUUCEWRRSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cc(OC)no2)C1 ZINC001132003023 768554905 /nfs/dbraw/zinc/55/49/05/768554905.db2.gz PIEXAOVYNNFEOX-KGLIPLIRSA-N 1 2 319.405 1.956 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cc(OC)no2)C1 ZINC001132003023 768554907 /nfs/dbraw/zinc/55/49/07/768554907.db2.gz PIEXAOVYNNFEOX-KGLIPLIRSA-N 1 2 319.405 1.956 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132021920 768559295 /nfs/dbraw/zinc/55/92/95/768559295.db2.gz ROMGZLDCOLJICL-TZMCWYRMSA-N 1 2 320.462 1.975 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132021920 768559298 /nfs/dbraw/zinc/55/92/98/768559298.db2.gz ROMGZLDCOLJICL-TZMCWYRMSA-N 1 2 320.462 1.975 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc([C@@H](C)CC)no2)C1 ZINC001047553886 768565116 /nfs/dbraw/zinc/56/51/16/768565116.db2.gz CDFLZBUQLWKHKV-QEJZJMRPSA-N 1 2 319.405 1.329 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc([C@@H](C)CC)no2)C1 ZINC001047553886 768565120 /nfs/dbraw/zinc/56/51/20/768565120.db2.gz CDFLZBUQLWKHKV-QEJZJMRPSA-N 1 2 319.405 1.329 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2C(F)F)C1 ZINC001047612008 768609824 /nfs/dbraw/zinc/60/98/24/768609824.db2.gz POXXQGNASMQGFA-QWRGUYRKSA-N 1 2 314.357 1.436 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2C(F)F)C1 ZINC001047612008 768609828 /nfs/dbraw/zinc/60/98/28/768609828.db2.gz POXXQGNASMQGFA-QWRGUYRKSA-N 1 2 314.357 1.436 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C(C)(C)C(N)=O)CC[C@H]1C ZINC001132120787 768630298 /nfs/dbraw/zinc/63/02/98/768630298.db2.gz XMSDZRDRSICMPK-GHMZBOCLSA-N 1 2 301.818 1.220 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C(C)(C)C(N)=O)CC[C@H]1C ZINC001132120787 768630301 /nfs/dbraw/zinc/63/03/01/768630301.db2.gz XMSDZRDRSICMPK-GHMZBOCLSA-N 1 2 301.818 1.220 20 30 DDEDLO CC(C)[C@H](C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070696302 768677374 /nfs/dbraw/zinc/67/73/74/768677374.db2.gz MBGOGBMZCZKRIW-BFHYXJOUSA-N 1 2 303.410 1.120 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C2(C)CCCC2)CC[C@H]1C ZINC001132201460 768684700 /nfs/dbraw/zinc/68/47/00/768684700.db2.gz YUHOAPWRGOHOAG-CABCVRRESA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C2(C)CCCC2)CC[C@H]1C ZINC001132201460 768684703 /nfs/dbraw/zinc/68/47/03/768684703.db2.gz YUHOAPWRGOHOAG-CABCVRRESA-N 1 2 319.449 1.285 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+][C@H](C)c2csnn2)cc1 ZINC001132222721 768695150 /nfs/dbraw/zinc/69/51/50/768695150.db2.gz HLQZFJNMVBGAKO-LLVKDONJSA-N 1 2 300.387 1.600 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001070888329 768772160 /nfs/dbraw/zinc/77/21/60/768772160.db2.gz NDNPIDRBTNDFTJ-OAHLLOKOSA-N 1 2 316.405 1.109 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001070888329 768772165 /nfs/dbraw/zinc/77/21/65/768772165.db2.gz NDNPIDRBTNDFTJ-OAHLLOKOSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC2(C)C)CC1 ZINC001070955370 768811438 /nfs/dbraw/zinc/81/14/38/768811438.db2.gz UCLNFBNESYFKHT-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC2(C)C)CC1 ZINC001070955370 768811445 /nfs/dbraw/zinc/81/14/45/768811445.db2.gz UCLNFBNESYFKHT-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@]2(C)CCC[C@H]2C)CC1 ZINC001070996139 768858291 /nfs/dbraw/zinc/85/82/91/768858291.db2.gz JIUPZKCZDHEVOG-CRAIPNDOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@]2(C)CCC[C@H]2C)CC1 ZINC001070996139 768858304 /nfs/dbraw/zinc/85/83/04/768858304.db2.gz JIUPZKCZDHEVOG-CRAIPNDOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001132650318 769067235 /nfs/dbraw/zinc/06/72/35/769067235.db2.gz JNLYWNIJVUUVGR-NWDGAFQWSA-N 1 2 310.398 1.585 20 30 DDEDLO N#Cc1cccnc1N1CC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001096370385 769448026 /nfs/dbraw/zinc/44/80/26/769448026.db2.gz OGULYDOIBLWTGF-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](NC(=O)CCCn3cc[nH+]c3)C2)cn1 ZINC001096395102 769644712 /nfs/dbraw/zinc/64/47/12/769644712.db2.gz KQMQHXSEYDYZDM-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)CC[C@@H]1C ZINC001071576817 769653835 /nfs/dbraw/zinc/65/38/35/769653835.db2.gz PTODOFRSICNPFF-QWRGUYRKSA-N 1 2 308.813 1.841 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)CC[C@@H]1C ZINC001071576817 769653837 /nfs/dbraw/zinc/65/38/37/769653837.db2.gz PTODOFRSICNPFF-QWRGUYRKSA-N 1 2 308.813 1.841 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001133380405 769761294 /nfs/dbraw/zinc/76/12/94/769761294.db2.gz FMWREFMHAOXRQV-MLGOLLRUSA-N 1 2 324.425 1.975 20 30 DDEDLO C[C@H](c1csnn1)[N@H+]1C[C@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C1 ZINC001048724738 769773796 /nfs/dbraw/zinc/77/37/96/769773796.db2.gz FOKWMZDCQUPIDG-WHOHXGKFSA-N 1 2 305.407 1.149 20 30 DDEDLO C[C@H](c1csnn1)[N@@H+]1C[C@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C1 ZINC001048724738 769773799 /nfs/dbraw/zinc/77/37/99/769773799.db2.gz FOKWMZDCQUPIDG-WHOHXGKFSA-N 1 2 305.407 1.149 20 30 DDEDLO CC(C)c1noc(C[N@H+]2C[C@H]3CN(C(=O)[C@H](C)C#N)C[C@H]3C2)n1 ZINC001048727973 769781981 /nfs/dbraw/zinc/78/19/81/769781981.db2.gz QBPXFNXLADITGZ-UPJWGTAASA-N 1 2 317.393 1.243 20 30 DDEDLO CC(C)c1noc(C[N@@H+]2C[C@H]3CN(C(=O)[C@H](C)C#N)C[C@H]3C2)n1 ZINC001048727973 769781989 /nfs/dbraw/zinc/78/19/89/769781989.db2.gz QBPXFNXLADITGZ-UPJWGTAASA-N 1 2 317.393 1.243 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[N@@H+](Cc3nccn3C(F)F)C[C@@H]2C1 ZINC001048727885 769782028 /nfs/dbraw/zinc/78/20/28/769782028.db2.gz OKIPTTDZXRLMBO-SDDRHHMPSA-N 1 2 323.347 1.328 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[N@H+](Cc3nccn3C(F)F)C[C@@H]2C1 ZINC001048727885 769782037 /nfs/dbraw/zinc/78/20/37/769782037.db2.gz OKIPTTDZXRLMBO-SDDRHHMPSA-N 1 2 323.347 1.328 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1CC([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001100197807 770355487 /nfs/dbraw/zinc/35/54/87/770355487.db2.gz DQXMNFSUNRZYDD-BMQDGWLCSA-N 1 2 303.366 1.091 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](NC(=O)CCc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001071981742 770379327 /nfs/dbraw/zinc/37/93/27/770379327.db2.gz QSJGITROFWBTGA-ZFWWWQNUSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](NC(=O)CCc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001071981742 770379339 /nfs/dbraw/zinc/37/93/39/770379339.db2.gz QSJGITROFWBTGA-ZFWWWQNUSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2cc(C(N)=O)cs2)CC[C@@H]1C ZINC001072098463 770540152 /nfs/dbraw/zinc/54/01/52/770540152.db2.gz BYSZQMAJWPOARS-CMPLNLGQSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2cc(C(N)=O)cs2)CC[C@@H]1C ZINC001072098463 770540159 /nfs/dbraw/zinc/54/01/59/770540159.db2.gz BYSZQMAJWPOARS-CMPLNLGQSA-N 1 2 305.403 1.063 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C#CC1CC1 ZINC001049470645 770896502 /nfs/dbraw/zinc/89/65/02/770896502.db2.gz HVRFENUVPYIJOC-HZPDHXFCSA-N 1 2 312.417 1.399 20 30 DDEDLO Cn1ccnc1C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C#CC1CC1 ZINC001049470645 770896513 /nfs/dbraw/zinc/89/65/13/770896513.db2.gz HVRFENUVPYIJOC-HZPDHXFCSA-N 1 2 312.417 1.399 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)C1=NC(=O)N(C)C1 ZINC001049691334 771090119 /nfs/dbraw/zinc/09/01/19/771090119.db2.gz WFARAXVEFJUJKL-KBPBESRZSA-N 1 2 304.394 1.381 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)C1=NC(=O)N(C)C1 ZINC001049691334 771090123 /nfs/dbraw/zinc/09/01/23/771090123.db2.gz WFARAXVEFJUJKL-KBPBESRZSA-N 1 2 304.394 1.381 20 30 DDEDLO C#CCC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCc3[nH]ncc31)C2 ZINC001097079069 771613765 /nfs/dbraw/zinc/61/37/65/771613765.db2.gz HGCNVMAZMCGPEQ-KFZJALRRSA-N 1 2 312.417 1.574 20 30 DDEDLO C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCc3[nH]ncc31)C2 ZINC001097079069 771613769 /nfs/dbraw/zinc/61/37/69/771613769.db2.gz HGCNVMAZMCGPEQ-KFZJALRRSA-N 1 2 312.417 1.574 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(F)CCCCC2)[C@H](O)C1 ZINC001090700768 772126695 /nfs/dbraw/zinc/12/66/95/772126695.db2.gz NUNSXVVJELAJTM-CHWSQXEVSA-N 1 2 318.820 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(F)CCCCC2)[C@H](O)C1 ZINC001090700768 772126696 /nfs/dbraw/zinc/12/66/96/772126696.db2.gz NUNSXVVJELAJTM-CHWSQXEVSA-N 1 2 318.820 1.963 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001090807238 772236803 /nfs/dbraw/zinc/23/68/03/772236803.db2.gz QDGJXXDLRJSCHA-UKRRQHHQSA-N 1 2 300.406 1.920 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)[C@@H](O)C1 ZINC001090840497 772256230 /nfs/dbraw/zinc/25/62/30/772256230.db2.gz APJAFEFZSJAVQM-RMCMBSFLSA-N 1 2 324.852 1.582 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)[C@@H](O)C1 ZINC001090840497 772256233 /nfs/dbraw/zinc/25/62/33/772256233.db2.gz APJAFEFZSJAVQM-RMCMBSFLSA-N 1 2 324.852 1.582 20 30 DDEDLO C=C(CCC(=O)OC)C(=O)NCCCCNc1cccc[nH+]1 ZINC001144169934 772430939 /nfs/dbraw/zinc/43/09/39/772430939.db2.gz CQSLLNGAWPYGFG-UHFFFAOYSA-N 1 2 305.378 1.899 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091353935 772701679 /nfs/dbraw/zinc/70/16/79/772701679.db2.gz JQTFISCCMWOPCA-BNOWGMLFSA-N 1 2 304.394 1.683 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CC[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001091598242 772942405 /nfs/dbraw/zinc/94/24/05/772942405.db2.gz GJDRZCRCTNYFJX-GXTWGEPZSA-N 1 2 324.388 1.038 20 30 DDEDLO C=CCC[C@@H](C)[NH2+]CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001147368834 773122349 /nfs/dbraw/zinc/12/23/49/773122349.db2.gz GPCNOSLNMHYHRW-GFCCVEGCSA-N 1 2 316.409 1.484 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nonc1C)C2 ZINC001148089107 773361310 /nfs/dbraw/zinc/36/13/10/773361310.db2.gz SWNVEGYOJQUNCX-QWHCGFSZSA-N 1 2 318.421 1.871 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nonc1C)C2 ZINC001148089107 773361314 /nfs/dbraw/zinc/36/13/14/773361314.db2.gz SWNVEGYOJQUNCX-QWHCGFSZSA-N 1 2 318.421 1.871 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001073812555 773436450 /nfs/dbraw/zinc/43/64/50/773436450.db2.gz YQBKBBITUDPZQX-JSGCOSHPSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001074035583 773598514 /nfs/dbraw/zinc/59/85/14/773598514.db2.gz DOYARZNWNOQIJQ-GJZGRUSLSA-N 1 2 316.405 1.040 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cccs3)C[C@@H]21 ZINC001074143796 773669110 /nfs/dbraw/zinc/66/91/10/773669110.db2.gz DUXWZXRXCUTJML-JKSUJKDBSA-N 1 2 318.442 1.616 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cccs3)C[C@@H]21 ZINC001074143796 773669114 /nfs/dbraw/zinc/66/91/14/773669114.db2.gz DUXWZXRXCUTJML-JKSUJKDBSA-N 1 2 318.442 1.616 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)oc3C)C[C@@H]21 ZINC001074162787 773685445 /nfs/dbraw/zinc/68/54/45/773685445.db2.gz DREJMBKJHCYIJL-IRXDYDNUSA-N 1 2 316.401 1.835 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)oc3C)C[C@@H]21 ZINC001074162787 773685449 /nfs/dbraw/zinc/68/54/49/773685449.db2.gz DREJMBKJHCYIJL-IRXDYDNUSA-N 1 2 316.401 1.835 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCn3cccn3)C[C@H]21 ZINC001074177875 773699780 /nfs/dbraw/zinc/69/97/80/773699780.db2.gz NARXQWHDWCJOFF-HZPDHXFCSA-N 1 2 318.421 1.151 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCn3cccn3)C[C@H]21 ZINC001074177875 773699784 /nfs/dbraw/zinc/69/97/84/773699784.db2.gz NARXQWHDWCJOFF-HZPDHXFCSA-N 1 2 318.421 1.151 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3C(C)(C)C3(C)C)C[C@@H]21 ZINC001074202020 773725107 /nfs/dbraw/zinc/72/51/07/773725107.db2.gz VDGDHMQBQSHWJY-GJZGRUSLSA-N 1 2 318.461 1.994 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3C(C)(C)C3(C)C)C[C@@H]21 ZINC001074202020 773725109 /nfs/dbraw/zinc/72/51/09/773725109.db2.gz VDGDHMQBQSHWJY-GJZGRUSLSA-N 1 2 318.461 1.994 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001074264280 773777649 /nfs/dbraw/zinc/77/76/49/773777649.db2.gz UPDBQULHABOZNJ-GXTWGEPZSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(Cl)[nH]3)C[C@H]21 ZINC001074336730 773834423 /nfs/dbraw/zinc/83/44/23/773834423.db2.gz VOSHZCHQSJLMKW-KGLIPLIRSA-N 1 2 321.808 1.607 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(Cl)[nH]3)C[C@H]21 ZINC001074336730 773834427 /nfs/dbraw/zinc/83/44/27/773834427.db2.gz VOSHZCHQSJLMKW-KGLIPLIRSA-N 1 2 321.808 1.607 20 30 DDEDLO C#CCCCC(=O)NCC1(Nc2[nH+]cnc3c2cnn3C)CC1 ZINC001110124586 773838403 /nfs/dbraw/zinc/83/84/03/773838403.db2.gz STPMKMJEBHEUIL-UHFFFAOYSA-N 1 2 312.377 1.228 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cscn3)C[C@@H]21 ZINC001074354054 773849754 /nfs/dbraw/zinc/84/97/54/773849754.db2.gz KEQNQJNDCMJKJC-LSDHHAIUSA-N 1 2 319.430 1.011 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cscn3)C[C@@H]21 ZINC001074354054 773849758 /nfs/dbraw/zinc/84/97/58/773849758.db2.gz KEQNQJNDCMJKJC-LSDHHAIUSA-N 1 2 319.430 1.011 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccco3)C[C@@H]21 ZINC001074365652 773856542 /nfs/dbraw/zinc/85/65/42/773856542.db2.gz IAQMGJDLNDNXBE-JKSUJKDBSA-N 1 2 304.390 1.700 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccco3)C[C@@H]21 ZINC001074365652 773856547 /nfs/dbraw/zinc/85/65/47/773856547.db2.gz IAQMGJDLNDNXBE-JKSUJKDBSA-N 1 2 304.390 1.700 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccco1)c1nccn12 ZINC001092345517 774065656 /nfs/dbraw/zinc/06/56/56/774065656.db2.gz MQJHFSUATASNAT-ZDUSSCGKSA-N 1 2 310.357 1.385 20 30 DDEDLO CC(=O)N[C@@H]1CC2(C[NH+](Cc3ccc(C#N)cc3)C2)n2ccnc21 ZINC001092336068 774085609 /nfs/dbraw/zinc/08/56/09/774085609.db2.gz KSTHAFMTPGNPTB-MRXNPFEDSA-N 1 2 321.384 1.547 20 30 DDEDLO N#CCN1CC[C@@H]2CN(C(=O)c3cccc4[nH+]ccn43)CC[C@H]21 ZINC001036771318 774561651 /nfs/dbraw/zinc/56/16/51/774561651.db2.gz DUCWTCLSXDDTPD-ZIAGYGMSSA-N 1 2 309.373 1.394 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCOC3)CC2)C1 ZINC001093513339 774762253 /nfs/dbraw/zinc/76/22/53/774762253.db2.gz NNZCQTWHUGNOPH-CQSZACIVSA-N 1 2 308.422 1.291 20 30 DDEDLO N#Cc1cnc(NCCNC(=O)CCc2[nH]cc[nH+]2)c(Cl)c1 ZINC001093582651 774859759 /nfs/dbraw/zinc/85/97/59/774859759.db2.gz YGHBZAFOFMZCFT-UHFFFAOYSA-N 1 2 318.768 1.491 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@H]3CCCOC3)CC2)C1 ZINC001093598314 774902969 /nfs/dbraw/zinc/90/29/69/774902969.db2.gz HSJALQUAPRLNGX-OAHLLOKOSA-N 1 2 322.449 1.681 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001099765114 775243343 /nfs/dbraw/zinc/24/33/43/775243343.db2.gz KITYGZLFZUPKSI-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001099765114 775243347 /nfs/dbraw/zinc/24/33/47/775243347.db2.gz KITYGZLFZUPKSI-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2ccoc2)[C@H](O)C1 ZINC001099777638 775270079 /nfs/dbraw/zinc/27/00/79/775270079.db2.gz BLZRJJWGTYQRIR-UONOGXRCSA-N 1 2 312.797 1.516 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2ccoc2)[C@H](O)C1 ZINC001099777638 775270089 /nfs/dbraw/zinc/27/00/89/775270089.db2.gz BLZRJJWGTYQRIR-UONOGXRCSA-N 1 2 312.797 1.516 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094098419 775429773 /nfs/dbraw/zinc/42/97/73/775429773.db2.gz DBAJSAXDXIDSQG-HNNXBMFYSA-N 1 2 306.414 1.376 20 30 DDEDLO N#Cc1ccc(NCCNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)nc1 ZINC001094112329 775440377 /nfs/dbraw/zinc/44/03/77/775440377.db2.gz RYFKWONYDYLVKB-GFCCVEGCSA-N 1 2 310.361 1.325 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2snnc2C)C[C@@H]1O ZINC001099977276 775510338 /nfs/dbraw/zinc/51/03/38/775510338.db2.gz WVPNOGRBCOEYOI-STQMWFEESA-N 1 2 324.450 1.254 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2snnc2C)C[C@@H]1O ZINC001099977276 775510342 /nfs/dbraw/zinc/51/03/42/775510342.db2.gz WVPNOGRBCOEYOI-STQMWFEESA-N 1 2 324.450 1.254 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cn(C3C[NH+](CC=C)C3)nn2)cc1 ZINC001094309838 775661757 /nfs/dbraw/zinc/66/17/57/775661757.db2.gz SELXYGBIYFUPIT-UHFFFAOYSA-N 1 2 321.384 1.232 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2ccccc2)[C@@H](O)C1 ZINC001100087587 775663949 /nfs/dbraw/zinc/66/39/49/775663949.db2.gz OJZXANZHQGKVBY-HOTGVXAUSA-N 1 2 322.836 1.923 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2ccccc2)[C@@H](O)C1 ZINC001100087587 775663950 /nfs/dbraw/zinc/66/39/50/775663950.db2.gz OJZXANZHQGKVBY-HOTGVXAUSA-N 1 2 322.836 1.923 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cccn3CC)nn2)C1 ZINC001094339014 775725051 /nfs/dbraw/zinc/72/50/51/775725051.db2.gz JZFZWQXAVFPWGV-UHFFFAOYSA-N 1 2 314.393 1.072 20 30 DDEDLO C=C(C)CCC(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100167393 775751028 /nfs/dbraw/zinc/75/10/28/775751028.db2.gz SCLKJJOHYOIWRU-UHFFFAOYSA-N 1 2 302.382 1.272 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@H]2CCc3c[nH+]cn3C2)cn1 ZINC001094655810 776175758 /nfs/dbraw/zinc/17/57/58/776175758.db2.gz APRIYIGTVMMHQV-ZDUSSCGKSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3occc3Cl)CC2=O)C1 ZINC001094778849 776268395 /nfs/dbraw/zinc/26/83/95/776268395.db2.gz KQGBNAYNTNMTCN-SNVBAGLBSA-N 1 2 323.780 1.134 20 30 DDEDLO C#Cc1ccc(C(=O)NCCN(CC)c2cc[nH+]c(C)n2)cn1 ZINC001100571962 776316910 /nfs/dbraw/zinc/31/69/10/776316910.db2.gz GJIRLYVZXXSFJX-UHFFFAOYSA-N 1 2 309.373 1.418 20 30 DDEDLO CCN(CCNC(=O)Cc1c[nH]c[nH+]1)c1ncc(C#N)cc1F ZINC001100735480 776484953 /nfs/dbraw/zinc/48/49/53/776484953.db2.gz IGBNFUBZZATKAV-UHFFFAOYSA-N 1 2 316.340 1.001 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001100910926 776694933 /nfs/dbraw/zinc/69/49/33/776694933.db2.gz WCGXAJKCOLPIST-AWEZNQCLSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](CNC(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001100966707 776772127 /nfs/dbraw/zinc/77/21/27/776772127.db2.gz HZHHODNQBYFVGZ-AWEZNQCLSA-N 1 2 324.388 1.252 20 30 DDEDLO N#CCN[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1cc3c[nH+]ccc3[nH]1)C2 ZINC001094947269 776881755 /nfs/dbraw/zinc/88/17/55/776881755.db2.gz QWUWQSSZAFXWBT-YUTCNCBUSA-N 1 2 309.373 1.669 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095124670 777149889 /nfs/dbraw/zinc/14/98/89/777149889.db2.gz WFSFOBVUGYRENQ-JSGCOSHPSA-N 1 2 304.394 1.413 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC(CNc2cc[nH+]c(C)n2)CC1 ZINC001095266077 777378876 /nfs/dbraw/zinc/37/88/76/777378876.db2.gz CCSBJESFKRZSLA-ZDUSSCGKSA-N 1 2 316.405 1.474 20 30 DDEDLO C#CCOCCC(=O)N1CCC(CNc2cc[nH+]c(C)n2)CC1 ZINC001095266589 777379356 /nfs/dbraw/zinc/37/93/56/777379356.db2.gz XXNKFCGSVCOMEB-UHFFFAOYSA-N 1 2 316.405 1.475 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101809973 777548819 /nfs/dbraw/zinc/54/88/19/777548819.db2.gz HGFONTVIZFIASQ-UHFFFAOYSA-N 1 2 320.441 1.719 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncs1 ZINC001110184371 777731159 /nfs/dbraw/zinc/73/11/59/777731159.db2.gz HDRIDKMPACBRPX-NEBZKDRISA-N 1 2 321.446 1.956 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncs1 ZINC001110184371 777731166 /nfs/dbraw/zinc/73/11/66/777731166.db2.gz HDRIDKMPACBRPX-NEBZKDRISA-N 1 2 321.446 1.956 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2c1cc(CC)[nH+]c(C)n1 ZINC001102551536 778204880 /nfs/dbraw/zinc/20/48/80/778204880.db2.gz QLXYSVCRMLEKHL-HOTGVXAUSA-N 1 2 312.417 1.940 20 30 DDEDLO Cc1nc(N2CC[C@@H](N(C)C(=O)C#CC3CC3)C2)c(C)c(C)[nH+]1 ZINC001102700229 778326760 /nfs/dbraw/zinc/32/67/60/778326760.db2.gz MLYGTKYFJCWUFR-MRXNPFEDSA-N 1 2 312.417 1.852 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N(C)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102715736 778339445 /nfs/dbraw/zinc/33/94/45/778339445.db2.gz HOYJTDNOKCZPKH-GXTWGEPZSA-N 1 2 304.394 1.413 20 30 DDEDLO C=C(C)C(=O)OCCNC(=O)[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC001176969243 778354114 /nfs/dbraw/zinc/35/41/14/778354114.db2.gz ADIJEQLSSZYNIC-CQSZACIVSA-N 1 2 317.389 1.534 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCOCC)[C@@H]2C1 ZINC001177100598 778446563 /nfs/dbraw/zinc/44/65/63/778446563.db2.gz GQFBAASZGPEENQ-HZPDHXFCSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCOCC)[C@@H]2C1 ZINC001177100598 778446567 /nfs/dbraw/zinc/44/65/67/778446567.db2.gz GQFBAASZGPEENQ-HZPDHXFCSA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCCCC(=O)NCC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001102916873 778488445 /nfs/dbraw/zinc/48/84/45/778488445.db2.gz AXHRFDZKLGZGMC-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO C#CCCCC(=O)NCC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001102916873 778488451 /nfs/dbraw/zinc/48/84/51/778488451.db2.gz AXHRFDZKLGZGMC-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](CC)Nc2cc[nH+]c(C)n2)nc1 ZINC001103142048 778663514 /nfs/dbraw/zinc/66/35/14/778663514.db2.gz CQSZREDENYVRQS-CQSZACIVSA-N 1 2 309.373 1.782 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1ccncc1C#N ZINC001103357670 778812953 /nfs/dbraw/zinc/81/29/53/778812953.db2.gz YNDBZEHQFFIJBB-ZDUSSCGKSA-N 1 2 312.377 1.038 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1ccncc1C#N ZINC001103357670 778812961 /nfs/dbraw/zinc/81/29/61/778812961.db2.gz YNDBZEHQFFIJBB-ZDUSSCGKSA-N 1 2 312.377 1.038 20 30 DDEDLO N#Cc1ccc(NCC2(NC(=O)Cc3c[nH]c[nH+]3)CCCC2)cn1 ZINC001103460918 778877634 /nfs/dbraw/zinc/87/76/34/778877634.db2.gz IRJGCQGBUZFGIF-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO C[C@@H](CC(=O)NCC[C@@H]1CN(CC#N)C[C@H]1C)n1cc[nH+]c1 ZINC001103479241 778888373 /nfs/dbraw/zinc/88/83/73/778888373.db2.gz JEPBDYLRDUOPOL-QLFBSQMISA-N 1 2 303.410 1.432 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](Nc2cc[nH+]c(C)n2)CC1 ZINC001103635105 778973096 /nfs/dbraw/zinc/97/30/96/778973096.db2.gz XQNRJOZDPDYTBE-HDJSIYSDSA-N 1 2 304.394 1.827 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CCNC(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001103756567 779032833 /nfs/dbraw/zinc/03/28/33/779032833.db2.gz OQCMVELZHQOJGP-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nccs1)C2 ZINC001111667052 779426916 /nfs/dbraw/zinc/42/69/16/779426916.db2.gz SCWQWRYZZUHNLO-XUJVJEKNSA-N 1 2 304.419 1.773 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nccs1)C2 ZINC001111667052 779426919 /nfs/dbraw/zinc/42/69/19/779426919.db2.gz SCWQWRYZZUHNLO-XUJVJEKNSA-N 1 2 304.419 1.773 20 30 DDEDLO C[C@@H](CCCNc1ccncc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114983621 779803509 /nfs/dbraw/zinc/80/35/09/779803509.db2.gz NPQRKVOPPJTVKF-LBPRGKRZSA-N 1 2 312.377 1.038 20 30 DDEDLO COC(=O)c1scc(C#N)c1NC(=O)[C@@H](C)[NH+]1CCCC1 ZINC001180575460 779813622 /nfs/dbraw/zinc/81/36/22/779813622.db2.gz JUTFMWSVJSJHCC-SECBINFHSA-N 1 2 307.375 1.829 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)COc2cccc(N(C)C)c2)CC1 ZINC001180617341 779842020 /nfs/dbraw/zinc/84/20/20/779842020.db2.gz QRZXDLPTRDVZCD-UHFFFAOYSA-N 1 2 303.406 1.462 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)C(C)(C)[C@H]2c2cnn(C)c2)C1 ZINC001117487901 780809768 /nfs/dbraw/zinc/80/97/68/780809768.db2.gz LHBGRNQZNRQODV-HUUCEWRRSA-N 1 2 318.421 1.564 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)C(C)(C)[C@H]2c2cnn(C)c2)C1 ZINC001117487901 780809774 /nfs/dbraw/zinc/80/97/74/780809774.db2.gz LHBGRNQZNRQODV-HUUCEWRRSA-N 1 2 318.421 1.564 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc3cc[nH]c3c2)C1 ZINC001119410928 781442319 /nfs/dbraw/zinc/44/23/19/781442319.db2.gz NIFSQEBFTDFQTC-HNNXBMFYSA-N 1 2 324.384 1.320 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc3cc[nH]c3c2)C1 ZINC001119410928 781442324 /nfs/dbraw/zinc/44/23/24/781442324.db2.gz NIFSQEBFTDFQTC-HNNXBMFYSA-N 1 2 324.384 1.320 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H]1CNC(=O)c1cccs1 ZINC001267260547 837686277 /nfs/dbraw/zinc/68/62/77/837686277.db2.gz ZLKSVHPWOWGGIJ-QWHCGFSZSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H]1CNC(=O)c1cccs1 ZINC001267260547 837686281 /nfs/dbraw/zinc/68/62/81/837686281.db2.gz ZLKSVHPWOWGGIJ-QWHCGFSZSA-N 1 2 319.430 1.080 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCC[N@@H+]1Cc1cc2n(n1)CCC2 ZINC001267266946 837702911 /nfs/dbraw/zinc/70/29/11/837702911.db2.gz MZQIGIYIVKRMTD-QGZVFWFLSA-N 1 2 314.433 1.569 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCC[N@H+]1Cc1cc2n(n1)CCC2 ZINC001267266946 837702924 /nfs/dbraw/zinc/70/29/24/837702924.db2.gz MZQIGIYIVKRMTD-QGZVFWFLSA-N 1 2 314.433 1.569 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001266311290 836099593 /nfs/dbraw/zinc/09/95/93/836099593.db2.gz VPJFMMJIDMUKAD-CQSZACIVSA-N 1 2 306.410 1.093 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001266311290 836099605 /nfs/dbraw/zinc/09/96/05/836099605.db2.gz VPJFMMJIDMUKAD-CQSZACIVSA-N 1 2 306.410 1.093 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]([NH2+]Cc1nc(C2CC2)no1)C1CC1 ZINC001266407340 836216258 /nfs/dbraw/zinc/21/62/58/836216258.db2.gz VGZGZGYFCHBCII-CQSZACIVSA-N 1 2 314.389 1.345 20 30 DDEDLO CCCC(C)(C)C(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266480545 836327178 /nfs/dbraw/zinc/32/71/78/836327178.db2.gz STHXZVIBGQTZPB-CYBMUJFWSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCC(C)(C)C(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266480545 836327189 /nfs/dbraw/zinc/32/71/89/836327189.db2.gz STHXZVIBGQTZPB-CYBMUJFWSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)CC[NH2+]Cc1nc(C(C)C)no1 ZINC001266686503 836668487 /nfs/dbraw/zinc/66/84/87/836668487.db2.gz FIGIAPAWNKIEDF-OAHLLOKOSA-N 1 2 310.398 1.068 20 30 DDEDLO C=C(C)C[N@H+]1CCCC[C@H]1CNC(=O)CS(=O)(=O)C(C)C ZINC001266859946 836951907 /nfs/dbraw/zinc/95/19/07/836951907.db2.gz TXACZXGKAIDJPC-AWEZNQCLSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)C[N@@H+]1CCCC[C@H]1CNC(=O)CS(=O)(=O)C(C)C ZINC001266859946 836951909 /nfs/dbraw/zinc/95/19/09/836951909.db2.gz TXACZXGKAIDJPC-AWEZNQCLSA-N 1 2 316.467 1.356 20 30 DDEDLO CCc1nc(C[N@@H+]2CC[C@H](CCNC(=O)C#CC(C)C)C2)no1 ZINC001267554776 838296339 /nfs/dbraw/zinc/29/63/39/838296339.db2.gz BVVIMGSXGRIJAV-AWEZNQCLSA-N 1 2 318.421 1.620 20 30 DDEDLO CCc1nc(C[N@H+]2CC[C@H](CCNC(=O)C#CC(C)C)C2)no1 ZINC001267554776 838296342 /nfs/dbraw/zinc/29/63/42/838296342.db2.gz BVVIMGSXGRIJAV-AWEZNQCLSA-N 1 2 318.421 1.620 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001267589370 838378479 /nfs/dbraw/zinc/37/84/79/838378479.db2.gz JIAKFEXARXMJBR-AWEZNQCLSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001267589370 838378488 /nfs/dbraw/zinc/37/84/88/838378488.db2.gz JIAKFEXARXMJBR-AWEZNQCLSA-N 1 2 319.453 1.698 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1C[NH+](CCCc2ccsc2)C1 ZINC001267645240 838566077 /nfs/dbraw/zinc/56/60/77/838566077.db2.gz QLHMLIYZMKKJKP-ZDUSSCGKSA-N 1 2 306.431 1.519 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)[C@@H]2CCCc3cccnc32)C1 ZINC001267656597 838593918 /nfs/dbraw/zinc/59/39/18/838593918.db2.gz QQMPPHZAKKJSDC-MRXNPFEDSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cncc3ccccc32)C1 ZINC001267669644 838610940 /nfs/dbraw/zinc/61/09/40/838610940.db2.gz KBHFTVOPAWYKGL-UHFFFAOYSA-N 1 2 311.385 1.851 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1C[NH+](CCCS(C)(=O)=O)C1 ZINC001267687611 838642685 /nfs/dbraw/zinc/64/26/85/838642685.db2.gz IXUKVFMYJQSUKU-UHFFFAOYSA-N 1 2 316.467 1.071 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1C[NH+](Cc2cnnn2CC)C1 ZINC001267693516 838662585 /nfs/dbraw/zinc/66/25/85/838662585.db2.gz IUVTVOMJNLXSOS-UHFFFAOYSA-N 1 2 305.426 1.448 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(C)(C)C=C ZINC001267706104 838684394 /nfs/dbraw/zinc/68/43/94/838684394.db2.gz ZSRORJXQOSYOAT-ILXRZTDVSA-N 1 2 319.449 1.565 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(C)(C)C=C ZINC001267706104 838684397 /nfs/dbraw/zinc/68/43/97/838684397.db2.gz ZSRORJXQOSYOAT-ILXRZTDVSA-N 1 2 319.449 1.565 20 30 DDEDLO CCC(CC)NC(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001267724366 838739722 /nfs/dbraw/zinc/73/97/22/838739722.db2.gz ULPQEBQSKMZVJW-BBRMVZONSA-N 1 2 319.449 1.284 20 30 DDEDLO CCC(CC)NC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001267724366 838739727 /nfs/dbraw/zinc/73/97/27/838739727.db2.gz ULPQEBQSKMZVJW-BBRMVZONSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cc(F)cc(F)c2)C1 ZINC001267724657 838742197 /nfs/dbraw/zinc/74/21/97/838742197.db2.gz ZPFQAVUJRNVEAS-WBMJQRKESA-N 1 2 322.355 1.694 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cc(F)cc(F)c2)C1 ZINC001267724657 838742202 /nfs/dbraw/zinc/74/22/02/838742202.db2.gz ZPFQAVUJRNVEAS-WBMJQRKESA-N 1 2 322.355 1.694 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CC)C(=O)CCCn2ccnc2C)C1 ZINC001267790083 838980543 /nfs/dbraw/zinc/98/05/43/838980543.db2.gz KABXMTCQUPQLHF-MRXNPFEDSA-N 1 2 302.422 1.528 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)CCCn2ccnc2C)C1 ZINC001267790083 838980554 /nfs/dbraw/zinc/98/05/54/838980554.db2.gz KABXMTCQUPQLHF-MRXNPFEDSA-N 1 2 302.422 1.528 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@H+](CCOCC2CC2)CCO1 ZINC001268023497 839408111 /nfs/dbraw/zinc/40/81/11/839408111.db2.gz KYTGGMDNTLYROR-OAHLLOKOSA-N 1 2 310.438 1.442 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@@H+](CCOCC2CC2)CCO1 ZINC001268023497 839408119 /nfs/dbraw/zinc/40/81/19/839408119.db2.gz KYTGGMDNTLYROR-OAHLLOKOSA-N 1 2 310.438 1.442 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2c(C)nsc2C)C1 ZINC001268151175 839758102 /nfs/dbraw/zinc/75/81/02/839758102.db2.gz NUEZFERMTFIBEE-CQSZACIVSA-N 1 2 321.446 1.604 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2c(C)nsc2C)C1 ZINC001268151175 839758111 /nfs/dbraw/zinc/75/81/11/839758111.db2.gz NUEZFERMTFIBEE-CQSZACIVSA-N 1 2 321.446 1.604 20 30 DDEDLO C=CCOCC(=O)NCC1C[NH+](Cc2nc3ccccc3o2)C1 ZINC001268704310 840690635 /nfs/dbraw/zinc/69/06/35/840690635.db2.gz XOTYALBPYNDTFW-UHFFFAOYSA-N 1 2 315.373 1.578 20 30 DDEDLO C[C@H](C[N@H+](C)CC(=O)Nc1ccccc1)NC(=O)C#CC1CC1 ZINC001268730165 840724112 /nfs/dbraw/zinc/72/41/12/840724112.db2.gz MELZOXQGZKKVGU-CQSZACIVSA-N 1 2 313.401 1.475 20 30 DDEDLO C[C@H](C[N@@H+](C)CC(=O)Nc1ccccc1)NC(=O)C#CC1CC1 ZINC001268730165 840724117 /nfs/dbraw/zinc/72/41/17/840724117.db2.gz MELZOXQGZKKVGU-CQSZACIVSA-N 1 2 313.401 1.475 20 30 DDEDLO CCC#CC(=O)NC12CC(C(=O)Nc3cccc4[nH+]ccn43)(C1)C2 ZINC001268872919 840931629 /nfs/dbraw/zinc/93/16/29/840931629.db2.gz OJZVUPGZEZJWRI-UHFFFAOYSA-N 1 2 322.368 1.725 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2ocnc2C(F)F)C1 ZINC001269165516 841285256 /nfs/dbraw/zinc/28/52/56/841285256.db2.gz FLCKUCKXHAKOTB-SNVBAGLBSA-N 1 2 313.304 1.066 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2ocnc2C(F)F)C1 ZINC001269165516 841285266 /nfs/dbraw/zinc/28/52/66/841285266.db2.gz FLCKUCKXHAKOTB-SNVBAGLBSA-N 1 2 313.304 1.066 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001269239635 841404101 /nfs/dbraw/zinc/40/41/01/841404101.db2.gz CHVIKUWGIBTQHW-GJZGRUSLSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001269239635 841404108 /nfs/dbraw/zinc/40/41/08/841404108.db2.gz CHVIKUWGIBTQHW-GJZGRUSLSA-N 1 2 316.405 1.110 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@H+]2[C@@H]2CCN(CC)C2=O)CCC1 ZINC001269285820 841470322 /nfs/dbraw/zinc/47/03/22/841470322.db2.gz KJFFTVDQQSHUFG-HUUCEWRRSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@@H+]2[C@@H]2CCN(CC)C2=O)CCC1 ZINC001269285820 841470328 /nfs/dbraw/zinc/47/03/28/841470328.db2.gz KJFFTVDQQSHUFG-HUUCEWRRSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cccs2)C1 ZINC001269306243 841495040 /nfs/dbraw/zinc/49/50/40/841495040.db2.gz BYSUAECOURBUEJ-CYBMUJFWSA-N 1 2 321.446 1.587 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cccs2)C1 ZINC001269306243 841495043 /nfs/dbraw/zinc/49/50/43/841495043.db2.gz BYSUAECOURBUEJ-CYBMUJFWSA-N 1 2 321.446 1.587 20 30 DDEDLO C=CCN1CC[C@]2(CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C2)C1=O ZINC001269385942 841589676 /nfs/dbraw/zinc/58/96/76/841589676.db2.gz CNNDEZHPIHJFMZ-GOSISDBHSA-N 1 2 324.384 1.814 20 30 DDEDLO CC#CCCCC(=O)NCC1([NH2+][C@H](C)c2nc(C)no2)CC1 ZINC001269468918 841669272 /nfs/dbraw/zinc/66/92/72/841669272.db2.gz OCELQSAOJXRPDU-GFCCVEGCSA-N 1 2 304.394 1.871 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](CC[NH2+]Cc2nnn(C)n2)C1 ZINC001269759343 842019462 /nfs/dbraw/zinc/01/94/62/842019462.db2.gz BMMLUMBSLBXAQR-AWEZNQCLSA-N 1 2 320.441 1.285 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)C[C@@H](C)NC(=O)C2CCCC2)C1 ZINC001269899655 842141734 /nfs/dbraw/zinc/14/17/34/842141734.db2.gz OQIKKYWFVCEEOG-CYBMUJFWSA-N 1 2 307.438 1.400 20 30 DDEDLO CC(C)(C)[C@H](C[NH+]1CCOCC1)NC(=O)C#Cc1ccccc1 ZINC001142864110 861287626 /nfs/dbraw/zinc/28/76/26/861287626.db2.gz SHZFVIOWFFKAFZ-KRWDZBQOSA-N 1 2 314.429 1.901 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@]2(C1)C[N@H+](Cc1ccc[nH]1)CCO2 ZINC001270509159 842630817 /nfs/dbraw/zinc/63/08/17/842630817.db2.gz ZTJHNTRGXQPHEE-WMLDXEAASA-N 1 2 316.405 1.368 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@]2(C1)C[N@@H+](Cc1ccc[nH]1)CCO2 ZINC001270509159 842630825 /nfs/dbraw/zinc/63/08/25/842630825.db2.gz ZTJHNTRGXQPHEE-WMLDXEAASA-N 1 2 316.405 1.368 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1C[N@H+](CCOC2CCC2)CCO1 ZINC001270657687 842784582 /nfs/dbraw/zinc/78/45/82/842784582.db2.gz LMHXLHLWRHBSIL-MRXNPFEDSA-N 1 2 310.438 1.729 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1C[N@@H+](CCOC2CCC2)CCO1 ZINC001270657687 842784587 /nfs/dbraw/zinc/78/45/87/842784587.db2.gz LMHXLHLWRHBSIL-MRXNPFEDSA-N 1 2 310.438 1.729 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2C[N@H+](CC(=C)C)CCO2)CCOCC1 ZINC001270691393 842822922 /nfs/dbraw/zinc/82/29/22/842822922.db2.gz JHXUQVPCUBBLDY-MRXNPFEDSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2C[N@@H+](CC(=C)C)CCO2)CCOCC1 ZINC001270691393 842822924 /nfs/dbraw/zinc/82/29/24/842822924.db2.gz JHXUQVPCUBBLDY-MRXNPFEDSA-N 1 2 322.449 1.752 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H](C)C[NH2+]Cc1nc(CC2CC2)no1 ZINC001270957629 843085626 /nfs/dbraw/zinc/08/56/26/843085626.db2.gz WFCAUYHHTMYQIX-ZDUSSCGKSA-N 1 2 318.421 1.618 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2COCC[N@H+]2CCCCCF)c[nH]1 ZINC001280401787 843621047 /nfs/dbraw/zinc/62/10/47/843621047.db2.gz ALIKOAMWJJUAHY-OAHLLOKOSA-N 1 2 322.384 1.457 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2COCC[N@@H+]2CCCCCF)c[nH]1 ZINC001280401787 843621053 /nfs/dbraw/zinc/62/10/53/843621053.db2.gz ALIKOAMWJJUAHY-OAHLLOKOSA-N 1 2 322.384 1.457 20 30 DDEDLO C[C@@H](F)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001271671358 843774774 /nfs/dbraw/zinc/77/47/74/843774774.db2.gz ICEILKUZAURERR-BNOWGMLFSA-N 1 2 304.369 1.430 20 30 DDEDLO C[C@@H](F)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001271671358 843774780 /nfs/dbraw/zinc/77/47/80/843774780.db2.gz ICEILKUZAURERR-BNOWGMLFSA-N 1 2 304.369 1.430 20 30 DDEDLO CCc1nnc(C[NH2+]C2(CNC(=O)CSCC#N)CCC2)o1 ZINC001280468869 844935786 /nfs/dbraw/zinc/93/57/86/844935786.db2.gz HKVJBUWGYKCZNB-UHFFFAOYSA-N 1 2 323.422 1.017 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](Cc2cnc(C)cn2)CCCO1 ZINC001149363245 861570322 /nfs/dbraw/zinc/57/03/22/861570322.db2.gz VQQGRDKJZANOFP-INIZCTEOSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](Cc2cnc(C)cn2)CCCO1 ZINC001149363245 861570336 /nfs/dbraw/zinc/57/03/36/861570336.db2.gz VQQGRDKJZANOFP-INIZCTEOSA-N 1 2 318.421 1.458 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](CCc3ccccc3)C[C@@]2(F)C1=O ZINC001272447615 846145727 /nfs/dbraw/zinc/14/57/27/846145727.db2.gz XTHVRIYIEDYEST-DLBZAZTESA-N 1 2 304.340 1.437 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](CCc3ccccc3)C[C@@]2(F)C1=O ZINC001272447615 846145732 /nfs/dbraw/zinc/14/57/32/846145732.db2.gz XTHVRIYIEDYEST-DLBZAZTESA-N 1 2 304.340 1.437 20 30 DDEDLO Cc1nc(NC[C@@H](C)NC(=O)C#CC2CC2)c2c([nH+]1)CCCC2 ZINC001107719939 846839339 /nfs/dbraw/zinc/83/93/39/846839339.db2.gz IVBSSLCMGREIQF-GFCCVEGCSA-N 1 2 312.417 1.994 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@]1(C)C[N@H+](Cc2ccsc2)CCO1 ZINC001107820777 847221348 /nfs/dbraw/zinc/22/13/48/847221348.db2.gz CLGGTSWXCOYXOA-DOMZBBRYSA-N 1 2 307.419 1.615 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@]1(C)C[N@@H+](Cc2ccsc2)CCO1 ZINC001107820777 847221357 /nfs/dbraw/zinc/22/13/57/847221357.db2.gz CLGGTSWXCOYXOA-DOMZBBRYSA-N 1 2 307.419 1.615 20 30 DDEDLO CCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001272843646 847539811 /nfs/dbraw/zinc/53/98/11/847539811.db2.gz TTWZLMSQVRIOOB-CQSZACIVSA-N 1 2 304.394 1.507 20 30 DDEDLO CCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001272843646 847539820 /nfs/dbraw/zinc/53/98/20/847539820.db2.gz TTWZLMSQVRIOOB-CQSZACIVSA-N 1 2 304.394 1.507 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@@H+](Cc3cncc(Cl)c3)C2)OCC1=O ZINC001272856850 847555853 /nfs/dbraw/zinc/55/58/53/847555853.db2.gz PWFXBMMYZKBAMR-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@H+](Cc3cncc(Cl)c3)C2)OCC1=O ZINC001272856850 847555857 /nfs/dbraw/zinc/55/58/57/847555857.db2.gz PWFXBMMYZKBAMR-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H]3C[C@@H]3C#N)[C@@H]2C1 ZINC001272886238 847588407 /nfs/dbraw/zinc/58/84/07/847588407.db2.gz IIBLHGWOWWKDQH-GBJTYRQASA-N 1 2 313.405 1.095 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@@H]2CCN(C(=O)[C@@H]3C[C@@H]3C#N)[C@@H]2C1 ZINC001272886238 847588414 /nfs/dbraw/zinc/58/84/14/847588414.db2.gz IIBLHGWOWWKDQH-GBJTYRQASA-N 1 2 313.405 1.095 20 30 DDEDLO C#CCC[N@@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746906 861874778 /nfs/dbraw/zinc/87/47/78/861874778.db2.gz XMSVCNYMNIVIHG-WDEREUQCSA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[N@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746906 861874794 /nfs/dbraw/zinc/87/47/94/861874794.db2.gz XMSVCNYMNIVIHG-WDEREUQCSA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149746906 861874809 /nfs/dbraw/zinc/87/48/09/861874809.db2.gz XMSVCNYMNIVIHG-WDEREUQCSA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149746906 861874827 /nfs/dbraw/zinc/87/48/27/861874827.db2.gz XMSVCNYMNIVIHG-WDEREUQCSA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cccnc2Cl)C1=O ZINC001273193598 848693418 /nfs/dbraw/zinc/69/34/18/848693418.db2.gz NNRHQYSPYSXFKU-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cccnc2Cl)C1=O ZINC001273193598 848693420 /nfs/dbraw/zinc/69/34/20/848693420.db2.gz NNRHQYSPYSXFKU-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO CC(C)c1nnc(C[N@H+](C)CCCN(C)C(=O)C#CC2CC2)o1 ZINC001327201648 861961961 /nfs/dbraw/zinc/96/19/61/861961961.db2.gz SHUIAMUKGMLHKG-UHFFFAOYSA-N 1 2 318.421 1.887 20 30 DDEDLO CC(C)c1nnc(C[N@@H+](C)CCCN(C)C(=O)C#CC2CC2)o1 ZINC001327201648 861961968 /nfs/dbraw/zinc/96/19/68/861961968.db2.gz SHUIAMUKGMLHKG-UHFFFAOYSA-N 1 2 318.421 1.887 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCOC2(C[NH+](CC/C=C/CC)C2)C1 ZINC001327320114 862060449 /nfs/dbraw/zinc/06/04/49/862060449.db2.gz PJTXPTJLURQDTB-FYCOFBDGSA-N 1 2 320.433 1.294 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H](CC(C)C)OC)O2 ZINC001327366030 862106759 /nfs/dbraw/zinc/10/67/59/862106759.db2.gz WCMWSSGJBYGTIO-HZPDHXFCSA-N 1 2 324.465 1.973 20 30 DDEDLO C=CCCC[NH+]1CC2(C1)CN(C(=O)c1coc(OC)n1)CCO2 ZINC001273624265 851160588 /nfs/dbraw/zinc/16/05/88/851160588.db2.gz RDHHREXHLDBONN-UHFFFAOYSA-N 1 2 321.377 1.176 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)C[C@H]1CCCCO1)O2 ZINC001273655763 851192145 /nfs/dbraw/zinc/19/21/45/851192145.db2.gz OEYQYQPQSZBAHW-HUUCEWRRSA-N 1 2 308.422 1.481 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@H]1C1CC1)CO2 ZINC001273722528 851275266 /nfs/dbraw/zinc/27/52/66/851275266.db2.gz FPLXUGVZZGZLCX-OWCLPIDISA-N 1 2 320.433 1.195 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2ccc(F)c(F)c2O)C1=O ZINC001274030186 851868243 /nfs/dbraw/zinc/86/82/43/851868243.db2.gz FVBHBFQOXBEOBR-KRWDZBQOSA-N 1 2 320.339 1.871 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2ccc(F)c(F)c2O)C1=O ZINC001274030186 851868249 /nfs/dbraw/zinc/86/82/49/851868249.db2.gz FVBHBFQOXBEOBR-KRWDZBQOSA-N 1 2 320.339 1.871 20 30 DDEDLO CCOC(=O)CCCCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2CCC#N ZINC001274031531 851870371 /nfs/dbraw/zinc/87/03/71/851870371.db2.gz UDMOBRPLXBINHB-HUUCEWRRSA-N 1 2 321.421 1.699 20 30 DDEDLO CCOC(=O)CCCCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2CCC#N ZINC001274031531 851870377 /nfs/dbraw/zinc/87/03/77/851870377.db2.gz UDMOBRPLXBINHB-HUUCEWRRSA-N 1 2 321.421 1.699 20 30 DDEDLO N#CCCCN1CC[C@]2(CCC[N@H+]2Cc2cncc(O)c2)C1=O ZINC001274283775 852122666 /nfs/dbraw/zinc/12/26/66/852122666.db2.gz LDIGKGLRQOFWAC-QGZVFWFLSA-N 1 2 314.389 1.658 20 30 DDEDLO N#CCCCN1CC[C@]2(CCC[N@@H+]2Cc2cncc(O)c2)C1=O ZINC001274283775 852122668 /nfs/dbraw/zinc/12/26/68/852122668.db2.gz LDIGKGLRQOFWAC-QGZVFWFLSA-N 1 2 314.389 1.658 20 30 DDEDLO N#CCCCN1CC[C@@]2(CCC[N@H+](Cc3nnc[nH]3)CC2)C1=O ZINC001274356737 852193255 /nfs/dbraw/zinc/19/32/55/852193255.db2.gz XQOFELAYTDVLKU-INIZCTEOSA-N 1 2 316.409 1.313 20 30 DDEDLO N#CCCCN1CC[C@@]2(CCC[N@@H+](Cc3nnc[nH]3)CC2)C1=O ZINC001274356737 852193257 /nfs/dbraw/zinc/19/32/57/852193257.db2.gz XQOFELAYTDVLKU-INIZCTEOSA-N 1 2 316.409 1.313 20 30 DDEDLO CN1CC[C@@]2(CCC[N@@H+]2Cc2cn3cc(C#N)ccc3n2)C1=O ZINC001274366171 852197723 /nfs/dbraw/zinc/19/77/23/852197723.db2.gz STTYZRFDVTYOGF-KRWDZBQOSA-N 1 2 309.373 1.403 20 30 DDEDLO CN1CC[C@@]2(CCC[N@H+]2Cc2cn3cc(C#N)ccc3n2)C1=O ZINC001274366171 852197729 /nfs/dbraw/zinc/19/77/29/852197729.db2.gz STTYZRFDVTYOGF-KRWDZBQOSA-N 1 2 309.373 1.403 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccon2)CC1(C)C ZINC001274435664 852265913 /nfs/dbraw/zinc/26/59/13/852265913.db2.gz LSNYHXHQGXSKMH-OAHLLOKOSA-N 1 2 319.405 1.431 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@H+](Cc2ccon2)CC1(C)C ZINC001274435664 852265922 /nfs/dbraw/zinc/26/59/22/852265922.db2.gz LSNYHXHQGXSKMH-OAHLLOKOSA-N 1 2 319.405 1.431 20 30 DDEDLO Cc1cc(CN2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cc(C#N)n(C)c2)[nH]n1 ZINC001275208967 852896605 /nfs/dbraw/zinc/89/66/05/852896605.db2.gz QTZLXOPFZFBSOU-CALCHBBNSA-N 1 2 324.432 1.777 20 30 DDEDLO Cc1cc(CN2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cc(C#N)n(C)c2)[nH]n1 ZINC001275208967 852896611 /nfs/dbraw/zinc/89/66/11/852896611.db2.gz QTZLXOPFZFBSOU-CALCHBBNSA-N 1 2 324.432 1.777 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)C[N@H+](C)Cc1c(F)cccc1F ZINC001275561239 853346946 /nfs/dbraw/zinc/34/69/46/853346946.db2.gz YUTDJGFOPAXTOD-CHWSQXEVSA-N 1 2 324.371 1.940 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)C[N@@H+](C)Cc1c(F)cccc1F ZINC001275561239 853346953 /nfs/dbraw/zinc/34/69/53/853346953.db2.gz YUTDJGFOPAXTOD-CHWSQXEVSA-N 1 2 324.371 1.940 20 30 DDEDLO Cc1[nH]c([C@@H]2CCCN2C(=O)[C@H](C)n2cnc(C#N)n2)[nH+]c1C ZINC001412603705 854796395 /nfs/dbraw/zinc/79/63/95/854796395.db2.gz IGBRZAVGMRXRBX-RYUDHWBXSA-N 1 2 313.365 1.414 20 30 DDEDLO Cc1[nH+]ccn1CC(=O)NCCNCc1cc(F)ccc1C#N ZINC001151147193 862650466 /nfs/dbraw/zinc/65/04/66/862650466.db2.gz HTJZCIPHTMRVQB-UHFFFAOYSA-N 1 2 315.352 1.108 20 30 DDEDLO Cc1cc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)no1 ZINC001276311246 855643501 /nfs/dbraw/zinc/64/35/01/855643501.db2.gz JRHBWZIYZYGKLM-UHFFFAOYSA-N 1 2 311.389 1.511 20 30 DDEDLO C=CCOCCCC(=O)NCCC[NH2+]Cc1noc(CCC)n1 ZINC001156160582 862763601 /nfs/dbraw/zinc/76/36/01/862763601.db2.gz VHENNBNYXCAAPJ-UHFFFAOYSA-N 1 2 324.425 1.601 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2C)C[C@H]1C ZINC001328239200 862801752 /nfs/dbraw/zinc/80/17/52/862801752.db2.gz FUFHBWFRMYIUMV-IUODEOHRSA-N 1 2 318.421 1.259 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2C)C[C@H]1C ZINC001328239200 862801770 /nfs/dbraw/zinc/80/17/70/862801770.db2.gz FUFHBWFRMYIUMV-IUODEOHRSA-N 1 2 318.421 1.259 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc3c(ncnc3Cl)[nH]2)CC1 ZINC001151560021 862843860 /nfs/dbraw/zinc/84/38/60/862843860.db2.gz YAQHSWQYEPVMJY-UHFFFAOYSA-N 1 2 305.769 1.555 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC3(C2)CC[N@H+](Cc2ncccn2)C3)c1 ZINC001072592892 857488814 /nfs/dbraw/zinc/48/88/14/857488814.db2.gz SVHATEVGRBLLCW-UHFFFAOYSA-N 1 2 322.372 1.024 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC3(C2)CC[N@@H+](Cc2ncccn2)C3)c1 ZINC001072592892 857488819 /nfs/dbraw/zinc/48/88/19/857488819.db2.gz SVHATEVGRBLLCW-UHFFFAOYSA-N 1 2 322.372 1.024 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001072631605 857534809 /nfs/dbraw/zinc/53/48/09/857534809.db2.gz BNQPMZONPDBSGV-DZGCQCFKSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072633268 857537476 /nfs/dbraw/zinc/53/74/76/857537476.db2.gz RUPJIDOLCSOFOR-ZIAGYGMSSA-N 1 2 304.394 1.203 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)o1 ZINC001072721095 857639344 /nfs/dbraw/zinc/63/93/44/857639344.db2.gz DBWLGWNTJNQQIL-LBPRGKRZSA-N 1 2 314.389 1.387 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)o1 ZINC001072721095 857639348 /nfs/dbraw/zinc/63/93/48/857639348.db2.gz DBWLGWNTJNQQIL-LBPRGKRZSA-N 1 2 314.389 1.387 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cnsn2)C1 ZINC001073541421 858425166 /nfs/dbraw/zinc/42/51/66/858425166.db2.gz OLVCYWOMPDKZAU-SNVBAGLBSA-N 1 2 316.814 1.111 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cnsn2)C1 ZINC001073541421 858425169 /nfs/dbraw/zinc/42/51/69/858425169.db2.gz OLVCYWOMPDKZAU-SNVBAGLBSA-N 1 2 316.814 1.111 20 30 DDEDLO C#CCN(CC#CC)c1nnc([C@@H]2CCC[N@@H+]2C)n1CCOC ZINC001122345034 858767250 /nfs/dbraw/zinc/76/72/50/858767250.db2.gz PKLBXDPQVKHHQL-HNNXBMFYSA-N 1 2 315.421 1.154 20 30 DDEDLO C#CCN(CC#CC)c1nnc([C@@H]2CCC[N@H+]2C)n1CCOC ZINC001122345034 858767257 /nfs/dbraw/zinc/76/72/57/858767257.db2.gz PKLBXDPQVKHHQL-HNNXBMFYSA-N 1 2 315.421 1.154 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(Cc2[nH+]ccn2C)n1CC(C)C ZINC001122838864 858984347 /nfs/dbraw/zinc/98/43/47/858984347.db2.gz KJYCMVZWTRUAKJ-ZDUSSCGKSA-N 1 2 300.410 1.716 20 30 DDEDLO CCOC(=O)C1(C#N)CC[NH+](Cc2ccc3nonc3c2)CC1 ZINC001139222193 860323332 /nfs/dbraw/zinc/32/33/32/860323332.db2.gz GXZBLOFYYLAWCV-UHFFFAOYSA-N 1 2 314.345 1.892 20 30 DDEDLO C[C@H]1C[NH+](C2CN(Cc3ccc(C#N)c(F)c3)C2)C[C@H](C)O1 ZINC001139531088 860427362 /nfs/dbraw/zinc/42/73/62/860427362.db2.gz KXPFNJFKOWLNAU-STQMWFEESA-N 1 2 303.381 1.991 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1ncc(C(F)(F)F)cn1 ZINC001141149078 860796080 /nfs/dbraw/zinc/79/60/80/860796080.db2.gz BHCMRROECOTKNO-NSHDSACASA-N 1 2 312.295 1.209 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1ncc(C(F)(F)F)cn1 ZINC001141149078 860796073 /nfs/dbraw/zinc/79/60/73/860796073.db2.gz BHCMRROECOTKNO-NSHDSACASA-N 1 2 312.295 1.209 20 30 DDEDLO C[N@@H+]1CCc2nc(C(=O)NCc3ccc(C#N)nc3)sc2C1 ZINC001154223826 860892715 /nfs/dbraw/zinc/89/27/15/860892715.db2.gz LZVGZLWHWYVQSW-UHFFFAOYSA-N 1 2 313.386 1.328 20 30 DDEDLO C[N@H+]1CCc2nc(C(=O)NCc3ccc(C#N)nc3)sc2C1 ZINC001154223826 860892730 /nfs/dbraw/zinc/89/27/30/860892730.db2.gz LZVGZLWHWYVQSW-UHFFFAOYSA-N 1 2 313.386 1.328 20 30 DDEDLO CCn1ccc(C[N@H+](C)C[C@H]2CCCN2C(=O)C#CC(C)C)n1 ZINC001328719547 863172993 /nfs/dbraw/zinc/17/29/93/863172993.db2.gz ILNPGIGFCWAASD-QGZVFWFLSA-N 1 2 316.449 1.985 20 30 DDEDLO CCn1ccc(C[N@@H+](C)C[C@H]2CCCN2C(=O)C#CC(C)C)n1 ZINC001328719547 863172997 /nfs/dbraw/zinc/17/29/97/863172997.db2.gz ILNPGIGFCWAASD-QGZVFWFLSA-N 1 2 316.449 1.985 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cnnn1C ZINC001328738043 863183217 /nfs/dbraw/zinc/18/32/17/863183217.db2.gz ROGBKOGCFILZGF-CQSZACIVSA-N 1 2 319.453 1.840 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cnnn1C ZINC001328738043 863183227 /nfs/dbraw/zinc/18/32/27/863183227.db2.gz ROGBKOGCFILZGF-CQSZACIVSA-N 1 2 319.453 1.840 20 30 DDEDLO N#Cc1ccccc1CNc1cc(N2CCC[C@H]2C(N)=O)nc[nH+]1 ZINC001156791788 863344830 /nfs/dbraw/zinc/34/48/30/863344830.db2.gz VMQIWKHXIQGGDV-AWEZNQCLSA-N 1 2 322.372 1.414 20 30 DDEDLO N#Cc1ccccc1CNc1cc(N2CCC[C@H]2C(N)=O)[nH+]cn1 ZINC001156791788 863344839 /nfs/dbraw/zinc/34/48/39/863344839.db2.gz VMQIWKHXIQGGDV-AWEZNQCLSA-N 1 2 322.372 1.414 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC[NH2+]Cc1noc(CC(C)C)n1 ZINC001157202273 863650492 /nfs/dbraw/zinc/65/04/92/863650492.db2.gz QUYDPDUQVSUKMQ-INIZCTEOSA-N 1 2 324.425 1.191 20 30 DDEDLO CC(C)C#CC(=O)NC1(CCO)C[NH+](CCc2ccccc2)C1 ZINC001329656862 863774595 /nfs/dbraw/zinc/77/45/95/863774595.db2.gz SDQSZDMQOICHQP-UHFFFAOYSA-N 1 2 314.429 1.442 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)c2cccc(C)c2)C1 ZINC001329952177 863971274 /nfs/dbraw/zinc/97/12/74/863971274.db2.gz JSOMAZIOWRQZBS-AWEZNQCLSA-N 1 2 315.417 1.347 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)OCCCC)C2)C1 ZINC001330147201 864103473 /nfs/dbraw/zinc/10/34/73/864103473.db2.gz HBNVVLKZPSUFLA-SJLPKXTDSA-N 1 2 322.449 1.518 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)OCCCC)C2)C1 ZINC001330147201 864103490 /nfs/dbraw/zinc/10/34/90/864103490.db2.gz HBNVVLKZPSUFLA-SJLPKXTDSA-N 1 2 322.449 1.518 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001158468557 864673454 /nfs/dbraw/zinc/67/34/54/864673454.db2.gz VQXGKLZZHNPQSD-ZIAGYGMSSA-N 1 2 315.421 1.666 20 30 DDEDLO CN1CCN(c2ncc(C=[NH+]N[C@@H]3CCCSC3)cn2)CC1 ZINC001330910197 864695083 /nfs/dbraw/zinc/69/50/83/864695083.db2.gz JOANUFZLTJKUBL-CQSZACIVSA-N 1 2 320.466 1.048 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](CO)[NH2+]Cc1cc(C(C)(C)C)on1 ZINC001331708400 865262371 /nfs/dbraw/zinc/26/23/71/865262371.db2.gz RPGYOKDBCRFJKY-AWEZNQCLSA-N 1 2 321.421 1.342 20 30 DDEDLO COC(=O)/C=C/c1cc(C)c[nH+]c1N[C@@H]1C(=O)N(O)C[C@@H]1C ZINC001160176400 865712718 /nfs/dbraw/zinc/71/27/18/865712718.db2.gz PRNKPOHGBOYQLH-OXRXFNFQSA-N 1 2 305.334 1.224 20 30 DDEDLO N#CCN1CC=C(CCNC(=O)[C@@H]2CCc3[nH+]ccn3C2)CC1 ZINC001160529130 865914222 /nfs/dbraw/zinc/91/42/22/865914222.db2.gz BTCCCPAXGAWUEV-OAHLLOKOSA-N 1 2 313.405 1.107 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1nccc(Cl)c1C#N ZINC001160691990 866033399 /nfs/dbraw/zinc/03/33/99/866033399.db2.gz ZSLPNVZIWGTCDX-LBPRGKRZSA-N 1 2 319.752 1.536 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@@H]1C[C@H]1C1CCCC1 ZINC001320066294 866453737 /nfs/dbraw/zinc/45/37/37/866453737.db2.gz FRGAVZVFFCZCOU-JKSUJKDBSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@@H]1C[C@H]1C1CCCC1 ZINC001320066294 866453742 /nfs/dbraw/zinc/45/37/42/866453742.db2.gz FRGAVZVFFCZCOU-JKSUJKDBSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@]1(C)c1ccccc1 ZINC001333258475 866567878 /nfs/dbraw/zinc/56/78/78/866567878.db2.gz QBPROXCHAVFGTC-MAUKXSAKSA-N 1 2 316.401 1.971 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)N[C@](CC)(C(C)C)C2=O)C1 ZINC001320307690 866631014 /nfs/dbraw/zinc/63/10/14/866631014.db2.gz CKYIYISMHYBOGP-CZUORRHYSA-N 1 2 309.410 1.577 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)N[C@](CC)(C(C)C)C2=O)C1 ZINC001320307690 866631020 /nfs/dbraw/zinc/63/10/20/866631020.db2.gz CKYIYISMHYBOGP-CZUORRHYSA-N 1 2 309.410 1.577 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc3cc[nH]c32)C1 ZINC001333585215 866861381 /nfs/dbraw/zinc/86/13/81/866861381.db2.gz ZOQJSLVVUSFHAV-AWEZNQCLSA-N 1 2 324.384 1.320 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc3cc[nH]c32)C1 ZINC001333585215 866861399 /nfs/dbraw/zinc/86/13/99/866861399.db2.gz ZOQJSLVVUSFHAV-AWEZNQCLSA-N 1 2 324.384 1.320 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CC[C@@](C)(CNCC#N)C1)n1cc[nH+]c1 ZINC001324739632 867489947 /nfs/dbraw/zinc/48/99/47/867489947.db2.gz BSSLQMJNPNEYLM-WBVHZDCISA-N 1 2 317.437 1.822 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNc2nc3ccccc3nc2C(C#N)C#N)C1 ZINC001162683297 867727611 /nfs/dbraw/zinc/72/76/11/867727611.db2.gz SPWXQPLOCCGQFR-CYBMUJFWSA-N 1 2 322.372 1.503 20 30 DDEDLO C[N@H+]1CCO[C@H](CNc2nc3ccccc3nc2C(C#N)C#N)C1 ZINC001162683297 867727621 /nfs/dbraw/zinc/72/76/21/867727621.db2.gz SPWXQPLOCCGQFR-CYBMUJFWSA-N 1 2 322.372 1.503 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNc2ccc(C#N)c(C(F)(F)F)n2)C1 ZINC001162683726 867728095 /nfs/dbraw/zinc/72/80/95/867728095.db2.gz YOVCUUYCBRBKNA-JTQLQIEISA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNc2ccc(C#N)c(C(F)(F)F)n2)C1 ZINC001162683726 867728100 /nfs/dbraw/zinc/72/81/00/867728100.db2.gz YOVCUUYCBRBKNA-JTQLQIEISA-N 1 2 300.284 1.715 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC001325286720 867915216 /nfs/dbraw/zinc/91/52/16/867915216.db2.gz ZMWCADCGWWVNLC-YOEHRIQHSA-N 1 2 306.450 1.635 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)C[N@H+](C)Cc1nc(C)cs1 ZINC001322156016 868011875 /nfs/dbraw/zinc/01/18/75/868011875.db2.gz INXYWTPRWJCZAB-LBPRGKRZSA-N 1 2 309.435 1.428 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)C[N@@H+](C)Cc1nc(C)cs1 ZINC001322156016 868011894 /nfs/dbraw/zinc/01/18/94/868011894.db2.gz INXYWTPRWJCZAB-LBPRGKRZSA-N 1 2 309.435 1.428 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@@H]2CCCC[C@H]2NC(=O)C#CC2CC2)no1 ZINC001226126742 882217073 /nfs/dbraw/zinc/21/70/73/882217073.db2.gz PFOZDTNFKPYXLN-NILFDRSVSA-N 1 2 316.405 1.869 20 30 DDEDLO COc1ncc(NC(=O)/C=C(/C)C[NH+]2CCOCC2)cc1C#N ZINC001336071107 868713525 /nfs/dbraw/zinc/71/35/25/868713525.db2.gz DAZZPAKCPWTBMG-GHXNOFRVSA-N 1 2 316.361 1.179 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NC[C@@H]1CCS(=O)(=O)C1 ZINC001336917081 869216162 /nfs/dbraw/zinc/21/61/62/869216162.db2.gz ZCUZLJYQMKKNNX-ZDUSSCGKSA-N 1 2 308.403 1.720 20 30 DDEDLO N#CCCc1ccc(NC(=O)C(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC001337331390 869440038 /nfs/dbraw/zinc/44/00/38/869440038.db2.gz ZXJALWGJIYAXGO-UHFFFAOYSA-N 1 2 323.356 1.320 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H](NC(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001338094037 869799704 /nfs/dbraw/zinc/79/97/04/869799704.db2.gz YIWHDNKLCYCCRO-GJZGRUSLSA-N 1 2 316.405 1.038 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001316977593 870041039 /nfs/dbraw/zinc/04/10/39/870041039.db2.gz JZVUDXPTOHHYIP-CQSZACIVSA-N 1 2 307.438 1.143 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001316977593 870041049 /nfs/dbraw/zinc/04/10/49/870041049.db2.gz JZVUDXPTOHHYIP-CQSZACIVSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([NH2+]Cc2ncc(C3CC3)o2)C1 ZINC001317057744 870178835 /nfs/dbraw/zinc/17/88/35/870178835.db2.gz IUGJOFIXEUDORD-AWEZNQCLSA-N 1 2 317.389 1.282 20 30 DDEDLO C=CCCCC(=O)NC[C@H](NC(=O)Cn1cc[nH+]c1)C(C)(C)C ZINC001338897350 870231774 /nfs/dbraw/zinc/23/17/74/870231774.db2.gz YKOXTZOCYIWTEV-AWEZNQCLSA-N 1 2 320.437 1.887 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001298022672 870242471 /nfs/dbraw/zinc/24/24/71/870242471.db2.gz XVRDMLWCDRGXES-YOEHRIQHSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCO[C@H](CC)C1 ZINC001339091566 870342543 /nfs/dbraw/zinc/34/25/43/870342543.db2.gz XPGIYHZPQDRPDT-CYBMUJFWSA-N 1 2 316.409 1.404 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001298629924 870651934 /nfs/dbraw/zinc/65/19/34/870651934.db2.gz VQBYUNLYKPRZCU-ZIAGYGMSSA-N 1 2 318.421 1.423 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001339826005 870717966 /nfs/dbraw/zinc/71/79/66/870717966.db2.gz CRSRTUUCJXOUTA-CHWSQXEVSA-N 1 2 304.394 1.155 20 30 DDEDLO N#CCN[C@H]1C[C@@H](NC(=O)CCCn2cc[nH+]c2)C12CCC2 ZINC001203813140 870772666 /nfs/dbraw/zinc/77/26/66/870772666.db2.gz BKKGHZPNTNSGEK-UONOGXRCSA-N 1 2 301.394 1.204 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@H]2C[C@@H](O)C[N@H+]2C)n1CC=C ZINC001340258400 870992638 /nfs/dbraw/zinc/99/26/38/870992638.db2.gz IWSVWNAVHHGKPI-KFWWJZLASA-N 1 2 315.421 1.194 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@H]2C[C@@H](O)C[N@@H+]2C)n1CC=C ZINC001340258400 870992650 /nfs/dbraw/zinc/99/26/50/870992650.db2.gz IWSVWNAVHHGKPI-KFWWJZLASA-N 1 2 315.421 1.194 20 30 DDEDLO Cc1[nH+]c[nH]c1CN1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001204591130 871167095 /nfs/dbraw/zinc/16/70/95/871167095.db2.gz XSJJUZQBBQXHFO-GFCCVEGCSA-N 1 2 305.382 1.663 20 30 DDEDLO C=CCCC(=O)NC1CC[NH+](Cc2cn(CC3CC3)nn2)CC1 ZINC001226527570 882485851 /nfs/dbraw/zinc/48/58/51/882485851.db2.gz LBBJAMFDBWQTRI-UHFFFAOYSA-N 1 2 317.437 1.735 20 30 DDEDLO C=CC1CCN(c2nnc([C@]3(C)C[C@@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001340762410 871335506 /nfs/dbraw/zinc/33/55/06/871335506.db2.gz KHEVSXKVLQJSFX-PBHICJAKSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CC1CCN(c2nnc([C@]3(C)C[C@@H](O)C[N@H+]3C)n2CC)CC1 ZINC001340762410 871335520 /nfs/dbraw/zinc/33/55/20/871335520.db2.gz KHEVSXKVLQJSFX-PBHICJAKSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)c2cc(C)n(C)c2C)CC1 ZINC001317823794 871549696 /nfs/dbraw/zinc/54/96/96/871549696.db2.gz BKYQRMZDZNEGAD-UHFFFAOYSA-N 1 2 318.465 1.518 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](CC(=O)NC(C)C)C2)C1 ZINC001317981376 871668451 /nfs/dbraw/zinc/66/84/51/871668451.db2.gz JXCDKHTVQUXHLL-AWEZNQCLSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](CC(=O)NC(C)C)C2)C1 ZINC001317981376 871668460 /nfs/dbraw/zinc/66/84/60/871668460.db2.gz JXCDKHTVQUXHLL-AWEZNQCLSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+](CC(=O)N(C)C)C2)CCCC1 ZINC001317994890 871677349 /nfs/dbraw/zinc/67/73/49/871677349.db2.gz HQMQWCLGNFNQCW-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+](CC(=O)N(C)C)C2)CCCC1 ZINC001317994890 871677352 /nfs/dbraw/zinc/67/73/52/871677352.db2.gz HQMQWCLGNFNQCW-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@@H]2C[C@@]2(C)C(C)C)C1 ZINC001318163818 871789693 /nfs/dbraw/zinc/78/96/93/871789693.db2.gz FPELDFFCZNBBKV-YOEHRIQHSA-N 1 2 307.438 1.019 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[N@@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001318331488 871937148 /nfs/dbraw/zinc/93/71/48/871937148.db2.gz QECNZYWWNRRYNM-LSDHHAIUSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001318331488 871937153 /nfs/dbraw/zinc/93/71/53/871937153.db2.gz QECNZYWWNRRYNM-LSDHHAIUSA-N 1 2 321.465 1.387 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCOCC[N@@H+](C)CC=C(Cl)Cl ZINC001316761232 872002210 /nfs/dbraw/zinc/00/22/10/872002210.db2.gz LWSFOJCLTYILDB-NSHDSACASA-N 1 2 322.236 1.872 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCOCC[N@H+](C)CC=C(Cl)Cl ZINC001316761232 872002191 /nfs/dbraw/zinc/00/21/91/872002191.db2.gz LWSFOJCLTYILDB-NSHDSACASA-N 1 2 322.236 1.872 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)OC)C1 ZINC001206450669 872338531 /nfs/dbraw/zinc/33/85/31/872338531.db2.gz ZBNHRFYIVNDOJX-YUSALJHKSA-N 1 2 319.243 1.757 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)OC)C1 ZINC001206450669 872338554 /nfs/dbraw/zinc/33/85/54/872338554.db2.gz ZBNHRFYIVNDOJX-YUSALJHKSA-N 1 2 319.243 1.757 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-c1cc(C#N)ncn1 ZINC001206483536 872367814 /nfs/dbraw/zinc/36/78/14/872367814.db2.gz UPKXBFCKVWZURK-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)Cc2cnccc2C)C1 ZINC001319307940 872557570 /nfs/dbraw/zinc/55/75/70/872557570.db2.gz KHMDJYJOOLTJTI-MRXNPFEDSA-N 1 2 303.406 1.326 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)Cc2cnccc2C)C1 ZINC001319307940 872557574 /nfs/dbraw/zinc/55/75/74/872557574.db2.gz KHMDJYJOOLTJTI-MRXNPFEDSA-N 1 2 303.406 1.326 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H](F)Cc2ccccc2)C1 ZINC001319333737 872585108 /nfs/dbraw/zinc/58/51/08/872585108.db2.gz VBDNCANOGGBPDM-SJORKVTESA-N 1 2 320.408 1.960 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H](F)Cc2ccccc2)C1 ZINC001319333737 872585118 /nfs/dbraw/zinc/58/51/18/872585118.db2.gz VBDNCANOGGBPDM-SJORKVTESA-N 1 2 320.408 1.960 20 30 DDEDLO CCn1cc2c(n1)C[N@@H+](Cc1cc(C#N)n(C)c1)C[C@H]2COC ZINC001207103948 873016190 /nfs/dbraw/zinc/01/61/90/873016190.db2.gz DCQCZBMJLBMQRI-AWEZNQCLSA-N 1 2 313.405 1.859 20 30 DDEDLO CCn1cc2c(n1)C[N@H+](Cc1cc(C#N)n(C)c1)C[C@H]2COC ZINC001207103948 873016209 /nfs/dbraw/zinc/01/62/09/873016209.db2.gz DCQCZBMJLBMQRI-AWEZNQCLSA-N 1 2 313.405 1.859 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(Cl)c([N+](=O)[O-])cn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226915622 882718956 /nfs/dbraw/zinc/71/89/56/882718956.db2.gz LTCRMLVYLDMZSJ-DWGKKXPTSA-N 1 2 311.725 1.634 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(Cl)c([N+](=O)[O-])cn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226915622 882718970 /nfs/dbraw/zinc/71/89/70/882718970.db2.gz LTCRMLVYLDMZSJ-DWGKKXPTSA-N 1 2 311.725 1.634 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([NH2+][C@H](C)c2nc(C)no2)C1 ZINC001207943518 873674708 /nfs/dbraw/zinc/67/47/08/873674708.db2.gz ADBLSWHZUILLKA-TZMCWYRMSA-N 1 2 304.394 1.823 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)NCc1cc[nH+]c(NC)c1 ZINC001346439725 873755055 /nfs/dbraw/zinc/75/50/55/873755055.db2.gz OCQAIWUYOLVABY-DZGCQCFKSA-N 1 2 304.394 1.904 20 30 DDEDLO C=CCC[C@@H]1CCCN1C(=O)NCC[N@@H+]1CCC2(C1)OCCO2 ZINC001346760874 873883683 /nfs/dbraw/zinc/88/36/83/873883683.db2.gz BYRRIHAKKYKHCP-OAHLLOKOSA-N 1 2 323.437 1.575 20 30 DDEDLO C=CCC[C@@H]1CCCN1C(=O)NCC[N@H+]1CCC2(C1)OCCO2 ZINC001346760874 873883696 /nfs/dbraw/zinc/88/36/96/873883696.db2.gz BYRRIHAKKYKHCP-OAHLLOKOSA-N 1 2 323.437 1.575 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2nccc(C)n2)C[C@H]1C ZINC001208371157 874072671 /nfs/dbraw/zinc/07/26/71/874072671.db2.gz HUBVNMHOCNWFAE-GDBMZVCRSA-N 1 2 314.433 1.915 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2nccc(C)n2)C[C@H]1C ZINC001208371157 874072691 /nfs/dbraw/zinc/07/26/91/874072691.db2.gz HUBVNMHOCNWFAE-GDBMZVCRSA-N 1 2 314.433 1.915 20 30 DDEDLO COCC#CC(=O)Nc1ccccc1C[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001347323253 874099042 /nfs/dbraw/zinc/09/90/42/874099042.db2.gz NOZZWPUULITEMM-GASCZTMLSA-N 1 2 316.401 1.884 20 30 DDEDLO COCC#CC(=O)Nc1ccccc1C[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001347323253 874099054 /nfs/dbraw/zinc/09/90/54/874099054.db2.gz NOZZWPUULITEMM-GASCZTMLSA-N 1 2 316.401 1.884 20 30 DDEDLO Cn1cc(CN2CCC23C[NH+](Cc2ncccc2O)C3)cc1C#N ZINC001276591343 874493066 /nfs/dbraw/zinc/49/30/66/874493066.db2.gz JQXBOUZNFWJTLQ-UHFFFAOYSA-N 1 2 323.400 1.458 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4cnsc4)C3)C2)cc1C#N ZINC001276604953 874580172 /nfs/dbraw/zinc/58/01/72/874580172.db2.gz VYGBULZFXSTTTN-UHFFFAOYSA-N 1 2 313.430 1.671 20 30 DDEDLO C=CCN(CC[N@@H+]1CCO[C@@H]2C[C@@H](CO)C[C@H]21)C(=O)OCC ZINC001209018109 874604328 /nfs/dbraw/zinc/60/43/28/874604328.db2.gz BWXRAVUYROALIU-RRFJBIMHSA-N 1 2 312.410 1.103 20 30 DDEDLO C=CCN(CC[N@H+]1CCO[C@@H]2C[C@@H](CO)C[C@H]21)C(=O)OCC ZINC001209018109 874604335 /nfs/dbraw/zinc/60/43/35/874604335.db2.gz BWXRAVUYROALIU-RRFJBIMHSA-N 1 2 312.410 1.103 20 30 DDEDLO C=CCN(CC[N@@H+]1CC(=O)NC2(CCCC2)C1)C(=O)OCC ZINC001209023098 874609396 /nfs/dbraw/zinc/60/93/96/874609396.db2.gz AFBQINWUZNCLGE-UHFFFAOYSA-N 1 2 309.410 1.376 20 30 DDEDLO C=CCN(CC[N@H+]1CC(=O)NC2(CCCC2)C1)C(=O)OCC ZINC001209023098 874609405 /nfs/dbraw/zinc/60/94/05/874609405.db2.gz AFBQINWUZNCLGE-UHFFFAOYSA-N 1 2 309.410 1.376 20 30 DDEDLO CCC(=O)NC[C@@H]1C[N@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC001378645440 875311995 /nfs/dbraw/zinc/31/19/95/875311995.db2.gz KHPNFFWSDYMCBL-MRXNPFEDSA-N 1 2 317.389 1.316 20 30 DDEDLO CCC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC001378645440 875312013 /nfs/dbraw/zinc/31/20/13/875312013.db2.gz KHPNFFWSDYMCBL-MRXNPFEDSA-N 1 2 317.389 1.316 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NCCCNC(=O)C#CC(C)(C)C ZINC001350008453 875497550 /nfs/dbraw/zinc/49/75/50/875497550.db2.gz BEPKCCIXGMBFBR-UHFFFAOYSA-N 1 2 318.421 1.025 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001350233560 875591317 /nfs/dbraw/zinc/59/13/17/875591317.db2.gz DXWZBGAUQVUYSU-PBFPGSCMSA-N 1 2 318.421 1.738 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001350233560 875591323 /nfs/dbraw/zinc/59/13/23/875591323.db2.gz DXWZBGAUQVUYSU-PBFPGSCMSA-N 1 2 318.421 1.738 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001213258333 875863997 /nfs/dbraw/zinc/86/39/97/875863997.db2.gz LXNFLIFHUOLGHF-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001213258333 875864005 /nfs/dbraw/zinc/86/40/05/875864005.db2.gz LXNFLIFHUOLGHF-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@H]1OC ZINC001213268712 875865051 /nfs/dbraw/zinc/86/50/51/875865051.db2.gz PKORFKIXSZBBBU-NWANDNLSSA-N 1 2 318.421 1.565 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@H]1OC ZINC001213268712 875865056 /nfs/dbraw/zinc/86/50/56/875865056.db2.gz PKORFKIXSZBBBU-NWANDNLSSA-N 1 2 318.421 1.565 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCC2(C)C)[C@H](OC)C1 ZINC001213290673 875879044 /nfs/dbraw/zinc/87/90/44/875879044.db2.gz XBJRDYHOBMHQQA-ARFHVFGLSA-N 1 2 322.449 1.278 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCC2(C)C)[C@H](OC)C1 ZINC001213290673 875879057 /nfs/dbraw/zinc/87/90/57/875879057.db2.gz XBJRDYHOBMHQQA-ARFHVFGLSA-N 1 2 322.449 1.278 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213322725 875899754 /nfs/dbraw/zinc/89/97/54/875899754.db2.gz PGNCUILHIFOAAI-NUEKZKHPSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213322725 875899760 /nfs/dbraw/zinc/89/97/60/875899760.db2.gz PGNCUILHIFOAAI-NUEKZKHPSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)C1CC(C)(C)C1 ZINC001351606541 876346947 /nfs/dbraw/zinc/34/69/47/876346947.db2.gz ZOABCDQSDMHECE-UONOGXRCSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)C1CC(C)(C)C1 ZINC001351606541 876346960 /nfs/dbraw/zinc/34/69/60/876346960.db2.gz ZOABCDQSDMHECE-UONOGXRCSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCOCC[NH2+][C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001214672340 876433946 /nfs/dbraw/zinc/43/39/46/876433946.db2.gz IWKMLLWLGXXKCH-GMTAPVOTSA-N 1 2 312.307 1.526 20 30 DDEDLO C=CCOCC[NH2+][C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1C ZINC001214672340 876433951 /nfs/dbraw/zinc/43/39/51/876433951.db2.gz IWKMLLWLGXXKCH-GMTAPVOTSA-N 1 2 312.307 1.526 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001352909235 877002014 /nfs/dbraw/zinc/00/20/14/877002014.db2.gz KEHOYXDDVXKMJY-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C2CC2)n1C[C@@H](C)[NH+]1CCOCC1 ZINC001300305621 877351518 /nfs/dbraw/zinc/35/15/18/877351518.db2.gz XRTCRHJGURZKRK-ZIAGYGMSSA-N 1 2 317.437 1.334 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cncs3)C[C@@H]21 ZINC001218041646 877380318 /nfs/dbraw/zinc/38/03/18/877380318.db2.gz YFUDIVWGKLNSFU-LSDHHAIUSA-N 1 2 321.446 1.911 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cncs3)C[C@@H]21 ZINC001218041646 877380331 /nfs/dbraw/zinc/38/03/31/877380331.db2.gz YFUDIVWGKLNSFU-LSDHHAIUSA-N 1 2 321.446 1.911 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001353568260 877423283 /nfs/dbraw/zinc/42/32/83/877423283.db2.gz QEQJPTPKYKPDOB-AAVRWANBSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001353624674 877453499 /nfs/dbraw/zinc/45/34/99/877453499.db2.gz VJVSGZJJRZWRJI-IHRRRGAJSA-N 1 2 318.421 1.708 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001353624674 877453506 /nfs/dbraw/zinc/45/35/06/877453506.db2.gz VJVSGZJJRZWRJI-IHRRRGAJSA-N 1 2 318.421 1.708 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@H](C)Cc1cnn(C)c1 ZINC001377348639 921110607 /nfs/dbraw/zinc/11/06/07/921110607.db2.gz CERMYAMTNGIKDI-GFCCVEGCSA-N 1 2 312.845 1.789 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@H](C)Cc1cnn(C)c1 ZINC001377348639 921110614 /nfs/dbraw/zinc/11/06/14/921110614.db2.gz CERMYAMTNGIKDI-GFCCVEGCSA-N 1 2 312.845 1.789 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)COCCCCCC)[C@H]2C1 ZINC001219010552 877871992 /nfs/dbraw/zinc/87/19/92/877871992.db2.gz YDTHVMRLDLSKLM-JKSUJKDBSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)COCCCCCC)[C@H]2C1 ZINC001219010552 877872001 /nfs/dbraw/zinc/87/20/01/877872001.db2.gz YDTHVMRLDLSKLM-JKSUJKDBSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@H](C=C)c3ccccc3)[C@H]2C1 ZINC001219045341 877886389 /nfs/dbraw/zinc/88/63/89/877886389.db2.gz BCOHBXBQPZLFQL-YTQUADARSA-N 1 2 324.424 1.891 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@H](C=C)c3ccccc3)[C@H]2C1 ZINC001219045341 877886398 /nfs/dbraw/zinc/88/63/98/877886398.db2.gz BCOHBXBQPZLFQL-YTQUADARSA-N 1 2 324.424 1.891 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2cccc(F)c2)C[C@@H]1O ZINC001219581085 878359097 /nfs/dbraw/zinc/35/90/97/878359097.db2.gz GHKZRGLCFZEBNK-CVEARBPZSA-N 1 2 304.365 1.146 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2cccc(F)c2)C[C@@H]1O ZINC001219581085 878359111 /nfs/dbraw/zinc/35/91/11/878359111.db2.gz GHKZRGLCFZEBNK-CVEARBPZSA-N 1 2 304.365 1.146 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001219706971 878468597 /nfs/dbraw/zinc/46/85/97/878468597.db2.gz XTEIPFHOMOHPIL-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001219706971 878468605 /nfs/dbraw/zinc/46/86/05/878468605.db2.gz XTEIPFHOMOHPIL-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220205446 878817074 /nfs/dbraw/zinc/81/70/74/878817074.db2.gz AJIDPDFPDOULGA-CFVMTHIKSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220205446 878817077 /nfs/dbraw/zinc/81/70/77/878817077.db2.gz AJIDPDFPDOULGA-CFVMTHIKSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@@H]1O ZINC001220245807 878849502 /nfs/dbraw/zinc/84/95/02/878849502.db2.gz IMGTYGZGIXMVKO-CFVMTHIKSA-N 1 2 304.394 1.055 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@@H]1O ZINC001220245807 878849517 /nfs/dbraw/zinc/84/95/17/878849517.db2.gz IMGTYGZGIXMVKO-CFVMTHIKSA-N 1 2 304.394 1.055 20 30 DDEDLO C=CCn1c([C@H](C)C(C)C)nnc1N(C)CC[NH+]1CCOCC1 ZINC001356180349 878908470 /nfs/dbraw/zinc/90/84/70/878908470.db2.gz SNFHNEVJZNDPBI-OAHLLOKOSA-N 1 2 321.469 1.992 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001287691496 912400212 /nfs/dbraw/zinc/40/02/12/912400212.db2.gz UUYXCMIAXUNZLI-HNNXBMFYSA-N 1 2 318.421 1.430 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc(C[N@@H+]3CCC[C@H](O)C3)n2CC)C1 ZINC001356502517 879080642 /nfs/dbraw/zinc/08/06/42/879080642.db2.gz SZWNYLYDLPSRGU-CABCVRRESA-N 1 2 317.437 1.104 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc(C[N@H+]3CCC[C@H](O)C3)n2CC)C1 ZINC001356502517 879080651 /nfs/dbraw/zinc/08/06/51/879080651.db2.gz SZWNYLYDLPSRGU-CABCVRRESA-N 1 2 317.437 1.104 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCN1C(=O)CCc1[nH+]ccn1C ZINC001356996934 879670968 /nfs/dbraw/zinc/67/09/68/879670968.db2.gz BWQKWEHKEUNHMS-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)CC(=C)C)[C@@H]2C1 ZINC001221753644 880058483 /nfs/dbraw/zinc/05/84/83/880058483.db2.gz JIQPZBUUQUBOAV-BZUAXINKSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)CC(=C)C)[C@@H]2C1 ZINC001221753644 880058500 /nfs/dbraw/zinc/05/85/00/880058500.db2.gz JIQPZBUUQUBOAV-BZUAXINKSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCC3(C)C)[C@@H]2C1 ZINC001221756128 880060553 /nfs/dbraw/zinc/06/05/53/880060553.db2.gz NLNYGKJOVPRXGA-QLFBSQMISA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCC3(C)C)[C@@H]2C1 ZINC001221756128 880060567 /nfs/dbraw/zinc/06/05/67/880060567.db2.gz NLNYGKJOVPRXGA-QLFBSQMISA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001222068249 880213449 /nfs/dbraw/zinc/21/34/49/880213449.db2.gz RKJXOYDYOYBIIY-OLZOCXBDSA-N 1 2 322.409 1.304 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)[C@@H]2CCCOC2)C1 ZINC001380732785 880297927 /nfs/dbraw/zinc/29/79/27/880297927.db2.gz YGTBYSLDYJLVIF-UKRRQHHQSA-N 1 2 316.829 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)[C@@H]2CCCOC2)C1 ZINC001380732785 880297945 /nfs/dbraw/zinc/29/79/45/880297945.db2.gz YGTBYSLDYJLVIF-UKRRQHHQSA-N 1 2 316.829 1.109 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001358360032 880410207 /nfs/dbraw/zinc/41/02/07/880410207.db2.gz KZUUYAKPHGSMIM-WBMJQRKESA-N 1 2 306.410 1.566 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001358360032 880410215 /nfs/dbraw/zinc/41/02/15/880410215.db2.gz KZUUYAKPHGSMIM-WBMJQRKESA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](Cc2nnnn2C2CC2)CC1 ZINC001222578647 880571612 /nfs/dbraw/zinc/57/16/12/880571612.db2.gz CKZUTHHOMDVUHT-UHFFFAOYSA-N 1 2 318.425 1.302 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](C)N(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001287942270 912610880 /nfs/dbraw/zinc/61/08/80/912610880.db2.gz KKVLUFNWTIORJH-YPMHNXCESA-N 1 2 304.394 1.332 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cn(C)nn3)[C@H]2C1 ZINC001223402253 880949726 /nfs/dbraw/zinc/94/97/26/880949726.db2.gz XVWVPIQGHDDCHC-ZBFHGGJFSA-N 1 2 317.437 1.594 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3cn(C)nn3)[C@H]2C1 ZINC001223402253 880949740 /nfs/dbraw/zinc/94/97/40/880949740.db2.gz XVWVPIQGHDDCHC-ZBFHGGJFSA-N 1 2 317.437 1.594 20 30 DDEDLO C=CCCC(=O)N1CC[C@]2(NC(=O)Cn3cc[nH+]c3)CCC[C@@H]12 ZINC001287975624 912635655 /nfs/dbraw/zinc/63/56/55/912635655.db2.gz VBOHOIOKTBDHQF-RHSMWYFYSA-N 1 2 316.405 1.489 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001288027457 912673873 /nfs/dbraw/zinc/67/38/73/912673873.db2.gz DVMCUJZPRMMYBA-OLZOCXBDSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001288045071 912692112 /nfs/dbraw/zinc/69/21/12/912692112.db2.gz MOUCTGZOBPNGOJ-KBPBESRZSA-N 1 2 318.421 1.628 20 30 DDEDLO COc1cc2c(cc1O[C@@H]1C[C@@H]3[C@H]4O[C@H]4[C@H](C1)[N@H+]3C)C=NCC2 ZINC001228086791 883324939 /nfs/dbraw/zinc/32/49/39/883324939.db2.gz BFDMQLVDHWHEAK-PCIHELECSA-N 1 2 314.385 1.661 20 30 DDEDLO COc1cc2c(cc1O[C@@H]1C[C@@H]3[C@H]4O[C@H]4[C@H](C1)[N@@H+]3C)C=NCC2 ZINC001228086791 883324960 /nfs/dbraw/zinc/32/49/60/883324960.db2.gz BFDMQLVDHWHEAK-PCIHELECSA-N 1 2 314.385 1.661 20 30 DDEDLO CC#CCCCC(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)[nH]2)CC1 ZINC001228779756 883667055 /nfs/dbraw/zinc/66/70/55/883667055.db2.gz SPRMKXXCDACWST-ZDUSSCGKSA-N 1 2 317.437 1.948 20 30 DDEDLO N#CCC1CN(C(=O)[C@H]2[C@@H]3CC[C@@H](C3)[C@H]2[NH2+]Cc2ccn[nH]2)C1 ZINC001277342882 883739723 /nfs/dbraw/zinc/73/97/23/883739723.db2.gz DCJDNLRYVWNAMW-BFJAYTPKSA-N 1 2 313.405 1.286 20 30 DDEDLO N#Cc1cccc([C@@H]2C[C@H]2C(=O)N[C@H]2CCn3cc[nH+]c3C2)c1 ZINC001362518577 883990794 /nfs/dbraw/zinc/99/07/94/883990794.db2.gz JVYUDTHZTRPZTL-HRCADAONSA-N 1 2 306.369 1.989 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccoc1 ZINC001230758986 884840100 /nfs/dbraw/zinc/84/01/00/884840100.db2.gz MZNAGZZFCASQCF-GXTWGEPZSA-N 1 2 305.378 1.117 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccoc1 ZINC001230758986 884840118 /nfs/dbraw/zinc/84/01/18/884840118.db2.gz MZNAGZZFCASQCF-GXTWGEPZSA-N 1 2 305.378 1.117 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770901 884858844 /nfs/dbraw/zinc/85/88/44/884858844.db2.gz WJFUWQFDMGNEPD-UONOGXRCSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770901 884858854 /nfs/dbraw/zinc/85/88/54/884858854.db2.gz WJFUWQFDMGNEPD-UONOGXRCSA-N 1 2 309.454 1.646 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccccc1C#N)C(=O)Cn1cccn1 ZINC001230772602 884859921 /nfs/dbraw/zinc/85/99/21/884859921.db2.gz IFPPVDXEYHPWHM-KRWDZBQOSA-N 1 2 323.400 1.488 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccccc1C#N)C(=O)Cn1cccn1 ZINC001230772602 884859941 /nfs/dbraw/zinc/85/99/41/884859941.db2.gz IFPPVDXEYHPWHM-KRWDZBQOSA-N 1 2 323.400 1.488 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@H]2CN(C)C(=O)CSCC#N)o1 ZINC001230815251 884917379 /nfs/dbraw/zinc/91/73/79/884917379.db2.gz MRXMMKDDBKXGJT-LBPRGKRZSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@H]2CN(C)C(=O)CSCC#N)o1 ZINC001230815251 884917397 /nfs/dbraw/zinc/91/73/97/884917397.db2.gz MRXMMKDDBKXGJT-LBPRGKRZSA-N 1 2 308.407 1.273 20 30 DDEDLO C=C[C@@H]1O[C@@H](Oc2[nH+][nH]cc3nncc2-3)[C@H]2OC(C)(C)O[C@@H]12 ZINC001230901580 885030548 /nfs/dbraw/zinc/03/05/48/885030548.db2.gz HVHFQMLTFFSBBA-ZPFDUUQYSA-N 1 2 304.306 1.163 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001231102505 885225708 /nfs/dbraw/zinc/22/57/08/885225708.db2.gz JBCJFZIAEIELIC-UKRRQHHQSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001231102505 885225721 /nfs/dbraw/zinc/22/57/21/885225721.db2.gz JBCJFZIAEIELIC-UKRRQHHQSA-N 1 2 321.421 1.997 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@@H](CC#N)c2ccccc2)[C@@H](C)CO1 ZINC001363045089 885318195 /nfs/dbraw/zinc/31/81/95/885318195.db2.gz CFWICIRMJGMLQD-UXLLHSPISA-N 1 2 315.417 1.909 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@@H](CC#N)c2ccccc2)[C@@H](C)CO1 ZINC001363045089 885318211 /nfs/dbraw/zinc/31/82/11/885318211.db2.gz CFWICIRMJGMLQD-UXLLHSPISA-N 1 2 315.417 1.909 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1CC ZINC001231234841 885412216 /nfs/dbraw/zinc/41/22/16/885412216.db2.gz WXWBIBBPPWUKMK-AWEZNQCLSA-N 1 2 307.394 1.472 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1CC ZINC001231234841 885412222 /nfs/dbraw/zinc/41/22/22/885412222.db2.gz WXWBIBBPPWUKMK-AWEZNQCLSA-N 1 2 307.394 1.472 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)c1cnc(C)cn1 ZINC001231241818 885420744 /nfs/dbraw/zinc/42/07/44/885420744.db2.gz ZSUWFUOURCYFRX-GJZGRUSLSA-N 1 2 318.421 1.581 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)c1cnc(C)cn1 ZINC001231241818 885420756 /nfs/dbraw/zinc/42/07/56/885420756.db2.gz ZSUWFUOURCYFRX-GJZGRUSLSA-N 1 2 318.421 1.581 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)CC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001374472401 912982570 /nfs/dbraw/zinc/98/25/70/912982570.db2.gz SWUZCWREVIEKCN-CYBMUJFWSA-N 1 2 321.396 1.870 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)CC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001374472401 912982582 /nfs/dbraw/zinc/98/25/82/912982582.db2.gz SWUZCWREVIEKCN-CYBMUJFWSA-N 1 2 321.396 1.870 20 30 DDEDLO Cc1csc(C[N@@H+](C)CCN(C)C(=O)c2ccc(C#N)[nH]2)n1 ZINC001374481967 913003711 /nfs/dbraw/zinc/00/37/11/913003711.db2.gz ASSJHMSQMKPTDA-UHFFFAOYSA-N 1 2 317.418 1.855 20 30 DDEDLO Cc1csc(C[N@H+](C)CCN(C)C(=O)c2ccc(C#N)[nH]2)n1 ZINC001374481967 913003727 /nfs/dbraw/zinc/00/37/27/913003727.db2.gz ASSJHMSQMKPTDA-UHFFFAOYSA-N 1 2 317.418 1.855 20 30 DDEDLO CC(C)(C)OC(=O)NCC1C[NH+](Cc2cc(C#N)ccn2)C1 ZINC001232477971 886419255 /nfs/dbraw/zinc/41/92/55/886419255.db2.gz QMYHEIFRVYTXDI-UHFFFAOYSA-N 1 2 302.378 1.910 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cncc(Br)c2C)CC1 ZINC001232531061 886456074 /nfs/dbraw/zinc/45/60/74/886456074.db2.gz RQGHNKJHNJWOSE-UHFFFAOYSA-N 1 2 308.223 1.903 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)[C@@H](C[NH2+]Cc1nnn(C)n1)C1CC1 ZINC001277702528 886542426 /nfs/dbraw/zinc/54/24/26/886542426.db2.gz KWYMVKGDAGNZPQ-ZDUSSCGKSA-N 1 2 320.441 1.139 20 30 DDEDLO Cc1cc(C)c(C[NH+]2CCN(c3nccnc3C#N)CC2)cn1 ZINC001233009624 886721422 /nfs/dbraw/zinc/72/14/22/886721422.db2.gz GZDNMWOGADQVMH-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cncc(F)c1 ZINC001233601093 887140945 /nfs/dbraw/zinc/14/09/45/887140945.db2.gz QFXHRACJEXMXGY-OAHLLOKOSA-N 1 2 305.353 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cncc(F)c1 ZINC001233601093 887140948 /nfs/dbraw/zinc/14/09/48/887140948.db2.gz QFXHRACJEXMXGY-OAHLLOKOSA-N 1 2 305.353 1.017 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)cn1 ZINC001233946848 887486789 /nfs/dbraw/zinc/48/67/89/887486789.db2.gz FEVLQCMHAVXHDF-MRXNPFEDSA-N 1 2 300.406 1.621 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)cn1 ZINC001233946848 887486796 /nfs/dbraw/zinc/48/67/96/887486796.db2.gz FEVLQCMHAVXHDF-MRXNPFEDSA-N 1 2 300.406 1.621 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCCC ZINC001233947435 887488157 /nfs/dbraw/zinc/48/81/57/887488157.db2.gz MCWFMDXCYFOLIM-LSDHHAIUSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCC ZINC001233947435 887488166 /nfs/dbraw/zinc/48/81/66/887488166.db2.gz MCWFMDXCYFOLIM-LSDHHAIUSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)C(C)C ZINC001233967203 887507888 /nfs/dbraw/zinc/50/78/88/887507888.db2.gz XIPFDLBXLAQORN-OAHLLOKOSA-N 1 2 307.438 1.189 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)C(C)C ZINC001233967203 887507894 /nfs/dbraw/zinc/50/78/94/887507894.db2.gz XIPFDLBXLAQORN-OAHLLOKOSA-N 1 2 307.438 1.189 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234029764 887569028 /nfs/dbraw/zinc/56/90/28/887569028.db2.gz JSJVMEVHXUYPRV-ZFWWWQNUSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234029764 887569042 /nfs/dbraw/zinc/56/90/42/887569042.db2.gz JSJVMEVHXUYPRV-ZFWWWQNUSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)CCCC ZINC001234039510 887582644 /nfs/dbraw/zinc/58/26/44/887582644.db2.gz MYJSQMYLVYOQNW-HUUCEWRRSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)CCCC ZINC001234039510 887582652 /nfs/dbraw/zinc/58/26/52/887582652.db2.gz MYJSQMYLVYOQNW-HUUCEWRRSA-N 1 2 309.454 1.648 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cnn(C)c1)C(=O)C#CC(C)(C)C ZINC001234194113 887736243 /nfs/dbraw/zinc/73/62/43/887736243.db2.gz ANHNXKUUWDHMOH-OAHLLOKOSA-N 1 2 302.422 1.502 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cnn(C)c1)C(=O)C#CC(C)(C)C ZINC001234194113 887736256 /nfs/dbraw/zinc/73/62/56/887736256.db2.gz ANHNXKUUWDHMOH-OAHLLOKOSA-N 1 2 302.422 1.502 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)c1cnccn1 ZINC001234247145 887791754 /nfs/dbraw/zinc/79/17/54/887791754.db2.gz XXKIRVNRUFPAEF-LSDHHAIUSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)c1cnccn1 ZINC001234247145 887791773 /nfs/dbraw/zinc/79/17/73/887791773.db2.gz XXKIRVNRUFPAEF-LSDHHAIUSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ocnc1C ZINC001234266829 887804335 /nfs/dbraw/zinc/80/43/35/887804335.db2.gz UOQFWYQSXCDKIX-KGLIPLIRSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ocnc1C ZINC001234266829 887804345 /nfs/dbraw/zinc/80/43/45/887804345.db2.gz UOQFWYQSXCDKIX-KGLIPLIRSA-N 1 2 305.378 1.054 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001234403649 887942967 /nfs/dbraw/zinc/94/29/67/887942967.db2.gz WODZSQGNKNIQRR-XMTFNYHQSA-N 1 2 316.445 1.771 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001234403649 887942981 /nfs/dbraw/zinc/94/29/81/887942981.db2.gz WODZSQGNKNIQRR-XMTFNYHQSA-N 1 2 316.445 1.771 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001234562652 888100870 /nfs/dbraw/zinc/10/08/70/888100870.db2.gz IQYMILMCVHSJGF-ZFWWWQNUSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001234562652 888100872 /nfs/dbraw/zinc/10/08/72/888100872.db2.gz IQYMILMCVHSJGF-ZFWWWQNUSA-N 1 2 321.465 1.789 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(F)cncc1F ZINC001234574910 888113001 /nfs/dbraw/zinc/11/30/01/888113001.db2.gz ZAMZOVHMGHNNPW-GFCCVEGCSA-N 1 2 323.343 1.156 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(F)cncc1F ZINC001234574910 888113012 /nfs/dbraw/zinc/11/30/12/888113012.db2.gz ZAMZOVHMGHNNPW-GFCCVEGCSA-N 1 2 323.343 1.156 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)Cc1nnc(C)n1CCC ZINC001235480959 888670668 /nfs/dbraw/zinc/67/06/68/888670668.db2.gz QFHSVPYUXGTPPY-UHFFFAOYSA-N 1 2 307.442 1.901 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)Cc1nnc(C)n1CCC ZINC001235480959 888670678 /nfs/dbraw/zinc/67/06/78/888670678.db2.gz QFHSVPYUXGTPPY-UHFFFAOYSA-N 1 2 307.442 1.901 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3nccc(C)n3)CC2)ccc1C#N ZINC001238414925 890203919 /nfs/dbraw/zinc/20/39/19/890203919.db2.gz CCXLMTFGVILDQH-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H]1CC ZINC001290464336 913433668 /nfs/dbraw/zinc/43/36/68/913433668.db2.gz XFNSCHHXTZJSGG-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO Cn1c[nH+]cc1C1CCN(S(=O)(=O)CC2(C#N)CC2)CC1 ZINC001365473856 890863853 /nfs/dbraw/zinc/86/38/53/890863853.db2.gz XBBKUYFVOHDPHS-UHFFFAOYSA-N 1 2 308.407 1.233 20 30 DDEDLO COc1nc(-c2ccccc2C[NH+]2CCOCC2)ncc1C#N ZINC001241980149 891318851 /nfs/dbraw/zinc/31/88/51/891318851.db2.gz KPMKYKCCMOCDRL-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001278369844 891719629 /nfs/dbraw/zinc/71/96/29/891719629.db2.gz ZZDLVSWIPPSRLI-INIZCTEOSA-N 1 2 306.410 1.012 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001278369844 891719638 /nfs/dbraw/zinc/71/96/38/891719638.db2.gz ZZDLVSWIPPSRLI-INIZCTEOSA-N 1 2 306.410 1.012 20 30 DDEDLO C#CCOCCC(=O)N[C@@]1(C)CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001278397696 891992069 /nfs/dbraw/zinc/99/20/69/891992069.db2.gz VKISLDQPICFBTB-YOEHRIQHSA-N 1 2 316.405 1.158 20 30 DDEDLO C#CCOCCC(=O)N[C@@]1(C)CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001278397696 891992078 /nfs/dbraw/zinc/99/20/78/891992078.db2.gz VKISLDQPICFBTB-YOEHRIQHSA-N 1 2 316.405 1.158 20 30 DDEDLO C=CCCC(=O)NC1CC2(C1)CC(NC(=O)Cc1[nH]cc[nH+]1)C2 ZINC001292424947 913676053 /nfs/dbraw/zinc/67/60/53/913676053.db2.gz QCBYFXTXZWCGIE-UHFFFAOYSA-N 1 2 316.405 1.462 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@]12CCC[C@H]1N(CC#N)CC2 ZINC001278465760 892897421 /nfs/dbraw/zinc/89/74/21/892897421.db2.gz CFEWUKWQUXRNHJ-XPKDYRNWSA-N 1 2 301.394 1.225 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@]12CCC[C@H]1N(CC#N)CC2 ZINC001278465760 892897440 /nfs/dbraw/zinc/89/74/40/892897440.db2.gz CFEWUKWQUXRNHJ-XPKDYRNWSA-N 1 2 301.394 1.225 20 30 DDEDLO CCOC(=O)[C@@H](C)n1cc([NH+]=C(NO)c2ccccc2N)cn1 ZINC001248387444 893625461 /nfs/dbraw/zinc/62/54/61/893625461.db2.gz MLMVBOLHJUWZKR-SNVBAGLBSA-N 1 2 317.349 1.647 20 30 DDEDLO C#CC[NH+]1CCN(Cc2c[nH]c(NC(=O)OC(C)(C)C)n2)CC1 ZINC001249424439 893984095 /nfs/dbraw/zinc/98/40/95/893984095.db2.gz NOHLKEVSLNBHFI-UHFFFAOYSA-N 1 2 319.409 1.508 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2nccs2)[C@H]1C ZINC001278527777 894089546 /nfs/dbraw/zinc/08/95/46/894089546.db2.gz WDHHTXGIGFLDAG-CHWSQXEVSA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2nccs2)[C@H]1C ZINC001278527777 894089561 /nfs/dbraw/zinc/08/95/61/894089561.db2.gz WDHHTXGIGFLDAG-CHWSQXEVSA-N 1 2 307.419 1.376 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)[C@H](CC)OC ZINC001366649101 894435569 /nfs/dbraw/zinc/43/55/69/894435569.db2.gz KRQVVKARBSCYLC-RYUDHWBXSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H](CC)OC ZINC001366649101 894435573 /nfs/dbraw/zinc/43/55/73/894435573.db2.gz KRQVVKARBSCYLC-RYUDHWBXSA-N 1 2 319.243 1.901 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCCn2cnc(C(=O)OCC)c2C1 ZINC001252588557 895292442 /nfs/dbraw/zinc/29/24/42/895292442.db2.gz CXRAOSGEKKYJDF-ZDUSSCGKSA-N 1 2 307.394 1.593 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCCn2cnc(C(=O)OCC)c2C1 ZINC001252588557 895292459 /nfs/dbraw/zinc/29/24/59/895292459.db2.gz CXRAOSGEKKYJDF-ZDUSSCGKSA-N 1 2 307.394 1.593 20 30 DDEDLO N#Cc1ccc(CN2CC(NC(=O)CCn3cc[nH+]c3)C2)s1 ZINC001366931720 895365543 /nfs/dbraw/zinc/36/55/43/895365543.db2.gz KPIQNPYIECWZJX-UHFFFAOYSA-N 1 2 315.402 1.207 20 30 DDEDLO N#C[C@H]1CN(C[C@@]2(O)CC[N@H+](Cc3ccccc3)C2)CCC1=O ZINC001252930397 895560138 /nfs/dbraw/zinc/56/01/38/895560138.db2.gz UUOMKVUCRDYVGC-WMZOPIPTSA-N 1 2 313.401 1.038 20 30 DDEDLO N#C[C@H]1CN(C[C@@]2(O)CC[N@@H+](Cc3ccccc3)C2)CCC1=O ZINC001252930397 895560145 /nfs/dbraw/zinc/56/01/45/895560145.db2.gz UUOMKVUCRDYVGC-WMZOPIPTSA-N 1 2 313.401 1.038 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H](NC(N)=O)C(C)(C)C)C1 ZINC001367033015 895630011 /nfs/dbraw/zinc/63/00/11/895630011.db2.gz DDVJMRDEPWGYMS-GHMZBOCLSA-N 1 2 316.833 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](NC(N)=O)C(C)(C)C)C1 ZINC001367033015 895630018 /nfs/dbraw/zinc/63/00/18/895630018.db2.gz DDVJMRDEPWGYMS-GHMZBOCLSA-N 1 2 316.833 1.012 20 30 DDEDLO C=C(Br)C[N@@H+](CCO)[C@@H]1CCCN(C(C)=O)C1 ZINC001388774675 895873388 /nfs/dbraw/zinc/87/33/88/895873388.db2.gz FDRYTDNQUMIMMD-GFCCVEGCSA-N 1 2 305.216 1.200 20 30 DDEDLO C=C(Br)C[N@H+](CCO)[C@@H]1CCCN(C(C)=O)C1 ZINC001388774675 895873398 /nfs/dbraw/zinc/87/33/98/895873398.db2.gz FDRYTDNQUMIMMD-GFCCVEGCSA-N 1 2 305.216 1.200 20 30 DDEDLO CCc1ccc(C[N@@H+]2CCO[C@H](CNC(=O)[C@H](C)C#N)C2)cc1 ZINC001367292613 896366479 /nfs/dbraw/zinc/36/64/79/896366479.db2.gz CBKXAUPRHLJIBO-RHSMWYFYSA-N 1 2 315.417 1.726 20 30 DDEDLO CCc1ccc(C[N@H+]2CCO[C@H](CNC(=O)[C@H](C)C#N)C2)cc1 ZINC001367292613 896366489 /nfs/dbraw/zinc/36/64/89/896366489.db2.gz CBKXAUPRHLJIBO-RHSMWYFYSA-N 1 2 315.417 1.726 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)c2cc(CCC)[nH]c(=O)c2)C1 ZINC001278913904 897292450 /nfs/dbraw/zinc/29/24/50/897292450.db2.gz LSVDXCPHERCFJD-UHFFFAOYSA-N 1 2 319.405 1.092 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)Cc2ccccc2Cl)C1 ZINC001278927485 897357785 /nfs/dbraw/zinc/35/77/85/897357785.db2.gz ULNKGWUQEPWJLE-UHFFFAOYSA-N 1 2 306.793 1.069 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CCOc2cccc(C)c2)C1 ZINC001278932537 897402088 /nfs/dbraw/zinc/40/20/88/897402088.db2.gz WCUNRRDGDBTJTN-UHFFFAOYSA-N 1 2 318.417 1.503 20 30 DDEDLO CCOC(=O)[C@H](CS)[NH2+]C1CCC(CC(=O)OC)CC1 ZINC001256974180 897651959 /nfs/dbraw/zinc/65/19/59/897651959.db2.gz MTMHQULBVIAIMQ-MCIGGMRASA-N 1 2 303.424 1.559 20 30 DDEDLO CC[C@@H]1C[C@H](N2CC[NH2+]C[C@H]2C#N)CCN1C(=O)OC(C)(C)C ZINC001257124269 897738431 /nfs/dbraw/zinc/73/84/31/897738431.db2.gz AFZZNBAZENDMSS-RBSFLKMASA-N 1 2 322.453 1.962 20 30 DDEDLO C#CC[NH2+][C@H](C)[C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001279017296 897870906 /nfs/dbraw/zinc/87/09/06/897870906.db2.gz JRQZFGLQAOLUTR-CZUORRHYSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](C)(NC(=O)[C@H](C)COC)C1 ZINC001368150838 898818192 /nfs/dbraw/zinc/81/81/92/898818192.db2.gz KAMZWXVDBKZTOV-ZWNOBZJWSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H](C)COC)C1 ZINC001368150838 898818207 /nfs/dbraw/zinc/81/82/07/898818207.db2.gz KAMZWXVDBKZTOV-ZWNOBZJWSA-N 1 2 319.243 1.758 20 30 DDEDLO C[N@H+](CCNC(=O)CC1CCOCC1)Cc1ccccc1C#N ZINC001390743816 900077316 /nfs/dbraw/zinc/07/73/16/900077316.db2.gz XMSPISQNZKOJKM-UHFFFAOYSA-N 1 2 315.417 1.923 20 30 DDEDLO C[N@@H+](CCNC(=O)CC1CCOCC1)Cc1ccccc1C#N ZINC001390743816 900077329 /nfs/dbraw/zinc/07/73/29/900077329.db2.gz XMSPISQNZKOJKM-UHFFFAOYSA-N 1 2 315.417 1.923 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)C1CCCC1 ZINC001262946996 900432084 /nfs/dbraw/zinc/43/20/84/900432084.db2.gz BVIFQSDAQHNDNM-GJZGRUSLSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)C1CCCC1 ZINC001262946996 900432090 /nfs/dbraw/zinc/43/20/90/900432090.db2.gz BVIFQSDAQHNDNM-GJZGRUSLSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1CC2(CCC2)C1 ZINC001263807956 900720605 /nfs/dbraw/zinc/72/06/05/900720605.db2.gz UMSJTNPORZSMQK-OAHLLOKOSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CC2(CCC2)C1 ZINC001263807956 900720616 /nfs/dbraw/zinc/72/06/16/900720616.db2.gz UMSJTNPORZSMQK-OAHLLOKOSA-N 1 2 319.449 1.402 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)C1(F)CCOCC1)C1CC1 ZINC001391167437 901118632 /nfs/dbraw/zinc/11/86/32/901118632.db2.gz MFZAXBRAOFHSRV-UHFFFAOYSA-N 1 2 304.793 1.838 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)C1(F)CCOCC1)C1CC1 ZINC001391167437 901118640 /nfs/dbraw/zinc/11/86/40/901118640.db2.gz MFZAXBRAOFHSRV-UHFFFAOYSA-N 1 2 304.793 1.838 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001265286170 901827632 /nfs/dbraw/zinc/82/76/32/901827632.db2.gz PCCNZDMXWNKKNF-DZGCQCFKSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001265286170 901827640 /nfs/dbraw/zinc/82/76/40/901827640.db2.gz PCCNZDMXWNKKNF-DZGCQCFKSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]([NH2+]Cc2nc(C)no2)C2CC2)C1 ZINC001265370622 901933699 /nfs/dbraw/zinc/93/36/99/901933699.db2.gz UZXLPYQPJGZGAS-ZDUSSCGKSA-N 1 2 304.394 1.719 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@H]1CCC[N@H+](CC(=O)N(CC)CCC#N)C1 ZINC001369806084 901999504 /nfs/dbraw/zinc/99/95/04/901999504.db2.gz TZOMMSPKXDISLS-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)N(CC)CCC#N)C1 ZINC001369806084 901999518 /nfs/dbraw/zinc/99/95/18/901999518.db2.gz TZOMMSPKXDISLS-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001265721109 902340532 /nfs/dbraw/zinc/34/05/32/902340532.db2.gz FHOXMRMMIQNJNL-FOEYPUJISA-N 1 2 317.437 1.823 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001265721109 902340545 /nfs/dbraw/zinc/34/05/45/902340545.db2.gz FHOXMRMMIQNJNL-FOEYPUJISA-N 1 2 317.437 1.823 20 30 DDEDLO CC[N@H+](CCCNC(=O)[C@H](C)C#N)Cc1nc(C2CCC2)no1 ZINC001266027776 902789271 /nfs/dbraw/zinc/78/92/71/902789271.db2.gz AINLJFGWXKUYTR-GFCCVEGCSA-N 1 2 319.409 1.825 20 30 DDEDLO CC[N@@H+](CCCNC(=O)[C@H](C)C#N)Cc1nc(C2CCC2)no1 ZINC001266027776 902789280 /nfs/dbraw/zinc/78/92/80/902789280.db2.gz AINLJFGWXKUYTR-GFCCVEGCSA-N 1 2 319.409 1.825 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@H](C)C[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001392053756 903181620 /nfs/dbraw/zinc/18/16/20/903181620.db2.gz VWVGDJQKAVJHGV-GHMZBOCLSA-N 1 2 307.398 1.463 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCOCC[NH2+][C@H](C)c1csnn1 ZINC001279408965 903252637 /nfs/dbraw/zinc/25/26/37/903252637.db2.gz OKROLNXRQIMBJC-CYBMUJFWSA-N 1 2 324.450 1.323 20 30 DDEDLO C=CCOCC(=O)NCC1=CC[N@H+](Cc2cc(OC)no2)CC1 ZINC001279519043 903297944 /nfs/dbraw/zinc/29/79/44/903297944.db2.gz BTBAFNUDUBUYRK-UHFFFAOYSA-N 1 2 321.377 1.134 20 30 DDEDLO C=CCOCC(=O)NCC1=CC[N@@H+](Cc2cc(OC)no2)CC1 ZINC001279519043 903297959 /nfs/dbraw/zinc/29/79/59/903297959.db2.gz BTBAFNUDUBUYRK-UHFFFAOYSA-N 1 2 321.377 1.134 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC([C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001280656748 903889137 /nfs/dbraw/zinc/88/91/37/903889137.db2.gz ZDYJBQZPIVWIFJ-SJKOYZFVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)Cc1cc(C)on1)O2 ZINC001280918230 904186930 /nfs/dbraw/zinc/18/69/30/904186930.db2.gz HSAOVLVNNXBPOU-CQSZACIVSA-N 1 2 305.378 1.061 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001280996902 904296856 /nfs/dbraw/zinc/29/68/56/904296856.db2.gz RMQLTLTYBSJMOK-ZDUSSCGKSA-N 1 2 304.394 1.109 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)[C@@H]1C ZINC001281149878 904479056 /nfs/dbraw/zinc/47/90/56/904479056.db2.gz PGTCTILDEZQLPA-ZFWWWQNUSA-N 1 2 318.421 1.425 20 30 DDEDLO CC#CCCCC(=O)N(C)C1CC(NC(=O)Cn2cc[nH+]c2)C1 ZINC001281352775 904715517 /nfs/dbraw/zinc/71/55/17/904715517.db2.gz HLNMHFQAUNVPPZ-UHFFFAOYSA-N 1 2 316.405 1.182 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)[C@@H](C)[NH2+]Cc2nnc(C)o2)nc1 ZINC001282219568 905623397 /nfs/dbraw/zinc/62/33/97/905623397.db2.gz LIGNYUBNSCFGPW-GHMZBOCLSA-N 1 2 313.361 1.051 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)C[C@H]2CCC[C@@H](OC)C2)C1 ZINC001282518781 905849401 /nfs/dbraw/zinc/84/94/01/905849401.db2.gz YIYATMAQDDIYIP-JKSUJKDBSA-N 1 2 324.465 1.711 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001282527248 905850700 /nfs/dbraw/zinc/85/07/00/905850700.db2.gz IDRQQULVEMOCNT-MUJYYYPQSA-N 1 2 304.434 1.389 20 30 DDEDLO CO[C@H]1CCC[C@H]1C(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001372031607 906285565 /nfs/dbraw/zinc/28/55/65/906285565.db2.gz OIMTUELAECUMHU-SJORKVTESA-N 1 2 315.417 1.921 20 30 DDEDLO CO[C@H]1CCC[C@H]1C(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001372031607 906285579 /nfs/dbraw/zinc/28/55/79/906285579.db2.gz OIMTUELAECUMHU-SJORKVTESA-N 1 2 315.417 1.921 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)CCc2[nH+]ccn2C)C1 ZINC001283357593 907208120 /nfs/dbraw/zinc/20/81/20/907208120.db2.gz JMIKFTAJERVZNB-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C1CC(C)(C(=O)NCCOCC[N@@H+](C)Cc2ccn(C)n2)C1 ZINC001283409826 907308194 /nfs/dbraw/zinc/30/81/94/907308194.db2.gz ZCRFADHJOBJLCC-UHFFFAOYSA-N 1 2 320.437 1.341 20 30 DDEDLO C=C1CC(C)(C(=O)NCCOCC[N@H+](C)Cc2ccn(C)n2)C1 ZINC001283409826 907308207 /nfs/dbraw/zinc/30/82/07/907308207.db2.gz ZCRFADHJOBJLCC-UHFFFAOYSA-N 1 2 320.437 1.341 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001283863577 908090536 /nfs/dbraw/zinc/09/05/36/908090536.db2.gz JUXSNEVBDOHDJP-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001283863577 908090554 /nfs/dbraw/zinc/09/05/54/908090554.db2.gz JUXSNEVBDOHDJP-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1[nH]cc[nH+]1 ZINC001284067905 908419567 /nfs/dbraw/zinc/41/95/67/908419567.db2.gz QSBXOQRDXYSBBG-AGUYFDCRSA-N 1 2 316.405 1.557 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@H+](Cc2nocc2C)[C@@H]1C ZINC001284128097 908518127 /nfs/dbraw/zinc/51/81/27/908518127.db2.gz JHQRRGWEFLPZBN-CABCVRRESA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@@H+](Cc2nocc2C)[C@@H]1C ZINC001284128097 908518136 /nfs/dbraw/zinc/51/81/36/908518136.db2.gz JHQRRGWEFLPZBN-CABCVRRESA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(C)on2)[C@H]1C ZINC001284131095 908527526 /nfs/dbraw/zinc/52/75/26/908527526.db2.gz ZANVEHFLJZIOFV-GOEBONIOSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C)on2)[C@H]1C ZINC001284131095 908527532 /nfs/dbraw/zinc/52/75/32/908527532.db2.gz ZANVEHFLJZIOFV-GOEBONIOSA-N 1 2 319.405 1.492 20 30 DDEDLO C[C@@H](CNC(=O)CCc1[nH+]ccn1C)NC(=O)C#CC(C)(C)C ZINC001284301580 908796618 /nfs/dbraw/zinc/79/66/18/908796618.db2.gz AIDNGJMDKQQQJC-ZDUSSCGKSA-N 1 2 318.421 1.023 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)[C@@H]1CC12CCC2)C(C)C ZINC001284545676 909166164 /nfs/dbraw/zinc/16/61/64/909166164.db2.gz ZKQXJNSJPSCMCP-HNNXBMFYSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)[C@@H]1CC12CCC2)C(C)C ZINC001284545676 909166168 /nfs/dbraw/zinc/16/61/68/909166168.db2.gz ZKQXJNSJPSCMCP-HNNXBMFYSA-N 1 2 321.465 1.648 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)sn1 ZINC001394292324 909279259 /nfs/dbraw/zinc/27/92/59/909279259.db2.gz QXOVOKQJZOUQND-CMPLNLGQSA-N 1 2 322.434 1.638 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)sn1 ZINC001394292324 909279270 /nfs/dbraw/zinc/27/92/70/909279270.db2.gz QXOVOKQJZOUQND-CMPLNLGQSA-N 1 2 322.434 1.638 20 30 DDEDLO CC(=O)N(C)CCN1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC001373253373 909319687 /nfs/dbraw/zinc/31/96/87/909319687.db2.gz PJSXPLUYXWKNHZ-UHFFFAOYSA-N 1 2 300.406 1.154 20 30 DDEDLO CC(C)C[C@H](C(=O)NCCN(C)C(=O)[C@H](C)C#N)n1cc[nH+]c1 ZINC001373499984 910020852 /nfs/dbraw/zinc/02/08/52/910020852.db2.gz ALPHDTOTQGHZGT-ZIAGYGMSSA-N 1 2 319.409 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC[C@@]2(C)CCC(=O)N2)C1 ZINC001373618015 910367667 /nfs/dbraw/zinc/36/76/67/910367667.db2.gz DGYXJOVDUOWSGI-WFASDCNBSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC[C@@]2(C)CCC(=O)N2)C1 ZINC001373618015 910367678 /nfs/dbraw/zinc/36/76/78/910367678.db2.gz DGYXJOVDUOWSGI-WFASDCNBSA-N 1 2 313.829 1.378 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001285375920 910441186 /nfs/dbraw/zinc/44/11/86/910441186.db2.gz QHZKWACAVMKCKF-CHWSQXEVSA-N 1 2 320.437 1.954 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001285375920 910441201 /nfs/dbraw/zinc/44/12/01/910441201.db2.gz QHZKWACAVMKCKF-CHWSQXEVSA-N 1 2 320.437 1.954 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1(CNC(=O)CCn2cc[nH+]c2)CC1 ZINC001285945691 911480985 /nfs/dbraw/zinc/48/09/85/911480985.db2.gz YEDWNCHKRFAPGO-INIZCTEOSA-N 1 2 318.421 1.641 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@@H](OC)[C@@H](C)CC)CC1 ZINC001286473601 911991946 /nfs/dbraw/zinc/99/19/46/911991946.db2.gz UQPQTDJWYFSZBE-GOEBONIOSA-N 1 2 319.453 1.403 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001295531476 915879488 /nfs/dbraw/zinc/87/94/88/915879488.db2.gz AZOHVLMDDLROPB-OLZOCXBDSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001295531476 915879506 /nfs/dbraw/zinc/87/95/06/915879506.db2.gz AZOHVLMDDLROPB-OLZOCXBDSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C1CCC(C(=O)NCCN(C)C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001296223047 916283872 /nfs/dbraw/zinc/28/38/72/916283872.db2.gz IOWKPXRASWGPEG-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C1CCC(C(=O)NCCN(C)C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001296223047 916283894 /nfs/dbraw/zinc/28/38/94/916283894.db2.gz IOWKPXRASWGPEG-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCC(C)(C)C(=O)N(CCNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001296639771 916511585 /nfs/dbraw/zinc/51/15/85/916511585.db2.gz GYKIVWBJPNENQY-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]1(C)CCC[N@H+](Cc2ncccn2)C1 ZINC001375939209 917312232 /nfs/dbraw/zinc/31/22/32/917312232.db2.gz YRJYQCFPISLCLT-CJNGLKHVSA-N 1 2 301.394 1.355 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]1(C)CCC[N@@H+](Cc2ncccn2)C1 ZINC001375939209 917312250 /nfs/dbraw/zinc/31/22/50/917312250.db2.gz YRJYQCFPISLCLT-CJNGLKHVSA-N 1 2 301.394 1.355 20 30 DDEDLO Cc1cccc(NC(=O)C[N@@H+](C)[C@H](C)CNC(=O)[C@@H](C)C#N)c1 ZINC001378020067 923722773 /nfs/dbraw/zinc/72/27/73/923722773.db2.gz KSHVWVRGWOPDMA-UONOGXRCSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1cccc(NC(=O)C[N@H+](C)[C@H](C)CNC(=O)[C@@H](C)C#N)c1 ZINC001378020067 923722787 /nfs/dbraw/zinc/72/27/87/923722787.db2.gz KSHVWVRGWOPDMA-UONOGXRCSA-N 1 2 316.405 1.530 20 30 DDEDLO COCCO[C@@H]1CC[N@@H+]([C@@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000249329721 282144033 /nfs/dbraw/zinc/14/40/33/282144033.db2.gz HLUVXFPHAAYGIV-HZPDHXFCSA-N 1 2 318.373 1.510 20 30 DDEDLO COCCO[C@@H]1CC[N@H+]([C@@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000249329721 282144035 /nfs/dbraw/zinc/14/40/35/282144035.db2.gz HLUVXFPHAAYGIV-HZPDHXFCSA-N 1 2 318.373 1.510 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)c1cncc(C#N)c1 ZINC000451930336 231238760 /nfs/dbraw/zinc/23/87/60/231238760.db2.gz PRTPPDSFTUYVSW-JTQLQIEISA-N 1 2 305.363 1.209 20 30 DDEDLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)NC[C@H]1COCCO1 ZINC000330243295 529591156 /nfs/dbraw/zinc/59/11/56/529591156.db2.gz CNYSVGDGHNSOIM-KBPBESRZSA-N 1 2 322.409 1.759 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000088524523 185254854 /nfs/dbraw/zinc/25/48/54/185254854.db2.gz LRNVYEUGAZJMQI-MRXNPFEDSA-N 1 2 300.406 1.393 20 30 DDEDLO CCc1cnc(CN(CCC#N)CC[NH+]2CCOCC2)s1 ZINC000346163565 529645890 /nfs/dbraw/zinc/64/58/90/529645890.db2.gz BLTIDOHXIBKGQD-UHFFFAOYSA-N 1 2 308.451 1.753 20 30 DDEDLO C=CCN(Cc1c[nH+]c2cc(C)ccn12)[C@@H]1CCS(=O)(=O)C1 ZINC000092361237 185314084 /nfs/dbraw/zinc/31/40/84/185314084.db2.gz MFHOGDCLEQRQQK-CQSZACIVSA-N 1 2 319.430 1.818 20 30 DDEDLO Cc1[nH+]ccn1CC[NH+]=C([O-])N1CCC(N2CCCC2=O)CC1 ZINC000329101998 539300930 /nfs/dbraw/zinc/30/09/30/539300930.db2.gz FIRQSOCSCYHDBZ-UHFFFAOYSA-N 1 2 319.409 1.192 20 30 DDEDLO C[C@@H](C(=O)NCCC(=O)N1CCCCCC1)[NH+]1CCN(C)CC1 ZINC000328665938 539298410 /nfs/dbraw/zinc/29/84/10/539298410.db2.gz CKHLDDNYJCMKIL-HNNXBMFYSA-N 1 2 324.469 1.372 20 30 DDEDLO CN(C[C@@H]1CCCCO1)C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000328909339 539299747 /nfs/dbraw/zinc/29/97/47/539299747.db2.gz DQXOPYUUULYQIE-AWEZNQCLSA-N 1 2 313.442 1.512 20 30 DDEDLO CN(C[C@@H]1CCCCO1)C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000328909339 539299749 /nfs/dbraw/zinc/29/97/49/539299749.db2.gz DQXOPYUUULYQIE-AWEZNQCLSA-N 1 2 313.442 1.512 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)[N@H+](C)C1 ZINC000329134927 539301341 /nfs/dbraw/zinc/30/13/41/539301341.db2.gz QHCTWSGUCDVBNQ-RYUDHWBXSA-N 1 2 317.349 1.484 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)Nc2cccc(-c3n[nH]c(=O)[nH]3)c2)[N@@H+](C)C1 ZINC000329134927 539301342 /nfs/dbraw/zinc/30/13/42/539301342.db2.gz QHCTWSGUCDVBNQ-RYUDHWBXSA-N 1 2 317.349 1.484 20 30 DDEDLO CN(CC(=O)NC[C@H]1CN(C)CC[N@@H+]1C)C(=O)c1cccs1 ZINC000329200072 539301697 /nfs/dbraw/zinc/30/16/97/539301697.db2.gz BFKHDVNEDFBTCB-LBPRGKRZSA-N 1 2 324.450 1.022 20 30 DDEDLO CN(CC(=O)NC[C@H]1CN(C)CC[N@H+]1C)C(=O)c1cccs1 ZINC000329200072 539301698 /nfs/dbraw/zinc/30/16/98/539301698.db2.gz BFKHDVNEDFBTCB-LBPRGKRZSA-N 1 2 324.450 1.022 20 30 DDEDLO CC(C)[C@H](NC(=O)CCc1c[nH+]c[nH]1)C(=O)N1CCOCC1 ZINC000329369984 539303903 /nfs/dbraw/zinc/30/39/03/539303903.db2.gz INDOBNRLERXRTI-AWEZNQCLSA-N 1 2 308.382 1.182 20 30 DDEDLO CC(C)[C@H](NC(=O)CCc1c[nH]c[nH+]1)C(=O)N1CCOCC1 ZINC000329369984 539303904 /nfs/dbraw/zinc/30/39/04/539303904.db2.gz INDOBNRLERXRTI-AWEZNQCLSA-N 1 2 308.382 1.182 20 30 DDEDLO CCc1nc([C@H](C)NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)cs1 ZINC000329975172 529745745 /nfs/dbraw/zinc/74/57/45/529745745.db2.gz DNAXOYMHNYHWRG-UHTWSYAYSA-N 1 2 324.450 1.695 20 30 DDEDLO CCc1nc([C@H](C)NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)cs1 ZINC000329975172 529745746 /nfs/dbraw/zinc/74/57/46/529745746.db2.gz DNAXOYMHNYHWRG-UHTWSYAYSA-N 1 2 324.450 1.695 20 30 DDEDLO CN1CCN(S(=O)(=O)c2ccc(C#N)s2)c2ccc[nH+]c21 ZINC000435428407 529775606 /nfs/dbraw/zinc/77/56/06/529775606.db2.gz QQJLWLDKDYMBSK-UHFFFAOYSA-N 1 2 320.399 1.660 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NCc3coc4ccccc34)C[C@@H]21 ZINC000329734136 529784956 /nfs/dbraw/zinc/78/49/56/529784956.db2.gz RFKNSSGTECXSMB-GOEBONIOSA-N 1 2 315.373 1.862 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NCc3coc4ccccc34)C[C@@H]21 ZINC000329734136 529784957 /nfs/dbraw/zinc/78/49/57/529784957.db2.gz RFKNSSGTECXSMB-GOEBONIOSA-N 1 2 315.373 1.862 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(CC(=O)Nc3cc(C(C)(C)C)no3)C[C@@H]21 ZINC000329973243 529790903 /nfs/dbraw/zinc/79/09/03/529790903.db2.gz WRDMRGCVEBUMLC-RYUDHWBXSA-N 1 2 322.409 1.575 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(CC(=O)Nc3cc(C(C)(C)C)no3)C[C@@H]21 ZINC000329973243 529790904 /nfs/dbraw/zinc/79/09/04/529790904.db2.gz WRDMRGCVEBUMLC-RYUDHWBXSA-N 1 2 322.409 1.575 20 30 DDEDLO CNC(=O)CC1CCN(C(=O)N[C@H](C)Cn2cc[nH+]c2)CC1 ZINC000330959652 529845029 /nfs/dbraw/zinc/84/50/29/529845029.db2.gz BCMQXWJBULUAKP-GFCCVEGCSA-N 1 2 307.398 1.034 20 30 DDEDLO CNC(=O)CC1CCN(C([O-])=[NH+][C@H](C)Cn2cc[nH+]c2)CC1 ZINC000330959652 529845031 /nfs/dbraw/zinc/84/50/31/529845031.db2.gz BCMQXWJBULUAKP-GFCCVEGCSA-N 1 2 307.398 1.034 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cccc2c1CCCC(=O)N2 ZINC000414130974 529868447 /nfs/dbraw/zinc/86/84/47/529868447.db2.gz YARYLOGMLUKUJI-CYBMUJFWSA-N 1 2 317.389 1.547 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cccc2c1CCCC(=O)N2 ZINC000414130974 529868448 /nfs/dbraw/zinc/86/84/48/529868448.db2.gz YARYLOGMLUKUJI-CYBMUJFWSA-N 1 2 317.389 1.547 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CSc1nncn1-c1ccccc1 ZINC000414095543 529868607 /nfs/dbraw/zinc/86/86/07/529868607.db2.gz KEVXZXJLWBTBOU-AWEZNQCLSA-N 1 2 317.418 1.566 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CSc1nncn1-c1ccccc1 ZINC000414095543 529868608 /nfs/dbraw/zinc/86/86/08/529868608.db2.gz KEVXZXJLWBTBOU-AWEZNQCLSA-N 1 2 317.418 1.566 20 30 DDEDLO CCC1(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)CCOCC1 ZINC000329929516 282347514 /nfs/dbraw/zinc/34/75/14/282347514.db2.gz NMWMBUMDCMEIPU-KGLIPLIRSA-N 1 2 311.426 1.122 20 30 DDEDLO CCC1(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)CCOCC1 ZINC000329929516 282347516 /nfs/dbraw/zinc/34/75/16/282347516.db2.gz NMWMBUMDCMEIPU-KGLIPLIRSA-N 1 2 311.426 1.122 20 30 DDEDLO Cc1cc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)ncc1C#N ZINC000457610764 232089706 /nfs/dbraw/zinc/08/97/06/232089706.db2.gz KIFDTITWJFBOSS-HNNXBMFYSA-N 1 2 314.389 1.199 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000279501310 214927565 /nfs/dbraw/zinc/92/75/65/214927565.db2.gz SQWQRPWMDIHUBJ-QGZVFWFLSA-N 1 2 304.390 1.364 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000279662016 215041740 /nfs/dbraw/zinc/04/17/40/215041740.db2.gz DIOJHOBZBSFCKW-IAGOWNOFSA-N 1 2 315.417 1.707 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)CCNC(=O)OC(C)(C)C)n1 ZINC000279697410 215071017 /nfs/dbraw/zinc/07/10/17/215071017.db2.gz IKGMAKAHSPKIAD-UHFFFAOYSA-N 1 2 306.410 1.863 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)CCNC(=O)OC(C)(C)C)n1 ZINC000279697410 215071020 /nfs/dbraw/zinc/07/10/20/215071020.db2.gz IKGMAKAHSPKIAD-UHFFFAOYSA-N 1 2 306.410 1.863 20 30 DDEDLO C=CC[N@@H+](CC)Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1 ZINC000007327879 352123296 /nfs/dbraw/zinc/12/32/96/352123296.db2.gz XBFLPAHQBMEYKC-UHFFFAOYSA-N 1 2 303.362 1.948 20 30 DDEDLO C=CC[N@H+](CC)Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1 ZINC000007327879 352123297 /nfs/dbraw/zinc/12/32/97/352123297.db2.gz XBFLPAHQBMEYKC-UHFFFAOYSA-N 1 2 303.362 1.948 20 30 DDEDLO Cc1cnc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)cn1 ZINC000023437588 352184638 /nfs/dbraw/zinc/18/46/38/352184638.db2.gz IHEUACNDVJETQF-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO OC(NC1=[NH+]CCS1)=C(c1ccccc1)N1CCSCC1 ZINC000058783877 352851823 /nfs/dbraw/zinc/85/18/23/352851823.db2.gz FJURWHDNTTXXJQ-CYBMUJFWSA-N 1 2 321.471 1.996 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@H+](C)C[C@H](C)C#N)CC1 ZINC000064796464 352944061 /nfs/dbraw/zinc/94/40/61/352944061.db2.gz MPFFQXJJOYVYTC-CHWSQXEVSA-N 1 2 309.410 1.268 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@@H+](C)C[C@H](C)C#N)CC1 ZINC000064796464 352944064 /nfs/dbraw/zinc/94/40/64/352944064.db2.gz MPFFQXJJOYVYTC-CHWSQXEVSA-N 1 2 309.410 1.268 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2ccc(Cl)c(C#N)n2)CC1 ZINC000067351180 353044849 /nfs/dbraw/zinc/04/48/49/353044849.db2.gz LBEPPGNQASMODS-UHFFFAOYSA-N 1 2 307.785 1.183 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH2+]Cc1nnc2n1CCCC2 ZINC000173634627 198234665 /nfs/dbraw/zinc/23/46/65/198234665.db2.gz LVKANUATXUKVCL-ZDUSSCGKSA-N 1 2 305.426 1.517 20 30 DDEDLO Cn1ccc(NC(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)n1 ZINC000073364077 353249145 /nfs/dbraw/zinc/24/91/45/353249145.db2.gz HRCGNMQXQLHLAP-UHFFFAOYSA-N 1 2 324.388 1.641 20 30 DDEDLO CCOC(=O)CN(CCOC)Cc1c[nH+]c2ccc(C#N)cn12 ZINC000091774834 353836605 /nfs/dbraw/zinc/83/66/05/353836605.db2.gz VQUYMQXKFKMGAJ-UHFFFAOYSA-N 1 2 316.361 1.217 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CC[C@H](C)[C@H](O)C2)c(C#N)c1C ZINC000093342973 353896326 /nfs/dbraw/zinc/89/63/26/353896326.db2.gz QMUYABOIIYSBLH-TVQRCGJNSA-N 1 2 307.419 1.878 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CC[C@H](C)[C@H](O)C2)c(C#N)c1C ZINC000093342973 353896329 /nfs/dbraw/zinc/89/63/29/353896329.db2.gz QMUYABOIIYSBLH-TVQRCGJNSA-N 1 2 307.419 1.878 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)CC(=O)N1CCCC1 ZINC000321082411 354531159 /nfs/dbraw/zinc/53/11/59/354531159.db2.gz DVCCLXNBGITKKN-ZDUSSCGKSA-N 1 2 314.389 1.439 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)CC(=O)N1CCCC1 ZINC000321082411 354531163 /nfs/dbraw/zinc/53/11/63/354531163.db2.gz DVCCLXNBGITKKN-ZDUSSCGKSA-N 1 2 314.389 1.439 20 30 DDEDLO CN(C)S(=O)(=O)c1cccc(C[NH2+]C[C@H](C#N)CCC#N)c1 ZINC000580734898 354727056 /nfs/dbraw/zinc/72/70/56/354727056.db2.gz LTULJZKAUSFRSB-AWEZNQCLSA-N 1 2 320.418 1.470 20 30 DDEDLO N#C[C@@]1(C(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)CC12CCCC2 ZINC000581588392 354735736 /nfs/dbraw/zinc/73/57/36/354735736.db2.gz SSONJANWUFPRQT-QGZVFWFLSA-N 1 2 313.405 1.528 20 30 DDEDLO N#Cc1cccnc1N1CCN(C(=O)/C=C/c2[nH]cc[nH+]2)CC1 ZINC000493653288 234890118 /nfs/dbraw/zinc/89/01/18/234890118.db2.gz OBVWBGRMOPTAHW-ONEGZZNKSA-N 1 2 308.345 1.038 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CC[C@@](CO)(Cc2ccc(F)cc2)C1 ZINC000588984712 354954042 /nfs/dbraw/zinc/95/40/42/354954042.db2.gz OLJLWDADQADBKU-DOTOQJQBSA-N 1 2 307.365 1.780 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CC[C@@](CO)(Cc2ccc(F)cc2)C1 ZINC000588984712 354954044 /nfs/dbraw/zinc/95/40/44/354954044.db2.gz OLJLWDADQADBKU-DOTOQJQBSA-N 1 2 307.365 1.780 20 30 DDEDLO N#CC1CN(C(=O)[C@@H](c2ccccc2)[NH+]2CCSCC2)C1 ZINC000590485102 355104149 /nfs/dbraw/zinc/10/41/49/355104149.db2.gz XAEDWDCABWCQQI-OAHLLOKOSA-N 1 2 301.415 1.758 20 30 DDEDLO Cc1cc(N2CC[NH+](CC3(C#N)CCC3)CC2)n2ncnc2n1 ZINC000590691263 355148675 /nfs/dbraw/zinc/14/86/75/355148675.db2.gz RMIGXMQQVUHHEX-UHFFFAOYSA-N 1 2 311.393 1.249 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)NCc1ccc(C#N)c(F)c1)CC2 ZINC000590947682 355219117 /nfs/dbraw/zinc/21/91/17/355219117.db2.gz SLELZAHFJSLHCS-UHFFFAOYSA-N 1 2 313.336 1.928 20 30 DDEDLO Cc1cccc2[nH+]c(CCNS(=O)(=O)CCCC#N)cn21 ZINC000592121594 355505598 /nfs/dbraw/zinc/50/55/98/355505598.db2.gz VCXUKUACSWMNTJ-UHFFFAOYSA-N 1 2 306.391 1.408 20 30 DDEDLO N#Cc1cccc2c1CN(c1cc(N3CCOCC3)[nH+]cn1)C2 ZINC000593521390 355912138 /nfs/dbraw/zinc/91/21/38/355912138.db2.gz YZVASSSJSCXLGA-UHFFFAOYSA-N 1 2 307.357 1.705 20 30 DDEDLO N#Cc1cccc2c1CN(c1cc(N3CCOCC3)nc[nH+]1)C2 ZINC000593521390 355912141 /nfs/dbraw/zinc/91/21/41/355912141.db2.gz YZVASSSJSCXLGA-UHFFFAOYSA-N 1 2 307.357 1.705 20 30 DDEDLO COc1cc(CNC(=O)Cc2cn3c([nH+]2)CCCC3)ccc1C#N ZINC000593717011 355974964 /nfs/dbraw/zinc/97/49/64/355974964.db2.gz SSSWVDJWDXLJGJ-UHFFFAOYSA-N 1 2 324.384 1.959 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[NH2+]CC1(C#N)CCCC1 ZINC000593649478 355954524 /nfs/dbraw/zinc/95/45/24/355954524.db2.gz IRYUZQITXGQUAO-UHFFFAOYSA-N 1 2 317.437 1.739 20 30 DDEDLO CCc1nocc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000595338906 356454904 /nfs/dbraw/zinc/45/49/04/356454904.db2.gz LQWZBTSQJMXLAZ-GFCCVEGCSA-N 1 2 312.377 1.609 20 30 DDEDLO CCc1nocc1C[N@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000595338906 356454906 /nfs/dbraw/zinc/45/49/06/356454906.db2.gz LQWZBTSQJMXLAZ-GFCCVEGCSA-N 1 2 312.377 1.609 20 30 DDEDLO CN(C[C@H](O)C[NH+]1CCOCC1)[C@H](C#N)c1ccc(Cl)cc1 ZINC000595344137 356457400 /nfs/dbraw/zinc/45/74/00/356457400.db2.gz MHLZYEMNDDHIKU-JKSUJKDBSA-N 1 2 323.824 1.530 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@H+](C)CCc1ccc(C#N)cc1 ZINC000595349709 356459200 /nfs/dbraw/zinc/45/92/00/356459200.db2.gz DEHYLUQERYIKMS-INIZCTEOSA-N 1 2 304.390 1.999 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@@H+](C)CCc1ccc(C#N)cc1 ZINC000595349709 356459205 /nfs/dbraw/zinc/45/92/05/356459205.db2.gz DEHYLUQERYIKMS-INIZCTEOSA-N 1 2 304.390 1.999 20 30 DDEDLO C[C@@H](NC(=O)NCC1(C#N)CCCCC1)[C@H](C)[NH+]1CCOCC1 ZINC000595515768 356528131 /nfs/dbraw/zinc/52/81/31/356528131.db2.gz OHPWUDAAYYIASX-CABCVRRESA-N 1 2 322.453 1.869 20 30 DDEDLO C=CCCSCCNC(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000595515731 356528220 /nfs/dbraw/zinc/52/82/20/356528220.db2.gz NSLSSZXENQZOGS-KGLIPLIRSA-N 1 2 315.483 1.704 20 30 DDEDLO C[C@]1(F)C[NH2+]CCN(S(=O)(=O)c2ccccc2CC#N)C1 ZINC000601342098 358507108 /nfs/dbraw/zinc/50/71/08/358507108.db2.gz PZUBBYUIXCLINH-AWEZNQCLSA-N 1 2 311.382 1.075 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1nc2ncccc2cc1C#N ZINC000596231927 356826038 /nfs/dbraw/zinc/82/60/38/356826038.db2.gz MUYRDQQSOGVWNT-CJNGLKHVSA-N 1 2 323.400 1.801 20 30 DDEDLO N#Cc1cnc(N2CCC[C@H]([NH+]3CCOCC3)C2)c(Cl)c1 ZINC000596247923 356832087 /nfs/dbraw/zinc/83/20/87/356832087.db2.gz SLFYGJOAQLPJMP-ZDUSSCGKSA-N 1 2 306.797 1.908 20 30 DDEDLO N#CC1(CNC(=O)N(CC[NH+]2CCOCC2)CC2CC2)CCC1 ZINC000596632498 356937446 /nfs/dbraw/zinc/93/74/46/356937446.db2.gz KDHCVDUJTKGYSZ-UHFFFAOYSA-N 1 2 320.437 1.434 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCC2(C#N)CCCCC2)[C@H](C)CO1 ZINC000596860196 356998278 /nfs/dbraw/zinc/99/82/78/356998278.db2.gz VUTLGVVAHUIYFC-HUUCEWRRSA-N 1 2 322.453 1.869 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCC2(C#N)CCCCC2)[C@H](C)CO1 ZINC000596860196 356998280 /nfs/dbraw/zinc/99/82/80/356998280.db2.gz VUTLGVVAHUIYFC-HUUCEWRRSA-N 1 2 322.453 1.869 20 30 DDEDLO C[C@@H](CC#N)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000596813347 356983118 /nfs/dbraw/zinc/98/31/18/356983118.db2.gz LHMXEFNMPVWDAW-STQMWFEESA-N 1 2 302.378 1.473 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000597131964 357069446 /nfs/dbraw/zinc/06/94/46/357069446.db2.gz FFBOZRWLZJXCDO-UHFFFAOYSA-N 1 2 323.343 1.677 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000597131964 357069450 /nfs/dbraw/zinc/06/94/50/357069450.db2.gz FFBOZRWLZJXCDO-UHFFFAOYSA-N 1 2 323.343 1.677 20 30 DDEDLO C[C@H](NC(=O)c1cc(F)c(C#N)c(F)c1)[C@H](C)[NH+]1CCOCC1 ZINC000597162131 357077539 /nfs/dbraw/zinc/07/75/39/357077539.db2.gz RRSPUKQBARNGSV-QWRGUYRKSA-N 1 2 323.343 1.675 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@@H]([NH+]3CCC3)[C@H](F)C2)cc1O ZINC000597582329 357237617 /nfs/dbraw/zinc/23/76/17/357237617.db2.gz UVERQCGMCACBEI-ZIAGYGMSSA-N 1 2 303.337 1.522 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@H]([NH+]3CCC3)[C@@H](F)C2)cc1O ZINC000597582326 357238150 /nfs/dbraw/zinc/23/81/50/357238150.db2.gz UVERQCGMCACBEI-KBPBESRZSA-N 1 2 303.337 1.522 20 30 DDEDLO N#CC1(CC(=O)NC[C@@H]2CCC[N@H+](Cc3ncon3)C2)CC1 ZINC000597640191 357264812 /nfs/dbraw/zinc/26/48/12/357264812.db2.gz IFIJJFQIEXIAIN-LBPRGKRZSA-N 1 2 303.366 1.092 20 30 DDEDLO N#CC1(CC(=O)NC[C@@H]2CCC[N@@H+](Cc3ncon3)C2)CC1 ZINC000597640191 357264818 /nfs/dbraw/zinc/26/48/18/357264818.db2.gz IFIJJFQIEXIAIN-LBPRGKRZSA-N 1 2 303.366 1.092 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)N2CC[C@](C)(C#N)C2)CC1 ZINC000598411542 357569930 /nfs/dbraw/zinc/56/99/30/357569930.db2.gz KDBQWKIDMWDQHG-QGZVFWFLSA-N 1 2 315.421 1.394 20 30 DDEDLO CC1CC(C#N)(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)C1 ZINC000598343804 357546352 /nfs/dbraw/zinc/54/63/52/357546352.db2.gz YKIZNSBNZLPIAZ-UHFFFAOYSA-N 1 2 305.422 1.497 20 30 DDEDLO COc1ccc([C@@H]2C[C@H]2C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000598836596 357740279 /nfs/dbraw/zinc/74/02/79/357740279.db2.gz NRWPBWBBDVPNSZ-UXLLHSPISA-N 1 2 301.390 1.759 20 30 DDEDLO O=C(N[C@H]1CC(=O)N(CC[NH+]2CCOCC2)C1)[C@@H]1CC=CCC1 ZINC000329755320 223023862 /nfs/dbraw/zinc/02/38/62/223023862.db2.gz LMSXTPWIKXVUAA-CABCVRRESA-N 1 2 321.421 1.232 20 30 DDEDLO C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000599229213 357856211 /nfs/dbraw/zinc/85/62/11/357856211.db2.gz DVAUMQCSOMUKSB-JONQDZQNSA-N 1 2 323.437 1.120 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCO[C@H](C(F)(F)F)C2)CCOCC1 ZINC000599298037 357881682 /nfs/dbraw/zinc/88/16/82/357881682.db2.gz OTRZNVZBUOZBQJ-RYUDHWBXSA-N 1 2 322.327 1.321 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCO[C@H](C(F)(F)F)C2)CCOCC1 ZINC000599298037 357881685 /nfs/dbraw/zinc/88/16/85/357881685.db2.gz OTRZNVZBUOZBQJ-RYUDHWBXSA-N 1 2 322.327 1.321 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H](N2CCc3[nH+]c[nH]c3C2)C1=O ZINC000599421133 357928912 /nfs/dbraw/zinc/92/89/12/357928912.db2.gz GVOABOUUAFNVTJ-CYBMUJFWSA-N 1 2 313.386 1.506 20 30 DDEDLO N#CCCN1CC[NH+]([C@H]2CCN(C3CCCCC3)C2=O)CC1 ZINC000599336976 357900033 /nfs/dbraw/zinc/90/00/33/357900033.db2.gz QLRISHRCKDXTQC-INIZCTEOSA-N 1 2 304.438 1.451 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@@H+]2CCO[C@@H](CCF)C2)cc1 ZINC000599644306 358004643 /nfs/dbraw/zinc/00/46/43/358004643.db2.gz QQEMQNGYMJLMJS-HOCLYGCPSA-N 1 2 308.353 1.358 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCO[C@@H](CCF)C2)cc1 ZINC000599644306 358004648 /nfs/dbraw/zinc/00/46/48/358004648.db2.gz QQEMQNGYMJLMJS-HOCLYGCPSA-N 1 2 308.353 1.358 20 30 DDEDLO N#CCSCC(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000601729967 358667451 /nfs/dbraw/zinc/66/74/51/358667451.db2.gz RNAWSGYORJRDSU-UHFFFAOYSA-N 1 2 305.403 1.293 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)Cn1c(C)[nH+]c2ccccc21)C(=O)OC ZINC000601783849 358693476 /nfs/dbraw/zinc/69/34/76/358693476.db2.gz BLVZHCLRENIVNS-CQSZACIVSA-N 1 2 315.373 1.969 20 30 DDEDLO CCC(C#N)(CC)C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000601887676 358728388 /nfs/dbraw/zinc/72/83/88/358728388.db2.gz HPUWSORAJFWVAF-UHFFFAOYSA-N 1 2 315.421 1.493 20 30 DDEDLO CCS(=O)(=O)CCCCC[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602853416 359240069 /nfs/dbraw/zinc/24/00/69/359240069.db2.gz IPGOKHHSIGOPQU-HUUCEWRRSA-N 1 2 315.483 1.510 20 30 DDEDLO CC[C@@H]1CCCCN1C(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856584 359242186 /nfs/dbraw/zinc/24/21/86/359242186.db2.gz LDRLXDYCXDJXHM-HRCADAONSA-N 1 2 306.454 1.696 20 30 DDEDLO Cc1ccc(CNC(=O)C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)cc1 ZINC000602858155 359245044 /nfs/dbraw/zinc/24/50/44/359245044.db2.gz ZPFZYQHQTAMCIY-HZPDHXFCSA-N 1 2 314.433 1.529 20 30 DDEDLO C[C@H]1C[NH+](Cc2cc(=O)n3ccsc3n2)C[C@H](C)N1CC#N ZINC000602861137 359245914 /nfs/dbraw/zinc/24/59/14/359245914.db2.gz KQVYRTMIJJSUMV-RYUDHWBXSA-N 1 2 317.418 1.174 20 30 DDEDLO N#CCCN1CC[NH+](CCc2nc3ccccc3c(=O)[nH]2)CC1 ZINC000603016807 359359267 /nfs/dbraw/zinc/35/92/67/359359267.db2.gz KRFNSHJGOLXBSP-UHFFFAOYSA-N 1 2 311.389 1.409 20 30 DDEDLO C[C@@H]1CN(C(=O)Nc2ccn3cc[nH+]c3c2)C[C@@H](C)N1CC#N ZINC000603007014 359353579 /nfs/dbraw/zinc/35/35/79/359353579.db2.gz UWUOGNIQYPWLCQ-CHWSQXEVSA-N 1 2 312.377 1.784 20 30 DDEDLO N#Cc1cccc(OCCCn2cc([C@H]3COCC[NH2+]3)nn2)c1 ZINC000603241440 359508381 /nfs/dbraw/zinc/50/83/81/359508381.db2.gz FQIZUKBQZLMOFO-MRXNPFEDSA-N 1 2 313.361 1.280 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc(C(=O)OC)sc2C)nn1 ZINC000603210798 359483992 /nfs/dbraw/zinc/48/39/92/359483992.db2.gz LHAWZGVINMPKES-UHFFFAOYSA-N 1 2 318.402 1.596 20 30 DDEDLO N#CC1(Cc2cn(CC[NH+]3CCOCC3)nn2)CCCCC1 ZINC000603215954 359487590 /nfs/dbraw/zinc/48/75/90/359487590.db2.gz NAULQMQMCVJRDZ-UHFFFAOYSA-N 1 2 303.410 1.627 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)C[C@H]1NC(=O)CS(=O)(=O)C1CCCC1 ZINC000329802546 223031427 /nfs/dbraw/zinc/03/14/27/223031427.db2.gz KLWGZDGVEBZUKK-BXUZGUMPSA-N 1 2 314.451 1.783 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)C[C@H]1NC(=O)CS(=O)(=O)C1CCCC1 ZINC000329802546 223031429 /nfs/dbraw/zinc/03/14/29/223031429.db2.gz KLWGZDGVEBZUKK-BXUZGUMPSA-N 1 2 314.451 1.783 20 30 DDEDLO N#Cc1ccc(OCCn2cc[nH+]c2CN2CCOCC2)cc1 ZINC000603421844 359630464 /nfs/dbraw/zinc/63/04/64/359630464.db2.gz FNRBBGPJIXIIGX-UHFFFAOYSA-N 1 2 312.373 1.666 20 30 DDEDLO COCC[C@@H]1CCCCN(C(=O)NC[C@@H]2C[N@H+](C)CCO2)C1 ZINC000329812401 223033100 /nfs/dbraw/zinc/03/31/00/223033100.db2.gz CITKRQJJPSWLOI-LSDHHAIUSA-N 1 2 313.442 1.370 20 30 DDEDLO COCC[C@@H]1CCCCN(C(=O)NC[C@@H]2C[N@@H+](C)CCO2)C1 ZINC000329812401 223033102 /nfs/dbraw/zinc/03/31/02/223033102.db2.gz CITKRQJJPSWLOI-LSDHHAIUSA-N 1 2 313.442 1.370 20 30 DDEDLO O=C(C[N@@H+]1CCO[C@@]2(CCOC2)C1)NCCc1ccc(F)cc1 ZINC000329898634 223044060 /nfs/dbraw/zinc/04/40/60/223044060.db2.gz MJGAWWHTCCRYNU-KRWDZBQOSA-N 1 2 322.380 1.816 20 30 DDEDLO O=C(C[N@H+]1CCO[C@@]2(CCOC2)C1)NCCc1ccc(F)cc1 ZINC000329898634 223044064 /nfs/dbraw/zinc/04/40/64/223044064.db2.gz MJGAWWHTCCRYNU-KRWDZBQOSA-N 1 2 322.380 1.816 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)CSc2ccc(C#N)cc2)CCO1 ZINC000609129454 360262702 /nfs/dbraw/zinc/26/27/02/360262702.db2.gz SGHWTGDSEWAWHT-CYBMUJFWSA-N 1 2 319.430 1.487 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)CSc2ccc(C#N)cc2)CCO1 ZINC000609129454 360262709 /nfs/dbraw/zinc/26/27/09/360262709.db2.gz SGHWTGDSEWAWHT-CYBMUJFWSA-N 1 2 319.430 1.487 20 30 DDEDLO N#Cc1ccc(CNC(=O)c2ccc(-n3cc[nH+]c3)nn2)c(F)c1 ZINC000609231520 360275009 /nfs/dbraw/zinc/27/50/09/360275009.db2.gz ALPOVNLPDZDIJD-UHFFFAOYSA-N 1 2 322.303 1.603 20 30 DDEDLO C[C@@](O)(CNC(=O)c1ccccc1C[NH+]1CCOCC1)C1CC1 ZINC000329958583 223053857 /nfs/dbraw/zinc/05/38/57/223053857.db2.gz QCJJEPMTPJILCB-GOSISDBHSA-N 1 2 318.417 1.984 20 30 DDEDLO CCC[C@@H](C#N)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000609516887 360317005 /nfs/dbraw/zinc/31/70/05/360317005.db2.gz RYKCTYPKFUYXOS-INIZCTEOSA-N 1 2 301.390 1.941 20 30 DDEDLO N#CCCCOc1cccc(C[NH2+]Cc2nnnn2C2CC2)c1 ZINC000610271840 360405057 /nfs/dbraw/zinc/40/50/57/360405057.db2.gz GLEADFAPRXNAAM-UHFFFAOYSA-N 1 2 312.377 1.980 20 30 DDEDLO C[C@H](C(=O)N(C)C)[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000610566301 360472432 /nfs/dbraw/zinc/47/24/32/360472432.db2.gz QAZSVWHOFXXGHJ-CZUORRHYSA-N 1 2 318.396 1.485 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)[C@@H]1CCCCS1(=O)=O ZINC000330012085 223063722 /nfs/dbraw/zinc/06/37/22/223063722.db2.gz JZYMWSZWTZROIZ-LBPRGKRZSA-N 1 2 311.407 1.643 20 30 DDEDLO CN(C)C(=O)c1cccc(C[NH+]2CCN(CCC#N)CC2)c1 ZINC000611176272 360648746 /nfs/dbraw/zinc/64/87/46/360648746.db2.gz YBCOQSPWQXFJTM-UHFFFAOYSA-N 1 2 300.406 1.420 20 30 DDEDLO CN(C)c1ccc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)cn1 ZINC000611253841 360681586 /nfs/dbraw/zinc/68/15/86/360681586.db2.gz NRDANEDJYIVRNY-UHFFFAOYSA-N 1 2 322.416 1.736 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](C)CCN1CCCS1(=O)=O ZINC000611235995 360671173 /nfs/dbraw/zinc/67/11/73/360671173.db2.gz SEQMDQSMKVXINA-UHFFFAOYSA-N 1 2 323.418 1.034 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](C)CCN1CCCS1(=O)=O ZINC000611235995 360671176 /nfs/dbraw/zinc/67/11/76/360671176.db2.gz SEQMDQSMKVXINA-UHFFFAOYSA-N 1 2 323.418 1.034 20 30 DDEDLO Cc1nnc(CN2CC[NH+](Cc3cccc(C#N)c3F)CC2)[nH]1 ZINC000611431112 360719812 /nfs/dbraw/zinc/71/98/12/360719812.db2.gz RPWARIMTLZUAHW-UHFFFAOYSA-N 1 2 314.368 1.442 20 30 DDEDLO CN1CC[C@H]([NH+]2CCN([C@@H](C#N)c3ccc(F)cc3)CC2)C1=O ZINC000611550342 360756156 /nfs/dbraw/zinc/75/61/56/360756156.db2.gz DITNQEMFPXGUCR-HOTGVXAUSA-N 1 2 316.380 1.239 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](C[C@@H](O)COc2ccc3c(c2)OCO3)C1 ZINC000612163611 360937545 /nfs/dbraw/zinc/93/75/45/360937545.db2.gz XZQYYYITRNDXEB-CXAGYDPISA-N 1 2 318.373 1.781 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](C[C@@H](O)COc2ccc3c(c2)OCO3)C1 ZINC000612163611 360937549 /nfs/dbraw/zinc/93/75/49/360937549.db2.gz XZQYYYITRNDXEB-CXAGYDPISA-N 1 2 318.373 1.781 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1CCOC2(CCC2)C1 ZINC000330235860 223092472 /nfs/dbraw/zinc/09/24/72/223092472.db2.gz YZXDREUUHSWJGR-ZIAGYGMSSA-N 1 2 311.426 1.312 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N2CCC[C@@](C)(C#N)C2)c(C)[nH+]1 ZINC000612269956 360955774 /nfs/dbraw/zinc/95/57/74/360955774.db2.gz MNPMMBYXHXEACN-INIZCTEOSA-N 1 2 300.362 1.789 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)NCC1CCOCC1 ZINC000330554283 223126382 /nfs/dbraw/zinc/12/63/82/223126382.db2.gz YQDSYQQBRFDWCL-UHFFFAOYSA-N 1 2 320.315 1.876 20 30 DDEDLO COCCOCCN1CC[NH+]([C@H](C)c2cccc(C#N)c2)CC1 ZINC000612543650 361059897 /nfs/dbraw/zinc/05/98/97/361059897.db2.gz NCYIMRMPHQHINA-MRXNPFEDSA-N 1 2 317.433 1.900 20 30 DDEDLO CN(C)C(=O)CCCNC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000331221329 223194602 /nfs/dbraw/zinc/19/46/02/223194602.db2.gz JYXAKBWPVODUER-UHFFFAOYSA-N 1 2 307.398 1.372 20 30 DDEDLO CN(C)C(=O)CCCNC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000331221329 223194604 /nfs/dbraw/zinc/19/46/04/223194604.db2.gz JYXAKBWPVODUER-UHFFFAOYSA-N 1 2 307.398 1.372 20 30 DDEDLO CO[C@@H]1CCC[C@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000339588475 223270845 /nfs/dbraw/zinc/27/08/45/223270845.db2.gz ZABHVVVKVRNEDZ-JKSUJKDBSA-N 1 2 323.437 1.266 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(O)(Cc2ccc(F)cc2)CC1 ZINC000619721574 364131011 /nfs/dbraw/zinc/13/10/11/364131011.db2.gz LUSLCATXNZAKNS-OAHLLOKOSA-N 1 2 307.365 1.923 20 30 DDEDLO N#CC1(F)CCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)CC1 ZINC000343903026 223328433 /nfs/dbraw/zinc/32/84/33/223328433.db2.gz UQZKTQNCGLZQQV-UHFFFAOYSA-N 1 2 319.384 1.799 20 30 DDEDLO CSC[C@](C)(C#N)NC(=O)[C@H]1CN(c2cccc[nH+]2)C[C@@H]1C ZINC000345088749 223342834 /nfs/dbraw/zinc/34/28/34/223342834.db2.gz CDWRDYDCRBEYDF-XEZPLFJOSA-N 1 2 318.446 1.915 20 30 DDEDLO C=CCc1cc(C[N@@H+]2C[C@@H](C)O[C@H](C(N)=O)C2)cc(OC)c1O ZINC000092006448 193140168 /nfs/dbraw/zinc/14/01/68/193140168.db2.gz WIJVGYQJSWIODZ-ABAIWWIYSA-N 1 2 320.389 1.204 20 30 DDEDLO C=CCc1cc(C[N@H+]2C[C@@H](C)O[C@H](C(N)=O)C2)cc(OC)c1O ZINC000092006448 193140170 /nfs/dbraw/zinc/14/01/70/193140170.db2.gz WIJVGYQJSWIODZ-ABAIWWIYSA-N 1 2 320.389 1.204 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)c2c[nH]c3ccc(F)cc23)C1 ZINC000625506830 366974357 /nfs/dbraw/zinc/97/43/57/366974357.db2.gz RNYVQYQNSLMFKN-INIZCTEOSA-N 1 2 301.321 1.840 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)c2c[nH]c3ccc(F)cc23)C1 ZINC000625506830 366974358 /nfs/dbraw/zinc/97/43/58/366974358.db2.gz RNYVQYQNSLMFKN-INIZCTEOSA-N 1 2 301.321 1.840 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@@]2(CC(=O)c3ccccc3O2)C1 ZINC000625801641 367150199 /nfs/dbraw/zinc/15/01/99/367150199.db2.gz UVPNHEOLYAVUDS-QGZVFWFLSA-N 1 2 313.357 1.126 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@@]2(CC(=O)c3ccccc3O2)C1 ZINC000625801641 367150203 /nfs/dbraw/zinc/15/02/03/367150203.db2.gz UVPNHEOLYAVUDS-QGZVFWFLSA-N 1 2 313.357 1.126 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC[C@H]([C@H](O)C(F)(F)F)C2)CCC1 ZINC000347148654 223367867 /nfs/dbraw/zinc/36/78/67/223367867.db2.gz GRSHWQCTZSDTCA-JQWIXIFHSA-N 1 2 319.327 1.184 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC[C@H]([C@H](O)C(F)(F)F)C2)CCC1 ZINC000347148654 223367870 /nfs/dbraw/zinc/36/78/70/223367870.db2.gz GRSHWQCTZSDTCA-JQWIXIFHSA-N 1 2 319.327 1.184 20 30 DDEDLO N#Cc1ccccc1N1CCN(CC[NH+]2CCOCC2)CC1 ZINC000264764654 204140835 /nfs/dbraw/zinc/14/08/35/204140835.db2.gz ZXDAQQBYFFHADL-UHFFFAOYSA-N 1 2 300.406 1.012 20 30 DDEDLO Cn1cc(C[N@H+](C)[C@@H]2CCN(c3ccc(C#N)cc3)C2=O)cn1 ZINC000028748523 248182969 /nfs/dbraw/zinc/18/29/69/248182969.db2.gz PGYGTPRVARDASN-MRXNPFEDSA-N 1 2 309.373 1.529 20 30 DDEDLO Cn1cc(C[N@@H+](C)[C@@H]2CCN(c3ccc(C#N)cc3)C2=O)cn1 ZINC000028748523 248182976 /nfs/dbraw/zinc/18/29/76/248182976.db2.gz PGYGTPRVARDASN-MRXNPFEDSA-N 1 2 309.373 1.529 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)c1 ZINC000333751325 249092985 /nfs/dbraw/zinc/09/29/85/249092985.db2.gz ZPCRWVFSWGDNDS-GJZGRUSLSA-N 1 2 300.362 1.413 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)c1 ZINC000333751325 249092987 /nfs/dbraw/zinc/09/29/87/249092987.db2.gz ZPCRWVFSWGDNDS-GJZGRUSLSA-N 1 2 300.362 1.413 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[NH+]2CC(OCC(F)F)C2)cc1 ZINC000336858753 249266972 /nfs/dbraw/zinc/26/69/72/249266972.db2.gz BBSKOEOYCOIIPZ-GFCCVEGCSA-N 1 2 312.316 1.264 20 30 DDEDLO C[C@@H](NC(=O)Nc1cccc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000104774554 194037280 /nfs/dbraw/zinc/03/72/80/194037280.db2.gz JYWPCOSQUXAKEZ-OLZOCXBDSA-N 1 2 302.378 1.789 20 30 DDEDLO COc1cc(NC(=O)C[NH+]2CCC(C#N)CC2)cc(OC)c1 ZINC000057880015 184060701 /nfs/dbraw/zinc/06/07/01/184060701.db2.gz KUFJNOGILXTMMH-UHFFFAOYSA-N 1 2 303.362 1.878 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+](C)C[C@@H]1CCC[C@H]1O ZINC000270096627 208157339 /nfs/dbraw/zinc/15/73/39/208157339.db2.gz KSEVZENOFLMRDX-XHSDSOJGSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+](C)C[C@@H]1CCC[C@H]1O ZINC000270096627 208157344 /nfs/dbraw/zinc/15/73/44/208157344.db2.gz KSEVZENOFLMRDX-XHSDSOJGSA-N 1 2 302.374 1.865 20 30 DDEDLO C=CCCOCCNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000270161113 208222594 /nfs/dbraw/zinc/22/25/94/208222594.db2.gz CIMRFQLRTVMWDO-UHFFFAOYSA-N 1 2 318.417 1.841 20 30 DDEDLO C=C(C)CNC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000156198110 197094942 /nfs/dbraw/zinc/09/49/42/197094942.db2.gz PEQNAAXTZHCWJM-ZDUSSCGKSA-N 1 2 304.394 1.682 20 30 DDEDLO COCCC(=O)N1CC[NH+]([C@@H](C)c2cccc(C#N)c2)CC1 ZINC000330856168 533172708 /nfs/dbraw/zinc/17/27/08/533172708.db2.gz NLVPNMPVAXQPPP-AWEZNQCLSA-N 1 2 301.390 1.800 20 30 DDEDLO Cc1cc(C(=O)NC(C)(C)C[NH+]2CCOCC2)ncc1C#N ZINC000413955417 224280863 /nfs/dbraw/zinc/28/08/63/224280863.db2.gz KQXOBLSJEJKKNH-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)Nc2ccc(C#N)c(F)c2)C1 ZINC000289802708 221236367 /nfs/dbraw/zinc/23/63/67/221236367.db2.gz OAKUHFDMUAGCNC-ZDUSSCGKSA-N 1 2 305.357 1.065 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)Nc2ccc(C#N)c(F)c2)C1 ZINC000289802708 221236369 /nfs/dbraw/zinc/23/63/69/221236369.db2.gz OAKUHFDMUAGCNC-ZDUSSCGKSA-N 1 2 305.357 1.065 20 30 DDEDLO COCC[N@H+](CCO)Cc1ncc(-c2ccc(C#N)cc2)o1 ZINC000121790294 195348024 /nfs/dbraw/zinc/34/80/24/195348024.db2.gz YIWDVIZVPGBWMF-UHFFFAOYSA-N 1 2 301.346 1.654 20 30 DDEDLO COCC[N@@H+](CCO)Cc1ncc(-c2ccc(C#N)cc2)o1 ZINC000121790294 195348027 /nfs/dbraw/zinc/34/80/27/195348027.db2.gz YIWDVIZVPGBWMF-UHFFFAOYSA-N 1 2 301.346 1.654 20 30 DDEDLO C=CCCOCCNC(=O)NCc1cccnc1-n1cc[nH+]c1 ZINC000121945882 195379300 /nfs/dbraw/zinc/37/93/00/195379300.db2.gz XCOOAXHILBFQMC-UHFFFAOYSA-N 1 2 315.377 1.659 20 30 DDEDLO CC[N@H+](C[C@@H](O)c1ccc(C#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000122002602 195389608 /nfs/dbraw/zinc/38/96/08/195389608.db2.gz FLTVITWHDOMRPB-HUUCEWRRSA-N 1 2 308.403 1.101 20 30 DDEDLO CC[N@@H+](C[C@@H](O)c1ccc(C#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000122002602 195389612 /nfs/dbraw/zinc/38/96/12/195389612.db2.gz FLTVITWHDOMRPB-HUUCEWRRSA-N 1 2 308.403 1.101 20 30 DDEDLO CS(=O)(=O)C1(CNC(=O)[C@@H]2CCC[N@@H+]2C2CCCC2)CC1 ZINC000330094576 533313362 /nfs/dbraw/zinc/31/33/62/533313362.db2.gz TXFVVCFUGSHZKI-ZDUSSCGKSA-N 1 2 314.451 1.927 20 30 DDEDLO CS(=O)(=O)C1(CNC(=O)[C@@H]2CCC[N@H+]2C2CCCC2)CC1 ZINC000330094576 533313366 /nfs/dbraw/zinc/31/33/66/533313366.db2.gz TXFVVCFUGSHZKI-ZDUSSCGKSA-N 1 2 314.451 1.927 20 30 DDEDLO COc1ccc(CNC(=O)C[N@H+]2C[C@@H]3[C@H](C2)OCCN3C)cc1 ZINC000329933999 533419665 /nfs/dbraw/zinc/41/96/65/533419665.db2.gz QIBHUDOPAYUODZ-CVEARBPZSA-N 1 2 319.405 1.167 20 30 DDEDLO COc1ccc(CNC(=O)C[N@@H+]2C[C@@H]3[C@H](C2)OCCN3C)cc1 ZINC000329933999 533419673 /nfs/dbraw/zinc/41/96/73/533419673.db2.gz QIBHUDOPAYUODZ-CVEARBPZSA-N 1 2 319.405 1.167 20 30 DDEDLO COc1ccc(CNC(=O)CN2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)cc1 ZINC000329933999 533419677 /nfs/dbraw/zinc/41/96/77/533419677.db2.gz QIBHUDOPAYUODZ-CVEARBPZSA-N 1 2 319.405 1.167 20 30 DDEDLO COc1ccc(CNC(=O)CN2C[C@@H]3[C@H](C2)OCC[N@H+]3C)cc1 ZINC000329933999 533419682 /nfs/dbraw/zinc/41/96/82/533419682.db2.gz QIBHUDOPAYUODZ-CVEARBPZSA-N 1 2 319.405 1.167 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000071112821 406831710 /nfs/dbraw/zinc/83/17/10/406831710.db2.gz XPJWTCRFCQIHIK-OAHLLOKOSA-N 1 2 308.403 1.194 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000071112821 406831713 /nfs/dbraw/zinc/83/17/13/406831713.db2.gz XPJWTCRFCQIHIK-OAHLLOKOSA-N 1 2 308.403 1.194 20 30 DDEDLO COC(=O)[C@H]1CCCC[N@@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000028691680 406918200 /nfs/dbraw/zinc/91/82/00/406918200.db2.gz CDLJGRKNOVZVPW-GDBMZVCRSA-N 1 2 318.373 1.325 20 30 DDEDLO COC(=O)[C@H]1CCCC[N@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000028691680 406918202 /nfs/dbraw/zinc/91/82/02/406918202.db2.gz CDLJGRKNOVZVPW-GDBMZVCRSA-N 1 2 318.373 1.325 20 30 DDEDLO CCCS(=O)(=O)NC1CC[NH+](C[C@H](C#N)CCC#N)CC1 ZINC000030534171 406932558 /nfs/dbraw/zinc/93/25/58/406932558.db2.gz BFIOFJCJAXYOHQ-ZDUSSCGKSA-N 1 2 312.439 1.224 20 30 DDEDLO CC(C)C[N@H+](CC(N)=O)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000075624182 406937776 /nfs/dbraw/zinc/93/77/76/406937776.db2.gz HIFSKQJLMVWIMU-UHFFFAOYSA-N 1 2 313.361 1.552 20 30 DDEDLO CC(C)C[N@@H+](CC(N)=O)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000075624182 406937779 /nfs/dbraw/zinc/93/77/79/406937779.db2.gz HIFSKQJLMVWIMU-UHFFFAOYSA-N 1 2 313.361 1.552 20 30 DDEDLO CCO[C@H](C)c1noc(CN2CC[NH+](CCCC#N)CC2)n1 ZINC000075916029 406945716 /nfs/dbraw/zinc/94/57/16/406945716.db2.gz SZFPKUMDFSSWLX-CYBMUJFWSA-N 1 2 307.398 1.588 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(OC)ccc2F)CC1 ZINC000088535970 407121496 /nfs/dbraw/zinc/12/14/96/407121496.db2.gz MLVKDESTIRRIJH-UHFFFAOYSA-N 1 2 305.353 1.024 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1cc(C#N)cs1)[NH+]1CCOCC1 ZINC000084073932 407081421 /nfs/dbraw/zinc/08/14/21/407081421.db2.gz PKPODAFXQTXIHE-CYBMUJFWSA-N 1 2 307.419 1.706 20 30 DDEDLO C=CC[N@@H+](CCc1ccc([N+](=O)[O-])cc1)[C@H]1CCS(=O)(=O)C1 ZINC000066560849 407253895 /nfs/dbraw/zinc/25/38/95/407253895.db2.gz BBUNUTCKULFMPN-HNNXBMFYSA-N 1 2 324.402 1.812 20 30 DDEDLO C=CC[N@H+](CCc1ccc([N+](=O)[O-])cc1)[C@H]1CCS(=O)(=O)C1 ZINC000066560849 407253896 /nfs/dbraw/zinc/25/38/96/407253896.db2.gz BBUNUTCKULFMPN-HNNXBMFYSA-N 1 2 324.402 1.812 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](O)C[N@@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000093103122 407195683 /nfs/dbraw/zinc/19/56/83/407195683.db2.gz IZHSDWLZKKYQHV-KYOSRNDESA-N 1 2 304.346 1.086 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](O)C[N@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000093103122 407195685 /nfs/dbraw/zinc/19/56/85/407195685.db2.gz IZHSDWLZKKYQHV-KYOSRNDESA-N 1 2 304.346 1.086 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)C(C)(C)C#N)c[nH+]2)CCO1 ZINC000055387425 407202158 /nfs/dbraw/zinc/20/21/58/407202158.db2.gz MXDHFQJTYXVECA-GFCCVEGCSA-N 1 2 302.378 1.473 20 30 DDEDLO CCCN(CC#N)CCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000060565499 407226141 /nfs/dbraw/zinc/22/61/41/407226141.db2.gz SBRKRSCUDIBPGK-UHFFFAOYSA-N 1 2 315.421 1.356 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)N(C(C)C)C(C)C)cs1 ZINC000063456870 407233225 /nfs/dbraw/zinc/23/32/25/407233225.db2.gz NPPGLBZUDHJFBF-UHFFFAOYSA-N 1 2 312.439 1.928 20 30 DDEDLO Cc1noc(CN2CC[NH+](Cc3cc(C#N)cs3)CC2)n1 ZINC000125372418 407386884 /nfs/dbraw/zinc/38/68/84/407386884.db2.gz CJAGUBLYSOTWEF-UHFFFAOYSA-N 1 2 303.391 1.629 20 30 DDEDLO C=CCCOCCNC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000127011590 407426334 /nfs/dbraw/zinc/42/63/34/407426334.db2.gz LDEQXCUHJIBRPM-UHFFFAOYSA-N 1 2 318.421 1.502 20 30 DDEDLO C=C(C)COCCNC(=O)N1CC[C@H]([NH+]2CCN(CC)CC2)C1 ZINC000151526843 407451393 /nfs/dbraw/zinc/45/13/93/407451393.db2.gz WMPGANGKABBHOO-INIZCTEOSA-N 1 2 324.469 1.001 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N(C)CCCn1cc[nH+]c1 ZINC000178442587 407457247 /nfs/dbraw/zinc/45/72/47/407457247.db2.gz MWNIOKNTRHNMRX-UHFFFAOYSA-N 1 2 318.402 1.774 20 30 DDEDLO CN(C)c1ccc2cc(NC(=O)Cn3cnc(C#N)n3)ccc2[nH+]1 ZINC000178458314 407462426 /nfs/dbraw/zinc/46/24/26/407462426.db2.gz RLNKBSIGCINNFW-UHFFFAOYSA-N 1 2 321.344 1.403 20 30 DDEDLO N#CCCCOc1cccnc1NC(=O)NCCn1cc[nH+]c1 ZINC000186443176 407667500 /nfs/dbraw/zinc/66/75/00/407667500.db2.gz FFIRQWGQIAXCGK-UHFFFAOYSA-N 1 2 314.349 1.782 20 30 DDEDLO CCOC(=O)N1CCN(C(=O)[C@H](C)[N@H+](CC)C[C@H](C)C#N)CC1 ZINC000179277065 407763512 /nfs/dbraw/zinc/76/35/12/407763512.db2.gz LGBZETZOTJICBV-KGLIPLIRSA-N 1 2 324.425 1.157 20 30 DDEDLO CCOC(=O)N1CCN(C(=O)[C@H](C)[N@@H+](CC)C[C@H](C)C#N)CC1 ZINC000179277065 407763520 /nfs/dbraw/zinc/76/35/20/407763520.db2.gz LGBZETZOTJICBV-KGLIPLIRSA-N 1 2 324.425 1.157 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000132381557 407780563 /nfs/dbraw/zinc/78/05/63/407780563.db2.gz FBNOQPVFGOEHED-SJLPKXTDSA-N 1 2 315.417 1.942 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000132381557 407780570 /nfs/dbraw/zinc/78/05/70/407780570.db2.gz FBNOQPVFGOEHED-SJLPKXTDSA-N 1 2 315.417 1.942 20 30 DDEDLO CC(C)NS(=O)(=O)[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000134307376 407894405 /nfs/dbraw/zinc/89/44/05/407894405.db2.gz DVCHDROTXBUKHX-INIZCTEOSA-N 1 2 324.421 1.579 20 30 DDEDLO CC(C)NS(=O)(=O)[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000134307376 407894415 /nfs/dbraw/zinc/89/44/15/407894415.db2.gz DVCHDROTXBUKHX-INIZCTEOSA-N 1 2 324.421 1.579 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(Cc2ccon2)CC1 ZINC000173939847 407904405 /nfs/dbraw/zinc/90/44/05/407904405.db2.gz QAVKRXDLCSYYJH-HNNXBMFYSA-N 1 2 320.437 1.605 20 30 DDEDLO CC[N@H+](Cc1ccc(OC)c(C#N)c1)[C@H](C)CS(C)(=O)=O ZINC000135075944 407971675 /nfs/dbraw/zinc/97/16/75/407971675.db2.gz NOFOFWCJFKWTEC-GFCCVEGCSA-N 1 2 310.419 1.822 20 30 DDEDLO CC[N@@H+](Cc1ccc(OC)c(C#N)c1)[C@H](C)CS(C)(=O)=O ZINC000135075944 407971684 /nfs/dbraw/zinc/97/16/84/407971684.db2.gz NOFOFWCJFKWTEC-GFCCVEGCSA-N 1 2 310.419 1.822 20 30 DDEDLO COc1ccc(C(=O)NC(=O)C[NH+]2CCC(C)(C#N)CC2)cc1 ZINC000272546481 407974392 /nfs/dbraw/zinc/97/43/92/407974392.db2.gz KDABDJNFQMLAPL-UHFFFAOYSA-N 1 2 315.373 1.577 20 30 DDEDLO COc1ccc(C[N@@H+]2CCO[C@H]([C@@H]3CCCO3)C2)cc1C#N ZINC000135143148 407981591 /nfs/dbraw/zinc/98/15/91/407981591.db2.gz AUZACJKPNCDBTP-IRXDYDNUSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C[N@H+]2CCO[C@H]([C@@H]3CCCO3)C2)cc1C#N ZINC000135143148 407981596 /nfs/dbraw/zinc/98/15/96/407981596.db2.gz AUZACJKPNCDBTP-IRXDYDNUSA-N 1 2 302.374 1.947 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCOC2(CCC2)C1)C1(C#N)CCCCC1 ZINC000154139328 407981829 /nfs/dbraw/zinc/98/18/29/407981829.db2.gz UUDLCVOIKZPBQY-UHFFFAOYSA-N 1 2 305.422 1.926 20 30 DDEDLO CN(C(=O)C[N@H+]1CCOC2(CCC2)C1)C1(C#N)CCCCC1 ZINC000154139328 407981835 /nfs/dbraw/zinc/98/18/35/407981835.db2.gz UUDLCVOIKZPBQY-UHFFFAOYSA-N 1 2 305.422 1.926 20 30 DDEDLO C=CCCCC(=O)N1CCN(c2[nH+]cnc3c2cnn3C)CC1 ZINC000175180744 408070481 /nfs/dbraw/zinc/07/04/81/408070481.db2.gz FYZITFWVPIKAGI-UHFFFAOYSA-N 1 2 314.393 1.368 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H]1CO ZINC000189697052 408083788 /nfs/dbraw/zinc/08/37/88/408083788.db2.gz MJLUNAHLRYZOAM-IUODEOHRSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H]1CO ZINC000189697052 408083791 /nfs/dbraw/zinc/08/37/91/408083791.db2.gz MJLUNAHLRYZOAM-IUODEOHRSA-N 1 2 308.403 1.035 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](C)[C@@H](C)[C@H](C)S(C)(=O)=O ZINC000120968425 408149652 /nfs/dbraw/zinc/14/96/52/408149652.db2.gz CDYOFICSMYDMFV-RYUDHWBXSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](C)[C@@H](C)[C@H](C)S(C)(=O)=O ZINC000120968425 408149658 /nfs/dbraw/zinc/14/96/58/408149658.db2.gz CDYOFICSMYDMFV-RYUDHWBXSA-N 1 2 310.419 1.820 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N2CCOc3cc[nH+]cc32)ccc1F ZINC000175664075 408180653 /nfs/dbraw/zinc/18/06/53/408180653.db2.gz DFBRCAPKMNXHLP-UHFFFAOYSA-N 1 2 319.317 1.680 20 30 DDEDLO C=CCCOCC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000149309667 408191230 /nfs/dbraw/zinc/19/12/30/408191230.db2.gz RBOPMOLMDOHRLO-CQSZACIVSA-N 1 2 319.405 1.516 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCOC2(CCCC2)C1 ZINC000158268754 408333713 /nfs/dbraw/zinc/33/37/13/408333713.db2.gz ULKSBLCDKWWQAV-UHFFFAOYSA-N 1 2 304.394 1.287 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCOC2(CCCC2)C1 ZINC000158268754 408333720 /nfs/dbraw/zinc/33/37/20/408333720.db2.gz ULKSBLCDKWWQAV-UHFFFAOYSA-N 1 2 304.394 1.287 20 30 DDEDLO C#CCN(C(=O)NCC[N@H+]1CCOCC1(C)C)C1CCCC1 ZINC000176378010 408352451 /nfs/dbraw/zinc/35/24/51/408352451.db2.gz MKUZTEGFYJPVLS-UHFFFAOYSA-N 1 2 307.438 1.685 20 30 DDEDLO C#CCN(C(=O)NCC[N@@H+]1CCOCC1(C)C)C1CCCC1 ZINC000176378010 408352455 /nfs/dbraw/zinc/35/24/55/408352455.db2.gz MKUZTEGFYJPVLS-UHFFFAOYSA-N 1 2 307.438 1.685 20 30 DDEDLO Cc1ncc(CO)c(/C=[NH+]/CCc2cn3c(n2)SCC3)c1O ZINC000274312092 408359440 /nfs/dbraw/zinc/35/94/40/408359440.db2.gz FZEQBPRPMXAPNY-FRKPEAEDSA-N 1 2 318.402 1.552 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000160790676 408524809 /nfs/dbraw/zinc/52/48/09/408524809.db2.gz OXNZUVHEFBPBOM-JTQLQIEISA-N 1 2 324.793 1.775 20 30 DDEDLO Cc1nc(C(C)(C)[NH2+]C[C@@H](O)COc2ccc(C#N)cc2)no1 ZINC000264378038 408467394 /nfs/dbraw/zinc/46/73/94/408467394.db2.gz XHTJDMTWKKVLTM-CYBMUJFWSA-N 1 2 316.361 1.514 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)Nc2n[nH]c(-c3ccc(C)o3)n2)CC1 ZINC000160280858 408468228 /nfs/dbraw/zinc/46/82/28/408468228.db2.gz BAIMWHFIMTUVIY-UHFFFAOYSA-N 1 2 313.361 1.657 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)Nc2nc(-c3ccc(C)o3)n[nH]2)CC1 ZINC000160280858 408468235 /nfs/dbraw/zinc/46/82/35/408468235.db2.gz BAIMWHFIMTUVIY-UHFFFAOYSA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)Nc1ccc(C#N)cc1[N+](=O)[O-] ZINC000264397786 408473802 /nfs/dbraw/zinc/47/38/02/408473802.db2.gz LLXYRALYOXYSJH-LBPRGKRZSA-N 1 2 303.366 1.514 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCc1ccoc1 ZINC000177631296 408672165 /nfs/dbraw/zinc/67/21/65/408672165.db2.gz MAIGQRYKAUSXAH-UHFFFAOYSA-N 1 2 305.378 1.287 20 30 DDEDLO N#CCSCC(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000193459159 408721286 /nfs/dbraw/zinc/72/12/86/408721286.db2.gz GRWMHQIFJVDWFG-UHFFFAOYSA-N 1 2 304.419 1.945 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH2+][C@@H](COC)c1ccc(C)o1 ZINC000184765102 408685705 /nfs/dbraw/zinc/68/57/05/408685705.db2.gz CYGXTITZBCSODM-JSGCOSHPSA-N 1 2 307.394 1.873 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000185067299 408750308 /nfs/dbraw/zinc/75/03/08/408750308.db2.gz NFJYYNUJUWWRRU-SNVBAGLBSA-N 1 2 304.325 1.829 20 30 DDEDLO COC(=O)c1cccc(OCC(N)=[NH+]OCC[C@@H]2CCCO2)c1 ZINC000276028327 408754045 /nfs/dbraw/zinc/75/40/45/408754045.db2.gz CUXXFCVRLWSDRG-ZDUSSCGKSA-N 1 2 322.361 1.710 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@H](C)O[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000178147141 408781377 /nfs/dbraw/zinc/78/13/77/408781377.db2.gz FOHVLPVSLQXYJC-NEPJUHHUSA-N 1 2 307.394 1.830 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NC2(C#N)CCCCC2)C1=O ZINC000281249734 408876860 /nfs/dbraw/zinc/87/68/60/408876860.db2.gz GTCDUVCTUIHVBM-AWEZNQCLSA-N 1 2 318.421 1.048 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NC2(C#N)CCCCC2)C1=O ZINC000281249734 408876861 /nfs/dbraw/zinc/87/68/61/408876861.db2.gz GTCDUVCTUIHVBM-AWEZNQCLSA-N 1 2 318.421 1.048 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000291151685 408860298 /nfs/dbraw/zinc/86/02/98/408860298.db2.gz XVKBDHJWBYSYHV-GXTWGEPZSA-N 1 2 300.362 1.779 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+](CCCO)Cc1ccccn1 ZINC000281096545 408871693 /nfs/dbraw/zinc/87/16/93/408871693.db2.gz VOZJBZPZQJAUGB-KRWDZBQOSA-N 1 2 318.421 1.320 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+](CCCO)Cc1ccccn1 ZINC000281096545 408871695 /nfs/dbraw/zinc/87/16/95/408871695.db2.gz VOZJBZPZQJAUGB-KRWDZBQOSA-N 1 2 318.421 1.320 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@@H+](Cc3cn4ccccc4c3C#N)CC[C@@H]21 ZINC000285975932 408900848 /nfs/dbraw/zinc/90/08/48/408900848.db2.gz OIDNPRLERQBNNX-ZBFHGGJFSA-N 1 2 309.373 1.409 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@H+](Cc3cn4ccccc4c3C#N)CC[C@@H]21 ZINC000285975932 408900849 /nfs/dbraw/zinc/90/08/49/408900849.db2.gz OIDNPRLERQBNNX-ZBFHGGJFSA-N 1 2 309.373 1.409 20 30 DDEDLO C[C@H]([NH2+]Cc1nnnn1CC(F)(F)F)c1ccc(C#N)cc1 ZINC000277364201 408927843 /nfs/dbraw/zinc/92/78/43/408927843.db2.gz BCSWHKVOWIRLML-VIFPVBQESA-N 1 2 310.283 1.958 20 30 DDEDLO Cn1cc[nH+]c1CNS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000227309808 163338108 /nfs/dbraw/zinc/33/81/08/163338108.db2.gz HQKOLUKBLRJXPN-UHFFFAOYSA-N 1 2 310.766 1.424 20 30 DDEDLO C[C@@](O)(C[NH+]1CCN(c2ccc(C#N)cn2)CC1)C(F)(F)F ZINC000282012467 408971460 /nfs/dbraw/zinc/97/14/60/408971460.db2.gz WZVPQTAUGITTDG-CYBMUJFWSA-N 1 2 314.311 1.389 20 30 DDEDLO C[C@H](C(=O)N(C)C)[NH+]1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC000277801397 409013851 /nfs/dbraw/zinc/01/38/51/409013851.db2.gz PIHUPMITOXMDAZ-GFCCVEGCSA-N 1 2 320.824 1.810 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCC[C@@H](S(C)(=O)=O)C2)cc1C#N ZINC000277876478 409028644 /nfs/dbraw/zinc/02/86/44/409028644.db2.gz VANIAVFHTCGEPM-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1ccc(C[N@H+]2CCCC[C@@H](S(C)(=O)=O)C2)cc1C#N ZINC000277876478 409028647 /nfs/dbraw/zinc/02/86/47/409028647.db2.gz VANIAVFHTCGEPM-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO N#CCC[C@H](c1ccccc1)N1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O ZINC000288179009 409159373 /nfs/dbraw/zinc/15/93/73/409159373.db2.gz FTHAPDQRMKOKOG-LSDHHAIUSA-N 1 2 323.356 1.918 20 30 DDEDLO N#CCC[C@H](c1ccccc1)N1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O ZINC000288179009 409159376 /nfs/dbraw/zinc/15/93/76/409159376.db2.gz FTHAPDQRMKOKOG-LSDHHAIUSA-N 1 2 323.356 1.918 20 30 DDEDLO C=C(C)C[C@@H](C)c1nc(CC(=O)NCC[NH+]2CCOCC2)no1 ZINC000289194904 409230476 /nfs/dbraw/zinc/23/04/76/409230476.db2.gz QMXNPUNZALHSBB-CYBMUJFWSA-N 1 2 322.409 1.130 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000295249840 409397327 /nfs/dbraw/zinc/39/73/27/409397327.db2.gz MPEYHBRTTIAROF-CABCVRRESA-N 1 2 301.390 1.325 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cccnc2OC(C)C)CC1 ZINC000284389077 409338457 /nfs/dbraw/zinc/33/84/57/409338457.db2.gz VRPZWYBECQVVBA-UHFFFAOYSA-N 1 2 301.390 1.650 20 30 DDEDLO NC(=[NH+]OCC(=O)N[C@@H](c1ccccc1)C1CC1)[C@H]1CCCO1 ZINC000284460404 409340213 /nfs/dbraw/zinc/34/02/13/409340213.db2.gz QQQZIMFTHRHEMB-ZBFHGGJFSA-N 1 2 317.389 1.722 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000284623837 409354445 /nfs/dbraw/zinc/35/44/45/409354445.db2.gz ZUEMTSPVNFBUDG-BXKDBHETSA-N 1 2 322.321 1.390 20 30 DDEDLO C[N@H+]([C@H]1CCCN(c2ccc(C#N)cc2)C1=O)C(C)(C)C(N)=O ZINC000295603701 409356723 /nfs/dbraw/zinc/35/67/23/409356723.db2.gz COHNSLJSQHVUKK-AWEZNQCLSA-N 1 2 314.389 1.249 20 30 DDEDLO C[N@@H+]([C@H]1CCCN(c2ccc(C#N)cc2)C1=O)C(C)(C)C(N)=O ZINC000295603701 409356726 /nfs/dbraw/zinc/35/67/26/409356726.db2.gz COHNSLJSQHVUKK-AWEZNQCLSA-N 1 2 314.389 1.249 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)N1CC(Oc2ccc(C#N)cc2)C1 ZINC000372083834 164166958 /nfs/dbraw/zinc/16/69/58/164166958.db2.gz ODLMAGFQXPFQJW-UHFFFAOYSA-N 1 2 311.345 1.265 20 30 DDEDLO C[C@@H]1C[C@H](O)C[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000331558618 409634505 /nfs/dbraw/zinc/63/45/05/409634505.db2.gz KCGFCGHCQUNORV-KGYLQXTDSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@@H]1C[C@H](O)C[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000331558618 409634514 /nfs/dbraw/zinc/63/45/14/409634514.db2.gz KCGFCGHCQUNORV-KGYLQXTDSA-N 1 2 305.403 1.428 20 30 DDEDLO C=C(C)CNC(=O)[C@@H]1CCC(=O)N(CCC)[C@@H]1c1c[nH+]cn1C ZINC000356899388 409700163 /nfs/dbraw/zinc/70/01/63/409700163.db2.gz RVQHYOJIFLGOCM-CJNGLKHVSA-N 1 2 318.421 1.802 20 30 DDEDLO C=CCN(C)C(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000356903367 409705296 /nfs/dbraw/zinc/70/52/96/409705296.db2.gz NAKWDQUNCCAUGB-CZUORRHYSA-N 1 2 318.421 1.754 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000354395740 409826322 /nfs/dbraw/zinc/82/63/22/409826322.db2.gz LTFUZTWOWREYHY-CYBMUJFWSA-N 1 2 306.328 1.576 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000354395740 409826324 /nfs/dbraw/zinc/82/63/24/409826324.db2.gz LTFUZTWOWREYHY-CYBMUJFWSA-N 1 2 306.328 1.576 20 30 DDEDLO C=CCC[N@@H+](C)CC(=O)N(CC(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000342720273 409842513 /nfs/dbraw/zinc/84/25/13/409842513.db2.gz KPIMPKJJZHVLRG-AWEZNQCLSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CCC[N@H+](C)CC(=O)N(CC(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000342720273 409842520 /nfs/dbraw/zinc/84/25/20/409842520.db2.gz KPIMPKJJZHVLRG-AWEZNQCLSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)CN1CCn2cc[nH+]c2C1 ZINC000357031721 409804688 /nfs/dbraw/zinc/80/46/88/409804688.db2.gz BTGJTGUDGWRDPX-MRXNPFEDSA-N 1 2 313.401 1.867 20 30 DDEDLO COCC[NH+]1CCN(C(=O)Nc2snc(C)c2C#N)CC1 ZINC000297402539 409914116 /nfs/dbraw/zinc/91/41/16/409914116.db2.gz KNBJEOIJWBEZBP-UHFFFAOYSA-N 1 2 309.395 1.119 20 30 DDEDLO Cc1c[nH+]c(CN2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)n1C ZINC000342810459 409919905 /nfs/dbraw/zinc/91/99/05/409919905.db2.gz VUPXWOHGWBFPAZ-UGSOOPFHSA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000332093514 409877681 /nfs/dbraw/zinc/87/76/81/409877681.db2.gz CSJYPFZIOYLHTN-BXUZGUMPSA-N 1 2 302.378 1.130 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)N2CCn3cc[nH+]c3C2)c(C#N)c1C ZINC000332107060 409891981 /nfs/dbraw/zinc/89/19/81/409891981.db2.gz SCSCDUMBWHOFJJ-NSHDSACASA-N 1 2 313.361 1.807 20 30 DDEDLO O=C(N[C@H]1CCN(c2cccc[nH+]2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000328736847 409967048 /nfs/dbraw/zinc/96/70/48/409967048.db2.gz LIHHLVXRSBRPOT-RYUDHWBXSA-N 1 2 309.391 1.052 20 30 DDEDLO C[C@H](O)C1CCN(C(=O)NC[C@H]2CCCn3cc[nH+]c32)CC1 ZINC000328610937 409934904 /nfs/dbraw/zinc/93/49/04/409934904.db2.gz OBYDLUVQQWVSTA-GXTWGEPZSA-N 1 2 306.410 1.767 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)NCc2cscn2)CCN1C ZINC000328636962 409943069 /nfs/dbraw/zinc/94/30/69/409943069.db2.gz VVHQFFBTSONQON-LBPRGKRZSA-N 1 2 320.422 1.279 20 30 DDEDLO Cn1ccc(CNC(=O)N2CC[NH+](Cc3ccccn3)CC2)n1 ZINC000328665354 409948004 /nfs/dbraw/zinc/94/80/04/409948004.db2.gz CBCJJRHESYHNOH-UHFFFAOYSA-N 1 2 314.393 1.047 20 30 DDEDLO CN1CCOC[C@H]1C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000328954878 410019208 /nfs/dbraw/zinc/01/92/08/410019208.db2.gz OOOKWZYQZDWAGC-AWEZNQCLSA-N 1 2 304.394 1.338 20 30 DDEDLO Cc1ccnc(CN2CC[NH+]([C@H](C)C(=O)NC3CC3)CC2)n1 ZINC000328886978 410002131 /nfs/dbraw/zinc/00/21/31/410002131.db2.gz ZZAXLYAUFOAVII-CYBMUJFWSA-N 1 2 303.410 1.410 20 30 DDEDLO C[C@@H]1CCN(C([O-])=[NH+]Cc2ncn(C)n2)C[C@H]1n1cc[nH+]c1 ZINC000329052178 410073044 /nfs/dbraw/zinc/07/30/44/410073044.db2.gz HIQIUNSZQPRMER-VXGBXAGGSA-N 1 2 303.370 1.009 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNc1nc2c(cc1C#N)CCCC2 ZINC000329118005 410112244 /nfs/dbraw/zinc/11/22/44/410112244.db2.gz JGEWNLVPCYRVRI-ZDUSSCGKSA-N 1 2 300.406 1.965 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNc1nc2c(cc1C#N)CCCC2 ZINC000329118005 410112248 /nfs/dbraw/zinc/11/22/48/410112248.db2.gz JGEWNLVPCYRVRI-ZDUSSCGKSA-N 1 2 300.406 1.965 20 30 DDEDLO Cc1nc2ccnn2c(C)c1C(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000329138164 410125483 /nfs/dbraw/zinc/12/54/83/410125483.db2.gz DPVOHTKFLNGTGL-LLVKDONJSA-N 1 2 310.361 1.931 20 30 DDEDLO Cc1nc2ccnn2c(C)c1C(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000329138164 410125491 /nfs/dbraw/zinc/12/54/91/410125491.db2.gz DPVOHTKFLNGTGL-LLVKDONJSA-N 1 2 310.361 1.931 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)c2cc(C#N)cs2)C1 ZINC000354898487 410154659 /nfs/dbraw/zinc/15/46/59/410154659.db2.gz LKQGWHNKCFJUPE-NSHDSACASA-N 1 2 321.402 1.235 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNC(=O)c2cc(C#N)cs2)C1 ZINC000354898487 410154663 /nfs/dbraw/zinc/15/46/63/410154663.db2.gz LKQGWHNKCFJUPE-NSHDSACASA-N 1 2 321.402 1.235 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CCC[N@@H+](CC(=O)OC)C2)CCC1 ZINC000354897652 410155501 /nfs/dbraw/zinc/15/55/01/410155501.db2.gz IENYAZQHTDJGCT-AWEZNQCLSA-N 1 2 308.422 1.734 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CCC[N@H+](CC(=O)OC)C2)CCC1 ZINC000354897652 410155507 /nfs/dbraw/zinc/15/55/07/410155507.db2.gz IENYAZQHTDJGCT-AWEZNQCLSA-N 1 2 308.422 1.734 20 30 DDEDLO Cc1nccc(CNC(=O)N2CCC(n3cc[nH+]c3)CC2)n1 ZINC000329195664 410157856 /nfs/dbraw/zinc/15/78/56/410157856.db2.gz SMFTYHJMMFNTCH-UHFFFAOYSA-N 1 2 300.366 1.733 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3nc(N)ns3)CC2)cc1F ZINC000332449098 410167311 /nfs/dbraw/zinc/16/73/11/410167311.db2.gz LXLLMKYXJOCNDG-UHFFFAOYSA-N 1 2 318.381 1.453 20 30 DDEDLO O=C(NC[C@H]1CCCn2cc[nH+]c21)Nc1cc2n(n1)CCCC2 ZINC000329409263 410283150 /nfs/dbraw/zinc/28/31/50/410283150.db2.gz CRIVGXAXMSOMSW-GFCCVEGCSA-N 1 2 314.393 2.319 20 30 DDEDLO O=C(C[N@@H+]1CCN2C(=O)NC(=O)[C@@H]2C1)NC1CCCCCC1 ZINC000329315476 410229519 /nfs/dbraw/zinc/22/95/19/410229519.db2.gz AZOXPBDZQRPRMP-LBPRGKRZSA-N 1 2 308.382 1.742 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1)NC1CCCCCC1 ZINC000329315476 410229526 /nfs/dbraw/zinc/22/95/26/410229526.db2.gz AZOXPBDZQRPRMP-LBPRGKRZSA-N 1 2 308.382 1.742 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000298664224 410337589 /nfs/dbraw/zinc/33/75/89/410337589.db2.gz FGNRCQUUWGBLNZ-UHFFFAOYSA-N 1 2 319.430 1.948 20 30 DDEDLO O=C(C[N@@H+]1CCN2CCOC[C@H]2C1)Nc1ccc2c(c1)OCO2 ZINC000329426002 410293029 /nfs/dbraw/zinc/29/30/29/410293029.db2.gz JAVBKJDSRMCNBC-CYBMUJFWSA-N 1 2 319.361 1.020 20 30 DDEDLO O=C(C[N@H+]1CCN2CCOC[C@H]2C1)Nc1ccc2c(c1)OCO2 ZINC000329426002 410293039 /nfs/dbraw/zinc/29/30/39/410293039.db2.gz JAVBKJDSRMCNBC-CYBMUJFWSA-N 1 2 319.361 1.020 20 30 DDEDLO Cc1cc(Cl)cnc1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329596252 410377842 /nfs/dbraw/zinc/37/78/42/410377842.db2.gz CAUNUASCEVDWRK-NWDGAFQWSA-N 1 2 310.785 1.604 20 30 DDEDLO Cc1cc(Cl)cnc1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329596252 410377848 /nfs/dbraw/zinc/37/78/48/410377848.db2.gz CAUNUASCEVDWRK-NWDGAFQWSA-N 1 2 310.785 1.604 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CC[C@@H](n2cccn2)C1 ZINC000329609576 410381665 /nfs/dbraw/zinc/38/16/65/410381665.db2.gz JCBKUBGYXUQMNN-VXGBXAGGSA-N 1 2 300.366 1.325 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CC[C@@H](n2cccn2)C1 ZINC000329609576 410381671 /nfs/dbraw/zinc/38/16/71/410381671.db2.gz JCBKUBGYXUQMNN-VXGBXAGGSA-N 1 2 300.366 1.325 20 30 DDEDLO Cc1cccc(OCCNC(=O)[C@H](C)[N@@H+](C)C[C@H](C)C#N)c1 ZINC000299158255 410549552 /nfs/dbraw/zinc/54/95/52/410549552.db2.gz JLGPQSKHVVCPCD-CABCVRRESA-N 1 2 303.406 1.970 20 30 DDEDLO Cc1cccc(OCCNC(=O)[C@H](C)[N@H+](C)C[C@H](C)C#N)c1 ZINC000299158255 410549561 /nfs/dbraw/zinc/54/95/61/410549561.db2.gz JLGPQSKHVVCPCD-CABCVRRESA-N 1 2 303.406 1.970 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(c2ccc(F)cc2C#N)CC1 ZINC000301173136 410669288 /nfs/dbraw/zinc/66/92/88/410669288.db2.gz HDGUTOGDFIRCJQ-GFCCVEGCSA-N 1 2 316.380 1.486 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N(C)C[C@H](C)C#N ZINC000352774587 410678718 /nfs/dbraw/zinc/67/87/18/410678718.db2.gz GTLMNOFWODWOAP-TZMCWYRMSA-N 1 2 319.409 1.101 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N(C)C[C@H](C)C#N ZINC000352774587 410678726 /nfs/dbraw/zinc/67/87/26/410678726.db2.gz GTLMNOFWODWOAP-TZMCWYRMSA-N 1 2 319.409 1.101 20 30 DDEDLO N#Cc1ccc(OCC[N@H+]2CCC[C@@H](C3N=NC(=O)O3)C2)cc1 ZINC000340216646 410761310 /nfs/dbraw/zinc/76/13/10/410761310.db2.gz UABTWMDMWAPFAC-CYBMUJFWSA-N 1 2 314.345 1.905 20 30 DDEDLO N#Cc1ccc(OCC[N@@H+]2CCC[C@@H](C3N=NC(=O)O3)C2)cc1 ZINC000340216646 410761317 /nfs/dbraw/zinc/76/13/17/410761317.db2.gz UABTWMDMWAPFAC-CYBMUJFWSA-N 1 2 314.345 1.905 20 30 DDEDLO C=C(CNC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C)c1ccccc1 ZINC000353084883 410778374 /nfs/dbraw/zinc/77/83/74/410778374.db2.gz HNOAJPJDHDZWKL-MRXNPFEDSA-N 1 2 302.422 1.245 20 30 DDEDLO C=C(CNC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)c1ccccc1 ZINC000353084883 410778381 /nfs/dbraw/zinc/77/83/81/410778381.db2.gz HNOAJPJDHDZWKL-MRXNPFEDSA-N 1 2 302.422 1.245 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCCC(F)(F)F ZINC000356041383 410792477 /nfs/dbraw/zinc/79/24/77/410792477.db2.gz GABPFRMTQPLVCU-UHFFFAOYSA-N 1 2 321.343 1.793 20 30 DDEDLO CC(C)OCCOC[C@@H](O)C[N@H+](C)Cc1nc(C#N)cs1 ZINC000356050758 410797394 /nfs/dbraw/zinc/79/73/94/410797394.db2.gz GIPFOIMUALUGEO-ZDUSSCGKSA-N 1 2 313.423 1.249 20 30 DDEDLO CC(C)OCCOC[C@@H](O)C[N@@H+](C)Cc1nc(C#N)cs1 ZINC000356050758 410797401 /nfs/dbraw/zinc/79/74/01/410797401.db2.gz GIPFOIMUALUGEO-ZDUSSCGKSA-N 1 2 313.423 1.249 20 30 DDEDLO COC(=O)c1cc(C(C)(C)[NH2+]Cc2cc(C#N)n(C)c2)no1 ZINC000353191976 410839653 /nfs/dbraw/zinc/83/96/53/410839653.db2.gz LSQGRLRMXBLPRT-UHFFFAOYSA-N 1 2 302.334 1.696 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@H+](Cc2cccc(C#N)c2F)C1 ZINC000330658698 410856683 /nfs/dbraw/zinc/85/66/83/410856683.db2.gz XCXIWDRSGPZXKT-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cccc(C#N)c2F)C1 ZINC000330658698 410856690 /nfs/dbraw/zinc/85/66/90/410856690.db2.gz XCXIWDRSGPZXKT-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO N#Cc1cccc(C[N@@H+](CCC(F)(F)F)C[C@@H](O)CO)c1F ZINC000340897400 410870248 /nfs/dbraw/zinc/87/02/48/410870248.db2.gz IRUHHURUELHALR-GFCCVEGCSA-N 1 2 320.286 1.805 20 30 DDEDLO N#Cc1cccc(C[N@H+](CCC(F)(F)F)C[C@@H](O)CO)c1F ZINC000340897400 410870254 /nfs/dbraw/zinc/87/02/54/410870254.db2.gz IRUHHURUELHALR-GFCCVEGCSA-N 1 2 320.286 1.805 20 30 DDEDLO C[C@H](CN1CCOCC1)[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000341136116 410886505 /nfs/dbraw/zinc/88/65/05/410886505.db2.gz ZLWFDOZLJLIGAV-QAPCUYQASA-N 1 2 315.417 1.652 20 30 DDEDLO C[C@H](CN1CCOCC1)[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000341136116 410886510 /nfs/dbraw/zinc/88/65/10/410886510.db2.gz ZLWFDOZLJLIGAV-QAPCUYQASA-N 1 2 315.417 1.652 20 30 DDEDLO C=CCCCCNC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000353356920 410939027 /nfs/dbraw/zinc/93/90/27/410939027.db2.gz PXWITWWGWYFYQQ-UHFFFAOYSA-N 1 2 305.426 1.604 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000344059381 410926300 /nfs/dbraw/zinc/92/63/00/410926300.db2.gz LTFAOLPCZKAEQN-FZKCQIBNSA-N 1 2 300.358 1.475 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000344059381 410926304 /nfs/dbraw/zinc/92/63/04/410926304.db2.gz LTFAOLPCZKAEQN-FZKCQIBNSA-N 1 2 300.358 1.475 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2c(F)cccc2CC)CC1 ZINC000353377824 410951214 /nfs/dbraw/zinc/95/12/14/410951214.db2.gz FOKGQCBEVNMMBS-UHFFFAOYSA-N 1 2 319.424 1.808 20 30 DDEDLO C[C@@H]1C[NH+](CCC(=O)Nc2ccccc2C#N)C[C@@H](C)S1=O ZINC000331132686 410969262 /nfs/dbraw/zinc/96/92/62/410969262.db2.gz BXBDBFNRTHFULC-CHWSQXEVSA-N 1 2 319.430 1.728 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]CC(=O)NC2(C#N)CCCCC2)s1 ZINC000353569064 411067158 /nfs/dbraw/zinc/06/71/58/411067158.db2.gz KCSWNMXGDHLTIA-SNVBAGLBSA-N 1 2 307.423 1.840 20 30 DDEDLO CCNC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000331319969 411068458 /nfs/dbraw/zinc/06/84/58/411068458.db2.gz BPSZDRQDEZDZGZ-JTQLQIEISA-N 1 2 309.414 1.578 20 30 DDEDLO CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000331319969 411068462 /nfs/dbraw/zinc/06/84/62/411068462.db2.gz BPSZDRQDEZDZGZ-JTQLQIEISA-N 1 2 309.414 1.578 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)CCO1 ZINC000353578427 411069065 /nfs/dbraw/zinc/06/90/65/411069065.db2.gz RHWFYDAICNSRNW-AWEZNQCLSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)CCO1 ZINC000353578427 411069069 /nfs/dbraw/zinc/06/90/69/411069069.db2.gz RHWFYDAICNSRNW-AWEZNQCLSA-N 1 2 320.368 1.930 20 30 DDEDLO C#C[C@H](CCC)NC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000491220425 416590917 /nfs/dbraw/zinc/59/09/17/416590917.db2.gz NHNBTSIZCYTVCD-CQSZACIVSA-N 1 2 319.409 1.139 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)N2CC[NH+](Cc3ccco3)CC2)cc1 ZINC000360350291 418414729 /nfs/dbraw/zinc/41/47/29/418414729.db2.gz BAGSPXPBOZENNV-KRWDZBQOSA-N 1 2 324.384 1.495 20 30 DDEDLO CCOC1CC(CNC(=O)CCCC#N)([NH+]2CCOCC2)C1 ZINC000360474686 418444257 /nfs/dbraw/zinc/44/42/57/418444257.db2.gz QWIWQMMNTWVCIO-UHFFFAOYSA-N 1 2 309.410 1.066 20 30 DDEDLO C#CCOCCc1nc([C@@H]2C[N@@H+](C3CCCCC3)CCO2)no1 ZINC000374847669 418568948 /nfs/dbraw/zinc/56/89/48/418568948.db2.gz SKOIADKQJIIZDR-HNNXBMFYSA-N 1 2 319.405 1.968 20 30 DDEDLO C#CCOCCc1nc([C@@H]2C[N@H+](C3CCCCC3)CCO2)no1 ZINC000374847669 418568951 /nfs/dbraw/zinc/56/89/51/418568951.db2.gz SKOIADKQJIIZDR-HNNXBMFYSA-N 1 2 319.405 1.968 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCC(c2nnc(CC)[nH]2)CC1 ZINC000190691842 222086966 /nfs/dbraw/zinc/08/69/66/222086966.db2.gz YFGWDHSTWSMERF-UHFFFAOYSA-N 1 2 319.453 1.971 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCC(c2nc(CC)n[nH]2)CC1 ZINC000190691842 222086979 /nfs/dbraw/zinc/08/69/79/222086979.db2.gz YFGWDHSTWSMERF-UHFFFAOYSA-N 1 2 319.453 1.971 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCC(c2n[nH]c(CC)n2)CC1 ZINC000190691842 222086982 /nfs/dbraw/zinc/08/69/82/222086982.db2.gz YFGWDHSTWSMERF-UHFFFAOYSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCOC[C@H]([NH2+]C1CCN(CC(F)(F)F)CC1)C(=O)OC ZINC000361108711 418585784 /nfs/dbraw/zinc/58/57/84/418585784.db2.gz DDMUQPSLUOOFGB-LBPRGKRZSA-N 1 2 324.343 1.347 20 30 DDEDLO Cc1ccn2cc(CNS(=O)(=O)CCCCC#N)[nH+]c2c1 ZINC000264542020 222332863 /nfs/dbraw/zinc/33/28/63/222332863.db2.gz CRHYJKMDDOZVHP-UHFFFAOYSA-N 1 2 306.391 1.756 20 30 DDEDLO Cc1nc2n(n1)CCN(C([O-])=[NH+]C[C@@H]1CCCn3cc[nH+]c31)C2 ZINC000328600991 418605640 /nfs/dbraw/zinc/60/56/40/418605640.db2.gz DSLCMPHPZHJWTB-LBPRGKRZSA-N 1 2 315.381 1.090 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCC(F)(F)[C@@H](CO)C1 ZINC000361419875 418649772 /nfs/dbraw/zinc/64/97/72/418649772.db2.gz IGYFRTITCXASEY-WCQYABFASA-N 1 2 323.343 1.835 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCC(F)(F)[C@@H](CO)C1 ZINC000361419875 418649774 /nfs/dbraw/zinc/64/97/74/418649774.db2.gz IGYFRTITCXASEY-WCQYABFASA-N 1 2 323.343 1.835 20 30 DDEDLO C#CCCCS(=O)(=O)NCc1ccnc(-n2cc[nH+]c2)c1 ZINC000377159797 418705930 /nfs/dbraw/zinc/70/59/30/418705930.db2.gz ZVBNIYFKYWJNND-UHFFFAOYSA-N 1 2 304.375 1.100 20 30 DDEDLO CS[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000382883329 418732869 /nfs/dbraw/zinc/73/28/69/418732869.db2.gz FZBQKPPPQCMRAA-CYBMUJFWSA-N 1 2 310.444 1.769 20 30 DDEDLO CS[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000382883329 418732870 /nfs/dbraw/zinc/73/28/70/418732870.db2.gz FZBQKPPPQCMRAA-CYBMUJFWSA-N 1 2 310.444 1.769 20 30 DDEDLO Cn1cc(C[N@@H+]2CCn3c(CC(F)(F)F)nnc3C2)cc1C#N ZINC000369676320 418735767 /nfs/dbraw/zinc/73/57/67/418735767.db2.gz ODPTWZSGRDJZSF-UHFFFAOYSA-N 1 2 324.310 1.609 20 30 DDEDLO Cn1cc(C[N@H+]2CCn3c(CC(F)(F)F)nnc3C2)cc1C#N ZINC000369676320 418735770 /nfs/dbraw/zinc/73/57/70/418735770.db2.gz ODPTWZSGRDJZSF-UHFFFAOYSA-N 1 2 324.310 1.609 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000370247178 418742356 /nfs/dbraw/zinc/74/23/56/418742356.db2.gz MKAOAFOQGWKOJI-OAHLLOKOSA-N 1 2 318.483 1.693 20 30 DDEDLO CC(C)CC[N@H+](CCC#N)C[C@H](O)CN1C[C@H](C)O[C@@H](C)C1 ZINC000362172865 418750122 /nfs/dbraw/zinc/75/01/22/418750122.db2.gz IKVNAJJAQJCKBA-ULQDDVLXSA-N 1 2 311.470 1.718 20 30 DDEDLO CC(C)CC[N@@H+](CCC#N)C[C@H](O)CN1C[C@H](C)O[C@@H](C)C1 ZINC000362172865 418750124 /nfs/dbraw/zinc/75/01/24/418750124.db2.gz IKVNAJJAQJCKBA-ULQDDVLXSA-N 1 2 311.470 1.718 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)Cc1cccc(C#N)c1)CC2 ZINC000363706865 418768763 /nfs/dbraw/zinc/76/87/63/418768763.db2.gz ICUICDOHEZPFHK-UHFFFAOYSA-N 1 2 316.386 1.409 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+]([C@H]2CCCCNC2=O)CC1 ZINC000364053645 418773414 /nfs/dbraw/zinc/77/34/14/418773414.db2.gz HPIYPOUHZVPVHN-INIZCTEOSA-N 1 2 316.380 1.488 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@H](NC(=O)C2CCC2)C1 ZINC000364187516 418774305 /nfs/dbraw/zinc/77/43/05/418774305.db2.gz BBAHHTUMEQGMDW-RHSMWYFYSA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@@H](NC(=O)C2CCC2)C1 ZINC000364187516 418774307 /nfs/dbraw/zinc/77/43/07/418774307.db2.gz BBAHHTUMEQGMDW-RHSMWYFYSA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H](NC(=O)C2CCC2)C1 ZINC000364187518 418774659 /nfs/dbraw/zinc/77/46/59/418774659.db2.gz BBAHHTUMEQGMDW-YOEHRIQHSA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@H](NC(=O)C2CCC2)C1 ZINC000364187518 418774661 /nfs/dbraw/zinc/77/46/61/418774661.db2.gz BBAHHTUMEQGMDW-YOEHRIQHSA-N 1 2 320.437 1.031 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC(N2CC[N@H+](C)CC2=O)CC1 ZINC000364309591 418775742 /nfs/dbraw/zinc/77/57/42/418775742.db2.gz QHLMIMBBFXSARE-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC(N2CC[N@@H+](C)CC2=O)CC1 ZINC000364309591 418775745 /nfs/dbraw/zinc/77/57/45/418775745.db2.gz QHLMIMBBFXSARE-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO CS(=O)(=O)N1CC[N@@H+]([C@H]2CC[C@H](C#N)C2)Cc2ccccc21 ZINC000407991540 418780706 /nfs/dbraw/zinc/78/07/06/418780706.db2.gz VZYXFMAWTVTOQQ-ZFWWWQNUSA-N 1 2 319.430 1.960 20 30 DDEDLO CS(=O)(=O)N1CC[N@H+]([C@H]2CC[C@H](C#N)C2)Cc2ccccc21 ZINC000407991540 418780709 /nfs/dbraw/zinc/78/07/09/418780709.db2.gz VZYXFMAWTVTOQQ-ZFWWWQNUSA-N 1 2 319.430 1.960 20 30 DDEDLO C=CCN(CC1CCCCC1)C(=O)C(=O)N1CC[NH+](CC)CC1 ZINC000410561771 418823605 /nfs/dbraw/zinc/82/36/05/418823605.db2.gz JGHXMTQZQUQJQZ-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@H]1c1[nH+]ccn1C ZINC000372971412 418917714 /nfs/dbraw/zinc/91/77/14/418917714.db2.gz BYJFYUWRKNARSM-KGLIPLIRSA-N 1 2 303.410 1.565 20 30 DDEDLO COC(=O)C[C@H](C)[NH+]1CCN(C(=O)C2(C#N)CCCC2)CC1 ZINC000349654939 533682748 /nfs/dbraw/zinc/68/27/48/533682748.db2.gz LDGDQQPDKFTYAC-ZDUSSCGKSA-N 1 2 307.394 1.166 20 30 DDEDLO C[C@@H]1[C@@H](CO)CCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000412066298 419615497 /nfs/dbraw/zinc/61/54/97/419615497.db2.gz DEGOXDMOKYGZES-UKRRQHHQSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1[C@@H](CO)CCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000412066298 419615503 /nfs/dbraw/zinc/61/55/03/419615503.db2.gz DEGOXDMOKYGZES-UKRRQHHQSA-N 1 2 322.430 1.425 20 30 DDEDLO C=C(C)CNC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000428111792 419813225 /nfs/dbraw/zinc/81/32/25/419813225.db2.gz SBOBTMBRWUHNMC-UHFFFAOYSA-N 1 2 310.419 1.328 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCn3cnnc3C2)c(OC(F)F)c1 ZINC000436297728 420320146 /nfs/dbraw/zinc/32/01/46/420320146.db2.gz WJOLFCCHFACDCR-UHFFFAOYSA-N 1 2 305.288 1.767 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCn3cnnc3C2)c(OC(F)F)c1 ZINC000436297728 420320151 /nfs/dbraw/zinc/32/01/51/420320151.db2.gz WJOLFCCHFACDCR-UHFFFAOYSA-N 1 2 305.288 1.767 20 30 DDEDLO Cn1c[nH+]c(CCNS(=O)(=O)CC2(C#N)CCCCC2)c1 ZINC000416533481 420409343 /nfs/dbraw/zinc/40/93/43/420409343.db2.gz JOIRJLJBQWTQDA-UHFFFAOYSA-N 1 2 310.423 1.356 20 30 DDEDLO CCNC(=O)[C@H]1Cc2ccccc2C[N@@H+]1CCOCCC#N ZINC000425532619 420411440 /nfs/dbraw/zinc/41/14/40/420411440.db2.gz CKDRLIYVPRHBEB-MRXNPFEDSA-N 1 2 301.390 1.480 20 30 DDEDLO CCNC(=O)[C@H]1Cc2ccccc2C[N@H+]1CCOCCC#N ZINC000425532619 420411444 /nfs/dbraw/zinc/41/14/44/420411444.db2.gz CKDRLIYVPRHBEB-MRXNPFEDSA-N 1 2 301.390 1.480 20 30 DDEDLO CC(C)c1ncc(C[N@H+](C)[C@H](C)C(=O)N(C)CCC#N)cn1 ZINC000440481086 420578818 /nfs/dbraw/zinc/57/88/18/420578818.db2.gz UBYADYQVLQWHFM-CYBMUJFWSA-N 1 2 303.410 1.792 20 30 DDEDLO CC(C)c1ncc(C[N@@H+](C)[C@H](C)C(=O)N(C)CCC#N)cn1 ZINC000440481086 420578820 /nfs/dbraw/zinc/57/88/20/420578820.db2.gz UBYADYQVLQWHFM-CYBMUJFWSA-N 1 2 303.410 1.792 20 30 DDEDLO CC(C)(C#N)CNC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000478751107 420970555 /nfs/dbraw/zinc/97/05/55/420970555.db2.gz QQLZNTKKGCZFKT-UHFFFAOYSA-N 1 2 317.393 1.267 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1CC(=O)Nc1cccc(C(=O)OC)n1 ZINC000489462858 421158458 /nfs/dbraw/zinc/15/84/58/421158458.db2.gz LFPHXUDPNQXXRV-GFCCVEGCSA-N 1 2 303.362 1.847 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1CC(=O)Nc1cccc(C(=O)OC)n1 ZINC000489462858 421158462 /nfs/dbraw/zinc/15/84/62/421158462.db2.gz LFPHXUDPNQXXRV-GFCCVEGCSA-N 1 2 303.362 1.847 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC[C@@H]2CC)CC1 ZINC000491465685 421197896 /nfs/dbraw/zinc/19/78/96/421197896.db2.gz XETRXBWFBMSPSA-JKSUJKDBSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC[C@@H]2CC)CC1 ZINC000491465685 421197897 /nfs/dbraw/zinc/19/78/97/421197897.db2.gz XETRXBWFBMSPSA-JKSUJKDBSA-N 1 2 319.449 1.096 20 30 DDEDLO Cc1c(C[N@H+](C)CC(=O)NCc2cccc(C#N)c2)cnn1C ZINC000562499073 421373998 /nfs/dbraw/zinc/37/39/98/421373998.db2.gz YCLDUJPHIKMWRB-UHFFFAOYSA-N 1 2 311.389 1.348 20 30 DDEDLO Cc1c(C[N@@H+](C)CC(=O)NCc2cccc(C#N)c2)cnn1C ZINC000562499073 421373999 /nfs/dbraw/zinc/37/39/99/421373999.db2.gz YCLDUJPHIKMWRB-UHFFFAOYSA-N 1 2 311.389 1.348 20 30 DDEDLO CCn1cc[nH+]c1CNc1nn(-c2ccc(C#N)cn2)cc1C ZINC000547302201 421320757 /nfs/dbraw/zinc/32/07/57/421320757.db2.gz VACBWQMHLHALSZ-UHFFFAOYSA-N 1 2 307.361 2.276 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000563215148 421473714 /nfs/dbraw/zinc/47/37/14/421473714.db2.gz DTKRFZPLVUZDCA-GFCCVEGCSA-N 1 2 323.374 1.333 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000563215148 421473715 /nfs/dbraw/zinc/47/37/15/421473715.db2.gz DTKRFZPLVUZDCA-GFCCVEGCSA-N 1 2 323.374 1.333 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(C#N)cc2[N+](=O)[O-])C1=O ZINC000563217172 421474838 /nfs/dbraw/zinc/47/48/38/421474838.db2.gz MHPLMXXZBZXWCQ-CQSZACIVSA-N 1 2 314.345 1.685 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(C#N)cc2[N+](=O)[O-])C1=O ZINC000563217172 421474841 /nfs/dbraw/zinc/47/48/41/421474841.db2.gz MHPLMXXZBZXWCQ-CQSZACIVSA-N 1 2 314.345 1.685 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)c1ccc(C#N)cc1F ZINC000515198682 421484794 /nfs/dbraw/zinc/48/47/94/421484794.db2.gz GHENQYFXYNIOHE-UHFFFAOYSA-N 1 2 321.333 1.579 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000528505631 421495965 /nfs/dbraw/zinc/49/59/65/421495965.db2.gz XFTYCQLMAPCKGU-HNNXBMFYSA-N 1 2 324.446 1.765 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000528505631 421495968 /nfs/dbraw/zinc/49/59/68/421495968.db2.gz XFTYCQLMAPCKGU-HNNXBMFYSA-N 1 2 324.446 1.765 20 30 DDEDLO C[C@@H]1C[NH+](C[C@@H](O)COc2ccccc2C#N)C[C@@H](C)C1=O ZINC000528548501 421497374 /nfs/dbraw/zinc/49/73/74/421497374.db2.gz BCTKTSUKTTWZGV-UMVBOHGHSA-N 1 2 302.374 1.455 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1CCO[C@H](CNC(=O)NC)C1 ZINC000529639338 421548579 /nfs/dbraw/zinc/54/85/79/421548579.db2.gz AUCLMJDTKCLNGK-OAHLLOKOSA-N 1 2 319.405 1.381 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1CCO[C@H](CNC(=O)NC)C1 ZINC000529639338 421548581 /nfs/dbraw/zinc/54/85/81/421548581.db2.gz AUCLMJDTKCLNGK-OAHLLOKOSA-N 1 2 319.405 1.381 20 30 DDEDLO CCOC(=O)c1cnn(CC[N@H+]2C[C@@H](C)O[C@@H](C)C2)c1C#N ZINC000517042200 421591532 /nfs/dbraw/zinc/59/15/32/421591532.db2.gz NKUHPXFRYQICST-TXEJJXNPSA-N 1 2 306.366 1.041 20 30 DDEDLO CCOC(=O)c1cnn(CC[N@@H+]2C[C@@H](C)O[C@@H](C)C2)c1C#N ZINC000517042200 421591533 /nfs/dbraw/zinc/59/15/33/421591533.db2.gz NKUHPXFRYQICST-TXEJJXNPSA-N 1 2 306.366 1.041 20 30 DDEDLO Cc1ccc2ncc(C#N)c(NCC[N@H+]3CCOC[C@@H]3C)c2c1 ZINC000517653012 421621623 /nfs/dbraw/zinc/62/16/23/421621623.db2.gz SNVDALQEYAITCG-AWEZNQCLSA-N 1 2 310.401 1.969 20 30 DDEDLO Cc1ccc2ncc(C#N)c(NCC[N@@H+]3CCOC[C@@H]3C)c2c1 ZINC000517653012 421621626 /nfs/dbraw/zinc/62/16/26/421621626.db2.gz SNVDALQEYAITCG-AWEZNQCLSA-N 1 2 310.401 1.969 20 30 DDEDLO N#CC1(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)CCSCC1 ZINC000535947710 421714316 /nfs/dbraw/zinc/71/43/16/421714316.db2.gz FAMGPXAEHYUOSG-UHFFFAOYSA-N 1 2 314.374 1.181 20 30 DDEDLO Cc1cccn2cc(CC(=O)N3CCSC[C@H]3C#N)[nH+]c12 ZINC000537132183 421728308 /nfs/dbraw/zinc/72/83/08/421728308.db2.gz SKVYXVCUQLEGMK-CYBMUJFWSA-N 1 2 300.387 1.653 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C[C@@H]1CCOc2ccccc21 ZINC000570848161 421673829 /nfs/dbraw/zinc/67/38/29/421673829.db2.gz KYQAMGYGZCMHNK-SUMWQHHRSA-N 1 2 301.390 1.903 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+][C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000558634183 421816645 /nfs/dbraw/zinc/81/66/45/421816645.db2.gz WZDAIISOCOUOPW-OLZOCXBDSA-N 1 2 300.337 1.964 20 30 DDEDLO Cc1c(C[N@@H+](C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C)cnn1C ZINC000574266846 422081823 /nfs/dbraw/zinc/08/18/23/422081823.db2.gz UBPFJAGTBBFVND-CZUORRHYSA-N 1 2 305.426 1.603 20 30 DDEDLO Cc1c(C[N@H+](C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C)cnn1C ZINC000574266846 422081828 /nfs/dbraw/zinc/08/18/28/422081828.db2.gz UBPFJAGTBBFVND-CZUORRHYSA-N 1 2 305.426 1.603 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000593844836 422341163 /nfs/dbraw/zinc/34/11/63/422341163.db2.gz DJEFKWVQOXTVQP-NSHDSACASA-N 1 2 320.356 2.141 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000634510311 422464664 /nfs/dbraw/zinc/46/46/64/422464664.db2.gz VUGGAHQVGHPQJA-HNNXBMFYSA-N 1 2 302.422 1.766 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2noc(Cc3ccccc3)n2)nn1 ZINC000641040882 423343970 /nfs/dbraw/zinc/34/39/70/423343970.db2.gz FENAJWCNBSNIOU-UHFFFAOYSA-N 1 2 322.372 1.413 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[NH+](Cc3cccs3)CC2)nn1 ZINC000648717420 423424824 /nfs/dbraw/zinc/42/48/24/423424824.db2.gz YEWYIUMJPAGOIS-UHFFFAOYSA-N 1 2 317.418 1.484 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ncnn2-c2ccccc2)nn1 ZINC000641092083 423379682 /nfs/dbraw/zinc/37/96/82/423379682.db2.gz CTAIWAKUPLCFDF-UHFFFAOYSA-N 1 2 307.361 1.020 20 30 DDEDLO C=CC[C@H](CO)CNS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000641408947 423617963 /nfs/dbraw/zinc/61/79/63/423617963.db2.gz OEDZRAQGBHOYLE-ZDUSSCGKSA-N 1 2 324.446 1.137 20 30 DDEDLO C=CC[C@H](CO)CNS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000641408947 423617968 /nfs/dbraw/zinc/61/79/68/423617968.db2.gz OEDZRAQGBHOYLE-ZDUSSCGKSA-N 1 2 324.446 1.137 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCc2sc(S(N)(=O)=O)cc2C1 ZINC000662224749 424493053 /nfs/dbraw/zinc/49/30/53/424493053.db2.gz UTOZFXYDVBDTHC-NSHDSACASA-N 1 2 316.448 1.081 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCc2sc(S(N)(=O)=O)cc2C1 ZINC000662224749 424493056 /nfs/dbraw/zinc/49/30/56/424493056.db2.gz UTOZFXYDVBDTHC-NSHDSACASA-N 1 2 316.448 1.081 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C ZINC000660638578 424738059 /nfs/dbraw/zinc/73/80/59/424738059.db2.gz NCLRGWCXTMSDBP-BZUAXINKSA-N 1 2 308.470 1.666 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C ZINC000660638578 424738061 /nfs/dbraw/zinc/73/80/61/424738061.db2.gz NCLRGWCXTMSDBP-BZUAXINKSA-N 1 2 308.470 1.666 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000660880720 424801714 /nfs/dbraw/zinc/80/17/14/424801714.db2.gz BQGBSLDGZACCLW-NVXWUHKLSA-N 1 2 316.449 1.676 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000660880720 424801716 /nfs/dbraw/zinc/80/17/16/424801716.db2.gz BQGBSLDGZACCLW-NVXWUHKLSA-N 1 2 316.449 1.676 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@H+](Cc3ccc(F)cc3C#N)CCN2C1=O ZINC000375877463 266141977 /nfs/dbraw/zinc/14/19/77/266141977.db2.gz XDKBBBKXMULSTQ-CQSZACIVSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@@H+](Cc3ccc(F)cc3C#N)CCN2C1=O ZINC000375877463 266141981 /nfs/dbraw/zinc/14/19/81/266141981.db2.gz XDKBBBKXMULSTQ-CQSZACIVSA-N 1 2 316.336 1.166 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)Cc2ccc(C#N)cc2)CCO1 ZINC000347584031 266378289 /nfs/dbraw/zinc/37/82/89/266378289.db2.gz LICSKPDBMAOGCC-MRXNPFEDSA-N 1 2 301.390 1.328 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)Cc2ccc(C#N)cc2)CCO1 ZINC000347584031 266378295 /nfs/dbraw/zinc/37/82/95/266378295.db2.gz LICSKPDBMAOGCC-MRXNPFEDSA-N 1 2 301.390 1.328 20 30 DDEDLO C[C@@H]1OCC[C@H]1[N@H+](CCO)CC(=O)Nc1sccc1C#N ZINC000361550365 267100079 /nfs/dbraw/zinc/10/00/79/267100079.db2.gz FBWMMLBPJHWWNG-CMPLNLGQSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@@H]1OCC[C@H]1[N@@H+](CCO)CC(=O)Nc1sccc1C#N ZINC000361550365 267100084 /nfs/dbraw/zinc/10/00/84/267100084.db2.gz FBWMMLBPJHWWNG-CMPLNLGQSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@H]1C[NH+](CCN(C)C(=O)c2ccc(C#N)cc2)C[C@H](C)O1 ZINC000345389665 267231677 /nfs/dbraw/zinc/23/16/77/267231677.db2.gz PDNKLZRVMSDFRK-KBPBESRZSA-N 1 2 301.390 1.739 20 30 DDEDLO Cn1cc(C[NH+]2CCN(Cc3ccc(C#N)cc3F)CC2)cn1 ZINC000353432144 267794965 /nfs/dbraw/zinc/79/49/65/267794965.db2.gz AXELJQGVJCXZAS-UHFFFAOYSA-N 1 2 313.380 1.749 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CC[NH+](Cc3ccncc3)CC2)cn1 ZINC000527712265 268216427 /nfs/dbraw/zinc/21/64/27/268216427.db2.gz ZEBJCNDGQYEJOB-UHFFFAOYSA-N 1 2 321.384 1.235 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCC[C@@]23CCOC3)c1 ZINC000375278214 268275861 /nfs/dbraw/zinc/27/58/61/268275861.db2.gz KJFQUHVHMUQNNE-INIZCTEOSA-N 1 2 320.414 1.587 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCC[C@@]23CCOC3)c1 ZINC000375278214 268275863 /nfs/dbraw/zinc/27/58/63/268275863.db2.gz KJFQUHVHMUQNNE-INIZCTEOSA-N 1 2 320.414 1.587 20 30 DDEDLO C#CC[NH+]1CCN(c2cc3c(cc2[N+](=O)[O-])CCC(=O)N3)CC1 ZINC000170639447 275382855 /nfs/dbraw/zinc/38/28/55/275382855.db2.gz DFDYXWBRENRZJJ-UHFFFAOYSA-N 1 2 314.345 1.235 20 30 DDEDLO CC(C)CC[N@H+](CCC#N)C[C@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000362172863 277353210 /nfs/dbraw/zinc/35/32/10/277353210.db2.gz IKVNAJJAQJCKBA-BBWFWOEESA-N 1 2 311.470 1.718 20 30 DDEDLO CC(C)CC[N@@H+](CCC#N)C[C@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000362172863 277353211 /nfs/dbraw/zinc/35/32/11/277353211.db2.gz IKVNAJJAQJCKBA-BBWFWOEESA-N 1 2 311.470 1.718 20 30 DDEDLO Cc1nnc(N2CCN(C3=[NH+]C[C@@H](C)S3)CC2)c(C#N)c1C ZINC000301362798 279224720 /nfs/dbraw/zinc/22/47/20/279224720.db2.gz RAAVEOJRKJYPDF-SNVBAGLBSA-N 1 2 316.434 1.578 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)CC(=O)NC1CC1 ZINC000128355114 281308899 /nfs/dbraw/zinc/30/88/99/281308899.db2.gz BEGUEBKBHCVHNS-NSHDSACASA-N 1 2 300.362 1.096 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)CC(=O)NC1CC1 ZINC000128355114 281308901 /nfs/dbraw/zinc/30/89/01/281308901.db2.gz BEGUEBKBHCVHNS-NSHDSACASA-N 1 2 300.362 1.096 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C\c1ccc2c(c1)OCCO2 ZINC000493543581 289204057 /nfs/dbraw/zinc/20/40/57/289204057.db2.gz BZXAMKZXBGJIBI-XTKXOIQPSA-N 1 2 315.373 1.431 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)N[C@@H]3CCOC4(CCC4)C3)C[C@H]21 ZINC000329076415 290079406 /nfs/dbraw/zinc/07/94/06/290079406.db2.gz PUSSPPXUBNFPEO-RBSFLKMASA-N 1 2 323.437 1.407 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)N[C@@H]3CCOC4(CCC4)C3)C[C@H]21 ZINC000329076415 290079410 /nfs/dbraw/zinc/07/94/10/290079410.db2.gz PUSSPPXUBNFPEO-RBSFLKMASA-N 1 2 323.437 1.407 20 30 DDEDLO N#C[C@@H]1CCC[C@H]1NC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000329675428 303159537 /nfs/dbraw/zinc/15/95/37/303159537.db2.gz UJTJUCUHDMEPOX-SOUVJXGZSA-N 1 2 306.410 1.389 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](C[C@H](O)CC(F)(F)F)CC1 ZINC000563526784 307973559 /nfs/dbraw/zinc/97/35/59/307973559.db2.gz QAAVXXAZLOQEMG-GFCCVEGCSA-N 1 2 314.311 1.389 20 30 DDEDLO C[C@@H]1OCC[C@@]12C[N@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])CCO2 ZINC000565661948 308036179 /nfs/dbraw/zinc/03/61/79/308036179.db2.gz XLIQYWGUCIEVIL-BLLLJJGKSA-N 1 2 317.345 1.846 20 30 DDEDLO C[C@@H]1OCC[C@@]12C[N@@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])CCO2 ZINC000565661948 308036180 /nfs/dbraw/zinc/03/61/80/308036180.db2.gz XLIQYWGUCIEVIL-BLLLJJGKSA-N 1 2 317.345 1.846 20 30 DDEDLO C[C@H]1C[C@@H](NS(=O)(=O)c2ccc(C#N)cc2)c2[nH+]ccn21 ZINC000578716172 308479484 /nfs/dbraw/zinc/47/94/84/308479484.db2.gz VESAMTOURWZYBW-GXFFZTMASA-N 1 2 302.359 1.739 20 30 DDEDLO N#Cc1ccccc1N1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000579318308 308521858 /nfs/dbraw/zinc/52/18/58/308521858.db2.gz JZENAMFTYXNCNI-UHFFFAOYSA-N 1 2 309.373 1.494 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000566464084 332429840 /nfs/dbraw/zinc/42/98/40/332429840.db2.gz CECMJWKXDFLEPP-QWRGUYRKSA-N 1 2 319.327 1.899 20 30 DDEDLO CC1(C)CCCN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)[C@H]1C#N ZINC000562424266 332591526 /nfs/dbraw/zinc/59/15/26/332591526.db2.gz TUOJIEJPFPIJJW-ZDUSSCGKSA-N 1 2 310.361 1.817 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1N(S(=O)(=O)CC2(C#N)CCC2)CC1(C)C ZINC000580157254 332968052 /nfs/dbraw/zinc/96/80/52/332968052.db2.gz YZXZIFNIBLPHRX-GFCCVEGCSA-N 1 2 322.434 1.827 20 30 DDEDLO CC(C)c1nnc([C@@H](C)[NH2+]Cc2cnc3c(C#N)cnn3c2)[nH]1 ZINC000582964631 337220512 /nfs/dbraw/zinc/22/05/12/337220512.db2.gz FYHVFDXYKKXJOH-SNVBAGLBSA-N 1 2 310.365 1.693 20 30 DDEDLO CC(C)[C@@]1(C)C[C@@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000185734350 337250971 /nfs/dbraw/zinc/25/09/71/337250971.db2.gz JEPDXPJUXRJNDT-NVXWUHKLSA-N 1 2 307.438 1.743 20 30 DDEDLO CC[C@@](C)(C#N)NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000132402530 341122070 /nfs/dbraw/zinc/12/20/70/341122070.db2.gz ZDZJTAWQRNMJQR-RDJZCZTQSA-N 1 2 301.390 1.696 20 30 DDEDLO CC[C@@](C)(C#N)NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000132402530 341122071 /nfs/dbraw/zinc/12/20/71/341122071.db2.gz ZDZJTAWQRNMJQR-RDJZCZTQSA-N 1 2 301.390 1.696 20 30 DDEDLO C#CC[N@@H+](CCCOCC(F)(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000077282801 341222209 /nfs/dbraw/zinc/22/22/09/341222209.db2.gz LVSHNXXQWJPSRL-NSHDSACASA-N 1 2 313.341 1.078 20 30 DDEDLO C#CC[N@H+](CCCOCC(F)(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000077282801 341222210 /nfs/dbraw/zinc/22/22/10/341222210.db2.gz LVSHNXXQWJPSRL-NSHDSACASA-N 1 2 313.341 1.078 20 30 DDEDLO N#CCC[N@@H+](CC(=O)NC(=O)NC1CCCCC1)CC1CC1 ZINC000081044816 341278833 /nfs/dbraw/zinc/27/88/33/341278833.db2.gz PPVSYUQRENTHLU-UHFFFAOYSA-N 1 2 306.410 1.771 20 30 DDEDLO N#CCC[N@H+](CC(=O)NC(=O)NC1CCCCC1)CC1CC1 ZINC000081044816 341278834 /nfs/dbraw/zinc/27/88/34/341278834.db2.gz PPVSYUQRENTHLU-UHFFFAOYSA-N 1 2 306.410 1.771 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)C(=O)NC1(C#N)CCC1)c1c(F)cncc1F ZINC000552203686 341378313 /nfs/dbraw/zinc/37/83/13/341378313.db2.gz HWLMJTRLBWNIDY-ZJUUUORDSA-N 1 2 308.332 1.961 20 30 DDEDLO C[C@H](Oc1ccc2c(c1)CCC2)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000576879598 341867875 /nfs/dbraw/zinc/86/78/75/341867875.db2.gz OJWIINAISAZLIA-SCLBCKFNSA-N 1 2 315.417 1.903 20 30 DDEDLO C=CCOCCNC(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000679487453 485899727 /nfs/dbraw/zinc/89/97/27/485899727.db2.gz HXSNWYADWSRGGQ-UHFFFAOYSA-N 1 2 306.288 1.447 20 30 DDEDLO Cc1c(Cl)cccc1C(=O)N[C@@H](CO)C[NH+]1CCOCC1 ZINC000330593418 533876571 /nfs/dbraw/zinc/87/65/71/533876571.db2.gz PRRAHHVWNIMAFP-GFCCVEGCSA-N 1 2 312.797 1.646 20 30 DDEDLO Cc1c([C@@H]2[C@@H](C(=O)NCc3[nH]cc[nH+]3)CC(=O)N2C)cnn1C ZINC000330301814 533950964 /nfs/dbraw/zinc/95/09/64/533950964.db2.gz NZZLVFHRGOBTES-HZMBPMFUSA-N 1 2 316.365 1.128 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)cc1OC ZINC000329917526 534022265 /nfs/dbraw/zinc/02/22/65/534022265.db2.gz FJVLQLSVDCRUJG-SWLSCSKDSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)cc1OC ZINC000329917526 534022274 /nfs/dbraw/zinc/02/22/74/534022274.db2.gz FJVLQLSVDCRUJG-SWLSCSKDSA-N 1 2 321.377 1.264 20 30 DDEDLO Cc1ccc([N+](=O)[O-])cc1C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000330628902 534332261 /nfs/dbraw/zinc/33/22/61/534332261.db2.gz ZTQIULMTNKLJBQ-GFCCVEGCSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1ccc([N+](=O)[O-])cc1C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000330628902 534332263 /nfs/dbraw/zinc/33/22/63/534332263.db2.gz ZTQIULMTNKLJBQ-GFCCVEGCSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1ccc2[nH+]c(CNS(=O)(=O)CC3(CC#N)CC3)cn2c1 ZINC000451094017 534504104 /nfs/dbraw/zinc/50/41/04/534504104.db2.gz GQUGZZOIEQQLRZ-UHFFFAOYSA-N 1 2 318.402 1.756 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@H](C)C[S@@](C)=O)CCC2 ZINC000330823168 534510591 /nfs/dbraw/zinc/51/05/91/534510591.db2.gz GPZGPUCERVDWON-SMDDFHAHSA-N 1 2 312.439 1.340 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](CCn3cc(Cl)cn3)CC2)c1 ZINC000459292471 534821952 /nfs/dbraw/zinc/82/19/52/534821952.db2.gz DURVACBGMDLZRK-UHFFFAOYSA-N 1 2 316.796 1.625 20 30 DDEDLO Cc1nnc(NC(=O)c2cccc(C[NH+]3CCOCC3)c2)n1C ZINC000329686962 534868831 /nfs/dbraw/zinc/86/88/31/534868831.db2.gz AKFIAFCZYCZOQF-UHFFFAOYSA-N 1 2 315.377 1.592 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N([C@H](C)C2CC2)C2CC2)C1=O ZINC000337220927 526498969 /nfs/dbraw/zinc/49/89/69/526498969.db2.gz KYDISSBNZOCFMU-CJNGLKHVSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N([C@H](C)C2CC2)C2CC2)C1=O ZINC000337220927 526498972 /nfs/dbraw/zinc/49/89/72/526498972.db2.gz KYDISSBNZOCFMU-CJNGLKHVSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000342272169 526511907 /nfs/dbraw/zinc/51/19/07/526511907.db2.gz SCTSLDORTGRCCI-AWEZNQCLSA-N 1 2 318.421 1.610 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@@H](C)c2ccc(F)c(F)c2)CC1 ZINC000348000523 526540602 /nfs/dbraw/zinc/54/06/02/526540602.db2.gz DFSREWJNJUTPMJ-ZDUSSCGKSA-N 1 2 323.387 1.946 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H](NC([O-])=[NH+]C1(CO)CCC1)C2 ZINC000329780091 526813143 /nfs/dbraw/zinc/81/31/43/526813143.db2.gz MKDWSWLNGFJWNO-LBPRGKRZSA-N 1 2 320.437 1.914 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H]([NH+]=C([O-])NC1(CO)CCC1)C2 ZINC000329780091 526813151 /nfs/dbraw/zinc/81/31/51/526813151.db2.gz MKDWSWLNGFJWNO-LBPRGKRZSA-N 1 2 320.437 1.914 20 30 DDEDLO C#CC[N@@H+](CC)[C@@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000491657189 526898752 /nfs/dbraw/zinc/89/87/52/526898752.db2.gz UPWJBGZPFIOAGK-NSHDSACASA-N 1 2 305.334 1.886 20 30 DDEDLO C#CC[N@H+](CC)[C@@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000491657189 526898756 /nfs/dbraw/zinc/89/87/56/526898756.db2.gz UPWJBGZPFIOAGK-NSHDSACASA-N 1 2 305.334 1.886 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C(C)=O)c(F)c2)CC1 ZINC000490763351 526951931 /nfs/dbraw/zinc/95/19/31/526951931.db2.gz PZHFASGGCRZARF-UHFFFAOYSA-N 1 2 318.348 1.185 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cccc3ccc(C)nc32)CC1 ZINC000491289171 526952896 /nfs/dbraw/zinc/95/28/96/526952896.db2.gz XIFVYOOJPAZJRM-UHFFFAOYSA-N 1 2 323.396 1.699 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2ncc(CSC)s2)CC1 ZINC000491126254 526971891 /nfs/dbraw/zinc/97/18/91/526971891.db2.gz MJCKECQULPTVJA-UHFFFAOYSA-N 1 2 323.487 1.968 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CC[C@H]([NH+]2CCN(CC)CC2)C1 ZINC000341430143 527149724 /nfs/dbraw/zinc/14/97/24/527149724.db2.gz IXQZICCXZSBDGQ-HOTGVXAUSA-N 1 2 308.470 1.763 20 30 DDEDLO C#CCn1ccc(CN(CCOC)Cc2cn3ccccc3[nH+]2)n1 ZINC000491637676 527191194 /nfs/dbraw/zinc/19/11/94/527191194.db2.gz UZYCAWHEDGWUDK-UHFFFAOYSA-N 1 2 323.400 1.813 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000491681899 527304928 /nfs/dbraw/zinc/30/49/28/527304928.db2.gz ORBDCMUPRXYPGK-CQSZACIVSA-N 1 2 311.345 1.192 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)Nc1ccccc1OCC ZINC000491766317 527427892 /nfs/dbraw/zinc/42/78/92/527427892.db2.gz GVXPHSDJJWNQRW-AWEZNQCLSA-N 1 2 302.374 1.748 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)Nc1ccccc1OCC ZINC000491766317 527427895 /nfs/dbraw/zinc/42/78/95/527427895.db2.gz GVXPHSDJJWNQRW-AWEZNQCLSA-N 1 2 302.374 1.748 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000424929948 527496936 /nfs/dbraw/zinc/49/69/36/527496936.db2.gz CRYOIGUJWQTTJY-ULQDDVLXSA-N 1 2 324.465 1.831 20 30 DDEDLO CC(C)OC(=O)CCNC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000330602196 527770882 /nfs/dbraw/zinc/77/08/82/527770882.db2.gz ZZTPTWZRXWRQDL-UHFFFAOYSA-N 1 2 308.382 1.776 20 30 DDEDLO CC(C)OC(=O)CC[NH+]=C([O-])N1CCC(n2cc[nH+]c2)CC1 ZINC000330602196 527770885 /nfs/dbraw/zinc/77/08/85/527770885.db2.gz ZZTPTWZRXWRQDL-UHFFFAOYSA-N 1 2 308.382 1.776 20 30 DDEDLO CCN(CC)C(=O)[C@H]1CCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000343521089 528063062 /nfs/dbraw/zinc/06/30/62/528063062.db2.gz CLUPRNKZRILDOC-PBHICJAKSA-N 1 2 320.437 1.128 20 30 DDEDLO CCN(CC)C(=O)[C@H]1CCC[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000343521089 528063070 /nfs/dbraw/zinc/06/30/70/528063070.db2.gz CLUPRNKZRILDOC-PBHICJAKSA-N 1 2 320.437 1.128 20 30 DDEDLO CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[N@H+](C)C[C@@H]2C)o1 ZINC000820753093 606460822 /nfs/dbraw/zinc/46/08/22/606460822.db2.gz WIHIOGFCEGCQLN-QMMMGPOBSA-N 1 2 304.358 1.328 20 30 DDEDLO CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[N@@H+](C)C[C@@H]2C)o1 ZINC000820753093 606460824 /nfs/dbraw/zinc/46/08/24/606460824.db2.gz WIHIOGFCEGCQLN-QMMMGPOBSA-N 1 2 304.358 1.328 20 30 DDEDLO Cc1nc(-c2nn[nH]n2)c(N=NC2C[C@H](C)[N@@H+](C3CC3)C2)o1 ZINC000822422873 606632898 /nfs/dbraw/zinc/63/28/98/606632898.db2.gz FEWFNGWXTDPLMU-ZETCQYMHSA-N 1 2 302.342 1.188 20 30 DDEDLO Cc1nc(-c2nn[nH]n2)c(N=NC2C[C@H](C)[N@H+](C3CC3)C2)o1 ZINC000822422873 606632899 /nfs/dbraw/zinc/63/28/99/606632899.db2.gz FEWFNGWXTDPLMU-ZETCQYMHSA-N 1 2 302.342 1.188 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)O[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000745085874 699971382 /nfs/dbraw/zinc/97/13/82/699971382.db2.gz JADNQDNLXNFPAW-JJRVBVJISA-N 1 2 323.437 1.847 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)O[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000745085874 699971383 /nfs/dbraw/zinc/97/13/83/699971383.db2.gz JADNQDNLXNFPAW-JJRVBVJISA-N 1 2 323.437 1.847 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC000972073048 695151073 /nfs/dbraw/zinc/15/10/73/695151073.db2.gz OGOYKTGPELKHTP-CYBMUJFWSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2C)C1 ZINC000972073048 695151075 /nfs/dbraw/zinc/15/10/75/695151075.db2.gz OGOYKTGPELKHTP-CYBMUJFWSA-N 1 2 305.426 1.450 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccco3)C2)C1 ZINC000972254152 695192048 /nfs/dbraw/zinc/19/20/48/695192048.db2.gz UXKZVLGXKXJNNF-SFHVURJKSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccco3)C2)C1 ZINC000972254152 695192050 /nfs/dbraw/zinc/19/20/50/695192050.db2.gz UXKZVLGXKXJNNF-SFHVURJKSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(Cl)c[nH]3)C2)C1 ZINC000972256264 695192722 /nfs/dbraw/zinc/19/27/22/695192722.db2.gz IWHUCLCLZCSSLZ-MRXNPFEDSA-N 1 2 321.808 1.608 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(Cl)c[nH]3)C2)C1 ZINC000972256264 695192723 /nfs/dbraw/zinc/19/27/23/695192723.db2.gz IWHUCLCLZCSSLZ-MRXNPFEDSA-N 1 2 321.808 1.608 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)nc3)C2)C1 ZINC000972261977 695194732 /nfs/dbraw/zinc/19/47/32/695194732.db2.gz QFOHWRQVPFKAKV-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)nc3)C2)C1 ZINC000972261977 695194736 /nfs/dbraw/zinc/19/47/36/695194736.db2.gz QFOHWRQVPFKAKV-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)C1 ZINC000972322763 695215819 /nfs/dbraw/zinc/21/58/19/695215819.db2.gz DTNFUIMIQUKFTB-LHHMISFZSA-N 1 2 302.418 1.359 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)C1 ZINC000972322763 695215822 /nfs/dbraw/zinc/21/58/22/695215822.db2.gz DTNFUIMIQUKFTB-LHHMISFZSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3C(C)(C)C3(C)C)C2)C1 ZINC000972321764 695215837 /nfs/dbraw/zinc/21/58/37/695215837.db2.gz XFIVJCUPPXQNAK-SFHVURJKSA-N 1 2 304.434 1.605 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3C(C)(C)C3(C)C)C2)C1 ZINC000972321764 695215840 /nfs/dbraw/zinc/21/58/40/695215840.db2.gz XFIVJCUPPXQNAK-SFHVURJKSA-N 1 2 304.434 1.605 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389221 695237974 /nfs/dbraw/zinc/23/79/74/695237974.db2.gz IRZYLBWZMPAMCB-AAEUAGOBSA-N 1 2 324.318 1.766 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389221 695237976 /nfs/dbraw/zinc/23/79/76/695237976.db2.gz IRZYLBWZMPAMCB-AAEUAGOBSA-N 1 2 324.318 1.766 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@@H]4C=C[C@H]3CC4)C2)C1 ZINC000972392922 695238956 /nfs/dbraw/zinc/23/89/56/695238956.db2.gz OJQQFQMSOMGCHQ-NTDBWNAOSA-N 1 2 314.429 1.525 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@@H]4C=C[C@H]3CC4)C2)C1 ZINC000972392922 695238958 /nfs/dbraw/zinc/23/89/58/695238958.db2.gz OJQQFQMSOMGCHQ-NTDBWNAOSA-N 1 2 314.429 1.525 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC[C@@H]4C[C@@H]4CC3)C2)C1 ZINC000972479745 695260767 /nfs/dbraw/zinc/26/07/67/695260767.db2.gz ZGDIZEITVXVRAI-ZMMAXQRCSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC[C@@H]4C[C@@H]4CC3)C2)C1 ZINC000972479745 695260769 /nfs/dbraw/zinc/26/07/69/695260769.db2.gz ZGDIZEITVXVRAI-ZMMAXQRCSA-N 1 2 316.445 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C(F)(F)F)CC3)C2)C1 ZINC000972563090 695284312 /nfs/dbraw/zinc/28/43/12/695284312.db2.gz LKRQCXGIVNSNII-ZDUSSCGKSA-N 1 2 318.339 1.818 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C(F)(F)F)CC3)C2)C1 ZINC000972563090 695284314 /nfs/dbraw/zinc/28/43/14/695284314.db2.gz LKRQCXGIVNSNII-ZDUSSCGKSA-N 1 2 318.339 1.818 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccn(C(C)C)n3)C2)C1 ZINC000972578805 695288078 /nfs/dbraw/zinc/28/80/78/695288078.db2.gz UQAJZRDRFPMVNS-QGZVFWFLSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccn(C(C)C)n3)C2)C1 ZINC000972578805 695288079 /nfs/dbraw/zinc/28/80/79/695288079.db2.gz UQAJZRDRFPMVNS-QGZVFWFLSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(OC)o3)C2)C1 ZINC000972611266 695298389 /nfs/dbraw/zinc/29/83/89/695298389.db2.gz FCMNHQJHNXBXMF-INIZCTEOSA-N 1 2 306.362 1.391 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(OC)o3)C2)C1 ZINC000972611266 695298393 /nfs/dbraw/zinc/29/83/93/695298393.db2.gz FCMNHQJHNXBXMF-INIZCTEOSA-N 1 2 306.362 1.391 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(OC)c3)C2)C1 ZINC000972655677 695310158 /nfs/dbraw/zinc/31/01/58/695310158.db2.gz OALXDMLXLRHEIQ-SFHVURJKSA-N 1 2 316.401 1.798 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(OC)c3)C2)C1 ZINC000972655677 695310159 /nfs/dbraw/zinc/31/01/59/695310159.db2.gz OALXDMLXLRHEIQ-SFHVURJKSA-N 1 2 316.401 1.798 20 30 DDEDLO C[N@H+](CC(F)F)C1CCN(C(=O)c2ccc(C#N)cn2)CC1 ZINC000746323038 700018383 /nfs/dbraw/zinc/01/83/83/700018383.db2.gz PTEQJBCFRZKVRF-UHFFFAOYSA-N 1 2 308.332 1.755 20 30 DDEDLO C[N@@H+](CC(F)F)C1CCN(C(=O)c2ccc(C#N)cn2)CC1 ZINC000746323038 700018385 /nfs/dbraw/zinc/01/83/85/700018385.db2.gz PTEQJBCFRZKVRF-UHFFFAOYSA-N 1 2 308.332 1.755 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2cnc([C@@H](C)OC)s2)C(C)(C)C1 ZINC000974600638 695694339 /nfs/dbraw/zinc/69/43/39/695694339.db2.gz HMQAFGMNQGAUGU-YPMHNXCESA-N 1 2 321.446 1.924 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2cnc([C@@H](C)OC)s2)C(C)(C)C1 ZINC000974600638 695694342 /nfs/dbraw/zinc/69/43/42/695694342.db2.gz HMQAFGMNQGAUGU-YPMHNXCESA-N 1 2 321.446 1.924 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cn2ccc(C)n2)C(C)(C)C1 ZINC000975039884 695782800 /nfs/dbraw/zinc/78/28/00/695782800.db2.gz JHPGRPNCWIGJLP-CYBMUJFWSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cn2ccc(C)n2)C(C)(C)C1 ZINC000975039884 695782801 /nfs/dbraw/zinc/78/28/01/695782801.db2.gz JHPGRPNCWIGJLP-CYBMUJFWSA-N 1 2 310.829 1.771 20 30 DDEDLO NC(=[NH+]OCC(=O)NCCc1ccc(F)cc1)c1ccccc1 ZINC000028774937 696107214 /nfs/dbraw/zinc/10/72/14/696107214.db2.gz OGFNCEXHAAATMM-UHFFFAOYSA-N 1 2 315.348 1.822 20 30 DDEDLO CCO[C@@H](C)c1noc(CO[NH+]=C(N)Cc2cccnc2)n1 ZINC000092915499 696598199 /nfs/dbraw/zinc/59/81/99/696598199.db2.gz IGPZFUMXYCMQAC-JTQLQIEISA-N 1 2 305.338 1.594 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)[nH]1 ZINC000981671701 696868348 /nfs/dbraw/zinc/86/83/48/696868348.db2.gz FNLDAXFOJSOOPH-NEPJUHHUSA-N 1 2 316.409 1.258 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)[nH]1 ZINC000981671701 696868351 /nfs/dbraw/zinc/86/83/51/696868351.db2.gz FNLDAXFOJSOOPH-NEPJUHHUSA-N 1 2 316.409 1.258 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC000980826353 696904093 /nfs/dbraw/zinc/90/40/93/696904093.db2.gz WYAIHHHXJIVSIT-NEPJUHHUSA-N 1 2 300.786 1.082 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC000980826353 696904096 /nfs/dbraw/zinc/90/40/96/696904096.db2.gz WYAIHHHXJIVSIT-NEPJUHHUSA-N 1 2 300.786 1.082 20 30 DDEDLO COc1cccc(C[N@@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)n1 ZINC000980847525 696916146 /nfs/dbraw/zinc/91/61/46/696916146.db2.gz DLMBULPDHVCNEY-ZDUSSCGKSA-N 1 2 302.378 1.284 20 30 DDEDLO COc1cccc(C[N@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)n1 ZINC000980847525 696916148 /nfs/dbraw/zinc/91/61/48/696916148.db2.gz DLMBULPDHVCNEY-ZDUSSCGKSA-N 1 2 302.378 1.284 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CCCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000980917386 696943637 /nfs/dbraw/zinc/94/36/37/696943637.db2.gz MNVIALOPQGFWKH-CYBMUJFWSA-N 1 2 324.388 1.585 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CCCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000980917386 696943638 /nfs/dbraw/zinc/94/36/38/696943638.db2.gz MNVIALOPQGFWKH-CYBMUJFWSA-N 1 2 324.388 1.585 20 30 DDEDLO COC(=O)/C(C)=C\C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000748817312 700137003 /nfs/dbraw/zinc/13/70/03/700137003.db2.gz ZUCAKHVXUBRBSZ-PQMHYQBVSA-N 1 2 300.362 1.195 20 30 DDEDLO Cc1ccc(C#N)c(NC2CC[NH+](CCS(C)(=O)=O)CC2)n1 ZINC000161374004 697322985 /nfs/dbraw/zinc/32/29/85/697322985.db2.gz QJTMDDCXJFKAAX-UHFFFAOYSA-N 1 2 322.434 1.183 20 30 DDEDLO CSCCON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181849391 697465647 /nfs/dbraw/zinc/46/56/47/697465647.db2.gz BSDPNRODLCUKPG-AWEZNQCLSA-N 1 2 309.435 1.539 20 30 DDEDLO CSCCON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181849391 697465652 /nfs/dbraw/zinc/46/56/52/697465652.db2.gz BSDPNRODLCUKPG-AWEZNQCLSA-N 1 2 309.435 1.539 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@H+](C[C@@H](C)O)C[C@@H]1C ZINC000195461308 697744520 /nfs/dbraw/zinc/74/45/20/697744520.db2.gz GEOQYBGNHFLPLO-LSDHHAIUSA-N 1 2 320.499 1.674 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@@H+](C[C@@H](C)O)C[C@@H]1C ZINC000195461308 697744524 /nfs/dbraw/zinc/74/45/24/697744524.db2.gz GEOQYBGNHFLPLO-LSDHHAIUSA-N 1 2 320.499 1.674 20 30 DDEDLO C[C@@H]1C[N@H+](CCOC(=O)CCc2ccc(C#N)cc2)CCO1 ZINC000800123765 700190357 /nfs/dbraw/zinc/19/03/57/700190357.db2.gz MPYFBYUURFFPJF-CQSZACIVSA-N 1 2 302.374 1.755 20 30 DDEDLO C[C@@H]1C[N@@H+](CCOC(=O)CCc2ccc(C#N)cc2)CCO1 ZINC000800123765 700190360 /nfs/dbraw/zinc/19/03/60/700190360.db2.gz MPYFBYUURFFPJF-CQSZACIVSA-N 1 2 302.374 1.755 20 30 DDEDLO Nc1nc(Cl)c(C=[NH+]N[C@@H]2CCCOC2)c(N2CCCC2)n1 ZINC000780258805 698501583 /nfs/dbraw/zinc/50/15/83/698501583.db2.gz VVBRJGMKAKAGGD-SNVBAGLBSA-N 1 2 324.816 1.415 20 30 DDEDLO CC(C)OCCON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000782320117 698708836 /nfs/dbraw/zinc/70/88/36/698708836.db2.gz DXLHGQHLLLEHPS-UHFFFAOYSA-N 1 2 321.421 1.581 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000750879368 700254016 /nfs/dbraw/zinc/25/40/16/700254016.db2.gz DFZWNSRWGOMVTF-CQSZACIVSA-N 1 2 309.369 1.774 20 30 DDEDLO C#CCNC(=O)N1CC[NH+](Cc2cc(C)ccc2OC)CC1 ZINC000789905513 699419052 /nfs/dbraw/zinc/41/90/52/699419052.db2.gz SMYIPVQHLNKGAN-UHFFFAOYSA-N 1 2 301.390 1.464 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=S)Nc1ccccc1C#N ZINC000728229830 699442613 /nfs/dbraw/zinc/44/26/13/699442613.db2.gz SOHKKXQVZLVWPL-UHFFFAOYSA-N 1 2 318.446 1.955 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=S)Nc1ccccc1C#N ZINC000728229830 699442615 /nfs/dbraw/zinc/44/26/15/699442615.db2.gz SOHKKXQVZLVWPL-UHFFFAOYSA-N 1 2 318.446 1.955 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](CN3C(=O)CC34CCC4)C2)nc1 ZINC000793609331 699767182 /nfs/dbraw/zinc/76/71/82/699767182.db2.gz ZARPLDLVKGNHFH-AWEZNQCLSA-N 1 2 312.373 1.519 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](CN3C(=O)CC34CCC4)C2)nc1 ZINC000793609331 699767184 /nfs/dbraw/zinc/76/71/84/699767184.db2.gz ZARPLDLVKGNHFH-AWEZNQCLSA-N 1 2 312.373 1.519 20 30 DDEDLO C[C@H](OC(=O)[C@H]1CCCC[N@@H+]1C)C(=O)Nc1ccccc1C#N ZINC000741147553 699818176 /nfs/dbraw/zinc/81/81/76/699818176.db2.gz VLNOWXRDBFSDAZ-SWLSCSKDSA-N 1 2 315.373 1.913 20 30 DDEDLO C[C@H](OC(=O)[C@H]1CCCC[N@H+]1C)C(=O)Nc1ccccc1C#N ZINC000741147553 699818179 /nfs/dbraw/zinc/81/81/79/699818179.db2.gz VLNOWXRDBFSDAZ-SWLSCSKDSA-N 1 2 315.373 1.913 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1CC[NH+](c2ccc(N(C)C)cc2)CC1 ZINC000743701056 699924403 /nfs/dbraw/zinc/92/44/03/699924403.db2.gz MZFGOPMJSLHFQZ-ZDUSSCGKSA-N 1 2 300.406 1.997 20 30 DDEDLO N#CCC[C@H](C#N)C[N@H+]1CC[C@H](N2CC(=O)Nc3ccccc32)C1 ZINC000759898271 700780397 /nfs/dbraw/zinc/78/03/97/700780397.db2.gz KPJWJZSFQIIZOK-CABCVRRESA-N 1 2 323.400 1.963 20 30 DDEDLO N#CCC[C@H](C#N)C[N@@H+]1CC[C@H](N2CC(=O)Nc3ccccc32)C1 ZINC000759898271 700780399 /nfs/dbraw/zinc/78/03/99/700780399.db2.gz KPJWJZSFQIIZOK-CABCVRRESA-N 1 2 323.400 1.963 20 30 DDEDLO N#CCCN1CC[NH+](C[C@H](O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000760942605 700830590 /nfs/dbraw/zinc/83/05/90/700830590.db2.gz BFGFFQADXNTZLW-HNNXBMFYSA-N 1 2 304.350 1.159 20 30 DDEDLO C[C@@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CCS1 ZINC000766635349 701057814 /nfs/dbraw/zinc/05/78/14/701057814.db2.gz XCZPOONJVBNWCX-GFCCVEGCSA-N 1 2 303.431 1.994 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CCS1 ZINC000766635349 701057816 /nfs/dbraw/zinc/05/78/16/701057816.db2.gz XCZPOONJVBNWCX-GFCCVEGCSA-N 1 2 303.431 1.994 20 30 DDEDLO C=CCOc1cccc(CN2CC[NH+](CC[S@](C)=O)CC2)c1 ZINC000769479315 701246713 /nfs/dbraw/zinc/24/67/13/701246713.db2.gz HLKOQOVEOSAYOG-QFIPXVFZSA-N 1 2 322.474 1.748 20 30 DDEDLO C#CCCOc1ccc(C[NH2+]Cc2nnnn2CC2CC2)cc1 ZINC000769931789 701261329 /nfs/dbraw/zinc/26/13/29/701261329.db2.gz CNOXYYUQUPQACS-UHFFFAOYSA-N 1 2 311.389 1.775 20 30 DDEDLO Cc1cc(C)c(C#N)c(N2CC[NH+](CC[S@@](C)=O)CC2)n1 ZINC000771146952 701311192 /nfs/dbraw/zinc/31/11/92/701311192.db2.gz ODHPGAVVQZPKGI-OAQYLSRUSA-N 1 2 306.435 1.071 20 30 DDEDLO C[C@H](C#N)Oc1ccccc1NC(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000879137269 706596796 /nfs/dbraw/zinc/59/67/96/706596796.db2.gz REAYZHIXXHKRNU-VXGBXAGGSA-N 1 2 311.345 1.920 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@H]2NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000867893503 701814033 /nfs/dbraw/zinc/81/40/33/701814033.db2.gz RDUCWTYJJAPASX-WZHGYECESA-N 1 2 311.385 1.550 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCC[C@@H](N3CCCCC3=O)C2)C1=O ZINC000839997301 701899557 /nfs/dbraw/zinc/89/95/57/701899557.db2.gz VDHZBOXUJXVBQE-OAHLLOKOSA-N 1 2 320.437 1.344 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCC[C@@H](N3CCCCC3=O)C2)C1=O ZINC000839997301 701899563 /nfs/dbraw/zinc/89/95/63/701899563.db2.gz VDHZBOXUJXVBQE-OAHLLOKOSA-N 1 2 320.437 1.344 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CC[C@]3(C2)OCc2ccccc23)C1=O ZINC000840048648 701937339 /nfs/dbraw/zinc/93/73/39/701937339.db2.gz JGHLILHSNQEDIE-GOSISDBHSA-N 1 2 313.401 1.999 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CC[C@]3(C2)OCc2ccccc23)C1=O ZINC000840048648 701937341 /nfs/dbraw/zinc/93/73/41/701937341.db2.gz JGHLILHSNQEDIE-GOSISDBHSA-N 1 2 313.401 1.999 20 30 DDEDLO C=C[C@H](C)NC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000868391022 702103919 /nfs/dbraw/zinc/10/39/19/702103919.db2.gz FEIVLABDCXBOPC-LBPRGKRZSA-N 1 2 311.426 1.666 20 30 DDEDLO N#Cc1c2c(cn(C[N@@H+]3CC[C@H](n4cccn4)C3)c1=O)CCC2 ZINC000812295835 702139708 /nfs/dbraw/zinc/13/97/08/702139708.db2.gz HUZMEASJPFQAIZ-AWEZNQCLSA-N 1 2 309.373 1.310 20 30 DDEDLO N#Cc1c2c(cn(C[N@H+]3CC[C@H](n4cccn4)C3)c1=O)CCC2 ZINC000812295835 702139710 /nfs/dbraw/zinc/13/97/10/702139710.db2.gz HUZMEASJPFQAIZ-AWEZNQCLSA-N 1 2 309.373 1.310 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000868513441 702170878 /nfs/dbraw/zinc/17/08/78/702170878.db2.gz DROYDJVDPDFSOQ-RDJZCZTQSA-N 1 2 315.417 1.517 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000868513441 702170883 /nfs/dbraw/zinc/17/08/83/702170883.db2.gz DROYDJVDPDFSOQ-RDJZCZTQSA-N 1 2 315.417 1.517 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000840755431 702229219 /nfs/dbraw/zinc/22/92/19/702229219.db2.gz YOEJQULRYDMIPT-LLVKDONJSA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cc([N+](=O)[O-])ccc1Cl ZINC000840755431 702229221 /nfs/dbraw/zinc/22/92/21/702229221.db2.gz YOEJQULRYDMIPT-LLVKDONJSA-N 1 2 313.741 1.833 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)N[C@H]1Cc2ccc(C#N)cc2C1 ZINC000869188837 702503846 /nfs/dbraw/zinc/50/38/46/702503846.db2.gz KXWWZRAJPPJGND-KRWDZBQOSA-N 1 2 323.400 1.954 20 30 DDEDLO N#Cc1c2c(cn(C[N@H+]3CC[C@]4(CC4(F)F)C3)c1=O)CCC2 ZINC000844759041 703070103 /nfs/dbraw/zinc/07/01/03/703070103.db2.gz PADMKPBIWILDCS-HNNXBMFYSA-N 1 2 305.328 1.897 20 30 DDEDLO N#Cc1c2c(cn(C[N@@H+]3CC[C@]4(CC4(F)F)C3)c1=O)CCC2 ZINC000844759041 703070105 /nfs/dbraw/zinc/07/01/05/703070105.db2.gz PADMKPBIWILDCS-HNNXBMFYSA-N 1 2 305.328 1.897 20 30 DDEDLO COc1c(O)ccc(C=NNCCCn2cc[nH+]c2)c1[N+](=O)[O-] ZINC000848416682 703547927 /nfs/dbraw/zinc/54/79/27/703547927.db2.gz UTZVVRTUHOEZCW-UHFFFAOYSA-N 1 2 319.321 1.519 20 30 DDEDLO C(=NNCCCn1cc[nH+]c1)c1cnnn1Cc1ccccc1 ZINC000848417434 703548160 /nfs/dbraw/zinc/54/81/60/703548160.db2.gz ZMSLZHFCLQBIIV-UHFFFAOYSA-N 1 2 309.377 1.537 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CN2C(=O)N[C@@](C(C)C)(C3CC3)C2=O)C1 ZINC000848493723 703557342 /nfs/dbraw/zinc/55/73/42/703557342.db2.gz KUVUSXJWWKUYMZ-GUYCJALGSA-N 1 2 303.406 1.646 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CN2C(=O)N[C@@](C(C)C)(C3CC3)C2=O)C1 ZINC000848493723 703557344 /nfs/dbraw/zinc/55/73/44/703557344.db2.gz KUVUSXJWWKUYMZ-GUYCJALGSA-N 1 2 303.406 1.646 20 30 DDEDLO CC[C@@H](O)CN1CC(=O)C(=C2N(C)c3ccccc3N2C)C1=[NH2+] ZINC000849173822 703623556 /nfs/dbraw/zinc/62/35/56/703623556.db2.gz MIOQQDRCLISZML-LLVKDONJSA-N 1 2 314.389 1.417 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CCc2ccc(F)cc2)CC1 ZINC000849372575 703640620 /nfs/dbraw/zinc/64/06/20/703640620.db2.gz QUMGVDZGONKKRZ-UHFFFAOYSA-N 1 2 324.421 1.339 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](C[C@H](O)c2ccccn2)CC1 ZINC000851714501 703835334 /nfs/dbraw/zinc/83/53/34/703835334.db2.gz RVERDVSMSGVZCL-INIZCTEOSA-N 1 2 309.373 1.204 20 30 DDEDLO Cc1noc(C[N@H+](C)C[C@@H](O)c2cccc(C#N)c2)c1[N+](=O)[O-] ZINC000852211342 703969974 /nfs/dbraw/zinc/96/99/74/703969974.db2.gz RSTNKWGRHXOIBU-CYBMUJFWSA-N 1 2 316.317 1.928 20 30 DDEDLO Cc1noc(C[N@@H+](C)C[C@@H](O)c2cccc(C#N)c2)c1[N+](=O)[O-] ZINC000852211342 703969977 /nfs/dbraw/zinc/96/99/77/703969977.db2.gz RSTNKWGRHXOIBU-CYBMUJFWSA-N 1 2 316.317 1.928 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@H](CC)c1nnc2n1CCCCC2 ZINC000852627839 704087044 /nfs/dbraw/zinc/08/70/44/704087044.db2.gz IQGRGNSRZQQJIY-QWHCGFSZSA-N 1 2 303.410 1.183 20 30 DDEDLO C[C@@H](C#N)Oc1ccccc1NC[C@@H](O)C[NH+]1CCOCC1 ZINC000819467403 704130741 /nfs/dbraw/zinc/13/07/41/704130741.db2.gz FQTIUJUIALAJSK-UONOGXRCSA-N 1 2 305.378 1.082 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000855496989 704488226 /nfs/dbraw/zinc/48/82/26/704488226.db2.gz KHHNKJUOBGNZMJ-CYBMUJFWSA-N 1 2 317.389 1.249 20 30 DDEDLO C#CC[C@@H](CCOC)Nc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000858857284 704765019 /nfs/dbraw/zinc/76/50/19/704765019.db2.gz RBICSRCCTZHBCE-LSDHHAIUSA-N 1 2 318.421 1.668 20 30 DDEDLO C#CC[C@@H](CCOC)Nc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000858857284 704765020 /nfs/dbraw/zinc/76/50/20/704765020.db2.gz RBICSRCCTZHBCE-LSDHHAIUSA-N 1 2 318.421 1.668 20 30 DDEDLO Cc1cc(F)c(C#N)cc1NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000874904060 705222995 /nfs/dbraw/zinc/22/29/95/705222995.db2.gz WUTLXMBRNGDDDH-IHWYPQMZSA-N 1 2 303.337 1.833 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000875918988 705559264 /nfs/dbraw/zinc/55/92/64/705559264.db2.gz ZAIMGTHRNMTLEJ-AWEZNQCLSA-N 1 2 304.394 1.727 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000875918988 705559267 /nfs/dbraw/zinc/55/92/67/705559267.db2.gz ZAIMGTHRNMTLEJ-AWEZNQCLSA-N 1 2 304.394 1.727 20 30 DDEDLO CC#CCN(C)C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000876121160 705625929 /nfs/dbraw/zinc/62/59/29/705625929.db2.gz QYTZDKCGYNUYQU-UHFFFAOYSA-N 1 2 323.437 1.457 20 30 DDEDLO CC(C)(C)n1ncc2c1nc[nH+]c2N1CC[C@](O)(CC#N)C1 ZINC000826618911 705827383 /nfs/dbraw/zinc/82/73/83/705827383.db2.gz SHUDOPFDVHKBPT-OAHLLOKOSA-N 1 2 300.366 1.436 20 30 DDEDLO CC(C)N1CCN(C(=O)[C@@H]2[NH2+]CCc3cc(C#N)ccc32)CC1 ZINC000876718898 705837004 /nfs/dbraw/zinc/83/70/04/705837004.db2.gz XZHIYJOESZJAJM-QGZVFWFLSA-N 1 2 312.417 1.298 20 30 DDEDLO CC(C)[NH+]1CCN(C(=O)[C@@H]2NCCc3cc(C#N)ccc32)CC1 ZINC000876718898 705837008 /nfs/dbraw/zinc/83/70/08/705837008.db2.gz XZHIYJOESZJAJM-QGZVFWFLSA-N 1 2 312.417 1.298 20 30 DDEDLO C#CC1CCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)CC1 ZINC000826709972 705853519 /nfs/dbraw/zinc/85/35/19/705853519.db2.gz CGOLCYLZRKIITK-HNNXBMFYSA-N 1 2 300.406 1.677 20 30 DDEDLO C#CC1CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)CC1 ZINC000826709972 705853524 /nfs/dbraw/zinc/85/35/24/705853524.db2.gz CGOLCYLZRKIITK-HNNXBMFYSA-N 1 2 300.406 1.677 20 30 DDEDLO CC(C)[N@H+](CCS(C)(=O)=O)Cc1cc(C#N)ccc1N(C)C ZINC000876773665 705869766 /nfs/dbraw/zinc/86/97/66/705869766.db2.gz VCMKLKCXZBNMFA-UHFFFAOYSA-N 1 2 323.462 1.879 20 30 DDEDLO CC(C)[N@@H+](CCS(C)(=O)=O)Cc1cc(C#N)ccc1N(C)C ZINC000876773665 705869767 /nfs/dbraw/zinc/86/97/67/705869767.db2.gz VCMKLKCXZBNMFA-UHFFFAOYSA-N 1 2 323.462 1.879 20 30 DDEDLO C#CC[C@@H]1NC(=O)N(CCNc2cc(C)[nH+]c(C(C)C)n2)C1=O ZINC000863525849 705948905 /nfs/dbraw/zinc/94/89/05/705948905.db2.gz SIIMHIULZAIQBL-LBPRGKRZSA-N 1 2 315.377 1.264 20 30 DDEDLO C#CCN(CC(=O)Nc1cc[nH+]c(C)c1)C(=O)OC(C)(C)C ZINC000827389399 705991567 /nfs/dbraw/zinc/99/15/67/705991567.db2.gz ONZRTZPGVBXTLJ-UHFFFAOYSA-N 1 2 303.362 1.621 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CCC(N2CC[NH+](C)CC2)CC1)OCC ZINC000827445419 706003093 /nfs/dbraw/zinc/00/30/93/706003093.db2.gz ONUHWSOFWCRJBP-KRWDZBQOSA-N 1 2 323.481 1.596 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)Cc2ccc(=O)[nH]c2)n1 ZINC000877484384 706119469 /nfs/dbraw/zinc/11/94/69/706119469.db2.gz FMRPQUJYIUUJJD-UHFFFAOYSA-N 1 2 300.362 1.266 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)Cc2ccc(=O)[nH]c2)n1 ZINC000877484384 706119473 /nfs/dbraw/zinc/11/94/73/706119473.db2.gz FMRPQUJYIUUJJD-UHFFFAOYSA-N 1 2 300.362 1.266 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc3c(cc[nH]c3=O)o2)C1=O ZINC000877496380 706123568 /nfs/dbraw/zinc/12/35/68/706123568.db2.gz QOLVPYZDGXBCNI-ZDUSSCGKSA-N 1 2 301.346 1.752 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc3c(cc[nH]c3=O)o2)C1=O ZINC000877496380 706123571 /nfs/dbraw/zinc/12/35/71/706123571.db2.gz QOLVPYZDGXBCNI-ZDUSSCGKSA-N 1 2 301.346 1.752 20 30 DDEDLO C=CCNC(=O)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000864421435 706163737 /nfs/dbraw/zinc/16/37/37/706163737.db2.gz DDCAFKRVHVEDPO-INIZCTEOSA-N 1 2 303.406 1.681 20 30 DDEDLO C=CCNC(=O)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000864421435 706163740 /nfs/dbraw/zinc/16/37/40/706163740.db2.gz DDCAFKRVHVEDPO-INIZCTEOSA-N 1 2 303.406 1.681 20 30 DDEDLO C[C@@H](CO)N(C)N=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872384235 707395703 /nfs/dbraw/zinc/39/57/03/707395703.db2.gz FEJSGKJXBZQELH-HNNXBMFYSA-N 1 2 321.421 1.044 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CC2CC3(CCC3)C2)CC1 ZINC000872440439 707412172 /nfs/dbraw/zinc/41/21/72/707412172.db2.gz LWQVIZGXESDNGJ-UHFFFAOYSA-N 1 2 310.463 1.537 20 30 DDEDLO N#C[C@@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000864685137 706232901 /nfs/dbraw/zinc/23/29/01/706232901.db2.gz FXJFJFQHHVDFHT-DJYNDVHFSA-N 1 2 303.337 1.395 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(CC#N)cc1 ZINC000878085926 706288518 /nfs/dbraw/zinc/28/85/18/706288518.db2.gz SYVORNOEWRUWCR-MRXNPFEDSA-N 1 2 316.405 1.985 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(CC#N)cc1 ZINC000878085926 706288520 /nfs/dbraw/zinc/28/85/20/706288520.db2.gz SYVORNOEWRUWCR-MRXNPFEDSA-N 1 2 316.405 1.985 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@@H+]1CCC2(C1)OCCO2)c1ccccc1 ZINC000878236523 706334325 /nfs/dbraw/zinc/33/43/25/706334325.db2.gz FPUQMPVMBZIPFM-KRWDZBQOSA-N 1 2 314.385 1.876 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@H+]1CCC2(C1)OCCO2)c1ccccc1 ZINC000878236523 706334326 /nfs/dbraw/zinc/33/43/26/706334326.db2.gz FPUQMPVMBZIPFM-KRWDZBQOSA-N 1 2 314.385 1.876 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CC[C@](C(=O)NC)(C(F)(F)F)C1 ZINC000878768061 706494415 /nfs/dbraw/zinc/49/44/15/706494415.db2.gz CCGNLYZKMBAZHL-SKDRFNHKSA-N 1 2 308.300 1.105 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CC[C@](C(=O)NC)(C(F)(F)F)C1 ZINC000878768061 706494417 /nfs/dbraw/zinc/49/44/17/706494417.db2.gz CCGNLYZKMBAZHL-SKDRFNHKSA-N 1 2 308.300 1.105 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000879929024 706822453 /nfs/dbraw/zinc/82/24/53/706822453.db2.gz FQGNSBJLPRQXES-CABCVRRESA-N 1 2 320.414 1.304 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000879929024 706822455 /nfs/dbraw/zinc/82/24/55/706822455.db2.gz FQGNSBJLPRQXES-CABCVRRESA-N 1 2 320.414 1.304 20 30 DDEDLO C(=NNCCCn1cc[nH+]c1)c1nncn1Cc1ccccc1 ZINC000872386970 707396669 /nfs/dbraw/zinc/39/66/69/707396669.db2.gz AUPRIHYAMWILFR-UHFFFAOYSA-N 1 2 309.377 1.537 20 30 DDEDLO C#CCC[NH+]1CCN(c2cccc([N+](=O)[O-])c2C(C)=O)CC1 ZINC000881913917 707422050 /nfs/dbraw/zinc/42/20/50/707422050.db2.gz ZEROGXSXEIGODR-UHFFFAOYSA-N 1 2 301.346 1.943 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1ccc(Cl)s1 ZINC000884056824 708120588 /nfs/dbraw/zinc/12/05/88/708120588.db2.gz LMERSWFZONIQJD-JTQLQIEISA-N 1 2 316.810 1.807 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1ccc(F)c(F)c1 ZINC000884076693 708128755 /nfs/dbraw/zinc/12/87/55/708128755.db2.gz LHHJPAAINYMZTC-ZDUSSCGKSA-N 1 2 312.316 1.370 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)COc1cccc(C)c1 ZINC000884098640 708137673 /nfs/dbraw/zinc/13/76/73/708137673.db2.gz PVHFIWNPOYGWBN-HIFRSBDPSA-N 1 2 320.389 1.325 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC(C)(C)c1ccccc1F ZINC000884118045 708147106 /nfs/dbraw/zinc/14/71/06/708147106.db2.gz SUGLRQPBQVUFBS-AWEZNQCLSA-N 1 2 322.380 1.666 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)Oc1ccccc1C ZINC000884156111 708164182 /nfs/dbraw/zinc/16/41/82/708164182.db2.gz SRIFABIDPMJBCM-KGLIPLIRSA-N 1 2 320.389 1.325 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@H]1c1cccc(F)c1 ZINC000884160633 708165640 /nfs/dbraw/zinc/16/56/40/708165640.db2.gz JPKYXBGVOQRWNR-KBPBESRZSA-N 1 2 306.337 1.546 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1c2cc(C)ccc2C[C@H]1C ZINC000884329685 708247943 /nfs/dbraw/zinc/24/79/43/708247943.db2.gz SMEKIZAOMDTXMC-PVUWLOKVSA-N 1 2 316.401 1.791 20 30 DDEDLO N#Cc1csc(CNC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)n1 ZINC000897296432 708282643 /nfs/dbraw/zinc/28/26/43/708282643.db2.gz MSXFRGLRRUALCP-GFCCVEGCSA-N 1 2 316.390 1.758 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@@H](C)C(F)(F)[C@H](C)C1 ZINC000884429022 708293355 /nfs/dbraw/zinc/29/33/55/708293355.db2.gz WCBSBDZEZKVFKS-MXWKQRLJSA-N 1 2 304.337 1.183 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2cc(C)cc(F)c2)C1 ZINC000885511542 708562972 /nfs/dbraw/zinc/56/29/72/708562972.db2.gz JARIPIOJMARDLB-CQSZACIVSA-N 1 2 310.394 1.510 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2cc(C)cc(F)c2)C1 ZINC000885511542 708562976 /nfs/dbraw/zinc/56/29/76/708562976.db2.gz JARIPIOJMARDLB-CQSZACIVSA-N 1 2 310.394 1.510 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H]1CC[C@H](C2CC2)O1 ZINC000886030014 708684788 /nfs/dbraw/zinc/68/47/88/708684788.db2.gz FFXNIBKQERWQGD-DLBZAZTESA-N 1 2 307.438 1.492 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CC[C@@](CO)(C(F)(F)F)C1)C1CC1 ZINC000886793861 708845745 /nfs/dbraw/zinc/84/57/45/708845745.db2.gz VXJQVGBWRKOHJG-QWHCGFSZSA-N 1 2 319.327 1.042 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CC[C@@](CO)(C(F)(F)F)C1)C1CC1 ZINC000886793861 708845748 /nfs/dbraw/zinc/84/57/48/708845748.db2.gz VXJQVGBWRKOHJG-QWHCGFSZSA-N 1 2 319.327 1.042 20 30 DDEDLO C#CCC1(O)CCN(C(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000899224465 709026294 /nfs/dbraw/zinc/02/62/94/709026294.db2.gz QFUAWTWWFYXEPC-UHFFFAOYSA-N 1 2 323.396 1.792 20 30 DDEDLO CC[C@@H](C(=O)N1CCO[C@](C)(C#N)C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000887687146 709102744 /nfs/dbraw/zinc/10/27/44/709102744.db2.gz WENUELATLDJJBQ-IJEWVQPXSA-N 1 2 309.410 1.017 20 30 DDEDLO CC[C@@H](C(=O)N1CCO[C@](C)(C#N)C1)[N@H+]1CCO[C@H](CC)C1 ZINC000887687146 709102747 /nfs/dbraw/zinc/10/27/47/709102747.db2.gz WENUELATLDJJBQ-IJEWVQPXSA-N 1 2 309.410 1.017 20 30 DDEDLO C=CC(C)(C)NC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000892258571 710404951 /nfs/dbraw/zinc/40/49/51/710404951.db2.gz ABSBVKMOEDRKCL-OAHLLOKOSA-N 1 2 313.467 1.458 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2ccc(N(C)C)nc2)cc1 ZINC000902212649 710666831 /nfs/dbraw/zinc/66/68/31/710666831.db2.gz FYHIOTKHPQYDML-UHFFFAOYSA-N 1 2 300.362 1.993 20 30 DDEDLO COC[C@]1(C)CC(=O)N(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1 ZINC000902600670 710811057 /nfs/dbraw/zinc/81/10/57/710811057.db2.gz ZCIPZPYOCKZBCS-MRXNPFEDSA-N 1 2 322.405 1.167 20 30 DDEDLO N#CCSCC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000893987169 710912211 /nfs/dbraw/zinc/91/22/11/710912211.db2.gz ISULUUWNTWHOQX-CQSZACIVSA-N 1 2 300.387 1.997 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc(C(=O)OC)c(CC)[nH]2)nn1 ZINC000895052411 711388025 /nfs/dbraw/zinc/38/80/25/711388025.db2.gz RBEKXBFNRBDRSJ-UHFFFAOYSA-N 1 2 303.366 1.431 20 30 DDEDLO Cn1c(C[NH2+][C@@H]2CCCN(O)C2=O)nc2cc(Cl)ccc21 ZINC000895163766 711438680 /nfs/dbraw/zinc/43/86/80/711438680.db2.gz YDBVXSOEOAUUJL-SNVBAGLBSA-N 1 2 308.769 1.697 20 30 DDEDLO C[C@@H](Nc1cccc(C#N)c1[N+](=O)[O-])[C@@H]1CN(C)CC[N@@H+]1C ZINC000895245915 711464240 /nfs/dbraw/zinc/46/42/40/711464240.db2.gz JQILEPDPMGQANQ-RISCZKNCSA-N 1 2 303.366 1.513 20 30 DDEDLO C[C@@H](Nc1cccc(C#N)c1[N+](=O)[O-])[C@@H]1CN(C)CC[N@H+]1C ZINC000895245915 711464241 /nfs/dbraw/zinc/46/42/41/711464241.db2.gz JQILEPDPMGQANQ-RISCZKNCSA-N 1 2 303.366 1.513 20 30 DDEDLO C=CC[C@@H]([NH2+]CCCNC(=O)c1cccc(F)c1)C(=O)OC ZINC000905760968 712140699 /nfs/dbraw/zinc/14/06/99/712140699.db2.gz WBTKRZJNWRGBJU-CQSZACIVSA-N 1 2 308.353 1.653 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CS(=O)(=O)NCCn1cc[nH+]c1 ZINC000913854846 713310544 /nfs/dbraw/zinc/31/05/44/713310544.db2.gz UOJZMWYYAHIFDY-UHFFFAOYSA-N 1 2 318.402 1.491 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000906554682 712352895 /nfs/dbraw/zinc/35/28/95/712352895.db2.gz JNOWZRSHYWRYRR-JMLCCBQJSA-N 1 2 319.449 1.933 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000906554682 712352896 /nfs/dbraw/zinc/35/28/96/712352896.db2.gz JNOWZRSHYWRYRR-JMLCCBQJSA-N 1 2 319.449 1.933 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000907834679 712633131 /nfs/dbraw/zinc/63/31/31/712633131.db2.gz AJRFFIBMMPTVPG-YHOLWWCESA-N 1 2 319.449 1.886 20 30 DDEDLO C=C(C)C[C@H](NC(=O)/C=C(/C)C[NH+]1CCOCC1)C(=O)OCC ZINC000916709102 713464669 /nfs/dbraw/zinc/46/46/69/713464669.db2.gz DMMSBEFKVISZCZ-SZGZABIGSA-N 1 2 324.421 1.279 20 30 DDEDLO N#CC[C@@H](O)C[N@@H+](CCO)Cc1cnn(Cc2ccccc2)c1 ZINC000929718587 713675501 /nfs/dbraw/zinc/67/55/01/713675501.db2.gz GRVXEJSYPZFPFS-QGZVFWFLSA-N 1 2 314.389 1.000 20 30 DDEDLO N#CC[C@@H](O)C[N@H+](CCO)Cc1cnn(Cc2ccccc2)c1 ZINC000929718587 713675502 /nfs/dbraw/zinc/67/55/02/713675502.db2.gz GRVXEJSYPZFPFS-QGZVFWFLSA-N 1 2 314.389 1.000 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2ccc(F)cc2)CC1 ZINC000920767643 713693109 /nfs/dbraw/zinc/69/31/09/713693109.db2.gz GXUWFSRKUPVVKJ-UHFFFAOYSA-N 1 2 310.394 1.296 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2c(F)cccc2F)CC1 ZINC000921439567 713771928 /nfs/dbraw/zinc/77/19/28/713771928.db2.gz DFCHRMGDSUGNAK-UHFFFAOYSA-N 1 2 314.357 1.341 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3cnccc3C#N)CC2)c(C)nn1 ZINC000930552088 713864357 /nfs/dbraw/zinc/86/43/57/713864357.db2.gz OIMSAFYWOHXUND-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C)C(=O)Nc2cc(F)ccc2F)CC1 ZINC000931145930 714015543 /nfs/dbraw/zinc/01/55/43/714015543.db2.gz WWQLUVVIMODJBD-NSHDSACASA-N 1 2 308.328 1.752 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCCC[C@@H]1CS(N)(=O)=O ZINC000931229949 714043078 /nfs/dbraw/zinc/04/30/78/714043078.db2.gz QUKWEXJNAKWWMV-CQSZACIVSA-N 1 2 323.418 1.210 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCCC[C@@H]1CS(N)(=O)=O ZINC000931229949 714043081 /nfs/dbraw/zinc/04/30/81/714043081.db2.gz QUKWEXJNAKWWMV-CQSZACIVSA-N 1 2 323.418 1.210 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cccc(CC#N)c1 ZINC000931688583 714160835 /nfs/dbraw/zinc/16/08/35/714160835.db2.gz NBQRUHNGUBLKJN-HNNXBMFYSA-N 1 2 316.405 1.985 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cccc(CC#N)c1 ZINC000931687430 714161037 /nfs/dbraw/zinc/16/10/37/714161037.db2.gz XHCSSWMICNYTSH-AWEZNQCLSA-N 1 2 316.405 1.937 20 30 DDEDLO CO[C@H]1CC[C@H]1[N@H+](C)Cc1nc2ccccc2c(=O)n1CC#N ZINC000934117069 714745547 /nfs/dbraw/zinc/74/55/47/714745547.db2.gz JTPHKCMRCWMUQO-CABCVRRESA-N 1 2 312.373 1.529 20 30 DDEDLO CO[C@H]1CC[C@H]1[N@@H+](C)Cc1nc2ccccc2c(=O)n1CC#N ZINC000934117069 714745548 /nfs/dbraw/zinc/74/55/48/714745548.db2.gz JTPHKCMRCWMUQO-CABCVRRESA-N 1 2 312.373 1.529 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)cc1 ZINC000934922762 714930320 /nfs/dbraw/zinc/93/03/20/714930320.db2.gz NEJDCWADBZIQMR-UONOGXRCSA-N 1 2 301.390 1.899 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)cc1 ZINC000934922762 714930322 /nfs/dbraw/zinc/93/03/22/714930322.db2.gz NEJDCWADBZIQMR-UONOGXRCSA-N 1 2 301.390 1.899 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)C1(C#N)CCSCC1 ZINC000935931813 715138557 /nfs/dbraw/zinc/13/85/57/715138557.db2.gz FDAYIXAFIKMENQ-KGLIPLIRSA-N 1 2 323.462 1.345 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC000956566853 715471358 /nfs/dbraw/zinc/47/13/58/715471358.db2.gz HZJIXENLSJDPIZ-CRAIPNDOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc(-c3nc[nH]n3)cc2)CC1 ZINC000957054272 715725458 /nfs/dbraw/zinc/72/54/58/715725458.db2.gz KYTHZGJMVHKACY-UHFFFAOYSA-N 1 2 311.389 1.806 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000938531561 715891687 /nfs/dbraw/zinc/89/16/87/715891687.db2.gz AHLJNXDUERHPFE-LBPRGKRZSA-N 1 2 302.378 1.026 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000938531976 715891811 /nfs/dbraw/zinc/89/18/11/715891811.db2.gz SPKJKOOWSWPWPA-LRDDRELGSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc(OC)c(Cl)c2)C1 ZINC000957418961 715892952 /nfs/dbraw/zinc/89/29/52/715892952.db2.gz QMVIEQKWVQBQAL-UHFFFAOYSA-N 1 2 321.808 1.913 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cccc3nnn(C)c32)C1 ZINC000957473397 715917044 /nfs/dbraw/zinc/91/70/44/715917044.db2.gz DTBYHIVHHWPMNA-UHFFFAOYSA-N 1 2 313.405 1.691 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(OC)c(C)c(OC)c2)CC1 ZINC000957566525 715955038 /nfs/dbraw/zinc/95/50/38/715955038.db2.gz HCUALSRIJPGCER-UHFFFAOYSA-N 1 2 304.390 1.956 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@H]2Cc3cccc(F)c3O2)CC1 ZINC000957715453 716011765 /nfs/dbraw/zinc/01/17/65/716011765.db2.gz NEHWPWUINROWCC-OAHLLOKOSA-N 1 2 304.365 1.850 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)oc1C ZINC000960488776 716577287 /nfs/dbraw/zinc/57/72/87/716577287.db2.gz DIFOIVXHDPVYPT-BXUZGUMPSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)oc1C ZINC000960488776 716577286 /nfs/dbraw/zinc/57/72/86/716577286.db2.gz DIFOIVXHDPVYPT-BXUZGUMPSA-N 1 2 304.394 1.874 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4coc(C)n4)C[C@H]32)cc1 ZINC000961438071 716946940 /nfs/dbraw/zinc/94/69/40/716946940.db2.gz DXNKPLGMZMNBGX-PIIMJCKOSA-N 1 2 321.380 1.825 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4coc(C)n4)C[C@H]32)cc1 ZINC000961438071 716946945 /nfs/dbraw/zinc/94/69/45/716946945.db2.gz DXNKPLGMZMNBGX-PIIMJCKOSA-N 1 2 321.380 1.825 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3(CC)CCC3)CC2)C1 ZINC000941523398 717192733 /nfs/dbraw/zinc/19/27/33/717192733.db2.gz CRRRJNVKKBEURN-UHFFFAOYSA-N 1 2 303.450 1.418 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H](C)C(C)(F)F)CC2)C1 ZINC000941568285 717213552 /nfs/dbraw/zinc/21/35/52/717213552.db2.gz FRBQDRAWXWZPPV-ZDUSSCGKSA-N 1 2 313.392 1.129 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCN(C(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC000941801458 717328041 /nfs/dbraw/zinc/32/80/41/717328041.db2.gz YWRCFRFVGPIIDV-UHFFFAOYSA-N 1 2 318.421 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965527810 717676688 /nfs/dbraw/zinc/67/66/88/717676688.db2.gz PZKYRCNDZNTHEZ-RISCZKNCSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965527810 717676690 /nfs/dbraw/zinc/67/66/90/717676690.db2.gz PZKYRCNDZNTHEZ-RISCZKNCSA-N 1 2 310.829 1.542 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)C[C@H]2C)nc1 ZINC000944192928 718269227 /nfs/dbraw/zinc/26/92/27/718269227.db2.gz OZAAADYNTDITMC-CJNGLKHVSA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)C[C@H]2C)nc1 ZINC000944192928 718269228 /nfs/dbraw/zinc/26/92/28/718269228.db2.gz OZAAADYNTDITMC-CJNGLKHVSA-N 1 2 324.384 1.691 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC000966750701 718649361 /nfs/dbraw/zinc/64/93/61/718649361.db2.gz JSNAKEYFVDCMNY-ZWNOBZJWSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC000966750701 718649364 /nfs/dbraw/zinc/64/93/64/718649364.db2.gz JSNAKEYFVDCMNY-ZWNOBZJWSA-N 1 2 324.812 1.468 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@H]1CCN(CC#N)[C@@H](C)C1 ZINC000947436522 719162596 /nfs/dbraw/zinc/16/25/96/719162596.db2.gz JZGZGOMDOYEILX-JSGCOSHPSA-N 1 2 302.378 1.125 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)C[C@H]1C ZINC000948074054 719350654 /nfs/dbraw/zinc/35/06/54/719350654.db2.gz PZEWIAGOMZNHNC-HIFRSBDPSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)C[C@H]1C ZINC000948074054 719350657 /nfs/dbraw/zinc/35/06/57/719350657.db2.gz PZEWIAGOMZNHNC-HIFRSBDPSA-N 1 2 323.400 1.688 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC(NC(=O)Cn3cc[nH+]c3)CC2)C1 ZINC000948279116 719430348 /nfs/dbraw/zinc/43/03/48/719430348.db2.gz CDTLQVGYSOMFFH-UHFFFAOYSA-N 1 2 316.405 1.347 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nccnc1N ZINC000948898024 719772516 /nfs/dbraw/zinc/77/25/16/719772516.db2.gz AXQZQSOOGMBESV-HNNXBMFYSA-N 1 2 321.384 1.021 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nccnc1N ZINC000948898024 719772517 /nfs/dbraw/zinc/77/25/17/719772517.db2.gz AXQZQSOOGMBESV-HNNXBMFYSA-N 1 2 321.384 1.021 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC000948949663 719798609 /nfs/dbraw/zinc/79/86/09/719798609.db2.gz VBASUJNVUDPBGN-ZDUSSCGKSA-N 1 2 308.813 1.342 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cccnn1 ZINC000948974358 719819083 /nfs/dbraw/zinc/81/90/83/719819083.db2.gz FIGGYTLVERRKHO-SFHVURJKSA-N 1 2 320.396 1.829 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cccnn1 ZINC000948974358 719819089 /nfs/dbraw/zinc/81/90/89/719819089.db2.gz FIGGYTLVERRKHO-SFHVURJKSA-N 1 2 320.396 1.829 20 30 DDEDLO N#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000968898805 719852065 /nfs/dbraw/zinc/85/20/65/719852065.db2.gz IMGJDAWVRSOROY-BFHYXJOUSA-N 1 2 301.394 1.398 20 30 DDEDLO N#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000968898805 719852071 /nfs/dbraw/zinc/85/20/71/719852071.db2.gz IMGJDAWVRSOROY-BFHYXJOUSA-N 1 2 301.394 1.398 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCOC2(CC[NH+](Cc3ccon3)CC2)C1 ZINC000949051473 719873167 /nfs/dbraw/zinc/87/31/67/719873167.db2.gz IZGKEYHYTZAVMU-ZDUSSCGKSA-N 1 2 318.377 1.028 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(F)cc3)CC2)C1 ZINC000949276565 719989236 /nfs/dbraw/zinc/98/92/36/719989236.db2.gz AUNQQTHGRWYCFT-UHFFFAOYSA-N 1 2 316.376 1.766 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(F)cc3)CC2)C1 ZINC000949276565 719989238 /nfs/dbraw/zinc/98/92/38/719989238.db2.gz AUNQQTHGRWYCFT-UHFFFAOYSA-N 1 2 316.376 1.766 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2COc3ccc(F)cc3C2)CC1 ZINC000949280972 719991596 /nfs/dbraw/zinc/99/15/96/719991596.db2.gz VZZJOPQKXIREAB-CQSZACIVSA-N 1 2 304.365 1.707 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CCc3ccco3)CC2)C1 ZINC000949295748 719999158 /nfs/dbraw/zinc/99/91/58/719999158.db2.gz XUXTZMXJDIETSR-UHFFFAOYSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CCc3ccco3)CC2)C1 ZINC000949295748 719999160 /nfs/dbraw/zinc/99/91/60/719999160.db2.gz XUXTZMXJDIETSR-UHFFFAOYSA-N 1 2 316.401 1.539 20 30 DDEDLO C[C@H](NC(=O)c1cocn1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969390953 720104718 /nfs/dbraw/zinc/10/47/18/720104718.db2.gz DAKDPIQESHDCDB-LBPRGKRZSA-N 1 2 310.357 1.797 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@H]3CC(C)C)CC2)C1 ZINC000949476800 720112671 /nfs/dbraw/zinc/11/26/71/720112671.db2.gz IKBCRDCHRDFOIY-IAGOWNOFSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@H]3CC(C)C)CC2)C1 ZINC000949476800 720112673 /nfs/dbraw/zinc/11/26/73/720112673.db2.gz IKBCRDCHRDFOIY-IAGOWNOFSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CC34CCC4)CC2)C1 ZINC000949483623 720116265 /nfs/dbraw/zinc/11/62/65/720116265.db2.gz UJLWBWIHYMXGPT-HNNXBMFYSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CC34CCC4)CC2)C1 ZINC000949483623 720116267 /nfs/dbraw/zinc/11/62/67/720116267.db2.gz UJLWBWIHYMXGPT-HNNXBMFYSA-N 1 2 302.418 1.503 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([NH2+]Cc3nc(N(C)C)no3)C2)C1 ZINC000969878894 720545546 /nfs/dbraw/zinc/54/55/46/720545546.db2.gz QGLNBOXPUMHWHD-GFCCVEGCSA-N 1 2 319.409 1.182 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN(C(=O)CC)C2)C1 ZINC000950410712 720621007 /nfs/dbraw/zinc/62/10/07/720621007.db2.gz PSRHZIXKLJZBGP-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc(Cl)n(C)n2)C1 ZINC000970114452 720630683 /nfs/dbraw/zinc/63/06/83/720630683.db2.gz WEJMKWWGIIEHAU-SECBINFHSA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC000970177559 720654405 /nfs/dbraw/zinc/65/44/05/720654405.db2.gz XYKCGPFXYFREDS-PAPYEOQZSA-N 1 2 312.841 1.991 20 30 DDEDLO C=C(Cl)CN1CC(N(CC)C(=O)[C@H]2CCc3[nH+]ccn3C2)C1 ZINC000950658264 720723100 /nfs/dbraw/zinc/72/31/00/720723100.db2.gz HEESQJOXJIOFCM-ZDUSSCGKSA-N 1 2 322.840 1.731 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3nccn3C2)C1 ZINC000950658260 720723265 /nfs/dbraw/zinc/72/32/65/720723265.db2.gz GXQQLUJMBFKCDU-CQSZACIVSA-N 1 2 300.406 1.002 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC000950658915 720723903 /nfs/dbraw/zinc/72/39/03/720723903.db2.gz ZDWCSNDTAXAMCO-CQSZACIVSA-N 1 2 300.406 1.002 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC000970465396 720772934 /nfs/dbraw/zinc/77/29/34/720772934.db2.gz ULJXYMVAVSOVDQ-TUKIKUTGSA-N 1 2 322.840 1.713 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cn(C(C)C)nn2)C1 ZINC000970657016 720872228 /nfs/dbraw/zinc/87/22/28/720872228.db2.gz INNVXLOXRNCYSD-LLVKDONJSA-N 1 2 311.817 1.662 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2c(C)c(C)nn(C)c2=O)C1 ZINC000951111503 720921250 /nfs/dbraw/zinc/92/12/50/720921250.db2.gz LLPSOZRAPQALNG-UHFFFAOYSA-N 1 2 318.421 1.120 20 30 DDEDLO C[N@H+](Cc1cc(F)ccc1C#N)[C@H]1CCN(C(=O)C(F)F)C1 ZINC000970923951 720990341 /nfs/dbraw/zinc/99/03/41/720990341.db2.gz KQWISCRWPFQDBC-ZDUSSCGKSA-N 1 2 311.307 1.995 20 30 DDEDLO C[N@@H+](Cc1cc(F)ccc1C#N)[C@H]1CCN(C(=O)C(F)F)C1 ZINC000970923951 720990347 /nfs/dbraw/zinc/99/03/47/720990347.db2.gz KQWISCRWPFQDBC-ZDUSSCGKSA-N 1 2 311.307 1.995 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2c(C)c[nH]c2CC(N)=O)C1 ZINC000951781968 721180182 /nfs/dbraw/zinc/18/01/82/721180182.db2.gz SMVLBKMRCTVDSC-UHFFFAOYSA-N 1 2 318.421 1.073 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+](C)[C@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971287126 721219532 /nfs/dbraw/zinc/21/95/32/721219532.db2.gz AYOJPVMINCBRGM-JSGCOSHPSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+](C)[C@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971287126 721219534 /nfs/dbraw/zinc/21/95/34/721219534.db2.gz AYOJPVMINCBRGM-JSGCOSHPSA-N 1 2 324.388 1.584 20 30 DDEDLO Cc1c[nH+]c2n1CCN(C)C21CCN(c2cnc(C#N)cn2)CC1 ZINC001164918991 721813258 /nfs/dbraw/zinc/81/32/58/721813258.db2.gz VQECPAHSSVUHSI-UHFFFAOYSA-N 1 2 323.404 1.294 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3[C@H](C)C(N)=O)CCCC1 ZINC001111518054 735350695 /nfs/dbraw/zinc/35/06/95/735350695.db2.gz PKBDPXSWJHCEFL-TUVASFSCSA-N 1 2 319.449 1.718 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3[C@H](C)C(N)=O)CCCC1 ZINC001111518054 735350696 /nfs/dbraw/zinc/35/06/96/735350696.db2.gz PKBDPXSWJHCEFL-TUVASFSCSA-N 1 2 319.449 1.718 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001023764403 735451870 /nfs/dbraw/zinc/45/18/70/735451870.db2.gz FDMMAVNHMOHNRQ-AWEZNQCLSA-N 1 2 323.400 1.547 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001023764403 735451875 /nfs/dbraw/zinc/45/18/75/735451875.db2.gz FDMMAVNHMOHNRQ-AWEZNQCLSA-N 1 2 323.400 1.547 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)c[nH]1 ZINC001038175712 732660399 /nfs/dbraw/zinc/66/03/99/732660399.db2.gz STLTTWTZMAJZPL-QGZVFWFLSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)c[nH]1 ZINC001038175712 732660400 /nfs/dbraw/zinc/66/04/00/732660400.db2.gz STLTTWTZMAJZPL-QGZVFWFLSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2cncnc2)cc1 ZINC001038179396 732803421 /nfs/dbraw/zinc/80/34/21/732803421.db2.gz GQKTZZUPMOFXHS-INIZCTEOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cncnc2)cc1 ZINC001038179396 732803424 /nfs/dbraw/zinc/80/34/24/732803424.db2.gz GQKTZZUPMOFXHS-INIZCTEOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cncnc2)c1 ZINC001038181095 732852581 /nfs/dbraw/zinc/85/25/81/732852581.db2.gz UYGTUSDCPQHSEV-MRXNPFEDSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cncnc2)c1 ZINC001038181095 732852583 /nfs/dbraw/zinc/85/25/83/732852583.db2.gz UYGTUSDCPQHSEV-MRXNPFEDSA-N 1 2 307.357 1.353 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@@H]2C[C@H](C)CCN2C(=O)[C@H](C)C#N)o1 ZINC001087135847 733464041 /nfs/dbraw/zinc/46/40/41/733464041.db2.gz BGEZPBXLVWSGES-WZRBSPASSA-N 1 2 305.382 1.254 20 30 DDEDLO Cc1cccc(S(=O)(=O)NC2(C#N)CC[NH+](C)CC2)c1F ZINC000313228972 733635083 /nfs/dbraw/zinc/63/50/83/733635083.db2.gz RRRFTZJCFDDHQT-UHFFFAOYSA-N 1 2 311.382 1.400 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCc2cn[nH]c21 ZINC001027885254 738773197 /nfs/dbraw/zinc/77/31/97/738773197.db2.gz CDMJZWIXUMBJFH-CABCVRRESA-N 1 2 300.406 1.434 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1c(C)nnn1CC ZINC001027927980 738821928 /nfs/dbraw/zinc/82/19/28/738821928.db2.gz ZERKAQMGMABBEY-LBPRGKRZSA-N 1 2 311.817 1.553 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1c(C)nnn1CC ZINC001027927980 738821934 /nfs/dbraw/zinc/82/19/34/738821934.db2.gz ZERKAQMGMABBEY-LBPRGKRZSA-N 1 2 311.817 1.553 20 30 DDEDLO Cn1nccc1C(=O)N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001006874049 734824292 /nfs/dbraw/zinc/82/42/92/734824292.db2.gz JSINXROLSCCJCE-QGZVFWFLSA-N 1 2 322.412 1.666 20 30 DDEDLO Cn1nccc1C(=O)N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001006874049 734824295 /nfs/dbraw/zinc/82/42/95/734824295.db2.gz JSINXROLSCCJCE-QGZVFWFLSA-N 1 2 322.412 1.666 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2cc(C#N)ccc2Cl)CCCO1 ZINC001140604078 734866503 /nfs/dbraw/zinc/86/65/03/734866503.db2.gz PGYUABQAAKBBFQ-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2cc(C#N)ccc2Cl)CCCO1 ZINC001140604078 734866505 /nfs/dbraw/zinc/86/65/05/734866505.db2.gz PGYUABQAAKBBFQ-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCCNC1=O ZINC001024491870 735868825 /nfs/dbraw/zinc/86/88/25/735868825.db2.gz HCCZHUAGFVXJLZ-STQMWFEESA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCCNC1=O ZINC001024491870 735868829 /nfs/dbraw/zinc/86/88/29/735868829.db2.gz HCCZHUAGFVXJLZ-STQMWFEESA-N 1 2 313.829 1.236 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccn(C2CCCC2)n1 ZINC001038309410 736001240 /nfs/dbraw/zinc/00/12/40/736001240.db2.gz HDPFOQCXOCOLLY-OAHLLOKOSA-N 1 2 300.406 1.826 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccn(C2CCCC2)n1 ZINC001038309410 736001242 /nfs/dbraw/zinc/00/12/42/736001242.db2.gz HDPFOQCXOCOLLY-OAHLLOKOSA-N 1 2 300.406 1.826 20 30 DDEDLO C=CCN(CCOC)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1C ZINC001121427574 782505313 /nfs/dbraw/zinc/50/53/13/782505313.db2.gz KDADYACKOPMCDU-CQSZACIVSA-N 1 2 323.441 1.236 20 30 DDEDLO C=CCN(CCOC)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1C ZINC001121427574 782505319 /nfs/dbraw/zinc/50/53/19/782505319.db2.gz KDADYACKOPMCDU-CQSZACIVSA-N 1 2 323.441 1.236 20 30 DDEDLO C#CCC[N@H+]1CCC[C@H]1CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001027995259 738918807 /nfs/dbraw/zinc/91/88/07/738918807.db2.gz JVXZZIPNFXIJBO-AWEZNQCLSA-N 1 2 323.396 1.746 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001027995259 738918808 /nfs/dbraw/zinc/91/88/08/738918808.db2.gz JVXZZIPNFXIJBO-AWEZNQCLSA-N 1 2 323.396 1.746 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cc(C(N)=O)cn1C ZINC001027999864 738922282 /nfs/dbraw/zinc/92/22/82/738922282.db2.gz RNPUTYSCQXFKMG-LBPRGKRZSA-N 1 2 324.812 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc(C(N)=O)cn1C ZINC001027999864 738922284 /nfs/dbraw/zinc/92/22/84/738922284.db2.gz RNPUTYSCQXFKMG-LBPRGKRZSA-N 1 2 324.812 1.071 20 30 DDEDLO COc1ccc(C[NH+]2CCC(N3CCOC3=O)CC2)c(C#N)c1 ZINC001137704722 736272030 /nfs/dbraw/zinc/27/20/30/736272030.db2.gz RNNKGBBUXGGWQH-UHFFFAOYSA-N 1 2 315.373 1.983 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C=C)c3ccccc3)[C@H]2C1 ZINC001083281708 736535867 /nfs/dbraw/zinc/53/58/67/736535867.db2.gz ZQNYDAIRHBYCBB-FGTMMUONSA-N 1 2 310.397 1.501 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C=C)c3ccccc3)[C@H]2C1 ZINC001083281708 736535870 /nfs/dbraw/zinc/53/58/70/736535870.db2.gz ZQNYDAIRHBYCBB-FGTMMUONSA-N 1 2 310.397 1.501 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc2nccn2c1 ZINC001028027070 738948784 /nfs/dbraw/zinc/94/87/84/738948784.db2.gz FLXJCFSMPDRYPL-CYBMUJFWSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnc2nccn2c1 ZINC001028027070 738948787 /nfs/dbraw/zinc/94/87/87/738948787.db2.gz FLXJCFSMPDRYPL-CYBMUJFWSA-N 1 2 319.796 1.676 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](Nc1cc[nH+]c(C)n1)C(C)C ZINC001105225304 737632526 /nfs/dbraw/zinc/63/25/26/737632526.db2.gz OKSAIXZUWZOZMQ-TZMCWYRMSA-N 1 2 304.394 1.376 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001125934699 737708830 /nfs/dbraw/zinc/70/88/30/737708830.db2.gz FNBQMSHCXPLNIH-UHFFFAOYSA-N 1 2 300.309 1.407 20 30 DDEDLO C=CCOCC(=O)NCC[C@H](C)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001075919987 741980285 /nfs/dbraw/zinc/98/02/85/741980285.db2.gz KSHBEYRDMWLMPY-KBPBESRZSA-N 1 2 322.409 1.048 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@H]1CCCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC001027371928 738242538 /nfs/dbraw/zinc/24/25/38/738242538.db2.gz MFEYKWMKPLHBNB-AWEZNQCLSA-N 1 2 324.388 1.413 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@H]1CCCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC001027371928 738242539 /nfs/dbraw/zinc/24/25/39/738242539.db2.gz MFEYKWMKPLHBNB-AWEZNQCLSA-N 1 2 324.388 1.413 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@@H](NC(=O)c3ccoc3)C2)C1=O ZINC001006735095 738327850 /nfs/dbraw/zinc/32/78/50/738327850.db2.gz GIDSPYWOGCDYPG-CABCVRRESA-N 1 2 317.389 1.261 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@@H](NC(=O)c3ccoc3)C2)C1=O ZINC001006735095 738327854 /nfs/dbraw/zinc/32/78/54/738327854.db2.gz GIDSPYWOGCDYPG-CABCVRRESA-N 1 2 317.389 1.261 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2nocc2C)c1 ZINC001028128113 739070050 /nfs/dbraw/zinc/07/00/50/739070050.db2.gz ASSOFPDEGJCWPH-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2nocc2C)c1 ZINC001028128113 739070052 /nfs/dbraw/zinc/07/00/52/739070052.db2.gz ASSOFPDEGJCWPH-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO CN(CCNC(=O)CCc1c[nH]c[nH+]1)c1cccc(F)c1C#N ZINC001100380482 739267964 /nfs/dbraw/zinc/26/79/64/739267964.db2.gz OHIDGKCRROEGKC-UHFFFAOYSA-N 1 2 315.352 1.606 20 30 DDEDLO CN(CCNC(=O)CCc1c[nH+]c[nH]1)c1cccc(F)c1C#N ZINC001100380482 739267969 /nfs/dbraw/zinc/26/79/69/739267969.db2.gz OHIDGKCRROEGKC-UHFFFAOYSA-N 1 2 315.352 1.606 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cn(C3CCC3)nn2)C1 ZINC001035368233 751432046 /nfs/dbraw/zinc/43/20/46/751432046.db2.gz NYHHNOSAHOONQL-AWEZNQCLSA-N 1 2 319.409 1.010 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cn(C3CCC3)nn2)C1 ZINC001035368233 751432049 /nfs/dbraw/zinc/43/20/49/751432049.db2.gz NYHHNOSAHOONQL-AWEZNQCLSA-N 1 2 319.409 1.010 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001028317273 739349143 /nfs/dbraw/zinc/34/91/43/739349143.db2.gz ZIDZREWFTSOKHU-CQSZACIVSA-N 1 2 314.433 1.896 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001028317273 739349148 /nfs/dbraw/zinc/34/91/48/739349148.db2.gz ZIDZREWFTSOKHU-CQSZACIVSA-N 1 2 314.433 1.896 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cc(C(N)=O)ccn1 ZINC001028357138 739397654 /nfs/dbraw/zinc/39/76/54/739397654.db2.gz ZRCYBPQJCNCJHT-LBPRGKRZSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc(C(N)=O)ccn1 ZINC001028357138 739397657 /nfs/dbraw/zinc/39/76/57/739397657.db2.gz ZRCYBPQJCNCJHT-LBPRGKRZSA-N 1 2 322.796 1.127 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnn2[C@H](C)CC)C1 ZINC001035386371 751455983 /nfs/dbraw/zinc/45/59/83/751455983.db2.gz NCXRLYBHKYVDPY-KGLIPLIRSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccnn2[C@H](C)CC)C1 ZINC001035386371 751455985 /nfs/dbraw/zinc/45/59/85/751455985.db2.gz NCXRLYBHKYVDPY-KGLIPLIRSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)(C)C)c2C)C1 ZINC001035390026 751460396 /nfs/dbraw/zinc/46/03/96/751460396.db2.gz UYURFPOAYBQUOE-CQSZACIVSA-N 1 2 320.437 1.563 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)(C)C)c2C)C1 ZINC001035390026 751460397 /nfs/dbraw/zinc/46/03/97/751460397.db2.gz UYURFPOAYBQUOE-CQSZACIVSA-N 1 2 320.437 1.563 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)n([C@H](C)CC)n2)C1 ZINC001035424319 751482649 /nfs/dbraw/zinc/48/26/49/751482649.db2.gz PCNDCDQTVIKLLS-UKRRQHHQSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)n([C@H](C)CC)n2)C1 ZINC001035424319 751482653 /nfs/dbraw/zinc/48/26/53/751482653.db2.gz PCNDCDQTVIKLLS-UKRRQHHQSA-N 1 2 320.437 1.779 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@H](Nc2ccc(C#N)nc2)C1)n1cc[nH+]c1 ZINC001059080794 739890940 /nfs/dbraw/zinc/89/09/40/739890940.db2.gz IQOBYRZSUPGBNN-BBRMVZONSA-N 1 2 324.388 1.814 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)nn2CC)C1 ZINC001035411497 751493156 /nfs/dbraw/zinc/49/31/56/751493156.db2.gz JOJUSKODBOJSTF-CQSZACIVSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)nn2CC)C1 ZINC001035411497 751493158 /nfs/dbraw/zinc/49/31/58/751493158.db2.gz JOJUSKODBOJSTF-CQSZACIVSA-N 1 2 318.421 1.397 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2nc3c(s2)CCC3)C1 ZINC001035445373 751522073 /nfs/dbraw/zinc/52/20/73/751522073.db2.gz QEOCPFOQVKFEJC-LBPRGKRZSA-N 1 2 321.446 1.639 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2nc3c(s2)CCC3)C1 ZINC001035445373 751522078 /nfs/dbraw/zinc/52/20/78/751522078.db2.gz QEOCPFOQVKFEJC-LBPRGKRZSA-N 1 2 321.446 1.639 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)o1 ZINC001075780107 740448479 /nfs/dbraw/zinc/44/84/79/740448479.db2.gz CPGKDINMQBVNBW-BYCMXARLSA-N 1 2 314.389 1.385 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)o1 ZINC001075780107 740448480 /nfs/dbraw/zinc/44/84/80/740448480.db2.gz CPGKDINMQBVNBW-BYCMXARLSA-N 1 2 314.389 1.385 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cn(C(C)C)cn2)C1 ZINC001035460260 751545669 /nfs/dbraw/zinc/54/56/69/751545669.db2.gz ZCJGPLHTWJGMHT-CQSZACIVSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cn(C(C)C)cn2)C1 ZINC001035460260 751545670 /nfs/dbraw/zinc/54/56/70/751545670.db2.gz ZCJGPLHTWJGMHT-CQSZACIVSA-N 1 2 306.410 1.471 20 30 DDEDLO Cc1nsc(N[C@H]2C[C@H](NC(=O)Cn3cc[nH+]c3)C2)c1C#N ZINC001059250405 740481580 /nfs/dbraw/zinc/48/15/80/740481580.db2.gz UNSWFZBHUGJXMF-XYPYZODXSA-N 1 2 316.390 1.279 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@H](C)C(N)=O ZINC001029323127 740567395 /nfs/dbraw/zinc/56/73/95/740567395.db2.gz PTYCOOMKOBAYEF-JLLWLGSASA-N 1 2 313.829 1.314 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@H](C)C(N)=O ZINC001029323127 740567397 /nfs/dbraw/zinc/56/73/97/740567397.db2.gz PTYCOOMKOBAYEF-JLLWLGSASA-N 1 2 313.829 1.314 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NC ZINC001029323345 740567925 /nfs/dbraw/zinc/56/79/25/740567925.db2.gz YVDWLKBJSYRPIH-TXEJJXNPSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NC ZINC001029323345 740567928 /nfs/dbraw/zinc/56/79/28/740567928.db2.gz YVDWLKBJSYRPIH-TXEJJXNPSA-N 1 2 313.829 1.186 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(F)ccc(C)c2F)C1 ZINC001035497196 751580365 /nfs/dbraw/zinc/58/03/65/751580365.db2.gz VBGNTQPDUBAOHH-ZDUSSCGKSA-N 1 2 322.355 1.727 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(F)ccc(C)c2F)C1 ZINC001035497196 751580368 /nfs/dbraw/zinc/58/03/68/751580368.db2.gz VBGNTQPDUBAOHH-ZDUSSCGKSA-N 1 2 322.355 1.727 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001059419018 740909782 /nfs/dbraw/zinc/90/97/82/740909782.db2.gz PSFRDEQDDCIKHJ-SYQHCUMBSA-N 1 2 302.378 1.435 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3(C)CC(=C)C3)C2)nn1 ZINC001098715966 740972987 /nfs/dbraw/zinc/97/29/87/740972987.db2.gz MTSBPEOLIVXOID-OAHLLOKOSA-N 1 2 313.405 1.131 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]2C1 ZINC001088197390 741102132 /nfs/dbraw/zinc/10/21/32/741102132.db2.gz IFVNAKZXDYTPIQ-CABCVRRESA-N 1 2 323.400 1.375 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]2C1 ZINC001088197390 741102133 /nfs/dbraw/zinc/10/21/33/741102133.db2.gz IFVNAKZXDYTPIQ-CABCVRRESA-N 1 2 323.400 1.375 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cn(C)nc2Cl)C1 ZINC001035572418 751624572 /nfs/dbraw/zinc/62/45/72/751624572.db2.gz AEJMAWVBHDMZKW-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cn(C)nc2Cl)C1 ZINC001035572418 751624578 /nfs/dbraw/zinc/62/45/78/751624578.db2.gz AEJMAWVBHDMZKW-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO N#Cc1c(F)cccc1N[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001059697489 741582027 /nfs/dbraw/zinc/58/20/27/741582027.db2.gz LUFMMWJSQUCJIC-PHIMTYICSA-N 1 2 313.336 1.722 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnnn2C)CC[N@@H+]1Cc1ccccc1C#N ZINC001088548808 741735850 /nfs/dbraw/zinc/73/58/50/741735850.db2.gz HHUCSODRLASOPD-DOMZBBRYSA-N 1 2 324.388 1.080 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnnn2C)CC[N@H+]1Cc1ccccc1C#N ZINC001088548808 741735851 /nfs/dbraw/zinc/73/58/51/741735851.db2.gz HHUCSODRLASOPD-DOMZBBRYSA-N 1 2 324.388 1.080 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(CC)o2)C1 ZINC001035576458 751664378 /nfs/dbraw/zinc/66/43/78/751664378.db2.gz HDIIDMBZDGPKIC-AWEZNQCLSA-N 1 2 304.390 1.604 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(CC)o2)C1 ZINC001035576458 751664382 /nfs/dbraw/zinc/66/43/82/751664382.db2.gz HDIIDMBZDGPKIC-AWEZNQCLSA-N 1 2 304.390 1.604 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2csc(Cl)n2)C1 ZINC001035576971 751666634 /nfs/dbraw/zinc/66/66/34/751666634.db2.gz ICKRQAWDCULVHQ-SNVBAGLBSA-N 1 2 313.810 1.250 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2csc(Cl)n2)C1 ZINC001035576971 751666635 /nfs/dbraw/zinc/66/66/35/751666635.db2.gz ICKRQAWDCULVHQ-SNVBAGLBSA-N 1 2 313.810 1.250 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212038956 741949432 /nfs/dbraw/zinc/94/94/32/741949432.db2.gz BTTQZMBLPJZRDW-KCPJHIHWSA-N 1 2 321.808 1.815 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212038956 741949436 /nfs/dbraw/zinc/94/94/36/741949436.db2.gz BTTQZMBLPJZRDW-KCPJHIHWSA-N 1 2 321.808 1.815 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(OC)c2OC)C1 ZINC001035589243 751683622 /nfs/dbraw/zinc/68/36/22/751683622.db2.gz GZZLXSSUBHZVHG-ZDUSSCGKSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(OC)c2OC)C1 ZINC001035589243 751683623 /nfs/dbraw/zinc/68/36/23/751683623.db2.gz GZZLXSSUBHZVHG-ZDUSSCGKSA-N 1 2 320.389 1.320 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2scnc2C(F)(F)F)[C@H]1C ZINC001088630217 742026882 /nfs/dbraw/zinc/02/68/82/742026882.db2.gz RHXFXHHITCOPRQ-BDAKNGLRSA-N 1 2 317.336 1.988 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2scnc2C(F)(F)F)[C@H]1C ZINC001088630217 742026886 /nfs/dbraw/zinc/02/68/86/742026886.db2.gz RHXFXHHITCOPRQ-BDAKNGLRSA-N 1 2 317.336 1.988 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001035621009 751692887 /nfs/dbraw/zinc/69/28/87/751692887.db2.gz HOXRHYXPQJYDKC-CQSZACIVSA-N 1 2 306.410 1.389 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001035621009 751692890 /nfs/dbraw/zinc/69/28/90/751692890.db2.gz HOXRHYXPQJYDKC-CQSZACIVSA-N 1 2 306.410 1.389 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3c2CCC3)C1 ZINC001035622918 751693825 /nfs/dbraw/zinc/69/38/25/751693825.db2.gz VTNXVPWPKHRING-HNNXBMFYSA-N 1 2 300.402 1.792 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3c2CCC3)C1 ZINC001035622918 751693829 /nfs/dbraw/zinc/69/38/29/751693829.db2.gz VTNXVPWPKHRING-HNNXBMFYSA-N 1 2 300.402 1.792 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001088694712 742180338 /nfs/dbraw/zinc/18/03/38/742180338.db2.gz PPXIBBAIETYBTN-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CC[N@H+]1Cc1cccc(C#N)c1 ZINC001088694712 742180342 /nfs/dbraw/zinc/18/03/42/742180342.db2.gz PPXIBBAIETYBTN-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001088694712 742180345 /nfs/dbraw/zinc/18/03/45/742180345.db2.gz PPXIBBAIETYBTN-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CC[N@H+]1Cc1cccc(C#N)c1 ZINC001088694712 742180348 /nfs/dbraw/zinc/18/03/48/742180348.db2.gz PPXIBBAIETYBTN-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+]Cc1nc(CC(F)(F)F)no1 ZINC001126823512 742306560 /nfs/dbraw/zinc/30/65/60/742306560.db2.gz UKPSFMZZKFPAAW-UHFFFAOYSA-N 1 2 318.299 1.040 20 30 DDEDLO C[NH+]1CC(C(=O)N=C(NO)c2ccc(OC(F)(F)F)cc2)C1 ZINC001142600468 742430290 /nfs/dbraw/zinc/43/02/90/742430290.db2.gz WORHSARUQPVKBX-UHFFFAOYSA-N 1 2 317.267 1.399 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cn1cc(C)cn1)CC2 ZINC001035661370 751738900 /nfs/dbraw/zinc/73/89/00/751738900.db2.gz BCFHQOZJDHSNRZ-UHFFFAOYSA-N 1 2 322.840 1.868 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076319883 742663080 /nfs/dbraw/zinc/66/30/80/742663080.db2.gz NNABZRWHFOGGNU-ZDUSSCGKSA-N 1 2 306.410 1.710 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)c1ccccc1 ZINC001076693379 742914944 /nfs/dbraw/zinc/91/49/44/742914944.db2.gz KLXQCGYNALZHKZ-RTBURBONSA-N 1 2 320.392 1.513 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)c1ccccc1 ZINC001076693379 742914951 /nfs/dbraw/zinc/91/49/51/742914951.db2.gz KLXQCGYNALZHKZ-RTBURBONSA-N 1 2 320.392 1.513 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2COCCN2CCCC)C1 ZINC001043473824 742964120 /nfs/dbraw/zinc/96/41/20/742964120.db2.gz PGCZBDGJSAFSGX-INIZCTEOSA-N 1 2 309.454 1.206 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)c2cocn2)CC1 ZINC001002440508 743156153 /nfs/dbraw/zinc/15/61/53/743156153.db2.gz HICOMGOALWKPSI-UHFFFAOYSA-N 1 2 314.183 1.777 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCC[C@H](NC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001061096394 743196014 /nfs/dbraw/zinc/19/60/14/743196014.db2.gz TUMRPEQZLJDBQM-KGLIPLIRSA-N 1 2 324.388 1.689 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)c2cnccn2)C1 ZINC001006631877 751803000 /nfs/dbraw/zinc/80/30/00/751803000.db2.gz OEVKIYPZLNYRFX-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)c2cnccn2)C1 ZINC001006631877 751803010 /nfs/dbraw/zinc/80/30/10/751803010.db2.gz OEVKIYPZLNYRFX-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C[C@@]1(C)CNCC#N ZINC001181947265 743404306 /nfs/dbraw/zinc/40/43/06/743404306.db2.gz OQQNYNVGDGOMPO-JKIFEVAISA-N 1 2 315.421 1.043 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)COCC3CC3)CC2)C1 ZINC001105693947 743473581 /nfs/dbraw/zinc/47/35/81/743473581.db2.gz ISYBGSNPQIGFSK-UHFFFAOYSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCc1cnn2c1C[N@H+](CCCF)CC2 ZINC001128327977 743601209 /nfs/dbraw/zinc/60/12/09/743601209.db2.gz ZGTLJUIGYPUFSW-INIZCTEOSA-N 1 2 324.400 1.002 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCc1cnn2c1C[N@@H+](CCCF)CC2 ZINC001128327977 743601212 /nfs/dbraw/zinc/60/12/12/743601212.db2.gz ZGTLJUIGYPUFSW-INIZCTEOSA-N 1 2 324.400 1.002 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C1=COCCC1 ZINC001038098392 743746317 /nfs/dbraw/zinc/74/63/17/743746317.db2.gz GTCQHWOHBGSHAK-SFHVURJKSA-N 1 2 310.397 1.923 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C1=COCCC1 ZINC001038098392 743746319 /nfs/dbraw/zinc/74/63/19/743746319.db2.gz GTCQHWOHBGSHAK-SFHVURJKSA-N 1 2 310.397 1.923 20 30 DDEDLO C=CCCOCC(=O)N1CCC(OC2C[NH+](CC(=C)C)C2)CC1 ZINC001105735865 743769556 /nfs/dbraw/zinc/76/95/56/743769556.db2.gz CDKRIRZXZBJUKH-UHFFFAOYSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC(OC2C[NH+](CC=C)C2)CC1 ZINC001105735870 743769965 /nfs/dbraw/zinc/76/99/65/743769965.db2.gz CELXWBXYJQVXBU-CQSZACIVSA-N 1 2 308.422 1.455 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001030350039 744068009 /nfs/dbraw/zinc/06/80/09/744068009.db2.gz XEPBNOIPRFLJQV-UHFFFAOYSA-N 1 2 308.813 1.315 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)N[C@H](C)C(C)C ZINC001110369132 744281342 /nfs/dbraw/zinc/28/13/42/744281342.db2.gz YOSVUFFXVNJMER-FPCVCCKLSA-N 1 2 321.465 1.835 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)N[C@H](C)C(C)C ZINC001110369132 744281344 /nfs/dbraw/zinc/28/13/44/744281344.db2.gz YOSVUFFXVNJMER-FPCVCCKLSA-N 1 2 321.465 1.835 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc(OCC)cn2)[C@H]1C ZINC001089078230 744494722 /nfs/dbraw/zinc/49/47/22/744494722.db2.gz DHQLPZSHZYKMQT-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc(OCC)cn2)[C@H]1C ZINC001089078230 744494723 /nfs/dbraw/zinc/49/47/23/744494723.db2.gz DHQLPZSHZYKMQT-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)C[C@H]2CC[C@H](C(F)(F)F)O2)C1 ZINC001030712076 744509222 /nfs/dbraw/zinc/50/92/22/744509222.db2.gz FXQLCURRNHXCJB-VXGBXAGGSA-N 1 2 306.328 1.863 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3ccsc3[nH]2)[C@@H](O)C1 ZINC001083685160 744752735 /nfs/dbraw/zinc/75/27/35/744752735.db2.gz GNTBLRQKKVRBCJ-OLZOCXBDSA-N 1 2 303.387 1.028 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3ccsc3[nH]2)[C@@H](O)C1 ZINC001083685160 744752738 /nfs/dbraw/zinc/75/27/38/744752738.db2.gz GNTBLRQKKVRBCJ-OLZOCXBDSA-N 1 2 303.387 1.028 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)C[C@@H](C)OC)C1 ZINC001189300587 744862860 /nfs/dbraw/zinc/86/28/60/744862860.db2.gz MZWVXHUOXNWBSC-NEPJUHHUSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)C[C@@H](C)OC)C1 ZINC001189300587 744862863 /nfs/dbraw/zinc/86/28/63/744862863.db2.gz MZWVXHUOXNWBSC-NEPJUHHUSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001189918731 745034130 /nfs/dbraw/zinc/03/41/30/745034130.db2.gz TUCFIMNGNBOXJP-WMLDXEAASA-N 1 2 321.421 1.651 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001189918731 745034135 /nfs/dbraw/zinc/03/41/35/745034135.db2.gz TUCFIMNGNBOXJP-WMLDXEAASA-N 1 2 321.421 1.651 20 30 DDEDLO CCc1noc([C@@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190005297 745076955 /nfs/dbraw/zinc/07/69/55/745076955.db2.gz YWHGUEVSIWAGLM-KGLIPLIRSA-N 1 2 318.421 1.885 20 30 DDEDLO CCc1noc([C@@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190005297 745076962 /nfs/dbraw/zinc/07/69/62/745076962.db2.gz YWHGUEVSIWAGLM-KGLIPLIRSA-N 1 2 318.421 1.885 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190003932 745077286 /nfs/dbraw/zinc/07/72/86/745077286.db2.gz JZQJSTBXXKOVQQ-TZMCWYRMSA-N 1 2 304.394 1.631 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190003932 745077292 /nfs/dbraw/zinc/07/72/92/745077292.db2.gz JZQJSTBXXKOVQQ-TZMCWYRMSA-N 1 2 304.394 1.631 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001190094792 745097007 /nfs/dbraw/zinc/09/70/07/745097007.db2.gz NWCHGOCBEMEZCU-KBPBESRZSA-N 1 2 319.405 1.308 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001190094792 745097014 /nfs/dbraw/zinc/09/70/14/745097014.db2.gz NWCHGOCBEMEZCU-KBPBESRZSA-N 1 2 319.405 1.308 20 30 DDEDLO N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)CCc3[nH]cc[nH+]3)C[C@H]21 ZINC000992672169 745100148 /nfs/dbraw/zinc/10/01/48/745100148.db2.gz UVFFELWXRDTKLY-MGPQQGTHSA-N 1 2 301.394 1.083 20 30 DDEDLO Cn1ccc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001190076564 745105665 /nfs/dbraw/zinc/10/56/65/745105665.db2.gz RWYILXGXGBQZCU-OAHLLOKOSA-N 1 2 302.422 1.502 20 30 DDEDLO Cn1ccc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001190076564 745105667 /nfs/dbraw/zinc/10/56/67/745105667.db2.gz RWYILXGXGBQZCU-OAHLLOKOSA-N 1 2 302.422 1.502 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1C[C@@H]2CCC[C@H](NCC#N)[C@H]2C1 ZINC000992752124 745176281 /nfs/dbraw/zinc/17/62/81/745176281.db2.gz GZPYHBNOYJMOIR-KKUMJFAQSA-N 1 2 315.421 1.093 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001190449744 745225192 /nfs/dbraw/zinc/22/51/92/745225192.db2.gz DJOCFCOKYJOPDI-MBNYWOFBSA-N 1 2 322.434 1.162 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001190449744 745225198 /nfs/dbraw/zinc/22/51/98/745225198.db2.gz DJOCFCOKYJOPDI-MBNYWOFBSA-N 1 2 322.434 1.162 20 30 DDEDLO C=CCC1(S(=O)(=O)N[C@H]2CC[N@H+](Cc3cccnc3)C2)CC1 ZINC001190836635 745345719 /nfs/dbraw/zinc/34/57/19/745345719.db2.gz XIXXNOCWTNFMOP-HNNXBMFYSA-N 1 2 321.446 1.684 20 30 DDEDLO C=CCC1(S(=O)(=O)N[C@H]2CC[N@@H+](Cc3cccnc3)C2)CC1 ZINC001190836635 745345723 /nfs/dbraw/zinc/34/57/23/745345723.db2.gz XIXXNOCWTNFMOP-HNNXBMFYSA-N 1 2 321.446 1.684 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)on1 ZINC001007143557 745515918 /nfs/dbraw/zinc/51/59/18/745515918.db2.gz NHHCQOGCHYXSTQ-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)on1 ZINC001007143557 745515922 /nfs/dbraw/zinc/51/59/22/745515922.db2.gz NHHCQOGCHYXSTQ-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO C[C@H](CCNc1ncc(C#N)cc1F)NC(=O)Cc1[nH]cc[nH+]1 ZINC001106426398 745685962 /nfs/dbraw/zinc/68/59/62/745685962.db2.gz GZTHILIIBIEMPM-SNVBAGLBSA-N 1 2 316.340 1.365 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)cc1C#N ZINC001192301198 745747439 /nfs/dbraw/zinc/74/74/39/745747439.db2.gz JEVHVPSFFIIOBY-OAHLLOKOSA-N 1 2 307.357 1.665 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CN2CCOCC2)[C@@H]1C ZINC000993228278 745920132 /nfs/dbraw/zinc/92/01/32/745920132.db2.gz DJUUBQAGNGWWNR-KBPBESRZSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CN2CCOCC2)[C@@H]1C ZINC000993228278 745920134 /nfs/dbraw/zinc/92/01/34/745920134.db2.gz DJUUBQAGNGWWNR-KBPBESRZSA-N 1 2 315.845 1.040 20 30 DDEDLO N#CCS(=O)(=O)NCc1c[nH+]cn1Cc1ccccc1Cl ZINC001193000782 745947511 /nfs/dbraw/zinc/94/75/11/745947511.db2.gz FVYYTDCLTQIDJU-UHFFFAOYSA-N 1 2 324.793 1.528 20 30 DDEDLO CC[N@@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1cc(OC)ccc1C#N ZINC001193679639 746173708 /nfs/dbraw/zinc/17/37/08/746173708.db2.gz LJHYFEPEYMICPS-STQMWFEESA-N 1 2 321.402 1.034 20 30 DDEDLO CC[N@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1cc(OC)ccc1C#N ZINC001193679639 746173712 /nfs/dbraw/zinc/17/37/12/746173712.db2.gz LJHYFEPEYMICPS-STQMWFEESA-N 1 2 321.402 1.034 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3n[nH]cc3F)C2)s1 ZINC001015669815 746185284 /nfs/dbraw/zinc/18/52/84/746185284.db2.gz HUXZGTGMLZAEDK-VIFPVBQESA-N 1 2 319.365 1.486 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3n[nH]cc3F)C2)s1 ZINC001015669815 746185287 /nfs/dbraw/zinc/18/52/87/746185287.db2.gz HUXZGTGMLZAEDK-VIFPVBQESA-N 1 2 319.365 1.486 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2snnc2CC)[C@H]1C ZINC000993571584 746210608 /nfs/dbraw/zinc/21/06/08/746210608.db2.gz NGIGGCGXDJPZII-YPMHNXCESA-N 1 2 306.435 1.707 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2snnc2CC)[C@H]1C ZINC000993571584 746210611 /nfs/dbraw/zinc/21/06/11/746210611.db2.gz NGIGGCGXDJPZII-YPMHNXCESA-N 1 2 306.435 1.707 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)cn2)C1 ZINC001194397567 746367778 /nfs/dbraw/zinc/36/77/78/746367778.db2.gz XFKRQXDZFYNZDD-LSDHHAIUSA-N 1 2 318.421 1.409 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)cn2)C1 ZINC001194397567 746367784 /nfs/dbraw/zinc/36/77/84/746367784.db2.gz XFKRQXDZFYNZDD-LSDHHAIUSA-N 1 2 318.421 1.409 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2nnc[nH]2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000994015285 746420981 /nfs/dbraw/zinc/42/09/81/746420981.db2.gz ASRDKUNYJFXHMC-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2nnc[nH]2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000994015285 746420983 /nfs/dbraw/zinc/42/09/83/746420983.db2.gz ASRDKUNYJFXHMC-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000994015285 746420986 /nfs/dbraw/zinc/42/09/86/746420986.db2.gz ASRDKUNYJFXHMC-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000994015285 746420988 /nfs/dbraw/zinc/42/09/88/746420988.db2.gz ASRDKUNYJFXHMC-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cncn2C)[C@H]1C ZINC000994208330 746487344 /nfs/dbraw/zinc/48/73/44/746487344.db2.gz MEOFBBSMOHTHTP-OCCSQVGLSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cncn2C)[C@H]1C ZINC000994208330 746487345 /nfs/dbraw/zinc/48/73/45/746487345.db2.gz MEOFBBSMOHTHTP-OCCSQVGLSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@H]1O ZINC001195247164 746555734 /nfs/dbraw/zinc/55/57/34/746555734.db2.gz SBIBATHEKWAEBN-HUUCEWRRSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@H]1O ZINC001195247164 746555736 /nfs/dbraw/zinc/55/57/36/746555736.db2.gz SBIBATHEKWAEBN-HUUCEWRRSA-N 1 2 303.406 1.345 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2oc(CC)nc2C)C[C@H]1O ZINC001195312635 746573623 /nfs/dbraw/zinc/57/36/23/746573623.db2.gz TZXRIQGBONAYKA-CHWSQXEVSA-N 1 2 321.421 1.419 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2oc(CC)nc2C)C[C@H]1O ZINC001195312635 746573627 /nfs/dbraw/zinc/57/36/27/746573627.db2.gz TZXRIQGBONAYKA-CHWSQXEVSA-N 1 2 321.421 1.419 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[N@@H+](Cc2cncn2C)CC1 ZINC001195452628 746604824 /nfs/dbraw/zinc/60/48/24/746604824.db2.gz QTOOGDXCPGBJMP-UHFFFAOYSA-N 1 2 320.437 1.437 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[N@H+](Cc2cncn2C)CC1 ZINC001195452628 746604826 /nfs/dbraw/zinc/60/48/26/746604826.db2.gz QTOOGDXCPGBJMP-UHFFFAOYSA-N 1 2 320.437 1.437 20 30 DDEDLO CC(C)[C@H](F)C(=O)N[C@@H]1C[N@H+](Cc2cccc(C#N)c2)C[C@H]1O ZINC001195422752 746609391 /nfs/dbraw/zinc/60/93/91/746609391.db2.gz KDGFRLFKHWUPBE-OAGGEKHMSA-N 1 2 319.380 1.214 20 30 DDEDLO CC(C)[C@H](F)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(C#N)c2)C[C@H]1O ZINC001195422752 746609393 /nfs/dbraw/zinc/60/93/93/746609393.db2.gz KDGFRLFKHWUPBE-OAGGEKHMSA-N 1 2 319.380 1.214 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)[C@@H]1C ZINC000994489782 746686974 /nfs/dbraw/zinc/68/69/74/746686974.db2.gz VBXQSUSXKYWEFT-ZFWWWQNUSA-N 1 2 323.400 1.688 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCCCN2C(=O)C(C)C)C1 ZINC001031433484 746797937 /nfs/dbraw/zinc/79/79/37/746797937.db2.gz GASKWNWKJMATCU-HNNXBMFYSA-N 1 2 307.438 1.400 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC[C@@H]1CCC(C)(C)CN1CC#N ZINC001099324369 746844992 /nfs/dbraw/zinc/84/49/92/746844992.db2.gz LAUAKUSUYGYMCR-HNNXBMFYSA-N 1 2 317.437 1.483 20 30 DDEDLO C#CCC1(C(=O)NCc2cnn3c2C[N@H+](CC)CC3)CCC1 ZINC001128382270 746941811 /nfs/dbraw/zinc/94/18/11/746941811.db2.gz OBYUYSQOCYCIFM-UHFFFAOYSA-N 1 2 300.406 1.528 20 30 DDEDLO C#CCC1(C(=O)NCc2cnn3c2C[N@@H+](CC)CC3)CCC1 ZINC001128382270 746941815 /nfs/dbraw/zinc/94/18/15/746941815.db2.gz OBYUYSQOCYCIFM-UHFFFAOYSA-N 1 2 300.406 1.528 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)NCC1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001031522339 746952914 /nfs/dbraw/zinc/95/29/14/746952914.db2.gz UCPUTAATFZCLDN-NHYWBVRUSA-N 1 2 301.365 1.901 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1cnccn1 ZINC001031523201 746956248 /nfs/dbraw/zinc/95/62/48/746956248.db2.gz UJSGFTFCZDHNJS-UHFFFAOYSA-N 1 2 324.359 1.329 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(CC)CCC2)CC1 ZINC001196815215 746981486 /nfs/dbraw/zinc/98/14/86/746981486.db2.gz VCEIXPVYCCTCLV-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(CC)CCC2)CC1 ZINC001196815215 746981488 /nfs/dbraw/zinc/98/14/88/746981488.db2.gz VCEIXPVYCCTCLV-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)[C@H]1CCOC1 ZINC001031570203 747065244 /nfs/dbraw/zinc/06/52/44/747065244.db2.gz OIUBJTIDVYSSAM-INIZCTEOSA-N 1 2 316.376 1.262 20 30 DDEDLO CCc1ocnc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031592104 747124141 /nfs/dbraw/zinc/12/41/41/747124141.db2.gz XRHGZKRFEBCJLA-UHFFFAOYSA-N 1 2 323.396 1.950 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](CCC)C(C)C)CC1 ZINC001197314437 747127966 /nfs/dbraw/zinc/12/79/66/747127966.db2.gz IGLNWVWEUUOVGD-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](CCC)C(C)C)CC1 ZINC001197314437 747127969 /nfs/dbraw/zinc/12/79/69/747127969.db2.gz IGLNWVWEUUOVGD-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2cccnc2)C1 ZINC001108055537 747158563 /nfs/dbraw/zinc/15/85/63/747158563.db2.gz QIEFLCRVLFDHBN-QGZVFWFLSA-N 1 2 303.406 1.407 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2cccnc2)C1 ZINC001108055537 747158569 /nfs/dbraw/zinc/15/85/69/747158569.db2.gz QIEFLCRVLFDHBN-QGZVFWFLSA-N 1 2 303.406 1.407 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccc(OC)o2)CC1 ZINC001197536369 747187506 /nfs/dbraw/zinc/18/75/06/747187506.db2.gz CBNKFFSFSYFVGW-UHFFFAOYSA-N 1 2 306.362 1.086 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccc(OC)o2)CC1 ZINC001197536369 747187509 /nfs/dbraw/zinc/18/75/09/747187509.db2.gz CBNKFFSFSYFVGW-UHFFFAOYSA-N 1 2 306.362 1.086 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2cncnc2)C1 ZINC001031627197 747246927 /nfs/dbraw/zinc/24/69/27/747246927.db2.gz GKKIWDUAEGBUAR-UHFFFAOYSA-N 1 2 307.357 1.210 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1ncccc1O ZINC001031627722 747249425 /nfs/dbraw/zinc/24/94/25/747249425.db2.gz UWTNMZOVUWQWEX-UHFFFAOYSA-N 1 2 321.380 1.501 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](OC)C(C)C)c2C1 ZINC001128472187 747283870 /nfs/dbraw/zinc/28/38/70/747283870.db2.gz VRWBQISKPMKKJO-INIZCTEOSA-N 1 2 320.437 1.562 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H](OC)C(C)C)c2C1 ZINC001128472187 747283877 /nfs/dbraw/zinc/28/38/77/747283877.db2.gz VRWBQISKPMKKJO-INIZCTEOSA-N 1 2 320.437 1.562 20 30 DDEDLO C#Cc1cncc(C(=O)NC2CC[NH+](Cc3nccn3C)CC2)c1 ZINC001003594327 747308157 /nfs/dbraw/zinc/30/81/57/747308157.db2.gz KJEROTWUZGSPGL-UHFFFAOYSA-N 1 2 323.400 1.191 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001007496829 752173885 /nfs/dbraw/zinc/17/38/85/752173885.db2.gz RZQDYXZCDLHPRC-QWHCGFSZSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001007496829 752173891 /nfs/dbraw/zinc/17/38/91/752173891.db2.gz RZQDYXZCDLHPRC-QWHCGFSZSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccs2)[C@@H](O)C1 ZINC001090041258 747499052 /nfs/dbraw/zinc/49/90/52/747499052.db2.gz GMCJLSKRYXJFGI-QWRGUYRKSA-N 1 2 300.811 1.666 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccs2)[C@@H](O)C1 ZINC001090041258 747499050 /nfs/dbraw/zinc/49/90/50/747499050.db2.gz GMCJLSKRYXJFGI-QWRGUYRKSA-N 1 2 300.811 1.666 20 30 DDEDLO C=CC[C@@H](C(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001198897123 747638097 /nfs/dbraw/zinc/63/80/97/747638097.db2.gz ZKRTYZKUKBGFSK-BZUAXINKSA-N 1 2 306.381 1.477 20 30 DDEDLO C=CC[C@@H](C(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001198897123 747638100 /nfs/dbraw/zinc/63/81/00/747638100.db2.gz ZKRTYZKUKBGFSK-BZUAXINKSA-N 1 2 306.381 1.477 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1O)c1ccccc1 ZINC001198956124 747660648 /nfs/dbraw/zinc/66/06/48/747660648.db2.gz PRDJXUVNKBJAEN-KQZJAPLZSA-N 1 2 320.820 1.870 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1O)c1ccccc1 ZINC001198956124 747660651 /nfs/dbraw/zinc/66/06/51/747660651.db2.gz PRDJXUVNKBJAEN-KQZJAPLZSA-N 1 2 320.820 1.870 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1O ZINC001199314000 747786840 /nfs/dbraw/zinc/78/68/40/747786840.db2.gz NTQCNSQZBSFUJC-APHBMKBZSA-N 1 2 322.380 1.078 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1O ZINC001199314000 747786845 /nfs/dbraw/zinc/78/68/45/747786845.db2.gz NTQCNSQZBSFUJC-APHBMKBZSA-N 1 2 322.380 1.078 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)C[C@H](C)n2ccnc2CC)[C@H](OC)C1 ZINC001212301471 747815024 /nfs/dbraw/zinc/81/50/24/747815024.db2.gz VJYCBBPHHAJTFL-RRFJBIMHSA-N 1 2 320.437 1.398 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)C[C@H](C)n2ccnc2CC)[C@H](OC)C1 ZINC001212301471 747815038 /nfs/dbraw/zinc/81/50/38/747815038.db2.gz VJYCBBPHHAJTFL-RRFJBIMHSA-N 1 2 320.437 1.398 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001107987611 752219891 /nfs/dbraw/zinc/21/98/91/752219891.db2.gz QKCNTWRZRCNULX-HIFRSBDPSA-N 1 2 314.376 1.652 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001107987611 752219895 /nfs/dbraw/zinc/21/98/95/752219895.db2.gz QKCNTWRZRCNULX-HIFRSBDPSA-N 1 2 314.376 1.652 20 30 DDEDLO CCc1n[nH]cc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031806344 747908097 /nfs/dbraw/zinc/90/80/97/747908097.db2.gz VKLJHURTQLLFNW-UHFFFAOYSA-N 1 2 322.412 1.685 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)CCCC=C)CC2 ZINC001128039151 752223819 /nfs/dbraw/zinc/22/38/19/752223819.db2.gz GPKCZYJCVQOQLG-CQSZACIVSA-N 1 2 315.421 1.178 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001212338572 747920076 /nfs/dbraw/zinc/92/00/76/747920076.db2.gz PTYUEZADHCLSAF-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001212338572 747920079 /nfs/dbraw/zinc/92/00/79/747920079.db2.gz PTYUEZADHCLSAF-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(C(C)C)no1 ZINC001124489403 748281096 /nfs/dbraw/zinc/28/10/96/748281096.db2.gz ZQGXYOGVLJVRJD-GFCCVEGCSA-N 1 2 310.398 1.380 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)C[C@@H]2CCOC2)CC1 ZINC001004380689 748378013 /nfs/dbraw/zinc/37/80/13/748378013.db2.gz OYOBVIOVANGKKY-HOCLYGCPSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)C[C@@H]2CCOC2)CC1 ZINC001004380689 748378021 /nfs/dbraw/zinc/37/80/21/748378021.db2.gz OYOBVIOVANGKKY-HOCLYGCPSA-N 1 2 305.422 1.640 20 30 DDEDLO C#CCC[NH+]1CC(CNC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001032100950 748639414 /nfs/dbraw/zinc/63/94/14/748639414.db2.gz VQEGLVSMVMYFKJ-UHFFFAOYSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2nc[nH]c2C(F)(F)F)C1 ZINC001033153686 748649418 /nfs/dbraw/zinc/64/94/18/748649418.db2.gz XDWBDAFZWOBWOG-VIFPVBQESA-N 1 2 300.284 1.208 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2nc[nH]c2C(F)(F)F)C1 ZINC001033153686 748649422 /nfs/dbraw/zinc/64/94/22/748649422.db2.gz XDWBDAFZWOBWOG-VIFPVBQESA-N 1 2 300.284 1.208 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+]Cc1nc(C(C)C)no1)OCC ZINC001128529171 748741245 /nfs/dbraw/zinc/74/12/45/748741245.db2.gz ZSDXGKHNIPOOLA-ZDUSSCGKSA-N 1 2 324.425 1.770 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC ZINC001110595423 748810846 /nfs/dbraw/zinc/81/08/46/748810846.db2.gz PQFODPUJVFSIHV-UTUOFQBUSA-N 1 2 313.829 1.233 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC ZINC001110595423 748810850 /nfs/dbraw/zinc/81/08/50/748810850.db2.gz PQFODPUJVFSIHV-UTUOFQBUSA-N 1 2 313.829 1.233 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001033209027 748936880 /nfs/dbraw/zinc/93/68/80/748936880.db2.gz QEUFPJWTIJABGX-KGLIPLIRSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001033209027 748936884 /nfs/dbraw/zinc/93/68/84/748936884.db2.gz QEUFPJWTIJABGX-KGLIPLIRSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(C3CC3)CCOCC2)C1 ZINC001108097337 749041100 /nfs/dbraw/zinc/04/11/00/749041100.db2.gz HCNLHDLIXRWUJY-QGZVFWFLSA-N 1 2 322.449 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(C3CC3)CCOCC2)C1 ZINC001108097337 749041102 /nfs/dbraw/zinc/04/11/02/749041102.db2.gz HCNLHDLIXRWUJY-QGZVFWFLSA-N 1 2 322.449 1.586 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)n2cccn2)C1 ZINC001108332922 761927402 /nfs/dbraw/zinc/92/74/02/761927402.db2.gz JUAZGQLPMQHVAQ-DOTOQJQBSA-N 1 2 318.421 1.065 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)n2cccn2)C1 ZINC001108332922 761927406 /nfs/dbraw/zinc/92/74/06/761927406.db2.gz JUAZGQLPMQHVAQ-DOTOQJQBSA-N 1 2 318.421 1.065 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2CN(C(=O)C#CC3CC3)CC2(C)C)n1 ZINC000995807716 749235884 /nfs/dbraw/zinc/23/58/84/749235884.db2.gz BYLYSOUNLYDUFF-ZDUSSCGKSA-N 1 2 316.405 1.372 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2c[nH]c(=O)cc2C)C1 ZINC001033409384 749303838 /nfs/dbraw/zinc/30/38/38/749303838.db2.gz HFPFRQAQOJEZFY-GFCCVEGCSA-N 1 2 309.797 1.994 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2c[nH]c(=O)cc2C)C1 ZINC001033409384 749303845 /nfs/dbraw/zinc/30/38/45/749303845.db2.gz HFPFRQAQOJEZFY-GFCCVEGCSA-N 1 2 309.797 1.994 20 30 DDEDLO C[C@@H](C#N)C(=O)NCc1cn(C2C[NH+](Cc3ccccc3)C2)nn1 ZINC001107146488 749400597 /nfs/dbraw/zinc/40/05/97/749400597.db2.gz LQTGZCDNIOYRBJ-ZDUSSCGKSA-N 1 2 324.388 1.111 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2sccc2COC)C1 ZINC001108341153 761947658 /nfs/dbraw/zinc/94/76/58/761947658.db2.gz TVNMUQHGVAPESJ-MRXNPFEDSA-N 1 2 324.446 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2sccc2COC)C1 ZINC001108341153 761947664 /nfs/dbraw/zinc/94/76/64/761947664.db2.gz TVNMUQHGVAPESJ-MRXNPFEDSA-N 1 2 324.446 1.901 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(C)C(=O)c2n[nH]cc2Br)C1 ZINC001033507514 749430784 /nfs/dbraw/zinc/43/07/84/749430784.db2.gz IAUNMKOTJLPLOR-VIFPVBQESA-N 1 2 313.199 1.505 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(C)C(=O)c2n[nH]cc2Br)C1 ZINC001033507514 749430791 /nfs/dbraw/zinc/43/07/91/749430791.db2.gz IAUNMKOTJLPLOR-VIFPVBQESA-N 1 2 313.199 1.505 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H]3CCC[C@@H]3C)nn2)C1 ZINC001107174507 749493753 /nfs/dbraw/zinc/49/37/53/749493753.db2.gz TVMQMJDVLBBGKU-KBPBESRZSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)CC(F)F)nn2)C1 ZINC001107188837 749524215 /nfs/dbraw/zinc/52/42/15/749524215.db2.gz ZYDKQDRCIBCHEC-JTQLQIEISA-N 1 2 313.352 1.228 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(C#N)c1)C2 ZINC001095329533 749526880 /nfs/dbraw/zinc/52/68/80/749526880.db2.gz PYDQLKOPVZZYLK-RDBSUJKOSA-N 1 2 304.781 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(C#N)c1)C2 ZINC001095329533 749526885 /nfs/dbraw/zinc/52/68/85/749526885.db2.gz PYDQLKOPVZZYLK-RDBSUJKOSA-N 1 2 304.781 1.974 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C[C@@H](C=C)CC)nn2)C1 ZINC001107198668 749563863 /nfs/dbraw/zinc/56/38/63/749563863.db2.gz OKYQCFZCSOUVFM-AWEZNQCLSA-N 1 2 315.421 1.377 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)CC(=C)C)nn2)C1 ZINC001107198468 749563928 /nfs/dbraw/zinc/56/39/28/749563928.db2.gz IHGYVVPIJOWTBK-ZDUSSCGKSA-N 1 2 303.410 1.539 20 30 DDEDLO C=CCCOCC(=O)NCC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095359792 749597963 /nfs/dbraw/zinc/59/79/63/749597963.db2.gz SNYUWKPRNYQSRQ-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)C3CCCC3)nn2)C1 ZINC001107228007 749665739 /nfs/dbraw/zinc/66/57/39/749665739.db2.gz XLETYHOIPSESOQ-CYBMUJFWSA-N 1 2 317.437 1.763 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C\CNc2cc[nH+]c(C)n2)cn1 ZINC001107270591 749716127 /nfs/dbraw/zinc/71/61/27/749716127.db2.gz DTXFYUHKIYSXQZ-PLNGDYQASA-N 1 2 307.357 1.559 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC/C=C\CNc1ncccc1C#N ZINC001107297302 749752587 /nfs/dbraw/zinc/75/25/87/749752587.db2.gz DOIGZRNGCRSHDD-IHWYPQMZSA-N 1 2 324.388 1.404 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@](C)(CNc2cc[nH+]c(C)n2)C1 ZINC001110848067 749755199 /nfs/dbraw/zinc/75/51/99/749755199.db2.gz CYPSYNMGHZWYET-GUYCJALGSA-N 1 2 316.405 1.474 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(CCOC)CCC2)C1 ZINC001108367228 761986525 /nfs/dbraw/zinc/98/65/25/761986525.db2.gz ADJMFBQKHJYRQS-KRWDZBQOSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(CCOC)CCC2)C1 ZINC001108367228 761986529 /nfs/dbraw/zinc/98/65/29/761986529.db2.gz ADJMFBQKHJYRQS-KRWDZBQOSA-N 1 2 322.449 1.424 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccn(CC)n1)C2 ZINC001095444841 749904148 /nfs/dbraw/zinc/90/41/48/749904148.db2.gz JJHZDIKZBMUPSI-ILXRZTDVSA-N 1 2 322.840 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccn(CC)n1)C2 ZINC001095444841 749904152 /nfs/dbraw/zinc/90/41/52/749904152.db2.gz JJHZDIKZBMUPSI-ILXRZTDVSA-N 1 2 322.840 1.920 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(CNC(=O)C(F)C(F)(F)F)CCC[C@@H]12 ZINC001107414941 749935998 /nfs/dbraw/zinc/93/59/98/749935998.db2.gz VCEAIQMDUWBEBP-NQBHXWOUSA-N 1 2 306.303 1.881 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(CNC(=O)C(F)C(F)(F)F)CCC[C@@H]12 ZINC001107414941 749935999 /nfs/dbraw/zinc/93/59/99/749935999.db2.gz VCEAIQMDUWBEBP-NQBHXWOUSA-N 1 2 306.303 1.881 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(CNC(=O)[C@@H](F)C(F)(F)F)CCC[C@@H]12 ZINC001107414941 749936001 /nfs/dbraw/zinc/93/60/01/749936001.db2.gz VCEAIQMDUWBEBP-NQBHXWOUSA-N 1 2 306.303 1.881 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(CNC(=O)[C@@H](F)C(F)(F)F)CCC[C@@H]12 ZINC001107414941 749936004 /nfs/dbraw/zinc/93/60/04/749936004.db2.gz VCEAIQMDUWBEBP-NQBHXWOUSA-N 1 2 306.303 1.881 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001066754042 749936365 /nfs/dbraw/zinc/93/63/65/749936365.db2.gz UYHUAXFJBSACDZ-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C[C@@H](CC(=O)NC/C=C\CNc1ccc(C#N)nc1)n1cc[nH+]c1 ZINC001107545613 750110398 /nfs/dbraw/zinc/11/03/98/750110398.db2.gz JDNUPCBRFMPGSZ-SFAKSCPVSA-N 1 2 324.388 1.885 20 30 DDEDLO CC#CC[NH+]1CC[C@@H](N(CC)C(=O)[C@H]2C[N@@H+](C(C)C)CCO2)C1 ZINC001033932928 750155084 /nfs/dbraw/zinc/15/50/84/750155084.db2.gz UQXDUTPPFVUBKV-IAGOWNOFSA-N 1 2 321.465 1.042 20 30 DDEDLO CC#CC[NH+]1CC[C@@H](N(CC)C(=O)[C@H]2C[N@H+](C(C)C)CCO2)C1 ZINC001033932928 750155088 /nfs/dbraw/zinc/15/50/88/750155088.db2.gz UQXDUTPPFVUBKV-IAGOWNOFSA-N 1 2 321.465 1.042 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CN(C(C)C)CCO2)C1 ZINC001033932928 750155095 /nfs/dbraw/zinc/15/50/95/750155095.db2.gz UQXDUTPPFVUBKV-IAGOWNOFSA-N 1 2 321.465 1.042 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cnnc(C)c2)CC1 ZINC001000626010 762019134 /nfs/dbraw/zinc/01/91/34/762019134.db2.gz YCWTWKVXXMOEJE-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cnnc(C)c2)CC1 ZINC001000626010 762019143 /nfs/dbraw/zinc/01/91/43/762019143.db2.gz YCWTWKVXXMOEJE-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CCC(F)(F)F)c1 ZINC001032337440 750243687 /nfs/dbraw/zinc/24/36/87/750243687.db2.gz ACIMUYJKOMKDKN-QWRGUYRKSA-N 1 2 312.295 1.737 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CCC(F)(F)F)c1 ZINC001032337440 750243697 /nfs/dbraw/zinc/24/36/97/750243697.db2.gz ACIMUYJKOMKDKN-QWRGUYRKSA-N 1 2 312.295 1.737 20 30 DDEDLO C#CCN1CC[C@@H](N(CC)C(=O)c2cnn(-c3cc[nH+]cc3)c2)C1 ZINC001034003487 750264352 /nfs/dbraw/zinc/26/43/52/750264352.db2.gz IQZVNEBKAWGWGT-QGZVFWFLSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2csc(C)n2)C1 ZINC001108385451 762022688 /nfs/dbraw/zinc/02/26/88/762022688.db2.gz HVHSRZHDLSEXRC-MRXNPFEDSA-N 1 2 321.446 1.224 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2csc(C)n2)C1 ZINC001108385451 762022698 /nfs/dbraw/zinc/02/26/98/762022698.db2.gz HVHSRZHDLSEXRC-MRXNPFEDSA-N 1 2 321.446 1.224 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)n(C)c2C)C1 ZINC001108386517 762023388 /nfs/dbraw/zinc/02/33/88/762023388.db2.gz FODJLPLJDXQLQT-SFHVURJKSA-N 1 2 317.433 1.486 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)n(C)c2C)C1 ZINC001108386517 762023399 /nfs/dbraw/zinc/02/33/99/762023399.db2.gz FODJLPLJDXQLQT-SFHVURJKSA-N 1 2 317.433 1.486 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CF)CCC1)C2 ZINC001111047095 750331598 /nfs/dbraw/zinc/33/15/98/750331598.db2.gz HEYRKZBWIXKXGD-MCIONIFRSA-N 1 2 323.412 1.150 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CF)CCC1)C2 ZINC001111047095 750331602 /nfs/dbraw/zinc/33/16/02/750331602.db2.gz HEYRKZBWIXKXGD-MCIONIFRSA-N 1 2 323.412 1.150 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H](NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001034525619 750517718 /nfs/dbraw/zinc/51/77/18/750517718.db2.gz SZCAIGLBHISOSM-AWEZNQCLSA-N 1 2 314.433 1.896 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H](NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001034525619 750517720 /nfs/dbraw/zinc/51/77/20/750517720.db2.gz SZCAIGLBHISOSM-AWEZNQCLSA-N 1 2 314.433 1.896 20 30 DDEDLO CC[C@@H](F)C[N@@H+]1C[C@@H](NC(=O)C#CC(C)C)[C@@H](n2ccnn2)C1 ZINC001128976055 750594311 /nfs/dbraw/zinc/59/43/11/750594311.db2.gz HDIKEKAILNIQBE-KFWWJZLASA-N 1 2 321.400 1.027 20 30 DDEDLO CC[C@@H](F)C[N@H+]1C[C@@H](NC(=O)C#CC(C)C)[C@@H](n2ccnn2)C1 ZINC001128976055 750594316 /nfs/dbraw/zinc/59/43/16/750594316.db2.gz HDIKEKAILNIQBE-KFWWJZLASA-N 1 2 321.400 1.027 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nc2ccccc21 ZINC001039466409 762057374 /nfs/dbraw/zinc/05/73/74/762057374.db2.gz JBEOJWXLOKRKCM-KGLIPLIRSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nc2ccccc21 ZINC001039466409 762057380 /nfs/dbraw/zinc/05/73/80/762057380.db2.gz JBEOJWXLOKRKCM-KGLIPLIRSA-N 1 2 308.385 1.875 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001107945308 750788744 /nfs/dbraw/zinc/78/87/44/750788744.db2.gz DARFUGYMKBUHIM-YOEHRIQHSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001107945308 750788748 /nfs/dbraw/zinc/78/87/48/750788748.db2.gz DARFUGYMKBUHIM-YOEHRIQHSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001107945491 750789271 /nfs/dbraw/zinc/78/92/71/750789271.db2.gz ICMOSHFUVSJPPP-CXAGYDPISA-N 1 2 318.421 1.223 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001107945491 750789275 /nfs/dbraw/zinc/78/92/75/750789275.db2.gz ICMOSHFUVSJPPP-CXAGYDPISA-N 1 2 318.421 1.223 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1COc2ccccc2O1 ZINC001032425857 750793392 /nfs/dbraw/zinc/79/33/92/750793392.db2.gz NSSLTNVNCSJXBP-SOUVJXGZSA-N 1 2 312.369 1.135 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1COc2ccccc2O1 ZINC001032425857 750793397 /nfs/dbraw/zinc/79/33/97/750793397.db2.gz NSSLTNVNCSJXBP-SOUVJXGZSA-N 1 2 312.369 1.135 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N(C)C)cc1F ZINC001032443620 750853956 /nfs/dbraw/zinc/85/39/56/750853956.db2.gz ABJNHLOIDUUZMS-KBPBESRZSA-N 1 2 301.365 1.424 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N(C)C)cc1F ZINC001032443620 750853962 /nfs/dbraw/zinc/85/39/62/750853962.db2.gz ABJNHLOIDUUZMS-KBPBESRZSA-N 1 2 301.365 1.424 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213320551 750926485 /nfs/dbraw/zinc/92/64/85/750926485.db2.gz ZNSVFEKEHLWLPZ-APHBMKBZSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213320551 750926487 /nfs/dbraw/zinc/92/64/87/750926487.db2.gz ZNSVFEKEHLWLPZ-APHBMKBZSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCF ZINC001114706569 751008829 /nfs/dbraw/zinc/00/88/29/751008829.db2.gz XEZIZYNLXJIUIW-IAZYJMLFSA-N 1 2 305.191 1.691 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCF ZINC001114706569 751008834 /nfs/dbraw/zinc/00/88/34/751008834.db2.gz XEZIZYNLXJIUIW-IAZYJMLFSA-N 1 2 305.191 1.691 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)C(C)C ZINC001114708742 751012730 /nfs/dbraw/zinc/01/27/30/751012730.db2.gz DGFQJTCPYAQJQO-KXNHARMFSA-N 1 2 319.218 1.936 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)C(C)C ZINC001114708742 751012738 /nfs/dbraw/zinc/01/27/38/751012738.db2.gz DGFQJTCPYAQJQO-KXNHARMFSA-N 1 2 319.218 1.936 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114791703 751083206 /nfs/dbraw/zinc/08/32/06/751083206.db2.gz JGXIAYWGKYLXHX-PZNPJNSTSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114791703 751083212 /nfs/dbraw/zinc/08/32/12/751083212.db2.gz JGXIAYWGKYLXHX-PZNPJNSTSA-N 1 2 318.421 1.781 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(-c2ccccc2)c1 ZINC001032505960 751111090 /nfs/dbraw/zinc/11/10/90/751111090.db2.gz SHGKKBURPXQFPC-ROUUACIJSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(-c2ccccc2)c1 ZINC001032505960 751111093 /nfs/dbraw/zinc/11/10/93/751111093.db2.gz SHGKKBURPXQFPC-ROUUACIJSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)c2ccc(CC)cc2)[C@@H](O)C1 ZINC001083866337 751116538 /nfs/dbraw/zinc/11/65/38/751116538.db2.gz QXTGJDJDGYVNPQ-XKQJLSEDSA-N 1 2 300.402 1.147 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)c2ccc(CC)cc2)[C@@H](O)C1 ZINC001083866337 751116542 /nfs/dbraw/zinc/11/65/42/751116542.db2.gz QXTGJDJDGYVNPQ-XKQJLSEDSA-N 1 2 300.402 1.147 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@]2(C)CC=CCC2)C1 ZINC001107967985 751135186 /nfs/dbraw/zinc/13/51/86/751135186.db2.gz ZMIIUHNZBONDAV-QZTJIDSGSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@]2(C)CC=CCC2)C1 ZINC001107967985 751135188 /nfs/dbraw/zinc/13/51/88/751135188.db2.gz ZMIIUHNZBONDAV-QZTJIDSGSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001007852358 752392250 /nfs/dbraw/zinc/39/22/50/752392250.db2.gz RJUZENFUJQIGGW-AWEZNQCLSA-N 1 2 323.396 1.746 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001007852358 752392255 /nfs/dbraw/zinc/39/22/55/752392255.db2.gz RJUZENFUJQIGGW-AWEZNQCLSA-N 1 2 323.396 1.746 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ncoc2[C@H]2CCCO2)C1 ZINC001008191615 752599106 /nfs/dbraw/zinc/59/91/06/752599106.db2.gz VIKQZQDLMDCHKK-QWHCGFSZSA-N 1 2 303.362 1.354 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ncoc2[C@H]2CCCO2)C1 ZINC001008191615 752599109 /nfs/dbraw/zinc/59/91/09/752599109.db2.gz VIKQZQDLMDCHKK-QWHCGFSZSA-N 1 2 303.362 1.354 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)C(C)(C)C)CC2 ZINC001128059528 752723931 /nfs/dbraw/zinc/72/39/31/752723931.db2.gz QGPWXARHHUYXPP-CYBMUJFWSA-N 1 2 317.437 1.258 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H](N(C)c3cc[nH+]c(C)n3)C2)nc1 ZINC001056944174 762152609 /nfs/dbraw/zinc/15/26/09/762152609.db2.gz OYMYPDJPGGJAEL-HNNXBMFYSA-N 1 2 321.384 1.512 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CCC[N@@H+](Cc3cnc(C)o3)C2)c1 ZINC001008470728 752749616 /nfs/dbraw/zinc/74/96/16/752749616.db2.gz WHNWENDACKDNIM-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CCC[N@H+](Cc3cnc(C)o3)C2)c1 ZINC001008470728 752749623 /nfs/dbraw/zinc/74/96/23/752749623.db2.gz WHNWENDACKDNIM-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO CN(C[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1)c1ncccc1C#N ZINC001062129356 752791103 /nfs/dbraw/zinc/79/11/03/752791103.db2.gz MMRQHYFWAHLERF-AWEZNQCLSA-N 1 2 324.388 1.135 20 30 DDEDLO C=C(C)CCC(=O)N1CCCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001062244871 752844279 /nfs/dbraw/zinc/84/42/79/752844279.db2.gz ZXCIBLFBEQNESW-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001009365076 753169908 /nfs/dbraw/zinc/16/99/08/753169908.db2.gz UIPMEQATPURTSE-KBPBESRZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001009365076 753169911 /nfs/dbraw/zinc/16/99/11/753169911.db2.gz UIPMEQATPURTSE-KBPBESRZSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc3ccsc32)C1 ZINC001077951942 753490527 /nfs/dbraw/zinc/49/05/27/753490527.db2.gz LLAXTDPJUXPOTL-ZIAGYGMSSA-N 1 2 300.383 1.309 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc3ccsc32)C1 ZINC001077951942 753490529 /nfs/dbraw/zinc/49/05/29/753490529.db2.gz LLAXTDPJUXPOTL-ZIAGYGMSSA-N 1 2 300.383 1.309 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2[nH]c(=O)[nH]c2C)CC1 ZINC001000809542 762220002 /nfs/dbraw/zinc/22/00/02/762220002.db2.gz VPPVLRQJXYTTKA-UHFFFAOYSA-N 1 2 310.785 1.538 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2[nH]c(=O)[nH]c2C)CC1 ZINC001000809542 762220010 /nfs/dbraw/zinc/22/00/10/762220010.db2.gz VPPVLRQJXYTTKA-UHFFFAOYSA-N 1 2 310.785 1.538 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)[C@H]1CCCO1 ZINC001010059177 753580509 /nfs/dbraw/zinc/58/05/09/753580509.db2.gz MPDSEOXALHIYGD-IAGOWNOFSA-N 1 2 316.376 1.547 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)[C@H]1CCCO1 ZINC001010059177 753580518 /nfs/dbraw/zinc/58/05/18/753580518.db2.gz MPDSEOXALHIYGD-IAGOWNOFSA-N 1 2 316.376 1.547 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc3n(c2)CCCC3)C1 ZINC001108448899 762235128 /nfs/dbraw/zinc/23/51/28/762235128.db2.gz DXJVBLWDYJAGCT-SFHVURJKSA-N 1 2 317.433 1.831 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc3n(c2)CCCC3)C1 ZINC001108448899 762235136 /nfs/dbraw/zinc/23/51/36/762235136.db2.gz DXJVBLWDYJAGCT-SFHVURJKSA-N 1 2 317.433 1.831 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(C(C)C)nc2C)C1 ZINC001078171928 753819836 /nfs/dbraw/zinc/81/98/36/753819836.db2.gz DLPXECHBVLBQSF-VXGBXAGGSA-N 1 2 309.435 1.536 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(C(C)C)nc2C)C1 ZINC001078171928 753819838 /nfs/dbraw/zinc/81/98/38/753819838.db2.gz DLPXECHBVLBQSF-VXGBXAGGSA-N 1 2 309.435 1.536 20 30 DDEDLO N#Cc1ccc(N[C@H]2CC[C@H](NC(=O)Cn3cc[nH+]c3)CC2)nc1 ZINC001063376399 754124314 /nfs/dbraw/zinc/12/43/14/754124314.db2.gz DZBLHLFNUVSADC-SHTZXODSSA-N 1 2 324.388 1.689 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1C[C@@H](NC(=O)c2[nH]ncc2F)C12CCC2 ZINC001078718729 754339964 /nfs/dbraw/zinc/33/99/64/754339964.db2.gz UNQQJZQOVFPOIZ-GHMZBOCLSA-N 1 2 312.776 1.932 20 30 DDEDLO O=C(C#CC1CC1)N1CCN(C(=O)c2cccc3[nH+]ccn32)CC1 ZINC001011111524 754360293 /nfs/dbraw/zinc/36/02/93/754360293.db2.gz NIZMXBSGMZBYEM-UHFFFAOYSA-N 1 2 322.368 1.032 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001061017683 754457016 /nfs/dbraw/zinc/45/70/16/754457016.db2.gz WTTJUPRQGKQLQQ-AWEZNQCLSA-N 1 2 324.388 1.570 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001061017683 754457021 /nfs/dbraw/zinc/45/70/21/754457021.db2.gz WTTJUPRQGKQLQQ-AWEZNQCLSA-N 1 2 324.388 1.570 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(F)c2Cl)[C@@H](O)C1 ZINC001083809439 754522018 /nfs/dbraw/zinc/52/20/18/754522018.db2.gz LKLUYRCDVVQXTD-OLZOCXBDSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(F)c2Cl)[C@@H](O)C1 ZINC001083809439 754522020 /nfs/dbraw/zinc/52/20/20/754522020.db2.gz LKLUYRCDVVQXTD-OLZOCXBDSA-N 1 2 310.756 1.277 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3sccc3c2)[C@@H](O)C1 ZINC001083907452 754767201 /nfs/dbraw/zinc/76/72/01/754767201.db2.gz VGNODDFDIGOSIH-KGLIPLIRSA-N 1 2 300.383 1.309 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3sccc3c2)[C@@H](O)C1 ZINC001083907452 754767205 /nfs/dbraw/zinc/76/72/05/754767205.db2.gz VGNODDFDIGOSIH-KGLIPLIRSA-N 1 2 300.383 1.309 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc(CC)n2)[C@H](O)C1 ZINC001090327044 754939863 /nfs/dbraw/zinc/93/98/63/754939863.db2.gz OFFLSOKMPARWRJ-UKRRQHHQSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(CC)n2)[C@H](O)C1 ZINC001090327044 754939865 /nfs/dbraw/zinc/93/98/65/754939865.db2.gz OFFLSOKMPARWRJ-UKRRQHHQSA-N 1 2 323.824 1.562 20 30 DDEDLO Cc1nc(N2CCC(C)(NC(=O)c3ccc(C#N)[nH]3)CC2)cc[nH+]1 ZINC001065071143 755060375 /nfs/dbraw/zinc/06/03/75/755060375.db2.gz YFGBBBMBOUCNQH-UHFFFAOYSA-N 1 2 324.388 1.774 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1NC(=O)C(F)F ZINC001079330487 755227236 /nfs/dbraw/zinc/22/72/36/755227236.db2.gz HTVAXIUMLKXGFU-NOZJJQNGSA-N 1 2 311.307 1.899 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1NC(=O)C(F)F ZINC001079330487 755227237 /nfs/dbraw/zinc/22/72/37/755227237.db2.gz HTVAXIUMLKXGFU-NOZJJQNGSA-N 1 2 311.307 1.899 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCOCC2)C1 ZINC001079639873 755509123 /nfs/dbraw/zinc/50/91/23/755509123.db2.gz VSXGQNHOCACOEE-MRVWCRGKSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCOCC2)C1 ZINC001079639873 755509126 /nfs/dbraw/zinc/50/91/26/755509126.db2.gz VSXGQNHOCACOEE-MRVWCRGKSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(C)CCC(=O)N(CC)C1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001079876896 755616863 /nfs/dbraw/zinc/61/68/63/755616863.db2.gz ZSPXBTLVQSEKKU-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(CC)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001079965078 755657409 /nfs/dbraw/zinc/65/74/09/755657409.db2.gz VNBHUNAGCQALLX-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(CC)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001079965078 755657412 /nfs/dbraw/zinc/65/74/12/755657412.db2.gz VNBHUNAGCQALLX-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1c[nH+]cn1C ZINC001040154653 762412194 /nfs/dbraw/zinc/41/21/94/762412194.db2.gz CCCRGTVEVZMMGD-LRDDRELGSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1cn(C)nc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001014391585 755713671 /nfs/dbraw/zinc/71/36/71/755713671.db2.gz CSKMGYDZEDBDPL-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cn(C)nc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001014391585 755713673 /nfs/dbraw/zinc/71/36/73/755713673.db2.gz CSKMGYDZEDBDPL-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]2C)c1 ZINC001080408872 755900171 /nfs/dbraw/zinc/90/01/71/755900171.db2.gz RWZSIJORHWOUFS-MLGOLLRUSA-N 1 2 324.384 1.610 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]2C)c1 ZINC001080408872 755900174 /nfs/dbraw/zinc/90/01/74/755900174.db2.gz RWZSIJORHWOUFS-MLGOLLRUSA-N 1 2 324.384 1.610 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001080611747 755999725 /nfs/dbraw/zinc/99/97/25/755999725.db2.gz XZTDSAOLQJWWPM-ZWNOBZJWSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001080611747 755999729 /nfs/dbraw/zinc/99/97/29/755999729.db2.gz XZTDSAOLQJWWPM-ZWNOBZJWSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001080745402 756077230 /nfs/dbraw/zinc/07/72/30/756077230.db2.gz DNNHHRBEHQETTB-DMDPSCGWSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001080745402 756077236 /nfs/dbraw/zinc/07/72/36/756077236.db2.gz DNNHHRBEHQETTB-DMDPSCGWSA-N 1 2 313.829 1.044 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1NC(=O)C1CC1 ZINC001081257326 756254530 /nfs/dbraw/zinc/25/45/30/756254530.db2.gz KCCCWOKYQKIERW-HZPDHXFCSA-N 1 2 317.364 1.423 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1NC(=O)C1CC1 ZINC001081257326 756254532 /nfs/dbraw/zinc/25/45/32/756254532.db2.gz KCCCWOKYQKIERW-HZPDHXFCSA-N 1 2 317.364 1.423 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2cnc(CC3CC3)s2)C1 ZINC001015388951 756277506 /nfs/dbraw/zinc/27/75/06/756277506.db2.gz SOYOKQZHVLNING-ZDUSSCGKSA-N 1 2 303.431 1.923 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2cnc(CC3CC3)s2)C1 ZINC001015388951 756277512 /nfs/dbraw/zinc/27/75/12/756277512.db2.gz SOYOKQZHVLNING-ZDUSSCGKSA-N 1 2 303.431 1.923 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001015456020 756312618 /nfs/dbraw/zinc/31/26/18/756312618.db2.gz COJQTJDCWIHZLV-LLVKDONJSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001015456020 756312624 /nfs/dbraw/zinc/31/26/24/756312624.db2.gz COJQTJDCWIHZLV-LLVKDONJSA-N 1 2 315.402 1.656 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cscc2C(F)F)[C@H](OC)C1 ZINC001081680784 756426486 /nfs/dbraw/zinc/42/64/86/756426486.db2.gz KJGJQBWBVJBSQE-VXGBXAGGSA-N 1 2 314.357 1.748 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cscc2C(F)F)[C@H](OC)C1 ZINC001081680784 756426491 /nfs/dbraw/zinc/42/64/91/756426491.db2.gz KJGJQBWBVJBSQE-VXGBXAGGSA-N 1 2 314.357 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)[C@H](OC)C1 ZINC001081996738 756580576 /nfs/dbraw/zinc/58/05/76/756580576.db2.gz WNITYFDALBVJCP-IAGOWNOFSA-N 1 2 311.385 1.539 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)[C@H](OC)C1 ZINC001081996738 756580578 /nfs/dbraw/zinc/58/05/78/756580578.db2.gz WNITYFDALBVJCP-IAGOWNOFSA-N 1 2 311.385 1.539 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015862621 756597465 /nfs/dbraw/zinc/59/74/65/756597465.db2.gz OGUBYMAKFKRYFT-QGZVFWFLSA-N 1 2 322.412 1.882 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015862621 756597467 /nfs/dbraw/zinc/59/74/67/756597467.db2.gz OGUBYMAKFKRYFT-QGZVFWFLSA-N 1 2 322.412 1.882 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2ccncn2)C1 ZINC001015877973 756612327 /nfs/dbraw/zinc/61/23/27/756612327.db2.gz QDQHWPYNXNLVOD-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2ccncn2)C1 ZINC001015877973 756612329 /nfs/dbraw/zinc/61/23/29/756612329.db2.gz QDQHWPYNXNLVOD-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3ccccc3n2C)[C@H](OC)C1 ZINC001082077159 756614070 /nfs/dbraw/zinc/61/40/70/756614070.db2.gz UBXSETWPZPHXRF-RHSMWYFYSA-N 1 2 311.385 1.241 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3ccccc3n2C)[C@H](OC)C1 ZINC001082077159 756614073 /nfs/dbraw/zinc/61/40/73/756614073.db2.gz UBXSETWPZPHXRF-RHSMWYFYSA-N 1 2 311.385 1.241 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cc(C)no3)C[C@H]2OC)C1 ZINC001082103115 756642201 /nfs/dbraw/zinc/64/22/01/756642201.db2.gz VFTRPQUCVUTUKQ-HUUCEWRRSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cc(C)no3)C[C@H]2OC)C1 ZINC001082103115 756642204 /nfs/dbraw/zinc/64/22/04/756642204.db2.gz VFTRPQUCVUTUKQ-HUUCEWRRSA-N 1 2 319.405 1.655 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cc(C)ncn3)C2)cn1 ZINC001016028658 756731306 /nfs/dbraw/zinc/73/13/06/756731306.db2.gz AGVXSOQDCZXQLM-MRXNPFEDSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cc(C)ncn3)C2)cn1 ZINC001016028658 756731311 /nfs/dbraw/zinc/73/13/11/756731311.db2.gz AGVXSOQDCZXQLM-MRXNPFEDSA-N 1 2 321.384 1.166 20 30 DDEDLO C[C@H](CC(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)nc2)C1)n1cc[nH+]c1 ZINC001097254802 757020028 /nfs/dbraw/zinc/02/00/28/757020028.db2.gz VOBIYVYONMKNRD-WQVCFCJDSA-N 1 2 324.388 1.860 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CCCC(C)C)CC2=O)C1 ZINC001108576967 762726232 /nfs/dbraw/zinc/72/62/32/762726232.db2.gz HNYRIULRNMSBFP-AWEZNQCLSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)C)on3)[C@H]2C1 ZINC001083155359 757159257 /nfs/dbraw/zinc/15/92/57/757159257.db2.gz QAUPRGSNUZEPEM-DZGCQCFKSA-N 1 2 305.378 1.509 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)C)on3)[C@H]2C1 ZINC001083155359 757159262 /nfs/dbraw/zinc/15/92/62/757159262.db2.gz QAUPRGSNUZEPEM-DZGCQCFKSA-N 1 2 305.378 1.509 20 30 DDEDLO N#Cc1cccnc1N1CCCC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001097429585 757178264 /nfs/dbraw/zinc/17/82/64/757178264.db2.gz RRLYLTIWIDVCOH-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(Cl)cccc2Cl)[C@@H](O)C1 ZINC001083990824 757212530 /nfs/dbraw/zinc/21/25/30/757212530.db2.gz LXFYNRMMHBSNAN-NEPJUHHUSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(Cl)cccc2Cl)[C@@H](O)C1 ZINC001083990824 757212535 /nfs/dbraw/zinc/21/25/35/757212535.db2.gz LXFYNRMMHBSNAN-NEPJUHHUSA-N 1 2 313.184 1.402 20 30 DDEDLO Cc1nc(N2CCC[C@@H]([C@@H](C)NC(=O)C#CC3CC3)C2)cc[nH+]1 ZINC001097528911 757239902 /nfs/dbraw/zinc/23/99/02/757239902.db2.gz GRLDAZDFOHCSOY-CZUORRHYSA-N 1 2 312.417 1.920 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(CC)c(CCC)s2)[C@@H](O)C1 ZINC001084045820 757259090 /nfs/dbraw/zinc/25/90/90/757259090.db2.gz GSLFTRQRKCGICG-KGLIPLIRSA-N 1 2 320.458 1.671 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(CC)c(CCC)s2)[C@@H](O)C1 ZINC001084045820 757259096 /nfs/dbraw/zinc/25/90/96/757259096.db2.gz GSLFTRQRKCGICG-KGLIPLIRSA-N 1 2 320.458 1.671 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2cnc(C(C)(C)C)s2)[C@@H](O)C1 ZINC001084094953 757281193 /nfs/dbraw/zinc/28/11/93/757281193.db2.gz MGFHLTMSWPFURL-NEPJUHHUSA-N 1 2 323.462 1.792 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2cnc(C(C)(C)C)s2)[C@@H](O)C1 ZINC001084094953 757281197 /nfs/dbraw/zinc/28/11/97/757281197.db2.gz MGFHLTMSWPFURL-NEPJUHHUSA-N 1 2 323.462 1.792 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCC(=O)N1C)C2 ZINC001097698573 757381346 /nfs/dbraw/zinc/38/13/46/757381346.db2.gz HIINCMYJDLRFMM-FVCCEPFGSA-N 1 2 311.813 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCC(=O)N1C)C2 ZINC001097698573 757381357 /nfs/dbraw/zinc/38/13/57/757381357.db2.gz HIINCMYJDLRFMM-FVCCEPFGSA-N 1 2 311.813 1.081 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc(C)n1C)C2 ZINC001097721267 757415614 /nfs/dbraw/zinc/41/56/14/757415614.db2.gz MLDJTEIPMKUASD-UPJWGTAASA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc(C)n1C)C2 ZINC001097721267 757415620 /nfs/dbraw/zinc/41/56/20/757415620.db2.gz MLDJTEIPMKUASD-UPJWGTAASA-N 1 2 308.813 1.816 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCc4c[nH]nc43)[C@@H]2C1 ZINC001084346505 757504619 /nfs/dbraw/zinc/50/46/19/757504619.db2.gz NKIXQDQOCYRWDA-BZUAXINKSA-N 1 2 312.417 1.386 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCc4c[nH]nc43)[C@@H]2C1 ZINC001084346505 757504625 /nfs/dbraw/zinc/50/46/25/757504625.db2.gz NKIXQDQOCYRWDA-BZUAXINKSA-N 1 2 312.417 1.386 20 30 DDEDLO Cc1nc(N[C@@H](C)CNC(=O)CCc2[nH]cc[nH+]2)ccc1C#N ZINC001097793121 757528513 /nfs/dbraw/zinc/52/85/13/757528513.db2.gz WMJJHJUOYGDOEV-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(CCC)[nH]n3)[C@@H]2C1 ZINC001084565442 757666881 /nfs/dbraw/zinc/66/68/81/757666881.db2.gz FBPWTRNOKATZHG-CZUORRHYSA-N 1 2 300.406 1.532 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(CCC)[nH]n3)[C@@H]2C1 ZINC001084565442 757666885 /nfs/dbraw/zinc/66/68/85/757666885.db2.gz FBPWTRNOKATZHG-CZUORRHYSA-N 1 2 300.406 1.532 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001017178937 757693246 /nfs/dbraw/zinc/69/32/46/757693246.db2.gz CSDURKHRSZINIU-AWEZNQCLSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001017178937 757693254 /nfs/dbraw/zinc/69/32/54/757693254.db2.gz CSDURKHRSZINIU-AWEZNQCLSA-N 1 2 319.453 1.698 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099826167 757895036 /nfs/dbraw/zinc/89/50/36/757895036.db2.gz HBWJOVORCUZICR-VXGBXAGGSA-N 1 2 317.216 1.270 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1CC[N@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099826167 757895044 /nfs/dbraw/zinc/89/50/44/757895044.db2.gz HBWJOVORCUZICR-VXGBXAGGSA-N 1 2 317.216 1.270 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C(C)(C)C)nn1 ZINC001017608712 758077426 /nfs/dbraw/zinc/07/74/26/758077426.db2.gz QOYTUBDHTQAVAN-BETUJISGSA-N 1 2 303.410 1.508 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C(C)(C)C)nn1 ZINC001017608712 758077439 /nfs/dbraw/zinc/07/74/39/758077439.db2.gz QOYTUBDHTQAVAN-BETUJISGSA-N 1 2 303.410 1.508 20 30 DDEDLO N#CCN1CCC[C@H]([C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001053044230 758104030 /nfs/dbraw/zinc/10/40/30/758104030.db2.gz NVAIJLSTSVQVNT-GJZGRUSLSA-N 1 2 315.421 1.426 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1nc(C)cc1C ZINC001017719504 758180614 /nfs/dbraw/zinc/18/06/14/758180614.db2.gz DSLSRFTURDXRBE-IYBDPMFKSA-N 1 2 300.406 1.198 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1nc(C)cc1C ZINC001017719504 758180618 /nfs/dbraw/zinc/18/06/18/758180618.db2.gz DSLSRFTURDXRBE-IYBDPMFKSA-N 1 2 300.406 1.198 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cncc(CC)c1)CCO2 ZINC001053341739 758387755 /nfs/dbraw/zinc/38/77/55/758387755.db2.gz HTAZRNHBMVESMV-UHFFFAOYSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(CCC)on1)CCO2 ZINC001053491415 758498285 /nfs/dbraw/zinc/49/82/85/758498285.db2.gz HXTZRVNZXWGOQK-UHFFFAOYSA-N 1 2 319.405 1.730 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cccc(OC)n1)CCO2 ZINC001053495484 758500838 /nfs/dbraw/zinc/50/08/38/758500838.db2.gz NYASDCMXCPUPAU-UHFFFAOYSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCn1cc(C)cn1)CCO2 ZINC001053541977 758547260 /nfs/dbraw/zinc/54/72/60/758547260.db2.gz IYRHUDIQHMBBNO-UHFFFAOYSA-N 1 2 318.421 1.071 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2ccnn2)cc1 ZINC001018166153 758594414 /nfs/dbraw/zinc/59/44/14/758594414.db2.gz FBYYCKYUXSUUQG-CALCHBBNSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2ccnn2)cc1 ZINC001018166153 758594421 /nfs/dbraw/zinc/59/44/21/758594421.db2.gz FBYYCKYUXSUUQG-CALCHBBNSA-N 1 2 321.384 1.189 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cncc(F)c1)O2 ZINC001053587374 758602740 /nfs/dbraw/zinc/60/27/40/758602740.db2.gz BGOSHLXWEZLQFW-AWEZNQCLSA-N 1 2 305.353 1.370 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncccc1C)O2 ZINC001053589792 758606219 /nfs/dbraw/zinc/60/62/19/758606219.db2.gz RAIFVTSISCXUJR-OAHLLOKOSA-N 1 2 315.417 1.929 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncc(F)cc1F)O2 ZINC001053615428 758634011 /nfs/dbraw/zinc/63/40/11/758634011.db2.gz LRVZQPTWTJIIMJ-GFCCVEGCSA-N 1 2 323.343 1.509 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@]13CCC[C@H]1OCC3)O2 ZINC001053628639 758646642 /nfs/dbraw/zinc/64/66/42/758646642.db2.gz UFAOEZXYMALRLS-RKVPGOIHSA-N 1 2 320.433 1.481 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(C)[nH]c1=O)O2 ZINC001053667720 758679761 /nfs/dbraw/zinc/67/97/61/758679761.db2.gz CHXFYMPAMHQRAG-CYBMUJFWSA-N 1 2 317.389 1.245 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CC(=O)N(C2C[NH+](CC=C)C2)C1 ZINC001108548768 762651058 /nfs/dbraw/zinc/65/10/58/762651058.db2.gz WBNBTQIBMFBJAI-HNNXBMFYSA-N 1 2 317.433 1.342 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](OC)c1ccc(F)cc1 ZINC001018327814 758733139 /nfs/dbraw/zinc/73/31/39/758733139.db2.gz XPEJPJFQXWZXHP-BBWFWOEESA-N 1 2 316.376 1.822 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](OC)c1ccc(F)cc1 ZINC001018327814 758733147 /nfs/dbraw/zinc/73/31/47/758733147.db2.gz XPEJPJFQXWZXHP-BBWFWOEESA-N 1 2 316.376 1.822 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1onc(C)c1C)CO2 ZINC001053838995 758868403 /nfs/dbraw/zinc/86/84/03/758868403.db2.gz SHRXBWYYCOTEFE-ZDUSSCGKSA-N 1 2 305.378 1.441 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]c3ccccc13)CO2 ZINC001053863748 758896370 /nfs/dbraw/zinc/89/63/70/758896370.db2.gz QMOFHGTZBJATQY-AWEZNQCLSA-N 1 2 323.396 1.764 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1OC[C@@H]3CCC[C@@H]31)CO2 ZINC001053873570 758908264 /nfs/dbraw/zinc/90/82/64/758908264.db2.gz BUNWFWFPMIYDPE-XUWVNRHRSA-N 1 2 320.433 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CCO[C@H]1C1CC1)CO2 ZINC001053875697 758910513 /nfs/dbraw/zinc/91/05/13/758910513.db2.gz JZCXZKZTFCDPGC-XHSDSOJGSA-N 1 2 320.433 1.337 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc[nH]c1C(C)C)CO2 ZINC001053901685 758933273 /nfs/dbraw/zinc/93/32/73/758933273.db2.gz MHSJHXHKHCUMEI-CQSZACIVSA-N 1 2 315.417 1.735 20 30 DDEDLO C[C@@H](CNC(=O)Cn1cc[nH+]c1)Nc1nc(C#N)c(Cl)s1 ZINC001097922259 759015374 /nfs/dbraw/zinc/01/53/74/759015374.db2.gz UWTKUHWDLRBKJV-QMMMGPOBSA-N 1 2 324.797 1.481 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1(C)CC(F)(F)C1)CO2 ZINC001053979012 759017146 /nfs/dbraw/zinc/01/71/46/759017146.db2.gz FAGPANSJKINGHJ-LBPRGKRZSA-N 1 2 312.360 1.405 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)cc(C)c1)CO2 ZINC001053992219 759038626 /nfs/dbraw/zinc/03/86/26/759038626.db2.gz LKHUZJBUKRZOTE-QGZVFWFLSA-N 1 2 312.413 1.900 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C)nn1C ZINC001054034844 759089517 /nfs/dbraw/zinc/08/95/17/759089517.db2.gz AWGMJNMEJUVCEF-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C)nn1C ZINC001054034844 759089527 /nfs/dbraw/zinc/08/95/27/759089527.db2.gz AWGMJNMEJUVCEF-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C=CCC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nc[nH]n1 ZINC001054056918 759110371 /nfs/dbraw/zinc/11/03/71/759110371.db2.gz WRTZSVTUFIZJLN-OAHLLOKOSA-N 1 2 311.389 1.538 20 30 DDEDLO C=CCC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nc[nH]n1 ZINC001054056918 759110373 /nfs/dbraw/zinc/11/03/73/759110373.db2.gz WRTZSVTUFIZJLN-OAHLLOKOSA-N 1 2 311.389 1.538 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(OC)no3)cc2C1 ZINC001054261583 759361651 /nfs/dbraw/zinc/36/16/51/759361651.db2.gz KAMHWAOWEQAEMF-UHFFFAOYSA-N 1 2 311.341 1.562 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(OC)no3)cc2C1 ZINC001054261583 759361663 /nfs/dbraw/zinc/36/16/63/759361663.db2.gz KAMHWAOWEQAEMF-UHFFFAOYSA-N 1 2 311.341 1.562 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccc(C)nn3)cc2C1 ZINC001054263463 759367231 /nfs/dbraw/zinc/36/72/31/759367231.db2.gz ORHBKHDSROMDQN-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccc(C)nn3)cc2C1 ZINC001054263463 759367242 /nfs/dbraw/zinc/36/72/42/759367242.db2.gz ORHBKHDSROMDQN-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccc(C(N)=O)o3)cc2C1 ZINC001054273250 759378458 /nfs/dbraw/zinc/37/84/58/759378458.db2.gz AHEPRTFSIYXVLK-UHFFFAOYSA-N 1 2 323.352 1.257 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccc(C(N)=O)o3)cc2C1 ZINC001054273250 759378469 /nfs/dbraw/zinc/37/84/69/759378469.db2.gz AHEPRTFSIYXVLK-UHFFFAOYSA-N 1 2 323.352 1.257 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)[C@H]1CCCO1 ZINC001085465986 759448023 /nfs/dbraw/zinc/44/80/23/759448023.db2.gz MIHYFYGUWNCCQT-QZTJIDSGSA-N 1 2 312.413 1.750 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)[C@H]1CCCO1 ZINC001085465986 759448028 /nfs/dbraw/zinc/44/80/28/759448028.db2.gz MIHYFYGUWNCCQT-QZTJIDSGSA-N 1 2 312.413 1.750 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](Nc2ccc(C#N)cn2)C[C@H]1C ZINC001069129452 767864795 /nfs/dbraw/zinc/86/47/95/767864795.db2.gz JAGKIBZVKMJOJQ-RISCZKNCSA-N 1 2 324.388 1.629 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2C[C@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001069136788 767871798 /nfs/dbraw/zinc/87/17/98/767871798.db2.gz ABVRQCZTWJJQAH-GXTWGEPZSA-N 1 2 324.388 1.629 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H]2CN(C)C(=O)c2cc(C#N)c[nH]2)o1 ZINC001085534650 759645841 /nfs/dbraw/zinc/64/58/41/759645841.db2.gz QAKCVBJBZXRWOO-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)c2cc(C#N)c[nH]2)o1 ZINC001085534650 759645845 /nfs/dbraw/zinc/64/58/45/759645845.db2.gz QAKCVBJBZXRWOO-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+]Cc3nnc(C)o3)C2)cc1 ZINC001019223294 759696066 /nfs/dbraw/zinc/69/60/66/759696066.db2.gz OKRQFFCQSNMSLT-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+][C@@H](C)c3nnc(C)o3)C2)cc1 ZINC001019224500 759697267 /nfs/dbraw/zinc/69/72/67/759697267.db2.gz UIYJIHJINXWGGC-LRDDRELGSA-N 1 2 324.384 1.925 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C(C)(C)C)nc1C ZINC001085589179 759800548 /nfs/dbraw/zinc/80/05/48/759800548.db2.gz GHVPONPVXUGGKF-CQSZACIVSA-N 1 2 302.422 1.726 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C(C)(C)C)nc1C ZINC001085589179 759800558 /nfs/dbraw/zinc/80/05/58/759800558.db2.gz GHVPONPVXUGGKF-CQSZACIVSA-N 1 2 302.422 1.726 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001066284489 759852259 /nfs/dbraw/zinc/85/22/59/759852259.db2.gz BCDFFISZFGGBFA-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OC)c(OC)c1C ZINC001085661851 759953051 /nfs/dbraw/zinc/95/30/51/759953051.db2.gz JZEOYCIRDWATHG-CQSZACIVSA-N 1 2 316.401 1.792 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OC)c(OC)c1C ZINC001085661851 759953053 /nfs/dbraw/zinc/95/30/53/759953053.db2.gz JZEOYCIRDWATHG-CQSZACIVSA-N 1 2 316.401 1.792 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c2c1CCCC2 ZINC001085692990 760043215 /nfs/dbraw/zinc/04/32/15/760043215.db2.gz VOTKPKUACYKFIB-ZDUSSCGKSA-N 1 2 300.406 1.458 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c2c1CCCC2 ZINC001085692990 760043223 /nfs/dbraw/zinc/04/32/23/760043223.db2.gz VOTKPKUACYKFIB-ZDUSSCGKSA-N 1 2 300.406 1.458 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncsc1C(F)(F)F ZINC001085718560 760101141 /nfs/dbraw/zinc/10/11/41/760101141.db2.gz UMEARJHKQMOVOP-VIFPVBQESA-N 1 2 317.336 1.941 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncsc1C(F)(F)F ZINC001085718560 760101145 /nfs/dbraw/zinc/10/11/45/760101145.db2.gz UMEARJHKQMOVOP-VIFPVBQESA-N 1 2 317.336 1.941 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cc[n+]([O-])cc2)c1 ZINC001038034949 760110127 /nfs/dbraw/zinc/11/01/27/760110127.db2.gz CZJPYCGHRKTPGZ-QGZVFWFLSA-N 1 2 322.368 1.196 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cc[n+]([O-])cc2)c1 ZINC001038034949 760110132 /nfs/dbraw/zinc/11/01/32/760110132.db2.gz CZJPYCGHRKTPGZ-QGZVFWFLSA-N 1 2 322.368 1.196 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3nc(C)no3)C2)cc1 ZINC001054961684 760236991 /nfs/dbraw/zinc/23/69/91/760236991.db2.gz JNNRXBJSCCEJLV-MLGOLLRUSA-N 1 2 324.384 1.610 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnccc1C(F)(F)F ZINC001085844029 760346702 /nfs/dbraw/zinc/34/67/02/760346702.db2.gz BUIWQSMJLNBXEV-NSHDSACASA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnccc1C(F)(F)F ZINC001085844029 760346710 /nfs/dbraw/zinc/34/67/10/760346710.db2.gz BUIWQSMJLNBXEV-NSHDSACASA-N 1 2 311.307 1.880 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1csc2cncn21 ZINC001085849801 760371582 /nfs/dbraw/zinc/37/15/82/760371582.db2.gz HHZUONDIVAZJJS-LBPRGKRZSA-N 1 2 302.403 1.565 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1csc2cncn21 ZINC001085849801 760371591 /nfs/dbraw/zinc/37/15/91/760371591.db2.gz HHZUONDIVAZJJS-LBPRGKRZSA-N 1 2 302.403 1.565 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2ccccn2)no1 ZINC001085895585 760481977 /nfs/dbraw/zinc/48/19/77/760481977.db2.gz GUFRJNFFGKYZBN-CQSZACIVSA-N 1 2 324.384 1.906 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2ccccn2)no1 ZINC001085895585 760481978 /nfs/dbraw/zinc/48/19/78/760481978.db2.gz GUFRJNFFGKYZBN-CQSZACIVSA-N 1 2 324.384 1.906 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(C)c1C(F)F ZINC001085918480 760528508 /nfs/dbraw/zinc/52/85/08/760528508.db2.gz FBJYTBLIYRBYOX-NSHDSACASA-N 1 2 310.348 1.527 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(C)c1C(F)F ZINC001085918480 760528513 /nfs/dbraw/zinc/52/85/13/760528513.db2.gz FBJYTBLIYRBYOX-NSHDSACASA-N 1 2 310.348 1.527 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(C(=O)N(C)C)c1 ZINC001085930996 760559226 /nfs/dbraw/zinc/55/92/26/760559226.db2.gz MJWFYRLCPYUFIM-INIZCTEOSA-N 1 2 313.401 1.168 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(C(=O)N(C)C)c1 ZINC001085930996 760559229 /nfs/dbraw/zinc/55/92/29/760559229.db2.gz MJWFYRLCPYUFIM-INIZCTEOSA-N 1 2 313.401 1.168 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(C)nc1C1CC1 ZINC001085953905 760604380 /nfs/dbraw/zinc/60/43/80/760604380.db2.gz MSLFXNQFPRPGSL-OAHLLOKOSA-N 1 2 312.417 1.832 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(C)nc1C1CC1 ZINC001085953905 760604386 /nfs/dbraw/zinc/60/43/86/760604386.db2.gz MSLFXNQFPRPGSL-OAHLLOKOSA-N 1 2 312.417 1.832 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001100020355 760723079 /nfs/dbraw/zinc/72/30/79/760723079.db2.gz NOLSTPCERBMXCC-UKRRQHHQSA-N 1 2 321.421 1.637 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001100020355 760723089 /nfs/dbraw/zinc/72/30/89/760723089.db2.gz NOLSTPCERBMXCC-UKRRQHHQSA-N 1 2 321.421 1.637 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C3CC3)n[nH]2)C1 ZINC001108236639 760808646 /nfs/dbraw/zinc/80/86/46/760808646.db2.gz RTHJYAPYZYPETG-INIZCTEOSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C3CC3)n[nH]2)C1 ZINC001108236639 760808652 /nfs/dbraw/zinc/80/86/52/760808652.db2.gz RTHJYAPYZYPETG-INIZCTEOSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)oc2nc[nH]c(=O)c21 ZINC001038344468 761030509 /nfs/dbraw/zinc/03/05/09/761030509.db2.gz HQKWFOFKPUSAES-JTQLQIEISA-N 1 2 302.334 1.227 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)oc2nc[nH]c(=O)c21 ZINC001038344468 761030522 /nfs/dbraw/zinc/03/05/22/761030522.db2.gz HQKWFOFKPUSAES-JTQLQIEISA-N 1 2 302.334 1.227 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CCN2C(=O)Cn1cc[nH+]c1 ZINC001056298328 761101565 /nfs/dbraw/zinc/10/15/65/761101565.db2.gz PXCBTVGFUZMCAE-CABCVRRESA-N 1 2 316.405 1.441 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001038424624 761105718 /nfs/dbraw/zinc/10/57/18/761105718.db2.gz SLUMBJLOHVOGNX-GXTWGEPZSA-N 1 2 308.813 1.389 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn(-c2ccccc2)nn1 ZINC001038446054 761124767 /nfs/dbraw/zinc/12/47/67/761124767.db2.gz NQSRQDMYJUKKRA-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn(-c2ccccc2)nn1 ZINC001038446054 761124771 /nfs/dbraw/zinc/12/47/71/761124771.db2.gz NQSRQDMYJUKKRA-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cncc(OC(C)C)c1 ZINC001038597322 761223104 /nfs/dbraw/zinc/22/31/04/761223104.db2.gz OBEYBXIWHHFTOM-OAHLLOKOSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cncc(OC(C)C)c1 ZINC001038597322 761223107 /nfs/dbraw/zinc/22/31/07/761223107.db2.gz OBEYBXIWHHFTOM-OAHLLOKOSA-N 1 2 301.390 1.696 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)C2CC3(CC3)C2)C1=O ZINC001038692716 761293393 /nfs/dbraw/zinc/29/33/93/761293393.db2.gz ZKDPJDRQKOMEIX-LSDHHAIUSA-N 1 2 317.433 1.154 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)C2CC3(CC3)C2)C1=O ZINC001038692716 761293399 /nfs/dbraw/zinc/29/33/99/761293399.db2.gz ZKDPJDRQKOMEIX-LSDHHAIUSA-N 1 2 317.433 1.154 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001038752982 761364202 /nfs/dbraw/zinc/36/42/02/761364202.db2.gz JNYXMAFTKGUZEQ-GOEBONIOSA-N 1 2 302.349 1.343 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001038752982 761364211 /nfs/dbraw/zinc/36/42/11/761364211.db2.gz JNYXMAFTKGUZEQ-GOEBONIOSA-N 1 2 302.349 1.343 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001069484048 768034609 /nfs/dbraw/zinc/03/46/09/768034609.db2.gz QOSLJTRYOLGQBJ-JSGCOSHPSA-N 1 2 324.388 1.616 20 30 DDEDLO CC1(C)CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1Nc1ncccc1C#N ZINC001069619441 768077414 /nfs/dbraw/zinc/07/74/14/768077414.db2.gz HCHWDROIJVYRDY-CQSZACIVSA-N 1 2 324.388 1.568 20 30 DDEDLO Cc1nsc(NC[C@H](C)NC(=O)CCn2cc[nH+]c2)c1C#N ZINC001108624597 762810250 /nfs/dbraw/zinc/81/02/50/762810250.db2.gz SPOKSZZDXXGPTI-JTQLQIEISA-N 1 2 318.406 1.527 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001041147627 762839471 /nfs/dbraw/zinc/83/94/71/762839471.db2.gz UECZZMHELZZELG-LRDDRELGSA-N 1 2 317.393 1.216 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001041147627 762839478 /nfs/dbraw/zinc/83/94/78/762839478.db2.gz UECZZMHELZZELG-LRDDRELGSA-N 1 2 317.393 1.216 20 30 DDEDLO N#CCN1CC[C@@]2(CCN(C(=O)c3cccc4[nH+]ccn43)C2)C1 ZINC001041470634 763055148 /nfs/dbraw/zinc/05/51/48/763055148.db2.gz NOFVOVJYZVDZHX-QGZVFWFLSA-N 1 2 309.373 1.396 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC(=O)NCC[N@@H+](CC(=C)C)CC1 ZINC001131378970 768104181 /nfs/dbraw/zinc/10/41/81/768104181.db2.gz NZOPFJRYHNODBH-CVEARBPZSA-N 1 2 321.465 1.671 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC(=O)NCC[N@H+](CC(=C)C)CC1 ZINC001131378970 768104183 /nfs/dbraw/zinc/10/41/83/768104183.db2.gz NZOPFJRYHNODBH-CVEARBPZSA-N 1 2 321.465 1.671 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncc(F)c1 ZINC001109080232 763317646 /nfs/dbraw/zinc/31/76/46/763317646.db2.gz ONDHFFSVBBOOOX-RABLLNBGSA-N 1 2 302.353 1.602 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncc(F)c1 ZINC001109080232 763317657 /nfs/dbraw/zinc/31/76/57/763317657.db2.gz ONDHFFSVBBOOOX-RABLLNBGSA-N 1 2 302.353 1.602 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001109102372 763332317 /nfs/dbraw/zinc/33/23/17/763332317.db2.gz RNDNVBYYSTXGBZ-FZMZJTMJSA-N 1 2 318.421 1.381 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1nocc1C ZINC001109213397 763463894 /nfs/dbraw/zinc/46/38/94/763463894.db2.gz QRBCNOVUHWBPDH-RPCCPQHDSA-N 1 2 319.405 1.532 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1nocc1C ZINC001109213397 763463899 /nfs/dbraw/zinc/46/38/99/763463899.db2.gz QRBCNOVUHWBPDH-RPCCPQHDSA-N 1 2 319.405 1.532 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109255645 763520053 /nfs/dbraw/zinc/52/00/53/763520053.db2.gz SUNXIIFQHCDTIO-PMPSAXMXSA-N 1 2 317.433 1.038 20 30 DDEDLO CCCCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109255645 763520058 /nfs/dbraw/zinc/52/00/58/763520058.db2.gz SUNXIIFQHCDTIO-PMPSAXMXSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3cc(-c4ccoc4)[nH]n3)C[C@@H]21 ZINC001042357179 763960454 /nfs/dbraw/zinc/96/04/54/763960454.db2.gz KDFDNPXSQGVQHJ-GUYCJALGSA-N 1 2 324.384 1.839 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3cc(-c4ccoc4)[nH]n3)C[C@@H]21 ZINC001042357179 763960460 /nfs/dbraw/zinc/96/04/60/763960460.db2.gz KDFDNPXSQGVQHJ-GUYCJALGSA-N 1 2 324.384 1.839 20 30 DDEDLO N#Cc1cnccc1NC[C@@H](NC(=O)CCc1[nH]cc[nH+]1)C1CC1 ZINC001109820556 764088695 /nfs/dbraw/zinc/08/86/95/764088695.db2.gz OGGKEBXSBJHTCW-OAHLLOKOSA-N 1 2 324.388 1.038 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)nc2)CC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067402356 764259008 /nfs/dbraw/zinc/25/90/08/764259008.db2.gz HJOUNLRURDOVHQ-CZUORRHYSA-N 1 2 324.388 1.181 20 30 DDEDLO CCCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001110058176 764349056 /nfs/dbraw/zinc/34/90/56/764349056.db2.gz YNNHVBDLGMSZBE-ILXRZTDVSA-N 1 2 320.437 1.318 20 30 DDEDLO CCCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001110058176 764349061 /nfs/dbraw/zinc/34/90/61/764349061.db2.gz YNNHVBDLGMSZBE-ILXRZTDVSA-N 1 2 320.437 1.318 20 30 DDEDLO C[C@H]1CN(c2ccc(C#N)nc2)CC[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067408207 764430601 /nfs/dbraw/zinc/43/06/01/764430601.db2.gz QHBJQBZERZKIFP-WFASDCNBSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001051036867 764441405 /nfs/dbraw/zinc/44/14/05/764441405.db2.gz PTCCFQNAEPKKNO-XHSDSOJGSA-N 1 2 308.422 1.195 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001051036867 764441409 /nfs/dbraw/zinc/44/14/09/764441409.db2.gz PTCCFQNAEPKKNO-XHSDSOJGSA-N 1 2 308.422 1.195 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1coc(OC)n1 ZINC001051046229 764448756 /nfs/dbraw/zinc/44/87/56/764448756.db2.gz JXXJJSSZQLHOKU-GFCCVEGCSA-N 1 2 309.366 1.080 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1coc(OC)n1 ZINC001051046229 764448759 /nfs/dbraw/zinc/44/87/59/764448759.db2.gz JXXJJSSZQLHOKU-GFCCVEGCSA-N 1 2 309.366 1.080 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1conc1C1CC1 ZINC001051108631 764512529 /nfs/dbraw/zinc/51/25/29/764512529.db2.gz XWIWUAUNGKAPHS-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1conc1C1CC1 ZINC001051108631 764512534 /nfs/dbraw/zinc/51/25/34/764512534.db2.gz XWIWUAUNGKAPHS-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)[nH]nc1C(C)C ZINC001051203202 764614664 /nfs/dbraw/zinc/61/46/64/764614664.db2.gz OUEGWMKOHSOTRL-AWEZNQCLSA-N 1 2 320.437 1.848 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)[nH]nc1C(C)C ZINC001051203202 764614671 /nfs/dbraw/zinc/61/46/71/764614671.db2.gz OUEGWMKOHSOTRL-AWEZNQCLSA-N 1 2 320.437 1.848 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)n[nH]c1C(C)C ZINC001051203202 764614676 /nfs/dbraw/zinc/61/46/76/764614676.db2.gz OUEGWMKOHSOTRL-AWEZNQCLSA-N 1 2 320.437 1.848 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)n[nH]c1C(C)C ZINC001051203202 764614683 /nfs/dbraw/zinc/61/46/83/764614683.db2.gz OUEGWMKOHSOTRL-AWEZNQCLSA-N 1 2 320.437 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)COCC)C[C@H](C)O2 ZINC001131640076 768233829 /nfs/dbraw/zinc/23/38/29/768233829.db2.gz YAGBLPDAFMMZTI-ZFWWWQNUSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)COCC)C[C@H](C)O2 ZINC001131640076 768233835 /nfs/dbraw/zinc/23/38/35/768233835.db2.gz YAGBLPDAFMMZTI-ZFWWWQNUSA-N 1 2 316.829 1.467 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCOC[C@@H]2CCCCO2)CC1 ZINC001112809973 764792484 /nfs/dbraw/zinc/79/24/84/764792484.db2.gz YKJYMXZIHOQMRP-KRWDZBQOSA-N 1 2 322.449 1.520 20 30 DDEDLO CC(C)C#CC(=O)N1CC[NH+](Cc2ccc(CO)cc2)CC1 ZINC001112833134 764826674 /nfs/dbraw/zinc/82/66/74/764826674.db2.gz IRRACTBWXFYHRP-UHFFFAOYSA-N 1 2 300.402 1.483 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2ccc(OC)cc2)CC1 ZINC001112844713 764857686 /nfs/dbraw/zinc/85/76/86/764857686.db2.gz CEFJAQILPAACCH-OAHLLOKOSA-N 1 2 316.401 1.378 20 30 DDEDLO C=C(C)CN1CC(N(C)C(=O)[C@@H]2COCC[N@@H+]2CC2CCC2)C1 ZINC001043475099 764924992 /nfs/dbraw/zinc/92/49/92/764924992.db2.gz WZUALQXXWCAEDE-KRWDZBQOSA-N 1 2 321.465 1.206 20 30 DDEDLO C=C(C)CN1CC(N(C)C(=O)[C@@H]2COCC[N@H+]2CC2CCC2)C1 ZINC001043475099 764924997 /nfs/dbraw/zinc/92/49/97/764924997.db2.gz WZUALQXXWCAEDE-KRWDZBQOSA-N 1 2 321.465 1.206 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn(C)nc2[C@@H]2CCOC2)C1 ZINC001043482332 764928890 /nfs/dbraw/zinc/92/88/90/764928890.db2.gz JLHWRCZENJKMRU-CYBMUJFWSA-N 1 2 318.421 1.256 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1Nc1ncccc1C#N ZINC001043580429 764987463 /nfs/dbraw/zinc/98/74/63/764987463.db2.gz UOUKQLDSSNCCTL-IUODEOHRSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1Nc1ncccc1C#N ZINC001043580429 764987467 /nfs/dbraw/zinc/98/74/67/764987467.db2.gz UOUKQLDSSNCCTL-IUODEOHRSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(OC)c(F)c2)CC1 ZINC001112985348 765086787 /nfs/dbraw/zinc/08/67/87/765086787.db2.gz GGBMFJAHJIXDCI-UHFFFAOYSA-N 1 2 322.380 1.795 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1nccnc1C#N ZINC001112993764 765104223 /nfs/dbraw/zinc/10/42/23/765104223.db2.gz MSHJNYUXBIDHKV-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H](C[NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001051755873 765128792 /nfs/dbraw/zinc/12/87/92/765128792.db2.gz LEJOPNUACHNEBO-AAEUAGOBSA-N 1 2 322.409 1.468 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCC(C)(C)C2)[C@@H](n2ccnn2)C1 ZINC001070017798 768279135 /nfs/dbraw/zinc/27/91/35/768279135.db2.gz BEWGMXRUDFIJNA-KFWWJZLASA-N 1 2 315.421 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCC(C)(C)C2)[C@@H](n2ccnn2)C1 ZINC001070017798 768279140 /nfs/dbraw/zinc/27/91/40/768279140.db2.gz BEWGMXRUDFIJNA-KFWWJZLASA-N 1 2 315.421 1.079 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)[C@H](C)Nc2cc[nH+]c(C)n2)cn1 ZINC001113103396 765261285 /nfs/dbraw/zinc/26/12/85/765261285.db2.gz KJDMEQNPIGACDE-RYUDHWBXSA-N 1 2 309.373 1.780 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(C)noc2C2CC2)CC1 ZINC001113113864 765286854 /nfs/dbraw/zinc/28/68/54/765286854.db2.gz PWGCBFJYMMHREC-UHFFFAOYSA-N 1 2 319.405 1.821 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C(CC)CC)C2)CC1 ZINC001051985421 765350586 /nfs/dbraw/zinc/35/05/86/765350586.db2.gz YFENUAABNXQWBD-KRWDZBQOSA-N 1 2 305.466 1.664 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H](C)C3CC3)C2)CC1 ZINC001052007073 765374448 /nfs/dbraw/zinc/37/44/48/765374448.db2.gz VGMSOXXQHZQMLE-RDJZCZTQSA-N 1 2 303.450 1.274 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cc(C)c(C)o3)C2)CC1 ZINC001052013294 765382614 /nfs/dbraw/zinc/38/26/14/765382614.db2.gz VCJMGWDITSJEBP-MRXNPFEDSA-N 1 2 317.433 1.915 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@]3(C)C=CCC3)C2)CC1 ZINC001052060245 765432871 /nfs/dbraw/zinc/43/28/71/765432871.db2.gz ANVZHCSNXOTCFI-MJGOQNOKSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3CCC3(C)C)C2)CC1 ZINC001052064328 765437361 /nfs/dbraw/zinc/43/73/61/765437361.db2.gz DDGKFZCGERDCQX-IRXDYDNUSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C)n(C(C)(C)C)n2)C1 ZINC001044301170 765466747 /nfs/dbraw/zinc/46/67/47/765466747.db2.gz BVXIJDBWUVDSIO-UHFFFAOYSA-N 1 2 302.422 1.726 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CCCN2CC(F)(F)F)C1 ZINC001044336160 765491887 /nfs/dbraw/zinc/49/18/87/765491887.db2.gz ZHCLXOXYAPGIQL-GFCCVEGCSA-N 1 2 305.344 1.342 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](CNC(=O)Cc2[nH]c[nH+]c2C)[C@H](C)C1 ZINC001052180901 765552900 /nfs/dbraw/zinc/55/29/00/765552900.db2.gz HQJTZYOAHNBPJZ-TZMCWYRMSA-N 1 2 318.421 1.438 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn(CCC)c2)CC1 ZINC001113389594 765643225 /nfs/dbraw/zinc/64/32/25/765643225.db2.gz JTKHEZGXFOPAFC-UHFFFAOYSA-N 1 2 306.410 1.254 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(C)nc2OC)CC1 ZINC001113409122 765669998 /nfs/dbraw/zinc/66/99/98/765669998.db2.gz UQKBJLHTKFPDDX-UHFFFAOYSA-N 1 2 319.405 1.359 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc3c([nH]2)CCCC3)CC1 ZINC001113500839 765770188 /nfs/dbraw/zinc/77/01/88/765770188.db2.gz NFOAXXMXOQEMST-UHFFFAOYSA-N 1 2 317.433 1.854 20 30 DDEDLO C=CCC[C@@H](C)[NH+]1CCN(C(=O)[C@@H](C)CS(C)(=O)=O)CC1 ZINC001113693800 766024302 /nfs/dbraw/zinc/02/43/02/766024302.db2.gz NMAWCQRZCNDPID-UONOGXRCSA-N 1 2 316.467 1.166 20 30 DDEDLO N#Cc1cnccc1N1CCC(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001057959439 766158787 /nfs/dbraw/zinc/15/87/87/766158787.db2.gz DJOSQFAGSPNTMH-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO CC1(NC(=O)c2cc(C#N)c[nH]2)CC[NH+](Cc2ncccn2)CC1 ZINC001045401022 766283555 /nfs/dbraw/zinc/28/35/55/766283555.db2.gz HZKMPVNOCAYGGW-UHFFFAOYSA-N 1 2 324.388 1.461 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)n3CC)C[C@H]21 ZINC001114005785 766524465 /nfs/dbraw/zinc/52/44/65/766524465.db2.gz VBGSJDNDPZSDHH-VIKVFOODSA-N 1 2 303.410 1.119 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)n3CC)C[C@H]21 ZINC001114005785 766524471 /nfs/dbraw/zinc/52/44/71/766524471.db2.gz VBGSJDNDPZSDHH-VIKVFOODSA-N 1 2 303.410 1.119 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ncccn1 ZINC001045775878 766576132 /nfs/dbraw/zinc/57/61/32/766576132.db2.gz VNUYXYMGIPGXNY-CVEARBPZSA-N 1 2 310.401 1.455 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1ncccn1 ZINC001045775878 766576139 /nfs/dbraw/zinc/57/61/39/766576139.db2.gz VNUYXYMGIPGXNY-CVEARBPZSA-N 1 2 310.401 1.455 20 30 DDEDLO CCCCc1noc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)n1 ZINC001114067604 766593693 /nfs/dbraw/zinc/59/36/93/766593693.db2.gz UKCFFJKAHUFULH-UUIJZJDISA-N 1 2 317.393 1.118 20 30 DDEDLO CCCCc1noc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)n1 ZINC001114067604 766593700 /nfs/dbraw/zinc/59/37/00/766593700.db2.gz UKCFFJKAHUFULH-UUIJZJDISA-N 1 2 317.393 1.118 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccc(C#N)cn1 ZINC001067550842 766610937 /nfs/dbraw/zinc/61/09/37/766610937.db2.gz YOZDHEKVJMWZBN-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCCC[C@]2(CCN(CC#N)C2)C1 ZINC001045980211 766757597 /nfs/dbraw/zinc/75/75/97/766757597.db2.gz UGCUODZPIWJSKZ-QGZVFWFLSA-N 1 2 315.421 1.191 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C[C@@H]3C=CCC3)CC2)C1 ZINC001046002030 766789268 /nfs/dbraw/zinc/78/92/68/766789268.db2.gz RASLGLVDPBPSCX-HZPDHXFCSA-N 1 2 302.422 1.085 20 30 DDEDLO CC(C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)=C1CCCC1 ZINC001046021475 766822519 /nfs/dbraw/zinc/82/25/19/766822519.db2.gz HZULFYQACKQZOA-QGZVFWFLSA-N 1 2 316.449 1.619 20 30 DDEDLO Cc1coc(C)c1C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046025737 766828694 /nfs/dbraw/zinc/82/86/94/766828694.db2.gz KMQSIRWVCHJHSO-OAHLLOKOSA-N 1 2 316.405 1.252 20 30 DDEDLO CCc1occc1C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046026311 766831937 /nfs/dbraw/zinc/83/19/37/766831937.db2.gz SHWLLJXIXZISKX-CQSZACIVSA-N 1 2 316.405 1.198 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)[C@@H]3Cc4ccccc43)CC2)C1 ZINC001046058668 766869431 /nfs/dbraw/zinc/86/94/31/766869431.db2.gz QGSSZAZTOCRRCV-SJLPKXTDSA-N 1 2 324.428 1.068 20 30 DDEDLO C[C@H](CC(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C1CC1 ZINC001046086185 766899477 /nfs/dbraw/zinc/89/94/77/766899477.db2.gz UEMHIAJWBPXAJL-GDBMZVCRSA-N 1 2 304.438 1.165 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H](C)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC001098058881 766979657 /nfs/dbraw/zinc/97/96/57/766979657.db2.gz FWQYSCPEGNJFOR-KBPBESRZSA-N 1 2 318.421 1.863 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001046361884 767468663 /nfs/dbraw/zinc/46/86/63/767468663.db2.gz LMRPSDVWCDGIFS-AWEZNQCLSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001046361884 767468666 /nfs/dbraw/zinc/46/86/66/767468666.db2.gz LMRPSDVWCDGIFS-AWEZNQCLSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001046430386 767553485 /nfs/dbraw/zinc/55/34/85/767553485.db2.gz AOWUZWZWJLQDJV-CJNGLKHVSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001046430386 767553491 /nfs/dbraw/zinc/55/34/91/767553491.db2.gz AOWUZWZWJLQDJV-CJNGLKHVSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001046638773 767762488 /nfs/dbraw/zinc/76/24/88/767762488.db2.gz AJQLOZNLAVPUID-LZWOXQAQSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001046638773 767762493 /nfs/dbraw/zinc/76/24/93/767762493.db2.gz AJQLOZNLAVPUID-LZWOXQAQSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098084207 767830970 /nfs/dbraw/zinc/83/09/70/767830970.db2.gz DTMWIRDMHQIDID-LLVKDONJSA-N 1 2 306.414 1.519 20 30 DDEDLO CCC(CC)C(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001131834354 768413956 /nfs/dbraw/zinc/41/39/56/768413956.db2.gz NIANGBPCEOSSLB-OCCSQVGLSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(CC)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001131834354 768413960 /nfs/dbraw/zinc/41/39/60/768413960.db2.gz NIANGBPCEOSSLB-OCCSQVGLSA-N 1 2 308.426 1.031 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C(=O)C(C)(C)C)CC[C@H]1C ZINC001131934236 768483311 /nfs/dbraw/zinc/48/33/11/768483311.db2.gz GIVLLAVROPOYCM-KGLIPLIRSA-N 1 2 308.422 1.221 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C(=O)C(C)(C)C)CC[C@H]1C ZINC001131934236 768483314 /nfs/dbraw/zinc/48/33/14/768483314.db2.gz GIVLLAVROPOYCM-KGLIPLIRSA-N 1 2 308.422 1.221 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(C3CC3)s2)C1 ZINC001047605666 768604803 /nfs/dbraw/zinc/60/48/03/768604803.db2.gz NDJUBKICNNMWMJ-STQMWFEESA-N 1 2 319.430 1.161 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(C3CC3)s2)C1 ZINC001047605666 768604807 /nfs/dbraw/zinc/60/48/07/768604807.db2.gz NDJUBKICNNMWMJ-STQMWFEESA-N 1 2 319.430 1.161 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(C(C)C)nc2C)C1 ZINC001047628952 768622248 /nfs/dbraw/zinc/62/22/48/768622248.db2.gz TXOVSLSWZOYERW-STQMWFEESA-N 1 2 321.446 1.325 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(C(C)C)nc2C)C1 ZINC001047628952 768622249 /nfs/dbraw/zinc/62/22/49/768622249.db2.gz TXOVSLSWZOYERW-STQMWFEESA-N 1 2 321.446 1.325 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C2(C)CCCC2)C[N@@H+]1CC(=O)NCC#N ZINC001132199593 768683721 /nfs/dbraw/zinc/68/37/21/768683721.db2.gz KEEIVIOQIBHVPH-UONOGXRCSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C2(C)CCCC2)C[N@H+]1CC(=O)NCC#N ZINC001132199593 768683723 /nfs/dbraw/zinc/68/37/23/768683723.db2.gz KEEIVIOQIBHVPH-UONOGXRCSA-N 1 2 320.437 1.176 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)/C=C(/C)C2CC2)CC1 ZINC001070944681 768802459 /nfs/dbraw/zinc/80/24/59/768802459.db2.gz IIKQNVSDVXNRJZ-YPKPFQOOSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)/C=C(/C)C2CC2)CC1 ZINC001070944681 768802464 /nfs/dbraw/zinc/80/24/64/768802464.db2.gz IIKQNVSDVXNRJZ-YPKPFQOOSA-N 1 2 305.422 1.179 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001132398463 768804440 /nfs/dbraw/zinc/80/44/40/768804440.db2.gz AKBJQYNIATVCFV-UHFFFAOYSA-N 1 2 314.336 1.797 20 30 DDEDLO C=CCCCC(=O)NCC[NH2+]Cc1nc(-c2ccncn2)no1 ZINC001133143239 769527204 /nfs/dbraw/zinc/52/72/04/769527204.db2.gz SOFKONLKNPDUAQ-UHFFFAOYSA-N 1 2 316.365 1.089 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(OC)ns2)CC[C@@H]1C ZINC001071519203 769551968 /nfs/dbraw/zinc/55/19/68/769551968.db2.gz WHJIRSONTXWQPA-RYUDHWBXSA-N 1 2 307.419 1.758 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(OC)ns2)CC[C@@H]1C ZINC001071519203 769551973 /nfs/dbraw/zinc/55/19/73/769551973.db2.gz WHJIRSONTXWQPA-RYUDHWBXSA-N 1 2 307.419 1.758 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2snnc2C2CC2)CC[C@@H]1C ZINC001071588607 769672078 /nfs/dbraw/zinc/67/20/78/769672078.db2.gz JGZNHTLPXRSSAK-CMPLNLGQSA-N 1 2 304.419 1.631 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2snnc2C2CC2)CC[C@@H]1C ZINC001071588607 769672081 /nfs/dbraw/zinc/67/20/81/769672081.db2.gz JGZNHTLPXRSSAK-CMPLNLGQSA-N 1 2 304.419 1.631 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001133380406 769762353 /nfs/dbraw/zinc/76/23/53/769762353.db2.gz FMWREFMHAOXRQV-WBMJQRKESA-N 1 2 324.425 1.975 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C1)OCC ZINC001096437232 770058771 /nfs/dbraw/zinc/05/87/71/770058771.db2.gz AOUVPZBZISWVLI-HUUCEWRRSA-N 1 2 318.421 1.851 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001072317026 770753009 /nfs/dbraw/zinc/75/30/09/770753009.db2.gz JIGDCZUPXBLJEL-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001072317026 770753013 /nfs/dbraw/zinc/75/30/13/770753013.db2.gz JIGDCZUPXBLJEL-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1cnns1 ZINC001049468956 770894396 /nfs/dbraw/zinc/89/43/96/770894396.db2.gz YZIXDIYCBXWAIS-LSDHHAIUSA-N 1 2 316.430 1.517 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1cnns1 ZINC001049468956 770894408 /nfs/dbraw/zinc/89/44/08/770894408.db2.gz YZIXDIYCBXWAIS-LSDHHAIUSA-N 1 2 316.430 1.517 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cccnc2C)[C@H](O)C1 ZINC001090573419 771999883 /nfs/dbraw/zinc/99/98/83/771999883.db2.gz MMBDYHRTKCVBBM-HUUCEWRRSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cccnc2C)[C@H](O)C1 ZINC001090573419 771999884 /nfs/dbraw/zinc/99/98/84/771999884.db2.gz MMBDYHRTKCVBBM-HUUCEWRRSA-N 1 2 323.824 1.236 20 30 DDEDLO CCn1cc2c(n1)C[N@@H+](Cc1cncc(C#N)c1)C[C@@H]2COC ZINC001144075654 772392932 /nfs/dbraw/zinc/39/29/32/772392932.db2.gz RYFSPHUSHJGXRE-OAHLLOKOSA-N 1 2 311.389 1.915 20 30 DDEDLO CCn1cc2c(n1)C[N@H+](Cc1cncc(C#N)c1)C[C@@H]2COC ZINC001144075654 772392934 /nfs/dbraw/zinc/39/29/34/772392934.db2.gz RYFSPHUSHJGXRE-OAHLLOKOSA-N 1 2 311.389 1.915 20 30 DDEDLO C=C(C)CC[NH2+]CCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001147369162 773122908 /nfs/dbraw/zinc/12/29/08/773122908.db2.gz LXFRKARKHWOPHN-UHFFFAOYSA-N 1 2 302.382 1.096 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nnc(C)o1)C2 ZINC001147428063 773130975 /nfs/dbraw/zinc/13/09/75/773130975.db2.gz KIGURQZNYGLXLD-CYBMUJFWSA-N 1 2 316.405 1.777 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nnc(C)o1)C2 ZINC001147428063 773130977 /nfs/dbraw/zinc/13/09/77/773130977.db2.gz KIGURQZNYGLXLD-CYBMUJFWSA-N 1 2 316.405 1.777 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nc(C)no1)C2 ZINC001148874291 773610690 /nfs/dbraw/zinc/61/06/90/773610690.db2.gz POFMYFMMIQQRRC-GFCCVEGCSA-N 1 2 320.393 1.003 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C)no1)C2 ZINC001148874291 773610694 /nfs/dbraw/zinc/61/06/94/773610694.db2.gz POFMYFMMIQQRRC-GFCCVEGCSA-N 1 2 320.393 1.003 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)o1)C2 ZINC001148893466 773627221 /nfs/dbraw/zinc/62/72/21/773627221.db2.gz JRNBAQJWMNNUGF-UHFFFAOYSA-N 1 2 320.393 1.005 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)o1)C2 ZINC001148893466 773627224 /nfs/dbraw/zinc/62/72/24/773627224.db2.gz JRNBAQJWMNNUGF-UHFFFAOYSA-N 1 2 320.393 1.005 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074149178 773674982 /nfs/dbraw/zinc/67/49/82/773674982.db2.gz GWXMZDYXGMDDIV-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCc3ccco3)C[C@@H]21 ZINC001074163319 773686520 /nfs/dbraw/zinc/68/65/20/773686520.db2.gz OTQFBILPBJAVIR-IRXDYDNUSA-N 1 2 316.401 1.537 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCc3ccco3)C[C@@H]21 ZINC001074163319 773686523 /nfs/dbraw/zinc/68/65/23/773686523.db2.gz OTQFBILPBJAVIR-IRXDYDNUSA-N 1 2 316.401 1.537 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3CCOCC3)C[C@H]21 ZINC001074189108 773711312 /nfs/dbraw/zinc/71/13/12/773711312.db2.gz DUJYWIFYFOBMPA-IAGOWNOFSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC3CCOCC3)C[C@H]21 ZINC001074189108 773711317 /nfs/dbraw/zinc/71/13/17/773711317.db2.gz DUJYWIFYFOBMPA-IAGOWNOFSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3nccs3)C[C@H]21 ZINC001074369586 773862128 /nfs/dbraw/zinc/86/21/28/773862128.db2.gz YYRIVQMQPAUMHC-CHWSQXEVSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3nccs3)C[C@H]21 ZINC001074369586 773862131 /nfs/dbraw/zinc/86/21/31/773862131.db2.gz YYRIVQMQPAUMHC-CHWSQXEVSA-N 1 2 307.419 1.635 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3[nH]cnc3C)C[C@@H]21 ZINC001074392870 773886642 /nfs/dbraw/zinc/88/66/42/773886642.db2.gz QNYSHRBBDYJPOE-JKSUJKDBSA-N 1 2 318.421 1.138 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3[nH]cnc3C)C[C@@H]21 ZINC001074392870 773886647 /nfs/dbraw/zinc/88/66/47/773886647.db2.gz QNYSHRBBDYJPOE-JKSUJKDBSA-N 1 2 318.421 1.138 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)[C@@H]1C ZINC001091950180 773889692 /nfs/dbraw/zinc/88/96/92/773889692.db2.gz KNXDQDLTFBNXGR-HIFRSBDPSA-N 1 2 318.421 1.688 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092181909 773987000 /nfs/dbraw/zinc/98/70/00/773987000.db2.gz NJHIGDXDLOHTBQ-KGLIPLIRSA-N 1 2 318.421 1.443 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC1(C)C)c1nccn12 ZINC001092361216 774075544 /nfs/dbraw/zinc/07/55/44/774075544.db2.gz DXTMSHXXZUYHRZ-STQMWFEESA-N 1 2 300.406 1.687 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@]1(C)C=CCC1)c1nccn12 ZINC001092388801 774097784 /nfs/dbraw/zinc/09/77/84/774097784.db2.gz MVDUTNVZLDAIIF-PBHICJAKSA-N 1 2 312.417 1.997 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1CC3(CC3)C1)c1nccn12 ZINC001092394329 774100380 /nfs/dbraw/zinc/10/03/80/774100380.db2.gz QQPAWFNFNIGHEP-AWEZNQCLSA-N 1 2 312.417 1.831 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC13CCC3)c1nccn12 ZINC001092399216 774101313 /nfs/dbraw/zinc/10/13/13/774101313.db2.gz AZGVJKCTNTXMII-KGLIPLIRSA-N 1 2 312.417 1.831 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1[nH]ccc1C)c1nccn12 ZINC001092366603 774105749 /nfs/dbraw/zinc/10/57/49/774105749.db2.gz UTUWSSUJKJNQRV-AWEZNQCLSA-N 1 2 323.400 1.429 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)nn1)c1nccn12 ZINC001092368605 774106654 /nfs/dbraw/zinc/10/66/54/774106654.db2.gz NAQKMICOZSPFCP-CQSZACIVSA-N 1 2 324.388 1.053 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccc[nH]1)c1nccn12 ZINC001092413332 774124838 /nfs/dbraw/zinc/12/48/38/774124838.db2.gz RFACMSUVTJQTNG-AWEZNQCLSA-N 1 2 311.389 1.212 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074959964 774266648 /nfs/dbraw/zinc/26/66/48/774266648.db2.gz DRMRJDYBKMDAPX-UONOGXRCSA-N 1 2 316.405 1.251 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074975255 774278850 /nfs/dbraw/zinc/27/88/50/774278850.db2.gz OWCMXHVRRZXGNR-ZIAGYGMSSA-N 1 2 316.405 1.107 20 30 DDEDLO CCc1nc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H](C)C#N)C3)cc(C)[nH+]1 ZINC001092966500 774341262 /nfs/dbraw/zinc/34/12/62/774341262.db2.gz BVLXATLDXAYECW-ASEORRQLSA-N 1 2 313.405 1.733 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1CNC(=O)c1nc[nH]n1 ZINC001093064644 774372113 /nfs/dbraw/zinc/37/21/13/774372113.db2.gz TVKYLAIMEZNCNW-IUODEOHRSA-N 1 2 324.388 1.174 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1CNC(=O)c1nc[nH]n1 ZINC001093064644 774372122 /nfs/dbraw/zinc/37/21/22/774372122.db2.gz TVKYLAIMEZNCNW-IUODEOHRSA-N 1 2 324.388 1.174 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@@H]4[C@@H](CCN4CC#N)C3)ccn12 ZINC001036717630 774392678 /nfs/dbraw/zinc/39/26/78/774392678.db2.gz YUUJPLVTXIHLBC-JKSUJKDBSA-N 1 2 323.400 1.703 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H](C)Nc2cc[nH+]c(C)n2)nn1 ZINC001098319602 774534150 /nfs/dbraw/zinc/53/41/50/774534150.db2.gz HZSWDUXNKZZVSU-LLVKDONJSA-N 1 2 315.381 1.183 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3=CCCC3)C2)nn1 ZINC001098652464 774632331 /nfs/dbraw/zinc/63/23/31/774632331.db2.gz MBKVITFNHDUURC-INIZCTEOSA-N 1 2 313.405 1.275 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cn[nH]c3)CC2)C1 ZINC001093511294 774758540 /nfs/dbraw/zinc/75/85/40/774758540.db2.gz SAESJGISOSHVHB-UHFFFAOYSA-N 1 2 304.394 1.291 20 30 DDEDLO CC(C)=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC001093515232 774765430 /nfs/dbraw/zinc/76/54/30/774765430.db2.gz RTXCNQYIZDAKQH-AWEZNQCLSA-N 1 2 305.422 1.804 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cc(C)on3)CC2)C1 ZINC001093504568 774795534 /nfs/dbraw/zinc/79/55/34/774795534.db2.gz VSDTXRSGJKHRLG-UHFFFAOYSA-N 1 2 305.378 1.474 20 30 DDEDLO CC(C)(C(=O)N1CC[C@@H]2[C@@H](CCN2CC#N)C1)c1c[nH+]c[nH]1 ZINC001036869609 775006123 /nfs/dbraw/zinc/00/61/23/775006123.db2.gz HNGCLNHDYRHQPN-QWHCGFSZSA-N 1 2 301.394 1.134 20 30 DDEDLO N#Cc1cnc(NCCNC(=O)Cc2[nH]cc[nH+]2)c(Cl)c1 ZINC001093829686 775113280 /nfs/dbraw/zinc/11/32/80/775113280.db2.gz UYOSFIJQDZPNHG-UHFFFAOYSA-N 1 2 304.741 1.101 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC(F)(F)F)[C@H](O)C1 ZINC001099712094 775157360 /nfs/dbraw/zinc/15/73/60/775157360.db2.gz KPGFZVHZLZKGCO-RKDXNWHRSA-N 1 2 300.708 1.243 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC(F)(F)F)[C@H](O)C1 ZINC001099712094 775157368 /nfs/dbraw/zinc/15/73/68/775157368.db2.gz KPGFZVHZLZKGCO-RKDXNWHRSA-N 1 2 300.708 1.243 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099804075 775300369 /nfs/dbraw/zinc/30/03/69/775300369.db2.gz HSFGSRYGWSIPRJ-ZBFHGGJFSA-N 1 2 319.405 1.146 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099804075 775300380 /nfs/dbraw/zinc/30/03/80/775300380.db2.gz HSFGSRYGWSIPRJ-ZBFHGGJFSA-N 1 2 319.405 1.146 20 30 DDEDLO CC#CCCCC(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001094065267 775393693 /nfs/dbraw/zinc/39/36/93/775393693.db2.gz LELZOMLIKGXZAP-UHFFFAOYSA-N 1 2 300.366 1.085 20 30 DDEDLO C=CCCC1(C(=O)NCCNc2nc(N(C)C)nc(C)[nH+]2)CC1 ZINC001094098300 775430155 /nfs/dbraw/zinc/43/01/55/775430155.db2.gz ASRPZEXZEKTLLJ-UHFFFAOYSA-N 1 2 318.425 1.521 20 30 DDEDLO N#Cc1ccc(NCCNC(=O)c2cc3c[nH+]ccc3[nH]2)nc1 ZINC001094236767 775573029 /nfs/dbraw/zinc/57/30/29/775573029.db2.gz ZBDOKLFPPFVXRN-UHFFFAOYSA-N 1 2 306.329 1.671 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccoc3CC)nn2)C1 ZINC001094287514 775688029 /nfs/dbraw/zinc/68/80/29/775688029.db2.gz JQTLOZKIFRPZRA-UHFFFAOYSA-N 1 2 315.377 1.406 20 30 DDEDLO Cc1nc(NCCCNC(=O)C#CC2CC2)c2c([nH+]1)CCCC2 ZINC001094399763 775809320 /nfs/dbraw/zinc/80/93/20/775809320.db2.gz BVWYINYMRIDSOR-UHFFFAOYSA-N 1 2 312.417 1.995 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCCN(C)c1cc[nH+]c(C)n1 ZINC001100358847 776049462 /nfs/dbraw/zinc/04/94/62/776049462.db2.gz SJPHOVBPVSSNDO-HOCLYGCPSA-N 1 2 318.421 1.709 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(F)=C3CCCC3)CC2=O)C1 ZINC001094721567 776188762 /nfs/dbraw/zinc/18/87/62/776188762.db2.gz FQOHIXBDLSKMBC-ZDUSSCGKSA-N 1 2 321.396 1.371 20 30 DDEDLO CCN(CCNC(=O)[C@@H](C)C#N)c1nc(C)[nH+]c2c1CCCC2 ZINC001100471598 776201857 /nfs/dbraw/zinc/20/18/57/776201857.db2.gz JPVZPYUXVUOZLE-LBPRGKRZSA-N 1 2 315.421 1.766 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@]3(C)CC=CCC3)CC2=O)C1 ZINC001094738545 776240374 /nfs/dbraw/zinc/24/03/74/776240374.db2.gz KXKVWHJVFBQMPL-KBXCAEBGSA-N 1 2 317.433 1.320 20 30 DDEDLO CCCC[N@@H+]1CCOC[C@H]1C(=O)N[C@H](C)CCCCNCC#N ZINC001171918400 776517769 /nfs/dbraw/zinc/51/77/69/776517769.db2.gz NXUCOCDBDZENPD-CVEARBPZSA-N 1 2 324.469 1.275 20 30 DDEDLO CCCC[N@H+]1CCOC[C@H]1C(=O)N[C@H](C)CCCCNCC#N ZINC001171918400 776517778 /nfs/dbraw/zinc/51/77/78/776517778.db2.gz NXUCOCDBDZENPD-CVEARBPZSA-N 1 2 324.469 1.275 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100957500 776761019 /nfs/dbraw/zinc/76/10/19/776761019.db2.gz ODEIRKLIGDVJKN-HIFRSBDPSA-N 1 2 318.421 1.709 20 30 DDEDLO N#CCN[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1cc3c[nH+]ccc3[nH]1)C2 ZINC001094947267 776881864 /nfs/dbraw/zinc/88/18/64/776881864.db2.gz QWUWQSSZAFXWBT-UBHSHLNASA-N 1 2 309.373 1.669 20 30 DDEDLO COc1ccc([N+](=O)[O-])c(C[C@@H](C)N2CC[NH2+]C[C@@H]2C#N)c1F ZINC001173181963 777035337 /nfs/dbraw/zinc/03/53/37/777035337.db2.gz QZCSOGGPUIJEEF-MNOVXSKESA-N 1 2 322.340 1.471 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCCN(c1ncccc1C#N)C1CC1 ZINC001101363228 777097888 /nfs/dbraw/zinc/09/78/88/777097888.db2.gz HINJNSCRTVPPCK-UHFFFAOYSA-N 1 2 324.388 1.014 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCSC)c1nccn12 ZINC001101605680 777296367 /nfs/dbraw/zinc/29/63/67/777296367.db2.gz CNNAFMBMZBWAIE-GFCCVEGCSA-N 1 2 306.435 1.394 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)OCC)c1nccn12 ZINC001101612437 777303122 /nfs/dbraw/zinc/30/31/22/777303122.db2.gz WUVQDGMQPKMUMA-OLZOCXBDSA-N 1 2 304.394 1.066 20 30 DDEDLO CC(C)C[C@@](C)(C#N)NC(=O)c1cc2n(n1)CCC[N@H+](C)C2 ZINC001175104021 777677172 /nfs/dbraw/zinc/67/71/72/777677172.db2.gz CJMCVHQIJRWMIK-INIZCTEOSA-N 1 2 303.410 1.777 20 30 DDEDLO CC(C)C[C@@](C)(C#N)NC(=O)c1cc2n(n1)CCC[N@@H+](C)C2 ZINC001175104021 777677180 /nfs/dbraw/zinc/67/71/80/777677180.db2.gz CJMCVHQIJRWMIK-INIZCTEOSA-N 1 2 303.410 1.777 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncs1 ZINC001110184372 777730786 /nfs/dbraw/zinc/73/07/86/777730786.db2.gz HDRIDKMPACBRPX-UXOAXIEHSA-N 1 2 321.446 1.956 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncs1 ZINC001110184372 777730794 /nfs/dbraw/zinc/73/07/94/777730794.db2.gz HDRIDKMPACBRPX-UXOAXIEHSA-N 1 2 321.446 1.956 20 30 DDEDLO C[C@H](CCCCNCC#N)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001175358178 777761530 /nfs/dbraw/zinc/76/15/30/777761530.db2.gz JNKUQQXNPHYPIA-MGPQQGTHSA-N 1 2 303.410 1.312 20 30 DDEDLO Cc1ccc(C#N)c(NCCN(C)C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)n1 ZINC001102031499 777816734 /nfs/dbraw/zinc/81/67/34/777816734.db2.gz NFGUJJIQNAAFKZ-ZIAGYGMSSA-N 1 2 324.388 1.659 20 30 DDEDLO Cc1ccc(C#N)c(NCCN(C)C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)n1 ZINC001102031499 777816747 /nfs/dbraw/zinc/81/67/47/777816747.db2.gz NFGUJJIQNAAFKZ-ZIAGYGMSSA-N 1 2 324.388 1.659 20 30 DDEDLO CCCN(C(=O)[C@H](C)C#N)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102226006 777956251 /nfs/dbraw/zinc/95/62/51/777956251.db2.gz YFSWBTPJEQLPRS-OCCSQVGLSA-N 1 2 301.394 1.762 20 30 DDEDLO N#Cc1cnccc1N1CC[C@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001102343991 778081325 /nfs/dbraw/zinc/08/13/25/778081325.db2.gz QXJQUIGLFPXHCI-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC1(Nc2nc(C)ccc2C#N)CC1 ZINC001110194762 778124814 /nfs/dbraw/zinc/12/48/14/778124814.db2.gz ZRTPPZNELXPUCG-UHFFFAOYSA-N 1 2 324.388 1.597 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102440331 778142853 /nfs/dbraw/zinc/14/28/53/778142853.db2.gz YYGZZYPHRDXMLQ-YOEHRIQHSA-N 1 2 318.421 1.397 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102452204 778148742 /nfs/dbraw/zinc/14/87/42/778148742.db2.gz QUAUMBMSJYBASI-HIFRSBDPSA-N 1 2 316.405 1.108 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1C ZINC001102609334 778238002 /nfs/dbraw/zinc/23/80/02/778238002.db2.gz UFPZOXHXVXWIJE-CHWSQXEVSA-N 1 2 324.450 1.315 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1C ZINC001102609334 778238004 /nfs/dbraw/zinc/23/80/04/778238004.db2.gz UFPZOXHXVXWIJE-CHWSQXEVSA-N 1 2 324.450 1.315 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2OCC[N@@H+](CC=C(C)C)[C@H]2C1 ZINC001176948661 778341771 /nfs/dbraw/zinc/34/17/71/778341771.db2.gz CGUNNAYXNGNWFD-JKSUJKDBSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2OCC[N@H+](CC=C(C)C)[C@H]2C1 ZINC001176948661 778341776 /nfs/dbraw/zinc/34/17/76/778341776.db2.gz CGUNNAYXNGNWFD-JKSUJKDBSA-N 1 2 308.422 1.457 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C\C(C)(C)C)C[C@H]21 ZINC001176955037 778345396 /nfs/dbraw/zinc/34/53/96/778345396.db2.gz MGBOCBVHUWEBHD-LRDXNBAQSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C\C(C)(C)C)C[C@H]21 ZINC001176955037 778345404 /nfs/dbraw/zinc/34/54/04/778345404.db2.gz MGBOCBVHUWEBHD-LRDXNBAQSA-N 1 2 304.434 1.914 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CC3)C[C@@H]21 ZINC001177012774 778392049 /nfs/dbraw/zinc/39/20/49/778392049.db2.gz MHGOFWLOEXJNPC-LSDHHAIUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CC3)C[C@@H]21 ZINC001177012774 778392051 /nfs/dbraw/zinc/39/20/51/778392051.db2.gz MHGOFWLOEXJNPC-LSDHHAIUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3(CCOC)CC3)C[C@@H]21 ZINC001177029674 778400400 /nfs/dbraw/zinc/40/04/00/778400400.db2.gz HURNPLGYQHRNSN-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CCOC)CC3)C[C@@H]21 ZINC001177029674 778400402 /nfs/dbraw/zinc/40/04/02/778400402.db2.gz HURNPLGYQHRNSN-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CC)C[C@@H]21 ZINC001177074431 778429490 /nfs/dbraw/zinc/42/94/90/778429490.db2.gz WNGMBUAWOJGMFW-JKSUJKDBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CC)C[C@@H]21 ZINC001177074431 778429494 /nfs/dbraw/zinc/42/94/94/778429494.db2.gz WNGMBUAWOJGMFW-JKSUJKDBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCO)[C@H]3C2)CCC1 ZINC001177101477 778446734 /nfs/dbraw/zinc/44/67/34/778446734.db2.gz MTPICFFNJDBWCE-HOTGVXAUSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CCCO)[C@H]3C2)CCC1 ZINC001177101477 778446737 /nfs/dbraw/zinc/44/67/37/778446737.db2.gz MTPICFFNJDBWCE-HOTGVXAUSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCC[NH2+]Cc1noc(CCC)n1 ZINC001177268971 778523939 /nfs/dbraw/zinc/52/39/39/778523939.db2.gz BQCNIMVFGAQSKC-GFCCVEGCSA-N 1 2 310.398 1.209 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N(CCCNCC#N)CC1CCCC1 ZINC001177467019 778600376 /nfs/dbraw/zinc/60/03/76/778600376.db2.gz QPIXOQKVRKCSMB-UHFFFAOYSA-N 1 2 317.437 1.485 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)[C@@H]1C ZINC001178587213 779094078 /nfs/dbraw/zinc/09/40/78/779094078.db2.gz CXIFGPGSXKOEKD-MKBNYLNASA-N 1 2 322.409 1.038 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([NH2+]Cc2nc(C3CC3)no2)[C@@H]1C ZINC001178649136 779123636 /nfs/dbraw/zinc/12/36/36/779123636.db2.gz XFGDMABZPGCDBA-JSGCOSHPSA-N 1 2 316.405 1.685 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H](C)CNc1cc[nH+]c(C)n1 ZINC001103885158 779132962 /nfs/dbraw/zinc/13/29/62/779132962.db2.gz SRMJZDWHLUTLPJ-STQMWFEESA-N 1 2 306.410 1.930 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H](CCNc2cc[nH+]c(C)n2)C1 ZINC001111606546 779400167 /nfs/dbraw/zinc/40/01/67/779400167.db2.gz GUHAXJCIPFBUIM-WMLDXEAASA-N 1 2 318.421 1.763 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ncccn1)C2 ZINC001111648607 779416297 /nfs/dbraw/zinc/41/62/97/779416297.db2.gz GOCUOUWNWZQDAW-YOEHRIQHSA-N 1 2 300.406 1.914 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ncccn1)C2 ZINC001111648607 779416304 /nfs/dbraw/zinc/41/63/04/779416304.db2.gz GOCUOUWNWZQDAW-YOEHRIQHSA-N 1 2 300.406 1.914 20 30 DDEDLO C#CC[C@H](NC(=O)OC(C)(C)C)C(=O)N(CC)C1C[NH+](C)C1 ZINC001179586304 779419628 /nfs/dbraw/zinc/41/96/28/779419628.db2.gz VDAICIGYIZNKEX-ZDUSSCGKSA-N 1 2 309.410 1.066 20 30 DDEDLO CCc1noc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)n1 ZINC001111668460 779427403 /nfs/dbraw/zinc/42/74/03/779427403.db2.gz LEFSLWHZMJJQDS-WQGACYEGSA-N 1 2 317.393 1.262 20 30 DDEDLO CCc1noc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)n1 ZINC001111668460 779427406 /nfs/dbraw/zinc/42/74/06/779427406.db2.gz LEFSLWHZMJJQDS-WQGACYEGSA-N 1 2 317.393 1.262 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)C#CC2CC2)C1 ZINC001111740835 779458299 /nfs/dbraw/zinc/45/82/99/779458299.db2.gz QGWSLHCAKHOLPS-ALKREAHSSA-N 1 2 324.428 1.922 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)C#CC2CC2)C1 ZINC001111740835 779458300 /nfs/dbraw/zinc/45/83/00/779458300.db2.gz QGWSLHCAKHOLPS-ALKREAHSSA-N 1 2 324.428 1.922 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nncn1C)C2 ZINC001111764029 779470549 /nfs/dbraw/zinc/47/05/49/779470549.db2.gz VDGFEGDLXIHZDM-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nncn1C)C2 ZINC001111764029 779470555 /nfs/dbraw/zinc/47/05/55/779470555.db2.gz VDGFEGDLXIHZDM-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO CN(CCCN(C)c1ncccc1C#N)C(=O)Cc1[nH]cc[nH+]1 ZINC001112118664 779630009 /nfs/dbraw/zinc/63/00/09/779630009.db2.gz OICUEZAOCOGTDC-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)C#CC3CC3)C(C)(C)C2)cc[nH+]1 ZINC001112374259 779743716 /nfs/dbraw/zinc/74/37/16/779743716.db2.gz FGDRFVVKFHQGEB-OAHLLOKOSA-N 1 2 312.417 1.920 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[C@@H](Nc1cc[nH+]c(C)n1)C(C)C ZINC001115335737 780048869 /nfs/dbraw/zinc/04/88/69/780048869.db2.gz XILKDKMFKMYILJ-DZGCQCFKSA-N 1 2 318.421 1.766 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)[C@@H](CNc1ncccc1C#N)C1CC1 ZINC001115525095 780212271 /nfs/dbraw/zinc/21/22/71/780212271.db2.gz LYKDFCISOOKLIV-HNNXBMFYSA-N 1 2 324.388 1.499 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@@H+](CC)[C@@H](C)c1ncc(C)o1 ZINC001267240483 837588535 /nfs/dbraw/zinc/58/85/35/837588535.db2.gz NCTQBADKCXLHMH-XJKSGUPXSA-N 1 2 309.410 1.809 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@H+](CC)[C@@H](C)c1ncc(C)o1 ZINC001267240483 837588542 /nfs/dbraw/zinc/58/85/42/837588542.db2.gz NCTQBADKCXLHMH-XJKSGUPXSA-N 1 2 309.410 1.809 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1cnnn1C ZINC001267274694 837721978 /nfs/dbraw/zinc/72/19/78/837721978.db2.gz RDGIJRQXOHLPIZ-XJKSGUPXSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CCC[N@H+]1Cc1cnnn1C ZINC001267274694 837721988 /nfs/dbraw/zinc/72/19/88/837721988.db2.gz RDGIJRQXOHLPIZ-XJKSGUPXSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C2CCCCCC2)C1 ZINC001266308360 836096389 /nfs/dbraw/zinc/09/63/89/836096389.db2.gz UZUQUWOVANNHQW-OAHLLOKOSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C2CCCCCC2)C1 ZINC001266308360 836096393 /nfs/dbraw/zinc/09/63/93/836096393.db2.gz UZUQUWOVANNHQW-OAHLLOKOSA-N 1 2 307.438 1.450 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266488099 836339502 /nfs/dbraw/zinc/33/95/02/836339502.db2.gz CEJHWHYQQQFYKC-GJZGRUSLSA-N 1 2 322.453 1.279 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266488099 836339516 /nfs/dbraw/zinc/33/95/16/836339516.db2.gz CEJHWHYQQQFYKC-GJZGRUSLSA-N 1 2 322.453 1.279 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]([N@H+](C)Cc2nonc2C)C1 ZINC001267106117 837358722 /nfs/dbraw/zinc/35/87/22/837358722.db2.gz OQFJFIYWJRLJHL-BBRMVZONSA-N 1 2 322.409 1.128 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]([N@@H+](C)Cc2nonc2C)C1 ZINC001267106117 837358732 /nfs/dbraw/zinc/35/87/32/837358732.db2.gz OQFJFIYWJRLJHL-BBRMVZONSA-N 1 2 322.409 1.128 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1conc1C ZINC001267396878 837986483 /nfs/dbraw/zinc/98/64/83/837986483.db2.gz FLSDKYWMIFENJU-GASCZTMLSA-N 1 2 305.378 1.361 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1conc1C ZINC001267396878 837986488 /nfs/dbraw/zinc/98/64/88/837986488.db2.gz FLSDKYWMIFENJU-GASCZTMLSA-N 1 2 305.378 1.361 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1CC[N@@H+]([C@@H](C)c2noc(C)n2)C1 ZINC001267555670 838297944 /nfs/dbraw/zinc/29/79/44/838297944.db2.gz PKLIMWLRTHJJPM-GXTWGEPZSA-N 1 2 322.409 1.470 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1CC[N@H+]([C@@H](C)c2noc(C)n2)C1 ZINC001267555670 838297954 /nfs/dbraw/zinc/29/79/54/838297954.db2.gz PKLIMWLRTHJJPM-GXTWGEPZSA-N 1 2 322.409 1.470 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)c2ccc(F)cc2)CC1 ZINC001267573197 838336673 /nfs/dbraw/zinc/33/66/73/838336673.db2.gz BARYELGCCPPYFR-UHFFFAOYSA-N 1 2 317.408 1.539 20 30 DDEDLO C=CC[N@H+]1CCC[C@@H](NC(=O)CCS(=O)(=O)CC(C)C)C1 ZINC001267615770 838477495 /nfs/dbraw/zinc/47/74/95/838477495.db2.gz TZNXEWYRVZXPIJ-CQSZACIVSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@H](NC(=O)CCS(=O)(=O)CC(C)C)C1 ZINC001267615770 838477499 /nfs/dbraw/zinc/47/74/99/838477499.db2.gz TZNXEWYRVZXPIJ-CQSZACIVSA-N 1 2 316.467 1.214 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@H](NC(=O)C#CC(C)C)C2)ccn1 ZINC001267625009 838520621 /nfs/dbraw/zinc/52/06/21/838520621.db2.gz TZNYDRJBQWSXTM-INIZCTEOSA-N 1 2 315.417 1.830 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@H](NC(=O)C#CC(C)C)C2)ccn1 ZINC001267625009 838520625 /nfs/dbraw/zinc/52/06/25/838520625.db2.gz TZNYDRJBQWSXTM-INIZCTEOSA-N 1 2 315.417 1.830 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@H](CC)CNC(=O)C#CC(C)C)n1 ZINC001267803093 839003758 /nfs/dbraw/zinc/00/37/58/839003758.db2.gz KNSXNPNAQHRJJG-QWHCGFSZSA-N 1 2 306.410 1.837 20 30 DDEDLO C=CCOCC(=O)NC1(C[NH2+][C@H](C)c2noc(C)n2)CCCC1 ZINC001267833806 839052123 /nfs/dbraw/zinc/05/21/23/839052123.db2.gz MHVBCEGNLLJWLZ-GFCCVEGCSA-N 1 2 322.409 1.660 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)CCOc2cccc(F)c2)C1 ZINC001268025925 839425796 /nfs/dbraw/zinc/42/57/96/839425796.db2.gz RDNGBFWVBONJAM-INIZCTEOSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)CCOc2cccc(F)c2)C1 ZINC001268025925 839425800 /nfs/dbraw/zinc/42/58/00/839425800.db2.gz RDNGBFWVBONJAM-INIZCTEOSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001268026290 839427466 /nfs/dbraw/zinc/42/74/66/839427466.db2.gz MKYXDVJOZJRECE-IXDOHACOSA-N 1 2 322.449 1.442 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001268026290 839427476 /nfs/dbraw/zinc/42/74/76/839427476.db2.gz MKYXDVJOZJRECE-IXDOHACOSA-N 1 2 322.449 1.442 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H](C)C[NH2+][C@@H](C)c1nc(CC)no1 ZINC001268058441 839625742 /nfs/dbraw/zinc/62/57/42/839625742.db2.gz CBBXYOFJGWCTMO-STQMWFEESA-N 1 2 306.410 1.933 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H](C)C[NH2+]Cc2csnn2)nc1 ZINC001268093873 839679091 /nfs/dbraw/zinc/67/90/91/839679091.db2.gz LXOAKFMXGMQKJA-NSHDSACASA-N 1 2 315.402 1.165 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H](C)C[NH2+]Cc2csnn2)nc1 ZINC001268093872 839679253 /nfs/dbraw/zinc/67/92/53/839679253.db2.gz LXOAKFMXGMQKJA-LLVKDONJSA-N 1 2 315.402 1.165 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C[NH2+]Cc1csnn1)CC(C)C ZINC001268103679 839692487 /nfs/dbraw/zinc/69/24/87/839692487.db2.gz DTZFZXPLUKSBLX-CYBMUJFWSA-N 1 2 308.451 1.818 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001268195404 839826355 /nfs/dbraw/zinc/82/63/55/839826355.db2.gz UFCHNDGGJABPLO-HNNXBMFYSA-N 1 2 319.405 1.412 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@H+](Cc2nc(C)oc2C)C1 ZINC001268195404 839826359 /nfs/dbraw/zinc/82/63/59/839826359.db2.gz UFCHNDGGJABPLO-HNNXBMFYSA-N 1 2 319.405 1.412 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H]2C=CCCC2)C1 ZINC001268202391 839833956 /nfs/dbraw/zinc/83/39/56/839833956.db2.gz UGYRMUIEEWLKBD-CVEARBPZSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@@H]2C=CCCC2)C1 ZINC001268202391 839833962 /nfs/dbraw/zinc/83/39/62/839833962.db2.gz UGYRMUIEEWLKBD-CVEARBPZSA-N 1 2 319.449 1.616 20 30 DDEDLO CN(CC[N@H+](C)CC(=O)NCC#N)C(=O)[C@@H]1CCC=CCCC1 ZINC001268239254 839879558 /nfs/dbraw/zinc/87/95/58/839879558.db2.gz ADOWOQQZNFICMT-OAHLLOKOSA-N 1 2 320.437 1.153 20 30 DDEDLO CN(CC[N@@H+](C)CC(=O)NCC#N)C(=O)[C@@H]1CCC=CCCC1 ZINC001268239254 839879568 /nfs/dbraw/zinc/87/95/68/839879568.db2.gz ADOWOQQZNFICMT-OAHLLOKOSA-N 1 2 320.437 1.153 20 30 DDEDLO CC[C@H]1CC[C@H](C(=O)N(C)CC[N@H+](C)CC(=O)NCC#N)CC1 ZINC001268254860 839902045 /nfs/dbraw/zinc/90/20/45/839902045.db2.gz FRXJTIXAWBVVNY-SHTZXODSSA-N 1 2 322.453 1.233 20 30 DDEDLO CC[C@H]1CC[C@H](C(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N)CC1 ZINC001268254860 839902050 /nfs/dbraw/zinc/90/20/50/839902050.db2.gz FRXJTIXAWBVVNY-SHTZXODSSA-N 1 2 322.453 1.233 20 30 DDEDLO N#Cc1ccc(C(=O)NC2C[NH+](CCCOc3ccccc3)C2)[nH]1 ZINC001268283470 839942246 /nfs/dbraw/zinc/94/22/46/839942246.db2.gz XYYQLKZRMMDTMX-UHFFFAOYSA-N 1 2 324.384 1.769 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@@H](C)NC(=O)CSCC#N)cs1 ZINC001268723132 840716937 /nfs/dbraw/zinc/71/69/37/840716937.db2.gz XKKZMIDNDADTJP-SNVBAGLBSA-N 1 2 312.464 1.645 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@@H](C)NC(=O)CSCC#N)cs1 ZINC001268723132 840716943 /nfs/dbraw/zinc/71/69/43/840716943.db2.gz XKKZMIDNDADTJP-SNVBAGLBSA-N 1 2 312.464 1.645 20 30 DDEDLO C=C(C)CCC(=O)NCC1C[NH+](Cc2ccc(OC)nn2)C1 ZINC001268833199 840877883 /nfs/dbraw/zinc/87/78/83/840877883.db2.gz HJQGUXJYESODHX-UHFFFAOYSA-N 1 2 304.394 1.390 20 30 DDEDLO CCC(C)(C)C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001268977462 841076918 /nfs/dbraw/zinc/07/69/18/841076918.db2.gz GPBUZRDPAOSTJQ-UHFFFAOYSA-N 1 2 314.433 1.977 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2ccc(Cl)nc2)C1 ZINC001269019119 841118176 /nfs/dbraw/zinc/11/81/76/841118176.db2.gz SDZPAROPTXAJMM-XJKSGUPXSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2ccc(Cl)nc2)C1 ZINC001269019119 841118182 /nfs/dbraw/zinc/11/81/82/841118182.db2.gz SDZPAROPTXAJMM-XJKSGUPXSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](CC(=O)N[C@H](C)CCC)C2)C1 ZINC001269060970 841162513 /nfs/dbraw/zinc/16/25/13/841162513.db2.gz DJDUDEOYCZESNR-CABCVRRESA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](CC(=O)N[C@H](C)CCC)C2)C1 ZINC001269060970 841162519 /nfs/dbraw/zinc/16/25/19/841162519.db2.gz DJDUDEOYCZESNR-CABCVRRESA-N 1 2 321.465 1.838 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)COCc1cccc(OC)c1 ZINC001269260340 841438342 /nfs/dbraw/zinc/43/83/42/841438342.db2.gz CLQJUOZFTPQRQR-OAHLLOKOSA-N 1 2 302.374 1.036 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)COCc1cccc(OC)c1 ZINC001269260340 841438348 /nfs/dbraw/zinc/43/83/48/841438348.db2.gz CLQJUOZFTPQRQR-OAHLLOKOSA-N 1 2 302.374 1.036 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c[nH]nc1C(C)(C)C ZINC001269332650 841528461 /nfs/dbraw/zinc/52/84/61/841528461.db2.gz QLIWWXOQLHVKKY-ZDUSSCGKSA-N 1 2 318.421 1.161 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1c[nH]nc1C(C)(C)C ZINC001269332650 841528468 /nfs/dbraw/zinc/52/84/68/841528468.db2.gz QLIWWXOQLHVKKY-ZDUSSCGKSA-N 1 2 318.421 1.161 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)COc1ccccc1Cl ZINC001269343042 841542919 /nfs/dbraw/zinc/54/29/19/841542919.db2.gz HLXBFLMUGQGSQL-ZDUSSCGKSA-N 1 2 306.793 1.933 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)COc1ccccc1Cl ZINC001269343042 841542924 /nfs/dbraw/zinc/54/29/24/841542924.db2.gz HLXBFLMUGQGSQL-ZDUSSCGKSA-N 1 2 306.793 1.933 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)c2cc[n+]([O-])cc2)CC1 ZINC001269616711 841826807 /nfs/dbraw/zinc/82/68/07/841826807.db2.gz QEXKNRCIDWEAFD-UHFFFAOYSA-N 1 2 314.389 1.160 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)c2cc[n+]([O-])cc2)CC1 ZINC001269616711 841826822 /nfs/dbraw/zinc/82/68/22/841826822.db2.gz QEXKNRCIDWEAFD-UHFFFAOYSA-N 1 2 314.389 1.160 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)CCNC(=O)C2CCCCC2)C1 ZINC001269862209 842112836 /nfs/dbraw/zinc/11/28/36/842112836.db2.gz RRLVMLAFFYBMMQ-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@@H+](Cc2ncc(CC)o2)C1 ZINC001270666530 842796808 /nfs/dbraw/zinc/79/68/08/842796808.db2.gz XLOOIFCRYBRQOP-KRWDZBQOSA-N 1 2 321.421 1.910 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@H+](Cc2ncc(CC)o2)C1 ZINC001270666530 842796819 /nfs/dbraw/zinc/79/68/19/842796819.db2.gz XLOOIFCRYBRQOP-KRWDZBQOSA-N 1 2 321.421 1.910 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](C)OCC)C1 ZINC001149237858 861374342 /nfs/dbraw/zinc/37/43/42/861374342.db2.gz URMGZQRUCVVMIV-CHWSQXEVSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H](C)OCC)C1 ZINC001149237858 861374352 /nfs/dbraw/zinc/37/43/52/861374352.db2.gz URMGZQRUCVVMIV-CHWSQXEVSA-N 1 2 304.818 1.371 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@@H+]([C@@H](C)c2nnc(C)[nH]2)C1 ZINC001271185211 843393832 /nfs/dbraw/zinc/39/38/32/843393832.db2.gz UTUVAZIJKSUJCA-BLLLJJGKSA-N 1 2 321.425 1.083 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@H+]([C@@H](C)c2nnc(C)[nH]2)C1 ZINC001271185211 843393843 /nfs/dbraw/zinc/39/38/43/843393843.db2.gz UTUVAZIJKSUJCA-BLLLJJGKSA-N 1 2 321.425 1.083 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)[C@H](OCC)C(C)C ZINC001280405914 843695793 /nfs/dbraw/zinc/69/57/93/843695793.db2.gz HGDWQYWIVWBCDE-HZPDHXFCSA-N 1 2 312.454 1.831 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H](OCC)C(C)C ZINC001280405914 843695797 /nfs/dbraw/zinc/69/57/97/843695797.db2.gz HGDWQYWIVWBCDE-HZPDHXFCSA-N 1 2 312.454 1.831 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(C)nc1CC ZINC001326642595 861502936 /nfs/dbraw/zinc/50/29/36/861502936.db2.gz GGLMZJHKSKCKJV-CQSZACIVSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(C)nc1CC ZINC001326642595 861502946 /nfs/dbraw/zinc/50/29/46/861502946.db2.gz GGLMZJHKSKCKJV-CQSZACIVSA-N 1 2 320.437 1.379 20 30 DDEDLO C[C@H](C#N)C(=O)NC1(CNC(=O)CCc2c[nH]c[nH+]2)CCCC1 ZINC001409479867 845163849 /nfs/dbraw/zinc/16/38/49/845163849.db2.gz SPVPNJUODITVGU-GFCCVEGCSA-N 1 2 317.393 1.047 20 30 DDEDLO C[C@H](C#N)C(=O)NC1(CNC(=O)CCc2c[nH+]c[nH]2)CCCC1 ZINC001409479867 845163855 /nfs/dbraw/zinc/16/38/55/845163855.db2.gz SPVPNJUODITVGU-GFCCVEGCSA-N 1 2 317.393 1.047 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](C)C2CC2)C1 ZINC001149475641 861640009 /nfs/dbraw/zinc/64/00/09/861640009.db2.gz ZGLHZGQARLZWIR-JSGCOSHPSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](C)C2CC2)C1 ZINC001149475641 861640022 /nfs/dbraw/zinc/64/00/22/861640022.db2.gz ZGLHZGQARLZWIR-JSGCOSHPSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1cn(C)cn1)C2 ZINC001272733253 846734183 /nfs/dbraw/zinc/73/41/83/846734183.db2.gz KFDQCHUDMUWPRI-KRWDZBQOSA-N 1 2 318.421 1.047 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)COCC[N@H+](Cc1cn(C)cn1)C2 ZINC001272733253 846734192 /nfs/dbraw/zinc/73/41/92/846734192.db2.gz KFDQCHUDMUWPRI-KRWDZBQOSA-N 1 2 318.421 1.047 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2ccco2)C1 ZINC001107732111 846894634 /nfs/dbraw/zinc/89/46/34/846894634.db2.gz ZMTFJXNIAJVOKY-QGZVFWFLSA-N 1 2 304.390 1.443 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2ccco2)C1 ZINC001107732111 846894639 /nfs/dbraw/zinc/89/46/39/846894639.db2.gz ZMTFJXNIAJVOKY-QGZVFWFLSA-N 1 2 304.390 1.443 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@](C)(CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001107827939 847231341 /nfs/dbraw/zinc/23/13/41/847231341.db2.gz CMAIIZAMRSHZRK-MRXNPFEDSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCC[N@H+]1CCO[C@](C)(CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001107827939 847231350 /nfs/dbraw/zinc/23/13/50/847231350.db2.gz CMAIIZAMRSHZRK-MRXNPFEDSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3ccncc3F)C2)OCC1=O ZINC001272765091 847393315 /nfs/dbraw/zinc/39/33/15/847393315.db2.gz DXDXXNSZWHGZEF-INIZCTEOSA-N 1 2 305.353 1.210 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3ccncc3F)C2)OCC1=O ZINC001272765091 847393329 /nfs/dbraw/zinc/39/33/29/847393329.db2.gz DXDXXNSZWHGZEF-INIZCTEOSA-N 1 2 305.353 1.210 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnnc1 ZINC001272892271 847599344 /nfs/dbraw/zinc/59/93/44/847599344.db2.gz BQCLNGFYHPIJFH-INIZCTEOSA-N 1 2 318.421 1.654 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnnc1 ZINC001272892271 847599349 /nfs/dbraw/zinc/59/93/49/847599349.db2.gz BQCLNGFYHPIJFH-INIZCTEOSA-N 1 2 318.421 1.654 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncc(F)c2)[C@@H](O)C1 ZINC001090192438 848058265 /nfs/dbraw/zinc/05/82/65/848058265.db2.gz QWUZULPKMITTDG-STQMWFEESA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncc(F)c2)[C@@H](O)C1 ZINC001090192438 848058271 /nfs/dbraw/zinc/05/82/71/848058271.db2.gz QWUZULPKMITTDG-STQMWFEESA-N 1 2 313.760 1.138 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCCC[N@@H+](Cc3cnnn3C)C2)C1 ZINC001034388274 848446905 /nfs/dbraw/zinc/44/69/05/848446905.db2.gz DATQOLNYAIDCOU-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCCC[N@H+](Cc3cnnn3C)C2)C1 ZINC001034388274 848446909 /nfs/dbraw/zinc/44/69/09/848446909.db2.gz DATQOLNYAIDCOU-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO N#CCN1CCCC[C@H](NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001034399247 848463525 /nfs/dbraw/zinc/46/35/25/848463525.db2.gz LWNDJNUVCXBIHD-QWHCGFSZSA-N 1 2 301.394 1.324 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C1 ZINC001410017310 848622889 /nfs/dbraw/zinc/62/28/89/848622889.db2.gz ULLTXLCMXCLDSW-TZMCWYRMSA-N 1 2 322.453 1.277 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C1 ZINC001410017310 848622894 /nfs/dbraw/zinc/62/28/94/848622894.db2.gz ULLTXLCMXCLDSW-TZMCWYRMSA-N 1 2 322.453 1.277 20 30 DDEDLO C=C(Cl)C[N@@H+](CCCNC(=O)COC)Cc1cccnc1 ZINC001230632161 849044432 /nfs/dbraw/zinc/04/44/32/849044432.db2.gz AAGUSQMUTYZRKN-UHFFFAOYSA-N 1 2 311.813 1.789 20 30 DDEDLO C=C(Cl)C[N@H+](CCCNC(=O)COC)Cc1cccnc1 ZINC001230632161 849044441 /nfs/dbraw/zinc/04/44/41/849044441.db2.gz AAGUSQMUTYZRKN-UHFFFAOYSA-N 1 2 311.813 1.789 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3cccc4cnccc43)C2)OCC1=O ZINC001273304003 849374492 /nfs/dbraw/zinc/37/44/92/849374492.db2.gz IXQMIAOLRLUPIV-UHFFFAOYSA-N 1 2 323.396 1.834 20 30 DDEDLO C=CCOCCN1CC[NH+]([C@@H]2CCN(C(=O)C(C)C)C2)CC1 ZINC001273366766 849708234 /nfs/dbraw/zinc/70/82/34/849708234.db2.gz YMMXGLPYIXONHK-MRXNPFEDSA-N 1 2 309.454 1.064 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[N@H+](Cc2nocc2C)CCCO1 ZINC001150085402 862070602 /nfs/dbraw/zinc/07/06/02/862070602.db2.gz NEOGQSUJLFKNPB-AWEZNQCLSA-N 1 2 321.421 1.902 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[N@@H+](Cc2nocc2C)CCCO1 ZINC001150085402 862070610 /nfs/dbraw/zinc/07/06/10/862070610.db2.gz NEOGQSUJLFKNPB-AWEZNQCLSA-N 1 2 321.421 1.902 20 30 DDEDLO CN(CCC[N@H+](C)Cc1cnn(C)n1)C(=O)C#CC(C)(C)C ZINC001273507656 851039348 /nfs/dbraw/zinc/03/93/48/851039348.db2.gz IHXHZESOIQWTFC-UHFFFAOYSA-N 1 2 305.426 1.145 20 30 DDEDLO CN(CCC[N@@H+](C)Cc1cnn(C)n1)C(=O)C#CC(C)(C)C ZINC001273507656 851039351 /nfs/dbraw/zinc/03/93/51/851039351.db2.gz IHXHZESOIQWTFC-UHFFFAOYSA-N 1 2 305.426 1.145 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+]([C@@H](C)C(=O)OC(C)(C)C)C2)OCC1=O ZINC001273706356 851249541 /nfs/dbraw/zinc/24/95/41/851249541.db2.gz IAELFTSKJZVCHT-SUMWQHHRSA-N 1 2 324.421 1.206 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+]([C@@H](C)C(=O)OC(C)(C)C)C2)OCC1=O ZINC001273706356 851249553 /nfs/dbraw/zinc/24/95/53/851249553.db2.gz IAELFTSKJZVCHT-SUMWQHHRSA-N 1 2 324.421 1.206 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2[C@H](C)C(=O)OC(C)(C)C ZINC001273707956 851251588 /nfs/dbraw/zinc/25/15/88/851251588.db2.gz VOASRJIGFSJRAT-KDOFPFPSSA-N 1 2 320.433 1.807 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2[C@H](C)C(=O)OC(C)(C)C ZINC001273707956 851251596 /nfs/dbraw/zinc/25/15/96/851251596.db2.gz VOASRJIGFSJRAT-KDOFPFPSSA-N 1 2 320.433 1.807 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](CC(C)C)OC)CO2 ZINC001273712914 851256936 /nfs/dbraw/zinc/25/69/36/851256936.db2.gz KCIXGGODMYNXBW-HUUCEWRRSA-N 1 2 308.422 1.030 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](C)n1cncn1 ZINC001327509626 862226805 /nfs/dbraw/zinc/22/68/05/862226805.db2.gz WOUNZKZNGHNHDB-WMLDXEAASA-N 1 2 323.400 1.015 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](C)n1cncn1 ZINC001327509626 862226820 /nfs/dbraw/zinc/22/68/20/862226820.db2.gz WOUNZKZNGHNHDB-WMLDXEAASA-N 1 2 323.400 1.015 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C[C@H](C)COC ZINC001273825169 851388822 /nfs/dbraw/zinc/38/88/22/851388822.db2.gz OFVQALUTUZMKTQ-MAUKXSAKSA-N 1 2 314.429 1.835 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C[C@H](C)COC ZINC001273825169 851388826 /nfs/dbraw/zinc/38/88/26/851388826.db2.gz OFVQALUTUZMKTQ-MAUKXSAKSA-N 1 2 314.429 1.835 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2Cc2nc(C)cc(C)n2)C1=O ZINC001274017384 851855284 /nfs/dbraw/zinc/85/52/84/851855284.db2.gz KYJCNYWJHONHNW-KRWDZBQOSA-N 1 2 300.406 1.846 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2Cc2nc(C)cc(C)n2)C1=O ZINC001274017384 851855289 /nfs/dbraw/zinc/85/52/89/851855289.db2.gz KYJCNYWJHONHNW-KRWDZBQOSA-N 1 2 300.406 1.846 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)CSCC#N)CC[N@@H+]1Cc1ccon1 ZINC001274597446 852426950 /nfs/dbraw/zinc/42/69/50/852426950.db2.gz OJPFXAJVDQXFMH-NWDGAFQWSA-N 1 2 308.407 1.400 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)CSCC#N)CC[N@H+]1Cc1ccon1 ZINC001274597446 852426953 /nfs/dbraw/zinc/42/69/53/852426953.db2.gz OJPFXAJVDQXFMH-NWDGAFQWSA-N 1 2 308.407 1.400 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](Cc2coc(C)n2)[C@@H](C)C1 ZINC001274622117 852456357 /nfs/dbraw/zinc/45/63/57/852456357.db2.gz AXSJRUMVQTVGPO-ZFWWWQNUSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](Cc2coc(C)n2)[C@@H](C)C1 ZINC001274622117 852456361 /nfs/dbraw/zinc/45/63/61/852456361.db2.gz AXSJRUMVQTVGPO-ZFWWWQNUSA-N 1 2 319.405 1.492 20 30 DDEDLO CCCc1nc(C[NH2+][C@@H](C)CCNC(=O)C#CC(C)C)no1 ZINC001274715108 852550589 /nfs/dbraw/zinc/55/05/89/852550589.db2.gz YOORJHKQSGMHNQ-ZDUSSCGKSA-N 1 2 306.410 1.666 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]1C[N@H+](C)CCS(C)(=O)=O ZINC001275135683 852830838 /nfs/dbraw/zinc/83/08/38/852830838.db2.gz MZORZMAQRWAHQC-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]1C[N@@H+](C)CCS(C)(=O)=O ZINC001275135683 852830844 /nfs/dbraw/zinc/83/08/44/852830844.db2.gz MZORZMAQRWAHQC-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)[C@@H](C)[NH2+]Cc2nc(CC)no2)C1 ZINC001275493648 853222624 /nfs/dbraw/zinc/22/26/24/853222624.db2.gz UISICVGYFBIXOP-VXGBXAGGSA-N 1 2 306.410 1.971 20 30 DDEDLO Cn1cc(CN2CCC23C[NH+](Cc2ccccn2)C3)cc1C#N ZINC001275873866 853893412 /nfs/dbraw/zinc/89/34/12/853893412.db2.gz SLQCLLKQAANJPS-UHFFFAOYSA-N 1 2 307.401 1.752 20 30 DDEDLO Cc1[nH]c(CNC(=O)[C@H](C#N)Cc2ccc(C#N)cc2)[nH+]c1C ZINC001411896666 853939151 /nfs/dbraw/zinc/93/91/51/853939151.db2.gz YERKDLKYZWSSMC-HNNXBMFYSA-N 1 2 307.357 1.897 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H](C)[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111253856 855284820 /nfs/dbraw/zinc/28/48/20/855284820.db2.gz ZJXJXUMJWWOYRW-ZFWWWQNUSA-N 1 2 316.405 1.331 20 30 DDEDLO CN(CCCNC(=O)CCc1c[nH]c[nH+]1)c1ccc(C#N)cn1 ZINC001095768186 855334431 /nfs/dbraw/zinc/33/44/31/855334431.db2.gz WOHRQYOPPJPEFI-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CN(CCCNC(=O)CCc1c[nH+]c[nH]1)c1ccc(C#N)cn1 ZINC001095768186 855334434 /nfs/dbraw/zinc/33/44/34/855334434.db2.gz WOHRQYOPPJPEFI-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccc(=O)[nH]c1)C2 ZINC001095774945 855342055 /nfs/dbraw/zinc/34/20/55/855342055.db2.gz FBYUDULTZZQTEH-RDBSUJKOSA-N 1 2 321.808 1.804 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccc(=O)[nH]c1)C2 ZINC001095774945 855342057 /nfs/dbraw/zinc/34/20/57/855342057.db2.gz FBYUDULTZZQTEH-RDBSUJKOSA-N 1 2 321.808 1.804 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098192387 855441120 /nfs/dbraw/zinc/44/11/20/855441120.db2.gz SLLVEJPRLZFDCT-LBPRGKRZSA-N 1 2 314.393 1.474 20 30 DDEDLO COc1cncc(C[NH+]2CC([C@H](C)NC(=O)C#CC(C)C)C2)n1 ZINC001276375461 856301218 /nfs/dbraw/zinc/30/12/18/856301218.db2.gz FOTZFMZUCXTYTN-ZDUSSCGKSA-N 1 2 316.405 1.081 20 30 DDEDLO N#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001049552503 856964959 /nfs/dbraw/zinc/96/49/59/856964959.db2.gz NWRDUXJAWNIHBH-HOTGVXAUSA-N 1 2 309.373 1.765 20 30 DDEDLO N#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccc2[nH+]ccn21 ZINC001049590353 857025285 /nfs/dbraw/zinc/02/52/85/857025285.db2.gz SBRBPSMJHBRMGO-KBPBESRZSA-N 1 2 309.373 1.537 20 30 DDEDLO CC(C)c1nnc(C[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001072559616 857448753 /nfs/dbraw/zinc/44/87/53/857448753.db2.gz KSZNQAFPKJKHFT-LBPRGKRZSA-N 1 2 317.393 1.387 20 30 DDEDLO CC(C)c1nnc(C[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001072559616 857448755 /nfs/dbraw/zinc/44/87/55/857448755.db2.gz KSZNQAFPKJKHFT-LBPRGKRZSA-N 1 2 317.393 1.387 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cncnc2)C1 ZINC001073582655 858459980 /nfs/dbraw/zinc/45/99/80/858459980.db2.gz PLJXHNJMWAMBFT-CYBMUJFWSA-N 1 2 310.785 1.050 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cncnc2)C1 ZINC001073582655 858459982 /nfs/dbraw/zinc/45/99/82/858459982.db2.gz PLJXHNJMWAMBFT-CYBMUJFWSA-N 1 2 310.785 1.050 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](OC)C3CC3)n2CC)CC1 ZINC001121740696 858586751 /nfs/dbraw/zinc/58/67/51/858586751.db2.gz YXIPGMPGMUPBMF-AWEZNQCLSA-N 1 2 303.410 1.151 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)c2ccns2)CC1 ZINC001381246684 881364049 /nfs/dbraw/zinc/36/40/49/881364049.db2.gz VUCXIZGVYORAJH-UHFFFAOYSA-N 1 2 315.826 1.452 20 30 DDEDLO C#CC[C@H](OC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(C)(C)C ZINC001122925092 859009036 /nfs/dbraw/zinc/00/90/36/859009036.db2.gz WTJLWOQKXKHXAM-PMPSAXMXSA-N 1 2 323.433 1.847 20 30 DDEDLO Cc1cc(NC[C@H](O)CN(C)C(=O)[C@H](C)C#N)nc(C(C)C)[nH+]1 ZINC001124190081 859619911 /nfs/dbraw/zinc/61/99/11/859619911.db2.gz BYYRQKQZXQGYRP-YPMHNXCESA-N 1 2 319.409 1.299 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001124488617 859720826 /nfs/dbraw/zinc/72/08/26/859720826.db2.gz SDKHODPENXBEDE-CYBMUJFWSA-N 1 2 321.421 1.740 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(C)C[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001124642876 859759602 /nfs/dbraw/zinc/75/96/02/859759602.db2.gz FHRJGDAEAAHSLW-GXTWGEPZSA-N 1 2 306.410 1.619 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(C)C[C@@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125224389 859925094 /nfs/dbraw/zinc/92/50/94/859925094.db2.gz VNDPDAKSSXLOKA-HIFRSBDPSA-N 1 2 320.437 1.643 20 30 DDEDLO COCC(=O)NC1CC[NH+](Cc2cc(F)c(C#N)c(F)c2)CC1 ZINC001140754212 860692923 /nfs/dbraw/zinc/69/29/23/860692923.db2.gz QTUMVKWVEUCSFB-UHFFFAOYSA-N 1 2 323.343 1.563 20 30 DDEDLO C=CCCCC(=O)N[C@]1(CO)CCC[N@@H+](Cc2cncn2C)C1 ZINC001325845547 860878866 /nfs/dbraw/zinc/87/88/66/860878866.db2.gz DCQXCRQWMHSVPS-QGZVFWFLSA-N 1 2 320.437 1.220 20 30 DDEDLO C=CCCCC(=O)N[C@]1(CO)CCC[N@H+](Cc2cncn2C)C1 ZINC001325845547 860878868 /nfs/dbraw/zinc/87/88/68/860878868.db2.gz DCQXCRQWMHSVPS-QGZVFWFLSA-N 1 2 320.437 1.220 20 30 DDEDLO C#CCCCCC(=O)NCCC[NH2+]Cc1nsc(N(C)C)n1 ZINC001156812395 863355778 /nfs/dbraw/zinc/35/57/78/863355778.db2.gz SGMSTFBLONZTPM-UHFFFAOYSA-N 1 2 323.466 1.394 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2cccc(OCC)c2)C1 ZINC001329693810 863795942 /nfs/dbraw/zinc/79/59/42/863795942.db2.gz LBGVLHRMDMHQDP-UHFFFAOYSA-N 1 2 318.417 1.828 20 30 DDEDLO C=CCC(C)(C)C(=O)NC1(CCO)C[NH+](C[C@H]2CCCCO2)C1 ZINC001329706156 863801869 /nfs/dbraw/zinc/80/18/69/863801869.db2.gz WDEGSVCXXNGQIB-OAHLLOKOSA-N 1 2 324.465 1.711 20 30 DDEDLO CCC(CC)[C@H](C)C(=O)N[C@@H](C)C1C[NH+](CC(=O)NCC#N)C1 ZINC001330021671 864023643 /nfs/dbraw/zinc/02/36/43/864023643.db2.gz MCCKFPNJYILPIU-STQMWFEESA-N 1 2 322.453 1.135 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C2(C)CCCCC2)C1 ZINC001330049975 864047620 /nfs/dbraw/zinc/04/76/20/864047620.db2.gz XWGXNXRQRXIFCJ-CQSZACIVSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3cnon3)C[C@H]21 ZINC001330340743 864253383 /nfs/dbraw/zinc/25/33/83/864253383.db2.gz UYSZQDPCWZPVOI-HGTKMLMNSA-N 1 2 304.394 1.466 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3cnon3)C[C@H]21 ZINC001330340743 864253396 /nfs/dbraw/zinc/25/33/96/864253396.db2.gz UYSZQDPCWZPVOI-HGTKMLMNSA-N 1 2 304.394 1.466 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC001331264231 864974333 /nfs/dbraw/zinc/97/43/33/864974333.db2.gz CFWDRODWOHQLCC-CYBMUJFWSA-N 1 2 312.373 1.375 20 30 DDEDLO C[C@H]1C[NH+](Cc2cc3c(cc[nH]c3=O)o2)C[C@H](C)N1CC#N ZINC001331566188 865158235 /nfs/dbraw/zinc/15/82/35/865158235.db2.gz OBZYEOWBSRJJNT-RYUDHWBXSA-N 1 2 300.362 1.952 20 30 DDEDLO N#CC[C@@H](C(=O)N1CC[NH+]([C@H]2CCOC2)CC1)c1ccccc1 ZINC001361543693 881861239 /nfs/dbraw/zinc/86/12/39/881861239.db2.gz AFYMHKDBTJWZEO-DLBZAZTESA-N 1 2 313.401 1.617 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc(Cl)cc3C(N)=O)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225523758 881885516 /nfs/dbraw/zinc/88/55/16/881885516.db2.gz OLWFFAKMDZASBE-LSVRBPJHSA-N 1 2 308.765 1.430 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc(Cl)cc3C(N)=O)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225523758 881885530 /nfs/dbraw/zinc/88/55/30/881885530.db2.gz OLWFFAKMDZASBE-LSVRBPJHSA-N 1 2 308.765 1.430 20 30 DDEDLO C=CCn1cccc1C(=O)NCCC[NH2+][C@H](C)c1noc(C)n1 ZINC001159988299 865631269 /nfs/dbraw/zinc/63/12/69/865631269.db2.gz VTCVNUMAPOWYOB-GFCCVEGCSA-N 1 2 317.393 1.836 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)[C@H]1C ZINC001332197456 865656802 /nfs/dbraw/zinc/65/68/02/865656802.db2.gz CBPZAFIMHBJGKP-LSDHHAIUSA-N 1 2 320.437 1.342 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)[C@H]1C ZINC001332197456 865656807 /nfs/dbraw/zinc/65/68/07/865656807.db2.gz CBPZAFIMHBJGKP-LSDHHAIUSA-N 1 2 320.437 1.342 20 30 DDEDLO CC(C)C#CC(=O)NCCC1=CC[N@H+](Cc2cnon2)CC1 ZINC001160054744 865657132 /nfs/dbraw/zinc/65/71/32/865657132.db2.gz JLFGASMXUBTNFG-UHFFFAOYSA-N 1 2 302.378 1.367 20 30 DDEDLO CC(C)C#CC(=O)NCCC1=CC[N@@H+](Cc2cnon2)CC1 ZINC001160054744 865657137 /nfs/dbraw/zinc/65/71/37/865657137.db2.gz JLFGASMXUBTNFG-UHFFFAOYSA-N 1 2 302.378 1.367 20 30 DDEDLO CC(C)(C)C#CC(=O)NCCC1=CC[N@H+](Cc2cnon2)CC1 ZINC001160084861 865673828 /nfs/dbraw/zinc/67/38/28/865673828.db2.gz WPTYHVZIPMGWEO-UHFFFAOYSA-N 1 2 316.405 1.758 20 30 DDEDLO CC(C)(C)C#CC(=O)NCCC1=CC[N@@H+](Cc2cnon2)CC1 ZINC001160084861 865673830 /nfs/dbraw/zinc/67/38/30/865673830.db2.gz WPTYHVZIPMGWEO-UHFFFAOYSA-N 1 2 316.405 1.758 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@H+](Cc2ccn(C)n2)[C@H]1C ZINC001332221320 865676276 /nfs/dbraw/zinc/67/62/76/865676276.db2.gz XJDRTCDMUSETMB-HOCLYGCPSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@@H+](Cc2ccn(C)n2)[C@H]1C ZINC001332221320 865676281 /nfs/dbraw/zinc/67/62/81/865676281.db2.gz XJDRTCDMUSETMB-HOCLYGCPSA-N 1 2 320.437 1.482 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H]([NH2+]Cc2nc(C)no2)C(C)(C)C1 ZINC001332371002 865794986 /nfs/dbraw/zinc/79/49/86/865794986.db2.gz BQFZMLUIKWSCCC-CQSZACIVSA-N 1 2 318.421 1.898 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1nc(C)ccc1CC#N ZINC001160694901 866040576 /nfs/dbraw/zinc/04/05/76/866040576.db2.gz BOQFVIGCQFECIV-CQSZACIVSA-N 1 2 313.361 1.386 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](c1ccccc1)[C@H](O)C(C)C ZINC001332679777 866061138 /nfs/dbraw/zinc/06/11/38/866061138.db2.gz KLNOCTZERWQTSF-RCCFBDPRSA-N 1 2 314.429 1.958 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](c1ccccc1)[C@H](O)C(C)C ZINC001332679777 866061148 /nfs/dbraw/zinc/06/11/48/866061148.db2.gz KLNOCTZERWQTSF-RCCFBDPRSA-N 1 2 314.429 1.958 20 30 DDEDLO CC(C)N(CC[N@H+](C)CC(=O)NCC#N)C(=O)[C@H]1CCC1(C)C ZINC001332722546 866097558 /nfs/dbraw/zinc/09/75/58/866097558.db2.gz HYTIXWQAZHUYSS-CQSZACIVSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)N(CC[N@@H+](C)CC(=O)NCC#N)C(=O)[C@H]1CCC1(C)C ZINC001332722546 866097569 /nfs/dbraw/zinc/09/75/69/866097569.db2.gz HYTIXWQAZHUYSS-CQSZACIVSA-N 1 2 322.453 1.231 20 30 DDEDLO CCOC(=O)C[NH+]1CCC(Nc2cc(OC)cc(C#N)n2)CC1 ZINC001161086020 866362348 /nfs/dbraw/zinc/36/23/48/866362348.db2.gz PPNHQLDXQWXKPZ-UHFFFAOYSA-N 1 2 318.377 1.401 20 30 DDEDLO C=C1CCC(CNC(=O)C(=O)N[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC001333049532 866372394 /nfs/dbraw/zinc/37/23/94/866372394.db2.gz JMMIFAGIFONZKT-AWEZNQCLSA-N 1 2 316.405 1.177 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)c1cc(Cl)c[nH]1 ZINC001323183385 866410378 /nfs/dbraw/zinc/41/03/78/866410378.db2.gz SAWHSDRBUHLMIY-JQWIXIFHSA-N 1 2 324.812 1.163 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)c1cc(Cl)c[nH]1 ZINC001323183385 866410384 /nfs/dbraw/zinc/41/03/84/866410384.db2.gz SAWHSDRBUHLMIY-JQWIXIFHSA-N 1 2 324.812 1.163 20 30 DDEDLO CC(C)N(C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)C#CC1CC1)C(C)C ZINC001323225529 866445795 /nfs/dbraw/zinc/44/57/95/866445795.db2.gz IMEWIQCPCGVQAC-MRXNPFEDSA-N 1 2 319.449 1.236 20 30 DDEDLO CC(C)N(C(=O)C[N@H+]1CC[C@@H]1CNC(=O)C#CC1CC1)C(C)C ZINC001323225529 866445796 /nfs/dbraw/zinc/44/57/96/866445796.db2.gz IMEWIQCPCGVQAC-MRXNPFEDSA-N 1 2 319.449 1.236 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CC[N@H+]1Cc1c(C)noc1CC ZINC001323231913 866455914 /nfs/dbraw/zinc/45/59/14/866455914.db2.gz MFDLDLWIMUTQSO-GUYCJALGSA-N 1 2 321.421 1.563 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CC[N@@H+]1Cc1c(C)noc1CC ZINC001323231913 866455915 /nfs/dbraw/zinc/45/59/15/866455915.db2.gz MFDLDLWIMUTQSO-GUYCJALGSA-N 1 2 321.421 1.563 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(OC)nc1 ZINC001323233542 866457570 /nfs/dbraw/zinc/45/75/70/866457570.db2.gz WJNRSYICPDXMJB-HNNXBMFYSA-N 1 2 301.390 1.584 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(OC)nc1 ZINC001323233542 866457573 /nfs/dbraw/zinc/45/75/73/866457573.db2.gz WJNRSYICPDXMJB-HNNXBMFYSA-N 1 2 301.390 1.584 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+]1Cc1ccc(OC)nc1 ZINC001323233543 866457772 /nfs/dbraw/zinc/45/77/72/866457772.db2.gz WJNRSYICPDXMJB-OAHLLOKOSA-N 1 2 301.390 1.584 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(OC)nc1 ZINC001323233543 866457773 /nfs/dbraw/zinc/45/77/73/866457773.db2.gz WJNRSYICPDXMJB-OAHLLOKOSA-N 1 2 301.390 1.584 20 30 DDEDLO C=CCCC(=O)N1CC[C@@]2(CC[N@@H+](Cc3nnc(C)o3)C2)C1 ZINC001323844389 866885688 /nfs/dbraw/zinc/88/56/88/866885688.db2.gz KHWSXSJTRQSJCW-MRXNPFEDSA-N 1 2 304.394 1.769 20 30 DDEDLO C=CCCC(=O)N1CC[C@@]2(CC[N@H+](Cc3nnc(C)o3)C2)C1 ZINC001323844389 866885696 /nfs/dbraw/zinc/88/56/96/866885696.db2.gz KHWSXSJTRQSJCW-MRXNPFEDSA-N 1 2 304.394 1.769 20 30 DDEDLO N#CCNC[C@H]1CCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001324198138 867138177 /nfs/dbraw/zinc/13/81/77/867138177.db2.gz CBZGNUAQXBSHHU-MRXNPFEDSA-N 1 2 309.373 1.590 20 30 DDEDLO C[C@@H](C#N)C(=O)NC/C=C\C[NH2+]Cc1cnn(-c2ccccc2)n1 ZINC001320998698 867191985 /nfs/dbraw/zinc/19/19/85/867191985.db2.gz PSDUHGCQSTZYKS-GXMNPTEKSA-N 1 2 324.388 1.189 20 30 DDEDLO CC(C)c1nsc(C[NH2+]C/C=C\CNC(=O)[C@@H](C)C#N)n1 ZINC001320999257 867193211 /nfs/dbraw/zinc/19/32/11/867193211.db2.gz TZHIYORHJANYFX-WYGGZMRJSA-N 1 2 307.423 1.583 20 30 DDEDLO C=C(C)CCC(=O)NC1(C)CC[NH+](Cc2nncn2C)CC1 ZINC001324318247 867201571 /nfs/dbraw/zinc/20/15/71/867201571.db2.gz IEKMDXVKKNWBKJ-UHFFFAOYSA-N 1 2 305.426 1.642 20 30 DDEDLO C#CCN(C(=O)C1CC1)C1CC[NH+](Cc2nocc2C)CC1 ZINC001324353393 867223672 /nfs/dbraw/zinc/22/36/72/867223672.db2.gz RBOYDVAJTAWCHW-UHFFFAOYSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H](CNCC#N)C2CCCC2)c[nH+]1 ZINC001324482629 867304204 /nfs/dbraw/zinc/30/42/04/867304204.db2.gz NRGURXONPUBQJX-HNNXBMFYSA-N 1 2 317.437 1.715 20 30 DDEDLO CCC(=CC(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)CC ZINC001324559649 867354519 /nfs/dbraw/zinc/35/45/19/867354519.db2.gz INYILNHPNASEJN-INIZCTEOSA-N 1 2 304.438 1.475 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@](C)(NC(=O)[C@H](CC)SC)C1 ZINC001324601237 867394489 /nfs/dbraw/zinc/39/44/89/867394489.db2.gz KDARVGKPWKLMAN-SWLSCSKDSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H](CC)SC)C1 ZINC001324601237 867394508 /nfs/dbraw/zinc/39/45/08/867394508.db2.gz KDARVGKPWKLMAN-SWLSCSKDSA-N 1 2 313.467 1.011 20 30 DDEDLO COC(=O)c1cc(C)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001225878971 882083558 /nfs/dbraw/zinc/08/35/58/882083558.db2.gz TVEVCWWCOMELHJ-UCHAVSLVSA-N 1 2 303.358 1.773 20 30 DDEDLO COC(=O)c1cc(C)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001225878971 882083562 /nfs/dbraw/zinc/08/35/62/882083562.db2.gz TVEVCWWCOMELHJ-UCHAVSLVSA-N 1 2 303.358 1.773 20 30 DDEDLO C=C(C)CCC(=O)NC[C@]1(O)CC[N@H+](Cc2ncc(C)o2)C1 ZINC001325101884 867773163 /nfs/dbraw/zinc/77/31/63/867773163.db2.gz JUMSYGJARHAFFY-MRXNPFEDSA-N 1 2 307.394 1.392 20 30 DDEDLO C=C(C)CCC(=O)NC[C@]1(O)CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001325101884 867773167 /nfs/dbraw/zinc/77/31/67/867773167.db2.gz JUMSYGJARHAFFY-MRXNPFEDSA-N 1 2 307.394 1.392 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC001325229382 867877760 /nfs/dbraw/zinc/87/77/60/867877760.db2.gz ZDWLPDZSFAIOOF-CQSZACIVSA-N 1 2 324.384 1.697 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC001325229382 867877769 /nfs/dbraw/zinc/87/77/69/867877769.db2.gz ZDWLPDZSFAIOOF-CQSZACIVSA-N 1 2 324.384 1.697 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001335117102 868077038 /nfs/dbraw/zinc/07/70/38/868077038.db2.gz WNVICSSZUORVJP-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(-c2cc(C#N)cc(Cl)n2)cn1 ZINC001163412959 868429749 /nfs/dbraw/zinc/42/97/49/868429749.db2.gz RYYAMQFNQLCFCZ-NSHDSACASA-N 1 2 319.752 1.225 20 30 DDEDLO Cc1cc(C)c(CNC(=O)C(=O)N2CCC[C@@H](C#N)C2)c[nH+]1 ZINC001336060468 868705733 /nfs/dbraw/zinc/70/57/33/868705733.db2.gz VWNNUJIKSDRFLE-ZDUSSCGKSA-N 1 2 300.362 1.077 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2nc3ccccc3cc2CC#N)C[NH2+]1 ZINC001164307009 869109567 /nfs/dbraw/zinc/10/95/67/869109567.db2.gz SBAPGNZAFNZSTA-ZFWWWQNUSA-N 1 2 310.357 1.616 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)c2cccs2)C1 ZINC001316970008 870018571 /nfs/dbraw/zinc/01/85/71/870018571.db2.gz VFXSQEYEICAQPF-NEPJUHHUSA-N 1 2 307.419 1.243 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)c2cccs2)C1 ZINC001316970008 870018588 /nfs/dbraw/zinc/01/85/88/870018588.db2.gz VFXSQEYEICAQPF-NEPJUHHUSA-N 1 2 307.419 1.243 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2CCC(F)CC2)C1 ZINC001316985519 870070499 /nfs/dbraw/zinc/07/04/99/870070499.db2.gz GVXZGMQOGUNIMD-RUXDESIVSA-N 1 2 311.401 1.007 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2CCC(F)CC2)C1 ZINC001316985519 870070514 /nfs/dbraw/zinc/07/05/14/870070514.db2.gz GVXZGMQOGUNIMD-RUXDESIVSA-N 1 2 311.401 1.007 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001297690968 870139456 /nfs/dbraw/zinc/13/94/56/870139456.db2.gz KADRSKGHAIADFD-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001317053816 870172039 /nfs/dbraw/zinc/17/20/39/870172039.db2.gz CHZKUHLHJGWSLX-ZDUSSCGKSA-N 1 2 319.409 1.020 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](NC(=O)Cc1c[nH]c[nH+]1)C(C)(C)C ZINC001338951799 870262382 /nfs/dbraw/zinc/26/23/82/870262382.db2.gz PVCIRHMIWMACQJ-AWEZNQCLSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)[C@@H]1CC[C@H]1OC ZINC001339211536 870406551 /nfs/dbraw/zinc/40/65/51/870406551.db2.gz QKDUOXFVVUBFSW-CHWSQXEVSA-N 1 2 316.409 1.402 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CC(N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001339226261 870410923 /nfs/dbraw/zinc/41/09/23/870410923.db2.gz KDYOSMRBIRIQBV-WLYUNCDWSA-N 1 2 318.421 1.591 20 30 DDEDLO C[C@H](NC(=O)CCc1[nH+]ccn1C)[C@@H]1CCCN(CC#N)C1 ZINC001317211293 870478097 /nfs/dbraw/zinc/47/80/97/870478097.db2.gz XXJIIQJXEJCUAW-UONOGXRCSA-N 1 2 303.410 1.093 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC/C=C/CNC(=O)Cc1c[nH+]cn1C ZINC001298481900 870573092 /nfs/dbraw/zinc/57/30/92/870573092.db2.gz CXFVCBNJSWYPOE-HYLRALAJSA-N 1 2 318.421 1.209 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001298604656 870642513 /nfs/dbraw/zinc/64/25/13/870642513.db2.gz DDVXXZOUHTZKJD-VXGBXAGGSA-N 1 2 306.410 1.421 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001298604656 870642523 /nfs/dbraw/zinc/64/25/23/870642523.db2.gz DDVXXZOUHTZKJD-VXGBXAGGSA-N 1 2 306.410 1.421 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@H+]1[C@H](C)c1ncc(C)o1 ZINC001317494881 870915295 /nfs/dbraw/zinc/91/52/95/870915295.db2.gz HACYMEYMFRTCAJ-ZIAGYGMSSA-N 1 2 307.394 1.827 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@@H+]1[C@H](C)c1ncc(C)o1 ZINC001317494881 870915305 /nfs/dbraw/zinc/91/53/05/870915305.db2.gz HACYMEYMFRTCAJ-ZIAGYGMSSA-N 1 2 307.394 1.827 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+](Cc2nnnn2CCC)C1 ZINC001317526750 870994578 /nfs/dbraw/zinc/99/45/78/870994578.db2.gz JMRZHUDPNIIXOJ-CYBMUJFWSA-N 1 2 320.441 1.233 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+](Cc2nnnn2CCC)C1 ZINC001317526750 870994584 /nfs/dbraw/zinc/99/45/84/870994584.db2.gz JMRZHUDPNIIXOJ-CYBMUJFWSA-N 1 2 320.441 1.233 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1C[C@@H]2COC[C@@H]2C1 ZINC001340298355 871018054 /nfs/dbraw/zinc/01/80/54/871018054.db2.gz ZTTILANIXMZYPP-GASCZTMLSA-N 1 2 317.437 1.533 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCCC2(CC2)C1 ZINC001340376888 871068135 /nfs/dbraw/zinc/06/81/35/871068135.db2.gz YKZJWGBHWLAHRU-UONOGXRCSA-N 1 2 317.437 1.582 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCCC2(CC2)C1 ZINC001340376888 871068149 /nfs/dbraw/zinc/06/81/49/871068149.db2.gz YKZJWGBHWLAHRU-UONOGXRCSA-N 1 2 317.437 1.582 20 30 DDEDLO C=CC1CCN(c2nnc([C@@]3(C)C[C@@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001340762412 871335310 /nfs/dbraw/zinc/33/53/10/871335310.db2.gz KHEVSXKVLQJSFX-RHSMWYFYSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CC1CCN(c2nnc([C@@]3(C)C[C@@H](O)C[N@H+]3C)n2CC)CC1 ZINC001340762412 871335330 /nfs/dbraw/zinc/33/53/30/871335330.db2.gz KHEVSXKVLQJSFX-RHSMWYFYSA-N 1 2 319.453 1.612 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H](C)C(CC)CC)C1 ZINC001317975351 871666527 /nfs/dbraw/zinc/66/65/27/871666527.db2.gz LOVWSUGVDPMUAQ-HOCLYGCPSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)C(CC)CC)C1 ZINC001317975351 871666534 /nfs/dbraw/zinc/66/65/34/871666534.db2.gz LOVWSUGVDPMUAQ-HOCLYGCPSA-N 1 2 321.465 1.389 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@H]2CNC(=O)C#CC2CC2)s1 ZINC001317498851 871681095 /nfs/dbraw/zinc/68/10/95/871681095.db2.gz KSEBSGUJQFGROV-AWEZNQCLSA-N 1 2 303.431 1.946 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@H]2CNC(=O)C#CC2CC2)s1 ZINC001317498851 871681103 /nfs/dbraw/zinc/68/11/03/871681103.db2.gz KSEBSGUJQFGROV-AWEZNQCLSA-N 1 2 303.431 1.946 20 30 DDEDLO Cn1nccc1CC[NH+]1CC(NC(=O)CC#Cc2ccccc2)C1 ZINC001318089109 871736999 /nfs/dbraw/zinc/73/69/99/871736999.db2.gz JYLIXYYDRSOUTG-UHFFFAOYSA-N 1 2 322.412 1.205 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@@H]2C[C@H](C)CCN2C(=O)C#CC(C)C)o1 ZINC001316700136 871847207 /nfs/dbraw/zinc/84/72/07/871847207.db2.gz YUNFYHINYVZFDE-HIFRSBDPSA-N 1 2 318.421 1.754 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(=O)c(OC)co2)C1 ZINC001318240199 871864015 /nfs/dbraw/zinc/86/40/15/871864015.db2.gz HQLXHGYULINVSO-LBPRGKRZSA-N 1 2 306.362 1.305 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cc(=O)c(OC)co2)C1 ZINC001318240199 871864029 /nfs/dbraw/zinc/86/40/29/871864029.db2.gz HQLXHGYULINVSO-LBPRGKRZSA-N 1 2 306.362 1.305 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001318253148 871878540 /nfs/dbraw/zinc/87/85/40/871878540.db2.gz MERKNWYORAYCML-OCCSQVGLSA-N 1 2 305.378 1.020 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001318253148 871878564 /nfs/dbraw/zinc/87/85/64/871878564.db2.gz MERKNWYORAYCML-OCCSQVGLSA-N 1 2 305.378 1.020 20 30 DDEDLO C[C@H](C#N)C(=O)NC1CC[NH+](Cc2nc3c(o2)CCCC3)CC1 ZINC001226639795 882559856 /nfs/dbraw/zinc/55/98/56/882559856.db2.gz GZNBFNLZDYSOGI-GFCCVEGCSA-N 1 2 316.405 1.794 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)C(F)(F)F)C1 ZINC001318365671 871961336 /nfs/dbraw/zinc/96/13/36/871961336.db2.gz HOMBMOVUXCFSQQ-SNVBAGLBSA-N 1 2 321.343 1.068 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)C(F)(F)F)C1 ZINC001318365671 871961347 /nfs/dbraw/zinc/96/13/47/871961347.db2.gz HOMBMOVUXCFSQQ-SNVBAGLBSA-N 1 2 321.343 1.068 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C[NH+]2CCC(CO)CC2)n1CC=C ZINC001342150198 872037648 /nfs/dbraw/zinc/03/76/48/872037648.db2.gz PJAIXJHHOHODRN-CQSZACIVSA-N 1 2 317.437 1.126 20 30 DDEDLO C#CCSCCNC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001318650749 872186199 /nfs/dbraw/zinc/18/61/99/872186199.db2.gz IXWGBDVNRHIAMC-INIZCTEOSA-N 1 2 317.458 1.919 20 30 DDEDLO C#CCSCCNC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001318650749 872186205 /nfs/dbraw/zinc/18/62/05/872186205.db2.gz IXWGBDVNRHIAMC-INIZCTEOSA-N 1 2 317.458 1.919 20 30 DDEDLO CCc1nc(C(=O)N[C@H]2CCC[N@H+](CC#CCOC)C2)c(C)o1 ZINC001316950221 872450053 /nfs/dbraw/zinc/45/00/53/872450053.db2.gz LKOHJGLTRNLSPN-AWEZNQCLSA-N 1 2 319.405 1.389 20 30 DDEDLO CCc1nc(C(=O)N[C@H]2CCC[N@@H+](CC#CCOC)C2)c(C)o1 ZINC001316950221 872450078 /nfs/dbraw/zinc/45/00/78/872450078.db2.gz LKOHJGLTRNLSPN-AWEZNQCLSA-N 1 2 319.405 1.389 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3c(F)cc([N+](=O)[O-])cc3F)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226744122 882624992 /nfs/dbraw/zinc/62/49/92/882624992.db2.gz LWTMLVHSLWTHFI-PEUDNIOHSA-N 1 2 312.272 1.864 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3c(F)cc([N+](=O)[O-])cc3F)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226744122 882625004 /nfs/dbraw/zinc/62/50/04/882625004.db2.gz LWTMLVHSLWTHFI-PEUDNIOHSA-N 1 2 312.272 1.864 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1C[N@H+](CCOC2CCC2)CCO1 ZINC001319311229 872559682 /nfs/dbraw/zinc/55/96/82/872559682.db2.gz TZUMMDVHWGTALV-INIZCTEOSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1C[N@@H+](CCOC2CCC2)CCO1 ZINC001319311229 872559694 /nfs/dbraw/zinc/55/96/94/872559694.db2.gz TZUMMDVHWGTALV-INIZCTEOSA-N 1 2 324.465 1.975 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001206912633 872752176 /nfs/dbraw/zinc/75/21/76/872752176.db2.gz VMILWSLDXSPTPA-UKRRQHHQSA-N 1 2 303.406 1.977 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001206912633 872752183 /nfs/dbraw/zinc/75/21/83/872752183.db2.gz VMILWSLDXSPTPA-UKRRQHHQSA-N 1 2 303.406 1.977 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cncc(F)c2)C[C@H]1C ZINC001206963363 872853902 /nfs/dbraw/zinc/85/39/02/872853902.db2.gz BMCFUPJDNXALSG-XJKCOSOUSA-N 1 2 319.380 1.196 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cncc(F)c2)C[C@H]1C ZINC001206963363 872853906 /nfs/dbraw/zinc/85/39/06/872853906.db2.gz BMCFUPJDNXALSG-XJKCOSOUSA-N 1 2 319.380 1.196 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]1CN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001344181862 872917821 /nfs/dbraw/zinc/91/78/21/872917821.db2.gz VKTOUAHGTKMBCB-AWEZNQCLSA-N 1 2 316.405 1.205 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2C)C[C@H]1C ZINC001207093858 873006843 /nfs/dbraw/zinc/00/68/43/873006843.db2.gz QIGPGDLWPJPRHO-CZUORRHYSA-N 1 2 317.437 1.520 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2C)C[C@H]1C ZINC001207093858 873006860 /nfs/dbraw/zinc/00/68/60/873006860.db2.gz QIGPGDLWPJPRHO-CZUORRHYSA-N 1 2 317.437 1.520 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nncn2C)C[C@H]1C ZINC001207165441 873097185 /nfs/dbraw/zinc/09/71/85/873097185.db2.gz NZXXXSCQELKUDI-HZSPNIEDSA-N 1 2 319.453 1.915 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nncn2C)C[C@H]1C ZINC001207165441 873097200 /nfs/dbraw/zinc/09/72/00/873097200.db2.gz NZXXXSCQELKUDI-HZSPNIEDSA-N 1 2 319.453 1.915 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](CC)CC(C)C)C2)C1 ZINC001276549815 873652655 /nfs/dbraw/zinc/65/26/55/873652655.db2.gz WCNALMINYOYAJN-FUHWJXTLSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](CC)CC(C)C)C2)C1 ZINC001276549815 873652657 /nfs/dbraw/zinc/65/26/57/873652657.db2.gz WCNALMINYOYAJN-FUHWJXTLSA-N 1 2 306.450 1.995 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2C)C[C@H]1C ZINC001208508729 874205311 /nfs/dbraw/zinc/20/53/11/874205311.db2.gz GHXSSMHAMGOJFR-XPKDYRNWSA-N 1 2 305.426 1.354 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2C)C[C@H]1C ZINC001208508729 874205324 /nfs/dbraw/zinc/20/53/24/874205324.db2.gz GHXSSMHAMGOJFR-XPKDYRNWSA-N 1 2 305.426 1.354 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)CCn2cc(C)cn2)C1 ZINC001378187362 874374101 /nfs/dbraw/zinc/37/41/01/874374101.db2.gz FCFQHHVDXVQYPN-AWEZNQCLSA-N 1 2 310.829 1.867 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)CCn2cc(C)cn2)C1 ZINC001378187362 874374115 /nfs/dbraw/zinc/37/41/15/874374115.db2.gz FCFQHHVDXVQYPN-AWEZNQCLSA-N 1 2 310.829 1.867 20 30 DDEDLO CCCc1nc(C[NH2+]C2(CNC(=O)[C@H](C)C#N)CCCC2)no1 ZINC001378686822 875418677 /nfs/dbraw/zinc/41/86/77/875418677.db2.gz UZNNMHILOHHJLE-GFCCVEGCSA-N 1 2 319.409 1.700 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nc(C)cs2)C[C@H]1C ZINC001211425715 875802820 /nfs/dbraw/zinc/80/28/20/875802820.db2.gz PWSKDYNAPYTTBI-MRVWCRGKSA-N 1 2 323.462 1.979 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nc(C)cs2)C[C@H]1C ZINC001211425715 875802827 /nfs/dbraw/zinc/80/28/27/875802827.db2.gz PWSKDYNAPYTTBI-MRVWCRGKSA-N 1 2 323.462 1.979 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001350711152 875850417 /nfs/dbraw/zinc/85/04/17/875850417.db2.gz JKXXRDNQZJLTMB-WMLDXEAASA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213317581 875895764 /nfs/dbraw/zinc/89/57/64/875895764.db2.gz PFJQFGLYAZQIQJ-FVQBIDKESA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213317581 875895769 /nfs/dbraw/zinc/89/57/69/875895769.db2.gz PFJQFGLYAZQIQJ-FVQBIDKESA-N 1 2 320.437 1.338 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1CC=C ZINC001351543375 876313791 /nfs/dbraw/zinc/31/37/91/876313791.db2.gz DSZUAHIVHRQMCV-OAHLLOKOSA-N 1 2 317.437 1.705 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1CC=C ZINC001351543375 876313804 /nfs/dbraw/zinc/31/38/04/876313804.db2.gz DSZUAHIVHRQMCV-OAHLLOKOSA-N 1 2 317.437 1.705 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cnc(C)o3)C[C@@H]21 ZINC001217758024 877317956 /nfs/dbraw/zinc/31/79/56/877317956.db2.gz HBIAJBHWRMEKSA-JKSUJKDBSA-N 1 2 317.389 1.198 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cnc(C)o3)C[C@@H]21 ZINC001217758024 877317975 /nfs/dbraw/zinc/31/79/75/877317975.db2.gz HBIAJBHWRMEKSA-JKSUJKDBSA-N 1 2 317.389 1.198 20 30 DDEDLO C=C1CC[NH2+]C[C@H]1Oc1[nH]c(=O)nc2cc(OC)c(OC)cc21 ZINC001218203086 877418129 /nfs/dbraw/zinc/41/81/29/877418129.db2.gz BKQZJMAXVINTKB-CQSZACIVSA-N 1 2 317.345 1.650 20 30 DDEDLO N#CCSCC(=O)NC[C@H]1CC[N@H+]1Cc1ccc(F)cc1 ZINC001276793252 877678553 /nfs/dbraw/zinc/67/85/53/877678553.db2.gz QBTYRSWPROPCNX-CQSZACIVSA-N 1 2 307.394 1.773 20 30 DDEDLO N#CCSCC(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(F)cc1 ZINC001276793252 877678561 /nfs/dbraw/zinc/67/85/61/877678561.db2.gz QBTYRSWPROPCNX-CQSZACIVSA-N 1 2 307.394 1.773 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219531948 878304218 /nfs/dbraw/zinc/30/42/18/878304218.db2.gz BHCDBMLFHANLBT-CVEARBPZSA-N 1 2 320.820 1.805 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219531948 878304238 /nfs/dbraw/zinc/30/42/38/878304238.db2.gz BHCDBMLFHANLBT-CVEARBPZSA-N 1 2 320.820 1.805 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001354912945 878311967 /nfs/dbraw/zinc/31/19/67/878311967.db2.gz QZLCCSHBWFRXQN-CQSZACIVSA-N 1 2 316.405 1.029 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)OCCCCCC)[C@@H](O)C1 ZINC001219623591 878399138 /nfs/dbraw/zinc/39/91/38/878399138.db2.gz ZZXFPORVGVJGGK-XHSDSOJGSA-N 1 2 310.438 1.156 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)OCCCCCC)[C@@H](O)C1 ZINC001219623591 878399157 /nfs/dbraw/zinc/39/91/57/878399157.db2.gz ZZXFPORVGVJGGK-XHSDSOJGSA-N 1 2 310.438 1.156 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)OC)c(O)c1 ZINC001355096193 878424194 /nfs/dbraw/zinc/42/41/94/878424194.db2.gz SRZZGACJTWPEIZ-ZDUSSCGKSA-N 1 2 302.330 1.215 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)OC)c(O)c1 ZINC001355096193 878424201 /nfs/dbraw/zinc/42/42/01/878424201.db2.gz SRZZGACJTWPEIZ-ZDUSSCGKSA-N 1 2 302.330 1.215 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@@H]1O ZINC001219706779 878468199 /nfs/dbraw/zinc/46/81/99/878468199.db2.gz NZBPHOLVLUMWLW-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@@H]1O ZINC001219706779 878468212 /nfs/dbraw/zinc/46/82/12/878468212.db2.gz NZBPHOLVLUMWLW-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001287643066 912371151 /nfs/dbraw/zinc/37/11/51/912371151.db2.gz NSZUUECJLHMWFN-AWEZNQCLSA-N 1 2 318.421 1.499 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001287643066 912371170 /nfs/dbraw/zinc/37/11/70/912371170.db2.gz NSZUUECJLHMWFN-AWEZNQCLSA-N 1 2 318.421 1.499 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220146733 878739454 /nfs/dbraw/zinc/73/94/54/878739454.db2.gz OINXZXORPDYRPJ-CABCVRRESA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220146733 878739473 /nfs/dbraw/zinc/73/94/73/878739473.db2.gz OINXZXORPDYRPJ-CABCVRRESA-N 1 2 319.405 1.228 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@@H]1O ZINC001220201821 878816559 /nfs/dbraw/zinc/81/65/59/878816559.db2.gz ZXBKJFFDPKKKSZ-DFBGVHRSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@@H]1O ZINC001220201821 878816570 /nfs/dbraw/zinc/81/65/70/878816570.db2.gz ZXBKJFFDPKKKSZ-DFBGVHRSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)cc2)C[C@@H]1O ZINC001221140754 879527694 /nfs/dbraw/zinc/52/76/94/879527694.db2.gz BNWUORYEQVTJFJ-MAZHCROVSA-N 1 2 322.380 1.078 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)cc2)C[C@@H]1O ZINC001221140754 879527714 /nfs/dbraw/zinc/52/77/14/879527714.db2.gz BNWUORYEQVTJFJ-MAZHCROVSA-N 1 2 322.380 1.078 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3C)C[C@H]21 ZINC001221488508 879876697 /nfs/dbraw/zinc/87/66/97/879876697.db2.gz LIUZDSDBZYMPBL-GDBMZVCRSA-N 1 2 315.421 1.041 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3C)C[C@H]21 ZINC001221488508 879876711 /nfs/dbraw/zinc/87/67/11/879876711.db2.gz LIUZDSDBZYMPBL-GDBMZVCRSA-N 1 2 315.421 1.041 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001221488442 879877681 /nfs/dbraw/zinc/87/76/81/879877681.db2.gz IPDNIGMYNGVKEY-NVXWUHKLSA-N 1 2 314.433 1.646 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]2CC[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001221488442 879877698 /nfs/dbraw/zinc/87/76/98/879877698.db2.gz IPDNIGMYNGVKEY-NVXWUHKLSA-N 1 2 314.433 1.646 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(C)COC)[C@@H]2C1 ZINC001221691548 880002978 /nfs/dbraw/zinc/00/29/78/880002978.db2.gz NMHTVUAXOIUCKC-CHWSQXEVSA-N 1 2 300.830 1.944 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)C(C)(C)COC)[C@@H]2C1 ZINC001221691548 880002961 /nfs/dbraw/zinc/00/29/61/880002961.db2.gz NMHTVUAXOIUCKC-CHWSQXEVSA-N 1 2 300.830 1.944 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@H](C)CC)C[C@H]21 ZINC001221780014 880077550 /nfs/dbraw/zinc/07/75/50/880077550.db2.gz XOWVQOOXNZAZIT-BZUAXINKSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@H](C)CC)C[C@H]21 ZINC001221780014 880077565 /nfs/dbraw/zinc/07/75/65/880077565.db2.gz XOWVQOOXNZAZIT-BZUAXINKSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@H]2CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001358643447 880671115 /nfs/dbraw/zinc/67/11/15/880671115.db2.gz JJQSZNMJXAKMOB-AWEZNQCLSA-N 1 2 316.405 1.416 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001358736209 880829679 /nfs/dbraw/zinc/82/96/79/880829679.db2.gz SMCLXAYCQDMFQO-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001358736209 880829698 /nfs/dbraw/zinc/82/96/98/880829698.db2.gz SMCLXAYCQDMFQO-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)CCn2cc[nH+]c2)C1 ZINC001287943476 912613881 /nfs/dbraw/zinc/61/38/81/912613881.db2.gz PWGSIJORTFPLDB-HUUCEWRRSA-N 1 2 318.421 1.735 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](O/C(=N\O)c3cc(F)ccc3F)C[C@H]1[C@@H]1O[C@@H]12 ZINC001223890651 881135436 /nfs/dbraw/zinc/13/54/36/881135436.db2.gz WWSHUJIGVSOGFU-OGXHJEARSA-N 1 2 310.300 1.730 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](O/C(=N\O)c3cc(F)ccc3F)C[C@H]1[C@@H]1O[C@@H]12 ZINC001223890651 881135452 /nfs/dbraw/zinc/13/54/52/881135452.db2.gz WWSHUJIGVSOGFU-OGXHJEARSA-N 1 2 310.300 1.730 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1nnn(C)n1 ZINC001227917547 883231440 /nfs/dbraw/zinc/23/14/40/883231440.db2.gz ZBOYFVQURBKTNT-CHWSQXEVSA-N 1 2 320.441 1.329 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CCC([N@H+](C)CC(F)F)CC1 ZINC001362347992 883619605 /nfs/dbraw/zinc/61/96/05/883619605.db2.gz AILLXDNMFCKJBN-UHFFFAOYSA-N 1 2 310.348 1.996 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CCC([N@@H+](C)CC(F)F)CC1 ZINC001362347992 883619612 /nfs/dbraw/zinc/61/96/12/883619612.db2.gz AILLXDNMFCKJBN-UHFFFAOYSA-N 1 2 310.348 1.996 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001228750190 883652889 /nfs/dbraw/zinc/65/28/89/883652889.db2.gz XEIBQAORUXOKOK-OLZOCXBDSA-N 1 2 305.426 1.354 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC3(C[C@H]3C(N)=O)C2)c(Br)c1 ZINC001277430761 884230084 /nfs/dbraw/zinc/23/00/84/884230084.db2.gz LXNYBKZEPQGJBH-NSHDSACASA-N 1 2 320.190 1.628 20 30 DDEDLO N#C[C@@H](CCc1ccccc1)C(=O)N[C@H](CO)Cc1c[nH]c[nH+]1 ZINC001362796426 884662127 /nfs/dbraw/zinc/66/21/27/884662127.db2.gz UKPCNXKSORIXOR-ZBFHGGJFSA-N 1 2 312.373 1.202 20 30 DDEDLO N#C[C@@H](CCc1ccccc1)C(=O)N[C@H](CO)Cc1c[nH+]c[nH]1 ZINC001362796426 884662135 /nfs/dbraw/zinc/66/21/35/884662135.db2.gz UKPCNXKSORIXOR-ZBFHGGJFSA-N 1 2 312.373 1.202 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc(Cl)nc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001230878679 885001389 /nfs/dbraw/zinc/00/13/89/885001389.db2.gz HDFIUAYYINWMRD-NTBMCGCHSA-N 1 2 311.725 1.634 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc(Cl)nc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001230878679 885001399 /nfs/dbraw/zinc/00/13/99/885001399.db2.gz HDFIUAYYINWMRD-NTBMCGCHSA-N 1 2 311.725 1.634 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C\CC ZINC001231006332 885131487 /nfs/dbraw/zinc/13/14/87/885131487.db2.gz TVMGZTTWDOFSFS-QUWCCNNGSA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C\CC ZINC001231006332 885131494 /nfs/dbraw/zinc/13/14/94/885131494.db2.gz TVMGZTTWDOFSFS-QUWCCNNGSA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@H](C)C(C)C ZINC001231122626 885256423 /nfs/dbraw/zinc/25/64/23/885256423.db2.gz LVYKHAHVTHPCRF-HUUCEWRRSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@H](C)C(C)C ZINC001231122626 885256436 /nfs/dbraw/zinc/25/64/36/885256436.db2.gz LVYKHAHVTHPCRF-HUUCEWRRSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C\C(C)(C)C ZINC001231253967 885437623 /nfs/dbraw/zinc/43/76/23/885437623.db2.gz DCQZFTDKEKZAQZ-RLKNZVFVSA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C\C(C)(C)C ZINC001231253967 885437626 /nfs/dbraw/zinc/43/76/26/885437626.db2.gz DCQZFTDKEKZAQZ-RLKNZVFVSA-N 1 2 321.465 1.812 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)CNC(=O)Cc2ccccc2)C1 ZINC001277691816 886502098 /nfs/dbraw/zinc/50/20/98/886502098.db2.gz UHXDNPZJWUUHNA-UHFFFAOYSA-N 1 2 315.417 1.064 20 30 DDEDLO Cc1cc(NC2CC[NH+]([C@@H]3CCOC3=O)CC2)ncc1C#N ZINC001363717659 887056508 /nfs/dbraw/zinc/05/65/08/887056508.db2.gz CYDPLZREZQCXDK-CQSZACIVSA-N 1 2 300.362 1.454 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(Cc2cc(C#N)ccn2)CC1 ZINC001363778607 887197951 /nfs/dbraw/zinc/19/79/51/887197951.db2.gz FJRXMGQNHYPIKB-UHFFFAOYSA-N 1 2 311.389 1.876 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001233801873 887336758 /nfs/dbraw/zinc/33/67/58/887336758.db2.gz SGVSUJZQDSVNHP-GOEBONIOSA-N 1 2 319.449 1.380 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001233801873 887336775 /nfs/dbraw/zinc/33/67/75/887336775.db2.gz SGVSUJZQDSVNHP-GOEBONIOSA-N 1 2 319.449 1.380 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(S(=O)(=O)CC2(CC#N)CC2)C1 ZINC001363858800 887413563 /nfs/dbraw/zinc/41/35/63/887413563.db2.gz HUIQDCFZNQATBB-ZDUSSCGKSA-N 1 2 322.434 1.623 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001233959203 887500426 /nfs/dbraw/zinc/50/04/26/887500426.db2.gz YPTLQOOLKCFAKH-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001233959203 887500433 /nfs/dbraw/zinc/50/04/33/887500433.db2.gz YPTLQOOLKCFAKH-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001234171618 887709556 /nfs/dbraw/zinc/70/95/56/887709556.db2.gz QZJJNSWNAQNIOE-JKSUJKDBSA-N 1 2 319.449 1.188 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001234171618 887709566 /nfs/dbraw/zinc/70/95/66/887709566.db2.gz QZJJNSWNAQNIOE-JKSUJKDBSA-N 1 2 319.449 1.188 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)c2ccc(C#N)c(C)c2)[nH+]1 ZINC001364130915 887990242 /nfs/dbraw/zinc/99/02/42/887990242.db2.gz MBPQSVDZOWQGGT-UHFFFAOYSA-N 1 2 304.375 1.631 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2ccc(C#N)c(C)c2)c[nH+]1 ZINC001364130915 887990229 /nfs/dbraw/zinc/99/02/29/887990229.db2.gz MBPQSVDZOWQGGT-UHFFFAOYSA-N 1 2 304.375 1.631 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001234603526 888134528 /nfs/dbraw/zinc/13/45/28/888134528.db2.gz DKNHBMRSRMAVME-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001234603526 888134534 /nfs/dbraw/zinc/13/45/34/888134534.db2.gz DKNHBMRSRMAVME-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO CN(C)c1[nH+]ccc2c1CN(c1cnc(C#N)c(C#N)n1)CC2 ZINC001364263696 888249185 /nfs/dbraw/zinc/24/91/85/888249185.db2.gz BUNUMKCWDOJXDF-UHFFFAOYSA-N 1 2 305.345 1.244 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCC(F)(F)C1 ZINC001235070548 888394190 /nfs/dbraw/zinc/39/41/90/888394190.db2.gz JKHJTFMOOIXJDU-ZIAGYGMSSA-N 1 2 314.376 1.604 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCC(F)(F)C1 ZINC001235070548 888394206 /nfs/dbraw/zinc/39/42/06/888394206.db2.gz JKHJTFMOOIXJDU-ZIAGYGMSSA-N 1 2 314.376 1.604 20 30 DDEDLO N#CCCC[N@@H+]1C[C@H]2CN(C(=O)CCc3ccc[nH]3)C[C@@H](C1)O2 ZINC001277941162 888600852 /nfs/dbraw/zinc/60/08/52/888600852.db2.gz KXGDLXWHWQCFGJ-IYBDPMFKSA-N 1 2 316.405 1.163 20 30 DDEDLO N#CCCC[N@H+]1C[C@H]2CN(C(=O)CCc3ccc[nH]3)C[C@@H](C1)O2 ZINC001277941162 888600859 /nfs/dbraw/zinc/60/08/59/888600859.db2.gz KXGDLXWHWQCFGJ-IYBDPMFKSA-N 1 2 316.405 1.163 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)Cc1nnc2n1CCCCC2 ZINC001235452058 888653493 /nfs/dbraw/zinc/65/34/93/888653493.db2.gz VLSKHPDOMGJWDK-UHFFFAOYSA-N 1 2 319.453 1.909 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)Cc1nnc2n1CCCCC2 ZINC001235452058 888653498 /nfs/dbraw/zinc/65/34/98/888653498.db2.gz VLSKHPDOMGJWDK-UHFFFAOYSA-N 1 2 319.453 1.909 20 30 DDEDLO N#Cc1cc(F)c(C[NH+]2CCC3(COC(=O)N3)CC2)c(F)c1 ZINC001235665038 888858527 /nfs/dbraw/zinc/85/85/27/888858527.db2.gz SAGVTEBJQUDYKB-UHFFFAOYSA-N 1 2 307.300 1.911 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@@H]1C=CCCC1 ZINC001235758790 888932137 /nfs/dbraw/zinc/93/21/37/888932137.db2.gz MDFVZVHOUUTWPV-CVEARBPZSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@@H]1C=CCCC1 ZINC001235758790 888932149 /nfs/dbraw/zinc/93/21/49/888932149.db2.gz MDFVZVHOUUTWPV-CVEARBPZSA-N 1 2 317.433 1.015 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](C[C@@H](O)c2cccc(F)c2)CC1 ZINC001364712936 889251530 /nfs/dbraw/zinc/25/15/30/889251530.db2.gz CRWPQMBFEYLGDC-CQSZACIVSA-N 1 2 306.337 1.638 20 30 DDEDLO Cn1cc(C[N@@H+]2CC[C@H](S(C)(=O)=O)C2)c2cc(C#N)ccc21 ZINC001237471155 889653878 /nfs/dbraw/zinc/65/38/78/889653878.db2.gz PPJKKNRJKNLRQV-AWEZNQCLSA-N 1 2 317.414 1.669 20 30 DDEDLO Cn1cc(C[N@H+]2CC[C@H](S(C)(=O)=O)C2)c2cc(C#N)ccc21 ZINC001237471155 889653885 /nfs/dbraw/zinc/65/38/85/889653885.db2.gz PPJKKNRJKNLRQV-AWEZNQCLSA-N 1 2 317.414 1.669 20 30 DDEDLO CCCC[N@@H+]1Cc2ccnn2CC[C@@H]1C(=O)NC1(C#N)CCC1 ZINC001278224041 890186697 /nfs/dbraw/zinc/18/66/97/890186697.db2.gz KQZRHAWSGKCOAC-OAHLLOKOSA-N 1 2 315.421 1.820 20 30 DDEDLO CCCC[N@H+]1Cc2ccnn2CC[C@@H]1C(=O)NC1(C#N)CCC1 ZINC001278224041 890186705 /nfs/dbraw/zinc/18/67/05/890186705.db2.gz KQZRHAWSGKCOAC-OAHLLOKOSA-N 1 2 315.421 1.820 20 30 DDEDLO CCCC[N@@H+]1CCc2c([nH]nc2C(=O)NC2(C#N)CCC2)C1 ZINC001278225803 890193739 /nfs/dbraw/zinc/19/37/39/890193739.db2.gz WAECBRNVEKFALL-UHFFFAOYSA-N 1 2 301.394 1.744 20 30 DDEDLO CCCC[N@H+]1CCc2c([nH]nc2C(=O)NC2(C#N)CCC2)C1 ZINC001278225803 890193749 /nfs/dbraw/zinc/19/37/49/890193749.db2.gz WAECBRNVEKFALL-UHFFFAOYSA-N 1 2 301.394 1.744 20 30 DDEDLO CCCC[N@@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001278225803 890193756 /nfs/dbraw/zinc/19/37/56/890193756.db2.gz WAECBRNVEKFALL-UHFFFAOYSA-N 1 2 301.394 1.744 20 30 DDEDLO CCCC[N@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001278225803 890193769 /nfs/dbraw/zinc/19/37/69/890193769.db2.gz WAECBRNVEKFALL-UHFFFAOYSA-N 1 2 301.394 1.744 20 30 DDEDLO N#Cc1ccc(-c2ccc(OCC[NH+]3CCOCC3)cc2)nn1 ZINC001240446900 890930471 /nfs/dbraw/zinc/93/04/71/890930471.db2.gz GSRGHILZGGIKEC-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO C[NH+]1CCN(C(=O)c2ccnc(-c3ccc(O)c(C#N)c3)c2)CC1 ZINC001242562297 891453765 /nfs/dbraw/zinc/45/37/65/891453765.db2.gz QVLZTRKDSJMYTF-UHFFFAOYSA-N 1 2 322.368 1.713 20 30 DDEDLO N#Cc1ccc(NC(=S)N[C@H]2CC[N@@H+](C3COC3)C2)cc1 ZINC001245935278 892276688 /nfs/dbraw/zinc/27/66/88/892276688.db2.gz SKIPWHFXPURHCG-ZDUSSCGKSA-N 1 2 302.403 1.318 20 30 DDEDLO N#Cc1ccc(NC(=S)N[C@H]2CC[N@H+](C3COC3)C2)cc1 ZINC001245935278 892276704 /nfs/dbraw/zinc/27/67/04/892276704.db2.gz SKIPWHFXPURHCG-ZDUSSCGKSA-N 1 2 302.403 1.318 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(Br)cnc2C)CC1 ZINC001248781792 893699476 /nfs/dbraw/zinc/69/94/76/893699476.db2.gz ZKNZULRDNZTOMX-UHFFFAOYSA-N 1 2 308.223 1.903 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cnc2nccnc2c1 ZINC001366535652 893924684 /nfs/dbraw/zinc/92/46/84/893924684.db2.gz QBZZOEYNWNVLBU-UHFFFAOYSA-N 1 2 305.769 1.439 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cnc2nccnc2c1 ZINC001366535652 893924693 /nfs/dbraw/zinc/92/46/93/893924693.db2.gz QBZZOEYNWNVLBU-UHFFFAOYSA-N 1 2 305.769 1.439 20 30 DDEDLO C[C@@H]1C[C@@H](C(=O)NCC[N@H+](C)Cc2ccc(C#N)cc2F)CO1 ZINC001366544409 893960033 /nfs/dbraw/zinc/96/00/33/893960033.db2.gz HEZLTTXHKJTYDX-IUODEOHRSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@@H]1C[C@@H](C(=O)NCC[N@@H+](C)Cc2ccc(C#N)cc2F)CO1 ZINC001366544409 893960043 /nfs/dbraw/zinc/96/00/43/893960043.db2.gz HEZLTTXHKJTYDX-IUODEOHRSA-N 1 2 319.380 1.670 20 30 DDEDLO CCCN(C(=O)[C@H](C)C#N)[C@@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001366804695 894963485 /nfs/dbraw/zinc/96/34/85/894963485.db2.gz FCAMLDULMWDKEL-DGCLKSJQSA-N 1 2 321.450 1.819 20 30 DDEDLO CCCN(C(=O)[C@H](C)C#N)[C@@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001366804695 894963503 /nfs/dbraw/zinc/96/35/03/894963503.db2.gz FCAMLDULMWDKEL-DGCLKSJQSA-N 1 2 321.450 1.819 20 30 DDEDLO C=C(C)CCC(=O)NCCNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001292828241 914030024 /nfs/dbraw/zinc/03/00/24/914030024.db2.gz JGSXKOBZYVVPGB-CYBMUJFWSA-N 1 2 304.394 1.034 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCC[N@@H+]([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001254339831 896374929 /nfs/dbraw/zinc/37/49/29/896374929.db2.gz UITAENUYMJJESM-GDBMZVCRSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCC[N@H+]([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001254339831 896374948 /nfs/dbraw/zinc/37/49/48/896374948.db2.gz UITAENUYMJJESM-GDBMZVCRSA-N 1 2 320.414 1.589 20 30 DDEDLO C#CC[C@H]([NH2+][C@@H]1C[C@H]2CC[C@@H](C1)N2C(=O)OCC)C(=O)OC ZINC001255195754 896780284 /nfs/dbraw/zinc/78/02/84/896780284.db2.gz YILRMEFGACAMIH-FQUUOJAGSA-N 1 2 308.378 1.293 20 30 DDEDLO CCOC(=O)C1(C(=O)OCC)CCC([N@H+](C)[C@@H](C)C#N)CC1 ZINC001257006889 897670548 /nfs/dbraw/zinc/67/05/48/897670548.db2.gz GWUQNZFIMYSYAQ-LBPRGKRZSA-N 1 2 310.394 1.885 20 30 DDEDLO CCOC(=O)C1(C(=O)OCC)CCC([N@@H+](C)[C@@H](C)C#N)CC1 ZINC001257006889 897670556 /nfs/dbraw/zinc/67/05/56/897670556.db2.gz GWUQNZFIMYSYAQ-LBPRGKRZSA-N 1 2 310.394 1.885 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@@H](C)NC(=O)c1c[nH]c(C#N)c1 ZINC001367797211 897833755 /nfs/dbraw/zinc/83/37/55/897833755.db2.gz SPZRVMYSCADWAH-SECBINFHSA-N 1 2 318.406 1.297 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@@H](C)NC(=O)c1c[nH]c(C#N)c1 ZINC001367797211 897833768 /nfs/dbraw/zinc/83/37/68/897833768.db2.gz SPZRVMYSCADWAH-SECBINFHSA-N 1 2 318.406 1.297 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1([NH2+]Cc2noc3c2CCCC3)CC1 ZINC001367992971 898428585 /nfs/dbraw/zinc/42/85/85/898428585.db2.gz RDQRXVMTZPQZKC-LLVKDONJSA-N 1 2 302.378 1.451 20 30 DDEDLO C=CCS(=O)(=O)N1CC[NH+](C[C@H](OC)c2ccccc2)CC1 ZINC001259928414 898944303 /nfs/dbraw/zinc/94/43/03/898944303.db2.gz VTARESZEJDKYDU-INIZCTEOSA-N 1 2 324.446 1.508 20 30 DDEDLO CN(C)c1cc[nH+]cc1NS(=O)(=O)Cc1ccc(C#N)cc1 ZINC001260038043 899021599 /nfs/dbraw/zinc/02/15/99/899021599.db2.gz KWZSHXINDJMERI-UHFFFAOYSA-N 1 2 316.386 1.961 20 30 DDEDLO CC[C@@H](CNC(=O)[C@H](C)C#N)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001390643228 899845952 /nfs/dbraw/zinc/84/59/52/899845952.db2.gz AHLQRCOGSCZIRK-PWSUYJOCSA-N 1 2 313.361 1.347 20 30 DDEDLO C[C@H](CCNC(=O)[C@H](C)C#N)[NH2+]Cc1nnsc1Cl ZINC001390647414 899856773 /nfs/dbraw/zinc/85/67/73/899856773.db2.gz PYQTWNBOSIFBQI-HTQZYQBOSA-N 1 2 301.803 1.336 20 30 DDEDLO C=C[C@H](COC)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC001262029164 899902186 /nfs/dbraw/zinc/90/21/86/899902186.db2.gz NSLTWGPWOLEFFL-CYBMUJFWSA-N 1 2 310.419 1.154 20 30 DDEDLO C=C[C@H](COC)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC001262029164 899902198 /nfs/dbraw/zinc/90/21/98/899902198.db2.gz NSLTWGPWOLEFFL-CYBMUJFWSA-N 1 2 310.419 1.154 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)C1CCCC1 ZINC001262946998 900431088 /nfs/dbraw/zinc/43/10/88/900431088.db2.gz BVIFQSDAQHNDNM-LSDHHAIUSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)C1CCCC1 ZINC001262946998 900431095 /nfs/dbraw/zinc/43/10/95/900431095.db2.gz BVIFQSDAQHNDNM-LSDHHAIUSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@H](C)[C@H]1C ZINC001262947582 900432182 /nfs/dbraw/zinc/43/21/82/900432182.db2.gz OOQBIYYWNUFBBS-CRWXNKLISA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@H](C)[C@H]1C ZINC001262947582 900432186 /nfs/dbraw/zinc/43/21/86/900432186.db2.gz OOQBIYYWNUFBBS-CRWXNKLISA-N 1 2 305.426 1.436 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3cscn3)n2CC)CC1 ZINC001263031635 900473265 /nfs/dbraw/zinc/47/32/65/900473265.db2.gz YZPWFIAVSWCNQA-UHFFFAOYSA-N 1 2 316.434 1.101 20 30 DDEDLO C=C(C)Cn1c(C[S@](C)=O)nnc1N1CC[NH+](C2CC2)CC1 ZINC001263310376 900567740 /nfs/dbraw/zinc/56/77/40/900567740.db2.gz JXTXIMMKLMTBRV-QFIPXVFZSA-N 1 2 323.466 1.017 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCC1 ZINC001263810595 900723964 /nfs/dbraw/zinc/72/39/64/900723964.db2.gz ZMKRVTUXPQJQOK-RHSMWYFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCC1 ZINC001263810595 900723975 /nfs/dbraw/zinc/72/39/75/900723975.db2.gz ZMKRVTUXPQJQOK-RHSMWYFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](Cc2coc(C)n2)[C@@H]1CC ZINC001264051877 900898078 /nfs/dbraw/zinc/89/80/78/900898078.db2.gz UKPRXWQAFHRGDU-JKSUJKDBSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](Cc2coc(C)n2)[C@@H]1CC ZINC001264051877 900898081 /nfs/dbraw/zinc/89/80/81/900898081.db2.gz UKPRXWQAFHRGDU-JKSUJKDBSA-N 1 2 319.405 1.492 20 30 DDEDLO C=CCC[N@H+]1CC[C@H]1CN(C)C(=O)CS(=O)(=O)C(C)(C)C ZINC001264376135 901059927 /nfs/dbraw/zinc/05/99/27/901059927.db2.gz CNBLZCPRDXQOKO-ZDUSSCGKSA-N 1 2 316.467 1.309 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)CS(=O)(=O)C(C)(C)C ZINC001264376135 901059942 /nfs/dbraw/zinc/05/99/42/901059942.db2.gz CNBLZCPRDXQOKO-ZDUSSCGKSA-N 1 2 316.467 1.309 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)COCCCC)C2 ZINC001264746965 901326232 /nfs/dbraw/zinc/32/62/32/901326232.db2.gz MEFZFLWMYLZBKK-UHFFFAOYSA-N 1 2 321.446 1.567 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H]1CCN(CC#N)CC(C)(C)C1 ZINC001264754157 901336335 /nfs/dbraw/zinc/33/63/35/901336335.db2.gz XDLAOJDZLJJRFA-UONOGXRCSA-N 1 2 317.437 1.719 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H]1CCN(CC#N)CC(C)(C)C1 ZINC001264754157 901336343 /nfs/dbraw/zinc/33/63/43/901336343.db2.gz XDLAOJDZLJJRFA-UONOGXRCSA-N 1 2 317.437 1.719 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC2CC2)C1 ZINC001265215052 901723742 /nfs/dbraw/zinc/72/37/42/901723742.db2.gz UHGHIHDGPSVDTP-OAHLLOKOSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001265215052 901723752 /nfs/dbraw/zinc/72/37/52/901723752.db2.gz UHGHIHDGPSVDTP-OAHLLOKOSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(CCc2ccns2)CC1 ZINC001265257710 901778916 /nfs/dbraw/zinc/77/89/16/901778916.db2.gz LATHGAUSEOVZRI-UHFFFAOYSA-N 1 2 322.478 1.386 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)c2cc(C)oc2C)C1 ZINC001265270867 901797127 /nfs/dbraw/zinc/79/71/27/901797127.db2.gz NGQFCVKFNRTUFX-TZMCWYRMSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(=O)c2cc(C)oc2C)C1 ZINC001265270867 901797134 /nfs/dbraw/zinc/79/71/34/901797134.db2.gz NGQFCVKFNRTUFX-TZMCWYRMSA-N 1 2 319.405 1.391 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283792 901820401 /nfs/dbraw/zinc/82/04/01/901820401.db2.gz JAZBQIBDMXEMDH-LSDHHAIUSA-N 1 2 321.465 1.531 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283792 901820410 /nfs/dbraw/zinc/82/04/10/901820410.db2.gz JAZBQIBDMXEMDH-LSDHHAIUSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NC2CCCCC2)C1 ZINC001265301848 901852736 /nfs/dbraw/zinc/85/27/36/901852736.db2.gz SRDZHHKDWBAYOW-MRXNPFEDSA-N 1 2 321.465 1.982 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NC2CCCCC2)C1 ZINC001265301848 901852745 /nfs/dbraw/zinc/85/27/45/901852745.db2.gz SRDZHHKDWBAYOW-MRXNPFEDSA-N 1 2 321.465 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC12CC[NH+]([C@@H](C)c1nnnn1C)CC2 ZINC001265324334 901889281 /nfs/dbraw/zinc/88/92/81/901889281.db2.gz XWSCHKLPFNOJFN-STQMWFEESA-N 1 2 318.425 1.208 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391575282 902045009 /nfs/dbraw/zinc/04/50/09/902045009.db2.gz AJKPPPJIMPLAHW-BXUZGUMPSA-N 1 2 305.353 1.423 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391575282 902044999 /nfs/dbraw/zinc/04/49/99/902044999.db2.gz AJKPPPJIMPLAHW-BXUZGUMPSA-N 1 2 305.353 1.423 20 30 DDEDLO CCCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccn[nH]1 ZINC001280396369 903631705 /nfs/dbraw/zinc/63/17/05/903631705.db2.gz CIKGXUMKMFMRGO-CQSZACIVSA-N 1 2 308.426 1.811 20 30 DDEDLO CCCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccn[nH]1 ZINC001280396369 903631713 /nfs/dbraw/zinc/63/17/13/903631713.db2.gz CIKGXUMKMFMRGO-CQSZACIVSA-N 1 2 308.426 1.811 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H](OC)C1CCCC1 ZINC001280420982 903659386 /nfs/dbraw/zinc/65/93/86/903659386.db2.gz IVJPCOYGLHXQKH-IRXDYDNUSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H](OC)C1CCCC1 ZINC001280420982 903659395 /nfs/dbraw/zinc/65/93/95/903659395.db2.gz IVJPCOYGLHXQKH-IRXDYDNUSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001280537195 903775201 /nfs/dbraw/zinc/77/52/01/903775201.db2.gz UCGXQZIVQOPXHO-MLGOLLRUSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001280617124 903839958 /nfs/dbraw/zinc/83/99/58/903839958.db2.gz MYTMXBNQPOCPFM-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001280617124 903839969 /nfs/dbraw/zinc/83/99/69/903839969.db2.gz MYTMXBNQPOCPFM-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)OCCc1ccccc1 ZINC001316605004 903853284 /nfs/dbraw/zinc/85/32/84/903853284.db2.gz HSLIHOLUOWBTON-WMZOPIPTSA-N 1 2 314.429 1.800 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)OCCc1ccccc1 ZINC001316605004 903853288 /nfs/dbraw/zinc/85/32/88/903853288.db2.gz HSLIHOLUOWBTON-WMZOPIPTSA-N 1 2 314.429 1.800 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001280764435 904004001 /nfs/dbraw/zinc/00/40/01/904004001.db2.gz OIGFAWGPJLUOJN-KBUPBQIOSA-N 1 2 318.421 1.352 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1COC2(C[NH+]([C@H](C)COC)C2)C1 ZINC001280969968 904257342 /nfs/dbraw/zinc/25/73/42/904257342.db2.gz VUWHMWOKJWSTLO-CABCVRRESA-N 1 2 308.422 1.174 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H](C)[NH2+]Cc1noc(-c2ccccc2)n1 ZINC001371145946 904346900 /nfs/dbraw/zinc/34/69/00/904346900.db2.gz YKDWUHLNWJOPDP-RYUDHWBXSA-N 1 2 313.361 1.491 20 30 DDEDLO C=C(Br)C[NH2+][C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001371191666 904450354 /nfs/dbraw/zinc/45/03/54/904450354.db2.gz VVIJSLNCBWZDAM-RQJHMYQMSA-N 1 2 321.112 1.890 20 30 DDEDLO C=C(Br)C[NH2+][C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001371191666 904450357 /nfs/dbraw/zinc/45/03/57/904450357.db2.gz VVIJSLNCBWZDAM-RQJHMYQMSA-N 1 2 321.112 1.890 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001281170452 904521155 /nfs/dbraw/zinc/52/11/55/904521155.db2.gz KRTXGZAZGGIIFK-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1(CO)CC[NH+](CC(=C)Cl)CC1 ZINC001281810827 905237089 /nfs/dbraw/zinc/23/70/89/905237089.db2.gz WSNXYYQVMAJQRW-AWEZNQCLSA-N 1 2 316.829 1.009 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@H+](C)Cc1snnc1C ZINC001282462120 905801661 /nfs/dbraw/zinc/80/16/61/905801661.db2.gz SGLNDQWEWKMFJM-RTXFEEFZSA-N 1 2 324.450 1.374 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@@H+](C)Cc1snnc1C ZINC001282462120 905801666 /nfs/dbraw/zinc/80/16/66/905801666.db2.gz SGLNDQWEWKMFJM-RTXFEEFZSA-N 1 2 324.450 1.374 20 30 DDEDLO CCCC[C@@H](CNCC#N)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001282643141 905915807 /nfs/dbraw/zinc/91/58/07/905915807.db2.gz JVISZKSYHZUOHS-KGLIPLIRSA-N 1 2 303.410 1.234 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001282870982 906131581 /nfs/dbraw/zinc/13/15/81/906131581.db2.gz PMOZSDFMXUOSTP-CYBMUJFWSA-N 1 2 304.394 1.225 20 30 DDEDLO CC[N@H+](CCNC(=O)[C@H](C)C#N)Cc1nnc(-c2ccco2)o1 ZINC001372290998 906931904 /nfs/dbraw/zinc/93/19/04/906931904.db2.gz YKQZKROLPVHNNM-LLVKDONJSA-N 1 2 317.349 1.427 20 30 DDEDLO CC[N@@H+](CCNC(=O)[C@H](C)C#N)Cc1nnc(-c2ccco2)o1 ZINC001372290998 906931918 /nfs/dbraw/zinc/93/19/18/906931918.db2.gz YKQZKROLPVHNNM-LLVKDONJSA-N 1 2 317.349 1.427 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@H+](Cc2nnc(C(C)(C)C)[nH]2)C1 ZINC001372595331 907721282 /nfs/dbraw/zinc/72/12/82/907721282.db2.gz NPBLSIFTQZUKCN-NWDGAFQWSA-N 1 2 318.425 1.200 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@@H+](Cc2nnc(C(C)(C)C)[nH]2)C1 ZINC001372595331 907721302 /nfs/dbraw/zinc/72/13/02/907721302.db2.gz NPBLSIFTQZUKCN-NWDGAFQWSA-N 1 2 318.425 1.200 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)CC[C@@H](C)OC)CC1 ZINC001393845722 908064234 /nfs/dbraw/zinc/06/42/34/908064234.db2.gz YXXYYHRRTYDWQQ-CYBMUJFWSA-N 1 2 318.845 1.497 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001283892917 908145422 /nfs/dbraw/zinc/14/54/22/908145422.db2.gz LRBFDQBNLWAMNS-MLGOLLRUSA-N 1 2 304.394 1.272 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC3CC3)[C@@H]2C)on1 ZINC001284127655 908519709 /nfs/dbraw/zinc/51/97/09/908519709.db2.gz CYNYUPRMPHZKGC-SWLSCSKDSA-N 1 2 317.389 1.566 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H](NC(=O)C#CC3CC3)[C@@H]2C)on1 ZINC001284127655 908519717 /nfs/dbraw/zinc/51/97/17/908519717.db2.gz CYNYUPRMPHZKGC-SWLSCSKDSA-N 1 2 317.389 1.566 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CNC(=O)CCc1c[nH+]cn1C ZINC001284304108 908798225 /nfs/dbraw/zinc/79/82/25/908798225.db2.gz TWZZYCFPQJHNQV-KGLIPLIRSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H](N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001284410759 908956188 /nfs/dbraw/zinc/95/61/88/908956188.db2.gz QOIPPLDROIJSRK-HNNXBMFYSA-N 1 2 318.421 1.689 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)C[C@H]2CCCOC2)C1 ZINC001394248198 909180373 /nfs/dbraw/zinc/18/03/73/909180373.db2.gz DSOFEQMGBOEIKY-QWHCGFSZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)C1CC[NH+](Cc2nncn2C)CC1 ZINC001284686373 909354009 /nfs/dbraw/zinc/35/40/09/909354009.db2.gz NXINUERSAFKXMZ-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CC[NH+]([C@H](C)c2nnnn2C)CC1 ZINC001284713686 909387384 /nfs/dbraw/zinc/38/73/84/909387384.db2.gz ZYNWGBKCZASSNV-QWHCGFSZSA-N 1 2 320.441 1.454 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)Cc2nc(C3CC3)nn2C)C1 ZINC001373558508 910171708 /nfs/dbraw/zinc/17/17/08/910171708.db2.gz WQGIAJNDKZIZNF-UHFFFAOYSA-N 1 2 323.828 1.036 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)CCCC(=O)NCC)C1 ZINC001373686065 910617518 /nfs/dbraw/zinc/61/75/18/910617518.db2.gz CPSJVKRIHMLSRD-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)CCCC(=O)NCC)C1 ZINC001373686065 910617530 /nfs/dbraw/zinc/61/75/30/910617530.db2.gz CPSJVKRIHMLSRD-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO CC(C)C#CC(=O)NCC1(NC(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC001285924722 911453555 /nfs/dbraw/zinc/45/35/55/911453555.db2.gz MUSKHYZEOXIVBU-AWEZNQCLSA-N 1 2 316.405 1.259 20 30 DDEDLO C=C(C)CCC(=O)NCC1(NC(=O)CCc2[nH+]ccn2C)CC1 ZINC001285932004 911464413 /nfs/dbraw/zinc/46/44/13/911464413.db2.gz LWNLAAJNDBIEEY-UHFFFAOYSA-N 1 2 318.421 1.474 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001286207512 911829808 /nfs/dbraw/zinc/82/98/08/911829808.db2.gz WVHFOTOFWRRVOC-CYBMUJFWSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CCCNC(=O)Cn1cc[nH+]c1 ZINC001287278596 912152511 /nfs/dbraw/zinc/15/25/11/912152511.db2.gz PMNXKRFCEFZLIC-CQSZACIVSA-N 1 2 320.437 1.887 20 30 DDEDLO C[C@H](CC(=O)N(C)CCCNC(=O)C#CC1CC1)n1cc[nH+]c1 ZINC001294065214 914892741 /nfs/dbraw/zinc/89/27/41/914892741.db2.gz ABANNNQXALJMRG-CQSZACIVSA-N 1 2 316.405 1.212 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001294890723 915442237 /nfs/dbraw/zinc/44/22/37/915442237.db2.gz YNJUNOMTGCTXMI-CQSZACIVSA-N 1 2 318.421 1.566 20 30 DDEDLO CO[C@@H](C)c1nc(C[NH2+]C2(CNC(=O)[C@@H](C)C#N)CC2)cs1 ZINC001375401128 915823665 /nfs/dbraw/zinc/82/36/65/915823665.db2.gz BSDXJRGGLMXURT-QWRGUYRKSA-N 1 2 322.434 1.749 20 30 DDEDLO C[C@@H](CNC(=O)C#CC1CC1)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001295480939 915837613 /nfs/dbraw/zinc/83/76/13/915837613.db2.gz KCJMAULPKHRIPP-NSHDSACASA-N 1 2 310.357 1.211 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](CN(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001296864235 916604921 /nfs/dbraw/zinc/60/49/21/916604921.db2.gz BTJBTLUCYOUKJK-ZDUSSCGKSA-N 1 2 318.421 1.471 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001297123790 916744822 /nfs/dbraw/zinc/74/48/22/916744822.db2.gz HMPPPBRSUIOTNP-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001297123790 916744833 /nfs/dbraw/zinc/74/48/33/916744833.db2.gz HMPPPBRSUIOTNP-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H](C)NC(C)=O ZINC001376572711 918788066 /nfs/dbraw/zinc/78/80/66/918788066.db2.gz XVCOZVYUXHOQPL-DGCLKSJQSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H](C)NC(C)=O ZINC001376572711 918788080 /nfs/dbraw/zinc/78/80/80/918788080.db2.gz XVCOZVYUXHOQPL-DGCLKSJQSA-N 1 2 301.818 1.234 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)[nH]1 ZINC001376912928 919765676 /nfs/dbraw/zinc/76/56/76/919765676.db2.gz XRBSZAQJFPOEFM-RYUDHWBXSA-N 1 2 306.414 1.368 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)[nH]1 ZINC001376912928 919765695 /nfs/dbraw/zinc/76/56/95/919765695.db2.gz XRBSZAQJFPOEFM-RYUDHWBXSA-N 1 2 306.414 1.368 20 30 DDEDLO C[N@H+](CCNC(=O)c1cccnc1)Cc1ccc(C#N)cc1F ZINC001377107804 920345179 /nfs/dbraw/zinc/34/51/79/920345179.db2.gz DCHBTQNMCDXSEY-UHFFFAOYSA-N 1 2 312.348 1.954 20 30 DDEDLO C[N@@H+](CCNC(=O)c1cccnc1)Cc1ccc(C#N)cc1F ZINC001377107804 920345198 /nfs/dbraw/zinc/34/51/98/920345198.db2.gz DCHBTQNMCDXSEY-UHFFFAOYSA-N 1 2 312.348 1.954 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1nccn2ccnc12 ZINC001377334449 921076601 /nfs/dbraw/zinc/07/66/01/921076601.db2.gz FSNIEASYJUBFDO-UHFFFAOYSA-N 1 2 307.785 1.534 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1nccn2ccnc12 ZINC001377334449 921076612 /nfs/dbraw/zinc/07/66/12/921076612.db2.gz FSNIEASYJUBFDO-UHFFFAOYSA-N 1 2 307.785 1.534 20 30 DDEDLO CC[C@H](COC)NC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000331039727 529392210 /nfs/dbraw/zinc/39/22/10/529392210.db2.gz PNYNROAOSWWPFG-OLZOCXBDSA-N 1 2 309.414 1.427 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@H+](Cc2ccc(F)cc2C#N)C1 ZINC000451989145 231260269 /nfs/dbraw/zinc/26/02/69/231260269.db2.gz HUUNEYODYAPSDK-OAHLLOKOSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(F)cc2C#N)C1 ZINC000451989145 231260273 /nfs/dbraw/zinc/26/02/73/231260273.db2.gz HUUNEYODYAPSDK-OAHLLOKOSA-N 1 2 304.369 1.933 20 30 DDEDLO CN1C(=O)NC2(CC[NH+](Cc3ccc(F)cc3C#N)CC2)C1=O ZINC000090005615 185276460 /nfs/dbraw/zinc/27/64/60/185276460.db2.gz NZFQXPVWKLRSDM-UHFFFAOYSA-N 1 2 316.336 1.214 20 30 DDEDLO O=C(Nc1ccn(CC[NH+]2CCOCC2)n1)NC1CCCCC1 ZINC000329575839 539305933 /nfs/dbraw/zinc/30/59/33/539305933.db2.gz SBOYGKJPSAEPDT-UHFFFAOYSA-N 1 2 321.425 1.874 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CC[C@]3(CCOC3)C2)c[nH+]1 ZINC000329786803 529778777 /nfs/dbraw/zinc/77/87/77/529778777.db2.gz YEMKVTYVAURUDM-INIZCTEOSA-N 1 2 304.394 1.674 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCc4c3cccc4O)C[C@H]21 ZINC000329930899 529785265 /nfs/dbraw/zinc/78/52/65/529785265.db2.gz OUQHFMUTSRZFNS-IIAWOOMASA-N 1 2 317.389 1.308 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCc4c3cccc4O)C[C@H]21 ZINC000329930899 529785267 /nfs/dbraw/zinc/78/52/67/529785267.db2.gz OUQHFMUTSRZFNS-IIAWOOMASA-N 1 2 317.389 1.308 20 30 DDEDLO CNC(=O)C(C)(C)CNC(=O)c1ccc2[nH+]c(C)n(C)c2c1 ZINC000331083914 529837271 /nfs/dbraw/zinc/83/72/71/529837271.db2.gz YYRJRMRDZINHFI-UHFFFAOYSA-N 1 2 302.378 1.959 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)Nc2cccc(C(N)=O)c2)C1 ZINC000330699753 530070771 /nfs/dbraw/zinc/07/07/71/530070771.db2.gz MTLPEPZCOPIMFB-CYBMUJFWSA-N 1 2 305.382 1.142 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)Nc2cccc(C(N)=O)c2)C1 ZINC000330699753 530070772 /nfs/dbraw/zinc/07/07/72/530070772.db2.gz MTLPEPZCOPIMFB-CYBMUJFWSA-N 1 2 305.382 1.142 20 30 DDEDLO CN1CC[N@H+](C)C[C@H]1CNC(=O)c1cc(F)c(O)c(Cl)c1 ZINC000331232567 530071064 /nfs/dbraw/zinc/07/10/64/530071064.db2.gz JLGSMOCGEZJIMA-SNVBAGLBSA-N 1 2 315.776 1.735 20 30 DDEDLO CN1CC[N@@H+](C)C[C@H]1CNC(=O)c1cc(F)c(O)c(Cl)c1 ZINC000331232567 530071065 /nfs/dbraw/zinc/07/10/65/530071065.db2.gz JLGSMOCGEZJIMA-SNVBAGLBSA-N 1 2 315.776 1.735 20 30 DDEDLO C#CCn1ccc(CN(CC)Cc2[nH+]ccc(OC)c2OC)n1 ZINC000279726919 215095699 /nfs/dbraw/zinc/09/56/99/215095699.db2.gz MPLQYTPYCKYHGV-UHFFFAOYSA-N 1 2 314.389 1.951 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@@H](C)C(=O)NCC(F)(F)F)n1 ZINC000279733205 215100053 /nfs/dbraw/zinc/10/00/53/215100053.db2.gz NMRMJIWARQIOFA-NSHDSACASA-N 1 2 316.327 1.405 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@@H](C)C(=O)NCC(F)(F)F)n1 ZINC000279733205 215100056 /nfs/dbraw/zinc/10/00/56/215100056.db2.gz NMRMJIWARQIOFA-NSHDSACASA-N 1 2 316.327 1.405 20 30 DDEDLO CC(C)(O)CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000280027535 215322313 /nfs/dbraw/zinc/32/23/13/215322313.db2.gz RETKZKMJVMTUOB-UHFFFAOYSA-N 1 2 301.390 1.363 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(OC)cc2)CC1 ZINC000022924837 352181760 /nfs/dbraw/zinc/18/17/60/352181760.db2.gz UNTUECAFPJQPPF-UHFFFAOYSA-N 1 2 303.406 1.115 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@H](C)c2ccc(F)cc2)CC1 ZINC000045779954 352423377 /nfs/dbraw/zinc/42/33/77/352423377.db2.gz IRKIZTLYJVQDQQ-CQSZACIVSA-N 1 2 305.397 1.807 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@H](C)c2ccc(F)cc2)CC1 ZINC000045779954 352423380 /nfs/dbraw/zinc/42/33/80/352423380.db2.gz IRKIZTLYJVQDQQ-CQSZACIVSA-N 1 2 305.397 1.807 20 30 DDEDLO Cc1onc(CC(=O)N2CC[C@@H](C)[C@H](n3cc[nH+]c3)C2)c1C#N ZINC000331756608 234087606 /nfs/dbraw/zinc/08/76/06/234087606.db2.gz MFWXEIAAEIYRDB-IAQYHMDHSA-N 1 2 313.361 1.703 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000045845422 352425757 /nfs/dbraw/zinc/42/57/57/352425757.db2.gz ZZZOABLMILQOHI-ZDUSSCGKSA-N 1 2 317.393 1.219 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2nccs2)CC1 ZINC000054635426 352699701 /nfs/dbraw/zinc/69/97/01/352699701.db2.gz BUKBBRJLLVCUDU-GFCCVEGCSA-N 1 2 307.423 1.026 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2nccs2)CC1 ZINC000076831688 353439904 /nfs/dbraw/zinc/43/99/04/353439904.db2.gz WZYUGZSMTJDOSW-UHFFFAOYSA-N 1 2 307.423 1.027 20 30 DDEDLO C[N@H+](CC(=O)NCC#N)Cc1cc(Br)cs1 ZINC000076668993 353431503 /nfs/dbraw/zinc/43/15/03/353431503.db2.gz GVJNNZQEEXOMHI-UHFFFAOYSA-N 1 2 302.197 1.582 20 30 DDEDLO C[N@@H+](CC(=O)NCC#N)Cc1cc(Br)cs1 ZINC000076668993 353431506 /nfs/dbraw/zinc/43/15/06/353431506.db2.gz GVJNNZQEEXOMHI-UHFFFAOYSA-N 1 2 302.197 1.582 20 30 DDEDLO COc1ccc([C@H]2CCC[N@@H+]2CC(=O)NCC#N)c(OC)c1 ZINC000076668185 353431954 /nfs/dbraw/zinc/43/19/54/353431954.db2.gz AUUILQLAUOBSIU-CQSZACIVSA-N 1 2 303.362 1.480 20 30 DDEDLO COc1ccc([C@H]2CCC[N@H+]2CC(=O)NCC#N)c(OC)c1 ZINC000076668185 353431956 /nfs/dbraw/zinc/43/19/56/353431956.db2.gz AUUILQLAUOBSIU-CQSZACIVSA-N 1 2 303.362 1.480 20 30 DDEDLO C=CCOCCCC(=O)NCc1ccc(-n2cc[nH+]c2)nc1 ZINC000079480348 353563670 /nfs/dbraw/zinc/56/36/70/353563670.db2.gz VRFCUJTWDWYEPA-UHFFFAOYSA-N 1 2 300.362 1.866 20 30 DDEDLO Cc1nccc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)n1 ZINC000079592780 353569002 /nfs/dbraw/zinc/56/90/02/353569002.db2.gz WSPBAQOBSOITLP-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cc(C)cc(F)c2)CC1 ZINC000080730721 353626075 /nfs/dbraw/zinc/62/60/75/353626075.db2.gz NLQWTQSSBQOQGP-UHFFFAOYSA-N 1 2 310.394 1.464 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)Nc2nncn2Cc2ccccc2)C1 ZINC000081418095 353671529 /nfs/dbraw/zinc/67/15/29/353671529.db2.gz XQFHTSBDESXLLA-CYBMUJFWSA-N 1 2 301.350 1.245 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)Nc2nncn2Cc2ccccc2)C1 ZINC000081418095 353671531 /nfs/dbraw/zinc/67/15/31/353671531.db2.gz XQFHTSBDESXLLA-CYBMUJFWSA-N 1 2 301.350 1.245 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@H](CCO)C1 ZINC000083174042 353706980 /nfs/dbraw/zinc/70/69/80/353706980.db2.gz JOTLOPUEGNRAMJ-CZUORRHYSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CCC[C@H](CCO)C1 ZINC000083174042 353706982 /nfs/dbraw/zinc/70/69/82/353706982.db2.gz JOTLOPUEGNRAMJ-CZUORRHYSA-N 1 2 302.374 1.867 20 30 DDEDLO Cn1nncc1C[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000091918044 353838817 /nfs/dbraw/zinc/83/88/17/353838817.db2.gz UIBXZALZALKOOX-UHFFFAOYSA-N 1 2 300.341 1.148 20 30 DDEDLO C=CCNC(=O)CNc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000182266390 354271892 /nfs/dbraw/zinc/27/18/92/354271892.db2.gz NGDCICULKBMCNQ-UHFFFAOYSA-N 1 2 308.345 1.530 20 30 DDEDLO N#CCCC1CC[NH+]([C@H]2CC(=O)N(c3cnccn3)C2=O)CC1 ZINC000578748917 354712008 /nfs/dbraw/zinc/71/20/08/354712008.db2.gz JIMXORHVGVJEDV-ZDUSSCGKSA-N 1 2 313.361 1.124 20 30 DDEDLO CCOc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1[N+](=O)[O-] ZINC000582006296 354739083 /nfs/dbraw/zinc/73/90/83/354739083.db2.gz FUYPVJKDMYIJCV-OAHLLOKOSA-N 1 2 320.349 1.567 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCSC[C@@H]2CO)c1C#N ZINC000584678642 354744461 /nfs/dbraw/zinc/74/44/61/354744461.db2.gz ZZFGYIFUSBGNMQ-LBPRGKRZSA-N 1 2 306.387 1.255 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCSC[C@@H]2CO)c1C#N ZINC000584678642 354744464 /nfs/dbraw/zinc/74/44/64/354744464.db2.gz ZZFGYIFUSBGNMQ-LBPRGKRZSA-N 1 2 306.387 1.255 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCOCC12CCCCC2)C1CC1 ZINC000590761840 355170397 /nfs/dbraw/zinc/17/03/97/355170397.db2.gz DPRBQWZNFBWDGK-MRXNPFEDSA-N 1 2 305.422 1.830 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCOCC12CCCCC2)C1CC1 ZINC000590761840 355170400 /nfs/dbraw/zinc/17/04/00/355170400.db2.gz DPRBQWZNFBWDGK-MRXNPFEDSA-N 1 2 305.422 1.830 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(c2ncc3c(c2C#N)CCC3)CCN1C ZINC000591824698 355392213 /nfs/dbraw/zinc/39/22/13/355392213.db2.gz AWNIDWFUSPPNRA-INIZCTEOSA-N 1 2 322.416 1.669 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)N1CC[C@@](O)(CC#N)C1 ZINC000591967193 355448623 /nfs/dbraw/zinc/44/86/23/355448623.db2.gz ZWFRJYDVAVPRFA-HNNXBMFYSA-N 1 2 305.382 1.099 20 30 DDEDLO Cc1cccc2[nH+]c(CCNC(=O)N3CCO[C@H](C#N)C3)cn21 ZINC000592127473 355505935 /nfs/dbraw/zinc/50/59/35/355505935.db2.gz GVGGLIHXPOQJPY-CQSZACIVSA-N 1 2 313.361 1.119 20 30 DDEDLO C[C@@H](C(=O)NC(=O)Nc1ccccc1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592146744 355512197 /nfs/dbraw/zinc/51/21/97/355512197.db2.gz FTGPIZVXUFUOPI-BLLLJJGKSA-N 1 2 316.361 1.074 20 30 DDEDLO C[C@@H](C(=O)NC(=O)Nc1ccccc1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592146744 355512202 /nfs/dbraw/zinc/51/22/02/355512202.db2.gz FTGPIZVXUFUOPI-BLLLJJGKSA-N 1 2 316.361 1.074 20 30 DDEDLO CC(C)(C#N)CCCC[N@@H+]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC000592152733 355518771 /nfs/dbraw/zinc/51/87/71/355518771.db2.gz CDNORHZCZKTDGL-OAHLLOKOSA-N 1 2 314.451 1.596 20 30 DDEDLO CC(C)(C#N)CCCC[N@H+]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC000592152733 355518774 /nfs/dbraw/zinc/51/87/74/355518774.db2.gz CDNORHZCZKTDGL-OAHLLOKOSA-N 1 2 314.451 1.596 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000592151660 355518801 /nfs/dbraw/zinc/51/88/01/355518801.db2.gz QBRDXXSOFUSSDH-ZBFHGGJFSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000592151660 355518803 /nfs/dbraw/zinc/51/88/03/355518803.db2.gz QBRDXXSOFUSSDH-ZBFHGGJFSA-N 1 2 319.792 1.796 20 30 DDEDLO C[C@H](C(=O)NCc1ccccc1F)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592152607 355519111 /nfs/dbraw/zinc/51/91/11/355519111.db2.gz YUEZAAMOWYLEPZ-MLGOLLRUSA-N 1 2 305.353 1.181 20 30 DDEDLO C[C@H](C(=O)NCc1ccccc1F)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592152607 355519117 /nfs/dbraw/zinc/51/91/17/355519117.db2.gz YUEZAAMOWYLEPZ-MLGOLLRUSA-N 1 2 305.353 1.181 20 30 DDEDLO CCCCC[N@H+](CC(N)=O)Cc1cnc2c(C#N)cnn2c1 ZINC000592505365 355605677 /nfs/dbraw/zinc/60/56/77/355605677.db2.gz RCONVMPXRMBYHH-UHFFFAOYSA-N 1 2 300.366 1.078 20 30 DDEDLO CCCCC[N@@H+](CC(N)=O)Cc1cnc2c(C#N)cnn2c1 ZINC000592505365 355605682 /nfs/dbraw/zinc/60/56/82/355605682.db2.gz RCONVMPXRMBYHH-UHFFFAOYSA-N 1 2 300.366 1.078 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1N(Cc2cnc3c(C#N)cnn3c2)CC1(C)C ZINC000592514704 355609296 /nfs/dbraw/zinc/60/92/96/355609296.db2.gz XIFQRPHTEQPHGO-CQSZACIVSA-N 1 2 321.388 1.918 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc2c(c1)CCCC(=O)N2 ZINC000593155422 355813535 /nfs/dbraw/zinc/81/35/35/355813535.db2.gz VHUVLDVXGOTKEO-KRWDZBQOSA-N 1 2 314.389 1.535 20 30 DDEDLO N#Cc1sccc1S(=O)(=O)NCCCCn1cc[nH+]c1 ZINC000593574336 355930916 /nfs/dbraw/zinc/93/09/16/355930916.db2.gz RLNASCQDBORLKF-UHFFFAOYSA-N 1 2 310.404 1.575 20 30 DDEDLO C[C@H](CNC(=O)c1ccnc(C#N)c1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594098022 356112453 /nfs/dbraw/zinc/11/24/53/356112453.db2.gz CUWOOZCAKDLHOZ-UPJWGTAASA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@H](CNC(=O)c1ccnc(C#N)c1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594098022 356112454 /nfs/dbraw/zinc/11/24/54/356112454.db2.gz CUWOOZCAKDLHOZ-UPJWGTAASA-N 1 2 302.378 1.181 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(OCC(F)(F)F)o1 ZINC000594024789 356093249 /nfs/dbraw/zinc/09/32/49/356093249.db2.gz ZVIIOJAIULPEMH-LBPRGKRZSA-N 1 2 319.283 1.794 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)N1Cc2ccc(C#N)cc2C1 ZINC000594218095 356143107 /nfs/dbraw/zinc/14/31/07/356143107.db2.gz DFUPZGNNJJEPBI-ZDUSSCGKSA-N 1 2 314.389 1.304 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)N1Cc2ccc(C#N)cc2C1 ZINC000594218095 356143111 /nfs/dbraw/zinc/14/31/11/356143111.db2.gz DFUPZGNNJJEPBI-ZDUSSCGKSA-N 1 2 314.389 1.304 20 30 DDEDLO C=CC[N@H+](CCc1ccc(C#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000595373406 356469593 /nfs/dbraw/zinc/46/95/93/356469593.db2.gz NRIGYZDMVOYFJD-INIZCTEOSA-N 1 2 304.415 1.776 20 30 DDEDLO C=CC[N@@H+](CCc1ccc(C#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000595373406 356469596 /nfs/dbraw/zinc/46/95/96/356469596.db2.gz NRIGYZDMVOYFJD-INIZCTEOSA-N 1 2 304.415 1.776 20 30 DDEDLO CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCC[C@@H](CC#N)C1)C(=O)OC ZINC000595610486 356576324 /nfs/dbraw/zinc/57/63/24/356576324.db2.gz ZRLJHSKGWWEOSW-KCQAQPDRSA-N 1 2 309.410 1.316 20 30 DDEDLO CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCC[C@@H](CC#N)C1)C(=O)OC ZINC000595610486 356576331 /nfs/dbraw/zinc/57/63/31/356576331.db2.gz ZRLJHSKGWWEOSW-KCQAQPDRSA-N 1 2 309.410 1.316 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CC(=O)OC)C1CCCCC1 ZINC000595662903 356598662 /nfs/dbraw/zinc/59/86/62/356598662.db2.gz FGCKFEZISDASNE-UHFFFAOYSA-N 1 2 309.410 1.556 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CC(=O)OC)C1CCCCC1 ZINC000595662903 356598666 /nfs/dbraw/zinc/59/86/66/356598666.db2.gz FGCKFEZISDASNE-UHFFFAOYSA-N 1 2 309.410 1.556 20 30 DDEDLO COC(=O)[C@@H](c1cccc(OC)c1)[N@H+](C)CCOCCC#N ZINC000595674374 356604285 /nfs/dbraw/zinc/60/42/85/356604285.db2.gz KWZUPJOXEYYRQD-OAHLLOKOSA-N 1 2 306.362 1.771 20 30 DDEDLO COC(=O)[C@@H](c1cccc(OC)c1)[N@@H+](C)CCOCCC#N ZINC000595674374 356604287 /nfs/dbraw/zinc/60/42/87/356604287.db2.gz KWZUPJOXEYYRQD-OAHLLOKOSA-N 1 2 306.362 1.771 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@](O)(C2CC2)C1 ZINC000595749381 356635154 /nfs/dbraw/zinc/63/51/54/356635154.db2.gz FSZIRVNQFAFVOI-OAHLLOKOSA-N 1 2 305.403 1.795 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@](O)(C2CC2)C1 ZINC000595749381 356635158 /nfs/dbraw/zinc/63/51/58/356635158.db2.gz FSZIRVNQFAFVOI-OAHLLOKOSA-N 1 2 305.403 1.795 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000595913151 356703044 /nfs/dbraw/zinc/70/30/44/356703044.db2.gz CEAVZQGYWCSYBO-SUNKGSAMSA-N 1 2 303.353 1.491 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000595913151 356703046 /nfs/dbraw/zinc/70/30/46/356703046.db2.gz CEAVZQGYWCSYBO-SUNKGSAMSA-N 1 2 303.353 1.491 20 30 DDEDLO Cn1ncc(C#N)c1NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000596071301 356761804 /nfs/dbraw/zinc/76/18/04/356761804.db2.gz OLXUHPRWRLOFBZ-MRXNPFEDSA-N 1 2 311.389 1.777 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000596449972 356883915 /nfs/dbraw/zinc/88/39/15/356883915.db2.gz PYVUOTJGUWQJMU-UHFFFAOYSA-N 1 2 309.373 1.822 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(F)cc2C#N)[C@@H](C)CO1 ZINC000596783646 356976136 /nfs/dbraw/zinc/97/61/36/356976136.db2.gz OCRKWYMWRJMKIO-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(F)cc2C#N)[C@@H](C)CO1 ZINC000596783646 356976140 /nfs/dbraw/zinc/97/61/40/356976140.db2.gz OCRKWYMWRJMKIO-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@H+]1C[C@H](C)OC[C@@H]1C ZINC000596716905 356959828 /nfs/dbraw/zinc/95/98/28/356959828.db2.gz COUPXZDMRQUEJC-KBPBESRZSA-N 1 2 315.483 1.704 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@@H+]1C[C@H](C)OC[C@@H]1C ZINC000596716905 356959832 /nfs/dbraw/zinc/95/98/32/356959832.db2.gz COUPXZDMRQUEJC-KBPBESRZSA-N 1 2 315.483 1.704 20 30 DDEDLO COCc1csc(CN(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000596986090 357034304 /nfs/dbraw/zinc/03/43/04/357034304.db2.gz SRMUHAOAHGXUAI-UHFFFAOYSA-N 1 2 323.462 1.942 20 30 DDEDLO Cc1ccc2[nH+]c(CNC(=O)CC3(C#N)CCOCC3)cn2c1 ZINC000596916415 357014263 /nfs/dbraw/zinc/01/42/63/357014263.db2.gz MNRRXCRVFMSRDN-UHFFFAOYSA-N 1 2 312.373 1.969 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)ccc1F ZINC000597707864 357295401 /nfs/dbraw/zinc/29/54/01/357295401.db2.gz UDGCNZNYLZLALL-OAHLLOKOSA-N 1 2 317.364 1.634 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000597708246 357295536 /nfs/dbraw/zinc/29/55/36/357295536.db2.gz VMHQCJAUFCWCEN-MRXNPFEDSA-N 1 2 313.401 1.804 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Cc1c(F)cccc1C#N ZINC000597999419 357415394 /nfs/dbraw/zinc/41/53/94/357415394.db2.gz WPFFNFFDDRWQIE-OLZOCXBDSA-N 1 2 319.380 1.465 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Cc1c(F)cccc1C#N ZINC000597999419 357415398 /nfs/dbraw/zinc/41/53/98/357415398.db2.gz WPFFNFFDDRWQIE-OLZOCXBDSA-N 1 2 319.380 1.465 20 30 DDEDLO CCN(C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)[C@H](C)C#N ZINC000598363676 357552319 /nfs/dbraw/zinc/55/23/19/357552319.db2.gz SUVVEPGICZFCLW-TZMCWYRMSA-N 1 2 319.409 1.244 20 30 DDEDLO CCN(C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)[C@H](C)C#N ZINC000598363676 357552323 /nfs/dbraw/zinc/55/23/23/357552323.db2.gz SUVVEPGICZFCLW-TZMCWYRMSA-N 1 2 319.409 1.244 20 30 DDEDLO Cc1nc(CCNC(=O)N2CC[C@H]([NH+]3CCOCC3)C2)cs1 ZINC000329728533 223021090 /nfs/dbraw/zinc/02/10/90/223021090.db2.gz HFUKOLCXCDNETJ-AWEZNQCLSA-N 1 2 324.450 1.315 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cccc(N2CCCC2)c1 ZINC000598836290 357739901 /nfs/dbraw/zinc/73/99/01/357739901.db2.gz FNGRJEXLLWNVIL-KRWDZBQOSA-N 1 2 300.406 1.860 20 30 DDEDLO N#Cc1cccc([C@@H]2C[C@H]2C(=O)N[C@@H]2CCn3c[nH+]cc3C2)c1 ZINC000598946187 357769882 /nfs/dbraw/zinc/76/98/82/357769882.db2.gz DPINVHFUCRWTMW-HYVNUMGLSA-N 1 2 306.369 1.989 20 30 DDEDLO CC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000599691481 358026979 /nfs/dbraw/zinc/02/69/79/358026979.db2.gz RULSVESCCGUCQW-AWEZNQCLSA-N 1 2 316.361 1.957 20 30 DDEDLO CC(=O)NC[C@@H]1CCCC[N@H+]1Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000599691481 358026981 /nfs/dbraw/zinc/02/69/81/358026981.db2.gz RULSVESCCGUCQW-AWEZNQCLSA-N 1 2 316.361 1.957 20 30 DDEDLO CC(=O)NC[C@H]1CCCC[N@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000599700470 358031545 /nfs/dbraw/zinc/03/15/45/358031545.db2.gz GWMXIFDOULSIDZ-GDBMZVCRSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000599700470 358031550 /nfs/dbraw/zinc/03/15/50/358031550.db2.gz GWMXIFDOULSIDZ-GDBMZVCRSA-N 1 2 308.426 1.031 20 30 DDEDLO Cc1[nH]c(CN2CCN(c3nccnc3C#N)C[C@H]2C)[nH+]c1C ZINC000600131787 358133073 /nfs/dbraw/zinc/13/30/73/358133073.db2.gz LQXYXJNMEXVOBN-LLVKDONJSA-N 1 2 311.393 1.399 20 30 DDEDLO CC(C)[C@H](CNC(=O)C1(C#N)CC2(CC2)C1)[NH+]1CCOCC1 ZINC000600981668 358368784 /nfs/dbraw/zinc/36/87/84/358368784.db2.gz POCASKZTUDSHKR-AWEZNQCLSA-N 1 2 305.422 1.543 20 30 DDEDLO N#CCc1ccccc1C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000601610858 358622409 /nfs/dbraw/zinc/62/24/09/358622409.db2.gz FZUZDAXNEPLSQU-MRXNPFEDSA-N 1 2 313.401 1.689 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[NH+]1CC(OCC(F)F)C1 ZINC000601955880 358752133 /nfs/dbraw/zinc/75/21/33/358752133.db2.gz DYXVVPSNDWKOGZ-LBPRGKRZSA-N 1 2 312.316 1.264 20 30 DDEDLO N#CCCCNc1nc(NCCCC#N)c(Br)c[nH+]1 ZINC000601977371 358762696 /nfs/dbraw/zinc/76/26/96/358762696.db2.gz IAMZUQYTWYKJLB-UHFFFAOYSA-N 1 2 323.198 1.514 20 30 DDEDLO N#CCN1CCN(C(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)CC1 ZINC000602104783 358815271 /nfs/dbraw/zinc/81/52/71/358815271.db2.gz UGCUOCZUYVAXNN-NSHDSACASA-N 1 2 315.299 1.044 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000565601972 304079301 /nfs/dbraw/zinc/07/93/01/304079301.db2.gz AYKQKGPXCASWBR-ZDUSSCGKSA-N 1 2 318.377 1.619 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CCN(C(=O)CC#N)CC1)n1cc[nH+]c1 ZINC000602123852 358829976 /nfs/dbraw/zinc/82/99/76/358829976.db2.gz RQPXHXFWBMUTES-CQSZACIVSA-N 1 2 317.393 1.055 20 30 DDEDLO CC1CC(C#N)(C(=O)N2CC[NH+](CCc3ccncc3)CC2)C1 ZINC000602199670 358879110 /nfs/dbraw/zinc/87/91/10/358879110.db2.gz OUYGVHWMGOMLDS-UHFFFAOYSA-N 1 2 312.417 1.708 20 30 DDEDLO Cc1ncc([C@H](C)N(CCC#N)CC[NH+]2CCOCC2)c(C)n1 ZINC000602426201 358968152 /nfs/dbraw/zinc/96/81/52/358968152.db2.gz ZFTPEXBLBUBSES-HNNXBMFYSA-N 1 2 317.437 1.702 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3c(C#N)c(C)nn3C)CC2)sn1 ZINC000602633740 359088308 /nfs/dbraw/zinc/08/83/08/359088308.db2.gz XWFUCHRKAAWIOI-UHFFFAOYSA-N 1 2 316.434 1.687 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000602867000 359251471 /nfs/dbraw/zinc/25/14/71/359251471.db2.gz AZVYTLKKRNUHIQ-MNOVXSKESA-N 1 2 309.391 1.309 20 30 DDEDLO C[C@@H](NC(=O)CNC(=O)C[NH2+][C@@H](C)CC#N)c1ccc(F)cc1 ZINC000602869834 359254474 /nfs/dbraw/zinc/25/44/74/359254474.db2.gz PJWIGQFHCCXMMB-NWDGAFQWSA-N 1 2 320.368 1.011 20 30 DDEDLO CCN(C#N)CC[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000602800960 359201463 /nfs/dbraw/zinc/20/14/63/359201463.db2.gz IYZKWYYRGFMRFM-KRWDZBQOSA-N 1 2 315.396 1.811 20 30 DDEDLO COCc1ncc2c(n1)CC[N@@H+](CCOc1cccc(C#N)c1)C2 ZINC000602928486 359299550 /nfs/dbraw/zinc/29/95/50/359299550.db2.gz OJKDLUYQTLZVAJ-UHFFFAOYSA-N 1 2 324.384 1.932 20 30 DDEDLO COCc1ncc2c(n1)CC[N@H+](CCOc1cccc(C#N)c1)C2 ZINC000602928486 359299555 /nfs/dbraw/zinc/29/95/55/359299555.db2.gz OJKDLUYQTLZVAJ-UHFFFAOYSA-N 1 2 324.384 1.932 20 30 DDEDLO C[N@H+](CCNS(=O)(=O)c1ccccc1)[C@@H]1CCC[C@H]1C#N ZINC000602976200 359335240 /nfs/dbraw/zinc/33/52/40/359335240.db2.gz MNRJFTVXKCIBSM-DZGCQCFKSA-N 1 2 307.419 1.589 20 30 DDEDLO C[N@@H+](CCNS(=O)(=O)c1ccccc1)[C@@H]1CCC[C@H]1C#N ZINC000602976200 359335244 /nfs/dbraw/zinc/33/52/44/359335244.db2.gz MNRJFTVXKCIBSM-DZGCQCFKSA-N 1 2 307.419 1.589 20 30 DDEDLO C[N@H+](CCNS(=O)(=O)c1ccccc1)[C@H]1CCC[C@H]1C#N ZINC000602976203 359335305 /nfs/dbraw/zinc/33/53/05/359335305.db2.gz MNRJFTVXKCIBSM-ZFWWWQNUSA-N 1 2 307.419 1.589 20 30 DDEDLO C[N@@H+](CCNS(=O)(=O)c1ccccc1)[C@H]1CCC[C@H]1C#N ZINC000602976203 359335307 /nfs/dbraw/zinc/33/53/07/359335307.db2.gz MNRJFTVXKCIBSM-ZFWWWQNUSA-N 1 2 307.419 1.589 20 30 DDEDLO CC(C)C[C@H](C(=O)N1C[C@H](C)N(CC#N)[C@@H](C)C1)n1cc[nH+]c1 ZINC000603090033 359395676 /nfs/dbraw/zinc/39/56/76/359395676.db2.gz JBWZLOPGHPFKMC-HRCADAONSA-N 1 2 317.437 1.915 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc(C(=O)OC)c(F)c2)nn1 ZINC000603243857 359509830 /nfs/dbraw/zinc/50/98/30/359509830.db2.gz DKJLPNGKRXGLPB-UHFFFAOYSA-N 1 2 316.336 1.365 20 30 DDEDLO COC(=O)[C@@H](C)[N@H+](C)Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000281015217 216023411 /nfs/dbraw/zinc/02/34/11/216023411.db2.gz YYJXGPQCYMCTPL-GFCCVEGCSA-N 1 2 312.373 1.952 20 30 DDEDLO COC(=O)[C@@H](C)[N@@H+](C)Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000281015217 216023415 /nfs/dbraw/zinc/02/34/15/216023415.db2.gz YYJXGPQCYMCTPL-GFCCVEGCSA-N 1 2 312.373 1.952 20 30 DDEDLO C=CCCN(C)C(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000624936514 366626407 /nfs/dbraw/zinc/62/64/07/366626407.db2.gz RQQQVXSGOSDVEW-MRXNPFEDSA-N 1 2 318.421 1.500 20 30 DDEDLO C=CCCN(C)C(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000624936514 366626411 /nfs/dbraw/zinc/62/64/11/366626411.db2.gz RQQQVXSGOSDVEW-MRXNPFEDSA-N 1 2 318.421 1.500 20 30 DDEDLO C[C@@H](c1nnnn1C)[NH+]1CCC(Oc2cccc(C#N)c2)CC1 ZINC000189119088 200352125 /nfs/dbraw/zinc/35/21/25/200352125.db2.gz IJSSJVKHHZHLQF-LBPRGKRZSA-N 1 2 312.377 1.686 20 30 DDEDLO Cc1ncsc1CNC(=O)NC[C@@H]1CCc2[nH+]ccn2C1 ZINC000329938767 223049542 /nfs/dbraw/zinc/04/95/42/223049542.db2.gz FFHLQLRAOCNRFN-NSHDSACASA-N 1 2 305.407 1.914 20 30 DDEDLO N#CCN1CCC(NC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)CC1 ZINC000609340329 360297872 /nfs/dbraw/zinc/29/78/72/360297872.db2.gz PFPXIPSFPOPNGU-HNNXBMFYSA-N 1 2 316.409 1.218 20 30 DDEDLO [O-]C(=[NH+]C[C@@H]1CCc2[nH+]ccn2C1)N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000329953364 223052516 /nfs/dbraw/zinc/05/25/16/223052516.db2.gz NENKMRHEOZEBGU-SOUVJXGZSA-N 1 2 318.421 1.718 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNC(=O)[C@@H]2CCOC2)cn1 ZINC000329978063 223056420 /nfs/dbraw/zinc/05/64/20/223056420.db2.gz GVPAMVDGABIMJE-RDBSUJKOSA-N 1 2 310.373 1.326 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNC(=O)[C@@H]2CCOC2)cn1 ZINC000329978063 223056424 /nfs/dbraw/zinc/05/64/24/223056424.db2.gz GVPAMVDGABIMJE-RDBSUJKOSA-N 1 2 310.373 1.326 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNC(=O)[C@H]2CCOC2)cn1 ZINC000329978062 223055906 /nfs/dbraw/zinc/05/59/06/223055906.db2.gz GVPAMVDGABIMJE-IHRRRGAJSA-N 1 2 310.373 1.326 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNC(=O)[C@H]2CCOC2)cn1 ZINC000329978062 223055908 /nfs/dbraw/zinc/05/59/08/223055908.db2.gz GVPAMVDGABIMJE-IHRRRGAJSA-N 1 2 310.373 1.326 20 30 DDEDLO C[C@@H](NC(=O)NCC[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000610335311 360415789 /nfs/dbraw/zinc/41/57/89/360415789.db2.gz YWTDSCNPIHTLRJ-CYBMUJFWSA-N 1 2 302.378 1.251 20 30 DDEDLO COC(=O)C[N@H+](C[C@@H](O)COc1ccc(CC#N)cc1)C1CC1 ZINC000610605438 360481234 /nfs/dbraw/zinc/48/12/34/360481234.db2.gz HBZQPXWRBOTXJS-OAHLLOKOSA-N 1 2 318.373 1.130 20 30 DDEDLO COC(=O)C[N@@H+](C[C@@H](O)COc1ccc(CC#N)cc1)C1CC1 ZINC000610605438 360481239 /nfs/dbraw/zinc/48/12/39/360481239.db2.gz HBZQPXWRBOTXJS-OAHLLOKOSA-N 1 2 318.373 1.130 20 30 DDEDLO CN(C)C(=O)[C@@H](c1ccccc1)[NH+]1CCN(CCC#N)CC1 ZINC000611175037 360647506 /nfs/dbraw/zinc/64/75/06/360647506.db2.gz VRAXUUBUIBJFOX-MRXNPFEDSA-N 1 2 300.406 1.347 20 30 DDEDLO C[C@@H](C(=O)NCCC1=CCCCC1)[NH+]1CCN(CCC#N)CC1 ZINC000611175490 360648926 /nfs/dbraw/zinc/64/89/26/360648926.db2.gz GNWHOWQCXFFBAR-INIZCTEOSA-N 1 2 318.465 1.913 20 30 DDEDLO CC1(C#N)CC[NH+]([C@H]2CC(=O)N(c3cccc(O)c3)C2=O)CC1 ZINC000611537137 360752369 /nfs/dbraw/zinc/75/23/69/360752369.db2.gz YNWINYMKOVFJEB-AWEZNQCLSA-N 1 2 313.357 1.650 20 30 DDEDLO C=CCOCCCNC(=O)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000611991171 360884824 /nfs/dbraw/zinc/88/48/24/360884824.db2.gz YZHGWBXTVOJKIV-UHFFFAOYSA-N 1 2 305.378 1.024 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](CCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000612164740 360938704 /nfs/dbraw/zinc/93/87/04/360938704.db2.gz GEQRWANDPIQZLX-HNNXBMFYSA-N 1 2 323.418 1.338 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](CCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000612164740 360938707 /nfs/dbraw/zinc/93/87/07/360938707.db2.gz GEQRWANDPIQZLX-HNNXBMFYSA-N 1 2 323.418 1.338 20 30 DDEDLO CN(C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)[C@@H]1CCCC[C@H]1O ZINC000330292198 223100494 /nfs/dbraw/zinc/10/04/94/223100494.db2.gz HBPKWURLVKBOLL-RBSFLKMASA-N 1 2 318.421 1.810 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)NCc1ccn(C2CCCC2)n1 ZINC000330291641 223100732 /nfs/dbraw/zinc/10/07/32/223100732.db2.gz GQPXPQKTLBFCFY-UHFFFAOYSA-N 1 2 302.382 1.936 20 30 DDEDLO C[C@H](NC(=O)c1ccc(CC#N)cc1)[C@H](C)[NH+]1CCOCC1 ZINC000330491539 223122560 /nfs/dbraw/zinc/12/25/60/223122560.db2.gz PDOQSANDZMGORM-KBPBESRZSA-N 1 2 301.390 1.592 20 30 DDEDLO N#CC[C@@H]1CC[C@H](NC(=O)NCC2([NH+]3CCOCC3)CC2)C1 ZINC000333284985 223219585 /nfs/dbraw/zinc/21/95/85/223219585.db2.gz YRUMQTBVLVYTJR-KBPBESRZSA-N 1 2 306.410 1.233 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(NC(=O)c2cc(C)oc2C)CC1 ZINC000619717204 364128077 /nfs/dbraw/zinc/12/80/77/364128077.db2.gz JQVAAKFTJBMBMC-OAHLLOKOSA-N 1 2 320.389 1.818 20 30 DDEDLO N#CC1(F)CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)CC1 ZINC000343238428 223318942 /nfs/dbraw/zinc/31/89/42/223318942.db2.gz ZPASANXBGSFVQA-ZDUSSCGKSA-N 1 2 319.384 1.517 20 30 DDEDLO N#CC1(F)CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)CC1 ZINC000343238428 223318943 /nfs/dbraw/zinc/31/89/43/223318943.db2.gz ZPASANXBGSFVQA-ZDUSSCGKSA-N 1 2 319.384 1.517 20 30 DDEDLO COC[C@@H]([NH2+][C@@H](C)C(=O)NC1(C#N)CCC1)c1ccc(C)o1 ZINC000346539701 223357720 /nfs/dbraw/zinc/35/77/20/223357720.db2.gz YTBWTHHZUOIGFQ-QWHCGFSZSA-N 1 2 305.378 1.816 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN(CC(N)=O)Cc3ccccc3)n2c1 ZINC000093358487 193237395 /nfs/dbraw/zinc/23/73/95/193237395.db2.gz QDMULVMTLPDCFR-UHFFFAOYSA-N 1 2 319.368 1.693 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCN(C2=[NH+]C[C@@H](C)S2)CC1 ZINC000266043562 205052337 /nfs/dbraw/zinc/05/23/37/205052337.db2.gz BKUPGEKWAPWTMR-OLZOCXBDSA-N 1 2 311.451 1.603 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(C#N)cc3)C[C@@H]21 ZINC000285300005 218371155 /nfs/dbraw/zinc/37/11/55/218371155.db2.gz RLGMTKNHSJHSIL-GJZGRUSLSA-N 1 2 300.362 1.495 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(C#N)cc3)C[C@@H]21 ZINC000285300005 218371156 /nfs/dbraw/zinc/37/11/56/218371156.db2.gz RLGMTKNHSJHSIL-GJZGRUSLSA-N 1 2 300.362 1.495 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000332406241 284999474 /nfs/dbraw/zinc/99/94/74/284999474.db2.gz XUQTWSUFHFJFEK-NWDGAFQWSA-N 1 2 311.411 1.273 20 30 DDEDLO Cc1nsc(N2CC[NH+](C[C@@H](O)C(F)(F)F)CC2)c1C#N ZINC000289610665 221101270 /nfs/dbraw/zinc/10/12/70/221101270.db2.gz YIBRWDNGZVOKIH-SNVBAGLBSA-N 1 2 320.340 1.368 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)Nc1ccc(C#N)c(F)c1 ZINC000289712813 221173177 /nfs/dbraw/zinc/17/31/77/221173177.db2.gz JEMULJBWPKQQCJ-UHFFFAOYSA-N 1 2 306.341 1.493 20 30 DDEDLO Cn1ncc(C[NH+]2CCC(Oc3ccc(C#N)cc3F)CC2)n1 ZINC000289948994 221335590 /nfs/dbraw/zinc/33/55/90/221335590.db2.gz WBUJRMBSZMCVBR-UHFFFAOYSA-N 1 2 315.352 1.869 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000120950145 195270045 /nfs/dbraw/zinc/27/00/45/195270045.db2.gz LMTDMVZAGHXCDH-ZIAGYGMSSA-N 1 2 301.390 1.706 20 30 DDEDLO Cc1nc(CC(N)=[NH+]O[C@H](C)C(=O)NCC(F)(F)F)cs1 ZINC000072920200 406886206 /nfs/dbraw/zinc/88/62/06/406886206.db2.gz ZNHUBSCHHUGFOF-ZCFIWIBFSA-N 1 2 324.328 1.350 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000078754221 407044927 /nfs/dbraw/zinc/04/49/27/407044927.db2.gz DWCSIPMPGRWNQP-OAHLLOKOSA-N 1 2 301.390 1.416 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000078754221 407044929 /nfs/dbraw/zinc/04/49/29/407044929.db2.gz DWCSIPMPGRWNQP-OAHLLOKOSA-N 1 2 301.390 1.416 20 30 DDEDLO CC(C)(CNC(=O)Nc1ccc(F)c(C#N)c1)[NH+]1CCOCC1 ZINC000077497936 406993980 /nfs/dbraw/zinc/99/39/80/406993980.db2.gz JDZCXDCFSJPVOJ-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO C=CCNC(=O)NC[C@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000047605311 407077360 /nfs/dbraw/zinc/07/73/60/407077360.db2.gz PWDYKCYIDJXCDQ-MRXNPFEDSA-N 1 2 303.406 1.854 20 30 DDEDLO C#CCC[NH+]1CCN(c2ccc(C(C)=O)cc2[N+](=O)[O-])CC1 ZINC000065945105 407246367 /nfs/dbraw/zinc/24/63/67/407246367.db2.gz RHBUGVJXYPAZJN-UHFFFAOYSA-N 1 2 301.346 1.943 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](CC)CC(=O)NCc1ccc(F)cc1 ZINC000124658576 407365619 /nfs/dbraw/zinc/36/56/19/407365619.db2.gz ZFQJKAKQDQJWQI-ZDUSSCGKSA-N 1 2 321.396 1.455 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](CC)CC(=O)NCc1ccc(F)cc1 ZINC000124658576 407365620 /nfs/dbraw/zinc/36/56/20/407365620.db2.gz ZFQJKAKQDQJWQI-ZDUSSCGKSA-N 1 2 321.396 1.455 20 30 DDEDLO CN(CC(=O)OC(C)(C)C)Cc1c[nH+]c2ccc(C#N)cn12 ZINC000126925635 407424016 /nfs/dbraw/zinc/42/40/16/407424016.db2.gz VYOTXBGPFQGRQD-UHFFFAOYSA-N 1 2 300.362 1.979 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCCOc1ccc(F)cc1 ZINC000112335983 407426810 /nfs/dbraw/zinc/42/68/10/407426810.db2.gz FAVQACQJRHHZAO-UHFFFAOYSA-N 1 2 311.357 1.798 20 30 DDEDLO CCOC(=O)C(C)(C)[NH2+]C[C@H](O)COc1ccc(C#N)cc1 ZINC000112656509 407434542 /nfs/dbraw/zinc/43/45/42/407434542.db2.gz LFUDNACLICQCGJ-ZDUSSCGKSA-N 1 2 306.362 1.229 20 30 DDEDLO CCOC(=O)C(C)(C)[NH2+]CCC(=O)Nc1ccc(C#N)cc1 ZINC000112655246 407434710 /nfs/dbraw/zinc/43/47/10/407434710.db2.gz XAONHMJNUNWHER-UHFFFAOYSA-N 1 2 303.362 1.818 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[NH2+][C@@](C)(C(N)=O)c1ccccc1 ZINC000170645078 407464674 /nfs/dbraw/zinc/46/46/74/407464674.db2.gz CWIZRBFQCUQANJ-DLBZAZTESA-N 1 2 316.405 1.031 20 30 DDEDLO C[N@@H+]1CCN(CCS(=O)(=O)c2ccc(C#N)cc2)C(C)(C)C1 ZINC000271019798 407471677 /nfs/dbraw/zinc/47/16/77/407471677.db2.gz IUIJCDURWPVWKD-UHFFFAOYSA-N 1 2 321.446 1.358 20 30 DDEDLO C[N@H+]1CCN(CCS(=O)(=O)c2ccc(C#N)cc2)C(C)(C)C1 ZINC000271019798 407471681 /nfs/dbraw/zinc/47/16/81/407471681.db2.gz IUIJCDURWPVWKD-UHFFFAOYSA-N 1 2 321.446 1.358 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)NCCCn1cc[nH+]c1 ZINC000178405576 407446394 /nfs/dbraw/zinc/44/63/94/407446394.db2.gz RCGYEROMFVCEQN-UHFFFAOYSA-N 1 2 311.345 1.208 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+](C)CCN2CCOCC2)c1C ZINC000114190787 407551453 /nfs/dbraw/zinc/55/14/53/407551453.db2.gz BJSLPSTUIAHXHB-UHFFFAOYSA-N 1 2 319.449 1.738 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+](C)CCN2CCOCC2)c1C ZINC000114190787 407551457 /nfs/dbraw/zinc/55/14/57/407551457.db2.gz BJSLPSTUIAHXHB-UHFFFAOYSA-N 1 2 319.449 1.738 20 30 DDEDLO Cc1cc(C#N)ccc1C[NH+]1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000186165646 407592471 /nfs/dbraw/zinc/59/24/71/407592471.db2.gz QHBFAFRPPHIPQY-KRWDZBQOSA-N 1 2 313.401 1.690 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+]1C[C@@H](O)C[C@H]1CO ZINC000186529366 407692185 /nfs/dbraw/zinc/69/21/85/407692185.db2.gz GCLVBCMKRJTGNN-STQMWFEESA-N 1 2 322.430 1.331 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+]1C[C@@H](O)C[C@H]1CO ZINC000186529366 407692193 /nfs/dbraw/zinc/69/21/93/407692193.db2.gz GCLVBCMKRJTGNN-STQMWFEESA-N 1 2 322.430 1.331 20 30 DDEDLO N#Cc1ccc(OCC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000152971226 407724749 /nfs/dbraw/zinc/72/47/49/407724749.db2.gz FDSJBRNFYDNMEU-HNNXBMFYSA-N 1 2 322.368 1.727 20 30 DDEDLO N#CCCCCNC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000171733186 407754516 /nfs/dbraw/zinc/75/45/16/407754516.db2.gz PZPJQLBEQURUMS-UHFFFAOYSA-N 1 2 317.393 1.411 20 30 DDEDLO CC[C@@H](C#N)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000172115094 407807988 /nfs/dbraw/zinc/80/79/88/407807988.db2.gz TYWKFJVLCVHPIW-ZFWWWQNUSA-N 1 2 318.396 1.755 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)CC(=O)N(C)CC(=O)NCCC ZINC000272307638 407862629 /nfs/dbraw/zinc/86/26/29/407862629.db2.gz QWOIKCPVVUJVIT-UHFFFAOYSA-N 1 2 321.421 1.295 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)CC(=O)N(C)CC(=O)NCCC ZINC000272307638 407862632 /nfs/dbraw/zinc/86/26/32/407862632.db2.gz QWOIKCPVVUJVIT-UHFFFAOYSA-N 1 2 321.421 1.295 20 30 DDEDLO C#CC[N@@H+](CCSc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000117879602 407867735 /nfs/dbraw/zinc/86/77/35/407867735.db2.gz NUWBFFSLWRBCJL-AWEZNQCLSA-N 1 2 309.456 1.901 20 30 DDEDLO C#CC[N@H+](CCSc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000117879602 407867738 /nfs/dbraw/zinc/86/77/38/407867738.db2.gz NUWBFFSLWRBCJL-AWEZNQCLSA-N 1 2 309.456 1.901 20 30 DDEDLO CC(=O)N1CCC(NC(=O)C[N@@H+](CCC#N)CCC(C)C)CC1 ZINC000173543902 407887696 /nfs/dbraw/zinc/88/76/96/407887696.db2.gz BXOTVNQKJXTVFD-UHFFFAOYSA-N 1 2 322.453 1.375 20 30 DDEDLO CC(=O)N1CCC(NC(=O)C[N@H+](CCC#N)CCC(C)C)CC1 ZINC000173543902 407887701 /nfs/dbraw/zinc/88/77/01/407887701.db2.gz BXOTVNQKJXTVFD-UHFFFAOYSA-N 1 2 322.453 1.375 20 30 DDEDLO C#CC[N@@H+](CCc1ccc(F)c(F)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000153785697 407899877 /nfs/dbraw/zinc/89/98/77/407899877.db2.gz KHEITCQBVOSWMS-CYBMUJFWSA-N 1 2 313.369 1.630 20 30 DDEDLO C#CC[N@H+](CCc1ccc(F)c(F)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000153785697 407899884 /nfs/dbraw/zinc/89/98/84/407899884.db2.gz KHEITCQBVOSWMS-CYBMUJFWSA-N 1 2 313.369 1.630 20 30 DDEDLO CC#CCNC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000134991162 407960991 /nfs/dbraw/zinc/96/09/91/407960991.db2.gz DMVKQPPMYHGMCX-INIZCTEOSA-N 1 2 301.390 1.382 20 30 DDEDLO CCc1cccc(OCCO[NH+]=C(N)C(C)(C)NC(C)=O)c1 ZINC000181641364 408021638 /nfs/dbraw/zinc/02/16/38/408021638.db2.gz AOIGVSKYCOMWFD-UHFFFAOYSA-N 1 2 307.394 1.831 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCO[C@H]([C@H]2CCCO2)C1 ZINC000245975708 408113951 /nfs/dbraw/zinc/11/39/51/408113951.db2.gz WZJFRMYICFPIRD-SJORKVTESA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCO[C@H]([C@H]2CCCO2)C1 ZINC000245975708 408113955 /nfs/dbraw/zinc/11/39/55/408113955.db2.gz WZJFRMYICFPIRD-SJORKVTESA-N 1 2 302.374 1.947 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCCN(C(=O)C(C)C)CC1 ZINC000155105498 408127921 /nfs/dbraw/zinc/12/79/21/408127921.db2.gz YABHFHGKPDWNNS-HNNXBMFYSA-N 1 2 322.453 1.185 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCCN(C(=O)C(C)C)CC1 ZINC000155105498 408127925 /nfs/dbraw/zinc/12/79/25/408127925.db2.gz YABHFHGKPDWNNS-HNNXBMFYSA-N 1 2 322.453 1.185 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNS(=O)(=O)c1ccccc1C#N ZINC000182417069 408151962 /nfs/dbraw/zinc/15/19/62/408151962.db2.gz QEFQZHAKIHMSRN-UHFFFAOYSA-N 1 2 318.402 1.889 20 30 DDEDLO CCOc1ccc(-c2nc(CO[NH+]=C(N)CCO)co2)cc1 ZINC000121316346 408184630 /nfs/dbraw/zinc/18/46/30/408184630.db2.gz MDNFJCVGUGSPHQ-UHFFFAOYSA-N 1 2 305.334 1.912 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(OC)c(F)c2)CC1 ZINC000122076095 408249689 /nfs/dbraw/zinc/24/96/89/408249689.db2.gz BKMWUYNHNDYIDZ-CYBMUJFWSA-N 1 2 319.380 1.094 20 30 DDEDLO CC(C)C(=O)NCC[N@H+](C)CC(=O)Nc1ccc(C#N)cc1 ZINC000157303235 408298840 /nfs/dbraw/zinc/29/88/40/408298840.db2.gz NSUYJZVNKGVNCZ-UHFFFAOYSA-N 1 2 302.378 1.201 20 30 DDEDLO CC(C)C(=O)NCC[N@@H+](C)CC(=O)Nc1ccc(C#N)cc1 ZINC000157303235 408298843 /nfs/dbraw/zinc/29/88/43/408298843.db2.gz NSUYJZVNKGVNCZ-UHFFFAOYSA-N 1 2 302.378 1.201 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCCN(c3cccc[nH+]3)C2)cn1 ZINC000131019065 162002316 /nfs/dbraw/zinc/00/23/16/162002316.db2.gz OJJGFRWXJNKVLD-OAHLLOKOSA-N 1 2 307.357 1.747 20 30 DDEDLO C[C@@H](Nc1nc2c(cc1C#N)CCC2)[C@H](C)[NH+]1CCOCC1 ZINC000264319942 408441852 /nfs/dbraw/zinc/44/18/52/408441852.db2.gz VEGKRXBSGSGZKH-OLZOCXBDSA-N 1 2 300.406 1.963 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)C[C@H](CO)O1 ZINC000191774759 408488446 /nfs/dbraw/zinc/48/84/46/408488446.db2.gz OMSFLIXQVACYOI-CMPLNLGQSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)C[C@H](CO)O1 ZINC000191774759 408488451 /nfs/dbraw/zinc/48/84/51/408488451.db2.gz OMSFLIXQVACYOI-CMPLNLGQSA-N 1 2 309.391 1.030 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2cccc(C#N)c2)CC1 ZINC000264537448 408527008 /nfs/dbraw/zinc/52/70/08/408527008.db2.gz ZPRNKEPPSLCMMJ-UHFFFAOYSA-N 1 2 317.414 1.029 20 30 DDEDLO N#Cc1ccc(Cl)c(S(=O)(=O)N2CCn3cc[nH+]c3C2)c1 ZINC000160811505 408527327 /nfs/dbraw/zinc/52/73/27/408527327.db2.gz QBZPSMRLFICVFQ-UHFFFAOYSA-N 1 2 322.777 1.613 20 30 DDEDLO C[C@@H]1CCN(S(=O)(=O)CC2(C#N)CC2)C[C@H]1n1cc[nH+]c1 ZINC000248621644 408601483 /nfs/dbraw/zinc/60/14/83/408601483.db2.gz CTYMDIDAKXBVAK-CHWSQXEVSA-N 1 2 308.407 1.400 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2cc(C#N)cs2)CC[N@@H+]1CCOC ZINC000177487998 408636540 /nfs/dbraw/zinc/63/65/40/408636540.db2.gz KPPLBKLDRMEKQE-CYBMUJFWSA-N 1 2 307.419 1.803 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2cc(C#N)cs2)CC[N@H+]1CCOC ZINC000177487998 408636542 /nfs/dbraw/zinc/63/65/42/408636542.db2.gz KPPLBKLDRMEKQE-CYBMUJFWSA-N 1 2 307.419 1.803 20 30 DDEDLO C[C@H](C[S@@](C)=O)[N@H+](C)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000184572893 408645486 /nfs/dbraw/zinc/64/54/86/408645486.db2.gz ASTLFFACGRDKPJ-RKFFSXRUSA-N 1 2 318.402 1.807 20 30 DDEDLO C[C@H](C[S@@](C)=O)[N@@H+](C)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000184572893 408645489 /nfs/dbraw/zinc/64/54/89/408645489.db2.gz ASTLFFACGRDKPJ-RKFFSXRUSA-N 1 2 318.402 1.807 20 30 DDEDLO CO[C@@H](C)c1noc(CO[NH+]=C(N)Cc2csc(C)n2)n1 ZINC000177560399 408658309 /nfs/dbraw/zinc/65/83/09/408658309.db2.gz ATVBXUAILSVIJN-ZETCQYMHSA-N 1 2 311.367 1.573 20 30 DDEDLO N#Cc1cccc(N2CC[NH+]([C@@H](C(N)=O)c3ccccc3)CC2)n1 ZINC000265481562 408668322 /nfs/dbraw/zinc/66/83/22/408668322.db2.gz DCPOIUPIDDWWGU-QGZVFWFLSA-N 1 2 321.384 1.302 20 30 DDEDLO Cc1nc(N2CCN(C(=O)C3(C#N)CCCCC3)CC2)cc[nH+]1 ZINC000185398855 408803423 /nfs/dbraw/zinc/80/34/23/408803423.db2.gz SPBOIEKMOJMABU-UHFFFAOYSA-N 1 2 313.405 1.908 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1cnc2ccccc2c1 ZINC000281410044 408884893 /nfs/dbraw/zinc/88/48/93/408884893.db2.gz RCTRAXZCASPSMO-UHFFFAOYSA-N 1 2 300.362 1.356 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1C[C@H](C)[NH+](C)[C@@H](C)C1 ZINC000219271504 163332550 /nfs/dbraw/zinc/33/25/50/163332550.db2.gz SFYVQGVCGHWNGW-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[S@](=O)C[C@H](C)C1 ZINC000277456680 408943971 /nfs/dbraw/zinc/94/39/71/408943971.db2.gz MICSMNHITQFKII-DMZKTXOQSA-N 1 2 322.430 1.646 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[S@](=O)C[C@H](C)C1 ZINC000277456680 408943974 /nfs/dbraw/zinc/94/39/74/408943974.db2.gz MICSMNHITQFKII-DMZKTXOQSA-N 1 2 322.430 1.646 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)s1 ZINC000292149222 408989888 /nfs/dbraw/zinc/98/98/88/408989888.db2.gz JZVGMOWZCUYZMX-LBPRGKRZSA-N 1 2 301.375 1.767 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)s1 ZINC000292149222 408989890 /nfs/dbraw/zinc/98/98/90/408989890.db2.gz JZVGMOWZCUYZMX-LBPRGKRZSA-N 1 2 301.375 1.767 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N(CC(=O)OCC)c1ccccc1 ZINC000293052419 409049602 /nfs/dbraw/zinc/04/96/02/409049602.db2.gz HYIIBVFTNGBWCL-UHFFFAOYSA-N 1 2 302.374 1.538 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N(CC(=O)OCC)c1ccccc1 ZINC000293052419 409049606 /nfs/dbraw/zinc/04/96/06/409049606.db2.gz HYIIBVFTNGBWCL-UHFFFAOYSA-N 1 2 302.374 1.538 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@]1(C#N)CCC[C@H](C)C1 ZINC000282913064 409070477 /nfs/dbraw/zinc/07/04/77/409070477.db2.gz BQRLTPVTENSHRF-PJODQICGSA-N 1 2 317.393 1.046 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@]1(C#N)CCC[C@H](C)C1 ZINC000282913064 409070479 /nfs/dbraw/zinc/07/04/79/409070479.db2.gz BQRLTPVTENSHRF-PJODQICGSA-N 1 2 317.393 1.046 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)c2cc(C#N)ccn2)CC1 ZINC000283125016 409092927 /nfs/dbraw/zinc/09/29/27/409092927.db2.gz QXZHXNRDKQJDRC-CQSZACIVSA-N 1 2 321.384 1.867 20 30 DDEDLO C=C[C@](C)(O)CC(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000278917396 409111852 /nfs/dbraw/zinc/11/18/52/409111852.db2.gz RLBCISVLSXIWBM-SFHVURJKSA-N 1 2 317.433 1.700 20 30 DDEDLO CN(C)c1noc(CO[NH+]=C(N)Cc2ccc(Cl)cc2)n1 ZINC000289331867 409251384 /nfs/dbraw/zinc/25/13/84/409251384.db2.gz DSFCHMUXMJPDEY-UHFFFAOYSA-N 1 2 309.757 1.821 20 30 DDEDLO COc1cc(C#N)ccc1OCCO[NH+]=C(N)[C@@H]1CCCO1 ZINC000284010645 409256530 /nfs/dbraw/zinc/25/65/30/409256530.db2.gz HSVLLGYMGLFZKR-ZDUSSCGKSA-N 1 2 305.334 1.413 20 30 DDEDLO CC(C)(C)[N@@H+]1CC[C@@](F)(C(=O)Nc2nc(C#N)c(C#N)[nH]2)C1 ZINC000290140276 409308251 /nfs/dbraw/zinc/30/82/51/409308251.db2.gz MPWKZTCBLVBHFF-AWEZNQCLSA-N 1 2 304.329 1.304 20 30 DDEDLO COc1cncc(CN(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000290313052 409357776 /nfs/dbraw/zinc/35/77/76/409357776.db2.gz NTSHWEKJWPDWSZ-UHFFFAOYSA-N 1 2 304.394 1.138 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CC[C@H](C)O3)n2CC)CC1 ZINC000295837264 409371103 /nfs/dbraw/zinc/37/11/03/409371103.db2.gz DASBQIVBARONGJ-KBPBESRZSA-N 1 2 303.410 1.293 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000280654434 409396551 /nfs/dbraw/zinc/39/65/51/409396551.db2.gz WQMMPNBCORBUAM-UHFFFAOYSA-N 1 2 319.430 1.582 20 30 DDEDLO Cc1cc(C(N)=[NH+]OC[C@@H]2CCCS(=O)(=O)C2)ccc1F ZINC000284406353 409342274 /nfs/dbraw/zinc/34/22/74/409342274.db2.gz NJAGPNMZVAAJQH-NSHDSACASA-N 1 2 314.382 1.596 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCC[C@@H]2c2nc(=O)[nH][nH]2)cc1C#N ZINC000295285086 409414686 /nfs/dbraw/zinc/41/46/86/409414686.db2.gz KZQAHPRCJOSUFQ-CYBMUJFWSA-N 1 2 313.361 1.705 20 30 DDEDLO COc1ccc(C[N@H+]2CCCC[C@@H]2c2nc(=O)[nH][nH]2)cc1C#N ZINC000295285086 409414691 /nfs/dbraw/zinc/41/46/91/409414691.db2.gz KZQAHPRCJOSUFQ-CYBMUJFWSA-N 1 2 313.361 1.705 20 30 DDEDLO COc1ccc(C[N@@H+]2CCO[C@]3(CCCOC3)C2)cc1C#N ZINC000285403071 409431433 /nfs/dbraw/zinc/43/14/33/409431433.db2.gz UFBBXHXIBYYJFR-QGZVFWFLSA-N 1 2 302.374 1.948 20 30 DDEDLO COc1ccc(C[N@H+]2CCO[C@]3(CCCOC3)C2)cc1C#N ZINC000285403071 409431442 /nfs/dbraw/zinc/43/14/42/409431442.db2.gz UFBBXHXIBYYJFR-QGZVFWFLSA-N 1 2 302.374 1.948 20 30 DDEDLO C=C(CC)CNC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000633285456 422842892 /nfs/dbraw/zinc/84/28/92/422842892.db2.gz AVJXYBRLYLOVMF-UHFFFAOYSA-N 1 2 303.410 1.313 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)nc2)C[C@@H]1C ZINC000408043135 164207680 /nfs/dbraw/zinc/20/76/80/164207680.db2.gz VKEORPBVKWWROJ-RYUDHWBXSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)nc2)C[C@@H]1C ZINC000408043135 164207684 /nfs/dbraw/zinc/20/76/84/164207684.db2.gz VKEORPBVKWWROJ-RYUDHWBXSA-N 1 2 308.407 1.056 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@@H](C)c1c(F)cncc1F ZINC000285582784 409497608 /nfs/dbraw/zinc/49/76/08/409497608.db2.gz IHRXCCRRLUCUMP-DTWKUNHWSA-N 1 2 312.320 1.411 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000342277466 409567927 /nfs/dbraw/zinc/56/79/27/409567927.db2.gz DMRBHKGZPMCZRX-FZKCQIBNSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C[C@H](C)NC(=O)[C@H]1CCC(=O)N(C2CC2)[C@@H]1c1c[nH+]cn1C ZINC000345732264 409732244 /nfs/dbraw/zinc/73/22/44/409732244.db2.gz ICSNJGVFSQETFC-RBOXIYTFSA-N 1 2 316.405 1.553 20 30 DDEDLO CN(C[C@@H]1CCCCO1)C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000328751416 409968858 /nfs/dbraw/zinc/96/88/58/409968858.db2.gz RAIQUOPVZSBIKZ-AWEZNQCLSA-N 1 2 313.442 1.512 20 30 DDEDLO CN(C[C@@H]1CCCCO1)C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000328751416 409968865 /nfs/dbraw/zinc/96/88/65/409968865.db2.gz RAIQUOPVZSBIKZ-AWEZNQCLSA-N 1 2 313.442 1.512 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N[C@@H]1CCO[C@H]1C1CC1 ZINC000328817372 409984853 /nfs/dbraw/zinc/98/48/53/409984853.db2.gz DEEOOKMWDQFXOK-HIFRSBDPSA-N 1 2 304.394 1.791 20 30 DDEDLO C#CCOc1ccc(CNC(=O)N(C)Cc2[nH+]ccn2C)cc1 ZINC000297510353 409926312 /nfs/dbraw/zinc/92/63/12/409926312.db2.gz NBMMSYSREZCOFV-UHFFFAOYSA-N 1 2 312.373 1.774 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC([O-])=[NH+][C@H](C)Cn1cccn1)CCC2 ZINC000328659785 409950319 /nfs/dbraw/zinc/95/03/19/409950319.db2.gz UEJYMSLJFACNQR-KGLIPLIRSA-N 1 2 316.409 1.858 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](C[NH+]=C([O-])N[C@H](C)Cn1cccn1)CCC2 ZINC000328659785 409950325 /nfs/dbraw/zinc/95/03/25/409950325.db2.gz UEJYMSLJFACNQR-KGLIPLIRSA-N 1 2 316.409 1.858 20 30 DDEDLO CC[N@@H+]1CCOC[C@@H]1C(=O)N1CCC[C@H](C(=O)NCC2CC2)C1 ZINC000328993090 410043671 /nfs/dbraw/zinc/04/36/71/410043671.db2.gz FWVHPKCQMKUQIQ-LSDHHAIUSA-N 1 2 323.437 1.312 20 30 DDEDLO CC[N@H+]1CCOC[C@@H]1C(=O)N1CCC[C@H](C(=O)NCC2CC2)C1 ZINC000328993090 410043676 /nfs/dbraw/zinc/04/36/76/410043676.db2.gz FWVHPKCQMKUQIQ-LSDHHAIUSA-N 1 2 323.437 1.312 20 30 DDEDLO C[C@@H](C(=O)NCc1ncnn1C(C)(C)C)[NH+]1CCSCC1 ZINC000328855853 409994556 /nfs/dbraw/zinc/99/45/56/409994556.db2.gz XTZHLNFOYGNLMM-NSHDSACASA-N 1 2 311.455 1.927 20 30 DDEDLO CCOC(=O)COCC[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000351715407 410176403 /nfs/dbraw/zinc/17/64/03/410176403.db2.gz JLAJHZMSIHTYBX-INIZCTEOSA-N 1 2 318.373 1.511 20 30 DDEDLO CCOC(=O)COCC[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000351715407 410176412 /nfs/dbraw/zinc/17/64/12/410176412.db2.gz JLAJHZMSIHTYBX-INIZCTEOSA-N 1 2 318.373 1.511 20 30 DDEDLO CC[NH+]1CCN(C(=O)[C@@H](C)Sc2ccc(C#N)cn2)CC1 ZINC000298320189 410180204 /nfs/dbraw/zinc/18/02/04/410180204.db2.gz SLZKFNZATWFGSB-GFCCVEGCSA-N 1 2 304.419 1.598 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)NC[C@@H]1CCCS(=O)(=O)C1 ZINC000329347219 410246769 /nfs/dbraw/zinc/24/67/69/410246769.db2.gz VLZMVLUISNSDMW-QWHCGFSZSA-N 1 2 302.440 1.642 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)NC[C@@H]1CCCS(=O)(=O)C1 ZINC000329347219 410246777 /nfs/dbraw/zinc/24/67/77/410246777.db2.gz VLZMVLUISNSDMW-QWHCGFSZSA-N 1 2 302.440 1.642 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@@H]1C ZINC000346995420 410299542 /nfs/dbraw/zinc/29/95/42/410299542.db2.gz VVSVLRPCLAIRFT-ZYHUDNBSSA-N 1 2 319.361 1.590 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@@H]1C ZINC000346995420 410299550 /nfs/dbraw/zinc/29/95/50/410299550.db2.gz VVSVLRPCLAIRFT-ZYHUDNBSSA-N 1 2 319.361 1.590 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@H]1C ZINC000346995414 410300356 /nfs/dbraw/zinc/30/03/56/410300356.db2.gz VVSVLRPCLAIRFT-JQWIXIFHSA-N 1 2 319.361 1.590 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@H]1C ZINC000346995414 410300363 /nfs/dbraw/zinc/30/03/63/410300363.db2.gz VVSVLRPCLAIRFT-JQWIXIFHSA-N 1 2 319.361 1.590 20 30 DDEDLO COc1cc([C@H](C)[NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)ccn1 ZINC000347668030 410666821 /nfs/dbraw/zinc/66/68/21/410666821.db2.gz HXBHRUNZKPOJGT-MEDUHNTESA-N 1 2 310.357 1.839 20 30 DDEDLO C#CC[NH+]1CCN(c2cc(CC)nc(-c3ccccn3)n2)CC1 ZINC000301168273 410669332 /nfs/dbraw/zinc/66/93/32/410669332.db2.gz ILVDHBHDYFGLSU-UHFFFAOYSA-N 1 2 307.401 1.856 20 30 DDEDLO Cc1cnn([C@H]2CC[N@@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C2)c1 ZINC000352417377 410655454 /nfs/dbraw/zinc/65/54/54/410655454.db2.gz ZMKRJCFFVBTFMP-JKSUJKDBSA-N 1 2 309.373 1.537 20 30 DDEDLO Cc1cnn([C@H]2CC[N@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C2)c1 ZINC000352417377 410655458 /nfs/dbraw/zinc/65/54/58/410655458.db2.gz ZMKRJCFFVBTFMP-JKSUJKDBSA-N 1 2 309.373 1.537 20 30 DDEDLO C[N@H+](Cc1cc[nH]n1)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000352426372 410656714 /nfs/dbraw/zinc/65/67/14/410656714.db2.gz TXSVEHLNXKCCON-INIZCTEOSA-N 1 2 309.373 1.909 20 30 DDEDLO C[N@@H+](Cc1cc[nH]n1)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000352426372 410656718 /nfs/dbraw/zinc/65/67/18/410656718.db2.gz TXSVEHLNXKCCON-INIZCTEOSA-N 1 2 309.373 1.909 20 30 DDEDLO COCC(C)(C)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000330463124 410712690 /nfs/dbraw/zinc/71/26/90/410712690.db2.gz TXZAMVABSMASKB-UHFFFAOYSA-N 1 2 315.417 1.875 20 30 DDEDLO C=C(C)CN(Cc1ccc(CO)o1)C(=O)NCc1[nH+]ccn1C ZINC000352755761 410678202 /nfs/dbraw/zinc/67/82/02/410678202.db2.gz ZWRLQLWXFCKHDZ-UHFFFAOYSA-N 1 2 318.377 1.793 20 30 DDEDLO N#CCC(=O)Nc1ccc2nc(CC[NH+]3CCOCC3)[nH]c2c1 ZINC000356007897 410772711 /nfs/dbraw/zinc/77/27/11/410772711.db2.gz IEEJQFJAJNPEDW-UHFFFAOYSA-N 1 2 313.361 1.290 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@@H+]1C[C@H](C)O ZINC000248972726 287173831 /nfs/dbraw/zinc/17/38/31/287173831.db2.gz SZORVGWFGZDWFK-SWLSCSKDSA-N 1 2 317.389 1.181 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@H+]1C[C@H](C)O ZINC000248972726 287173832 /nfs/dbraw/zinc/17/38/32/287173832.db2.gz SZORVGWFGZDWFK-SWLSCSKDSA-N 1 2 317.389 1.181 20 30 DDEDLO C[NH+](C)Cc1cc(C(=O)NCC(=O)c2ccc(C#N)cc2)no1 ZINC000356166578 410871934 /nfs/dbraw/zinc/87/19/34/410871934.db2.gz QFNKFRATJVRQIC-UHFFFAOYSA-N 1 2 312.329 1.221 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000330850250 410899900 /nfs/dbraw/zinc/89/99/00/410899900.db2.gz DUGOGBMYOZDORM-AAEUAGOBSA-N 1 2 321.343 1.384 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000330850250 410899904 /nfs/dbraw/zinc/89/99/04/410899904.db2.gz DUGOGBMYOZDORM-AAEUAGOBSA-N 1 2 321.343 1.384 20 30 DDEDLO C[C@@H](CN1CCOCC1)[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000341136120 410887422 /nfs/dbraw/zinc/88/74/22/410887422.db2.gz ZLWFDOZLJLIGAV-YJBOKZPZSA-N 1 2 315.417 1.652 20 30 DDEDLO C[C@@H](CN1CCOCC1)[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000341136120 410887425 /nfs/dbraw/zinc/88/74/25/410887425.db2.gz ZLWFDOZLJLIGAV-YJBOKZPZSA-N 1 2 315.417 1.652 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](C[C@H](O)Cn3cccn3)CCO2)c1 ZINC000341813544 411061037 /nfs/dbraw/zinc/06/10/37/411061037.db2.gz KKTWKRFMSXCOJE-IRXDYDNUSA-N 1 2 312.373 1.189 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](C[C@H](O)Cn3cccn3)CCO2)c1 ZINC000341813544 411061042 /nfs/dbraw/zinc/06/10/42/411061042.db2.gz KKTWKRFMSXCOJE-IRXDYDNUSA-N 1 2 312.373 1.189 20 30 DDEDLO Cc1nc([C@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)no1 ZINC000360159292 411081670 /nfs/dbraw/zinc/08/16/70/411081670.db2.gz QXUVQCWUVWVTPR-BLLLJJGKSA-N 1 2 317.393 1.366 20 30 DDEDLO Cc1nc([C@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)no1 ZINC000360159292 411081677 /nfs/dbraw/zinc/08/16/77/411081677.db2.gz QXUVQCWUVWVTPR-BLLLJJGKSA-N 1 2 317.393 1.366 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[NH+](C(C)C)CC2)c1C#N ZINC000131027513 196133928 /nfs/dbraw/zinc/13/39/28/196133928.db2.gz YQLZKYPAUCXMDV-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO CCCCCCC[NH2+]C1(C(=O)OC)CCS(=O)(=O)CC1 ZINC000366512053 418483757 /nfs/dbraw/zinc/48/37/57/418483757.db2.gz TYKGYFUWVNEDIU-UHFFFAOYSA-N 1 2 305.440 1.667 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)o1)[C@H]1CC(=O)N(Cc2ccccc2)C1=O ZINC000374255207 418509738 /nfs/dbraw/zinc/50/97/38/418509738.db2.gz ISHYNKYIFIXYIL-INIZCTEOSA-N 1 2 323.352 1.911 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)o1)[C@H]1CC(=O)N(Cc2ccccc2)C1=O ZINC000374255207 418509739 /nfs/dbraw/zinc/50/97/39/418509739.db2.gz ISHYNKYIFIXYIL-INIZCTEOSA-N 1 2 323.352 1.911 20 30 DDEDLO N#C[C@@H]1C[N@@H+](Cc2ccncc2)C[C@@]12c1ccccc1NC2=O ZINC000374364603 418522904 /nfs/dbraw/zinc/52/29/04/418522904.db2.gz HFYGGNXVGCDXAR-KDOFPFPSSA-N 1 2 304.353 1.927 20 30 DDEDLO N#C[C@@H]1C[N@H+](Cc2ccncc2)C[C@@]12c1ccccc1NC2=O ZINC000374364603 418522907 /nfs/dbraw/zinc/52/29/07/418522907.db2.gz HFYGGNXVGCDXAR-KDOFPFPSSA-N 1 2 304.353 1.927 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCC[C@@H](O)[C@H]2C(=O)OC)cc1 ZINC000188783298 222025988 /nfs/dbraw/zinc/02/59/88/222025988.db2.gz TXQFEZZWTGGXPV-SJORKVTESA-N 1 2 317.385 1.587 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCC[C@@H](O)[C@H]2C(=O)OC)cc1 ZINC000188783298 222025992 /nfs/dbraw/zinc/02/59/92/222025992.db2.gz TXQFEZZWTGGXPV-SJORKVTESA-N 1 2 317.385 1.587 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H](O)c2ccccc2C1 ZINC000374823023 418566898 /nfs/dbraw/zinc/56/68/98/418566898.db2.gz VDMUVFTUFNVAQH-WMZOPIPTSA-N 1 2 315.417 1.980 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@H](O)c2ccccc2C1 ZINC000374823023 418566901 /nfs/dbraw/zinc/56/69/01/418566901.db2.gz VDMUVFTUFNVAQH-WMZOPIPTSA-N 1 2 315.417 1.980 20 30 DDEDLO C(#CC[N@@H+]1CCO[C@H](C2CC2)C1)CN1CCO[C@@H](C2CC2)C1 ZINC000367048376 418554950 /nfs/dbraw/zinc/55/49/50/418554950.db2.gz UOOAEOJJGDFBJC-HDICACEKSA-N 1 2 304.434 1.211 20 30 DDEDLO C(#CC[N@H+]1CCO[C@H](C2CC2)C1)CN1CCO[C@@H](C2CC2)C1 ZINC000367048376 418554953 /nfs/dbraw/zinc/55/49/53/418554953.db2.gz UOOAEOJJGDFBJC-HDICACEKSA-N 1 2 304.434 1.211 20 30 DDEDLO C(#CC[N@@H+]1CCO[C@@H](C2CC2)C1)CN1CCO[C@H](C2CC2)C1 ZINC000367048376 418554957 /nfs/dbraw/zinc/55/49/57/418554957.db2.gz UOOAEOJJGDFBJC-HDICACEKSA-N 1 2 304.434 1.211 20 30 DDEDLO C(#CC[N@H+]1CCO[C@@H](C2CC2)C1)CN1CCO[C@H](C2CC2)C1 ZINC000367048376 418554960 /nfs/dbraw/zinc/55/49/60/418554960.db2.gz UOOAEOJJGDFBJC-HDICACEKSA-N 1 2 304.434 1.211 20 30 DDEDLO CCCS(=O)(=O)CC[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000367067938 418557201 /nfs/dbraw/zinc/55/72/01/418557201.db2.gz UVYYSZXOZKQBOH-UHFFFAOYSA-N 1 2 321.446 1.764 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(C#N)c(O)c2)C[C@H]1[NH+]1CCOCC1 ZINC000188581434 222017837 /nfs/dbraw/zinc/01/78/37/222017837.db2.gz TVMAMPMCEOGEQM-IUODEOHRSA-N 1 2 315.373 1.057 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1ccc(OC)c(OC)c1 ZINC000191119629 222097534 /nfs/dbraw/zinc/09/75/34/222097534.db2.gz ACNWSFDUEGXPGV-UHFFFAOYSA-N 1 2 308.378 1.777 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1ccc(OC)c(OC)c1 ZINC000191119629 222097536 /nfs/dbraw/zinc/09/75/36/222097536.db2.gz ACNWSFDUEGXPGV-UHFFFAOYSA-N 1 2 308.378 1.777 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CC[NH+]([C@H]2CCCCNC2=O)CC1 ZINC000192934217 222138584 /nfs/dbraw/zinc/13/85/84/222138584.db2.gz FOELXVNIUOUJJR-IRXDYDNUSA-N 1 2 312.417 1.538 20 30 DDEDLO CNc1[nH+]cnc2c1cnn2C[C@@H](O)COc1ccc(C#N)cc1 ZINC000193756909 222163385 /nfs/dbraw/zinc/16/33/85/222163385.db2.gz RMFZGPPRLHDQIC-GFCCVEGCSA-N 1 2 324.344 1.180 20 30 DDEDLO C=CC[C@@H](C)NC(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000361117028 418587140 /nfs/dbraw/zinc/58/71/40/418587140.db2.gz XICIVJFOIUHEEY-OLZOCXBDSA-N 1 2 319.409 1.175 20 30 DDEDLO C[C@H](CC#N)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000264843046 222336645 /nfs/dbraw/zinc/33/66/45/222336645.db2.gz LLLNXWBKISXKRW-ZIAGYGMSSA-N 1 2 318.396 1.755 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCC(=O)N2C[C@H](C)C[C@H](C)C2)c1 ZINC000266209779 222360306 /nfs/dbraw/zinc/36/03/06/222360306.db2.gz NQJBWVITDRUSNG-BETUJISGSA-N 1 2 319.405 1.837 20 30 DDEDLO N#Cc1c2c(sc1NC(=O)CC[N@@H+]1CCO[C@@H]3C[C@@H]31)CCC2 ZINC000368327408 418719108 /nfs/dbraw/zinc/71/91/08/418719108.db2.gz FXHVJCSYBZLEFB-QWHCGFSZSA-N 1 2 317.414 1.910 20 30 DDEDLO N#Cc1c2c(sc1NC(=O)CC[N@H+]1CCO[C@@H]3C[C@@H]31)CCC2 ZINC000368327408 418719111 /nfs/dbraw/zinc/71/91/11/418719111.db2.gz FXHVJCSYBZLEFB-QWHCGFSZSA-N 1 2 317.414 1.910 20 30 DDEDLO C=C(Cl)Cn1nnc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000375797262 418687057 /nfs/dbraw/zinc/68/70/57/418687057.db2.gz UJLVUJNHOZGJIG-AWEZNQCLSA-N 1 2 319.796 1.999 20 30 DDEDLO C=C(Cl)Cn1nnc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000375797262 418687059 /nfs/dbraw/zinc/68/70/59/418687059.db2.gz UJLVUJNHOZGJIG-AWEZNQCLSA-N 1 2 319.796 1.999 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@@H](C(=O)N1CCN(CC#N)CC1)C2 ZINC000376056635 418692064 /nfs/dbraw/zinc/69/20/64/418692064.db2.gz OGHQUTCSZPALJP-CYBMUJFWSA-N 1 2 315.421 1.306 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@H](C(=O)N1CCN(CC#N)CC1)CC2 ZINC000376056635 418692065 /nfs/dbraw/zinc/69/20/65/418692065.db2.gz OGHQUTCSZPALJP-CYBMUJFWSA-N 1 2 315.421 1.306 20 30 DDEDLO C=C[C@@H](C)NC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000362381611 418753350 /nfs/dbraw/zinc/75/33/50/418753350.db2.gz ZVIZUWNFAJIZRI-OLZOCXBDSA-N 1 2 304.394 1.680 20 30 DDEDLO Cc1cc([C@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)[nH]n1 ZINC000364016011 418771954 /nfs/dbraw/zinc/77/19/54/418771954.db2.gz WPGKMNSGNXOHLG-SUMWQHHRSA-N 1 2 315.421 1.706 20 30 DDEDLO Cc1cc([C@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)[nH]n1 ZINC000364016011 418771955 /nfs/dbraw/zinc/77/19/55/418771955.db2.gz WPGKMNSGNXOHLG-SUMWQHHRSA-N 1 2 315.421 1.706 20 30 DDEDLO Cc1cc([C@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)n[nH]1 ZINC000364016011 418771957 /nfs/dbraw/zinc/77/19/57/418771957.db2.gz WPGKMNSGNXOHLG-SUMWQHHRSA-N 1 2 315.421 1.706 20 30 DDEDLO Cc1cc([C@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)n[nH]1 ZINC000364016011 418771958 /nfs/dbraw/zinc/77/19/58/418771958.db2.gz WPGKMNSGNXOHLG-SUMWQHHRSA-N 1 2 315.421 1.706 20 30 DDEDLO Cc1cn2c([nH+]1)CN(CC(=O)Nc1oc(C)c(C)c1C#N)CC2 ZINC000371699692 418810348 /nfs/dbraw/zinc/81/03/48/418810348.db2.gz JDTHWRPOGAMRNO-UHFFFAOYSA-N 1 2 313.361 1.727 20 30 DDEDLO Cn1cc[nH+]c1CN1CCCN(C(=O)CC(C)(C)C#N)CC1 ZINC000364818311 418812497 /nfs/dbraw/zinc/81/24/97/418812497.db2.gz YPWCGLWZWHWPQQ-UHFFFAOYSA-N 1 2 303.410 1.394 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CCCN(C(=O)CC(C)(C)C#N)CC1 ZINC000364818311 418812499 /nfs/dbraw/zinc/81/24/99/418812499.db2.gz YPWCGLWZWHWPQQ-UHFFFAOYSA-N 1 2 303.410 1.394 20 30 DDEDLO Cn1ccnc1C[N@H+]1CCCN(C(=O)CC(C)(C)C#N)CC1 ZINC000364818311 418812500 /nfs/dbraw/zinc/81/25/00/418812500.db2.gz YPWCGLWZWHWPQQ-UHFFFAOYSA-N 1 2 303.410 1.394 20 30 DDEDLO C=CC[C@@H]1N(C(=O)C(=O)N2CC[NH+](C)CC2)CCCC1(C)C ZINC000411002425 418862845 /nfs/dbraw/zinc/86/28/45/418862845.db2.gz JQFDQGMCAJSFJJ-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H]2OCC[C@H]2C1 ZINC000365844710 418915259 /nfs/dbraw/zinc/91/52/59/418915259.db2.gz HNQGPXCHGTVKSL-XHSDSOJGSA-N 1 2 300.358 1.883 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@H]2OCC[C@H]2C1 ZINC000365844710 418915260 /nfs/dbraw/zinc/91/52/60/418915260.db2.gz HNQGPXCHGTVKSL-XHSDSOJGSA-N 1 2 300.358 1.883 20 30 DDEDLO C[C@]1(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)C[C@H]1F ZINC000424551203 228288541 /nfs/dbraw/zinc/28/85/41/228288541.db2.gz ZVXXRJIXFCBRTG-WBVHZDCISA-N 1 2 301.365 1.951 20 30 DDEDLO Cc1cc(NCCCNC(=O)c2cccs2)c(C#N)c[nH+]1 ZINC000425223554 228393970 /nfs/dbraw/zinc/39/39/70/228393970.db2.gz NFVBISYSIKFUFK-UHFFFAOYSA-N 1 2 300.387 1.977 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+](Cc3c(C#N)cccc3[N+](=O)[O-])C2)[nH]1 ZINC000412035449 419582726 /nfs/dbraw/zinc/58/27/26/419582726.db2.gz GBJLZMVXIWZHTG-GFCCVEGCSA-N 1 2 312.333 1.883 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+](Cc3c(C#N)cccc3[N+](=O)[O-])C2)[nH]1 ZINC000412035449 419582731 /nfs/dbraw/zinc/58/27/31/419582731.db2.gz GBJLZMVXIWZHTG-GFCCVEGCSA-N 1 2 312.333 1.883 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@H+](Cc3c(C#N)cccc3[N+](=O)[O-])C2)n1 ZINC000412035449 419582738 /nfs/dbraw/zinc/58/27/38/419582738.db2.gz GBJLZMVXIWZHTG-GFCCVEGCSA-N 1 2 312.333 1.883 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@@H+](Cc3c(C#N)cccc3[N+](=O)[O-])C2)n1 ZINC000412035449 419582744 /nfs/dbraw/zinc/58/27/44/419582744.db2.gz GBJLZMVXIWZHTG-GFCCVEGCSA-N 1 2 312.333 1.883 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)c1ccccc1C#N ZINC000431586510 229043724 /nfs/dbraw/zinc/04/37/24/229043724.db2.gz RRRGOFVMYNCOES-UHFFFAOYSA-N 1 2 303.343 1.440 20 30 DDEDLO C=CC[N@@H+](C)Cc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000428390546 419860010 /nfs/dbraw/zinc/86/00/10/419860010.db2.gz UIIPQIACMOLABQ-UHFFFAOYSA-N 1 2 310.419 1.325 20 30 DDEDLO C=CC[N@H+](C)Cc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000428390546 419860014 /nfs/dbraw/zinc/86/00/14/419860014.db2.gz UIIPQIACMOLABQ-UHFFFAOYSA-N 1 2 310.419 1.325 20 30 DDEDLO CC(C)C[C@H](CNC(=O)c1ccc(C#N)o1)[NH+]1CCOCC1 ZINC000429828620 420050028 /nfs/dbraw/zinc/05/00/28/420050028.db2.gz KJBPMVLFOCBZRU-CYBMUJFWSA-N 1 2 305.378 1.628 20 30 DDEDLO Cc1cc(N2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)c(C#N)c[nH+]1 ZINC000425252342 420329844 /nfs/dbraw/zinc/32/98/44/420329844.db2.gz ZEHPWCZKNDXSSK-UHFFFAOYSA-N 1 2 323.356 1.325 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[N@H+](C)[C@H](Cc2ccccc2)C1 ZINC000416482122 420387971 /nfs/dbraw/zinc/38/79/71/420387971.db2.gz WZEIJYOQMIBXDQ-UKRRQHHQSA-N 1 2 307.419 1.087 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[N@@H+](C)[C@H](Cc2ccccc2)C1 ZINC000416482122 420387973 /nfs/dbraw/zinc/38/79/73/420387973.db2.gz WZEIJYOQMIBXDQ-UKRRQHHQSA-N 1 2 307.419 1.087 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC(S(N)(=O)=O)CC2)c(Cl)c1 ZINC000439437059 420513066 /nfs/dbraw/zinc/51/30/66/420513066.db2.gz JVKSZWWYNRUHPX-UHFFFAOYSA-N 1 2 313.810 1.465 20 30 DDEDLO C[C@@H](CC[NH+]1CCOCC1)NC(=O)c1ccc(C#N)c(O)c1 ZINC000442652271 420695110 /nfs/dbraw/zinc/69/51/10/420695110.db2.gz NLOGEPGLCDBPGO-LBPRGKRZSA-N 1 2 303.362 1.104 20 30 DDEDLO CC[C@H](NC(=O)CNC(=O)c1ccc(C#N)cc1)c1[nH]cc[nH+]1 ZINC000442821926 420722759 /nfs/dbraw/zinc/72/27/59/420722759.db2.gz NWGXIEADTMTKEH-ZDUSSCGKSA-N 1 2 311.345 1.279 20 30 DDEDLO C=CC[C@@H](CO)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000443048649 420739252 /nfs/dbraw/zinc/73/92/52/420739252.db2.gz XANLDAFCMMFWLC-AWEZNQCLSA-N 1 2 321.402 1.147 20 30 DDEDLO N#CCOc1ccc(/C=C\C(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000493167910 420776066 /nfs/dbraw/zinc/77/60/66/420776066.db2.gz QOPURLJAFIORPS-OMVNSRBRSA-N 1 2 322.368 1.930 20 30 DDEDLO COC[C@H]([NH2+]Cc1c(C#N)cccc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000459450956 420832919 /nfs/dbraw/zinc/83/29/19/420832919.db2.gz YYUQGNZCVYIYBT-DZGCQCFKSA-N 1 2 305.334 1.750 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(C(=O)/C=C/c3[nH]cc[nH+]3)C2)nc1 ZINC000493493672 420865553 /nfs/dbraw/zinc/86/55/53/420865553.db2.gz ZWYAANCGWHPUAM-CXLRFSCWSA-N 1 2 309.329 1.369 20 30 DDEDLO N#C[C@@H]1CN(C(=O)C=Cc2c[nH]c[nH+]2)CCN1Cc1ccccc1 ZINC000493586191 420889471 /nfs/dbraw/zinc/88/94/71/420889471.db2.gz IZVUUAMXLBDGAG-JTGQJZMRSA-N 1 2 321.384 1.659 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)Nc1nc2c(s1)C[N@H+](C)CC2 ZINC000448761546 420892979 /nfs/dbraw/zinc/89/29/79/420892979.db2.gz VBIKAEHSVLVDDG-UHFFFAOYSA-N 1 2 301.375 1.892 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)Nc1nc2c(s1)C[N@@H+](C)CC2 ZINC000448761546 420892981 /nfs/dbraw/zinc/89/29/81/420892981.db2.gz VBIKAEHSVLVDDG-UHFFFAOYSA-N 1 2 301.375 1.892 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000459858440 420892954 /nfs/dbraw/zinc/89/29/54/420892954.db2.gz FJTSNUHIIUQQOQ-UHFFFAOYSA-N 1 2 321.446 1.427 20 30 DDEDLO C=CCn1cccc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000488947424 421128329 /nfs/dbraw/zinc/12/83/29/421128329.db2.gz GTYFHQKIXCQNLK-UHFFFAOYSA-N 1 2 316.405 1.362 20 30 DDEDLO CCN(CC)C(=O)CN1CCC[N@H+](CC2(C#N)CCC2)CC1 ZINC000450256746 421171394 /nfs/dbraw/zinc/17/13/94/421171394.db2.gz RRGUCVRMHFWTCU-UHFFFAOYSA-N 1 2 306.454 1.556 20 30 DDEDLO CCN(CC)C(=O)CN1CCC[N@@H+](CC2(C#N)CCC2)CC1 ZINC000450256746 421171397 /nfs/dbraw/zinc/17/13/97/421171397.db2.gz RRGUCVRMHFWTCU-UHFFFAOYSA-N 1 2 306.454 1.556 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@]3(C)CCCO3)n2C(C)C)CC1 ZINC000491744661 421202828 /nfs/dbraw/zinc/20/28/28/421202828.db2.gz XVFHYYQRAYAMCD-QGZVFWFLSA-N 1 2 317.437 1.640 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)[C@@H](C)C[S@@](C)=O)c1C#N ZINC000546640135 421301444 /nfs/dbraw/zinc/30/14/44/421301444.db2.gz IFPJZIUXGNQFOZ-WIUDPPPLSA-N 1 2 308.403 1.544 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)[C@@H](C)C[S@@](C)=O)c1C#N ZINC000546640135 421301449 /nfs/dbraw/zinc/30/14/49/421301449.db2.gz IFPJZIUXGNQFOZ-WIUDPPPLSA-N 1 2 308.403 1.544 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)NC1(C#N)CCC1)c1cn(-c2ccccc2)nn1 ZINC000527378115 421383844 /nfs/dbraw/zinc/38/38/44/421383844.db2.gz PTKAPQVCOJARBI-CYBMUJFWSA-N 1 2 324.388 1.480 20 30 DDEDLO COc1cc(C(=O)NC2(C#N)CC[NH+](C)CC2)cc(Cl)c1O ZINC000527483055 421389644 /nfs/dbraw/zinc/38/96/44/421389644.db2.gz NLTDXWLAZRFOPY-UHFFFAOYSA-N 1 2 323.780 1.772 20 30 DDEDLO CCN1CCN(C(=O)Cc2ccc(C#N)nc2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000529095551 421527710 /nfs/dbraw/zinc/52/77/10/421527710.db2.gz IRFFMOLWSOODTP-OAHLLOKOSA-N 1 2 324.388 1.124 20 30 DDEDLO CCN1CC[N@H+](C[C@@H](O)COc2ccccc2C#N)[C@H](C)C1 ZINC000528510674 421496040 /nfs/dbraw/zinc/49/60/40/421496040.db2.gz YHZUNYUOFOQSCW-GDBMZVCRSA-N 1 2 303.406 1.324 20 30 DDEDLO CCN1CC[N@@H+](C[C@@H](O)COc2ccccc2C#N)[C@H](C)C1 ZINC000528510674 421496042 /nfs/dbraw/zinc/49/60/42/421496042.db2.gz YHZUNYUOFOQSCW-GDBMZVCRSA-N 1 2 303.406 1.324 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)c1ccc(C#N)cc1)C2 ZINC000552147425 421576939 /nfs/dbraw/zinc/57/69/39/421576939.db2.gz DDASEEGOJAKCDQ-HNNXBMFYSA-N 1 2 324.384 1.343 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3cc(C#N)ncn3)c[nH+]2)C[C@H](C)O1 ZINC000564701461 421592268 /nfs/dbraw/zinc/59/22/68/421592268.db2.gz JALRQNVYHCAIEH-BETUJISGSA-N 1 2 324.388 1.969 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccncc1Br ZINC000566772926 421608719 /nfs/dbraw/zinc/60/87/19/421608719.db2.gz LPFCHKZKMSHQNI-LBPRGKRZSA-N 1 2 311.183 1.418 20 30 DDEDLO Cc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)ccc1-n1cccn1 ZINC000567995388 421616992 /nfs/dbraw/zinc/61/69/92/421616992.db2.gz IYPGQMGSRLSYDP-QGZVFWFLSA-N 1 2 311.389 1.754 20 30 DDEDLO Cc1nc(CC2CC2)sc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000569345786 421625942 /nfs/dbraw/zinc/62/59/42/421625942.db2.gz ZMUJANHJQWFMBO-OAHLLOKOSA-N 1 2 306.435 1.978 20 30 DDEDLO COC(=O)c1ccc(N2CCC(n3cc[nH+]c3)CC2)c(C#N)n1 ZINC000570200166 421632005 /nfs/dbraw/zinc/63/20/05/421632005.db2.gz VFPFPNXASJFUCO-UHFFFAOYSA-N 1 2 311.345 1.778 20 30 DDEDLO N#CC1(CNC(=O)NCc2ccc(N3CCOCC3)[nH+]c2)CC1 ZINC000555680019 421688048 /nfs/dbraw/zinc/68/80/48/421688048.db2.gz JUGZDJAKMBTNPZ-UHFFFAOYSA-N 1 2 315.377 1.021 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)[C@H](CC)[N@@H+]1CCO[C@@H](CC)C1 ZINC000556680464 421720417 /nfs/dbraw/zinc/72/04/17/421720417.db2.gz VPAZMZMTOLEPNH-FZKCQIBNSA-N 1 2 310.438 1.726 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)[C@H](CC)[N@H+]1CCO[C@@H](CC)C1 ZINC000556680464 421720420 /nfs/dbraw/zinc/72/04/20/421720420.db2.gz VPAZMZMTOLEPNH-FZKCQIBNSA-N 1 2 310.438 1.726 20 30 DDEDLO CC[C@@H]1C[N@H+](C)CCN1C(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000519020340 421677763 /nfs/dbraw/zinc/67/77/63/421677763.db2.gz CLXBSZVTPDIIBX-LLVKDONJSA-N 1 2 320.418 1.547 20 30 DDEDLO CC[C@@H]1C[N@@H+](C)CCN1C(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000519020340 421677766 /nfs/dbraw/zinc/67/77/66/421677766.db2.gz CLXBSZVTPDIIBX-LLVKDONJSA-N 1 2 320.418 1.547 20 30 DDEDLO N#CCc1ccnc(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)c1 ZINC000538710248 421742868 /nfs/dbraw/zinc/74/28/68/421742868.db2.gz XNQYAJGDFXGTCW-UHFFFAOYSA-N 1 2 304.313 1.981 20 30 DDEDLO Cc1ccnc(N[C@@H]2CCCN(Cc3[nH+]ccn3C)C2=O)c1C#N ZINC000544143778 421843315 /nfs/dbraw/zinc/84/33/15/421843315.db2.gz MGWYWXDNHDUZER-CQSZACIVSA-N 1 2 324.388 1.598 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCCOCCc1ccccc1 ZINC000573210206 421931909 /nfs/dbraw/zinc/93/19/09/421931909.db2.gz DVBSKGBEYZKQJV-GOSISDBHSA-N 1 2 317.433 1.986 20 30 DDEDLO CCN1CCN(C(=O)NCC2(C#N)CC2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000574826287 422191156 /nfs/dbraw/zinc/19/11/56/422191156.db2.gz PFFYLBRBGLRBFB-GFCCVEGCSA-N 1 2 302.382 1.102 20 30 DDEDLO C[N@@H+]([C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O)[C@H]1CCC[C@@H]1C#N ZINC000602982520 422440304 /nfs/dbraw/zinc/44/03/04/422440304.db2.gz UIAKKRNGUWCMSQ-HZSPNIEDSA-N 1 2 321.421 1.553 20 30 DDEDLO C[N@H+]([C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O)[C@H]1CCC[C@@H]1C#N ZINC000602982520 422440308 /nfs/dbraw/zinc/44/03/08/422440308.db2.gz UIAKKRNGUWCMSQ-HZSPNIEDSA-N 1 2 321.421 1.553 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(c3nc(N)ns3)CC2)cc1C#N ZINC000599343528 422405061 /nfs/dbraw/zinc/40/50/61/422405061.db2.gz YLCKGMGRHPXAIZ-UHFFFAOYSA-N 1 2 314.418 1.623 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000636005653 422557314 /nfs/dbraw/zinc/55/73/14/422557314.db2.gz MGTPDJKXNCPQNH-LBPRGKRZSA-N 1 2 301.346 1.635 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)n1cc(Cn2cc[nH+]c2)nn1 ZINC000640920087 423276895 /nfs/dbraw/zinc/27/68/95/423276895.db2.gz MFOINJMJMHCZDR-CYBMUJFWSA-N 1 2 300.366 1.285 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)Cc2ccc(F)cc2)nn1 ZINC000641227655 423496257 /nfs/dbraw/zinc/49/62/57/423496257.db2.gz SUMSDPMAGSHMDM-INIZCTEOSA-N 1 2 302.353 1.134 20 30 DDEDLO C[C@H](c1cccc(NC(=O)NCC#CCO)c1)[NH+]1CCOCC1 ZINC000639645908 423629103 /nfs/dbraw/zinc/62/91/03/423629103.db2.gz BNBIBDGKIQMIAQ-CQSZACIVSA-N 1 2 317.389 1.197 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000645130444 424179168 /nfs/dbraw/zinc/17/91/68/424179168.db2.gz ZCRFSKHHUUBYGJ-AWEZNQCLSA-N 1 2 314.389 1.418 20 30 DDEDLO CC#CC[C@H](CO)Nc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000664056751 424365428 /nfs/dbraw/zinc/36/54/28/424365428.db2.gz SJQREPKJXTZPMR-CYBMUJFWSA-N 1 2 304.394 1.014 20 30 DDEDLO CC#CC[C@H](CO)Nc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000664056751 424365436 /nfs/dbraw/zinc/36/54/36/424365436.db2.gz SJQREPKJXTZPMR-CYBMUJFWSA-N 1 2 304.394 1.014 20 30 DDEDLO C=CCOCCCNC(=O)NCCc1cn2ccccc2[nH+]1 ZINC000660294967 424627960 /nfs/dbraw/zinc/62/79/60/424627960.db2.gz KPOKVNHIBVMIIU-UHFFFAOYSA-N 1 2 302.378 1.769 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@H+]1C[C@H](C)OC[C@@H]1C ZINC000665038749 424734112 /nfs/dbraw/zinc/73/41/12/424734112.db2.gz RSEFPFSLZFBMHC-XQLPTFJDSA-N 1 2 311.426 1.128 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@@H+]1C[C@H](C)OC[C@@H]1C ZINC000665038749 424734115 /nfs/dbraw/zinc/73/41/15/424734115.db2.gz RSEFPFSLZFBMHC-XQLPTFJDSA-N 1 2 311.426 1.128 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)COc2ccc(C#N)cc2)CCO1 ZINC000347631866 266378157 /nfs/dbraw/zinc/37/81/57/266378157.db2.gz RDYABDWXKMSYHF-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)COc2ccc(C#N)cc2)CCO1 ZINC000347631866 266378158 /nfs/dbraw/zinc/37/81/58/266378158.db2.gz RDYABDWXKMSYHF-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO C[C@@](O)(C[NH+]1CC(Oc2ccc(C#N)cc2)C1)C(F)(F)F ZINC000373989742 267114522 /nfs/dbraw/zinc/11/45/22/267114522.db2.gz KYAYIVRRUSXLOT-CYBMUJFWSA-N 1 2 300.280 1.935 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1ccc(C#N)c(F)c1 ZINC000354351316 267168858 /nfs/dbraw/zinc/16/88/58/267168858.db2.gz CBGWFTVVOHFGIT-SNVBAGLBSA-N 1 2 315.308 1.037 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000338116292 267277082 /nfs/dbraw/zinc/27/70/82/267277082.db2.gz KJMPVOFVNGILDT-KBPBESRZSA-N 1 2 301.390 1.706 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CC[C@@H](COC(F)F)C2)cc1 ZINC000353027158 268213341 /nfs/dbraw/zinc/21/33/41/268213341.db2.gz WXGDXDGDRNOSRZ-DGCLKSJQSA-N 1 2 309.316 1.646 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CC[C@@H](COC(F)F)C2)cc1 ZINC000353027158 268213344 /nfs/dbraw/zinc/21/33/44/268213344.db2.gz WXGDXDGDRNOSRZ-DGCLKSJQSA-N 1 2 309.316 1.646 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@@H+]2CCOC[C@@H]2[C@@H]2CCCC2=O)cc1 ZINC000368937024 268214078 /nfs/dbraw/zinc/21/40/78/268214078.db2.gz DTZMICARXZVQIZ-LZLYRXPVSA-N 1 2 314.385 1.662 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@H+]2CCOC[C@@H]2[C@@H]2CCCC2=O)cc1 ZINC000368937024 268214080 /nfs/dbraw/zinc/21/40/80/268214080.db2.gz DTZMICARXZVQIZ-LZLYRXPVSA-N 1 2 314.385 1.662 20 30 DDEDLO Cc1c(Cl)cnc(N(C)C[C@H](O)C[NH+]2CCOCC2)c1C#N ZINC000273328042 274662829 /nfs/dbraw/zinc/66/28/29/274662829.db2.gz LNYNQXZXQMKFMH-LBPRGKRZSA-N 1 2 324.812 1.044 20 30 DDEDLO C#CCNC(=O)CC[NH2+][C@@H](c1nccn1C)c1ccccc1F ZINC000491620272 277689707 /nfs/dbraw/zinc/68/97/07/277689707.db2.gz FWASILKEITWNHT-MRXNPFEDSA-N 1 2 314.364 1.378 20 30 DDEDLO CN1CC[N@H+](C)C[C@@H]1CNC(=O)c1cc(F)c(O)c(Cl)c1 ZINC000331232566 290034273 /nfs/dbraw/zinc/03/42/73/290034273.db2.gz JLGSMOCGEZJIMA-JTQLQIEISA-N 1 2 315.776 1.735 20 30 DDEDLO CN1CC[N@@H+](C)C[C@@H]1CNC(=O)c1cc(F)c(O)c(Cl)c1 ZINC000331232566 290034279 /nfs/dbraw/zinc/03/42/79/290034279.db2.gz JLGSMOCGEZJIMA-JTQLQIEISA-N 1 2 315.776 1.735 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN([C@H](C(N)=O)c3ccc(C#N)cc3)C[C@H]21 ZINC000332202805 290098416 /nfs/dbraw/zinc/09/84/16/290098416.db2.gz DODLRGKIPLRDTQ-KFWWJZLASA-N 1 2 300.362 1.149 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN([C@H](C(N)=O)c3ccc(C#N)cc3)C[C@H]21 ZINC000332202805 290098422 /nfs/dbraw/zinc/09/84/22/290098422.db2.gz DODLRGKIPLRDTQ-KFWWJZLASA-N 1 2 300.362 1.149 20 30 DDEDLO CN1CCO[C@@H]2C[N@@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C[C@H]21 ZINC000332202805 290098425 /nfs/dbraw/zinc/09/84/25/290098425.db2.gz DODLRGKIPLRDTQ-KFWWJZLASA-N 1 2 300.362 1.149 20 30 DDEDLO CN1CCO[C@@H]2C[N@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C[C@H]21 ZINC000332202805 290098428 /nfs/dbraw/zinc/09/84/28/290098428.db2.gz DODLRGKIPLRDTQ-KFWWJZLASA-N 1 2 300.362 1.149 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cccc4c3OCCO4)C[C@H]21 ZINC000328892134 290119866 /nfs/dbraw/zinc/11/98/66/290119866.db2.gz TXYAAEJCCGCWOI-OCCSQVGLSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cccc4c3OCCO4)C[C@H]21 ZINC000328892134 290119868 /nfs/dbraw/zinc/11/98/68/290119868.db2.gz TXYAAEJCCGCWOI-OCCSQVGLSA-N 1 2 319.361 1.018 20 30 DDEDLO Cc1nc([C@H](C)NC(=O)N2CC[C@H]([NH+]3CCOCC3)C2)cs1 ZINC000329758384 293376512 /nfs/dbraw/zinc/37/65/12/293376512.db2.gz ZYYCWRJLVKNPHA-AAEUAGOBSA-N 1 2 324.450 1.833 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CCC[C@@H]1[C@H]1CCCO1 ZINC000329609377 295384043 /nfs/dbraw/zinc/38/40/43/295384043.db2.gz NKBRYTHFGOSGEK-KCPJHIHWSA-N 1 2 304.394 1.824 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CCC[C@@H]1[C@H]1CCCO1 ZINC000329609377 295384044 /nfs/dbraw/zinc/38/40/44/295384044.db2.gz NKBRYTHFGOSGEK-KCPJHIHWSA-N 1 2 304.394 1.824 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCOC[C@H]3[C@@H]3CCCC3=O)n2c1 ZINC000368490507 301039557 /nfs/dbraw/zinc/03/95/57/301039557.db2.gz OKUBHNRHQCXJRR-HOTGVXAUSA-N 1 2 324.384 1.776 20 30 DDEDLO Cn1c2c([nH+]c1[C@H]1CCCN1S(=O)(=O)CCC#N)CCCC2 ZINC000296435662 302900192 /nfs/dbraw/zinc/90/01/92/302900192.db2.gz WIMKFSXAZMOEKT-CQSZACIVSA-N 1 2 322.434 1.679 20 30 DDEDLO N#Cc1ccc(C2(C(=O)N[C@@H]3CCn4cc[nH+]c4C3)CC2)cc1 ZINC000548406069 307767023 /nfs/dbraw/zinc/76/70/23/307767023.db2.gz PXCSBPXZJYJMGW-OAHLLOKOSA-N 1 2 306.369 1.918 20 30 DDEDLO Cc1cc(N2CCN(c3ccc([N+](=O)[O-])nc3)CC2)c(C#N)c[nH+]1 ZINC000567718243 308092314 /nfs/dbraw/zinc/09/23/14/308092314.db2.gz HKALJQLKAHZSJI-UHFFFAOYSA-N 1 2 324.344 1.892 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]2C(=O)N2CCSCC2)nc1 ZINC000574410339 308270214 /nfs/dbraw/zinc/27/02/14/308270214.db2.gz HKEXZVODNRCHBO-OAHLLOKOSA-N 1 2 316.430 1.493 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]2C(=O)N2CCSCC2)nc1 ZINC000574410339 308270216 /nfs/dbraw/zinc/27/02/16/308270216.db2.gz HKEXZVODNRCHBO-OAHLLOKOSA-N 1 2 316.430 1.493 20 30 DDEDLO COc1cc[nH+]c(CN2CCN(c3cnccc3C#N)CC2)c1 ZINC000574743132 308271062 /nfs/dbraw/zinc/27/10/62/308271062.db2.gz XDSBWYBGCGHYBH-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO COc1ccnc(C[NH+]2CCN(c3cnccc3C#N)CC2)c1 ZINC000574743132 308271064 /nfs/dbraw/zinc/27/10/64/308271064.db2.gz XDSBWYBGCGHYBH-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO Cc1cc(NC[C@H]2CS(=O)(=O)c3ccccc32)c(C#N)c[nH+]1 ZINC000580829373 308634113 /nfs/dbraw/zinc/63/41/13/308634113.db2.gz ATSTYWRSIMTGNS-ZDUSSCGKSA-N 1 2 313.382 1.667 20 30 DDEDLO CCOCCNC(=O)CO[NH+]=C(N)Cc1ccc(Cl)cc1 ZINC000176994597 333123512 /nfs/dbraw/zinc/12/35/12/333123512.db2.gz KBRQTGFUNXMTLL-UHFFFAOYSA-N 1 2 313.785 1.324 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1ccc2c(c1)CCCO2 ZINC000563220741 333485368 /nfs/dbraw/zinc/48/53/68/333485368.db2.gz UKLJBXQRUVWOCP-QGZVFWFLSA-N 1 2 301.390 1.514 20 30 DDEDLO COCCOc1ccc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000563219999 333486085 /nfs/dbraw/zinc/48/60/85/333486085.db2.gz DAUISNMPIIPNRO-KRWDZBQOSA-N 1 2 319.405 1.214 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)c2ccc(OC)cc2)CC1 ZINC000119568117 334285442 /nfs/dbraw/zinc/28/54/42/334285442.db2.gz HDYIVNZOPDKDHD-UHFFFAOYSA-N 1 2 315.417 1.066 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1C[C@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000351543642 336041948 /nfs/dbraw/zinc/04/19/48/336041948.db2.gz ITAHWBQRRIKKSX-JKSUJKDBSA-N 1 2 320.412 1.642 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1C[C@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000351543642 336041949 /nfs/dbraw/zinc/04/19/49/336041949.db2.gz ITAHWBQRRIKKSX-JKSUJKDBSA-N 1 2 320.412 1.642 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc2c(c(Cl)c1)OCO2 ZINC000582344269 336935277 /nfs/dbraw/zinc/93/52/77/336935277.db2.gz QZVWLYZXLCDQEK-AWEZNQCLSA-N 1 2 309.753 1.642 20 30 DDEDLO COC(=O)c1cc(C(=O)NCC(C)(C)[NH+]2CCOCC2)c[nH]1 ZINC000331183448 533715814 /nfs/dbraw/zinc/71/58/14/533715814.db2.gz NNXVRHRKBGHNHL-UHFFFAOYSA-N 1 2 309.366 1.217 20 30 DDEDLO CNC(=O)C[NH+]1CCN(c2cccc(C(F)F)c2C#N)CC1 ZINC000583864261 337355768 /nfs/dbraw/zinc/35/57/68/337355768.db2.gz BKFBUEGIVONZET-UHFFFAOYSA-N 1 2 308.332 1.364 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(OC)ccc2Cl)CC1 ZINC000085274756 338007923 /nfs/dbraw/zinc/00/79/23/338007923.db2.gz JAWVMWIPNOIAGC-UHFFFAOYSA-N 1 2 321.808 1.538 20 30 DDEDLO COC(=O)c1cc(C(=O)NC(C)(C)C[NH+]2CCOCC2)c[nH]1 ZINC000331348066 533717673 /nfs/dbraw/zinc/71/76/73/533717673.db2.gz MTCRFNHKQUGSNE-UHFFFAOYSA-N 1 2 309.366 1.217 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H](NS(=O)(=O)CC2(C#N)CC2)C1 ZINC000546624474 341175459 /nfs/dbraw/zinc/17/54/59/341175459.db2.gz ZFTJTAIYJPCAES-CQSZACIVSA-N 1 2 305.403 1.396 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H](NS(=O)(=O)CC2(C#N)CC2)C1 ZINC000546624474 341175460 /nfs/dbraw/zinc/17/54/60/341175460.db2.gz ZFTJTAIYJPCAES-CQSZACIVSA-N 1 2 305.403 1.396 20 30 DDEDLO C=C(C)CNC(=O)NC[C@H](c1cccs1)[NH+]1CCN(C)CC1 ZINC000548327010 341256091 /nfs/dbraw/zinc/25/60/91/341256091.db2.gz FRXUZSSKKSMWRD-CQSZACIVSA-N 1 2 322.478 1.912 20 30 DDEDLO CCC[C@@H]1CN(CC#CC[N@@H+]2CCO[C@@H](CCC)C2)CCO1 ZINC000551394441 341340436 /nfs/dbraw/zinc/34/04/36/341340436.db2.gz CZXVPNBCZUXLMZ-HDICACEKSA-N 1 2 308.466 1.992 20 30 DDEDLO CCC[C@@H]1CN(CC#CC[N@H+]2CCO[C@@H](CCC)C2)CCO1 ZINC000551394441 341340437 /nfs/dbraw/zinc/34/04/37/341340437.db2.gz CZXVPNBCZUXLMZ-HDICACEKSA-N 1 2 308.466 1.992 20 30 DDEDLO CCC[C@@H]1C[N@H+](CC#CCN2CCO[C@@H](CCC)C2)CCO1 ZINC000551394441 341340438 /nfs/dbraw/zinc/34/04/38/341340438.db2.gz CZXVPNBCZUXLMZ-HDICACEKSA-N 1 2 308.466 1.992 20 30 DDEDLO CCC[C@@H]1C[N@@H+](CC#CCN2CCO[C@@H](CCC)C2)CCO1 ZINC000551394441 341340439 /nfs/dbraw/zinc/34/04/39/341340439.db2.gz CZXVPNBCZUXLMZ-HDICACEKSA-N 1 2 308.466 1.992 20 30 DDEDLO COc1ccc(Cl)cc1CC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000564623695 341505387 /nfs/dbraw/zinc/50/53/87/341505387.db2.gz IJCPSCSQOHKTPX-OAHLLOKOSA-N 1 2 309.797 1.851 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2c(C)nc3sc(C)nn32)nn1 ZINC000564829809 341510935 /nfs/dbraw/zinc/51/09/35/341510935.db2.gz XVGFAFRBYUOONV-UHFFFAOYSA-N 1 2 303.395 1.475 20 30 DDEDLO COc1cccc(Cl)c1CC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000566013720 341545454 /nfs/dbraw/zinc/54/54/54/341545454.db2.gz ZIWQGMWOPLOXQU-OAHLLOKOSA-N 1 2 309.797 1.851 20 30 DDEDLO Cc1noc(C)c1CCN(CCC#N)CC[NH+]1CCOCC1 ZINC000166244501 341718266 /nfs/dbraw/zinc/71/82/66/341718266.db2.gz KEMCXISSUJQBTF-UHFFFAOYSA-N 1 2 306.410 1.382 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000574881311 341771596 /nfs/dbraw/zinc/77/15/96/341771596.db2.gz UEMABKCULVZGOY-CQSZACIVSA-N 1 2 322.390 1.647 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)c1nc([C@@H]2C[N@H+](C(C)C)CCO2)no1 ZINC000656752432 484313575 /nfs/dbraw/zinc/31/35/75/484313575.db2.gz AYSUQBROSMRNOL-KGLIPLIRSA-N 1 2 322.409 1.995 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)c1nc([C@@H]2C[N@@H+](C(C)C)CCO2)no1 ZINC000656752432 484313588 /nfs/dbraw/zinc/31/35/88/484313588.db2.gz AYSUQBROSMRNOL-KGLIPLIRSA-N 1 2 322.409 1.995 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1cn2c(cccc2C)[nH+]1 ZINC000668528449 485196930 /nfs/dbraw/zinc/19/69/30/485196930.db2.gz PWRWVKMDMLMWCP-OAHLLOKOSA-N 1 2 314.389 1.730 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(CC#Cc2ccc(Cl)cc2)CC1 ZINC000674249066 485571926 /nfs/dbraw/zinc/57/19/26/485571926.db2.gz GVJITRUIWACRDJ-UHFFFAOYSA-N 1 2 319.836 1.397 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000678796196 485689229 /nfs/dbraw/zinc/68/92/29/485689229.db2.gz OKOMUGUMLHZDRM-AWEZNQCLSA-N 1 2 317.389 1.193 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)c2ccc(C)c(F)c2)CC1 ZINC000677048187 486385968 /nfs/dbraw/zinc/38/59/68/486385968.db2.gz PEKLSYHWXMYQRT-INIZCTEOSA-N 1 2 306.381 1.829 20 30 DDEDLO C[C@@H]1OCC[C@]12CN(C([O-])=[NH+][C@H]1CCc3[nH]c[nH+]c3C1)CCO2 ZINC000329638798 534209925 /nfs/dbraw/zinc/20/99/25/534209925.db2.gz ZAKNEIRTRBNYCF-MKBNYLNASA-N 1 2 320.393 1.061 20 30 DDEDLO C[C@@H]1OCC[C@]12CN(C([O-])=[NH+][C@H]1CCc3[nH+]c[nH]c3C1)CCO2 ZINC000329638798 534209927 /nfs/dbraw/zinc/20/99/27/534209927.db2.gz ZAKNEIRTRBNYCF-MKBNYLNASA-N 1 2 320.393 1.061 20 30 DDEDLO Cc1nc(N2CCC(NC(=O)C3CC3)CC2)nc(N(C)C)[nH+]1 ZINC000330180464 534436434 /nfs/dbraw/zinc/43/64/34/534436434.db2.gz GADYXJFEQISRBA-UHFFFAOYSA-N 1 2 304.398 1.581 20 30 DDEDLO Cc1nc(N(C)C)nc(N2CCC(NC(=O)C3CC3)CC2)[nH+]1 ZINC000330180464 534436443 /nfs/dbraw/zinc/43/64/43/534436443.db2.gz GADYXJFEQISRBA-UHFFFAOYSA-N 1 2 304.398 1.581 20 30 DDEDLO CC[N@H+](Cc1cc(C#N)ccc1OC)[C@H]1CCS(=O)(=O)C1 ZINC000157432348 521286153 /nfs/dbraw/zinc/28/61/53/521286153.db2.gz DTISRDJZICCBSX-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO CC[N@@H+](Cc1cc(C#N)ccc1OC)[C@H]1CCS(=O)(=O)C1 ZINC000157432348 521286159 /nfs/dbraw/zinc/28/61/59/521286159.db2.gz DTISRDJZICCBSX-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO N#CCC1(CS(=O)(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CC1 ZINC000333259405 534589058 /nfs/dbraw/zinc/58/90/58/534589058.db2.gz AUHMYSGYXLOFLT-ZDUSSCGKSA-N 1 2 320.418 1.274 20 30 DDEDLO Cc1cnc([C@H](C)NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)s1 ZINC000329919801 534630223 /nfs/dbraw/zinc/63/02/23/534630223.db2.gz DPKVDEDEGYHZEP-TUAOUCFPSA-N 1 2 310.423 1.441 20 30 DDEDLO Cc1cnc([C@H](C)NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)s1 ZINC000329919801 534630226 /nfs/dbraw/zinc/63/02/26/534630226.db2.gz DPKVDEDEGYHZEP-TUAOUCFPSA-N 1 2 310.423 1.441 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCC(=O)N1CCN(CC#N)CC1 ZINC000332078951 534678977 /nfs/dbraw/zinc/67/89/77/534678977.db2.gz YHZRIHCIZOHGGE-UHFFFAOYSA-N 1 2 311.389 1.403 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2cccc(Cl)c2C)C1 ZINC000330958316 526401827 /nfs/dbraw/zinc/40/18/27/526401827.db2.gz WORLUVGWLWSREY-LLVKDONJSA-N 1 2 324.812 1.795 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(Cl)c2C)C1 ZINC000330958316 526401830 /nfs/dbraw/zinc/40/18/30/526401830.db2.gz WORLUVGWLWSREY-LLVKDONJSA-N 1 2 324.812 1.795 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](CCOC)Cc2scnc2C)C1=O ZINC000337265638 526473745 /nfs/dbraw/zinc/47/37/45/526473745.db2.gz YRPJVUFCDYPPGL-CYBMUJFWSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](CCOC)Cc2scnc2C)C1=O ZINC000337265638 526473750 /nfs/dbraw/zinc/47/37/50/526473750.db2.gz YRPJVUFCDYPPGL-CYBMUJFWSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(CC(F)F)[C@H](CC)C2)C1=O ZINC000337184980 526509348 /nfs/dbraw/zinc/50/93/48/526509348.db2.gz ONZDFZIZWPVDGC-OLZOCXBDSA-N 1 2 301.381 1.435 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(CC(F)F)[C@H](CC)C2)C1=O ZINC000337184980 526509355 /nfs/dbraw/zinc/50/93/55/526509355.db2.gz ONZDFZIZWPVDGC-OLZOCXBDSA-N 1 2 301.381 1.435 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccccc2OCC)CC1 ZINC000349745171 526539267 /nfs/dbraw/zinc/53/92/67/526539267.db2.gz AVPFAHGULIFVNQ-UHFFFAOYSA-N 1 2 317.433 1.505 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@@H](c1ccccc1OC)[NH+](C)C ZINC000435438219 526683567 /nfs/dbraw/zinc/68/35/67/526683567.db2.gz NGZSTUFEFYYDBF-AWEZNQCLSA-N 1 2 312.435 1.793 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000491535476 526909671 /nfs/dbraw/zinc/90/96/71/526909671.db2.gz CAEIKRGSHTXIBY-MRXNPFEDSA-N 1 2 315.417 1.552 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000491535476 526909676 /nfs/dbraw/zinc/90/96/76/526909676.db2.gz CAEIKRGSHTXIBY-MRXNPFEDSA-N 1 2 315.417 1.552 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)C3(CCC3)[C@@H]2c2ccccc2)CC1 ZINC000491767489 526953146 /nfs/dbraw/zinc/95/31/46/526953146.db2.gz HFGCYYJZLSDSDE-SFHVURJKSA-N 1 2 323.440 1.949 20 30 DDEDLO C=CC(C)(C)CNC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000454228434 526953467 /nfs/dbraw/zinc/95/34/67/526953467.db2.gz DFSSSXHGGHELMM-UHFFFAOYSA-N 1 2 318.421 1.930 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(F)(F)F)n2C(C)C)CC1 ZINC000491454409 526955290 /nfs/dbraw/zinc/95/52/90/526955290.db2.gz YPKNKKOHQAXKRA-UHFFFAOYSA-N 1 2 315.343 1.719 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cn2ccc(C(F)(F)F)n2)CC1 ZINC000491165157 526972193 /nfs/dbraw/zinc/97/21/93/526972193.db2.gz IYAWCBOMCIWXJC-UHFFFAOYSA-N 1 2 314.311 1.321 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](C)Cc1nc([C@H](C)SCC)no1 ZINC000491164345 526973755 /nfs/dbraw/zinc/97/37/55/526973755.db2.gz AVOYGDQKVCJQIT-NSHDSACASA-N 1 2 310.423 1.455 20 30 DDEDLO C#CCNC(=O)CC[N@H+](C)Cc1nc([C@H](C)SCC)no1 ZINC000491164345 526973759 /nfs/dbraw/zinc/97/37/59/526973759.db2.gz AVOYGDQKVCJQIT-NSHDSACASA-N 1 2 310.423 1.455 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)C[NH+]2CCN(C)CC2)CCOCC1 ZINC000424646639 527056762 /nfs/dbraw/zinc/05/67/62/527056762.db2.gz JNEMHWSKYQEUDU-HNNXBMFYSA-N 1 2 309.454 1.111 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)CCOCC1 ZINC000424645325 527058189 /nfs/dbraw/zinc/05/81/89/527058189.db2.gz ICTUDTQKRIDNIH-CABCVRRESA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCC1(NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)CCCCC1 ZINC000458376244 527064079 /nfs/dbraw/zinc/06/40/79/527064079.db2.gz VNGVTORQOIEKFM-OAHLLOKOSA-N 1 2 308.470 1.810 20 30 DDEDLO C=CCC1(NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)CCCCC1 ZINC000458376244 527064081 /nfs/dbraw/zinc/06/40/81/527064081.db2.gz VNGVTORQOIEKFM-OAHLLOKOSA-N 1 2 308.470 1.810 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)c(O)c1 ZINC000331360820 527082219 /nfs/dbraw/zinc/08/22/19/527082219.db2.gz YHCCQQZHMXNMSQ-UHFFFAOYSA-N 1 2 303.362 1.679 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000337098626 527145374 /nfs/dbraw/zinc/14/53/74/527145374.db2.gz TXWBVDJRKZQDKA-HNNXBMFYSA-N 1 2 302.422 1.675 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)[C@H]2CCn3c[nH+]cc3C2)n1 ZINC000491730817 527182079 /nfs/dbraw/zinc/18/20/79/527182079.db2.gz FHLBKRVGHGKDKX-AWEZNQCLSA-N 1 2 311.389 1.324 20 30 DDEDLO C#C[C@@H](CC)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000491452661 527241371 /nfs/dbraw/zinc/24/13/71/527241371.db2.gz MVEGPYYZLWAZPQ-JSGCOSHPSA-N 1 2 304.394 1.011 20 30 DDEDLO C#C[C@@H](CC)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000491452661 527241374 /nfs/dbraw/zinc/24/13/74/527241374.db2.gz MVEGPYYZLWAZPQ-JSGCOSHPSA-N 1 2 304.394 1.011 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[C@H]([NH+]2CCN(CC)CC2)C1)C(C)C ZINC000491713963 527273860 /nfs/dbraw/zinc/27/38/60/527273860.db2.gz LIWKEJKHZQDPGZ-JKSUJKDBSA-N 1 2 306.454 1.066 20 30 DDEDLO C=CCCOCCCCC(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000342877843 527331520 /nfs/dbraw/zinc/33/15/20/527331520.db2.gz YKBIAZRDRGQWKV-UHFFFAOYSA-N 1 2 320.437 1.817 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc(C(=O)OC)c(C)n2C)nn1 ZINC000424176398 527372952 /nfs/dbraw/zinc/37/29/52/527372952.db2.gz XMVYRLJUZYRAOA-UHFFFAOYSA-N 1 2 303.366 1.187 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C(C)(C)C[NH+]2CCOCC2)nn1 ZINC000424871217 527515605 /nfs/dbraw/zinc/51/56/05/527515605.db2.gz BCMVLLDNANSMKC-UHFFFAOYSA-N 1 2 321.425 1.037 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[N@H+](Cc2ccccc2)[C@H](C)C1 ZINC000414569293 527554442 /nfs/dbraw/zinc/55/44/42/527554442.db2.gz KFTMVBWLEJSYGQ-OAHLLOKOSA-N 1 2 315.417 1.364 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[N@@H+](Cc2ccccc2)[C@H](C)C1 ZINC000414569293 527554445 /nfs/dbraw/zinc/55/44/45/527554445.db2.gz KFTMVBWLEJSYGQ-OAHLLOKOSA-N 1 2 315.417 1.364 20 30 DDEDLO CC(C)[C@@H](C#N)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000343628431 528348043 /nfs/dbraw/zinc/34/80/43/528348043.db2.gz MYYXOXMIVHIFPP-MRXNPFEDSA-N 1 2 301.390 1.797 20 30 DDEDLO CCC[C@H]1CN(C(=O)OC(C)(C)C)CC[N@@H+]1CC(=O)NCC#N ZINC000495105198 528396782 /nfs/dbraw/zinc/39/67/82/528396782.db2.gz LHCXRPYXPIVDKB-ZDUSSCGKSA-N 1 2 324.425 1.348 20 30 DDEDLO CCC[C@H]1CN(C(=O)OC(C)(C)C)CC[N@H+]1CC(=O)NCC#N ZINC000495105198 528396785 /nfs/dbraw/zinc/39/67/85/528396785.db2.gz LHCXRPYXPIVDKB-ZDUSSCGKSA-N 1 2 324.425 1.348 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)N[C@@H](c1[nH]cc[nH+]1)C(C)C ZINC000331314556 528715583 /nfs/dbraw/zinc/71/55/83/528715583.db2.gz IQHPZXQLTQTSSZ-VXGBXAGGSA-N 1 2 313.427 1.423 20 30 DDEDLO CCN1CCN(C(=O)N[C@@H]2CCC[C@H]2C#N)C[C@@H]1c1[nH]cc[nH+]1 ZINC000433350331 529128852 /nfs/dbraw/zinc/12/88/52/529128852.db2.gz BQIDKDUAJCTPRP-BFHYXJOUSA-N 1 2 316.409 1.490 20 30 DDEDLO CC[C@@H]1C(=O)N(CC)CC[N@H+]1CCOc1ccc(C#N)cc1 ZINC000495260058 529264293 /nfs/dbraw/zinc/26/42/93/529264293.db2.gz PJSITARNASDMOR-MRXNPFEDSA-N 1 2 301.390 1.880 20 30 DDEDLO CC[C@@H]1C(=O)N(CC)CC[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000495260058 529264296 /nfs/dbraw/zinc/26/42/96/529264296.db2.gz PJSITARNASDMOR-MRXNPFEDSA-N 1 2 301.390 1.880 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(-c2nn[nH]n2)c1 ZINC000735380145 598688011 /nfs/dbraw/zinc/68/80/11/598688011.db2.gz CQLBHYXLVLWLFO-ZDUSSCGKSA-N 1 2 314.324 1.042 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(-c2nn[nH]n2)c1 ZINC000735380145 598688012 /nfs/dbraw/zinc/68/80/12/598688012.db2.gz CQLBHYXLVLWLFO-ZDUSSCGKSA-N 1 2 314.324 1.042 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C[C@@H]2CCOC2)C(C)(C)C1 ZINC000972981659 695387074 /nfs/dbraw/zinc/38/70/74/695387074.db2.gz ZRFVGRHHZQSFNQ-STQMWFEESA-N 1 2 300.830 1.992 20 30 DDEDLO CCN(C(=O)CO[NH+]=C(N)CCO)c1cccc2ccccc21 ZINC000121385626 696709395 /nfs/dbraw/zinc/70/93/95/696709395.db2.gz AZJQTBBJOBLDLP-UHFFFAOYSA-N 1 2 315.373 1.864 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)CCc2nccn2C)C1 ZINC000971996586 695136912 /nfs/dbraw/zinc/13/69/12/695136912.db2.gz FSUCKZSDRUTBSG-CYBMUJFWSA-N 1 2 310.829 1.638 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)CCc2nccn2C)C1 ZINC000971996586 695136913 /nfs/dbraw/zinc/13/69/13/695136913.db2.gz FSUCKZSDRUTBSG-CYBMUJFWSA-N 1 2 310.829 1.638 20 30 DDEDLO CN(CC#N)[C@@H]1CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000972027151 695142378 /nfs/dbraw/zinc/14/23/78/695142378.db2.gz PFXJSFRFSRMVPE-MRXNPFEDSA-N 1 2 309.373 1.542 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(Cl)c[nH]3)C2)C1 ZINC000972256263 695192675 /nfs/dbraw/zinc/19/26/75/695192675.db2.gz IWHUCLCLZCSSLZ-INIZCTEOSA-N 1 2 321.808 1.608 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(Cl)c[nH]3)C2)C1 ZINC000972256263 695192677 /nfs/dbraw/zinc/19/26/77/695192677.db2.gz IWHUCLCLZCSSLZ-INIZCTEOSA-N 1 2 321.808 1.608 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@]2(C1)C[N@H+](Cc1ccsc1)CCO2 ZINC000972296770 695209972 /nfs/dbraw/zinc/20/99/72/695209972.db2.gz CXXBNQIEJCCZHS-XJKSGUPXSA-N 1 2 319.430 1.711 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@]2(C1)C[N@@H+](Cc1ccsc1)CCO2 ZINC000972296770 695209974 /nfs/dbraw/zinc/20/99/74/695209974.db2.gz CXXBNQIEJCCZHS-XJKSGUPXSA-N 1 2 319.430 1.711 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3=CCCCCC3)C2)C1 ZINC000972357387 695226931 /nfs/dbraw/zinc/22/69/31/695226931.db2.gz JLBLAWHAUNPBTE-GOSISDBHSA-N 1 2 302.418 1.813 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3=CCCCCC3)C2)C1 ZINC000972357387 695226932 /nfs/dbraw/zinc/22/69/32/695226932.db2.gz JLBLAWHAUNPBTE-GOSISDBHSA-N 1 2 302.418 1.813 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CC)ccn3)C2)C1 ZINC000972395754 695239306 /nfs/dbraw/zinc/23/93/06/695239306.db2.gz HQTWSRVQZBMFBG-SFHVURJKSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(CC)ccn3)C2)C1 ZINC000972395754 695239308 /nfs/dbraw/zinc/23/93/08/695239308.db2.gz HQTWSRVQZBMFBG-SFHVURJKSA-N 1 2 315.417 1.747 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc4occc4[nH]3)C2)C1 ZINC000972403009 695240889 /nfs/dbraw/zinc/24/08/89/695240889.db2.gz ZJCYOHBQLIZENL-KRWDZBQOSA-N 1 2 313.357 1.311 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc4occc4[nH]3)C2)C1 ZINC000972403009 695240891 /nfs/dbraw/zinc/24/08/91/695240891.db2.gz ZJCYOHBQLIZENL-KRWDZBQOSA-N 1 2 313.357 1.311 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccccc3)C2)C1 ZINC000972437033 695251136 /nfs/dbraw/zinc/25/11/36/695251136.db2.gz HPBUHPWGQRURGO-SFHVURJKSA-N 1 2 300.402 1.718 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccccc3)C2)C1 ZINC000972437033 695251138 /nfs/dbraw/zinc/25/11/38/695251138.db2.gz HPBUHPWGQRURGO-SFHVURJKSA-N 1 2 300.402 1.718 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)cncc3C)C2)C1 ZINC000972463786 695257104 /nfs/dbraw/zinc/25/71/04/695257104.db2.gz XLAYPANBFPAYFZ-SFHVURJKSA-N 1 2 313.401 1.249 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)cncc3C)C2)C1 ZINC000972463786 695257106 /nfs/dbraw/zinc/25/71/06/695257106.db2.gz XLAYPANBFPAYFZ-SFHVURJKSA-N 1 2 313.401 1.249 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C)CCCC3)C2)C1 ZINC000972491084 695263933 /nfs/dbraw/zinc/26/39/33/695263933.db2.gz YRVXCVQVXWKIAB-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C)CCCC3)C2)C1 ZINC000972491084 695263934 /nfs/dbraw/zinc/26/39/34/695263934.db2.gz YRVXCVQVXWKIAB-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)nn3CC)C2)C1 ZINC000972568596 695285445 /nfs/dbraw/zinc/28/54/45/695285445.db2.gz CBQHWBLFTFVRQT-QGZVFWFLSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)nn3CC)C2)C1 ZINC000972568596 695285447 /nfs/dbraw/zinc/28/54/47/695285447.db2.gz CBQHWBLFTFVRQT-QGZVFWFLSA-N 1 2 318.421 1.314 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)cccc3C)C2)C1 ZINC000972573788 695286519 /nfs/dbraw/zinc/28/65/19/695286519.db2.gz XJDDCNSSKTUPIX-IBGZPJMESA-N 1 2 312.413 1.854 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)cccc3C)C2)C1 ZINC000972573788 695286522 /nfs/dbraw/zinc/28/65/22/695286522.db2.gz XJDDCNSSKTUPIX-IBGZPJMESA-N 1 2 312.413 1.854 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3nccs3)C2)C1 ZINC000972611885 695298789 /nfs/dbraw/zinc/29/87/89/695298789.db2.gz NTAUXLLAIHFFKJ-OAHLLOKOSA-N 1 2 305.403 1.083 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3nccs3)C2)C1 ZINC000972611885 695298792 /nfs/dbraw/zinc/29/87/92/695298792.db2.gz NTAUXLLAIHFFKJ-OAHLLOKOSA-N 1 2 305.403 1.083 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C3CC3)C3CC3)C2)C1 ZINC000972635201 695305466 /nfs/dbraw/zinc/30/54/66/695305466.db2.gz PPWMAADRQMYGBN-GOSISDBHSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C3CC3)C3CC3)C2)C1 ZINC000972635201 695305467 /nfs/dbraw/zinc/30/54/67/695305467.db2.gz PPWMAADRQMYGBN-GOSISDBHSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(OC)c3)C2)C1 ZINC000972656935 695310997 /nfs/dbraw/zinc/31/09/97/695310997.db2.gz XKNCYBYAFUDYON-SFHVURJKSA-N 1 2 314.385 1.245 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(OC)c3)C2)C1 ZINC000972656935 695310999 /nfs/dbraw/zinc/31/09/99/695310999.db2.gz XKNCYBYAFUDYON-SFHVURJKSA-N 1 2 314.385 1.245 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(CC)n(C)n3)C2)C1 ZINC000972703374 695319976 /nfs/dbraw/zinc/31/99/76/695319976.db2.gz QDMNKJUKHKXMHJ-QGZVFWFLSA-N 1 2 318.421 1.085 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(CC)n(C)n3)C2)C1 ZINC000972703374 695319977 /nfs/dbraw/zinc/31/99/77/695319977.db2.gz QDMNKJUKHKXMHJ-QGZVFWFLSA-N 1 2 318.421 1.085 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@@H+]1CCO[C@H](C)C1)c1cccc(C#N)c1 ZINC000745794240 699994731 /nfs/dbraw/zinc/99/47/31/699994731.db2.gz YAAXTALXLGPKAW-ZIAGYGMSSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@H+]1CCO[C@H](C)C1)c1cccc(C#N)c1 ZINC000745794240 699994733 /nfs/dbraw/zinc/99/47/33/699994733.db2.gz YAAXTALXLGPKAW-ZIAGYGMSSA-N 1 2 316.405 1.639 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C[C@@H]2CCOC2)C(C)(C)C1 ZINC000972981659 695387071 /nfs/dbraw/zinc/38/70/71/695387071.db2.gz ZRFVGRHHZQSFNQ-STQMWFEESA-N 1 2 300.830 1.992 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1ncc[nH]1 ZINC000974576489 695688739 /nfs/dbraw/zinc/68/87/39/695688739.db2.gz IYRICTJLFSCYMI-HNNXBMFYSA-N 1 2 323.400 1.922 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1ncc[nH]1 ZINC000974576489 695688741 /nfs/dbraw/zinc/68/87/41/695688741.db2.gz IYRICTJLFSCYMI-HNNXBMFYSA-N 1 2 323.400 1.922 20 30 DDEDLO C#Cc1cncc(C(=O)Nc2ccc(N3CCOCC3)[nH+]c2C)c1 ZINC000798175203 700046881 /nfs/dbraw/zinc/04/68/81/700046881.db2.gz CKBRSCMPJLTQJC-UHFFFAOYSA-N 1 2 322.368 1.855 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H]([C@@H](C)[NH2+]Cc2nnc(C)o2)C1 ZINC000979378032 696540304 /nfs/dbraw/zinc/54/03/04/696540304.db2.gz QGDJNAHOMFYBEM-DGCLKSJQSA-N 1 2 322.409 1.296 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000799077121 700114780 /nfs/dbraw/zinc/11/47/80/700114780.db2.gz ZLRAXEWGMVRFJJ-JKSUJKDBSA-N 1 2 310.438 1.014 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC000981668891 696867084 /nfs/dbraw/zinc/86/70/84/696867084.db2.gz GCLQLFXXUWFZBG-VXGBXAGGSA-N 1 2 317.393 1.523 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC000981668891 696867087 /nfs/dbraw/zinc/86/70/87/696867087.db2.gz GCLQLFXXUWFZBG-VXGBXAGGSA-N 1 2 317.393 1.523 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC2(CN(C(=O)CCc3nnc[nH]3)C2)C1 ZINC000981698050 696880092 /nfs/dbraw/zinc/88/00/92/696880092.db2.gz OSRZYJGLNGLYJB-UHFFFAOYSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC2(CN(C(=O)CCc3nnc[nH]3)C2)C1 ZINC000981698050 696880095 /nfs/dbraw/zinc/88/00/95/696880095.db2.gz OSRZYJGLNGLYJB-UHFFFAOYSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@H+](CC(=O)N3CCC3)CC2)CC1 ZINC000981882775 696954460 /nfs/dbraw/zinc/95/44/60/696954460.db2.gz RQNAIRRAJPNKHI-UHFFFAOYSA-N 1 2 319.449 1.499 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@@H+](CC(=O)N3CCC3)CC2)CC1 ZINC000981882775 696954462 /nfs/dbraw/zinc/95/44/62/696954462.db2.gz RQNAIRRAJPNKHI-UHFFFAOYSA-N 1 2 319.449 1.499 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000980992014 696973746 /nfs/dbraw/zinc/97/37/46/696973746.db2.gz YBKZPDCGQVDPCK-ZDUSSCGKSA-N 1 2 324.388 1.585 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000980992014 696973749 /nfs/dbraw/zinc/97/37/49/696973749.db2.gz YBKZPDCGQVDPCK-ZDUSSCGKSA-N 1 2 324.388 1.585 20 30 DDEDLO C#CCCCCNC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000157417304 696977461 /nfs/dbraw/zinc/97/74/61/696977461.db2.gz BQBOZEDJFQGVTD-UHFFFAOYSA-N 1 2 302.378 1.314 20 30 DDEDLO C=C(Cl)CN1CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)CC1 ZINC000981990484 696996029 /nfs/dbraw/zinc/99/60/29/696996029.db2.gz UHAGWCSHRXPXHS-ZIAGYGMSSA-N 1 2 322.840 1.810 20 30 DDEDLO C#CC[N@H+]1CCCC2(CN(C(=O)c3[nH]nc4ccccc43)C2)C1 ZINC000982136344 697038970 /nfs/dbraw/zinc/03/89/70/697038970.db2.gz FJAGUJISHKRHKM-UHFFFAOYSA-N 1 2 308.385 1.734 20 30 DDEDLO C#CC[N@@H+]1CCCC2(CN(C(=O)c3[nH]nc4ccccc43)C2)C1 ZINC000982136344 697038971 /nfs/dbraw/zinc/03/89/71/697038971.db2.gz FJAGUJISHKRHKM-UHFFFAOYSA-N 1 2 308.385 1.734 20 30 DDEDLO C[C@@H]1[C@@H]([NH2+]Cc2cnsn2)CCN1C(=O)c1cc(C#N)c[nH]1 ZINC000986129369 697695694 /nfs/dbraw/zinc/69/56/94/697695694.db2.gz DIZZAKAFGNFWDB-SKDRFNHKSA-N 1 2 316.390 1.131 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CCC(C(=O)C(F)(F)F)CC1 ZINC000773076162 697710697 /nfs/dbraw/zinc/71/06/97/697710697.db2.gz BIBBWRRWUJAWKZ-LBPRGKRZSA-N 1 2 316.323 1.454 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC(C(=O)C(F)(F)F)CC1 ZINC000773076162 697710699 /nfs/dbraw/zinc/71/06/99/697710699.db2.gz BIBBWRRWUJAWKZ-LBPRGKRZSA-N 1 2 316.323 1.454 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C)n1 ZINC000986290859 697769370 /nfs/dbraw/zinc/76/93/70/697769370.db2.gz ZDMJEDHRVILFSG-DGCLKSJQSA-N 1 2 302.378 1.124 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H](OCCOC)C[C@H](CO)C1 ZINC000773963878 697828177 /nfs/dbraw/zinc/82/81/77/697828177.db2.gz MVDCQIRXUBAQOC-NWDGAFQWSA-N 1 2 308.216 1.241 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H](OCCOC)C[C@H](CO)C1 ZINC000773963878 697828180 /nfs/dbraw/zinc/82/81/80/697828180.db2.gz MVDCQIRXUBAQOC-NWDGAFQWSA-N 1 2 308.216 1.241 20 30 DDEDLO CC[N@H+](CC(=O)NC)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000778941026 698384332 /nfs/dbraw/zinc/38/43/32/698384332.db2.gz RDRFMFNNGYSEMX-HNNXBMFYSA-N 1 2 314.389 1.122 20 30 DDEDLO CC[N@@H+](CC(=O)NC)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000778941026 698384335 /nfs/dbraw/zinc/38/43/35/698384335.db2.gz RDRFMFNNGYSEMX-HNNXBMFYSA-N 1 2 314.389 1.122 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000779342360 698415463 /nfs/dbraw/zinc/41/54/63/698415463.db2.gz RTHOGIWNUAWZCI-OAHLLOKOSA-N 1 2 321.421 1.740 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000779342360 698415464 /nfs/dbraw/zinc/41/54/64/698415464.db2.gz RTHOGIWNUAWZCI-OAHLLOKOSA-N 1 2 321.421 1.740 20 30 DDEDLO C#CCCCON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000779646800 698447494 /nfs/dbraw/zinc/44/74/94/698447494.db2.gz VMAALIFIKJHKPE-INIZCTEOSA-N 1 2 301.390 1.799 20 30 DDEDLO C#CCCCON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000779646800 698447496 /nfs/dbraw/zinc/44/74/96/698447496.db2.gz VMAALIFIKJHKPE-INIZCTEOSA-N 1 2 301.390 1.799 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)[C@@H]2CCC(=O)[C@H](C)C2(C)C)CC1 ZINC000780535912 698529300 /nfs/dbraw/zinc/52/93/00/698529300.db2.gz JOIFXQKVCSPYEW-GJZGRUSLSA-N 1 2 304.434 1.795 20 30 DDEDLO COc1ccc(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)cc1C#N ZINC000750924395 700257647 /nfs/dbraw/zinc/25/76/47/700257647.db2.gz NWNUEURIQPJMCC-ZDUSSCGKSA-N 1 2 310.357 1.642 20 30 DDEDLO CC[C@@]1(C)NC(=O)N(N=Cc2cc(-n3cc[nH+]c3)cs2)C1=O ZINC000783812256 698883917 /nfs/dbraw/zinc/88/39/17/698883917.db2.gz PSTHQFWTHPIPSO-CQSZACIVSA-N 1 2 317.374 1.988 20 30 DDEDLO Cc1ccnc(NC2CC[NH+](CCS(C)(=O)=O)CC2)c1C#N ZINC000784162085 698913437 /nfs/dbraw/zinc/91/34/37/698913437.db2.gz YOVPHRYMMUFRHQ-UHFFFAOYSA-N 1 2 322.434 1.183 20 30 DDEDLO C=CCN(CC(=O)OCC)C(=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000726756083 699376616 /nfs/dbraw/zinc/37/66/16/699376616.db2.gz XQYCBXOICDYTDO-UHFFFAOYSA-N 1 2 315.373 1.763 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CSC[C@@H]2CCCCO2)CC1 ZINC000727382216 699411209 /nfs/dbraw/zinc/41/12/09/699411209.db2.gz MLVRXHJKLKNJAL-HNNXBMFYSA-N 1 2 310.463 1.456 20 30 DDEDLO C#Cc1cccc(NC(=S)NC[C@H]2CN(C)CC[N@@H+]2C)c1 ZINC000731948428 699542599 /nfs/dbraw/zinc/54/25/99/699542599.db2.gz ZKSYBLOXJYHOGP-HNNXBMFYSA-N 1 2 302.447 1.200 20 30 DDEDLO C#Cc1cccc(NC(=S)NC[C@H]2CN(C)CC[N@H+]2C)c1 ZINC000731948428 699542601 /nfs/dbraw/zinc/54/26/01/699542601.db2.gz ZKSYBLOXJYHOGP-HNNXBMFYSA-N 1 2 302.447 1.200 20 30 DDEDLO C=CC[C@@H](NC(=O)N[C@@H](C)C[NH+]1CCOCC1)c1ccncc1 ZINC000734659345 699658387 /nfs/dbraw/zinc/65/83/87/699658387.db2.gz USHDSJHDUUFKHS-GOEBONIOSA-N 1 2 318.421 1.719 20 30 DDEDLO CCOC(=O)C1(S(C)(=O)=O)CC[NH+](CCCCC#N)CC1 ZINC000796572477 699939651 /nfs/dbraw/zinc/93/96/51/699939651.db2.gz ZNRRQQFKPOHKSG-UHFFFAOYSA-N 1 2 316.423 1.123 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(C(=O)c2cccc(O)c2)CC1 ZINC000801932999 700357039 /nfs/dbraw/zinc/35/70/39/700357039.db2.gz FMDFCCWSXUYDTL-ZDUSSCGKSA-N 1 2 303.362 1.079 20 30 DDEDLO COC(=O)C[C@@H]1CCCC[N@@H+]1Cn1ccc(C)c(C#N)c1=O ZINC000758196072 700688998 /nfs/dbraw/zinc/68/89/98/700688998.db2.gz DFMUCTNGPSSQBR-ZDUSSCGKSA-N 1 2 303.362 1.404 20 30 DDEDLO COC(=O)C[C@@H]1CCCC[N@H+]1Cn1ccc(C)c(C#N)c1=O ZINC000758196072 700689000 /nfs/dbraw/zinc/68/90/00/700689000.db2.gz DFMUCTNGPSSQBR-ZDUSSCGKSA-N 1 2 303.362 1.404 20 30 DDEDLO CC[C@@H](C(=O)[C@@H](C#N)C(=O)Nc1ccccn1)[NH+](CC)CC ZINC000765965828 701032326 /nfs/dbraw/zinc/03/23/26/701032326.db2.gz FPCRWNFQZUZSKU-OLZOCXBDSA-N 1 2 302.378 1.849 20 30 DDEDLO CC[N@H+](C[C@@H]1CCCO1)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766612263 701056680 /nfs/dbraw/zinc/05/66/80/701056680.db2.gz MWANUUAHIRCYOZ-AWEZNQCLSA-N 1 2 301.390 1.667 20 30 DDEDLO CC[N@@H+](C[C@@H]1CCCO1)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766612263 701056682 /nfs/dbraw/zinc/05/66/82/701056682.db2.gz MWANUUAHIRCYOZ-AWEZNQCLSA-N 1 2 301.390 1.667 20 30 DDEDLO C=CCN1CCN(C[N@@H+](C)[C@@H]2CCCc3c2cnn3C)C1=O ZINC000840030903 701925376 /nfs/dbraw/zinc/92/53/76/701925376.db2.gz FRKKFAMJYXHNNU-CQSZACIVSA-N 1 2 303.410 1.610 20 30 DDEDLO C=CCN1CCN(C[N@H+](C)[C@@H]2CCCc3c2cnn3C)C1=O ZINC000840030903 701925381 /nfs/dbraw/zinc/92/53/81/701925381.db2.gz FRKKFAMJYXHNNU-CQSZACIVSA-N 1 2 303.410 1.610 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CCCC[C@@H]2[C@H]2CCC[C@H]2O)c1=S ZINC000840114448 701975551 /nfs/dbraw/zinc/97/55/51/701975551.db2.gz ILXCCBNDHQCCTM-MGPQQGTHSA-N 1 2 323.466 1.968 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CCCC[C@@H]2[C@H]2CCC[C@H]2O)c1=S ZINC000840114448 701975555 /nfs/dbraw/zinc/97/55/55/701975555.db2.gz ILXCCBNDHQCCTM-MGPQQGTHSA-N 1 2 323.466 1.968 20 30 DDEDLO CC(C)c1nc(C#N)c(N=NCc2c[nH+]c(N(C)C)n2C)o1 ZINC000811639844 702019290 /nfs/dbraw/zinc/01/92/90/702019290.db2.gz IKUULYZGCHQGDU-UHFFFAOYSA-N 1 2 301.354 1.915 20 30 DDEDLO C#CCCNC(=O)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000868253626 702024083 /nfs/dbraw/zinc/02/40/83/702024083.db2.gz WLIQRDIFFKJEOY-QGZVFWFLSA-N 1 2 315.417 1.518 20 30 DDEDLO C#CCCNC(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000868253626 702024089 /nfs/dbraw/zinc/02/40/89/702024089.db2.gz WLIQRDIFFKJEOY-QGZVFWFLSA-N 1 2 315.417 1.518 20 30 DDEDLO C#CCCCNC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000868322586 702064421 /nfs/dbraw/zinc/06/44/21/702064421.db2.gz LATOIOIEPKZNLY-UHFFFAOYSA-N 1 2 323.437 1.505 20 30 DDEDLO C[C@@H]([NH2+]C[C@H]1CCCCS1(=O)=O)c1cccc(C#N)c1O ZINC000866335398 706676023 /nfs/dbraw/zinc/67/60/23/706676023.db2.gz JENAWGFBEHZQLO-DGCLKSJQSA-N 1 2 308.403 1.882 20 30 DDEDLO CC(=[NH+]N(C)C[C@H](C)O)c1c(F)cccc1N1CCOCC1 ZINC000841652896 702518257 /nfs/dbraw/zinc/51/82/57/702518257.db2.gz OUDPYDRZONRYAF-LBPRGKRZSA-N 1 2 309.385 1.699 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCO[C@@](C)(C(F)(F)F)C1 ZINC000879502146 706701193 /nfs/dbraw/zinc/70/11/93/706701193.db2.gz WDVKERFUZFDTBY-GFCCVEGCSA-N 1 2 307.316 1.402 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCO[C@@](C)(C(F)(F)F)C1 ZINC000879502146 706701195 /nfs/dbraw/zinc/70/11/95/706701195.db2.gz WDVKERFUZFDTBY-GFCCVEGCSA-N 1 2 307.316 1.402 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ccnc(F)c2)CC1 ZINC000831447784 706708665 /nfs/dbraw/zinc/70/86/65/706708665.db2.gz VLOTYEPYYJLPMH-CYBMUJFWSA-N 1 2 319.384 1.103 20 30 DDEDLO C=C(C)CONC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000844285809 703005063 /nfs/dbraw/zinc/00/50/63/703005063.db2.gz KBZSEHXHIFPXHV-CQSZACIVSA-N 1 2 315.439 1.001 20 30 DDEDLO CC[C@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585045 706728453 /nfs/dbraw/zinc/72/84/53/706728453.db2.gz QFRGRKMZQZSSBA-GJZGRUSLSA-N 1 2 314.433 1.983 20 30 DDEDLO FC(F)(F)Cn1ccnc1C=NNCCCn1cc[nH+]c1 ZINC000848418711 703548091 /nfs/dbraw/zinc/54/80/91/703548091.db2.gz RSBGUBBLKOKHLU-UHFFFAOYSA-N 1 2 300.288 1.656 20 30 DDEDLO C#CC[N@@H+](Cc1cccc(CC)c1O)[C@H]1CCS(=O)(=O)C1 ZINC000848882500 703597676 /nfs/dbraw/zinc/59/76/76/703597676.db2.gz RHWDLKDURIUGNX-HNNXBMFYSA-N 1 2 307.415 1.577 20 30 DDEDLO C#CC[N@H+](Cc1cccc(CC)c1O)[C@H]1CCS(=O)(=O)C1 ZINC000848882500 703597678 /nfs/dbraw/zinc/59/76/78/703597678.db2.gz RHWDLKDURIUGNX-HNNXBMFYSA-N 1 2 307.415 1.577 20 30 DDEDLO C#CC[N@@H+](C[C@@H](OC)C1CCCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000851840243 703867795 /nfs/dbraw/zinc/86/77/95/703867795.db2.gz OWZMTOYCEYOJNB-JKSUJKDBSA-N 1 2 313.463 1.704 20 30 DDEDLO C#CC[N@H+](C[C@@H](OC)C1CCCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000851840243 703867796 /nfs/dbraw/zinc/86/77/96/703867796.db2.gz OWZMTOYCEYOJNB-JKSUJKDBSA-N 1 2 313.463 1.704 20 30 DDEDLO CC(C)NC(=O)CC[N@@H+]1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852325934 704013177 /nfs/dbraw/zinc/01/31/77/704013177.db2.gz KTKSOSAXEUXIIP-KRWDZBQOSA-N 1 2 300.406 1.853 20 30 DDEDLO CC(C)NC(=O)CC[N@H+]1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852325934 704013182 /nfs/dbraw/zinc/01/31/82/704013182.db2.gz KTKSOSAXEUXIIP-KRWDZBQOSA-N 1 2 300.406 1.853 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H](C)[C@@](C)(CO)C1 ZINC000852703218 704102730 /nfs/dbraw/zinc/10/27/30/704102730.db2.gz NQMDMBNMLPIURF-ISTRZQFTSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@@H](C)[C@@](C)(CO)C1 ZINC000852703218 704102731 /nfs/dbraw/zinc/10/27/31/704102731.db2.gz NQMDMBNMLPIURF-ISTRZQFTSA-N 1 2 302.374 1.723 20 30 DDEDLO CC(C)n1c[nH+]cc1CN=Nc1nccc(N2CCOCC2)n1 ZINC000819809103 704176160 /nfs/dbraw/zinc/17/61/60/704176160.db2.gz OENSRGXECSFGDS-UHFFFAOYSA-N 1 2 315.381 1.537 20 30 DDEDLO COC(=O)CCN(CC#N)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000871339431 704267950 /nfs/dbraw/zinc/26/79/50/704267950.db2.gz CTQNQSOJFCQGGD-UHFFFAOYSA-N 1 2 312.329 1.401 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)N[C@](CC)(C(C)C)C1=O ZINC000853620937 704288020 /nfs/dbraw/zinc/28/80/20/704288020.db2.gz KJUKOGANHGVJGG-MRXNPFEDSA-N 1 2 309.410 1.272 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)N[C@](CC)(C(C)C)C1=O ZINC000853620937 704288023 /nfs/dbraw/zinc/28/80/23/704288023.db2.gz KJUKOGANHGVJGG-MRXNPFEDSA-N 1 2 309.410 1.272 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000854726278 704444596 /nfs/dbraw/zinc/44/45/96/704444596.db2.gz AXOGSGOCDVHHIW-WBVHZDCISA-N 1 2 310.438 1.538 20 30 DDEDLO Cc1ccc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)cc1C#N ZINC000854725994 704444700 /nfs/dbraw/zinc/44/47/00/704444700.db2.gz FDEBWQBNKNNBOL-KRWDZBQOSA-N 1 2 313.401 1.804 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@H](C)[C@@H](CO)C1 ZINC000856795151 704538885 /nfs/dbraw/zinc/53/88/85/704538885.db2.gz BCWBGLMHLPZVRX-WQVCFCJDSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@H](C)[C@@H](CO)C1 ZINC000856795151 704538886 /nfs/dbraw/zinc/53/88/86/704538886.db2.gz BCWBGLMHLPZVRX-WQVCFCJDSA-N 1 2 302.374 1.723 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC[C@](OC)(C(F)(F)F)C1 ZINC000880303421 706934097 /nfs/dbraw/zinc/93/40/97/706934097.db2.gz NVNMKMXBLTUUMF-JOYOIKCWSA-N 1 2 323.315 1.040 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@](OC)(C(F)(F)F)C1 ZINC000880303421 706934099 /nfs/dbraw/zinc/93/40/99/706934099.db2.gz NVNMKMXBLTUUMF-JOYOIKCWSA-N 1 2 323.315 1.040 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@](OC)(C(F)(F)F)C1 ZINC000880304152 706934669 /nfs/dbraw/zinc/93/46/69/706934669.db2.gz WFGXCDYQSGEPNP-GFCCVEGCSA-N 1 2 307.316 1.402 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@](OC)(C(F)(F)F)C1 ZINC000880304152 706934672 /nfs/dbraw/zinc/93/46/72/706934672.db2.gz WFGXCDYQSGEPNP-GFCCVEGCSA-N 1 2 307.316 1.402 20 30 DDEDLO C#CCCOc1ccc(C(=O)NCC[N@@H+]2CCO[C@@H](C)C2)cc1 ZINC000822952604 705092244 /nfs/dbraw/zinc/09/22/44/705092244.db2.gz VAIYSSXZEGQZLR-HNNXBMFYSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CCCOc1ccc(C(=O)NCC[N@H+]2CCO[C@@H](C)C2)cc1 ZINC000822952604 705092249 /nfs/dbraw/zinc/09/22/49/705092249.db2.gz VAIYSSXZEGQZLR-HNNXBMFYSA-N 1 2 316.401 1.539 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(C#N)cc1 ZINC000875064544 705270217 /nfs/dbraw/zinc/27/02/17/705270217.db2.gz HIEIOADPFATOFF-INIZCTEOSA-N 1 2 316.405 1.468 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(C#N)cc1 ZINC000875064544 705270220 /nfs/dbraw/zinc/27/02/20/705270220.db2.gz HIEIOADPFATOFF-INIZCTEOSA-N 1 2 316.405 1.468 20 30 DDEDLO CN(C)c1ncc(C[NH+]2CCN(c3ccc(C#N)nc3)CC2)cn1 ZINC000862421406 705714525 /nfs/dbraw/zinc/71/45/25/705714525.db2.gz SFVKJOWDMZKXPX-UHFFFAOYSA-N 1 2 323.404 1.131 20 30 DDEDLO O=C(C#Cc1cccs1)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000826585837 705819699 /nfs/dbraw/zinc/81/96/99/705819699.db2.gz RQRBBDQJPOXSON-AWEZNQCLSA-N 1 2 304.415 1.423 20 30 DDEDLO COC(=O)[C@]1(F)CC[N@H+](Cc2cc(C#N)ccc2N(C)C)C1 ZINC000876796079 705880112 /nfs/dbraw/zinc/88/01/12/705880112.db2.gz XPJQEAQCJSZONC-INIZCTEOSA-N 1 2 305.353 1.711 20 30 DDEDLO COC(=O)[C@]1(F)CC[N@@H+](Cc2cc(C#N)ccc2N(C)C)C1 ZINC000876796079 705880114 /nfs/dbraw/zinc/88/01/14/705880114.db2.gz XPJQEAQCJSZONC-INIZCTEOSA-N 1 2 305.353 1.711 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC000827911384 706086272 /nfs/dbraw/zinc/08/62/72/706086272.db2.gz RNEVJSDYUJJZLC-CQSZACIVSA-N 1 2 311.345 1.077 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cc(C#N)ccc2N(C)C)CC[S@@](=O)C1 ZINC000877478667 706118097 /nfs/dbraw/zinc/11/80/97/706118097.db2.gz PLVGGNLIHZLKIE-LRTDBIEQSA-N 1 2 305.447 1.825 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2N(C)C)CC[S@@](=O)C1 ZINC000877478667 706118099 /nfs/dbraw/zinc/11/80/99/706118099.db2.gz PLVGGNLIHZLKIE-LRTDBIEQSA-N 1 2 305.447 1.825 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)N[C@H]1Cc2ccc(C#N)cc2C1 ZINC000864425685 706165211 /nfs/dbraw/zinc/16/52/11/706165211.db2.gz PAGYIHMWXUGJKF-LRDDRELGSA-N 1 2 309.373 1.610 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@@H+]1CCCC[C@H]1C(N)=O)c1ccccc1 ZINC000877942177 706247650 /nfs/dbraw/zinc/24/76/50/706247650.db2.gz BBMIWSRKFWBAOZ-FUHWJXTLSA-N 1 2 313.401 1.767 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@H+]1CCCC[C@H]1C(N)=O)c1ccccc1 ZINC000877942177 706247652 /nfs/dbraw/zinc/24/76/52/706247652.db2.gz BBMIWSRKFWBAOZ-FUHWJXTLSA-N 1 2 313.401 1.767 20 30 DDEDLO COC(=O)c1csc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000878771073 706495407 /nfs/dbraw/zinc/49/54/07/706495407.db2.gz BSJPIBUORUHGAJ-TXEJJXNPSA-N 1 2 307.419 1.953 20 30 DDEDLO COC(=O)c1csc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000878771073 706495409 /nfs/dbraw/zinc/49/54/09/706495409.db2.gz BSJPIBUORUHGAJ-TXEJJXNPSA-N 1 2 307.419 1.953 20 30 DDEDLO N#CCOc1cc(F)ccc1NC(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000878937205 706537198 /nfs/dbraw/zinc/53/71/98/706537198.db2.gz QANDQGZXCFNLND-NSHDSACASA-N 1 2 315.308 1.671 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@@H]2CN(C(=O)OC(C)(C)C)C[C@]2(C)C1 ZINC000880027323 706857169 /nfs/dbraw/zinc/85/71/69/706857169.db2.gz WIANLCMXAIJMLS-XNJGSVPQSA-N 1 2 324.421 1.903 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@@H]2CN(C(=O)OC(C)(C)C)C[C@]2(C)C1 ZINC000880027323 706857172 /nfs/dbraw/zinc/85/71/72/706857172.db2.gz WIANLCMXAIJMLS-XNJGSVPQSA-N 1 2 324.421 1.903 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000867043219 706880559 /nfs/dbraw/zinc/88/05/59/706880559.db2.gz XQDKCNSXFXRBGJ-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000867043219 706880561 /nfs/dbraw/zinc/88/05/61/706880561.db2.gz XQDKCNSXFXRBGJ-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000867049532 706882052 /nfs/dbraw/zinc/88/20/52/706882052.db2.gz LNVWXPATUDGHIA-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000867049532 706882053 /nfs/dbraw/zinc/88/20/53/706882053.db2.gz LNVWXPATUDGHIA-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000880831618 707082254 /nfs/dbraw/zinc/08/22/54/707082254.db2.gz MXZRQAXUZPUATR-QGZVFWFLSA-N 1 2 310.438 1.321 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000880831618 707082256 /nfs/dbraw/zinc/08/22/56/707082256.db2.gz MXZRQAXUZPUATR-QGZVFWFLSA-N 1 2 310.438 1.321 20 30 DDEDLO COC[C@H](C)NC(=S)N[NH+]=C1CCCc2cc(N)ccc21 ZINC000834924335 707148480 /nfs/dbraw/zinc/14/84/80/707148480.db2.gz OBUKTFNEGNAZLC-JTQLQIEISA-N 1 2 306.435 1.808 20 30 DDEDLO C=C[C@@H](NC1CC[NH+](CC(=O)OC(C)(C)C)CC1)C(=O)OC ZINC000871920982 707245273 /nfs/dbraw/zinc/24/52/73/707245273.db2.gz KIBLIJHHPOKNOX-CYBMUJFWSA-N 1 2 312.410 1.110 20 30 DDEDLO CCN1CC[NH+](CC(C)(C)NC(=O)C#Cc2ccccc2)CC1 ZINC000837135258 707583294 /nfs/dbraw/zinc/58/32/94/707583294.db2.gz QQOPMYZKUAGNLO-UHFFFAOYSA-N 1 2 313.445 1.570 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000882903369 707845595 /nfs/dbraw/zinc/84/55/95/707845595.db2.gz BAQNKYRLBOMNGN-WBVHZDCISA-N 1 2 318.417 1.713 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000882903369 707845598 /nfs/dbraw/zinc/84/55/98/707845598.db2.gz BAQNKYRLBOMNGN-WBVHZDCISA-N 1 2 318.417 1.713 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)n1cc(C[NH+]2CCC(O)CC2)nn1 ZINC000883991230 708093932 /nfs/dbraw/zinc/09/39/32/708093932.db2.gz VQZLFAGLXKNPPP-ZDUSSCGKSA-N 1 2 311.389 1.716 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NC[C@H](O)c1ccnn1C ZINC000896632307 708103185 /nfs/dbraw/zinc/10/31/85/708103185.db2.gz MILLSAREGRJECF-AWEZNQCLSA-N 1 2 300.362 1.753 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H]1c1cccs1 ZINC000884056203 708120321 /nfs/dbraw/zinc/12/03/21/708120321.db2.gz OPVPCSNPXHCBTA-RYUDHWBXSA-N 1 2 308.403 1.858 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(Cc1cccc(F)c1)C1CC1 ZINC000884075250 708128387 /nfs/dbraw/zinc/12/83/87/708128387.db2.gz RIJCWDJTPLSRJW-HNNXBMFYSA-N 1 2 320.364 1.763 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2ccccc2CC1 ZINC000884137905 708156394 /nfs/dbraw/zinc/15/63/94/708156394.db2.gz YQKRWDJXUQKRIG-HNNXBMFYSA-N 1 2 302.374 1.060 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1[C@H]2Cc3cc(F)ccc3[C@@H]12 ZINC000884417387 708288840 /nfs/dbraw/zinc/28/88/40/708288840.db2.gz GAUIZEHNVNDHTE-WMHQRMGPSA-N 1 2 318.348 1.027 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)CC2CC3(CCC3)C2)C1 ZINC000885507992 708561765 /nfs/dbraw/zinc/56/17/65/708561765.db2.gz ATMKZONKFPSPHN-HNNXBMFYSA-N 1 2 310.463 1.584 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)CC2CC3(CCC3)C2)C1 ZINC000885507992 708561766 /nfs/dbraw/zinc/56/17/66/708561766.db2.gz ATMKZONKFPSPHN-HNNXBMFYSA-N 1 2 310.463 1.584 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(COC)cc2)C1 ZINC000885511071 708562454 /nfs/dbraw/zinc/56/24/54/708562454.db2.gz BACIOZPYIFBFDH-HNNXBMFYSA-N 1 2 322.430 1.209 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(COC)cc2)C1 ZINC000885511071 708562456 /nfs/dbraw/zinc/56/24/56/708562456.db2.gz BACIOZPYIFBFDH-HNNXBMFYSA-N 1 2 322.430 1.209 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cccc(CC)c2)C1 ZINC000885511935 708563081 /nfs/dbraw/zinc/56/30/81/708563081.db2.gz PHJCYRSGXVALHB-HNNXBMFYSA-N 1 2 306.431 1.625 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cccc(CC)c2)C1 ZINC000885511935 708563083 /nfs/dbraw/zinc/56/30/83/708563083.db2.gz PHJCYRSGXVALHB-HNNXBMFYSA-N 1 2 306.431 1.625 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@H]1CC[C@@H](C2CC2)O1 ZINC000886030038 708684732 /nfs/dbraw/zinc/68/47/32/708684732.db2.gz FFXNIBKQERWQGD-SJORKVTESA-N 1 2 307.438 1.492 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000912612417 713050179 /nfs/dbraw/zinc/05/01/79/713050179.db2.gz NCICPJMNGFHCCY-GAWYNMALSA-N 1 2 302.378 1.290 20 30 DDEDLO CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000886468784 708765207 /nfs/dbraw/zinc/76/52/07/708765207.db2.gz QNURSNRXMAWJAR-HNNXBMFYSA-N 1 2 321.446 1.857 20 30 DDEDLO CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000886468784 708765209 /nfs/dbraw/zinc/76/52/09/708765209.db2.gz QNURSNRXMAWJAR-HNNXBMFYSA-N 1 2 321.446 1.857 20 30 DDEDLO N#Cc1cc(Cl)ccc1-n1cc(C[NH+]2CCC(O)CC2)nn1 ZINC000899730136 709179421 /nfs/dbraw/zinc/17/94/21/709179421.db2.gz FZBUIRGXJCDKDP-UHFFFAOYSA-N 1 2 317.780 1.749 20 30 DDEDLO C#CCOc1ccc(NC(=O)/C=C(\C)C[NH+]2CCOCC2)cc1 ZINC000900627229 709684665 /nfs/dbraw/zinc/68/46/65/709684665.db2.gz TVCOEXPRXHGEJQ-FYWRMAATSA-N 1 2 314.385 1.916 20 30 DDEDLO C#CCSCC(=O)N(CCn1cc[nH+]c1)C1CCSCC1 ZINC000890497771 709893145 /nfs/dbraw/zinc/89/31/45/709893145.db2.gz UAIIXBPCEXXFHD-UHFFFAOYSA-N 1 2 323.487 1.974 20 30 DDEDLO Oc1cc(Br)cnc1C=NNCCCn1cc[nH+]c1 ZINC000901261357 709988078 /nfs/dbraw/zinc/98/80/78/709988078.db2.gz FNSPARNZJGXEDJ-UHFFFAOYSA-N 1 2 324.182 1.760 20 30 DDEDLO O=C(Cc1cn2c([nH+]1)CCCC2)NCC#C[C@@H]1CCCCO1 ZINC000891112699 710095872 /nfs/dbraw/zinc/09/58/72/710095872.db2.gz MXKYFKQENMRRML-HNNXBMFYSA-N 1 2 301.390 1.451 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3ncccc3C#N)CC2)c(C2CC2)n1 ZINC000891539924 710230742 /nfs/dbraw/zinc/23/07/42/710230742.db2.gz GGGQXTPMTLDOLU-UHFFFAOYSA-N 1 2 322.416 1.886 20 30 DDEDLO CCn1cc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)c(C)n1 ZINC000891580828 710240883 /nfs/dbraw/zinc/24/08/83/710240883.db2.gz ONVBTDQRQJTTGS-UHFFFAOYSA-N 1 2 310.405 1.800 20 30 DDEDLO N#Cc1csc(CNC(=O)NCCCCn2cc[nH+]c2)n1 ZINC000892225749 710398791 /nfs/dbraw/zinc/39/87/91/710398791.db2.gz CWXZLLIVYPNDDR-UHFFFAOYSA-N 1 2 304.379 1.491 20 30 DDEDLO CC(C)(C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1)c1ccc(C#N)cc1 ZINC000913439587 713216266 /nfs/dbraw/zinc/21/62/66/713216266.db2.gz GQWAZIZFJKILGU-MRXNPFEDSA-N 1 2 323.400 1.732 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1cn(C)nn1 ZINC000902945898 710969812 /nfs/dbraw/zinc/96/98/12/710969812.db2.gz XDJGVWSALHQJIQ-DOMZBBRYSA-N 1 2 324.388 1.533 20 30 DDEDLO N#Cc1cccc([C@@H]2C[C@H]2C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)c1 ZINC000913453393 713222124 /nfs/dbraw/zinc/22/21/24/713222124.db2.gz MCPVANWJGUEEKI-BBWFWOEESA-N 1 2 321.384 1.558 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@@]2(C#N)CC3CCC2CC3)[C@H](C)CO1 ZINC000912393665 711308960 /nfs/dbraw/zinc/30/89/60/711308960.db2.gz SBVSIENXBQLUCQ-ALFILNPMSA-N 1 2 319.449 1.932 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@@]2(C#N)CC3CCC2CC3)[C@H](C)CO1 ZINC000912393665 711308964 /nfs/dbraw/zinc/30/89/64/711308964.db2.gz SBVSIENXBQLUCQ-ALFILNPMSA-N 1 2 319.449 1.932 20 30 DDEDLO C[C@H](c1ccccc1)[N@@H+]1CC[C@@H](N[C@H]2CCCN(O)C2=O)C1 ZINC000895162331 711437834 /nfs/dbraw/zinc/43/78/34/711437834.db2.gz KNQLDDDMSXXADO-BMFZPTHFSA-N 1 2 303.406 1.792 20 30 DDEDLO C[C@H](c1ccccc1)[N@H+]1CC[C@@H](N[C@H]2CCCN(O)C2=O)C1 ZINC000895162331 711437838 /nfs/dbraw/zinc/43/78/38/711437838.db2.gz KNQLDDDMSXXADO-BMFZPTHFSA-N 1 2 303.406 1.792 20 30 DDEDLO C=C[C@H](CO)NCc1ccc(C[NH+](C)C)c2c1OC(F)(F)O2 ZINC000895339821 711488865 /nfs/dbraw/zinc/48/88/65/711488865.db2.gz ABJUWDVDWWOVML-GFCCVEGCSA-N 1 2 314.332 1.706 20 30 DDEDLO N#Cc1c(F)cccc1C[NH2+][C@H]1C(=O)NCC12CCOCC2 ZINC000895769134 711600911 /nfs/dbraw/zinc/60/09/11/711600911.db2.gz NIJYEADVSJOKLZ-AWEZNQCLSA-N 1 2 303.337 1.082 20 30 DDEDLO Cc1c(C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)cnn1CCC#N ZINC000896132289 711680285 /nfs/dbraw/zinc/68/02/85/711680285.db2.gz HDPFYMHUKULCLZ-ZDUSSCGKSA-N 1 2 312.377 1.848 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1ccsc1C#N)[NH+]1CCOCC1 ZINC000905678154 712115360 /nfs/dbraw/zinc/11/53/60/712115360.db2.gz BYBWXHPUZVCOFD-CYBMUJFWSA-N 1 2 307.419 1.706 20 30 DDEDLO COC(=O)C[C@H](C)[NH+]1CCN(C(=O)c2ccsc2C#N)CC1 ZINC000906878137 712426614 /nfs/dbraw/zinc/42/66/14/712426614.db2.gz DDTWTZCHFXUUNI-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)cc1 ZINC000906911144 712436258 /nfs/dbraw/zinc/43/62/58/712436258.db2.gz GCHCEHKGLSGMHR-OAHLLOKOSA-N 1 2 315.398 1.890 20 30 DDEDLO C=CCOCCNC(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC000907272033 712529568 /nfs/dbraw/zinc/52/95/68/712529568.db2.gz WWINDDJEJOIHJB-UHFFFAOYSA-N 1 2 314.389 1.928 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CCCc2ccccc2)CC1 ZINC000913970186 713326298 /nfs/dbraw/zinc/32/62/98/713326298.db2.gz QRNVQOKJXNCLLI-UHFFFAOYSA-N 1 2 320.458 1.590 20 30 DDEDLO CN(CCC#N)S(=O)(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC000920632236 713684265 /nfs/dbraw/zinc/68/42/65/713684265.db2.gz SCNVGBHDECJPBS-UHFFFAOYSA-N 1 2 323.422 1.048 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000921439696 713772042 /nfs/dbraw/zinc/77/20/42/713772042.db2.gz IFDRSKRMBHYCCQ-UHFFFAOYSA-N 1 2 318.851 1.777 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+](CC(=O)NC3(C#N)CCCCC3)C2)no1 ZINC000931949241 714218558 /nfs/dbraw/zinc/21/85/58/714218558.db2.gz MYDQMYFGRUXHEQ-CYBMUJFWSA-N 1 2 317.393 1.510 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+](CC(=O)NC3(C#N)CCCCC3)C2)no1 ZINC000931949241 714218560 /nfs/dbraw/zinc/21/85/60/714218560.db2.gz MYDQMYFGRUXHEQ-CYBMUJFWSA-N 1 2 317.393 1.510 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccnc(C#N)c1 ZINC000932158844 714273860 /nfs/dbraw/zinc/27/38/60/714273860.db2.gz PIJGEXVJMWZQGY-GFCCVEGCSA-N 1 2 303.366 1.186 20 30 DDEDLO Cn1nccc1[C@@H]1CCC[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000932271163 714297540 /nfs/dbraw/zinc/29/75/40/714297540.db2.gz XHXQEVJFLDXARC-KRWDZBQOSA-N 1 2 323.400 1.745 20 30 DDEDLO Cn1nccc1[C@@H]1CCC[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000932271163 714297543 /nfs/dbraw/zinc/29/75/43/714297543.db2.gz XHXQEVJFLDXARC-KRWDZBQOSA-N 1 2 323.400 1.745 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H]1C(=O)NC(C)(C)C ZINC000932438760 714331283 /nfs/dbraw/zinc/33/12/83/714331283.db2.gz UFLPGNQUQAEVOM-KGLIPLIRSA-N 1 2 322.453 1.374 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@H]1C(=O)NC(C)(C)C ZINC000932438760 714331285 /nfs/dbraw/zinc/33/12/85/714331285.db2.gz UFLPGNQUQAEVOM-KGLIPLIRSA-N 1 2 322.453 1.374 20 30 DDEDLO N#C[C@]12C[N@H+]([C@H]3CCCc4ccccc43)C[C@H]1CS(=O)(=O)C2 ZINC000932966652 714445916 /nfs/dbraw/zinc/44/59/16/714445916.db2.gz IWMLPVFUBMHGAU-BHYGNILZSA-N 1 2 316.426 1.934 20 30 DDEDLO N#C[C@]12C[N@@H+]([C@H]3CCCc4ccccc43)C[C@H]1CS(=O)(=O)C2 ZINC000932966652 714445917 /nfs/dbraw/zinc/44/59/17/714445917.db2.gz IWMLPVFUBMHGAU-BHYGNILZSA-N 1 2 316.426 1.934 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000924036579 714512415 /nfs/dbraw/zinc/51/24/15/714512415.db2.gz RDDHPQJRUPIVAE-JKSUJKDBSA-N 1 2 312.373 1.308 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCN(C)C[C@H]1CC(C)C ZINC000933251373 714546864 /nfs/dbraw/zinc/54/68/64/714546864.db2.gz RVGFSSOPXMDVLK-JKSUJKDBSA-N 1 2 308.470 1.657 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCN(C)C[C@H]1CC(C)C ZINC000933251373 714546865 /nfs/dbraw/zinc/54/68/65/714546865.db2.gz RVGFSSOPXMDVLK-JKSUJKDBSA-N 1 2 308.470 1.657 20 30 DDEDLO C#C[C@H]1CCCN(C(=O)NCc2nc(C[NH+](C)C)cs2)C1 ZINC000924787277 714664073 /nfs/dbraw/zinc/66/40/73/714664073.db2.gz WUWZHZPYWRIPTM-LBPRGKRZSA-N 1 2 306.435 1.760 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)NC1(C(=O)OC)CCCCC1 ZINC000934513438 714839969 /nfs/dbraw/zinc/83/99/69/714839969.db2.gz DYXPBGLECMABSH-AWEZNQCLSA-N 1 2 306.406 1.466 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)NC1(C(=O)OC)CCCCC1 ZINC000934513438 714839970 /nfs/dbraw/zinc/83/99/70/714839970.db2.gz DYXPBGLECMABSH-AWEZNQCLSA-N 1 2 306.406 1.466 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000934722241 714889472 /nfs/dbraw/zinc/88/94/72/714889472.db2.gz PTKRGVXSKMEINA-HOTGVXAUSA-N 1 2 310.438 1.538 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)cc1 ZINC000934922759 714930300 /nfs/dbraw/zinc/93/03/00/714930300.db2.gz NEJDCWADBZIQMR-KGLIPLIRSA-N 1 2 301.390 1.899 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)cc1 ZINC000934922759 714930302 /nfs/dbraw/zinc/93/03/02/714930302.db2.gz NEJDCWADBZIQMR-KGLIPLIRSA-N 1 2 301.390 1.899 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2c[nH]nc2-c2cccnc2)C1 ZINC000956960421 715682731 /nfs/dbraw/zinc/68/27/31/715682731.db2.gz BTIXCXVOLCAQNP-UHFFFAOYSA-N 1 2 311.389 1.804 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cc(C)nc3c2cnn3C)C1 ZINC000957050088 715723330 /nfs/dbraw/zinc/72/33/30/715723330.db2.gz XERYQFQVOJDXLC-UHFFFAOYSA-N 1 2 313.405 1.609 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cccc(NC(C)=O)c2)CC1 ZINC000957408693 715887246 /nfs/dbraw/zinc/88/72/46/715887246.db2.gz GXCVFYMNPBOEAC-UHFFFAOYSA-N 1 2 313.401 1.355 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)o1 ZINC000959920284 716356500 /nfs/dbraw/zinc/35/65/00/716356500.db2.gz SCIKCKCRFQRQJD-STQMWFEESA-N 1 2 319.409 1.823 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2occ3c2CCC3)C1 ZINC000958290661 716452837 /nfs/dbraw/zinc/45/28/37/716452837.db2.gz FJDFBASICJGHTK-JSGCOSHPSA-N 1 2 324.808 1.543 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2occ3c2CCC3)C1 ZINC000958290661 716452844 /nfs/dbraw/zinc/45/28/44/716452844.db2.gz FJDFBASICJGHTK-JSGCOSHPSA-N 1 2 324.808 1.543 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2occc2CC)C1 ZINC000958374339 716509917 /nfs/dbraw/zinc/50/99/17/716509917.db2.gz MFNFFLVHELIPNJ-OLZOCXBDSA-N 1 2 312.797 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2occc2CC)C1 ZINC000958374339 716509921 /nfs/dbraw/zinc/50/99/21/716509921.db2.gz MFNFFLVHELIPNJ-OLZOCXBDSA-N 1 2 312.797 1.617 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@H]2C)C1 ZINC000939998363 716560520 /nfs/dbraw/zinc/56/05/20/716560520.db2.gz PAXVZBZKESKTCJ-ZIAGYGMSSA-N 1 2 316.405 1.203 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000940850724 716992044 /nfs/dbraw/zinc/99/20/44/716992044.db2.gz MCXMNHKHCTVSRY-ZFWWWQNUSA-N 1 2 315.421 1.186 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCCC[C@@H]3CC)CC2)C1 ZINC000941623707 717233723 /nfs/dbraw/zinc/23/37/23/717233723.db2.gz ZNPPBWYGCFCDJQ-FUHWJXTLSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3(F)CCCCC3)CC2)C1 ZINC000941629960 717236526 /nfs/dbraw/zinc/23/65/26/717236526.db2.gz CVZGXZUKYMDIDD-UHFFFAOYSA-N 1 2 321.440 1.511 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(C)nn2C)C1 ZINC000965421830 717645776 /nfs/dbraw/zinc/64/57/76/717645776.db2.gz YMQYKDFXACHZSB-GXFFZTMASA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(C)nn2C)C1 ZINC000965421830 717645780 /nfs/dbraw/zinc/64/57/80/717645780.db2.gz YMQYKDFXACHZSB-GXFFZTMASA-N 1 2 310.829 1.921 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC000963297946 717730131 /nfs/dbraw/zinc/73/01/31/717730131.db2.gz KDTHRFMVAAUGHO-LSDHHAIUSA-N 1 2 315.421 1.569 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3nncn3C)[C@@H](C)C2)C1 ZINC000947702467 719248734 /nfs/dbraw/zinc/24/87/34/719248734.db2.gz OJTQBGXCWSXTAB-UONOGXRCSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3nncn3C)[C@@H](C)C2)C1 ZINC000947702467 719248736 /nfs/dbraw/zinc/24/87/36/719248736.db2.gz OJTQBGXCWSXTAB-UONOGXRCSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cccc(=O)n2C)C1 ZINC000968318545 719502355 /nfs/dbraw/zinc/50/23/55/719502355.db2.gz WZKUGOGMVNADHA-YPMHNXCESA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cccc(=O)n2C)C1 ZINC000968318545 719502358 /nfs/dbraw/zinc/50/23/58/719502358.db2.gz WZKUGOGMVNADHA-YPMHNXCESA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC000968559163 719664643 /nfs/dbraw/zinc/66/46/43/719664643.db2.gz WVGNQJNJNUCSGZ-BXUZGUMPSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC000968559163 719664644 /nfs/dbraw/zinc/66/46/44/719664644.db2.gz WVGNQJNJNUCSGZ-BXUZGUMPSA-N 1 2 323.824 1.578 20 30 DDEDLO CC(C)(C)c1nnc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000968617487 719694017 /nfs/dbraw/zinc/69/40/17/719694017.db2.gz AGQJSFWOFGOAAR-CYBMUJFWSA-N 1 2 316.405 1.471 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000968660631 719721599 /nfs/dbraw/zinc/72/15/99/719721599.db2.gz LVXLOOYHSQDMNA-RNCFNFMXSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000968660631 719721601 /nfs/dbraw/zinc/72/16/01/719721601.db2.gz LVXLOOYHSQDMNA-RNCFNFMXSA-N 1 2 324.812 1.306 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCO[C@H]2c2nccn2C)CC1 ZINC000948839973 719740099 /nfs/dbraw/zinc/74/00/99/719740099.db2.gz PWGPVCQEIYZHDT-HUUCEWRRSA-N 1 2 318.421 1.218 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccn[nH]1 ZINC000948890518 719769482 /nfs/dbraw/zinc/76/94/82/719769482.db2.gz RODZMOWNKLZPJV-QGZVFWFLSA-N 1 2 308.385 1.762 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccn[nH]1 ZINC000948890518 719769487 /nfs/dbraw/zinc/76/94/87/719769487.db2.gz RODZMOWNKLZPJV-QGZVFWFLSA-N 1 2 308.385 1.762 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cccc(=O)[nH]1 ZINC000948905703 719778128 /nfs/dbraw/zinc/77/81/28/719778128.db2.gz AJANHVDGKHCYIZ-KRWDZBQOSA-N 1 2 321.380 1.750 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cccc(=O)[nH]1 ZINC000948905703 719778130 /nfs/dbraw/zinc/77/81/30/719778130.db2.gz AJANHVDGKHCYIZ-KRWDZBQOSA-N 1 2 321.380 1.750 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1csnn1 ZINC000948940367 719794593 /nfs/dbraw/zinc/79/45/93/719794593.db2.gz HNCAYFBBBYENPG-OAHLLOKOSA-N 1 2 312.398 1.501 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1csnn1 ZINC000948940367 719794594 /nfs/dbraw/zinc/79/45/94/719794594.db2.gz HNCAYFBBBYENPG-OAHLLOKOSA-N 1 2 312.398 1.501 20 30 DDEDLO C#CCC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nnc[nH]1 ZINC000948970179 719815860 /nfs/dbraw/zinc/81/58/60/719815860.db2.gz TYWUBZNMAXVREK-HNNXBMFYSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CCC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nnc[nH]1 ZINC000948970179 719815872 /nfs/dbraw/zinc/81/58/72/719815872.db2.gz TYWUBZNMAXVREK-HNNXBMFYSA-N 1 2 309.373 1.157 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1 ZINC000968917998 719867851 /nfs/dbraw/zinc/86/78/51/719867851.db2.gz ODHKWRQUOIYNQR-GFCCVEGCSA-N 1 2 317.418 1.530 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@@]3(C)CC)CC2)C1 ZINC000949504424 720129569 /nfs/dbraw/zinc/12/95/69/720129569.db2.gz SCRGBBYFDSYUEW-NVXWUHKLSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@@]3(C)CC)CC2)C1 ZINC000949504424 720129571 /nfs/dbraw/zinc/12/95/71/720129571.db2.gz SCRGBBYFDSYUEW-NVXWUHKLSA-N 1 2 304.434 1.749 20 30 DDEDLO C[C@H](NC(=O)c1cncnc1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969538032 720178838 /nfs/dbraw/zinc/17/88/38/720178838.db2.gz CFFNQBZFJQDPHP-ZDUSSCGKSA-N 1 2 321.384 1.599 20 30 DDEDLO C=C(C)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000969667662 720242262 /nfs/dbraw/zinc/24/22/62/720242262.db2.gz LNWKWIHREYHNIE-GXTWGEPZSA-N 1 2 302.422 1.842 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC000969688421 720251350 /nfs/dbraw/zinc/25/13/50/720251350.db2.gz VWTHVTGTDTWTBO-IRUJWGPZSA-N 1 2 313.829 1.090 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cn(CCOC)nc2C)C1 ZINC000950089755 720485875 /nfs/dbraw/zinc/48/58/75/720485875.db2.gz MJHVFCCBFPXCHC-UHFFFAOYSA-N 1 2 320.437 1.560 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ncnc3[nH]ccc32)C1 ZINC000970059522 720613795 /nfs/dbraw/zinc/61/37/95/720613795.db2.gz WCVAQRMKXBXZGA-JTQLQIEISA-N 1 2 319.796 1.712 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncccc2NC(C)=O)C1 ZINC000950484281 720653041 /nfs/dbraw/zinc/65/30/41/720653041.db2.gz LTQABUBKBDBHHB-UHFFFAOYSA-N 1 2 314.389 1.210 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@@H](C)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970238617 720674117 /nfs/dbraw/zinc/67/41/17/720674117.db2.gz CMKHUKSWTVWKIC-LBPRGKRZSA-N 1 2 323.400 1.840 20 30 DDEDLO C=CCC[NH+]1CC(N(CC)C(=O)c2cn(C)c(C)cc2=O)C1 ZINC000950566172 720686583 /nfs/dbraw/zinc/68/65/83/720686583.db2.gz LCIXMEWCOUFETM-UHFFFAOYSA-N 1 2 303.406 1.416 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cc(OC)nc(OC)c2)C1 ZINC000950762862 720768491 /nfs/dbraw/zinc/76/84/91/720768491.db2.gz FMMPNOSDLCIDIL-UHFFFAOYSA-N 1 2 319.405 1.821 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2occ3c2CCOC3)C1 ZINC000950991870 720868550 /nfs/dbraw/zinc/86/85/50/720868550.db2.gz GJJPKABMZMLHQS-UHFFFAOYSA-N 1 2 302.374 1.522 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c[nH]nc2[C@@H]2CCOC2)C1 ZINC000951001741 720872370 /nfs/dbraw/zinc/87/23/70/720872370.db2.gz MROYWECOWMTMKU-CYBMUJFWSA-N 1 2 316.405 1.083 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC000971134423 721128814 /nfs/dbraw/zinc/12/88/14/721128814.db2.gz LVMQDWVTEUNMBJ-LLVKDONJSA-N 1 2 313.199 1.465 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC000971134423 721128815 /nfs/dbraw/zinc/12/88/15/721128815.db2.gz LVMQDWVTEUNMBJ-LLVKDONJSA-N 1 2 313.199 1.465 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@H+](Cc3cnon3)C2)cc1 ZINC001023527441 735293240 /nfs/dbraw/zinc/29/32/40/735293240.db2.gz VAKNOCKLZALDPM-OAHLLOKOSA-N 1 2 324.384 1.693 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@@H+](Cc3cnon3)C2)cc1 ZINC001023527441 735293242 /nfs/dbraw/zinc/29/32/42/735293242.db2.gz VAKNOCKLZALDPM-OAHLLOKOSA-N 1 2 324.384 1.693 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(C)c(NC(C)=O)c1 ZINC001038285425 735331143 /nfs/dbraw/zinc/33/11/43/735331143.db2.gz DGWLWVIBZXDPBE-MRXNPFEDSA-N 1 2 313.401 1.781 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C)c(NC(C)=O)c1 ZINC001038285425 735331145 /nfs/dbraw/zinc/33/11/45/735331145.db2.gz DGWLWVIBZXDPBE-MRXNPFEDSA-N 1 2 313.401 1.781 20 30 DDEDLO CN(CC#N)[C@H]1CCCN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001027573529 738422031 /nfs/dbraw/zinc/42/20/31/738422031.db2.gz HQQIKMWPMXFXSG-QWHCGFSZSA-N 1 2 301.394 1.276 20 30 DDEDLO CC1(C)CN(Cc2cc(F)cc(C#N)c2)CC[N@@H+]1CC(N)=O ZINC001139875141 732297125 /nfs/dbraw/zinc/29/71/25/732297125.db2.gz ICMXUKYFTZOIIB-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC1(C)CN(Cc2cc(F)cc(C#N)c2)CC[N@H+]1CC(N)=O ZINC001139875141 732297130 /nfs/dbraw/zinc/29/71/30/732297130.db2.gz ICMXUKYFTZOIIB-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)[nH]3)[C@H]2C1 ZINC001083185153 732317283 /nfs/dbraw/zinc/31/72/83/732317283.db2.gz FDJWTFTXCLBYPZ-QWHCGFSZSA-N 1 2 307.781 1.217 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)[nH]3)[C@H]2C1 ZINC001083185153 732317289 /nfs/dbraw/zinc/31/72/89/732317289.db2.gz FDJWTFTXCLBYPZ-QWHCGFSZSA-N 1 2 307.781 1.217 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)[C@@H]2CCCCO2)c1 ZINC001038148242 738610499 /nfs/dbraw/zinc/61/04/99/738610499.db2.gz BZMSZVDXAKZBSI-IRXDYDNUSA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@@H]2CCCCO2)c1 ZINC001038148242 738610501 /nfs/dbraw/zinc/61/05/01/738610501.db2.gz BZMSZVDXAKZBSI-IRXDYDNUSA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@@H]2CCCCO2)c1 ZINC001038148245 738610761 /nfs/dbraw/zinc/61/07/61/738610761.db2.gz BZMSZVDXAKZBSI-SJORKVTESA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@@H]2CCCCO2)c1 ZINC001038148245 738610763 /nfs/dbraw/zinc/61/07/63/738610763.db2.gz BZMSZVDXAKZBSI-SJORKVTESA-N 1 2 313.401 1.818 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(F)c3Cl)[C@H]2C1 ZINC001083205567 733217735 /nfs/dbraw/zinc/21/77/35/733217735.db2.gz ISPWMLYUUGOGDN-UONOGXRCSA-N 1 2 322.767 1.638 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(F)c3Cl)[C@H]2C1 ZINC001083205567 733217737 /nfs/dbraw/zinc/21/77/37/733217737.db2.gz ISPWMLYUUGOGDN-UONOGXRCSA-N 1 2 322.767 1.638 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2nccs2)c[nH]1 ZINC001027832804 738709691 /nfs/dbraw/zinc/70/96/91/738709691.db2.gz JNLDLHSWVPPNNG-ZDUSSCGKSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2nccs2)c[nH]1 ZINC001027832804 738709695 /nfs/dbraw/zinc/70/96/95/738709695.db2.gz JNLDLHSWVPPNNG-ZDUSSCGKSA-N 1 2 315.402 1.737 20 30 DDEDLO Cc1conc1C[N@@H+]1CCC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001027835610 738714131 /nfs/dbraw/zinc/71/41/31/738714131.db2.gz GTSAECYHYXDUIX-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1conc1C[N@H+]1CCC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001027835610 738714134 /nfs/dbraw/zinc/71/41/34/738714134.db2.gz GTSAECYHYXDUIX-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1ncsc1C[N@@H+]1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038149655 738762294 /nfs/dbraw/zinc/76/22/94/738762294.db2.gz BKPGQQPZCZROHS-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1ncsc1C[N@H+]1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038149655 738762295 /nfs/dbraw/zinc/76/22/95/738762295.db2.gz BKPGQQPZCZROHS-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccnc2[nH]cnc21 ZINC001027911107 738797207 /nfs/dbraw/zinc/79/72/07/738797207.db2.gz QNYQFFJBXPPAQV-LLVKDONJSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccnc2[nH]cnc21 ZINC001027911107 738797210 /nfs/dbraw/zinc/79/72/10/738797210.db2.gz QNYQFFJBXPPAQV-LLVKDONJSA-N 1 2 319.796 1.905 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccoc3CCC)[C@H]2C1 ZINC001083247199 734804391 /nfs/dbraw/zinc/80/43/91/734804391.db2.gz GCISYQVTZOBIBE-DOTOQJQBSA-N 1 2 316.401 1.781 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccoc3CCC)[C@H]2C1 ZINC001083247199 734804397 /nfs/dbraw/zinc/80/43/97/734804397.db2.gz GCISYQVTZOBIBE-DOTOQJQBSA-N 1 2 316.401 1.781 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccc(C)cc3)[C@H]2C1 ZINC001083251919 734857957 /nfs/dbraw/zinc/85/79/57/734857957.db2.gz ODNJZPKWJHRJRS-RYQLBKOJSA-N 1 2 312.413 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccc(C)cc3)[C@H]2C1 ZINC001083251919 734857958 /nfs/dbraw/zinc/85/79/58/734857958.db2.gz ODNJZPKWJHRJRS-RYQLBKOJSA-N 1 2 312.413 1.643 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3ccco3)C2)C1 ZINC000972550114 735159233 /nfs/dbraw/zinc/15/92/33/735159233.db2.gz WAMGYTHMFOLLAT-YOEHRIQHSA-N 1 2 302.374 1.320 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3ccco3)C2)C1 ZINC000972550114 735159236 /nfs/dbraw/zinc/15/92/36/735159236.db2.gz WAMGYTHMFOLLAT-YOEHRIQHSA-N 1 2 302.374 1.320 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(Cn2cccn2)o1 ZINC001038278234 735255478 /nfs/dbraw/zinc/25/54/78/735255478.db2.gz NJFMHUIOHGSGPJ-AWEZNQCLSA-N 1 2 312.373 1.352 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(Cn2cccn2)o1 ZINC001038278234 735255479 /nfs/dbraw/zinc/25/54/79/735255479.db2.gz NJFMHUIOHGSGPJ-AWEZNQCLSA-N 1 2 312.373 1.352 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001024311044 735742456 /nfs/dbraw/zinc/74/24/56/735742456.db2.gz UPOHBEACKKFPHG-LLVKDONJSA-N 1 2 310.785 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001024311044 735742459 /nfs/dbraw/zinc/74/24/59/735742459.db2.gz UPOHBEACKKFPHG-LLVKDONJSA-N 1 2 310.785 1.519 20 30 DDEDLO C=CC[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001024755552 736069742 /nfs/dbraw/zinc/06/97/42/736069742.db2.gz JWANUOYWPKBILJ-OLZOCXBDSA-N 1 2 303.410 1.066 20 30 DDEDLO C=CC[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001024755552 736069746 /nfs/dbraw/zinc/06/97/46/736069746.db2.gz JWANUOYWPKBILJ-OLZOCXBDSA-N 1 2 303.410 1.066 20 30 DDEDLO C=CC[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001024755552 736069754 /nfs/dbraw/zinc/06/97/54/736069754.db2.gz JWANUOYWPKBILJ-OLZOCXBDSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cccn(C)c1=O ZINC001024766326 736076837 /nfs/dbraw/zinc/07/68/37/736076837.db2.gz PJBPCPIWWGOHOF-CYBMUJFWSA-N 1 2 323.824 1.722 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cccn(C)c1=O ZINC001024766326 736076846 /nfs/dbraw/zinc/07/68/46/736076846.db2.gz PJBPCPIWWGOHOF-CYBMUJFWSA-N 1 2 323.824 1.722 20 30 DDEDLO C[C@H]([NH2+]CCNC(=O)c1ccc(C#N)[nH]1)c1nc(C2CC2)no1 ZINC001125818092 736224582 /nfs/dbraw/zinc/22/45/82/736224582.db2.gz BFQLSINNZLFLBW-VIFPVBQESA-N 1 2 314.349 1.227 20 30 DDEDLO N#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001025305154 736418104 /nfs/dbraw/zinc/41/81/04/736418104.db2.gz UFWYDQMLDUOCPC-LSDHHAIUSA-N 1 2 301.394 1.252 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@]1(C)CN(Cc2c[nH+]cn2C)CCO1 ZINC001107974137 751378195 /nfs/dbraw/zinc/37/81/95/751378195.db2.gz LFDRRKDAWMMAKR-KRWDZBQOSA-N 1 2 320.437 1.484 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC001025908758 737021625 /nfs/dbraw/zinc/02/16/25/737021625.db2.gz XPZUPLQQLLFUJD-YIUHCBHRSA-N 1 2 312.417 1.145 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC001025908758 737021630 /nfs/dbraw/zinc/02/16/30/737021630.db2.gz XPZUPLQQLLFUJD-YIUHCBHRSA-N 1 2 312.417 1.145 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)cc[nH+]1 ZINC001058675926 737065788 /nfs/dbraw/zinc/06/57/88/737065788.db2.gz CXMAFHWSCWGXCJ-TZMCWYRMSA-N 1 2 301.394 1.620 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)oc2nc[nH]c(=O)c21 ZINC001038345900 737077367 /nfs/dbraw/zinc/07/73/67/737077367.db2.gz RVSNOJFJAGKDPY-LLVKDONJSA-N 1 2 314.345 1.064 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)oc2nc[nH]c(=O)c21 ZINC001038345900 737077372 /nfs/dbraw/zinc/07/73/72/737077372.db2.gz RVSNOJFJAGKDPY-LLVKDONJSA-N 1 2 314.345 1.064 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001008039212 737362055 /nfs/dbraw/zinc/36/20/55/737362055.db2.gz QSUKOOXRUFKYRZ-LBPRGKRZSA-N 1 2 311.817 1.174 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001008039212 737362057 /nfs/dbraw/zinc/36/20/57/737362057.db2.gz QSUKOOXRUFKYRZ-LBPRGKRZSA-N 1 2 311.817 1.174 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC1CCC(NCC#N)CC1 ZINC001026562819 737662569 /nfs/dbraw/zinc/66/25/69/737662569.db2.gz SKJXAVUHVVGOOD-NWINJMCUSA-N 1 2 301.394 1.064 20 30 DDEDLO Cc1nc(N2C[C@H]3CC[C@@H](C2)N3C(=O)C#CC2CC2)c(C)c(C)[nH+]1 ZINC001058755063 738103318 /nfs/dbraw/zinc/10/33/18/738103318.db2.gz SAIFGOYBYBIHAS-CALCHBBNSA-N 1 2 324.428 1.995 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)no1 ZINC001027406241 738265965 /nfs/dbraw/zinc/26/59/65/738265965.db2.gz OPJFKBJKXQRBOO-INIZCTEOSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)no1 ZINC001027406241 738265969 /nfs/dbraw/zinc/26/59/69/738265969.db2.gz OPJFKBJKXQRBOO-INIZCTEOSA-N 1 2 301.390 1.819 20 30 DDEDLO CCn1cc(C[N@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)nn1 ZINC001027408215 738272409 /nfs/dbraw/zinc/27/24/09/738272409.db2.gz KXXNICHSDAEJNQ-MRXNPFEDSA-N 1 2 315.421 1.134 20 30 DDEDLO CCn1cc(C[N@@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)nn1 ZINC001027408215 738272410 /nfs/dbraw/zinc/27/24/10/738272410.db2.gz KXXNICHSDAEJNQ-MRXNPFEDSA-N 1 2 315.421 1.134 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)[C@H](C)CC)C2)nn1 ZINC001105354475 738990083 /nfs/dbraw/zinc/99/00/83/738990083.db2.gz MBFCQOISKBONLF-ZIAGYGMSSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](CC)CCC)C2)nn1 ZINC001105354599 738991946 /nfs/dbraw/zinc/99/19/46/738991946.db2.gz PLRRYRVEGOWARP-ZDUSSCGKSA-N 1 2 303.410 1.211 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cncc(C(F)(F)F)c1 ZINC001038879629 739056266 /nfs/dbraw/zinc/05/62/66/739056266.db2.gz QSDTXEUYZCVHSK-CYBMUJFWSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cncc(C(F)(F)F)c1 ZINC001038879629 739056270 /nfs/dbraw/zinc/05/62/70/739056270.db2.gz QSDTXEUYZCVHSK-CYBMUJFWSA-N 1 2 311.307 1.928 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnc(O[C@@H](C)CC)c1 ZINC001038895953 739099484 /nfs/dbraw/zinc/09/94/84/739099484.db2.gz XBDKSCCNVNIGJS-ZFWWWQNUSA-N 1 2 301.390 1.696 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnc(O[C@@H](C)CC)c1 ZINC001038895953 739099487 /nfs/dbraw/zinc/09/94/87/739099487.db2.gz XBDKSCCNVNIGJS-ZFWWWQNUSA-N 1 2 301.390 1.696 20 30 DDEDLO C=CCCC(=O)N(C)CCN(C)c1ncnc2c1C[N@H+](C)CC2 ZINC001105365974 739388866 /nfs/dbraw/zinc/38/88/66/739388866.db2.gz QVBNWSIVPAEAAV-UHFFFAOYSA-N 1 2 317.437 1.325 20 30 DDEDLO C=CCCC(=O)N(C)CCN(C)c1ncnc2c1C[N@@H+](C)CC2 ZINC001105365974 739388868 /nfs/dbraw/zinc/38/88/68/739388868.db2.gz QVBNWSIVPAEAAV-UHFFFAOYSA-N 1 2 317.437 1.325 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001035396906 751466504 /nfs/dbraw/zinc/46/65/04/751466504.db2.gz ZPGLDPDVPCJCLP-OLZOCXBDSA-N 1 2 302.365 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001035396906 751466509 /nfs/dbraw/zinc/46/65/09/751466509.db2.gz ZPGLDPDVPCJCLP-OLZOCXBDSA-N 1 2 302.365 1.815 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccnc(OC)c2)C1 ZINC001035428625 751486235 /nfs/dbraw/zinc/48/62/35/751486235.db2.gz FDUAIGRENGJSFN-CQSZACIVSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccnc(OC)c2)C1 ZINC001035428625 751486238 /nfs/dbraw/zinc/48/62/38/751486238.db2.gz FDUAIGRENGJSFN-CQSZACIVSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc3n(n2)CCCC3)C1 ZINC001035411254 751493209 /nfs/dbraw/zinc/49/32/09/751493209.db2.gz ICJPIYVLYFAIQF-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc3n(n2)CCCC3)C1 ZINC001035411254 751493211 /nfs/dbraw/zinc/49/32/11/751493211.db2.gz ICJPIYVLYFAIQF-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001035413161 751495099 /nfs/dbraw/zinc/49/50/99/751495099.db2.gz WSVRJMHFPNTUBU-RBSFLKMASA-N 1 2 318.421 1.296 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001035413161 751495100 /nfs/dbraw/zinc/49/51/00/751495100.db2.gz WSVRJMHFPNTUBU-RBSFLKMASA-N 1 2 318.421 1.296 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001028842038 740101586 /nfs/dbraw/zinc/10/15/86/740101586.db2.gz MVAFWLAPNDTORJ-ZDUSSCGKSA-N 1 2 324.384 1.410 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001028842038 740101589 /nfs/dbraw/zinc/10/15/89/740101589.db2.gz MVAFWLAPNDTORJ-ZDUSSCGKSA-N 1 2 324.384 1.410 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@@H](C)Nc1cc[nH+]c(C)n1 ZINC001098174180 740581436 /nfs/dbraw/zinc/58/14/36/740581436.db2.gz VECHLIRHPHTQCM-MLGOLLRUSA-N 1 2 306.410 1.930 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2conc2C2CC2)C1 ZINC001035503925 751559568 /nfs/dbraw/zinc/55/95/68/751559568.db2.gz CBBYKMNDHVQDTD-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2conc2C2CC2)C1 ZINC001035503925 751559574 /nfs/dbraw/zinc/55/95/74/751559574.db2.gz CBBYKMNDHVQDTD-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CCNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001059313874 740607228 /nfs/dbraw/zinc/60/72/28/740607228.db2.gz JHFVLVQDUMOXOT-AWEZNQCLSA-N 1 2 318.421 1.582 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CC[N@H+](CC(=C)Cl)[C@@H]2CC)nn1 ZINC001087754255 740734723 /nfs/dbraw/zinc/73/47/23/740734723.db2.gz WFDLECCSQDEBJI-GXTWGEPZSA-N 1 2 323.828 1.799 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CC[N@@H+](CC(=C)Cl)[C@@H]2CC)nn1 ZINC001087754255 740734725 /nfs/dbraw/zinc/73/47/25/740734725.db2.gz WFDLECCSQDEBJI-GXTWGEPZSA-N 1 2 323.828 1.799 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C(C)(C)CC)C2)nn1 ZINC001098710729 740879587 /nfs/dbraw/zinc/87/95/87/740879587.db2.gz KSEUTMBOXMRSMF-HNNXBMFYSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)CCO3)C1 ZINC001035527278 751605720 /nfs/dbraw/zinc/60/57/20/751605720.db2.gz OLDGCXYBZNQUOS-HNNXBMFYSA-N 1 2 302.374 1.238 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)CCO3)C1 ZINC001035527278 751605725 /nfs/dbraw/zinc/60/57/25/751605725.db2.gz OLDGCXYBZNQUOS-HNNXBMFYSA-N 1 2 302.374 1.238 20 30 DDEDLO CCc1nc(C[NH2+][C@H]2CCCCCN(C(=O)[C@H](C)C#N)C2)no1 ZINC001088279822 741317769 /nfs/dbraw/zinc/31/77/69/741317769.db2.gz BEYVSDIOSRVLAL-OLZOCXBDSA-N 1 2 319.409 1.652 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](C[N@H+](C)Cc2nnnn2C)C1 ZINC001029825956 741363015 /nfs/dbraw/zinc/36/30/15/741363015.db2.gz KCRYTIUVZWIPOM-CJNGLKHVSA-N 1 2 320.441 1.093 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nnnn2C)C1 ZINC001029825956 741363017 /nfs/dbraw/zinc/36/30/17/741363017.db2.gz KCRYTIUVZWIPOM-CJNGLKHVSA-N 1 2 320.441 1.093 20 30 DDEDLO N#CCN[C@H]1CCCCCN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001088381109 741450355 /nfs/dbraw/zinc/45/03/55/741450355.db2.gz XDCIEWMRIBRUJX-UONOGXRCSA-N 1 2 315.421 1.714 20 30 DDEDLO C[C@H](CC(=O)N1CCCCC[C@@H](NCC#N)C1)n1cc[nH+]c1 ZINC001088391441 741457680 /nfs/dbraw/zinc/45/76/80/741457680.db2.gz LAUOPARLFIGMFQ-HUUCEWRRSA-N 1 2 303.410 1.719 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CCCCCN(C(=O)Cc2ncn[nH]2)C1 ZINC001088411461 741470926 /nfs/dbraw/zinc/47/09/26/741470926.db2.gz HQNHKKOREZNNSC-GFCCVEGCSA-N 1 2 311.817 1.461 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2cccnc2)cc1 ZINC001038005911 751649576 /nfs/dbraw/zinc/64/95/76/751649576.db2.gz DLHFTTTYEWDHQR-KRWDZBQOSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cccnc2)cc1 ZINC001038005911 751649579 /nfs/dbraw/zinc/64/95/79/751649579.db2.gz DLHFTTTYEWDHQR-KRWDZBQOSA-N 1 2 306.369 1.958 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C[C@H](C)CCC)C2)nn1 ZINC001098637328 741685238 /nfs/dbraw/zinc/68/52/38/741685238.db2.gz KZPGKPCGAJQLKP-GDBMZVCRSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(-c3ccoc3)n[nH]2)C1 ZINC001035605288 751663276 /nfs/dbraw/zinc/66/32/76/751663276.db2.gz YGWUCEWWBRWQOA-CYBMUJFWSA-N 1 2 316.361 1.286 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(-c3ccoc3)n[nH]2)C1 ZINC001035605288 751663277 /nfs/dbraw/zinc/66/32/77/751663277.db2.gz YGWUCEWWBRWQOA-CYBMUJFWSA-N 1 2 316.361 1.286 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(Cl)cn2)C1 ZINC001035583938 751676111 /nfs/dbraw/zinc/67/61/11/751676111.db2.gz WORXKSAIPFIBOJ-ZDUSSCGKSA-N 1 2 309.797 1.742 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(Cl)cn2)C1 ZINC001035583938 751676119 /nfs/dbraw/zinc/67/61/19/751676119.db2.gz WORXKSAIPFIBOJ-ZDUSSCGKSA-N 1 2 309.797 1.742 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(OC)n(C)n2)[C@H]1C ZINC001088731268 742263117 /nfs/dbraw/zinc/26/31/17/742263117.db2.gz FHKPUROVUCXLLP-MNOVXSKESA-N 1 2 312.801 1.374 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(OC)n(C)n2)[C@H]1C ZINC001088731268 742263118 /nfs/dbraw/zinc/26/31/18/742263118.db2.gz FHKPUROVUCXLLP-MNOVXSKESA-N 1 2 312.801 1.374 20 30 DDEDLO O=C(C#CC1CC1)NCC[NH2+]Cc1nnc(Cc2ccccc2)o1 ZINC001126881054 742415263 /nfs/dbraw/zinc/41/52/63/742415263.db2.gz KBXJDLKVDFISDM-UHFFFAOYSA-N 1 2 324.384 1.280 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2cn3cc(C)nc3s2)[C@@H](O)C1 ZINC001083523366 742457891 /nfs/dbraw/zinc/45/78/91/742457891.db2.gz AOLZYZSCDUOKIU-NEPJUHHUSA-N 1 2 320.418 1.055 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2cn3cc(C)nc3s2)[C@@H](O)C1 ZINC001083523366 742457893 /nfs/dbraw/zinc/45/78/93/742457893.db2.gz AOLZYZSCDUOKIU-NEPJUHHUSA-N 1 2 320.418 1.055 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001076304129 742648185 /nfs/dbraw/zinc/64/81/85/742648185.db2.gz YBBJHQFYKRUKNO-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001076304129 742648188 /nfs/dbraw/zinc/64/81/88/742648188.db2.gz YBBJHQFYKRUKNO-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3ccn(CC)n3)[C@@H]2C1 ZINC001076322420 742666134 /nfs/dbraw/zinc/66/61/34/742666134.db2.gz WQYVKNQHKTVQJA-GXTWGEPZSA-N 1 2 308.813 1.802 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccn(CC)n3)[C@@H]2C1 ZINC001076322420 742666137 /nfs/dbraw/zinc/66/61/37/742666137.db2.gz WQYVKNQHKTVQJA-GXTWGEPZSA-N 1 2 308.813 1.802 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cn(CC)nn3)[C@@H]2C1 ZINC001076410780 742716124 /nfs/dbraw/zinc/71/61/24/742716124.db2.gz CTZPZXCISWDNES-WCQYABFASA-N 1 2 309.801 1.197 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cn(CC)nn3)[C@@H]2C1 ZINC001076410780 742716126 /nfs/dbraw/zinc/71/61/26/742716126.db2.gz CTZPZXCISWDNES-WCQYABFASA-N 1 2 309.801 1.197 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001076412773 742717795 /nfs/dbraw/zinc/71/77/95/742717795.db2.gz ULJPZZBSABZUKT-AWEZNQCLSA-N 1 2 318.421 1.023 20 30 DDEDLO Cn1ccc(C[NH+]2CC3(C2)CCN(C(=O)C#CC2CC2)CC3)n1 ZINC001035702605 751789813 /nfs/dbraw/zinc/78/98/13/751789813.db2.gz XLEQFUXMMVQDGF-UHFFFAOYSA-N 1 2 312.417 1.258 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001067512125 743073728 /nfs/dbraw/zinc/07/37/28/743073728.db2.gz WAMOIYWJFCKIRR-OLZOCXBDSA-N 1 2 319.409 1.055 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001067512125 743073738 /nfs/dbraw/zinc/07/37/38/743073738.db2.gz WAMOIYWJFCKIRR-OLZOCXBDSA-N 1 2 319.409 1.055 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCOC[C@H]1Nc1cc[nH+]c(C)n1 ZINC001181130952 743076499 /nfs/dbraw/zinc/07/64/99/743076499.db2.gz SZTVZEAZPGZPNH-LSDHHAIUSA-N 1 2 316.405 1.664 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)[C@]13C[C@H]1COC3)CC2 ZINC001035711372 751798359 /nfs/dbraw/zinc/79/83/59/751798359.db2.gz TYSQEBDNNGXIQN-BBRMVZONSA-N 1 2 310.825 1.700 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CCSC)c2C1 ZINC001128191708 743225090 /nfs/dbraw/zinc/22/50/90/743225090.db2.gz HSUSIIMFLVQKHA-UHFFFAOYSA-N 1 2 308.451 1.644 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CCSC)c2C1 ZINC001128191708 743225096 /nfs/dbraw/zinc/22/50/96/743225096.db2.gz HSUSIIMFLVQKHA-UHFFFAOYSA-N 1 2 308.451 1.644 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2oc3ccc(F)cc3c2C)C1 ZINC001077134431 743268976 /nfs/dbraw/zinc/26/89/76/743268976.db2.gz FIILDPRNGZKEKY-ZIAGYGMSSA-N 1 2 316.332 1.288 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2oc3ccc(F)cc3c2C)C1 ZINC001077134431 743268979 /nfs/dbraw/zinc/26/89/79/743268979.db2.gz FIILDPRNGZKEKY-ZIAGYGMSSA-N 1 2 316.332 1.288 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC1CCCC1)C2 ZINC001110246771 743304897 /nfs/dbraw/zinc/30/48/97/743304897.db2.gz NZMCWRMKFMANNQ-OAGGEKHMSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC1CCCC1)C2 ZINC001110246771 743304908 /nfs/dbraw/zinc/30/49/08/743304908.db2.gz NZMCWRMKFMANNQ-OAGGEKHMSA-N 1 2 317.433 1.038 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)CCc1cccc(C#N)c1 ZINC001182341050 743574771 /nfs/dbraw/zinc/57/47/71/743574771.db2.gz DVLSXWWWSJXIGC-UHFFFAOYSA-N 1 2 312.373 1.650 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001182414943 743618044 /nfs/dbraw/zinc/61/80/44/743618044.db2.gz RAJGGCYSBBVDJY-CYBMUJFWSA-N 1 2 316.405 1.687 20 30 DDEDLO C#CC[NH+]1CC2(C1)CCN(C(=O)c1cc(CCC)[nH]n1)CC2 ZINC001035760399 751844301 /nfs/dbraw/zinc/84/43/01/751844301.db2.gz JACBIDXNXOUPIR-UHFFFAOYSA-N 1 2 300.406 1.533 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC2(C[NH+](Cc3cnnn3C)C2)CC1 ZINC001035765975 751850667 /nfs/dbraw/zinc/85/06/67/751850667.db2.gz FZIULLYXQBVPBM-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1)OCC ZINC001182973389 743764527 /nfs/dbraw/zinc/76/45/27/743764527.db2.gz IUKMHTBOFRUQTP-ZIAGYGMSSA-N 1 2 322.409 1.440 20 30 DDEDLO N#Cc1ccc(C(=O)NC2C[NH+](C[C@@H](O)c3ccccc3)C2)[nH]1 ZINC001030225306 743962246 /nfs/dbraw/zinc/96/22/46/743962246.db2.gz VVBZVMMVDNQAJB-MRXNPFEDSA-N 1 2 310.357 1.034 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](O)Cc2c[nH]c3ccccc23)CC1 ZINC001184298770 744008207 /nfs/dbraw/zinc/00/82/07/744008207.db2.gz QOUMAQZQZJXJJN-QGZVFWFLSA-N 1 2 313.401 1.402 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001185064862 744146854 /nfs/dbraw/zinc/14/68/54/744146854.db2.gz YOUYVKOTQVDFEK-CHWSQXEVSA-N 1 2 322.409 1.509 20 30 DDEDLO C=CCn1cccc1C(=O)NC1C[NH+](C[C@@H]2CC[C@H](C)O2)C1 ZINC001030446268 744164894 /nfs/dbraw/zinc/16/48/94/744164894.db2.gz BKWILNIPEJGBPB-ZFWWWQNUSA-N 1 2 303.406 1.656 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CC[C@H](C)CC)C2)nn1 ZINC001098756963 744190662 /nfs/dbraw/zinc/19/06/62/744190662.db2.gz HCXPJLAXJPDQFU-ZBFHGGJFSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCn3cc(C)nc3C2)C1 ZINC001014936330 744298873 /nfs/dbraw/zinc/29/88/73/744298873.db2.gz RIGJFIUNJTWEAC-UONOGXRCSA-N 1 2 322.840 1.697 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCn3cc(C)nc3C2)C1 ZINC001014936330 744298876 /nfs/dbraw/zinc/29/88/76/744298876.db2.gz RIGJFIUNJTWEAC-UONOGXRCSA-N 1 2 322.840 1.697 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001187217229 744529078 /nfs/dbraw/zinc/52/90/78/744529078.db2.gz OUNUNBYYVQAMKU-IJEWVQPXSA-N 1 2 319.405 1.689 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001187217229 744529081 /nfs/dbraw/zinc/52/90/81/744529081.db2.gz OUNUNBYYVQAMKU-IJEWVQPXSA-N 1 2 319.405 1.689 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3csc(C)n3)C[C@H]21 ZINC001187281672 744533363 /nfs/dbraw/zinc/53/33/63/744533363.db2.gz ZTRHFPXVNZMFNW-DZGCQCFKSA-N 1 2 321.446 1.687 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3csc(C)n3)C[C@H]21 ZINC001187281672 744533365 /nfs/dbraw/zinc/53/33/65/744533365.db2.gz ZTRHFPXVNZMFNW-DZGCQCFKSA-N 1 2 321.446 1.687 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001187319976 744548387 /nfs/dbraw/zinc/54/83/87/744548387.db2.gz GLCGOQDGERJNFV-DZGCQCFKSA-N 1 2 305.378 1.218 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001187319976 744548390 /nfs/dbraw/zinc/54/83/90/744548390.db2.gz GLCGOQDGERJNFV-DZGCQCFKSA-N 1 2 305.378 1.218 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)Cc3ccsc3)CC2)C1 ZINC001045999958 744578153 /nfs/dbraw/zinc/57/81/53/744578153.db2.gz UUXYPVFOKNLDRJ-OAHLLOKOSA-N 1 2 318.446 1.033 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001187755477 744605131 /nfs/dbraw/zinc/60/51/31/744605131.db2.gz MMQXSVWIKHMTDI-YSVLISHTSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001187755477 744605133 /nfs/dbraw/zinc/60/51/33/744605133.db2.gz MMQXSVWIKHMTDI-YSVLISHTSA-N 1 2 319.405 1.343 20 30 DDEDLO C#Cc1cncc(C(=O)NC2C[NH+](CCc3cccs3)C2)c1 ZINC001030929767 744859769 /nfs/dbraw/zinc/85/97/69/744859769.db2.gz XQMAQQLKMDSPPL-UHFFFAOYSA-N 1 2 311.410 1.781 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc(OC(C)=O)c2C)CC1 ZINC001189397533 744888231 /nfs/dbraw/zinc/88/82/31/744888231.db2.gz XTHCNCSGXNMECS-UHFFFAOYSA-N 1 2 302.374 1.864 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(NC(=O)c3n[nH]c4ccccc43)CCC[C@H]12 ZINC000992485445 744910706 /nfs/dbraw/zinc/91/07/06/744910706.db2.gz FXGSMJVCZNWNSW-YJBOKZPZSA-N 1 2 308.385 1.923 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(NC(=O)c3n[nH]c4ccccc43)CCC[C@H]12 ZINC000992485445 744910709 /nfs/dbraw/zinc/91/07/09/744910709.db2.gz FXGSMJVCZNWNSW-YJBOKZPZSA-N 1 2 308.385 1.923 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001189564372 744917371 /nfs/dbraw/zinc/91/73/71/744917371.db2.gz BJXGKNBDJVXPKT-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001189564372 744917373 /nfs/dbraw/zinc/91/73/73/744917373.db2.gz BJXGKNBDJVXPKT-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO N#Cc1ccc(F)c(S(=O)(=O)N2CC([NH+]3CCCC3)C2)c1 ZINC001190630800 745279778 /nfs/dbraw/zinc/27/97/78/745279778.db2.gz NOMQQOGFXUZJRW-UHFFFAOYSA-N 1 2 309.366 1.166 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NC1C[NH+](CCn2cccn2)C1 ZINC001031077467 745328448 /nfs/dbraw/zinc/32/84/48/745328448.db2.gz VWLMJVFIENOYSM-HOTGVXAUSA-N 1 2 318.421 1.055 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(=O)[nH]n2)[C@@H]1C ZINC000993003168 745360863 /nfs/dbraw/zinc/36/08/63/745360863.db2.gz KBWLQYOLCWKPEO-WDEREUQCSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(=O)[nH]n2)[C@@H]1C ZINC000993003168 745360866 /nfs/dbraw/zinc/36/08/66/745360866.db2.gz KBWLQYOLCWKPEO-WDEREUQCSA-N 1 2 310.785 1.517 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)c(C)o1)C2 ZINC001110443566 745693873 /nfs/dbraw/zinc/69/38/73/745693873.db2.gz MHUJFQLQDWMCGU-OAGGEKHMSA-N 1 2 316.401 1.881 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)c(C)o1)C2 ZINC001110443566 745693876 /nfs/dbraw/zinc/69/38/76/745693876.db2.gz MHUJFQLQDWMCGU-OAGGEKHMSA-N 1 2 316.401 1.881 20 30 DDEDLO C[C@@H](CCNc1cncc(C#N)n1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106620516 745841454 /nfs/dbraw/zinc/84/14/54/745841454.db2.gz FVTNIDWFSVCQQU-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@@H](CCNc1cncc(C#N)n1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106620516 745841458 /nfs/dbraw/zinc/84/14/58/745841458.db2.gz FVTNIDWFSVCQQU-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cncnc2)[C@@H]1C ZINC000993284015 745940651 /nfs/dbraw/zinc/94/06/51/745940651.db2.gz NEWZMFKODWHXGB-GXTWGEPZSA-N 1 2 308.813 1.741 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cncnc2)[C@@H]1C ZINC000993284015 745940657 /nfs/dbraw/zinc/94/06/57/745940657.db2.gz NEWZMFKODWHXGB-GXTWGEPZSA-N 1 2 308.813 1.741 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cc2)C1 ZINC001193178283 746004416 /nfs/dbraw/zinc/00/44/16/746004416.db2.gz GTXGTGHEBHGIQL-SMDDNHRTSA-N 1 2 311.382 1.231 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cc2)C1 ZINC001193178283 746004419 /nfs/dbraw/zinc/00/44/19/746004419.db2.gz GTXGTGHEBHGIQL-SMDDNHRTSA-N 1 2 311.382 1.231 20 30 DDEDLO CCN(CCNc1[nH+]cnc2c1cnn2C)C(=O)C#CC1CC1 ZINC001106752321 746031123 /nfs/dbraw/zinc/03/11/23/746031123.db2.gz XNRUOHCEBOZDCY-UHFFFAOYSA-N 1 2 312.377 1.037 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnc(C)cn2)C[C@H]1O ZINC001193400434 746092890 /nfs/dbraw/zinc/09/28/90/746092890.db2.gz PFFZIGICDDDNLW-FVQBIDKESA-N 1 2 318.421 1.364 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnc(C)cn2)C[C@H]1O ZINC001193400434 746092897 /nfs/dbraw/zinc/09/28/97/746092897.db2.gz PFFZIGICDDDNLW-FVQBIDKESA-N 1 2 318.421 1.364 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1O)OCC ZINC001193422336 746103853 /nfs/dbraw/zinc/10/38/53/746103853.db2.gz PFGDWRQSSNBQRO-VXNNLABOSA-N 1 2 316.829 1.272 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1O)OCC ZINC001193422336 746103856 /nfs/dbraw/zinc/10/38/56/746103856.db2.gz PFGDWRQSSNBQRO-VXNNLABOSA-N 1 2 316.829 1.272 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2sc3nccn3c2C)[C@@H]1C ZINC000993453484 746169632 /nfs/dbraw/zinc/16/96/32/746169632.db2.gz QHDXZMBESPXWCF-WCQYABFASA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc3nccn3c2C)[C@@H]1C ZINC000993453484 746169635 /nfs/dbraw/zinc/16/96/35/746169635.db2.gz QHDXZMBESPXWCF-WCQYABFASA-N 1 2 316.430 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2c[nH]c(=O)cn2)[C@H]1C ZINC000993733650 746315942 /nfs/dbraw/zinc/31/59/42/746315942.db2.gz YJCRWGBWJPNGBJ-GHMZBOCLSA-N 1 2 310.785 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(=O)cn2)[C@H]1C ZINC000993733650 746315947 /nfs/dbraw/zinc/31/59/47/746315947.db2.gz YJCRWGBWJPNGBJ-GHMZBOCLSA-N 1 2 310.785 1.105 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CCCN1CC#N ZINC000994143374 746463178 /nfs/dbraw/zinc/46/31/78/746463178.db2.gz FNWROSQTYYJODZ-WMLDXEAASA-N 1 2 323.400 1.979 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195525767 746637490 /nfs/dbraw/zinc/63/74/90/746637490.db2.gz QJFGAXRLLUQMAR-IUIKQTSFSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195525767 746637492 /nfs/dbraw/zinc/63/74/92/746637492.db2.gz QJFGAXRLLUQMAR-IUIKQTSFSA-N 1 2 321.421 1.555 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195753987 746686414 /nfs/dbraw/zinc/68/64/14/746686414.db2.gz GQNPKXFXNRYFAL-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195753987 746686416 /nfs/dbraw/zinc/68/64/16/746686416.db2.gz GQNPKXFXNRYFAL-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1ccc(C#N)cn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089382019 746759980 /nfs/dbraw/zinc/75/99/80/746759980.db2.gz WWALWIHQEFHVHB-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(C3(C)COC3)cc2)CC1 ZINC001196218358 746801214 /nfs/dbraw/zinc/80/12/14/746801214.db2.gz IVRKMVCJIVLFMV-UHFFFAOYSA-N 1 2 300.402 1.918 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001152259350 746824756 /nfs/dbraw/zinc/82/47/56/746824756.db2.gz AEUQBPRQAQEOFE-ZIAGYGMSSA-N 1 2 323.441 1.123 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001152259350 746824762 /nfs/dbraw/zinc/82/47/62/746824762.db2.gz AEUQBPRQAQEOFE-ZIAGYGMSSA-N 1 2 323.441 1.123 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)CC1 ZINC001196349675 746841248 /nfs/dbraw/zinc/84/12/48/746841248.db2.gz MWLNUERGDUBRMO-PMPSAXMXSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)CC1 ZINC001196349675 746841254 /nfs/dbraw/zinc/84/12/54/746841254.db2.gz MWLNUERGDUBRMO-PMPSAXMXSA-N 1 2 319.449 1.259 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2c[nH]nc2C(C)C)CC1 ZINC001196395421 746854410 /nfs/dbraw/zinc/85/44/10/746854410.db2.gz RQEFRMSBZQHQDY-UHFFFAOYSA-N 1 2 318.421 1.331 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2c[nH]nc2C(C)C)CC1 ZINC001196395421 746854413 /nfs/dbraw/zinc/85/44/13/746854413.db2.gz RQEFRMSBZQHQDY-UHFFFAOYSA-N 1 2 318.421 1.331 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc3[nH]c(=O)n(C)c3c2)C1 ZINC001031496577 746910102 /nfs/dbraw/zinc/91/01/02/746910102.db2.gz YCNMXDBALDAYJS-UHFFFAOYSA-N 1 2 300.362 1.269 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2cccc3nsnc32)C1 ZINC001031500644 746915499 /nfs/dbraw/zinc/91/54/99/746915499.db2.gz AAAREGOZLMDAMR-UHFFFAOYSA-N 1 2 302.403 1.610 20 30 DDEDLO CN(c1ccc(C#N)cn1)[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061227694 746917552 /nfs/dbraw/zinc/91/75/52/746917552.db2.gz LZXBANRXGBKZBD-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@@H](NCC#N)[C@H](C)C3)ccn12 ZINC001036035807 752141572 /nfs/dbraw/zinc/14/15/72/752141572.db2.gz VQCGNPUDSKLZEV-IUODEOHRSA-N 1 2 311.389 1.607 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cn[nH]c3)C2)s1 ZINC001031559758 747035201 /nfs/dbraw/zinc/03/52/01/747035201.db2.gz YJTTYNLYIHIMAF-UHFFFAOYSA-N 1 2 301.375 1.205 20 30 DDEDLO N#Cc1cccc(NC(=S)N2CC[C@H]([NH+]3CCOCC3)C2)c1 ZINC001197695748 747240246 /nfs/dbraw/zinc/24/02/46/747240246.db2.gz DZQILZMFXORRNG-HNNXBMFYSA-N 1 2 316.430 1.662 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@H](NCC#N)[C@H](C)C2)c[nH+]1 ZINC001036077777 752170038 /nfs/dbraw/zinc/17/00/38/752170038.db2.gz PKWNJHLSMQYIIK-OCCSQVGLSA-N 1 2 303.410 1.277 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001031672038 747359171 /nfs/dbraw/zinc/35/91/71/747359171.db2.gz UHHIYAITFZMWBA-LBPRGKRZSA-N 1 2 308.813 1.630 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CNC(=O)[C@@H](C)CC)CC1 ZINC001198136527 747378287 /nfs/dbraw/zinc/37/82/87/747378287.db2.gz UCODUJMUCTXIBJ-LBPRGKRZSA-N 1 2 315.845 1.436 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CNC(=O)[C@@H](C)CC)CC1 ZINC001198136527 747378292 /nfs/dbraw/zinc/37/82/92/747378292.db2.gz UCODUJMUCTXIBJ-LBPRGKRZSA-N 1 2 315.845 1.436 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2nc3cccnc3s2)C1 ZINC001007505376 752178967 /nfs/dbraw/zinc/17/89/67/752178967.db2.gz AWGYOXPFEHUIPD-GFCCVEGCSA-N 1 2 314.414 1.909 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2nc3cccnc3s2)C1 ZINC001007505376 752178969 /nfs/dbraw/zinc/17/89/69/752178969.db2.gz AWGYOXPFEHUIPD-GFCCVEGCSA-N 1 2 314.414 1.909 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2c3c(nn2C)CCCC3)C1 ZINC001031699734 747418171 /nfs/dbraw/zinc/41/81/71/747418171.db2.gz UGALGNBNHUZHPM-UHFFFAOYSA-N 1 2 322.840 1.713 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2ccc(OC)c(OC)c2)C1 ZINC001044252764 747428442 /nfs/dbraw/zinc/42/84/42/747428442.db2.gz NCUYSJPEQABBHY-UHFFFAOYSA-N 1 2 304.390 1.575 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2conc2CC)CC1 ZINC001198342822 747458695 /nfs/dbraw/zinc/45/86/95/747458695.db2.gz BLKHRHBTXFNKOO-AWEZNQCLSA-N 1 2 321.421 1.862 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2conc2CC)CC1 ZINC001198342822 747458699 /nfs/dbraw/zinc/45/86/99/747458699.db2.gz BLKHRHBTXFNKOO-AWEZNQCLSA-N 1 2 321.421 1.862 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cnoc2C)CC1 ZINC001198343733 747459698 /nfs/dbraw/zinc/45/96/98/747459698.db2.gz NAMTUWJVFIENEM-AWEZNQCLSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cnoc2C)CC1 ZINC001198343733 747459704 /nfs/dbraw/zinc/45/97/04/747459704.db2.gz NAMTUWJVFIENEM-AWEZNQCLSA-N 1 2 307.394 1.608 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccsc2)[C@H](O)C1 ZINC001090041471 747501224 /nfs/dbraw/zinc/50/12/24/747501224.db2.gz BFWSPWYXMNLJCQ-QWHCGFSZSA-N 1 2 314.838 1.595 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccsc2)[C@H](O)C1 ZINC001090041471 747501230 /nfs/dbraw/zinc/50/12/30/747501230.db2.gz BFWSPWYXMNLJCQ-QWHCGFSZSA-N 1 2 314.838 1.595 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000998744601 752194925 /nfs/dbraw/zinc/19/49/25/752194925.db2.gz CMPHZGARZWVDLI-STQMWFEESA-N 1 2 319.409 1.508 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC000998745052 752196300 /nfs/dbraw/zinc/19/63/00/752196300.db2.gz FQFSMCQVPDUUAD-ZYHUDNBSSA-N 1 2 303.366 1.187 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nn(C)c2c1CCCC2 ZINC001032641097 752214005 /nfs/dbraw/zinc/21/40/05/752214005.db2.gz YIASTJFIPYKJLZ-KBPBESRZSA-N 1 2 312.417 1.221 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nn(C)c2c1CCCC2 ZINC001032641097 752214006 /nfs/dbraw/zinc/21/40/06/752214006.db2.gz YIASTJFIPYKJLZ-KBPBESRZSA-N 1 2 312.417 1.221 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3ccn(C)n3)CC2)nc1 ZINC001004005059 747905191 /nfs/dbraw/zinc/90/51/91/747905191.db2.gz SPGRISSAFJVRFK-UHFFFAOYSA-N 1 2 323.400 1.191 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2CC(C)(C)CO2)C1 ZINC001108068208 748226835 /nfs/dbraw/zinc/22/68/35/748226835.db2.gz MSMQKXDNBBNLLB-RHSMWYFYSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2CC(C)(C)CO2)C1 ZINC001108068208 748226841 /nfs/dbraw/zinc/22/68/41/748226841.db2.gz MSMQKXDNBBNLLB-RHSMWYFYSA-N 1 2 310.438 1.585 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2C[NH+](Cc3cncs3)C2)c1 ZINC001031963346 748311918 /nfs/dbraw/zinc/31/19/18/748311918.db2.gz KUAPPBLIOJHQFH-UHFFFAOYSA-N 1 2 312.398 1.381 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc(Br)co2)[C@@H](O)C1 ZINC001083830943 748317443 /nfs/dbraw/zinc/31/74/43/748317443.db2.gz OQQMHQFRBQCVIN-ZJUUUORDSA-N 1 2 315.167 1.003 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc(Br)co2)[C@@H](O)C1 ZINC001083830943 748317450 /nfs/dbraw/zinc/31/74/50/748317450.db2.gz OQQMHQFRBQCVIN-ZJUUUORDSA-N 1 2 315.167 1.003 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001031976078 748338979 /nfs/dbraw/zinc/33/89/79/748338979.db2.gz DOPPSUVBGDQPQW-CQSZACIVSA-N 1 2 305.809 1.597 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)[C@@H]2CCCOC2)CC1 ZINC001004371076 748373964 /nfs/dbraw/zinc/37/39/64/748373964.db2.gz IYJBHSHITHSXHU-CVEARBPZSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)[C@@H]2CCCOC2)CC1 ZINC001004371076 748373971 /nfs/dbraw/zinc/37/39/71/748373971.db2.gz IYJBHSHITHSXHU-CVEARBPZSA-N 1 2 305.422 1.640 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)cnn1 ZINC001004419358 748414338 /nfs/dbraw/zinc/41/43/38/748414338.db2.gz IBNLSDWNSPAPDA-INIZCTEOSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)cnn1 ZINC001004419358 748414334 /nfs/dbraw/zinc/41/43/34/748414334.db2.gz IBNLSDWNSPAPDA-INIZCTEOSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@H](C)[N@@H+](C)C[C@H]2C)cc1C#N ZINC001201303354 748470923 /nfs/dbraw/zinc/47/09/23/748470923.db2.gz BXKHVBCRYUOSEO-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@H](C)[N@H+](C)C[C@H]2C)cc1C#N ZINC001201303354 748470926 /nfs/dbraw/zinc/47/09/26/748470926.db2.gz BXKHVBCRYUOSEO-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CC1 ZINC001004548623 748539687 /nfs/dbraw/zinc/53/96/87/748539687.db2.gz XTGKQZKGOFRZKA-VQHPVUNQSA-N 1 2 317.433 1.780 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CC1 ZINC001004548623 748539692 /nfs/dbraw/zinc/53/96/92/748539692.db2.gz XTGKQZKGOFRZKA-VQHPVUNQSA-N 1 2 317.433 1.780 20 30 DDEDLO CCc1nc[nH]c1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004598733 748577264 /nfs/dbraw/zinc/57/72/64/748577264.db2.gz FNFPYPWRBDKHTQ-HNNXBMFYSA-N 1 2 315.421 1.812 20 30 DDEDLO CCc1nc[nH]c1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004598733 748577267 /nfs/dbraw/zinc/57/72/67/748577267.db2.gz FNFPYPWRBDKHTQ-HNNXBMFYSA-N 1 2 315.421 1.812 20 30 DDEDLO CC1(NC(=O)Cc2[nH]cc[nH+]2)CCN(c2ccc(C#N)cn2)CC1 ZINC001110595869 748810626 /nfs/dbraw/zinc/81/06/26/748810626.db2.gz IYTUVYYKPGBTTM-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)C ZINC001110610413 748824033 /nfs/dbraw/zinc/82/40/33/748824033.db2.gz CALVHDMLYZCNKI-OAGGEKHMSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)C ZINC001110610413 748824035 /nfs/dbraw/zinc/82/40/35/748824035.db2.gz CALVHDMLYZCNKI-OAGGEKHMSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnn(C)c2Cl)C1 ZINC001033197087 748850007 /nfs/dbraw/zinc/85/00/07/748850007.db2.gz GUHMRWJYVFZSCY-JTQLQIEISA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnn(C)c2Cl)C1 ZINC001033197087 748850011 /nfs/dbraw/zinc/85/00/11/748850011.db2.gz GUHMRWJYVFZSCY-JTQLQIEISA-N 1 2 317.220 1.972 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@]1(C)CCN(c2ncccc2C#N)C1 ZINC001110772385 748998645 /nfs/dbraw/zinc/99/86/45/748998645.db2.gz WBGIUNCBBLSODR-QGZVFWFLSA-N 1 2 324.388 1.014 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108100129 749117440 /nfs/dbraw/zinc/11/74/40/749117440.db2.gz ZCAYWFROAVGFFB-LLVKDONJSA-N 1 2 306.414 1.519 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](N(C)C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001033319308 749179871 /nfs/dbraw/zinc/17/98/71/749179871.db2.gz CHTBRQFGXPKYIX-INIZCTEOSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](N(C)C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001033319308 749179873 /nfs/dbraw/zinc/17/98/73/749179873.db2.gz CHTBRQFGXPKYIX-INIZCTEOSA-N 1 2 323.400 1.641 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001108334337 761930261 /nfs/dbraw/zinc/93/02/61/761930261.db2.gz INKYRSHSNRDOJR-KRWDZBQOSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001108334337 761930264 /nfs/dbraw/zinc/93/02/64/761930264.db2.gz INKYRSHSNRDOJR-KRWDZBQOSA-N 1 2 320.437 1.714 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3cnnn3CC)C2)C1 ZINC001033397752 749289738 /nfs/dbraw/zinc/28/97/38/749289738.db2.gz DCWDMKZNCDILMA-CQSZACIVSA-N 1 2 317.437 1.687 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@@H]2CC[N@H+](Cc3cnnn3CC)C2)C1 ZINC001033397752 749289741 /nfs/dbraw/zinc/28/97/41/749289741.db2.gz DCWDMKZNCDILMA-CQSZACIVSA-N 1 2 317.437 1.687 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cccnc2OC)C1 ZINC001033388150 749298446 /nfs/dbraw/zinc/29/84/46/749298446.db2.gz PZULFRNSJKMKPA-GFCCVEGCSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cccnc2OC)C1 ZINC001033388150 749298451 /nfs/dbraw/zinc/29/84/51/749298451.db2.gz PZULFRNSJKMKPA-GFCCVEGCSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2ccnc(OC)c2)C1 ZINC001033425515 749343410 /nfs/dbraw/zinc/34/34/10/749343410.db2.gz JVEVXLLCCNNDOI-AWEZNQCLSA-N 1 2 323.824 1.918 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2ccnc(OC)c2)C1 ZINC001033425515 749343413 /nfs/dbraw/zinc/34/34/13/749343413.db2.gz JVEVXLLCCNNDOI-AWEZNQCLSA-N 1 2 323.824 1.918 20 30 DDEDLO Cc1c[nH]c(C(=O)N(C)[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001033493913 749437923 /nfs/dbraw/zinc/43/79/23/749437923.db2.gz BMWRVVSHYVKVHW-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1c[nH]c(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001033493913 749437925 /nfs/dbraw/zinc/43/79/25/749437925.db2.gz BMWRVVSHYVKVHW-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C(C)=C3CCCC3)nn2)C1 ZINC001107157275 749441766 /nfs/dbraw/zinc/44/17/66/749441766.db2.gz MOUJRJGGHLKQOL-UHFFFAOYSA-N 1 2 315.421 1.828 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2c3c(nn2C)CCC3)C1 ZINC001033582210 749562549 /nfs/dbraw/zinc/56/25/49/749562549.db2.gz IKVQOZCNHRFRDQ-GFCCVEGCSA-N 1 2 322.840 1.808 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2c3c(nn2C)CCC3)C1 ZINC001033582210 749562552 /nfs/dbraw/zinc/56/25/52/749562552.db2.gz IKVQOZCNHRFRDQ-GFCCVEGCSA-N 1 2 322.840 1.808 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CCCC(C)C)nn2)C1 ZINC001107213907 749619013 /nfs/dbraw/zinc/61/90/13/749619013.db2.gz KTWXLKWHPXFXHX-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)n2cccc2)C1 ZINC001108351687 761971107 /nfs/dbraw/zinc/97/11/07/761971107.db2.gz NOXUKNRORHGJEU-WBVHZDCISA-N 1 2 305.422 1.832 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)n2cccc2)C1 ZINC001108351687 761971111 /nfs/dbraw/zinc/97/11/11/761971111.db2.gz NOXUKNRORHGJEU-WBVHZDCISA-N 1 2 305.422 1.832 20 30 DDEDLO Cc1nc(NC/C=C\CNC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001107312513 749766520 /nfs/dbraw/zinc/76/65/20/749766520.db2.gz PPVPYLKUKIOTRW-IHWYPQMZSA-N 1 2 310.361 1.312 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cscn1)C2 ZINC001111661976 749804092 /nfs/dbraw/zinc/80/40/92/749804092.db2.gz ADTXKBHXGNSLKQ-BBRMVZONSA-N 1 2 321.446 1.816 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cscn1)C2 ZINC001111661976 749804099 /nfs/dbraw/zinc/80/40/99/749804099.db2.gz ADTXKBHXGNSLKQ-BBRMVZONSA-N 1 2 321.446 1.816 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001108372568 761993215 /nfs/dbraw/zinc/99/32/15/761993215.db2.gz KRKZUXAFDDTARO-GOSISDBHSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001108372568 761993224 /nfs/dbraw/zinc/99/32/24/761993224.db2.gz KRKZUXAFDDTARO-GOSISDBHSA-N 1 2 315.417 1.966 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)CC2)cn1 ZINC001066757832 749951225 /nfs/dbraw/zinc/95/12/25/749951225.db2.gz ARMJXHQEPXLMOG-CYBMUJFWSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cocc1C)C2 ZINC001110912909 750209411 /nfs/dbraw/zinc/20/94/11/750209411.db2.gz OZXRYBQRQQNLMS-YUELXQCFSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cocc1C)C2 ZINC001110912909 750209415 /nfs/dbraw/zinc/20/94/15/750209415.db2.gz OZXRYBQRQQNLMS-YUELXQCFSA-N 1 2 317.389 1.225 20 30 DDEDLO Cc1nc(N2CCC[C@](C)(CNC(=O)C#CC3CC3)C2)cc[nH+]1 ZINC001110932984 750239418 /nfs/dbraw/zinc/23/94/18/750239418.db2.gz HPMLTCZORYPPAQ-GOSISDBHSA-N 1 2 312.417 1.921 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)n(C)c2C)C1 ZINC001108387237 762023089 /nfs/dbraw/zinc/02/30/89/762023089.db2.gz QQVQDXXQXGLVKD-KRWDZBQOSA-N 1 2 305.422 1.649 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)n(C)c2C)C1 ZINC001108387237 762023097 /nfs/dbraw/zinc/02/30/97/762023097.db2.gz QQVQDXXQXGLVKD-KRWDZBQOSA-N 1 2 305.422 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C(C)C)CC1)C2 ZINC001111004142 750297016 /nfs/dbraw/zinc/29/70/16/750297016.db2.gz FVRCPVUHACAJCC-KFWWJZLASA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C(C)C)CC1)C2 ZINC001111004142 750297021 /nfs/dbraw/zinc/29/70/21/750297021.db2.gz FVRCPVUHACAJCC-KFWWJZLASA-N 1 2 319.449 1.446 20 30 DDEDLO C[C@@]1(CNC(=O)C2CC2)C[N@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107673180 750333218 /nfs/dbraw/zinc/33/32/18/750333218.db2.gz GMUIEGOJWDKZAY-GOSISDBHSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@@]1(CNC(=O)C2CC2)C[N@@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107673180 750333226 /nfs/dbraw/zinc/33/32/26/750333226.db2.gz GMUIEGOJWDKZAY-GOSISDBHSA-N 1 2 313.401 1.675 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2ccccn2)C1 ZINC001108113148 750357742 /nfs/dbraw/zinc/35/77/42/750357742.db2.gz GCFXKBIABPWXSX-SFHVURJKSA-N 1 2 315.417 1.245 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2ccccn2)C1 ZINC001108113148 750357750 /nfs/dbraw/zinc/35/77/50/750357750.db2.gz GCFXKBIABPWXSX-SFHVURJKSA-N 1 2 315.417 1.245 20 30 DDEDLO C#CCCCC(=O)N[C@]1(CO)CCCN(c2cc[nH+]c(C)n2)C1 ZINC001111351592 750504934 /nfs/dbraw/zinc/50/49/34/750504934.db2.gz ZFJUXLNBQKVLCN-QGZVFWFLSA-N 1 2 316.405 1.036 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)[C@H]1CC12CCC2 ZINC001077750300 750509094 /nfs/dbraw/zinc/50/90/94/750509094.db2.gz DJTYGDVVOKQJPH-KZNAEPCWSA-N 1 2 324.424 1.390 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)[C@H]1CC12CCC2 ZINC001077750300 750509095 /nfs/dbraw/zinc/50/90/95/750509095.db2.gz DJTYGDVVOKQJPH-KZNAEPCWSA-N 1 2 324.424 1.390 20 30 DDEDLO C[C@@]1(CNC(=O)C#CC2CC2)C[N@H+](CCOCC2CC2)CCO1 ZINC001107933155 750668469 /nfs/dbraw/zinc/66/84/69/750668469.db2.gz ZNAYVZORYIVOAJ-GOSISDBHSA-N 1 2 320.433 1.034 20 30 DDEDLO C[C@@]1(CNC(=O)C#CC2CC2)C[N@@H+](CCOCC2CC2)CCO1 ZINC001107933155 750668470 /nfs/dbraw/zinc/66/84/70/750668470.db2.gz ZNAYVZORYIVOAJ-GOSISDBHSA-N 1 2 320.433 1.034 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(CC(C)C)n1 ZINC001032427896 750805470 /nfs/dbraw/zinc/80/54/70/750805470.db2.gz BIHUSBHFHVXRPO-GJZGRUSLSA-N 1 2 300.406 1.461 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(CC(C)C)n1 ZINC001032427896 750805477 /nfs/dbraw/zinc/80/54/77/750805477.db2.gz BIHUSBHFHVXRPO-GJZGRUSLSA-N 1 2 300.406 1.461 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001114607450 750830225 /nfs/dbraw/zinc/83/02/25/750830225.db2.gz ONSVPMRQPUTNCV-QKDCVEJESA-N 1 2 300.406 1.940 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2[nH]c(C)nc2c1 ZINC001032439547 750836494 /nfs/dbraw/zinc/83/64/94/750836494.db2.gz HEAHMNVEOJZLFW-KBPBESRZSA-N 1 2 309.373 1.188 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2[nH]c(C)nc2c1 ZINC001032439547 750836503 /nfs/dbraw/zinc/83/65/03/750836503.db2.gz HEAHMNVEOJZLFW-KBPBESRZSA-N 1 2 309.373 1.188 20 30 DDEDLO Cc1nc(N[C@@H](C)C2CCN(C(=O)[C@@H](C)C#N)CC2)cc[nH+]1 ZINC001061826293 751106154 /nfs/dbraw/zinc/10/61/54/751106154.db2.gz IJSRIVBIFJPGOG-RYUDHWBXSA-N 1 2 301.394 1.984 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@]1(C)C[N@H+](CC#CC)CCO1 ZINC001107967346 751117798 /nfs/dbraw/zinc/11/77/98/751117798.db2.gz RJNIWMFNBSRBEV-GOSISDBHSA-N 1 2 304.434 1.985 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001107967346 751117803 /nfs/dbraw/zinc/11/78/03/751117803.db2.gz RJNIWMFNBSRBEV-GOSISDBHSA-N 1 2 304.434 1.985 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1c(C)occc1=O ZINC001032512694 751144415 /nfs/dbraw/zinc/14/44/15/751144415.db2.gz ZZHWWLKAUOJRKJ-KBPBESRZSA-N 1 2 318.373 1.188 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1c(C)occc1=O ZINC001032512694 751144416 /nfs/dbraw/zinc/14/44/16/751144416.db2.gz ZZHWWLKAUOJRKJ-KBPBESRZSA-N 1 2 318.373 1.188 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncc3n2CCCC3)C1 ZINC001108408559 762102659 /nfs/dbraw/zinc/10/26/59/762102659.db2.gz KSADHOZUPGXJBT-QGZVFWFLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncc3n2CCCC3)C1 ZINC001108408559 762102661 /nfs/dbraw/zinc/10/26/61/762102661.db2.gz KSADHOZUPGXJBT-QGZVFWFLSA-N 1 2 318.421 1.226 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)c(OC)c1 ZINC001032642984 752442824 /nfs/dbraw/zinc/44/28/24/752442824.db2.gz MFGRFANLASXFDF-KBPBESRZSA-N 1 2 302.349 1.756 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)c(OC)c1 ZINC001032642984 752442828 /nfs/dbraw/zinc/44/28/28/752442828.db2.gz MFGRFANLASXFDF-KBPBESRZSA-N 1 2 302.349 1.756 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N2CCCC2)nc1 ZINC001032685881 752722548 /nfs/dbraw/zinc/72/25/48/752722548.db2.gz CIKCTQQPPYARIP-IRXDYDNUSA-N 1 2 324.428 1.604 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N2CCCC2)nc1 ZINC001032685881 752722553 /nfs/dbraw/zinc/72/25/53/752722553.db2.gz CIKCTQQPPYARIP-IRXDYDNUSA-N 1 2 324.428 1.604 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](Cc3cncn3C)C2)C1 ZINC001008438488 752727652 /nfs/dbraw/zinc/72/76/52/752727652.db2.gz ZMUOEUDVXQEULG-CQSZACIVSA-N 1 2 302.422 1.857 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](Cc3cncn3C)C2)C1 ZINC001008438488 752727660 /nfs/dbraw/zinc/72/76/60/752727660.db2.gz ZMUOEUDVXQEULG-CQSZACIVSA-N 1 2 302.422 1.857 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cccnn2)cc1 ZINC001032696510 752734263 /nfs/dbraw/zinc/73/42/63/752734263.db2.gz KYQJPODBUUDSMB-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cccnn2)cc1 ZINC001032696510 752734265 /nfs/dbraw/zinc/73/42/65/752734265.db2.gz KYQJPODBUUDSMB-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO CN(C[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1)c1ccc(C#N)nc1 ZINC001062139071 752785227 /nfs/dbraw/zinc/78/52/27/752785227.db2.gz STIHYHLPGLTXGL-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001060887777 753152943 /nfs/dbraw/zinc/15/29/43/753152943.db2.gz QBRLRJRPAGTZCW-CYBMUJFWSA-N 1 2 310.361 1.110 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C2CC2)s1 ZINC001032743858 753436956 /nfs/dbraw/zinc/43/69/56/753436956.db2.gz ISCPBGYICPBAPL-STQMWFEESA-N 1 2 301.415 1.943 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C2CC2)s1 ZINC001032743858 753436960 /nfs/dbraw/zinc/43/69/60/753436960.db2.gz ISCPBGYICPBAPL-STQMWFEESA-N 1 2 301.415 1.943 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cncc(COC)c2)C1 ZINC001108001496 753467121 /nfs/dbraw/zinc/46/71/21/753467121.db2.gz FXYHXXAWBOVORL-QGZVFWFLSA-N 1 2 319.405 1.235 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cncc(COC)c2)C1 ZINC001108001496 753467123 /nfs/dbraw/zinc/46/71/23/753467123.db2.gz FXYHXXAWBOVORL-QGZVFWFLSA-N 1 2 319.405 1.235 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)c(CCC)c2)C1 ZINC001077997158 753609298 /nfs/dbraw/zinc/60/92/98/753609298.db2.gz SAFXPTCWXOPHMH-HZPDHXFCSA-N 1 2 320.820 1.701 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)c(CCC)c2)C1 ZINC001077997158 753609301 /nfs/dbraw/zinc/60/93/01/753609301.db2.gz SAFXPTCWXOPHMH-HZPDHXFCSA-N 1 2 320.820 1.701 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001078096252 753728812 /nfs/dbraw/zinc/72/88/12/753728812.db2.gz MSRUNDCTJKQGDX-LBPRGKRZSA-N 1 2 306.410 1.628 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H](NC(=O)c3cnns3)C2)c1 ZINC001010320467 753760480 /nfs/dbraw/zinc/76/04/80/753760480.db2.gz WFBGMHKYWOZWCQ-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H](NC(=O)c3cnns3)C2)c1 ZINC001010320467 753760482 /nfs/dbraw/zinc/76/04/82/753760482.db2.gz WFBGMHKYWOZWCQ-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001078243076 753884028 /nfs/dbraw/zinc/88/40/28/753884028.db2.gz RQXZUQHROIWVHU-RCCFBDPRSA-N 1 2 312.413 1.291 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001078243076 753884035 /nfs/dbraw/zinc/88/40/35/753884035.db2.gz RQXZUQHROIWVHU-RCCFBDPRSA-N 1 2 312.413 1.291 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[C@H](C)NC(=O)Cc2c[nH+]cn2C)C1 ZINC001078273092 753910337 /nfs/dbraw/zinc/91/03/37/753910337.db2.gz ZYLAWGDDXDFPMP-ZDUSSCGKSA-N 1 2 318.421 1.330 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2c(C)nc(C)[nH]c2=O)C1 ZINC001016240444 754115373 /nfs/dbraw/zinc/11/53/73/754115373.db2.gz AHKXPJYDFVAEHJ-GFCCVEGCSA-N 1 2 324.812 1.285 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2c(C)nc(C)[nH]c2=O)C1 ZINC001016240444 754115377 /nfs/dbraw/zinc/11/53/77/754115377.db2.gz AHKXPJYDFVAEHJ-GFCCVEGCSA-N 1 2 324.812 1.285 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001063396750 754127494 /nfs/dbraw/zinc/12/74/94/754127494.db2.gz MEHVACDBWZUNNT-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001063396750 754127497 /nfs/dbraw/zinc/12/74/97/754127497.db2.gz MEHVACDBWZUNNT-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO Cc1nc(NC[C@H]2CCCN2C(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001063466998 754165482 /nfs/dbraw/zinc/16/54/82/754165482.db2.gz CARSIJXAEGPGMC-CYBMUJFWSA-N 1 2 310.361 1.702 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2c1cccc2Cl ZINC001032810028 754188114 /nfs/dbraw/zinc/18/81/14/754188114.db2.gz ONAFAJCQYZJIEH-SLEUVZQESA-N 1 2 300.789 1.898 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2c1cccc2Cl ZINC001032810028 754188118 /nfs/dbraw/zinc/18/81/18/754188118.db2.gz ONAFAJCQYZJIEH-SLEUVZQESA-N 1 2 300.789 1.898 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1cccc(F)c1 ZINC001032810582 754191309 /nfs/dbraw/zinc/19/13/09/754191309.db2.gz GXPMEKXGVAPLGB-JQFCIGGWSA-N 1 2 300.377 1.923 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1cccc(F)c1 ZINC001032810582 754191311 /nfs/dbraw/zinc/19/13/11/754191311.db2.gz GXPMEKXGVAPLGB-JQFCIGGWSA-N 1 2 300.377 1.923 20 30 DDEDLO C#CC[NH2+][C@H]1C[C@H](NC(=O)c2cncc3nc[nH]c32)C12CCC2 ZINC001078631415 754239178 /nfs/dbraw/zinc/23/91/78/754239178.db2.gz GLBLWMNYKGMHLD-KBPBESRZSA-N 1 2 309.373 1.222 20 30 DDEDLO N#CCN[C@@H]1C[C@@H](NC(=O)c2cccc3[nH+]ccn32)C12CCC2 ZINC001078681314 754298386 /nfs/dbraw/zinc/29/83/86/754298386.db2.gz YZKRKMQTTGRXHE-ZIAGYGMSSA-N 1 2 309.373 1.488 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)CC[C@@H]1Nc1ccc(C#N)cn1 ZINC001063754395 754331112 /nfs/dbraw/zinc/33/11/12/754331112.db2.gz SFRHGQVXVDNJFY-HIFRSBDPSA-N 1 2 324.388 1.499 20 30 DDEDLO CC(C)C#CC(=O)NC1(CNC(=O)CCn2cc[nH+]c2)CCC1 ZINC001063786373 754346836 /nfs/dbraw/zinc/34/68/36/754346836.db2.gz BWYYGZOFPDKVGR-UHFFFAOYSA-N 1 2 316.405 1.088 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H](C)CCNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001078754225 754351283 /nfs/dbraw/zinc/35/12/83/754351283.db2.gz RTHUIPYKFVKWFC-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](N(C)C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001079092516 754421073 /nfs/dbraw/zinc/42/10/73/754421073.db2.gz GTVJAYJGROAZJQ-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccncc2CC)C1 ZINC001108039326 754460966 /nfs/dbraw/zinc/46/09/66/754460966.db2.gz BEXSJQIMZBTKTG-GOSISDBHSA-N 1 2 315.417 1.488 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccncc2CC)C1 ZINC001108039326 754460968 /nfs/dbraw/zinc/46/09/68/754460968.db2.gz BEXSJQIMZBTKTG-GOSISDBHSA-N 1 2 315.417 1.488 20 30 DDEDLO Cc1nc(N2CCC[C@@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)cc[nH+]1 ZINC001064557778 754712335 /nfs/dbraw/zinc/71/23/35/754712335.db2.gz RXPXSRFDTVALPJ-SUMWQHHRSA-N 1 2 313.405 1.764 20 30 DDEDLO Cc1nc(N2CCC[C@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)cc[nH+]1 ZINC001064557776 754712488 /nfs/dbraw/zinc/71/24/88/754712488.db2.gz RXPXSRFDTVALPJ-DYVFJYSZSA-N 1 2 313.405 1.764 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064701882 754779898 /nfs/dbraw/zinc/77/98/98/754779898.db2.gz OEXCLCLGXZNRFN-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ncccc2C#N)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064832247 754875098 /nfs/dbraw/zinc/87/50/98/754875098.db2.gz LNJPQQCAMLBRQT-OCCSQVGLSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080033690 755692963 /nfs/dbraw/zinc/69/29/63/755692963.db2.gz NOUJAUGLLYTNNZ-KRWDZBQOSA-N 1 2 318.421 1.545 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1ccsn1 ZINC001014875879 755994611 /nfs/dbraw/zinc/99/46/11/755994611.db2.gz TZNTVIHBJKWXBV-HNNXBMFYSA-N 1 2 311.410 1.999 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1ccsn1 ZINC001014875879 755994615 /nfs/dbraw/zinc/99/46/15/755994615.db2.gz TZNTVIHBJKWXBV-HNNXBMFYSA-N 1 2 311.410 1.999 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC2CC(F)(F)C2)[C@@H](O)C1 ZINC001090368667 756058574 /nfs/dbraw/zinc/05/85/74/756058574.db2.gz SEEICRGGIIUFJF-NEPJUHHUSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC2CC(F)(F)C2)[C@@H](O)C1 ZINC001090368667 756058581 /nfs/dbraw/zinc/05/85/81/756058581.db2.gz SEEICRGGIIUFJF-NEPJUHHUSA-N 1 2 322.783 1.726 20 30 DDEDLO Cc1nonc1C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1C ZINC001081043842 756191216 /nfs/dbraw/zinc/19/12/16/756191216.db2.gz CBRXZPSBEVNPSC-CZUORRHYSA-N 1 2 324.384 1.480 20 30 DDEDLO Cc1nonc1C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1C ZINC001081043842 756191218 /nfs/dbraw/zinc/19/12/18/756191218.db2.gz CBRXZPSBEVNPSC-CZUORRHYSA-N 1 2 324.384 1.480 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001015353311 756256929 /nfs/dbraw/zinc/25/69/29/756256929.db2.gz AFMLZCOEUBIHOR-CYBMUJFWSA-N 1 2 310.829 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001015353311 756256932 /nfs/dbraw/zinc/25/69/32/756256932.db2.gz AFMLZCOEUBIHOR-CYBMUJFWSA-N 1 2 310.829 1.468 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001067115341 756355468 /nfs/dbraw/zinc/35/54/68/756355468.db2.gz ZKJJOAYJIADWRU-SWLSCSKDSA-N 1 2 310.361 1.109 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cn(C(C)(C)C)nc2C)[C@H](OC)C1 ZINC001081655517 756412991 /nfs/dbraw/zinc/41/29/91/756412991.db2.gz XMEGWKUIYGJDEG-HUUCEWRRSA-N 1 2 318.421 1.009 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cn(C(C)(C)C)nc2C)[C@H](OC)C1 ZINC001081655517 756412993 /nfs/dbraw/zinc/41/29/93/756412993.db2.gz XMEGWKUIYGJDEG-HUUCEWRRSA-N 1 2 318.421 1.009 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2conc2C(C)C)[C@H](OC)C1 ZINC001081792810 756459783 /nfs/dbraw/zinc/45/97/83/756459783.db2.gz FUSXOVJUODLRPW-ZIAGYGMSSA-N 1 2 305.378 1.250 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2conc2C(C)C)[C@H](OC)C1 ZINC001081792810 756459786 /nfs/dbraw/zinc/45/97/86/756459786.db2.gz FUSXOVJUODLRPW-ZIAGYGMSSA-N 1 2 305.378 1.250 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001015819553 756570629 /nfs/dbraw/zinc/57/06/29/756570629.db2.gz KTIOPJDPBPJTGQ-INIZCTEOSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001015819553 756570633 /nfs/dbraw/zinc/57/06/33/756570633.db2.gz KTIOPJDPBPJTGQ-INIZCTEOSA-N 1 2 308.385 1.574 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3ncccn3)C2)s1 ZINC001015884624 756618453 /nfs/dbraw/zinc/61/84/53/756618453.db2.gz AISIDUYLYLZHMR-LLVKDONJSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3ncccn3)C2)s1 ZINC001015884624 756618455 /nfs/dbraw/zinc/61/84/55/756618455.db2.gz AISIDUYLYLZHMR-LLVKDONJSA-N 1 2 313.386 1.414 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001082251428 756710167 /nfs/dbraw/zinc/71/01/67/756710167.db2.gz NYIAIOHEXAVJRN-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2scc3c2OCCO3)[C@H](OC)C1 ZINC001082295488 756717946 /nfs/dbraw/zinc/71/79/46/756717946.db2.gz VVFZFKDFRVHAIP-GHMZBOCLSA-N 1 2 324.402 1.134 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2scc3c2OCCO3)[C@H](OC)C1 ZINC001082295488 756717951 /nfs/dbraw/zinc/71/79/51/756717951.db2.gz VVFZFKDFRVHAIP-GHMZBOCLSA-N 1 2 324.402 1.134 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001016105410 756764035 /nfs/dbraw/zinc/76/40/35/756764035.db2.gz VBZXJMLWWBVFNI-KBPBESRZSA-N 1 2 305.809 1.739 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001016105410 756764038 /nfs/dbraw/zinc/76/40/38/756764038.db2.gz VBZXJMLWWBVFNI-KBPBESRZSA-N 1 2 305.809 1.739 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(CC)n3)[C@H]2C1 ZINC001083107781 757140706 /nfs/dbraw/zinc/14/07/06/757140706.db2.gz XJOBJIMVWUMOHW-DLBZAZTESA-N 1 2 313.401 1.193 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(CC)n3)[C@H]2C1 ZINC001083107781 757140709 /nfs/dbraw/zinc/14/07/09/757140709.db2.gz XJOBJIMVWUMOHW-DLBZAZTESA-N 1 2 313.401 1.193 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@]2(C1)CCCN(CC#N)C2 ZINC001040443109 762537084 /nfs/dbraw/zinc/53/70/84/762537084.db2.gz HNQWMTPSFQTXSK-WMLDXEAASA-N 1 2 315.421 1.426 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@]2(C1)CCCN(CC#N)C2 ZINC001040443109 762537090 /nfs/dbraw/zinc/53/70/90/762537090.db2.gz HNQWMTPSFQTXSK-WMLDXEAASA-N 1 2 315.421 1.426 20 30 DDEDLO CSCC(=O)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084172346 757355097 /nfs/dbraw/zinc/35/50/97/757355097.db2.gz KKCPDTDPIISLQL-IAGOWNOFSA-N 1 2 314.454 1.934 20 30 DDEDLO CSCC(=O)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084172346 757355107 /nfs/dbraw/zinc/35/51/07/757355107.db2.gz KKCPDTDPIISLQL-IAGOWNOFSA-N 1 2 314.454 1.934 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cn(C)nc3C)[C@@H]2C1 ZINC001084234771 757435333 /nfs/dbraw/zinc/43/53/33/757435333.db2.gz AYVSIQCHEFMGAH-TZMCWYRMSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cn(C)nc3C)[C@@H]2C1 ZINC001084234771 757435339 /nfs/dbraw/zinc/43/53/39/757435339.db2.gz AYVSIQCHEFMGAH-TZMCWYRMSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(C[C@@H]2NC(=O)Cc2nnc[nH]2)CC1 ZINC001016916740 757470453 /nfs/dbraw/zinc/47/04/53/757470453.db2.gz VZJJKWLWNOQWJM-NSHDSACASA-N 1 2 309.801 1.070 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001097793830 757529636 /nfs/dbraw/zinc/52/96/36/757529636.db2.gz GCGPESOZHFYUJA-SJKOYZFVSA-N 1 2 318.421 1.906 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC(=O)N(C2C[NH+](CC=C(C)C)C2)C1 ZINC001108517289 762559862 /nfs/dbraw/zinc/55/98/62/762559862.db2.gz FWBUZPCTGBRQBA-AWEZNQCLSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001052866775 757903237 /nfs/dbraw/zinc/90/32/37/757903237.db2.gz JSYNGBUFCAPKJH-HUUCEWRRSA-N 1 2 318.421 1.450 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C(N)=O)cs3)[C@@H]2C1 ZINC001084856084 757982931 /nfs/dbraw/zinc/98/29/31/757982931.db2.gz RMMBHONJNNICNW-DGCLKSJQSA-N 1 2 317.414 1.017 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C(N)=O)cs3)[C@@H]2C1 ZINC001084856084 757982935 /nfs/dbraw/zinc/98/29/35/757982935.db2.gz RMMBHONJNNICNW-DGCLKSJQSA-N 1 2 317.414 1.017 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(F)F)nc1 ZINC001017603801 758070152 /nfs/dbraw/zinc/07/01/52/758070152.db2.gz VDHKGTLHLIPAML-BETUJISGSA-N 1 2 305.328 1.941 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(F)F)nc1 ZINC001017603801 758070162 /nfs/dbraw/zinc/07/01/62/758070162.db2.gz VDHKGTLHLIPAML-BETUJISGSA-N 1 2 305.328 1.941 20 30 DDEDLO Cc1ccccc1C[NH+]1CC2(C1)CN(C(=O)[C@@H](C)C#N)CCO2 ZINC001053191113 758248950 /nfs/dbraw/zinc/24/89/50/758248950.db2.gz MGOMUINDTKPHMH-HNNXBMFYSA-N 1 2 313.401 1.568 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1c(C)noc1C)CCO2 ZINC001053530298 758537119 /nfs/dbraw/zinc/53/71/19/758537119.db2.gz SXCPFZWDOYYIPC-UHFFFAOYSA-N 1 2 319.405 1.323 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cc1cnn(C)c1 ZINC001018120992 758563125 /nfs/dbraw/zinc/56/31/25/758563125.db2.gz ARWZKBBOXCTXHY-OIISXLGYSA-N 1 2 314.433 1.297 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cc1cnn(C)c1 ZINC001018120992 758563130 /nfs/dbraw/zinc/56/31/30/758563130.db2.gz ARWZKBBOXCTXHY-OIISXLGYSA-N 1 2 314.433 1.297 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnn(CC)c1 ZINC001018167020 758593795 /nfs/dbraw/zinc/59/37/95/758593795.db2.gz AEQYZEFBVCGDAY-IYBDPMFKSA-N 1 2 300.406 1.144 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnn(CC)c1 ZINC001018167020 758593803 /nfs/dbraw/zinc/59/38/03/758593803.db2.gz AEQYZEFBVCGDAY-IYBDPMFKSA-N 1 2 300.406 1.144 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1=COCCC1)O2 ZINC001053582064 758594230 /nfs/dbraw/zinc/59/42/30/758594230.db2.gz YXHNZQLYLZJQMQ-HNNXBMFYSA-N 1 2 306.406 1.606 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCCOCC1)O2 ZINC001053595927 758616240 /nfs/dbraw/zinc/61/62/40/758616240.db2.gz GZFOSGMUQICQFI-CVEARBPZSA-N 1 2 322.449 1.729 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(C)cncc1C)O2 ZINC001053629621 758647829 /nfs/dbraw/zinc/64/78/29/758647829.db2.gz AILGFWFELGIYPD-OAHLLOKOSA-N 1 2 315.417 1.848 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncc(C)cc1C)O2 ZINC001053632006 758648797 /nfs/dbraw/zinc/64/87/97/758648797.db2.gz OWGAGOVAOWDPDW-HNNXBMFYSA-N 1 2 315.417 1.848 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1[nH]c(C)nc1C)O2 ZINC001053660076 758673089 /nfs/dbraw/zinc/67/30/89/758673089.db2.gz FQIUWSCYUANHPD-ZDUSSCGKSA-N 1 2 304.394 1.176 20 30 DDEDLO CCCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC001065688440 758707986 /nfs/dbraw/zinc/70/79/86/758707986.db2.gz HRKGAGYKHKMVBH-LSDHHAIUSA-N 1 2 320.437 1.081 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)/C(C)=C\C)CC2)C1 ZINC001065690916 758709339 /nfs/dbraw/zinc/70/93/39/758709339.db2.gz GNEXHFBAAQCBCG-DNBBOTNYSA-N 1 2 319.449 1.664 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cncnc1CC)O2 ZINC001053699713 758709555 /nfs/dbraw/zinc/70/95/55/758709555.db2.gz ILRLDSYCPNMNSI-ZDUSSCGKSA-N 1 2 316.405 1.188 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(=O)[C@H](C)CC)C2)CC1 ZINC001018358154 758757035 /nfs/dbraw/zinc/75/70/35/758757035.db2.gz MHHZTANQYAICBV-HZPDHXFCSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1cc(C)on1)CO2 ZINC001053759332 758776424 /nfs/dbraw/zinc/77/64/24/758776424.db2.gz IHEIUXZSVSIYDP-CQSZACIVSA-N 1 2 305.378 1.061 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)n1cccc1)CO2 ZINC001053777422 758799413 /nfs/dbraw/zinc/79/94/13/758799413.db2.gz KYTLLNHXTXLJMW-LSDHHAIUSA-N 1 2 303.406 1.585 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1cccnc1)CO2 ZINC001053776803 758799604 /nfs/dbraw/zinc/79/96/04/758799604.db2.gz CLUREOHYCOMGNC-HNNXBMFYSA-N 1 2 301.390 1.160 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)c1ccnn1C)CO2 ZINC001053804454 758832216 /nfs/dbraw/zinc/83/22/16/758832216.db2.gz UWEISJWQDICBCD-ZIAGYGMSSA-N 1 2 318.421 1.059 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccc(C)cc1)CO2 ZINC001053865044 758899376 /nfs/dbraw/zinc/89/93/76/758899376.db2.gz PTPPBXZJAYPWED-KRWDZBQOSA-N 1 2 312.413 1.520 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccnc1C)CO2 ZINC001053909986 758945234 /nfs/dbraw/zinc/94/52/34/758945234.db2.gz LUPMORPQNHUKRP-CQSZACIVSA-N 1 2 301.390 1.539 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2COC3(C[NH+](CC(=C)C)C3)C2)c1 ZINC001053918411 758953683 /nfs/dbraw/zinc/95/36/83/758953683.db2.gz METADGGLFZYFKC-MRXNPFEDSA-N 1 2 311.385 1.212 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccncc1Cl)CO2 ZINC001053938342 758973991 /nfs/dbraw/zinc/97/39/91/758973991.db2.gz RLNHOARDBOPKMT-GFCCVEGCSA-N 1 2 319.792 1.331 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C(C1CC1)C1CC1)CO2 ZINC001053980005 759018740 /nfs/dbraw/zinc/01/87/40/759018740.db2.gz FKNDTINTRMGBEP-HNNXBMFYSA-N 1 2 304.434 1.958 20 30 DDEDLO Cc1nsc(N[C@@H](C)CNC(=O)Cn2cc[nH+]c2)c1C#N ZINC001097922782 759025688 /nfs/dbraw/zinc/02/56/88/759025688.db2.gz ZMLXVWCTQVNZNK-VIFPVBQESA-N 1 2 304.379 1.137 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc(OC)c1)CO2 ZINC001053990473 759036137 /nfs/dbraw/zinc/03/61/37/759036137.db2.gz BSOAJQUOLNTNBB-HNNXBMFYSA-N 1 2 316.401 1.844 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccncc1 ZINC001054028931 759080912 /nfs/dbraw/zinc/08/09/12/759080912.db2.gz AKAFGGCJUULALW-SFHVURJKSA-N 1 2 305.381 1.872 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccncc1 ZINC001054028931 759080919 /nfs/dbraw/zinc/08/09/19/759080919.db2.gz AKAFGGCJUULALW-SFHVURJKSA-N 1 2 305.381 1.872 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1CCCOC1 ZINC001054034186 759088453 /nfs/dbraw/zinc/08/84/53/759088453.db2.gz JPLMVPFIVHJJMM-ROUUACIJSA-N 1 2 312.413 1.589 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1CCCOC1 ZINC001054034186 759088461 /nfs/dbraw/zinc/08/84/61/759088461.db2.gz JPLMVPFIVHJJMM-ROUUACIJSA-N 1 2 312.413 1.589 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@]2(CC[N@H+](Cc3nncs3)C2)C1 ZINC001054101668 759165754 /nfs/dbraw/zinc/16/57/54/759165754.db2.gz CDKPOANGSJTAMV-IUODEOHRSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@]2(CC[N@@H+](Cc3nncs3)C2)C1 ZINC001054101668 759165758 /nfs/dbraw/zinc/16/57/58/759165758.db2.gz CDKPOANGSJTAMV-IUODEOHRSA-N 1 2 319.434 1.512 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2snnc2C)C[C@@H]1O ZINC001099960417 759233436 /nfs/dbraw/zinc/23/34/36/759233436.db2.gz UDSLZGLXKRGEJT-RYUDHWBXSA-N 1 2 324.450 1.110 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2snnc2C)C[C@@H]1O ZINC001099960417 759233440 /nfs/dbraw/zinc/23/34/40/759233440.db2.gz UDSLZGLXKRGEJT-RYUDHWBXSA-N 1 2 324.450 1.110 20 30 DDEDLO C#CCC[N@@H+](CCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001098371188 759237329 /nfs/dbraw/zinc/23/73/29/759237329.db2.gz KMGVJJRQRYJOKQ-GFCCVEGCSA-N 1 2 324.318 1.195 20 30 DDEDLO C#CCC[N@H+](CCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001098371188 759237335 /nfs/dbraw/zinc/23/73/35/759237335.db2.gz KMGVJJRQRYJOKQ-GFCCVEGCSA-N 1 2 324.318 1.195 20 30 DDEDLO C#CCC[N@@H+](CCO)C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001098371188 759237342 /nfs/dbraw/zinc/23/73/42/759237342.db2.gz KMGVJJRQRYJOKQ-GFCCVEGCSA-N 1 2 324.318 1.195 20 30 DDEDLO C#CCC[N@H+](CCO)C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001098371188 759237349 /nfs/dbraw/zinc/23/73/49/759237349.db2.gz KMGVJJRQRYJOKQ-GFCCVEGCSA-N 1 2 324.318 1.195 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C(C)(C)CC)CC2=O)C1 ZINC001108568505 762696793 /nfs/dbraw/zinc/69/67/93/762696793.db2.gz VFHVNQOGGHKTBO-ZDUSSCGKSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccc(OC)nc3)cc2C1 ZINC001054273271 759377416 /nfs/dbraw/zinc/37/74/16/759377416.db2.gz BJLCWKXVJRGDKE-UHFFFAOYSA-N 1 2 321.380 1.969 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccc(OC)nc3)cc2C1 ZINC001054273271 759377429 /nfs/dbraw/zinc/37/74/29/759377429.db2.gz BJLCWKXVJRGDKE-UHFFFAOYSA-N 1 2 321.380 1.969 20 30 DDEDLO C=CC[N@H+]1Cc2ccc(CNC(=O)c3cn(C)ccc3=O)cc2C1 ZINC001054273670 759380494 /nfs/dbraw/zinc/38/04/94/759380494.db2.gz WOWKZQXNEAHYAC-UHFFFAOYSA-N 1 2 323.396 1.817 20 30 DDEDLO C=CC[N@@H+]1Cc2ccc(CNC(=O)c3cn(C)ccc3=O)cc2C1 ZINC001054273670 759380505 /nfs/dbraw/zinc/38/05/05/759380505.db2.gz WOWKZQXNEAHYAC-UHFFFAOYSA-N 1 2 323.396 1.817 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)C2CC=CC2)C1=O ZINC001085526733 759628015 /nfs/dbraw/zinc/62/80/15/759628015.db2.gz IYXZGXQBFYKXFO-HOTGVXAUSA-N 1 2 317.433 1.272 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C2CC=CC2)C1=O ZINC001085526733 759628020 /nfs/dbraw/zinc/62/80/20/759628020.db2.gz IYXZGXQBFYKXFO-HOTGVXAUSA-N 1 2 317.433 1.272 20 30 DDEDLO Cc1nocc1C[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534131 759644796 /nfs/dbraw/zinc/64/47/96/759644796.db2.gz BXTWQUHCODDCDC-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nocc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534131 759644799 /nfs/dbraw/zinc/64/47/99/759644799.db2.gz BXTWQUHCODDCDC-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001085596819 759805478 /nfs/dbraw/zinc/80/54/78/759805478.db2.gz JZHKNEMGGPMKCI-GJZGRUSLSA-N 1 2 302.422 1.938 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001085596819 759805484 /nfs/dbraw/zinc/80/54/84/759805484.db2.gz JZHKNEMGGPMKCI-GJZGRUSLSA-N 1 2 302.422 1.938 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001085596448 759806653 /nfs/dbraw/zinc/80/66/53/759806653.db2.gz BNCIQXTUEAZAAK-KBPBESRZSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001085596448 759806654 /nfs/dbraw/zinc/80/66/54/759806654.db2.gz BNCIQXTUEAZAAK-KBPBESRZSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2n1[C@H](C)CCC2 ZINC001085609409 759829076 /nfs/dbraw/zinc/82/90/76/759829076.db2.gz QWUBFBKLQMXQGQ-ZIAGYGMSSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2n1[C@H](C)CCC2 ZINC001085609409 759829083 /nfs/dbraw/zinc/82/90/83/759829083.db2.gz QWUBFBKLQMXQGQ-ZIAGYGMSSA-N 1 2 300.406 1.560 20 30 DDEDLO COc1ccccc1-n1c[nH+]c2cc(N[C@@H](C#N)C(N)=O)ccc21 ZINC001170542206 767898629 /nfs/dbraw/zinc/89/86/29/767898629.db2.gz IZHKUFULRJWZIO-ZDUSSCGKSA-N 1 2 321.340 1.823 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncnc2ccsc21 ZINC001085633971 759896295 /nfs/dbraw/zinc/89/62/95/759896295.db2.gz FHBYZUPNFAHWQM-LLVKDONJSA-N 1 2 300.387 1.471 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncnc2ccsc21 ZINC001085633971 759896304 /nfs/dbraw/zinc/89/63/04/759896304.db2.gz FHBYZUPNFAHWQM-LLVKDONJSA-N 1 2 300.387 1.471 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1sc2nccn2c1C ZINC001085645427 759925810 /nfs/dbraw/zinc/92/58/10/759925810.db2.gz ULODGGOPHHYHKV-CYBMUJFWSA-N 1 2 316.430 1.874 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1sc2nccn2c1C ZINC001085645427 759925813 /nfs/dbraw/zinc/92/58/13/759925813.db2.gz ULODGGOPHHYHKV-CYBMUJFWSA-N 1 2 316.430 1.874 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1coc(-c2ccccn2)n1 ZINC001085696541 760033885 /nfs/dbraw/zinc/03/38/85/760033885.db2.gz MGSVCBHJRIZBPS-CYBMUJFWSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1coc(-c2ccccn2)n1 ZINC001085696541 760033895 /nfs/dbraw/zinc/03/38/95/760033895.db2.gz MGSVCBHJRIZBPS-CYBMUJFWSA-N 1 2 310.357 1.516 20 30 DDEDLO Cc1nc(NCC[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001066308066 760172958 /nfs/dbraw/zinc/17/29/58/760172958.db2.gz PJMDVCXGPQRAJJ-ZDUSSCGKSA-N 1 2 324.388 1.949 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001085776914 760221693 /nfs/dbraw/zinc/22/16/93/760221693.db2.gz DZPVXDCQYIZBPJ-OCCSQVGLSA-N 1 2 302.378 1.041 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001085776914 760221696 /nfs/dbraw/zinc/22/16/96/760221696.db2.gz DZPVXDCQYIZBPJ-OCCSQVGLSA-N 1 2 302.378 1.041 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(OC(C)C)n1 ZINC001085898952 760489411 /nfs/dbraw/zinc/48/94/11/760489411.db2.gz QMXIBCAWTGWIRD-CQSZACIVSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(OC(C)C)n1 ZINC001085898952 760489415 /nfs/dbraw/zinc/48/94/15/760489415.db2.gz QMXIBCAWTGWIRD-CQSZACIVSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001085907281 760502416 /nfs/dbraw/zinc/50/24/16/760502416.db2.gz OUHKOHIUUVFUEQ-INIZCTEOSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001085907281 760502424 /nfs/dbraw/zinc/50/24/24/760502424.db2.gz OUHKOHIUUVFUEQ-INIZCTEOSA-N 1 2 323.400 1.641 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C/C=C/Cl)cn1 ZINC001085933770 760564721 /nfs/dbraw/zinc/56/47/21/760564721.db2.gz KIOHGDRDQFCFIW-LWUPOJRFSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2C/C=C/Cl)cn1 ZINC001085933770 760564725 /nfs/dbraw/zinc/56/47/25/760564725.db2.gz KIOHGDRDQFCFIW-LWUPOJRFSA-N 1 2 303.793 1.962 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)cnn1 ZINC001038194455 760909414 /nfs/dbraw/zinc/90/94/14/760909414.db2.gz BIIQLAASACYSPO-QGZVFWFLSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)cnn1 ZINC001038194455 760909418 /nfs/dbraw/zinc/90/94/18/760909418.db2.gz BIIQLAASACYSPO-QGZVFWFLSA-N 1 2 321.384 1.661 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CNC(=O)c1cnc([C@H](C)OC)s1 ZINC001038246218 760942985 /nfs/dbraw/zinc/94/29/85/760942985.db2.gz BPNZYOZAXFBARY-NWDGAFQWSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc([C@H](C)OC)s1 ZINC001038246218 760942991 /nfs/dbraw/zinc/94/29/91/760942991.db2.gz BPNZYOZAXFBARY-NWDGAFQWSA-N 1 2 307.419 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001046867320 767996432 /nfs/dbraw/zinc/99/64/32/767996432.db2.gz ZLCCLFZDFLNIDH-OAHLLOKOSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001046867320 767996433 /nfs/dbraw/zinc/99/64/33/767996433.db2.gz ZLCCLFZDFLNIDH-OAHLLOKOSA-N 1 2 322.796 1.127 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@H]1CCN2C(=O)Cn1cc[nH+]c1 ZINC001056298334 761101693 /nfs/dbraw/zinc/10/16/93/761101693.db2.gz PXCBTVGFUZMCAE-LSDHHAIUSA-N 1 2 316.405 1.441 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C)n([C@@H](C)CC)n1 ZINC001038443747 761123251 /nfs/dbraw/zinc/12/32/51/761123251.db2.gz WRBDIEORWIZENN-ZFWWWQNUSA-N 1 2 302.422 1.990 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)n([C@@H](C)CC)n1 ZINC001038443747 761123252 /nfs/dbraw/zinc/12/32/52/761123252.db2.gz WRBDIEORWIZENN-ZFWWWQNUSA-N 1 2 302.422 1.990 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn(CCC)c2)C1 ZINC001108250998 761144861 /nfs/dbraw/zinc/14/48/61/761144861.db2.gz YZADAOXJWTXBNX-INIZCTEOSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn(CCC)c2)C1 ZINC001108250998 761144863 /nfs/dbraw/zinc/14/48/63/761144863.db2.gz YZADAOXJWTXBNX-INIZCTEOSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001038707321 761309535 /nfs/dbraw/zinc/30/95/35/761309535.db2.gz FWKQXKFHPSFVLD-FMKPAKJESA-N 1 2 319.405 1.573 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001038707321 761309540 /nfs/dbraw/zinc/30/95/40/761309540.db2.gz FWKQXKFHPSFVLD-FMKPAKJESA-N 1 2 319.405 1.573 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2CCCN(C(=O)Cn3cc[nH+]c3)[C@@H]2C1 ZINC001056682588 761338977 /nfs/dbraw/zinc/33/89/77/761338977.db2.gz FVDLNTYOIGYDET-LSDHHAIUSA-N 1 2 316.405 1.299 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc3cccnn32)C1 ZINC001108261703 761426012 /nfs/dbraw/zinc/42/60/12/761426012.db2.gz DCIRSUTWJHQURI-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc3cccnn32)C1 ZINC001108261703 761426016 /nfs/dbraw/zinc/42/60/16/761426016.db2.gz DCIRSUTWJHQURI-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO N#Cc1c(F)cccc1N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001056790385 761432316 /nfs/dbraw/zinc/43/23/16/761432316.db2.gz ANLXHFKGFQPTLV-LLVKDONJSA-N 1 2 313.336 1.676 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(-n2cccc2)ccn1 ZINC001038900707 761525228 /nfs/dbraw/zinc/52/52/28/761525228.db2.gz SEBMKUYXIZALBV-MRXNPFEDSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(-n2cccc2)ccn1 ZINC001038900707 761525234 /nfs/dbraw/zinc/52/52/34/761525234.db2.gz SEBMKUYXIZALBV-MRXNPFEDSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(OC)cc1OC ZINC001038960106 761594143 /nfs/dbraw/zinc/59/41/43/761594143.db2.gz GBHUQRSGCTUPSL-ZDUSSCGKSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(OC)cc1OC ZINC001038960106 761594150 /nfs/dbraw/zinc/59/41/50/761594150.db2.gz GBHUQRSGCTUPSL-ZDUSSCGKSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(NC(C)=O)ccc1F ZINC001039075068 761713296 /nfs/dbraw/zinc/71/32/96/761713296.db2.gz YOUTUZVQNYSQDM-AWEZNQCLSA-N 1 2 317.364 1.612 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(NC(C)=O)ccc1F ZINC001039075068 761713302 /nfs/dbraw/zinc/71/33/02/761713302.db2.gz YOUTUZVQNYSQDM-AWEZNQCLSA-N 1 2 317.364 1.612 20 30 DDEDLO C=CCOCCCC(=O)NCCC[NH2+][C@H](C)c1noc(C)n1 ZINC001156159903 761832261 /nfs/dbraw/zinc/83/22/61/761832261.db2.gz JTQYBXPOJKRHOG-GFCCVEGCSA-N 1 2 310.398 1.518 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CCCCCC)CC2=O)C1 ZINC001108588771 762772969 /nfs/dbraw/zinc/77/29/69/762772969.db2.gz JBCKYCPWUJOSDJ-OAHLLOKOSA-N 1 2 321.465 1.934 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001108707220 762877038 /nfs/dbraw/zinc/87/70/38/762877038.db2.gz ACJOWHQQERVLMG-NILFDRSVSA-N 1 2 324.388 1.407 20 30 DDEDLO COCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccc(C#N)cc1F ZINC001108847235 762986419 /nfs/dbraw/zinc/98/64/19/762986419.db2.gz NWIQFCNPCOYLGF-KBMXLJTQSA-N 1 2 317.364 1.565 20 30 DDEDLO COCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(C#N)cc1F ZINC001108847235 762986422 /nfs/dbraw/zinc/98/64/22/762986422.db2.gz NWIQFCNPCOYLGF-KBMXLJTQSA-N 1 2 317.364 1.565 20 30 DDEDLO Cn1ccnc1C[N@@H+]1C[C@@H]2CCCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001050045259 763057180 /nfs/dbraw/zinc/05/71/80/763057180.db2.gz ZINQWSKXVKVQHS-JKSUJKDBSA-N 1 2 312.417 1.256 20 30 DDEDLO Cn1ccnc1C[N@H+]1C[C@@H]2CCCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001050045259 763057187 /nfs/dbraw/zinc/05/71/87/763057187.db2.gz ZINQWSKXVKVQHS-JKSUJKDBSA-N 1 2 312.417 1.256 20 30 DDEDLO COc1ccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H](C)C#N)C3)cn1 ZINC001109079014 763315854 /nfs/dbraw/zinc/31/58/54/763315854.db2.gz VMYGJZUBLPZSGE-RZFFKMDDSA-N 1 2 314.389 1.471 20 30 DDEDLO COc1ccc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H](C)C#N)C3)cn1 ZINC001109079014 763315858 /nfs/dbraw/zinc/31/58/58/763315858.db2.gz VMYGJZUBLPZSGE-RZFFKMDDSA-N 1 2 314.389 1.471 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1ccnn1)C2 ZINC001109199267 763438270 /nfs/dbraw/zinc/43/82/70/763438270.db2.gz UPCUZAACGOGTGR-AGIUHOORSA-N 1 2 309.801 1.142 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1ccnn1)C2 ZINC001109199267 763438276 /nfs/dbraw/zinc/43/82/76/763438276.db2.gz UPCUZAACGOGTGR-AGIUHOORSA-N 1 2 309.801 1.142 20 30 DDEDLO Cc1ccc(C#N)c(NC2CC(N(C)C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001069797664 768147593 /nfs/dbraw/zinc/14/75/93/768147593.db2.gz DSFFTIXDAUVPCJ-UHFFFAOYSA-N 1 2 324.388 1.629 20 30 DDEDLO Cc1nc(NCC2CCN(C(=O)c3cc(C#N)c[nH]3)CC2)cc[nH+]1 ZINC001057487669 763823267 /nfs/dbraw/zinc/82/32/67/763823267.db2.gz CHXBVWUVRMLOHO-UHFFFAOYSA-N 1 2 324.388 1.949 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)co1)C2 ZINC001109620929 763873606 /nfs/dbraw/zinc/87/36/06/763873606.db2.gz UPMHLKQCSGMCIM-ILXRZTDVSA-N 1 2 302.374 1.573 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)co1)C2 ZINC001109620929 763873610 /nfs/dbraw/zinc/87/36/10/763873610.db2.gz UPMHLKQCSGMCIM-ILXRZTDVSA-N 1 2 302.374 1.573 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001109634539 763886155 /nfs/dbraw/zinc/88/61/55/763886155.db2.gz PMWGDNKPGUDCNT-VDERGJSUSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001109634539 763886163 /nfs/dbraw/zinc/88/61/63/763886163.db2.gz PMWGDNKPGUDCNT-VDERGJSUSA-N 1 2 317.437 1.639 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3[nH]nc4ccccc43)C[C@H]21 ZINC001042338808 763938236 /nfs/dbraw/zinc/93/82/36/763938236.db2.gz MEZZHBZRJPMUDR-CZUORRHYSA-N 1 2 308.385 1.733 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3[nH]nc4ccccc43)C[C@H]21 ZINC001042338808 763938241 /nfs/dbraw/zinc/93/82/41/763938241.db2.gz MEZZHBZRJPMUDR-CZUORRHYSA-N 1 2 308.385 1.733 20 30 DDEDLO Cc1nc(NC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C2CC2)cc[nH+]1 ZINC001109823043 764106728 /nfs/dbraw/zinc/10/67/28/764106728.db2.gz DEMCDZNLGONEDM-CQSZACIVSA-N 1 2 310.361 1.605 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001057639147 764207683 /nfs/dbraw/zinc/20/76/83/764207683.db2.gz RTENDISACMIAHA-ZDUSSCGKSA-N 1 2 324.388 1.180 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3csnn3)c2C1 ZINC001069869235 768199495 /nfs/dbraw/zinc/19/94/95/768199495.db2.gz CZOUTDHGTQUXAL-UHFFFAOYSA-N 1 2 318.406 1.056 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3csnn3)c2C1 ZINC001069869235 768199497 /nfs/dbraw/zinc/19/94/97/768199497.db2.gz CZOUTDHGTQUXAL-UHFFFAOYSA-N 1 2 318.406 1.056 20 30 DDEDLO Cc1nc(N[C@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001057660102 764261432 /nfs/dbraw/zinc/26/14/32/764261432.db2.gz IDYNVTOYRJJJFW-HNNXBMFYSA-N 1 2 324.388 1.561 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1OCC[C@H]1C(C)C ZINC001050922500 764285090 /nfs/dbraw/zinc/28/50/90/764285090.db2.gz UOKDHNXIYVRCGG-IKGGRYGDSA-N 1 2 324.465 1.831 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1OCC[C@H]1C(C)C ZINC001050922500 764285099 /nfs/dbraw/zinc/28/50/99/764285099.db2.gz UOKDHNXIYVRCGG-IKGGRYGDSA-N 1 2 324.465 1.831 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@H](Nc2ncccc2C#N)C1 ZINC001057677159 764303577 /nfs/dbraw/zinc/30/35/77/764303577.db2.gz WGCYAHAYQWOEQS-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1C(C)C ZINC001050998488 764401776 /nfs/dbraw/zinc/40/17/76/764401776.db2.gz MQNDQLKALWBEDL-CYBMUJFWSA-N 1 2 306.410 1.540 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1C(C)C ZINC001050998488 764401778 /nfs/dbraw/zinc/40/17/78/764401778.db2.gz MQNDQLKALWBEDL-CYBMUJFWSA-N 1 2 306.410 1.540 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn2c1CCCC2 ZINC001051195589 764605662 /nfs/dbraw/zinc/60/56/62/764605662.db2.gz UWGITZHKIALAQR-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn2c1CCCC2 ZINC001051195589 764605671 /nfs/dbraw/zinc/60/56/71/764605671.db2.gz UWGITZHKIALAQR-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2=CCCCCC2)[C@@H](n2ccnn2)C1 ZINC001069916224 768227036 /nfs/dbraw/zinc/22/70/36/768227036.db2.gz LDBHNVFRQSVFPF-CVEARBPZSA-N 1 2 313.405 1.143 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2=CCCCCC2)[C@@H](n2ccnn2)C1 ZINC001069916224 768227040 /nfs/dbraw/zinc/22/70/40/768227040.db2.gz LDBHNVFRQSVFPF-CVEARBPZSA-N 1 2 313.405 1.143 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001112775320 764748008 /nfs/dbraw/zinc/74/80/08/764748008.db2.gz PXAOHDLHQGTZHF-KRWDZBQOSA-N 1 2 322.449 1.520 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001051460999 764905705 /nfs/dbraw/zinc/90/57/05/764905705.db2.gz XQEIMRIQOOVQNH-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)C2(F)CCCC2)[C@@H](n2ccnn2)C1 ZINC001069964366 768255484 /nfs/dbraw/zinc/25/54/84/768255484.db2.gz JSWHYGLHUMRDOJ-OLZOCXBDSA-N 1 2 307.373 1.088 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)C2(F)CCCC2)[C@@H](n2ccnn2)C1 ZINC001069964366 768255492 /nfs/dbraw/zinc/25/54/92/768255492.db2.gz JSWHYGLHUMRDOJ-OLZOCXBDSA-N 1 2 307.373 1.088 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@H]3CC[C@H](C)O3)C2)cc1 ZINC001043505567 764949631 /nfs/dbraw/zinc/94/96/31/764949631.db2.gz WLGVNSFINZOBNE-KBXCAEBGSA-N 1 2 312.413 1.992 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc(-c3nc[nH]n3)c2)C1 ZINC001043516642 764957365 /nfs/dbraw/zinc/95/73/65/764957365.db2.gz MMNAOFGRWXLHCM-UHFFFAOYSA-N 1 2 311.389 1.804 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc(OCCOC)nc2)CC1 ZINC001112927020 764990875 /nfs/dbraw/zinc/99/08/75/764990875.db2.gz VEOJXRGQJGXRNX-UHFFFAOYSA-N 1 2 319.405 1.441 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCN(C(C)(C)C)C2=O)C1 ZINC001043605267 765000745 /nfs/dbraw/zinc/00/07/45/765000745.db2.gz SODQUKIBULRCGO-HNNXBMFYSA-N 1 2 321.465 1.742 20 30 DDEDLO CCCCCCCCOCC(=O)N1CC[NH+](CCO)CC1 ZINC001112969680 765060797 /nfs/dbraw/zinc/06/07/97/765060797.db2.gz VXYJGPLRXQSFSM-UHFFFAOYSA-N 1 2 300.443 1.500 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@H](OCC)C2CCCC2)CC1 ZINC001113167232 765365709 /nfs/dbraw/zinc/36/57/09/765365709.db2.gz DSKSXPJHGVCXAK-QGZVFWFLSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ncccc3C)C2)CC1 ZINC001052007275 765375440 /nfs/dbraw/zinc/37/54/40/765375440.db2.gz XLTFZLMLCVWPQN-INIZCTEOSA-N 1 2 314.433 1.408 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2ccc3c(c2)COC3)C1 ZINC001044229188 765410566 /nfs/dbraw/zinc/41/05/66/765410566.db2.gz LEVSUNJMOZTIKW-UHFFFAOYSA-N 1 2 300.402 1.978 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ncccc2OC(C)C)C1 ZINC001044244353 765421439 /nfs/dbraw/zinc/42/14/39/765421439.db2.gz LMZGFNPZCQGSRO-UHFFFAOYSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)CC1 ZINC001052056871 765429336 /nfs/dbraw/zinc/42/93/36/765429336.db2.gz ICNCPWYRAVONHV-SFNKJDCFSA-N 1 2 315.461 1.274 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC[NH+](CCc2cnn(C)c2)CC1 ZINC001113230131 765442010 /nfs/dbraw/zinc/44/20/10/765442010.db2.gz XXGPNWWDTOKSRS-HUUCEWRRSA-N 1 2 304.438 1.565 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3c(c2)OCCO3)C1 ZINC001044290601 765455838 /nfs/dbraw/zinc/45/58/38/765455838.db2.gz PYLPPINYFDWRBB-UHFFFAOYSA-N 1 2 302.374 1.790 20 30 DDEDLO C[C@@H](NC(=O)CCn1cc[nH+]c1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113237976 765457550 /nfs/dbraw/zinc/45/75/50/765457550.db2.gz FYQIMVQVKJNXOJ-QWHCGFSZSA-N 1 2 312.377 1.545 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCN(C(=O)CCC)C2)C1 ZINC001044328202 765485195 /nfs/dbraw/zinc/48/51/95/765485195.db2.gz TWQKEXJCUQRINR-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2coc(C3CC3)n2)CC1 ZINC001113410236 765673201 /nfs/dbraw/zinc/67/32/01/765673201.db2.gz SYKMQOJQGXGUAJ-UHFFFAOYSA-N 1 2 305.378 1.512 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn3ccccc23)CC1 ZINC001113438878 765692067 /nfs/dbraw/zinc/69/20/67/765692067.db2.gz MJQJVOJUYNBLPW-UHFFFAOYSA-N 1 2 314.389 1.295 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113529790 765814544 /nfs/dbraw/zinc/81/45/44/765814544.db2.gz AZDHHSZSKQRUFX-CHWSQXEVSA-N 1 2 306.410 1.707 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC001052435227 765823758 /nfs/dbraw/zinc/82/37/58/765823758.db2.gz MJCWJUHZWPAAHJ-CQSZACIVSA-N 1 2 323.400 1.689 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC001052435227 765823766 /nfs/dbraw/zinc/82/37/66/765823766.db2.gz MJCWJUHZWPAAHJ-CQSZACIVSA-N 1 2 323.400 1.689 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC(NC(=O)Cc3c[nH]c[nH+]3)CC2)n1 ZINC001057959461 766158802 /nfs/dbraw/zinc/15/88/02/766158802.db2.gz FNBKLDHNRPRWNS-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CCCCC(=O)NC[C@H](C)N(C)c1[nH+]cnc2c1cnn2C ZINC001113859525 766317735 /nfs/dbraw/zinc/31/77/35/766317735.db2.gz HHPQMISBLPGLQO-LBPRGKRZSA-N 1 2 316.409 1.661 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)c(C)c(C)[nH+]1 ZINC001058320964 766400377 /nfs/dbraw/zinc/40/03/77/766400377.db2.gz PLHRQYAWYSEEAO-AWEZNQCLSA-N 1 2 324.388 1.610 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)N(C)c1ccncc1C#N ZINC001113958155 766447504 /nfs/dbraw/zinc/44/75/04/766447504.db2.gz UUUXHZBYCQWHNM-IACUBPJLSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)N(C)c1ccncc1C#N ZINC001113958155 766447507 /nfs/dbraw/zinc/44/75/07/766447507.db2.gz UUUXHZBYCQWHNM-IACUBPJLSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ncccc1C#N ZINC001067577479 766618803 /nfs/dbraw/zinc/61/88/03/766618803.db2.gz KMAJIGLHMZUQBY-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO CN(C[C@H]1CCCN1C(=O)Cn1cc[nH+]c1)c1ccc(C#N)cn1 ZINC001067765145 766689731 /nfs/dbraw/zinc/68/97/31/766689731.db2.gz FIDGGGYCODHHIP-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)c3ccc(F)cc3)CC2)C1 ZINC001045996865 766780302 /nfs/dbraw/zinc/78/03/02/766780302.db2.gz JLFAWXYREXVXAA-INIZCTEOSA-N 1 2 316.380 1.181 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C(F)=C3CCCC3)CC2)C1 ZINC001046020324 766819284 /nfs/dbraw/zinc/81/92/84/766819284.db2.gz QOEZLCFDEPPSFL-HNNXBMFYSA-N 1 2 320.412 1.526 20 30 DDEDLO COCCOCCOCCOCCNc1[nH+]cccc1CC#N ZINC001170471518 767147094 /nfs/dbraw/zinc/14/70/94/767147094.db2.gz WTHZEQZMDZWPDX-UHFFFAOYSA-N 1 2 323.393 1.256 20 30 DDEDLO C[C@H]1C[C@@H](CNc2ncccc2C#N)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068347989 767157739 /nfs/dbraw/zinc/15/77/39/767157739.db2.gz OGSZFEVICBRHKQ-STQMWFEESA-N 1 2 324.388 1.568 20 30 DDEDLO Cc1nc(N2C[C@]3(C)CN(C(=O)[C@@H](C)C#N)C[C@]3(C)C2)cc[nH+]1 ZINC001068419295 767282630 /nfs/dbraw/zinc/28/26/30/767282630.db2.gz YQLOGYKGGNBUQN-AFAVFJNCSA-N 1 2 313.405 1.620 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001046328378 767426745 /nfs/dbraw/zinc/42/67/45/767426745.db2.gz YWFZUEUXDQMAIB-IXPVHAAZSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001046328378 767426751 /nfs/dbraw/zinc/42/67/51/767426751.db2.gz YWFZUEUXDQMAIB-IXPVHAAZSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001046382501 767493101 /nfs/dbraw/zinc/49/31/01/767493101.db2.gz TWYUFDDCNKWUPI-AWEZNQCLSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001046382501 767493106 /nfs/dbraw/zinc/49/31/06/767493106.db2.gz TWYUFDDCNKWUPI-AWEZNQCLSA-N 1 2 320.784 1.300 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001068828591 767644802 /nfs/dbraw/zinc/64/48/02/767644802.db2.gz GGOWZKYEKLCOND-OCCSQVGLSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2c(C)nn(C)c2C)C1 ZINC001046523913 767653910 /nfs/dbraw/zinc/65/39/10/767653910.db2.gz JFMKWQHXZQRLQW-OAHLLOKOSA-N 1 2 310.829 1.984 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2c(C)nn(C)c2C)C1 ZINC001046523913 767653914 /nfs/dbraw/zinc/65/39/14/767653914.db2.gz JFMKWQHXZQRLQW-OAHLLOKOSA-N 1 2 310.829 1.984 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@H](C)N(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001069073189 767821604 /nfs/dbraw/zinc/82/16/04/767821604.db2.gz HZLGLTLEISPXHO-GXFFZTMASA-N 1 2 310.361 1.700 20 30 DDEDLO C[C@H]1C[C@@H](Nc2[nH+]cnc3c2cnn3C)CN1C(=O)C#CC1CC1 ZINC001069089817 767841113 /nfs/dbraw/zinc/84/11/13/767841113.db2.gz JGHCXKUWKQQHHA-WCQYABFASA-N 1 2 324.388 1.178 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cc(OC)no2)C1 ZINC001131946747 768505164 /nfs/dbraw/zinc/50/51/64/768505164.db2.gz MLPQDSYACBVCLD-QWHCGFSZSA-N 1 2 323.393 1.355 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cc(OC)no2)C1 ZINC001131946747 768505169 /nfs/dbraw/zinc/50/51/69/768505169.db2.gz MLPQDSYACBVCLD-QWHCGFSZSA-N 1 2 323.393 1.355 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cncc(F)c2)C1 ZINC001131947436 768505672 /nfs/dbraw/zinc/50/56/72/768505672.db2.gz UIWSHBFCPVVXPY-XJKSGUPXSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cncc(F)c2)C1 ZINC001131947436 768505677 /nfs/dbraw/zinc/50/56/77/768505677.db2.gz UIWSHBFCPVVXPY-XJKSGUPXSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001131947179 768505687 /nfs/dbraw/zinc/50/56/87/768505687.db2.gz QOAHLDYXIHABMB-UKRRQHHQSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001131947179 768505693 /nfs/dbraw/zinc/50/56/93/768505693.db2.gz QOAHLDYXIHABMB-UKRRQHHQSA-N 1 2 320.437 1.400 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@H]2C)on1 ZINC001132045561 768599022 /nfs/dbraw/zinc/59/90/22/768599022.db2.gz GVPBAZJSWSRTRK-OCCSQVGLSA-N 1 2 317.389 1.566 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@H]2C)on1 ZINC001132045561 768599025 /nfs/dbraw/zinc/59/90/25/768599025.db2.gz GVPBAZJSWSRTRK-OCCSQVGLSA-N 1 2 317.389 1.566 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@H]2C)cn1 ZINC001132046871 768600486 /nfs/dbraw/zinc/60/04/86/768600486.db2.gz RQOUTBXFFAWNIB-CXAGYDPISA-N 1 2 312.417 1.668 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@H]2C)cn1 ZINC001132046871 768600491 /nfs/dbraw/zinc/60/04/91/768600491.db2.gz RQOUTBXFFAWNIB-CXAGYDPISA-N 1 2 312.417 1.668 20 30 DDEDLO CCC1(C(=O)N[C@H]2CC[C@H](C)[N@H+](CC(=O)NCC#N)C2)CCC1 ZINC001132249768 768704782 /nfs/dbraw/zinc/70/47/82/768704782.db2.gz SAVDQJROVCBNGF-KBPBESRZSA-N 1 2 320.437 1.176 20 30 DDEDLO CCC1(C(=O)N[C@H]2CC[C@H](C)[N@@H+](CC(=O)NCC#N)C2)CCC1 ZINC001132249768 768704784 /nfs/dbraw/zinc/70/47/84/768704784.db2.gz SAVDQJROVCBNGF-KBPBESRZSA-N 1 2 320.437 1.176 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[C@H](C)[N@H+](CC(=O)NCCC)C2)C1 ZINC001132274679 768732034 /nfs/dbraw/zinc/73/20/34/768732034.db2.gz YDSNPMLJHGWUSP-GJZGRUSLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[C@H](C)[N@@H+](CC(=O)NCCC)C2)C1 ZINC001132274679 768732038 /nfs/dbraw/zinc/73/20/38/768732038.db2.gz YDSNPMLJHGWUSP-GJZGRUSLSA-N 1 2 321.465 1.838 20 30 DDEDLO CC(C)(C)C(=O)NCC[NH+]1CCN(c2ccncc2C#N)CC1 ZINC001096283992 768962277 /nfs/dbraw/zinc/96/22/77/768962277.db2.gz YRQUDLOELFSYPI-UHFFFAOYSA-N 1 2 315.421 1.238 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2C[C@H](NC(C)=O)CC[C@H]2C)c1 ZINC001071286617 769234515 /nfs/dbraw/zinc/23/45/15/769234515.db2.gz XGFBWAIYKVAFDU-CXAGYDPISA-N 1 2 313.401 1.595 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2C[C@H](NC(C)=O)CC[C@H]2C)c1 ZINC001071286617 769234521 /nfs/dbraw/zinc/23/45/21/769234521.db2.gz XGFBWAIYKVAFDU-CXAGYDPISA-N 1 2 313.401 1.595 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc(OC)ncn2)CC[C@@H]1C ZINC001071514248 769542816 /nfs/dbraw/zinc/54/28/16/769542816.db2.gz LXRRCVAJHQDTCU-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc(OC)ncn2)CC[C@@H]1C ZINC001071514248 769542824 /nfs/dbraw/zinc/54/28/24/769542824.db2.gz LXRRCVAJHQDTCU-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2snnc2C2CC2)CC[C@H]1C ZINC001071588610 769671967 /nfs/dbraw/zinc/67/19/67/769671967.db2.gz JGZNHTLPXRSSAK-ZYHUDNBSSA-N 1 2 304.419 1.631 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2snnc2C2CC2)CC[C@H]1C ZINC001071588610 769671970 /nfs/dbraw/zinc/67/19/70/769671970.db2.gz JGZNHTLPXRSSAK-ZYHUDNBSSA-N 1 2 304.419 1.631 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096440821 770070015 /nfs/dbraw/zinc/07/00/15/770070015.db2.gz ANFNCJKUPLZQSS-HIFRSBDPSA-N 1 2 316.405 1.135 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001071929551 770302945 /nfs/dbraw/zinc/30/29/45/770302945.db2.gz RDFBNVNLXBOEDQ-KBPBESRZSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001071995948 770406774 /nfs/dbraw/zinc/40/67/74/770406774.db2.gz NSCAVVFQGKMAHM-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001072187752 770640351 /nfs/dbraw/zinc/64/03/51/770640351.db2.gz QSOYZILICSEHNT-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049377806 770756979 /nfs/dbraw/zinc/75/69/79/770756979.db2.gz IPBOWUIZTMQFTE-KGYLQXTDSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049377806 770756984 /nfs/dbraw/zinc/75/69/84/770756984.db2.gz IPBOWUIZTMQFTE-KGYLQXTDSA-N 1 2 303.366 1.103 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001072453730 770920260 /nfs/dbraw/zinc/92/02/60/770920260.db2.gz OAHAHSYQXDMLGE-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001072483584 770960472 /nfs/dbraw/zinc/96/04/72/770960472.db2.gz IOUAXWGMJIKXNC-FZMZJTMJSA-N 1 2 318.421 1.436 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](Nc2cc[nH+]c(C)n2)C2CC2)cn1 ZINC001096707067 771404783 /nfs/dbraw/zinc/40/47/83/771404783.db2.gz UHPWXNSEWHOSBN-INIZCTEOSA-N 1 2 321.384 1.782 20 30 DDEDLO Cn1nccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C#N)[nH]1)C2 ZINC001096971480 771539268 /nfs/dbraw/zinc/53/92/68/771539268.db2.gz PQBYCIVUNAIQDN-WQVCFCJDSA-N 1 2 324.388 1.155 20 30 DDEDLO Cn1nccc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C#N)[nH]1)C2 ZINC001096971480 771539273 /nfs/dbraw/zinc/53/92/73/771539273.db2.gz PQBYCIVUNAIQDN-WQVCFCJDSA-N 1 2 324.388 1.155 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001097040882 771592806 /nfs/dbraw/zinc/59/28/06/771592806.db2.gz IGZFWYKJYLMRGU-SHTZXODSSA-N 1 2 324.388 1.689 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccn(C)c2C)[C@@H](O)C1 ZINC001090555582 771987416 /nfs/dbraw/zinc/98/74/16/771987416.db2.gz MIXXNXMMQYSDKM-KBPBESRZSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccn(C)c2C)[C@@H](O)C1 ZINC001090555582 771987421 /nfs/dbraw/zinc/98/74/21/771987421.db2.gz MIXXNXMMQYSDKM-KBPBESRZSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(CC)on2)[C@@H](O)C1 ZINC001090739623 772151603 /nfs/dbraw/zinc/15/16/03/772151603.db2.gz NHCPNCSKHGBNJX-AAEUAGOBSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(CC)on2)[C@@H](O)C1 ZINC001090739623 772151606 /nfs/dbraw/zinc/15/16/06/772151606.db2.gz NHCPNCSKHGBNJX-AAEUAGOBSA-N 1 2 313.785 1.155 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2cncc(C#N)c2)C1 ZINC001144085711 772390845 /nfs/dbraw/zinc/39/08/45/772390845.db2.gz CAQVXPMPCNISNY-HNNXBMFYSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2cncc(C#N)c2)C1 ZINC001144085711 772390848 /nfs/dbraw/zinc/39/08/48/772390848.db2.gz CAQVXPMPCNISNY-HNNXBMFYSA-N 1 2 300.362 1.830 20 30 DDEDLO C[N@H+](C1CN(C(=O)/C=C/C(=O)c2ccccc2)C1)[C@H]1CCOC1 ZINC001144708402 772602108 /nfs/dbraw/zinc/60/21/08/772602108.db2.gz CFGAJHYGEXODDU-KIUWMYQTSA-N 1 2 314.385 1.357 20 30 DDEDLO C[N@@H+](C1CN(C(=O)/C=C/C(=O)c2ccccc2)C1)[C@H]1CCOC1 ZINC001144708402 772602110 /nfs/dbraw/zinc/60/21/10/772602110.db2.gz CFGAJHYGEXODDU-KIUWMYQTSA-N 1 2 314.385 1.357 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C[C@@H](C)OC)C1 ZINC001149417099 772818389 /nfs/dbraw/zinc/81/83/89/772818389.db2.gz XVSDVFMVHIFUGT-OLZOCXBDSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C[C@@H](C)OC)C1 ZINC001149417099 772818394 /nfs/dbraw/zinc/81/83/94/772818394.db2.gz XVSDVFMVHIFUGT-OLZOCXBDSA-N 1 2 304.818 1.371 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CC[C@H](CNC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001091586202 772919300 /nfs/dbraw/zinc/91/93/00/772919300.db2.gz MORLHLGCOMJCQN-DZGCQCFKSA-N 1 2 324.388 1.547 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nnc(C)[nH]1)C2 ZINC001147187681 773062167 /nfs/dbraw/zinc/06/21/67/773062167.db2.gz NAIZOXPQFOELSB-GFCCVEGCSA-N 1 2 303.410 1.675 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nnc(C)[nH]1)C2 ZINC001147187681 773062168 /nfs/dbraw/zinc/06/21/68/773062168.db2.gz NAIZOXPQFOELSB-GFCCVEGCSA-N 1 2 303.410 1.675 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2csnn2)C1 ZINC001073714462 773335674 /nfs/dbraw/zinc/33/56/74/773335674.db2.gz SBAYYGSVTXRWSZ-JTQLQIEISA-N 1 2 316.814 1.111 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2csnn2)C1 ZINC001073714462 773335680 /nfs/dbraw/zinc/33/56/80/773335680.db2.gz SBAYYGSVTXRWSZ-JTQLQIEISA-N 1 2 316.814 1.111 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2csnn2)C1 ZINC001073714463 773337137 /nfs/dbraw/zinc/33/71/37/773337137.db2.gz SBAYYGSVTXRWSZ-SNVBAGLBSA-N 1 2 316.814 1.111 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2csnn2)C1 ZINC001073714463 773337143 /nfs/dbraw/zinc/33/71/43/773337143.db2.gz SBAYYGSVTXRWSZ-SNVBAGLBSA-N 1 2 316.814 1.111 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001148089599 773360850 /nfs/dbraw/zinc/36/08/50/773360850.db2.gz WMDTWTARKGAMMI-ZIAGYGMSSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001148089599 773360856 /nfs/dbraw/zinc/36/08/56/773360856.db2.gz WMDTWTARKGAMMI-ZIAGYGMSSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001073812557 773436226 /nfs/dbraw/zinc/43/62/26/773436226.db2.gz YQBKBBITUDPZQX-TZMCWYRMSA-N 1 2 304.394 1.272 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+][C@H](C)c2nc(CC)no2)cn1 ZINC001148389564 773450088 /nfs/dbraw/zinc/45/00/88/773450088.db2.gz NAHKJDOEFGRURX-LLVKDONJSA-N 1 2 313.361 1.089 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)co1 ZINC001073972981 773565847 /nfs/dbraw/zinc/56/58/47/773565847.db2.gz MPJUSCKHBIITRL-SWLSCSKDSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)co1 ZINC001073972981 773565850 /nfs/dbraw/zinc/56/58/50/773565850.db2.gz MPJUSCKHBIITRL-SWLSCSKDSA-N 1 2 304.394 1.956 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccoc3C)C[C@@H]21 ZINC001074166442 773689523 /nfs/dbraw/zinc/68/95/23/773689523.db2.gz HMKKRTHIGYKEQN-HOTGVXAUSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccoc3C)C[C@@H]21 ZINC001074166442 773689528 /nfs/dbraw/zinc/68/95/28/773689528.db2.gz HMKKRTHIGYKEQN-HOTGVXAUSA-N 1 2 302.374 1.527 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3scnc3C)C[C@H]21 ZINC001074180758 773702795 /nfs/dbraw/zinc/70/27/95/773702795.db2.gz IBRHCIWYHHAZMD-KGLIPLIRSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3scnc3C)C[C@H]21 ZINC001074180758 773702799 /nfs/dbraw/zinc/70/27/99/773702799.db2.gz IBRHCIWYHHAZMD-KGLIPLIRSA-N 1 2 321.446 1.943 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3CCOCC3)C[C@@H]21 ZINC001074190753 773713813 /nfs/dbraw/zinc/71/38/13/773713813.db2.gz REQBRENDAXKWBR-IRXDYDNUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3CCOCC3)C[C@@H]21 ZINC001074190753 773713818 /nfs/dbraw/zinc/71/38/18/773713818.db2.gz REQBRENDAXKWBR-IRXDYDNUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)=C3CCC3)C[C@@H]21 ZINC001074238619 773762335 /nfs/dbraw/zinc/76/23/35/773762335.db2.gz QQZKLXCVNIEKCS-DLBZAZTESA-N 1 2 302.418 1.812 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)=C3CCC3)C[C@@H]21 ZINC001074238619 773762341 /nfs/dbraw/zinc/76/23/41/773762341.db2.gz QQZKLXCVNIEKCS-DLBZAZTESA-N 1 2 302.418 1.812 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCc3[nH]cc[nH+]3)CC2)nc1 ZINC001110124698 773839632 /nfs/dbraw/zinc/83/96/32/773839632.db2.gz XMUIOOLHSVHNTM-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCOCC3)C[C@H]21 ZINC001074353551 773849848 /nfs/dbraw/zinc/84/98/48/773849848.db2.gz FSJZJPKVDHIORS-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCOCC3)C[C@H]21 ZINC001074353551 773849854 /nfs/dbraw/zinc/84/98/54/773849854.db2.gz FSJZJPKVDHIORS-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO Cc1cc(N2CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]2C)nc(C2CC2)[nH+]1 ZINC001091945652 773886275 /nfs/dbraw/zinc/88/62/75/773886275.db2.gz URKVMHMCNVUDDX-SUHUHFCYSA-N 1 2 313.405 1.906 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)C1CC1)c1nccn12 ZINC001092359037 774073976 /nfs/dbraw/zinc/07/39/76/774073976.db2.gz LMZNHQRLSRTONG-DZGCQCFKSA-N 1 2 312.417 1.524 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@]1(C)C=CCC1)c1nccn12 ZINC001092386154 774095521 /nfs/dbraw/zinc/09/55/21/774095521.db2.gz DVHFFHNNICTGBQ-QAPCUYQASA-N 1 2 324.428 1.835 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001074955845 774263805 /nfs/dbraw/zinc/26/38/05/774263805.db2.gz MYRDZIPZIMBSJA-GJZGRUSLSA-N 1 2 316.405 1.182 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001074974672 774278145 /nfs/dbraw/zinc/27/81/45/774278145.db2.gz HHZUDODKLXWTQS-CABCVRRESA-N 1 2 316.405 1.038 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001075128527 774372784 /nfs/dbraw/zinc/37/27/84/774372784.db2.gz ZQJUXBJNEYSJJY-ZIAGYGMSSA-N 1 2 316.405 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cncn1C)C2 ZINC001098219810 774515726 /nfs/dbraw/zinc/51/57/26/774515726.db2.gz TZUKEJGACWDVNG-BNOWGMLFSA-N 1 2 308.813 1.437 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cncn1C)C2 ZINC001098219810 774515728 /nfs/dbraw/zinc/51/57/28/774515728.db2.gz TZUKEJGACWDVNG-BNOWGMLFSA-N 1 2 308.813 1.437 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H](C)Nc1cc[nH+]c(C)n1 ZINC001098324170 774535774 /nfs/dbraw/zinc/53/57/74/774535774.db2.gz CBCBPGTXVGLCIH-BNOWGMLFSA-N 1 2 304.394 1.683 20 30 DDEDLO CC(=O)N1CC[C@@H](n2cc(C[NH2+]CC#Cc3ccccc3)nn2)C1 ZINC001098588746 774615813 /nfs/dbraw/zinc/61/58/13/774615813.db2.gz OHNMZQNQVNFXMK-GOSISDBHSA-N 1 2 323.400 1.213 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C3CCOCC3)CC2)C1 ZINC001093498429 774721903 /nfs/dbraw/zinc/72/19/03/774721903.db2.gz ZIAFMPRSQIZXFW-UHFFFAOYSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccn(CC)n3)CC2)C1 ZINC001093581570 774857048 /nfs/dbraw/zinc/85/70/48/774857048.db2.gz QFZAZFQQSBQVNO-UHFFFAOYSA-N 1 2 318.421 1.394 20 30 DDEDLO N#Cc1nc(NCCNC(=O)Cc2[nH]cc[nH+]2)sc1Cl ZINC001093829363 775113090 /nfs/dbraw/zinc/11/30/90/775113090.db2.gz HABNPGPQTJSPES-UHFFFAOYSA-N 1 2 310.770 1.162 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)cn2)C[C@H]1O ZINC001099973991 775505536 /nfs/dbraw/zinc/50/55/36/775505536.db2.gz LYQSXNYJZBUXIR-HZPDHXFCSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)cn2)C[C@H]1O ZINC001099973991 775505546 /nfs/dbraw/zinc/50/55/46/775505546.db2.gz LYQSXNYJZBUXIR-HZPDHXFCSA-N 1 2 318.421 1.193 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C3C=CC=CC=C3)nn2)C1 ZINC001094295850 775698823 /nfs/dbraw/zinc/69/88/23/775698823.db2.gz XZOPKAZDTWWYIG-UHFFFAOYSA-N 1 2 323.400 1.073 20 30 DDEDLO CCCc1nc(C[NH2+]C2CC(CNC(=O)[C@H](C)C#N)C2)no1 ZINC001100190611 775794224 /nfs/dbraw/zinc/79/42/24/775794224.db2.gz ZNSMOESIVYFGJV-VOMCLLRMSA-N 1 2 305.382 1.166 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001094594712 776089940 /nfs/dbraw/zinc/08/99/40/776089940.db2.gz NBTYIRKJVPUWEJ-CYBMUJFWSA-N 1 2 316.405 1.619 20 30 DDEDLO CC#CCCCC(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094704231 776214558 /nfs/dbraw/zinc/21/45/58/776214558.db2.gz XLWAXFGPENDMRD-UHFFFAOYSA-N 1 2 318.425 1.358 20 30 DDEDLO N#Cc1cnccc1NCCCNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001094781247 776270766 /nfs/dbraw/zinc/27/07/66/776270766.db2.gz GOVRYMZJNDCHQP-ZDUSSCGKSA-N 1 2 324.388 1.137 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCN(CC)c1nc(C)[nH+]c(C)c1C ZINC001100552256 776298231 /nfs/dbraw/zinc/29/82/31/776298231.db2.gz OLZXEILOWCMUKR-CQSZACIVSA-N 1 2 320.437 1.935 20 30 DDEDLO Cc1cc(N2CCC[C@H]2CNC(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001100810546 776577405 /nfs/dbraw/zinc/57/74/05/776577405.db2.gz WIFXHFYBQQMHLS-FZMZJTMJSA-N 1 2 313.405 1.907 20 30 DDEDLO Cc1cc(N2CC[C@@H](CNC(=O)[C@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001100950393 776751217 /nfs/dbraw/zinc/75/12/17/776751217.db2.gz SMPFTOIXRYWILY-YPMHNXCESA-N 1 2 313.405 1.765 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@@]2(C)C1 ZINC001101162831 776955148 /nfs/dbraw/zinc/95/51/48/776955148.db2.gz JMYIWCAYQBXOMS-CJNGLKHVSA-N 1 2 304.394 1.625 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+](Cc3nc(C)no3)C[C@@]2(C)C1 ZINC001101162831 776955159 /nfs/dbraw/zinc/95/51/59/776955159.db2.gz JMYIWCAYQBXOMS-CJNGLKHVSA-N 1 2 304.394 1.625 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+](Cc3cnn(CC)n3)C[C@@]2(C)C1 ZINC001101167418 776959795 /nfs/dbraw/zinc/95/97/95/776959795.db2.gz CNINLYMOLPMIFT-PBHICJAKSA-N 1 2 317.437 1.545 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+](Cc3cnn(CC)n3)C[C@@]2(C)C1 ZINC001101167418 776959800 /nfs/dbraw/zinc/95/98/00/776959800.db2.gz CNINLYMOLPMIFT-PBHICJAKSA-N 1 2 317.437 1.545 20 30 DDEDLO COc1ccc([N+](=O)[O-])c(C[C@@H](C)N2CC[NH2+]C[C@H]2C#N)c1F ZINC001173181959 777035731 /nfs/dbraw/zinc/03/57/31/777035731.db2.gz QZCSOGGPUIJEEF-GHMZBOCLSA-N 1 2 322.340 1.471 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@@]2(C)C1 ZINC001101347963 777087333 /nfs/dbraw/zinc/08/73/33/777087333.db2.gz RILFQURAZZJQSI-PBHICJAKSA-N 1 2 317.437 1.750 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@@]2(C)C1 ZINC001101347963 777087335 /nfs/dbraw/zinc/08/73/35/777087335.db2.gz RILFQURAZZJQSI-PBHICJAKSA-N 1 2 317.437 1.750 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2C[N@@H+](Cc3nncn3C)C[C@@]2(C)C1 ZINC001101346934 777087709 /nfs/dbraw/zinc/08/77/09/777087709.db2.gz NVMBCUXRJDBIJE-PBHICJAKSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2C[N@H+](Cc3nncn3C)C[C@@]2(C)C1 ZINC001101346934 777087716 /nfs/dbraw/zinc/08/77/16/777087716.db2.gz NVMBCUXRJDBIJE-PBHICJAKSA-N 1 2 317.437 1.452 20 30 DDEDLO N#Cc1cccnc1N(CCNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001101373579 777104762 /nfs/dbraw/zinc/10/47/62/777104762.db2.gz TVGLNSZMHLSYFB-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO CN(CCNc1ncc(C#N)cc1F)C(=O)CCc1[nH]cc[nH+]1 ZINC001101567314 777258103 /nfs/dbraw/zinc/25/81/03/777258103.db2.gz GQDNPDWBSLBDFJ-UHFFFAOYSA-N 1 2 316.340 1.319 20 30 DDEDLO C=C[C@@H]1C[C@]1([NH2+][C@@H]1CCC[C@@H](n2ncnn2)C1)C(=O)OCC ZINC001173743759 777334597 /nfs/dbraw/zinc/33/45/97/777334597.db2.gz DQOCAQSCERNCMD-RGCMKSIDSA-N 1 2 305.382 1.254 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001095280609 777407258 /nfs/dbraw/zinc/40/72/58/777407258.db2.gz DMVCJZNQSGQIHL-ZDUSSCGKSA-N 1 2 318.425 1.615 20 30 DDEDLO C[C@H](CC(=O)N(C)CCNc1cncc(C#N)n1)n1cc[nH+]c1 ZINC001101975526 777755663 /nfs/dbraw/zinc/75/56/63/777755663.db2.gz GBRIWCGCMZIVOH-GFCCVEGCSA-N 1 2 313.365 1.066 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102293576 778019187 /nfs/dbraw/zinc/01/91/87/778019187.db2.gz RZCTZFWGJUNFHV-CQSZACIVSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001102609823 778237934 /nfs/dbraw/zinc/23/79/34/778237934.db2.gz MDDPHQUFJYIMJJ-JHJVBQTASA-N 1 2 324.450 1.313 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001102609823 778237937 /nfs/dbraw/zinc/23/79/37/778237937.db2.gz MDDPHQUFJYIMJJ-JHJVBQTASA-N 1 2 324.450 1.313 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001176878176 778286983 /nfs/dbraw/zinc/28/69/83/778286983.db2.gz FOZBHVVWURSMNU-ZDUSSCGKSA-N 1 2 306.366 1.176 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC(C)C)C[C@@H]21 ZINC001176889019 778296781 /nfs/dbraw/zinc/29/67/81/778296781.db2.gz YOSDGLLPRLZJJJ-JKSUJKDBSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(C)C)C[C@@H]21 ZINC001176889019 778296784 /nfs/dbraw/zinc/29/67/84/778296784.db2.gz YOSDGLLPRLZJJJ-JKSUJKDBSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC3(COC)CC3)C[C@@H]21 ZINC001177014013 778392965 /nfs/dbraw/zinc/39/29/65/778392965.db2.gz WYLRIPJKJWOJDD-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3(COC)CC3)C[C@@H]21 ZINC001177014013 778392970 /nfs/dbraw/zinc/39/29/70/778392970.db2.gz WYLRIPJKJWOJDD-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H]2OCC[N@@H+](CCO)[C@@H]2C1 ZINC001177018090 778394562 /nfs/dbraw/zinc/39/45/62/778394562.db2.gz ARFAADQHNRNQCB-HZPDHXFCSA-N 1 2 308.422 1.049 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H]2OCC[N@H+](CCO)[C@@H]2C1 ZINC001177018090 778394568 /nfs/dbraw/zinc/39/45/68/778394568.db2.gz ARFAADQHNRNQCB-HZPDHXFCSA-N 1 2 308.422 1.049 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3(CCOC)CC3)C[C@H]21 ZINC001177029672 778400008 /nfs/dbraw/zinc/40/00/08/778400008.db2.gz HURNPLGYQHRNSN-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CCOC)CC3)C[C@H]21 ZINC001177029672 778400013 /nfs/dbraw/zinc/40/00/13/778400013.db2.gz HURNPLGYQHRNSN-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102806757 778416595 /nfs/dbraw/zinc/41/65/95/778416595.db2.gz OFLCPETUMJPTDB-YOEHRIQHSA-N 1 2 318.421 1.539 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CCOC)C[C@@H]21 ZINC001177117615 778432483 /nfs/dbraw/zinc/43/24/83/778432483.db2.gz ALNYFSZMICAYSQ-JKSUJKDBSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CCOC)C[C@@H]21 ZINC001177117615 778432484 /nfs/dbraw/zinc/43/24/84/778432484.db2.gz ALNYFSZMICAYSQ-JKSUJKDBSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102875704 778466430 /nfs/dbraw/zinc/46/64/30/778466430.db2.gz BQRCCCYJPGPLRV-OAHLLOKOSA-N 1 2 316.405 1.300 20 30 DDEDLO CC[NH+]1CC(O)(CN(Cc2ccccc2)C(=O)C#CC(C)C)C1 ZINC001177529101 778639260 /nfs/dbraw/zinc/63/92/60/778639260.db2.gz FLNXNSVSSCRLQU-UHFFFAOYSA-N 1 2 314.429 1.741 20 30 DDEDLO N#Cc1cncc(CNC(=O)Cc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001178377781 779009875 /nfs/dbraw/zinc/00/98/75/779009875.db2.gz BHIQAFRHPUAKAI-UHFFFAOYSA-N 1 2 317.352 1.998 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+]Cc2csnn2)[C@@H]1C ZINC001179360532 779360628 /nfs/dbraw/zinc/36/06/28/779360628.db2.gz ZCXNIPSRVQFQNZ-JSGCOSHPSA-N 1 2 306.435 1.811 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)s1 ZINC001111666759 779427133 /nfs/dbraw/zinc/42/71/33/779427133.db2.gz KZDRVGCHWNJPHV-WBIUFABUSA-N 1 2 319.434 1.477 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)s1 ZINC001111666759 779427139 /nfs/dbraw/zinc/42/71/39/779427139.db2.gz KZDRVGCHWNJPHV-WBIUFABUSA-N 1 2 319.434 1.477 20 30 DDEDLO CC(C)C#CC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nccn1C)C2 ZINC001111735862 779455462 /nfs/dbraw/zinc/45/54/62/779455462.db2.gz SCRRBGFYWHOVEP-YJBOKZPZSA-N 1 2 314.433 1.550 20 30 DDEDLO CC(C)C#CC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nccn1C)C2 ZINC001111735862 779455463 /nfs/dbraw/zinc/45/54/63/779455463.db2.gz SCRRBGFYWHOVEP-YJBOKZPZSA-N 1 2 314.433 1.550 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ccon1)C2 ZINC001111742865 779459288 /nfs/dbraw/zinc/45/92/88/779459288.db2.gz QKKNNIKMLIJNEP-KEYYUXOJSA-N 1 2 317.389 1.184 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ccon1)C2 ZINC001111742865 779459295 /nfs/dbraw/zinc/45/92/95/779459295.db2.gz QKKNNIKMLIJNEP-KEYYUXOJSA-N 1 2 317.389 1.184 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCC1(NCC#N)CCCCC1 ZINC001115765815 780411941 /nfs/dbraw/zinc/41/19/41/780411941.db2.gz GZRFXQJXSABHPA-CYBMUJFWSA-N 1 2 303.410 1.521 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCC1(NCC#N)CCCCC1 ZINC001115765815 780411946 /nfs/dbraw/zinc/41/19/46/780411946.db2.gz GZRFXQJXSABHPA-CYBMUJFWSA-N 1 2 303.410 1.521 20 30 DDEDLO CN1CCN(c2ncc(C=[NH+]NC3CCSCC3)cn2)CC1 ZINC001119359063 781418814 /nfs/dbraw/zinc/41/88/14/781418814.db2.gz MMIJFHJMUYSKCI-UHFFFAOYSA-N 1 2 320.466 1.048 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CCC[N@H+]1Cc1nc(C)oc1C ZINC001267266612 837702697 /nfs/dbraw/zinc/70/26/97/837702697.db2.gz KKNVBBPMGPLGPN-RHSMWYFYSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CCC[N@@H+]1Cc1nc(C)oc1C ZINC001267266612 837702706 /nfs/dbraw/zinc/70/27/06/837702706.db2.gz KKNVBBPMGPLGPN-RHSMWYFYSA-N 1 2 321.421 1.699 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001267295220 837770649 /nfs/dbraw/zinc/77/06/49/837770649.db2.gz RVGXMQWMOWVREQ-CQSZACIVSA-N 1 2 319.453 1.838 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@H+](Cc2cnn(CC)n2)C1 ZINC001267295220 837770656 /nfs/dbraw/zinc/77/06/56/837770656.db2.gz RVGXMQWMOWVREQ-CQSZACIVSA-N 1 2 319.453 1.838 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001267300190 837780427 /nfs/dbraw/zinc/78/04/27/837780427.db2.gz LLKWTSVHCIZEHJ-HNNXBMFYSA-N 1 2 317.437 1.430 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@H+](Cc2cnn(CC)n2)C1 ZINC001267300190 837780430 /nfs/dbraw/zinc/78/04/30/837780430.db2.gz LLKWTSVHCIZEHJ-HNNXBMFYSA-N 1 2 317.437 1.430 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(C)(CC)CC)C1 ZINC001266252330 835989753 /nfs/dbraw/zinc/98/97/53/835989753.db2.gz RSLDZWKWKJDDPZ-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(C)(CC)CC)C1 ZINC001266252330 835989763 /nfs/dbraw/zinc/98/97/63/835989763.db2.gz RSLDZWKWKJDDPZ-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO CC#CC[NH+]1CCN(CCCNC(=O)c2ccoc2Cl)CC1 ZINC001266262650 836005024 /nfs/dbraw/zinc/00/50/24/836005024.db2.gz MZNZTERBQSZBMX-UHFFFAOYSA-N 1 2 323.824 1.694 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(Cc2ccn(C)n2)CC1 ZINC001266292710 836066360 /nfs/dbraw/zinc/06/63/60/836066360.db2.gz REBPOOZSPPOHFD-UHFFFAOYSA-N 1 2 319.453 1.010 20 30 DDEDLO CC(C)(C)CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001266319022 836111762 /nfs/dbraw/zinc/11/17/62/836111762.db2.gz FUHAXPZUWLNJHZ-HNNXBMFYSA-N 1 2 319.449 1.143 20 30 DDEDLO CC(C)(C)CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001266319022 836111771 /nfs/dbraw/zinc/11/17/71/836111771.db2.gz FUHAXPZUWLNJHZ-HNNXBMFYSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC12CC[NH+](Cc1ncnn1C)CC2 ZINC001266377262 836186821 /nfs/dbraw/zinc/18/68/21/836186821.db2.gz SENFMSBQASEHIQ-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](CC)Cc1cc(C)n(C)n1 ZINC001266418340 836228100 /nfs/dbraw/zinc/22/81/00/836228100.db2.gz WEKWAXBHSJXDLY-CVEARBPZSA-N 1 2 320.437 1.258 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](CC)Cc1cc(C)n(C)n1 ZINC001266418340 836228111 /nfs/dbraw/zinc/22/81/11/836228111.db2.gz WEKWAXBHSJXDLY-CVEARBPZSA-N 1 2 320.437 1.258 20 30 DDEDLO CC[C@H](CC(C)C)C(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266488673 836338237 /nfs/dbraw/zinc/33/82/37/836338237.db2.gz NJTUPIYQPDLWMO-CABCVRRESA-N 1 2 322.453 1.279 20 30 DDEDLO CC[C@H](CC(C)C)C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266488673 836338251 /nfs/dbraw/zinc/33/82/51/836338251.db2.gz NJTUPIYQPDLWMO-CABCVRRESA-N 1 2 322.453 1.279 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]12C[C@H]1CCCC2 ZINC001266494021 836345302 /nfs/dbraw/zinc/34/53/02/836345302.db2.gz ILIYAULCLYTBSC-VXIBKDFQSA-N 1 2 316.445 1.892 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]12C[C@H]1CCCC2 ZINC001266494021 836345308 /nfs/dbraw/zinc/34/53/08/836345308.db2.gz ILIYAULCLYTBSC-VXIBKDFQSA-N 1 2 316.445 1.892 20 30 DDEDLO C=CCOCC(=O)NCC1=CC[N@H+]([C@H](C)c2nc(C)no2)CC1 ZINC001279511458 836839591 /nfs/dbraw/zinc/83/95/91/836839591.db2.gz OHBGBAMWMOVFMU-GFCCVEGCSA-N 1 2 320.393 1.390 20 30 DDEDLO C=CCOCC(=O)NCC1=CC[N@@H+]([C@H](C)c2nc(C)no2)CC1 ZINC001279511458 836839594 /nfs/dbraw/zinc/83/95/94/836839594.db2.gz OHBGBAMWMOVFMU-GFCCVEGCSA-N 1 2 320.393 1.390 20 30 DDEDLO C=CCCC(=O)N[C@@]1(CO)CCC[N@@H+]([C@@H](C)c2ncc(C)o2)C1 ZINC001271949425 844228346 /nfs/dbraw/zinc/22/83/46/844228346.db2.gz IMQLLUQHTLGIHP-YOEHRIQHSA-N 1 2 321.421 1.953 20 30 DDEDLO C=CCCC(=O)N[C@@]1(CO)CCC[N@H+]([C@@H](C)c2ncc(C)o2)C1 ZINC001271949425 844228358 /nfs/dbraw/zinc/22/83/58/844228358.db2.gz IMQLLUQHTLGIHP-YOEHRIQHSA-N 1 2 321.421 1.953 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)[NH2+]Cc1csc(NC(C)=O)n1 ZINC001266962225 837119981 /nfs/dbraw/zinc/11/99/81/837119981.db2.gz PMBZMGRLSIHGKB-SNVBAGLBSA-N 1 2 324.450 1.908 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001267017345 837200880 /nfs/dbraw/zinc/20/08/80/837200880.db2.gz WHVISJHALXDNEZ-ZDUSSCGKSA-N 1 2 304.394 1.516 20 30 DDEDLO COCC#CC[N@H+](C)[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267103805 837351331 /nfs/dbraw/zinc/35/13/31/837351331.db2.gz GZQWIQCLDOTBTB-VXGBXAGGSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)[C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267103805 837351339 /nfs/dbraw/zinc/35/13/39/837351339.db2.gz GZQWIQCLDOTBTB-VXGBXAGGSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@H+](C)[C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001267103805 837351349 /nfs/dbraw/zinc/35/13/49/837351349.db2.gz GZQWIQCLDOTBTB-VXGBXAGGSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)[C@@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001267103805 837351362 /nfs/dbraw/zinc/35/13/62/837351362.db2.gz GZQWIQCLDOTBTB-VXGBXAGGSA-N 1 2 324.318 1.459 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnc(C)o1 ZINC001267444171 838057866 /nfs/dbraw/zinc/05/78/66/838057866.db2.gz YVTQJHLAFRMIGC-GASCZTMLSA-N 1 2 319.405 1.751 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnc(C)o1 ZINC001267444171 838057875 /nfs/dbraw/zinc/05/78/75/838057875.db2.gz YVTQJHLAFRMIGC-GASCZTMLSA-N 1 2 319.405 1.751 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1CC[N@@H+]([C@H](C)c2noc(C)n2)C1 ZINC001267555673 838297524 /nfs/dbraw/zinc/29/75/24/838297524.db2.gz PKLIMWLRTHJJPM-TZMCWYRMSA-N 1 2 322.409 1.470 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1CC[N@H+]([C@H](C)c2noc(C)n2)C1 ZINC001267555673 838297532 /nfs/dbraw/zinc/29/75/32/838297532.db2.gz PKLIMWLRTHJJPM-TZMCWYRMSA-N 1 2 322.409 1.470 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](C[N@@H+](C)Cc2nncs2)C1 ZINC001267581168 838358877 /nfs/dbraw/zinc/35/88/77/838358877.db2.gz KHJXOPTUSOSXEL-ZDUSSCGKSA-N 1 2 306.435 1.622 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](C[N@H+](C)Cc2nncs2)C1 ZINC001267581168 838358881 /nfs/dbraw/zinc/35/88/81/838358881.db2.gz KHJXOPTUSOSXEL-ZDUSSCGKSA-N 1 2 306.435 1.622 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](NC(=O)c2occc2C)C1 ZINC001267611403 838457855 /nfs/dbraw/zinc/45/78/55/838457855.db2.gz SHPLKUIOQLCJLS-ZIAGYGMSSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](NC(=O)c2occc2C)C1 ZINC001267611403 838457859 /nfs/dbraw/zinc/45/78/59/838457859.db2.gz SHPLKUIOQLCJLS-ZIAGYGMSSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)Cc2cccc(C)c2)CC1 ZINC001267612600 838463915 /nfs/dbraw/zinc/46/39/15/838463915.db2.gz QTJQGAMZYJIOFV-UHFFFAOYSA-N 1 2 315.461 1.800 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCCCC)C1 ZINC001267613563 838468589 /nfs/dbraw/zinc/46/85/89/838468589.db2.gz MQYUCPUKIXDXBN-OAHLLOKOSA-N 1 2 309.454 1.840 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCCCC)C1 ZINC001267613563 838468596 /nfs/dbraw/zinc/46/85/96/838468596.db2.gz MQYUCPUKIXDXBN-OAHLLOKOSA-N 1 2 309.454 1.840 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1ncnn1C ZINC001272023528 844346386 /nfs/dbraw/zinc/34/63/86/844346386.db2.gz IPNVAIFITRAZKP-HUUCEWRRSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1ncnn1C ZINC001272023528 844346394 /nfs/dbraw/zinc/34/63/94/844346394.db2.gz IPNVAIFITRAZKP-HUUCEWRRSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2C[NH+](Cc3cnc(C)nc3)C2)C1 ZINC001267693005 838657741 /nfs/dbraw/zinc/65/77/41/838657741.db2.gz QMYWXGWDFLDJRQ-UHFFFAOYSA-N 1 2 300.406 1.689 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001267740370 838805965 /nfs/dbraw/zinc/80/59/65/838805965.db2.gz CUGUKAFQBKAQBR-CQSZACIVSA-N 1 2 316.405 1.369 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001267740370 838805976 /nfs/dbraw/zinc/80/59/76/838805976.db2.gz CUGUKAFQBKAQBR-CQSZACIVSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001267768253 838914660 /nfs/dbraw/zinc/91/46/60/838914660.db2.gz MRVGAONLYBODRT-CQSZACIVSA-N 1 2 318.421 1.730 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001267768253 838914668 /nfs/dbraw/zinc/91/46/68/838914668.db2.gz MRVGAONLYBODRT-CQSZACIVSA-N 1 2 318.421 1.730 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001267931637 839214423 /nfs/dbraw/zinc/21/44/23/839214423.db2.gz ZUSKIPLGDXIDRA-GOEBONIOSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[N@H+]1CCC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001267931637 839214431 /nfs/dbraw/zinc/21/44/31/839214431.db2.gz ZUSKIPLGDXIDRA-GOEBONIOSA-N 1 2 319.449 1.141 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001268029831 839446409 /nfs/dbraw/zinc/44/64/09/839446409.db2.gz ZTIQQQHEAPXOFK-CJNGLKHVSA-N 1 2 306.381 1.932 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001268029831 839446416 /nfs/dbraw/zinc/44/64/16/839446416.db2.gz ZTIQQQHEAPXOFK-CJNGLKHVSA-N 1 2 306.381 1.932 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H](C)Oc2ccccc2)C1 ZINC001268030112 839448665 /nfs/dbraw/zinc/44/86/65/839448665.db2.gz MUMMEXVPMGULPZ-DOTOQJQBSA-N 1 2 318.417 1.847 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H](C)Oc2ccccc2)C1 ZINC001268030112 839448675 /nfs/dbraw/zinc/44/86/75/839448675.db2.gz MUMMEXVPMGULPZ-DOTOQJQBSA-N 1 2 318.417 1.847 20 30 DDEDLO CCC[C@@H]1CCC[C@@H]1C(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001272055670 844474411 /nfs/dbraw/zinc/47/44/11/844474411.db2.gz UXAFHSJCPOBTMO-CABCVRRESA-N 1 2 322.453 1.233 20 30 DDEDLO CCC[C@@H]1CCC[C@@H]1C(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001272055670 844474413 /nfs/dbraw/zinc/47/44/13/844474413.db2.gz UXAFHSJCPOBTMO-CABCVRRESA-N 1 2 322.453 1.233 20 30 DDEDLO C=C(C)C[N@@H+](C)C[C@@H](C)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001268751482 840753960 /nfs/dbraw/zinc/75/39/60/840753960.db2.gz CSIKTNYPBZJCPC-GFCCVEGCSA-N 1 2 316.409 1.436 20 30 DDEDLO C=C(C)C[N@H+](C)C[C@@H](C)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001268751482 840753966 /nfs/dbraw/zinc/75/39/66/840753966.db2.gz CSIKTNYPBZJCPC-GFCCVEGCSA-N 1 2 316.409 1.436 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)C#CC(C)(C)C)C1=O ZINC001269235962 841400629 /nfs/dbraw/zinc/40/06/29/841400629.db2.gz SIILMRYOFZIKRR-GJZGRUSLSA-N 1 2 317.433 1.013 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)C#CC(C)(C)C)C1=O ZINC001269235962 841400637 /nfs/dbraw/zinc/40/06/37/841400637.db2.gz SIILMRYOFZIKRR-GJZGRUSLSA-N 1 2 317.433 1.013 20 30 DDEDLO CCOC1CC(CC(=O)NC[C@@H]2CC[N@@H+]2CC#CCOC)C1 ZINC001269278578 841459903 /nfs/dbraw/zinc/45/99/03/841459903.db2.gz LDKGLGWKMNYZEA-PCKAHOCUSA-N 1 2 308.422 1.032 20 30 DDEDLO CCOC1CC(CC(=O)NC[C@@H]2CC[N@H+]2CC#CCOC)C1 ZINC001269278578 841459910 /nfs/dbraw/zinc/45/99/10/841459910.db2.gz LDKGLGWKMNYZEA-PCKAHOCUSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@@H+]1Cc1nccnc1C ZINC001269279365 841462851 /nfs/dbraw/zinc/46/28/51/841462851.db2.gz ZOQIQESPJMUQRH-HNNXBMFYSA-N 1 2 300.406 1.669 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@H+]1Cc1nccnc1C ZINC001269279365 841462856 /nfs/dbraw/zinc/46/28/56/841462856.db2.gz ZOQIQESPJMUQRH-HNNXBMFYSA-N 1 2 300.406 1.669 20 30 DDEDLO C=C(C)CN1CCC2(CCN(C(=O)Cn3cc[nH+]c3)CC2)C1=O ZINC001269298633 841488634 /nfs/dbraw/zinc/48/86/34/841488634.db2.gz MTUOARQFZOJQAM-UHFFFAOYSA-N 1 2 316.405 1.300 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)CCCCN2C(=O)CCc1c[nH]c[nH+]1 ZINC001269660724 841909921 /nfs/dbraw/zinc/90/99/21/841909921.db2.gz CCDHXQPAHLIRAG-KRWDZBQOSA-N 1 2 316.405 1.512 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)CCCCN2C(=O)CCc1c[nH+]c[nH]1 ZINC001269660724 841909928 /nfs/dbraw/zinc/90/99/28/841909928.db2.gz CCDHXQPAHLIRAG-KRWDZBQOSA-N 1 2 316.405 1.512 20 30 DDEDLO C=CCCC(=O)N1CC[C@@]2(C1)CCC[N@@H+](Cc1cnn(C)n1)C2 ZINC001269686069 841933525 /nfs/dbraw/zinc/93/35/25/841933525.db2.gz LZCHQODELCYFPW-KRWDZBQOSA-N 1 2 317.437 1.596 20 30 DDEDLO C=CCCC(=O)N1CC[C@@]2(C1)CCC[N@H+](Cc1cnn(C)n1)C2 ZINC001269686069 841933535 /nfs/dbraw/zinc/93/35/35/841933535.db2.gz LZCHQODELCYFPW-KRWDZBQOSA-N 1 2 317.437 1.596 20 30 DDEDLO C=CCCC(=O)N1CC[C@]2(CC[N@@H+](Cc3nnc(C)[nH]3)C2)C1 ZINC001269719138 841970623 /nfs/dbraw/zinc/97/06/23/841970623.db2.gz JVLBHMKSHYOANK-INIZCTEOSA-N 1 2 303.410 1.504 20 30 DDEDLO C=CCCC(=O)N1CC[C@]2(CC[N@H+](Cc3nnc(C)[nH]3)C2)C1 ZINC001269719138 841970631 /nfs/dbraw/zinc/97/06/31/841970631.db2.gz JVLBHMKSHYOANK-INIZCTEOSA-N 1 2 303.410 1.504 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C1C[NH+](CCOC[C@H]2CCCO2)C1 ZINC001269855246 842109900 /nfs/dbraw/zinc/10/99/00/842109900.db2.gz FJGZNYIOMATKFY-MRXNPFEDSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C[C@H](C(=O)N(C)C1C[NH+](CCOCCO)C1)c1ccccc1 ZINC001269892596 842134596 /nfs/dbraw/zinc/13/45/96/842134596.db2.gz JADRWCVUBGASGZ-KRWDZBQOSA-N 1 2 318.417 1.108 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H](CC[NH2+]Cc2nnn(C)n2)C1 ZINC001326337278 861290983 /nfs/dbraw/zinc/29/09/83/861290983.db2.gz BPSNTNNYHUWWSJ-ZIAGYGMSSA-N 1 2 320.441 1.141 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnc3c(c2)NC(=O)CS3)CC1 ZINC001154630577 861300601 /nfs/dbraw/zinc/30/06/01/861300601.db2.gz KRVPFWYLYSZRLW-UHFFFAOYSA-N 1 2 318.402 1.070 20 30 DDEDLO C#CCOCCC(=O)NC1(C)CC[NH+](Cc2ncc(C)o2)CC1 ZINC001270232213 842407463 /nfs/dbraw/zinc/40/74/63/842407463.db2.gz UUHRJILBWXEQHH-UHFFFAOYSA-N 1 2 319.405 1.494 20 30 DDEDLO CCCC1(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)CCC1 ZINC001270521318 842638206 /nfs/dbraw/zinc/63/82/06/842638206.db2.gz XWKDAUMVMHZTNE-MRXNPFEDSA-N 1 2 318.465 1.699 20 30 DDEDLO C=C(C)CCC(=O)N[C@]1(C)CC[N@@H+](Cc2cn(CC)nn2)C1 ZINC001270591039 842695420 /nfs/dbraw/zinc/69/54/20/842695420.db2.gz SUPUIQNSACUYBQ-MRXNPFEDSA-N 1 2 305.426 1.735 20 30 DDEDLO C=C(C)CCC(=O)N[C@]1(C)CC[N@H+](Cc2cn(CC)nn2)C1 ZINC001270591039 842695434 /nfs/dbraw/zinc/69/54/34/842695434.db2.gz SUPUIQNSACUYBQ-MRXNPFEDSA-N 1 2 305.426 1.735 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001270665474 842796426 /nfs/dbraw/zinc/79/64/26/842796426.db2.gz MEDHATOCHGZXJT-VXGBXAGGSA-N 1 2 308.344 1.968 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001270665474 842796433 /nfs/dbraw/zinc/79/64/33/842796433.db2.gz MEDHATOCHGZXJT-VXGBXAGGSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC1(CC(=O)NC[C@@H]2C[N@H+](CCOC)CCO2)CCCCC1 ZINC001270681928 842815572 /nfs/dbraw/zinc/81/55/72/842815572.db2.gz GNEKGLZRTDDCFY-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CC1(CC(=O)NC[C@@H]2C[N@@H+](CCOC)CCO2)CCCCC1 ZINC001270681928 842815582 /nfs/dbraw/zinc/81/55/82/842815582.db2.gz GNEKGLZRTDDCFY-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO C#CCC1(C(=O)NC[C@H]2C[N@H+](CCOC)CCO2)CCCCC1 ZINC001270700832 842830784 /nfs/dbraw/zinc/83/07/84/842830784.db2.gz LMUSCRNEMWTIPS-INIZCTEOSA-N 1 2 322.449 1.424 20 30 DDEDLO C#CCC1(C(=O)NC[C@H]2C[N@@H+](CCOC)CCO2)CCCCC1 ZINC001270700832 842830792 /nfs/dbraw/zinc/83/07/92/842830792.db2.gz LMUSCRNEMWTIPS-INIZCTEOSA-N 1 2 322.449 1.424 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](Cc3c[nH]c4ncccc34)CC2)CC1 ZINC001143177216 861398796 /nfs/dbraw/zinc/39/87/96/861398796.db2.gz YAUNYRJJVSXOQR-UHFFFAOYSA-N 1 2 309.373 1.511 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@H](C)Oc2ccc(F)cc2)C1 ZINC001271387914 843547047 /nfs/dbraw/zinc/54/70/47/843547047.db2.gz JWDKGOTUOIOGIL-ZDUSSCGKSA-N 1 2 322.380 1.332 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)CCc1nc(C)cs1 ZINC001326643996 861505794 /nfs/dbraw/zinc/50/57/94/861505794.db2.gz QVMPRKCKUMYEJO-CQSZACIVSA-N 1 2 321.446 1.224 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)CCc1nc(C)cs1 ZINC001326643996 861505795 /nfs/dbraw/zinc/50/57/95/861505795.db2.gz QVMPRKCKUMYEJO-CQSZACIVSA-N 1 2 321.446 1.224 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)CCc1nc(C)no1 ZINC001409596824 845385216 /nfs/dbraw/zinc/38/52/16/845385216.db2.gz QEWILSWGSJXOFK-SNVBAGLBSA-N 1 2 300.790 1.500 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)CCc1nc(C)no1 ZINC001409596824 845385222 /nfs/dbraw/zinc/38/52/22/845385222.db2.gz QEWILSWGSJXOFK-SNVBAGLBSA-N 1 2 300.790 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@@H]1CCc2cnn(C)c2C1 ZINC001409614118 845422959 /nfs/dbraw/zinc/42/29/59/845422959.db2.gz NQXUGRJPCFGBBY-CHWSQXEVSA-N 1 2 324.856 1.714 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@@H]1CCc2cnn(C)c2C1 ZINC001409614118 845422965 /nfs/dbraw/zinc/42/29/65/845422965.db2.gz NQXUGRJPCFGBBY-CHWSQXEVSA-N 1 2 324.856 1.714 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C)C1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001409852227 845907180 /nfs/dbraw/zinc/90/71/80/845907180.db2.gz SBDWOESTRZHAAC-VXGBXAGGSA-N 1 2 314.364 1.794 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](CCc3ccccc3)C[C@@]2(F)C1=O ZINC001272446313 846144302 /nfs/dbraw/zinc/14/43/02/846144302.db2.gz PEVBOWXGJXBSJJ-DLBZAZTESA-N 1 2 306.356 1.990 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](CCc3ccccc3)C[C@@]2(F)C1=O ZINC001272446313 846144307 /nfs/dbraw/zinc/14/43/07/846144307.db2.gz PEVBOWXGJXBSJJ-DLBZAZTESA-N 1 2 306.356 1.990 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](CCCC(F)(F)F)C2 ZINC001272507492 846235852 /nfs/dbraw/zinc/23/58/52/846235852.db2.gz ZTZPQRAPUWIDLC-UHFFFAOYSA-N 1 2 306.328 1.818 20 30 DDEDLO CC[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001077700139 847140449 /nfs/dbraw/zinc/14/04/49/847140449.db2.gz RGMVKXHAQUSEED-BRSBDYLESA-N 1 2 312.413 1.246 20 30 DDEDLO CC[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001077700139 847140452 /nfs/dbraw/zinc/14/04/52/847140452.db2.gz RGMVKXHAQUSEED-BRSBDYLESA-N 1 2 312.413 1.246 20 30 DDEDLO C[C@H](CNc1nc(C#N)c(Cl)s1)NC(=O)Cn1cc[nH+]c1 ZINC001107814951 847164612 /nfs/dbraw/zinc/16/46/12/847164612.db2.gz VNZKXEAVISLBLY-MRVPVSSYSA-N 1 2 324.797 1.481 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2ccc(Cl)nc2)C1=O ZINC001272875080 847576919 /nfs/dbraw/zinc/57/69/19/847576919.db2.gz IUBBSUXKISWPBT-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2ccc(Cl)nc2)C1=O ZINC001272875080 847576928 /nfs/dbraw/zinc/57/69/28/847576928.db2.gz IUBBSUXKISWPBT-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(OCC)cc1)C2 ZINC001272918735 847628296 /nfs/dbraw/zinc/62/82/96/847628296.db2.gz PVOXBVMSDQDBDE-UHFFFAOYSA-N 1 2 316.401 1.685 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001034255077 848195795 /nfs/dbraw/zinc/19/57/95/848195795.db2.gz VUXPHGQUZKESLF-NSHDSACASA-N 1 2 308.813 1.843 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001034255077 848195804 /nfs/dbraw/zinc/19/58/04/848195804.db2.gz VUXPHGQUZKESLF-NSHDSACASA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccoc2Cl)[C@@H](O)C1 ZINC001090205739 848299750 /nfs/dbraw/zinc/29/97/50/848299750.db2.gz ITWXDEGVIDHDQJ-QWRGUYRKSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccoc2Cl)[C@@H](O)C1 ZINC001090205739 848299760 /nfs/dbraw/zinc/29/97/60/848299760.db2.gz ITWXDEGVIDHDQJ-QWRGUYRKSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(CC)ccn2)[C@H](O)C1 ZINC001090276131 848625942 /nfs/dbraw/zinc/62/59/42/848625942.db2.gz OCHJKGSDVXSFNK-DZGCQCFKSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(CC)ccn2)[C@H](O)C1 ZINC001090276131 848625951 /nfs/dbraw/zinc/62/59/51/848625951.db2.gz OCHJKGSDVXSFNK-DZGCQCFKSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(CC)ccn2)[C@H](O)C1 ZINC001090276134 848626226 /nfs/dbraw/zinc/62/62/26/848626226.db2.gz OCHJKGSDVXSFNK-UKRRQHHQSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(CC)ccn2)[C@H](O)C1 ZINC001090276134 848626233 /nfs/dbraw/zinc/62/62/33/848626233.db2.gz OCHJKGSDVXSFNK-UKRRQHHQSA-N 1 2 323.824 1.562 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cccnc2Cl)C1=O ZINC001273193596 848693628 /nfs/dbraw/zinc/69/36/28/848693628.db2.gz NNRHQYSPYSXFKU-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cccnc2Cl)C1=O ZINC001273193596 848693632 /nfs/dbraw/zinc/69/36/32/848693632.db2.gz NNRHQYSPYSXFKU-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C=CCCC(=O)N1CCO[C@H](C[NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001273235803 848962350 /nfs/dbraw/zinc/96/23/50/848962350.db2.gz CRQQEFDVUAHNOL-WCQYABFASA-N 1 2 308.382 1.222 20 30 DDEDLO C=C(C)CC[NH2+]C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001273261160 849235426 /nfs/dbraw/zinc/23/54/26/849235426.db2.gz QGDXLGUMLVJGNS-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)CC[NH2+]C[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC001273261160 849235436 /nfs/dbraw/zinc/23/54/36/849235436.db2.gz QGDXLGUMLVJGNS-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)COCCC)C2)CC1 ZINC001273376569 849739477 /nfs/dbraw/zinc/73/94/77/849739477.db2.gz WJQVIQPJEMOSCP-MRXNPFEDSA-N 1 2 309.454 1.208 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCC2(C[NH+](CCOCC)C2)O1 ZINC001327360512 862100373 /nfs/dbraw/zinc/10/03/73/862100373.db2.gz WFJMEIHDYWRGRG-CQSZACIVSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccccc1)CO2 ZINC001327371924 862113267 /nfs/dbraw/zinc/11/32/67/862113267.db2.gz IGGHWHKSTFEBHW-INIZCTEOSA-N 1 2 316.401 1.462 20 30 DDEDLO CCC(=O)NCC[N@H+](CCOC)Cc1ccc(C#N)cc1F ZINC001411212302 850414438 /nfs/dbraw/zinc/41/44/38/850414438.db2.gz BEWDFMUYAYPIGQ-UHFFFAOYSA-N 1 2 307.369 1.672 20 30 DDEDLO CCC(=O)NCC[N@@H+](CCOC)Cc1ccc(C#N)cc1F ZINC001411212302 850414444 /nfs/dbraw/zinc/41/44/44/850414444.db2.gz BEWDFMUYAYPIGQ-UHFFFAOYSA-N 1 2 307.369 1.672 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@](C)(O)C1CC1)CO2 ZINC001327385501 862126792 /nfs/dbraw/zinc/12/67/92/862126792.db2.gz BTVATOZTCLGAKK-GOEBONIOSA-N 1 2 308.422 1.073 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1COC2(C[NH+](CCOCC)C2)C1 ZINC001327387818 862128891 /nfs/dbraw/zinc/12/88/91/862128891.db2.gz QMXLNYIABSNNNW-OAHLLOKOSA-N 1 2 308.422 1.176 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C2(CF)CC2)C1 ZINC001150290571 862170406 /nfs/dbraw/zinc/17/04/06/862170406.db2.gz BJYGHJNVGRUESY-GFCCVEGCSA-N 1 2 304.793 1.696 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C2(CF)CC2)C1 ZINC001150290571 862170410 /nfs/dbraw/zinc/17/04/10/862170410.db2.gz BJYGHJNVGRUESY-GFCCVEGCSA-N 1 2 304.793 1.696 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)C[C@@H](NC(=O)C(=O)C(C)(C)C)CO2 ZINC001273684307 851224432 /nfs/dbraw/zinc/22/44/32/851224432.db2.gz AKKYXVBMHUPCSR-CYBMUJFWSA-N 1 2 308.422 1.527 20 30 DDEDLO C=CC(C)(C)C(=O)NC/C=C\C[NH2+]Cc1noc2c1COCC2 ZINC001274263520 852106972 /nfs/dbraw/zinc/10/69/72/852106972.db2.gz RTVGCOKIQWCRPN-WAYWQWQTSA-N 1 2 319.405 1.722 20 30 DDEDLO C=CCN1c2ccccc2[C@]2(CC[N@H+](Cc3nnc[nH]3)C2)C1=O ZINC001274354912 852182184 /nfs/dbraw/zinc/18/21/84/852182184.db2.gz XZOJGTFQWCKUCL-QGZVFWFLSA-N 1 2 309.373 1.481 20 30 DDEDLO C=CCN1c2ccccc2[C@]2(CC[N@@H+](Cc3nnc[nH]3)C2)C1=O ZINC001274354912 852182191 /nfs/dbraw/zinc/18/21/91/852182191.db2.gz XZOJGTFQWCKUCL-QGZVFWFLSA-N 1 2 309.373 1.481 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(C)nc1C)C2 ZINC001274478176 852311705 /nfs/dbraw/zinc/31/17/05/852311705.db2.gz QGTHYWYKZAIZAK-UHFFFAOYSA-N 1 2 301.390 1.298 20 30 DDEDLO C#CCNc1cc(N2CCN(c3ccccc3F)CC2)nc[nH+]1 ZINC001155654531 862343263 /nfs/dbraw/zinc/34/32/63/862343263.db2.gz ZSYYQUYYWJNIOD-UHFFFAOYSA-N 1 2 311.364 1.987 20 30 DDEDLO C#CCNc1cc(N2CCN(c3ccccc3F)CC2)[nH+]cn1 ZINC001155654531 862343279 /nfs/dbraw/zinc/34/32/79/862343279.db2.gz ZSYYQUYYWJNIOD-UHFFFAOYSA-N 1 2 311.364 1.987 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)cc1 ZINC001275138254 852833451 /nfs/dbraw/zinc/83/34/51/852833451.db2.gz BJSMWTPCNYUXJW-UHFFFAOYSA-N 1 2 305.381 1.954 20 30 DDEDLO C[C@@H]([NH2+]Cc1nc(C2CC2)no1)[C@H](C)NC(=O)C#CC1CC1 ZINC001275394741 853061364 /nfs/dbraw/zinc/06/13/64/853061364.db2.gz QIADPIJKBGJSEM-MNOVXSKESA-N 1 2 302.378 1.343 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2OCCN(Cc3ccc[nH]3)[C@H]2C1 ZINC001275965639 854029622 /nfs/dbraw/zinc/02/96/22/854029622.db2.gz KVMFQOPIJOYQNL-OALUTQOASA-N 1 2 322.412 1.972 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2OCCN(Cc3ccc[nH]3)[C@H]2C1 ZINC001275965639 854029626 /nfs/dbraw/zinc/02/96/26/854029626.db2.gz KVMFQOPIJOYQNL-OALUTQOASA-N 1 2 322.412 1.972 20 30 DDEDLO C#CCCCC(=O)NC1(CCO)C[NH+](C[C@H]2CC(C)(C)CO2)C1 ZINC001276044927 854661311 /nfs/dbraw/zinc/66/13/11/854661311.db2.gz YBARPDJKAJCJAL-OAHLLOKOSA-N 1 2 322.449 1.158 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098192386 855440366 /nfs/dbraw/zinc/44/03/66/855440366.db2.gz SLLVEJPRLZFDCT-GFCCVEGCSA-N 1 2 314.393 1.474 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@@H+]2CCOC[C@@H]2[C@@H]2CCCO2)c1 ZINC001412979644 855852930 /nfs/dbraw/zinc/85/29/30/855852930.db2.gz BIKNXARPSGNQHB-ZACQAIPSSA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@H+]2CCOC[C@@H]2[C@@H]2CCCO2)c1 ZINC001412979644 855852939 /nfs/dbraw/zinc/85/29/39/855852939.db2.gz BIKNXARPSGNQHB-ZACQAIPSSA-N 1 2 302.374 1.471 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@H](NC(=O)CSCC#N)C[C@H]2C)n1 ZINC001328220699 862784715 /nfs/dbraw/zinc/78/47/15/862784715.db2.gz CBBYSHOTETXQNE-PWSUYJOCSA-N 1 2 323.422 1.104 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@H](NC(=O)CSCC#N)C[C@H]2C)n1 ZINC001328220699 862784719 /nfs/dbraw/zinc/78/47/19/862784719.db2.gz CBBYSHOTETXQNE-PWSUYJOCSA-N 1 2 323.422 1.104 20 30 DDEDLO CCS(=O)(=O)N1CCC(Nc2cc(CC#N)cc[nH+]2)CC1 ZINC001156255384 862871050 /nfs/dbraw/zinc/87/10/50/862871050.db2.gz CUPKYKIMPBFCBV-UHFFFAOYSA-N 1 2 308.407 1.374 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc3nc(OC)ccc3[nH]2)CC1 ZINC001151618117 862878653 /nfs/dbraw/zinc/87/86/53/862878653.db2.gz NYDLRVGZLXYQQS-UHFFFAOYSA-N 1 2 300.362 1.515 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H](C)OCC(C)C)CC2)C1 ZINC001328485382 862999765 /nfs/dbraw/zinc/99/97/65/862999765.db2.gz KDYLXZVMXGPPGP-MRXNPFEDSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H](C)OCC(C)C)CC2)C1 ZINC001328485382 862999779 /nfs/dbraw/zinc/99/97/79/862999779.db2.gz KDYLXZVMXGPPGP-MRXNPFEDSA-N 1 2 322.449 1.374 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](CO)Nc2cc[nH+]c(C)n2)CCC1 ZINC001122457759 858827304 /nfs/dbraw/zinc/82/73/04/858827304.db2.gz NHMJOUHDKPUGOG-ZDUSSCGKSA-N 1 2 304.394 1.420 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224386816 881363626 /nfs/dbraw/zinc/36/36/26/881363626.db2.gz VEHXGWOKWUSALW-QGZVFWFLSA-N 1 2 319.453 1.746 20 30 DDEDLO C[C@@H](C#N)NC(=O)C[NH+]1CCC(NC(=O)OC(C)(C)C)CC1 ZINC000769151611 881367959 /nfs/dbraw/zinc/36/79/59/881367959.db2.gz YSZMWSBUKCKQRQ-NSHDSACASA-N 1 2 310.398 1.004 20 30 DDEDLO C=CCN(C)c1nnc(C[NH+]2CCCC2)n1C[C@@H]1CCCO1 ZINC001122867369 858990515 /nfs/dbraw/zinc/99/05/15/858990515.db2.gz QZPLRWMYQUCCJZ-AWEZNQCLSA-N 1 2 305.426 1.675 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(C(C)C)no1 ZINC001124496225 859721839 /nfs/dbraw/zinc/72/18/39/859721839.db2.gz YMNHSWSOLXWZNM-OLZOCXBDSA-N 1 2 324.425 1.941 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125168073 859909305 /nfs/dbraw/zinc/90/93/05/859909305.db2.gz LHARVVVSJWVLIL-CQSZACIVSA-N 1 2 320.437 1.643 20 30 DDEDLO COc1ccc(OC)c(C[NH+]2CCN(CCC#N)CC2)c1N ZINC001139273092 860346789 /nfs/dbraw/zinc/34/67/89/860346789.db2.gz PGBXQEGYUJIKGZ-UHFFFAOYSA-N 1 2 304.394 1.317 20 30 DDEDLO C[C@@H]1CNC(=O)C[N@@H+]1Cc1ccc(-c2cc(C#N)ccn2)cc1 ZINC001139405707 860388765 /nfs/dbraw/zinc/38/87/65/860388765.db2.gz ZEQOCGVGHAUCCV-CYBMUJFWSA-N 1 2 306.369 1.941 20 30 DDEDLO C[C@@H]1CNC(=O)C[N@H+]1Cc1ccc(-c2cc(C#N)ccn2)cc1 ZINC001139405707 860388772 /nfs/dbraw/zinc/38/87/72/860388772.db2.gz ZEQOCGVGHAUCCV-CYBMUJFWSA-N 1 2 306.369 1.941 20 30 DDEDLO CSc1nc(C[NH+]2CC3(C2)CCN(C)C3=O)ccc1C#N ZINC001141947849 860978905 /nfs/dbraw/zinc/97/89/05/860978905.db2.gz CLELFPULTTZBDX-UHFFFAOYSA-N 1 2 302.403 1.339 20 30 DDEDLO C[C@@H](NC(=O)c1cc(C#N)c[nH]1)[C@H](C)[NH2+]Cc1csnn1 ZINC001381369219 881602869 /nfs/dbraw/zinc/60/28/69/881602869.db2.gz PHJDGSAQKVICPT-DTWKUNHWSA-N 1 2 304.379 1.034 20 30 DDEDLO CC#CC[N@H+](C)C[C@H]1CCCN1C(=O)c1cc(C2CC2)[nH]n1 ZINC001328766490 863206059 /nfs/dbraw/zinc/20/60/59/863206059.db2.gz SKBPRORWXUGXPX-CQSZACIVSA-N 1 2 300.406 1.847 20 30 DDEDLO CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)c1cc(C2CC2)[nH]n1 ZINC001328766490 863206069 /nfs/dbraw/zinc/20/60/69/863206069.db2.gz SKBPRORWXUGXPX-CQSZACIVSA-N 1 2 300.406 1.847 20 30 DDEDLO CC[N@H+](Cc1nonc1C)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152628341 863463782 /nfs/dbraw/zinc/46/37/82/863463782.db2.gz QDUNOIQSQYCEJQ-SNVBAGLBSA-N 1 2 316.365 1.218 20 30 DDEDLO CC[N@@H+](Cc1nonc1C)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152628341 863463791 /nfs/dbraw/zinc/46/37/91/863463791.db2.gz QDUNOIQSQYCEJQ-SNVBAGLBSA-N 1 2 316.365 1.218 20 30 DDEDLO CS(=O)(=O)c1cccnc1-c1noc([C@@H]([NH3+])CCCC#N)n1 ZINC001329181098 863522061 /nfs/dbraw/zinc/52/20/61/863522061.db2.gz YHCBPHDFNRTEHL-VIFPVBQESA-N 1 2 321.362 1.229 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1cc(C)on1 ZINC001153012060 863650933 /nfs/dbraw/zinc/65/09/33/863650933.db2.gz BZRANOMMJAZIAP-MLGOLLRUSA-N 1 2 309.410 1.637 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cc(C)on1 ZINC001153012060 863650939 /nfs/dbraw/zinc/65/09/39/863650939.db2.gz BZRANOMMJAZIAP-MLGOLLRUSA-N 1 2 309.410 1.637 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccc(C)n1 ZINC001157217152 863657764 /nfs/dbraw/zinc/65/77/64/863657764.db2.gz HVZWZMZRAYRBFC-HUUCEWRRSA-N 1 2 320.437 1.703 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccc(C)n1 ZINC001157217152 863657771 /nfs/dbraw/zinc/65/77/71/863657771.db2.gz HVZWZMZRAYRBFC-HUUCEWRRSA-N 1 2 320.437 1.703 20 30 DDEDLO N#CCCn1cc(C[NH2+]Cc2cnn[nH]2)c(-c2ccncc2)n1 ZINC001329734420 863817699 /nfs/dbraw/zinc/81/76/99/863817699.db2.gz GFCFTFUBAWNIPP-UHFFFAOYSA-N 1 2 308.349 1.267 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)C1C[NH+](Cc2ccc(F)cn2)C1 ZINC001329997931 864004282 /nfs/dbraw/zinc/00/42/82/864004282.db2.gz PEWLQVQCDQHCMW-CHWSQXEVSA-N 1 2 319.380 1.196 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)Cc1[nH]cc[nH+]1 ZINC001153741394 864051959 /nfs/dbraw/zinc/05/19/59/864051959.db2.gz RTVUWZGONWQBHG-UHFFFAOYSA-N 1 2 307.317 1.352 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CCC[N@@H+](Cc1nccn1C)C2 ZINC001330487404 864397555 /nfs/dbraw/zinc/39/75/55/864397555.db2.gz XRTWDTADAYLHEV-UHFFFAOYSA-N 1 2 314.433 1.648 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CCC[N@H+](Cc1nccn1C)C2 ZINC001330487404 864397570 /nfs/dbraw/zinc/39/75/70/864397570.db2.gz XRTWDTADAYLHEV-UHFFFAOYSA-N 1 2 314.433 1.648 20 30 DDEDLO COc1cc(N2CCN(c3cc(CC#N)ccn3)CC2)cc[nH+]1 ZINC001158098951 864427147 /nfs/dbraw/zinc/42/71/47/864427147.db2.gz GJCZHNWVXRDBGL-UHFFFAOYSA-N 1 2 309.373 1.878 20 30 DDEDLO CC(C)C[C@@H](C(=O)N(C)[C@H](CNCC#N)C1CC1)n1cc[nH+]c1 ZINC001331294330 864993522 /nfs/dbraw/zinc/99/35/22/864993522.db2.gz IWKLNSHWMOGSHQ-JKSUJKDBSA-N 1 2 317.437 1.820 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H](C)[NH2+]Cc2nnc(C)o2)c1 ZINC001331547387 865148563 /nfs/dbraw/zinc/14/85/63/865148563.db2.gz NHWZZIVHISNKRJ-LLVKDONJSA-N 1 2 313.361 1.005 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](CO)[NH2+]Cc2nnc(C)s2)CCC1 ZINC001331787642 865329340 /nfs/dbraw/zinc/32/93/40/865329340.db2.gz KSRFAOONESATMC-LBPRGKRZSA-N 1 2 324.450 1.160 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C(C)C)no2)[C@@H]1C ZINC001331870999 865403192 /nfs/dbraw/zinc/40/31/92/865403192.db2.gz WCRYLVYVXDNIMY-QWHCGFSZSA-N 1 2 322.409 1.475 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC001159587316 865413195 /nfs/dbraw/zinc/41/31/95/865413195.db2.gz NRLVDSZKNDTTKJ-NWDGAFQWSA-N 1 2 316.409 1.472 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC001159587316 865413205 /nfs/dbraw/zinc/41/32/05/865413205.db2.gz NRLVDSZKNDTTKJ-NWDGAFQWSA-N 1 2 316.409 1.472 20 30 DDEDLO C[C@H]1[C@H](NC(=O)CSCC#N)CCC[N@@H+]1Cc1cncn1C ZINC001332160176 865623552 /nfs/dbraw/zinc/62/35/52/865623552.db2.gz QNNFCHTUFXKNDP-GXTWGEPZSA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@H]1[C@H](NC(=O)CSCC#N)CCC[N@H+]1Cc1cncn1C ZINC001332160176 865623557 /nfs/dbraw/zinc/62/35/57/865623557.db2.gz QNNFCHTUFXKNDP-GXTWGEPZSA-N 1 2 321.450 1.146 20 30 DDEDLO N#CCNC[C@@H]1CC[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001332261700 865705143 /nfs/dbraw/zinc/70/51/43/865705143.db2.gz NMXIQNMXKDVHII-ZIAGYGMSSA-N 1 2 315.421 1.160 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1nccs1 ZINC001332631979 865997440 /nfs/dbraw/zinc/99/74/40/865997440.db2.gz HUBNPLUVAAZNKV-ZDUSSCGKSA-N 1 2 309.435 1.054 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1nccs1 ZINC001332631979 865997447 /nfs/dbraw/zinc/99/74/47/865997447.db2.gz HUBNPLUVAAZNKV-ZDUSSCGKSA-N 1 2 309.435 1.054 20 30 DDEDLO C[C@@H](CNC(=O)c1ccon1)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001381493847 881928828 /nfs/dbraw/zinc/92/88/28/881928828.db2.gz WYIDEACZZZFJGH-NSHDSACASA-N 1 2 316.336 1.936 20 30 DDEDLO C[C@@H](CNC(=O)c1ccon1)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001381493847 881928838 /nfs/dbraw/zinc/92/88/38/881928838.db2.gz WYIDEACZZZFJGH-NSHDSACASA-N 1 2 316.336 1.936 20 30 DDEDLO C=C(C)Cn1nnnc1N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC001332943136 866278069 /nfs/dbraw/zinc/27/80/69/866278069.db2.gz AJMNRZRTQBMWOI-UHFFFAOYSA-N 1 2 316.384 1.711 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CC[N@H+]2[C@@H]2CCN(CC)C2=O)C1 ZINC001323302544 866511399 /nfs/dbraw/zinc/51/13/99/866511399.db2.gz PBMDAKYNWHJFBF-ZIAGYGMSSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CC[N@@H+]2[C@@H]2CCN(CC)C2=O)C1 ZINC001323302544 866511406 /nfs/dbraw/zinc/51/14/06/866511406.db2.gz PBMDAKYNWHJFBF-ZIAGYGMSSA-N 1 2 305.422 1.154 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)C1CC2(C1)CCOCC2 ZINC001323332941 866537640 /nfs/dbraw/zinc/53/76/40/866537640.db2.gz BAKRNLJHMWOKJZ-MRXNPFEDSA-N 1 2 320.433 1.034 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)C1CC2(C1)CCOCC2 ZINC001323332941 866537661 /nfs/dbraw/zinc/53/76/61/866537661.db2.gz BAKRNLJHMWOKJZ-MRXNPFEDSA-N 1 2 320.433 1.034 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)C(C)(C)CC(F)(F)F ZINC001323335683 866540479 /nfs/dbraw/zinc/54/04/79/866540479.db2.gz NUTODEANACZHFE-LBPRGKRZSA-N 1 2 320.355 1.805 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)C(C)(C)CC(F)(F)F ZINC001323335683 866540492 /nfs/dbraw/zinc/54/04/92/866540492.db2.gz NUTODEANACZHFE-LBPRGKRZSA-N 1 2 320.355 1.805 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@H]1CC[N@H+]1CC#CCOC ZINC001323341186 866546806 /nfs/dbraw/zinc/54/68/06/866546806.db2.gz TYNGMGSBVBQKDR-GOSISDBHSA-N 1 2 310.397 1.051 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@H]1CC[N@@H+]1CC#CCOC ZINC001323341186 866546810 /nfs/dbraw/zinc/54/68/10/866546810.db2.gz TYNGMGSBVBQKDR-GOSISDBHSA-N 1 2 310.397 1.051 20 30 DDEDLO Cc1ncc(C(=O)N2CCC([N@H+](CC#N)CC3CC3)CC2)n1C ZINC001323734221 866805772 /nfs/dbraw/zinc/80/57/72/866805772.db2.gz ZVSIQRMHKSGHLH-UHFFFAOYSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1ncc(C(=O)N2CCC([N@@H+](CC#N)CC3CC3)CC2)n1C ZINC001323734221 866805788 /nfs/dbraw/zinc/80/57/88/866805788.db2.gz ZVSIQRMHKSGHLH-UHFFFAOYSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1nnc(C[NH2+]C/C=C\CNC(=O)c2cc(C#N)c[nH]2)s1 ZINC001321011378 867207781 /nfs/dbraw/zinc/20/77/81/867207781.db2.gz OSXRFDLIDFTSSK-IHWYPQMZSA-N 1 2 316.390 1.122 20 30 DDEDLO C#CCN(C(=O)C(F)C(F)(F)F)C1CC[NH+](CCF)CC1 ZINC001324396945 867254989 /nfs/dbraw/zinc/25/49/89/867254989.db2.gz KMMZPBGJIPQBCR-NSHDSACASA-N 1 2 312.282 1.783 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@](C)(NC(=O)[C@@H](CC)SC)C1 ZINC001324601234 867391275 /nfs/dbraw/zinc/39/12/75/867391275.db2.gz KDARVGKPWKLMAN-DOMZBBRYSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H](CC)SC)C1 ZINC001324601234 867391289 /nfs/dbraw/zinc/39/12/89/867391289.db2.gz KDARVGKPWKLMAN-DOMZBBRYSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@](C)(NC(=O)c2nccs2)C1 ZINC001324661170 867434055 /nfs/dbraw/zinc/43/40/55/867434055.db2.gz MCDYWDBJARXGNQ-ABAIWWIYSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@](C)(NC(=O)c2nccs2)C1 ZINC001324661170 867434063 /nfs/dbraw/zinc/43/40/63/867434063.db2.gz MCDYWDBJARXGNQ-ABAIWWIYSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001325124769 867795285 /nfs/dbraw/zinc/79/52/85/867795285.db2.gz YTXMTHYFKVDLAW-QGZVFWFLSA-N 1 2 321.421 1.701 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001325124769 867795294 /nfs/dbraw/zinc/79/52/94/867795294.db2.gz YTXMTHYFKVDLAW-QGZVFWFLSA-N 1 2 321.421 1.701 20 30 DDEDLO CCCC[C@H](CNC(=O)C#CC(C)C)NC(=O)Cn1cc[nH+]c1 ZINC001334833979 867854148 /nfs/dbraw/zinc/85/41/48/867854148.db2.gz RSHDUWRVJQCUJG-OAHLLOKOSA-N 1 2 318.421 1.334 20 30 DDEDLO COc1cccc([C@@H](C#N)NC(=O)[C@H](C)n2cc[nH+]c2)c1OC ZINC001322508850 868213097 /nfs/dbraw/zinc/21/30/97/868213097.db2.gz HYYRUFGVOYRPRG-WCQYABFASA-N 1 2 314.345 1.842 20 30 DDEDLO CCCc1nc(C[NH2+]CC2(CCNC(=O)[C@H](C)C#N)CC2)no1 ZINC001163853904 868756315 /nfs/dbraw/zinc/75/63/15/868756315.db2.gz GYGXKDMUSRNDEQ-GFCCVEGCSA-N 1 2 319.409 1.558 20 30 DDEDLO CCn1ccnc1C[NH+]1CCC(NC(=O)CSCC#N)CC1 ZINC001226145043 882230680 /nfs/dbraw/zinc/23/06/80/882230680.db2.gz OQXNVMRHLGMDMH-UHFFFAOYSA-N 1 2 321.450 1.240 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCCC(C)(C)C)n2C)CC1 ZINC001338437064 869978967 /nfs/dbraw/zinc/97/89/67/869978967.db2.gz JMZAWDXKFANUFA-UHFFFAOYSA-N 1 2 303.454 1.939 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C23CCC(CC2)C3)C1 ZINC001316981074 870055437 /nfs/dbraw/zinc/05/54/37/870055437.db2.gz JFFDHVOSVDLDRE-UUCFBXCCSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C23CCC(CC2)C3)C1 ZINC001316981074 870055447 /nfs/dbraw/zinc/05/54/47/870055447.db2.gz JFFDHVOSVDLDRE-UUCFBXCCSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)C[C@H](C)CC ZINC001338774092 870161060 /nfs/dbraw/zinc/16/10/60/870161060.db2.gz RJNNDWSPVFSKPE-RDBSUJKOSA-N 1 2 307.442 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)C[C@H](C)CC ZINC001338774092 870161067 /nfs/dbraw/zinc/16/10/67/870161067.db2.gz RJNNDWSPVFSKPE-RDBSUJKOSA-N 1 2 307.442 1.684 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2csnn2)C1 ZINC001317053778 870171596 /nfs/dbraw/zinc/17/15/96/870171596.db2.gz AMJONVZCLYRRJI-STQMWFEESA-N 1 2 306.435 1.983 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCCC[C@@H]1CC ZINC001338897022 870229693 /nfs/dbraw/zinc/22/96/93/870229693.db2.gz ZUXBXEHTIOKAAW-KKUMJFAQSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCCC[C@@H]1CC ZINC001338897022 870229706 /nfs/dbraw/zinc/22/97/06/870229706.db2.gz ZUXBXEHTIOKAAW-KKUMJFAQSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001298141108 870307496 /nfs/dbraw/zinc/30/74/96/870307496.db2.gz ABURFAHMXJXVHW-OCCSQVGLSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCn1c(N(C)CC(=C)C)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001339069209 870330733 /nfs/dbraw/zinc/33/07/33/870330733.db2.gz MKJNPNNPHDTMQO-XJKSGUPXSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCn1c(N(C)CC(=C)C)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001339069209 870330748 /nfs/dbraw/zinc/33/07/48/870330748.db2.gz MKJNPNNPHDTMQO-XJKSGUPXSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCCO[C@@H](C)C1 ZINC001339090862 870340337 /nfs/dbraw/zinc/34/03/37/870340337.db2.gz HJMIMJBZNCMCGM-ZDUSSCGKSA-N 1 2 316.409 1.404 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC/C=C\CNC(=O)Cc1c[nH+]cn1C ZINC001298481901 870572712 /nfs/dbraw/zinc/57/27/12/870572712.db2.gz CXFVCBNJSWYPOE-KFBXHMBNSA-N 1 2 318.421 1.209 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001298699586 870682993 /nfs/dbraw/zinc/68/29/93/870682993.db2.gz ZLSXNMDFWUNGIR-GFCCVEGCSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001298838201 870747508 /nfs/dbraw/zinc/74/75/08/870747508.db2.gz BPOZRSNFOLLNSC-STQMWFEESA-N 1 2 318.421 1.279 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001298849528 870753138 /nfs/dbraw/zinc/75/31/38/870753138.db2.gz UFPLWRLENRHIHE-LSDHHAIUSA-N 1 2 318.421 1.649 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[N@@H+](C)Cc1c(C)nnn1CC ZINC001317458141 870874007 /nfs/dbraw/zinc/87/40/07/870874007.db2.gz CPXHXHZIMMFFMG-UHFFFAOYSA-N 1 2 307.442 1.757 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[N@H+](C)Cc1c(C)nnn1CC ZINC001317458141 870874014 /nfs/dbraw/zinc/87/40/14/870874014.db2.gz CPXHXHZIMMFFMG-UHFFFAOYSA-N 1 2 307.442 1.757 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H]1CNC(=O)C1(F)CCCC1 ZINC001317502206 870930024 /nfs/dbraw/zinc/93/00/24/870930024.db2.gz HFRNQCWYVUSDMW-ZDUSSCGKSA-N 1 2 311.401 1.152 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)C1(F)CCCC1 ZINC001317502206 870930033 /nfs/dbraw/zinc/93/00/33/870930033.db2.gz HFRNQCWYVUSDMW-ZDUSSCGKSA-N 1 2 311.401 1.152 20 30 DDEDLO C=CCN(C)c1nnc(CC)n1Cc1ccc(N(C)C)[nH+]c1 ZINC001301299284 870986284 /nfs/dbraw/zinc/98/62/84/870986284.db2.gz HKHFAJKZLATAQB-UHFFFAOYSA-N 1 2 300.410 1.972 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1CC[N@H+](Cc2cnn(CC)n2)C1 ZINC001317536159 871018164 /nfs/dbraw/zinc/01/81/64/871018164.db2.gz JRUKFQKPGBSEDY-LSDHHAIUSA-N 1 2 319.453 1.838 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1CC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001317536159 871018182 /nfs/dbraw/zinc/01/81/82/871018182.db2.gz JRUKFQKPGBSEDY-LSDHHAIUSA-N 1 2 319.453 1.838 20 30 DDEDLO CC(C)[C@@H](CCNC(=O)[C@H](C)C#N)NC(=O)CCc1[nH]cc[nH+]1 ZINC001381691600 882490390 /nfs/dbraw/zinc/49/03/90/882490390.db2.gz DJJSBXPDJOJLLU-CHWSQXEVSA-N 1 2 319.409 1.149 20 30 DDEDLO C=CCCC(=O)NC1CC[NH+](Cc2noc3c2COCC3)CC1 ZINC001226534677 882491158 /nfs/dbraw/zinc/49/11/58/882491158.db2.gz KQQSGOYRBMGPNK-UHFFFAOYSA-N 1 2 319.405 1.794 20 30 DDEDLO C=CCn1c(N2CC[C@@H]3C[C@@H]3C2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001340701163 871293094 /nfs/dbraw/zinc/29/30/94/871293094.db2.gz IJZJXXZOQNMROG-WVZRYYJFSA-N 1 2 317.437 1.222 20 30 DDEDLO C=CCn1c(N2CC[C@@H]3C[C@@H]3C2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001340701163 871293114 /nfs/dbraw/zinc/29/31/14/871293114.db2.gz IJZJXXZOQNMROG-WVZRYYJFSA-N 1 2 317.437 1.222 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+]([C@@H](C)c2nc(CC)no2)CC1 ZINC001226571718 882516631 /nfs/dbraw/zinc/51/66/31/882516631.db2.gz XXFWGCBJKXVDFO-LBPRGKRZSA-N 1 2 322.409 1.476 20 30 DDEDLO Cc1ccc([C@H](C)C(=O)N(C)CC[NH+]2CCN(CC#N)CC2)o1 ZINC001317823208 871548682 /nfs/dbraw/zinc/54/86/82/871548682.db2.gz SUTSAYMSZWOELD-HNNXBMFYSA-N 1 2 318.421 1.291 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C(F)F)C1 ZINC001205700939 871715903 /nfs/dbraw/zinc/71/59/03/871715903.db2.gz DYIXBXGJMLOELZ-VXGBXAGGSA-N 1 2 317.380 1.113 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C(F)F)C1 ZINC001205700939 871715909 /nfs/dbraw/zinc/71/59/09/871715909.db2.gz DYIXBXGJMLOELZ-VXGBXAGGSA-N 1 2 317.380 1.113 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cc(C(C)C)nn2C)C1 ZINC001318074585 871727373 /nfs/dbraw/zinc/72/73/73/871727373.db2.gz PNBVSEKGLSKCGL-UHFFFAOYSA-N 1 2 306.410 1.160 20 30 DDEDLO O=C(C#CC1CC1)N1CC[NH+](C[C@H](O)c2ccc(F)cc2)CC1 ZINC001313445459 871808762 /nfs/dbraw/zinc/80/87/62/871808762.db2.gz VYWHVAIKULFLDZ-KRWDZBQOSA-N 1 2 316.376 1.417 20 30 DDEDLO Cc1nc(C[N@@H+](C)CCOCCN(C)C(=O)[C@@H](C)C#N)cs1 ZINC001316762148 871834064 /nfs/dbraw/zinc/83/40/64/871834064.db2.gz WQAKAJHNTJYYJT-LBPRGKRZSA-N 1 2 324.450 1.518 20 30 DDEDLO Cc1nc(C[N@H+](C)CCOCCN(C)C(=O)[C@@H](C)C#N)cs1 ZINC001316762148 871834087 /nfs/dbraw/zinc/83/40/87/871834087.db2.gz WQAKAJHNTJYYJT-LBPRGKRZSA-N 1 2 324.450 1.518 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](CCCS(C)(=O)=O)C1 ZINC001318346954 871948713 /nfs/dbraw/zinc/94/87/13/871948713.db2.gz LHCMTXYILVGKHS-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](CCCS(C)(=O)=O)C1 ZINC001318346954 871948728 /nfs/dbraw/zinc/94/87/28/871948728.db2.gz LHCMTXYILVGKHS-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO C#CCCCC(=O)NCc1nc(C[NH2+]CC(=C)Cl)cc(=O)[nH]1 ZINC001316715175 871976250 /nfs/dbraw/zinc/97/62/50/871976250.db2.gz IQMKINJJWHEVHF-UHFFFAOYSA-N 1 2 322.796 1.444 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](N(CC)C(=O)c2coc(C)c2)C1 ZINC001318464797 872085560 /nfs/dbraw/zinc/08/55/60/872085560.db2.gz DFFFNJITAYVPDL-OAHLLOKOSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2coc(C)c2)C1 ZINC001318464797 872085582 /nfs/dbraw/zinc/08/55/82/872085582.db2.gz DFFFNJITAYVPDL-OAHLLOKOSA-N 1 2 319.405 1.427 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@@H+](C)Cc2cn(C)nn2)cc1 ZINC001316840387 872148156 /nfs/dbraw/zinc/14/81/56/872148156.db2.gz QPAUQXRNDNICAO-UHFFFAOYSA-N 1 2 311.389 1.048 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@H+](C)Cc2cn(C)nn2)cc1 ZINC001316840387 872148172 /nfs/dbraw/zinc/14/81/72/872148172.db2.gz QPAUQXRNDNICAO-UHFFFAOYSA-N 1 2 311.389 1.048 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2CCC=CCC2)C1 ZINC001316950405 872449215 /nfs/dbraw/zinc/44/92/15/872449215.db2.gz ABEYGOCEKPGOCW-INIZCTEOSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2CCC=CCC2)C1 ZINC001316950405 872449227 /nfs/dbraw/zinc/44/92/27/872449227.db2.gz ABEYGOCEKPGOCW-INIZCTEOSA-N 1 2 319.449 1.616 20 30 DDEDLO COc1ccc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)nn1 ZINC001206938177 872809648 /nfs/dbraw/zinc/80/96/48/872809648.db2.gz KMKBKZCTFWMUBK-UKRRQHHQSA-N 1 2 316.405 1.081 20 30 DDEDLO COc1ccc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)nn1 ZINC001206938177 872809654 /nfs/dbraw/zinc/80/96/54/872809654.db2.gz KMKBKZCTFWMUBK-UKRRQHHQSA-N 1 2 316.405 1.081 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001344228999 872958907 /nfs/dbraw/zinc/95/89/07/872958907.db2.gz DOLVUGWGDJACCW-SYQHCUMBSA-N 1 2 318.421 1.421 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc4c(c3)NC(=O)CC4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226864582 882692080 /nfs/dbraw/zinc/69/20/80/882692080.db2.gz RJAQCEFDQBESQO-BSTOKRDTSA-N 1 2 300.358 1.563 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc4c(c3)NC(=O)CC4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226864582 882692086 /nfs/dbraw/zinc/69/20/86/882692086.db2.gz RJAQCEFDQBESQO-BSTOKRDTSA-N 1 2 300.358 1.563 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2nonc2C)C[C@H]1C ZINC001208392970 874102383 /nfs/dbraw/zinc/10/23/83/874102383.db2.gz HLKBNSAWNPEBNS-MRVWCRGKSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2nonc2C)C[C@H]1C ZINC001208392970 874102387 /nfs/dbraw/zinc/10/23/87/874102387.db2.gz HLKBNSAWNPEBNS-MRVWCRGKSA-N 1 2 306.410 1.917 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@H+](CCc2ccc(C#N)cc2)C1 ZINC001208925492 874528755 /nfs/dbraw/zinc/52/87/55/874528755.db2.gz DOMAZHHRCGXFHK-HNNXBMFYSA-N 1 2 300.358 1.555 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@@H+](CCc2ccc(C#N)cc2)C1 ZINC001208925492 874528761 /nfs/dbraw/zinc/52/87/61/874528761.db2.gz DOMAZHHRCGXFHK-HNNXBMFYSA-N 1 2 300.358 1.555 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCCOC)C1 ZINC001209099551 874668288 /nfs/dbraw/zinc/66/82/88/874668288.db2.gz YNDGFTKWKKRFCG-KGYLQXTDSA-N 1 2 304.818 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCCOC)C1 ZINC001209099551 874668293 /nfs/dbraw/zinc/66/82/93/874668293.db2.gz YNDGFTKWKKRFCG-KGYLQXTDSA-N 1 2 304.818 1.227 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(SCC)cc1 ZINC001349854431 875414424 /nfs/dbraw/zinc/41/44/24/875414424.db2.gz RVUMAXAAYIOMLS-AWEZNQCLSA-N 1 2 322.430 1.861 20 30 DDEDLO CC(C)(C)C#CC(=O)NCCCNC(=O)CCCn1cc[nH+]c1 ZINC001350009309 875499124 /nfs/dbraw/zinc/49/91/24/875499124.db2.gz JMDSZRNXPYMCTG-UHFFFAOYSA-N 1 2 318.421 1.335 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001211420807 875800101 /nfs/dbraw/zinc/80/01/01/875800101.db2.gz RQWHZARMDBBEOI-TZMCWYRMSA-N 1 2 307.394 1.512 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001211420807 875800115 /nfs/dbraw/zinc/80/01/15/875800115.db2.gz RQWHZARMDBBEOI-TZMCWYRMSA-N 1 2 307.394 1.512 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001227342828 882978958 /nfs/dbraw/zinc/97/89/58/882978958.db2.gz ZJHHBQJUWSMYCR-KBPBESRZSA-N 1 2 316.405 1.157 20 30 DDEDLO CC#CCCCC(=O)NC1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001350923203 875960735 /nfs/dbraw/zinc/96/07/35/875960735.db2.gz ZCUBPJSPVIXBOP-UHFFFAOYSA-N 1 2 316.405 1.253 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)C2CCCC2)[C@H](OC)C1 ZINC001213702262 876028073 /nfs/dbraw/zinc/02/80/73/876028073.db2.gz GSFBHAKRLNIYKR-USXIJHARSA-N 1 2 322.449 1.278 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)C2CCCC2)[C@H](OC)C1 ZINC001213702262 876028084 /nfs/dbraw/zinc/02/80/84/876028084.db2.gz GSFBHAKRLNIYKR-USXIJHARSA-N 1 2 322.449 1.278 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@@H](C)CN(C)C(=O)c2ccc(C#N)[nH]2)o1 ZINC001379090287 876366297 /nfs/dbraw/zinc/36/62/97/876366297.db2.gz CMHPTQCEPUKXAY-UWVGGRQHSA-N 1 2 316.365 1.389 20 30 DDEDLO C=CCn1c(N2C[C@H](C)[C@H](C)C2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001352062119 876580142 /nfs/dbraw/zinc/58/01/42/876580142.db2.gz DNEFXNMCJLYNTM-QDEZUTFSSA-N 1 2 319.453 1.468 20 30 DDEDLO C=CCn1c(N2C[C@H](C)[C@H](C)C2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001352062119 876580154 /nfs/dbraw/zinc/58/01/54/876580154.db2.gz DNEFXNMCJLYNTM-QDEZUTFSSA-N 1 2 319.453 1.468 20 30 DDEDLO C=CCCC(=O)N1CCC2(C[C@@H]2NC(=O)Cn2cc[nH+]c2)CC1 ZINC001352998924 877065776 /nfs/dbraw/zinc/06/57/76/877065776.db2.gz BCXHWVWMYQCMEX-AWEZNQCLSA-N 1 2 316.405 1.347 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1ccc2cc[nH]c2c1 ZINC001353066913 877099981 /nfs/dbraw/zinc/09/99/81/877099981.db2.gz AEEYCMSAXMKQJR-AWEZNQCLSA-N 1 2 315.373 1.573 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001216936206 877100371 /nfs/dbraw/zinc/10/03/71/877100371.db2.gz LFWYZTWAZZJOBC-CHWSQXEVSA-N 1 2 322.409 1.161 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+]([C@@H](C)c3cnccn3)C[C@@H]21 ZINC001217503664 877216347 /nfs/dbraw/zinc/21/63/47/877216347.db2.gz JUHNJLJWUJALTC-CWRNSKLLSA-N 1 2 316.405 1.415 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+]([C@@H](C)c3cnccn3)C[C@@H]21 ZINC001217503664 877216360 /nfs/dbraw/zinc/21/63/60/877216360.db2.gz JUHNJLJWUJALTC-CWRNSKLLSA-N 1 2 316.405 1.415 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CC(C)(C)CC(F)F)[C@H]2C1 ZINC001218333940 877454989 /nfs/dbraw/zinc/45/49/89/877454989.db2.gz ZRODFYZWYNXLCD-QWHCGFSZSA-N 1 2 314.376 1.603 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CC(C)(C)CC(F)F)[C@H]2C1 ZINC001218333940 877454992 /nfs/dbraw/zinc/45/49/92/877454992.db2.gz ZRODFYZWYNXLCD-QWHCGFSZSA-N 1 2 314.376 1.603 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@H]([C@@H](C)[NH2+]Cc2csnn2)C1 ZINC001276789842 877584548 /nfs/dbraw/zinc/58/45/48/877584548.db2.gz PBQHHROHCXOEMK-OCCSQVGLSA-N 1 2 324.450 1.600 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001287536122 912287876 /nfs/dbraw/zinc/28/78/76/912287876.db2.gz FUANXMPGXWLOPJ-AWEZNQCLSA-N 1 2 318.421 1.518 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219141467 877956620 /nfs/dbraw/zinc/95/66/20/877956620.db2.gz WIQLBXISPSKGLT-CVEARBPZSA-N 1 2 317.364 1.325 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219141467 877956628 /nfs/dbraw/zinc/95/66/28/877956628.db2.gz WIQLBXISPSKGLT-CVEARBPZSA-N 1 2 317.364 1.325 20 30 DDEDLO CC/C(C)=C/C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219576234 878353015 /nfs/dbraw/zinc/35/30/15/878353015.db2.gz KHEFHWRDSZVUQP-WTMFUUHESA-N 1 2 319.430 1.637 20 30 DDEDLO CC/C(C)=C/C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219576234 878353032 /nfs/dbraw/zinc/35/30/32/878353032.db2.gz KHEFHWRDSZVUQP-WTMFUUHESA-N 1 2 319.430 1.637 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001219704908 878465363 /nfs/dbraw/zinc/46/53/63/878465363.db2.gz PFHJLOGEWYWYNW-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001219704908 878465378 /nfs/dbraw/zinc/46/53/78/878465378.db2.gz PFHJLOGEWYWYNW-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N1C[C@H]2CC[C@@H](C1)O2 ZINC001355928793 878782709 /nfs/dbraw/zinc/78/27/09/878782709.db2.gz FCMZKYWOYGKFQA-ZNMIVQPWSA-N 1 2 317.437 1.989 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1C[C@H]2CC[C@@H](C1)O2 ZINC001355928793 878782727 /nfs/dbraw/zinc/78/27/27/878782727.db2.gz FCMZKYWOYGKFQA-ZNMIVQPWSA-N 1 2 317.437 1.989 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220201820 878817885 /nfs/dbraw/zinc/81/78/85/878817885.db2.gz ZWICYQMFGHYFMB-WQVCFCJDSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220201820 878817896 /nfs/dbraw/zinc/81/78/96/878817896.db2.gz ZWICYQMFGHYFMB-WQVCFCJDSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001287710681 912412469 /nfs/dbraw/zinc/41/24/69/912412469.db2.gz RTDFAELGZODYMW-SJCJKPOMSA-N 1 2 320.437 1.826 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc(C[N@@H+]3CCC[C@@H](O)C3)n2CC)C1 ZINC001356502525 879081071 /nfs/dbraw/zinc/08/10/71/879081071.db2.gz SZWNYLYDLPSRGU-LSDHHAIUSA-N 1 2 317.437 1.104 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc(C[N@H+]3CCC[C@@H](O)C3)n2CC)C1 ZINC001356502525 879081077 /nfs/dbraw/zinc/08/10/77/879081077.db2.gz SZWNYLYDLPSRGU-LSDHHAIUSA-N 1 2 317.437 1.104 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCC2CCCCC2)[C@@H](O)C1 ZINC001220893762 879353209 /nfs/dbraw/zinc/35/32/09/879353209.db2.gz RPSYQMOQDBYTLU-SJORKVTESA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCC2CCCCC2)[C@@H](O)C1 ZINC001220893762 879353228 /nfs/dbraw/zinc/35/32/28/879353228.db2.gz RPSYQMOQDBYTLU-SJORKVTESA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2CCC(C)(C)CC2)[C@@H](O)C1 ZINC001221120085 879510694 /nfs/dbraw/zinc/51/06/94/879510694.db2.gz DBNOXZSXVOIGPP-CVEARBPZSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2CCC(C)(C)CC2)[C@@H](O)C1 ZINC001221120085 879510704 /nfs/dbraw/zinc/51/07/04/879510704.db2.gz DBNOXZSXVOIGPP-CVEARBPZSA-N 1 2 322.449 1.014 20 30 DDEDLO C=CCCC(=O)N[C@@H](CC)CNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001356897994 879560805 /nfs/dbraw/zinc/56/08/05/879560805.db2.gz AIBZJWDALDKOHB-KGLIPLIRSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)CCC(F)(F)F ZINC001276824957 880051720 /nfs/dbraw/zinc/05/17/20/880051720.db2.gz XAAUVALNADROBV-QWRGUYRKSA-N 1 2 321.343 1.210 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)CCC(F)(F)F ZINC001276824957 880051738 /nfs/dbraw/zinc/05/17/38/880051738.db2.gz XAAUVALNADROBV-QWRGUYRKSA-N 1 2 321.343 1.210 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001222067064 880208472 /nfs/dbraw/zinc/20/84/72/880208472.db2.gz BNWSPKJSKBMDRD-YPMHNXCESA-N 1 2 308.382 1.050 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001222067313 880211512 /nfs/dbraw/zinc/21/15/12/880211512.db2.gz FXWHQYPWWAOEDS-WCQYABFASA-N 1 2 308.382 1.050 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)C[C@@H]2CCOC2)C1 ZINC001380732726 880296354 /nfs/dbraw/zinc/29/63/54/880296354.db2.gz XNUAJLXAHAJFPY-ZFWWWQNUSA-N 1 2 316.829 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)C[C@@H]2CCOC2)C1 ZINC001380732726 880296365 /nfs/dbraw/zinc/29/63/65/880296365.db2.gz XNUAJLXAHAJFPY-ZFWWWQNUSA-N 1 2 316.829 1.109 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001358279048 880387893 /nfs/dbraw/zinc/38/78/93/880387893.db2.gz OOKXKTFRUDAXFK-QWHCGFSZSA-N 1 2 306.410 1.186 20 30 DDEDLO CCc1nnc(C[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)o1 ZINC001222641002 880617608 /nfs/dbraw/zinc/61/76/08/880617608.db2.gz GKPHDGSJDRFERV-LLVKDONJSA-N 1 2 305.382 1.120 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001380909611 880646024 /nfs/dbraw/zinc/64/60/24/880646024.db2.gz MSLRCPBKBNGRNX-RKDXNWHRSA-N 1 2 318.698 1.456 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC001380909611 880646033 /nfs/dbraw/zinc/64/60/33/880646033.db2.gz MSLRCPBKBNGRNX-RKDXNWHRSA-N 1 2 318.698 1.456 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1CC[NH+](Cc2cnns2)CC1 ZINC001223034050 880796166 /nfs/dbraw/zinc/79/61/66/880796166.db2.gz OQTCQLOSXBWYBJ-HNNXBMFYSA-N 1 2 324.450 1.193 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(CNC(=O)C#CC3CC3)CC2)o1 ZINC001223130435 880842954 /nfs/dbraw/zinc/84/29/54/880842954.db2.gz MMOBKXXWEZNUKU-UHFFFAOYSA-N 1 2 302.378 1.120 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(CNC(=O)C#CC(C)(C)C)CC2)[nH]1 ZINC001223148696 880854348 /nfs/dbraw/zinc/85/43/48/880854348.db2.gz PPADIPPDMJSARR-UHFFFAOYSA-N 1 2 317.437 1.491 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@@H+]1Cc1cn(C)nc1C ZINC001276909547 881050218 /nfs/dbraw/zinc/05/02/18/881050218.db2.gz RNQHFPKXICQWDO-INIZCTEOSA-N 1 2 302.422 1.613 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@H+]1Cc1cn(C)nc1C ZINC001276909547 881050230 /nfs/dbraw/zinc/05/02/30/881050230.db2.gz RNQHFPKXICQWDO-INIZCTEOSA-N 1 2 302.422 1.613 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001276930837 881143729 /nfs/dbraw/zinc/14/37/29/881143729.db2.gz IXCKQLPPEHSFOX-CQSZACIVSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001276930837 881143737 /nfs/dbraw/zinc/14/37/37/881143737.db2.gz IXCKQLPPEHSFOX-CQSZACIVSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccncc3)n2C2CC2)CC1 ZINC001359037418 881165429 /nfs/dbraw/zinc/16/54/29/881165429.db2.gz IWGJZHZSKJLZAY-UHFFFAOYSA-N 1 2 322.416 1.354 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC1CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001224165008 881249150 /nfs/dbraw/zinc/24/91/50/881249150.db2.gz DVFMZGJIBDMTJG-STQMWFEESA-N 1 2 319.453 1.900 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288052340 912696493 /nfs/dbraw/zinc/69/64/93/912696493.db2.gz RNUZKRSASCHZCW-CHWSQXEVSA-N 1 2 304.394 1.320 20 30 DDEDLO CC#CCCCC(=O)NC1CC[NH+](Cc2cnns2)CC1 ZINC001228779467 883666862 /nfs/dbraw/zinc/66/68/62/883666862.db2.gz MVSCWRSBBLFPAF-UHFFFAOYSA-N 1 2 306.435 1.812 20 30 DDEDLO COc1cnc(SC)nc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001230032266 884291148 /nfs/dbraw/zinc/29/11/48/884291148.db2.gz KEBDDFGZAAFQGH-ADAFDVPTSA-N 1 2 309.391 1.198 20 30 DDEDLO COc1cnc(SC)nc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001230032266 884291162 /nfs/dbraw/zinc/29/11/62/884291162.db2.gz KEBDDFGZAAFQGH-ADAFDVPTSA-N 1 2 309.391 1.198 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2nonc2C)CC1 ZINC001230407358 884476104 /nfs/dbraw/zinc/47/61/04/884476104.db2.gz OFEQOUUBXWVQGB-GFCCVEGCSA-N 1 2 308.382 1.050 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2coc(C)n2)CC1 ZINC001230412009 884480157 /nfs/dbraw/zinc/48/01/57/884480157.db2.gz YZCGDOXPOCSHPI-UHFFFAOYSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)C(C)(C)F)C1=O ZINC001230609209 884649803 /nfs/dbraw/zinc/64/98/03/884649803.db2.gz YHVIHSWDXIKPSX-QWHCGFSZSA-N 1 2 311.401 1.054 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C(C)(C)F)C1=O ZINC001230609209 884649813 /nfs/dbraw/zinc/64/98/13/884649813.db2.gz YHVIHSWDXIKPSX-QWHCGFSZSA-N 1 2 311.401 1.054 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CCC ZINC001230633404 884674080 /nfs/dbraw/zinc/67/40/80/884674080.db2.gz GRKNVSOTONWXQG-OAHLLOKOSA-N 1 2 307.438 1.520 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC ZINC001230633404 884674096 /nfs/dbraw/zinc/67/40/96/884674096.db2.gz GRKNVSOTONWXQG-OAHLLOKOSA-N 1 2 307.438 1.520 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)C ZINC001230650993 884698514 /nfs/dbraw/zinc/69/85/14/884698514.db2.gz JNFWCRMOFGSNSY-INIZCTEOSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)C ZINC001230650993 884698522 /nfs/dbraw/zinc/69/85/22/884698522.db2.gz JNFWCRMOFGSNSY-INIZCTEOSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C(C)CCC(=O)NCCC[N@H+](CC(N)=O)Cc1cccnc1 ZINC001230772089 884860769 /nfs/dbraw/zinc/86/07/69/884860769.db2.gz WESTZVRSIPBJMB-UHFFFAOYSA-N 1 2 318.421 1.232 20 30 DDEDLO C=C(C)CCC(=O)NCCC[N@@H+](CC(N)=O)Cc1cccnc1 ZINC001230772089 884860781 /nfs/dbraw/zinc/86/07/81/884860781.db2.gz WESTZVRSIPBJMB-UHFFFAOYSA-N 1 2 318.421 1.232 20 30 DDEDLO COc1ccc2c(c1)ncnc2O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001230868605 884991529 /nfs/dbraw/zinc/99/15/29/884991529.db2.gz VELOZVDEIRLKRT-BDOHNGBXSA-N 1 2 313.357 1.630 20 30 DDEDLO COc1ccc2c(c1)ncnc2O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001230868605 884991546 /nfs/dbraw/zinc/99/15/46/884991546.db2.gz VELOZVDEIRLKRT-BDOHNGBXSA-N 1 2 313.357 1.630 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C(/C)C1CC1 ZINC001230956825 885082482 /nfs/dbraw/zinc/08/24/82/885082482.db2.gz BWJLDFYWEWTMLK-FTCZOVHISA-N 1 2 317.433 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C=C(/C)C1CC1 ZINC001230956825 885082499 /nfs/dbraw/zinc/08/24/99/885082499.db2.gz BWJLDFYWEWTMLK-FTCZOVHISA-N 1 2 317.433 1.013 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C2CC2)no1 ZINC001231240245 885417379 /nfs/dbraw/zinc/41/73/79/885417379.db2.gz JKDHAWDDCWIHRL-AWEZNQCLSA-N 1 2 319.405 1.787 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C2CC2)no1 ZINC001231240245 885417388 /nfs/dbraw/zinc/41/73/88/885417388.db2.gz JKDHAWDDCWIHRL-AWEZNQCLSA-N 1 2 319.405 1.787 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231294129 885477108 /nfs/dbraw/zinc/47/71/08/885477108.db2.gz VASSPBMIAVXPSE-WCQYABFASA-N 1 2 304.394 1.738 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231294129 885477114 /nfs/dbraw/zinc/47/71/14/885477114.db2.gz VASSPBMIAVXPSE-WCQYABFASA-N 1 2 304.394 1.738 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@@H]2C[C@@]21C(=O)N1CC(CC#N)C1 ZINC001277558878 885841460 /nfs/dbraw/zinc/84/14/60/885841460.db2.gz FGWKSGSBNBHFBC-PBHICJAKSA-N 1 2 311.389 1.122 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@@H]2C[C@@]21C(=O)N1CC(CC#N)C1 ZINC001277558878 885841465 /nfs/dbraw/zinc/84/14/65/885841465.db2.gz FGWKSGSBNBHFBC-PBHICJAKSA-N 1 2 311.389 1.122 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2ccnc(C3CC3)c2)C1 ZINC001277625759 886144567 /nfs/dbraw/zinc/14/45/67/886144567.db2.gz LAHARZYTWQGSDX-UHFFFAOYSA-N 1 2 315.417 1.918 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3nccnc3C#N)CC2)c(C)n1 ZINC001232335221 886251484 /nfs/dbraw/zinc/25/14/84/886251484.db2.gz VDIGGKKTAPZXEC-UHFFFAOYSA-N 1 2 324.388 1.383 20 30 DDEDLO N#Cc1ccc(O)c(C[NH+]2CCN(Cc3ccccn3)CC2)c1 ZINC001232675150 886525803 /nfs/dbraw/zinc/52/58/03/886525803.db2.gz MGCWCHUAHGFVAQ-UHFFFAOYSA-N 1 2 308.385 1.977 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)[C@H](CC#N)c1ccccc1 ZINC001363555094 886625430 /nfs/dbraw/zinc/62/54/30/886625430.db2.gz BUDOGYSPFHQXRE-DLBZAZTESA-N 1 2 315.417 1.911 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)[C@H](CC#N)c1ccccc1 ZINC001363555094 886625436 /nfs/dbraw/zinc/62/54/36/886625436.db2.gz BUDOGYSPFHQXRE-DLBZAZTESA-N 1 2 315.417 1.911 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1CCC(OCC(=O)N2CCCC2)CC1 ZINC001233049252 886757542 /nfs/dbraw/zinc/75/75/42/886757542.db2.gz LMFIGHXFLDXRJA-UHFFFAOYSA-N 1 2 316.405 1.490 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@H](C#N)CC2)cc1S(N)(=O)=O ZINC001233303984 886913621 /nfs/dbraw/zinc/91/36/21/886913621.db2.gz VQFLBFXTCSVZDH-LBPRGKRZSA-N 1 2 323.418 1.468 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@H](C#N)CC2)cc1S(N)(=O)=O ZINC001233303984 886913629 /nfs/dbraw/zinc/91/36/29/886913629.db2.gz VQFLBFXTCSVZDH-LBPRGKRZSA-N 1 2 323.418 1.468 20 30 DDEDLO C[C@@H]1CN(C2C[NH+](Cc3ccc(C#N)cc3O)C2)C[C@H](C)O1 ZINC001233387986 886968911 /nfs/dbraw/zinc/96/89/11/886968911.db2.gz HPRNQVITIWAMMC-BETUJISGSA-N 1 2 301.390 1.557 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C=C(CC)CC ZINC001233601313 887141025 /nfs/dbraw/zinc/14/10/25/887141025.db2.gz SNYYERDAPVDNQU-OAHLLOKOSA-N 1 2 307.438 1.568 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C=C(CC)CC ZINC001233601313 887141028 /nfs/dbraw/zinc/14/10/28/887141028.db2.gz SNYYERDAPVDNQU-OAHLLOKOSA-N 1 2 307.438 1.568 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@@H+]2CCc3ccccc3[C@H]2C(N)=O)c1 ZINC001363778271 887194777 /nfs/dbraw/zinc/19/47/77/887194777.db2.gz CRFKOJHECQACNS-MSOLQXFVSA-N 1 2 321.380 1.676 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@H+]2CCc3ccccc3[C@H]2C(N)=O)c1 ZINC001363778271 887194788 /nfs/dbraw/zinc/19/47/88/887194788.db2.gz CRFKOJHECQACNS-MSOLQXFVSA-N 1 2 321.380 1.676 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC(C)C ZINC001233763286 887298188 /nfs/dbraw/zinc/29/81/88/887298188.db2.gz ZFADUUOELLJDJV-HNNXBMFYSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC(C)C ZINC001233763286 887298202 /nfs/dbraw/zinc/29/82/02/887298202.db2.gz ZFADUUOELLJDJV-HNNXBMFYSA-N 1 2 307.438 1.237 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cncs1 ZINC001233979354 887522769 /nfs/dbraw/zinc/52/27/69/887522769.db2.gz ZUYSLNCLIVACSV-SWLSCSKDSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cncs1 ZINC001233979354 887522780 /nfs/dbraw/zinc/52/27/80/887522780.db2.gz ZUYSLNCLIVACSV-SWLSCSKDSA-N 1 2 309.435 1.503 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234194450 887735423 /nfs/dbraw/zinc/73/54/23/887735423.db2.gz DACMCFSFTPXUNN-ZFWWWQNUSA-N 1 2 319.449 1.236 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234194450 887735436 /nfs/dbraw/zinc/73/54/36/887735436.db2.gz DACMCFSFTPXUNN-ZFWWWQNUSA-N 1 2 319.449 1.236 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@]1(C)CC=CCC1 ZINC001234527921 888058387 /nfs/dbraw/zinc/05/83/87/888058387.db2.gz PSYWLTWKYWXGJX-FUHWJXTLSA-N 1 2 304.434 1.915 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@]1(C)CC=CCC1 ZINC001234527921 888058404 /nfs/dbraw/zinc/05/84/04/888058404.db2.gz PSYWLTWKYWXGJX-FUHWJXTLSA-N 1 2 304.434 1.915 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NCC ZINC001234555543 888087001 /nfs/dbraw/zinc/08/70/01/888087001.db2.gz WFANWVLYGNCYFE-LBPRGKRZSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NCC ZINC001234555543 888087017 /nfs/dbraw/zinc/08/70/17/888087017.db2.gz WFANWVLYGNCYFE-LBPRGKRZSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001234583122 888117856 /nfs/dbraw/zinc/11/78/56/888117856.db2.gz IIHSFDKYRGSFHV-OAHLLOKOSA-N 1 2 307.438 1.496 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001234583122 888117866 /nfs/dbraw/zinc/11/78/66/888117866.db2.gz IIHSFDKYRGSFHV-OAHLLOKOSA-N 1 2 307.438 1.496 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CC ZINC001234604709 888135000 /nfs/dbraw/zinc/13/50/00/888135000.db2.gz UGKCJXUAAGDFFM-OAHLLOKOSA-N 1 2 309.454 1.744 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CC ZINC001234604709 888135004 /nfs/dbraw/zinc/13/50/04/888135004.db2.gz UGKCJXUAAGDFFM-OAHLLOKOSA-N 1 2 309.454 1.744 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC(=C)C ZINC001234624302 888150409 /nfs/dbraw/zinc/15/04/09/888150409.db2.gz ASZURLJNCSCXAY-HUUCEWRRSA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCC(=C)C ZINC001234624302 888150414 /nfs/dbraw/zinc/15/04/14/888150414.db2.gz ASZURLJNCSCXAY-HUUCEWRRSA-N 1 2 307.438 1.566 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(OC)c(C(=O)OC)c2)CC1 ZINC001234974323 888284038 /nfs/dbraw/zinc/28/40/38/888284038.db2.gz FOXYSQIXKPKLDF-UHFFFAOYSA-N 1 2 302.374 1.233 20 30 DDEDLO COc1ccc(NC(C)=O)cc1NC(=O)[C@@H](C)[NH2+][C@@H](C)CC#N ZINC001364452750 888668833 /nfs/dbraw/zinc/66/88/33/888668833.db2.gz AVSRCHMNOHEVGE-WDEREUQCSA-N 1 2 318.377 1.872 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nnc(C)n1CCC ZINC001235698177 888890251 /nfs/dbraw/zinc/89/02/51/888890251.db2.gz JOLSUNCLOQPSDF-UHFFFAOYSA-N 1 2 323.441 1.137 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nnc(C)n1CCC ZINC001235698177 888890260 /nfs/dbraw/zinc/89/02/60/888890260.db2.gz JOLSUNCLOQPSDF-UHFFFAOYSA-N 1 2 323.441 1.137 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H](C)[NH2+]Cc2nc(C)no2)nc1 ZINC001277994959 889293496 /nfs/dbraw/zinc/29/34/96/889293496.db2.gz LTODDJKYCUWJKG-LLVKDONJSA-N 1 2 313.361 1.005 20 30 DDEDLO C#CCN(C(=O)C(C)(C)F)C1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001278107170 889672559 /nfs/dbraw/zinc/67/25/59/889672559.db2.gz FYDWWFWNNOLFHC-UHFFFAOYSA-N 1 2 322.384 1.552 20 30 DDEDLO N#Cc1cc(F)cc(F)c1NS(=O)(=O)CC1CC[NH2+]CC1 ZINC001237773036 889838828 /nfs/dbraw/zinc/83/88/28/889838828.db2.gz IHUOYMXJAIMYHQ-UHFFFAOYSA-N 1 2 315.345 1.578 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(Cl)c(C#N)c2)CCCO1 ZINC001238198695 890130410 /nfs/dbraw/zinc/13/04/10/890130410.db2.gz MJVSGFLYCBDKGZ-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(Cl)c(C#N)c2)CCCO1 ZINC001238198695 890130416 /nfs/dbraw/zinc/13/04/16/890130416.db2.gz MJVSGFLYCBDKGZ-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO Cc1c(C[N@@H+]2CC[C@H]3C[C@]32C(=O)NC2(C#N)CCC2)cnn1C ZINC001278333725 891239774 /nfs/dbraw/zinc/23/97/74/891239774.db2.gz LZYNIYIMVBQWIK-WMLDXEAASA-N 1 2 313.405 1.255 20 30 DDEDLO Cc1c(C[N@H+]2CC[C@H]3C[C@]32C(=O)NC2(C#N)CCC2)cnn1C ZINC001278333725 891239785 /nfs/dbraw/zinc/23/97/85/891239785.db2.gz LZYNIYIMVBQWIK-WMLDXEAASA-N 1 2 313.405 1.255 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@]1(C)CC[N@H+](Cc2nc(C)cs2)C1 ZINC001278389111 891922073 /nfs/dbraw/zinc/92/20/73/891922073.db2.gz PVDWXRWTVDBHTB-CZUORRHYSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@]1(C)CC[N@@H+](Cc2nc(C)cs2)C1 ZINC001278389111 891922082 /nfs/dbraw/zinc/92/20/82/891922082.db2.gz PVDWXRWTVDBHTB-CZUORRHYSA-N 1 2 321.446 1.570 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@@H+](Cc2coc(C)n2)C1 ZINC001278452055 892660677 /nfs/dbraw/zinc/66/06/77/892660677.db2.gz ZQHQWEBMLXZWGO-MRXNPFEDSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@H+](Cc2coc(C)n2)C1 ZINC001278452055 892660682 /nfs/dbraw/zinc/66/06/82/892660682.db2.gz ZQHQWEBMLXZWGO-MRXNPFEDSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCCCNC(=S)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001247709656 893311890 /nfs/dbraw/zinc/31/18/90/893311890.db2.gz TZKXMRVSSIHFRH-UHFFFAOYSA-N 1 2 323.466 1.468 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@H+](CC(N)=O)[C@H]2C)CCCC1 ZINC001278533323 894116679 /nfs/dbraw/zinc/11/66/79/894116679.db2.gz QGSDKXJERDLLSO-UONOGXRCSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@@H+](CC(N)=O)[C@H]2C)CCCC1 ZINC001278533323 894116680 /nfs/dbraw/zinc/11/66/80/894116680.db2.gz QGSDKXJERDLLSO-UONOGXRCSA-N 1 2 307.438 1.577 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001366628090 894335767 /nfs/dbraw/zinc/33/57/67/894335767.db2.gz QXDVEXOZFOWJHB-LLVKDONJSA-N 1 2 311.817 1.415 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001366628090 894335781 /nfs/dbraw/zinc/33/57/81/894335781.db2.gz QXDVEXOZFOWJHB-LLVKDONJSA-N 1 2 311.817 1.415 20 30 DDEDLO CN(CCNC(=O)Cn1cc[nH+]c1)c1ncc(C#N)cc1Cl ZINC001374793474 913941512 /nfs/dbraw/zinc/94/15/12/913941512.db2.gz ODVHEUMGEDDVJD-UHFFFAOYSA-N 1 2 318.768 1.056 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)CC2OCCCO2)C1 ZINC001367063754 895723151 /nfs/dbraw/zinc/72/31/51/895723151.db2.gz AFGORSAYGHANAV-CYBMUJFWSA-N 1 2 316.829 1.815 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)CC2OCCCO2)C1 ZINC001367063754 895723170 /nfs/dbraw/zinc/72/31/70/895723170.db2.gz AFGORSAYGHANAV-CYBMUJFWSA-N 1 2 316.829 1.815 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@H](C)C#N)CC[N@@H+]1Cc1ncc(C)cn1 ZINC001388768280 895856148 /nfs/dbraw/zinc/85/61/48/895856148.db2.gz JISGOAACNUCXNR-HZSPNIEDSA-N 1 2 301.394 1.414 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@H](C)C#N)CC[N@H+]1Cc1ncc(C)cn1 ZINC001388768280 895856159 /nfs/dbraw/zinc/85/61/59/895856159.db2.gz JISGOAACNUCXNR-HZSPNIEDSA-N 1 2 301.394 1.414 20 30 DDEDLO CC[C@H](CC#N)[N@@H+]1C[C@@H](NC(=O)OC(C)(C)C)C2(COC2)C1 ZINC001255177521 896771097 /nfs/dbraw/zinc/77/10/97/896771097.db2.gz UBTMLHSBTBGFTQ-CHWSQXEVSA-N 1 2 309.410 1.904 20 30 DDEDLO CC[C@H](CC#N)[N@H+]1C[C@@H](NC(=O)OC(C)(C)C)C2(COC2)C1 ZINC001255177521 896771104 /nfs/dbraw/zinc/77/11/04/896771104.db2.gz UBTMLHSBTBGFTQ-CHWSQXEVSA-N 1 2 309.410 1.904 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@@H](C)c2c(F)cccc2F)C1 ZINC001278888545 897119551 /nfs/dbraw/zinc/11/95/51/897119551.db2.gz UBEKLGRGIKNAAE-LBPRGKRZSA-N 1 2 322.355 1.255 20 30 DDEDLO C=CC[N@@H+](C)CCN(C(=O)CS(=O)(=O)C(C)(C)C)C(C)C ZINC001278926678 897355569 /nfs/dbraw/zinc/35/55/69/897355569.db2.gz UWHOSZJTDWOCSU-UHFFFAOYSA-N 1 2 318.483 1.555 20 30 DDEDLO C=CC[N@H+](C)CCN(C(=O)CS(=O)(=O)C(C)(C)C)C(C)C ZINC001278926678 897355580 /nfs/dbraw/zinc/35/55/80/897355580.db2.gz UWHOSZJTDWOCSU-UHFFFAOYSA-N 1 2 318.483 1.555 20 30 DDEDLO COCC[N@@H+](CC#Cc1cccc(Cl)c1)CCNC(C)=O ZINC001279040432 897937531 /nfs/dbraw/zinc/93/75/31/897937531.db2.gz AVJGQLHTVDJKOT-UHFFFAOYSA-N 1 2 308.809 1.776 20 30 DDEDLO COCC[N@H+](CC#Cc1cccc(Cl)c1)CCNC(C)=O ZINC001279040432 897937544 /nfs/dbraw/zinc/93/75/44/897937544.db2.gz AVJGQLHTVDJKOT-UHFFFAOYSA-N 1 2 308.809 1.776 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)NCc1c[nH+]cn1C1CCC1 ZINC001258329486 898139251 /nfs/dbraw/zinc/13/92/51/898139251.db2.gz LOUFYWWNZPNFEE-UHFFFAOYSA-N 1 2 316.386 1.958 20 30 DDEDLO C=CCCC(=O)N[C@@]1(C)CCN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001299513943 898247157 /nfs/dbraw/zinc/24/71/57/898247157.db2.gz ICYIBNDWJNKGNU-KRWDZBQOSA-N 1 2 318.421 1.426 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C1C[NH+](Cc2cccc(N(C)C)c2)C1 ZINC001368072702 898637416 /nfs/dbraw/zinc/63/74/16/898637416.db2.gz YGCUWTOIWHFMNZ-ZDUSSCGKSA-N 1 2 300.406 1.555 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@](C)(CNC(=O)Cn2cc[nH+]c2)C1 ZINC001299642420 899019195 /nfs/dbraw/zinc/01/91/95/899019195.db2.gz QUWOVDPNJPNZRD-KRWDZBQOSA-N 1 2 318.421 1.594 20 30 DDEDLO Cc1cccc(S(=O)(=O)NC2(C#N)CC[NH+](C)CC2)c1C ZINC001261003827 899320616 /nfs/dbraw/zinc/32/06/16/899320616.db2.gz CZJVKURSQHWMOO-UHFFFAOYSA-N 1 2 307.419 1.570 20 30 DDEDLO Cc1ncc(C(=O)NCC[N@@H+](C)Cc2cc(C#N)ccc2F)[nH]1 ZINC001390743856 900077171 /nfs/dbraw/zinc/07/71/71/900077171.db2.gz WZCYUTKADIHFOP-UHFFFAOYSA-N 1 2 315.352 1.591 20 30 DDEDLO Cc1ncc(C(=O)NCC[N@H+](C)Cc2cc(C#N)ccc2F)[nH]1 ZINC001390743856 900077181 /nfs/dbraw/zinc/07/71/81/900077181.db2.gz WZCYUTKADIHFOP-UHFFFAOYSA-N 1 2 315.352 1.591 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC ZINC001263009924 900466741 /nfs/dbraw/zinc/46/67/41/900466741.db2.gz LKGLHNBKZQTGEK-CKEIUWERSA-N 1 2 317.437 1.202 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC ZINC001263009924 900466744 /nfs/dbraw/zinc/46/67/44/900466744.db2.gz LKGLHNBKZQTGEK-CKEIUWERSA-N 1 2 317.437 1.202 20 30 DDEDLO C=CCC[C@@H]1CCCN1C(=O)N[C@@H](C)[C@H]1CN(C)CC[N@@H+]1C ZINC001263778579 900705340 /nfs/dbraw/zinc/70/53/40/900705340.db2.gz NNSSQMYMMNGROB-ARFHVFGLSA-N 1 2 308.470 1.761 20 30 DDEDLO C=CCC[C@@H]1CCCN1C(=O)N[C@@H](C)[C@H]1CN(C)CC[N@H+]1C ZINC001263778579 900705346 /nfs/dbraw/zinc/70/53/46/900705346.db2.gz NNSSQMYMMNGROB-ARFHVFGLSA-N 1 2 308.470 1.761 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cncn1C ZINC001264368451 901047491 /nfs/dbraw/zinc/04/74/91/901047491.db2.gz FYFBDKIAAJHQCR-HNNXBMFYSA-N 1 2 302.422 1.646 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cncn1C ZINC001264368451 901047505 /nfs/dbraw/zinc/04/75/05/901047505.db2.gz FYFBDKIAAJHQCR-HNNXBMFYSA-N 1 2 302.422 1.646 20 30 DDEDLO CCc1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#CCOC)no1 ZINC001264376894 901063730 /nfs/dbraw/zinc/06/37/30/901063730.db2.gz QIQRDUPGNBNVPY-CYBMUJFWSA-N 1 2 305.378 1.033 20 30 DDEDLO CCc1cc(C(=O)N(C)C[C@H]2CC[N@H+]2CC#CCOC)no1 ZINC001264376894 901063737 /nfs/dbraw/zinc/06/37/37/901063737.db2.gz QIQRDUPGNBNVPY-CYBMUJFWSA-N 1 2 305.378 1.033 20 30 DDEDLO N#Cc1ccccc1C[N@@H+](CCNC(=O)c1cocn1)C1CC1 ZINC001391154856 901082681 /nfs/dbraw/zinc/08/26/81/901082681.db2.gz LDMJCHUBEILHPL-UHFFFAOYSA-N 1 2 310.357 1.941 20 30 DDEDLO N#Cc1ccccc1C[N@H+](CCNC(=O)c1cocn1)C1CC1 ZINC001391154856 901082692 /nfs/dbraw/zinc/08/26/92/901082692.db2.gz LDMJCHUBEILHPL-UHFFFAOYSA-N 1 2 310.357 1.941 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@H](C)C#N)[C@@H](C)c1cnc(C)cn1 ZINC001369550523 901515286 /nfs/dbraw/zinc/51/52/86/901515286.db2.gz PBACBFIJTPFMPY-OCCSQVGLSA-N 1 2 319.409 1.070 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@H](C)C#N)[C@@H](C)c1cnc(C)cn1 ZINC001369550523 901515294 /nfs/dbraw/zinc/51/52/94/901515294.db2.gz PBACBFIJTPFMPY-OCCSQVGLSA-N 1 2 319.409 1.070 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C1CC[NH+](Cc2ccon2)CC1 ZINC001265191883 901686483 /nfs/dbraw/zinc/68/64/83/901686483.db2.gz HGSMPFLMUFTLBC-CYBMUJFWSA-N 1 2 305.378 1.136 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)Cc2cnn(C)c2)C1 ZINC001391559603 902001880 /nfs/dbraw/zinc/00/18/80/902001880.db2.gz AWQFBDOULWZWJL-FZMZJTMJSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)Cc2cnn(C)c2)C1 ZINC001391559603 902001890 /nfs/dbraw/zinc/00/18/90/902001890.db2.gz AWQFBDOULWZWJL-FZMZJTMJSA-N 1 2 310.829 1.542 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CCC[N@H+](Cc2ncccn2)C1 ZINC001265588771 902167062 /nfs/dbraw/zinc/16/70/62/902167062.db2.gz IOWZMIFABCANIT-MRXNPFEDSA-N 1 2 314.433 1.998 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CCC[N@@H+](Cc2ncccn2)C1 ZINC001265588771 902167076 /nfs/dbraw/zinc/16/70/76/902167076.db2.gz IOWZMIFABCANIT-MRXNPFEDSA-N 1 2 314.433 1.998 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([N@@H+](C)[C@H](C)c2ncccn2)C1 ZINC001266094510 902929544 /nfs/dbraw/zinc/92/95/44/902929544.db2.gz VPCOSSLZVJUFDK-CABCVRRESA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([N@H+](C)[C@H](C)c2ncccn2)C1 ZINC001266094510 902929552 /nfs/dbraw/zinc/92/95/52/902929552.db2.gz VPCOSSLZVJUFDK-CABCVRRESA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)Cc2ccc(C)o2)C1 ZINC001266211063 903118109 /nfs/dbraw/zinc/11/81/09/903118109.db2.gz ALLZXGKXFPBFRR-AWEZNQCLSA-N 1 2 319.405 1.013 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)Cc2ccc(C)o2)C1 ZINC001266211063 903118111 /nfs/dbraw/zinc/11/81/11/903118111.db2.gz ALLZXGKXFPBFRR-AWEZNQCLSA-N 1 2 319.405 1.013 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]2C[N@H+](Cc3cnon3)C[C@H]21 ZINC001279624347 903360588 /nfs/dbraw/zinc/36/05/88/903360588.db2.gz HECXQGULJOZIPN-DZGCQCFKSA-N 1 2 304.394 1.849 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]2C[N@@H+](Cc3cnon3)C[C@H]21 ZINC001279624347 903360601 /nfs/dbraw/zinc/36/06/01/903360601.db2.gz HECXQGULJOZIPN-DZGCQCFKSA-N 1 2 304.394 1.849 20 30 DDEDLO C=CCC(C)(C)C(=O)NC1CC(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001280008626 903486360 /nfs/dbraw/zinc/48/63/60/903486360.db2.gz MKIYHTVQQGBHRM-UHFFFAOYSA-N 1 2 318.421 1.566 20 30 DDEDLO C[C@@H](NCC#N)c1ccc(CNC(=O)CCn2cc[nH+]c2)cc1 ZINC001280354450 903603983 /nfs/dbraw/zinc/60/39/83/903603983.db2.gz POWPJZMEBHVDGX-CQSZACIVSA-N 1 2 311.389 1.764 20 30 DDEDLO C=CCC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@]1(C)CCCS1(=O)=O ZINC001316605410 903942482 /nfs/dbraw/zinc/94/24/82/903942482.db2.gz XEANVHBTFTXSIP-UKRRQHHQSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@]1(C)CCCS1(=O)=O ZINC001316605410 903942493 /nfs/dbraw/zinc/94/24/93/903942493.db2.gz XEANVHBTFTXSIP-UKRRQHHQSA-N 1 2 314.451 1.063 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)C[C@H]1CCOC1 ZINC001281073066 904376941 /nfs/dbraw/zinc/37/69/41/904376941.db2.gz TYMSIKCAPGMQFS-QAPCUYQASA-N 1 2 312.413 1.589 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)C[C@H]1CCOC1 ZINC001281073066 904376951 /nfs/dbraw/zinc/37/69/51/904376951.db2.gz TYMSIKCAPGMQFS-QAPCUYQASA-N 1 2 312.413 1.589 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)CCc2[nH+]ccn2C)C1 ZINC001281177886 904533381 /nfs/dbraw/zinc/53/33/81/904533381.db2.gz UKPLAFJDPQOWTL-ZIAGYGMSSA-N 1 2 318.421 1.425 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)CCC(=O)NCC)cc2C1 ZINC001281293532 904659475 /nfs/dbraw/zinc/65/94/75/904659475.db2.gz SFYPAGKXSSELAY-UHFFFAOYSA-N 1 2 313.401 1.168 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)CCC(=O)NCC)cc2C1 ZINC001281293532 904659481 /nfs/dbraw/zinc/65/94/81/904659481.db2.gz SFYPAGKXSSELAY-UHFFFAOYSA-N 1 2 313.401 1.168 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C[NH2+]Cc1nc(C2CCC2)no1)C1CC1 ZINC001392775107 905215883 /nfs/dbraw/zinc/21/58/83/905215883.db2.gz VJBMWICLDZOLNY-ZWNOBZJWSA-N 1 2 317.393 1.481 20 30 DDEDLO C=CCCC(=O)NC1(CO)CC[NH+](Cc2cc(C)n(C)n2)CC1 ZINC001281799777 905228787 /nfs/dbraw/zinc/22/87/87/905228787.db2.gz MQVUUGUIKDXYNC-UHFFFAOYSA-N 1 2 320.437 1.138 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1C[NH2+]Cc1nnc(C)o1 ZINC001281853030 905267262 /nfs/dbraw/zinc/26/72/62/905267262.db2.gz JYYTXOFLWUZJHJ-ZDUSSCGKSA-N 1 2 308.382 1.051 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCCC[C@H]1C[NH2+]Cc1nnn(C)n1 ZINC001281878341 905285288 /nfs/dbraw/zinc/28/52/88/905285288.db2.gz RGLNEDASKITNDF-KGLIPLIRSA-N 1 2 320.441 1.283 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnc(C2CC2)o1)[C@H](C)NC(=O)C#CC1CC1 ZINC001282128064 905565960 /nfs/dbraw/zinc/56/59/60/905565960.db2.gz GOYYXGSQSVKDPF-MNOVXSKESA-N 1 2 302.378 1.343 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001282527249 905850747 /nfs/dbraw/zinc/85/07/47/905850747.db2.gz IDRQQULVEMOCNT-PHZGNYQRSA-N 1 2 304.434 1.389 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2ccc(C(C)C)cn2)C1 ZINC001282537996 905858698 /nfs/dbraw/zinc/85/86/98/905858698.db2.gz VPZBDGLQTNWRRJ-UHFFFAOYSA-N 1 2 315.417 1.395 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)CCOC(C)C)C1 ZINC001393436488 906990165 /nfs/dbraw/zinc/99/01/65/906990165.db2.gz QQWQWEQCIFXBNR-HNNXBMFYSA-N 1 2 318.845 1.497 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)CCOC(C)C)C1 ZINC001393436488 906990184 /nfs/dbraw/zinc/99/01/84/906990184.db2.gz QQWQWEQCIFXBNR-HNNXBMFYSA-N 1 2 318.845 1.497 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001283308403 907100931 /nfs/dbraw/zinc/10/09/31/907100931.db2.gz CUVHNYIGWPXZLM-GFCCVEGCSA-N 1 2 306.410 1.485 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)[C@H](O)C(C)C ZINC001372511323 907491049 /nfs/dbraw/zinc/49/10/49/907491049.db2.gz ZBNIMWSHFWMHNQ-NWDGAFQWSA-N 1 2 319.243 1.493 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H](O)C(C)C ZINC001372511323 907491057 /nfs/dbraw/zinc/49/10/57/907491057.db2.gz ZBNIMWSHFWMHNQ-NWDGAFQWSA-N 1 2 319.243 1.493 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[NH+](CCCNC(=O)CC(C)(C)C)CC1 ZINC001283814815 908000973 /nfs/dbraw/zinc/00/09/73/908000973.db2.gz CMCVNXSPOOLYJR-AWEZNQCLSA-N 1 2 322.453 1.233 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](CNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001283951013 908230280 /nfs/dbraw/zinc/23/02/80/908230280.db2.gz SKZRJCMCUUTBIM-HIFRSBDPSA-N 1 2 318.421 1.496 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](CNC(=O)Cc1c[nH+]cn1C)C1CC1 ZINC001283950609 908230729 /nfs/dbraw/zinc/23/07/29/908230729.db2.gz WMNWRNJUZNDGQQ-CQSZACIVSA-N 1 2 318.421 1.186 20 30 DDEDLO CCn1nncc1C[N@@H+]1CCC[C@H](NC(=O)C#CC2CC2)[C@H]1C ZINC001284123378 908513554 /nfs/dbraw/zinc/51/35/54/908513554.db2.gz RBNFNIPTEDBFHK-CJNGLKHVSA-N 1 2 315.421 1.181 20 30 DDEDLO CCn1nncc1C[N@H+]1CCC[C@H](NC(=O)C#CC2CC2)[C@H]1C ZINC001284123378 908513564 /nfs/dbraw/zinc/51/35/64/908513564.db2.gz RBNFNIPTEDBFHK-CJNGLKHVSA-N 1 2 315.421 1.181 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001394073865 908712161 /nfs/dbraw/zinc/71/21/61/908712161.db2.gz KMKVGHSXCUSJCG-FZMZJTMJSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001394073865 908712165 /nfs/dbraw/zinc/71/21/65/908712165.db2.gz KMKVGHSXCUSJCG-FZMZJTMJSA-N 1 2 301.818 1.092 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@H](O)C[NH2+]Cc1csnn1 ZINC001284447184 909014808 /nfs/dbraw/zinc/01/48/08/909014808.db2.gz RHTYSTBKDFIDNS-GFCCVEGCSA-N 1 2 312.439 1.049 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](O)C[N@H+](C)Cc1ncc(C)cn1 ZINC001284484204 909067255 /nfs/dbraw/zinc/06/72/55/909067255.db2.gz ZSJUEACTZVXSPU-CQSZACIVSA-N 1 2 306.410 1.002 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1ncc(C)cn1 ZINC001284484204 909067271 /nfs/dbraw/zinc/06/72/71/909067271.db2.gz ZSJUEACTZVXSPU-CQSZACIVSA-N 1 2 306.410 1.002 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(C)C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001284584791 909215033 /nfs/dbraw/zinc/21/50/33/909215033.db2.gz YJRSEWTWQWVTCH-AWEZNQCLSA-N 1 2 318.421 1.765 20 30 DDEDLO C=CC(C)(C)C(=O)N(CCNC(=O)Cc1[nH]c[nH+]c1C)C1CC1 ZINC001284744599 909431480 /nfs/dbraw/zinc/43/14/80/909431480.db2.gz DCYOHJOIWXVSDM-UHFFFAOYSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CCCC(=O)N(C)CC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001284850985 909565669 /nfs/dbraw/zinc/56/56/69/909565669.db2.gz MNZRFYHIVPFIQR-UHFFFAOYSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H](CC)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001285078388 910039957 /nfs/dbraw/zinc/03/99/57/910039957.db2.gz BNRSFPRCCWWVHU-GXTWGEPZSA-N 1 2 320.437 1.874 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001285232142 910252180 /nfs/dbraw/zinc/25/21/80/910252180.db2.gz KOTYHIJAKQWBMQ-LBPRGKRZSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001285232142 910252194 /nfs/dbraw/zinc/25/21/94/910252194.db2.gz KOTYHIJAKQWBMQ-LBPRGKRZSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC1CC(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001285270625 910287733 /nfs/dbraw/zinc/28/77/33/910287733.db2.gz XWZISNNGCDFGSI-IYXRBSQSSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001285274080 910289407 /nfs/dbraw/zinc/28/94/07/910289407.db2.gz SSMNZVMQMBRLCP-HNNXBMFYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001285702859 911057677 /nfs/dbraw/zinc/05/76/77/911057677.db2.gz QVFKRQQHPBMVQB-GFCCVEGCSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)N(C)C(=O)CCn1cc[nH+]c1 ZINC001285774887 911189689 /nfs/dbraw/zinc/18/96/89/911189689.db2.gz FIGJEPQYAMBUCG-ZDUSSCGKSA-N 1 2 306.410 1.449 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001285880545 911356041 /nfs/dbraw/zinc/35/60/41/911356041.db2.gz XYSUWOKFFBEIQC-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO CCCC[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)NC(=O)C#CC1CC1 ZINC001286075466 911646931 /nfs/dbraw/zinc/64/69/31/911646931.db2.gz JKSXVKRYHYUGEA-AWEZNQCLSA-N 1 2 316.405 1.157 20 30 DDEDLO C=C(Br)C[NH2+]C[C@H](C)CNC(=O)c1[nH]ncc1F ZINC001374069608 911795398 /nfs/dbraw/zinc/79/53/98/911795398.db2.gz HETDKQLXAWVILK-ZETCQYMHSA-N 1 2 319.178 1.413 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC[C@@H](NC(=O)[C@H](C)C#N)C(C)C ZINC001395469589 912052798 /nfs/dbraw/zinc/05/27/98/912052798.db2.gz LDYJGNDJCGMVSF-DGCLKSJQSA-N 1 2 319.409 1.067 20 30 DDEDLO C=CCN(c1nnc(CC)n1CC[N@@H+]1CCOC[C@H]1C)C1CC1 ZINC001286735681 912090901 /nfs/dbraw/zinc/09/09/01/912090901.db2.gz JWNQAMRQFPOIRO-CQSZACIVSA-N 1 2 319.453 1.716 20 30 DDEDLO C=CCN(c1nnc(CC)n1CC[N@H+]1CCOC[C@H]1C)C1CC1 ZINC001286735681 912090922 /nfs/dbraw/zinc/09/09/22/912090922.db2.gz JWNQAMRQFPOIRO-CQSZACIVSA-N 1 2 319.453 1.716 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H](C)NC(C)=O ZINC001376572701 918788881 /nfs/dbraw/zinc/78/88/81/918788881.db2.gz XVCOZVYUXHOQPL-AAEUAGOBSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H](C)NC(C)=O ZINC001376572701 918788887 /nfs/dbraw/zinc/78/88/87/918788887.db2.gz XVCOZVYUXHOQPL-AAEUAGOBSA-N 1 2 301.818 1.234 20 30 DDEDLO Cc1cc(C[N@H+](C)CCNC(=O)c2c[nH]c(C#N)c2)sn1 ZINC001377138005 920438205 /nfs/dbraw/zinc/43/82/05/920438205.db2.gz GXDYCJMQNHIDFC-UHFFFAOYSA-N 1 2 303.391 1.513 20 30 DDEDLO Cc1cc(C[N@@H+](C)CCNC(=O)c2c[nH]c(C#N)c2)sn1 ZINC001377138005 920438210 /nfs/dbraw/zinc/43/82/10/920438210.db2.gz GXDYCJMQNHIDFC-UHFFFAOYSA-N 1 2 303.391 1.513 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1ccc(-n2ccnc2)nc1 ZINC001377195602 920599661 /nfs/dbraw/zinc/59/96/61/920599661.db2.gz CMRSIPMDZGDXCN-UHFFFAOYSA-N 1 2 319.796 1.681 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1ccc(-n2ccnc2)nc1 ZINC001377195602 920599677 /nfs/dbraw/zinc/59/96/77/920599677.db2.gz CMRSIPMDZGDXCN-UHFFFAOYSA-N 1 2 319.796 1.681 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)o1 ZINC001377325432 921052742 /nfs/dbraw/zinc/05/27/42/921052742.db2.gz VJYYHGFWAIDXCA-CMPLNLGQSA-N 1 2 305.382 1.254 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)o1 ZINC001377325432 921052755 /nfs/dbraw/zinc/05/27/55/921052755.db2.gz VJYYHGFWAIDXCA-CMPLNLGQSA-N 1 2 305.382 1.254 20 30 DDEDLO COCC(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001377829622 923160049 /nfs/dbraw/zinc/16/00/49/923160049.db2.gz KYTMLHAEBZGWDB-AWEZNQCLSA-N 1 2 305.353 1.424 20 30 DDEDLO COCC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001377829622 923160059 /nfs/dbraw/zinc/16/00/59/923160059.db2.gz KYTMLHAEBZGWDB-AWEZNQCLSA-N 1 2 305.353 1.424 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+]1CCC[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001377840641 923198683 /nfs/dbraw/zinc/19/86/83/923198683.db2.gz MNQWDFYAORGAAV-PWSUYJOCSA-N 1 2 323.828 1.622 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001377840641 923198687 /nfs/dbraw/zinc/19/86/87/923198687.db2.gz MNQWDFYAORGAAV-PWSUYJOCSA-N 1 2 323.828 1.622 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000494683438 529392007 /nfs/dbraw/zinc/39/20/07/529392007.db2.gz AHYHVRDQQYULSX-MRXNPFEDSA-N 1 2 316.405 1.468 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000494683438 529392009 /nfs/dbraw/zinc/39/20/09/529392009.db2.gz AHYHVRDQQYULSX-MRXNPFEDSA-N 1 2 316.405 1.468 20 30 DDEDLO CCn1cc[nH+]c1CNC(=O)COc1ccc(C#N)cc1OC ZINC000295813801 529571505 /nfs/dbraw/zinc/57/15/05/529571505.db2.gz SVZSQGSUTUUNTD-UHFFFAOYSA-N 1 2 314.345 1.478 20 30 DDEDLO COC[C@@H]1C[N@H+](CCOc2ccc(C#N)cc2OC)C[C@H](C)O1 ZINC000251505862 282201976 /nfs/dbraw/zinc/20/19/76/282201976.db2.gz BXGYJLOHOVUCJN-ZFWWWQNUSA-N 1 2 320.389 1.681 20 30 DDEDLO COC[C@@H]1C[N@@H+](CCOc2ccc(C#N)cc2OC)C[C@H](C)O1 ZINC000251505862 282201978 /nfs/dbraw/zinc/20/19/78/282201978.db2.gz BXGYJLOHOVUCJN-ZFWWWQNUSA-N 1 2 320.389 1.681 20 30 DDEDLO C[C@H](CNC(=O)NC[C@@H]1CCCSC1)[NH+]1CCOCC1 ZINC000329247231 539302106 /nfs/dbraw/zinc/30/21/06/539302106.db2.gz RPYOBJJNFAPMSF-OLZOCXBDSA-N 1 2 301.456 1.354 20 30 DDEDLO CN1CCO[C@@H]2C[N@H+](CC(=O)NCc3ccc(C#N)cc3)C[C@H]21 ZINC000330279783 529786361 /nfs/dbraw/zinc/78/63/61/529786361.db2.gz ROUYSNURMLFNQR-HZPDHXFCSA-N 1 2 314.389 1.030 20 30 DDEDLO CN1CCO[C@@H]2C[N@@H+](CC(=O)NCc3ccc(C#N)cc3)C[C@H]21 ZINC000330279783 529786362 /nfs/dbraw/zinc/78/63/62/529786362.db2.gz ROUYSNURMLFNQR-HZPDHXFCSA-N 1 2 314.389 1.030 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(CC(=O)NCc3ccc(C#N)cc3)C[C@H]21 ZINC000330279783 529786364 /nfs/dbraw/zinc/78/63/64/529786364.db2.gz ROUYSNURMLFNQR-HZPDHXFCSA-N 1 2 314.389 1.030 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(CC(=O)NCc3ccc(C#N)cc3)C[C@H]21 ZINC000330279783 529786365 /nfs/dbraw/zinc/78/63/65/529786365.db2.gz ROUYSNURMLFNQR-HZPDHXFCSA-N 1 2 314.389 1.030 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc(N2CCCC2=O)cc1 ZINC000414118316 529868530 /nfs/dbraw/zinc/86/85/30/529868530.db2.gz AGYVRHRTYKOLHN-HNNXBMFYSA-N 1 2 317.389 1.399 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc(N2CCCC2=O)cc1 ZINC000414118316 529868531 /nfs/dbraw/zinc/86/85/31/529868531.db2.gz AGYVRHRTYKOLHN-HNNXBMFYSA-N 1 2 317.389 1.399 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)NCC[NH+]2Cc3ccccc3C2)C1 ZINC000615536726 362325745 /nfs/dbraw/zinc/32/57/45/362325745.db2.gz HSUVBPACRVABLV-INIZCTEOSA-N 1 2 302.353 1.649 20 30 DDEDLO Cc1cn2cc(NC(=O)C(=O)N3CC[C@@](F)(C#N)C3)ccc2[nH+]1 ZINC000615634320 362375108 /nfs/dbraw/zinc/37/51/08/362375108.db2.gz YKBQOOLUWIUIQV-OAHLLOKOSA-N 1 2 315.308 1.045 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1F)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000292381168 529983967 /nfs/dbraw/zinc/98/39/67/529983967.db2.gz ZFIVYCFOZIYZKQ-ZDUSSCGKSA-N 1 2 311.382 1.163 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1F)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000292381168 529983968 /nfs/dbraw/zinc/98/39/68/529983968.db2.gz ZFIVYCFOZIYZKQ-ZDUSSCGKSA-N 1 2 311.382 1.163 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@H]1CCN(C(=O)NC2CCOCC2)C1 ZINC000330024290 530026523 /nfs/dbraw/zinc/02/65/23/530026523.db2.gz AGOBPFGPIANWFA-AWEZNQCLSA-N 1 2 319.409 1.076 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@H]1CCN(C(=O)NC2CCOCC2)C1 ZINC000330024290 530026524 /nfs/dbraw/zinc/02/65/24/530026524.db2.gz AGOBPFGPIANWFA-AWEZNQCLSA-N 1 2 319.409 1.076 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000616867660 362855279 /nfs/dbraw/zinc/85/52/79/362855279.db2.gz ZREWRUUYPZKPFA-AWEZNQCLSA-N 1 2 309.410 1.579 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)CSc1[nH+]cnc2c1cnn2C ZINC000011679419 352145286 /nfs/dbraw/zinc/14/52/86/352145286.db2.gz PPYPXYOWBABVPG-AWEZNQCLSA-N 1 2 318.406 1.510 20 30 DDEDLO N#Cc1cccc(C(=O)NCc2ccc[nH+]c2N2CCOCC2)c1 ZINC000030312319 352251434 /nfs/dbraw/zinc/25/14/34/352251434.db2.gz RPPCRLHIAXUFMJ-UHFFFAOYSA-N 1 2 322.368 1.720 20 30 DDEDLO C#CCN(CC)C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000056620008 352779284 /nfs/dbraw/zinc/77/92/84/352779284.db2.gz IYQRHJIFNJONQY-CQSZACIVSA-N 1 2 316.405 1.471 20 30 DDEDLO CC[N@@H+](CC(=O)NCc1ccc2c(c1)OCO2)C[C@@H](C)C#N ZINC000060038325 352864594 /nfs/dbraw/zinc/86/45/94/352864594.db2.gz GEHHIMDECINAJE-LBPRGKRZSA-N 1 2 303.362 1.513 20 30 DDEDLO CC[N@H+](CC(=O)NCc1ccc2c(c1)OCO2)C[C@@H](C)C#N ZINC000060038325 352864598 /nfs/dbraw/zinc/86/45/98/352864598.db2.gz GEHHIMDECINAJE-LBPRGKRZSA-N 1 2 303.362 1.513 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(Br)o2)CC1 ZINC000064443346 352937360 /nfs/dbraw/zinc/93/73/60/352937360.db2.gz JFAQJGWURNFTJL-UHFFFAOYSA-N 1 2 311.179 1.823 20 30 DDEDLO C=CCN1C(=O)c2ccc(C(=O)NCCn3cc[nH+]c3)cc2C1=O ZINC000072496583 353197970 /nfs/dbraw/zinc/19/79/70/353197970.db2.gz ZWVFFQAAXSIDRP-UHFFFAOYSA-N 1 2 324.340 1.095 20 30 DDEDLO N#Cc1ccc(CN2CCN(C(=O)Cn3cc[nH+]c3)CC2)cc1 ZINC000072813351 353217344 /nfs/dbraw/zinc/21/73/44/353217344.db2.gz UBGYADZBKGGWHM-UHFFFAOYSA-N 1 2 309.373 1.099 20 30 DDEDLO CCC(CC)C[N@H+](CCC#N)CC(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000072693009 353209559 /nfs/dbraw/zinc/20/95/59/353209559.db2.gz HHWABHGCFVKDBK-OAHLLOKOSA-N 1 2 322.453 1.362 20 30 DDEDLO CCC(CC)C[N@@H+](CCC#N)CC(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000072693009 353209561 /nfs/dbraw/zinc/20/95/61/353209561.db2.gz HHWABHGCFVKDBK-OAHLLOKOSA-N 1 2 322.453 1.362 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(Cc2ccon2)CC1 ZINC000075857245 353383102 /nfs/dbraw/zinc/38/31/02/353383102.db2.gz KZCFHXWAIRPSFN-HNNXBMFYSA-N 1 2 318.421 1.381 20 30 DDEDLO COC(=O)C[N@H+](CCC(=O)Nc1ccccc1C#N)C1CC1 ZINC000079513045 353566160 /nfs/dbraw/zinc/56/61/60/353566160.db2.gz NEINLWBINVZKCK-UHFFFAOYSA-N 1 2 301.346 1.524 20 30 DDEDLO COC(=O)C[N@@H+](CCC(=O)Nc1ccccc1C#N)C1CC1 ZINC000079513045 353566161 /nfs/dbraw/zinc/56/61/61/353566161.db2.gz NEINLWBINVZKCK-UHFFFAOYSA-N 1 2 301.346 1.524 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)[N@H+](CC)CCC#N)C1 ZINC000081514990 353680324 /nfs/dbraw/zinc/68/03/24/353680324.db2.gz RFPBENUHLHFORV-KBPBESRZSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)[N@@H+](CC)CCC#N)C1 ZINC000081514990 353680327 /nfs/dbraw/zinc/68/03/27/353680327.db2.gz RFPBENUHLHFORV-KBPBESRZSA-N 1 2 309.410 1.412 20 30 DDEDLO C[C@H]1[C@H](C)S(=O)(=O)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000093559681 353902680 /nfs/dbraw/zinc/90/26/80/353902680.db2.gz MMYWADHKOLLNJZ-RYUDHWBXSA-N 1 2 318.402 1.213 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3cccc(O)c3)CC2)cn1 ZINC000130857894 354097078 /nfs/dbraw/zinc/09/70/78/354097078.db2.gz DGDRKKOUBDYBST-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO C[C@H](C#N)CNC(=O)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000182820643 354276488 /nfs/dbraw/zinc/27/64/88/354276488.db2.gz PGJROSHWYBAKAP-CYBMUJFWSA-N 1 2 304.369 1.813 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C#N)ccc3Cl)C[C@@H]21 ZINC000285769520 283027331 /nfs/dbraw/zinc/02/73/31/283027331.db2.gz LBCCFGYMTXEQJB-GJZGRUSLSA-N 1 2 319.792 1.757 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C#N)ccc3Cl)C[C@@H]21 ZINC000285769520 283027332 /nfs/dbraw/zinc/02/73/32/283027332.db2.gz LBCCFGYMTXEQJB-GJZGRUSLSA-N 1 2 319.792 1.757 20 30 DDEDLO COC(=O)[C@@H]([NH2+]CCC(=O)Nc1ccccc1C#N)C(C)C ZINC000315140923 354491849 /nfs/dbraw/zinc/49/18/49/354491849.db2.gz WOUGHPLRZVUJKZ-HNNXBMFYSA-N 1 2 303.362 1.674 20 30 DDEDLO CC(C)[C@H](NC[C@H](O)C[N@H+](C)CCC#N)c1nc(C2CC2)no1 ZINC000578186315 354704819 /nfs/dbraw/zinc/70/48/19/354704819.db2.gz DZNPLXVMMTXRKJ-KBPBESRZSA-N 1 2 321.425 1.440 20 30 DDEDLO CC(C)[C@H](NC[C@H](O)C[N@@H+](C)CCC#N)c1nc(C2CC2)no1 ZINC000578186315 354704822 /nfs/dbraw/zinc/70/48/22/354704822.db2.gz DZNPLXVMMTXRKJ-KBPBESRZSA-N 1 2 321.425 1.440 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC[C@H]1Cn1cc[nH+]c1 ZINC000580890092 354727946 /nfs/dbraw/zinc/72/79/46/354727946.db2.gz ZAKBCBWZNZTUHU-KKUMJFAQSA-N 1 2 304.394 1.648 20 30 DDEDLO COC(=O)c1oc([C@H](C)[NH+]2CCN(C(=O)CC#N)CC2)cc1C ZINC000581020443 354729598 /nfs/dbraw/zinc/72/95/98/354729598.db2.gz XEZODYQZYZYNAS-LBPRGKRZSA-N 1 2 319.361 1.494 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@@H]1CCCOC1 ZINC000402673176 354669018 /nfs/dbraw/zinc/66/90/18/354669018.db2.gz DOYVDHXMVHDKSB-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@@H]1CCCOC1 ZINC000402673176 354669022 /nfs/dbraw/zinc/66/90/22/354669022.db2.gz DOYVDHXMVHDKSB-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[N@@H+]2CCO[C@H](C)C2)cc1 ZINC000585697851 354828673 /nfs/dbraw/zinc/82/86/73/354828673.db2.gz JGHQBSUVQJMWNZ-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[N@H+]2CCO[C@H](C)C2)cc1 ZINC000585697851 354828676 /nfs/dbraw/zinc/82/86/76/354828676.db2.gz JGHQBSUVQJMWNZ-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(C(=O)CCCCCC#N)CC1 ZINC000588315929 354915175 /nfs/dbraw/zinc/91/51/75/354915175.db2.gz UBZTVSLYTMRICO-UHFFFAOYSA-N 1 2 309.410 1.558 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(C(=O)CCCCCC#N)CC1 ZINC000588315929 354915179 /nfs/dbraw/zinc/91/51/79/354915179.db2.gz UBZTVSLYTMRICO-UHFFFAOYSA-N 1 2 309.410 1.558 20 30 DDEDLO CS(=O)(=O)CCCC[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000590005072 355038994 /nfs/dbraw/zinc/03/89/94/355038994.db2.gz CANQAFGVPJUTAL-AWEZNQCLSA-N 1 2 323.418 1.231 20 30 DDEDLO CS(=O)(=O)CCCC[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000590005072 355038998 /nfs/dbraw/zinc/03/89/98/355038998.db2.gz CANQAFGVPJUTAL-AWEZNQCLSA-N 1 2 323.418 1.231 20 30 DDEDLO COc1cccc2c1C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)CC2 ZINC000590759876 355169463 /nfs/dbraw/zinc/16/94/63/355169463.db2.gz BARRMOUSEPSBKX-GOSISDBHSA-N 1 2 313.401 1.862 20 30 DDEDLO COc1cccc2c1C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)CC2 ZINC000590759876 355169465 /nfs/dbraw/zinc/16/94/65/355169465.db2.gz BARRMOUSEPSBKX-GOSISDBHSA-N 1 2 313.401 1.862 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)N1CCn2c[nH+]cc2C1 ZINC000590600429 355127284 /nfs/dbraw/zinc/12/72/84/355127284.db2.gz WPZPNNJTVPBVSJ-ZDUSSCGKSA-N 1 2 301.394 1.430 20 30 DDEDLO C[C@@H]1[C@H](O)CC[N@@H+]1CC(=O)Nc1ccccc1SCC#N ZINC000590762101 355170390 /nfs/dbraw/zinc/17/03/90/355170390.db2.gz AFIRWJVAKFCEOE-DGCLKSJQSA-N 1 2 305.403 1.696 20 30 DDEDLO C[C@@H]1[C@H](O)CC[N@H+]1CC(=O)Nc1ccccc1SCC#N ZINC000590762101 355170393 /nfs/dbraw/zinc/17/03/93/355170393.db2.gz AFIRWJVAKFCEOE-DGCLKSJQSA-N 1 2 305.403 1.696 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@@H+]1Cc1ccc(C#N)cc1OC ZINC000591645843 355350045 /nfs/dbraw/zinc/35/00/45/355350045.db2.gz BWBMFBLKOMZRFJ-KRWDZBQOSA-N 1 2 317.389 1.294 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@H+]1Cc1ccc(C#N)cc1OC ZINC000591645843 355350049 /nfs/dbraw/zinc/35/00/49/355350049.db2.gz BWBMFBLKOMZRFJ-KRWDZBQOSA-N 1 2 317.389 1.294 20 30 DDEDLO N#Cc1cnccc1C[N@H+]1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000592066624 355481507 /nfs/dbraw/zinc/48/15/07/355481507.db2.gz BRDPMFSAZPJEEM-MRXNPFEDSA-N 1 2 314.389 1.167 20 30 DDEDLO N#Cc1cnccc1C[N@@H+]1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000592066624 355481511 /nfs/dbraw/zinc/48/15/11/355481511.db2.gz BRDPMFSAZPJEEM-MRXNPFEDSA-N 1 2 314.389 1.167 20 30 DDEDLO CCC[N@H+](CC(=O)NC1(C#N)CCC1)[C@H](CC)C(=O)OCC ZINC000347176554 283103089 /nfs/dbraw/zinc/10/30/89/283103089.db2.gz WMAKZWHAVCDPMM-CYBMUJFWSA-N 1 2 309.410 1.603 20 30 DDEDLO CCC[N@@H+](CC(=O)NC1(C#N)CCC1)[C@H](CC)C(=O)OCC ZINC000347176554 283103096 /nfs/dbraw/zinc/10/30/96/283103096.db2.gz WMAKZWHAVCDPMM-CYBMUJFWSA-N 1 2 309.410 1.603 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)N[C@@H]2CCCc3ccccc32)C1 ZINC000592148057 355513663 /nfs/dbraw/zinc/51/36/63/355513663.db2.gz VPJDZMZJLVHZGL-AEFFLSMTSA-N 1 2 313.401 1.531 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)N[C@@H]2CCCc3ccccc32)C1 ZINC000592148057 355513668 /nfs/dbraw/zinc/51/36/68/355513668.db2.gz VPJDZMZJLVHZGL-AEFFLSMTSA-N 1 2 313.401 1.531 20 30 DDEDLO Cc1cccc(NC(=O)[C@@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)c1C ZINC000592148053 355513770 /nfs/dbraw/zinc/51/37/70/355513770.db2.gz QQUZXXPETKMZQZ-RHSMWYFYSA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1cccc(NC(=O)[C@@H](C)[N@H+]2CC[C@](O)(CC#N)C2)c1C ZINC000592148053 355513772 /nfs/dbraw/zinc/51/37/72/355513772.db2.gz QQUZXXPETKMZQZ-RHSMWYFYSA-N 1 2 301.390 1.981 20 30 DDEDLO CCOc1ccc(NC(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592149489 355515978 /nfs/dbraw/zinc/51/59/78/355515978.db2.gz PWEJHKSWGAFDQH-MRXNPFEDSA-N 1 2 303.362 1.374 20 30 DDEDLO CCOc1ccc(NC(=O)C[N@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592149489 355515981 /nfs/dbraw/zinc/51/59/81/355515981.db2.gz PWEJHKSWGAFDQH-MRXNPFEDSA-N 1 2 303.362 1.374 20 30 DDEDLO COC(=O)c1ccc([N+](=O)[O-])c(C[N@@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592521729 355610785 /nfs/dbraw/zinc/61/07/85/355610785.db2.gz BQLWLBLACMFWCP-HNNXBMFYSA-N 1 2 319.317 1.232 20 30 DDEDLO COC(=O)c1ccc([N+](=O)[O-])c(C[N@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592521729 355610786 /nfs/dbraw/zinc/61/07/86/355610786.db2.gz BQLWLBLACMFWCP-HNNXBMFYSA-N 1 2 319.317 1.232 20 30 DDEDLO COC[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000593061248 355784138 /nfs/dbraw/zinc/78/41/38/355784138.db2.gz QOSSTGBIUIDNHQ-QWHCGFSZSA-N 1 2 323.780 1.232 20 30 DDEDLO COC[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000593061248 355784142 /nfs/dbraw/zinc/78/41/42/355784142.db2.gz QOSSTGBIUIDNHQ-QWHCGFSZSA-N 1 2 323.780 1.232 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc(F)cc2ccncc21 ZINC000593153587 355813141 /nfs/dbraw/zinc/81/31/41/355813141.db2.gz VOWPPFTYNJEPOC-MRXNPFEDSA-N 1 2 300.337 1.948 20 30 DDEDLO COCCOCC[NH+]1CCN(c2c(Cl)cccc2C#N)CC1 ZINC000593519277 355911601 /nfs/dbraw/zinc/91/16/01/355911601.db2.gz YRBGMJSLGPTAGU-UHFFFAOYSA-N 1 2 323.824 1.997 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1C[C@H]1C1CCCC1 ZINC000593444784 355887027 /nfs/dbraw/zinc/88/70/27/355887027.db2.gz YVDNDKYENHSBHL-DLBZAZTESA-N 1 2 319.449 1.887 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2ccc(OC(F)F)cc2)CC1 ZINC000594003416 356084180 /nfs/dbraw/zinc/08/41/80/356084180.db2.gz ZGDVSDZANVIIHM-UHFFFAOYSA-N 1 2 309.316 1.846 20 30 DDEDLO N#CCCCCS(=O)(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000594804356 356323184 /nfs/dbraw/zinc/32/31/84/356323184.db2.gz XRMDIGDCSUCOFO-UHFFFAOYSA-N 1 2 322.434 1.795 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](CCO[C@@H]3CC3(F)F)CC2)c1 ZINC000595291825 356435952 /nfs/dbraw/zinc/43/59/52/356435952.db2.gz VCQNVWZJWYQMCR-CYBMUJFWSA-N 1 2 308.332 1.499 20 30 DDEDLO COc1ccc(CN2CC[C@H]([N@H+](C)C[C@H](C)C#N)C2=O)cc1 ZINC000595302451 356440326 /nfs/dbraw/zinc/44/03/26/356440326.db2.gz UFYSVDYYIVNMJR-CJNGLKHVSA-N 1 2 301.390 1.888 20 30 DDEDLO COc1ccc(CN2CC[C@H]([N@@H+](C)C[C@H](C)C#N)C2=O)cc1 ZINC000595302451 356440329 /nfs/dbraw/zinc/44/03/29/356440329.db2.gz UFYSVDYYIVNMJR-CJNGLKHVSA-N 1 2 301.390 1.888 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1cccc(C(=O)OC)n1)[C@H](C)COC ZINC000595639331 356588413 /nfs/dbraw/zinc/58/84/13/356588413.db2.gz SXMNTBSGEPYGMY-GFCCVEGCSA-N 1 2 321.377 1.330 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1cccc(C(=O)OC)n1)[C@H](C)COC ZINC000595639331 356588416 /nfs/dbraw/zinc/58/84/16/356588416.db2.gz SXMNTBSGEPYGMY-GFCCVEGCSA-N 1 2 321.377 1.330 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000595625726 356582039 /nfs/dbraw/zinc/58/20/39/356582039.db2.gz JMXPGBWTZVBAKA-CQSZACIVSA-N 1 2 308.426 1.432 20 30 DDEDLO COC(=O)C[N@H+](CC(=O)NC1(C#N)CCC1)C1CCCCC1 ZINC000595669721 356602628 /nfs/dbraw/zinc/60/26/28/356602628.db2.gz ZZIJVJGYTDAENR-UHFFFAOYSA-N 1 2 307.394 1.357 20 30 DDEDLO COC(=O)C[N@@H+](CC(=O)NC1(C#N)CCC1)C1CCCCC1 ZINC000595669721 356602631 /nfs/dbraw/zinc/60/26/31/356602631.db2.gz ZZIJVJGYTDAENR-UHFFFAOYSA-N 1 2 307.394 1.357 20 30 DDEDLO C[C@H](C#N)N(CC(=O)N1CC[NH+](Cc2ccco2)CC1)C1CC1 ZINC000595712297 356618822 /nfs/dbraw/zinc/61/88/22/356618822.db2.gz JJJSRUSOTIMTAQ-CQSZACIVSA-N 1 2 316.405 1.300 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC1=CCCOC1 ZINC000595753711 356637619 /nfs/dbraw/zinc/63/76/19/356637619.db2.gz SLRXPIKSDQLPEK-UHFFFAOYSA-N 1 2 320.414 1.610 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC1=CCCOC1 ZINC000595753711 356637622 /nfs/dbraw/zinc/63/76/22/356637622.db2.gz SLRXPIKSDQLPEK-UHFFFAOYSA-N 1 2 320.414 1.610 20 30 DDEDLO Cn1cc(-c2cccc(NC[C@@H](O)C[N@H+](C)CCC#N)c2)nn1 ZINC000596065152 356758496 /nfs/dbraw/zinc/75/84/96/356758496.db2.gz DPVRMDYSLRVEMM-OAHLLOKOSA-N 1 2 314.393 1.100 20 30 DDEDLO Cn1cc(-c2cccc(NC[C@@H](O)C[N@@H+](C)CCC#N)c2)nn1 ZINC000596065152 356758497 /nfs/dbraw/zinc/75/84/97/356758497.db2.gz DPVRMDYSLRVEMM-OAHLLOKOSA-N 1 2 314.393 1.100 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000596247598 356831186 /nfs/dbraw/zinc/83/11/86/356831186.db2.gz RQASSTXJSBRBQY-AWEZNQCLSA-N 1 2 316.361 1.767 20 30 DDEDLO Cc1ccoc1CC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000597021558 357042142 /nfs/dbraw/zinc/04/21/42/357042142.db2.gz MZULBWWXDSUBSS-UHFFFAOYSA-N 1 2 305.378 1.205 20 30 DDEDLO N#C[C@@]1(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)CC12CCCC2 ZINC000597708632 357295265 /nfs/dbraw/zinc/29/52/65/357295265.db2.gz ZAZMKYMFPNMTSI-CRAIPNDOSA-N 1 2 317.433 1.784 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCN(c2ccsc2C#N)CC1 ZINC000597936743 357389432 /nfs/dbraw/zinc/38/94/32/357389432.db2.gz BRYWHQBDWHTHRZ-UHFFFAOYSA-N 1 2 315.402 1.543 20 30 DDEDLO N#CCC1CN(C(=O)c2ccc([N+](=O)[O-])c(-n3cc[nH+]c3)c2)C1 ZINC000598021262 357429980 /nfs/dbraw/zinc/42/99/80/357429980.db2.gz PITSDARPBGOFOF-UHFFFAOYSA-N 1 2 311.301 1.766 20 30 DDEDLO CNS(=O)(=O)CC[N@@H+]1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000598597621 357647875 /nfs/dbraw/zinc/64/78/75/357647875.db2.gz ZOCMRDNXCRHMNV-CABCVRRESA-N 1 2 307.419 1.307 20 30 DDEDLO CNS(=O)(=O)CC[N@H+]1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000598597621 357647879 /nfs/dbraw/zinc/64/78/79/357647879.db2.gz ZOCMRDNXCRHMNV-CABCVRRESA-N 1 2 307.419 1.307 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@@H+]1CCNC(=O)[C@@H]1c1ccccc1 ZINC000598597360 357647893 /nfs/dbraw/zinc/64/78/93/357647893.db2.gz ZUQNRDZHRKXJMY-CABCVRRESA-N 1 2 301.390 1.460 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@H+]1CCNC(=O)[C@@H]1c1ccccc1 ZINC000598597360 357647897 /nfs/dbraw/zinc/64/78/97/357647897.db2.gz ZUQNRDZHRKXJMY-CABCVRRESA-N 1 2 301.390 1.460 20 30 DDEDLO C[C@H](CNC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1)c1nccs1 ZINC000329683033 223013296 /nfs/dbraw/zinc/01/32/96/223013296.db2.gz STWWTWVIXVMZIS-WOPDTQHZSA-N 1 2 310.423 1.175 20 30 DDEDLO C[C@H](CNC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1)c1nccs1 ZINC000329683033 223013297 /nfs/dbraw/zinc/01/32/97/223013297.db2.gz STWWTWVIXVMZIS-WOPDTQHZSA-N 1 2 310.423 1.175 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(C)c(C#N)c2)CC1 ZINC000599249738 357862140 /nfs/dbraw/zinc/86/21/40/357862140.db2.gz GMZFYIVPSOPTKJ-UHFFFAOYSA-N 1 2 312.417 1.287 20 30 DDEDLO N#CCCCOc1cccc(C[N@@H+]2CCO[C@H](CC(N)=O)C2)c1 ZINC000600208592 358157316 /nfs/dbraw/zinc/15/73/16/358157316.db2.gz NLCMTWXCMVPVCS-MRXNPFEDSA-N 1 2 317.389 1.445 20 30 DDEDLO N#CCCCOc1cccc(C[N@H+]2CCO[C@H](CC(N)=O)C2)c1 ZINC000600208592 358157319 /nfs/dbraw/zinc/15/73/19/358157319.db2.gz NLCMTWXCMVPVCS-MRXNPFEDSA-N 1 2 317.389 1.445 20 30 DDEDLO COCc1ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc1OC ZINC000600760478 358310374 /nfs/dbraw/zinc/31/03/74/358310374.db2.gz KAXLGIZWMZLSJX-HNNXBMFYSA-N 1 2 307.394 1.460 20 30 DDEDLO COCc1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc1OC ZINC000600760478 358310376 /nfs/dbraw/zinc/31/03/76/358310376.db2.gz KAXLGIZWMZLSJX-HNNXBMFYSA-N 1 2 307.394 1.460 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)c1cc(C#N)nc(C2CC2)n1 ZINC000601155608 358424901 /nfs/dbraw/zinc/42/49/01/358424901.db2.gz BSXZLLHMQFTMSO-LBPRGKRZSA-N 1 2 301.394 1.383 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)N1CCN(CCC#N)CC1 ZINC000601580118 358610093 /nfs/dbraw/zinc/61/00/93/358610093.db2.gz GFZJTVMTLNCILA-UHFFFAOYSA-N 1 2 318.425 1.280 20 30 DDEDLO CC(C)(CNS(=O)(=O)c1ccc(F)cc1C#N)n1cc[nH+]c1 ZINC000601526907 358589054 /nfs/dbraw/zinc/58/90/54/358589054.db2.gz OWALSSFKBIOYBW-UHFFFAOYSA-N 1 2 322.365 1.607 20 30 DDEDLO CC(C)(CNS(=O)(=O)c1ccc(C#N)s1)n1cc[nH+]c1 ZINC000601526442 358589086 /nfs/dbraw/zinc/58/90/86/358589086.db2.gz LUJKFCWRISQUGW-UHFFFAOYSA-N 1 2 310.404 1.530 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCO[C@H](C(F)F)CC2)c1 ZINC000601976975 358762938 /nfs/dbraw/zinc/76/29/38/358762938.db2.gz SCSLJCBHJQKOCK-AWEZNQCLSA-N 1 2 323.343 1.530 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCO[C@H](C(F)F)CC2)c1 ZINC000601976975 358762940 /nfs/dbraw/zinc/76/29/40/358762940.db2.gz SCSLJCBHJQKOCK-AWEZNQCLSA-N 1 2 323.343 1.530 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](CCc3cnccn3)CC2)cc1 ZINC000601894608 358730426 /nfs/dbraw/zinc/73/04/26/358730426.db2.gz RPCGAICZEQCUQB-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ccns3)CC2)c1C#N ZINC000602633758 359088648 /nfs/dbraw/zinc/08/86/48/359088648.db2.gz YNICERCWJKMKBD-UHFFFAOYSA-N 1 2 302.407 1.379 20 30 DDEDLO C=CCC[C@@H]([NH2+][C@@H]1CCCS(=O)(=O)CC1)C(=O)OCC ZINC000602679740 359122410 /nfs/dbraw/zinc/12/24/10/359122410.db2.gz IUIHWWRYADSWIU-CHWSQXEVSA-N 1 2 303.424 1.441 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](CCc3cnccn3)CC2)s1 ZINC000602743601 359160990 /nfs/dbraw/zinc/16/09/90/359160990.db2.gz VJOMFQHSBXZZOF-UHFFFAOYSA-N 1 2 313.430 1.770 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(CCc3cnccn3)CC2)s1 ZINC000602743601 359160995 /nfs/dbraw/zinc/16/09/95/359160995.db2.gz VJOMFQHSBXZZOF-UHFFFAOYSA-N 1 2 313.430 1.770 20 30 DDEDLO N#CCCN1CC[NH+](Cc2cnc(N3CCCC3)nc2)CC1 ZINC000602750993 359168867 /nfs/dbraw/zinc/16/88/67/359168867.db2.gz KZNTXPIGLVOBLT-UHFFFAOYSA-N 1 2 300.410 1.108 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](C[C@@H]2COc3ccccc3O2)CC1 ZINC000602793598 359196850 /nfs/dbraw/zinc/19/68/50/359196850.db2.gz AYUYOCPSFMTSQV-CVEARBPZSA-N 1 2 315.417 1.992 20 30 DDEDLO COc1cccc(NC(=O)C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000602855733 359241584 /nfs/dbraw/zinc/24/15/84/359241584.db2.gz IJLKWUSKZGLVRF-KBPBESRZSA-N 1 2 316.405 1.552 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CCCC[C@H]1C)[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602858046 359244928 /nfs/dbraw/zinc/24/49/28/359244928.db2.gz YBLRKPJLULTRJG-UUAJXVIYSA-N 1 2 320.481 1.988 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CCCC[C@H]1C)[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602858046 359244930 /nfs/dbraw/zinc/24/49/30/359244930.db2.gz YBLRKPJLULTRJG-UUAJXVIYSA-N 1 2 320.481 1.988 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCC=C(c2cnn(C)c2)C1 ZINC000602882569 359266999 /nfs/dbraw/zinc/26/69/99/359266999.db2.gz KSPFVZOELOPJIR-CYBMUJFWSA-N 1 2 313.405 1.460 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC=C(c2cnn(C)c2)C1 ZINC000602882569 359267000 /nfs/dbraw/zinc/26/70/00/359267000.db2.gz KSPFVZOELOPJIR-CYBMUJFWSA-N 1 2 313.405 1.460 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@@H+]2CCC[C@H]2c2ncccn2)cc1 ZINC000602897562 359279308 /nfs/dbraw/zinc/27/93/08/359279308.db2.gz KVFRXYCINLROND-WBVHZDCISA-N 1 2 324.384 1.925 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@H+]2CCC[C@H]2c2ncccn2)cc1 ZINC000602897562 359279310 /nfs/dbraw/zinc/27/93/10/359279310.db2.gz KVFRXYCINLROND-WBVHZDCISA-N 1 2 324.384 1.925 20 30 DDEDLO CO[C@@H]1C[N@H+](CC(=O)Nc2sccc2C#N)[C@](C)(CO)C1 ZINC000602965082 359329312 /nfs/dbraw/zinc/32/93/12/359329312.db2.gz YVDBSXYTQOAEQF-FZMZJTMJSA-N 1 2 309.391 1.030 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC(=O)Nc2sccc2C#N)[C@](C)(CO)C1 ZINC000602965082 359329316 /nfs/dbraw/zinc/32/93/16/359329316.db2.gz YVDBSXYTQOAEQF-FZMZJTMJSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C[C@@H](C)N1CC#N ZINC000603095557 359400415 /nfs/dbraw/zinc/40/04/15/359400415.db2.gz LDISTRHVASGFCD-ZIAGYGMSSA-N 1 2 324.388 1.326 20 30 DDEDLO Cc1[nH+]c(C(C)C)ccc1Cn1c(=O)c(C#N)c(C)n(C)c1=O ZINC000603361667 359592780 /nfs/dbraw/zinc/59/27/80/359592780.db2.gz OBFRHQZTYQNGLZ-UHFFFAOYSA-N 1 2 312.373 1.602 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CC(=O)N[C@@]1(C#N)CCSC1 ZINC000603887240 359694986 /nfs/dbraw/zinc/69/49/86/359694986.db2.gz ZKGSUOIGQRTNSR-OAHLLOKOSA-N 1 2 300.387 1.860 20 30 DDEDLO COCCN1CCC[N@H+](CC(=O)NC2CCCCC2)CC1=O ZINC000329915672 223046053 /nfs/dbraw/zinc/04/60/53/223046053.db2.gz YMFCPZHJYOAEJG-UHFFFAOYSA-N 1 2 311.426 1.456 20 30 DDEDLO COCCN1CCC[N@@H+](CC(=O)NC2CCCCC2)CC1=O ZINC000329915672 223046056 /nfs/dbraw/zinc/04/60/56/223046056.db2.gz YMFCPZHJYOAEJG-UHFFFAOYSA-N 1 2 311.426 1.456 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)[C@H]1CCCN1c1ccc(C#N)cc1 ZINC000609108327 360260511 /nfs/dbraw/zinc/26/05/11/360260511.db2.gz AJJMRCANFHSFSB-WMLDXEAASA-N 1 2 323.400 1.928 20 30 DDEDLO CC(C)CN(C(=O)C[NH+]1CCN([C@@H](C#N)C(C)C)CC1)C1CC1 ZINC000609537874 360320028 /nfs/dbraw/zinc/32/00/28/360320028.db2.gz HDEVEAOUIBXYIX-KRWDZBQOSA-N 1 2 320.481 1.799 20 30 DDEDLO Cc1noc(C)c1C[C@H](C)NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329990156 223058851 /nfs/dbraw/zinc/05/88/51/223058851.db2.gz VYHAGSXZFAZSPP-LKTVYLICSA-N 1 2 322.409 1.151 20 30 DDEDLO Cc1noc(C)c1C[C@H](C)NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329990156 223058855 /nfs/dbraw/zinc/05/88/55/223058855.db2.gz VYHAGSXZFAZSPP-LKTVYLICSA-N 1 2 322.409 1.151 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[N@H+](CCCSCC#N)CCO1 ZINC000610451388 360440879 /nfs/dbraw/zinc/44/08/79/360440879.db2.gz KGGHOSMADZRGNV-LBPRGKRZSA-N 1 2 300.424 1.676 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[N@@H+](CCCSCC#N)CCO1 ZINC000610451388 360440881 /nfs/dbraw/zinc/44/08/81/360440881.db2.gz KGGHOSMADZRGNV-LBPRGKRZSA-N 1 2 300.424 1.676 20 30 DDEDLO CN1CC[C@H]([NH+]2CCN([C@H](C#N)c3ccc(F)cc3)CC2)C1=O ZINC000611550344 360756510 /nfs/dbraw/zinc/75/65/10/360756510.db2.gz DITNQEMFPXGUCR-JKSUJKDBSA-N 1 2 316.380 1.239 20 30 DDEDLO C[C@H](C(=O)N(C)CC(=O)NC1CC1)[N@@H+]1CCO[C@H](C2CC2)C1 ZINC000330129681 223077528 /nfs/dbraw/zinc/07/75/28/223077528.db2.gz KDQBBXGPAPXHBQ-RISCZKNCSA-N 1 2 309.410 1.063 20 30 DDEDLO C[C@H](C(=O)N(C)CC(=O)NC1CC1)[N@H+]1CCO[C@H](C2CC2)C1 ZINC000330129681 223077531 /nfs/dbraw/zinc/07/75/31/223077531.db2.gz KDQBBXGPAPXHBQ-RISCZKNCSA-N 1 2 309.410 1.063 20 30 DDEDLO C[C@H](NC(=O)N1CCC[C@](C)(C#N)C1)[C@H](C)[NH+]1CCOCC1 ZINC000612131986 360927777 /nfs/dbraw/zinc/92/77/77/360927777.db2.gz IUEQEZWGTBIXSE-OFQRWUPVSA-N 1 2 308.426 1.431 20 30 DDEDLO O=C(NC[C@H]1CCC(F)(F)C1)NCC1([NH+]2CCOCC2)CC1 ZINC000330276849 223098588 /nfs/dbraw/zinc/09/85/88/223098588.db2.gz NMTAKOYKPGNECF-LBPRGKRZSA-N 1 2 317.380 1.790 20 30 DDEDLO COc1cnc(NC(=O)NCC(C)(C)[NH+]2CCOCC2)s1 ZINC000330493164 223122857 /nfs/dbraw/zinc/12/28/57/223122857.db2.gz RZTMMWNIVDZZOX-UHFFFAOYSA-N 1 2 314.411 1.588 20 30 DDEDLO C[C@H]1C[NH+](C(C)(C)CNS(=O)(=O)CCCC#N)C[C@H](C)O1 ZINC000330698991 223138290 /nfs/dbraw/zinc/13/82/90/223138290.db2.gz MGNIYBLQULSRHT-STQMWFEESA-N 1 2 317.455 1.097 20 30 DDEDLO C=C[C@H]([NH2+]CCCCN1C(=O)c2ccccc2C1=O)C(=O)OC ZINC000619701915 364119636 /nfs/dbraw/zinc/11/96/36/364119636.db2.gz ZVIZNDCCVGNISN-AWEZNQCLSA-N 1 2 316.357 1.380 20 30 DDEDLO COCC1(C#N)CCN(c2cc(N3CCOCC3)nc[nH+]2)CC1 ZINC000343150252 223317396 /nfs/dbraw/zinc/31/73/96/223317396.db2.gz PYCGAHSZYQJVRJ-UHFFFAOYSA-N 1 2 317.393 1.070 20 30 DDEDLO COCC1(C#N)CCN(c2cc(N3CCOCC3)[nH+]cn2)CC1 ZINC000343150252 223317399 /nfs/dbraw/zinc/31/73/99/223317399.db2.gz PYCGAHSZYQJVRJ-UHFFFAOYSA-N 1 2 317.393 1.070 20 30 DDEDLO C[C@@H](NC(=O)N(CCC#N)CC[NH+]1CCOCC1)C(F)(F)F ZINC000344638223 223336409 /nfs/dbraw/zinc/33/64/09/223336409.db2.gz ZJADHEUKNFBEQT-LLVKDONJSA-N 1 2 322.331 1.195 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1O ZINC000093344721 193235660 /nfs/dbraw/zinc/23/56/60/193235660.db2.gz WCOAVYCBWUSCBW-DOMZBBRYSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1O ZINC000093344721 193235662 /nfs/dbraw/zinc/23/56/62/193235662.db2.gz WCOAVYCBWUSCBW-DOMZBBRYSA-N 1 2 308.403 1.035 20 30 DDEDLO N#Cc1ccnc(N2CCN(c3cc[nH+]c(C4CC4)n3)CC2)c1 ZINC000264596688 204019301 /nfs/dbraw/zinc/01/93/01/204019301.db2.gz AGVBGPWYJQWBOT-UHFFFAOYSA-N 1 2 306.373 1.947 20 30 DDEDLO CN(C[C@@H]1OCC[N@H+](C)[C@H]1c1ccccc1)c1cnc(C#N)cn1 ZINC000284475895 218044183 /nfs/dbraw/zinc/04/41/83/218044183.db2.gz BLTHMILAPYINIG-WMZOPIPTSA-N 1 2 323.400 1.856 20 30 DDEDLO CN(C[C@@H]1OCC[N@@H+](C)[C@H]1c1ccccc1)c1cnc(C#N)cn1 ZINC000284475895 218044186 /nfs/dbraw/zinc/04/41/86/218044186.db2.gz BLTHMILAPYINIG-WMZOPIPTSA-N 1 2 323.400 1.856 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)nc1 ZINC000110953977 194373289 /nfs/dbraw/zinc/37/32/89/194373289.db2.gz NYDBOGGPJDYJCQ-HNNXBMFYSA-N 1 2 314.389 1.280 20 30 DDEDLO C=CCNC(=O)NC[C@@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000057014177 184024329 /nfs/dbraw/zinc/02/43/29/184024329.db2.gz PINQKZMMCFBPST-INIZCTEOSA-N 1 2 303.406 1.854 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)Nc2ccc(C#N)cc2Cl)C1 ZINC000269169091 207291387 /nfs/dbraw/zinc/29/13/87/207291387.db2.gz WTRYYWKIGLTTDG-LBPRGKRZSA-N 1 2 321.812 1.579 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)Nc2ccc(C#N)cc2Cl)C1 ZINC000269169091 207291390 /nfs/dbraw/zinc/29/13/90/207291390.db2.gz WTRYYWKIGLTTDG-LBPRGKRZSA-N 1 2 321.812 1.579 20 30 DDEDLO C#CC[C@@H](NC(=O)NCC[NH+]1CCOCC1)c1ccccc1 ZINC000270115547 208175900 /nfs/dbraw/zinc/17/59/00/208175900.db2.gz HZBMQNNWKRJTBN-MRXNPFEDSA-N 1 2 301.390 1.382 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)[C@@H](c1ccccc1)[N@@H+](C)CC ZINC000272965280 210349865 /nfs/dbraw/zinc/34/98/65/210349865.db2.gz QSGQBSAPUMTJIA-OAHLLOKOSA-N 1 2 324.446 1.396 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)[C@@H](c1ccccc1)[N@H+](C)CC ZINC000272965280 210349868 /nfs/dbraw/zinc/34/98/68/210349868.db2.gz QSGQBSAPUMTJIA-OAHLLOKOSA-N 1 2 324.446 1.396 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000330434745 533167071 /nfs/dbraw/zinc/16/70/71/533167071.db2.gz OODSFOUVOHHYCH-LBPRGKRZSA-N 1 2 304.369 1.296 20 30 DDEDLO Cc1nsc(N2CC[NH+](C[C@H](O)C(F)(F)F)CC2)c1C#N ZINC000289610663 221101467 /nfs/dbraw/zinc/10/14/67/221101467.db2.gz YIBRWDNGZVOKIH-JTQLQIEISA-N 1 2 320.340 1.368 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cccc(C)c2C#N)[C@H](C)C1 ZINC000285163647 285329548 /nfs/dbraw/zinc/32/95/48/285329548.db2.gz ASFATQIJDUDDGM-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cccc(C)c2C#N)[C@H](C)C1 ZINC000285163647 285329549 /nfs/dbraw/zinc/32/95/49/285329549.db2.gz ASFATQIJDUDDGM-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC(C)(C)C[NH+](C)C)cc1C#N ZINC000121011878 195279301 /nfs/dbraw/zinc/27/93/01/195279301.db2.gz OFBIWSVHEQHYQR-UHFFFAOYSA-N 1 2 322.434 1.286 20 30 DDEDLO CO[C@]1(C)C[C@@H](NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)C1(C)C ZINC000329703361 533372448 /nfs/dbraw/zinc/37/24/48/533372448.db2.gz IHHGKSKYCBDBQX-VPWBDBDCSA-N 1 2 311.426 1.119 20 30 DDEDLO CO[C@]1(C)C[C@@H](NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)C1(C)C ZINC000329703361 533372456 /nfs/dbraw/zinc/37/24/56/533372456.db2.gz IHHGKSKYCBDBQX-VPWBDBDCSA-N 1 2 311.426 1.119 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000448706326 533600924 /nfs/dbraw/zinc/60/09/24/533600924.db2.gz XYOODWPJHONATI-UHFFFAOYSA-N 1 2 309.373 1.989 20 30 DDEDLO CO[C@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@H]1C ZINC000189266867 286073254 /nfs/dbraw/zinc/07/32/54/286073254.db2.gz ZCGMZSZCXQJNJF-CJNGLKHVSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@H]1C ZINC000189266867 286073256 /nfs/dbraw/zinc/07/32/56/286073256.db2.gz ZCGMZSZCXQJNJF-CJNGLKHVSA-N 1 2 322.430 1.689 20 30 DDEDLO C=CCN(CC=C)C(=O)CN1CCN(C2=[NH+]C[C@@H](C)S2)CC1 ZINC000079497025 407057379 /nfs/dbraw/zinc/05/73/79/407057379.db2.gz PVZWCKGRACXWOJ-CQSZACIVSA-N 1 2 322.478 1.296 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(C2=NC[C@@H](C)S2)CC1 ZINC000079497025 407057380 /nfs/dbraw/zinc/05/73/80/407057380.db2.gz PVZWCKGRACXWOJ-CQSZACIVSA-N 1 2 322.478 1.296 20 30 DDEDLO C#CCNC(=O)NC[C@@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000079681523 407060361 /nfs/dbraw/zinc/06/03/61/407060361.db2.gz PANVIXZZRSULSO-INIZCTEOSA-N 1 2 301.390 1.301 20 30 DDEDLO C[C@H](C(=O)N(C)C)[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000051179920 407137191 /nfs/dbraw/zinc/13/71/91/407137191.db2.gz WAFLVNUZRJXGDU-GFCCVEGCSA-N 1 2 304.369 1.296 20 30 DDEDLO C[C@](C#N)(NC(=O)C[NH+]1CCC(O)(C(F)(F)F)CC1)C1CC1 ZINC000089970124 407162168 /nfs/dbraw/zinc/16/21/68/407162168.db2.gz UFALWTBZQQESFA-GFCCVEGCSA-N 1 2 319.327 1.184 20 30 DDEDLO C#CCNC(=O)N1CC[NH+](Cc2ccc(OCC)cc2)CC1 ZINC000053557109 407170702 /nfs/dbraw/zinc/17/07/02/407170702.db2.gz NQUHZBRBRKNPTR-UHFFFAOYSA-N 1 2 301.390 1.546 20 30 DDEDLO C[C@H](Sc1[nH+]cnc2c1cnn2C)C(=O)N(C)CCC#N ZINC000054781584 407188714 /nfs/dbraw/zinc/18/87/14/407188714.db2.gz WEWYZGNADXIRRQ-VIFPVBQESA-N 1 2 304.379 1.216 20 30 DDEDLO N#Cc1nc(NC(=O)c2ccc(Cn3cc[nH+]c3)cc2)[nH]c1C#N ZINC000060626010 407226330 /nfs/dbraw/zinc/22/63/30/407226330.db2.gz ZDMMVVAASOUQOS-UHFFFAOYSA-N 1 2 317.312 1.650 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)Nc2ccc(F)cc2)C1 ZINC000101922321 407317257 /nfs/dbraw/zinc/31/72/57/407317257.db2.gz PBQHQXWKZZLDCM-CQSZACIVSA-N 1 2 320.368 1.324 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)Nc2ccc(F)cc2)C1 ZINC000101922321 407317259 /nfs/dbraw/zinc/31/72/59/407317259.db2.gz PBQHQXWKZZLDCM-CQSZACIVSA-N 1 2 320.368 1.324 20 30 DDEDLO C=CCN1C(=O)C(=O)N(Cc2cn3cc(Cl)ccc3[nH+]2)C1=O ZINC000102703050 407326304 /nfs/dbraw/zinc/32/63/04/407326304.db2.gz YETNQJNKLZXNOW-UHFFFAOYSA-N 1 2 318.720 1.465 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Cc1ccc(O)cc1 ZINC000096968998 407274858 /nfs/dbraw/zinc/27/48/58/407274858.db2.gz XLOOPGWDUQNRLR-UHFFFAOYSA-N 1 2 317.389 1.009 20 30 DDEDLO CCOC(=O)C[N@H+](CCC(=O)Nc1sccc1C#N)C1CC1 ZINC000098143065 407306656 /nfs/dbraw/zinc/30/66/56/407306656.db2.gz BRCKCZRVQWJUDV-UHFFFAOYSA-N 1 2 321.402 1.976 20 30 DDEDLO CCOC(=O)C[N@@H+](CCC(=O)Nc1sccc1C#N)C1CC1 ZINC000098143065 407306657 /nfs/dbraw/zinc/30/66/57/407306657.db2.gz BRCKCZRVQWJUDV-UHFFFAOYSA-N 1 2 321.402 1.976 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(Cc2cccnc2)CC1 ZINC000125288803 407384473 /nfs/dbraw/zinc/38/44/73/407384473.db2.gz YYGDTGMGSKVZAV-UHFFFAOYSA-N 1 2 316.449 1.624 20 30 DDEDLO C=C(C)CN(CC)C(=O)CN1CC[NH+](Cc2cccnc2)CC1 ZINC000125288803 407384474 /nfs/dbraw/zinc/38/44/74/407384474.db2.gz YYGDTGMGSKVZAV-UHFFFAOYSA-N 1 2 316.449 1.624 20 30 DDEDLO C=CC[N@@H+](Cc1cccc(NC(C)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000108870722 407392010 /nfs/dbraw/zinc/39/20/10/407392010.db2.gz LWIBOCFBVUEQJP-MRXNPFEDSA-N 1 2 322.430 1.820 20 30 DDEDLO C=CC[N@H+](Cc1cccc(NC(C)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000108870722 407392011 /nfs/dbraw/zinc/39/20/11/407392011.db2.gz LWIBOCFBVUEQJP-MRXNPFEDSA-N 1 2 322.430 1.820 20 30 DDEDLO N#CC1(NC(=O)CSCC[NH+]2CCOCC2)CCCCC1 ZINC000127398741 407435273 /nfs/dbraw/zinc/43/52/73/407435273.db2.gz JFJSFYSKJNJMJV-UHFFFAOYSA-N 1 2 311.451 1.394 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(c2cccnn2)CC1 ZINC000170649409 407464022 /nfs/dbraw/zinc/46/40/22/407464022.db2.gz VLQOIFBDMMHJND-OAHLLOKOSA-N 1 2 315.421 1.188 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@H+](CCOc1cccc(C#N)c1)C1CC1 ZINC000113263081 407484100 /nfs/dbraw/zinc/48/41/00/407484100.db2.gz KAYGOMJKUQZCCH-ZDUSSCGKSA-N 1 2 322.430 1.835 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@@H+](CCOc1cccc(C#N)c1)C1CC1 ZINC000113263081 407484107 /nfs/dbraw/zinc/48/41/07/407484107.db2.gz KAYGOMJKUQZCCH-ZDUSSCGKSA-N 1 2 322.430 1.835 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](C)Cc1cc(Br)cn1C ZINC000151484287 407445007 /nfs/dbraw/zinc/44/50/07/407445007.db2.gz VXDWISTZHYMLTB-SNVBAGLBSA-N 1 2 314.227 1.910 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](C)Cc1cc(Br)cn1C ZINC000151484287 407445013 /nfs/dbraw/zinc/44/50/13/407445013.db2.gz VXDWISTZHYMLTB-SNVBAGLBSA-N 1 2 314.227 1.910 20 30 DDEDLO N#CC1(C(=O)NC[C@H](c2ccccc2)[NH+]2CCOCC2)CCC1 ZINC000128979431 407588475 /nfs/dbraw/zinc/58/84/75/407588475.db2.gz MMROZPCLBGZZIH-MRXNPFEDSA-N 1 2 313.401 1.870 20 30 DDEDLO C[C@H]1CCC[C@H]1CC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000185909095 407536075 /nfs/dbraw/zinc/53/60/75/407536075.db2.gz ZMDHTNGTKKXYRP-HOTGVXAUSA-N 1 2 307.438 1.887 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000266920060 407675101 /nfs/dbraw/zinc/67/51/01/407675101.db2.gz LRRMQPQVFHVJGU-UHFFFAOYSA-N 1 2 301.390 1.347 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000266920060 407675108 /nfs/dbraw/zinc/67/51/08/407675108.db2.gz LRRMQPQVFHVJGU-UHFFFAOYSA-N 1 2 301.390 1.347 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccc(OCC#N)cc2)CCN1S(C)(=O)=O ZINC000271994389 407744808 /nfs/dbraw/zinc/74/48/08/407744808.db2.gz FWAIMFJIAMXFPY-ZDUSSCGKSA-N 1 2 323.418 1.055 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccc(OCC#N)cc2)CCN1S(C)(=O)=O ZINC000271994389 407744812 /nfs/dbraw/zinc/74/48/12/407744812.db2.gz FWAIMFJIAMXFPY-ZDUSSCGKSA-N 1 2 323.418 1.055 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1C[C@H](CO)OC[C@H]1C ZINC000153141637 407763083 /nfs/dbraw/zinc/76/30/83/407763083.db2.gz GUSDREOXFMRNPK-HYVNUMGLSA-N 1 2 321.417 1.236 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1C[C@H](CO)OC[C@H]1C ZINC000153141637 407763087 /nfs/dbraw/zinc/76/30/87/407763087.db2.gz GUSDREOXFMRNPK-HYVNUMGLSA-N 1 2 321.417 1.236 20 30 DDEDLO C#CCSCCNC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000153563577 407852271 /nfs/dbraw/zinc/85/22/71/407852271.db2.gz FRPJXGZGMQYCPM-UHFFFAOYSA-N 1 2 318.446 1.847 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](O)C[N@@H+]1Cc1ccc(N(C)CCC#N)cc1 ZINC000187572991 407871193 /nfs/dbraw/zinc/87/11/93/407871193.db2.gz OCPSYWOSZLYMQP-HZPDHXFCSA-N 1 2 317.389 1.145 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](O)C[N@H+]1Cc1ccc(N(C)CCC#N)cc1 ZINC000187572991 407871195 /nfs/dbraw/zinc/87/11/95/407871195.db2.gz OCPSYWOSZLYMQP-HZPDHXFCSA-N 1 2 317.389 1.145 20 30 DDEDLO CCC(CC)C[N@H+](CCC#N)Cc1cc(=O)n(C)c(=O)n1C ZINC000173551173 407887170 /nfs/dbraw/zinc/88/71/70/407887170.db2.gz OPUKEXSRZKSERJ-UHFFFAOYSA-N 1 2 306.410 1.236 20 30 DDEDLO CCC(CC)C[N@@H+](CCC#N)Cc1cc(=O)n(C)c(=O)n1C ZINC000173551173 407887177 /nfs/dbraw/zinc/88/71/77/407887177.db2.gz OPUKEXSRZKSERJ-UHFFFAOYSA-N 1 2 306.410 1.236 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2cc(OC)cc(OC)c2)CC1 ZINC000172748352 407849423 /nfs/dbraw/zinc/84/94/23/407849423.db2.gz KAROINYIQSPMLO-UHFFFAOYSA-N 1 2 302.374 1.485 20 30 DDEDLO COC(=O)C1([NH2+]C[C@H](O)COc2ccc(C#N)cc2)CCCC1 ZINC000118862606 407959608 /nfs/dbraw/zinc/95/96/08/407959608.db2.gz UPMRLODBBZMFQN-AWEZNQCLSA-N 1 2 318.373 1.373 20 30 DDEDLO CSCCO[NH+]=C(N)c1ccc(-n2nc(C)cc2C)nc1 ZINC000181883078 408047277 /nfs/dbraw/zinc/04/72/77/408047277.db2.gz LYCYCFRDYCAJFL-UHFFFAOYSA-N 1 2 305.407 1.884 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@H]([C@H]2CCCO2)C1 ZINC000245934177 408105474 /nfs/dbraw/zinc/10/54/74/408105474.db2.gz PTHZCKFVNVRJCV-WBVHZDCISA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@H]([C@H]2CCCO2)C1 ZINC000245934177 408105479 /nfs/dbraw/zinc/10/54/79/408105479.db2.gz PTHZCKFVNVRJCV-WBVHZDCISA-N 1 2 302.374 1.947 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(F)c(F)c(O)c2F)CC1 ZINC000273320762 408151916 /nfs/dbraw/zinc/15/19/16/408151916.db2.gz PRUKARPKDWOEAI-UHFFFAOYSA-N 1 2 312.291 1.591 20 30 DDEDLO NC(=[NH+]OCC(=O)N1CCCCCC1)c1ccc2c(c1)CCO2 ZINC000158164048 408331452 /nfs/dbraw/zinc/33/14/52/408331452.db2.gz ZYGJEGQKNVAGRD-UHFFFAOYSA-N 1 2 317.389 1.661 20 30 DDEDLO C[N@H+](CCOCC1CC1)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156842859 408276929 /nfs/dbraw/zinc/27/69/29/408276929.db2.gz FWAIOBNRYBBYTO-UHFFFAOYSA-N 1 2 322.430 1.690 20 30 DDEDLO C[N@@H+](CCOCC1CC1)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156842859 408276935 /nfs/dbraw/zinc/27/69/35/408276935.db2.gz FWAIOBNRYBBYTO-UHFFFAOYSA-N 1 2 322.430 1.690 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)Nc2cc(C)nn2C)cc1 ZINC000176289596 408331778 /nfs/dbraw/zinc/33/17/78/408331778.db2.gz HOMVTKLDBSCORX-UHFFFAOYSA-N 1 2 317.349 1.013 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)N(C)C1(C#N)CCCCC1)c1nncn1C ZINC000273932115 408299666 /nfs/dbraw/zinc/29/96/66/408299666.db2.gz CNBPPAOXXWTIBX-GFCCVEGCSA-N 1 2 304.398 1.151 20 30 DDEDLO C#CCNC(=O)[C@H](C)N(C)Cc1[nH+]ccn1CC(F)(F)F ZINC000264032238 408349564 /nfs/dbraw/zinc/34/95/64/408349564.db2.gz AVKUVBLUUPWTCS-JTQLQIEISA-N 1 2 302.300 1.015 20 30 DDEDLO C[C@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@@H]1O ZINC000159446711 408408571 /nfs/dbraw/zinc/40/85/71/408408571.db2.gz OMQNGRLJRWXZPH-WFASDCNBSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@@H]1O ZINC000159446711 408408576 /nfs/dbraw/zinc/40/85/76/408408576.db2.gz OMQNGRLJRWXZPH-WFASDCNBSA-N 1 2 308.403 1.035 20 30 DDEDLO N#CC1(NC(=O)Cc2cn3ccccc3[nH+]2)CCSCC1 ZINC000270196512 408483676 /nfs/dbraw/zinc/48/36/76/408483676.db2.gz IODCOLRROJCOEU-UHFFFAOYSA-N 1 2 300.387 1.782 20 30 DDEDLO COCCCNC(=O)C[N@H+](C)CC#Cc1ccc(Cl)cc1 ZINC000177048094 408504962 /nfs/dbraw/zinc/50/49/62/408504962.db2.gz BPQNYSLDEASNMX-UHFFFAOYSA-N 1 2 308.809 1.776 20 30 DDEDLO COCCCNC(=O)C[N@@H+](C)CC#Cc1ccc(Cl)cc1 ZINC000177048094 408504970 /nfs/dbraw/zinc/50/49/70/408504970.db2.gz BPQNYSLDEASNMX-UHFFFAOYSA-N 1 2 308.809 1.776 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCc1cn2ccccc2c1C#N ZINC000177596778 408666514 /nfs/dbraw/zinc/66/65/14/408666514.db2.gz AGTNFUNFIGWYRZ-UHFFFAOYSA-N 1 2 313.361 1.514 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)O[NH+]=C(N)Cc1csc(C)n1 ZINC000178145748 408778962 /nfs/dbraw/zinc/77/89/62/408778962.db2.gz PCGUEMQUZVENAB-LLVKDONJSA-N 1 2 322.434 1.872 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C(=O)OC)ccc2F)C1=O ZINC000281296796 408878781 /nfs/dbraw/zinc/87/87/81/408878781.db2.gz JRMJGRAASKJRGA-HNNXBMFYSA-N 1 2 320.364 1.831 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C(=O)OC)ccc2F)C1=O ZINC000281296796 408878784 /nfs/dbraw/zinc/87/87/84/408878784.db2.gz JRMJGRAASKJRGA-HNNXBMFYSA-N 1 2 320.364 1.831 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(F)c(C#N)c1 ZINC000287440730 409037551 /nfs/dbraw/zinc/03/75/51/409037551.db2.gz RCLOUGRCWQSIJO-WFASDCNBSA-N 1 2 317.364 1.633 20 30 DDEDLO N#CCCOCC[N@H+]1CCOC[C@H]1C[C@H](O)c1ccccc1 ZINC000292748242 409041207 /nfs/dbraw/zinc/04/12/07/409041207.db2.gz HVDIXYCQTQUFTP-SJORKVTESA-N 1 2 304.390 1.741 20 30 DDEDLO N#CCCOCC[N@@H+]1CCOC[C@H]1C[C@H](O)c1ccccc1 ZINC000292748242 409041208 /nfs/dbraw/zinc/04/12/08/409041208.db2.gz HVDIXYCQTQUFTP-SJORKVTESA-N 1 2 304.390 1.741 20 30 DDEDLO CC[N@H+](CC(=O)N(CCC#N)c1ccccc1)[C@@H]1CCNC1=O ZINC000282449727 409055903 /nfs/dbraw/zinc/05/59/03/409055903.db2.gz SJPYOPWLIUKHTQ-OAHLLOKOSA-N 1 2 314.389 1.144 20 30 DDEDLO CC[N@@H+](CC(=O)N(CCC#N)c1ccccc1)[C@@H]1CCNC1=O ZINC000282449727 409055904 /nfs/dbraw/zinc/05/59/04/409055904.db2.gz SJPYOPWLIUKHTQ-OAHLLOKOSA-N 1 2 314.389 1.144 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1cc(OC)c(OC)c(OC)c1 ZINC000293297344 409084689 /nfs/dbraw/zinc/08/46/89/409084689.db2.gz WIFCGXJIFHWYMD-UHFFFAOYSA-N 1 2 306.362 1.606 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1cc(OC)c(OC)c(OC)c1 ZINC000293297344 409084691 /nfs/dbraw/zinc/08/46/91/409084691.db2.gz WIFCGXJIFHWYMD-UHFFFAOYSA-N 1 2 306.362 1.606 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000293322454 409089103 /nfs/dbraw/zinc/08/91/03/409089103.db2.gz MCGHSISZGXQYTG-OAHLLOKOSA-N 1 2 309.406 1.934 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000293322454 409089107 /nfs/dbraw/zinc/08/91/07/409089107.db2.gz MCGHSISZGXQYTG-OAHLLOKOSA-N 1 2 309.406 1.934 20 30 DDEDLO CCc1nc(N2CCN(c3nccnc3C#N)C[C@@H]2C)cc(C)[nH+]1 ZINC000287778876 409092735 /nfs/dbraw/zinc/09/27/35/409092735.db2.gz IKYDUOITZOXVRC-ZDUSSCGKSA-N 1 2 323.404 1.724 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(c2ccnc(C#N)c2)CC1 ZINC000287928700 409116129 /nfs/dbraw/zinc/11/61/29/409116129.db2.gz QRWPMEGNEUCLQJ-AWEZNQCLSA-N 1 2 313.405 1.086 20 30 DDEDLO CCc1nocc1C[N@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC000293494036 409125250 /nfs/dbraw/zinc/12/52/50/409125250.db2.gz TUMKJBGYPZMVJY-UHFFFAOYSA-N 1 2 312.373 1.857 20 30 DDEDLO CCc1nocc1C[N@@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC000293494036 409125252 /nfs/dbraw/zinc/12/52/52/409125252.db2.gz TUMKJBGYPZMVJY-UHFFFAOYSA-N 1 2 312.373 1.857 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)/C=C/c1cccc(C#N)c1 ZINC000279355102 409197436 /nfs/dbraw/zinc/19/74/36/409197436.db2.gz RELMJLBULPUHIQ-LFAOLKIESA-N 1 2 313.401 1.751 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000288648202 409172203 /nfs/dbraw/zinc/17/22/03/409172203.db2.gz UFOLHDGXTAXJPT-KBPBESRZSA-N 1 2 304.394 1.375 20 30 DDEDLO C#CCC(CC#C)C(=O)N1CCN(C2=[NH+]C[C@@H](C)S2)CC1 ZINC000288681486 409173633 /nfs/dbraw/zinc/17/36/33/409173633.db2.gz HKGXDPXMVJLMFT-CYBMUJFWSA-N 1 2 303.431 1.285 20 30 DDEDLO COc1ccc(NC(=O)CO[NH+]=C(N)[C@@H]2CCCO2)c(OC)c1 ZINC000283859730 409229373 /nfs/dbraw/zinc/22/93/73/409229373.db2.gz CGDJFICMZLHKMU-LBPRGKRZSA-N 1 2 323.349 1.110 20 30 DDEDLO C=CC[C@@H]1N(C(=O)C(=O)NCCn2cc[nH+]c2)CCCC1(C)C ZINC000283967650 409248974 /nfs/dbraw/zinc/24/89/74/409248974.db2.gz NPYKVHRQEIPBGM-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000279832234 409249442 /nfs/dbraw/zinc/24/94/42/409249442.db2.gz IZBQNVZEBKDVGM-OAHLLOKOSA-N 1 2 322.315 1.668 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000280138045 409257511 /nfs/dbraw/zinc/25/75/11/409257511.db2.gz GYKGLDSYVSWTOU-GDBMZVCRSA-N 1 2 316.405 1.415 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2ccc(C)cc2)CC1 ZINC000288978168 409202788 /nfs/dbraw/zinc/20/27/88/409202788.db2.gz XPDAXFZZPSMAGM-UHFFFAOYSA-N 1 2 306.431 1.466 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000294451731 409290372 /nfs/dbraw/zinc/29/03/72/409290372.db2.gz OXSYCQJYKHGAMU-RDJZCZTQSA-N 1 2 316.401 1.042 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000294451731 409290374 /nfs/dbraw/zinc/29/03/74/409290374.db2.gz OXSYCQJYKHGAMU-RDJZCZTQSA-N 1 2 316.401 1.042 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289977908 409291219 /nfs/dbraw/zinc/29/12/19/409291219.db2.gz RMDCOJFPXDTZGQ-AAEUAGOBSA-N 1 2 309.801 1.544 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289977908 409291221 /nfs/dbraw/zinc/29/12/21/409291221.db2.gz RMDCOJFPXDTZGQ-AAEUAGOBSA-N 1 2 309.801 1.544 20 30 DDEDLO C#CCCOc1ccc(C(=O)N(C)CC[NH+]2CCOCC2)cc1 ZINC000294575871 409294289 /nfs/dbraw/zinc/29/42/89/409294289.db2.gz OLRDBBDYFBSFDK-UHFFFAOYSA-N 1 2 316.401 1.493 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000294994294 409307541 /nfs/dbraw/zinc/30/75/41/409307541.db2.gz PMOHCUYNXHWDQN-CQSZACIVSA-N 1 2 304.365 1.508 20 30 DDEDLO CC1(C)CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000290152605 409310462 /nfs/dbraw/zinc/31/04/62/409310462.db2.gz CRIZIFZXQJGUIE-UHFFFAOYSA-N 1 2 307.419 1.849 20 30 DDEDLO CC1(C)CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000290152605 409310465 /nfs/dbraw/zinc/31/04/65/409310465.db2.gz CRIZIFZXQJGUIE-UHFFFAOYSA-N 1 2 307.419 1.849 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CCC[C@H](c3n[nH]c(=O)o3)C2)c1 ZINC000284337599 409327544 /nfs/dbraw/zinc/32/75/44/409327544.db2.gz QYBXRMMZICKLTG-NSHDSACASA-N 1 2 302.309 1.753 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CCC[C@H](c3n[nH]c(=O)o3)C2)c1 ZINC000284337599 409327548 /nfs/dbraw/zinc/32/75/48/409327548.db2.gz QYBXRMMZICKLTG-NSHDSACASA-N 1 2 302.309 1.753 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)Cc1[nH+]ccn1CC(F)(F)F ZINC000290369369 409378404 /nfs/dbraw/zinc/37/84/04/409378404.db2.gz FAFCFFHGTZJHOK-GFCCVEGCSA-N 1 2 305.300 1.731 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCCc1cn2ccccc2[nH+]1 ZINC000284400049 409339660 /nfs/dbraw/zinc/33/96/60/409339660.db2.gz QPGFXRWEGMPYDG-UHFFFAOYSA-N 1 2 300.362 1.028 20 30 DDEDLO Cc1ccc(NC(=O)[C@H](C)O[NH+]=C(N)[C@@H]2CCCO2)cc1F ZINC000284464774 409344209 /nfs/dbraw/zinc/34/42/09/409344209.db2.gz QWZPFOCTHIFXLI-GWCFXTLKSA-N 1 2 309.341 1.929 20 30 DDEDLO CCS[C@@H](C)c1noc(CO[NH+]=C(N)[C@@H]2CCCO2)n1 ZINC000284471931 409345284 /nfs/dbraw/zinc/34/52/84/409345284.db2.gz RHEACWRAHNJUNG-IUCAKERBSA-N 1 2 300.384 1.852 20 30 DDEDLO CS(=O)(=O)N1CCC[N@H+](Cc2cccc(C#N)c2F)CC1 ZINC000408075686 164219793 /nfs/dbraw/zinc/21/97/93/164219793.db2.gz ZILYLFSHSSJHBK-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO CS(=O)(=O)N1CCC[N@@H+](Cc2cccc(C#N)c2F)CC1 ZINC000408075686 164219795 /nfs/dbraw/zinc/21/97/95/164219795.db2.gz ZILYLFSHSSJHBK-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CCCC[C@H]1C/[NH+]=C/c1ccccc1O ZINC000285589739 409500089 /nfs/dbraw/zinc/50/00/89/409500089.db2.gz TUUBLYOXTHQROJ-WWGIRWICSA-N 1 2 310.419 1.919 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1C[C@@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000338124677 409738221 /nfs/dbraw/zinc/73/82/21/409738221.db2.gz NEGCCDSWPFUGEC-CXAGYDPISA-N 1 2 313.401 1.659 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)C[C@H](O)C(F)(F)F ZINC000305764617 409765962 /nfs/dbraw/zinc/76/59/62/409765962.db2.gz GNNVINDSOLJVLL-QWRGUYRKSA-N 1 2 321.343 1.573 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)C[C@H](O)C(F)(F)F ZINC000305764617 409765969 /nfs/dbraw/zinc/76/59/69/409765969.db2.gz GNNVINDSOLJVLL-QWRGUYRKSA-N 1 2 321.343 1.573 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C[C@H](O)COc1ccc(C#N)cc1)C(C)C ZINC000314565707 409826991 /nfs/dbraw/zinc/82/69/91/409826991.db2.gz NAEBFUDLDHNYGE-ZFWWWQNUSA-N 1 2 306.362 1.085 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+](C)C[C@H]1CCS(=O)(=O)C1 ZINC000354420661 409844734 /nfs/dbraw/zinc/84/47/34/409844734.db2.gz DYBVIWMTPFDDDW-ZIAGYGMSSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+](C)C[C@H]1CCS(=O)(=O)C1 ZINC000354420661 409844739 /nfs/dbraw/zinc/84/47/39/409844739.db2.gz DYBVIWMTPFDDDW-ZIAGYGMSSA-N 1 2 316.467 1.166 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CC(=O)N(C)[C@@H](C)C1)CCC2 ZINC000328683357 409954050 /nfs/dbraw/zinc/95/40/50/409954050.db2.gz WEVRBFZKEHTHJD-STQMWFEESA-N 1 2 319.409 1.145 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)[C@H]2CCCC(=O)N2)CC1 ZINC000328837359 409989273 /nfs/dbraw/zinc/98/92/73/409989273.db2.gz XSQMAMRJIIGTII-DGCLKSJQSA-N 1 2 322.409 1.147 20 30 DDEDLO [O-]C(=[NH+]C[C@@H]1CCCn2cc[nH+]c21)N1CCc2cncnc2C1 ZINC000328602611 409932969 /nfs/dbraw/zinc/93/29/69/409932969.db2.gz HAKCVOBAKFNFMO-ZDUSSCGKSA-N 1 2 312.377 1.523 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000328624606 409942256 /nfs/dbraw/zinc/94/22/56/409942256.db2.gz ZQFGWSYPYVYZHV-RYUDHWBXSA-N 1 2 317.393 1.027 20 30 DDEDLO CC(=O)Nc1ccn([C@H]2CC[N@H+](Cc3nnc(C4CC4)[nH]3)C2)n1 ZINC000328624415 409942865 /nfs/dbraw/zinc/94/28/65/409942865.db2.gz JVUIKTZCZPQSGU-LBPRGKRZSA-N 1 2 315.381 1.934 20 30 DDEDLO CC(=O)Nc1ccn([C@H]2CC[N@@H+](Cc3nnc(C4CC4)[nH]3)C2)n1 ZINC000328624415 409942872 /nfs/dbraw/zinc/94/28/72/409942872.db2.gz JVUIKTZCZPQSGU-LBPRGKRZSA-N 1 2 315.381 1.934 20 30 DDEDLO Cc1noc([C@@H]2CC[N@@H+]([C@@H](C)C(=O)Nc3ncccn3)C2)n1 ZINC000329045694 410070767 /nfs/dbraw/zinc/07/07/67/410070767.db2.gz PTCAJIMRGLKXOF-GXSJLCMTSA-N 1 2 302.338 1.634 20 30 DDEDLO Cc1noc([C@@H]2CC[N@H+]([C@@H](C)C(=O)Nc3ncccn3)C2)n1 ZINC000329045694 410070772 /nfs/dbraw/zinc/07/07/72/410070772.db2.gz PTCAJIMRGLKXOF-GXSJLCMTSA-N 1 2 302.338 1.634 20 30 DDEDLO Cc1cnc([C@@H](C)[NH+]2CCN(c3cc(C#N)ccn3)CC2)cn1 ZINC000332390054 410120132 /nfs/dbraw/zinc/12/01/32/410120132.db2.gz RBHJCYQNUQKWDI-CQSZACIVSA-N 1 2 308.389 1.935 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC000298141053 410123722 /nfs/dbraw/zinc/12/37/22/410123722.db2.gz QVSVBSOBKLRWGV-UHFFFAOYSA-N 1 2 305.378 1.310 20 30 DDEDLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@@H]1CCCS(=O)(=O)C1 ZINC000329232893 410182578 /nfs/dbraw/zinc/18/25/78/410182578.db2.gz GLGDWFUKQJSCSG-LBPRGKRZSA-N 1 2 311.407 1.849 20 30 DDEDLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@H]1CCCCS1(=O)=O ZINC000329247564 410189593 /nfs/dbraw/zinc/18/95/93/410189593.db2.gz RWNKESCSHRUMTB-GFCCVEGCSA-N 1 2 311.407 1.992 20 30 DDEDLO O=C(NCc1ncccc1O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000329261794 410200653 /nfs/dbraw/zinc/20/06/53/410200653.db2.gz AZFLAKGJTLYXQW-AWEZNQCLSA-N 1 2 305.378 1.887 20 30 DDEDLO O=C(NCc1ncccc1O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000329261794 410200662 /nfs/dbraw/zinc/20/06/62/410200662.db2.gz AZFLAKGJTLYXQW-AWEZNQCLSA-N 1 2 305.378 1.887 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355085350 410303510 /nfs/dbraw/zinc/30/35/10/410303510.db2.gz XFZGWLCNKUBQRL-AWEZNQCLSA-N 1 2 315.421 1.236 20 30 DDEDLO Cn1ncc2c1CCC[C@@H]2[NH+]=C([O-])N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000329597634 410380070 /nfs/dbraw/zinc/38/00/70/410380070.db2.gz JBLOPPCHPAAXOP-JQWIXIFHSA-N 1 2 314.393 1.582 20 30 DDEDLO Cn1ncc2c1CCC[C@@H]2[NH+]=C([O-])N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000329597634 410380080 /nfs/dbraw/zinc/38/00/80/410380080.db2.gz JBLOPPCHPAAXOP-JQWIXIFHSA-N 1 2 314.393 1.582 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[N@@H+]1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000187717383 287142222 /nfs/dbraw/zinc/14/22/22/287142222.db2.gz ZRYNCAXXZLBQAY-STQMWFEESA-N 1 2 307.419 1.585 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[N@H+]1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000187717383 287142225 /nfs/dbraw/zinc/14/22/25/287142225.db2.gz ZRYNCAXXZLBQAY-STQMWFEESA-N 1 2 307.419 1.585 20 30 DDEDLO C=C(CC)C[NH+]1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000358500174 410478088 /nfs/dbraw/zinc/47/80/88/410478088.db2.gz PFIXUYSKNKDPGB-UHFFFAOYSA-N 1 2 304.456 1.325 20 30 DDEDLO C[C@@H]1C[C@H](O)CN1C([O-])=[NH+][C@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000329970208 410499790 /nfs/dbraw/zinc/49/97/90/410499790.db2.gz XUAZUQCINFGWHW-AGIUHOORSA-N 1 2 320.437 1.865 20 30 DDEDLO C=CCN(CCOC)C(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000358684699 410520559 /nfs/dbraw/zinc/52/05/59/410520559.db2.gz NUZSGWXUODTRND-UHFFFAOYSA-N 1 2 302.378 1.987 20 30 DDEDLO C=C[C@@H](CO)NC(=O)N[C@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000358716339 410530626 /nfs/dbraw/zinc/53/06/26/410530626.db2.gz AQHDLFHNFURHDU-RYUDHWBXSA-N 1 2 306.410 1.342 20 30 DDEDLO C[N@H+](Cc1cc[nH]n1)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000352426373 410656777 /nfs/dbraw/zinc/65/67/77/410656777.db2.gz TXSVEHLNXKCCON-MRXNPFEDSA-N 1 2 309.373 1.909 20 30 DDEDLO C[N@@H+](Cc1cc[nH]n1)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000352426373 410656782 /nfs/dbraw/zinc/65/67/82/410656782.db2.gz TXSVEHLNXKCCON-MRXNPFEDSA-N 1 2 309.373 1.909 20 30 DDEDLO CC[C@]1(C)COCC[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000330527409 410751415 /nfs/dbraw/zinc/75/14/15/410751415.db2.gz RBGISSXFYLZQKW-QGZVFWFLSA-N 1 2 301.390 1.675 20 30 DDEDLO CC[C@]1(C)COCC[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000330527409 410751419 /nfs/dbraw/zinc/75/14/19/410751419.db2.gz RBGISSXFYLZQKW-QGZVFWFLSA-N 1 2 301.390 1.675 20 30 DDEDLO Cc1cc(N2CC[NH+](C)CC2)ccc1NC([O-])=[NH+]CC[C@@H](C)O ZINC000330788687 410894078 /nfs/dbraw/zinc/89/40/78/410894078.db2.gz RCPCHUJVVQGYLD-CQSZACIVSA-N 1 2 320.437 1.844 20 30 DDEDLO CC[N@@H+](CC(=O)NC[C@@H]1COc2ccccc2O1)C[C@H](C)C#N ZINC000353305782 410912351 /nfs/dbraw/zinc/91/23/51/410912351.db2.gz DTKVIDIJZDCXKG-ZIAGYGMSSA-N 1 2 317.389 1.424 20 30 DDEDLO CC[N@H+](CC(=O)NC[C@@H]1COc2ccccc2O1)C[C@H](C)C#N ZINC000353305782 410912357 /nfs/dbraw/zinc/91/23/57/410912357.db2.gz DTKVIDIJZDCXKG-ZIAGYGMSSA-N 1 2 317.389 1.424 20 30 DDEDLO C[C@H]1COC(C)(C)C[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000331109387 410953120 /nfs/dbraw/zinc/95/31/20/410953120.db2.gz BCBRXEZPRQJBBA-ZDUSSCGKSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@H]1COC(C)(C)C[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000331109387 410953127 /nfs/dbraw/zinc/95/31/27/410953127.db2.gz BCBRXEZPRQJBBA-ZDUSSCGKSA-N 1 2 301.390 1.674 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@@H+]1Cc1ccc(F)cc1C#N ZINC000331199114 411011776 /nfs/dbraw/zinc/01/17/76/411011776.db2.gz ZMHSMHIUTNTPCB-AWEZNQCLSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@H+]1Cc1ccc(F)cc1C#N ZINC000331199114 411011779 /nfs/dbraw/zinc/01/17/79/411011779.db2.gz ZMHSMHIUTNTPCB-AWEZNQCLSA-N 1 2 311.382 1.211 20 30 DDEDLO COC(=O)[C@H](CC(C)C)NC(=O)C[NH+]1CCC(C)(C#N)CC1 ZINC000356476076 411049826 /nfs/dbraw/zinc/04/98/26/411049826.db2.gz NHVNQAVUKQEZBH-ZDUSSCGKSA-N 1 2 309.410 1.316 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)NCC[N@H+]1CC=CCC1 ZINC000360063674 411029042 /nfs/dbraw/zinc/02/90/42/411029042.db2.gz MWXAAWQYTKLQAT-UHFFFAOYSA-N 1 2 321.402 1.107 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)NCC[N@@H+]1CC=CCC1 ZINC000360063674 411029046 /nfs/dbraw/zinc/02/90/46/411029046.db2.gz MWXAAWQYTKLQAT-UHFFFAOYSA-N 1 2 321.402 1.107 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H](C)c1cccc(C#N)c1)[NH+]1CCN(C)CC1 ZINC000360261106 411117024 /nfs/dbraw/zinc/11/70/24/411117024.db2.gz KNUMXWHMAWSORK-GJZGRUSLSA-N 1 2 314.433 1.414 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(c2sccc2C#N)C1=O)c1ccn(C)n1 ZINC000580166220 422896177 /nfs/dbraw/zinc/89/61/77/422896177.db2.gz LWKFTGYMAMRAFQ-ZWNOBZJWSA-N 1 2 315.402 1.809 20 30 DDEDLO C#CCNC(=O)c1ccc(N2CC[C@H](C)[C@@H](n3cc[nH+]c3)C2)nc1 ZINC000134106403 196361845 /nfs/dbraw/zinc/36/18/45/196361845.db2.gz IFNFNFYUCGTGJV-HOCLYGCPSA-N 1 2 323.400 1.729 20 30 DDEDLO C#CCNC(=O)c1ccccc1NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000373639314 418443710 /nfs/dbraw/zinc/44/37/10/418443710.db2.gz MWPKEWCKNPODPT-ZDUSSCGKSA-N 1 2 322.368 1.447 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCC(=O)N(CC(C)C)C2CC2)c1 ZINC000177504298 221851558 /nfs/dbraw/zinc/85/15/58/221851558.db2.gz LVAYUMNCDJADEZ-UHFFFAOYSA-N 1 2 319.405 1.979 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@@H](CS(C)(=O)=O)C1 ZINC000366422254 418472696 /nfs/dbraw/zinc/47/26/96/418472696.db2.gz SJRPDVMGCOIXIE-UONOGXRCSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[C@@H](CS(C)(=O)=O)C1 ZINC000366422254 418472699 /nfs/dbraw/zinc/47/26/99/418472699.db2.gz SJRPDVMGCOIXIE-UONOGXRCSA-N 1 2 316.467 1.166 20 30 DDEDLO CCOC(=O)[C@@H](CC)[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000366445631 418473933 /nfs/dbraw/zinc/47/39/33/418473933.db2.gz WIDBCIITSGISEX-UONOGXRCSA-N 1 2 303.362 1.748 20 30 DDEDLO CCOC(=O)[C@@H](CC)[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000366445631 418473936 /nfs/dbraw/zinc/47/39/36/418473936.db2.gz WIDBCIITSGISEX-UONOGXRCSA-N 1 2 303.362 1.748 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)CC[N@@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000374205016 418504462 /nfs/dbraw/zinc/50/44/62/418504462.db2.gz MSYPIBFXYISXCZ-HUUCEWRRSA-N 1 2 318.373 1.719 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)CC[N@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000374205016 418504464 /nfs/dbraw/zinc/50/44/64/418504464.db2.gz MSYPIBFXYISXCZ-HUUCEWRRSA-N 1 2 318.373 1.719 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CC[NH+]([C@H]2CCCCNC2=O)CC1 ZINC000374280006 418514360 /nfs/dbraw/zinc/51/43/60/418514360.db2.gz MUTDHUSLSHDDGK-CABCVRRESA-N 1 2 322.453 1.337 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CC=C(c2cccnc2)CC1)C1CC1 ZINC000374321966 418517297 /nfs/dbraw/zinc/51/72/97/418517297.db2.gz AZLKAJZFUJNNLC-GOSISDBHSA-N 1 2 310.401 1.979 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CC=C(c2cccnc2)CC1)C1CC1 ZINC000374321966 418517299 /nfs/dbraw/zinc/51/72/99/418517299.db2.gz AZLKAJZFUJNNLC-GOSISDBHSA-N 1 2 310.401 1.979 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)c1[nH]c(C)c(C(=O)OC)c1C ZINC000191119885 222096848 /nfs/dbraw/zinc/09/68/48/222096848.db2.gz RREBQAZHMGEXDI-UHFFFAOYSA-N 1 2 308.378 1.735 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)c1[nH]c(C)c(C(=O)OC)c1C ZINC000191119885 222096851 /nfs/dbraw/zinc/09/68/51/222096851.db2.gz RREBQAZHMGEXDI-UHFFFAOYSA-N 1 2 308.378 1.735 20 30 DDEDLO COCCN(C)c1ccc(/C=C/C(=O)c2cnn(C)c2)c[nH+]1 ZINC000191279162 222099749 /nfs/dbraw/zinc/09/97/49/222099749.db2.gz VNTHXNINOAXKDW-GQCTYLIASA-N 1 2 300.362 1.794 20 30 DDEDLO CC[N@H+](CC(N)=O)CC(=O)N(CCC#N)c1cc(C)cc(C)c1 ZINC000191714681 222107203 /nfs/dbraw/zinc/10/72/03/222107203.db2.gz HMYBAZIEOLGSKJ-UHFFFAOYSA-N 1 2 316.405 1.357 20 30 DDEDLO CC[N@@H+](CC(N)=O)CC(=O)N(CCC#N)c1cc(C)cc(C)c1 ZINC000191714681 222107207 /nfs/dbraw/zinc/10/72/07/222107207.db2.gz HMYBAZIEOLGSKJ-UHFFFAOYSA-N 1 2 316.405 1.357 20 30 DDEDLO CN1CC[N@H+](CCNc2ccc(C#N)c([N+](=O)[O-])c2)CC1(C)C ZINC000291153881 222177084 /nfs/dbraw/zinc/17/70/84/222177084.db2.gz PGKADSBYOJUOMD-UHFFFAOYSA-N 1 2 317.393 1.904 20 30 DDEDLO CN1CC[N@@H+](CCNc2ccc(C#N)c([N+](=O)[O-])c2)CC1(C)C ZINC000291153881 222177085 /nfs/dbraw/zinc/17/70/85/222177085.db2.gz PGKADSBYOJUOMD-UHFFFAOYSA-N 1 2 317.393 1.904 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)NC1(C#N)CCOCC1 ZINC000375453518 418641455 /nfs/dbraw/zinc/64/14/55/418641455.db2.gz PTDSOCXIQMVXKW-ZIAGYGMSSA-N 1 2 314.389 1.343 20 30 DDEDLO COc1cccc(NC(=O)CO[NH+]=C(N)[C@@H](C)OCC(C)C)c1 ZINC000266225123 222360507 /nfs/dbraw/zinc/36/05/07/222360507.db2.gz SERIRQIHNGFJJJ-GFCCVEGCSA-N 1 2 323.393 1.984 20 30 DDEDLO CN(C1CCN(c2cccc[nH+]2)CC1)S(=O)(=O)CCCC#N ZINC000377632097 418712018 /nfs/dbraw/zinc/71/20/18/418712018.db2.gz HWNUTZSDUVUXMV-UHFFFAOYSA-N 1 2 322.434 1.616 20 30 DDEDLO C#CCSCCNC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccn1 ZINC000377944471 418717225 /nfs/dbraw/zinc/71/72/25/418717225.db2.gz FIBZTDWSFSIUFX-HNNXBMFYSA-N 1 2 303.431 1.529 20 30 DDEDLO C#CCSCCNC(=O)[C@@H]1CCC[N@H+]1Cc1ccccn1 ZINC000377944471 418717226 /nfs/dbraw/zinc/71/72/26/418717226.db2.gz FIBZTDWSFSIUFX-HNNXBMFYSA-N 1 2 303.431 1.529 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)c1 ZINC000377951432 418717766 /nfs/dbraw/zinc/71/77/66/418717766.db2.gz BKXLIGVZEMUVIY-CYBMUJFWSA-N 1 2 308.341 1.003 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)c1 ZINC000377951432 418717768 /nfs/dbraw/zinc/71/77/68/418717768.db2.gz BKXLIGVZEMUVIY-CYBMUJFWSA-N 1 2 308.341 1.003 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000368430263 418720081 /nfs/dbraw/zinc/72/00/81/418720081.db2.gz UYRCXDRDYCMBLT-CJNGLKHVSA-N 1 2 301.394 1.365 20 30 DDEDLO CC(C)(C)NC(=O)CN1CCC[N@H+](Cc2ccc(C#N)o2)CC1 ZINC000378339648 418720922 /nfs/dbraw/zinc/72/09/22/418720922.db2.gz XTZJSNBSKDNNLG-UHFFFAOYSA-N 1 2 318.421 1.574 20 30 DDEDLO CC(C)(C)NC(=O)CN1CCC[N@@H+](Cc2ccc(C#N)o2)CC1 ZINC000378339648 418720923 /nfs/dbraw/zinc/72/09/23/418720923.db2.gz XTZJSNBSKDNNLG-UHFFFAOYSA-N 1 2 318.421 1.574 20 30 DDEDLO Cc1nc(C(=O)N2CC[NH2+][C@H](c3ccncc3)C2)ccc1C#N ZINC000368524464 418721495 /nfs/dbraw/zinc/72/14/95/418721495.db2.gz AYDPEEHUEUVHIL-INIZCTEOSA-N 1 2 307.357 1.443 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH+]1CC(Cc2cccc(F)c2)C1 ZINC000371349264 418782149 /nfs/dbraw/zinc/78/21/49/418782149.db2.gz GWJHGNAIPHFATK-UHFFFAOYSA-N 1 2 305.353 1.312 20 30 DDEDLO COCCCNC(=O)C[N@@H+]1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000369804307 418737677 /nfs/dbraw/zinc/73/76/77/418737677.db2.gz SFQUBIHKKHBNPR-IAGOWNOFSA-N 1 2 315.417 1.911 20 30 DDEDLO COCCCNC(=O)C[N@H+]1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000369804307 418737679 /nfs/dbraw/zinc/73/76/79/418737679.db2.gz SFQUBIHKKHBNPR-IAGOWNOFSA-N 1 2 315.417 1.911 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN([C@@H]2CCOC2)CC1 ZINC000362032739 418742714 /nfs/dbraw/zinc/74/27/14/418742714.db2.gz PNGWBDLVTXBPFX-HZPDHXFCSA-N 1 2 309.454 1.206 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC(=O)N(C(C)C)C(C)(C)C1 ZINC000362350911 418752823 /nfs/dbraw/zinc/75/28/23/418752823.db2.gz AAZHWFIMVCMQHV-UHFFFAOYSA-N 1 2 307.438 1.518 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC(=O)N(C(C)C)C(C)(C)C1 ZINC000362350911 418752824 /nfs/dbraw/zinc/75/28/24/418752824.db2.gz AAZHWFIMVCMQHV-UHFFFAOYSA-N 1 2 307.438 1.518 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)Nc2cccc(C#N)c2)CCO1 ZINC000362477325 418754827 /nfs/dbraw/zinc/75/48/27/418754827.db2.gz SRLNNPFQKPGEOR-HNNXBMFYSA-N 1 2 302.378 1.791 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)Nc2cccc(C#N)c2)CCO1 ZINC000362477325 418754829 /nfs/dbraw/zinc/75/48/29/418754829.db2.gz SRLNNPFQKPGEOR-HNNXBMFYSA-N 1 2 302.378 1.791 20 30 DDEDLO Cc1nnc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cc2)s1 ZINC000391940057 418758205 /nfs/dbraw/zinc/75/82/05/418758205.db2.gz UYQDVEDEZBBGTD-UHFFFAOYSA-N 1 2 322.415 1.282 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2cc(C)ccc2C)C1=O ZINC000280921806 222537192 /nfs/dbraw/zinc/53/71/92/222537192.db2.gz DGMRZJDJJPTFEH-MRXNPFEDSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2cc(C)ccc2C)C1=O ZINC000280921806 222537194 /nfs/dbraw/zinc/53/71/94/222537194.db2.gz DGMRZJDJJPTFEH-MRXNPFEDSA-N 1 2 315.417 1.961 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1Cc2ccccc2[C@H](n2cccn2)C1 ZINC000363232171 418763852 /nfs/dbraw/zinc/76/38/52/418763852.db2.gz QXSQANGRZVIBIS-WMLDXEAASA-N 1 2 308.385 1.426 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1Cc2ccccc2[C@H](n2cccn2)C1 ZINC000363232171 418763854 /nfs/dbraw/zinc/76/38/54/418763854.db2.gz QXSQANGRZVIBIS-WMLDXEAASA-N 1 2 308.385 1.426 20 30 DDEDLO C#C[C@@H](C)NC(=O)N1CCC[N@@H+](Cc2csc(CC)n2)CC1 ZINC000364314828 418775614 /nfs/dbraw/zinc/77/56/14/418775614.db2.gz PRWYUQCKEKUPCH-CYBMUJFWSA-N 1 2 320.462 1.945 20 30 DDEDLO C#C[C@@H](C)NC(=O)N1CCC[N@H+](Cc2csc(CC)n2)CC1 ZINC000364314828 418775617 /nfs/dbraw/zinc/77/56/17/418775617.db2.gz PRWYUQCKEKUPCH-CYBMUJFWSA-N 1 2 320.462 1.945 20 30 DDEDLO C#CCCCCC(=O)N1CCCN(Cc2[nH+]ccn2C)CC1 ZINC000371447503 418793350 /nfs/dbraw/zinc/79/33/50/418793350.db2.gz LSPCUVUXNCSYAR-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](Cc2nccn2C)CC1 ZINC000371447503 418793353 /nfs/dbraw/zinc/79/33/53/418793353.db2.gz LSPCUVUXNCSYAR-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](Cc2nccn2C)CC1 ZINC000371447503 418793355 /nfs/dbraw/zinc/79/33/55/418793355.db2.gz LSPCUVUXNCSYAR-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2cnc(C)c(C#N)c2)C[C@H]1C ZINC000408362365 418799284 /nfs/dbraw/zinc/79/92/84/418799284.db2.gz NVLYSQFSLGSANE-NEPJUHHUSA-N 1 2 322.434 1.365 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2cnc(C)c(C#N)c2)C[C@H]1C ZINC000408362365 418799285 /nfs/dbraw/zinc/79/92/85/418799285.db2.gz NVLYSQFSLGSANE-NEPJUHHUSA-N 1 2 322.434 1.365 20 30 DDEDLO N#Cc1cccnc1NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000372008597 418830841 /nfs/dbraw/zinc/83/08/41/418830841.db2.gz DBNLJLLRROEFNP-MRXNPFEDSA-N 1 2 302.378 1.247 20 30 DDEDLO N#CCCCCS(=O)(=O)N1CC[NH+]([C@H]2CCSC2)CC1 ZINC000364753272 418808448 /nfs/dbraw/zinc/80/84/48/418808448.db2.gz FEUAUPMOKAMPFI-ZDUSSCGKSA-N 1 2 317.480 1.133 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CCn3cc(C)[nH+]c3C2)s1 ZINC000371743223 418813371 /nfs/dbraw/zinc/81/33/71/418813371.db2.gz HTECMJHWGSBFTL-UHFFFAOYSA-N 1 2 303.391 1.902 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@H+]1CCC[C@@H](n2cc([N+](=O)[O-])cn2)C1 ZINC000372289351 418852345 /nfs/dbraw/zinc/85/23/45/418852345.db2.gz OUHPNJBANPQPMX-QWHCGFSZSA-N 1 2 302.338 1.872 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@@H+]1CCC[C@@H](n2cc([N+](=O)[O-])cn2)C1 ZINC000372289351 418852346 /nfs/dbraw/zinc/85/23/46/418852346.db2.gz OUHPNJBANPQPMX-QWHCGFSZSA-N 1 2 302.338 1.872 20 30 DDEDLO Cn1cc([C@H]2C[N@H+](CCCC#N)CCN2CCCC#N)cn1 ZINC000372348945 418860170 /nfs/dbraw/zinc/86/01/70/418860170.db2.gz NZGYMRGCVSUZCN-MRXNPFEDSA-N 1 2 300.410 1.686 20 30 DDEDLO Cn1cc([C@H]2C[N@@H+](CCCC#N)CCN2CCCC#N)cn1 ZINC000372348945 418860172 /nfs/dbraw/zinc/86/01/72/418860172.db2.gz NZGYMRGCVSUZCN-MRXNPFEDSA-N 1 2 300.410 1.686 20 30 DDEDLO C#CC[N@H+](Cc1nnnn1CC1CCOCC1)C1CCCC1 ZINC000365635636 418886769 /nfs/dbraw/zinc/88/67/69/418886769.db2.gz PPQYIHZEDUINDK-UHFFFAOYSA-N 1 2 303.410 1.478 20 30 DDEDLO C#CC[N@@H+](Cc1nnnn1CC1CCOCC1)C1CCCC1 ZINC000365635636 418886772 /nfs/dbraw/zinc/88/67/72/418886772.db2.gz PPQYIHZEDUINDK-UHFFFAOYSA-N 1 2 303.410 1.478 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)N2CCC[C@H]2[C@H](C#N)c2ccccc2)C1 ZINC000365725823 418900301 /nfs/dbraw/zinc/90/03/01/418900301.db2.gz QARKWUOMCPXGRB-IKGGRYGDSA-N 1 2 313.401 1.615 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)N2CCC[C@H]2[C@H](C#N)c2ccccc2)C1 ZINC000365725823 418900303 /nfs/dbraw/zinc/90/03/03/418900303.db2.gz QARKWUOMCPXGRB-IKGGRYGDSA-N 1 2 313.401 1.615 20 30 DDEDLO Cc1cc(N2CCN3C(=O)N(CC(C)C)C[C@@H]3C2)c(C#N)c[nH+]1 ZINC000425253325 228399652 /nfs/dbraw/zinc/39/96/52/228399652.db2.gz CRDQNCNVUBQNMW-HNNXBMFYSA-N 1 2 313.405 1.844 20 30 DDEDLO CC(C)[N@@H+](Cc1c(C#N)cccc1[N+](=O)[O-])C[C@@H]1CCC(=O)N1 ZINC000412062192 419607177 /nfs/dbraw/zinc/60/71/77/419607177.db2.gz ARJDGBSDTZZSAU-ZDUSSCGKSA-N 1 2 316.361 1.955 20 30 DDEDLO CC(C)[N@H+](Cc1c(C#N)cccc1[N+](=O)[O-])C[C@@H]1CCC(=O)N1 ZINC000412062192 419607185 /nfs/dbraw/zinc/60/71/85/419607185.db2.gz ARJDGBSDTZZSAU-ZDUSSCGKSA-N 1 2 316.361 1.955 20 30 DDEDLO COCCN(c1cc[nH+]cc1)S(=O)(=O)c1cccnc1C#N ZINC000428384739 228721543 /nfs/dbraw/zinc/72/15/43/228721543.db2.gz XOZVXBUOXWYZGS-UHFFFAOYSA-N 1 2 318.358 1.190 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+]1CC[C@H](NC(=O)NC2CC2)C1 ZINC000428429744 419865828 /nfs/dbraw/zinc/86/58/28/419865828.db2.gz JGXUTKZSZVWPLE-ZDUSSCGKSA-N 1 2 302.353 1.733 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+]1CC[C@H](NC(=O)NC2CC2)C1 ZINC000428429744 419865834 /nfs/dbraw/zinc/86/58/34/419865834.db2.gz JGXUTKZSZVWPLE-ZDUSSCGKSA-N 1 2 302.353 1.733 20 30 DDEDLO CCNC(=O)[C@H]1Cc2ccccc2C[N@@H+]1Cc1cc(C#N)n(C)c1 ZINC000425364066 420363118 /nfs/dbraw/zinc/36/31/18/420363118.db2.gz DTDUCKSNLKYHFO-GOSISDBHSA-N 1 2 322.412 1.960 20 30 DDEDLO CCNC(=O)[C@H]1Cc2ccccc2C[N@H+]1Cc1cc(C#N)n(C)c1 ZINC000425364066 420363122 /nfs/dbraw/zinc/36/31/22/420363122.db2.gz DTDUCKSNLKYHFO-GOSISDBHSA-N 1 2 322.412 1.960 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[NH+]([C@@H](C)c2ccsc2)CC1 ZINC000416466532 420383089 /nfs/dbraw/zinc/38/30/89/420383089.db2.gz QNSXFUCSIGCQDP-RYUDHWBXSA-N 1 2 313.448 1.669 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC[C@H](n3ccnn3)C2)cc1 ZINC000425521414 420407869 /nfs/dbraw/zinc/40/78/69/420407869.db2.gz ZUGIWUUFFPUUTD-GJZGRUSLSA-N 1 2 310.361 1.013 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC[C@H](n3ccnn3)C2)cc1 ZINC000425521414 420407870 /nfs/dbraw/zinc/40/78/70/420407870.db2.gz ZUGIWUUFFPUUTD-GJZGRUSLSA-N 1 2 310.361 1.013 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000456766363 420541478 /nfs/dbraw/zinc/54/14/78/420541478.db2.gz IJTPULMDOZKXSB-CVEARBPZSA-N 1 2 312.454 1.567 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCOC[C@H]1c1ccc(F)cc1 ZINC000451798673 420612413 /nfs/dbraw/zinc/61/24/13/420612413.db2.gz MDSNTLHDZDKAEB-INIZCTEOSA-N 1 2 319.380 1.961 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCOC[C@H]1c1ccc(F)cc1 ZINC000451798673 420612417 /nfs/dbraw/zinc/61/24/17/420612417.db2.gz MDSNTLHDZDKAEB-INIZCTEOSA-N 1 2 319.380 1.961 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)cc1O ZINC000442642382 420692489 /nfs/dbraw/zinc/69/24/89/420692489.db2.gz CBBDUAIPUQCKAU-OAHLLOKOSA-N 1 2 309.325 1.952 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CC[C@H]([NH+]2CCN(CC)CC2)C1 ZINC000458982541 420774409 /nfs/dbraw/zinc/77/44/09/420774409.db2.gz BFLPSRQTXPBXKD-HNNXBMFYSA-N 1 2 308.470 1.620 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3ccc(C#N)cc3)C[C@H]21 ZINC000492910393 420706676 /nfs/dbraw/zinc/70/66/76/420706676.db2.gz IYZVRSBZGMQQOM-TZNMXKOXSA-N 1 2 311.385 1.503 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3ccc(C#N)cc3)C[C@H]21 ZINC000492910393 420706680 /nfs/dbraw/zinc/70/66/80/420706680.db2.gz IYZVRSBZGMQQOM-TZNMXKOXSA-N 1 2 311.385 1.503 20 30 DDEDLO C=C(C)CCNC(=O)N1CC[C@H]([N@H+](C)Cc2ncccn2)C1 ZINC000458998074 420778523 /nfs/dbraw/zinc/77/85/23/420778523.db2.gz JZMIRDZAJHPDRH-AWEZNQCLSA-N 1 2 303.410 1.659 20 30 DDEDLO C=C(C)CCNC(=O)N1CC[C@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000458998074 420778526 /nfs/dbraw/zinc/77/85/26/420778526.db2.gz JZMIRDZAJHPDRH-AWEZNQCLSA-N 1 2 303.410 1.659 20 30 DDEDLO C=C(CC)CNC(=O)N1CC[C@H]([N@H+](C)Cc2nccc(N)n2)C1 ZINC000459066384 420796527 /nfs/dbraw/zinc/79/65/27/420796527.db2.gz ABYBHTQLZPFLHC-ZDUSSCGKSA-N 1 2 318.425 1.241 20 30 DDEDLO C=C(CC)CNC(=O)N1CC[C@H]([N@@H+](C)Cc2nccc(N)n2)C1 ZINC000459066384 420796531 /nfs/dbraw/zinc/79/65/31/420796531.db2.gz ABYBHTQLZPFLHC-ZDUSSCGKSA-N 1 2 318.425 1.241 20 30 DDEDLO N#Cc1ccc(/C=C\C(=O)NCC2([NH+]3CCOCC3)CC2)cc1 ZINC000493552268 420880141 /nfs/dbraw/zinc/88/01/41/420880141.db2.gz NZEUVJAYIYRYQG-WAYWQWQTSA-N 1 2 311.385 1.552 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cc2cccnc2o1 ZINC000448993090 420930699 /nfs/dbraw/zinc/93/06/99/420930699.db2.gz MCBNPUDCFOVMDZ-UHFFFAOYSA-N 1 2 314.389 1.876 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)CC[N@H+]1C ZINC000496095810 421132975 /nfs/dbraw/zinc/13/29/75/421132975.db2.gz VQCPQJGYFDQPAE-ZDUSSCGKSA-N 1 2 311.426 1.620 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)CC[N@@H+]1C ZINC000496095810 421132978 /nfs/dbraw/zinc/13/29/78/421132978.db2.gz VQCPQJGYFDQPAE-ZDUSSCGKSA-N 1 2 311.426 1.620 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(F)cc2C#N)CCO1 ZINC000488907784 421125421 /nfs/dbraw/zinc/12/54/21/421125421.db2.gz JXKXHOIUOWGXKF-CQSZACIVSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(F)cc2C#N)CCO1 ZINC000488907784 421125423 /nfs/dbraw/zinc/12/54/23/421125423.db2.gz JXKXHOIUOWGXKF-CQSZACIVSA-N 1 2 320.368 1.930 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)cn1 ZINC000355123890 269778566 /nfs/dbraw/zinc/77/85/66/269778566.db2.gz JXUDRAACGLZARX-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO COCC[N@H+](CC(=O)Nc1cccc(C#N)c1)[C@@H]1CCOC1 ZINC000490075008 421188474 /nfs/dbraw/zinc/18/84/74/421188474.db2.gz WLYDKYVFFYUUES-OAHLLOKOSA-N 1 2 303.362 1.234 20 30 DDEDLO COCC[N@@H+](CC(=O)Nc1cccc(C#N)c1)[C@@H]1CCOC1 ZINC000490075008 421188476 /nfs/dbraw/zinc/18/84/76/421188476.db2.gz WLYDKYVFFYUUES-OAHLLOKOSA-N 1 2 303.362 1.234 20 30 DDEDLO CCOc1cc(C)nc(N2CC[NH+](CC3(C#N)CCC3)CC2)n1 ZINC000450371923 421189567 /nfs/dbraw/zinc/18/95/67/421189567.db2.gz LBCKQBOCUIJAFP-UHFFFAOYSA-N 1 2 315.421 2.000 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCN(C(=O)OC(C)(C)C)C(C)(C)C1 ZINC000491507684 421198569 /nfs/dbraw/zinc/19/85/69/421198569.db2.gz WDXHDTDYLSEKMR-ZDUSSCGKSA-N 1 2 323.437 1.456 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCN(C(=O)OC(C)(C)C)C(C)(C)C1 ZINC000491507684 421198571 /nfs/dbraw/zinc/19/85/71/421198571.db2.gz WDXHDTDYLSEKMR-ZDUSSCGKSA-N 1 2 323.437 1.456 20 30 DDEDLO CC[C@H]1C[N@H+](CCNc2ncc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000450480776 421203114 /nfs/dbraw/zinc/20/31/14/421203114.db2.gz CFSNSWZXFIGJCR-LBPRGKRZSA-N 1 2 305.338 1.384 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNc2ncc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000450480776 421203115 /nfs/dbraw/zinc/20/31/15/421203115.db2.gz CFSNSWZXFIGJCR-LBPRGKRZSA-N 1 2 305.338 1.384 20 30 DDEDLO CN(Cc1cccc(C#N)c1)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000548282645 421417194 /nfs/dbraw/zinc/41/71/94/421417194.db2.gz ULEATFODGRYRAH-HNNXBMFYSA-N 1 2 309.373 1.911 20 30 DDEDLO Cc1nsc(NC[C@]2(CO)COCC3(CCC3)[N@H+]2C)c1C#N ZINC000528126705 421470671 /nfs/dbraw/zinc/47/06/71/421470671.db2.gz AFONVHXABIEMJL-HNNXBMFYSA-N 1 2 322.434 1.351 20 30 DDEDLO Cc1nsc(NC[C@]2(CO)COCC3(CCC3)[N@@H+]2C)c1C#N ZINC000528126705 421470675 /nfs/dbraw/zinc/47/06/75/421470675.db2.gz AFONVHXABIEMJL-HNNXBMFYSA-N 1 2 322.434 1.351 20 30 DDEDLO CCOC(=O)c1c(C)[nH]c(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1C ZINC000563220406 421473838 /nfs/dbraw/zinc/47/38/38/421473838.db2.gz NCJOAOUDYLBQMP-MRXNPFEDSA-N 1 2 320.393 1.382 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCO[C@H](CNC(=O)NC)C2)cc1 ZINC000529642699 421548450 /nfs/dbraw/zinc/54/84/50/421548450.db2.gz MXABBYLHFZQGHG-MRXNPFEDSA-N 1 2 319.405 1.381 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCO[C@H](CNC(=O)NC)C2)cc1 ZINC000529642699 421548451 /nfs/dbraw/zinc/54/84/51/421548451.db2.gz MXABBYLHFZQGHG-MRXNPFEDSA-N 1 2 319.405 1.381 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)c1cnc(C(F)(F)F)c(C#N)c1 ZINC000551946151 421558002 /nfs/dbraw/zinc/55/80/02/421558002.db2.gz SUQBZRJEEKZRFS-UHFFFAOYSA-N 1 2 323.278 1.678 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnn(CCC(F)(F)F)c1 ZINC000516774363 421566862 /nfs/dbraw/zinc/56/68/62/421566862.db2.gz NZQQIRRQOXTYNY-LBPRGKRZSA-N 1 2 317.315 1.409 20 30 DDEDLO N#CCc1ccc(CS(=O)(=O)N2CC[NH+](C3CC3)CC2)cc1 ZINC000567348877 421611345 /nfs/dbraw/zinc/61/13/45/421611345.db2.gz RDTLJKZIQITAGJ-UHFFFAOYSA-N 1 2 319.430 1.362 20 30 DDEDLO N#CC1(CCC[N@@H+]2CCO[C@H](C(=O)C3CC3)C2)CCOCC1 ZINC000570346230 421641081 /nfs/dbraw/zinc/64/10/81/421641081.db2.gz GTUOXFCGFBVEGB-HNNXBMFYSA-N 1 2 306.406 1.767 20 30 DDEDLO N#CC1(CCC[N@H+]2CCO[C@H](C(=O)C3CC3)C2)CCOCC1 ZINC000570346230 421641083 /nfs/dbraw/zinc/64/10/83/421641083.db2.gz GTUOXFCGFBVEGB-HNNXBMFYSA-N 1 2 306.406 1.767 20 30 DDEDLO COc1cc(NC[C@H](O)C[N@H+](C)CCC#N)ccc1NC(C)=O ZINC000570389546 421643489 /nfs/dbraw/zinc/64/34/89/421643489.db2.gz BFPJTQNDKGWFPQ-AWEZNQCLSA-N 1 2 320.393 1.272 20 30 DDEDLO COc1cc(NC[C@H](O)C[N@@H+](C)CCC#N)ccc1NC(C)=O ZINC000570389546 421643492 /nfs/dbraw/zinc/64/34/92/421643492.db2.gz BFPJTQNDKGWFPQ-AWEZNQCLSA-N 1 2 320.393 1.272 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N[C@@H]2CCCC[C@H]2C)C1=O ZINC000532205719 421652028 /nfs/dbraw/zinc/65/20/28/421652028.db2.gz GDSLBFKWWHOFOC-FPCVCCKLSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N[C@@H]2CCCC[C@H]2C)C1=O ZINC000532205719 421652030 /nfs/dbraw/zinc/65/20/30/421652030.db2.gz GDSLBFKWWHOFOC-FPCVCCKLSA-N 1 2 321.465 1.789 20 30 DDEDLO CN(CCC#N)C(=O)CC[NH2+]C1(C(=O)OC(C)(C)C)CCCC1 ZINC000536741271 421725478 /nfs/dbraw/zinc/72/54/78/421725478.db2.gz UJZAIVMNEMLXTF-UHFFFAOYSA-N 1 2 323.437 1.993 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cccc(N2CCCC2=O)c1 ZINC000555482501 421679033 /nfs/dbraw/zinc/67/90/33/421679033.db2.gz AEQSLLAIQVKJET-KRWDZBQOSA-N 1 2 314.389 1.387 20 30 DDEDLO Cc1cc(NC(=O)NCCCCn2cc[nH+]c2)nn1CCC#N ZINC000539718628 421750664 /nfs/dbraw/zinc/75/06/64/421750664.db2.gz YELHJYOBYLNBPK-UHFFFAOYSA-N 1 2 315.381 1.904 20 30 DDEDLO COc1ccccc1N1CC[C@@H]([NH2+]C[C@H](C#N)CCC#N)C1=O ZINC000558674344 421819491 /nfs/dbraw/zinc/81/94/91/421819491.db2.gz ZQOGHITUCRFAFH-UONOGXRCSA-N 1 2 312.373 1.834 20 30 DDEDLO Cn1ncc2c1ncnc2N1CC[NH+](CC2(C#N)CCC2)CC1 ZINC000573059304 421905472 /nfs/dbraw/zinc/90/54/72/421905472.db2.gz OEJBJIDPYVQNTG-UHFFFAOYSA-N 1 2 311.393 1.179 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)COC/C=C/c1ccccc1 ZINC000573729737 422006477 /nfs/dbraw/zinc/00/64/77/422006477.db2.gz LLMIOWWWGJYGDH-PYUISTEWSA-N 1 2 301.390 1.676 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N1CCC(CCC#N)CC1 ZINC000574636327 422149404 /nfs/dbraw/zinc/14/94/04/422149404.db2.gz YIURERLILYBZQG-UHFFFAOYSA-N 1 2 316.361 1.571 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CSc1ccc([N+](=O)[O-])cc1 ZINC000574881307 422203395 /nfs/dbraw/zinc/20/33/95/422203395.db2.gz UEMABKCULVZGOY-AWEZNQCLSA-N 1 2 322.390 1.647 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)CCC1 ZINC000574944573 422220682 /nfs/dbraw/zinc/22/06/82/422220682.db2.gz VEIHFWMQKAOBJO-LBPRGKRZSA-N 1 2 308.407 1.613 20 30 DDEDLO C[C@H](CNc1ncc([N+](=O)[O-])cc1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594592831 422352426 /nfs/dbraw/zinc/35/24/26/422352426.db2.gz YTDRXSVOYVUIRT-UTUOFQBUSA-N 1 2 319.365 1.771 20 30 DDEDLO C[C@H](CNc1ncc([N+](=O)[O-])cc1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594592831 422352430 /nfs/dbraw/zinc/35/24/30/422352430.db2.gz YTDRXSVOYVUIRT-UTUOFQBUSA-N 1 2 319.365 1.771 20 30 DDEDLO N#Cc1cccc(C2([NH2+]Cc3nccc(N)n3)CCOCC2)c1 ZINC000595768994 422358783 /nfs/dbraw/zinc/35/87/83/422358783.db2.gz NEJOOODRDWEVRY-UHFFFAOYSA-N 1 2 309.373 1.726 20 30 DDEDLO C=CCN(CC1CCCCC1)C(=O)C(=O)N(C)Cc1[nH]cc[nH+]1 ZINC000632520783 422409404 /nfs/dbraw/zinc/40/94/04/422409404.db2.gz FNDSCEVJYGZGIH-UHFFFAOYSA-N 1 2 318.421 1.963 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+](CCc3cnccn3)CC2)C1 ZINC000634508082 422461336 /nfs/dbraw/zinc/46/13/36/422461336.db2.gz JMHIBHYXZZRAPC-UHFFFAOYSA-N 1 2 300.406 1.520 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nnc(-c3ccccc3)o2)nn1 ZINC000640798430 423197534 /nfs/dbraw/zinc/19/75/34/423197534.db2.gz DJXWXHSTGPQSIJ-UHFFFAOYSA-N 1 2 308.345 1.489 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1cccc(F)c1C#N)[NH+]1CCOCC1 ZINC000186399241 263398621 /nfs/dbraw/zinc/39/86/21/263398621.db2.gz XYSMLPZQELHJLI-MRXNPFEDSA-N 1 2 319.380 1.784 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCCc1cn2c(cccc2C)[nH+]1 ZINC000645930676 423248177 /nfs/dbraw/zinc/24/81/77/423248177.db2.gz IWDYMMVQVDVSDT-HNNXBMFYSA-N 1 2 314.389 1.259 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)c2nc(C(C)(C)C)no2)nn1 ZINC000640937881 423286923 /nfs/dbraw/zinc/28/69/23/423286923.db2.gz YHYWXLQWEMSOGG-NSHDSACASA-N 1 2 302.382 1.681 20 30 DDEDLO C=CCOc1ccccc1CNS(=O)(=O)CCn1cc[nH+]c1 ZINC000641326173 423554986 /nfs/dbraw/zinc/55/49/86/423554986.db2.gz QRXHNZPNOVIRGY-UHFFFAOYSA-N 1 2 321.402 1.568 20 30 DDEDLO C=CCCC[C@H](C)n1cc(C[NH2+][C@H]2CCS(=O)(=O)C2)nn1 ZINC000641235520 423500960 /nfs/dbraw/zinc/50/09/60/423500960.db2.gz SUXYYCBDXDSPBM-STQMWFEESA-N 1 2 312.439 1.472 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+]Cc2ccc3cc[nH]c3n2)C(N)=O)cc1 ZINC000357304259 269993039 /nfs/dbraw/zinc/99/30/39/269993039.db2.gz UMHTWXYRAKXEGI-OAHLLOKOSA-N 1 2 305.341 1.751 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3cccc(F)c3)CC2)C1=O ZINC000640135945 424206038 /nfs/dbraw/zinc/20/60/38/424206038.db2.gz JOITXDCTXUXCAM-INIZCTEOSA-N 1 2 303.381 1.735 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1ccc(C)[nH+]c1C)[C@H](C)COC ZINC000658373354 424650123 /nfs/dbraw/zinc/65/01/23/424650123.db2.gz BLFBHMLLKKMTNQ-GFCCVEGCSA-N 1 2 305.378 1.686 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1ccc2[nH+]ccn2c1)[C@@H](C)COC ZINC000658375792 424652216 /nfs/dbraw/zinc/65/22/16/424652216.db2.gz MQDOSXXSAADJGK-LBPRGKRZSA-N 1 2 316.361 1.322 20 30 DDEDLO CCO[C@H]1C[C@@H](O)C12CC[NH+](Cc1nc(C#N)cs1)CC2 ZINC000356107879 266302156 /nfs/dbraw/zinc/30/21/56/266302156.db2.gz FCDKRDDZNSOOMW-OLZOCXBDSA-N 1 2 307.419 1.767 20 30 DDEDLO COC(=O)C1(O)CC[NH+](Cc2ccc(C#N)cc2OC)CC1 ZINC000373168106 266627328 /nfs/dbraw/zinc/62/73/28/266627328.db2.gz TXINEJYUSYOIOK-UHFFFAOYSA-N 1 2 304.346 1.067 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C#N)c(O)c1 ZINC000342277574 267090536 /nfs/dbraw/zinc/09/05/36/267090536.db2.gz DTMLAXFXWYZTFA-DOMZBBRYSA-N 1 2 315.373 1.199 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2C[C@H]3CCCC[C@H]32)nc1 ZINC000368100638 268188205 /nfs/dbraw/zinc/18/82/05/268188205.db2.gz QMUPDNJPIZVXGB-ZIAGYGMSSA-N 1 2 305.403 1.601 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2C[C@H]3CCCC[C@H]32)nc1 ZINC000368100638 268188208 /nfs/dbraw/zinc/18/82/08/268188208.db2.gz QMUPDNJPIZVXGB-ZIAGYGMSSA-N 1 2 305.403 1.601 20 30 DDEDLO N#Cc1ccncc1C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000341457115 271078260 /nfs/dbraw/zinc/07/82/60/271078260.db2.gz OCFSIAYUHDQBGL-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(N)=O)C2)c(OC(F)F)c1 ZINC000342877100 271553594 /nfs/dbraw/zinc/55/35/94/271553594.db2.gz VYTBUYBVHSMADA-NSHDSACASA-N 1 2 310.304 1.402 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(N)=O)C2)c(OC(F)F)c1 ZINC000342877100 271553596 /nfs/dbraw/zinc/55/35/96/271553596.db2.gz VYTBUYBVHSMADA-NSHDSACASA-N 1 2 310.304 1.402 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@@H]1C ZINC000355440110 277792272 /nfs/dbraw/zinc/79/22/72/277792272.db2.gz LJKITXDWWWWXMN-JONQDZQNSA-N 1 2 308.422 1.289 20 30 DDEDLO Cc1cn2cc(NC(=O)C(=O)N[C@@H]3CC[C@H](C#N)C3)ccc2[nH+]1 ZINC000425813147 279005723 /nfs/dbraw/zinc/00/57/23/279005723.db2.gz NJXFOUUECJKOMO-NWDGAFQWSA-N 1 2 311.345 1.390 20 30 DDEDLO CC[C@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000374564587 301819217 /nfs/dbraw/zinc/81/92/17/301819217.db2.gz CDMRSMAAPZYIIW-KBPBESRZSA-N 1 2 315.421 1.378 20 30 DDEDLO Cc1ncc2c(n1)CC[N@@H+](Cc1cnc3ccc(C#N)cn13)C2 ZINC000535790122 303352998 /nfs/dbraw/zinc/35/29/98/303352998.db2.gz NDLTVENPMNJRCT-UHFFFAOYSA-N 1 2 304.357 1.863 20 30 DDEDLO Cc1ncc2c(n1)CC[N@H+](Cc1cnc3ccc(C#N)cn13)C2 ZINC000535790122 303353001 /nfs/dbraw/zinc/35/30/01/303353001.db2.gz NDLTVENPMNJRCT-UHFFFAOYSA-N 1 2 304.357 1.863 20 30 DDEDLO COC(=O)[C@](C)([NH2+]CCC(=O)Nc1sccc1C#N)C1CC1 ZINC000536605991 303365323 /nfs/dbraw/zinc/36/53/23/303365323.db2.gz BECNHIMOQPYUCH-OAHLLOKOSA-N 1 2 321.402 1.880 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000547414420 303528833 /nfs/dbraw/zinc/52/88/33/303528833.db2.gz CKPAGIHCJVPAHT-LBPRGKRZSA-N 1 2 318.377 1.409 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000373595743 307191324 /nfs/dbraw/zinc/19/13/24/307191324.db2.gz RZHHANOUBOLKQP-KRWDZBQOSA-N 1 2 324.384 1.824 20 30 DDEDLO N#Cc1cccnc1N1CCC[N@@H+](Cc2n[nH]c(=O)s2)CC1 ZINC000375353806 307223818 /nfs/dbraw/zinc/22/38/18/307223818.db2.gz MEHYYIDBZDKXMC-UHFFFAOYSA-N 1 2 316.390 1.223 20 30 DDEDLO N#Cc1cccnc1N1CCC[N@H+](Cc2n[nH]c(=O)s2)CC1 ZINC000375353806 307223819 /nfs/dbraw/zinc/22/38/19/307223819.db2.gz MEHYYIDBZDKXMC-UHFFFAOYSA-N 1 2 316.390 1.223 20 30 DDEDLO N#Cc1cccnc1N1CCC[N@@H+](CC2N=NC(=O)S2)CC1 ZINC000375353806 307223820 /nfs/dbraw/zinc/22/38/20/307223820.db2.gz MEHYYIDBZDKXMC-UHFFFAOYSA-N 1 2 316.390 1.223 20 30 DDEDLO N#Cc1cccnc1N1CCC[N@H+](CC2N=NC(=O)S2)CC1 ZINC000375353806 307223821 /nfs/dbraw/zinc/22/38/21/307223821.db2.gz MEHYYIDBZDKXMC-UHFFFAOYSA-N 1 2 316.390 1.223 20 30 DDEDLO N#Cc1c(Cl)cccc1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC000561642348 307928427 /nfs/dbraw/zinc/92/84/27/307928427.db2.gz WHEZFMZRLHECNA-UHFFFAOYSA-N 1 2 322.777 1.654 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(C)c2ccccc2OCC)CC1 ZINC000159354478 332079206 /nfs/dbraw/zinc/07/92/06/332079206.db2.gz QMQGVIPMKLAHPT-UHFFFAOYSA-N 1 2 315.417 1.299 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCO[C@H](c2ccccc2F)C1 ZINC000092795826 332131121 /nfs/dbraw/zinc/13/11/21/332131121.db2.gz ORYYHTBXPCWWMC-INIZCTEOSA-N 1 2 319.380 1.961 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCO[C@H](c2ccccc2F)C1 ZINC000092795826 332131122 /nfs/dbraw/zinc/13/11/22/332131122.db2.gz ORYYHTBXPCWWMC-INIZCTEOSA-N 1 2 319.380 1.961 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccnc(OC2CCC2)c1 ZINC000584294957 332223331 /nfs/dbraw/zinc/22/33/31/332223331.db2.gz MCNPIOOQDMZJBX-MRXNPFEDSA-N 1 2 302.378 1.587 20 30 DDEDLO CC[C@@H]([NH2+]CC(=O)NCC#N)c1nc(C(F)(F)F)cs1 ZINC000185940639 332271015 /nfs/dbraw/zinc/27/10/15/332271015.db2.gz HZYSISCFFXEYFC-SSDOTTSWSA-N 1 2 306.313 1.842 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1ccc(F)cc1Cl ZINC000111182061 332301844 /nfs/dbraw/zinc/30/18/44/332301844.db2.gz RTLXNGOJPARZEK-UHFFFAOYSA-N 1 2 301.749 1.600 20 30 DDEDLO COc1cccc2[nH]cc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)c21 ZINC000566309978 332331586 /nfs/dbraw/zinc/33/15/86/332331586.db2.gz YWYXQNCUBIOVHM-QGZVFWFLSA-N 1 2 314.389 1.679 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc2c(n1)CCC2 ZINC000560576059 332363616 /nfs/dbraw/zinc/36/36/16/332363616.db2.gz BQTHPLSHOWBBLX-UHFFFAOYSA-N 1 2 314.433 1.618 20 30 DDEDLO Cc1ccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)cc1O ZINC000180727201 333164599 /nfs/dbraw/zinc/16/45/99/333164599.db2.gz OZMOMFUAYCUNDD-UHFFFAOYSA-N 1 2 317.389 1.389 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@@H](C)c2nnnn2-c2ccccc2)C1=O ZINC000531191311 333329875 /nfs/dbraw/zinc/32/98/75/333329875.db2.gz ORHWGHGWAMLAAX-JSGCOSHPSA-N 1 2 312.377 1.100 20 30 DDEDLO CC1CC(C#N)(C(=O)NCc2ccc[nH+]c2N2CCOCC2)C1 ZINC000532065275 334718144 /nfs/dbraw/zinc/71/81/44/334718144.db2.gz JVDIRFJENUWJMV-UHFFFAOYSA-N 1 2 314.389 1.474 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[N@H+](Cc2ccccc2)[C@H](C)C1 ZINC000396341126 336278926 /nfs/dbraw/zinc/27/89/26/336278926.db2.gz BCVCNHVOFYCPIR-ZIAGYGMSSA-N 1 2 307.419 1.435 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[N@@H+](Cc2ccccc2)[C@H](C)C1 ZINC000396341126 336278927 /nfs/dbraw/zinc/27/89/27/336278927.db2.gz BCVCNHVOFYCPIR-ZIAGYGMSSA-N 1 2 307.419 1.435 20 30 DDEDLO CCC[C@]1(C)C(=O)NCC[N@H+]1Cc1cnc2c(C#N)cnn2c1 ZINC000583322658 337315729 /nfs/dbraw/zinc/31/57/29/337315729.db2.gz RVAUQYAEDLUGMK-MRXNPFEDSA-N 1 2 312.377 1.092 20 30 DDEDLO CCC[C@]1(C)C(=O)NCC[N@@H+]1Cc1cnc2c(C#N)cnn2c1 ZINC000583322658 337315730 /nfs/dbraw/zinc/31/57/30/337315730.db2.gz RVAUQYAEDLUGMK-MRXNPFEDSA-N 1 2 312.377 1.092 20 30 DDEDLO CN1CCC[C@@H]([NH+]2CCN([C@H](C#N)c3ccccc3)CC2)C1=O ZINC000537610460 337946104 /nfs/dbraw/zinc/94/61/04/337946104.db2.gz PORDGQNTVXQDPJ-IAGOWNOFSA-N 1 2 312.417 1.490 20 30 DDEDLO C=CCCn1cc(C(=O)N2CC[C@@H](C)[C@H](n3cc[nH+]c3)C2)nn1 ZINC000516052180 338003145 /nfs/dbraw/zinc/00/31/45/338003145.db2.gz ZGDLNUWUKPIVNY-UKRRQHHQSA-N 1 2 314.393 1.774 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000516314122 338009665 /nfs/dbraw/zinc/00/96/65/338009665.db2.gz XGBTWYZWLVEXAJ-UWVGGRQHSA-N 1 2 305.300 1.509 20 30 DDEDLO C#CC[C@@H]([NH2+]C1CCN(C(=O)OC(C)(C)C)CC1)C(=O)OC ZINC000497117922 340020780 /nfs/dbraw/zinc/02/07/80/340020780.db2.gz GPUCQRWUHWLBHI-CYBMUJFWSA-N 1 2 310.394 1.540 20 30 DDEDLO CC#CCNC(=O)NCc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1 ZINC000135117114 341231163 /nfs/dbraw/zinc/23/11/63/341231163.db2.gz GOSQSPRZFMYGJB-ZIAGYGMSSA-N 1 2 316.405 1.518 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cccc(OCCCC#N)c2)C[C@@H](CO)O1 ZINC000248937047 341390245 /nfs/dbraw/zinc/39/02/45/341390245.db2.gz CEZBKFJDXQXNOL-PBHICJAKSA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cccc(OCCCC#N)c2)C[C@@H](CO)O1 ZINC000248937047 341390246 /nfs/dbraw/zinc/39/02/46/341390246.db2.gz CEZBKFJDXQXNOL-PBHICJAKSA-N 1 2 304.390 1.951 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000566733291 341566654 /nfs/dbraw/zinc/56/66/54/341566654.db2.gz YJYCSNGNEFCJQS-ILXRZTDVSA-N 1 2 318.421 1.596 20 30 DDEDLO C=C(CC)Cn1cc(Cn2cc[nH+]c2CN2CCOCC2)nn1 ZINC000656453458 484144518 /nfs/dbraw/zinc/14/45/18/484144518.db2.gz LYMRZFMTXGRRBF-UHFFFAOYSA-N 1 2 316.409 1.321 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000668191138 485016042 /nfs/dbraw/zinc/01/60/42/485016042.db2.gz YUFLNJGIPYGFNY-CABCVRRESA-N 1 2 304.394 1.518 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+]([C@H](C)c2cccc(OC)c2)CC1 ZINC000668239035 485047805 /nfs/dbraw/zinc/04/78/05/485047805.db2.gz FWMGZLLELOALEW-KDOFPFPSSA-N 1 2 318.417 1.837 20 30 DDEDLO C=CCOc1ccccc1C[N@H+](C)CCN1CCCS1(=O)=O ZINC000678298984 485532780 /nfs/dbraw/zinc/53/27/80/485532780.db2.gz XALDPKNUFOEHSS-UHFFFAOYSA-N 1 2 324.446 1.719 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+](C)CCN1CCCS1(=O)=O ZINC000678298984 485532785 /nfs/dbraw/zinc/53/27/85/485532785.db2.gz XALDPKNUFOEHSS-UHFFFAOYSA-N 1 2 324.446 1.719 20 30 DDEDLO C[N@@H+](CC#Cc1ccc(F)cc1)CCN1CCCS1(=O)=O ZINC000676627181 486272378 /nfs/dbraw/zinc/27/23/78/486272378.db2.gz OWFKPFSJRYUPKO-UHFFFAOYSA-N 1 2 310.394 1.145 20 30 DDEDLO C[N@H+](CC#Cc1ccc(F)cc1)CCN1CCCS1(=O)=O ZINC000676627181 486272384 /nfs/dbraw/zinc/27/23/84/486272384.db2.gz OWFKPFSJRYUPKO-UHFFFAOYSA-N 1 2 310.394 1.145 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@@H](OCC(F)(F)F)C2)C1=O ZINC000684889026 486452969 /nfs/dbraw/zinc/45/29/69/486452969.db2.gz DXDRUZOOLBHONS-NEPJUHHUSA-N 1 2 306.328 1.817 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@@H](OCC(F)(F)F)C2)C1=O ZINC000684889026 486452971 /nfs/dbraw/zinc/45/29/71/486452971.db2.gz DXDRUZOOLBHONS-NEPJUHHUSA-N 1 2 306.328 1.817 20 30 DDEDLO COc1cc(C[N@H+](C)CC(=O)NC2(C#N)CCC2)cc(OC)c1 ZINC000346816956 533782233 /nfs/dbraw/zinc/78/22/33/533782233.db2.gz DOQNTXUQRRBUJB-UHFFFAOYSA-N 1 2 317.389 1.698 20 30 DDEDLO COc1cc(C[N@@H+](C)CC(=O)NC2(C#N)CCC2)cc(OC)c1 ZINC000346816956 533782241 /nfs/dbraw/zinc/78/22/41/533782241.db2.gz DOQNTXUQRRBUJB-UHFFFAOYSA-N 1 2 317.389 1.698 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Cc1csc2nccn12 ZINC000330151097 533847935 /nfs/dbraw/zinc/84/79/35/533847935.db2.gz NKWDBBKOYNMNCR-NSHDSACASA-N 1 2 308.407 1.616 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(C(=O)C2(C#N)CCC2)C1 ZINC000331508685 526370214 /nfs/dbraw/zinc/37/02/14/526370214.db2.gz KRLFPZUUMWHYEQ-INIZCTEOSA-N 1 2 321.421 1.603 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2sc(C)c(C)c2C)C1 ZINC000330945393 526402935 /nfs/dbraw/zinc/40/29/35/526402935.db2.gz JWCWIEPGYMZLNP-LBPRGKRZSA-N 1 2 324.450 1.820 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2sc(C)c(C)c2C)C1 ZINC000330945393 526402938 /nfs/dbraw/zinc/40/29/38/526402938.db2.gz JWCWIEPGYMZLNP-LBPRGKRZSA-N 1 2 324.450 1.820 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccc(CC)cc2)C1=O ZINC000337204001 526499583 /nfs/dbraw/zinc/49/95/83/526499583.db2.gz HGOPQUUUGBKMEQ-INIZCTEOSA-N 1 2 315.417 1.906 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccc(CC)cc2)C1=O ZINC000337204001 526499586 /nfs/dbraw/zinc/49/95/86/526499586.db2.gz HGOPQUUUGBKMEQ-INIZCTEOSA-N 1 2 315.417 1.906 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCCC[C@@H]2C(=O)OC(C)(C)C)C1=O ZINC000337196409 526507327 /nfs/dbraw/zinc/50/73/27/526507327.db2.gz XLKPSXOEAKLSNB-UONOGXRCSA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCCC[C@@H]2C(=O)OC(C)(C)C)C1=O ZINC000337196409 526507330 /nfs/dbraw/zinc/50/73/30/526507330.db2.gz XLKPSXOEAKLSNB-UONOGXRCSA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@@H](C)c2cccc(C#N)c2)CC1 ZINC000349244143 526540100 /nfs/dbraw/zinc/54/01/00/526540100.db2.gz OAMYCCITRCVSHA-HNNXBMFYSA-N 1 2 312.417 1.539 20 30 DDEDLO CC(=O)NC[C@@H]1CCCN(C(=O)N[C@H](C)Cn2cc[nH+]c2)C1 ZINC000330480572 526768297 /nfs/dbraw/zinc/76/82/97/526768297.db2.gz SAIGHSICTMMMNZ-OCCSQVGLSA-N 1 2 307.398 1.034 20 30 DDEDLO CC(=O)NC[C@@H]1CCCN(C([O-])=[NH+][C@H](C)Cn2cc[nH+]c2)C1 ZINC000330480572 526768300 /nfs/dbraw/zinc/76/83/00/526768300.db2.gz SAIGHSICTMMMNZ-OCCSQVGLSA-N 1 2 307.398 1.034 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NCC(=O)Nc1cccc(Cl)c1C ZINC000491822127 526801487 /nfs/dbraw/zinc/80/14/87/526801487.db2.gz ZUXHMPREDYQIEW-UHFFFAOYSA-N 1 2 307.781 1.268 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NCC(=O)Nc1cccc(Cl)c1C ZINC000491822127 526801490 /nfs/dbraw/zinc/80/14/90/526801490.db2.gz ZUXHMPREDYQIEW-UHFFFAOYSA-N 1 2 307.781 1.268 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cc([N+](=O)[O-])ccc2C)CC1 ZINC000491817874 526951678 /nfs/dbraw/zinc/95/16/78/526951678.db2.gz WEPVVIOZOCIBPO-UHFFFAOYSA-N 1 2 317.345 1.059 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCCO3)n2C2CC2)CC1 ZINC000491415479 526955593 /nfs/dbraw/zinc/95/55/93/526955593.db2.gz HJWMFEQMZWUXAZ-HNNXBMFYSA-N 1 2 315.421 1.610 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(CC)cc3)n2C)CC1 ZINC000491046888 526956318 /nfs/dbraw/zinc/95/63/18/526956318.db2.gz RTOBOGBXMCJPJO-UHFFFAOYSA-N 1 2 309.417 1.800 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCC[C@@H]1c1nnc(-c2ccccc2)[nH]1 ZINC000491166584 526976780 /nfs/dbraw/zinc/97/67/80/526976780.db2.gz SKLWLIJKZVHMSI-OAHLLOKOSA-N 1 2 323.400 1.748 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCC[C@@H]1c1nnc(-c2ccccc2)[nH]1 ZINC000491166584 526976783 /nfs/dbraw/zinc/97/67/83/526976783.db2.gz SKLWLIJKZVHMSI-OAHLLOKOSA-N 1 2 323.400 1.748 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000491166584 526976785 /nfs/dbraw/zinc/97/67/85/526976785.db2.gz SKLWLIJKZVHMSI-OAHLLOKOSA-N 1 2 323.400 1.748 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000491166584 526976791 /nfs/dbraw/zinc/97/67/91/526976791.db2.gz SKLWLIJKZVHMSI-OAHLLOKOSA-N 1 2 323.400 1.748 20 30 DDEDLO C#CCNC(=O)CC[NH2+][C@H](C)c1noc(Cc2ccccc2)n1 ZINC000491202981 526987135 /nfs/dbraw/zinc/98/71/35/526987135.db2.gz RNMRMYLTRWJSEP-CYBMUJFWSA-N 1 2 312.373 1.451 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CCc2c([nH+]cn2-c2ccc(C)cc2)C1 ZINC000491661877 527021812 /nfs/dbraw/zinc/02/18/12/527021812.db2.gz BFIZWMYOEITJEH-OAHLLOKOSA-N 1 2 322.412 1.677 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(C(=O)C2CCCCC2)CC1 ZINC000353621943 527073591 /nfs/dbraw/zinc/07/35/91/527073591.db2.gz XWFGQIFYKIFXDU-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CC(=O)N(C)[C@@H](C)C1 ZINC000337477462 527262660 /nfs/dbraw/zinc/26/26/60/527262660.db2.gz ZEOOQNYJOMKXCF-GOEBONIOSA-N 1 2 318.417 1.317 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CC(=O)N(C)[C@@H](C)C1 ZINC000337477462 527262662 /nfs/dbraw/zinc/26/26/62/527262662.db2.gz ZEOOQNYJOMKXCF-GOEBONIOSA-N 1 2 318.417 1.317 20 30 DDEDLO C=CCn1c([C@H](C)CC)nnc1N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000337920749 527356621 /nfs/dbraw/zinc/35/66/21/527356621.db2.gz YUHFWQAXSAPIMD-CABCVRRESA-N 1 2 319.453 1.889 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cnc(N3CCOCC3)s2)nn1 ZINC000424172014 527372615 /nfs/dbraw/zinc/37/26/15/527372615.db2.gz NBBNQCJORPKPKH-UHFFFAOYSA-N 1 2 320.422 1.047 20 30 DDEDLO C#C[C@H](NC(=O)NCC[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000491441227 527392212 /nfs/dbraw/zinc/39/22/12/527392212.db2.gz USCBLAMOHNTNIB-HNNXBMFYSA-N 1 2 305.353 1.131 20 30 DDEDLO CC[N@H+](CC(=O)N1CCOC[C@H]1C#N)Cc1ccccc1Cl ZINC000339285013 527897777 /nfs/dbraw/zinc/89/77/77/527897777.db2.gz SAMNKKURAWEXMQ-CQSZACIVSA-N 1 2 321.808 1.913 20 30 DDEDLO CC[N@@H+](CC(=O)N1CCOC[C@H]1C#N)Cc1ccccc1Cl ZINC000339285013 527897781 /nfs/dbraw/zinc/89/77/81/527897781.db2.gz SAMNKKURAWEXMQ-CQSZACIVSA-N 1 2 321.808 1.913 20 30 DDEDLO CCOC(=O)[C@H]1C[N@H+](Cc2ccc(F)cc2C#N)CC12COC2 ZINC000451135984 528080787 /nfs/dbraw/zinc/08/07/87/528080787.db2.gz NEOFTQQBMKWCIS-OAHLLOKOSA-N 1 2 318.348 1.709 20 30 DDEDLO CCOC(=O)[C@H]1C[N@@H+](Cc2ccc(F)cc2C#N)CC12COC2 ZINC000451135984 528080793 /nfs/dbraw/zinc/08/07/93/528080793.db2.gz NEOFTQQBMKWCIS-OAHLLOKOSA-N 1 2 318.348 1.709 20 30 DDEDLO CCOC(=O)c1nn(C)cc1C[NH+]1CCC(C#N)(COC)CC1 ZINC000342837177 528375745 /nfs/dbraw/zinc/37/57/45/528375745.db2.gz LIPYAUXAHIVZNS-UHFFFAOYSA-N 1 2 320.393 1.349 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCOC[C@H]1CC1CCC1 ZINC000339770492 528850461 /nfs/dbraw/zinc/85/04/61/528850461.db2.gz FRTUNUUNISHDGQ-NVXWUHKLSA-N 1 2 307.438 1.932 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCOC[C@H]1CC1CCC1 ZINC000339770492 528850471 /nfs/dbraw/zinc/85/04/71/528850471.db2.gz FRTUNUUNISHDGQ-NVXWUHKLSA-N 1 2 307.438 1.932 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1sccc1-c1nn[nH]n1)C1CC1 ZINC000823903824 608267285 /nfs/dbraw/zinc/26/72/85/608267285.db2.gz LNBBETFXVKYVAT-UHFFFAOYSA-N 1 2 304.379 1.517 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1sccc1-c1nn[nH]n1)C1CC1 ZINC000823903824 608267286 /nfs/dbraw/zinc/26/72/86/608267286.db2.gz LNBBETFXVKYVAT-UHFFFAOYSA-N 1 2 304.379 1.517 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)nc3)C2)C1 ZINC000972261976 695194784 /nfs/dbraw/zinc/19/47/84/695194784.db2.gz QFOHWRQVPFKAKV-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)nc3)C2)C1 ZINC000972261976 695194786 /nfs/dbraw/zinc/19/47/86/695194786.db2.gz QFOHWRQVPFKAKV-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CCCCCC3)C2)C1 ZINC000972290862 695206856 /nfs/dbraw/zinc/20/68/56/695206856.db2.gz IJEAOXDGLZNZBJ-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CCCCCC3)C2)C1 ZINC000972290862 695206858 /nfs/dbraw/zinc/20/68/58/695206858.db2.gz IJEAOXDGLZNZBJ-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)cn3)C2)C1 ZINC000972351839 695224512 /nfs/dbraw/zinc/22/45/12/695224512.db2.gz JWBKBBIILMNZRT-MRXNPFEDSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)cn3)C2)C1 ZINC000972351839 695224513 /nfs/dbraw/zinc/22/45/13/695224513.db2.gz JWBKBBIILMNZRT-MRXNPFEDSA-N 1 2 305.353 1.324 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CC(OCC)C3)C2)C1 ZINC000972368788 695231694 /nfs/dbraw/zinc/23/16/94/695231694.db2.gz BUIWSBOHMNWVQK-LEOMRAHMSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CC(OCC)C3)C2)C1 ZINC000972368788 695231696 /nfs/dbraw/zinc/23/16/96/695231696.db2.gz BUIWSBOHMNWVQK-LEOMRAHMSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCCC4(CC4)C3)C2)C1 ZINC000972386956 695237334 /nfs/dbraw/zinc/23/73/34/695237334.db2.gz IWEZFLFFMNQIEE-LPHOPBHVSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCCC4(CC4)C3)C2)C1 ZINC000972386956 695237338 /nfs/dbraw/zinc/23/73/38/695237338.db2.gz IWEZFLFFMNQIEE-LPHOPBHVSA-N 1 2 316.445 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3CC(F)(F)C3)C2)C1 ZINC000972441260 695252043 /nfs/dbraw/zinc/25/20/43/695252043.db2.gz QCVYRFZJIOBVFR-OAHLLOKOSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3CC(F)(F)C3)C2)C1 ZINC000972441260 695252044 /nfs/dbraw/zinc/25/20/44/695252044.db2.gz QCVYRFZJIOBVFR-OAHLLOKOSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cn(C(C)C)cn3)C2)C1 ZINC000972484382 695261609 /nfs/dbraw/zinc/26/16/09/695261609.db2.gz NXXFMGACXFNTNU-QGZVFWFLSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cn(C(C)C)cn3)C2)C1 ZINC000972484382 695261610 /nfs/dbraw/zinc/26/16/10/695261610.db2.gz NXXFMGACXFNTNU-QGZVFWFLSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3c(C)n[nH]c3C)C2)C1 ZINC000972668863 695313906 /nfs/dbraw/zinc/31/39/06/695313906.db2.gz DTVFFFRLVPZRIK-QGZVFWFLSA-N 1 2 318.421 1.058 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3c(C)n[nH]c3C)C2)C1 ZINC000972668863 695313908 /nfs/dbraw/zinc/31/39/08/695313908.db2.gz DTVFFFRLVPZRIK-QGZVFWFLSA-N 1 2 318.421 1.058 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3CCCOC3)C2)C1 ZINC000972677608 695315814 /nfs/dbraw/zinc/31/58/14/695315814.db2.gz RULIYWBAMYSJEM-WMZOPIPTSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3CCCOC3)C2)C1 ZINC000972677608 695315816 /nfs/dbraw/zinc/31/58/16/695315816.db2.gz RULIYWBAMYSJEM-WMZOPIPTSA-N 1 2 320.433 1.130 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)[nH]1 ZINC000981671703 696868473 /nfs/dbraw/zinc/86/84/73/696868473.db2.gz FNLDAXFOJSOOPH-RYUDHWBXSA-N 1 2 316.409 1.258 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)[nH]1 ZINC000981671703 696868477 /nfs/dbraw/zinc/86/84/77/696868477.db2.gz FNLDAXFOJSOOPH-RYUDHWBXSA-N 1 2 316.409 1.258 20 30 DDEDLO N#CCN1CCCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000981704741 696882503 /nfs/dbraw/zinc/88/25/03/696882503.db2.gz OAFVJNCAFUROKO-UHFFFAOYSA-N 1 2 309.373 1.544 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](Cc2ccc(C#N)s2)CC1 ZINC000980847385 696916107 /nfs/dbraw/zinc/91/61/07/696916107.db2.gz AJEZGKVBGCEUMA-LBPRGKRZSA-N 1 2 302.403 1.814 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)s2)CC1 ZINC000980847385 696916109 /nfs/dbraw/zinc/91/61/09/696916109.db2.gz AJEZGKVBGCEUMA-LBPRGKRZSA-N 1 2 302.403 1.814 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N2CCC(C#N)CC2)c(N(C)C)[nH+]1 ZINC000157311607 696975948 /nfs/dbraw/zinc/97/59/48/696975948.db2.gz XXLNVKUJCJOFKW-UHFFFAOYSA-N 1 2 315.377 1.157 20 30 DDEDLO C=CCc1cc(C[N@H+](C)CCS(C)(=O)=O)c(O)c(OC)c1 ZINC000164279700 697336112 /nfs/dbraw/zinc/33/61/12/697336112.db2.gz JFMGKHGIXYWRTI-UHFFFAOYSA-N 1 2 313.419 1.606 20 30 DDEDLO C=CCc1cc(C[N@@H+](C)CCS(C)(=O)=O)c(O)c(OC)c1 ZINC000164279700 697336113 /nfs/dbraw/zinc/33/61/13/697336113.db2.gz JFMGKHGIXYWRTI-UHFFFAOYSA-N 1 2 313.419 1.606 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)C(=O)N(CCc2cccs2)C1=O ZINC000182610760 697477178 /nfs/dbraw/zinc/47/71/78/697477178.db2.gz YPYVWPASSKKHAG-UHFFFAOYSA-N 1 2 321.402 1.547 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)C(=O)N(CCc2cccs2)C1=O ZINC000182610760 697477179 /nfs/dbraw/zinc/47/71/79/697477179.db2.gz YPYVWPASSKKHAG-UHFFFAOYSA-N 1 2 321.402 1.547 20 30 DDEDLO C[C@@H]1[C@H]([NH2+]Cc2csnn2)CCN1C(=O)c1ccc(C#N)[nH]1 ZINC000986180484 697722267 /nfs/dbraw/zinc/72/22/67/697722267.db2.gz CLMKYFMPLMFQTM-BXKDBHETSA-N 1 2 316.390 1.131 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC(c2[nH]cc[nH+]2)CC1)[C@@H]1CCCO1 ZINC000773563680 697781918 /nfs/dbraw/zinc/78/19/18/697781918.db2.gz YANVNSAQYDEKDH-KBPBESRZSA-N 1 2 302.378 1.480 20 30 DDEDLO Cn1cc([C@@H]([NH2+]CC(=O)NCCC#N)c2ccc(F)cc2)cn1 ZINC000774353340 697872129 /nfs/dbraw/zinc/87/21/29/697872129.db2.gz XZNUAXHSJBJBSK-INIZCTEOSA-N 1 2 315.352 1.268 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)N(C)CCCn1cc[nH+]c1 ZINC000774744885 697921286 /nfs/dbraw/zinc/92/12/86/697921286.db2.gz MZKABTHAQKQQDD-MRXNPFEDSA-N 1 2 312.373 1.991 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)Nc1nnc(CCCC)s1)[C@@H]1CCCO1 ZINC000775793353 698035128 /nfs/dbraw/zinc/03/51/28/698035128.db2.gz CHMBDIXYWQKVAG-NEPJUHHUSA-N 1 2 322.434 1.590 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)Nc1nnc(CCCC)s1)[C@@H]1CCCO1 ZINC000775793356 698035370 /nfs/dbraw/zinc/03/53/70/698035370.db2.gz CHMBDIXYWQKVAG-RYUDHWBXSA-N 1 2 322.434 1.590 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1)[C@H]1CCCO1 ZINC000776225126 698083464 /nfs/dbraw/zinc/08/34/64/698083464.db2.gz CCGPECHWIJXFAB-CAOSSQGBSA-N 1 2 316.405 1.656 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)nc1 ZINC000780611626 698537953 /nfs/dbraw/zinc/53/79/53/698537953.db2.gz RLOWIWYRIUONDQ-CQSZACIVSA-N 1 2 324.388 1.564 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)nc1 ZINC000780611626 698537955 /nfs/dbraw/zinc/53/79/55/698537955.db2.gz RLOWIWYRIUONDQ-CQSZACIVSA-N 1 2 324.388 1.564 20 30 DDEDLO N#CCC1CC[NH+](CN2C(=O)C[C@@]3(CCSC3)C2=O)CC1 ZINC000781572063 698631513 /nfs/dbraw/zinc/63/15/13/698631513.db2.gz LFBSROUZXONXBE-OAHLLOKOSA-N 1 2 307.419 1.452 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000342474393 698803180 /nfs/dbraw/zinc/80/31/80/698803180.db2.gz FCEPUJXMMJGLLH-CYBMUJFWSA-N 1 2 304.394 1.209 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[N@H+](Cc2ccccc2)[C@H](C)C1 ZINC000710146649 699253424 /nfs/dbraw/zinc/25/34/24/699253424.db2.gz ZQDZUXPAPLWPFT-MRXNPFEDSA-N 1 2 320.458 1.936 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[N@@H+](Cc2ccccc2)[C@H](C)C1 ZINC000710146649 699253427 /nfs/dbraw/zinc/25/34/27/699253427.db2.gz ZQDZUXPAPLWPFT-MRXNPFEDSA-N 1 2 320.458 1.936 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C(C)C)[NH+]2CCOCC2)nc1 ZINC000728805627 699456444 /nfs/dbraw/zinc/45/64/44/699456444.db2.gz DCQQJABGAVZMDN-INIZCTEOSA-N 1 2 301.390 1.150 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(N2CCOCC2)c1 ZINC000730098254 699500969 /nfs/dbraw/zinc/50/09/69/699500969.db2.gz YCPVZSANTKZWDR-KRWDZBQOSA-N 1 2 313.401 1.559 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(N2CCOCC2)c1 ZINC000730098254 699500970 /nfs/dbraw/zinc/50/09/70/699500970.db2.gz YCPVZSANTKZWDR-KRWDZBQOSA-N 1 2 313.401 1.559 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)N(C)CC[NH+]1CCOCC1 ZINC000739916273 699782802 /nfs/dbraw/zinc/78/28/02/699782802.db2.gz WLJDBDJTDYUXPZ-INIZCTEOSA-N 1 2 317.389 1.036 20 30 DDEDLO COC(=O)c1cnoc1CO[NH+]=C(N)c1ccc(OC)cc1 ZINC000795205933 699851541 /nfs/dbraw/zinc/85/15/41/699851541.db2.gz LDBQLZOVAIBYLI-UHFFFAOYSA-N 1 2 305.290 1.307 20 30 DDEDLO COc1ccc(C(=O)N2CC[NH+](CCO[C@@H](C)C#N)CC2)cc1 ZINC000801909669 700351532 /nfs/dbraw/zinc/35/15/32/700351532.db2.gz FBYMJZZOAQXTCR-AWEZNQCLSA-N 1 2 317.389 1.382 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1ccc([S@](C)=O)cc1 ZINC000756540603 700626397 /nfs/dbraw/zinc/62/63/97/700626397.db2.gz BNTBMZYFSWSPKU-SZNDQCEHSA-N 1 2 305.399 1.678 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1ccc([S@](C)=O)cc1 ZINC000756540603 700626398 /nfs/dbraw/zinc/62/63/98/700626398.db2.gz BNTBMZYFSWSPKU-SZNDQCEHSA-N 1 2 305.399 1.678 20 30 DDEDLO CCOC(=O)CCC1CC[NH+](Cn2cccc(C#N)c2=O)CC1 ZINC000758241289 700693393 /nfs/dbraw/zinc/69/33/93/700693393.db2.gz SWQHLXOISRXHLY-UHFFFAOYSA-N 1 2 317.389 1.733 20 30 DDEDLO N#Cc1cnn(-c2ccccc2)c1NC(=O)C[N@@H+]1CC[C@H]2C[C@H]21 ZINC000761098854 700843352 /nfs/dbraw/zinc/84/33/52/700843352.db2.gz VCGQGAQWJRLZAU-SWLSCSKDSA-N 1 2 307.357 1.777 20 30 DDEDLO N#Cc1cnn(-c2ccccc2)c1NC(=O)C[N@H+]1CC[C@H]2C[C@H]21 ZINC000761098854 700843354 /nfs/dbraw/zinc/84/33/54/700843354.db2.gz VCGQGAQWJRLZAU-SWLSCSKDSA-N 1 2 307.357 1.777 20 30 DDEDLO COc1cc(C#N)ccc1CN1CC[NH+](CC[S@](C)=O)CC1 ZINC000766920928 701071389 /nfs/dbraw/zinc/07/13/89/701071389.db2.gz RTXKNNAFSICJLH-QFIPXVFZSA-N 1 2 321.446 1.063 20 30 DDEDLO COCCC1N=NC(=S)N1N=Cc1cccc2[nH+]ccn21 ZINC000771101129 701310151 /nfs/dbraw/zinc/31/01/51/701310151.db2.gz QLZPWJQATXDCRG-UHFFFAOYSA-N 1 2 302.363 1.286 20 30 DDEDLO CN(C)C(=O)CO[NH+]=C(N)c1ccc(Br)cc1 ZINC000809998412 701711215 /nfs/dbraw/zinc/71/12/15/701711215.db2.gz QPXQSWNLSKLPRX-UHFFFAOYSA-N 1 2 300.156 1.174 20 30 DDEDLO C#CCOc1ccc(F)cc1NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000866077632 706612135 /nfs/dbraw/zinc/61/21/35/706612135.db2.gz DTPGFDJWBXXVTH-ARJAWSKDSA-N 1 2 318.348 1.665 20 30 DDEDLO CON=Cc1ccc(C(=O)NC(C)(C)C[NH+]2CCOCC2)cc1 ZINC000840522200 702139400 /nfs/dbraw/zinc/13/94/00/702139400.db2.gz DDTFRIFNFMFCMU-UHFFFAOYSA-N 1 2 319.405 1.508 20 30 DDEDLO C#C[C@@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000868490493 702158895 /nfs/dbraw/zinc/15/88/95/702158895.db2.gz XMJAZSLJKYOYFB-QDLOVBKTSA-N 1 2 302.349 1.505 20 30 DDEDLO O=C(N[C@H]1CCCN(O)C1=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000816753746 702179638 /nfs/dbraw/zinc/17/96/38/702179638.db2.gz OKEFMMOEYDZSST-AWEZNQCLSA-N 1 2 314.345 1.041 20 30 DDEDLO COC(=O)c1cnc(SC[C@H](O)C[N@H+](C)CCC#N)s1 ZINC000840769406 702233349 /nfs/dbraw/zinc/23/33/49/702233349.db2.gz ZEWQTGUEBXKJQG-SECBINFHSA-N 1 2 315.420 1.228 20 30 DDEDLO COC(=O)c1cnc(SC[C@H](O)C[N@@H+](C)CCC#N)s1 ZINC000840769406 702233354 /nfs/dbraw/zinc/23/33/54/702233354.db2.gz ZEWQTGUEBXKJQG-SECBINFHSA-N 1 2 315.420 1.228 20 30 DDEDLO C#CC1CC[NH+](Cn2nc(N3CCOCC3)n(C)c2=S)CC1 ZINC000842634220 702746542 /nfs/dbraw/zinc/74/65/42/702746542.db2.gz RAVDSLCLVFKFFY-UHFFFAOYSA-N 1 2 321.450 1.090 20 30 DDEDLO Cc1cc(C(=O)OCC2CN(c3cccc[nH+]3)C2)ncc1C#N ZINC000842884685 702787223 /nfs/dbraw/zinc/78/72/23/702787223.db2.gz SQNWVHBLENSJTK-UHFFFAOYSA-N 1 2 308.341 1.950 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1CC(=O)N(C[NH+]2CCC(C)(C#N)CC2)C1 ZINC000844701025 703058613 /nfs/dbraw/zinc/05/86/13/703058613.db2.gz QINPNJBWDLYIDX-ZDUSSCGKSA-N 1 2 321.421 1.760 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](C[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000879586417 706728858 /nfs/dbraw/zinc/72/88/58/706728858.db2.gz LPTOTBWTHSLRRO-HNNXBMFYSA-N 1 2 319.430 1.115 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+](C[C@H](O)C(F)(F)F)CC1 ZINC000879589128 706729704 /nfs/dbraw/zinc/72/97/04/706729704.db2.gz ANAYDYHZOQLTGZ-ZDUSSCGKSA-N 1 2 317.286 1.743 20 30 DDEDLO C[C@@H](CC(=O)N(C)C[C@@H](O)c1cccc(C#N)c1)n1cc[nH+]c1 ZINC000846327837 703264973 /nfs/dbraw/zinc/26/49/73/703264973.db2.gz DVBKHKKXISRWBA-XJKSGUPXSA-N 1 2 312.373 1.898 20 30 DDEDLO C=C[C@@H](C)ONC(=O)CC[NH+]1CCN(c2ccccc2)CC1 ZINC000846546131 703294930 /nfs/dbraw/zinc/29/49/30/703294930.db2.gz LPZIXXATXWMLJO-OAHLLOKOSA-N 1 2 303.406 1.821 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](CCOc2ccccc2F)CC1 ZINC000831687356 706753645 /nfs/dbraw/zinc/75/36/45/706753645.db2.gz NQBAVJGNRZFLRE-UHFFFAOYSA-N 1 2 306.337 1.983 20 30 DDEDLO COc1cccc([C@@H]2CN(C(=O)c3c[nH]c(C#N)c3)CC[NH2+]2)c1 ZINC000870151425 703933723 /nfs/dbraw/zinc/93/37/23/703933723.db2.gz CAXCAIODFQODPP-INIZCTEOSA-N 1 2 310.357 1.682 20 30 DDEDLO O=C(C[C@H](c1[nH]cc[nH+]1)c1ccccc1)N[C@H]1CCN(O)C1=O ZINC000820144893 704229611 /nfs/dbraw/zinc/22/96/11/704229611.db2.gz ZVZSTRNPICUPTQ-STQMWFEESA-N 1 2 314.345 1.038 20 30 DDEDLO Cc1[nH+]cc(CN=Nc2ccccc2S(=O)(=O)N(C)C)n1C ZINC000853349963 704236153 /nfs/dbraw/zinc/23/61/53/704236153.db2.gz MRNNKYZTNILHMO-UHFFFAOYSA-N 1 2 321.406 1.425 20 30 DDEDLO C#CCOCCON=C(N)c1cccc(C[NH+]2CCOCC2)c1 ZINC000853658542 704294610 /nfs/dbraw/zinc/29/46/10/704294610.db2.gz QVDSRYNAHNETER-UHFFFAOYSA-N 1 2 317.389 1.015 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NC[C@@H](O)c1cnn(C)c1 ZINC000820664901 704317047 /nfs/dbraw/zinc/31/70/47/704317047.db2.gz ROCVWVYEGOQMEV-CQSZACIVSA-N 1 2 300.362 1.753 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)c1 ZINC000854121497 704358238 /nfs/dbraw/zinc/35/82/38/704358238.db2.gz GFNBTHHGPFHUIF-CABCVRRESA-N 1 2 315.417 1.576 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)c1 ZINC000854121497 704358240 /nfs/dbraw/zinc/35/82/40/704358240.db2.gz GFNBTHHGPFHUIF-CABCVRRESA-N 1 2 315.417 1.576 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)o1 ZINC000856534463 704532278 /nfs/dbraw/zinc/53/22/78/704532278.db2.gz PQXKKBCBYSFACR-UONOGXRCSA-N 1 2 310.357 1.502 20 30 DDEDLO N#Cc1ccc(C[N@H+]2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)o1 ZINC000856534463 704532279 /nfs/dbraw/zinc/53/22/79/704532279.db2.gz PQXKKBCBYSFACR-UONOGXRCSA-N 1 2 310.357 1.502 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000859024740 704786525 /nfs/dbraw/zinc/78/65/25/704786525.db2.gz IVULYEDPJRLBJB-AWEZNQCLSA-N 1 2 304.394 1.727 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000859028993 704787357 /nfs/dbraw/zinc/78/73/57/704787357.db2.gz WFRSQEDGGBEETQ-CQSZACIVSA-N 1 2 321.446 1.614 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@@H+]1CCC[C@]12CCOC2=O ZINC000859261927 704839789 /nfs/dbraw/zinc/83/97/89/704839789.db2.gz SHEKLCDGVXFECL-WMLDXEAASA-N 1 2 316.357 1.079 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@H+]1CCC[C@]12CCOC2=O ZINC000859261927 704839793 /nfs/dbraw/zinc/83/97/93/704839793.db2.gz SHEKLCDGVXFECL-WMLDXEAASA-N 1 2 316.357 1.079 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000823541847 705264064 /nfs/dbraw/zinc/26/40/64/705264064.db2.gz SLDOUOOSMQOWQH-RDJZCZTQSA-N 1 2 314.385 1.130 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000823541847 705264071 /nfs/dbraw/zinc/26/40/71/705264071.db2.gz SLDOUOOSMQOWQH-RDJZCZTQSA-N 1 2 314.385 1.130 20 30 DDEDLO C#C[C@H](CO)NC(=O)[C@H]1CCC[N@@H+]1Cc1ccc(Cl)cc1 ZINC000861884271 705594424 /nfs/dbraw/zinc/59/44/24/705594424.db2.gz KTMIHUHOGGTLGW-HUUCEWRRSA-N 1 2 306.793 1.415 20 30 DDEDLO C#C[C@H](CO)NC(=O)[C@H]1CCC[N@H+]1Cc1ccc(Cl)cc1 ZINC000861884271 705594426 /nfs/dbraw/zinc/59/44/26/705594426.db2.gz KTMIHUHOGGTLGW-HUUCEWRRSA-N 1 2 306.793 1.415 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000825080072 705595833 /nfs/dbraw/zinc/59/58/33/705595833.db2.gz GIOYZVPDLINUBP-NEPJUHHUSA-N 1 2 317.311 1.921 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)CC1 ZINC000876441285 705721973 /nfs/dbraw/zinc/72/19/73/705721973.db2.gz UYCDSESHIOEVNN-FZKCQIBNSA-N 1 2 307.438 1.587 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)CC1 ZINC000876441285 705721975 /nfs/dbraw/zinc/72/19/75/705721975.db2.gz UYCDSESHIOEVNN-FZKCQIBNSA-N 1 2 307.438 1.587 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)C1 ZINC000826046206 705763136 /nfs/dbraw/zinc/76/31/36/705763136.db2.gz LISJSDITKCMICZ-HRCADAONSA-N 1 2 307.438 1.541 20 30 DDEDLO COc1cc(C(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)ccc1C#N ZINC000826571261 705817419 /nfs/dbraw/zinc/81/74/19/705817419.db2.gz FVAXLMAJKCRGFM-STQMWFEESA-N 1 2 317.389 1.406 20 30 DDEDLO CC(C)(O)CNN=Cc1ccc(C=[NH+]NCC(C)(C)O)cc1 ZINC000863140243 705869223 /nfs/dbraw/zinc/86/92/23/705869223.db2.gz GNMXIMQAWYVYBR-UHFFFAOYSA-N 1 2 306.410 1.075 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)o2)C1 ZINC000827077410 705922924 /nfs/dbraw/zinc/92/29/24/705922924.db2.gz VJVVHIFYPIXWJP-KBPBESRZSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)o2)C1 ZINC000827077410 705922928 /nfs/dbraw/zinc/92/29/28/705922928.db2.gz VJVVHIFYPIXWJP-KBPBESRZSA-N 1 2 305.378 1.054 20 30 DDEDLO COC[C@@H](C)NC(=S)NN=C1CCC[N@H+]2CCSC[C@H]12 ZINC000872331748 707384593 /nfs/dbraw/zinc/38/45/93/707384593.db2.gz TXOJVBQUBBOOFO-ZYHUDNBSSA-N 1 2 316.496 1.053 20 30 DDEDLO C[N@@H+](Cc1ccncc1C#N)C[C@H](O)CNC(=O)OC(C)(C)C ZINC000828587947 706203859 /nfs/dbraw/zinc/20/38/59/706203859.db2.gz QDVWQXTVPGHDRE-CQSZACIVSA-N 1 2 320.393 1.271 20 30 DDEDLO C[N@H+](Cc1ccncc1C#N)C[C@H](O)CNC(=O)OC(C)(C)C ZINC000828587947 706203863 /nfs/dbraw/zinc/20/38/63/706203863.db2.gz QDVWQXTVPGHDRE-CQSZACIVSA-N 1 2 320.393 1.271 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1sccc1CC#N)[NH+]1CCOCC1 ZINC000864670714 706230074 /nfs/dbraw/zinc/23/00/74/706230074.db2.gz YZRAJHOGVGTSDO-AWEZNQCLSA-N 1 2 321.446 1.901 20 30 DDEDLO C=CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000877896414 706235050 /nfs/dbraw/zinc/23/50/50/706235050.db2.gz ZTLKIOMSXNOJNZ-LLVKDONJSA-N 1 2 313.423 1.562 20 30 DDEDLO CCNC(=O)C[N@H+](CC)CC[C@@](C#N)(C(C)=O)c1ccccc1 ZINC000877920481 706241314 /nfs/dbraw/zinc/24/13/14/706241314.db2.gz OHAOLCLMUXPJRT-GOSISDBHSA-N 1 2 315.417 1.885 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)CC[C@@](C#N)(C(C)=O)c1ccccc1 ZINC000877920481 706241317 /nfs/dbraw/zinc/24/13/17/706241317.db2.gz OHAOLCLMUXPJRT-GOSISDBHSA-N 1 2 315.417 1.885 20 30 DDEDLO C=CC[N@@H+](CCc1ccccc1)Cc1nnc2c(=O)n(C)ccn12 ZINC000878000670 706261242 /nfs/dbraw/zinc/26/12/42/706261242.db2.gz FOWXSRIWIKZPCY-UHFFFAOYSA-N 1 2 323.400 1.659 20 30 DDEDLO C=CC[N@H+](CCc1ccccc1)Cc1nnc2c(=O)n(C)ccn12 ZINC000878000670 706261244 /nfs/dbraw/zinc/26/12/44/706261244.db2.gz FOWXSRIWIKZPCY-UHFFFAOYSA-N 1 2 323.400 1.659 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)[C@H]1CCn3cc[nH+]c3C1)C2 ZINC000829486460 706343437 /nfs/dbraw/zinc/34/34/37/706343437.db2.gz WJZAQLFFGGNTKF-HOCLYGCPSA-N 1 2 306.369 1.601 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@H+]2CCOC[C@H]2C)c1 ZINC000836350281 707445258 /nfs/dbraw/zinc/44/52/58/707445258.db2.gz OMYFOEZJMMDUQH-CQSZACIVSA-N 1 2 301.390 1.188 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@@H+]2CCOC[C@H]2C)c1 ZINC000836350281 707445263 /nfs/dbraw/zinc/44/52/63/707445263.db2.gz OMYFOEZJMMDUQH-CQSZACIVSA-N 1 2 301.390 1.188 20 30 DDEDLO CC[N@H+](CC[C@](C#N)(C(C)=O)c1ccccc1)[C@@H]1CCNC1=O ZINC000878556719 706426770 /nfs/dbraw/zinc/42/67/70/706426770.db2.gz UPTVYDWARXOHLL-AEFFLSMTSA-N 1 2 313.401 1.637 20 30 DDEDLO CC[N@@H+](CC[C@](C#N)(C(C)=O)c1ccccc1)[C@@H]1CCNC1=O ZINC000878556719 706426773 /nfs/dbraw/zinc/42/67/73/706426773.db2.gz UPTVYDWARXOHLL-AEFFLSMTSA-N 1 2 313.401 1.637 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2cn(C[C@H]3CCCO3)nn2)cc1F ZINC000865594614 706472243 /nfs/dbraw/zinc/47/22/43/706472243.db2.gz XRWQSLJATQKTHX-OAHLLOKOSA-N 1 2 315.352 1.758 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)Cc2c(C)cc(N(C)C)cc2C)CC1 ZINC000865794960 706528581 /nfs/dbraw/zinc/52/85/81/706528581.db2.gz BKGQHOSYRZXBBF-UHFFFAOYSA-N 1 2 313.445 1.689 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCC[C@](O)(Cc2nc(CC)no2)C1 ZINC000879017999 706563979 /nfs/dbraw/zinc/56/39/79/706563979.db2.gz OHVZPQSHRYFZSQ-WBMJQRKESA-N 1 2 323.393 1.119 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCC[C@](O)(Cc2nc(CC)no2)C1 ZINC000879017999 706563982 /nfs/dbraw/zinc/56/39/82/706563982.db2.gz OHVZPQSHRYFZSQ-WBMJQRKESA-N 1 2 323.393 1.119 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCO[C@H](C(F)(F)F)CC1 ZINC000880046721 706862912 /nfs/dbraw/zinc/86/29/12/706862912.db2.gz BCRONHFRDWFITG-NSHDSACASA-N 1 2 307.316 1.402 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCO[C@H](C(F)(F)F)CC1 ZINC000880046721 706862915 /nfs/dbraw/zinc/86/29/15/706862915.db2.gz BCRONHFRDWFITG-NSHDSACASA-N 1 2 307.316 1.402 20 30 DDEDLO COc1ccccc1[C@H]1CSCCC[N@@H+]1CC(=O)NCC#N ZINC000880174904 706901702 /nfs/dbraw/zinc/90/17/02/706901702.db2.gz TWNNWAMIFUWFCS-CQSZACIVSA-N 1 2 319.430 1.815 20 30 DDEDLO COc1ccccc1[C@H]1CSCCC[N@H+]1CC(=O)NCC#N ZINC000880174904 706901703 /nfs/dbraw/zinc/90/17/03/706901703.db2.gz TWNNWAMIFUWFCS-CQSZACIVSA-N 1 2 319.430 1.815 20 30 DDEDLO NC(=[NH+]OCc1cn(C[C@H]2CCOC2)nn1)c1ccc(F)cc1 ZINC000871721763 707176733 /nfs/dbraw/zinc/17/67/33/707176733.db2.gz BIFIFSZJAHMYBP-LLVKDONJSA-N 1 2 319.340 1.291 20 30 DDEDLO CC(C)(C)OC1C[NH+](C[C@H](O)COc2ccc(CC#N)cc2)C1 ZINC000871991788 707271802 /nfs/dbraw/zinc/27/18/02/707271802.db2.gz JXLOXKXACGUWQC-HNNXBMFYSA-N 1 2 318.417 1.992 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C(=O)OCC ZINC000881755743 707353446 /nfs/dbraw/zinc/35/34/46/707353446.db2.gz PDRQUUJPTBNMJQ-TZMCWYRMSA-N 1 2 305.378 1.460 20 30 DDEDLO COC[C@@H](C)NC(=S)NN=C1CCC[N@@H+]2CCSC[C@H]12 ZINC000872331748 707384588 /nfs/dbraw/zinc/38/45/88/707384588.db2.gz TXOJVBQUBBOOFO-ZYHUDNBSSA-N 1 2 316.496 1.053 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@@H+](C)[C@@H](C)[C@H]2C)c(C#N)c1 ZINC000872468478 707429736 /nfs/dbraw/zinc/42/97/36/707429736.db2.gz VMDSYNVPAVKQOM-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@H+](C)[C@@H](C)[C@H]2C)c(C#N)c1 ZINC000872468478 707429739 /nfs/dbraw/zinc/42/97/39/707429739.db2.gz VMDSYNVPAVKQOM-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO C=CCC[C@H](NC(=O)c1cccc2[nH+]ccn21)C(=O)OCC ZINC000837027549 707567735 /nfs/dbraw/zinc/56/77/35/707567735.db2.gz YVNBRFJBCKDGBR-LBPRGKRZSA-N 1 2 301.346 1.962 20 30 DDEDLO Cn1cc(C[N@@H+]2CCCN(C(=O)C#CC(C)(C)C)CC2)cn1 ZINC000837398065 707636533 /nfs/dbraw/zinc/63/65/33/707636533.db2.gz GWDANHZXBTWJOA-UHFFFAOYSA-N 1 2 302.422 1.504 20 30 DDEDLO Cn1cc(C[N@H+]2CCCN(C(=O)C#CC(C)(C)C)CC2)cn1 ZINC000837398065 707636537 /nfs/dbraw/zinc/63/65/37/707636537.db2.gz GWDANHZXBTWJOA-UHFFFAOYSA-N 1 2 302.422 1.504 20 30 DDEDLO CCn1c[nH+]c2c1CCN(c1nc3c(cc1C#N)COCC3)C2 ZINC000896654879 708109107 /nfs/dbraw/zinc/10/91/07/708109107.db2.gz PSDDVSAGBJLNEF-UHFFFAOYSA-N 1 2 309.373 1.805 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC12CC3CC(CC(C3)C1)C2 ZINC000884049828 708117334 /nfs/dbraw/zinc/11/73/34/708117334.db2.gz GSVTYFCHKXRUDQ-URZJAHPPSA-N 1 2 320.433 1.766 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCc2ccccc2C1 ZINC000884056925 708120760 /nfs/dbraw/zinc/12/07/60/708120760.db2.gz OOAVNQQFIFMPPE-CABCVRRESA-N 1 2 302.374 1.107 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC(C2CCCC2)CC1 ZINC000884160389 708165590 /nfs/dbraw/zinc/16/55/90/708165590.db2.gz BZYKEWRTZBHYBN-HNNXBMFYSA-N 1 2 308.422 1.862 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)C1C2CC3CC(C2)CC1C3 ZINC000884307092 708236283 /nfs/dbraw/zinc/23/62/83/708236283.db2.gz YFLAKKSUAQRPCB-RWUOKQAASA-N 1 2 320.433 1.716 20 30 DDEDLO N#Cc1csc(CNC(=O)N2CCC(n3cc[nH+]c3)CC2)n1 ZINC000897296547 708282708 /nfs/dbraw/zinc/28/27/08/708282708.db2.gz WZGOWEVQRBOTSF-UHFFFAOYSA-N 1 2 316.390 1.758 20 30 DDEDLO C#CCCCNC(=O)C(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000897773459 708445668 /nfs/dbraw/zinc/44/56/68/708445668.db2.gz LVNAHSOYWHSOEH-INIZCTEOSA-N 1 2 324.384 1.270 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCC2(C#N)CCCC2)[nH]n1 ZINC000898270029 708593539 /nfs/dbraw/zinc/59/35/39/708593539.db2.gz NPPYMASHKDTRHN-AWEZNQCLSA-N 1 2 301.394 1.990 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)NCC2(C#N)CCCC2)[nH]n1 ZINC000898270029 708593541 /nfs/dbraw/zinc/59/35/41/708593541.db2.gz NPPYMASHKDTRHN-AWEZNQCLSA-N 1 2 301.394 1.990 20 30 DDEDLO C=CC[N@@H+](CCSC(F)(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000886064554 708691135 /nfs/dbraw/zinc/69/11/35/708691135.db2.gz FGRLVRAWQPVVMG-VIFPVBQESA-N 1 2 303.371 1.915 20 30 DDEDLO C=CC[N@H+](CCSC(F)(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000886064554 708691136 /nfs/dbraw/zinc/69/11/36/708691136.db2.gz FGRLVRAWQPVVMG-VIFPVBQESA-N 1 2 303.371 1.915 20 30 DDEDLO CCC[C@@H](C#N)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000886397411 708753251 /nfs/dbraw/zinc/75/32/51/708753251.db2.gz HICOPZATAYDNNN-ZDUSSCGKSA-N 1 2 307.419 1.645 20 30 DDEDLO CCC[C@@H](C#N)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000886397411 708753253 /nfs/dbraw/zinc/75/32/53/708753253.db2.gz HICOPZATAYDNNN-ZDUSSCGKSA-N 1 2 307.419 1.645 20 30 DDEDLO C=CCn1cc(C[NH2+][C@@H]2CCCn3nc(C(=O)OC)cc32)nn1 ZINC000886628147 708798027 /nfs/dbraw/zinc/79/80/27/708798027.db2.gz HJVKYSGRNASMGP-GFCCVEGCSA-N 1 2 316.365 1.072 20 30 DDEDLO CCOC(=O)[C@H](C[C@H]1CCCO1)[NH2+]C[C@@H](O)CC1(C#N)CCC1 ZINC000886971214 708909406 /nfs/dbraw/zinc/90/94/06/708909406.db2.gz RYKSIORYLSJZDG-ZNMIVQPWSA-N 1 2 324.421 1.522 20 30 DDEDLO CC(C)(CNC(=O)[C@@H](C#N)Cc1ccc(C#N)cc1)n1cc[nH+]c1 ZINC000898906956 708918938 /nfs/dbraw/zinc/91/89/38/708918938.db2.gz LUICQLQQIVDYPW-MRXNPFEDSA-N 1 2 321.384 1.989 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[N@H+](C)C[C@@H]2CO)cc1OC ZINC000912749033 713084420 /nfs/dbraw/zinc/08/44/20/713084420.db2.gz MWVGDZJPJHHPBI-CQSZACIVSA-N 1 2 320.389 1.009 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[N@@H+](C)C[C@@H]2CO)cc1OC ZINC000912749033 713084422 /nfs/dbraw/zinc/08/44/22/713084422.db2.gz MWVGDZJPJHHPBI-CQSZACIVSA-N 1 2 320.389 1.009 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc(-c2ccon2)cc1 ZINC000899900882 709231267 /nfs/dbraw/zinc/23/12/67/709231267.db2.gz XMZHDJKZFHEXGV-OAHLLOKOSA-N 1 2 300.362 1.960 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc(-c2ccon2)cc1 ZINC000899900882 709231268 /nfs/dbraw/zinc/23/12/68/709231268.db2.gz XMZHDJKZFHEXGV-OAHLLOKOSA-N 1 2 300.362 1.960 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)CNc3cccc[nH+]3)CC2)nc1 ZINC000900317827 709537416 /nfs/dbraw/zinc/53/74/16/709537416.db2.gz HYSZIQOLODWOFY-UHFFFAOYSA-N 1 2 322.372 1.109 20 30 DDEDLO N#C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CCN1Cc1ccccc1 ZINC000900716877 709725351 /nfs/dbraw/zinc/72/53/51/709725351.db2.gz AGHQKZNNUFTZAO-INIZCTEOSA-N 1 2 309.373 1.189 20 30 DDEDLO Cc1c(C(=O)NC[C@H](C)Cn2cc[nH+]c2)cnn1CCC#N ZINC000900787222 709757647 /nfs/dbraw/zinc/75/76/47/709757647.db2.gz QGRJQZYMKCQUTQ-LBPRGKRZSA-N 1 2 300.366 1.368 20 30 DDEDLO N#CC1(CNC(=O)c2cccn2CC[NH+]2CCOCC2)CCC1 ZINC000910944735 710126082 /nfs/dbraw/zinc/12/60/82/710126082.db2.gz QIOHBMPVIGCRMD-UHFFFAOYSA-N 1 2 316.405 1.244 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cccc2c1OCC2 ZINC000891393231 710183301 /nfs/dbraw/zinc/18/33/01/710183301.db2.gz DMUWSSSAELFZPO-UHFFFAOYSA-N 1 2 315.417 1.669 20 30 DDEDLO Cn1nc2c(c1C[NH+]1CCN(c3ccc(C#N)cn3)CC1)CCC2 ZINC000891581140 710240829 /nfs/dbraw/zinc/24/08/29/710240829.db2.gz WXCFXDNYNNHZMQ-UHFFFAOYSA-N 1 2 322.416 1.498 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H](C#N)[C@@H](Nc2cc[nH+]cc2CO)C1 ZINC000893168864 710565797 /nfs/dbraw/zinc/56/57/97/710565797.db2.gz BIMRCLNRYJYYHP-RISCZKNCSA-N 1 2 318.377 1.167 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C[NH+]1CC(n2cc([C@@H](C)O)nn2)C1 ZINC000893454283 710662806 /nfs/dbraw/zinc/66/28/06/710662806.db2.gz RDZRZCZLSOUXKC-CYBMUJFWSA-N 1 2 311.389 1.877 20 30 DDEDLO O=C1CC2(CCC2)C(=O)N1C[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000902600190 710810962 /nfs/dbraw/zinc/81/09/62/710810962.db2.gz RWSWNUWYHVHUFU-UHFFFAOYSA-N 1 2 318.373 1.211 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CC[C@@H](Nc3ncccn3)C2)cc1 ZINC000903025821 711002509 /nfs/dbraw/zinc/00/25/09/711002509.db2.gz FHLKXBVPTNVWMV-OAHLLOKOSA-N 1 2 322.372 1.473 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CC[C@@H](Nc3ncccn3)C2)cc1 ZINC000903025821 711002514 /nfs/dbraw/zinc/00/25/14/711002514.db2.gz FHLKXBVPTNVWMV-OAHLLOKOSA-N 1 2 322.372 1.473 20 30 DDEDLO C/C(=C\C(=O)NCCC#C[Si](C)(C)C)C[NH+]1CCOCC1 ZINC000912331066 711282249 /nfs/dbraw/zinc/28/22/49/711282249.db2.gz YKKOQHBGLARXTN-FYWRMAATSA-N 1 2 308.498 1.652 20 30 DDEDLO COC(=O)c1cc(C[N@@H+]2CC[C@](O)(CC#N)C2)[nH]c1C(C)C ZINC000895079291 711399371 /nfs/dbraw/zinc/39/93/71/711399371.db2.gz QLRIMBWQJNVRHD-MRXNPFEDSA-N 1 2 305.378 1.775 20 30 DDEDLO COC(=O)c1cc(C[N@H+]2CC[C@](O)(CC#N)C2)[nH]c1C(C)C ZINC000895079291 711399375 /nfs/dbraw/zinc/39/93/75/711399375.db2.gz QLRIMBWQJNVRHD-MRXNPFEDSA-N 1 2 305.378 1.775 20 30 DDEDLO CCOc1cc(C[NH2+][C@H]2CCCN(O)C2=O)c(F)cc1OC ZINC000895156411 711435007 /nfs/dbraw/zinc/43/50/07/711435007.db2.gz CDIBCZFHLTUWJI-LBPRGKRZSA-N 1 2 312.341 1.703 20 30 DDEDLO Cn1c(C[NH2+][C@@H]2CCCN(O)C2=O)nc2ccc(Cl)cc21 ZINC000895162362 711438105 /nfs/dbraw/zinc/43/81/05/711438105.db2.gz KZVWZVWWPRLNGC-LLVKDONJSA-N 1 2 308.769 1.697 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)Nc1c(C#N)cccc1[N+](=O)[O-] ZINC000895801149 711609500 /nfs/dbraw/zinc/60/95/00/711609500.db2.gz LGIZYWDIUXURHP-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2ccsc2C#N)CC1 ZINC000906873932 712426056 /nfs/dbraw/zinc/42/60/56/712426056.db2.gz DLFMYSMNJHDVKP-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO CC[N@H+]1[C@H](C)CN(S(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000919571001 713615769 /nfs/dbraw/zinc/61/57/69/713615769.db2.gz QAIAOONNHSMPMD-BETUJISGSA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@@H+]1[C@H](C)CN(S(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000919571001 713615771 /nfs/dbraw/zinc/61/57/71/713615771.db2.gz QAIAOONNHSMPMD-BETUJISGSA-N 1 2 307.419 1.661 20 30 DDEDLO Cc1cccc([C@@H](O)CN(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000929773878 713687841 /nfs/dbraw/zinc/68/78/41/713687841.db2.gz DOMAOHRMUGTLKK-SFHVURJKSA-N 1 2 317.433 1.576 20 30 DDEDLO C=CCN(C(=O)[C@H]1CCC[N@H+]1C(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000930376983 713818104 /nfs/dbraw/zinc/81/81/04/713818104.db2.gz ACNHXZLIHKTGGE-UONOGXRCSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCN(C(=O)[C@H]1CCC[N@@H+]1C(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000930376983 713818108 /nfs/dbraw/zinc/81/81/08/713818108.db2.gz ACNHXZLIHKTGGE-UONOGXRCSA-N 1 2 314.451 1.061 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](C[C@H]2CC[C@@H](C(F)(F)F)O2)CC1 ZINC000930637154 713883866 /nfs/dbraw/zinc/88/38/66/713883866.db2.gz BJQWZZOKSMYWEV-MNOVXSKESA-N 1 2 320.311 1.875 20 30 DDEDLO Cc1csc(NC(=O)NCC[N@@H+]2CCO[C@H](C)C2)c1C#N ZINC000931412750 714090131 /nfs/dbraw/zinc/09/01/31/714090131.db2.gz JKZTYTIZQPPDAO-LLVKDONJSA-N 1 2 308.407 1.770 20 30 DDEDLO Cc1csc(NC(=O)NCC[N@H+]2CCO[C@H](C)C2)c1C#N ZINC000931412750 714090133 /nfs/dbraw/zinc/09/01/33/714090133.db2.gz JKZTYTIZQPPDAO-LLVKDONJSA-N 1 2 308.407 1.770 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+](C)Cc1ncc(Br)cn1 ZINC000931871173 714201329 /nfs/dbraw/zinc/20/13/29/714201329.db2.gz WEUMOLODPOFHEP-LLVKDONJSA-N 1 2 300.200 1.998 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+](C)Cc1ncc(Br)cn1 ZINC000931871173 714201331 /nfs/dbraw/zinc/20/13/31/714201331.db2.gz WEUMOLODPOFHEP-LLVKDONJSA-N 1 2 300.200 1.998 20 30 DDEDLO COC(=O)/C=C1/SCC(=O)N1CCC[N@@H+]1CCC[C@H](C#N)C1 ZINC000932151912 714272584 /nfs/dbraw/zinc/27/25/84/714272584.db2.gz OLSCOIHLSQRKJC-ZBQKXELDSA-N 1 2 323.418 1.202 20 30 DDEDLO COC(=O)/C=C1/SCC(=O)N1CCC[N@H+]1CCC[C@H](C#N)C1 ZINC000932151912 714272586 /nfs/dbraw/zinc/27/25/86/714272586.db2.gz OLSCOIHLSQRKJC-ZBQKXELDSA-N 1 2 323.418 1.202 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCC(=O)[C@H](C)C2(C)C)C1 ZINC000923563090 714405800 /nfs/dbraw/zinc/40/58/00/714405800.db2.gz AHZZANIWHYRBNZ-KKUMJFAQSA-N 1 2 304.434 1.842 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCC(=O)[C@H](C)C2(C)C)C1 ZINC000923563090 714405801 /nfs/dbraw/zinc/40/58/01/714405801.db2.gz AHZZANIWHYRBNZ-KKUMJFAQSA-N 1 2 304.434 1.842 20 30 DDEDLO N#C[C@]12C[N@@H+](C[C@@H]3CC4CCC3CC4)C[C@H]1CS(=O)(=O)C2 ZINC000932958349 714444632 /nfs/dbraw/zinc/44/46/32/714444632.db2.gz ATQUVPOGEQQYPE-SVXVCFNTSA-N 1 2 308.447 1.683 20 30 DDEDLO N#C[C@]12C[N@H+](C[C@@H]3CC4CCC3CC4)C[C@H]1CS(=O)(=O)C2 ZINC000932958349 714444634 /nfs/dbraw/zinc/44/46/34/714444634.db2.gz ATQUVPOGEQQYPE-SVXVCFNTSA-N 1 2 308.447 1.683 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)OCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000924395090 714574799 /nfs/dbraw/zinc/57/47/99/714574799.db2.gz NAMJEWCSBQRBAL-GASCZTMLSA-N 1 2 300.358 1.887 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000924395090 714574803 /nfs/dbraw/zinc/57/48/03/714574803.db2.gz NAMJEWCSBQRBAL-GASCZTMLSA-N 1 2 300.358 1.887 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+](C)Cc1cccc(=O)[nH]1 ZINC000933394258 714581629 /nfs/dbraw/zinc/58/16/29/714581629.db2.gz MRGVWTAPXOPGOG-MLGOLLRUSA-N 1 2 304.394 1.662 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+](C)Cc1cccc(=O)[nH]1 ZINC000933394258 714581631 /nfs/dbraw/zinc/58/16/31/714581631.db2.gz MRGVWTAPXOPGOG-MLGOLLRUSA-N 1 2 304.394 1.662 20 30 DDEDLO C[N@H+](Cc1cccc(=O)[nH]1)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000933398231 714582059 /nfs/dbraw/zinc/58/20/59/714582059.db2.gz IYOJBODXRJUQPL-MRXNPFEDSA-N 1 2 322.368 1.896 20 30 DDEDLO C[N@@H+](Cc1cccc(=O)[nH]1)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000933398231 714582060 /nfs/dbraw/zinc/58/20/60/714582060.db2.gz IYOJBODXRJUQPL-MRXNPFEDSA-N 1 2 322.368 1.896 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)N2CC[C@@](F)(C#N)C2)n1 ZINC000924778078 714662074 /nfs/dbraw/zinc/66/20/74/714662074.db2.gz SQTGWOAQEWWTHK-CYBMUJFWSA-N 1 2 311.386 1.352 20 30 DDEDLO C=CCCC[C@H]1NC(=O)N(CC[N@H+]2CCOCC2(C)C)C1=O ZINC000925300135 714799204 /nfs/dbraw/zinc/79/92/04/714799204.db2.gz HKAQQPXCXOFMMN-CYBMUJFWSA-N 1 2 309.410 1.374 20 30 DDEDLO C=CCCC[C@H]1NC(=O)N(CC[N@@H+]2CCOCC2(C)C)C1=O ZINC000925300135 714799205 /nfs/dbraw/zinc/79/92/05/714799205.db2.gz HKAQQPXCXOFMMN-CYBMUJFWSA-N 1 2 309.410 1.374 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)cc1 ZINC000934922757 714930366 /nfs/dbraw/zinc/93/03/66/714930366.db2.gz NEJDCWADBZIQMR-KBPBESRZSA-N 1 2 301.390 1.899 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)cc1 ZINC000934922757 714930368 /nfs/dbraw/zinc/93/03/68/714930368.db2.gz NEJDCWADBZIQMR-KBPBESRZSA-N 1 2 301.390 1.899 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000935386557 715043135 /nfs/dbraw/zinc/04/31/35/715043135.db2.gz KGWBTVCLNFZNMS-UHFFFAOYSA-N 1 2 321.384 1.928 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(N(C)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000954932167 715550980 /nfs/dbraw/zinc/55/09/80/715550980.db2.gz MTJQVIQASBBKIF-QGZVFWFLSA-N 1 2 318.421 1.532 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn3ccc(C)nc23)C1 ZINC000957288461 715817709 /nfs/dbraw/zinc/81/77/09/715817709.db2.gz OVDOHCXFRBFQOA-UHFFFAOYSA-N 1 2 311.389 1.207 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[NH+](CCn2cccn2)CC1 ZINC000957467260 715913981 /nfs/dbraw/zinc/91/39/81/715913981.db2.gz GKWVMXLUEAXYOE-UHFFFAOYSA-N 1 2 322.412 1.251 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnc3onc(CC)c3c2)CC1 ZINC000957790254 716048957 /nfs/dbraw/zinc/04/89/57/716048957.db2.gz PNGHXGPUYWBDTG-UHFFFAOYSA-N 1 2 300.362 1.729 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)C2CCC2)C1 ZINC000957797227 716054103 /nfs/dbraw/zinc/05/41/03/716054103.db2.gz DOGXDHOFRAOJMA-NWDGAFQWSA-N 1 2 317.227 1.104 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)C2CCC2)C1 ZINC000957797227 716054110 /nfs/dbraw/zinc/05/41/10/716054110.db2.gz DOGXDHOFRAOJMA-NWDGAFQWSA-N 1 2 317.227 1.104 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000939000540 716079898 /nfs/dbraw/zinc/07/98/98/716079898.db2.gz FDVWBYKLBXEXKE-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@@H]2C(=O)Nc3ccccc32)CC1 ZINC000957888428 716218134 /nfs/dbraw/zinc/21/81/34/716218134.db2.gz SKUAUZRHBMBALR-HNNXBMFYSA-N 1 2 311.385 1.280 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)c(C)o1 ZINC000960503201 716585657 /nfs/dbraw/zinc/58/56/57/716585657.db2.gz PPFKRQBJYXWZBF-FZMZJTMJSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)c(C)o1 ZINC000960503201 716585659 /nfs/dbraw/zinc/58/56/59/716585659.db2.gz PPFKRQBJYXWZBF-FZMZJTMJSA-N 1 2 304.394 1.874 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3CCC[C@@H]3C)CC2)C1 ZINC000941414111 717173202 /nfs/dbraw/zinc/17/32/02/717173202.db2.gz NWFDUXNUEZZRFP-IRXDYDNUSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCN(C2C[NH+](CC#CC)C2)CC1 ZINC000941513695 717189738 /nfs/dbraw/zinc/18/97/38/717189738.db2.gz NUJOGLDVBJEMQW-UHFFFAOYSA-N 1 2 303.450 1.440 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3CC=CCC3)CC2)C1 ZINC000941516892 717190989 /nfs/dbraw/zinc/19/09/89/717190989.db2.gz POQRUUJENPRBBX-QGZVFWFLSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)[C@H](C)C1 ZINC000943965691 718233675 /nfs/dbraw/zinc/23/36/75/718233675.db2.gz KIEPLRGASGGPKK-CJNGLKHVSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)[C@H](C)C1 ZINC000943965691 718233676 /nfs/dbraw/zinc/23/36/76/718233676.db2.gz KIEPLRGASGGPKK-CJNGLKHVSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2c(C)ncn2C)C1 ZINC000966879739 718692026 /nfs/dbraw/zinc/69/20/26/718692026.db2.gz PTOCYQMHIFDYII-MFKMUULPSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2c(C)ncn2C)C1 ZINC000966879739 718692028 /nfs/dbraw/zinc/69/20/28/718692028.db2.gz PTOCYQMHIFDYII-MFKMUULPSA-N 1 2 310.829 1.921 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2noc(-c3ccoc3)n2)C1 ZINC000967714513 718981423 /nfs/dbraw/zinc/98/14/23/718981423.db2.gz PUZNCFRQIAZEGF-CMPLNLGQSA-N 1 2 315.333 1.180 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1ccon1 ZINC000947171130 719059083 /nfs/dbraw/zinc/05/90/83/719059083.db2.gz QFEDAWPZQKEVJF-DGCLKSJQSA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1ccon1 ZINC000947171130 719059086 /nfs/dbraw/zinc/05/90/86/719059086.db2.gz QFEDAWPZQKEVJF-DGCLKSJQSA-N 1 2 313.361 1.657 20 30 DDEDLO CC#CCN1CC[C@H](C)[C@H](NC(=O)c2c[nH+]ccc2N(C)C)C1 ZINC000968554897 719662367 /nfs/dbraw/zinc/66/23/67/719662367.db2.gz YKJJJMYUUQQSLI-GOEBONIOSA-N 1 2 314.433 1.611 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC000968593651 719682872 /nfs/dbraw/zinc/68/28/72/719682872.db2.gz YWIAYGAZZJDGMH-ZWNOBZJWSA-N 1 2 321.812 1.855 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC000968593651 719682874 /nfs/dbraw/zinc/68/28/74/719682874.db2.gz YWIAYGAZZJDGMH-ZWNOBZJWSA-N 1 2 321.812 1.855 20 30 DDEDLO CN(C(=O)[C@H]1CCCc2[nH+]c[nH]c21)[C@H]1CCCN(CC#N)CC1 ZINC000948767692 719696170 /nfs/dbraw/zinc/69/61/70/719696170.db2.gz ZIDOQVFMJQMURH-KBPBESRZSA-N 1 2 315.421 1.666 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn[nH]c1 ZINC000948890819 719769598 /nfs/dbraw/zinc/76/95/98/719769598.db2.gz TVCFZFYIBSUACE-KRWDZBQOSA-N 1 2 308.385 1.762 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn[nH]c1 ZINC000948890819 719769601 /nfs/dbraw/zinc/76/96/01/719769601.db2.gz TVCFZFYIBSUACE-KRWDZBQOSA-N 1 2 308.385 1.762 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)CC1 ZINC000948940046 719794571 /nfs/dbraw/zinc/79/45/71/719794571.db2.gz XOFXLLXWZRASTE-ZDUSSCGKSA-N 1 2 308.813 1.342 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nocc1C ZINC000948978161 719821214 /nfs/dbraw/zinc/82/12/14/719821214.db2.gz IYAZBHBTEVLWHJ-INIZCTEOSA-N 1 2 309.369 1.945 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nocc1C ZINC000948978161 719821222 /nfs/dbraw/zinc/82/12/22/719821222.db2.gz IYAZBHBTEVLWHJ-INIZCTEOSA-N 1 2 309.369 1.945 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc(OC)ncn2)C1 ZINC000969681396 720248144 /nfs/dbraw/zinc/24/81/44/720248144.db2.gz PXVBGBHRCDAJEJ-SNVBAGLBSA-N 1 2 310.785 1.288 20 30 DDEDLO C=CCC[NH+]1CCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)CC1 ZINC000949837600 720374031 /nfs/dbraw/zinc/37/40/31/720374031.db2.gz QOAWAVZKFYSQCL-UKRRQHHQSA-N 1 2 302.422 1.796 20 30 DDEDLO CCN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](CCOC2CCC2)C1 ZINC000949854713 720383320 /nfs/dbraw/zinc/38/33/20/720383320.db2.gz UNYDRGQPPMRYTJ-UHFFFAOYSA-N 1 2 316.405 1.602 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2coc(OCC)n2)C1 ZINC000970053983 720612132 /nfs/dbraw/zinc/61/21/32/720612132.db2.gz HAIWDICGIQWMFK-SNVBAGLBSA-N 1 2 313.785 1.876 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCCN2C(=O)CC)C1 ZINC000950410866 720621602 /nfs/dbraw/zinc/62/16/02/720621602.db2.gz WDEOXBUQZCQVTF-HNNXBMFYSA-N 1 2 307.438 1.496 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnc(Cl)n2C)C1 ZINC000970152936 720644669 /nfs/dbraw/zinc/64/46/69/720644669.db2.gz AMZKXRFVFWNSSR-SECBINFHSA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC000970178957 720654380 /nfs/dbraw/zinc/65/43/80/720654380.db2.gz NOFNCGZZGBTGFI-ZUUOWARBSA-N 1 2 310.825 1.599 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cn(C)nc2OC)C1 ZINC000970265219 720683704 /nfs/dbraw/zinc/68/37/04/720683704.db2.gz OFQSKXMAFBRDSG-JTQLQIEISA-N 1 2 312.801 1.231 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC000970313623 720703960 /nfs/dbraw/zinc/70/39/60/720703960.db2.gz PTBFWYLCQZUORT-VIFPVBQESA-N 1 2 310.785 1.293 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2c3c[nH]nc3CC[C@H]2C)C1 ZINC000950923826 720830468 /nfs/dbraw/zinc/83/04/68/720830468.db2.gz JQMBNZNSYFISSV-WBMJQRKESA-N 1 2 302.422 1.794 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC000970798363 720939720 /nfs/dbraw/zinc/93/97/20/720939720.db2.gz SFWZQDMZKZIAFD-JSGCOSHPSA-N 1 2 319.836 1.985 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCCc3nc[nH]c32)C1 ZINC000951730696 721157060 /nfs/dbraw/zinc/15/70/60/721157060.db2.gz YEVCONXKFJSRID-CQSZACIVSA-N 1 2 302.422 1.938 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC000951781969 721180528 /nfs/dbraw/zinc/18/05/28/721180528.db2.gz SNGHFTMLEIWOSP-HUUCEWRRSA-N 1 2 300.406 1.080 20 30 DDEDLO Cc1conc1C[N@@H+](C)[C@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971289219 721220905 /nfs/dbraw/zinc/22/09/05/721220905.db2.gz PXIJGOLVMBULQU-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1conc1C[N@H+](C)[C@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971289219 721220907 /nfs/dbraw/zinc/22/09/07/721220907.db2.gz PXIJGOLVMBULQU-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1csc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971512320 721332421 /nfs/dbraw/zinc/33/24/21/721332421.db2.gz AKXSQXYFVYSICF-AWEZNQCLSA-N 1 2 303.431 1.898 20 30 DDEDLO Cc1csc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971512320 721332425 /nfs/dbraw/zinc/33/24/25/721332425.db2.gz AKXSQXYFVYSICF-AWEZNQCLSA-N 1 2 303.431 1.898 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H](C)n3cccn3)C2)C1 ZINC000972628426 735378351 /nfs/dbraw/zinc/37/83/51/735378351.db2.gz RJQSWHFUNXFJCD-NVXWUHKLSA-N 1 2 318.421 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H](C)n3cccn3)C2)C1 ZINC000972628426 735378353 /nfs/dbraw/zinc/37/83/53/735378353.db2.gz RJQSWHFUNXFJCD-NVXWUHKLSA-N 1 2 318.421 1.324 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccn3CC)C2)C1 ZINC000972678010 735467244 /nfs/dbraw/zinc/46/72/44/735467244.db2.gz UKLOIWCLVZMGAN-GOSISDBHSA-N 1 2 315.417 1.448 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccn3CC)C2)C1 ZINC000972678010 735467247 /nfs/dbraw/zinc/46/72/47/735467247.db2.gz UKLOIWCLVZMGAN-GOSISDBHSA-N 1 2 315.417 1.448 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)CNc1cccc(F)c1C#N ZINC001104225749 732490948 /nfs/dbraw/zinc/49/09/48/732490948.db2.gz BIFIWZXHTUJIIK-LLVKDONJSA-N 1 2 315.352 1.827 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)[nH]1 ZINC001038171470 732551001 /nfs/dbraw/zinc/55/10/01/732551001.db2.gz ZMUOZFXKLFXMEV-INIZCTEOSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)[nH]1 ZINC001038171470 732551004 /nfs/dbraw/zinc/55/10/04/732551004.db2.gz ZMUOZFXKLFXMEV-INIZCTEOSA-N 1 2 319.368 1.762 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH]c[nH+]1)CNc1ncccc1C#N ZINC001104245908 732849447 /nfs/dbraw/zinc/84/94/47/732849447.db2.gz DPQQKFCJRGMUDD-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH+]c[nH]1)CNc1ncccc1C#N ZINC001104245908 732849451 /nfs/dbraw/zinc/84/94/51/732849451.db2.gz DPQQKFCJRGMUDD-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001021385031 733174981 /nfs/dbraw/zinc/17/49/81/733174981.db2.gz KJNZPQZUNCMXAX-ARQSVGKCSA-N 1 2 313.405 1.159 20 30 DDEDLO Cc1noc(C[NH2+]C[C@@H]2C[C@H](C)CCN2C(=O)[C@H](C)C#N)n1 ZINC001087135662 733462953 /nfs/dbraw/zinc/46/29/53/733462953.db2.gz SRLSTMXCFXDWIB-WZRBSPASSA-N 1 2 305.382 1.254 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H](C)C[C@H]1C[NH2+]Cc1csnn1 ZINC001087136404 733464977 /nfs/dbraw/zinc/46/49/77/733464977.db2.gz SWSYFIXXCMOLGS-WZRBSPASSA-N 1 2 307.423 1.414 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4ccccn4c3)[C@H]2C1 ZINC001083213381 733523306 /nfs/dbraw/zinc/52/33/06/733523306.db2.gz XODWQBFNZGAQDD-ZWKOTPCHSA-N 1 2 323.396 1.488 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4ccccn4c3)[C@H]2C1 ZINC001083213381 733523312 /nfs/dbraw/zinc/52/33/12/733523312.db2.gz XODWQBFNZGAQDD-ZWKOTPCHSA-N 1 2 323.396 1.488 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3scnc3COC)[C@H]2C1 ZINC001083218281 733694821 /nfs/dbraw/zinc/69/48/21/733694821.db2.gz KKJSUTPSFRRYRR-QWHCGFSZSA-N 1 2 323.418 1.001 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3scnc3COC)[C@H]2C1 ZINC001083218281 733694826 /nfs/dbraw/zinc/69/48/26/733694826.db2.gz KKJSUTPSFRRYRR-QWHCGFSZSA-N 1 2 323.418 1.001 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001098632821 738821896 /nfs/dbraw/zinc/82/18/96/738821896.db2.gz GMVTYGKNHGEXKG-HNNXBMFYSA-N 1 2 301.394 1.131 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](CNC(=O)c2cn[nH]n2)C1 ZINC001023457819 735247942 /nfs/dbraw/zinc/24/79/42/735247942.db2.gz ZLSGQYKABAQRKV-ZDUSSCGKSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001023457819 735247945 /nfs/dbraw/zinc/24/79/45/735247945.db2.gz ZLSGQYKABAQRKV-ZDUSSCGKSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001023457819 735247946 /nfs/dbraw/zinc/24/79/46/735247946.db2.gz ZLSGQYKABAQRKV-ZDUSSCGKSA-N 1 2 324.388 1.318 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H]1CNC(=O)c1cnc(COC)s1 ZINC001024826439 736114436 /nfs/dbraw/zinc/11/44/36/736114436.db2.gz RZAJLPGBZZEDAY-LBPRGKRZSA-N 1 2 307.419 1.507 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1cnc(COC)s1 ZINC001024826439 736114437 /nfs/dbraw/zinc/11/44/37/736114437.db2.gz RZAJLPGBZZEDAY-LBPRGKRZSA-N 1 2 307.419 1.507 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@H]2CCCCN2C(=O)[C@H](C)C#N)n1 ZINC001024902395 736159556 /nfs/dbraw/zinc/15/95/56/736159556.db2.gz QADVMALYLXBUFG-CHWSQXEVSA-N 1 2 319.409 1.823 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104706735 736533726 /nfs/dbraw/zinc/53/37/26/736533726.db2.gz PCGDUSNNARPMDU-LBPRGKRZSA-N 1 2 320.441 1.861 20 30 DDEDLO C[C@@H](CNc1ccncc1C#N)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001104871686 737314280 /nfs/dbraw/zinc/31/42/80/737314280.db2.gz QPKSJFBAZMLZJS-IACUBPJLSA-N 1 2 324.388 1.161 20 30 DDEDLO C[C@@H](CNc1ccncc1C#N)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001104871686 737314282 /nfs/dbraw/zinc/31/42/82/737314282.db2.gz QPKSJFBAZMLZJS-IACUBPJLSA-N 1 2 324.388 1.161 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2cnco2)C1 ZINC001087433685 738963102 /nfs/dbraw/zinc/96/31/02/738963102.db2.gz AECLTJRUDVLJBR-HZPDHXFCSA-N 1 2 309.369 1.896 20 30 DDEDLO C#CC[N@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2cnco2)C1 ZINC001087433685 738963103 /nfs/dbraw/zinc/96/31/03/738963103.db2.gz AECLTJRUDVLJBR-HZPDHXFCSA-N 1 2 309.369 1.896 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105305479 737794705 /nfs/dbraw/zinc/79/47/05/737794705.db2.gz DPIGGLFVDXBWIO-NEPJUHHUSA-N 1 2 309.389 1.179 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)C(C)C)C2)nn1 ZINC001105337231 738037833 /nfs/dbraw/zinc/03/78/33/738037833.db2.gz WWNBTVMZLHOFAG-ZDUSSCGKSA-N 1 2 303.410 1.066 20 30 DDEDLO CCc1cnc(C[N@H+](C)[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC001027336076 738208236 /nfs/dbraw/zinc/20/82/36/738208236.db2.gz MXWRWWUVHWAVOF-STQMWFEESA-N 1 2 304.394 1.819 20 30 DDEDLO CCc1cnc(C[N@@H+](C)[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC001027336076 738208239 /nfs/dbraw/zinc/20/82/39/738208239.db2.gz MXWRWWUVHWAVOF-STQMWFEESA-N 1 2 304.394 1.819 20 30 DDEDLO CCc1cnc(C[N@H+](C)[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)o1 ZINC001027336073 738208255 /nfs/dbraw/zinc/20/82/55/738208255.db2.gz MXWRWWUVHWAVOF-CHWSQXEVSA-N 1 2 304.394 1.819 20 30 DDEDLO CCc1cnc(C[N@@H+](C)[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)o1 ZINC001027336073 738208256 /nfs/dbraw/zinc/20/82/56/738208256.db2.gz MXWRWWUVHWAVOF-CHWSQXEVSA-N 1 2 304.394 1.819 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)co1 ZINC001027405156 738268923 /nfs/dbraw/zinc/26/89/23/738268923.db2.gz CRZBYBUFLLDWOA-MRXNPFEDSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)co1 ZINC001027405156 738268926 /nfs/dbraw/zinc/26/89/26/738268926.db2.gz CRZBYBUFLLDWOA-MRXNPFEDSA-N 1 2 301.390 1.819 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(Br)c[nH]1 ZINC001038492287 742388279 /nfs/dbraw/zinc/38/82/79/742388279.db2.gz OMVNVJGGEIZSRV-LLVKDONJSA-N 1 2 310.195 1.605 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(Br)c[nH]1 ZINC001038492287 742388288 /nfs/dbraw/zinc/38/82/88/742388288.db2.gz OMVNVJGGEIZSRV-LLVKDONJSA-N 1 2 310.195 1.605 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098196744 739020768 /nfs/dbraw/zinc/02/07/68/739020768.db2.gz VEMFAPYRVBNDCQ-VXGBXAGGSA-N 1 2 320.441 1.765 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H]1CNC(=O)c1ccncn1 ZINC001028213361 739170736 /nfs/dbraw/zinc/17/07/36/739170736.db2.gz WUHMVXFGLVEAJA-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H]1CNC(=O)c1ccncn1 ZINC001028213361 739170737 /nfs/dbraw/zinc/17/07/37/739170737.db2.gz WUHMVXFGLVEAJA-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001075615994 739187581 /nfs/dbraw/zinc/18/75/81/739187581.db2.gz GDYQEDXOPPCKCX-IJEWVQPXSA-N 1 2 315.421 1.656 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001075615994 739187588 /nfs/dbraw/zinc/18/75/88/739187588.db2.gz GDYQEDXOPPCKCX-IJEWVQPXSA-N 1 2 315.421 1.656 20 30 DDEDLO CC(C)c1ocnc1C[N@@H+]1C[C@@H]2CCN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001075621390 739233092 /nfs/dbraw/zinc/23/30/92/739233092.db2.gz YVEJVUUXUCXYLX-VNHYZAJKSA-N 1 2 316.405 1.990 20 30 DDEDLO CC(C)c1ocnc1C[N@H+]1C[C@@H]2CCN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001075621390 739233096 /nfs/dbraw/zinc/23/30/96/739233096.db2.gz YVEJVUUXUCXYLX-VNHYZAJKSA-N 1 2 316.405 1.990 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2nc(C(C)C)oc2C)C1 ZINC001035369719 751433065 /nfs/dbraw/zinc/43/30/65/751433065.db2.gz JEOYKKAQTBTCAG-CQSZACIVSA-N 1 2 319.405 1.560 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2nc(C(C)C)oc2C)C1 ZINC001035369719 751433070 /nfs/dbraw/zinc/43/30/70/751433070.db2.gz JEOYKKAQTBTCAG-CQSZACIVSA-N 1 2 319.405 1.560 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001028293313 739312878 /nfs/dbraw/zinc/31/28/78/739312878.db2.gz HJNCPDCXQFXUCJ-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001028293313 739312883 /nfs/dbraw/zinc/31/28/83/739312883.db2.gz HJNCPDCXQFXUCJ-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c(Cl)cnn2CC)C1 ZINC001035386825 751456765 /nfs/dbraw/zinc/45/67/65/751456765.db2.gz JGGABIFWEIMQAL-NSHDSACASA-N 1 2 312.801 1.173 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c(Cl)cnn2CC)C1 ZINC001035386825 751456773 /nfs/dbraw/zinc/45/67/73/751456773.db2.gz JGGABIFWEIMQAL-NSHDSACASA-N 1 2 312.801 1.173 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2conc2C(C)C)C1 ZINC001035393183 751462830 /nfs/dbraw/zinc/46/28/30/751462830.db2.gz WLIMONSEQHYVHJ-ZDUSSCGKSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2conc2C(C)C)C1 ZINC001035393183 751462833 /nfs/dbraw/zinc/46/28/33/751462833.db2.gz WLIMONSEQHYVHJ-ZDUSSCGKSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+]Cc1nc(C(C)C)ns1 ZINC001126377729 739619221 /nfs/dbraw/zinc/61/92/21/739619221.db2.gz FBRDVVHGYLFCEJ-CQSZACIVSA-N 1 2 312.439 1.194 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+]Cc1nc(C(C)C)ns1 ZINC001126377727 739619447 /nfs/dbraw/zinc/61/94/47/739619447.db2.gz FBRDVVHGYLFCEJ-AWEZNQCLSA-N 1 2 312.439 1.194 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001035415328 751496612 /nfs/dbraw/zinc/49/66/12/751496612.db2.gz AMDGUAHMQBGBCD-CQSZACIVSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001035415328 751496620 /nfs/dbraw/zinc/49/66/20/751496620.db2.gz AMDGUAHMQBGBCD-CQSZACIVSA-N 1 2 312.373 1.017 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001035487834 751541274 /nfs/dbraw/zinc/54/12/74/751541274.db2.gz NWRXVTKQNCYKFN-HUUCEWRRSA-N 1 2 301.390 1.114 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001035487834 751541278 /nfs/dbraw/zinc/54/12/78/751541278.db2.gz NWRXVTKQNCYKFN-HUUCEWRRSA-N 1 2 301.390 1.114 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1C[N@H+](CC=C(C)C)CCO1 ZINC001035510911 751568696 /nfs/dbraw/zinc/56/86/96/751568696.db2.gz QABAQEZKFMWWCZ-XHSDSOJGSA-N 1 2 308.422 1.361 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1C[N@@H+](CC=C(C)C)CCO1 ZINC001035510911 751568703 /nfs/dbraw/zinc/56/87/03/751568703.db2.gz QABAQEZKFMWWCZ-XHSDSOJGSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cncn1C ZINC001029423137 740760117 /nfs/dbraw/zinc/76/01/17/740760117.db2.gz QVAZHBQOIRTREW-OKILXGFUSA-N 1 2 302.422 1.808 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cncn1C ZINC001029423137 740760118 /nfs/dbraw/zinc/76/01/18/740760118.db2.gz QVAZHBQOIRTREW-OKILXGFUSA-N 1 2 302.422 1.808 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1c[nH+]cn1C ZINC001029423137 740760121 /nfs/dbraw/zinc/76/01/21/740760121.db2.gz QVAZHBQOIRTREW-OKILXGFUSA-N 1 2 302.422 1.808 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2nccc3ccccc32)C1 ZINC001035495486 751578607 /nfs/dbraw/zinc/57/86/07/751578607.db2.gz IJCDIOWNUOWKOE-HNNXBMFYSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2nccc3ccccc32)C1 ZINC001035495486 751578612 /nfs/dbraw/zinc/57/86/12/751578612.db2.gz IJCDIOWNUOWKOE-HNNXBMFYSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)C[C@H](C)O3)C1 ZINC001035545355 751592645 /nfs/dbraw/zinc/59/26/45/751592645.db2.gz JFRGCYIULRJZLB-BBRMVZONSA-N 1 2 316.401 1.627 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)C[C@H](C)O3)C1 ZINC001035545355 751592648 /nfs/dbraw/zinc/59/26/48/751592648.db2.gz JFRGCYIULRJZLB-BBRMVZONSA-N 1 2 316.401 1.627 20 30 DDEDLO N#CCN1CC[C@@H]2CN(C(=O)c3cccc4[nH+]ccn43)C[C@@H]2C1 ZINC001088039022 740963550 /nfs/dbraw/zinc/96/35/50/740963550.db2.gz UIKDDXGJKBDQGL-KGLIPLIRSA-N 1 2 309.373 1.252 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3c2OCC3)C1 ZINC001035525366 751604261 /nfs/dbraw/zinc/60/42/61/751604261.db2.gz CPNXNXPLGDCNSP-HNNXBMFYSA-N 1 2 316.401 1.628 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccc3c2OCC3)C1 ZINC001035525366 751604262 /nfs/dbraw/zinc/60/42/62/751604262.db2.gz CPNXNXPLGDCNSP-HNNXBMFYSA-N 1 2 316.401 1.628 20 30 DDEDLO C=C(C)C(=O)OCCNc1[nH+]cnc2[nH]cc([S@@](C)=O)c21 ZINC001168070438 741231181 /nfs/dbraw/zinc/23/11/81/741231181.db2.gz MOFIGBFBSXVWQV-OAQYLSRUSA-N 1 2 308.363 1.178 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc3sccc3[nH]2)C1 ZINC001035567470 751620827 /nfs/dbraw/zinc/62/08/27/751620827.db2.gz QBFCRJFIRIGOCV-LLVKDONJSA-N 1 2 305.403 1.846 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc3sccc3[nH]2)C1 ZINC001035567470 751620833 /nfs/dbraw/zinc/62/08/33/751620833.db2.gz QBFCRJFIRIGOCV-LLVKDONJSA-N 1 2 305.403 1.846 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1coc(-c2ccccn2)n1 ZINC001038462831 741294158 /nfs/dbraw/zinc/29/41/58/741294158.db2.gz MRNUXVRJJJCQCW-ZDUSSCGKSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1coc(-c2ccccn2)n1 ZINC001038462831 741294161 /nfs/dbraw/zinc/29/41/61/741294161.db2.gz MRNUXVRJJJCQCW-ZDUSSCGKSA-N 1 2 310.357 1.564 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn(C)nc2C(C)(C)C)C1 ZINC001035549206 751632199 /nfs/dbraw/zinc/63/21/99/751632199.db2.gz CNFMCUMQLAFSNB-CYBMUJFWSA-N 1 2 320.437 1.334 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn(C)nc2C(C)(C)C)C1 ZINC001035549206 751632200 /nfs/dbraw/zinc/63/22/00/751632200.db2.gz CNFMCUMQLAFSNB-CYBMUJFWSA-N 1 2 320.437 1.334 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001035560099 751642753 /nfs/dbraw/zinc/64/27/53/751642753.db2.gz PUBKUDWKMUVLDC-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001035560099 751642754 /nfs/dbraw/zinc/64/27/54/751642754.db2.gz PUBKUDWKMUVLDC-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc(C)nc2C2CC2)C1 ZINC001035594902 751651304 /nfs/dbraw/zinc/65/13/04/751651304.db2.gz GRJXDSQOAHWPTJ-CQSZACIVSA-N 1 2 316.405 1.279 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc(C)nc2C2CC2)C1 ZINC001035594902 751651307 /nfs/dbraw/zinc/65/13/07/751651307.db2.gz GRJXDSQOAHWPTJ-CQSZACIVSA-N 1 2 316.405 1.279 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)c(OC)c2)C1 ZINC001035596346 751651919 /nfs/dbraw/zinc/65/19/19/751651919.db2.gz YJNZMRJZHAUITQ-AWEZNQCLSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)c(OC)c2)C1 ZINC001035596346 751651925 /nfs/dbraw/zinc/65/19/25/751651925.db2.gz YJNZMRJZHAUITQ-AWEZNQCLSA-N 1 2 320.389 1.320 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)[C@H]2C)o1 ZINC001088533288 741705016 /nfs/dbraw/zinc/70/50/16/741705016.db2.gz KMNQSKDUDQSEOT-RISCZKNCSA-N 1 2 313.361 1.576 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)[C@H]2C)o1 ZINC001088533288 741705019 /nfs/dbraw/zinc/70/50/19/741705019.db2.gz KMNQSKDUDQSEOT-RISCZKNCSA-N 1 2 313.361 1.576 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)OCCO3)C1 ZINC001035604225 751663434 /nfs/dbraw/zinc/66/34/34/751663434.db2.gz IZAABCVQXMFTQW-CQSZACIVSA-N 1 2 318.373 1.074 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)OCCO3)C1 ZINC001035604225 751663439 /nfs/dbraw/zinc/66/34/39/751663439.db2.gz IZAABCVQXMFTQW-CQSZACIVSA-N 1 2 318.373 1.074 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098243765 741888615 /nfs/dbraw/zinc/88/86/15/741888615.db2.gz FGYAXSZAELIMND-BZNIZROVSA-N 1 2 316.409 1.882 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001035592993 751689159 /nfs/dbraw/zinc/68/91/59/751689159.db2.gz RRQZFFGYTXZPIJ-OAHLLOKOSA-N 1 2 301.390 1.576 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001035592993 751689165 /nfs/dbraw/zinc/68/91/65/751689165.db2.gz RRQZFFGYTXZPIJ-OAHLLOKOSA-N 1 2 301.390 1.576 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CCN(C)c2cc[nH+]c(C)n2)cc1 ZINC001105515144 742095749 /nfs/dbraw/zinc/09/57/49/742095749.db2.gz WSHAJWCJCYFMET-UHFFFAOYSA-N 1 2 308.385 1.975 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3CC(C)C3)C2)nn1 ZINC001098651723 742226183 /nfs/dbraw/zinc/22/61/83/742226183.db2.gz DBUFUXSAMLSTCH-ZBCRRDGASA-N 1 2 315.421 1.211 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3coc(OC)n3)[C@@H]2C1 ZINC001076090669 742533855 /nfs/dbraw/zinc/53/38/55/742533855.db2.gz FDPFHGGFTPESDS-CMPLNLGQSA-N 1 2 311.769 1.582 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3coc(OC)n3)[C@@H]2C1 ZINC001076090669 742533858 /nfs/dbraw/zinc/53/38/58/742533858.db2.gz FDPFHGGFTPESDS-CMPLNLGQSA-N 1 2 311.769 1.582 20 30 DDEDLO Cc1conc1C[NH+]1CC2(C1)CCN(C(=O)[C@H](C)C#N)CC2 ZINC001035669474 751774779 /nfs/dbraw/zinc/77/47/79/751774779.db2.gz RMOXHHGKQNPDRK-GFCCVEGCSA-N 1 2 302.378 1.567 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001076710639 742922798 /nfs/dbraw/zinc/92/27/98/742922798.db2.gz LXDYGULCXRXXSI-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001076710639 742922805 /nfs/dbraw/zinc/92/28/05/742922805.db2.gz LXDYGULCXRXXSI-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCOc2c(F)cccc21 ZINC001038507917 743108179 /nfs/dbraw/zinc/10/81/79/743108179.db2.gz RZNVPLAZNYLZNE-TZMCWYRMSA-N 1 2 302.349 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCOc2c(F)cccc21 ZINC001038507917 743108186 /nfs/dbraw/zinc/10/81/86/743108186.db2.gz RZNVPLAZNYLZNE-TZMCWYRMSA-N 1 2 302.349 1.516 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108046892 743216690 /nfs/dbraw/zinc/21/66/90/743216690.db2.gz KESFDSBJGZTYTB-SNVBAGLBSA-N 1 2 302.382 1.492 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CCOC(C)C)c2C1 ZINC001128206696 743284944 /nfs/dbraw/zinc/28/49/44/743284944.db2.gz AOFTXPYGCUKPPN-UHFFFAOYSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CCOC(C)C)c2C1 ZINC001128206696 743284952 /nfs/dbraw/zinc/28/49/52/743284952.db2.gz AOFTXPYGCUKPPN-UHFFFAOYSA-N 1 2 320.437 1.706 20 30 DDEDLO Cc1ccnc(C[NH+]2CCC(NC(=O)c3c[nH]c(C#N)c3)CC2)n1 ZINC001002639042 743301921 /nfs/dbraw/zinc/30/19/21/743301921.db2.gz LDIPOIWEJCJLPN-UHFFFAOYSA-N 1 2 324.388 1.379 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C3CC3)[nH]c2C2CC2)[C@@H](O)C1 ZINC001083568270 743327300 /nfs/dbraw/zinc/32/73/00/743327300.db2.gz PRXZIMGYZUFNRP-CVEARBPZSA-N 1 2 313.401 1.178 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C3CC3)[nH]c2C2CC2)[C@@H](O)C1 ZINC001083568270 743327308 /nfs/dbraw/zinc/32/73/08/743327308.db2.gz PRXZIMGYZUFNRP-CVEARBPZSA-N 1 2 313.401 1.178 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C3CCCC3)cc2)C1 ZINC001077399631 743450410 /nfs/dbraw/zinc/45/04/10/743450410.db2.gz SFBFXIJSLMLJPL-QZTJIDSGSA-N 1 2 312.413 1.752 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C3CCCC3)cc2)C1 ZINC001077399631 743450415 /nfs/dbraw/zinc/45/04/15/743450415.db2.gz SFBFXIJSLMLJPL-QZTJIDSGSA-N 1 2 312.413 1.752 20 30 DDEDLO COC(=O)c1cc(C#N)ccc1N[C@@H]1CCC[N@@H+](C2COC2)C1 ZINC001168369675 743477544 /nfs/dbraw/zinc/47/75/44/743477544.db2.gz HCLZPRNTIOOBHG-CYBMUJFWSA-N 1 2 315.373 1.620 20 30 DDEDLO COC(=O)c1cc(C#N)ccc1N[C@@H]1CCC[N@H+](C2COC2)C1 ZINC001168369675 743477548 /nfs/dbraw/zinc/47/75/48/743477548.db2.gz HCLZPRNTIOOBHG-CYBMUJFWSA-N 1 2 315.373 1.620 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)ccc2CC)[C@@H](O)C1 ZINC001083602845 743524665 /nfs/dbraw/zinc/52/46/65/743524665.db2.gz QAMVHYRMWNGGKB-CVEARBPZSA-N 1 2 304.365 1.186 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)ccc2CC)[C@@H](O)C1 ZINC001083602845 743524668 /nfs/dbraw/zinc/52/46/68/743524668.db2.gz QAMVHYRMWNGGKB-CVEARBPZSA-N 1 2 304.365 1.186 20 30 DDEDLO Cc1cc(NC2(CNC(=O)CCn3cc[nH+]c3)CC2)c(C#N)cn1 ZINC001110290064 743619444 /nfs/dbraw/zinc/61/94/44/743619444.db2.gz LXQAWISFUZOABC-UHFFFAOYSA-N 1 2 324.388 1.031 20 30 DDEDLO CCc1nnc([C@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)o1 ZINC001182459059 743638729 /nfs/dbraw/zinc/63/87/29/743638729.db2.gz RTQWLXYWJLQIQY-QWHCGFSZSA-N 1 2 318.421 1.933 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001060263327 743755335 /nfs/dbraw/zinc/75/53/35/743755335.db2.gz PUNUCXUVBHYQRY-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105721383 743759482 /nfs/dbraw/zinc/75/94/82/743759482.db2.gz ZZQSBLSQNXDIIW-OAHLLOKOSA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2COc3cc(F)ccc3C2)C1 ZINC001030311728 744040742 /nfs/dbraw/zinc/04/07/42/744040742.db2.gz GHNGLTZAQSGWFB-ZDUSSCGKSA-N 1 2 302.349 1.201 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001185007126 744136303 /nfs/dbraw/zinc/13/63/03/744136303.db2.gz MGWRLFLJSWJEDS-GXTWGEPZSA-N 1 2 304.394 1.823 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2cc(OC)ccc2OC)C1 ZINC001030475431 744185914 /nfs/dbraw/zinc/18/59/14/744185914.db2.gz ADWAPSXMMPQPNH-UHFFFAOYSA-N 1 2 304.390 1.623 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]([NH2+]Cc3nc(C)no3)C2)CCC1 ZINC001185463731 744229576 /nfs/dbraw/zinc/22/95/76/744229576.db2.gz YKMBANFKPXDNHB-CYBMUJFWSA-N 1 2 304.394 1.815 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)[C@@H](O)C1 ZINC001083683448 744719230 /nfs/dbraw/zinc/71/92/30/744719230.db2.gz WQUHVTFASIXPII-MNOVXSKESA-N 1 2 316.188 1.120 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)[C@@H](O)C1 ZINC001083683448 744719231 /nfs/dbraw/zinc/71/92/31/744719231.db2.gz WQUHVTFASIXPII-MNOVXSKESA-N 1 2 316.188 1.120 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@H]2O)CCC1 ZINC001077519340 744872527 /nfs/dbraw/zinc/87/25/27/744872527.db2.gz PHWAYQQQJGROCB-IAGOWNOFSA-N 1 2 312.413 1.390 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@H]2O)CCC1 ZINC001077519340 744872532 /nfs/dbraw/zinc/87/25/32/744872532.db2.gz PHWAYQQQJGROCB-IAGOWNOFSA-N 1 2 312.413 1.390 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189917523 745031756 /nfs/dbraw/zinc/03/17/56/745031756.db2.gz HRRJAVQRWCTTOT-DOTOQJQBSA-N 1 2 320.437 1.088 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189917523 745031761 /nfs/dbraw/zinc/03/17/61/745031761.db2.gz HRRJAVQRWCTTOT-DOTOQJQBSA-N 1 2 320.437 1.088 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189917544 745032164 /nfs/dbraw/zinc/03/21/64/745032164.db2.gz HRRJAVQRWCTTOT-WBVHZDCISA-N 1 2 320.437 1.088 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189917544 745032169 /nfs/dbraw/zinc/03/21/69/745032169.db2.gz HRRJAVQRWCTTOT-WBVHZDCISA-N 1 2 320.437 1.088 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001190430225 745218311 /nfs/dbraw/zinc/21/83/11/745218311.db2.gz ATFLIYVFEMVOIV-GOEBONIOSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001190430225 745218316 /nfs/dbraw/zinc/21/83/16/745218316.db2.gz ATFLIYVFEMVOIV-GOEBONIOSA-N 1 2 319.405 1.608 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001190685125 745295788 /nfs/dbraw/zinc/29/57/88/745295788.db2.gz SCEIQUONYHGNHG-HZPDHXFCSA-N 1 2 304.365 1.139 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001190685125 745295791 /nfs/dbraw/zinc/29/57/91/745295791.db2.gz SCEIQUONYHGNHG-HZPDHXFCSA-N 1 2 304.365 1.139 20 30 DDEDLO CCC(CC)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191237137 745462562 /nfs/dbraw/zinc/46/25/62/745462562.db2.gz JHAKDUQKUNRGEZ-HUUCEWRRSA-N 1 2 321.446 1.717 20 30 DDEDLO CCC(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191237137 745462566 /nfs/dbraw/zinc/46/25/66/745462566.db2.gz JHAKDUQKUNRGEZ-HUUCEWRRSA-N 1 2 321.446 1.717 20 30 DDEDLO Cc1[nH+]c[nH]c1CSCCNC(=O)c1ccnc(C#N)c1 ZINC001191557446 745548434 /nfs/dbraw/zinc/54/84/34/745548434.db2.gz AZQKIPVCFFAFQG-UHFFFAOYSA-N 1 2 301.375 1.648 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(OC)c(F)c2)C[C@H]1O ZINC001191622689 745557131 /nfs/dbraw/zinc/55/71/31/745557131.db2.gz WCLMBOHZSFDNHI-HUUCEWRRSA-N 1 2 322.380 1.462 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(OC)c(F)c2)C[C@H]1O ZINC001191622689 745557136 /nfs/dbraw/zinc/55/71/36/745557136.db2.gz WCLMBOHZSFDNHI-HUUCEWRRSA-N 1 2 322.380 1.462 20 30 DDEDLO Cc1nsc(NCC[C@@H](C)NC(=O)Cn2cc[nH+]c2)c1C#N ZINC001106362728 745630794 /nfs/dbraw/zinc/63/07/94/745630794.db2.gz RJDPYSCTOZVNKE-SNVBAGLBSA-N 1 2 318.406 1.527 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)on1 ZINC001007134778 752032360 /nfs/dbraw/zinc/03/23/60/752032360.db2.gz QZBQGBOFEVAMBA-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)on1 ZINC001007134778 752032365 /nfs/dbraw/zinc/03/23/65/752032365.db2.gz QZBQGBOFEVAMBA-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC)OC2CCCCC2)C1 ZINC001192916293 745927682 /nfs/dbraw/zinc/92/76/82/745927682.db2.gz KLJLPFKNBNENPH-ZACQAIPSSA-N 1 2 322.449 1.299 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC)OC2CCCCC2)C1 ZINC001192916293 745927684 /nfs/dbraw/zinc/92/76/84/745927684.db2.gz KLJLPFKNBNENPH-ZACQAIPSSA-N 1 2 322.449 1.299 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1O ZINC001193241323 746027921 /nfs/dbraw/zinc/02/79/21/746027921.db2.gz RLPICYBBVICEOM-HUUCEWRRSA-N 1 2 319.405 1.413 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1O ZINC001193241323 746027923 /nfs/dbraw/zinc/02/79/23/746027923.db2.gz RLPICYBBVICEOM-HUUCEWRRSA-N 1 2 319.405 1.413 20 30 DDEDLO CCN(CCNc1ccc(C#N)c(C)n1)C(=O)Cn1cc[nH+]c1 ZINC001106789936 746082830 /nfs/dbraw/zinc/08/28/30/746082830.db2.gz JLFJVPRSIVRWDR-UHFFFAOYSA-N 1 2 312.377 1.419 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@H]1C ZINC000993463398 746173404 /nfs/dbraw/zinc/17/34/04/746173404.db2.gz NBEWYJCVOPWSHF-GHMZBOCLSA-N 1 2 312.801 1.759 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@H]1C ZINC000993463398 746173405 /nfs/dbraw/zinc/17/34/05/746173405.db2.gz NBEWYJCVOPWSHF-GHMZBOCLSA-N 1 2 312.801 1.759 20 30 DDEDLO CCN(CCNc1cccc(F)c1C#N)C(=O)Cc1[nH]cc[nH+]1 ZINC001106829289 746272954 /nfs/dbraw/zinc/27/29/54/746272954.db2.gz LNNRHGUVDFQOGM-UHFFFAOYSA-N 1 2 315.352 1.924 20 30 DDEDLO C=CCOC(=O)N1CCC2(CC1)C(=O)N(CC(C)C)CC[N@@H+]2C ZINC001194299822 746329290 /nfs/dbraw/zinc/32/92/90/746329290.db2.gz NUKBMYIFKXLMMU-UHFFFAOYSA-N 1 2 323.437 1.574 20 30 DDEDLO C=CCOC(=O)N1CCC2(CC1)C(=O)N(CC(C)C)CC[N@H+]2C ZINC001194299822 746329295 /nfs/dbraw/zinc/32/92/95/746329295.db2.gz NUKBMYIFKXLMMU-UHFFFAOYSA-N 1 2 323.437 1.574 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnon2)CCC[N@@H+]1CC#Cc1ccccc1 ZINC000994037349 746429835 /nfs/dbraw/zinc/42/98/35/746429835.db2.gz JCDIRDMGPRXLSW-ZBFHGGJFSA-N 1 2 324.384 1.704 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnon2)CCC[N@H+]1CC#Cc1ccccc1 ZINC000994037349 746429838 /nfs/dbraw/zinc/42/98/38/746429838.db2.gz JCDIRDMGPRXLSW-ZBFHGGJFSA-N 1 2 324.384 1.704 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C\c2ccco2)CC1 ZINC001194674397 746433996 /nfs/dbraw/zinc/43/39/96/746433996.db2.gz UQNGQCTZUUENAA-SREVYHEPSA-N 1 2 317.389 1.129 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C\c2ccco2)CC1 ZINC001194674397 746434000 /nfs/dbraw/zinc/43/40/00/746434000.db2.gz UQNGQCTZUUENAA-SREVYHEPSA-N 1 2 317.389 1.129 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)C(F)=C2CCCC2)CC1 ZINC001195472576 746618599 /nfs/dbraw/zinc/61/85/99/746618599.db2.gz HFGWABPXLMHZNB-UHFFFAOYSA-N 1 2 308.397 1.968 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)C(F)=C2CCCC2)CC1 ZINC001195472576 746618602 /nfs/dbraw/zinc/61/86/02/746618602.db2.gz HFGWABPXLMHZNB-UHFFFAOYSA-N 1 2 308.397 1.968 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2oc(CC)nc2C)C[C@H]1O ZINC001195732584 746679488 /nfs/dbraw/zinc/67/94/88/746679488.db2.gz BYWXDDWBOYUVAY-ZIAGYGMSSA-N 1 2 321.421 1.563 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(CC)nc2C)C[C@H]1O ZINC001195732584 746679491 /nfs/dbraw/zinc/67/94/91/746679491.db2.gz BYWXDDWBOYUVAY-ZIAGYGMSSA-N 1 2 321.421 1.563 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@@H+]([C@H]2CCNC2=O)CC1 ZINC001195958071 746749605 /nfs/dbraw/zinc/74/96/05/746749605.db2.gz NQRWZTWQSAPRSE-HNNXBMFYSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@H+]([C@H]2CCNC2=O)CC1 ZINC001195958071 746749610 /nfs/dbraw/zinc/74/96/10/746749610.db2.gz NQRWZTWQSAPRSE-HNNXBMFYSA-N 1 2 305.422 1.178 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)C2CC2)CC1 ZINC001196017975 746758060 /nfs/dbraw/zinc/75/80/60/746758060.db2.gz FOJZSWRQUXLCPA-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)C2CC2)CC1 ZINC001196017975 746758062 /nfs/dbraw/zinc/75/80/62/746758062.db2.gz FOJZSWRQUXLCPA-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1O ZINC001196469018 746868678 /nfs/dbraw/zinc/86/86/78/746868678.db2.gz OOPYSOISKZBVGJ-HUUCEWRRSA-N 1 2 303.406 1.345 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1O ZINC001196469018 746868683 /nfs/dbraw/zinc/86/86/83/746868683.db2.gz OOPYSOISKZBVGJ-HUUCEWRRSA-N 1 2 303.406 1.345 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@H]1O ZINC001196474447 746870522 /nfs/dbraw/zinc/87/05/22/746870522.db2.gz JFQINWRYBQAKPV-NWANDNLSSA-N 1 2 318.421 1.301 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@H]1O ZINC001196474447 746870529 /nfs/dbraw/zinc/87/05/29/746870529.db2.gz JFQINWRYBQAKPV-NWANDNLSSA-N 1 2 318.421 1.301 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(C)CCCC2)CC1 ZINC001196681004 746920243 /nfs/dbraw/zinc/92/02/43/746920243.db2.gz ODUGAGPSQRJPID-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(C)CCCC2)CC1 ZINC001196681004 746920250 /nfs/dbraw/zinc/92/02/50/746920250.db2.gz ODUGAGPSQRJPID-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc(N3CCCC3)n2)CC1 ZINC001196669990 746929810 /nfs/dbraw/zinc/92/98/10/746929810.db2.gz OJCMUBCBSXEOKV-UHFFFAOYSA-N 1 2 300.406 1.626 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)CC)CC1 ZINC001196733115 746952422 /nfs/dbraw/zinc/95/24/22/746952422.db2.gz XZYPXLXRDSJENJ-CQSZACIVSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N[C@H](C)CC)CC1 ZINC001196733115 746952426 /nfs/dbraw/zinc/95/24/26/746952426.db2.gz XZYPXLXRDSJENJ-CQSZACIVSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(C)CCC2)CC1 ZINC001196774417 746969904 /nfs/dbraw/zinc/96/99/04/746969904.db2.gz FFNUZBKKDZFVHT-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(C)CCC2)CC1 ZINC001196774417 746969910 /nfs/dbraw/zinc/96/99/10/746969910.db2.gz FFNUZBKKDZFVHT-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111541543 746986768 /nfs/dbraw/zinc/98/67/68/746986768.db2.gz VKYYIYKZGJHNIS-CYBMUJFWSA-N 1 2 306.410 1.620 20 30 DDEDLO Cc1c(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)cnn1C ZINC001031550367 747007589 /nfs/dbraw/zinc/00/75/89/747007589.db2.gz WASLKVPDOQTPGY-UHFFFAOYSA-N 1 2 322.412 1.442 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC001197061687 747050237 /nfs/dbraw/zinc/05/02/37/747050237.db2.gz FMTYRTIODQWLJF-KRWDZBQOSA-N 1 2 309.454 1.648 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC001197061687 747050241 /nfs/dbraw/zinc/05/02/41/747050241.db2.gz FMTYRTIODQWLJF-KRWDZBQOSA-N 1 2 309.454 1.648 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001197101765 747067594 /nfs/dbraw/zinc/06/75/94/747067594.db2.gz KRLXRQCADPXLGJ-HNNXBMFYSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001197101765 747067598 /nfs/dbraw/zinc/06/75/98/747067598.db2.gz KRLXRQCADPXLGJ-HNNXBMFYSA-N 1 2 317.433 1.015 20 30 DDEDLO N#Cc1cccc(NC(=S)N2CC[C@@H]([NH+]3CCOCC3)C2)c1 ZINC001197695749 747240387 /nfs/dbraw/zinc/24/03/87/747240387.db2.gz DZQILZMFXORRNG-OAHLLOKOSA-N 1 2 316.430 1.662 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ccnn2CC(F)F)C1 ZINC001031671291 747358662 /nfs/dbraw/zinc/35/86/62/747358662.db2.gz RUZZBYPBMKJLRQ-UHFFFAOYSA-N 1 2 318.755 1.562 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H](CC(C)C)C(N)=O)CC1 ZINC001198410716 747483708 /nfs/dbraw/zinc/48/37/08/747483708.db2.gz JXVMBWNFMHIXHH-ZDUSSCGKSA-N 1 2 315.845 1.421 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H](CC(C)C)C(N)=O)CC1 ZINC001198410716 747483711 /nfs/dbraw/zinc/48/37/11/747483711.db2.gz JXVMBWNFMHIXHH-ZDUSSCGKSA-N 1 2 315.845 1.421 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001032937546 747699032 /nfs/dbraw/zinc/69/90/32/747699032.db2.gz VVKYZTGIJIELPI-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001032937546 747699038 /nfs/dbraw/zinc/69/90/38/747699038.db2.gz VVKYZTGIJIELPI-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)n[nH]1 ZINC001032937546 747699042 /nfs/dbraw/zinc/69/90/42/747699042.db2.gz VVKYZTGIJIELPI-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n[nH]1 ZINC001032937546 747699049 /nfs/dbraw/zinc/69/90/49/747699049.db2.gz VVKYZTGIJIELPI-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](N(C)C(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001033018818 747927529 /nfs/dbraw/zinc/92/75/29/747927529.db2.gz DAOJAFRLLOIMKC-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](N(C)C(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001033018818 747927535 /nfs/dbraw/zinc/92/75/35/747927535.db2.gz DAOJAFRLLOIMKC-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO N#Cc1cnc(C(=O)N2CC[N@@H+]3CCc4ccccc4[C@H]3C2)cn1 ZINC001199666314 747942798 /nfs/dbraw/zinc/94/27/98/747942798.db2.gz ROVOLKHLWLEQTR-QGZVFWFLSA-N 1 2 319.368 1.403 20 30 DDEDLO N#Cc1cnc(C(=O)N2CC[N@H+]3CCc4ccccc4[C@H]3C2)cn1 ZINC001199666314 747942801 /nfs/dbraw/zinc/94/28/01/747942801.db2.gz ROVOLKHLWLEQTR-QGZVFWFLSA-N 1 2 319.368 1.403 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCC[C@H](OC)C2)C1 ZINC001031936345 748250474 /nfs/dbraw/zinc/25/04/74/748250474.db2.gz LOIRPPFYNPZFFI-KBPBESRZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(C)C[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200555570 748277847 /nfs/dbraw/zinc/27/78/47/748277847.db2.gz RZPSZLNFUYGUNK-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[NH2+]C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCCO1 ZINC001200555570 748277850 /nfs/dbraw/zinc/27/78/50/748277850.db2.gz RZPSZLNFUYGUNK-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO CCN(CCNc1snc(C)c1C#N)C(=O)Cc1c[nH+]c[nH]1 ZINC001106961905 748343540 /nfs/dbraw/zinc/34/35/40/748343540.db2.gz SIGGBLZSYRSHLZ-UHFFFAOYSA-N 1 2 318.406 1.549 20 30 DDEDLO Cc1[nH]nc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)c1C ZINC001004386360 748394555 /nfs/dbraw/zinc/39/45/55/748394555.db2.gz JZMSFRKIWCFJQC-OAHLLOKOSA-N 1 2 315.421 1.867 20 30 DDEDLO Cc1[nH]nc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)c1C ZINC001004386360 748394562 /nfs/dbraw/zinc/39/45/62/748394562.db2.gz JZMSFRKIWCFJQC-OAHLLOKOSA-N 1 2 315.421 1.867 20 30 DDEDLO Cc1cc(CC(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)no1 ZINC001004400446 748401051 /nfs/dbraw/zinc/40/10/51/748401051.db2.gz ZTMXOIMOTXNXOA-INIZCTEOSA-N 1 2 316.405 1.752 20 30 DDEDLO Cc1cc(CC(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)no1 ZINC001004400446 748401054 /nfs/dbraw/zinc/40/10/54/748401054.db2.gz ZTMXOIMOTXNXOA-INIZCTEOSA-N 1 2 316.405 1.752 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(C)C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001033143277 748533056 /nfs/dbraw/zinc/53/30/56/748533056.db2.gz ZFHLPCIJPLBJGJ-ZDUSSCGKSA-N 1 2 311.389 1.804 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(C)C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001033143277 748533060 /nfs/dbraw/zinc/53/30/60/748533060.db2.gz ZFHLPCIJPLBJGJ-ZDUSSCGKSA-N 1 2 311.389 1.804 20 30 DDEDLO C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001007709433 752287462 /nfs/dbraw/zinc/28/74/62/752287462.db2.gz YZYWASJKJSTCOI-KGLIPLIRSA-N 1 2 322.840 1.779 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3conc3C)C2)cn1 ZINC001032137114 748729259 /nfs/dbraw/zinc/72/92/59/748729259.db2.gz LCXIUMIQMFJDRS-UHFFFAOYSA-N 1 2 310.357 1.221 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001032199743 748822473 /nfs/dbraw/zinc/82/24/73/748822473.db2.gz ZEUZCPOPCRUTDE-GFCCVEGCSA-N 1 2 308.813 1.315 20 30 DDEDLO C=CCCC(=O)N[C@]1(C)CCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001110712868 748929840 /nfs/dbraw/zinc/92/98/40/748929840.db2.gz QVEVRQJDGCNMNU-MRXNPFEDSA-N 1 2 314.393 1.415 20 30 DDEDLO C[C@@]1(NC(=O)Cc2c[nH]c[nH+]2)CCN(c2ncccc2C#N)C1 ZINC001110818106 749040466 /nfs/dbraw/zinc/04/04/66/749040466.db2.gz SPLDWBMCUGYTPS-MRXNPFEDSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001033286349 749129629 /nfs/dbraw/zinc/12/96/29/749129629.db2.gz FPXPLUIFOHRGCI-MGPQQGTHSA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001033286349 749129632 /nfs/dbraw/zinc/12/96/32/749129632.db2.gz FPXPLUIFOHRGCI-MGPQQGTHSA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001033286348 749129844 /nfs/dbraw/zinc/12/98/44/749129844.db2.gz FPXPLUIFOHRGCI-BFHYXJOUSA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001033286348 749129847 /nfs/dbraw/zinc/12/98/47/749129847.db2.gz FPXPLUIFOHRGCI-BFHYXJOUSA-N 1 2 322.840 1.809 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114429871 749201975 /nfs/dbraw/zinc/20/19/75/749201975.db2.gz HDLVPBKIMLEDRE-CYBMUJFWSA-N 1 2 304.394 1.319 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(F)CCCCC2)C1 ZINC001108324221 761934674 /nfs/dbraw/zinc/93/46/74/761934674.db2.gz SSWQJZXCNOFMSZ-INIZCTEOSA-N 1 2 310.413 1.889 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(F)CCCCC2)C1 ZINC001108324221 761934676 /nfs/dbraw/zinc/93/46/76/761934676.db2.gz SSWQJZXCNOFMSZ-INIZCTEOSA-N 1 2 310.413 1.889 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCO[C@@H](CNc3cc[nH+]c(C)n3)C2)C1 ZINC001066673276 749619249 /nfs/dbraw/zinc/61/92/49/749619249.db2.gz QKNHSHFGTSUKSH-AWEZNQCLSA-N 1 2 316.405 1.781 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C\CNC(=O)Cn2cc[nH+]c2)n1 ZINC001107240124 749682296 /nfs/dbraw/zinc/68/22/96/749682296.db2.gz HHJXMXOJSSXZJW-IHWYPQMZSA-N 1 2 310.361 1.243 20 30 DDEDLO N#Cc1ccc(C(=O)NCC2=CC[N@H+](Cc3ccon3)CC2)[nH]1 ZINC001000593749 761975846 /nfs/dbraw/zinc/97/58/46/761975846.db2.gz AEWHNFQXNUYOEU-UHFFFAOYSA-N 1 2 311.345 1.436 20 30 DDEDLO N#Cc1ccc(C(=O)NCC2=CC[N@@H+](Cc3ccon3)CC2)[nH]1 ZINC001000593749 761975851 /nfs/dbraw/zinc/97/58/51/761975851.db2.gz AEWHNFQXNUYOEU-UHFFFAOYSA-N 1 2 311.345 1.436 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001005308357 749786612 /nfs/dbraw/zinc/78/66/12/749786612.db2.gz SKSZHAPBXZXALE-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO N#Cc1ccc(N2CCC(NC(=O)CCc3[nH]cc[nH+]3)CC2)nc1 ZINC001095412398 749794169 /nfs/dbraw/zinc/79/41/69/749794169.db2.gz TZTZRQULLSXMJW-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ncnn1C)C2 ZINC001111648803 749816207 /nfs/dbraw/zinc/81/62/07/749816207.db2.gz PHFBUWIYCIKPMJ-BBRMVZONSA-N 1 2 303.410 1.252 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ncnn1C)C2 ZINC001111648803 749816212 /nfs/dbraw/zinc/81/62/12/749816212.db2.gz PHFBUWIYCIKPMJ-BBRMVZONSA-N 1 2 303.410 1.252 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2oc(C)cc2C)[C@H](O)C1 ZINC001090144609 749901443 /nfs/dbraw/zinc/90/14/43/749901443.db2.gz INDJMJFNMHNMFF-CHWSQXEVSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2oc(C)cc2C)[C@H](O)C1 ZINC001090144609 749901450 /nfs/dbraw/zinc/90/14/50/749901450.db2.gz INDJMJFNMHNMFF-CHWSQXEVSA-N 1 2 312.797 1.814 20 30 DDEDLO N#Cc1cccc(C[NH2+]CCNC(=O)C(F)C(F)(F)F)c1 ZINC001127774334 749952922 /nfs/dbraw/zinc/95/29/22/749952922.db2.gz YFEQMJMVMNEORP-NSHDSACASA-N 1 2 303.259 1.664 20 30 DDEDLO N#Cc1cccc(C[NH2+]CCNC(=O)[C@H](F)C(F)(F)F)c1 ZINC001127774334 749952927 /nfs/dbraw/zinc/95/29/27/749952927.db2.gz YFEQMJMVMNEORP-NSHDSACASA-N 1 2 303.259 1.664 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)C#N ZINC001032318330 749961363 /nfs/dbraw/zinc/96/13/63/749961363.db2.gz MJVBKFGGLQCUSG-WXHSDQCUSA-N 1 2 302.378 1.490 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)C#N ZINC001032318330 749961366 /nfs/dbraw/zinc/96/13/66/749961366.db2.gz MJVBKFGGLQCUSG-WXHSDQCUSA-N 1 2 302.378 1.490 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn[nH]c(=O)c1)C2 ZINC001095581344 750055351 /nfs/dbraw/zinc/05/53/51/750055351.db2.gz KFOIKPNDHFBKSD-WOPDTQHZSA-N 1 2 308.769 1.270 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn[nH]c(=O)c1)C2 ZINC001095581344 750055360 /nfs/dbraw/zinc/05/53/60/750055360.db2.gz KFOIKPNDHFBKSD-WOPDTQHZSA-N 1 2 308.769 1.270 20 30 DDEDLO CC#CC[NH+]1CC[C@H](N(CC)C(=O)[C@H]2C[N@@H+](C(C)C)CCO2)C1 ZINC001033932927 750154924 /nfs/dbraw/zinc/15/49/24/750154924.db2.gz UQXDUTPPFVUBKV-DLBZAZTESA-N 1 2 321.465 1.042 20 30 DDEDLO CC#CC[NH+]1CC[C@H](N(CC)C(=O)[C@H]2C[N@H+](C(C)C)CCO2)C1 ZINC001033932927 750154930 /nfs/dbraw/zinc/15/49/30/750154930.db2.gz UQXDUTPPFVUBKV-DLBZAZTESA-N 1 2 321.465 1.042 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CN(C(C)C)CCO2)C1 ZINC001033932927 750154937 /nfs/dbraw/zinc/15/49/37/750154937.db2.gz UQXDUTPPFVUBKV-DLBZAZTESA-N 1 2 321.465 1.042 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001110964603 750258855 /nfs/dbraw/zinc/25/88/55/750258855.db2.gz SHUHGQCUGALTNT-KFWWJZLASA-N 1 2 307.438 1.590 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001110964603 750258858 /nfs/dbraw/zinc/25/88/58/750258858.db2.gz SHUHGQCUGALTNT-KFWWJZLASA-N 1 2 307.438 1.590 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@](C)(C=C)CC)C2 ZINC001110989049 750284575 /nfs/dbraw/zinc/28/45/75/750284575.db2.gz FJDLVZJMADXNJY-ZXFNITATSA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@](C)(C=C)CC)C2 ZINC001110989049 750284580 /nfs/dbraw/zinc/28/45/80/750284580.db2.gz FJDLVZJMADXNJY-ZXFNITATSA-N 1 2 319.449 1.612 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)[C@H]3CC34CC4)C2)s1 ZINC001077732587 750456317 /nfs/dbraw/zinc/45/63/17/750456317.db2.gz MAHCGYBZHLYMAN-MGPQQGTHSA-N 1 2 317.414 1.081 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)[C@H]3CC34CC4)C2)s1 ZINC001077732587 750456320 /nfs/dbraw/zinc/45/63/20/750456320.db2.gz MAHCGYBZHLYMAN-MGPQQGTHSA-N 1 2 317.414 1.081 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2CC(F)(F)C2)C1 ZINC001107859410 750463236 /nfs/dbraw/zinc/46/32/36/750463236.db2.gz VJAIKOGKSSUBFC-CQSZACIVSA-N 1 2 300.349 1.262 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2CC(F)(F)C2)C1 ZINC001107859410 750463240 /nfs/dbraw/zinc/46/32/40/750463240.db2.gz VJAIKOGKSSUBFC-CQSZACIVSA-N 1 2 300.349 1.262 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)C(F)(F)F)C1 ZINC001108131983 750621354 /nfs/dbraw/zinc/62/13/54/750621354.db2.gz GOKHDYAKFZDWLY-DGCLKSJQSA-N 1 2 306.328 1.415 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)C(F)(F)F)C1 ZINC001108131983 750621358 /nfs/dbraw/zinc/62/13/58/750621358.db2.gz GOKHDYAKFZDWLY-DGCLKSJQSA-N 1 2 306.328 1.415 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Oc1ccccc1F ZINC001032417613 750715133 /nfs/dbraw/zinc/71/51/33/750715133.db2.gz JGHZKMAYOWHCQE-ILXRZTDVSA-N 1 2 316.376 1.901 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Oc1ccccc1F ZINC001032417613 750715135 /nfs/dbraw/zinc/71/51/35/750715135.db2.gz JGHZKMAYOWHCQE-ILXRZTDVSA-N 1 2 316.376 1.901 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cn2cccn2)o1 ZINC001032418285 750723211 /nfs/dbraw/zinc/72/32/11/750723211.db2.gz MXEAGGBKQYBIEB-KBPBESRZSA-N 1 2 312.373 1.609 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cn2cccn2)o1 ZINC001032418285 750723215 /nfs/dbraw/zinc/72/32/15/750723215.db2.gz MXEAGGBKQYBIEB-KBPBESRZSA-N 1 2 312.373 1.609 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2C2CCC2)C1 ZINC001107949720 750814185 /nfs/dbraw/zinc/81/41/85/750814185.db2.gz RKEOALCEZMYOJJ-KRWDZBQOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2C2CCC2)C1 ZINC001107949720 750814194 /nfs/dbraw/zinc/81/41/94/750814194.db2.gz RKEOALCEZMYOJJ-KRWDZBQOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cn(C3CCC3)nn2)C1 ZINC001107948936 750817790 /nfs/dbraw/zinc/81/77/90/750817790.db2.gz CBPRZXQLRGNKPK-INIZCTEOSA-N 1 2 319.409 1.010 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cn(C3CCC3)nn2)C1 ZINC001107948936 750817793 /nfs/dbraw/zinc/81/77/93/750817793.db2.gz CBPRZXQLRGNKPK-INIZCTEOSA-N 1 2 319.409 1.010 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nonc1C)C2 ZINC001111658924 750864986 /nfs/dbraw/zinc/86/49/86/750864986.db2.gz VADFSUHKZWMHQN-BBRMVZONSA-N 1 2 320.393 1.051 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nonc1C)C2 ZINC001111658924 750864995 /nfs/dbraw/zinc/86/49/95/750864995.db2.gz VADFSUHKZWMHQN-BBRMVZONSA-N 1 2 320.393 1.051 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114685988 750986731 /nfs/dbraw/zinc/98/67/31/750986731.db2.gz DIPXYPGOVKFVBD-FTYKPCCVSA-N 1 2 304.394 1.698 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114685988 750986732 /nfs/dbraw/zinc/98/67/32/750986732.db2.gz DIPXYPGOVKFVBD-FTYKPCCVSA-N 1 2 304.394 1.698 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114686809 750988976 /nfs/dbraw/zinc/98/89/76/750988976.db2.gz RYJIVKXSHOTTQY-WUHRBBMRSA-N 1 2 303.410 1.135 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114686809 750988979 /nfs/dbraw/zinc/98/89/79/750988979.db2.gz RYJIVKXSHOTTQY-WUHRBBMRSA-N 1 2 303.410 1.135 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001032483803 750992132 /nfs/dbraw/zinc/99/21/32/750992132.db2.gz WIFPDFOIRAVLCP-RDBSUJKOSA-N 1 2 320.824 1.483 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nnc(C)o4)C[C@H]32)CCC1 ZINC001114785023 751077531 /nfs/dbraw/zinc/07/75/31/751077531.db2.gz GDKRMQLQCLRICL-NHAGDIPZSA-N 1 2 316.405 1.671 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nnc(C)o4)C[C@H]32)CCC1 ZINC001114785023 751077538 /nfs/dbraw/zinc/07/75/38/751077538.db2.gz GDKRMQLQCLRICL-NHAGDIPZSA-N 1 2 316.405 1.671 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1C[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001114823049 751140500 /nfs/dbraw/zinc/14/05/00/751140500.db2.gz UAWFIBAFENSRTR-NNUKFRKNSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1C[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001114823049 751140506 /nfs/dbraw/zinc/14/05/06/751140506.db2.gz UAWFIBAFENSRTR-NNUKFRKNSA-N 1 2 324.388 1.038 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(F)ccc1OC ZINC001032551386 751277319 /nfs/dbraw/zinc/27/73/19/751277319.db2.gz SDFVJCKMKDJDOX-KBPBESRZSA-N 1 2 302.349 1.756 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(F)ccc1OC ZINC001032551386 751277323 /nfs/dbraw/zinc/27/73/23/751277323.db2.gz SDFVJCKMKDJDOX-KBPBESRZSA-N 1 2 302.349 1.756 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)[nH]nc2Cl)C1 ZINC001107988055 752417025 /nfs/dbraw/zinc/41/70/25/752417025.db2.gz WDLYYVRVWWSKFW-AWEZNQCLSA-N 1 2 312.801 1.378 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)[nH]nc2Cl)C1 ZINC001107988055 752417029 /nfs/dbraw/zinc/41/70/29/752417029.db2.gz WDLYYVRVWWSKFW-AWEZNQCLSA-N 1 2 312.801 1.378 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2ccccc2O1 ZINC001032688962 752706504 /nfs/dbraw/zinc/70/65/04/752706504.db2.gz MGIIAMFCTIAFNR-BQFCYCMXSA-N 1 2 310.397 1.689 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2ccccc2O1 ZINC001032688962 752706507 /nfs/dbraw/zinc/70/65/07/752706507.db2.gz MGIIAMFCTIAFNR-BQFCYCMXSA-N 1 2 310.397 1.689 20 30 DDEDLO C[C@@H](CNc1snc(Cl)c1C#N)NC(=O)Cn1cc[nH+]c1 ZINC001108430741 762151115 /nfs/dbraw/zinc/15/11/15/762151115.db2.gz KELMCTKQVRDFHJ-QMMMGPOBSA-N 1 2 324.797 1.481 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CC(C)C)c1 ZINC001032702346 752757487 /nfs/dbraw/zinc/75/74/87/752757487.db2.gz PUTRACBQAHAYCA-HOTGVXAUSA-N 1 2 300.406 1.461 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CC(C)C)c1 ZINC001032702346 752757494 /nfs/dbraw/zinc/75/74/94/752757494.db2.gz PUTRACBQAHAYCA-HOTGVXAUSA-N 1 2 300.406 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001009189015 753103565 /nfs/dbraw/zinc/10/35/65/753103565.db2.gz NZAUIQLSCVTOEJ-JSGCOSHPSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001009189015 753103570 /nfs/dbraw/zinc/10/35/70/753103570.db2.gz NZAUIQLSCVTOEJ-JSGCOSHPSA-N 1 2 322.840 1.848 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1CCC[N@@H+](CCF)C1 ZINC001009260831 753131075 /nfs/dbraw/zinc/13/10/75/753131075.db2.gz OXQNDASJZONHRC-CYBMUJFWSA-N 1 2 305.353 1.257 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1CCC[N@H+](CCF)C1 ZINC001009260831 753131080 /nfs/dbraw/zinc/13/10/80/753131080.db2.gz OXQNDASJZONHRC-CYBMUJFWSA-N 1 2 305.353 1.257 20 30 DDEDLO Cc1cc(N2C[C@@H]3C[C@H]2CN3C(=O)Cc2c[nH+]c[nH]2)c(C#N)cn1 ZINC001062588340 753139655 /nfs/dbraw/zinc/13/96/55/753139655.db2.gz DPGMNRGYCGJIEC-GJZGRUSLSA-N 1 2 322.372 1.017 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ccc(C#N)cn1 ZINC001039767409 762208387 /nfs/dbraw/zinc/20/83/87/762208387.db2.gz KYUSMZSCUYQKTJ-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1OCCc2ccccc21 ZINC001032740650 753422556 /nfs/dbraw/zinc/42/25/56/753422556.db2.gz AQBFJRVJDCYWLQ-BQFCYCMXSA-N 1 2 310.397 1.609 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1OCCc2ccccc21 ZINC001032740650 753422560 /nfs/dbraw/zinc/42/25/60/753422560.db2.gz AQBFJRVJDCYWLQ-BQFCYCMXSA-N 1 2 310.397 1.609 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)C2CCOCC2)C1 ZINC001009879218 753424199 /nfs/dbraw/zinc/42/41/99/753424199.db2.gz ABBLNPFYRUMAGJ-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)C2CCOCC2)C1 ZINC001009879218 753424205 /nfs/dbraw/zinc/42/42/05/753424205.db2.gz ABBLNPFYRUMAGJ-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cccc(C)c2)C1 ZINC001108177328 753503783 /nfs/dbraw/zinc/50/37/83/753503783.db2.gz USPRZUZCSYMIQC-SFHVURJKSA-N 1 2 302.418 1.931 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cccc(C)c2)C1 ZINC001108177328 753503787 /nfs/dbraw/zinc/50/37/87/753503787.db2.gz USPRZUZCSYMIQC-SFHVURJKSA-N 1 2 302.418 1.931 20 30 DDEDLO N#Cc1ccc(NCC2(CCNC(=O)Cc3[nH]cc[nH+]3)CC2)cn1 ZINC001089957187 753540457 /nfs/dbraw/zinc/54/04/57/753540457.db2.gz YDRAJPVPTXOEQK-UHFFFAOYSA-N 1 2 324.388 1.617 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001078037557 753669487 /nfs/dbraw/zinc/66/94/87/753669487.db2.gz ZOQHMSDAHZINFG-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H](NC(=O)c3occc3C)C2)C1=O ZINC001010222328 753692604 /nfs/dbraw/zinc/69/26/04/753692604.db2.gz SKBYVVIDGYOHQQ-ZIAGYGMSSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H](NC(=O)c3occc3C)C2)C1=O ZINC001010222328 753692606 /nfs/dbraw/zinc/69/26/06/753692606.db2.gz SKBYVVIDGYOHQQ-ZIAGYGMSSA-N 1 2 317.389 1.179 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001078291955 753939398 /nfs/dbraw/zinc/93/93/98/753939398.db2.gz NKOTZUUZTIYMLC-IAGOWNOFSA-N 1 2 324.380 1.745 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001078291955 753939404 /nfs/dbraw/zinc/93/94/04/753939404.db2.gz NKOTZUUZTIYMLC-IAGOWNOFSA-N 1 2 324.380 1.745 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3ccns3)C2)c1 ZINC001010467537 753947177 /nfs/dbraw/zinc/94/71/77/753947177.db2.gz DMFASHKLONABAJ-NSHDSACASA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3ccns3)C2)c1 ZINC001010467537 753947184 /nfs/dbraw/zinc/94/71/84/753947184.db2.gz DMFASHKLONABAJ-NSHDSACASA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3ncccc3O)C2)cc1 ZINC001010729474 754127868 /nfs/dbraw/zinc/12/78/68/754127868.db2.gz BITUVUJLBKAJSL-HNNXBMFYSA-N 1 2 322.368 1.663 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3ncccc3O)C2)cc1 ZINC001010729474 754127871 /nfs/dbraw/zinc/12/78/71/754127871.db2.gz BITUVUJLBKAJSL-HNNXBMFYSA-N 1 2 322.368 1.663 20 30 DDEDLO O=C(Cn1cc[nH+]c1)N1C[C@@H]2C[C@H]1CN2CC#Cc1ccccc1 ZINC001032815233 754326148 /nfs/dbraw/zinc/32/61/48/754326148.db2.gz LFYZNEUCMFEWMQ-ROUUACIJSA-N 1 2 320.396 1.220 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@H](NC(=O)c2[nH]ncc2F)C12CCC2 ZINC001078718731 754339733 /nfs/dbraw/zinc/33/97/33/754339733.db2.gz UNQQJZQOVFPOIZ-QWRGUYRKSA-N 1 2 312.776 1.932 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN2C(=O)CCn2cc[nH+]c2)cn1 ZINC001064984125 754991100 /nfs/dbraw/zinc/99/11/00/754991100.db2.gz QUARHPPVLZOZNG-INIZCTEOSA-N 1 2 324.388 1.643 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cc(OC)n(C)n2)CC1 ZINC001000933156 762376551 /nfs/dbraw/zinc/37/65/51/762376551.db2.gz WPFWFYTVDFAAFC-UHFFFAOYSA-N 1 2 324.812 1.543 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cc(OC)n(C)n2)CC1 ZINC001000933156 762376556 /nfs/dbraw/zinc/37/65/56/762376556.db2.gz WPFWFYTVDFAAFC-UHFFFAOYSA-N 1 2 324.812 1.543 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@@H](C)N(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001013048964 755308691 /nfs/dbraw/zinc/30/86/91/755308691.db2.gz LEPMAALPJQAWTM-KGLIPLIRSA-N 1 2 316.405 1.345 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)no1 ZINC001079589197 755463038 /nfs/dbraw/zinc/46/30/38/755463038.db2.gz XBNOQRVZLFLLSJ-MEBBXXQBSA-N 1 2 313.361 1.433 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)no1 ZINC001079589197 755463042 /nfs/dbraw/zinc/46/30/42/755463042.db2.gz XBNOQRVZLFLLSJ-MEBBXXQBSA-N 1 2 313.361 1.433 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001079589903 755473972 /nfs/dbraw/zinc/47/39/72/755473972.db2.gz RFNJKJUGUXWFKV-TYNCELHUSA-N 1 2 324.388 1.488 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001079589903 755473975 /nfs/dbraw/zinc/47/39/75/755473975.db2.gz RFNJKJUGUXWFKV-TYNCELHUSA-N 1 2 324.388 1.488 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CN(CC(=C)Cl)C[C@H]1C ZINC001079878131 755617496 /nfs/dbraw/zinc/61/74/96/755617496.db2.gz HZQOAZHQJKHNAM-YUELXQCFSA-N 1 2 309.841 1.273 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CN(CC(=C)Cl)C[C@H]1C ZINC001079878131 755617498 /nfs/dbraw/zinc/61/74/98/755617498.db2.gz HZQOAZHQJKHNAM-YUELXQCFSA-N 1 2 309.841 1.273 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001014502920 755763606 /nfs/dbraw/zinc/76/36/06/755763606.db2.gz IRYNBXYJVXRETB-JZXOWHBKSA-N 1 2 311.385 1.285 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001014502920 755763607 /nfs/dbraw/zinc/76/36/07/755763607.db2.gz IRYNBXYJVXRETB-JZXOWHBKSA-N 1 2 311.385 1.285 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2coc(COC)n2)C1 ZINC001080688952 756049682 /nfs/dbraw/zinc/04/96/82/756049682.db2.gz DKWREGAYVWSEOK-MWLCHTKSSA-N 1 2 313.785 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2coc(COC)n2)C1 ZINC001080688952 756049688 /nfs/dbraw/zinc/04/96/88/756049688.db2.gz DKWREGAYVWSEOK-MWLCHTKSSA-N 1 2 313.785 1.624 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1Nc1ncccc1C#N ZINC001067112631 756325064 /nfs/dbraw/zinc/32/50/64/756325064.db2.gz GDDMIPMRKAYVPU-OCCSQVGLSA-N 1 2 310.361 1.109 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2sccc2Cl)[C@H](OC)C1 ZINC001081720325 756442405 /nfs/dbraw/zinc/44/24/05/756442405.db2.gz HTCLYFQZNUNADT-VXGBXAGGSA-N 1 2 312.822 1.854 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2sccc2Cl)[C@H](OC)C1 ZINC001081720325 756442409 /nfs/dbraw/zinc/44/24/09/756442409.db2.gz HTCLYFQZNUNADT-VXGBXAGGSA-N 1 2 312.822 1.854 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)oc3ccccc32)[C@H](OC)C1 ZINC001081723611 756442867 /nfs/dbraw/zinc/44/28/67/756442867.db2.gz OHJCJGQDESKWSU-GDBMZVCRSA-N 1 2 312.369 1.803 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(C)oc3ccccc32)[C@H](OC)C1 ZINC001081723611 756442868 /nfs/dbraw/zinc/44/28/68/756442868.db2.gz OHJCJGQDESKWSU-GDBMZVCRSA-N 1 2 312.369 1.803 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001015727212 756499665 /nfs/dbraw/zinc/49/96/65/756499665.db2.gz XLXJMVWWKXMSBE-LZWOXQAQSA-N 1 2 319.405 1.573 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001015727212 756499668 /nfs/dbraw/zinc/49/96/68/756499668.db2.gz XLXJMVWWKXMSBE-LZWOXQAQSA-N 1 2 319.405 1.573 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)cc2F)[C@H](OC)C1 ZINC001081914192 756532680 /nfs/dbraw/zinc/53/26/80/756532680.db2.gz IGACMFRCWSBKEE-ZIAGYGMSSA-N 1 2 310.756 1.541 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)cc2F)[C@H](OC)C1 ZINC001081914192 756532682 /nfs/dbraw/zinc/53/26/82/756532682.db2.gz IGACMFRCWSBKEE-ZIAGYGMSSA-N 1 2 310.756 1.541 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2F)[C@H](OC)C1 ZINC001081917038 756534166 /nfs/dbraw/zinc/53/41/66/756534166.db2.gz QLXRDTLLPKYWSB-HUUCEWRRSA-N 1 2 324.783 1.931 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2F)[C@H](OC)C1 ZINC001081917038 756534169 /nfs/dbraw/zinc/53/41/69/756534169.db2.gz QLXRDTLLPKYWSB-HUUCEWRRSA-N 1 2 324.783 1.931 20 30 DDEDLO CCn1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001015792059 756552370 /nfs/dbraw/zinc/55/23/70/756552370.db2.gz RCCIMOXURYIFIT-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001015792059 756552374 /nfs/dbraw/zinc/55/23/74/756552374.db2.gz RCCIMOXURYIFIT-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2csc(C(C)(C)C)n2)[C@H](OC)C1 ZINC001082240285 756696762 /nfs/dbraw/zinc/69/67/62/756696762.db2.gz VRDPJYNZGFZCPG-DGCLKSJQSA-N 1 2 321.446 1.503 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2csc(C(C)(C)C)n2)[C@H](OC)C1 ZINC001082240285 756696763 /nfs/dbraw/zinc/69/67/63/756696763.db2.gz VRDPJYNZGFZCPG-DGCLKSJQSA-N 1 2 321.446 1.503 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3ccccc3C)CC2)[C@H](OC)C1 ZINC001082397142 756768602 /nfs/dbraw/zinc/76/86/02/756768602.db2.gz IYZCFZIRCDTSFE-IAGOWNOFSA-N 1 2 312.413 1.475 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3ccccc3C)CC2)[C@H](OC)C1 ZINC001082397142 756768604 /nfs/dbraw/zinc/76/86/04/756768604.db2.gz IYZCFZIRCDTSFE-IAGOWNOFSA-N 1 2 312.413 1.475 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001097495435 757211998 /nfs/dbraw/zinc/21/19/98/757211998.db2.gz MVXQTAQFOQKONL-UKRRQHHQSA-N 1 2 316.405 1.616 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@]2(C1)CCCN(CC#N)C2 ZINC001040443100 762537226 /nfs/dbraw/zinc/53/72/26/762537226.db2.gz HNQWMTPSFQTXSK-PBHICJAKSA-N 1 2 315.421 1.426 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@@]2(C1)CCCN(CC#N)C2 ZINC001040443100 762537234 /nfs/dbraw/zinc/53/72/34/762537234.db2.gz HNQWMTPSFQTXSK-PBHICJAKSA-N 1 2 315.421 1.426 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cnc(CC4CC4)s3)[C@@H]2C1 ZINC001084544294 757652868 /nfs/dbraw/zinc/65/28/68/757652868.db2.gz SUQTVPNDTIWDNB-ZIAGYGMSSA-N 1 2 315.442 1.875 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc(CC4CC4)s3)[C@@H]2C1 ZINC001084544294 757652871 /nfs/dbraw/zinc/65/28/71/757652871.db2.gz SUQTVPNDTIWDNB-ZIAGYGMSSA-N 1 2 315.442 1.875 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC3CC3)C[C@H]21 ZINC001084635388 757726089 /nfs/dbraw/zinc/72/60/89/757726089.db2.gz YITJXWAGKNDBFE-SIIHOXLZSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC3CC3)C[C@H]21 ZINC001084635388 757726097 /nfs/dbraw/zinc/72/60/97/757726097.db2.gz YITJXWAGKNDBFE-SIIHOXLZSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[N@@H+](Cc4cncn4C)C[C@H]32)C1 ZINC001084645367 757731101 /nfs/dbraw/zinc/73/11/01/757731101.db2.gz QJKIXLOEFHTTJQ-GDBMZVCRSA-N 1 2 314.433 1.809 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[N@H+](Cc4cncn4C)C[C@H]32)C1 ZINC001084645367 757731111 /nfs/dbraw/zinc/73/11/11/757731111.db2.gz QJKIXLOEFHTTJQ-GDBMZVCRSA-N 1 2 314.433 1.809 20 30 DDEDLO C[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001067179603 757753295 /nfs/dbraw/zinc/75/32/95/757753295.db2.gz ZLJMFLWCCPPJHA-DZGCQCFKSA-N 1 2 324.388 1.499 20 30 DDEDLO N#CCN1CCC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CC1 ZINC001052863516 757896385 /nfs/dbraw/zinc/89/63/85/757896385.db2.gz OMLRUMSGJGWWKT-AWEZNQCLSA-N 1 2 324.388 1.375 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001052866122 757901178 /nfs/dbraw/zinc/90/11/78/757901178.db2.gz FCYBMVULHIBLOR-KGLIPLIRSA-N 1 2 304.394 1.060 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cnc(C4CC4)s3)[C@@H]2C1 ZINC001084786722 757912722 /nfs/dbraw/zinc/91/27/22/757912722.db2.gz GSVMQQINVNNBNX-CHWSQXEVSA-N 1 2 301.415 1.800 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc(C4CC4)s3)[C@@H]2C1 ZINC001084786722 757912729 /nfs/dbraw/zinc/91/27/29/757912729.db2.gz GSVMQQINVNNBNX-CHWSQXEVSA-N 1 2 301.415 1.800 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001053107523 758158514 /nfs/dbraw/zinc/15/85/14/758158514.db2.gz ARRMSXMSBUGSOX-GXTWGEPZSA-N 1 2 318.421 1.438 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)c1cnn(C)c1 ZINC001017760535 758212968 /nfs/dbraw/zinc/21/29/68/758212968.db2.gz GQRNOOXPXVTNKS-BMFZPTHFSA-N 1 2 300.406 1.222 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)c1cnn(C)c1 ZINC001017760535 758212974 /nfs/dbraw/zinc/21/29/74/758212974.db2.gz GQRNOOXPXVTNKS-BMFZPTHFSA-N 1 2 300.406 1.222 20 30 DDEDLO C=C(Cl)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cc[nH+]c1 ZINC001017780111 758231517 /nfs/dbraw/zinc/23/15/17/758231517.db2.gz JEMJSLWFNJEGLZ-OKILXGFUSA-N 1 2 308.813 1.701 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1[nH]c(C)cc1C)CCO2 ZINC001053310862 758364695 /nfs/dbraw/zinc/36/46/95/758364695.db2.gz MYOSOBYIHXLUDW-UHFFFAOYSA-N 1 2 303.406 1.734 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCOC2(CN(Cc3c[nH+]cn3C)C2)C1 ZINC001053375118 758412007 /nfs/dbraw/zinc/41/20/07/758412007.db2.gz ZIIRLMAMRNQQTC-UHFFFAOYSA-N 1 2 318.421 1.046 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn2cc(C)nc2c1 ZINC001017944405 758413866 /nfs/dbraw/zinc/41/38/66/758413866.db2.gz GFKFXGBDDCYFAV-CALCHBBNSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn2cc(C)nc2c1 ZINC001017944405 758413871 /nfs/dbraw/zinc/41/38/71/758413871.db2.gz GFKFXGBDDCYFAV-CALCHBBNSA-N 1 2 322.412 1.955 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCOC2(C[NH+](C[C@H](CC)OC)C2)C1 ZINC001053408673 758439806 /nfs/dbraw/zinc/43/98/06/758439806.db2.gz MRHDXYOZWQQDRE-RDJZCZTQSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C(C)C)no1)CCO2 ZINC001053459783 758478080 /nfs/dbraw/zinc/47/80/80/758478080.db2.gz ZVZGTQIAMINOIX-UHFFFAOYSA-N 1 2 319.405 1.901 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)CCCC)CC2=O)C1 ZINC001108541253 762641877 /nfs/dbraw/zinc/64/18/77/762641877.db2.gz RPECPAPYLLAYGN-GJZGRUSLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(C)n1C)O2 ZINC001053573895 758583392 /nfs/dbraw/zinc/58/33/92/758583392.db2.gz OMCNOGSWQKFDFG-HNNXBMFYSA-N 1 2 317.433 1.873 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1scnc1C)O2 ZINC001053577282 758588549 /nfs/dbraw/zinc/58/85/49/758588549.db2.gz FOEIPIOHXFGRSY-GFCCVEGCSA-N 1 2 307.419 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccnn1CC)O2 ZINC001053594948 758614820 /nfs/dbraw/zinc/61/48/20/758614820.db2.gz VQWPPHQSGPCFGE-CQSZACIVSA-N 1 2 318.421 1.442 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(F)c[nH]1)O2 ZINC001053629709 758647806 /nfs/dbraw/zinc/64/78/06/758647806.db2.gz UCCUAAJDXVNXNT-CYBMUJFWSA-N 1 2 307.369 1.693 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncnc1C1CC1 ZINC001018226221 758648310 /nfs/dbraw/zinc/64/83/10/758648310.db2.gz CPPGPQRQSQPTBX-GASCZTMLSA-N 1 2 310.401 1.666 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncnc1C1CC1 ZINC001018226221 758648316 /nfs/dbraw/zinc/64/83/16/758648316.db2.gz CPPGPQRQSQPTBX-GASCZTMLSA-N 1 2 310.401 1.666 20 30 DDEDLO CCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C#CC(C)(C)C)CC2)C1 ZINC001065675839 758695777 /nfs/dbraw/zinc/69/57/77/758695777.db2.gz USPXVKMAXHRWAQ-OAHLLOKOSA-N 1 2 319.449 1.191 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[NH+]([C@H]2CCN(C(C)=O)C2)CC1 ZINC001065676209 758696221 /nfs/dbraw/zinc/69/62/21/758696221.db2.gz HFKSFCVLCFNAOM-HOTGVXAUSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1sc(C)nc1C)O2 ZINC001053696380 758706137 /nfs/dbraw/zinc/70/61/37/758706137.db2.gz HQHRCHXMJIDYNR-ZDUSSCGKSA-N 1 2 321.446 1.909 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](Cc1ccccc1)OC ZINC001018295076 758707173 /nfs/dbraw/zinc/70/71/73/758707173.db2.gz YUOOFJFALHQQPK-FGTMMUONSA-N 1 2 312.413 1.553 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](Cc1ccccc1)OC ZINC001018295076 758707176 /nfs/dbraw/zinc/70/71/76/758707176.db2.gz YUOOFJFALHQQPK-FGTMMUONSA-N 1 2 312.413 1.553 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CC(C)(C)CC)CC2=O)C1 ZINC001108549516 762652057 /nfs/dbraw/zinc/65/20/57/762652057.db2.gz MCMVYFLFKPFODQ-CQSZACIVSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)/C(C)=C/C)C2)CC1 ZINC001065699121 758715315 /nfs/dbraw/zinc/71/53/15/758715315.db2.gz KRUGKGGOLNHCCV-UZPPOTPCSA-N 1 2 319.449 1.664 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(=O)C3(C)CC3)C2)CC1 ZINC001018367139 758763302 /nfs/dbraw/zinc/76/33/02/758763302.db2.gz OJQKESIXJKBPPZ-HNNXBMFYSA-N 1 2 319.449 1.355 20 30 DDEDLO C[C@@H](Nc1ncccc1C#N)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065780080 758794413 /nfs/dbraw/zinc/79/44/13/758794413.db2.gz PIYFIBWOCSIVLQ-OCCSQVGLSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(F)cn1)CO2 ZINC001053783288 758807282 /nfs/dbraw/zinc/80/72/82/758807282.db2.gz NBQIZTWYDQXFFN-ZDUSSCGKSA-N 1 2 305.353 1.370 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)nc(C)c1)CO2 ZINC001053815852 758843413 /nfs/dbraw/zinc/84/34/13/758843413.db2.gz XRVICWDLLGJSFZ-INIZCTEOSA-N 1 2 315.417 1.848 20 30 DDEDLO CC#CCN1CC2(C1)C[C@H](NC(=O)c1cc3c[nH+]ccc3[nH]1)CO2 ZINC001053847290 758877717 /nfs/dbraw/zinc/87/77/17/758877717.db2.gz CMFQRCOCVOHKRU-AWEZNQCLSA-N 1 2 324.384 1.159 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(CC)o1)CO2 ZINC001053849674 758882532 /nfs/dbraw/zinc/88/25/32/758882532.db2.gz QOCRMVMSOFMQIA-CYBMUJFWSA-N 1 2 304.390 1.991 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1coc(OCC)n1)CO2 ZINC001053858077 758891138 /nfs/dbraw/zinc/89/11/38/758891138.db2.gz XKMIXDLKKFVFED-LBPRGKRZSA-N 1 2 321.377 1.223 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1CNc1ccc(C#N)nc1 ZINC001065911600 758904780 /nfs/dbraw/zinc/90/47/80/758904780.db2.gz VUYGVUFCAUPCBQ-QWHCGFSZSA-N 1 2 324.388 1.425 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc3c[nH]nc31)CO2 ZINC001053888811 758923374 /nfs/dbraw/zinc/92/33/74/758923374.db2.gz SMMDUWNNPTUPAT-CQSZACIVSA-N 1 2 324.384 1.159 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccn(CC)c1C)CO2 ZINC001053904455 758937653 /nfs/dbraw/zinc/93/76/53/758937653.db2.gz NFRLJKPGONMPDT-OAHLLOKOSA-N 1 2 317.433 1.966 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1conc1C1CC1)CO2 ZINC001053921092 758956489 /nfs/dbraw/zinc/95/64/89/758956489.db2.gz AJJFJMJAOFBCQC-CYBMUJFWSA-N 1 2 315.373 1.148 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]cc1C1CC1)CO2 ZINC001053970249 759006729 /nfs/dbraw/zinc/00/67/29/759006729.db2.gz ISUHBTOOOUMIHU-CQSZACIVSA-N 1 2 313.401 1.489 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnc(C)n1C ZINC001054049780 759106496 /nfs/dbraw/zinc/10/64/96/759106496.db2.gz IMMHGRHRRYLNDE-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnc(C)n1C ZINC001054049780 759106499 /nfs/dbraw/zinc/10/64/99/759106499.db2.gz IMMHGRHRRYLNDE-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO Cc1nc(NC[C@@H]2CN(C(=O)c3ccc(C#N)[nH]3)C[C@H]2C)cc[nH+]1 ZINC001054453756 759668196 /nfs/dbraw/zinc/66/81/96/759668196.db2.gz ATPDQUOQVUBJMY-DGCLKSJQSA-N 1 2 324.388 1.805 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1csc(NC(C)=O)n1 ZINC001085691110 760026267 /nfs/dbraw/zinc/02/62/67/760026267.db2.gz XPTAUWSBCVNKSS-LBPRGKRZSA-N 1 2 320.418 1.271 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1csc(NC(C)=O)n1 ZINC001085691110 760026279 /nfs/dbraw/zinc/02/62/79/760026279.db2.gz XPTAUWSBCVNKSS-LBPRGKRZSA-N 1 2 320.418 1.271 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001085683842 760027226 /nfs/dbraw/zinc/02/72/26/760027226.db2.gz BQBBCDAUQQADDH-LBPRGKRZSA-N 1 2 317.414 1.922 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001085683842 760027242 /nfs/dbraw/zinc/02/72/42/760027242.db2.gz BQBBCDAUQQADDH-LBPRGKRZSA-N 1 2 317.414 1.922 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@@H+](Cc3cc(C)on3)C2)cn1 ZINC001046783933 767923135 /nfs/dbraw/zinc/92/31/35/767923135.db2.gz VJSUETQHWVLROG-GOSISDBHSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@H+](Cc3cc(C)on3)C2)cn1 ZINC001046783933 767923141 /nfs/dbraw/zinc/92/31/41/767923141.db2.gz VJSUETQHWVLROG-GOSISDBHSA-N 1 2 324.384 1.754 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2C[C@@H](F)CC)nn1 ZINC001085863524 760406484 /nfs/dbraw/zinc/40/64/84/760406484.db2.gz CZWZZPKGIABWOI-KBPBESRZSA-N 1 2 323.416 1.749 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C[C@@H](F)CC)nn1 ZINC001085863524 760406485 /nfs/dbraw/zinc/40/64/85/760406485.db2.gz CZWZZPKGIABWOI-KBPBESRZSA-N 1 2 323.416 1.749 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn2cccc(OC)c12 ZINC001085877377 760436426 /nfs/dbraw/zinc/43/64/26/760436426.db2.gz ZXMZBNXIUAAZMA-ZDUSSCGKSA-N 1 2 312.373 1.122 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn2cccc(OC)c12 ZINC001085877377 760436431 /nfs/dbraw/zinc/43/64/31/760436431.db2.gz ZXMZBNXIUAAZMA-ZDUSSCGKSA-N 1 2 312.373 1.122 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn2c1C[C@@H](C)CC2 ZINC001085951194 760594701 /nfs/dbraw/zinc/59/47/01/760594701.db2.gz YXVOXTDBXSNXSC-KBPBESRZSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn2c1C[C@@H](C)CC2 ZINC001085951194 760594706 /nfs/dbraw/zinc/59/47/06/760594706.db2.gz YXVOXTDBXSNXSC-KBPBESRZSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OCC)c(OC)c1 ZINC001085966601 760618625 /nfs/dbraw/zinc/61/86/25/760618625.db2.gz OEWQBGIJYJOBIJ-OAHLLOKOSA-N 1 2 316.401 1.873 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OCC)c(OC)c1 ZINC001085966601 760618628 /nfs/dbraw/zinc/61/86/28/760618628.db2.gz OEWQBGIJYJOBIJ-OAHLLOKOSA-N 1 2 316.401 1.873 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ncccc1C#N ZINC001069383325 768003993 /nfs/dbraw/zinc/00/39/93/768003993.db2.gz HQNUNVQVCIRNLQ-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO Cc1[nH]c(=O)[nH]c1C(=O)NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001038375224 761061196 /nfs/dbraw/zinc/06/11/96/761061196.db2.gz YNFXWUNYZJYGFL-OAHLLOKOSA-N 1 2 324.384 1.280 20 30 DDEDLO Cc1[nH]c(=O)[nH]c1C(=O)NC[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001038375224 761061202 /nfs/dbraw/zinc/06/12/02/761061202.db2.gz YNFXWUNYZJYGFL-OAHLLOKOSA-N 1 2 324.384 1.280 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C)nn1C(CC)CC ZINC001038430390 761110578 /nfs/dbraw/zinc/11/05/78/761110578.db2.gz CYEUUNUCEUPTEQ-HNNXBMFYSA-N 1 2 302.422 1.990 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)nn1C(CC)CC ZINC001038430390 761110581 /nfs/dbraw/zinc/11/05/81/761110581.db2.gz CYEUUNUCEUPTEQ-HNNXBMFYSA-N 1 2 302.422 1.990 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)c1cccc2[nH+]ccn21 ZINC001038510117 761164990 /nfs/dbraw/zinc/16/49/90/761164990.db2.gz IXCVSHRAALGEOR-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001066462735 761260095 /nfs/dbraw/zinc/26/00/95/761260095.db2.gz KCJVAXSOTFEWEG-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(-c2ccccn2)c1 ZINC001038770436 761391745 /nfs/dbraw/zinc/39/17/45/761391745.db2.gz SOUMPSWUMNRIAP-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(-c2ccccn2)c1 ZINC001038770436 761391753 /nfs/dbraw/zinc/39/17/53/761391753.db2.gz SOUMPSWUMNRIAP-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccccc1NC(=O)CC ZINC001038838250 761457094 /nfs/dbraw/zinc/45/70/94/761457094.db2.gz RMXKKIKXNRZXDF-CQSZACIVSA-N 1 2 313.401 1.863 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccccc1NC(=O)CC ZINC001038838250 761457100 /nfs/dbraw/zinc/45/71/00/761457100.db2.gz RMXKKIKXNRZXDF-CQSZACIVSA-N 1 2 313.401 1.863 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccoc1Br ZINC001038896633 761520527 /nfs/dbraw/zinc/52/05/27/761520527.db2.gz VNGFLLSVEYBVKH-JTQLQIEISA-N 1 2 311.179 1.870 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccoc1Br ZINC001038896633 761520523 /nfs/dbraw/zinc/52/05/23/761520523.db2.gz VNGFLLSVEYBVKH-JTQLQIEISA-N 1 2 311.179 1.870 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)Cc2ccco2)C1 ZINC001108272660 761580026 /nfs/dbraw/zinc/58/00/26/761580026.db2.gz NEFHSPZQKVWKDB-QAPCUYQASA-N 1 2 318.417 1.689 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)Cc2ccco2)C1 ZINC001108272660 761580030 /nfs/dbraw/zinc/58/00/30/761580030.db2.gz NEFHSPZQKVWKDB-QAPCUYQASA-N 1 2 318.417 1.689 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)Cc2ccco2)C1 ZINC001108272659 761580088 /nfs/dbraw/zinc/58/00/88/761580088.db2.gz NEFHSPZQKVWKDB-MAUKXSAKSA-N 1 2 318.417 1.689 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)Cc2ccco2)C1 ZINC001108272659 761580097 /nfs/dbraw/zinc/58/00/97/761580097.db2.gz NEFHSPZQKVWKDB-MAUKXSAKSA-N 1 2 318.417 1.689 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(CCC)CCC)CC2=O)C1 ZINC001108566290 762707880 /nfs/dbraw/zinc/70/78/80/762707880.db2.gz HLDFHDUMBDPJAV-OAHLLOKOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)CC(C)C)CC2=O)C1 ZINC001108583454 762749428 /nfs/dbraw/zinc/74/94/28/762749428.db2.gz NZCGZKBIXYQPJC-HUUCEWRRSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H](CC)CCC)CC2=O)C1 ZINC001108582825 762749764 /nfs/dbraw/zinc/74/97/64/762749764.db2.gz DGWFJNSVBUGNFF-LSDHHAIUSA-N 1 2 321.465 1.790 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)s1 ZINC001041137425 762831495 /nfs/dbraw/zinc/83/14/95/762831495.db2.gz SOSGHKJESAWIAS-NHYWBVRUSA-N 1 2 319.434 1.431 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)s1 ZINC001041137425 762831502 /nfs/dbraw/zinc/83/15/02/762831502.db2.gz SOSGHKJESAWIAS-NHYWBVRUSA-N 1 2 319.434 1.431 20 30 DDEDLO CCc1nnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)o1 ZINC001111666651 762978538 /nfs/dbraw/zinc/97/85/38/762978538.db2.gz IKWGDVCRQQIJIZ-WQGACYEGSA-N 1 2 317.393 1.262 20 30 DDEDLO CCc1nnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)o1 ZINC001111666651 762978544 /nfs/dbraw/zinc/97/85/44/762978544.db2.gz IKWGDVCRQQIJIZ-WQGACYEGSA-N 1 2 317.393 1.262 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@]2(CC[N@@H+](Cc3nnc(C)[nH]3)C2)C1 ZINC001041544905 763123440 /nfs/dbraw/zinc/12/34/40/763123440.db2.gz RIFNWGYJBJETPT-QGZVFWFLSA-N 1 2 317.437 1.750 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@]2(CC[N@H+](Cc3nnc(C)[nH]3)C2)C1 ZINC001041544905 763123445 /nfs/dbraw/zinc/12/34/45/763123445.db2.gz RIFNWGYJBJETPT-QGZVFWFLSA-N 1 2 317.437 1.750 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@]3(CC[N@H+](Cc4cnon4)C3)C2)C1 ZINC001041658587 763203211 /nfs/dbraw/zinc/20/32/11/763203211.db2.gz YUAILRXUHLHQBZ-KRWDZBQOSA-N 1 2 316.405 1.850 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@]3(CC[N@@H+](Cc4cnon4)C3)C2)C1 ZINC001041658587 763203223 /nfs/dbraw/zinc/20/32/23/763203223.db2.gz YUAILRXUHLHQBZ-KRWDZBQOSA-N 1 2 316.405 1.850 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001129376195 763209712 /nfs/dbraw/zinc/20/97/12/763209712.db2.gz SFOAMVVFFLUDOG-XHSDSOJGSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001129376195 763209718 /nfs/dbraw/zinc/20/97/18/763209718.db2.gz SFOAMVVFFLUDOG-XHSDSOJGSA-N 1 2 317.437 1.325 20 30 DDEDLO COc1ccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H](C)C#N)C3)cn1 ZINC001109079013 763316098 /nfs/dbraw/zinc/31/60/98/763316098.db2.gz VMYGJZUBLPZSGE-ATGSNQNLSA-N 1 2 314.389 1.471 20 30 DDEDLO COc1ccc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H](C)C#N)C3)cn1 ZINC001109079013 763316108 /nfs/dbraw/zinc/31/61/08/763316108.db2.gz VMYGJZUBLPZSGE-ATGSNQNLSA-N 1 2 314.389 1.471 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCCOC)C2 ZINC001109090374 763336997 /nfs/dbraw/zinc/33/69/97/763336997.db2.gz PKXGXUOOPCBSJH-AGIUHOORSA-N 1 2 302.802 1.123 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCCOC)C2 ZINC001109090374 763337002 /nfs/dbraw/zinc/33/70/02/763337002.db2.gz PKXGXUOOPCBSJH-AGIUHOORSA-N 1 2 302.802 1.123 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1CC ZINC001109208691 763459378 /nfs/dbraw/zinc/45/93/78/763459378.db2.gz YORKIAFVQHAWGP-KBMXLJTQSA-N 1 2 315.421 1.323 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1CC ZINC001109208691 763459380 /nfs/dbraw/zinc/45/93/80/763459380.db2.gz YORKIAFVQHAWGP-KBMXLJTQSA-N 1 2 315.421 1.323 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C3CC3)CC1)C2 ZINC001109432584 763699000 /nfs/dbraw/zinc/69/90/00/763699000.db2.gz YSSRRGPTNKXUQY-ILXRZTDVSA-N 1 2 317.433 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C3CC3)CC1)C2 ZINC001109432584 763699007 /nfs/dbraw/zinc/69/90/07/763699007.db2.gz YSSRRGPTNKXUQY-ILXRZTDVSA-N 1 2 317.433 1.200 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109906658 764192947 /nfs/dbraw/zinc/19/29/47/764192947.db2.gz FZHPVBNYUUKVJP-SMDDNHRTSA-N 1 2 302.378 1.130 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc2n(n1)CCC2 ZINC001050947472 764326342 /nfs/dbraw/zinc/32/63/42/764326342.db2.gz XQYCDIOXFRPYMO-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc2n(n1)CCC2 ZINC001050947472 764326348 /nfs/dbraw/zinc/32/63/48/764326348.db2.gz XQYCDIOXFRPYMO-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnc(C2CC2)c1 ZINC001051009083 764413178 /nfs/dbraw/zinc/41/31/78/764413178.db2.gz DOVPWNICHAPAAP-MRXNPFEDSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnc(C2CC2)c1 ZINC001051009083 764413181 /nfs/dbraw/zinc/41/31/81/764413181.db2.gz DOVPWNICHAPAAP-MRXNPFEDSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001051030547 764436330 /nfs/dbraw/zinc/43/63/30/764436330.db2.gz BMOJIHIAKXAHQB-XUWVNRHRSA-N 1 2 308.422 1.195 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001051030547 764436336 /nfs/dbraw/zinc/43/63/36/764436336.db2.gz BMOJIHIAKXAHQB-XUWVNRHRSA-N 1 2 308.422 1.195 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c[nH]nc2-c2ccccn2)C1 ZINC001042877808 764503705 /nfs/dbraw/zinc/50/37/05/764503705.db2.gz UZOAMLRIKRILPO-UHFFFAOYSA-N 1 2 311.389 1.804 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](CCOCC(C)C)CC1 ZINC001112676649 764565453 /nfs/dbraw/zinc/56/54/53/764565453.db2.gz TYZCQHNIPKTFTN-INIZCTEOSA-N 1 2 312.454 1.784 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(CC)c1C ZINC001051180043 764583926 /nfs/dbraw/zinc/58/39/26/764583926.db2.gz AULBWCRHDXMFMK-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(CC)c1C ZINC001051180043 764583931 /nfs/dbraw/zinc/58/39/31/764583931.db2.gz AULBWCRHDXMFMK-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2ccc(COC)cc2)CC1 ZINC001112708467 764628182 /nfs/dbraw/zinc/62/81/82/764628182.db2.gz IONJKBKEMUGBDX-UHFFFAOYSA-N 1 2 318.417 1.680 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCn3cc(C)nc3C2)C1 ZINC001043084691 764650208 /nfs/dbraw/zinc/65/02/08/764650208.db2.gz SCGRMTXHRODGSO-CQSZACIVSA-N 1 2 302.422 1.473 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](CCCCC(F)(F)F)CC1 ZINC001112844061 764868648 /nfs/dbraw/zinc/86/86/48/764868648.db2.gz UPLSJDJYRGRTAE-UHFFFAOYSA-N 1 2 320.355 1.903 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2c3c[nH]nc3CC[C@@H]2C)C1 ZINC001043387314 764878988 /nfs/dbraw/zinc/87/89/88/764878988.db2.gz XFMSBOKMBJORKW-BLLLJJGKSA-N 1 2 300.406 1.242 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn(C)nc2[C@H]2CCOC2)C1 ZINC001043482335 764929005 /nfs/dbraw/zinc/92/90/05/764929005.db2.gz JLHWRCZENJKMRU-ZDUSSCGKSA-N 1 2 318.421 1.256 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H](C)[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001043525729 764960022 /nfs/dbraw/zinc/96/00/22/764960022.db2.gz BJNKJPKFVZCPAD-NGFQHRJXSA-N 1 2 316.405 1.635 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@@H](C)Nc1ccc(C#N)nn1 ZINC001112994267 765105257 /nfs/dbraw/zinc/10/52/57/765105257.db2.gz UPTAJADYZKDKQG-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccsc2COC)CC1 ZINC001113013616 765145109 /nfs/dbraw/zinc/14/51/09/765145109.db2.gz JGNWUVAHEOHYNR-UHFFFAOYSA-N 1 2 324.446 1.855 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccc(COC)c2)CC1 ZINC001113076096 765228097 /nfs/dbraw/zinc/22/80/97/765228097.db2.gz POYSTKZCOMXMMU-UHFFFAOYSA-N 1 2 318.417 1.793 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-n3cnnc3)cc2)C1 ZINC001044050060 765255784 /nfs/dbraw/zinc/25/57/84/765255784.db2.gz HDHGCMNKOCFMEJ-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(OC)cccc2OC)C1 ZINC001044228254 765409891 /nfs/dbraw/zinc/40/98/91/765409891.db2.gz KOUDEEGZYQJBFH-UHFFFAOYSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H](C)C(C)(C)C)C2)CC1 ZINC001052043219 765415871 /nfs/dbraw/zinc/41/58/71/765415871.db2.gz RQQVNRNJZHBFII-JKSUJKDBSA-N 1 2 305.466 1.520 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)CN2CCc3sccc3C2)C1 ZINC001044325978 765482965 /nfs/dbraw/zinc/48/29/65/765482965.db2.gz KYJXDZLKHHNDNA-UHFFFAOYSA-N 1 2 319.474 1.825 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C[C@@H](C)C3CC3)C2)CC1 ZINC001052122685 765485787 /nfs/dbraw/zinc/48/57/87/765485787.db2.gz YEGBUJBMPHSBKW-AEFFLSMTSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc3onc(CC)c3c2)C1 ZINC001044338714 765492852 /nfs/dbraw/zinc/49/28/52/765492852.db2.gz VUGDKYAAJFFNMN-UHFFFAOYSA-N 1 2 312.373 1.565 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)C23CC(C(F)(F)F)(C2)C3)C1 ZINC001044339107 765493461 /nfs/dbraw/zinc/49/34/61/765493461.db2.gz HPACBNSWZFXYSF-UHFFFAOYSA-N 1 2 300.324 1.885 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)C1CN(Cc2ccccc2C#N)C1 ZINC001044365073 765510743 /nfs/dbraw/zinc/51/07/43/765510743.db2.gz IFNNMQQDVKLWEP-UHFFFAOYSA-N 1 2 309.373 1.098 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](CNC(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001052187124 765561577 /nfs/dbraw/zinc/56/15/77/765561577.db2.gz GWGPDQIMDHQXOR-ZIAGYGMSSA-N 1 2 304.394 1.060 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccn3ccnc23)CC1 ZINC001113426281 765682007 /nfs/dbraw/zinc/68/20/07/765682007.db2.gz SXTKPGSULAIRIM-UHFFFAOYSA-N 1 2 314.389 1.295 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cn(C)ccc2=O)CC1 ZINC001052549483 765945224 /nfs/dbraw/zinc/94/52/24/765945224.db2.gz IZGZCMWNGMVOOX-ZDUSSCGKSA-N 1 2 323.824 1.722 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cn(C)ccc2=O)CC1 ZINC001052549483 765945225 /nfs/dbraw/zinc/94/52/25/765945225.db2.gz IZGZCMWNGMVOOX-ZDUSSCGKSA-N 1 2 323.824 1.722 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3cnon3)CC2)cc1 ZINC001052616040 766000929 /nfs/dbraw/zinc/00/09/29/766000929.db2.gz TWNLNLRDYAYRBH-MRXNPFEDSA-N 1 2 324.384 1.835 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnon3)CC2)cc1 ZINC001052616040 766000937 /nfs/dbraw/zinc/00/09/37/766000937.db2.gz TWNLNLRDYAYRBH-MRXNPFEDSA-N 1 2 324.384 1.835 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)COC ZINC001114038596 766571133 /nfs/dbraw/zinc/57/11/33/766571133.db2.gz SMANBUCSWHTCNU-OHBODLIOSA-N 1 2 317.227 1.224 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)COC ZINC001114038596 766571142 /nfs/dbraw/zinc/57/11/42/766571142.db2.gz SMANBUCSWHTCNU-OHBODLIOSA-N 1 2 317.227 1.224 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCCN(C)C(=O)CCCn1cc[nH+]c1 ZINC001067714931 766647109 /nfs/dbraw/zinc/64/71/09/766647109.db2.gz QTNLEYXXKGKOFT-AWEZNQCLSA-N 1 2 319.409 1.130 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccn(C(C)C)n3)C[C@H]21 ZINC001114201497 766761807 /nfs/dbraw/zinc/76/18/07/766761807.db2.gz QIICVPALLJRVJM-VQFNDLOPSA-N 1 2 314.433 1.814 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccn(C(C)C)n3)C[C@H]21 ZINC001114201497 766761810 /nfs/dbraw/zinc/76/18/10/766761810.db2.gz QIICVPALLJRVJM-VQFNDLOPSA-N 1 2 314.433 1.814 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)CC3CCCC3)CC2)C1 ZINC001045996449 766779268 /nfs/dbraw/zinc/77/92/68/766779268.db2.gz AWKLFTIFOVYPPF-MRXNPFEDSA-N 1 2 304.438 1.309 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)(C)C ZINC001114255175 766825757 /nfs/dbraw/zinc/82/57/57/766825757.db2.gz DKRAJERRQNRKPB-NYTXWWLZSA-N 1 2 312.417 1.634 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)(C)C ZINC001114255175 766825765 /nfs/dbraw/zinc/82/57/65/766825765.db2.gz DKRAJERRQNRKPB-NYTXWWLZSA-N 1 2 312.417 1.634 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)o1 ZINC001114258821 766829588 /nfs/dbraw/zinc/82/95/88/766829588.db2.gz YNEGSPNFXZOTHX-MUYACECFSA-N 1 2 316.405 1.535 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)o1 ZINC001114258821 766829597 /nfs/dbraw/zinc/82/95/97/766829597.db2.gz YNEGSPNFXZOTHX-MUYACECFSA-N 1 2 316.405 1.535 20 30 DDEDLO C#CCOCCC(=O)NC1[C@H]2C[N@@H+](C/C(Cl)=C/Cl)C[C@@H]12 ZINC001114266271 766844926 /nfs/dbraw/zinc/84/49/26/766844926.db2.gz NJDVVUWEYVBETN-GVECZMLWSA-N 1 2 317.216 1.392 20 30 DDEDLO C#CCOCCC(=O)NC1[C@H]2C[N@H+](C/C(Cl)=C/Cl)C[C@@H]12 ZINC001114266271 766844935 /nfs/dbraw/zinc/84/49/35/766844935.db2.gz NJDVVUWEYVBETN-GVECZMLWSA-N 1 2 317.216 1.392 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)cc1 ZINC001046050864 766858481 /nfs/dbraw/zinc/85/84/81/766858481.db2.gz RUOZOLWZMPMYHX-GOSISDBHSA-N 1 2 322.412 1.024 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(=C)CC1 ZINC001121610512 782591359 /nfs/dbraw/zinc/59/13/59/782591359.db2.gz SQVYNPBAWLDOKW-UONOGXRCSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(=C)CC1 ZINC001121610512 782591367 /nfs/dbraw/zinc/59/13/67/782591367.db2.gz SQVYNPBAWLDOKW-UONOGXRCSA-N 1 2 303.410 1.358 20 30 DDEDLO N#Cc1cnccc1N(CCCNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001096081208 767170118 /nfs/dbraw/zinc/17/01/18/767170118.db2.gz WMNDZIIMXWJFDC-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1nc(N2CCC(N(C)C(=O)[C@@H](C)C#N)CC2)c(C)c(C)[nH+]1 ZINC001096101178 767631613 /nfs/dbraw/zinc/63/16/13/767631613.db2.gz WKAWMLYZVJFXJJ-NSHDSACASA-N 1 2 315.421 1.989 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001068971393 767737475 /nfs/dbraw/zinc/73/74/75/767737475.db2.gz HZYBGLVIXCHMJN-BLLLJJGKSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001068971393 767737478 /nfs/dbraw/zinc/73/74/78/767737478.db2.gz HZYBGLVIXCHMJN-BLLLJJGKSA-N 1 2 324.388 1.710 20 30 DDEDLO CC(C)[C@H](C)CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130941155 767812409 /nfs/dbraw/zinc/81/24/09/767812409.db2.gz SMLKXIATFILSQF-RBSFLKMASA-N 1 2 317.437 1.510 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CSCC#N)CC[C@@H]1C ZINC001131864640 768440528 /nfs/dbraw/zinc/44/05/28/768440528.db2.gz DYXOLWKBJDFRJP-RYUDHWBXSA-N 1 2 301.843 1.965 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CSCC#N)CC[C@@H]1C ZINC001131864640 768440532 /nfs/dbraw/zinc/44/05/32/768440532.db2.gz DYXOLWKBJDFRJP-RYUDHWBXSA-N 1 2 301.843 1.965 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001131946370 768503471 /nfs/dbraw/zinc/50/34/71/768503471.db2.gz ITINARCHGKWYQY-IUODEOHRSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001131946370 768503476 /nfs/dbraw/zinc/50/34/76/768503476.db2.gz ITINARCHGKWYQY-IUODEOHRSA-N 1 2 321.421 1.963 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC2CC2)C1 ZINC001132016309 768572949 /nfs/dbraw/zinc/57/29/49/768572949.db2.gz GGRCIDKYRSRIIH-GDBMZVCRSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC2CC2)C1 ZINC001132016309 768572951 /nfs/dbraw/zinc/57/29/51/768572951.db2.gz GGRCIDKYRSRIIH-GDBMZVCRSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2coc(C3CCCC3)n2)C1 ZINC001047581900 768587892 /nfs/dbraw/zinc/58/78/92/768587892.db2.gz SSTLRUTVLWPHBJ-GJZGRUSLSA-N 1 2 317.389 1.083 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2coc(C3CCCC3)n2)C1 ZINC001047581900 768587895 /nfs/dbraw/zinc/58/78/95/768587895.db2.gz SSTLRUTVLWPHBJ-GJZGRUSLSA-N 1 2 317.389 1.083 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)c(CC)o2)C1 ZINC001047593775 768596334 /nfs/dbraw/zinc/59/63/34/768596334.db2.gz VPMMHBPVULZAKK-KBPBESRZSA-N 1 2 304.390 1.291 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)c(CC)o2)C1 ZINC001047593775 768596339 /nfs/dbraw/zinc/59/63/39/768596339.db2.gz VPMMHBPVULZAKK-KBPBESRZSA-N 1 2 304.390 1.291 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132046481 768599092 /nfs/dbraw/zinc/59/90/92/768599092.db2.gz PFLCPVOVSRCSOK-HOCLYGCPSA-N 1 2 319.449 1.285 20 30 DDEDLO CCCCNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132046481 768599096 /nfs/dbraw/zinc/59/90/96/768599096.db2.gz PFLCPVOVSRCSOK-HOCLYGCPSA-N 1 2 319.449 1.285 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2cc(F)c[nH]2)CC[C@@H]1C ZINC001132183000 768669301 /nfs/dbraw/zinc/66/93/01/768669301.db2.gz KZRSFVYNIKCOIC-AAEUAGOBSA-N 1 2 322.384 1.039 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2cc(F)c[nH]2)CC[C@@H]1C ZINC001132183000 768669303 /nfs/dbraw/zinc/66/93/03/768669303.db2.gz KZRSFVYNIKCOIC-AAEUAGOBSA-N 1 2 322.384 1.039 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001070740788 768704693 /nfs/dbraw/zinc/70/46/93/768704693.db2.gz JYTKGTWJVYPCOS-LSDHHAIUSA-N 1 2 318.421 1.908 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001132308906 768749251 /nfs/dbraw/zinc/74/92/51/768749251.db2.gz WKRJWKYNCFVDGC-UHFFFAOYSA-N 1 2 312.439 1.745 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001070913239 768783196 /nfs/dbraw/zinc/78/31/96/768783196.db2.gz GFSJJEUJWBIYAR-MCIONIFRSA-N 1 2 319.405 1.078 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001070913239 768783199 /nfs/dbraw/zinc/78/31/99/768783199.db2.gz GFSJJEUJWBIYAR-MCIONIFRSA-N 1 2 319.405 1.078 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001132395468 768800507 /nfs/dbraw/zinc/80/05/07/768800507.db2.gz BYRAFSRHLVWNNR-UHFFFAOYSA-N 1 2 310.423 1.520 20 30 DDEDLO CC(C)=CC(=O)NCC[NH+]1CCN(c2ncccc2C#N)CC1 ZINC001096264403 768818657 /nfs/dbraw/zinc/81/86/57/768818657.db2.gz XFWMZZWJWJKSJH-UHFFFAOYSA-N 1 2 313.405 1.158 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@]2(C)CC)CC1 ZINC001071013263 768879597 /nfs/dbraw/zinc/87/95/97/768879597.db2.gz WSAZRCRTHMBFOO-QAPCUYQASA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@]2(C)CC)CC1 ZINC001071013263 768879609 /nfs/dbraw/zinc/87/96/09/768879609.db2.gz WSAZRCRTHMBFOO-QAPCUYQASA-N 1 2 321.465 1.649 20 30 DDEDLO CCC(C)(CC)C(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132505908 768936429 /nfs/dbraw/zinc/93/64/29/768936429.db2.gz WNLXOGFLSTYGRK-UONOGXRCSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(C)(CC)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132505908 768936435 /nfs/dbraw/zinc/93/64/35/768936435.db2.gz WNLXOGFLSTYGRK-UONOGXRCSA-N 1 2 322.453 1.422 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1cncc3nc[nH]c31)C2 ZINC001048527836 769496844 /nfs/dbraw/zinc/49/68/44/769496844.db2.gz OARMICHLFLTEOM-BTTYYORXSA-N 1 2 323.400 1.564 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1cncc3nc[nH]c31)C2 ZINC001048527836 769496852 /nfs/dbraw/zinc/49/68/52/769496852.db2.gz OARMICHLFLTEOM-BTTYYORXSA-N 1 2 323.400 1.564 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@@H]1CC[C@@H](C)N(CC#N)C1 ZINC001071606048 769703240 /nfs/dbraw/zinc/70/32/40/769703240.db2.gz FJPSWHAZKRWKKP-TZMCWYRMSA-N 1 2 302.378 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@H]1C ZINC001071804111 770101751 /nfs/dbraw/zinc/10/17/51/770101751.db2.gz XDCMQFFUXYSWHC-GHMZBOCLSA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@H]1C ZINC001071804111 770101757 /nfs/dbraw/zinc/10/17/57/770101757.db2.gz XDCMQFFUXYSWHC-GHMZBOCLSA-N 1 2 312.801 1.461 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1CC[C@H](C)[N@@H+](CCF)C1 ZINC001072006351 770424141 /nfs/dbraw/zinc/42/41/41/770424141.db2.gz SBVSGKZCDUYDQW-KBPBESRZSA-N 1 2 319.380 1.646 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1CC[C@H](C)[N@H+](CCF)C1 ZINC001072006351 770424143 /nfs/dbraw/zinc/42/41/43/770424143.db2.gz SBVSGKZCDUYDQW-KBPBESRZSA-N 1 2 319.380 1.646 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cnn(CC)n1 ZINC001049455355 770863356 /nfs/dbraw/zinc/86/33/56/770863356.db2.gz CSAIURXCGSJHQR-KGLIPLIRSA-N 1 2 323.828 1.729 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cnn(CC)n1 ZINC001049455355 770863372 /nfs/dbraw/zinc/86/33/72/770863372.db2.gz CSAIURXCGSJHQR-KGLIPLIRSA-N 1 2 323.828 1.729 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnn(CC)n1 ZINC001049455357 770863489 /nfs/dbraw/zinc/86/34/89/770863489.db2.gz CSAIURXCGSJHQR-UONOGXRCSA-N 1 2 323.828 1.729 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnn(CC)n1 ZINC001049455357 770863503 /nfs/dbraw/zinc/86/35/03/770863503.db2.gz CSAIURXCGSJHQR-UONOGXRCSA-N 1 2 323.828 1.729 20 30 DDEDLO O=C(N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccccc1)c1ncc[nH]1 ZINC001097049176 771597687 /nfs/dbraw/zinc/59/76/87/771597687.db2.gz UXINECBUVCKVIX-ZACQAIPSSA-N 1 2 320.396 1.797 20 30 DDEDLO O=C(N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccccc1)c1ncc[nH]1 ZINC001097049176 771597690 /nfs/dbraw/zinc/59/76/90/771597690.db2.gz UXINECBUVCKVIX-ZACQAIPSSA-N 1 2 320.396 1.797 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cccc(C)c2)[C@@H](O)C1 ZINC001090531165 771931250 /nfs/dbraw/zinc/93/12/50/771931250.db2.gz IWKDTVPCLYVYLZ-HOTGVXAUSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cccc(C)c2)[C@@H](O)C1 ZINC001090531165 771931252 /nfs/dbraw/zinc/93/12/52/771931252.db2.gz IWKDTVPCLYVYLZ-HOTGVXAUSA-N 1 2 322.836 1.841 20 30 DDEDLO CCOC[C@@H]1Cn2nccc2C[N@H+](Cc2ccc(C#N)cn2)C1 ZINC001144158494 772429486 /nfs/dbraw/zinc/42/94/86/772429486.db2.gz HZFGJGGUAWGUIO-HNNXBMFYSA-N 1 2 311.389 1.818 20 30 DDEDLO CCOC[C@@H]1Cn2nccc2C[N@@H+](Cc2ccc(C#N)cn2)C1 ZINC001144158494 772429490 /nfs/dbraw/zinc/42/94/90/772429490.db2.gz HZFGJGGUAWGUIO-HNNXBMFYSA-N 1 2 311.389 1.818 20 30 DDEDLO COCC[NH+]1CCN(C(=O)/C=C/C(=O)c2ccccc2)CC1 ZINC001144704960 772599289 /nfs/dbraw/zinc/59/92/89/772599289.db2.gz GUSXMCBYWPCBFI-BQYQJAHWSA-N 1 2 302.374 1.216 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001091316430 772668185 /nfs/dbraw/zinc/66/81/85/772668185.db2.gz LYVHMYDKJSXHEN-BETUJISGSA-N 1 2 318.425 1.519 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cnns1)C2 ZINC001147381829 773125655 /nfs/dbraw/zinc/12/56/55/773125655.db2.gz VAECSBAITQGYIB-UHFFFAOYSA-N 1 2 318.446 1.766 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnns1)C2 ZINC001147381829 773125659 /nfs/dbraw/zinc/12/56/59/773125659.db2.gz VAECSBAITQGYIB-UHFFFAOYSA-N 1 2 318.446 1.766 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2oncc2C)C1 ZINC001073838388 773458355 /nfs/dbraw/zinc/45/83/55/773458355.db2.gz ADGRZOLODVRLTP-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2oncc2C)C1 ZINC001073838388 773458356 /nfs/dbraw/zinc/45/83/56/773458356.db2.gz ADGRZOLODVRLTP-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001074036780 773599426 /nfs/dbraw/zinc/59/94/26/773599426.db2.gz QVUIHDOZRKNDKS-UONOGXRCSA-N 1 2 304.394 1.203 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C[C@H]1C)CC[NH+](Cc1cnon1)CC2 ZINC001086908621 773710524 /nfs/dbraw/zinc/71/05/24/773710524.db2.gz DZBZGUPDGYXBLT-QWHCGFSZSA-N 1 2 317.393 1.432 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cnc(C)s3)C[C@@H]21 ZINC001074191447 773714637 /nfs/dbraw/zinc/71/46/37/773714637.db2.gz WAJXDSOIWPXNTO-KBPBESRZSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cnc(C)s3)C[C@@H]21 ZINC001074191447 773714641 /nfs/dbraw/zinc/71/46/41/773714641.db2.gz WAJXDSOIWPXNTO-KBPBESRZSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cnc(C)s3)C[C@@H]21 ZINC001074191451 773714828 /nfs/dbraw/zinc/71/48/28/773714828.db2.gz WAJXDSOIWPXNTO-UONOGXRCSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cnc(C)s3)C[C@@H]21 ZINC001074191451 773714833 /nfs/dbraw/zinc/71/48/33/773714833.db2.gz WAJXDSOIWPXNTO-UONOGXRCSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc[nH]3)C[C@@H]21 ZINC001074225980 773751043 /nfs/dbraw/zinc/75/10/43/773751043.db2.gz IUSYGZSPLSVHFX-DZEZYYDTSA-N 1 2 301.390 1.516 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc[nH]3)C[C@@H]21 ZINC001074225980 773751047 /nfs/dbraw/zinc/75/10/47/773751047.db2.gz IUSYGZSPLSVHFX-DZEZYYDTSA-N 1 2 301.390 1.516 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3nccc(C)n3)C[C@@H]21 ZINC001074229543 773753371 /nfs/dbraw/zinc/75/33/71/773753371.db2.gz MHDLTJPCVKHWDR-GJZGRUSLSA-N 1 2 316.405 1.276 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3nccc(C)n3)C[C@@H]21 ZINC001074229543 773753373 /nfs/dbraw/zinc/75/33/73/773753373.db2.gz MHDLTJPCVKHWDR-GJZGRUSLSA-N 1 2 316.405 1.276 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cn3ccc(C)n3)C[C@H]21 ZINC001074341166 773838796 /nfs/dbraw/zinc/83/87/96/773838796.db2.gz QHENAEUMBGQAKU-CVEARBPZSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cn3ccc(C)n3)C[C@H]21 ZINC001074341166 773838800 /nfs/dbraw/zinc/83/88/00/773838800.db2.gz QHENAEUMBGQAKU-CVEARBPZSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cscn3)C[C@H]21 ZINC001074353881 773849519 /nfs/dbraw/zinc/84/95/19/773849519.db2.gz IANVPDQXHSXMFO-HUUCEWRRSA-N 1 2 321.446 1.563 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cscn3)C[C@H]21 ZINC001074353881 773849521 /nfs/dbraw/zinc/84/95/21/773849521.db2.gz IANVPDQXHSXMFO-HUUCEWRRSA-N 1 2 321.446 1.563 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C3CC3)C3CC3)C[C@H]21 ZINC001074380940 773873908 /nfs/dbraw/zinc/87/39/08/773873908.db2.gz WVYAWRJLKQWWQP-IAGOWNOFSA-N 1 2 316.445 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C3CC3)C3CC3)C[C@H]21 ZINC001074380940 773873910 /nfs/dbraw/zinc/87/39/10/773873910.db2.gz WVYAWRJLKQWWQP-IAGOWNOFSA-N 1 2 316.445 1.748 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3cccn3CC)C[C@@H]21 ZINC001074406011 773900869 /nfs/dbraw/zinc/90/08/69/773900869.db2.gz ZFTFJKQIHQDJPD-IRXDYDNUSA-N 1 2 317.433 1.999 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccn3CC)C[C@@H]21 ZINC001074406011 773900875 /nfs/dbraw/zinc/90/08/75/773900875.db2.gz ZFTFJKQIHQDJPD-IRXDYDNUSA-N 1 2 317.433 1.999 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092169839 773977580 /nfs/dbraw/zinc/97/75/80/773977580.db2.gz MRBWMKBZZSFIFO-HUUCEWRRSA-N 1 2 316.405 1.034 20 30 DDEDLO CCCCc1noc(C[NH2+][C@H]2CCN(C(=O)[C@H](C)C#N)[C@H]2C)n1 ZINC001074570199 774001738 /nfs/dbraw/zinc/00/17/38/774001738.db2.gz VMNNXCWTPLERQD-AGIUHOORSA-N 1 2 319.409 1.651 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H]1C=CCC1)c1nccn12 ZINC001092347715 774066367 /nfs/dbraw/zinc/06/63/67/774066367.db2.gz DLZRYPMLKVJXCU-HZPDHXFCSA-N 1 2 324.428 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C#N)c[nH]1)c1nccn12 ZINC001092361325 774075701 /nfs/dbraw/zinc/07/57/01/774075701.db2.gz HALWJUAZJWYSHQ-CQSZACIVSA-N 1 2 322.372 1.155 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccsn1)c1nccn12 ZINC001092378666 774094168 /nfs/dbraw/zinc/09/41/68/774094168.db2.gz PZOHEORPGVNFAP-GFCCVEGCSA-N 1 2 315.402 1.411 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001075087149 774340975 /nfs/dbraw/zinc/34/09/75/774340975.db2.gz WUPOVKLJLRBSRL-LSDHHAIUSA-N 1 2 318.421 1.735 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1ncc(C#N)cc1F ZINC001098344358 774543696 /nfs/dbraw/zinc/54/36/96/774543696.db2.gz KKAYDXBFBDXOGG-JTQLQIEISA-N 1 2 316.340 1.365 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1ncc(C#N)cc1F ZINC001098344358 774543699 /nfs/dbraw/zinc/54/36/99/774543699.db2.gz KKAYDXBFBDXOGG-JTQLQIEISA-N 1 2 316.340 1.365 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC(C)(C)C[N@@H+]1Cc1ccn(C)n1 ZINC001098982564 774754573 /nfs/dbraw/zinc/75/45/73/774754573.db2.gz LVPCKYCQTRIPEV-ZFWWWQNUSA-N 1 2 317.437 1.687 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC(C)(C)C[N@H+]1Cc1ccn(C)n1 ZINC001098982564 774754578 /nfs/dbraw/zinc/75/45/78/774754578.db2.gz LVPCKYCQTRIPEV-ZFWWWQNUSA-N 1 2 317.437 1.687 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C3=COCCC3)CC2)C1 ZINC001093513628 774762717 /nfs/dbraw/zinc/76/27/17/774762717.db2.gz SHJBZXOKMLEHTK-UHFFFAOYSA-N 1 2 306.406 1.559 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC[C@H]3CCOC3)CC2)C1 ZINC001093524197 774776224 /nfs/dbraw/zinc/77/62/24/774776224.db2.gz UNHDPMWKPBDPOT-HNNXBMFYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3coc(C)n3)CC2)C1 ZINC001093530220 774782353 /nfs/dbraw/zinc/78/23/53/774782353.db2.gz ZJIJGYFVTKVWRI-UHFFFAOYSA-N 1 2 305.378 1.474 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cnccn3)CC2)C1 ZINC001093502132 774793607 /nfs/dbraw/zinc/79/36/07/774793607.db2.gz ZVBFBPBMQMGICZ-UHFFFAOYSA-N 1 2 316.405 1.358 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1CCC(C)(C)C[N@@H+]1CC(N)=O ZINC001099070123 774808957 /nfs/dbraw/zinc/80/89/57/774808957.db2.gz SUKQVNISDJBCAZ-CYBMUJFWSA-N 1 2 307.438 1.128 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1CCC(C)(C)C[N@H+]1CC(N)=O ZINC001099070123 774808963 /nfs/dbraw/zinc/80/89/63/774808963.db2.gz SUKQVNISDJBCAZ-CYBMUJFWSA-N 1 2 307.438 1.128 20 30 DDEDLO C[C@@H](CCNC(=O)Cn1cc[nH+]c1)Nc1ncc(C#N)cc1F ZINC001099261770 774922188 /nfs/dbraw/zinc/92/21/88/774922188.db2.gz JELHUITWERIONO-NSHDSACASA-N 1 2 316.340 1.296 20 30 DDEDLO C[C@H](CCNC(=O)Cc1c[nH+]cn1C)Nc1ccc(C#N)cn1 ZINC001099314091 774942649 /nfs/dbraw/zinc/94/26/49/774942649.db2.gz KXBVZIUJWNOEPP-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099807803 775307544 /nfs/dbraw/zinc/30/75/44/775307544.db2.gz WEZBKRXUPFQQLA-GJZGRUSLSA-N 1 2 319.405 1.146 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099807803 775307547 /nfs/dbraw/zinc/30/75/47/775307547.db2.gz WEZBKRXUPFQQLA-GJZGRUSLSA-N 1 2 319.405 1.146 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(COC)CCC2)[C@@H](O)C1 ZINC001099925771 775428857 /nfs/dbraw/zinc/42/88/57/775428857.db2.gz KJIOIZZWCIZGNX-OLZOCXBDSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(COC)CCC2)[C@@H](O)C1 ZINC001099925771 775428864 /nfs/dbraw/zinc/42/88/64/775428864.db2.gz KJIOIZZWCIZGNX-OLZOCXBDSA-N 1 2 316.829 1.107 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCC(C)(C)C3)nn2)C1 ZINC001094315927 775671520 /nfs/dbraw/zinc/67/15/20/775671520.db2.gz CXLWGQAVGRUCOM-CYBMUJFWSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccc(CC)o3)nn2)C1 ZINC001094299861 775703346 /nfs/dbraw/zinc/70/33/46/775703346.db2.gz QEHSNYLBOSGUNN-UHFFFAOYSA-N 1 2 315.377 1.406 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NCCCNc1ccc(C#N)cn1 ZINC001094525519 775985590 /nfs/dbraw/zinc/98/55/90/775985590.db2.gz OZKGHMVZOJSJLH-UHFFFAOYSA-N 1 2 312.377 1.238 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC001094594758 776089811 /nfs/dbraw/zinc/08/98/11/776089811.db2.gz OGEQVPGTDUHAIO-CQSZACIVSA-N 1 2 318.421 1.865 20 30 DDEDLO CN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)c1ncccc1C#N ZINC001100391938 776089937 /nfs/dbraw/zinc/08/99/37/776089937.db2.gz KZTHXXMCRCVORF-CHWSQXEVSA-N 1 2 310.361 1.032 20 30 DDEDLO CN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)c1ncccc1C#N ZINC001100391938 776089943 /nfs/dbraw/zinc/08/99/43/776089943.db2.gz KZTHXXMCRCVORF-CHWSQXEVSA-N 1 2 310.361 1.032 20 30 DDEDLO CN(CCNC(=O)c1cc2c[nH+]ccc2[nH]1)c1cnc(C#N)cn1 ZINC001100396070 776096297 /nfs/dbraw/zinc/09/62/97/776096297.db2.gz KEEDTOVFPHCCRV-UHFFFAOYSA-N 1 2 321.344 1.091 20 30 DDEDLO N#Cc1cnccc1NCCCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001094873386 776630921 /nfs/dbraw/zinc/63/09/21/776630921.db2.gz WJXCVFYUKCJKPW-UHFFFAOYSA-N 1 2 320.356 1.483 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]([NH2+]Cc2nnn(C)n2)C12CCC2 ZINC001203340500 776831169 /nfs/dbraw/zinc/83/11/69/776831169.db2.gz LWHIOTGXXYLCIE-STQMWFEESA-N 1 2 318.425 1.083 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCCCCCNc1cc[nH+]c(C)n1 ZINC001094971849 776914033 /nfs/dbraw/zinc/91/40/33/776914033.db2.gz XPUMHPHCCHXYKM-CQSZACIVSA-N 1 2 318.421 1.912 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101347985 777087687 /nfs/dbraw/zinc/08/76/87/777087687.db2.gz SQRYTPSUNKXDDP-CJNGLKHVSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101347985 777087693 /nfs/dbraw/zinc/08/76/93/777087693.db2.gz SQRYTPSUNKXDDP-CJNGLKHVSA-N 1 2 304.394 1.706 20 30 DDEDLO CC(C)(C)OC(=O)[C@H](CC(N)=O)[NH2+]C1CCC(C)(C#N)CC1 ZINC001173585969 777270421 /nfs/dbraw/zinc/27/04/21/777270421.db2.gz AFZKEEKBKSYOHA-BGMSHATGSA-N 1 2 309.410 1.634 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CCSCC)c1nccn12 ZINC001101611471 777302163 /nfs/dbraw/zinc/30/21/63/777302163.db2.gz CJOGTLICHMZQOC-ZDUSSCGKSA-N 1 2 320.462 1.784 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)CCC)c1nccn12 ZINC001101617651 777309805 /nfs/dbraw/zinc/30/98/05/777309805.db2.gz RSMAOPMEIXUSQZ-HUUCEWRRSA-N 1 2 314.433 1.915 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)C(C)C)c1nccn12 ZINC001101645518 777342975 /nfs/dbraw/zinc/34/29/75/777342975.db2.gz CRYNXUGDQWCEIR-GJZGRUSLSA-N 1 2 314.433 1.770 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001101847805 777599865 /nfs/dbraw/zinc/59/98/65/777599865.db2.gz NQWDARBIFSFVMY-ZDUSSCGKSA-N 1 2 324.388 1.283 20 30 DDEDLO CN(CCNc1nccnc1C#N)C(=O)c1cccc2[nH+]ccn21 ZINC001101854984 777607766 /nfs/dbraw/zinc/60/77/66/777607766.db2.gz RDIKGGMGUMPJPC-UHFFFAOYSA-N 1 2 321.344 1.180 20 30 DDEDLO C[N@@H+]1CCCn2nc(C(=O)N[C@@H](C#N)c3ccccc3)cc2C1 ZINC001175036472 777668287 /nfs/dbraw/zinc/66/82/87/777668287.db2.gz VRYKSKHVCMETOB-INIZCTEOSA-N 1 2 309.373 1.713 20 30 DDEDLO C[N@H+]1CCCn2nc(C(=O)N[C@@H](C#N)c3ccccc3)cc2C1 ZINC001175036472 777668290 /nfs/dbraw/zinc/66/82/90/777668290.db2.gz VRYKSKHVCMETOB-INIZCTEOSA-N 1 2 309.373 1.713 20 30 DDEDLO CN(CCNc1cncc(C#N)n1)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001102023156 777804552 /nfs/dbraw/zinc/80/45/52/777804552.db2.gz JIVHJNWWHLUITB-UHFFFAOYSA-N 1 2 321.344 1.409 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C[C@@H](C)COC)C1 ZINC001150528330 777933488 /nfs/dbraw/zinc/93/34/88/777933488.db2.gz UYVZRUPWTWOUSJ-TZMCWYRMSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C[C@@H](C)COC)C1 ZINC001150528330 777933493 /nfs/dbraw/zinc/93/34/93/777933493.db2.gz UYVZRUPWTWOUSJ-TZMCWYRMSA-N 1 2 318.845 1.619 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[NH+]1CCN(c2ncccn2)CC1 ZINC001102421560 778127562 /nfs/dbraw/zinc/12/75/62/778127562.db2.gz XJEZMUMJYYSTDJ-UHFFFAOYSA-N 1 2 317.437 1.269 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1CN2c1nc(C)[nH+]c(C)c1C ZINC001102545768 778199673 /nfs/dbraw/zinc/19/96/73/778199673.db2.gz UPVIMQNRWVPOGZ-GJZGRUSLSA-N 1 2 316.405 1.394 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCOCC(C)C)C[C@H]21 ZINC001176929874 778318331 /nfs/dbraw/zinc/31/83/31/778318331.db2.gz NQHSITODTJNLHY-IAGOWNOFSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCOCC(C)C)C[C@H]21 ZINC001176929874 778318341 /nfs/dbraw/zinc/31/83/41/778318341.db2.gz NQHSITODTJNLHY-IAGOWNOFSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102716231 778339885 /nfs/dbraw/zinc/33/98/85/778339885.db2.gz SXWBAIPBYISNCQ-CQSZACIVSA-N 1 2 304.394 1.415 20 30 DDEDLO CCOCCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001177006964 778386922 /nfs/dbraw/zinc/38/69/22/778386922.db2.gz WATGUXWNKCSBAV-IAGOWNOFSA-N 1 2 320.433 1.128 20 30 DDEDLO CCOCCC[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001177006964 778386933 /nfs/dbraw/zinc/38/69/33/778386933.db2.gz WATGUXWNKCSBAV-IAGOWNOFSA-N 1 2 320.433 1.128 20 30 DDEDLO CCN(C(=O)Cn1cc[nH+]c1)[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001102810800 778420441 /nfs/dbraw/zinc/42/04/41/778420441.db2.gz HRJFZYILMSFTPX-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO CCN(C(=O)Cc1[nH]cc[nH+]1)[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001102815429 778423706 /nfs/dbraw/zinc/42/37/06/778423706.db2.gz CIYUFUHCRIISDG-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CCCO)[C@@H]3C2)CCC1 ZINC001177101479 778446901 /nfs/dbraw/zinc/44/69/01/778446901.db2.gz MTPICFFNJDBWCE-HZPDHXFCSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]3OCC[N@H+](CCCO)[C@@H]3C2)CCC1 ZINC001177101479 778446903 /nfs/dbraw/zinc/44/69/03/778446903.db2.gz MTPICFFNJDBWCE-HZPDHXFCSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CCCOCC(=O)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001177260386 778520637 /nfs/dbraw/zinc/52/06/37/778520637.db2.gz KSGNLINPNQATBW-UHFFFAOYSA-N 1 2 322.409 1.526 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@@H]1C[N@@H+](Cc2nnn(C)n2)C[C@H]1C ZINC001103040222 778587642 /nfs/dbraw/zinc/58/76/42/778587642.db2.gz LGEWEAJUVUTOPW-ZIAGYGMSSA-N 1 2 320.441 1.141 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@@H]1C[N@H+](Cc2nnn(C)n2)C[C@H]1C ZINC001103040222 778587648 /nfs/dbraw/zinc/58/76/48/778587648.db2.gz LGEWEAJUVUTOPW-ZIAGYGMSSA-N 1 2 320.441 1.141 20 30 DDEDLO CC[C@@H](CNC(=O)C#CC(C)C)Nc1[nH+]cnc2c1cnn2C ZINC001103081451 778627421 /nfs/dbraw/zinc/62/74/21/778627421.db2.gz JMWDUWLFIABRHG-LBPRGKRZSA-N 1 2 314.393 1.329 20 30 DDEDLO Cc1cc(N(C(N)=[NH2+])C(=O)[C@H](C#N)Cc2cccs2)n[nH]1 ZINC001177917449 778783564 /nfs/dbraw/zinc/78/35/64/778783564.db2.gz HUFQAWYCCUIMRL-VIFPVBQESA-N 1 2 302.363 1.389 20 30 DDEDLO Cc1nc(NC[C@@](C)(NC(=O)c2c[nH]c(C#N)c2)C2CC2)cc[nH+]1 ZINC001103517795 778918325 /nfs/dbraw/zinc/91/83/25/778918325.db2.gz CCOPGARNNYSYAJ-QGZVFWFLSA-N 1 2 324.388 1.995 20 30 DDEDLO C[C@H]1[C@@H]([NH2+]Cc2cnsn2)CCN1C(=O)C#CC(C)(C)C ZINC001178671674 779130672 /nfs/dbraw/zinc/13/06/72/779130672.db2.gz LBWAPSGZIABVTE-AAEUAGOBSA-N 1 2 306.435 1.667 20 30 DDEDLO Cc1nc(N(C)C)nc(NC[C@@H](C)CNC(=O)C#CC(C)C)[nH+]1 ZINC001103932555 779183647 /nfs/dbraw/zinc/18/36/47/779183647.db2.gz CTQAZBDXIJGPCZ-LBPRGKRZSA-N 1 2 318.425 1.070 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001103937559 779187724 /nfs/dbraw/zinc/18/77/24/779187724.db2.gz FZGXGKZAXOTODF-GFCCVEGCSA-N 1 2 314.393 1.331 20 30 DDEDLO N#CCNCCCCCCNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001178852114 779199439 /nfs/dbraw/zinc/19/94/39/779199439.db2.gz NBXFVPFLNOYYST-AWEZNQCLSA-N 1 2 303.410 1.235 20 30 DDEDLO N#Cc1ccnnc1NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001178988019 779252101 /nfs/dbraw/zinc/25/21/01/779252101.db2.gz MBHBMIOTRZMPFK-OAHLLOKOSA-N 1 2 323.356 1.188 20 30 DDEDLO N#Cc1ccnnc1NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001178988019 779252105 /nfs/dbraw/zinc/25/21/05/779252105.db2.gz MBHBMIOTRZMPFK-OAHLLOKOSA-N 1 2 323.356 1.188 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(N(C)C)no2)[C@@H]1C ZINC001179454792 779382024 /nfs/dbraw/zinc/38/20/24/779382024.db2.gz IJFNSSIFCOQDFP-STQMWFEESA-N 1 2 321.425 1.571 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)C#CC3CC3)C2)co1 ZINC001111740959 779458573 /nfs/dbraw/zinc/45/85/73/779458573.db2.gz VWULAJCCZAXASW-YJBOKZPZSA-N 1 2 313.401 1.867 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)C#CC3CC3)C2)co1 ZINC001111740959 779458577 /nfs/dbraw/zinc/45/85/77/779458577.db2.gz VWULAJCCZAXASW-YJBOKZPZSA-N 1 2 313.401 1.867 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ccon1)C2 ZINC001111742866 779459768 /nfs/dbraw/zinc/45/97/68/779459768.db2.gz QKKNNIKMLIJNEP-ZQIUZPCESA-N 1 2 317.389 1.184 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ccon1)C2 ZINC001111742866 779459772 /nfs/dbraw/zinc/45/97/72/779459772.db2.gz QKKNNIKMLIJNEP-ZQIUZPCESA-N 1 2 317.389 1.184 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC1(Nc2ccncc2C#N)CCC1 ZINC001111828791 779502575 /nfs/dbraw/zinc/50/25/75/779502575.db2.gz ATSMQTDUOQPTJO-UHFFFAOYSA-N 1 2 324.388 1.100 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001115332012 780045423 /nfs/dbraw/zinc/04/54/23/780045423.db2.gz HWYINWOFSZWJON-NYTXWWLZSA-N 1 2 319.405 1.429 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001115332012 780045430 /nfs/dbraw/zinc/04/54/30/780045430.db2.gz HWYINWOFSZWJON-NYTXWWLZSA-N 1 2 319.405 1.429 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)NCC[NH+]2Cc3ccccc3C2)C1 ZINC001116549496 780521953 /nfs/dbraw/zinc/52/19/53/780521953.db2.gz DXKQCELXLGILHQ-QGZVFWFLSA-N 1 2 315.417 1.989 20 30 DDEDLO C#CCC[NH2+]C1(CNC(=O)Cc2[nH]c[nH+]c2C)CCCCC1 ZINC001120068151 781745957 /nfs/dbraw/zinc/74/59/57/781745957.db2.gz DYBQBSWYKMUVBZ-UHFFFAOYSA-N 1 2 302.422 1.693 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@H+](C)CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC001267195320 837514411 /nfs/dbraw/zinc/51/44/11/837514411.db2.gz VSRFGGUCVDCEKS-IYBDPMFKSA-N 1 2 321.465 1.483 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@@H+](C)CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC001267195320 837514421 /nfs/dbraw/zinc/51/44/21/837514421.db2.gz VSRFGGUCVDCEKS-IYBDPMFKSA-N 1 2 321.465 1.483 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CC[N@@H+](Cc2nc(C(C)C)no2)C1 ZINC001267288707 837751362 /nfs/dbraw/zinc/75/13/62/837751362.db2.gz TYCOILMFODCRPM-ZDUSSCGKSA-N 1 2 322.409 1.334 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CC[N@H+](Cc2nc(C(C)C)no2)C1 ZINC001267288707 837751370 /nfs/dbraw/zinc/75/13/70/837751370.db2.gz TYCOILMFODCRPM-ZDUSSCGKSA-N 1 2 322.409 1.334 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(C(C)C)[nH]2)C1 ZINC001267292295 837762609 /nfs/dbraw/zinc/76/26/09/837762609.db2.gz VZJTUWTVZGSKTN-AWEZNQCLSA-N 1 2 317.437 1.670 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+](Cc2nnc(C(C)C)[nH]2)C1 ZINC001267292295 837762616 /nfs/dbraw/zinc/76/26/16/837762616.db2.gz VZJTUWTVZGSKTN-AWEZNQCLSA-N 1 2 317.437 1.670 20 30 DDEDLO CC1=C(C)C[C@@](C)(C(=O)NCC[N@@H+](C)CC(=O)NCC#N)CC1 ZINC001266364864 836176937 /nfs/dbraw/zinc/17/69/37/836176937.db2.gz FJIUGICEULJYPK-KRWDZBQOSA-N 1 2 320.437 1.201 20 30 DDEDLO CC1=C(C)C[C@@](C)(C(=O)NCC[N@H+](C)CC(=O)NCC#N)CC1 ZINC001266364864 836176942 /nfs/dbraw/zinc/17/69/42/836176942.db2.gz FJIUGICEULJYPK-KRWDZBQOSA-N 1 2 320.437 1.201 20 30 DDEDLO CC#CC[NH2+][C@H](CNC(=O)c1cccc(-c2nnc[nH]2)c1)C1CC1 ZINC001266424919 836235800 /nfs/dbraw/zinc/23/58/00/836235800.db2.gz XQLYTMYAKBGTKF-MRXNPFEDSA-N 1 2 323.400 1.593 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)COCCC ZINC001266450514 836270150 /nfs/dbraw/zinc/27/01/50/836270150.db2.gz OXAXJKHZNTYULY-LBPRGKRZSA-N 1 2 319.243 1.902 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)COCCC ZINC001266450514 836270162 /nfs/dbraw/zinc/27/01/62/836270162.db2.gz OXAXJKHZNTYULY-LBPRGKRZSA-N 1 2 319.243 1.902 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001266465856 836302937 /nfs/dbraw/zinc/30/29/37/836302937.db2.gz DKJWYFTVDPXIES-RRFJBIMHSA-N 1 2 315.421 1.218 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CC[N@H+](Cc2nnc(C(C)C)o2)C1 ZINC001266510674 836382962 /nfs/dbraw/zinc/38/29/62/836382962.db2.gz DXKDLXXUZYMPLD-AWEZNQCLSA-N 1 2 318.421 1.791 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(C(C)C)o2)C1 ZINC001266510674 836382966 /nfs/dbraw/zinc/38/29/66/836382966.db2.gz DXKDLXXUZYMPLD-AWEZNQCLSA-N 1 2 318.421 1.791 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@@H+](Cc1cc(C)n(C)n1)C1CC1 ZINC001266590796 836505581 /nfs/dbraw/zinc/50/55/81/836505581.db2.gz QAMQHSRBPXULIG-KRWDZBQOSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@H+](Cc1cc(C)n(C)n1)C1CC1 ZINC001266590796 836505584 /nfs/dbraw/zinc/50/55/84/836505584.db2.gz QAMQHSRBPXULIG-KRWDZBQOSA-N 1 2 320.437 1.136 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1ccn(C)n1 ZINC001266839419 836909654 /nfs/dbraw/zinc/90/96/54/836909654.db2.gz AMYPEEPDIULIMI-INIZCTEOSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1ccn(C)n1 ZINC001266839419 836909664 /nfs/dbraw/zinc/90/96/64/836909664.db2.gz AMYPEEPDIULIMI-INIZCTEOSA-N 1 2 302.422 1.694 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+][C@H](C)c2nc(CC)no2)C1 ZINC001267027098 837215116 /nfs/dbraw/zinc/21/51/16/837215116.db2.gz UJHBJVBLFWNTLT-OLZOCXBDSA-N 1 2 322.409 1.476 20 30 DDEDLO N#CCN[C@H](CNC(=O)[C@@H]1CCn2cc[nH+]c2C1)c1ccccc1 ZINC001267362030 837913746 /nfs/dbraw/zinc/91/37/46/837913746.db2.gz NHSXHEKFDMWULX-HZPDHXFCSA-N 1 2 323.400 1.416 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1(CNC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001299185053 844311031 /nfs/dbraw/zinc/31/10/31/844311031.db2.gz VGPPKRWWHNOMJB-INIZCTEOSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1(CNC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001299185053 844311043 /nfs/dbraw/zinc/31/10/43/844311043.db2.gz VGPPKRWWHNOMJB-INIZCTEOSA-N 1 2 318.421 1.710 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](NC(=O)C(C)(C)CC=C)C1 ZINC001267632449 838538315 /nfs/dbraw/zinc/53/83/15/838538315.db2.gz WLDMSLUPSIZAKY-CABCVRRESA-N 1 2 319.449 1.307 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](NC(=O)C(C)(C)CC=C)C1 ZINC001267632449 838538317 /nfs/dbraw/zinc/53/83/17/838538317.db2.gz WLDMSLUPSIZAKY-CABCVRRESA-N 1 2 319.449 1.307 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1C[NH+](Cc2cncc(OC)n2)C1 ZINC001267687984 838643453 /nfs/dbraw/zinc/64/34/53/838643453.db2.gz UPHAZVHSXZWVNI-UHFFFAOYSA-N 1 2 318.421 1.636 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@@H+]([C@@H](C)C(=O)NC2CCCC2)C1 ZINC001267722619 838734473 /nfs/dbraw/zinc/73/44/73/838734473.db2.gz KHPHAEKRCGTYPQ-HOCLYGCPSA-N 1 2 319.449 1.284 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@H+]([C@@H](C)C(=O)NC2CCCC2)C1 ZINC001267722619 838734481 /nfs/dbraw/zinc/73/44/81/838734481.db2.gz KHPHAEKRCGTYPQ-HOCLYGCPSA-N 1 2 319.449 1.284 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](N(C)C(=O)C#CC(C)(C)C)C2)cn1 ZINC001267761643 838892656 /nfs/dbraw/zinc/89/26/56/838892656.db2.gz JLSVKBMTQWVAAJ-INIZCTEOSA-N 1 2 314.433 1.867 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](N(C)C(=O)C#CC(C)(C)C)C2)cn1 ZINC001267761643 838892670 /nfs/dbraw/zinc/89/26/70/838892670.db2.gz JLSVKBMTQWVAAJ-INIZCTEOSA-N 1 2 314.433 1.867 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@](C)(C=C)CC)C1 ZINC001267966063 839285968 /nfs/dbraw/zinc/28/59/68/839285968.db2.gz FFASMOMPUCVGJT-PBHICJAKSA-N 1 2 307.438 1.472 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@](C)(C=C)CC)C1 ZINC001267966063 839286011 /nfs/dbraw/zinc/28/60/11/839286011.db2.gz FFASMOMPUCVGJT-PBHICJAKSA-N 1 2 307.438 1.472 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2C[N@H+](CCOCCCC)CCO2)C1 ZINC001268027543 839435605 /nfs/dbraw/zinc/43/56/05/839435605.db2.gz WEFBRFLGVIQSEO-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2C[N@@H+](CCOCCCC)CCO2)C1 ZINC001268027543 839435620 /nfs/dbraw/zinc/43/56/20/839435620.db2.gz WEFBRFLGVIQSEO-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO C#CCCCCC(=O)NC1C[NH+](CCc2scnc2C)C1 ZINC001268278924 839936479 /nfs/dbraw/zinc/93/64/79/839936479.db2.gz CXZFVZVYDBMHJH-UHFFFAOYSA-N 1 2 305.447 1.988 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cccc3ccncc32)C1 ZINC001268466515 840244985 /nfs/dbraw/zinc/24/49/85/840244985.db2.gz KNQQPIAJGUSNNP-UHFFFAOYSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[C@@H](C(=O)NC1C[NH+](C[C@@H](O)COC)C1)c1ccccc1 ZINC001268483640 840280872 /nfs/dbraw/zinc/28/08/72/840280872.db2.gz PYWKQKJMQXWNBI-IAGOWNOFSA-N 1 2 318.417 1.154 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)C[N@H+](C)Cc1nc(C)c(C)s1 ZINC001268730108 840724231 /nfs/dbraw/zinc/72/42/31/840724231.db2.gz LYYLKEWVCJMARE-GFCCVEGCSA-N 1 2 323.462 1.736 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)C[N@@H+](C)Cc1nc(C)c(C)s1 ZINC001268730108 840724239 /nfs/dbraw/zinc/72/42/39/840724239.db2.gz LYYLKEWVCJMARE-GFCCVEGCSA-N 1 2 323.462 1.736 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H](C)C[N@H+](C)Cc2nocc2C)nn1 ZINC001268749679 840753760 /nfs/dbraw/zinc/75/37/60/840753760.db2.gz CBGKFKVMSKYTJO-GFCCVEGCSA-N 1 2 318.381 1.011 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H](C)C[N@@H+](C)Cc2nocc2C)nn1 ZINC001268749679 840753772 /nfs/dbraw/zinc/75/37/72/840753772.db2.gz CBGKFKVMSKYTJO-GFCCVEGCSA-N 1 2 318.381 1.011 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1c(C)noc1C ZINC001268940065 841019466 /nfs/dbraw/zinc/01/94/66/841019466.db2.gz ZXADAFVVECNDPM-GJZGRUSLSA-N 1 2 301.390 1.880 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1c(C)noc1C ZINC001268940065 841019472 /nfs/dbraw/zinc/01/94/72/841019472.db2.gz ZXADAFVVECNDPM-GJZGRUSLSA-N 1 2 301.390 1.880 20 30 DDEDLO C#CC(=O)N1CCC[C@@]2(C1)C[N@H+](Cc1scnc1C)CCO2 ZINC001268942491 841021888 /nfs/dbraw/zinc/02/18/88/841021888.db2.gz UVPBTHFWQWTSRE-INIZCTEOSA-N 1 2 319.430 1.278 20 30 DDEDLO C#CC(=O)N1CCC[C@@]2(C1)C[N@@H+](Cc1scnc1C)CCO2 ZINC001268942491 841021900 /nfs/dbraw/zinc/02/19/00/841021900.db2.gz UVPBTHFWQWTSRE-INIZCTEOSA-N 1 2 319.430 1.278 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@H](NC(=O)C#CC2CC2)C2CC2)no1 ZINC001269066338 841171968 /nfs/dbraw/zinc/17/19/68/841171968.db2.gz CVXZWFGGTFZDMI-YGRLFVJLSA-N 1 2 302.378 1.337 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001269159404 841280611 /nfs/dbraw/zinc/28/06/11/841280611.db2.gz COTQAOWOPLYHIH-CYBMUJFWSA-N 1 2 304.394 1.532 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001269159404 841280620 /nfs/dbraw/zinc/28/06/20/841280620.db2.gz COTQAOWOPLYHIH-CYBMUJFWSA-N 1 2 304.394 1.532 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@@H]2CNC(=O)CSCC#N)o1 ZINC001269201049 841334608 /nfs/dbraw/zinc/33/46/08/841334608.db2.gz QWDZTESNGKLHIJ-GFCCVEGCSA-N 1 2 308.407 1.239 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@@H]2CNC(=O)CSCC#N)o1 ZINC001269201049 841334621 /nfs/dbraw/zinc/33/46/21/841334621.db2.gz QWDZTESNGKLHIJ-GFCCVEGCSA-N 1 2 308.407 1.239 20 30 DDEDLO Cc1csc(=O)n1CC[N@H+]1CC[C@H]1CNC(=O)C#CC(C)C ZINC001269229615 841388307 /nfs/dbraw/zinc/38/83/07/841388307.db2.gz MWOWHXVOVCKCTK-AWEZNQCLSA-N 1 2 321.446 1.068 20 30 DDEDLO Cc1csc(=O)n1CC[N@@H+]1CC[C@H]1CNC(=O)C#CC(C)C ZINC001269229615 841388316 /nfs/dbraw/zinc/38/83/16/841388316.db2.gz MWOWHXVOVCKCTK-AWEZNQCLSA-N 1 2 321.446 1.068 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@H+]1[C@@H](C)C(=O)N1CCCCC1 ZINC001269240663 841406167 /nfs/dbraw/zinc/40/61/67/841406167.db2.gz MUZRHQCNGCYFCU-JKSUJKDBSA-N 1 2 321.465 1.934 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)C(=O)N1CCCCC1 ZINC001269240663 841406177 /nfs/dbraw/zinc/40/61/77/841406177.db2.gz MUZRHQCNGCYFCU-JKSUJKDBSA-N 1 2 321.465 1.934 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001269241234 841408637 /nfs/dbraw/zinc/40/86/37/841408637.db2.gz SOMILAUOLIATPG-KBPBESRZSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001269241234 841408640 /nfs/dbraw/zinc/40/86/40/841408640.db2.gz SOMILAUOLIATPG-KBPBESRZSA-N 1 2 321.446 1.523 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@H+]2CC(=O)N(C)C(C)C)CCC1 ZINC001269284448 841467084 /nfs/dbraw/zinc/46/70/84/841467084.db2.gz CUHPXOSGRCVFBK-OAHLLOKOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@@H+]2CC(=O)N(C)C(C)C)CCC1 ZINC001269284448 841467090 /nfs/dbraw/zinc/46/70/90/841467090.db2.gz CUHPXOSGRCVFBK-OAHLLOKOSA-N 1 2 321.465 1.790 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)N(C)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269286945 841472414 /nfs/dbraw/zinc/47/24/14/841472414.db2.gz FGKCRYXZJZHUIN-GJZGRUSLSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)C[C@H](C)CC(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269286945 841472419 /nfs/dbraw/zinc/47/24/19/841472419.db2.gz FGKCRYXZJZHUIN-GJZGRUSLSA-N 1 2 322.453 1.231 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1([NH2+][C@H](C)c2ncccn2)CC1 ZINC001269401290 841608031 /nfs/dbraw/zinc/60/80/31/841608031.db2.gz AMYJMSGBCHVEOW-DOMZBBRYSA-N 1 2 304.394 1.103 20 30 DDEDLO C=CCN1CC[C@@]2(CCN(C(=O)c3cccc4[nH+]ccn43)C2)C1=O ZINC001269484370 841681155 /nfs/dbraw/zinc/68/11/55/841681155.db2.gz COFJHPOCRCCYDO-SFHVURJKSA-N 1 2 324.384 1.585 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H](C)c2c(C)nn(C)c2C)C1 ZINC001270701442 842830722 /nfs/dbraw/zinc/83/07/22/842830722.db2.gz TUASVUJTWJEUJX-DOMZBBRYSA-N 1 2 320.437 1.143 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H](C)c2c(C)nn(C)c2C)C1 ZINC001270701442 842830735 /nfs/dbraw/zinc/83/07/35/842830735.db2.gz TUASVUJTWJEUJX-DOMZBBRYSA-N 1 2 320.437 1.143 20 30 DDEDLO C[C@]1(CNCC#N)CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001270726936 842852139 /nfs/dbraw/zinc/85/21/39/842852139.db2.gz MDHXDGQGXVPIQJ-QGZVFWFLSA-N 1 2 315.421 1.114 20 30 DDEDLO C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280292851 842905927 /nfs/dbraw/zinc/90/59/27/842905927.db2.gz HHMBIUIRRFGJOI-CHWSQXEVSA-N 1 2 318.421 1.401 20 30 DDEDLO C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280292851 842905931 /nfs/dbraw/zinc/90/59/31/842905931.db2.gz HHMBIUIRRFGJOI-CHWSQXEVSA-N 1 2 318.421 1.401 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCc2cc(OC)ccc2OC)CC1 ZINC001143082943 861368565 /nfs/dbraw/zinc/36/85/65/861368565.db2.gz KUGCZAZTBGAQIP-UHFFFAOYSA-N 1 2 318.417 1.967 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@]1(O)CC[N@H+](Cc2cc(C)on2)C1 ZINC001271185151 843392157 /nfs/dbraw/zinc/39/21/57/843392157.db2.gz TWOUJGOVQDCYSA-PBHICJAKSA-N 1 2 321.421 1.638 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@]1(O)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001271185151 843392170 /nfs/dbraw/zinc/39/21/70/843392170.db2.gz TWOUJGOVQDCYSA-PBHICJAKSA-N 1 2 321.421 1.638 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cc(OCC)n[nH]1 ZINC001409571756 845336971 /nfs/dbraw/zinc/33/69/71/845336971.db2.gz IWFSUOUOAPYIPZ-JTQLQIEISA-N 1 2 300.790 1.611 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cc(OCC)n[nH]1 ZINC001409571756 845336976 /nfs/dbraw/zinc/33/69/76/845336976.db2.gz IWFSUOUOAPYIPZ-JTQLQIEISA-N 1 2 300.790 1.611 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2nc3ccccc3[nH]2)C1=O ZINC001272669154 846545982 /nfs/dbraw/zinc/54/59/82/846545982.db2.gz XDQIWMUPHHCXAD-SFHVURJKSA-N 1 2 308.385 1.763 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2nc3ccccc3[nH]2)C1=O ZINC001272669154 846545983 /nfs/dbraw/zinc/54/59/83/846545983.db2.gz XDQIWMUPHHCXAD-SFHVURJKSA-N 1 2 308.385 1.763 20 30 DDEDLO Cc1cccnc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032362835 847043896 /nfs/dbraw/zinc/04/38/96/847043896.db2.gz WQKOXZIPBXXROO-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1cccnc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032362835 847043908 /nfs/dbraw/zinc/04/39/08/847043908.db2.gz WQKOXZIPBXXROO-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[C@H](C)NC(=O)Cn2cc[nH+]c2)cc1 ZINC001077702879 847186273 /nfs/dbraw/zinc/18/62/73/847186273.db2.gz RIFCVIGBGHLTOR-AWEZNQCLSA-N 1 2 324.384 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(F)c3ccccc23)C1 ZINC001077712876 847210670 /nfs/dbraw/zinc/21/06/70/847210670.db2.gz UADDJITXOXSKCX-IAGOWNOFSA-N 1 2 312.344 1.387 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(F)c3ccccc23)C1 ZINC001077712876 847210672 /nfs/dbraw/zinc/21/06/72/847210672.db2.gz UADDJITXOXSKCX-IAGOWNOFSA-N 1 2 312.344 1.387 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)c(F)c2)C1 ZINC001077723770 847296920 /nfs/dbraw/zinc/29/69/20/847296920.db2.gz ALHLSBYMANHMOL-ZIAGYGMSSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)c(F)c2)C1 ZINC001077723770 847296934 /nfs/dbraw/zinc/29/69/34/847296934.db2.gz ALHLSBYMANHMOL-ZIAGYGMSSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001107844415 847302096 /nfs/dbraw/zinc/30/20/96/847302096.db2.gz SEPDVIKQDCTNQH-LHHMISFZSA-N 1 2 304.434 1.653 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001107844415 847302102 /nfs/dbraw/zinc/30/21/02/847302102.db2.gz SEPDVIKQDCTNQH-LHHMISFZSA-N 1 2 304.434 1.653 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](OC)C2CC2)C1 ZINC001149646090 861776472 /nfs/dbraw/zinc/77/64/72/861776472.db2.gz GRCWNJYFVBJXBW-KBPBESRZSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](OC)C2CC2)C1 ZINC001149646090 861776480 /nfs/dbraw/zinc/77/64/80/861776480.db2.gz GRCWNJYFVBJXBW-KBPBESRZSA-N 1 2 316.829 1.371 20 30 DDEDLO C=CCN1CC2(C[NH+](CCCc3cccc(F)c3)C2)OCC1=O ZINC001273044166 847832291 /nfs/dbraw/zinc/83/22/91/847832291.db2.gz DFTBPBAVMHPKEU-UHFFFAOYSA-N 1 2 318.392 1.858 20 30 DDEDLO C=CCC[N@@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746546 861871394 /nfs/dbraw/zinc/87/13/94/861871394.db2.gz UBERPHVXSWZIPU-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746546 861871410 /nfs/dbraw/zinc/87/14/10/861871410.db2.gz UBERPHVXSWZIPU-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149746546 861871424 /nfs/dbraw/zinc/87/14/24/861871424.db2.gz UBERPHVXSWZIPU-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149746546 861871439 /nfs/dbraw/zinc/87/14/39/861871439.db2.gz UBERPHVXSWZIPU-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@@H](NC(=O)c3cnn[nH]3)C2)cc1 ZINC001034286641 848255704 /nfs/dbraw/zinc/25/57/04/848255704.db2.gz UKKHZSRLMMKXNB-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@@H](NC(=O)c3cnn[nH]3)C2)cc1 ZINC001034286641 848255716 /nfs/dbraw/zinc/25/57/16/848255716.db2.gz UKKHZSRLMMKXNB-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cn3c(cccc3C)n2)C1=O ZINC001273177622 848636322 /nfs/dbraw/zinc/63/63/22/848636322.db2.gz FVWXHMSREDRZFK-IBGZPJMESA-N 1 2 322.412 1.843 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cn3c(cccc3C)n2)C1=O ZINC001273177622 848636328 /nfs/dbraw/zinc/63/63/28/848636328.db2.gz FVWXHMSREDRZFK-IBGZPJMESA-N 1 2 322.412 1.843 20 30 DDEDLO CCOc1nnc(C[NH2+][C@H](C)CN(C)C(=O)[C@@H](C)C#N)s1 ZINC001410340360 849104904 /nfs/dbraw/zinc/10/49/04/849104904.db2.gz HIFJEUTXIQCKMI-VHSXEESVSA-N 1 2 311.411 1.033 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(CC=C)CC=C)C2)CC1 ZINC001273374391 849733552 /nfs/dbraw/zinc/73/35/52/849733552.db2.gz KESUWTBRQXOQAH-GOSISDBHSA-N 1 2 315.461 1.607 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001134410380 850669066 /nfs/dbraw/zinc/66/90/66/850669066.db2.gz OHENYYNGLJSDBA-NSHDSACASA-N 1 2 310.398 1.585 20 30 DDEDLO C=CCCCC[NH+]1CC2(C1)CN(Cc1ncccn1)C(=O)CO2 ZINC001273500787 851033325 /nfs/dbraw/zinc/03/33/25/851033325.db2.gz MLDQOJJJAPTTKU-UHFFFAOYSA-N 1 2 316.405 1.246 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1c(F)cc(O)cc1F ZINC001273671508 851208105 /nfs/dbraw/zinc/20/81/05/851208105.db2.gz IIKYCHLPHAAULB-HZPDHXFCSA-N 1 2 320.339 1.869 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1c(F)cc(O)cc1F ZINC001273671508 851208112 /nfs/dbraw/zinc/20/81/12/851208112.db2.gz IIKYCHLPHAAULB-HZPDHXFCSA-N 1 2 320.339 1.869 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)Cc1nonc1C ZINC001327511445 862229747 /nfs/dbraw/zinc/22/97/47/862229747.db2.gz GINRTVCMWBUQNX-MRXNPFEDSA-N 1 2 324.384 1.097 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)Cc1nonc1C ZINC001327511445 862229763 /nfs/dbraw/zinc/22/97/63/862229763.db2.gz GINRTVCMWBUQNX-MRXNPFEDSA-N 1 2 324.384 1.097 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H]2[C@H]1CCC(=O)N2CCCC#N ZINC001273989370 851786469 /nfs/dbraw/zinc/78/64/69/851786469.db2.gz CDNUTOBAENOEHM-ZIAGYGMSSA-N 1 2 303.366 1.247 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H]2[C@H]1CCC(=O)N2CCCC#N ZINC001273989370 851786478 /nfs/dbraw/zinc/78/64/78/851786478.db2.gz CDNUTOBAENOEHM-ZIAGYGMSSA-N 1 2 303.366 1.247 20 30 DDEDLO COC(=O)[C@@H](C)CN1C[C@]2(CC1=O)CCCC[N@@H+]2CCC#N ZINC001274031135 851869797 /nfs/dbraw/zinc/86/97/97/851869797.db2.gz IIEJMVZIJFPOOP-XJKSGUPXSA-N 1 2 307.394 1.166 20 30 DDEDLO COC(=O)[C@@H](C)CN1C[C@]2(CC1=O)CCCC[N@H+]2CCC#N ZINC001274031135 851869800 /nfs/dbraw/zinc/86/98/00/851869800.db2.gz IIEJMVZIJFPOOP-XJKSGUPXSA-N 1 2 307.394 1.166 20 30 DDEDLO C#CCN1CCC2(CC[NH+](Cc3nnc(C)s3)CC2)C1=O ZINC001274522588 852361427 /nfs/dbraw/zinc/36/14/27/852361427.db2.gz YQIGPVSWADVYPG-UHFFFAOYSA-N 1 2 304.419 1.294 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2C[C@@H]3OCCN(Cc4ccc[nH]4)[C@H]3C2)c1 ZINC001275027097 852752756 /nfs/dbraw/zinc/75/27/56/852752756.db2.gz FPABOSOXLQJWJC-ROUUACIJSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1cncc(C[N@H+]2C[C@@H]3OCCN(Cc4ccc[nH]4)[C@H]3C2)c1 ZINC001275027097 852752758 /nfs/dbraw/zinc/75/27/58/852752758.db2.gz FPABOSOXLQJWJC-ROUUACIJSA-N 1 2 323.400 1.367 20 30 DDEDLO CC(C)Cc1nnc([C@@H](C)[NH2+]C[C@H](C)NC(=O)C#CC2CC2)o1 ZINC001275150250 852841776 /nfs/dbraw/zinc/84/17/76/852841776.db2.gz ZJGJDOZBXJDNQD-QWHCGFSZSA-N 1 2 318.421 1.837 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]3[C@@H]2CCN3Cc2cc(C#N)n(C)c2)[nH]n1 ZINC001275209694 852897633 /nfs/dbraw/zinc/89/76/33/852897633.db2.gz ZRDXXSONKJJLJT-ROUUACIJSA-N 1 2 324.432 1.777 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]3[C@@H]2CCN3Cc2cc(C#N)n(C)c2)[nH]n1 ZINC001275209694 852897638 /nfs/dbraw/zinc/89/76/38/852897638.db2.gz ZRDXXSONKJJLJT-ROUUACIJSA-N 1 2 324.432 1.777 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1(CCO)C[NH+](CCn2cccn2)C1 ZINC001276083320 854724220 /nfs/dbraw/zinc/72/42/20/854724220.db2.gz SPDCLQBUAAGKDL-MRXNPFEDSA-N 1 2 320.437 1.038 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]2C1 ZINC001111297344 855456525 /nfs/dbraw/zinc/45/65/25/855456525.db2.gz YILRQYSYLQLQLL-GASCZTMLSA-N 1 2 316.405 1.272 20 30 DDEDLO C=CCC[NH2+]CC1(O)CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001328166901 862743077 /nfs/dbraw/zinc/74/30/77/862743077.db2.gz OQNUXHMOSVUXKD-SNVBAGLBSA-N 1 2 312.307 1.406 20 30 DDEDLO C=CCC[NH2+]CC1(O)CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001328166901 862743082 /nfs/dbraw/zinc/74/30/82/862743082.db2.gz OQNUXHMOSVUXKD-SNVBAGLBSA-N 1 2 312.307 1.406 20 30 DDEDLO C#CCCCCC(=O)N[C@H](C)C1C[NH+](Cc2cc(OC)no2)C1 ZINC001276369865 856290449 /nfs/dbraw/zinc/29/04/49/856290449.db2.gz SKURVBFUIYEZLW-CYBMUJFWSA-N 1 2 319.405 1.813 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[N@@H+]1Cc1nccn1C ZINC001328239716 862805588 /nfs/dbraw/zinc/80/55/88/862805588.db2.gz MKBBZJBOCSUDKG-GJZGRUSLSA-N 1 2 316.449 1.939 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[N@H+]1Cc1nccn1C ZINC001328239716 862805611 /nfs/dbraw/zinc/80/56/11/862805611.db2.gz MKBBZJBOCSUDKG-GJZGRUSLSA-N 1 2 316.449 1.939 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H](NC(=O)CSCC#N)C[C@H]1C ZINC001328243351 862814220 /nfs/dbraw/zinc/81/42/20/862814220.db2.gz PEIQZHGQQZQYND-ZYHUDNBSSA-N 1 2 323.422 1.104 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H](NC(=O)CSCC#N)C[C@H]1C ZINC001328243351 862814230 /nfs/dbraw/zinc/81/42/30/862814230.db2.gz PEIQZHGQQZQYND-ZYHUDNBSSA-N 1 2 323.422 1.104 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2nc(Cl)cc(Cl)c2C#N)C[NH2+]1 ZINC001156249596 862863656 /nfs/dbraw/zinc/86/36/56/862863656.db2.gz NQBPSEUXBYQVOJ-RCOVLWMOSA-N 1 2 315.160 1.575 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072639716 857545248 /nfs/dbraw/zinc/54/52/48/857545248.db2.gz ZZIOPRYVZKCGCV-OCCSQVGLSA-N 1 2 304.394 1.272 20 30 DDEDLO CC#CC[N@@H+]1CCC2(CN(C(=O)c3cccc4[nH]cnc43)C2)C1 ZINC001073071071 858066420 /nfs/dbraw/zinc/06/64/20/858066420.db2.gz DUJLQCQUZNQGNN-UHFFFAOYSA-N 1 2 308.385 1.734 20 30 DDEDLO CC#CC[N@H+]1CCC2(CN(C(=O)c3cccc4[nH]cnc43)C2)C1 ZINC001073071071 858066429 /nfs/dbraw/zinc/06/64/29/858066429.db2.gz DUJLQCQUZNQGNN-UHFFFAOYSA-N 1 2 308.385 1.734 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)/C=C/C(C)(C)C)CC2)C1 ZINC001328486349 863002331 /nfs/dbraw/zinc/00/23/31/863002331.db2.gz YYFBIDIUUZPVJY-VOTSOKGWSA-N 1 2 304.434 1.915 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)/C=C/C(C)(C)C)CC2)C1 ZINC001328486349 863002350 /nfs/dbraw/zinc/00/23/50/863002350.db2.gz YYFBIDIUUZPVJY-VOTSOKGWSA-N 1 2 304.434 1.915 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1(C)C ZINC001073342174 858280588 /nfs/dbraw/zinc/28/05/88/858280588.db2.gz VLPGBYWDVSNVFH-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCn1c(N(CC)CC(C)C)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121709715 858578680 /nfs/dbraw/zinc/57/86/80/858578680.db2.gz VXJPRXVRMAIIII-WMLDXEAASA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(CC)CC(C)C)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001121709715 858578685 /nfs/dbraw/zinc/57/86/85/858578685.db2.gz VXJPRXVRMAIIII-WMLDXEAASA-N 1 2 321.469 1.858 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125168071 859908806 /nfs/dbraw/zinc/90/88/06/859908806.db2.gz LHARVVVSJWVLIL-AWEZNQCLSA-N 1 2 320.437 1.643 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(C)C[C@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125224391 859925275 /nfs/dbraw/zinc/92/52/75/859925275.db2.gz VNDPDAKSSXLOKA-UKRRQHHQSA-N 1 2 320.437 1.643 20 30 DDEDLO CN(C)c1ncc(C[NH+]2CCN(c3cccc(C#N)c3)CC2)cn1 ZINC001138352356 860069417 /nfs/dbraw/zinc/06/94/17/860069417.db2.gz CUCYSXHRAQKGJY-UHFFFAOYSA-N 1 2 322.416 1.736 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(CC)o1 ZINC001153012435 863650456 /nfs/dbraw/zinc/65/04/56/863650456.db2.gz KWUORSPVKUHGNW-CXAGYDPISA-N 1 2 323.437 1.891 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(CC)o1 ZINC001153012435 863650460 /nfs/dbraw/zinc/65/04/60/863650460.db2.gz KWUORSPVKUHGNW-CXAGYDPISA-N 1 2 323.437 1.891 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC001329458249 863677465 /nfs/dbraw/zinc/67/74/65/863677465.db2.gz YCNMTVMRPVWEFG-UHFFFAOYSA-N 1 2 312.373 1.523 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)[N@@H+](C)Cc1ccn(CC)n1 ZINC001329548973 863723949 /nfs/dbraw/zinc/72/39/49/863723949.db2.gz IPANLLBOJNEZMO-IMJJTQAJSA-N 1 2 320.437 1.431 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)[N@H+](C)Cc1ccn(CC)n1 ZINC001329548973 863723955 /nfs/dbraw/zinc/72/39/55/863723955.db2.gz IPANLLBOJNEZMO-IMJJTQAJSA-N 1 2 320.437 1.431 20 30 DDEDLO C#CC[C@H](CC(=O)NCC[NH2+]Cc1cnon1)c1ccccc1 ZINC001153714780 864032444 /nfs/dbraw/zinc/03/24/44/864032444.db2.gz SJYKDSFCYUOGIP-OAHLLOKOSA-N 1 2 312.373 1.473 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)C1C[NH+](CC(=O)NCCC)C1 ZINC001330049555 864048422 /nfs/dbraw/zinc/04/84/22/864048422.db2.gz VCNBCAUECRHMBC-GUYCJALGSA-N 1 2 309.454 1.551 20 30 DDEDLO CCCc1nc(C[NH2+]CCCNC(=O)C#CC(C)(C)C)no1 ZINC001157719263 864075459 /nfs/dbraw/zinc/07/54/59/864075459.db2.gz VPHOAZIMGWJINY-UHFFFAOYSA-N 1 2 306.410 1.668 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ccn(C)n1 ZINC001157940437 864286237 /nfs/dbraw/zinc/28/62/37/864286237.db2.gz ZMQSETLKSFOSNZ-QGZVFWFLSA-N 1 2 316.449 1.892 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ccn(C)n1 ZINC001157940437 864286253 /nfs/dbraw/zinc/28/62/53/864286253.db2.gz ZMQSETLKSFOSNZ-QGZVFWFLSA-N 1 2 316.449 1.892 20 30 DDEDLO N#Cc1c[nH]c2nc(N3CCC([N@@H+]4CC[C@H]([NH3+])C4)CC3)ccc12 ZINC001158261322 864524749 /nfs/dbraw/zinc/52/47/49/864524749.db2.gz LYWLOBUAQAULDC-ZDUSSCGKSA-N 1 2 310.405 1.436 20 30 DDEDLO N#Cc1c[nH]c2nc(N3CCC([N@H+]4CC[C@H]([NH3+])C4)CC3)ccc12 ZINC001158261322 864524751 /nfs/dbraw/zinc/52/47/51/864524751.db2.gz LYWLOBUAQAULDC-ZDUSSCGKSA-N 1 2 310.405 1.436 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1([NH2+]Cc2ncc(CC)o2)CC1 ZINC001277048586 881866030 /nfs/dbraw/zinc/86/60/30/881866030.db2.gz KEMPUPPHXRSSGB-OAHLLOKOSA-N 1 2 307.394 1.303 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc(OC)c1OC ZINC001332107658 865580549 /nfs/dbraw/zinc/58/05/49/865580549.db2.gz YQEZONLQNHPZPU-JTQLQIEISA-N 1 2 308.334 1.089 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@H+](Cc2ccc(F)cn2)[C@H]1C ZINC001332162285 865626030 /nfs/dbraw/zinc/62/60/30/865626030.db2.gz BBALPWYXPJTEQF-BBRMVZONSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(F)cn2)[C@H]1C ZINC001332162285 865626037 /nfs/dbraw/zinc/62/60/37/865626037.db2.gz BBALPWYXPJTEQF-BBRMVZONSA-N 1 2 321.396 1.892 20 30 DDEDLO NC(=O)c1ccc(C(=[NH2+])Nc2ccc3[nH]cc([N+](=O)[O-])c3n2)cc1 ZINC001160082795 865672414 /nfs/dbraw/zinc/67/24/14/865672414.db2.gz KOCNZFDZTZFGQB-UHFFFAOYSA-N 1 2 324.300 1.607 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1cc(C#N)cc(Cl)n1 ZINC001160691975 866031789 /nfs/dbraw/zinc/03/17/89/866031789.db2.gz ZFISAZZKSBLSLX-LLVKDONJSA-N 1 2 319.752 1.536 20 30 DDEDLO N#Cc1ccc([N+](=O)[O-])c(N[C@H]2CCC[C@H]2[NH+]2CCOCC2)n1 ZINC001160724129 866071434 /nfs/dbraw/zinc/07/14/34/866071434.db2.gz OPPXKOJQBUQCPW-QWHCGFSZSA-N 1 2 317.349 1.527 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@H](NC(=O)C#CC(C)C)C2CC2)no1 ZINC001322990126 866246817 /nfs/dbraw/zinc/24/68/17/866246817.db2.gz DNPRXNFOODDCRZ-FZMZJTMJSA-N 1 2 304.394 1.583 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+]1CCNC(=O)NC(C)(C)C ZINC001323198174 866423518 /nfs/dbraw/zinc/42/35/18/866423518.db2.gz HTROCPKZEYGKHM-CYBMUJFWSA-N 1 2 310.442 1.241 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+]1CCNC(=O)NC(C)(C)C ZINC001323198174 866423522 /nfs/dbraw/zinc/42/35/22/866423522.db2.gz HTROCPKZEYGKHM-CYBMUJFWSA-N 1 2 310.442 1.241 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc2c[nH]nc21 ZINC001323279155 866495932 /nfs/dbraw/zinc/49/59/32/866495932.db2.gz SKTKKBWIUCVNPG-AWEZNQCLSA-N 1 2 312.373 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc2c[nH]nc21 ZINC001323279155 866495940 /nfs/dbraw/zinc/49/59/40/866495940.db2.gz SKTKKBWIUCVNPG-AWEZNQCLSA-N 1 2 312.373 1.017 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@H+]2[C@H]2CCCN(C)C2=O)CCC1 ZINC001323301305 866512542 /nfs/dbraw/zinc/51/25/42/866512542.db2.gz GZCQXHPQXDGCMF-GJZGRUSLSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@@H+]2[C@H]2CCCN(C)C2=O)CCC1 ZINC001323301305 866512556 /nfs/dbraw/zinc/51/25/56/866512556.db2.gz GZCQXHPQXDGCMF-GJZGRUSLSA-N 1 2 319.449 1.544 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc2ccccc2c1 ZINC001323309274 866520447 /nfs/dbraw/zinc/52/04/47/866520447.db2.gz NRPIYQHCFRDINM-KRWDZBQOSA-N 1 2 323.396 1.689 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc2ccccc2c1 ZINC001323309274 866520453 /nfs/dbraw/zinc/52/04/53/866520453.db2.gz NRPIYQHCFRDINM-KRWDZBQOSA-N 1 2 323.396 1.689 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(F)cc1F ZINC001323341119 866547555 /nfs/dbraw/zinc/54/75/55/866547555.db2.gz SAOTWADZEHKTCI-ZDUSSCGKSA-N 1 2 308.328 1.419 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(F)cc1F ZINC001323341119 866547561 /nfs/dbraw/zinc/54/75/61/866547561.db2.gz SAOTWADZEHKTCI-ZDUSSCGKSA-N 1 2 308.328 1.419 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@]1(C)OCCc2ccccc21 ZINC001323372188 866569484 /nfs/dbraw/zinc/56/94/84/866569484.db2.gz SDXRLUSYFIAQCF-VQIMIIECSA-N 1 2 312.413 1.688 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@]1(C)OCCc2ccccc21 ZINC001323372188 866569494 /nfs/dbraw/zinc/56/94/94/866569494.db2.gz SDXRLUSYFIAQCF-VQIMIIECSA-N 1 2 312.413 1.688 20 30 DDEDLO Cn1cnnc1C[NH2+]Cc1cn(CCC#N)nc1-c1ccccc1 ZINC001323454243 866621498 /nfs/dbraw/zinc/62/14/98/866621498.db2.gz ZZADSYAJHDCGDZ-UHFFFAOYSA-N 1 2 321.388 1.882 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1([NH2+]Cc2cnn(CC)n2)CC1 ZINC001323529965 866667940 /nfs/dbraw/zinc/66/79/40/866667940.db2.gz FZRCYSVULHWYOH-HNNXBMFYSA-N 1 2 305.426 1.639 20 30 DDEDLO C#CCN(C(=O)C(F)C(F)(F)F)C1CC[NH+](CCF)CC1 ZINC001324396944 867255205 /nfs/dbraw/zinc/25/52/05/867255205.db2.gz KMMZPBGJIPQBCR-LLVKDONJSA-N 1 2 312.282 1.783 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@](C)(NC(=O)[C@H](CC)SC)C1 ZINC001324601239 867393882 /nfs/dbraw/zinc/39/38/82/867393882.db2.gz KDARVGKPWKLMAN-WFASDCNBSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@](C)(NC(=O)[C@H](CC)SC)C1 ZINC001324601239 867393887 /nfs/dbraw/zinc/39/38/87/867393887.db2.gz KDARVGKPWKLMAN-WFASDCNBSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001325130730 867802251 /nfs/dbraw/zinc/80/22/51/867802251.db2.gz UXOALQSQUJJHRL-JKSUJKDBSA-N 1 2 303.406 1.489 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001325130730 867802256 /nfs/dbraw/zinc/80/22/56/867802256.db2.gz UXOALQSQUJJHRL-JKSUJKDBSA-N 1 2 303.406 1.489 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCCCC1 ZINC001334754057 867814597 /nfs/dbraw/zinc/81/45/97/867814597.db2.gz MWDOTDVXSLGPAM-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CC(=O)NCC(=O)c2ccccc2)C1 ZINC001325226820 867875456 /nfs/dbraw/zinc/87/54/56/867875456.db2.gz ADKWKTLBJXICEN-OAHLLOKOSA-N 1 2 302.374 1.262 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CC(=O)NCC(=O)c2ccccc2)C1 ZINC001325226820 867875461 /nfs/dbraw/zinc/87/54/61/867875461.db2.gz ADKWKTLBJXICEN-OAHLLOKOSA-N 1 2 302.374 1.262 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(c3nccn4c[nH+]cc34)C2)nc1 ZINC001334999952 867985275 /nfs/dbraw/zinc/98/52/75/867985275.db2.gz IMRQGBPYOXKJBR-CYBMUJFWSA-N 1 2 306.329 1.654 20 30 DDEDLO C=C[C@@H](COC)NC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC001325634079 868201120 /nfs/dbraw/zinc/20/11/20/868201120.db2.gz DJPRTSXHDMETFP-AWEZNQCLSA-N 1 2 300.362 1.873 20 30 DDEDLO C=CCCC(=O)NCCC1(C[NH2+]Cc2noc(CC)n2)CC1 ZINC001163762352 868687557 /nfs/dbraw/zinc/68/75/57/868687557.db2.gz BXJZKVNJWJAGRZ-UHFFFAOYSA-N 1 2 306.410 1.974 20 30 DDEDLO COc1ncc(NC(=O)/C=C(\C)C[NH+]2CCOCC2)cc1C#N ZINC001336071108 868713451 /nfs/dbraw/zinc/71/34/51/868713451.db2.gz DAZZPAKCPWTBMG-KPKJPENVSA-N 1 2 316.361 1.179 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NC[C@H]1CCS(=O)(=O)C1 ZINC001336917080 869216449 /nfs/dbraw/zinc/21/64/49/869216449.db2.gz ZCUZLJYQMKKNNX-CYBMUJFWSA-N 1 2 308.403 1.720 20 30 DDEDLO Cc1cnc(N2CC([NH+]3C[C@@H](C)O[C@H](C)C3)C2)c(C=NO)c1 ZINC001165203903 869424843 /nfs/dbraw/zinc/42/48/43/869424843.db2.gz XQFOONPYMMTJRQ-CHWSQXEVSA-N 1 2 304.394 1.496 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@H]1C ZINC001337982491 869727199 /nfs/dbraw/zinc/72/71/99/869727199.db2.gz GJWGFXVONQMRAM-GJZGRUSLSA-N 1 2 316.405 1.038 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](C)N(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001338108341 869809230 /nfs/dbraw/zinc/80/92/30/869809230.db2.gz OFTMXDCESKQYFM-HIFRSBDPSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](C)N(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001338108341 869809238 /nfs/dbraw/zinc/80/92/38/869809238.db2.gz OFTMXDCESKQYFM-HIFRSBDPSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)[C@@H]1C ZINC001338269051 869896134 /nfs/dbraw/zinc/89/61/34/869896134.db2.gz YFZHUJOLBCSIOP-HUUCEWRRSA-N 1 2 318.421 1.735 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001338281356 869901679 /nfs/dbraw/zinc/90/16/79/869901679.db2.gz CDDMASYQGZIRTG-HUUCEWRRSA-N 1 2 318.421 1.735 20 30 DDEDLO C#CCONC(=O)CC[NH+]1CCN(c2cccc(Cl)c2)CC1 ZINC001166329292 869957004 /nfs/dbraw/zinc/95/70/04/869957004.db2.gz YLCXFDXJMDPMBO-UHFFFAOYSA-N 1 2 321.808 1.533 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)[C@@H]2CCC(C)(C)C2)CC1 ZINC001316967922 870008677 /nfs/dbraw/zinc/00/86/77/870008677.db2.gz JFHMOPZQIRSPQG-MRXNPFEDSA-N 1 2 305.466 1.570 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)C2CCC(F)CC2)CC1 ZINC001316967175 870009576 /nfs/dbraw/zinc/00/95/76/870009576.db2.gz UIORVCIYNWIZSN-UHFFFAOYSA-N 1 2 309.429 1.272 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)c2cc3ccccc3[nH]2)CC1 ZINC001316968197 870010802 /nfs/dbraw/zinc/01/08/02/870010802.db2.gz QPAUIXWEIJJNCZ-UHFFFAOYSA-N 1 2 311.389 1.039 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001316987098 870074676 /nfs/dbraw/zinc/07/46/76/870074676.db2.gz KLNFMRZWRSTHNM-HNNXBMFYSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001316987098 870074688 /nfs/dbraw/zinc/07/46/88/870074688.db2.gz KLNFMRZWRSTHNM-HNNXBMFYSA-N 1 2 321.465 1.838 20 30 DDEDLO CCCN(C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C1CCN(CC#N)CC1 ZINC001317007229 870113459 /nfs/dbraw/zinc/11/34/59/870113459.db2.gz TUQRDIZJPBVASV-HUUCEWRRSA-N 1 2 315.421 1.740 20 30 DDEDLO CCCN(C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C1CCN(CC#N)CC1 ZINC001317007229 870113466 /nfs/dbraw/zinc/11/34/66/870113466.db2.gz TUQRDIZJPBVASV-HUUCEWRRSA-N 1 2 315.421 1.740 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1CC ZINC001317049873 870166249 /nfs/dbraw/zinc/16/62/49/870166249.db2.gz UFAJTDSCRYWUEN-ZVDSWSACSA-N 1 2 319.449 1.398 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1CC ZINC001317049873 870166253 /nfs/dbraw/zinc/16/62/53/870166253.db2.gz UFAJTDSCRYWUEN-ZVDSWSACSA-N 1 2 319.449 1.398 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@@H]([NH2+]Cc2nsc(N(C)C)n2)C1 ZINC001317117275 870286859 /nfs/dbraw/zinc/28/68/59/870286859.db2.gz GVZILEWCTNBGKM-PHIMTYICSA-N 1 2 323.466 1.553 20 30 DDEDLO C#CC[C@H](CC)c1nnc(N(C)CC[NH+]2CCOCC2)n1C ZINC001339447117 870501968 /nfs/dbraw/zinc/50/19/68/870501968.db2.gz SSFFXCNHKXACLL-AWEZNQCLSA-N 1 2 305.426 1.100 20 30 DDEDLO C=CC(C)(C)CC(=O)NC/C=C\CNC(=O)Cc1[nH]cc[nH+]1 ZINC001298422274 870539669 /nfs/dbraw/zinc/53/96/69/870539669.db2.gz RZOVLONMBLZJBM-WAYWQWQTSA-N 1 2 304.394 1.343 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001298851556 870755372 /nfs/dbraw/zinc/75/53/72/870755372.db2.gz XAJJUWIVPNXOMX-QWHCGFSZSA-N 1 2 306.410 1.186 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]1CNC(=O)CCCn1cc[nH+]c1 ZINC001299054473 870866291 /nfs/dbraw/zinc/86/62/91/870866291.db2.gz BCBFXZBYNMOYRE-HNNXBMFYSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001299086184 870885618 /nfs/dbraw/zinc/88/56/18/870885618.db2.gz BBMUUPMXCSYXLS-CQSZACIVSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001299086184 870885632 /nfs/dbraw/zinc/88/56/32/870885632.db2.gz BBMUUPMXCSYXLS-CQSZACIVSA-N 1 2 304.394 1.416 20 30 DDEDLO N#Cc1cccc2[nH]cc(C(=O)N[C@@H]3CCn4c[nH+]cc4C3)c21 ZINC001299812354 870940289 /nfs/dbraw/zinc/94/02/89/870940289.db2.gz APHYWADXPMLERW-GFCCVEGCSA-N 1 2 305.341 1.981 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)[C@H](C)C1CC1 ZINC001340258208 870992882 /nfs/dbraw/zinc/99/28/82/870992882.db2.gz GXXZKJOACQYTFP-BNOWGMLFSA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)[C@H](C)C1CC1 ZINC001340258208 870992894 /nfs/dbraw/zinc/99/28/94/870992894.db2.gz GXXZKJOACQYTFP-BNOWGMLFSA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2CC)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001340572321 871203252 /nfs/dbraw/zinc/20/32/52/871203252.db2.gz QZPQQSKNWUACLI-JJRVBVJISA-N 1 2 319.453 1.755 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2CC)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001340572321 871203267 /nfs/dbraw/zinc/20/32/67/871203267.db2.gz QZPQQSKNWUACLI-JJRVBVJISA-N 1 2 319.453 1.755 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2CC#N)n1cc[nH+]c1 ZINC001317738498 871454468 /nfs/dbraw/zinc/45/44/68/871454468.db2.gz GQXZYTRAIBRTEA-XHSDSOJGSA-N 1 2 315.421 1.669 20 30 DDEDLO C#CCCCC(=O)N(C)CC[NH+]1CCN(Cc2ccon2)CC1 ZINC001317816257 871540325 /nfs/dbraw/zinc/54/03/25/871540325.db2.gz BPIGTQMBYLJGIG-UHFFFAOYSA-N 1 2 318.421 1.054 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1([NH2+]Cc2nnnn2CCC)CC1 ZINC001277139617 882520724 /nfs/dbraw/zinc/52/07/24/882520724.db2.gz AOEBDOOQGLPJQP-UHFFFAOYSA-N 1 2 306.414 1.034 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001317968973 871659000 /nfs/dbraw/zinc/65/90/00/871659000.db2.gz MAODXFPLCISHFO-INIZCTEOSA-N 1 2 321.465 1.531 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001317968973 871659004 /nfs/dbraw/zinc/65/90/04/871659004.db2.gz MAODXFPLCISHFO-INIZCTEOSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001318248231 871872746 /nfs/dbraw/zinc/87/27/46/871872746.db2.gz PSRDDBXNOIZNBK-JSGCOSHPSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001318248231 871872766 /nfs/dbraw/zinc/87/27/66/871872766.db2.gz PSRDDBXNOIZNBK-JSGCOSHPSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001318273761 871900863 /nfs/dbraw/zinc/90/08/63/871900863.db2.gz XMAAYGKUXXWQGG-HOCLYGCPSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC2CCC2)C1 ZINC001318273761 871900875 /nfs/dbraw/zinc/90/08/75/871900875.db2.gz XMAAYGKUXXWQGG-HOCLYGCPSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001318309372 871920042 /nfs/dbraw/zinc/92/00/42/871920042.db2.gz NLGWJVWRUKLSIN-GJZGRUSLSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001318309372 871920054 /nfs/dbraw/zinc/92/00/54/871920054.db2.gz NLGWJVWRUKLSIN-GJZGRUSLSA-N 1 2 321.465 1.696 20 30 DDEDLO CC(C)c1noc([C@H](C)[NH+]2CCC(NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001226649203 882564764 /nfs/dbraw/zinc/56/47/64/882564764.db2.gz RVARMWBQIIBAJS-RYUDHWBXSA-N 1 2 319.409 1.994 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001316793065 872053797 /nfs/dbraw/zinc/05/37/97/872053797.db2.gz KXYGKRFORNTAHC-KEYYUXOJSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001316793065 872053814 /nfs/dbraw/zinc/05/38/14/872053814.db2.gz KXYGKRFORNTAHC-KEYYUXOJSA-N 1 2 322.453 1.422 20 30 DDEDLO CC#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)C#CC1CC1)C2 ZINC001316810929 872085352 /nfs/dbraw/zinc/08/53/52/872085352.db2.gz YMQPGNZOCBFVGE-UHFFFAOYSA-N 1 2 313.426 1.554 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)C1 ZINC001342228396 872088447 /nfs/dbraw/zinc/08/84/47/872088447.db2.gz JVOAPRLJNZMUAA-SOUVJXGZSA-N 1 2 311.426 1.082 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-c1nccc(C#N)n1 ZINC001206477763 872361867 /nfs/dbraw/zinc/36/18/67/872361867.db2.gz ZHJYRRYWAZMJAP-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)/C=C/c2ccco2)C1 ZINC001319289336 872539289 /nfs/dbraw/zinc/53/92/89/872539289.db2.gz KEHBOZLJZVPLTE-SQRWCISFSA-N 1 2 320.389 1.312 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)/C=C/c2ccco2)C1 ZINC001319289336 872539299 /nfs/dbraw/zinc/53/92/99/872539299.db2.gz KEHBOZLJZVPLTE-SQRWCISFSA-N 1 2 320.389 1.312 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001319307804 872556958 /nfs/dbraw/zinc/55/69/58/872556958.db2.gz BIXICMVDCLXATF-WMZOPIPTSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001319307804 872556965 /nfs/dbraw/zinc/55/69/65/872556965.db2.gz BIXICMVDCLXATF-WMZOPIPTSA-N 1 2 322.449 1.752 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2ncccn2)C[C@H]1C ZINC001206913431 872754950 /nfs/dbraw/zinc/75/49/50/872754950.db2.gz KRDRCPXNSFJTSV-RBSFLKMASA-N 1 2 300.406 1.778 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2ncccn2)C[C@H]1C ZINC001206913431 872754961 /nfs/dbraw/zinc/75/49/61/872754961.db2.gz KRDRCPXNSFJTSV-RBSFLKMASA-N 1 2 300.406 1.778 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001206914543 872758875 /nfs/dbraw/zinc/75/88/75/872758875.db2.gz JDTGILNDMUCOBK-AXAPSJFSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001206914543 872758878 /nfs/dbraw/zinc/75/88/78/872758878.db2.gz JDTGILNDMUCOBK-AXAPSJFSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001344214112 872948553 /nfs/dbraw/zinc/94/85/53/872948553.db2.gz HPMPDOYAUWZCLA-BETUJISGSA-N 1 2 318.421 1.566 20 30 DDEDLO COc1cccnc1N1CC[NH+](Cc2cc(C#N)n(C)c2)CC1 ZINC001207109750 873028660 /nfs/dbraw/zinc/02/86/60/873028660.db2.gz SNWBLDSWQDSNKS-UHFFFAOYSA-N 1 2 311.389 1.623 20 30 DDEDLO COC(=O)c1sc(C)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001226898937 882710937 /nfs/dbraw/zinc/71/09/37/882710937.db2.gz KBIKGJXZCOVYEX-NJFCNUMCSA-N 1 2 309.387 1.834 20 30 DDEDLO COC(=O)c1sc(C)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001226898937 882710942 /nfs/dbraw/zinc/71/09/42/882710942.db2.gz KBIKGJXZCOVYEX-NJFCNUMCSA-N 1 2 309.387 1.834 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001207636984 873430980 /nfs/dbraw/zinc/43/09/80/873430980.db2.gz ZYMBSBPAUVFNQK-GFCCVEGCSA-N 1 2 308.382 1.263 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001207950431 873680964 /nfs/dbraw/zinc/68/09/64/873680964.db2.gz KYPXXPNLSOFKOI-MLGOLLRUSA-N 1 2 322.409 1.040 20 30 DDEDLO C=C(C)Cn1c([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)nnc1N(C)OC ZINC001346645762 873835819 /nfs/dbraw/zinc/83/58/19/873835819.db2.gz ANWJPBWOKUJWDT-ZIAGYGMSSA-N 1 2 321.425 1.778 20 30 DDEDLO C=C(C)Cn1c([C@H]2C[N@H+]3CCCC[C@@H]3CO2)nnc1N(C)OC ZINC001346645762 873835828 /nfs/dbraw/zinc/83/58/28/873835828.db2.gz ANWJPBWOKUJWDT-ZIAGYGMSSA-N 1 2 321.425 1.778 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+]([C@H](C)c2nc(C)no2)CC1 ZINC001227076000 882815167 /nfs/dbraw/zinc/81/51/67/882815167.db2.gz CQYGJSVWLDZAEP-BDJLRTHQSA-N 1 2 322.409 1.347 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](CC(N)=O)C[C@H]2C)CCCCC1 ZINC001211235521 875723922 /nfs/dbraw/zinc/72/39/22/875723922.db2.gz SBMNWLCFJHUGEB-ZIAGYGMSSA-N 1 2 307.438 1.435 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](CC(N)=O)C[C@H]2C)CCCCC1 ZINC001211235521 875723928 /nfs/dbraw/zinc/72/39/28/875723928.db2.gz SBMNWLCFJHUGEB-ZIAGYGMSSA-N 1 2 307.438 1.435 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2nocc2C)C[C@H]1C ZINC001211423156 875799699 /nfs/dbraw/zinc/79/96/99/875799699.db2.gz YPBUTAGUTRSAQY-TZMCWYRMSA-N 1 2 307.394 1.512 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2nocc2C)C[C@H]1C ZINC001211423156 875799702 /nfs/dbraw/zinc/79/97/02/875799702.db2.gz YPBUTAGUTRSAQY-TZMCWYRMSA-N 1 2 307.394 1.512 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001350730656 875857373 /nfs/dbraw/zinc/85/73/73/875857373.db2.gz FDGDPADECPJPFI-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+](Cc2csc(C)n2)CC1 ZINC001227332015 882973100 /nfs/dbraw/zinc/97/31/00/882973100.db2.gz GPKVUIKFSFPULP-UHFFFAOYSA-N 1 2 321.446 1.572 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cncn2C)C[C@H]1OC ZINC001213317262 875892885 /nfs/dbraw/zinc/89/28/85/875892885.db2.gz CHAWYZAOJJYRPO-NUEKZKHPSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cncn2C)C[C@H]1OC ZINC001213317262 875892897 /nfs/dbraw/zinc/89/28/97/875892897.db2.gz CHAWYZAOJJYRPO-NUEKZKHPSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1CN(Cc2c[nH+]cn2C)C[C@H]1OC ZINC001213317262 875892907 /nfs/dbraw/zinc/89/29/07/875892907.db2.gz CHAWYZAOJJYRPO-NUEKZKHPSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cn2c(n1)COCC2 ZINC001379078473 876331260 /nfs/dbraw/zinc/33/12/60/876331260.db2.gz RWLRIMGJJVCJRB-UHFFFAOYSA-N 1 2 312.801 1.170 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cn2c(n1)COCC2 ZINC001379078473 876331267 /nfs/dbraw/zinc/33/12/67/876331267.db2.gz RWLRIMGJJVCJRB-UHFFFAOYSA-N 1 2 312.801 1.170 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001227571004 883088930 /nfs/dbraw/zinc/08/89/30/883088930.db2.gz VKIFBWYNWQZKRX-UHFFFAOYSA-N 1 2 319.453 1.981 20 30 DDEDLO CCCCCC(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001217301175 877165904 /nfs/dbraw/zinc/16/59/04/877165904.db2.gz MHFGIWMEAHYZHU-JKSUJKDBSA-N 1 2 308.422 1.128 20 30 DDEDLO CCCCCC(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001217301175 877165905 /nfs/dbraw/zinc/16/59/05/877165905.db2.gz MHFGIWMEAHYZHU-JKSUJKDBSA-N 1 2 308.422 1.128 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC001353454058 877345662 /nfs/dbraw/zinc/34/56/62/877345662.db2.gz MDWBSPRBZVWFLO-KBPBESRZSA-N 1 2 316.405 1.129 20 30 DDEDLO C[C@H](NC(=O)c1ncc(C#N)cc1Cl)[C@H]1C[N@H+](C)CCO1 ZINC001416812566 877383697 /nfs/dbraw/zinc/38/36/97/877383697.db2.gz ZSWMQRAITUNITK-JOYOIKCWSA-N 1 2 308.769 1.056 20 30 DDEDLO C[C@H](NC(=O)c1ncc(C#N)cc1Cl)[C@H]1C[N@@H+](C)CCO1 ZINC001416812566 877383717 /nfs/dbraw/zinc/38/37/17/877383717.db2.gz ZSWMQRAITUNITK-JOYOIKCWSA-N 1 2 308.769 1.056 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCNC(=O)NC)[C@@H]1C ZINC001379632931 877588777 /nfs/dbraw/zinc/58/87/77/877588777.db2.gz AQLHHTXIIBESDJ-NWDGAFQWSA-N 1 2 316.833 1.027 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCNC(=O)NC)[C@@H]1C ZINC001379632931 877588792 /nfs/dbraw/zinc/58/87/92/877588792.db2.gz AQLHHTXIIBESDJ-NWDGAFQWSA-N 1 2 316.833 1.027 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@H]2CNC(=O)CSCC#N)s1 ZINC001276794035 877696135 /nfs/dbraw/zinc/69/61/35/877696135.db2.gz ZFFBSSPVGADLAE-LBPRGKRZSA-N 1 2 324.475 1.707 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@H]2CNC(=O)CSCC#N)s1 ZINC001276794035 877696141 /nfs/dbraw/zinc/69/61/41/877696141.db2.gz ZFFBSSPVGADLAE-LBPRGKRZSA-N 1 2 324.475 1.707 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cccc2n[nH]nc21 ZINC001379687076 877745319 /nfs/dbraw/zinc/74/53/19/877745319.db2.gz VFPHYWATADAXKZ-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cccc2n[nH]nc21 ZINC001379687076 877745333 /nfs/dbraw/zinc/74/53/33/877745333.db2.gz VFPHYWATADAXKZ-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1ccc(C(N)=O)s1 ZINC001379758320 877932819 /nfs/dbraw/zinc/93/28/19/877932819.db2.gz MUPNTKWAHIRXID-SECBINFHSA-N 1 2 315.826 1.650 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1ccc(C(N)=O)s1 ZINC001379758320 877932825 /nfs/dbraw/zinc/93/28/25/877932825.db2.gz MUPNTKWAHIRXID-SECBINFHSA-N 1 2 315.826 1.650 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1CCCCCC1 ZINC001276802170 877936635 /nfs/dbraw/zinc/93/66/35/877936635.db2.gz XEHMVQHTZBDMJZ-ZBFHGGJFSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C1CCCCCC1 ZINC001276802170 877936651 /nfs/dbraw/zinc/93/66/51/877936651.db2.gz XEHMVQHTZBDMJZ-ZBFHGGJFSA-N 1 2 321.465 1.838 20 30 DDEDLO CCN(CCNC(=O)Cc1[nH]c[nH+]c1C)c1ccncc1C#N ZINC001100577562 878014561 /nfs/dbraw/zinc/01/45/61/878014561.db2.gz FQTNIVLMXVZCGZ-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001354504584 878024183 /nfs/dbraw/zinc/02/41/83/878024183.db2.gz BZCZIFFANSWPQM-OLZOCXBDSA-N 1 2 304.394 1.033 20 30 DDEDLO C#CCN(CCOC)c1nnc(C)n1Cc1c[nH+]ccc1OC ZINC001300653807 878074543 /nfs/dbraw/zinc/07/45/43/878074543.db2.gz OOBYDOGUIQUOKJ-UHFFFAOYSA-N 1 2 315.377 1.124 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001379814616 878093413 /nfs/dbraw/zinc/09/34/13/878093413.db2.gz RZCBCLMHCKTRFE-WFASDCNBSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001379814616 878093432 /nfs/dbraw/zinc/09/34/32/878093432.db2.gz RZCBCLMHCKTRFE-WFASDCNBSA-N 1 2 315.845 1.624 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219434979 878213394 /nfs/dbraw/zinc/21/33/94/878213394.db2.gz SAPVUNGQAWVAHM-FVDPKXSDSA-N 1 2 317.364 1.325 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219434979 878213409 /nfs/dbraw/zinc/21/34/09/878213409.db2.gz SAPVUNGQAWVAHM-FVDPKXSDSA-N 1 2 317.364 1.325 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@@H]1O ZINC001219702850 878465411 /nfs/dbraw/zinc/46/54/11/878465411.db2.gz JLELQFVPRPXWDF-KGLIPLIRSA-N 1 2 323.824 1.522 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@@H]1O ZINC001219702850 878465420 /nfs/dbraw/zinc/46/54/20/878465420.db2.gz JLELQFVPRPXWDF-KGLIPLIRSA-N 1 2 323.824 1.522 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCNC(=O)CCc1[nH+]ccn1C ZINC001355813884 878728396 /nfs/dbraw/zinc/72/83/96/878728396.db2.gz FAHOFBWNLXVEDX-ZIAGYGMSSA-N 1 2 320.437 1.386 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)CC(F)(F)F ZINC001355920650 878778478 /nfs/dbraw/zinc/77/84/78/878778478.db2.gz UTWBUAUUXBVNIS-ZJUUUORDSA-N 1 2 319.331 1.200 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)CC(F)(F)F ZINC001355920650 878778494 /nfs/dbraw/zinc/77/84/94/878778494.db2.gz UTWBUAUUXBVNIS-ZJUUUORDSA-N 1 2 319.331 1.200 20 30 DDEDLO C=CCn1c(-c2[nH]cnc2C)nnc1N1CC[N@@H+](CC)[C@H](C)C1 ZINC001355921482 878781216 /nfs/dbraw/zinc/78/12/16/878781216.db2.gz WGBUEFJHLGFDMW-GFCCVEGCSA-N 1 2 315.425 1.693 20 30 DDEDLO C=CCn1c(-c2[nH]cnc2C)nnc1N1CC[N@H+](CC)[C@H](C)C1 ZINC001355921482 878781233 /nfs/dbraw/zinc/78/12/33/878781233.db2.gz WGBUEFJHLGFDMW-GFCCVEGCSA-N 1 2 315.425 1.693 20 30 DDEDLO C=CCn1c(CCCC#N)nnc1N(C)CC[NH+]1CCOCC1 ZINC001355948230 878790447 /nfs/dbraw/zinc/79/04/47/878790447.db2.gz SQZASVBPLRKXMO-UHFFFAOYSA-N 1 2 318.425 1.079 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220201276 878813923 /nfs/dbraw/zinc/81/39/23/878813923.db2.gz KSHNJHYWKAHNRQ-GLQYFDAESA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220201276 878813935 /nfs/dbraw/zinc/81/39/35/878813935.db2.gz KSHNJHYWKAHNRQ-GLQYFDAESA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCN(CC)C(=O)Cc1c[nH+]cn1C ZINC001356152027 878889534 /nfs/dbraw/zinc/88/95/34/878889534.db2.gz XFUMPOLCYMDEOD-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCn2cccc2C1 ZINC001356153071 878891885 /nfs/dbraw/zinc/89/18/85/878891885.db2.gz TZDOMYVDLPOAME-UHFFFAOYSA-N 1 2 323.404 1.610 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)OC2CCCCCC2)[C@@H](O)C1 ZINC001220330852 878925797 /nfs/dbraw/zinc/92/57/97/878925797.db2.gz ALLXTTXWQKRXIM-UAGQMJEPSA-N 1 2 322.449 1.299 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)OC2CCCCCC2)[C@@H](O)C1 ZINC001220330852 878925807 /nfs/dbraw/zinc/92/58/07/878925807.db2.gz ALLXTTXWQKRXIM-UAGQMJEPSA-N 1 2 322.449 1.299 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001356303071 878977274 /nfs/dbraw/zinc/97/72/74/878977274.db2.gz XHQZNBMQLBWJKT-OAHLLOKOSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001287700949 912405525 /nfs/dbraw/zinc/40/55/25/912405525.db2.gz MEWXFDOWGZZNJS-GFCCVEGCSA-N 1 2 306.410 1.580 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](C/C=C/Cl)C[C@@H]2O)CCCCC1 ZINC001221129961 879519589 /nfs/dbraw/zinc/51/95/89/879519589.db2.gz UVGXPCIAKYLJDC-PHGPQXGHSA-N 1 2 324.852 1.874 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](C/C=C/Cl)C[C@@H]2O)CCCCC1 ZINC001221129961 879519608 /nfs/dbraw/zinc/51/96/08/879519608.db2.gz UVGXPCIAKYLJDC-PHGPQXGHSA-N 1 2 324.852 1.874 20 30 DDEDLO CC#CCCCC(=O)N[C@H](CNC(=O)Cn1cc[nH+]c1)C(C)C ZINC001357104259 879791773 /nfs/dbraw/zinc/79/17/73/879791773.db2.gz YQTCIKSVJSGLSV-OAHLLOKOSA-N 1 2 318.421 1.334 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@@H+](Cc3snnc3C)C[C@H]21 ZINC001221441482 879800978 /nfs/dbraw/zinc/80/09/78/879800978.db2.gz ICODVVSVSYZAQB-CHWSQXEVSA-N 1 2 322.434 1.082 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@H+](Cc3snnc3C)C[C@H]21 ZINC001221441482 879800999 /nfs/dbraw/zinc/80/09/99/879800999.db2.gz ICODVVSVSYZAQB-CHWSQXEVSA-N 1 2 322.434 1.082 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](O)(CNC(=O)c2cccs2)C1 ZINC001380516013 879831564 /nfs/dbraw/zinc/83/15/64/879831564.db2.gz VQXBZIMYCGRLNN-ZDUSSCGKSA-N 1 2 300.811 1.667 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](O)(CNC(=O)c2cccs2)C1 ZINC001380516013 879831582 /nfs/dbraw/zinc/83/15/82/879831582.db2.gz VQXBZIMYCGRLNN-ZDUSSCGKSA-N 1 2 300.811 1.667 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001357319492 879910971 /nfs/dbraw/zinc/91/09/71/879910971.db2.gz QCVPPMAXFRYMDR-KGLIPLIRSA-N 1 2 304.394 1.250 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC(C)C)C[C@H]21 ZINC001221730229 880027548 /nfs/dbraw/zinc/02/75/48/880027548.db2.gz AWJBZACPLABLQD-HUUCEWRRSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC(C)C)C[C@H]21 ZINC001221730229 880027563 /nfs/dbraw/zinc/02/75/63/880027563.db2.gz AWJBZACPLABLQD-HUUCEWRRSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)CC(=C)C)[C@@H]2C1 ZINC001221753643 880056758 /nfs/dbraw/zinc/05/67/58/880056758.db2.gz JIQPZBUUQUBOAV-ARFHVFGLSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)CC(=C)C)[C@@H]2C1 ZINC001221753643 880056776 /nfs/dbraw/zinc/05/67/76/880056776.db2.gz JIQPZBUUQUBOAV-ARFHVFGLSA-N 1 2 319.449 1.424 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)CCC(F)F)C1 ZINC001380746792 880327697 /nfs/dbraw/zinc/32/76/97/880327697.db2.gz MFVJILIMMSOINM-CYBMUJFWSA-N 1 2 310.772 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)CCC(F)F)C1 ZINC001380746792 880327704 /nfs/dbraw/zinc/32/77/04/880327704.db2.gz MFVJILIMMSOINM-CYBMUJFWSA-N 1 2 310.772 1.727 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CC[N@@H+]1[C@H]1CCN(C(C)(C)C)C1=O ZINC001276843271 880699475 /nfs/dbraw/zinc/69/94/75/880699475.db2.gz WMGRFPCQCXQMOU-CABCVRRESA-N 1 2 319.449 1.236 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CC[N@H+]1[C@H]1CCN(C(C)(C)C)C1=O ZINC001276843271 880699487 /nfs/dbraw/zinc/69/94/87/880699487.db2.gz WMGRFPCQCXQMOU-CABCVRRESA-N 1 2 319.449 1.236 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CN1C(=O)C#CC(C)(C)C ZINC001287933160 912605383 /nfs/dbraw/zinc/60/53/83/912605383.db2.gz WJEMGVOTDAMKOX-TZMCWYRMSA-N 1 2 316.405 1.107 20 30 DDEDLO Cc1noc(C[NH+]2CCC(CNC(=O)C#CC(C)C)CC2)n1 ZINC001223096633 880829018 /nfs/dbraw/zinc/82/90/18/880829018.db2.gz KNBRTJZPDURCSM-UHFFFAOYSA-N 1 2 304.394 1.366 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](C)N(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001287958395 912628462 /nfs/dbraw/zinc/62/84/62/912628462.db2.gz KGXFUDCQWHVPKX-OCCSQVGLSA-N 1 2 304.394 1.414 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001276910456 881053792 /nfs/dbraw/zinc/05/37/92/881053792.db2.gz ZTCOKVLYLFEFAA-NVXWUHKLSA-N 1 2 316.376 1.306 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001276910456 881053810 /nfs/dbraw/zinc/05/38/10/881053810.db2.gz ZTCOKVLYLFEFAA-NVXWUHKLSA-N 1 2 316.376 1.306 20 30 DDEDLO C#CCC1(C(=O)NC2CC[NH+](Cc3ncccn3)CC2)CCC1 ZINC001227753842 883157202 /nfs/dbraw/zinc/15/72/02/883157202.db2.gz DDGFVTMNUPQVLW-UHFFFAOYSA-N 1 2 312.417 1.751 20 30 DDEDLO Cn1ccc2nc(Cl)nc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@H+]4C)c21 ZINC001227790488 883172547 /nfs/dbraw/zinc/17/25/47/883172547.db2.gz MEVCSAGUDLZPER-PINQPDRWSA-N 1 2 320.780 1.613 20 30 DDEDLO Cn1ccc2nc(Cl)nc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@@H+]4C)c21 ZINC001227790488 883172555 /nfs/dbraw/zinc/17/25/55/883172555.db2.gz MEVCSAGUDLZPER-PINQPDRWSA-N 1 2 320.780 1.613 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001288048009 912693406 /nfs/dbraw/zinc/69/34/06/912693406.db2.gz SWHMYYVZTBHBCB-KGLIPLIRSA-N 1 2 318.421 1.496 20 30 DDEDLO C=CCCC(=O)NC1CC(N(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC001288331126 912836348 /nfs/dbraw/zinc/83/63/48/912836348.db2.gz BCWQESZBIFQUHO-UHFFFAOYSA-N 1 2 304.394 1.034 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccccc1C#N ZINC001230568386 884592100 /nfs/dbraw/zinc/59/21/00/884592100.db2.gz LJKYJVVEDFATLC-INIZCTEOSA-N 1 2 301.390 1.627 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccccc1C#N ZINC001230568386 884592112 /nfs/dbraw/zinc/59/21/12/884592112.db2.gz LJKYJVVEDFATLC-INIZCTEOSA-N 1 2 301.390 1.627 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1CCCCC1 ZINC001230637584 884679271 /nfs/dbraw/zinc/67/92/71/884679271.db2.gz IFQCYMCMQMSJHY-ZBFHGGJFSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1CCCCC1 ZINC001230637584 884679276 /nfs/dbraw/zinc/67/92/76/884679276.db2.gz IFQCYMCMQMSJHY-ZBFHGGJFSA-N 1 2 321.465 1.790 20 30 DDEDLO N#Cc1ccncc1C(=O)N1CC[NH2+][C@H](c2ccc(F)cc2)C1 ZINC001362863717 884827173 /nfs/dbraw/zinc/82/71/73/884827173.db2.gz YMGWPYQSPIWIJV-INIZCTEOSA-N 1 2 310.332 1.879 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CCCCC)C1=O ZINC001230776054 884863302 /nfs/dbraw/zinc/86/33/02/884863302.db2.gz PAMFIJKKFLMLJQ-HZPDHXFCSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CCCCC)C1=O ZINC001230776054 884863315 /nfs/dbraw/zinc/86/33/15/884863315.db2.gz PAMFIJKKFLMLJQ-HZPDHXFCSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(CC)[nH]n1 ZINC001230806851 884901858 /nfs/dbraw/zinc/90/18/58/884901858.db2.gz SLZDETZLMXXMCN-AWEZNQCLSA-N 1 2 306.410 1.321 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(CC)[nH]n1 ZINC001230806851 884901874 /nfs/dbraw/zinc/90/18/74/884901874.db2.gz SLZDETZLMXXMCN-AWEZNQCLSA-N 1 2 306.410 1.321 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)ns1 ZINC001231240776 885418832 /nfs/dbraw/zinc/41/88/32/885418832.db2.gz OBKCBTVAWRWCSP-CYBMUJFWSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)ns1 ZINC001231240776 885418835 /nfs/dbraw/zinc/41/88/35/885418835.db2.gz OBKCBTVAWRWCSP-CYBMUJFWSA-N 1 2 309.435 1.687 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001231406142 885621839 /nfs/dbraw/zinc/62/18/39/885621839.db2.gz XJEBQECLBCZEMW-NCOADZHNSA-N 1 2 304.434 1.605 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001231406142 885621846 /nfs/dbraw/zinc/62/18/46/885621846.db2.gz XJEBQECLBCZEMW-NCOADZHNSA-N 1 2 304.434 1.605 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3nccnc3C#N)CC2)cc(C)n1 ZINC001232002051 886004088 /nfs/dbraw/zinc/00/40/88/886004088.db2.gz WPXOTSQJRCRZIC-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc(OC)nc2C)CC1 ZINC001232337565 886253203 /nfs/dbraw/zinc/25/32/03/886253203.db2.gz QKIGUCMQJXZLMO-UHFFFAOYSA-N 1 2 303.362 1.677 20 30 DDEDLO CCN(C)c1ncnc2c1CC[N@H+](Cc1[nH]ccc1C#N)CC2 ZINC001233043798 886751119 /nfs/dbraw/zinc/75/11/19/886751119.db2.gz MUBJPMYPVVBVOL-UHFFFAOYSA-N 1 2 310.405 1.733 20 30 DDEDLO CCN(C)c1ncnc2c1CC[N@@H+](Cc1[nH]ccc1C#N)CC2 ZINC001233043798 886751133 /nfs/dbraw/zinc/75/11/33/886751133.db2.gz MUBJPMYPVVBVOL-UHFFFAOYSA-N 1 2 310.405 1.733 20 30 DDEDLO CCNC(=O)[C@@H]1CCC[N@@H+]1Cc1ccc(N(C)C)c(C#N)c1F ZINC001233103803 886787652 /nfs/dbraw/zinc/78/76/52/886787652.db2.gz MUKLOBGVHJVCMG-HNNXBMFYSA-N 1 2 318.396 1.864 20 30 DDEDLO CCNC(=O)[C@@H]1CCC[N@H+]1Cc1ccc(N(C)C)c(C#N)c1F ZINC001233103803 886787662 /nfs/dbraw/zinc/78/76/62/886787662.db2.gz MUKLOBGVHJVCMG-HNNXBMFYSA-N 1 2 318.396 1.864 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[NH+]2CCC(c3ccncn3)CC2)cc1 ZINC001363804493 887266985 /nfs/dbraw/zinc/26/69/85/887266985.db2.gz PMFRUYQCXOSCAK-QGZVFWFLSA-N 1 2 321.384 1.754 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@@H](c3cnccn3)C2)c1 ZINC001363844889 887376207 /nfs/dbraw/zinc/37/62/07/887376207.db2.gz LUCCMZIDCKWKPM-CQSZACIVSA-N 1 2 307.357 1.776 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CC[C@@H](c3cnccn3)C2)c1 ZINC001363844889 887376216 /nfs/dbraw/zinc/37/62/16/887376216.db2.gz LUCCMZIDCKWKPM-CQSZACIVSA-N 1 2 307.357 1.776 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001233976033 887515467 /nfs/dbraw/zinc/51/54/67/887515467.db2.gz BKOBWVAUZXYBOB-CZUORRHYSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001233976033 887515482 /nfs/dbraw/zinc/51/54/82/887515482.db2.gz BKOBWVAUZXYBOB-CZUORRHYSA-N 1 2 307.394 1.343 20 30 DDEDLO CC(C)N(C)C(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196318 887738384 /nfs/dbraw/zinc/73/83/84/887738384.db2.gz RGFVNZALFBDQDL-HOCLYGCPSA-N 1 2 319.449 1.188 20 30 DDEDLO CC(C)N(C)C(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196318 887738392 /nfs/dbraw/zinc/73/83/92/887738392.db2.gz RGFVNZALFBDQDL-HOCLYGCPSA-N 1 2 319.449 1.188 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196294 887739600 /nfs/dbraw/zinc/73/96/00/887739600.db2.gz QZTTZJFWIUUOAM-HOCLYGCPSA-N 1 2 319.449 1.189 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196294 887739612 /nfs/dbraw/zinc/73/96/12/887739612.db2.gz QZTTZJFWIUUOAM-HOCLYGCPSA-N 1 2 319.449 1.189 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nccc(-c4ccncc4)n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234673938 888178567 /nfs/dbraw/zinc/17/85/67/888178567.db2.gz FHGSANUSBKLTKV-KNMAVKMESA-N 1 2 310.357 1.530 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nccc(-c4ccncc4)n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234673938 888178582 /nfs/dbraw/zinc/17/85/82/888178582.db2.gz FHGSANUSBKLTKV-KNMAVKMESA-N 1 2 310.357 1.530 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@@H]2[C@H](O)CC[C@@H]2C1 ZINC001364510162 888805544 /nfs/dbraw/zinc/80/55/44/888805544.db2.gz LTFAOLPCZKAEQN-QXSJWSMHSA-N 1 2 300.358 1.475 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H]2[C@H](O)CC[C@@H]2C1 ZINC001364510162 888805548 /nfs/dbraw/zinc/80/55/48/888805548.db2.gz LTFAOLPCZKAEQN-QXSJWSMHSA-N 1 2 300.358 1.475 20 30 DDEDLO CNC(=O)N1CC[NH+](Cc2cn(C)c3ccc(C#N)cc23)CC1 ZINC001237473067 889653509 /nfs/dbraw/zinc/65/35/09/889653509.db2.gz REPXBVPCYWNDAY-UHFFFAOYSA-N 1 2 311.389 1.507 20 30 DDEDLO C#CCN(C(=O)[C@H]1C[C@H]1C)C1CC[NH+](Cc2ncccn2)CC1 ZINC001278115195 889706522 /nfs/dbraw/zinc/70/65/22/889706522.db2.gz IXWLDQCAJPBLQB-ZBFHGGJFSA-N 1 2 312.417 1.559 20 30 DDEDLO CCc1cc(C[NH+]2CC(N3CCN(C)C(=O)C3)C2)ccc1C#N ZINC001238779046 890340578 /nfs/dbraw/zinc/34/05/78/890340578.db2.gz SUULQACIXZNSOK-UHFFFAOYSA-N 1 2 312.417 1.079 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cc(C(N)=O)cs1 ZINC001375158549 915143246 /nfs/dbraw/zinc/14/32/46/915143246.db2.gz LCMLYDAVIXZIAQ-VIFPVBQESA-N 1 2 315.826 1.650 20 30 DDEDLO CC(C)[C@](C)([NH2+]Cc1nnc(-c2cccc(C#N)c2)o1)C(N)=O ZINC001365298789 890535431 /nfs/dbraw/zinc/53/54/31/890535431.db2.gz LJNAFHVEQDBXMN-INIZCTEOSA-N 1 2 313.361 1.598 20 30 DDEDLO CCCCCCC[C@H](C)NC(=O)N1CC[NH2+]C[C@@H]1C(=O)OC ZINC001239273663 890581614 /nfs/dbraw/zinc/58/16/14/890581614.db2.gz HZOFZDUBSSGQCZ-UONOGXRCSA-N 1 2 313.442 1.892 20 30 DDEDLO C[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(CC#N)cc1Cl ZINC001365465654 890845774 /nfs/dbraw/zinc/84/57/74/890845774.db2.gz SVZIOZQMAVFWQV-LLVKDONJSA-N 1 2 313.810 1.388 20 30 DDEDLO C[N@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(CC#N)cc1Cl ZINC001365465654 890845780 /nfs/dbraw/zinc/84/57/80/890845780.db2.gz SVZIOZQMAVFWQV-LLVKDONJSA-N 1 2 313.810 1.388 20 30 DDEDLO CC1(C)CCC[C@@H]1C(=O)N[C@]1(C)CC[N@H+](CC(=O)NCC#N)C1 ZINC001278413827 892204877 /nfs/dbraw/zinc/20/48/77/892204877.db2.gz LDIOHLWAKLWKPR-CXAGYDPISA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CCC[C@@H]1C(=O)N[C@]1(C)CC[N@@H+](CC(=O)NCC#N)C1 ZINC001278413827 892204894 /nfs/dbraw/zinc/20/48/94/892204894.db2.gz LDIOHLWAKLWKPR-CXAGYDPISA-N 1 2 320.437 1.033 20 30 DDEDLO N#Cc1ccc(NC(=S)N2CC[C@H]([NH+]3CCOCC3)C2)cc1 ZINC001245899394 892260299 /nfs/dbraw/zinc/26/02/99/892260299.db2.gz VUGBORFLQVYOBP-HNNXBMFYSA-N 1 2 316.430 1.662 20 30 DDEDLO CC(C)c1cnc(C[NH2+][C@H](C)CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001366267228 892955546 /nfs/dbraw/zinc/95/55/46/892955546.db2.gz IMWJBNIABZXLRL-LLVKDONJSA-N 1 2 315.377 1.906 20 30 DDEDLO CCOC(=O)C1(C#N)CC[NH+](Cc2cccc(=O)n2C)CC1 ZINC001248809037 893714425 /nfs/dbraw/zinc/71/44/25/893714425.db2.gz FTGLFEQRZLSUSM-UHFFFAOYSA-N 1 2 303.362 1.054 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](CC(=O)NCCC)[C@H]2C)C1 ZINC001278522280 894065676 /nfs/dbraw/zinc/06/56/76/894065676.db2.gz WAEJAIIJICTMSM-GJZGRUSLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](CC(=O)NCCC)[C@H]2C)C1 ZINC001278522280 894065690 /nfs/dbraw/zinc/06/56/90/894065690.db2.gz WAEJAIIJICTMSM-GJZGRUSLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+](CC(N)=O)[C@@H]2C)CCCC1 ZINC001278533322 894117026 /nfs/dbraw/zinc/11/70/26/894117026.db2.gz QGSDKXJERDLLSO-KGLIPLIRSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+](CC(N)=O)[C@@H]2C)CCCC1 ZINC001278533322 894117035 /nfs/dbraw/zinc/11/70/35/894117035.db2.gz QGSDKXJERDLLSO-KGLIPLIRSA-N 1 2 307.438 1.577 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cc(COC)on1)C1CC1 ZINC001366755584 894832779 /nfs/dbraw/zinc/83/27/79/894832779.db2.gz USZJEBKYZSMWST-UHFFFAOYSA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cc(COC)on1)C1CC1 ZINC001366755584 894832790 /nfs/dbraw/zinc/83/27/90/894832790.db2.gz USZJEBKYZSMWST-UHFFFAOYSA-N 1 2 313.785 1.768 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@@H]([NH2+]Cc2csnn2)C(C)(C)C1 ZINC001278639955 894920603 /nfs/dbraw/zinc/92/06/03/894920603.db2.gz OGPIMRDFUNPDLZ-IUODEOHRSA-N 1 2 324.450 1.192 20 30 DDEDLO C=C[C@](C)(O)C[NH+]1CCN(c2cccc(S(C)(=O)=O)c2)CC1 ZINC001252557678 895278616 /nfs/dbraw/zinc/27/86/16/895278616.db2.gz OJFGXYYLZZGDLR-INIZCTEOSA-N 1 2 324.446 1.149 20 30 DDEDLO C#CCOCC[N@@H+](C)[C@@H](C)C(=O)Nc1ccc(C(C)=O)cc1 ZINC001253749858 896060487 /nfs/dbraw/zinc/06/04/87/896060487.db2.gz MXMUSGPLGDXRKM-ZDUSSCGKSA-N 1 2 302.374 1.798 20 30 DDEDLO C#CCOCC[N@H+](C)[C@@H](C)C(=O)Nc1ccc(C(C)=O)cc1 ZINC001253749858 896060503 /nfs/dbraw/zinc/06/05/03/896060503.db2.gz MXMUSGPLGDXRKM-ZDUSSCGKSA-N 1 2 302.374 1.798 20 30 DDEDLO CCOC(=O)C[NH+]1CCC(NC(=NO)c2ccc(C)cn2)CC1 ZINC001254396489 896416218 /nfs/dbraw/zinc/41/62/18/896416218.db2.gz RUWAHMLWSULAQV-UHFFFAOYSA-N 1 2 320.393 1.143 20 30 DDEDLO N#C[C@H]1CC[C@H]([N@H+]2CCc3onc(C(=O)N4CCCC4)c3C2)C1 ZINC001254665218 896563381 /nfs/dbraw/zinc/56/33/81/896563381.db2.gz MDMWHBQAXHIQHL-STQMWFEESA-N 1 2 314.389 1.961 20 30 DDEDLO N#C[C@H]1CC[C@H]([N@@H+]2CCc3onc(C(=O)N4CCCC4)c3C2)C1 ZINC001254665218 896563395 /nfs/dbraw/zinc/56/33/95/896563395.db2.gz MDMWHBQAXHIQHL-STQMWFEESA-N 1 2 314.389 1.961 20 30 DDEDLO C=CCCC(=O)NCC1(O)C[NH+](Cc2cc(C)cc(C)c2)C1 ZINC001278843433 896750527 /nfs/dbraw/zinc/75/05/27/896750527.db2.gz BWXONZZAUCMYJB-UHFFFAOYSA-N 1 2 302.418 1.933 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H](O)C[N@H+](C)Cc1cc(C)on1 ZINC001278869079 896994783 /nfs/dbraw/zinc/99/47/83/896994783.db2.gz NMOGLAIFLNLASI-CQSZACIVSA-N 1 2 309.410 1.446 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1cc(C)on1 ZINC001278869079 896994796 /nfs/dbraw/zinc/99/47/96/896994796.db2.gz NMOGLAIFLNLASI-CQSZACIVSA-N 1 2 309.410 1.446 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001389363850 897038058 /nfs/dbraw/zinc/03/80/58/897038058.db2.gz NHUZDSTTYDOTJE-WFASDCNBSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001389363850 897038073 /nfs/dbraw/zinc/03/80/73/897038073.db2.gz NHUZDSTTYDOTJE-WFASDCNBSA-N 1 2 314.364 1.938 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(O)C[NH+](CCc2ccc(C)cc2)C1 ZINC001278895787 897158785 /nfs/dbraw/zinc/15/87/85/897158785.db2.gz DDXCMOFDVYSURC-UHFFFAOYSA-N 1 2 316.445 1.913 20 30 DDEDLO C=C[C@H]1C[C@@]1([NH2+]C1CCN(c2ncccn2)CC1)C(=O)OCC ZINC001256049678 897247818 /nfs/dbraw/zinc/24/78/18/897247818.db2.gz GKSILLXDLHPZBM-GUYCJALGSA-N 1 2 316.405 1.543 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@]1(C)CCC(=O)NC1 ZINC001367824078 897923425 /nfs/dbraw/zinc/92/34/25/897923425.db2.gz FEEMJYBXFHXYEZ-SMDDNHRTSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@]1(C)CCC(=O)NC1 ZINC001367824078 897923430 /nfs/dbraw/zinc/92/34/30/897923430.db2.gz FEEMJYBXFHXYEZ-SMDDNHRTSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@](C)(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001299620022 898784840 /nfs/dbraw/zinc/78/48/40/898784840.db2.gz WXOCBPWSPFPMGC-KRWDZBQOSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CC[C@@H](C)OC(=O)CC[NH+]1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001262066255 899926580 /nfs/dbraw/zinc/92/65/80/899926580.db2.gz WPCNTAWDTWTABK-CABCVRRESA-N 1 2 324.421 1.208 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC001390836531 900315992 /nfs/dbraw/zinc/31/59/92/900315992.db2.gz MBAJTEQOZABYGC-GFCCVEGCSA-N 1 2 301.818 1.045 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC001390836531 900316000 /nfs/dbraw/zinc/31/60/00/900316000.db2.gz MBAJTEQOZABYGC-GFCCVEGCSA-N 1 2 301.818 1.045 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H](C)OC[C@H]1CCCCO1 ZINC001390865722 900392722 /nfs/dbraw/zinc/39/27/22/900392722.db2.gz OOFVFGRWJDGRPZ-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H](C)OC[C@H]1CCCCO1 ZINC001390865722 900392733 /nfs/dbraw/zinc/39/27/33/900392733.db2.gz OOFVFGRWJDGRPZ-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccsc3)n2CC=C)CC1 ZINC001262930903 900423520 /nfs/dbraw/zinc/42/35/20/900423520.db2.gz YGXIVZQADPTREZ-UHFFFAOYSA-N 1 2 313.430 1.948 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)C1CCCC1 ZINC001262946997 900431624 /nfs/dbraw/zinc/43/16/24/900431624.db2.gz BVIFQSDAQHNDNM-HUUCEWRRSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)C1CCCC1 ZINC001262946997 900431635 /nfs/dbraw/zinc/43/16/35/900431635.db2.gz BVIFQSDAQHNDNM-HUUCEWRRSA-N 1 2 319.453 1.971 20 30 DDEDLO C=C[C@@H](OC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccc1)C(=O)OC ZINC001263526875 900631312 /nfs/dbraw/zinc/63/13/12/900631312.db2.gz NAZRPKFMLZWFKX-LSDHHAIUSA-N 1 2 303.358 1.922 20 30 DDEDLO C=C[C@@H](OC(=O)[C@@H]1CCC[N@H+]1Cc1ccccc1)C(=O)OC ZINC001263526875 900631320 /nfs/dbraw/zinc/63/13/20/900631320.db2.gz NAZRPKFMLZWFKX-LSDHHAIUSA-N 1 2 303.358 1.922 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@@H](CC)C(C)C ZINC001263817321 900729954 /nfs/dbraw/zinc/72/99/54/900729954.db2.gz SINFMFKVWQRSBX-CVEARBPZSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@@H](CC)C(C)C ZINC001263817321 900729956 /nfs/dbraw/zinc/72/99/56/900729956.db2.gz SINFMFKVWQRSBX-CVEARBPZSA-N 1 2 321.465 1.341 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CC(C)(C)C2CC2)CC[N@@H+]1CC(=O)NCC#N ZINC001264139442 900976217 /nfs/dbraw/zinc/97/62/17/900976217.db2.gz NZOUOPWJFGUHNC-OCCSQVGLSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CC(C)(C)C2CC2)CC[N@H+]1CC(=O)NCC#N ZINC001264139442 900976229 /nfs/dbraw/zinc/97/62/29/900976229.db2.gz NZOUOPWJFGUHNC-OCCSQVGLSA-N 1 2 320.437 1.031 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2CC#CCOC)cc1 ZINC001264366987 901042325 /nfs/dbraw/zinc/04/23/25/901042325.db2.gz OUEKBSQPYYWCJS-GOSISDBHSA-N 1 2 310.397 1.464 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#CCOC)cc1 ZINC001264366987 901042334 /nfs/dbraw/zinc/04/23/34/901042334.db2.gz OUEKBSQPYYWCJS-GOSISDBHSA-N 1 2 310.397 1.464 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](CC(N)=O)C[C@H]1c1ccccc1 ZINC001264444212 901128242 /nfs/dbraw/zinc/12/82/42/901128242.db2.gz LWXOPDOHLYKHJT-LSDHHAIUSA-N 1 2 301.390 1.022 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](CC(N)=O)C[C@H]1c1ccccc1 ZINC001264444212 901128246 /nfs/dbraw/zinc/12/82/46/901128246.db2.gz LWXOPDOHLYKHJT-LSDHHAIUSA-N 1 2 301.390 1.022 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@H]1C[N@H+](C)Cc1nccn1C ZINC001264740143 901320997 /nfs/dbraw/zinc/32/09/97/901320997.db2.gz GHVIRLWOOHVMNC-INIZCTEOSA-N 1 2 316.449 1.892 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@H]1C[N@@H+](C)Cc1nccn1C ZINC001264740143 901321014 /nfs/dbraw/zinc/32/10/14/901321014.db2.gz GHVIRLWOOHVMNC-INIZCTEOSA-N 1 2 316.449 1.892 20 30 DDEDLO Cc1nc(C[N@H+](C)CCCNC(=O)c2cc(C#N)c[nH]2)co1 ZINC001264974348 901369927 /nfs/dbraw/zinc/36/99/27/901369927.db2.gz YCPFIXIKEALYGW-UHFFFAOYSA-N 1 2 301.350 1.435 20 30 DDEDLO Cc1nc(C[N@@H+](C)CCCNC(=O)c2cc(C#N)c[nH]2)co1 ZINC001264974348 901369936 /nfs/dbraw/zinc/36/99/36/901369936.db2.gz YCPFIXIKEALYGW-UHFFFAOYSA-N 1 2 301.350 1.435 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCCNC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001265012923 901435385 /nfs/dbraw/zinc/43/53/85/901435385.db2.gz VMPUNEYNMDKWGD-UHFFFAOYSA-N 1 2 313.785 1.188 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCCNC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001265012923 901435391 /nfs/dbraw/zinc/43/53/91/901435391.db2.gz VMPUNEYNMDKWGD-UHFFFAOYSA-N 1 2 313.785 1.188 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@@H+]([C@H](C)c2cnccn2)C1 ZINC001265213221 901715460 /nfs/dbraw/zinc/71/54/60/901715460.db2.gz NTDACLQOYJDNMD-HUUCEWRRSA-N 1 2 300.406 1.778 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@H+]([C@H](C)c2cnccn2)C1 ZINC001265213221 901715470 /nfs/dbraw/zinc/71/54/70/901715470.db2.gz NTDACLQOYJDNMD-HUUCEWRRSA-N 1 2 300.406 1.778 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@H](CC)C(C)C)C1 ZINC001265231450 901748320 /nfs/dbraw/zinc/74/83/20/901748320.db2.gz YVOSBNIHMAWBCK-HOTGVXAUSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@H](CC)C(C)C)C1 ZINC001265231450 901748337 /nfs/dbraw/zinc/74/83/37/901748337.db2.gz YVOSBNIHMAWBCK-HOTGVXAUSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1CC(C)C1 ZINC001265403846 901977097 /nfs/dbraw/zinc/97/70/97/901977097.db2.gz WKOGERUPAYJCKB-IHTQCXBNSA-N 1 2 319.449 1.398 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1CC(C)C1 ZINC001265403846 901977103 /nfs/dbraw/zinc/97/71/03/901977103.db2.gz WKOGERUPAYJCKB-IHTQCXBNSA-N 1 2 319.449 1.398 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccccc1 ZINC001265408777 901981032 /nfs/dbraw/zinc/98/10/32/901981032.db2.gz SZBNYUSGMUIHOF-HDICACEKSA-N 1 2 312.413 1.554 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccccc1 ZINC001265408777 901981040 /nfs/dbraw/zinc/98/10/40/901981040.db2.gz SZBNYUSGMUIHOF-HDICACEKSA-N 1 2 312.413 1.554 20 30 DDEDLO CC[C@H](C)C(=O)N[C@H]1CCC[N@H+](CC(=O)N(CC)CCC#N)C1 ZINC001369806089 902000070 /nfs/dbraw/zinc/00/00/70/902000070.db2.gz TZOMMSPKXDISLS-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO CC[C@H](C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)N(CC)CCC#N)C1 ZINC001369806089 902000076 /nfs/dbraw/zinc/00/00/76/902000076.db2.gz TZOMMSPKXDISLS-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([N@@H+](C)[C@H](C)c2nncn2C)C1 ZINC001266096286 902932424 /nfs/dbraw/zinc/93/24/24/902932424.db2.gz DXVDGKKTXNSTQO-HUUCEWRRSA-N 1 2 317.437 1.602 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([N@H+](C)[C@H](C)c2nncn2C)C1 ZINC001266096286 902932429 /nfs/dbraw/zinc/93/24/29/902932429.db2.gz DXVDGKKTXNSTQO-HUUCEWRRSA-N 1 2 317.437 1.602 20 30 DDEDLO CC1(C)CC[C@@H](C(=O)N[C@H]2CCC[N@H+](CC(=O)NCC#N)C2)C1 ZINC001266236230 903169770 /nfs/dbraw/zinc/16/97/70/903169770.db2.gz FVPNIEIBFOJQII-KGLIPLIRSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CC[C@@H](C(=O)N[C@H]2CCC[N@@H+](CC(=O)NCC#N)C2)C1 ZINC001266236230 903169784 /nfs/dbraw/zinc/16/97/84/903169784.db2.gz FVPNIEIBFOJQII-KGLIPLIRSA-N 1 2 320.437 1.033 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001279521470 903301156 /nfs/dbraw/zinc/30/11/56/903301156.db2.gz WAVDGLIYAPULAB-WCQYABFASA-N 1 2 302.378 1.952 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001279521470 903301175 /nfs/dbraw/zinc/30/11/75/903301175.db2.gz WAVDGLIYAPULAB-WCQYABFASA-N 1 2 302.378 1.952 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H](CC)SC ZINC001280402936 903639381 /nfs/dbraw/zinc/63/93/81/903639381.db2.gz PALQQVHAWCTUAL-KGLIPLIRSA-N 1 2 300.468 1.911 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H](CC)SC ZINC001280402936 903639384 /nfs/dbraw/zinc/63/93/84/903639384.db2.gz PALQQVHAWCTUAL-KGLIPLIRSA-N 1 2 300.468 1.911 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H](CC)CCC)C2)CC1 ZINC001280694020 903930582 /nfs/dbraw/zinc/93/05/82/903930582.db2.gz XDOKPZYYZQPBPZ-DLBZAZTESA-N 1 2 305.466 1.664 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001280730941 903964260 /nfs/dbraw/zinc/96/42/60/903964260.db2.gz PGTXJBGZLZOULO-CHWSQXEVSA-N 1 2 304.394 1.462 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@H](C)C(=O)NC2CC2)C1 ZINC001316605663 903969347 /nfs/dbraw/zinc/96/93/47/903969347.db2.gz PQUJWSCLRHGFBZ-HIFRSBDPSA-N 1 2 319.449 1.543 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@H](C)C(=O)NC2CC2)C1 ZINC001316605663 903969354 /nfs/dbraw/zinc/96/93/54/903969354.db2.gz PQUJWSCLRHGFBZ-HIFRSBDPSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CCCC[NH+]1CC2(C1)CN(C(=O)c1cc(C)[nH]n1)CCO2 ZINC001280889059 904152943 /nfs/dbraw/zinc/15/29/43/904152943.db2.gz JAVKNPQETYRHJA-UHFFFAOYSA-N 1 2 304.394 1.211 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C1(COCC)CC1)CCO2 ZINC001280898874 904163508 /nfs/dbraw/zinc/16/35/08/904163508.db2.gz FWTVACWNSCKZKK-UHFFFAOYSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1CC=CC1)O2 ZINC001280920507 904189320 /nfs/dbraw/zinc/18/93/20/904189320.db2.gz SJFKGGHZPBWEAZ-INIZCTEOSA-N 1 2 320.433 1.505 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)Cc1cnn(C)c1 ZINC001281063323 904369199 /nfs/dbraw/zinc/36/91/99/904369199.db2.gz NZTAPWABVVYZSC-SFHVURJKSA-N 1 2 322.412 1.139 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)Cc1cnn(C)c1 ZINC001281063323 904369211 /nfs/dbraw/zinc/36/92/11/904369211.db2.gz NZTAPWABVVYZSC-SFHVURJKSA-N 1 2 322.412 1.139 20 30 DDEDLO C#CCCCC(=O)NCC1=CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001281373679 904750128 /nfs/dbraw/zinc/75/01/28/904750128.db2.gz YHLJICAORJASSI-UHFFFAOYSA-N 1 2 314.389 1.031 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ncnn2CC)[C@@H](C)C1 ZINC001281653134 905123903 /nfs/dbraw/zinc/12/39/03/905123903.db2.gz RFJKIABJVQJVGC-KBPBESRZSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ncnn2CC)[C@@H](C)C1 ZINC001281653134 905123909 /nfs/dbraw/zinc/12/39/09/905123909.db2.gz RFJKIABJVQJVGC-KBPBESRZSA-N 1 2 305.426 1.733 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CCC(C)(F)F)CC2)C1 ZINC001281782799 905215496 /nfs/dbraw/zinc/21/54/96/905215496.db2.gz SWPGIFLZRRLWFI-UHFFFAOYSA-N 1 2 314.376 1.748 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CCC(C)(F)F)CC2)C1 ZINC001281782799 905215501 /nfs/dbraw/zinc/21/55/01/905215501.db2.gz SWPGIFLZRRLWFI-UHFFFAOYSA-N 1 2 314.376 1.748 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H]1C ZINC001282395401 905742251 /nfs/dbraw/zinc/74/22/51/905742251.db2.gz OGUNAEZSWWEXES-OCCSQVGLSA-N 1 2 304.394 1.414 20 30 DDEDLO CC(C)OCC(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001371926054 906017596 /nfs/dbraw/zinc/01/75/96/906017596.db2.gz BFFNOILVUYGJTP-UHFFFAOYSA-N 1 2 307.369 1.670 20 30 DDEDLO CC(C)OCC(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001371926054 906017612 /nfs/dbraw/zinc/01/76/12/906017612.db2.gz BFFNOILVUYGJTP-UHFFFAOYSA-N 1 2 307.369 1.670 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001283144860 906722720 /nfs/dbraw/zinc/72/27/20/906722720.db2.gz DBEBEACYJQBJSX-BFHYXJOUSA-N 1 2 318.421 1.358 20 30 DDEDLO C[N@H+](CCOCCNC(=O)C#CC(C)(C)C)Cc1ccon1 ZINC001283376330 907249246 /nfs/dbraw/zinc/24/92/46/907249246.db2.gz AKOZAYSOJMXWBH-UHFFFAOYSA-N 1 2 307.394 1.289 20 30 DDEDLO C[N@@H+](CCOCCNC(=O)C#CC(C)(C)C)Cc1ccon1 ZINC001283376330 907249263 /nfs/dbraw/zinc/24/92/63/907249263.db2.gz AKOZAYSOJMXWBH-UHFFFAOYSA-N 1 2 307.394 1.289 20 30 DDEDLO C=CCCCC(=O)N(C)[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001283387992 907273627 /nfs/dbraw/zinc/27/36/27/907273627.db2.gz KIYQEYVCINKTOD-OAHLLOKOSA-N 1 2 318.421 1.689 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)c(C)o1 ZINC001394280263 909245499 /nfs/dbraw/zinc/24/54/99/909245499.db2.gz CZNDYDJFRDYGFM-QMTHXVAHSA-N 1 2 304.394 1.778 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)c(C)o1 ZINC001394280263 909245507 /nfs/dbraw/zinc/24/55/07/909245507.db2.gz CZNDYDJFRDYGFM-QMTHXVAHSA-N 1 2 304.394 1.778 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)CCn2cccn2)CC1 ZINC001284881852 909630276 /nfs/dbraw/zinc/63/02/76/909630276.db2.gz HCYZPCRHXVWZME-UHFFFAOYSA-N 1 2 308.813 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)CCn2cccn2)CC1 ZINC001284881852 909630289 /nfs/dbraw/zinc/63/02/89/909630289.db2.gz HCYZPCRHXVWZME-UHFFFAOYSA-N 1 2 308.813 1.774 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@@H](C)CN(C)C(=O)c2cc(C#N)c[nH]2)n1 ZINC001394467606 909714681 /nfs/dbraw/zinc/71/46/81/909714681.db2.gz WFOBYUSTZYOMSD-UWVGGRQHSA-N 1 2 316.365 1.389 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001285348746 910391161 /nfs/dbraw/zinc/39/11/61/910391161.db2.gz ZHMDNTAXVXSVCL-ZFWWWQNUSA-N 1 2 320.437 1.812 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001285362639 910417702 /nfs/dbraw/zinc/41/77/02/910417702.db2.gz VPCKACVDIMHVMX-KBPBESRZSA-N 1 2 318.421 1.022 20 30 DDEDLO C[C@@H](CC(=O)NCC1(NC(=O)C#CC2CC2)CC1)n1cc[nH+]c1 ZINC001285926989 911457247 /nfs/dbraw/zinc/45/72/47/911457247.db2.gz UJZOJYPXSPSMRC-ZDUSSCGKSA-N 1 2 314.389 1.013 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cc(C(N)=O)cs1 ZINC001375158549 915143240 /nfs/dbraw/zinc/14/32/40/915143240.db2.gz LCMLYDAVIXZIAQ-VIFPVBQESA-N 1 2 315.826 1.650 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001294748624 915337499 /nfs/dbraw/zinc/33/74/99/915337499.db2.gz OVKKNIWSRMAUKA-HUUCEWRRSA-N 1 2 318.421 1.908 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001294793949 915371068 /nfs/dbraw/zinc/37/10/68/915371068.db2.gz ATMXCAJELPAVQZ-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001294899107 915449169 /nfs/dbraw/zinc/44/91/69/915449169.db2.gz WGJZQDGIGQSGOB-CQSZACIVSA-N 1 2 304.394 1.250 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001295033862 915523116 /nfs/dbraw/zinc/52/31/16/915523116.db2.gz SVRLGJGJHAXCJL-CABCVRRESA-N 1 2 318.421 1.908 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001295596895 915921052 /nfs/dbraw/zinc/92/10/52/915921052.db2.gz OKEISPASFKWQPT-STQMWFEESA-N 1 2 318.421 1.279 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCN(C)C(=O)CCn1cc[nH+]c1 ZINC001296178103 916264992 /nfs/dbraw/zinc/26/49/92/916264992.db2.gz ZWAGETXQCSVCBZ-UHFFFAOYSA-N 1 2 306.410 1.450 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@H+](Cc2cc3n(n2)CCC3)[C@@H]1C ZINC001375644449 916574937 /nfs/dbraw/zinc/57/49/37/916574937.db2.gz GUZGWHVNQROFAX-JMSVASOKSA-N 1 2 301.394 1.068 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CC[N@@H+](Cc2cc3n(n2)CCC3)[C@@H]1C ZINC001375644449 916574946 /nfs/dbraw/zinc/57/49/46/916574946.db2.gz GUZGWHVNQROFAX-JMSVASOKSA-N 1 2 301.394 1.068 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(C[C@@H]2NC(=O)CCc2nc[nH]n2)CC1 ZINC001376176891 917881104 /nfs/dbraw/zinc/88/11/04/917881104.db2.gz OBKHMLXCGMPTIY-LBPRGKRZSA-N 1 2 323.828 1.461 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC000614370520 361827334 /nfs/dbraw/zinc/82/73/34/361827334.db2.gz IFSXAVMRVIYYMN-OAHLLOKOSA-N 1 2 315.373 1.201 20 30 DDEDLO CC[C@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C)C1CCOCC1 ZINC000329948715 529580294 /nfs/dbraw/zinc/58/02/94/529580294.db2.gz ZHEFHJQMLKVAGL-ZNMIVQPWSA-N 1 2 311.426 1.120 20 30 DDEDLO CC[C@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C)C1CCOCC1 ZINC000329948715 529580296 /nfs/dbraw/zinc/58/02/96/529580296.db2.gz ZHEFHJQMLKVAGL-ZNMIVQPWSA-N 1 2 311.426 1.120 20 30 DDEDLO CC[C@H](C(=O)NC1(C#N)CCOCC1)[N@@H+]1CCO[C@H](CC)C1 ZINC000330446459 529604317 /nfs/dbraw/zinc/60/43/17/529604317.db2.gz HMJLJJFWLDSURY-ZIAGYGMSSA-N 1 2 309.410 1.065 20 30 DDEDLO CC[C@H](C(=O)NC1(C#N)CCOCC1)[N@H+]1CCO[C@H](CC)C1 ZINC000330446459 529604319 /nfs/dbraw/zinc/60/43/19/529604319.db2.gz HMJLJJFWLDSURY-ZIAGYGMSSA-N 1 2 309.410 1.065 20 30 DDEDLO CC[C@H](O)CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000331315415 529683899 /nfs/dbraw/zinc/68/38/99/529683899.db2.gz INJIULMPNXJHLH-INIZCTEOSA-N 1 2 301.390 1.363 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@](OC)(C(F)(F)F)C1 ZINC000614922238 362077999 /nfs/dbraw/zinc/07/79/99/362077999.db2.gz OCYZAPGJIKDCNR-ZDUSSCGKSA-N 1 2 321.343 1.792 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@](OC)(C(F)(F)F)C1 ZINC000614922238 362078001 /nfs/dbraw/zinc/07/80/01/362078001.db2.gz OCYZAPGJIKDCNR-ZDUSSCGKSA-N 1 2 321.343 1.792 20 30 DDEDLO C[C@@H](C(=O)NC1CCCC1)N1CC[NH+](CCn2cncn2)CC1 ZINC000328998492 539300134 /nfs/dbraw/zinc/30/01/34/539300134.db2.gz KNSWOENTEHTBNQ-AWEZNQCLSA-N 1 2 320.441 1.183 20 30 DDEDLO C[C@@H](C(=O)NC1CCCC1)[NH+]1CCN(CCn2cncn2)CC1 ZINC000328998492 539300135 /nfs/dbraw/zinc/30/01/35/539300135.db2.gz KNSWOENTEHTBNQ-AWEZNQCLSA-N 1 2 320.441 1.183 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](C[NH+]=C([O-])N(C)CCn1cccn1)CCC2 ZINC000328655931 539298255 /nfs/dbraw/zinc/29/82/55/539298255.db2.gz NHUNLHFUTBJDPX-CQSZACIVSA-N 1 2 316.409 1.811 20 30 DDEDLO CN1C[C@H](NC(=O)c2cccc(C[NH+]3CCOCC3)c2)CC1=O ZINC000329206517 539301730 /nfs/dbraw/zinc/30/17/30/539301730.db2.gz YFXXUPMOAFWDIJ-OAHLLOKOSA-N 1 2 317.389 1.054 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)N1CC2(C1)CCCOC2 ZINC000329560218 539305546 /nfs/dbraw/zinc/30/55/46/539305546.db2.gz RDTTYSMXWKYPJI-CQSZACIVSA-N 1 2 316.405 1.687 20 30 DDEDLO CN1CCO[C@@H]2C[N@H+](CC(=O)NCc3ccc(C#N)cc3)C[C@@H]21 ZINC000330279784 529786096 /nfs/dbraw/zinc/78/60/96/529786096.db2.gz ROUYSNURMLFNQR-JKSUJKDBSA-N 1 2 314.389 1.030 20 30 DDEDLO CN1CCO[C@@H]2C[N@@H+](CC(=O)NCc3ccc(C#N)cc3)C[C@@H]21 ZINC000330279784 529786097 /nfs/dbraw/zinc/78/60/97/529786097.db2.gz ROUYSNURMLFNQR-JKSUJKDBSA-N 1 2 314.389 1.030 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(CC(=O)NCc3ccc(C#N)cc3)C[C@@H]21 ZINC000330279784 529786098 /nfs/dbraw/zinc/78/60/98/529786098.db2.gz ROUYSNURMLFNQR-JKSUJKDBSA-N 1 2 314.389 1.030 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(CC(=O)NCc3ccc(C#N)cc3)C[C@@H]21 ZINC000330279784 529786100 /nfs/dbraw/zinc/78/61/00/529786100.db2.gz ROUYSNURMLFNQR-JKSUJKDBSA-N 1 2 314.389 1.030 20 30 DDEDLO C[N@H+](CC(=O)NCC#N)[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC000348165341 529832675 /nfs/dbraw/zinc/83/26/75/529832675.db2.gz JPWBUNZWNRXWFY-CYBMUJFWSA-N 1 2 320.780 1.017 20 30 DDEDLO C[N@@H+](CC(=O)NCC#N)[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC000348165341 529832676 /nfs/dbraw/zinc/83/26/76/529832676.db2.gz JPWBUNZWNRXWFY-CYBMUJFWSA-N 1 2 320.780 1.017 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cnn(CC(F)(F)F)c2)C1=O ZINC000343628720 539358583 /nfs/dbraw/zinc/35/85/83/539358583.db2.gz WWNPLVZMCJXKRK-LBPRGKRZSA-N 1 2 316.327 1.664 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cnn(CC(F)(F)F)c2)C1=O ZINC000343628720 539358587 /nfs/dbraw/zinc/35/85/87/539358587.db2.gz WWNPLVZMCJXKRK-LBPRGKRZSA-N 1 2 316.327 1.664 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000615547567 362332296 /nfs/dbraw/zinc/33/22/96/362332296.db2.gz PKXQJPYIENYARV-WFASDCNBSA-N 1 2 303.341 1.307 20 30 DDEDLO Cc1ccc2[nH+]c(CNC(=O)N3CC[C@](F)(C#N)C3)cn2c1 ZINC000615579610 362346754 /nfs/dbraw/zinc/34/67/54/362346754.db2.gz IKWOLRYKHLNVHH-HNNXBMFYSA-N 1 2 301.325 1.790 20 30 DDEDLO CCn1ccc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)n1 ZINC000564572332 304002727 /nfs/dbraw/zinc/00/27/27/304002727.db2.gz LRQMXTHBBJSRDY-UHFFFAOYSA-N 1 2 323.400 1.733 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000287182421 219365218 /nfs/dbraw/zinc/36/52/18/219365218.db2.gz HJTXFIMAEQNPFN-GDBMZVCRSA-N 1 2 313.401 1.802 20 30 DDEDLO Cn1cc(C[NH2+]CC(F)(F)CO)c(-c2ccc(C#N)cc2)n1 ZINC000183864777 186224865 /nfs/dbraw/zinc/22/48/65/186224865.db2.gz FRIZGRJTABJOJR-UHFFFAOYSA-N 1 2 306.316 1.676 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000294801673 222793328 /nfs/dbraw/zinc/79/33/28/222793328.db2.gz FFAQWCHNCRYJIU-AWEZNQCLSA-N 1 2 302.374 1.075 20 30 DDEDLO Cn1ncc(C#N)c1NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000601240445 358459681 /nfs/dbraw/zinc/45/96/81/358459681.db2.gz IGEAUTYFKFJLJJ-UHFFFAOYSA-N 1 2 314.418 1.852 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cc(C#N)ccc2F)CC1 ZINC000022849209 352180336 /nfs/dbraw/zinc/18/03/36/352180336.db2.gz SXOOMSQEAWKMGG-UHFFFAOYSA-N 1 2 316.380 1.117 20 30 DDEDLO C=CCNC(=O)N[C@H](C[NH+]1CCOCC1)c1ccc(C)cc1 ZINC000028798462 352231341 /nfs/dbraw/zinc/23/13/41/352231341.db2.gz ZCLYFEXXSNUYQJ-MRXNPFEDSA-N 1 2 303.406 1.854 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@@H](C)c2ccc(F)cc2)CC1 ZINC000045779951 352423190 /nfs/dbraw/zinc/42/31/90/352423190.db2.gz IRKIZTLYJVQDQQ-AWEZNQCLSA-N 1 2 305.397 1.807 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@@H](C)c2ccc(F)cc2)CC1 ZINC000045779951 352423195 /nfs/dbraw/zinc/42/31/95/352423195.db2.gz IRKIZTLYJVQDQQ-AWEZNQCLSA-N 1 2 305.397 1.807 20 30 DDEDLO C=CC[N@@H+](CC(=O)N1CCN(C(C)=O)CC1)Cc1cccs1 ZINC000050274351 352565063 /nfs/dbraw/zinc/56/50/63/352565063.db2.gz MKRZSEPRGKDKOW-UHFFFAOYSA-N 1 2 321.446 1.427 20 30 DDEDLO C=CC[N@H+](CC(=O)N1CCN(C(C)=O)CC1)Cc1cccs1 ZINC000050274351 352565069 /nfs/dbraw/zinc/56/50/69/352565069.db2.gz MKRZSEPRGKDKOW-UHFFFAOYSA-N 1 2 321.446 1.427 20 30 DDEDLO Cc1cc(NC(=O)C[N@H+](C)CCOc2ccccc2C#N)no1 ZINC000059931677 352863851 /nfs/dbraw/zinc/86/38/51/352863851.db2.gz KOHKXUGNSBSCRY-UHFFFAOYSA-N 1 2 314.345 1.804 20 30 DDEDLO Cc1cc(NC(=O)C[N@@H+](C)CCOc2ccccc2C#N)no1 ZINC000059931677 352863855 /nfs/dbraw/zinc/86/38/55/352863855.db2.gz KOHKXUGNSBSCRY-UHFFFAOYSA-N 1 2 314.345 1.804 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)N(C)c2ccccc2)cs1 ZINC000063456918 352911844 /nfs/dbraw/zinc/91/18/44/352911844.db2.gz VYXUHKHZJUUXOJ-UHFFFAOYSA-N 1 2 318.402 1.946 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CCCS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000491678187 234240302 /nfs/dbraw/zinc/24/03/02/234240302.db2.gz SLGKYMHRYPTRNU-CYBMUJFWSA-N 1 2 322.430 1.762 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CCCS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000491678187 234240306 /nfs/dbraw/zinc/24/03/06/234240306.db2.gz SLGKYMHRYPTRNU-CYBMUJFWSA-N 1 2 322.430 1.762 20 30 DDEDLO CCCC[C@H](C(=O)OC)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000067226967 353038849 /nfs/dbraw/zinc/03/88/49/353038849.db2.gz BIXHHPSESGBHPD-OAHLLOKOSA-N 1 2 316.405 1.807 20 30 DDEDLO CCCC[C@@H](C(=O)OC)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000067226966 353039062 /nfs/dbraw/zinc/03/90/62/353039062.db2.gz BIXHHPSESGBHPD-HNNXBMFYSA-N 1 2 316.405 1.807 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(-c3cccnc3)on2)CC1 ZINC000068444578 353112595 /nfs/dbraw/zinc/11/25/95/353112595.db2.gz BXHDPZWQULTDBX-UHFFFAOYSA-N 1 2 310.357 1.518 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C)CCCOc1ccc(S(C)(=O)=O)cc1 ZINC000068825416 353131944 /nfs/dbraw/zinc/13/19/44/353131944.db2.gz JDANEVLLIVJTIX-ZDUSSCGKSA-N 1 2 310.419 1.950 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C)CCCOc1ccc(S(C)(=O)=O)cc1 ZINC000068825416 353131946 /nfs/dbraw/zinc/13/19/46/353131946.db2.gz JDANEVLLIVJTIX-ZDUSSCGKSA-N 1 2 310.419 1.950 20 30 DDEDLO COc1cccc(CC(=O)N2CC[NH+](CCCC#N)CC2)c1 ZINC000069022507 353140991 /nfs/dbraw/zinc/14/09/91/353140991.db2.gz HHXNNOLWUVTASR-UHFFFAOYSA-N 1 2 301.390 1.686 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)C3CCOCC3)CC2)cc1 ZINC000069757604 353172680 /nfs/dbraw/zinc/17/26/80/353172680.db2.gz NNIQILDSJLCWQP-UHFFFAOYSA-N 1 2 313.401 1.629 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C(=O)N[C@@](C)(c2ccccc2F)C1=O ZINC000491809015 234299557 /nfs/dbraw/zinc/29/95/57/234299557.db2.gz WNABNBFUXRFDTI-BZNIZROVSA-N 1 2 303.337 1.504 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C(=O)N[C@@](C)(c2ccccc2F)C1=O ZINC000491809015 234299562 /nfs/dbraw/zinc/29/95/62/234299562.db2.gz WNABNBFUXRFDTI-BZNIZROVSA-N 1 2 303.337 1.504 20 30 DDEDLO CC(C)CC[N@H+](CCC#N)CCn1nc2ccccn2c1=O ZINC000072692287 353209523 /nfs/dbraw/zinc/20/95/23/353209523.db2.gz QNCRNQXRTPMXLQ-UHFFFAOYSA-N 1 2 301.394 1.758 20 30 DDEDLO CC(C)CC[N@@H+](CCC#N)CCn1nc2ccccn2c1=O ZINC000072692287 353209525 /nfs/dbraw/zinc/20/95/25/353209525.db2.gz QNCRNQXRTPMXLQ-UHFFFAOYSA-N 1 2 301.394 1.758 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)NCCCn1cc[nH+]c1 ZINC000073047863 191273017 /nfs/dbraw/zinc/27/30/17/191273017.db2.gz KPQVYEQAZQJQCR-UHFFFAOYSA-N 1 2 314.345 1.349 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)c1 ZINC000088777367 353758987 /nfs/dbraw/zinc/75/89/87/353758987.db2.gz MPHNUFXEKCJQFD-CYBMUJFWSA-N 1 2 316.386 1.850 20 30 DDEDLO CC(C)(C)C[N@H+](CCC#N)CCn1nc2ccccn2c1=O ZINC000109813634 353957304 /nfs/dbraw/zinc/95/73/04/353957304.db2.gz WZHHSLMWPXATAZ-UHFFFAOYSA-N 1 2 301.394 1.758 20 30 DDEDLO CC(C)(C)C[N@@H+](CCC#N)CCn1nc2ccccn2c1=O ZINC000109813634 353957306 /nfs/dbraw/zinc/95/73/06/353957306.db2.gz WZHHSLMWPXATAZ-UHFFFAOYSA-N 1 2 301.394 1.758 20 30 DDEDLO CCc1nc2n(n1)CCC[C@@H]2NC(=O)CCc1c[nH+]cn1C ZINC000328862353 222903103 /nfs/dbraw/zinc/90/31/03/222903103.db2.gz VRZJGEKHZLWHKT-LBPRGKRZSA-N 1 2 302.382 1.998 20 30 DDEDLO Cc1csc(C[NH2+]CCS(=O)(=O)c2cccc(C#N)c2)n1 ZINC000163073426 354247016 /nfs/dbraw/zinc/24/70/16/354247016.db2.gz JMHWECUZMYKXTL-UHFFFAOYSA-N 1 2 321.427 1.887 20 30 DDEDLO N#CCNC(=O)C[NH+]1CCC(Oc2ccccc2Cl)CC1 ZINC000157338385 354224200 /nfs/dbraw/zinc/22/42/00/354224200.db2.gz ZITOAIVCZUBHRX-UHFFFAOYSA-N 1 2 307.781 1.823 20 30 DDEDLO Cc1nc(N2CCN(CC#N)CC2)c(Br)c(C)[nH+]1 ZINC000323315459 354541021 /nfs/dbraw/zinc/54/10/21/354541021.db2.gz RBHUAXWNYQVRGQ-UHFFFAOYSA-N 1 2 310.199 1.502 20 30 DDEDLO C[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1cccc([N+](=O)[O-])c1 ZINC000580777138 354727028 /nfs/dbraw/zinc/72/70/28/354727028.db2.gz KKIXDLDBCBAZBK-NHYWBVRUSA-N 1 2 304.350 1.658 20 30 DDEDLO N#CCc1ccc(CNC(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000580830525 354727651 /nfs/dbraw/zinc/72/76/51/354727651.db2.gz GLVNNIFIYWKTJZ-HNNXBMFYSA-N 1 2 309.373 1.763 20 30 DDEDLO N#CCc1ccc(CNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000580830526 354727686 /nfs/dbraw/zinc/72/76/86/354727686.db2.gz GLVNNIFIYWKTJZ-OAHLLOKOSA-N 1 2 309.373 1.763 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000584875602 354787098 /nfs/dbraw/zinc/78/70/98/354787098.db2.gz ZGZLHUOHURQLSD-QLFBSQMISA-N 1 2 318.421 1.596 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)c3cnccc3C#N)[nH+]c12 ZINC000588756253 354934681 /nfs/dbraw/zinc/93/46/81/354934681.db2.gz NHRHNDVYMFWLHZ-UHFFFAOYSA-N 1 2 305.341 1.882 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N2CC(n3cc[nH+]c3)C2)cc1 ZINC000588897539 354946998 /nfs/dbraw/zinc/94/69/98/354946998.db2.gz YJWBSTNWMJSKRB-UHFFFAOYSA-N 1 2 302.359 1.141 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@@H+](Cc1cccc(F)c1C#N)CC2 ZINC000589984928 355036980 /nfs/dbraw/zinc/03/69/80/355036980.db2.gz OPEPZCZGRWRDBR-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@H+](Cc1cccc(F)c1C#N)CC2 ZINC000589984928 355036982 /nfs/dbraw/zinc/03/69/82/355036982.db2.gz OPEPZCZGRWRDBR-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+](CCc2cn[nH]n2)CC1 ZINC000590602510 355128132 /nfs/dbraw/zinc/12/81/32/355128132.db2.gz KJWWCVHEUNCCEW-UHFFFAOYSA-N 1 2 300.341 1.180 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+](CCc2c[nH]nn2)CC1 ZINC000590602510 355128133 /nfs/dbraw/zinc/12/81/33/355128133.db2.gz KJWWCVHEUNCCEW-UHFFFAOYSA-N 1 2 300.341 1.180 20 30 DDEDLO N#Cc1cccc(NC(=O)C[NH+]2CCC3(COC(=O)N3)CC2)c1 ZINC000495298100 235162001 /nfs/dbraw/zinc/16/20/01/235162001.db2.gz ZFKVSNZAPSPFFS-UHFFFAOYSA-N 1 2 314.345 1.071 20 30 DDEDLO CCNC(=O)C[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000591025999 355235907 /nfs/dbraw/zinc/23/59/07/355235907.db2.gz REPAXMPIQLCECP-HNNXBMFYSA-N 1 2 304.369 1.144 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc2c(c1)OCO2)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146598 355511419 /nfs/dbraw/zinc/51/14/19/355511419.db2.gz DBOVGZBFDBGKHU-ZBEGNZNMSA-N 1 2 317.345 1.093 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc2c(c1)OCO2)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146598 355511423 /nfs/dbraw/zinc/51/14/23/355511423.db2.gz DBOVGZBFDBGKHU-ZBEGNZNMSA-N 1 2 317.345 1.093 20 30 DDEDLO COc1ccc(Cl)cc1NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592147295 355512642 /nfs/dbraw/zinc/51/26/42/355512642.db2.gz NKMOOFDOZTVRQT-HNNXBMFYSA-N 1 2 323.780 1.638 20 30 DDEDLO COc1ccc(Cl)cc1NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592147295 355512644 /nfs/dbraw/zinc/51/26/44/355512644.db2.gz NKMOOFDOZTVRQT-HNNXBMFYSA-N 1 2 323.780 1.638 20 30 DDEDLO CCc1ccccc1NC(=O)[C@H](C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148011 355513689 /nfs/dbraw/zinc/51/36/89/355513689.db2.gz VDGVUJZTAIONSA-SUMWQHHRSA-N 1 2 301.390 1.926 20 30 DDEDLO CCc1ccccc1NC(=O)[C@H](C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148011 355513694 /nfs/dbraw/zinc/51/36/94/355513694.db2.gz VDGVUJZTAIONSA-SUMWQHHRSA-N 1 2 301.390 1.926 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](CCOc2cccc(C#N)c2)C[C@H](C)O1 ZINC000593081200 355788785 /nfs/dbraw/zinc/78/87/85/355788785.db2.gz PSQZGLSDBJLIHE-WFASDCNBSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](CCOc2cccc(C#N)c2)C[C@H](C)O1 ZINC000593081200 355788787 /nfs/dbraw/zinc/78/87/87/355788787.db2.gz PSQZGLSDBJLIHE-WFASDCNBSA-N 1 2 304.346 1.199 20 30 DDEDLO N#Cc1ccc(N2CC([N@@H+]3CC[C@@H](O)C3)C2)c(Br)c1 ZINC000593502427 355905627 /nfs/dbraw/zinc/90/56/27/355905627.db2.gz BGECXMOSQWRJRU-GFCCVEGCSA-N 1 2 322.206 1.576 20 30 DDEDLO N#Cc1ccc(N2CC([N@H+]3CC[C@@H](O)C3)C2)c(Br)c1 ZINC000593502427 355905630 /nfs/dbraw/zinc/90/56/30/355905630.db2.gz BGECXMOSQWRJRU-GFCCVEGCSA-N 1 2 322.206 1.576 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)c1ccsc1C#N ZINC000593610525 355941635 /nfs/dbraw/zinc/94/16/35/355941635.db2.gz UKQGHOAVIAJCLF-SECBINFHSA-N 1 2 310.404 1.876 20 30 DDEDLO C[C@H](CNC(=O)c1ccc(C#N)cn1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594108786 356114907 /nfs/dbraw/zinc/11/49/07/356114907.db2.gz WZZRZOHQPLSNHU-UPJWGTAASA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@H](CNC(=O)c1ccc(C#N)cn1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594108786 356114910 /nfs/dbraw/zinc/11/49/10/356114910.db2.gz WZZRZOHQPLSNHU-UPJWGTAASA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@H](O)C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C1CC1 ZINC000594064987 356103683 /nfs/dbraw/zinc/10/36/83/356103683.db2.gz NBKKFMBTYOQZIK-LBPRGKRZSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@H](O)C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C1CC1 ZINC000594064987 356103687 /nfs/dbraw/zinc/10/36/87/356103687.db2.gz NBKKFMBTYOQZIK-LBPRGKRZSA-N 1 2 308.403 1.177 20 30 DDEDLO Cn1cc[nH+]c1[C@H](CC(=O)N1CC[C@](F)(C#N)C1)C(F)(F)F ZINC000594344161 356178062 /nfs/dbraw/zinc/17/80/62/356178062.db2.gz YTKUUYCFQWPFOH-CABZTGNLSA-N 1 2 318.274 1.920 20 30 DDEDLO C[C@H](NC(=O)NCc1nnc(C2CC2)o1)[C@H](C)[NH+]1CCOCC1 ZINC000329406672 222974838 /nfs/dbraw/zinc/97/48/38/222974838.db2.gz BZPNSOYFEDOGPY-QWRGUYRKSA-N 1 2 323.397 1.060 20 30 DDEDLO CCc1nc(CN2CC[NH+](Cc3cccc(C#N)c3)CC2)no1 ZINC000081162181 192232035 /nfs/dbraw/zinc/23/20/35/192232035.db2.gz KFEHPBMYJKVHQN-UHFFFAOYSA-N 1 2 311.389 1.821 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)CN2CCn3c[nH+]cc3C2)cc1 ZINC000595493725 356516732 /nfs/dbraw/zinc/51/67/32/356516732.db2.gz IJRLRFSPHTWUQE-MRXNPFEDSA-N 1 2 312.373 1.205 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+](CC(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000595616099 356579415 /nfs/dbraw/zinc/57/94/15/356579415.db2.gz PLWPRIZYZZJYIS-GFCCVEGCSA-N 1 2 301.346 1.979 20 30 DDEDLO N#CC[C@H]1CCC[N@H+](CC(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000595616099 356579417 /nfs/dbraw/zinc/57/94/17/356579417.db2.gz PLWPRIZYZZJYIS-GFCCVEGCSA-N 1 2 301.346 1.979 20 30 DDEDLO COC(=O)[C@@](C)(CCF)[NH2+]CCC(=O)Nc1cccc(C#N)c1 ZINC000595774241 356647596 /nfs/dbraw/zinc/64/75/96/356647596.db2.gz FVXFNYQNEVTADM-MRXNPFEDSA-N 1 2 321.352 1.768 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NC1CCCCC1)[N@@H+]1CC[C@](C)(C#N)C1 ZINC000595837613 356672365 /nfs/dbraw/zinc/67/23/65/356672365.db2.gz KEBLRGFTPHXRMS-BLLLJJGKSA-N 1 2 306.410 1.769 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NC1CCCCC1)[N@H+]1CC[C@](C)(C#N)C1 ZINC000595837613 356672369 /nfs/dbraw/zinc/67/23/69/356672369.db2.gz KEBLRGFTPHXRMS-BLLLJJGKSA-N 1 2 306.410 1.769 20 30 DDEDLO C[C@]1(C#N)CC[N@H+](CC(=O)NCCCN2CCCCCC2=O)C1 ZINC000595841021 356673285 /nfs/dbraw/zinc/67/32/85/356673285.db2.gz UBWFCKHSJALFFH-QGZVFWFLSA-N 1 2 320.437 1.131 20 30 DDEDLO C[C@]1(C#N)CC[N@@H+](CC(=O)NCCCN2CCCCCC2=O)C1 ZINC000595841021 356673288 /nfs/dbraw/zinc/67/32/88/356673288.db2.gz UBWFCKHSJALFFH-QGZVFWFLSA-N 1 2 320.437 1.131 20 30 DDEDLO N#Cc1ccnc(N2CCC[C@@H]([NH+]3CCOCC3)C2)c1[N+](=O)[O-] ZINC000596248477 356832051 /nfs/dbraw/zinc/83/20/51/356832051.db2.gz YEVMGZBBCOZHKI-CYBMUJFWSA-N 1 2 317.349 1.162 20 30 DDEDLO N#CC1(CNC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CCCCC1 ZINC000596858180 356997239 /nfs/dbraw/zinc/99/72/39/356997239.db2.gz XNSHKOPZTISJQN-GASCZTMLSA-N 1 2 320.437 1.623 20 30 DDEDLO N#CC1(CNC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CCCCC1 ZINC000596858180 356997243 /nfs/dbraw/zinc/99/72/43/356997243.db2.gz XNSHKOPZTISJQN-GASCZTMLSA-N 1 2 320.437 1.623 20 30 DDEDLO C[C@@H](CC#N)C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000596663171 356945604 /nfs/dbraw/zinc/94/56/04/356945604.db2.gz ZZBIPGQDVYKFKI-HNNXBMFYSA-N 1 2 301.390 1.759 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1ccc(C#N)c(F)c1 ZINC000596911657 357013594 /nfs/dbraw/zinc/01/35/94/357013594.db2.gz WBKNBYIPWFPPHG-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1ccc(C#N)c(F)c1 ZINC000596911657 357013597 /nfs/dbraw/zinc/01/35/97/357013597.db2.gz WBKNBYIPWFPPHG-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cccc(CC#N)c2)[C@H](C)CO1 ZINC000596929828 357017456 /nfs/dbraw/zinc/01/74/56/357017456.db2.gz ZJMZGRCPIKUKLO-ZIAGYGMSSA-N 1 2 316.405 1.983 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cccc(CC#N)c2)[C@H](C)CO1 ZINC000596929828 357017457 /nfs/dbraw/zinc/01/74/57/357017457.db2.gz ZJMZGRCPIKUKLO-ZIAGYGMSSA-N 1 2 316.405 1.983 20 30 DDEDLO COC(=O)[C@@H]1[C@@H](O)CCC[N@@H+]1Cc1ccc(C2(C#N)CC2)cc1 ZINC000597110298 357063544 /nfs/dbraw/zinc/06/35/44/357063544.db2.gz IEBQEYWSJFLAGO-HOTGVXAUSA-N 1 2 314.385 1.740 20 30 DDEDLO COC(=O)[C@@H]1[C@@H](O)CCC[N@H+]1Cc1ccc(C2(C#N)CC2)cc1 ZINC000597110298 357063547 /nfs/dbraw/zinc/06/35/47/357063547.db2.gz IEBQEYWSJFLAGO-HOTGVXAUSA-N 1 2 314.385 1.740 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccc(F)c(C#N)c2)[C@@H](C)CO1 ZINC000597998749 357414399 /nfs/dbraw/zinc/41/43/99/357414399.db2.gz VQYLIGXICUTCII-NWDGAFQWSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(F)c(C#N)c2)[C@@H](C)CO1 ZINC000597998749 357414403 /nfs/dbraw/zinc/41/44/03/357414403.db2.gz VQYLIGXICUTCII-NWDGAFQWSA-N 1 2 305.353 1.536 20 30 DDEDLO CCOC(=O)[C@H]1CSCC[N@@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598587660 357642037 /nfs/dbraw/zinc/64/20/37/357642037.db2.gz UQVJGYUTNMGHGL-NWDGAFQWSA-N 1 2 300.424 1.268 20 30 DDEDLO CCOC(=O)[C@H]1CSCC[N@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598587660 357642040 /nfs/dbraw/zinc/64/20/40/357642040.db2.gz UQVJGYUTNMGHGL-NWDGAFQWSA-N 1 2 300.424 1.268 20 30 DDEDLO CCC[C@@H](OCC)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000599230818 357856656 /nfs/dbraw/zinc/85/66/56/357856656.db2.gz XDDFRLGDSDLZPB-OAHLLOKOSA-N 1 2 311.426 1.266 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)n1 ZINC000599268778 357868988 /nfs/dbraw/zinc/86/89/88/357868988.db2.gz LFXKPRAPXDEVDO-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO CCC[C@](C)([NH2+]C[C@H](O)COc1ccccc1C#N)C(=O)OC ZINC000599304029 357884016 /nfs/dbraw/zinc/88/40/16/357884016.db2.gz PBSVACXOSGGQPW-YOEHRIQHSA-N 1 2 320.389 1.619 20 30 DDEDLO CCC[C@@](C)([NH2+]C[C@@H](O)COc1ccccc1C#N)C(=O)OC ZINC000599304025 357884082 /nfs/dbraw/zinc/88/40/82/357884082.db2.gz PBSVACXOSGGQPW-RHSMWYFYSA-N 1 2 320.389 1.619 20 30 DDEDLO N#Cc1ccc(CC[NH+]2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC000599537980 357966376 /nfs/dbraw/zinc/96/63/76/357966376.db2.gz DGWZXOZPFZJVGA-UHFFFAOYSA-N 1 2 319.430 1.211 20 30 DDEDLO COC(=O)[C@@]1(OC)CC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000599559969 357973976 /nfs/dbraw/zinc/97/39/76/357973976.db2.gz ZJNJCMRWKBZOBU-OAHLLOKOSA-N 1 2 319.317 1.230 20 30 DDEDLO COC(=O)[C@@]1(OC)CC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000599559969 357973977 /nfs/dbraw/zinc/97/39/77/357973977.db2.gz ZJNJCMRWKBZOBU-OAHLLOKOSA-N 1 2 319.317 1.230 20 30 DDEDLO C=CCN(Cc1cccc2[nH+]ccn21)[C@@H]1CCS(=O)(=O)C1 ZINC000179819331 199084682 /nfs/dbraw/zinc/08/46/82/199084682.db2.gz QCKXTTWTEIUSAT-CQSZACIVSA-N 1 2 305.403 1.509 20 30 DDEDLO C=CCN(C(=O)Nc1cc[nH+]c(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000179816525 199084212 /nfs/dbraw/zinc/08/42/12/199084212.db2.gz CSBFINVPARUGQX-CYBMUJFWSA-N 1 2 309.391 1.597 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cccc(C(=O)OCC)n2)nn1 ZINC000600166837 358144013 /nfs/dbraw/zinc/14/40/13/358144013.db2.gz MQCBTZBUZQSRCV-UHFFFAOYSA-N 1 2 301.350 1.326 20 30 DDEDLO C=CCNC(=O)CNC(=O)NCc1ccc(N(CC)CC)[nH+]c1 ZINC000180400444 199154482 /nfs/dbraw/zinc/15/44/82/199154482.db2.gz DUDKCDOFOXJHFZ-UHFFFAOYSA-N 1 2 319.409 1.029 20 30 DDEDLO C[C@@H](NC(=O)c1ccccc1CC#N)[C@H](C)[NH+]1CCOCC1 ZINC000601040365 358390480 /nfs/dbraw/zinc/39/04/80/358390480.db2.gz WVATUSOMSBHXAY-KGLIPLIRSA-N 1 2 301.390 1.592 20 30 DDEDLO CCn1cc[nH+]c1CNS(=O)(=O)c1cccc(CC#N)c1 ZINC000601471185 358568404 /nfs/dbraw/zinc/56/84/04/358568404.db2.gz UBPHVFJFDMKBJW-UHFFFAOYSA-N 1 2 304.375 1.448 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)C2(C#N)CC3(CC3)C2)C[C@@H]1C ZINC000601404812 358538098 /nfs/dbraw/zinc/53/80/98/358538098.db2.gz GTTGLLQXGFRVTI-ZDUSSCGKSA-N 1 2 319.405 1.166 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)C2(C#N)CC3(CC3)C2)C[C@@H]1C ZINC000601404812 358538101 /nfs/dbraw/zinc/53/81/01/358538101.db2.gz GTTGLLQXGFRVTI-ZDUSSCGKSA-N 1 2 319.405 1.166 20 30 DDEDLO CC(C)(CNS(=O)(=O)c1ccc(C#N)cc1)n1cc[nH+]c1 ZINC000601525609 358588375 /nfs/dbraw/zinc/58/83/75/358588375.db2.gz DHURHKUZUMHSHC-UHFFFAOYSA-N 1 2 304.375 1.468 20 30 DDEDLO CC(C)(C#N)CC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000601888845 358728491 /nfs/dbraw/zinc/72/84/91/358728491.db2.gz ZIQSPBNWSXCTAP-UHFFFAOYSA-N 1 2 301.394 1.103 20 30 DDEDLO CO[C@@]1(C[N@@H+]2CCN(Cc3ccccc3)[C@H](C#N)C2)CCOC1 ZINC000602428553 358969311 /nfs/dbraw/zinc/96/93/11/358969311.db2.gz PRTLVQGSYUINSS-QZTJIDSGSA-N 1 2 315.417 1.502 20 30 DDEDLO CO[C@@]1(C[N@H+]2CCN(Cc3ccccc3)[C@H](C#N)C2)CCOC1 ZINC000602428553 358969312 /nfs/dbraw/zinc/96/93/12/358969312.db2.gz PRTLVQGSYUINSS-QZTJIDSGSA-N 1 2 315.417 1.502 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3ncccc3C#N)CC2)s1 ZINC000602434283 358973938 /nfs/dbraw/zinc/97/39/38/358973938.db2.gz QNLARWQBJFTLAJ-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO COc1cc(Cl)c(C[NH+]2CCN(CC#N)CC2)cc1OC ZINC000602454071 358987690 /nfs/dbraw/zinc/98/76/90/358987690.db2.gz JOUFRSQWBQAZFL-UHFFFAOYSA-N 1 2 309.797 1.998 20 30 DDEDLO Cn1cc(C[N@@H+]2CCc3c(CC#N)cccc3C2)c([N+](=O)[O-])n1 ZINC000602732135 359154102 /nfs/dbraw/zinc/15/41/02/359154102.db2.gz ZHGZZJGNMYNESV-UHFFFAOYSA-N 1 2 311.345 1.953 20 30 DDEDLO Cn1cc(C[N@H+]2CCc3c(CC#N)cccc3C2)c([N+](=O)[O-])n1 ZINC000602732135 359154106 /nfs/dbraw/zinc/15/41/06/359154106.db2.gz ZHGZZJGNMYNESV-UHFFFAOYSA-N 1 2 311.345 1.953 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)NC2(C#N)CCCCC2)C[C@H](C)N1CC#N ZINC000602857518 359243301 /nfs/dbraw/zinc/24/33/01/359243301.db2.gz RYKLSFKRUJWJIQ-GJZGRUSLSA-N 1 2 317.437 1.247 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)NCCC2=CCCCC2)C[C@H](C)N1CC#N ZINC000602857853 359243603 /nfs/dbraw/zinc/24/36/03/359243603.db2.gz WFXCSBXYCYUGBI-HOTGVXAUSA-N 1 2 318.465 1.911 20 30 DDEDLO CCOC(=O)CN(C(=O)C[NH2+][C@H](C)CC#N)c1ccccc1 ZINC000602864049 359249092 /nfs/dbraw/zinc/24/90/92/359249092.db2.gz PZACOYZBKPIPFR-CYBMUJFWSA-N 1 2 303.362 1.474 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(CC(F)(F)CO)CC2)cc1C#N ZINC000602870908 359254264 /nfs/dbraw/zinc/25/42/64/359254264.db2.gz RVNUDIFCYUJDFF-UHFFFAOYSA-N 1 2 309.360 1.612 20 30 DDEDLO C[N@H+](CC(=O)NCc1ccc2c(c1)OCO2)[C@@H]1CCC[C@@H]1C#N ZINC000602978309 359337303 /nfs/dbraw/zinc/33/73/03/359337303.db2.gz OPYQAEUBGWJORC-ZIAGYGMSSA-N 1 2 315.373 1.656 20 30 DDEDLO C[N@@H+](CC(=O)NCc1ccc2c(c1)OCO2)[C@@H]1CCC[C@@H]1C#N ZINC000602978309 359337310 /nfs/dbraw/zinc/33/73/10/359337310.db2.gz OPYQAEUBGWJORC-ZIAGYGMSSA-N 1 2 315.373 1.656 20 30 DDEDLO N#Cc1cc(F)cc(NC(=O)NCC2([NH+]3CCOCC3)CC2)c1 ZINC000603072387 359389004 /nfs/dbraw/zinc/38/90/04/359389004.db2.gz GZWLXNKLUVRFOJ-UHFFFAOYSA-N 1 2 318.352 1.684 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1C[C@H]2C[C@@]2(O)C1 ZINC000603087014 359394610 /nfs/dbraw/zinc/39/46/10/359394610.db2.gz NHQGDYXIXJRCIY-IAQYHMDHSA-N 1 2 303.387 1.307 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1C[C@H]2C[C@@]2(O)C1 ZINC000603087014 359394616 /nfs/dbraw/zinc/39/46/16/359394616.db2.gz NHQGDYXIXJRCIY-IAQYHMDHSA-N 1 2 303.387 1.307 20 30 DDEDLO N#Cc1ccnc(N2CCN(C(=O)CCCn3cc[nH+]c3)CC2)c1 ZINC000604460098 359752788 /nfs/dbraw/zinc/75/27/88/359752788.db2.gz BYNJKQBFRABTLZ-UHFFFAOYSA-N 1 2 324.388 1.279 20 30 DDEDLO CC[C@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@@H+]1C[C@@H](C)O ZINC000188728660 200295294 /nfs/dbraw/zinc/29/52/94/200295294.db2.gz SZORVGWFGZDWFK-DOMZBBRYSA-N 1 2 317.389 1.181 20 30 DDEDLO CC[C@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@H+]1C[C@@H](C)O ZINC000188728660 200295296 /nfs/dbraw/zinc/29/52/96/200295296.db2.gz SZORVGWFGZDWFK-DOMZBBRYSA-N 1 2 317.389 1.181 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)NC2CCC(C)CC2)CC1 ZINC000609485192 360312365 /nfs/dbraw/zinc/31/23/65/360312365.db2.gz KXZNKQUTJHOIQV-OFLPRAFFSA-N 1 2 320.481 1.847 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(C#N)cc1F ZINC000610082846 360380356 /nfs/dbraw/zinc/38/03/56/360380356.db2.gz LUAHPIYAOQBXLO-JTQLQIEISA-N 1 2 308.338 1.261 20 30 DDEDLO O=C(NCc1ccc(N2CCCC2)[nH+]c1)N[C@H]1CC[S@@](=O)C1 ZINC000330029843 223066009 /nfs/dbraw/zinc/06/60/09/223066009.db2.gz QRIJZPAXIACEDE-XMHCIUCPSA-N 1 2 322.434 1.206 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@H+](C)CCOc1ccccc1C#N ZINC000610828521 360545330 /nfs/dbraw/zinc/54/53/30/360545330.db2.gz FVABEUQUEGMDRZ-HNNXBMFYSA-N 1 2 320.389 1.835 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@@H+](C)CCOc1ccccc1C#N ZINC000610828521 360545336 /nfs/dbraw/zinc/54/53/36/360545336.db2.gz FVABEUQUEGMDRZ-HNNXBMFYSA-N 1 2 320.389 1.835 20 30 DDEDLO CCOC(=O)[C@H](C)[N@H+](C)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000610987476 360590992 /nfs/dbraw/zinc/59/09/92/360590992.db2.gz FMICTISIMZGFTR-DZGCQCFKSA-N 1 2 320.389 1.376 20 30 DDEDLO CCOC(=O)[C@H](C)[N@@H+](C)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000610987476 360590994 /nfs/dbraw/zinc/59/09/94/360590994.db2.gz FMICTISIMZGFTR-DZGCQCFKSA-N 1 2 320.389 1.376 20 30 DDEDLO CN(Cc1ccccc1)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611173986 360646468 /nfs/dbraw/zinc/64/64/68/360646468.db2.gz UCJLAGDBTXPRGQ-UHFFFAOYSA-N 1 2 300.406 1.176 20 30 DDEDLO CC[C@@H]1CCCCN1C(=O)[C@@H](C)[NH+]1CCN(CCC#N)CC1 ZINC000611176010 360648813 /nfs/dbraw/zinc/64/88/13/360648813.db2.gz ROVQORSBSUYIOY-HZPDHXFCSA-N 1 2 306.454 1.697 20 30 DDEDLO C[C@@]1(C#N)CCCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000612145627 360934285 /nfs/dbraw/zinc/93/42/85/360934285.db2.gz MGCBHCSXUADFDB-PBHICJAKSA-N 1 2 313.405 1.996 20 30 DDEDLO COCC[C@H](C)NC(=O)N[C@@H]1CCO[C@@H](c2c[nH+]cn2C)C1 ZINC000331207055 223193015 /nfs/dbraw/zinc/19/30/15/223193015.db2.gz UQJXFEWKPWDFRK-OUCADQQQSA-N 1 2 310.398 1.569 20 30 DDEDLO CSc1c(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)cnn1C ZINC000331123904 223187539 /nfs/dbraw/zinc/18/75/39/223187539.db2.gz YGFBKEWSXNYLEM-UHFFFAOYSA-N 1 2 312.439 1.557 20 30 DDEDLO CSc1c(C(=O)NCC[N@H+]2CCOC(C)(C)C2)cnn1C ZINC000331123904 223187540 /nfs/dbraw/zinc/18/75/40/223187540.db2.gz YGFBKEWSXNYLEM-UHFFFAOYSA-N 1 2 312.439 1.557 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCc1cncs1 ZINC000339586010 223270503 /nfs/dbraw/zinc/27/05/03/223270503.db2.gz DOXADHRSOXTRGM-UHFFFAOYSA-N 1 2 322.434 1.150 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](Cc3ccccn3)CC2)ccn1 ZINC000195121303 201342237 /nfs/dbraw/zinc/34/22/37/201342237.db2.gz WDOMFXIAZOMUPH-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cc(O)cc(C#N)c1 ZINC000619138829 363910578 /nfs/dbraw/zinc/91/05/78/363910578.db2.gz ORUIWKVDJQLKIQ-UHFFFAOYSA-N 1 2 317.389 1.447 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cc(O)cc(C#N)c1 ZINC000619138829 363910587 /nfs/dbraw/zinc/91/05/87/363910587.db2.gz ORUIWKVDJQLKIQ-UHFFFAOYSA-N 1 2 317.389 1.447 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(C(=O)Nc2ccccc2O)CC1 ZINC000619719085 364129751 /nfs/dbraw/zinc/12/97/51/364129751.db2.gz RZOFARCKSRVBSY-AWEZNQCLSA-N 1 2 318.373 1.770 20 30 DDEDLO N#CCN(CC#N)C(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000342768099 223313309 /nfs/dbraw/zinc/31/33/09/223313309.db2.gz FZPPSODRYWVZFZ-UHFFFAOYSA-N 1 2 310.273 1.270 20 30 DDEDLO COCC1(C#N)CCN(c2cc(N3CCCC3)[nH+]cn2)CC1 ZINC000343139748 223317102 /nfs/dbraw/zinc/31/71/02/223317102.db2.gz LRQRITLOQNUYCL-UHFFFAOYSA-N 1 2 301.394 1.833 20 30 DDEDLO COCC1(C#N)CCN(c2cc(N3CCCC3)nc[nH+]2)CC1 ZINC000343139748 223317104 /nfs/dbraw/zinc/31/71/04/223317104.db2.gz LRQRITLOQNUYCL-UHFFFAOYSA-N 1 2 301.394 1.833 20 30 DDEDLO N#CC1(CN2CC[NH+](CC(=O)NCc3ccccc3)CC2)CC1 ZINC000343243957 223318762 /nfs/dbraw/zinc/31/87/62/223318762.db2.gz BHQASQKRPNKSCW-UHFFFAOYSA-N 1 2 312.417 1.224 20 30 DDEDLO C=CC[C@H]1CC[N@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000625703915 367099266 /nfs/dbraw/zinc/09/92/66/367099266.db2.gz LXKBGYDERBUEHQ-LBPRGKRZSA-N 1 2 305.403 1.907 20 30 DDEDLO C=CC[C@H]1CC[N@@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000625703915 367099269 /nfs/dbraw/zinc/09/92/69/367099269.db2.gz LXKBGYDERBUEHQ-LBPRGKRZSA-N 1 2 305.403 1.907 20 30 DDEDLO COC[C@H]([NH2+][C@@H](C)C(=O)NC1(C#N)CCC1)c1ccc(C)o1 ZINC000346539703 223357888 /nfs/dbraw/zinc/35/78/88/223357888.db2.gz YTBWTHHZUOIGFQ-STQMWFEESA-N 1 2 305.378 1.816 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)[C@H]1CCn2cc(C)[nH+]c2C1 ZINC000626832591 367786414 /nfs/dbraw/zinc/78/64/14/367786414.db2.gz OQPKLXNDOABXEK-LZWOXQAQSA-N 1 2 303.406 1.851 20 30 DDEDLO CCC[N@H+](Cc1cc(F)ccc1C#N)[C@H]1CC(=O)N(C)C1=O ZINC000282468423 217018311 /nfs/dbraw/zinc/01/83/11/217018311.db2.gz KORHDKBLRCVTIJ-AWEZNQCLSA-N 1 2 303.337 1.667 20 30 DDEDLO CCC[N@@H+](Cc1cc(F)ccc1C#N)[C@H]1CC(=O)N(C)C1=O ZINC000282468423 217018315 /nfs/dbraw/zinc/01/83/15/217018315.db2.gz KORHDKBLRCVTIJ-AWEZNQCLSA-N 1 2 303.337 1.667 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)c1 ZINC000267446517 206073677 /nfs/dbraw/zinc/07/36/77/206073677.db2.gz WVAZMEAJUVACFF-UHFFFAOYSA-N 1 2 322.368 1.736 20 30 DDEDLO C#CCN(C(=O)NCc1cc[nH+]c(N2CCOCC2)c1)C1CC1 ZINC000274054356 211311354 /nfs/dbraw/zinc/31/13/54/211311354.db2.gz COJWMFSTDVBRDA-UHFFFAOYSA-N 1 2 314.389 1.225 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(N3CCCC3)sc2=S)CC1 ZINC000057085965 184027013 /nfs/dbraw/zinc/02/70/13/184027013.db2.gz HDJUKDNFBXBFSW-UHFFFAOYSA-N 1 2 323.491 1.483 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC(C)(C)c1noc(C[NH+](C)C)n1 ZINC000065757858 184379120 /nfs/dbraw/zinc/37/91/20/184379120.db2.gz FLSSGCUXAMGGNL-NSHDSACASA-N 1 2 310.398 1.464 20 30 DDEDLO CC[N@H+](Cc1ccc(C#N)cc1OC)[C@@H](C)CS(C)(=O)=O ZINC000120956827 285096574 /nfs/dbraw/zinc/09/65/74/285096574.db2.gz WJXIVIZUWODAQE-LBPRGKRZSA-N 1 2 310.419 1.822 20 30 DDEDLO CC[N@@H+](Cc1ccc(C#N)cc1OC)[C@@H](C)CS(C)(=O)=O ZINC000120956827 285096575 /nfs/dbraw/zinc/09/65/75/285096575.db2.gz WJXIVIZUWODAQE-LBPRGKRZSA-N 1 2 310.419 1.822 20 30 DDEDLO N#Cc1ccc(F)cc1CN1CC[NH+](CC(=O)NC2CC2)CC1 ZINC000158245810 197256205 /nfs/dbraw/zinc/25/62/05/197256205.db2.gz QMMSWDJQXZFMIM-UHFFFAOYSA-N 1 2 316.380 1.094 20 30 DDEDLO COCC1(C#N)CCN(C(=O)NCc2c[nH+]ccc2OC)CC1 ZINC000432241265 533107773 /nfs/dbraw/zinc/10/77/73/533107773.db2.gz IKWGDANSWLWOKA-UHFFFAOYSA-N 1 2 318.377 1.552 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N2CC[NH2+][C@@H](c3cccnc3)C2)cc1 ZINC000374350445 533118934 /nfs/dbraw/zinc/11/89/34/533118934.db2.gz LOFCRKPORPHLOO-LASGFXPTSA-N 1 2 324.384 1.849 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Nc1ccsc1 ZINC000157658226 197205529 /nfs/dbraw/zinc/20/55/29/197205529.db2.gz VXMDQFQAWQKRGK-UHFFFAOYSA-N 1 2 308.407 1.828 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(c3cc(NC4CC4)[nH+]cn3)C2)nc1 ZINC000413294462 224170852 /nfs/dbraw/zinc/17/08/52/224170852.db2.gz BEYSDHLZXZGIJE-AWEZNQCLSA-N 1 2 322.372 1.975 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(c3cc(NC4CC4)nc[nH+]3)C2)nc1 ZINC000413294462 224170854 /nfs/dbraw/zinc/17/08/54/224170854.db2.gz BEYSDHLZXZGIJE-AWEZNQCLSA-N 1 2 322.372 1.975 20 30 DDEDLO CC1=C(C)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000289501506 221022513 /nfs/dbraw/zinc/02/25/13/221022513.db2.gz UDYCCMHKRHDUFG-UHFFFAOYSA-N 1 2 305.403 1.769 20 30 DDEDLO CC1=C(C)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000289501506 221022516 /nfs/dbraw/zinc/02/25/16/221022516.db2.gz UDYCCMHKRHDUFG-UHFFFAOYSA-N 1 2 305.403 1.769 20 30 DDEDLO Cc1nsc(N2CC[NH+](CCC(=O)OC(C)C)CC2)c1C#N ZINC000289533534 221048434 /nfs/dbraw/zinc/04/84/34/221048434.db2.gz SJBQCXIIOJXTRA-UHFFFAOYSA-N 1 2 322.434 1.787 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CC[C@@](O)(C(F)F)C1 ZINC000451440391 533339211 /nfs/dbraw/zinc/33/92/11/533339211.db2.gz PNTNTPIEIHVSII-BONVTDFDSA-N 1 2 309.316 1.587 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CC[C@@](O)(C(F)F)C1 ZINC000451440391 533339214 /nfs/dbraw/zinc/33/92/14/533339214.db2.gz PNTNTPIEIHVSII-BONVTDFDSA-N 1 2 309.316 1.587 20 30 DDEDLO C[C@@H](CC#N)[N@H+](C)C[C@@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000346029646 533394976 /nfs/dbraw/zinc/39/49/76/533394976.db2.gz JSRQBFARSSUCGH-GXTWGEPZSA-N 1 2 316.361 1.098 20 30 DDEDLO C[C@@H](CC#N)[N@@H+](C)C[C@@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000346029646 533394983 /nfs/dbraw/zinc/39/49/83/533394983.db2.gz JSRQBFARSSUCGH-GXTWGEPZSA-N 1 2 316.361 1.098 20 30 DDEDLO COC(=O)CN(CC#N)C(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000346781549 533486850 /nfs/dbraw/zinc/48/68/50/533486850.db2.gz WBXZUNQRWBJITI-UHFFFAOYSA-N 1 2 312.329 1.070 20 30 DDEDLO CCO[C@@H]1C[C@@H](NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)C12CCC2 ZINC000329618760 286232676 /nfs/dbraw/zinc/23/26/76/286232676.db2.gz GGBOMSVGDNUSKS-GBJTYRQASA-N 1 2 323.437 1.263 20 30 DDEDLO CCO[C@@H]1C[C@@H](NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)C12CCC2 ZINC000329618760 286232677 /nfs/dbraw/zinc/23/26/77/286232677.db2.gz GGBOMSVGDNUSKS-GBJTYRQASA-N 1 2 323.437 1.263 20 30 DDEDLO C=CCc1ccc(OCC(=O)NCCn2cc[nH+]c2)c(OC)c1 ZINC000043946778 407021020 /nfs/dbraw/zinc/02/10/20/407021020.db2.gz RBZDQROIUGUUJC-UHFFFAOYSA-N 1 2 315.373 1.815 20 30 DDEDLO CCOCCNC(=O)CO[NH+]=C(N)Cc1ccccc1Cl ZINC000084505697 407089225 /nfs/dbraw/zinc/08/92/25/407089225.db2.gz CWJPXTTVDYMUDS-UHFFFAOYSA-N 1 2 313.785 1.324 20 30 DDEDLO C=CCN(CC=C)C(=O)CN1CCN(C2=[NH+]C[C@H](C)S2)CC1 ZINC000079497026 407057386 /nfs/dbraw/zinc/05/73/86/407057386.db2.gz PVZWCKGRACXWOJ-AWEZNQCLSA-N 1 2 322.478 1.296 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(C2=NC[C@H](C)S2)CC1 ZINC000079497026 407057388 /nfs/dbraw/zinc/05/73/88/407057388.db2.gz PVZWCKGRACXWOJ-AWEZNQCLSA-N 1 2 322.478 1.296 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000052246837 407150261 /nfs/dbraw/zinc/15/02/61/407150261.db2.gz PPDRSQXPGUONSQ-INIZCTEOSA-N 1 2 310.442 1.279 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000052246837 407150263 /nfs/dbraw/zinc/15/02/63/407150263.db2.gz PPDRSQXPGUONSQ-INIZCTEOSA-N 1 2 310.442 1.279 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCc2ccc(OC)cc2C1 ZINC000067461939 407275052 /nfs/dbraw/zinc/27/50/52/407275052.db2.gz GUJOIHWZOJMCRP-LBPRGKRZSA-N 1 2 317.389 1.454 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCc2ccc(OC)cc2C1 ZINC000067461939 407275054 /nfs/dbraw/zinc/27/50/54/407275054.db2.gz GUJOIHWZOJMCRP-LBPRGKRZSA-N 1 2 317.389 1.454 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)OCC(C)C)CC1 ZINC000101555253 407311630 /nfs/dbraw/zinc/31/16/30/407311630.db2.gz WJRKKNPXXDGEMR-AWEZNQCLSA-N 1 2 311.426 1.477 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)OCC(C)C)CC1 ZINC000101555253 407311631 /nfs/dbraw/zinc/31/16/31/407311631.db2.gz WJRKKNPXXDGEMR-AWEZNQCLSA-N 1 2 311.426 1.477 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)Nc2ccc(C(C)(C)C#N)cc2)C1 ZINC000105281987 407353764 /nfs/dbraw/zinc/35/37/64/407353764.db2.gz MMLCSSFJUHCKJY-OAHLLOKOSA-N 1 2 316.405 1.940 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)Nc2ccc(C(C)(C)C#N)cc2)C1 ZINC000105281987 407353765 /nfs/dbraw/zinc/35/37/65/407353765.db2.gz MMLCSSFJUHCKJY-OAHLLOKOSA-N 1 2 316.405 1.940 20 30 DDEDLO C=CC[NH+](CC=C)[C@@H](CNc1nc2n[nH]cc2c(=O)[nH]1)C(C)C ZINC000106464071 407359257 /nfs/dbraw/zinc/35/92/57/407359257.db2.gz JQEBGMLAFPLEPI-ZDUSSCGKSA-N 1 2 316.409 1.757 20 30 DDEDLO C=CC[NH+](CC=C)[C@@H](CNc1nc2[nH]ncc2c(=O)[nH]1)C(C)C ZINC000106464071 407359258 /nfs/dbraw/zinc/35/92/58/407359258.db2.gz JQEBGMLAFPLEPI-ZDUSSCGKSA-N 1 2 316.409 1.757 20 30 DDEDLO CC[N@@H+](CC(=O)NCc1ccc(OC)c(OC)c1)C[C@H](C)C#N ZINC000108974663 407393670 /nfs/dbraw/zinc/39/36/70/407393670.db2.gz YFJWVIDOQLRFDZ-CYBMUJFWSA-N 1 2 319.405 1.802 20 30 DDEDLO CC[N@H+](CC(=O)NCc1ccc(OC)c(OC)c1)C[C@H](C)C#N ZINC000108974663 407393671 /nfs/dbraw/zinc/39/36/71/407393671.db2.gz YFJWVIDOQLRFDZ-CYBMUJFWSA-N 1 2 319.405 1.802 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)cc1O ZINC000271019707 407471339 /nfs/dbraw/zinc/47/13/39/407471339.db2.gz ACEUQGNQNSKCJU-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO CC(C)[NH+]1CCN(S(=O)(=O)c2ccc(F)cc2C#N)CC1 ZINC000151792544 407497882 /nfs/dbraw/zinc/49/78/82/407497882.db2.gz DKUJRRALVIWUGV-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCN(CC(F)(F)F)[C@H](C)C1 ZINC000128407019 407532140 /nfs/dbraw/zinc/53/21/40/407532140.db2.gz NHUWHYXWRWKUKR-NEPJUHHUSA-N 1 2 320.359 1.315 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCN(CC(F)(F)F)[C@H](C)C1 ZINC000128407019 407532151 /nfs/dbraw/zinc/53/21/51/407532151.db2.gz NHUWHYXWRWKUKR-NEPJUHHUSA-N 1 2 320.359 1.315 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)c2ccc(C#N)cn2)CC1 ZINC000114706326 407602341 /nfs/dbraw/zinc/60/23/41/407602341.db2.gz CTYAOMHQJOQCJL-AWEZNQCLSA-N 1 2 321.384 1.867 20 30 DDEDLO N#Cc1ccc(OCC(=O)NCCCNc2cccc[nH+]2)cc1 ZINC000115162141 407656387 /nfs/dbraw/zinc/65/63/87/407656387.db2.gz XPZFNLDYXVCDBP-UHFFFAOYSA-N 1 2 310.357 1.950 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[N@@H+](C)C(C)(C)C2)ccc1C#N ZINC000234341616 407708903 /nfs/dbraw/zinc/70/89/03/407708903.db2.gz OVEOKEGVCKUQPD-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[N@H+](C)C(C)(C)C2)ccc1C#N ZINC000234341616 407708907 /nfs/dbraw/zinc/70/89/07/407708907.db2.gz OVEOKEGVCKUQPD-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1ccccc1C(=O)NC(C)C ZINC000267281136 407816630 /nfs/dbraw/zinc/81/66/30/407816630.db2.gz HVLHUANPMREFAN-UHFFFAOYSA-N 1 2 301.390 1.718 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1ccccc1C(=O)NC(C)C ZINC000267281136 407816635 /nfs/dbraw/zinc/81/66/35/407816635.db2.gz HVLHUANPMREFAN-UHFFFAOYSA-N 1 2 301.390 1.718 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@](F)(C(=O)OC)C1 ZINC000267679341 407851188 /nfs/dbraw/zinc/85/11/88/407851188.db2.gz QHMMSQITCFEGAN-SWLSCSKDSA-N 1 2 300.374 1.387 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[C@](F)(C(=O)OC)C1 ZINC000267679341 407851195 /nfs/dbraw/zinc/85/11/95/407851195.db2.gz QHMMSQITCFEGAN-SWLSCSKDSA-N 1 2 300.374 1.387 20 30 DDEDLO CC#CCNC(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000154488449 408041308 /nfs/dbraw/zinc/04/13/08/408041308.db2.gz IZWUBHRDCHAMHT-UHFFFAOYSA-N 1 2 302.374 1.201 20 30 DDEDLO CC#CCNC(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000154488449 408041312 /nfs/dbraw/zinc/04/13/12/408041312.db2.gz IZWUBHRDCHAMHT-UHFFFAOYSA-N 1 2 302.374 1.201 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000119079103 407990990 /nfs/dbraw/zinc/99/09/90/407990990.db2.gz WRNQBWDJFXMJRF-CQSZACIVSA-N 1 2 310.394 1.592 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000119079103 407990994 /nfs/dbraw/zinc/99/09/94/407990994.db2.gz WRNQBWDJFXMJRF-CQSZACIVSA-N 1 2 310.394 1.592 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cccnc2Br)CC1 ZINC000135231189 407992055 /nfs/dbraw/zinc/99/20/55/407992055.db2.gz FKOMVQAGYFMUHN-UHFFFAOYSA-N 1 2 322.206 1.625 20 30 DDEDLO Cn1cc(N2CC[NH+](Cc3cn4ccccc4c3C#N)CC2)cn1 ZINC000174925286 408008600 /nfs/dbraw/zinc/00/86/00/408008600.db2.gz KYQXGBDSLVPUIL-UHFFFAOYSA-N 1 2 320.400 1.867 20 30 DDEDLO CC#CCNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000136007111 408065751 /nfs/dbraw/zinc/06/57/51/408065751.db2.gz RAOMLMFVKQYWFT-SJORKVTESA-N 1 2 313.401 1.305 20 30 DDEDLO CC#CCNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000136007111 408065758 /nfs/dbraw/zinc/06/57/58/408065758.db2.gz RAOMLMFVKQYWFT-SJORKVTESA-N 1 2 313.401 1.305 20 30 DDEDLO CC[N@H+](C[C@@H](O)c1ccc(C#N)cc1)[C@H](C)CS(C)(=O)=O ZINC000268639020 408131174 /nfs/dbraw/zinc/13/11/74/408131174.db2.gz DDOKDMVMZHMJBH-IUODEOHRSA-N 1 2 310.419 1.347 20 30 DDEDLO CC[N@@H+](C[C@@H](O)c1ccc(C#N)cc1)[C@H](C)CS(C)(=O)=O ZINC000268639020 408131182 /nfs/dbraw/zinc/13/11/82/408131182.db2.gz DDOKDMVMZHMJBH-IUODEOHRSA-N 1 2 310.419 1.347 20 30 DDEDLO C=C(C)CNC(=O)N1CC[NH+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000156497304 408257794 /nfs/dbraw/zinc/25/77/94/408257794.db2.gz UERPIPJKOZRYRX-HNNXBMFYSA-N 1 2 322.453 1.291 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000183090920 408324413 /nfs/dbraw/zinc/32/44/13/408324413.db2.gz PNRUZUPGUASIGQ-AWEZNQCLSA-N 1 2 304.394 1.287 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc2c(c1)CCO2 ZINC000176265926 408325982 /nfs/dbraw/zinc/32/59/82/408325982.db2.gz DAVHUOIDBDRSLT-UHFFFAOYSA-N 1 2 315.417 1.669 20 30 DDEDLO CNC(=O)[C@@H](C)C[N@H+](C)[C@@H](C(=O)OC)c1cccc(C#N)c1 ZINC000246512207 408276952 /nfs/dbraw/zinc/27/69/52/408276952.db2.gz QSEULIPFXPQGFU-SMDDNHRTSA-N 1 2 303.362 1.086 20 30 DDEDLO CNC(=O)[C@@H](C)C[N@@H+](C)[C@@H](C(=O)OC)c1cccc(C#N)c1 ZINC000246512207 408276959 /nfs/dbraw/zinc/27/69/59/408276959.db2.gz QSEULIPFXPQGFU-SMDDNHRTSA-N 1 2 303.362 1.086 20 30 DDEDLO COCCCON=C(N)C[NH+]1CCN(c2ccccc2)CC1 ZINC000183246604 408363071 /nfs/dbraw/zinc/36/30/71/408363071.db2.gz SZZVAXZWWDIRCH-UHFFFAOYSA-N 1 2 306.410 1.134 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@@H+]2CCN3CCCC[C@@H]3C2)cc1 ZINC000172805055 162306077 /nfs/dbraw/zinc/30/60/77/162306077.db2.gz JLVZRXJFALSJQR-SJORKVTESA-N 1 2 315.417 1.468 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCN3CCCC[C@@H]3C2)cc1 ZINC000172805055 162306080 /nfs/dbraw/zinc/30/60/80/162306080.db2.gz JLVZRXJFALSJQR-SJORKVTESA-N 1 2 315.417 1.468 20 30 DDEDLO Cc1nc(C(C)(C)[NH2+]C[C@H](O)COc2ccc(C#N)cc2)no1 ZINC000264378041 408465871 /nfs/dbraw/zinc/46/58/71/408465871.db2.gz XHTJDMTWKKVLTM-ZDUSSCGKSA-N 1 2 316.361 1.514 20 30 DDEDLO CC[N@H+](CC(=O)Nc1oc(C)c(C)c1C#N)Cc1cnn(C)c1 ZINC000161109950 408561246 /nfs/dbraw/zinc/56/12/46/408561246.db2.gz OXQYPFCLUJRLOV-UHFFFAOYSA-N 1 2 315.377 1.962 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1oc(C)c(C)c1C#N)Cc1cnn(C)c1 ZINC000161109950 408561252 /nfs/dbraw/zinc/56/12/52/408561252.db2.gz OXQYPFCLUJRLOV-UHFFFAOYSA-N 1 2 315.377 1.962 20 30 DDEDLO CC(C)(O)C1C[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000275664245 408639757 /nfs/dbraw/zinc/63/97/57/408639757.db2.gz PGWRAPIJXXKWGF-UHFFFAOYSA-N 1 2 308.403 1.035 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](CCSC)c1nnc2ccccn21 ZINC000184608562 408654071 /nfs/dbraw/zinc/65/40/71/408654071.db2.gz VHFMSZHBEUBRER-GFCCVEGCSA-N 1 2 319.434 1.415 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cc(C#N)cn2C)C[C@H](C)O1 ZINC000193700015 408740139 /nfs/dbraw/zinc/74/01/39/408740139.db2.gz JHOVJAVLZUYNTA-BETUJISGSA-N 1 2 304.394 1.078 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2cc(C#N)cn2C)C[C@H](C)O1 ZINC000193700015 408740146 /nfs/dbraw/zinc/74/01/46/408740146.db2.gz JHOVJAVLZUYNTA-BETUJISGSA-N 1 2 304.394 1.078 20 30 DDEDLO N#CCCCC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000291015900 408857736 /nfs/dbraw/zinc/85/77/36/408857736.db2.gz VLVBXVPOOMBQDC-INIZCTEOSA-N 1 2 301.390 1.697 20 30 DDEDLO N#CCCCC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000291015900 408857739 /nfs/dbraw/zinc/85/77/39/408857739.db2.gz VLVBXVPOOMBQDC-INIZCTEOSA-N 1 2 301.390 1.697 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000280963796 408865783 /nfs/dbraw/zinc/86/57/83/408865783.db2.gz ACVOFJUMBALTMP-KBPBESRZSA-N 1 2 305.426 1.773 20 30 DDEDLO CN1CC[N@H+](CCNc2ccc([N+](=O)[O-])c(C#N)c2)CC1(C)C ZINC000281652225 408904373 /nfs/dbraw/zinc/90/43/73/408904373.db2.gz NSSRRIILOLGHBB-UHFFFAOYSA-N 1 2 317.393 1.904 20 30 DDEDLO CN1CC[N@@H+](CCNc2ccc([N+](=O)[O-])c(C#N)c2)CC1(C)C ZINC000281652225 408904375 /nfs/dbraw/zinc/90/43/75/408904375.db2.gz NSSRRIILOLGHBB-UHFFFAOYSA-N 1 2 317.393 1.904 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000281493741 408887529 /nfs/dbraw/zinc/88/75/29/408887529.db2.gz QIGYKBWGZRICKE-BBRMVZONSA-N 1 2 312.373 1.978 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2n[nH]c3c2CCCCC3)CC1 ZINC000276601118 408891608 /nfs/dbraw/zinc/89/16/08/408891608.db2.gz QYDRLBCNHHNGLH-UHFFFAOYSA-N 1 2 300.406 1.460 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3cc(C4CC4)[nH]n3)CC2)cc1C#N ZINC000191534151 163191903 /nfs/dbraw/zinc/19/19/03/163191903.db2.gz DPKILKJEYFHHFW-UHFFFAOYSA-N 1 2 310.405 1.819 20 30 DDEDLO C#CCNc1nc(N2CC[C@@H](C)C2)nc(N2CC[C@@H](C)C2)[nH+]1 ZINC000286182980 408937605 /nfs/dbraw/zinc/93/76/05/408937605.db2.gz JIUJKEVMUVGLGE-CHWSQXEVSA-N 1 2 300.410 1.609 20 30 DDEDLO C#CCNc1nc(N2CC[C@@H](C)C2)[nH+]c(N2CC[C@@H](C)C2)n1 ZINC000286182980 408937609 /nfs/dbraw/zinc/93/76/09/408937609.db2.gz JIUJKEVMUVGLGE-CHWSQXEVSA-N 1 2 300.410 1.609 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1 ZINC000293018033 409049410 /nfs/dbraw/zinc/04/94/10/409049410.db2.gz FGDXSFOWQRXGFF-ZDUSSCGKSA-N 1 2 319.380 1.074 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1 ZINC000293018033 409049412 /nfs/dbraw/zinc/04/94/12/409049412.db2.gz FGDXSFOWQRXGFF-ZDUSSCGKSA-N 1 2 319.380 1.074 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)CC1 ZINC000293073209 409050483 /nfs/dbraw/zinc/05/04/83/409050483.db2.gz RVVQQMSSSHOEAD-UHFFFAOYSA-N 1 2 321.377 1.027 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)c1snc(Cl)c1C#N ZINC000278858233 409102102 /nfs/dbraw/zinc/10/21/02/409102102.db2.gz MMZYDGURISLNNL-SECBINFHSA-N 1 2 300.815 1.825 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccc(C#N)cc1 ZINC000278868940 409104418 /nfs/dbraw/zinc/10/44/18/409104418.db2.gz NKZSXBAIHKWLCB-ZDUSSCGKSA-N 1 2 302.378 1.743 20 30 DDEDLO C=CCN(C)C(=O)C(=O)NC[C@H](c1ccccc1Cl)[NH+](C)C ZINC000283272636 409126609 /nfs/dbraw/zinc/12/66/09/409126609.db2.gz FZUQFUFAKBMNKY-CQSZACIVSA-N 1 2 323.824 1.703 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[NH2+][C@H](CO)C2CCCC2)cc1 ZINC000289460369 409276200 /nfs/dbraw/zinc/27/62/00/409276200.db2.gz GXECABVVMJEEEQ-DOTOQJQBSA-N 1 2 304.390 1.439 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000294415822 409290563 /nfs/dbraw/zinc/29/05/63/409290563.db2.gz MYZGWXKBNPYHKP-HNNXBMFYSA-N 1 2 316.401 1.173 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CCN(C2=[NH+]C[C@H](C)S2)CC1 ZINC000280614757 409382735 /nfs/dbraw/zinc/38/27/35/409382735.db2.gz QUSZZGKJWWUCQC-NSHDSACASA-N 1 2 303.453 1.001 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2cccc(C)c2C#N)CC1 ZINC000295241098 409394365 /nfs/dbraw/zinc/39/43/65/409394365.db2.gz DPVDEZUUSQSTNY-AWEZNQCLSA-N 1 2 321.446 1.972 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)Nc1ccc(F)c(F)c1 ZINC000284440208 409342648 /nfs/dbraw/zinc/34/26/48/409342648.db2.gz PFRWJSHWHQYCPZ-PRHODGIISA-N 1 2 313.304 1.760 20 30 DDEDLO C=CC[N@@H+](CC[C@@H](O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000285582303 409497129 /nfs/dbraw/zinc/49/71/29/409497129.db2.gz KFWBBQJMYGQJAH-JKSUJKDBSA-N 1 2 309.431 1.785 20 30 DDEDLO C=CC[N@H+](CC[C@@H](O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000285582303 409497136 /nfs/dbraw/zinc/49/71/36/409497136.db2.gz KFWBBQJMYGQJAH-JKSUJKDBSA-N 1 2 309.431 1.785 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Nc1ccc(C#N)cc1 ZINC000285567970 409492206 /nfs/dbraw/zinc/49/22/06/409492206.db2.gz HNYXRWDQKQOYIB-XJKSGUPXSA-N 1 2 314.389 1.885 20 30 DDEDLO CC[C@](C)(C#N)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000285590606 409502470 /nfs/dbraw/zinc/50/24/70/409502470.db2.gz LMZRLWCOKHPDPK-OAHLLOKOSA-N 1 2 305.382 1.094 20 30 DDEDLO COC(=O)[C@H]([NH2+]CCC(=O)Nc1ccc(C#N)cc1)C(C)C ZINC000314892820 409835439 /nfs/dbraw/zinc/83/54/39/409835439.db2.gz AUFIHBNCMLXANG-OAHLLOKOSA-N 1 2 303.362 1.674 20 30 DDEDLO CCCn1cc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)cn1 ZINC000350000091 409920787 /nfs/dbraw/zinc/92/07/87/409920787.db2.gz HMEMWRCBGBWEEW-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CCC[C@@H](CO)C1)CCC2 ZINC000328695768 409957020 /nfs/dbraw/zinc/95/70/20/409957020.db2.gz GNCJJSJZSINPGL-KGLIPLIRSA-N 1 2 306.410 1.687 20 30 DDEDLO CC(C)N1C[C@H](NC(=O)N2CC[C@H](c3[nH+]ccn3C)C2)CC1=O ZINC000328755645 409969513 /nfs/dbraw/zinc/96/95/13/409969513.db2.gz FJCKBCZSWMLVNB-QWHCGFSZSA-N 1 2 319.409 1.133 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)c3cc(C#N)ccc3Cl)C[C@H]21 ZINC000332203100 409973819 /nfs/dbraw/zinc/97/38/19/409973819.db2.gz DSFALCTWZKRDCB-ZIAGYGMSSA-N 1 2 305.765 1.367 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)c3cc(C#N)ccc3Cl)C[C@H]21 ZINC000332203100 409973823 /nfs/dbraw/zinc/97/38/23/409973823.db2.gz DSFALCTWZKRDCB-ZIAGYGMSSA-N 1 2 305.765 1.367 20 30 DDEDLO CCC[N@H+](CC)CCNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000350642654 409973872 /nfs/dbraw/zinc/97/38/72/409973872.db2.gz RTTXYYVBLNZINL-UHFFFAOYSA-N 1 2 322.434 1.842 20 30 DDEDLO CCC[N@@H+](CC)CCNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000350642654 409973879 /nfs/dbraw/zinc/97/38/79/409973879.db2.gz RTTXYYVBLNZINL-UHFFFAOYSA-N 1 2 322.434 1.842 20 30 DDEDLO CN(C)[C@H](C(=O)N[C@@H]1CCO[C@@H](C2CC2)C1)c1c[nH+]cn1C ZINC000328800837 409981583 /nfs/dbraw/zinc/98/15/83/409981583.db2.gz YUOIZCPGBURASN-YUELXQCFSA-N 1 2 306.410 1.937 20 30 DDEDLO Cc1nccc(C[NH+]2CCN(Cc3ccc(C#N)cc3)CC2)n1 ZINC000350278449 409937436 /nfs/dbraw/zinc/93/74/36/409937436.db2.gz MCFLKVIXNNDESL-UHFFFAOYSA-N 1 2 307.401 1.975 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@H]1CCCNC1=O)CCC2 ZINC000328645039 409945357 /nfs/dbraw/zinc/94/53/57/409945357.db2.gz GFIRTJPFQOVZBA-RYUDHWBXSA-N 1 2 305.382 1.691 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)N[C@@H]1CCC(=O)N(C)C1 ZINC000328953435 410019315 /nfs/dbraw/zinc/01/93/15/410019315.db2.gz MKRDQHBKUNXMEM-MGPQQGTHSA-N 1 2 316.405 1.731 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000354694477 410023095 /nfs/dbraw/zinc/02/30/95/410023095.db2.gz KUHBIZNAHIWWPE-KRWDZBQOSA-N 1 2 318.417 1.687 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2C[C@H](C)[N@@H+](C)C[C@@H]2C)c1C#N ZINC000350872706 410005891 /nfs/dbraw/zinc/00/58/91/410005891.db2.gz WHKPPFBYTRTNDY-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2C[C@H](C)[N@H+](C)C[C@@H]2C)c1C#N ZINC000350872706 410005900 /nfs/dbraw/zinc/00/59/00/410005900.db2.gz WHKPPFBYTRTNDY-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cn1cc(C[NH+]2CCN(CC(=O)NC3CCCC3)CC2)cn1 ZINC000329136440 410123093 /nfs/dbraw/zinc/12/30/93/410123093.db2.gz GZLGQNOSNDFUMZ-UHFFFAOYSA-N 1 2 305.426 1.437 20 30 DDEDLO Cn1cc(CN2CC[NH+](CC(=O)NC3CCCC3)CC2)cn1 ZINC000329136440 410123101 /nfs/dbraw/zinc/12/31/01/410123101.db2.gz GZLGQNOSNDFUMZ-UHFFFAOYSA-N 1 2 305.426 1.437 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)CC[C@@H]21 ZINC000332567145 410184285 /nfs/dbraw/zinc/18/42/85/410184285.db2.gz BSQHDUSGXIXULV-RDBSUJKOSA-N 1 2 313.361 1.233 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)CC[C@@H]21 ZINC000332567145 410184288 /nfs/dbraw/zinc/18/42/88/410184288.db2.gz BSQHDUSGXIXULV-RDBSUJKOSA-N 1 2 313.361 1.233 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)c1 ZINC000343204866 410236506 /nfs/dbraw/zinc/23/65/06/410236506.db2.gz NARFTDCXOCGVIJ-ZDUSSCGKSA-N 1 2 309.373 1.635 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)c1 ZINC000343204866 410236510 /nfs/dbraw/zinc/23/65/10/410236510.db2.gz NARFTDCXOCGVIJ-ZDUSSCGKSA-N 1 2 309.373 1.635 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N1CCOCC2(CC2)C1 ZINC000329322956 410232776 /nfs/dbraw/zinc/23/27/76/410232776.db2.gz HHDUXCDLISCCGI-UHFFFAOYSA-N 1 2 304.394 1.746 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)CCc2nc(C(C)(C)C)no2)C1 ZINC000329492872 410326772 /nfs/dbraw/zinc/32/67/72/410326772.db2.gz UCRYVIMHULGSTM-LBPRGKRZSA-N 1 2 323.441 1.502 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)CCc2nc(C(C)(C)C)no2)C1 ZINC000329492872 410326779 /nfs/dbraw/zinc/32/67/79/410326779.db2.gz UCRYVIMHULGSTM-LBPRGKRZSA-N 1 2 323.441 1.502 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)ccn1 ZINC000355133071 410334547 /nfs/dbraw/zinc/33/45/47/410334547.db2.gz WOPPZRYFXAXORN-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CN(C)c1cc(NC(=O)NCc2cn3c([nH+]2)CCCC3)ncn1 ZINC000329514074 410340234 /nfs/dbraw/zinc/34/02/34/410340234.db2.gz LSWOILYPJSVNNZ-UHFFFAOYSA-N 1 2 315.381 1.602 20 30 DDEDLO C=CCCC(C)(C)CNC(=O)C(=O)NCCCn1cc[nH+]c1 ZINC000636444275 422857225 /nfs/dbraw/zinc/85/72/25/422857225.db2.gz VVPPTDQECHUFKG-UHFFFAOYSA-N 1 2 306.410 1.498 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C[C@@]2(CCCOC2)O1 ZINC000332981958 410302203 /nfs/dbraw/zinc/30/22/03/410302203.db2.gz WPVRXNACHVANPC-XOKHGSTOSA-N 1 2 321.421 1.065 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C[C@@]2(CCCOC2)O1 ZINC000332981958 410302208 /nfs/dbraw/zinc/30/22/08/410302208.db2.gz WPVRXNACHVANPC-XOKHGSTOSA-N 1 2 321.421 1.065 20 30 DDEDLO N#Cc1ccnc(C(=O)Nc2ccc(Cn3cc[nH+]c3)cn2)c1 ZINC000343361822 410395876 /nfs/dbraw/zinc/39/58/76/410395876.db2.gz PPXZXPIXCMUHGV-UHFFFAOYSA-N 1 2 304.313 1.845 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCCCc1cccc(C)[nH+]1 ZINC000351996790 410402936 /nfs/dbraw/zinc/40/29/36/410402936.db2.gz VKVSJDSFLYIWGH-ZDUSSCGKSA-N 1 2 324.450 1.639 20 30 DDEDLO CC(C)[NH+]1CCN(S(=O)(=O)Cc2cccc(C#N)c2)CC1 ZINC000298856424 410426683 /nfs/dbraw/zinc/42/66/83/410426683.db2.gz NGJSZOGCPGGUSY-UHFFFAOYSA-N 1 2 307.419 1.414 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)C2(S(C)(=O)=O)CCC2)C[N@@H+]1C1CC1 ZINC000330001617 410513545 /nfs/dbraw/zinc/51/35/45/410513545.db2.gz ALXFOAUXXZPWRF-MNOVXSKESA-N 1 2 300.424 1.535 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)C2(S(C)(=O)=O)CCC2)C[N@H+]1C1CC1 ZINC000330001617 410513548 /nfs/dbraw/zinc/51/35/48/410513548.db2.gz ALXFOAUXXZPWRF-MNOVXSKESA-N 1 2 300.424 1.535 20 30 DDEDLO COc1cccc(OC)c1OC[C@H](O)C[N@H+](C)C[C@@H](C)C#N ZINC000299224859 410574078 /nfs/dbraw/zinc/57/40/78/410574078.db2.gz NCJAIDAVGAOVBJ-QWHCGFSZSA-N 1 2 308.378 1.535 20 30 DDEDLO COc1cccc(OC)c1OC[C@H](O)C[N@@H+](C)C[C@@H](C)C#N ZINC000299224859 410574082 /nfs/dbraw/zinc/57/40/82/410574082.db2.gz NCJAIDAVGAOVBJ-QWHCGFSZSA-N 1 2 308.378 1.535 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@@H](C)CN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000330584873 410801174 /nfs/dbraw/zinc/80/11/74/410801174.db2.gz LDCKHSXHDFDVAO-UONOGXRCSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@@H](C)CN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000330584873 410801180 /nfs/dbraw/zinc/80/11/80/410801180.db2.gz LDCKHSXHDFDVAO-UONOGXRCSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)CC(C)(C)O1 ZINC000330656697 410854099 /nfs/dbraw/zinc/85/40/99/410854099.db2.gz QRUXRTCADZQNHQ-ZDUSSCGKSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)CC(C)(C)O1 ZINC000330656697 410854105 /nfs/dbraw/zinc/85/41/05/410854105.db2.gz QRUXRTCADZQNHQ-ZDUSSCGKSA-N 1 2 301.390 1.674 20 30 DDEDLO Cn1ncc(C(=O)NCCNc2ccc3ccccc3[nH+]2)c1C#N ZINC000356200766 410890953 /nfs/dbraw/zinc/89/09/53/410890953.db2.gz HOLQUHKRCMTGHL-UHFFFAOYSA-N 1 2 320.356 1.682 20 30 DDEDLO C=CCCCCNC(=O)N1CC[NH+](CC(=O)N(CC)CC)CC1 ZINC000353307225 410912904 /nfs/dbraw/zinc/91/29/04/410912904.db2.gz RMFDJIQJPHUYTK-UHFFFAOYSA-N 1 2 324.469 1.538 20 30 DDEDLO Cn1ccc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)c1 ZINC000331064311 410927298 /nfs/dbraw/zinc/92/72/98/410927298.db2.gz WVVJNZKHDXDQQX-UHFFFAOYSA-N 1 2 308.385 1.855 20 30 DDEDLO C[C@H]1COC(C)(C)C[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000331119272 410959110 /nfs/dbraw/zinc/95/91/10/410959110.db2.gz OUHKWTFHMAYORV-ZDUSSCGKSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@H]1COC(C)(C)C[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000331119272 410959116 /nfs/dbraw/zinc/95/91/16/410959116.db2.gz OUHKWTFHMAYORV-ZDUSSCGKSA-N 1 2 301.390 1.674 20 30 DDEDLO CC(C)NC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000331320666 411066971 /nfs/dbraw/zinc/06/69/71/411066971.db2.gz BYRXUCULMDWPMG-LLVKDONJSA-N 1 2 323.441 1.966 20 30 DDEDLO CC(C)NC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000331320666 411066976 /nfs/dbraw/zinc/06/69/76/411066976.db2.gz BYRXUCULMDWPMG-LLVKDONJSA-N 1 2 323.441 1.966 20 30 DDEDLO CN(C)c1noc([C@@H]2CCC[N@H+](Cc3nc(C#N)cs3)C2)n1 ZINC000356432914 411030283 /nfs/dbraw/zinc/03/02/83/411030283.db2.gz UUEDVLOCSVFKNJ-SNVBAGLBSA-N 1 2 318.406 1.843 20 30 DDEDLO CN(C)c1noc([C@@H]2CCC[N@@H+](Cc3nc(C#N)cs3)C2)n1 ZINC000356432914 411030293 /nfs/dbraw/zinc/03/02/93/411030293.db2.gz UUEDVLOCSVFKNJ-SNVBAGLBSA-N 1 2 318.406 1.843 20 30 DDEDLO Cc1c[nH+]c(CNc2ccn(-c3cccc(F)c3C#N)n2)n1C ZINC000341378759 411032950 /nfs/dbraw/zinc/03/29/50/411032950.db2.gz AJBYIDZKALATQV-UHFFFAOYSA-N 1 2 310.336 2.537 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2cc(C#N)oc2C)C[C@H]1C ZINC000331241055 411035651 /nfs/dbraw/zinc/03/56/51/411035651.db2.gz XFUBNIOHFPZGEJ-LLVKDONJSA-N 1 2 319.361 1.169 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2cc(C#N)oc2C)C[C@H]1C ZINC000331241055 411035653 /nfs/dbraw/zinc/03/56/53/411035653.db2.gz XFUBNIOHFPZGEJ-LLVKDONJSA-N 1 2 319.361 1.169 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)NCCCCn1cc[nH+]c1 ZINC000636593388 422923215 /nfs/dbraw/zinc/92/32/15/422923215.db2.gz KPCJGRYHTVQONW-UHFFFAOYSA-N 1 2 306.410 1.498 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CC[C@@H]3OCC[C@@H]3C2)c1 ZINC000580559345 422941441 /nfs/dbraw/zinc/94/14/41/422941441.db2.gz CDFOFOIDCOGJKX-ZBFHGGJFSA-N 1 2 320.414 1.443 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CC[C@@H]3OCC[C@@H]3C2)c1 ZINC000580559345 422941443 /nfs/dbraw/zinc/94/14/43/422941443.db2.gz CDFOFOIDCOGJKX-ZBFHGGJFSA-N 1 2 320.414 1.443 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ncccc1C(F)(F)F ZINC000580558011 422941788 /nfs/dbraw/zinc/94/17/88/422941788.db2.gz ZZZDRLFYMKWTNG-LBPRGKRZSA-N 1 2 300.284 1.674 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+]([C@H]2CCCCNC2=O)CC1 ZINC000373369783 418424411 /nfs/dbraw/zinc/42/44/11/418424411.db2.gz MUAWYZAKRKCWKM-GJZGRUSLSA-N 1 2 307.438 1.402 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)C[C@H](C2CC2)O1 ZINC000373506910 418433366 /nfs/dbraw/zinc/43/33/66/418433366.db2.gz GCPOXPNXYGDXMC-UKRRQHHQSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C[C@H](C2CC2)O1 ZINC000373506910 418433369 /nfs/dbraw/zinc/43/33/69/418433369.db2.gz GCPOXPNXYGDXMC-UKRRQHHQSA-N 1 2 305.422 1.828 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000366630692 418499852 /nfs/dbraw/zinc/49/98/52/418499852.db2.gz YZAXLMWOWVBIEG-CQSZACIVSA-N 1 2 302.440 1.079 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1cccc(NC(C)=O)c1 ZINC000191115034 222096837 /nfs/dbraw/zinc/09/68/37/222096837.db2.gz RSLSUMKSKIMPGD-UHFFFAOYSA-N 1 2 305.378 1.718 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1cccc(NC(C)=O)c1 ZINC000191115034 222096841 /nfs/dbraw/zinc/09/68/41/222096841.db2.gz RSLSUMKSKIMPGD-UHFFFAOYSA-N 1 2 305.378 1.718 20 30 DDEDLO C=CCN(CCOC)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000189663426 222052631 /nfs/dbraw/zinc/05/26/31/222052631.db2.gz HLRJUJDMYHTKFI-AWEZNQCLSA-N 1 2 304.394 1.504 20 30 DDEDLO C#C[C@@H](C)NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c1n2CCCCC1 ZINC000190246552 222073566 /nfs/dbraw/zinc/07/35/66/222073566.db2.gz RDPPGOBUYRFGIJ-GFCCVEGCSA-N 1 2 324.384 1.839 20 30 DDEDLO C#C[C@@H](C)NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000190294117 222074439 /nfs/dbraw/zinc/07/44/39/222074439.db2.gz ODGXIIDSAHFPSO-SNVBAGLBSA-N 1 2 310.357 1.527 20 30 DDEDLO C#CCCCC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000192062920 222119384 /nfs/dbraw/zinc/11/93/84/222119384.db2.gz UQBDOFQLXWKWND-QGZVFWFLSA-N 1 2 300.402 1.980 20 30 DDEDLO C#CC[N@@H+](C)[C@H](C)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000192220766 222122323 /nfs/dbraw/zinc/12/23/23/222122323.db2.gz KSFKUFHMJZMGEI-CQSZACIVSA-N 1 2 301.390 1.415 20 30 DDEDLO C#CC[N@H+](C)[C@H](C)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000192220766 222122327 /nfs/dbraw/zinc/12/23/27/222122327.db2.gz KSFKUFHMJZMGEI-CQSZACIVSA-N 1 2 301.390 1.415 20 30 DDEDLO CCS(=O)(=O)C1CC[NH+](Cc2cc(C#N)ccc2OC)CC1 ZINC000193250637 222147659 /nfs/dbraw/zinc/14/76/59/222147659.db2.gz FHJVKQPOGPRPIC-UHFFFAOYSA-N 1 2 322.430 1.966 20 30 DDEDLO CCOCCN1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC000264533950 222333268 /nfs/dbraw/zinc/33/32/68/222333268.db2.gz FHMSIIGHRZUWCY-UHFFFAOYSA-N 1 2 303.406 1.743 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCC(F)(F)[C@@H](CO)C1 ZINC000361422229 418649868 /nfs/dbraw/zinc/64/98/68/418649868.db2.gz YYFVXSSGKNFSRT-YRGRVCCFSA-N 1 2 317.380 1.379 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCC(F)(F)[C@@H](CO)C1 ZINC000361422229 418649870 /nfs/dbraw/zinc/64/98/70/418649870.db2.gz YYFVXSSGKNFSRT-YRGRVCCFSA-N 1 2 317.380 1.379 20 30 DDEDLO Cc1cc(N)nc(SCC(=O)NCc2cccc(C#N)c2)[nH+]1 ZINC000357960616 418652192 /nfs/dbraw/zinc/65/21/92/418652192.db2.gz MHQSTZWSIXDXJP-UHFFFAOYSA-N 1 2 313.386 1.647 20 30 DDEDLO C=CCCOCCNC(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000375519030 418653593 /nfs/dbraw/zinc/65/35/93/418653593.db2.gz HUZBZSGQZVYNSG-AWEZNQCLSA-N 1 2 306.410 1.902 20 30 DDEDLO N#CCNC(=O)C[NH2+][C@H](CO)c1c(Cl)cccc1Cl ZINC000361541584 418673754 /nfs/dbraw/zinc/67/37/54/418673754.db2.gz QUIHEOLRIWITDE-SNVBAGLBSA-N 1 2 302.161 1.256 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC000369315789 418732235 /nfs/dbraw/zinc/73/22/35/418732235.db2.gz WWBMHOYHZLNXJU-HOCLYGCPSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC000369315789 418732236 /nfs/dbraw/zinc/73/22/36/418732236.db2.gz WWBMHOYHZLNXJU-HOCLYGCPSA-N 1 2 323.437 1.311 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1Cc2ccccc2[C@H](n2cccn2)C1 ZINC000369448993 418734451 /nfs/dbraw/zinc/73/44/51/418734451.db2.gz WXBXYPGOHDOSME-WMLDXEAASA-N 1 2 310.401 1.979 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1Cc2ccccc2[C@H](n2cccn2)C1 ZINC000369448993 418734453 /nfs/dbraw/zinc/73/44/53/418734453.db2.gz WXBXYPGOHDOSME-WMLDXEAASA-N 1 2 310.401 1.979 20 30 DDEDLO CCC(CC)C[N@H+](CCC#N)CC(=O)N[C@@H](C)C(=O)N(C)C ZINC000362173222 418749922 /nfs/dbraw/zinc/74/99/22/418749922.db2.gz KPKSWEBOOVQBRS-ZDUSSCGKSA-N 1 2 310.442 1.231 20 30 DDEDLO CCC(CC)C[N@@H+](CCC#N)CC(=O)N[C@@H](C)C(=O)N(C)C ZINC000362173222 418749925 /nfs/dbraw/zinc/74/99/25/418749925.db2.gz KPKSWEBOOVQBRS-ZDUSSCGKSA-N 1 2 310.442 1.231 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1Cc2ccccc2[C@H](n2cccn2)C1 ZINC000363232170 418763664 /nfs/dbraw/zinc/76/36/64/418763664.db2.gz QXSQANGRZVIBIS-RHSMWYFYSA-N 1 2 308.385 1.426 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1Cc2ccccc2[C@H](n2cccn2)C1 ZINC000363232170 418763666 /nfs/dbraw/zinc/76/36/66/418763666.db2.gz QXSQANGRZVIBIS-RHSMWYFYSA-N 1 2 308.385 1.426 20 30 DDEDLO C=CCN(CC=C)C(=O)NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000371165942 418765487 /nfs/dbraw/zinc/76/54/87/418765487.db2.gz ONCHGDZAVIJDCV-QGZVFWFLSA-N 1 2 323.437 1.251 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CC[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000408024023 418781301 /nfs/dbraw/zinc/78/13/01/418781301.db2.gz XDBLLMMDEQKETQ-QXSJWSMHSA-N 1 2 323.437 1.082 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CC[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000408024023 418781303 /nfs/dbraw/zinc/78/13/03/418781303.db2.gz XDBLLMMDEQKETQ-QXSJWSMHSA-N 1 2 323.437 1.082 20 30 DDEDLO Cc1nc(NC(=O)C2CC[NH+](CC(F)F)CC2)ccc1C#N ZINC000411052719 418866907 /nfs/dbraw/zinc/86/69/07/418866907.db2.gz PQUGFNSQDSJIFT-UHFFFAOYSA-N 1 2 308.332 2.177 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@H]1C ZINC000365617421 418882976 /nfs/dbraw/zinc/88/29/76/418882976.db2.gz CTUDROKQJVHVQM-QBPKDAKJSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CCN1CC(=O)N(C2CC[NH+](Cc3cscn3)CC2)C1=O ZINC000372867884 418909976 /nfs/dbraw/zinc/90/99/76/418909976.db2.gz XAXUCSUZOMMACA-UHFFFAOYSA-N 1 2 320.418 1.558 20 30 DDEDLO N#CCCC[C@H]([NH3+])C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000424191520 228243584 /nfs/dbraw/zinc/24/35/84/228243584.db2.gz NSIUNWHZGIUMGL-STQMWFEESA-N 1 2 316.409 1.409 20 30 DDEDLO Cc1cc(NCC(=O)N2CCc3ccccc3C2)c(C#N)c[nH+]1 ZINC000425232458 228395336 /nfs/dbraw/zinc/39/53/36/228395336.db2.gz VLZKIPCMVGYMFR-UHFFFAOYSA-N 1 2 306.369 1.680 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1C[C@@H](CO)OC(C)(C)C1 ZINC000420940853 419370478 /nfs/dbraw/zinc/37/04/78/419370478.db2.gz OTNVSJTYGUKWHH-AWEZNQCLSA-N 1 2 320.389 1.417 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1C[C@@H](CO)OC(C)(C)C1 ZINC000420940853 419370483 /nfs/dbraw/zinc/37/04/83/419370483.db2.gz OTNVSJTYGUKWHH-AWEZNQCLSA-N 1 2 320.389 1.417 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2csc(C(=O)OC)c2)C1=O ZINC000411676970 419377992 /nfs/dbraw/zinc/37/79/92/419377992.db2.gz RULXCPKWTHMITH-GFCCVEGCSA-N 1 2 308.403 1.753 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2csc(C(=O)OC)c2)C1=O ZINC000411676970 419377998 /nfs/dbraw/zinc/37/79/98/419377998.db2.gz RULXCPKWTHMITH-GFCCVEGCSA-N 1 2 308.403 1.753 20 30 DDEDLO N#Cc1cccc(NCC(=O)NCc2ccn3cc[nH+]c3c2)c1 ZINC000427085119 419592044 /nfs/dbraw/zinc/59/20/44/419592044.db2.gz CLPYAFMDCHJGKH-UHFFFAOYSA-N 1 2 305.341 1.934 20 30 DDEDLO COC(=O)C(C)(C)N1CC[NH+](Cc2cc(C#N)cs2)CC1 ZINC000428413778 419863616 /nfs/dbraw/zinc/86/36/16/419863616.db2.gz QSKXUVJAEZFJEF-UHFFFAOYSA-N 1 2 307.419 1.689 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)c1ccc(C#N)s1 ZINC000431608413 229046120 /nfs/dbraw/zinc/04/61/20/229046120.db2.gz XVZQINVNRJYBGI-UHFFFAOYSA-N 1 2 309.372 1.502 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)CCOCC1 ZINC000424645327 420320729 /nfs/dbraw/zinc/32/07/29/420320729.db2.gz ICTUDTQKRIDNIH-GJZGRUSLSA-N 1 2 310.438 1.585 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C#N)s1 ZINC000420800663 420397459 /nfs/dbraw/zinc/39/74/59/420397459.db2.gz OBHZNSJQUJGIQJ-NSHDSACASA-N 1 2 308.407 1.140 20 30 DDEDLO C[C@@H](c1nccs1)[NH+]1CCN(c2ccc(C#N)nn2)CC1 ZINC000302176755 230142438 /nfs/dbraw/zinc/14/24/38/230142438.db2.gz CIXZUUOBCWYJBQ-NSHDSACASA-N 1 2 300.391 1.688 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000425571731 420419965 /nfs/dbraw/zinc/41/99/65/420419965.db2.gz IINYIXGDJZSIOI-HOCLYGCPSA-N 1 2 319.405 1.698 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)OCC ZINC000456621638 420516730 /nfs/dbraw/zinc/51/67/30/420516730.db2.gz DZUPDCFECJBRSL-STQMWFEESA-N 1 2 314.451 1.438 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1C(=O)C(=O)Nc1ccc(C#N)cc1Cl ZINC000440293622 420567041 /nfs/dbraw/zinc/56/70/41/420567041.db2.gz MSWGHLKBKPJBAV-JTQLQIEISA-N 1 2 320.780 1.313 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1C(=O)C(=O)Nc1ccc(C#N)cc1Cl ZINC000440293622 420567044 /nfs/dbraw/zinc/56/70/44/420567044.db2.gz MSWGHLKBKPJBAV-JTQLQIEISA-N 1 2 320.780 1.313 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1CC[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000457776061 420636526 /nfs/dbraw/zinc/63/65/26/420636526.db2.gz ACLRKMNJUDYNGB-QKPAOTATSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1CC[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000457776061 420636528 /nfs/dbraw/zinc/63/65/28/420636528.db2.gz ACLRKMNJUDYNGB-QKPAOTATSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000442655226 420694933 /nfs/dbraw/zinc/69/49/33/420694933.db2.gz VAPIPARDCPECFL-NVXWUHKLSA-N 1 2 310.438 1.538 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCC[C@H](OC(=O)N(C)C)C1 ZINC000495910600 421090089 /nfs/dbraw/zinc/09/00/89/421090089.db2.gz BJWCVMMTLXKOLG-STQMWFEESA-N 1 2 322.409 1.100 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@H](OC(=O)N(C)C)C1 ZINC000495910600 421090094 /nfs/dbraw/zinc/09/00/94/421090094.db2.gz BJWCVMMTLXKOLG-STQMWFEESA-N 1 2 322.409 1.100 20 30 DDEDLO CO[C@@H]1C[NH+](CC(=O)N(CCC#N)c2ccccc2)C[C@H]1OC ZINC000488002484 421063098 /nfs/dbraw/zinc/06/30/98/421063098.db2.gz YDSXTKWAOCWDQS-HZPDHXFCSA-N 1 2 317.389 1.279 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cccc(CC#N)c1 ZINC000455909698 421076051 /nfs/dbraw/zinc/07/60/51/421076051.db2.gz BRKKXFKFYDTEQN-CYBMUJFWSA-N 1 2 302.378 1.595 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cccc(CC#N)c1 ZINC000455909698 421076054 /nfs/dbraw/zinc/07/60/54/421076054.db2.gz BRKKXFKFYDTEQN-CYBMUJFWSA-N 1 2 302.378 1.595 20 30 DDEDLO CC1(C)C[N@H+](CCNc2cccc(C#N)c2[N+](=O)[O-])CCO1 ZINC000450302585 421178743 /nfs/dbraw/zinc/17/87/43/421178743.db2.gz WRJVIHJIHAFTFD-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO CC1(C)C[N@@H+](CCNc2cccc(C#N)c2[N+](=O)[O-])CCO1 ZINC000450302585 421178746 /nfs/dbraw/zinc/17/87/46/421178746.db2.gz WRJVIHJIHAFTFD-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO N#CC1(C[C@H](O)C[NH+]2CCN(C(=O)c3cccs3)CC2)CC1 ZINC000528337003 421480168 /nfs/dbraw/zinc/48/01/68/421480168.db2.gz JHVXCXXRRQMEIW-ZDUSSCGKSA-N 1 2 319.430 1.561 20 30 DDEDLO C=C(C)CN(Cc1ccc(CO)o1)c1nc[nH+]c(N)c1OC ZINC000568532486 421620838 /nfs/dbraw/zinc/62/08/38/421620838.db2.gz HLXNMRHARZPRLK-UHFFFAOYSA-N 1 2 304.350 1.735 20 30 DDEDLO C=C(C)CN(Cc1ccc(CO)o1)c1[nH+]cnc(N)c1OC ZINC000568532486 421620840 /nfs/dbraw/zinc/62/08/40/421620840.db2.gz HLXNMRHARZPRLK-UHFFFAOYSA-N 1 2 304.350 1.735 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@@H](C#N)CCc2ccccc2)CCO1 ZINC000570361589 421641861 /nfs/dbraw/zinc/64/18/61/421641861.db2.gz DIVPJPFPGJKICF-NVXWUHKLSA-N 1 2 315.417 1.596 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@@H](C#N)CCc2ccccc2)CCO1 ZINC000570361589 421641865 /nfs/dbraw/zinc/64/18/65/421641865.db2.gz DIVPJPFPGJKICF-NVXWUHKLSA-N 1 2 315.417 1.596 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)NC2CCCCC2)C1=O ZINC000532205585 421652213 /nfs/dbraw/zinc/65/22/13/421652213.db2.gz NVEWSJHZUVJREE-HIFRSBDPSA-N 1 2 307.438 1.543 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)NC2CCCCC2)C1=O ZINC000532205585 421652216 /nfs/dbraw/zinc/65/22/16/421652216.db2.gz NVEWSJHZUVJREE-HIFRSBDPSA-N 1 2 307.438 1.543 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)C[C@@]2(CCOC2)O1 ZINC000565809505 421600484 /nfs/dbraw/zinc/60/04/84/421600484.db2.gz HVPHBXGJIDLPCD-JJRVBVJISA-N 1 2 321.421 1.207 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)C[C@@]2(CCOC2)O1 ZINC000565809505 421600486 /nfs/dbraw/zinc/60/04/86/421600486.db2.gz HVPHBXGJIDLPCD-JJRVBVJISA-N 1 2 321.421 1.207 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)Nc1ccccc1-n1cnc(C#N)n1 ZINC000571115515 421689342 /nfs/dbraw/zinc/68/93/42/421689342.db2.gz HCODCQHMFHHQMF-UHFFFAOYSA-N 1 2 307.317 1.054 20 30 DDEDLO N#Cc1c(NCCC[N@@H+]2CCNC(=O)C2)cccc1C(F)F ZINC000570867894 421674488 /nfs/dbraw/zinc/67/44/88/421674488.db2.gz XRXBZOIOXQPMOH-UHFFFAOYSA-N 1 2 308.332 1.730 20 30 DDEDLO N#Cc1c(NCCC[N@H+]2CCNC(=O)C2)cccc1C(F)F ZINC000570867894 421674490 /nfs/dbraw/zinc/67/44/90/421674490.db2.gz XRXBZOIOXQPMOH-UHFFFAOYSA-N 1 2 308.332 1.730 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@@H+]1CCCc2c(cnn2C)C1 ZINC000556817111 421741536 /nfs/dbraw/zinc/74/15/36/421741536.db2.gz YARREGGEDGTRJW-GUYCJALGSA-N 1 2 317.437 1.611 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@H+]1CCCc2c(cnn2C)C1 ZINC000556817111 421741539 /nfs/dbraw/zinc/74/15/39/421741539.db2.gz YARREGGEDGTRJW-GUYCJALGSA-N 1 2 317.437 1.611 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]CCS(=O)(=O)c2cccc(C#N)c2)o1 ZINC000542622453 421825867 /nfs/dbraw/zinc/82/58/67/421825867.db2.gz LKPQGWCEFKUDCQ-SNVBAGLBSA-N 1 2 320.374 1.374 20 30 DDEDLO CC[C@H](CC#N)[NH2+][C@@H](C)C(=O)N1CC(=O)Nc2ccccc21 ZINC000573106491 421919180 /nfs/dbraw/zinc/91/91/80/421919180.db2.gz UMSPPRQZIBFOJD-NWDGAFQWSA-N 1 2 300.362 1.642 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](CCO)[C@@H]2CCO[C@@H]2C)c1C#N ZINC000581463842 421976511 /nfs/dbraw/zinc/97/65/11/421976511.db2.gz VCHYXHDENOKMOR-MLGOLLRUSA-N 1 2 318.373 1.317 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](CCO)[C@@H]2CCO[C@@H]2C)c1C#N ZINC000581463842 421976516 /nfs/dbraw/zinc/97/65/16/421976516.db2.gz VCHYXHDENOKMOR-MLGOLLRUSA-N 1 2 318.373 1.317 20 30 DDEDLO C=CC(C)(C)CCNC(=O)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000637321718 422142414 /nfs/dbraw/zinc/14/24/14/422142414.db2.gz JYQVYNTWNVKBKL-UHFFFAOYSA-N 1 2 310.442 1.180 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)C(=O)N(C)Cc1[nH]cc[nH+]1 ZINC000632362758 422274573 /nfs/dbraw/zinc/27/45/73/422274573.db2.gz RMYPJDAEDZEEOE-UHFFFAOYSA-N 1 2 318.402 1.644 20 30 DDEDLO C[C@H](CNc1nc(C#N)c(Cl)s1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000596299151 422373908 /nfs/dbraw/zinc/37/39/08/422373908.db2.gz OLPFEAZQAFVHJB-BBBLOLIVSA-N 1 2 314.842 2.578 20 30 DDEDLO C[C@H](CNc1nc(C#N)c(Cl)s1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000596299151 422373911 /nfs/dbraw/zinc/37/39/11/422373911.db2.gz OLPFEAZQAFVHJB-BBBLOLIVSA-N 1 2 314.842 2.578 20 30 DDEDLO Cc1cc(C(=O)NCc2c[nH+]c[nH]2)nn1-c1cccc(C#N)c1 ZINC000356586502 269910513 /nfs/dbraw/zinc/91/05/13/269910513.db2.gz DEAREEJBGLNCOB-UHFFFAOYSA-N 1 2 306.329 1.706 20 30 DDEDLO Cc1cc(C(=O)NCc2c[nH]c[nH+]2)nn1-c1cccc(C#N)c1 ZINC000356586502 269910514 /nfs/dbraw/zinc/91/05/14/269910514.db2.gz DEAREEJBGLNCOB-UHFFFAOYSA-N 1 2 306.329 1.706 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)Nc2cccc(C)c2)nn1 ZINC000640807152 423202627 /nfs/dbraw/zinc/20/26/27/423202627.db2.gz KHNZMDUAQZMKFQ-CQSZACIVSA-N 1 2 311.389 1.899 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc(-c3ccccc3)no2)nn1 ZINC000640844970 423227126 /nfs/dbraw/zinc/22/71/26/423227126.db2.gz JMJKPYFCTZSAAH-UHFFFAOYSA-N 1 2 308.345 1.489 20 30 DDEDLO CC(=O)C[NH+]1CCN(c2cc(-c3ccc(C#N)cc3)[nH]n2)CC1 ZINC000639814300 423749768 /nfs/dbraw/zinc/74/97/68/423749768.db2.gz PGVUNXGCXVATRD-UHFFFAOYSA-N 1 2 309.373 1.659 20 30 DDEDLO C=CCCOCC(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000652012292 423785066 /nfs/dbraw/zinc/78/50/66/423785066.db2.gz IJIXFFAXIVMSPT-UHFFFAOYSA-N 1 2 317.393 1.238 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@@]2(CCOC2)O1 ZINC000369537995 267228747 /nfs/dbraw/zinc/22/87/47/267228747.db2.gz WXPIWOIMIJCNHS-SUMWQHHRSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@@]2(CCOC2)O1 ZINC000369537995 267228750 /nfs/dbraw/zinc/22/87/50/267228750.db2.gz WXPIWOIMIJCNHS-SUMWQHHRSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@](O)(C[NH+]1CC(Oc2ccc(C#N)cc2)C1)C(F)(F)F ZINC000373989743 267255123 /nfs/dbraw/zinc/25/51/23/267255123.db2.gz KYAYIVRRUSXLOT-ZDUSSCGKSA-N 1 2 300.280 1.935 20 30 DDEDLO Cc1cc(N2CCC(NC(=O)c3cc(C#N)c[nH]3)CC2)nc[nH+]1 ZINC000370310756 267335855 /nfs/dbraw/zinc/33/58/55/267335855.db2.gz SPKYCTRIPITTAB-UHFFFAOYSA-N 1 2 310.361 1.384 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000530352525 268096230 /nfs/dbraw/zinc/09/62/30/268096230.db2.gz ZEBWOTWVPRTIEW-UHFFFAOYSA-N 1 2 314.393 1.527 20 30 DDEDLO N#Cc1ccc2ncc(C[NH2+][C@H](C(N)=O)c3ccc(F)cc3)n2c1 ZINC000342799962 268224922 /nfs/dbraw/zinc/22/49/22/268224922.db2.gz PAASMMWHYMSQOI-INIZCTEOSA-N 1 2 323.331 1.661 20 30 DDEDLO N#Cc1cccc(CCNc2cc(N3CCOCC3)nc[nH+]2)c1 ZINC000359945475 268246228 /nfs/dbraw/zinc/24/62/28/268246228.db2.gz FRXMLPLZRFFNSD-UHFFFAOYSA-N 1 2 309.373 1.839 20 30 DDEDLO N#Cc1cccc(CCNc2cc(N3CCOCC3)[nH+]cn2)c1 ZINC000359945475 268246230 /nfs/dbraw/zinc/24/62/30/268246230.db2.gz FRXMLPLZRFFNSD-UHFFFAOYSA-N 1 2 309.373 1.839 20 30 DDEDLO N#Cc1csc(C(=O)N[C@@H]2CCCC[C@H]2[NH+]2CCOCC2)c1 ZINC000371985432 268319280 /nfs/dbraw/zinc/31/92/80/268319280.db2.gz FYHOUOUROIUWHY-ZIAGYGMSSA-N 1 2 319.430 1.993 20 30 DDEDLO N#Cc1csc(C[N@H+]2CC[C@H](C(=O)NCC(F)(F)F)C2)n1 ZINC000356416404 268319795 /nfs/dbraw/zinc/31/97/95/268319795.db2.gz FEEXRERZFLWOFA-QMMMGPOBSA-N 1 2 318.324 1.515 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CC[C@H](C(=O)NCC(F)(F)F)C2)n1 ZINC000356416404 268319797 /nfs/dbraw/zinc/31/97/97/268319797.db2.gz FEEXRERZFLWOFA-QMMMGPOBSA-N 1 2 318.324 1.515 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C=Cc1cccc(C#N)c1 ZINC000325957089 271032071 /nfs/dbraw/zinc/03/20/71/271032071.db2.gz ODJSLGPQCBLBKA-YVACAVLKSA-N 1 2 324.340 1.195 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C=Cc1cccc(C#N)c1 ZINC000325957089 271032075 /nfs/dbraw/zinc/03/20/75/271032075.db2.gz ODJSLGPQCBLBKA-YVACAVLKSA-N 1 2 324.340 1.195 20 30 DDEDLO CC1(C)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@H]1O ZINC000275486151 278333488 /nfs/dbraw/zinc/33/34/88/278333488.db2.gz OXDQIRDNJWEECH-OAHLLOKOSA-N 1 2 322.430 1.425 20 30 DDEDLO CC1(C)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@H]1O ZINC000275486151 278333490 /nfs/dbraw/zinc/33/34/90/278333490.db2.gz OXDQIRDNJWEECH-OAHLLOKOSA-N 1 2 322.430 1.425 20 30 DDEDLO Cc1nc(C(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@H]2C)ccc1C#N ZINC000285611457 279067965 /nfs/dbraw/zinc/06/79/65/279067965.db2.gz CCQVNSMANZJOOA-DOMZBBRYSA-N 1 2 314.389 1.197 20 30 DDEDLO CC(C)[C@@H]1C[N@H+](C)CCN1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000330119684 280007859 /nfs/dbraw/zinc/00/78/59/280007859.db2.gz FZQITCKEYHHGKN-KBPBESRZSA-N 1 2 305.426 1.973 20 30 DDEDLO CC(C)[C@@H]1C[N@@H+](C)CCN1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000330119684 280007861 /nfs/dbraw/zinc/00/78/61/280007861.db2.gz FZQITCKEYHHGKN-KBPBESRZSA-N 1 2 305.426 1.973 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)N(CC)CCC#N)C[C@@]2(CCOC2)O1 ZINC000369531854 288282314 /nfs/dbraw/zinc/28/23/14/288282314.db2.gz LXZJNIVHULIUTL-GOEBONIOSA-N 1 2 309.410 1.018 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)N(CC)CCC#N)C[C@@]2(CCOC2)O1 ZINC000369531854 288282317 /nfs/dbraw/zinc/28/23/17/288282317.db2.gz LXZJNIVHULIUTL-GOEBONIOSA-N 1 2 309.410 1.018 20 30 DDEDLO C[NH2+]CCCc1[nH]nc(NC(=O)c2ccc(F)cc2O)c1C#N ZINC000089661991 281022040 /nfs/dbraw/zinc/02/20/40/281022040.db2.gz BBGBNMNSOYZCQZ-UHFFFAOYSA-N 1 2 317.324 1.530 20 30 DDEDLO C[NH+](C)CCCN(CCC#N)C(=O)c1cc(F)c(O)c(F)c1 ZINC000186702697 289113642 /nfs/dbraw/zinc/11/36/42/289113642.db2.gz TXYUQVBBHWWLCD-UHFFFAOYSA-N 1 2 311.332 1.978 20 30 DDEDLO N#CCCN(C(=O)C[N@H+]1CCSC[C@H]1CO)c1ccccc1 ZINC000343715153 303151976 /nfs/dbraw/zinc/15/19/76/303151976.db2.gz ICNTVSOJHLIYOM-OAHLLOKOSA-N 1 2 319.430 1.343 20 30 DDEDLO N#CCCN(C(=O)C[N@@H+]1CCSC[C@H]1CO)c1ccccc1 ZINC000343715153 303151978 /nfs/dbraw/zinc/15/19/78/303151978.db2.gz ICNTVSOJHLIYOM-OAHLLOKOSA-N 1 2 319.430 1.343 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000547991461 303567159 /nfs/dbraw/zinc/56/71/59/303567159.db2.gz HESGFQFYRANDMW-LBPRGKRZSA-N 1 2 311.345 1.900 20 30 DDEDLO N#Cc1cncnc1NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000561883566 307929833 /nfs/dbraw/zinc/92/98/33/307929833.db2.gz YOJVLYHCHZKPNE-MRXNPFEDSA-N 1 2 309.373 1.256 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C[C@H]1COc2ccccc2O1 ZINC000569581948 332144579 /nfs/dbraw/zinc/14/45/79/332144579.db2.gz ODFHAKVBDGLFGA-LRDDRELGSA-N 1 2 303.362 1.177 20 30 DDEDLO CN(C)c1nc2cc(NC[C@H](O)C[N@H+](C)CCC#N)ccc2o1 ZINC000569594636 332188427 /nfs/dbraw/zinc/18/84/27/332188427.db2.gz UGBUMOZZMVRGFY-ZDUSSCGKSA-N 1 2 317.393 1.512 20 30 DDEDLO CN(C)c1nc2cc(NC[C@H](O)C[N@@H+](C)CCC#N)ccc2o1 ZINC000569594636 332188428 /nfs/dbraw/zinc/18/84/28/332188428.db2.gz UGBUMOZZMVRGFY-ZDUSSCGKSA-N 1 2 317.393 1.512 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCO[C@]2(CCSC2)C1 ZINC000563885522 335124021 /nfs/dbraw/zinc/12/40/21/335124021.db2.gz QHOXNPATHCVRNF-IUODEOHRSA-N 1 2 309.435 1.145 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCO[C@]2(CCSC2)C1 ZINC000563885522 335124022 /nfs/dbraw/zinc/12/40/22/335124022.db2.gz QHOXNPATHCVRNF-IUODEOHRSA-N 1 2 309.435 1.145 20 30 DDEDLO N#CCc1ccc(CNc2cc(N3CCOCC3)nc[nH+]2)cc1 ZINC000580321289 333152903 /nfs/dbraw/zinc/15/29/03/333152903.db2.gz AVDQSKAHCKFXHJ-UHFFFAOYSA-N 1 2 309.373 1.991 20 30 DDEDLO N#CCc1ccc(CNc2cc(N3CCOCC3)[nH+]cn2)cc1 ZINC000580321289 333152904 /nfs/dbraw/zinc/15/29/04/333152904.db2.gz AVDQSKAHCKFXHJ-UHFFFAOYSA-N 1 2 309.373 1.991 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCO[C@]2(CCSC2)C1 ZINC000563071178 333305283 /nfs/dbraw/zinc/30/52/83/333305283.db2.gz WFTUSVWDVFVBPO-HUUCEWRRSA-N 1 2 311.451 1.249 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCO[C@]2(CCSC2)C1 ZINC000563071178 333305285 /nfs/dbraw/zinc/30/52/85/333305285.db2.gz WFTUSVWDVFVBPO-HUUCEWRRSA-N 1 2 311.451 1.249 20 30 DDEDLO C=C(C)Cn1c(C(C)C)nnc1N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000338848157 333499529 /nfs/dbraw/zinc/49/95/29/333499529.db2.gz ZKADKFSFADKWSY-HNNXBMFYSA-N 1 2 319.453 1.889 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(C(=O)CC2CCCCC2)CC1 ZINC000101555931 334329707 /nfs/dbraw/zinc/32/97/07/334329707.db2.gz GBCDNYLLXGOWKR-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000582523206 337104550 /nfs/dbraw/zinc/10/45/50/337104550.db2.gz FFUMWIDGNUTJIU-CYBMUJFWSA-N 1 2 315.421 1.378 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H]1CC(=O)Nc2cc(F)ccc21 ZINC000582939975 337219978 /nfs/dbraw/zinc/21/99/78/337219978.db2.gz DUKBFUXWSBARFY-WBMJQRKESA-N 1 2 318.352 1.212 20 30 DDEDLO C#CC[C@H]([NH2+]C1CCN(C(=O)OCC(C)C)CC1)C(=O)OC ZINC000496747322 340011952 /nfs/dbraw/zinc/01/19/52/340011952.db2.gz BZPAEYLFLPQXJL-AWEZNQCLSA-N 1 2 310.394 1.398 20 30 DDEDLO C#CC[NH+](CC#C)C[C@H](O)COc1ccc(C(C)=O)cc1OC ZINC000076185477 341203626 /nfs/dbraw/zinc/20/36/26/341203626.db2.gz OYOVUQFJKQLJIU-INIZCTEOSA-N 1 2 315.369 1.206 20 30 DDEDLO CN(CC#Cc1ccccc1Cl)C[C@H](O)C[NH+]1CCOCC1 ZINC000135135288 341232053 /nfs/dbraw/zinc/23/20/53/341232053.db2.gz ADDHZSQOTRATIJ-INIZCTEOSA-N 1 2 322.836 1.316 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)/C=C/c2[nH+]ccn2CC)c1 ZINC000136531627 341261408 /nfs/dbraw/zinc/26/14/08/341261408.db2.gz WZKBHUBYYIPSCR-CMDGGOBGSA-N 1 2 322.368 1.652 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000567733568 341630545 /nfs/dbraw/zinc/63/05/45/341630545.db2.gz VUHKCZRUXILQIN-IHRRRGAJSA-N 1 2 304.394 1.510 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1CC(=O)c1c(N)n(C2CC2)c(=O)[nH]c1=O ZINC000489471107 484222020 /nfs/dbraw/zinc/22/20/20/484222020.db2.gz DIDQFACBHCIURB-SNVBAGLBSA-N 1 2 318.377 1.089 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1CC(=O)c1c(N)n(C2CC2)c(=O)[nH]c1=O ZINC000489471107 484222028 /nfs/dbraw/zinc/22/20/28/484222028.db2.gz DIDQFACBHCIURB-SNVBAGLBSA-N 1 2 318.377 1.089 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000665617363 484972644 /nfs/dbraw/zinc/97/26/44/484972644.db2.gz HEFSFIITFGUWAL-CQSZACIVSA-N 1 2 318.421 1.666 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC[C@@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000666346585 485180232 /nfs/dbraw/zinc/18/02/32/485180232.db2.gz DFIPZMFHAKNLPG-FUHWJXTLSA-N 1 2 318.417 1.422 20 30 DDEDLO CCCCNC(=O)C[NH+]1CCN(CC#Cc2ccccc2)CC1 ZINC000683001778 485856098 /nfs/dbraw/zinc/85/60/98/485856098.db2.gz YEZQNADCSALGIS-UHFFFAOYSA-N 1 2 313.445 1.572 20 30 DDEDLO C=CCN(C)C(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000681767066 486520016 /nfs/dbraw/zinc/52/00/16/486520016.db2.gz IRYMBCRQTOHXQC-UHFFFAOYSA-N 1 2 316.405 1.724 20 30 DDEDLO COCC#CC[NH+]1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC000677820144 486548995 /nfs/dbraw/zinc/54/89/95/486548995.db2.gz YRLKBGNLIRJTRB-UHFFFAOYSA-N 1 2 319.380 1.032 20 30 DDEDLO C[C@@H]1CC[C@@H](C(=O)NC[C@@H]2C[C@H](F)CN2Cc2[nH+]ccn2C)O1 ZINC000329964868 534125072 /nfs/dbraw/zinc/12/50/72/534125072.db2.gz UUAUYPXADSORMZ-RFGFWPKPSA-N 1 2 324.400 1.857 20 30 DDEDLO C[C@](O)(CNC(=O)c1ccccc1C[NH+]1CCOCC1)C1CC1 ZINC000329958584 534155267 /nfs/dbraw/zinc/15/52/67/534155267.db2.gz QCJJEPMTPJILCB-SFHVURJKSA-N 1 2 318.417 1.984 20 30 DDEDLO COc1ccccc1NC(=O)CN1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000330349888 534163746 /nfs/dbraw/zinc/16/37/46/534163746.db2.gz KMAKNRKKPXYAJD-HIFRSBDPSA-N 1 2 305.378 1.298 20 30 DDEDLO COc1ccccc1NC(=O)CN1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000330349888 534163750 /nfs/dbraw/zinc/16/37/50/534163750.db2.gz KMAKNRKKPXYAJD-HIFRSBDPSA-N 1 2 305.378 1.298 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1C[C@@H](F)C[C@H]1CNC(=O)NCCC#N ZINC000332630441 534365646 /nfs/dbraw/zinc/36/56/46/534365646.db2.gz HZYPOKGZCFBXCQ-STQMWFEESA-N 1 2 323.372 1.417 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1C[C@@H](F)C[C@H]1CNC(=O)NCCC#N ZINC000332630441 534365652 /nfs/dbraw/zinc/36/56/52/534365652.db2.gz HZYPOKGZCFBXCQ-STQMWFEESA-N 1 2 323.372 1.417 20 30 DDEDLO Cc1nc(C(=O)NC(C)(C)C[NH+]2CCOCC2)ccc1C#N ZINC000331362005 534871766 /nfs/dbraw/zinc/87/17/66/534871766.db2.gz ZSYBDIDYKPVEIT-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCC(=O)Nc1cccc(Cl)c1C ZINC000339756692 526359337 /nfs/dbraw/zinc/35/93/37/526359337.db2.gz MUVJIFGTFHGRQD-UHFFFAOYSA-N 1 2 321.808 1.658 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCC(=O)Nc1cccc(Cl)c1C ZINC000339756692 526359342 /nfs/dbraw/zinc/35/93/42/526359342.db2.gz MUVJIFGTFHGRQD-UHFFFAOYSA-N 1 2 321.808 1.658 20 30 DDEDLO CNC(=O)C1CN(C(=O)c2cccc(C[NH+]3CCOCC3)c2)C1 ZINC000329868020 526396748 /nfs/dbraw/zinc/39/67/48/526396748.db2.gz WWRCOMCAFSGQIB-UHFFFAOYSA-N 1 2 317.389 1.177 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(CC(F)(F)C(F)F)CC2)C1=O ZINC000337186716 526480421 /nfs/dbraw/zinc/48/04/21/526480421.db2.gz PBRALEPHKCOZKQ-LLVKDONJSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(C(C)C)C2CCCC2)C1=O ZINC000337226147 526499571 /nfs/dbraw/zinc/49/95/71/526499571.db2.gz PDMYNFAAWTZDCX-INIZCTEOSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(C(C)C)C2CCCC2)C1=O ZINC000337226147 526499576 /nfs/dbraw/zinc/49/95/76/526499576.db2.gz PDMYNFAAWTZDCX-INIZCTEOSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@@H](CO)c2cccc(Cl)c2F)C1=O ZINC000337202834 526514602 /nfs/dbraw/zinc/51/46/02/526514602.db2.gz FOTWZDBBZXFPLM-STQMWFEESA-N 1 2 312.772 1.889 20 30 DDEDLO CC(=O)N1CCC[C@H](C(=O)NCC2([NH+]3CCOCC3)CC2)C1 ZINC000329907345 526579316 /nfs/dbraw/zinc/57/93/16/526579316.db2.gz SWPYYSBOUZFGCB-AWEZNQCLSA-N 1 2 309.410 1.066 20 30 DDEDLO C=C(C)CS(=O)(=O)N[C@@H](C)C1([NH+]2CCOCC2)CCCC1 ZINC000331253409 526684444 /nfs/dbraw/zinc/68/44/44/526684444.db2.gz VHUMPRLMRHBCRH-AWEZNQCLSA-N 1 2 316.467 1.515 20 30 DDEDLO C#CC[N@@H+](CCCCC1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000490701779 526901002 /nfs/dbraw/zinc/90/10/02/526901002.db2.gz XKKHKAMVWACBHD-MRXNPFEDSA-N 1 2 313.463 1.706 20 30 DDEDLO C#CC[N@H+](CCCCC1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000490701779 526901004 /nfs/dbraw/zinc/90/10/04/526901004.db2.gz XKKHKAMVWACBHD-MRXNPFEDSA-N 1 2 313.463 1.706 20 30 DDEDLO C=C1CC[NH+](CC(=O)N[C@H](Cc2ccccc2)C(C)=O)CC1 ZINC000342008190 526902919 /nfs/dbraw/zinc/90/29/19/526902919.db2.gz QPOGKEGWJMDOKK-QGZVFWFLSA-N 1 2 300.402 1.955 20 30 DDEDLO CC(=O)Nc1ccc(C)c(C(=O)NCC[N@H+]2CCOC[C@H]2C)c1 ZINC000331029621 526948366 /nfs/dbraw/zinc/94/83/66/526948366.db2.gz XZPMXDAPEKESGF-CYBMUJFWSA-N 1 2 319.405 1.979 20 30 DDEDLO CC(=O)Nc1ccc(C)c(C(=O)NCC[N@@H+]2CCOC[C@H]2C)c1 ZINC000331029621 526948371 /nfs/dbraw/zinc/94/83/71/526948371.db2.gz XZPMXDAPEKESGF-CYBMUJFWSA-N 1 2 319.405 1.979 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@@H](c3cccc(C)c3)CC2=O)CC1 ZINC000490947949 526953008 /nfs/dbraw/zinc/95/30/08/526953008.db2.gz BMRJDPFAZAHKID-SFHVURJKSA-N 1 2 311.429 1.519 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3sccc3C)n2C)CC1 ZINC000491193123 526955332 /nfs/dbraw/zinc/95/53/32/526955332.db2.gz VWWMEOUCCUVROU-UHFFFAOYSA-N 1 2 301.419 1.607 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C2(CCC)CCC2)CC1 ZINC000490819198 526990049 /nfs/dbraw/zinc/99/00/49/526990049.db2.gz GOGBIHZZZCZUII-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(CCC)CCC2)CC1 ZINC000490819198 526990055 /nfs/dbraw/zinc/99/00/55/526990055.db2.gz GOGBIHZZZCZUII-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@]2(C)CC=CCC2)CC1 ZINC000491774926 526997568 /nfs/dbraw/zinc/99/75/68/526997568.db2.gz URHNHTFYPNSIOS-GOSISDBHSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@]2(C)CC=CCC2)CC1 ZINC000491774926 526997574 /nfs/dbraw/zinc/99/75/74/526997574.db2.gz URHNHTFYPNSIOS-GOSISDBHSA-N 1 2 317.433 1.017 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000341857639 527063852 /nfs/dbraw/zinc/06/38/52/527063852.db2.gz CPKOHSUIFLACMO-OAHLLOKOSA-N 1 2 318.421 1.666 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000491699235 527203558 /nfs/dbraw/zinc/20/35/58/527203558.db2.gz ULKCYGUHWUAJQR-NVXWUHKLSA-N 1 2 315.417 1.723 20 30 DDEDLO C#C[C@@H](CO)[NH2+]CCS(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000491790336 527260775 /nfs/dbraw/zinc/26/07/75/527260775.db2.gz YRASMJAEWQPFJY-AWEZNQCLSA-N 1 2 309.431 1.342 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1CCN(CC)C(=O)C1 ZINC000348823379 527263001 /nfs/dbraw/zinc/26/30/01/527263001.db2.gz VVEAFZMEESFFHO-INIZCTEOSA-N 1 2 318.417 1.319 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1CCN(CC)C(=O)C1 ZINC000348823379 527263010 /nfs/dbraw/zinc/26/30/10/527263010.db2.gz VVEAFZMEESFFHO-INIZCTEOSA-N 1 2 318.417 1.319 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1cc(-n2cnnn2)ccc1Cl ZINC000491718285 527331612 /nfs/dbraw/zinc/33/16/12/527331612.db2.gz UAWZUJHLFQDKRF-JTQLQIEISA-N 1 2 318.768 1.208 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1cc(-n2cnnn2)ccc1Cl ZINC000491718285 527331614 /nfs/dbraw/zinc/33/16/14/527331614.db2.gz UAWZUJHLFQDKRF-JTQLQIEISA-N 1 2 318.768 1.208 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1CCN(c2ccccc2[N+](=O)[O-])C1=O ZINC000491718812 527333267 /nfs/dbraw/zinc/33/32/67/527333267.db2.gz WKPFNDOKDOTVMR-LBPRGKRZSA-N 1 2 302.334 1.748 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1CCN(c2ccccc2[N+](=O)[O-])C1=O ZINC000491718812 527333273 /nfs/dbraw/zinc/33/32/73/527333273.db2.gz WKPFNDOKDOTVMR-LBPRGKRZSA-N 1 2 302.334 1.748 20 30 DDEDLO C=CCn1cc(CNC(=O)Cn2c3ccccc3[nH+]c2CC)nn1 ZINC000424905080 527365541 /nfs/dbraw/zinc/36/55/41/527365541.db2.gz BJHHZYWINJUVAG-UHFFFAOYSA-N 1 2 324.388 1.693 20 30 DDEDLO C=CCn1cc(C[NH2+][C@@H](C)CS(=O)(=O)c2ccccc2)nn1 ZINC000424295756 527374071 /nfs/dbraw/zinc/37/40/71/527374071.db2.gz NFWMZUZADUUJQS-ZDUSSCGKSA-N 1 2 320.418 1.416 20 30 DDEDLO C#C[C@H](NC(=O)N[C@@H](C)C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000491703054 527395584 /nfs/dbraw/zinc/39/55/84/527395584.db2.gz JBAQMLKGVRWFSQ-BBRMVZONSA-N 1 2 319.380 1.520 20 30 DDEDLO C=CCN(C(=O)C[N@H+]1[C@H](C)CC[C@@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000330917798 527535247 /nfs/dbraw/zinc/53/52/47/527535247.db2.gz WOASOMSKYXTZBL-HZSPNIEDSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCN(C(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000330917798 527535253 /nfs/dbraw/zinc/53/52/53/527535253.db2.gz WOASOMSKYXTZBL-HZSPNIEDSA-N 1 2 314.451 1.061 20 30 DDEDLO CC(C)CNC(=O)NC(=O)[C@H](C)[N@@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000331313792 527591703 /nfs/dbraw/zinc/59/17/03/527591703.db2.gz IAYONKSNRWEXAK-STQMWFEESA-N 1 2 313.442 1.808 20 30 DDEDLO CC(C)CNC(=O)NC(=O)[C@H](C)[N@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000331313792 527591713 /nfs/dbraw/zinc/59/17/13/527591713.db2.gz IAYONKSNRWEXAK-STQMWFEESA-N 1 2 313.442 1.808 20 30 DDEDLO CC(C)OC(=O)c1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000414136986 527867669 /nfs/dbraw/zinc/86/76/69/527867669.db2.gz JNIZTZNWTGYQDF-HNNXBMFYSA-N 1 2 320.389 1.837 20 30 DDEDLO CC(C)OC(=O)c1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000414136986 527867672 /nfs/dbraw/zinc/86/76/72/527867672.db2.gz JNIZTZNWTGYQDF-HNNXBMFYSA-N 1 2 320.389 1.837 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+](CCO)Cc1cccc(F)c1 ZINC000494231430 527924812 /nfs/dbraw/zinc/92/48/12/527924812.db2.gz RSSXWDJRIYUNKY-KRWDZBQOSA-N 1 2 321.396 1.674 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+](CCO)Cc1cccc(F)c1 ZINC000494231430 527924823 /nfs/dbraw/zinc/92/48/23/527924823.db2.gz RSSXWDJRIYUNKY-KRWDZBQOSA-N 1 2 321.396 1.674 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000330882881 528358465 /nfs/dbraw/zinc/35/84/65/528358465.db2.gz ZQPGAKCDCVKTJB-GFCCVEGCSA-N 1 2 307.316 1.138 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000330882881 528358470 /nfs/dbraw/zinc/35/84/70/528358470.db2.gz ZQPGAKCDCVKTJB-GFCCVEGCSA-N 1 2 307.316 1.138 20 30 DDEDLO CCOC1CC(CCNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)C1 ZINC000329973235 528480935 /nfs/dbraw/zinc/48/09/35/528480935.db2.gz WQUYLJQKWORSOS-CVSAEHQPSA-N 1 2 311.426 1.120 20 30 DDEDLO CCOC1CC(CCNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)C1 ZINC000329973235 528480937 /nfs/dbraw/zinc/48/09/37/528480937.db2.gz WQUYLJQKWORSOS-CVSAEHQPSA-N 1 2 311.426 1.120 20 30 DDEDLO CCN(CCOC)c1cccc(Cn2ccn3ncc(C#N)c23)[nH+]1 ZINC000419032038 528564236 /nfs/dbraw/zinc/56/42/36/528564236.db2.gz VRFBYHWKSSFOTL-UHFFFAOYSA-N 1 2 324.388 1.923 20 30 DDEDLO CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[C@H](C)[N@H+](C)C2)o1 ZINC000820198431 606776371 /nfs/dbraw/zinc/77/63/71/606776371.db2.gz VPSQQYBOGKGTRQ-QMMMGPOBSA-N 1 2 304.358 1.470 20 30 DDEDLO CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[C@H](C)[N@@H+](C)C2)o1 ZINC000820198431 606776372 /nfs/dbraw/zinc/77/63/72/606776372.db2.gz VPSQQYBOGKGTRQ-QMMMGPOBSA-N 1 2 304.358 1.470 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CC(C)(C)C3)C2)C1 ZINC000972367241 695231079 /nfs/dbraw/zinc/23/10/79/695231079.db2.gz HECIZDXGEPKIDX-SFHVURJKSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CC(C)(C)C3)C2)C1 ZINC000972367241 695231080 /nfs/dbraw/zinc/23/10/80/695231080.db2.gz HECIZDXGEPKIDX-SFHVURJKSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCCC4(CC4)C3)C2)C1 ZINC000972386958 695237614 /nfs/dbraw/zinc/23/76/14/695237614.db2.gz IWEZFLFFMNQIEE-VQIMIIECSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCCC4(CC4)C3)C2)C1 ZINC000972386958 695237615 /nfs/dbraw/zinc/23/76/15/695237615.db2.gz IWEZFLFFMNQIEE-VQIMIIECSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cncs3)C2)C1 ZINC000972420254 695245130 /nfs/dbraw/zinc/24/51/30/695245130.db2.gz QOBUZRFIKRXYFQ-MRXNPFEDSA-N 1 2 319.430 1.012 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cncs3)C2)C1 ZINC000972420254 695245132 /nfs/dbraw/zinc/24/51/32/695245132.db2.gz QOBUZRFIKRXYFQ-MRXNPFEDSA-N 1 2 319.430 1.012 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3CC(C)(C)CO3)C2)C1 ZINC000972463795 695256931 /nfs/dbraw/zinc/25/69/31/695256931.db2.gz XTSIJOKKRAPHFG-CRAIPNDOSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3CC(C)(C)CO3)C2)C1 ZINC000972463795 695256932 /nfs/dbraw/zinc/25/69/32/695256932.db2.gz XTSIJOKKRAPHFG-CRAIPNDOSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(F)c[nH]3)C2)C1 ZINC000972469432 695258453 /nfs/dbraw/zinc/25/84/53/695258453.db2.gz KJQGLLKRUYBLLG-INIZCTEOSA-N 1 2 305.353 1.094 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(F)c[nH]3)C2)C1 ZINC000972469432 695258455 /nfs/dbraw/zinc/25/84/55/695258455.db2.gz KJQGLLKRUYBLLG-INIZCTEOSA-N 1 2 305.353 1.094 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3C(C)C)C2)C1 ZINC000972512674 695269725 /nfs/dbraw/zinc/26/97/25/695269725.db2.gz YLWCKNDWLBRZOV-GOSISDBHSA-N 1 2 315.417 1.688 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3C(C)C)C2)C1 ZINC000972512674 695269726 /nfs/dbraw/zinc/26/97/26/695269726.db2.gz YLWCKNDWLBRZOV-GOSISDBHSA-N 1 2 315.417 1.688 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C(C)C)n[nH]3)C2)C1 ZINC000972562490 695283989 /nfs/dbraw/zinc/28/39/89/695283989.db2.gz DSCNQHIWGQDJKD-QGZVFWFLSA-N 1 2 318.421 1.636 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C(C)C)n[nH]3)C2)C1 ZINC000972562490 695283990 /nfs/dbraw/zinc/28/39/90/695283990.db2.gz DSCNQHIWGQDJKD-QGZVFWFLSA-N 1 2 318.421 1.636 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(OC)o3)C2)C1 ZINC000972611302 695298330 /nfs/dbraw/zinc/29/83/30/695298330.db2.gz FWUOGRKABLXBKO-KRWDZBQOSA-N 1 2 318.373 1.228 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(OC)o3)C2)C1 ZINC000972611302 695298331 /nfs/dbraw/zinc/29/83/31/695298331.db2.gz FWUOGRKABLXBKO-KRWDZBQOSA-N 1 2 318.373 1.228 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCn3cc(C)cn3)C2)C1 ZINC000972693912 695317975 /nfs/dbraw/zinc/31/79/75/695317975.db2.gz JYFVCECQEJXOOO-KRWDZBQOSA-N 1 2 318.421 1.071 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCn3cc(C)cn3)C2)C1 ZINC000972693912 695317976 /nfs/dbraw/zinc/31/79/76/695317976.db2.gz JYFVCECQEJXOOO-KRWDZBQOSA-N 1 2 318.421 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2nccnc2N)C(C)(C)C1 ZINC000972968964 695383199 /nfs/dbraw/zinc/38/31/99/695383199.db2.gz BFANKEMKOSVLDT-SNVBAGLBSA-N 1 2 309.801 1.252 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2nccnc2N)C(C)(C)C1 ZINC000972968964 695383200 /nfs/dbraw/zinc/38/32/00/695383200.db2.gz BFANKEMKOSVLDT-SNVBAGLBSA-N 1 2 309.801 1.252 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C2CC(OC)C2)C(C)(C)C1 ZINC000974720333 695718241 /nfs/dbraw/zinc/71/82/41/695718241.db2.gz ULLLQHHQJGPANL-BPCQOVAHSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C2CC(OC)C2)C(C)(C)C1 ZINC000974720333 695718243 /nfs/dbraw/zinc/71/82/43/695718243.db2.gz ULLLQHHQJGPANL-BPCQOVAHSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C(C)(C)C1 ZINC000974834304 695745899 /nfs/dbraw/zinc/74/58/99/695745899.db2.gz JOLRSPZZLBNLQI-ZDUSSCGKSA-N 1 2 323.824 1.909 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C(C)(C)C1 ZINC000974834304 695745901 /nfs/dbraw/zinc/74/59/01/695745901.db2.gz JOLRSPZZLBNLQI-ZDUSSCGKSA-N 1 2 323.824 1.909 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)c1 ZINC000798173567 700046938 /nfs/dbraw/zinc/04/69/38/700046938.db2.gz WPNQZDUSSVCVTK-KRWDZBQOSA-N 1 2 313.401 1.390 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cn(CC)nn2)C(C)(C)C1 ZINC000977410521 696149166 /nfs/dbraw/zinc/14/91/66/696149166.db2.gz LZMUGBKDYQQRGG-GFCCVEGCSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cn(CC)nn2)C(C)(C)C1 ZINC000977410521 696149170 /nfs/dbraw/zinc/14/91/70/696149170.db2.gz LZMUGBKDYQQRGG-GFCCVEGCSA-N 1 2 311.817 1.491 20 30 DDEDLO COC(=O)c1ccoc1CO[NH+]=C(N)c1ccc(OC)cc1 ZINC000071965291 696392884 /nfs/dbraw/zinc/39/28/84/696392884.db2.gz ONQZJEHKKXRFHK-UHFFFAOYSA-N 1 2 304.302 1.912 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2coc(C(F)(F)F)n2)CC1 ZINC000981549861 696813711 /nfs/dbraw/zinc/81/37/11/696813711.db2.gz QNRKQVWSDFVRPX-UHFFFAOYSA-N 1 2 301.268 1.475 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2coc(C(F)(F)F)n2)CC1 ZINC000981549861 696813712 /nfs/dbraw/zinc/81/37/12/696813712.db2.gz QNRKQVWSDFVRPX-UHFFFAOYSA-N 1 2 301.268 1.475 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CCC[N@@H+]([C@@H](C)c1ncccn1)C2 ZINC000981669717 696867430 /nfs/dbraw/zinc/86/74/30/696867430.db2.gz VKZVOIUXXMGUOO-KBPBESRZSA-N 1 2 313.405 1.622 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CCC[N@H+]([C@@H](C)c1ncccn1)C2 ZINC000981669717 696867433 /nfs/dbraw/zinc/86/74/33/696867433.db2.gz VKZVOIUXXMGUOO-KBPBESRZSA-N 1 2 313.405 1.622 20 30 DDEDLO C#CC[N@@H+]1C[C@@]2(C)CN(C(=O)C(F)C(F)(F)F)C[C@@]2(C)C1 ZINC000982283071 697082763 /nfs/dbraw/zinc/08/27/63/697082763.db2.gz MIRSUXRDOLYWQS-WCFLWFBJSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CC[N@H+]1C[C@@]2(C)CN(C(=O)C(F)C(F)(F)F)C[C@@]2(C)C1 ZINC000982283071 697082766 /nfs/dbraw/zinc/08/27/66/697082766.db2.gz MIRSUXRDOLYWQS-WCFLWFBJSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CC[N@@H+]1C[C@@]2(C)CN(C(=O)[C@H](F)C(F)(F)F)C[C@@]2(C)C1 ZINC000982283071 697082769 /nfs/dbraw/zinc/08/27/69/697082769.db2.gz MIRSUXRDOLYWQS-WCFLWFBJSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CC[N@H+]1C[C@@]2(C)CN(C(=O)[C@H](F)C(F)(F)F)C[C@@]2(C)C1 ZINC000982283071 697082770 /nfs/dbraw/zinc/08/27/70/697082770.db2.gz MIRSUXRDOLYWQS-WCFLWFBJSA-N 1 2 306.303 1.690 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCC(=O)N(C)CC(F)(F)F)ccc1F ZINC000177478114 697412893 /nfs/dbraw/zinc/41/28/93/697412893.db2.gz AOYCUQKNDSAGHM-UHFFFAOYSA-N 1 2 321.274 1.792 20 30 DDEDLO C#Cc1cccc(N(CC(=O)NC)C(=O)CCCn2cc[nH+]c2)c1 ZINC000749507244 700167295 /nfs/dbraw/zinc/16/72/95/700167295.db2.gz LMNLVEAPOALFPU-UHFFFAOYSA-N 1 2 324.384 1.424 20 30 DDEDLO C=CCc1cc(C[N@H+]2CC(=O)N(C)[C@H](C)C2)c(O)c(OC)c1 ZINC000799955390 700177140 /nfs/dbraw/zinc/17/71/40/700177140.db2.gz JPYAOOZWSQHIKI-GFCCVEGCSA-N 1 2 304.390 1.792 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CC(=O)N(C)[C@H](C)C2)c(O)c(OC)c1 ZINC000799955390 700177144 /nfs/dbraw/zinc/17/71/44/700177144.db2.gz JPYAOOZWSQHIKI-GFCCVEGCSA-N 1 2 304.390 1.792 20 30 DDEDLO COC(=O)c1cccc(CO[NH+]=C(N)c2ccc(F)cc2)n1 ZINC000774804247 697930841 /nfs/dbraw/zinc/93/08/41/697930841.db2.gz UYUUIECWQRZTKU-UHFFFAOYSA-N 1 2 303.293 1.844 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)Nc1nnc(CC(C)C)s1)[C@H]1CCCO1 ZINC000775791612 698034611 /nfs/dbraw/zinc/03/46/11/698034611.db2.gz WGBBJENISFLGTR-VXGBXAGGSA-N 1 2 322.434 1.446 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)Nc1nnc(CCCC)s1)[C@H]1CCCO1 ZINC000775793354 698035448 /nfs/dbraw/zinc/03/54/48/698035448.db2.gz CHMBDIXYWQKVAG-NWDGAFQWSA-N 1 2 322.434 1.590 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CC[C@H](CN(C)C(=O)OC(C)(C)C)C1 ZINC000800337644 700217092 /nfs/dbraw/zinc/21/70/92/700217092.db2.gz CGSLBQVOZKZBMY-OLZOCXBDSA-N 1 2 312.410 1.903 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CC[C@H](CN(C)C(=O)OC(C)(C)C)C1 ZINC000800337644 700217095 /nfs/dbraw/zinc/21/70/95/700217095.db2.gz CGSLBQVOZKZBMY-OLZOCXBDSA-N 1 2 312.410 1.903 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cccc(F)c2C#N)C[C@H]1C ZINC000250223283 698399203 /nfs/dbraw/zinc/39/92/03/698399203.db2.gz FCGVXMIOEHLDQD-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cccc(F)c2C#N)C[C@H]1C ZINC000250223283 698399209 /nfs/dbraw/zinc/39/92/09/698399209.db2.gz FCGVXMIOEHLDQD-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CCOC(=O)c1cnc(N=NC(C)c2cn(C)c(C)[nH+]2)nc1C ZINC000800815317 700246304 /nfs/dbraw/zinc/24/63/04/700246304.db2.gz TWLVOMOZNXNXGC-UHFFFAOYSA-N 1 2 316.365 1.840 20 30 DDEDLO COC[C@H](C)NC(=S)NN=Cc1cc(-n2cc[nH+]c2)cs1 ZINC000783805604 698883325 /nfs/dbraw/zinc/88/33/25/698883325.db2.gz UOGOUCJMNTXLOA-JTQLQIEISA-N 1 2 323.447 1.767 20 30 DDEDLO N#CCCNC(=O)C[N@H+]1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000738339831 699757403 /nfs/dbraw/zinc/75/74/03/699757403.db2.gz KFJBKYBPEWXLBO-CQSZACIVSA-N 1 2 317.364 1.750 20 30 DDEDLO N#CCCNC(=O)C[N@@H+]1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000738339831 699757405 /nfs/dbraw/zinc/75/74/05/699757405.db2.gz KFJBKYBPEWXLBO-CQSZACIVSA-N 1 2 317.364 1.750 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](CN3C(=O)CC34CCC4)C2)nc1 ZINC000793609333 699767253 /nfs/dbraw/zinc/76/72/53/699767253.db2.gz ZARPLDLVKGNHFH-CQSZACIVSA-N 1 2 312.373 1.519 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](CN3C(=O)CC34CCC4)C2)nc1 ZINC000793609333 699767254 /nfs/dbraw/zinc/76/72/54/699767254.db2.gz ZARPLDLVKGNHFH-CQSZACIVSA-N 1 2 312.373 1.519 20 30 DDEDLO N#CCNC(=O)COC(=O)[C@H]1CCCC[N@@H+]1Cc1ccccc1 ZINC000741353867 699833582 /nfs/dbraw/zinc/83/35/82/699833582.db2.gz RBSDYSNZGAENML-OAHLLOKOSA-N 1 2 315.373 1.224 20 30 DDEDLO N#CCNC(=O)COC(=O)[C@H]1CCCC[N@H+]1Cc1ccccc1 ZINC000741353867 699833584 /nfs/dbraw/zinc/83/35/84/699833584.db2.gz RBSDYSNZGAENML-OAHLLOKOSA-N 1 2 315.373 1.224 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@H+]1CCC[C@H](CO)C1 ZINC000755050984 700546697 /nfs/dbraw/zinc/54/66/97/700546697.db2.gz FLGLXDCQLKSWCN-ZDUSSCGKSA-N 1 2 312.373 1.124 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@@H+]1CCC[C@H](CO)C1 ZINC000755050984 700546699 /nfs/dbraw/zinc/54/66/99/700546699.db2.gz FLGLXDCQLKSWCN-ZDUSSCGKSA-N 1 2 312.373 1.124 20 30 DDEDLO C#CCOCCNC(=O)c1cccc(CNc2cc[nH+]c(C)n2)c1 ZINC000756206437 700608024 /nfs/dbraw/zinc/60/80/24/700608024.db2.gz KCBHKEIPOLHLIM-UHFFFAOYSA-N 1 2 324.384 1.777 20 30 DDEDLO C#CCNC(=S)N1CC[NH+](Cc2cc(C)ccc2OC)CC1 ZINC000758184311 700687817 /nfs/dbraw/zinc/68/78/17/700687817.db2.gz LPJFNWALWZBRAX-UHFFFAOYSA-N 1 2 317.458 1.629 20 30 DDEDLO N#Cc1ccc(NCCNc2cc(N3CCCC3)nc[nH+]2)nc1 ZINC000764770496 700985782 /nfs/dbraw/zinc/98/57/82/700985782.db2.gz RFAIPNCBWVNBFC-UHFFFAOYSA-N 1 2 309.377 1.867 20 30 DDEDLO N#Cc1ccc(NCCNc2cc(N3CCCC3)[nH+]cn2)nc1 ZINC000764770496 700985783 /nfs/dbraw/zinc/98/57/83/700985783.db2.gz RFAIPNCBWVNBFC-UHFFFAOYSA-N 1 2 309.377 1.867 20 30 DDEDLO C#CCNC(=O)C[N@@H+](CCO)Cc1ccc(Cl)c(Cl)c1 ZINC000767789244 701136259 /nfs/dbraw/zinc/13/62/59/701136259.db2.gz PBMSQZPOAUFBFO-UHFFFAOYSA-N 1 2 315.200 1.537 20 30 DDEDLO C#CCNC(=O)C[N@H+](CCO)Cc1ccc(Cl)c(Cl)c1 ZINC000767789244 701136260 /nfs/dbraw/zinc/13/62/60/701136260.db2.gz PBMSQZPOAUFBFO-UHFFFAOYSA-N 1 2 315.200 1.537 20 30 DDEDLO C#CCNC(=O)CNc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000768388485 701173889 /nfs/dbraw/zinc/17/38/89/701173889.db2.gz OEQPAQAWOWBGDA-UHFFFAOYSA-N 1 2 306.369 1.763 20 30 DDEDLO N#CCOc1ccc(CC(=O)N[C@@H]2CCc3c[nH+]cn3C2)cc1 ZINC000804334027 701179280 /nfs/dbraw/zinc/17/92/80/701179280.db2.gz WETXBQYWKJOBNA-CQSZACIVSA-N 1 2 310.357 1.459 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1cccc(F)c1C#N ZINC000770722619 701291961 /nfs/dbraw/zinc/29/19/61/701291961.db2.gz HKEPBUITEVAZHO-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1cccc(F)c1C#N ZINC000770722619 701291962 /nfs/dbraw/zinc/29/19/62/701291962.db2.gz HKEPBUITEVAZHO-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO Nc1ccc(CN=Nc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])c[nH+]1 ZINC000807942431 701491957 /nfs/dbraw/zinc/49/19/57/701491957.db2.gz RVUKUYYTKZVIME-UHFFFAOYSA-N 1 2 302.250 1.926 20 30 DDEDLO C[C@@H](O)CNc1cc(N(C)CCc2cccc(C#N)c2)nc[nH+]1 ZINC000866122728 706625737 /nfs/dbraw/zinc/62/57/37/706625737.db2.gz AQERMLMHVLKCRR-CYBMUJFWSA-N 1 2 311.389 1.820 20 30 DDEDLO C[C@@H](O)CNc1cc(N(C)CCc2cccc(C#N)c2)[nH+]cn1 ZINC000866122728 706625739 /nfs/dbraw/zinc/62/57/39/706625739.db2.gz AQERMLMHVLKCRR-CYBMUJFWSA-N 1 2 311.389 1.820 20 30 DDEDLO CO[C@@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C[C@H]1C ZINC000840127085 701984619 /nfs/dbraw/zinc/98/46/19/701984619.db2.gz XCAMETVWLCAKES-CXAGYDPISA-N 1 2 315.417 1.913 20 30 DDEDLO CO[C@@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C[C@H]1C ZINC000840127085 701984624 /nfs/dbraw/zinc/98/46/24/701984624.db2.gz XCAMETVWLCAKES-CXAGYDPISA-N 1 2 315.417 1.913 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@H](CNc3ccc(C#N)nn3)C2)c1 ZINC000866190696 706644295 /nfs/dbraw/zinc/64/42/95/706644295.db2.gz LQUHMZQOMWGMOW-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@H](CNc3ccc(C#N)nn3)C2)c1 ZINC000866190696 706644297 /nfs/dbraw/zinc/64/42/97/706644297.db2.gz LQUHMZQOMWGMOW-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO C[C@H](C[NH2+][C@H](C)c1cccc(C#N)c1O)CN1CCOCC1 ZINC000866268625 706661645 /nfs/dbraw/zinc/66/16/45/706661645.db2.gz AFHDWDLXJVFLPJ-ZIAGYGMSSA-N 1 2 303.406 1.883 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)c1ccccc1OCC#N ZINC000841331845 702422204 /nfs/dbraw/zinc/42/22/04/702422204.db2.gz NENCARZQMGMDAA-UHFFFAOYSA-N 1 2 317.389 1.430 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCCN(CCCO)C1)c1cccc(C#N)c1O ZINC000866365469 706681934 /nfs/dbraw/zinc/68/19/34/706681934.db2.gz HHCBLMZIWCNTMC-HIFRSBDPSA-N 1 2 303.406 1.761 20 30 DDEDLO C[C@H]([NH2+]CCN1C[C@H]2CC[C@@H](C1)O2)c1cccc(C#N)c1O ZINC000866367689 706682815 /nfs/dbraw/zinc/68/28/15/706682815.db2.gz NBFCTDQTRXLNLM-AEGPPILISA-N 1 2 301.390 1.778 20 30 DDEDLO C[C@H]([NH2+]C[C@]1(OCCO)CCOC1)c1cccc(C#N)c1O ZINC000866389150 706687627 /nfs/dbraw/zinc/68/76/27/706687627.db2.gz QFZDRVDTXWFIAV-BLLLJJGKSA-N 1 2 306.362 1.082 20 30 DDEDLO CN(C)C(=O)CCC[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000846839394 703340547 /nfs/dbraw/zinc/34/05/47/703340547.db2.gz DUXCCQRHYRJBCO-INIZCTEOSA-N 1 2 301.390 1.800 20 30 DDEDLO CN(C)C(=O)CCC[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000846839394 703340548 /nfs/dbraw/zinc/34/05/48/703340548.db2.gz DUXCCQRHYRJBCO-INIZCTEOSA-N 1 2 301.390 1.800 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCN(c2cccc(Cl)c2)C[C@H]1C ZINC000846922581 703352539 /nfs/dbraw/zinc/35/25/39/703352539.db2.gz GWROCMOHZNRKGO-CYBMUJFWSA-N 1 2 305.809 1.600 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCN(c2cccc(Cl)c2)C[C@H]1C ZINC000846922581 703352541 /nfs/dbraw/zinc/35/25/41/703352541.db2.gz GWROCMOHZNRKGO-CYBMUJFWSA-N 1 2 305.809 1.600 20 30 DDEDLO C#CCCCCCC(=O)N1CCO[C@H](C[NH+]2CCOCC2)C1 ZINC000870063404 703906485 /nfs/dbraw/zinc/90/64/85/703906485.db2.gz LQCYGZDSDRYOOS-MRXNPFEDSA-N 1 2 308.422 1.130 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)CCn3cc[nH+]c3)CC2)cc1 ZINC000870094548 703915097 /nfs/dbraw/zinc/91/50/97/703915097.db2.gz NSLXJZSYPDDCHJ-UHFFFAOYSA-N 1 2 309.373 1.494 20 30 DDEDLO COc1cccc(C[NH+]2CCN(C(=O)[C@H](C)CC#N)CC2)c1 ZINC000870159075 703938108 /nfs/dbraw/zinc/93/81/08/703938108.db2.gz VJXVSRBITQWBJB-CQSZACIVSA-N 1 2 301.390 1.889 20 30 DDEDLO CN1CC[N@H+](Cc2ccccc2)[C@H](CNC(=O)NCCC#N)C1 ZINC000854027453 704348628 /nfs/dbraw/zinc/34/86/28/704348628.db2.gz KNTZFZCDDPRIJY-MRXNPFEDSA-N 1 2 315.421 1.015 20 30 DDEDLO CN1CC[N@@H+](Cc2ccccc2)[C@H](CNC(=O)NCCC#N)C1 ZINC000854027453 704348629 /nfs/dbraw/zinc/34/86/29/704348629.db2.gz KNTZFZCDDPRIJY-MRXNPFEDSA-N 1 2 315.421 1.015 20 30 DDEDLO C#C[C@](C)(CC)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000854703721 704442363 /nfs/dbraw/zinc/44/23/63/704442363.db2.gz CMIQNLXTKVGKCR-WMLDXEAASA-N 1 2 318.421 1.401 20 30 DDEDLO C#C[C@](C)(CC)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000854703721 704442365 /nfs/dbraw/zinc/44/23/65/704442365.db2.gz CMIQNLXTKVGKCR-WMLDXEAASA-N 1 2 318.421 1.401 20 30 DDEDLO COc1ccc(CNC(=O)/C=C\C[NH+]2CCOCC2)cc1C#N ZINC000866854152 706826129 /nfs/dbraw/zinc/82/61/29/706826129.db2.gz LLLIWKRSTYENPX-IHWYPQMZSA-N 1 2 315.373 1.071 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880304483 706934639 /nfs/dbraw/zinc/93/46/39/706934639.db2.gz ZVNRGNHWBWOWRS-YPMHNXCESA-N 1 2 321.343 1.648 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880304483 706934643 /nfs/dbraw/zinc/93/46/43/706934643.db2.gz ZVNRGNHWBWOWRS-YPMHNXCESA-N 1 2 321.343 1.648 20 30 DDEDLO C#CCCOC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000858888761 704768576 /nfs/dbraw/zinc/76/85/76/704768576.db2.gz TWWCETXKHGWDGN-INIZCTEOSA-N 1 2 302.374 1.637 20 30 DDEDLO C#CCCOC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000858888761 704768577 /nfs/dbraw/zinc/76/85/77/704768577.db2.gz TWWCETXKHGWDGN-INIZCTEOSA-N 1 2 302.374 1.637 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[NH+]1CCC2(CC1)COCCO2 ZINC000880541964 707011056 /nfs/dbraw/zinc/01/10/56/707011056.db2.gz PUNNPVKNASJIKY-UHFFFAOYSA-N 1 2 321.402 1.440 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000824813557 705533617 /nfs/dbraw/zinc/53/36/17/705533617.db2.gz FAPNKMQCVQSGHQ-AWEZNQCLSA-N 1 2 319.405 1.794 20 30 DDEDLO C#CCN(CC)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000876038306 705600473 /nfs/dbraw/zinc/60/04/73/705600473.db2.gz DXASGUIMAGLVOI-UHFFFAOYSA-N 1 2 318.446 1.800 20 30 DDEDLO CC(C)(C)n1ncc2c1nc[nH+]c2N1CC[C@@](O)(CC#N)C1 ZINC000826618910 705827470 /nfs/dbraw/zinc/82/74/70/705827470.db2.gz SHUDOPFDVHKBPT-HNNXBMFYSA-N 1 2 300.366 1.436 20 30 DDEDLO C#CC[C@@H]([NH2+]C1CCN(c2ccccc2OC)CC1)C(=O)OC ZINC000877584305 706149689 /nfs/dbraw/zinc/14/96/89/706149689.db2.gz ZQLUKHLOSIGHOP-OAHLLOKOSA-N 1 2 316.401 1.819 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C(=O)OCC ZINC000881753545 707352063 /nfs/dbraw/zinc/35/20/63/707352063.db2.gz RYGVQBKDIXVWLO-CHWSQXEVSA-N 1 2 305.378 1.460 20 30 DDEDLO CCOC(=O)[C@H](C)C1C[NH+](Cc2cnc3ccc(C#N)cn23)C1 ZINC000877829687 706226554 /nfs/dbraw/zinc/22/65/54/706226554.db2.gz ZTOVYFCIDZHOMU-GFCCVEGCSA-N 1 2 312.373 1.837 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[NH+](C(C)C)CC2)c(C#N)c1 ZINC000872434018 707408308 /nfs/dbraw/zinc/40/83/08/707408308.db2.gz GNPKJWUJERVMHX-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO CC1(C)CCC[C@@H](CN(CCC#N)CC[NH+]2CCOCC2)O1 ZINC000878159789 706309866 /nfs/dbraw/zinc/30/98/66/706309866.db2.gz VJASYEGQYWOZDQ-INIZCTEOSA-N 1 2 309.454 1.882 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCN(CC(F)(F)F)C[C@H](C)C1 ZINC000878685829 706468806 /nfs/dbraw/zinc/46/88/06/706468806.db2.gz NLKHJXWPOWNAQE-NWDGAFQWSA-N 1 2 308.344 1.920 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCN(CC(F)(F)F)C[C@H](C)C1 ZINC000878685829 706468808 /nfs/dbraw/zinc/46/88/08/706468808.db2.gz NLKHJXWPOWNAQE-NWDGAFQWSA-N 1 2 308.344 1.920 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000867043225 706880736 /nfs/dbraw/zinc/88/07/36/706880736.db2.gz XQDKCNSXFXRBGJ-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000867043225 706880738 /nfs/dbraw/zinc/88/07/38/706880738.db2.gz XQDKCNSXFXRBGJ-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2nc3ccccc3c(=O)n2C)CC1 ZINC000880481766 706987944 /nfs/dbraw/zinc/98/79/44/706987944.db2.gz NXBOWYSTVHNIQF-UHFFFAOYSA-N 1 2 311.385 1.284 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1cc(C#N)ccc1F ZINC000834709685 707105393 /nfs/dbraw/zinc/10/53/93/707105393.db2.gz UYCPFUMFYIHJAU-LBPRGKRZSA-N 1 2 305.353 1.490 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@@H+](C)C(C)(C)C2)c(C#N)c1 ZINC000882351351 707596777 /nfs/dbraw/zinc/59/67/77/707596777.db2.gz ZMVCHTIGVRDFGK-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@H+](C)C(C)(C)C2)c(C#N)c1 ZINC000882351351 707596781 /nfs/dbraw/zinc/59/67/81/707596781.db2.gz ZMVCHTIGVRDFGK-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000837547161 707677721 /nfs/dbraw/zinc/67/77/21/707677721.db2.gz FGJPQIAYEZMDNM-AWEZNQCLSA-N 1 2 319.317 1.230 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000837547161 707677730 /nfs/dbraw/zinc/67/77/30/707677730.db2.gz FGJPQIAYEZMDNM-AWEZNQCLSA-N 1 2 319.317 1.230 20 30 DDEDLO CC[N@@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(Cl)cc1C#N ZINC000882765565 707781805 /nfs/dbraw/zinc/78/18/05/707781805.db2.gz DTEQMWSLVVWHTD-LBPRGKRZSA-N 1 2 313.810 1.584 20 30 DDEDLO CC[N@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(Cl)cc1C#N ZINC000882765565 707781809 /nfs/dbraw/zinc/78/18/09/707781809.db2.gz DTEQMWSLVVWHTD-LBPRGKRZSA-N 1 2 313.810 1.584 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000882899437 707843995 /nfs/dbraw/zinc/84/39/95/707843995.db2.gz NAVNKHORWXDXTC-INIZCTEOSA-N 1 2 313.401 1.917 20 30 DDEDLO N#Cc1ccc2c(c1)CCC[C@H]2[NH2+]Cc1nnc2n1CCNC2=O ZINC000883304137 707972072 /nfs/dbraw/zinc/97/20/72/707972072.db2.gz NZMBIFDYSPCHGM-CQSZACIVSA-N 1 2 322.372 1.060 20 30 DDEDLO C#CC[C@H]([NH2+][C@@H]1CC[C@H](C(F)(F)C(=O)OCC)C1)C(=O)OC ZINC000839167560 708032528 /nfs/dbraw/zinc/03/25/28/708032528.db2.gz FOELAJFJKDLXMJ-TUAOUCFPSA-N 1 2 317.332 1.508 20 30 DDEDLO COc1ncncc1C[N@@H+]1CCC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC000896651401 708108200 /nfs/dbraw/zinc/10/82/00/708108200.db2.gz TZLVDXNOGQGTQF-OAHLLOKOSA-N 1 2 324.388 1.828 20 30 DDEDLO COc1ncncc1C[N@H+]1CCC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC000896651401 708108204 /nfs/dbraw/zinc/10/82/04/708108204.db2.gz TZLVDXNOGQGTQF-OAHLLOKOSA-N 1 2 324.388 1.828 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCC[C@@H](SC)C1 ZINC000884145578 708159980 /nfs/dbraw/zinc/15/99/80/708159980.db2.gz NMAREKHMFGCZFF-TUAOUCFPSA-N 1 2 300.424 1.223 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000896871866 708169108 /nfs/dbraw/zinc/16/91/08/708169108.db2.gz REXBYZLQCQYVAI-OAHLLOKOSA-N 1 2 320.356 1.813 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)Cc1ccc(F)cc1C ZINC000884398807 708280590 /nfs/dbraw/zinc/28/05/90/708280590.db2.gz OHSNGRFHWZVLMF-DOMZBBRYSA-N 1 2 322.380 1.628 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccnn1CC1CCC1 ZINC000884465861 708307930 /nfs/dbraw/zinc/30/79/30/708307930.db2.gz LHXDRQDFSWIIPD-LBPRGKRZSA-N 1 2 306.366 1.068 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1 ZINC000897641130 708403709 /nfs/dbraw/zinc/40/37/09/708403709.db2.gz WBJGCJMDXOSKBE-WQVCFCJDSA-N 1 2 318.421 1.887 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1 ZINC000897641130 708403713 /nfs/dbraw/zinc/40/37/13/708403713.db2.gz WBJGCJMDXOSKBE-WQVCFCJDSA-N 1 2 318.421 1.887 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2ccc(C)cc2F)C1 ZINC000885512759 708563607 /nfs/dbraw/zinc/56/36/07/708563607.db2.gz YRMXMVYRWIGBKV-HNNXBMFYSA-N 1 2 324.421 1.651 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2ccc(C)cc2F)C1 ZINC000885512759 708563608 /nfs/dbraw/zinc/56/36/08/708563608.db2.gz YRMXMVYRWIGBKV-HNNXBMFYSA-N 1 2 324.421 1.651 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)C(F)(F)c1ccccc1C#N ZINC000912612165 713050125 /nfs/dbraw/zinc/05/01/25/713050125.db2.gz KOWOMBGSVIACMX-GFCCVEGCSA-N 1 2 320.299 1.233 20 30 DDEDLO C=C[C@H](CO)NC(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC000912719072 713074508 /nfs/dbraw/zinc/07/45/08/713074508.db2.gz NHIONTGXYFQAII-CQSZACIVSA-N 1 2 300.362 1.272 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Nc1ccc(C#N)cn1 ZINC000889235926 709465907 /nfs/dbraw/zinc/46/59/07/709465907.db2.gz PKTFPKAZMBBNDK-JSGCOSHPSA-N 1 2 315.377 1.280 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CCc2ccc(C(=O)OC)cc21 ZINC000889452891 709510607 /nfs/dbraw/zinc/51/06/07/709510607.db2.gz UYJWEXDEUBVTMI-HNNXBMFYSA-N 1 2 312.369 1.460 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCc2ccc(C(=O)OC)cc21 ZINC000889452891 709510611 /nfs/dbraw/zinc/51/06/11/709510611.db2.gz UYJWEXDEUBVTMI-HNNXBMFYSA-N 1 2 312.369 1.460 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)c(F)c1 ZINC000900483062 709617279 /nfs/dbraw/zinc/61/72/79/709617279.db2.gz ALBJKRFBNCYYJY-UHFFFAOYSA-N 1 2 313.336 1.312 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC000909925956 709691709 /nfs/dbraw/zinc/69/17/09/709691709.db2.gz BDYFMNDTYCMFMI-KRWDZBQOSA-N 1 2 324.384 1.469 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1cc(C(F)(F)F)n(C)n1 ZINC000890008284 709703873 /nfs/dbraw/zinc/70/38/73/709703873.db2.gz BEOXWDVEJVAZOA-NSHDSACASA-N 1 2 314.311 1.153 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1cc(C(F)(F)F)n(C)n1 ZINC000890008284 709703878 /nfs/dbraw/zinc/70/38/78/709703878.db2.gz BEOXWDVEJVAZOA-NSHDSACASA-N 1 2 314.311 1.153 20 30 DDEDLO C#CC1(NC(=O)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CCCC1 ZINC000891313667 710159198 /nfs/dbraw/zinc/15/91/98/710159198.db2.gz ZRNXPCVDTCAZFC-UHFFFAOYSA-N 1 2 322.368 1.873 20 30 DDEDLO Cc1cc(F)ncc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000891395344 710183781 /nfs/dbraw/zinc/18/37/81/710183781.db2.gz YPDBEKINBZJEFQ-UHFFFAOYSA-N 1 2 306.385 1.577 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)c2ccc(Cl)cc2)C1 ZINC000902729113 710881392 /nfs/dbraw/zinc/88/13/92/710881392.db2.gz DXJQRCPWUSWGLQ-AWEZNQCLSA-N 1 2 304.777 1.737 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)c2ccc(Cl)cc2)C1 ZINC000902729113 710881393 /nfs/dbraw/zinc/88/13/93/710881393.db2.gz DXJQRCPWUSWGLQ-AWEZNQCLSA-N 1 2 304.777 1.737 20 30 DDEDLO C/C(=C/C(=O)N1Cc2ccc(C#N)cc2C1)C[NH+]1CCOCC1 ZINC000912057677 711150444 /nfs/dbraw/zinc/15/04/44/711150444.db2.gz DHZRQZMNACXALF-ZSOIEALJSA-N 1 2 311.385 1.679 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](N(C)c2cccc(C#N)c2)C1 ZINC000912254653 711247807 /nfs/dbraw/zinc/24/78/07/711247807.db2.gz FDKMADFDUKKLJM-INIZCTEOSA-N 1 2 323.400 1.870 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cnc4n3CCOC4)CC2)cn1 ZINC000895493399 711526501 /nfs/dbraw/zinc/52/65/01/711526501.db2.gz QUPHGLCVMWGPSH-UHFFFAOYSA-N 1 2 324.388 1.002 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000906240584 712270709 /nfs/dbraw/zinc/27/07/09/712270709.db2.gz LXOVAXZNZQSAOT-HNNXBMFYSA-N 1 2 318.421 1.442 20 30 DDEDLO Cc1cc(C(=O)N[C@@H](C)C[NH+]2CCN(C)CC2)cc(C)c1C#N ZINC000906601848 712367390 /nfs/dbraw/zinc/36/73/90/712367390.db2.gz LFVJFSWFWHMUST-HNNXBMFYSA-N 1 2 314.433 1.541 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000913971241 713326663 /nfs/dbraw/zinc/32/66/63/713326663.db2.gz AEGIMUXSNIOSTA-INIZCTEOSA-N 1 2 312.373 1.115 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000907717407 712618072 /nfs/dbraw/zinc/61/80/72/712618072.db2.gz XJUXWOQFYVWJMS-HNNXBMFYSA-N 1 2 303.406 1.352 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000908534308 712800092 /nfs/dbraw/zinc/80/00/92/712800092.db2.gz PYQNNYBMOUTKGJ-JYJNAYRXSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CCCCc1nnc(N(C)CC[NH+]2CCOCC2)n1CC=C ZINC000908723514 712841681 /nfs/dbraw/zinc/84/16/81/712841681.db2.gz WNVCHHPNFBSKGW-UHFFFAOYSA-N 1 2 317.437 1.188 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)Cc2csc(C#N)c2)C[C@@H]1C ZINC000914289553 713371179 /nfs/dbraw/zinc/37/11/79/713371179.db2.gz QNYZNDANXYRRIN-NSHDSACASA-N 1 2 313.448 1.476 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)Cc2csc(C#N)c2)C[C@@H]1C ZINC000914289553 713371181 /nfs/dbraw/zinc/37/11/81/713371181.db2.gz QNYZNDANXYRRIN-NSHDSACASA-N 1 2 313.448 1.476 20 30 DDEDLO C=C(C)C[C@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)OCC ZINC000916709309 713464583 /nfs/dbraw/zinc/46/45/83/713464583.db2.gz IPOWXPMWRANLMK-AWEZNQCLSA-N 1 2 319.405 1.776 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@H](Nc2ccc(C#N)cn2)C1 ZINC000928720575 713471323 /nfs/dbraw/zinc/47/13/23/713471323.db2.gz WBUCQPKLTGVUIO-AWEZNQCLSA-N 1 2 324.388 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966042944 717903155 /nfs/dbraw/zinc/90/31/55/717903155.db2.gz BDZCTIKYUXDMHE-BXUZGUMPSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966042944 717903161 /nfs/dbraw/zinc/90/31/61/717903161.db2.gz BDZCTIKYUXDMHE-BXUZGUMPSA-N 1 2 310.829 1.542 20 30 DDEDLO C[S@@](=O)CCN1CC[NH+](CCCCC2(C#N)CCC2)CC1 ZINC000929993610 713731109 /nfs/dbraw/zinc/73/11/09/713731109.db2.gz MIVCXHDAQIGMOA-OAQYLSRUSA-N 1 2 311.495 1.847 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(CCCCC2(C#N)CCC2)CC1 ZINC000929993610 713731112 /nfs/dbraw/zinc/73/11/12/713731112.db2.gz MIVCXHDAQIGMOA-OAQYLSRUSA-N 1 2 311.495 1.847 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C)C(=O)Nc2ccc(Cl)cn2)CC1 ZINC000931146855 714015900 /nfs/dbraw/zinc/01/59/00/714015900.db2.gz RQUOHQOJRHRZLV-NSHDSACASA-N 1 2 307.781 1.522 20 30 DDEDLO C#Cc1cccc(CNC(=O)N[C@@H](CC)C[NH+]2CCOCC2)c1 ZINC000922782382 714146405 /nfs/dbraw/zinc/14/64/05/714146405.db2.gz YLLPXEGUONAGOX-KRWDZBQOSA-N 1 2 315.417 1.578 20 30 DDEDLO Cc1cc(CNC(=O)N2C[C@@H](C)N(CC#N)[C@@H](C)C2)cc(C)[nH+]1 ZINC000922927513 714189250 /nfs/dbraw/zinc/18/92/50/714189250.db2.gz NHEDAHKWTZXZON-GASCZTMLSA-N 1 2 315.421 1.826 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ncoc2C(F)(F)F)C1 ZINC000923559449 714402529 /nfs/dbraw/zinc/40/25/29/714402529.db2.gz DTQBXYUYEURPLB-SECBINFHSA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ncoc2C(F)(F)F)C1 ZINC000923559449 714402531 /nfs/dbraw/zinc/40/25/31/714402531.db2.gz DTQBXYUYEURPLB-SECBINFHSA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(-c3ccccn3)no2)C1 ZINC000923573334 714411763 /nfs/dbraw/zinc/41/17/63/714411763.db2.gz MAHKOPHUHMNAIC-ZDUSSCGKSA-N 1 2 310.357 1.564 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(-c3ccccn3)no2)C1 ZINC000923573334 714411767 /nfs/dbraw/zinc/41/17/67/714411767.db2.gz MAHKOPHUHMNAIC-ZDUSSCGKSA-N 1 2 310.357 1.564 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)N[C@H](CC#N)C(F)(F)F ZINC000932886434 714430863 /nfs/dbraw/zinc/43/08/63/714430863.db2.gz BDWWRAJLUFKOEL-GHMZBOCLSA-N 1 2 322.331 1.193 20 30 DDEDLO O=C(NCC#CCO)N1CC[NH+](C/C=C\c2ccccc2)CC1 ZINC000923775947 714468257 /nfs/dbraw/zinc/46/82/57/714468257.db2.gz MWYUFQFZZLKUQF-TWGQIWQCSA-N 1 2 313.401 1.023 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)[C@H](C)C1 ZINC000933173856 714527170 /nfs/dbraw/zinc/52/71/70/714527170.db2.gz AZZIYTJEFDAVKQ-IOASZLSFSA-N 1 2 309.410 1.314 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)[C@H](C)C1 ZINC000933173856 714527173 /nfs/dbraw/zinc/52/71/73/714527173.db2.gz AZZIYTJEFDAVKQ-IOASZLSFSA-N 1 2 309.410 1.314 20 30 DDEDLO C=CCC1(O)CCN(C(=O)[C@@H]2COCC[N@H+]2CCCC)CC1 ZINC000924436872 714581758 /nfs/dbraw/zinc/58/17/58/714581758.db2.gz WKACCEMYVWZXFC-HNNXBMFYSA-N 1 2 310.438 1.417 20 30 DDEDLO C=CCC1(O)CCN(C(=O)[C@@H]2COCC[N@@H+]2CCCC)CC1 ZINC000924436872 714581760 /nfs/dbraw/zinc/58/17/60/714581760.db2.gz WKACCEMYVWZXFC-HNNXBMFYSA-N 1 2 310.438 1.417 20 30 DDEDLO CCOCCOCC[N@@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933631765 714634840 /nfs/dbraw/zinc/63/48/40/714634840.db2.gz LLUGPMRLTKPBLL-QGZVFWFLSA-N 1 2 304.390 1.985 20 30 DDEDLO CCOCCOCC[N@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933631765 714634843 /nfs/dbraw/zinc/63/48/43/714634843.db2.gz LLUGPMRLTKPBLL-QGZVFWFLSA-N 1 2 304.390 1.985 20 30 DDEDLO C#CCC1(NC(=O)[C@H](CC)[N@@H+]2CCO[C@@H](CC)C2)CCOCC1 ZINC000925423035 714851589 /nfs/dbraw/zinc/85/15/89/714851589.db2.gz CKPIJTJJQZAJOA-HOTGVXAUSA-N 1 2 322.449 1.565 20 30 DDEDLO C#CCC1(NC(=O)[C@H](CC)[N@H+]2CCO[C@@H](CC)C2)CCOCC1 ZINC000925423035 714851590 /nfs/dbraw/zinc/85/15/90/714851590.db2.gz CKPIJTJJQZAJOA-HOTGVXAUSA-N 1 2 322.449 1.565 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(CC)nn(C)c2Cl)C1 ZINC000957108359 715751159 /nfs/dbraw/zinc/75/11/59/715751159.db2.gz CETWUWYBANMGPG-UHFFFAOYSA-N 1 2 322.840 1.806 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnn(-c3nccs3)c2)CC1 ZINC000957279657 715814341 /nfs/dbraw/zinc/81/43/41/715814341.db2.gz NORGPCGJGIBVEM-UHFFFAOYSA-N 1 2 303.391 1.273 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(OC)cc2OC)C1 ZINC000957320840 715833679 /nfs/dbraw/zinc/83/36/79/715833679.db2.gz PJSGVDDRFIBMGY-UHFFFAOYSA-N 1 2 316.401 1.873 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CC(=O)N([C@H](C)CCC)C2)CC1 ZINC000957767975 716034527 /nfs/dbraw/zinc/03/45/27/716034527.db2.gz QINNZUGGDJRBAA-CABCVRRESA-N 1 2 307.438 1.354 20 30 DDEDLO CCc1cnc(C[N@H+](C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)o1 ZINC000960488819 716577799 /nfs/dbraw/zinc/57/77/99/716577799.db2.gz DTTMPDKBHKUPRN-CHWSQXEVSA-N 1 2 304.394 1.819 20 30 DDEDLO CCc1cnc(C[N@@H+](C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)o1 ZINC000960488819 716577802 /nfs/dbraw/zinc/57/78/02/716577802.db2.gz DTTMPDKBHKUPRN-CHWSQXEVSA-N 1 2 304.394 1.819 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000958564223 716625609 /nfs/dbraw/zinc/62/56/09/716625609.db2.gz QOQNYIYGKOVVEG-CPUCHLNUSA-N 1 2 318.421 1.449 20 30 DDEDLO N#CCN1CCC[C@@H](C2CCN(C(=O)Cn3cc[nH+]c3)CC2)C1 ZINC000961438117 716947044 /nfs/dbraw/zinc/94/70/44/716947044.db2.gz GKHIWXKEHMEFTD-MRXNPFEDSA-N 1 2 315.421 1.357 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)/C=C\c3ccco3)CC2)C1 ZINC000941288645 717147583 /nfs/dbraw/zinc/14/75/83/717147583.db2.gz ZIICETMYVLYMKT-SREVYHEPSA-N 1 2 313.401 1.145 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C(F)=C3CCCC3)CC2)C1 ZINC000941377156 717164896 /nfs/dbraw/zinc/16/48/96/717164896.db2.gz DNNISXKEJLWVNN-UHFFFAOYSA-N 1 2 319.424 1.636 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3=CCCCCC3)CC2)C1 ZINC000941387463 717166982 /nfs/dbraw/zinc/16/69/82/717166982.db2.gz GRAALYDIKJHSGY-UHFFFAOYSA-N 1 2 315.461 1.729 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc(C)cc(F)c3)CC2)C1 ZINC000941578065 717217148 /nfs/dbraw/zinc/21/71/48/717217148.db2.gz CVSIDSDGLKIVOW-UHFFFAOYSA-N 1 2 315.392 1.209 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3cc(C)[nH]c3C)CC2)C1 ZINC000941586968 717220471 /nfs/dbraw/zinc/22/04/71/717220471.db2.gz GODXKBBJROQNRX-UHFFFAOYSA-N 1 2 314.433 1.097 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccc(C)cc3)CC2)C1 ZINC000941648901 717243427 /nfs/dbraw/zinc/24/34/27/717243427.db2.gz GOLJIOXLUCKUNC-UHFFFAOYSA-N 1 2 311.429 1.460 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccs4)C[C@H]32)cn1 ZINC000962327522 717407376 /nfs/dbraw/zinc/40/73/76/717407376.db2.gz UCALXNVAWFQTEF-FOLVSLTJSA-N 1 2 324.409 1.380 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccs4)C[C@H]32)cn1 ZINC000962327522 717407379 /nfs/dbraw/zinc/40/73/79/717407379.db2.gz UCALXNVAWFQTEF-FOLVSLTJSA-N 1 2 324.409 1.380 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2cncc3nc[nH]c32)[C@H](C)C1 ZINC000942063667 717459732 /nfs/dbraw/zinc/45/97/32/717459732.db2.gz MLOQBGAMNURQGC-TZMCWYRMSA-N 1 2 311.389 1.421 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2cncc3nc[nH]c32)[C@H](C)C1 ZINC000942063667 717459736 /nfs/dbraw/zinc/45/97/36/717459736.db2.gz MLOQBGAMNURQGC-TZMCWYRMSA-N 1 2 311.389 1.421 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965527812 717676231 /nfs/dbraw/zinc/67/62/31/717676231.db2.gz PZKYRCNDZNTHEZ-SMDDNHRTSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965527812 717676235 /nfs/dbraw/zinc/67/62/35/717676235.db2.gz PZKYRCNDZNTHEZ-SMDDNHRTSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000942515626 717713285 /nfs/dbraw/zinc/71/32/85/717713285.db2.gz COMXURKPAONMIH-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnon3)C[C@H]2C)cc1 ZINC000942553488 717740599 /nfs/dbraw/zinc/74/05/99/717740599.db2.gz PWFQNCSUXJCSJU-CXAGYDPISA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cnon3)C[C@H]2C)cc1 ZINC000942553488 717740602 /nfs/dbraw/zinc/74/06/02/717740602.db2.gz PWFQNCSUXJCSJU-CXAGYDPISA-N 1 2 324.384 1.691 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cn(C)nn3)C[C@H]2C)C1 ZINC000942607485 717774595 /nfs/dbraw/zinc/77/45/95/717774595.db2.gz GIQIGORRZZZISS-UKRRQHHQSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cn(C)nn3)C[C@H]2C)C1 ZINC000942607485 717774598 /nfs/dbraw/zinc/77/45/98/717774598.db2.gz GIQIGORRZZZISS-UKRRQHHQSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cn(C)nn3)C[C@@H]2C)C1 ZINC000942607486 717774683 /nfs/dbraw/zinc/77/46/83/717774683.db2.gz GIQIGORRZZZISS-ZFWWWQNUSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cn(C)nn3)C[C@@H]2C)C1 ZINC000942607486 717774686 /nfs/dbraw/zinc/77/46/86/717774686.db2.gz GIQIGORRZZZISS-ZFWWWQNUSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965958175 717834163 /nfs/dbraw/zinc/83/41/63/717834163.db2.gz JSHQSYZBVXAAPU-MWLCHTKSSA-N 1 2 313.785 1.171 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965958175 717834168 /nfs/dbraw/zinc/83/41/68/717834168.db2.gz JSHQSYZBVXAAPU-MWLCHTKSSA-N 1 2 313.785 1.171 20 30 DDEDLO CN(C(=O)[C@H]1CCc2c[nH+]cn2C1)C1CCC(NCC#N)CC1 ZINC000963581238 717836987 /nfs/dbraw/zinc/83/69/87/717836987.db2.gz JHNLGPVFGBMXRG-NFOMZHRRSA-N 1 2 315.421 1.328 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967182256 718785528 /nfs/dbraw/zinc/78/55/28/718785528.db2.gz JMCAGCHEQZXLHX-BBRMVZONSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967182256 718785532 /nfs/dbraw/zinc/78/55/32/718785532.db2.gz JMCAGCHEQZXLHX-BBRMVZONSA-N 1 2 314.433 1.752 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H]2CN(CC#N)CC[C@H]2C)c(C)[nH+]1 ZINC000967414432 718881507 /nfs/dbraw/zinc/88/15/07/718881507.db2.gz KMZSDGOMSBODNV-IAQYHMDHSA-N 1 2 300.406 1.971 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1ccon1 ZINC000947204940 719073653 /nfs/dbraw/zinc/07/36/53/719073653.db2.gz JMWDYOZKDWFDSX-AAEUAGOBSA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1ccon1 ZINC000947204940 719073657 /nfs/dbraw/zinc/07/36/57/719073657.db2.gz JMWDYOZKDWFDSX-AAEUAGOBSA-N 1 2 313.361 1.657 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C[C@@H]1C ZINC000947892227 719300102 /nfs/dbraw/zinc/30/01/02/719300102.db2.gz ZCKOEOSGJGCLLR-BBRMVZONSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C[C@@H]1C ZINC000947892227 719300104 /nfs/dbraw/zinc/30/01/04/719300104.db2.gz ZCKOEOSGJGCLLR-BBRMVZONSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)C[C@@H]1C ZINC000948074052 719350738 /nfs/dbraw/zinc/35/07/38/719350738.db2.gz PZEWIAGOMZNHNC-DZGCQCFKSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)C[C@@H]1C ZINC000948074052 719350739 /nfs/dbraw/zinc/35/07/39/719350739.db2.gz PZEWIAGOMZNHNC-DZGCQCFKSA-N 1 2 323.400 1.688 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2c(=O)[nH]nc(CC)c2CC)CC1 ZINC000948607224 719583367 /nfs/dbraw/zinc/58/33/67/719583367.db2.gz GPGXSQAVLDBSOF-UHFFFAOYSA-N 1 2 316.405 1.088 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@@H]2CCc3[nH+]c(C)[nH]c3C2)CC1 ZINC000948957028 719801745 /nfs/dbraw/zinc/80/17/45/719801745.db2.gz FEIWBWQOZRPLBL-CYBMUJFWSA-N 1 2 322.840 1.720 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@@H]2CCc3[nH]c(C)[nH+]c3C2)CC1 ZINC000948957028 719801750 /nfs/dbraw/zinc/80/17/50/719801750.db2.gz FEIWBWQOZRPLBL-CYBMUJFWSA-N 1 2 322.840 1.720 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CCO[C@H]1C=C ZINC000948957758 719803367 /nfs/dbraw/zinc/80/33/67/719803367.db2.gz HNSVAZAICRHNOG-QRVBRYPASA-N 1 2 324.424 1.926 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CCO[C@H]1C=C ZINC000948957758 719803375 /nfs/dbraw/zinc/80/33/75/719803375.db2.gz HNSVAZAICRHNOG-QRVBRYPASA-N 1 2 324.424 1.926 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC000949662868 720248149 /nfs/dbraw/zinc/24/81/49/720248149.db2.gz GVDGGMDATNGUCZ-UHFFFAOYSA-N 1 2 305.426 1.251 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc(OC(C)C)cn2)C1 ZINC000950097228 720489106 /nfs/dbraw/zinc/48/91/06/720489106.db2.gz MMQNMCNLGVSIBY-UHFFFAOYSA-N 1 2 316.405 1.433 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cc(N3CCCC3)ncn2)CC1 ZINC000950505460 720662205 /nfs/dbraw/zinc/66/22/05/720662205.db2.gz ACOPKVVAZUOLJW-UHFFFAOYSA-N 1 2 315.421 1.411 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccc3nncn3c2)C1 ZINC000970576523 720823600 /nfs/dbraw/zinc/82/36/00/720823600.db2.gz DMALAGAWVOKIRJ-LLVKDONJSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2COCCN2CCCC)C1 ZINC000950989787 720867285 /nfs/dbraw/zinc/86/72/85/720867285.db2.gz MWYYHFYTYIILLX-QGZVFWFLSA-N 1 2 323.481 1.596 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccc(OC)nn2)C1 ZINC000970693796 720891681 /nfs/dbraw/zinc/89/16/81/720891681.db2.gz PGNBWNCOCFIIFC-SNVBAGLBSA-N 1 2 310.785 1.288 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC000970798365 720939740 /nfs/dbraw/zinc/93/97/40/720939740.db2.gz SFWZQDMZKZIAFD-TZMCWYRMSA-N 1 2 319.836 1.985 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(N3CCCC3)nc2)CC1 ZINC000951781271 721180277 /nfs/dbraw/zinc/18/02/77/721180277.db2.gz QGHQJAPUSCPDNN-UHFFFAOYSA-N 1 2 300.406 1.626 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC000971212472 721181812 /nfs/dbraw/zinc/18/18/12/721181812.db2.gz ZIPFJFIQIGFWJW-SWLSCSKDSA-N 1 2 301.394 1.022 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC000971212472 721181813 /nfs/dbraw/zinc/18/18/13/721181813.db2.gz ZIPFJFIQIGFWJW-SWLSCSKDSA-N 1 2 301.394 1.022 20 30 DDEDLO C[N@H+](Cc1nccs1)[C@@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971371032 721263492 /nfs/dbraw/zinc/26/34/92/721263492.db2.gz BSOZHEXWJLALGO-CYBMUJFWSA-N 1 2 315.402 1.689 20 30 DDEDLO C[N@@H+](Cc1nccs1)[C@@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971371032 721263495 /nfs/dbraw/zinc/26/34/95/721263495.db2.gz BSOZHEXWJLALGO-CYBMUJFWSA-N 1 2 315.402 1.689 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(CC)CCCC3)C2)C1 ZINC000972617068 735355985 /nfs/dbraw/zinc/35/59/85/735355985.db2.gz LKPFXCHWROXVHF-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(CC)CCCC3)C2)C1 ZINC000972617068 735355989 /nfs/dbraw/zinc/35/59/89/735355989.db2.gz LKPFXCHWROXVHF-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(F)c(NC(C)=O)c1 ZINC001039074739 732632161 /nfs/dbraw/zinc/63/21/61/732632161.db2.gz UUZYSTAWZOHEME-ZDUSSCGKSA-N 1 2 303.337 1.221 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(F)c(NC(C)=O)c1 ZINC001039074739 732632163 /nfs/dbraw/zinc/63/21/63/732632163.db2.gz UUZYSTAWZOHEME-ZDUSSCGKSA-N 1 2 303.337 1.221 20 30 DDEDLO CC(=O)N(C)C1CC[NH+](Cc2c(C#N)cccc2[N+](=O)[O-])CC1 ZINC001167261992 732875402 /nfs/dbraw/zinc/87/54/02/732875402.db2.gz SMONWTPLNUKQNC-UHFFFAOYSA-N 1 2 316.361 1.909 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CCC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001027814279 738688869 /nfs/dbraw/zinc/68/88/69/738688869.db2.gz LCVLKIKPDGMEPU-JSGCOSHPSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CCC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001027814279 738688870 /nfs/dbraw/zinc/68/88/70/738688870.db2.gz LCVLKIKPDGMEPU-JSGCOSHPSA-N 1 2 324.388 1.632 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc2n[nH]nc2n1 ZINC001027917634 738806997 /nfs/dbraw/zinc/80/69/97/738806997.db2.gz CQIPSDGGLZLDIQ-JTQLQIEISA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc2n[nH]nc2n1 ZINC001027917634 738807001 /nfs/dbraw/zinc/80/70/01/738807001.db2.gz CQIPSDGGLZLDIQ-JTQLQIEISA-N 1 2 320.784 1.300 20 30 DDEDLO N#Cc1ccc(C[NH2+][C@H]2C[C@@H](NC(=O)c3[nH]ncc3F)C2)s1 ZINC001022668194 734677606 /nfs/dbraw/zinc/67/76/06/734677606.db2.gz SNXAJWIEWVEIGR-DTORHVGOSA-N 1 2 319.365 1.533 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001011184713 734832332 /nfs/dbraw/zinc/83/23/32/734832332.db2.gz IYTCKJBPTIAXAX-UHFFFAOYSA-N 1 2 324.812 1.402 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)Cc3c[nH]c[nH+]3)CCCC2)nc1 ZINC001104434897 734871544 /nfs/dbraw/zinc/87/15/44/734871544.db2.gz GYILXCOAPRNWPN-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO C=CCOCC(=O)N(C)CCN(C)c1nc(C)[nH+]c(C)c1C ZINC001105346688 738858447 /nfs/dbraw/zinc/85/84/47/738858447.db2.gz HHYKYQBXBHQUPE-UHFFFAOYSA-N 1 2 306.410 1.499 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc(C)n(C)n2)C(C)(C)C1 ZINC000972844908 735679150 /nfs/dbraw/zinc/67/91/50/735679150.db2.gz WRSOCYZEJMKQMI-CYBMUJFWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc(C)n(C)n2)C(C)(C)C1 ZINC000972844908 735679151 /nfs/dbraw/zinc/67/91/51/735679151.db2.gz WRSOCYZEJMKQMI-CYBMUJFWSA-N 1 2 310.829 1.921 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1nc2cccnc2s1 ZINC001024483402 735858754 /nfs/dbraw/zinc/85/87/54/735858754.db2.gz RRQPVEIAXPUQCM-GFCCVEGCSA-N 1 2 314.414 1.909 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1nc2cccnc2s1 ZINC001024483402 735858756 /nfs/dbraw/zinc/85/87/56/735858756.db2.gz RRQPVEIAXPUQCM-GFCCVEGCSA-N 1 2 314.414 1.909 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1C ZINC001121433468 782507489 /nfs/dbraw/zinc/50/74/89/782507489.db2.gz JXZIKBWBIUYWHK-MJBXVCDLSA-N 1 2 303.410 1.199 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2C[N@H+]3CCCC[C@@H]3CO2)n1C ZINC001121433468 782507497 /nfs/dbraw/zinc/50/74/97/782507497.db2.gz JXZIKBWBIUYWHK-MJBXVCDLSA-N 1 2 303.410 1.199 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H](C)c2ccnn2C)C1 ZINC001007356398 736314617 /nfs/dbraw/zinc/31/46/17/736314617.db2.gz JSOBVACSFXYQCG-OLZOCXBDSA-N 1 2 310.829 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)c2ccnn2C)C1 ZINC001007356398 736314623 /nfs/dbraw/zinc/31/46/23/736314623.db2.gz JSOBVACSFXYQCG-OLZOCXBDSA-N 1 2 310.829 1.857 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)Cc1ncn[nH]1 ZINC001025288698 736409195 /nfs/dbraw/zinc/40/91/95/736409195.db2.gz VWKGIBPNINYPBL-HUUCEWRRSA-N 1 2 315.421 1.216 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)Cc1ncn[nH]1 ZINC001025288698 736409196 /nfs/dbraw/zinc/40/91/96/736409196.db2.gz VWKGIBPNINYPBL-HUUCEWRRSA-N 1 2 315.421 1.216 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)c3ncc[nH]3)C2)s1 ZINC001007294728 751371577 /nfs/dbraw/zinc/37/15/77/751371577.db2.gz VXVVJJSBSQCRNS-LLVKDONJSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)c3ncc[nH]3)C2)s1 ZINC001007294728 751371585 /nfs/dbraw/zinc/37/15/85/751371585.db2.gz VXVVJJSBSQCRNS-LLVKDONJSA-N 1 2 315.402 1.737 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4c3CCC4)[C@H]2C1 ZINC001083289164 737083978 /nfs/dbraw/zinc/08/39/78/737083978.db2.gz VUYGGSKLYSNYAM-RBUKOAKNSA-N 1 2 324.424 1.724 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4c3CCC4)[C@H]2C1 ZINC001083289164 737083983 /nfs/dbraw/zinc/08/39/83/737083983.db2.gz VUYGGSKLYSNYAM-RBUKOAKNSA-N 1 2 324.424 1.724 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](C)N(C)C(=O)Cc2c[nH+]c[nH]2)n1 ZINC001104825380 737250673 /nfs/dbraw/zinc/25/06/73/737250673.db2.gz GUCLTCODAQFUOC-LBPRGKRZSA-N 1 2 312.377 1.486 20 30 DDEDLO N#CCN[C@@H]1CC[C@H]2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@H]21 ZINC001026296245 737313892 /nfs/dbraw/zinc/31/38/92/737313892.db2.gz VDVCSNBLJGKEEO-NJZAAPMLSA-N 1 2 309.373 1.527 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](CNc1cc[nH+]c(C)n1)CC(C)C ZINC001104968022 737424265 /nfs/dbraw/zinc/42/42/65/737424265.db2.gz RVHGMOJCCFICHT-HIFRSBDPSA-N 1 2 318.421 1.766 20 30 DDEDLO C#CCOCCC(=O)NC[C@H](Nc1cc[nH+]c(C)n1)C(C)C ZINC001105224694 737631975 /nfs/dbraw/zinc/63/19/75/737631975.db2.gz JWKAYAORVVYIRP-AWEZNQCLSA-N 1 2 304.394 1.378 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3CCC3(C)C)C2)nn1 ZINC001105305487 737794973 /nfs/dbraw/zinc/79/49/73/737794973.db2.gz DURKDXQSECMCKI-OAHLLOKOSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105318663 737837561 /nfs/dbraw/zinc/83/75/61/737837561.db2.gz KFGHTHXHBDEUKY-HNNXBMFYSA-N 1 2 309.389 1.323 20 30 DDEDLO CC(C)c1noc([C@H](C)[NH2+]CCNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001125978116 738039355 /nfs/dbraw/zinc/03/93/55/738039355.db2.gz LTYNVUCVWVAQJZ-JTQLQIEISA-N 1 2 316.365 1.473 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001027336886 738208747 /nfs/dbraw/zinc/20/87/47/738208747.db2.gz VOMJQJBGIGHMNR-DZGCQCFKSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001027336886 738208748 /nfs/dbraw/zinc/20/87/48/738208748.db2.gz VOMJQJBGIGHMNR-DZGCQCFKSA-N 1 2 303.410 1.485 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001028035928 738955930 /nfs/dbraw/zinc/95/59/30/738955930.db2.gz MJQOXJRXPNDSAG-DEPYFDJDSA-N 1 2 310.825 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001028035928 738955934 /nfs/dbraw/zinc/95/59/34/738955934.db2.gz MJQOXJRXPNDSAG-DEPYFDJDSA-N 1 2 310.825 1.743 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001028035929 738956063 /nfs/dbraw/zinc/95/60/63/738956063.db2.gz MJQOXJRXPNDSAG-JEWKUQAESA-N 1 2 310.825 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001028035929 738956065 /nfs/dbraw/zinc/95/60/65/738956065.db2.gz MJQOXJRXPNDSAG-JEWKUQAESA-N 1 2 310.825 1.743 20 30 DDEDLO Cn1ncc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2ccccc2C#N)n1 ZINC001028198499 739150255 /nfs/dbraw/zinc/15/02/55/739150255.db2.gz JMZZWGAKNCXBGA-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1ncc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2ccccc2C#N)n1 ZINC001028198499 739150257 /nfs/dbraw/zinc/15/02/57/739150257.db2.gz JMZZWGAKNCXBGA-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3ccc(Cl)nc3)C[C@H]21 ZINC001075619779 739218078 /nfs/dbraw/zinc/21/80/78/739218078.db2.gz OLSFLAMHDWSIIJ-FPMFFAJLSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3ccc(Cl)nc3)C[C@H]21 ZINC001075619779 739218079 /nfs/dbraw/zinc/21/80/79/739218079.db2.gz OLSFLAMHDWSIIJ-FPMFFAJLSA-N 1 2 318.808 1.927 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+][C@@H](C)c1nnc(CC(C)C)o1 ZINC001126343831 739251889 /nfs/dbraw/zinc/25/18/89/739251889.db2.gz JARKNNLAMDPMOP-ZDUSSCGKSA-N 1 2 306.410 1.838 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001028291432 739305708 /nfs/dbraw/zinc/30/57/08/739305708.db2.gz UKCIKCIQPRZWEZ-UONOGXRCSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001028291432 739305712 /nfs/dbraw/zinc/30/57/12/739305712.db2.gz UKCIKCIQPRZWEZ-UONOGXRCSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001035371353 751435484 /nfs/dbraw/zinc/43/54/84/751435484.db2.gz AKQRYPAXRLZSOQ-JYJNAYRXSA-N 1 2 310.438 1.441 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001035371353 751435487 /nfs/dbraw/zinc/43/54/87/751435487.db2.gz AKQRYPAXRLZSOQ-JYJNAYRXSA-N 1 2 310.438 1.441 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001035370936 751435652 /nfs/dbraw/zinc/43/56/52/751435652.db2.gz QSXITNAKXOJMOL-UONOGXRCSA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001035370936 751435655 /nfs/dbraw/zinc/43/56/55/751435655.db2.gz QSXITNAKXOJMOL-UONOGXRCSA-N 1 2 318.421 1.223 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2scnc2CC)C1 ZINC001035394197 751463605 /nfs/dbraw/zinc/46/36/05/751463605.db2.gz IWCMTXSTLMAUAB-LBPRGKRZSA-N 1 2 307.419 1.159 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2scnc2CC)C1 ZINC001035394197 751463608 /nfs/dbraw/zinc/46/36/08/751463608.db2.gz IWCMTXSTLMAUAB-LBPRGKRZSA-N 1 2 307.419 1.159 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@H](Nc2ccncc2C#N)C1)n1cc[nH+]c1 ZINC001059080919 739891254 /nfs/dbraw/zinc/89/12/54/739891254.db2.gz NISNTDCEAWFZIR-HIFRSBDPSA-N 1 2 324.388 1.236 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cncc(OC)c2C)C1 ZINC001035418019 751498020 /nfs/dbraw/zinc/49/80/20/751498020.db2.gz AHUTULYSCLPBAT-ZDUSSCGKSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cncc(OC)c2C)C1 ZINC001035418019 751498025 /nfs/dbraw/zinc/49/80/25/751498025.db2.gz AHUTULYSCLPBAT-ZDUSSCGKSA-N 1 2 305.378 1.015 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CC[C@H](Nc2ncccc2C#N)C1 ZINC001059127284 740145674 /nfs/dbraw/zinc/14/56/74/740145674.db2.gz HEZNTOYIHJXRHW-AWEZNQCLSA-N 1 2 324.388 1.332 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2coc(C(F)F)c2)C1 ZINC001035486505 751540035 /nfs/dbraw/zinc/54/00/35/751540035.db2.gz BZZKFXNYMIUKNN-GFCCVEGCSA-N 1 2 312.316 1.671 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2coc(C(F)F)c2)C1 ZINC001035486505 751540038 /nfs/dbraw/zinc/54/00/38/751540038.db2.gz BZZKFXNYMIUKNN-GFCCVEGCSA-N 1 2 312.316 1.671 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21)Nc1ccncc1C#N ZINC001098267229 740405797 /nfs/dbraw/zinc/40/57/97/740405797.db2.gz AECNEEGCLBPGPY-AAEUAGOBSA-N 1 2 324.388 1.135 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001035489934 751544073 /nfs/dbraw/zinc/54/40/73/751544073.db2.gz MEMPZKXFDZEWES-OAHLLOKOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001035489934 751544080 /nfs/dbraw/zinc/54/40/80/751544080.db2.gz MEMPZKXFDZEWES-OAHLLOKOSA-N 1 2 314.389 1.570 20 30 DDEDLO CO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#Cc1ccccc1 ZINC001029229705 740470817 /nfs/dbraw/zinc/47/08/17/740470817.db2.gz XWAJLMCIVFDXKM-NXHRZFHOSA-N 1 2 312.413 1.748 20 30 DDEDLO CO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#Cc1ccccc1 ZINC001029229705 740470821 /nfs/dbraw/zinc/47/08/21/740470821.db2.gz XWAJLMCIVFDXKM-NXHRZFHOSA-N 1 2 312.413 1.748 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1c[nH+]cn1C ZINC001032606269 751576026 /nfs/dbraw/zinc/57/60/26/751576026.db2.gz QWTFMIGWXLPCKO-GRDNDAEWSA-N 1 2 302.422 1.808 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc3nonc3c2)C1 ZINC001035493731 751576423 /nfs/dbraw/zinc/57/64/23/751576423.db2.gz NRZHGWATOISRCH-CYBMUJFWSA-N 1 2 316.361 1.230 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc3nonc3c2)C1 ZINC001035493731 751576429 /nfs/dbraw/zinc/57/64/29/751576429.db2.gz NRZHGWATOISRCH-CYBMUJFWSA-N 1 2 316.361 1.230 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc([C@@H](C)CC)no2)C1 ZINC001035541690 751588250 /nfs/dbraw/zinc/58/82/50/751588250.db2.gz JWABATSCPYPETC-KBPBESRZSA-N 1 2 319.405 1.642 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc([C@@H](C)CC)no2)C1 ZINC001035541690 751588254 /nfs/dbraw/zinc/58/82/54/751588254.db2.gz JWABATSCPYPETC-KBPBESRZSA-N 1 2 319.405 1.642 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cncc(Cl)c2)C1 ZINC001035546769 751594235 /nfs/dbraw/zinc/59/42/35/751594235.db2.gz XGVMYHLRLOHLEZ-CQSZACIVSA-N 1 2 309.797 1.742 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cncc(Cl)c2)C1 ZINC001035546769 751594242 /nfs/dbraw/zinc/59/42/42/751594242.db2.gz XGVMYHLRLOHLEZ-CQSZACIVSA-N 1 2 309.797 1.742 20 30 DDEDLO Cc1cccn2cc(CC(=O)N3C[C@H]4CC[C@@H](C3)N4CC#N)[nH+]c12 ZINC001029514913 740990475 /nfs/dbraw/zinc/99/04/75/740990475.db2.gz KFWVERKLIATWAF-IYBDPMFKSA-N 1 2 323.400 1.384 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2ccccn2)cc1 ZINC001037985475 751620688 /nfs/dbraw/zinc/62/06/88/751620688.db2.gz NVRKLSCUKJJRFO-MRXNPFEDSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2ccccn2)cc1 ZINC001037985475 751620692 /nfs/dbraw/zinc/62/06/92/751620692.db2.gz NVRKLSCUKJJRFO-MRXNPFEDSA-N 1 2 306.369 1.958 20 30 DDEDLO Cc1cc(N(C)CCN(C)C(=O)Cn2cc[nH+]c2)c(C#N)cn1 ZINC001105419452 741407652 /nfs/dbraw/zinc/40/76/52/741407652.db2.gz ZEMSIVWYJRBHSC-UHFFFAOYSA-N 1 2 312.377 1.053 20 30 DDEDLO N#CCN[C@H]1CCCCCN(C(=O)[C@H]2CCc3[nH+]ccn3C2)C1 ZINC001088337882 741410663 /nfs/dbraw/zinc/41/06/63/741410663.db2.gz PWLWGQYQCMKAFK-GJZGRUSLSA-N 1 2 315.421 1.330 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2snnc2C(C)C)C1 ZINC001035553918 751635711 /nfs/dbraw/zinc/63/57/11/751635711.db2.gz KHSCUHIRBYDCJK-LBPRGKRZSA-N 1 2 322.434 1.115 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2snnc2C(C)C)C1 ZINC001035553918 751635718 /nfs/dbraw/zinc/63/57/18/751635718.db2.gz KHSCUHIRBYDCJK-LBPRGKRZSA-N 1 2 322.434 1.115 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001035597096 751653382 /nfs/dbraw/zinc/65/33/82/751653382.db2.gz ITKJKIQRSQPNKE-OAHLLOKOSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001035597096 751653386 /nfs/dbraw/zinc/65/33/86/751653386.db2.gz ITKJKIQRSQPNKE-OAHLLOKOSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn(C)nc2C(C)C)C1 ZINC001035602049 751660702 /nfs/dbraw/zinc/66/07/02/751660702.db2.gz DAEIBYHYVACKTF-ZDUSSCGKSA-N 1 2 306.410 1.160 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn(C)nc2C(C)C)C1 ZINC001035602049 751660711 /nfs/dbraw/zinc/66/07/11/751660711.db2.gz DAEIBYHYVACKTF-ZDUSSCGKSA-N 1 2 306.410 1.160 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3ccccc3n2)C1 ZINC001035584367 751677126 /nfs/dbraw/zinc/67/71/26/751677126.db2.gz RBRGHRAOWUJCNI-INIZCTEOSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3ccccc3n2)C1 ZINC001035584367 751677134 /nfs/dbraw/zinc/67/71/34/751677134.db2.gz RBRGHRAOWUJCNI-INIZCTEOSA-N 1 2 323.396 1.689 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2COc3ccccc32)C1 ZINC001035624932 751695878 /nfs/dbraw/zinc/69/58/78/751695878.db2.gz QCINYBJRAPPVSE-GOEBONIOSA-N 1 2 316.401 1.556 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2COc3ccccc32)C1 ZINC001035624932 751695883 /nfs/dbraw/zinc/69/58/83/751695883.db2.gz QCINYBJRAPPVSE-GOEBONIOSA-N 1 2 316.401 1.556 20 30 DDEDLO CN(CCN(C)c1ccncc1C#N)C(=O)CCc1c[nH]c[nH+]1 ZINC001105589137 742133292 /nfs/dbraw/zinc/13/32/92/742133292.db2.gz WQQKVTNRNJLGHC-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO CN(CCN(C)c1ccncc1C#N)C(=O)CCc1c[nH+]c[nH]1 ZINC001105589137 742133294 /nfs/dbraw/zinc/13/32/94/742133294.db2.gz WQQKVTNRNJLGHC-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014612623 742303004 /nfs/dbraw/zinc/30/30/04/742303004.db2.gz UHFRGQFARCOOKY-OLZOCXBDSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014612623 742303011 /nfs/dbraw/zinc/30/30/11/742303011.db2.gz UHFRGQFARCOOKY-OLZOCXBDSA-N 1 2 313.829 1.186 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@H+](Cc3ccc(C#N)s3)C[C@@H]2O)CC1 ZINC001083479667 742333435 /nfs/dbraw/zinc/33/34/35/742333435.db2.gz YMOYSPKAZKWUEB-OLZOCXBDSA-N 1 2 305.403 1.081 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccc(C#N)s3)C[C@@H]2O)CC1 ZINC001083479667 742333439 /nfs/dbraw/zinc/33/34/39/742333439.db2.gz YMOYSPKAZKWUEB-OLZOCXBDSA-N 1 2 305.403 1.081 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cc(CCC)[nH]n3)[C@@H]2C1 ZINC001076115043 742550567 /nfs/dbraw/zinc/55/05/67/742550567.db2.gz WNCLAKVFWZUELH-XJKSGUPXSA-N 1 2 300.406 1.532 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(CCC)[nH]n3)[C@@H]2C1 ZINC001076115043 742550568 /nfs/dbraw/zinc/55/05/68/742550568.db2.gz WNCLAKVFWZUELH-XJKSGUPXSA-N 1 2 300.406 1.532 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC1CC1)NC(=O)c1cccc2[nH+]ccn21 ZINC001076431495 742729348 /nfs/dbraw/zinc/72/93/48/742729348.db2.gz HLRSKLAERKYLBL-ZDUSSCGKSA-N 1 2 324.384 1.372 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)Nc2cnc(C#N)c(C(F)(F)F)c2)C1 ZINC001180756147 742914270 /nfs/dbraw/zinc/91/42/70/742914270.db2.gz GOACBWSUXBXWTF-LLVKDONJSA-N 1 2 314.267 1.241 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)Nc2cnc(C#N)c(C(F)(F)F)c2)C1 ZINC001180756147 742914274 /nfs/dbraw/zinc/91/42/74/742914274.db2.gz GOACBWSUXBXWTF-LLVKDONJSA-N 1 2 314.267 1.241 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001076794846 743000200 /nfs/dbraw/zinc/00/02/00/743000200.db2.gz VUVXIIBIZHZOFE-ZDUSSCGKSA-N 1 2 306.410 1.330 20 30 DDEDLO CC1(C)C[C@H]1C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076895855 743080435 /nfs/dbraw/zinc/08/04/35/743080435.db2.gz VKORJXCJNLXADC-GVDBMIGSSA-N 1 2 312.413 1.246 20 30 DDEDLO CC1(C)C[C@H]1C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076895855 743080446 /nfs/dbraw/zinc/08/04/46/743080446.db2.gz VKORJXCJNLXADC-GVDBMIGSSA-N 1 2 312.413 1.246 20 30 DDEDLO O=C(Cc1ccoc1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076919634 743093707 /nfs/dbraw/zinc/09/37/07/743093707.db2.gz XMFAXPTYNLXATH-QZTJIDSGSA-N 1 2 324.380 1.035 20 30 DDEDLO O=C(Cc1ccoc1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076919634 743093714 /nfs/dbraw/zinc/09/37/14/743093714.db2.gz XMFAXPTYNLXATH-QZTJIDSGSA-N 1 2 324.380 1.035 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001181469802 743207864 /nfs/dbraw/zinc/20/78/64/743207864.db2.gz SDCNKIXIGUOVHL-QWHCGFSZSA-N 1 2 322.409 1.304 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001077139560 743267215 /nfs/dbraw/zinc/26/72/15/743267215.db2.gz UTDYRMBHEZPTPB-YNEHKIRRSA-N 1 2 304.394 1.490 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001077139560 743267221 /nfs/dbraw/zinc/26/72/21/743267221.db2.gz UTDYRMBHEZPTPB-YNEHKIRRSA-N 1 2 304.394 1.490 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CSCC#N)c2C1 ZINC001128218647 743303474 /nfs/dbraw/zinc/30/34/74/743303474.db2.gz YDNIRYDYFDOIMQ-UHFFFAOYSA-N 1 2 319.434 1.148 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CSCC#N)c2C1 ZINC001128218647 743303484 /nfs/dbraw/zinc/30/34/84/743303484.db2.gz YDNIRYDYFDOIMQ-UHFFFAOYSA-N 1 2 319.434 1.148 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)C1 ZINC001077233361 743343729 /nfs/dbraw/zinc/34/37/29/743343729.db2.gz UMTRGFICBIQIJS-YVECIDJPSA-N 1 2 318.339 1.150 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)C1 ZINC001077233361 743343738 /nfs/dbraw/zinc/34/37/38/743343738.db2.gz UMTRGFICBIQIJS-YVECIDJPSA-N 1 2 318.339 1.150 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@H]2CCc3[nH+]ccn3C2)C[C@@]1(C)CNCC#N ZINC001181947107 743404737 /nfs/dbraw/zinc/40/47/37/743404737.db2.gz KRNBIZZEDJQTQM-JKIFEVAISA-N 1 2 315.421 1.043 20 30 DDEDLO C=CCOCC(=O)NCc1cnn2c1C[N@H+](CC[C@@H](C)F)CC2 ZINC001128259650 743407432 /nfs/dbraw/zinc/40/74/32/743407432.db2.gz MXEXPANGMUMZOG-CYBMUJFWSA-N 1 2 324.400 1.266 20 30 DDEDLO C=CCOCC(=O)NCc1cnn2c1C[N@@H+](CC[C@@H](C)F)CC2 ZINC001128259650 743407435 /nfs/dbraw/zinc/40/74/35/743407435.db2.gz MXEXPANGMUMZOG-CYBMUJFWSA-N 1 2 324.400 1.266 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001182108109 743478835 /nfs/dbraw/zinc/47/88/35/743478835.db2.gz GJASMKAKPLEETQ-CQSZACIVSA-N 1 2 316.405 1.831 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C)o1)C2 ZINC001110254062 743512269 /nfs/dbraw/zinc/51/22/69/743512269.db2.gz ZXPIFNBSSUGWAZ-KFWWJZLASA-N 1 2 302.374 1.573 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C)o1)C2 ZINC001110254062 743512274 /nfs/dbraw/zinc/51/22/74/743512274.db2.gz ZXPIFNBSSUGWAZ-KFWWJZLASA-N 1 2 302.374 1.573 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001182214678 743530720 /nfs/dbraw/zinc/53/07/20/743530720.db2.gz FKBGCHHVKHMXBG-WBMJQRKESA-N 1 2 322.409 1.211 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001182214960 743531056 /nfs/dbraw/zinc/53/10/56/743531056.db2.gz MZZSMSXNYGKNMV-WBMJQRKESA-N 1 2 322.409 1.040 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](C)CCOC)CC2)C1 ZINC001105734290 743768612 /nfs/dbraw/zinc/76/86/12/743768612.db2.gz KFLBLPQQQMCWEN-CQSZACIVSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCCC(=O)NC[C@H](O)CNc1nc(C)[nH+]c2c1CCCC2 ZINC001105739954 743772288 /nfs/dbraw/zinc/77/22/88/743772288.db2.gz QVCZWOUSRYHDGZ-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C#C[C@H](CO)[NH2+]Cc1cn(-c2ccccc2)nc1C(=O)OCC ZINC001183103377 743780953 /nfs/dbraw/zinc/78/09/53/743780953.db2.gz KOBAOUUJIFWFJQ-CQSZACIVSA-N 1 2 313.357 1.133 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@H]2CC[N@@H+]2Cc2ccccc2)cn1 ZINC001183758264 743897807 /nfs/dbraw/zinc/89/78/07/743897807.db2.gz RXJYCSUYRQBNLP-QGZVFWFLSA-N 1 2 306.369 1.844 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@H]2CC[N@H+]2Cc2ccccc2)cn1 ZINC001183758264 743897811 /nfs/dbraw/zinc/89/78/11/743897811.db2.gz RXJYCSUYRQBNLP-QGZVFWFLSA-N 1 2 306.369 1.844 20 30 DDEDLO C=C[C@@H](CO)NC(=O)[C@H]1CCCC[N@@H+]1Cc1ccccc1C#N ZINC001183839982 743916959 /nfs/dbraw/zinc/91/69/59/743916959.db2.gz BCYIXYDHXLPCIC-DLBZAZTESA-N 1 2 313.401 1.576 20 30 DDEDLO C=C[C@@H](CO)NC(=O)[C@H]1CCCC[N@H+]1Cc1ccccc1C#N ZINC001183839982 743916962 /nfs/dbraw/zinc/91/69/62/743916962.db2.gz BCYIXYDHXLPCIC-DLBZAZTESA-N 1 2 313.401 1.576 20 30 DDEDLO C=C(CC(=O)OCCCC)C(=O)N1CCc2[nH+]ccn2CC1 ZINC001184308849 744010950 /nfs/dbraw/zinc/01/09/50/744010950.db2.gz ZOHNOJABQAIDNG-UHFFFAOYSA-N 1 2 305.378 1.557 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCOc3ccccc3O2)CC1 ZINC001184705989 744084081 /nfs/dbraw/zinc/08/40/81/744084081.db2.gz CPHFXUVTSDQFAE-MRXNPFEDSA-N 1 2 302.374 1.547 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001184900097 744117403 /nfs/dbraw/zinc/11/74/03/744117403.db2.gz GXQLSPMBEOUDMK-CQSZACIVSA-N 1 2 318.421 1.906 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001185056581 744144996 /nfs/dbraw/zinc/14/49/96/744144996.db2.gz QNZGSAGMNPGDOG-CHWSQXEVSA-N 1 2 322.409 1.509 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@H](C)CC)C2)nn1 ZINC001185871805 744296888 /nfs/dbraw/zinc/29/68/88/744296888.db2.gz CPYGWFQEVCRDTM-ZBFHGGJFSA-N 1 2 317.437 1.601 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@@H](C)CC)C2)nn1 ZINC001185871804 744297084 /nfs/dbraw/zinc/29/70/84/744297084.db2.gz CPYGWFQEVCRDTM-HOCLYGCPSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)C[C@H]2CC[C@@H](C(F)(F)F)O2)C1 ZINC001030712612 744510074 /nfs/dbraw/zinc/51/00/74/744510074.db2.gz UKQBHNIXNPNWEC-NEPJUHHUSA-N 1 2 304.312 1.310 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCn3nccc32)C1 ZINC001014986688 744531178 /nfs/dbraw/zinc/53/11/78/744531178.db2.gz HHEOMDLBEYIASY-STQMWFEESA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCn3nccc32)C1 ZINC001014986688 744531180 /nfs/dbraw/zinc/53/11/80/744531180.db2.gz HHEOMDLBEYIASY-STQMWFEESA-N 1 2 308.813 1.704 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@H]1C[N@H+](C)Cc1nccn1C ZINC001089139817 744583924 /nfs/dbraw/zinc/58/39/24/744583924.db2.gz OGPAZISSMRNVAY-KGLIPLIRSA-N 1 2 303.410 1.393 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@H]1C[N@@H+](C)Cc1nccn1C ZINC001089139817 744583926 /nfs/dbraw/zinc/58/39/26/744583926.db2.gz OGPAZISSMRNVAY-KGLIPLIRSA-N 1 2 303.410 1.393 20 30 DDEDLO CCn1cc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)nn1 ZINC001089140222 744585474 /nfs/dbraw/zinc/58/54/74/744585474.db2.gz ZLVLQHHMFZLNKT-ZFWWWQNUSA-N 1 2 318.425 1.271 20 30 DDEDLO CCn1cc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)nn1 ZINC001089140222 744585478 /nfs/dbraw/zinc/58/54/78/744585478.db2.gz ZLVLQHHMFZLNKT-ZFWWWQNUSA-N 1 2 318.425 1.271 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)n1 ZINC001187827633 744623915 /nfs/dbraw/zinc/62/39/15/744623915.db2.gz KCSMDIQXDWHWSA-VHDGCEQUSA-N 1 2 316.405 1.631 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)n1 ZINC001187827633 744623917 /nfs/dbraw/zinc/62/39/17/744623917.db2.gz KCSMDIQXDWHWSA-VHDGCEQUSA-N 1 2 316.405 1.631 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001187882831 744632210 /nfs/dbraw/zinc/63/22/10/744632210.db2.gz WZZODZVHEPSJNF-OFQRWUPVSA-N 1 2 317.389 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001187882831 744632212 /nfs/dbraw/zinc/63/22/12/744632212.db2.gz WZZODZVHEPSJNF-OFQRWUPVSA-N 1 2 317.389 1.054 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2nc(Cl)sc2Cl)C1 ZINC001077505091 744689831 /nfs/dbraw/zinc/68/98/31/744689831.db2.gz OAWSLFMVQYTKKO-RNFRBKRXSA-N 1 2 322.217 1.411 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2nc(Cl)sc2Cl)C1 ZINC001077505091 744689832 /nfs/dbraw/zinc/68/98/32/744689832.db2.gz OAWSLFMVQYTKKO-RNFRBKRXSA-N 1 2 322.217 1.411 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@]12CCC[C@@H]1N(CC#N)CC2 ZINC000992224452 744705458 /nfs/dbraw/zinc/70/54/58/744705458.db2.gz WLINBPWUSMEWMI-LNCBFAARSA-N 1 2 313.405 1.160 20 30 DDEDLO Cc1nc(N[C@@H](C)C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001089250797 744787104 /nfs/dbraw/zinc/78/71/04/744787104.db2.gz BKXMQKQILIKHIC-WDEREUQCSA-N 1 2 312.377 1.994 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2ccncc2Cl)C1 ZINC001189370016 744877635 /nfs/dbraw/zinc/87/76/35/744877635.db2.gz HJBZCAPAAXQYBS-AWEZNQCLSA-N 1 2 323.824 1.970 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2ccncc2Cl)C1 ZINC001189370016 744877638 /nfs/dbraw/zinc/87/76/38/744877638.db2.gz HJBZCAPAAXQYBS-AWEZNQCLSA-N 1 2 323.824 1.970 20 30 DDEDLO Cc1nc(N[C@@H](C)C[C@H](C)NC(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001089281506 744905506 /nfs/dbraw/zinc/90/55/06/744905506.db2.gz UEYCUVDRLCJZRM-QWRGUYRKSA-N 1 2 312.377 1.994 20 30 DDEDLO N#Cc1cc(C[N@H+]2C[C@](O)(C(F)(F)F)C[C@H]2CO)ccc1F ZINC001205459398 744942012 /nfs/dbraw/zinc/94/20/12/744942012.db2.gz QEWDNTBNQMDFJW-AAEUAGOBSA-N 1 2 318.270 1.557 20 30 DDEDLO N#Cc1cc(C[N@@H+]2C[C@](O)(C(F)(F)F)C[C@H]2CO)ccc1F ZINC001205459398 744942014 /nfs/dbraw/zinc/94/20/14/744942014.db2.gz QEWDNTBNQMDFJW-AAEUAGOBSA-N 1 2 318.270 1.557 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001189744246 744966606 /nfs/dbraw/zinc/96/66/06/744966606.db2.gz PENLCPASTFKOTO-CQSZACIVSA-N 1 2 319.405 1.910 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001189744246 744966610 /nfs/dbraw/zinc/96/66/10/744966610.db2.gz PENLCPASTFKOTO-CQSZACIVSA-N 1 2 319.405 1.910 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2snnc2C)C1 ZINC001189917012 745031567 /nfs/dbraw/zinc/03/15/67/745031567.db2.gz BJTHGHGNJOCCCK-WFASDCNBSA-N 1 2 324.450 1.206 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2snnc2C)C1 ZINC001189917012 745031573 /nfs/dbraw/zinc/03/15/73/745031573.db2.gz BJTHGHGNJOCCCK-WFASDCNBSA-N 1 2 324.450 1.206 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCCS2(=O)=O)C1 ZINC001046370821 745041100 /nfs/dbraw/zinc/04/11/00/745041100.db2.gz CXWVNYXQDWNGKA-ZFWWWQNUSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCCS2(=O)=O)C1 ZINC001046370821 745041105 /nfs/dbraw/zinc/04/11/05/745041105.db2.gz CXWVNYXQDWNGKA-ZFWWWQNUSA-N 1 2 314.451 1.110 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001190094119 745097611 /nfs/dbraw/zinc/09/76/11/745097611.db2.gz HXFKRMVPHYSFEN-GJZGRUSLSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001190094119 745097616 /nfs/dbraw/zinc/09/76/16/745097616.db2.gz HXFKRMVPHYSFEN-GJZGRUSLSA-N 1 2 319.405 1.362 20 30 DDEDLO C=C[C@@H](CO)NC(=O)c1ccc(C[NH+]2CCN(CC)CC2)cc1 ZINC001190866597 745359330 /nfs/dbraw/zinc/35/93/30/745359330.db2.gz RVYKEYGMSCHTKH-KRWDZBQOSA-N 1 2 317.433 1.101 20 30 DDEDLO C[C@H](CCNc1[nH+]cnc2c1cnn2C)NC(=O)C#CC1CC1 ZINC001106302630 745575259 /nfs/dbraw/zinc/57/52/59/745575259.db2.gz CBNFDQVPFYWYRU-LLVKDONJSA-N 1 2 312.377 1.083 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)/C=C\C3CC3)C2)s1 ZINC001192087377 745684050 /nfs/dbraw/zinc/68/40/50/745684050.db2.gz FHRIUYLCRNWLKK-CDNPWBLBSA-N 1 2 317.414 1.247 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)/C=C\C3CC3)C2)s1 ZINC001192087377 745684055 /nfs/dbraw/zinc/68/40/55/745684055.db2.gz FHRIUYLCRNWLKK-CDNPWBLBSA-N 1 2 317.414 1.247 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(NC(C)=O)cc2C)CC1 ZINC001192503525 745798771 /nfs/dbraw/zinc/79/87/71/745798771.db2.gz OWSVDWYCXYPSHQ-UHFFFAOYSA-N 1 2 301.390 1.897 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)CCNc1cc[nH+]c(C)n1 ZINC001106572725 745800947 /nfs/dbraw/zinc/80/09/47/745800947.db2.gz XDADTWFSYJDGCF-BNOWGMLFSA-N 1 2 304.394 1.683 20 30 DDEDLO COCC#CC[N@H+](C)[C@@H]1CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC001192481537 745807950 /nfs/dbraw/zinc/80/79/50/745807950.db2.gz PPWHQPFJUFLGMM-CQSZACIVSA-N 1 2 316.405 1.083 20 30 DDEDLO COCC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC001192481537 745807953 /nfs/dbraw/zinc/80/79/53/745807953.db2.gz PPWHQPFJUFLGMM-CQSZACIVSA-N 1 2 316.405 1.083 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ccnc(O[C@H](C)CC)c2)C1 ZINC001031226835 745907465 /nfs/dbraw/zinc/90/74/65/745907465.db2.gz PWGBVXBBGPJPRO-CYBMUJFWSA-N 1 2 301.390 1.696 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2ccn(C)n2)[C@H]1C ZINC000993321666 745987583 /nfs/dbraw/zinc/98/75/83/745987583.db2.gz ZMGVLTHGQVXXHM-TZMCWYRMSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2ccn(C)n2)[C@H]1C ZINC000993321666 745987586 /nfs/dbraw/zinc/98/75/86/745987586.db2.gz ZMGVLTHGQVXXHM-TZMCWYRMSA-N 1 2 310.829 1.684 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2CCCc3sc(C)nc32)C1 ZINC001031245618 745988640 /nfs/dbraw/zinc/98/86/40/745988640.db2.gz DRFRMNPIRPJAAB-CYBMUJFWSA-N 1 2 303.431 1.695 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1O ZINC001193337469 746068317 /nfs/dbraw/zinc/06/83/17/746068317.db2.gz QPTBQFVQAHLVLO-CHWSQXEVSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1O ZINC001193337469 746068321 /nfs/dbraw/zinc/06/83/21/746068321.db2.gz QPTBQFVQAHLVLO-CHWSQXEVSA-N 1 2 307.394 1.247 20 30 DDEDLO N#Cc1cnccc1NC1CCC(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001060603738 746254787 /nfs/dbraw/zinc/25/47/87/746254787.db2.gz ZLBMVLXGMZSWFV-UHFFFAOYSA-N 1 2 324.388 1.180 20 30 DDEDLO Cn1cnc(C(=O)N[C@H]2CCC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001007271636 752077753 /nfs/dbraw/zinc/07/77/53/752077753.db2.gz GNBNZBAXMBAFSU-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cnc(C(=O)N[C@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001007271636 752077756 /nfs/dbraw/zinc/07/77/56/752077756.db2.gz GNBNZBAXMBAFSU-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCCN1CC#N ZINC000994166170 746470691 /nfs/dbraw/zinc/47/06/91/746470691.db2.gz YPXOMULLGBKYAA-ZFWWWQNUSA-N 1 2 324.388 1.374 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCCN(C(=O)CSCC#N)CC2)s1 ZINC001194913585 746485980 /nfs/dbraw/zinc/48/59/80/746485980.db2.gz YCLJCBVPDNLUDC-UHFFFAOYSA-N 1 2 324.475 1.743 20 30 DDEDLO Cc1ncc(C[N@H+]2CCCN(C(=O)CSCC#N)CC2)s1 ZINC001194913585 746485984 /nfs/dbraw/zinc/48/59/84/746485984.db2.gz YCLJCBVPDNLUDC-UHFFFAOYSA-N 1 2 324.475 1.743 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2ccnc(Cl)c2)CC1 ZINC001195314642 746574935 /nfs/dbraw/zinc/57/49/35/746574935.db2.gz QIRXOUDPXKRKPX-UHFFFAOYSA-N 1 2 323.824 1.972 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2ccnc(Cl)c2)CC1 ZINC001195314642 746574937 /nfs/dbraw/zinc/57/49/37/746574937.db2.gz QIRXOUDPXKRKPX-UHFFFAOYSA-N 1 2 323.824 1.972 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2=CCCCCC2)CC1 ZINC001195604091 746652621 /nfs/dbraw/zinc/65/26/21/746652621.db2.gz DHQUWWTZQUYEAD-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2=CCCCCC2)CC1 ZINC001195604091 746652624 /nfs/dbraw/zinc/65/26/24/746652624.db2.gz DHQUWWTZQUYEAD-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001195675256 746668602 /nfs/dbraw/zinc/66/86/02/746668602.db2.gz UTRURSMEKGSZHQ-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001195675256 746668606 /nfs/dbraw/zinc/66/86/06/746668606.db2.gz UTRURSMEKGSZHQ-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)CCCC)CC1 ZINC001195727036 746677843 /nfs/dbraw/zinc/67/78/43/746677843.db2.gz HMHHOWIEOBLINP-CVEARBPZSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@H](C)CCCC)CC1 ZINC001195727036 746677845 /nfs/dbraw/zinc/67/78/45/746677845.db2.gz HMHHOWIEOBLINP-CVEARBPZSA-N 1 2 321.465 1.485 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnc(C)cn2)C[C@H]1O ZINC001195732536 746679540 /nfs/dbraw/zinc/67/95/40/746679540.db2.gz APQPJHIJHXSDPG-FVQBIDKESA-N 1 2 318.421 1.364 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnc(C)cn2)C[C@H]1O ZINC001195732536 746679541 /nfs/dbraw/zinc/67/95/41/746679541.db2.gz APQPJHIJHXSDPG-FVQBIDKESA-N 1 2 318.421 1.364 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)CCCNc1cc[nH+]c(C)n1 ZINC001114999152 746726548 /nfs/dbraw/zinc/72/65/48/746726548.db2.gz CXYIJVWWWRTRIW-STQMWFEESA-N 1 2 304.394 1.520 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@H]1O ZINC001195932315 746739573 /nfs/dbraw/zinc/73/95/73/746739573.db2.gz MHCCBSOZUHWMQT-INMHGKMJSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@H]1O ZINC001195932315 746739577 /nfs/dbraw/zinc/73/95/77/746739577.db2.gz MHCCBSOZUHWMQT-INMHGKMJSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](CC(=O)NC2CCCC2)CC1 ZINC001196011074 746756169 /nfs/dbraw/zinc/75/61/69/746756169.db2.gz XJORMESEBSGGQP-UHFFFAOYSA-N 1 2 321.465 1.936 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](CC(=O)NC2CCCC2)CC1 ZINC001196011074 746756173 /nfs/dbraw/zinc/75/61/73/746756173.db2.gz XJORMESEBSGGQP-UHFFFAOYSA-N 1 2 321.465 1.936 20 30 DDEDLO CC(C)c1noc([C@H](C)[NH2+]CCNC(=O)CSCC#N)n1 ZINC001123798815 746874456 /nfs/dbraw/zinc/87/44/56/746874456.db2.gz ACNPSNSAJNNBFT-JTQLQIEISA-N 1 2 311.411 1.217 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)[C@H]1CCCO1 ZINC001031534308 746971291 /nfs/dbraw/zinc/97/12/91/746971291.db2.gz FIPZNRMQJLNYGB-QGZVFWFLSA-N 1 2 316.376 1.404 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2CC3(CCC3)C2)CC1 ZINC001196813796 746981660 /nfs/dbraw/zinc/98/16/60/746981660.db2.gz LBKIRDSLTMUPJG-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2CC3(CCC3)C2)CC1 ZINC001196813796 746981664 /nfs/dbraw/zinc/98/16/64/746981664.db2.gz LBKIRDSLTMUPJG-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)CC(=C)C)CC1 ZINC001196895113 747002108 /nfs/dbraw/zinc/00/21/08/747002108.db2.gz GNEBUVPIHTVMTQ-JKSUJKDBSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)CC(=C)C)CC1 ZINC001196895113 747002113 /nfs/dbraw/zinc/00/21/13/747002113.db2.gz GNEBUVPIHTVMTQ-JKSUJKDBSA-N 1 2 319.449 1.261 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)NCCCC)CC2)C1 ZINC001197093385 747048828 /nfs/dbraw/zinc/04/88/28/747048828.db2.gz SEDCDTASMBEGIK-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)NCCCC)CC2)C1 ZINC001197093385 747048832 /nfs/dbraw/zinc/04/88/32/747048832.db2.gz SEDCDTASMBEGIK-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@@H+]([C@@H]3CCNC3=O)CC2)CC1 ZINC001197061518 747050475 /nfs/dbraw/zinc/05/04/75/747050475.db2.gz DCUGCYFTZORTKS-CQSZACIVSA-N 1 2 305.422 1.156 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@H+]([C@@H]3CCNC3=O)CC2)CC1 ZINC001197061518 747050485 /nfs/dbraw/zinc/05/04/85/747050485.db2.gz DCUGCYFTZORTKS-CQSZACIVSA-N 1 2 305.422 1.156 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1nccnc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089490721 747091004 /nfs/dbraw/zinc/09/10/04/747091004.db2.gz UZBKNVHWWLMWQG-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO CCc1cc(C(=O)N2CC[N@H+](C)C[C@@H]2C(=O)OC)ccc1C#N ZINC001197291502 747131906 /nfs/dbraw/zinc/13/19/06/747131906.db2.gz AAJKDWQSANTENF-OAHLLOKOSA-N 1 2 315.373 1.050 20 30 DDEDLO CCc1cc(C(=O)N2CC[N@@H+](C)C[C@@H]2C(=O)OC)ccc1C#N ZINC001197291502 747131913 /nfs/dbraw/zinc/13/19/13/747131913.db2.gz AAJKDWQSANTENF-OAHLLOKOSA-N 1 2 315.373 1.050 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CCN(CC#N)CC(C)(C)C1 ZINC001089548594 747195149 /nfs/dbraw/zinc/19/51/49/747195149.db2.gz MCRUDRWXZYMVBP-CYBMUJFWSA-N 1 2 303.410 1.391 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC1C[NH+](Cc2cncs2)C1 ZINC001031680851 747371887 /nfs/dbraw/zinc/37/18/87/747371887.db2.gz SYYRMJKLCDREJJ-CABCVRRESA-N 1 2 321.446 1.672 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2conc2C)CC1 ZINC001198316228 747449387 /nfs/dbraw/zinc/44/93/87/747449387.db2.gz XAHVFOBIFGLOLV-UHFFFAOYSA-N 1 2 307.394 1.610 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2conc2C)CC1 ZINC001198316228 747449388 /nfs/dbraw/zinc/44/93/88/747449388.db2.gz XAHVFOBIFGLOLV-UHFFFAOYSA-N 1 2 307.394 1.610 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152450357 747507755 /nfs/dbraw/zinc/50/77/55/747507755.db2.gz ZAQWDBYJWRZACI-GXSJLCMTSA-N 1 2 302.216 1.881 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152450357 747507760 /nfs/dbraw/zinc/50/77/60/747507760.db2.gz ZAQWDBYJWRZACI-GXSJLCMTSA-N 1 2 302.216 1.881 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]([NH2+]CCF)c1ccccc1OC ZINC001198693409 747568992 /nfs/dbraw/zinc/56/89/92/747568992.db2.gz BABISLDALOEWSB-RHSMWYFYSA-N 1 2 324.396 1.739 20 30 DDEDLO CN(C(=O)c1cc[nH]n1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001032925149 747670980 /nfs/dbraw/zinc/67/09/80/747670980.db2.gz MRNINERTPLRWDP-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C(=O)c1cc[nH]n1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001032925149 747670982 /nfs/dbraw/zinc/67/09/82/747670982.db2.gz MRNINERTPLRWDP-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C(=O)c1ccn[nH]1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001032925149 747670984 /nfs/dbraw/zinc/67/09/84/747670984.db2.gz MRNINERTPLRWDP-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C(=O)c1ccn[nH]1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001032925149 747670985 /nfs/dbraw/zinc/67/09/85/747670985.db2.gz MRNINERTPLRWDP-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ccc3oc(=O)[nH]c3c2)C1 ZINC001031748956 747728318 /nfs/dbraw/zinc/72/83/18/747728318.db2.gz LNVCJTFMCSMDDW-UHFFFAOYSA-N 1 2 321.764 1.948 20 30 DDEDLO C=CCC[C@@H](C(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001199567260 747896830 /nfs/dbraw/zinc/89/68/30/747896830.db2.gz NZIYOIUMKXLCCE-BRWVUGGUSA-N 1 2 320.408 1.867 20 30 DDEDLO C=CCC[C@@H](C(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001199567260 747896836 /nfs/dbraw/zinc/89/68/36/747896836.db2.gz NZIYOIUMKXLCCE-BRWVUGGUSA-N 1 2 320.408 1.867 20 30 DDEDLO C=CCSc1ncccc1C(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC001199738706 747974583 /nfs/dbraw/zinc/97/45/83/747974583.db2.gz LKXMUOBGWDFFTK-LBPRGKRZSA-N 1 2 307.419 1.420 20 30 DDEDLO C=CCSc1ncccc1C(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC001199738706 747974586 /nfs/dbraw/zinc/97/45/86/747974586.db2.gz LKXMUOBGWDFFTK-LBPRGKRZSA-N 1 2 307.419 1.420 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cnco3)C2)cc1F ZINC001031880312 748104658 /nfs/dbraw/zinc/10/46/58/748104658.db2.gz JTSGZZLGJWYSKZ-UHFFFAOYSA-N 1 2 314.320 1.547 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C[C@H]1O ZINC001090083839 748136030 /nfs/dbraw/zinc/13/60/30/748136030.db2.gz PQGVNNQSGKYTEA-HZPDHXFCSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C[C@H]1O ZINC001090083839 748136034 /nfs/dbraw/zinc/13/60/34/748136034.db2.gz PQGVNNQSGKYTEA-HZPDHXFCSA-N 1 2 319.380 1.405 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cnc(C)o3)C2)cc1 ZINC001031892350 748137574 /nfs/dbraw/zinc/13/75/74/748137574.db2.gz YFQSERRESGKAQE-UHFFFAOYSA-N 1 2 309.369 1.826 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001033081236 748215836 /nfs/dbraw/zinc/21/58/36/748215836.db2.gz DYUMCRKDAAIWIJ-NWDGAFQWSA-N 1 2 307.419 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001033081236 748215840 /nfs/dbraw/zinc/21/58/40/748215840.db2.gz DYUMCRKDAAIWIJ-NWDGAFQWSA-N 1 2 307.419 1.630 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCCc3nn(C)cc32)C1 ZINC001031927484 748224929 /nfs/dbraw/zinc/22/49/29/748224929.db2.gz MIIBMFZDEWSZDZ-ZDUSSCGKSA-N 1 2 322.840 1.641 20 30 DDEDLO CC#CC[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200554865 748277064 /nfs/dbraw/zinc/27/70/64/748277064.db2.gz MMNSZRXAMVWLJW-GHMZBOCLSA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[NH2+]C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCCO1 ZINC001200554865 748277069 /nfs/dbraw/zinc/27/70/69/748277069.db2.gz MMNSZRXAMVWLJW-GHMZBOCLSA-N 1 2 310.291 1.117 20 30 DDEDLO C=C(C)CCC(=O)N1CCC(O)(CNc2cc[nH+]c(C)n2)CC1 ZINC001112450618 748298441 /nfs/dbraw/zinc/29/84/41/748298441.db2.gz HNJWNKVPMWKHQL-UHFFFAOYSA-N 1 2 318.421 1.907 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2C[NH+](Cc3cnc(C)nc3)C2)c1 ZINC001031963873 748312314 /nfs/dbraw/zinc/31/23/14/748312314.db2.gz WYALXLCQOGZGOA-UHFFFAOYSA-N 1 2 321.384 1.023 20 30 DDEDLO Cc1cnc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)cn1 ZINC001004334557 748333476 /nfs/dbraw/zinc/33/34/76/748333476.db2.gz YUFZSKLPBQUPNG-INIZCTEOSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1cnc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)cn1 ZINC001004334557 748333482 /nfs/dbraw/zinc/33/34/82/748333482.db2.gz YUFZSKLPBQUPNG-INIZCTEOSA-N 1 2 313.405 1.625 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)[C@]2(F)CCOC2)CC1 ZINC001004518954 748508278 /nfs/dbraw/zinc/50/82/78/748508278.db2.gz AWSAXBDVFSPBPI-ZBFHGGJFSA-N 1 2 309.385 1.342 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)[C@]2(F)CCOC2)CC1 ZINC001004518954 748508281 /nfs/dbraw/zinc/50/82/81/748508281.db2.gz AWSAXBDVFSPBPI-ZBFHGGJFSA-N 1 2 309.385 1.342 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CC[C@H]1NCC#N ZINC001036243436 752291093 /nfs/dbraw/zinc/29/10/93/752291093.db2.gz ZZUYEBBZDJEQBS-RHSMWYFYSA-N 1 2 323.400 1.836 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+][C@H](C)c1nc(C)no1)OCC ZINC001128540453 748762352 /nfs/dbraw/zinc/76/23/52/748762352.db2.gz WHGKLEKSQUAYJR-DGCLKSJQSA-N 1 2 310.398 1.516 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+][C@@H](C)c1nc(CC)no1)OCC ZINC001128540381 748762691 /nfs/dbraw/zinc/76/26/91/748762691.db2.gz VCDDAKIHGBMWDW-QWHCGFSZSA-N 1 2 324.425 1.770 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2Cc3cccc(F)c3O2)C1 ZINC001032196521 748816026 /nfs/dbraw/zinc/81/60/26/748816026.db2.gz PYSIZIVXIGQHMZ-AWEZNQCLSA-N 1 2 324.783 1.930 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccncn1)C2 ZINC001110608577 748820710 /nfs/dbraw/zinc/82/07/10/748820710.db2.gz VRUMEAIEJYMEER-KFWWJZLASA-N 1 2 320.824 1.883 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccncn1)C2 ZINC001110608577 748820714 /nfs/dbraw/zinc/82/07/14/748820714.db2.gz VRUMEAIEJYMEER-KFWWJZLASA-N 1 2 320.824 1.883 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccn(C)n1)C2 ZINC001110611653 748825049 /nfs/dbraw/zinc/82/50/49/748825049.db2.gz MYEIQBNWMINJCH-KFWWJZLASA-N 1 2 322.840 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccn(C)n1)C2 ZINC001110611653 748825051 /nfs/dbraw/zinc/82/50/51/748825051.db2.gz MYEIQBNWMINJCH-KFWWJZLASA-N 1 2 322.840 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001033245303 749051408 /nfs/dbraw/zinc/05/14/08/749051408.db2.gz CSCYOYKADUZYRK-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001033245303 749051412 /nfs/dbraw/zinc/05/14/12/749051412.db2.gz CSCYOYKADUZYRK-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001114335888 749082195 /nfs/dbraw/zinc/08/21/95/749082195.db2.gz DELFXBOXCYDHTR-PJXYFTJBSA-N 1 2 324.812 1.703 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001114335888 749082202 /nfs/dbraw/zinc/08/22/02/749082202.db2.gz DELFXBOXCYDHTR-PJXYFTJBSA-N 1 2 324.812 1.703 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](OCC)C2CCCC2)C1 ZINC001108099011 749095494 /nfs/dbraw/zinc/09/54/94/749095494.db2.gz DXJGKJXJZPFVBK-FUHWJXTLSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](OCC)C2CCCC2)C1 ZINC001108099011 749095498 /nfs/dbraw/zinc/09/54/98/749095498.db2.gz DXJGKJXJZPFVBK-FUHWJXTLSA-N 1 2 324.465 1.975 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](OC)C2CCC2)C1 ZINC001108100975 749112527 /nfs/dbraw/zinc/11/25/27/749112527.db2.gz BURCLCOJOMPYEP-NVXWUHKLSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](OC)C2CCC2)C1 ZINC001108100975 749112530 /nfs/dbraw/zinc/11/25/30/749112530.db2.gz BURCLCOJOMPYEP-NVXWUHKLSA-N 1 2 308.422 1.032 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115251064 749195540 /nfs/dbraw/zinc/19/55/40/749195540.db2.gz LWPOPQHMFKTGAR-KBPBESRZSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001033432031 749328161 /nfs/dbraw/zinc/32/81/61/749328161.db2.gz KJCIFFHVZSWZJD-MGPQQGTHSA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001033432031 749328164 /nfs/dbraw/zinc/32/81/64/749328164.db2.gz KJCIFFHVZSWZJD-MGPQQGTHSA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001033425468 749343559 /nfs/dbraw/zinc/34/35/59/749343559.db2.gz IZPZXTFISMUOLX-SNVBAGLBSA-N 1 2 310.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001033425468 749343566 /nfs/dbraw/zinc/34/35/66/749343566.db2.gz IZPZXTFISMUOLX-SNVBAGLBSA-N 1 2 310.785 1.012 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)Cc3ccccc3)nn2)C1 ZINC001107183285 749515898 /nfs/dbraw/zinc/51/58/98/749515898.db2.gz KHPMWYJYCIHUMJ-UHFFFAOYSA-N 1 2 311.389 1.180 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc3c(=O)ccoc3c2)C1 ZINC001033586885 749571061 /nfs/dbraw/zinc/57/10/61/749571061.db2.gz CICDNJBFPYAYQB-OAHLLOKOSA-N 1 2 324.380 1.963 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2ccc3c(=O)ccoc3c2)C1 ZINC001033586885 749571064 /nfs/dbraw/zinc/57/10/64/749571064.db2.gz CICDNJBFPYAYQB-OAHLLOKOSA-N 1 2 324.380 1.963 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2ccccc2)C1 ZINC001108362314 761967725 /nfs/dbraw/zinc/96/77/25/761967725.db2.gz MMEFTHHVJYLNDV-IBGZPJMESA-N 1 2 314.429 1.850 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2ccccc2)C1 ZINC001108362314 761967732 /nfs/dbraw/zinc/96/77/32/761967732.db2.gz MMEFTHHVJYLNDV-IBGZPJMESA-N 1 2 314.429 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nnc(C)o1)C2 ZINC001095383747 749685362 /nfs/dbraw/zinc/68/53/62/749685362.db2.gz SWLQLUJQQUZUJJ-WOPDTQHZSA-N 1 2 310.785 1.395 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nnc(C)o1)C2 ZINC001095383747 749685367 /nfs/dbraw/zinc/68/53/67/749685367.db2.gz SWLQLUJQQUZUJJ-WOPDTQHZSA-N 1 2 310.785 1.395 20 30 DDEDLO CN(C(=O)c1c[nH]c(C#N)c1)C1CC[NH+](Cc2ccon2)CC1 ZINC001005277068 749721636 /nfs/dbraw/zinc/72/16/36/749721636.db2.gz XINCWHRSZGSXOM-UHFFFAOYSA-N 1 2 313.361 1.611 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095411063 749755747 /nfs/dbraw/zinc/75/57/47/749755747.db2.gz GGPGFHUUCXLQDE-MRXNPFEDSA-N 1 2 304.394 1.197 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@]1(C)C[N@H+](CC#CC)CCO1 ZINC001108367827 761986456 /nfs/dbraw/zinc/98/64/56/761986456.db2.gz QWZUTQZPYOAOCU-HXUWFJFHSA-N 1 2 324.424 1.441 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001108367827 761986461 /nfs/dbraw/zinc/98/64/61/761986461.db2.gz QWZUTQZPYOAOCU-HXUWFJFHSA-N 1 2 324.424 1.441 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](OC)C2CCCC2)C1 ZINC001108369225 761988522 /nfs/dbraw/zinc/98/85/22/761988522.db2.gz MXJIUFNUVPVYKJ-AEFFLSMTSA-N 1 2 322.449 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](OC)C2CCCC2)C1 ZINC001108369225 761988525 /nfs/dbraw/zinc/98/85/25/761988525.db2.gz MXJIUFNUVPVYKJ-AEFFLSMTSA-N 1 2 322.449 1.422 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1C1CC1 ZINC001039389185 761989494 /nfs/dbraw/zinc/98/94/94/761989494.db2.gz GRCSNOGQTWSBEQ-OLZOCXBDSA-N 1 2 316.430 1.728 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1C1CC1 ZINC001039389185 761989499 /nfs/dbraw/zinc/98/94/99/761989499.db2.gz GRCSNOGQTWSBEQ-OLZOCXBDSA-N 1 2 316.430 1.728 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]1C[NH2+]Cc1nnc(C2CC2)o1 ZINC001044962880 749919073 /nfs/dbraw/zinc/91/90/73/749919073.db2.gz QWCVNFJGNPUWCE-JQWIXIFHSA-N 1 2 303.366 1.187 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cn(C)c(=O)cn2)C1 ZINC001033906321 750100347 /nfs/dbraw/zinc/10/03/47/750100347.db2.gz ZGIHSTYKPXLFNN-LBPRGKRZSA-N 1 2 324.812 1.069 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cn(C)c(=O)cn2)C1 ZINC001033906321 750100352 /nfs/dbraw/zinc/10/03/52/750100352.db2.gz ZGIHSTYKPXLFNN-LBPRGKRZSA-N 1 2 324.812 1.069 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccnc2)c1 ZINC001032337682 750244929 /nfs/dbraw/zinc/24/49/29/750244929.db2.gz FUYJAUAHKZRCLE-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccnc2)c1 ZINC001032337682 750244935 /nfs/dbraw/zinc/24/49/35/750244935.db2.gz FUYJAUAHKZRCLE-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001034015433 750254139 /nfs/dbraw/zinc/25/41/39/750254139.db2.gz OFODEKVYWSLNNJ-QWHCGFSZSA-N 1 2 303.410 1.018 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001034015433 750254145 /nfs/dbraw/zinc/25/41/45/750254145.db2.gz OFODEKVYWSLNNJ-QWHCGFSZSA-N 1 2 303.410 1.018 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001034015433 750254151 /nfs/dbraw/zinc/25/41/51/750254151.db2.gz OFODEKVYWSLNNJ-QWHCGFSZSA-N 1 2 303.410 1.018 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001034015433 750254156 /nfs/dbraw/zinc/25/41/56/750254156.db2.gz OFODEKVYWSLNNJ-QWHCGFSZSA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2CC(F)(F)C2)[C@@H](O)C1 ZINC001090183909 750358128 /nfs/dbraw/zinc/35/81/28/750358128.db2.gz YXIOJRRPJNSWOK-QWRGUYRKSA-N 1 2 308.756 1.336 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2CC(F)(F)C2)[C@@H](O)C1 ZINC001090183909 750358131 /nfs/dbraw/zinc/35/81/31/750358131.db2.gz YXIOJRRPJNSWOK-QWRGUYRKSA-N 1 2 308.756 1.336 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC001077767441 750583426 /nfs/dbraw/zinc/58/34/26/750583426.db2.gz BYWDJFMVDIAKHP-CHWSQXEVSA-N 1 2 304.321 1.533 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC001077767441 750583432 /nfs/dbraw/zinc/58/34/32/750583432.db2.gz BYWDJFMVDIAKHP-CHWSQXEVSA-N 1 2 304.321 1.533 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001111402100 750615837 /nfs/dbraw/zinc/61/58/37/750615837.db2.gz FQIBONNNPQIYRU-LSDHHAIUSA-N 1 2 316.405 1.415 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001108148259 750778763 /nfs/dbraw/zinc/77/87/63/750778763.db2.gz GEFAAPRUKZYLSN-NWDGAFQWSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001108148259 750778769 /nfs/dbraw/zinc/77/87/69/750778769.db2.gz GEFAAPRUKZYLSN-NWDGAFQWSA-N 1 2 312.377 1.472 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@]1(C)C[N@H+](CC#CC)CCO1 ZINC001107952584 750840452 /nfs/dbraw/zinc/84/04/52/750840452.db2.gz HSZAIIFHDBEWOR-SOLBZPMBSA-N 1 2 320.433 1.198 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001107952584 750840461 /nfs/dbraw/zinc/84/04/61/750840461.db2.gz HSZAIIFHDBEWOR-SOLBZPMBSA-N 1 2 320.433 1.198 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(C(F)F)CCC2)C1 ZINC001108158787 750878222 /nfs/dbraw/zinc/87/82/22/750878222.db2.gz KTUDTKSHDMVBLW-OAHLLOKOSA-N 1 2 314.376 1.652 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2(C(F)F)CCC2)C1 ZINC001108158787 750878230 /nfs/dbraw/zinc/87/82/30/750878230.db2.gz KTUDTKSHDMVBLW-OAHLLOKOSA-N 1 2 314.376 1.652 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001108163821 750920607 /nfs/dbraw/zinc/92/06/07/750920607.db2.gz PPQAYQFSSYBILE-QAPCUYQASA-N 1 2 315.417 1.505 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001108163821 750920613 /nfs/dbraw/zinc/92/06/13/750920613.db2.gz PPQAYQFSSYBILE-QAPCUYQASA-N 1 2 315.417 1.505 20 30 DDEDLO Cc1nc(NC[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001060849685 750987580 /nfs/dbraw/zinc/98/75/80/750987580.db2.gz FECJIBDXKJTACL-LBPRGKRZSA-N 1 2 310.361 1.559 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCN(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001067299757 762088757 /nfs/dbraw/zinc/08/87/57/762088757.db2.gz JBCKJKNEUNLBTJ-UHFFFAOYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C(F)F)CC2(CCOCC2)C1 ZINC001089917128 751071895 /nfs/dbraw/zinc/07/18/95/751071895.db2.gz TVFNKVXCFHWLLS-LLVKDONJSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C(F)F)CC2(CCOCC2)C1 ZINC001089917128 751071902 /nfs/dbraw/zinc/07/19/02/751071902.db2.gz TVFNKVXCFHWLLS-LLVKDONJSA-N 1 2 322.783 1.991 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cn(C)nn4)C[C@H]32)CCC1 ZINC001114785333 751077654 /nfs/dbraw/zinc/07/76/54/751077654.db2.gz MEOWDUYDYZOWET-FICVDOATSA-N 1 2 315.421 1.108 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cn(C)nn4)C[C@H]32)CCC1 ZINC001114785333 751077662 /nfs/dbraw/zinc/07/76/62/751077662.db2.gz MEOWDUYDYZOWET-FICVDOATSA-N 1 2 315.421 1.108 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2ccc(C)cn12 ZINC001032519043 751176931 /nfs/dbraw/zinc/17/69/31/751176931.db2.gz MMYUSQOSWZUCOW-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2ccc(C)cn12 ZINC001032519043 751176937 /nfs/dbraw/zinc/17/69/37/751176937.db2.gz MMYUSQOSWZUCOW-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO C=CCC[NH+]1CC(N(C)C(=O)c2[nH]cnc2C(F)(F)F)C1 ZINC001042934654 751262410 /nfs/dbraw/zinc/26/24/10/751262410.db2.gz KSSSGVJNPDJFGZ-UHFFFAOYSA-N 1 2 302.300 1.761 20 30 DDEDLO C=CCC[NH+]1CC(N(C)C(=O)c2nc[nH]c2C(F)(F)F)C1 ZINC001042934654 751262413 /nfs/dbraw/zinc/26/24/13/751262413.db2.gz KSSSGVJNPDJFGZ-UHFFFAOYSA-N 1 2 302.300 1.761 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)CC2)cn1 ZINC001066794469 751331507 /nfs/dbraw/zinc/33/15/07/751331507.db2.gz SZSVXIRJBOEPIY-CYBMUJFWSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)CC2)cn1 ZINC001066794470 751331548 /nfs/dbraw/zinc/33/15/48/751331548.db2.gz SZSVXIRJBOEPIY-ZDUSSCGKSA-N 1 2 324.388 1.394 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001007852364 752392118 /nfs/dbraw/zinc/39/21/18/752392118.db2.gz RJUZENFUJQIGGW-CQSZACIVSA-N 1 2 323.396 1.746 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001007852364 752392130 /nfs/dbraw/zinc/39/21/30/752392130.db2.gz RJUZENFUJQIGGW-CQSZACIVSA-N 1 2 323.396 1.746 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)C1(CC)CC1)CC2 ZINC001128040557 752417132 /nfs/dbraw/zinc/41/71/32/752417132.db2.gz RNESQBVDTZZEFC-CYBMUJFWSA-N 1 2 315.421 1.012 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C(C)C)nc1C ZINC001032667140 752677092 /nfs/dbraw/zinc/67/70/92/752677092.db2.gz VJXLYHXTNSRAPY-GJZGRUSLSA-N 1 2 312.417 1.830 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C(C)C)nc1C ZINC001032667140 752677093 /nfs/dbraw/zinc/67/70/93/752677093.db2.gz VJXLYHXTNSRAPY-GJZGRUSLSA-N 1 2 312.417 1.830 20 30 DDEDLO C[C@@H](CNc1cccc(F)c1C#N)NC(=O)Cn1cc[nH+]c1 ZINC001108431080 762151157 /nfs/dbraw/zinc/15/11/57/762151157.db2.gz USYFFKOGRWAVTG-NSHDSACASA-N 1 2 301.325 1.511 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](Cc3cnnn3CC)C2)C1 ZINC001008438255 752727995 /nfs/dbraw/zinc/72/79/95/752727995.db2.gz VGLNNUNKMXEQCC-AWEZNQCLSA-N 1 2 317.437 1.735 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](Cc3cnnn3CC)C2)C1 ZINC001008438255 752728002 /nfs/dbraw/zinc/72/80/02/752728002.db2.gz VGLNNUNKMXEQCC-AWEZNQCLSA-N 1 2 317.437 1.735 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CCC)c1C ZINC001032700494 752742638 /nfs/dbraw/zinc/74/26/38/752742638.db2.gz OKADIBQWOCXOLC-GJZGRUSLSA-N 1 2 300.406 1.524 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CCC)c1C ZINC001032700494 752742644 /nfs/dbraw/zinc/74/26/44/752742644.db2.gz OKADIBQWOCXOLC-GJZGRUSLSA-N 1 2 300.406 1.524 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cccc(=O)n2C)C1 ZINC001008485554 752759584 /nfs/dbraw/zinc/75/95/84/752759584.db2.gz INHUPERQJHFSET-GFCCVEGCSA-N 1 2 309.797 1.332 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cccc(=O)n2C)C1 ZINC001008485554 752759589 /nfs/dbraw/zinc/75/95/89/752759589.db2.gz INHUPERQJHFSET-GFCCVEGCSA-N 1 2 309.797 1.332 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@H]3CCC[C@@H](C2)N3CC#N)c[nH+]1 ZINC001039677654 762160161 /nfs/dbraw/zinc/16/01/61/762160161.db2.gz OZTJUOVDEGGCSU-GASCZTMLSA-N 1 2 315.421 1.516 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)n2nc(C)cc2C)C1 ZINC001108433585 762168847 /nfs/dbraw/zinc/16/88/47/762168847.db2.gz GUJYBVIKMYXIAK-DOTOQJQBSA-N 1 2 320.437 1.454 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)n2nc(C)cc2C)C1 ZINC001108433585 762168853 /nfs/dbraw/zinc/16/88/53/762168853.db2.gz GUJYBVIKMYXIAK-DOTOQJQBSA-N 1 2 320.437 1.454 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CN(C)c2ccccc2)C1 ZINC001108433856 762170176 /nfs/dbraw/zinc/17/01/76/762170176.db2.gz LCEMXWFJAUSALI-GOSISDBHSA-N 1 2 317.433 1.516 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CN(C)c2ccccc2)C1 ZINC001108433856 762170180 /nfs/dbraw/zinc/17/01/80/762170180.db2.gz LCEMXWFJAUSALI-GOSISDBHSA-N 1 2 317.433 1.516 20 30 DDEDLO CSc1ncc(C#N)c(NCCc2cn3ccccc3[nH+]2)n1 ZINC001169000583 762172074 /nfs/dbraw/zinc/17/20/74/762172074.db2.gz RGAKFLMWECEMGX-UHFFFAOYSA-N 1 2 310.386 1.794 20 30 DDEDLO C=CC(C)(C)C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C2 ZINC000999732997 753111389 /nfs/dbraw/zinc/11/13/89/753111389.db2.gz AONSRBDSLQCRBZ-AGIUHOORSA-N 1 2 316.405 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001009365131 753169964 /nfs/dbraw/zinc/16/99/64/753169964.db2.gz UIPMEQATPURTSE-ZIAGYGMSSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001009365131 753169966 /nfs/dbraw/zinc/16/99/66/753169966.db2.gz UIPMEQATPURTSE-ZIAGYGMSSA-N 1 2 313.829 1.188 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2CCC(OC)CC2)C1 ZINC001108167670 753259789 /nfs/dbraw/zinc/25/97/89/753259789.db2.gz OMILDRPPLDCMIR-LEOMRAHMSA-N 1 2 322.449 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2CCC(OC)CC2)C1 ZINC001108167670 753259792 /nfs/dbraw/zinc/25/97/92/753259792.db2.gz OMILDRPPLDCMIR-LEOMRAHMSA-N 1 2 322.449 1.422 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001009620333 753282213 /nfs/dbraw/zinc/28/22/13/753282213.db2.gz RXLVWLCQBFGIOV-QWHCGFSZSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001009620333 753282219 /nfs/dbraw/zinc/28/22/19/753282219.db2.gz RXLVWLCQBFGIOV-QWHCGFSZSA-N 1 2 323.828 1.174 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001062686527 753375274 /nfs/dbraw/zinc/37/52/74/753375274.db2.gz PWWSVVWDPZCAGD-UHFFFAOYSA-N 1 2 304.394 1.464 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001062686527 753375281 /nfs/dbraw/zinc/37/52/81/753375281.db2.gz PWWSVVWDPZCAGD-UHFFFAOYSA-N 1 2 304.394 1.464 20 30 DDEDLO CC#CCCCC(=O)NCC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001077902692 753375405 /nfs/dbraw/zinc/37/54/05/753375405.db2.gz QSNFHNRQEASFQL-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001077930411 753441452 /nfs/dbraw/zinc/44/14/52/753441452.db2.gz XGCDNWHAFCIIKL-QWHCGFSZSA-N 1 2 306.410 1.566 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001060914039 753451378 /nfs/dbraw/zinc/45/13/78/753451378.db2.gz PWLJMGHSAFYZTR-LBPRGKRZSA-N 1 2 310.361 1.179 20 30 DDEDLO CC1(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C[C@H]2O)CC1 ZINC001090286613 753633809 /nfs/dbraw/zinc/63/38/09/753633809.db2.gz PGUAVUAXOQOPRI-HZPDHXFCSA-N 1 2 313.401 1.410 20 30 DDEDLO CC1(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C[C@H]2O)CC1 ZINC001090286613 753633812 /nfs/dbraw/zinc/63/38/12/753633812.db2.gz PGUAVUAXOQOPRI-HZPDHXFCSA-N 1 2 313.401 1.410 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1ccon1 ZINC001010288513 753735328 /nfs/dbraw/zinc/73/53/28/753735328.db2.gz XCNJARRCYWCIAJ-HNNXBMFYSA-N 1 2 313.332 1.670 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1ccon1 ZINC001010288513 753735332 /nfs/dbraw/zinc/73/53/32/753735332.db2.gz XCNJARRCYWCIAJ-HNNXBMFYSA-N 1 2 313.332 1.670 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](OC)C2CCCCC2)C1 ZINC001108449844 762238460 /nfs/dbraw/zinc/23/84/60/762238460.db2.gz GQYZOPMGMOMSEQ-FUHWJXTLSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](OC)C2CCCCC2)C1 ZINC001108449844 762238463 /nfs/dbraw/zinc/23/84/63/762238463.db2.gz GQYZOPMGMOMSEQ-FUHWJXTLSA-N 1 2 324.465 1.975 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cnsn3)C2)s1 ZINC001010331260 753771018 /nfs/dbraw/zinc/77/10/18/753771018.db2.gz ROMHVRNLPGWVGW-VIFPVBQESA-N 1 2 319.415 1.476 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cnsn3)C2)s1 ZINC001010331260 753771021 /nfs/dbraw/zinc/77/10/21/753771021.db2.gz ROMHVRNLPGWVGW-VIFPVBQESA-N 1 2 319.415 1.476 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](N(C)C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001079045439 753833197 /nfs/dbraw/zinc/83/31/97/753833197.db2.gz GDZLQLJAICDEBF-CQSZACIVSA-N 1 2 318.421 1.758 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2cccc(Cl)c2)C1 ZINC001078206268 753862433 /nfs/dbraw/zinc/86/24/33/753862433.db2.gz VNVKZRWIGTZCQZ-NILFDRSVSA-N 1 2 306.793 1.238 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2cccc(Cl)c2)C1 ZINC001078206268 753862441 /nfs/dbraw/zinc/86/24/41/753862441.db2.gz VNVKZRWIGTZCQZ-NILFDRSVSA-N 1 2 306.793 1.238 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@@H]2CCN(c3cc[nH+]c(C)n3)C2)c1 ZINC001063028574 753921078 /nfs/dbraw/zinc/92/10/78/753921078.db2.gz PKKPWQBMKAIVCK-MRXNPFEDSA-N 1 2 321.384 1.512 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001060998528 754259300 /nfs/dbraw/zinc/25/93/00/754259300.db2.gz XOTMEJOOZGTLJS-CYBMUJFWSA-N 1 2 324.388 1.488 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)CCn1cc[nH+]c1 ZINC001066988517 754268403 /nfs/dbraw/zinc/26/84/03/754268403.db2.gz QCLHTVSPSFBARZ-UHFFFAOYSA-N 1 2 306.410 1.546 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001011329724 754436897 /nfs/dbraw/zinc/43/68/97/754436897.db2.gz BXLACYOATMHDFJ-MRXNPFEDSA-N 1 2 304.394 1.156 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCC[C@@H]2NC(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001064114621 754522196 /nfs/dbraw/zinc/52/21/96/754522196.db2.gz VLSXPCJIQBFPHG-KBPBESRZSA-N 1 2 324.388 1.616 20 30 DDEDLO CN(c1ccncc1C#N)[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001056997415 762309487 /nfs/dbraw/zinc/30/94/87/762309487.db2.gz BETKXCGXXBQPNB-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064208632 754563470 /nfs/dbraw/zinc/56/34/70/754563470.db2.gz HSQHOIGLDGSHCX-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccncc2C#N)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001040113173 762391068 /nfs/dbraw/zinc/39/10/68/762391068.db2.gz NFMIXAGGYCUPDG-JSGCOSHPSA-N 1 2 324.388 1.132 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001040117745 762393302 /nfs/dbraw/zinc/39/33/02/762393302.db2.gz BHSOVZNPHGQOCU-WBMJQRKESA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001040117745 762393308 /nfs/dbraw/zinc/39/33/08/762393308.db2.gz BHSOVZNPHGQOCU-WBMJQRKESA-N 1 2 317.393 1.352 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc3n[nH]nc32)C1 ZINC001014525214 755778227 /nfs/dbraw/zinc/77/82/27/755778227.db2.gz BDVIBJRCRJFVSR-SNVBAGLBSA-N 1 2 305.769 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc3n[nH]nc32)C1 ZINC001014525214 755778230 /nfs/dbraw/zinc/77/82/30/755778230.db2.gz BDVIBJRCRJFVSR-SNVBAGLBSA-N 1 2 305.769 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001080345909 755860009 /nfs/dbraw/zinc/86/00/09/755860009.db2.gz FCXVGZAAHFYFTK-IRUJWGPZSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001080345909 755860015 /nfs/dbraw/zinc/86/00/15/755860015.db2.gz FCXVGZAAHFYFTK-IRUJWGPZSA-N 1 2 323.828 1.414 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3csnn3)C2)s1 ZINC001015425632 756294977 /nfs/dbraw/zinc/29/49/77/756294977.db2.gz YSWQBPVOFVRIHI-VIFPVBQESA-N 1 2 319.415 1.476 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3csnn3)C2)s1 ZINC001015425632 756294981 /nfs/dbraw/zinc/29/49/81/756294981.db2.gz YSWQBPVOFVRIHI-VIFPVBQESA-N 1 2 319.415 1.476 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnn3cccnc23)C1 ZINC001015481441 756332313 /nfs/dbraw/zinc/33/23/13/756332313.db2.gz GINXGAVHMWUROF-LLVKDONJSA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnn3cccnc23)C1 ZINC001015481441 756332319 /nfs/dbraw/zinc/33/23/19/756332319.db2.gz GINXGAVHMWUROF-LLVKDONJSA-N 1 2 305.769 1.286 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001015725978 756498661 /nfs/dbraw/zinc/49/86/61/756498661.db2.gz HDQIEJBSTOQUAP-XHSDSOJGSA-N 1 2 316.405 1.067 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2cnc(C)nc2)C1 ZINC001015725978 756498664 /nfs/dbraw/zinc/49/86/64/756498664.db2.gz HDQIEJBSTOQUAP-XHSDSOJGSA-N 1 2 316.405 1.067 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)n1 ZINC001015819759 756570362 /nfs/dbraw/zinc/57/03/62/756570362.db2.gz QIXNUUHKFMHCNW-LLVKDONJSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)n1 ZINC001015819759 756570365 /nfs/dbraw/zinc/57/03/65/756570365.db2.gz QIXNUUHKFMHCNW-LLVKDONJSA-N 1 2 315.402 1.656 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(F)c2Cl)[C@H](OC)C1 ZINC001082174667 756662445 /nfs/dbraw/zinc/66/24/45/756662445.db2.gz QPAQICYOBHCFAW-CHWSQXEVSA-N 1 2 310.756 1.541 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(F)c2Cl)[C@H](OC)C1 ZINC001082174667 756662449 /nfs/dbraw/zinc/66/24/49/756662449.db2.gz QPAQICYOBHCFAW-CHWSQXEVSA-N 1 2 310.756 1.541 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sc(N(C)C)nc2C)[C@H](OC)C1 ZINC001082207352 756684583 /nfs/dbraw/zinc/68/45/83/756684583.db2.gz SBNXIZOPXUQJNQ-VXGBXAGGSA-N 1 2 324.450 1.133 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sc(N(C)C)nc2C)[C@H](OC)C1 ZINC001082207352 756684585 /nfs/dbraw/zinc/68/45/85/756684585.db2.gz SBNXIZOPXUQJNQ-VXGBXAGGSA-N 1 2 324.450 1.133 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2cc3ccccc3n2)C1 ZINC001016006823 756715504 /nfs/dbraw/zinc/71/55/04/756715504.db2.gz JOGCDFZHBFHNEG-AWEZNQCLSA-N 1 2 318.808 1.979 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2cc3ccccc3n2)C1 ZINC001016006823 756715508 /nfs/dbraw/zinc/71/55/08/756715508.db2.gz JOGCDFZHBFHNEG-AWEZNQCLSA-N 1 2 318.808 1.979 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1snnc1C1CC1)C2 ZINC001097264042 757029168 /nfs/dbraw/zinc/02/91/68/757029168.db2.gz HSRDWFMCQNLJKJ-UPJWGTAASA-N 1 2 316.430 1.774 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1snnc1C1CC1)C2 ZINC001097264042 757029178 /nfs/dbraw/zinc/02/91/78/757029178.db2.gz HSRDWFMCQNLJKJ-UPJWGTAASA-N 1 2 316.430 1.774 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCO[C@@H]2C[N@H+](Cc3cccc(C#N)c3)C[C@@H]21 ZINC001083026260 757065423 /nfs/dbraw/zinc/06/54/23/757065423.db2.gz UALXSLSXWJOPHQ-XOKHGSTOSA-N 1 2 324.384 1.130 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCO[C@@H]2C[N@@H+](Cc3cccc(C#N)c3)C[C@@H]21 ZINC001083026260 757065429 /nfs/dbraw/zinc/06/54/29/757065429.db2.gz UALXSLSXWJOPHQ-XOKHGSTOSA-N 1 2 324.384 1.130 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCO[C@@H]2C[N@H+](Cc3ccc(F)cc3)C[C@@H]21 ZINC001083026314 757066005 /nfs/dbraw/zinc/06/60/05/757066005.db2.gz WEMGXCWUESEDFV-UHOFOFEASA-N 1 2 317.364 1.397 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccc(F)cc3)C[C@@H]21 ZINC001083026314 757066011 /nfs/dbraw/zinc/06/60/11/757066011.db2.gz WEMGXCWUESEDFV-UHOFOFEASA-N 1 2 317.364 1.397 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C3CCC4(CC4)CC3)[C@H]2C1 ZINC001083145690 757153182 /nfs/dbraw/zinc/15/31/82/757153182.db2.gz WHUDPIKUIPYJKI-JKSUJKDBSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C3CCC4(CC4)CC3)[C@H]2C1 ZINC001083145690 757153186 /nfs/dbraw/zinc/15/31/86/757153186.db2.gz WHUDPIKUIPYJKI-JKSUJKDBSA-N 1 2 302.418 1.502 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc3ncsc3c2)[C@@H](O)C1 ZINC001084024698 757229826 /nfs/dbraw/zinc/22/98/26/757229826.db2.gz LLMOEVCRKAMAOM-KGLIPLIRSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3ncsc3c2)[C@@H](O)C1 ZINC001084024698 757229833 /nfs/dbraw/zinc/22/98/33/757229833.db2.gz LLMOEVCRKAMAOM-KGLIPLIRSA-N 1 2 315.398 1.095 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c3ccccc3oc2CC)[C@@H](O)C1 ZINC001084094404 757280996 /nfs/dbraw/zinc/28/09/96/757280996.db2.gz YIIAUILEABCMCY-KGLIPLIRSA-N 1 2 312.369 1.403 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c3ccccc3oc2CC)[C@@H](O)C1 ZINC001084094404 757281003 /nfs/dbraw/zinc/28/10/03/757281003.db2.gz YIIAUILEABCMCY-KGLIPLIRSA-N 1 2 312.369 1.403 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)sc1C ZINC001084235827 757436322 /nfs/dbraw/zinc/43/63/22/757436322.db2.gz OTYXQYVWMBPFPR-ZLKJLUDKSA-N 1 2 318.446 1.952 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)sc1C ZINC001084235827 757436326 /nfs/dbraw/zinc/43/63/26/757436326.db2.gz OTYXQYVWMBPFPR-ZLKJLUDKSA-N 1 2 318.446 1.952 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)sc1C ZINC001084235826 757436552 /nfs/dbraw/zinc/43/65/52/757436552.db2.gz OTYXQYVWMBPFPR-LERXQTSPSA-N 1 2 318.446 1.952 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)sc1C ZINC001084235826 757436556 /nfs/dbraw/zinc/43/65/56/757436556.db2.gz OTYXQYVWMBPFPR-LERXQTSPSA-N 1 2 318.446 1.952 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)Nc1ncccc1C#N ZINC001098293984 757862372 /nfs/dbraw/zinc/86/23/72/757862372.db2.gz FSUDNXUXHKZNAL-MRVWCRGKSA-N 1 2 324.388 1.407 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Oc1ccccc1F ZINC001017578465 758046830 /nfs/dbraw/zinc/04/68/30/758046830.db2.gz SHQPONHHSMWKIZ-SOUVJXGZSA-N 1 2 316.376 1.901 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Oc1ccccc1F ZINC001017578465 758046839 /nfs/dbraw/zinc/04/68/39/758046839.db2.gz SHQPONHHSMWKIZ-SOUVJXGZSA-N 1 2 316.376 1.901 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1[C@@H](C)CC ZINC001017590393 758058199 /nfs/dbraw/zinc/05/81/99/758058199.db2.gz WEUPAHXFXZVABD-SOUVJXGZSA-N 1 2 300.406 1.776 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1[C@@H](C)CC ZINC001017590393 758058208 /nfs/dbraw/zinc/05/82/08/758058208.db2.gz WEUPAHXFXZVABD-SOUVJXGZSA-N 1 2 300.406 1.776 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)[C@@H]3CCCc4[nH]ncc43)C[C@@H]21 ZINC001084940399 758079466 /nfs/dbraw/zinc/07/94/66/758079466.db2.gz BDOHEKUEQOILON-CPUCHLNUSA-N 1 2 312.417 1.386 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)[C@@H]3CCCc4[nH]ncc43)C[C@@H]21 ZINC001084940399 758079477 /nfs/dbraw/zinc/07/94/77/758079477.db2.gz BDOHEKUEQOILON-CPUCHLNUSA-N 1 2 312.417 1.386 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnn2c1CCCC2 ZINC001017632304 758101498 /nfs/dbraw/zinc/10/14/98/758101498.db2.gz BFFYYADDMWKGTG-IYBDPMFKSA-N 1 2 312.417 1.070 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnn2c1CCCC2 ZINC001017632304 758101502 /nfs/dbraw/zinc/10/15/02/758101502.db2.gz BFFYYADDMWKGTG-IYBDPMFKSA-N 1 2 312.417 1.070 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncn2ccc(C)cc12 ZINC001017688706 758154137 /nfs/dbraw/zinc/15/41/37/758154137.db2.gz ITSGOLKTTQFNTQ-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncn2ccc(C)cc12 ZINC001017688706 758154141 /nfs/dbraw/zinc/15/41/41/758154141.db2.gz ITSGOLKTTQFNTQ-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)c(C)nc1C ZINC001017722866 758183925 /nfs/dbraw/zinc/18/39/25/758183925.db2.gz GIPKDSHQOGOXDL-IYBDPMFKSA-N 1 2 312.417 1.714 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)c(C)nc1C ZINC001017722866 758183929 /nfs/dbraw/zinc/18/39/29/758183929.db2.gz GIPKDSHQOGOXDL-IYBDPMFKSA-N 1 2 312.417 1.714 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001053132490 758190799 /nfs/dbraw/zinc/19/07/99/758190799.db2.gz MHRUABNCTHKZGC-QWHCGFSZSA-N 1 2 318.421 1.375 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OCC)no1 ZINC001017740201 758197676 /nfs/dbraw/zinc/19/76/76/758197676.db2.gz ATJFSUYGVJEHHH-BETUJISGSA-N 1 2 305.378 1.938 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OCC)no1 ZINC001017740201 758197681 /nfs/dbraw/zinc/19/76/81/758197681.db2.gz ATJFSUYGVJEHHH-BETUJISGSA-N 1 2 305.378 1.938 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)cn2ccnc12 ZINC001017766762 758217393 /nfs/dbraw/zinc/21/73/93/758217393.db2.gz BOLBZZJUBPWWGE-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)cn2ccnc12 ZINC001017766762 758217397 /nfs/dbraw/zinc/21/73/97/758217397.db2.gz BOLBZZJUBPWWGE-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CCC)n[nH]1 ZINC001017786282 758239262 /nfs/dbraw/zinc/23/92/62/758239262.db2.gz SKECAXGULKEKHQ-GASCZTMLSA-N 1 2 300.406 1.674 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CCC)n[nH]1 ZINC001017786282 758239267 /nfs/dbraw/zinc/23/92/67/758239267.db2.gz SKECAXGULKEKHQ-GASCZTMLSA-N 1 2 300.406 1.674 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCOC2(C[NH+](CCc3cccs3)C2)C1 ZINC001053191414 758249906 /nfs/dbraw/zinc/24/99/06/758249906.db2.gz QCSZNNNCVZNYKB-CYBMUJFWSA-N 1 2 319.430 1.363 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1occc1-c1cnn(C)c1 ZINC001017843661 758290949 /nfs/dbraw/zinc/29/09/49/758290949.db2.gz HPNVDRFXYAPNFU-GASCZTMLSA-N 1 2 324.384 1.602 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1occc1-c1cnn(C)c1 ZINC001017843661 758290959 /nfs/dbraw/zinc/29/09/59/758290959.db2.gz HPNVDRFXYAPNFU-GASCZTMLSA-N 1 2 324.384 1.602 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001108530885 762620705 /nfs/dbraw/zinc/62/07/05/762620705.db2.gz VGYYBKAFCCGZAQ-QWHCGFSZSA-N 1 2 316.405 1.617 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnnc2ccccc21 ZINC001017994696 758451122 /nfs/dbraw/zinc/45/11/22/758451122.db2.gz CILWHYNEBSZVMS-GASCZTMLSA-N 1 2 320.396 1.942 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnnc2ccccc21 ZINC001017994696 758451129 /nfs/dbraw/zinc/45/11/29/758451129.db2.gz CILWHYNEBSZVMS-GASCZTMLSA-N 1 2 320.396 1.942 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(N)=O)s1 ZINC001018059361 758513758 /nfs/dbraw/zinc/51/37/58/758513758.db2.gz LZIJSTGQOUAABO-PHIMTYICSA-N 1 2 305.403 1.322 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(N)=O)s1 ZINC001018059361 758513768 /nfs/dbraw/zinc/51/37/68/758513768.db2.gz LZIJSTGQOUAABO-PHIMTYICSA-N 1 2 305.403 1.322 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)no1)O2 ZINC001053574078 758582657 /nfs/dbraw/zinc/58/26/57/758582657.db2.gz UZZRZFFQEKGWJH-CYBMUJFWSA-N 1 2 305.378 1.522 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(C)n1C)O2 ZINC001053573896 758582805 /nfs/dbraw/zinc/58/28/05/758582805.db2.gz OMCNOGSWQKFDFG-OAHLLOKOSA-N 1 2 317.433 1.873 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccncc1F)O2 ZINC001053583518 758597016 /nfs/dbraw/zinc/59/70/16/758597016.db2.gz CGLHOMWVTODTJS-LBPRGKRZSA-N 1 2 305.353 1.370 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c[nH]c(C#N)c1)O2 ZINC001053593889 758612661 /nfs/dbraw/zinc/61/26/61/758612661.db2.gz XYAWCKHXKJENGU-OAHLLOKOSA-N 1 2 314.389 1.426 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)C1CC(OCC)C1)O2 ZINC001053596715 758619577 /nfs/dbraw/zinc/61/95/77/758619577.db2.gz ZQNQQLHZXCOBOO-HWOWSKLDSA-N 1 2 322.449 1.727 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2c1C[C@H](C)CC2 ZINC001018224827 758646222 /nfs/dbraw/zinc/64/62/22/758646222.db2.gz UILFSQJZKZQGGR-KFWWJZLASA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2c1C[C@H](C)CC2 ZINC001018224827 758646228 /nfs/dbraw/zinc/64/62/28/758646228.db2.gz UILFSQJZKZQGGR-KFWWJZLASA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccccc1OC ZINC001018245131 758663373 /nfs/dbraw/zinc/66/33/73/758663373.db2.gz FVERVSLOIAFEPT-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccccc1OC ZINC001018245131 758663377 /nfs/dbraw/zinc/66/33/77/758663377.db2.gz FVERVSLOIAFEPT-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CN1CCCCCC1=O ZINC001018248503 758665960 /nfs/dbraw/zinc/66/59/60/758665960.db2.gz BINAXDXELWBGNZ-IYBDPMFKSA-N 1 2 317.433 1.088 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CN1CCCCCC1=O ZINC001018248503 758665968 /nfs/dbraw/zinc/66/59/68/758665968.db2.gz BINAXDXELWBGNZ-IYBDPMFKSA-N 1 2 317.433 1.088 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(Cl)no1)O2 ZINC001053692295 758701734 /nfs/dbraw/zinc/70/17/34/758701734.db2.gz LWCUEFQDGHUEKY-JTQLQIEISA-N 1 2 311.769 1.477 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1ccco1 ZINC001018291764 758703902 /nfs/dbraw/zinc/70/39/02/758703902.db2.gz MRIAUUXGNCHDPM-BETUJISGSA-N 1 2 310.357 1.592 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1ccco1 ZINC001018291764 758703905 /nfs/dbraw/zinc/70/39/05/758703905.db2.gz MRIAUUXGNCHDPM-BETUJISGSA-N 1 2 310.357 1.592 20 30 DDEDLO CCCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC001065688438 758706531 /nfs/dbraw/zinc/70/65/31/758706531.db2.gz HRKGAGYKHKMVBH-GJZGRUSLSA-N 1 2 320.437 1.081 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nnn(C)c21 ZINC001018351435 758750697 /nfs/dbraw/zinc/75/06/97/758750697.db2.gz GWKXRBUDHJFCGG-OKILXGFUSA-N 1 2 323.400 1.280 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nnn(C)c21 ZINC001018351435 758750705 /nfs/dbraw/zinc/75/07/05/758750705.db2.gz GWKXRBUDHJFCGG-OKILXGFUSA-N 1 2 323.400 1.280 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2cccc(C)c2O1 ZINC001018353156 758751562 /nfs/dbraw/zinc/75/15/62/758751562.db2.gz KEFSIRJUXXOYHE-BBWFWOEESA-N 1 2 310.397 1.607 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2cccc(C)c2O1 ZINC001018353156 758751565 /nfs/dbraw/zinc/75/15/65/758751565.db2.gz KEFSIRJUXXOYHE-BBWFWOEESA-N 1 2 310.397 1.607 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccncc1C)CO2 ZINC001053795698 758823690 /nfs/dbraw/zinc/82/36/90/758823690.db2.gz UYVCMBKPJQOVIT-CQSZACIVSA-N 1 2 301.390 1.539 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccc(F)cn1)CO2 ZINC001053846359 758875775 /nfs/dbraw/zinc/87/57/75/758875775.db2.gz CJQRHKDYGAWXLC-HNNXBMFYSA-N 1 2 319.380 1.299 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)CC1=CCOCC1)CO2 ZINC001053917160 758951656 /nfs/dbraw/zinc/95/16/56/758951656.db2.gz BRKWFBJTEHRERW-HNNXBMFYSA-N 1 2 306.406 1.259 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1coc(CCC)n1)CO2 ZINC001053982105 759022194 /nfs/dbraw/zinc/02/21/94/759022194.db2.gz CZRCJSJFXHFNTC-CYBMUJFWSA-N 1 2 317.389 1.224 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)noc1CC)CO2 ZINC001054006359 759053418 /nfs/dbraw/zinc/05/34/18/759053418.db2.gz YQFMBELDSNCYBL-ZDUSSCGKSA-N 1 2 319.405 1.695 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)C1CCOCC1)CO2 ZINC001054025694 759076768 /nfs/dbraw/zinc/07/67/68/759076768.db2.gz FSJOWWGPNYMYML-GOEBONIOSA-N 1 2 322.449 1.585 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)C1CCOCC1 ZINC001054028551 759079115 /nfs/dbraw/zinc/07/91/15/759079115.db2.gz SHDPDGZITIZCIW-SFHVURJKSA-N 1 2 312.413 1.589 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)C1CCOCC1 ZINC001054028551 759079124 /nfs/dbraw/zinc/07/91/24/759079124.db2.gz SHDPDGZITIZCIW-SFHVURJKSA-N 1 2 312.413 1.589 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1CCCOC1 ZINC001054034184 759087877 /nfs/dbraw/zinc/08/78/77/759087877.db2.gz JPLMVPFIVHJJMM-MSOLQXFVSA-N 1 2 312.413 1.589 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1CCCOC1 ZINC001054034184 759087883 /nfs/dbraw/zinc/08/78/83/759087883.db2.gz JPLMVPFIVHJJMM-MSOLQXFVSA-N 1 2 312.413 1.589 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cn[nH]c1 ZINC001054034795 759089452 /nfs/dbraw/zinc/08/94/52/759089452.db2.gz ZIIDMYCCWLDDBZ-QGZVFWFLSA-N 1 2 308.385 1.590 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cn[nH]c1 ZINC001054034795 759089467 /nfs/dbraw/zinc/08/94/67/759089467.db2.gz ZIIDMYCCWLDDBZ-QGZVFWFLSA-N 1 2 308.385 1.590 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nn(C)cc1C ZINC001054041876 759100586 /nfs/dbraw/zinc/10/05/86/759100586.db2.gz WGWRKZMIOZVXHB-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nn(C)cc1C ZINC001054041876 759100589 /nfs/dbraw/zinc/10/05/89/759100589.db2.gz WGWRKZMIOZVXHB-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1c[nH]nc1C ZINC001054048457 759105030 /nfs/dbraw/zinc/10/50/30/759105030.db2.gz BXFYNWSSKJLKEW-MRXNPFEDSA-N 1 2 308.385 1.508 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1c[nH]nc1C ZINC001054048457 759105035 /nfs/dbraw/zinc/10/50/35/759105035.db2.gz BXFYNWSSKJLKEW-MRXNPFEDSA-N 1 2 308.385 1.508 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccnnc1 ZINC001054054470 759108883 /nfs/dbraw/zinc/10/88/83/759108883.db2.gz PLGLCSZDKUFZNZ-GOSISDBHSA-N 1 2 320.396 1.657 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccnnc1 ZINC001054054470 759108888 /nfs/dbraw/zinc/10/88/88/759108888.db2.gz PLGLCSZDKUFZNZ-GOSISDBHSA-N 1 2 320.396 1.657 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC[C@]2(CCN(CC#N)C2)C1 ZINC001054212531 759296996 /nfs/dbraw/zinc/29/69/96/759296996.db2.gz JBPDRYIBEXUAGY-RHSMWYFYSA-N 1 2 315.421 1.426 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC[C@]2(CCN(CC#N)C2)C1 ZINC001054212531 759297003 /nfs/dbraw/zinc/29/70/03/759297003.db2.gz JBPDRYIBEXUAGY-RHSMWYFYSA-N 1 2 315.421 1.426 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3nccn3CC)cc2C1 ZINC001054262933 759364096 /nfs/dbraw/zinc/36/40/96/759364096.db2.gz XPIAWCHZHYJGDI-UHFFFAOYSA-N 1 2 308.385 1.782 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3nccn3CC)cc2C1 ZINC001054262933 759364107 /nfs/dbraw/zinc/36/41/07/759364107.db2.gz XPIAWCHZHYJGDI-UHFFFAOYSA-N 1 2 308.385 1.782 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cncc(C#C)c3)cc2C1 ZINC001054281112 759390664 /nfs/dbraw/zinc/39/06/64/759390664.db2.gz BRWCTMVUMSIXRB-UHFFFAOYSA-N 1 2 315.376 1.942 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cncc(C#C)c3)cc2C1 ZINC001054281112 759390674 /nfs/dbraw/zinc/39/06/74/759390674.db2.gz BRWCTMVUMSIXRB-UHFFFAOYSA-N 1 2 315.376 1.942 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([NH2+][C@H](C)c3nnc(C)o3)C2)C1 ZINC001019352383 759839254 /nfs/dbraw/zinc/83/92/54/759839254.db2.gz OXNPSLBKNLVSCH-YPMHNXCESA-N 1 2 304.394 1.986 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c(CC)c1Cl ZINC001085646893 759917517 /nfs/dbraw/zinc/91/75/17/759917517.db2.gz VJKWDTJPWRKNSK-LLVKDONJSA-N 1 2 308.813 1.795 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c(CC)c1Cl ZINC001085646893 759917520 /nfs/dbraw/zinc/91/75/20/759917520.db2.gz VJKWDTJPWRKNSK-LLVKDONJSA-N 1 2 308.813 1.795 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc2c(cn1)OCCO2 ZINC001085645612 759925985 /nfs/dbraw/zinc/92/59/85/759925985.db2.gz AYHPMHURCCPTQQ-ZDUSSCGKSA-N 1 2 315.373 1.022 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc2c(cn1)OCCO2 ZINC001085645612 759925987 /nfs/dbraw/zinc/92/59/87/759925987.db2.gz AYHPMHURCCPTQQ-ZDUSSCGKSA-N 1 2 315.373 1.022 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001046768275 767906901 /nfs/dbraw/zinc/90/69/01/767906901.db2.gz WEXULXORXMMPLY-MLGOLLRUSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001046768275 767906903 /nfs/dbraw/zinc/90/69/03/767906903.db2.gz WEXULXORXMMPLY-MLGOLLRUSA-N 1 2 322.840 1.848 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)F)ncn1 ZINC001085671205 759980216 /nfs/dbraw/zinc/98/02/16/759980216.db2.gz QTRHQBYYGQAUEN-NSHDSACASA-N 1 2 308.332 1.584 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)F)ncn1 ZINC001085671205 759980224 /nfs/dbraw/zinc/98/02/24/759980224.db2.gz QTRHQBYYGQAUEN-NSHDSACASA-N 1 2 308.332 1.584 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)nc2sccn21 ZINC001085671940 759982068 /nfs/dbraw/zinc/98/20/68/759982068.db2.gz LALDCSAUXWKXGE-GFCCVEGCSA-N 1 2 302.403 1.484 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)nc2sccn21 ZINC001085671940 759982071 /nfs/dbraw/zinc/98/20/71/759982071.db2.gz LALDCSAUXWKXGE-GFCCVEGCSA-N 1 2 302.403 1.484 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc2ccccc2c1O ZINC001085691747 760040362 /nfs/dbraw/zinc/04/03/62/760040362.db2.gz OZTBHQXRSMFUDD-AWEZNQCLSA-N 1 2 323.396 1.698 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc2ccccc2c1O ZINC001085691747 760040374 /nfs/dbraw/zinc/04/03/74/760040374.db2.gz OZTBHQXRSMFUDD-AWEZNQCLSA-N 1 2 323.396 1.698 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001069215012 767920197 /nfs/dbraw/zinc/92/01/97/767920197.db2.gz NIAXMOFBKUCIOC-ABAIWWIYSA-N 1 2 324.388 1.629 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001085776915 760220978 /nfs/dbraw/zinc/22/09/78/760220978.db2.gz DZPVXDCQYIZBPJ-TZMCWYRMSA-N 1 2 302.378 1.041 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001085776915 760220984 /nfs/dbraw/zinc/22/09/84/760220984.db2.gz DZPVXDCQYIZBPJ-TZMCWYRMSA-N 1 2 302.378 1.041 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001054970869 760244827 /nfs/dbraw/zinc/24/48/27/760244827.db2.gz JPLNORHHXFICIK-WCQYABFASA-N 1 2 306.410 1.917 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(F)cccc1NC(C)=O ZINC001085851683 760365780 /nfs/dbraw/zinc/36/57/80/760365780.db2.gz CUOORDIJQQAQIG-ZDUSSCGKSA-N 1 2 317.364 1.564 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(F)cccc1NC(C)=O ZINC001085851683 760365790 /nfs/dbraw/zinc/36/57/90/760365790.db2.gz CUOORDIJQQAQIG-ZDUSSCGKSA-N 1 2 317.364 1.564 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccn(C)c2CC)C1 ZINC001108216217 760412512 /nfs/dbraw/zinc/41/25/12/760412512.db2.gz UPZIQMAZDLPCCM-GOSISDBHSA-N 1 2 317.433 1.432 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccn(C)c2CC)C1 ZINC001108216217 760412514 /nfs/dbraw/zinc/41/25/14/760412514.db2.gz UPZIQMAZDLPCCM-GOSISDBHSA-N 1 2 317.433 1.432 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH+]cn1C)Nc1ccc(C#N)cn1 ZINC001097985185 760451170 /nfs/dbraw/zinc/45/11/70/760451170.db2.gz JTGDZEKWXNJBPY-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1noc2c1C[C@@H](C)CC2 ZINC001085901269 760493791 /nfs/dbraw/zinc/49/37/91/760493791.db2.gz AYDBYLHVRSXFAR-KBPBESRZSA-N 1 2 315.417 1.969 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1noc2c1C[C@@H](C)CC2 ZINC001085901269 760493798 /nfs/dbraw/zinc/49/37/98/760493798.db2.gz AYDBYLHVRSXFAR-KBPBESRZSA-N 1 2 315.417 1.969 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1csc(COC)n1 ZINC001085997821 760681450 /nfs/dbraw/zinc/68/14/50/760681450.db2.gz FSDPLDSLJBAVKA-LBPRGKRZSA-N 1 2 307.419 1.459 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1csc(COC)n1 ZINC001085997821 760681451 /nfs/dbraw/zinc/68/14/51/760681451.db2.gz FSDPLDSLJBAVKA-LBPRGKRZSA-N 1 2 307.419 1.459 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nn2CC)C1 ZINC001108238128 760855171 /nfs/dbraw/zinc/85/51/71/760855171.db2.gz LSLHVSHYRQQDPP-INIZCTEOSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nn2CC)C1 ZINC001108238128 760855181 /nfs/dbraw/zinc/85/51/81/760855181.db2.gz LSLHVSHYRQQDPP-INIZCTEOSA-N 1 2 306.410 1.218 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)ncn1 ZINC001038170801 760882019 /nfs/dbraw/zinc/88/20/19/760882019.db2.gz VNAWTGIYKMRWJL-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)ncn1 ZINC001038170801 760882029 /nfs/dbraw/zinc/88/20/29/760882029.db2.gz VNAWTGIYKMRWJL-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CCCO[C@H]1c1ccccc1 ZINC001038353291 761040181 /nfs/dbraw/zinc/04/01/81/761040181.db2.gz DIWVKGUOCWUBSE-KSZLIROESA-N 1 2 312.413 1.978 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CCCO[C@H]1c1ccccc1 ZINC001038353291 761040189 /nfs/dbraw/zinc/04/01/89/761040189.db2.gz DIWVKGUOCWUBSE-KSZLIROESA-N 1 2 312.413 1.978 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)n([C@H](C)C2CC2)n1 ZINC001038444182 761122992 /nfs/dbraw/zinc/12/29/92/761122992.db2.gz YUGQKAZUVWNQAX-UKRRQHHQSA-N 1 2 300.406 1.600 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)n([C@H](C)C2CC2)n1 ZINC001038444182 761122998 /nfs/dbraw/zinc/12/29/98/761122998.db2.gz YUGQKAZUVWNQAX-UKRRQHHQSA-N 1 2 300.406 1.600 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066443098 761175293 /nfs/dbraw/zinc/17/52/93/761175293.db2.gz KZZBBBFWDCXTPL-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)ccnc1OCC ZINC001038549975 761191404 /nfs/dbraw/zinc/19/14/04/761191404.db2.gz JYGBQYINVLMLOQ-CQSZACIVSA-N 1 2 301.390 1.616 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)ccnc1OCC ZINC001038549975 761191406 /nfs/dbraw/zinc/19/14/06/761191406.db2.gz JYGBQYINVLMLOQ-CQSZACIVSA-N 1 2 301.390 1.616 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2[C@H]1CCCN2C(=O)Cc1c[nH+]c[nH]1 ZINC001056573228 761240176 /nfs/dbraw/zinc/24/01/76/761240176.db2.gz TXSSRDSKWXSOFV-CABCVRRESA-N 1 2 316.405 1.510 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1scnc1C ZINC001038709625 761312594 /nfs/dbraw/zinc/31/25/94/761312594.db2.gz GVPSQHNBGGFTIK-MCIONIFRSA-N 1 2 321.446 1.733 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1scnc1C ZINC001038709625 761312604 /nfs/dbraw/zinc/31/26/04/761312604.db2.gz GVPSQHNBGGFTIK-MCIONIFRSA-N 1 2 321.446 1.733 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1oc(C)nc1C ZINC001038714683 761319009 /nfs/dbraw/zinc/31/90/09/761319009.db2.gz SIBDVGOROVFLCY-ZNMIVQPWSA-N 1 2 319.405 1.573 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1oc(C)nc1C ZINC001038714683 761319020 /nfs/dbraw/zinc/31/90/20/761319020.db2.gz SIBDVGOROVFLCY-ZNMIVQPWSA-N 1 2 319.405 1.573 20 30 DDEDLO CC(C)(C(=O)N1CC[C@@H](Nc2ccncc2C#N)C1)c1c[nH+]c[nH]1 ZINC001056670821 761330851 /nfs/dbraw/zinc/33/08/51/761330851.db2.gz VGVMTGNBTBYIFU-CYBMUJFWSA-N 1 2 324.388 1.089 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnnn1-c1ccccc1 ZINC001038756431 761370489 /nfs/dbraw/zinc/37/04/89/761370489.db2.gz JDQNSXMCGGTAFV-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnnn1-c1ccccc1 ZINC001038756431 761370498 /nfs/dbraw/zinc/37/04/98/761370498.db2.gz JDQNSXMCGGTAFV-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)c1ccc(=O)[nH]c1 ZINC001038987523 761619811 /nfs/dbraw/zinc/61/98/11/761619811.db2.gz SWEUHVFIWFOQHV-KRWDZBQOSA-N 1 2 321.380 1.643 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)c1ccc(=O)[nH]c1 ZINC001038987523 761619818 /nfs/dbraw/zinc/61/98/18/761619818.db2.gz SWEUHVFIWFOQHV-KRWDZBQOSA-N 1 2 321.380 1.643 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(NC(C)=O)ccc1F ZINC001039075069 761713347 /nfs/dbraw/zinc/71/33/47/761713347.db2.gz YOUTUZVQNYSQDM-CQSZACIVSA-N 1 2 317.364 1.612 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(NC(C)=O)ccc1F ZINC001039075069 761713351 /nfs/dbraw/zinc/71/33/51/761713351.db2.gz YOUTUZVQNYSQDM-CQSZACIVSA-N 1 2 317.364 1.612 20 30 DDEDLO CC(C)(C(=O)N1CCC2(C1)CCN(CC#N)CC2)c1c[nH+]c[nH]1 ZINC001040913794 762732029 /nfs/dbraw/zinc/73/20/29/762732029.db2.gz GTCKCVAIUHRTPZ-UHFFFAOYSA-N 1 2 315.421 1.525 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CCC3(C)CC3)CC2=O)C1 ZINC001108582907 762749297 /nfs/dbraw/zinc/74/92/97/762749297.db2.gz GJKDEERSIYIQNA-ZDUSSCGKSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@H](CC)CCC)CC2=O)C1 ZINC001108582822 762749648 /nfs/dbraw/zinc/74/96/48/762749648.db2.gz DGWFJNSVBUGNFF-CABCVRRESA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CCCC1)C2 ZINC001108848453 762987753 /nfs/dbraw/zinc/98/77/53/762987753.db2.gz LYZRYLAUCBSEAX-ILXRZTDVSA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CCCC1)C2 ZINC001108848453 762987759 /nfs/dbraw/zinc/98/77/59/762987759.db2.gz LYZRYLAUCBSEAX-ILXRZTDVSA-N 1 2 305.422 1.200 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001050043813 763055354 /nfs/dbraw/zinc/05/53/54/763055354.db2.gz JXQWMZMPFVEBSU-JKSUJKDBSA-N 1 2 310.401 1.313 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001050043813 763055363 /nfs/dbraw/zinc/05/53/63/763055363.db2.gz JXQWMZMPFVEBSU-JKSUJKDBSA-N 1 2 310.401 1.313 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)no1 ZINC001050044897 763057832 /nfs/dbraw/zinc/05/78/32/763057832.db2.gz SKAQXNMFMXOIRV-DOTOQJQBSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)no1 ZINC001050044897 763057838 /nfs/dbraw/zinc/05/78/38/763057838.db2.gz SKAQXNMFMXOIRV-DOTOQJQBSA-N 1 2 313.401 1.819 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)N[C@H](C)C(C)C ZINC001109046760 763262886 /nfs/dbraw/zinc/26/28/86/763262886.db2.gz YOSVUFFXVNJMER-WCVJEAGWSA-N 1 2 321.465 1.835 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)N[C@H](C)C(C)C ZINC001109046760 763262895 /nfs/dbraw/zinc/26/28/95/763262895.db2.gz YOSVUFFXVNJMER-WCVJEAGWSA-N 1 2 321.465 1.835 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)C1CC(Nc2ccncc2C#N)C1 ZINC001069759426 768129573 /nfs/dbraw/zinc/12/95/73/768129573.db2.gz VGJQIMVXKPUSCM-UHFFFAOYSA-N 1 2 324.388 1.051 20 30 DDEDLO C[C@@H](CN(C)c1ncccc1C#N)NC(=O)CCn1cc[nH+]c1 ZINC001109172643 763427452 /nfs/dbraw/zinc/42/74/52/763427452.db2.gz RBJVJTHQLFKRON-ZDUSSCGKSA-N 1 2 312.377 1.181 20 30 DDEDLO Cc1ncc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC(C)C)C3)o1 ZINC001109249932 763493582 /nfs/dbraw/zinc/49/35/82/763493582.db2.gz LFNRGVGAVKFZKM-KBMXLJTQSA-N 1 2 301.390 1.864 20 30 DDEDLO Cc1ncc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC(C)C)C3)o1 ZINC001109249932 763493586 /nfs/dbraw/zinc/49/35/86/763493586.db2.gz LFNRGVGAVKFZKM-KBMXLJTQSA-N 1 2 301.390 1.864 20 30 DDEDLO N#CCN1CCC(F)(F)[C@@H](CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001046952767 768147839 /nfs/dbraw/zinc/14/78/39/768147839.db2.gz VSFVNIWZUKEUDV-QJPTWQEYSA-N 1 2 323.347 1.110 20 30 DDEDLO N#CCN1CCC(F)(F)[C@@H](CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001046952767 768147845 /nfs/dbraw/zinc/14/78/45/768147845.db2.gz VSFVNIWZUKEUDV-QJPTWQEYSA-N 1 2 323.347 1.110 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@@H+](Cc3nncn3C)[C@@H]2C1 ZINC001042186640 763753052 /nfs/dbraw/zinc/75/30/52/763753052.db2.gz IWQMURDQRNLRLU-UONOGXRCSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@H+](Cc3nncn3C)[C@@H]2C1 ZINC001042186640 763753055 /nfs/dbraw/zinc/75/30/55/763753055.db2.gz IWQMURDQRNLRLU-UONOGXRCSA-N 1 2 317.437 1.450 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CC)CCC1)C2 ZINC001109629345 763890598 /nfs/dbraw/zinc/89/05/98/763890598.db2.gz AQPMUXNACICSLR-ILXRZTDVSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CC)CCC1)C2 ZINC001109629345 763890605 /nfs/dbraw/zinc/89/06/05/763890605.db2.gz AQPMUXNACICSLR-ILXRZTDVSA-N 1 2 317.433 1.038 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109676983 763929348 /nfs/dbraw/zinc/92/93/48/763929348.db2.gz DCYRFYYOPRJMFI-LLDVTBCESA-N 1 2 321.465 1.836 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109676983 763929354 /nfs/dbraw/zinc/92/93/54/763929354.db2.gz DCYRFYYOPRJMFI-LLDVTBCESA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3[C@H](C)C(N)=O)CCC1 ZINC001109680467 763940578 /nfs/dbraw/zinc/94/05/78/763940578.db2.gz KTOFPSNJSVCTKB-MQYQWHSLSA-N 1 2 305.422 1.328 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3[C@H](C)C(N)=O)CCC1 ZINC001109680467 763940585 /nfs/dbraw/zinc/94/05/85/763940585.db2.gz KTOFPSNJSVCTKB-MQYQWHSLSA-N 1 2 305.422 1.328 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncc(C)c1 ZINC001050858039 764195597 /nfs/dbraw/zinc/19/55/97/764195597.db2.gz CZRSBIYWFMVXFN-MRXNPFEDSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cncc(C)c1 ZINC001050858039 764195601 /nfs/dbraw/zinc/19/56/01/764195601.db2.gz CZRSBIYWFMVXFN-MRXNPFEDSA-N 1 2 303.406 1.787 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C2CC2)n(C)n1 ZINC001050948177 764328469 /nfs/dbraw/zinc/32/84/69/764328469.db2.gz JRKWDVWZDHIXNE-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C2CC2)n(C)n1 ZINC001050948177 764328472 /nfs/dbraw/zinc/32/84/72/764328472.db2.gz JRKWDVWZDHIXNE-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)CN1CCOC[C@@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001050980400 764378553 /nfs/dbraw/zinc/37/85/53/764378553.db2.gz TTWHILOQNACRSX-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc(COC)c1 ZINC001051007461 764410524 /nfs/dbraw/zinc/41/05/24/764410524.db2.gz JTAUFQXPVLCFNT-KRWDZBQOSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc(COC)c1 ZINC001051007461 764410529 /nfs/dbraw/zinc/41/05/29/764410529.db2.gz JTAUFQXPVLCFNT-KRWDZBQOSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C2CC2)on1 ZINC001051048290 764450311 /nfs/dbraw/zinc/45/03/11/764450311.db2.gz XCPZLOLZBNPARX-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C2CC2)on1 ZINC001051048290 764450314 /nfs/dbraw/zinc/45/03/14/764450314.db2.gz XCPZLOLZBNPARX-ZDUSSCGKSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051058127 764460541 /nfs/dbraw/zinc/46/05/41/764460541.db2.gz ARWLSDQXARDPRD-KBPBESRZSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001112616482 764487963 /nfs/dbraw/zinc/48/79/63/764487963.db2.gz UFLKVXLJSFQFRN-OAHLLOKOSA-N 1 2 318.421 1.805 20 30 DDEDLO CC1(C)CO[C@H](C[NH+]2CCN(C(=O)CSCC#N)CC2)C1 ZINC001112630365 764499274 /nfs/dbraw/zinc/49/92/74/764499274.db2.gz YHUKKXXNNAWPHA-ZDUSSCGKSA-N 1 2 311.451 1.202 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001096152002 768220807 /nfs/dbraw/zinc/22/08/07/768220807.db2.gz NDVDCTWMYFTYGX-YGRLFVJLSA-N 1 2 301.394 1.647 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(C(F)F)c1 ZINC001051180768 764585963 /nfs/dbraw/zinc/58/59/63/764585963.db2.gz KDGLMHZRKCFOCN-GFCCVEGCSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(C(F)F)c1 ZINC001051180768 764585970 /nfs/dbraw/zinc/58/59/70/764585970.db2.gz KDGLMHZRKCFOCN-GFCCVEGCSA-N 1 2 314.336 1.285 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CCCC)nc1 ZINC001051214013 764628912 /nfs/dbraw/zinc/62/89/12/764628912.db2.gz GQUFXFGUTXMDPI-HNNXBMFYSA-N 1 2 301.390 1.294 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CCCC)nc1 ZINC001051214013 764628922 /nfs/dbraw/zinc/62/89/22/764628922.db2.gz GQUFXFGUTXMDPI-HNNXBMFYSA-N 1 2 301.390 1.294 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc(OC)c1 ZINC001051218835 764635493 /nfs/dbraw/zinc/63/54/93/764635493.db2.gz JOWUZCDLWOWFLG-OAHLLOKOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc(OC)c1 ZINC001051218835 764635497 /nfs/dbraw/zinc/63/54/97/764635497.db2.gz JOWUZCDLWOWFLG-OAHLLOKOSA-N 1 2 304.390 1.702 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(CC3CCCC3)c2)C1 ZINC001044099617 765302400 /nfs/dbraw/zinc/30/24/00/765302400.db2.gz GCKXKFCRLARPNF-UHFFFAOYSA-N 1 2 314.433 1.853 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)[C@H](C)Nc1ncccc1C#N ZINC001113137074 765324404 /nfs/dbraw/zinc/32/44/04/765324404.db2.gz AXVOWFVOGRHMPK-QWRGUYRKSA-N 1 2 312.377 1.533 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3cccs3)C2)CC1 ZINC001051975246 765335175 /nfs/dbraw/zinc/33/51/75/765335175.db2.gz PZOFFIAZBSROCH-CQSZACIVSA-N 1 2 303.431 1.213 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cccs3)C2)CC1 ZINC001051975246 765335181 /nfs/dbraw/zinc/33/51/81/765335181.db2.gz PZOFFIAZBSROCH-CQSZACIVSA-N 1 2 303.431 1.213 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C[C@H]3CCCO3)C2)CC1 ZINC001051991371 765355454 /nfs/dbraw/zinc/35/54/54/765355454.db2.gz GWRYTIZPICPVHD-IAGOWNOFSA-N 1 2 321.465 1.350 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3CCCOC3)C2)CC1 ZINC001051996821 765363806 /nfs/dbraw/zinc/36/38/06/765363806.db2.gz VFGHBZFEHDJQHK-IAGOWNOFSA-N 1 2 321.465 1.208 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C=C3CCC3)C2)CC1 ZINC001052006198 765373271 /nfs/dbraw/zinc/37/32/71/765373271.db2.gz NRFUNGFVJGHKKY-KRWDZBQOSA-N 1 2 301.434 1.339 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)/C(C)=C\C)C2)CC1 ZINC001052009245 765378480 /nfs/dbraw/zinc/37/84/80/765378480.db2.gz MDDAJKOSXSKLRA-WGOVJSDKSA-N 1 2 311.857 1.924 20 30 DDEDLO C=C(C)CCN1CC(N(C)C(=O)c2c[nH+]ccc2N(C)C)C1 ZINC001044194945 765384163 /nfs/dbraw/zinc/38/41/63/765384163.db2.gz OGBXGIIVGBMLJS-UHFFFAOYSA-N 1 2 302.422 1.870 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)Cc3ccoc3)C2)CC1 ZINC001052016771 765387473 /nfs/dbraw/zinc/38/74/73/765387473.db2.gz ZCILLEWWOFBEDI-KRWDZBQOSA-N 1 2 315.417 1.064 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H](C)C(C)(C)C)C2)CC1 ZINC001052043218 765416004 /nfs/dbraw/zinc/41/60/04/765416004.db2.gz RQQVNRNJZHBFII-HZPDHXFCSA-N 1 2 305.466 1.520 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3occc3CC)C2)CC1 ZINC001052075180 765446453 /nfs/dbraw/zinc/44/64/53/765446453.db2.gz VVNSOHVBAQKSDG-MRXNPFEDSA-N 1 2 315.417 1.307 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3occc3CC)C2)CC1 ZINC001052075180 765446460 /nfs/dbraw/zinc/44/64/60/765446460.db2.gz VVNSOHVBAQKSDG-MRXNPFEDSA-N 1 2 315.417 1.307 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C3(CF)CC3)C2)CC1 ZINC001052082140 765456466 /nfs/dbraw/zinc/45/64/66/765456466.db2.gz QZBMKMGIPVSYCT-HNNXBMFYSA-N 1 2 309.429 1.531 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+](CCO[C@H]2CC2(F)F)CC1 ZINC001113283149 765510953 /nfs/dbraw/zinc/51/09/53/765510953.db2.gz CIDAOGILWMMHCF-ZDUSSCGKSA-N 1 2 302.365 1.911 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1ncccc1C#N ZINC001113351501 765614427 /nfs/dbraw/zinc/61/44/27/765614427.db2.gz BSPBGMMESZDALM-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1ncccc1C#N ZINC001113351501 765614430 /nfs/dbraw/zinc/61/44/30/765614430.db2.gz BSPBGMMESZDALM-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3Cc2ccnn2C)C1 ZINC001095982463 765650832 /nfs/dbraw/zinc/65/08/32/765650832.db2.gz BOJZOPCTJJKUFP-KBMXLJTQSA-N 1 2 314.433 1.998 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3Cc2ccnn2C)C1 ZINC001095982463 765650833 /nfs/dbraw/zinc/65/08/33/765650833.db2.gz BOJZOPCTJJKUFP-KBMXLJTQSA-N 1 2 314.433 1.998 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2nc(CC)oc2C)CC1 ZINC001113420921 765676562 /nfs/dbraw/zinc/67/65/62/765676562.db2.gz IHMUTMZNRXKRCY-UHFFFAOYSA-N 1 2 307.394 1.506 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc3nccn3c2)CC1 ZINC001113527087 765808961 /nfs/dbraw/zinc/80/89/61/765808961.db2.gz UVKFNRJBSAMQPT-UHFFFAOYSA-N 1 2 314.389 1.295 20 30 DDEDLO Cc1nc(N(C)[C@H](C)CNC(=O)C#CC2CC2)c(C)c(C)[nH+]1 ZINC001113585893 765902662 /nfs/dbraw/zinc/90/26/62/765902662.db2.gz PVMVEXHKOTYMPI-LLVKDONJSA-N 1 2 300.406 1.756 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](C)OCCc2ccccc2)CC1 ZINC001113593647 765923939 /nfs/dbraw/zinc/92/39/39/765923939.db2.gz NRLDXONYJCZBEC-INIZCTEOSA-N 1 2 302.418 1.964 20 30 DDEDLO Cc1nc(N2CCC(CNC(=O)c3cc(C#N)c[nH]3)CC2)cc[nH+]1 ZINC001057786996 766051390 /nfs/dbraw/zinc/05/13/90/766051390.db2.gz JUYLQXQTORUZEX-UHFFFAOYSA-N 1 2 324.388 1.631 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCn2c[nH+]cc2C1)Nc1ncccc1C#N ZINC001098115242 768346987 /nfs/dbraw/zinc/34/69/87/768346987.db2.gz BNMFPHCBHFWVTE-CHWSQXEVSA-N 1 2 324.388 1.329 20 30 DDEDLO N#Cc1ccc(N2CCC(NC(=O)Cc3[nH]cc[nH+]3)CC2)cn1 ZINC001057893679 766124385 /nfs/dbraw/zinc/12/43/85/766124385.db2.gz IMNIYKTXVMVCDF-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]2C[NH2+]Cc2nnc(C)o2)C1 ZINC001045191402 766147480 /nfs/dbraw/zinc/14/74/80/766147480.db2.gz WSAQUMSAEFTMFD-ZDUSSCGKSA-N 1 2 304.394 1.815 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@H]1CCCN(c2ccc(C#N)cn2)C1 ZINC001058177313 766289737 /nfs/dbraw/zinc/28/97/37/766289737.db2.gz WBTAOFGLWDYKBY-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001113998865 766512115 /nfs/dbraw/zinc/51/21/15/766512115.db2.gz FIKCIJMSVVUKKD-NYTXWWLZSA-N 1 2 316.405 1.004 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001113998865 766512121 /nfs/dbraw/zinc/51/21/21/766512121.db2.gz FIKCIJMSVVUKKD-NYTXWWLZSA-N 1 2 316.405 1.004 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC[C@H]1C ZINC001114035650 766568744 /nfs/dbraw/zinc/56/87/44/766568744.db2.gz ATTMQOYKRNKJGU-HIFRSBDPSA-N 1 2 316.405 1.156 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001114108791 766645602 /nfs/dbraw/zinc/64/56/02/766645602.db2.gz QAOKESCIVYPHFL-HALDLXJZSA-N 1 2 316.405 1.006 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001114108791 766645606 /nfs/dbraw/zinc/64/56/06/766645606.db2.gz QAOKESCIVYPHFL-HALDLXJZSA-N 1 2 316.405 1.006 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)s1 ZINC001114247280 766813966 /nfs/dbraw/zinc/81/39/66/766813966.db2.gz HVXOYNLSSKIDAR-PBOSXPJTSA-N 1 2 318.446 1.613 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)s1 ZINC001114247280 766813976 /nfs/dbraw/zinc/81/39/76/766813976.db2.gz HVXOYNLSSKIDAR-PBOSXPJTSA-N 1 2 318.446 1.613 20 30 DDEDLO C[C@@H]1CCN(c2ncccc2C#N)C[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067966574 766844362 /nfs/dbraw/zinc/84/43/62/766844362.db2.gz CSFZOJPCCYLFSS-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H]1CCN(c2ccncc2C#N)C[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067966915 766844563 /nfs/dbraw/zinc/84/45/63/766844563.db2.gz GZPGXTKMCWAGLP-GXTWGEPZSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+]Cc1nnc(CC)o1 ZINC001129513668 766920671 /nfs/dbraw/zinc/92/06/71/766920671.db2.gz FZEKYIMSVQIPRI-UHFFFAOYSA-N 1 2 303.366 1.139 20 30 DDEDLO CC1(C)CN(c2ncccc2C#N)C[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001068118723 766929580 /nfs/dbraw/zinc/92/95/80/766929580.db2.gz BDCQDAICYPCJQW-CYBMUJFWSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCn1c(-c2[nH]cnc2C)nnc1N1CC[N@@H+](C)C(C)(C)C1 ZINC001121612526 782592132 /nfs/dbraw/zinc/59/21/32/782592132.db2.gz LLQRVNQBUJVUHK-UHFFFAOYSA-N 1 2 315.425 1.693 20 30 DDEDLO C=CCn1c(-c2[nH]cnc2C)nnc1N1CC[N@H+](C)C(C)(C)C1 ZINC001121612526 782592139 /nfs/dbraw/zinc/59/21/39/782592139.db2.gz LLQRVNQBUJVUHK-UHFFFAOYSA-N 1 2 315.425 1.693 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)co1 ZINC001046249651 767293095 /nfs/dbraw/zinc/29/30/95/767293095.db2.gz ZKBLGQLWUAJEJV-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)co1 ZINC001046249651 767293098 /nfs/dbraw/zinc/29/30/98/767293098.db2.gz ZKBLGQLWUAJEJV-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnc3[nH]cnc3c2)C1 ZINC001046350583 767453436 /nfs/dbraw/zinc/45/34/36/767453436.db2.gz IJHKWSIEYRLLKW-HNNXBMFYSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnc3[nH]cnc3c2)C1 ZINC001046350583 767453441 /nfs/dbraw/zinc/45/34/41/767453441.db2.gz IJHKWSIEYRLLKW-HNNXBMFYSA-N 1 2 319.796 1.905 20 30 DDEDLO C[C@@]1(NC(=O)c2cnco2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046523689 767653922 /nfs/dbraw/zinc/65/39/22/767653922.db2.gz XMAAQVIPWVVVKY-QGZVFWFLSA-N 1 2 310.357 1.941 20 30 DDEDLO C[C@@]1(NC(=O)c2cnco2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046523689 767653927 /nfs/dbraw/zinc/65/39/27/767653927.db2.gz XMAAQVIPWVVVKY-QGZVFWFLSA-N 1 2 310.357 1.941 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001068972639 767738365 /nfs/dbraw/zinc/73/83/65/767738365.db2.gz XBQBMBVSHOCVRK-DOMZBBRYSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001068972639 767738371 /nfs/dbraw/zinc/73/83/71/767738371.db2.gz XBQBMBVSHOCVRK-DOMZBBRYSA-N 1 2 324.388 1.710 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@H](C)N(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001069064677 767813419 /nfs/dbraw/zinc/81/34/19/767813419.db2.gz COZRHRUMWLLHHX-GXFFZTMASA-N 1 2 310.361 1.700 20 30 DDEDLO CC[C@H](C)CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130971395 767823961 /nfs/dbraw/zinc/82/39/61/767823961.db2.gz MPMFDDXUXFGUKV-BFHYXJOUSA-N 1 2 303.410 1.264 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C2CC(C)(C)C2)C[N@@H+]1CC(=O)NCC#N ZINC001131998311 768549692 /nfs/dbraw/zinc/54/96/92/768549692.db2.gz CQLLEZVEURRMHW-OCCSQVGLSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C2CC(C)(C)C2)C[N@H+]1CC(=O)NCC#N ZINC001131998311 768549695 /nfs/dbraw/zinc/54/96/95/768549695.db2.gz CQLLEZVEURRMHW-OCCSQVGLSA-N 1 2 320.437 1.031 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cnnn2CC)C1 ZINC001132003822 768555726 /nfs/dbraw/zinc/55/57/26/768555726.db2.gz ZXANUTXNNYKUGQ-HUUCEWRRSA-N 1 2 317.437 1.571 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2CC)C1 ZINC001132003822 768555727 /nfs/dbraw/zinc/55/57/27/768555727.db2.gz ZXANUTXNNYKUGQ-HUUCEWRRSA-N 1 2 317.437 1.571 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001070534444 768557750 /nfs/dbraw/zinc/55/77/50/768557750.db2.gz UWDHJJQZVSEDHF-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001070534444 768557755 /nfs/dbraw/zinc/55/77/55/768557755.db2.gz UWDHJJQZVSEDHF-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC2CC2)C1 ZINC001132016311 768572640 /nfs/dbraw/zinc/57/26/40/768572640.db2.gz GGRCIDKYRSRIIH-HOCLYGCPSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC2CC2)C1 ZINC001132016311 768572646 /nfs/dbraw/zinc/57/26/46/768572646.db2.gz GGRCIDKYRSRIIH-HOCLYGCPSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cc(C)on2)C1 ZINC001132041887 768583280 /nfs/dbraw/zinc/58/32/80/768583280.db2.gz MGGYMWSWLYSIFG-DZGCQCFKSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cc(C)on2)C1 ZINC001132041887 768583284 /nfs/dbraw/zinc/58/32/84/768583284.db2.gz MGGYMWSWLYSIFG-DZGCQCFKSA-N 1 2 319.405 1.492 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001132304036 768746868 /nfs/dbraw/zinc/74/68/68/768746868.db2.gz DSJAJYKAXXYSIQ-GFCCVEGCSA-N 1 2 324.425 1.975 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070935260 768794830 /nfs/dbraw/zinc/79/48/30/768794830.db2.gz FSHZZAMTGLCTIW-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH2+]Cc1nnc([C@@H]2CCCOC2)o1 ZINC001132391914 768800087 /nfs/dbraw/zinc/80/00/87/768800087.db2.gz SNMGNNJMHGMOKC-GFCCVEGCSA-N 1 2 322.409 1.382 20 30 DDEDLO CC(C)=CC(=O)NCC[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC001096263854 768811952 /nfs/dbraw/zinc/81/19/52/768811952.db2.gz JOEUFLKWJYECAU-UHFFFAOYSA-N 1 2 313.405 1.158 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(C)(CC)CC)CC[C@@H]1C ZINC001132493577 768927247 /nfs/dbraw/zinc/92/72/47/768927247.db2.gz MGPOMFOTHQTKRR-GJZGRUSLSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(C)(CC)CC)CC[C@@H]1C ZINC001132493577 768927252 /nfs/dbraw/zinc/92/72/52/768927252.db2.gz MGPOMFOTHQTKRR-GJZGRUSLSA-N 1 2 321.465 1.531 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)CSC)C[C@@H](C)O2 ZINC001071131205 768990105 /nfs/dbraw/zinc/99/01/05/768990105.db2.gz BTNQEMJOJPQGGE-OCCSQVGLSA-N 1 2 318.870 1.794 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)CSC)C[C@@H](C)O2 ZINC001071131205 768990110 /nfs/dbraw/zinc/99/01/10/768990110.db2.gz BTNQEMJOJPQGGE-OCCSQVGLSA-N 1 2 318.870 1.794 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001132663584 769073674 /nfs/dbraw/zinc/07/36/74/769073674.db2.gz YRIYJPVMHWNNCI-STQMWFEESA-N 1 2 324.425 1.975 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)[C@H](C)C#N)C2)c2c([nH+]1)CCCC2 ZINC001096339735 769297972 /nfs/dbraw/zinc/29/79/72/769297972.db2.gz VMPOCIUSNRHZIU-YPMHNXCESA-N 1 2 313.405 1.518 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2cn[nH]n2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071634938 769760881 /nfs/dbraw/zinc/76/08/81/769760881.db2.gz KWBUUJDMACGLRN-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2cn[nH]n2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071634938 769760892 /nfs/dbraw/zinc/76/08/92/769760892.db2.gz KWBUUJDMACGLRN-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2cnn[nH]2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071634938 769760902 /nfs/dbraw/zinc/76/09/02/769760902.db2.gz KWBUUJDMACGLRN-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2cnn[nH]2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071634938 769760909 /nfs/dbraw/zinc/76/09/09/769760909.db2.gz KWBUUJDMACGLRN-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCCC3)CC[C@H]1C ZINC001071635124 769762587 /nfs/dbraw/zinc/76/25/87/769762587.db2.gz NYRICJMGIYJGGT-KGLIPLIRSA-N 1 2 314.433 1.895 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCCC3)CC[C@H]1C ZINC001071635124 769762592 /nfs/dbraw/zinc/76/25/92/769762592.db2.gz NYRICJMGIYJGGT-KGLIPLIRSA-N 1 2 314.433 1.895 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@@H]1C ZINC001071738941 769980091 /nfs/dbraw/zinc/98/00/91/769980091.db2.gz LFZDJPAIXIKZMH-QWRGUYRKSA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@@H]1C ZINC001071738941 769980095 /nfs/dbraw/zinc/98/00/95/769980095.db2.gz LFZDJPAIXIKZMH-QWRGUYRKSA-N 1 2 312.801 1.461 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2c[nH+]cn2C)C1 ZINC001071786920 770070480 /nfs/dbraw/zinc/07/04/80/770070480.db2.gz KOTYRJRQGJFEQC-HIFRSBDPSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2snnc2C(C)C)CC[C@@H]1C ZINC001071919824 770289113 /nfs/dbraw/zinc/28/91/13/770289113.db2.gz IKVYKACBZDWMIG-NWDGAFQWSA-N 1 2 306.435 1.877 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2snnc2C(C)C)CC[C@@H]1C ZINC001071919824 770289118 /nfs/dbraw/zinc/28/91/18/770289118.db2.gz IKVYKACBZDWMIG-NWDGAFQWSA-N 1 2 306.435 1.877 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001072219890 770675173 /nfs/dbraw/zinc/67/51/73/770675173.db2.gz ZNIHWCCEVKDPGC-HIFRSBDPSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCCn1cc(C(=O)NCC[NH2+][C@H](C)c2ncc(C)o2)nn1 ZINC001135075214 771309741 /nfs/dbraw/zinc/30/97/41/771309741.db2.gz BRIDBSMGYASYPL-GFCCVEGCSA-N 1 2 318.381 1.231 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@H]1C[C@H](Nc2ccc(C#N)nc2)C1 ZINC001097052403 771599093 /nfs/dbraw/zinc/59/90/93/771599093.db2.gz ONDCBHBKJYJVOH-SHTZXODSSA-N 1 2 324.388 1.379 20 30 DDEDLO C=C[C@@H]1C[C@]1(Nc1ncnc2c1C[N@H+](C)CC2)C(=O)OCC ZINC001170925494 771915362 /nfs/dbraw/zinc/91/53/62/771915362.db2.gz SPFWBIAEYKMPID-BDJLRTHQSA-N 1 2 302.378 1.384 20 30 DDEDLO C=C[C@@H]1C[C@]1(Nc1ncnc2c1C[N@@H+](C)CC2)C(=O)OCC ZINC001170925494 771915365 /nfs/dbraw/zinc/91/53/65/771915365.db2.gz SPFWBIAEYKMPID-BDJLRTHQSA-N 1 2 302.378 1.384 20 30 DDEDLO Cc1nc(NC[C@@H]2CN(C(=O)C#CC(C)C)CCCO2)cc[nH+]1 ZINC001090570462 771997425 /nfs/dbraw/zinc/99/74/25/771997425.db2.gz MZWFBYZEUVDQPT-OAHLLOKOSA-N 1 2 316.405 1.474 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)[nH]c2C)[C@@H](O)C1 ZINC001090597879 772026992 /nfs/dbraw/zinc/02/69/92/772026992.db2.gz VRKFQALIYKTXCT-KBPBESRZSA-N 1 2 311.813 1.549 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)[nH]c2C)[C@@H](O)C1 ZINC001090597879 772026998 /nfs/dbraw/zinc/02/69/98/772026998.db2.gz VRKFQALIYKTXCT-KBPBESRZSA-N 1 2 311.813 1.549 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(Cl)no2)[C@H](O)C1 ZINC001090745087 772155405 /nfs/dbraw/zinc/15/54/05/772155405.db2.gz ZDYXYNOMEWTHHT-DTWKUNHWSA-N 1 2 320.176 1.246 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(Cl)no2)[C@H](O)C1 ZINC001090745087 772155407 /nfs/dbraw/zinc/15/54/07/772155407.db2.gz ZDYXYNOMEWTHHT-DTWKUNHWSA-N 1 2 320.176 1.246 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCCNc1ncc2ccn(C)c2n1 ZINC001171068510 772218694 /nfs/dbraw/zinc/21/86/94/772218694.db2.gz NBPMFTYCMXRGDC-ZDUSSCGKSA-N 1 2 317.393 1.607 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001091316524 772668264 /nfs/dbraw/zinc/66/82/64/772668264.db2.gz OSVZSSFWNRTDAA-TXEJJXNPSA-N 1 2 314.393 1.636 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001091352089 772700357 /nfs/dbraw/zinc/70/03/57/772700357.db2.gz JUULMPNORKVZDP-JNSHFYNHSA-N 1 2 310.361 1.157 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001091363025 772707324 /nfs/dbraw/zinc/70/73/24/772707324.db2.gz IGELLWWHZBJZIJ-XBXGTLAGSA-N 1 2 324.388 1.534 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1nc(C3CC3)no1)C2 ZINC001147166298 773057618 /nfs/dbraw/zinc/05/76/18/773057618.db2.gz WLOHRFFKDUCKFV-UHFFFAOYSA-N 1 2 316.405 1.948 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C3CC3)no1)C2 ZINC001147166298 773057621 /nfs/dbraw/zinc/05/76/21/773057621.db2.gz WLOHRFFKDUCKFV-UHFFFAOYSA-N 1 2 316.405 1.948 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@H+](Cc1ccon1)C2 ZINC001148873926 773609496 /nfs/dbraw/zinc/60/94/96/773609496.db2.gz BLWIIWNVMCCXRH-UHFFFAOYSA-N 1 2 305.378 1.302 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1ccon1)C2 ZINC001148873926 773609499 /nfs/dbraw/zinc/60/94/99/773609499.db2.gz BLWIIWNVMCCXRH-UHFFFAOYSA-N 1 2 305.378 1.302 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)cc3)C[C@@H]21 ZINC001074141403 773667098 /nfs/dbraw/zinc/66/70/98/773667098.db2.gz WZCZTBNWECFBRI-IRXDYDNUSA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)cc3)C[C@@H]21 ZINC001074141403 773667101 /nfs/dbraw/zinc/66/71/01/773667101.db2.gz WZCZTBNWECFBRI-IRXDYDNUSA-N 1 2 316.376 1.764 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001074168916 773692053 /nfs/dbraw/zinc/69/20/53/773692053.db2.gz RGBHRBFLTUXYOJ-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C[C@H]1C)CC[NH+](Cc1cnon1)CC2 ZINC001086908619 773710142 /nfs/dbraw/zinc/71/01/42/773710142.db2.gz DZBZGUPDGYXBLT-CHWSQXEVSA-N 1 2 317.393 1.432 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3=COCCC3)C[C@H]21 ZINC001074189003 773711818 /nfs/dbraw/zinc/71/18/18/773711818.db2.gz CKWNORFJLWOJFH-CVEARBPZSA-N 1 2 306.406 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3=COCCC3)C[C@H]21 ZINC001074189003 773711819 /nfs/dbraw/zinc/71/18/19/773711819.db2.gz CKWNORFJLWOJFH-CVEARBPZSA-N 1 2 306.406 1.559 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc[nH]3)C[C@@H]21 ZINC001074225104 773750066 /nfs/dbraw/zinc/75/00/66/773750066.db2.gz BJZQCWNUUMBBGQ-LZCSOBMZSA-N 1 2 315.417 1.906 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc[nH]3)C[C@@H]21 ZINC001074225104 773750071 /nfs/dbraw/zinc/75/00/71/773750071.db2.gz BJZQCWNUUMBBGQ-LZCSOBMZSA-N 1 2 315.417 1.906 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C(C)C)C[C@@H]21 ZINC001074253538 773771904 /nfs/dbraw/zinc/77/19/04/773771904.db2.gz WRGALHQXRQRIQT-JKSUJKDBSA-N 1 2 306.450 1.994 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C(C)C)C[C@@H]21 ZINC001074253538 773771907 /nfs/dbraw/zinc/77/19/07/773771907.db2.gz WRGALHQXRQRIQT-JKSUJKDBSA-N 1 2 306.450 1.994 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cocc3C)C[C@H]21 ZINC001074313226 773815346 /nfs/dbraw/zinc/81/53/46/773815346.db2.gz YTXLESWGWHPONC-CVEARBPZSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cocc3C)C[C@H]21 ZINC001074313226 773815351 /nfs/dbraw/zinc/81/53/51/773815351.db2.gz YTXLESWGWHPONC-CVEARBPZSA-N 1 2 302.374 1.527 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3OCC[N@H+](CCCO)[C@@H]3C2)C1 ZINC001074333866 773830970 /nfs/dbraw/zinc/83/09/70/773830970.db2.gz JHAHOGKMMHLPDL-HUUCEWRRSA-N 1 2 308.422 1.027 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3OCC[N@@H+](CCCO)[C@@H]3C2)C1 ZINC001074333866 773830973 /nfs/dbraw/zinc/83/09/73/773830973.db2.gz JHAHOGKMMHLPDL-HUUCEWRRSA-N 1 2 308.422 1.027 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(C3CC3)CC1)c1nccn12 ZINC001092376305 774108542 /nfs/dbraw/zinc/10/85/42/774108542.db2.gz VILXEWJCZDFNJF-AWEZNQCLSA-N 1 2 312.417 1.831 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]cc1C)c1nccn12 ZINC001092412409 774124798 /nfs/dbraw/zinc/12/47/98/774124798.db2.gz DRRGYCVBXOZXCU-OAHLLOKOSA-N 1 2 323.400 1.429 20 30 DDEDLO CCc1nc(N2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H](C)C#N)C3)cc(C)[nH+]1 ZINC001092811168 774276952 /nfs/dbraw/zinc/27/69/52/774276952.db2.gz BVLXATLDXAYECW-GHYVTOPFSA-N 1 2 313.405 1.733 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001075099560 774348976 /nfs/dbraw/zinc/34/89/76/774348976.db2.gz NIDPFFFPTLMGTJ-OLZOCXBDSA-N 1 2 318.421 1.660 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001075127613 774371664 /nfs/dbraw/zinc/37/16/64/774371664.db2.gz OFJGAQHNSHVYQN-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)n1 ZINC001098387737 774557985 /nfs/dbraw/zinc/55/79/85/774557985.db2.gz JTJQVHZTDISETB-IACUBPJLSA-N 1 2 324.388 1.705 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)n1 ZINC001098387737 774557988 /nfs/dbraw/zinc/55/79/88/774557988.db2.gz JTJQVHZTDISETB-IACUBPJLSA-N 1 2 324.388 1.705 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3CC=CC3)C2)nn1 ZINC001098640139 774628619 /nfs/dbraw/zinc/62/86/19/774628619.db2.gz DXKRLKYHDWHUGS-MRXNPFEDSA-N 1 2 313.405 1.131 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CC(C)(C)CC)C2)nn1 ZINC001098678036 774639712 /nfs/dbraw/zinc/63/97/12/774639712.db2.gz BRGVRVANFZBUNA-HNNXBMFYSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@@](C)(O)C3CC3)CC2)C1 ZINC001093527638 774779776 /nfs/dbraw/zinc/77/97/76/774779776.db2.gz YIXOXPHZDGIFAB-GOSISDBHSA-N 1 2 322.449 1.415 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC001093504410 774795391 /nfs/dbraw/zinc/79/53/91/774795391.db2.gz OQIUCVZJKIDKKW-INIZCTEOSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@@H]3CC[C@H](C)O3)CC2)C1 ZINC001093570199 774841913 /nfs/dbraw/zinc/84/19/13/774841913.db2.gz PBCJXLXKBSJGKW-HOCLYGCPSA-N 1 2 322.449 1.822 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)Cc3ncc[nH]3)CC2)C1 ZINC001093588489 774868931 /nfs/dbraw/zinc/86/89/31/774868931.db2.gz OAVKLRPPMDLFIB-UHFFFAOYSA-N 1 2 318.421 1.220 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3[nH]cnc3CC)CC2)C1 ZINC001093595226 774900322 /nfs/dbraw/zinc/90/03/22/774900322.db2.gz QXIRAAGMHAMDMC-UHFFFAOYSA-N 1 2 318.421 1.464 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3[nH]cnc3C)CC2)C1 ZINC001093600659 774904927 /nfs/dbraw/zinc/90/49/27/774904927.db2.gz NNDPIOMBUVZWRS-UHFFFAOYSA-N 1 2 304.394 1.210 20 30 DDEDLO C#Cc1ccc(C(=O)NCCNc2cc(C)[nH+]c(C3CC3)n2)nc1 ZINC001093764423 775064301 /nfs/dbraw/zinc/06/43/01/775064301.db2.gz LLPZFECKWCIYTJ-UHFFFAOYSA-N 1 2 321.384 1.881 20 30 DDEDLO C[C@H](CCNC(=O)CCn1cc[nH+]c1)Nc1ccc(C#N)nc1 ZINC001099626215 775075506 /nfs/dbraw/zinc/07/55/06/775075506.db2.gz RWOKZIQJFVDNHY-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CSC(C)C)[C@H](O)C1 ZINC001099678237 775130158 /nfs/dbraw/zinc/13/01/58/775130158.db2.gz IDOBFGIHVXJLIM-NWDGAFQWSA-N 1 2 306.859 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CSC(C)C)[C@H](O)C1 ZINC001099678237 775130160 /nfs/dbraw/zinc/13/01/60/775130160.db2.gz IDOBFGIHVXJLIM-NWDGAFQWSA-N 1 2 306.859 1.432 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCCOCC)[C@@H](O)C1 ZINC001099699398 775152270 /nfs/dbraw/zinc/15/22/70/775152270.db2.gz XWRJMZKFIHWGLV-STQMWFEESA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCCOCC)[C@@H](O)C1 ZINC001099699398 775152274 /nfs/dbraw/zinc/15/22/74/775152274.db2.gz XWRJMZKFIHWGLV-STQMWFEESA-N 1 2 304.818 1.107 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099725922 775189255 /nfs/dbraw/zinc/18/92/55/775189255.db2.gz AQDIQDRBNVOIDC-KGLIPLIRSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099725922 775189261 /nfs/dbraw/zinc/18/92/61/775189261.db2.gz AQDIQDRBNVOIDC-KGLIPLIRSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099726798 775191161 /nfs/dbraw/zinc/19/11/61/775191161.db2.gz JYKLCIAPZDHOEB-CABCVRRESA-N 1 2 307.369 1.238 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099726798 775191167 /nfs/dbraw/zinc/19/11/67/775191167.db2.gz JYKLCIAPZDHOEB-CABCVRRESA-N 1 2 307.369 1.238 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)C)[C@@H](O)C2)s1 ZINC001099821486 775325001 /nfs/dbraw/zinc/32/50/01/775325001.db2.gz VIGGDJSDVQCLTL-KBPBESRZSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)C)[C@@H](O)C2)s1 ZINC001099821486 775325009 /nfs/dbraw/zinc/32/50/09/775325009.db2.gz VIGGDJSDVQCLTL-KBPBESRZSA-N 1 2 321.446 1.162 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)CC(F)F)[C@@H](O)C1 ZINC001099876290 775377879 /nfs/dbraw/zinc/37/78/79/775377879.db2.gz ARPIOWPYIRWAMK-QWRGUYRKSA-N 1 2 324.799 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)CC(F)F)[C@@H](O)C1 ZINC001099876290 775377892 /nfs/dbraw/zinc/37/78/92/775377892.db2.gz ARPIOWPYIRWAMK-QWRGUYRKSA-N 1 2 324.799 1.972 20 30 DDEDLO C=CCOCC(=O)NCCN(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC001099959114 775480823 /nfs/dbraw/zinc/48/08/23/775480823.db2.gz NQNPMZMKIYNPNG-UHFFFAOYSA-N 1 2 304.394 1.417 20 30 DDEDLO Cc1cc(N(C)CCNC(=O)c2c[nH]c(C#N)c2)nc(C2CC2)[nH+]1 ZINC001100037503 775602115 /nfs/dbraw/zinc/60/21/15/775602115.db2.gz BSXPAPOAZDWGIV-UHFFFAOYSA-N 1 2 324.388 1.728 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)c3cccs3)nn2)C1 ZINC001094273518 775620242 /nfs/dbraw/zinc/62/02/42/775620242.db2.gz RKNTUEYIMHVXCO-UHFFFAOYSA-N 1 2 315.402 1.150 20 30 DDEDLO CN(CCNC(=O)c1cc2c[nH+]ccc2[nH]1)c1ccc(C#N)nn1 ZINC001100396025 776096417 /nfs/dbraw/zinc/09/64/17/776096417.db2.gz HDJWQMKVEAXMJL-UHFFFAOYSA-N 1 2 321.344 1.091 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C[C@@H]3C=CCC3)CC2=O)C1 ZINC001094701054 776211298 /nfs/dbraw/zinc/21/12/98/776211298.db2.gz NBSAJKQKABIZEV-HUUCEWRRSA-N 1 2 317.433 1.320 20 30 DDEDLO Cc1cc(N2CC[C@@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001101008149 776818464 /nfs/dbraw/zinc/81/84/64/776818464.db2.gz YHLLPBQYYQAHSC-ZDUSSCGKSA-N 1 2 324.388 1.170 20 30 DDEDLO N#Cc1cccnc1N(CCNC(=O)CCc1[nH]cc[nH+]1)C1CC1 ZINC001101332152 777078160 /nfs/dbraw/zinc/07/81/60/777078160.db2.gz PDAPDNKTOAUKDD-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001095106901 777130106 /nfs/dbraw/zinc/13/01/06/777130106.db2.gz OARBEZVYXOSKQG-LBPRGKRZSA-N 1 2 305.407 1.079 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C(/C)CC)c1nccn12 ZINC001101627676 777322263 /nfs/dbraw/zinc/32/22/63/777322263.db2.gz JWQBTTOVTUXXBJ-LTBFUDJGSA-N 1 2 312.417 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C(\C)CC)c1nccn12 ZINC001101627810 777323013 /nfs/dbraw/zinc/32/30/13/777323013.db2.gz NOPATAVDABIALC-SSUFTNFISA-N 1 2 300.406 1.997 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H](C)COC)c1nccn12 ZINC001101649566 777345562 /nfs/dbraw/zinc/34/55/62/777345562.db2.gz PXWIEVDAOSBOSD-KBPBESRZSA-N 1 2 318.421 1.314 20 30 DDEDLO Cc1cnc(C#N)c(Nc2cnn(CC[NH+]3CCOCC3)c2)c1 ZINC001174489877 777483487 /nfs/dbraw/zinc/48/34/87/777483487.db2.gz HTLJHMUSSJLVAV-UHFFFAOYSA-N 1 2 312.377 1.534 20 30 DDEDLO CN(C)c1ccc(Nc2c(C#N)cnc3c(C#N)cnn32)c[nH+]1 ZINC001175341899 777741370 /nfs/dbraw/zinc/74/13/70/777741370.db2.gz WRVBICBYAMCDCI-UHFFFAOYSA-N 1 2 304.317 1.677 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102452108 778148657 /nfs/dbraw/zinc/14/86/57/778148657.db2.gz OLVDICYEBQVIMW-OAHLLOKOSA-N 1 2 316.405 1.110 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(CC)CC)C[C@H]21 ZINC001176923535 778310500 /nfs/dbraw/zinc/31/05/00/778310500.db2.gz HDZUHSUTVZIQLV-IAGOWNOFSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(CC)CC)C[C@H]21 ZINC001176923535 778310508 /nfs/dbraw/zinc/31/05/08/778310508.db2.gz HDZUHSUTVZIQLV-IAGOWNOFSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCC(C)=O)C[C@H]21 ZINC001176936003 778322839 /nfs/dbraw/zinc/32/28/39/778322839.db2.gz AUWULKFGLPHLNT-HZPDHXFCSA-N 1 2 308.422 1.624 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCC(C)=O)C[C@H]21 ZINC001176936003 778322845 /nfs/dbraw/zinc/32/28/45/778322845.db2.gz AUWULKFGLPHLNT-HZPDHXFCSA-N 1 2 308.422 1.624 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC(C)C)C[C@H]21 ZINC001176913841 778337656 /nfs/dbraw/zinc/33/76/56/778337656.db2.gz YVJWTXQVQSAFEY-SJORKVTESA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC(C)C)C[C@H]21 ZINC001176913841 778337659 /nfs/dbraw/zinc/33/76/59/778337659.db2.gz YVJWTXQVQSAFEY-SJORKVTESA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CC)[C@H]3C2)CCOCC1 ZINC001177139547 778458776 /nfs/dbraw/zinc/45/87/76/778458776.db2.gz ROLIGJJMPUJIEJ-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]3OCC[N@H+](CC)[C@H]3C2)CCOCC1 ZINC001177139547 778458779 /nfs/dbraw/zinc/45/87/79/778458779.db2.gz ROLIGJJMPUJIEJ-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)nc1 ZINC001103056947 778605315 /nfs/dbraw/zinc/60/53/15/778605315.db2.gz YYMCFUANSQWKJC-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO CC(C)[NH+]1CC(O)(CN(Cc2ccccc2)C(=O)[C@H](C)C#N)C1 ZINC001177516055 778631377 /nfs/dbraw/zinc/63/13/77/778631377.db2.gz DVWFVMSNGOQHHU-OAHLLOKOSA-N 1 2 315.417 1.630 20 30 DDEDLO CC[C@@H](CNC(=O)CCn1cc[nH+]c1)Nc1ncccc1C#N ZINC001103249203 778735553 /nfs/dbraw/zinc/73/55/53/778735553.db2.gz XESVHDFXGGBAJG-AWEZNQCLSA-N 1 2 312.377 1.547 20 30 DDEDLO CC[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1ncc(C#N)cc1F ZINC001103334555 778797470 /nfs/dbraw/zinc/79/74/70/778797470.db2.gz GCJDUGNTZUNPLG-LLVKDONJSA-N 1 2 316.340 1.365 20 30 DDEDLO C[C@H](CNC(=O)Cc1[nH]cc[nH+]1)CNc1ncc(C#N)cc1F ZINC001104052780 779272500 /nfs/dbraw/zinc/27/25/00/779272500.db2.gz JPSDXDZEDZZGCU-SNVBAGLBSA-N 1 2 316.340 1.222 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H](C)CNc2cc[nH+]c(C)n2)c1 ZINC001104214647 779377002 /nfs/dbraw/zinc/37/70/02/779377002.db2.gz SRPASBRHMNLNRM-LBPRGKRZSA-N 1 2 309.373 1.639 20 30 DDEDLO Cc1nc(NC2(CNC(=O)c3ccc(C#N)[nH]3)CCC2)cc[nH+]1 ZINC001111780232 779477086 /nfs/dbraw/zinc/47/70/86/779477086.db2.gz LNJOEECUOWNCJO-UHFFFAOYSA-N 1 2 310.361 1.749 20 30 DDEDLO C[C@H](CCCNc1ccc(C#N)cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115088488 779868258 /nfs/dbraw/zinc/86/82/58/779868258.db2.gz JIDRLSLEPJKTFG-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnon3)C[C@H]21)c1ccccc1 ZINC001115280823 780002867 /nfs/dbraw/zinc/00/28/67/780002867.db2.gz VCYSDHWNEMRBRS-MWDXBVQZSA-N 1 2 324.384 1.586 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnon3)C[C@H]21)c1ccccc1 ZINC001115280823 780002872 /nfs/dbraw/zinc/00/28/72/780002872.db2.gz VCYSDHWNEMRBRS-MWDXBVQZSA-N 1 2 324.384 1.586 20 30 DDEDLO C#CCOCCC(=O)NCC[C@@H](Nc1cc[nH+]c(C)n1)C(C)C ZINC001115335294 780048771 /nfs/dbraw/zinc/04/87/71/780048771.db2.gz GDAPEWQYWMPCER-OAHLLOKOSA-N 1 2 318.421 1.768 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+]2CCn3cnnc3[C@H]2C)cc1 ZINC001119767287 781600439 /nfs/dbraw/zinc/60/04/39/781600439.db2.gz SLHIBVNATLPPFL-CYBMUJFWSA-N 1 2 309.373 1.665 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+]2CCn3cnnc3[C@H]2C)cc1 ZINC001119767287 781600442 /nfs/dbraw/zinc/60/04/42/781600442.db2.gz SLHIBVNATLPPFL-CYBMUJFWSA-N 1 2 309.373 1.665 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2nnc(-c3ccccc3OC)o2)CC1 ZINC001119816521 781627898 /nfs/dbraw/zinc/62/78/98/781627898.db2.gz ODAZUBURMVZRCU-UHFFFAOYSA-N 1 2 313.357 1.705 20 30 DDEDLO O=C(CC#Cc1ccccc1)NCC[NH+]1CCN(CCF)CC1 ZINC001266297912 836074667 /nfs/dbraw/zinc/07/46/67/836074667.db2.gz XLXNWOJDQARSRI-UHFFFAOYSA-N 1 2 317.408 1.132 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cccc(Cl)n2)C1 ZINC001266320100 836114188 /nfs/dbraw/zinc/11/41/88/836114188.db2.gz YRQZBDOTEXHZHF-OCCSQVGLSA-N 1 2 321.808 1.464 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cccc(Cl)n2)C1 ZINC001266320100 836114191 /nfs/dbraw/zinc/11/41/91/836114191.db2.gz YRQZBDOTEXHZHF-OCCSQVGLSA-N 1 2 321.808 1.464 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001266456278 836282271 /nfs/dbraw/zinc/28/22/71/836282271.db2.gz TYGVRXCNRZHXDE-HNNXBMFYSA-N 1 2 317.437 1.145 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001266456278 836282279 /nfs/dbraw/zinc/28/22/79/836282279.db2.gz TYGVRXCNRZHXDE-HNNXBMFYSA-N 1 2 317.437 1.145 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@H+]1Cc1ccn(CC)n1 ZINC001266460712 836292466 /nfs/dbraw/zinc/29/24/66/836292466.db2.gz BSTHHTOLLNBBJL-MRXNPFEDSA-N 1 2 318.421 1.024 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1ccn(CC)n1 ZINC001266460712 836292474 /nfs/dbraw/zinc/29/24/74/836292474.db2.gz BSTHHTOLLNBBJL-MRXNPFEDSA-N 1 2 318.421 1.024 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@](CO)(NC(=O)c2cnc(C3CC3)o2)C1 ZINC001271964865 844245489 /nfs/dbraw/zinc/24/54/89/844245489.db2.gz GIRCOKGAZZDBAS-KRWDZBQOSA-N 1 2 317.389 1.132 20 30 DDEDLO CC#CC[N@H+]1CCC[C@](CO)(NC(=O)c2cnc(C3CC3)o2)C1 ZINC001271964865 844245502 /nfs/dbraw/zinc/24/55/02/844245502.db2.gz GIRCOKGAZZDBAS-KRWDZBQOSA-N 1 2 317.389 1.132 20 30 DDEDLO CC(C)C[C@@H](C(=O)NCCN(CC#N)C1CC1)n1cc[nH+]c1 ZINC001267327294 837836648 /nfs/dbraw/zinc/83/66/48/837836648.db2.gz IIDWNHOKBHRWQZ-HNNXBMFYSA-N 1 2 303.410 1.574 20 30 DDEDLO C#CC[NH2+][C@H](CNC(=O)C(F)C(F)(F)F)c1ccccc1 ZINC001267520630 838238883 /nfs/dbraw/zinc/23/88/83/838238883.db2.gz OOEFCONUFIWPQT-NEPJUHHUSA-N 1 2 302.271 1.967 20 30 DDEDLO C#CC[NH2+][C@H](CNC(=O)[C@H](F)C(F)(F)F)c1ccccc1 ZINC001267520630 838238891 /nfs/dbraw/zinc/23/88/91/838238891.db2.gz OOEFCONUFIWPQT-NEPJUHHUSA-N 1 2 302.271 1.967 20 30 DDEDLO CC[C@@H]1CCC[C@]1(C)C(=O)N(C)CC[NH+]1CCN(CC#N)CC1 ZINC001267573355 838337460 /nfs/dbraw/zinc/33/74/60/838337460.db2.gz PPDOSJDPLKSTLL-AEFFLSMTSA-N 1 2 320.481 1.802 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001267589372 838378617 /nfs/dbraw/zinc/37/86/17/838378617.db2.gz JIAKFEXARXMJBR-CQSZACIVSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001267589372 838378620 /nfs/dbraw/zinc/37/86/20/838378620.db2.gz JIAKFEXARXMJBR-CQSZACIVSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H](NC(=O)C(C)(F)F)C1 ZINC001267717698 838719949 /nfs/dbraw/zinc/71/99/49/838719949.db2.gz HDGNWMPVCIJHPI-LBPRGKRZSA-N 1 2 315.364 1.033 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H](NC(=O)C(C)(F)F)C1 ZINC001267717698 838719956 /nfs/dbraw/zinc/71/99/56/838719956.db2.gz HDGNWMPVCIJHPI-LBPRGKRZSA-N 1 2 315.364 1.033 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001267749259 838843713 /nfs/dbraw/zinc/84/37/13/838843713.db2.gz JZEHITZZCRBNGY-LSDHHAIUSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001267749259 838843722 /nfs/dbraw/zinc/84/37/22/838843722.db2.gz JZEHITZZCRBNGY-LSDHHAIUSA-N 1 2 321.465 1.389 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)[C@H]1CC[N@H+](CCS(C)(=O)=O)C1 ZINC001267769570 838925554 /nfs/dbraw/zinc/92/55/54/838925554.db2.gz BZLIXTLINSFIKK-KBPBESRZSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)[C@H]1CC[N@@H+](CCS(C)(=O)=O)C1 ZINC001267769570 838925558 /nfs/dbraw/zinc/92/55/58/838925558.db2.gz BZLIXTLINSFIKK-KBPBESRZSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cnnn2CC)C1 ZINC001267956611 839265125 /nfs/dbraw/zinc/26/51/25/839265125.db2.gz KWCJFYFCNAPNAB-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[N@H+](Cc2cnnn2CC)C1 ZINC001267956611 839265137 /nfs/dbraw/zinc/26/51/37/839265137.db2.gz KWCJFYFCNAPNAB-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001268023292 839402629 /nfs/dbraw/zinc/40/26/29/839402629.db2.gz IFBPUMHBUXGZIV-CVEARBPZSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001268023292 839402633 /nfs/dbraw/zinc/40/26/33/839402633.db2.gz IFBPUMHBUXGZIV-CVEARBPZSA-N 1 2 324.465 1.832 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H](OC)C2CCCCC2)C1 ZINC001268028879 839442374 /nfs/dbraw/zinc/44/23/74/839442374.db2.gz PFTKGBLHOWKOIE-DLBZAZTESA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H](OC)C2CCCCC2)C1 ZINC001268028879 839442378 /nfs/dbraw/zinc/44/23/78/839442378.db2.gz PFTKGBLHOWKOIE-DLBZAZTESA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H](OC)c2ccc(F)cc2)C1 ZINC001268029245 839444148 /nfs/dbraw/zinc/44/41/48/839444148.db2.gz SRYCAHNADHHLNZ-JKSUJKDBSA-N 1 2 322.380 1.516 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H](OC)c2ccc(F)cc2)C1 ZINC001268029245 839444152 /nfs/dbraw/zinc/44/41/52/839444152.db2.gz SRYCAHNADHHLNZ-JKSUJKDBSA-N 1 2 322.380 1.516 20 30 DDEDLO COc1ccc(CC[NH+]2CC(NC(=O)CSCC#N)C2)cc1 ZINC001268245912 839889269 /nfs/dbraw/zinc/88/92/69/839889269.db2.gz LUYKFGZZZFZAHP-UHFFFAOYSA-N 1 2 319.430 1.295 20 30 DDEDLO CC#CCCCC(=O)NC1C[NH+](CCOC[C@H]2CCCCO2)C1 ZINC001268438992 840190159 /nfs/dbraw/zinc/19/01/59/840190159.db2.gz WODVQHXCWMCOAT-QGZVFWFLSA-N 1 2 322.449 1.566 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)CCc2cccc(OCC)c2)C1 ZINC001268492555 840299722 /nfs/dbraw/zinc/29/97/22/840299722.db2.gz XOBVUJJRSUZOOR-UHFFFAOYSA-N 1 2 300.402 1.842 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC/C=C\C[NH2+]Cc1ncc(C)o1 ZINC001268603210 840560819 /nfs/dbraw/zinc/56/08/19/840560819.db2.gz ROCWSKCMNPBDIR-KBSCQGNFSA-N 1 2 319.405 1.726 20 30 DDEDLO CCCc1noc(C[N@H+](C)CCCN(C)C(=O)[C@H](C)C#N)n1 ZINC001424272813 844569581 /nfs/dbraw/zinc/56/95/81/844569581.db2.gz IGEXPOUFAUMAPW-GFCCVEGCSA-N 1 2 307.398 1.462 20 30 DDEDLO CCCc1noc(C[N@@H+](C)CCCN(C)C(=O)[C@H](C)C#N)n1 ZINC001424272813 844569589 /nfs/dbraw/zinc/56/95/89/844569589.db2.gz IGEXPOUFAUMAPW-GFCCVEGCSA-N 1 2 307.398 1.462 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)C(=O)N1CCC[C@H](C)C1 ZINC001268748222 840751459 /nfs/dbraw/zinc/75/14/59/840751459.db2.gz DWVOTBJCZNRCJC-WCQYABFASA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)C(=O)N1CCC[C@H](C)C1 ZINC001268748222 840751471 /nfs/dbraw/zinc/75/14/71/840751471.db2.gz DWVOTBJCZNRCJC-WCQYABFASA-N 1 2 315.845 1.434 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)C1CCC1 ZINC001268955482 841038540 /nfs/dbraw/zinc/03/85/40/841038540.db2.gz AIIFWRQCUMTVNX-HOTGVXAUSA-N 1 2 304.434 1.748 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)C1CCC1 ZINC001268955482 841038552 /nfs/dbraw/zinc/03/85/52/841038552.db2.gz AIIFWRQCUMTVNX-HOTGVXAUSA-N 1 2 304.434 1.748 20 30 DDEDLO CCO[C@H](CC(=O)NC[C@H]1CC[N@@H+]1CC#CCOC)C(C)C ZINC001269249096 841420485 /nfs/dbraw/zinc/42/04/85/841420485.db2.gz PXSFORMJRWVRSG-HZPDHXFCSA-N 1 2 310.438 1.278 20 30 DDEDLO CCO[C@H](CC(=O)NC[C@H]1CC[N@H+]1CC#CCOC)C(C)C ZINC001269249096 841420489 /nfs/dbraw/zinc/42/04/89/841420489.db2.gz PXSFORMJRWVRSG-HZPDHXFCSA-N 1 2 310.438 1.278 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CC[N@@H+]1CCNC(=O)C1CC1 ZINC001269288075 841468864 /nfs/dbraw/zinc/46/88/64/841468864.db2.gz ZEZZQMVFIBMONC-PBHICJAKSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CC[N@H+]1CCNC(=O)C1CC1 ZINC001269288075 841468869 /nfs/dbraw/zinc/46/88/69/841468869.db2.gz ZEZZQMVFIBMONC-PBHICJAKSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@@H]1CNC(=O)[C@]1(C)CCCS1(=O)=O ZINC001269321625 841517956 /nfs/dbraw/zinc/51/79/56/841517956.db2.gz ZMXHIACNRSXJCA-HIFRSBDPSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@@H]1CNC(=O)[C@]1(C)CCCS1(=O)=O ZINC001269321625 841517959 /nfs/dbraw/zinc/51/79/59/841517959.db2.gz ZMXHIACNRSXJCA-HIFRSBDPSA-N 1 2 314.451 1.110 20 30 DDEDLO CCCn1cc(C(=O)NC[C@@H]2CC[N@@H+]2CC#CCOC)c(C)n1 ZINC001269325916 841524608 /nfs/dbraw/zinc/52/46/08/841524608.db2.gz CWBDFRTVTWTJAF-HNNXBMFYSA-N 1 2 318.421 1.055 20 30 DDEDLO CCCn1cc(C(=O)NC[C@@H]2CC[N@H+]2CC#CCOC)c(C)n1 ZINC001269325916 841524617 /nfs/dbraw/zinc/52/46/17/841524617.db2.gz CWBDFRTVTWTJAF-HNNXBMFYSA-N 1 2 318.421 1.055 20 30 DDEDLO C=C[C@@H](C(=O)NC[C@@H]1CC[N@H+]1CC#CCOC)c1ccccc1 ZINC001269349550 841551046 /nfs/dbraw/zinc/55/10/46/841551046.db2.gz DFGORNGDRDELOV-ZWKOTPCHSA-N 1 2 312.413 1.797 20 30 DDEDLO C=C[C@@H](C(=O)NC[C@@H]1CC[N@@H+]1CC#CCOC)c1ccccc1 ZINC001269349550 841551047 /nfs/dbraw/zinc/55/10/47/841551047.db2.gz DFGORNGDRDELOV-ZWKOTPCHSA-N 1 2 312.413 1.797 20 30 DDEDLO C=CCN1CC[C@@]2(CCCN(C(=O)Cc3c[nH+]c[nH]3)CC2)C1=O ZINC001269569379 841775107 /nfs/dbraw/zinc/77/51/07/841775107.db2.gz RKEQQIDBDDQZNO-KRWDZBQOSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@H](C)Cn2ccc(C)n2)C1 ZINC001269794027 842055409 /nfs/dbraw/zinc/05/54/09/842055409.db2.gz MSIFPXXUPFMQAP-CQSZACIVSA-N 1 2 320.437 1.173 20 30 DDEDLO C#CCN(C(=O)CCC)C1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001270279235 842450724 /nfs/dbraw/zinc/45/07/24/842450724.db2.gz HNAJCPLCZHWZRQ-UHFFFAOYSA-N 1 2 317.437 1.524 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@](C)(NC(=O)CSCC#N)C2)c(C)o1 ZINC001270549004 842658629 /nfs/dbraw/zinc/65/86/29/842658629.db2.gz MKFPZAGRFRFWEV-HNNXBMFYSA-N 1 2 322.434 1.629 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@](C)(NC(=O)CSCC#N)C2)c(C)o1 ZINC001270549004 842658639 /nfs/dbraw/zinc/65/86/39/842658639.db2.gz MKFPZAGRFRFWEV-HNNXBMFYSA-N 1 2 322.434 1.629 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H](CC)OC2CCCC2)C1 ZINC001270682366 842810267 /nfs/dbraw/zinc/81/02/67/842810267.db2.gz LALJRLYQSXCVNC-HOTGVXAUSA-N 1 2 310.438 1.727 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H](CC)OC2CCCC2)C1 ZINC001270682366 842810275 /nfs/dbraw/zinc/81/02/75/842810275.db2.gz LALJRLYQSXCVNC-HOTGVXAUSA-N 1 2 310.438 1.727 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCN(C)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001285382519 842978094 /nfs/dbraw/zinc/97/80/94/842978094.db2.gz KHMWYTOODMWDPG-UHFFFAOYSA-N 1 2 318.421 1.534 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@]1(C)CCC[N@@H+](Cc2ncnn2C)C1 ZINC001270982394 843106116 /nfs/dbraw/zinc/10/61/16/843106116.db2.gz ILTBEMSONVAARW-QGZVFWFLSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@]1(C)CCC[N@H+](Cc2ncnn2C)C1 ZINC001270982394 843106121 /nfs/dbraw/zinc/10/61/21/843106121.db2.gz ILTBEMSONVAARW-QGZVFWFLSA-N 1 2 319.453 1.746 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)CCCc1cccs1 ZINC001326666468 861524945 /nfs/dbraw/zinc/52/49/45/861524945.db2.gz DWLYCGURUQWFRX-OAHLLOKOSA-N 1 2 320.458 1.911 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)CCCc1cccs1 ZINC001326666468 861524950 /nfs/dbraw/zinc/52/49/50/861524950.db2.gz DWLYCGURUQWFRX-OAHLLOKOSA-N 1 2 320.458 1.911 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)[C@H](OC)C1CCCC1 ZINC001326668678 861529901 /nfs/dbraw/zinc/52/99/01/861529901.db2.gz XSHGBYCHNJSJRD-IAGOWNOFSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H](OC)C1CCCC1 ZINC001326668678 861529909 /nfs/dbraw/zinc/52/99/09/861529909.db2.gz XSHGBYCHNJSJRD-IAGOWNOFSA-N 1 2 324.465 1.975 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077678707 846941039 /nfs/dbraw/zinc/94/10/39/846941039.db2.gz TVZNWDCZTCSOHS-ZDUSSCGKSA-N 1 2 318.421 1.465 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@]1(C)C[N@H+](CCc2ccns2)CCO1 ZINC001107821132 847225560 /nfs/dbraw/zinc/22/55/60/847225560.db2.gz JQASWYRBMXAMNI-WFASDCNBSA-N 1 2 322.434 1.052 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@]1(C)C[N@@H+](CCc2ccns2)CCO1 ZINC001107821132 847225574 /nfs/dbraw/zinc/22/55/74/847225574.db2.gz JQASWYRBMXAMNI-WFASDCNBSA-N 1 2 322.434 1.052 20 30 DDEDLO C=CCCC[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001326978009 861767712 /nfs/dbraw/zinc/76/77/12/861767712.db2.gz KHGBQBGSURQEMV-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCCC[NH2+]C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC001326978009 861767716 /nfs/dbraw/zinc/76/77/16/861767716.db2.gz KHGBQBGSURQEMV-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)C[C@H]1CC(C)(C)CO1 ZINC001272869476 847569723 /nfs/dbraw/zinc/56/97/23/847569723.db2.gz RSAVKTZSYOZFKX-CABCVRRESA-N 1 2 308.422 1.032 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)C[C@H]1CC(C)(C)CO1 ZINC001272869476 847569729 /nfs/dbraw/zinc/56/97/29/847569729.db2.gz RSAVKTZSYOZFKX-CABCVRRESA-N 1 2 308.422 1.032 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)NC(C(C)C)(C(C)C)C1=O ZINC001327032679 861815409 /nfs/dbraw/zinc/81/54/09/861815409.db2.gz MFNLXNDSBBNNTL-UHFFFAOYSA-N 1 2 305.422 1.892 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)NC(C(C)C)(C(C)C)C1=O ZINC001327032679 861815424 /nfs/dbraw/zinc/81/54/24/861815424.db2.gz MFNLXNDSBBNNTL-UHFFFAOYSA-N 1 2 305.422 1.892 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2ccncc2Cl)C1=O ZINC001273231928 848930641 /nfs/dbraw/zinc/93/06/41/848930641.db2.gz VBYQAAMKCQPJPJ-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2ccncc2Cl)C1=O ZINC001273231928 848930645 /nfs/dbraw/zinc/93/06/45/848930645.db2.gz VBYQAAMKCQPJPJ-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3ccccc3C3CC3)C2)OCC1=O ZINC001273239888 848986896 /nfs/dbraw/zinc/98/68/96/848986896.db2.gz WTWQBLLPHLDKMA-UHFFFAOYSA-N 1 2 310.397 1.610 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([NH+]2CCN(CCOCC)CC2)C1 ZINC001273377109 849740338 /nfs/dbraw/zinc/74/03/38/849740338.db2.gz ZNSAZMKYBYWDQY-KRWDZBQOSA-N 1 2 323.481 1.598 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H](O)C[N@H+](C)CC=C(Cl)Cl ZINC001411162280 850303219 /nfs/dbraw/zinc/30/32/19/850303219.db2.gz ICXXITGPWRYPFA-NXEZZACHSA-N 1 2 308.209 1.216 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H](O)C[N@@H+](C)CC=C(Cl)Cl ZINC001411162280 850303231 /nfs/dbraw/zinc/30/32/31/850303231.db2.gz ICXXITGPWRYPFA-NXEZZACHSA-N 1 2 308.209 1.216 20 30 DDEDLO Cc1nnc(C[NH+]2CCC([C@@H](C)NC(=O)[C@@H](C)C#N)CC2)s1 ZINC001411244494 850473137 /nfs/dbraw/zinc/47/31/37/850473137.db2.gz YWINDMUSZUHRPV-WDEREUQCSA-N 1 2 321.450 1.723 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1COC2(C[NH+](CCOCC)C2)C1 ZINC001327405269 862144393 /nfs/dbraw/zinc/14/43/93/862144393.db2.gz HNDUGWOAKMNASP-HOCLYGCPSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCOC2(C[NH+](C[C@H](C)OC)C2)C1 ZINC001273630295 851165160 /nfs/dbraw/zinc/16/51/60/851165160.db2.gz NAKMIKMBHRMGNF-AWEZNQCLSA-N 1 2 310.438 1.537 20 30 DDEDLO CC1(C)OC[C@@H](CN2C(=O)CC[C@@H]3[C@H]2CC[N@@H+]3CCC#N)O1 ZINC001274031755 851871603 /nfs/dbraw/zinc/87/16/03/851871603.db2.gz ZRFPBHWNQPDYAO-MGPQQGTHSA-N 1 2 307.394 1.117 20 30 DDEDLO CC1(C)OC[C@@H](CN2C(=O)CC[C@@H]3[C@H]2CC[N@H+]3CCC#N)O1 ZINC001274031755 851871606 /nfs/dbraw/zinc/87/16/06/851871606.db2.gz ZRFPBHWNQPDYAO-MGPQQGTHSA-N 1 2 307.394 1.117 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001274178465 852008285 /nfs/dbraw/zinc/00/82/85/852008285.db2.gz AFONWYAYGVMADD-NWDGAFQWSA-N 1 2 308.382 1.119 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cc3ccccn3n2)C1=O ZINC001274263865 852107599 /nfs/dbraw/zinc/10/75/99/852107599.db2.gz KLTLTJIUQCDTBX-SFHVURJKSA-N 1 2 308.385 1.534 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cc3ccccn3n2)C1=O ZINC001274263865 852107612 /nfs/dbraw/zinc/10/76/12/852107612.db2.gz KLTLTJIUQCDTBX-SFHVURJKSA-N 1 2 308.385 1.534 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@H+](Cc2cn(C)nn2)CC1(C)C ZINC001274435317 852262483 /nfs/dbraw/zinc/26/24/83/852262483.db2.gz JHFVSSBSBAZEKO-HNNXBMFYSA-N 1 2 317.437 1.191 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@@H+](Cc2cn(C)nn2)CC1(C)C ZINC001274435317 852262496 /nfs/dbraw/zinc/26/24/96/852262496.db2.gz JHFVSSBSBAZEKO-HNNXBMFYSA-N 1 2 317.437 1.191 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1cc(F)ccn1)C2 ZINC001274585794 852418059 /nfs/dbraw/zinc/41/80/59/852418059.db2.gz UGOUTDUGAFZCDZ-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1cc(F)ccn1)C2 ZINC001274585794 852418063 /nfs/dbraw/zinc/41/80/63/852418063.db2.gz UGOUTDUGAFZCDZ-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@H+](Cc2coc(C)n2)[C@H](C)C1 ZINC001274622116 852456070 /nfs/dbraw/zinc/45/60/70/852456070.db2.gz AXSJRUMVQTVGPO-UKRRQHHQSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@@H+](Cc2coc(C)n2)[C@H](C)C1 ZINC001274622116 852456076 /nfs/dbraw/zinc/45/60/76/852456076.db2.gz AXSJRUMVQTVGPO-UKRRQHHQSA-N 1 2 319.405 1.492 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1nnn(C(C)C)c1C ZINC001445819367 853665985 /nfs/dbraw/zinc/66/59/85/853665985.db2.gz AJYVCLHSGSBJJW-NSHDSACASA-N 1 2 313.833 1.970 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1nnn(C(C)C)c1C ZINC001445819367 853665993 /nfs/dbraw/zinc/66/59/93/853665993.db2.gz AJYVCLHSGSBJJW-NSHDSACASA-N 1 2 313.833 1.970 20 30 DDEDLO CS(=O)(=O)c1ccc(-c2noc([C@@H]([NH3+])CCCC#N)n2)o1 ZINC001328045020 862656100 /nfs/dbraw/zinc/65/61/00/862656100.db2.gz DUKLEIJIWKVNBD-QMMMGPOBSA-N 1 2 310.335 1.427 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)nc2)C[C@H]1C ZINC001206640681 862736810 /nfs/dbraw/zinc/73/68/10/862736810.db2.gz IYSZJIUPGAIUCM-NQBHXWOUSA-N 1 2 306.797 1.831 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)nc2)C[C@H]1C ZINC001206640681 862736817 /nfs/dbraw/zinc/73/68/17/862736817.db2.gz IYSZJIUPGAIUCM-NQBHXWOUSA-N 1 2 306.797 1.831 20 30 DDEDLO CC(C)N(CCN(C)C(=O)[C@@H](C)C#N)C(=O)CCc1c[nH]c[nH+]1 ZINC001413408790 856776355 /nfs/dbraw/zinc/77/63/55/856776355.db2.gz ZIJXRGZSWCRBCY-ZDUSSCGKSA-N 1 2 319.409 1.197 20 30 DDEDLO CC(C)N(CCN(C)C(=O)[C@@H](C)C#N)C(=O)CCc1c[nH+]c[nH]1 ZINC001413408790 856776360 /nfs/dbraw/zinc/77/63/60/856776360.db2.gz ZIJXRGZSWCRBCY-ZDUSSCGKSA-N 1 2 319.409 1.197 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001049555873 856970108 /nfs/dbraw/zinc/97/01/08/856970108.db2.gz BHRDENKOEJFMJP-QLFBSQMISA-N 1 2 313.405 1.034 20 30 DDEDLO N#Cc1cncc(CNC(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)c1 ZINC001413613224 857235897 /nfs/dbraw/zinc/23/58/97/857235897.db2.gz IZVYDGWMRKDRKT-GFCCVEGCSA-N 1 2 323.278 1.960 20 30 DDEDLO C=CC[C@@H](C(=O)NCC[NH2+]Cc1nc(C)no1)c1ccccc1 ZINC001151837717 862996461 /nfs/dbraw/zinc/99/64/61/862996461.db2.gz ARKKRVYCCGSWKU-OAHLLOKOSA-N 1 2 314.389 1.944 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N1C[C@@H]2COC[C@@H]2C1 ZINC001122487482 858847827 /nfs/dbraw/zinc/84/78/27/858847827.db2.gz AUZRYHWQSXHABJ-GASCZTMLSA-N 1 2 317.437 1.533 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@H](C)[C@@H]2CN(C)CC[N@@H+]2C)C1 ZINC001123695581 859362955 /nfs/dbraw/zinc/36/29/55/859362955.db2.gz UGOHFLBERFCWHE-OAGGEKHMSA-N 1 2 306.454 1.066 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@H](C)[C@@H]2CN(C)CC[N@H+]2C)C1 ZINC001123695581 859362957 /nfs/dbraw/zinc/36/29/57/859362957.db2.gz UGOHFLBERFCWHE-OAGGEKHMSA-N 1 2 306.454 1.066 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125223433 859924964 /nfs/dbraw/zinc/92/49/64/859924964.db2.gz MGXMFPXNZNTFQK-OAHLLOKOSA-N 1 2 318.421 1.234 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1nc(C2CC2)no1 ZINC001125248417 859933863 /nfs/dbraw/zinc/93/38/63/859933863.db2.gz WCUVBZKYTSMBHX-UHFFFAOYSA-N 1 2 308.382 1.136 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CC(N3C[C@@H](C)O[C@H](C)C3)C2)cc1 ZINC001138560765 860130452 /nfs/dbraw/zinc/13/04/52/860130452.db2.gz MXUCWTSRDMAJOT-HZPDHXFCSA-N 1 2 314.429 1.992 20 30 DDEDLO C#CCOc1ccc(CN2CC([NH+]3C[C@@H](C)O[C@H](C)C3)C2)cc1 ZINC001138560765 860130457 /nfs/dbraw/zinc/13/04/57/860130457.db2.gz MXUCWTSRDMAJOT-HZPDHXFCSA-N 1 2 314.429 1.992 20 30 DDEDLO C=CCOC(=O)N1CCN(Cc2ccn3cc[nH+]c3c2)CC1 ZINC001141049757 860774195 /nfs/dbraw/zinc/77/41/95/860774195.db2.gz ROHDKCDMYHPQQK-UHFFFAOYSA-N 1 2 300.362 1.775 20 30 DDEDLO CC[N@H+](Cc1ncccn1)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152628812 863464048 /nfs/dbraw/zinc/46/40/48/863464048.db2.gz TWCBZIIRBDJOFA-GFCCVEGCSA-N 1 2 312.377 1.317 20 30 DDEDLO CC[N@@H+](Cc1ncccn1)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152628812 863464050 /nfs/dbraw/zinc/46/40/50/863464050.db2.gz TWCBZIIRBDJOFA-GFCCVEGCSA-N 1 2 312.377 1.317 20 30 DDEDLO CC[N@H+](Cc1ncccn1)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152790567 863540208 /nfs/dbraw/zinc/54/02/08/863540208.db2.gz TWRKGZIXEAZKCF-GFCCVEGCSA-N 1 2 312.377 1.317 20 30 DDEDLO CC[N@@H+](Cc1ncccn1)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152790567 863540212 /nfs/dbraw/zinc/54/02/12/863540212.db2.gz TWRKGZIXEAZKCF-GFCCVEGCSA-N 1 2 312.377 1.317 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(CCCC)no1 ZINC001153161037 863739652 /nfs/dbraw/zinc/73/96/52/863739652.db2.gz WKTLRTYIJUMCTL-QWHCGFSZSA-N 1 2 324.425 1.770 20 30 DDEDLO C=CCCC(=O)NC1(CCO)C[NH+](Cc2ccc(CO)cc2)C1 ZINC001329642139 863767954 /nfs/dbraw/zinc/76/79/54/863767954.db2.gz PRNSUYCSQKEZFX-UHFFFAOYSA-N 1 2 318.417 1.198 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2ccnn2[C@H](C)CC)C1 ZINC001329666305 863778893 /nfs/dbraw/zinc/77/88/93/863778893.db2.gz ASXRAPQNTAPXCX-CQSZACIVSA-N 1 2 318.421 1.044 20 30 DDEDLO C=CC(C)(C)C(=O)NC1(CCO)C[NH+](C[C@H]2CC[C@@H](C)O2)C1 ZINC001329682371 863789759 /nfs/dbraw/zinc/78/97/59/863789759.db2.gz SYNGVIFYXSZDQM-ZIAGYGMSSA-N 1 2 310.438 1.319 20 30 DDEDLO CC[N@H+](Cc1ncccn1)[C@H](C)CNC(=O)C#CC(C)(C)C ZINC001153281505 863803061 /nfs/dbraw/zinc/80/30/61/863803061.db2.gz QPKGQTNTDPKDJU-CQSZACIVSA-N 1 2 302.422 1.853 20 30 DDEDLO CC[N@@H+](Cc1ncccn1)[C@H](C)CNC(=O)C#CC(C)(C)C ZINC001153281505 863803068 /nfs/dbraw/zinc/80/30/68/863803068.db2.gz QPKGQTNTDPKDJU-CQSZACIVSA-N 1 2 302.422 1.853 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)cs1 ZINC001153327134 863826233 /nfs/dbraw/zinc/82/62/33/863826233.db2.gz JSRNNJYYTLQMHG-ZIAGYGMSSA-N 1 2 323.462 1.816 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)cs1 ZINC001153327134 863826237 /nfs/dbraw/zinc/82/62/37/863826237.db2.gz JSRNNJYYTLQMHG-ZIAGYGMSSA-N 1 2 323.462 1.816 20 30 DDEDLO C[C@@H](NC(=O)CCC1CCCC1)C1C[NH+](CC(=O)NCC#N)C1 ZINC001329954358 863972254 /nfs/dbraw/zinc/97/22/54/863972254.db2.gz VEVQWXOYHVQUGS-CYBMUJFWSA-N 1 2 320.437 1.033 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C(C)=C2CCCC2)C1 ZINC001329986684 863999823 /nfs/dbraw/zinc/99/98/23/863999823.db2.gz WMEWWHXIFBIJJX-CQSZACIVSA-N 1 2 317.433 1.063 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)C)C(C)(C)C2)cn1 ZINC001330189294 864139718 /nfs/dbraw/zinc/13/97/18/864139718.db2.gz CATSXYPHSVFNTK-MRXNPFEDSA-N 1 2 314.433 1.771 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)C)C(C)(C)C2)cn1 ZINC001330189294 864139726 /nfs/dbraw/zinc/13/97/26/864139726.db2.gz CATSXYPHSVFNTK-MRXNPFEDSA-N 1 2 314.433 1.771 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](Cc1ccccc1)C(C)C ZINC001331445361 865091321 /nfs/dbraw/zinc/09/13/21/865091321.db2.gz ZTSVPZDHNLBEDA-HOTGVXAUSA-N 1 2 318.417 1.817 20 30 DDEDLO C=CCCCC(=O)N[C@@]12CCC[C@@H]1[N@H+](Cc1nncn1C)CC2 ZINC001332108514 865581059 /nfs/dbraw/zinc/58/10/59/865581059.db2.gz BOYCGMOVZUCMRZ-WMLDXEAASA-N 1 2 317.437 1.785 20 30 DDEDLO C=CCCCC(=O)N[C@@]12CCC[C@@H]1[N@@H+](Cc1nncn1C)CC2 ZINC001332108514 865581070 /nfs/dbraw/zinc/58/10/70/865581070.db2.gz BOYCGMOVZUCMRZ-WMLDXEAASA-N 1 2 317.437 1.785 20 30 DDEDLO Cc1nonc1C[N@H+]1CC=C(CCNC(=O)C#CC(C)C)CC1 ZINC001160055296 865658628 /nfs/dbraw/zinc/65/86/28/865658628.db2.gz YFPUYYJGUUPSMN-UHFFFAOYSA-N 1 2 316.405 1.676 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC=C(CCNC(=O)C#CC(C)C)CC1 ZINC001160055296 865658634 /nfs/dbraw/zinc/65/86/34/865658634.db2.gz YFPUYYJGUUPSMN-UHFFFAOYSA-N 1 2 316.405 1.676 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncc(Br)cn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225560492 881904855 /nfs/dbraw/zinc/90/48/55/881904855.db2.gz NECNWSAVVPBDGR-HBKXCPMBSA-N 1 2 312.167 1.230 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncc(Br)cn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225560492 881904865 /nfs/dbraw/zinc/90/48/65/881904865.db2.gz NECNWSAVVPBDGR-HBKXCPMBSA-N 1 2 312.167 1.230 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@H](CNC(=O)C#CC2CC2)C(C)C)no1 ZINC001319910067 866377260 /nfs/dbraw/zinc/37/72/60/866377260.db2.gz CRUZTZRZCBIPJI-BXUZGUMPSA-N 1 2 304.394 1.583 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001323333387 866538981 /nfs/dbraw/zinc/53/89/81/866538981.db2.gz BXFRBYSUALLLCT-VGWMRTNUSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001323333387 866538984 /nfs/dbraw/zinc/53/89/84/866538984.db2.gz BXFRBYSUALLLCT-VGWMRTNUSA-N 1 2 321.465 1.694 20 30 DDEDLO CC(C)CCCC(=O)NCC1=CC[N@H+](CC(=O)NCC#N)CC1 ZINC001333406086 866715578 /nfs/dbraw/zinc/71/55/78/866715578.db2.gz KDRMJZWPOIAZHU-UHFFFAOYSA-N 1 2 320.437 1.201 20 30 DDEDLO CC(C)CCCC(=O)NCC1=CC[N@@H+](CC(=O)NCC#N)CC1 ZINC001333406086 866715583 /nfs/dbraw/zinc/71/55/83/866715583.db2.gz KDRMJZWPOIAZHU-UHFFFAOYSA-N 1 2 320.437 1.201 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H]1CCCNC1=O ZINC001323788057 866844341 /nfs/dbraw/zinc/84/43/41/866844341.db2.gz LOQCBYPCFHPFIJ-OWCLPIDISA-N 1 2 319.449 1.687 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H]1CCCNC1=O ZINC001323788057 866844365 /nfs/dbraw/zinc/84/43/65/866844365.db2.gz LOQCBYPCFHPFIJ-OWCLPIDISA-N 1 2 319.449 1.687 20 30 DDEDLO C=CCC[C@H](C)[NH+]1CC(N(C)C(=O)CCCS(C)(=O)=O)C1 ZINC001323994438 866997745 /nfs/dbraw/zinc/99/77/45/866997745.db2.gz HEIHVFGJQZSZAG-ZDUSSCGKSA-N 1 2 316.467 1.309 20 30 DDEDLO C=CCC[C@@H](C)[NH+]1CC(N(C)C(=O)CCCS(C)(=O)=O)C1 ZINC001323994435 866998876 /nfs/dbraw/zinc/99/88/76/866998876.db2.gz HEIHVFGJQZSZAG-CYBMUJFWSA-N 1 2 316.467 1.309 20 30 DDEDLO CCOCC(=O)NC1CC[NH+](Cc2cc(F)ccc2C#N)CC1 ZINC001225904309 882104233 /nfs/dbraw/zinc/10/42/33/882104233.db2.gz UBLSMDXSEKXPMN-UHFFFAOYSA-N 1 2 319.380 1.814 20 30 DDEDLO C#CCCCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2csc(C)n2)C1 ZINC001325084430 867758340 /nfs/dbraw/zinc/75/83/40/867758340.db2.gz WZLNSTCCKQRRSU-INIZCTEOSA-N 1 2 321.446 1.308 20 30 DDEDLO C#CCCCC(=O)NC[C@@]1(O)CC[N@H+](Cc2csc(C)n2)C1 ZINC001325084430 867758352 /nfs/dbraw/zinc/75/83/52/867758352.db2.gz WZLNSTCCKQRRSU-INIZCTEOSA-N 1 2 321.446 1.308 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@]1(O)CC[N@H+](Cc2coc(C)n2)C1 ZINC001325114418 867783661 /nfs/dbraw/zinc/78/36/61/867783661.db2.gz LTKAGMSOMBPQOH-KRWDZBQOSA-N 1 2 321.421 1.638 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@]1(O)CC[N@@H+](Cc2coc(C)n2)C1 ZINC001325114418 867783667 /nfs/dbraw/zinc/78/36/67/867783667.db2.gz LTKAGMSOMBPQOH-KRWDZBQOSA-N 1 2 321.421 1.638 20 30 DDEDLO C[C@@H](C[NH2+]Cc1cnsn1)NC(=O)CC#Cc1ccccc1 ZINC001322083025 867970817 /nfs/dbraw/zinc/97/08/17/867970817.db2.gz LVAKKCQYXFQUBT-ZDUSSCGKSA-N 1 2 314.414 1.574 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(-c2ccc(CC#N)c(C)n2)cn1 ZINC001163416671 868435890 /nfs/dbraw/zinc/43/58/90/868435890.db2.gz SNJQPWAKPARUDM-AWEZNQCLSA-N 1 2 313.361 1.075 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1(C[NH2+]CC(F)(F)C(F)F)CC1 ZINC001163840092 868749040 /nfs/dbraw/zinc/74/90/40/868749040.db2.gz DPULSPBCQKTBMN-SECBINFHSA-N 1 2 309.307 1.923 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2cc(Cl)nc3[nH]cc(C#N)c32)C[NH2+]1 ZINC001164307280 869109597 /nfs/dbraw/zinc/10/95/97/869109597.db2.gz WLDPMVXSZPWCSJ-WPRPVWTQSA-N 1 2 319.752 1.403 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(C)c2C#N)C1 ZINC001337894081 869673417 /nfs/dbraw/zinc/67/34/17/869673417.db2.gz LWSVNWZHALEJKF-CQSZACIVSA-N 1 2 324.384 1.019 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(C)c2C#N)C1 ZINC001337894081 869673422 /nfs/dbraw/zinc/67/34/22/869673422.db2.gz LWSVNWZHALEJKF-CQSZACIVSA-N 1 2 324.384 1.019 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCn2cc[nH+]c2)[C@@H]1C ZINC001338269049 869895591 /nfs/dbraw/zinc/89/55/91/869895591.db2.gz YFZHUJOLBCSIOP-CABCVRRESA-N 1 2 318.421 1.735 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001338282415 869901569 /nfs/dbraw/zinc/90/15/69/869901569.db2.gz JSJJVPSANLHXGJ-HUUCEWRRSA-N 1 2 316.405 1.182 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@@H]3C[C@H](O)C[N@@H+]3C)n2C)C1 ZINC001338425239 869974146 /nfs/dbraw/zinc/97/41/46/869974146.db2.gz ZJCZLSKKOWIAHB-RDBSUJKOSA-N 1 2 305.426 1.345 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@@H]3C[C@H](O)C[N@H+]3C)n2C)C1 ZINC001338425239 869974150 /nfs/dbraw/zinc/97/41/50/869974150.db2.gz ZJCZLSKKOWIAHB-RDBSUJKOSA-N 1 2 305.426 1.345 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)c2cc(F)cc(Cl)c2)CC1 ZINC001316967950 870010689 /nfs/dbraw/zinc/01/06/89/870010689.db2.gz JMFLUOMVJFFLGD-UHFFFAOYSA-N 1 2 324.787 1.350 20 30 DDEDLO CCc1ccccc1C(=O)NCC[NH+]1CCN(CC#N)CC1 ZINC001316967083 870011036 /nfs/dbraw/zinc/01/10/36/870011036.db2.gz PZKCZQJUWOAVDB-UHFFFAOYSA-N 1 2 300.406 1.120 20 30 DDEDLO C=C[C@@H](CC(=O)N[C@@H]1CC[N@@H+](CC(N)=O)C1)c1ccccc1 ZINC001316995750 870095299 /nfs/dbraw/zinc/09/52/99/870095299.db2.gz SEKAFRPDZMFMSD-DZGCQCFKSA-N 1 2 301.390 1.022 20 30 DDEDLO C=C[C@@H](CC(=O)N[C@@H]1CC[N@H+](CC(N)=O)C1)c1ccccc1 ZINC001316995750 870095309 /nfs/dbraw/zinc/09/53/09/870095309.db2.gz SEKAFRPDZMFMSD-DZGCQCFKSA-N 1 2 301.390 1.022 20 30 DDEDLO Cc1noc(C[NH+]2CCC3(C[C@@H]3NC(=O)C#CC(C)C)CC2)n1 ZINC001316996875 870098841 /nfs/dbraw/zinc/09/88/41/870098841.db2.gz SDWGOUYYGKAJBF-AWEZNQCLSA-N 1 2 316.405 1.508 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3cccc(C#N)c3)n2C)CC1 ZINC001338923931 870243812 /nfs/dbraw/zinc/24/38/12/870243812.db2.gz JXWJRAMBNNCNLA-UHFFFAOYSA-N 1 2 320.400 1.033 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001298134529 870304312 /nfs/dbraw/zinc/30/43/12/870304312.db2.gz DYOKYRMRTOBKGM-OLZOCXBDSA-N 1 2 318.421 1.566 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1C ZINC001339282307 870436802 /nfs/dbraw/zinc/43/68/02/870436802.db2.gz FVXWHLCYPCNKRU-CABCVRRESA-N 1 2 317.437 1.589 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1C ZINC001339282307 870436808 /nfs/dbraw/zinc/43/68/08/870436808.db2.gz FVXWHLCYPCNKRU-CABCVRRESA-N 1 2 317.437 1.589 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001317203367 870464841 /nfs/dbraw/zinc/46/48/41/870464841.db2.gz YXTDLCBYYOMJKN-LSDHHAIUSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCC[N@H+](Cc2cnn(CC)n2)C1 ZINC001317203367 870464851 /nfs/dbraw/zinc/46/48/51/870464851.db2.gz YXTDLCBYYOMJKN-LSDHHAIUSA-N 1 2 319.453 1.981 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@H+](C/C(Cl)=C/Cl)C[C@H]21 ZINC001317211710 870477876 /nfs/dbraw/zinc/47/78/76/870477876.db2.gz SPDXFHBQWFWZEX-QACCXXMYSA-N 1 2 319.232 1.679 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@@H+](C/C(Cl)=C/Cl)C[C@H]21 ZINC001317211710 870477878 /nfs/dbraw/zinc/47/78/78/870477878.db2.gz SPDXFHBQWFWZEX-QACCXXMYSA-N 1 2 319.232 1.679 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)[NH2+]Cc1nc(C(F)F)no1 ZINC001317255215 870543028 /nfs/dbraw/zinc/54/30/28/870543028.db2.gz IXXJXCZFRGVMLC-MRVPVSSYSA-N 1 2 302.325 1.814 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001298658367 870661375 /nfs/dbraw/zinc/66/13/75/870661375.db2.gz PLFRZDQQELRRKO-CYBMUJFWSA-N 1 2 306.410 1.496 20 30 DDEDLO C=C1CCC(C(=O)N[C@H](C)CNC(=O)CCn2cc[nH+]c2)CC1 ZINC001298699120 870680555 /nfs/dbraw/zinc/68/05/55/870680555.db2.gz QICGCOOQPQPGKT-CQSZACIVSA-N 1 2 318.421 1.641 20 30 DDEDLO N#Cc1cccc(N2C[C@]3(CC[N@H+](Cc4ncc[nH]4)C3)CC2=O)c1 ZINC001203974351 870860280 /nfs/dbraw/zinc/86/02/80/870860280.db2.gz BQHXHCQPETUKRU-GOSISDBHSA-N 1 2 321.384 1.910 20 30 DDEDLO N#Cc1cccc(N2C[C@]3(CC[N@@H+](Cc4ncc[nH]4)C3)CC2=O)c1 ZINC001203974351 870860290 /nfs/dbraw/zinc/86/02/90/870860290.db2.gz BQHXHCQPETUKRU-GOSISDBHSA-N 1 2 321.384 1.910 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@H+]1[C@@H](C)c1nncn1C ZINC001317499985 870928084 /nfs/dbraw/zinc/92/80/84/870928084.db2.gz SZVJQYFXZFFYPN-KBPBESRZSA-N 1 2 303.410 1.260 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@@H+]1[C@@H](C)c1nncn1C ZINC001317499985 870928098 /nfs/dbraw/zinc/92/80/98/870928098.db2.gz SZVJQYFXZFFYPN-KBPBESRZSA-N 1 2 303.410 1.260 20 30 DDEDLO C=CCN(c1nnc([C@H]2C[C@@H](O)C[N@H+]2C)n1CC=C)C1CC1 ZINC001340258862 870991791 /nfs/dbraw/zinc/99/17/91/870991791.db2.gz PDJJGVHNZGLVHT-ZIAGYGMSSA-N 1 2 303.410 1.356 20 30 DDEDLO C=CCN(c1nnc([C@H]2C[C@@H](O)C[N@@H+]2C)n1CC=C)C1CC1 ZINC001340258862 870991798 /nfs/dbraw/zinc/99/17/98/870991798.db2.gz PDJJGVHNZGLVHT-ZIAGYGMSSA-N 1 2 303.410 1.356 20 30 DDEDLO C=CCOC[C@@H]1c2c(ncn2C)CC[N@H+]1Cc1cnn(C)c1C ZINC001204570816 871147896 /nfs/dbraw/zinc/14/78/96/871147896.db2.gz SZVFSILNTSUVHN-MRXNPFEDSA-N 1 2 315.421 1.764 20 30 DDEDLO C=CCOC[C@@H]1c2c(ncn2C)CC[N@@H+]1Cc1cnn(C)c1C ZINC001204570816 871147905 /nfs/dbraw/zinc/14/79/05/871147905.db2.gz SZVFSILNTSUVHN-MRXNPFEDSA-N 1 2 315.421 1.764 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)[C@H](F)CC)C1 ZINC001205167860 871408274 /nfs/dbraw/zinc/40/82/74/871408274.db2.gz YENYJQNHOQHWMJ-BRWVUGGUSA-N 1 2 318.392 1.442 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)[C@H](F)CC)C1 ZINC001205167860 871408285 /nfs/dbraw/zinc/40/82/85/871408285.db2.gz YENYJQNHOQHWMJ-BRWVUGGUSA-N 1 2 318.392 1.442 20 30 DDEDLO C=C(C)CCC(=O)NC1C[NH+](CCOC[C@H]2CCCCO2)C1 ZINC001318028871 871699277 /nfs/dbraw/zinc/69/92/77/871699277.db2.gz CRQUUJGMIGCLOT-MRXNPFEDSA-N 1 2 310.438 1.729 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(C)s2)C1 ZINC001205740102 871767038 /nfs/dbraw/zinc/76/70/38/871767038.db2.gz AWFDMYYNRJWGJJ-DGCLKSJQSA-N 1 2 321.446 1.409 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(C)s2)C1 ZINC001205740102 871767055 /nfs/dbraw/zinc/76/70/55/871767055.db2.gz AWFDMYYNRJWGJJ-DGCLKSJQSA-N 1 2 321.446 1.409 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccn1 ZINC001318196772 871818536 /nfs/dbraw/zinc/81/85/36/871818536.db2.gz ZRILNAHQRUSXLZ-ZOBUZTSGSA-N 1 2 301.390 1.194 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccn1 ZINC001318196772 871818566 /nfs/dbraw/zinc/81/85/66/871818566.db2.gz ZRILNAHQRUSXLZ-ZOBUZTSGSA-N 1 2 301.390 1.194 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc([C@@H]3C[N@H+](C(C)C)CCO3)n2C)C1 ZINC001341798698 871829310 /nfs/dbraw/zinc/82/93/10/871829310.db2.gz UNCFOIXTVQKKDR-GJZGRUSLSA-N 1 2 317.437 1.446 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc([C@@H]3C[N@@H+](C(C)C)CCO3)n2C)C1 ZINC001341798698 871829324 /nfs/dbraw/zinc/82/93/24/871829324.db2.gz UNCFOIXTVQKKDR-GJZGRUSLSA-N 1 2 317.437 1.446 20 30 DDEDLO CS[C@H](C)C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001318321421 871928973 /nfs/dbraw/zinc/92/89/73/871928973.db2.gz GTRGBQHNUGSKFM-GDBMZVCRSA-N 1 2 302.443 1.980 20 30 DDEDLO CS[C@H](C)C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001318321421 871928985 /nfs/dbraw/zinc/92/89/85/871928985.db2.gz GTRGBQHNUGSKFM-GDBMZVCRSA-N 1 2 302.443 1.980 20 30 DDEDLO C=CCN(c1nnc(C[NH+]2CCCC2)n1CCOC)C1CC1 ZINC001342387241 872186215 /nfs/dbraw/zinc/18/62/15/872186215.db2.gz SMKMDNRGRBMIOX-UHFFFAOYSA-N 1 2 305.426 1.675 20 30 DDEDLO C=CCO[C@@H]1CCN(c2nnc(Cc3[nH+]ccn3C)n2CC)C1 ZINC001342592647 872281235 /nfs/dbraw/zinc/28/12/35/872281235.db2.gz VBBRPKBZDVUAGU-CYBMUJFWSA-N 1 2 316.409 1.404 20 30 DDEDLO C#CCCCC(=O)N(C)C1CC[NH+](Cc2ncccn2)CC1 ZINC001316931306 872405804 /nfs/dbraw/zinc/40/58/04/872405804.db2.gz BRYMSRMDIFXSOS-UHFFFAOYSA-N 1 2 300.406 1.703 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@H]2CCC[N@@H+]2C)n1CCOC ZINC001343102147 872501954 /nfs/dbraw/zinc/50/19/54/872501954.db2.gz GRRNGGOVININKV-AWEZNQCLSA-N 1 2 305.426 1.870 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@@H]2CCC[N@H+]2C)n1CCOC ZINC001343102147 872501965 /nfs/dbraw/zinc/50/19/65/872501965.db2.gz GRRNGGOVININKV-AWEZNQCLSA-N 1 2 305.426 1.870 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)c(C)o1 ZINC001206947230 872826250 /nfs/dbraw/zinc/82/62/50/872826250.db2.gz LDEINNHCGSXYMU-IUODEOHRSA-N 1 2 303.406 1.887 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)c(C)o1 ZINC001206947230 872826257 /nfs/dbraw/zinc/82/62/57/872826257.db2.gz LDEINNHCGSXYMU-IUODEOHRSA-N 1 2 303.406 1.887 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001206951793 872836614 /nfs/dbraw/zinc/83/66/14/872836614.db2.gz JQEYFBLXWXLZRR-DGCLKSJQSA-N 1 2 320.462 1.833 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001206951793 872836622 /nfs/dbraw/zinc/83/66/22/872836622.db2.gz JQEYFBLXWXLZRR-DGCLKSJQSA-N 1 2 320.462 1.833 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2cncc(OC)c2)C1 ZINC001207000123 872911456 /nfs/dbraw/zinc/91/14/56/872911456.db2.gz FXDDZOFNQCCGAQ-CQSZACIVSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2cncc(OC)c2)C1 ZINC001207000123 872911462 /nfs/dbraw/zinc/91/14/62/872911462.db2.gz FXDDZOFNQCCGAQ-CQSZACIVSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2ccnc(CC)n2)C1 ZINC001207090635 873005887 /nfs/dbraw/zinc/00/58/87/873005887.db2.gz LFZLJYYBOCQZND-CYBMUJFWSA-N 1 2 304.394 1.916 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2ccnc(CC)n2)C1 ZINC001207090635 873005906 /nfs/dbraw/zinc/00/59/06/873005906.db2.gz LFZLJYYBOCQZND-CYBMUJFWSA-N 1 2 304.394 1.916 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@@H]2CCCN(C(=O)CSCC#N)C2)n1 ZINC001207429151 873258191 /nfs/dbraw/zinc/25/81/91/873258191.db2.gz AQNQKXWQXLXGIU-CMPLNLGQSA-N 1 2 323.422 1.276 20 30 DDEDLO C#CCN(CC)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC(C)C ZINC001345132235 873266467 /nfs/dbraw/zinc/26/64/67/873266467.db2.gz LKHHGBWZWVCAIU-WMLDXEAASA-N 1 2 319.453 1.305 20 30 DDEDLO C#CCN(CC)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC(C)C ZINC001345132235 873266480 /nfs/dbraw/zinc/26/64/80/873266480.db2.gz LKHHGBWZWVCAIU-WMLDXEAASA-N 1 2 319.453 1.305 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@@H]1C ZINC001345337041 873362402 /nfs/dbraw/zinc/36/24/02/873362402.db2.gz QKDXWLWBPLGLJB-HIFRSBDPSA-N 1 2 316.405 1.107 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)[C@@H]1C ZINC001345337041 873362414 /nfs/dbraw/zinc/36/24/14/873362414.db2.gz QKDXWLWBPLGLJB-HIFRSBDPSA-N 1 2 316.405 1.107 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@]2(C1)C[N@H+](CC#CC)CCO2 ZINC001276541341 873412184 /nfs/dbraw/zinc/41/21/84/873412184.db2.gz XQHHLSRTNZNAID-GOSISDBHSA-N 1 2 320.433 1.296 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@]2(C1)C[N@@H+](CC#CC)CCO2 ZINC001276541341 873412185 /nfs/dbraw/zinc/41/21/85/873412185.db2.gz XQHHLSRTNZNAID-GOSISDBHSA-N 1 2 320.433 1.296 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2([NH2+]Cc3nnnn3C3CC3)CC2)C1 ZINC001277169734 882744174 /nfs/dbraw/zinc/74/41/74/882744174.db2.gz DKQATVASVLORLY-UHFFFAOYSA-N 1 2 316.409 1.103 20 30 DDEDLO C#CCCCCC(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001226961529 882742364 /nfs/dbraw/zinc/74/23/64/882742364.db2.gz GUEOWSXRKWDDJK-UHFFFAOYSA-N 1 2 317.437 1.572 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ncc(C)cn2)C[C@H]1C ZINC001208374632 874080664 /nfs/dbraw/zinc/08/06/64/874080664.db2.gz QJYUQPBSBCXIKC-HZPDHXFCSA-N 1 2 314.433 1.915 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)cn2)C[C@H]1C ZINC001208374632 874080681 /nfs/dbraw/zinc/08/06/81/874080681.db2.gz QJYUQPBSBCXIKC-HZPDHXFCSA-N 1 2 314.433 1.915 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)Cn2nccc2C)C1 ZINC001378203475 874421086 /nfs/dbraw/zinc/42/10/86/874421086.db2.gz VYXVGZSYTIOSND-AWEZNQCLSA-N 1 2 310.829 1.867 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)Cn2nccc2C)C1 ZINC001378203475 874421091 /nfs/dbraw/zinc/42/10/91/874421091.db2.gz VYXVGZSYTIOSND-AWEZNQCLSA-N 1 2 310.829 1.867 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001209144969 874690651 /nfs/dbraw/zinc/69/06/51/874690651.db2.gz BDKYXORCNLMTPV-AWEZNQCLSA-N 1 2 318.421 1.906 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(C#N)c2C)C1 ZINC001350204726 875573747 /nfs/dbraw/zinc/57/37/47/875573747.db2.gz UMHQCXBZENNGJY-OAHLLOKOSA-N 1 2 324.384 1.019 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(C#N)c2C)C1 ZINC001350204726 875573755 /nfs/dbraw/zinc/57/37/55/875573755.db2.gz UMHQCXBZENNGJY-OAHLLOKOSA-N 1 2 324.384 1.019 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@H]1OC ZINC001213271108 875869602 /nfs/dbraw/zinc/86/96/02/875869602.db2.gz HSUOVYONHNETGU-HUUCEWRRSA-N 1 2 318.421 1.313 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@H]1OC ZINC001213271108 875869607 /nfs/dbraw/zinc/86/96/07/875869607.db2.gz HSUOVYONHNETGU-HUUCEWRRSA-N 1 2 318.421 1.313 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(CC#C)CCCCC2)[C@H](OC)C1 ZINC001213958125 876112830 /nfs/dbraw/zinc/11/28/30/876112830.db2.gz ZUGSBTKFTHZIGD-HZPDHXFCSA-N 1 2 302.418 1.409 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(CC#C)CCCCC2)[C@H](OC)C1 ZINC001213958125 876112834 /nfs/dbraw/zinc/11/28/34/876112834.db2.gz ZUGSBTKFTHZIGD-HZPDHXFCSA-N 1 2 302.418 1.409 20 30 DDEDLO CN(CC[N@H+](C)Cc1cc(C#N)ccc1F)C(=O)[C@@H]1CCOC1 ZINC001379024162 876158783 /nfs/dbraw/zinc/15/87/83/876158783.db2.gz GWWRBYUHAVLIHC-CQSZACIVSA-N 1 2 319.380 1.624 20 30 DDEDLO CN(CC[N@@H+](C)Cc1cc(C#N)ccc1F)C(=O)[C@@H]1CCOC1 ZINC001379024162 876158794 /nfs/dbraw/zinc/15/87/94/876158794.db2.gz GWWRBYUHAVLIHC-CQSZACIVSA-N 1 2 319.380 1.624 20 30 DDEDLO CC(C)C#CC(=O)NCCCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001351460359 876265176 /nfs/dbraw/zinc/26/51/76/876265176.db2.gz WSSBEQKXEVJJGS-ZIAGYGMSSA-N 1 2 316.405 1.137 20 30 DDEDLO CC(C)C#CC(=O)NCCCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001351460359 876265190 /nfs/dbraw/zinc/26/51/90/876265190.db2.gz WSSBEQKXEVJJGS-ZIAGYGMSSA-N 1 2 316.405 1.137 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)[C@H](CC#N)c1ccccc1 ZINC001362116990 883080941 /nfs/dbraw/zinc/08/09/41/883080941.db2.gz UPMFERDUVKGPDP-DOTOQJQBSA-N 1 2 314.433 1.436 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001352924908 877015889 /nfs/dbraw/zinc/01/58/89/877015889.db2.gz UGXOBZFBMWHXSG-OAHLLOKOSA-N 1 2 316.405 1.253 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001352924908 877015903 /nfs/dbraw/zinc/01/59/03/877015903.db2.gz UGXOBZFBMWHXSG-OAHLLOKOSA-N 1 2 316.405 1.253 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(CC)n1C[C@H](C)[NH+]1CCOCC1 ZINC001300312186 877367368 /nfs/dbraw/zinc/36/73/68/877367368.db2.gz XZGUEQKEPDIHIV-KBPBESRZSA-N 1 2 305.426 1.019 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2CN(Cc3c[nH+]cn3C)C[C@@H]21 ZINC001218038252 877378880 /nfs/dbraw/zinc/37/88/80/877378880.db2.gz WQXUQQSNTYUNQC-JKSUJKDBSA-N 1 2 318.421 1.188 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH+]cn2C)C1 ZINC001353788594 877566169 /nfs/dbraw/zinc/56/61/69/877566169.db2.gz MCQOBZNXBLREJS-OKILXGFUSA-N 1 2 318.421 1.472 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@H]([C@H](C)[NH2+]Cc2csnn2)C1 ZINC001276789841 877586504 /nfs/dbraw/zinc/58/65/04/877586504.db2.gz PBQHHROHCXOEMK-JSGCOSHPSA-N 1 2 324.450 1.600 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@H]1CCc2nccn2C1 ZINC001379695427 877761128 /nfs/dbraw/zinc/76/11/28/877761128.db2.gz GGMPYEAWMXONBC-STQMWFEESA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@H]1CCc2nccn2C1 ZINC001379695427 877761143 /nfs/dbraw/zinc/76/11/43/877761143.db2.gz GGMPYEAWMXONBC-STQMWFEESA-N 1 2 310.829 1.635 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@@H]2CCCS2)CC1 ZINC001300685088 878138031 /nfs/dbraw/zinc/13/80/31/878138031.db2.gz FYTSBZFSAGLORC-AWEZNQCLSA-N 1 2 305.451 1.237 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CC[C@H]2CCCCO2)CC1 ZINC001300686073 878140592 /nfs/dbraw/zinc/14/05/92/878140592.db2.gz WZIGQLZMAAZWNQ-MRXNPFEDSA-N 1 2 317.437 1.301 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001354955951 878339145 /nfs/dbraw/zinc/33/91/45/878339145.db2.gz IQQUECPRCJNXSY-CQSZACIVSA-N 1 2 316.405 1.111 20 30 DDEDLO CC/C(C)=C\C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219576233 878352822 /nfs/dbraw/zinc/35/28/22/878352822.db2.gz KHEFHWRDSZVUQP-ALLMMHQKSA-N 1 2 319.430 1.637 20 30 DDEDLO CC/C(C)=C\C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219576233 878352837 /nfs/dbraw/zinc/35/28/37/878352837.db2.gz KHEFHWRDSZVUQP-ALLMMHQKSA-N 1 2 319.430 1.637 20 30 DDEDLO CCC[C@@H](C)CCCC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001219694556 878458601 /nfs/dbraw/zinc/45/86/01/878458601.db2.gz DWDAMZWYKQDMHQ-ZACQAIPSSA-N 1 2 324.465 1.404 20 30 DDEDLO CCC[C@@H](C)CCCC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001219694556 878458603 /nfs/dbraw/zinc/45/86/03/878458603.db2.gz DWDAMZWYKQDMHQ-ZACQAIPSSA-N 1 2 324.465 1.404 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@@H]1O ZINC001219706827 878469174 /nfs/dbraw/zinc/46/91/74/878469174.db2.gz PQOMKJSMZDDXBD-CFVMTHIKSA-N 1 2 318.421 1.301 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@@H]1O ZINC001219706827 878469180 /nfs/dbraw/zinc/46/91/80/878469180.db2.gz PQOMKJSMZDDXBD-CFVMTHIKSA-N 1 2 318.421 1.301 20 30 DDEDLO C=CCn1c(N2CCC(C)CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001356135295 878882383 /nfs/dbraw/zinc/88/23/83/878882383.db2.gz WAVKWEXAMHZTDT-RHSMWYFYSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N2CCC(C)CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001356135295 878882386 /nfs/dbraw/zinc/88/23/86/878882386.db2.gz WAVKWEXAMHZTDT-RHSMWYFYSA-N 1 2 319.453 1.612 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CCCCC2(C)C)[C@@H](O)C1 ZINC001221124493 879516972 /nfs/dbraw/zinc/51/69/72/879516972.db2.gz KRGKXJFTOFUVCM-OAGGEKHMSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCCC2(C)C)[C@@H](O)C1 ZINC001221124493 879516984 /nfs/dbraw/zinc/51/69/84/879516984.db2.gz KRGKXJFTOFUVCM-OAGGEKHMSA-N 1 2 322.449 1.014 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](CC)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001356928620 879596970 /nfs/dbraw/zinc/59/69/70/879596970.db2.gz IYSGDPRFASRQGN-LRDDRELGSA-N 1 2 306.410 1.566 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1C[C@H]2CCN(CC#N)C[C@H]21)n1cc[nH+]c1 ZINC001221635866 879982919 /nfs/dbraw/zinc/98/29/19/879982919.db2.gz BEJYKHUXYBIDOZ-OWCLPIDISA-N 1 2 315.421 1.527 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)CNC(=O)Cn2cc[nH+]c2)CCCC1 ZINC001358525025 880488708 /nfs/dbraw/zinc/48/87/08/880488708.db2.gz FPTGFYXGSITPLI-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](Cc2nnc(C3CC3)[nH]2)CC1 ZINC001222609383 880598368 /nfs/dbraw/zinc/59/83/68/880598368.db2.gz JDXUJAXJDLPLQP-UHFFFAOYSA-N 1 2 317.437 1.977 20 30 DDEDLO C#CCCCC(=O)N1CC(N(C)C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001358732849 880825230 /nfs/dbraw/zinc/82/52/30/880825230.db2.gz IWRHPEGUBYMBIN-ZDUSSCGKSA-N 1 2 316.405 1.061 20 30 DDEDLO C#CCCCC(=O)N1CC(N(C)C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001358732849 880825242 /nfs/dbraw/zinc/82/52/42/880825242.db2.gz IWRHPEGUBYMBIN-ZDUSSCGKSA-N 1 2 316.405 1.061 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccsc1COC ZINC001276876083 880891833 /nfs/dbraw/zinc/89/18/33/880891833.db2.gz RNMSBARYABNVTP-CYBMUJFWSA-N 1 2 322.430 1.348 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccsc1COC ZINC001276876083 880891851 /nfs/dbraw/zinc/89/18/51/880891851.db2.gz RNMSBARYABNVTP-CYBMUJFWSA-N 1 2 322.430 1.348 20 30 DDEDLO COCC(=O)N[C@H]1CC[N@H+](Cc2cc(F)ccc2C#N)[C@@H](C)C1 ZINC001381170282 881179608 /nfs/dbraw/zinc/17/96/08/881179608.db2.gz RXKGBUQAJYLOEW-LRDDRELGSA-N 1 2 319.380 1.813 20 30 DDEDLO COCC(=O)N[C@H]1CC[N@@H+](Cc2cc(F)ccc2C#N)[C@@H](C)C1 ZINC001381170282 881179616 /nfs/dbraw/zinc/17/96/16/881179616.db2.gz RXKGBUQAJYLOEW-LRDDRELGSA-N 1 2 319.380 1.813 20 30 DDEDLO CC#CCCCC(=O)NCC1CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001224158402 881247504 /nfs/dbraw/zinc/24/75/04/881247504.db2.gz STVHASSUJQOQBZ-UHFFFAOYSA-N 1 2 317.437 1.635 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224187110 881262995 /nfs/dbraw/zinc/26/29/95/881262995.db2.gz LVJHDISYRCPLGX-AWEZNQCLSA-N 1 2 319.453 1.746 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001228750192 883652771 /nfs/dbraw/zinc/65/27/71/883652771.db2.gz XEIBQAORUXOKOK-STQMWFEESA-N 1 2 305.426 1.354 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001228750191 883653434 /nfs/dbraw/zinc/65/34/34/883653434.db2.gz XEIBQAORUXOKOK-QWHCGFSZSA-N 1 2 305.426 1.354 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3c(C#N)cccc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001228773544 883662828 /nfs/dbraw/zinc/66/28/28/883662828.db2.gz JKDJUYUYWYOUIA-HJTUNCCVSA-N 1 2 301.302 1.458 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3c(C#N)cccc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001228773544 883662831 /nfs/dbraw/zinc/66/28/31/883662831.db2.gz JKDJUYUYWYOUIA-HJTUNCCVSA-N 1 2 301.302 1.458 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])c(C#N)c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229525968 884027877 /nfs/dbraw/zinc/02/78/77/884027877.db2.gz QZWUVJOWEULGHH-GAAPNJIFSA-N 1 2 301.302 1.458 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])c(C#N)c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229525968 884027894 /nfs/dbraw/zinc/02/78/94/884027894.db2.gz QZWUVJOWEULGHH-GAAPNJIFSA-N 1 2 301.302 1.458 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2ccc(C3(C#N)CC3)cc2)C[N@@H+]1C ZINC001229944235 884242837 /nfs/dbraw/zinc/24/28/37/884242837.db2.gz MBZDCWNOPGNJDW-CABCVRRESA-N 1 2 300.358 1.866 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2ccc(C3(C#N)CC3)cc2)C[N@H+]1C ZINC001229944235 884242855 /nfs/dbraw/zinc/24/28/55/884242855.db2.gz MBZDCWNOPGNJDW-CABCVRRESA-N 1 2 300.358 1.866 20 30 DDEDLO N#CC[C@H](C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1)c1ccccc1 ZINC001362738915 884517665 /nfs/dbraw/zinc/51/76/65/884517665.db2.gz MTXMSUFQVOPOTP-HOTGVXAUSA-N 1 2 309.373 1.580 20 30 DDEDLO C=CCCCC(=O)N(C)C1CC(NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001288339894 912840751 /nfs/dbraw/zinc/84/07/51/912840751.db2.gz CZLUBIMIPAQWTP-UHFFFAOYSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ocnc1C ZINC001231103612 885230330 /nfs/dbraw/zinc/23/03/30/885230330.db2.gz QTGQNRKBHNGULC-CABCVRRESA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ocnc1C ZINC001231103612 885230342 /nfs/dbraw/zinc/23/03/42/885230342.db2.gz QTGQNRKBHNGULC-CABCVRRESA-N 1 2 321.421 1.997 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccccc1C#N)C(=O)Cc1cc[nH]n1 ZINC001231376187 885570371 /nfs/dbraw/zinc/57/03/71/885570371.db2.gz BWHLMFGIFJVETD-KRWDZBQOSA-N 1 2 323.400 1.557 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccccc1C#N)C(=O)Cc1cc[nH]n1 ZINC001231376187 885570374 /nfs/dbraw/zinc/57/03/74/885570374.db2.gz BWHLMFGIFJVETD-KRWDZBQOSA-N 1 2 323.400 1.557 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C1C[NH+](C[C@H]2CCc3ncnn32)C1 ZINC001277636686 886186065 /nfs/dbraw/zinc/18/60/65/886186065.db2.gz MMINZBCVHBBHKS-CYBMUJFWSA-N 1 2 317.437 1.510 20 30 DDEDLO N#Cc1ccnc(C[N@H+]2CCC[C@@H](NC(=O)N3CCCC3)C2)c1 ZINC001232482534 886422559 /nfs/dbraw/zinc/42/25/59/886422559.db2.gz XXZUKLBHWBKVSG-OAHLLOKOSA-N 1 2 313.405 1.723 20 30 DDEDLO N#Cc1ccnc(C[N@@H+]2CCC[C@@H](NC(=O)N3CCCC3)C2)c1 ZINC001232482534 886422563 /nfs/dbraw/zinc/42/25/63/886422563.db2.gz XXZUKLBHWBKVSG-OAHLLOKOSA-N 1 2 313.405 1.723 20 30 DDEDLO CCOC(=O)NC1CC[NH+](Cc2cc(C#N)ccc2O)CC1 ZINC001232681821 886535195 /nfs/dbraw/zinc/53/51/95/886535195.db2.gz VZTKFGLSLSISEJ-UHFFFAOYSA-N 1 2 303.362 1.974 20 30 DDEDLO N#Cc1ccc(F)cc1C[NH+]1CCC2(CC1)CNC(=O)CO2 ZINC001232892974 886656190 /nfs/dbraw/zinc/65/61/90/886656190.db2.gz KSPFCTVFKIUKBC-UHFFFAOYSA-N 1 2 303.337 1.178 20 30 DDEDLO N#Cc1ccccc1OCC(=O)NCc1[nH]c2c([nH+]1)CCCC2 ZINC001363618124 886779545 /nfs/dbraw/zinc/77/95/45/886779545.db2.gz BHJKMLJGDTYVGB-UHFFFAOYSA-N 1 2 310.357 1.855 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@@H](C)CC ZINC001233965396 887505801 /nfs/dbraw/zinc/50/58/01/887505801.db2.gz CEMHKBBQQMQJLU-GJZGRUSLSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@@H](C)CC ZINC001233965396 887505814 /nfs/dbraw/zinc/50/58/14/887505814.db2.gz CEMHKBBQQMQJLU-GJZGRUSLSA-N 1 2 307.438 1.237 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)coc1C ZINC001234004535 887546442 /nfs/dbraw/zinc/54/64/42/887546442.db2.gz XPIOWJXIZQHJLM-HNNXBMFYSA-N 1 2 304.390 1.693 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)coc1C ZINC001234004535 887546452 /nfs/dbraw/zinc/54/64/52/887546452.db2.gz XPIOWJXIZQHJLM-HNNXBMFYSA-N 1 2 304.390 1.693 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234130902 887674662 /nfs/dbraw/zinc/67/46/62/887674662.db2.gz OPTJHWZUHDGQKA-JKSUJKDBSA-N 1 2 321.465 1.483 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234130902 887674667 /nfs/dbraw/zinc/67/46/67/887674667.db2.gz OPTJHWZUHDGQKA-JKSUJKDBSA-N 1 2 321.465 1.483 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186852 887726359 /nfs/dbraw/zinc/72/63/59/887726359.db2.gz OSTLCCBYGNOOKE-GOEBONIOSA-N 1 2 319.449 1.710 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186852 887726376 /nfs/dbraw/zinc/72/63/76/887726376.db2.gz OSTLCCBYGNOOKE-GOEBONIOSA-N 1 2 319.449 1.710 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC1CC1 ZINC001234510300 888038599 /nfs/dbraw/zinc/03/85/99/888038599.db2.gz WTLGEFKNGSMXOC-MRXNPFEDSA-N 1 2 319.449 1.566 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC1CC1 ZINC001234510300 888038616 /nfs/dbraw/zinc/03/86/16/888038616.db2.gz WTLGEFKNGSMXOC-MRXNPFEDSA-N 1 2 319.449 1.566 20 30 DDEDLO COc1cc(C#N)cc(C)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001234864765 888230666 /nfs/dbraw/zinc/23/06/66/888230666.db2.gz IMBNYLJOPPAEGX-DZZGSBJMSA-N 1 2 300.358 1.867 20 30 DDEDLO COc1cc(C#N)cc(C)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001234864765 888230679 /nfs/dbraw/zinc/23/06/79/888230679.db2.gz IMBNYLJOPPAEGX-DZZGSBJMSA-N 1 2 300.358 1.867 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](CNC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001384952639 888257765 /nfs/dbraw/zinc/25/77/65/888257765.db2.gz ZKIIRCJNIGEEOO-XDQVBPFNSA-N 1 2 317.393 1.005 20 30 DDEDLO C=CCOC(=O)N1CC2(C1)CC[NH+](Cc1ncc(F)cn1)CC2 ZINC001235001257 888322008 /nfs/dbraw/zinc/32/20/08/888322008.db2.gz UEQQHTCDPPQELL-UHFFFAOYSA-N 1 2 320.368 1.836 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1nnc(C)[nH]1 ZINC001235228500 888489519 /nfs/dbraw/zinc/48/95/19/888489519.db2.gz KROBZZPXUDIGOO-GFCCVEGCSA-N 1 2 309.414 1.032 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1nnc(C)[nH]1 ZINC001235228500 888489524 /nfs/dbraw/zinc/48/95/24/888489524.db2.gz KROBZZPXUDIGOO-GFCCVEGCSA-N 1 2 309.414 1.032 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)[C@H](C)c1nc(C)no1 ZINC001235228981 888491931 /nfs/dbraw/zinc/49/19/31/888491931.db2.gz LOVDZPRMZASHKH-OLZOCXBDSA-N 1 2 324.425 1.858 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)[C@H](C)c1nc(C)no1 ZINC001235228981 888491937 /nfs/dbraw/zinc/49/19/37/888491937.db2.gz LOVDZPRMZASHKH-OLZOCXBDSA-N 1 2 324.425 1.858 20 30 DDEDLO N#Cc1cc(F)c(C[NH+]2CCC3(CNC(=O)N3)CC2)c(F)c1 ZINC001235659946 888851783 /nfs/dbraw/zinc/85/17/83/888851783.db2.gz BRRHNVLLYLIIRF-UHFFFAOYSA-N 1 2 306.316 1.484 20 30 DDEDLO CCC(CC)CN(CCC#N)S(=O)(=O)N1CC[NH+](C)CC1 ZINC001364801986 889443099 /nfs/dbraw/zinc/44/30/99/889443099.db2.gz WETGCMNSYUWREE-UHFFFAOYSA-N 1 2 316.471 1.130 20 30 DDEDLO CC[N@H+](CCNC(=O)c1cnon1)Cc1cc(F)ccc1C#N ZINC001377349733 921116402 /nfs/dbraw/zinc/11/64/02/921116402.db2.gz VLDZQOSSGWXRPA-UHFFFAOYSA-N 1 2 317.324 1.332 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1cnon1)Cc1cc(F)ccc1C#N ZINC001377349733 921116404 /nfs/dbraw/zinc/11/64/04/921116404.db2.gz VLDZQOSSGWXRPA-UHFFFAOYSA-N 1 2 317.324 1.332 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2cccc(CC#N)c2)c[nH+]1 ZINC001364986190 889822746 /nfs/dbraw/zinc/82/27/46/889822746.db2.gz XUGZKFNABNXWSS-UHFFFAOYSA-N 1 2 304.375 1.517 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)c2cccc(CC#N)c2)[nH+]1 ZINC001364986190 889822757 /nfs/dbraw/zinc/82/27/57/889822757.db2.gz XUGZKFNABNXWSS-UHFFFAOYSA-N 1 2 304.375 1.517 20 30 DDEDLO N#Cc1c(F)ccc(F)c1NS(=O)(=O)CC1CC[NH2+]CC1 ZINC001237918090 889940093 /nfs/dbraw/zinc/94/00/93/889940093.db2.gz SRXBZXWPDHYVFP-UHFFFAOYSA-N 1 2 315.345 1.578 20 30 DDEDLO Cc1noc(C[NH2+]C[C@H](NC(=O)C#CC2CC2)C2CCCC2)n1 ZINC001278240886 890247735 /nfs/dbraw/zinc/24/77/35/890247735.db2.gz IEXNHCIKAMXRJP-HNNXBMFYSA-N 1 2 316.405 1.556 20 30 DDEDLO N#CCNC[C@@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C1CCCC1 ZINC001278249680 890268335 /nfs/dbraw/zinc/26/83/35/890268335.db2.gz FWDFYLDVAROLIG-GDBMZVCRSA-N 1 2 315.421 1.234 20 30 DDEDLO N#Cc1cc(NC(=O)N[C@@H]2Cc3c[nH+]cn3C2)c(F)cc1F ZINC001365280351 890494242 /nfs/dbraw/zinc/49/42/42/890494242.db2.gz YSBNXTXMEGBNHQ-SECBINFHSA-N 1 2 303.272 1.779 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCN1CCC[C@](C#N)(c2ccccn2)C1 ZINC001365318148 890576677 /nfs/dbraw/zinc/57/66/77/890576677.db2.gz VDHYBNIAZKBWQR-SJLPKXTDSA-N 1 2 314.433 1.659 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCN1CCC[C@](C#N)(c2ccccn2)C1 ZINC001365318148 890576691 /nfs/dbraw/zinc/57/66/91/890576691.db2.gz VDHYBNIAZKBWQR-SJLPKXTDSA-N 1 2 314.433 1.659 20 30 DDEDLO N#CCc1ncc(-c2ccc(OCC[NH+]3CCOCC3)cc2)cn1 ZINC001240450426 890933699 /nfs/dbraw/zinc/93/36/99/890933699.db2.gz YFJWIRSCYXHWRN-UHFFFAOYSA-N 1 2 324.384 1.921 20 30 DDEDLO C#CCOCCC(=O)N[C@]1(C)CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001278397695 891992736 /nfs/dbraw/zinc/99/27/36/891992736.db2.gz VKISLDQPICFBTB-WMLDXEAASA-N 1 2 316.405 1.158 20 30 DDEDLO C#CCOCCC(=O)N[C@]1(C)CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001278397695 891992759 /nfs/dbraw/zinc/99/27/59/891992759.db2.gz VKISLDQPICFBTB-WMLDXEAASA-N 1 2 316.405 1.158 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001365981494 892121496 /nfs/dbraw/zinc/12/14/96/892121496.db2.gz MHCLYQMKJSUAEB-ZDUSSCGKSA-N 1 2 309.797 1.663 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001365981494 892121503 /nfs/dbraw/zinc/12/15/03/892121503.db2.gz MHCLYQMKJSUAEB-ZDUSSCGKSA-N 1 2 309.797 1.663 20 30 DDEDLO CC(C)Cc1nc(C[NH2+][C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)no1 ZINC001366110174 892490432 /nfs/dbraw/zinc/49/04/32/892490432.db2.gz OZDZOOULVBNZDP-SRVKXCTJSA-N 1 2 305.382 1.165 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)CC(C)(C)C)CCC[N@@H+]1CC(=O)NCC#N ZINC001278498776 893536045 /nfs/dbraw/zinc/53/60/45/893536045.db2.gz AIWHXDDZTWHURU-STQMWFEESA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)CC(C)(C)C)CCC[N@H+]1CC(=O)NCC#N ZINC001278498776 893536069 /nfs/dbraw/zinc/53/60/69/893536069.db2.gz AIWHXDDZTWHURU-STQMWFEESA-N 1 2 308.426 1.031 20 30 DDEDLO CCO[C@H](C)C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001366517059 893818303 /nfs/dbraw/zinc/81/83/03/893818303.db2.gz UVCRDURIZOKMJG-GFCCVEGCSA-N 1 2 307.369 1.670 20 30 DDEDLO CCO[C@H](C)C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001366517059 893818329 /nfs/dbraw/zinc/81/83/29/893818329.db2.gz UVCRDURIZOKMJG-GFCCVEGCSA-N 1 2 307.369 1.670 20 30 DDEDLO C=CCOC[C@H]1C[N@@H+](C[C@H](O)CC)Cc2nnn(CC3CC3)c21 ZINC001251769043 894804723 /nfs/dbraw/zinc/80/47/23/894804723.db2.gz MZUAPZUSURKCGF-HUUCEWRRSA-N 1 2 320.437 1.561 20 30 DDEDLO C=CCOC[C@H]1C[N@H+](C[C@H](O)CC)Cc2nnn(CC3CC3)c21 ZINC001251769043 894804736 /nfs/dbraw/zinc/80/47/36/894804736.db2.gz MZUAPZUSURKCGF-HUUCEWRRSA-N 1 2 320.437 1.561 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)CCC[N@@H+]([C@@H](C)c2nnnn2C)C1 ZINC001278648804 895032711 /nfs/dbraw/zinc/03/27/11/895032711.db2.gz UGMNUKJUFJNOFQ-BBRMVZONSA-N 1 2 320.441 1.456 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)CCC[N@H+]([C@@H](C)c2nnnn2C)C1 ZINC001278648804 895032727 /nfs/dbraw/zinc/03/27/27/895032727.db2.gz UGMNUKJUFJNOFQ-BBRMVZONSA-N 1 2 320.441 1.456 20 30 DDEDLO C=CCOC[C@@H](O)C[NH2+][C@H](C(=O)OC(C)(C)C)[C@@H](C)CC ZINC001252488548 895207297 /nfs/dbraw/zinc/20/72/97/895207297.db2.gz PLNDGQHSXLJYAZ-IHRRRGAJSA-N 1 2 301.427 1.896 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCN(C)c1cc(C)ncc1C#N ZINC001374795076 913945590 /nfs/dbraw/zinc/94/55/90/913945590.db2.gz JVOKELYZZGSWDT-UHFFFAOYSA-N 1 2 312.377 1.088 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH2+]C[C@@H](O)COC(C)(C)C)[C@@H](C)CC ZINC001253472029 895869646 /nfs/dbraw/zinc/86/96/46/895869646.db2.gz OMXSZKITHOBVAV-MJBXVCDLSA-N 1 2 301.427 1.896 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001292802377 914013391 /nfs/dbraw/zinc/01/33/91/914013391.db2.gz ZWQBSIBFTOHRLW-CHWSQXEVSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001292802377 914013408 /nfs/dbraw/zinc/01/34/08/914013408.db2.gz ZWQBSIBFTOHRLW-CHWSQXEVSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CC[NH+]1CCN(C[C@@](C)(O)C(=O)OCc2ccccc2)CC1 ZINC001253633861 895947041 /nfs/dbraw/zinc/94/70/41/895947041.db2.gz YYBBCXPVWOQRBX-GOSISDBHSA-N 1 2 318.417 1.284 20 30 DDEDLO CC(C)[C@H](CNC(=O)[C@H](C)C#N)[NH2+]Cc1noc(C2CC2)n1 ZINC001367466821 896842954 /nfs/dbraw/zinc/84/29/54/896842954.db2.gz OKEDMKUTSINROC-PWSUYJOCSA-N 1 2 305.382 1.337 20 30 DDEDLO Cc1cc(C[N@@H+](C)CCN(C)C(=O)c2cc(C#N)c[nH]2)on1 ZINC001367508481 896970169 /nfs/dbraw/zinc/97/01/69/896970169.db2.gz OSNUHLQXNMNRSJ-UHFFFAOYSA-N 1 2 301.350 1.387 20 30 DDEDLO Cc1cc(C[N@H+](C)CCN(C)C(=O)c2cc(C#N)c[nH]2)on1 ZINC001367508481 896970171 /nfs/dbraw/zinc/97/01/71/896970171.db2.gz OSNUHLQXNMNRSJ-UHFFFAOYSA-N 1 2 301.350 1.387 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@H](CC(C)C)NC(C)=O ZINC001367846245 898005013 /nfs/dbraw/zinc/00/50/13/898005013.db2.gz KWBPOARFQPOYBH-TZMCWYRMSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@@H](CC(C)C)NC(C)=O ZINC001367846245 898005025 /nfs/dbraw/zinc/00/50/25/898005025.db2.gz KWBPOARFQPOYBH-TZMCWYRMSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cccc(NC(N)=O)c1 ZINC001367860134 898054514 /nfs/dbraw/zinc/05/45/14/898054514.db2.gz YZFYHBJEFXALPR-LLVKDONJSA-N 1 2 324.812 1.980 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cccc(NC(N)=O)c1 ZINC001367860134 898054528 /nfs/dbraw/zinc/05/45/28/898054528.db2.gz YZFYHBJEFXALPR-LLVKDONJSA-N 1 2 324.812 1.980 20 30 DDEDLO C=C(C)CCC(=O)NCCCNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001293369148 914438798 /nfs/dbraw/zinc/43/87/98/914438798.db2.gz XGEGLSZRLFWOPX-CQSZACIVSA-N 1 2 318.421 1.424 20 30 DDEDLO C=CCC1(O)CCN(c2nnc([C@H]3CCCC[N@@H+]3C)n2C)CC1 ZINC001262904706 900414258 /nfs/dbraw/zinc/41/42/58/900414258.db2.gz ZSGZRJLOHOTPCL-CQSZACIVSA-N 1 2 319.453 1.879 20 30 DDEDLO C=CCC1(O)CCN(c2nnc([C@H]3CCCC[N@H+]3C)n2C)CC1 ZINC001262904706 900414267 /nfs/dbraw/zinc/41/42/67/900414267.db2.gz ZSGZRJLOHOTPCL-CQSZACIVSA-N 1 2 319.453 1.879 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1CC2(CCC2)C1 ZINC001263807955 900721313 /nfs/dbraw/zinc/72/13/13/900721313.db2.gz UMSJTNPORZSMQK-HNNXBMFYSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1CC2(CCC2)C1 ZINC001263807955 900721315 /nfs/dbraw/zinc/72/13/15/900721315.db2.gz UMSJTNPORZSMQK-HNNXBMFYSA-N 1 2 319.449 1.402 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H]2CC[N@H+]2[C@H]2CCN(CC)C2=O)C1 ZINC001263811915 900726905 /nfs/dbraw/zinc/72/69/05/900726905.db2.gz CJNUARVZUGQIGO-CABCVRRESA-N 1 2 319.449 1.496 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@H]2CCN(CC)C2=O)C1 ZINC001263811915 900726910 /nfs/dbraw/zinc/72/69/10/900726910.db2.gz CJNUARVZUGQIGO-CABCVRRESA-N 1 2 319.449 1.496 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2nc(C)no2)[C@@H]1CC ZINC001264050099 900894267 /nfs/dbraw/zinc/89/42/67/900894267.db2.gz BAXMGTZREBJCAC-WOSRLPQWSA-N 1 2 322.409 1.174 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)no2)[C@@H]1CC ZINC001264050099 900894276 /nfs/dbraw/zinc/89/42/76/900894276.db2.gz BAXMGTZREBJCAC-WOSRLPQWSA-N 1 2 322.409 1.174 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001265220630 901732220 /nfs/dbraw/zinc/73/22/20/901732220.db2.gz NTENKGVGGAEDIU-CYBMUJFWSA-N 1 2 318.421 1.778 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001265220630 901732231 /nfs/dbraw/zinc/73/22/31/901732231.db2.gz NTENKGVGGAEDIU-CYBMUJFWSA-N 1 2 318.421 1.778 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)C[C@@H]2CCC(F)(F)C2)CC1 ZINC001265259835 901781905 /nfs/dbraw/zinc/78/19/05/901781905.db2.gz NDPDUIBOBVTZQL-AWEZNQCLSA-N 1 2 313.392 1.179 20 30 DDEDLO COCCCC(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001265272225 901799875 /nfs/dbraw/zinc/79/98/75/901799875.db2.gz DIZHOGOORNAWCF-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO COCCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001265272225 901799888 /nfs/dbraw/zinc/79/98/88/901799888.db2.gz DIZHOGOORNAWCF-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001265307895 901863521 /nfs/dbraw/zinc/86/35/21/901863521.db2.gz IDVVYPHTMDSYAF-CZUORRHYSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001265307895 901863524 /nfs/dbraw/zinc/86/35/24/901863524.db2.gz IDVVYPHTMDSYAF-CZUORRHYSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)COc2ccccc2OC)C1 ZINC001391518580 901897202 /nfs/dbraw/zinc/89/72/02/901897202.db2.gz YTRJYAIOOOERSW-UHFFFAOYSA-N 1 2 324.808 1.875 20 30 DDEDLO CCc1noc(C[NH2+][C@@H](CNC(=O)C#CC2CC2)C2CC2)n1 ZINC001265355151 901917695 /nfs/dbraw/zinc/91/76/95/901917695.db2.gz XYIGGNYGZOUQQJ-ZDUSSCGKSA-N 1 2 302.378 1.030 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC(C)C ZINC001265401481 901974364 /nfs/dbraw/zinc/97/43/64/901974364.db2.gz WDQHXCAEIKSIQO-SOUVJXGZSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC(C)C ZINC001265401481 901974370 /nfs/dbraw/zinc/97/43/70/901974370.db2.gz WDQHXCAEIKSIQO-SOUVJXGZSA-N 1 2 307.438 1.398 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CCC[C@@H](CNCC#N)C1)n1cc[nH+]c1 ZINC001265613027 902203108 /nfs/dbraw/zinc/20/31/08/902203108.db2.gz HBUYWZZRWPFBRK-JKSUJKDBSA-N 1 2 317.437 1.822 20 30 DDEDLO CC(C)CN(C(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)C#N)C1)C(C)C ZINC001369973576 902319568 /nfs/dbraw/zinc/31/95/68/902319568.db2.gz ZTSOVIBGQWULMM-LSDHHAIUSA-N 1 2 322.453 1.230 20 30 DDEDLO CC(C)CN(C(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H](C)C#N)C1)C(C)C ZINC001369973576 902319578 /nfs/dbraw/zinc/31/95/78/902319578.db2.gz ZTSOVIBGQWULMM-LSDHHAIUSA-N 1 2 322.453 1.230 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(CCC)CCC)C1 ZINC001266236256 903170317 /nfs/dbraw/zinc/17/03/17/903170317.db2.gz GBYOQGXXELOTCN-INIZCTEOSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(CCC)CCC)C1 ZINC001266236256 903170325 /nfs/dbraw/zinc/17/03/25/903170325.db2.gz GBYOQGXXELOTCN-INIZCTEOSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CC[N@H+]1CCC[C@@](CO)(NC(=O)c2cc(COC)cs2)C1 ZINC001279500280 903287663 /nfs/dbraw/zinc/28/76/63/903287663.db2.gz QDKDUPWYIFQASG-MRXNPFEDSA-N 1 2 322.430 1.084 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@](CO)(NC(=O)c2cc(COC)cs2)C1 ZINC001279500280 903287676 /nfs/dbraw/zinc/28/76/76/903287676.db2.gz QDKDUPWYIFQASG-MRXNPFEDSA-N 1 2 322.430 1.084 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H](C)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001280487783 903731297 /nfs/dbraw/zinc/73/12/97/903731297.db2.gz UQPISEHDGXZGDR-KBPBESRZSA-N 1 2 318.421 1.355 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H](C)CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001280487783 903731302 /nfs/dbraw/zinc/73/13/02/903731302.db2.gz UQPISEHDGXZGDR-KBPBESRZSA-N 1 2 318.421 1.355 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001280675036 903908615 /nfs/dbraw/zinc/90/86/15/903908615.db2.gz WQRYNLYIFRKHQY-GUYCJALGSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H](C)c1cccnc1)O2 ZINC001280931399 904204426 /nfs/dbraw/zinc/20/44/26/904204426.db2.gz MWDDDWHLHUSTHN-ZBFHGGJFSA-N 1 2 315.417 1.721 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001281170251 904520180 /nfs/dbraw/zinc/52/01/80/904520180.db2.gz HWPFGIZGPBRMRG-OLZOCXBDSA-N 1 2 318.421 1.660 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)n1cnc2ccccc21 ZINC001316610088 904713624 /nfs/dbraw/zinc/71/36/24/904713624.db2.gz ZTGDPTUIQDFPMQ-CABCVRRESA-N 1 2 310.401 1.763 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)n1cnc2ccccc21 ZINC001316610088 904713632 /nfs/dbraw/zinc/71/36/32/904713632.db2.gz ZTGDPTUIQDFPMQ-CABCVRRESA-N 1 2 310.401 1.763 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)Oc1cccc(C)c1 ZINC001316611353 904924766 /nfs/dbraw/zinc/92/47/66/904924766.db2.gz ZVBXVSMOWAWCAE-HZPDHXFCSA-N 1 2 300.402 1.928 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)Oc1cccc(C)c1 ZINC001316611353 904924773 /nfs/dbraw/zinc/92/47/73/904924773.db2.gz ZVBXVSMOWAWCAE-HZPDHXFCSA-N 1 2 300.402 1.928 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@H](NC(C)=O)C(C)C ZINC001392714651 905022772 /nfs/dbraw/zinc/02/27/72/905022772.db2.gz BSGTWZXDVHUSOM-WCQYABFASA-N 1 2 303.834 1.336 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@H](NC(C)=O)C(C)C ZINC001392714651 905022791 /nfs/dbraw/zinc/02/27/91/905022791.db2.gz BSGTWZXDVHUSOM-WCQYABFASA-N 1 2 303.834 1.336 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@@H]3CN(C)CC#N)ccn12 ZINC001281941211 905332789 /nfs/dbraw/zinc/33/27/89/905332789.db2.gz ZDZDLHPQESCZSP-OAHLLOKOSA-N 1 2 311.389 1.703 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]1CN(C)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001282038561 905451281 /nfs/dbraw/zinc/45/12/81/905451281.db2.gz PKTNZMCYXUJCQG-DZGCQCFKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]1CN(C)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001282038561 905451292 /nfs/dbraw/zinc/45/12/92/905451292.db2.gz PKTNZMCYXUJCQG-DZGCQCFKSA-N 1 2 318.421 1.614 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+]1CCC[C@H]1CNC(=O)[C@H](C)C#N ZINC001377374766 921164329 /nfs/dbraw/zinc/16/43/29/921164329.db2.gz DMFCIDUPMKQJER-PWSUYJOCSA-N 1 2 323.828 1.622 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+]1CCC[C@H]1CNC(=O)[C@H](C)C#N ZINC001377374766 921164332 /nfs/dbraw/zinc/16/43/32/921164332.db2.gz DMFCIDUPMKQJER-PWSUYJOCSA-N 1 2 323.828 1.622 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC[N@@H+]1Cc1ccncc1Cl ZINC001377379619 921178855 /nfs/dbraw/zinc/17/88/55/921178855.db2.gz IQOJZXKLXYOKET-WCQYABFASA-N 1 2 306.797 1.975 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC[N@H+]1Cc1ccncc1Cl ZINC001377379619 921178860 /nfs/dbraw/zinc/17/88/60/921178860.db2.gz IQOJZXKLXYOKET-WCQYABFASA-N 1 2 306.797 1.975 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001282540229 905861119 /nfs/dbraw/zinc/86/11/19/905861119.db2.gz YIJBYZFSXNZXEA-DLBZAZTESA-N 1 2 312.413 1.366 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C1C[NH+](CC(=O)NCC(C)C)C1 ZINC001282734917 905997309 /nfs/dbraw/zinc/99/73/09/905997309.db2.gz UDOSNWOOSXZQPC-OAHLLOKOSA-N 1 2 321.465 1.389 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)C1C[NH+](CC(=O)NCCC)C1 ZINC001282735412 905998080 /nfs/dbraw/zinc/99/80/80/905998080.db2.gz ZNXVWKFUXZGAHO-CYBMUJFWSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CCC[N@@H+](Cc1cn(C)nn1)C2 ZINC001282973197 906372071 /nfs/dbraw/zinc/37/20/71/906372071.db2.gz QZNSDJUWNWNXLN-UHFFFAOYSA-N 1 2 317.437 1.596 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CCC[N@H+](Cc1cn(C)nn1)C2 ZINC001282973197 906372087 /nfs/dbraw/zinc/37/20/87/906372087.db2.gz QZNSDJUWNWNXLN-UHFFFAOYSA-N 1 2 317.437 1.596 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)CCOC ZINC001372480790 907405040 /nfs/dbraw/zinc/40/50/40/907405040.db2.gz NAKKMVMGXGJCLL-LLVKDONJSA-N 1 2 305.216 1.512 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)CCOC ZINC001372480790 907405048 /nfs/dbraw/zinc/40/50/48/907405048.db2.gz NAKKMVMGXGJCLL-LLVKDONJSA-N 1 2 305.216 1.512 20 30 DDEDLO Cn1cnc(C(=O)NCC[N@H+](Cc2ccccc2C#N)C2CC2)c1 ZINC001372788312 908257234 /nfs/dbraw/zinc/25/72/34/908257234.db2.gz VPYPTSSTUCXBSF-UHFFFAOYSA-N 1 2 323.400 1.686 20 30 DDEDLO Cn1cnc(C(=O)NCC[N@@H+](Cc2ccccc2C#N)C2CC2)c1 ZINC001372788312 908257251 /nfs/dbraw/zinc/25/72/51/908257251.db2.gz VPYPTSSTUCXBSF-UHFFFAOYSA-N 1 2 323.400 1.686 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H](C)[NH2+]Cc1nc(C2CCC2)no1 ZINC001394468463 909717654 /nfs/dbraw/zinc/71/76/54/909717654.db2.gz QDOZHJXIWZFHSU-QWRGUYRKSA-N 1 2 305.382 1.433 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](CC)CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001285063007 910020747 /nfs/dbraw/zinc/02/07/47/910020747.db2.gz AEKQHZAOEZXWFO-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](CC)CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001285063007 910020761 /nfs/dbraw/zinc/02/07/61/910020761.db2.gz AEKQHZAOEZXWFO-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)CC(C)C ZINC001285253163 910272896 /nfs/dbraw/zinc/27/28/96/910272896.db2.gz HXYZDVSZUCERHU-OAHLLOKOSA-N 1 2 318.421 1.259 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)CO[C@H]1CCOC1)C(C)C ZINC001394797687 910584968 /nfs/dbraw/zinc/58/49/68/910584968.db2.gz DRJIZWZXHMBRSB-AWEZNQCLSA-N 1 2 318.845 1.713 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)CO[C@H]1CCOC1)C(C)C ZINC001394797687 910584980 /nfs/dbraw/zinc/58/49/80/910584980.db2.gz DRJIZWZXHMBRSB-AWEZNQCLSA-N 1 2 318.845 1.713 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001294760246 915345178 /nfs/dbraw/zinc/34/51/78/915345178.db2.gz MLNXAEWVFDJRKK-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@H](C)CNC(=O)C#CC2CC2)c(C)[nH+]1 ZINC001295479919 915835796 /nfs/dbraw/zinc/83/57/96/915835796.db2.gz BDKFJPFOIBLLSA-CYBMUJFWSA-N 1 2 313.401 1.655 20 30 DDEDLO CC(C)C#CC(=O)NCCN(C)C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001296057841 916216280 /nfs/dbraw/zinc/21/62/80/916216280.db2.gz IGQMOXUTZYXGPC-CYBMUJFWSA-N 1 2 316.405 1.064 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001296941078 916640289 /nfs/dbraw/zinc/64/02/89/916640289.db2.gz FLRFJMFIXPFNFR-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1([NH2+]Cc2nnc(C3CC3)o2)CCC1 ZINC001376721394 919168284 /nfs/dbraw/zinc/16/82/84/919168284.db2.gz MKFYUTPZMIGSRC-JTQLQIEISA-N 1 2 303.366 1.235 20 30 DDEDLO CC[C@@H](OC)C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001377131373 920418588 /nfs/dbraw/zinc/41/85/88/920418588.db2.gz UIAQYNIRDIUZOL-OAHLLOKOSA-N 1 2 307.369 1.670 20 30 DDEDLO CC[C@@H](OC)C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001377131373 920418596 /nfs/dbraw/zinc/41/85/96/920418596.db2.gz UIAQYNIRDIUZOL-OAHLLOKOSA-N 1 2 307.369 1.670 20 30 DDEDLO CO[C@H](C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F)C1CC1 ZINC001377146021 920466280 /nfs/dbraw/zinc/46/62/80/920466280.db2.gz ISSRXQGKJRFOGP-INIZCTEOSA-N 1 2 319.380 1.670 20 30 DDEDLO CO[C@H](C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F)C1CC1 ZINC001377146021 920466289 /nfs/dbraw/zinc/46/62/89/920466289.db2.gz ISSRXQGKJRFOGP-INIZCTEOSA-N 1 2 319.380 1.670 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)Cc3ncc[nH]3)C2)s1 ZINC001378006433 923671065 /nfs/dbraw/zinc/67/10/65/923671065.db2.gz NSCNUWDMDHACJX-UHFFFAOYSA-N 1 2 315.402 1.134 20 30 DDEDLO COCCN1CC[N@H+](Cc2ccc(C(C)(C)C#N)cc2)CC1=O ZINC000614176952 361737205 /nfs/dbraw/zinc/73/72/05/361737205.db2.gz YPCITPWFEGUFQS-UHFFFAOYSA-N 1 2 315.417 1.778 20 30 DDEDLO COCCN1CC[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)CC1=O ZINC000614176952 361737208 /nfs/dbraw/zinc/73/72/08/361737208.db2.gz YPCITPWFEGUFQS-UHFFFAOYSA-N 1 2 315.417 1.778 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)Nc1cccc(C#N)c1 ZINC000284007205 222612298 /nfs/dbraw/zinc/61/22/98/222612298.db2.gz HPCRNVPYANHGRQ-GWCFXTLKSA-N 1 2 302.334 1.353 20 30 DDEDLO C[C@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@@H]1CO ZINC000305698977 231020043 /nfs/dbraw/zinc/02/00/43/231020043.db2.gz BAMJHUSGWKYREP-XJKSGUPXSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@@H]1CO ZINC000305698977 231020046 /nfs/dbraw/zinc/02/00/46/231020046.db2.gz BAMJHUSGWKYREP-XJKSGUPXSA-N 1 2 322.430 1.425 20 30 DDEDLO CC[C@@H]1CO[C@H](C)C[N@@H+]1CC(=O)NC(=O)NCC(F)(F)F ZINC000330625553 529415831 /nfs/dbraw/zinc/41/58/31/529415831.db2.gz HRTRNUUUARKXBA-RKDXNWHRSA-N 1 2 311.304 1.078 20 30 DDEDLO CC[C@@H]1CO[C@H](C)C[N@H+]1CC(=O)NC(=O)NCC(F)(F)F ZINC000330625553 529415832 /nfs/dbraw/zinc/41/58/32/529415832.db2.gz HRTRNUUUARKXBA-RKDXNWHRSA-N 1 2 311.304 1.078 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000452000144 231265181 /nfs/dbraw/zinc/26/51/81/231265181.db2.gz UZTZDELMFORYHN-CQSZACIVSA-N 1 2 304.369 1.933 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2nccc(C(C)C)n2)CC1 ZINC000185863764 539246678 /nfs/dbraw/zinc/24/66/78/539246678.db2.gz ZIBCGBGLTMQZQT-UHFFFAOYSA-N 1 2 303.410 1.024 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C[C@@H](O)COc1ccc(CC#N)cc1)C(C)C ZINC000614912413 362071749 /nfs/dbraw/zinc/07/17/49/362071749.db2.gz QYILQEQIQYUCQH-ZBFHGGJFSA-N 1 2 320.389 1.280 20 30 DDEDLO C=CCN(Cc1c[nH+]c2c(C)cccn12)[C@@H]1CCS(=O)(=O)C1 ZINC000092361409 185314018 /nfs/dbraw/zinc/31/40/18/185314018.db2.gz ZVQYJNFZIYQLQI-CQSZACIVSA-N 1 2 319.430 1.818 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc4c(c3)OCCO4)C[C@H]21 ZINC000329918391 529786240 /nfs/dbraw/zinc/78/62/40/529786240.db2.gz REOOBGWYZINNBD-IUODEOHRSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc4c(c3)OCCO4)C[C@H]21 ZINC000329918391 529786242 /nfs/dbraw/zinc/78/62/42/529786242.db2.gz REOOBGWYZINNBD-IUODEOHRSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cccc(C(N)=O)c3)C[C@H]21 ZINC000329954480 529786393 /nfs/dbraw/zinc/78/63/93/529786393.db2.gz OLCHCOLUXMFQCJ-CHWSQXEVSA-N 1 2 304.350 1.130 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cccc(C(N)=O)c3)C[C@H]21 ZINC000329954480 529786394 /nfs/dbraw/zinc/78/63/94/529786394.db2.gz OLCHCOLUXMFQCJ-CHWSQXEVSA-N 1 2 304.350 1.130 20 30 DDEDLO C[N@H+](CC(=O)Nc1nc2c(s1)CCC2)[C@@]1(CO)CCOC1 ZINC000329697870 529852677 /nfs/dbraw/zinc/85/26/77/529852677.db2.gz CLRHUFSFUDSOQZ-CQSZACIVSA-N 1 2 311.407 1.303 20 30 DDEDLO C[N@@H+](CC(=O)Nc1nc2c(s1)CCC2)[C@@]1(CO)CCOC1 ZINC000329697870 529852678 /nfs/dbraw/zinc/85/26/78/529852678.db2.gz CLRHUFSFUDSOQZ-CQSZACIVSA-N 1 2 311.407 1.303 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc([N+](=O)[O-])cc1Cl ZINC000414110331 529868560 /nfs/dbraw/zinc/86/85/60/529868560.db2.gz ODYNPYFICQXLCE-NSHDSACASA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc([N+](=O)[O-])cc1Cl ZINC000414110331 529868561 /nfs/dbraw/zinc/86/85/61/529868561.db2.gz ODYNPYFICQXLCE-NSHDSACASA-N 1 2 313.741 1.833 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNC(=O)N1CC[C@@](F)(C#N)C1 ZINC000615528720 362320918 /nfs/dbraw/zinc/32/09/18/362320918.db2.gz GSWWKRRUCPCFDK-MRXNPFEDSA-N 1 2 315.352 1.992 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)C1 ZINC000615531394 362321970 /nfs/dbraw/zinc/32/19/70/362321970.db2.gz KTKJGKIJPJGVMX-MRXNPFEDSA-N 1 2 317.368 1.829 20 30 DDEDLO COCCN(CC#N)C(=O)CCn1c(C)[nH+]c2ccccc21 ZINC000457209305 232014615 /nfs/dbraw/zinc/01/46/15/232014615.db2.gz YODQRVVNQHKKCR-UHFFFAOYSA-N 1 2 300.362 1.733 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C[C@@H](O)COc1ccc(C#N)cc1)C(C)C ZINC000314565704 290806645 /nfs/dbraw/zinc/80/66/45/290806645.db2.gz NAEBFUDLDHNYGE-HIFRSBDPSA-N 1 2 306.362 1.085 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NC(=O)C[N@@H+](C)CC(=O)NC(C)C ZINC000617037257 362932080 /nfs/dbraw/zinc/93/20/80/362932080.db2.gz KFMBJJIGZBEQNH-HUUCEWRRSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NC(=O)C[N@H+](C)CC(=O)NC(C)C ZINC000617037257 362932084 /nfs/dbraw/zinc/93/20/84/362932084.db2.gz KFMBJJIGZBEQNH-HUUCEWRRSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCN(C(=O)Nc1ccc(C)[nH+]c1C)[C@H]1CCS(=O)(=O)C1 ZINC000179678501 186204025 /nfs/dbraw/zinc/20/40/25/186204025.db2.gz AZIDFUIVKQFOEI-ZDUSSCGKSA-N 1 2 323.418 1.905 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000294801677 222794247 /nfs/dbraw/zinc/79/42/47/222794247.db2.gz FFAQWCHNCRYJIU-CQSZACIVSA-N 1 2 302.374 1.075 20 30 DDEDLO CCOC(=O)C1CC[NH+](CC(=O)Nc2cccc(C#N)c2)CC1 ZINC000007812259 352127099 /nfs/dbraw/zinc/12/70/99/352127099.db2.gz VUIHPSYXNBEGOR-UHFFFAOYSA-N 1 2 315.373 1.772 20 30 DDEDLO CCN(CC)C(=O)C[N@H+](C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000008074948 352130770 /nfs/dbraw/zinc/13/07/70/352130770.db2.gz KCOWLJLRKOYMDW-BBRMVZONSA-N 1 2 310.442 1.230 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+](C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000008074948 352130773 /nfs/dbraw/zinc/13/07/73/352130773.db2.gz KCOWLJLRKOYMDW-BBRMVZONSA-N 1 2 310.442 1.230 20 30 DDEDLO CCCCNC(=O)CN1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC000023252584 352183073 /nfs/dbraw/zinc/18/30/73/352183073.db2.gz SNERAMOZZXDPNV-UHFFFAOYSA-N 1 2 314.433 1.592 20 30 DDEDLO C#CC[N@@H+](CC)Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1 ZINC000045910783 352429675 /nfs/dbraw/zinc/42/96/75/352429675.db2.gz XFLWZBBOUUZWNO-UHFFFAOYSA-N 1 2 301.346 1.395 20 30 DDEDLO C#CC[N@H+](CC)Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1 ZINC000045910783 352429681 /nfs/dbraw/zinc/42/96/81/352429681.db2.gz XFLWZBBOUUZWNO-UHFFFAOYSA-N 1 2 301.346 1.395 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000053215971 352645429 /nfs/dbraw/zinc/64/54/29/352645429.db2.gz COHQRKSGZAWUIQ-UHFFFAOYSA-N 1 2 318.396 1.688 20 30 DDEDLO Cc1noc(C2CC[NH+](CC(=O)N[C@@](C)(C#N)C3CC3)CC2)n1 ZINC000053641130 352663336 /nfs/dbraw/zinc/66/33/36/352663336.db2.gz IZLMTRGVZRTNJW-INIZCTEOSA-N 1 2 317.393 1.366 20 30 DDEDLO CC(C)CNC(=O)C[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000064634328 352941450 /nfs/dbraw/zinc/94/14/50/352941450.db2.gz QOJGSAZTEIQTEK-UHFFFAOYSA-N 1 2 315.421 1.238 20 30 DDEDLO CC(C)CNC(=O)C[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000064634328 352941452 /nfs/dbraw/zinc/94/14/52/352941452.db2.gz QOJGSAZTEIQTEK-UHFFFAOYSA-N 1 2 315.421 1.238 20 30 DDEDLO C[C@H](C#N)CN(C)CC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000066470655 352998915 /nfs/dbraw/zinc/99/89/15/352998915.db2.gz WGJSJJPASKWRRP-CQSZACIVSA-N 1 2 304.394 1.015 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)Nc1cccc(OCC#N)c1 ZINC000067544645 353055552 /nfs/dbraw/zinc/05/55/52/353055552.db2.gz BNWWZFCUEMNKQQ-UHFFFAOYSA-N 1 2 318.377 1.385 20 30 DDEDLO N#Cc1cccc(/C=C\C(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)c1 ZINC000491876041 234323177 /nfs/dbraw/zinc/32/31/77/234323177.db2.gz ZXVMHBGEYUKBMH-PLNGDYQASA-N 1 2 321.384 1.639 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000174048590 198284716 /nfs/dbraw/zinc/28/47/16/198284716.db2.gz JFDKTFJCMOFJGG-UHFFFAOYSA-N 1 2 313.376 1.043 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N1CCc2[nH]c3ccccc3c2C1 ZINC000072906319 353225799 /nfs/dbraw/zinc/22/57/99/353225799.db2.gz OJMGBSJAYBWQDW-UHFFFAOYSA-N 1 2 305.381 1.621 20 30 DDEDLO C=C(Cl)CNC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000076775347 353437801 /nfs/dbraw/zinc/43/78/01/353437801.db2.gz RJMKWSXEVRQGKK-UHFFFAOYSA-N 1 2 310.785 1.470 20 30 DDEDLO CCCNC(=O)[C@H](C)[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000078269233 353508511 /nfs/dbraw/zinc/50/85/11/353508511.db2.gz IWDXQNQQUCSLCA-DOTOQJQBSA-N 1 2 314.433 1.784 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCC(c3nc[nH]n3)CC2)CCCCC1 ZINC000083425102 353709553 /nfs/dbraw/zinc/70/95/53/353709553.db2.gz QOJXBRBEBHNQKM-UHFFFAOYSA-N 1 2 316.409 1.327 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCCn2cc[nH+]c2)c(F)c1 ZINC000091212698 353818833 /nfs/dbraw/zinc/81/88/33/353818833.db2.gz FFOINEPSNFDSMW-UHFFFAOYSA-N 1 2 308.338 1.262 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@@H](CO)OC[C@H]2C)cc1OC ZINC000092042034 353841807 /nfs/dbraw/zinc/84/18/07/353841807.db2.gz LCMZFVDQZHUJBE-HIFRSBDPSA-N 1 2 307.390 1.842 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@@H](CO)OC[C@H]2C)cc1OC ZINC000092042034 353841808 /nfs/dbraw/zinc/84/18/08/353841808.db2.gz LCMZFVDQZHUJBE-HIFRSBDPSA-N 1 2 307.390 1.842 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+](CCO)Cc3cccnc3)n2c1 ZINC000093085914 353884945 /nfs/dbraw/zinc/88/49/45/353884945.db2.gz VZTZXKINSCVWFI-UHFFFAOYSA-N 1 2 307.357 1.595 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+](CCO)Cc3cccnc3)n2c1 ZINC000093085914 353884948 /nfs/dbraw/zinc/88/49/48/353884948.db2.gz VZTZXKINSCVWFI-UHFFFAOYSA-N 1 2 307.357 1.595 20 30 DDEDLO COC[C@H]1CCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156824128 354222178 /nfs/dbraw/zinc/22/21/78/354222178.db2.gz LLTAJAUZCMBGTM-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO COC[C@H]1CCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156824128 354222182 /nfs/dbraw/zinc/22/21/82/354222182.db2.gz LLTAJAUZCMBGTM-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H]2Cn2cc[nH+]c2)o1 ZINC000288098971 354435742 /nfs/dbraw/zinc/43/57/42/354435742.db2.gz KWFCZUQWAFKJMB-LLVKDONJSA-N 1 2 306.347 1.201 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@@H](O)C1CC1 ZINC000305282532 354469904 /nfs/dbraw/zinc/46/99/04/354469904.db2.gz YGIBBGCRHPNAHV-OAHLLOKOSA-N 1 2 308.403 1.035 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@@H](O)C1CC1 ZINC000305282532 354469907 /nfs/dbraw/zinc/46/99/07/354469907.db2.gz YGIBBGCRHPNAHV-OAHLLOKOSA-N 1 2 308.403 1.035 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1C[C@@H](NC(=O)NCC2(CC#N)CC2)CCO1 ZINC000332989750 354572626 /nfs/dbraw/zinc/57/26/26/354572626.db2.gz QELOSDSAEBLDHP-GXTWGEPZSA-N 1 2 317.393 1.633 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000578433079 354708362 /nfs/dbraw/zinc/70/83/62/354708362.db2.gz LRZTXOVQYBPDIP-MCIONIFRSA-N 1 2 304.394 1.712 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000578967220 354713932 /nfs/dbraw/zinc/71/39/32/354713932.db2.gz DTGPANJKWPQJEO-OAGGEKHMSA-N 1 2 317.389 1.978 20 30 DDEDLO COc1cccc(SCC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000579543872 354720507 /nfs/dbraw/zinc/72/05/07/354720507.db2.gz RHVXXZSKKPJMHT-HNNXBMFYSA-N 1 2 307.419 1.747 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CC[C@](CO)(Cc2ccc(F)cc2)C1 ZINC000588984715 354953969 /nfs/dbraw/zinc/95/39/69/354953969.db2.gz OLJLWDADQADBKU-RDJZCZTQSA-N 1 2 307.365 1.780 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CC[C@](CO)(Cc2ccc(F)cc2)C1 ZINC000588984715 354953972 /nfs/dbraw/zinc/95/39/72/354953972.db2.gz OLJLWDADQADBKU-RDJZCZTQSA-N 1 2 307.365 1.780 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[C@@H]3C[N@H+](C)C[C@H]32)cc1C#N ZINC000590444224 355098845 /nfs/dbraw/zinc/09/88/45/355098845.db2.gz MTUWIPJQPKFJRY-BXKDBHETSA-N 1 2 318.402 1.157 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[C@@H]3C[N@@H+](C)C[C@H]32)cc1C#N ZINC000590444224 355098849 /nfs/dbraw/zinc/09/88/49/355098849.db2.gz MTUWIPJQPKFJRY-BXKDBHETSA-N 1 2 318.402 1.157 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2c(C#N)c(C)nn2-c2ccccc2)C[C@H]1O ZINC000590760344 355169578 /nfs/dbraw/zinc/16/95/78/355169578.db2.gz UDPQFGUVTHQACF-IAGOWNOFSA-N 1 2 312.373 1.244 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2c(C#N)c(C)nn2-c2ccccc2)C[C@H]1O ZINC000590760344 355169580 /nfs/dbraw/zinc/16/95/80/355169580.db2.gz UDPQFGUVTHQACF-IAGOWNOFSA-N 1 2 312.373 1.244 20 30 DDEDLO N#Cc1ccc(NC(=O)CN2CCn3c[nH+]cc3C2)cc1Cl ZINC000590599141 355125717 /nfs/dbraw/zinc/12/57/17/355125717.db2.gz JGJXHWPMAIPGFL-UHFFFAOYSA-N 1 2 315.764 1.862 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](N3CCc4[nH+]c[nH]c4C3)C2=O)cc1 ZINC000590599410 355125843 /nfs/dbraw/zinc/12/58/43/355125843.db2.gz GLMARMIJZOTLCG-QGZVFWFLSA-N 1 2 321.384 1.835 20 30 DDEDLO C[C@]1(C#N)CCCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC000591017930 355232691 /nfs/dbraw/zinc/23/26/91/355232691.db2.gz ZOUYKNWKOQJJRP-MRXNPFEDSA-N 1 2 311.345 1.425 20 30 DDEDLO C=CCOCC(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000591128210 355252463 /nfs/dbraw/zinc/25/24/63/355252463.db2.gz XMGHYUBRHNNFTL-UHFFFAOYSA-N 1 2 317.389 1.215 20 30 DDEDLO CCCC[N@H+](CC(N)=O)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000075638997 191504030 /nfs/dbraw/zinc/50/40/30/191504030.db2.gz ZPDBTXMVWHIKOC-UHFFFAOYSA-N 1 2 313.361 1.696 20 30 DDEDLO CCCC[N@@H+](CC(N)=O)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000075638997 191504031 /nfs/dbraw/zinc/50/40/31/191504031.db2.gz ZPDBTXMVWHIKOC-UHFFFAOYSA-N 1 2 313.361 1.696 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2cc([N+](=O)[O-])cc3c2OCOC3)C1 ZINC000592145962 355511770 /nfs/dbraw/zinc/51/17/70/355511770.db2.gz CFZDBMHCLFPLPM-HNNXBMFYSA-N 1 2 319.317 1.312 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2cc([N+](=O)[O-])cc3c2OCOC3)C1 ZINC000592145962 355511775 /nfs/dbraw/zinc/51/17/75/355511775.db2.gz CFZDBMHCLFPLPM-HNNXBMFYSA-N 1 2 319.317 1.312 20 30 DDEDLO CN(C(=O)C[N@@H+]1CC[C@](O)(CC#N)C1)C1(C#N)CCCCC1 ZINC000592148247 355514000 /nfs/dbraw/zinc/51/40/00/355514000.db2.gz SIXGFEPPDJBRTC-MRXNPFEDSA-N 1 2 304.394 1.022 20 30 DDEDLO CN(C(=O)C[N@H+]1CC[C@](O)(CC#N)C1)C1(C#N)CCCCC1 ZINC000592148247 355514003 /nfs/dbraw/zinc/51/40/03/355514003.db2.gz SIXGFEPPDJBRTC-MRXNPFEDSA-N 1 2 304.394 1.022 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(Cl)cn1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149761 355516440 /nfs/dbraw/zinc/51/64/40/355516440.db2.gz WDTDWEOEHAFHBY-HZMBPMFUSA-N 1 2 308.769 1.412 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(Cl)cn1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149761 355516444 /nfs/dbraw/zinc/51/64/44/355516444.db2.gz WDTDWEOEHAFHBY-HZMBPMFUSA-N 1 2 308.769 1.412 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2ccnn2-c2ccc(F)cc2)C1 ZINC000592521672 355611309 /nfs/dbraw/zinc/61/13/09/355611309.db2.gz ADPVZQFUYDFNTN-MRXNPFEDSA-N 1 2 300.337 1.862 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2ccnn2-c2ccc(F)cc2)C1 ZINC000592521672 355611311 /nfs/dbraw/zinc/61/13/11/355611311.db2.gz ADPVZQFUYDFNTN-MRXNPFEDSA-N 1 2 300.337 1.862 20 30 DDEDLO Cc1nn(CC2CC2)c(C)c1CC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000593153494 355812466 /nfs/dbraw/zinc/81/24/66/355812466.db2.gz RZQMOBIYFXVTRV-KRWDZBQOSA-N 1 2 317.437 1.413 20 30 DDEDLO COc1ccc(O[C@@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000593155657 355813526 /nfs/dbraw/zinc/81/35/26/355813526.db2.gz OQVIEQFCOLWUOJ-BLLLJJGKSA-N 1 2 305.378 1.423 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000594010893 356086127 /nfs/dbraw/zinc/08/61/27/356086127.db2.gz VFSCAILAYKDURV-SNVBAGLBSA-N 1 2 317.349 1.766 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cccc2c1OC(C)(C)C2 ZINC000594024781 356093000 /nfs/dbraw/zinc/09/30/00/356093000.db2.gz ZJQOPTWHWQNTLK-KRWDZBQOSA-N 1 2 301.390 1.974 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)NCCc1[nH+]cc(C)cc1C ZINC000594474589 356229510 /nfs/dbraw/zinc/22/95/10/356229510.db2.gz KTKRHEKYYWUJPO-UHFFFAOYSA-N 1 2 310.423 1.311 20 30 DDEDLO O=C(N[C@@H]1CCCS(=O)(=O)C1)c1cccc(-n2cc[nH+]c2)c1 ZINC000329570476 222996230 /nfs/dbraw/zinc/99/62/30/222996230.db2.gz QIRWWHLSTUHNPA-CYBMUJFWSA-N 1 2 319.386 1.754 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3ncccc3C#N)CC2)nc(C)n1 ZINC000595288813 356433802 /nfs/dbraw/zinc/43/38/02/356433802.db2.gz PJKCGAPWRAXNRN-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO C[C@@]1(C2CC2)C[N@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000595618948 356579882 /nfs/dbraw/zinc/57/98/82/356579882.db2.gz WYRVZJUPEWLTSO-SFHVURJKSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@@]1(C2CC2)C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000595618948 356579885 /nfs/dbraw/zinc/57/98/85/356579885.db2.gz WYRVZJUPEWLTSO-SFHVURJKSA-N 1 2 313.401 1.675 20 30 DDEDLO COC(=O)[C@](C)(CCF)[NH2+]CCCC1(C#N)CCOCC1 ZINC000595772796 356646742 /nfs/dbraw/zinc/64/67/42/356646742.db2.gz AVCSQJZOJNUHFU-AWEZNQCLSA-N 1 2 300.374 1.968 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1CC[C@@]2(O)C[C@H]2C1 ZINC000595756381 356639156 /nfs/dbraw/zinc/63/91/56/356639156.db2.gz DXEWTECTNXCYHC-XHDPSFHLSA-N 1 2 305.765 1.607 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@H+]1CC[C@@]2(O)C[C@H]2C1 ZINC000595756381 356639160 /nfs/dbraw/zinc/63/91/60/356639160.db2.gz DXEWTECTNXCYHC-XHDPSFHLSA-N 1 2 305.765 1.607 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@@H+]2CC[C@](C)(C#N)C2)CC1 ZINC000595835448 356671276 /nfs/dbraw/zinc/67/12/76/356671276.db2.gz PYMQIOLOOPPICT-MRXNPFEDSA-N 1 2 307.394 1.024 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@H+]2CC[C@](C)(C#N)C2)CC1 ZINC000595835448 356671278 /nfs/dbraw/zinc/67/12/78/356671278.db2.gz PYMQIOLOOPPICT-MRXNPFEDSA-N 1 2 307.394 1.024 20 30 DDEDLO C=C[C@H](CO)[NH2+][C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000595864193 356683061 /nfs/dbraw/zinc/68/30/61/356683061.db2.gz VRFGQLPARORSON-GXSJLCMTSA-N 1 2 304.306 1.842 20 30 DDEDLO COC(=O)CCn1nc(C)c(C[N@@H+]2CC[C@](C)(C#N)C2)c1C ZINC000597230678 357103383 /nfs/dbraw/zinc/10/33/83/357103383.db2.gz HSUKXDVEOLWILP-MRXNPFEDSA-N 1 2 304.394 1.799 20 30 DDEDLO COC(=O)CCn1nc(C)c(C[N@H+]2CC[C@](C)(C#N)C2)c1C ZINC000597230678 357103386 /nfs/dbraw/zinc/10/33/86/357103386.db2.gz HSUKXDVEOLWILP-MRXNPFEDSA-N 1 2 304.394 1.799 20 30 DDEDLO N#CC1(CC(=O)NCCCNc2cccc[nH+]2)CCOCC1 ZINC000597265816 357113743 /nfs/dbraw/zinc/11/37/43/357113743.db2.gz LVOVPQVNOIKDMO-UHFFFAOYSA-N 1 2 302.378 1.710 20 30 DDEDLO CCNC(=O)COc1ccc(C[NH+]2CC(CC#N)C2)cc1OC ZINC000597190786 357088728 /nfs/dbraw/zinc/08/87/28/357088728.db2.gz BBGZGQPRZRJZMR-UHFFFAOYSA-N 1 2 317.389 1.556 20 30 DDEDLO N#Cc1ccsc1C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000597710269 357297553 /nfs/dbraw/zinc/29/75/53/357297553.db2.gz SCPJSJODDJRWIV-ZDUSSCGKSA-N 1 2 305.403 1.557 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@H+]2CC[C@@H](NC(N)=O)C2)c1 ZINC000276846850 213130999 /nfs/dbraw/zinc/13/09/99/213130999.db2.gz DCEAMGIQSGFLGI-LLVKDONJSA-N 1 2 323.194 1.563 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@@H+]2CC[C@@H](NC(N)=O)C2)c1 ZINC000276846850 213131001 /nfs/dbraw/zinc/13/10/01/213131001.db2.gz DCEAMGIQSGFLGI-LLVKDONJSA-N 1 2 323.194 1.563 20 30 DDEDLO N#Cc1ccc(CCNC(=O)Cc2cn3c([nH+]2)CCCC3)cc1 ZINC000597894695 357373907 /nfs/dbraw/zinc/37/39/07/357373907.db2.gz RZIHKTVEDSSMIK-UHFFFAOYSA-N 1 2 308.385 1.992 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@]2(C#N)CC23CCCC3)[C@H](C)CO1 ZINC000597993527 357409728 /nfs/dbraw/zinc/40/97/28/357409728.db2.gz GIAYQBFJPRXSCB-CKEIUWERSA-N 1 2 305.422 1.686 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@]2(C#N)CC23CCCC3)[C@H](C)CO1 ZINC000597993527 357409733 /nfs/dbraw/zinc/40/97/33/357409733.db2.gz GIAYQBFJPRXSCB-CKEIUWERSA-N 1 2 305.422 1.686 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@H](C)[N@@H+]1CCN2CCOC[C@@H]2C1 ZINC000329684756 223013316 /nfs/dbraw/zinc/01/33/16/223013316.db2.gz UJKJEFADPIYWLP-KBPBESRZSA-N 1 2 321.425 1.030 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@H](C)[N@H+]1CCN2CCOC[C@@H]2C1 ZINC000329684756 223013319 /nfs/dbraw/zinc/01/33/19/223013319.db2.gz UJKJEFADPIYWLP-KBPBESRZSA-N 1 2 321.425 1.030 20 30 DDEDLO Cn1cc([NH+]=C([O-])N2CCn3c[nH+]cc3C2)c(C(F)(F)F)n1 ZINC000329789086 223028867 /nfs/dbraw/zinc/02/88/67/223028867.db2.gz SFZQHRPAQDUTBP-UHFFFAOYSA-N 1 2 314.271 1.697 20 30 DDEDLO C[C@H](NC(=O)C1CCCC1)C(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000329742845 223021757 /nfs/dbraw/zinc/02/17/57/223021757.db2.gz PSLZDGXCPUWKPA-DZGCQCFKSA-N 1 2 323.437 1.455 20 30 DDEDLO Cc1ccc(CNC(=O)N2CC[C@H]([NH+]3CCOCC3)C2)cn1 ZINC000329753904 223024571 /nfs/dbraw/zinc/02/45/71/223024571.db2.gz XAJIBEBZXLGLDM-HNNXBMFYSA-N 1 2 304.394 1.211 20 30 DDEDLO C[C@@H](NC(=O)NC[C@H]1COCC[N@@H+]1C)c1ccc(C#N)cc1 ZINC000598977907 357780847 /nfs/dbraw/zinc/78/08/47/357780847.db2.gz PUILKNWZTVLDES-DOMZBBRYSA-N 1 2 302.378 1.249 20 30 DDEDLO C[C@@H](NC(=O)NC[C@H]1COCC[N@H+]1C)c1ccc(C#N)cc1 ZINC000598977907 357780848 /nfs/dbraw/zinc/78/08/48/357780848.db2.gz PUILKNWZTVLDES-DOMZBBRYSA-N 1 2 302.378 1.249 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(CC(=O)N(C)C)CC2)cc1C#N ZINC000599256908 357864649 /nfs/dbraw/zinc/86/46/49/357864649.db2.gz GLSZUDPVZPRNHC-UHFFFAOYSA-N 1 2 300.406 1.073 20 30 DDEDLO Cc1ccc(CN2CC[NH+](CC(=O)N(C)C)CC2)cc1C#N ZINC000599256908 357864654 /nfs/dbraw/zinc/86/46/54/357864654.db2.gz GLSZUDPVZPRNHC-UHFFFAOYSA-N 1 2 300.406 1.073 20 30 DDEDLO COc1cc(C#N)ccc1CN1CC[NH+](Cc2cn[nH]c2)CC1 ZINC000599437940 357935732 /nfs/dbraw/zinc/93/57/32/357935732.db2.gz AAEUJEXJLNYNKJ-UHFFFAOYSA-N 1 2 311.389 1.608 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(Cc2cn[nH]c2)CC1 ZINC000599437940 357935734 /nfs/dbraw/zinc/93/57/34/357935734.db2.gz AAEUJEXJLNYNKJ-UHFFFAOYSA-N 1 2 311.389 1.608 20 30 DDEDLO N#Cc1cccc(NC(=O)CN2CC[NH+](Cc3cn[nH]c3)CC2)c1 ZINC000599439237 357937097 /nfs/dbraw/zinc/93/70/97/357937097.db2.gz LQXAXXHBHJNODK-UHFFFAOYSA-N 1 2 324.388 1.038 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccccc2CC#N)C(C)(C)C1 ZINC000601383703 358527998 /nfs/dbraw/zinc/52/79/98/358527998.db2.gz UARNGMYBPBNOLE-UHFFFAOYSA-N 1 2 307.419 1.467 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccccc2CC#N)C(C)(C)C1 ZINC000601383703 358528000 /nfs/dbraw/zinc/52/80/00/358528000.db2.gz UARNGMYBPBNOLE-UHFFFAOYSA-N 1 2 307.419 1.467 20 30 DDEDLO C[C@@H](C#N)C(=O)NCc1ccc(C[NH+]2CCS(=O)CC2)cc1 ZINC000601642282 358634684 /nfs/dbraw/zinc/63/46/84/358634684.db2.gz QHTXANQZWCRWCX-ZDUSSCGKSA-N 1 2 319.430 1.027 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](CCc3ccncc3)CC2)CCCC1 ZINC000602201294 358880736 /nfs/dbraw/zinc/88/07/36/358880736.db2.gz XBMYYMWTEBRJLO-UHFFFAOYSA-N 1 2 312.417 1.852 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cccc(F)c1N ZINC000602424954 358967400 /nfs/dbraw/zinc/96/74/00/358967400.db2.gz NLELLTYNDNQXHB-UHFFFAOYSA-N 1 2 306.385 1.456 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)s1 ZINC000602440349 358977812 /nfs/dbraw/zinc/97/78/12/358977812.db2.gz ITBQNAIWJZOWPN-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO N#CCC1(C[N@@H+](C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000602793548 359196157 /nfs/dbraw/zinc/19/61/57/359196157.db2.gz AGKXVLMKTQCYCS-ZIAGYGMSSA-N 1 2 312.435 1.348 20 30 DDEDLO N#CCC1(C[N@H+](C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000602793548 359196163 /nfs/dbraw/zinc/19/61/63/359196163.db2.gz AGKXVLMKTQCYCS-ZIAGYGMSSA-N 1 2 312.435 1.348 20 30 DDEDLO C[C@H](C(=O)NCC1CCCCC1)[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602857230 359243969 /nfs/dbraw/zinc/24/39/69/359243969.db2.gz PQUYCFZWGHKHDP-HRCADAONSA-N 1 2 320.481 1.990 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000602869083 359252984 /nfs/dbraw/zinc/25/29/84/359252984.db2.gz MAEXMPJRXVILFJ-ZDUSSCGKSA-N 1 2 304.369 1.366 20 30 DDEDLO C[C@H](c1nnnn1C)[NH+]1CCC(Nc2ccccc2C#N)CC1 ZINC000602893737 359277248 /nfs/dbraw/zinc/27/72/48/359277248.db2.gz FRVINBUZXSQNJR-GFCCVEGCSA-N 1 2 311.393 1.719 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@](COC)(C(=O)OC(C)(C)C)C1 ZINC000602964889 359329015 /nfs/dbraw/zinc/32/90/15/359329015.db2.gz VUKZUDWFJDFZQL-WBMJQRKESA-N 1 2 313.394 1.394 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@](COC)(C(=O)OC(C)(C)C)C1 ZINC000602964889 359329017 /nfs/dbraw/zinc/32/90/17/359329017.db2.gz VUKZUDWFJDFZQL-WBMJQRKESA-N 1 2 313.394 1.394 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000609130991 360263087 /nfs/dbraw/zinc/26/30/87/360263087.db2.gz FJCSICOKEIQKDL-OAHLLOKOSA-N 1 2 310.357 1.997 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC[C@H](c3ccncn3)C2)cc1 ZINC000609671877 360342988 /nfs/dbraw/zinc/34/29/88/360342988.db2.gz YBGZRBKCHFNPTK-DOTOQJQBSA-N 1 2 321.384 1.754 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC[C@H](c3ccncn3)C2)cc1 ZINC000609671877 360342992 /nfs/dbraw/zinc/34/29/92/360342992.db2.gz YBGZRBKCHFNPTK-DOTOQJQBSA-N 1 2 321.384 1.754 20 30 DDEDLO CCn1ncnc1CNC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000329989627 223059027 /nfs/dbraw/zinc/05/90/27/223059027.db2.gz IFOCVXMXVGZVPA-UHFFFAOYSA-N 1 2 303.370 1.315 20 30 DDEDLO CCn1ncnc1CNC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000329989627 223059031 /nfs/dbraw/zinc/05/90/31/223059031.db2.gz IFOCVXMXVGZVPA-UHFFFAOYSA-N 1 2 303.370 1.315 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(c2cc(C#N)nc3ccccc32)CC1 ZINC000610573406 360473327 /nfs/dbraw/zinc/47/33/27/360473327.db2.gz CFSVRDBCMCSCPQ-UHFFFAOYSA-N 1 2 323.400 1.492 20 30 DDEDLO CC[C@@H]1CCCCN1C(=O)[C@H](C)[NH+]1CCN(CCC#N)CC1 ZINC000611176011 360649134 /nfs/dbraw/zinc/64/91/34/360649134.db2.gz ROVQORSBSUYIOY-JKSUJKDBSA-N 1 2 306.454 1.697 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)NC[C@H]2CCCC2=O)CC1 ZINC000330093725 223073408 /nfs/dbraw/zinc/07/34/08/223073408.db2.gz RWQFCJFGVBRVQL-CQSZACIVSA-N 1 2 318.421 1.958 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC(C(=O)NC[C@H]2CCCC2=O)CC1 ZINC000330093725 223073411 /nfs/dbraw/zinc/07/34/11/223073411.db2.gz RWQFCJFGVBRVQL-CQSZACIVSA-N 1 2 318.421 1.958 20 30 DDEDLO O=C(NC1CN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1)C1CCC1 ZINC000330156609 223081044 /nfs/dbraw/zinc/08/10/44/223081044.db2.gz YUEUSKUWPMNSRW-LBPRGKRZSA-N 1 2 302.378 1.413 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@@H+]2CCC[C@](C)(C#N)C2)CC1 ZINC000612164260 360938263 /nfs/dbraw/zinc/93/82/63/360938263.db2.gz CDNBBILOJZLSDX-MRXNPFEDSA-N 1 2 307.394 1.024 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@H+]2CCC[C@](C)(C#N)C2)CC1 ZINC000612164260 360938268 /nfs/dbraw/zinc/93/82/68/360938268.db2.gz CDNBBILOJZLSDX-MRXNPFEDSA-N 1 2 307.394 1.024 20 30 DDEDLO O=C(NCc1nc(C(F)(F)F)n[nH]1)[C@@H]1CCC[N@@H+]1C1CC1 ZINC000330224831 223090559 /nfs/dbraw/zinc/09/05/59/223090559.db2.gz NWGYKIBTAVWGKC-QMMMGPOBSA-N 1 2 303.288 1.907 20 30 DDEDLO O=C(NCc1nc(C(F)(F)F)n[nH]1)[C@@H]1CCC[N@H+]1C1CC1 ZINC000330224831 223090562 /nfs/dbraw/zinc/09/05/62/223090562.db2.gz NWGYKIBTAVWGKC-QMMMGPOBSA-N 1 2 303.288 1.907 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@H+]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC000330624852 223131742 /nfs/dbraw/zinc/13/17/42/223131742.db2.gz RYHSPOKGRWXVIM-LBPRGKRZSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)cc1[N+](=O)[O-] ZINC000330624852 223131744 /nfs/dbraw/zinc/13/17/44/223131744.db2.gz RYHSPOKGRWXVIM-LBPRGKRZSA-N 1 2 307.350 1.929 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)NC1CCN(CC(F)(F)F)CC1 ZINC000330560796 223126245 /nfs/dbraw/zinc/12/62/45/223126245.db2.gz HXVKBJHODKSEBI-UHFFFAOYSA-N 1 2 319.331 1.450 20 30 DDEDLO Cc1cc(C)c(NC(=O)N(C)CCNC(=O)N(C)C)c(C)[nH+]1 ZINC000331349726 223204353 /nfs/dbraw/zinc/20/43/53/223204353.db2.gz XXSAVLQJYFKKJQ-UHFFFAOYSA-N 1 2 307.398 1.960 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000333214852 223218723 /nfs/dbraw/zinc/21/87/23/223218723.db2.gz USDHPGKDGMWDHO-VGWMRTNUSA-N 1 2 320.437 1.573 20 30 DDEDLO C=C[C@@H]([NH2+]C1CCN(c2ccccc2OC)CC1)C(=O)OC ZINC000619700753 364119482 /nfs/dbraw/zinc/11/94/82/364119482.db2.gz KSBDTPKFTXHMEE-CQSZACIVSA-N 1 2 304.390 1.981 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(C(N)=O)(c2ccccc2)CC1 ZINC000619718048 364129571 /nfs/dbraw/zinc/12/95/71/364129571.db2.gz QYSKSZWDRKLDNU-AWEZNQCLSA-N 1 2 302.374 1.233 20 30 DDEDLO N#CC1(F)CCN(C(=O)NCCCNc2cccc[nH+]2)CC1 ZINC000345025428 223341256 /nfs/dbraw/zinc/34/12/56/223341256.db2.gz JZTBGUMMPIELQB-UHFFFAOYSA-N 1 2 305.357 1.921 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](S(=O)(=O)N3CCCC3)C2)o1 ZINC000092044917 193145612 /nfs/dbraw/zinc/14/56/12/193145612.db2.gz PPGPQDXEEQKFRG-CQSZACIVSA-N 1 2 309.391 1.151 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](S(=O)(=O)N3CCCC3)C2)o1 ZINC000092044917 193145614 /nfs/dbraw/zinc/14/56/14/193145614.db2.gz PPGPQDXEEQKFRG-CQSZACIVSA-N 1 2 309.391 1.151 20 30 DDEDLO Cn1cnnc1[C@H]1CCCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000093809866 193310630 /nfs/dbraw/zinc/31/06/30/193310630.db2.gz RDVUXIFUHHPYRT-CQSZACIVSA-N 1 2 307.361 1.672 20 30 DDEDLO CC#CCNC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000633142687 422777333 /nfs/dbraw/zinc/77/73/33/422777333.db2.gz QNBBHAJIVZUKOG-UHFFFAOYSA-N 1 2 304.419 1.457 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc2c(c1)CCC(=O)N2 ZINC000563805277 335021060 /nfs/dbraw/zinc/02/10/60/335021060.db2.gz BRFNOFXBHJZRCC-AWEZNQCLSA-N 1 2 302.378 1.190 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc2c(c1)CCC(=O)N2 ZINC000563805277 335021062 /nfs/dbraw/zinc/02/10/62/335021062.db2.gz BRFNOFXBHJZRCC-AWEZNQCLSA-N 1 2 302.378 1.190 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3nc(N)ns3)CC2)c(F)c1 ZINC000267897422 206323615 /nfs/dbraw/zinc/32/36/15/206323615.db2.gz IZOFXIVVEOLDDE-UHFFFAOYSA-N 1 2 318.381 1.453 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1c[nH]c(C#N)c1)C1CCOCC1 ZINC000284468421 218041059 /nfs/dbraw/zinc/04/10/59/218041059.db2.gz ICJYREBNZHFKMD-AWEZNQCLSA-N 1 2 313.361 1.518 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC000369132670 285039463 /nfs/dbraw/zinc/03/94/63/285039463.db2.gz YWQYCHGTQRAGIA-HUUCEWRRSA-N 1 2 323.437 1.264 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC000369132670 285039465 /nfs/dbraw/zinc/03/94/65/285039465.db2.gz YWQYCHGTQRAGIA-HUUCEWRRSA-N 1 2 323.437 1.264 20 30 DDEDLO Cc1cc(NCC[N@H+]2CCOC[C@@H]2C)c(C#N)cc1[N+](=O)[O-] ZINC000413126876 224139154 /nfs/dbraw/zinc/13/91/54/224139154.db2.gz UNDQINNVGPGTGP-LBPRGKRZSA-N 1 2 304.350 1.908 20 30 DDEDLO Cc1cc(NCC[N@@H+]2CCOC[C@@H]2C)c(C#N)cc1[N+](=O)[O-] ZINC000413126876 224139157 /nfs/dbraw/zinc/13/91/57/224139157.db2.gz UNDQINNVGPGTGP-LBPRGKRZSA-N 1 2 304.350 1.908 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)Nc2ccc(OC)cc2)CC1 ZINC000342018327 533109796 /nfs/dbraw/zinc/10/97/96/533109796.db2.gz NHXMGYGNOXFKHC-UHFFFAOYSA-N 1 2 317.389 1.886 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+]1CC[C@@](F)(CO)C1 ZINC000338626332 533186337 /nfs/dbraw/zinc/18/63/37/533186337.db2.gz NGTVXBNGUOYGTA-YOEHRIQHSA-N 1 2 319.380 1.728 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@H+]1CC[C@@](F)(CO)C1 ZINC000338626332 533186341 /nfs/dbraw/zinc/18/63/41/533186341.db2.gz NGTVXBNGUOYGTA-YOEHRIQHSA-N 1 2 319.380 1.728 20 30 DDEDLO Cc1cc(OC[C@@H](O)C[N@H+](C)CCC#N)c(F)cc1[N+](=O)[O-] ZINC000414120252 224302032 /nfs/dbraw/zinc/30/20/32/224302032.db2.gz BNFUHTPKHZEUGT-NSHDSACASA-N 1 2 311.313 1.628 20 30 DDEDLO Cc1cc(OC[C@@H](O)C[N@@H+](C)CCC#N)c(F)cc1[N+](=O)[O-] ZINC000414120252 224302034 /nfs/dbraw/zinc/30/20/34/224302034.db2.gz BNFUHTPKHZEUGT-NSHDSACASA-N 1 2 311.313 1.628 20 30 DDEDLO COC1(C)CC[NH+](CCS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000289878747 221286960 /nfs/dbraw/zinc/28/69/60/221286960.db2.gz MAKJTZLHUYGCBK-UHFFFAOYSA-N 1 2 323.418 1.228 20 30 DDEDLO COCc1nc(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)cs1 ZINC000330626503 533271928 /nfs/dbraw/zinc/27/19/28/533271928.db2.gz VSRYVSVJTKGIRK-MNOVXSKESA-N 1 2 313.423 1.703 20 30 DDEDLO COc1cccc(N2C[C@H](Nc3cc(C)[nH+]cc3C#N)CC2=O)c1 ZINC000425228852 533590912 /nfs/dbraw/zinc/59/09/12/533590912.db2.gz QPYUEZZQXGXEIV-CQSZACIVSA-N 1 2 322.368 1.910 20 30 DDEDLO CCSCCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000569120742 304337641 /nfs/dbraw/zinc/33/76/41/304337641.db2.gz PBVHCJFMMMVXJV-UHFFFAOYSA-N 1 2 307.419 1.305 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000341984650 292208391 /nfs/dbraw/zinc/20/83/91/292208391.db2.gz DSHVKNNZRSZBQY-GOEBONIOSA-N 1 2 320.437 1.682 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCCC[C@@H]1C(N)=O)C1(C#N)CCCCC1 ZINC000015109027 406880171 /nfs/dbraw/zinc/88/01/71/406880171.db2.gz QKAUYALYBRVOCJ-CYBMUJFWSA-N 1 2 306.410 1.011 20 30 DDEDLO CN(C(=O)C[N@H+]1CCCC[C@@H]1C(N)=O)C1(C#N)CCCCC1 ZINC000015109027 406880175 /nfs/dbraw/zinc/88/01/75/406880175.db2.gz QKAUYALYBRVOCJ-CYBMUJFWSA-N 1 2 306.410 1.011 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(OC)c(F)c2)CC1 ZINC000084866379 407095350 /nfs/dbraw/zinc/09/53/50/407095350.db2.gz UKNDLPKCXOQXIE-CYBMUJFWSA-N 1 2 321.396 1.647 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N2CC[NH+](C3CC3)CC2)cc1 ZINC000048995731 407112588 /nfs/dbraw/zinc/11/25/88/407112588.db2.gz DXMRAJMUBLBQIE-UHFFFAOYSA-N 1 2 305.403 1.168 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000046599163 407061561 /nfs/dbraw/zinc/06/15/61/407061561.db2.gz RRRBUWNNIGKDKL-LBPRGKRZSA-N 1 2 304.350 1.787 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000046599163 407061563 /nfs/dbraw/zinc/06/15/63/407061563.db2.gz RRRBUWNNIGKDKL-LBPRGKRZSA-N 1 2 304.350 1.787 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1ccc(Cl)nc1 ZINC000080936654 407073816 /nfs/dbraw/zinc/07/38/16/407073816.db2.gz GSWYBTIQFKBHKY-SNVBAGLBSA-N 1 2 310.785 1.567 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1ccc(Cl)nc1 ZINC000080936654 407073818 /nfs/dbraw/zinc/07/38/18/407073818.db2.gz GSWYBTIQFKBHKY-SNVBAGLBSA-N 1 2 310.785 1.567 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)N[C@@](C)(C#N)C2CC2)cc1O ZINC000080962190 407074095 /nfs/dbraw/zinc/07/40/95/407074095.db2.gz GCVDFCUXBHAGDY-KRWDZBQOSA-N 1 2 317.389 1.641 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)N[C@@](C)(C#N)C2CC2)cc1O ZINC000080962190 407074098 /nfs/dbraw/zinc/07/40/98/407074098.db2.gz GCVDFCUXBHAGDY-KRWDZBQOSA-N 1 2 317.389 1.641 20 30 DDEDLO COc1ccccc1CNC(=O)C[N@H+](CCC#N)CC1CC1 ZINC000081044789 407075212 /nfs/dbraw/zinc/07/52/12/407075212.db2.gz QVNRGDVVKRQSQQ-UHFFFAOYSA-N 1 2 301.390 1.937 20 30 DDEDLO COc1ccccc1CNC(=O)C[N@@H+](CCC#N)CC1CC1 ZINC000081044789 407075214 /nfs/dbraw/zinc/07/52/14/407075214.db2.gz QVNRGDVVKRQSQQ-UHFFFAOYSA-N 1 2 301.390 1.937 20 30 DDEDLO COc1ccc(C[NH+]2CCN(CC#N)CC2)c(OC)c1OC ZINC000052687148 407155278 /nfs/dbraw/zinc/15/52/78/407155278.db2.gz IRFSVUWTAOUYKR-UHFFFAOYSA-N 1 2 305.378 1.354 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2ccccc2C#N)CC1 ZINC000055080233 407194485 /nfs/dbraw/zinc/19/44/85/407194485.db2.gz MKCLVNDZFSOLLU-CYBMUJFWSA-N 1 2 307.419 1.663 20 30 DDEDLO CCOC(=O)C[N@H+](C[C@@H](O)COc1ccc(C#N)cc1)C(C)C ZINC000066423380 407250168 /nfs/dbraw/zinc/25/01/68/407250168.db2.gz GPOMICIIRPGXJN-OAHLLOKOSA-N 1 2 320.389 1.571 20 30 DDEDLO CCOC(=O)C[N@@H+](C[C@@H](O)COc1ccc(C#N)cc1)C(C)C ZINC000066423380 407250171 /nfs/dbraw/zinc/25/01/71/407250171.db2.gz GPOMICIIRPGXJN-OAHLLOKOSA-N 1 2 320.389 1.571 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCCCS(=O)(=O)C(C)C)ccc1F ZINC000122980735 407315903 /nfs/dbraw/zinc/31/59/03/407315903.db2.gz QEZWZALZHXUTKS-UHFFFAOYSA-N 1 2 316.398 1.984 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@@H+](CC(=O)N(C)C)CC1 ZINC000123145421 407320252 /nfs/dbraw/zinc/32/02/52/407320252.db2.gz DRWYAFFCRMZDMX-UHFFFAOYSA-N 1 2 307.438 1.377 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@H+](CC(=O)N(C)C)CC1 ZINC000123145421 407320253 /nfs/dbraw/zinc/32/02/53/407320253.db2.gz DRWYAFFCRMZDMX-UHFFFAOYSA-N 1 2 307.438 1.377 20 30 DDEDLO C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@@H+]1CCNC(=O)CC1 ZINC000126170921 407407301 /nfs/dbraw/zinc/40/73/01/407407301.db2.gz FTIGYZGLCHYVDV-XOYHFGRZSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@H+]1CCNC(=O)CC1 ZINC000126170921 407407302 /nfs/dbraw/zinc/40/73/02/407407302.db2.gz FTIGYZGLCHYVDV-XOYHFGRZSA-N 1 2 320.437 1.128 20 30 DDEDLO CCOC(=O)N1CC[NH+](C[C@@H](O)c2ccc(C#N)cc2)CC1 ZINC000126608325 407417593 /nfs/dbraw/zinc/41/75/93/407417593.db2.gz MECFAVZDJMINNF-OAHLLOKOSA-N 1 2 303.362 1.366 20 30 DDEDLO C[C@H]1CN(S(=O)(=O)Cc2ccc(C#N)cc2)C[C@H](C)[NH+]1C ZINC000126717817 407420040 /nfs/dbraw/zinc/42/00/40/407420040.db2.gz IXNRLUGWJOGIKS-STQMWFEESA-N 1 2 307.419 1.412 20 30 DDEDLO C#CCNC(=O)c1ccc(NCc2cn3ccccc3[nH+]2)nc1 ZINC000112513068 407431111 /nfs/dbraw/zinc/43/11/11/407431111.db2.gz UAIZRUKJOWSSJZ-UHFFFAOYSA-N 1 2 305.341 1.704 20 30 DDEDLO N#Cc1ncn(CC(=O)Nc2cccc(Oc3cc[nH+]cc3)c2)n1 ZINC000178572046 407495680 /nfs/dbraw/zinc/49/56/80/407495680.db2.gz ZSFUOANWXNSCQW-UHFFFAOYSA-N 1 2 320.312 1.976 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(c2cccnn2)CC1 ZINC000170649416 407462650 /nfs/dbraw/zinc/46/26/50/407462650.db2.gz GUJAXGRYHGUORK-HNNXBMFYSA-N 1 2 317.437 1.412 20 30 DDEDLO COCCO[NH+]=C(N)c1ccc(OCc2cccnc2)cc1 ZINC000171093837 407594864 /nfs/dbraw/zinc/59/48/64/407594864.db2.gz ALBJDFGIJWQRNX-UHFFFAOYSA-N 1 2 301.346 1.944 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC(C)(C)[NH+]2CCOCC2)cc1 ZINC000130406829 407686034 /nfs/dbraw/zinc/68/60/34/407686034.db2.gz OZNHLPZYRLJPGI-UHFFFAOYSA-N 1 2 316.401 1.539 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)NCc1[nH]c[nH+]c1C ZINC000266962268 407692309 /nfs/dbraw/zinc/69/23/09/407692309.db2.gz IETNGESCZOPCAX-UHFFFAOYSA-N 1 2 300.318 1.294 20 30 DDEDLO C[C@@H]([NH2+][C@H](C(N)=O)c1ccc(C#N)cc1)C(=O)Nc1ccccc1 ZINC000115600143 407699831 /nfs/dbraw/zinc/69/98/31/407699831.db2.gz IQMIMQLQCGEMAN-WBMJQRKESA-N 1 2 322.368 1.701 20 30 DDEDLO CCN(CC)C(=O)[C@H]1CCC[N@H+]1CC(=O)N(CC)C[C@@H](C)C#N ZINC000171995198 407801816 /nfs/dbraw/zinc/80/18/16/407801816.db2.gz OKGAGQWMECTMAK-LSDHHAIUSA-N 1 2 322.453 1.327 20 30 DDEDLO CCN(CC)C(=O)[C@H]1CCC[N@@H+]1CC(=O)N(CC)C[C@@H](C)C#N ZINC000171995198 407801819 /nfs/dbraw/zinc/80/18/19/407801819.db2.gz OKGAGQWMECTMAK-LSDHHAIUSA-N 1 2 322.453 1.327 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](C[C@@H](O)COc2ccccc2)CC1 ZINC000117312089 407830535 /nfs/dbraw/zinc/83/05/35/407830535.db2.gz YJYVPMVMTZGUGB-MRXNPFEDSA-N 1 2 318.417 1.537 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(c2cc(C)[nH]n2)CC1 ZINC000187207420 407832279 /nfs/dbraw/zinc/83/22/79/407832279.db2.gz XJNFGJIEAWOBMR-OAHLLOKOSA-N 1 2 319.453 1.653 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C2(C#N)CC2)cc1 ZINC000179912017 407842458 /nfs/dbraw/zinc/84/24/58/407842458.db2.gz MZRZYNWNURVZFV-CQSZACIVSA-N 1 2 313.401 1.692 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@@H]21 ZINC000134925203 407953172 /nfs/dbraw/zinc/95/31/72/407953172.db2.gz QBSOMOLUJSLKMK-KBPBESRZSA-N 1 2 304.325 1.244 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@@H]21 ZINC000134925203 407953178 /nfs/dbraw/zinc/95/31/78/407953178.db2.gz QBSOMOLUJSLKMK-KBPBESRZSA-N 1 2 304.325 1.244 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)Nc2ccccc2C(N)=O)CC1 ZINC000272472144 407938684 /nfs/dbraw/zinc/93/86/84/407938684.db2.gz AEMCWKHDFLBJFT-UHFFFAOYSA-N 1 2 300.362 1.350 20 30 DDEDLO Cc1nc2sccn2c1C[N@@H+](C)[C@@H](C)C(=O)N(C)CCC#N ZINC000268501645 408070045 /nfs/dbraw/zinc/07/00/45/408070045.db2.gz SMUMSFOECCBRIJ-LBPRGKRZSA-N 1 2 319.434 1.897 20 30 DDEDLO Cc1nc2sccn2c1C[N@H+](C)[C@@H](C)C(=O)N(C)CCC#N ZINC000268501645 408070050 /nfs/dbraw/zinc/07/00/50/408070050.db2.gz SMUMSFOECCBRIJ-LBPRGKRZSA-N 1 2 319.434 1.897 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)NCCCC#N)CC1 ZINC000273146757 408079032 /nfs/dbraw/zinc/07/90/32/408079032.db2.gz WTDMCOBEWFNDLZ-CQSZACIVSA-N 1 2 301.394 1.774 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)NCCCC#N)CC1 ZINC000273294995 408141233 /nfs/dbraw/zinc/14/12/33/408141233.db2.gz SYOUVJBDYMYIJW-UHFFFAOYSA-N 1 2 316.405 1.826 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000263672796 408199264 /nfs/dbraw/zinc/19/92/64/408199264.db2.gz GPCRFHQFWPPZEZ-UHFFFAOYSA-N 1 2 304.369 1.344 20 30 DDEDLO C[C@](C#N)(NC(=O)C[NH+]1CCN(c2cccs2)CC1)C1CC1 ZINC000121421324 408206919 /nfs/dbraw/zinc/20/69/19/408206919.db2.gz NYHPUDLKKYDEAS-MRXNPFEDSA-N 1 2 318.446 1.679 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)[C@@H]3CCCCO3)CC2)cc1 ZINC000269340572 408275304 /nfs/dbraw/zinc/27/53/04/408275304.db2.gz ILZVLXXVAJOYQZ-KRWDZBQOSA-N 1 2 313.401 1.772 20 30 DDEDLO COC[C@@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)CCO1 ZINC000172876996 162309424 /nfs/dbraw/zinc/30/94/24/162309424.db2.gz DUDOYWZCFGCTLI-LBPRGKRZSA-N 1 2 309.391 1.296 20 30 DDEDLO COC[C@@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)CCO1 ZINC000172876996 162309425 /nfs/dbraw/zinc/30/94/25/162309425.db2.gz DUDOYWZCFGCTLI-LBPRGKRZSA-N 1 2 309.391 1.296 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2[C@H](C)CCC[C@H]2CO)c(C#N)c1C ZINC000269847813 408447940 /nfs/dbraw/zinc/44/79/40/408447940.db2.gz GKHFKDBNQCFZMC-MFKMUULPSA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2[C@H](C)CCC[C@H]2CO)c(C#N)c1C ZINC000269847813 408447942 /nfs/dbraw/zinc/44/79/42/408447942.db2.gz GKHFKDBNQCFZMC-MFKMUULPSA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)n1 ZINC000191402196 408420169 /nfs/dbraw/zinc/42/01/69/408420169.db2.gz HIRJGPAEHDRZDB-UHFFFAOYSA-N 1 2 302.407 1.379 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@H+]2CCC[C@@H]2C(N)=O)c1 ZINC000191774002 408488675 /nfs/dbraw/zinc/48/86/75/408488675.db2.gz LDRBDCDXYLXTJJ-GFCCVEGCSA-N 1 2 308.179 1.770 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@@H+]2CCC[C@@H]2C(N)=O)c1 ZINC000191774002 408488682 /nfs/dbraw/zinc/48/86/82/408488682.db2.gz LDRBDCDXYLXTJJ-GFCCVEGCSA-N 1 2 308.179 1.770 20 30 DDEDLO CNC(=O)C[N@H+](C)[C@@H](C)C(=O)N(CCC#N)c1ccc(F)cc1 ZINC000270767834 408671846 /nfs/dbraw/zinc/67/18/46/408671846.db2.gz KTODERPNNKDLPR-LBPRGKRZSA-N 1 2 320.368 1.139 20 30 DDEDLO CNC(=O)C[N@@H+](C)[C@@H](C)C(=O)N(CCC#N)c1ccc(F)cc1 ZINC000270767834 408671849 /nfs/dbraw/zinc/67/18/49/408671849.db2.gz KTODERPNNKDLPR-LBPRGKRZSA-N 1 2 320.368 1.139 20 30 DDEDLO C[C@@H](CC#N)N(C)CC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000177501651 408639971 /nfs/dbraw/zinc/63/99/71/408639971.db2.gz HYJPUBHBHSNFCR-AWEZNQCLSA-N 1 2 320.462 1.626 20 30 DDEDLO CN1CC[C@H]([N@H+](C)CC(=O)Nc2ccc(C#N)c(Cl)c2)C1=O ZINC000265655132 408733032 /nfs/dbraw/zinc/73/30/32/408733032.db2.gz PRBWXNYMEIREET-ZDUSSCGKSA-N 1 2 320.780 1.313 20 30 DDEDLO CN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccc(C#N)c(Cl)c2)C1=O ZINC000265655132 408733035 /nfs/dbraw/zinc/73/30/35/408733035.db2.gz PRBWXNYMEIREET-ZDUSSCGKSA-N 1 2 320.780 1.313 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC[NH+](C(C)C)CC1 ZINC000185434529 408808664 /nfs/dbraw/zinc/80/86/64/408808664.db2.gz YRGKUGRUKMRATE-UHFFFAOYSA-N 1 2 323.418 1.282 20 30 DDEDLO CC[C@H](C)NC(=O)[C@H](C)O[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000178147152 408780376 /nfs/dbraw/zinc/78/03/76/408780376.db2.gz FOHVLPVSLQXYJC-RYUDHWBXSA-N 1 2 307.394 1.830 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1ccc(N2CCOCC2)[nH+]c1)C(C)C ZINC000281169524 408875049 /nfs/dbraw/zinc/87/50/49/408875049.db2.gz XPBIBTLRJSAGBB-OAHLLOKOSA-N 1 2 316.405 1.375 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2cccc(C#N)c2)C1 ZINC000276160507 408823409 /nfs/dbraw/zinc/82/34/09/408823409.db2.gz ATMZGQDCSKWRFE-QGZVFWFLSA-N 1 2 313.401 1.741 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2cccc(C#N)c2)C1 ZINC000276160507 408823410 /nfs/dbraw/zinc/82/34/10/408823410.db2.gz ATMZGQDCSKWRFE-QGZVFWFLSA-N 1 2 313.401 1.741 20 30 DDEDLO COCCOCCOCC[N@H+](C)Cc1cc(F)ccc1C#N ZINC000276301712 408831614 /nfs/dbraw/zinc/83/16/14/408831614.db2.gz VSYMAZDXGOJVKF-UHFFFAOYSA-N 1 2 310.369 1.809 20 30 DDEDLO COCCOCCOCC[N@@H+](C)Cc1cc(F)ccc1C#N ZINC000276301712 408831616 /nfs/dbraw/zinc/83/16/16/408831616.db2.gz VSYMAZDXGOJVKF-UHFFFAOYSA-N 1 2 310.369 1.809 20 30 DDEDLO CN(C)S(=O)(=O)[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC000285637400 408833704 /nfs/dbraw/zinc/83/37/04/408833704.db2.gz OEHONVIRWJLZIG-MRXNPFEDSA-N 1 2 306.431 1.394 20 30 DDEDLO CN(C)S(=O)(=O)[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC000285637400 408833707 /nfs/dbraw/zinc/83/37/07/408833707.db2.gz OEHONVIRWJLZIG-MRXNPFEDSA-N 1 2 306.431 1.394 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](CCCO)Cc1ccccn1 ZINC000281152217 408872720 /nfs/dbraw/zinc/87/27/20/408872720.db2.gz ZXGROGWOWBNAPE-UHFFFAOYSA-N 1 2 305.422 1.691 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](CCCO)Cc1ccccn1 ZINC000281152217 408872727 /nfs/dbraw/zinc/87/27/27/408872727.db2.gz ZXGROGWOWBNAPE-UHFFFAOYSA-N 1 2 305.422 1.691 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1cc(O)c(OC)cc1Br ZINC000291712525 408911009 /nfs/dbraw/zinc/91/10/09/408911009.db2.gz ZYLFYXHNLPKMAB-SECBINFHSA-N 1 2 302.168 1.800 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NCCNc1cccc[nH+]1 ZINC000281686809 408911079 /nfs/dbraw/zinc/91/10/79/408911079.db2.gz BGJFJFWDASWJGN-HIFRSBDPSA-N 1 2 304.394 1.774 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000281493753 408887479 /nfs/dbraw/zinc/88/74/79/408887479.db2.gz QIGYKBWGZRICKE-XJKSGUPXSA-N 1 2 312.373 1.978 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)[C@@H](C#N)Cc1ccc(C#N)cc1 ZINC000281548910 408889722 /nfs/dbraw/zinc/88/97/22/408889722.db2.gz KZDNNYMPVKHFJD-QGZVFWFLSA-N 1 2 321.384 1.986 20 30 DDEDLO Cn1ccc(C[NH2+][C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)n1 ZINC000277379522 408929468 /nfs/dbraw/zinc/92/94/68/408929468.db2.gz IHYUHHMWZZISFB-MRXNPFEDSA-N 1 2 309.373 1.182 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000192143878 163216847 /nfs/dbraw/zinc/21/68/47/163216847.db2.gz NGCTVNOVOZMKDF-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000192143878 163216850 /nfs/dbraw/zinc/21/68/50/163216850.db2.gz NGCTVNOVOZMKDF-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO C=CCSCCNc1nc(NC(C)C)nc(NCCO)[nH+]1 ZINC000286159288 408933666 /nfs/dbraw/zinc/93/36/66/408933666.db2.gz IBMFWZMYGBESFC-UHFFFAOYSA-N 1 2 312.443 1.427 20 30 DDEDLO C=CCSCCNc1nc(NCCO)nc(NC(C)C)[nH+]1 ZINC000286159288 408933668 /nfs/dbraw/zinc/93/36/68/408933668.db2.gz IBMFWZMYGBESFC-UHFFFAOYSA-N 1 2 312.443 1.427 20 30 DDEDLO C=CCSCCNc1nc(NCCO)[nH+]c(NC(C)C)n1 ZINC000286159288 408933672 /nfs/dbraw/zinc/93/36/72/408933672.db2.gz IBMFWZMYGBESFC-UHFFFAOYSA-N 1 2 312.443 1.427 20 30 DDEDLO N#Cc1ccc(NCC2([NH+]3CCOCC3)CC2)c([N+](=O)[O-])c1 ZINC000277465646 408945827 /nfs/dbraw/zinc/94/58/27/408945827.db2.gz PLQDCAMDPFAPEX-UHFFFAOYSA-N 1 2 302.334 1.743 20 30 DDEDLO Cn1cc(N2CC[N@H+](CCCCC(C)(C)C#N)CC2=O)cn1 ZINC000277735079 409001109 /nfs/dbraw/zinc/00/11/09/409001109.db2.gz OIXHYIXFFLTQJF-UHFFFAOYSA-N 1 2 303.410 1.789 20 30 DDEDLO Cn1cc(N2CC[N@@H+](CCCCC(C)(C)C#N)CC2=O)cn1 ZINC000277735079 409001112 /nfs/dbraw/zinc/00/11/12/409001112.db2.gz OIXHYIXFFLTQJF-UHFFFAOYSA-N 1 2 303.410 1.789 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C1(CO)CCC1 ZINC000292185637 408996326 /nfs/dbraw/zinc/99/63/26/408996326.db2.gz QYNCFCGPRXTDHX-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C1(CO)CCC1 ZINC000292185637 408996330 /nfs/dbraw/zinc/99/63/30/408996330.db2.gz QYNCFCGPRXTDHX-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](CCOC)Cc1ccccn1 ZINC000287439720 409037213 /nfs/dbraw/zinc/03/72/13/409037213.db2.gz QAZUYMIGMIRKFQ-UHFFFAOYSA-N 1 2 303.406 1.731 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](CCOC)Cc1ccccn1 ZINC000287439720 409037215 /nfs/dbraw/zinc/03/72/15/409037215.db2.gz QAZUYMIGMIRKFQ-UHFFFAOYSA-N 1 2 303.406 1.731 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](C)Cc1nc([C@@H](C)OCC(C)C)no1 ZINC000292742178 409041125 /nfs/dbraw/zinc/04/11/25/409041125.db2.gz HLSQVCNYEWCZEF-CYBMUJFWSA-N 1 2 322.409 1.375 20 30 DDEDLO C#CCNC(=O)CC[N@H+](C)Cc1nc([C@@H](C)OCC(C)C)no1 ZINC000292742178 409041127 /nfs/dbraw/zinc/04/11/27/409041127.db2.gz HLSQVCNYEWCZEF-CYBMUJFWSA-N 1 2 322.409 1.375 20 30 DDEDLO CCC[N@H+](Cc1ccc(C#N)cc1F)[C@H]1CC(=O)N(C)C1=O ZINC000282395415 409046475 /nfs/dbraw/zinc/04/64/75/409046475.db2.gz DWNIXTIVKLEPGB-AWEZNQCLSA-N 1 2 303.337 1.667 20 30 DDEDLO CCC[N@@H+](Cc1ccc(C#N)cc1F)[C@H]1CC(=O)N(C)C1=O ZINC000282395415 409046479 /nfs/dbraw/zinc/04/64/79/409046479.db2.gz DWNIXTIVKLEPGB-AWEZNQCLSA-N 1 2 303.337 1.667 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000293039366 409049702 /nfs/dbraw/zinc/04/97/02/409049702.db2.gz JPSRACVNAPVVBD-HNNXBMFYSA-N 1 2 324.783 1.992 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000293039366 409049705 /nfs/dbraw/zinc/04/97/05/409049705.db2.gz JPSRACVNAPVVBD-HNNXBMFYSA-N 1 2 324.783 1.992 20 30 DDEDLO N#Cc1ccccc1/C=C/C(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000278064423 409059570 /nfs/dbraw/zinc/05/95/70/409059570.db2.gz WQZZDTBZCQSVIY-AATRIKPKSA-N 1 2 311.385 1.552 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccc(F)c(OC)c2)CC1 ZINC000282790803 409063758 /nfs/dbraw/zinc/06/37/58/409063758.db2.gz NOIOFRRUGLLBOC-UHFFFAOYSA-N 1 2 307.369 1.259 20 30 DDEDLO Cn1ncc(C[NH+]2CCC(C(=O)Nc3cccc(C#N)c3)CC2)n1 ZINC000289335606 409251211 /nfs/dbraw/zinc/25/12/11/409251211.db2.gz IIXGZNDJNXLCSK-UHFFFAOYSA-N 1 2 324.388 1.537 20 30 DDEDLO C[C@@H](NC(=O)[C@H](C)O[NH+]=C(N)[C@@H]1CCCO1)c1ccc(F)cc1 ZINC000284105126 409275636 /nfs/dbraw/zinc/27/56/36/409275636.db2.gz LKRZVUJEIXTBLB-SUNKGSAMSA-N 1 2 323.368 1.859 20 30 DDEDLO CN(Cc1[nH+]ccn1CC(F)(F)F)C(=O)c1ccnc(C#N)c1 ZINC000280247587 409277867 /nfs/dbraw/zinc/27/78/67/409277867.db2.gz KUYXXENEURKBEG-UHFFFAOYSA-N 1 2 323.278 1.984 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1ncc(I)s1 ZINC000289809686 409286977 /nfs/dbraw/zinc/28/69/77/409286977.db2.gz LLWPPQJHYIKKIO-ZCFIWIBFSA-N 1 2 310.160 1.384 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CC3(C2)CCCCC3)nc1 ZINC000290051221 409293139 /nfs/dbraw/zinc/29/31/39/409293139.db2.gz IDSMDJMMFALCEY-UHFFFAOYSA-N 1 2 319.430 1.993 20 30 DDEDLO CC(C)Nc1nc(N[C@H]2CC[C@@H](CC#N)C2)nc(NCCO)[nH+]1 ZINC000294886857 409305177 /nfs/dbraw/zinc/30/51/77/409305177.db2.gz CNXKBPKECCVTNS-RYUDHWBXSA-N 1 2 319.413 1.590 20 30 DDEDLO CC(C)Nc1nc(NCCO)[nH+]c(N[C@H]2CC[C@@H](CC#N)C2)n1 ZINC000294886857 409305180 /nfs/dbraw/zinc/30/51/80/409305180.db2.gz CNXKBPKECCVTNS-RYUDHWBXSA-N 1 2 319.413 1.590 20 30 DDEDLO CC(C)Nc1nc(NCCO)nc(N[C@H]2CC[C@@H](CC#N)C2)[nH+]1 ZINC000294886857 409305183 /nfs/dbraw/zinc/30/51/83/409305183.db2.gz CNXKBPKECCVTNS-RYUDHWBXSA-N 1 2 319.413 1.590 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@@H](C)C1 ZINC000290174594 409313732 /nfs/dbraw/zinc/31/37/32/409313732.db2.gz DYPRJNNTZHAIFZ-OLZOCXBDSA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@@H](C)C1 ZINC000290174594 409313735 /nfs/dbraw/zinc/31/37/35/409313735.db2.gz DYPRJNNTZHAIFZ-OLZOCXBDSA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@H](CNS(=O)(=O)CCC#N)[N@@H+]1CCc2sccc2C1 ZINC000295221558 409381661 /nfs/dbraw/zinc/38/16/61/409381661.db2.gz RDMHQFCMCVLQPD-LLVKDONJSA-N 1 2 313.448 1.328 20 30 DDEDLO C[C@H](CNS(=O)(=O)CCC#N)[N@H+]1CCc2sccc2C1 ZINC000295221558 409381668 /nfs/dbraw/zinc/38/16/68/409381668.db2.gz RDMHQFCMCVLQPD-LLVKDONJSA-N 1 2 313.448 1.328 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)N1CCc2sccc2C1 ZINC000284549710 409349450 /nfs/dbraw/zinc/34/94/50/409349450.db2.gz VWKZFGSLGCQHCQ-PWSUYJOCSA-N 1 2 323.418 1.489 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)Nc1cc(F)ccc1F ZINC000284591465 409351790 /nfs/dbraw/zinc/35/17/90/409351790.db2.gz XYTUUNLEBGULED-PRHODGIISA-N 1 2 313.304 1.760 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1N(S(=O)(=O)c2ccc(C#N)o2)CC1(C)C ZINC000295175747 409356634 /nfs/dbraw/zinc/35/66/34/409356634.db2.gz BBPRQVXZNHBCOD-LBPRGKRZSA-N 1 2 320.374 1.657 20 30 DDEDLO N#Cc1cnc(N2C[C@@H]3[C@H](C2)OCC[N@@H+]3Cc2ccccc2)cn1 ZINC000280738595 409434532 /nfs/dbraw/zinc/43/45/32/409434532.db2.gz KUFURHSUXYHMGS-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO N#Cc1cnc(N2C[C@@H]3[C@H](C2)OCC[N@H+]3Cc2ccccc2)cn1 ZINC000280738595 409434538 /nfs/dbraw/zinc/43/45/38/409434538.db2.gz KUFURHSUXYHMGS-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO CC[C@@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CCCO1 ZINC000317500015 164028152 /nfs/dbraw/zinc/02/81/52/164028152.db2.gz UVEODZZTSCHTLS-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CCCO1 ZINC000317500015 164028153 /nfs/dbraw/zinc/02/81/53/164028153.db2.gz UVEODZZTSCHTLS-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO CC(C)[C@H]([NH2+]Cc1ccc(C#N)o1)c1nc(-c2nc[nH]n2)no1 ZINC000344850371 409530949 /nfs/dbraw/zinc/53/09/49/409530949.db2.gz SYZJRHFEBGMTKV-NSHDSACASA-N 1 2 313.321 1.806 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC2=CC[N@@H+](C)CC2)cc1C#N ZINC000345057580 409613365 /nfs/dbraw/zinc/61/33/65/409613365.db2.gz LHFDEHUKQZYWMO-UHFFFAOYSA-N 1 2 318.402 1.372 20 30 DDEDLO C[C@H]([C@H](C)Nc1ncc(C#N)cc1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000297154190 409827025 /nfs/dbraw/zinc/82/70/25/409827025.db2.gz OKICLRUYSMAKSE-WDEREUQCSA-N 1 2 305.338 1.383 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cnccc3C)CC2)c1C#N ZINC000349852778 409912842 /nfs/dbraw/zinc/91/28/42/409912842.db2.gz KDBNUQPDHLKXQS-UHFFFAOYSA-N 1 2 310.405 1.626 20 30 DDEDLO Cc1[nH]c(C(=O)N[C@H]2CCCN(c3ccc(C#N)cc3)C2=O)c[nH+]1 ZINC000357084952 409857773 /nfs/dbraw/zinc/85/77/73/409857773.db2.gz BQAHRIQWGOFLHL-AWEZNQCLSA-N 1 2 323.356 1.515 20 30 DDEDLO CO[C@@H]1C[C@H](NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)C1(C)C ZINC000328853685 409992021 /nfs/dbraw/zinc/99/20/21/409992021.db2.gz CEEXINUMSGAFOJ-XDQVBPFNSA-N 1 2 311.426 1.119 20 30 DDEDLO CO[C@@H]1C[C@H](NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)C1(C)C ZINC000328853685 409992025 /nfs/dbraw/zinc/99/20/25/409992025.db2.gz CEEXINUMSGAFOJ-XDQVBPFNSA-N 1 2 311.426 1.119 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)N2CCCC2)[N@H+](CC(=O)NC2CCCC2)C1 ZINC000328924025 410012201 /nfs/dbraw/zinc/01/22/01/410012201.db2.gz DGEPCRXIEHOPNP-GJZGRUSLSA-N 1 2 323.437 1.597 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)N2CCCC2)[N@@H+](CC(=O)NC2CCCC2)C1 ZINC000328924025 410012208 /nfs/dbraw/zinc/01/22/08/410012208.db2.gz DGEPCRXIEHOPNP-GJZGRUSLSA-N 1 2 323.437 1.597 20 30 DDEDLO Cc1cncc(CNC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)c1 ZINC000328869450 409994683 /nfs/dbraw/zinc/99/46/83/409994683.db2.gz HPAWHZCEFUXNDF-CABCVRRESA-N 1 2 304.394 1.209 20 30 DDEDLO Cc1cncc(CNC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)c1 ZINC000328869450 409994692 /nfs/dbraw/zinc/99/46/92/409994692.db2.gz HPAWHZCEFUXNDF-CABCVRRESA-N 1 2 304.394 1.209 20 30 DDEDLO CCN1CC[NH+]([C@@H]2CCN(C(=O)N[C@H](C)c3cn[nH]c3)C2)CC1 ZINC000328879870 410000503 /nfs/dbraw/zinc/00/05/03/410000503.db2.gz VNOKAUQDTAJXBH-UKRRQHHQSA-N 1 2 320.441 1.097 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CCO[C@@H]1C1CC1 ZINC000329224675 410175906 /nfs/dbraw/zinc/17/59/06/410175906.db2.gz COUCFSSJIWHEBC-SLBVQIDZSA-N 1 2 323.437 1.263 20 30 DDEDLO CC[C@H](CC#N)[NH2+]Cc1ccc(OCC(=O)OC)c([N+](=O)[O-])c1 ZINC000354932764 410185986 /nfs/dbraw/zinc/18/59/86/410185986.db2.gz SVNNXUQEBCNBLH-GFCCVEGCSA-N 1 2 321.333 1.928 20 30 DDEDLO COC[C@H](NC(=O)N1CCN(c2c[nH+]ccc2C)CC1)C1CC1 ZINC000329260489 410195877 /nfs/dbraw/zinc/19/58/77/410195877.db2.gz AXEBYZLVKAZUCE-HNNXBMFYSA-N 1 2 318.421 1.851 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@H+](C)CCC#N)c(OC)c1 ZINC000298674366 410339567 /nfs/dbraw/zinc/33/95/67/410339567.db2.gz KPZNMEBLTJLMCO-CYBMUJFWSA-N 1 2 322.361 1.067 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)c(OC)c1 ZINC000298674366 410339568 /nfs/dbraw/zinc/33/95/68/410339568.db2.gz KPZNMEBLTJLMCO-CYBMUJFWSA-N 1 2 322.361 1.067 20 30 DDEDLO C[C@@H]1C[C@@H](CCNC(=O)N(C)CC[NH+]2CCOCC2)CCO1 ZINC000329557379 410357886 /nfs/dbraw/zinc/35/78/86/410357886.db2.gz LCLXJSYQXQOMBV-CABCVRRESA-N 1 2 313.442 1.370 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000298817138 410408561 /nfs/dbraw/zinc/40/85/61/410408561.db2.gz WLTAPBNEQUFFKN-CMPLNLGQSA-N 1 2 319.361 1.590 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000298817138 410408564 /nfs/dbraw/zinc/40/85/64/410408564.db2.gz WLTAPBNEQUFFKN-CMPLNLGQSA-N 1 2 319.361 1.590 20 30 DDEDLO CNC(=O)[C@@H]1CCC[C@@H]1NC([O-])=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000329910117 410480946 /nfs/dbraw/zinc/48/09/46/410480946.db2.gz VOKWHVZXGVIAQP-XQQFMLRXSA-N 1 2 319.409 1.704 20 30 DDEDLO CCOC(=O)[C@H](C)[N@H+](C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000343613172 410569870 /nfs/dbraw/zinc/56/98/70/410569870.db2.gz GDFIXHXCUXEUAS-JSGCOSHPSA-N 1 2 306.362 1.181 20 30 DDEDLO CCOC(=O)[C@H](C)[N@@H+](C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000343613172 410569877 /nfs/dbraw/zinc/56/98/77/410569877.db2.gz GDFIXHXCUXEUAS-JSGCOSHPSA-N 1 2 306.362 1.181 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000299283000 410585016 /nfs/dbraw/zinc/58/50/16/410585016.db2.gz CRDSVQXYYMTIAP-AWEZNQCLSA-N 1 2 319.405 1.516 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCn3ncnc3C2)c(OC(F)F)c1 ZINC000352264297 410589346 /nfs/dbraw/zinc/58/93/46/410589346.db2.gz BJIXZTCWRDVAMF-UHFFFAOYSA-N 1 2 305.288 1.767 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCn3ncnc3C2)c(OC(F)F)c1 ZINC000352264297 410589349 /nfs/dbraw/zinc/58/93/49/410589349.db2.gz BJIXZTCWRDVAMF-UHFFFAOYSA-N 1 2 305.288 1.767 20 30 DDEDLO Cc1ncc(CO)c(/C=[NH+]/CCC(=O)N2CCCCCC2)c1O ZINC000299492431 410595120 /nfs/dbraw/zinc/59/51/20/410595120.db2.gz NYPSIMIJEJOTER-WOJGMQOQSA-N 1 2 319.405 1.800 20 30 DDEDLO CC1(C)CC[C@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000359026871 410546349 /nfs/dbraw/zinc/54/63/49/410546349.db2.gz BFBCFTZNYHXWHG-HNNXBMFYSA-N 1 2 307.438 1.887 20 30 DDEDLO C=C(C)CNC(=O)[C@H]1CCC(=O)N(C2CC2)[C@@H]1c1c[nH+]cn1C ZINC000343685300 410620226 /nfs/dbraw/zinc/62/02/26/410620226.db2.gz XLYRLHRXNFGAAM-BBRMVZONSA-N 1 2 316.405 1.555 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(Cl)c(C#N)c1 ZINC000340177425 410731053 /nfs/dbraw/zinc/73/10/53/410731053.db2.gz GODWBPGTVQPDRB-SNVBAGLBSA-N 1 2 324.793 1.775 20 30 DDEDLO COCCNC(=O)[C@@H](CC(C)C)[NH2+]Cc1nc(C#N)cs1 ZINC000352809240 410681271 /nfs/dbraw/zinc/68/12/71/410681271.db2.gz GMRZKJMFAHUJQO-GFCCVEGCSA-N 1 2 310.423 1.282 20 30 DDEDLO CC[C@@]1(C)COCC[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000330527408 410750990 /nfs/dbraw/zinc/75/09/90/410750990.db2.gz RBGISSXFYLZQKW-KRWDZBQOSA-N 1 2 301.390 1.675 20 30 DDEDLO CC[C@@]1(C)COCC[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000330527408 410750997 /nfs/dbraw/zinc/75/09/97/410750997.db2.gz RBGISSXFYLZQKW-KRWDZBQOSA-N 1 2 301.390 1.675 20 30 DDEDLO N#Cc1ccc(OCC[N@H+]2CCC[C@H](C3N=NC(=O)O3)C2)cc1 ZINC000340216648 410764051 /nfs/dbraw/zinc/76/40/51/410764051.db2.gz UABTWMDMWAPFAC-ZDUSSCGKSA-N 1 2 314.345 1.905 20 30 DDEDLO N#Cc1ccc(OCC[N@@H+]2CCC[C@H](C3N=NC(=O)O3)C2)cc1 ZINC000340216648 410764059 /nfs/dbraw/zinc/76/40/59/410764059.db2.gz UABTWMDMWAPFAC-ZDUSSCGKSA-N 1 2 314.345 1.905 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@@H+]1C[C@@H](C)O ZINC000188728639 287173712 /nfs/dbraw/zinc/17/37/12/287173712.db2.gz SZORVGWFGZDWFK-IUODEOHRSA-N 1 2 317.389 1.181 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@H+]1C[C@@H](C)O ZINC000188728639 287173715 /nfs/dbraw/zinc/17/37/15/287173715.db2.gz SZORVGWFGZDWFK-IUODEOHRSA-N 1 2 317.389 1.181 20 30 DDEDLO CC[N@H+](CC(=O)N1CCCCCC1)[C@@H](C)C(=O)N(C)CCC#N ZINC000353174586 410831591 /nfs/dbraw/zinc/83/15/91/410831591.db2.gz QRSUIXRSDLCDHN-HNNXBMFYSA-N 1 2 322.453 1.471 20 30 DDEDLO CC[N@@H+](CC(=O)N1CCCCCC1)[C@@H](C)C(=O)N(C)CCC#N ZINC000353174586 410831600 /nfs/dbraw/zinc/83/16/00/410831600.db2.gz QRSUIXRSDLCDHN-HNNXBMFYSA-N 1 2 322.453 1.471 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)nn1 ZINC000301929053 410832305 /nfs/dbraw/zinc/83/23/05/410832305.db2.gz NRBBNAAIDXKYGN-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)nn1 ZINC000301929053 410832314 /nfs/dbraw/zinc/83/23/14/410832314.db2.gz NRBBNAAIDXKYGN-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO Cn1ncc(C(=O)NCc2ccccc2Cn2cc[nH+]c2)c1C#N ZINC000348259585 410881293 /nfs/dbraw/zinc/88/12/93/410881293.db2.gz DBJCFWMGMUMMTD-UHFFFAOYSA-N 1 2 320.356 1.467 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2ccnc(C#N)c2)C[C@H]1[NH+]1CCOCC1 ZINC000331057058 410922327 /nfs/dbraw/zinc/92/23/27/410922327.db2.gz LLAMWUZKSGTMIJ-CZUORRHYSA-N 1 2 314.389 1.136 20 30 DDEDLO C[C@@H](C(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1cccc(C#N)c1 ZINC000360162240 411084556 /nfs/dbraw/zinc/08/45/56/411084556.db2.gz MDAIJXKAHGMOHP-UONOGXRCSA-N 1 2 301.390 1.499 20 30 DDEDLO C[C@@H](C(=O)NCC[N@H+]1CCOC[C@@H]1C)c1cccc(C#N)c1 ZINC000360162240 411084559 /nfs/dbraw/zinc/08/45/59/411084559.db2.gz MDAIJXKAHGMOHP-UONOGXRCSA-N 1 2 301.390 1.499 20 30 DDEDLO C=CCN(Cc1ccccc1)S(=O)(=O)C[C@H]1C[N@H+](C)CCO1 ZINC000631723732 422894547 /nfs/dbraw/zinc/89/45/47/422894547.db2.gz SRZMMFPQEARKPG-MRXNPFEDSA-N 1 2 324.446 1.335 20 30 DDEDLO C=CCN(Cc1ccccc1)S(=O)(=O)C[C@H]1C[N@@H+](C)CCO1 ZINC000631723732 422894550 /nfs/dbraw/zinc/89/45/50/422894550.db2.gz SRZMMFPQEARKPG-MRXNPFEDSA-N 1 2 324.446 1.335 20 30 DDEDLO N#Cc1csc(C[NH+]2CCC(N3CCCCC3=O)CC2)n1 ZINC000580779841 422953767 /nfs/dbraw/zinc/95/37/67/422953767.db2.gz XYEAFWVBXAZCDP-UHFFFAOYSA-N 1 2 304.419 1.992 20 30 DDEDLO C=CCN(Cc1ccc(OC)cc1)C(=O)[C@H]1COCC[N@H+]1CC ZINC000636693991 422945067 /nfs/dbraw/zinc/94/50/67/422945067.db2.gz IKVIHULLJXWCII-QGZVFWFLSA-N 1 2 318.417 1.931 20 30 DDEDLO C=CCN(Cc1ccc(OC)cc1)C(=O)[C@H]1COCC[N@@H+]1CC ZINC000636693991 422945068 /nfs/dbraw/zinc/94/50/68/422945068.db2.gz IKVIHULLJXWCII-QGZVFWFLSA-N 1 2 318.417 1.931 20 30 DDEDLO Cc1csc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cn2)n1 ZINC000580828544 422955499 /nfs/dbraw/zinc/95/54/99/422955499.db2.gz APBMSDJBKNDGAX-UHFFFAOYSA-N 1 2 322.415 1.282 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@H](CS(C)(=O)=O)C1 ZINC000366422248 418472495 /nfs/dbraw/zinc/47/24/95/418472495.db2.gz SJRPDVMGCOIXIE-KBPBESRZSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[C@H](CS(C)(=O)=O)C1 ZINC000366422248 418472500 /nfs/dbraw/zinc/47/25/00/418472500.db2.gz SJRPDVMGCOIXIE-KBPBESRZSA-N 1 2 316.467 1.166 20 30 DDEDLO N#Cc1ncn(C2CCN(c3cc(N4CCCC4)[nH+]cn3)CC2)n1 ZINC000366496506 418481366 /nfs/dbraw/zinc/48/13/66/418481366.db2.gz XDQAHRBTVJUORZ-UHFFFAOYSA-N 1 2 324.392 1.381 20 30 DDEDLO N#Cc1ncn(C2CCN(c3cc(N4CCCC4)nc[nH+]3)CC2)n1 ZINC000366496506 418481371 /nfs/dbraw/zinc/48/13/71/418481371.db2.gz XDQAHRBTVJUORZ-UHFFFAOYSA-N 1 2 324.392 1.381 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(S(=O)(=O)c2ccc(C#N)s2)C1 ZINC000366625287 418497849 /nfs/dbraw/zinc/49/78/49/418497849.db2.gz UFJSEMPZEJQQHD-SNVBAGLBSA-N 1 2 322.415 1.531 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N1CCn2cc(C)[nH+]c2C1 ZINC000366713290 418507482 /nfs/dbraw/zinc/50/74/82/418507482.db2.gz ZERIUBYWJCZWIW-ZFWWWQNUSA-N 1 2 304.394 1.698 20 30 DDEDLO COC(=O)[C@]1(C(F)(F)F)CC[N@H+](C[C@H](C#N)CCC#N)C1 ZINC000367233075 418574405 /nfs/dbraw/zinc/57/44/05/418574405.db2.gz ZCGNIMOETHGDDS-JQWIXIFHSA-N 1 2 303.284 1.857 20 30 DDEDLO COC(=O)[C@]1(C(F)(F)F)CC[N@@H+](C[C@H](C#N)CCC#N)C1 ZINC000367233075 418574407 /nfs/dbraw/zinc/57/44/07/418574407.db2.gz ZCGNIMOETHGDDS-JQWIXIFHSA-N 1 2 303.284 1.857 20 30 DDEDLO N#CCc1cccc(C(=O)N2CC[NH2+][C@H](c3cccnc3)C2)c1 ZINC000367100758 418559376 /nfs/dbraw/zinc/55/93/76/418559376.db2.gz QKEYOVONCBFSPS-KRWDZBQOSA-N 1 2 306.369 1.934 20 30 DDEDLO C=CCCCC(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000195192585 222192483 /nfs/dbraw/zinc/19/24/83/222192483.db2.gz MRQMFLOOYMNEKZ-UHFFFAOYSA-N 1 2 310.438 1.729 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@@H]2CN(c3cccc[nH+]3)C[C@H]2C)c1C#N ZINC000377193564 418706768 /nfs/dbraw/zinc/70/67/68/418706768.db2.gz AAJUFLMBCSWYDT-ZWNOBZJWSA-N 1 2 310.361 1.696 20 30 DDEDLO CO/N=C/C(=O)N[C@H]1CC[N@H+](C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000377692622 418713291 /nfs/dbraw/zinc/71/32/91/418713291.db2.gz JMIZKQMBLSUWNM-RFGZAYNTSA-N 1 2 313.760 1.973 20 30 DDEDLO CO/N=C/C(=O)N[C@H]1CC[N@@H+](C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000377692622 418713293 /nfs/dbraw/zinc/71/32/93/418713293.db2.gz JMIZKQMBLSUWNM-RFGZAYNTSA-N 1 2 313.760 1.973 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000377703942 418713388 /nfs/dbraw/zinc/71/33/88/418713388.db2.gz QNDOIQAANWCCDZ-GOEBONIOSA-N 1 2 305.399 1.318 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000377703942 418713390 /nfs/dbraw/zinc/71/33/90/418713390.db2.gz QNDOIQAANWCCDZ-GOEBONIOSA-N 1 2 305.399 1.318 20 30 DDEDLO N#Cc1c(F)ccc(C[N@H+]2CCC[C@@](O)(C(N)=O)C2)c1Cl ZINC000375537952 418656234 /nfs/dbraw/zinc/65/62/34/418656234.db2.gz VPCLJQHDQURCPI-AWEZNQCLSA-N 1 2 311.744 1.163 20 30 DDEDLO N#Cc1c(F)ccc(C[N@@H+]2CCC[C@@](O)(C(N)=O)C2)c1Cl ZINC000375537952 418656237 /nfs/dbraw/zinc/65/62/37/418656237.db2.gz VPCLJQHDQURCPI-AWEZNQCLSA-N 1 2 311.744 1.163 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1Cc2ccc(O)cc2C2(CC2)C1 ZINC000361573609 418683655 /nfs/dbraw/zinc/68/36/55/418683655.db2.gz MBJACHYQDFWCIR-UHFFFAOYSA-N 1 2 315.373 1.251 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1Cc2ccc(O)cc2C2(CC2)C1 ZINC000361573609 418683658 /nfs/dbraw/zinc/68/36/58/418683658.db2.gz MBJACHYQDFWCIR-UHFFFAOYSA-N 1 2 315.373 1.251 20 30 DDEDLO Cn1c[nH+]cc1CN1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000375884993 418690471 /nfs/dbraw/zinc/69/04/71/418690471.db2.gz WFNULWAKGJIKQQ-UHFFFAOYSA-N 1 2 309.373 1.136 20 30 DDEDLO CC(C)(C#N)CC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000376119466 418692631 /nfs/dbraw/zinc/69/26/31/418692631.db2.gz WPBSVQWBYHWDET-HNNXBMFYSA-N 1 2 311.451 1.250 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@@H+]1CCOc1ccccc1C#N ZINC000376537073 418698843 /nfs/dbraw/zinc/69/88/43/418698843.db2.gz AOQALQQBCWLDGR-CQSZACIVSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@H+]1CCOc1ccccc1C#N ZINC000376537073 418698846 /nfs/dbraw/zinc/69/88/46/418698846.db2.gz AOQALQQBCWLDGR-CQSZACIVSA-N 1 2 304.346 1.201 20 30 DDEDLO C#CCCNC(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(OC)c1 ZINC000369288466 418732158 /nfs/dbraw/zinc/73/21/58/418732158.db2.gz QRHNKDADEBPZCD-OAHLLOKOSA-N 1 2 312.373 1.841 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC1CCN(c2cc(C)[nH+]cn2)CC1 ZINC000370270362 418742655 /nfs/dbraw/zinc/74/26/55/418742655.db2.gz ZLEFMZZSDLDLPH-CQSZACIVSA-N 1 2 318.421 1.851 20 30 DDEDLO Cn1ccnc(NCc2[nH]c(-c3cccc(C#N)c3)c[nH+]2)c1=O ZINC000362307042 418752200 /nfs/dbraw/zinc/75/22/00/418752200.db2.gz RZAGRUUAEYLXQZ-UHFFFAOYSA-N 1 2 306.329 1.654 20 30 DDEDLO C=C[C@@H](C)NC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000362368826 418753367 /nfs/dbraw/zinc/75/33/67/418753367.db2.gz CITJURMSNONSIL-CQSZACIVSA-N 1 2 303.406 1.893 20 30 DDEDLO N#CCCN(Cc1cccnc1)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000364396846 418776465 /nfs/dbraw/zinc/77/64/65/418776465.db2.gz IBOBVLCDXJSMAC-OAHLLOKOSA-N 1 2 309.373 1.783 20 30 DDEDLO CC(C)OCCS(=O)(=O)N1CC[NH+]([C@H](C)CCC#N)CC1 ZINC000411311063 418891584 /nfs/dbraw/zinc/89/15/84/418891584.db2.gz WIICENLXEJNMSA-CQSZACIVSA-N 1 2 317.455 1.051 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000411383819 418898285 /nfs/dbraw/zinc/89/82/85/418898285.db2.gz GWNBBKBUHXXXTA-JTQLQIEISA-N 1 2 302.378 1.954 20 30 DDEDLO COCC[C@@H]1COCC[N@@H+]1CC(=O)N(C)C1(C#N)CCCCC1 ZINC000365846809 418916109 /nfs/dbraw/zinc/91/61/09/418916109.db2.gz KMKBBNQWOUVJJF-OAHLLOKOSA-N 1 2 323.437 1.409 20 30 DDEDLO COCC[C@@H]1COCC[N@H+]1CC(=O)N(C)C1(C#N)CCCCC1 ZINC000365846809 418916111 /nfs/dbraw/zinc/91/61/11/418916111.db2.gz KMKBBNQWOUVJJF-OAHLLOKOSA-N 1 2 323.437 1.409 20 30 DDEDLO C[C@@H](NC(=O)NCc1ccc(C#N)s1)[C@H](C)[NH+]1CCOCC1 ZINC000420915099 419353559 /nfs/dbraw/zinc/35/35/59/419353559.db2.gz KYRQMQQBRXUHFY-NEPJUHHUSA-N 1 2 322.434 1.528 20 30 DDEDLO N#Cc1ncn(-c2ccccc2NC(=O)CCc2[nH]cc[nH+]2)n1 ZINC000426825505 419555993 /nfs/dbraw/zinc/55/59/93/419555993.db2.gz MEYUMYOGYUHCDV-UHFFFAOYSA-N 1 2 307.317 1.433 20 30 DDEDLO C[C@H]([NH2+]CC(=O)N(C)C1(C#N)CCCCC1)c1csnn1 ZINC000412027320 419569898 /nfs/dbraw/zinc/56/98/98/419569898.db2.gz OVZAOXFEBBETPK-NSHDSACASA-N 1 2 307.423 1.874 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(c2ccc(C#N)cc2)C1=O)c1csnn1 ZINC000412035279 419582799 /nfs/dbraw/zinc/58/27/99/419582799.db2.gz HCODBCMBHSVDBA-GWCFXTLKSA-N 1 2 313.386 1.866 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@@H](CO)[C@H]2C)c(C#N)c1C ZINC000412088198 419641935 /nfs/dbraw/zinc/64/19/35/419641935.db2.gz PEYFFNJHMJOEES-YPMHNXCESA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@@H](CO)[C@H]2C)c(C#N)c1C ZINC000412088198 419641942 /nfs/dbraw/zinc/64/19/42/419641942.db2.gz PEYFFNJHMJOEES-YPMHNXCESA-N 1 2 305.378 1.799 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)CNc1ccc(C#N)cc1 ZINC000413967270 419805337 /nfs/dbraw/zinc/80/53/37/419805337.db2.gz PNUKONCLLDPEJO-UHFFFAOYSA-N 1 2 316.405 1.197 20 30 DDEDLO C[C@@H]1CN(c2ccc(Cl)c(F)c2)CC[N@@H+]1CC(=O)NCC#N ZINC000429126096 419970700 /nfs/dbraw/zinc/97/07/00/419970700.db2.gz FOMZJABKMOANSB-LLVKDONJSA-N 1 2 324.787 1.629 20 30 DDEDLO C[C@@H]1CN(c2ccc(Cl)c(F)c2)CC[N@H+]1CC(=O)NCC#N ZINC000429126096 419970706 /nfs/dbraw/zinc/97/07/06/419970706.db2.gz FOMZJABKMOANSB-LLVKDONJSA-N 1 2 324.787 1.629 20 30 DDEDLO Cc1cc(N2CCN(c3ccc(C#N)cn3)CC2)c(C#N)c[nH+]1 ZINC000425215786 420328658 /nfs/dbraw/zinc/32/86/58/420328658.db2.gz OLLBYDFJLJOLKF-UHFFFAOYSA-N 1 2 304.357 1.855 20 30 DDEDLO C=CCC[N@@H+](C)CC(=O)NCc1ccc(S(C)(=O)=O)cc1 ZINC000425453449 420390750 /nfs/dbraw/zinc/39/07/50/420390750.db2.gz YZLYYHGBXPLQTB-UHFFFAOYSA-N 1 2 310.419 1.214 20 30 DDEDLO C=CCC[N@H+](C)CC(=O)NCc1ccc(S(C)(=O)=O)cc1 ZINC000425453449 420390754 /nfs/dbraw/zinc/39/07/54/420390754.db2.gz YZLYYHGBXPLQTB-UHFFFAOYSA-N 1 2 310.419 1.214 20 30 DDEDLO COC(=O)c1cnc([C@H](C)[NH2+]CC(=O)NC2(C#N)CCC2)s1 ZINC000425486300 420396973 /nfs/dbraw/zinc/39/69/73/420396973.db2.gz KYGMIUREIBPIJV-VIFPVBQESA-N 1 2 322.390 1.143 20 30 DDEDLO COC[C@H](C)CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000445644818 230186202 /nfs/dbraw/zinc/18/62/02/230186202.db2.gz JUIYJKQISKAVMT-OAHLLOKOSA-N 1 2 315.417 1.875 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCOCC3)nc[nH+]2)cc1F ZINC000450909199 420556221 /nfs/dbraw/zinc/55/62/21/420556221.db2.gz NSAJOAUMOMCDKJ-UHFFFAOYSA-N 1 2 313.336 1.936 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCOCC3)[nH+]cn2)cc1F ZINC000450909199 420556223 /nfs/dbraw/zinc/55/62/23/420556223.db2.gz NSAJOAUMOMCDKJ-UHFFFAOYSA-N 1 2 313.336 1.936 20 30 DDEDLO COCCO[C@H]1C[C@@H](CO)C[N@H+](Cc2ccc(F)cc2C#N)C1 ZINC000447382759 420769896 /nfs/dbraw/zinc/76/98/96/420769896.db2.gz JHDSMDVQDCNMEH-DYVFJYSZSA-N 1 2 322.380 1.543 20 30 DDEDLO COCCO[C@H]1C[C@@H](CO)C[N@@H+](Cc2ccc(F)cc2C#N)C1 ZINC000447382759 420769898 /nfs/dbraw/zinc/76/98/98/420769898.db2.gz JHDSMDVQDCNMEH-DYVFJYSZSA-N 1 2 322.380 1.543 20 30 DDEDLO COCCO[C@H]1C[C@H](CO)C[N@H+](Cc2cc(F)ccc2C#N)C1 ZINC000447399250 420770931 /nfs/dbraw/zinc/77/09/31/420770931.db2.gz VFXQXBWXAKGFSA-GUYCJALGSA-N 1 2 322.380 1.543 20 30 DDEDLO COCCO[C@H]1C[C@H](CO)C[N@@H+](Cc2cc(F)ccc2C#N)C1 ZINC000447399250 420770934 /nfs/dbraw/zinc/77/09/34/420770934.db2.gz VFXQXBWXAKGFSA-GUYCJALGSA-N 1 2 322.380 1.543 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)C=Cc1c[nH]c[nH+]1 ZINC000493418123 420843441 /nfs/dbraw/zinc/84/34/41/420843441.db2.gz KHNLJCPZVCMQJZ-HWKANZROSA-N 1 2 319.328 1.822 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C\c1ccc(OCC#N)cc1 ZINC000493659610 420909354 /nfs/dbraw/zinc/90/93/54/420909354.db2.gz LUDNVOBNTXNCLU-SKHCZCESSA-N 1 2 312.373 1.562 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(C(C)=O)cc2)CC1 ZINC000450215518 421164396 /nfs/dbraw/zinc/16/43/96/421164396.db2.gz KOQDUQVHAQKNGD-UHFFFAOYSA-N 1 2 315.417 1.309 20 30 DDEDLO C=CCC1(CNC(=O)C[N@@H+](C)CC(=O)NC(C)C)CCCC1 ZINC000456485138 421174862 /nfs/dbraw/zinc/17/48/62/421174862.db2.gz KMEPTCBFVZVNKE-UHFFFAOYSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCC1(CNC(=O)C[N@H+](C)CC(=O)NC(C)C)CCCC1 ZINC000456485138 421174865 /nfs/dbraw/zinc/17/48/65/421174865.db2.gz KMEPTCBFVZVNKE-UHFFFAOYSA-N 1 2 309.454 1.696 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCC[C@H](OCC(F)(F)F)C1 ZINC000523439960 421239210 /nfs/dbraw/zinc/23/92/10/421239210.db2.gz UZPRDCCBISBSKX-NEPJUHHUSA-N 1 2 321.343 1.790 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@H](OCC(F)(F)F)C1 ZINC000523439960 421239214 /nfs/dbraw/zinc/23/92/14/421239214.db2.gz UZPRDCCBISBSKX-NEPJUHHUSA-N 1 2 321.343 1.790 20 30 DDEDLO C#C[C@H](NC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C)C(C)(C)C ZINC000491804100 421203361 /nfs/dbraw/zinc/20/33/61/421203361.db2.gz KGPHWMHBBKNFKZ-ZNMIVQPWSA-N 1 2 307.438 1.539 20 30 DDEDLO C[N@@H+](CC(=O)NC1(C#N)CCC1)C[C@H]1COc2ccccc2O1 ZINC000527377177 421383523 /nfs/dbraw/zinc/38/35/23/421383523.db2.gz CVOFYWXYDQHJQV-ZDUSSCGKSA-N 1 2 315.373 1.321 20 30 DDEDLO C[N@H+](CC(=O)NC1(C#N)CCC1)C[C@H]1COc2ccccc2O1 ZINC000527377177 421383527 /nfs/dbraw/zinc/38/35/27/421383527.db2.gz CVOFYWXYDQHJQV-ZDUSSCGKSA-N 1 2 315.373 1.321 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ccncc3C#N)c[nH+]2)C[C@@H](C)O1 ZINC000548481651 421443361 /nfs/dbraw/zinc/44/33/61/421443361.db2.gz JKCAMKNLVKQDCS-ZIAGYGMSSA-N 1 2 323.400 1.996 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Nc1cccc(C#N)n1 ZINC000514671462 421446203 /nfs/dbraw/zinc/44/62/03/421446203.db2.gz NUKOBLQHYMKPTH-TZMCWYRMSA-N 1 2 315.377 1.280 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCc2nc(C3CC3)ncc2C1 ZINC000528737235 421513902 /nfs/dbraw/zinc/51/39/02/421513902.db2.gz IEZGNRCLJWIKPC-UHFFFAOYSA-N 1 2 312.417 1.913 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCc2nc(C3CC3)ncc2C1 ZINC000528737235 421513905 /nfs/dbraw/zinc/51/39/05/421513905.db2.gz IEZGNRCLJWIKPC-UHFFFAOYSA-N 1 2 312.417 1.913 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000528777748 421515799 /nfs/dbraw/zinc/51/57/99/421515799.db2.gz FDWOUPDXJRPOKK-CABCVRRESA-N 1 2 320.414 1.718 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000528777748 421515803 /nfs/dbraw/zinc/51/58/03/421515803.db2.gz FDWOUPDXJRPOKK-CABCVRRESA-N 1 2 320.414 1.718 20 30 DDEDLO C[C@@H](CC(=O)Nc1nc2n(c1C#N)CCS2)n1cc[nH+]c1 ZINC000563223050 421474854 /nfs/dbraw/zinc/47/48/54/421474854.db2.gz RODMDKLEUOZEPO-VIFPVBQESA-N 1 2 302.363 1.647 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(C[C@H]2CCCCO2)c1=O ZINC000563440350 421509263 /nfs/dbraw/zinc/50/92/63/421509263.db2.gz PZLLVHXPFKDUPL-MRXNPFEDSA-N 1 2 317.389 1.121 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(OC(C)(C)C)cn1 ZINC000516870815 421575218 /nfs/dbraw/zinc/57/52/18/421575218.db2.gz OXUSWJBROYGBKA-MRXNPFEDSA-N 1 2 304.394 1.833 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3cc(C#N)ncn3)c[nH+]2)C[C@H](C)O1 ZINC000564701463 421592514 /nfs/dbraw/zinc/59/25/14/421592514.db2.gz JALRQNVYHCAIEH-STQMWFEESA-N 1 2 324.388 1.969 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCCc1cn2ccccc2[nH+]1 ZINC000517073390 421593649 /nfs/dbraw/zinc/59/36/49/421593649.db2.gz ITJVMRBFMYHNEO-CABCVRRESA-N 1 2 314.389 1.910 20 30 DDEDLO Cn1c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc2ccccc2c1=O ZINC000566564675 421606578 /nfs/dbraw/zinc/60/65/78/421606578.db2.gz SRNIJXLIIIDVFY-KRWDZBQOSA-N 1 2 312.373 1.112 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1csc([C@H]2CCCO2)n1 ZINC000566741223 421608065 /nfs/dbraw/zinc/60/80/65/421608065.db2.gz UJTVRNRMGMCKBQ-BXUZGUMPSA-N 1 2 308.407 1.568 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+]CCS(=O)(=O)c1ccc(F)cc1 ZINC000569640500 421627082 /nfs/dbraw/zinc/62/70/82/421627082.db2.gz YNZVGIMWPKPLDM-GFCCVEGCSA-N 1 2 309.366 1.633 20 30 DDEDLO COc1ccc(CN2CC[C@@H]([NH2+]CCC#N)C2=O)c(OC)c1 ZINC000566129635 421603213 /nfs/dbraw/zinc/60/32/13/421603213.db2.gz GWPSLHUXKPCTIU-CQSZACIVSA-N 1 2 303.362 1.308 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C[C@H]1CCOc2ccccc21 ZINC000570848157 421674103 /nfs/dbraw/zinc/67/41/03/421674103.db2.gz KYQAMGYGZCMHNK-DYVFJYSZSA-N 1 2 301.390 1.903 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[NH2+]Cc1noc(C)n1 ZINC000570921373 421675707 /nfs/dbraw/zinc/67/57/07/421675707.db2.gz YMWPPOSYYUSHTH-AWEZNQCLSA-N 1 2 303.362 1.636 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+]([C@@H](C)C(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000572700430 421812355 /nfs/dbraw/zinc/81/23/55/421812355.db2.gz KYIMVXQHJSGALG-SMDDNHRTSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+]([C@@H](C)C(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000572700430 421812359 /nfs/dbraw/zinc/81/23/59/421812359.db2.gz KYIMVXQHJSGALG-SMDDNHRTSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@@H+]([C@@H](C)C(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000572700430 421812362 /nfs/dbraw/zinc/81/23/62/421812362.db2.gz KYIMVXQHJSGALG-SMDDNHRTSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@H+]([C@@H](C)C(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000572700430 421812365 /nfs/dbraw/zinc/81/23/65/421812365.db2.gz KYIMVXQHJSGALG-SMDDNHRTSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+]([C@@H](C)C(=O)Nc3cccc(C#N)c3)C2)n[nH]1 ZINC000572700430 421812369 /nfs/dbraw/zinc/81/23/69/421812369.db2.gz KYIMVXQHJSGALG-SMDDNHRTSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+]([C@@H](C)C(=O)Nc3cccc(C#N)c3)C2)n[nH]1 ZINC000572700430 421812372 /nfs/dbraw/zinc/81/23/72/421812372.db2.gz KYIMVXQHJSGALG-SMDDNHRTSA-N 1 2 324.388 1.801 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ncccn3)CC2)c([N+](=O)[O-])c1 ZINC000572409947 421785366 /nfs/dbraw/zinc/78/53/66/421785366.db2.gz IGVOFQHWSMFTRD-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2ccc(Cl)c(C#N)c2)CCO1 ZINC000541762174 421804858 /nfs/dbraw/zinc/80/48/58/421804858.db2.gz JXIWWUMULRDYLP-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2ccc(Cl)c(C#N)c2)CCO1 ZINC000541762174 421804862 /nfs/dbraw/zinc/80/48/62/421804862.db2.gz JXIWWUMULRDYLP-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)Nc2cc(C)[nH+]cc2C)C1=O ZINC000577336447 422384355 /nfs/dbraw/zinc/38/43/55/422384355.db2.gz VDVLBDFDPLXMTN-CQSZACIVSA-N 1 2 302.378 1.371 20 30 DDEDLO COC[C@@H]([NH2+]Cc1cnc2c(C#N)cnn2c1)c1ccc(C)o1 ZINC000578013076 422476511 /nfs/dbraw/zinc/47/65/11/422476511.db2.gz AQEUFHZFHSMMAC-CQSZACIVSA-N 1 2 311.345 1.980 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)c2ccc(-c3c[nH]c[nH+]3)cc2)C1=O ZINC000632848778 422623423 /nfs/dbraw/zinc/62/34/23/422623423.db2.gz KMMLSLSVUGDNHG-MRXNPFEDSA-N 1 2 324.384 1.936 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]CCS(=O)(=O)c2cccc(C#N)c2)n1 ZINC000348092950 269929966 /nfs/dbraw/zinc/92/99/66/269929966.db2.gz QRVZYGJEBPHVRU-JTQLQIEISA-N 1 2 320.374 1.374 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cccc(C(=O)N(C)C)c2)nn1 ZINC000640988153 423315890 /nfs/dbraw/zinc/31/58/90/423315890.db2.gz GOFNCJLOVWBLER-UHFFFAOYSA-N 1 2 311.389 1.141 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nncn2-c2ccccc2)nn1 ZINC000641164342 423435813 /nfs/dbraw/zinc/43/58/13/423435813.db2.gz HIYWSBZGDGRUCA-UHFFFAOYSA-N 1 2 307.361 1.020 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(Oc3cnccn3)CC2)nn1 ZINC000653648278 423565155 /nfs/dbraw/zinc/56/51/55/423565155.db2.gz NJBPGSGVSGUCTM-UHFFFAOYSA-N 1 2 314.393 1.688 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc(OC)c(OC)cc2F)nn1 ZINC000651835196 423702921 /nfs/dbraw/zinc/70/29/21/423702921.db2.gz BKGNBNWJMHYIEJ-UHFFFAOYSA-N 1 2 306.341 1.910 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000645145767 424188381 /nfs/dbraw/zinc/18/83/81/424188381.db2.gz DZZGHAKJERLJQI-OAHLLOKOSA-N 1 2 316.405 1.255 20 30 DDEDLO C=CCOCCCNC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000661795325 424177758 /nfs/dbraw/zinc/17/77/58/424177758.db2.gz BWIQQKNWXFPFAM-UHFFFAOYSA-N 1 2 304.394 1.506 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@H](N(C)C(=O)N(C)C)C2)nn1 ZINC000662009402 424329255 /nfs/dbraw/zinc/32/92/55/424329255.db2.gz XEGKFYBXLYVGSM-AWEZNQCLSA-N 1 2 306.414 1.042 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@H](N(C)C(=O)N(C)C)C2)nn1 ZINC000662009402 424329270 /nfs/dbraw/zinc/32/92/70/424329270.db2.gz XEGKFYBXLYVGSM-AWEZNQCLSA-N 1 2 306.414 1.042 20 30 DDEDLO C=CCN(C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)[C@@H](C)COC ZINC000664518647 424622703 /nfs/dbraw/zinc/62/27/03/424622703.db2.gz DITVFZKOCSGLKF-LSDHHAIUSA-N 1 2 318.421 1.893 20 30 DDEDLO C=CCCNC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000660286878 424624530 /nfs/dbraw/zinc/62/45/30/424624530.db2.gz KATSJCNYNVQBHE-MRXNPFEDSA-N 1 2 303.406 1.935 20 30 DDEDLO C[C@@H](c1nc(Cc2cn3ccccc3[nH+]2)no1)n1cnc(C#N)n1 ZINC000345801813 267005648 /nfs/dbraw/zinc/00/56/48/267005648.db2.gz QJKDDCMSIKEOPM-JTQLQIEISA-N 1 2 320.316 1.381 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNc1nc2cc(Cl)ccn2c1C#N ZINC000341985523 267069226 /nfs/dbraw/zinc/06/92/26/267069226.db2.gz NBLMRGMXLMTLRY-LLVKDONJSA-N 1 2 319.796 1.992 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNc1nc2cc(Cl)ccn2c1C#N ZINC000341985523 267069230 /nfs/dbraw/zinc/06/92/30/267069230.db2.gz NBLMRGMXLMTLRY-LLVKDONJSA-N 1 2 319.796 1.992 20 30 DDEDLO C[C@H](CNC(=O)NCCc1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000344266919 267159357 /nfs/dbraw/zinc/15/93/57/267159357.db2.gz WHHKERKGBKVTTR-CQSZACIVSA-N 1 2 316.405 1.121 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)Cc1cc(C#N)ccc1F ZINC000347062263 267168897 /nfs/dbraw/zinc/16/88/97/267168897.db2.gz PLTSJSBIYBDSSS-LLVKDONJSA-N 1 2 322.365 1.402 20 30 DDEDLO C[C@H]1C[NH+](CCN(C)C(=O)c2ccc(C#N)nc2)C[C@H](C)O1 ZINC000345417457 267231913 /nfs/dbraw/zinc/23/19/13/267231913.db2.gz YZNCNNSYKSVZCL-STQMWFEESA-N 1 2 302.378 1.134 20 30 DDEDLO CCn1cc[nH+]c1CN1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000526074247 267256859 /nfs/dbraw/zinc/25/68/59/267256859.db2.gz DEKLECLDRTVFLI-UHFFFAOYSA-N 1 2 313.409 1.139 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)NC[C@@H](C)Cn1cc[nH+]c1 ZINC000360494563 267398104 /nfs/dbraw/zinc/39/81/04/267398104.db2.gz GAYYJIOKTMZQDC-GFCCVEGCSA-N 1 2 318.402 1.678 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1cccc(C)c1C#N)CC2 ZINC000374667042 267533231 /nfs/dbraw/zinc/53/32/31/267533231.db2.gz YXYJRAXHDRNROW-UHFFFAOYSA-N 1 2 316.386 1.576 20 30 DDEDLO Cc1nn(C)c(N2CCN(c3cc(C)[nH+]cc3C#N)CC2)c1N ZINC000519638355 268091601 /nfs/dbraw/zinc/09/16/01/268091601.db2.gz AVSVBJMAIXVSQO-UHFFFAOYSA-N 1 2 311.393 1.212 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cn4ccccc4n3)CC2)cn1 ZINC000267421797 268118275 /nfs/dbraw/zinc/11/82/75/268118275.db2.gz UUPUWTLGPJMWER-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)C[NH+]1CCC(C)(C#N)CC1)C(=O)OC ZINC000356447394 287720164 /nfs/dbraw/zinc/72/01/64/287720164.db2.gz PFHBZLVKSUVCKO-JSGCOSHPSA-N 1 2 309.410 1.316 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3scnc3C3CC3)C[C@@H]21 ZINC000328921738 277123590 /nfs/dbraw/zinc/12/35/90/277123590.db2.gz MGAAXEBMPIQVHJ-WDEREUQCSA-N 1 2 308.407 1.581 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3scnc3C3CC3)C[C@@H]21 ZINC000328921738 277123592 /nfs/dbraw/zinc/12/35/92/277123592.db2.gz MGAAXEBMPIQVHJ-WDEREUQCSA-N 1 2 308.407 1.581 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2ccnc(C#N)c2)C1 ZINC000281769188 290106816 /nfs/dbraw/zinc/10/68/16/290106816.db2.gz ICOSNNLZYOKAJZ-INIZCTEOSA-N 1 2 314.389 1.136 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2ccnc(C#N)c2)C1 ZINC000281769188 290106818 /nfs/dbraw/zinc/10/68/18/290106818.db2.gz ICOSNNLZYOKAJZ-INIZCTEOSA-N 1 2 314.389 1.136 20 30 DDEDLO C[C@H]1CC(=O)NCC[N@@H+]1CC(=O)N(CCC#N)c1ccccc1 ZINC000369437030 290324240 /nfs/dbraw/zinc/32/42/40/290324240.db2.gz JYDTYMQHNIOKHV-AWEZNQCLSA-N 1 2 314.389 1.144 20 30 DDEDLO C[C@H]1CC(=O)NCC[N@H+]1CC(=O)N(CCC#N)c1ccccc1 ZINC000369437030 290324244 /nfs/dbraw/zinc/32/42/44/290324244.db2.gz JYDTYMQHNIOKHV-AWEZNQCLSA-N 1 2 314.389 1.144 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000374364086 294238602 /nfs/dbraw/zinc/23/86/02/294238602.db2.gz FNGLZJFINVSYNB-SUMWQHHRSA-N 1 2 307.357 1.266 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000374364086 294238609 /nfs/dbraw/zinc/23/86/09/294238609.db2.gz FNGLZJFINVSYNB-SUMWQHHRSA-N 1 2 307.357 1.266 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[NH2+]C[C@](C)(F)C2)c1C#N ZINC000420435129 302010902 /nfs/dbraw/zinc/01/09/02/302010902.db2.gz GNNXIJLVCAWBBC-AWEZNQCLSA-N 1 2 311.382 1.189 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](Cc3ccsc3)CC2)c[nH]1 ZINC000539806509 303398910 /nfs/dbraw/zinc/39/89/10/303398910.db2.gz FUFIFHXYBUWZEW-UHFFFAOYSA-N 1 2 300.387 1.906 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cnccc3C#N)CC2)nn1 ZINC000577332101 308378979 /nfs/dbraw/zinc/37/89/79/308378979.db2.gz ZKKHSAYPGDQXNE-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO Cc1nc2ccccc2nc1C[NH+]1CCN(C(=O)CC#N)CC1 ZINC000572778677 332806633 /nfs/dbraw/zinc/80/66/33/332806633.db2.gz QDYDMMYCVXJFHD-UHFFFAOYSA-N 1 2 309.373 1.496 20 30 DDEDLO C=CCC[C@H]1NC(=O)N(C2CCN(c3cccc[nH+]3)CC2)C1=O ZINC000584043578 331651307 /nfs/dbraw/zinc/65/13/07/331651307.db2.gz PHDTVDOWGFFWNL-CQSZACIVSA-N 1 2 314.389 1.937 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCCOc1ccccc1F ZINC000565721059 331780184 /nfs/dbraw/zinc/78/01/84/331780184.db2.gz VLUZJYANZMABFH-INIZCTEOSA-N 1 2 307.369 1.945 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000572615331 332077972 /nfs/dbraw/zinc/07/79/72/332077972.db2.gz XTBMKSJQJJWTRB-WDEREUQCSA-N 1 2 319.327 1.757 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccnc(N2CCCCC2)n1 ZINC000565050607 332495242 /nfs/dbraw/zinc/49/52/42/332495242.db2.gz HFEZUDXBEJBWTI-INIZCTEOSA-N 1 2 316.409 1.041 20 30 DDEDLO N#CCc1cccc(NC(=O)NCC2([NH+]3CCOCC3)CC2)c1 ZINC000514656494 336393907 /nfs/dbraw/zinc/39/39/07/336393907.db2.gz HAYUHDLGUNJXTC-UHFFFAOYSA-N 1 2 314.389 1.739 20 30 DDEDLO COCCCOc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000582849232 337210184 /nfs/dbraw/zinc/21/01/84/337210184.db2.gz SXYBXJPUHTVNOB-KRWDZBQOSA-N 1 2 319.405 1.676 20 30 DDEDLO Cc1ncc(C[NH2+]CCS(=O)(=O)c2cccc(C#N)c2)o1 ZINC000398027697 337304550 /nfs/dbraw/zinc/30/45/50/337304550.db2.gz ZEVNTDHDYZOYFX-UHFFFAOYSA-N 1 2 305.359 1.418 20 30 DDEDLO C=C1CC[NH+](CC(=O)N[C@@H](C(=O)NC)c2ccccc2)CC1 ZINC000264423973 337632566 /nfs/dbraw/zinc/63/25/66/337632566.db2.gz AYDWANOSYPOTPH-MRXNPFEDSA-N 1 2 301.390 1.242 20 30 DDEDLO CC#CCNC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1F ZINC000270630780 337642160 /nfs/dbraw/zinc/64/21/60/337642160.db2.gz FTJQGUSMLJPQOI-CQSZACIVSA-N 1 2 300.337 1.971 20 30 DDEDLO COC(=O)c1cc(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)c[nH]1 ZINC000330476822 533716929 /nfs/dbraw/zinc/71/69/29/533716929.db2.gz IBLXCQWELWGYJB-MNOVXSKESA-N 1 2 309.366 1.215 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cnc(N3CCCC3)nc2)C1=O ZINC000547406736 341211469 /nfs/dbraw/zinc/21/14/69/341211469.db2.gz ZIHOCEIHIQBPIB-HNNXBMFYSA-N 1 2 315.421 1.296 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cnc(N3CCCC3)nc2)C1=O ZINC000547406736 341211470 /nfs/dbraw/zinc/21/14/70/341211470.db2.gz ZIHOCEIHIQBPIB-HNNXBMFYSA-N 1 2 315.421 1.296 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@H]1CCCN(C(=O)C(C)(C)C)C1 ZINC000564872171 341511900 /nfs/dbraw/zinc/51/19/00/341511900.db2.gz LSYYHDDWMGYEQM-SUMWQHHRSA-N 1 2 322.453 1.231 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000566733293 341566605 /nfs/dbraw/zinc/56/66/05/341566605.db2.gz YJYCSNGNEFCJQS-KFWWJZLASA-N 1 2 318.421 1.596 20 30 DDEDLO C=CCNC(=O)NC[C@H](c1cccs1)[NH+]1CCN(C)CC1 ZINC000096704130 484019058 /nfs/dbraw/zinc/01/90/58/484019058.db2.gz DCMAYPFZQSZUGU-CYBMUJFWSA-N 1 2 308.451 1.522 20 30 DDEDLO COC[C@@H]1CCCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000307795437 484376213 /nfs/dbraw/zinc/37/62/13/484376213.db2.gz XGFUGIOTLBTWNH-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO COC[C@@H]1CCCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000307795437 484376220 /nfs/dbraw/zinc/37/62/20/484376220.db2.gz XGFUGIOTLBTWNH-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@H]2C[N@@H+]1Cc1cnc2ccc(C#N)cn12 ZINC000367436826 484537713 /nfs/dbraw/zinc/53/77/13/484537713.db2.gz HHBZYWVNNXGQJD-LXZKKBNFSA-N 1 2 324.384 1.979 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@H]2C[N@H+]1Cc1cnc2ccc(C#N)cn12 ZINC000367436826 484537721 /nfs/dbraw/zinc/53/77/21/484537721.db2.gz HHBZYWVNNXGQJD-LXZKKBNFSA-N 1 2 324.384 1.979 20 30 DDEDLO C=C(C)CNC(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000661043668 484872106 /nfs/dbraw/zinc/87/21/06/484872106.db2.gz CTUPIAGAMRRBPK-QGZVFWFLSA-N 1 2 316.449 1.678 20 30 DDEDLO C=C(C)CNC(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000661043668 484872113 /nfs/dbraw/zinc/87/21/13/484872113.db2.gz CTUPIAGAMRRBPK-QGZVFWFLSA-N 1 2 316.449 1.678 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CC[NH+]([C@@H](C)c2cccc(OC)c2)CC1 ZINC000668239657 485047297 /nfs/dbraw/zinc/04/72/97/485047297.db2.gz PTYZRFWLOGPKCL-XJKSGUPXSA-N 1 2 304.390 1.447 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC2(CNC(=O)C2)CC1 ZINC000329782979 533848915 /nfs/dbraw/zinc/84/89/15/533848915.db2.gz UUTAGBFJRVAXMJ-ZDUSSCGKSA-N 1 2 324.425 1.064 20 30 DDEDLO C[C@@H]1CCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)C[C@@H]1O ZINC000330651594 533909703 /nfs/dbraw/zinc/90/97/03/533909703.db2.gz KCFRGRLSJQFIHC-ZJUUUORDSA-N 1 2 320.315 1.562 20 30 DDEDLO COc1ccc(NC(=O)C[NH2+][C@@H]2CCC[C@H]2C#N)c(OC)c1 ZINC000459445143 534082415 /nfs/dbraw/zinc/08/24/15/534082415.db2.gz BNEKDUJPNKDMAQ-WCQYABFASA-N 1 2 303.362 1.924 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N[C@@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000416389386 534290534 /nfs/dbraw/zinc/29/05/34/534290534.db2.gz OHWQKTYVFLJGLV-GHMZBOCLSA-N 1 2 310.423 1.327 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)N[C@H](C)[C@H]2CCOC2)CC1 ZINC000329618967 534422408 /nfs/dbraw/zinc/42/24/08/534422408.db2.gz FCTPUVRKOQGUBO-CABCVRRESA-N 1 2 318.421 1.851 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)NC(=O)NC2CCCCC2)CC1 ZINC000352450537 534566466 /nfs/dbraw/zinc/56/64/66/534566466.db2.gz RHZJYYUBYAGIRL-UHFFFAOYSA-N 1 2 310.373 1.473 20 30 DDEDLO N#CCCCS(=O)(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000369177604 534623349 /nfs/dbraw/zinc/62/33/49/534623349.db2.gz SLASJXGLKMXYRR-UHFFFAOYSA-N 1 2 308.407 1.274 20 30 DDEDLO Cn1ncc(Cl)c1C[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292848810 534830778 /nfs/dbraw/zinc/83/07/78/534830778.db2.gz TVXFAMUNMKGWEV-LBPRGKRZSA-N 1 2 317.780 1.993 20 30 DDEDLO Cn1ncc(Cl)c1C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292848810 534830783 /nfs/dbraw/zinc/83/07/83/534830783.db2.gz TVXFAMUNMKGWEV-LBPRGKRZSA-N 1 2 317.780 1.993 20 30 DDEDLO C=CCN(Cc1cccc(C#N)c1)C(=O)[C@H](C)[NH+]1CCOCC1 ZINC000339699830 526345426 /nfs/dbraw/zinc/34/54/26/526345426.db2.gz CYVYXQGJTOEKBT-HNNXBMFYSA-N 1 2 313.401 1.794 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N(CC)C[C@H]1COc2ccccc2O1 ZINC000339755128 526359111 /nfs/dbraw/zinc/35/91/11/526359111.db2.gz WKDDULUTJDCDAU-HNNXBMFYSA-N 1 2 316.401 1.630 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N(CC)C[C@H]1COc2ccccc2O1 ZINC000339755128 526359119 /nfs/dbraw/zinc/35/91/19/526359119.db2.gz WKDDULUTJDCDAU-HNNXBMFYSA-N 1 2 316.401 1.630 20 30 DDEDLO CNC(=O)c1ccc(NC(=O)[C@@H]2C[N@H+](C)CCO2)cc1Cl ZINC000330972511 526408746 /nfs/dbraw/zinc/40/87/46/526408746.db2.gz GBQODXGUHLDASV-LBPRGKRZSA-N 1 2 311.769 1.544 20 30 DDEDLO CNC(=O)c1ccc(NC(=O)[C@@H]2C[N@@H+](C)CCO2)cc1Cl ZINC000330972511 526408753 /nfs/dbraw/zinc/40/87/53/526408753.db2.gz GBQODXGUHLDASV-LBPRGKRZSA-N 1 2 311.769 1.544 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CC[NH+](Cc2ccccc2)CC1 ZINC000425394090 526473016 /nfs/dbraw/zinc/47/30/16/526473016.db2.gz FDBWNYVALNQWJN-UHFFFAOYSA-N 1 2 315.417 1.365 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(C)C2CCC(C)CC2)C1=O ZINC000337232819 526499146 /nfs/dbraw/zinc/49/91/46/526499146.db2.gz VWCKVNNINYOEMF-GPANFISMSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(C)C2CCC(C)CC2)C1=O ZINC000337232819 526499152 /nfs/dbraw/zinc/49/91/52/526499152.db2.gz VWCKVNNINYOEMF-GPANFISMSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCOCCC[N@@H+]1CCC[C@H]1c1nc(C(=O)NC2CC2)no1 ZINC000348078062 526755608 /nfs/dbraw/zinc/75/56/08/526755608.db2.gz GNKSSVMSINKMGP-ZDUSSCGKSA-N 1 2 320.393 1.691 20 30 DDEDLO C=CCOCCC[N@H+]1CCC[C@H]1c1nc(C(=O)NC2CC2)no1 ZINC000348078062 526755615 /nfs/dbraw/zinc/75/56/15/526755615.db2.gz GNKSSVMSINKMGP-ZDUSSCGKSA-N 1 2 320.393 1.691 20 30 DDEDLO C#CCN(C(=O)NCC[N@H+]1CCOC[C@H]1C)C1CCCCC1 ZINC000490617391 526767894 /nfs/dbraw/zinc/76/78/94/526767894.db2.gz PGCCZZNVQTXRNY-OAHLLOKOSA-N 1 2 307.438 1.685 20 30 DDEDLO C#CCN(C(=O)NCC[N@@H+]1CCOC[C@H]1C)C1CCCCC1 ZINC000490617391 526767896 /nfs/dbraw/zinc/76/78/96/526767896.db2.gz PGCCZZNVQTXRNY-OAHLLOKOSA-N 1 2 307.438 1.685 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@]1(C#N)CCC[C@H](C)C1 ZINC000339279040 526893313 /nfs/dbraw/zinc/89/33/13/526893313.db2.gz BQRLTPVTENSHRF-SGIREYDYSA-N 1 2 317.393 1.046 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@]1(C#N)CCC[C@H](C)C1 ZINC000339279040 526893318 /nfs/dbraw/zinc/89/33/18/526893318.db2.gz BQRLTPVTENSHRF-SGIREYDYSA-N 1 2 317.393 1.046 20 30 DDEDLO CC(C)(CC#N)CNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000459232671 526954286 /nfs/dbraw/zinc/95/42/86/526954286.db2.gz HXJRFPLFXLPVLQ-AWEZNQCLSA-N 1 2 308.426 1.432 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(C)c(F)c3)n2C)CC1 ZINC000491148849 526955459 /nfs/dbraw/zinc/95/54/59/526955459.db2.gz XKNAFKDDVKRCHJ-UHFFFAOYSA-N 1 2 313.380 1.685 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCCO3)n2C(C)C)CC1 ZINC000491252328 526957334 /nfs/dbraw/zinc/95/73/34/526957334.db2.gz HKQOWOOFXZKEEC-OAHLLOKOSA-N 1 2 317.437 1.856 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000341549489 526961968 /nfs/dbraw/zinc/96/19/68/526961968.db2.gz QPATZWOPBIWYFP-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000341549489 526961973 /nfs/dbraw/zinc/96/19/73/526961973.db2.gz QPATZWOPBIWYFP-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](CC(=O)OC)Cc1ccc(F)c(F)c1 ZINC000491200589 526973809 /nfs/dbraw/zinc/97/38/09/526973809.db2.gz BGMZHBUAAKBULY-UHFFFAOYSA-N 1 2 324.327 1.079 20 30 DDEDLO C#CCNC(=O)CC[N@H+](CC(=O)OC)Cc1ccc(F)c(F)c1 ZINC000491200589 526973814 /nfs/dbraw/zinc/97/38/14/526973814.db2.gz BGMZHBUAAKBULY-UHFFFAOYSA-N 1 2 324.327 1.079 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000340256869 526992146 /nfs/dbraw/zinc/99/21/46/526992146.db2.gz HXDFTBCTFGMDHA-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000342151391 527072740 /nfs/dbraw/zinc/07/27/40/527072740.db2.gz AGCCKQQQFREKMU-ZBFHGGJFSA-N 1 2 312.454 1.424 20 30 DDEDLO C#C[C@@H](CC)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000491452660 527240126 /nfs/dbraw/zinc/24/01/26/527240126.db2.gz MVEGPYYZLWAZPQ-GXTWGEPZSA-N 1 2 304.394 1.011 20 30 DDEDLO C#C[C@@H](CC)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000491452660 527240134 /nfs/dbraw/zinc/24/01/34/527240134.db2.gz MVEGPYYZLWAZPQ-GXTWGEPZSA-N 1 2 304.394 1.011 20 30 DDEDLO C#C[C@H](CC)NC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000491657908 527353272 /nfs/dbraw/zinc/35/32/72/527353272.db2.gz KPZLHBWUBKVNKT-SJORKVTESA-N 1 2 315.417 1.771 20 30 DDEDLO C=CCn1cc(CNC(=O)[C@H]2CC[N@@H+]2Cc2ccccc2)nn1 ZINC000424905395 527370686 /nfs/dbraw/zinc/37/06/86/527370686.db2.gz AWJBCCBAOYPYRK-MRXNPFEDSA-N 1 2 311.389 1.355 20 30 DDEDLO C=CCn1cc(CNC(=O)[C@H]2CC[N@H+]2Cc2ccccc2)nn1 ZINC000424905395 527370691 /nfs/dbraw/zinc/37/06/91/527370691.db2.gz AWJBCCBAOYPYRK-MRXNPFEDSA-N 1 2 311.389 1.355 20 30 DDEDLO CCN(CC)c1ccc(CNC(=O)N[C@@H]2CC[S@](=O)C2)c[nH+]1 ZINC000330030041 528297004 /nfs/dbraw/zinc/29/70/04/528297004.db2.gz QZJJLVYVCFZOEL-DMZKTXOQSA-N 1 2 324.450 1.452 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCc2ncsc2C1 ZINC000445853673 528853744 /nfs/dbraw/zinc/85/37/44/528853744.db2.gz KLQHCRDTEHMCIL-IAQYHMDHSA-N 1 2 306.435 1.944 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCc2ncsc2C1 ZINC000445853673 528853747 /nfs/dbraw/zinc/85/37/47/528853747.db2.gz KLQHCRDTEHMCIL-IAQYHMDHSA-N 1 2 306.435 1.944 20 30 DDEDLO CCN1CCN(C(=O)N[C@@H]2CCC[C@@H]2C#N)C[C@H]1c1[nH]cc[nH+]1 ZINC000433350336 529129024 /nfs/dbraw/zinc/12/90/24/529129024.db2.gz BQIDKDUAJCTPRP-MCIONIFRSA-N 1 2 316.409 1.490 20 30 DDEDLO CCN1CCN(C(=O)c2cccc(CC#N)c2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000433466841 529135200 /nfs/dbraw/zinc/13/52/00/529135200.db2.gz OFZHTZNHASYDCK-MRXNPFEDSA-N 1 2 323.400 1.995 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)c1C ZINC000330122342 529292448 /nfs/dbraw/zinc/29/24/48/529292448.db2.gz KGDFFONIFGXHRS-NILFDRSVSA-N 1 2 321.425 1.723 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)c1C ZINC000330122342 529292450 /nfs/dbraw/zinc/29/24/50/529292450.db2.gz KGDFFONIFGXHRS-NILFDRSVSA-N 1 2 321.425 1.723 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CC[N@@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000833760030 604988927 /nfs/dbraw/zinc/98/89/27/604988927.db2.gz KOGMVVNOHNPSHP-GJZGRUSLSA-N 1 2 310.357 1.907 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000833760030 604988930 /nfs/dbraw/zinc/98/89/30/604988930.db2.gz KOGMVVNOHNPSHP-GJZGRUSLSA-N 1 2 310.357 1.907 20 30 DDEDLO C[C@@H](C#N)C[N@H+](CCOc1cccc(-c2nn[nH]n2)c1)C1CC1 ZINC000824035293 607831371 /nfs/dbraw/zinc/83/13/71/607831371.db2.gz WITPPWNWQXVLMS-LBPRGKRZSA-N 1 2 312.377 1.870 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](CCOc1cccc(-c2nn[nH]n2)c1)C1CC1 ZINC000824035293 607831372 /nfs/dbraw/zinc/83/13/72/607831372.db2.gz WITPPWNWQXVLMS-LBPRGKRZSA-N 1 2 312.377 1.870 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](CCOc2ccccc2-c2nn[nH]n2)C1 ZINC000824776852 608126288 /nfs/dbraw/zinc/12/62/88/608126288.db2.gz DWBIQPQVIYVUAY-MRXNPFEDSA-N 1 2 312.377 1.871 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](CCOc2ccccc2-c2nn[nH]n2)C1 ZINC000824776852 608126289 /nfs/dbraw/zinc/12/62/89/608126289.db2.gz DWBIQPQVIYVUAY-MRXNPFEDSA-N 1 2 312.377 1.871 20 30 DDEDLO COCCN1CC[NH+](Cc2ccc(O[C@H](C)C#N)cc2)CC1 ZINC000122994542 696727754 /nfs/dbraw/zinc/72/77/54/696727754.db2.gz WNWBVJMEZZJJNM-OAHLLOKOSA-N 1 2 303.406 1.741 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(F)c3)C2)C1 ZINC000972235374 695185105 /nfs/dbraw/zinc/18/51/05/695185105.db2.gz UYMFIAVQCXVUQS-SFHVURJKSA-N 1 2 316.376 1.766 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(F)c3)C2)C1 ZINC000972235374 695185106 /nfs/dbraw/zinc/18/51/06/695185106.db2.gz UYMFIAVQCXVUQS-SFHVURJKSA-N 1 2 316.376 1.766 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccs3)C2)C1 ZINC000972240395 695186538 /nfs/dbraw/zinc/18/65/38/695186538.db2.gz SZTDHUCIIVQMOQ-MRXNPFEDSA-N 1 2 304.415 1.688 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccs3)C2)C1 ZINC000972240395 695186539 /nfs/dbraw/zinc/18/65/39/695186539.db2.gz SZTDHUCIIVQMOQ-MRXNPFEDSA-N 1 2 304.415 1.688 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccsc3)C2)C1 ZINC000972243329 695187961 /nfs/dbraw/zinc/18/79/61/695187961.db2.gz QCXIUBICWUPQIV-INIZCTEOSA-N 1 2 306.431 1.780 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccsc3)C2)C1 ZINC000972243329 695187963 /nfs/dbraw/zinc/18/79/63/695187963.db2.gz QCXIUBICWUPQIV-INIZCTEOSA-N 1 2 306.431 1.780 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(C4CC4)o3)C2)C1 ZINC000972414247 695243663 /nfs/dbraw/zinc/24/36/63/695243663.db2.gz XWOFCSIWQWSGHJ-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(C4CC4)o3)C2)C1 ZINC000972414247 695243665 /nfs/dbraw/zinc/24/36/65/695243665.db2.gz XWOFCSIWQWSGHJ-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCC3(F)F)C2)C1 ZINC000972430309 695249615 /nfs/dbraw/zinc/24/96/15/695249615.db2.gz FBZJWYODMAJNAT-OCCSQVGLSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCC3(F)F)C2)C1 ZINC000972430309 695249616 /nfs/dbraw/zinc/24/96/16/695249616.db2.gz FBZJWYODMAJNAT-OCCSQVGLSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(F)cn3)C2)C1 ZINC000972435761 695250832 /nfs/dbraw/zinc/25/08/32/695250832.db2.gz QTDHYCPXZKJFTE-KRWDZBQOSA-N 1 2 319.380 1.253 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(F)cn3)C2)C1 ZINC000972435761 695250833 /nfs/dbraw/zinc/25/08/33/695250833.db2.gz QTDHYCPXZKJFTE-KRWDZBQOSA-N 1 2 319.380 1.253 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c[nH]nc3CCC)C2)C1 ZINC000972444914 695253407 /nfs/dbraw/zinc/25/34/07/695253407.db2.gz WYHRDPAGELGOMP-KRWDZBQOSA-N 1 2 318.421 1.465 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c[nH]nc3CCC)C2)C1 ZINC000972444914 695253408 /nfs/dbraw/zinc/25/34/08/695253408.db2.gz WYHRDPAGELGOMP-KRWDZBQOSA-N 1 2 318.421 1.465 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3conc3CC)C2)C1 ZINC000972540521 695276957 /nfs/dbraw/zinc/27/69/57/695276957.db2.gz QYSFUVKXVPLGSW-MRXNPFEDSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3conc3CC)C2)C1 ZINC000972540521 695276959 /nfs/dbraw/zinc/27/69/59/695276959.db2.gz QYSFUVKXVPLGSW-MRXNPFEDSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H](C)n3cccc3)C2)C1 ZINC000972643664 695307620 /nfs/dbraw/zinc/30/76/20/695307620.db2.gz RRSQCGCHBFRGHK-WMZOPIPTSA-N 1 2 317.433 1.929 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H](C)n3cccc3)C2)C1 ZINC000972643664 695307621 /nfs/dbraw/zinc/30/76/21/695307621.db2.gz RRSQCGCHBFRGHK-WMZOPIPTSA-N 1 2 317.433 1.929 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)COc3ccccc3)C2)C1 ZINC000972653075 695309887 /nfs/dbraw/zinc/30/98/87/695309887.db2.gz DIQCWOAMOPCAAE-SFHVURJKSA-N 1 2 314.385 1.002 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)COc3ccccc3)C2)C1 ZINC000972653075 695309889 /nfs/dbraw/zinc/30/98/89/695309889.db2.gz DIQCWOAMOPCAAE-SFHVURJKSA-N 1 2 314.385 1.002 20 30 DDEDLO C=CCN1CC[C@H](OC(=O)[C@@H]([NH2+]C2CCOCC2)C(C)C)C1=O ZINC000798630201 700082057 /nfs/dbraw/zinc/08/20/57/700082057.db2.gz PWFNQGKZASZKEN-GJZGRUSLSA-N 1 2 324.421 1.110 20 30 DDEDLO C[C@@H](C(=O)N[C@@H](Cn1cc[nH+]c1)C(C)(C)C)n1cnc(C#N)n1 ZINC000798927348 700105735 /nfs/dbraw/zinc/10/57/35/700105735.db2.gz RQKCPIKUUFAFIG-RYUDHWBXSA-N 1 2 315.381 1.138 20 30 DDEDLO C[N@H+](CC(=O)[C@H](C#N)C(=O)Nc1ccccc1)[C@@H]1CCSC1 ZINC000123611369 696733123 /nfs/dbraw/zinc/73/31/23/696733123.db2.gz JMNNHVPOMYKQEQ-KGLIPLIRSA-N 1 2 317.414 1.771 20 30 DDEDLO C[N@@H+](CC(=O)[C@H](C#N)C(=O)Nc1ccccc1)[C@@H]1CCSC1 ZINC000123611369 696733126 /nfs/dbraw/zinc/73/31/26/696733126.db2.gz JMNNHVPOMYKQEQ-KGLIPLIRSA-N 1 2 317.414 1.771 20 30 DDEDLO CC(=O)OC1CC[NH+](CC(=O)Nc2ccc(C#N)cc2)CC1 ZINC000153156204 696910390 /nfs/dbraw/zinc/91/03/90/696910390.db2.gz IEFHMTKYUBPISY-UHFFFAOYSA-N 1 2 301.346 1.524 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)N3CCC3)CC2)C1 ZINC000981917794 696967989 /nfs/dbraw/zinc/96/79/89/696967989.db2.gz GIEYPQLQIFULQE-UHFFFAOYSA-N 1 2 305.422 1.109 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)N3CCC3)CC2)C1 ZINC000981917794 696967991 /nfs/dbraw/zinc/96/79/91/696967991.db2.gz GIEYPQLQIFULQE-UHFFFAOYSA-N 1 2 305.422 1.109 20 30 DDEDLO C=C(C)COCCNC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000157456122 696978013 /nfs/dbraw/zinc/97/80/13/696978013.db2.gz CMXVJXMXVCXKIA-UHFFFAOYSA-N 1 2 320.393 1.103 20 30 DDEDLO COC(=O)c1ccc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)o1 ZINC000158181261 696987629 /nfs/dbraw/zinc/98/76/29/696987629.db2.gz IMPCYVLUVXDNOE-UHFFFAOYSA-N 1 2 316.313 1.838 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1)[C@H]1CCCO1 ZINC000773545117 697776595 /nfs/dbraw/zinc/77/65/95/697776595.db2.gz BBJYHGCFHTXBCU-HZSPNIEDSA-N 1 2 302.378 1.100 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC(c2[nH]cc[nH+]2)CC1)[C@H]1CCCO1 ZINC000773563696 697781899 /nfs/dbraw/zinc/78/18/99/697781899.db2.gz YANVNSAQYDEKDH-ZIAGYGMSSA-N 1 2 302.378 1.480 20 30 DDEDLO C[C@@H]1[C@H](NCC#N)CCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000986611580 697851891 /nfs/dbraw/zinc/85/18/91/697851891.db2.gz WKACJMUIENVRAU-CZUORRHYSA-N 1 2 309.373 1.588 20 30 DDEDLO C#CC[NH2+][C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)[C@@H]1C ZINC000986652247 697866582 /nfs/dbraw/zinc/86/65/82/697866582.db2.gz TVVSQQJHVGCWDT-IUODEOHRSA-N 1 2 309.373 1.298 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1)[C@H]1CCCO1 ZINC000775322725 697981716 /nfs/dbraw/zinc/98/17/16/697981716.db2.gz FXAJZADHMNPAND-GVDBMIGSSA-N 1 2 322.449 1.420 20 30 DDEDLO C=C(C)[C@@H](CO)[N@@H+]1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000775850567 698044471 /nfs/dbraw/zinc/04/44/71/698044471.db2.gz NNEWEBGDXPDHOE-CHWSQXEVSA-N 1 2 300.399 1.149 20 30 DDEDLO C=C(C)[C@@H](CO)[N@H+]1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000775850567 698044472 /nfs/dbraw/zinc/04/44/72/698044472.db2.gz NNEWEBGDXPDHOE-CHWSQXEVSA-N 1 2 300.399 1.149 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[N@@H+](C)CC(C)(CO)CO ZINC000779158420 698399777 /nfs/dbraw/zinc/39/97/77/698399777.db2.gz RGLBOHZJSSIQAZ-UHFFFAOYSA-N 1 2 311.809 1.775 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[N@H+](C)CC(C)(CO)CO ZINC000779158420 698399781 /nfs/dbraw/zinc/39/97/81/698399781.db2.gz RGLBOHZJSSIQAZ-UHFFFAOYSA-N 1 2 311.809 1.775 20 30 DDEDLO CON=Cc1ccc(C(=O)N[C@H](C)C[NH+]2CCOCC2)cc1 ZINC000254986429 698409543 /nfs/dbraw/zinc/40/95/43/698409543.db2.gz BMRZNAXJZXDWCE-CYBMUJFWSA-N 1 2 305.378 1.117 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H]2C[C@@H](C)N(C(=O)C#CC3CC3)C2)n1 ZINC000988827572 698477975 /nfs/dbraw/zinc/47/79/75/698477975.db2.gz SNECRKYIILYQIX-OCCSQVGLSA-N 1 2 316.405 1.685 20 30 DDEDLO C=C[C@@H](C(=O)N1CCC[N@@H+]([C@H](C)C(N)=O)CC1)c1ccccc1 ZINC000989720049 698739625 /nfs/dbraw/zinc/73/96/25/698739625.db2.gz OPWIXSZNGAQRJD-GDBMZVCRSA-N 1 2 315.417 1.364 20 30 DDEDLO C=C[C@@H](C(=O)N1CCC[N@H+]([C@H](C)C(N)=O)CC1)c1ccccc1 ZINC000989720049 698739627 /nfs/dbraw/zinc/73/96/27/698739627.db2.gz OPWIXSZNGAQRJD-GDBMZVCRSA-N 1 2 315.417 1.364 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(C(=O)Cn3cc[nH+]c3)[C@@H]2C)C1 ZINC000989789089 698763696 /nfs/dbraw/zinc/76/36/96/698763696.db2.gz IRWDJDBPEFMPEK-KGLIPLIRSA-N 1 2 316.405 1.345 20 30 DDEDLO C[C@H]1C[C@H](NCC#N)CN1C(=O)C[N@@H+]1CCc2sccc2C1 ZINC000989826059 698783670 /nfs/dbraw/zinc/78/36/70/698783670.db2.gz LRHWQDNTOQQGSN-JSGCOSHPSA-N 1 2 318.446 1.209 20 30 DDEDLO C[C@H]1C[C@H](NCC#N)CN1C(=O)C[N@H+]1CCc2sccc2C1 ZINC000989826059 698783673 /nfs/dbraw/zinc/78/36/73/698783673.db2.gz LRHWQDNTOQQGSN-JSGCOSHPSA-N 1 2 318.446 1.209 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C)C(C)C ZINC000783396196 698838290 /nfs/dbraw/zinc/83/82/90/698838290.db2.gz WPWCOHJFGMFNMX-UHFFFAOYSA-N 1 2 304.394 1.818 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(Cl)c(C(N)=O)c1 ZINC000732056000 699544941 /nfs/dbraw/zinc/54/49/41/699544941.db2.gz HYPQPTFWPAXQOV-ZDUSSCGKSA-N 1 2 305.765 1.475 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(Cl)c(C(N)=O)c1 ZINC000732056000 699544942 /nfs/dbraw/zinc/54/49/42/699544942.db2.gz HYPQPTFWPAXQOV-ZDUSSCGKSA-N 1 2 305.765 1.475 20 30 DDEDLO C=CCn1nnn(CN2CCC(n3cc[nH+]c3)CC2)c1=S ZINC000738109401 699752753 /nfs/dbraw/zinc/75/27/53/699752753.db2.gz APWMLJRJIQUDNB-UHFFFAOYSA-N 1 2 305.411 1.486 20 30 DDEDLO CN1CC[C@@H]([N@H+](C)Cc2cc(Cl)ccc2OCC#N)C1=O ZINC000738330510 699757282 /nfs/dbraw/zinc/75/72/82/699757282.db2.gz HDUJUIFIZIVMJJ-CYBMUJFWSA-N 1 2 307.781 1.905 20 30 DDEDLO CN1CC[C@@H]([N@@H+](C)Cc2cc(Cl)ccc2OCC#N)C1=O ZINC000738330510 699757284 /nfs/dbraw/zinc/75/72/84/699757284.db2.gz HDUJUIFIZIVMJJ-CYBMUJFWSA-N 1 2 307.781 1.905 20 30 DDEDLO CCCN1C(=O)CC[C@@H](C(=O)OCC#N)[C@@H]1c1c[nH+]cn1C ZINC000796088786 699911530 /nfs/dbraw/zinc/91/15/30/699911530.db2.gz UQPAGSHTZRTDPY-BXUZGUMPSA-N 1 2 304.350 1.177 20 30 DDEDLO C(=NNC1=[NH+][C@@H]2CCCC[C@@H]2N1)c1cc(-c2cccnc2)[nH]n1 ZINC000753935383 700468858 /nfs/dbraw/zinc/46/88/58/700468858.db2.gz OCICQMDNGXJJDI-OKILXGFUSA-N 1 2 309.377 1.666 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@H+]1CCCC[C@H]1CO ZINC000754251962 700492050 /nfs/dbraw/zinc/49/20/50/700492050.db2.gz ZQCKKVBKHFIFHY-ZDUSSCGKSA-N 1 2 312.373 1.267 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@@H+]1CCCC[C@H]1CO ZINC000754251962 700492053 /nfs/dbraw/zinc/49/20/53/700492053.db2.gz ZQCKKVBKHFIFHY-ZDUSSCGKSA-N 1 2 312.373 1.267 20 30 DDEDLO CC(N=Nc1ccc(C(N)=O)nn1)c1ccc(-n2cc[nH+]c2)cc1 ZINC000756830751 700643045 /nfs/dbraw/zinc/64/30/45/700643045.db2.gz BEJHTGPXYIIORO-UHFFFAOYSA-N 1 2 321.344 1.597 20 30 DDEDLO CC(C)N1C(=O)C[N@H+](C[C@H](O)c2ccc(C#N)cc2)CC1(C)C ZINC000763241478 700930903 /nfs/dbraw/zinc/93/09/03/700930903.db2.gz NLSRKHFNSSFECF-INIZCTEOSA-N 1 2 315.417 1.923 20 30 DDEDLO CC(C)N1C(=O)C[N@@H+](C[C@H](O)c2ccc(C#N)cc2)CC1(C)C ZINC000763241478 700930905 /nfs/dbraw/zinc/93/09/05/700930905.db2.gz NLSRKHFNSSFECF-INIZCTEOSA-N 1 2 315.417 1.923 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCN(C2CCCCC2)C1=O ZINC000766279112 701044912 /nfs/dbraw/zinc/04/49/12/701044912.db2.gz FXCYQSREOXRAIQ-CVEARBPZSA-N 1 2 317.433 1.134 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCN(C2CCCCC2)C1=O ZINC000766279112 701044914 /nfs/dbraw/zinc/04/49/14/701044914.db2.gz FXCYQSREOXRAIQ-CVEARBPZSA-N 1 2 317.433 1.134 20 30 DDEDLO COCCC[N@H+](CCOC)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766613962 701056802 /nfs/dbraw/zinc/05/68/02/701056802.db2.gz YOUQXUMLQJGQDR-UHFFFAOYSA-N 1 2 319.405 1.151 20 30 DDEDLO COCCC[N@@H+](CCOC)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766613962 701056804 /nfs/dbraw/zinc/05/68/04/701056804.db2.gz YOUQXUMLQJGQDR-UHFFFAOYSA-N 1 2 319.405 1.151 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)[C@@H]1C ZINC000766634050 701057708 /nfs/dbraw/zinc/05/77/08/701057708.db2.gz KHBGCJUBZXUQRO-DGCLKSJQSA-N 1 2 315.373 1.050 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)[C@@H]1C ZINC000766634050 701057710 /nfs/dbraw/zinc/05/77/10/701057710.db2.gz KHBGCJUBZXUQRO-DGCLKSJQSA-N 1 2 315.373 1.050 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc3c(c2)COC3)CC1 ZINC000768216535 701163920 /nfs/dbraw/zinc/16/39/20/701163920.db2.gz ZRNGMWVNZIMUQA-UHFFFAOYSA-N 1 2 315.417 1.137 20 30 DDEDLO C#CCNc1nc(N(C)CCC=C)[nH+]c(N(C)CCC=C)n1 ZINC000804645437 701205234 /nfs/dbraw/zinc/20/52/34/701205234.db2.gz ZGXYHKIKFBZQSP-UHFFFAOYSA-N 1 2 300.410 1.363 20 30 DDEDLO c1cnn(-c2ccc(N=NCc3cccc4[nH+]ccn43)nn2)c1 ZINC000771098940 701309911 /nfs/dbraw/zinc/30/99/11/701309911.db2.gz UQKFJIPNADETNK-UHFFFAOYSA-N 1 2 304.317 1.756 20 30 DDEDLO S=C(NCCc1ccccn1)NN=Cc1cccc2[nH+]ccn21 ZINC000771101002 701310118 /nfs/dbraw/zinc/31/01/18/701310118.db2.gz PRLXGIKDBPAMJR-UHFFFAOYSA-N 1 2 324.413 1.770 20 30 DDEDLO CON=Cc1ccc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cc1 ZINC000771564436 701323519 /nfs/dbraw/zinc/32/35/19/701323519.db2.gz ZPDNHHBVHYEHND-INIZCTEOSA-N 1 2 317.389 1.214 20 30 DDEDLO CC[C@@H](C#N)Oc1cccc(CNC(=O)Cc2c[nH+]cn2C)c1 ZINC000806857357 701451190 /nfs/dbraw/zinc/45/11/90/701451190.db2.gz QAUSTQXFRISFKU-HNNXBMFYSA-N 1 2 312.373 1.960 20 30 DDEDLO C#C[C@H](NC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C)C(C)(C)C ZINC000807534895 701480012 /nfs/dbraw/zinc/48/00/12/701480012.db2.gz OIBJOWPZBHTKBD-ZDUSSCGKSA-N 1 2 316.405 1.559 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000810070591 701722057 /nfs/dbraw/zinc/72/20/57/701722057.db2.gz QXJCUYMJLAENNX-UWVGGRQHSA-N 1 2 308.300 1.105 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000810070591 701722060 /nfs/dbraw/zinc/72/20/60/701722060.db2.gz QXJCUYMJLAENNX-UWVGGRQHSA-N 1 2 308.300 1.105 20 30 DDEDLO CC(=NNC1=[NH+]C[C@@H](C)N1)c1nnn(-c2ccc(C)cc2)c1C ZINC000814897139 701767073 /nfs/dbraw/zinc/76/70/73/701767073.db2.gz FUDJDMOHZIRPCK-LLVKDONJSA-N 1 2 311.393 1.545 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)O[C@H]2CC[N@H+](CCF)C2)c1 ZINC000816054426 702002512 /nfs/dbraw/zinc/00/25/12/702002512.db2.gz FAPQXVFLFQFDFB-HNNXBMFYSA-N 1 2 305.353 1.825 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)O[C@H]2CC[N@@H+](CCF)C2)c1 ZINC000816054426 702002518 /nfs/dbraw/zinc/00/25/18/702002518.db2.gz FAPQXVFLFQFDFB-HNNXBMFYSA-N 1 2 305.353 1.825 20 30 DDEDLO C#CC[C@@H](Cc1ccccc1)NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000868276734 702037332 /nfs/dbraw/zinc/03/73/32/702037332.db2.gz GMYPBXGVUJWPSW-OPUMOTSWSA-N 1 2 312.413 1.626 20 30 DDEDLO C#CCNc1nc(N2CCC3(CC3)C2)nc(N2CCC3(CC3)C2)[nH+]1 ZINC000811843169 702071115 /nfs/dbraw/zinc/07/11/15/702071115.db2.gz UEZIBZVJMBHVHK-UHFFFAOYSA-N 1 2 324.432 1.319 20 30 DDEDLO C#CCNc1nc(N2CCC3(CC3)C2)[nH+]c(N2CCC3(CC3)C2)n1 ZINC000811843169 702071123 /nfs/dbraw/zinc/07/11/23/702071123.db2.gz UEZIBZVJMBHVHK-UHFFFAOYSA-N 1 2 324.432 1.319 20 30 DDEDLO CC#CCNC(=O)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000868454557 702139368 /nfs/dbraw/zinc/13/93/68/702139368.db2.gz GOTKSTGEPNLGNS-QGZVFWFLSA-N 1 2 315.417 1.518 20 30 DDEDLO CC#CCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000868454557 702139370 /nfs/dbraw/zinc/13/93/70/702139370.db2.gz GOTKSTGEPNLGNS-QGZVFWFLSA-N 1 2 315.417 1.518 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CC3(C[C@H]2C)CCOCC3)c1=S ZINC000842638119 702747488 /nfs/dbraw/zinc/74/74/88/702747488.db2.gz IJCYQQCQJKTPDE-GFCCVEGCSA-N 1 2 309.439 1.844 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CC3(C[C@H]2C)CCOCC3)c1=S ZINC000842638119 702747490 /nfs/dbraw/zinc/74/74/90/702747490.db2.gz IJCYQQCQJKTPDE-GFCCVEGCSA-N 1 2 309.439 1.844 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCO[C@@H]3C)n2CC=C)CC1 ZINC000842938645 702792304 /nfs/dbraw/zinc/79/23/04/702792304.db2.gz ONUYMAIAQXBUBN-HUUCEWRRSA-N 1 2 315.421 1.112 20 30 DDEDLO CC(C)OCCCNC(=O)[C@H](C#N)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845444400 703157711 /nfs/dbraw/zinc/15/77/11/703157711.db2.gz SYJXVXIYWAVEBL-ZIAGYGMSSA-N 1 2 320.393 1.474 20 30 DDEDLO N#Cc1c[nH]c2cc(C(=O)N3CC[NH+]([C@H]4CCOC4)CC3)ccc12 ZINC000866545462 706726257 /nfs/dbraw/zinc/72/62/57/706726257.db2.gz MDIGEJCAERLKOC-HNNXBMFYSA-N 1 2 324.384 1.586 20 30 DDEDLO C[C@@H]1CCN(C(=O)[C@@]2(C#N)CCCOC2)C[C@H]1n1cc[nH+]c1 ZINC000866584167 706736011 /nfs/dbraw/zinc/73/60/11/706736011.db2.gz XGYAQVFUYKWOHD-IIAWOOMASA-N 1 2 302.378 1.613 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CCN(C4CC4)[C@H](C#N)C3)ccn12 ZINC000847484166 703425276 /nfs/dbraw/zinc/42/52/76/703425276.db2.gz LYDCRAHUCWLBKD-OAHLLOKOSA-N 1 2 309.373 1.455 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CN2C(=O)N[C@@](CCC)(C3CC3)C2=O)C1 ZINC000848494979 703557714 /nfs/dbraw/zinc/55/77/14/703557714.db2.gz XEOYBYHVRPAGSV-DYVFJYSZSA-N 1 2 303.406 1.790 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CN2C(=O)N[C@@](CCC)(C3CC3)C2=O)C1 ZINC000848494979 703557715 /nfs/dbraw/zinc/55/77/15/703557715.db2.gz XEOYBYHVRPAGSV-DYVFJYSZSA-N 1 2 303.406 1.790 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)OCC(=O)N(C)C(C)(C)C#N)ccn12 ZINC000848780754 703588552 /nfs/dbraw/zinc/58/85/52/703588552.db2.gz YSBRFPPCMYGEGX-UHFFFAOYSA-N 1 2 314.345 1.560 20 30 DDEDLO C[C@H](CC#N)C(=O)OC[C@@H]1CCC[N@@H+]1CC(=O)OC(C)(C)C ZINC000850871416 703760716 /nfs/dbraw/zinc/76/07/16/703760716.db2.gz VFCKSQCUZVKMDS-OLZOCXBDSA-N 1 2 310.394 1.885 20 30 DDEDLO C[C@H](CC#N)C(=O)OC[C@@H]1CCC[N@H+]1CC(=O)OC(C)(C)C ZINC000850871416 703760717 /nfs/dbraw/zinc/76/07/17/703760717.db2.gz VFCKSQCUZVKMDS-OLZOCXBDSA-N 1 2 310.394 1.885 20 30 DDEDLO C#CCO[C@@H](C)C(=O)OCC1CCN(c2cccc[nH+]2)CC1 ZINC000851400630 703798138 /nfs/dbraw/zinc/79/81/38/703798138.db2.gz KJPKYEGGTOVHBD-AWEZNQCLSA-N 1 2 302.374 1.880 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH2+][C@@H](c3cccc(OC)c3)C2)nc1 ZINC000870150298 703932919 /nfs/dbraw/zinc/93/29/19/703932919.db2.gz STPSRQSWQIXEAS-GOSISDBHSA-N 1 2 321.380 1.858 20 30 DDEDLO CC[C@H](C#N)C(=O)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000870159025 703937962 /nfs/dbraw/zinc/93/79/62/703937962.db2.gz SPOKPYDYYJTKMB-OAHLLOKOSA-N 1 2 301.390 1.889 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+]([C@@H]2C[C@H]3CCCC[C@H]3NC2=O)CC1 ZINC000852136431 703940222 /nfs/dbraw/zinc/94/02/22/703940222.db2.gz LNIVICZRSJUMMT-MGPQQGTHSA-N 1 2 319.405 1.212 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC3=C(CCC(=O)N3)C2)CCCCC1 ZINC000852301227 704003161 /nfs/dbraw/zinc/00/31/61/704003161.db2.gz IQQMKGYXFMOKCD-UHFFFAOYSA-N 1 2 316.405 1.199 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC3=C(CCC(=O)N3)C2)CCCCC1 ZINC000852301227 704003165 /nfs/dbraw/zinc/00/31/65/704003165.db2.gz IQQMKGYXFMOKCD-UHFFFAOYSA-N 1 2 316.405 1.199 20 30 DDEDLO C[C@]1(C#N)CC[N@H+](CCC(=O)Nc2sccc2C(N)=O)C1 ZINC000852684632 704099632 /nfs/dbraw/zinc/09/96/32/704099632.db2.gz LBVUVUUVDMPWQQ-CQSZACIVSA-N 1 2 306.391 1.411 20 30 DDEDLO C[C@]1(C#N)CC[N@@H+](CCC(=O)Nc2sccc2C(N)=O)C1 ZINC000852684632 704099634 /nfs/dbraw/zinc/09/96/34/704099634.db2.gz LBVUVUUVDMPWQQ-CQSZACIVSA-N 1 2 306.391 1.411 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000820020580 704204674 /nfs/dbraw/zinc/20/46/74/704204674.db2.gz CLMUMOFWQKWMSN-HOTGVXAUSA-N 1 2 311.385 1.822 20 30 DDEDLO C#Cc1cccnc1N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000853441435 704239980 /nfs/dbraw/zinc/23/99/80/704239980.db2.gz UVGCOFPAQVZFCS-HNNXBMFYSA-N 1 2 312.417 1.196 20 30 DDEDLO C#CCO[C@@H](C)C(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC000822743295 705024944 /nfs/dbraw/zinc/02/49/44/705024944.db2.gz PNNPBQWMKHVXAQ-AWEZNQCLSA-N 1 2 302.374 1.496 20 30 DDEDLO N#CC1(CNC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)CCCC1 ZINC000823852524 705328605 /nfs/dbraw/zinc/32/86/05/705328605.db2.gz UPPWVRGLOHZSOA-CABCVRRESA-N 1 2 321.421 1.066 20 30 DDEDLO C#CCN(C)C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000876121748 705626520 /nfs/dbraw/zinc/62/65/20/705626520.db2.gz YSORYLIOEPDSEY-UHFFFAOYSA-N 1 2 309.410 1.067 20 30 DDEDLO N#Cc1cnc(Cl)c(C[NH+]2CCC(N3CCNC3=O)CC2)c1 ZINC000876513207 705741372 /nfs/dbraw/zinc/74/13/72/705741372.db2.gz BBYQGVDIDMVLJX-UHFFFAOYSA-N 1 2 319.796 1.596 20 30 DDEDLO CC[N@H+](Cc1cc(C#N)ccc1N(C)C)[C@H](C)CS(C)(=O)=O ZINC000876734948 705847972 /nfs/dbraw/zinc/84/79/72/705847972.db2.gz AVVFDEDSQDIFBK-CYBMUJFWSA-N 1 2 323.462 1.879 20 30 DDEDLO CC[N@@H+](Cc1cc(C#N)ccc1N(C)C)[C@H](C)CS(C)(=O)=O ZINC000876734948 705847976 /nfs/dbraw/zinc/84/79/76/705847976.db2.gz AVVFDEDSQDIFBK-CYBMUJFWSA-N 1 2 323.462 1.879 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000876815659 705888805 /nfs/dbraw/zinc/88/88/05/705888805.db2.gz CJXTXGIRNIBUKJ-OAHLLOKOSA-N 1 2 314.389 1.651 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000876815659 705888809 /nfs/dbraw/zinc/88/88/09/705888809.db2.gz CJXTXGIRNIBUKJ-OAHLLOKOSA-N 1 2 314.389 1.651 20 30 DDEDLO Cn1c2ccc(C[NH+]3CCN(CCC#N)CC3)cc2oc1=O ZINC000877747892 706197392 /nfs/dbraw/zinc/19/73/92/706197392.db2.gz IOSIMYGPGSFZPG-UHFFFAOYSA-N 1 2 300.362 1.163 20 30 DDEDLO CC1(C)CC[C@@H](CN(CCC#N)CC[NH+]2CCOCC2)OC1 ZINC000878159624 706310039 /nfs/dbraw/zinc/31/00/39/706310039.db2.gz PBHAKBJCFTUSOG-INIZCTEOSA-N 1 2 309.454 1.739 20 30 DDEDLO COC(=O)c1cc(C[NH+]2CCN(CCC#N)CC2)ccc1C ZINC000878312139 706355645 /nfs/dbraw/zinc/35/56/45/706355645.db2.gz FODXGNNCBTXULX-UHFFFAOYSA-N 1 2 301.390 1.813 20 30 DDEDLO N#CCC(=O)N1CC[NH+](C[C@@H]2CC[C@H](c3ccccc3)O2)CC1 ZINC000878673173 706464685 /nfs/dbraw/zinc/46/46/85/706464685.db2.gz WVNPFJJIBCPESW-DLBZAZTESA-N 1 2 313.401 1.965 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[NH+]1CCC(O)(Cc2nc(C)cs2)CC1 ZINC000878927213 706534603 /nfs/dbraw/zinc/53/46/03/706534603.db2.gz BEVGTBHVOKBWMV-ZDUSSCGKSA-N 1 2 324.446 1.939 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2cn(Cc3ccccc3)nn2)CC1 ZINC000880483791 706989015 /nfs/dbraw/zinc/98/90/15/706989015.db2.gz KOGFGUXMDXAESR-UHFFFAOYSA-N 1 2 310.401 1.677 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000872437671 707410660 /nfs/dbraw/zinc/41/06/60/707410660.db2.gz OCVDOPBWXPVOFY-GFCCVEGCSA-N 1 2 304.375 1.430 20 30 DDEDLO C#CC[N@@H+](CN1CC2(COC2)CC1=O)[C@H]1CCc2ccccc21 ZINC000872559960 707479999 /nfs/dbraw/zinc/47/99/99/707479999.db2.gz DRTSVWRQWWGALY-KRWDZBQOSA-N 1 2 310.397 1.816 20 30 DDEDLO C#CC[N@H+](CN1CC2(COC2)CC1=O)[C@H]1CCc2ccccc21 ZINC000872559960 707480000 /nfs/dbraw/zinc/48/00/00/707480000.db2.gz DRTSVWRQWWGALY-KRWDZBQOSA-N 1 2 310.397 1.816 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000882895925 707842910 /nfs/dbraw/zinc/84/29/10/707842910.db2.gz XPMCUGWBTOAOHQ-CVEARBPZSA-N 1 2 312.454 1.567 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CCn3cc(Cl)cn3)CC2)cn1 ZINC000838976412 708008760 /nfs/dbraw/zinc/00/87/60/708008760.db2.gz JJDTXLNDTUGJIJ-UHFFFAOYSA-N 1 2 316.796 1.625 20 30 DDEDLO C=CCN(C(=O)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1)C(C)C ZINC000839245538 708038728 /nfs/dbraw/zinc/03/87/28/708038728.db2.gz ASAGBKNOEHFKAN-HUUCEWRRSA-N 1 2 318.421 1.716 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)C1Cc2ccccc2C1 ZINC000884100380 708138718 /nfs/dbraw/zinc/13/87/18/708138718.db2.gz SKRBKDAPYOTSLC-HNNXBMFYSA-N 1 2 302.374 1.059 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCc2c1cccc2C ZINC000884127984 708151508 /nfs/dbraw/zinc/15/15/08/708151508.db2.gz RENCKACXRKZJJG-LSDHHAIUSA-N 1 2 302.374 1.545 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCCc2ccccc2C1 ZINC000884129304 708152707 /nfs/dbraw/zinc/15/27/07/708152707.db2.gz CPIIYLGQJCIJKC-HNNXBMFYSA-N 1 2 302.374 1.408 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1Cc2ccccc2C[C@H]1C ZINC000884153751 708162850 /nfs/dbraw/zinc/16/28/50/708162850.db2.gz RHKLVZWWSMOLBA-DOMZBBRYSA-N 1 2 302.374 1.406 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCS[C@@H]2CCCC[C@@H]21 ZINC000884157463 708164411 /nfs/dbraw/zinc/16/44/11/708164411.db2.gz AUNUMCWPVUAJNJ-RWMBFGLXSA-N 1 2 312.435 1.320 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](CCC)c1ccccn1 ZINC000884213113 708192829 /nfs/dbraw/zinc/19/28/29/708192829.db2.gz UCBIGRLZYWXGFZ-JSGCOSHPSA-N 1 2 305.378 1.486 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1cc(C)ccc1F ZINC000884321085 708243229 /nfs/dbraw/zinc/24/32/29/708243229.db2.gz GENGRDXKUSIZEA-AWEZNQCLSA-N 1 2 308.353 1.539 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000884411373 708286124 /nfs/dbraw/zinc/28/61/24/708286124.db2.gz LITRPNTZBRIGHT-SOUVJXGZSA-N 1 2 324.421 1.241 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccc(C#N)cc2)C1 ZINC000885513951 708563592 /nfs/dbraw/zinc/56/35/92/708563592.db2.gz KVPRQKYPMGZCLA-MRXNPFEDSA-N 1 2 317.414 1.075 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccc(C#N)cc2)C1 ZINC000885513951 708563593 /nfs/dbraw/zinc/56/35/93/708563593.db2.gz KVPRQKYPMGZCLA-MRXNPFEDSA-N 1 2 317.414 1.075 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@H]1CC[C@H](C2CC2)O1 ZINC000886030015 708684601 /nfs/dbraw/zinc/68/46/01/708684601.db2.gz FFXNIBKQERWQGD-IAGOWNOFSA-N 1 2 307.438 1.492 20 30 DDEDLO CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000886468590 708765089 /nfs/dbraw/zinc/76/50/89/708765089.db2.gz IDRYUNCZZXENGY-LBPRGKRZSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000886468590 708765091 /nfs/dbraw/zinc/76/50/91/708765091.db2.gz IDRYUNCZZXENGY-LBPRGKRZSA-N 1 2 311.382 1.412 20 30 DDEDLO CC(C)OC(=O)C1CC[NH+](CC(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000886720067 708821497 /nfs/dbraw/zinc/82/14/97/708821497.db2.gz RFDQEASIAGTGQI-QGZVFWFLSA-N 1 2 323.437 1.704 20 30 DDEDLO C#CCC1([NH2+]Cc2noc(CCCC(=O)OC)n2)CCOCC1 ZINC000887124469 708964296 /nfs/dbraw/zinc/96/42/96/708964296.db2.gz FVGSTYOFNSPYES-UHFFFAOYSA-N 1 2 321.377 1.227 20 30 DDEDLO C/C(=C/C(=O)N[C@@H](C#N)c1ccc(F)cc1)C[NH+]1CCOCC1 ZINC000900073373 709282109 /nfs/dbraw/zinc/28/21/09/709282109.db2.gz LBABLXJJSRIYNO-DDKJEQMHSA-N 1 2 317.364 1.785 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(C#N)ccc1F ZINC000888353490 709286322 /nfs/dbraw/zinc/28/63/22/709286322.db2.gz FEXZWXNSVUGFII-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1c2ccccc2OC[C@H]1F ZINC000928068085 713115403 /nfs/dbraw/zinc/11/54/03/713115403.db2.gz LLCHMLHDPRGCQN-YCPHGPKFSA-N 1 2 302.349 1.672 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1c2ccccc2OC[C@H]1F ZINC000928068085 713115405 /nfs/dbraw/zinc/11/54/05/713115405.db2.gz LLCHMLHDPRGCQN-YCPHGPKFSA-N 1 2 302.349 1.672 20 30 DDEDLO C/C(=C\C(=O)NCc1ccc(C#N)cc1F)C[NH+]1CCOCC1 ZINC000900589333 709665465 /nfs/dbraw/zinc/66/54/65/709665465.db2.gz DJRWGKFMZOPIFA-MDWZMJQESA-N 1 2 317.364 1.592 20 30 DDEDLO C=CCCC[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)C(=O)OC ZINC000928318879 713174505 /nfs/dbraw/zinc/17/45/05/713174505.db2.gz GCBABCYGRQDALA-UPJWGTAASA-N 1 2 305.378 1.538 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(c2ccc(C#N)cc2C)CC1 ZINC000892666798 710478565 /nfs/dbraw/zinc/47/85/65/710478565.db2.gz MAYJMHMFTSPDEV-UHFFFAOYSA-N 1 2 301.390 1.942 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(c2ccc(C#N)cc2C)CC1 ZINC000892666798 710478566 /nfs/dbraw/zinc/47/85/66/710478566.db2.gz MAYJMHMFTSPDEV-UHFFFAOYSA-N 1 2 301.390 1.942 20 30 DDEDLO N#CC[C@]1(O)CCN(C(=O)c2c[nH+]ccc2Nc2ccccc2)C1 ZINC000911526930 710837283 /nfs/dbraw/zinc/83/72/83/710837283.db2.gz QEFVQMAONMAVAY-SFHVURJKSA-N 1 2 322.368 1.738 20 30 DDEDLO C/C(=C\C(=O)Nc1cc(F)cc(C#N)c1)C[NH+]1CCOCC1 ZINC000913431461 713214301 /nfs/dbraw/zinc/21/43/01/713214301.db2.gz XKXOECACMDEJEO-WUXMJOGZSA-N 1 2 303.337 1.914 20 30 DDEDLO CCCC[C@@H](ON=C(C)C)C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC000913451936 713221430 /nfs/dbraw/zinc/22/14/30/713221430.db2.gz VRJONFCCMIABSB-HUUCEWRRSA-N 1 2 321.425 1.854 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2ccccc2C)no1 ZINC000904081428 711376632 /nfs/dbraw/zinc/37/66/32/711376632.db2.gz GXODCTROAZGCHE-ZDUSSCGKSA-N 1 2 301.346 1.568 20 30 DDEDLO Cc1cc(C[NH2+][C@@H]2CCCN(O)C2=O)ncc1Br ZINC000895156222 711434962 /nfs/dbraw/zinc/43/49/62/711434962.db2.gz BEDFWRBUDUGVSC-LLVKDONJSA-N 1 2 314.183 1.622 20 30 DDEDLO C[C@H](c1ccccc1)[N@@H+]1CC[C@H](N[C@H]2CCCN(O)C2=O)C1 ZINC000895162333 711437940 /nfs/dbraw/zinc/43/79/40/711437940.db2.gz KNQLDDDMSXXADO-KBMXLJTQSA-N 1 2 303.406 1.792 20 30 DDEDLO C[C@H](c1ccccc1)[N@H+]1CC[C@H](N[C@H]2CCCN(O)C2=O)C1 ZINC000895162333 711437944 /nfs/dbraw/zinc/43/79/44/711437944.db2.gz KNQLDDDMSXXADO-KBMXLJTQSA-N 1 2 303.406 1.792 20 30 DDEDLO Cc1cc(N[C@@H](C)[C@@H]2CN(C)CC[N@@H+]2C)c(C#N)cc1[N+](=O)[O-] ZINC000895246108 711463888 /nfs/dbraw/zinc/46/38/88/711463888.db2.gz LIQUDKPJCJZODP-LRDDRELGSA-N 1 2 317.393 1.821 20 30 DDEDLO Cc1cc(N[C@@H](C)[C@@H]2CN(C)CC[N@H+]2C)c(C#N)cc1[N+](=O)[O-] ZINC000895246108 711463889 /nfs/dbraw/zinc/46/38/89/711463889.db2.gz LIQUDKPJCJZODP-LRDDRELGSA-N 1 2 317.393 1.821 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H](C#N)[C@H]([NH2+]C[C@](C)(O)C(F)F)C1 ZINC000905211456 711969548 /nfs/dbraw/zinc/96/95/48/711969548.db2.gz DVXMSOSLHZUBHA-RBZYPMLTSA-N 1 2 319.352 1.351 20 30 DDEDLO C=CC[C@@H]([NH2+]C1CCN(C(=O)c2ccco2)CC1)C(=O)OC ZINC000905751486 712138955 /nfs/dbraw/zinc/13/89/55/712138955.db2.gz SLFVCNVMQAMYRY-CYBMUJFWSA-N 1 2 306.362 1.592 20 30 DDEDLO C#C[C@H](NC(=O)c1cccn1CC[NH+]1CCOCC1)C(C)(C)C ZINC000908225808 712713969 /nfs/dbraw/zinc/71/39/69/712713969.db2.gz XNDVWDUSABERIO-INIZCTEOSA-N 1 2 317.433 1.598 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCCN(C(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC000928720393 713471329 /nfs/dbraw/zinc/47/13/29/713471329.db2.gz UHYNHBWLJGQOPA-HNNXBMFYSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCCN(C(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC000928720393 713471330 /nfs/dbraw/zinc/47/13/30/713471330.db2.gz UHYNHBWLJGQOPA-HNNXBMFYSA-N 1 2 324.388 1.712 20 30 DDEDLO CC(=O)NCC[N@H+](CC(=O)NCCC#N)Cc1ccc(C)s1 ZINC000917365536 713495010 /nfs/dbraw/zinc/49/50/10/713495010.db2.gz DLUQQKQUIFZLDB-UHFFFAOYSA-N 1 2 322.434 1.025 20 30 DDEDLO CC(=O)NCC[N@@H+](CC(=O)NCCC#N)Cc1ccc(C)s1 ZINC000917365536 713495011 /nfs/dbraw/zinc/49/50/11/713495011.db2.gz DLUQQKQUIFZLDB-UHFFFAOYSA-N 1 2 322.434 1.025 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(F)cc1C#N ZINC000930288063 713794021 /nfs/dbraw/zinc/79/40/21/713794021.db2.gz QZVUXPLKUUMDBD-AWEZNQCLSA-N 1 2 320.368 1.930 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C)C(=O)Nc2ccc(F)c(F)c2)CC1 ZINC000931144924 714015344 /nfs/dbraw/zinc/01/53/44/714015344.db2.gz JXRFVOJZFVZCHW-LLVKDONJSA-N 1 2 308.328 1.752 20 30 DDEDLO C#CC[N@@H+](CCS(=O)(=O)CC(=O)OC(C)(C)C)C(C)(C)C ZINC000932464011 714337320 /nfs/dbraw/zinc/33/73/20/714337320.db2.gz SGWBGEMMQIMNNP-UHFFFAOYSA-N 1 2 317.451 1.477 20 30 DDEDLO C#CC[N@H+](CCS(=O)(=O)CC(=O)OC(C)(C)C)C(C)(C)C ZINC000932464011 714337322 /nfs/dbraw/zinc/33/73/22/714337322.db2.gz SGWBGEMMQIMNNP-UHFFFAOYSA-N 1 2 317.451 1.477 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cnc(NC3CC3)s2)C1 ZINC000923594619 714422264 /nfs/dbraw/zinc/42/22/64/714422264.db2.gz MDGRUFRWKXTGMQ-LBPRGKRZSA-N 1 2 304.419 1.545 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc(NC3CC3)s2)C1 ZINC000923594619 714422269 /nfs/dbraw/zinc/42/22/69/714422269.db2.gz MDGRUFRWKXTGMQ-LBPRGKRZSA-N 1 2 304.419 1.545 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]c(C)c(C(C)=O)c2C)C1 ZINC000923596002 714423327 /nfs/dbraw/zinc/42/33/27/714423327.db2.gz YWOWDQQMZKYKBJ-CQSZACIVSA-N 1 2 301.390 1.662 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]c(C)c(C(C)=O)c2C)C1 ZINC000923596002 714423328 /nfs/dbraw/zinc/42/33/28/714423328.db2.gz YWOWDQQMZKYKBJ-CQSZACIVSA-N 1 2 301.390 1.662 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](CCCN3CCCC3=O)CCO2)cc1 ZINC000933625839 714633683 /nfs/dbraw/zinc/63/36/83/714633683.db2.gz YZRYIOFSUVCZBX-KRWDZBQOSA-N 1 2 313.401 1.944 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](CCCN3CCCC3=O)CCO2)cc1 ZINC000933625839 714633686 /nfs/dbraw/zinc/63/36/86/714633686.db2.gz YZRYIOFSUVCZBX-KRWDZBQOSA-N 1 2 313.401 1.944 20 30 DDEDLO CC(C)(O)C[C@@H](O)C[N@@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933632090 714634902 /nfs/dbraw/zinc/63/49/02/714634902.db2.gz NOKCAJIBBWZEOO-CVEARBPZSA-N 1 2 304.390 1.453 20 30 DDEDLO CC(C)(O)C[C@@H](O)C[N@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933632090 714634903 /nfs/dbraw/zinc/63/49/03/714634903.db2.gz NOKCAJIBBWZEOO-CVEARBPZSA-N 1 2 304.390 1.453 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@H](CC#N)C1 ZINC000925605884 714897637 /nfs/dbraw/zinc/89/76/37/714897637.db2.gz JBMSHBDYMTVPDP-HUUCEWRRSA-N 1 2 308.426 1.432 20 30 DDEDLO CC[C@H]([NH2+]C[C@@H](CO)[C@H]1CCOC1)c1cccc(C#N)c1O ZINC000926340735 715050480 /nfs/dbraw/zinc/05/04/80/715050480.db2.gz IAQPFMFDEWICCH-DZKIICNBSA-N 1 2 304.390 1.950 20 30 DDEDLO CC[C@@H]([NH2+]C[C@]1(OCCO)CCOC1)c1cccc(C#N)c1O ZINC000926690696 715113836 /nfs/dbraw/zinc/11/38/36/715113836.db2.gz QCTRHMZPRORZOV-NVXWUHKLSA-N 1 2 320.389 1.473 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnn3ccc(C)nc23)C1 ZINC000957287899 715818030 /nfs/dbraw/zinc/81/80/30/715818030.db2.gz ATXGHPZFRBXPSY-UHFFFAOYSA-N 1 2 313.405 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2ccc(CC)o2)C1 ZINC000958187342 716383603 /nfs/dbraw/zinc/38/36/03/716383603.db2.gz OSOJSMTXCQLJJO-YPMHNXCESA-N 1 2 312.797 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2ccc(CC)o2)C1 ZINC000958187342 716383605 /nfs/dbraw/zinc/38/36/05/716383605.db2.gz OSOJSMTXCQLJJO-YPMHNXCESA-N 1 2 312.797 1.617 20 30 DDEDLO C[N@@H+](Cc1cscn1)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960613114 716630544 /nfs/dbraw/zinc/63/05/44/716630544.db2.gz LNMMMRISEIMFDR-OAHLLOKOSA-N 1 2 303.431 1.979 20 30 DDEDLO C[N@H+](Cc1cscn1)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960613114 716630548 /nfs/dbraw/zinc/63/05/48/716630548.db2.gz LNMMMRISEIMFDR-OAHLLOKOSA-N 1 2 303.431 1.979 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H]([C@H]2CCN(CC#N)C2)C1 ZINC000961274268 716891607 /nfs/dbraw/zinc/89/16/07/716891607.db2.gz QOJCQBDWLUMFDA-CABCVRRESA-N 1 2 315.421 1.345 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nnc(C)o4)C[C@H]32)C1 ZINC000961691969 717061407 /nfs/dbraw/zinc/06/14/07/717061407.db2.gz URZFPMVXMDVTIY-IMRBUKKESA-N 1 2 302.378 1.281 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nnc(C)o4)C[C@H]32)C1 ZINC000961691969 717061408 /nfs/dbraw/zinc/06/14/08/717061408.db2.gz URZFPMVXMDVTIY-IMRBUKKESA-N 1 2 302.378 1.281 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)Cc3ccsc3)CC2)C1 ZINC000941292637 717148310 /nfs/dbraw/zinc/14/83/10/717148310.db2.gz JYMHXHPXHUTYAM-UHFFFAOYSA-N 1 2 317.458 1.142 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)CC2)C1 ZINC000941361369 717161623 /nfs/dbraw/zinc/16/16/23/717161623.db2.gz XIRLDIDSAQHVFT-PIIMJCKOSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3(c4ccccc4)CC3)CC2)C1 ZINC000941657560 717246133 /nfs/dbraw/zinc/24/61/33/717246133.db2.gz AWWHWXQSEHTIFT-UHFFFAOYSA-N 1 2 323.440 1.180 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000941825496 717338518 /nfs/dbraw/zinc/33/85/18/717338518.db2.gz LBNFBAALOBDZEQ-UHFFFAOYSA-N 1 2 318.421 1.615 20 30 DDEDLO C[C@@H]1C[N@H+](CC#Cc2ccccc2)CC[C@H]1NC(=O)c1cnn[nH]1 ZINC000942214925 717553780 /nfs/dbraw/zinc/55/37/80/717553780.db2.gz BJQARIRJGNTEQH-GDBMZVCRSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@H]1NC(=O)c1cnn[nH]1 ZINC000942214925 717553784 /nfs/dbraw/zinc/55/37/84/717553784.db2.gz BJQARIRJGNTEQH-GDBMZVCRSA-N 1 2 323.400 1.297 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)C[C@H]2C)c1 ZINC000942618410 717784756 /nfs/dbraw/zinc/78/47/56/717784756.db2.gz VLXCGKVBESYYJN-DYVFJYSZSA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)C[C@H]2C)c1 ZINC000942618410 717784762 /nfs/dbraw/zinc/78/47/62/717784762.db2.gz VLXCGKVBESYYJN-DYVFJYSZSA-N 1 2 324.384 1.691 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2nnc3ccccc3c2O)[C@@H](C)C1 ZINC000943290416 718069504 /nfs/dbraw/zinc/06/95/04/718069504.db2.gz XCWZIQKKHDYJQX-JSGCOSHPSA-N 1 2 324.384 1.409 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2nnc3ccccc3c2O)[C@@H](C)C1 ZINC000943290416 718069508 /nfs/dbraw/zinc/06/95/08/718069508.db2.gz XCWZIQKKHDYJQX-JSGCOSHPSA-N 1 2 324.384 1.409 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)[C@@H](C)C1 ZINC000944831612 718351253 /nfs/dbraw/zinc/35/12/53/718351253.db2.gz QOTVCNAJMYZYKF-JSGCOSHPSA-N 1 2 311.389 1.421 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)[C@@H](C)C1 ZINC000944831612 718351254 /nfs/dbraw/zinc/35/12/54/718351254.db2.gz QOTVCNAJMYZYKF-JSGCOSHPSA-N 1 2 311.389 1.421 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC000966572078 718592696 /nfs/dbraw/zinc/59/26/96/718592696.db2.gz YOVNZRMFIGLNMI-IAQYHMDHSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC000966572078 718592698 /nfs/dbraw/zinc/59/26/98/718592698.db2.gz YOVNZRMFIGLNMI-IAQYHMDHSA-N 1 2 324.856 1.850 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876134 718690119 /nfs/dbraw/zinc/69/01/19/718690119.db2.gz VSLFBYUZMIOSEU-AAEUAGOBSA-N 1 2 318.446 1.879 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876134 718690121 /nfs/dbraw/zinc/69/01/21/718690121.db2.gz VSLFBYUZMIOSEU-AAEUAGOBSA-N 1 2 318.446 1.879 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1cn[nH]n1 ZINC000967187733 718787452 /nfs/dbraw/zinc/78/74/52/718787452.db2.gz WVRKBFWJAKOOTI-BLLLJJGKSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1cn[nH]n1 ZINC000967187733 718787455 /nfs/dbraw/zinc/78/74/55/718787455.db2.gz WVRKBFWJAKOOTI-BLLLJJGKSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC000967187733 718787460 /nfs/dbraw/zinc/78/74/60/718787460.db2.gz WVRKBFWJAKOOTI-BLLLJJGKSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC000967187733 718787462 /nfs/dbraw/zinc/78/74/62/718787462.db2.gz WVRKBFWJAKOOTI-BLLLJJGKSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230260 719464962 /nfs/dbraw/zinc/46/49/62/719464962.db2.gz DHDDZTXSOVCEPC-KOLCDFICSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230260 719464965 /nfs/dbraw/zinc/46/49/65/719464965.db2.gz DHDDZTXSOVCEPC-KOLCDFICSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000968335219 719515804 /nfs/dbraw/zinc/51/58/04/719515804.db2.gz MVXMUAZIRKMWAV-TVQRCGJNSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000968335219 719515807 /nfs/dbraw/zinc/51/58/07/719515807.db2.gz MVXMUAZIRKMWAV-TVQRCGJNSA-N 1 2 324.812 1.306 20 30 DDEDLO C[C@@H]1CCN(CC#N)C[C@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000968498260 719624536 /nfs/dbraw/zinc/62/45/36/719624536.db2.gz YVQVAAREYQOGKY-RHSMWYFYSA-N 1 2 323.400 1.836 20 30 DDEDLO CC(C)c1cnc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000968613070 719692046 /nfs/dbraw/zinc/69/20/46/719692046.db2.gz BCYIRMUPYJAOFY-CQSZACIVSA-N 1 2 301.390 1.902 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nccnc1N ZINC000948898025 719772493 /nfs/dbraw/zinc/77/24/93/719772493.db2.gz AXQZQSOOGMBESV-OAHLLOKOSA-N 1 2 321.384 1.021 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nccnc1N ZINC000948898025 719772494 /nfs/dbraw/zinc/77/24/94/719772494.db2.gz AXQZQSOOGMBESV-OAHLLOKOSA-N 1 2 321.384 1.021 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@@H](C)c2c(C)noc2C)CC1 ZINC000948928448 719790088 /nfs/dbraw/zinc/79/00/88/719790088.db2.gz KICZEJSZUYNJFN-CYBMUJFWSA-N 1 2 303.406 1.953 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CCCO1 ZINC000948986473 719825371 /nfs/dbraw/zinc/82/53/71/719825371.db2.gz MMMIFSLVODJKNF-ZWKOTPCHSA-N 1 2 312.413 1.904 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CCCO1 ZINC000948986473 719825374 /nfs/dbraw/zinc/82/53/74/719825374.db2.gz MMMIFSLVODJKNF-ZWKOTPCHSA-N 1 2 312.413 1.904 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)c2cccc3[nH+]ccn32)CC1 ZINC000949274678 719988174 /nfs/dbraw/zinc/98/81/74/719988174.db2.gz SUSUPRVZHPYVHH-UHFFFAOYSA-N 1 2 304.781 1.845 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)Cc3ccsc3)CC2)C1 ZINC000949290136 719996652 /nfs/dbraw/zinc/99/66/52/719996652.db2.gz UZJRXPWDMJKAJL-UHFFFAOYSA-N 1 2 318.442 1.617 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)Cc3ccsc3)CC2)C1 ZINC000949290136 719996656 /nfs/dbraw/zinc/99/66/56/719996656.db2.gz UZJRXPWDMJKAJL-UHFFFAOYSA-N 1 2 318.442 1.617 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3(CC)CCC3)CC2)C1 ZINC000949444812 720091725 /nfs/dbraw/zinc/09/17/25/720091725.db2.gz MJYYWPAFWZURJY-UHFFFAOYSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3(CC)CCC3)CC2)C1 ZINC000949444812 720091730 /nfs/dbraw/zinc/09/17/30/720091730.db2.gz MJYYWPAFWZURJY-UHFFFAOYSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN2c2nccs2)CC1 ZINC000949472493 720110549 /nfs/dbraw/zinc/11/05/49/720110549.db2.gz BSZUKCNQEIETEV-ZDUSSCGKSA-N 1 2 306.435 1.442 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCCCN2C(=O)CC)C1 ZINC000950410867 720621693 /nfs/dbraw/zinc/62/16/93/720621693.db2.gz WDEOXBUQZCQVTF-OAHLLOKOSA-N 1 2 307.438 1.496 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC000970409534 720750384 /nfs/dbraw/zinc/75/03/84/720750384.db2.gz AFJCYFBVKJRFMT-YPMHNXCESA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cn(CC3CC3)nn2)C1 ZINC000970652019 720870277 /nfs/dbraw/zinc/87/02/77/720870277.db2.gz NVWCPDZFSDYUJU-LLVKDONJSA-N 1 2 323.828 1.491 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC000970724544 720910061 /nfs/dbraw/zinc/91/00/61/720910061.db2.gz FMDVWRUXPCMZNP-VXGBXAGGSA-N 1 2 322.840 1.704 20 30 DDEDLO C#CC[NH+]1CC([C@@H](C)NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000970932163 720994690 /nfs/dbraw/zinc/99/46/90/720994690.db2.gz TXGXEFKRXJQXAF-GFCCVEGCSA-N 1 2 309.373 1.155 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc(N(C)C)ccn2)C1 ZINC000970957521 721010659 /nfs/dbraw/zinc/01/06/59/721010659.db2.gz KBOJFSDDQYSHNW-GFCCVEGCSA-N 1 2 322.840 1.950 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)co1 ZINC000971377398 721265322 /nfs/dbraw/zinc/26/53/22/721265322.db2.gz YWXHJQZUCVYVHD-HNNXBMFYSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)co1 ZINC000971377398 721265325 /nfs/dbraw/zinc/26/53/25/721265325.db2.gz YWXHJQZUCVYVHD-HNNXBMFYSA-N 1 2 313.361 1.529 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(-c3ccco3)n[nH]2)C1 ZINC000952325300 721409109 /nfs/dbraw/zinc/40/91/09/721409109.db2.gz WGZBIFQBFUWGTC-UHFFFAOYSA-N 1 2 312.373 1.839 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn(-c3ccccn3)c2)C1 ZINC000952391415 721433969 /nfs/dbraw/zinc/43/39/69/721433969.db2.gz HYFFDQMEMFROIH-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C3CCC(C4CC4)CC3)[C@H]2C1 ZINC001083261906 735305875 /nfs/dbraw/zinc/30/58/75/735305875.db2.gz ROODOLBWLSRFRZ-ZGUYJTEBSA-N 1 2 316.445 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C3CCC(C4CC4)CC3)[C@H]2C1 ZINC001083261906 735305876 /nfs/dbraw/zinc/30/58/76/735305876.db2.gz ROODOLBWLSRFRZ-ZGUYJTEBSA-N 1 2 316.445 1.748 20 30 DDEDLO O=C(C(F)F)N1CC[C@H]2C[N@H+](CC#Cc3ccc(F)cc3)C[C@H]21 ZINC001075471888 735336632 /nfs/dbraw/zinc/33/66/32/735336632.db2.gz BNHXGAFAJURSQH-DZGCQCFKSA-N 1 2 322.330 1.975 20 30 DDEDLO O=C(C(F)F)N1CC[C@H]2C[N@@H+](CC#Cc3ccc(F)cc3)C[C@H]21 ZINC001075471888 735336636 /nfs/dbraw/zinc/33/66/36/735336636.db2.gz BNHXGAFAJURSQH-DZGCQCFKSA-N 1 2 322.330 1.975 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(CC)CCCC3)C2)C1 ZINC000972617067 735355937 /nfs/dbraw/zinc/35/59/37/735355937.db2.gz LKPFXCHWROXVHF-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(CC)CCCC3)C2)C1 ZINC000972617067 735355941 /nfs/dbraw/zinc/35/59/41/735355941.db2.gz LKPFXCHWROXVHF-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO C[C@@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccncc1C#N ZINC001120877640 782203932 /nfs/dbraw/zinc/20/39/32/782203932.db2.gz BTLMBZKQOBNZTC-IACUBPJLSA-N 1 2 324.388 1.161 20 30 DDEDLO C[C@@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccncc1C#N ZINC001120877640 782203939 /nfs/dbraw/zinc/20/39/39/782203939.db2.gz BTLMBZKQOBNZTC-IACUBPJLSA-N 1 2 324.388 1.161 20 30 DDEDLO C#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000972664728 735430110 /nfs/dbraw/zinc/43/01/10/735430110.db2.gz FGVCMQMNKPHMFD-OAHLLOKOSA-N 1 2 309.373 1.251 20 30 DDEDLO C#CC[N@H+](C)[C@@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000972664728 735430111 /nfs/dbraw/zinc/43/01/11/735430111.db2.gz FGVCMQMNKPHMFD-OAHLLOKOSA-N 1 2 309.373 1.251 20 30 DDEDLO CN(CC#N)[C@H]1CCCN(C(=O)[C@@H](c2cccnc2)[NH+](C)C)C1 ZINC001027697949 738563425 /nfs/dbraw/zinc/56/34/25/738563425.db2.gz ZTDZNEBAKHZQAJ-JKSUJKDBSA-N 1 2 315.421 1.131 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H](NC(=O)c3ccco3)[C@@H](O)C2)c1 ZINC001083311350 738603773 /nfs/dbraw/zinc/60/37/73/738603773.db2.gz LUYRPAGYOGJMNL-CABCVRRESA-N 1 2 311.341 1.126 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H](NC(=O)c3ccco3)[C@@H](O)C2)c1 ZINC001083311350 738603774 /nfs/dbraw/zinc/60/37/74/738603774.db2.gz LUYRPAGYOGJMNL-CABCVRRESA-N 1 2 311.341 1.126 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccsc3)[C@H]2C1 ZINC001083190372 732476482 /nfs/dbraw/zinc/47/64/82/732476482.db2.gz JGLLFZLJOOCLDX-VNQPRFMTSA-N 1 2 318.442 1.787 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccsc3)[C@H]2C1 ZINC001083190372 732476489 /nfs/dbraw/zinc/47/64/89/732476489.db2.gz JGLLFZLJOOCLDX-VNQPRFMTSA-N 1 2 318.442 1.787 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(F)ccc3Cl)[C@H]2C1 ZINC001083203674 733176257 /nfs/dbraw/zinc/17/62/57/733176257.db2.gz BNLVGVGONCQQEY-LSDHHAIUSA-N 1 2 322.767 1.638 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(F)ccc3Cl)[C@H]2C1 ZINC001083203674 733176259 /nfs/dbraw/zinc/17/62/59/733176259.db2.gz BNLVGVGONCQQEY-LSDHHAIUSA-N 1 2 322.767 1.638 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4cc[nH]c4c3)[C@H]2C1 ZINC001083208444 733348859 /nfs/dbraw/zinc/34/88/59/733348859.db2.gz PPDBKUOMXJFSQT-ZWKOTPCHSA-N 1 2 323.396 1.716 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4cc[nH]c4c3)[C@H]2C1 ZINC001083208444 733348861 /nfs/dbraw/zinc/34/88/61/733348861.db2.gz PPDBKUOMXJFSQT-ZWKOTPCHSA-N 1 2 323.396 1.716 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CCN(C)c1cc[nH+]c(C)n1)C(C)C ZINC001125488571 733584453 /nfs/dbraw/zinc/58/44/53/733584453.db2.gz VQDVFQNWNARKLF-QGZVFWFLSA-N 1 2 320.437 1.785 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(F)cc2F)C1 ZINC000891607554 734421787 /nfs/dbraw/zinc/42/17/87/734421787.db2.gz UIISRHXYZMONRR-LBPRGKRZSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(F)cc2F)C1 ZINC000891607554 734421792 /nfs/dbraw/zinc/42/17/92/734421792.db2.gz UIISRHXYZMONRR-LBPRGKRZSA-N 1 2 321.327 1.117 20 30 DDEDLO Cc1c[nH]nc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038236629 734663264 /nfs/dbraw/zinc/66/32/64/734663264.db2.gz WDPYAKSKISBVQT-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1c[nH]nc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038236629 734663269 /nfs/dbraw/zinc/66/32/69/734663269.db2.gz WDPYAKSKISBVQT-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO C#CCC[N@H+]1CCCC[C@H]1CNC(=O)c1c[nH]cc2ncnc1-2 ZINC001024453481 735834177 /nfs/dbraw/zinc/83/41/77/735834177.db2.gz XFDAYHZRXHOSRU-ZDUSSCGKSA-N 1 2 311.389 1.566 20 30 DDEDLO C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)c1c[nH]cc2ncnc1-2 ZINC001024453481 735834183 /nfs/dbraw/zinc/83/41/83/735834183.db2.gz XFDAYHZRXHOSRU-ZDUSSCGKSA-N 1 2 311.389 1.566 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)CCCNc1[nH+]cnc2c1cnn2C ZINC001114872997 751366422 /nfs/dbraw/zinc/36/64/22/751366422.db2.gz WXWWCVLNCQRBBS-QWRGUYRKSA-N 1 2 315.381 1.220 20 30 DDEDLO Cc1cc(N[C@H](CO)CNC(=O)C#CC2CC2)nc(C(C)C)[nH+]1 ZINC001121439695 782510436 /nfs/dbraw/zinc/51/04/36/782510436.db2.gz RBHJIXQWVNHNTM-AWEZNQCLSA-N 1 2 316.405 1.211 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccco3)n2CC=C)CC1 ZINC001121475745 782523413 /nfs/dbraw/zinc/52/34/13/782523413.db2.gz SWYQMNRJMCYWCB-UHFFFAOYSA-N 1 2 311.389 1.403 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cn3ccc4ccccc43)[C@H]2C1 ZINC001083279418 736344425 /nfs/dbraw/zinc/34/44/25/736344425.db2.gz YYYYYSNOLIYILH-ZWKOTPCHSA-N 1 2 323.396 1.186 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cn3ccc4ccccc43)[C@H]2C1 ZINC001083279418 736344426 /nfs/dbraw/zinc/34/44/26/736344426.db2.gz YYYYYSNOLIYILH-ZWKOTPCHSA-N 1 2 323.396 1.186 20 30 DDEDLO N#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001025237207 736384516 /nfs/dbraw/zinc/38/45/16/736384516.db2.gz KFTSKROSFRFUMY-HOTGVXAUSA-N 1 2 315.421 1.711 20 30 DDEDLO N#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001025237207 736384520 /nfs/dbraw/zinc/38/45/20/736384520.db2.gz KFTSKROSFRFUMY-HOTGVXAUSA-N 1 2 315.421 1.711 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001007970003 737324591 /nfs/dbraw/zinc/32/45/91/737324591.db2.gz UTRATHVYURYFIP-CYBMUJFWSA-N 1 2 309.797 1.663 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001007970003 737324595 /nfs/dbraw/zinc/32/45/95/737324595.db2.gz UTRATHVYURYFIP-CYBMUJFWSA-N 1 2 309.797 1.663 20 30 DDEDLO C=C(C)CCC(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105254360 737668544 /nfs/dbraw/zinc/66/85/44/737668544.db2.gz WFUJVOMPKBOWMS-UHFFFAOYSA-N 1 2 301.394 1.131 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)on1 ZINC001038049965 737712331 /nfs/dbraw/zinc/71/23/31/737712331.db2.gz HGTRRPFSGNKJAH-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)on1 ZINC001038049965 737712333 /nfs/dbraw/zinc/71/23/33/737712333.db2.gz HGTRRPFSGNKJAH-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO C[C@@H]([NH2+]CCNC(=O)c1c[nH]c(C#N)c1)c1nc(C2CC2)no1 ZINC001125978756 738039486 /nfs/dbraw/zinc/03/94/86/738039486.db2.gz XABWIJDKUXXUFO-SECBINFHSA-N 1 2 314.349 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC001028038819 738957239 /nfs/dbraw/zinc/95/72/39/738957239.db2.gz FDUKASXTPDJGGP-MGPQQGTHSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC001028038819 738957243 /nfs/dbraw/zinc/95/72/43/738957243.db2.gz FDUKASXTPDJGGP-MGPQQGTHSA-N 1 2 322.840 1.857 20 30 DDEDLO N#Cc1c(Cl)cccc1C[NH+]1CCC2(CNC(=O)N2)CC1 ZINC001139693428 739064960 /nfs/dbraw/zinc/06/49/60/739064960.db2.gz FVEMKXLPLXIGTM-UHFFFAOYSA-N 1 2 304.781 1.859 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CCC[N@H+]2Cc2ncc(C)o2)c1 ZINC001028126675 739070759 /nfs/dbraw/zinc/07/07/59/739070759.db2.gz OCPQWXWPWPFQBT-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2ncc(C)o2)c1 ZINC001028126675 739070763 /nfs/dbraw/zinc/07/07/63/739070763.db2.gz OCPQWXWPWPFQBT-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1coc(C)n1 ZINC001028146429 739091550 /nfs/dbraw/zinc/09/15/50/739091550.db2.gz GHGWXYUKASAEJB-OAGGEKHMSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1coc(C)n1 ZINC001028146429 739091551 /nfs/dbraw/zinc/09/15/51/739091551.db2.gz GHGWXYUKASAEJB-OAGGEKHMSA-N 1 2 319.405 1.655 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H]1CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001028237088 739209585 /nfs/dbraw/zinc/20/95/85/739209585.db2.gz PUSUJXRWJGBJLW-KGLIPLIRSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001028237088 739209587 /nfs/dbraw/zinc/20/95/87/739209587.db2.gz PUSUJXRWJGBJLW-KGLIPLIRSA-N 1 2 314.433 1.752 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCCO[C@H]2C(C)C)C1 ZINC001035370090 751434381 /nfs/dbraw/zinc/43/43/81/751434381.db2.gz HCZCKHUVSQSOLY-PMPSAXMXSA-N 1 2 310.438 1.441 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCCO[C@H]2C(C)C)C1 ZINC001035370090 751434383 /nfs/dbraw/zinc/43/43/83/751434383.db2.gz HCZCKHUVSQSOLY-PMPSAXMXSA-N 1 2 310.438 1.441 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(OC)ns2)C1 ZINC001035370049 751434773 /nfs/dbraw/zinc/43/47/73/751434773.db2.gz FXBYWPVOVLHSLW-LLVKDONJSA-N 1 2 311.407 1.158 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(OC)ns2)C1 ZINC001035370049 751434776 /nfs/dbraw/zinc/43/47/76/751434776.db2.gz FXBYWPVOVLHSLW-LLVKDONJSA-N 1 2 311.407 1.158 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)nn2C)C1 ZINC001035385576 751455814 /nfs/dbraw/zinc/45/58/14/751455814.db2.gz FIVOGVVFFGQIJZ-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)nn2C)C1 ZINC001035385576 751455820 /nfs/dbraw/zinc/45/58/20/751455820.db2.gz FIVOGVVFFGQIJZ-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C3CC3)cnn2C)C1 ZINC001035399469 751469132 /nfs/dbraw/zinc/46/91/32/751469132.db2.gz RSTNEHHXFXIAOT-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C3CC3)cnn2C)C1 ZINC001035399469 751469136 /nfs/dbraw/zinc/46/91/36/751469136.db2.gz RSTNEHHXFXIAOT-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2[nH]cnc2C(F)(F)F)C1 ZINC001035399292 751469155 /nfs/dbraw/zinc/46/91/55/751469155.db2.gz TURNNWLMBKXWCG-SECBINFHSA-N 1 2 318.299 1.045 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2[nH]cnc2C(F)(F)F)C1 ZINC001035399292 751469157 /nfs/dbraw/zinc/46/91/57/751469157.db2.gz TURNNWLMBKXWCG-SECBINFHSA-N 1 2 318.299 1.045 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc3occc3[nH]2)C1 ZINC001107980273 751476582 /nfs/dbraw/zinc/47/65/82/751476582.db2.gz UKFVIARPQQXHEI-MRXNPFEDSA-N 1 2 303.362 1.768 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc3occc3[nH]2)C1 ZINC001107980273 751476584 /nfs/dbraw/zinc/47/65/84/751476584.db2.gz UKFVIARPQQXHEI-MRXNPFEDSA-N 1 2 303.362 1.768 20 30 DDEDLO CC1CC(C(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]2O)C1 ZINC001083398050 739765852 /nfs/dbraw/zinc/76/58/52/739765852.db2.gz LNTIAWYLAABODH-SYNTWQANSA-N 1 2 312.413 1.246 20 30 DDEDLO CC1CC(C(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]2O)C1 ZINC001083398050 739765853 /nfs/dbraw/zinc/76/58/53/739765853.db2.gz LNTIAWYLAABODH-SYNTWQANSA-N 1 2 312.413 1.246 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2cccc(OC)n2)C1 ZINC001137536695 739820653 /nfs/dbraw/zinc/82/06/53/739820653.db2.gz SRZMTOKOHPPRJA-CQSZACIVSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2cccc(OC)n2)C1 ZINC001137536695 739820657 /nfs/dbraw/zinc/82/06/57/739820657.db2.gz SRZMTOKOHPPRJA-CQSZACIVSA-N 1 2 305.378 1.967 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2onc3c2CCCC3)C1 ZINC001035444750 751521641 /nfs/dbraw/zinc/52/16/41/751521641.db2.gz ZEWOLMLCPGIASU-ZDUSSCGKSA-N 1 2 319.405 1.560 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2onc3c2CCCC3)C1 ZINC001035444750 751521646 /nfs/dbraw/zinc/52/16/46/751521646.db2.gz ZEWOLMLCPGIASU-ZDUSSCGKSA-N 1 2 319.405 1.560 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001038425052 740292564 /nfs/dbraw/zinc/29/25/64/740292564.db2.gz DOZVKMKZDRAXBM-LLVKDONJSA-N 1 2 303.387 1.580 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CNC(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001038425052 740292565 /nfs/dbraw/zinc/29/25/65/740292565.db2.gz DOZVKMKZDRAXBM-LLVKDONJSA-N 1 2 303.387 1.580 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2coc(C(F)F)c2)C1 ZINC001035487712 751541242 /nfs/dbraw/zinc/54/12/42/751541242.db2.gz MPKXQBBPKMBAEE-LLVKDONJSA-N 1 2 300.305 1.834 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2coc(C(F)F)c2)C1 ZINC001035487712 751541243 /nfs/dbraw/zinc/54/12/43/751541243.db2.gz MPKXQBBPKMBAEE-LLVKDONJSA-N 1 2 300.305 1.834 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ocnc2C(F)F)C1 ZINC001035512198 751569341 /nfs/dbraw/zinc/56/93/41/751569341.db2.gz UPJMGVWOUPGJAC-SNVBAGLBSA-N 1 2 315.320 1.619 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ocnc2C(F)F)C1 ZINC001035512198 751569350 /nfs/dbraw/zinc/56/93/50/751569350.db2.gz UPJMGVWOUPGJAC-SNVBAGLBSA-N 1 2 315.320 1.619 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CCF ZINC001029567493 741079446 /nfs/dbraw/zinc/07/94/46/741079446.db2.gz YNIXEFJCIHLLNF-CALCHBBNSA-N 1 2 300.377 1.855 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CCF ZINC001029567493 741079451 /nfs/dbraw/zinc/07/94/51/741079451.db2.gz YNIXEFJCIHLLNF-CALCHBBNSA-N 1 2 300.377 1.855 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001035571163 751625149 /nfs/dbraw/zinc/62/51/49/751625149.db2.gz ATCVUMPRNRCYTL-AWEZNQCLSA-N 1 2 306.410 1.389 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001035571163 751625152 /nfs/dbraw/zinc/62/51/52/751625152.db2.gz ATCVUMPRNRCYTL-AWEZNQCLSA-N 1 2 306.410 1.389 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3cccnc3c2)C1 ZINC001035549915 751633422 /nfs/dbraw/zinc/63/34/22/751633422.db2.gz ZHCDHZCUCGWSIM-MRXNPFEDSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3cccnc3c2)C1 ZINC001035549915 751633427 /nfs/dbraw/zinc/63/34/27/751633427.db2.gz ZHCDHZCUCGWSIM-MRXNPFEDSA-N 1 2 311.385 1.851 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)n(C)c2C)C1 ZINC001035596478 751652233 /nfs/dbraw/zinc/65/22/33/751652233.db2.gz BJCVZLZSPMMAKN-OAHLLOKOSA-N 1 2 305.422 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C)n(C)c2C)C1 ZINC001035596478 751652237 /nfs/dbraw/zinc/65/22/37/751652237.db2.gz BJCVZLZSPMMAKN-OAHLLOKOSA-N 1 2 305.422 1.649 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(C[N@H+](C)Cc2nncs2)CC1 ZINC001029947477 741637016 /nfs/dbraw/zinc/63/70/16/741637016.db2.gz ANGAEBSUSZAANM-LLVKDONJSA-N 1 2 307.423 1.368 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(C[N@@H+](C)Cc2nncs2)CC1 ZINC001029947477 741637019 /nfs/dbraw/zinc/63/70/19/741637019.db2.gz ANGAEBSUSZAANM-LLVKDONJSA-N 1 2 307.423 1.368 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccnc(OC(C)C)c2)C1 ZINC001035576907 751664940 /nfs/dbraw/zinc/66/49/40/751664940.db2.gz JOTYIJFOZSSCSM-OAHLLOKOSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccnc(OC(C)C)c2)C1 ZINC001035576907 751664948 /nfs/dbraw/zinc/66/49/48/751664948.db2.gz JOTYIJFOZSSCSM-OAHLLOKOSA-N 1 2 319.405 1.485 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2C[N@H+](CC=C(C)C)CCO2)cn1 ZINC001035581166 751672382 /nfs/dbraw/zinc/67/23/82/751672382.db2.gz HNKUJLHXEPLVBE-QGZVFWFLSA-N 1 2 313.401 1.460 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2C[N@@H+](CC=C(C)C)CCO2)cn1 ZINC001035581166 751672387 /nfs/dbraw/zinc/67/23/87/751672387.db2.gz HNKUJLHXEPLVBE-QGZVFWFLSA-N 1 2 313.401 1.460 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098243851 741895080 /nfs/dbraw/zinc/89/50/80/741895080.db2.gz HFJMZODEPVSOPC-BZNIZROVSA-N 1 2 320.441 1.765 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2occ3c2CCCC3)C1 ZINC001035586723 751679837 /nfs/dbraw/zinc/67/98/37/751679837.db2.gz JJGWIKXHIAEKLE-OAHLLOKOSA-N 1 2 316.401 1.612 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2occ3c2CCCC3)C1 ZINC001035586723 751679839 /nfs/dbraw/zinc/67/98/39/751679839.db2.gz JJGWIKXHIAEKLE-OAHLLOKOSA-N 1 2 316.401 1.612 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C1=COCCO1 ZINC001038023277 751683425 /nfs/dbraw/zinc/68/34/25/751683425.db2.gz HXIFCVPRHIRSOQ-INIZCTEOSA-N 1 2 312.369 1.117 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C1=COCCO1 ZINC001038023277 751683429 /nfs/dbraw/zinc/68/34/29/751683429.db2.gz HXIFCVPRHIRSOQ-INIZCTEOSA-N 1 2 312.369 1.117 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccnc2OC(C)C)C1 ZINC001035630139 751702855 /nfs/dbraw/zinc/70/28/55/751702855.db2.gz LWNPSWFHISTEQM-CQSZACIVSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccnc2OC(C)C)C1 ZINC001035630139 751702863 /nfs/dbraw/zinc/70/28/63/751702863.db2.gz LWNPSWFHISTEQM-CQSZACIVSA-N 1 2 319.405 1.485 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncc3n2CCCC3)C1 ZINC001035608264 751712893 /nfs/dbraw/zinc/71/28/93/751712893.db2.gz ZPAQZPBSKZVNOM-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncc3n2CCCC3)C1 ZINC001035608264 751712900 /nfs/dbraw/zinc/71/29/00/751712900.db2.gz ZPAQZPBSKZVNOM-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2scnc2Cl)C1 ZINC001035610781 751716853 /nfs/dbraw/zinc/71/68/53/751716853.db2.gz RLAJLNPFMFJFBD-JTQLQIEISA-N 1 2 313.810 1.250 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2scnc2Cl)C1 ZINC001035610781 751716859 /nfs/dbraw/zinc/71/68/59/751716859.db2.gz RLAJLNPFMFJFBD-JTQLQIEISA-N 1 2 313.810 1.250 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cncc3ccccc32)C1 ZINC001035613717 751720356 /nfs/dbraw/zinc/72/03/56/751720356.db2.gz JEQKEXIQQFQYCF-MRXNPFEDSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cncc3ccccc32)C1 ZINC001035613717 751720362 /nfs/dbraw/zinc/72/03/62/751720362.db2.gz JEQKEXIQQFQYCF-MRXNPFEDSA-N 1 2 323.396 1.689 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001076558380 742787452 /nfs/dbraw/zinc/78/74/52/742787452.db2.gz RARLHRFZBKUWMW-XJKSGUPXSA-N 1 2 309.373 1.128 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001076558380 742787455 /nfs/dbraw/zinc/78/74/55/742787455.db2.gz RARLHRFZBKUWMW-XJKSGUPXSA-N 1 2 309.373 1.128 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C[C@@H](C)CC)C2)nn1 ZINC001098664572 742814619 /nfs/dbraw/zinc/81/46/19/742814619.db2.gz PIXZVMRYKFYGEK-HOCLYGCPSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001076692338 742904965 /nfs/dbraw/zinc/90/49/65/742904965.db2.gz PLYFDARYVRYKLE-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077016871 743173648 /nfs/dbraw/zinc/17/36/48/743173648.db2.gz FMNUGCQHVVTDEJ-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077016871 743173652 /nfs/dbraw/zinc/17/36/52/743173652.db2.gz FMNUGCQHVVTDEJ-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001181469913 743207791 /nfs/dbraw/zinc/20/77/91/743207791.db2.gz VRFNNJQDJWIHGX-CHWSQXEVSA-N 1 2 322.409 1.304 20 30 DDEDLO Cc1cc(C[NH+]2CCC(NC(=O)c3c[nH]c(C#N)c3)CC2)no1 ZINC001002628812 743292480 /nfs/dbraw/zinc/29/24/80/743292480.db2.gz AQQVLIDYAKBEFJ-UHFFFAOYSA-N 1 2 313.361 1.577 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)CCNC(=O)Cn1cc[nH+]c1 ZINC001077186654 743303121 /nfs/dbraw/zinc/30/31/21/743303121.db2.gz IZAJWUTUFLQAAW-UONOGXRCSA-N 1 2 306.410 1.496 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001182113237 743481689 /nfs/dbraw/zinc/48/16/89/743481689.db2.gz PHLOQUIFFZXENG-CYBMUJFWSA-N 1 2 304.394 1.516 20 30 DDEDLO C#CCOCCC(=O)NCC[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001127027578 743613490 /nfs/dbraw/zinc/61/34/90/743613490.db2.gz VAJSHQQTNAOJFV-LBPRGKRZSA-N 1 2 322.409 1.174 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(F)cc(C)cc2F)[C@@H](O)C1 ZINC001083627019 743619703 /nfs/dbraw/zinc/61/97/03/743619703.db2.gz AIZXSNRIDPZBIP-KGLIPLIRSA-N 1 2 308.328 1.071 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)cc(C)cc2F)[C@@H](O)C1 ZINC001083627019 743619708 /nfs/dbraw/zinc/61/97/08/743619708.db2.gz AIZXSNRIDPZBIP-KGLIPLIRSA-N 1 2 308.328 1.071 20 30 DDEDLO C=CCCCC(=O)NCC[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060233515 743704271 /nfs/dbraw/zinc/70/42/71/743704271.db2.gz WYBZAUDAZMRXCF-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(o2)c(F)ccc3C)[C@@H](O)C1 ZINC001083665008 743707408 /nfs/dbraw/zinc/70/74/08/743707408.db2.gz KVSJFFPXSNJMEX-KGLIPLIRSA-N 1 2 316.332 1.288 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(o2)c(F)ccc3C)[C@@H](O)C1 ZINC001083665008 743707410 /nfs/dbraw/zinc/70/74/10/743707410.db2.gz KVSJFFPXSNJMEX-KGLIPLIRSA-N 1 2 316.332 1.288 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ncn3cc(Cl)ccc23)C1 ZINC001030337335 744058471 /nfs/dbraw/zinc/05/84/71/744058471.db2.gz QDEMJBFGVMBVFM-UHFFFAOYSA-N 1 2 302.765 1.425 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001184637871 744076641 /nfs/dbraw/zinc/07/66/41/744076641.db2.gz MGTHQBKJCUTSBR-CJNGLKHVSA-N 1 2 322.409 1.297 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccncn2)CC[N@@H+]1Cc1ccccc1C#N ZINC001088930734 744376915 /nfs/dbraw/zinc/37/69/15/744376915.db2.gz STGOZYARMNNNQQ-CJNGLKHVSA-N 1 2 321.384 1.741 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccncn2)CC[N@H+]1Cc1ccccc1C#N ZINC001088930734 744376917 /nfs/dbraw/zinc/37/69/17/744376917.db2.gz STGOZYARMNNNQQ-CJNGLKHVSA-N 1 2 321.384 1.741 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncc(C(N)=O)c2)[C@H]1C ZINC001089045926 744472445 /nfs/dbraw/zinc/47/24/45/744472445.db2.gz NEZJAFFUAJXKPX-MFKMUULPSA-N 1 2 322.796 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncc(C(N)=O)c2)[C@H]1C ZINC001089045926 744472447 /nfs/dbraw/zinc/47/24/47/744472447.db2.gz NEZJAFFUAJXKPX-MFKMUULPSA-N 1 2 322.796 1.126 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)C1 ZINC001077485478 744493819 /nfs/dbraw/zinc/49/38/19/744493819.db2.gz XWDVAEIRSHTIAS-IAGOWNOFSA-N 1 2 312.413 1.211 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)C1 ZINC001077485478 744493821 /nfs/dbraw/zinc/49/38/21/744493821.db2.gz XWDVAEIRSHTIAS-IAGOWNOFSA-N 1 2 312.413 1.211 20 30 DDEDLO COc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H](C)C#N)C3)sn1 ZINC001110394395 744578638 /nfs/dbraw/zinc/57/86/38/744578638.db2.gz ADEMXRILUIQNCQ-WFFHOREQSA-N 1 2 320.418 1.533 20 30 DDEDLO COc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H](C)C#N)C3)sn1 ZINC001110394395 744578641 /nfs/dbraw/zinc/57/86/41/744578641.db2.gz ADEMXRILUIQNCQ-WFFHOREQSA-N 1 2 320.418 1.533 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccccc1 ZINC001110395177 744581169 /nfs/dbraw/zinc/58/11/69/744581169.db2.gz XYRARYWZKVKKHC-HPBDAGMYSA-N 1 2 307.397 1.919 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccccc1 ZINC001110395177 744581170 /nfs/dbraw/zinc/58/11/70/744581170.db2.gz XYRARYWZKVKKHC-HPBDAGMYSA-N 1 2 307.397 1.919 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccccc1 ZINC001110395176 744581393 /nfs/dbraw/zinc/58/13/93/744581393.db2.gz XYRARYWZKVKKHC-DDBAPUKQSA-N 1 2 307.397 1.919 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccccc1 ZINC001110395176 744581396 /nfs/dbraw/zinc/58/13/96/744581396.db2.gz XYRARYWZKVKKHC-DDBAPUKQSA-N 1 2 307.397 1.919 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc3nnc(C)n3c2)C1 ZINC001015028333 744626120 /nfs/dbraw/zinc/62/61/20/744626120.db2.gz OQGKZORJXOSCOT-ZDUSSCGKSA-N 1 2 319.796 1.594 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc3nnc(C)n3c2)C1 ZINC001015028333 744626125 /nfs/dbraw/zinc/62/61/25/744626125.db2.gz OQGKZORJXOSCOT-ZDUSSCGKSA-N 1 2 319.796 1.594 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001077499584 744647846 /nfs/dbraw/zinc/64/78/46/744647846.db2.gz MZEDFCOJOZCXGV-LBPRGKRZSA-N 1 2 306.410 1.186 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(C)co3)[C@@H]2C1 ZINC001188846985 744782653 /nfs/dbraw/zinc/78/26/53/744782653.db2.gz QJHJJAWEZRXEEH-UONOGXRCSA-N 1 2 317.389 1.037 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(C)co3)[C@@H]2C1 ZINC001188846985 744782656 /nfs/dbraw/zinc/78/26/56/744782656.db2.gz QJHJJAWEZRXEEH-UONOGXRCSA-N 1 2 317.389 1.037 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001188902913 744791446 /nfs/dbraw/zinc/79/14/46/744791446.db2.gz BUWRXLOMCPPGCB-GOEBONIOSA-N 1 2 315.421 1.041 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001188902913 744791447 /nfs/dbraw/zinc/79/14/47/744791447.db2.gz BUWRXLOMCPPGCB-GOEBONIOSA-N 1 2 315.421 1.041 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188966767 744801534 /nfs/dbraw/zinc/80/15/34/744801534.db2.gz ZOWYYCKKDWNBQQ-AWEZNQCLSA-N 1 2 321.450 1.192 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188966767 744801537 /nfs/dbraw/zinc/80/15/37/744801537.db2.gz ZOWYYCKKDWNBQQ-AWEZNQCLSA-N 1 2 321.450 1.192 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([N@H+](C)CC(=O)Nc2cc(C)no2)C1 ZINC001189280039 744857553 /nfs/dbraw/zinc/85/75/53/744857553.db2.gz PIMCJISJCGXNCJ-CYBMUJFWSA-N 1 2 320.393 1.420 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([N@@H+](C)CC(=O)Nc2cc(C)no2)C1 ZINC001189280039 744857556 /nfs/dbraw/zinc/85/75/56/744857556.db2.gz PIMCJISJCGXNCJ-CYBMUJFWSA-N 1 2 320.393 1.420 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2csc(CC)n2)C1 ZINC001189369335 744877575 /nfs/dbraw/zinc/87/75/75/744877575.db2.gz CAJFLPQGYGJEQT-AWEZNQCLSA-N 1 2 323.462 1.941 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2csc(CC)n2)C1 ZINC001189369335 744877578 /nfs/dbraw/zinc/87/75/78/744877578.db2.gz CAJFLPQGYGJEQT-AWEZNQCLSA-N 1 2 323.462 1.941 20 30 DDEDLO C=CCCCC(=O)NCC[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001060463053 745146354 /nfs/dbraw/zinc/14/63/54/745146354.db2.gz PQHKITAINQPZJY-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO C#CCOc1ccc(C(=O)NC2C[NH+]([C@@H](C)COC)C2)cc1 ZINC001031066584 745289615 /nfs/dbraw/zinc/28/96/15/745289615.db2.gz HBTNAUZBRPIWES-ZDUSSCGKSA-N 1 2 302.374 1.148 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001190718258 745292427 /nfs/dbraw/zinc/29/24/27/745292427.db2.gz XPGJIJPFRYFSAP-HZPDHXFCSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001190718258 745292431 /nfs/dbraw/zinc/29/24/31/745292431.db2.gz XPGJIJPFRYFSAP-HZPDHXFCSA-N 1 2 319.380 1.405 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2ccccc2OC(C)C)C1 ZINC001031100492 745375205 /nfs/dbraw/zinc/37/52/05/745375205.db2.gz QDOUVQVRNQFDAR-UHFFFAOYSA-N 1 2 300.402 1.840 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)[C@@H](O)C1 ZINC001083723472 745425539 /nfs/dbraw/zinc/42/55/39/745425539.db2.gz LTEPYJOSHZFMEE-KKOKHZNYSA-N 1 2 324.833 1.300 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)[C@@H](O)C1 ZINC001083723472 745425541 /nfs/dbraw/zinc/42/55/41/745425541.db2.gz LTEPYJOSHZFMEE-KKOKHZNYSA-N 1 2 324.833 1.300 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cc3c([nH]c2=O)CCCC3)C1 ZINC001031131203 745455812 /nfs/dbraw/zinc/45/58/12/745455812.db2.gz FBMQXWOVDSGYIN-UHFFFAOYSA-N 1 2 301.390 1.656 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001192288258 745745298 /nfs/dbraw/zinc/74/52/98/745745298.db2.gz HSTXNOVURDSOOT-HUUCEWRRSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001192288258 745745302 /nfs/dbraw/zinc/74/53/02/745745302.db2.gz HSTXNOVURDSOOT-HUUCEWRRSA-N 1 2 321.446 1.306 20 30 DDEDLO Cn1nccc1C[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007133531 752031469 /nfs/dbraw/zinc/03/14/69/752031469.db2.gz IUHJNQVHVSDGPD-CYBMUJFWSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1nccc1C[N@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007133531 752031472 /nfs/dbraw/zinc/03/14/72/752031472.db2.gz IUHJNQVHVSDGPD-CYBMUJFWSA-N 1 2 312.377 1.014 20 30 DDEDLO C[C@@H](CCNc1ncccc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001106625427 745853577 /nfs/dbraw/zinc/85/35/77/745853577.db2.gz KBMJPXMTAWBHLM-IACUBPJLSA-N 1 2 324.388 1.787 20 30 DDEDLO C[C@@H](CCNc1ncccc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001106625427 745853583 /nfs/dbraw/zinc/85/35/83/745853583.db2.gz KBMJPXMTAWBHLM-IACUBPJLSA-N 1 2 324.388 1.787 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1O ZINC001193320233 746061502 /nfs/dbraw/zinc/06/15/02/746061502.db2.gz PFHJLOGEWYWYNW-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1O ZINC001193320233 746061508 /nfs/dbraw/zinc/06/15/08/746061508.db2.gz PFHJLOGEWYWYNW-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CCC[N@H+](CCF)[C@H]2C)nn1 ZINC000993983968 746410359 /nfs/dbraw/zinc/41/03/59/746410359.db2.gz IBMYIEJQGUVGIP-STQMWFEESA-N 1 2 309.389 1.406 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CCC[N@@H+](CCF)[C@H]2C)nn1 ZINC000993983968 746410364 /nfs/dbraw/zinc/41/03/64/746410364.db2.gz IBMYIEJQGUVGIP-STQMWFEESA-N 1 2 309.389 1.406 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)[C@H]2C[C@@H]2C)CC1 ZINC001194700129 746429351 /nfs/dbraw/zinc/42/93/51/746429351.db2.gz ZJCFGLUAPLVWNN-HOTGVXAUSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)[C@H]2C[C@@H]2C)CC1 ZINC001194700129 746429355 /nfs/dbraw/zinc/42/93/55/746429355.db2.gz ZJCFGLUAPLVWNN-HOTGVXAUSA-N 1 2 321.465 1.601 20 30 DDEDLO CC1(CC(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@H]2O)CC1 ZINC001194815161 746466396 /nfs/dbraw/zinc/46/63/96/746466396.db2.gz BXUXIJZCPXUNHB-IAGOWNOFSA-N 1 2 312.413 1.390 20 30 DDEDLO CC1(CC(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@H]2O)CC1 ZINC001194815161 746466399 /nfs/dbraw/zinc/46/63/99/746466399.db2.gz BXUXIJZCPXUNHB-IAGOWNOFSA-N 1 2 312.413 1.390 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(F)=C2CCCC2)CC1 ZINC001195480934 746621183 /nfs/dbraw/zinc/62/11/83/746621183.db2.gz PZWDZPHJRYZJHG-UHFFFAOYSA-N 1 2 323.412 1.621 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(F)=C2CCCC2)CC1 ZINC001195480934 746621185 /nfs/dbraw/zinc/62/11/85/746621185.db2.gz PZWDZPHJRYZJHG-UHFFFAOYSA-N 1 2 323.412 1.621 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@H]1O ZINC001195567547 746655562 /nfs/dbraw/zinc/65/55/62/746655562.db2.gz PFSDSRKBQPMMSG-NUEKZKHPSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@H]1O ZINC001195567547 746655566 /nfs/dbraw/zinc/65/55/66/746655566.db2.gz PFSDSRKBQPMMSG-NUEKZKHPSA-N 1 2 318.421 1.049 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+]([C@H](C)c2cnccn2)CC1 ZINC001195774879 746701023 /nfs/dbraw/zinc/70/10/23/746701023.db2.gz GILAYKCLDPLLRA-OAHLLOKOSA-N 1 2 300.406 1.731 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+]([C@H](C)c2cnccn2)CC1 ZINC001195774879 746701026 /nfs/dbraw/zinc/70/10/26/746701026.db2.gz GILAYKCLDPLLRA-OAHLLOKOSA-N 1 2 300.406 1.731 20 30 DDEDLO CCc1ccnc(C(=O)N2CCC[N@H+](CC#CCOC)CC2)c1 ZINC001195926989 746738380 /nfs/dbraw/zinc/73/83/80/746738380.db2.gz YDJDFGIJHLNQRJ-UHFFFAOYSA-N 1 2 315.417 1.442 20 30 DDEDLO CCc1ccnc(C(=O)N2CCC[N@@H+](CC#CCOC)CC2)c1 ZINC001195926989 746738382 /nfs/dbraw/zinc/73/83/82/746738382.db2.gz YDJDFGIJHLNQRJ-UHFFFAOYSA-N 1 2 315.417 1.442 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@]2(C)C=CCC2)CC1 ZINC001196789518 746962294 /nfs/dbraw/zinc/96/22/94/746962294.db2.gz AKONRGBIGNEZSB-QAPCUYQASA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@]2(C)C=CCC2)CC1 ZINC001196789518 746962299 /nfs/dbraw/zinc/96/22/99/746962299.db2.gz AKONRGBIGNEZSB-QAPCUYQASA-N 1 2 317.433 1.015 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@]2(C)CCC[C@H]2C)CC1 ZINC001197061693 747051729 /nfs/dbraw/zinc/05/17/29/747051729.db2.gz FOLOVBHTOIVIJR-QAPCUYQASA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@]2(C)CCC[C@H]2C)CC1 ZINC001197061693 747051737 /nfs/dbraw/zinc/05/17/37/747051737.db2.gz FOLOVBHTOIVIJR-QAPCUYQASA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnn(-c3cccc(F)c3)c2)CC1 ZINC001197064432 747052509 /nfs/dbraw/zinc/05/25/09/747052509.db2.gz NQGLQXJFYSFLPQ-UHFFFAOYSA-N 1 2 314.364 1.955 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC(C)(C)C2)CC1 ZINC001197118594 747071798 /nfs/dbraw/zinc/07/17/98/747071798.db2.gz RAJASRDJLNUHHJ-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC(C)(C)C2)CC1 ZINC001197118594 747071806 /nfs/dbraw/zinc/07/18/06/747071806.db2.gz RAJASRDJLNUHHJ-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)[C@H](F)c2ccccc2)CC1 ZINC001197270013 747121824 /nfs/dbraw/zinc/12/18/24/747121824.db2.gz YEDKFTWDTGZJGK-QGZVFWFLSA-N 1 2 318.392 1.881 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)[C@H](F)c2ccccc2)CC1 ZINC001197270013 747121826 /nfs/dbraw/zinc/12/18/26/747121826.db2.gz YEDKFTWDTGZJGK-QGZVFWFLSA-N 1 2 318.392 1.881 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(C)CC=C)CC1 ZINC001197291631 747131558 /nfs/dbraw/zinc/13/15/58/747131558.db2.gz IMPFGYZPDXYDPN-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)CC=C)CC1 ZINC001197291631 747131561 /nfs/dbraw/zinc/13/15/61/747131561.db2.gz IMPFGYZPDXYDPN-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO CCn1ccnc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031635760 747272943 /nfs/dbraw/zinc/27/29/43/747272943.db2.gz BSSSRPSYZPTHGC-UHFFFAOYSA-N 1 2 323.400 1.636 20 30 DDEDLO CCc1cc(C(=O)N2CCC[N@H+](CC#CCOC)CC2)no1 ZINC001197850903 747279993 /nfs/dbraw/zinc/27/99/93/747279993.db2.gz ZSYFSSHBSVOBBO-UHFFFAOYSA-N 1 2 305.378 1.035 20 30 DDEDLO CCc1cc(C(=O)N2CCC[N@@H+](CC#CCOC)CC2)no1 ZINC001197850903 747279999 /nfs/dbraw/zinc/27/99/99/747279999.db2.gz ZSYFSSHBSVOBBO-UHFFFAOYSA-N 1 2 305.378 1.035 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001110502663 747372974 /nfs/dbraw/zinc/37/29/74/747372974.db2.gz QKYZYJWASSHOFQ-OEYIWLLWSA-N 1 2 321.446 1.692 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001110502663 747372984 /nfs/dbraw/zinc/37/29/84/747372984.db2.gz QKYZYJWASSHOFQ-OEYIWLLWSA-N 1 2 321.446 1.692 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2c(Cl)cnn2CC)C1 ZINC001031697052 747404712 /nfs/dbraw/zinc/40/47/12/747404712.db2.gz ARLIHGUWOFFKMF-UHFFFAOYSA-N 1 2 317.220 1.971 20 30 DDEDLO CCCCc1noc(C[NH2+][C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000998745342 752191730 /nfs/dbraw/zinc/19/17/30/752191730.db2.gz HCXHQTPOGOZRGM-CHWSQXEVSA-N 1 2 319.409 1.652 20 30 DDEDLO C=CCn1cccc1C(=O)NCC1C[NH+](Cc2cncn2C)C1 ZINC001031731203 747590177 /nfs/dbraw/zinc/59/01/77/747590177.db2.gz OKCRUPXCXCWUFN-UHFFFAOYSA-N 1 2 313.405 1.269 20 30 DDEDLO CCCCCC(C)(C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001198910237 747658709 /nfs/dbraw/zinc/65/87/09/747658709.db2.gz BJYIXAIWUNUGQF-HZPDHXFCSA-N 1 2 324.465 1.404 20 30 DDEDLO CCCCCC(C)(C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001198910237 747658711 /nfs/dbraw/zinc/65/87/11/747658711.db2.gz BJYIXAIWUNUGQF-HZPDHXFCSA-N 1 2 324.465 1.404 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCOC2)C1 ZINC001032942476 747722722 /nfs/dbraw/zinc/72/27/22/747722722.db2.gz MYKBSPHIWLSQNQ-NEPJUHHUSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCOC2)C1 ZINC001032942476 747722723 /nfs/dbraw/zinc/72/27/23/747722723.db2.gz MYKBSPHIWLSQNQ-NEPJUHHUSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+][C@@H](C)c1csnn1 ZINC001127609252 747765265 /nfs/dbraw/zinc/76/52/65/747765265.db2.gz SCDWKKDDNMWQMH-LOWVWBTDSA-N 1 2 310.423 1.286 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001212321070 747855615 /nfs/dbraw/zinc/85/56/15/747855615.db2.gz QJWBVASSMCEHCN-IAGOWNOFSA-N 1 2 320.433 1.220 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001212321070 747855625 /nfs/dbraw/zinc/85/56/25/747855625.db2.gz QJWBVASSMCEHCN-IAGOWNOFSA-N 1 2 320.433 1.220 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001212338134 747913923 /nfs/dbraw/zinc/91/39/23/747913923.db2.gz FJTUOQIFJIGPTP-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001212338134 747913926 /nfs/dbraw/zinc/91/39/26/747913926.db2.gz FJTUOQIFJIGPTP-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(C)n2C)[C@H](O)C1 ZINC001090063252 747972397 /nfs/dbraw/zinc/97/23/97/747972397.db2.gz FTZWWDPBWFPJBO-TZMCWYRMSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)n2C)[C@H](O)C1 ZINC001090063252 747972400 /nfs/dbraw/zinc/97/24/00/747972400.db2.gz FTZWWDPBWFPJBO-TZMCWYRMSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2nn(C)cc2Cl)C1 ZINC001033110755 748373940 /nfs/dbraw/zinc/37/39/40/748373940.db2.gz JMDZQCWICGTYFO-JTQLQIEISA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2nn(C)cc2Cl)C1 ZINC001033110755 748373947 /nfs/dbraw/zinc/37/39/47/748373947.db2.gz JMDZQCWICGTYFO-JTQLQIEISA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCC[C@H](C(N)=O)C2)C1 ZINC001032020203 748443166 /nfs/dbraw/zinc/44/31/66/748443166.db2.gz HDBVWANZQWFGJK-STQMWFEESA-N 1 2 313.829 1.079 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)cc1C#N ZINC001201289241 748455527 /nfs/dbraw/zinc/45/55/27/748455527.db2.gz CTBGYWJWLROGLW-UHFFFAOYSA-N 1 2 319.430 1.726 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2csc(=O)[nH]2)CC1 ZINC001004519496 748519184 /nfs/dbraw/zinc/51/91/84/748519184.db2.gz DDFPDEYKQGHZQK-CYBMUJFWSA-N 1 2 320.418 1.689 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2csc(=O)[nH]2)CC1 ZINC001004519496 748519186 /nfs/dbraw/zinc/51/91/86/748519186.db2.gz DDFPDEYKQGHZQK-CYBMUJFWSA-N 1 2 320.418 1.689 20 30 DDEDLO C[C@H]1OCC[C@H]1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001032074593 748576361 /nfs/dbraw/zinc/57/63/61/748576361.db2.gz TXKPGUPBKVHQJD-CXAGYDPISA-N 1 2 313.401 1.531 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033159022 748675296 /nfs/dbraw/zinc/67/52/96/748675296.db2.gz RXMZZBHBODTNMU-AVGNSLFASA-N 1 2 302.802 1.076 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033159022 748675299 /nfs/dbraw/zinc/67/52/99/748675299.db2.gz RXMZZBHBODTNMU-AVGNSLFASA-N 1 2 302.802 1.076 20 30 DDEDLO CCc1cc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)no1 ZINC001032135747 748728471 /nfs/dbraw/zinc/72/84/71/748728471.db2.gz KQAKLQJGMMVSBV-UHFFFAOYSA-N 1 2 324.384 1.970 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+][C@H](C)c1nnc(C)o1)OCC ZINC001128539596 748764309 /nfs/dbraw/zinc/76/43/09/748764309.db2.gz HZJMCSWUHAWYKW-DGCLKSJQSA-N 1 2 310.398 1.516 20 30 DDEDLO CC1(NC(=O)Cn2cc[nH+]c2)CCN(c2ccc(C#N)nc2)CC1 ZINC001110593555 748807413 /nfs/dbraw/zinc/80/74/13/748807413.db2.gz FYPQRYKDRXALLJ-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(NC(=O)c2cccc3[nH+]ccn32)C1 ZINC000995534687 748898030 /nfs/dbraw/zinc/89/80/30/748898030.db2.gz OHSXCSWPEPUTFN-UHFFFAOYSA-N 1 2 312.373 1.487 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095315141 748920712 /nfs/dbraw/zinc/92/07/12/748920712.db2.gz QOBYIMHKFUVBQH-AWEZNQCLSA-N 1 2 302.378 1.228 20 30 DDEDLO C=CCOCCCC(=O)N[C@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110738145 748964888 /nfs/dbraw/zinc/96/48/88/748964888.db2.gz UJLDHNXWPJVGET-QGZVFWFLSA-N 1 2 318.421 1.853 20 30 DDEDLO C[C@]1(NC(=O)CCc2[nH]cc[nH+]2)CCN(c2ccc(C#N)nc2)C1 ZINC001110752554 748981508 /nfs/dbraw/zinc/98/15/08/748981508.db2.gz YOYQFKXDJVNIBQ-KRWDZBQOSA-N 1 2 324.388 1.394 20 30 DDEDLO C[C@@]1(NC(=O)CCc2[nH]cc[nH+]2)CCN(c2ccc(C#N)nc2)C1 ZINC001110752555 748981517 /nfs/dbraw/zinc/98/15/17/748981517.db2.gz YOYQFKXDJVNIBQ-QGZVFWFLSA-N 1 2 324.388 1.394 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@]1(C)CCN(c2ccc(C#N)nc2)C1 ZINC001110771523 748998220 /nfs/dbraw/zinc/99/82/20/748998220.db2.gz FDKWWJOUPUDAEK-KRWDZBQOSA-N 1 2 324.388 1.014 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001114329502 749061775 /nfs/dbraw/zinc/06/17/75/749061775.db2.gz XXVPVNMVXYSVTK-VIKVFOODSA-N 1 2 302.422 1.569 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001114329502 749061779 /nfs/dbraw/zinc/06/17/79/749061779.db2.gz XXVPVNMVXYSVTK-VIKVFOODSA-N 1 2 302.422 1.569 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2CC)C1 ZINC001077583710 749143179 /nfs/dbraw/zinc/14/31/79/749143179.db2.gz JLZYYLSLCBHPIC-BSDSXHPESA-N 1 2 312.413 1.147 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2CC)C1 ZINC001077583710 749143181 /nfs/dbraw/zinc/14/31/81/749143181.db2.gz JLZYYLSLCBHPIC-BSDSXHPESA-N 1 2 312.413 1.147 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccn3nnnc3c2)C1 ZINC001033319654 749179532 /nfs/dbraw/zinc/17/95/32/749179532.db2.gz RCBIVEDQQXQGKD-LBPRGKRZSA-N 1 2 320.784 1.023 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccn3nnnc3c2)C1 ZINC001033319654 749179535 /nfs/dbraw/zinc/17/95/35/749179535.db2.gz RCBIVEDQQXQGKD-LBPRGKRZSA-N 1 2 320.784 1.023 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(C2CC2)C2CC2)C1 ZINC001108331387 761926328 /nfs/dbraw/zinc/92/63/28/761926328.db2.gz PDMUEXMAMKYNOL-SFHVURJKSA-N 1 2 304.434 1.653 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C2CC2)C2CC2)C1 ZINC001108331387 761926330 /nfs/dbraw/zinc/92/63/30/761926330.db2.gz PDMUEXMAMKYNOL-SFHVURJKSA-N 1 2 304.434 1.653 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)nn(C)c2C)C1 ZINC001108106934 749216184 /nfs/dbraw/zinc/21/61/84/749216184.db2.gz LFUIOZDQJRDNNE-MRXNPFEDSA-N 1 2 306.410 1.044 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)nn(C)c2C)C1 ZINC001108106934 749216189 /nfs/dbraw/zinc/21/61/89/749216189.db2.gz LFUIOZDQJRDNNE-MRXNPFEDSA-N 1 2 306.410 1.044 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114456745 749238836 /nfs/dbraw/zinc/23/88/36/749238836.db2.gz ASMZJLRNJHARPE-TZMCWYRMSA-N 1 2 318.421 1.707 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C[C@@H](C)CC)nn2)C1 ZINC001107165109 749452623 /nfs/dbraw/zinc/45/26/23/749452623.db2.gz OFBGPXAQSUXXNL-ZDUSSCGKSA-N 1 2 303.410 1.211 20 30 DDEDLO N#Cc1cccnc1NC/C=C/CNC(=O)CCc1[nH]cc[nH+]1 ZINC001107174156 749492812 /nfs/dbraw/zinc/49/28/12/749492812.db2.gz WXOXMXAKLYFKJV-OWOJBTEDSA-N 1 2 310.361 1.393 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(C4CC4)CCC3)nn2)C1 ZINC001107190522 749526119 /nfs/dbraw/zinc/52/61/19/749526119.db2.gz WAQIMSFWQIPVGW-UHFFFAOYSA-N 1 2 315.421 1.517 20 30 DDEDLO Cn1ccnc1C[N@H+]1CC=C(CNC(=O)c2c[nH]c(C#N)c2)CC1 ZINC001000589328 761969484 /nfs/dbraw/zinc/96/94/84/761969484.db2.gz XHXWYGPZQCOFOJ-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CC=C(CNC(=O)c2c[nH]c(C#N)c2)CC1 ZINC001000589328 761969487 /nfs/dbraw/zinc/96/94/87/761969487.db2.gz XHXWYGPZQCOFOJ-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCCC2CC2)[C@H](OC)C1 ZINC001213314871 749660855 /nfs/dbraw/zinc/66/08/55/749660855.db2.gz OKZNHSCSGSYUOX-HZPDHXFCSA-N 1 2 308.422 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCCC2CC2)[C@H](OC)C1 ZINC001213314871 749660861 /nfs/dbraw/zinc/66/08/61/749660861.db2.gz OKZNHSCSGSYUOX-HZPDHXFCSA-N 1 2 308.422 1.032 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C/CNc2cc[nH+]c(C)n2)cn1 ZINC001107270592 749716632 /nfs/dbraw/zinc/71/66/32/749716632.db2.gz DTXFYUHKIYSXQZ-SNAWJCMRSA-N 1 2 307.357 1.559 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@](C)(CNc2cc[nH+]c(C)n2)C1 ZINC001110838852 749743694 /nfs/dbraw/zinc/74/36/94/749743694.db2.gz QEVBLAJMIOJFRA-INIZCTEOSA-N 1 2 304.394 1.638 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC=CCNc1ccc(C#N)nc1 ZINC001107284897 749750134 /nfs/dbraw/zinc/75/01/34/749750134.db2.gz HMZIODXEHNNMHZ-IHWYPQMZSA-N 1 2 310.361 1.312 20 30 DDEDLO Cn1ccc(C[N@H+]2CC=C(CNC(=O)c3ccc(C#N)[nH]3)CC2)n1 ZINC001000595081 761977414 /nfs/dbraw/zinc/97/74/14/761977414.db2.gz ATNPRIAEKJHKPY-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO Cn1ccc(C[N@@H+]2CC=C(CNC(=O)c3ccc(C#N)[nH]3)CC2)n1 ZINC001000595081 761977420 /nfs/dbraw/zinc/97/74/20/761977420.db2.gz ATNPRIAEKJHKPY-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)COCCCCCC)C1 ZINC001108365338 761984005 /nfs/dbraw/zinc/98/40/05/761984005.db2.gz GQLDAXLFQDDFHE-SFHVURJKSA-N 1 2 324.465 1.814 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)COCCCCCC)C1 ZINC001108365338 761984011 /nfs/dbraw/zinc/98/40/11/761984011.db2.gz GQLDAXLFQDDFHE-SFHVURJKSA-N 1 2 324.465 1.814 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cocn2)cc1 ZINC001032312674 749850803 /nfs/dbraw/zinc/85/08/03/749850803.db2.gz USAMRSSRUXQBFH-GJZGRUSLSA-N 1 2 308.341 1.645 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cocn2)cc1 ZINC001032312674 749850808 /nfs/dbraw/zinc/85/08/08/749850808.db2.gz USAMRSSRUXQBFH-GJZGRUSLSA-N 1 2 308.341 1.645 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(CCOC)CCC2)C1 ZINC001108367229 761987058 /nfs/dbraw/zinc/98/70/58/761987058.db2.gz ADJMFBQKHJYRQS-QGZVFWFLSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2(CCOC)CCC2)C1 ZINC001108367229 761987060 /nfs/dbraw/zinc/98/70/60/761987060.db2.gz ADJMFBQKHJYRQS-QGZVFWFLSA-N 1 2 322.449 1.424 20 30 DDEDLO CCCc1nc(C)c(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](C)C#N)o1 ZINC001032316784 749923483 /nfs/dbraw/zinc/92/34/83/749923483.db2.gz GOJZDPIYXAYPRI-UBHSHLNASA-N 1 2 316.405 1.880 20 30 DDEDLO CCCc1nc(C)c(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](C)C#N)o1 ZINC001032316784 749923490 /nfs/dbraw/zinc/92/34/90/749923490.db2.gz GOJZDPIYXAYPRI-UBHSHLNASA-N 1 2 316.405 1.880 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cc(=O)n(C)cn2)C1 ZINC001033859637 750001242 /nfs/dbraw/zinc/00/12/42/750001242.db2.gz CZDNYAMPUPOFDW-LBPRGKRZSA-N 1 2 324.812 1.069 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(=O)n(C)cn2)C1 ZINC001033859637 750001248 /nfs/dbraw/zinc/00/12/48/750001248.db2.gz CZDNYAMPUPOFDW-LBPRGKRZSA-N 1 2 324.812 1.069 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccccc2C)C1 ZINC001108390109 762011643 /nfs/dbraw/zinc/01/16/43/762011643.db2.gz SSSYREPDVNGUMG-GOSISDBHSA-N 1 2 302.418 1.931 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccccc2C)C1 ZINC001108390109 762011652 /nfs/dbraw/zinc/01/16/52/762011652.db2.gz SSSYREPDVNGUMG-GOSISDBHSA-N 1 2 302.418 1.931 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001110933093 750239200 /nfs/dbraw/zinc/23/92/00/750239200.db2.gz FYAJWZPPCXQFIH-XNISGKROSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001110933093 750239205 /nfs/dbraw/zinc/23/92/05/750239205.db2.gz FYAJWZPPCXQFIH-XNISGKROSA-N 1 2 317.437 1.639 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccccn2)c1 ZINC001032338043 750245626 /nfs/dbraw/zinc/24/56/26/750245626.db2.gz MYLMUSNSNRFQNX-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccccn2)c1 ZINC001032338043 750245632 /nfs/dbraw/zinc/24/56/32/750245632.db2.gz MYLMUSNSNRFQNX-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CC)CC1)C2 ZINC001110983351 750280576 /nfs/dbraw/zinc/28/05/76/750280576.db2.gz OTJIFJDEMHILLX-MCIONIFRSA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CC)CC1)C2 ZINC001110983351 750280581 /nfs/dbraw/zinc/28/05/81/750280581.db2.gz OTJIFJDEMHILLX-MCIONIFRSA-N 1 2 305.422 1.200 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2ccccn2)C1 ZINC001108113145 750358013 /nfs/dbraw/zinc/35/80/13/750358013.db2.gz GCFXKBIABPWXSX-GOSISDBHSA-N 1 2 315.417 1.245 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2ccccn2)C1 ZINC001108113145 750358017 /nfs/dbraw/zinc/35/80/17/750358017.db2.gz GCFXKBIABPWXSX-GOSISDBHSA-N 1 2 315.417 1.245 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cn(C)nc2C(C)C)C1 ZINC001108394789 762037459 /nfs/dbraw/zinc/03/74/59/762037459.db2.gz JWNPMBNSCSOIIB-KRWDZBQOSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cn(C)nc2C(C)C)C1 ZINC001108394789 762037469 /nfs/dbraw/zinc/03/74/69/762037469.db2.gz JWNPMBNSCSOIIB-KRWDZBQOSA-N 1 2 320.437 1.550 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(=O)n1C)C2 ZINC001095869818 750609496 /nfs/dbraw/zinc/60/94/96/750609496.db2.gz RXWXLNISKLGASA-VWYCJHECSA-N 1 2 310.785 1.213 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(=O)n1C)C2 ZINC001095869818 750609502 /nfs/dbraw/zinc/60/95/02/750609502.db2.gz RXWXLNISKLGASA-VWYCJHECSA-N 1 2 310.785 1.213 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N(C)C1CCN(CC#N)CC1)C2 ZINC001005624444 750712924 /nfs/dbraw/zinc/71/29/24/750712924.db2.gz QTJLPKVXQAWMRI-CYBMUJFWSA-N 1 2 315.421 1.269 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N(C)C1CCN(CC#N)CC1)CC2 ZINC001005624444 750712929 /nfs/dbraw/zinc/71/29/29/750712929.db2.gz QTJLPKVXQAWMRI-CYBMUJFWSA-N 1 2 315.421 1.269 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001107956407 750884974 /nfs/dbraw/zinc/88/49/74/750884974.db2.gz KSCIGGRZPINENW-JQWIXIFHSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001107956407 750884979 /nfs/dbraw/zinc/88/49/79/750884979.db2.gz KSCIGGRZPINENW-JQWIXIFHSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001107956407 750884985 /nfs/dbraw/zinc/88/49/85/750884985.db2.gz KSCIGGRZPINENW-JQWIXIFHSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001107956407 750884993 /nfs/dbraw/zinc/88/49/93/750884993.db2.gz KSCIGGRZPINENW-JQWIXIFHSA-N 1 2 312.307 1.670 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc2cnccc21 ZINC001032477897 750970461 /nfs/dbraw/zinc/97/04/61/750970461.db2.gz VVUSSLYBGOBTQY-IRXDYDNUSA-N 1 2 305.381 1.696 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc2cnccc21 ZINC001032477897 750970465 /nfs/dbraw/zinc/97/04/65/750970465.db2.gz VVUSSLYBGOBTQY-IRXDYDNUSA-N 1 2 305.381 1.696 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001032487317 751020689 /nfs/dbraw/zinc/02/06/89/751020689.db2.gz MIRGLZBIVLQBNN-RYUDHWBXSA-N 1 2 315.398 1.674 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001032487317 751020695 /nfs/dbraw/zinc/02/06/95/751020695.db2.gz MIRGLZBIVLQBNN-RYUDHWBXSA-N 1 2 315.398 1.674 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@H]2CCCN2C(=O)C#CC2CC2)no1 ZINC001034934977 751046516 /nfs/dbraw/zinc/04/65/16/751046516.db2.gz BYFXYBMEEMHFQT-SMDDNHRTSA-N 1 2 302.378 1.433 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C2CC2)c1Cl ZINC001032497177 751077991 /nfs/dbraw/zinc/07/79/91/751077991.db2.gz KDCKRVVBUKBFHD-QWRGUYRKSA-N 1 2 304.781 1.472 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C2CC2)c1Cl ZINC001032497177 751077997 /nfs/dbraw/zinc/07/79/97/751077997.db2.gz KDCKRVVBUKBFHD-QWRGUYRKSA-N 1 2 304.781 1.472 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)ncn1 ZINC001032516359 751167609 /nfs/dbraw/zinc/16/76/09/751167609.db2.gz SZGMTVRLLUQBLG-QWRGUYRKSA-N 1 2 324.306 1.417 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)ncn1 ZINC001032516359 751167611 /nfs/dbraw/zinc/16/76/11/751167611.db2.gz SZGMTVRLLUQBLG-QWRGUYRKSA-N 1 2 324.306 1.417 20 30 DDEDLO C[C@H](NC(=O)c1cccc2[nH+]ccn21)C1CCN(CC#N)CC1 ZINC000997670876 751199337 /nfs/dbraw/zinc/19/93/37/751199337.db2.gz WUZSRVCLEYVFLE-ZDUSSCGKSA-N 1 2 311.389 1.688 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001066794950 751332426 /nfs/dbraw/zinc/33/24/26/751332426.db2.gz XHPPULQEUIHVGQ-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO Cn1cncc1C(=O)N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001007805008 752364927 /nfs/dbraw/zinc/36/49/27/752364927.db2.gz OGZLCZVAPYEYAO-KRWDZBQOSA-N 1 2 322.412 1.666 20 30 DDEDLO Cn1cncc1C(=O)N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001007805008 752364935 /nfs/dbraw/zinc/36/49/35/752364935.db2.gz OGZLCZVAPYEYAO-KRWDZBQOSA-N 1 2 322.412 1.666 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)cc2cn[nH]c21 ZINC001032682971 752710989 /nfs/dbraw/zinc/71/09/89/752710989.db2.gz QIECFZQSHKAHSX-GJZGRUSLSA-N 1 2 308.385 1.793 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)cc2cn[nH]c21 ZINC001032682971 752710993 /nfs/dbraw/zinc/71/09/93/752710993.db2.gz QIECFZQSHKAHSX-GJZGRUSLSA-N 1 2 308.385 1.793 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)cc2c[nH]nc21 ZINC001032682971 752711000 /nfs/dbraw/zinc/71/10/00/752711000.db2.gz QIECFZQSHKAHSX-GJZGRUSLSA-N 1 2 308.385 1.793 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)cc2c[nH]nc21 ZINC001032682971 752711005 /nfs/dbraw/zinc/71/10/05/752711005.db2.gz QIECFZQSHKAHSX-GJZGRUSLSA-N 1 2 308.385 1.793 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C(C)(C)CC(F)F)C1 ZINC001107988504 752794932 /nfs/dbraw/zinc/79/49/32/752794932.db2.gz FRZXUOMZRBXJRL-MRXNPFEDSA-N 1 2 316.392 1.898 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)CC(F)F)C1 ZINC001107988504 752794938 /nfs/dbraw/zinc/79/49/38/752794938.db2.gz FRZXUOMZRBXJRL-MRXNPFEDSA-N 1 2 316.392 1.898 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001008558424 752798613 /nfs/dbraw/zinc/79/86/13/752798613.db2.gz NQXNVGNXKLDKFT-FRRDWIJNSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001008558424 752798617 /nfs/dbraw/zinc/79/86/17/752798617.db2.gz NQXNVGNXKLDKFT-FRRDWIJNSA-N 1 2 313.829 1.044 20 30 DDEDLO CN(C[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1)c1ccc(C#N)nc1 ZINC001062182824 752815294 /nfs/dbraw/zinc/81/52/94/752815294.db2.gz XMFFVGSIYNJXNL-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001062292783 752866345 /nfs/dbraw/zinc/86/63/45/752866345.db2.gz NRYNORNOEUSQTO-CQSZACIVSA-N 1 2 318.421 1.724 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(C(F)(F)F)c1 ZINC001032714535 752888434 /nfs/dbraw/zinc/88/84/34/752888434.db2.gz KRAVJBCHBCGVLE-RYUDHWBXSA-N 1 2 309.291 1.632 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(C(F)(F)F)c1 ZINC001032714535 752888440 /nfs/dbraw/zinc/88/84/40/752888440.db2.gz KRAVJBCHBCGVLE-RYUDHWBXSA-N 1 2 309.291 1.632 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@H]2CCC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001008812633 752929243 /nfs/dbraw/zinc/92/92/43/752929243.db2.gz AQPHTFJLCPDGPB-INIZCTEOSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001008812633 752929249 /nfs/dbraw/zinc/92/92/49/752929249.db2.gz AQPHTFJLCPDGPB-INIZCTEOSA-N 1 2 323.400 1.984 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H]([NH2+]Cc3nc(C)no3)C2)cc1 ZINC000999870970 753219881 /nfs/dbraw/zinc/21/98/81/753219881.db2.gz LOLNTVQGHAVKCD-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(F)cccc1Cl ZINC001032749622 753460049 /nfs/dbraw/zinc/46/00/49/753460049.db2.gz PSHWEBDZOICDFJ-RYUDHWBXSA-N 1 2 306.768 1.940 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(F)cccc1Cl ZINC001032749622 753460054 /nfs/dbraw/zinc/46/00/54/753460054.db2.gz PSHWEBDZOICDFJ-RYUDHWBXSA-N 1 2 306.768 1.940 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001108002726 753479524 /nfs/dbraw/zinc/47/95/24/753479524.db2.gz PVDACMWUSPWGFJ-CHWSQXEVSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc3nonc3c2)C1 ZINC001108179639 753567381 /nfs/dbraw/zinc/56/73/81/753567381.db2.gz WPVJDSSGOGTEDC-MRXNPFEDSA-N 1 2 316.361 1.230 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc3nonc3c2)C1 ZINC001108179639 753567386 /nfs/dbraw/zinc/56/73/86/753567386.db2.gz WPVJDSSGOGTEDC-MRXNPFEDSA-N 1 2 316.361 1.230 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)CC(F)(F)F)C1 ZINC001108181028 753582972 /nfs/dbraw/zinc/58/29/72/753582972.db2.gz RHDWOOJQVZQOHR-JSGCOSHPSA-N 1 2 320.355 1.805 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)CC(F)(F)F)C1 ZINC001108181028 753582974 /nfs/dbraw/zinc/58/29/74/753582974.db2.gz RHDWOOJQVZQOHR-JSGCOSHPSA-N 1 2 320.355 1.805 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)cn1 ZINC001010118622 753623391 /nfs/dbraw/zinc/62/33/91/753623391.db2.gz RTWPIBBGQAKQDH-MRXNPFEDSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)cn1 ZINC001010118622 753623393 /nfs/dbraw/zinc/62/33/93/753623393.db2.gz RTWPIBBGQAKQDH-MRXNPFEDSA-N 1 2 309.373 1.296 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CN1c1ccncc1C#N ZINC001039818651 762228974 /nfs/dbraw/zinc/22/89/74/762228974.db2.gz PJONLHCEDUMLJS-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3ccccc3C)CCC2)C1 ZINC001078089292 753723598 /nfs/dbraw/zinc/72/35/98/753723598.db2.gz SUFLSEJZWYFUSB-IAGOWNOFSA-N 1 2 312.413 1.211 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3ccccc3C)CCC2)C1 ZINC001078089292 753723601 /nfs/dbraw/zinc/72/36/01/753723601.db2.gz SUFLSEJZWYFUSB-IAGOWNOFSA-N 1 2 312.413 1.211 20 30 DDEDLO CCc1cc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)[nH]n1 ZINC001010290523 753738300 /nfs/dbraw/zinc/73/83/00/753738300.db2.gz FSTJOLJWCPZKSX-KRWDZBQOSA-N 1 2 322.412 1.828 20 30 DDEDLO CCc1cc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)[nH]n1 ZINC001010290523 753738302 /nfs/dbraw/zinc/73/83/02/753738302.db2.gz FSTJOLJWCPZKSX-KRWDZBQOSA-N 1 2 322.412 1.828 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063174740 754021088 /nfs/dbraw/zinc/02/10/88/754021088.db2.gz DIFNVHBGHRULSQ-UHFFFAOYSA-N 1 2 318.421 1.641 20 30 DDEDLO Cc1nc(N2CCCC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001063174499 754021603 /nfs/dbraw/zinc/02/16/03/754021603.db2.gz PICKVECZIHWXRS-CQSZACIVSA-N 1 2 324.388 1.774 20 30 DDEDLO C#CCCCC(=O)NC1(CNC(=O)CCn2cc[nH+]c2)CCC1 ZINC001063786934 754346997 /nfs/dbraw/zinc/34/69/97/754346997.db2.gz MDPRGVXDVWRUQK-UHFFFAOYSA-N 1 2 316.405 1.232 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001078755802 754352938 /nfs/dbraw/zinc/35/29/38/754352938.db2.gz ALPQSMZDQAVZOF-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccncc2CC)C1 ZINC001108040868 754606348 /nfs/dbraw/zinc/60/63/48/754606348.db2.gz VWUUFRQCXWEGTN-KRWDZBQOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccncc2CC)C1 ZINC001108040868 754606354 /nfs/dbraw/zinc/60/63/54/754606354.db2.gz VWUUFRQCXWEGTN-KRWDZBQOSA-N 1 2 303.406 1.651 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCCc2nn(C)cc21 ZINC001038626496 755211653 /nfs/dbraw/zinc/21/16/53/755211653.db2.gz PUXLMRLBCGOGCA-ZIAGYGMSSA-N 1 2 300.406 1.054 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCCc2nn(C)cc21 ZINC001038626496 755211656 /nfs/dbraw/zinc/21/16/56/755211656.db2.gz PUXLMRLBCGOGCA-ZIAGYGMSSA-N 1 2 300.406 1.054 20 30 DDEDLO CCn1nncc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001014368213 755700834 /nfs/dbraw/zinc/70/08/34/755700834.db2.gz HEAPWWBSIOLVOP-MRXNPFEDSA-N 1 2 323.400 1.154 20 30 DDEDLO CCn1nncc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001014368213 755700837 /nfs/dbraw/zinc/70/08/37/755700837.db2.gz HEAPWWBSIOLVOP-MRXNPFEDSA-N 1 2 323.400 1.154 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2snc(Cl)c2Cl)C1 ZINC001014566989 755801227 /nfs/dbraw/zinc/80/12/27/755801227.db2.gz RSZSVRZPEKTABY-ZETCQYMHSA-N 1 2 304.202 1.887 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2snc(Cl)c2Cl)C1 ZINC001014566989 755801232 /nfs/dbraw/zinc/80/12/32/755801232.db2.gz RSZSVRZPEKTABY-ZETCQYMHSA-N 1 2 304.202 1.887 20 30 DDEDLO C=CCCCC(=O)N(CC)C1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001080387686 755874960 /nfs/dbraw/zinc/87/49/60/755874960.db2.gz LURWPXGNWWTDOF-UHFFFAOYSA-N 1 2 318.421 1.676 20 30 DDEDLO CCc1n[nH]cc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015057391 756097067 /nfs/dbraw/zinc/09/70/67/756097067.db2.gz JDTOZHJJSNIKDI-HNNXBMFYSA-N 1 2 323.400 1.848 20 30 DDEDLO CCc1n[nH]cc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015057391 756097069 /nfs/dbraw/zinc/09/70/69/756097069.db2.gz JDTOZHJJSNIKDI-HNNXBMFYSA-N 1 2 323.400 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2nn(CC)nc2C)C1 ZINC001081051002 756197482 /nfs/dbraw/zinc/19/74/82/756197482.db2.gz HEPFBOIXLJHOOF-BXKDBHETSA-N 1 2 311.817 1.409 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2nn(CC)nc2C)C1 ZINC001081051002 756197486 /nfs/dbraw/zinc/19/74/86/756197486.db2.gz HEPFBOIXLJHOOF-BXKDBHETSA-N 1 2 311.817 1.409 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cnco3)C2)cc1F ZINC001015445203 756306618 /nfs/dbraw/zinc/30/66/18/756306618.db2.gz VUQNIDPQLYJSQX-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cnco3)C2)cc1F ZINC001015445203 756306624 /nfs/dbraw/zinc/30/66/24/756306624.db2.gz VUQNIDPQLYJSQX-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1Nc1ncccc1C#N ZINC001067112630 756325528 /nfs/dbraw/zinc/32/55/28/756325528.db2.gz GDDMIPMRKAYVPU-JSGCOSHPSA-N 1 2 310.361 1.109 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@@H]2C)ccc1C#N ZINC001067113401 756333713 /nfs/dbraw/zinc/33/37/13/756333713.db2.gz MJNZLHWFXMLFAU-SWLSCSKDSA-N 1 2 324.388 1.417 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C=C1CCC1 ZINC001081520316 756368944 /nfs/dbraw/zinc/36/89/44/756368944.db2.gz QLXADBGDNVCERY-RTBURBONSA-N 1 2 324.424 1.964 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C=C1CCC1 ZINC001081520316 756368948 /nfs/dbraw/zinc/36/89/48/756368948.db2.gz QLXADBGDNVCERY-RTBURBONSA-N 1 2 324.424 1.964 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)n1C ZINC001015616197 756415787 /nfs/dbraw/zinc/41/57/87/756415787.db2.gz QNIWHNXLTSEPJL-QGZVFWFLSA-N 1 2 322.412 1.584 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n1C ZINC001015616197 756415789 /nfs/dbraw/zinc/41/57/89/756415789.db2.gz QNIWHNXLTSEPJL-QGZVFWFLSA-N 1 2 322.412 1.584 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)nc(OC)c2)C1 ZINC001015622169 756420655 /nfs/dbraw/zinc/42/06/55/756420655.db2.gz RIBQJMPYPXVGHK-CYBMUJFWSA-N 1 2 309.797 1.955 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)nc(OC)c2)C1 ZINC001015622169 756420657 /nfs/dbraw/zinc/42/06/57/756420657.db2.gz RIBQJMPYPXVGHK-CYBMUJFWSA-N 1 2 309.797 1.955 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(F)cc2F)[C@H](OC)C1 ZINC001081840717 756510405 /nfs/dbraw/zinc/51/04/05/756510405.db2.gz RMUGTTIVSWJRNK-HUUCEWRRSA-N 1 2 308.328 1.335 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(F)cc2F)[C@H](OC)C1 ZINC001081840717 756510408 /nfs/dbraw/zinc/51/04/08/756510408.db2.gz RMUGTTIVSWJRNK-HUUCEWRRSA-N 1 2 308.328 1.335 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnc(C3CC3)[nH]c2=O)C1 ZINC001015802497 756560178 /nfs/dbraw/zinc/56/01/78/756560178.db2.gz AQIXOQVNKHITSI-LLVKDONJSA-N 1 2 322.796 1.616 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnc(C3CC3)[nH]c2=O)C1 ZINC001015802497 756560183 /nfs/dbraw/zinc/56/01/83/756560183.db2.gz AQIXOQVNKHITSI-LLVKDONJSA-N 1 2 322.796 1.616 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cnn(C(C)C)c2Cl)[C@H](OC)C1 ZINC001081990213 756576377 /nfs/dbraw/zinc/57/63/77/756576377.db2.gz VMSUELYHPSPYET-CHWSQXEVSA-N 1 2 324.812 1.180 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cnn(C(C)C)c2Cl)[C@H](OC)C1 ZINC001081990213 756576380 /nfs/dbraw/zinc/57/63/80/756576380.db2.gz VMSUELYHPSPYET-CHWSQXEVSA-N 1 2 324.812 1.180 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CC[C@@]2(C1)CCCN(CC#N)C2 ZINC001040310492 762484809 /nfs/dbraw/zinc/48/48/09/762484809.db2.gz PFBZOFQIKYRFRR-KRWDZBQOSA-N 1 2 315.421 1.191 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2noc3c2CCCCC3)[C@H](OC)C1 ZINC001082186886 756679925 /nfs/dbraw/zinc/67/99/25/756679925.db2.gz AIAZJHILAHUHDQ-UKRRQHHQSA-N 1 2 317.389 1.006 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2noc3c2CCCCC3)[C@H](OC)C1 ZINC001082186886 756679931 /nfs/dbraw/zinc/67/99/31/756679931.db2.gz AIAZJHILAHUHDQ-UKRRQHHQSA-N 1 2 317.389 1.006 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2oc(CC)cc2C)[C@H](OC)C1 ZINC001082327837 756732911 /nfs/dbraw/zinc/73/29/11/756732911.db2.gz RLOYOZDIBMSSRS-HUUCEWRRSA-N 1 2 304.390 1.603 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2oc(CC)cc2C)[C@H](OC)C1 ZINC001082327837 756732913 /nfs/dbraw/zinc/73/29/13/756732913.db2.gz RLOYOZDIBMSSRS-HUUCEWRRSA-N 1 2 304.390 1.603 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC001082451157 756791616 /nfs/dbraw/zinc/79/16/16/756791616.db2.gz RGGRORZXDDEZDZ-NQBHXWOUSA-N 1 2 317.393 1.118 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@@H]2CN(C(=O)C#CC3CC3)C[C@H]2C)no1 ZINC001082504963 756841521 /nfs/dbraw/zinc/84/15/21/756841521.db2.gz YWSHNCDDHURAKT-UHIISALHSA-N 1 2 302.378 1.289 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001097262602 757028726 /nfs/dbraw/zinc/02/87/26/757028726.db2.gz UIGLTLKREJBICI-OKILXGFUSA-N 1 2 310.361 1.368 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001097262602 757028729 /nfs/dbraw/zinc/02/87/29/757028729.db2.gz UIGLTLKREJBICI-OKILXGFUSA-N 1 2 310.361 1.368 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(F)c3C)[C@H]2C1 ZINC001083090001 757130412 /nfs/dbraw/zinc/13/04/12/757130412.db2.gz CIMQCQJKKYLISU-DLBZAZTESA-N 1 2 316.376 1.683 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(F)c3C)[C@H]2C1 ZINC001083090001 757130417 /nfs/dbraw/zinc/13/04/17/757130417.db2.gz CIMQCQJKKYLISU-DLBZAZTESA-N 1 2 316.376 1.683 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CC3(C)CCCCC3)[C@H]2C1 ZINC001083138524 757150982 /nfs/dbraw/zinc/15/09/82/757150982.db2.gz CGMXSWXRHOFMKU-JKSUJKDBSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CC3(C)CCCCC3)[C@H]2C1 ZINC001083138524 757150987 /nfs/dbraw/zinc/15/09/87/757150987.db2.gz CGMXSWXRHOFMKU-JKSUJKDBSA-N 1 2 304.434 1.892 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@H]2CNC(=O)Cn2cc[nH+]c2)cn1 ZINC001097428803 757176953 /nfs/dbraw/zinc/17/69/53/757176953.db2.gz ICABJKADDMKCQX-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@@H]2CNC(=O)Cc2c[nH]c[nH+]2)cn1 ZINC001097466946 757192905 /nfs/dbraw/zinc/19/29/05/757192905.db2.gz RTIGNOQMZZUGLK-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1conc1C(F)(F)F)C2 ZINC001097552604 757239503 /nfs/dbraw/zinc/23/95/03/757239503.db2.gz YTOOHLZQLWVJII-IEBDPFPHSA-N 1 2 313.279 1.662 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1conc1C(F)(F)F)C2 ZINC001097552604 757239506 /nfs/dbraw/zinc/23/95/06/757239506.db2.gz YTOOHLZQLWVJII-IEBDPFPHSA-N 1 2 313.279 1.662 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@@H]3CN(C(=O)C(F)F)[C@@H]3C2)ccc1F ZINC001084141404 757309830 /nfs/dbraw/zinc/30/98/30/757309830.db2.gz GVVDTCJRSXYXDO-BXUZGUMPSA-N 1 2 323.318 1.995 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@@H]3CN(C(=O)C(F)F)[C@@H]3C2)ccc1F ZINC001084141404 757309838 /nfs/dbraw/zinc/30/98/38/757309838.db2.gz GVVDTCJRSXYXDO-BXUZGUMPSA-N 1 2 323.318 1.995 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC23CC[NH+](Cc2cnon2)CC3)C1 ZINC001016817690 757375618 /nfs/dbraw/zinc/37/56/18/757375618.db2.gz NZEMVTARJKELHQ-AWEZNQCLSA-N 1 2 316.405 1.897 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001084235463 757435735 /nfs/dbraw/zinc/43/57/35/757435735.db2.gz DAWIJCSXMRWIMV-CYZMBNFOSA-N 1 2 304.419 1.644 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001084235463 757435739 /nfs/dbraw/zinc/43/57/39/757435739.db2.gz DAWIJCSXMRWIMV-CYZMBNFOSA-N 1 2 304.419 1.644 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(OC)ns3)[C@@H]2C1 ZINC001084354047 757509285 /nfs/dbraw/zinc/50/92/85/757509285.db2.gz LJQBKVHKFMQXSF-VXGBXAGGSA-N 1 2 305.403 1.321 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(OC)ns3)[C@@H]2C1 ZINC001084354047 757509293 /nfs/dbraw/zinc/50/92/93/757509293.db2.gz LJQBKVHKFMQXSF-VXGBXAGGSA-N 1 2 305.403 1.321 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cnn4c3CCC4)[C@@H]2C1 ZINC001084389610 757548641 /nfs/dbraw/zinc/54/86/41/757548641.db2.gz ILTYAAJNDJEJLE-IUODEOHRSA-N 1 2 320.824 1.728 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cnn4c3CCC4)[C@@H]2C1 ZINC001084389610 757548644 /nfs/dbraw/zinc/54/86/44/757548644.db2.gz ILTYAAJNDJEJLE-IUODEOHRSA-N 1 2 320.824 1.728 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCc4[nH]nnc4C3)[C@@H]2C1 ZINC001084772571 757902392 /nfs/dbraw/zinc/90/23/92/757902392.db2.gz HRWQKRPOUYRJGA-WOSRLPQWSA-N 1 2 315.421 1.018 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCc4[nH]nnc4C3)[C@@H]2C1 ZINC001084772571 757902399 /nfs/dbraw/zinc/90/23/99/757902399.db2.gz HRWQKRPOUYRJGA-WOSRLPQWSA-N 1 2 315.421 1.018 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCc4nn[nH]c4C3)[C@@H]2C1 ZINC001084772571 757902407 /nfs/dbraw/zinc/90/24/07/757902407.db2.gz HRWQKRPOUYRJGA-WOSRLPQWSA-N 1 2 315.421 1.018 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCc4nn[nH]c4C3)[C@@H]2C1 ZINC001084772571 757902416 /nfs/dbraw/zinc/90/24/16/757902416.db2.gz HRWQKRPOUYRJGA-WOSRLPQWSA-N 1 2 315.421 1.018 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2c(=O)o1 ZINC001017586591 758053070 /nfs/dbraw/zinc/05/30/70/758053070.db2.gz FLUIZBKGFDHXOO-GASCZTMLSA-N 1 2 322.364 1.715 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2c(=O)o1 ZINC001017586591 758053072 /nfs/dbraw/zinc/05/30/72/758053072.db2.gz FLUIZBKGFDHXOO-GASCZTMLSA-N 1 2 322.364 1.715 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C2CC2)nn1C ZINC001017594265 758061577 /nfs/dbraw/zinc/06/15/77/758061577.db2.gz SGAXAAWDOSTIHI-GASCZTMLSA-N 1 2 312.417 1.610 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C2CC2)nn1C ZINC001017594265 758061590 /nfs/dbraw/zinc/06/15/90/758061590.db2.gz SGAXAAWDOSTIHI-GASCZTMLSA-N 1 2 312.417 1.610 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2c(n1)CCCC2 ZINC001017598708 758066434 /nfs/dbraw/zinc/06/64/34/758066434.db2.gz JOZCKUYEVZAQRS-GASCZTMLSA-N 1 2 312.417 1.532 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2c(n1)CCCC2 ZINC001017598708 758066446 /nfs/dbraw/zinc/06/64/46/758066446.db2.gz JOZCKUYEVZAQRS-GASCZTMLSA-N 1 2 312.417 1.532 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCC[C@@H](OC)C1 ZINC001017607476 758075234 /nfs/dbraw/zinc/07/52/34/758075234.db2.gz YRDHMBDZYPSBHD-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCC[C@@H](OC)C1 ZINC001017607476 758075245 /nfs/dbraw/zinc/07/52/45/758075245.db2.gz YRDHMBDZYPSBHD-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2n(n1)CCCC2 ZINC001017644981 758115521 /nfs/dbraw/zinc/11/55/21/758115521.db2.gz CARHJJRSNWCJSJ-GASCZTMLSA-N 1 2 300.406 1.694 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2n(n1)CCCC2 ZINC001017644981 758115524 /nfs/dbraw/zinc/11/55/24/758115524.db2.gz CARHJJRSNWCJSJ-GASCZTMLSA-N 1 2 300.406 1.694 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nc2ccccc2[nH]1 ZINC001017713428 758176556 /nfs/dbraw/zinc/17/65/56/758176556.db2.gz JURILAWIDCDKCH-GASCZTMLSA-N 1 2 322.412 1.804 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nc2ccccc2[nH]1 ZINC001017713428 758176557 /nfs/dbraw/zinc/17/65/57/758176557.db2.gz JURILAWIDCDKCH-GASCZTMLSA-N 1 2 322.412 1.804 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2[nH]c(CC)nc21 ZINC001017760386 758212946 /nfs/dbraw/zinc/21/29/46/758212946.db2.gz CGMOCOWKOZCIMT-BETUJISGSA-N 1 2 323.400 1.442 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2[nH]c(CC)nc21 ZINC001017760386 758212951 /nfs/dbraw/zinc/21/29/51/758212951.db2.gz CGMOCOWKOZCIMT-BETUJISGSA-N 1 2 323.400 1.442 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1OCCc2ccccc21 ZINC001017784239 758238189 /nfs/dbraw/zinc/23/81/89/758238189.db2.gz BVOSWLXAAKSDOD-ZIFCJYIRSA-N 1 2 324.424 1.999 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1OCCc2ccccc21 ZINC001017784239 758238194 /nfs/dbraw/zinc/23/81/94/758238194.db2.gz BVOSWLXAAKSDOD-ZIFCJYIRSA-N 1 2 324.424 1.999 20 30 DDEDLO CC#CC[N@@H+](CC)[C@H](C)CNC(=O)CS(=O)(=O)C(C)(C)C ZINC001156366658 762615626 /nfs/dbraw/zinc/61/56/26/762615626.db2.gz PWKXERXOKOEPAY-CYBMUJFWSA-N 1 2 316.467 1.050 20 30 DDEDLO CC#CC[N@H+](CC)[C@H](C)CNC(=O)CS(=O)(=O)C(C)(C)C ZINC001156366658 762615630 /nfs/dbraw/zinc/61/56/30/762615630.db2.gz PWKXERXOKOEPAY-CYBMUJFWSA-N 1 2 316.467 1.050 20 30 DDEDLO C=CCC[C@@H](C)[N@@H+]1CCO[C@@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108195368 758421098 /nfs/dbraw/zinc/42/10/98/758421098.db2.gz AFGCOTZECOXOGG-WBMJQRKESA-N 1 2 324.400 1.724 20 30 DDEDLO C=CCC[C@@H](C)[N@H+]1CCO[C@@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108195368 758421105 /nfs/dbraw/zinc/42/11/05/758421105.db2.gz AFGCOTZECOXOGG-WBMJQRKESA-N 1 2 324.400 1.724 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1OCC2 ZINC001017987297 758443162 /nfs/dbraw/zinc/44/31/62/758443162.db2.gz LHZIOGSIJZFCSS-IYBDPMFKSA-N 1 2 310.397 1.934 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1OCC2 ZINC001017987297 758443165 /nfs/dbraw/zinc/44/31/65/758443165.db2.gz LHZIOGSIJZFCSS-IYBDPMFKSA-N 1 2 310.397 1.934 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(C)[nH]c1=O)CCO2 ZINC001053447213 758467754 /nfs/dbraw/zinc/46/77/54/758467754.db2.gz PPSWJLQHKDVLMT-UHFFFAOYSA-N 1 2 317.389 1.199 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C)nc(C)n1)CCO2 ZINC001053471129 758485158 /nfs/dbraw/zinc/48/51/58/758485158.db2.gz YDLIOOHBYIIKAX-UHFFFAOYSA-N 1 2 316.405 1.196 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)nc1C(C)C ZINC001018029816 758489457 /nfs/dbraw/zinc/48/94/57/758489457.db2.gz SDMOHKSIFXTOIP-GASCZTMLSA-N 1 2 312.417 1.830 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)nc1C(C)C ZINC001018029816 758489462 /nfs/dbraw/zinc/48/94/62/758489462.db2.gz SDMOHKSIFXTOIP-GASCZTMLSA-N 1 2 312.417 1.830 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CCC)c1C ZINC001018102002 758548228 /nfs/dbraw/zinc/54/82/28/758548228.db2.gz HVGMVWOJVZHZPC-IYBDPMFKSA-N 1 2 314.433 1.914 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CCC)c1C ZINC001018102002 758548229 /nfs/dbraw/zinc/54/82/29/758548229.db2.gz HVGMVWOJVZHZPC-IYBDPMFKSA-N 1 2 314.433 1.914 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2c(cccc2C)O1 ZINC001018182119 758609374 /nfs/dbraw/zinc/60/93/74/758609374.db2.gz WQBALOQPNQTLQC-RVKKMQEKSA-N 1 2 310.397 1.607 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2c(cccc2C)O1 ZINC001018182119 758609377 /nfs/dbraw/zinc/60/93/77/758609377.db2.gz WQBALOQPNQTLQC-RVKKMQEKSA-N 1 2 310.397 1.607 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(Cl)[nH]1)O2 ZINC001053658824 758671592 /nfs/dbraw/zinc/67/15/92/758671592.db2.gz HEWREWQLZWNWBI-LLVKDONJSA-N 1 2 309.797 1.817 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(C)cc1C ZINC001018259389 758674780 /nfs/dbraw/zinc/67/47/80/758674780.db2.gz XOHPVGRXNWZGSI-CALCHBBNSA-N 1 2 312.413 1.991 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(C)cc1C ZINC001018259389 758674783 /nfs/dbraw/zinc/67/47/83/758674783.db2.gz XOHPVGRXNWZGSI-CALCHBBNSA-N 1 2 312.413 1.991 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(OC)cc1)O2 ZINC001053690706 758700068 /nfs/dbraw/zinc/70/00/68/758700068.db2.gz RJZHIYMMHHFEHJ-INIZCTEOSA-N 1 2 316.401 1.844 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)[C@H]3C[C@@H]3C)CC2)C1 ZINC001065694744 758711444 /nfs/dbraw/zinc/71/14/44/758711444.db2.gz OSGDQNPNLIUXRJ-JYJNAYRXSA-N 1 2 319.449 1.354 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cc(F)cc(F)c1 ZINC001018315354 758723876 /nfs/dbraw/zinc/72/38/76/758723876.db2.gz APVXBFGNEZWHIG-GASCZTMLSA-N 1 2 320.339 1.652 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cc(F)cc(F)c1 ZINC001018315354 758723884 /nfs/dbraw/zinc/72/38/84/758723884.db2.gz APVXBFGNEZWHIG-GASCZTMLSA-N 1 2 320.339 1.652 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccccc1F)CO2 ZINC001053725925 758742889 /nfs/dbraw/zinc/74/28/89/758742889.db2.gz QGAPETZLSIGYAD-ZDUSSCGKSA-N 1 2 304.365 1.975 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1scnc1C)CO2 ZINC001053747598 758763135 /nfs/dbraw/zinc/76/31/35/758763135.db2.gz BBIRQSKPGKXVBV-GFCCVEGCSA-N 1 2 305.403 1.048 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1oc(C)cc1C)CO2 ZINC001053763912 758782373 /nfs/dbraw/zinc/78/23/73/758782373.db2.gz BUENXVYXKZTUPI-CQSZACIVSA-N 1 2 302.374 1.493 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cncc(C)c1)CO2 ZINC001053769495 758790162 /nfs/dbraw/zinc/79/01/62/758790162.db2.gz CHOOXENTOJCIOA-OAHLLOKOSA-N 1 2 301.390 1.539 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)c(C)o1)CO2 ZINC001053777605 758800976 /nfs/dbraw/zinc/80/09/76/758800976.db2.gz NKEDNRYWYDLELJ-CQSZACIVSA-N 1 2 302.374 1.493 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1conc1C(C)C)CO2 ZINC001053828589 758859462 /nfs/dbraw/zinc/85/94/62/758859462.db2.gz WKKPTOCASZWUMA-CYBMUJFWSA-N 1 2 317.389 1.394 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccncc1CC)CO2 ZINC001053852492 758884434 /nfs/dbraw/zinc/88/44/34/758884434.db2.gz MEBSUKFIMJYLMG-OAHLLOKOSA-N 1 2 315.417 1.793 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(Cl)n(C)n1)CO2 ZINC001053865574 758899393 /nfs/dbraw/zinc/89/93/93/758899393.db2.gz CKPAUBNZIUDFGC-LLVKDONJSA-N 1 2 324.812 1.223 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccn(C(C)C)n1)CO2 ZINC001053945388 758981919 /nfs/dbraw/zinc/98/19/19/758981919.db2.gz VSCBVVKQKMUMMX-AWEZNQCLSA-N 1 2 316.405 1.060 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccoc1CCC)CO2 ZINC001053980750 759020820 /nfs/dbraw/zinc/02/08/20/759020820.db2.gz CQUNRURGYPKHOM-CQSZACIVSA-N 1 2 316.401 1.829 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)c1cccnc1)CO2 ZINC001053985796 759027609 /nfs/dbraw/zinc/02/76/09/759027609.db2.gz ZNSBGTBUKJPXKW-GDBMZVCRSA-N 1 2 315.417 1.721 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2ncn(-c3ccccc3)n2)C1 ZINC001018629853 759047365 /nfs/dbraw/zinc/04/73/65/759047365.db2.gz BIFIRQCDJLPMGX-KGLIPLIRSA-N 1 2 324.388 1.117 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@](C)(C=C)CC)CC2=O)C1 ZINC001108569632 762697324 /nfs/dbraw/zinc/69/73/24/762697324.db2.gz LZZXZMGCEKTDTN-DYVFJYSZSA-N 1 2 305.422 1.176 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108569686 762697435 /nfs/dbraw/zinc/69/74/35/762697435.db2.gz NMIQKEXRKRJDCZ-KSSFIOAISA-N 1 2 319.449 1.566 20 30 DDEDLO C=CC[C@@H](Nc1cc(C(=O)OC)cc2[nH+]c[nH]c21)C(=O)OC ZINC001169841197 762695241 /nfs/dbraw/zinc/69/52/41/762695241.db2.gz IKACZYHNOQKSRS-SNVBAGLBSA-N 1 2 303.318 1.879 20 30 DDEDLO CCc1nnc([C@H](C)[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC001018818157 759301823 /nfs/dbraw/zinc/30/18/23/759301823.db2.gz BYHMXIRPTUYGKY-AAEUAGOBSA-N 1 2 302.378 1.297 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(C)nn3C)cc2C1 ZINC001054257631 759354897 /nfs/dbraw/zinc/35/48/97/759354897.db2.gz QSCAYDCNNXRFQB-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(C)nn3C)cc2C1 ZINC001054257631 759354906 /nfs/dbraw/zinc/35/49/06/759354906.db2.gz QSCAYDCNNXRFQB-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(C(N)=O)co3)cc2C1 ZINC001054280923 759386902 /nfs/dbraw/zinc/38/69/02/759386902.db2.gz XCVIVNPLEXJJBJ-UHFFFAOYSA-N 1 2 323.352 1.257 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(C(N)=O)co3)cc2C1 ZINC001054280923 759386909 /nfs/dbraw/zinc/38/69/09/759386909.db2.gz XCVIVNPLEXJJBJ-UHFFFAOYSA-N 1 2 323.352 1.257 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(C)nc(C)n3)cc2C1 ZINC001054285533 759392960 /nfs/dbraw/zinc/39/29/60/759392960.db2.gz MUJQAIOQXXOOFE-UHFFFAOYSA-N 1 2 320.396 1.972 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(C)nc(C)n3)cc2C1 ZINC001054285533 759392968 /nfs/dbraw/zinc/39/29/68/759392968.db2.gz MUJQAIOQXXOOFE-UHFFFAOYSA-N 1 2 320.396 1.972 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1)C(=O)[C@H]1CCCO1 ZINC001085472145 759468034 /nfs/dbraw/zinc/46/80/34/759468034.db2.gz GYJSFUGWECHZCQ-SWLSCSKDSA-N 1 2 319.430 1.831 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1)C(=O)[C@H]1CCCO1 ZINC001085472145 759468038 /nfs/dbraw/zinc/46/80/38/759468038.db2.gz GYJSFUGWECHZCQ-SWLSCSKDSA-N 1 2 319.430 1.831 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccnc1)C(=O)c1cc(C#N)c[nH]1 ZINC001085534834 759647060 /nfs/dbraw/zinc/64/70/60/759647060.db2.gz UNVYRNFCUZFWGR-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccnc1)C(=O)c1cc(C#N)c[nH]1 ZINC001085534834 759647063 /nfs/dbraw/zinc/64/70/63/759647063.db2.gz UNVYRNFCUZFWGR-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1csc([C@@H](C)OC)n1 ZINC001085595787 759798038 /nfs/dbraw/zinc/79/80/38/759798038.db2.gz MWIYPUUXKSAWPC-VXGBXAGGSA-N 1 2 307.419 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1csc([C@@H](C)OC)n1 ZINC001085595787 759798043 /nfs/dbraw/zinc/79/80/43/759798043.db2.gz MWIYPUUXKSAWPC-VXGBXAGGSA-N 1 2 307.419 1.630 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1csc([C@H](C)OC)n1 ZINC001085595786 759798186 /nfs/dbraw/zinc/79/81/86/759798186.db2.gz MWIYPUUXKSAWPC-RYUDHWBXSA-N 1 2 307.419 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1csc([C@H](C)OC)n1 ZINC001085595786 759798191 /nfs/dbraw/zinc/79/81/91/759798191.db2.gz MWIYPUUXKSAWPC-RYUDHWBXSA-N 1 2 307.419 1.630 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1snnc1C1CC1 ZINC001085654691 759938680 /nfs/dbraw/zinc/93/86/80/759938680.db2.gz VSJQEXKYPPSHIP-GFCCVEGCSA-N 1 2 304.419 1.585 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1snnc1C1CC1 ZINC001085654691 759938683 /nfs/dbraw/zinc/93/86/83/759938683.db2.gz VSJQEXKYPPSHIP-GFCCVEGCSA-N 1 2 304.419 1.585 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001085776912 760221285 /nfs/dbraw/zinc/22/12/85/760221285.db2.gz DZPVXDCQYIZBPJ-GXTWGEPZSA-N 1 2 302.378 1.041 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001085776912 760221289 /nfs/dbraw/zinc/22/12/89/760221289.db2.gz DZPVXDCQYIZBPJ-GXTWGEPZSA-N 1 2 302.378 1.041 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cccnc2)c1 ZINC001085809373 760277084 /nfs/dbraw/zinc/27/70/84/760277084.db2.gz CALPNBDVYZLQTN-SFHVURJKSA-N 1 2 320.396 1.804 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cccnc2)c1 ZINC001085809373 760277088 /nfs/dbraw/zinc/27/70/88/760277088.db2.gz CALPNBDVYZLQTN-SFHVURJKSA-N 1 2 320.396 1.804 20 30 DDEDLO N#Cc1ccc(NCC[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001066337728 760483270 /nfs/dbraw/zinc/48/32/70/760483270.db2.gz NNPKSUKBUWHUPC-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC001085906387 760500009 /nfs/dbraw/zinc/50/00/09/760500009.db2.gz HAVNDIMSUFVEMC-INIZCTEOSA-N 1 2 308.385 1.856 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC001085906387 760500014 /nfs/dbraw/zinc/50/00/14/760500014.db2.gz HAVNDIMSUFVEMC-INIZCTEOSA-N 1 2 308.385 1.856 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CC ZINC001085916575 760524224 /nfs/dbraw/zinc/52/42/24/760524224.db2.gz CJFPKRINPUUSCR-HNNXBMFYSA-N 1 2 313.401 1.816 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CC ZINC001085916575 760524229 /nfs/dbraw/zinc/52/42/29/760524229.db2.gz CJFPKRINPUUSCR-HNNXBMFYSA-N 1 2 313.401 1.816 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(C(C)(C)C)c1CC ZINC001085974916 760636937 /nfs/dbraw/zinc/63/69/37/760636937.db2.gz IPSDHANULIFIMJ-AWEZNQCLSA-N 1 2 316.449 1.980 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(C(C)(C)C)c1CC ZINC001085974916 760636941 /nfs/dbraw/zinc/63/69/41/760636941.db2.gz IPSDHANULIFIMJ-AWEZNQCLSA-N 1 2 316.449 1.980 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)[N@H+](CC)Cc2cn(C)nn2)C1 ZINC001155132362 760669186 /nfs/dbraw/zinc/66/91/86/760669186.db2.gz MWXZEPQOCROSHD-CYBMUJFWSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2cn(C)nn2)C1 ZINC001155132362 760669187 /nfs/dbraw/zinc/66/91/87/760669187.db2.gz MWXZEPQOCROSHD-CYBMUJFWSA-N 1 2 305.426 1.498 20 30 DDEDLO CCc1nocc1C[N@@H+]1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038153293 760859243 /nfs/dbraw/zinc/85/92/43/760859243.db2.gz OKAFUVMFQCOONG-ZDUSSCGKSA-N 1 2 313.361 1.441 20 30 DDEDLO CCc1nocc1C[N@H+]1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038153293 760859253 /nfs/dbraw/zinc/85/92/53/760859253.db2.gz OKAFUVMFQCOONG-ZDUSSCGKSA-N 1 2 313.361 1.441 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)s1 ZINC001038169823 760883669 /nfs/dbraw/zinc/88/36/69/760883669.db2.gz PMULCWBHJISKMZ-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)s1 ZINC001038169823 760883684 /nfs/dbraw/zinc/88/36/84/760883684.db2.gz PMULCWBHJISKMZ-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)c2c[nH]c(C#N)c2)c(C)c(C)[nH+]1 ZINC001100037771 761084314 /nfs/dbraw/zinc/08/43/14/761084314.db2.gz RQNGBVHZMLOBCD-UHFFFAOYSA-N 1 2 312.377 1.468 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@H]1CCN2C(=O)Cc1c[nH+]c[nH]1 ZINC001056299895 761102331 /nfs/dbraw/zinc/10/23/31/761102331.db2.gz GVJFHFARKYBATJ-LSDHHAIUSA-N 1 2 316.405 1.510 20 30 DDEDLO C#CCCN1CC[C@H]1CNC(=O)c1ccn(-c2cc[nH+]cc2)n1 ZINC001038501325 761160815 /nfs/dbraw/zinc/16/08/15/761160815.db2.gz HQLXPJLOOSUPDJ-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2cn(C)nc2C)C1 ZINC001108258525 761254608 /nfs/dbraw/zinc/25/46/08/761254608.db2.gz SPWSMLAGGUDMND-QGZVFWFLSA-N 1 2 320.437 1.054 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2cn(C)nc2C)C1 ZINC001108258525 761254615 /nfs/dbraw/zinc/25/46/15/761254615.db2.gz SPWSMLAGGUDMND-QGZVFWFLSA-N 1 2 320.437 1.054 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2CC(=O)N2CCCC2)CC1 ZINC001038659772 761259533 /nfs/dbraw/zinc/25/95/33/761259533.db2.gz BEDOXOVEPGAMGV-INIZCTEOSA-N 1 2 319.449 1.546 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2CC(=O)N2CCCC2)CC1 ZINC001038659772 761259538 /nfs/dbraw/zinc/25/95/38/761259538.db2.gz BEDOXOVEPGAMGV-INIZCTEOSA-N 1 2 319.449 1.546 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001066462734 761259777 /nfs/dbraw/zinc/25/97/77/761259777.db2.gz KCJVAXSOTFEWEG-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@H]2CC[N@H+]2CCC(F)(F)F)nn1 ZINC001038791092 761411884 /nfs/dbraw/zinc/41/18/84/761411884.db2.gz NWXLTNLJXQDIPK-SNVBAGLBSA-N 1 2 317.315 1.221 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@H]2CC[N@@H+]2CCC(F)(F)F)nn1 ZINC001038791092 761411886 /nfs/dbraw/zinc/41/18/86/761411886.db2.gz NWXLTNLJXQDIPK-SNVBAGLBSA-N 1 2 317.315 1.221 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CCN1Cc1c[nH+]cn1C ZINC001038807772 761426095 /nfs/dbraw/zinc/42/60/95/761426095.db2.gz MKAQCACDJFYDIL-KBMXLJTQSA-N 1 2 318.421 1.092 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn(C)nc1C(C)(C)C ZINC001038814753 761432215 /nfs/dbraw/zinc/43/22/15/761432215.db2.gz LTFZGYDNIMLEGR-CYBMUJFWSA-N 1 2 302.422 1.545 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn(C)nc1C(C)(C)C ZINC001038814753 761432218 /nfs/dbraw/zinc/43/22/18/761432218.db2.gz LTFZGYDNIMLEGR-CYBMUJFWSA-N 1 2 302.422 1.545 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@@H](Nc2ccncc2C#N)C1)n1cc[nH+]c1 ZINC001056792946 761434459 /nfs/dbraw/zinc/43/44/59/761434459.db2.gz NISNTDCEAWFZIR-DZGCQCFKSA-N 1 2 324.388 1.236 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2oc(C3CC3)nc2C)C1 ZINC001108268094 761448070 /nfs/dbraw/zinc/44/80/70/761448070.db2.gz RIIWRQWPLGEXPP-QGZVFWFLSA-N 1 2 319.405 1.867 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2oc(C3CC3)nc2C)C1 ZINC001108268094 761448075 /nfs/dbraw/zinc/44/80/75/761448075.db2.gz RIIWRQWPLGEXPP-QGZVFWFLSA-N 1 2 319.405 1.867 20 30 DDEDLO N#CCN1CCC(C2(NC(=O)c3cccc4[nH+]ccn43)CC2)CC1 ZINC001000211494 761575171 /nfs/dbraw/zinc/57/51/71/761575171.db2.gz QGRUBDDYHLWHIG-UHFFFAOYSA-N 1 2 323.400 1.832 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn2c1C[C@H](C)CC2 ZINC001038975641 761610007 /nfs/dbraw/zinc/61/00/07/761610007.db2.gz KACBOULXAUMKAG-ZIAGYGMSSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn2c1C[C@H](C)CC2 ZINC001038975641 761610008 /nfs/dbraw/zinc/61/00/08/761610008.db2.gz KACBOULXAUMKAG-ZIAGYGMSSA-N 1 2 300.406 1.293 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3(CC)CCCC3)CC2=O)C1 ZINC001108583732 762751498 /nfs/dbraw/zinc/75/14/98/762751498.db2.gz RATOVPVVJYKIBN-CQSZACIVSA-N 1 2 319.449 1.544 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)CCC2(C)CC2)[C@@H](n2ccnn2)C1 ZINC001129366526 762951495 /nfs/dbraw/zinc/95/14/95/762951495.db2.gz URIJICVTHWNMGE-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)CCC2(C)CC2)[C@@H](n2ccnn2)C1 ZINC001129366526 762951500 /nfs/dbraw/zinc/95/15/00/762951500.db2.gz URIJICVTHWNMGE-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(CCN(C(=O)c3[nH]nnc3C)C2)C1 ZINC001041528209 763107083 /nfs/dbraw/zinc/10/70/83/763107083.db2.gz QRLOZMGZDFOJHD-CQSZACIVSA-N 1 2 309.801 1.404 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(CCN(C(=O)c3[nH]nnc3C)C2)C1 ZINC001041528209 763107089 /nfs/dbraw/zinc/10/70/89/763107089.db2.gz QRLOZMGZDFOJHD-CQSZACIVSA-N 1 2 309.801 1.404 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001109213386 763464589 /nfs/dbraw/zinc/46/45/89/763464589.db2.gz QKYZYJWASSHOFQ-DSRCVFDASA-N 1 2 321.446 1.692 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001109213386 763464593 /nfs/dbraw/zinc/46/45/93/763464593.db2.gz QKYZYJWASSHOFQ-DSRCVFDASA-N 1 2 321.446 1.692 20 30 DDEDLO Cc1ncc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001109257873 763524286 /nfs/dbraw/zinc/52/42/86/763524286.db2.gz QJXHLXKUCMRFAC-IKGGRYGDSA-N 1 2 310.401 1.420 20 30 DDEDLO Cc1ncc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001109257873 763524290 /nfs/dbraw/zinc/52/42/90/763524290.db2.gz QJXHLXKUCMRFAC-IKGGRYGDSA-N 1 2 310.401 1.420 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)[C@@H](C)C2)cc[nH+]1 ZINC001067383631 763595550 /nfs/dbraw/zinc/59/55/50/763595550.db2.gz JSSVHMUBLLPRGP-FZMZJTMJSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(Cl)CN1CC[C@H]2CCN(C(=O)Cn3cc[nH+]c3C)C[C@H]21 ZINC001042355483 763956601 /nfs/dbraw/zinc/95/66/01/763956601.db2.gz MXMIVYLCMIEJAS-LSDHHAIUSA-N 1 2 322.840 1.867 20 30 DDEDLO Cc1nc(NC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C2CC2)cc[nH+]1 ZINC001109814691 764092620 /nfs/dbraw/zinc/09/26/20/764092620.db2.gz IGUBUDZJEFXCHC-CQSZACIVSA-N 1 2 310.361 1.605 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)ncn1 ZINC001050879025 764226448 /nfs/dbraw/zinc/22/64/48/764226448.db2.gz GTZMMWHTIOKJBY-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)ncn1 ZINC001050879025 764226452 /nfs/dbraw/zinc/22/64/52/764226452.db2.gz GTZMMWHTIOKJBY-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO N#Cc1cccnc1NC[C@@H](NC(=O)CCn1cc[nH+]c1)C1CC1 ZINC001109954767 764236149 /nfs/dbraw/zinc/23/61/49/764236149.db2.gz XRYZXWOMJDLJGW-OAHLLOKOSA-N 1 2 324.388 1.547 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1OCC[C@H]1C(C)C ZINC001050920946 764283892 /nfs/dbraw/zinc/28/38/92/764283892.db2.gz BSRRGWKPEWUINE-JYJNAYRXSA-N 1 2 310.438 1.441 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1OCC[C@H]1C(C)C ZINC001050920946 764283898 /nfs/dbraw/zinc/28/38/98/764283898.db2.gz BSRRGWKPEWUINE-JYJNAYRXSA-N 1 2 310.438 1.441 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc2cnccc2o1 ZINC001051003362 764405992 /nfs/dbraw/zinc/40/59/92/764405992.db2.gz MXLHWJOCOCUFFN-CQSZACIVSA-N 1 2 315.373 1.835 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc2cnccc2o1 ZINC001051003362 764405996 /nfs/dbraw/zinc/40/59/96/764405996.db2.gz MXLHWJOCOCUFFN-CQSZACIVSA-N 1 2 315.373 1.835 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2CCCN2C(=O)C(C)(C)C)C1 ZINC001042765639 764427022 /nfs/dbraw/zinc/42/70/22/764427022.db2.gz DBEYLMGWVOJWPT-OAHLLOKOSA-N 1 2 319.449 1.189 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051058341 764460485 /nfs/dbraw/zinc/46/04/85/764460485.db2.gz CLTMLYFCLISDSI-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1Cc2ccccc21 ZINC001051091899 764498487 /nfs/dbraw/zinc/49/84/87/764498487.db2.gz SQRRRFXCVAXXSS-NVXWUHKLSA-N 1 2 300.402 1.719 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1Cc2ccccc21 ZINC001051091899 764498490 /nfs/dbraw/zinc/49/84/90/764498490.db2.gz SQRRRFXCVAXXSS-NVXWUHKLSA-N 1 2 300.402 1.719 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc2nonc2c1 ZINC001051096277 764501129 /nfs/dbraw/zinc/50/11/29/764501129.db2.gz QXLSNPUSKREMAF-CYBMUJFWSA-N 1 2 316.361 1.230 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc2nonc2c1 ZINC001051096277 764501132 /nfs/dbraw/zinc/50/11/32/764501132.db2.gz QXLSNPUSKREMAF-CYBMUJFWSA-N 1 2 316.361 1.230 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C(C)C)n[nH]1 ZINC001051129140 764529382 /nfs/dbraw/zinc/52/93/82/764529382.db2.gz GPKLRZJYEAIICF-CQSZACIVSA-N 1 2 320.437 1.930 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C(C)C)n[nH]1 ZINC001051129140 764529385 /nfs/dbraw/zinc/52/93/85/764529385.db2.gz GPKLRZJYEAIICF-CQSZACIVSA-N 1 2 320.437 1.930 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CNC(=O)c3ccccc32)C1 ZINC001042943006 764541409 /nfs/dbraw/zinc/54/14/09/764541409.db2.gz ATOWEJFXAPXOFE-INIZCTEOSA-N 1 2 313.401 1.232 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](CCOCC(C)C)CC1 ZINC001112676650 764565880 /nfs/dbraw/zinc/56/58/80/764565880.db2.gz TYZCQHNIPKTFTN-MRXNPFEDSA-N 1 2 312.454 1.784 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(C)c1 ZINC001051179430 764584400 /nfs/dbraw/zinc/58/44/00/764584400.db2.gz VXBPVNKXPUWPBF-INIZCTEOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(C)c1 ZINC001051179430 764584405 /nfs/dbraw/zinc/58/44/05/764584405.db2.gz VXBPVNKXPUWPBF-INIZCTEOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1C1CC1 ZINC001051191446 764600174 /nfs/dbraw/zinc/60/01/74/764600174.db2.gz GBPCJFYYIFDGFW-CQSZACIVSA-N 1 2 318.421 1.684 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1C1CC1 ZINC001051191446 764600180 /nfs/dbraw/zinc/60/01/80/764600180.db2.gz GBPCJFYYIFDGFW-CQSZACIVSA-N 1 2 318.421 1.684 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C(C)C)c1 ZINC001051205318 764618756 /nfs/dbraw/zinc/61/87/56/764618756.db2.gz CVAQEUDEQWRBAC-INIZCTEOSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C(C)C)c1 ZINC001051205318 764618761 /nfs/dbraw/zinc/61/87/61/764618761.db2.gz CVAQEUDEQWRBAC-INIZCTEOSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@@H]2CCc3[nH+]c(C)[nH]c3C2)C1 ZINC001043141102 764702501 /nfs/dbraw/zinc/70/25/01/764702501.db2.gz XASNYQGJZBXJQE-GFCCVEGCSA-N 1 2 322.840 1.718 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@@H]2CCc3[nH]c(C)[nH+]c3C2)C1 ZINC001043141102 764702509 /nfs/dbraw/zinc/70/25/09/764702509.db2.gz XASNYQGJZBXJQE-GFCCVEGCSA-N 1 2 322.840 1.718 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCCN2C(=O)CSCC#N)cc[nH+]1 ZINC001112779573 764744052 /nfs/dbraw/zinc/74/40/52/764744052.db2.gz CVYGIVHEZGOLOB-CYBMUJFWSA-N 1 2 319.434 1.469 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C(C)C)nn2CC)C1 ZINC001043216492 764772936 /nfs/dbraw/zinc/77/29/36/764772936.db2.gz FCIQMGDWIATFJE-UHFFFAOYSA-N 1 2 302.422 1.806 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112800587 764788951 /nfs/dbraw/zinc/78/89/51/764788951.db2.gz RCVYSDNBLHPROX-DZGCQCFKSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001112814222 764800326 /nfs/dbraw/zinc/80/03/26/764800326.db2.gz KILPLQRINLPVGQ-KRWDZBQOSA-N 1 2 310.438 1.274 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(c3cc[nH+]c(C)n3)C[C@@H]2O)C1 ZINC001051781975 765160421 /nfs/dbraw/zinc/16/04/21/765160421.db2.gz SUBOQSJJOMVGSW-KBPBESRZSA-N 1 2 316.405 1.197 20 30 DDEDLO C#Cc1cncc(C(=O)NCCCN(CC)c2cc[nH+]c(C)n2)c1 ZINC001095963599 765172580 /nfs/dbraw/zinc/17/25/80/765172580.db2.gz RMQDJXAVBPDKLP-UHFFFAOYSA-N 1 2 323.400 1.808 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cncc(CC)c2)CC1 ZINC001113102379 765259191 /nfs/dbraw/zinc/25/91/91/765259191.db2.gz GUFSLBKSBBKBQR-UHFFFAOYSA-N 1 2 303.406 1.604 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2occc2Br)C1 ZINC001044057813 765261303 /nfs/dbraw/zinc/26/13/03/765261303.db2.gz BHWAOYPEBBFBOO-UHFFFAOYSA-N 1 2 311.179 1.822 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113141091 765317901 /nfs/dbraw/zinc/31/79/01/765317901.db2.gz UNCXOOWGKSIQOC-WDEREUQCSA-N 1 2 312.377 1.533 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccc(C)o3)C2)CC1 ZINC001051976987 765337873 /nfs/dbraw/zinc/33/78/73/765337873.db2.gz MKTFCKLPTNGTRF-OAHLLOKOSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc(C)o3)C2)CC1 ZINC001051976987 765337881 /nfs/dbraw/zinc/33/78/81/765337881.db2.gz MKTFCKLPTNGTRF-OAHLLOKOSA-N 1 2 301.390 1.053 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccc(Cl)o3)C2)CC1 ZINC001051984359 765349434 /nfs/dbraw/zinc/34/94/34/765349434.db2.gz FOVOXHQDWOUIPH-LBPRGKRZSA-N 1 2 322.796 1.289 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C(F)=C3CCCC3)C2)CC1 ZINC001052013161 765382788 /nfs/dbraw/zinc/38/27/88/765382788.db2.gz SBEWAEJKWUMTBE-INIZCTEOSA-N 1 2 319.424 1.636 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccncc3C)C2)CC1 ZINC001052025099 765401869 /nfs/dbraw/zinc/40/18/69/765401869.db2.gz XBFVSRVXLLCLQD-INIZCTEOSA-N 1 2 314.433 1.408 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C3(C4CC4)CC3)C2)CC1 ZINC001052041469 765413011 /nfs/dbraw/zinc/41/30/11/765413011.db2.gz IZIRXBJALOCKEX-KRWDZBQOSA-N 1 2 317.477 1.971 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H](C)C3CCC3)C2)CC1 ZINC001052042812 765416149 /nfs/dbraw/zinc/41/61/49/765416149.db2.gz GWYGQAQNXBWGGL-RDJZCZTQSA-N 1 2 303.450 1.274 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113251411 765474742 /nfs/dbraw/zinc/47/47/42/765474742.db2.gz PUCHXTRCGQTMLW-MNOVXSKESA-N 1 2 316.409 1.881 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H](C)C3CCCC3)C2)CC1 ZINC001052106071 765476095 /nfs/dbraw/zinc/47/60/95/765476095.db2.gz AMBQMQGFQZJLTB-SJLPKXTDSA-N 1 2 317.477 1.664 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)N([C@H](C)CCC)C2)C1 ZINC001044333244 765488996 /nfs/dbraw/zinc/48/89/96/765488996.db2.gz PKHLNLUXOXNVJI-KGLIPLIRSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H](C)[C@H](C)Nc2cc[nH+]c(C)n2)nn1 ZINC001113334260 765576126 /nfs/dbraw/zinc/57/61/26/765576126.db2.gz IAUWJFRDYXOOQI-WDEREUQCSA-N 1 2 315.381 1.181 20 30 DDEDLO C[C@@H](Nc1cncc(C#N)n1)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001113352326 765617086 /nfs/dbraw/zinc/61/70/86/765617086.db2.gz QAGKMZZAKKRTBQ-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@@H](Nc1cncc(C#N)n1)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001113352326 765617091 /nfs/dbraw/zinc/61/70/91/765617091.db2.gz QAGKMZZAKKRTBQ-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccc(C)o2)CC[C@@H]1C ZINC001131768419 768334347 /nfs/dbraw/zinc/33/43/47/768334347.db2.gz QFGXWTWTASKQEZ-JSGCOSHPSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccc(C)o2)CC[C@@H]1C ZINC001131768419 768334354 /nfs/dbraw/zinc/33/43/54/768334354.db2.gz QFGXWTWTASKQEZ-JSGCOSHPSA-N 1 2 319.405 1.473 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]1C[NH2+]Cc1nc(C(F)F)no1 ZINC001044962559 765971353 /nfs/dbraw/zinc/97/13/53/765971353.db2.gz NGADOVCYVSDQBV-BDAKNGLRSA-N 1 2 313.308 1.247 20 30 DDEDLO CC(C)Cc1noc(C[NH2+]C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)n1 ZINC001044962248 765971907 /nfs/dbraw/zinc/97/19/07/765971907.db2.gz HQNXAFJLXQOBRB-STQMWFEESA-N 1 2 319.409 1.508 20 30 DDEDLO Cc1ccc(C#N)c(N(C)[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001113695873 766028298 /nfs/dbraw/zinc/02/82/98/766028298.db2.gz MVAOCOKNTLDLIA-LBPRGKRZSA-N 1 2 312.377 1.168 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113723361 766064187 /nfs/dbraw/zinc/06/41/87/766064187.db2.gz NDPCBIVECGCVLN-GFCCVEGCSA-N 1 2 318.421 1.383 20 30 DDEDLO CC(=O)N(C)[C@H]1C[N@H+](CC#Cc2cccc(Cl)c2)C[C@@H]1O ZINC001047271959 768355793 /nfs/dbraw/zinc/35/57/93/768355793.db2.gz VUABNFRABHODIH-HOTGVXAUSA-N 1 2 306.793 1.215 20 30 DDEDLO CC(=O)N(C)[C@H]1C[N@@H+](CC#Cc2cccc(Cl)c2)C[C@@H]1O ZINC001047271959 768355800 /nfs/dbraw/zinc/35/58/00/768355800.db2.gz VUABNFRABHODIH-HOTGVXAUSA-N 1 2 306.793 1.215 20 30 DDEDLO C[C@@H](CNC(=O)CCn1cc[nH+]c1)N(C)c1ccc(C#N)nc1 ZINC001113822813 766209758 /nfs/dbraw/zinc/20/97/58/766209758.db2.gz UQLXJEQXBHDZOP-ZDUSSCGKSA-N 1 2 312.377 1.181 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)C1CCN(c2ccc(C#N)cn2)CC1 ZINC001058089424 766215458 /nfs/dbraw/zinc/21/54/58/766215458.db2.gz CZHSFSAQKTWTIQ-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@H]1CCCN(c2ccncc2C#N)C1 ZINC001058176574 766287994 /nfs/dbraw/zinc/28/79/94/766287994.db2.gz AZLTWEADONZKNY-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001058188001 766294785 /nfs/dbraw/zinc/29/47/85/766294785.db2.gz FVULAZHFSMAICB-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)CC ZINC001113885037 766352705 /nfs/dbraw/zinc/35/27/05/766352705.db2.gz AMLWXQUEPXOYQZ-YJQGPUDQSA-N 1 2 301.228 1.988 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)CC ZINC001113885037 766352714 /nfs/dbraw/zinc/35/27/14/766352714.db2.gz AMLWXQUEPXOYQZ-YJQGPUDQSA-N 1 2 301.228 1.988 20 30 DDEDLO C#Cc1ccc(C(=O)NC2(C)CC[NH+](Cc3ccon3)CC2)cn1 ZINC001045622993 766455312 /nfs/dbraw/zinc/45/53/12/766455312.db2.gz AFPOUZFUVGBMLX-UHFFFAOYSA-N 1 2 324.384 1.835 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCCN2C(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001067752776 766679020 /nfs/dbraw/zinc/67/90/20/766679020.db2.gz AKTDUGOEAZSQHQ-CQSZACIVSA-N 1 2 324.388 1.726 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1[C@H]2C[N@@H+](CC(=O)Nc3ccccc3)C[C@H]21 ZINC001114253309 766821474 /nfs/dbraw/zinc/82/14/74/766821474.db2.gz LIEQKPQPHAEMJC-MNZLEMJZSA-N 1 2 323.396 1.085 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1[C@H]2C[N@H+](CC(=O)Nc3ccccc3)C[C@H]21 ZINC001114253309 766821484 /nfs/dbraw/zinc/82/14/84/766821484.db2.gz LIEQKPQPHAEMJC-MNZLEMJZSA-N 1 2 323.396 1.085 20 30 DDEDLO C[C@@H](C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C1CCC1 ZINC001046038016 766842815 /nfs/dbraw/zinc/84/28/15/766842815.db2.gz FZJYWEGNECOUEP-GDBMZVCRSA-N 1 2 304.438 1.165 20 30 DDEDLO C[C@@H]1CCN(c2ccncc2C#N)C[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067966917 766843799 /nfs/dbraw/zinc/84/37/99/766843799.db2.gz GZPGXTKMCWAGLP-OCCSQVGLSA-N 1 2 324.388 1.250 20 30 DDEDLO CC(C)(C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C1CC1 ZINC001046051692 766859649 /nfs/dbraw/zinc/85/96/49/766859649.db2.gz NCLPUXRQKWXSNG-OAHLLOKOSA-N 1 2 304.438 1.165 20 30 DDEDLO C=CCn1c(N(C)CC2CC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121606707 782588378 /nfs/dbraw/zinc/58/83/78/782588378.db2.gz CIAHXMTZBJJTPI-BBRMVZONSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCn1c(N(C)CC2CC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001121606707 782588382 /nfs/dbraw/zinc/58/83/82/782588382.db2.gz CIAHXMTZBJJTPI-BBRMVZONSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)CCC1CC1 ZINC001121607618 782589231 /nfs/dbraw/zinc/58/92/31/782589231.db2.gz ANUNPZMYQCKOAZ-KGLIPLIRSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)CCC1CC1 ZINC001121607618 782589234 /nfs/dbraw/zinc/58/92/34/782589234.db2.gz ANUNPZMYQCKOAZ-KGLIPLIRSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[NH2+]Cc2nonc2C)cc1 ZINC001130371066 767470982 /nfs/dbraw/zinc/47/09/82/767470982.db2.gz AMFJDBLMMWOQRX-UHFFFAOYSA-N 1 2 316.361 1.462 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2coc(C(N)=O)c2)C1 ZINC001046511085 767641122 /nfs/dbraw/zinc/64/11/22/767641122.db2.gz GVTXBMJICIRFMG-CQSZACIVSA-N 1 2 311.769 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2coc(C(N)=O)c2)C1 ZINC001046511085 767641126 /nfs/dbraw/zinc/64/11/26/767641126.db2.gz GVTXBMJICIRFMG-CQSZACIVSA-N 1 2 311.769 1.325 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H](Nc3cc[nH+]c(C)n3)[C@H]2C)c1 ZINC001068953742 767721769 /nfs/dbraw/zinc/72/17/69/767721769.db2.gz PDKUFEGULJYEHA-WBMJQRKESA-N 1 2 321.384 1.876 20 30 DDEDLO CCC[C@@H](C)CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130930737 767808787 /nfs/dbraw/zinc/80/87/87/767808787.db2.gz DUIYZDHXBSQZRS-RBSFLKMASA-N 1 2 317.437 1.654 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+][C@@H](C)c1nc(CC)no1 ZINC001131869945 768431604 /nfs/dbraw/zinc/43/16/04/768431604.db2.gz LPKDLUZSNSCMRT-LRDDRELGSA-N 1 2 324.425 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)COCCOC)CC[C@H]1C ZINC001131907829 768470377 /nfs/dbraw/zinc/47/03/77/768470377.db2.gz DSBKDLQMPMGTPZ-OLZOCXBDSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)COCCOC)CC[C@H]1C ZINC001131907829 768470379 /nfs/dbraw/zinc/47/03/79/768470379.db2.gz DSBKDLQMPMGTPZ-OLZOCXBDSA-N 1 2 304.818 1.371 20 30 DDEDLO CC(C)CNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132034499 768580804 /nfs/dbraw/zinc/58/08/04/768580804.db2.gz SBDYOEGXROEGFK-GOEBONIOSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)CNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132034499 768580808 /nfs/dbraw/zinc/58/08/08/768580808.db2.gz SBDYOEGXROEGFK-GOEBONIOSA-N 1 2 319.449 1.141 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)C2(F)CCCC2)C[C@H]1NCC#N ZINC001070661932 768650663 /nfs/dbraw/zinc/65/06/63/768650663.db2.gz INGWOFPDEWZKIQ-CHWSQXEVSA-N 1 2 319.384 1.110 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CCS(C)(=O)=O)C1 ZINC001132257082 768713986 /nfs/dbraw/zinc/71/39/86/768713986.db2.gz TXAHDGGFWLASRB-UONOGXRCSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](CCS(C)(=O)=O)C1 ZINC001132257082 768713988 /nfs/dbraw/zinc/71/39/88/768713988.db2.gz TXAHDGGFWLASRB-UONOGXRCSA-N 1 2 316.467 1.356 20 30 DDEDLO CC(C)=CC[N@@H+]1CCNC(=O)CCN(C(=O)C#CC2CC2)CC1 ZINC001070960954 768814903 /nfs/dbraw/zinc/81/49/03/768814903.db2.gz DPZDZBJURCVNLO-UHFFFAOYSA-N 1 2 317.433 1.017 20 30 DDEDLO CC(C)=CC[N@H+]1CCNC(=O)CCN(C(=O)C#CC2CC2)CC1 ZINC001070960954 768814908 /nfs/dbraw/zinc/81/49/08/768814908.db2.gz DPZDZBJURCVNLO-UHFFFAOYSA-N 1 2 317.433 1.017 20 30 DDEDLO CC(C)(C)C(=O)NCC[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC001096283338 768947797 /nfs/dbraw/zinc/94/77/97/768947797.db2.gz AYTCKGDBYRZQDF-UHFFFAOYSA-N 1 2 315.421 1.238 20 30 DDEDLO C=CCOCC(=O)NCC1CC([NH2+][C@H](C)c2noc(C)n2)C1 ZINC001100187045 768986848 /nfs/dbraw/zinc/98/68/48/768986848.db2.gz XGNAGVNZUCLETH-QFWMXSHPSA-N 1 2 308.382 1.126 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1nc(CC(C)C)no1 ZINC001132568723 768990991 /nfs/dbraw/zinc/99/09/91/768990991.db2.gz CSNIKFZAFYALKR-OLZOCXBDSA-N 1 2 308.426 1.932 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001071208570 769137683 /nfs/dbraw/zinc/13/76/83/769137683.db2.gz PKAAZAOJVFSUMY-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2nccnc2N)CC[C@@H]1C ZINC001071395537 769382499 /nfs/dbraw/zinc/38/24/99/769382499.db2.gz BQSULMZAZLZXKI-WDEREUQCSA-N 1 2 309.801 1.394 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2nccnc2N)CC[C@@H]1C ZINC001071395537 769382509 /nfs/dbraw/zinc/38/25/09/769382509.db2.gz BQSULMZAZLZXKI-WDEREUQCSA-N 1 2 309.801 1.394 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2nc3cnccc3s2)CC[C@@H]1C ZINC001071663337 769817789 /nfs/dbraw/zinc/81/77/89/769817789.db2.gz LYERNVQPEYSMLX-RYUDHWBXSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2nc3cnccc3s2)CC[C@@H]1C ZINC001071663337 769817802 /nfs/dbraw/zinc/81/78/02/769817802.db2.gz LYERNVQPEYSMLX-RYUDHWBXSA-N 1 2 314.414 1.907 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)CCc2[nH+]ccn2C)[C@@H](C)C1 ZINC001071787219 770072228 /nfs/dbraw/zinc/07/22/28/770072228.db2.gz MWZXJFDQBLTMBL-KBPBESRZSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[N@@H+](Cc4nncs4)C[C@@H]3C2)C1 ZINC001049043220 770283607 /nfs/dbraw/zinc/28/36/07/770283607.db2.gz KTCLNEMFYWDZHZ-BETUJISGSA-N 1 2 318.446 1.785 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[N@H+](Cc4nncs4)C[C@@H]3C2)C1 ZINC001049043220 770283615 /nfs/dbraw/zinc/28/36/15/770283615.db2.gz KTCLNEMFYWDZHZ-BETUJISGSA-N 1 2 318.446 1.785 20 30 DDEDLO N#Cc1cccnc1N1CC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001096494860 770477227 /nfs/dbraw/zinc/47/72/27/770477227.db2.gz FZLWHNVTYDPXIJ-AWEZNQCLSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1cccnc1N1CC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001096494860 770477231 /nfs/dbraw/zinc/47/72/31/770477231.db2.gz FZLWHNVTYDPXIJ-AWEZNQCLSA-N 1 2 310.361 1.004 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)CCc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001096498142 770509259 /nfs/dbraw/zinc/50/92/59/770509259.db2.gz ZLNAJHQUAYRPBF-HNNXBMFYSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)CCc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001096498142 770509262 /nfs/dbraw/zinc/50/92/62/770509262.db2.gz ZLNAJHQUAYRPBF-HNNXBMFYSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001072192398 770644667 /nfs/dbraw/zinc/64/46/67/770644667.db2.gz RZQDFPNKLBAWSV-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(C)c1C)C2 ZINC001096809348 771462554 /nfs/dbraw/zinc/46/25/54/771462554.db2.gz ALLNSBAIZOVVBI-BNOWGMLFSA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(C)c1C)C2 ZINC001096809348 771462556 /nfs/dbraw/zinc/46/25/56/771462556.db2.gz ALLNSBAIZOVVBI-BNOWGMLFSA-N 1 2 308.813 1.816 20 30 DDEDLO C[C@H](C[C@@H](C)NC(=O)C1([NH+]2CCOCC2)CCCC1)NCC#N ZINC001135588904 771602504 /nfs/dbraw/zinc/60/25/04/771602504.db2.gz GVNLLXDERZEVQH-HUUCEWRRSA-N 1 2 322.453 1.028 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](NC(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001097109614 771627476 /nfs/dbraw/zinc/62/74/76/771627476.db2.gz AKIDUVMGHROVSV-SHTZXODSSA-N 1 2 310.361 1.368 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](NC(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001097109614 771627479 /nfs/dbraw/zinc/62/74/79/771627479.db2.gz AKIDUVMGHROVSV-SHTZXODSSA-N 1 2 310.361 1.368 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccnc2C)[C@@H](O)C1 ZINC001090532465 771935781 /nfs/dbraw/zinc/93/57/81/771935781.db2.gz RSMPSOMARPRULY-KGLIPLIRSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccnc2C)[C@@H](O)C1 ZINC001090532465 771935786 /nfs/dbraw/zinc/93/57/86/771935786.db2.gz RSMPSOMARPRULY-KGLIPLIRSA-N 1 2 309.797 1.308 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CSc2ccc(O)cc2)CC1 ZINC001136499031 771985221 /nfs/dbraw/zinc/98/52/21/771985221.db2.gz ILHZPMJSERZXNR-UHFFFAOYSA-N 1 2 304.415 1.652 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2ncc(Br)cn2)CC1 ZINC001136863346 772178057 /nfs/dbraw/zinc/17/80/57/772178057.db2.gz YLSPGNWPWANANY-UHFFFAOYSA-N 1 2 323.194 1.020 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2ncc(Br)cn2)CC1 ZINC001136863346 772178061 /nfs/dbraw/zinc/17/80/61/772178061.db2.gz YLSPGNWPWANANY-UHFFFAOYSA-N 1 2 323.194 1.020 20 30 DDEDLO C=CCN1CCN(C(C)C)C(=O)[C@@]12CC[N@H+](Cc1cnc[nH]1)C2 ZINC001136867221 772182292 /nfs/dbraw/zinc/18/22/92/772182292.db2.gz GRAUZEOWUIYIHU-KRWDZBQOSA-N 1 2 317.437 1.093 20 30 DDEDLO C=CCN1CCN(C(C)C)C(=O)[C@@]12CC[N@@H+](Cc1cnc[nH]1)C2 ZINC001136867221 772182295 /nfs/dbraw/zinc/18/22/95/772182295.db2.gz GRAUZEOWUIYIHU-KRWDZBQOSA-N 1 2 317.437 1.093 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H](C)COC)C1 ZINC001149391784 772715509 /nfs/dbraw/zinc/71/55/09/772715509.db2.gz QMFMVAQIMKOTIO-DGCLKSJQSA-N 1 2 304.818 1.229 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H](C)COC)C1 ZINC001149391784 772715512 /nfs/dbraw/zinc/71/55/12/772715512.db2.gz QMFMVAQIMKOTIO-DGCLKSJQSA-N 1 2 304.818 1.229 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001148094752 773344354 /nfs/dbraw/zinc/34/43/54/773344354.db2.gz AOSMIZRXJSCLCW-QWHCGFSZSA-N 1 2 304.394 1.562 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001148094752 773344362 /nfs/dbraw/zinc/34/43/62/773344362.db2.gz AOSMIZRXJSCLCW-QWHCGFSZSA-N 1 2 304.394 1.562 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)no1 ZINC001073972961 773565837 /nfs/dbraw/zinc/56/58/37/773565837.db2.gz LLMHQCNVOWOPDU-IUODEOHRSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)no1 ZINC001073972961 773565840 /nfs/dbraw/zinc/56/58/40/773565840.db2.gz LLMHQCNVOWOPDU-IUODEOHRSA-N 1 2 304.394 1.956 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ncoc3C)C[C@H]21 ZINC001074185100 773706177 /nfs/dbraw/zinc/70/61/77/773706177.db2.gz XFSNJRNAFKJKMK-ZIAGYGMSSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ncoc3C)C[C@H]21 ZINC001074185100 773706180 /nfs/dbraw/zinc/70/61/80/773706180.db2.gz XFSNJRNAFKJKMK-ZIAGYGMSSA-N 1 2 305.378 1.474 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC3CCOCC3)C[C@H]21 ZINC001074189110 773711742 /nfs/dbraw/zinc/71/17/42/773711742.db2.gz DUJYWIFYFOBMPA-SJORKVTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC3CCOCC3)C[C@H]21 ZINC001074189110 773711746 /nfs/dbraw/zinc/71/17/46/773711746.db2.gz DUJYWIFYFOBMPA-SJORKVTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@H]21 ZINC001074195299 773718875 /nfs/dbraw/zinc/71/88/75/773718875.db2.gz SMVVXXYINVARPI-CABCVRRESA-N 1 2 322.449 1.870 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@H]21 ZINC001074195299 773718880 /nfs/dbraw/zinc/71/88/80/773718880.db2.gz SMVVXXYINVARPI-CABCVRRESA-N 1 2 322.449 1.870 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cc(C)n[nH]3)C[C@H]21 ZINC001074212387 773735380 /nfs/dbraw/zinc/73/53/80/773735380.db2.gz OQNBEAURNHJEHA-CVEARBPZSA-N 1 2 318.421 1.138 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cc(C)n[nH]3)C[C@H]21 ZINC001074212387 773735385 /nfs/dbraw/zinc/73/53/85/773735385.db2.gz OQNBEAURNHJEHA-CVEARBPZSA-N 1 2 318.421 1.138 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccoc3)C[C@H]21 ZINC001074223297 773747991 /nfs/dbraw/zinc/74/79/91/773747991.db2.gz WYWDDEZYXJARRG-HZPDHXFCSA-N 1 2 302.374 1.147 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccoc3)C[C@H]21 ZINC001074223297 773747993 /nfs/dbraw/zinc/74/79/93/773747993.db2.gz WYWDDEZYXJARRG-HZPDHXFCSA-N 1 2 302.374 1.147 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCCO2)C1 ZINC000586062802 773751482 /nfs/dbraw/zinc/75/14/82/773751482.db2.gz GDCCAZFLWNRNBD-IAGOWNOFSA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCCO2)C1 ZINC000586062802 773751485 /nfs/dbraw/zinc/75/14/85/773751485.db2.gz GDCCAZFLWNRNBD-IAGOWNOFSA-N 1 2 313.401 1.818 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C4CC4)CCC3)C[C@@H]21 ZINC001074304279 773807864 /nfs/dbraw/zinc/80/78/64/773807864.db2.gz HPVZDLKMGQUKIL-IRXDYDNUSA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C4CC4)CCC3)C[C@@H]21 ZINC001074304279 773807868 /nfs/dbraw/zinc/80/78/68/773807868.db2.gz HPVZDLKMGQUKIL-IRXDYDNUSA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CC4(CC4)C3)C[C@H]21 ZINC001074336547 773833851 /nfs/dbraw/zinc/83/38/51/773833851.db2.gz RKPIQBVKRMOFJD-HZPDHXFCSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CC4(CC4)C3)C[C@H]21 ZINC001074336547 773833855 /nfs/dbraw/zinc/83/38/55/773833855.db2.gz RKPIQBVKRMOFJD-HZPDHXFCSA-N 1 2 302.418 1.502 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C[C@H]1O ZINC001092131440 773956175 /nfs/dbraw/zinc/95/61/75/773956175.db2.gz HTHBRELCCKZMMO-HUUCEWRRSA-N 1 2 318.421 1.424 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CN(C(=O)Cn3ccnc3C)C[C@]2(C)C1 ZINC001092276035 774040418 /nfs/dbraw/zinc/04/04/18/774040418.db2.gz AAMZVVNYIGADIH-ZBFHGGJFSA-N 1 2 322.840 1.724 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CN(C(=O)Cn3ccnc3C)C[C@]2(C)C1 ZINC001092276035 774040423 /nfs/dbraw/zinc/04/04/23/774040423.db2.gz AAMZVVNYIGADIH-ZBFHGGJFSA-N 1 2 322.840 1.724 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1[C@@H]3CCC[C@@H]31)c1nccn12 ZINC001092358892 774074172 /nfs/dbraw/zinc/07/41/72/774074172.db2.gz IPGMUTWNJYLZAL-QXSJWSMHSA-N 1 2 324.428 1.524 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@H]3[C@@H](CCN3CC#N)C2)c[nH+]1 ZINC001036749180 774471197 /nfs/dbraw/zinc/47/11/97/774471197.db2.gz RKZXFUAHFRUKAE-GJZGRUSLSA-N 1 2 315.421 1.373 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2CN(c3nc(C)[nH+]c(C)c3C)C[C@H]21 ZINC001093225477 774536676 /nfs/dbraw/zinc/53/66/76/774536676.db2.gz HNXAWBBQIZBNMS-FOLVSLTJSA-N 1 2 300.406 1.919 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098535804 774605228 /nfs/dbraw/zinc/60/52/28/774605228.db2.gz CXMLXJQEFJBRND-GXTWGEPZSA-N 1 2 304.394 1.637 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CC3CCCC3)C2)nn1 ZINC001098601582 774619563 /nfs/dbraw/zinc/61/95/63/774619563.db2.gz SEWLYDVJLXWEKF-MRXNPFEDSA-N 1 2 315.421 1.355 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H](C)CCC)C2)nn1 ZINC001098644941 774629311 /nfs/dbraw/zinc/62/93/11/774629311.db2.gz FSBJPWDQRLCGIN-GOEBONIOSA-N 1 2 317.437 1.601 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H](C)C(C)C)C2)nn1 ZINC001098740506 774659127 /nfs/dbraw/zinc/65/91/27/774659127.db2.gz RAKPBKNSDWMPFY-GOEBONIOSA-N 1 2 317.437 1.457 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001098835818 774689163 /nfs/dbraw/zinc/68/91/63/774689163.db2.gz JZECIUQJJZRVRQ-MPGHIAIKSA-N 1 2 314.433 1.986 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001098835818 774689169 /nfs/dbraw/zinc/68/91/69/774689169.db2.gz JZECIUQJJZRVRQ-MPGHIAIKSA-N 1 2 314.433 1.986 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@H]3CC[C@@H](C)O3)CC2)C1 ZINC001093570197 774842649 /nfs/dbraw/zinc/84/26/49/774842649.db2.gz PBCJXLXKBSJGKW-GDBMZVCRSA-N 1 2 322.449 1.822 20 30 DDEDLO C#CCCCCC(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001093556888 774880304 /nfs/dbraw/zinc/88/03/04/774880304.db2.gz WBMQVKOQAWNQSG-UHFFFAOYSA-N 1 2 300.366 1.085 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3csc(=O)[nH]3)CC2)C1 ZINC001093562410 774889236 /nfs/dbraw/zinc/88/92/36/774889236.db2.gz NBPTXOHDIHSWAG-UHFFFAOYSA-N 1 2 323.418 1.340 20 30 DDEDLO CCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001099626948 775076254 /nfs/dbraw/zinc/07/62/54/775076254.db2.gz HEFAFZLRNWHBGZ-HOTGVXAUSA-N 1 2 319.380 1.549 20 30 DDEDLO CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001099626948 775076258 /nfs/dbraw/zinc/07/62/58/775076258.db2.gz HEFAFZLRNWHBGZ-HOTGVXAUSA-N 1 2 319.380 1.549 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)c(C)s2)C[C@@H]1O ZINC001099727394 775192791 /nfs/dbraw/zinc/19/27/91/775192791.db2.gz OPPZLWUXVYLOBR-KGLIPLIRSA-N 1 2 323.462 1.778 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)c(C)s2)C[C@@H]1O ZINC001099727394 775192796 /nfs/dbraw/zinc/19/27/96/775192796.db2.gz OPPZLWUXVYLOBR-KGLIPLIRSA-N 1 2 323.462 1.778 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099730667 775198627 /nfs/dbraw/zinc/19/86/27/775198627.db2.gz WMQACUBDJSVCMG-MNOVXSKESA-N 1 2 307.221 1.823 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099730667 775198631 /nfs/dbraw/zinc/19/86/31/775198631.db2.gz WMQACUBDJSVCMG-MNOVXSKESA-N 1 2 307.221 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2ccoc2)[C@@H](O)C1 ZINC001099777637 775270428 /nfs/dbraw/zinc/27/04/28/775270428.db2.gz BLZRJJWGTYQRIR-KGLIPLIRSA-N 1 2 312.797 1.516 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2ccoc2)[C@@H](O)C1 ZINC001099777637 775270432 /nfs/dbraw/zinc/27/04/32/775270432.db2.gz BLZRJJWGTYQRIR-KGLIPLIRSA-N 1 2 312.797 1.516 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099944355 775455037 /nfs/dbraw/zinc/45/50/37/775455037.db2.gz MKAKBZWDTSDOLI-GJZGRUSLSA-N 1 2 321.396 1.484 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099944355 775455045 /nfs/dbraw/zinc/45/50/45/775455045.db2.gz MKAKBZWDTSDOLI-GJZGRUSLSA-N 1 2 321.396 1.484 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C[C@H]1O ZINC001099976316 775508549 /nfs/dbraw/zinc/50/85/49/775508549.db2.gz MNMGKTVDEYUBCM-JKSUJKDBSA-N 1 2 320.437 1.136 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C[C@H]1O ZINC001099976316 775508559 /nfs/dbraw/zinc/50/85/59/775508559.db2.gz MNMGKTVDEYUBCM-JKSUJKDBSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C(C)CCC(=O)NCCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094605305 776128138 /nfs/dbraw/zinc/12/81/38/776128138.db2.gz LCOFDJMITLBRNK-UHFFFAOYSA-N 1 2 317.437 1.739 20 30 DDEDLO C=C(C)CCC(=O)NCCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094605305 776128142 /nfs/dbraw/zinc/12/81/42/776128142.db2.gz LCOFDJMITLBRNK-UHFFFAOYSA-N 1 2 317.437 1.739 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094755958 776250355 /nfs/dbraw/zinc/25/03/55/776250355.db2.gz JXXYPNISUYFEKW-INIZCTEOSA-N 1 2 320.441 1.767 20 30 DDEDLO CCN(CCNC(=O)CCc1[nH]cc[nH+]1)c1ccc(C#N)cn1 ZINC001100509897 776255198 /nfs/dbraw/zinc/25/51/98/776255198.db2.gz FTIUCFGCOABBII-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CCN(CCNC(=O)Cn1cc[nH+]c1)c1ccc(C#N)c(C)n1 ZINC001100556483 776302211 /nfs/dbraw/zinc/30/22/11/776302211.db2.gz SDSOUPFOVWYKKO-UHFFFAOYSA-N 1 2 312.377 1.101 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCN(CC)c1cc[nH+]c(C)n1 ZINC001100740813 776490992 /nfs/dbraw/zinc/49/09/92/776490992.db2.gz PVDNGZYUUFESJJ-HOCLYGCPSA-N 1 2 318.421 1.709 20 30 DDEDLO Cc1cc(N2CCC[C@H]2CNC(=O)Cc2[nH]cc[nH+]2)c(C#N)cn1 ZINC001100859128 776641512 /nfs/dbraw/zinc/64/15/12/776641512.db2.gz LTMOKDHBZRIHPM-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO C[C@H]1COCC[N@@H+]1[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001172978916 776949893 /nfs/dbraw/zinc/94/98/93/776949893.db2.gz BAYRVDOAACWPRZ-IHRRRGAJSA-N 1 2 309.410 1.999 20 30 DDEDLO C[C@H]1COCC[N@H+]1[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001172978916 776949895 /nfs/dbraw/zinc/94/98/95/776949895.db2.gz BAYRVDOAACWPRZ-IHRRRGAJSA-N 1 2 309.410 1.999 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC(C(C)(C)CNCC#N)CC1 ZINC001101590229 777282441 /nfs/dbraw/zinc/28/24/41/777282441.db2.gz FMKZERQAQUIQRW-UHFFFAOYSA-N 1 2 317.437 1.341 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C(/C)C1CC1)c1nccn12 ZINC001101614302 777305596 /nfs/dbraw/zinc/30/55/96/777305596.db2.gz RPHVIVLFMRZWSB-CTXBLAANSA-N 1 2 312.417 1.997 20 30 DDEDLO Cc1ccc(C#N)c(NCCN(C)C(=O)Cc2c[nH+]cn2C)n1 ZINC001101694855 777401542 /nfs/dbraw/zinc/40/15/42/777401542.db2.gz HCDDDJFXOVAOIJ-UHFFFAOYSA-N 1 2 312.377 1.108 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001101848184 777599595 /nfs/dbraw/zinc/59/95/95/777599595.db2.gz WXJKUOVQMGHNGN-AWEZNQCLSA-N 1 2 324.388 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C[C@H](C)COC)C1 ZINC001150528327 777933357 /nfs/dbraw/zinc/93/33/57/777933357.db2.gz UYVZRUPWTWOUSJ-GXTWGEPZSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C[C@H](C)COC)C1 ZINC001150528327 777933367 /nfs/dbraw/zinc/93/33/67/777933367.db2.gz UYVZRUPWTWOUSJ-GXTWGEPZSA-N 1 2 318.845 1.619 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(F)F)C[C@H]21 ZINC001176883340 778291989 /nfs/dbraw/zinc/29/19/89/778291989.db2.gz XVNKLZHWOBQING-CHWSQXEVSA-N 1 2 318.364 1.146 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(F)F)C[C@H]21 ZINC001176883340 778291996 /nfs/dbraw/zinc/29/19/96/778291996.db2.gz XVNKLZHWOBQING-CHWSQXEVSA-N 1 2 318.364 1.146 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCCOCC)C[C@@H]21 ZINC001176925875 778313473 /nfs/dbraw/zinc/31/34/73/778313473.db2.gz YVJFXVWIKLTGAR-JKSUJKDBSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCOCC)C[C@@H]21 ZINC001176925875 778313479 /nfs/dbraw/zinc/31/34/79/778313479.db2.gz YVJFXVWIKLTGAR-JKSUJKDBSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOCC(C)C)[C@H]2C1 ZINC001176955811 778348470 /nfs/dbraw/zinc/34/84/70/778348470.db2.gz ZPNVCZFXGMNHEM-DLBZAZTESA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@H+](CCOCC(C)C)[C@H]2C1 ZINC001176955811 778348478 /nfs/dbraw/zinc/34/84/78/778348478.db2.gz ZPNVCZFXGMNHEM-DLBZAZTESA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C\C)C[C@H]21 ZINC001176976751 778362169 /nfs/dbraw/zinc/36/21/69/778362169.db2.gz LJJIEEZUEGBUCO-MUBWJSQFSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C\C)C[C@H]21 ZINC001176976751 778362181 /nfs/dbraw/zinc/36/21/81/778362181.db2.gz LJJIEEZUEGBUCO-MUBWJSQFSA-N 1 2 308.422 1.457 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCOCC3CC3)C[C@@H]21 ZINC001177011314 778389273 /nfs/dbraw/zinc/38/92/73/778389273.db2.gz AIIRZQSGJZCPIY-IRXDYDNUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCOCC3CC3)C[C@@H]21 ZINC001177011314 778389279 /nfs/dbraw/zinc/38/92/79/778389279.db2.gz AIIRZQSGJZCPIY-IRXDYDNUSA-N 1 2 322.449 1.681 20 30 DDEDLO CCN(C(=O)Cn1cc[nH+]c1)[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001102811885 778421650 /nfs/dbraw/zinc/42/16/50/778421650.db2.gz ZRUVFQGJNLXBGE-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OC)C1 ZINC001206296056 778476862 /nfs/dbraw/zinc/47/68/62/778476862.db2.gz NSHSOBDXBUSYJV-FBIMIBRVSA-N 1 2 305.216 1.366 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OC)C1 ZINC001206296056 778476868 /nfs/dbraw/zinc/47/68/68/778476868.db2.gz NSHSOBDXBUSYJV-FBIMIBRVSA-N 1 2 305.216 1.366 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCC[C@@H]2CN(CC#N)C[C@H]2C)c[nH+]1 ZINC001103137126 778659357 /nfs/dbraw/zinc/65/93/57/778659357.db2.gz YMIBAWAGNOSANL-UKRRQHHQSA-N 1 2 317.437 1.524 20 30 DDEDLO N#Cc1ccc(NCC2(NC(=O)Cn3cc[nH+]c3)CCCC2)cn1 ZINC001103432881 778864000 /nfs/dbraw/zinc/86/40/00/778864000.db2.gz JIHFBWGVGMHASX-UHFFFAOYSA-N 1 2 324.388 1.691 20 30 DDEDLO N#Cc1cc2cccnc2nc1NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001178101018 778869908 /nfs/dbraw/zinc/86/99/08/778869908.db2.gz MRMCWLUFTLQIAP-GFCCVEGCSA-N 1 2 318.340 1.899 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H]([NH2+]Cc2nnn(C)n2)[C@@H]1C ZINC001178773214 779182173 /nfs/dbraw/zinc/18/21/73/779182173.db2.gz AWPUDSOGIWUGGQ-JSGCOSHPSA-N 1 2 318.425 1.058 20 30 DDEDLO N#C[C@@H]1C[C@H](F)CN1C(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001178991963 779253420 /nfs/dbraw/zinc/25/34/20/779253420.db2.gz SGFURVLLRGJDSI-HRCADAONSA-N 1 2 317.364 1.350 20 30 DDEDLO N#C[C@@H]1C[C@H](F)CN1C(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001178991963 779253427 /nfs/dbraw/zinc/25/34/27/779253427.db2.gz SGFURVLLRGJDSI-HRCADAONSA-N 1 2 317.364 1.350 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)[C@@H]1C ZINC001179359645 779360046 /nfs/dbraw/zinc/36/00/46/779360046.db2.gz BWHZZPBUSGPPNH-JSGCOSHPSA-N 1 2 304.394 1.651 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnon1)C2 ZINC001111764958 779471103 /nfs/dbraw/zinc/47/11/03/779471103.db2.gz LPBGUXDKQVLKPN-BBRMVZONSA-N 1 2 304.394 1.897 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnon1)C2 ZINC001111764958 779471107 /nfs/dbraw/zinc/47/11/07/779471107.db2.gz LPBGUXDKQVLKPN-BBRMVZONSA-N 1 2 304.394 1.897 20 30 DDEDLO Cc1ccc(C#N)c(NC2(CNC(=O)Cc3c[nH]c[nH+]3)CCC2)n1 ZINC001111911013 779554707 /nfs/dbraw/zinc/55/47/07/779554707.db2.gz SIGNILWUFGWAIH-UHFFFAOYSA-N 1 2 324.388 1.678 20 30 DDEDLO Cc1nc(N(C)CCCN(C)C(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001112059642 779608182 /nfs/dbraw/zinc/60/81/82/779608182.db2.gz XRLSGECFCNWHLJ-UHFFFAOYSA-N 1 2 312.377 1.583 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)CSCC#N)[C@@H](C)C2)cc[nH+]1 ZINC001112409552 779765273 /nfs/dbraw/zinc/76/52/73/779765273.db2.gz MLVQXCXQBFLQNR-AAEUAGOBSA-N 1 2 319.434 1.373 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001115329802 780042050 /nfs/dbraw/zinc/04/20/50/780042050.db2.gz BDCAUFYVWMBIEH-FOLVSLTJSA-N 1 2 305.378 1.122 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001115329802 780042060 /nfs/dbraw/zinc/04/20/60/780042060.db2.gz BDCAUFYVWMBIEH-FOLVSLTJSA-N 1 2 305.378 1.122 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)NCCCNc2cccc[nH+]2)C1 ZINC001116546061 780521906 /nfs/dbraw/zinc/52/19/06/780521906.db2.gz QJCVISURMMDYEF-CQSZACIVSA-N 1 2 304.394 1.870 20 30 DDEDLO C=CC[C@H]1CCN1C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001119850268 781643550 /nfs/dbraw/zinc/64/35/50/781643550.db2.gz QRPUGEOMMHRNOX-HNNXBMFYSA-N 1 2 319.409 1.398 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)CCNC(=O)C(C)(F)F ZINC001267182386 837485409 /nfs/dbraw/zinc/48/54/09/837485409.db2.gz ASQQOCQZCQHQQV-UHFFFAOYSA-N 1 2 305.369 1.114 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)CCNC(=O)C(C)(F)F ZINC001267182386 837485415 /nfs/dbraw/zinc/48/54/15/837485415.db2.gz ASQQOCQZCQHQQV-UHFFFAOYSA-N 1 2 305.369 1.114 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1cn(CC2CC2)nn1 ZINC001267261103 837688031 /nfs/dbraw/zinc/68/80/31/837688031.db2.gz PWKLCMLUSIHNSC-INIZCTEOSA-N 1 2 317.437 1.735 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1cn(CC2CC2)nn1 ZINC001267261103 837688042 /nfs/dbraw/zinc/68/80/42/837688042.db2.gz PWKLCMLUSIHNSC-INIZCTEOSA-N 1 2 317.437 1.735 20 30 DDEDLO CCOCCC(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001266262210 836003320 /nfs/dbraw/zinc/00/33/20/836003320.db2.gz JYVIJOXXQHNHSW-UHFFFAOYSA-N 1 2 307.369 1.672 20 30 DDEDLO CCOCCC(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001266262210 836003329 /nfs/dbraw/zinc/00/33/29/836003329.db2.gz JYVIJOXXQHNHSW-UHFFFAOYSA-N 1 2 307.369 1.672 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2nc(C(C)C)oc2C)C1 ZINC001266318947 836110404 /nfs/dbraw/zinc/11/04/04/836110404.db2.gz DKOGTIRVVRESAI-CQSZACIVSA-N 1 2 319.405 1.560 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2nc(C(C)C)oc2C)C1 ZINC001266318947 836110407 /nfs/dbraw/zinc/11/04/07/836110407.db2.gz DKOGTIRVVRESAI-CQSZACIVSA-N 1 2 319.405 1.560 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@H]1CCCC(F)(F)C1 ZINC001266324158 836122104 /nfs/dbraw/zinc/12/21/04/836122104.db2.gz WZCSVEXLCXHTBI-LBPRGKRZSA-N 1 2 317.380 1.162 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H]1CCCC(F)(F)C1 ZINC001266324158 836122107 /nfs/dbraw/zinc/12/21/07/836122107.db2.gz WZCSVEXLCXHTBI-LBPRGKRZSA-N 1 2 317.380 1.162 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CCC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001266460654 836292028 /nfs/dbraw/zinc/29/20/28/836292028.db2.gz ALAQMOMCJCIBBZ-ZDUSSCGKSA-N 1 2 320.462 1.977 20 30 DDEDLO Cc1nnsc1C[N@H+]1CCC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001266460654 836292036 /nfs/dbraw/zinc/29/20/36/836292036.db2.gz ALAQMOMCJCIBBZ-ZDUSSCGKSA-N 1 2 320.462 1.977 20 30 DDEDLO CN(CC#N)C[C@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001266465855 836302556 /nfs/dbraw/zinc/30/25/56/836302556.db2.gz DKJWYFTVDPXIES-RBSFLKMASA-N 1 2 315.421 1.218 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@@H+](Cc2nc(C)no2)C1 ZINC001266517882 836400599 /nfs/dbraw/zinc/40/05/99/836400599.db2.gz OZWSVCKGRWQECF-ZDUSSCGKSA-N 1 2 306.410 1.918 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@H+](Cc2nc(C)no2)C1 ZINC001266517882 836400610 /nfs/dbraw/zinc/40/06/10/836400610.db2.gz OZWSVCKGRWQECF-ZDUSSCGKSA-N 1 2 306.410 1.918 20 30 DDEDLO CN(CC[NH2+]Cc1nc(C2CC2)no1)C(=O)c1c[nH]c(C#N)c1 ZINC001266681581 836658636 /nfs/dbraw/zinc/65/86/36/836658636.db2.gz XYOGFOWXVBPODX-UHFFFAOYSA-N 1 2 314.349 1.009 20 30 DDEDLO C#CCC[NH2+][C@H](CNC(=O)c1[nH]ncc1F)c1ccccc1 ZINC001267370282 837932626 /nfs/dbraw/zinc/93/26/26/837932626.db2.gz NGOZHYBOCODXDY-CQSZACIVSA-N 1 2 300.337 1.633 20 30 DDEDLO C=CCC[N@H+]1CC[C@@H](N(CCC)C(=O)C2=NC(=O)N(C)C2)C1 ZINC001267480999 838152403 /nfs/dbraw/zinc/15/24/03/838152403.db2.gz CZCKLGLBCJFLAZ-CYBMUJFWSA-N 1 2 306.410 1.628 20 30 DDEDLO C=CCC[N@@H+]1CC[C@@H](N(CCC)C(=O)C2=NC(=O)N(C)C2)C1 ZINC001267480999 838152410 /nfs/dbraw/zinc/15/24/10/838152410.db2.gz CZCKLGLBCJFLAZ-CYBMUJFWSA-N 1 2 306.410 1.628 20 30 DDEDLO CCc1ocnc1C(=O)N[C@@H]1CCC[N@H+](CC#CCOC)C1 ZINC001267610717 838455714 /nfs/dbraw/zinc/45/57/14/838455714.db2.gz NDVOJVJRVMEDOZ-CYBMUJFWSA-N 1 2 305.378 1.081 20 30 DDEDLO CCc1ocnc1C(=O)N[C@@H]1CCC[N@@H+](CC#CCOC)C1 ZINC001267610717 838455717 /nfs/dbraw/zinc/45/57/17/838455717.db2.gz NDVOJVJRVMEDOZ-CYBMUJFWSA-N 1 2 305.378 1.081 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1CC(C)C ZINC001267712581 838700061 /nfs/dbraw/zinc/70/00/61/838700061.db2.gz RPROYBSBBPWQNW-LTIDMASMSA-N 1 2 304.434 1.604 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1CC(C)C ZINC001267712581 838700065 /nfs/dbraw/zinc/70/00/65/838700065.db2.gz RPROYBSBBPWQNW-LTIDMASMSA-N 1 2 304.434 1.604 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H](NC(=O)c2coc(C)c2)C1 ZINC001267740900 838809488 /nfs/dbraw/zinc/80/94/88/838809488.db2.gz ZAQDAHDCDHUYKZ-GXTWGEPZSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)c2coc(C)c2)C1 ZINC001267740900 838809498 /nfs/dbraw/zinc/80/94/98/838809498.db2.gz ZAQDAHDCDHUYKZ-GXTWGEPZSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NCC(C)C)C2)CCC1 ZINC001267750079 838845961 /nfs/dbraw/zinc/84/59/61/838845961.db2.gz WRYBSARIHITDME-OAHLLOKOSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@H+](CC(=O)NCC(C)C)C2)CCC1 ZINC001267750079 838845964 /nfs/dbraw/zinc/84/59/64/838845964.db2.gz WRYBSARIHITDME-OAHLLOKOSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001267754744 838862021 /nfs/dbraw/zinc/86/20/21/838862021.db2.gz UPCAIFQRYVHOFT-CQSZACIVSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001267754744 838862035 /nfs/dbraw/zinc/86/20/35/838862035.db2.gz UPCAIFQRYVHOFT-CQSZACIVSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCOCCCC(=O)N(C)[C@H]1CC[N@H+](Cc2ccon2)C1 ZINC001267758794 838877654 /nfs/dbraw/zinc/87/76/54/838877654.db2.gz FDUGNPCGMKFACZ-HNNXBMFYSA-N 1 2 307.394 1.690 20 30 DDEDLO C=CCOCCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2ccon2)C1 ZINC001267758794 838877665 /nfs/dbraw/zinc/87/76/65/838877665.db2.gz FDUGNPCGMKFACZ-HNNXBMFYSA-N 1 2 307.394 1.690 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)CC[C@@H]2CC(C)(C)CO2)C1 ZINC001268029233 839444165 /nfs/dbraw/zinc/44/41/65/839444165.db2.gz DDYRPFWSTQEHHU-CVEARBPZSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)CC[C@@H]2CC(C)(C)CO2)C1 ZINC001268029233 839444171 /nfs/dbraw/zinc/44/41/71/839444171.db2.gz DDYRPFWSTQEHHU-CVEARBPZSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC)C1 ZINC001268195598 839826116 /nfs/dbraw/zinc/82/61/16/839826116.db2.gz WJGQCPIOSNYZCG-HNNXBMFYSA-N 1 2 307.438 1.472 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC)C1 ZINC001268195598 839826118 /nfs/dbraw/zinc/82/61/18/839826118.db2.gz WJGQCPIOSNYZCG-HNNXBMFYSA-N 1 2 307.438 1.472 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2[C@H](CC[N@@H+]2Cc2csnn2)C1 ZINC001268264249 839918609 /nfs/dbraw/zinc/91/86/09/839918609.db2.gz RVNGHALAFVDVHJ-HIFRSBDPSA-N 1 2 318.446 1.620 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2[C@H](CC[N@H+]2Cc2csnn2)C1 ZINC001268264249 839918614 /nfs/dbraw/zinc/91/86/14/839918614.db2.gz RVNGHALAFVDVHJ-HIFRSBDPSA-N 1 2 318.446 1.620 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@H]2CCO[C@H](C(C)C)C2)C1 ZINC001268467288 840248150 /nfs/dbraw/zinc/24/81/50/840248150.db2.gz CEQSTBJNQIQZSC-RDJZCZTQSA-N 1 2 324.465 1.831 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C[NH2+]Cc1nc(C2CCCC2)no1 ZINC001268685991 840672099 /nfs/dbraw/zinc/67/20/99/840672099.db2.gz OHKBRXWTXNBJAF-ZDUSSCGKSA-N 1 2 318.421 1.981 20 30 DDEDLO CCc1nocc1C[NH+]1CC(CNC(=O)C#CC(C)(C)C)C1 ZINC001268826382 840873074 /nfs/dbraw/zinc/87/30/74/840873074.db2.gz YQVCLOVSLUFPDC-UHFFFAOYSA-N 1 2 303.406 1.835 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC1C[NH+](Cc2ccnn2C)C1 ZINC001268858534 840908954 /nfs/dbraw/zinc/90/89/54/840908954.db2.gz NSHKRZMQRJSZDK-KRWDZBQOSA-N 1 2 320.437 1.197 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COCc1cccc(OC)c1 ZINC001268952227 841034512 /nfs/dbraw/zinc/03/45/12/841034512.db2.gz WXQSJDSBRJJEIV-HOTGVXAUSA-N 1 2 314.385 1.130 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COCc1cccc(OC)c1 ZINC001268952227 841034520 /nfs/dbraw/zinc/03/45/20/841034520.db2.gz WXQSJDSBRJJEIV-HOTGVXAUSA-N 1 2 314.385 1.130 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)C ZINC001268985565 841087353 /nfs/dbraw/zinc/08/73/53/841087353.db2.gz SHWMYANVYBASFP-OKILXGFUSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(C)C ZINC001268985565 841087356 /nfs/dbraw/zinc/08/73/56/841087356.db2.gz SHWMYANVYBASFP-OKILXGFUSA-N 1 2 307.438 1.352 20 30 DDEDLO C=C(C(=O)N1C[C@@H]2COC[C@H](C1)[N@@H+]2C1CCCC1)C(F)(F)F ZINC001269004498 841107515 /nfs/dbraw/zinc/10/75/15/841107515.db2.gz FPHFPXYSGVVNFU-BETUJISGSA-N 1 2 318.339 1.959 20 30 DDEDLO C=C(C(=O)N1C[C@@H]2COC[C@H](C1)[N@H+]2C1CCCC1)C(F)(F)F ZINC001269004498 841107524 /nfs/dbraw/zinc/10/75/24/841107524.db2.gz FPHFPXYSGVVNFU-BETUJISGSA-N 1 2 318.339 1.959 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@H](NC(=O)C#CC(C)(C)C)C2CC2)o1 ZINC001269072035 841171296 /nfs/dbraw/zinc/17/12/96/841171296.db2.gz VZENOOCGOXCWGL-ZDUSSCGKSA-N 1 2 304.394 1.412 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2CCC=CCC2)C1 ZINC001269092197 841195527 /nfs/dbraw/zinc/19/55/27/841195527.db2.gz RULCMADNCMXTCC-HNNXBMFYSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2CCC=CCC2)C1 ZINC001269092197 841195535 /nfs/dbraw/zinc/19/55/35/841195535.db2.gz RULCMADNCMXTCC-HNNXBMFYSA-N 1 2 305.422 1.226 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)[C@@H]4C[C@@H]4C4CC4)C3)C2)cc1C#N ZINC001272119162 844631245 /nfs/dbraw/zinc/63/12/45/844631245.db2.gz NWODLZKOJBEZDB-IAGOWNOFSA-N 1 2 324.428 1.587 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C2(CC=C)CCCC2)C1 ZINC001269203286 841336526 /nfs/dbraw/zinc/33/65/26/841336526.db2.gz NSKXLDQIWBSLPR-OAHLLOKOSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C2(CC=C)CCCC2)C1 ZINC001269203286 841336538 /nfs/dbraw/zinc/33/65/38/841336538.db2.gz NSKXLDQIWBSLPR-OAHLLOKOSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001269206505 841343550 /nfs/dbraw/zinc/34/35/50/841343550.db2.gz YDDAKCNPXADHPO-CABCVRRESA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001269206505 841343561 /nfs/dbraw/zinc/34/35/61/841343561.db2.gz YDDAKCNPXADHPO-CABCVRRESA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@H+]2[C@@H](C)C(=O)NC2CC2)CCC1 ZINC001269292066 841478567 /nfs/dbraw/zinc/47/85/67/841478567.db2.gz NWFCVTXEZNMRGK-ZFWWWQNUSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@@H+]2[C@@H](C)C(=O)NC2CC2)CCC1 ZINC001269292066 841478575 /nfs/dbraw/zinc/47/85/75/841478575.db2.gz NWFCVTXEZNMRGK-ZFWWWQNUSA-N 1 2 319.449 1.590 20 30 DDEDLO CCC[C@H](CC)C(=O)N(CC)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269349063 841551352 /nfs/dbraw/zinc/55/13/52/841551352.db2.gz QCCOMNKABXPZQZ-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO CCC[C@H](CC)C(=O)N(CC)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269349063 841551357 /nfs/dbraw/zinc/55/13/57/841551357.db2.gz QCCOMNKABXPZQZ-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO CCCc1nc(C[NH2+]CC[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)no1 ZINC001326225510 861213428 /nfs/dbraw/zinc/21/34/28/861213428.db2.gz VBVXUYXYDWLDBQ-CHWSQXEVSA-N 1 2 319.409 1.510 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H](C)C[NH2+]Cc1nc(CC2CC2)no1 ZINC001270957628 843086400 /nfs/dbraw/zinc/08/64/00/843086400.db2.gz WFCAUYHHTMYQIX-CYBMUJFWSA-N 1 2 318.421 1.618 20 30 DDEDLO CC#CCCCC(=O)NCC1(O)C[NH+](CC2CC(F)(F)C2)C1 ZINC001271335043 843503530 /nfs/dbraw/zinc/50/35/30/843503530.db2.gz FUYOEUSLOPFDFV-UHFFFAOYSA-N 1 2 314.376 1.388 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CCCOC)C1 ZINC001149256053 861502156 /nfs/dbraw/zinc/50/21/56/861502156.db2.gz JVOKUNPMVLJGAB-CYBMUJFWSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CCCOC)C1 ZINC001149256053 861502169 /nfs/dbraw/zinc/50/21/69/861502169.db2.gz JVOKUNPMVLJGAB-CYBMUJFWSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)Cc2ccon2)C1 ZINC001149458605 861628852 /nfs/dbraw/zinc/62/88/52/861628852.db2.gz RPNVLUXYCIGIOZ-CYBMUJFWSA-N 1 2 313.785 1.177 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)Cc2ccon2)C1 ZINC001149458605 861628858 /nfs/dbraw/zinc/62/88/58/861628858.db2.gz RPNVLUXYCIGIOZ-CYBMUJFWSA-N 1 2 313.785 1.177 20 30 DDEDLO COCC#CC[NH2+]CC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001272441057 846141913 /nfs/dbraw/zinc/14/19/13/846141913.db2.gz RFTMUOKXRALHMS-VXGBXAGGSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[NH2+]CC[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001272441057 846141915 /nfs/dbraw/zinc/14/19/15/846141915.db2.gz RFTMUOKXRALHMS-VXGBXAGGSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C1(C(F)F)CC1 ZINC001234304409 846343894 /nfs/dbraw/zinc/34/38/94/846343894.db2.gz MTPFXQACLDXWGH-LBPRGKRZSA-N 1 2 300.349 1.214 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)C1(C(F)F)CC1 ZINC001234304409 846343901 /nfs/dbraw/zinc/34/39/01/846343901.db2.gz MTPFXQACLDXWGH-LBPRGKRZSA-N 1 2 300.349 1.214 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CCC(F)(F)F)c[nH]1 ZINC001032364798 847075514 /nfs/dbraw/zinc/07/55/14/847075514.db2.gz RNLXPLYDTHSXDK-RYUDHWBXSA-N 1 2 312.295 1.737 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CCC(F)(F)F)c[nH]1 ZINC001032364798 847075524 /nfs/dbraw/zinc/07/55/24/847075524.db2.gz RNLXPLYDTHSXDK-RYUDHWBXSA-N 1 2 312.295 1.737 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1ncccn1 ZINC001272794105 847435134 /nfs/dbraw/zinc/43/51/34/847435134.db2.gz PCBVALFSNPXFMA-QGZVFWFLSA-N 1 2 313.405 1.737 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1ncccn1 ZINC001272794105 847435139 /nfs/dbraw/zinc/43/51/39/847435139.db2.gz PCBVALFSNPXFMA-QGZVFWFLSA-N 1 2 313.405 1.737 20 30 DDEDLO CC[C@@H](C)N1C(=O)COCC12C[NH+](Cc1ccc(C#N)cc1)C2 ZINC001272907383 847618564 /nfs/dbraw/zinc/61/85/64/847618564.db2.gz FPNXQELMDIYXFL-CQSZACIVSA-N 1 2 313.401 1.770 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCC[C@@H](NC(=O)c2nnc[nH]2)C1 ZINC001034448357 848512144 /nfs/dbraw/zinc/51/21/44/848512144.db2.gz OZJQYZNYPINCCI-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCC[C@@H](NC(=O)c2nnc[nH]2)C1 ZINC001034448357 848512147 /nfs/dbraw/zinc/51/21/47/848512147.db2.gz OZJQYZNYPINCCI-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@H](C)NC(=O)CC)C(C)(C)C1 ZINC001410009733 848578059 /nfs/dbraw/zinc/57/80/59/848578059.db2.gz BEQCDOIBBVNNDR-NWDGAFQWSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@H](C)NC(=O)CC)C(C)(C)C1 ZINC001410009733 848578064 /nfs/dbraw/zinc/57/80/64/848578064.db2.gz BEQCDOIBBVNNDR-NWDGAFQWSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@H](CC)NC(C)=O)C(C)(C)C1 ZINC001410029158 848698584 /nfs/dbraw/zinc/69/85/84/848698584.db2.gz QKYWUBMDHVOCJX-QWHCGFSZSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@H](CC)NC(C)=O)C(C)(C)C1 ZINC001410029158 848698587 /nfs/dbraw/zinc/69/85/87/848698587.db2.gz QKYWUBMDHVOCJX-QWHCGFSZSA-N 1 2 315.845 1.480 20 30 DDEDLO C=CCn1cc(C[N@H+]2CCC[C@]23CCN(CC(F)F)C3=O)cn1 ZINC001273222981 848808261 /nfs/dbraw/zinc/80/82/61/848808261.db2.gz QJGVLVPIVDQHEK-MRXNPFEDSA-N 1 2 324.375 1.901 20 30 DDEDLO C=CCn1cc(C[N@@H+]2CCC[C@]23CCN(CC(F)F)C3=O)cn1 ZINC001273222981 848808267 /nfs/dbraw/zinc/80/82/67/848808267.db2.gz QJGVLVPIVDQHEK-MRXNPFEDSA-N 1 2 324.375 1.901 20 30 DDEDLO CC(C)c1nc(C[NH2+]C[C@H](C2CC2)N(C)C(=O)[C@H](C)C#N)no1 ZINC001410287932 849021748 /nfs/dbraw/zinc/02/17/48/849021748.db2.gz AZWDEBOHSSPYPY-DGCLKSJQSA-N 1 2 319.409 1.679 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1ccc(Cl)nn1 ZINC001273349311 849612029 /nfs/dbraw/zinc/61/20/29/849612029.db2.gz QFNZPDDOEJWZHX-MRXNPFEDSA-N 1 2 318.808 1.720 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1ccc(Cl)nn1 ZINC001273349311 849612033 /nfs/dbraw/zinc/61/20/33/849612033.db2.gz QFNZPDDOEJWZHX-MRXNPFEDSA-N 1 2 318.808 1.720 20 30 DDEDLO CCN(CC[NH2+]Cc1nc(C(F)F)no1)C(=O)C#CC1CC1 ZINC001273368238 849709701 /nfs/dbraw/zinc/70/97/01/849709701.db2.gz TTYVVYDWSZQHAM-UHFFFAOYSA-N 1 2 312.320 1.359 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CC(C)(C)C1)CO2 ZINC001327385431 862124331 /nfs/dbraw/zinc/12/43/31/862124331.db2.gz AFEQZTURMOUIFF-OAHLLOKOSA-N 1 2 322.449 1.585 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](CC(C)(C)C(=O)CC)C2)OCC1=O ZINC001273461018 850847496 /nfs/dbraw/zinc/84/74/96/850847496.db2.gz XHMYIDFPSZNWEP-UHFFFAOYSA-N 1 2 308.422 1.481 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3cccc4c3CCC4)C2)OCC1=O ZINC001273590106 851122272 /nfs/dbraw/zinc/12/22/72/851122272.db2.gz WDMWCYXRBYRTEC-UHFFFAOYSA-N 1 2 312.413 1.775 20 30 DDEDLO C=CCCC[NH+]1CC2(C1)CN(C(=O)c1nocc1C)CCO2 ZINC001273637054 851171949 /nfs/dbraw/zinc/17/19/49/851171949.db2.gz MPLOBBSRORDUIK-UHFFFAOYSA-N 1 2 305.378 1.476 20 30 DDEDLO C=C(C)CNC(=O)[C@H]1CC12CC[NH+](Cc1nnc(C)o1)CC2 ZINC001273792234 851348046 /nfs/dbraw/zinc/34/80/46/851348046.db2.gz LSPBKHNRMCLTRZ-CYBMUJFWSA-N 1 2 304.394 1.672 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@]1(C)CCN(c2ccc(C#N)nc2)C1 ZINC001110773755 851610739 /nfs/dbraw/zinc/61/07/39/851610739.db2.gz QKLCHHAKQYFVMZ-KRWDZBQOSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)Cc2ccco2)C1 ZINC001150480709 862264685 /nfs/dbraw/zinc/26/46/85/862264685.db2.gz PFHJGLMPHPTANJ-CQSZACIVSA-N 1 2 312.797 1.782 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)Cc2ccco2)C1 ZINC001150480709 862264704 /nfs/dbraw/zinc/26/47/04/862264704.db2.gz PFHJGLMPHPTANJ-CQSZACIVSA-N 1 2 312.797 1.782 20 30 DDEDLO C=C(C)CNC(=O)[C@@H]1CC12CC[NH+](Cc1nonc1C)CC2 ZINC001273992882 851792388 /nfs/dbraw/zinc/79/23/88/851792388.db2.gz NINDAQYTLMEADG-ZDUSSCGKSA-N 1 2 304.394 1.672 20 30 DDEDLO N#CCC[N@H+]1CCC[C@]12CCN(CCc1ccc(O)cc1)C2=O ZINC001274031767 851871360 /nfs/dbraw/zinc/87/13/60/851871360.db2.gz ZUMVCNCSVNZVSI-GOSISDBHSA-N 1 2 313.401 1.915 20 30 DDEDLO N#CCC[N@@H+]1CCC[C@]12CCN(CCc1ccc(O)cc1)C2=O ZINC001274031767 851871365 /nfs/dbraw/zinc/87/13/65/851871365.db2.gz ZUMVCNCSVNZVSI-GOSISDBHSA-N 1 2 313.401 1.915 20 30 DDEDLO N#Cc1ccnc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccc[nH]2)c1 ZINC001274210029 852037747 /nfs/dbraw/zinc/03/77/47/852037747.db2.gz QGUWWIIIFMUJAP-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1ccnc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccc[nH]2)c1 ZINC001274210029 852037759 /nfs/dbraw/zinc/03/77/59/852037759.db2.gz QGUWWIIIFMUJAP-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@@H+](Cc3ncccc3Cl)C2)OCC1=O ZINC001274246184 852089379 /nfs/dbraw/zinc/08/93/79/852089379.db2.gz IQPKXMSSBWZHLJ-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@H+](Cc3ncccc3Cl)C2)OCC1=O ZINC001274246184 852089388 /nfs/dbraw/zinc/08/93/88/852089388.db2.gz IQPKXMSSBWZHLJ-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@]2(CC[N@@H+](Cc3nc(CC)cs3)C2)OCC1=O ZINC001274579590 852412884 /nfs/dbraw/zinc/41/28/84/852412884.db2.gz PAVBSIWRZUQRMM-MRXNPFEDSA-N 1 2 319.430 1.142 20 30 DDEDLO C#CCN1C[C@]2(CC[N@H+](Cc3nc(CC)cs3)C2)OCC1=O ZINC001274579590 852412891 /nfs/dbraw/zinc/41/28/91/852412891.db2.gz PAVBSIWRZUQRMM-MRXNPFEDSA-N 1 2 319.430 1.142 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC1CC1)[NH2+]Cc1nsc(N(C)C)n1 ZINC001274714874 852549932 /nfs/dbraw/zinc/54/99/32/852549932.db2.gz VBNAMDUAZLANJX-NSHDSACASA-N 1 2 321.450 1.002 20 30 DDEDLO CCc1noc(C[NH2+][C@H](C)[C@H](C)NC(=O)C#CC(C)(C)C)n1 ZINC001329040243 863439360 /nfs/dbraw/zinc/43/93/60/863439360.db2.gz XGJAYJAYSVMTEF-NEPJUHHUSA-N 1 2 306.410 1.664 20 30 DDEDLO C[N@@H+]1CCC12CN(C(=O)c1ccc(C#N)cc1Br)C2 ZINC001275735028 853650038 /nfs/dbraw/zinc/65/00/38/853650038.db2.gz YEOTXYJKIHBPSA-UHFFFAOYSA-N 1 2 320.190 1.851 20 30 DDEDLO C[N@H+]1CCC12CN(C(=O)c1ccc(C#N)cc1Br)C2 ZINC001275735028 853650042 /nfs/dbraw/zinc/65/00/42/853650042.db2.gz YEOTXYJKIHBPSA-UHFFFAOYSA-N 1 2 320.190 1.851 20 30 DDEDLO CC[N@@H+]1CC[C@@H]1C(=O)N(CCC#N)c1ccc2c(c1)OCCO2 ZINC001412508328 854639324 /nfs/dbraw/zinc/63/93/24/854639324.db2.gz WBTNSTOCQPDQBL-CQSZACIVSA-N 1 2 315.373 1.799 20 30 DDEDLO CC[N@H+]1CC[C@@H]1C(=O)N(CCC#N)c1ccc2c(c1)OCCO2 ZINC001412508328 854639330 /nfs/dbraw/zinc/63/93/30/854639330.db2.gz WBTNSTOCQPDQBL-CQSZACIVSA-N 1 2 315.373 1.799 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)COc2ccc(F)cc2)C1 ZINC001276100479 854764706 /nfs/dbraw/zinc/76/47/06/854764706.db2.gz BJUKRYAMCQGYSZ-UHFFFAOYSA-N 1 2 322.380 1.334 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3C[C@@H](C2)N(Cc2c[nH]cn2)C3)cc1C#N ZINC001276204030 854957714 /nfs/dbraw/zinc/95/77/14/854957714.db2.gz JJCXCEIZBOFWHQ-PBHICJAKSA-N 1 2 310.405 1.326 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3C[C@@H](C2)N(Cc2c[nH]cn2)C3)cc1C#N ZINC001276204030 854957718 /nfs/dbraw/zinc/95/77/18/854957718.db2.gz JJCXCEIZBOFWHQ-PBHICJAKSA-N 1 2 310.405 1.326 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2nc3c(o2)CCCC3)C[C@H]1C ZINC001206659311 862627380 /nfs/dbraw/zinc/62/73/80/862627380.db2.gz JDMMDQLQGMMLSQ-YRGRVCCFSA-N 1 2 316.405 1.650 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2nc3c(o2)CCCC3)C[C@H]1C ZINC001206659311 862627385 /nfs/dbraw/zinc/62/73/85/862627385.db2.gz JDMMDQLQGMMLSQ-YRGRVCCFSA-N 1 2 316.405 1.650 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C)C1C[NH+](Cc2ccc(Cl)nc2)C1 ZINC001276359167 855714506 /nfs/dbraw/zinc/71/45/06/855714506.db2.gz FROFSRQSDGXFOI-GHMZBOCLSA-N 1 2 306.797 1.831 20 30 DDEDLO N#Cc1cccc(-c2nc(NC(=O)[C@@H]3CCC[N@@H+]3C3CC3)n[nH]2)c1 ZINC001412870084 855743810 /nfs/dbraw/zinc/74/38/10/855743810.db2.gz UKOFZPNMRYTCQL-AWEZNQCLSA-N 1 2 322.372 1.909 20 30 DDEDLO N#Cc1cccc(-c2nc(NC(=O)[C@@H]3CCC[N@H+]3C3CC3)n[nH]2)c1 ZINC001412870084 855743812 /nfs/dbraw/zinc/74/38/12/855743812.db2.gz UKOFZPNMRYTCQL-AWEZNQCLSA-N 1 2 322.372 1.909 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@@H+]2CCOC[C@H]2[C@@H]2CCCO2)c1 ZINC001412979636 855852764 /nfs/dbraw/zinc/85/27/64/855852764.db2.gz BIKNXARPSGNQHB-BBWFWOEESA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@H+]2CCOC[C@H]2[C@@H]2CCCO2)c1 ZINC001412979636 855852775 /nfs/dbraw/zinc/85/27/75/855852775.db2.gz BIKNXARPSGNQHB-BBWFWOEESA-N 1 2 302.374 1.471 20 30 DDEDLO C#CC[N@H+](CC)[C@H](C)CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001156119972 862735444 /nfs/dbraw/zinc/73/54/44/862735444.db2.gz NXIFLOCHAADSNY-QWHCGFSZSA-N 1 2 302.422 1.608 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H](NC(=O)CSCC#N)C[C@H]2C)o1 ZINC001328243307 862813810 /nfs/dbraw/zinc/81/38/10/862813810.db2.gz OZPUTWLZYVCNDG-ZYHUDNBSSA-N 1 2 323.422 1.104 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H](NC(=O)CSCC#N)C[C@H]2C)o1 ZINC001328243307 862813831 /nfs/dbraw/zinc/81/38/31/862813831.db2.gz OZPUTWLZYVCNDG-ZYHUDNBSSA-N 1 2 323.422 1.104 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]1C[N@H+](C)Cc1nccn1C ZINC001328719097 863170304 /nfs/dbraw/zinc/17/03/04/863170304.db2.gz FNBCECXMVMIWTB-HNNXBMFYSA-N 1 2 302.422 1.502 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1nccn1C ZINC001328719097 863170316 /nfs/dbraw/zinc/17/03/16/863170316.db2.gz FNBCECXMVMIWTB-HNNXBMFYSA-N 1 2 302.422 1.502 20 30 DDEDLO C=CCn1c(N2CC=CCC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001121707634 858577793 /nfs/dbraw/zinc/57/77/93/858577793.db2.gz AJKHXFOKCPKXNN-XJKSGUPXSA-N 1 2 303.410 1.142 20 30 DDEDLO C=CCn1c(N2CC=CCC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121707634 858577797 /nfs/dbraw/zinc/57/77/97/858577797.db2.gz AJKHXFOKCPKXNN-XJKSGUPXSA-N 1 2 303.410 1.142 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1CC ZINC001121803486 858599762 /nfs/dbraw/zinc/59/97/62/858599762.db2.gz LYQRSCZXGPUPDX-ZIAGYGMSSA-N 1 2 305.426 1.538 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1CC ZINC001121803486 858599772 /nfs/dbraw/zinc/59/97/72/858599772.db2.gz LYQRSCZXGPUPDX-ZIAGYGMSSA-N 1 2 305.426 1.538 20 30 DDEDLO CC(C)OCCCNC(=O)[C@H](C#N)C(=O)[C@H]1CCC[N@@H+]1C(C)C ZINC001122442730 858815759 /nfs/dbraw/zinc/81/57/59/858815759.db2.gz UXEBRYMYJDZWSF-HUUCEWRRSA-N 1 2 323.437 1.499 20 30 DDEDLO CC(C)OCCCNC(=O)[C@H](C#N)C(=O)[C@H]1CCC[N@H+]1C(C)C ZINC001122442730 858815762 /nfs/dbraw/zinc/81/57/62/858815762.db2.gz UXEBRYMYJDZWSF-HUUCEWRRSA-N 1 2 323.437 1.499 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)[C@@H]1C ZINC001123066195 859077047 /nfs/dbraw/zinc/07/70/47/859077047.db2.gz AYDULUSCDTZRNF-GXTWGEPZSA-N 1 2 302.378 1.226 20 30 DDEDLO CC(C)(C)c1cnc(C[NH2+]CCNC(=O)CSCC#N)o1 ZINC001123799612 859420192 /nfs/dbraw/zinc/42/01/92/859420192.db2.gz YAIFZXMYOVOHND-UHFFFAOYSA-N 1 2 310.423 1.435 20 30 DDEDLO Cc1cc(NC[C@@H](O)CN(C)C(=O)[C@@H](C)C#N)nc(C(C)C)[nH+]1 ZINC001124190080 859620030 /nfs/dbraw/zinc/62/00/30/859620030.db2.gz BYYRQKQZXQGYRP-WCQYABFASA-N 1 2 319.409 1.299 20 30 DDEDLO C=CCOCC(=O)NCC[NH2+]Cc1nc(CCC(C)C)no1 ZINC001124777764 859808680 /nfs/dbraw/zinc/80/86/80/859808680.db2.gz HRSLALKLCIPYAJ-UHFFFAOYSA-N 1 2 310.398 1.067 20 30 DDEDLO COc1ccc(CN2CC([NH+]3C[C@H](C)O[C@@H](C)C3)C2)c(C#N)c1 ZINC001137706924 859964958 /nfs/dbraw/zinc/96/49/58/859964958.db2.gz WRCPGPLQMQNVSN-KBPBESRZSA-N 1 2 315.417 1.860 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCO[C@H](COC)C2)cc1OC ZINC001138346566 860067093 /nfs/dbraw/zinc/06/70/93/860067093.db2.gz ILGLMLCCPVKXAI-HNNXBMFYSA-N 1 2 305.374 1.554 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCO[C@H](COC)C2)cc1OC ZINC001138346566 860067105 /nfs/dbraw/zinc/06/71/05/860067105.db2.gz ILGLMLCCPVKXAI-HNNXBMFYSA-N 1 2 305.374 1.554 20 30 DDEDLO C=CCn1cc(C[NH+]2CCN(CC(=O)OC(C)(C)C)CC2)cn1 ZINC001139770197 860476508 /nfs/dbraw/zinc/47/65/08/860476508.db2.gz GXROSYHJQVCEQM-UHFFFAOYSA-N 1 2 320.437 1.528 20 30 DDEDLO C=CCOC[C@@H]1CCC[C@@]12C[N@H+](Cc1nccnc1N)CCO2 ZINC001140013265 860548098 /nfs/dbraw/zinc/54/80/98/860548098.db2.gz ADNRZAFSBDUFCC-WMLDXEAASA-N 1 2 318.421 1.633 20 30 DDEDLO C=CCOC[C@@H]1CCC[C@@]12C[N@@H+](Cc1nccnc1N)CCO2 ZINC001140013265 860548101 /nfs/dbraw/zinc/54/81/01/860548101.db2.gz ADNRZAFSBDUFCC-WMLDXEAASA-N 1 2 318.421 1.633 20 30 DDEDLO N#Cc1ccc2[nH]c(C(=O)NCC3([NH+]4CCCC4)COC3)cc2c1 ZINC001156461477 863070410 /nfs/dbraw/zinc/07/04/10/863070410.db2.gz PCWIDAHZUJIURE-UHFFFAOYSA-N 1 2 324.384 1.634 20 30 DDEDLO C=CC[N@@H+](C)CCN(CC)C(=O)CC1(CS(C)(=O)=O)CC1 ZINC001156672565 863255843 /nfs/dbraw/zinc/25/58/43/863255843.db2.gz UBEPYQJWOQJQGF-UHFFFAOYSA-N 1 2 316.467 1.168 20 30 DDEDLO C=CC[N@H+](C)CCN(CC)C(=O)CC1(CS(C)(=O)=O)CC1 ZINC001156672565 863255852 /nfs/dbraw/zinc/25/58/52/863255852.db2.gz UBEPYQJWOQJQGF-UHFFFAOYSA-N 1 2 316.467 1.168 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@H](C)[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001329025943 863428749 /nfs/dbraw/zinc/42/87/49/863428749.db2.gz DSIBUIKFJPDTPL-QWHCGFSZSA-N 1 2 320.441 1.070 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)cn1 ZINC001157217088 863657805 /nfs/dbraw/zinc/65/78/05/863657805.db2.gz HBFUHGOVZKYFEG-CABCVRRESA-N 1 2 320.437 1.703 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)cn1 ZINC001157217088 863657813 /nfs/dbraw/zinc/65/78/13/863657813.db2.gz HBFUHGOVZKYFEG-CABCVRRESA-N 1 2 320.437 1.703 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nonc1C ZINC001157217307 863658173 /nfs/dbraw/zinc/65/81/73/863658173.db2.gz MZNWJSMZIHBCBH-DGCLKSJQSA-N 1 2 310.398 1.296 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nonc1C ZINC001157217307 863658180 /nfs/dbraw/zinc/65/81/80/863658180.db2.gz MZNWJSMZIHBCBH-DGCLKSJQSA-N 1 2 310.398 1.296 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1cscn1 ZINC001153090446 863701628 /nfs/dbraw/zinc/70/16/28/863701628.db2.gz HYHCOMVYLHSTEH-DOMZBBRYSA-N 1 2 311.451 1.797 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cscn1 ZINC001153090446 863701636 /nfs/dbraw/zinc/70/16/36/863701636.db2.gz HYHCOMVYLHSTEH-DOMZBBRYSA-N 1 2 311.451 1.797 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001153091519 863705142 /nfs/dbraw/zinc/70/51/42/863705142.db2.gz RIROGYLDAGDOOS-UHFFFAOYSA-N 1 2 320.349 1.128 20 30 DDEDLO C=CCC[C@@H](C)[NH+]1CC(CCO)(NC(=O)C(=O)C(C)(C)C)C1 ZINC001329641743 863766723 /nfs/dbraw/zinc/76/67/23/863766723.db2.gz KYWNWFMBYHJIBP-CYBMUJFWSA-N 1 2 310.438 1.509 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1coc(C)n1 ZINC001153306853 863815712 /nfs/dbraw/zinc/81/57/12/863815712.db2.gz VSNOFVZUADZVSA-CHWSQXEVSA-N 1 2 307.394 1.348 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1coc(C)n1 ZINC001153306853 863815715 /nfs/dbraw/zinc/81/57/15/863815715.db2.gz VSNOFVZUADZVSA-CHWSQXEVSA-N 1 2 307.394 1.348 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H](C)OC)C2)C1 ZINC001330159810 864112263 /nfs/dbraw/zinc/11/22/63/864112263.db2.gz HEVHSROMGFEAEH-WBVHZDCISA-N 1 2 308.422 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@@H](C)OC)C2)C1 ZINC001330159810 864112267 /nfs/dbraw/zinc/11/22/67/864112267.db2.gz HEVHSROMGFEAEH-WBVHZDCISA-N 1 2 308.422 1.128 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001158147648 864457382 /nfs/dbraw/zinc/45/73/82/864457382.db2.gz DVVFOZLMLQRDLH-GOEBONIOSA-N 1 2 315.421 1.282 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1noc(C2CC2)n1 ZINC001225427699 881829070 /nfs/dbraw/zinc/82/90/70/881829070.db2.gz VKDSBUFRKJLYLJ-CYZMBNFOSA-N 1 2 317.393 1.624 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](CO)[NH2+]Cc1nc(C)oc1C ZINC001331759896 865300145 /nfs/dbraw/zinc/30/01/45/865300145.db2.gz XFCXFBAUHPOASA-CYBMUJFWSA-N 1 2 309.410 1.460 20 30 DDEDLO C[C@@H]([NH2+]CCN1CCC(CO)CC1)c1cccc(C#N)c1O ZINC001331907239 865426016 /nfs/dbraw/zinc/42/60/16/865426016.db2.gz HERZYKHNTUXKOZ-CYBMUJFWSA-N 1 2 303.406 1.619 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1ncc(F)cc1C#N ZINC001160691617 866031858 /nfs/dbraw/zinc/03/18/58/866031858.db2.gz UOCBDAQMRPRNPL-LBPRGKRZSA-N 1 2 303.297 1.022 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1(C2CC2)CC1 ZINC001323258742 866477679 /nfs/dbraw/zinc/47/76/79/866477679.db2.gz AXDCNACKOQKOQR-TZMCWYRMSA-N 1 2 305.422 1.058 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1(C2CC2)CC1 ZINC001323258742 866477701 /nfs/dbraw/zinc/47/77/01/866477701.db2.gz AXDCNACKOQKOQR-TZMCWYRMSA-N 1 2 305.422 1.058 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCCCCC1 ZINC001323292743 866505106 /nfs/dbraw/zinc/50/51/06/866505106.db2.gz HMMGLGJJDVPVTC-INIZCTEOSA-N 1 2 321.465 1.936 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCCCCC1 ZINC001323292743 866505118 /nfs/dbraw/zinc/50/51/18/866505118.db2.gz HMMGLGJJDVPVTC-INIZCTEOSA-N 1 2 321.465 1.936 20 30 DDEDLO C=CCOCC(=O)NCC1([NH2+]Cc2nn(C)cc2Cl)CC1 ZINC001323405309 866593755 /nfs/dbraw/zinc/59/37/55/866593755.db2.gz FQYMOOZPKVKVFA-UHFFFAOYSA-N 1 2 312.801 1.015 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2([NH2+]Cc3cscn3)CC2)nc1 ZINC001323596712 866711860 /nfs/dbraw/zinc/71/18/60/866711860.db2.gz PNMLJVKDNIVVOB-UHFFFAOYSA-N 1 2 312.398 1.572 20 30 DDEDLO C#CCCCCNC(=O)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC001323619235 866726756 /nfs/dbraw/zinc/72/67/56/866726756.db2.gz JJIQONYSPXJDSE-UHFFFAOYSA-N 1 2 324.384 1.408 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C1C[NH+](CCOCC2CC2)C1 ZINC001323980334 866990348 /nfs/dbraw/zinc/99/03/48/866990348.db2.gz ANBYRPXXULHFQA-CVEARBPZSA-N 1 2 308.422 1.147 20 30 DDEDLO C=CCCC(=O)N(C)CC(C)(C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001334013802 867234866 /nfs/dbraw/zinc/23/48/66/867234866.db2.gz KYAHBDJCJWMWHC-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]C/C=C\CNC(=O)C#CC(C)C)s1 ZINC001321054526 867269005 /nfs/dbraw/zinc/26/90/05/867269005.db2.gz NBDDCKJXXCCBGX-FJOGCWAESA-N 1 2 306.435 1.829 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001324559750 867354439 /nfs/dbraw/zinc/35/44/39/867354439.db2.gz JGGPTISFGYAAEN-IAGOWNOFSA-N 1 2 320.481 1.801 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@]1(O)CC[N@@H+](Cc2nocc2C)C1 ZINC001325122783 867791271 /nfs/dbraw/zinc/79/12/71/867791271.db2.gz JGOBXKZVRBCHNQ-INIZCTEOSA-N 1 2 307.394 1.248 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@]1(O)CC[N@H+](Cc2nocc2C)C1 ZINC001325122783 867791289 /nfs/dbraw/zinc/79/12/89/867791289.db2.gz JGOBXKZVRBCHNQ-INIZCTEOSA-N 1 2 307.394 1.248 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)N[C@@](C)(C3CCCC3)C2=O)C1 ZINC001321808556 867805001 /nfs/dbraw/zinc/80/50/01/867805001.db2.gz HIFXIRLEMUYIIQ-PBHICJAKSA-N 1 2 321.421 1.722 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)N[C@@](C)(C3CCCC3)C2=O)C1 ZINC001321808556 867805019 /nfs/dbraw/zinc/80/50/19/867805019.db2.gz HIFXIRLEMUYIIQ-PBHICJAKSA-N 1 2 321.421 1.722 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001334958425 867948065 /nfs/dbraw/zinc/94/80/65/867948065.db2.gz NNXHWGAZOGDUJV-KBPBESRZSA-N 1 2 318.421 1.764 20 30 DDEDLO C=C1CCN(C(=O)Nc2cnn(CC[NH+]3CCOCC3)c2)CC1 ZINC001335927840 868625438 /nfs/dbraw/zinc/62/54/38/868625438.db2.gz OQHHARGVHNMBTP-UHFFFAOYSA-N 1 2 319.409 1.399 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](C#N)C2)c(N(C)C)[nH+]1 ZINC001337007522 869257096 /nfs/dbraw/zinc/25/70/96/869257096.db2.gz ULYONEZYBNLHGF-LBPRGKRZSA-N 1 2 315.377 1.157 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cnc2c(cnn2C)c1 ZINC001381601843 882287979 /nfs/dbraw/zinc/28/79/79/882287979.db2.gz PQKSYDGGDILRKP-LLVKDONJSA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cnc2c(cnn2C)c1 ZINC001381601843 882287994 /nfs/dbraw/zinc/28/79/94/882287994.db2.gz PQKSYDGGDILRKP-LLVKDONJSA-N 1 2 321.812 1.771 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H](C)NC(=O)CCCn1cc[nH+]c1 ZINC001337164913 869356156 /nfs/dbraw/zinc/35/61/56/869356156.db2.gz FEUJHWYOWVNDTI-OAHLLOKOSA-N 1 2 318.421 1.286 20 30 DDEDLO C[N@@H+]1CCO[C@H](COc2ncc(Br)cc2C#N)C1 ZINC001226284645 882324977 /nfs/dbraw/zinc/32/49/77/882324977.db2.gz HOQBJKSGBVJVAT-NSHDSACASA-N 1 2 312.167 1.425 20 30 DDEDLO C[N@H+]1CCO[C@H](COc2ncc(Br)cc2C#N)C1 ZINC001226284645 882324995 /nfs/dbraw/zinc/32/49/95/882324995.db2.gz HOQBJKSGBVJVAT-NSHDSACASA-N 1 2 312.167 1.425 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](C)N(C(=O)C#CC(C)C)C1 ZINC001338088011 869792975 /nfs/dbraw/zinc/79/29/75/869792975.db2.gz GTCNHFUHYLAIEQ-JSGCOSHPSA-N 1 2 316.405 1.026 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@@H](C)N(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001338155318 869838493 /nfs/dbraw/zinc/83/84/93/869838493.db2.gz PIRSLFSFWNKSPA-DGCLKSJQSA-N 1 2 304.394 1.270 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@@H](C)c2ccccc2F)CC1 ZINC001316972525 870023371 /nfs/dbraw/zinc/02/33/71/870023371.db2.gz SAIYPTMXSNOEPN-HNNXBMFYSA-N 1 2 317.408 1.296 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](CC)NC(=O)Cc1[nH]cc[nH+]1 ZINC001297472550 870065079 /nfs/dbraw/zinc/06/50/79/870065079.db2.gz YWJKKOXTDVODQE-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)c2cc(C)co2)C1 ZINC001316985000 870065787 /nfs/dbraw/zinc/06/57/87/870065787.db2.gz GWPIDWQIGVTJNN-OLZOCXBDSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)c2cc(C)co2)C1 ZINC001316985000 870065798 /nfs/dbraw/zinc/06/57/98/870065798.db2.gz GWPIDWQIGVTJNN-OLZOCXBDSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2cccc(F)c2F)C1 ZINC001316984708 870066411 /nfs/dbraw/zinc/06/64/11/870066411.db2.gz DXIOXHAOOJNHNA-LLVKDONJSA-N 1 2 323.343 1.071 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(F)c2F)C1 ZINC001316984708 870066427 /nfs/dbraw/zinc/06/64/27/870066427.db2.gz DXIOXHAOOJNHNA-LLVKDONJSA-N 1 2 323.343 1.071 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001316987147 870074122 /nfs/dbraw/zinc/07/41/22/870074122.db2.gz VABBZYBLSNRJAW-OLZOCXBDSA-N 1 2 307.394 1.976 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001316987147 870074131 /nfs/dbraw/zinc/07/41/31/870074131.db2.gz VABBZYBLSNRJAW-OLZOCXBDSA-N 1 2 307.394 1.976 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCCC[C@H](C)C1 ZINC001338773146 870159860 /nfs/dbraw/zinc/15/98/60/870159860.db2.gz NZPIDWGUCMHCKQ-ZNMIVQPWSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCCC[C@H](C)C1 ZINC001338773146 870159869 /nfs/dbraw/zinc/15/98/69/870159869.db2.gz NZPIDWGUCMHCKQ-ZNMIVQPWSA-N 1 2 319.453 1.828 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001317054141 870171647 /nfs/dbraw/zinc/17/16/47/870171647.db2.gz MRSASVVVHRGOLR-ZDUSSCGKSA-N 1 2 320.393 1.100 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1CCCOCC1 ZINC001338927178 870246488 /nfs/dbraw/zinc/24/64/88/870246488.db2.gz OIIHNKOQTTXMBI-UHFFFAOYSA-N 1 2 305.426 1.677 20 30 DDEDLO C=CCCCC(=O)NC1CC(N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001339225072 870410724 /nfs/dbraw/zinc/41/07/24/870410724.db2.gz ASPASAVDAVXORQ-UHFFFAOYSA-N 1 2 304.394 1.345 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCC3(F)F)n2CC)CC1 ZINC001339428537 870494478 /nfs/dbraw/zinc/49/44/78/870494478.db2.gz WFRRIVCGYPLVNI-ZDUSSCGKSA-N 1 2 323.391 1.956 20 30 DDEDLO C#Cc1ccc(NCC2([NH+]3CCOCC3)CC(OCC)C2)nc1 ZINC001339692600 870634872 /nfs/dbraw/zinc/63/48/72/870634872.db2.gz SEJFVICZKFJLQO-UHFFFAOYSA-N 1 2 315.417 1.745 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001298667858 870666235 /nfs/dbraw/zinc/66/62/35/870666235.db2.gz XBNQRASGXZXWLN-GFCCVEGCSA-N 1 2 306.410 1.186 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC1CC[NH+](Cc2cnns2)CC1 ZINC001226447163 882436300 /nfs/dbraw/zinc/43/63/00/882436300.db2.gz HZPKDUZOHKTIND-LBPRGKRZSA-N 1 2 324.450 1.600 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]([N@H+](C)Cc2cnns2)C1 ZINC001317373299 870729318 /nfs/dbraw/zinc/72/93/18/870729318.db2.gz MQEOMHRNKRNYOY-SWLSCSKDSA-N 1 2 324.450 1.288 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]([N@@H+](C)Cc2cnns2)C1 ZINC001317373299 870729327 /nfs/dbraw/zinc/72/93/27/870729327.db2.gz MQEOMHRNKRNYOY-SWLSCSKDSA-N 1 2 324.450 1.288 20 30 DDEDLO C=CCN1CCN(C(C)C)C(=O)[C@]12CC[N@H+](Cc1ncc[nH]1)C2 ZINC001203978524 870867327 /nfs/dbraw/zinc/86/73/27/870867327.db2.gz YHRDXRAQHRMMBC-QGZVFWFLSA-N 1 2 317.437 1.093 20 30 DDEDLO C=CCN1CCN(C(C)C)C(=O)[C@]12CC[N@@H+](Cc1ncc[nH]1)C2 ZINC001203978524 870867335 /nfs/dbraw/zinc/86/73/35/870867335.db2.gz YHRDXRAQHRMMBC-QGZVFWFLSA-N 1 2 317.437 1.093 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001317527806 870999955 /nfs/dbraw/zinc/99/99/55/870999955.db2.gz PBBCEGOOEMDNFH-CYBMUJFWSA-N 1 2 305.426 1.448 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+](Cc2cnn(CC)n2)C1 ZINC001317527806 870999963 /nfs/dbraw/zinc/99/99/63/870999963.db2.gz PBBCEGOOEMDNFH-CYBMUJFWSA-N 1 2 305.426 1.448 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCCC2(CC2)C1 ZINC001340376886 871067488 /nfs/dbraw/zinc/06/74/88/871067488.db2.gz YKZJWGBHWLAHRU-KGLIPLIRSA-N 1 2 317.437 1.582 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCCC2(CC2)C1 ZINC001340376886 871067499 /nfs/dbraw/zinc/06/74/99/871067499.db2.gz YKZJWGBHWLAHRU-KGLIPLIRSA-N 1 2 317.437 1.582 20 30 DDEDLO C=CCn1c([C@H]2CCC[N@H+]2C)nnc1N1CC[C@@](F)(C#N)C1 ZINC001340491595 871147429 /nfs/dbraw/zinc/14/74/29/871147429.db2.gz JKGMSBMPFTVVCI-IUODEOHRSA-N 1 2 304.373 1.673 20 30 DDEDLO C=CCn1c([C@H]2CCC[N@@H+]2C)nnc1N1CC[C@@](F)(C#N)C1 ZINC001340491595 871147435 /nfs/dbraw/zinc/14/74/35/871147435.db2.gz JKGMSBMPFTVVCI-IUODEOHRSA-N 1 2 304.373 1.673 20 30 DDEDLO CCC#C[C@@H](C)[NH+]1CCN([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC001307462109 871437745 /nfs/dbraw/zinc/43/77/45/871437745.db2.gz RJYZCQHARFLGAS-CVEARBPZSA-N 1 2 305.466 1.853 20 30 DDEDLO CCC1(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CC#CCOC)CCC1 ZINC001317739752 871455816 /nfs/dbraw/zinc/45/58/16/871455816.db2.gz NIZODEXYJKDZGA-IYBDPMFKSA-N 1 2 304.434 1.892 20 30 DDEDLO CCC1(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CC#CCOC)CCC1 ZINC001317739752 871455827 /nfs/dbraw/zinc/45/58/27/871455827.db2.gz NIZODEXYJKDZGA-IYBDPMFKSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCC[C@@H]3O)n2CC)CC1 ZINC001341115359 871508191 /nfs/dbraw/zinc/50/81/91/871508191.db2.gz DOPCKZOHEFCORJ-CABCVRRESA-N 1 2 317.437 1.072 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1F ZINC001318189820 871810076 /nfs/dbraw/zinc/81/00/76/871810076.db2.gz BYBDVJSUCALSTH-GJZGRUSLSA-N 1 2 304.365 1.813 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccc1F ZINC001318189820 871810100 /nfs/dbraw/zinc/81/01/00/871810100.db2.gz BYBDVJSUCALSTH-GJZGRUSLSA-N 1 2 304.365 1.813 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cn(C)nc1C ZINC001318201028 871820444 /nfs/dbraw/zinc/82/04/44/871820444.db2.gz BOHBWCQNILQDPC-HOTGVXAUSA-N 1 2 302.422 1.870 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cn(C)nc1C ZINC001318201028 871820454 /nfs/dbraw/zinc/82/04/54/871820454.db2.gz BOHBWCQNILQDPC-HOTGVXAUSA-N 1 2 302.422 1.870 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1C ZINC001318209563 871830658 /nfs/dbraw/zinc/83/06/58/871830658.db2.gz NYRXVVYBVVTLES-FXUDXRNXSA-N 1 2 317.433 1.128 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1C ZINC001318209563 871830675 /nfs/dbraw/zinc/83/06/75/871830675.db2.gz NYRXVVYBVVTLES-FXUDXRNXSA-N 1 2 317.433 1.128 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C12CCC2 ZINC001341861806 871861651 /nfs/dbraw/zinc/86/16/51/871861651.db2.gz GLVOVHIHXDZRGC-KGLIPLIRSA-N 1 2 316.405 1.393 20 30 DDEDLO Cc1nnc([C@@H](C)[NH+]2CCC(NC(=O)[C@H](C)C#N)CC2)s1 ZINC001226639411 882560616 /nfs/dbraw/zinc/56/06/16/882560616.db2.gz BXXIZHWPSAWSTD-NXEZZACHSA-N 1 2 307.423 1.648 20 30 DDEDLO CC(C)n1ccc(C[NH+]2CCC(NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001226640967 882561861 /nfs/dbraw/zinc/56/18/61/882561861.db2.gz SOCINECIZCZQMF-ZDUSSCGKSA-N 1 2 303.410 1.704 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCC=CCCC2)C1 ZINC001318338527 871944502 /nfs/dbraw/zinc/94/45/02/871944502.db2.gz UKLSILHUYLFTCB-HZPDHXFCSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCC=CCCC2)C1 ZINC001318338527 871944510 /nfs/dbraw/zinc/94/45/10/871944510.db2.gz UKLSILHUYLFTCB-HZPDHXFCSA-N 1 2 319.449 1.616 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1CC[NH+]([C@@H](C)c2nc(C3CC3)no2)CC1 ZINC001226648874 882564087 /nfs/dbraw/zinc/56/40/87/882564087.db2.gz OOCBBANONYXCBC-QWRGUYRKSA-N 1 2 317.393 1.748 20 30 DDEDLO CCc1nc(C[NH+]2CCC(NC(=O)[C@@H](C)C#N)CC2)cs1 ZINC001226648624 882564127 /nfs/dbraw/zinc/56/41/27/882564127.db2.gz LRQYDJWOEXQHDK-NSHDSACASA-N 1 2 306.435 1.946 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001479240255 872053567 /nfs/dbraw/zinc/05/35/67/872053567.db2.gz DMACXEOPIIADAX-INIZCTEOSA-N 1 2 321.465 1.888 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001479240255 872053586 /nfs/dbraw/zinc/05/35/86/872053586.db2.gz DMACXEOPIIADAX-INIZCTEOSA-N 1 2 321.465 1.888 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)C1 ZINC001342228391 872086308 /nfs/dbraw/zinc/08/63/08/872086308.db2.gz JVOAPRLJNZMUAA-QLFBSQMISA-N 1 2 311.426 1.082 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)[C@H]1CC[N@H+](Cc2nccs2)C1 ZINC001318470885 872092661 /nfs/dbraw/zinc/09/26/61/872092661.db2.gz ONCFWUKRCGFOCY-BBRMVZONSA-N 1 2 323.462 1.893 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)[C@H]1CC[N@@H+](Cc2nccs2)C1 ZINC001318470885 872092682 /nfs/dbraw/zinc/09/26/82/872092682.db2.gz ONCFWUKRCGFOCY-BBRMVZONSA-N 1 2 323.462 1.893 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001342265987 872113086 /nfs/dbraw/zinc/11/30/86/872113086.db2.gz LZYKGVWPOQSIMK-ZDUSSCGKSA-N 1 2 304.394 1.518 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](CC)[NH2+]Cc2cnsn2)nc1 ZINC001318594689 872162431 /nfs/dbraw/zinc/16/24/31/872162431.db2.gz JQPFEOBGVHMVGF-GFCCVEGCSA-N 1 2 315.402 1.213 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[C@H](Cc3[nH+]ccn3C)C2)c1 ZINC001342459990 872219276 /nfs/dbraw/zinc/21/92/76/872219276.db2.gz AUFQFGSQXPZNFN-OAHLLOKOSA-N 1 2 308.385 1.891 20 30 DDEDLO CC(C)(C)CNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001316944872 872433954 /nfs/dbraw/zinc/43/39/54/872433954.db2.gz OHYTWDYAANHCLC-OAHLLOKOSA-N 1 2 319.449 1.143 20 30 DDEDLO CC(C)(C)CNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001316944872 872433957 /nfs/dbraw/zinc/43/39/57/872433957.db2.gz OHYTWDYAANHCLC-OAHLLOKOSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2CCC=CCC2)C1 ZINC001316950406 872449599 /nfs/dbraw/zinc/44/95/99/872449599.db2.gz ABEYGOCEKPGOCW-MRXNPFEDSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C2CCC=CCC2)C1 ZINC001316950406 872449606 /nfs/dbraw/zinc/44/96/06/872449606.db2.gz ABEYGOCEKPGOCW-MRXNPFEDSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2cccnc2OC)C1 ZINC001206603674 872469611 /nfs/dbraw/zinc/46/96/11/872469611.db2.gz WEQXAPKYFSIOCZ-CQSZACIVSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2cccnc2OC)C1 ZINC001206603674 872469632 /nfs/dbraw/zinc/46/96/32/872469632.db2.gz WEQXAPKYFSIOCZ-CQSZACIVSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C[C@@H]2Cc3ccccc3O2)C1 ZINC001319307645 872557808 /nfs/dbraw/zinc/55/78/08/872557808.db2.gz AIHOOHKBQUHXQN-HOTGVXAUSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C[C@@H]2Cc3ccccc3O2)C1 ZINC001319307645 872557816 /nfs/dbraw/zinc/55/78/16/872557816.db2.gz AIHOOHKBQUHXQN-HOTGVXAUSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)C(C)(C)C(=C)C)C1 ZINC001319317319 872563816 /nfs/dbraw/zinc/56/38/16/872563816.db2.gz HALSOQLISNRQRA-OAHLLOKOSA-N 1 2 310.438 1.608 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)C(C)(C)C(=C)C)C1 ZINC001319317319 872563827 /nfs/dbraw/zinc/56/38/27/872563827.db2.gz HALSOQLISNRQRA-OAHLLOKOSA-N 1 2 310.438 1.608 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1C[N@H+](CCOC2CCC2)CCO1 ZINC001319318444 872566585 /nfs/dbraw/zinc/56/65/85/872566585.db2.gz JZOVZFRPQRARAI-SJLPKXTDSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1C[N@@H+](CCOC2CCC2)CCO1 ZINC001319318444 872566592 /nfs/dbraw/zinc/56/65/92/872566592.db2.gz JZOVZFRPQRARAI-SJLPKXTDSA-N 1 2 324.465 1.975 20 30 DDEDLO N#Cc1ccc(CN2CC3(C2)CC[N@H+](Cc2cn[nH]c2)C3)c(O)c1 ZINC001276493669 872606828 /nfs/dbraw/zinc/60/68/28/872606828.db2.gz LBOZJICYSIPDGY-UHFFFAOYSA-N 1 2 323.400 1.695 20 30 DDEDLO N#Cc1ccc(CN2CC3(C2)CC[N@@H+](Cc2cn[nH]c2)C3)c(O)c1 ZINC001276493669 872606837 /nfs/dbraw/zinc/60/68/37/872606837.db2.gz LBOZJICYSIPDGY-UHFFFAOYSA-N 1 2 323.400 1.695 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC3(C2)CCN(Cc2cn[nH]c2)C3)c(O)c1 ZINC001276493669 872606848 /nfs/dbraw/zinc/60/68/48/872606848.db2.gz LBOZJICYSIPDGY-UHFFFAOYSA-N 1 2 323.400 1.695 20 30 DDEDLO C#CCOCC[N@@H+](C)[C@H](C)C(=O)Nc1ccccc1C(C)=O ZINC001319655931 872740340 /nfs/dbraw/zinc/74/03/40/872740340.db2.gz JTCLBYIWMQLSDO-CYBMUJFWSA-N 1 2 302.374 1.798 20 30 DDEDLO C#CCOCC[N@H+](C)[C@H](C)C(=O)Nc1ccccc1C(C)=O ZINC001319655931 872740349 /nfs/dbraw/zinc/74/03/49/872740349.db2.gz JTCLBYIWMQLSDO-CYBMUJFWSA-N 1 2 302.374 1.798 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)N2CCC[C@H](n3cc[nH+]c3)C2)CC1 ZINC001344751460 873102599 /nfs/dbraw/zinc/10/25/99/873102599.db2.gz NWZBKMNBNHYVHK-HNNXBMFYSA-N 1 2 316.405 1.471 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC001344958687 873196106 /nfs/dbraw/zinc/19/61/06/873196106.db2.gz INVOTPXCGMBPTH-ZIAGYGMSSA-N 1 2 316.405 1.683 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@@H]1CCCCO1 ZINC001347054763 873993450 /nfs/dbraw/zinc/99/34/50/873993450.db2.gz LHMIZSVGDTXOQD-GJZGRUSLSA-N 1 2 317.437 1.683 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@@H]1CCCCO1 ZINC001347054763 873993458 /nfs/dbraw/zinc/99/34/58/873993458.db2.gz LHMIZSVGDTXOQD-GJZGRUSLSA-N 1 2 317.437 1.683 20 30 DDEDLO C#CC1(O)CCN(C(=O)NCc2cc[nH+]c(NCC)c2)CC1 ZINC001347914326 874305716 /nfs/dbraw/zinc/30/57/16/874305716.db2.gz PIELVWCCQSYDNE-UHFFFAOYSA-N 1 2 302.378 1.183 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+](Cc2ncc(C)cn2)CC1 ZINC001227084925 882817320 /nfs/dbraw/zinc/81/73/20/882817320.db2.gz OXOHVJCAPCRCBX-UHFFFAOYSA-N 1 2 300.406 1.669 20 30 DDEDLO C=C(CC)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC001348102071 874360499 /nfs/dbraw/zinc/36/04/99/874360499.db2.gz NDNRPUPRPCDWSV-CYBMUJFWSA-N 1 2 311.426 1.620 20 30 DDEDLO C=C(CC)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC001348102071 874360512 /nfs/dbraw/zinc/36/05/12/874360512.db2.gz NDNRPUPRPCDWSV-CYBMUJFWSA-N 1 2 311.426 1.620 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1C[C@@H](C)C(=O)OC ZINC001208714816 874408794 /nfs/dbraw/zinc/40/87/94/874408794.db2.gz WPYQRFZZQNPNTG-OCCSQVGLSA-N 1 2 322.409 1.209 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1C[C@@H](C)C(=O)OC ZINC001208714816 874408795 /nfs/dbraw/zinc/40/87/95/874408795.db2.gz WPYQRFZZQNPNTG-OCCSQVGLSA-N 1 2 322.409 1.209 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)NCC#N)C[C@H]1NC(=O)C1(C)CCCC1 ZINC001276601249 874537700 /nfs/dbraw/zinc/53/77/00/874537700.db2.gz MLMUWGWTXDJKKV-CYBMUJFWSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)NCC#N)C[C@H]1NC(=O)C1(C)CCCC1 ZINC001276601249 874537716 /nfs/dbraw/zinc/53/77/16/874537716.db2.gz MLMUWGWTXDJKKV-CYBMUJFWSA-N 1 2 320.437 1.033 20 30 DDEDLO COC(=O)c1ccc(C=NOCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC001348568231 874637833 /nfs/dbraw/zinc/63/78/33/874637833.db2.gz MZFRWDYNEFSDEU-IYBDPMFKSA-N 1 2 318.373 1.687 20 30 DDEDLO COC(=O)c1ccc(C=NOCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC001348568231 874637836 /nfs/dbraw/zinc/63/78/36/874637836.db2.gz MZFRWDYNEFSDEU-IYBDPMFKSA-N 1 2 318.373 1.687 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCCC(=O)NC)C(C)(C)C1 ZINC001378633374 875279192 /nfs/dbraw/zinc/27/91/92/875279192.db2.gz DSAMXXDUFCJKFR-GFCCVEGCSA-N 1 2 315.845 1.482 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCCC(=O)NC)C(C)(C)C1 ZINC001378633374 875279203 /nfs/dbraw/zinc/27/92/03/875279203.db2.gz DSAMXXDUFCJKFR-GFCCVEGCSA-N 1 2 315.845 1.482 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001350730652 875857587 /nfs/dbraw/zinc/85/75/87/875857587.db2.gz FDGDPADECPJPFI-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](CC#CCOC)C[C@H]2OC)CC1 ZINC001213379682 875914481 /nfs/dbraw/zinc/91/44/81/875914481.db2.gz BOPRQYDKWWBHLX-IAGOWNOFSA-N 1 2 320.433 1.198 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](CC#CCOC)C[C@H]2OC)CC1 ZINC001213379682 875914485 /nfs/dbraw/zinc/91/44/85/875914485.db2.gz BOPRQYDKWWBHLX-IAGOWNOFSA-N 1 2 320.433 1.198 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+]([C@H](C)c2ncccn2)CC1 ZINC001227342831 882980592 /nfs/dbraw/zinc/98/05/92/882980592.db2.gz ZJHHBQJUWSMYCR-ZIAGYGMSSA-N 1 2 316.405 1.157 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC)OC2CCCC2)[C@H](OC)C1 ZINC001213506620 875960673 /nfs/dbraw/zinc/96/06/73/875960673.db2.gz NRCWUSNPWQBLLE-OWCLPIDISA-N 1 2 308.422 1.173 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)OC2CCCC2)[C@H](OC)C1 ZINC001213506620 875960682 /nfs/dbraw/zinc/96/06/82/875960682.db2.gz NRCWUSNPWQBLLE-OWCLPIDISA-N 1 2 308.422 1.173 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001216936621 877100153 /nfs/dbraw/zinc/10/01/53/877100153.db2.gz QXKMZXWFODLNTR-JHJVBQTASA-N 1 2 322.409 1.160 20 30 DDEDLO C#C[C@H]1CCCCN1C(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC001353111272 877126638 /nfs/dbraw/zinc/12/66/38/877126638.db2.gz VQPLKJCNRFNFIV-AWEZNQCLSA-N 1 2 314.389 1.130 20 30 DDEDLO C#C[C@H]1CCCCN1C(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC001353111272 877126644 /nfs/dbraw/zinc/12/66/44/877126644.db2.gz VQPLKJCNRFNFIV-AWEZNQCLSA-N 1 2 314.389 1.130 20 30 DDEDLO C=C1O[C@H](CC)C(=O)C1Oc1ccc(C[C@H]([NH3+])C(N)=O)cc1 ZINC001218354921 877459092 /nfs/dbraw/zinc/45/90/92/877459092.db2.gz YWMYWKURQYKHQJ-QWHCGFSZSA-N 1 2 304.346 1.030 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2C[C@H](CC)OC)CC1 ZINC001300701085 878175966 /nfs/dbraw/zinc/17/59/66/878175966.db2.gz FWDATSQWUMQNNI-AWEZNQCLSA-N 1 2 305.426 1.021 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C2)sn1 ZINC001219597940 878379676 /nfs/dbraw/zinc/37/96/76/878379676.db2.gz AJGHGWLPURSJTR-KGLIPLIRSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C2)sn1 ZINC001219597940 878379691 /nfs/dbraw/zinc/37/96/91/878379691.db2.gz AJGHGWLPURSJTR-KGLIPLIRSA-N 1 2 321.446 1.162 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220139373 878729176 /nfs/dbraw/zinc/72/91/76/878729176.db2.gz LAGWCHCVSHTXMB-KGLIPLIRSA-N 1 2 307.394 1.165 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220139373 878729180 /nfs/dbraw/zinc/72/91/80/878729180.db2.gz LAGWCHCVSHTXMB-KGLIPLIRSA-N 1 2 307.394 1.165 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC[C@H]1CC ZINC001355919043 878777101 /nfs/dbraw/zinc/77/71/01/878777101.db2.gz GVSBTWCYTCNDLW-MCIONIFRSA-N 1 2 305.426 1.580 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC[C@H]1CC ZINC001355919043 878777114 /nfs/dbraw/zinc/77/71/14/878777114.db2.gz GVSBTWCYTCNDLW-MCIONIFRSA-N 1 2 305.426 1.580 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)C[C@H]1C ZINC001355920772 878777929 /nfs/dbraw/zinc/77/79/29/878777929.db2.gz XRHDTAIUTYSOFF-MQYQWHSLSA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@H](C)C[C@H]1C ZINC001355920772 878777946 /nfs/dbraw/zinc/77/79/46/878777946.db2.gz XRHDTAIUTYSOFF-MQYQWHSLSA-N 1 2 305.426 1.436 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001355956895 878795598 /nfs/dbraw/zinc/79/55/98/878795598.db2.gz BBTBBUIYHPUAKR-ZQIUZPCESA-N 1 2 317.437 1.224 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC(=C)C ZINC001355956895 878795613 /nfs/dbraw/zinc/79/56/13/878795613.db2.gz BBTBBUIYHPUAKR-ZQIUZPCESA-N 1 2 317.437 1.224 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220239644 878841076 /nfs/dbraw/zinc/84/10/76/878841076.db2.gz NNXJMTMIQDMCSZ-CABCVRRESA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220239644 878841081 /nfs/dbraw/zinc/84/10/81/878841081.db2.gz NNXJMTMIQDMCSZ-CABCVRRESA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCn1c(N2CCC(F)CC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001356135520 878883883 /nfs/dbraw/zinc/88/38/83/878883883.db2.gz XTZMKCXOWADHMR-XJKSGUPXSA-N 1 2 323.416 1.314 20 30 DDEDLO C=CCn1c(N2CCC(F)CC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001356135520 878883891 /nfs/dbraw/zinc/88/38/91/878883891.db2.gz XTZMKCXOWADHMR-XJKSGUPXSA-N 1 2 323.416 1.314 20 30 DDEDLO COc1ccc(CC[NH+]2CC(N(C)C(=O)[C@@H](C)C#N)C2)cc1 ZINC001380220991 879083370 /nfs/dbraw/zinc/08/33/70/879083370.db2.gz KWPVTXZHXJXGCY-ZDUSSCGKSA-N 1 2 301.390 1.540 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)CC(C)(C)C)[C@@H](O)C1 ZINC001221040368 879464034 /nfs/dbraw/zinc/46/40/34/879464034.db2.gz JKTVIBCWFJHSTL-CABCVRRESA-N 1 2 324.465 1.260 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)CC(C)(C)C)[C@@H](O)C1 ZINC001221040368 879464041 /nfs/dbraw/zinc/46/40/41/879464041.db2.gz JKTVIBCWFJHSTL-CABCVRRESA-N 1 2 324.465 1.260 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(C)CCN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001357252638 879878206 /nfs/dbraw/zinc/87/82/06/879878206.db2.gz ZWSAQUXVUNEFSU-KBPBESRZSA-N 1 2 320.437 1.717 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(C)CCN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001357252638 879878219 /nfs/dbraw/zinc/87/82/19/879878219.db2.gz ZWSAQUXVUNEFSU-KBPBESRZSA-N 1 2 320.437 1.717 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3ccns3)C[C@H]21 ZINC001221543870 879935650 /nfs/dbraw/zinc/93/56/50/879935650.db2.gz IAYFNQUSXSJGDF-UMVBOHGHSA-N 1 2 319.430 1.214 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccns3)C[C@H]21 ZINC001221543870 879935658 /nfs/dbraw/zinc/93/56/58/879935658.db2.gz IAYFNQUSXSJGDF-UMVBOHGHSA-N 1 2 319.430 1.214 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCC)C[C@H]21 ZINC001221577841 879952793 /nfs/dbraw/zinc/95/27/93/879952793.db2.gz IPPOTOLTDSEJNC-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCC)C[C@H]21 ZINC001221577841 879952801 /nfs/dbraw/zinc/95/28/01/879952801.db2.gz IPPOTOLTDSEJNC-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)CC(C)=C(C)C)[C@@H]2C1 ZINC001221744163 880048531 /nfs/dbraw/zinc/04/85/31/880048531.db2.gz HYPQPHXTXMSDLW-HZPDHXFCSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)CC(C)=C(C)C)[C@@H]2C1 ZINC001221744163 880048546 /nfs/dbraw/zinc/04/85/46/880048546.db2.gz HYPQPHXTXMSDLW-HZPDHXFCSA-N 1 2 317.433 1.015 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3C)C[C@H]21 ZINC001221760801 880064737 /nfs/dbraw/zinc/06/47/37/880064737.db2.gz QKKCGESFRVNLGK-SQWLQELKSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3C)C[C@H]21 ZINC001221760801 880064753 /nfs/dbraw/zinc/06/47/53/880064753.db2.gz QKKCGESFRVNLGK-SQWLQELKSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC(C)C)C[C@H]21 ZINC001221790654 880083010 /nfs/dbraw/zinc/08/30/10/880083010.db2.gz UDBYJMFZVSEQKZ-RKVPGOIHSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC(C)C)C[C@H]21 ZINC001221790654 880083023 /nfs/dbraw/zinc/08/30/23/880083023.db2.gz UDBYJMFZVSEQKZ-RKVPGOIHSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]([NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001222067355 880211662 /nfs/dbraw/zinc/21/16/62/880211662.db2.gz GQKWMUNTUIYMIP-GXTWGEPZSA-N 1 2 322.409 1.612 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)C(C)C)n2CC(C)C)CC1 ZINC001357908485 880246261 /nfs/dbraw/zinc/24/62/61/880246261.db2.gz PQFACNFVMFMEBQ-HNNXBMFYSA-N 1 2 319.453 1.379 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H](C#N)[C@H](OCCn2cc[nH+]c2)C1 ZINC001222134861 880276937 /nfs/dbraw/zinc/27/69/37/880276937.db2.gz QHZIZMMIGFUPEA-QWHCGFSZSA-N 1 2 306.366 1.659 20 30 DDEDLO C#CCN(CC)c1nnc(Cc2[nH+]ccn2C)n1CC(C)C ZINC001358130335 880342727 /nfs/dbraw/zinc/34/27/27/880342727.db2.gz QLUCQWNKRLFLTK-UHFFFAOYSA-N 1 2 300.410 1.718 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CNC(=O)CCn2cc[nH+]c2)C1 ZINC001358540967 880515922 /nfs/dbraw/zinc/51/59/22/880515922.db2.gz QCJCBVZWJXFYEV-ZDUSSCGKSA-N 1 2 304.394 1.250 20 30 DDEDLO Cc1nnc([C@@H](C)[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC001222657925 880624206 /nfs/dbraw/zinc/62/42/06/880624206.db2.gz HLEHTDJXZSTJEV-WDEREUQCSA-N 1 2 304.398 1.162 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C1(CCC)CCC1 ZINC001276909948 881048931 /nfs/dbraw/zinc/04/89/31/881048931.db2.gz WBUFEQDZYDMVPU-GJZGRUSLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1(CCC)CCC1 ZINC001276909948 881048948 /nfs/dbraw/zinc/04/89/48/881048948.db2.gz WBUFEQDZYDMVPU-GJZGRUSLSA-N 1 2 321.465 1.838 20 30 DDEDLO N#CCN1CC[C@@H]2CCN(C(=O)CCCn3cc[nH+]c3)C[C@@H]21 ZINC001224298065 881313892 /nfs/dbraw/zinc/31/38/92/881313892.db2.gz LVBOOYMKDNPEKP-CABCVRRESA-N 1 2 301.394 1.110 20 30 DDEDLO CCOC(=O)c1cc(O)cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001228037783 883299006 /nfs/dbraw/zinc/29/90/06/883299006.db2.gz POPUTMMEFPDQKB-JGPUMOJJSA-N 1 2 319.357 1.560 20 30 DDEDLO CCOC(=O)c1cc(O)cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001228037783 883299023 /nfs/dbraw/zinc/29/90/23/883299023.db2.gz POPUTMMEFPDQKB-JGPUMOJJSA-N 1 2 319.357 1.560 20 30 DDEDLO COC(=O)c1ccc(O)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001228191503 883381920 /nfs/dbraw/zinc/38/19/20/883381920.db2.gz XPRVXQGKKNOOOW-HJTUNCCVSA-N 1 2 305.330 1.170 20 30 DDEDLO COC(=O)c1ccc(O)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001228191503 883381934 /nfs/dbraw/zinc/38/19/34/883381934.db2.gz XPRVXQGKKNOOOW-HJTUNCCVSA-N 1 2 305.330 1.170 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001228800787 883678053 /nfs/dbraw/zinc/67/80/53/883678053.db2.gz FLAIGXUKKPUTNW-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO Cc1cncc(C[NH+]2CC3(C[C@H]3C(=O)NC3(C#N)CCC3)C2)n1 ZINC001277371050 883898237 /nfs/dbraw/zinc/89/82/37/883898237.db2.gz DPWAQPXOBJZANT-AWEZNQCLSA-N 1 2 311.389 1.169 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)c1nnn(C)c1C)C(C)C ZINC001382486561 884109983 /nfs/dbraw/zinc/10/99/83/884109983.db2.gz CILMYLLPZSQHLC-UHFFFAOYSA-N 1 2 313.833 1.658 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)c1nnn(C)c1C)C(C)C ZINC001382486561 884109987 /nfs/dbraw/zinc/10/99/87/884109987.db2.gz CILMYLLPZSQHLC-UHFFFAOYSA-N 1 2 313.833 1.658 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2ncc(C)o2)CC1 ZINC001230407816 884476292 /nfs/dbraw/zinc/47/62/92/884476292.db2.gz ZGQSICQLWTUOAP-CYBMUJFWSA-N 1 2 307.394 1.655 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230568448 884592397 /nfs/dbraw/zinc/59/23/97/884592397.db2.gz MUHHVONVOYPOBJ-HNNXBMFYSA-N 1 2 319.380 1.767 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230568448 884592410 /nfs/dbraw/zinc/59/24/10/884592410.db2.gz MUHHVONVOYPOBJ-HNNXBMFYSA-N 1 2 319.380 1.767 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CCC ZINC001230633403 884674499 /nfs/dbraw/zinc/67/44/99/884674499.db2.gz GRKNVSOTONWXQG-HNNXBMFYSA-N 1 2 307.438 1.520 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CCC ZINC001230633403 884674511 /nfs/dbraw/zinc/67/45/11/884674511.db2.gz GRKNVSOTONWXQG-HNNXBMFYSA-N 1 2 307.438 1.520 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C(/C)C1CC1 ZINC001230956826 885081980 /nfs/dbraw/zinc/08/19/80/885081980.db2.gz BWJLDFYWEWTMLK-PRPKNLAESA-N 1 2 317.433 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C(/C)C1CC1 ZINC001230956826 885081997 /nfs/dbraw/zinc/08/19/97/885081997.db2.gz BWJLDFYWEWTMLK-PRPKNLAESA-N 1 2 317.433 1.013 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncnc4c(C#N)cccc34)C[C@H]1[C@@H]1O[C@@H]12 ZINC001231041542 885156573 /nfs/dbraw/zinc/15/65/73/885156573.db2.gz VXOSSAJLKGNPBT-UCHAVSLVSA-N 1 2 308.341 1.493 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncnc4c(C#N)cccc34)C[C@H]1[C@@H]1O[C@@H]12 ZINC001231041542 885156585 /nfs/dbraw/zinc/15/65/85/885156585.db2.gz VXOSSAJLKGNPBT-UCHAVSLVSA-N 1 2 308.341 1.493 20 30 DDEDLO CC(C)N(C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N)C(C)C ZINC001231246579 885426979 /nfs/dbraw/zinc/42/69/79/885426979.db2.gz HECOGQKHLZMOGL-LSDHHAIUSA-N 1 2 322.453 1.324 20 30 DDEDLO CC(C)N(C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N)C(C)C ZINC001231246579 885426986 /nfs/dbraw/zinc/42/69/86/885426986.db2.gz HECOGQKHLZMOGL-LSDHHAIUSA-N 1 2 322.453 1.324 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CC=CC1 ZINC001231378103 885574790 /nfs/dbraw/zinc/57/47/90/885574790.db2.gz PBLLKALJQUAXLE-DZGCQCFKSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1CC=CC1 ZINC001231378103 885574801 /nfs/dbraw/zinc/57/48/01/885574801.db2.gz PBLLKALJQUAXLE-DZGCQCFKSA-N 1 2 305.422 1.176 20 30 DDEDLO C#CCCCCC(=O)N(C)C1C[NH+](Cc2ccn(C)c(=O)c2)C1 ZINC001277580724 885921151 /nfs/dbraw/zinc/92/11/51/885921151.db2.gz NUSKJOJGCIKLIB-UHFFFAOYSA-N 1 2 315.417 1.222 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(c3nccnc3C#N)CC2)c(C)n1 ZINC001232085184 886080427 /nfs/dbraw/zinc/08/04/27/886080427.db2.gz JVGXLJRPSZTQHW-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)cc1Cl ZINC001232115235 886105647 /nfs/dbraw/zinc/10/56/47/886105647.db2.gz JGEJEYJNJIYRAH-UHFFFAOYSA-N 1 2 316.748 1.971 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)cc1Cl ZINC001232115235 886105656 /nfs/dbraw/zinc/10/56/56/886105656.db2.gz JGEJEYJNJIYRAH-UHFFFAOYSA-N 1 2 316.748 1.971 20 30 DDEDLO CN(C)C(=O)[C@H]1CC12C[NH+](Cc1sc(N)c(C#N)c1Cl)C2 ZINC001277639955 886203448 /nfs/dbraw/zinc/20/34/48/886203448.db2.gz HCXRFJLMVBVNGO-SECBINFHSA-N 1 2 324.837 1.765 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2CC[C@H](Oc3ncc(F)cn3)C2)c1 ZINC001232681794 886535836 /nfs/dbraw/zinc/53/58/36/886535836.db2.gz VWZFOMFHBLMCIJ-AWEZNQCLSA-N 1 2 314.320 1.846 20 30 DDEDLO N#Cc1ccc(O)c(C[N@H+]2CC[C@H](Oc3ncc(F)cn3)C2)c1 ZINC001232681794 886535844 /nfs/dbraw/zinc/53/58/44/886535844.db2.gz VWZFOMFHBLMCIJ-AWEZNQCLSA-N 1 2 314.320 1.846 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)Cn1ccc(C(F)F)n1 ZINC001374499495 913065595 /nfs/dbraw/zinc/06/55/95/913065595.db2.gz MVXQBFDIDMCRLB-UHFFFAOYSA-N 1 2 320.771 1.963 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1nn(C)cc1Cl ZINC001374498224 913062771 /nfs/dbraw/zinc/06/27/71/913062771.db2.gz VQFYADAWAOCROY-UHFFFAOYSA-N 1 2 305.209 1.830 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1nn(C)cc1Cl ZINC001374498224 913062789 /nfs/dbraw/zinc/06/27/89/913062789.db2.gz VQFYADAWAOCROY-UHFFFAOYSA-N 1 2 305.209 1.830 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)Cn1ccc(C(F)F)n1 ZINC001374499495 913065583 /nfs/dbraw/zinc/06/55/83/913065583.db2.gz MVXQBFDIDMCRLB-UHFFFAOYSA-N 1 2 320.771 1.963 20 30 DDEDLO CC(C)NC(=O)[C@@H]1C[C@@H]2[C@@H](CC[N@@H+]2Cc2[nH]ccc2C#N)O1 ZINC001233045065 886756021 /nfs/dbraw/zinc/75/60/21/886756021.db2.gz OYYCMGMPWCQVGU-KFWWJZLASA-N 1 2 302.378 1.143 20 30 DDEDLO CC(C)NC(=O)[C@@H]1C[C@@H]2[C@@H](CC[N@H+]2Cc2[nH]ccc2C#N)O1 ZINC001233045065 886756031 /nfs/dbraw/zinc/75/60/31/886756031.db2.gz OYYCMGMPWCQVGU-KFWWJZLASA-N 1 2 302.378 1.143 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2COC[C@H](C1)[N@@H+]2Cc1ccc(C#N)cc1O ZINC001233386781 886967503 /nfs/dbraw/zinc/96/75/03/886967503.db2.gz IOVSTLMIROSWKR-QKDCVEJESA-N 1 2 316.357 1.416 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2COC[C@H](C1)[N@H+]2Cc1ccc(C#N)cc1O ZINC001233386781 886967512 /nfs/dbraw/zinc/96/75/12/886967512.db2.gz IOVSTLMIROSWKR-QKDCVEJESA-N 1 2 316.357 1.416 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CC1CC1)[C@](C)(CC)C(=O)OC ZINC001363798996 887249266 /nfs/dbraw/zinc/24/92/66/887249266.db2.gz ASWOTEIZZVUWRH-QGZVFWFLSA-N 1 2 323.437 1.802 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CC1CC1)[C@](C)(CC)C(=O)OC ZINC001363798996 887249284 /nfs/dbraw/zinc/24/92/84/887249284.db2.gz ASWOTEIZZVUWRH-QGZVFWFLSA-N 1 2 323.437 1.802 20 30 DDEDLO CCN(CCC#N)C(=O)CN1CCC[C@H]1c1[nH]c(C)c(C)[nH+]1 ZINC001363829989 887337516 /nfs/dbraw/zinc/33/75/16/887337516.db2.gz CCYLAGNPIFLEHK-AWEZNQCLSA-N 1 2 303.410 1.926 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001233976313 887514434 /nfs/dbraw/zinc/51/44/34/887514434.db2.gz DUBRANHJDITDDK-HOCLYGCPSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001233976313 887514437 /nfs/dbraw/zinc/51/44/37/887514437.db2.gz DUBRANHJDITDDK-HOCLYGCPSA-N 1 2 307.394 1.343 20 30 DDEDLO CCCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234129206 887673072 /nfs/dbraw/zinc/67/30/72/887673072.db2.gz HXASDAVFLYTSIL-HUUCEWRRSA-N 1 2 307.438 1.093 20 30 DDEDLO CCCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234129206 887673077 /nfs/dbraw/zinc/67/30/77/887673077.db2.gz HXASDAVFLYTSIL-HUUCEWRRSA-N 1 2 307.438 1.093 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)s1 ZINC001234178441 887716200 /nfs/dbraw/zinc/71/62/00/887716200.db2.gz LTKPGVYEIOXSDJ-AWEZNQCLSA-N 1 2 303.431 1.898 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)s1 ZINC001234178441 887716217 /nfs/dbraw/zinc/71/62/17/887716217.db2.gz LTKPGVYEIOXSDJ-AWEZNQCLSA-N 1 2 303.431 1.898 20 30 DDEDLO CC(C)N(C)C(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196319 887739810 /nfs/dbraw/zinc/73/98/10/887739810.db2.gz RGFVNZALFBDQDL-ZBFHGGJFSA-N 1 2 319.449 1.188 20 30 DDEDLO CC(C)N(C)C(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196319 887739819 /nfs/dbraw/zinc/73/98/19/887739819.db2.gz RGFVNZALFBDQDL-ZBFHGGJFSA-N 1 2 319.449 1.188 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCC(F)(F)C1 ZINC001235070543 888393569 /nfs/dbraw/zinc/39/35/69/888393569.db2.gz JKHJTFMOOIXJDU-KBPBESRZSA-N 1 2 314.376 1.604 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCC(F)(F)C1 ZINC001235070543 888393581 /nfs/dbraw/zinc/39/35/81/888393581.db2.gz JKHJTFMOOIXJDU-KBPBESRZSA-N 1 2 314.376 1.604 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1nnc(C)o1 ZINC001235242862 888498172 /nfs/dbraw/zinc/49/81/72/888498172.db2.gz AOVWMNDVKXCOTD-LBPRGKRZSA-N 1 2 310.398 1.297 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1nnc(C)o1 ZINC001235242862 888498186 /nfs/dbraw/zinc/49/81/86/888498186.db2.gz AOVWMNDVKXCOTD-LBPRGKRZSA-N 1 2 310.398 1.297 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H](C)[NH2+]Cc2nc(C)no2)c1 ZINC001277930271 888503719 /nfs/dbraw/zinc/50/37/19/888503719.db2.gz ZGGBBOFLNPPUBI-NSHDSACASA-N 1 2 313.361 1.005 20 30 DDEDLO N#CCC[N@H+]1CC[C@H]2[C@@H]1CCN2C(=O)NC(=O)c1ccccc1 ZINC001277941373 888600997 /nfs/dbraw/zinc/60/09/97/888600997.db2.gz NJOQEUYWTPRZGP-GJZGRUSLSA-N 1 2 312.373 1.599 20 30 DDEDLO N#CCC[N@@H+]1CC[C@H]2[C@@H]1CCN2C(=O)NC(=O)c1ccccc1 ZINC001277941373 888601003 /nfs/dbraw/zinc/60/10/03/888601003.db2.gz NJOQEUYWTPRZGP-GJZGRUSLSA-N 1 2 312.373 1.599 20 30 DDEDLO Cc1[nH+]cn(C)c1CN1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001235553963 888742206 /nfs/dbraw/zinc/74/22/06/888742206.db2.gz WKBQNEYFWKMJPP-CYBMUJFWSA-N 1 2 319.409 1.673 20 30 DDEDLO C[NH+]1CCN(c2ncc(-c3ccc(/C=N/O)c(F)c3)cn2)CC1 ZINC001235919661 889014320 /nfs/dbraw/zinc/01/43/20/889014320.db2.gz VFNZAEPQZHXXOL-RGVLZGJSSA-N 1 2 315.352 1.843 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1ccc(F)cc1C#N ZINC001364952723 889745118 /nfs/dbraw/zinc/74/51/18/889745118.db2.gz OLVHDHFLYKSXFJ-BETUJISGSA-N 1 2 309.366 1.164 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1ccc(F)cc1C#N ZINC001364952723 889745134 /nfs/dbraw/zinc/74/51/34/889745134.db2.gz OLVHDHFLYKSXFJ-BETUJISGSA-N 1 2 309.366 1.164 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC3(C2)SCC[C@H]3C(N)=O)c(F)c1 ZINC001278306867 890789491 /nfs/dbraw/zinc/78/94/91/890789491.db2.gz ZSBDNTQWSSTHTL-LBPRGKRZSA-N 1 2 305.378 1.490 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)N(C)S(=O)(=O)N1CC[NH+](C)CC1 ZINC001365433509 890789906 /nfs/dbraw/zinc/78/99/06/890789906.db2.gz OKWPDNGSXKQLRM-ZDUSSCGKSA-N 1 2 322.434 1.043 20 30 DDEDLO Cc1cc(CNS(=O)(=O)c2cncc(C#N)c2)cc(C)[nH+]1 ZINC001365716199 891385944 /nfs/dbraw/zinc/38/59/44/891385944.db2.gz UJTMGOZBFDPNSI-UHFFFAOYSA-N 1 2 302.359 1.444 20 30 DDEDLO C=C/C(=[NH+]\COC)c1cnc(N2CCC(C(=O)OC)CC2)nc1 ZINC001242683323 891481517 /nfs/dbraw/zinc/48/15/17/891481517.db2.gz YEDYHYBEVOBXPP-XMHGGMMESA-N 1 2 318.377 1.445 20 30 DDEDLO CN(C)/C=[NH+]/c1ccc(C2=CCS(=O)(=O)CC2)cc1C#N ZINC001245210839 892031729 /nfs/dbraw/zinc/03/17/29/892031729.db2.gz GKHUENARGCTPFC-GZTJUZNOSA-N 1 2 303.387 1.982 20 30 DDEDLO CCCCCCCC[C@H](O)C[NH2+]C1(CC(=O)OCC)CNC1 ZINC001245992696 892310841 /nfs/dbraw/zinc/31/08/41/892310841.db2.gz HFWGNAHOBHJEIQ-HNNXBMFYSA-N 1 2 314.470 1.983 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCN(C(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001292418582 913673369 /nfs/dbraw/zinc/67/33/69/913673369.db2.gz LWCRVMPJSHPBSU-ZDUSSCGKSA-N 1 2 318.421 1.534 20 30 DDEDLO C=C(C)CCC(=O)N[C@@]12CCC[C@H]1[N@H+](Cc1nncn1C)CC2 ZINC001278453570 892665493 /nfs/dbraw/zinc/66/54/93/892665493.db2.gz WOGUIHUXKDFRPK-RHSMWYFYSA-N 1 2 317.437 1.785 20 30 DDEDLO C=C(C)CCC(=O)N[C@@]12CCC[C@H]1[N@@H+](Cc1nncn1C)CC2 ZINC001278453570 892665499 /nfs/dbraw/zinc/66/54/99/892665499.db2.gz WOGUIHUXKDFRPK-RHSMWYFYSA-N 1 2 317.437 1.785 20 30 DDEDLO CCO[C@@H](C)C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001366517082 893821625 /nfs/dbraw/zinc/82/16/25/893821625.db2.gz UVCRDURIZOKMJG-LBPRGKRZSA-N 1 2 307.369 1.670 20 30 DDEDLO CCO[C@@H](C)C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001366517082 893821638 /nfs/dbraw/zinc/82/16/38/893821638.db2.gz UVCRDURIZOKMJG-LBPRGKRZSA-N 1 2 307.369 1.670 20 30 DDEDLO N#Cc1ccc2cc(C[N@@H+]3CCN4C(=O)COC[C@@H]4C3)[nH]c2c1 ZINC001249429898 893983789 /nfs/dbraw/zinc/98/37/89/893983789.db2.gz VMYMWUKSOXBPBS-HNNXBMFYSA-N 1 2 310.357 1.083 20 30 DDEDLO N#Cc1ccc2cc(C[N@H+]3CCN4C(=O)COC[C@@H]4C3)[nH]c2c1 ZINC001249429898 893983793 /nfs/dbraw/zinc/98/37/93/893983793.db2.gz VMYMWUKSOXBPBS-HNNXBMFYSA-N 1 2 310.357 1.083 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)CCNC(=O)c1cocc1C ZINC001366555892 894011435 /nfs/dbraw/zinc/01/14/35/894011435.db2.gz KJAAGJBYLZJWCY-UHFFFAOYSA-N 1 2 320.393 1.012 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)CCNC(=O)c1cocc1C ZINC001366555892 894011461 /nfs/dbraw/zinc/01/14/61/894011461.db2.gz KJAAGJBYLZJWCY-UHFFFAOYSA-N 1 2 320.393 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)COc1cccnc1 ZINC001366660201 894473037 /nfs/dbraw/zinc/47/30/37/894473037.db2.gz DCFSBENHLJBJGA-ZDUSSCGKSA-N 1 2 309.797 1.794 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)COc1cccnc1 ZINC001366660201 894473045 /nfs/dbraw/zinc/47/30/45/894473045.db2.gz DCFSBENHLJBJGA-ZDUSSCGKSA-N 1 2 309.797 1.794 20 30 DDEDLO O=c1nc(N[NH+]=Cc2ccc(N3CCCC3)cc2)nc2[nH][nH]cc1-2 ZINC001251713715 894788169 /nfs/dbraw/zinc/78/81/69/894788169.db2.gz QHAFDQDOAFRGIF-UHFFFAOYSA-N 1 2 323.360 1.478 20 30 DDEDLO C#CCOC[C@H](O)C[N@@H+]1CCc2c3cc(F)ccc3[nH]c2C1 ZINC001251858475 894854523 /nfs/dbraw/zinc/85/45/23/894854523.db2.gz ZBGMJISCDLSZDB-CYBMUJFWSA-N 1 2 302.349 1.676 20 30 DDEDLO C#CCOC[C@H](O)C[N@H+]1CCc2c3cc(F)ccc3[nH]c2C1 ZINC001251858475 894854530 /nfs/dbraw/zinc/85/45/30/894854530.db2.gz ZBGMJISCDLSZDB-CYBMUJFWSA-N 1 2 302.349 1.676 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001292747663 913974511 /nfs/dbraw/zinc/97/45/11/913974511.db2.gz IVRGOGKKHTZBIH-GFCCVEGCSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001292747663 913974523 /nfs/dbraw/zinc/97/45/23/913974523.db2.gz IVRGOGKKHTZBIH-GFCCVEGCSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)CC2(O)CCC2)C1 ZINC001367072226 895753964 /nfs/dbraw/zinc/75/39/64/895753964.db2.gz RTXLISFYAQHINH-CYBMUJFWSA-N 1 2 300.830 1.967 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)CC2(O)CCC2)C1 ZINC001367072226 895753975 /nfs/dbraw/zinc/75/39/75/895753975.db2.gz RTXLISFYAQHINH-CYBMUJFWSA-N 1 2 300.830 1.967 20 30 DDEDLO C=C[C@H]1C[C@@]1(NC1CC[NH+](CC(=O)OCC)CC1)C(=O)OCC ZINC001254399222 896420192 /nfs/dbraw/zinc/42/01/92/896420192.db2.gz HBABHGUVFZZOMH-GUYCJALGSA-N 1 2 324.421 1.111 20 30 DDEDLO CC[C@H](CC#N)[N@@H+]1CCOC[C@]2(CCN(c3ncccn3)C2)C1 ZINC001255168572 896762927 /nfs/dbraw/zinc/76/29/27/896762927.db2.gz SMQSKYUTEVEALZ-NVXWUHKLSA-N 1 2 315.421 1.698 20 30 DDEDLO CC[C@H](CC#N)[N@H+]1CCOC[C@]2(CCN(c3ncccn3)C2)C1 ZINC001255168572 896762938 /nfs/dbraw/zinc/76/29/38/896762938.db2.gz SMQSKYUTEVEALZ-NVXWUHKLSA-N 1 2 315.421 1.698 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2(O)C[NH+](CC[C@@H](C)F)C2)cc1 ZINC001278896131 897161145 /nfs/dbraw/zinc/16/11/45/897161145.db2.gz OQCMMEAFICFHRR-CYBMUJFWSA-N 1 2 304.365 1.193 20 30 DDEDLO CCOC(=O)CCC(CCC(=O)OCC)[N@@H+]1CC[C@H](C#N)C1 ZINC001256006930 897202046 /nfs/dbraw/zinc/20/20/46/897202046.db2.gz HGVYEAPPZPAIKW-CYBMUJFWSA-N 1 2 310.394 1.887 20 30 DDEDLO CCOC(=O)CCC(CCC(=O)OCC)[N@H+]1CC[C@H](C#N)C1 ZINC001256006930 897202057 /nfs/dbraw/zinc/20/20/57/897202057.db2.gz HGVYEAPPZPAIKW-CYBMUJFWSA-N 1 2 310.394 1.887 20 30 DDEDLO C=C[C@@H]1C[C@]1([NH2+]C1CCN(c2ncccn2)CC1)C(=O)OCC ZINC001256049676 897246769 /nfs/dbraw/zinc/24/67/69/897246769.db2.gz GKSILLXDLHPZBM-CXAGYDPISA-N 1 2 316.405 1.543 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001278922610 897341703 /nfs/dbraw/zinc/34/17/03/897341703.db2.gz JFQWEUDKYCIZPB-UHFFFAOYSA-N 1 2 310.397 1.446 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)Cc2ccc(C)c(C)c2)C1 ZINC001278927011 897355216 /nfs/dbraw/zinc/35/52/16/897355216.db2.gz IKGVYKUGYAGYGU-UHFFFAOYSA-N 1 2 300.402 1.032 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CC([NH+]2CCCCC2)C1 ZINC001258243218 898117624 /nfs/dbraw/zinc/11/76/24/898117624.db2.gz DCPGEAZOPULIAL-UHFFFAOYSA-N 1 2 305.403 1.417 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@H](NC(=O)[C@@H](C)C#N)C2CC2)n1 ZINC001367896524 898142629 /nfs/dbraw/zinc/14/26/29/898142629.db2.gz QXHGTSSUVYZEIE-JQWIXIFHSA-N 1 2 305.382 1.166 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)Cc2cc[nH]n2)s1 ZINC001367936827 898237669 /nfs/dbraw/zinc/23/76/69/898237669.db2.gz OLKGMXSRKJZVJF-LBPRGKRZSA-N 1 2 315.402 1.276 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)Cc2cc[nH]n2)s1 ZINC001367936827 898237681 /nfs/dbraw/zinc/23/76/81/898237681.db2.gz OLKGMXSRKJZVJF-LBPRGKRZSA-N 1 2 315.402 1.276 20 30 DDEDLO COc1cc(C[C@H](C)N2CC[NH2+]C[C@@H]2C#N)cc(OC)c1OC ZINC001258867267 898341556 /nfs/dbraw/zinc/34/15/56/898341556.db2.gz OIBAXLRAUNYTNM-JSGCOSHPSA-N 1 2 319.405 1.441 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H](C)COC)C1 ZINC001368150831 898816796 /nfs/dbraw/zinc/81/67/96/898816796.db2.gz KAMZWXVDBKZTOV-GXFFZTMASA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H](C)COC)C1 ZINC001368150831 898816810 /nfs/dbraw/zinc/81/68/10/898816810.db2.gz KAMZWXVDBKZTOV-GXFFZTMASA-N 1 2 319.243 1.758 20 30 DDEDLO C=CCS(=O)(=O)NCc1c[nH+]cn1Cc1ccc(F)cc1 ZINC001259935741 898951687 /nfs/dbraw/zinc/95/16/87/898951687.db2.gz SCJJZXMSWPUWKQ-UHFFFAOYSA-N 1 2 309.366 1.676 20 30 DDEDLO C=CCCCC(=O)N1CC[C@](C)(CNC(=O)Cn2cc[nH+]c2)C1 ZINC001299642423 899019894 /nfs/dbraw/zinc/01/98/94/899019894.db2.gz QUWOVDPNJPNZRD-QGZVFWFLSA-N 1 2 318.421 1.594 20 30 DDEDLO N#Cc1cnn2cc(C[NH2+]Cc3nccn3C(F)F)cnc12 ZINC001261495391 899605978 /nfs/dbraw/zinc/60/59/78/899605978.db2.gz DVZIOFFXWOGMMR-UHFFFAOYSA-N 1 2 303.276 1.482 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3(C#N)CCCCC3)n2C)CC1 ZINC001262849513 900394315 /nfs/dbraw/zinc/39/43/15/900394315.db2.gz PMYIZECFNSSQMK-UHFFFAOYSA-N 1 2 312.421 1.296 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1C ZINC001262856706 900397879 /nfs/dbraw/zinc/39/78/79/900397879.db2.gz TYWOJANBXAOKBB-JKIFEVAISA-N 1 2 319.453 1.662 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1C ZINC001262856706 900397884 /nfs/dbraw/zinc/39/78/84/900397884.db2.gz TYWOJANBXAOKBB-JKIFEVAISA-N 1 2 319.453 1.662 20 30 DDEDLO C=CCOCC(=O)N1CCc2sc(C[NH2+]CCF)nc2C1 ZINC001264188500 901006068 /nfs/dbraw/zinc/00/60/68/901006068.db2.gz LAGQKNWCJZIIFW-UHFFFAOYSA-N 1 2 313.398 1.290 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001264372395 901052752 /nfs/dbraw/zinc/05/27/52/901052752.db2.gz DTFJWGPOKWWXJM-HNNXBMFYSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001264372395 901052765 /nfs/dbraw/zinc/05/27/65/901052765.db2.gz DTFJWGPOKWWXJM-HNNXBMFYSA-N 1 2 321.465 1.742 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)C(=O)C(C)(C)C)C1 ZINC001264444168 901128061 /nfs/dbraw/zinc/12/80/61/901128061.db2.gz JWIRASCUOSWYDF-JKSUJKDBSA-N 1 2 312.413 1.819 20 30 DDEDLO C#CC[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)C(=O)C(C)(C)C)C1 ZINC001264444168 901128073 /nfs/dbraw/zinc/12/80/73/901128073.db2.gz JWIRASCUOSWYDF-JKSUJKDBSA-N 1 2 312.413 1.819 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001293544815 914536364 /nfs/dbraw/zinc/53/63/64/914536364.db2.gz QQSYGHVDQASZLK-OLZOCXBDSA-N 1 2 316.405 1.513 20 30 DDEDLO CCc1nocc1C[N@@H+]1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC001265203603 901695791 /nfs/dbraw/zinc/69/57/91/901695791.db2.gz MXOJSFWLJPMBMD-CYBMUJFWSA-N 1 2 322.434 1.574 20 30 DDEDLO CCc1nocc1C[N@H+]1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC001265203603 901695798 /nfs/dbraw/zinc/69/57/98/901695798.db2.gz MXOJSFWLJPMBMD-CYBMUJFWSA-N 1 2 322.434 1.574 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@@H](CC)CC2CCCC2)CC1 ZINC001265264193 901787609 /nfs/dbraw/zinc/78/76/09/901787609.db2.gz IHIWHQRDBUTMJH-SFHVURJKSA-N 1 2 319.493 1.960 20 30 DDEDLO Cc1noc(C[NH2+][C@@H](CNC(=O)C#CC(C)(C)C)C2CC2)n1 ZINC001265354276 901916141 /nfs/dbraw/zinc/91/61/41/901916141.db2.gz OKXKSGOZHGUOOG-ZDUSSCGKSA-N 1 2 304.394 1.412 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001265432193 902010820 /nfs/dbraw/zinc/01/08/20/902010820.db2.gz CKRSIMHBZDLNNS-ZDUSSCGKSA-N 1 2 306.435 1.997 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001265443349 902024261 /nfs/dbraw/zinc/02/42/61/902024261.db2.gz YOUYVKOTQVDFEK-STQMWFEESA-N 1 2 322.409 1.509 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001391642773 902227108 /nfs/dbraw/zinc/22/71/08/902227108.db2.gz YTOYYUXALAQCSW-ZFWWWQNUSA-N 1 2 320.437 1.128 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001391642773 902227119 /nfs/dbraw/zinc/22/71/19/902227119.db2.gz YTOYYUXALAQCSW-ZFWWWQNUSA-N 1 2 320.437 1.128 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)c1cocc1C ZINC001265648909 902252282 /nfs/dbraw/zinc/25/22/82/902252282.db2.gz JEGYVBZBRMFGMK-AWEZNQCLSA-N 1 2 319.405 1.475 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cocc1C ZINC001265648909 902252293 /nfs/dbraw/zinc/25/22/93/902252293.db2.gz JEGYVBZBRMFGMK-AWEZNQCLSA-N 1 2 319.405 1.475 20 30 DDEDLO CC1(C)CC[C@H]1C(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001265651688 902253473 /nfs/dbraw/zinc/25/34/73/902253473.db2.gz NQIIOOIXJSHRLL-KGLIPLIRSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CC[C@H]1C(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001265651688 902253482 /nfs/dbraw/zinc/25/34/82/902253482.db2.gz NQIIOOIXJSHRLL-KGLIPLIRSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@H]2C=CCC2)C1 ZINC001266200811 903096848 /nfs/dbraw/zinc/09/68/48/903096848.db2.gz RBKZLUHDFQKOGG-GJZGRUSLSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@H]2C=CCC2)C1 ZINC001266200811 903096858 /nfs/dbraw/zinc/09/68/58/903096858.db2.gz RBKZLUHDFQKOGG-GJZGRUSLSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CC1(CC(=O)N[C@H]2CCC[N@@H+](CC(=O)NC)C2)CCCCC1 ZINC001266242524 903177442 /nfs/dbraw/zinc/17/74/42/903177442.db2.gz DGBNXIUDHQVLCV-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CC1(CC(=O)N[C@H]2CCC[N@H+](CC(=O)NC)C2)CCCCC1 ZINC001266242524 903177448 /nfs/dbraw/zinc/17/74/48/903177448.db2.gz DGBNXIUDHQVLCV-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](C)C1CC[NH+](Cc2cnon2)CC1 ZINC001279129179 903184000 /nfs/dbraw/zinc/18/40/00/903184000.db2.gz KVVAJSQSFSHKDP-BLLLJJGKSA-N 1 2 322.409 1.113 20 30 DDEDLO C=CCCC(=O)NC1CCN(C(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001293788184 914701980 /nfs/dbraw/zinc/70/19/80/914701980.db2.gz LQSWBHBRIVDNJH-UHFFFAOYSA-N 1 2 304.394 1.334 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCCC[C@@H]1C[NH2+]Cc1nnn(C)n1 ZINC001280452184 903693586 /nfs/dbraw/zinc/69/35/86/903693586.db2.gz RGLNEDASKITNDF-UONOGXRCSA-N 1 2 320.441 1.283 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001280462692 903704921 /nfs/dbraw/zinc/70/49/21/903704921.db2.gz CCQQYTGJWLQPBE-CYBMUJFWSA-N 1 2 304.394 1.109 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)N(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001280462692 903704930 /nfs/dbraw/zinc/70/49/30/903704930.db2.gz CCQQYTGJWLQPBE-CYBMUJFWSA-N 1 2 304.394 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](CNC(=O)CCc2cn[nH]n2)C1 ZINC001370775177 903722202 /nfs/dbraw/zinc/72/22/02/903722202.db2.gz LCGTZDHUCWFLDD-LBPRGKRZSA-N 1 2 311.817 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](CNC(=O)CCc2cn[nH]n2)C1 ZINC001370775177 903722209 /nfs/dbraw/zinc/72/22/09/903722209.db2.gz LCGTZDHUCWFLDD-LBPRGKRZSA-N 1 2 311.817 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](CNC(=O)CCc2c[nH]nn2)C1 ZINC001370775177 903722218 /nfs/dbraw/zinc/72/22/18/903722218.db2.gz LCGTZDHUCWFLDD-LBPRGKRZSA-N 1 2 311.817 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](CNC(=O)CCc2c[nH]nn2)C1 ZINC001370775177 903722226 /nfs/dbraw/zinc/72/22/26/903722226.db2.gz LCGTZDHUCWFLDD-LBPRGKRZSA-N 1 2 311.817 1.318 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC13CCC3)CO2 ZINC001280962467 904250303 /nfs/dbraw/zinc/25/03/03/904250303.db2.gz RGMPTKCMDKIZTD-CABCVRRESA-N 1 2 320.433 1.339 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](C)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001280983235 904273510 /nfs/dbraw/zinc/27/35/10/904273510.db2.gz GOOLQGCMFALGDZ-KGLIPLIRSA-N 1 2 318.421 1.375 20 30 DDEDLO CC(C)(C)[C@@H](CNC(=O)C#CC1CC1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001281323311 904680084 /nfs/dbraw/zinc/68/00/84/904680084.db2.gz UAWVXLZASWTWGZ-CQSZACIVSA-N 1 2 316.405 1.013 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCCC[C@H]1CNCC#N ZINC001281881440 905288797 /nfs/dbraw/zinc/28/87/97/905288797.db2.gz LRNZQCXCKWJDLK-BFHYXJOUSA-N 1 2 301.394 1.018 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]1CN(C)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001282038567 905451655 /nfs/dbraw/zinc/45/16/55/905451655.db2.gz PKTNZMCYXUJCQG-ZFWWWQNUSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]1CN(C)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001282038567 905451663 /nfs/dbraw/zinc/45/16/63/905451663.db2.gz PKTNZMCYXUJCQG-ZFWWWQNUSA-N 1 2 318.421 1.614 20 30 DDEDLO COC[C@@H](C)CC(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001392862483 905499719 /nfs/dbraw/zinc/49/97/19/905499719.db2.gz MUNCYEVCQOXBKB-STQMWFEESA-N 1 2 321.446 1.983 20 30 DDEDLO COC[C@@H](C)CC(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001392862483 905499733 /nfs/dbraw/zinc/49/97/33/905499733.db2.gz MUNCYEVCQOXBKB-STQMWFEESA-N 1 2 321.446 1.983 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)[N@@H+](C)Cc2c(C)nnn2CC)C1 ZINC001282454746 905794991 /nfs/dbraw/zinc/79/49/91/905794991.db2.gz HOQXOTIWKHKQPU-ZDUSSCGKSA-N 1 2 319.453 1.899 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)[N@H+](C)Cc2c(C)nnn2CC)C1 ZINC001282454746 905795010 /nfs/dbraw/zinc/79/50/10/905795010.db2.gz HOQXOTIWKHKQPU-ZDUSSCGKSA-N 1 2 319.453 1.899 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)C[C@@H]2CCC[C@H](OC)C2)C1 ZINC001282518776 905844867 /nfs/dbraw/zinc/84/48/67/905844867.db2.gz YIYATMAQDDIYIP-CVEARBPZSA-N 1 2 324.465 1.711 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H](C)C1C[NH+](Cc2cnnn2C)C1 ZINC001282715272 905978578 /nfs/dbraw/zinc/97/85/78/905978578.db2.gz RKPTVTOEYZDWHJ-CYBMUJFWSA-N 1 2 317.437 1.520 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001283085103 906598403 /nfs/dbraw/zinc/59/84/03/906598403.db2.gz CYFVNQKSOUDXBG-KRWDZBQOSA-N 1 2 320.437 1.761 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CC[C@@H]2CNC(=O)[C@H](C)C#N)c(C)[nH+]1 ZINC001393457614 907047325 /nfs/dbraw/zinc/04/73/25/907047325.db2.gz WLYKNXXGVJIOOK-BXUZGUMPSA-N 1 2 314.389 1.497 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001283466166 907398747 /nfs/dbraw/zinc/39/87/47/907398747.db2.gz SUAJICUUSRBWOD-AWEZNQCLSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001283466166 907398767 /nfs/dbraw/zinc/39/87/67/907398767.db2.gz SUAJICUUSRBWOD-AWEZNQCLSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)[C@H]1C ZINC001283882780 908127608 /nfs/dbraw/zinc/12/76/08/908127608.db2.gz YDHFVQVTPQFGMS-OLZOCXBDSA-N 1 2 322.409 1.651 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CNC(=O)CCc1[nH+]ccn1C ZINC001284685825 909353404 /nfs/dbraw/zinc/35/34/04/909353404.db2.gz HFQGQIBXCKSFFV-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H](C)[NH2+]Cc1nc(C2CCC2)no1 ZINC001394468458 909718346 /nfs/dbraw/zinc/71/83/46/909718346.db2.gz QDOZHJXIWZFHSU-GHMZBOCLSA-N 1 2 305.382 1.433 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001373405328 909769895 /nfs/dbraw/zinc/76/98/95/909769895.db2.gz WJCHGROOEHPHOQ-QWHCGFSZSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001373405328 909769913 /nfs/dbraw/zinc/76/99/13/909769913.db2.gz WJCHGROOEHPHOQ-QWHCGFSZSA-N 1 2 301.818 1.234 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](CC)NC(=O)Cc1[nH]cc[nH+]1 ZINC001285056753 910013631 /nfs/dbraw/zinc/01/36/31/910013631.db2.gz WYYCVKABXYSYJG-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C2(COC)CCCC2)C1 ZINC001373508814 910047979 /nfs/dbraw/zinc/04/79/79/910047979.db2.gz GUALUTFYRNAACF-UHFFFAOYSA-N 1 2 300.830 1.994 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@H](O)CN(C)C(=O)c1cccc2n[nH]cc21 ZINC001394747284 910452923 /nfs/dbraw/zinc/45/29/23/910452923.db2.gz RXYSRAPPJHVPJS-NSHDSACASA-N 1 2 322.796 1.338 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@@H]1CC[N@H+](Cc2ccncc2Cl)C1 ZINC001373672676 910576597 /nfs/dbraw/zinc/57/65/97/910576597.db2.gz ZBFIKBFTGCATNN-DGCLKSJQSA-N 1 2 306.797 1.927 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccncc2Cl)C1 ZINC001373672676 910576606 /nfs/dbraw/zinc/57/66/06/910576606.db2.gz ZBFIKBFTGCATNN-DGCLKSJQSA-N 1 2 306.797 1.927 20 30 DDEDLO CCc1nc(C[NH2+]C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C)no1 ZINC001394813296 910634978 /nfs/dbraw/zinc/63/49/78/910634978.db2.gz UAKYLTZFPITDLH-QWRGUYRKSA-N 1 2 307.398 1.412 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001285661032 910992515 /nfs/dbraw/zinc/99/25/15/910992515.db2.gz AAAAHQBIVHPJKY-JHJVBQTASA-N 1 2 304.394 1.490 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001285661032 910992534 /nfs/dbraw/zinc/99/25/34/910992534.db2.gz AAAAHQBIVHPJKY-JHJVBQTASA-N 1 2 304.394 1.490 20 30 DDEDLO C[C@H](CNC(=O)C#CC1CC1)N(C)C(=O)CCCn1cc[nH+]c1 ZINC001285669580 911009405 /nfs/dbraw/zinc/00/94/05/911009405.db2.gz MRLKDXAPUXNKSH-CQSZACIVSA-N 1 2 316.405 1.040 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCN1C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001285851846 911299699 /nfs/dbraw/zinc/29/96/99/911299699.db2.gz PUJFAIPLFOEKFZ-HIFRSBDPSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCN1C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001285851846 911299706 /nfs/dbraw/zinc/29/97/06/911299706.db2.gz PUJFAIPLFOEKFZ-HIFRSBDPSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]1CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001285873045 911344679 /nfs/dbraw/zinc/34/46/79/911344679.db2.gz PAYOMEPCIBCWNC-UKRRQHHQSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001285971364 911513292 /nfs/dbraw/zinc/51/32/92/911513292.db2.gz QWSQMMUYHFQVIP-LBPRGKRZSA-N 1 2 304.394 1.320 20 30 DDEDLO CC#CCCCC(=O)N[C@]1(C)CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286101580 911692574 /nfs/dbraw/zinc/69/25/74/911692574.db2.gz RSWNOUWOHXLBDM-QGZVFWFLSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CCCCC(=O)N1CC([C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286209239 911834988 /nfs/dbraw/zinc/83/49/88/911834988.db2.gz OIIBYWMBMBTMDS-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001286341067 911934397 /nfs/dbraw/zinc/93/43/97/911934397.db2.gz NHPHUVVGCOWCTG-STQMWFEESA-N 1 2 318.421 1.708 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)C[C@@H]2CCOC2)s1 ZINC001375292740 915490924 /nfs/dbraw/zinc/49/09/24/915490924.db2.gz DYEWJEUZPYZGSQ-STQMWFEESA-N 1 2 319.430 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)C[C@@H]2CCOC2)s1 ZINC001375292740 915490939 /nfs/dbraw/zinc/49/09/39/915490939.db2.gz DYEWJEUZPYZGSQ-STQMWFEESA-N 1 2 319.430 1.737 20 30 DDEDLO CC#CCCCC(=O)NCCN(C(=O)Cc1c[nH+]c[nH]1)C1CC1 ZINC001296615424 916504116 /nfs/dbraw/zinc/50/41/16/916504116.db2.gz BRAUQIUHLXAITE-UHFFFAOYSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2c(C)nc[nH]c2=O)C1 ZINC001376128537 917751376 /nfs/dbraw/zinc/75/13/76/917751376.db2.gz BDGPPPXQFMZJJA-GFCCVEGCSA-N 1 2 324.812 1.366 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2c(C)nc[nH]c2=O)C1 ZINC001376128537 917751382 /nfs/dbraw/zinc/75/13/82/917751382.db2.gz BDGPPPXQFMZJJA-GFCCVEGCSA-N 1 2 324.812 1.366 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](NC(N)=O)C(C)(C)C)C1 ZINC001376156459 917833215 /nfs/dbraw/zinc/83/32/15/917833215.db2.gz DDVJMRDEPWGYMS-WDEREUQCSA-N 1 2 316.833 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](NC(N)=O)C(C)(C)C)C1 ZINC001376156459 917833227 /nfs/dbraw/zinc/83/32/27/917833227.db2.gz DDVJMRDEPWGYMS-WDEREUQCSA-N 1 2 316.833 1.012 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCC[N@H+](C)Cc1nc(C2CC2)no1 ZINC001376908851 919747601 /nfs/dbraw/zinc/74/76/01/919747601.db2.gz HXCHIRFTYABOIE-NSHDSACASA-N 1 2 305.382 1.387 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCC[N@@H+](C)Cc1nc(C2CC2)no1 ZINC001376908851 919747616 /nfs/dbraw/zinc/74/76/16/919747616.db2.gz HXCHIRFTYABOIE-NSHDSACASA-N 1 2 305.382 1.387 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+](C)CCCN(C)C(=O)[C@H](C)C#N)o1 ZINC001376911247 919757339 /nfs/dbraw/zinc/75/73/39/919757339.db2.gz PTRGSTSEVAWXGF-VXGBXAGGSA-N 1 2 307.398 1.633 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+](C)CCCN(C)C(=O)[C@H](C)C#N)o1 ZINC001376911247 919757356 /nfs/dbraw/zinc/75/73/56/919757356.db2.gz PTRGSTSEVAWXGF-VXGBXAGGSA-N 1 2 307.398 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCCN(C)C(=O)[C@@H]1CN(CC)CCO1 ZINC001377017629 920100980 /nfs/dbraw/zinc/10/09/80/920100980.db2.gz LGBLKJSCWUBJQV-AWEZNQCLSA-N 1 2 317.861 1.240 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCCN(C)C(=O)[C@@H]1CN(CC)CCO1 ZINC001377017629 920100988 /nfs/dbraw/zinc/10/09/88/920100988.db2.gz LGBLKJSCWUBJQV-AWEZNQCLSA-N 1 2 317.861 1.240 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)CCc1cn(C)nc1C ZINC001377342184 921098171 /nfs/dbraw/zinc/09/81/71/921098171.db2.gz UGXXJDHYCJQFRW-UHFFFAOYSA-N 1 2 312.845 1.852 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)CCc1cn(C)nc1C ZINC001377342184 921098182 /nfs/dbraw/zinc/09/81/82/921098182.db2.gz UGXXJDHYCJQFRW-UHFFFAOYSA-N 1 2 312.845 1.852 20 30 DDEDLO Cc1cnc(C[NH2+][C@H](CNC(=O)[C@@H](C)C#N)c2ccccc2)cn1 ZINC001377602262 922451579 /nfs/dbraw/zinc/45/15/79/922451579.db2.gz AKXQKSLWDMOSTC-SUMWQHHRSA-N 1 2 323.400 1.892 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)[C@H]2CCCn3cc[nH+]c32)cc1 ZINC000081940407 192342714 /nfs/dbraw/zinc/34/27/14/192342714.db2.gz DKVAHOHKMUSCNC-HNNXBMFYSA-N 1 2 310.357 1.827 20 30 DDEDLO C[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)cc2)[C@@H](C(N)=O)C1 ZINC000249572364 282174736 /nfs/dbraw/zinc/17/47/36/282174736.db2.gz OOIAVUXLDSGUSM-BXUZGUMPSA-N 1 2 300.362 1.083 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)[C@@H](C(N)=O)C1 ZINC000249572364 282174738 /nfs/dbraw/zinc/17/47/38/282174738.db2.gz OOIAVUXLDSGUSM-BXUZGUMPSA-N 1 2 300.362 1.083 20 30 DDEDLO CC[C@H](C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1)[C@H](C)O ZINC000419846078 529597904 /nfs/dbraw/zinc/59/79/04/529597904.db2.gz QZPSJVRWSJBHNS-YOEHRIQHSA-N 1 2 315.417 1.609 20 30 DDEDLO O=C(NC[C@H]1CCCn2cc[nH+]c21)N1CC2(C1)CCCOC2 ZINC000329420784 539304329 /nfs/dbraw/zinc/30/43/29/539304329.db2.gz GZCAMCGZOCNASB-CYBMUJFWSA-N 1 2 304.394 1.787 20 30 DDEDLO C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)[C@@H]1CCC[N@@H+]1C1CCCC1 ZINC000329561029 539305539 /nfs/dbraw/zinc/30/55/39/539305539.db2.gz JCFVSSJIFFAMTG-YUTCNCBUSA-N 1 2 314.451 1.783 20 30 DDEDLO C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)[C@@H]1CCC[N@H+]1C1CCCC1 ZINC000329561029 539305540 /nfs/dbraw/zinc/30/55/40/539305540.db2.gz JCFVSSJIFFAMTG-YUTCNCBUSA-N 1 2 314.451 1.783 20 30 DDEDLO CN(C)c1ccc(CNS(=O)(=O)CC2(CC#N)CC2)c[nH+]1 ZINC000346436866 529782203 /nfs/dbraw/zinc/78/22/03/529782203.db2.gz FHPCSLVVDYSCFB-UHFFFAOYSA-N 1 2 308.407 1.261 20 30 DDEDLO CN(C)c1[nH+]cccc1CNS(=O)(=O)c1ccc(C#N)s1 ZINC000435447097 529814680 /nfs/dbraw/zinc/81/46/80/529814680.db2.gz NQTOEVUGCKTBIF-UHFFFAOYSA-N 1 2 322.415 1.559 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cc(C)ncn3)CC2)c1C#N ZINC000563929816 303982439 /nfs/dbraw/zinc/98/24/39/303982439.db2.gz HNHMSHGRKLRWFX-UHFFFAOYSA-N 1 2 311.393 1.021 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](Cc3cccnc3)CC2)ncc1C#N ZINC000457427682 232053898 /nfs/dbraw/zinc/05/38/98/232053898.db2.gz XLAIIYXRWDDDLT-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)COc1ccc(C#N)cc1)[NH+]1CCOCC1 ZINC000264248930 186326890 /nfs/dbraw/zinc/32/68/90/186326890.db2.gz KPXPXUQYRGKTFG-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO N#Cc1cnc(N2CC[NH+](CCc3cnccn3)CC2)c(F)c1 ZINC000601253650 358464644 /nfs/dbraw/zinc/46/46/44/358464644.db2.gz WASRTEDUXPQWPN-UHFFFAOYSA-N 1 2 312.352 1.247 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+](C)CC(=O)NC(C)(C)C ZINC000007660097 352126039 /nfs/dbraw/zinc/12/60/39/352126039.db2.gz GVIBDJWKEWEJJN-WBMJQRKESA-N 1 2 310.442 1.276 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+](C)CC(=O)NC(C)(C)C ZINC000007660097 352126041 /nfs/dbraw/zinc/12/60/41/352126041.db2.gz GVIBDJWKEWEJJN-WBMJQRKESA-N 1 2 310.442 1.276 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2ccc(O)cc2)CC1 ZINC000051154212 352580146 /nfs/dbraw/zinc/58/01/46/352580146.db2.gz FLYNSDBIWWJAEV-UHFFFAOYSA-N 1 2 316.405 1.276 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)NCC(=O)Nc1ccccc1CC ZINC000072938982 191253833 /nfs/dbraw/zinc/25/38/33/191253833.db2.gz GYVTZXWBPXCEPB-UHFFFAOYSA-N 1 2 315.417 1.978 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)NC(C)(C)C)cc1OC ZINC000060552823 352875595 /nfs/dbraw/zinc/87/55/95/352875595.db2.gz ZZSXAWRERYKYDT-UHFFFAOYSA-N 1 2 309.366 1.255 20 30 DDEDLO Cc1ccc(/C=C/C(=O)N(CCC#N)CC[NH+]2CCOCC2)o1 ZINC000060855763 352880587 /nfs/dbraw/zinc/88/05/87/352880587.db2.gz FOIINGROMSOESO-AATRIKPKSA-N 1 2 317.389 1.676 20 30 DDEDLO COc1ccccc1C[N@@H+](CC(=O)NCC#N)C[C@H]1CCCO1 ZINC000066657022 353011673 /nfs/dbraw/zinc/01/16/73/353011673.db2.gz ZPEUKVMGVJHCAQ-OAHLLOKOSA-N 1 2 317.389 1.316 20 30 DDEDLO COc1ccccc1C[N@H+](CC(=O)NCC#N)C[C@H]1CCCO1 ZINC000066657022 353011675 /nfs/dbraw/zinc/01/16/75/353011675.db2.gz ZPEUKVMGVJHCAQ-OAHLLOKOSA-N 1 2 317.389 1.316 20 30 DDEDLO C=CCOCCCC(=O)N1CCN(C2=[NH+]C[C@H](C)S2)CC1 ZINC000174417831 198332511 /nfs/dbraw/zinc/33/25/11/198332511.db2.gz BNMCMNNWGSBSHV-ZDUSSCGKSA-N 1 2 311.451 1.605 20 30 DDEDLO CC[N@H+](CCC#N)C[C@@H](O)COc1ccc(NC(C)=O)cc1 ZINC000081513692 353680159 /nfs/dbraw/zinc/68/01/59/353680159.db2.gz VJHVVPSJXJWNHM-OAHLLOKOSA-N 1 2 305.378 1.620 20 30 DDEDLO CC[N@@H+](CCC#N)C[C@@H](O)COc1ccc(NC(C)=O)cc1 ZINC000081513692 353680160 /nfs/dbraw/zinc/68/01/60/353680160.db2.gz VJHVVPSJXJWNHM-OAHLLOKOSA-N 1 2 305.378 1.620 20 30 DDEDLO C[C@@H]1CO[C@H](CO)C[N@@H+]1Cc1cccc(OCCCC#N)c1 ZINC000092041709 353841893 /nfs/dbraw/zinc/84/18/93/353841893.db2.gz WOQNYQFOCQAXAP-PBHICJAKSA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@@H]1CO[C@H](CO)C[N@H+]1Cc1cccc(OCCCC#N)c1 ZINC000092041709 353841897 /nfs/dbraw/zinc/84/18/97/353841897.db2.gz WOQNYQFOCQAXAP-PBHICJAKSA-N 1 2 304.390 1.951 20 30 DDEDLO C#CCC[NH+]1CCN(c2nc(Cl)c(C(=O)OC)s2)CC1 ZINC000092623886 353864513 /nfs/dbraw/zinc/86/45/13/353864513.db2.gz KIFYHTYMLPTQLL-UHFFFAOYSA-N 1 2 313.810 1.728 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCCC[C@H]3[C@H]3CNC(=O)C3)n2c1 ZINC000092105054 353844613 /nfs/dbraw/zinc/84/46/13/353844613.db2.gz OPJWODGDLQFUDG-ZBFHGGJFSA-N 1 2 323.400 1.697 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCCC[C@H]3[C@H]3CNC(=O)C3)n2c1 ZINC000092105054 353844616 /nfs/dbraw/zinc/84/46/16/353844616.db2.gz OPJWODGDLQFUDG-ZBFHGGJFSA-N 1 2 323.400 1.697 20 30 DDEDLO COC(=O)[C@H]1CCC[N@@H+]1C[C@H](O)COc1ccc(CC#N)cc1 ZINC000116394065 353990288 /nfs/dbraw/zinc/99/02/88/353990288.db2.gz UVAKQNYMJNYNTD-GOEBONIOSA-N 1 2 318.373 1.130 20 30 DDEDLO COC(=O)[C@H]1CCC[N@H+]1C[C@H](O)COc1ccc(CC#N)cc1 ZINC000116394065 353990291 /nfs/dbraw/zinc/99/02/91/353990291.db2.gz UVAKQNYMJNYNTD-GOEBONIOSA-N 1 2 318.373 1.130 20 30 DDEDLO CC(C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cc2F)CC1 ZINC000151052643 354180168 /nfs/dbraw/zinc/18/01/68/354180168.db2.gz CVHXMWBGTJLODG-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO O[C@H](C[NH+]1CCN(CC(F)(F)C(F)F)CC1)C(F)(F)F ZINC000181705201 354269833 /nfs/dbraw/zinc/26/98/33/354269833.db2.gz XPGSLZJHMGYGGU-SSDOTTSWSA-N 1 2 312.229 1.428 20 30 DDEDLO CCn1ncc2cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c(C)nc21 ZINC000578538467 354709979 /nfs/dbraw/zinc/70/99/79/354709979.db2.gz VKBVFVHNQCVICI-MRXNPFEDSA-N 1 2 314.393 1.333 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(Br)cn1 ZINC000579718017 354723049 /nfs/dbraw/zinc/72/30/49/354723049.db2.gz NSYYKYFRKSTQFB-GFCCVEGCSA-N 1 2 311.183 1.418 20 30 DDEDLO N#Cc1ccc(CN2CCC[C@@H]([NH+]3CCOCC3)C2)cc1F ZINC000404072957 354673376 /nfs/dbraw/zinc/67/33/76/354673376.db2.gz MIERAFLASLQZAX-MRXNPFEDSA-N 1 2 303.381 1.994 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000581734735 354737456 /nfs/dbraw/zinc/73/74/56/354737456.db2.gz VFTSJXSELUFMMQ-OLZOCXBDSA-N 1 2 303.362 1.224 20 30 DDEDLO N#Cc1cnc(C(=O)N[C@H]2CCc3c[nH+]cn3C2)c(Cl)c1 ZINC000587057047 354879202 /nfs/dbraw/zinc/87/92/02/354879202.db2.gz QDNHQHGJWDIRSW-JTQLQIEISA-N 1 2 301.737 1.548 20 30 DDEDLO C[NH+]1CCN(C(=O)c2ccccc2NC(=O)CCCC#N)CC1 ZINC000589744602 355017547 /nfs/dbraw/zinc/01/75/47/355017547.db2.gz ZRAACTWMCVPEPK-UHFFFAOYSA-N 1 2 314.389 1.707 20 30 DDEDLO COCCn1nnc2c1CC[N@H+](Cc1ccc(C#N)cc1C)C2 ZINC000590186633 355057028 /nfs/dbraw/zinc/05/70/28/355057028.db2.gz ZZJKJXPPYVYWSO-UHFFFAOYSA-N 1 2 311.389 1.663 20 30 DDEDLO COCCn1nnc2c1CC[N@@H+](Cc1ccc(C#N)cc1C)C2 ZINC000590186633 355057031 /nfs/dbraw/zinc/05/70/31/355057031.db2.gz ZZJKJXPPYVYWSO-UHFFFAOYSA-N 1 2 311.389 1.663 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCN([C@@H](C#N)C(C)C)CC2)nc1 ZINC000591020148 355233103 /nfs/dbraw/zinc/23/31/03/355233103.db2.gz FUXTVBAUXOOIPW-INIZCTEOSA-N 1 2 316.405 1.534 20 30 DDEDLO CCOC(=O)c1ccc(C[NH+]2CCN(CCC#N)CC2)nc1 ZINC000591020520 355233381 /nfs/dbraw/zinc/23/33/81/355233381.db2.gz JXKXGOVIGYQHPI-UHFFFAOYSA-N 1 2 302.378 1.290 20 30 DDEDLO Cc1cccc2[nH+]c(CCNC(=O)C3(C#N)CCOCC3)cn21 ZINC000592746823 355673578 /nfs/dbraw/zinc/67/35/78/355673578.db2.gz WZJIRELTVUSPSP-UHFFFAOYSA-N 1 2 312.373 1.622 20 30 DDEDLO N#CCN1CCC(CNC(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC000593486003 355900570 /nfs/dbraw/zinc/90/05/70/355900570.db2.gz GLXVHXLMDFWVLP-UHFFFAOYSA-N 1 2 315.421 1.114 20 30 DDEDLO CC(C)=CCCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000594897243 356349920 /nfs/dbraw/zinc/34/99/20/356349920.db2.gz KOIZWUUUDNGYLR-UHFFFAOYSA-N 1 2 301.390 1.908 20 30 DDEDLO Cc1cn2ccc(NC(=O)C(=O)N(C)CC(C)(C)C#N)cc2[nH+]1 ZINC000595034633 356375273 /nfs/dbraw/zinc/37/52/73/356375273.db2.gz RFCNJSQVJUNGOP-UHFFFAOYSA-N 1 2 313.361 1.589 20 30 DDEDLO CN(C[C@H](O)C[NH+]1CCOCC1)[C@@H](C#N)c1ccc(Cl)cc1 ZINC000595344135 356457178 /nfs/dbraw/zinc/45/71/78/356457178.db2.gz MHLZYEMNDDHIKU-HOTGVXAUSA-N 1 2 323.824 1.530 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)sn1 ZINC000595434874 356491363 /nfs/dbraw/zinc/49/13/63/356491363.db2.gz HKKNWSKAMWCCHH-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO C[C@]1(C#N)CCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000595441767 356493965 /nfs/dbraw/zinc/49/39/65/356493965.db2.gz MXAGUKCKJIYXAY-MRXNPFEDSA-N 1 2 301.394 1.707 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ncns3)CC2)cc1C#N ZINC000595515657 356527699 /nfs/dbraw/zinc/52/76/99/356527699.db2.gz MPPWYIPFBIOXFW-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000081569283 192292577 /nfs/dbraw/zinc/29/25/77/192292577.db2.gz CZTIFRXZCQJDTQ-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO CCOC(=O)COCC[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595630055 356584848 /nfs/dbraw/zinc/58/48/48/356584848.db2.gz RRXIBOAYUPJHGX-UHFFFAOYSA-N 1 2 323.418 1.321 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000595704854 356615615 /nfs/dbraw/zinc/61/56/15/356615615.db2.gz RCEYDCULWGWXCL-SMDDNHRTSA-N 1 2 305.353 1.973 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000595704854 356615620 /nfs/dbraw/zinc/61/56/20/356615620.db2.gz RCEYDCULWGWXCL-SMDDNHRTSA-N 1 2 305.353 1.973 20 30 DDEDLO C[C@@H]([NH2+]CCS(=O)(=O)c1ccc(C#N)cn1)c1cscn1 ZINC000595666135 356600411 /nfs/dbraw/zinc/60/04/11/356600411.db2.gz KJNRYZAGTAEEAF-SNVBAGLBSA-N 1 2 322.415 1.534 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000595704852 356615920 /nfs/dbraw/zinc/61/59/20/356615920.db2.gz RCEYDCULWGWXCL-FZMZJTMJSA-N 1 2 305.353 1.973 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000595704852 356615922 /nfs/dbraw/zinc/61/59/22/356615922.db2.gz RCEYDCULWGWXCL-FZMZJTMJSA-N 1 2 305.353 1.973 20 30 DDEDLO C[C@@H]1C[NH+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H](C)C1O ZINC000595744399 356632304 /nfs/dbraw/zinc/63/23/04/356632304.db2.gz XLQGOQCCVBEFCC-CHWSQXEVSA-N 1 2 322.430 1.281 20 30 DDEDLO C=CCCNC(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000624346800 366314055 /nfs/dbraw/zinc/31/40/55/366314055.db2.gz ZYKUODDVRKPDLU-HNNXBMFYSA-N 1 2 304.394 1.158 20 30 DDEDLO C=CCCNC(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000624346800 366314061 /nfs/dbraw/zinc/31/40/61/366314061.db2.gz ZYKUODDVRKPDLU-HNNXBMFYSA-N 1 2 304.394 1.158 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1CCC2(COC2)C1 ZINC000595834287 356670028 /nfs/dbraw/zinc/67/00/28/356670028.db2.gz NZGBKTPOGFQCPC-UHFFFAOYSA-N 1 2 305.765 1.872 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@H+]1CCC2(COC2)C1 ZINC000595834287 356670033 /nfs/dbraw/zinc/67/00/33/356670033.db2.gz NZGBKTPOGFQCPC-UHFFFAOYSA-N 1 2 305.765 1.872 20 30 DDEDLO Cc1cc(NCC[NH+]2CCN(C)CC2)cc(C#N)c1[N+](=O)[O-] ZINC000596062227 356758096 /nfs/dbraw/zinc/75/80/96/356758096.db2.gz JEHQWJKFMXSGPE-UHFFFAOYSA-N 1 2 303.366 1.434 20 30 DDEDLO Cc1cc(NC[C@@H]2CN(C)CC[N@@H+]2C)cc(C#N)c1[N+](=O)[O-] ZINC000596122281 356780991 /nfs/dbraw/zinc/78/09/91/356780991.db2.gz MNIKYBXYKBIJDM-CQSZACIVSA-N 1 2 303.366 1.433 20 30 DDEDLO Cc1cc(NC[C@@H]2CN(C)CC[N@H+]2C)cc(C#N)c1[N+](=O)[O-] ZINC000596122281 356780994 /nfs/dbraw/zinc/78/09/94/356780994.db2.gz MNIKYBXYKBIJDM-CQSZACIVSA-N 1 2 303.366 1.433 20 30 DDEDLO C[C@H](CC#N)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000596728525 356962994 /nfs/dbraw/zinc/96/29/94/356962994.db2.gz SROPZJQUFYQDIL-GDBMZVCRSA-N 1 2 301.390 1.726 20 30 DDEDLO C[C@H](CC#N)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000596672975 356949097 /nfs/dbraw/zinc/94/90/97/356949097.db2.gz UPDCJLCPJUHIOQ-CQSZACIVSA-N 1 2 301.390 1.685 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2ncc(C#N)cc2Cl)[C@@H](C)CO1 ZINC000597996294 357412190 /nfs/dbraw/zinc/41/21/90/357412190.db2.gz IKNQUHNBBJBAPW-QWRGUYRKSA-N 1 2 322.796 1.446 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2ncc(C#N)cc2Cl)[C@@H](C)CO1 ZINC000597996294 357412194 /nfs/dbraw/zinc/41/21/94/357412194.db2.gz IKNQUHNBBJBAPW-QWRGUYRKSA-N 1 2 322.796 1.446 20 30 DDEDLO N#Cc1ccnc(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)c1 ZINC000598342372 357545880 /nfs/dbraw/zinc/54/58/80/357545880.db2.gz HTNKEKVTOAMBKR-UHFFFAOYSA-N 1 2 314.389 1.138 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@@H+]1CCNC(=O)[C@@H]1c1cccs1 ZINC000598598753 357648569 /nfs/dbraw/zinc/64/85/69/357648569.db2.gz UUNXGUUWYGTHNK-AAEUAGOBSA-N 1 2 307.419 1.522 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@H+]1CCNC(=O)[C@@H]1c1cccs1 ZINC000598598753 357648570 /nfs/dbraw/zinc/64/85/70/357648570.db2.gz UUNXGUUWYGTHNK-AAEUAGOBSA-N 1 2 307.419 1.522 20 30 DDEDLO COCc1n[nH]c([C@@H]2CCCC[N@@H+]2C[C@H](O)CC(C)(C)C#N)n1 ZINC000598617044 357655364 /nfs/dbraw/zinc/65/53/64/357655364.db2.gz PDLOPHZYCOHHSV-OLZOCXBDSA-N 1 2 321.425 1.779 20 30 DDEDLO COCc1n[nH]c([C@@H]2CCCC[N@H+]2C[C@H](O)CC(C)(C)C#N)n1 ZINC000598617044 357655367 /nfs/dbraw/zinc/65/53/67/357655367.db2.gz PDLOPHZYCOHHSV-OLZOCXBDSA-N 1 2 321.425 1.779 20 30 DDEDLO C[C@H](NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1)c1ccc(C#N)cc1 ZINC000329722010 223019016 /nfs/dbraw/zinc/01/90/16/223019016.db2.gz AVVTUIGJHWHPMH-VBNZEHGJSA-N 1 2 314.389 1.548 20 30 DDEDLO C[C@H](NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1)c1ccc(C#N)cc1 ZINC000329722010 223019020 /nfs/dbraw/zinc/01/90/20/223019020.db2.gz AVVTUIGJHWHPMH-VBNZEHGJSA-N 1 2 314.389 1.548 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C[C@H](n1cccn1)C(F)(F)F ZINC000598836208 357740010 /nfs/dbraw/zinc/74/00/10/357740010.db2.gz CPCHPPYZCLBJHU-JQWIXIFHSA-N 1 2 317.315 1.337 20 30 DDEDLO O=C(N[C@H]1CC(=O)N(CC[NH+]2CCOCC2)C1)[C@H]1CC=CCC1 ZINC000329755323 223024106 /nfs/dbraw/zinc/02/41/06/223024106.db2.gz LMSXTPWIKXVUAA-GJZGRUSLSA-N 1 2 321.421 1.232 20 30 DDEDLO CC(C)(Oc1ccc(C#N)cc1)C(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000598944571 357768646 /nfs/dbraw/zinc/76/86/46/357768646.db2.gz QCPFHIBZQMWHEL-CYBMUJFWSA-N 1 2 310.357 1.653 20 30 DDEDLO Cc1nc(N2CC(Nc3cccc(C#N)c3)C2)nc(N(C)C)[nH+]1 ZINC000599111202 357819041 /nfs/dbraw/zinc/81/90/41/357819041.db2.gz LAIDNLJEDJDYJD-UHFFFAOYSA-N 1 2 309.377 1.418 20 30 DDEDLO Cc1nc(N(C)C)nc(N2CC(Nc3cccc(C#N)c3)C2)[nH+]1 ZINC000599111202 357819045 /nfs/dbraw/zinc/81/90/45/357819045.db2.gz LAIDNLJEDJDYJD-UHFFFAOYSA-N 1 2 309.377 1.418 20 30 DDEDLO Cc1ccc(C#N)nc1NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000599183817 357842425 /nfs/dbraw/zinc/84/24/25/357842425.db2.gz AKHQNRNGBYEOIX-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(C#N)nc1NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000599183817 357842427 /nfs/dbraw/zinc/84/24/27/357842427.db2.gz AKHQNRNGBYEOIX-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO C[N@@H+](CC(=O)OC(C)(C)C)C[C@H](O)CC1(C#N)CCOCC1 ZINC000599280324 357873316 /nfs/dbraw/zinc/87/33/16/357873316.db2.gz AUUMCGOBKGRZKJ-CYBMUJFWSA-N 1 2 312.410 1.331 20 30 DDEDLO C[N@H+](CC(=O)OC(C)(C)C)C[C@H](O)CC1(C#N)CCOCC1 ZINC000599280324 357873320 /nfs/dbraw/zinc/87/33/20/357873320.db2.gz AUUMCGOBKGRZKJ-CYBMUJFWSA-N 1 2 312.410 1.331 20 30 DDEDLO CC[C@](C)([NH2+]C[C@@H](O)COc1ccccc1C#N)C(=O)OC ZINC000599351730 357905891 /nfs/dbraw/zinc/90/58/91/357905891.db2.gz IHMAJNDRQJWTOI-CJNGLKHVSA-N 1 2 306.362 1.229 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCOc2cc(F)ccc2C1 ZINC000599687461 358026043 /nfs/dbraw/zinc/02/60/43/358026043.db2.gz SBHLPDUZOUSWMA-LBPRGKRZSA-N 1 2 305.353 1.781 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCOc2cc(F)ccc2C1 ZINC000599687461 358026045 /nfs/dbraw/zinc/02/60/45/358026045.db2.gz SBHLPDUZOUSWMA-LBPRGKRZSA-N 1 2 305.353 1.781 20 30 DDEDLO Cc1ncc(C[NH+]2CCN(c3snc(C)c3C#N)CC2)o1 ZINC000600163498 358143107 /nfs/dbraw/zinc/14/31/07/358143107.db2.gz HFFHKRMSARODOL-UHFFFAOYSA-N 1 2 303.391 1.942 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)C(C)(C)c1cc(F)cc(C#N)c1 ZINC000600417772 358215019 /nfs/dbraw/zinc/21/50/19/358215019.db2.gz AZGATZJQBBXKIA-HNNXBMFYSA-N 1 2 319.380 1.422 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)C(C)(C)c1cc(F)cc(C#N)c1 ZINC000600417772 358215021 /nfs/dbraw/zinc/21/50/21/358215021.db2.gz AZGATZJQBBXKIA-HNNXBMFYSA-N 1 2 319.380 1.422 20 30 DDEDLO COC(=O)C[C@H]1C(=O)NCC[N@H+]1CCC1(C#N)CCCCC1 ZINC000411129384 291155617 /nfs/dbraw/zinc/15/56/17/291155617.db2.gz DZBORTHEWCFFJU-ZDUSSCGKSA-N 1 2 307.394 1.214 20 30 DDEDLO COC(=O)C[C@H]1C(=O)NCC[N@@H+]1CCC1(C#N)CCCCC1 ZINC000411129384 291155620 /nfs/dbraw/zinc/15/56/20/291155620.db2.gz DZBORTHEWCFFJU-ZDUSSCGKSA-N 1 2 307.394 1.214 20 30 DDEDLO N#CC1(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)CC2(CC2)C1 ZINC000601609664 358621859 /nfs/dbraw/zinc/62/18/59/358621859.db2.gz BIBGACXUSBQFLU-CQSZACIVSA-N 1 2 303.406 1.394 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601977846 358762765 /nfs/dbraw/zinc/76/27/65/358762765.db2.gz UPTUSADKFJOPPY-VXGBXAGGSA-N 1 2 303.353 1.351 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601977846 358762766 /nfs/dbraw/zinc/76/27/66/358762766.db2.gz UPTUSADKFJOPPY-VXGBXAGGSA-N 1 2 303.353 1.351 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)n1 ZINC000602458695 358991149 /nfs/dbraw/zinc/99/11/49/358991149.db2.gz IMXMWVRKTPTQBT-MRXNPFEDSA-N 1 2 314.389 1.167 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)n1 ZINC000602458695 358991153 /nfs/dbraw/zinc/99/11/53/358991153.db2.gz IMXMWVRKTPTQBT-MRXNPFEDSA-N 1 2 314.389 1.167 20 30 DDEDLO C[C@H](CC#N)[N@H+](C)Cc1ccc(Cl)c(S(N)(=O)=O)c1 ZINC000602461953 358993823 /nfs/dbraw/zinc/99/38/23/358993823.db2.gz JHIONDSVAPWIDN-SECBINFHSA-N 1 2 301.799 1.721 20 30 DDEDLO C[C@H](CC#N)[N@@H+](C)Cc1ccc(Cl)c(S(N)(=O)=O)c1 ZINC000602461953 358993828 /nfs/dbraw/zinc/99/38/28/358993828.db2.gz JHIONDSVAPWIDN-SECBINFHSA-N 1 2 301.799 1.721 20 30 DDEDLO C=CCC[C@@H]([NH2+][C@H]1CCCS(=O)(=O)CC1)C(=O)OCC ZINC000602679745 359122380 /nfs/dbraw/zinc/12/23/80/359122380.db2.gz IUIHWWRYADSWIU-QWHCGFSZSA-N 1 2 303.424 1.441 20 30 DDEDLO CCCn1nccc1NC(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602859521 359244941 /nfs/dbraw/zinc/24/49/41/359244941.db2.gz ZCXWIXFDVLLYRJ-OKILXGFUSA-N 1 2 318.425 1.150 20 30 DDEDLO CCCn1nccc1NC(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602859521 359244943 /nfs/dbraw/zinc/24/49/43/359244943.db2.gz ZCXWIXFDVLLYRJ-OKILXGFUSA-N 1 2 318.425 1.150 20 30 DDEDLO COc1ccc(CCNC(=O)C[NH2+][C@H](C)CC#N)cc1OC ZINC000602865600 359250378 /nfs/dbraw/zinc/25/03/78/359250378.db2.gz WTOANTRDTGNKGV-GFCCVEGCSA-N 1 2 305.378 1.254 20 30 DDEDLO COc1ccccc1CNC(=O)C[N@H+](C)[C@H]1CCC[C@H]1C#N ZINC000602974157 359333502 /nfs/dbraw/zinc/33/35/02/359333502.db2.gz KHIPQVOLSMNSQO-ZFWWWQNUSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccccc1CNC(=O)C[N@@H+](C)[C@H]1CCC[C@H]1C#N ZINC000602974157 359333507 /nfs/dbraw/zinc/33/35/07/359333507.db2.gz KHIPQVOLSMNSQO-ZFWWWQNUSA-N 1 2 301.390 1.935 20 30 DDEDLO C[N@H+](CC(=O)NCCOc1ccccc1)[C@@H]1CCC[C@H]1C#N ZINC000602974606 359334349 /nfs/dbraw/zinc/33/43/49/359334349.db2.gz QPGMPPSNEZRSNZ-GOEBONIOSA-N 1 2 301.390 1.806 20 30 DDEDLO C[N@@H+](CC(=O)NCCOc1ccccc1)[C@@H]1CCC[C@H]1C#N ZINC000602974606 359334352 /nfs/dbraw/zinc/33/43/52/359334352.db2.gz QPGMPPSNEZRSNZ-GOEBONIOSA-N 1 2 301.390 1.806 20 30 DDEDLO C[C@H](NC(=O)Nc1cc(F)cc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000603079533 359391516 /nfs/dbraw/zinc/39/15/16/359391516.db2.gz WAMXAXSTRDBZMC-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO N#Cc1cc(Cn2cc(CC[NH+]3CCOCC3)nn2)ccc1F ZINC000603198851 359474984 /nfs/dbraw/zinc/47/49/84/359474984.db2.gz HAADVPAUTFQSTF-UHFFFAOYSA-N 1 2 315.352 1.212 20 30 DDEDLO N#Cc1sccc1N1CCN(c2cc(CO)cc[nH+]2)CC1 ZINC000603405256 359620926 /nfs/dbraw/zinc/62/09/26/359620926.db2.gz OMEFEKNTIWFYHL-UHFFFAOYSA-N 1 2 300.387 1.834 20 30 DDEDLO COCCOCc1cc(C#N)ccc1NC[C@@H]1COCC[N@@H+]1C ZINC000603415360 359626475 /nfs/dbraw/zinc/62/64/75/359626475.db2.gz LFIPQGSFCYZOSA-MRXNPFEDSA-N 1 2 319.405 1.464 20 30 DDEDLO COCCOCc1cc(C#N)ccc1NC[C@@H]1COCC[N@H+]1C ZINC000603415360 359626483 /nfs/dbraw/zinc/62/64/83/359626483.db2.gz LFIPQGSFCYZOSA-MRXNPFEDSA-N 1 2 319.405 1.464 20 30 DDEDLO CCCc1cc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)[nH]n1 ZINC000604538474 359763440 /nfs/dbraw/zinc/76/34/40/359763440.db2.gz OMJAUAWLWMJYOS-UHFFFAOYSA-N 1 2 319.409 1.050 20 30 DDEDLO CC(C)CCNC(=O)[C@@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609484703 360312399 /nfs/dbraw/zinc/31/23/99/360312399.db2.gz HWOJITAMKRQXCL-CVEARBPZSA-N 1 2 308.470 1.703 20 30 DDEDLO Cc1csc(=O)n1CC[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609485954 360313951 /nfs/dbraw/zinc/31/39/51/360313951.db2.gz APFIURIIMVIFSS-AWEZNQCLSA-N 1 2 308.451 1.384 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)N(C)C2CCCCC2)CC1 ZINC000609537796 360320163 /nfs/dbraw/zinc/32/01/63/360320163.db2.gz DRCSDZUCUCYJJD-QGZVFWFLSA-N 1 2 320.481 1.943 20 30 DDEDLO CC(C)CN(C(=O)C[NH+]1CCN([C@H](C#N)C(C)C)CC1)C1CC1 ZINC000609537875 360320258 /nfs/dbraw/zinc/32/02/58/360320258.db2.gz HDEVEAOUIBXYIX-QGZVFWFLSA-N 1 2 320.481 1.799 20 30 DDEDLO C[C@H](C#N)C(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000610422887 360432064 /nfs/dbraw/zinc/43/20/64/360432064.db2.gz PGVHXZCPUNLDCT-GFCCVEGCSA-N 1 2 300.362 1.172 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000610505222 360455940 /nfs/dbraw/zinc/45/59/40/360455940.db2.gz CRNCNXFDFDRWTH-SMDDNHRTSA-N 1 2 305.353 1.973 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000610505222 360455942 /nfs/dbraw/zinc/45/59/42/360455942.db2.gz CRNCNXFDFDRWTH-SMDDNHRTSA-N 1 2 305.353 1.973 20 30 DDEDLO CCOC[C@@H](C(=O)OC)[N@H+](C)CCOc1ccccc1C#N ZINC000610998867 360596871 /nfs/dbraw/zinc/59/68/71/360596871.db2.gz YRRWPZCHVBWTEZ-AWEZNQCLSA-N 1 2 306.362 1.447 20 30 DDEDLO CCOC[C@@H](C(=O)OC)[N@@H+](C)CCOc1ccccc1C#N ZINC000610998867 360596876 /nfs/dbraw/zinc/59/68/76/360596876.db2.gz YRRWPZCHVBWTEZ-AWEZNQCLSA-N 1 2 306.362 1.447 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CC[C@H](C(F)F)C2)cc1 ZINC000611180609 360652043 /nfs/dbraw/zinc/65/20/43/360652043.db2.gz ZWJBMHRLWGOOGZ-LBPRGKRZSA-N 1 2 314.357 1.919 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CC[C@H](C(F)F)C2)cc1 ZINC000611180609 360652046 /nfs/dbraw/zinc/65/20/46/360652046.db2.gz ZWJBMHRLWGOOGZ-LBPRGKRZSA-N 1 2 314.357 1.919 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)N(C)Cc2[nH]cc[nH+]2)c(C#N)c1C ZINC000611191111 360655440 /nfs/dbraw/zinc/65/54/40/360655440.db2.gz MCPOBTVWKJMYKG-SNVBAGLBSA-N 1 2 301.350 1.950 20 30 DDEDLO CC(C)N1CC[N@H+](CCC(=O)Nc2sccc2C#N)CC1=O ZINC000611554858 360758009 /nfs/dbraw/zinc/75/80/09/360758009.db2.gz IVAWXKBMRKZBNY-UHFFFAOYSA-N 1 2 320.418 1.501 20 30 DDEDLO CC(C)N1CC[N@@H+](CCC(=O)Nc2sccc2C#N)CC1=O ZINC000611554858 360758016 /nfs/dbraw/zinc/75/80/16/360758016.db2.gz IVAWXKBMRKZBNY-UHFFFAOYSA-N 1 2 320.418 1.501 20 30 DDEDLO Cc1nccc(NC(=O)N2CCN(c3cc[nH+]c(C)n3)CC2)n1 ZINC000330122763 223076995 /nfs/dbraw/zinc/07/69/95/223076995.db2.gz KKQVTBPPLWTUNI-UHFFFAOYSA-N 1 2 313.365 1.251 20 30 DDEDLO C#CCCN1CC[NH+](C[C@H](O)COc2ccccc2F)CC1 ZINC000272004615 209378050 /nfs/dbraw/zinc/37/80/50/209378050.db2.gz IOGNLODKEMSFGS-HNNXBMFYSA-N 1 2 306.381 1.206 20 30 DDEDLO Cn1ccnc1[C@H]1OCCC[C@@H]1NC(=O)CCn1cc[nH+]c1 ZINC000330331019 223103589 /nfs/dbraw/zinc/10/35/89/223103589.db2.gz NTBYWPXRCZTPFB-JSGCOSHPSA-N 1 2 303.366 1.884 20 30 DDEDLO Cc1ccc(NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)cc1[N+](=O)[O-] ZINC000330701331 223138553 /nfs/dbraw/zinc/13/85/53/223138553.db2.gz GXEVTKHRPATBNK-ZDUSSCGKSA-N 1 2 321.381 1.475 20 30 DDEDLO Cc1ccc(NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)cc1[N+](=O)[O-] ZINC000330701331 223138556 /nfs/dbraw/zinc/13/85/56/223138556.db2.gz GXEVTKHRPATBNK-ZDUSSCGKSA-N 1 2 321.381 1.475 20 30 DDEDLO Cc1cc(C)c(NC(=O)N(C)CCN2CCOCC2)c(C)[nH+]1 ZINC000331229251 223195543 /nfs/dbraw/zinc/19/55/43/223195543.db2.gz GFBXVMMUMZPTPG-UHFFFAOYSA-N 1 2 306.410 1.816 20 30 DDEDLO O=C(NCC#Cc1cccc(F)c1)C1([NH+]2CCOCC2)CCC1 ZINC000338150354 223256011 /nfs/dbraw/zinc/25/60/11/223256011.db2.gz PTMPXLVIMQZJSF-UHFFFAOYSA-N 1 2 316.376 1.548 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1ccc(Cl)cn1 ZINC000346164694 223352817 /nfs/dbraw/zinc/35/28/17/223352817.db2.gz HRBJMVMHNOTEOJ-UHFFFAOYSA-N 1 2 322.796 1.423 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000625421090 366916473 /nfs/dbraw/zinc/91/64/73/366916473.db2.gz REBXZNKJOHEEIH-ZDUSSCGKSA-N 1 2 318.421 1.834 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC000625573897 367023753 /nfs/dbraw/zinc/02/37/53/367023753.db2.gz OXKCNOXCVDMJGE-UHFFFAOYSA-N 1 2 306.410 1.450 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2C[C@H](O)C[C@H]2C(=O)OC)cc1 ZINC000093103213 193204717 /nfs/dbraw/zinc/20/47/17/193204717.db2.gz UYPSKEVUVGQRMK-ZBFHGGJFSA-N 1 2 303.358 1.197 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2C[C@H](O)C[C@H]2C(=O)OC)cc1 ZINC000093103213 193204719 /nfs/dbraw/zinc/20/47/19/193204719.db2.gz UYPSKEVUVGQRMK-ZBFHGGJFSA-N 1 2 303.358 1.197 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3ncccc3C#N)CC2)c(C)n1 ZINC000337139020 249362446 /nfs/dbraw/zinc/36/24/46/249362446.db2.gz RLUNRYRBGATGME-UHFFFAOYSA-N 1 2 324.388 1.383 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)c(C)n1 ZINC000337140057 249362987 /nfs/dbraw/zinc/36/29/87/249362987.db2.gz QPNNZKXFYUVKTC-UHFFFAOYSA-N 1 2 324.388 1.383 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000336942718 284851296 /nfs/dbraw/zinc/85/12/96/284851296.db2.gz KUZAGBUCQKNTRS-LBPRGKRZSA-N 1 2 311.411 1.417 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)C[NH+]1CCC(C#N)CC1 ZINC000057907109 184061959 /nfs/dbraw/zinc/06/19/59/184061959.db2.gz WTVDGZMYDFHTGT-OCCSQVGLSA-N 1 2 306.410 1.626 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329706223 533000462 /nfs/dbraw/zinc/00/04/62/533000462.db2.gz JUTQKVWHGSWQMQ-DUVNUKRYSA-N 1 2 319.405 1.685 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329706223 533000468 /nfs/dbraw/zinc/00/04/68/533000468.db2.gz JUTQKVWHGSWQMQ-DUVNUKRYSA-N 1 2 319.405 1.685 20 30 DDEDLO C=CCc1cc(C[NH+]2CCN(C(C)=O)CC2)cc(OC)c1O ZINC000157844250 197219911 /nfs/dbraw/zinc/21/99/11/197219911.db2.gz QTGUKJGTWQEZCD-UHFFFAOYSA-N 1 2 304.390 1.793 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000156948213 197151864 /nfs/dbraw/zinc/15/18/64/197151864.db2.gz PVDSTJWSEVYVGD-UHFFFAOYSA-N 1 2 316.386 1.781 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC000289568966 221075192 /nfs/dbraw/zinc/07/51/92/221075192.db2.gz OGODADZMCUTGEX-UHFFFAOYSA-N 1 2 302.359 1.100 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)Nc2ccc(C#N)c(F)c2)C1 ZINC000289802705 221236006 /nfs/dbraw/zinc/23/60/06/221236006.db2.gz OAKUHFDMUAGCNC-CYBMUJFWSA-N 1 2 305.357 1.065 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)Nc2ccc(C#N)c(F)c2)C1 ZINC000289802705 221236007 /nfs/dbraw/zinc/23/60/07/221236007.db2.gz OAKUHFDMUAGCNC-CYBMUJFWSA-N 1 2 305.357 1.065 20 30 DDEDLO COCCn1nnc2c1CC[N@H+](Cc1ccc(CC#N)cc1)C2 ZINC000339303994 533341275 /nfs/dbraw/zinc/34/12/75/533341275.db2.gz XDQUWWKHQVQJQM-UHFFFAOYSA-N 1 2 311.389 1.549 20 30 DDEDLO COCCn1nnc2c1CC[N@@H+](Cc1ccc(CC#N)cc1)C2 ZINC000339303994 533341279 /nfs/dbraw/zinc/34/12/79/533341279.db2.gz XDQUWWKHQVQJQM-UHFFFAOYSA-N 1 2 311.389 1.549 20 30 DDEDLO COC[C@@H]1CN(C([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)CC(C)(C)O1 ZINC000329637206 533370692 /nfs/dbraw/zinc/37/06/92/533370692.db2.gz YOEWDUQHSNIRBV-NEPJUHHUSA-N 1 2 322.409 1.307 20 30 DDEDLO COC[C@@H]1CN(C([O-])=[NH+][C@@H]2CCc3[nH+]c[nH]c3C2)CC(C)(C)O1 ZINC000329637206 533370695 /nfs/dbraw/zinc/37/06/95/533370695.db2.gz YOEWDUQHSNIRBV-NEPJUHHUSA-N 1 2 322.409 1.307 20 30 DDEDLO COc1cccc(C)c1NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C ZINC000331322337 533424895 /nfs/dbraw/zinc/42/48/95/533424895.db2.gz KXXVGXKDCQSZBN-CYBMUJFWSA-N 1 2 306.410 1.575 20 30 DDEDLO COc1cccc(C)c1NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C ZINC000331322337 533424902 /nfs/dbraw/zinc/42/49/02/533424902.db2.gz KXXVGXKDCQSZBN-CYBMUJFWSA-N 1 2 306.410 1.575 20 30 DDEDLO COc1ccc(C[N@@H+]2CCN3C(=O)OCC[C@@H]3C2)cc1C#N ZINC000494316650 533562692 /nfs/dbraw/zinc/56/26/92/533562692.db2.gz NXUOUPCWBXGLNV-CQSZACIVSA-N 1 2 301.346 1.593 20 30 DDEDLO COc1ccc(C[N@H+]2CCN3C(=O)OCC[C@@H]3C2)cc1C#N ZINC000494316650 533562696 /nfs/dbraw/zinc/56/26/96/533562696.db2.gz NXUOUPCWBXGLNV-CQSZACIVSA-N 1 2 301.346 1.593 20 30 DDEDLO COC(=O)C[C@@]1([NH2+]Cc2c(C#N)cccc2[N+](=O)[O-])CCOC1 ZINC000459406580 533669946 /nfs/dbraw/zinc/66/99/46/533669946.db2.gz ULTQOVCQMYIGSC-HNNXBMFYSA-N 1 2 319.317 1.278 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1F ZINC000569167893 304339502 /nfs/dbraw/zinc/33/95/02/304339502.db2.gz KIDPWCUETNBURH-GFCCVEGCSA-N 1 2 301.325 1.901 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1F ZINC000569167893 304339504 /nfs/dbraw/zinc/33/95/04/304339504.db2.gz KIDPWCUETNBURH-GFCCVEGCSA-N 1 2 301.325 1.901 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+](C)Cc2cnn(C)c2)c(C#N)c1C ZINC000027215147 406909382 /nfs/dbraw/zinc/90/93/82/406909382.db2.gz MONXAMDEGPWFBE-NSHDSACASA-N 1 2 315.377 1.961 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+](C)Cc2cnn(C)c2)c(C#N)c1C ZINC000027215147 406909383 /nfs/dbraw/zinc/90/93/83/406909383.db2.gz MONXAMDEGPWFBE-NSHDSACASA-N 1 2 315.377 1.961 20 30 DDEDLO N#Cc1ccc(CNC(=O)C2([NH+]3CCOCC3)CCCC2)cc1 ZINC000074435461 406912783 /nfs/dbraw/zinc/91/27/83/406912783.db2.gz BXQHZMZXISLFKP-UHFFFAOYSA-N 1 2 313.401 1.819 20 30 DDEDLO C[C@H](CNC(=O)Nc1ccc(F)c(C#N)c1)[NH+]1CCOCC1 ZINC000077497902 406993596 /nfs/dbraw/zinc/99/35/96/406993596.db2.gz RQMIBRUCLLQHEY-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO CCNC(=O)COc1ccc(C[N@H+](C)[C@@H](C)CC#N)cc1OC ZINC000093091123 407195844 /nfs/dbraw/zinc/19/58/44/407195844.db2.gz RPTOIYAGMWEYHI-ZDUSSCGKSA-N 1 2 319.405 1.944 20 30 DDEDLO CCNC(=O)COc1ccc(C[N@@H+](C)[C@@H](C)CC#N)cc1OC ZINC000093091123 407195847 /nfs/dbraw/zinc/19/58/47/407195847.db2.gz RPTOIYAGMWEYHI-ZDUSSCGKSA-N 1 2 319.405 1.944 20 30 DDEDLO COc1ccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)cc1 ZINC000060633678 407225617 /nfs/dbraw/zinc/22/56/17/407225617.db2.gz TVYOYYOUKVUHSC-UHFFFAOYSA-N 1 2 317.389 1.383 20 30 DDEDLO C=CCCOCCNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000122661183 407307718 /nfs/dbraw/zinc/30/77/18/407307718.db2.gz BQEWJYIMSFZVRL-OAHLLOKOSA-N 1 2 311.426 1.085 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000124733027 407367696 /nfs/dbraw/zinc/36/76/96/407367696.db2.gz TUZQGWVNBWZFTL-QGZVFWFLSA-N 1 2 312.417 1.491 20 30 DDEDLO CCc1nnc(NC[C@H]2C[N@@H+](C3CC3)CCO2)c(C#N)c1CC ZINC000125856528 407399785 /nfs/dbraw/zinc/39/97/85/407399785.db2.gz LTNVMFWKWLVAFK-ZDUSSCGKSA-N 1 2 315.421 1.748 20 30 DDEDLO CCc1nnc(NC[C@H]2C[N@H+](C3CC3)CCO2)c(C#N)c1CC ZINC000125856528 407399786 /nfs/dbraw/zinc/39/97/86/407399786.db2.gz LTNVMFWKWLVAFK-ZDUSSCGKSA-N 1 2 315.421 1.748 20 30 DDEDLO CC[C@@H](CC#N)NC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000128144027 407501399 /nfs/dbraw/zinc/50/13/99/407501399.db2.gz KOQYJYDLSYTEIQ-LBPRGKRZSA-N 1 2 311.345 1.619 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)Cc2cnc(N(C)C)nc2)c1 ZINC000271121518 407524840 /nfs/dbraw/zinc/52/48/40/407524840.db2.gz JYNUDMITPKDSHJ-UHFFFAOYSA-N 1 2 323.400 1.594 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)Cc2cnc(N(C)C)nc2)c1 ZINC000271121518 407524845 /nfs/dbraw/zinc/52/48/45/407524845.db2.gz JYNUDMITPKDSHJ-UHFFFAOYSA-N 1 2 323.400 1.594 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@@H+]2CCOC(C)(C)C2)c1 ZINC000171225920 407633920 /nfs/dbraw/zinc/63/39/20/407633920.db2.gz GBPJWDNZAMLWBQ-UHFFFAOYSA-N 1 2 301.390 1.900 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@H+]2CCOC(C)(C)C2)c1 ZINC000171225920 407633926 /nfs/dbraw/zinc/63/39/26/407633926.db2.gz GBPJWDNZAMLWBQ-UHFFFAOYSA-N 1 2 301.390 1.900 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Nc1cccnc1 ZINC000115171013 407658654 /nfs/dbraw/zinc/65/86/54/407658654.db2.gz SUQIHULQDWHZPG-UHFFFAOYSA-N 1 2 303.366 1.161 20 30 DDEDLO N#Cc1ccc(CC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000178976058 407680645 /nfs/dbraw/zinc/68/06/45/407680645.db2.gz KTVHEDRUYOTXRB-INIZCTEOSA-N 1 2 306.369 1.891 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCC[C@@]12CCOC2=O ZINC000186598709 407712820 /nfs/dbraw/zinc/71/28/20/407712820.db2.gz PAXRCCMVZDXNKN-KRWDZBQOSA-N 1 2 316.357 1.727 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCC[C@@]12CCOC2=O ZINC000186598709 407712824 /nfs/dbraw/zinc/71/28/24/407712824.db2.gz PAXRCCMVZDXNKN-KRWDZBQOSA-N 1 2 316.357 1.727 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@H]1CCCCO1 ZINC000267101413 407750370 /nfs/dbraw/zinc/75/03/70/407750370.db2.gz UIKRIOGCMMJUMR-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@H]1CCCCO1 ZINC000267101413 407750373 /nfs/dbraw/zinc/75/03/73/407750373.db2.gz UIKRIOGCMMJUMR-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+](CCS(C)(=O)=O)C1CC1 ZINC000131893191 407761719 /nfs/dbraw/zinc/76/17/19/407761719.db2.gz ODKYIFJEKBZZPR-UHFFFAOYSA-N 1 2 307.415 1.708 20 30 DDEDLO C#CCOc1ccccc1C[N@H+](CCS(C)(=O)=O)C1CC1 ZINC000131893191 407761727 /nfs/dbraw/zinc/76/17/27/407761727.db2.gz ODKYIFJEKBZZPR-UHFFFAOYSA-N 1 2 307.415 1.708 20 30 DDEDLO C[C@@H]1CC[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)[C@H](C(N)=O)C1 ZINC000187435002 407856834 /nfs/dbraw/zinc/85/68/34/407856834.db2.gz BMDSDNYNCISERK-KGLIPLIRSA-N 1 2 320.437 1.257 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)[C@H](C(N)=O)C1 ZINC000187435002 407856841 /nfs/dbraw/zinc/85/68/41/407856841.db2.gz BMDSDNYNCISERK-KGLIPLIRSA-N 1 2 320.437 1.257 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1cccc(Cl)c1F ZINC000187820680 407898416 /nfs/dbraw/zinc/89/84/16/407898416.db2.gz NWSNALCOUKTPMU-UHFFFAOYSA-N 1 2 301.749 1.600 20 30 DDEDLO COc1ccc(C[N@H+](C)[C@@H](C)[C@H](C)S(C)(=O)=O)cc1C#N ZINC000135079314 407970761 /nfs/dbraw/zinc/97/07/61/407970761.db2.gz BFHRFCQPKJLHBR-RYUDHWBXSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1ccc(C[N@@H+](C)[C@@H](C)[C@H](C)S(C)(=O)=O)cc1C#N ZINC000135079314 407970763 /nfs/dbraw/zinc/97/07/63/407970763.db2.gz BFHRFCQPKJLHBR-RYUDHWBXSA-N 1 2 310.419 1.820 20 30 DDEDLO CC(C)CO[C@H](C)C(N)=[NH+]OCC(=O)NCc1cccs1 ZINC000174227520 407919707 /nfs/dbraw/zinc/91/97/07/407919707.db2.gz LUETYOAYUHYUGE-LLVKDONJSA-N 1 2 313.423 1.714 20 30 DDEDLO N#Cc1cc(C[NH+]2CCN(c3nc(N)ns3)CC2)ccc1F ZINC000268322893 407997837 /nfs/dbraw/zinc/99/78/37/407997837.db2.gz UNLJJAGZWUKYQU-UHFFFAOYSA-N 1 2 318.381 1.453 20 30 DDEDLO Cc1cc(NC(=O)CO[NH+]=C(N)[C@H](C)OCC(C)C)n(C)n1 ZINC000154609744 408059882 /nfs/dbraw/zinc/05/98/82/408059882.db2.gz PBCOJQBUQYMFER-NSHDSACASA-N 1 2 311.386 1.017 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000268514090 408077768 /nfs/dbraw/zinc/07/77/68/408077768.db2.gz DHUDOXFXHAKLTM-UHFFFAOYSA-N 1 2 323.352 1.896 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)CSCC#N ZINC000155209371 408136203 /nfs/dbraw/zinc/13/62/03/408136203.db2.gz LINIVTFMPWUBFE-UHFFFAOYSA-N 1 2 306.391 1.422 20 30 DDEDLO CCOC(=O)c1c[nH]n(C2CC[NH+](CCCCC#N)CC2)c1=N ZINC000120710850 408142447 /nfs/dbraw/zinc/14/24/47/408142447.db2.gz YLQFGEFYWUAOCX-UHFFFAOYSA-N 1 2 319.409 1.803 20 30 DDEDLO N#CCCCNC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000273306082 408146308 /nfs/dbraw/zinc/14/63/08/408146308.db2.gz HPNUPSOJQBLPHB-UHFFFAOYSA-N 1 2 316.405 1.622 20 30 DDEDLO C=CC[N@@H+](C)CC(=O)Nc1ccc(CN2CCOCC2)cc1 ZINC000121239742 408167739 /nfs/dbraw/zinc/16/77/39/408167739.db2.gz XHHGOVWYSHPVQI-UHFFFAOYSA-N 1 2 303.406 1.575 20 30 DDEDLO C=CC[N@H+](C)CC(=O)Nc1ccc(CN2CCOCC2)cc1 ZINC000121239742 408167743 /nfs/dbraw/zinc/16/77/43/408167743.db2.gz XHHGOVWYSHPVQI-UHFFFAOYSA-N 1 2 303.406 1.575 20 30 DDEDLO CCS(=O)(=O)N(C)C1CC[NH+](C[C@H](C#N)CCC#N)CC1 ZINC000121246175 408171013 /nfs/dbraw/zinc/17/10/13/408171013.db2.gz UEQHRHLKOMAGBP-ZDUSSCGKSA-N 1 2 312.439 1.176 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCO[C@H]([C@H]4CCCO4)C3)n2c1 ZINC000246202559 408177357 /nfs/dbraw/zinc/17/73/57/408177357.db2.gz LZOZXQJLJWVBCL-CVEARBPZSA-N 1 2 312.373 1.586 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCO[C@H]([C@H]4CCCO4)C3)n2c1 ZINC000246202559 408177366 /nfs/dbraw/zinc/17/73/66/408177366.db2.gz LZOZXQJLJWVBCL-CVEARBPZSA-N 1 2 312.373 1.586 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCO[C@H]([C@H]4CCCO4)C3)n2c1 ZINC000246202559 408177372 /nfs/dbraw/zinc/17/73/72/408177372.db2.gz LZOZXQJLJWVBCL-CVEARBPZSA-N 1 2 312.373 1.586 20 30 DDEDLO C[C@H](C(=O)N1CC(=O)Nc2ccccc21)[NH+]1CCC(C#N)CC1 ZINC000142046585 408179487 /nfs/dbraw/zinc/17/94/87/408179487.db2.gz PLOYVQISOVQFCH-GFCCVEGCSA-N 1 2 312.373 1.596 20 30 DDEDLO CN(C)S(=O)(=O)c1cccc(C[NH+]2CCC(C#N)CC2)c1 ZINC000142101402 408180538 /nfs/dbraw/zinc/18/05/38/408180538.db2.gz YYTJMEYHOKRWJT-UHFFFAOYSA-N 1 2 307.419 1.672 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)c1ccc(Cl)cc1C#N ZINC000156475081 408257826 /nfs/dbraw/zinc/25/78/26/408257826.db2.gz IYYRTBLRIUEDRO-UHFFFAOYSA-N 1 2 324.793 1.766 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000182826579 408260198 /nfs/dbraw/zinc/26/01/98/408260198.db2.gz QTKJZHOKNNORJA-CYBMUJFWSA-N 1 2 302.378 1.581 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1cccc(Cl)n1 ZINC000121430173 408212096 /nfs/dbraw/zinc/21/20/96/408212096.db2.gz UOSNTBHXYNUCPQ-UHFFFAOYSA-N 1 2 322.796 1.423 20 30 DDEDLO CN(C)c1[nH+]cccc1N(C)S(=O)(=O)c1ccc(C#N)nc1 ZINC000175870828 408225845 /nfs/dbraw/zinc/22/58/45/408225845.db2.gz DIFHOGDKMCVDHR-UHFFFAOYSA-N 1 2 317.374 1.239 20 30 DDEDLO CCCCCCNC(=O)CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158222531 408332935 /nfs/dbraw/zinc/33/29/35/408332935.db2.gz ABMWPYBZSCXEBV-UHFFFAOYSA-N 1 2 319.405 1.955 20 30 DDEDLO CCCN(CCC)C(=O)CO[NH+]=C(N)Cc1csc(C)n1 ZINC000151278618 408289621 /nfs/dbraw/zinc/28/96/21/408289621.db2.gz ALQFACIIZQUALJ-UHFFFAOYSA-N 1 2 312.439 1.931 20 30 DDEDLO COC(=O)[C@H]1CCC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000171063834 162204335 /nfs/dbraw/zinc/20/43/35/162204335.db2.gz LPWXLAWKOIWAFO-ZDUSSCGKSA-N 1 2 301.346 1.382 20 30 DDEDLO COC(=O)[C@H]1CCC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000171063834 162204338 /nfs/dbraw/zinc/20/43/38/162204338.db2.gz LPWXLAWKOIWAFO-ZDUSSCGKSA-N 1 2 301.346 1.382 20 30 DDEDLO N#Cc1ccc(NC(=O)CSCC[NH+]2CCOCC2)cc1 ZINC000160010458 408448907 /nfs/dbraw/zinc/44/89/07/408448907.db2.gz TUINGMVTJXCZQZ-UHFFFAOYSA-N 1 2 305.403 1.562 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH2+][C@](C)(C(N)=O)c1ccccc1 ZINC000264185380 408393925 /nfs/dbraw/zinc/39/39/25/408393925.db2.gz LFORLZAHMUGVMT-KRWDZBQOSA-N 1 2 303.406 1.401 20 30 DDEDLO Cc1cc(C)n(C[C@@H]2C[N@H+](Cc3cc(C#N)n(C)c3)CCO2)n1 ZINC000191342603 408409970 /nfs/dbraw/zinc/40/99/70/408409970.db2.gz OOHYWOUBSDBVND-KRWDZBQOSA-N 1 2 313.405 1.611 20 30 DDEDLO Cc1cc(C)n(C[C@@H]2C[N@@H+](Cc3cc(C#N)n(C)c3)CCO2)n1 ZINC000191342603 408409973 /nfs/dbraw/zinc/40/99/73/408409973.db2.gz OOHYWOUBSDBVND-KRWDZBQOSA-N 1 2 313.405 1.611 20 30 DDEDLO CCc1nc(CN2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)no1 ZINC000191347684 408410491 /nfs/dbraw/zinc/41/04/91/408410491.db2.gz JZOTYFSLDVKVKM-UHFFFAOYSA-N 1 2 314.393 1.160 20 30 DDEDLO C[C@@H](Nc1ncc([N+](=O)[O-])cc1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000264278787 408422859 /nfs/dbraw/zinc/42/28/59/408422859.db2.gz OUFACXQOKWWBDX-MNOVXSKESA-N 1 2 305.338 1.383 20 30 DDEDLO C[C@H](Nc1nc2c(cc1C#N)CCC2)[C@H](C)[NH+]1CCOCC1 ZINC000264319944 408443082 /nfs/dbraw/zinc/44/30/82/408443082.db2.gz VEGKRXBSGSGZKH-STQMWFEESA-N 1 2 300.406 1.963 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH2+][C@@H](COC)c1ccc(C)o1 ZINC000184730545 408678783 /nfs/dbraw/zinc/67/87/83/408678783.db2.gz LAUBTUMASLBKGL-RYUDHWBXSA-N 1 2 309.366 1.265 20 30 DDEDLO COC[C@@H]1C[N@@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C[C@H](C)O1 ZINC000251678116 408783766 /nfs/dbraw/zinc/78/37/66/408783766.db2.gz IVIPSUZRDRMUHI-ZENOOKHLSA-N 1 2 317.389 1.621 20 30 DDEDLO COC[C@@H]1C[N@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C[C@H](C)O1 ZINC000251678116 408783769 /nfs/dbraw/zinc/78/37/69/408783769.db2.gz IVIPSUZRDRMUHI-ZENOOKHLSA-N 1 2 317.389 1.621 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(-c3cnn(C)c3)no2)C1=O ZINC000281266110 408876880 /nfs/dbraw/zinc/87/68/80/408876880.db2.gz HTGDQRJXQNYEQD-HNNXBMFYSA-N 1 2 315.377 1.294 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(-c3cnn(C)c3)no2)C1=O ZINC000281266110 408876882 /nfs/dbraw/zinc/87/68/82/408876882.db2.gz HTGDQRJXQNYEQD-HNNXBMFYSA-N 1 2 315.377 1.294 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)Nc2cc(C)no2)C1=O ZINC000281430805 408885537 /nfs/dbraw/zinc/88/55/37/408885537.db2.gz SKGMBXIICGYFLZ-RYUDHWBXSA-N 1 2 306.366 1.029 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)Nc2cc(C)no2)C1=O ZINC000281430805 408885539 /nfs/dbraw/zinc/88/55/39/408885539.db2.gz SKGMBXIICGYFLZ-RYUDHWBXSA-N 1 2 306.366 1.029 20 30 DDEDLO N#CCc1cccc(OS(=O)(=O)CCC[NH+]2CCOCC2)c1 ZINC000276957412 408903770 /nfs/dbraw/zinc/90/37/70/408903770.db2.gz PJOZKJOEKCJIQE-UHFFFAOYSA-N 1 2 324.402 1.184 20 30 DDEDLO Cc1ncccc1CC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000285924291 408889829 /nfs/dbraw/zinc/88/98/29/408889829.db2.gz IEQAZQBHHVWSFI-UHFFFAOYSA-N 1 2 316.405 1.007 20 30 DDEDLO Cn1cccc1C(=O)C[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292144263 408989480 /nfs/dbraw/zinc/98/94/80/408989480.db2.gz JSIHOUOWSPYJDS-CQSZACIVSA-N 1 2 310.357 1.628 20 30 DDEDLO Cn1cccc1C(=O)C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292144263 408989481 /nfs/dbraw/zinc/98/94/81/408989481.db2.gz JSIHOUOWSPYJDS-CQSZACIVSA-N 1 2 310.357 1.628 20 30 DDEDLO CC(C)S(=O)(=O)CC[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292290586 409017804 /nfs/dbraw/zinc/01/78/04/409017804.db2.gz UCWFOJCZPZHNKR-AWEZNQCLSA-N 1 2 323.418 1.230 20 30 DDEDLO CC(C)S(=O)(=O)CC[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292290586 409017805 /nfs/dbraw/zinc/01/78/05/409017805.db2.gz UCWFOJCZPZHNKR-AWEZNQCLSA-N 1 2 323.418 1.230 20 30 DDEDLO COc1cc(C[N@@H+]2CCNC(=O)[C@@H]2CC(C)C)ccc1C#N ZINC000282249275 409019490 /nfs/dbraw/zinc/01/94/90/409019490.db2.gz JLWFYACHYWXZCA-HNNXBMFYSA-N 1 2 301.390 1.913 20 30 DDEDLO COc1cc(C[N@H+]2CCNC(=O)[C@@H]2CC(C)C)ccc1C#N ZINC000282249275 409019493 /nfs/dbraw/zinc/01/94/93/409019493.db2.gz JLWFYACHYWXZCA-HNNXBMFYSA-N 1 2 301.390 1.913 20 30 DDEDLO C[N@H+](CCOCCO)Cc1ccc(C#N)cc1Br ZINC000282280482 409024742 /nfs/dbraw/zinc/02/47/42/409024742.db2.gz DWWWKLKVUHBSEP-UHFFFAOYSA-N 1 2 313.195 1.761 20 30 DDEDLO C[N@@H+](CCOCCO)Cc1ccc(C#N)cc1Br ZINC000282280482 409024745 /nfs/dbraw/zinc/02/47/45/409024745.db2.gz DWWWKLKVUHBSEP-UHFFFAOYSA-N 1 2 313.195 1.761 20 30 DDEDLO CC#CC[N@H+](C)[C@H](C)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000292984940 409047580 /nfs/dbraw/zinc/04/75/80/409047580.db2.gz BPSXSFLJPMWJFA-OAHLLOKOSA-N 1 2 315.417 1.805 20 30 DDEDLO CC#CC[N@@H+](C)[C@H](C)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000292984940 409047584 /nfs/dbraw/zinc/04/75/84/409047584.db2.gz BPSXSFLJPMWJFA-OAHLLOKOSA-N 1 2 315.417 1.805 20 30 DDEDLO C#CCNC(=O)N1CC[N@@H+](Cc2ccccc2OCC)C[C@@H]1C ZINC000282445696 409055877 /nfs/dbraw/zinc/05/58/77/409055877.db2.gz SCQNVQHDZWVVTI-HNNXBMFYSA-N 1 2 315.417 1.934 20 30 DDEDLO C#CCNC(=O)N1CC[N@H+](Cc2ccccc2OCC)C[C@@H]1C ZINC000282445696 409055878 /nfs/dbraw/zinc/05/58/78/409055878.db2.gz SCQNVQHDZWVVTI-HNNXBMFYSA-N 1 2 315.417 1.934 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000279135158 409155835 /nfs/dbraw/zinc/15/58/35/409155835.db2.gz CJTFKHRGBFDSIU-QGZVFWFLSA-N 1 2 304.390 1.784 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000279140560 409156491 /nfs/dbraw/zinc/15/64/91/409156491.db2.gz DAAIOZOIHCJTTM-INIZCTEOSA-N 1 2 307.394 1.263 20 30 DDEDLO C#C[C@H](NC(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1)C(C)(C)C ZINC000288443197 409168910 /nfs/dbraw/zinc/16/89/10/409168910.db2.gz PRNMVQQATXEKLX-ZDUSSCGKSA-N 1 2 312.373 1.745 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCc1ccc([N+](=O)[O-])cc1 ZINC000288633215 409172157 /nfs/dbraw/zinc/17/21/57/409172157.db2.gz PQKZCSVEDAFOPX-UHFFFAOYSA-N 1 2 308.338 1.341 20 30 DDEDLO Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)N1CCOC[C@@H]1C#N ZINC000280233266 409275280 /nfs/dbraw/zinc/27/52/80/409275280.db2.gz SMBZCXFQHSKEAK-JTQLQIEISA-N 1 2 320.299 1.374 20 30 DDEDLO C[C@H](CNC(=O)[C@H](C)O[NH+]=C(N)[C@@H]1CCCO1)c1ccccc1 ZINC000284104710 409275742 /nfs/dbraw/zinc/27/57/42/409275742.db2.gz LIGFYRKERVGSEP-IPYPFGDCSA-N 1 2 319.405 1.763 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)CCC#N)C[C@H]1Cc1ccccc1 ZINC000295804631 409371576 /nfs/dbraw/zinc/37/15/76/409371576.db2.gz BFOKIJACURAAOX-OAHLLOKOSA-N 1 2 307.419 1.089 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)CCC#N)C[C@H]1Cc1ccccc1 ZINC000295804631 409371582 /nfs/dbraw/zinc/37/15/82/409371582.db2.gz BFOKIJACURAAOX-OAHLLOKOSA-N 1 2 307.419 1.089 20 30 DDEDLO CC(=O)c1ccc(NC(=O)[C@@H](C)O[NH+]=C(N)[C@H]2CCCO2)cc1 ZINC000284406336 409340947 /nfs/dbraw/zinc/34/09/47/409340947.db2.gz NIZYFXOBYUCBFK-BXUZGUMPSA-N 1 2 319.361 1.684 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@]2(CCO[C@H]2C)C1 ZINC000280530639 409343867 /nfs/dbraw/zinc/34/38/67/409343867.db2.gz SJBREBYJLUQGCE-SUMWQHHRSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@]2(CCO[C@H]2C)C1 ZINC000280530639 409343872 /nfs/dbraw/zinc/34/38/72/409343872.db2.gz SJBREBYJLUQGCE-SUMWQHHRSA-N 1 2 302.374 1.947 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2cc(C#N)ccc2C)C[C@@H]1C ZINC000408041770 164206692 /nfs/dbraw/zinc/20/66/92/164206692.db2.gz OJVSPDXQNLRADQ-KBPBESRZSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2cc(C#N)ccc2C)C[C@@H]1C ZINC000408041770 164206693 /nfs/dbraw/zinc/20/66/93/164206693.db2.gz OJVSPDXQNLRADQ-KBPBESRZSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C ZINC000408042193 164206716 /nfs/dbraw/zinc/20/67/16/164206716.db2.gz QANFIYHNVJGDES-STQMWFEESA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C ZINC000408042193 164206718 /nfs/dbraw/zinc/20/67/18/164206718.db2.gz QANFIYHNVJGDES-STQMWFEESA-N 1 2 307.419 1.661 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnnn1CC1CC1)c1ccc(C#N)c(F)c1 ZINC000349357597 409808147 /nfs/dbraw/zinc/80/81/47/409808147.db2.gz UMUIDKOXJAIQHS-SNVBAGLBSA-N 1 2 300.341 1.945 20 30 DDEDLO CC(C)N1CC[C@H](NC(=O)NC[C@@H]2CCCn3cc[nH+]c32)C1=O ZINC000328612847 409938635 /nfs/dbraw/zinc/93/86/35/409938635.db2.gz PUOQXNTZVGJDNK-STQMWFEESA-N 1 2 319.409 1.273 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3cccc4c3OCO4)C[C@@H]21 ZINC000328933477 410012741 /nfs/dbraw/zinc/01/27/41/410012741.db2.gz BYENIYQKVOKPIZ-STQMWFEESA-N 1 2 319.361 1.366 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3cccc4c3OCO4)C[C@@H]21 ZINC000328933477 410012748 /nfs/dbraw/zinc/01/27/48/410012748.db2.gz BYENIYQKVOKPIZ-STQMWFEESA-N 1 2 319.361 1.366 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)N1CCOCC1)C1CCOCC1 ZINC000328961204 410022242 /nfs/dbraw/zinc/02/22/42/410022242.db2.gz PYXLBCRKDBTIMK-CYBMUJFWSA-N 1 2 308.382 1.134 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)C(=O)Nc1ccc(C#N)cc1Cl ZINC000354662617 410002531 /nfs/dbraw/zinc/00/25/31/410002531.db2.gz WRFBPYJMBIYTCW-UHFFFAOYSA-N 1 2 317.736 1.200 20 30 DDEDLO C=C(C)CN(C)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000351507221 410087182 /nfs/dbraw/zinc/08/71/82/410087182.db2.gz NFMXSDVHHLTNND-UHFFFAOYSA-N 1 2 304.394 1.636 20 30 DDEDLO Cc1n[nH]c(C)c1NC(=O)C[N@H+]1C[C@H](N2CCOCC2)C[C@@H]1C ZINC000329179504 410148130 /nfs/dbraw/zinc/14/81/30/410148130.db2.gz NLLINPXIHWEXQA-SMDDNHRTSA-N 1 2 321.425 1.410 20 30 DDEDLO Cc1n[nH]c(C)c1NC(=O)C[N@@H+]1C[C@H](N2CCOCC2)C[C@@H]1C ZINC000329179504 410148136 /nfs/dbraw/zinc/14/81/36/410148136.db2.gz NLLINPXIHWEXQA-SMDDNHRTSA-N 1 2 321.425 1.410 20 30 DDEDLO Cc1n[nH]c(C)c1NC(=O)CN1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000329179504 410148140 /nfs/dbraw/zinc/14/81/40/410148140.db2.gz NLLINPXIHWEXQA-SMDDNHRTSA-N 1 2 321.425 1.410 20 30 DDEDLO CCOc1cc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)ccc1C ZINC000329130504 410118806 /nfs/dbraw/zinc/11/88/06/410118806.db2.gz OKYGRPIERGATKR-ZBFHGGJFSA-N 1 2 319.405 1.954 20 30 DDEDLO CCOc1cc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)ccc1C ZINC000329130504 410118813 /nfs/dbraw/zinc/11/88/13/410118813.db2.gz OKYGRPIERGATKR-ZBFHGGJFSA-N 1 2 319.405 1.954 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)N[C@@H]2CC(C)(C)OC2(C)C)C1 ZINC000329230927 410179684 /nfs/dbraw/zinc/17/96/84/410179684.db2.gz DTRKUEBLQNAUNG-CHWSQXEVSA-N 1 2 312.458 1.082 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)N[C@@H]2CC(C)(C)OC2(C)C)C1 ZINC000329230927 410179690 /nfs/dbraw/zinc/17/96/90/410179690.db2.gz DTRKUEBLQNAUNG-CHWSQXEVSA-N 1 2 312.458 1.082 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)CN2CCC[C@@H]2c2[nH]cc[nH+]2)C1 ZINC000329238485 410185523 /nfs/dbraw/zinc/18/55/23/410185523.db2.gz LEYSSSYLIYYHPB-ZIAGYGMSSA-N 1 2 319.409 1.514 20 30 DDEDLO C[C@@H]1OCC[C@]1(O)CNC(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000329260943 410195428 /nfs/dbraw/zinc/19/54/28/410195428.db2.gz ATBIBABBFKBOHH-BJJXKVORSA-N 1 2 312.410 1.126 20 30 DDEDLO C[C@@H]1OCC[C@]1(O)CNC(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000329260943 410195434 /nfs/dbraw/zinc/19/54/34/410195434.db2.gz ATBIBABBFKBOHH-BJJXKVORSA-N 1 2 312.410 1.126 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)Nc2cnc3c(c2)CCCC3)C1 ZINC000329362534 410256332 /nfs/dbraw/zinc/25/63/32/410256332.db2.gz CVZPJNIFEFFYRW-AWEZNQCLSA-N 1 2 304.394 1.617 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)Nc2cnc3c(c2)CCCC3)C1 ZINC000329362534 410256336 /nfs/dbraw/zinc/25/63/36/410256336.db2.gz CVZPJNIFEFFYRW-AWEZNQCLSA-N 1 2 304.394 1.617 20 30 DDEDLO Cc1ncc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cn1 ZINC000351893369 410322376 /nfs/dbraw/zinc/32/23/76/410322376.db2.gz VKWVTMLJPYPLFA-LBPRGKRZSA-N 1 2 309.377 1.157 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cn1 ZINC000351893369 410322379 /nfs/dbraw/zinc/32/23/79/410322379.db2.gz VKWVTMLJPYPLFA-LBPRGKRZSA-N 1 2 309.377 1.157 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1cncn1C)C1CCOCC1 ZINC000329464825 410311994 /nfs/dbraw/zinc/31/19/94/410311994.db2.gz UTPHRSMXERAGRP-ZDUSSCGKSA-N 1 2 303.366 1.626 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CC[C@H](C(=O)OC)C2)c1C ZINC000298807121 410405421 /nfs/dbraw/zinc/40/54/21/410405421.db2.gz QUKRORVRLCXCFL-AWEZNQCLSA-N 1 2 304.390 1.969 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CC[C@H](C(=O)OC)C2)c1C ZINC000298807121 410405427 /nfs/dbraw/zinc/40/54/27/410405427.db2.gz QUKRORVRLCXCFL-AWEZNQCLSA-N 1 2 304.390 1.969 20 30 DDEDLO CS[C@@H](C)CCC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000358425523 410468079 /nfs/dbraw/zinc/46/80/79/410468079.db2.gz IJHICUIEVAMTSI-AWEZNQCLSA-N 1 2 313.467 1.593 20 30 DDEDLO C=C(CC)C[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000358516331 410478782 /nfs/dbraw/zinc/47/87/82/410478782.db2.gz ZUWPUFLMHQGDEW-UHFFFAOYSA-N 1 2 319.430 1.831 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNc2ccc(C(F)(F)F)c(C#N)n2)C1 ZINC000333360533 410501640 /nfs/dbraw/zinc/50/16/40/410501640.db2.gz UDTZWKWLEXBCPX-JTQLQIEISA-N 1 2 313.327 1.630 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNc2ccc(C(F)(F)F)c(C#N)n2)C1 ZINC000333360533 410501643 /nfs/dbraw/zinc/50/16/43/410501643.db2.gz UDTZWKWLEXBCPX-JTQLQIEISA-N 1 2 313.327 1.630 20 30 DDEDLO Cc1cc(N2CCC[C@]3(CCS(=O)(=O)C3)C2)c(C#N)c[nH+]1 ZINC000333386682 410517163 /nfs/dbraw/zinc/51/71/63/410517163.db2.gz CHPYUZTWSFKXEO-HNNXBMFYSA-N 1 2 305.403 1.667 20 30 DDEDLO CC(=O)c1ccc(C#N)cc1N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000347415181 410532676 /nfs/dbraw/zinc/53/26/76/410532676.db2.gz URJLTXZIBYFKMP-UHFFFAOYSA-N 1 2 309.373 1.806 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCO[C@@]3(CCc4ccccc43)C2)C1=O ZINC000337196988 410661526 /nfs/dbraw/zinc/66/15/26/410661526.db2.gz XZBWLRGFIDOXJX-HKUYNNGSSA-N 1 2 312.413 1.947 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCO[C@@]3(CCc4ccccc43)C2)C1=O ZINC000337196988 410661530 /nfs/dbraw/zinc/66/15/30/410661530.db2.gz XZBWLRGFIDOXJX-HKUYNNGSSA-N 1 2 312.413 1.947 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000352315418 410618243 /nfs/dbraw/zinc/61/82/43/410618243.db2.gz OISGVVGNSGKOKK-AWEZNQCLSA-N 1 2 318.421 1.908 20 30 DDEDLO C=C(C)C[N@@H+](Cc1cnn(C(C)C)c1)[C@@H](C)C(=O)NC(N)=O ZINC000352904226 410688466 /nfs/dbraw/zinc/68/84/66/410688466.db2.gz UDQIJBQKWZBGSP-LBPRGKRZSA-N 1 2 307.398 1.426 20 30 DDEDLO C=C(C)C[N@H+](Cc1cnn(C(C)C)c1)[C@@H](C)C(=O)NC(N)=O ZINC000352904226 410688469 /nfs/dbraw/zinc/68/84/69/410688469.db2.gz UDQIJBQKWZBGSP-LBPRGKRZSA-N 1 2 307.398 1.426 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)[C@@H](C)CN1C ZINC000330603089 410818154 /nfs/dbraw/zinc/81/81/54/410818154.db2.gz XGBAVDUJDZVWMF-NEPJUHHUSA-N 1 2 306.435 1.973 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)[C@@H](C)CN1C ZINC000330603089 410818162 /nfs/dbraw/zinc/81/81/62/410818162.db2.gz XGBAVDUJDZVWMF-NEPJUHHUSA-N 1 2 306.435 1.973 20 30 DDEDLO N#CC1(C(=O)N2CC[C@@H](OCC[NH+]3CCOCC3)C2)CCCC1 ZINC000353289074 410903685 /nfs/dbraw/zinc/90/36/85/410903685.db2.gz IQOCJKYZHNFAIY-OAHLLOKOSA-N 1 2 321.421 1.020 20 30 DDEDLO N#CC[C@@H](CC(=O)NCC[NH+]1CCOCC1)c1ccccc1 ZINC000353350144 410935117 /nfs/dbraw/zinc/93/51/17/410935117.db2.gz LFQIKCUAVFFOOX-INIZCTEOSA-N 1 2 301.390 1.522 20 30 DDEDLO Cn1nccc1C[N@H+](C)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000348362523 410935899 /nfs/dbraw/zinc/93/58/99/410935899.db2.gz BVXTVCBQRHQFCL-MRXNPFEDSA-N 1 2 309.373 1.529 20 30 DDEDLO Cn1nccc1C[N@@H+](C)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000348362523 410935907 /nfs/dbraw/zinc/93/59/07/410935907.db2.gz BVXTVCBQRHQFCL-MRXNPFEDSA-N 1 2 309.373 1.529 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)COc1ccc(C#N)cc1)C1CC1 ZINC000341716439 411049886 /nfs/dbraw/zinc/04/98/86/411049886.db2.gz GZPHMYJIHUBPHO-MRXNPFEDSA-N 1 2 310.357 1.938 20 30 DDEDLO N#Cc1cnc(NCC2([NH+]3CCOCC3)CCOCC2)c(F)c1 ZINC000580167273 422895625 /nfs/dbraw/zinc/89/56/25/422895625.db2.gz YQTZDFKICYSUDC-UHFFFAOYSA-N 1 2 320.368 1.386 20 30 DDEDLO CC1(C)CC[N@H+](Cc2cnc3c(C#N)cnn3c2)CC[S@@]1=O ZINC000580193219 422900867 /nfs/dbraw/zinc/90/08/67/422900867.db2.gz OYKCPCWOILISCD-QFIPXVFZSA-N 1 2 317.418 1.334 20 30 DDEDLO CC1(C)CC[N@@H+](Cc2cnc3c(C#N)cnn3c2)CC[S@@]1=O ZINC000580193219 422900871 /nfs/dbraw/zinc/90/08/71/422900871.db2.gz OYKCPCWOILISCD-QFIPXVFZSA-N 1 2 317.418 1.334 20 30 DDEDLO CC#CC[C@H](CO)Nc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000645405478 423021826 /nfs/dbraw/zinc/02/18/26/423021826.db2.gz AQWJTYLCXVTJNH-ZIAGYGMSSA-N 1 2 304.394 1.014 20 30 DDEDLO CC#CC[C@H](CO)Nc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000645405478 423021830 /nfs/dbraw/zinc/02/18/30/423021830.db2.gz AQWJTYLCXVTJNH-ZIAGYGMSSA-N 1 2 304.394 1.014 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)ncc1C#N ZINC000603113834 416631569 /nfs/dbraw/zinc/63/15/69/416631569.db2.gz VRXFJHVHNKEUEQ-OLZOCXBDSA-N 1 2 317.393 1.492 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)ncc1C#N ZINC000603113834 416631575 /nfs/dbraw/zinc/63/15/75/416631575.db2.gz VRXFJHVHNKEUEQ-OLZOCXBDSA-N 1 2 317.393 1.492 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N[C@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000373921020 418473468 /nfs/dbraw/zinc/47/34/68/418473468.db2.gz LJTYBUDJUZLYQN-HOTGVXAUSA-N 1 2 317.389 1.833 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@@](F)(c2ccccc2)C1 ZINC000367025546 418552900 /nfs/dbraw/zinc/55/29/00/418552900.db2.gz BHPDFNQIYMNWQH-INIZCTEOSA-N 1 2 305.353 1.569 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@@](F)(c2ccccc2)C1 ZINC000367025546 418552903 /nfs/dbraw/zinc/55/29/03/418552903.db2.gz BHPDFNQIYMNWQH-INIZCTEOSA-N 1 2 305.353 1.569 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCN(c3cccc[nH+]3)CC2)cc1O ZINC000188216536 222008979 /nfs/dbraw/zinc/00/89/79/222008979.db2.gz JJBJUYXQUDDDJT-UHFFFAOYSA-N 1 2 308.341 1.621 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCCOCC(F)F)cc1OC ZINC000189241055 222040407 /nfs/dbraw/zinc/04/04/07/222040407.db2.gz WVKFIAVIJWTCGG-UHFFFAOYSA-N 1 2 304.293 1.622 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCc2cc(OC)c(OC)cc2C1 ZINC000192237615 222123979 /nfs/dbraw/zinc/12/39/79/222123979.db2.gz GCEPHDVIHMXKKX-UHFFFAOYSA-N 1 2 302.374 1.154 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCc2cc(OC)c(OC)cc2C1 ZINC000192237615 222123981 /nfs/dbraw/zinc/12/39/81/222123981.db2.gz GCEPHDVIHMXKKX-UHFFFAOYSA-N 1 2 302.374 1.154 20 30 DDEDLO C#CC[C@H](Cc1ccccc1)NC(=O)[C@@H]1COCC[N@H+]1CC ZINC000367345257 418589056 /nfs/dbraw/zinc/58/90/56/418589056.db2.gz GEVCRXXKUONIAA-SJORKVTESA-N 1 2 300.402 1.458 20 30 DDEDLO C#CC[C@H](Cc1ccccc1)NC(=O)[C@@H]1COCC[N@@H+]1CC ZINC000367345257 418589058 /nfs/dbraw/zinc/58/90/58/418589058.db2.gz GEVCRXXKUONIAA-SJORKVTESA-N 1 2 300.402 1.458 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CCC[C@@H]1C(=O)OC ZINC000247565257 222230527 /nfs/dbraw/zinc/23/05/27/222230527.db2.gz VOTZIHLCBNNZLG-HZPDHXFCSA-N 1 2 319.401 1.792 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CCC[C@@H]1C(=O)OC ZINC000247565257 222230531 /nfs/dbraw/zinc/23/05/31/222230531.db2.gz VOTZIHLCBNNZLG-HZPDHXFCSA-N 1 2 319.401 1.792 20 30 DDEDLO COC[C@@H]1C[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)C[C@H](C)O1 ZINC000251649655 222243362 /nfs/dbraw/zinc/24/33/62/222243362.db2.gz HMFOFAZAXCDRRT-KKUMJFAQSA-N 1 2 323.437 1.453 20 30 DDEDLO COC[C@@H]1C[N@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)C[C@H](C)O1 ZINC000251649655 222243365 /nfs/dbraw/zinc/24/33/65/222243365.db2.gz HMFOFAZAXCDRRT-KKUMJFAQSA-N 1 2 323.437 1.453 20 30 DDEDLO CCOc1ccc(OCCO[NH+]=C(N)CN2CCOCC2)cc1 ZINC000264716778 222336156 /nfs/dbraw/zinc/33/61/56/222336156.db2.gz NYNZJGIKGCIZBK-UHFFFAOYSA-N 1 2 323.393 1.085 20 30 DDEDLO CC(C)(C)n1cnc(NC(=O)NCc2cn3c([nH+]2)CCCC3)n1 ZINC000329727626 418609550 /nfs/dbraw/zinc/60/95/50/418609550.db2.gz FGSZQGYFYQUMTK-UHFFFAOYSA-N 1 2 317.397 2.092 20 30 DDEDLO C(#CC[N@@H+]1CCOC[C@@H]1C1CC1)CN1CCOC[C@@H]1C1CC1 ZINC000375298629 418626858 /nfs/dbraw/zinc/62/68/58/418626858.db2.gz YSDOVGFSKHHIAF-QZTJIDSGSA-N 1 2 304.434 1.211 20 30 DDEDLO C#CCCS(=O)(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC000377380133 418709839 /nfs/dbraw/zinc/70/98/39/418709839.db2.gz CCOVHPQYMLDNJH-UHFFFAOYSA-N 1 2 313.448 1.050 20 30 DDEDLO Cc1cnn(C)c1C[N@@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000377550238 418711381 /nfs/dbraw/zinc/71/13/81/418711381.db2.gz OPOPZEDGZNQSBE-UGSOOPFHSA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1cnn(C)c1C[N@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000377550238 418711384 /nfs/dbraw/zinc/71/13/84/418711384.db2.gz OPOPZEDGZNQSBE-UGSOOPFHSA-N 1 2 321.384 1.574 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000377698084 418713258 /nfs/dbraw/zinc/71/32/58/418713258.db2.gz LDMBIPNFAXNPKF-DOTOQJQBSA-N 1 2 319.426 1.708 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000377698084 418713259 /nfs/dbraw/zinc/71/32/59/418713259.db2.gz LDMBIPNFAXNPKF-DOTOQJQBSA-N 1 2 319.426 1.708 20 30 DDEDLO N#Cc1ccc(CN2CC[N@@H+](C[C@@H]3CCCCO3)CC2=O)cc1 ZINC000377851795 418715320 /nfs/dbraw/zinc/71/53/20/418715320.db2.gz WMCYNVLGSSKVKB-KRWDZBQOSA-N 1 2 313.401 1.772 20 30 DDEDLO N#Cc1ccc(CN2CC[N@H+](C[C@@H]3CCCCO3)CC2=O)cc1 ZINC000377851795 418715322 /nfs/dbraw/zinc/71/53/22/418715322.db2.gz WMCYNVLGSSKVKB-KRWDZBQOSA-N 1 2 313.401 1.772 20 30 DDEDLO C=CCCOCCNC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000376302107 418695180 /nfs/dbraw/zinc/69/51/80/418695180.db2.gz UKCVHFFLBIESKN-GJZGRUSLSA-N 1 2 311.426 1.084 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@@](F)(c2cccc(C)c2)C1 ZINC000376643516 418699678 /nfs/dbraw/zinc/69/96/78/418699678.db2.gz NZBKSQFDRNJPFA-KRWDZBQOSA-N 1 2 319.380 1.877 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@@](F)(c2cccc(C)c2)C1 ZINC000376643516 418699680 /nfs/dbraw/zinc/69/96/80/418699680.db2.gz NZBKSQFDRNJPFA-KRWDZBQOSA-N 1 2 319.380 1.877 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCCN(C(=O)c3ccco3)CC2)C1=O ZINC000369204877 418729570 /nfs/dbraw/zinc/72/95/70/418729570.db2.gz PYTVFBBIFLPQPE-AWEZNQCLSA-N 1 2 317.389 1.214 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCCN(C(=O)c3ccco3)CC2)C1=O ZINC000369204877 418729573 /nfs/dbraw/zinc/72/95/73/418729573.db2.gz PYTVFBBIFLPQPE-AWEZNQCLSA-N 1 2 317.389 1.214 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[N@H+](Cc2ccccc2)C[C@@H]1C ZINC000383116845 418733711 /nfs/dbraw/zinc/73/37/11/418733711.db2.gz URPDGGZTSHLLIB-KBPBESRZSA-N 1 2 307.419 1.435 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[N@@H+](Cc2ccccc2)C[C@@H]1C ZINC000383116845 418733713 /nfs/dbraw/zinc/73/37/13/418733713.db2.gz URPDGGZTSHLLIB-KBPBESRZSA-N 1 2 307.419 1.435 20 30 DDEDLO CCS(=O)(=O)NCC[N@@H+]1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000369803308 418737919 /nfs/dbraw/zinc/73/79/19/418737919.db2.gz KZMNDPZOQYGOFV-CVEARBPZSA-N 1 2 321.446 1.697 20 30 DDEDLO CCS(=O)(=O)NCC[N@H+]1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000369803308 418737920 /nfs/dbraw/zinc/73/79/20/418737920.db2.gz KZMNDPZOQYGOFV-CVEARBPZSA-N 1 2 321.446 1.697 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+](C)[C@@H](C)C(=O)NC(C)(C)C ZINC000362584681 418756966 /nfs/dbraw/zinc/75/69/66/418756966.db2.gz XDJHZWZTGAJHQO-BLLLJJGKSA-N 1 2 310.442 1.276 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+](C)[C@@H](C)C(=O)NC(C)(C)C ZINC000362584681 418756967 /nfs/dbraw/zinc/75/69/67/418756967.db2.gz XDJHZWZTGAJHQO-BLLLJJGKSA-N 1 2 310.442 1.276 20 30 DDEDLO C=CCN(CC)C(=O)NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000371165133 418765663 /nfs/dbraw/zinc/76/56/63/418765663.db2.gz LTCZEIFHIZLOHQ-MRXNPFEDSA-N 1 2 311.426 1.085 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1C[C@H]2CCC[C@@H](C1)C2O ZINC000371924482 418825455 /nfs/dbraw/zinc/82/54/55/418825455.db2.gz WHFGFVGVNSQTCO-LVYZTWJOSA-N 1 2 314.385 1.865 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1C[C@H]2CCC[C@@H](C1)C2O ZINC000371924482 418825457 /nfs/dbraw/zinc/82/54/57/418825457.db2.gz WHFGFVGVNSQTCO-LVYZTWJOSA-N 1 2 314.385 1.865 20 30 DDEDLO C=CC[C@H](C(=O)N1CCC[N@@H+](CC(N)=O)CC1)c1ccccc1 ZINC000372485780 418876583 /nfs/dbraw/zinc/87/65/83/418876583.db2.gz SUFOMJPNEREMSH-INIZCTEOSA-N 1 2 315.417 1.366 20 30 DDEDLO C=CC[C@H](C(=O)N1CCC[N@H+](CC(N)=O)CC1)c1ccccc1 ZINC000372485780 418876585 /nfs/dbraw/zinc/87/65/85/418876585.db2.gz SUFOMJPNEREMSH-INIZCTEOSA-N 1 2 315.417 1.366 20 30 DDEDLO CN(CC(=O)N[C@](C)(C#N)C1CC1)Cc1cn2c([nH+]1)CCCC2 ZINC000372993395 418919670 /nfs/dbraw/zinc/91/96/70/418919670.db2.gz RFCVWAJKZNGGOZ-QGZVFWFLSA-N 1 2 315.421 1.460 20 30 DDEDLO C[C@@H]1CCCC[C@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000424624377 228296591 /nfs/dbraw/zinc/29/65/91/228296591.db2.gz FMKWYXWWJNITJO-HZPDHXFCSA-N 1 2 307.438 1.887 20 30 DDEDLO Cc1nn(C)c(N2CCN(c3cc(C)[nH+]cc3C#N)CC2)c1C#N ZINC000425252915 228399372 /nfs/dbraw/zinc/39/93/72/228399372.db2.gz IEXVLGCTWPGBRJ-UHFFFAOYSA-N 1 2 321.388 1.502 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000420981792 419408208 /nfs/dbraw/zinc/40/82/08/419408208.db2.gz GSTNYWDIKGIYAM-CYBMUJFWSA-N 1 2 320.780 1.361 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000420981792 419408219 /nfs/dbraw/zinc/40/82/19/419408219.db2.gz GSTNYWDIKGIYAM-CYBMUJFWSA-N 1 2 320.780 1.361 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(c2ccc(C#N)cc2)C1=O)c1ccn(C)n1 ZINC000411829561 419430259 /nfs/dbraw/zinc/43/02/59/419430259.db2.gz XSTXBFNZLUDKHE-WBMJQRKESA-N 1 2 309.373 1.748 20 30 DDEDLO COCC(COC)N1CC[NH+](Cc2ccc(C#N)c(C)c2)CC1 ZINC000411866052 419448949 /nfs/dbraw/zinc/44/89/49/419448949.db2.gz VBADDKLBQMHCLW-UHFFFAOYSA-N 1 2 317.433 1.646 20 30 DDEDLO COc1cc2nc(N[C@@H]3CC[C@H](C#N)C3)[nH+]c(N)c2cc1OC ZINC000425272521 420335855 /nfs/dbraw/zinc/33/58/55/420335855.db2.gz LFWQUFNSZDTDEZ-VHSXEESVSA-N 1 2 313.361 1.755 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NC[C@@H](C)[N@@H+]1CCc2sccc2C1 ZINC000416368756 420345901 /nfs/dbraw/zinc/34/59/01/420345901.db2.gz IFQGBMZDZVYMIN-GHMZBOCLSA-N 1 2 313.448 1.326 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NC[C@@H](C)[N@H+]1CCc2sccc2C1 ZINC000416368756 420345904 /nfs/dbraw/zinc/34/59/04/420345904.db2.gz IFQGBMZDZVYMIN-GHMZBOCLSA-N 1 2 313.448 1.326 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N[C@@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000416409961 420360986 /nfs/dbraw/zinc/36/09/86/420360986.db2.gz DGKHIZAEECTJCE-RYUDHWBXSA-N 1 2 304.375 1.765 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1nc(C(F)F)no1 ZINC000425427882 420380894 /nfs/dbraw/zinc/38/08/94/420380894.db2.gz VMJJAMIQCHAXCE-UHFFFAOYSA-N 1 2 315.324 1.055 20 30 DDEDLO C=CCn1cc(CNC(=O)NCc2[nH+]ccn2CC(C)C)nn1 ZINC000425464113 420392714 /nfs/dbraw/zinc/39/27/14/420392714.db2.gz YXOBMZAXJJFSAM-UHFFFAOYSA-N 1 2 317.397 1.316 20 30 DDEDLO Cc1cc(S(=O)(=O)N2C[C@@H](C)[N@@H+](C)C[C@H]2C)ccc1C#N ZINC000443877853 230034716 /nfs/dbraw/zinc/03/47/16/230034716.db2.gz OLXDHUZTKLTASZ-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(S(=O)(=O)N2C[C@@H](C)[N@H+](C)C[C@H]2C)ccc1C#N ZINC000443877853 230034719 /nfs/dbraw/zinc/03/47/19/230034719.db2.gz OLXDHUZTKLTASZ-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)c2cccc(Cn3cc[nH+]c3)c2)n1 ZINC000439247862 420500430 /nfs/dbraw/zinc/50/04/30/420500430.db2.gz PAXHCKGDERUHDT-UHFFFAOYSA-N 1 2 306.329 1.789 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@H](Oc3cc[nH+]cc3)C2)cc1O ZINC000442642380 420691895 /nfs/dbraw/zinc/69/18/95/420691895.db2.gz CBBDUAIPUQCKAU-HNNXBMFYSA-N 1 2 309.325 1.952 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(F)cc1C#N ZINC000443090993 420743983 /nfs/dbraw/zinc/74/39/83/420743983.db2.gz DGHSWUSFWOQXFS-SNVBAGLBSA-N 1 2 308.338 1.261 20 30 DDEDLO CCC[N@H+](CC(=O)NCC#N)[C@@H](C)C(=O)Nc1ccccc1C ZINC000490082727 421188988 /nfs/dbraw/zinc/18/89/88/421188988.db2.gz BOGNQJIXZHVXKD-AWEZNQCLSA-N 1 2 316.405 1.674 20 30 DDEDLO CCC[N@@H+](CC(=O)NCC#N)[C@@H](C)C(=O)Nc1ccccc1C ZINC000490082727 421188989 /nfs/dbraw/zinc/18/89/89/421188989.db2.gz BOGNQJIXZHVXKD-AWEZNQCLSA-N 1 2 316.405 1.674 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1ccccc1C(=O)OCC)C1CC1 ZINC000491276242 421196563 /nfs/dbraw/zinc/19/65/63/421196563.db2.gz IFMYKRIGIXNDIB-UHFFFAOYSA-N 1 2 300.358 1.899 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1ccccc1C(=O)OCC)C1CC1 ZINC000491276242 421196564 /nfs/dbraw/zinc/19/65/64/421196564.db2.gz IFMYKRIGIXNDIB-UHFFFAOYSA-N 1 2 300.358 1.899 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1ccc(Br)cn1)C1CC1 ZINC000491809862 421203700 /nfs/dbraw/zinc/20/37/00/421203700.db2.gz HAVVQJIPXVIDDO-UHFFFAOYSA-N 1 2 308.179 1.880 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1ccc(Br)cn1)C1CC1 ZINC000491809862 421203701 /nfs/dbraw/zinc/20/37/01/421203701.db2.gz HAVVQJIPXVIDDO-UHFFFAOYSA-N 1 2 308.179 1.880 20 30 DDEDLO C=C1CC[NH+](CC(=O)NC[C@@H]2COc3ccccc3O2)CC1 ZINC000524676709 421264489 /nfs/dbraw/zinc/26/44/89/421264489.db2.gz DESSCBOAOIUWKH-CQSZACIVSA-N 1 2 302.374 1.595 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)c(F)c1 ZINC000547968270 421383548 /nfs/dbraw/zinc/38/35/48/421383548.db2.gz LVCBDDWJJMEHCK-LLVKDONJSA-N 1 2 320.349 1.187 20 30 DDEDLO CCOC(=O)c1cnn(CC[NH+]2C[C@@H](C)O[C@H](C)C2)c1C#N ZINC000517042201 421591862 /nfs/dbraw/zinc/59/18/62/421591862.db2.gz NKUHPXFRYQICST-VXGBXAGGSA-N 1 2 306.366 1.041 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@@H]1CCCN(C(=O)C(C)(C)C)C1 ZINC000564872157 421594046 /nfs/dbraw/zinc/59/40/46/421594046.db2.gz LSYYHDDWMGYEQM-CXAGYDPISA-N 1 2 322.453 1.231 20 30 DDEDLO N#Cc1cnn2cc(C[NH2+]Cc3cn4ccccc4n3)cnc12 ZINC000551265310 421529473 /nfs/dbraw/zinc/52/94/73/421529473.db2.gz STIZDNMXPHTXRB-UHFFFAOYSA-N 1 2 303.329 1.538 20 30 DDEDLO CCOC(=O)Cc1csc(NC(=O)C[NH2+][C@H](CC)CC#N)n1 ZINC000568898306 421622966 /nfs/dbraw/zinc/62/29/66/421622966.db2.gz HXOQQOCPORRBAZ-SNVBAGLBSA-N 1 2 324.406 1.469 20 30 DDEDLO CCc1nnc(NCC[N@@H+]2CCO[C@@H](C)C2)c(C#N)c1CC ZINC000531823647 421645188 /nfs/dbraw/zinc/64/51/88/421645188.db2.gz VSTRRIGUKBAQNG-LBPRGKRZSA-N 1 2 303.410 1.606 20 30 DDEDLO CCc1nnc(NCC[N@H+]2CCO[C@@H](C)C2)c(C#N)c1CC ZINC000531823647 421645192 /nfs/dbraw/zinc/64/51/92/421645192.db2.gz VSTRRIGUKBAQNG-LBPRGKRZSA-N 1 2 303.410 1.606 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+][C@@H]1CCN(c2ccccc2F)C1=O ZINC000554551458 421649641 /nfs/dbraw/zinc/64/96/41/421649641.db2.gz HXPAJMXZILCCHT-TZMCWYRMSA-N 1 2 300.337 1.964 20 30 DDEDLO N#Cc1ccc(N2CC[NH+]([C@@H]3CCCNC3=O)CC2)c(F)c1 ZINC000532521127 421660682 /nfs/dbraw/zinc/66/06/82/421660682.db2.gz IQRKVAWWWGPWQY-OAHLLOKOSA-N 1 2 302.353 1.098 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@H](C)C(=O)NC2(C#N)CCC2)C[C@@]2(CCOC2)O1 ZINC000565809503 421600174 /nfs/dbraw/zinc/60/01/74/421600174.db2.gz HVPHBXGJIDLPCD-CKEIUWERSA-N 1 2 321.421 1.207 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@H](C)C(=O)NC2(C#N)CCC2)C[C@@]2(CCOC2)O1 ZINC000565809503 421600177 /nfs/dbraw/zinc/60/01/77/421600177.db2.gz HVPHBXGJIDLPCD-CKEIUWERSA-N 1 2 321.421 1.207 20 30 DDEDLO CCC[N@H+](CC(=O)NC)Cc1cccc(C(=O)OC)c1C#N ZINC000534488514 421692087 /nfs/dbraw/zinc/69/20/87/421692087.db2.gz MFLXRRXCXFMGJR-UHFFFAOYSA-N 1 2 303.362 1.303 20 30 DDEDLO CCC[N@@H+](CC(=O)NC)Cc1cccc(C(=O)OC)c1C#N ZINC000534488514 421692090 /nfs/dbraw/zinc/69/20/90/421692090.db2.gz MFLXRRXCXFMGJR-UHFFFAOYSA-N 1 2 303.362 1.303 20 30 DDEDLO Cc1nc(N2CC[C@@H]([NH+]3CCN(C(C)C)CC3)C2)ccc1C#N ZINC000571949134 421756786 /nfs/dbraw/zinc/75/67/86/421756786.db2.gz FNRMEAIQGKPGMF-QGZVFWFLSA-N 1 2 313.449 1.866 20 30 DDEDLO CC[C@@H](CC#N)[NH2+][C@@H](C)C(=O)N1CC(=O)Nc2ccccc21 ZINC000573106492 421920350 /nfs/dbraw/zinc/92/03/50/421920350.db2.gz UMSPPRQZIBFOJD-RYUDHWBXSA-N 1 2 300.362 1.642 20 30 DDEDLO C=CCn1cc(CNC(=O)N[C@H]2C[C@@H](C)n3cc[nH+]c32)nn1 ZINC000573319103 421946449 /nfs/dbraw/zinc/94/64/49/421946449.db2.gz SFAOYUVQSGDEFF-PWSUYJOCSA-N 1 2 301.354 1.166 20 30 DDEDLO N#Cc1nccc(NC[C@@H](c2ccccc2)[NH+]2CCOCC2)n1 ZINC000581637895 422016467 /nfs/dbraw/zinc/01/64/67/422016467.db2.gz SWFWOHLTBNIJQT-HNNXBMFYSA-N 1 2 309.373 1.256 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000633898307 422141135 /nfs/dbraw/zinc/14/11/35/422141135.db2.gz CEOKMDSSUJNJAS-SJORKVTESA-N 1 2 316.401 1.628 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NCc1cn2c(cccc2C)[nH+]1 ZINC000574730902 422166960 /nfs/dbraw/zinc/16/69/60/422166960.db2.gz YRTYLCBUHPBPRZ-KGLIPLIRSA-N 1 2 300.362 1.785 20 30 DDEDLO C=CCCCS(=O)(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000632721016 422540072 /nfs/dbraw/zinc/54/00/72/422540072.db2.gz OYQXNFUGASZTHH-UHFFFAOYSA-N 1 2 324.446 1.806 20 30 DDEDLO C=C(C)CCn1cc(Cn2cc[nH+]c2CN2CCOCC2)nn1 ZINC000641069518 423366647 /nfs/dbraw/zinc/36/66/47/423366647.db2.gz JQJZHGKUFWYHFX-UHFFFAOYSA-N 1 2 316.409 1.321 20 30 DDEDLO C=C[C@@H](O)C(=O)NC[C@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000646669330 423569457 /nfs/dbraw/zinc/56/94/57/423569457.db2.gz IQJRFJIQWKZOPE-HZPDHXFCSA-N 1 2 304.390 1.031 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@H]([C@@H](O)C(F)(F)F)C2)nn1 ZINC000653751485 423595824 /nfs/dbraw/zinc/59/58/24/423595824.db2.gz ZTBNFZYRGRNUGZ-CMPLNLGQSA-N 1 2 304.316 1.599 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@H]([C@@H](O)C(F)(F)F)C2)nn1 ZINC000653751485 423595827 /nfs/dbraw/zinc/59/58/27/423595827.db2.gz ZTBNFZYRGRNUGZ-CMPLNLGQSA-N 1 2 304.316 1.599 20 30 DDEDLO C=CCNS(=O)(=O)c1ccccc1N1CCn2c[nH+]cc2C1 ZINC000641602942 423747761 /nfs/dbraw/zinc/74/77/61/423747761.db2.gz XGKYFHDYSXVNEI-UHFFFAOYSA-N 1 2 318.402 1.368 20 30 DDEDLO C=CCn1cc(CNC(=O)N2CCC(c3[nH]cc[nH+]3)CC2)nn1 ZINC000644967876 424086803 /nfs/dbraw/zinc/08/68/03/424086803.db2.gz JDPFFBFAISZMPW-UHFFFAOYSA-N 1 2 315.381 1.276 20 30 DDEDLO C=CCOc1ccc(C[NH2+]Cc2nc(COC)n[nH]2)cc1OC ZINC000657604409 424436350 /nfs/dbraw/zinc/43/63/50/424436350.db2.gz FEYRKCKVJOTJBD-UHFFFAOYSA-N 1 2 318.377 1.814 20 30 DDEDLO C=CC[C@H]1CCCN(c2cc(N3CCN(C)C(=O)C3)nc[nH+]2)C1 ZINC000664257290 424497378 /nfs/dbraw/zinc/49/73/78/424497378.db2.gz YHJNTIBQKUMDBL-AWEZNQCLSA-N 1 2 315.421 1.548 20 30 DDEDLO C=CC[C@H]1CCCN(c2cc(N3CCN(C)C(=O)C3)[nH+]cn2)C1 ZINC000664257290 424497382 /nfs/dbraw/zinc/49/73/82/424497382.db2.gz YHJNTIBQKUMDBL-AWEZNQCLSA-N 1 2 315.421 1.548 20 30 DDEDLO CC[C@@H](C(=O)OC)[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000377454691 266352466 /nfs/dbraw/zinc/35/24/66/266352466.db2.gz WHFGNUVNWSLZJA-AWEZNQCLSA-N 1 2 302.378 1.417 20 30 DDEDLO CC[C@@H](C(=O)OC)[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000377454691 266352467 /nfs/dbraw/zinc/35/24/67/266352467.db2.gz WHFGNUVNWSLZJA-AWEZNQCLSA-N 1 2 302.378 1.417 20 30 DDEDLO C[C@@H]1C[C@@H](CO)CC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000344124582 267077660 /nfs/dbraw/zinc/07/76/60/267077660.db2.gz GMFIFTIWJFNRSW-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1C[C@@H](CO)CC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000344124582 267077662 /nfs/dbraw/zinc/07/76/62/267077662.db2.gz GMFIFTIWJFNRSW-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1C[C@H](CO)C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000398621759 267089244 /nfs/dbraw/zinc/08/92/44/267089244.db2.gz FWMGTYLMPYHLNE-HIFRSBDPSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]1C[C@H](CO)C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000398621759 267089246 /nfs/dbraw/zinc/08/92/46/267089246.db2.gz FWMGTYLMPYHLNE-HIFRSBDPSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H](C(=O)N1CC[C@H]([NH+]2CCOCC2)C1)c1cccc(C#N)c1 ZINC000360327319 267129467 /nfs/dbraw/zinc/12/94/67/267129467.db2.gz KUAXMTCQXQOYTK-YOEHRIQHSA-N 1 2 313.401 1.595 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@H+](C)Cc1cnc2ccc(C#N)cn12 ZINC000342777854 267129816 /nfs/dbraw/zinc/12/98/16/267129816.db2.gz MTKIQTOIRIKNCF-GFCCVEGCSA-N 1 2 313.405 1.941 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@@H+](C)Cc1cnc2ccc(C#N)cn12 ZINC000342777854 267129819 /nfs/dbraw/zinc/12/98/19/267129819.db2.gz MTKIQTOIRIKNCF-GFCCVEGCSA-N 1 2 313.405 1.941 20 30 DDEDLO C[C@H]([NH2+]Cc1nnnn1CC1CC1)c1ccc(C#N)cc1F ZINC000344356413 267185234 /nfs/dbraw/zinc/18/52/34/267185234.db2.gz IBDFEHMRGNYOOE-JTQLQIEISA-N 1 2 300.341 1.945 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)C[C@H]1C ZINC000350894634 267395819 /nfs/dbraw/zinc/39/58/19/267395819.db2.gz IOSOLRLWTBUNTD-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1C[C@@H](C)[N@H+](C)C[C@H]1C ZINC000350894634 267395823 /nfs/dbraw/zinc/39/58/23/267395823.db2.gz IOSOLRLWTBUNTD-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO N#Cc1ccc(C2(C(=O)N[C@@H]3CCc4[nH+]c[nH]c4C3)CC2)cc1 ZINC000372431391 268052369 /nfs/dbraw/zinc/05/23/69/268052369.db2.gz MFYOPNPQYHLZEL-CQSZACIVSA-N 1 2 306.369 1.987 20 30 DDEDLO N#Cc1ccc(C2(C(=O)N[C@@H]3CCc4[nH]c[nH+]c4C3)CC2)cc1 ZINC000372431391 268052370 /nfs/dbraw/zinc/05/23/70/268052370.db2.gz MFYOPNPQYHLZEL-CQSZACIVSA-N 1 2 306.369 1.987 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2C[C@H]3CCCCC[C@@H]32)nc1 ZINC000377569229 268188221 /nfs/dbraw/zinc/18/82/21/268188221.db2.gz AMCSZMPXBPUEKG-CABCVRRESA-N 1 2 319.430 1.991 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2C[C@H]3CCCCC[C@@H]32)nc1 ZINC000377569229 268188223 /nfs/dbraw/zinc/18/82/23/268188223.db2.gz AMCSZMPXBPUEKG-CABCVRRESA-N 1 2 319.430 1.991 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC[C@H](n3cccn3)C2)cc1 ZINC000360286021 268212217 /nfs/dbraw/zinc/21/22/17/268212217.db2.gz MHOGAXDXBBJIRU-JKSUJKDBSA-N 1 2 309.373 1.618 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC[C@H](n3cccn3)C2)cc1 ZINC000360286021 268212219 /nfs/dbraw/zinc/21/22/19/268212219.db2.gz MHOGAXDXBBJIRU-JKSUJKDBSA-N 1 2 309.373 1.618 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CCOC[C@H]1[C@@H]1CCCO1 ZINC000375741841 268281845 /nfs/dbraw/zinc/28/18/45/268281845.db2.gz JLWYIKWIUATJEQ-RDJZCZTQSA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CCOC[C@H]1[C@@H]1CCCO1 ZINC000375741841 268281846 /nfs/dbraw/zinc/28/18/46/268281846.db2.gz JLWYIKWIUATJEQ-RDJZCZTQSA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccncc1C(=O)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000344981046 272150399 /nfs/dbraw/zinc/15/03/99/272150399.db2.gz POENONUWLXDAPQ-UHFFFAOYSA-N 1 2 304.313 1.845 20 30 DDEDLO CC1(C)C[NH+]=C(N2CCN(c3cnc(C#N)cn3)CC2)S1 ZINC000274712711 275852483 /nfs/dbraw/zinc/85/24/83/275852483.db2.gz VCBHXALWDGTBBR-UHFFFAOYSA-N 1 2 302.407 1.352 20 30 DDEDLO COc1ncccc1NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000328862255 278095917 /nfs/dbraw/zinc/09/59/17/278095917.db2.gz ZQERFNWZQKLHNE-OLZOCXBDSA-N 1 2 306.366 1.041 20 30 DDEDLO COc1ncccc1NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000328862255 278095918 /nfs/dbraw/zinc/09/59/18/278095918.db2.gz ZQERFNWZQKLHNE-OLZOCXBDSA-N 1 2 306.366 1.041 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1C(=O)c1ccc(F)c(C#N)c1 ZINC000291713029 278100574 /nfs/dbraw/zinc/10/05/74/278100574.db2.gz VLLAEIYKBHQUMD-MLGOLLRUSA-N 1 2 317.364 1.633 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)c(F)c2)[C@@H](C)C[N@@H+]1C ZINC000489687843 297062589 /nfs/dbraw/zinc/06/25/89/297062589.db2.gz YNOWSDKMYAMHMF-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)c(F)c2)[C@@H](C)C[N@H+]1C ZINC000489687843 297062592 /nfs/dbraw/zinc/06/25/92/297062592.db2.gz YNOWSDKMYAMHMF-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccnc(-n3cc[nH+]c3)c2)cn1 ZINC000361525872 299886602 /nfs/dbraw/zinc/88/66/02/299886602.db2.gz ULWRGUDTVOJBJI-UHFFFAOYSA-N 1 2 304.313 1.464 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)OC(C)(C)C)CCN1CC#N ZINC000284654053 303007061 /nfs/dbraw/zinc/00/70/61/303007061.db2.gz GCXFOPCZTBSYNI-GFCCVEGCSA-N 1 2 305.382 1.537 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3CCC[C@H]3[C@@H]2C(N)=O)c([N+](=O)[O-])c1 ZINC000567392424 308079442 /nfs/dbraw/zinc/07/94/42/308079442.db2.gz QGQYGIBEHDORPD-NJZAAPMLSA-N 1 2 314.345 1.552 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3CCC[C@H]3[C@@H]2C(N)=O)c([N+](=O)[O-])c1 ZINC000567392424 308079443 /nfs/dbraw/zinc/07/94/43/308079443.db2.gz QGQYGIBEHDORPD-NJZAAPMLSA-N 1 2 314.345 1.552 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)CC2(CCC2)O1 ZINC000571878666 308205051 /nfs/dbraw/zinc/20/50/51/308205051.db2.gz LAZGMFCWRYJCDQ-AWEZNQCLSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CC2(CCC2)O1 ZINC000571878666 308205052 /nfs/dbraw/zinc/20/50/52/308205052.db2.gz LAZGMFCWRYJCDQ-AWEZNQCLSA-N 1 2 313.401 1.818 20 30 DDEDLO N#CCC[NH2+]Cc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000570920126 332842103 /nfs/dbraw/zinc/84/21/03/332842103.db2.gz ANTZCNHTIYKVGQ-CQSZACIVSA-N 1 2 323.418 1.147 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnn(-c2ccccc2F)c1 ZINC000570932568 332844297 /nfs/dbraw/zinc/84/42/97/332844297.db2.gz JWUJVRGRBBTWHL-MRXNPFEDSA-N 1 2 315.352 1.585 20 30 DDEDLO COc1ccc2c(c1)C[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)CO2 ZINC000517944740 331979463 /nfs/dbraw/zinc/97/94/63/331979463.db2.gz OLIOBASXKUIKBI-GUYCJALGSA-N 1 2 317.389 1.206 20 30 DDEDLO COCCCCON=C(N)C[NH+]1CCN(c2ccccc2)CC1 ZINC000089736545 332075994 /nfs/dbraw/zinc/07/59/94/332075994.db2.gz GKKLWHNFJKWGTO-UHFFFAOYSA-N 1 2 320.437 1.524 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000572615328 332077928 /nfs/dbraw/zinc/07/79/28/332077928.db2.gz XTBMKSJQJJWTRB-GHMZBOCLSA-N 1 2 319.327 1.757 20 30 DDEDLO COc1ccc(C[C@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000518778927 333166054 /nfs/dbraw/zinc/16/60/54/333166054.db2.gz WDQZEWYOHFLWBW-SUMWQHHRSA-N 1 2 303.406 1.834 20 30 DDEDLO COCc1noc([C@@H](C)O[NH+]=C(N)Cc2csc(C)n2)n1 ZINC000182914300 333180962 /nfs/dbraw/zinc/18/09/62/333180962.db2.gz CWVWVTQXFIBENG-SSDOTTSWSA-N 1 2 311.367 1.573 20 30 DDEDLO C=CCN1CCN(C[N@H+](C)CCNC(=O)OC(C)(C)C)C1=O ZINC000497163488 333187882 /nfs/dbraw/zinc/18/78/82/333187882.db2.gz DUALROUPKZVQJK-UHFFFAOYSA-N 1 2 312.414 1.324 20 30 DDEDLO C=CCN1CCN(C[N@@H+](C)CCNC(=O)OC(C)(C)C)C1=O ZINC000497163488 333187884 /nfs/dbraw/zinc/18/78/84/333187884.db2.gz DUALROUPKZVQJK-UHFFFAOYSA-N 1 2 312.414 1.324 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CC[C@H]1CCCCO1 ZINC000110676347 333328079 /nfs/dbraw/zinc/32/80/79/333328079.db2.gz MLNASEALSFDADZ-MRXNPFEDSA-N 1 2 323.437 1.410 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000066865032 335141782 /nfs/dbraw/zinc/14/17/82/335141782.db2.gz ASSIBECKBSEOTK-UHFFFAOYSA-N 1 2 301.390 1.417 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000066865032 335141783 /nfs/dbraw/zinc/14/17/83/335141783.db2.gz ASSIBECKBSEOTK-UHFFFAOYSA-N 1 2 301.390 1.417 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1ccc2c(c1)CCCO2 ZINC000563220739 333485245 /nfs/dbraw/zinc/48/52/45/333485245.db2.gz UKLJBXQRUVWOCP-KRWDZBQOSA-N 1 2 301.390 1.514 20 30 DDEDLO COc1cc(C)c(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1OC ZINC000571094526 333659543 /nfs/dbraw/zinc/65/95/43/333659543.db2.gz QPIDECHWGQHCEQ-MRXNPFEDSA-N 1 2 305.378 1.586 20 30 DDEDLO COCCOCc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000519821462 335898273 /nfs/dbraw/zinc/89/82/73/335898273.db2.gz KEXQBFSYYZGMHA-KRWDZBQOSA-N 1 2 319.405 1.423 20 30 DDEDLO COC[C@@H]1C[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000583148773 337246386 /nfs/dbraw/zinc/24/63/86/337246386.db2.gz PIOIGKXAFFBGCM-FZMZJTMJSA-N 1 2 305.334 1.702 20 30 DDEDLO COC[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000583148773 337246387 /nfs/dbraw/zinc/24/63/87/337246387.db2.gz PIOIGKXAFFBGCM-FZMZJTMJSA-N 1 2 305.334 1.702 20 30 DDEDLO COc1ccc(CN(CCC#N)CC[NH+]2CCOCC2)cn1 ZINC000085823203 338011577 /nfs/dbraw/zinc/01/15/77/338011577.db2.gz VZLLCXOFHRTNBV-UHFFFAOYSA-N 1 2 304.394 1.138 20 30 DDEDLO C=CCNC(=O)C[S@@](=O)Cc1[nH+]ccn1-c1ccccc1 ZINC000505734023 340175979 /nfs/dbraw/zinc/17/59/79/340175979.db2.gz QCCDAYRYMMKMPO-NRFANRHFSA-N 1 2 303.387 1.423 20 30 DDEDLO CC(C)c1noc(CN(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000174830065 340396845 /nfs/dbraw/zinc/39/68/45/340396845.db2.gz OGTWDEBABUSPLU-UHFFFAOYSA-N 1 2 307.398 1.241 20 30 DDEDLO C[C@H](CC#N)N(C)CC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000177369081 340439853 /nfs/dbraw/zinc/43/98/53/340439853.db2.gz VQHMVUYSDXDMPV-CQSZACIVSA-N 1 2 304.394 1.158 20 30 DDEDLO CSc1cccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1[N+](=O)[O-] ZINC000566309365 341553678 /nfs/dbraw/zinc/55/36/78/341553678.db2.gz OMBGNWVOPFVAPX-AWEZNQCLSA-N 1 2 322.390 1.890 20 30 DDEDLO C=CCN(C(=O)/C=C/c1[nH+]ccn1CC)[C@H]1CCS(=O)(=O)C1 ZINC000158757967 341664133 /nfs/dbraw/zinc/66/41/33/341664133.db2.gz LJTFKPKWXBBRRF-GFUIURDCSA-N 1 2 323.418 1.118 20 30 DDEDLO C=CCOC[C@@H]1NC(=O)N(C[C@H]2CCCn3cc(C)[nH+]c32)C1=O ZINC000348617400 341954097 /nfs/dbraw/zinc/95/40/97/341954097.db2.gz UCSLKHFDLJHKAC-OLZOCXBDSA-N 1 2 318.377 1.192 20 30 DDEDLO C=CCCn1cc(CNc2ccc[nH+]c2N2CCOCC2)nn1 ZINC000656770381 484320625 /nfs/dbraw/zinc/32/06/25/484320625.db2.gz YBERSHXURHNCMN-UHFFFAOYSA-N 1 2 314.393 1.698 20 30 DDEDLO C=CCCn1cc(C[NH2+]CCS(=O)(=O)c2ccccc2)nn1 ZINC000657328899 484346503 /nfs/dbraw/zinc/34/65/03/484346503.db2.gz GOBZWLJTLGGKHP-UHFFFAOYSA-N 1 2 320.418 1.418 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1C[C@@H](CO)OC[C@@H]1C ZINC000361685858 484524042 /nfs/dbraw/zinc/52/40/42/484524042.db2.gz GUSDREOXFMRNPK-UAGQMJEPSA-N 1 2 321.417 1.236 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1C[C@@H](CO)OC[C@@H]1C ZINC000361685858 484524046 /nfs/dbraw/zinc/52/40/46/484524046.db2.gz GUSDREOXFMRNPK-UAGQMJEPSA-N 1 2 321.417 1.236 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C(F)(F)F)nn2C)C1=O ZINC000668100805 484953557 /nfs/dbraw/zinc/95/35/57/484953557.db2.gz YWHOAORUIDRPDY-NSHDSACASA-N 1 2 316.327 1.658 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C(F)(F)F)nn2C)C1=O ZINC000668100805 484953563 /nfs/dbraw/zinc/95/35/63/484953563.db2.gz YWHOAORUIDRPDY-NSHDSACASA-N 1 2 316.327 1.658 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000668191140 485015242 /nfs/dbraw/zinc/01/52/42/485015242.db2.gz YUFLNJGIPYGFNY-HUUCEWRRSA-N 1 2 304.394 1.518 20 30 DDEDLO C=CC[C@@H]1CCC[N@@H+](Cc2nccn2S(=O)(=O)N(C)C)C1 ZINC000668493189 485184241 /nfs/dbraw/zinc/18/42/41/485184241.db2.gz KTAQIQXCAOOMKF-CYBMUJFWSA-N 1 2 312.439 1.326 20 30 DDEDLO C=CC[C@@H]1CCC[N@H+](Cc2nccn2S(=O)(=O)N(C)C)C1 ZINC000668493189 485184246 /nfs/dbraw/zinc/18/42/46/485184246.db2.gz KTAQIQXCAOOMKF-CYBMUJFWSA-N 1 2 312.439 1.326 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)C(=O)N[C@H](C)Cn2cc[nH+]c2)C1 ZINC000668569630 485218758 /nfs/dbraw/zinc/21/87/58/485218758.db2.gz DGGJIUHDEBTJTA-KGLIPLIRSA-N 1 2 304.394 1.203 20 30 DDEDLO CCC#C[C@@H](C)[NH+]1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000677047113 486385803 /nfs/dbraw/zinc/38/58/03/486385803.db2.gz SKEMXYFMXZDSSL-CYBMUJFWSA-N 1 2 304.394 1.341 20 30 DDEDLO C=C(C)CNC(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000681767083 486519731 /nfs/dbraw/zinc/51/97/31/486519731.db2.gz JJQHQBRGRMIGNC-UHFFFAOYSA-N 1 2 316.405 1.772 20 30 DDEDLO CC#CC[N@H+](C)[C@@H](C)C(=O)NCC(=O)Nc1c(C)cccc1C ZINC000339766100 526360358 /nfs/dbraw/zinc/36/03/58/526360358.db2.gz OIXWUTGGEQQFSL-HNNXBMFYSA-N 1 2 315.417 1.702 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H](C)C(=O)NCC(=O)Nc1c(C)cccc1C ZINC000339766100 526360363 /nfs/dbraw/zinc/36/03/63/526360363.db2.gz OIXWUTGGEQQFSL-HNNXBMFYSA-N 1 2 315.417 1.702 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2cc(C)cc(C)c2)C1 ZINC000330953258 526400094 /nfs/dbraw/zinc/40/00/94/526400094.db2.gz RMKXRQGZQWRRMK-CYBMUJFWSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2cc(C)cc(C)c2)C1 ZINC000330953258 526400100 /nfs/dbraw/zinc/40/01/00/526400100.db2.gz RMKXRQGZQWRRMK-CYBMUJFWSA-N 1 2 304.394 1.450 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000343685850 526696450 /nfs/dbraw/zinc/69/64/50/526696450.db2.gz UPPSZKURYZMOIT-HZPDHXFCSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccc(C)cc1 ZINC000354310427 526713007 /nfs/dbraw/zinc/71/30/07/526713007.db2.gz CCTYHPNPXUBPJK-KRWDZBQOSA-N 1 2 318.417 1.687 20 30 DDEDLO C#CC[N@@H+](CCN(C)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000490866579 526901173 /nfs/dbraw/zinc/90/11/73/526901173.db2.gz RABGVXYNCHCDDH-INIZCTEOSA-N 1 2 306.431 1.245 20 30 DDEDLO C#CC[N@H+](CCN(C)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000490866579 526901178 /nfs/dbraw/zinc/90/11/78/526901178.db2.gz RABGVXYNCHCDDH-INIZCTEOSA-N 1 2 306.431 1.245 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+](C)Cc2cc(C)ccc2F)CC1 ZINC000491697441 526947853 /nfs/dbraw/zinc/94/78/53/526947853.db2.gz GLCRFOSXDSMOKW-UHFFFAOYSA-N 1 2 317.408 1.343 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+](C)Cc2cc(C)ccc2F)CC1 ZINC000491697441 526947858 /nfs/dbraw/zinc/94/78/58/526947858.db2.gz GLCRFOSXDSMOKW-UHFFFAOYSA-N 1 2 317.408 1.343 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cccc3c2OCCC3)CC1 ZINC000490819865 526951845 /nfs/dbraw/zinc/95/18/45/526951845.db2.gz KWIRLYARPJVLKI-UHFFFAOYSA-N 1 2 313.401 1.201 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cccc3c2OCCC3)CC1 ZINC000491696998 526953726 /nfs/dbraw/zinc/95/37/26/526953726.db2.gz QNVKCJZMSJPXJE-UHFFFAOYSA-N 1 2 314.385 1.168 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000341546252 526967377 /nfs/dbraw/zinc/96/73/77/526967377.db2.gz MAXRIFCKVPNTPN-KGLIPLIRSA-N 1 2 319.405 1.485 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CC[C@H](C)CC2)CC1 ZINC000491625365 526995555 /nfs/dbraw/zinc/99/55/55/526995555.db2.gz HRFQNXAYQMDZGF-WKILWMFISA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CC[C@H](C)CC2)CC1 ZINC000491625365 526995558 /nfs/dbraw/zinc/99/55/58/526995558.db2.gz HRFQNXAYQMDZGF-WKILWMFISA-N 1 2 319.449 1.096 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1nc(CC(=O)OCC)cs1 ZINC000491623541 527213222 /nfs/dbraw/zinc/21/32/22/527213222.db2.gz PWJDNZFEVGUTTC-SNVBAGLBSA-N 1 2 309.391 1.141 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1nc(CC(=O)OCC)cs1 ZINC000491623541 527213227 /nfs/dbraw/zinc/21/32/27/527213227.db2.gz PWJDNZFEVGUTTC-SNVBAGLBSA-N 1 2 309.391 1.141 20 30 DDEDLO C#C[C@H](CC)NC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000491623005 527353374 /nfs/dbraw/zinc/35/33/74/527353374.db2.gz FMOKNGVCZREQOD-IAGOWNOFSA-N 1 2 315.417 1.598 20 30 DDEDLO C#C[C@H](CC)NC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000491623005 527353377 /nfs/dbraw/zinc/35/33/77/527353377.db2.gz FMOKNGVCZREQOD-IAGOWNOFSA-N 1 2 315.417 1.598 20 30 DDEDLO C#C[C@H](NC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1)C(C)C ZINC000491327505 527379074 /nfs/dbraw/zinc/37/90/74/527379074.db2.gz VZCDMYIZGSXZRR-HNNXBMFYSA-N 1 2 310.357 1.585 20 30 DDEDLO C=CCn1cccc1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000342291925 527383117 /nfs/dbraw/zinc/38/31/17/527383117.db2.gz RRSYFAJRKNFADJ-GJZGRUSLSA-N 1 2 303.406 1.609 20 30 DDEDLO C#C[C@H](NC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1)C(C)C ZINC000490961772 527386959 /nfs/dbraw/zinc/38/69/59/527386959.db2.gz JTUYSBKPXZFULD-KBPBESRZSA-N 1 2 303.410 1.456 20 30 DDEDLO C=CCCn1cc(C(=O)N2CC[NH+](CCOC(C)C)CC2)nn1 ZINC000424704499 527518952 /nfs/dbraw/zinc/51/89/52/527518952.db2.gz JKHLNOLLQMQOQJ-UHFFFAOYSA-N 1 2 321.425 1.037 20 30 DDEDLO CC(=O)N[C@H](CC1CCC1)C(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329897637 527545204 /nfs/dbraw/zinc/54/52/04/527545204.db2.gz LNIFVSPGBMPQLA-ILXRZTDVSA-N 1 2 309.410 1.063 20 30 DDEDLO CC(=O)N[C@H](CC1CCC1)C(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329897637 527545206 /nfs/dbraw/zinc/54/52/06/527545206.db2.gz LNIFVSPGBMPQLA-ILXRZTDVSA-N 1 2 309.410 1.063 20 30 DDEDLO C=CC[N@@H+](CC(=O)OC)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000343647387 527584484 /nfs/dbraw/zinc/58/44/84/527584484.db2.gz WCYUSKBLJAUHNV-LBPRGKRZSA-N 1 2 301.350 1.384 20 30 DDEDLO C=CC[N@H+](CC(=O)OC)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000343647387 527584488 /nfs/dbraw/zinc/58/44/88/527584488.db2.gz WCYUSKBLJAUHNV-LBPRGKRZSA-N 1 2 301.350 1.384 20 30 DDEDLO CC(C)c1ccc(C(=O)N[C@@H](CO)C[NH+]2CCOCC2)cn1 ZINC000330573571 528055175 /nfs/dbraw/zinc/05/51/75/528055175.db2.gz FBUAAPTWFIXNIA-CQSZACIVSA-N 1 2 307.394 1.203 20 30 DDEDLO CCC(C)(C)OCC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000457522370 528572012 /nfs/dbraw/zinc/57/20/12/528572012.db2.gz ZEGJZLBWPQQFEG-UHFFFAOYSA-N 1 2 311.426 1.266 20 30 DDEDLO CCCn1c2ccccc2n(C[C@H](O)C[N@H+](C)CCC#N)c1=O ZINC000414116899 528826004 /nfs/dbraw/zinc/82/60/04/528826004.db2.gz YAAJMSXXJDYXMU-CQSZACIVSA-N 1 2 316.405 1.419 20 30 DDEDLO CCCn1c2ccccc2n(C[C@H](O)C[N@@H+](C)CCC#N)c1=O ZINC000414116899 528826010 /nfs/dbraw/zinc/82/60/10/528826010.db2.gz YAAJMSXXJDYXMU-CQSZACIVSA-N 1 2 316.405 1.419 20 30 DDEDLO C[N@H+](CCO)C1CC[NH+]([C@H](C(=O)[O-])c2cccc(C#N)c2)CC1 ZINC000830789588 601315112 /nfs/dbraw/zinc/31/51/12/601315112.db2.gz OPANMWKJJIVTLO-INIZCTEOSA-N 1 2 317.389 1.072 20 30 DDEDLO C[N@@H+](CCO)C1CC[NH+]([C@H](C(=O)[O-])c2cccc(C#N)c2)CC1 ZINC000830789588 601315115 /nfs/dbraw/zinc/31/51/15/601315115.db2.gz OPANMWKJJIVTLO-INIZCTEOSA-N 1 2 317.389 1.072 20 30 DDEDLO C#CC[N@@H+](CC)Cc1nnc(-c2ccc(-c3nn[nH]n3)cc2)o1 ZINC000819701350 606433419 /nfs/dbraw/zinc/43/34/19/606433419.db2.gz DQRKJOPQCXGNOB-UHFFFAOYSA-N 1 2 309.333 1.372 20 30 DDEDLO C#CC[N@H+](CC)Cc1nnc(-c2ccc(-c3nn[nH]n3)cc2)o1 ZINC000819701350 606433421 /nfs/dbraw/zinc/43/34/21/606433421.db2.gz DQRKJOPQCXGNOB-UHFFFAOYSA-N 1 2 309.333 1.372 20 30 DDEDLO Cc1cc(C)c(NC(=O)[C@@H](C)O[NH+]=C(N)CCO)c(Cl)c1 ZINC000121408213 696709700 /nfs/dbraw/zinc/70/97/00/696709700.db2.gz IFMHVYYNSPDKGU-SNVBAGLBSA-N 1 2 313.785 1.955 20 30 DDEDLO C#CCNC(=O)[C@H](C)OC(=O)[C@@H](c1ccccc1)[NH+](CC)CC ZINC000744995994 699968279 /nfs/dbraw/zinc/96/82/79/699968279.db2.gz IRDJARYUKXJDNZ-GOEBONIOSA-N 1 2 316.401 1.751 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)OC(=O)[C@@H]1CCCC[N@@H+]1C ZINC000745081458 699970807 /nfs/dbraw/zinc/97/08/07/699970807.db2.gz ZJLKTTAKGKEYHN-XEZPLFJOSA-N 1 2 309.410 1.457 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)OC(=O)[C@@H]1CCCC[N@H+]1C ZINC000745081458 699970810 /nfs/dbraw/zinc/97/08/10/699970810.db2.gz ZJLKTTAKGKEYHN-XEZPLFJOSA-N 1 2 309.410 1.457 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)c2cnco2)C1 ZINC000972041383 695144600 /nfs/dbraw/zinc/14/46/00/695144600.db2.gz FVGKVHMZZKGELE-SNVBAGLBSA-N 1 2 314.183 1.730 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)c2cnco2)C1 ZINC000972041383 695144601 /nfs/dbraw/zinc/14/46/01/695144601.db2.gz FVGKVHMZZKGELE-SNVBAGLBSA-N 1 2 314.183 1.730 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cccs3)C2)C1 ZINC000972227331 695182544 /nfs/dbraw/zinc/18/25/44/695182544.db2.gz HWIOHPKAILGGHM-MRXNPFEDSA-N 1 2 306.431 1.780 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cccs3)C2)C1 ZINC000972227331 695182545 /nfs/dbraw/zinc/18/25/45/695182545.db2.gz HWIOHPKAILGGHM-MRXNPFEDSA-N 1 2 306.431 1.780 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCCO3)C2)C1 ZINC000972275348 695201143 /nfs/dbraw/zinc/20/11/43/695201143.db2.gz NMUXYSGIPSAHGM-NVXWUHKLSA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCCO3)C2)C1 ZINC000972275348 695201144 /nfs/dbraw/zinc/20/11/44/695201144.db2.gz NMUXYSGIPSAHGM-NVXWUHKLSA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)nc3)C2)C1 ZINC000972297268 695209719 /nfs/dbraw/zinc/20/97/19/695209719.db2.gz HONWFFAQDYDTCM-INIZCTEOSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)nc3)C2)C1 ZINC000972297268 695209720 /nfs/dbraw/zinc/20/97/20/695209720.db2.gz HONWFFAQDYDTCM-INIZCTEOSA-N 1 2 305.353 1.324 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@]2(C1)C[N@H+](Cc1ccsc1)CCO2 ZINC000972296767 695210034 /nfs/dbraw/zinc/21/00/34/695210034.db2.gz CXXBNQIEJCCZHS-CJNGLKHVSA-N 1 2 319.430 1.711 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@]2(C1)C[N@@H+](Cc1ccsc1)CCO2 ZINC000972296767 695210035 /nfs/dbraw/zinc/21/00/35/695210035.db2.gz CXXBNQIEJCCZHS-CJNGLKHVSA-N 1 2 319.430 1.711 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnccc3C)C2)C1 ZINC000972309799 695213236 /nfs/dbraw/zinc/21/32/36/695213236.db2.gz FXVUYWCMOOEXBB-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnccc3C)C2)C1 ZINC000972309799 695213238 /nfs/dbraw/zinc/21/32/38/695213238.db2.gz FXVUYWCMOOEXBB-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCOC3)C2)C1 ZINC000972325596 695216289 /nfs/dbraw/zinc/21/62/89/695216289.db2.gz AYVCQIJXYLGNCR-SJLPKXTDSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCOC3)C2)C1 ZINC000972325596 695216290 /nfs/dbraw/zinc/21/62/90/695216290.db2.gz AYVCQIJXYLGNCR-SJLPKXTDSA-N 1 2 320.433 1.130 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(C)coc3C)C2)C1 ZINC000972368099 695231424 /nfs/dbraw/zinc/23/14/24/695231424.db2.gz PKPRGHOXKUPXFT-QGZVFWFLSA-N 1 2 304.390 1.999 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(C)coc3C)C2)C1 ZINC000972368099 695231425 /nfs/dbraw/zinc/23/14/25/695231425.db2.gz PKPRGHOXKUPXFT-QGZVFWFLSA-N 1 2 304.390 1.999 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)co3)C2)C1 ZINC000972511807 695268858 /nfs/dbraw/zinc/26/88/58/695268858.db2.gz IAVCJVWRPDEKHZ-QGZVFWFLSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)co3)C2)C1 ZINC000972511807 695268861 /nfs/dbraw/zinc/26/88/61/695268861.db2.gz IAVCJVWRPDEKHZ-QGZVFWFLSA-N 1 2 302.374 1.528 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CCC(=C)CC3)C2)C1 ZINC000972533534 695274602 /nfs/dbraw/zinc/27/46/02/695274602.db2.gz DEASCFIFNSYYSN-SFHVURJKSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CCC(=C)CC3)C2)C1 ZINC000972533534 695274604 /nfs/dbraw/zinc/27/46/04/695274604.db2.gz DEASCFIFNSYYSN-SFHVURJKSA-N 1 2 302.418 1.669 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3cc(C)on3)C2)C1 ZINC000972542815 695277276 /nfs/dbraw/zinc/27/72/76/695277276.db2.gz DEAOSRKDNFPYRQ-KRWDZBQOSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3cc(C)on3)C2)C1 ZINC000972542815 695277277 /nfs/dbraw/zinc/27/72/77/695277277.db2.gz DEAOSRKDNFPYRQ-KRWDZBQOSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C(C)(F)F)C2)C1 ZINC000972556164 695281870 /nfs/dbraw/zinc/28/18/70/695281870.db2.gz DGMUOUSXGDZIGD-IUODEOHRSA-N 1 2 302.365 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C(C)(F)F)C2)C1 ZINC000972556164 695281871 /nfs/dbraw/zinc/28/18/71/695281871.db2.gz DGMUOUSXGDZIGD-IUODEOHRSA-N 1 2 302.365 1.767 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3c3ccco3)C2)C1 ZINC000972565997 695285021 /nfs/dbraw/zinc/28/50/21/695285021.db2.gz ZAVMNZCWRDABOU-IIDMSEBBSA-N 1 2 314.385 1.320 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3c3ccco3)C2)C1 ZINC000972565997 695285022 /nfs/dbraw/zinc/28/50/22/695285022.db2.gz ZAVMNZCWRDABOU-IIDMSEBBSA-N 1 2 314.385 1.320 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](OC)C3CCCC3)C2)C1 ZINC000972655042 695310527 /nfs/dbraw/zinc/31/05/27/695310527.db2.gz ALKZSYBSDRQYIW-AEFFLSMTSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](OC)C3CCCC3)C2)C1 ZINC000972655042 695310530 /nfs/dbraw/zinc/31/05/30/695310530.db2.gz ALKZSYBSDRQYIW-AEFFLSMTSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C[C@H]2CCOC2)C(C)(C)C1 ZINC000972981656 695387218 /nfs/dbraw/zinc/38/72/18/695387218.db2.gz ZRFVGRHHZQSFNQ-CHWSQXEVSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C[C@H]2CCOC2)C(C)(C)C1 ZINC000972981656 695387220 /nfs/dbraw/zinc/38/72/20/695387220.db2.gz ZRFVGRHHZQSFNQ-CHWSQXEVSA-N 1 2 300.830 1.992 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCOC(C)C)CC2)nc1 ZINC000746351736 700020220 /nfs/dbraw/zinc/02/02/20/700020220.db2.gz OJMQAANKMLXPLF-UHFFFAOYSA-N 1 2 301.390 1.246 20 30 DDEDLO CCCCn1nnnc1CO[NH+]=C(N)c1ccc(F)c(C)c1 ZINC000063457625 696327707 /nfs/dbraw/zinc/32/77/07/696327707.db2.gz ZNIOCHYGHPIMMZ-UHFFFAOYSA-N 1 2 306.345 1.758 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cccc(F)c1C#N ZINC000070398081 696387786 /nfs/dbraw/zinc/38/77/86/696387786.db2.gz SEEPDBBRECXKAM-SNVBAGLBSA-N 1 2 308.338 1.261 20 30 DDEDLO Cc1ccc(N2CC[N@H+](CC(=O)NCCC#N)[C@H](C)C2)cc1C ZINC000747967998 700093589 /nfs/dbraw/zinc/09/35/89/700093589.db2.gz IZDPSUNPTREHJL-MRXNPFEDSA-N 1 2 314.433 1.844 20 30 DDEDLO Cc1ccc(N2CC[N@@H+](CC(=O)NCCC#N)[C@H](C)C2)cc1C ZINC000747967998 700093592 /nfs/dbraw/zinc/09/35/92/700093592.db2.gz IZDPSUNPTREHJL-MRXNPFEDSA-N 1 2 314.433 1.844 20 30 DDEDLO N#CCN1C[C@@H]2CC[C@H](NC(=O)c3cc4c[nH+]ccc4[nH]3)C[C@H]2C1 ZINC000979984925 696672977 /nfs/dbraw/zinc/67/29/77/696672977.db2.gz HUTPOIQKHGEVMB-QEJZJMRPSA-N 1 2 323.400 1.917 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)NCc1ccc(F)cc1 ZINC000137650059 696859075 /nfs/dbraw/zinc/85/90/75/696859075.db2.gz JTGHYDHDUKTASX-UHFFFAOYSA-N 1 2 316.336 1.368 20 30 DDEDLO CC#CCCON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000154902238 696939016 /nfs/dbraw/zinc/93/90/16/696939016.db2.gz LXDRIDGEXUOXAX-INIZCTEOSA-N 1 2 301.390 1.590 20 30 DDEDLO CC#CCCON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000154902238 696939018 /nfs/dbraw/zinc/93/90/18/696939018.db2.gz LXDRIDGEXUOXAX-INIZCTEOSA-N 1 2 301.390 1.590 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCC[N@H+](Cc3cncs3)CC2)c1 ZINC000980917157 696943936 /nfs/dbraw/zinc/94/39/36/696943936.db2.gz CLXOQVQURPRKDH-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCC[N@@H+](Cc3cncs3)CC2)c1 ZINC000980917157 696943939 /nfs/dbraw/zinc/94/39/39/696943939.db2.gz CLXOQVQURPRKDH-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[N@@H+](Cc2cncn2C)CC1 ZINC000981993061 696996431 /nfs/dbraw/zinc/99/64/31/696996431.db2.gz XFYUGIFDPAIGDO-CVEARBPZSA-N 1 2 318.421 1.046 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[N@H+](Cc2cncn2C)CC1 ZINC000981993061 696996432 /nfs/dbraw/zinc/99/64/32/696996432.db2.gz XFYUGIFDPAIGDO-CVEARBPZSA-N 1 2 318.421 1.046 20 30 DDEDLO CC(C)(C(=O)N1CC2(C1)CCCN(CC#N)C2)c1c[nH+]c[nH]1 ZINC000982118244 697034874 /nfs/dbraw/zinc/03/48/74/697034874.db2.gz KBWWZIBXCCIYBT-UHFFFAOYSA-N 1 2 301.394 1.135 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NC)CC1 ZINC000981242297 697044084 /nfs/dbraw/zinc/04/40/84/697044084.db2.gz GELIOHRDYSOPKF-UHFFFAOYSA-N 1 2 301.818 1.045 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@H+](CC(=O)NC)CC1 ZINC000981242297 697044086 /nfs/dbraw/zinc/04/40/86/697044086.db2.gz GELIOHRDYSOPKF-UHFFFAOYSA-N 1 2 301.818 1.045 20 30 DDEDLO COC(=O)/C(C)=C/C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000748813551 700135845 /nfs/dbraw/zinc/13/58/45/700135845.db2.gz MWTBQQHORWOWRA-WLRTZDKTSA-N 1 2 300.362 1.195 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC([N@@H+](C)Cc2ncnn2CC)CC1 ZINC000985574837 697532958 /nfs/dbraw/zinc/53/29/58/697532958.db2.gz RDFDGQLCHILWAG-UHFFFAOYSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC([N@H+](C)Cc2ncnn2CC)CC1 ZINC000985574837 697532960 /nfs/dbraw/zinc/53/29/60/697532960.db2.gz RDFDGQLCHILWAG-UHFFFAOYSA-N 1 2 319.453 1.933 20 30 DDEDLO C#CCCCNS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000799778344 700166416 /nfs/dbraw/zinc/16/64/16/700166416.db2.gz PZDSZLVUASBEQT-UHFFFAOYSA-N 1 2 303.387 1.623 20 30 DDEDLO C[C@H]1C[N@H+](CCOC(=O)CCc2ccc(C#N)cc2)CCO1 ZINC000800123762 700190673 /nfs/dbraw/zinc/19/06/73/700190673.db2.gz MPYFBYUURFFPJF-AWEZNQCLSA-N 1 2 302.374 1.755 20 30 DDEDLO C[C@H]1C[N@@H+](CCOC(=O)CCc2ccc(C#N)cc2)CCO1 ZINC000800123762 700190674 /nfs/dbraw/zinc/19/06/74/700190674.db2.gz MPYFBYUURFFPJF-AWEZNQCLSA-N 1 2 302.374 1.755 20 30 DDEDLO C[C@H]1C[N@H+](CCOC(=O)/C=C\c2ccccc2C#N)CCO1 ZINC000800125767 700190694 /nfs/dbraw/zinc/19/06/94/700190694.db2.gz WUKQDVWABRWIAD-AFNCTOJWSA-N 1 2 300.358 1.835 20 30 DDEDLO C[C@H]1C[N@@H+](CCOC(=O)/C=C\c2ccccc2C#N)CCO1 ZINC000800125767 700190695 /nfs/dbraw/zinc/19/06/95/700190695.db2.gz WUKQDVWABRWIAD-AFNCTOJWSA-N 1 2 300.358 1.835 20 30 DDEDLO C#C[C@H]([NH2+][C@@H]1CCS(=O)(=O)c2ccc(F)cc21)[C@H]1CCCO1 ZINC000775572409 698010918 /nfs/dbraw/zinc/01/09/18/698010918.db2.gz AQDYGZRAPRHOMJ-RRFJBIMHSA-N 1 2 323.389 1.815 20 30 DDEDLO CN1C(=O)CN(C[N@H+]2CC=C(c3ccc(C#N)cc3)CC2)C1=O ZINC000776202093 698080251 /nfs/dbraw/zinc/08/02/51/698080251.db2.gz OZRXOQKDDWOLIH-UHFFFAOYSA-N 1 2 310.357 1.499 20 30 DDEDLO CN1C(=O)CN(C[N@@H+]2CC=C(c3ccc(C#N)cc3)CC2)C1=O ZINC000776202093 698080255 /nfs/dbraw/zinc/08/02/55/698080255.db2.gz OZRXOQKDDWOLIH-UHFFFAOYSA-N 1 2 310.357 1.499 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)N[C@]2(CC(C)(C)OC2(C)C)C1=O ZINC000777959445 698233516 /nfs/dbraw/zinc/23/35/16/698233516.db2.gz JQFJFGZBBDHKDW-MRXNPFEDSA-N 1 2 309.410 1.720 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)N[C@]2(CC(C)(C)OC2(C)C)C1=O ZINC000777959445 698233520 /nfs/dbraw/zinc/23/35/20/698233520.db2.gz JQFJFGZBBDHKDW-MRXNPFEDSA-N 1 2 309.410 1.720 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+][C@@H](C)C(=O)NCCF ZINC000800291829 700213207 /nfs/dbraw/zinc/21/32/07/700213207.db2.gz GYABJHOKLUISKO-NSHDSACASA-N 1 2 312.772 1.916 20 30 DDEDLO C[C@H]1C[C@@H]([NH2+]Cc2nnc(C3CC3)o2)CN1C(=O)C#CC1CC1 ZINC000988827328 698478225 /nfs/dbraw/zinc/47/82/25/698478225.db2.gz RVHLGLRJWVVRRP-SMDDNHRTSA-N 1 2 314.389 1.439 20 30 DDEDLO N#Cc1ccc(CNC(=O)NCCc2cn3c([nH+]2)CCCC3)nc1 ZINC000780296080 698504157 /nfs/dbraw/zinc/50/41/57/698504157.db2.gz PDGCHIBBITUCJI-UHFFFAOYSA-N 1 2 324.388 1.528 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000342474394 698803121 /nfs/dbraw/zinc/80/31/21/698803121.db2.gz FCEPUJXMMJGLLH-ZDUSSCGKSA-N 1 2 304.394 1.209 20 30 DDEDLO NC(=NOCCC1(O)CC1)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000785516998 699081595 /nfs/dbraw/zinc/08/15/95/699081595.db2.gz GISKSFOLJUBJDR-UHFFFAOYSA-N 1 2 319.405 1.071 20 30 DDEDLO COc1ncnc(N)c1C=[NH+]Nc1ccc2c(cc[nH]c2=O)c1 ZINC000789077301 699359927 /nfs/dbraw/zinc/35/99/27/699359927.db2.gz RUYHHDUYSYLVPR-UHFFFAOYSA-N 1 2 310.317 1.355 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCC(=O)Nc1c(C)cccc1C ZINC000730097027 699500630 /nfs/dbraw/zinc/50/06/30/699500630.db2.gz WYBBSUMEDOXUPW-HNNXBMFYSA-N 1 2 313.401 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCC(=O)Nc1c(C)cccc1C ZINC000730097027 699500631 /nfs/dbraw/zinc/50/06/31/699500631.db2.gz WYBBSUMEDOXUPW-HNNXBMFYSA-N 1 2 313.401 1.456 20 30 DDEDLO CC(=NNc1cc[nH+]c2ccccc12)c1cc(C(N)=O)n(C)c1 ZINC000730147716 699502686 /nfs/dbraw/zinc/50/26/86/699502686.db2.gz IXACBWILSUCQAR-UHFFFAOYSA-N 1 2 307.357 1.930 20 30 DDEDLO N#CCOc1ccccc1C(=O)N1CC(Oc2cc[nH+]cc2)C1 ZINC000730832790 699517028 /nfs/dbraw/zinc/51/70/28/699517028.db2.gz UGOMCIPQPSPGOK-UHFFFAOYSA-N 1 2 309.325 1.887 20 30 DDEDLO NC(=NOC[C@@H]1CCCO1)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000794518706 699813635 /nfs/dbraw/zinc/81/36/35/699813635.db2.gz BPVFUOKBEQOMLY-INIZCTEOSA-N 1 2 319.405 1.335 20 30 DDEDLO C#CC(C)(C)[N@H+](C)CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000794579256 699817913 /nfs/dbraw/zinc/81/79/13/699817913.db2.gz XHNBRRUHEWHNGU-UHFFFAOYSA-N 1 2 316.361 1.827 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000794579256 699817914 /nfs/dbraw/zinc/81/79/14/699817914.db2.gz XHNBRRUHEWHNGU-UHFFFAOYSA-N 1 2 316.361 1.827 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCCC#N ZINC000743863489 699929690 /nfs/dbraw/zinc/92/96/90/699929690.db2.gz QSPPBXICHYMUBO-GFCCVEGCSA-N 1 2 310.398 1.005 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCCC#N ZINC000743863489 699929691 /nfs/dbraw/zinc/92/96/91/699929691.db2.gz QSPPBXICHYMUBO-GFCCVEGCSA-N 1 2 310.398 1.005 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)COC(=O)CCc1ccc(N)[nH+]c1 ZINC000751459564 700291767 /nfs/dbraw/zinc/29/17/67/700291767.db2.gz SUQWQILFSPCBTO-LBPRGKRZSA-N 1 2 318.377 1.148 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(c2cncc3ccccc32)CC1 ZINC000801948709 700358827 /nfs/dbraw/zinc/35/88/27/700358827.db2.gz FYAOCGMHEWVHPK-UHFFFAOYSA-N 1 2 308.385 1.106 20 30 DDEDLO C[C@@H]1CC(=NN2C(=S)N=NC2[C@@H]2CCCO2)C[N@@H+]1C1CC1 ZINC000753954153 700471495 /nfs/dbraw/zinc/47/14/95/700471495.db2.gz BOWZLEXGXKVPSI-SKDRFNHKSA-N 1 2 307.423 1.879 20 30 DDEDLO C[C@@H]1CC(=NN2C(=S)N=NC2[C@@H]2CCCO2)C[N@H+]1C1CC1 ZINC000753954153 700471498 /nfs/dbraw/zinc/47/14/98/700471498.db2.gz BOWZLEXGXKVPSI-SKDRFNHKSA-N 1 2 307.423 1.879 20 30 DDEDLO CCCC(=O)NC[C@@H]1CCC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758213736 700689936 /nfs/dbraw/zinc/68/99/36/700689936.db2.gz DRZVFOJRZOEFLB-AWEZNQCLSA-N 1 2 316.405 1.306 20 30 DDEDLO CCCC(=O)NC[C@@H]1CCC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758213736 700689938 /nfs/dbraw/zinc/68/99/38/700689938.db2.gz DRZVFOJRZOEFLB-AWEZNQCLSA-N 1 2 316.405 1.306 20 30 DDEDLO COC[C@H](C)NC(=S)N[NH+]=C(C)c1ccccc1N(C)C ZINC000769569646 701248942 /nfs/dbraw/zinc/24/89/42/701248942.db2.gz TWTLDXHCMKFJEO-NSHDSACASA-N 1 2 308.451 1.976 20 30 DDEDLO S=C(NC[C@H]1CCCO1)NN=Cc1cccc2[nH+]ccn21 ZINC000771089453 701309477 /nfs/dbraw/zinc/30/94/77/701309477.db2.gz RHBGKQIDENJJAY-GFCCVEGCSA-N 1 2 303.391 1.311 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000806665203 701445194 /nfs/dbraw/zinc/44/51/94/701445194.db2.gz KBZDMSDYXPICME-MRXNPFEDSA-N 1 2 315.417 1.552 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000806665203 701445195 /nfs/dbraw/zinc/44/51/95/701445195.db2.gz KBZDMSDYXPICME-MRXNPFEDSA-N 1 2 315.417 1.552 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+](C)CCCN(C)C(=O)OC(C)(C)C ZINC000839657754 701769015 /nfs/dbraw/zinc/76/90/15/701769015.db2.gz NPYZWJOXLCMLLZ-LBPRGKRZSA-N 1 2 300.399 1.903 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+](C)CCCN(C)C(=O)OC(C)(C)C ZINC000839657754 701769020 /nfs/dbraw/zinc/76/90/20/701769020.db2.gz NPYZWJOXLCMLLZ-LBPRGKRZSA-N 1 2 300.399 1.903 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc([C@@H](C)C(=O)OC)c1 ZINC000839821215 701839190 /nfs/dbraw/zinc/83/91/90/701839190.db2.gz MWTGDAOWSHIPSM-CJNGLKHVSA-N 1 2 314.385 1.999 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc([C@@H](C)C(=O)OC)c1 ZINC000839821215 701839193 /nfs/dbraw/zinc/83/91/93/701839193.db2.gz MWTGDAOWSHIPSM-CJNGLKHVSA-N 1 2 314.385 1.999 20 30 DDEDLO C=CCN1CCN(C[N@@H+](CCCOC)Cc2ccncc2)C1=O ZINC000839989590 701894637 /nfs/dbraw/zinc/89/46/37/701894637.db2.gz BAIVXGWLMGXECC-UHFFFAOYSA-N 1 2 318.421 1.801 20 30 DDEDLO C=CCN1CCN(C[N@H+](CCCOC)Cc2ccncc2)C1=O ZINC000839989590 701894644 /nfs/dbraw/zinc/89/46/44/701894644.db2.gz BAIVXGWLMGXECC-UHFFFAOYSA-N 1 2 318.421 1.801 20 30 DDEDLO CC1(C#N)CC[NH+](CN2C(=O)[C@]3(CCCO3)[C@H]2C2CC2)CC1 ZINC000840042672 701932789 /nfs/dbraw/zinc/93/27/89/701932789.db2.gz PDXIOVYHTUYCCH-PBHICJAKSA-N 1 2 303.406 1.740 20 30 DDEDLO C#CCCNC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000868253657 702024444 /nfs/dbraw/zinc/02/44/44/702024444.db2.gz XLFAUHCJPNRGGX-UHFFFAOYSA-N 1 2 304.419 1.457 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)NCc1ccc(C#N)c(Cl)c1 ZINC000811916176 702083702 /nfs/dbraw/zinc/08/37/02/702083702.db2.gz OXQFOHGTPWLLKJ-UHFFFAOYSA-N 1 2 303.753 1.945 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc2ccc(=O)oc2c1 ZINC000840751881 702227239 /nfs/dbraw/zinc/22/72/39/702227239.db2.gz FVEIIGUCOFSEPZ-ZDUSSCGKSA-N 1 2 302.330 1.378 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc2ccc(=O)oc2c1 ZINC000840751881 702227243 /nfs/dbraw/zinc/22/72/43/702227243.db2.gz FVEIIGUCOFSEPZ-ZDUSSCGKSA-N 1 2 302.330 1.378 20 30 DDEDLO CCOCCOC[C@@H](O)C[NH2+][C@H](C)c1cccc(C#N)c1O ZINC000866348103 706678632 /nfs/dbraw/zinc/67/86/32/706678632.db2.gz ZJPNRINXNIRRSU-OCCSQVGLSA-N 1 2 308.378 1.328 20 30 DDEDLO C[C@H]([NH2+]C[C@]12COC(=O)N1CCOC2)c1cccc(C#N)c1O ZINC000866522860 706720882 /nfs/dbraw/zinc/72/08/82/706720882.db2.gz HLFHPBQRVNUURH-ZBEGNZNMSA-N 1 2 317.345 1.136 20 30 DDEDLO CC(C)[C@H](C(=O)OCC(=O)Cc1ccc(C#N)cc1)[NH+](C)C ZINC000845156433 703118802 /nfs/dbraw/zinc/11/88/02/703118802.db2.gz IYLUHFWGJVADQA-MRXNPFEDSA-N 1 2 302.374 1.799 20 30 DDEDLO N#C[C@@H](C(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)N1CCCC1 ZINC000845438788 703156918 /nfs/dbraw/zinc/15/69/18/703156918.db2.gz AGYKMPFHLGIIEG-ZDUSSCGKSA-N 1 2 300.362 1.093 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)N2Cc3ccc(C#N)cc3C2)CCO1 ZINC000847305386 703399447 /nfs/dbraw/zinc/39/94/47/703399447.db2.gz JPPFCQKMBCGPFM-ZDUSSCGKSA-N 1 2 314.389 1.304 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)N2Cc3ccc(C#N)cc3C2)CCO1 ZINC000847305386 703399448 /nfs/dbraw/zinc/39/94/48/703399448.db2.gz JPPFCQKMBCGPFM-ZDUSSCGKSA-N 1 2 314.389 1.304 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCC(C#N)(C(=O)OC)CC1 ZINC000831691560 706754492 /nfs/dbraw/zinc/75/44/92/706754492.db2.gz QWPVKGLRIVYAAO-AWEZNQCLSA-N 1 2 321.421 1.578 20 30 DDEDLO C[C@@H]1CCC(=NNCCCn2cc[nH+]c2)[C@H](n2cncn2)C1 ZINC000848417146 703548213 /nfs/dbraw/zinc/54/82/13/703548213.db2.gz MNDPWBVMTNZSJR-UKRRQHHQSA-N 1 2 301.398 1.872 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(CCc2ccc(C#N)cc2)CC1 ZINC000851846704 703869413 /nfs/dbraw/zinc/86/94/13/703869413.db2.gz FURUGQPEIIGPGC-OAQYLSRUSA-N 1 2 305.447 1.097 20 30 DDEDLO C=C(Br)CNC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000852013392 703904116 /nfs/dbraw/zinc/90/41/16/703904116.db2.gz FIYVYNSBIPGVLH-NSHDSACASA-N 1 2 318.215 1.011 20 30 DDEDLO Cc1nsc(N2CC[NH+](C[C@H]3CCC(=O)O3)CC2)c1C#N ZINC000852060378 703914367 /nfs/dbraw/zinc/91/43/67/703914367.db2.gz DNUQESSHAZOQCG-LLVKDONJSA-N 1 2 306.391 1.151 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCCN(CC[NH+]2CCOCC2)C1 ZINC000852328959 704013618 /nfs/dbraw/zinc/01/36/18/704013618.db2.gz QPCBMOFJEHHJGF-QGZVFWFLSA-N 1 2 300.406 1.271 20 30 DDEDLO C=C(Br)C[NH2+]CCS(=O)(=O)c1ccccc1 ZINC000818979406 704042010 /nfs/dbraw/zinc/04/20/10/704042010.db2.gz LLZXXXFGDMMBAU-UHFFFAOYSA-N 1 2 304.209 1.959 20 30 DDEDLO COC(=O)N(C)CC[N@H+](C)[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000852571889 704078890 /nfs/dbraw/zinc/07/88/90/704078890.db2.gz HURUNXKSVKURLC-LBPRGKRZSA-N 1 2 318.377 1.515 20 30 DDEDLO COC(=O)N(C)CC[N@@H+](C)[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000852571889 704078893 /nfs/dbraw/zinc/07/88/93/704078893.db2.gz HURUNXKSVKURLC-LBPRGKRZSA-N 1 2 318.377 1.515 20 30 DDEDLO CSC[C@H](O)C[NH2+][C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000819230266 704091117 /nfs/dbraw/zinc/09/11/17/704091117.db2.gz UDXJTDNFCQDIQH-IJLUTSLNSA-N 1 2 315.439 1.059 20 30 DDEDLO CN(c1ccccc1)[C@H]1CC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC000853583347 704275278 /nfs/dbraw/zinc/27/52/78/704275278.db2.gz APSBWFHKRPTVOQ-KRWDZBQOSA-N 1 2 308.385 1.888 20 30 DDEDLO CN(c1ccccc1)[C@H]1CC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC000853583347 704275279 /nfs/dbraw/zinc/27/52/79/704275279.db2.gz APSBWFHKRPTVOQ-KRWDZBQOSA-N 1 2 308.385 1.888 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CC=C(C)C)C(=O)OCC ZINC000871474225 704299922 /nfs/dbraw/zinc/29/99/22/704299922.db2.gz VWBWXKDSAIVIMW-CABCVRRESA-N 1 2 306.406 1.488 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CC=C(C)C)C(=O)OCC ZINC000871474225 704299925 /nfs/dbraw/zinc/29/99/25/704299925.db2.gz VWBWXKDSAIVIMW-CABCVRRESA-N 1 2 306.406 1.488 20 30 DDEDLO C=CCOCC[NH+]1CC(C)(c2nnc(C3CCOCC3)o2)C1 ZINC000854077122 704353936 /nfs/dbraw/zinc/35/39/36/704353936.db2.gz KGACQOQKWDKLRC-UHFFFAOYSA-N 1 2 307.394 1.740 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)c1 ZINC000854121500 704358376 /nfs/dbraw/zinc/35/83/76/704358376.db2.gz GFNBTHHGPFHUIF-LSDHHAIUSA-N 1 2 315.417 1.576 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)c1 ZINC000854121500 704358379 /nfs/dbraw/zinc/35/83/79/704358379.db2.gz GFNBTHHGPFHUIF-LSDHHAIUSA-N 1 2 315.417 1.576 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC000855302864 704478158 /nfs/dbraw/zinc/47/81/58/704478158.db2.gz OPFZKECXHVIBDT-QGZVFWFLSA-N 1 2 309.373 1.821 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N[C@H]2CCn3c[nH+]cc32)cc1 ZINC000857980228 704654463 /nfs/dbraw/zinc/65/44/63/704654463.db2.gz VLRQJZWWXCHLNR-CABCVRRESA-N 1 2 305.341 1.698 20 30 DDEDLO CC(C)(C)[C@H](Cn1cc[nH+]c1)NC(=O)Cc1ccc(C#N)nc1 ZINC000858727225 704748942 /nfs/dbraw/zinc/74/89/42/704748942.db2.gz GLAINKZTGGDRJC-HNNXBMFYSA-N 1 2 311.389 1.923 20 30 DDEDLO C=CCONC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000875649559 705462806 /nfs/dbraw/zinc/46/28/06/705462806.db2.gz RUKSTUIQWJBWMU-UHFFFAOYSA-N 1 2 313.398 1.209 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)NCC1(C#N)CCCC1 ZINC000875692922 705479050 /nfs/dbraw/zinc/47/90/50/705479050.db2.gz STCJUXDCYDZBOV-CQSZACIVSA-N 1 2 308.426 1.480 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)NCC1(C#N)CCCC1 ZINC000875692922 705479052 /nfs/dbraw/zinc/47/90/52/705479052.db2.gz STCJUXDCYDZBOV-CQSZACIVSA-N 1 2 308.426 1.480 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000875918989 705559207 /nfs/dbraw/zinc/55/92/07/705559207.db2.gz ZAIMGTHRNMTLEJ-CQSZACIVSA-N 1 2 304.394 1.727 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000875918989 705559210 /nfs/dbraw/zinc/55/92/10/705559210.db2.gz ZAIMGTHRNMTLEJ-CQSZACIVSA-N 1 2 304.394 1.727 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1nc(C(F)(F)F)no1 ZINC000862029813 705638116 /nfs/dbraw/zinc/63/81/16/705638116.db2.gz MBNNSQGQPGGFIY-IUCAKERBSA-N 1 2 316.283 1.363 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1nc(C(F)(F)F)no1 ZINC000862029813 705638119 /nfs/dbraw/zinc/63/81/19/705638119.db2.gz MBNNSQGQPGGFIY-IUCAKERBSA-N 1 2 316.283 1.363 20 30 DDEDLO C#CCOc1ccccc1C[NH+]1CCN(c2nccnn2)CC1 ZINC000862437593 705717909 /nfs/dbraw/zinc/71/79/09/705717909.db2.gz SUUNVKMTVMYVSD-UHFFFAOYSA-N 1 2 309.373 1.206 20 30 DDEDLO C[C@@H](NC(=O)c1cc(C#N)ccc1F)[C@@H](C)[NH+]1CCOCC1 ZINC000826571386 705817978 /nfs/dbraw/zinc/81/79/78/705817978.db2.gz HCNUFLJZSHXLHX-VXGBXAGGSA-N 1 2 305.353 1.536 20 30 DDEDLO CC[C@H](C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000826588752 705820625 /nfs/dbraw/zinc/82/06/25/705820625.db2.gz BFUKPRZIWXFZBE-SJORKVTESA-N 1 2 315.417 1.862 20 30 DDEDLO CC[C@H](C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000826588752 705820626 /nfs/dbraw/zinc/82/06/26/705820626.db2.gz BFUKPRZIWXFZBE-SJORKVTESA-N 1 2 315.417 1.862 20 30 DDEDLO C=C(Cl)C[C@H]([NH3+])C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000876877935 705903304 /nfs/dbraw/zinc/90/33/04/705903304.db2.gz LNNDCISGNCDIEG-RYUDHWBXSA-N 1 2 323.828 1.858 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)c1cc(C(=O)OC)[nH]c1C)[C@H]1CCCO1 ZINC000878454547 706392890 /nfs/dbraw/zinc/39/28/90/706392890.db2.gz YCEFPDVFJAPYAN-SWLSCSKDSA-N 1 2 304.346 1.063 20 30 DDEDLO C#CC[C@@H]1CCC[N@@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC000829793544 706397203 /nfs/dbraw/zinc/39/72/03/706397203.db2.gz IGCCRCHCLTYVJV-CQSZACIVSA-N 1 2 314.385 1.687 20 30 DDEDLO C#CC[C@@H]1CCC[N@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC000829793544 706397205 /nfs/dbraw/zinc/39/72/05/706397205.db2.gz IGCCRCHCLTYVJV-CQSZACIVSA-N 1 2 314.385 1.687 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(F)c(C#N)c1 ZINC000878593586 706438141 /nfs/dbraw/zinc/43/81/41/706438141.db2.gz RVNDFGKKKNTRJB-CQSZACIVSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(F)c(C#N)c1 ZINC000878593586 706438143 /nfs/dbraw/zinc/43/81/43/706438143.db2.gz RVNDFGKKKNTRJB-CQSZACIVSA-N 1 2 320.368 1.930 20 30 DDEDLO C#CC1CC[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000830326624 706496328 /nfs/dbraw/zinc/49/63/28/706496328.db2.gz XNYGGCYDJCCMBO-UHFFFAOYSA-N 1 2 302.399 1.677 20 30 DDEDLO C#CCCCCC[N@@H+]1CCc2sc(S(N)(=O)=O)cc2C1 ZINC000878997158 706556764 /nfs/dbraw/zinc/55/67/64/706556764.db2.gz BNOLDTGLCAOFIG-UHFFFAOYSA-N 1 2 312.460 1.947 20 30 DDEDLO C#CCCCCC[N@H+]1CCc2sc(S(N)(=O)=O)cc2C1 ZINC000878997158 706556761 /nfs/dbraw/zinc/55/67/61/706556761.db2.gz BNOLDTGLCAOFIG-UHFFFAOYSA-N 1 2 312.460 1.947 20 30 DDEDLO COc1cc2c(cc1OC)[C@@H](C)[N@H+](CC(=O)NCCC#N)CC2 ZINC000880091486 706876903 /nfs/dbraw/zinc/87/69/03/706876903.db2.gz XUIJCXLFLLABNS-GFCCVEGCSA-N 1 2 317.389 1.653 20 30 DDEDLO COc1cc2c(cc1OC)[C@@H](C)[N@@H+](CC(=O)NCCC#N)CC2 ZINC000880091486 706876908 /nfs/dbraw/zinc/87/69/08/706876908.db2.gz XUIJCXLFLLABNS-GFCCVEGCSA-N 1 2 317.389 1.653 20 30 DDEDLO C[C@H]([NH2+][C@@H]1C[C@H](O)C12CCOCC2)c1cccc(C#N)c1O ZINC000927155732 712947672 /nfs/dbraw/zinc/94/76/72/712947672.db2.gz XEAROBGJGSKWDP-GLQYFDAESA-N 1 2 302.374 1.844 20 30 DDEDLO Cc1nc(C#N)cc(NC[C@@]2([NH+]3CCOCC3)CCSC2)n1 ZINC000881837185 707386957 /nfs/dbraw/zinc/38/69/57/707386957.db2.gz ODZAESRFPXHIJV-HNNXBMFYSA-N 1 2 319.434 1.277 20 30 DDEDLO C=CCC[C@H](NC(=O)C(C)(C)n1c[nH+]c(C)c1)C(=O)OCC ZINC000909272038 712979490 /nfs/dbraw/zinc/97/94/90/712979490.db2.gz AHUOWAWUIQWIFK-ZDUSSCGKSA-N 1 2 307.394 1.941 20 30 DDEDLO COC[C@@H](C)NC(=S)NN=C1CC[N@H+](Cc2ccccc2)C1 ZINC000839394328 708045995 /nfs/dbraw/zinc/04/59/95/708045995.db2.gz CSJAKIMABKCPMK-CYBMUJFWSA-N 1 2 320.462 1.747 20 30 DDEDLO COC[C@@H](C)NC(=S)NN=C1CC[N@@H+](Cc2ccccc2)C1 ZINC000839394328 708045999 /nfs/dbraw/zinc/04/59/99/708045999.db2.gz CSJAKIMABKCPMK-CYBMUJFWSA-N 1 2 320.462 1.747 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1ccc(Cl)cc1 ZINC000884047535 708116654 /nfs/dbraw/zinc/11/66/54/708116654.db2.gz ZEUWULMXMVFIMD-ZDUSSCGKSA-N 1 2 310.781 1.445 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(C(C)C)cc1 ZINC000884075912 708128165 /nfs/dbraw/zinc/12/81/65/708128165.db2.gz NBVYNWPSYDNOOS-HNNXBMFYSA-N 1 2 304.390 1.873 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](CC)c1ccccc1OC ZINC000884079731 708129782 /nfs/dbraw/zinc/12/97/82/708129782.db2.gz ZDWYIGLJWHQXER-UONOGXRCSA-N 1 2 320.389 1.709 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CC)CCc1ccccc1 ZINC000884094450 708136341 /nfs/dbraw/zinc/13/63/41/708136341.db2.gz LQGFJVYTDJHRQU-HNNXBMFYSA-N 1 2 304.390 1.524 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCc2cc(F)ccc21 ZINC000884100430 708138645 /nfs/dbraw/zinc/13/86/45/708138645.db2.gz UPXMPRVXJXFQRI-UONOGXRCSA-N 1 2 306.337 1.376 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(CC(C)C)nn1C ZINC000884112511 708144637 /nfs/dbraw/zinc/14/46/37/708144637.db2.gz ODNHHLSFVOFAPY-LBPRGKRZSA-N 1 2 308.382 1.004 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCc2c1cccc2OC ZINC000884123268 708149677 /nfs/dbraw/zinc/14/96/77/708149677.db2.gz NMFJAIQWDOSYFU-KBPBESRZSA-N 1 2 318.373 1.245 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)c1ncc(C)s1 ZINC000884323978 708244978 /nfs/dbraw/zinc/24/49/78/708244978.db2.gz CXSQQSHKNIHUKX-KOLCDFICSA-N 1 2 311.407 1.118 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC(C)(C)c2ccc(F)cc21 ZINC000884383575 708272934 /nfs/dbraw/zinc/27/29/34/708272934.db2.gz NQXUVNKZRZBPTJ-ZDUSSCGKSA-N 1 2 320.364 1.897 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC([C@@H]2CCCCO2)CC1 ZINC000884411574 708285925 /nfs/dbraw/zinc/28/59/25/708285925.db2.gz RITXRCGNYVKARD-GJZGRUSLSA-N 1 2 324.421 1.241 20 30 DDEDLO C#C[C@H](NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1)[C@@H]1CCCO1 ZINC000897430923 708325970 /nfs/dbraw/zinc/32/59/70/708325970.db2.gz CORZRESOFMNSDL-CQDKDKBSSA-N 1 2 302.378 1.087 20 30 DDEDLO C#C[C@H](NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1)[C@@H]1CCCO1 ZINC000897430923 708325971 /nfs/dbraw/zinc/32/59/71/708325971.db2.gz CORZRESOFMNSDL-CQDKDKBSSA-N 1 2 302.378 1.087 20 30 DDEDLO C#CC[C@@H]1CCN(S(=O)(=O)c2cccc3c2CC[N@@H+](C)C3)C1 ZINC000885470743 708552965 /nfs/dbraw/zinc/55/29/65/708552965.db2.gz KGWGYGXWLCQJSC-CQSZACIVSA-N 1 2 318.442 1.708 20 30 DDEDLO C#CC[C@@H]1CCN(S(=O)(=O)c2cccc3c2CC[N@H+](C)C3)C1 ZINC000885470743 708552967 /nfs/dbraw/zinc/55/29/67/708552967.db2.gz KGWGYGXWLCQJSC-CQSZACIVSA-N 1 2 318.442 1.708 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCC2(C#N)CCCC2)[nH]n1 ZINC000898270031 708593409 /nfs/dbraw/zinc/59/34/09/708593409.db2.gz NPPYMASHKDTRHN-CQSZACIVSA-N 1 2 301.394 1.990 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)NCC2(C#N)CCCC2)[nH]n1 ZINC000898270031 708593413 /nfs/dbraw/zinc/59/34/13/708593413.db2.gz NPPYMASHKDTRHN-CQSZACIVSA-N 1 2 301.394 1.990 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H](c2ncccn2)C1 ZINC000886674533 708811145 /nfs/dbraw/zinc/81/11/45/708811145.db2.gz MZUNSAFTGXPPIQ-WMLDXEAASA-N 1 2 315.421 1.710 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H](c2ncccn2)C1 ZINC000886674533 708811148 /nfs/dbraw/zinc/81/11/48/708811148.db2.gz MZUNSAFTGXPPIQ-WMLDXEAASA-N 1 2 315.421 1.710 20 30 DDEDLO CC[C@@H](C(=O)N1CCO[C@@](C)(C#N)C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000887687147 709102733 /nfs/dbraw/zinc/10/27/33/709102733.db2.gz WENUELATLDJJBQ-YCPHGPKFSA-N 1 2 309.410 1.017 20 30 DDEDLO CC[C@@H](C(=O)N1CCO[C@@](C)(C#N)C1)[N@H+]1CCO[C@H](CC)C1 ZINC000887687147 709102734 /nfs/dbraw/zinc/10/27/34/709102734.db2.gz WENUELATLDJJBQ-YCPHGPKFSA-N 1 2 309.410 1.017 20 30 DDEDLO C=CC[C@H](CO)NC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000890250655 709800996 /nfs/dbraw/zinc/80/09/96/709800996.db2.gz JQXKMMGSXQBRQS-HZPDHXFCSA-N 1 2 314.389 1.861 20 30 DDEDLO CCn1cc(C[NH+]2CCN(c3ncccc3C#N)CC2)c(C)n1 ZINC000891540894 710231336 /nfs/dbraw/zinc/23/13/36/710231336.db2.gz UVTABYAXHOOWOG-UHFFFAOYSA-N 1 2 310.405 1.800 20 30 DDEDLO COCCOCC[NH+]1CCN(C(=O)c2ccsc2C#N)CC1 ZINC000911922621 711070578 /nfs/dbraw/zinc/07/05/78/711070578.db2.gz ZKEGUEBSBQADSN-UHFFFAOYSA-N 1 2 323.418 1.041 20 30 DDEDLO CO[C@@]1(C[NH2+][C@H](C)c2cccc(C#N)c2O)CCS(=O)(=O)C1 ZINC000903362617 711104195 /nfs/dbraw/zinc/10/41/95/711104195.db2.gz IBJJCYPDETUNSX-IAQYHMDHSA-N 1 2 324.402 1.118 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)/C=C/c3ccccc3C#N)CC[NH2+]2)cn1 ZINC000913472779 713230915 /nfs/dbraw/zinc/23/09/15/713230915.db2.gz GZFNINVKVHRAIN-LXXRFIIISA-N 1 2 321.384 1.478 20 30 DDEDLO C#CC[C@H]([NH2+][C@H]1CC[C@@H](CNC(=O)OC(C)(C)C)C1)C(=O)OC ZINC000894858523 711299164 /nfs/dbraw/zinc/29/91/64/711299164.db2.gz LBVGHXDMGAHVRJ-RDBSUJKOSA-N 1 2 324.421 1.834 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)COc1ccc(C#N)cc1 ZINC000928636884 713244507 /nfs/dbraw/zinc/24/45/07/713244507.db2.gz XKIYKRDKPPETES-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO COc1cc(C[NH2+][C@H]2CCCN(O)C2=O)c(Cl)cc1O ZINC000895157009 711435776 /nfs/dbraw/zinc/43/57/76/711435776.db2.gz KREHESXQRYLNAQ-JTQLQIEISA-N 1 2 300.742 1.524 20 30 DDEDLO COc1ccc(C[NH2+][C@@H]2CCCN(O)C2=O)c(Cl)c1OC ZINC000895167532 711440279 /nfs/dbraw/zinc/44/02/79/711440279.db2.gz XGGGJOJFLWLAJP-SNVBAGLBSA-N 1 2 314.769 1.827 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cc1[N+](=O)[O-])[C@@H]1CN(C)CC[N@@H+]1C ZINC000895247267 711464418 /nfs/dbraw/zinc/46/44/18/711464418.db2.gz HABMEDRPVVVLSU-ABAIWWIYSA-N 1 2 303.366 1.513 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cc1[N+](=O)[O-])[C@@H]1CN(C)CC[N@H+]1C ZINC000895247267 711464419 /nfs/dbraw/zinc/46/44/19/711464419.db2.gz HABMEDRPVVVLSU-ABAIWWIYSA-N 1 2 303.366 1.513 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCCNc2ncccc2C#N)c[nH+]1 ZINC000895873168 711631906 /nfs/dbraw/zinc/63/19/06/711631906.db2.gz JEMSSOOPXCOAFY-UHFFFAOYSA-N 1 2 312.377 1.422 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2oc(C(=O)OC)cc2C)CC1 ZINC000895886072 711637695 /nfs/dbraw/zinc/63/76/95/711637695.db2.gz SFJDTKVPEJFTTC-UHFFFAOYSA-N 1 2 304.346 1.156 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)Cc1cn(Cc2ncon2)nn1 ZINC000906286161 712283126 /nfs/dbraw/zinc/28/31/26/712283126.db2.gz ADUMHYCVKVTRIT-UHFFFAOYSA-N 1 2 314.349 1.533 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)Cc1cn(Cc2ncon2)nn1 ZINC000906286161 712283128 /nfs/dbraw/zinc/28/31/28/712283128.db2.gz ADUMHYCVKVTRIT-UHFFFAOYSA-N 1 2 314.349 1.533 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000907231840 712518838 /nfs/dbraw/zinc/51/88/38/712518838.db2.gz INPANAOTSHJAHL-CYBMUJFWSA-N 1 2 308.407 1.058 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000907231840 712518840 /nfs/dbraw/zinc/51/88/40/712518840.db2.gz INPANAOTSHJAHL-CYBMUJFWSA-N 1 2 308.407 1.058 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cn2)C[C@@H]1C(C)(C)C ZINC000907356847 712551675 /nfs/dbraw/zinc/55/16/75/712551675.db2.gz XBDOORMILJKCIM-CYBMUJFWSA-N 1 2 322.434 1.304 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cn2)C[C@@H]1C(C)(C)C ZINC000907356847 712551676 /nfs/dbraw/zinc/55/16/76/712551676.db2.gz XBDOORMILJKCIM-CYBMUJFWSA-N 1 2 322.434 1.304 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCCN(C(=O)CCn3cc[nH+]c3)C2)nc1 ZINC000928723784 713471826 /nfs/dbraw/zinc/47/18/26/713471826.db2.gz ZPTMEKGFFMSRBG-HNNXBMFYSA-N 1 2 324.388 1.643 20 30 DDEDLO N#CCCCS(=O)(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccc1 ZINC000919330049 713597433 /nfs/dbraw/zinc/59/74/33/713597433.db2.gz DKHJZBIUMRMOIS-HOTGVXAUSA-N 1 2 319.430 1.579 20 30 DDEDLO N#CCCCS(=O)(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1 ZINC000919330049 713597435 /nfs/dbraw/zinc/59/74/35/713597435.db2.gz DKHJZBIUMRMOIS-HOTGVXAUSA-N 1 2 319.430 1.579 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](C[C@@H]3C[C@H]4COC[C@@H]4O3)CCO2)c1 ZINC000930133957 713763958 /nfs/dbraw/zinc/76/39/58/713763958.db2.gz CPGKGLYNSNVWGN-XSLAGTTESA-N 1 2 314.385 1.735 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](C[C@@H]3C[C@H]4COC[C@@H]4O3)CCO2)c1 ZINC000930133957 713763962 /nfs/dbraw/zinc/76/39/62/713763962.db2.gz CPGKGLYNSNVWGN-XSLAGTTESA-N 1 2 314.385 1.735 20 30 DDEDLO CC(C)C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1cccnc1C#N ZINC000922025923 713940829 /nfs/dbraw/zinc/94/08/29/713940829.db2.gz LJRIWNSPPNKGQB-CYBMUJFWSA-N 1 2 322.434 1.304 20 30 DDEDLO CC(C)C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cccnc1C#N ZINC000922025923 713940831 /nfs/dbraw/zinc/94/08/31/713940831.db2.gz LJRIWNSPPNKGQB-CYBMUJFWSA-N 1 2 322.434 1.304 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@@H]2CS(N)(=O)=O)c1F ZINC000931230773 714043131 /nfs/dbraw/zinc/04/31/31/714043131.db2.gz MYOLYTGLXBCMJW-CYBMUJFWSA-N 1 2 311.382 1.340 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@@H]2CS(N)(=O)=O)c1F ZINC000931230773 714043132 /nfs/dbraw/zinc/04/31/32/714043132.db2.gz MYOLYTGLXBCMJW-CYBMUJFWSA-N 1 2 311.382 1.340 20 30 DDEDLO COC1(OC)CC[N@H+](CC#Cc2ccccc2Cl)C[C@H]1O ZINC000931632058 714143607 /nfs/dbraw/zinc/14/36/07/714143607.db2.gz NBSYKUAIMJPRLV-OAHLLOKOSA-N 1 2 309.793 1.747 20 30 DDEDLO COC1(OC)CC[N@@H+](CC#Cc2ccccc2Cl)C[C@H]1O ZINC000931632058 714143609 /nfs/dbraw/zinc/14/36/09/714143609.db2.gz NBSYKUAIMJPRLV-OAHLLOKOSA-N 1 2 309.793 1.747 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC([C@@]3(C)COC(=O)N3)CC2)nn1 ZINC000932099026 714258002 /nfs/dbraw/zinc/25/80/02/714258002.db2.gz IYOLJPZYMBHHLD-MRXNPFEDSA-N 1 2 319.409 1.565 20 30 DDEDLO C=CCOCCCNC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000923277654 714294833 /nfs/dbraw/zinc/29/48/33/714294833.db2.gz IUHDSONJLITXKS-UHFFFAOYSA-N 1 2 312.439 1.597 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000923595450 714422826 /nfs/dbraw/zinc/42/28/26/714422826.db2.gz SLUBWUAVPHEOCX-CYBMUJFWSA-N 1 2 310.353 1.620 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000923595450 714422828 /nfs/dbraw/zinc/42/28/28/714422828.db2.gz SLUBWUAVPHEOCX-CYBMUJFWSA-N 1 2 310.353 1.620 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000923595451 714422864 /nfs/dbraw/zinc/42/28/64/714422864.db2.gz SLUBWUAVPHEOCX-ZDUSSCGKSA-N 1 2 310.353 1.620 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000923595451 714422867 /nfs/dbraw/zinc/42/28/67/714422867.db2.gz SLUBWUAVPHEOCX-ZDUSSCGKSA-N 1 2 310.353 1.620 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCOC[C@H]2CC2CC2)c1 ZINC000933213803 714538408 /nfs/dbraw/zinc/53/84/08/714538408.db2.gz QYLJNQJDADNHFE-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCOC[C@H]2CC2CC2)c1 ZINC000933213803 714538409 /nfs/dbraw/zinc/53/84/09/714538409.db2.gz QYLJNQJDADNHFE-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO Cn1nccc1[C@H]1C[N@H+](C[C@H](O)CC2(C#N)CCC2)CCO1 ZINC000933543890 714613048 /nfs/dbraw/zinc/61/30/48/714613048.db2.gz LSHVQBUGBGRIJX-UKRRQHHQSA-N 1 2 304.394 1.238 20 30 DDEDLO Cn1nccc1[C@H]1C[N@@H+](C[C@H](O)CC2(C#N)CCC2)CCO1 ZINC000933543890 714613052 /nfs/dbraw/zinc/61/30/52/714613052.db2.gz LSHVQBUGBGRIJX-UKRRQHHQSA-N 1 2 304.394 1.238 20 30 DDEDLO CCCCOCCNC(=O)C[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000933653764 714639656 /nfs/dbraw/zinc/63/96/56/714639656.db2.gz XGXVACKWQQTSRQ-UHFFFAOYSA-N 1 2 324.421 1.257 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](c2nccc(C(F)(F)F)n2)C1 ZINC000933716288 714653870 /nfs/dbraw/zinc/65/38/70/714653870.db2.gz CJKKHVVNVGKYIH-SNVBAGLBSA-N 1 2 312.295 1.034 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](c2nccc(C(F)(F)F)n2)C1 ZINC000933716288 714653871 /nfs/dbraw/zinc/65/38/71/714653871.db2.gz CJKKHVVNVGKYIH-SNVBAGLBSA-N 1 2 312.295 1.034 20 30 DDEDLO C=CCC[C@H]1NC(=O)N(Cc2nc(C[NH+](C)C)cs2)C1=O ZINC000925180506 714768787 /nfs/dbraw/zinc/76/87/87/714768787.db2.gz CMEBVULUFJXCQP-LLVKDONJSA-N 1 2 308.407 1.591 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(C)C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC000954642713 715453905 /nfs/dbraw/zinc/45/39/05/715453905.db2.gz GIDUXWDMFPDINT-CHWSQXEVSA-N 1 2 316.405 1.395 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(C)C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC000954642713 715453908 /nfs/dbraw/zinc/45/39/08/715453908.db2.gz GIDUXWDMFPDINT-CHWSQXEVSA-N 1 2 316.405 1.395 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)C2CN(C(=O)[C@H](C)C#N)C2)c(C)[nH+]1 ZINC000954984434 715571141 /nfs/dbraw/zinc/57/11/41/715571141.db2.gz HVFAJLTVZJEPMO-LLVKDONJSA-N 1 2 314.389 1.449 20 30 DDEDLO CC#CCN1CCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000957049521 715722764 /nfs/dbraw/zinc/72/27/64/715722764.db2.gz DRCYTCINUVDTOF-UHFFFAOYSA-N 1 2 308.385 1.653 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)C2C[NH+](C[C@@H](C)OC)C2)nc1 ZINC000957290361 715818598 /nfs/dbraw/zinc/81/85/98/715818598.db2.gz VGJLLXOGPZLYJG-CYBMUJFWSA-N 1 2 301.390 1.244 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000938386746 715822084 /nfs/dbraw/zinc/82/20/84/715822084.db2.gz ZOHNEZOWKHXJSI-GUYCJALGSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCCN2CC(F)(F)F)C1 ZINC000957422099 715895616 /nfs/dbraw/zinc/89/56/16/715895616.db2.gz JESQIQNDCLCOIM-CYBMUJFWSA-N 1 2 319.371 1.732 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000938989887 716072859 /nfs/dbraw/zinc/07/28/59/716072859.db2.gz FLYQZMCWPXTPQS-NSHDSACASA-N 1 2 324.812 1.448 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)sn2)C1 ZINC000958010880 716275295 /nfs/dbraw/zinc/27/52/95/716275295.db2.gz PNHXICNZDARXAN-CMPLNLGQSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)sn2)C1 ZINC000958010880 716275297 /nfs/dbraw/zinc/27/52/97/716275297.db2.gz PNHXICNZDARXAN-CMPLNLGQSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC000959949341 716367140 /nfs/dbraw/zinc/36/71/40/716367140.db2.gz XHGALIWEWDIRGZ-OWYFMNJBSA-N 1 2 317.389 1.202 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC000959949341 716367144 /nfs/dbraw/zinc/36/71/44/716367144.db2.gz XHGALIWEWDIRGZ-OWYFMNJBSA-N 1 2 317.389 1.202 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2n[nH]nc21 ZINC000960074732 716408326 /nfs/dbraw/zinc/40/83/26/716408326.db2.gz RDIISPMMCOKCNU-PJXYFTJBSA-N 1 2 317.780 1.370 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2n[nH]nc21 ZINC000960074732 716408333 /nfs/dbraw/zinc/40/83/33/716408333.db2.gz RDIISPMMCOKCNU-PJXYFTJBSA-N 1 2 317.780 1.370 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC1(C)C ZINC000958595380 716650803 /nfs/dbraw/zinc/65/08/03/716650803.db2.gz WOGRXQAWYPRWNV-KXNHARMFSA-N 1 2 313.239 1.988 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC1(C)C ZINC000958595380 716650805 /nfs/dbraw/zinc/65/08/05/716650805.db2.gz WOGRXQAWYPRWNV-KXNHARMFSA-N 1 2 313.239 1.988 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@@H]([C@H]2CCN(CC#N)C2)C1 ZINC000961259758 716886172 /nfs/dbraw/zinc/88/61/72/716886172.db2.gz FHHHEDKGEHLZHN-CABCVRRESA-N 1 2 315.421 1.047 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC000961470099 716960748 /nfs/dbraw/zinc/96/07/48/716960748.db2.gz LMXYZCZIDBCIKR-RGVDIWCNSA-N 1 2 313.376 1.900 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC000961470099 716960750 /nfs/dbraw/zinc/96/07/50/716960750.db2.gz LMXYZCZIDBCIKR-RGVDIWCNSA-N 1 2 313.376 1.900 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCCC[C@@H]3C)CC2)C1 ZINC000941541085 717200583 /nfs/dbraw/zinc/20/05/83/717200583.db2.gz UTDSUGUCHDNEQP-WMZOPIPTSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H](C)c3cccs3)CC2)C1 ZINC000941565283 717212895 /nfs/dbraw/zinc/21/28/95/717212895.db2.gz VDWKNBYQSZITMH-AWEZNQCLSA-N 1 2 317.458 1.313 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC000961811724 717215528 /nfs/dbraw/zinc/21/55/28/717215528.db2.gz BXLMRLSGMJKZEM-NJURLYQPSA-N 1 2 317.389 1.121 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC000961811724 717215532 /nfs/dbraw/zinc/21/55/32/717215532.db2.gz BXLMRLSGMJKZEM-NJURLYQPSA-N 1 2 317.389 1.121 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H](C)c3ccc(C)o3)CC2)C1 ZINC000941596719 717223567 /nfs/dbraw/zinc/22/35/67/717223567.db2.gz ODDINHCFYFMSEO-HNNXBMFYSA-N 1 2 315.417 1.153 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnon3)C[C@@H]2C)cc1 ZINC000942553491 717741030 /nfs/dbraw/zinc/74/10/30/717741030.db2.gz PWFQNCSUXJCSJU-SUMWQHHRSA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cnon3)C[C@@H]2C)cc1 ZINC000942553491 717741034 /nfs/dbraw/zinc/74/10/34/717741034.db2.gz PWFQNCSUXJCSJU-SUMWQHHRSA-N 1 2 324.384 1.691 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965953905 717832666 /nfs/dbraw/zinc/83/26/66/717832666.db2.gz UYLZIEGBNCZZDU-IINYFYTJSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965953905 717832668 /nfs/dbraw/zinc/83/26/68/717832668.db2.gz UYLZIEGBNCZZDU-IINYFYTJSA-N 1 2 313.829 1.044 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000966665976 718621980 /nfs/dbraw/zinc/62/19/80/718621980.db2.gz ZKTYKHKRFQKMPB-SMDDNHRTSA-N 1 2 318.421 1.436 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2ncn(-c3ccccc3)n2)C1 ZINC000967941963 719096127 /nfs/dbraw/zinc/09/61/27/719096127.db2.gz BIFIRQCDJLPMGX-UONOGXRCSA-N 1 2 324.388 1.117 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3ncnn3C)[C@@H](C)C2)C1 ZINC000947702623 719248814 /nfs/dbraw/zinc/24/88/14/719248814.db2.gz QJCBREHWSACIQZ-UONOGXRCSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3ncnn3C)[C@@H](C)C2)C1 ZINC000947702623 719248816 /nfs/dbraw/zinc/24/88/16/719248816.db2.gz QJCBREHWSACIQZ-UONOGXRCSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2nn3c(c2C)OCCC3)CC1 ZINC000948649196 719617973 /nfs/dbraw/zinc/61/79/73/719617973.db2.gz DJJRXFFINWERBP-UHFFFAOYSA-N 1 2 304.394 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC000968508574 719631854 /nfs/dbraw/zinc/63/18/54/719631854.db2.gz BIVHFPGWSDAPEG-ZWNOBZJWSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC000968508574 719631858 /nfs/dbraw/zinc/63/18/58/719631858.db2.gz BIVHFPGWSDAPEG-ZWNOBZJWSA-N 1 2 324.812 1.678 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc3c(c2)occc3=O)C1 ZINC000968554346 719662521 /nfs/dbraw/zinc/66/25/21/719662521.db2.gz UQQINTJGMRIVGV-CZUORRHYSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc3c(c2)occc3=O)C1 ZINC000968554346 719662523 /nfs/dbraw/zinc/66/25/23/719662523.db2.gz UQQINTJGMRIVGV-CZUORRHYSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1C[N@@H+](CCF)CC[C@H]1C ZINC000968561351 719665927 /nfs/dbraw/zinc/66/59/27/719665927.db2.gz KIYKYJLMMDDZCY-UKRRQHHQSA-N 1 2 319.380 1.503 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1C[N@H+](CCF)CC[C@H]1C ZINC000968561351 719665928 /nfs/dbraw/zinc/66/59/28/719665928.db2.gz KIYKYJLMMDDZCY-UKRRQHHQSA-N 1 2 319.380 1.503 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@@H](C)c2c(C)n[nH]c2C)CC1 ZINC000948939656 719794332 /nfs/dbraw/zinc/79/43/32/719794332.db2.gz RLZHXLDIMYIJCN-CYBMUJFWSA-N 1 2 302.422 1.688 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1[nH]c(C)nc1C ZINC000948958166 719802742 /nfs/dbraw/zinc/80/27/42/719802742.db2.gz LBCMYCRMHDNTQP-KRWDZBQOSA-N 1 2 322.412 1.989 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1[nH]c(C)nc1C ZINC000948958166 719802750 /nfs/dbraw/zinc/80/27/50/719802750.db2.gz LBCMYCRMHDNTQP-KRWDZBQOSA-N 1 2 322.412 1.989 20 30 DDEDLO C#CC[N@H+]1CCCCC[C@H]1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000968856669 719826532 /nfs/dbraw/zinc/82/65/32/719826532.db2.gz MFUJKVCGNKWHTK-GJZGRUSLSA-N 1 2 314.433 1.824 20 30 DDEDLO C#CC[N@@H+]1CCCCC[C@H]1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000968856669 719826536 /nfs/dbraw/zinc/82/65/36/719826536.db2.gz MFUJKVCGNKWHTK-GJZGRUSLSA-N 1 2 314.433 1.824 20 30 DDEDLO C#CC[N@H+]1CCCCC[C@@H]1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000968856668 719826724 /nfs/dbraw/zinc/82/67/24/719826724.db2.gz MFUJKVCGNKWHTK-CABCVRRESA-N 1 2 314.433 1.824 20 30 DDEDLO C#CC[N@@H+]1CCCCC[C@@H]1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000968856668 719826731 /nfs/dbraw/zinc/82/67/31/719826731.db2.gz MFUJKVCGNKWHTK-CABCVRRESA-N 1 2 314.433 1.824 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(C)nc3)CC2)C1 ZINC000949300793 720002131 /nfs/dbraw/zinc/00/21/31/720002131.db2.gz HXHLXPXRTPTMNF-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(C)nc3)CC2)C1 ZINC000949300793 720002135 /nfs/dbraw/zinc/00/21/35/720002135.db2.gz HXHLXPXRTPTMNF-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CCC3CCC3)CC2)C1 ZINC000949335877 720024186 /nfs/dbraw/zinc/02/41/86/720024186.db2.gz GYJQCFGNAPRDOF-UHFFFAOYSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CCC3CCC3)CC2)C1 ZINC000949335877 720024187 /nfs/dbraw/zinc/02/41/87/720024187.db2.gz GYJQCFGNAPRDOF-UHFFFAOYSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@]34C[C@H]3CCCC4)CC2)C1 ZINC000949468767 720108773 /nfs/dbraw/zinc/10/87/73/720108773.db2.gz LHBXFNHNNPSROW-VQIMIIECSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@]34C[C@H]3CCCC4)CC2)C1 ZINC000949468767 720108775 /nfs/dbraw/zinc/10/87/75/720108775.db2.gz LHBXFNHNNPSROW-VQIMIIECSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@]3(C)C(C)C)CC2)C1 ZINC000949501002 720126925 /nfs/dbraw/zinc/12/69/25/720126925.db2.gz QLYROLHDEZZPCG-SJLPKXTDSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@]3(C)C(C)C)CC2)C1 ZINC000949501002 720126928 /nfs/dbraw/zinc/12/69/28/720126928.db2.gz QLYROLHDEZZPCG-SJLPKXTDSA-N 1 2 318.461 1.995 20 30 DDEDLO C=CC[NH+]1CC([C@@H](C)NC(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC000970165423 720648944 /nfs/dbraw/zinc/64/89/44/720648944.db2.gz DBFICRFFFUNBON-MRVPVSSYSA-N 1 2 316.327 1.973 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)C1C[NH+](Cc2ccns2)C1 ZINC000970447149 720765737 /nfs/dbraw/zinc/76/57/37/720765737.db2.gz NPRJNGGCPZXUSM-DFBGVHRSSA-N 1 2 321.446 1.671 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnn(C)c2OC)C1 ZINC000970806017 720942487 /nfs/dbraw/zinc/94/24/87/720942487.db2.gz HSXQQCYGTNKVQQ-SNVBAGLBSA-N 1 2 312.801 1.231 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCCN(C(C)(C)C)C2=O)C1 ZINC000951218269 720961307 /nfs/dbraw/zinc/96/13/07/720961307.db2.gz RBJWWMHHJLMLSZ-OAHLLOKOSA-N 1 2 321.465 1.742 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccn3cc(C)nc3c2)C1 ZINC000951749756 721165414 /nfs/dbraw/zinc/16/54/14/721165414.db2.gz GBWQYXBQUWQXPX-UHFFFAOYSA-N 1 2 310.401 1.812 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)sc1C ZINC000971212420 721180914 /nfs/dbraw/zinc/18/09/14/721180914.db2.gz YQFYUYFOIXHWRM-GWCFXTLKSA-N 1 2 306.435 1.952 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)sc1C ZINC000971212420 721180917 /nfs/dbraw/zinc/18/09/17/721180917.db2.gz YQFYUYFOIXHWRM-GWCFXTLKSA-N 1 2 306.435 1.952 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)[C@@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971364295 721259129 /nfs/dbraw/zinc/25/91/29/721259129.db2.gz SRPDYIJBNSBKOZ-TZMCWYRMSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)[C@@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971364295 721259132 /nfs/dbraw/zinc/25/91/32/721259132.db2.gz SRPDYIJBNSBKOZ-TZMCWYRMSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)[C@@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971377327 721265233 /nfs/dbraw/zinc/26/52/33/721265233.db2.gz XNRVLVQANGNXRI-IUODEOHRSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)[C@@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971377327 721265235 /nfs/dbraw/zinc/26/52/35/721265235.db2.gz XNRVLVQANGNXRI-IUODEOHRSA-N 1 2 324.388 1.584 20 30 DDEDLO Cc1cn2cc(NC(=O)C(=O)N3CCC[C@H](C#N)C3)ccc2[nH+]1 ZINC001121054052 782323563 /nfs/dbraw/zinc/32/35/63/782323563.db2.gz VMOZABOUHRYSLY-GFCCVEGCSA-N 1 2 311.345 1.343 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H](NC(=O)c3cccs3)C2)C1=O ZINC001010010530 738698715 /nfs/dbraw/zinc/69/87/15/738698715.db2.gz JDEDFTBBJWVYFP-QWHCGFSZSA-N 1 2 319.430 1.339 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H](NC(=O)c3cccs3)C2)C1=O ZINC001010010530 738698717 /nfs/dbraw/zinc/69/87/17/738698717.db2.gz JDEDFTBBJWVYFP-QWHCGFSZSA-N 1 2 319.430 1.339 20 30 DDEDLO C=CCC[N@@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001021679544 733436438 /nfs/dbraw/zinc/43/64/38/733436438.db2.gz OWRRLMHJWULQGD-GOEBONIOSA-N 1 2 302.422 1.841 20 30 DDEDLO C=CCC[N@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001021679544 733436440 /nfs/dbraw/zinc/43/64/40/733436440.db2.gz OWRRLMHJWULQGD-GOEBONIOSA-N 1 2 302.422 1.841 20 30 DDEDLO Cn1ccc(C(=O)NC[C@H]2CC[N@@H+]2CC#Cc2ccccc2)c1 ZINC001038149953 738778759 /nfs/dbraw/zinc/77/87/59/738778759.db2.gz MCXSKKOYCRKKQM-GOSISDBHSA-N 1 2 307.397 1.881 20 30 DDEDLO Cn1ccc(C(=O)NC[C@H]2CC[N@H+]2CC#Cc2ccccc2)c1 ZINC001038149953 738778761 /nfs/dbraw/zinc/77/87/61/738778761.db2.gz MCXSKKOYCRKKQM-GOSISDBHSA-N 1 2 307.397 1.881 20 30 DDEDLO C=C(C)C(=O)NCCCNc1cc(C2(C)OCCO2)cc[nH+]1 ZINC001167666357 735008597 /nfs/dbraw/zinc/00/85/97/735008597.db2.gz IGCZFOCOYYFWHV-UHFFFAOYSA-N 1 2 305.378 1.795 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1csc([C@H]2CCCO2)n1 ZINC001038253377 735017390 /nfs/dbraw/zinc/01/73/90/735017390.db2.gz NQMKCUBMFSAVIP-DGCLKSJQSA-N 1 2 305.403 1.432 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1csc([C@H]2CCCO2)n1 ZINC001038253377 735017391 /nfs/dbraw/zinc/01/73/91/735017391.db2.gz NQMKCUBMFSAVIP-DGCLKSJQSA-N 1 2 305.403 1.432 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1csc([C@@H]2CCCO2)n1 ZINC001038253373 735017443 /nfs/dbraw/zinc/01/74/43/735017443.db2.gz NQMKCUBMFSAVIP-AAEUAGOBSA-N 1 2 305.403 1.432 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1csc([C@@H]2CCCO2)n1 ZINC001038253373 735017446 /nfs/dbraw/zinc/01/74/46/735017446.db2.gz NQMKCUBMFSAVIP-AAEUAGOBSA-N 1 2 305.403 1.432 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](F)c3ccccc3)C2)C1 ZINC000972576180 735266243 /nfs/dbraw/zinc/26/62/43/735266243.db2.gz BDPPYZGYKPXOAL-AEFFLSMTSA-N 1 2 316.376 1.634 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](F)c3ccccc3)C2)C1 ZINC000972576180 735266246 /nfs/dbraw/zinc/26/62/46/735266246.db2.gz BDPPYZGYKPXOAL-AEFFLSMTSA-N 1 2 316.376 1.634 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001024498734 735877366 /nfs/dbraw/zinc/87/73/66/735877366.db2.gz ZYODJHIMVQWKDL-CHWSQXEVSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001024498734 735877374 /nfs/dbraw/zinc/87/73/74/735877374.db2.gz ZYODJHIMVQWKDL-CHWSQXEVSA-N 1 2 313.829 1.378 20 30 DDEDLO N#CCN1CCCC[C@H]1CNC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001024575172 735953352 /nfs/dbraw/zinc/95/33/52/735953352.db2.gz IVQLDICYDGFVAK-KRWDZBQOSA-N 1 2 323.400 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001024775989 736084810 /nfs/dbraw/zinc/08/48/10/736084810.db2.gz NBDPTCPPXIEBET-LBPRGKRZSA-N 1 2 321.812 1.999 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001024775989 736084814 /nfs/dbraw/zinc/08/48/14/736084814.db2.gz NBDPTCPPXIEBET-LBPRGKRZSA-N 1 2 321.812 1.999 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3nc(C)c(C)s3)[C@H]2C1 ZINC001083279436 736346223 /nfs/dbraw/zinc/34/62/23/736346223.db2.gz ZSPCBSHVNVMZQL-QWHCGFSZSA-N 1 2 307.419 1.471 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3nc(C)c(C)s3)[C@H]2C1 ZINC001083279436 736346224 /nfs/dbraw/zinc/34/62/24/736346224.db2.gz ZSPCBSHVNVMZQL-QWHCGFSZSA-N 1 2 307.419 1.471 20 30 DDEDLO Cc1nsc(NC[C@H](C)N(C)C(=O)Cn2cc[nH+]c2)c1C#N ZINC001104605191 736445674 /nfs/dbraw/zinc/44/56/74/736445674.db2.gz YPEDRNMYULFWKC-JTQLQIEISA-N 1 2 318.406 1.479 20 30 DDEDLO C[C@@H](CNc1ncc(C#N)cc1F)N(C)C(=O)Cn1cc[nH+]c1 ZINC001104604545 736445821 /nfs/dbraw/zinc/44/58/21/736445821.db2.gz QIUYRTLPPYUBKV-NSHDSACASA-N 1 2 316.340 1.248 20 30 DDEDLO C=CCCOCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnon1)C2 ZINC001112016435 736503583 /nfs/dbraw/zinc/50/35/83/736503583.db2.gz QWYAZNZQQAHEQP-BBRMVZONSA-N 1 2 320.393 1.133 20 30 DDEDLO C=CCCOCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnon1)C2 ZINC001112016435 736503586 /nfs/dbraw/zinc/50/35/86/736503586.db2.gz QWYAZNZQQAHEQP-BBRMVZONSA-N 1 2 320.393 1.133 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]([C@H](C)NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001025616605 736788808 /nfs/dbraw/zinc/78/88/08/736788808.db2.gz BIBLZOGEGMBTHT-GXTWGEPZSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]([C@H](C)NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001025616605 736788812 /nfs/dbraw/zinc/78/88/12/736788812.db2.gz BIBLZOGEGMBTHT-GXTWGEPZSA-N 1 2 311.389 1.421 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1c(C)noc1C ZINC001032578893 751383500 /nfs/dbraw/zinc/38/35/00/751383500.db2.gz QONZJJCGNUUUSM-GJZGRUSLSA-N 1 2 315.417 1.877 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1c(C)noc1C ZINC001032578893 751383506 /nfs/dbraw/zinc/38/35/06/751383506.db2.gz QONZJJCGNUUUSM-GJZGRUSLSA-N 1 2 315.417 1.877 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H]2CCCS2(=O)=O)C1 ZINC001008061465 737374234 /nfs/dbraw/zinc/37/42/34/737374234.db2.gz GNOLPPCLTOOTPW-KBPBESRZSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@H](NC(=O)C[C@@H]2CCCS2(=O)=O)C1 ZINC001008061465 737374239 /nfs/dbraw/zinc/37/42/39/737374239.db2.gz GNOLPPCLTOOTPW-KBPBESRZSA-N 1 2 314.451 1.110 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)CC3CC(C)(C)C3)C2)nn1 ZINC001105312285 737811442 /nfs/dbraw/zinc/81/14/42/737811442.db2.gz IVNOTRCIRTUSQR-UHFFFAOYSA-N 1 2 315.421 1.211 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)C3CCCC3)[C@@H](O)C2)s1 ZINC001083302838 737842735 /nfs/dbraw/zinc/84/27/35/737842735.db2.gz XWOJTZCILZAYFZ-CABCVRRESA-N 1 2 319.430 1.471 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)C3CCCC3)[C@@H](O)C2)s1 ZINC001083302838 737842736 /nfs/dbraw/zinc/84/27/36/737842736.db2.gz XWOJTZCILZAYFZ-CABCVRRESA-N 1 2 319.430 1.471 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccn(CC(C)C)n2)C1 ZINC001107977994 751399577 /nfs/dbraw/zinc/39/95/77/751399577.db2.gz YXEBBXRYZVFGSF-KRWDZBQOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccn(CC(C)C)n2)C1 ZINC001107977994 751399582 /nfs/dbraw/zinc/39/95/82/751399582.db2.gz YXEBBXRYZVFGSF-KRWDZBQOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1nccn2ccnc12 ZINC001028144851 739088660 /nfs/dbraw/zinc/08/86/60/739088660.db2.gz LWDSXHZFGJQAIE-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1nccn2ccnc12 ZINC001028144851 739088662 /nfs/dbraw/zinc/08/86/62/739088662.db2.gz LWDSXHZFGJQAIE-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001028145818 739090415 /nfs/dbraw/zinc/09/04/15/739090415.db2.gz QYHNLOLREHRPNT-MGPQQGTHSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001028145818 739090418 /nfs/dbraw/zinc/09/04/18/739090418.db2.gz QYHNLOLREHRPNT-MGPQQGTHSA-N 1 2 322.840 1.857 20 30 DDEDLO Cn1ncc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2ccccc2C#N)n1 ZINC001028198500 739150108 /nfs/dbraw/zinc/15/01/08/739150108.db2.gz JMZZWGAKNCXBGA-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1ncc(C(=O)NC[C@H]2CCC[N@H+]2Cc2ccccc2C#N)n1 ZINC001028198500 739150113 /nfs/dbraw/zinc/15/01/13/739150113.db2.gz JMZZWGAKNCXBGA-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001207634766 739158740 /nfs/dbraw/zinc/15/87/40/739158740.db2.gz FBPUKJFBDSBNMI-GFCCVEGCSA-N 1 2 307.398 1.182 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001028291430 739305312 /nfs/dbraw/zinc/30/53/12/739305312.db2.gz UKCIKCIQPRZWEZ-KGLIPLIRSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001028291430 739305314 /nfs/dbraw/zinc/30/53/14/739305314.db2.gz UKCIKCIQPRZWEZ-KGLIPLIRSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2nc(C(C)C)oc2C)C1 ZINC001035369718 751433553 /nfs/dbraw/zinc/43/35/53/751433553.db2.gz JEOYKKAQTBTCAG-AWEZNQCLSA-N 1 2 319.405 1.560 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2nc(C(C)C)oc2C)C1 ZINC001035369718 751433558 /nfs/dbraw/zinc/43/35/58/751433558.db2.gz JEOYKKAQTBTCAG-AWEZNQCLSA-N 1 2 319.405 1.560 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc2n[nH]nc2c1 ZINC001028311652 739338134 /nfs/dbraw/zinc/33/81/34/739338134.db2.gz UDMLGGDJYABHAA-GFCCVEGCSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc2n[nH]nc2c1 ZINC001028311652 739338135 /nfs/dbraw/zinc/33/81/35/739338135.db2.gz UDMLGGDJYABHAA-GFCCVEGCSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(F)c(F)c2)C1 ZINC001035398338 751467680 /nfs/dbraw/zinc/46/76/80/751467680.db2.gz MDIQMTHCYFYUDN-CYBMUJFWSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(F)c(F)c2)C1 ZINC001035398338 751467683 /nfs/dbraw/zinc/46/76/83/751467683.db2.gz MDIQMTHCYFYUDN-CYBMUJFWSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(Cl)CN1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001035403105 751472176 /nfs/dbraw/zinc/47/21/76/751472176.db2.gz VFHJGVIBKCMWJQ-JHJVBQTASA-N 1 2 324.812 1.083 20 30 DDEDLO C=C(Cl)CN1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001035403105 751472178 /nfs/dbraw/zinc/47/21/78/751472178.db2.gz VFHJGVIBKCMWJQ-JHJVBQTASA-N 1 2 324.812 1.083 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(F)cccc2OC)C1 ZINC001035378365 751474410 /nfs/dbraw/zinc/47/44/10/751474410.db2.gz USWNXDOIEJFJEN-CYBMUJFWSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(F)cccc2OC)C1 ZINC001035378365 751474414 /nfs/dbraw/zinc/47/44/14/751474414.db2.gz USWNXDOIEJFJEN-CYBMUJFWSA-N 1 2 322.380 1.841 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@H](C)C3CC3)C2)nn1 ZINC001105379270 740101453 /nfs/dbraw/zinc/10/14/53/740101453.db2.gz TVWKMEFMECQJFI-ZDUSSCGKSA-N 1 2 315.421 1.211 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)C1=CCCC1 ZINC001083403462 740303713 /nfs/dbraw/zinc/30/37/13/740303713.db2.gz BCEHUDZLSCGASO-MSOLQXFVSA-N 1 2 310.397 1.310 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)C1=CCCC1 ZINC001083403462 740303714 /nfs/dbraw/zinc/30/37/14/740303714.db2.gz BCEHUDZLSCGASO-MSOLQXFVSA-N 1 2 310.397 1.310 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CCn1cc(Cl)cn1 ZINC001029244630 740486480 /nfs/dbraw/zinc/48/64/80/740486480.db2.gz JXQWOAQBXHUQAW-FPMFFAJLSA-N 1 2 321.812 1.371 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CCn1cc(Cl)cn1 ZINC001029244630 740486483 /nfs/dbraw/zinc/48/64/83/740486483.db2.gz JXQWOAQBXHUQAW-FPMFFAJLSA-N 1 2 321.812 1.371 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N1CCC1 ZINC001029419543 740748037 /nfs/dbraw/zinc/74/80/37/740748037.db2.gz FRFCTWFRTYZENN-GASCZTMLSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N1CCC1 ZINC001029419543 740748038 /nfs/dbraw/zinc/74/80/38/740748038.db2.gz FRFCTWFRTYZENN-GASCZTMLSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098706178 740768921 /nfs/dbraw/zinc/76/89/21/740768921.db2.gz UKVKQGYSFRILEH-UKRRQHHQSA-N 1 2 323.416 1.713 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001211880449 740773159 /nfs/dbraw/zinc/77/31/59/740773159.db2.gz VGMLUYVELFORQV-CGTJXYLNSA-N 1 2 314.429 1.900 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001211880449 740773161 /nfs/dbraw/zinc/77/31/61/740773161.db2.gz VGMLUYVELFORQV-CGTJXYLNSA-N 1 2 314.429 1.900 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cnn(C)c2)C1 ZINC001029466237 740871458 /nfs/dbraw/zinc/87/14/58/740871458.db2.gz HQLRBTUBRYIIER-IYBDPMFKSA-N 1 2 314.433 1.952 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cnn(C)c2)C1 ZINC001029466237 740871463 /nfs/dbraw/zinc/87/14/63/740871463.db2.gz HQLRBTUBRYIIER-IYBDPMFKSA-N 1 2 314.433 1.952 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCCO[C@H]2C(=C)C)C1 ZINC001035539933 751586920 /nfs/dbraw/zinc/58/69/20/751586920.db2.gz RAXQAWNKIZWZAR-IKGGRYGDSA-N 1 2 322.449 1.751 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCCO[C@H]2C(=C)C)C1 ZINC001035539933 751586924 /nfs/dbraw/zinc/58/69/24/751586924.db2.gz RAXQAWNKIZWZAR-IKGGRYGDSA-N 1 2 322.449 1.751 20 30 DDEDLO CC[C@@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC[C@H]1NCC#N ZINC001037957501 751592165 /nfs/dbraw/zinc/59/21/65/751592165.db2.gz BDEFGLUBXQVWIZ-CHWSQXEVSA-N 1 2 303.410 1.428 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)C[C@@H](C)O3)C1 ZINC001035545356 751592844 /nfs/dbraw/zinc/59/28/44/751592844.db2.gz JFRGCYIULRJZLB-CJNGLKHVSA-N 1 2 316.401 1.627 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)C[C@@H](C)O3)C1 ZINC001035545356 751592845 /nfs/dbraw/zinc/59/28/45/751592845.db2.gz JFRGCYIULRJZLB-CJNGLKHVSA-N 1 2 316.401 1.627 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)n[nH]2)C1 ZINC001035522916 751600771 /nfs/dbraw/zinc/60/07/71/751600771.db2.gz DIUQRRPNVSXOGR-CYBMUJFWSA-N 1 2 304.394 1.294 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)n[nH]2)C1 ZINC001035522916 751600774 /nfs/dbraw/zinc/60/07/74/751600774.db2.gz DIUQRRPNVSXOGR-CYBMUJFWSA-N 1 2 304.394 1.294 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2csc3cncn32)C1 ZINC001035526684 751606113 /nfs/dbraw/zinc/60/61/13/751606113.db2.gz MBSYUTYQNSPWTL-GFCCVEGCSA-N 1 2 320.418 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2csc3cncn32)C1 ZINC001035526684 751606118 /nfs/dbraw/zinc/60/61/18/751606118.db2.gz MBSYUTYQNSPWTL-GFCCVEGCSA-N 1 2 320.418 1.403 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(F)ccc2Cl)C1 ZINC001035534379 751616522 /nfs/dbraw/zinc/61/65/22/751616522.db2.gz TZYKYONXUKARSH-CYBMUJFWSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(F)ccc2Cl)C1 ZINC001035534379 751616526 /nfs/dbraw/zinc/61/65/26/751616526.db2.gz TZYKYONXUKARSH-CYBMUJFWSA-N 1 2 324.783 1.933 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn(C)nc2C(C)(C)C)C1 ZINC001035549209 751632206 /nfs/dbraw/zinc/63/22/06/751632206.db2.gz CNFMCUMQLAFSNB-ZDUSSCGKSA-N 1 2 320.437 1.334 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn(C)nc2C(C)(C)C)C1 ZINC001035549209 751632208 /nfs/dbraw/zinc/63/22/08/751632208.db2.gz CNFMCUMQLAFSNB-ZDUSSCGKSA-N 1 2 320.437 1.334 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001035561172 751643673 /nfs/dbraw/zinc/64/36/73/751643673.db2.gz YUJGYZAMNNHCQP-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001035561172 751643675 /nfs/dbraw/zinc/64/36/75/751643675.db2.gz YUJGYZAMNNHCQP-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3ccncc32)C1 ZINC001035564868 751647638 /nfs/dbraw/zinc/64/76/38/751647638.db2.gz ZIGITSRDSSWKOL-HNNXBMFYSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3ccncc32)C1 ZINC001035564868 751647640 /nfs/dbraw/zinc/64/76/40/751647640.db2.gz ZIGITSRDSSWKOL-HNNXBMFYSA-N 1 2 311.385 1.851 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CCN(C)c2cc[nH+]c(C)n2)nc1 ZINC001105438096 741677954 /nfs/dbraw/zinc/67/79/54/741677954.db2.gz FPKVZLZIWNVSCK-UHFFFAOYSA-N 1 2 309.373 1.370 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3cccc(F)c3O2)C1 ZINC001035606348 751684522 /nfs/dbraw/zinc/68/45/22/751684522.db2.gz BOKWWRRYQUJALM-ZFWWWQNUSA-N 1 2 320.364 1.132 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3cccc(F)c3O2)C1 ZINC001035606348 751684525 /nfs/dbraw/zinc/68/45/25/751684525.db2.gz BOKWWRRYQUJALM-ZFWWWQNUSA-N 1 2 320.364 1.132 20 30 DDEDLO Cc1nc(N2CCC[C@@H](CNC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001059916416 741971492 /nfs/dbraw/zinc/97/14/92/741971492.db2.gz BJRWBWUGAXTEFZ-ZDUSSCGKSA-N 1 2 324.388 1.631 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3[nH]c(=O)oc3c2)C1 ZINC001035615926 751721854 /nfs/dbraw/zinc/72/18/54/751721854.db2.gz CHMNQOHVSVBQRO-LBPRGKRZSA-N 1 2 317.345 1.150 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3[nH]c(=O)oc3c2)C1 ZINC001035615926 751721855 /nfs/dbraw/zinc/72/18/55/751721855.db2.gz CHMNQOHVSVBQRO-LBPRGKRZSA-N 1 2 317.345 1.150 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ccc(CC(C)C)s2)[C@@H](O)C1 ZINC001083530698 742480391 /nfs/dbraw/zinc/48/03/91/742480391.db2.gz BVRDOXKYMSYGPT-KGLIPLIRSA-N 1 2 308.447 1.908 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ccc(CC(C)C)s2)[C@@H](O)C1 ZINC001083530698 742480392 /nfs/dbraw/zinc/48/03/92/742480392.db2.gz BVRDOXKYMSYGPT-KGLIPLIRSA-N 1 2 308.447 1.908 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001060094062 742496853 /nfs/dbraw/zinc/49/68/53/742496853.db2.gz VOOHTWAPXGYCNC-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)c3ccco3)C2)c1 ZINC001076687801 742908447 /nfs/dbraw/zinc/90/84/47/742908447.db2.gz LUYRPAGYOGJMNL-HUUCEWRRSA-N 1 2 311.341 1.126 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)c3ccco3)C2)c1 ZINC001076687801 742908451 /nfs/dbraw/zinc/90/84/51/742908451.db2.gz LUYRPAGYOGJMNL-HUUCEWRRSA-N 1 2 311.341 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001016304356 743121727 /nfs/dbraw/zinc/12/17/27/743121727.db2.gz GTQVVFWGNTVROL-AAEUAGOBSA-N 1 2 308.813 1.458 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001016304356 743121729 /nfs/dbraw/zinc/12/17/29/743121729.db2.gz GTQVVFWGNTVROL-AAEUAGOBSA-N 1 2 308.813 1.458 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCC[C@H](NC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001061096393 743195807 /nfs/dbraw/zinc/19/58/07/743195807.db2.gz TUMRPEQZLJDBQM-KBPBESRZSA-N 1 2 324.388 1.689 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NCC[NH2+][C@@H](C)c1nc(C)no1 ZINC001128199045 743254334 /nfs/dbraw/zinc/25/43/34/743254334.db2.gz APPLOSKYEZJZRO-QMMMGPOBSA-N 1 2 300.790 1.924 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1O ZINC001077139137 743266117 /nfs/dbraw/zinc/26/61/17/743266117.db2.gz YYCKDJCPSWZUES-UDGJJRABSA-N 1 2 309.797 1.202 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1O ZINC001077139137 743266122 /nfs/dbraw/zinc/26/61/22/743266122.db2.gz YYCKDJCPSWZUES-UDGJJRABSA-N 1 2 309.797 1.202 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001128252501 743375502 /nfs/dbraw/zinc/37/55/02/743375502.db2.gz LEFPCNQHKFVOBO-LBPRGKRZSA-N 1 2 324.425 1.975 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nn(C)cc2Cl)C1 ZINC001182214754 743531144 /nfs/dbraw/zinc/53/11/44/743531144.db2.gz HCXGXUCMXHPGNC-GFCCVEGCSA-N 1 2 308.813 1.568 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001061180974 743542247 /nfs/dbraw/zinc/54/22/47/743542247.db2.gz XYLTXWHQBTXDAV-HNNXBMFYSA-N 1 2 324.388 1.726 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC[C@H](C)OC)CC2)C1 ZINC001105703734 743596050 /nfs/dbraw/zinc/59/60/50/743596050.db2.gz HITZAMYVWCXCNX-AWEZNQCLSA-N 1 2 310.438 1.679 20 30 DDEDLO C#CCOCCC(=O)NCC[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001127027577 743613444 /nfs/dbraw/zinc/61/34/44/743613444.db2.gz VAJSHQQTNAOJFV-GFCCVEGCSA-N 1 2 322.409 1.174 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001182450395 743631935 /nfs/dbraw/zinc/63/19/35/743631935.db2.gz TWFILZMCNAMNJY-CYBMUJFWSA-N 1 2 318.421 1.933 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)NS(=O)(=O)N1CC[NH+](C)CC1 ZINC001212140812 743654496 /nfs/dbraw/zinc/65/44/96/743654496.db2.gz TYJJXTRHYXVQTR-MRXNPFEDSA-N 1 2 323.462 1.256 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C1=COCCC1 ZINC001038098389 743746348 /nfs/dbraw/zinc/74/63/48/743746348.db2.gz GTCQHWOHBGSHAK-GOSISDBHSA-N 1 2 310.397 1.923 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)C1=COCCC1 ZINC001038098389 743746351 /nfs/dbraw/zinc/74/63/51/743746351.db2.gz GTCQHWOHBGSHAK-GOSISDBHSA-N 1 2 310.397 1.923 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105719167 743758199 /nfs/dbraw/zinc/75/81/99/743758199.db2.gz AAXPZTPDGODVCJ-GJZGRUSLSA-N 1 2 324.465 1.783 20 30 DDEDLO Cc1cc(NC[C@@H](O)CNC(=O)C#CC(C)C)nc(C(C)C)[nH+]1 ZINC001105811395 743926631 /nfs/dbraw/zinc/92/66/31/743926631.db2.gz AILSXWUNCLUWGS-CQSZACIVSA-N 1 2 318.421 1.457 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001185019371 744138773 /nfs/dbraw/zinc/13/87/73/744138773.db2.gz GTBZNVNMDHFHJV-CQSZACIVSA-N 1 2 318.421 1.906 20 30 DDEDLO N#Cc1c(F)cccc1NC1(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001110356530 744156391 /nfs/dbraw/zinc/15/63/91/744156391.db2.gz AIFOHWKZLDYEBE-UHFFFAOYSA-N 1 2 313.336 1.724 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001212187531 744278076 /nfs/dbraw/zinc/27/80/76/744278076.db2.gz XNBBBIDDXWCBMR-HUUCEWRRSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001212187531 744278078 /nfs/dbraw/zinc/27/80/78/744278078.db2.gz XNBBBIDDXWCBMR-HUUCEWRRSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)(C)C ZINC001110379160 744376950 /nfs/dbraw/zinc/37/69/50/744376950.db2.gz YHJHRHNKOPGGFH-KFWWJZLASA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)(C)C ZINC001110379160 744376951 /nfs/dbraw/zinc/37/69/51/744376951.db2.gz YHJHRHNKOPGGFH-KFWWJZLASA-N 1 2 321.465 1.836 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccn(C)n3)[C@@H]2C)cn1 ZINC001088990633 744433970 /nfs/dbraw/zinc/43/39/70/744433970.db2.gz HTRKGSGSRIAUPQ-DYVFJYSZSA-N 1 2 323.400 1.189 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccn(C)n3)[C@@H]2C)cn1 ZINC001088990633 744433972 /nfs/dbraw/zinc/43/39/72/744433972.db2.gz HTRKGSGSRIAUPQ-DYVFJYSZSA-N 1 2 323.400 1.189 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)[C@H]1C ZINC001088992093 744436240 /nfs/dbraw/zinc/43/62/40/744436240.db2.gz JGNMJVYRENYYBN-XBFCOCLRSA-N 1 2 313.829 1.329 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)[C@H]1C ZINC001088992093 744436243 /nfs/dbraw/zinc/43/62/43/744436243.db2.gz JGNMJVYRENYYBN-XBFCOCLRSA-N 1 2 313.829 1.329 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001187233396 744521531 /nfs/dbraw/zinc/52/15/31/744521531.db2.gz RHCLEGNJFLWJOA-LSDHHAIUSA-N 1 2 300.406 1.784 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001187233396 744521533 /nfs/dbraw/zinc/52/15/33/744521533.db2.gz RHCLEGNJFLWJOA-LSDHHAIUSA-N 1 2 300.406 1.784 20 30 DDEDLO COc1cccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H](C)C#N)C3)n1 ZINC001110394783 744575271 /nfs/dbraw/zinc/57/52/71/744575271.db2.gz LPDSANBLHUICLX-MYPMTAMASA-N 1 2 314.389 1.471 20 30 DDEDLO COc1cccc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H](C)C#N)C3)n1 ZINC001110394783 744575272 /nfs/dbraw/zinc/57/52/72/744575272.db2.gz LPDSANBLHUICLX-MYPMTAMASA-N 1 2 314.389 1.471 20 30 DDEDLO Cc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H](C)C#N)C3)sn1 ZINC001110401741 744761687 /nfs/dbraw/zinc/76/16/87/744761687.db2.gz MVSOGWRONAYBON-IMJCEVDSSA-N 1 2 304.419 1.833 20 30 DDEDLO Cc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H](C)C#N)C3)sn1 ZINC001110401741 744761690 /nfs/dbraw/zinc/76/16/90/744761690.db2.gz MVSOGWRONAYBON-IMJCEVDSSA-N 1 2 304.419 1.833 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)CC(=O)Nc2ccccc2)C1 ZINC001189388779 744881142 /nfs/dbraw/zinc/88/11/42/744881142.db2.gz GTNOQNYZRUEEHB-DZGCQCFKSA-N 1 2 314.389 1.317 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccccc2)C1 ZINC001189388779 744881143 /nfs/dbraw/zinc/88/11/43/744881143.db2.gz GTNOQNYZRUEEHB-DZGCQCFKSA-N 1 2 314.389 1.317 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([N@H+](C)CC(=O)Nc2ccon2)C1 ZINC001191726724 745584915 /nfs/dbraw/zinc/58/49/15/745584915.db2.gz ANOCCNHMKQAJHU-LBPRGKRZSA-N 1 2 320.393 1.358 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([N@@H+](C)CC(=O)Nc2ccon2)C1 ZINC001191726724 745584920 /nfs/dbraw/zinc/58/49/20/745584920.db2.gz ANOCCNHMKQAJHU-LBPRGKRZSA-N 1 2 320.393 1.358 20 30 DDEDLO C[C@@H](CCNc1cnc(C#N)cn1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106310074 745592413 /nfs/dbraw/zinc/59/24/13/745592413.db2.gz OMRSATCFQXNGFC-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)[C@@H](C)C#N)C2)c(Cl)c1 ZINC001191873473 745636067 /nfs/dbraw/zinc/63/60/67/745636067.db2.gz WZHMDGTXBSTULF-NILFDRSVSA-N 1 2 321.808 1.469 20 30 DDEDLO Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)[C@@H](C)C#N)C2)c(Cl)c1 ZINC001191873473 745636071 /nfs/dbraw/zinc/63/60/71/745636071.db2.gz WZHMDGTXBSTULF-NILFDRSVSA-N 1 2 321.808 1.469 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([N@H+](C)CC(=O)Nc2ccon2)C1 ZINC001192093225 745686446 /nfs/dbraw/zinc/68/64/46/745686446.db2.gz IAPAYWLVHGDKSD-CYBMUJFWSA-N 1 2 320.393 1.502 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccon2)C1 ZINC001192093225 745686448 /nfs/dbraw/zinc/68/64/48/745686448.db2.gz IAPAYWLVHGDKSD-CYBMUJFWSA-N 1 2 320.393 1.502 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001192119365 745694686 /nfs/dbraw/zinc/69/46/86/745694686.db2.gz JAWZNDZUQQVNIT-SKYHMXGSSA-N 1 2 316.376 1.305 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001192119365 745694689 /nfs/dbraw/zinc/69/46/89/745694689.db2.gz JAWZNDZUQQVNIT-SKYHMXGSSA-N 1 2 316.376 1.305 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N(C)CCOCCNc1cc[nH+]c(C)n1 ZINC001127341313 745705217 /nfs/dbraw/zinc/70/52/17/745705217.db2.gz PKXMSDMSFQUSTO-ZDUSSCGKSA-N 1 2 322.409 1.263 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001192328256 745756194 /nfs/dbraw/zinc/75/61/94/745756194.db2.gz DICMSDSHHZRKLK-HUUCEWRRSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001192328256 745756191 /nfs/dbraw/zinc/75/61/91/745756191.db2.gz DICMSDSHHZRKLK-HUUCEWRRSA-N 1 2 321.446 1.306 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)ncn1 ZINC001007149384 752037922 /nfs/dbraw/zinc/03/79/22/752037922.db2.gz FDRCLIUANXZCTF-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)ncn1 ZINC001007149384 752037928 /nfs/dbraw/zinc/03/79/28/752037928.db2.gz FDRCLIUANXZCTF-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC1C[NH+](CC[C@H](C)F)C1 ZINC001031231791 745950985 /nfs/dbraw/zinc/95/09/85/745950985.db2.gz LMJLZKCZHGTIMU-LBPRGKRZSA-N 1 2 307.369 1.809 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[NH+]([C@@H](C)c2ccccc2)CC1 ZINC001193128333 745986917 /nfs/dbraw/zinc/98/69/17/745986917.db2.gz FHKHQQZAYIWJID-KBPBESRZSA-N 1 2 307.419 1.607 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cccc(NC(C)=O)c2C)C1 ZINC001031255499 746071906 /nfs/dbraw/zinc/07/19/06/746071906.db2.gz UEONDKGZCMODRZ-UHFFFAOYSA-N 1 2 301.390 1.944 20 30 DDEDLO CCN(CCNc1snc(C)c1C#N)C(=O)Cn1cc[nH+]c1 ZINC001106790341 746083080 /nfs/dbraw/zinc/08/30/80/746083080.db2.gz XNKQPIYVPKCJSW-UHFFFAOYSA-N 1 2 318.406 1.480 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2c(C)nn(C)c2F)C1 ZINC001046807098 746119473 /nfs/dbraw/zinc/11/94/73/746119473.db2.gz XWZQHCJPBHXEEM-CQSZACIVSA-N 1 2 314.792 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2c(C)nn(C)c2F)C1 ZINC001046807098 746119475 /nfs/dbraw/zinc/11/94/75/746119475.db2.gz XWZQHCJPBHXEEM-CQSZACIVSA-N 1 2 314.792 1.814 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnnn3CC)C2)C1 ZINC001015674220 746236314 /nfs/dbraw/zinc/23/63/14/746236314.db2.gz WXEBLOHJBJDWGJ-ZDUSSCGKSA-N 1 2 303.410 1.345 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnnn3CC)C2)C1 ZINC001015674220 746236318 /nfs/dbraw/zinc/23/63/18/746236318.db2.gz WXEBLOHJBJDWGJ-ZDUSSCGKSA-N 1 2 303.410 1.345 20 30 DDEDLO CC(C)(C)[C@@H](C[NH+]1CCOCC1)NC(=O)c1cncc(C#N)c1 ZINC001194121897 746280977 /nfs/dbraw/zinc/28/09/77/746280977.db2.gz WFFPPUQCLIUROU-OAHLLOKOSA-N 1 2 316.405 1.430 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001194397479 746366819 /nfs/dbraw/zinc/36/68/19/746366819.db2.gz WGVMOWPSPUXTST-DZGCQCFKSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001194397479 746366825 /nfs/dbraw/zinc/36/68/25/746366825.db2.gz WGVMOWPSPUXTST-DZGCQCFKSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001194397395 746367076 /nfs/dbraw/zinc/36/70/76/746367076.db2.gz UXZOTQLSLBLJSO-CABCVRRESA-N 1 2 321.421 1.915 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001194397395 746367079 /nfs/dbraw/zinc/36/70/79/746367079.db2.gz UXZOTQLSLBLJSO-CABCVRRESA-N 1 2 321.421 1.915 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@@H]1C ZINC000994118092 746453222 /nfs/dbraw/zinc/45/32/22/746453222.db2.gz VAAGPEWBSALYMJ-CMPLNLGQSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@@H]1C ZINC000994118092 746453224 /nfs/dbraw/zinc/45/32/24/746453224.db2.gz VAAGPEWBSALYMJ-CMPLNLGQSA-N 1 2 324.812 1.449 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(C)oc2C)CC1 ZINC001194779419 746453309 /nfs/dbraw/zinc/45/33/09/746453309.db2.gz XZTNHTHVQGOJKM-UHFFFAOYSA-N 1 2 304.390 1.694 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(C)oc2C)CC1 ZINC001194779419 746453311 /nfs/dbraw/zinc/45/33/11/746453311.db2.gz XZTNHTHVQGOJKM-UHFFFAOYSA-N 1 2 304.390 1.694 20 30 DDEDLO N#CCSCC(=O)N1CCC[N@H+](Cc2ccns2)CC1 ZINC001194919192 746487057 /nfs/dbraw/zinc/48/70/57/746487057.db2.gz MFQZRNFSFNOVFL-UHFFFAOYSA-N 1 2 310.448 1.434 20 30 DDEDLO N#CCSCC(=O)N1CCC[N@@H+](Cc2ccns2)CC1 ZINC001194919192 746487062 /nfs/dbraw/zinc/48/70/62/746487062.db2.gz MFQZRNFSFNOVFL-UHFFFAOYSA-N 1 2 310.448 1.434 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC1C[NH+](C[C@H]2CC[C@H](C)O2)C1 ZINC001031314407 746533794 /nfs/dbraw/zinc/53/37/94/746533794.db2.gz SOCJAUITYPFGGR-KBXCAEBGSA-N 1 2 312.413 1.578 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cc(OC)no2)CC1 ZINC001195681213 746669883 /nfs/dbraw/zinc/66/98/83/746669883.db2.gz BBBTYXGAZVTCTE-MRXNPFEDSA-N 1 2 323.393 1.045 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+](Cc2cc(OC)no2)CC1 ZINC001195681213 746669885 /nfs/dbraw/zinc/66/98/85/746669885.db2.gz BBBTYXGAZVTCTE-MRXNPFEDSA-N 1 2 323.393 1.045 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195755084 746687180 /nfs/dbraw/zinc/68/71/80/746687180.db2.gz XFAVVPYRAJUZNY-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195755084 746687183 /nfs/dbraw/zinc/68/71/83/746687183.db2.gz XFAVVPYRAJUZNY-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cnc(C)o2)CC1 ZINC001195807489 746712133 /nfs/dbraw/zinc/71/21/33/746712133.db2.gz VHIYASCNQNNQSX-CYBMUJFWSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cnc(C)o2)CC1 ZINC001195807489 746712138 /nfs/dbraw/zinc/71/21/38/746712138.db2.gz VHIYASCNQNNQSX-CYBMUJFWSA-N 1 2 305.378 1.056 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc(COC)s2)[C@H]1C ZINC000994517033 746714509 /nfs/dbraw/zinc/71/45/09/746714509.db2.gz IBKSIFNCTBAYER-CHWSQXEVSA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc(COC)s2)[C@H]1C ZINC000994517033 746714514 /nfs/dbraw/zinc/71/45/14/746714514.db2.gz IBKSIFNCTBAYER-CHWSQXEVSA-N 1 2 321.446 1.896 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001195924503 746738202 /nfs/dbraw/zinc/73/82/02/746738202.db2.gz WFQNLRXFDZLAAU-BFYDXBDKSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001195924503 746738207 /nfs/dbraw/zinc/73/82/07/746738207.db2.gz WFQNLRXFDZLAAU-BFYDXBDKSA-N 1 2 318.421 1.049 20 30 DDEDLO Cn1cccc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031553104 747014743 /nfs/dbraw/zinc/01/47/43/747014743.db2.gz WTAZFMUGLFDIDR-UHFFFAOYSA-N 1 2 308.385 1.759 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](Cc2cncc(OC)n2)CC1 ZINC001196999921 747029901 /nfs/dbraw/zinc/02/99/01/747029901.db2.gz CRNVHLJTZNWJHW-UHFFFAOYSA-N 1 2 318.421 1.876 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](Cc2cncc(OC)n2)CC1 ZINC001196999921 747029909 /nfs/dbraw/zinc/02/99/09/747029909.db2.gz CRNVHLJTZNWJHW-UHFFFAOYSA-N 1 2 318.421 1.876 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cncs3)C2)s1 ZINC001031567599 747059771 /nfs/dbraw/zinc/05/97/71/747059771.db2.gz UUDIIMADFVNBCN-UHFFFAOYSA-N 1 2 318.427 1.938 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001007459420 752151796 /nfs/dbraw/zinc/15/17/96/752151796.db2.gz IGILWRYCKHXCKU-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001007459420 752151797 /nfs/dbraw/zinc/15/17/97/752151797.db2.gz IGILWRYCKHXCKU-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO Cn1cnc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)c1 ZINC001031613478 747191268 /nfs/dbraw/zinc/19/12/68/747191268.db2.gz NHXDIAASPJQUFC-UHFFFAOYSA-N 1 2 308.385 1.133 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ncccc2F)CC1 ZINC001197545237 747195581 /nfs/dbraw/zinc/19/55/81/747195581.db2.gz JCRWMAKYEJQVQE-UHFFFAOYSA-N 1 2 305.353 1.018 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ncccc2F)CC1 ZINC001197545237 747195585 /nfs/dbraw/zinc/19/55/85/747195585.db2.gz JCRWMAKYEJQVQE-UHFFFAOYSA-N 1 2 305.353 1.018 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2C[C@]2(C)CC)CC1 ZINC001197628814 747219353 /nfs/dbraw/zinc/21/93/53/747219353.db2.gz DNWCXNBNPBQNBF-PBHICJAKSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2C[C@]2(C)CC)CC1 ZINC001197628814 747219355 /nfs/dbraw/zinc/21/93/55/747219355.db2.gz DNWCXNBNPBQNBF-PBHICJAKSA-N 1 2 307.438 1.259 20 30 DDEDLO N#Cc1cccc(C[NH+]2CC(CNC(=O)c3ncccc3O)C2)c1 ZINC001031628916 747251782 /nfs/dbraw/zinc/25/17/82/747251782.db2.gz GNZGBOKKCAGLGP-UHFFFAOYSA-N 1 2 322.368 1.521 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CNC(=O)C(C)(C)C)CC1 ZINC001198093312 747366184 /nfs/dbraw/zinc/36/61/84/747366184.db2.gz ZTCHVMBWFLMAID-UHFFFAOYSA-N 1 2 315.845 1.436 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CNC(=O)C(C)(C)C)CC1 ZINC001198093312 747366189 /nfs/dbraw/zinc/36/61/89/747366189.db2.gz ZTCHVMBWFLMAID-UHFFFAOYSA-N 1 2 315.845 1.436 20 30 DDEDLO C=CCCC(=O)NC[C@@H]([NH2+][C@@H](C)C(N)=O)c1ccccc1OC ZINC001198597193 747543268 /nfs/dbraw/zinc/54/32/68/747543268.db2.gz XZXIGDPBXJUHAB-GXTWGEPZSA-N 1 2 319.405 1.282 20 30 DDEDLO Cn1cc[nH+]c1CCNS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000160803496 747544973 /nfs/dbraw/zinc/54/49/73/747544973.db2.gz TVUNKNMPGXXQMF-UHFFFAOYSA-N 1 2 324.793 1.466 20 30 DDEDLO O=C(CC#Cc1ccccc1)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1O ZINC001198933760 747653777 /nfs/dbraw/zinc/65/37/77/747653777.db2.gz IKRIQIKGMTVSRR-ZFFSXUIJSA-N 1 2 318.804 1.342 20 30 DDEDLO O=C(CC#Cc1ccccc1)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1O ZINC001198933760 747653782 /nfs/dbraw/zinc/65/37/82/747653782.db2.gz IKRIQIKGMTVSRR-ZFFSXUIJSA-N 1 2 318.804 1.342 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108062797 747928997 /nfs/dbraw/zinc/92/89/97/747928997.db2.gz FHXSNQFNXQMGRR-VXGBXAGGSA-N 1 2 316.409 1.882 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@H]([NH+]3CCCC3)C(F)(F)C2)[nH]1 ZINC001199679936 747949774 /nfs/dbraw/zinc/94/97/74/747949774.db2.gz BMWCVETVSGURRZ-ZDUSSCGKSA-N 1 2 308.332 1.832 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108063556 747962942 /nfs/dbraw/zinc/96/29/42/747962942.db2.gz SBHOMNJJQAJLJD-DGCLKSJQSA-N 1 2 320.441 1.765 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCc3nncn3CC2)C1 ZINC001031856659 748032740 /nfs/dbraw/zinc/03/27/40/748032740.db2.gz FJMIBZJPMNYQGS-ZDUSSCGKSA-N 1 2 323.828 1.031 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3nccnc3C)C2)cc1 ZINC001031892227 748137010 /nfs/dbraw/zinc/13/70/10/748137010.db2.gz RTUFKQIIXOWGJK-UHFFFAOYSA-N 1 2 320.396 1.628 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001033082039 748217336 /nfs/dbraw/zinc/21/73/36/748217336.db2.gz HOFROQDXENCNJK-ZIAGYGMSSA-N 1 2 302.422 1.938 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001033082039 748217341 /nfs/dbraw/zinc/21/73/41/748217341.db2.gz HOFROQDXENCNJK-ZIAGYGMSSA-N 1 2 302.422 1.938 20 30 DDEDLO CCc1ncoc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031965001 748315764 /nfs/dbraw/zinc/31/57/64/748315764.db2.gz QZGFIOKOJIDYFJ-UHFFFAOYSA-N 1 2 323.396 1.950 20 30 DDEDLO Cc1c(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)cnn1C ZINC001004341266 748333359 /nfs/dbraw/zinc/33/33/59/748333359.db2.gz VUUAFYAEEYXPBR-INIZCTEOSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1c(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)cnn1C ZINC001004341266 748333364 /nfs/dbraw/zinc/33/33/64/748333364.db2.gz VUUAFYAEEYXPBR-INIZCTEOSA-N 1 2 315.421 1.569 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CC1 ZINC001004548620 748539783 /nfs/dbraw/zinc/53/97/83/748539783.db2.gz XTGKQZKGOFRZKA-NCOADZHNSA-N 1 2 317.433 1.780 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CC1 ZINC001004548620 748539789 /nfs/dbraw/zinc/53/97/89/748539789.db2.gz XTGKQZKGOFRZKA-NCOADZHNSA-N 1 2 317.433 1.780 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)Cc2cscn2)CC1 ZINC001004581043 748565681 /nfs/dbraw/zinc/56/56/81/748565681.db2.gz SNMBHFDZMJFFFM-HNNXBMFYSA-N 1 2 318.446 1.912 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)Cc2cscn2)CC1 ZINC001004581043 748565688 /nfs/dbraw/zinc/56/56/88/748565688.db2.gz SNMBHFDZMJFFFM-HNNXBMFYSA-N 1 2 318.446 1.912 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2ncccc2F)C1 ZINC001032072220 748571974 /nfs/dbraw/zinc/57/19/74/748571974.db2.gz HFEZBXAXWHTACO-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO Cc1cccc(-c2noc(C[NH2+]CCNC(=O)[C@H](C)C#N)n2)c1 ZINC001124908897 748639077 /nfs/dbraw/zinc/63/90/77/748639077.db2.gz UZEQSOBNPCKAKB-GFCCVEGCSA-N 1 2 313.361 1.411 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnn3ccncc23)C1 ZINC001033152397 748641938 /nfs/dbraw/zinc/64/19/38/748641938.db2.gz LXJGAKOZPIZKOR-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnn3ccncc23)C1 ZINC001033152397 748641942 /nfs/dbraw/zinc/64/19/42/748641942.db2.gz LXJGAKOZPIZKOR-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+]Cc1ncc(CC)o1)OCC ZINC001128528805 748740206 /nfs/dbraw/zinc/74/02/06/748740206.db2.gz SBXMXMVOYRLFMY-CQSZACIVSA-N 1 2 309.410 1.814 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@@]2(C)CN(CC#N)CCO2)c(C)[nH+]1 ZINC001108088080 748758785 /nfs/dbraw/zinc/75/87/85/748758785.db2.gz RVKDHKDBRVCOLX-KRWDZBQOSA-N 1 2 316.405 1.351 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncc(C)cc2C)C1 ZINC001108090819 748786548 /nfs/dbraw/zinc/78/65/48/748786548.db2.gz XESRRFJWSXTBIR-SFHVURJKSA-N 1 2 315.417 1.542 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncc(C)cc2C)C1 ZINC001108090819 748786550 /nfs/dbraw/zinc/78/65/50/748786550.db2.gz XESRRFJWSXTBIR-SFHVURJKSA-N 1 2 315.417 1.542 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)C1 ZINC001108094160 748821339 /nfs/dbraw/zinc/82/13/39/748821339.db2.gz NPMRUQBYEODINQ-MVJTYMMSSA-N 1 2 304.434 1.653 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)C1 ZINC001108094160 748821343 /nfs/dbraw/zinc/82/13/43/748821343.db2.gz NPMRUQBYEODINQ-MVJTYMMSSA-N 1 2 304.434 1.653 20 30 DDEDLO C#CCN(C(=O)[C@H](F)CC)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110627243 748841575 /nfs/dbraw/zinc/84/15/75/748841575.db2.gz PKPVWSXTJFCQOK-OAHLLOKOSA-N 1 2 318.396 1.964 20 30 DDEDLO CC#CC[NH+]1CC(CNC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC001032208356 748850715 /nfs/dbraw/zinc/85/07/15/748850715.db2.gz VIEACDBNRLRPMK-UHFFFAOYSA-N 1 2 309.373 1.157 20 30 DDEDLO C[C@H]([NH2+]Cc1cnsn1)[C@H]1CCCCN1C(=O)C#CC1CC1 ZINC001004845238 748851019 /nfs/dbraw/zinc/85/10/19/748851019.db2.gz BOZINPBSSPPFBM-SWLSCSKDSA-N 1 2 318.446 1.811 20 30 DDEDLO Cc1cc(N2CC[C@](C)(NC(=O)[C@@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001110735648 748953711 /nfs/dbraw/zinc/95/37/11/748953711.db2.gz IXDHCBFHMBIOSY-GTNSWQLSSA-N 1 2 313.405 1.907 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(OC)nc2)C1 ZINC001033254545 749064766 /nfs/dbraw/zinc/06/47/66/749064766.db2.gz SNOQYTUNDNZNKW-CYBMUJFWSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(OC)nc2)C1 ZINC001033254545 749064768 /nfs/dbraw/zinc/06/47/68/749064768.db2.gz SNOQYTUNDNZNKW-CYBMUJFWSA-N 1 2 309.797 1.989 20 30 DDEDLO N#CCOc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)C(F)F)cc1 ZINC001032239021 749070168 /nfs/dbraw/zinc/07/01/68/749070168.db2.gz PWSRZFAEAXNYNU-STQMWFEESA-N 1 2 321.327 1.639 20 30 DDEDLO N#CCOc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)C(F)F)cc1 ZINC001032239021 749070175 /nfs/dbraw/zinc/07/01/75/749070175.db2.gz PWSRZFAEAXNYNU-STQMWFEESA-N 1 2 321.327 1.639 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncoc2C(C)C)C1 ZINC001108327654 761921241 /nfs/dbraw/zinc/92/12/41/761921241.db2.gz PGGNMJCBUUDYEE-MRXNPFEDSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncoc2C(C)C)C1 ZINC001108327654 761921244 /nfs/dbraw/zinc/92/12/44/761921244.db2.gz PGGNMJCBUUDYEE-MRXNPFEDSA-N 1 2 307.394 1.805 20 30 DDEDLO Cc1nc(N2C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)c(C)c(C)[nH+]1 ZINC001114439916 749214790 /nfs/dbraw/zinc/21/47/90/749214790.db2.gz OTLRGBQIZIGGIW-HZMBPMFUSA-N 1 2 315.421 1.893 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114454810 749236722 /nfs/dbraw/zinc/23/67/22/749236722.db2.gz MLYOFZMSPZJKMJ-CQSZACIVSA-N 1 2 300.406 1.920 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCc1cnn2c1C[N@H+](CCCF)CC2 ZINC001128602629 749242129 /nfs/dbraw/zinc/24/21/29/749242129.db2.gz QWJVNNGFVLBESO-ZDUSSCGKSA-N 1 2 324.400 1.266 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCc1cnn2c1C[N@@H+](CCCF)CC2 ZINC001128602629 749242133 /nfs/dbraw/zinc/24/21/33/749242133.db2.gz QWJVNNGFVLBESO-ZDUSSCGKSA-N 1 2 324.400 1.266 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001108337732 761939176 /nfs/dbraw/zinc/93/91/76/761939176.db2.gz AQVSZOJQKONSBP-KRWDZBQOSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001108337732 761939184 /nfs/dbraw/zinc/93/91/84/761939184.db2.gz AQVSZOJQKONSBP-KRWDZBQOSA-N 1 2 320.437 1.779 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H](CC)OCC)nn2)C1 ZINC001107140545 749371568 /nfs/dbraw/zinc/37/15/68/749371568.db2.gz JLRPROUWTQFNLG-HNNXBMFYSA-N 1 2 321.425 1.142 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cncc(OC)n2)C1 ZINC001033473624 749418482 /nfs/dbraw/zinc/41/84/82/749418482.db2.gz CPYNXLYFCOMMTG-NSHDSACASA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cncc(OC)n2)C1 ZINC001033473624 749418487 /nfs/dbraw/zinc/41/84/87/749418487.db2.gz CPYNXLYFCOMMTG-NSHDSACASA-N 1 2 310.785 1.384 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)on1 ZINC001039348852 761954864 /nfs/dbraw/zinc/95/48/64/761954864.db2.gz GWKPOCWIOIOESJ-XQQFMLRXSA-N 1 2 318.377 1.408 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)on1 ZINC001039348852 761954877 /nfs/dbraw/zinc/95/48/77/761954877.db2.gz GWKPOCWIOIOESJ-XQQFMLRXSA-N 1 2 318.377 1.408 20 30 DDEDLO C#CCN1CC[C@H](N(C)C(=O)c2cnn(-c3cc[nH+]cc3)c2)C1 ZINC001033535160 749495958 /nfs/dbraw/zinc/49/59/58/749495958.db2.gz CLNJKLYWQWERSY-INIZCTEOSA-N 1 2 309.373 1.047 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc(N(C)C)nn2)C1 ZINC001033587273 749571340 /nfs/dbraw/zinc/57/13/40/749571340.db2.gz BCGAOOVUSJQJDI-LBPRGKRZSA-N 1 2 323.828 1.441 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(N(C)C)nn2)C1 ZINC001033587273 749571341 /nfs/dbraw/zinc/57/13/41/749571341.db2.gz BCGAOOVUSJQJDI-LBPRGKRZSA-N 1 2 323.828 1.441 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC1OCCCO1)C2 ZINC001095353150 749591832 /nfs/dbraw/zinc/59/18/32/749591832.db2.gz HEEXMLPXUNYOCZ-AGIUHOORSA-N 1 2 314.813 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC1OCCCO1)C2 ZINC001095353150 749591840 /nfs/dbraw/zinc/59/18/40/749591840.db2.gz HEEXMLPXUNYOCZ-AGIUHOORSA-N 1 2 314.813 1.614 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C3(CCC)CC3)nn2)C1 ZINC001107209181 749595897 /nfs/dbraw/zinc/59/58/97/749595897.db2.gz JTSUQMWXQCCJIW-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC/C=C/CNc1cc(C)ncc1C#N ZINC001107286136 749737884 /nfs/dbraw/zinc/73/78/84/749737884.db2.gz VSEIFVQUVFXBMW-ONEGZZNKSA-N 1 2 324.388 1.042 20 30 DDEDLO Cc1nsc(NC/C=C/CNC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001107312375 749766589 /nfs/dbraw/zinc/76/65/89/749766589.db2.gz MXNPEJMNEJQNCC-NSCUHMNNSA-N 1 2 316.390 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)c1ccnn1C)C2 ZINC001095432149 749889832 /nfs/dbraw/zinc/88/98/32/749889832.db2.gz ULHULFWSDHPNBA-CXTNEJHOSA-N 1 2 322.840 1.998 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)c1ccnn1C)C2 ZINC001095432149 749889836 /nfs/dbraw/zinc/88/98/36/749889836.db2.gz ULHULFWSDHPNBA-CXTNEJHOSA-N 1 2 322.840 1.998 20 30 DDEDLO C#CCN1CC[C@H](N(CC)C(=O)c2cnn(-c3cc[nH+]cc3)c2)C1 ZINC001034003486 750264720 /nfs/dbraw/zinc/26/47/20/750264720.db2.gz IQZVNEBKAWGWGT-KRWDZBQOSA-N 1 2 323.400 1.437 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2ccc(=O)n(C)n2)C1 ZINC001034036117 750284584 /nfs/dbraw/zinc/28/45/84/750284584.db2.gz PCTIBJVDNYLNQX-GFCCVEGCSA-N 1 2 324.812 1.069 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc(=O)n(C)n2)C1 ZINC001034036117 750284590 /nfs/dbraw/zinc/28/45/90/750284590.db2.gz PCTIBJVDNYLNQX-GFCCVEGCSA-N 1 2 324.812 1.069 20 30 DDEDLO CCC(=O)NC[C@]1(C)C[N@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107658843 750323326 /nfs/dbraw/zinc/32/33/26/750323326.db2.gz AIQVRPPLYXYJMI-QGZVFWFLSA-N 1 2 301.390 1.675 20 30 DDEDLO CCC(=O)NC[C@]1(C)C[N@@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107658843 750323329 /nfs/dbraw/zinc/32/33/29/750323329.db2.gz AIQVRPPLYXYJMI-QGZVFWFLSA-N 1 2 301.390 1.675 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(C)o2)C1 ZINC001107880834 750534377 /nfs/dbraw/zinc/53/43/77/750534377.db2.gz UOBILWXUYZUZOH-QGZVFWFLSA-N 1 2 304.390 1.361 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(C)o2)C1 ZINC001107880834 750534380 /nfs/dbraw/zinc/53/43/80/750534380.db2.gz UOBILWXUYZUZOH-QGZVFWFLSA-N 1 2 304.390 1.361 20 30 DDEDLO C[C@H](CNc1nccnc1C#N)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001108136668 750660317 /nfs/dbraw/zinc/66/03/17/750660317.db2.gz ALTZBJPNVJEANJ-VXGBXAGGSA-N 1 2 313.365 1.113 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@]1(C)C[N@H+](CCOCC(C)C)CCO1 ZINC001107926820 750660693 /nfs/dbraw/zinc/66/06/93/750660693.db2.gz NYETUXVUVCCZLV-SFHVURJKSA-N 1 2 324.465 1.526 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@]1(C)C[N@@H+](CCOCC(C)C)CCO1 ZINC001107926820 750660697 /nfs/dbraw/zinc/66/06/97/750660697.db2.gz NYETUXVUVCCZLV-SFHVURJKSA-N 1 2 324.465 1.526 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2n1[C@@H](C)CCC2 ZINC001032413683 750675823 /nfs/dbraw/zinc/67/58/23/750675823.db2.gz WRDKUOKKLOZMNI-KKUMJFAQSA-N 1 2 312.417 1.702 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2n1[C@@H](C)CCC2 ZINC001032413683 750675828 /nfs/dbraw/zinc/67/58/28/750675828.db2.gz WRDKUOKKLOZMNI-KKUMJFAQSA-N 1 2 312.417 1.702 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001107945383 750789766 /nfs/dbraw/zinc/78/97/66/750789766.db2.gz FKWPIMVWCHGQKP-KRWDZBQOSA-N 1 2 320.437 1.615 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001107945383 750789768 /nfs/dbraw/zinc/78/97/68/750789768.db2.gz FKWPIMVWCHGQKP-KRWDZBQOSA-N 1 2 320.437 1.615 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCN(C)c2ccccc21 ZINC001032452954 750870955 /nfs/dbraw/zinc/87/09/55/750870955.db2.gz NQEHNGFDFWGPNL-BQFCYCMXSA-N 1 2 323.440 1.919 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCN(C)c2ccccc21 ZINC001032452954 750870961 /nfs/dbraw/zinc/87/09/61/750870961.db2.gz NQEHNGFDFWGPNL-BQFCYCMXSA-N 1 2 323.440 1.919 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@H]([C@@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001114637741 750907267 /nfs/dbraw/zinc/90/72/67/750907267.db2.gz UHYYPYTXQNJTDC-HIFRSBDPSA-N 1 2 316.405 1.616 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114723269 751022264 /nfs/dbraw/zinc/02/22/64/751022264.db2.gz VMZYFXGYXSKDDL-FOCJUVANSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114723269 751022270 /nfs/dbraw/zinc/02/22/70/751022270.db2.gz VMZYFXGYXSKDDL-FOCJUVANSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114725963 751027540 /nfs/dbraw/zinc/02/75/40/751027540.db2.gz FDXILKQLEHQMNK-APNQJHFZSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114725963 751027548 /nfs/dbraw/zinc/02/75/48/751027548.db2.gz FDXILKQLEHQMNK-APNQJHFZSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001114727211 751028946 /nfs/dbraw/zinc/02/89/46/751028946.db2.gz UCLKZGYDBGHISU-WVZRYYJFSA-N 1 2 300.406 1.625 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001114727211 751028954 /nfs/dbraw/zinc/02/89/54/751028954.db2.gz UCLKZGYDBGHISU-WVZRYYJFSA-N 1 2 300.406 1.625 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114791542 751083296 /nfs/dbraw/zinc/08/32/96/751083296.db2.gz GVUYKCOKXNKHMH-TWJWRFFLSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114791542 751083302 /nfs/dbraw/zinc/08/33/02/751083302.db2.gz GVUYKCOKXNKHMH-TWJWRFFLSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001008069802 752532192 /nfs/dbraw/zinc/53/21/92/752532192.db2.gz YANXSHSEOOGHEQ-QWHCGFSZSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001008069802 752532197 /nfs/dbraw/zinc/53/21/97/752532197.db2.gz YANXSHSEOOGHEQ-QWHCGFSZSA-N 1 2 313.829 1.236 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H](NC(=O)c3nnc[nH]3)C2)cc1 ZINC001008923896 752985034 /nfs/dbraw/zinc/98/50/34/752985034.db2.gz JGVQLRZXJCKBIO-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H](NC(=O)c3nnc[nH]3)C2)cc1 ZINC001008923896 752985038 /nfs/dbraw/zinc/98/50/38/752985038.db2.gz JGVQLRZXJCKBIO-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H](NC(=O)c3ncn[nH]3)C2)cc1 ZINC001008923896 752985041 /nfs/dbraw/zinc/98/50/41/752985041.db2.gz JGVQLRZXJCKBIO-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H](NC(=O)c3ncn[nH]3)C2)cc1 ZINC001008923896 752985043 /nfs/dbraw/zinc/98/50/43/752985043.db2.gz JGVQLRZXJCKBIO-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3cnoc3C)C2)cn1 ZINC001009278698 753138838 /nfs/dbraw/zinc/13/88/38/753138838.db2.gz VVSZOJPPCNEJHF-KRWDZBQOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3cnoc3C)C2)cn1 ZINC001009278698 753138842 /nfs/dbraw/zinc/13/88/42/753138842.db2.gz VVSZOJPPCNEJHF-KRWDZBQOSA-N 1 2 324.384 1.754 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001060887586 753149706 /nfs/dbraw/zinc/14/97/06/753149706.db2.gz LWAPPVYABJLNMD-CQSZACIVSA-N 1 2 324.388 1.419 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC001009635686 753292512 /nfs/dbraw/zinc/29/25/12/753292512.db2.gz LOIJGLDRRVODFE-HNNXBMFYSA-N 1 2 323.400 1.689 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC001009635686 753292515 /nfs/dbraw/zinc/29/25/15/753292515.db2.gz LOIJGLDRRVODFE-HNNXBMFYSA-N 1 2 323.400 1.689 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001009651706 753298316 /nfs/dbraw/zinc/29/83/16/753298316.db2.gz SZYJGTXJDUSWCJ-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001009651706 753298319 /nfs/dbraw/zinc/29/83/19/753298319.db2.gz SZYJGTXJDUSWCJ-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](CNc2ccc(C#N)cn2)C1 ZINC001060901371 753362809 /nfs/dbraw/zinc/36/28/09/753362809.db2.gz BKRYPKWYWRTLFD-CQSZACIVSA-N 1 2 324.388 1.488 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H](CNc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001090270021 753408222 /nfs/dbraw/zinc/40/82/22/753408222.db2.gz FPHROUZMZWTUFG-HIFRSBDPSA-N 1 2 318.421 1.884 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3C[C@@H](F)CC)cn1 ZINC001032739454 753416259 /nfs/dbraw/zinc/41/62/59/753416259.db2.gz CXLFBPDVSRVTSH-BPUTZDHNSA-N 1 2 301.365 1.710 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3C[C@@H](F)CC)cn1 ZINC001032739454 753416262 /nfs/dbraw/zinc/41/62/62/753416262.db2.gz CXLFBPDVSRVTSH-BPUTZDHNSA-N 1 2 301.365 1.710 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001077927595 753435214 /nfs/dbraw/zinc/43/52/14/753435214.db2.gz XXONQGJQMYQZBU-UONOGXRCSA-N 1 2 320.437 1.576 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3nc(C)sc3c2)C1 ZINC001077943752 753476353 /nfs/dbraw/zinc/47/63/53/753476353.db2.gz QKBKHVRPOAGWBQ-ZIAGYGMSSA-N 1 2 315.398 1.013 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3nc(C)sc3c2)C1 ZINC001077943752 753476356 /nfs/dbraw/zinc/47/63/56/753476356.db2.gz QKBKHVRPOAGWBQ-ZIAGYGMSSA-N 1 2 315.398 1.013 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111540765 753493564 /nfs/dbraw/zinc/49/35/64/753493564.db2.gz AQJLTRWIDVLLBO-AWEZNQCLSA-N 1 2 306.410 1.620 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H]2C=CCCC2)C1 ZINC001108020588 753539644 /nfs/dbraw/zinc/53/96/44/753539644.db2.gz RDYXRNHEGZLPMP-FUHWJXTLSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H]2C=CCCC2)C1 ZINC001108020588 753539647 /nfs/dbraw/zinc/53/96/47/753539647.db2.gz RDYXRNHEGZLPMP-FUHWJXTLSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2coc3ccc(F)cc23)C1 ZINC001078085798 753714336 /nfs/dbraw/zinc/71/43/36/753714336.db2.gz AMVLNFQLYSWIJU-HUUCEWRRSA-N 1 2 316.332 1.370 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2coc3ccc(F)cc23)C1 ZINC001078085798 753714341 /nfs/dbraw/zinc/71/43/41/753714341.db2.gz AMVLNFQLYSWIJU-HUUCEWRRSA-N 1 2 316.332 1.370 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001078095964 753729212 /nfs/dbraw/zinc/72/92/12/753729212.db2.gz AEILPGGVCVXJGN-AWEZNQCLSA-N 1 2 320.437 1.720 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1cn[nH]c1 ZINC001010297594 753745027 /nfs/dbraw/zinc/74/50/27/753745027.db2.gz HESORBRORYLEND-MRXNPFEDSA-N 1 2 312.348 1.405 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1cn[nH]c1 ZINC001010297594 753745028 /nfs/dbraw/zinc/74/50/28/753745028.db2.gz HESORBRORYLEND-MRXNPFEDSA-N 1 2 312.348 1.405 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2nc3ccccc3c(C)c2C#N)C[NH2+]1 ZINC001169130951 762246938 /nfs/dbraw/zinc/24/69/38/762246938.db2.gz VZFCVKZKCRVZQW-ABAIWWIYSA-N 1 2 310.357 1.730 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)ncn1 ZINC001010652196 754080826 /nfs/dbraw/zinc/08/08/26/754080826.db2.gz SUPNMWYAGUEXRP-QGZVFWFLSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)ncn1 ZINC001010652196 754080834 /nfs/dbraw/zinc/08/08/34/754080834.db2.gz SUPNMWYAGUEXRP-QGZVFWFLSA-N 1 2 320.396 1.641 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(N)=O)cs1 ZINC001032805434 754113892 /nfs/dbraw/zinc/11/38/92/754113892.db2.gz DQQOKIVKGAKBSV-RYUDHWBXSA-N 1 2 305.403 1.322 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(N)=O)cs1 ZINC001032805434 754113896 /nfs/dbraw/zinc/11/38/96/754113896.db2.gz DQQOKIVKGAKBSV-RYUDHWBXSA-N 1 2 305.403 1.322 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H]1CNc1ccc(C#N)nc1 ZINC001063505988 754198099 /nfs/dbraw/zinc/19/80/99/754198099.db2.gz MYJJDUSVGQDTFZ-OAHLLOKOSA-N 1 2 324.388 1.630 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001078753838 754351059 /nfs/dbraw/zinc/35/10/59/754351059.db2.gz JTWXVXJVNJDTTF-ZDUSSCGKSA-N 1 2 318.421 1.732 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCC[C@H]2NC(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001064114623 754522062 /nfs/dbraw/zinc/52/20/62/754522062.db2.gz VLSXPCJIQBFPHG-UONOGXRCSA-N 1 2 324.388 1.616 20 30 DDEDLO CC(=O)N1CC[NH+]([C@H]2CCN(c3nc(C)ccc3C#N)C2)CC1 ZINC001065184763 755114292 /nfs/dbraw/zinc/11/42/92/755114292.db2.gz LYHICVQQAKOAMN-INIZCTEOSA-N 1 2 313.405 1.005 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn3ccncc23)C1 ZINC001079857753 755609912 /nfs/dbraw/zinc/60/99/12/755609912.db2.gz DRWHUYFKEOOYGB-ZWNOBZJWSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn3ccncc23)C1 ZINC001079857753 755609913 /nfs/dbraw/zinc/60/99/13/755609913.db2.gz DRWHUYFKEOOYGB-ZWNOBZJWSA-N 1 2 319.796 1.532 20 30 DDEDLO CCn1ncc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001014358376 755695912 /nfs/dbraw/zinc/69/59/12/755695912.db2.gz RYSQQOIGFDHDGZ-INIZCTEOSA-N 1 2 323.400 1.154 20 30 DDEDLO CCn1ncc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001014358376 755695913 /nfs/dbraw/zinc/69/59/13/755695913.db2.gz RYSQQOIGFDHDGZ-INIZCTEOSA-N 1 2 323.400 1.154 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(OC)nc2)C1 ZINC001080083969 755714302 /nfs/dbraw/zinc/71/43/02/755714302.db2.gz LMUWPBGZMAKYEZ-ZWNOBZJWSA-N 1 2 309.797 1.893 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(OC)nc2)C1 ZINC001080083969 755714304 /nfs/dbraw/zinc/71/43/04/755714304.db2.gz LMUWPBGZMAKYEZ-ZWNOBZJWSA-N 1 2 309.797 1.893 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014612622 755828167 /nfs/dbraw/zinc/82/81/67/755828167.db2.gz UHFRGQFARCOOKY-CHWSQXEVSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014612622 755828170 /nfs/dbraw/zinc/82/81/70/755828170.db2.gz UHFRGQFARCOOKY-CHWSQXEVSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)CC1 ZINC001000972103 762428809 /nfs/dbraw/zinc/42/88/09/762428809.db2.gz VKTBRTKYRDNAAC-LEOABGAYSA-N 1 2 322.836 1.911 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)CC1 ZINC001000972103 762428813 /nfs/dbraw/zinc/42/88/13/762428813.db2.gz VKTBRTKYRDNAAC-LEOABGAYSA-N 1 2 322.836 1.911 20 30 DDEDLO Cc1ncn(C)c1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001014817837 755958749 /nfs/dbraw/zinc/95/87/49/755958749.db2.gz SYRPWNKUXKSVOV-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1ncn(C)c1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001014817837 755958753 /nfs/dbraw/zinc/95/87/53/755958753.db2.gz SYRPWNKUXKSVOV-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO COC1CC(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)C1 ZINC001014853092 755980015 /nfs/dbraw/zinc/98/00/15/755980015.db2.gz ZEFMLHINFQVVSQ-AQFXKWCLSA-N 1 2 313.401 1.674 20 30 DDEDLO COC1CC(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)C1 ZINC001014853092 755980021 /nfs/dbraw/zinc/98/00/21/755980021.db2.gz ZEFMLHINFQVVSQ-AQFXKWCLSA-N 1 2 313.401 1.674 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)c1cnc(Cl)c([N+](=O)[O-])c1 ZINC001156321149 762435374 /nfs/dbraw/zinc/43/53/74/762435374.db2.gz RNDZSPHOUFEHFW-QMMMGPOBSA-N 1 2 317.683 1.490 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1cnco1 ZINC001015442789 756305138 /nfs/dbraw/zinc/30/51/38/756305138.db2.gz MQCMNVYIRKWUGB-OAHLLOKOSA-N 1 2 313.332 1.670 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1cnco1 ZINC001015442789 756305144 /nfs/dbraw/zinc/30/51/44/756305144.db2.gz MQCMNVYIRKWUGB-OAHLLOKOSA-N 1 2 313.332 1.670 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1cc[nH]c1 ZINC001081711460 756439682 /nfs/dbraw/zinc/43/96/82/756439682.db2.gz USYBSUXMGYGYLQ-QZTJIDSGSA-N 1 2 323.396 1.495 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1cc[nH]c1 ZINC001081711460 756439686 /nfs/dbraw/zinc/43/96/86/756439686.db2.gz USYBSUXMGYGYLQ-QZTJIDSGSA-N 1 2 323.396 1.495 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sc(Cl)nc2C)[C@H](OC)C1 ZINC001081739817 756449618 /nfs/dbraw/zinc/44/96/18/756449618.db2.gz ZLBVKMRYZIMHJA-NXEZZACHSA-N 1 2 315.826 1.720 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sc(Cl)nc2C)[C@H](OC)C1 ZINC001081739817 756449621 /nfs/dbraw/zinc/44/96/21/756449621.db2.gz ZLBVKMRYZIMHJA-NXEZZACHSA-N 1 2 315.826 1.720 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3conc3C)C2)c1 ZINC001015678860 756460653 /nfs/dbraw/zinc/46/06/53/756460653.db2.gz OPZNASXUUSWOSV-MRXNPFEDSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3conc3C)C2)c1 ZINC001015678860 756460655 /nfs/dbraw/zinc/46/06/55/756460655.db2.gz OPZNASXUUSWOSV-MRXNPFEDSA-N 1 2 310.357 1.364 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3ccc(F)cc3)CC2)[C@H](OC)C1 ZINC001081768082 756473424 /nfs/dbraw/zinc/47/34/24/756473424.db2.gz IXSIMPSVOPMLMV-HZPDHXFCSA-N 1 2 316.376 1.306 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3ccc(F)cc3)CC2)[C@H](OC)C1 ZINC001081768082 756473429 /nfs/dbraw/zinc/47/34/29/756473429.db2.gz IXSIMPSVOPMLMV-HZPDHXFCSA-N 1 2 316.376 1.306 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001082040548 756600578 /nfs/dbraw/zinc/60/05/78/756600578.db2.gz MPPZOAPYGWWPDU-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cnon3)C2)s1 ZINC001015876695 756610204 /nfs/dbraw/zinc/61/02/04/756610204.db2.gz NMILXMLZXSQIFG-VIFPVBQESA-N 1 2 303.347 1.007 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cnon3)C2)s1 ZINC001015876695 756610206 /nfs/dbraw/zinc/61/02/06/756610206.db2.gz NMILXMLZXSQIFG-VIFPVBQESA-N 1 2 303.347 1.007 20 30 DDEDLO C[C@]1(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)CCOC1 ZINC001015905084 756638071 /nfs/dbraw/zinc/63/80/71/756638071.db2.gz OADCVWBFBVCDGV-WMZOPIPTSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@]1(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)CCOC1 ZINC001015905084 756638073 /nfs/dbraw/zinc/63/80/73/756638073.db2.gz OADCVWBFBVCDGV-WMZOPIPTSA-N 1 2 313.401 1.675 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001015957063 756677128 /nfs/dbraw/zinc/67/71/28/756677128.db2.gz NDTSEMMVPMXJRX-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001015957063 756677129 /nfs/dbraw/zinc/67/71/29/756677129.db2.gz NDTSEMMVPMXJRX-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3cccnc3s2)[C@H](OC)C1 ZINC001082297873 756726125 /nfs/dbraw/zinc/72/61/25/756726125.db2.gz UOZLYKPMQYQRPP-CHWSQXEVSA-N 1 2 317.414 1.911 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3cccnc3s2)[C@H](OC)C1 ZINC001082297873 756726127 /nfs/dbraw/zinc/72/61/27/756726127.db2.gz UOZLYKPMQYQRPP-CHWSQXEVSA-N 1 2 317.414 1.911 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1OCCO[C@H]1C)C2 ZINC001097252714 757035119 /nfs/dbraw/zinc/03/51/19/757035119.db2.gz YAQKBRRGHUDECP-ZSLBOAEBSA-N 1 2 314.813 1.264 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1OCCO[C@H]1C)C2 ZINC001097252714 757035125 /nfs/dbraw/zinc/03/51/25/757035125.db2.gz YAQKBRRGHUDECP-ZSLBOAEBSA-N 1 2 314.813 1.264 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4cc(C)cn4c3)[C@H]2C1 ZINC001083101737 757136366 /nfs/dbraw/zinc/13/63/66/757136366.db2.gz SPFXXSMJZTUXFO-ZWKOTPCHSA-N 1 2 323.396 1.406 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4cc(C)cn4c3)[C@H]2C1 ZINC001083101737 757136368 /nfs/dbraw/zinc/13/63/68/757136368.db2.gz SPFXXSMJZTUXFO-ZWKOTPCHSA-N 1 2 323.396 1.406 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)COC3CCCCC3)[C@H]2C1 ZINC001083127768 757143791 /nfs/dbraw/zinc/14/37/91/757143791.db2.gz CUCKRJDBBHBZBF-DLBZAZTESA-N 1 2 320.433 1.271 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)COC3CCCCC3)[C@H]2C1 ZINC001083127768 757143793 /nfs/dbraw/zinc/14/37/93/757143793.db2.gz CUCKRJDBBHBZBF-DLBZAZTESA-N 1 2 320.433 1.271 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@H]2CNC(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001097437056 757183455 /nfs/dbraw/zinc/18/34/55/757183455.db2.gz MYNJPZARUAOFKN-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3ccn(C)n3)[C@@H]2C1 ZINC001084381376 757530731 /nfs/dbraw/zinc/53/07/31/757530731.db2.gz HJEMPIIKUGUFIV-UKRRQHHQSA-N 1 2 322.840 1.638 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3ccn(C)n3)[C@@H]2C1 ZINC001084381376 757530734 /nfs/dbraw/zinc/53/07/34/757530734.db2.gz HJEMPIIKUGUFIV-UKRRQHHQSA-N 1 2 322.840 1.638 20 30 DDEDLO CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](Nc2ccc(C#N)cn2)C1 ZINC001052714356 757678477 /nfs/dbraw/zinc/67/84/77/757678477.db2.gz NVISYHVRAOXAEU-ZIAGYGMSSA-N 1 2 310.361 1.113 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(-c4ccoc4)[nH]n3)[C@@H]2C1 ZINC001084831955 757960561 /nfs/dbraw/zinc/96/05/61/757960561.db2.gz HAHGJDJLGOSBNT-CXAGYDPISA-N 1 2 324.384 1.839 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(-c4ccoc4)[nH]n3)[C@@H]2C1 ZINC001084831955 757960565 /nfs/dbraw/zinc/96/05/65/757960565.db2.gz HAHGJDJLGOSBNT-CXAGYDPISA-N 1 2 324.384 1.839 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)o1 ZINC001084906543 758046781 /nfs/dbraw/zinc/04/67/81/758046781.db2.gz XBLSWEXUPJYKDS-BNOWGMLFSA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)o1 ZINC001084906543 758046793 /nfs/dbraw/zinc/04/67/93/758046793.db2.gz XBLSWEXUPJYKDS-BNOWGMLFSA-N 1 2 302.378 1.566 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099849421 758071497 /nfs/dbraw/zinc/07/14/97/758071497.db2.gz DZPXMUTWCRANPB-KGLIPLIRSA-N 1 2 323.462 1.797 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099849421 758071509 /nfs/dbraw/zinc/07/15/09/758071509.db2.gz DZPXMUTWCRANPB-KGLIPLIRSA-N 1 2 323.462 1.797 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C(C)(C)C)nn1 ZINC001017605725 758073371 /nfs/dbraw/zinc/07/33/71/758073371.db2.gz DBKSZXCRBSDRTK-OKILXGFUSA-N 1 2 315.421 1.345 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C(C)(C)C)nn1 ZINC001017605725 758073381 /nfs/dbraw/zinc/07/33/81/758073381.db2.gz DBKSZXCRBSDRTK-OKILXGFUSA-N 1 2 315.421 1.345 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(OC)no2)C[C@@H]1O ZINC001099859187 758123603 /nfs/dbraw/zinc/12/36/03/758123603.db2.gz YHRLEDIEMFQYNX-KGLIPLIRSA-N 1 2 323.393 1.091 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2cc(OC)no2)C[C@@H]1O ZINC001099859187 758123611 /nfs/dbraw/zinc/12/36/11/758123611.db2.gz YHRLEDIEMFQYNX-KGLIPLIRSA-N 1 2 323.393 1.091 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COC[C@H]1c1ccccc1 ZINC001017675099 758144897 /nfs/dbraw/zinc/14/48/97/758144897.db2.gz HPWJGPURQSVEAL-RDGPPVDQSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COC[C@H]1c1ccccc1 ZINC001017675099 758144906 /nfs/dbraw/zinc/14/49/06/758144906.db2.gz HPWJGPURQSVEAL-RDGPPVDQSA-N 1 2 324.424 1.725 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC)nnc1C ZINC001017766131 758217506 /nfs/dbraw/zinc/21/75/06/758217506.db2.gz TZDSDCMNEGWUJH-IYBDPMFKSA-N 1 2 312.417 1.660 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC)nnc1C ZINC001017766131 758217514 /nfs/dbraw/zinc/21/75/14/758217514.db2.gz TZDSDCMNEGWUJH-IYBDPMFKSA-N 1 2 312.417 1.660 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2c(c1)c(C)nn2C ZINC001017782933 758235456 /nfs/dbraw/zinc/23/54/56/758235456.db2.gz SVDQHWCWERILFO-GASCZTMLSA-N 1 2 323.400 1.199 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2c(c1)c(C)nn2C ZINC001017782933 758235465 /nfs/dbraw/zinc/23/54/65/758235465.db2.gz SVDQHWCWERILFO-GASCZTMLSA-N 1 2 323.400 1.199 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1cnccc1C)CCO2 ZINC001053294878 758350410 /nfs/dbraw/zinc/35/04/10/758350410.db2.gz WYENLIQRLYBQDD-UHFFFAOYSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CC[C@@H](OC)C1)CCO2 ZINC001053318343 758370013 /nfs/dbraw/zinc/37/00/13/758370013.db2.gz IKSXPKARGZGPFU-HUUCEWRRSA-N 1 2 308.422 1.291 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CC)nc1C ZINC001018009046 758469448 /nfs/dbraw/zinc/46/94/48/758469448.db2.gz RTMOOVFVKLBGJV-GASCZTMLSA-N 1 2 300.406 1.524 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CC)nc1C ZINC001018009046 758469451 /nfs/dbraw/zinc/46/94/51/758469451.db2.gz RTMOOVFVKLBGJV-GASCZTMLSA-N 1 2 300.406 1.524 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C2CC2)nc1 ZINC001018032475 758491390 /nfs/dbraw/zinc/49/13/90/758491390.db2.gz GEAUGOLUKFKVSD-IYBDPMFKSA-N 1 2 310.401 1.666 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C2CC2)nc1 ZINC001018032475 758491395 /nfs/dbraw/zinc/49/13/95/758491395.db2.gz GEAUGOLUKFKVSD-IYBDPMFKSA-N 1 2 310.401 1.666 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@@H](C)[C@H]1CCCO1)CCO2 ZINC001053517966 758525929 /nfs/dbraw/zinc/52/59/29/758525929.db2.gz JYSMQMGEAHZXHS-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CC(C)C)c1 ZINC001018106892 758552768 /nfs/dbraw/zinc/55/27/68/758552768.db2.gz IZZLLWCQLKEZOP-CALCHBBNSA-N 1 2 314.433 1.851 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CC(C)C)c1 ZINC001018106892 758552773 /nfs/dbraw/zinc/55/27/73/758552773.db2.gz IZZLLWCQLKEZOP-CALCHBBNSA-N 1 2 314.433 1.851 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(C)nc1)O2 ZINC001053570413 758577215 /nfs/dbraw/zinc/57/72/15/758577215.db2.gz SSZDWAIRVGJNKX-OAHLLOKOSA-N 1 2 301.390 1.539 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCO[C@H](CC)C1 ZINC001018156661 758586822 /nfs/dbraw/zinc/58/68/22/758586822.db2.gz NKARHBDHQLRAKH-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCO[C@H](CC)C1 ZINC001018156661 758586825 /nfs/dbraw/zinc/58/68/25/758586825.db2.gz NKARHBDHQLRAKH-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1CCCOCC1)O2 ZINC001053595930 758616783 /nfs/dbraw/zinc/61/67/83/758616783.db2.gz GZFOSGMUQICQFI-JKSUJKDBSA-N 1 2 322.449 1.729 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CCC1(F)F)O2 ZINC001053615868 758633775 /nfs/dbraw/zinc/63/37/75/758633775.db2.gz UPEWGBUNNKDZDY-QWHCGFSZSA-N 1 2 314.376 1.957 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(=O)C(C)C)C2)CC1 ZINC001018357863 758757229 /nfs/dbraw/zinc/75/72/29/758757229.db2.gz IVVMTXCETDMYRR-OAHLLOKOSA-N 1 2 307.438 1.211 20 30 DDEDLO C[C@H](Nc1ccc(C#N)nc1)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065780639 758795623 /nfs/dbraw/zinc/79/56/23/758795623.db2.gz STBDXPPOWBRBDJ-STQMWFEESA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccoc1CC)CO2 ZINC001053790481 758817217 /nfs/dbraw/zinc/81/72/17/758817217.db2.gz WQDGIILWLZVJSA-ZDUSSCGKSA-N 1 2 304.390 1.991 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccoc1CC)CO2 ZINC001053793085 758819764 /nfs/dbraw/zinc/81/97/64/758819764.db2.gz HXQXLEBALXHPPY-CYBMUJFWSA-N 1 2 302.374 1.438 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C1(F)CCOCC1)CO2 ZINC001053825019 758854878 /nfs/dbraw/zinc/85/48/78/758854878.db2.gz KLSHBGWEKKQNNP-CYBMUJFWSA-N 1 2 312.385 1.041 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1CNc1ncccc1C#N ZINC001065872401 758860222 /nfs/dbraw/zinc/86/02/22/758860222.db2.gz PGFKDDGAUUZTQN-JSGCOSHPSA-N 1 2 324.388 1.425 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cscc1Cl)CO2 ZINC001053841769 758871550 /nfs/dbraw/zinc/87/15/50/758871550.db2.gz QNUNXWKOIMHEKH-NSHDSACASA-N 1 2 324.833 1.998 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C3CC3)on1)CO2 ZINC001053885405 758919934 /nfs/dbraw/zinc/91/99/34/758919934.db2.gz LOSCTEVXPIJKPX-CYBMUJFWSA-N 1 2 315.373 1.148 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(CCC)n[nH]1)CO2 ZINC001053888623 758922039 /nfs/dbraw/zinc/92/20/39/758922039.db2.gz NYEPXJPFGQMUBW-CQSZACIVSA-N 1 2 318.421 1.511 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]nc1C1CC1)CO2 ZINC001053972913 759010886 /nfs/dbraw/zinc/01/08/86/759010886.db2.gz PJLKTJMTCBGWDA-CYBMUJFWSA-N 1 2 316.405 1.436 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)nn(C)c1F)CO2 ZINC001053994591 759040836 /nfs/dbraw/zinc/04/08/36/759040836.db2.gz JGNOIYFFBBWKJF-GFCCVEGCSA-N 1 2 322.384 1.017 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(CF)cc1)CO2 ZINC001054019658 759069341 /nfs/dbraw/zinc/06/93/41/759069341.db2.gz ZUXIJCOLMVTPLX-INIZCTEOSA-N 1 2 316.376 1.753 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001054037138 759093751 /nfs/dbraw/zinc/09/37/51/759093751.db2.gz XWYLPHSKBSJRNO-KRWDZBQOSA-N 1 2 318.380 1.676 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001054037138 759093760 /nfs/dbraw/zinc/09/37/60/759093760.db2.gz XWYLPHSKBSJRNO-KRWDZBQOSA-N 1 2 318.380 1.676 20 30 DDEDLO C=CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1n[nH]cc1F ZINC001054051995 759107895 /nfs/dbraw/zinc/10/78/95/759107895.db2.gz MEIWEGJAQAVLOM-CQSZACIVSA-N 1 2 314.364 1.892 20 30 DDEDLO C=CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1n[nH]cc1F ZINC001054051995 759107901 /nfs/dbraw/zinc/10/79/01/759107901.db2.gz MEIWEGJAQAVLOM-CQSZACIVSA-N 1 2 314.364 1.892 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nocc1C ZINC001054057794 759111148 /nfs/dbraw/zinc/11/11/48/759111148.db2.gz SBBMPESKHYMHBH-INIZCTEOSA-N 1 2 309.369 1.773 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nocc1C ZINC001054057794 759111152 /nfs/dbraw/zinc/11/11/52/759111152.db2.gz SBBMPESKHYMHBH-INIZCTEOSA-N 1 2 309.369 1.773 20 30 DDEDLO C[C@@H](CC(=O)N1CCC[C@@]2(CCN(CC#N)C2)C1)n1cc[nH+]c1 ZINC001054205503 759290739 /nfs/dbraw/zinc/29/07/39/759290739.db2.gz SHSURRXDUORIHI-RDJZCZTQSA-N 1 2 315.421 1.672 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001018827831 759313969 /nfs/dbraw/zinc/31/39/69/759313969.db2.gz YPLVBLLLWZDCDJ-ZDUSSCGKSA-N 1 2 300.362 1.051 20 30 DDEDLO Cc1oncc1C[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553729 759682241 /nfs/dbraw/zinc/68/22/41/759682241.db2.gz WLXSIDBINAQRAR-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1oncc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553729 759682247 /nfs/dbraw/zinc/68/22/47/759682247.db2.gz WLXSIDBINAQRAR-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCN(C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001019364074 759855682 /nfs/dbraw/zinc/85/56/82/759855682.db2.gz RAOGROKLCCVMKQ-QMMMGPOBSA-N 1 2 304.728 1.904 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001046768274 767906336 /nfs/dbraw/zinc/90/63/36/767906336.db2.gz WEXULXORXMMPLY-LRDDRELGSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001046768274 767906340 /nfs/dbraw/zinc/90/63/40/767906340.db2.gz WEXULXORXMMPLY-LRDDRELGSA-N 1 2 322.840 1.848 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc2n1CCCCC2 ZINC001085667534 759972405 /nfs/dbraw/zinc/97/24/05/759972405.db2.gz KFPCGALWQNQOKC-AWEZNQCLSA-N 1 2 300.406 1.389 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc2n1CCCCC2 ZINC001085667534 759972410 /nfs/dbraw/zinc/97/24/10/759972410.db2.gz KFPCGALWQNQOKC-AWEZNQCLSA-N 1 2 300.406 1.389 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@@H+](Cc3ncc(C)o3)C2)cn1 ZINC001046783373 767922102 /nfs/dbraw/zinc/92/21/02/767922102.db2.gz OAVFKAKBKFZTKG-GOSISDBHSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@H+](Cc3ncc(C)o3)C2)cn1 ZINC001046783373 767922106 /nfs/dbraw/zinc/92/21/06/767922106.db2.gz OAVFKAKBKFZTKG-GOSISDBHSA-N 1 2 324.384 1.754 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001069215640 767921086 /nfs/dbraw/zinc/92/10/86/767921086.db2.gz XHCJOTKPQFDJTQ-BXUZGUMPSA-N 1 2 310.361 1.320 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(C(C)C)c1Cl ZINC001085742589 760143609 /nfs/dbraw/zinc/14/36/09/760143609.db2.gz UEUZSXDDSMJWEO-GFCCVEGCSA-N 1 2 308.813 1.897 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(C(C)C)c1Cl ZINC001085742589 760143613 /nfs/dbraw/zinc/14/36/13/760143613.db2.gz UEUZSXDDSMJWEO-GFCCVEGCSA-N 1 2 308.813 1.897 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+]Cc3ncc(CC)o3)C2)nc1 ZINC001019675628 760159269 /nfs/dbraw/zinc/15/92/69/760159269.db2.gz WSZWMVHCVPMANJ-AWEZNQCLSA-N 1 2 324.384 1.618 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@H]2CCN(C)C2=O)CC1 ZINC001085802694 760260132 /nfs/dbraw/zinc/26/01/32/760260132.db2.gz ZTSUCSPFYSEKQL-CVEARBPZSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2[C@H]2CCN(C)C2=O)CC1 ZINC001085802694 760260138 /nfs/dbraw/zinc/26/01/38/760260138.db2.gz ZTSUCSPFYSEKQL-CVEARBPZSA-N 1 2 319.449 1.496 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)Nc1ccc(C#N)c(C)n1 ZINC001097975427 760327038 /nfs/dbraw/zinc/32/70/38/760327038.db2.gz RSWDOJNJLBMSDK-SNVBAGLBSA-N 1 2 312.377 1.453 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(CNC(C)=O)s1 ZINC001085845207 760356693 /nfs/dbraw/zinc/35/66/93/760356693.db2.gz HWBALEYTRJEYQF-ZDUSSCGKSA-N 1 2 319.430 1.164 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(CNC(C)=O)s1 ZINC001085845207 760356707 /nfs/dbraw/zinc/35/67/07/760356707.db2.gz HWBALEYTRJEYQF-ZDUSSCGKSA-N 1 2 319.430 1.164 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccoc1Br ZINC001085911790 760522811 /nfs/dbraw/zinc/52/28/11/760522811.db2.gz OETSGGJYAVISLK-SNVBAGLBSA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccoc1Br ZINC001085911790 760522815 /nfs/dbraw/zinc/52/28/15/760522815.db2.gz OETSGGJYAVISLK-SNVBAGLBSA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn([C@@H](C)CC)c1C ZINC001085918215 760527488 /nfs/dbraw/zinc/52/74/88/760527488.db2.gz XILYQWWWFWAGOZ-DZGCQCFKSA-N 1 2 302.422 1.942 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn([C@@H](C)CC)c1C ZINC001085918215 760527494 /nfs/dbraw/zinc/52/74/94/760527494.db2.gz XILYQWWWFWAGOZ-DZGCQCFKSA-N 1 2 302.422 1.942 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1C(=O)N(C)C ZINC001085931385 760560378 /nfs/dbraw/zinc/56/03/78/760560378.db2.gz XJHLBLSMNVBKFX-CQSZACIVSA-N 1 2 313.401 1.168 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1C(=O)N(C)C ZINC001085931385 760560381 /nfs/dbraw/zinc/56/03/81/760560381.db2.gz XJHLBLSMNVBKFX-CQSZACIVSA-N 1 2 313.401 1.168 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2C[C@H](F)CC)nc1 ZINC001085933948 760564735 /nfs/dbraw/zinc/56/47/35/760564735.db2.gz NVHJWBZMHFEUJX-HUUCEWRRSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2C[C@H](F)CC)nc1 ZINC001085933948 760564740 /nfs/dbraw/zinc/56/47/40/760564740.db2.gz NVHJWBZMHFEUJX-HUUCEWRRSA-N 1 2 303.381 1.957 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(OC)c1OC ZINC001085943894 760574052 /nfs/dbraw/zinc/57/40/52/760574052.db2.gz UQZJYYZYDLUWCP-CYBMUJFWSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(OC)c1OC ZINC001085943894 760574056 /nfs/dbraw/zinc/57/40/56/760574056.db2.gz UQZJYYZYDLUWCP-CYBMUJFWSA-N 1 2 302.374 1.483 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2noc3c2CCCC3)C1 ZINC001108237828 760857800 /nfs/dbraw/zinc/85/78/00/760857800.db2.gz CSRYDSPNDQOBMA-KRWDZBQOSA-N 1 2 319.405 1.560 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2noc3c2CCCC3)C1 ZINC001108237828 760857814 /nfs/dbraw/zinc/85/78/14/760857814.db2.gz CSRYDSPNDQOBMA-KRWDZBQOSA-N 1 2 319.405 1.560 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cnn(C)c2)cc1 ZINC001038578551 761212662 /nfs/dbraw/zinc/21/26/62/761212662.db2.gz NBSKMLNLCXIQOK-KRWDZBQOSA-N 1 2 308.385 1.406 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cnn(C)c2)cc1 ZINC001038578551 761212667 /nfs/dbraw/zinc/21/26/67/761212667.db2.gz NBSKMLNLCXIQOK-KRWDZBQOSA-N 1 2 308.385 1.406 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001069476301 768032349 /nfs/dbraw/zinc/03/23/49/768032349.db2.gz TWNCABUCOLCFGZ-UKRRQHHQSA-N 1 2 324.388 1.547 20 30 DDEDLO Cc1c[nH]c(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038791834 761412348 /nfs/dbraw/zinc/41/23/48/761412348.db2.gz HJYIWXKJDZRGEK-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1c[nH]c(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038791834 761412354 /nfs/dbraw/zinc/41/23/54/761412354.db2.gz HJYIWXKJDZRGEK-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001108261878 761425532 /nfs/dbraw/zinc/42/55/32/761425532.db2.gz FYVWURLOHRSOQP-MAUKXSAKSA-N 1 2 318.417 1.922 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001108261878 761425537 /nfs/dbraw/zinc/42/55/37/761425537.db2.gz FYVWURLOHRSOQP-MAUKXSAKSA-N 1 2 318.417 1.922 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CCCc2sc(C)nc21 ZINC001038910346 761535432 /nfs/dbraw/zinc/53/54/32/761535432.db2.gz LVYBNDYGTFOTCJ-QWHCGFSZSA-N 1 2 303.431 1.695 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CCCc2sc(C)nc21 ZINC001038910346 761535435 /nfs/dbraw/zinc/53/54/35/761535435.db2.gz LVYBNDYGTFOTCJ-QWHCGFSZSA-N 1 2 303.431 1.695 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cccnc1OCC(C)C ZINC001038938381 761568616 /nfs/dbraw/zinc/56/86/16/761568616.db2.gz IMJWICMXQCCLLJ-AWEZNQCLSA-N 1 2 301.390 1.554 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccnc1OCC(C)C ZINC001038938381 761568623 /nfs/dbraw/zinc/56/86/23/761568623.db2.gz IMJWICMXQCCLLJ-AWEZNQCLSA-N 1 2 301.390 1.554 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccnc2C)nc1 ZINC001038942927 761575436 /nfs/dbraw/zinc/57/54/36/761575436.db2.gz MIULGXAGOAEDPP-QGZVFWFLSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccnc2C)nc1 ZINC001038942927 761575441 /nfs/dbraw/zinc/57/54/41/761575441.db2.gz MIULGXAGOAEDPP-QGZVFWFLSA-N 1 2 320.396 1.771 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn3ccccc23)C1 ZINC001108283576 761750187 /nfs/dbraw/zinc/75/01/87/761750187.db2.gz HOJIURUFQYMEGB-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn3ccccc23)C1 ZINC001108283576 761750192 /nfs/dbraw/zinc/75/01/92/761750192.db2.gz HOJIURUFQYMEGB-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C#CCCCC(=O)N(C)CCCN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001067289266 761816103 /nfs/dbraw/zinc/81/61/03/761816103.db2.gz OEGHUZDDVNWGED-UHFFFAOYSA-N 1 2 318.421 1.453 20 30 DDEDLO C#CCCCC(=O)N(C)CCCN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001067289266 761816111 /nfs/dbraw/zinc/81/61/11/761816111.db2.gz OEGHUZDDVNWGED-UHFFFAOYSA-N 1 2 318.421 1.453 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001108682558 762856417 /nfs/dbraw/zinc/85/64/17/762856417.db2.gz UECSXEXBQXXUCJ-ZDUSSCGKSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001108682558 762856421 /nfs/dbraw/zinc/85/64/21/762856421.db2.gz UECSXEXBQXXUCJ-ZDUSSCGKSA-N 1 2 317.437 1.737 20 30 DDEDLO C[C@@H](CNc1ncc(C#N)cc1F)NC(=O)CCc1c[nH]c[nH+]1 ZINC001108763021 762906011 /nfs/dbraw/zinc/90/60/11/762906011.db2.gz NFYANIRCOAJCIK-JTQLQIEISA-N 1 2 316.340 1.365 20 30 DDEDLO C[C@@H](CNc1ncc(C#N)cc1F)NC(=O)CCc1c[nH+]c[nH]1 ZINC001108763021 762906016 /nfs/dbraw/zinc/90/60/16/762906016.db2.gz NFYANIRCOAJCIK-JTQLQIEISA-N 1 2 316.340 1.365 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@@H]2CCCO2)C1 ZINC001046913679 768108665 /nfs/dbraw/zinc/10/86/65/768108665.db2.gz ATKLLAJJGRYTKD-RYUDHWBXSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@@H]2CCCO2)C1 ZINC001046913679 768108667 /nfs/dbraw/zinc/10/86/67/768108667.db2.gz ATKLLAJJGRYTKD-RYUDHWBXSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[NH2+]CCNC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC001131422155 768121561 /nfs/dbraw/zinc/12/15/61/768121561.db2.gz MNPWUDRUFOKISU-UHFFFAOYSA-N 1 2 310.707 1.809 20 30 DDEDLO Cc1cc(N(C)C[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)c(C#N)cn1 ZINC001109058219 763299959 /nfs/dbraw/zinc/29/99/59/763299959.db2.gz ULWPXJFOHGHZKI-GFCCVEGCSA-N 1 2 312.377 1.168 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)c3cnsn3)C[C@@H]21 ZINC001041955815 763511528 /nfs/dbraw/zinc/51/15/28/763511528.db2.gz WGMKBXNQAJDRGV-JQWIXIFHSA-N 1 2 312.826 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)c3cnsn3)C[C@@H]21 ZINC001041955815 763511534 /nfs/dbraw/zinc/51/15/34/763511534.db2.gz WGMKBXNQAJDRGV-JQWIXIFHSA-N 1 2 312.826 1.827 20 30 DDEDLO C[C@H](CN(C)c1ncccc1C#N)NC(=O)CCc1c[nH]c[nH+]1 ZINC001109294909 763556442 /nfs/dbraw/zinc/55/64/42/763556442.db2.gz YMQNGHJXBKMJEJ-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@H](CN(C)c1ncccc1C#N)NC(=O)CCc1c[nH+]c[nH]1 ZINC001109294909 763556448 /nfs/dbraw/zinc/55/64/48/763556448.db2.gz YMQNGHJXBKMJEJ-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@H+](Cc3cnns3)[C@H]2C1 ZINC001042057328 763599789 /nfs/dbraw/zinc/59/97/89/763599789.db2.gz IMDRIWSOBAFLNK-HIFRSBDPSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@@H+](Cc3cnns3)[C@H]2C1 ZINC001042057328 763599796 /nfs/dbraw/zinc/59/97/96/763599796.db2.gz IMDRIWSOBAFLNK-HIFRSBDPSA-N 1 2 316.430 1.374 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001050586914 763789774 /nfs/dbraw/zinc/78/97/74/763789774.db2.gz GQZKUHZLJCZWCF-CABCVRRESA-N 1 2 316.405 1.040 20 30 DDEDLO N#Cc1cccnc1NC[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001109895338 764175213 /nfs/dbraw/zinc/17/52/13/764175213.db2.gz IKTAYNQFZDZOMA-CYBMUJFWSA-N 1 2 310.361 1.226 20 30 DDEDLO N#Cc1ccc(NC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C2CC2)cn1 ZINC001109991245 764268423 /nfs/dbraw/zinc/26/84/23/764268423.db2.gz DNCJMPVJOHHGGQ-OAHLLOKOSA-N 1 2 310.361 1.226 20 30 DDEDLO N#Cc1ccc(NC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C2CC2)nc1 ZINC001109991470 764269841 /nfs/dbraw/zinc/26/98/41/764269841.db2.gz JNXLYGLWHVKUDJ-AWEZNQCLSA-N 1 2 310.361 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1[C@H](C)CC ZINC001050944311 764319430 /nfs/dbraw/zinc/31/94/30/764319430.db2.gz WJRFYRDDZGJLHS-CABCVRRESA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1[C@H](C)CC ZINC001050944311 764319437 /nfs/dbraw/zinc/31/94/37/764319437.db2.gz WJRFYRDDZGJLHS-CABCVRRESA-N 1 2 320.437 1.861 20 30 DDEDLO Cc1cc(N[C@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001057684846 764332528 /nfs/dbraw/zinc/33/25/28/764332528.db2.gz ZOUFPJQVDPZLFR-AWEZNQCLSA-N 1 2 324.388 1.052 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051058130 764459754 /nfs/dbraw/zinc/45/97/54/764459754.db2.gz ARWLSDQXARDPRD-UONOGXRCSA-N 1 2 318.421 1.449 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(-c3cccnc3)c2)C1 ZINC001042912071 764520928 /nfs/dbraw/zinc/52/09/28/764520928.db2.gz QUHJWABNBSSEIJ-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](CCOC[C@@H]2CCCCO2)CC1 ZINC001112688534 764599817 /nfs/dbraw/zinc/59/98/17/764599817.db2.gz QVSZTENCQBRRNT-INIZCTEOSA-N 1 2 310.438 1.683 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CCO[C@H]2CCCC[C@@H]2C)CC1 ZINC001112703697 764622668 /nfs/dbraw/zinc/62/26/68/764622668.db2.gz CRGDOUCXCVZHEF-IRXDYDNUSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2ccc3c(c2)COC3)CC1 ZINC001112706533 764626745 /nfs/dbraw/zinc/62/67/45/764626745.db2.gz XVHBCURXYIQFBD-UHFFFAOYSA-N 1 2 316.401 1.564 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(C)nc3sccn32)C1 ZINC001043081449 764646735 /nfs/dbraw/zinc/64/67/35/764646735.db2.gz MBCCYUJCEIQGMS-UHFFFAOYSA-N 1 2 302.403 1.484 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C2CC2)cn1 ZINC001051229911 764650217 /nfs/dbraw/zinc/65/02/17/764650217.db2.gz GELAIYGQHBNRKD-INIZCTEOSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C2CC2)cn1 ZINC001051229911 764650224 /nfs/dbraw/zinc/65/02/24/764650224.db2.gz GELAIYGQHBNRKD-INIZCTEOSA-N 1 2 315.417 1.966 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)COCC)C[C@@H](C)O2 ZINC001131640075 768233982 /nfs/dbraw/zinc/23/39/82/768233982.db2.gz YAGBLPDAFMMZTI-UKRRQHHQSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)COCC)C[C@@H](C)O2 ZINC001131640075 768233984 /nfs/dbraw/zinc/23/39/84/768233984.db2.gz YAGBLPDAFMMZTI-UKRRQHHQSA-N 1 2 316.829 1.467 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccnc1N(C)C ZINC001051246836 764670443 /nfs/dbraw/zinc/67/04/43/764670443.db2.gz WBLYFNPZLICHSY-AWEZNQCLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccnc1N(C)C ZINC001051246836 764670447 /nfs/dbraw/zinc/67/04/47/764670447.db2.gz WBLYFNPZLICHSY-AWEZNQCLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096155342 768236355 /nfs/dbraw/zinc/23/63/55/768236355.db2.gz HTJGLIHHEYGXHR-OAHLLOKOSA-N 1 2 318.421 1.689 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C)n([C@@H](C)CC)n2)C1 ZINC001043181072 764741129 /nfs/dbraw/zinc/74/11/29/764741129.db2.gz VFFWZMHMGBLSPY-ZDUSSCGKSA-N 1 2 302.422 1.942 20 30 DDEDLO C=CCCC[NH+]1CCN(C(=O)[C@H]2CCCc3c[nH]nc32)CC1 ZINC001112855065 764882704 /nfs/dbraw/zinc/88/27/04/764882704.db2.gz IZZGEOLYANIJDL-HNNXBMFYSA-N 1 2 302.422 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051692350 765079479 /nfs/dbraw/zinc/07/94/79/765079479.db2.gz IGMGDDANAUWMCE-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1cnc(C#N)cn1 ZINC001112994126 765105061 /nfs/dbraw/zinc/10/50/61/765105061.db2.gz SDLPVQAZDDXFHN-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(CCC(N)=O)cc2)C1 ZINC001043820798 765115721 /nfs/dbraw/zinc/11/57/21/765115721.db2.gz VLEKXYIYGBCQAZ-UHFFFAOYSA-N 1 2 315.417 1.437 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccoc3C)C2)CC1 ZINC001051978443 765340023 /nfs/dbraw/zinc/34/00/23/765340023.db2.gz SETBMADPQBPMLO-HNNXBMFYSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccoc3C)C2)CC1 ZINC001051978443 765340031 /nfs/dbraw/zinc/34/00/31/765340031.db2.gz SETBMADPQBPMLO-HNNXBMFYSA-N 1 2 301.390 1.053 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnn(-c3nccs3)c2)C1 ZINC001044167634 765360204 /nfs/dbraw/zinc/36/02/04/765360204.db2.gz XUSRFUPTYIQZEU-UHFFFAOYSA-N 1 2 317.418 1.661 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cnccc3C)C2)CC1 ZINC001052005043 765371562 /nfs/dbraw/zinc/37/15/62/765371562.db2.gz UWFBNLXMJKLGNI-INIZCTEOSA-N 1 2 314.433 1.408 20 30 DDEDLO C#CCC[N@H+]1CCN([C@@H]2CCCN(C(=O)CCC=C)C2)C(=O)C1 ZINC001131710357 768287705 /nfs/dbraw/zinc/28/77/05/768287705.db2.gz UDEPEXLEGRGSJJ-MRXNPFEDSA-N 1 2 317.433 1.111 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@@H]2CCCN(C(=O)CCC=C)C2)C(=O)C1 ZINC001131710357 768287709 /nfs/dbraw/zinc/28/77/09/768287709.db2.gz UDEPEXLEGRGSJJ-MRXNPFEDSA-N 1 2 317.433 1.111 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131711963 768288394 /nfs/dbraw/zinc/28/83/94/768288394.db2.gz MLVDAEAFNLWZRK-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131711963 768288397 /nfs/dbraw/zinc/28/83/97/768288397.db2.gz MLVDAEAFNLWZRK-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]([NH+]3CCN(CC=C)CC3)C2)c1 ZINC001052081831 765454739 /nfs/dbraw/zinc/45/47/39/765454739.db2.gz PRYIJPTVGNCZQE-SFHVURJKSA-N 1 2 324.428 1.081 20 30 DDEDLO C[C@@H](Nc1ncccc1C#N)[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001113239080 765460169 /nfs/dbraw/zinc/46/01/69/765460169.db2.gz VUZBUDSWICWJSZ-QWHCGFSZSA-N 1 2 312.377 1.545 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3CC34CC4)C2)CC1 ZINC001052091696 765463004 /nfs/dbraw/zinc/46/30/04/765463004.db2.gz KFXRUOSXMIAMPU-HOTGVXAUSA-N 1 2 301.434 1.028 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113251531 765475787 /nfs/dbraw/zinc/47/57/87/765475787.db2.gz QZTHRFNWPQTBHF-MNOVXSKESA-N 1 2 320.441 1.763 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](CCOCC(C)C)CC1 ZINC001113359486 765610345 /nfs/dbraw/zinc/61/03/45/765610345.db2.gz CDONQZQBNVVJRB-CVEARBPZSA-N 1 2 310.438 1.394 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@@H](C)c2c(C)noc2C)CC1 ZINC001113430103 765685163 /nfs/dbraw/zinc/68/51/63/765685163.db2.gz LUMVVZLZDDVOGB-ZDUSSCGKSA-N 1 2 321.421 1.742 20 30 DDEDLO C#CCOCCC(=O)N(C)CC(C)(C)CNc1cc[nH+]c(C)n1 ZINC001113438663 765698826 /nfs/dbraw/zinc/69/88/26/765698826.db2.gz WTCJZNBGVRWYNB-UHFFFAOYSA-N 1 2 318.421 1.721 20 30 DDEDLO Cc1nc(N2C[C@@H]3CN(C(=O)C#CC4CC4)C[C@]3(C)C2)cc[nH+]1 ZINC001052331511 765727826 /nfs/dbraw/zinc/72/78/26/765727826.db2.gz DVNHBIWNSTVBOI-QAPCUYQASA-N 1 2 310.401 1.483 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ncoc2C2CC2)CC1 ZINC001113493586 765752545 /nfs/dbraw/zinc/75/25/45/765752545.db2.gz KAXQOZABALAXGA-UHFFFAOYSA-N 1 2 305.378 1.512 20 30 DDEDLO Cc1cc(N(C)[C@@H](C)CNC(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001113537505 765822881 /nfs/dbraw/zinc/82/28/81/765822881.db2.gz OQHIQWJZAXNWHF-JQWIXIFHSA-N 1 2 301.394 1.763 20 30 DDEDLO C[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)N(C)c1ccncc1C#N ZINC001113590551 765918450 /nfs/dbraw/zinc/91/84/50/765918450.db2.gz FYNYKWRLHNRJIN-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2occ3c2CCC3)[C@H](O)C1 ZINC001090455862 765933083 /nfs/dbraw/zinc/93/30/83/765933083.db2.gz LBFSLYJEGAVXLJ-ZIAGYGMSSA-N 1 2 324.808 1.686 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2occ3c2CCC3)[C@H](O)C1 ZINC001090455862 765933089 /nfs/dbraw/zinc/93/30/89/765933089.db2.gz LBFSLYJEGAVXLJ-ZIAGYGMSSA-N 1 2 324.808 1.686 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[NH+](CCc2scnc2C)CC1 ZINC001113712717 766051363 /nfs/dbraw/zinc/05/13/63/766051363.db2.gz DFASRMZOXWHPSE-CQSZACIVSA-N 1 2 323.462 1.729 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@H](C)OC[C@@H]2CCCCO2)CC1 ZINC001113718929 766059315 /nfs/dbraw/zinc/05/93/15/766059315.db2.gz OZNVFIPJYANHGV-HOTGVXAUSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C)n(C(C)C)n2)CC1 ZINC001113743630 766083682 /nfs/dbraw/zinc/08/36/82/766083682.db2.gz QERMBPQZFDZGIZ-UHFFFAOYSA-N 1 2 320.437 1.733 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC1CCN(c2ncccc2C#N)CC1 ZINC001057885490 766117519 /nfs/dbraw/zinc/11/75/19/766117519.db2.gz YTHIALDRNZATFF-UHFFFAOYSA-N 1 2 324.388 1.014 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)C1CCN(c2ccc(C#N)nc2)CC1 ZINC001058061899 766203496 /nfs/dbraw/zinc/20/34/96/766203496.db2.gz WPSSFEABDSZRFY-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCCN(c3cc[nH+]c(C)n3)C2)nc1 ZINC001058179226 766290343 /nfs/dbraw/zinc/29/03/43/766290343.db2.gz SGZTUGNNNWKZQI-OAHLLOKOSA-N 1 2 321.384 1.560 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCOC ZINC001113933015 766422358 /nfs/dbraw/zinc/42/23/58/766422358.db2.gz WXCPQVLLGSVQQO-PJXYFTJBSA-N 1 2 317.227 1.368 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCOC ZINC001113933015 766422359 /nfs/dbraw/zinc/42/23/59/766422359.db2.gz WXCPQVLLGSVQQO-PJXYFTJBSA-N 1 2 317.227 1.368 20 30 DDEDLO CC#CC[NH+]1CCC(C)(NC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC001045638360 766465385 /nfs/dbraw/zinc/46/53/85/766465385.db2.gz WMNUDLUEGKUGNZ-UHFFFAOYSA-N 1 2 311.389 1.566 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnon1 ZINC001045774992 766575088 /nfs/dbraw/zinc/57/50/88/766575088.db2.gz WFUGZSXJDJAXJH-CABCVRRESA-N 1 2 300.362 1.048 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnon1 ZINC001045774992 766575098 /nfs/dbraw/zinc/57/50/98/766575098.db2.gz WFUGZSXJDJAXJH-CABCVRRESA-N 1 2 300.362 1.048 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(C(C)C)nn3)C[C@H]21 ZINC001114198578 766771127 /nfs/dbraw/zinc/77/11/27/766771127.db2.gz FCCLJBKRBHTLID-QLPKVWCKSA-N 1 2 315.421 1.209 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(C(C)C)nn3)C[C@H]21 ZINC001114198578 766771131 /nfs/dbraw/zinc/77/11/31/766771131.db2.gz FCCLJBKRBHTLID-QLPKVWCKSA-N 1 2 315.421 1.209 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)o1 ZINC001046016185 766813754 /nfs/dbraw/zinc/81/37/54/766813754.db2.gz BWIGUWFXGJNWHG-OAHLLOKOSA-N 1 2 316.405 1.252 20 30 DDEDLO C[C@@H]1C[C@@H](CNc2ncccc2C#N)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068347987 767157669 /nfs/dbraw/zinc/15/76/69/767157669.db2.gz OGSZFEVICBRHKQ-OLZOCXBDSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H]1C[C@H](CNc2ncccc2C#N)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068347986 767157793 /nfs/dbraw/zinc/15/77/93/767157793.db2.gz OGSZFEVICBRHKQ-CHWSQXEVSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2csc(=O)[nH]2)C1 ZINC001046561397 767690265 /nfs/dbraw/zinc/69/02/65/767690265.db2.gz FWLSFWIZEROTNM-LBPRGKRZSA-N 1 2 301.799 1.795 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2csc(=O)[nH]2)C1 ZINC001046561397 767690271 /nfs/dbraw/zinc/69/02/71/767690271.db2.gz FWLSFWIZEROTNM-LBPRGKRZSA-N 1 2 301.799 1.795 20 30 DDEDLO C[C@]1(NC(=O)c2nnc[nH]2)CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001046715068 767840220 /nfs/dbraw/zinc/84/02/20/767840220.db2.gz UQILIZKNXSAXEC-AWEZNQCLSA-N 1 2 316.390 1.132 20 30 DDEDLO C[C@]1(NC(=O)c2nnc[nH]2)CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001046715068 767840224 /nfs/dbraw/zinc/84/02/24/767840224.db2.gz UQILIZKNXSAXEC-AWEZNQCLSA-N 1 2 316.390 1.132 20 30 DDEDLO C[C@@]1(NC(=O)c2ncn[nH]2)CC[N@H+](Cc2ccc(C#N)cc2)C1 ZINC001046715224 767840845 /nfs/dbraw/zinc/84/08/45/767840845.db2.gz WUOYTFNIEPIYFD-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@@]1(NC(=O)c2ncn[nH]2)CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001046715224 767840851 /nfs/dbraw/zinc/84/08/51/767840851.db2.gz WUOYTFNIEPIYFD-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@@]1(NC(=O)c2nc[nH]n2)CC[N@H+](Cc2ccc(C#N)cc2)C1 ZINC001046715224 767840858 /nfs/dbraw/zinc/84/08/58/767840858.db2.gz WUOYTFNIEPIYFD-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@@]1(NC(=O)c2nc[nH]n2)CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001046715224 767840867 /nfs/dbraw/zinc/84/08/67/767840867.db2.gz WUOYTFNIEPIYFD-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(CC(C)C)s2)C1 ZINC001047412536 768461755 /nfs/dbraw/zinc/46/17/55/768461755.db2.gz XGMUSWAYBGFEJK-GJZGRUSLSA-N 1 2 320.458 1.697 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(CC(C)C)s2)C1 ZINC001047412536 768461759 /nfs/dbraw/zinc/46/17/59/768461759.db2.gz XGMUSWAYBGFEJK-GJZGRUSLSA-N 1 2 320.458 1.697 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cnnn2CC)C1 ZINC001131900582 768465932 /nfs/dbraw/zinc/46/59/32/768465932.db2.gz ZZICEMDUJGGGOU-ZIAGYGMSSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2CC)C1 ZINC001131900582 768465935 /nfs/dbraw/zinc/46/59/35/768465935.db2.gz ZZICEMDUJGGGOU-ZIAGYGMSSA-N 1 2 305.426 1.733 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(CC)s2)C1 ZINC001047448867 768484743 /nfs/dbraw/zinc/48/47/43/768484743.db2.gz ZSFBUTVQUKRDPL-KBPBESRZSA-N 1 2 306.431 1.451 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(CC)s2)C1 ZINC001047448867 768484749 /nfs/dbraw/zinc/48/47/49/768484749.db2.gz ZSFBUTVQUKRDPL-KBPBESRZSA-N 1 2 306.431 1.451 20 30 DDEDLO C#CC[NH+]1CC2(C1)CN(C(=O)C(C)(C)C)C[C@@H]2c1nnc(C)[nH]1 ZINC001070450567 768490362 /nfs/dbraw/zinc/49/03/62/768490362.db2.gz KJKLORDBDPIGLV-CYBMUJFWSA-N 1 2 315.421 1.020 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(F)=C(C)C)CC[C@@H]1C ZINC001131961766 768530947 /nfs/dbraw/zinc/53/09/47/768530947.db2.gz ZTZFWRKYVSSIIL-QWHCGFSZSA-N 1 2 311.401 1.521 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(F)=C(C)C)CC[C@@H]1C ZINC001131961766 768530952 /nfs/dbraw/zinc/53/09/52/768530952.db2.gz ZTZFWRKYVSSIIL-QWHCGFSZSA-N 1 2 311.401 1.521 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(F)=C(C)C)CC[C@H]1C ZINC001131961765 768531383 /nfs/dbraw/zinc/53/13/83/768531383.db2.gz ZTZFWRKYVSSIIL-OLZOCXBDSA-N 1 2 311.401 1.521 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(F)=C(C)C)CC[C@H]1C ZINC001131961765 768531386 /nfs/dbraw/zinc/53/13/86/768531386.db2.gz ZTZFWRKYVSSIIL-OLZOCXBDSA-N 1 2 311.401 1.521 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)cccc2Cl)C1 ZINC001047512119 768533388 /nfs/dbraw/zinc/53/33/88/768533388.db2.gz JEVFYVZXHDDHMZ-KBPBESRZSA-N 1 2 306.793 1.399 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)cccc2Cl)C1 ZINC001047512119 768533392 /nfs/dbraw/zinc/53/33/92/768533392.db2.gz JEVFYVZXHDDHMZ-KBPBESRZSA-N 1 2 306.793 1.399 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2C[N@H+](Cc3conc3C)C[C@@H]2O)C1 ZINC001047517126 768537314 /nfs/dbraw/zinc/53/73/14/768537314.db2.gz VSBKJBZINFSWPW-GJZGRUSLSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2C[N@@H+](Cc3conc3C)C[C@@H]2O)C1 ZINC001047517126 768537317 /nfs/dbraw/zinc/53/73/17/768537317.db2.gz VSBKJBZINFSWPW-GJZGRUSLSA-N 1 2 319.405 1.343 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C#CC2CC2)C[N@@H+]1Cc1ccn(C)n1 ZINC001132047563 768600170 /nfs/dbraw/zinc/60/01/70/768600170.db2.gz YCTNBRHRMGIDEX-ZFWWWQNUSA-N 1 2 300.406 1.303 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C#CC2CC2)C[N@H+]1Cc1ccn(C)n1 ZINC001132047563 768600176 /nfs/dbraw/zinc/60/01/76/768600176.db2.gz YCTNBRHRMGIDEX-ZFWWWQNUSA-N 1 2 300.406 1.303 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001070669677 768657815 /nfs/dbraw/zinc/65/78/15/768657815.db2.gz FYIWCDDJDRBCQB-CQSZACIVSA-N 1 2 316.405 1.171 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CC[C@H](C)[N@@H+](CC(N)=O)C2)CCCCC1 ZINC001132530180 768949626 /nfs/dbraw/zinc/94/96/26/768949626.db2.gz VZUAVUSMNGDNTK-LSDHHAIUSA-N 1 2 319.449 1.415 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CC[C@H](C)[N@H+](CC(N)=O)C2)CCCCC1 ZINC001132530180 768949630 /nfs/dbraw/zinc/94/96/30/768949630.db2.gz VZUAVUSMNGDNTK-LSDHHAIUSA-N 1 2 319.449 1.415 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2nnn(C)c2C)CC[C@@H]1C ZINC001071404011 769033522 /nfs/dbraw/zinc/03/35/22/769033522.db2.gz HXWHSJBEIZMXCZ-CMPLNLGQSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2nnn(C)c2C)CC[C@@H]1C ZINC001071404011 769033534 /nfs/dbraw/zinc/03/35/34/769033534.db2.gz HXWHSJBEIZMXCZ-CMPLNLGQSA-N 1 2 311.817 1.459 20 30 DDEDLO Cc1cc(N2CC[C@@H](NC(=O)[C@@H](C)C#N)C2)nc(C(C)C)[nH+]1 ZINC001096339888 769274346 /nfs/dbraw/zinc/27/43/46/769274346.db2.gz XCDYBJQNYKHEAC-WCQYABFASA-N 1 2 301.394 1.763 20 30 DDEDLO C[C@@H]1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C[C@@H]1CNCC#N ZINC001132920955 769324176 /nfs/dbraw/zinc/32/41/76/769324176.db2.gz WEXVAJHMKGVNAW-OCCSQVGLSA-N 1 2 311.389 1.774 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2ccc(C(N)=O)s2)CC[C@@H]1C ZINC001071893015 770238622 /nfs/dbraw/zinc/23/86/22/770238622.db2.gz KDSKHBGUKYAZJK-WDEREUQCSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2ccc(C(N)=O)s2)CC[C@@H]1C ZINC001071893015 770238631 /nfs/dbraw/zinc/23/86/31/770238631.db2.gz KDSKHBGUKYAZJK-WDEREUQCSA-N 1 2 305.403 1.063 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2nnc[nH]2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071908388 770265378 /nfs/dbraw/zinc/26/53/78/770265378.db2.gz UEFZPQZSQUWXFH-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2nnc[nH]2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071908388 770265384 /nfs/dbraw/zinc/26/53/84/770265384.db2.gz UEFZPQZSQUWXFH-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2ncn[nH]2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071908388 770265387 /nfs/dbraw/zinc/26/53/87/770265387.db2.gz UEFZPQZSQUWXFH-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2ncn[nH]2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071908388 770265390 /nfs/dbraw/zinc/26/53/90/770265390.db2.gz UEFZPQZSQUWXFH-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CN1CC#N ZINC001071954131 770339852 /nfs/dbraw/zinc/33/98/52/770339852.db2.gz KICZPLBYPXFHCE-GDBMZVCRSA-N 1 2 323.400 1.979 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CN1CC#N ZINC001071983025 770382976 /nfs/dbraw/zinc/38/29/76/770382976.db2.gz UYUQIWPRXNQTQW-UONOGXRCSA-N 1 2 324.388 1.374 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cccn(C)c2=O)CC[C@@H]1C ZINC001072005686 770422842 /nfs/dbraw/zinc/42/28/42/770422842.db2.gz OSVAQFFPHLKPOG-STQMWFEESA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cccn(C)c2=O)CC[C@@H]1C ZINC001072005686 770422847 /nfs/dbraw/zinc/42/28/47/770422847.db2.gz OSVAQFFPHLKPOG-STQMWFEESA-N 1 2 323.824 1.721 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2sc(COC)nc2C)CC[C@H]1C ZINC001072030772 770450334 /nfs/dbraw/zinc/45/03/34/770450334.db2.gz VPBHDCVMPCFNHI-DGCLKSJQSA-N 1 2 321.446 1.814 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2sc(COC)nc2C)CC[C@H]1C ZINC001072030772 770450341 /nfs/dbraw/zinc/45/03/41/770450341.db2.gz VPBHDCVMPCFNHI-DGCLKSJQSA-N 1 2 321.446 1.814 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)CC[C@H]1C ZINC001072101748 770544271 /nfs/dbraw/zinc/54/42/71/770544271.db2.gz JVJWXUBBYRBVLR-HIFRSBDPSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)CC[C@H]1C ZINC001072101748 770544275 /nfs/dbraw/zinc/54/42/75/770544275.db2.gz JVJWXUBBYRBVLR-HIFRSBDPSA-N 1 2 323.400 1.688 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072114857 770560274 /nfs/dbraw/zinc/56/02/74/770560274.db2.gz OYNVPSUBTSVMJO-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1cscn1 ZINC001049368805 770744037 /nfs/dbraw/zinc/74/40/37/770744037.db2.gz BSFPOATWBKWCET-MRVWCRGKSA-N 1 2 304.419 1.868 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1cscn1 ZINC001049368805 770744042 /nfs/dbraw/zinc/74/40/42/770744042.db2.gz BSFPOATWBKWCET-MRVWCRGKSA-N 1 2 304.419 1.868 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049484008 770914956 /nfs/dbraw/zinc/91/49/56/770914956.db2.gz FEJAIWFIOGPQLQ-TUAOUCFPSA-N 1 2 306.303 1.975 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049484008 770914965 /nfs/dbraw/zinc/91/49/65/770914965.db2.gz FEJAIWFIOGPQLQ-TUAOUCFPSA-N 1 2 306.303 1.975 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001072453731 770919899 /nfs/dbraw/zinc/91/98/99/770919899.db2.gz OAHAHSYQXDMLGE-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049499376 770934426 /nfs/dbraw/zinc/93/44/26/770934426.db2.gz RJJHGTMCEBALHO-HUUCEWRRSA-N 1 2 309.373 1.270 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049499376 770934428 /nfs/dbraw/zinc/93/44/28/770934428.db2.gz RJJHGTMCEBALHO-HUUCEWRRSA-N 1 2 309.373 1.270 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1cnn(C)n1 ZINC001049637014 771039858 /nfs/dbraw/zinc/03/98/58/771039858.db2.gz VZHCLUPXFJHDAA-HUUCEWRRSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1cnn(C)n1 ZINC001049637014 771039864 /nfs/dbraw/zinc/03/98/64/771039864.db2.gz VZHCLUPXFJHDAA-HUUCEWRRSA-N 1 2 317.437 1.593 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CC[C@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001091598436 772953776 /nfs/dbraw/zinc/95/37/76/772953776.db2.gz NDULPRLWGJQYIG-GXTWGEPZSA-N 1 2 324.388 1.616 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1ncccn1)C2 ZINC001147514891 773157207 /nfs/dbraw/zinc/15/72/07/773157207.db2.gz ZJNDNJCHONKJLW-OAHLLOKOSA-N 1 2 312.417 1.731 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1ncccn1)C2 ZINC001147514891 773157213 /nfs/dbraw/zinc/15/72/13/773157213.db2.gz ZJNDNJCHONKJLW-OAHLLOKOSA-N 1 2 312.417 1.731 20 30 DDEDLO C#CCOCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cc(C)on1)C2 ZINC001147531199 773169977 /nfs/dbraw/zinc/16/99/77/773169977.db2.gz YWTPZDQTDGILDB-UHFFFAOYSA-N 1 2 317.389 1.057 20 30 DDEDLO C#CCOCCC(=O)N1CC2(C1)CC[N@H+](Cc1cc(C)on1)C2 ZINC001147531199 773169979 /nfs/dbraw/zinc/16/99/79/773169979.db2.gz YWTPZDQTDGILDB-UHFFFAOYSA-N 1 2 317.389 1.057 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nonc1C)C2 ZINC001148089108 773360675 /nfs/dbraw/zinc/36/06/75/773360675.db2.gz SWNVEGYOJQUNCX-STQMWFEESA-N 1 2 318.421 1.871 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nonc1C)C2 ZINC001148089108 773360682 /nfs/dbraw/zinc/36/06/82/773360682.db2.gz SWNVEGYOJQUNCX-STQMWFEESA-N 1 2 318.421 1.871 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nccn1C)C2 ZINC001148137936 773365600 /nfs/dbraw/zinc/36/56/00/773365600.db2.gz JGAIISXRDOQVJF-HUUCEWRRSA-N 1 2 316.449 1.913 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1C)C2 ZINC001148137936 773365608 /nfs/dbraw/zinc/36/56/08/773365608.db2.gz JGAIISXRDOQVJF-HUUCEWRRSA-N 1 2 316.449 1.913 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nc3c(o2)CCCC3)nc1 ZINC001148376929 773460881 /nfs/dbraw/zinc/46/08/81/773460881.db2.gz PSXCUCNZQOKOLT-UHFFFAOYSA-N 1 2 324.384 1.449 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3CCCC3)C[C@H]21 ZINC001074154867 773679740 /nfs/dbraw/zinc/67/97/40/773679740.db2.gz LHCWZJONJKZHAI-SJORKVTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3CCCC3)C[C@H]21 ZINC001074154867 773679744 /nfs/dbraw/zinc/67/97/44/773679744.db2.gz LHCWZJONJKZHAI-SJORKVTESA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@H]21 ZINC001074195574 773719570 /nfs/dbraw/zinc/71/95/70/773719570.db2.gz WSMBIPPJBVSWBW-ZIAGYGMSSA-N 1 2 308.422 1.479 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@H]21 ZINC001074195574 773719573 /nfs/dbraw/zinc/71/95/73/773719573.db2.gz WSMBIPPJBVSWBW-ZIAGYGMSSA-N 1 2 308.422 1.479 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCCO2)C1 ZINC000586062806 773751824 /nfs/dbraw/zinc/75/18/24/773751824.db2.gz GDCCAZFLWNRNBD-IRXDYDNUSA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCCO2)C1 ZINC000586062806 773751827 /nfs/dbraw/zinc/75/18/27/773751827.db2.gz GDCCAZFLWNRNBD-IRXDYDNUSA-N 1 2 313.401 1.818 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3=CCOCC3)C[C@@H]21 ZINC001074334288 773830329 /nfs/dbraw/zinc/83/03/29/773830329.db2.gz PRDSZAWWOCZRDT-DLBZAZTESA-N 1 2 318.417 1.048 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3=CCOCC3)C[C@@H]21 ZINC001074334288 773830333 /nfs/dbraw/zinc/83/03/33/773830333.db2.gz PRDSZAWWOCZRDT-DLBZAZTESA-N 1 2 318.417 1.048 20 30 DDEDLO C=C(Cl)CN1CCO[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C[C@H]21 ZINC001074371943 773864908 /nfs/dbraw/zinc/86/49/08/773864908.db2.gz OQLALFQHDMQRSA-OLZOCXBDSA-N 1 2 324.812 1.006 20 30 DDEDLO CCOc1nnc(C[NH2+][C@H]2CCN(C(=O)[C@@H](C)C#N)[C@H]2C)s1 ZINC001074561993 773995422 /nfs/dbraw/zinc/99/54/22/773995422.db2.gz SPPQNWBQMQUVFA-DCAQKATOSA-N 1 2 323.422 1.175 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)ncn1)c1nccn12 ZINC001092361828 774075470 /nfs/dbraw/zinc/07/54/70/774075470.db2.gz NENURJUZUXTMOK-AWEZNQCLSA-N 1 2 324.388 1.053 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnc(C)cn1)c1nccn12 ZINC001092343471 774093110 /nfs/dbraw/zinc/09/31/10/774093110.db2.gz DJMRIBGIUKCACZ-CYBMUJFWSA-N 1 2 324.388 1.053 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001074957318 774264633 /nfs/dbraw/zinc/26/46/33/774264633.db2.gz ANWGBPVIZLMJKJ-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001075099561 774348652 /nfs/dbraw/zinc/34/86/52/774348652.db2.gz NIDPFFFPTLMGTJ-QWHCGFSZSA-N 1 2 318.421 1.660 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2CN(c3nc(C)[nH+]c(C)c3C)C[C@H]21 ZINC001093259175 774551978 /nfs/dbraw/zinc/55/19/78/774551978.db2.gz SNSGXINXLBHRHO-QLPKVWCKSA-N 1 2 312.417 1.756 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2csnn2)C1 ZINC001098964334 774742802 /nfs/dbraw/zinc/74/28/02/774742802.db2.gz JXLDBUFTBMXTHD-GWCFXTLKSA-N 1 2 312.826 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2csnn2)C1 ZINC001098964334 774742807 /nfs/dbraw/zinc/74/28/07/774742807.db2.gz JXLDBUFTBMXTHD-GWCFXTLKSA-N 1 2 312.826 1.875 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cc(CC)n[nH]3)CC2)C1 ZINC001093509104 774754122 /nfs/dbraw/zinc/75/41/22/774754122.db2.gz INHKWMGULAUENI-UHFFFAOYSA-N 1 2 318.421 1.464 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccccc3O)CC2)C1 ZINC001093509570 774755457 /nfs/dbraw/zinc/75/54/57/774755457.db2.gz PPFPSEPHPJONOT-UHFFFAOYSA-N 1 2 316.401 1.884 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@H]3CCOC3)CC2)C1 ZINC001093518987 774770080 /nfs/dbraw/zinc/77/00/80/774770080.db2.gz GCBZUQWEGIOMDL-CQSZACIVSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)n3cccc3)CC2)C1 ZINC001093528470 774780517 /nfs/dbraw/zinc/78/05/17/774780517.db2.gz JNLLIGBFCSNRLL-OAHLLOKOSA-N 1 2 317.433 1.927 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H]3CO[C@H](C)C3)CC2)C1 ZINC001093549317 774826776 /nfs/dbraw/zinc/82/67/76/774826776.db2.gz VEWDQZPCMMNCDU-CABCVRRESA-N 1 2 322.449 1.679 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H](OC)C(C)C)CC2)C1 ZINC001093568772 774840353 /nfs/dbraw/zinc/84/03/53/774840353.db2.gz RGILNJOLMWXURQ-QGZVFWFLSA-N 1 2 324.465 1.925 20 30 DDEDLO Cc1nsc(NCCNC(=O)CCc2[nH]cc[nH+]2)c1C#N ZINC001093582314 774858593 /nfs/dbraw/zinc/85/85/93/774858593.db2.gz QIOZWCFQJHFGEV-UHFFFAOYSA-N 1 2 304.379 1.207 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cc(CC)on3)CC2)C1 ZINC001093593963 774877256 /nfs/dbraw/zinc/87/72/56/774877256.db2.gz QVIRIJWRDVRCSS-UHFFFAOYSA-N 1 2 319.405 1.728 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCCNc1ncc(C#N)cc1Cl ZINC001093750353 775052567 /nfs/dbraw/zinc/05/25/67/775052567.db2.gz XGKXGYWIOGGKDC-UHFFFAOYSA-N 1 2 318.768 1.111 20 30 DDEDLO N#Cc1c(Cl)nsc1NCCNC(=O)Cc1[nH]cc[nH+]1 ZINC001093829756 775113488 /nfs/dbraw/zinc/11/34/88/775113488.db2.gz YOPCKZZYMMIGIU-UHFFFAOYSA-N 1 2 310.770 1.162 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@@H]2CCc3[nH+]ccn3C2)n1 ZINC001093950127 775257200 /nfs/dbraw/zinc/25/72/00/775257200.db2.gz ZXPROLSNIWRJTF-CQSZACIVSA-N 1 2 324.388 1.249 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@H]2CCn3c[nH+]cc3C2)n1 ZINC001093951734 775258972 /nfs/dbraw/zinc/25/89/72/775258972.db2.gz WAQCQZXTHMYEBR-ZDUSSCGKSA-N 1 2 324.388 1.249 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)c2cccc3[nH+]ccn32)n1 ZINC001093986330 775300828 /nfs/dbraw/zinc/30/08/28/775300828.db2.gz IJAYVEDNGIKUJY-UHFFFAOYSA-N 1 2 320.356 1.751 20 30 DDEDLO N#Cc1c(Cl)nsc1NCCNC(=O)CCn1cc[nH+]c1 ZINC001094024745 775349108 /nfs/dbraw/zinc/34/91/08/775349108.db2.gz WDNAFSIFAHBYGI-UHFFFAOYSA-N 1 2 324.797 1.483 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)ccc1C#N ZINC001094111903 775438587 /nfs/dbraw/zinc/43/85/87/775438587.db2.gz BHLUCCZAACCBRH-ZDUSSCGKSA-N 1 2 324.388 1.633 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099960372 775482965 /nfs/dbraw/zinc/48/29/65/775482965.db2.gz TTZMRTGPKHTHJU-OLZOCXBDSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099960372 775482967 /nfs/dbraw/zinc/48/29/67/775482967.db2.gz TTZMRTGPKHTHJU-OLZOCXBDSA-N 1 2 323.462 1.715 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC=CCC3)nn2)C1 ZINC001094274222 775622200 /nfs/dbraw/zinc/62/22/00/775622200.db2.gz IRMDJRIGQQJQLO-CQSZACIVSA-N 1 2 313.405 1.131 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3csc(C)n3)nn2)C1 ZINC001094310370 775663621 /nfs/dbraw/zinc/66/36/21/775663621.db2.gz GXWVCJXHCOKURK-UHFFFAOYSA-N 1 2 318.406 1.016 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3sccc3C)CC2=O)C1 ZINC001094693281 776203165 /nfs/dbraw/zinc/20/31/65/776203165.db2.gz NIJONLNJUSWLRU-GFCCVEGCSA-N 1 2 319.430 1.257 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)c3cc(C)co3)CC2=O)C1 ZINC001094773635 776263592 /nfs/dbraw/zinc/26/35/92/776263592.db2.gz FLPNBDXWIQTODY-ZDUSSCGKSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3C[C@H]3CC(C)C)CC2=O)C1 ZINC001094787928 776278323 /nfs/dbraw/zinc/27/83/23/776278323.db2.gz KQBIXHQKUOIWSV-IIAWOOMASA-N 1 2 319.449 1.256 20 30 DDEDLO C[C@H](CCCCNCC#N)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001171578203 776351790 /nfs/dbraw/zinc/35/17/90/776351790.db2.gz ZXJNPKHHDNTMNV-ZIAGYGMSSA-N 1 2 303.410 1.234 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)[NH2+]Cc1noc(C(=O)N(C)C)n1 ZINC001172295561 776759724 /nfs/dbraw/zinc/75/97/24/776759724.db2.gz SZOQBASRKDGURO-LLVKDONJSA-N 1 2 313.361 1.364 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100957501 776760727 /nfs/dbraw/zinc/76/07/27/776760727.db2.gz ODEIRKLIGDVJKN-UKRRQHHQSA-N 1 2 318.421 1.709 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](CNC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001100965652 776770468 /nfs/dbraw/zinc/77/04/68/776770468.db2.gz UMBJLXQFIJTGHI-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100988673 776797680 /nfs/dbraw/zinc/79/76/80/776797680.db2.gz SOIMYBYOOQTWQT-AWEZNQCLSA-N 1 2 304.394 1.320 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001101042037 776851627 /nfs/dbraw/zinc/85/16/27/776851627.db2.gz SDMQHPFZGYEZML-OAHLLOKOSA-N 1 2 300.406 1.921 20 30 DDEDLO CCOC(=O)C[C@@H]([NH2+]C1CCC(CC#N)CC1)C(=O)OCC ZINC001173328234 777113140 /nfs/dbraw/zinc/11/31/40/777113140.db2.gz SYIFAYULUMSFJG-JXQTWKCFSA-N 1 2 310.394 1.933 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001095106899 777130186 /nfs/dbraw/zinc/13/01/86/777130186.db2.gz OARBEZVYXOSKQG-GFCCVEGCSA-N 1 2 305.407 1.079 20 30 DDEDLO Cc1nc(NC2(CNC(=O)Cn3cc[nH+]c3)CC2)ccc1C#N ZINC001110169596 777132417 /nfs/dbraw/zinc/13/24/17/777132417.db2.gz WRZAWRVBOUDDMP-UHFFFAOYSA-N 1 2 310.361 1.219 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cc(C)on1)C2 ZINC001095248241 777301258 /nfs/dbraw/zinc/30/12/58/777301258.db2.gz XTGXGNNUAZTZLB-RDBSUJKOSA-N 1 2 309.797 2.000 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cc(C)on1)C2 ZINC001095248241 777301263 /nfs/dbraw/zinc/30/12/63/777301263.db2.gz XTGXGNNUAZTZLB-RDBSUJKOSA-N 1 2 309.797 2.000 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)COCC1CC1)c1nccn12 ZINC001101611526 777302141 /nfs/dbraw/zinc/30/21/41/777302141.db2.gz DLWSPVBJAGNGDW-AWEZNQCLSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)COCCC)c1nccn12 ZINC001101617786 777310142 /nfs/dbraw/zinc/31/01/42/777310142.db2.gz UAEGLCCJWQIRJB-ZDUSSCGKSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)CCOC)c1nccn12 ZINC001101652804 777347417 /nfs/dbraw/zinc/34/74/17/777347417.db2.gz SCGZVMJETHZYSO-KGLIPLIRSA-N 1 2 318.421 1.314 20 30 DDEDLO N#Cc1cc(F)ccc1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001174492977 777487227 /nfs/dbraw/zinc/48/72/27/777487227.db2.gz WMDMVHGVVVOWCY-UHFFFAOYSA-N 1 2 315.352 1.970 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101803435 777539018 /nfs/dbraw/zinc/53/90/18/777539018.db2.gz KVIJRKQLPUOJMX-UHFFFAOYSA-N 1 2 306.414 1.473 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101901436 777661378 /nfs/dbraw/zinc/66/13/78/777661378.db2.gz JDBMCZGYDBXEHM-UHFFFAOYSA-N 1 2 320.441 1.719 20 30 DDEDLO Cc1noc(NC(=O)c2cc3n(n2)CCC[N@@H+](C)C3)c1C#N ZINC001175035913 777668324 /nfs/dbraw/zinc/66/83/24/777668324.db2.gz MHLKTEXFXUBATC-UHFFFAOYSA-N 1 2 300.322 1.139 20 30 DDEDLO Cc1noc(NC(=O)c2cc3n(n2)CCC[N@H+](C)C3)c1C#N ZINC001175035913 777668328 /nfs/dbraw/zinc/66/83/28/777668328.db2.gz MHLKTEXFXUBATC-UHFFFAOYSA-N 1 2 300.322 1.139 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102321222 778054616 /nfs/dbraw/zinc/05/46/16/778054616.db2.gz IFXGHUFCGPQRPJ-WMLDXEAASA-N 1 2 318.421 1.445 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC3CC3)C[C@H]21 ZINC001176955834 778347756 /nfs/dbraw/zinc/34/77/56/778347756.db2.gz ZXWQWPXQGUVFOF-SJORKVTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC3CC3)C[C@H]21 ZINC001176955834 778347761 /nfs/dbraw/zinc/34/77/61/778347761.db2.gz ZXWQWPXQGUVFOF-SJORKVTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]2OCC[N@@H+](CC(=C)C)[C@H]2C1 ZINC001176978594 778363634 /nfs/dbraw/zinc/36/36/34/778363634.db2.gz VDEQDHLVDDPOJU-DLBZAZTESA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]2OCC[N@H+](CC(=C)C)[C@H]2C1 ZINC001176978594 778363638 /nfs/dbraw/zinc/36/36/38/778363638.db2.gz VDEQDHLVDDPOJU-DLBZAZTESA-N 1 2 322.449 1.847 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)(C)C)C[C@H]21 ZINC001177007035 778386846 /nfs/dbraw/zinc/38/68/46/778386846.db2.gz WNKXVGNXCQCIBS-CVEARBPZSA-N 1 2 302.418 1.361 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)(C)C)C[C@H]21 ZINC001177007035 778386854 /nfs/dbraw/zinc/38/68/54/778386854.db2.gz WNKXVGNXCQCIBS-CVEARBPZSA-N 1 2 302.418 1.361 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H]2OCC[N@@H+](CCCO)[C@@H]2C1 ZINC001177019317 778395330 /nfs/dbraw/zinc/39/53/30/778395330.db2.gz KIGGGTYBPRAMCN-IAGOWNOFSA-N 1 2 322.449 1.439 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H]2OCC[N@H+](CCCO)[C@@H]2C1 ZINC001177019317 778395333 /nfs/dbraw/zinc/39/53/33/778395333.db2.gz KIGGGTYBPRAMCN-IAGOWNOFSA-N 1 2 322.449 1.439 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102798584 778408879 /nfs/dbraw/zinc/40/88/79/778408879.db2.gz FWLOFLAOAKZFBH-CQSZACIVSA-N 1 2 304.394 1.415 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC(F)F)C[C@@H]21 ZINC001177050176 778413076 /nfs/dbraw/zinc/41/30/76/778413076.db2.gz YGYDRHQSGWTNNX-QWHCGFSZSA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCC(F)F)C[C@@H]21 ZINC001177050176 778413081 /nfs/dbraw/zinc/41/30/81/778413081.db2.gz YGYDRHQSGWTNNX-QWHCGFSZSA-N 1 2 302.365 1.910 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102817348 778424898 /nfs/dbraw/zinc/42/48/98/778424898.db2.gz FKXQIKCIXCLGEZ-DZGCQCFKSA-N 1 2 316.405 1.251 20 30 DDEDLO N#Cc1cc(N)c(NC(=O)[C@H]2CCc3[nH+]ccn3C2)cc1C#N ZINC001178117733 778881708 /nfs/dbraw/zinc/88/17/08/778881708.db2.gz FVSVTLFNZFBLIF-JTQLQIEISA-N 1 2 306.329 1.410 20 30 DDEDLO C=C[C@@H]1C[C@@]1(NC(=O)[C@H]1CCc2[nH+]ccn2C1)C(=O)OCC ZINC001178118689 778881964 /nfs/dbraw/zinc/88/19/64/778881964.db2.gz DAZCZDZIORFGTR-OZVIIMIRSA-N 1 2 303.362 1.070 20 30 DDEDLO Cc1nc(NC[C@](C)(NC(=O)c2cc(C#N)c[nH]2)C2CC2)cc[nH+]1 ZINC001103512356 778915467 /nfs/dbraw/zinc/91/54/67/778915467.db2.gz IYDLGYMCFAAWRH-KRWDZBQOSA-N 1 2 324.388 1.995 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H]1CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001103784421 779044448 /nfs/dbraw/zinc/04/44/48/779044448.db2.gz XLBKXSHOVNZSBL-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO CCCC[N@@H+]1CCOC[C@@H]1C(=O)NCCCCCCNCC#N ZINC001179134129 779290618 /nfs/dbraw/zinc/29/06/18/779290618.db2.gz GKSFTSMPZYLGJT-MRXNPFEDSA-N 1 2 324.469 1.277 20 30 DDEDLO CCCC[N@H+]1CCOC[C@@H]1C(=O)NCCCCCCNCC#N ZINC001179134129 779290622 /nfs/dbraw/zinc/29/06/22/779290622.db2.gz GKSFTSMPZYLGJT-MRXNPFEDSA-N 1 2 324.469 1.277 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1COCCN1c1nc(C)[nH+]c(C)c1C ZINC001111674299 779430387 /nfs/dbraw/zinc/43/03/87/779430387.db2.gz XVBVMIANKXEROP-OAHLLOKOSA-N 1 2 318.421 1.689 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCc3c[nH]c[nH+]3)CCC2)nc1 ZINC001111920004 779559994 /nfs/dbraw/zinc/55/99/94/779559994.db2.gz FKBKLTPYLJPHCQ-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCc3c[nH+]c[nH]3)CCC2)nc1 ZINC001111920004 779560002 /nfs/dbraw/zinc/56/00/02/779560002.db2.gz FKBKLTPYLJPHCQ-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([NH2+]Cc2cnsn2)[C@@H]1C ZINC001180268665 779686891 /nfs/dbraw/zinc/68/68/91/779686891.db2.gz FDIMVHITXPNYSD-LOWVWBTDSA-N 1 2 310.423 1.208 20 30 DDEDLO Cc1nc(N[C@@H](C)CN(C)C(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001119980256 781707180 /nfs/dbraw/zinc/70/71/80/781707180.db2.gz UKFNNHHWEGUARX-NSHDSACASA-N 1 2 312.377 1.486 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](C)CC(=O)N1CCCC[C@H]1C ZINC001267211147 837541594 /nfs/dbraw/zinc/54/15/94/837541594.db2.gz BFPQVJMSKDFVQE-CQSZACIVSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](C)CC(=O)N1CCCC[C@H]1C ZINC001267211147 837541599 /nfs/dbraw/zinc/54/15/99/837541599.db2.gz BFPQVJMSKDFVQE-CQSZACIVSA-N 1 2 309.454 1.648 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@@H+](C)CC(=O)N[C@H](C)C2CC2)CC1 ZINC001267216458 837549942 /nfs/dbraw/zinc/54/99/42/837549942.db2.gz XYNTXTZECQXFNM-CQSZACIVSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@H+](C)CC(=O)N[C@H](C)C2CC2)CC1 ZINC001267216458 837549948 /nfs/dbraw/zinc/54/99/48/837549948.db2.gz XYNTXTZECQXFNM-CQSZACIVSA-N 1 2 321.465 1.696 20 30 DDEDLO C[N@H+](CCNC(=O)C(C)(C)CC(C)(C)C)CC(=O)NCC#N ZINC001267231157 837570300 /nfs/dbraw/zinc/57/03/00/837570300.db2.gz QFAKGGCHMLKQLZ-UHFFFAOYSA-N 1 2 310.442 1.137 20 30 DDEDLO C[N@@H+](CCNC(=O)C(C)(C)CC(C)(C)C)CC(=O)NCC#N ZINC001267231157 837570303 /nfs/dbraw/zinc/57/03/03/837570303.db2.gz QFAKGGCHMLKQLZ-UHFFFAOYSA-N 1 2 310.442 1.137 20 30 DDEDLO Cn1ccc(C[N@@H+]2CCC[C@@H]2CNC(=O)C#CC(C)(C)C)n1 ZINC001267266372 837701375 /nfs/dbraw/zinc/70/13/75/837701375.db2.gz DRKSUXJSQVHLNL-OAHLLOKOSA-N 1 2 302.422 1.550 20 30 DDEDLO Cn1ccc(C[N@H+]2CCC[C@@H]2CNC(=O)C#CC(C)(C)C)n1 ZINC001267266372 837701381 /nfs/dbraw/zinc/70/13/81/837701381.db2.gz DRKSUXJSQVHLNL-OAHLLOKOSA-N 1 2 302.422 1.550 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CCC[N@@H+]1CCS(C)(=O)=O ZINC001267274424 837722027 /nfs/dbraw/zinc/72/20/27/837722027.db2.gz OVWAYRCSGBABQV-HIFRSBDPSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CCC[N@H+]1CCS(C)(=O)=O ZINC001267274424 837722031 /nfs/dbraw/zinc/72/20/31/837722031.db2.gz OVWAYRCSGBABQV-HIFRSBDPSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H](C)[C@H](C)C(C)(C)C ZINC001266338028 836139194 /nfs/dbraw/zinc/13/91/94/836139194.db2.gz VCEKLWCABFLNQX-KBPBESRZSA-N 1 2 311.470 1.655 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H](C)[C@H](C)C(C)(C)C ZINC001266338028 836139197 /nfs/dbraw/zinc/13/91/97/836139197.db2.gz VCEKLWCABFLNQX-KBPBESRZSA-N 1 2 311.470 1.655 20 30 DDEDLO CCCN(C(=O)CCc1[nH+]ccn1C)C1CCN(CC#N)CC1 ZINC001266388590 836196167 /nfs/dbraw/zinc/19/61/67/836196167.db2.gz JLBVFZAQYMTOOK-UHFFFAOYSA-N 1 2 317.437 1.579 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1coc(C)n1 ZINC001266465395 836302019 /nfs/dbraw/zinc/30/20/19/836302019.db2.gz VMGOPAFORVQVNG-DOMZBBRYSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1coc(C)n1 ZINC001266465395 836302033 /nfs/dbraw/zinc/30/20/33/836302033.db2.gz VMGOPAFORVQVNG-DOMZBBRYSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+]([C@H](C)c2nnc(CC)[nH]2)C1 ZINC001266511600 836385983 /nfs/dbraw/zinc/38/59/83/836385983.db2.gz OKRBOLOTZMWWIC-KGLIPLIRSA-N 1 2 317.437 1.670 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+]([C@H](C)c2nnc(CC)[nH]2)C1 ZINC001266511600 836385998 /nfs/dbraw/zinc/38/59/98/836385998.db2.gz OKRBOLOTZMWWIC-KGLIPLIRSA-N 1 2 317.437 1.670 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001266692122 836677233 /nfs/dbraw/zinc/67/72/33/836677233.db2.gz GVJUMBBVJZBTJE-UHFFFAOYSA-N 1 2 306.410 1.575 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001266894159 837015307 /nfs/dbraw/zinc/01/53/07/837015307.db2.gz GYKMSHGMNMGVCY-GJZGRUSLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001266894159 837015319 /nfs/dbraw/zinc/01/53/19/837015319.db2.gz GYKMSHGMNMGVCY-GJZGRUSLSA-N 1 2 319.453 1.888 20 30 DDEDLO CCCCCC#CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)C ZINC001271959720 844240085 /nfs/dbraw/zinc/24/00/85/844240085.db2.gz YZYQVTUXBPPQSE-IYBDPMFKSA-N 1 2 319.449 1.334 20 30 DDEDLO CCCCCC#CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(C)C ZINC001271959720 844240093 /nfs/dbraw/zinc/24/00/93/844240093.db2.gz YZYQVTUXBPPQSE-IYBDPMFKSA-N 1 2 319.449 1.334 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1conc1C ZINC001267406201 838002126 /nfs/dbraw/zinc/00/21/26/838002126.db2.gz XNQPMHUYJIAUTF-BMFZPTHFSA-N 1 2 317.389 1.197 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1conc1C ZINC001267406201 838002141 /nfs/dbraw/zinc/00/21/41/838002141.db2.gz XNQPMHUYJIAUTF-BMFZPTHFSA-N 1 2 317.389 1.197 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](C[N@@H+](C)Cc2ncnn2CC)C1 ZINC001267581477 838359424 /nfs/dbraw/zinc/35/94/24/838359424.db2.gz ANVBNCOGFRMMTD-HNNXBMFYSA-N 1 2 319.453 1.935 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](C[N@H+](C)Cc2ncnn2CC)C1 ZINC001267581477 838359431 /nfs/dbraw/zinc/35/94/31/838359431.db2.gz ANVBNCOGFRMMTD-HNNXBMFYSA-N 1 2 319.453 1.935 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2cocc2C)C1 ZINC001267616310 838484951 /nfs/dbraw/zinc/48/49/51/838484951.db2.gz HFXFDYNLYPBNNU-CYBMUJFWSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2cocc2C)C1 ZINC001267616310 838484955 /nfs/dbraw/zinc/48/49/55/838484955.db2.gz HFXFDYNLYPBNNU-CYBMUJFWSA-N 1 2 305.378 1.084 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CCC[C@H](NC(=O)C#CC3CC3)C2)cn1 ZINC001267626045 838521658 /nfs/dbraw/zinc/52/16/58/838521658.db2.gz LODPOKJLQMXJGM-HOCLYGCPSA-N 1 2 312.417 1.840 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CCC[C@H](NC(=O)C#CC3CC3)C2)cn1 ZINC001267626045 838521660 /nfs/dbraw/zinc/52/16/60/838521660.db2.gz LODPOKJLQMXJGM-HOCLYGCPSA-N 1 2 312.417 1.840 20 30 DDEDLO C#CCCOc1ccc(C(=O)NC2C[NH+](CCOC)C2)cc1 ZINC001267645508 838566799 /nfs/dbraw/zinc/56/67/99/838566799.db2.gz ZSIRPZUYWWDCQQ-UHFFFAOYSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC(CNC(=O)C[C@@H]2CCCC[C@@H]2C)C1 ZINC001267700412 838676355 /nfs/dbraw/zinc/67/63/55/838676355.db2.gz CINANSAUCWVWDG-HOCLYGCPSA-N 1 2 319.449 1.000 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001267739368 838800682 /nfs/dbraw/zinc/80/06/82/838800682.db2.gz OUTXDMMZQVEZLV-MRXNPFEDSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C1 ZINC001267739368 838800691 /nfs/dbraw/zinc/80/06/91/838800691.db2.gz OUTXDMMZQVEZLV-MRXNPFEDSA-N 1 2 321.396 1.894 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@@H]1CC[N@H+](Cc2cnc(C)cn2)C1 ZINC001267784527 838972876 /nfs/dbraw/zinc/97/28/76/838972876.db2.gz PTGBFBYYMPZUOV-QGZVFWFLSA-N 1 2 312.417 1.621 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@@H]1CC[N@@H+](Cc2cnc(C)cn2)C1 ZINC001267784527 838972878 /nfs/dbraw/zinc/97/28/78/838972878.db2.gz PTGBFBYYMPZUOV-QGZVFWFLSA-N 1 2 312.417 1.621 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1C[N@H+](CCOCC2CC2)CCO1 ZINC001268023655 839408330 /nfs/dbraw/zinc/40/83/30/839408330.db2.gz NXEZRIJNWLPNCZ-MRXNPFEDSA-N 1 2 324.465 1.832 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1C[N@@H+](CCOCC2CC2)CCO1 ZINC001268023655 839408334 /nfs/dbraw/zinc/40/83/34/839408334.db2.gz NXEZRIJNWLPNCZ-MRXNPFEDSA-N 1 2 324.465 1.832 20 30 DDEDLO CC(C)n1ccc(C[N@H+](C)CCN(C)C(=O)CSCC#N)n1 ZINC001268233650 839867960 /nfs/dbraw/zinc/86/79/60/839867960.db2.gz QPFCYNIIVIQGNV-UHFFFAOYSA-N 1 2 323.466 1.611 20 30 DDEDLO CC(C)n1ccc(C[N@@H+](C)CCN(C)C(=O)CSCC#N)n1 ZINC001268233650 839867969 /nfs/dbraw/zinc/86/79/69/839867969.db2.gz QPFCYNIIVIQGNV-UHFFFAOYSA-N 1 2 323.466 1.611 20 30 DDEDLO C#CCCCCC(=O)NC1C[NH+](Cc2ccc(CO)cc2)C1 ZINC001268280361 839937450 /nfs/dbraw/zinc/93/74/50/839937450.db2.gz IUTMJGXNWCULMK-UHFFFAOYSA-N 1 2 300.402 1.673 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001268434038 840180095 /nfs/dbraw/zinc/18/00/95/840180095.db2.gz XLAQDYOUSLOWQO-UHFFFAOYSA-N 1 2 305.378 1.021 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[N@@H+](C)Cc1c(C)nnn1CC ZINC001272080675 844562858 /nfs/dbraw/zinc/56/28/58/844562858.db2.gz QSTCHVSRGIQISJ-UHFFFAOYSA-N 1 2 307.442 1.709 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[N@H+](C)Cc1c(C)nnn1CC ZINC001272080675 844562868 /nfs/dbraw/zinc/56/28/68/844562868.db2.gz QSTCHVSRGIQISJ-UHFFFAOYSA-N 1 2 307.442 1.709 20 30 DDEDLO C=CCCC(=O)N(C)CCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001268783829 840801907 /nfs/dbraw/zinc/80/19/07/840801907.db2.gz NPFXTXFYBMTZMM-UHFFFAOYSA-N 1 2 308.430 1.332 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCN(C(=O)[C@@H](C)n3cc[nH+]c3)C2)C1=O ZINC001269158145 841278497 /nfs/dbraw/zinc/27/84/97/841278497.db2.gz OKJBKCYJUBWRJF-PBHICJAKSA-N 1 2 316.405 1.471 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001269159759 841280438 /nfs/dbraw/zinc/28/04/38/841280438.db2.gz VABBZYBLSNRJAW-QWHCGFSZSA-N 1 2 307.394 1.976 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001269159759 841280450 /nfs/dbraw/zinc/28/04/50/841280450.db2.gz VABBZYBLSNRJAW-QWHCGFSZSA-N 1 2 307.394 1.976 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001269234455 841394402 /nfs/dbraw/zinc/39/44/02/841394402.db2.gz QQFHCJJYXZYUKI-MRXNPFEDSA-N 1 2 302.422 1.565 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001269234455 841394414 /nfs/dbraw/zinc/39/44/14/841394414.db2.gz QQFHCJJYXZYUKI-MRXNPFEDSA-N 1 2 302.422 1.565 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001269239721 841403501 /nfs/dbraw/zinc/40/35/01/841403501.db2.gz CTBNGKBEFYRDME-OAHLLOKOSA-N 1 2 319.405 1.364 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001269239721 841403509 /nfs/dbraw/zinc/40/35/09/841403509.db2.gz CTBNGKBEFYRDME-OAHLLOKOSA-N 1 2 319.405 1.364 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CC[N@H+](CC(=O)NCC2CC2)C1 ZINC001269263654 841438290 /nfs/dbraw/zinc/43/82/90/841438290.db2.gz SAKFDOCJJDGTTD-OAHLLOKOSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001269263654 841438299 /nfs/dbraw/zinc/43/82/99/841438299.db2.gz SAKFDOCJJDGTTD-OAHLLOKOSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCCCC ZINC001269273499 841451469 /nfs/dbraw/zinc/45/14/69/841451469.db2.gz YPAMJMSFZSWCAM-KBPBESRZSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCCC ZINC001269273499 841451475 /nfs/dbraw/zinc/45/14/75/841451475.db2.gz YPAMJMSFZSWCAM-KBPBESRZSA-N 1 2 309.454 1.694 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)C1(Cc2ccccc2F)CC1 ZINC001269320265 841513713 /nfs/dbraw/zinc/51/37/13/841513713.db2.gz AAEHAOWRZRWYQM-OAHLLOKOSA-N 1 2 300.377 1.972 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)C1(Cc2ccccc2F)CC1 ZINC001269320265 841513720 /nfs/dbraw/zinc/51/37/20/841513720.db2.gz AAEHAOWRZRWYQM-OAHLLOKOSA-N 1 2 300.377 1.972 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@H]1CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001269323992 841516818 /nfs/dbraw/zinc/51/68/18/841516818.db2.gz FRTUWUWZSUJJQE-HOCLYGCPSA-N 1 2 312.417 1.874 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@H]1CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001269323992 841516828 /nfs/dbraw/zinc/51/68/28/841516828.db2.gz FRTUWUWZSUJJQE-HOCLYGCPSA-N 1 2 312.417 1.874 20 30 DDEDLO CC[C@@H](CNC(=O)C#CC1CC1)[NH2+]Cc1nc(C2CCC2)no1 ZINC001269402072 841609740 /nfs/dbraw/zinc/60/97/40/841609740.db2.gz ILGCYJXJAOKIPF-AWEZNQCLSA-N 1 2 316.405 1.735 20 30 DDEDLO C[C@@H]([NH2+]C1(CNC(=O)C#CC(C)(C)C)CC1)c1csnn1 ZINC001269411310 841616436 /nfs/dbraw/zinc/61/64/36/841616436.db2.gz BRSHMULJISBSFD-LLVKDONJSA-N 1 2 306.435 1.887 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cncc(COC)c2)C1 ZINC001269805725 842063820 /nfs/dbraw/zinc/06/38/20/842063820.db2.gz MHTJAURKSPKAAW-UHFFFAOYSA-N 1 2 319.405 1.187 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H](CC[NH2+]Cc2nnn(C)n2)C1 ZINC001326337276 861290703 /nfs/dbraw/zinc/29/07/03/861290703.db2.gz BPSNTNNYHUWWSJ-KGLIPLIRSA-N 1 2 320.441 1.141 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ncccn1 ZINC001270476600 842606581 /nfs/dbraw/zinc/60/65/81/842606581.db2.gz MDBQPAQCPBEUEE-CABCVRRESA-N 1 2 316.405 1.245 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1ncccn1 ZINC001270476600 842606587 /nfs/dbraw/zinc/60/65/87/842606587.db2.gz MDBQPAQCPBEUEE-CABCVRRESA-N 1 2 316.405 1.245 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1C[N@H+](CCn2cccn2)CCO1 ZINC001270656311 842781120 /nfs/dbraw/zinc/78/11/20/842781120.db2.gz BUUICDZQCOPGEE-OAHLLOKOSA-N 1 2 306.410 1.056 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1C[N@@H+](CCn2cccn2)CCO1 ZINC001270656311 842781124 /nfs/dbraw/zinc/78/11/24/842781124.db2.gz BUUICDZQCOPGEE-OAHLLOKOSA-N 1 2 306.410 1.056 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)[C@H]4CC4(C)C)C3)C2)cc1C#N ZINC001270760796 842882856 /nfs/dbraw/zinc/88/28/56/842882856.db2.gz MTCCYEWSVIQAAM-OAHLLOKOSA-N 1 2 312.417 1.587 20 30 DDEDLO CC#CC(=O)N1CC[C@H]2C[C@]21C(=O)Nc1cccc2[nH+]ccn21 ZINC001271088352 843196899 /nfs/dbraw/zinc/19/68/99/843196899.db2.gz DPFTUWJFBWWXEH-YVEFUNNKSA-N 1 2 308.341 1.287 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@]1(O)CC[N@H+](Cc2cnns2)C1 ZINC001271193394 843398812 /nfs/dbraw/zinc/39/88/12/843398812.db2.gz VJALVZPLZQMKLM-HNNXBMFYSA-N 1 2 324.450 1.193 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@]1(O)CC[N@@H+](Cc2cnns2)C1 ZINC001271193394 843398825 /nfs/dbraw/zinc/39/88/25/843398825.db2.gz VJALVZPLZQMKLM-HNNXBMFYSA-N 1 2 324.450 1.193 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001154751410 861424401 /nfs/dbraw/zinc/42/44/01/861424401.db2.gz VWPXUHWCPTWENG-KGLIPLIRSA-N 1 2 307.442 1.744 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001154751410 861424410 /nfs/dbraw/zinc/42/44/10/861424410.db2.gz VWPXUHWCPTWENG-KGLIPLIRSA-N 1 2 307.442 1.744 20 30 DDEDLO C=C[C@@H](CC(=O)N(C)CC[N@H+](C)CC(N)=O)c1ccccc1 ZINC001272179166 844681077 /nfs/dbraw/zinc/68/10/77/844681077.db2.gz YXMPICRWTUULDT-AWEZNQCLSA-N 1 2 303.406 1.222 20 30 DDEDLO C=C[C@@H](CC(=O)N(C)CC[N@@H+](C)CC(N)=O)c1ccccc1 ZINC001272179166 844681081 /nfs/dbraw/zinc/68/10/81/844681081.db2.gz YXMPICRWTUULDT-AWEZNQCLSA-N 1 2 303.406 1.222 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C)c1CC ZINC001326643873 861505692 /nfs/dbraw/zinc/50/56/92/861505692.db2.gz PFBFSWUXZWTPSJ-AWEZNQCLSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C)c1CC ZINC001326643873 861505698 /nfs/dbraw/zinc/50/56/98/861505698.db2.gz PFBFSWUXZWTPSJ-AWEZNQCLSA-N 1 2 320.437 1.379 20 30 DDEDLO N#CCCCN1C(=O)COCC12C[NH+](CCCC(F)(F)F)C2 ZINC001272506099 846234658 /nfs/dbraw/zinc/23/46/58/846234658.db2.gz KBAXXLAMKBLFIJ-UHFFFAOYSA-N 1 2 319.327 1.546 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@H](CC)OC ZINC001235328302 846411182 /nfs/dbraw/zinc/41/11/82/846411182.db2.gz XZIVQAPRGROGCM-NSHDSACASA-N 1 2 307.232 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@H](CC)OC ZINC001235328302 846411189 /nfs/dbraw/zinc/41/11/89/846411189.db2.gz XZIVQAPRGROGCM-NSHDSACASA-N 1 2 307.232 1.758 20 30 DDEDLO CN(CC#N)Cc1ccccc1CNC(=O)CCn1cc[nH+]c1 ZINC001272675796 846571710 /nfs/dbraw/zinc/57/17/10/846571710.db2.gz RJGWWJBTURMART-UHFFFAOYSA-N 1 2 311.389 1.545 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001409914470 846592192 /nfs/dbraw/zinc/59/21/92/846592192.db2.gz MNWYOCYEFUOUDY-DGCLKSJQSA-N 1 2 313.829 1.234 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1ccon1 ZINC001272756268 847376688 /nfs/dbraw/zinc/37/66/88/847376688.db2.gz CILHUMAXGQSFPH-MRXNPFEDSA-N 1 2 302.378 1.935 20 30 DDEDLO N#CCCCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1ccon1 ZINC001272756268 847376701 /nfs/dbraw/zinc/37/67/01/847376701.db2.gz CILHUMAXGQSFPH-MRXNPFEDSA-N 1 2 302.378 1.935 20 30 DDEDLO C=C(C)CNC(=O)[C@@H]1CC12CC[NH+](Cc1ncccn1)CC2 ZINC001272796178 847438585 /nfs/dbraw/zinc/43/85/85/847438585.db2.gz ORIMPKYXDPJWMZ-AWEZNQCLSA-N 1 2 300.406 1.771 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnc(C)cn1 ZINC001272835058 847528601 /nfs/dbraw/zinc/52/86/01/847528601.db2.gz RGHQYVZVDVTFRD-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnc(C)cn1 ZINC001272835058 847528611 /nfs/dbraw/zinc/52/86/11/847528611.db2.gz RGHQYVZVDVTFRD-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncc(F)c1 ZINC001272851562 847550556 /nfs/dbraw/zinc/55/05/56/847550556.db2.gz SPMIUJSBJHERNL-HNNXBMFYSA-N 1 2 307.369 1.618 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncc(F)c1 ZINC001272851562 847550562 /nfs/dbraw/zinc/55/05/62/847550562.db2.gz SPMIUJSBJHERNL-HNNXBMFYSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@H+]2Cc2cc(C)n(C)n2)C1=O ZINC001272883042 847590419 /nfs/dbraw/zinc/59/04/19/847590419.db2.gz REMIYCXDUADOHB-QGZVFWFLSA-N 1 2 302.422 1.872 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@@H+]2Cc2cc(C)n(C)n2)C1=O ZINC001272883042 847590428 /nfs/dbraw/zinc/59/04/28/847590428.db2.gz REMIYCXDUADOHB-QGZVFWFLSA-N 1 2 302.422 1.872 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](CCc3ccc(F)cc3)C2)OCC1=O ZINC001273040728 847826876 /nfs/dbraw/zinc/82/68/76/847826876.db2.gz FCMNKWXPTMWJFJ-UHFFFAOYSA-N 1 2 318.392 1.858 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC001034154250 848043004 /nfs/dbraw/zinc/04/30/04/848043004.db2.gz KJFCGCZOBGENSW-CYBMUJFWSA-N 1 2 310.829 1.984 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC001034154250 848043012 /nfs/dbraw/zinc/04/30/12/848043012.db2.gz KJFCGCZOBGENSW-CYBMUJFWSA-N 1 2 310.829 1.984 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC001034154250 848043021 /nfs/dbraw/zinc/04/30/21/848043021.db2.gz KJFCGCZOBGENSW-CYBMUJFWSA-N 1 2 310.829 1.984 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC001034154250 848043030 /nfs/dbraw/zinc/04/30/30/848043030.db2.gz KJFCGCZOBGENSW-CYBMUJFWSA-N 1 2 310.829 1.984 20 30 DDEDLO C#CCC[N@H+]1CCCC[C@H](NC(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001034217575 848124832 /nfs/dbraw/zinc/12/48/32/848124832.db2.gz QPRAIWXQEFOKLH-ZDUSSCGKSA-N 1 2 311.389 1.566 20 30 DDEDLO C#CCC[N@@H+]1CCCC[C@H](NC(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001034217575 848124837 /nfs/dbraw/zinc/12/48/37/848124837.db2.gz QPRAIWXQEFOKLH-ZDUSSCGKSA-N 1 2 311.389 1.566 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001327093159 861872651 /nfs/dbraw/zinc/87/26/51/861872651.db2.gz AVYYUGVVAVBNFD-AEFFLSMTSA-N 1 2 320.481 1.945 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)o1 ZINC001155326201 861963611 /nfs/dbraw/zinc/96/36/11/861963611.db2.gz UDNYNFPMIQQXBC-YUELXQCFSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)o1 ZINC001155326201 861963620 /nfs/dbraw/zinc/96/36/20/861963620.db2.gz UDNYNFPMIQQXBC-YUELXQCFSA-N 1 2 321.421 1.901 20 30 DDEDLO C#CCNC(=O)[C@H]1CC12CC[NH+](Cc1cnc(Cl)nc1)CC2 ZINC001273278697 849327636 /nfs/dbraw/zinc/32/76/36/849327636.db2.gz VVVPGUUDVJSBEO-CYBMUJFWSA-N 1 2 318.808 1.482 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cc(C)ccc1OC)C2 ZINC001273332649 849563587 /nfs/dbraw/zinc/56/35/87/849563587.db2.gz PFEYUXFPOWOYJK-UHFFFAOYSA-N 1 2 316.401 1.603 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1cccnc1F ZINC001273394721 849840134 /nfs/dbraw/zinc/84/01/34/849840134.db2.gz PHSGHBNOXCLPCP-KRWDZBQOSA-N 1 2 301.365 1.811 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1cccnc1F ZINC001273394721 849840140 /nfs/dbraw/zinc/84/01/40/849840140.db2.gz PHSGHBNOXCLPCP-KRWDZBQOSA-N 1 2 301.365 1.811 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CCC2(C[NH+](CCOCC)C2)O1 ZINC001327358198 862100520 /nfs/dbraw/zinc/10/05/20/862100520.db2.gz DYSBMBDSDUWSMM-INIZCTEOSA-N 1 2 322.449 1.566 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2COC3(C[NH+]([C@@H](C)COC)C3)C2)CCC1 ZINC001327408210 862148780 /nfs/dbraw/zinc/14/87/80/862148780.db2.gz RGTAWFOTBOHOQK-LSDHHAIUSA-N 1 2 322.449 1.727 20 30 DDEDLO C=CCN1CC2(C[NH+](CCc3ccc(C)cc3)C2)OCC1=O ZINC001273721625 851273855 /nfs/dbraw/zinc/27/38/55/851273855.db2.gz PXGSLAVPWZTIDH-UHFFFAOYSA-N 1 2 300.402 1.637 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](C[C@H](O)C(C)(C)C)C[C@@]2(F)C1=O ZINC001273892365 851470457 /nfs/dbraw/zinc/47/04/57/851470457.db2.gz PHSUZXTWWZYABD-TUKIKUTGSA-N 1 2 302.365 1.154 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](C[C@H](O)C(C)(C)C)C[C@@]2(F)C1=O ZINC001273892365 851470459 /nfs/dbraw/zinc/47/04/59/851470459.db2.gz PHSUZXTWWZYABD-TUKIKUTGSA-N 1 2 302.365 1.154 20 30 DDEDLO CC(C)(C)C#CC(=O)NC/C=C\C[NH2+]Cc1ncnn1CCF ZINC001274017101 851852725 /nfs/dbraw/zinc/85/27/25/851852725.db2.gz RAIRQOGMWWDCBH-PLNGDYQASA-N 1 2 321.400 1.059 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3nc(C)cc(C)n3)C2)OCC1=O ZINC001274018549 851856513 /nfs/dbraw/zinc/85/65/13/851856513.db2.gz SVWSXJWKZAYRSO-KRWDZBQOSA-N 1 2 316.405 1.083 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3nc(C)cc(C)n3)C2)OCC1=O ZINC001274018549 851856521 /nfs/dbraw/zinc/85/65/21/851856521.db2.gz SVWSXJWKZAYRSO-KRWDZBQOSA-N 1 2 316.405 1.083 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2Cc2cc(OC)ncc2F)C1=O ZINC001274026009 851864544 /nfs/dbraw/zinc/86/45/44/851864544.db2.gz PCKXZQLKONPAET-KRWDZBQOSA-N 1 2 319.380 1.982 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2Cc2cc(OC)ncc2F)C1=O ZINC001274026009 851864551 /nfs/dbraw/zinc/86/45/51/851864551.db2.gz PCKXZQLKONPAET-KRWDZBQOSA-N 1 2 319.380 1.982 20 30 DDEDLO N#CCC[NH+]1CCC2(C[C@H]2C(=O)NCC2CCOCC2)CC1 ZINC001274031919 851871624 /nfs/dbraw/zinc/87/16/24/851871624.db2.gz CFLWFPHIRBEPEJ-HNNXBMFYSA-N 1 2 305.422 1.545 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC/C=C/C[NH2+]Cc1nnc(CC)o1 ZINC001274255065 852095119 /nfs/dbraw/zinc/09/51/19/852095119.db2.gz XEKWACSSZPWKIT-BQYQJAHWSA-N 1 2 306.410 1.996 20 30 DDEDLO N#CCCCN1CC[C@]2(CCC[N@H+](Cc3nnc[nH]3)CC2)C1=O ZINC001274356738 852192378 /nfs/dbraw/zinc/19/23/78/852192378.db2.gz XQOFELAYTDVLKU-MRXNPFEDSA-N 1 2 316.409 1.313 20 30 DDEDLO N#CCCCN1CC[C@]2(CCC[N@@H+](Cc3nnc[nH]3)CC2)C1=O ZINC001274356738 852192382 /nfs/dbraw/zinc/19/23/82/852192382.db2.gz XQOFELAYTDVLKU-MRXNPFEDSA-N 1 2 316.409 1.313 20 30 DDEDLO C=CCNC(=O)[C@H]1CC12CC[NH+](Cc1ncc(C)cn1)CC2 ZINC001274441448 852270404 /nfs/dbraw/zinc/27/04/04/852270404.db2.gz CPYRISPDOGETGR-CQSZACIVSA-N 1 2 300.406 1.689 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H](CCC)CC(C)C)CC2)C1 ZINC001274479932 852312516 /nfs/dbraw/zinc/31/25/16/852312516.db2.gz NYONECSYKAJCPU-KRWDZBQOSA-N 1 2 319.493 1.910 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@H+](Cc3cc(F)ccn3)C2)OCC1=O ZINC001274585250 852416163 /nfs/dbraw/zinc/41/61/63/852416163.db2.gz NLYKYSAYCQKGOG-KRWDZBQOSA-N 1 2 319.380 1.600 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@@H+](Cc3cc(F)ccn3)C2)OCC1=O ZINC001274585250 852416171 /nfs/dbraw/zinc/41/61/71/852416171.db2.gz NLYKYSAYCQKGOG-KRWDZBQOSA-N 1 2 319.380 1.600 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)CSCC#N)CC[N@@H+]1Cc1ccon1 ZINC001274597448 852426647 /nfs/dbraw/zinc/42/66/47/852426647.db2.gz OJPFXAJVDQXFMH-VXGBXAGGSA-N 1 2 308.407 1.400 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)CSCC#N)CC[N@H+]1Cc1ccon1 ZINC001274597448 852426655 /nfs/dbraw/zinc/42/66/55/852426655.db2.gz OJPFXAJVDQXFMH-VXGBXAGGSA-N 1 2 308.407 1.400 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3ccc(CC)cc3O)C2)OCC1=O ZINC001274652675 852486813 /nfs/dbraw/zinc/48/68/13/852486813.db2.gz CHBUKKSTWXWVTF-UHFFFAOYSA-N 1 2 314.385 1.001 20 30 DDEDLO C=CCCC(=O)N1CC(N(C)C(=O)[C@@H]2CCc3[nH+]ccn3C2)C1 ZINC001299373639 852563119 /nfs/dbraw/zinc/56/31/19/852563119.db2.gz UOGOQQQDQINXIG-CYBMUJFWSA-N 1 2 316.405 1.081 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc(C3CC3)cc1)C2 ZINC001274816281 852612314 /nfs/dbraw/zinc/61/23/14/852612314.db2.gz HJOKMCUJMFNYKN-UHFFFAOYSA-N 1 2 310.397 1.610 20 30 DDEDLO CCn1nncc1C[NH+]1CC([C@@H](C)NC(=O)C#CC(C)(C)C)C1 ZINC001276376339 856299821 /nfs/dbraw/zinc/29/98/21/856299821.db2.gz MCJNUCUSOWUGAL-CYBMUJFWSA-N 1 2 317.437 1.284 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H](NC(=O)CSCC#N)C[C@@H]2C)n1 ZINC001328220697 862784743 /nfs/dbraw/zinc/78/47/43/862784743.db2.gz CBBYSHOTETXQNE-CMPLNLGQSA-N 1 2 323.422 1.104 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H](NC(=O)CSCC#N)C[C@@H]2C)n1 ZINC001328220697 862784753 /nfs/dbraw/zinc/78/47/53/862784753.db2.gz CBBYSHOTETXQNE-CMPLNLGQSA-N 1 2 323.422 1.104 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)c(CC)s2)C1 ZINC001047619076 856757919 /nfs/dbraw/zinc/75/79/19/856757919.db2.gz AKZALAQKMCKNBM-KBPBESRZSA-N 1 2 320.458 1.759 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)c(CC)s2)C1 ZINC001047619076 856757922 /nfs/dbraw/zinc/75/79/22/856757922.db2.gz AKZALAQKMCKNBM-KBPBESRZSA-N 1 2 320.458 1.759 20 30 DDEDLO C=CCOCCCOC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001123024798 859061863 /nfs/dbraw/zinc/06/18/63/859061863.db2.gz LZAPEIGOIRKNDI-CABCVRRESA-N 1 2 313.394 1.002 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2CC[NH+](Cc3nncn3C)CC2)C1 ZINC001224476720 881412788 /nfs/dbraw/zinc/41/27/88/881412788.db2.gz KDMINZOMQPLKJJ-UHFFFAOYSA-N 1 2 317.437 1.500 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H](Nc2cc[nH+]c(C)n2)C(C)(C)C1 ZINC001123926654 859477984 /nfs/dbraw/zinc/47/79/84/859477984.db2.gz HQLCPNSZPMYAPX-CYBMUJFWSA-N 1 2 304.394 1.637 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125264731 859937239 /nfs/dbraw/zinc/93/72/39/859937239.db2.gz GWTNOARAZKOIMB-RHSMWYFYSA-N 1 2 320.437 1.643 20 30 DDEDLO C=CCn1cc(C[N@@H+]2C[C@@H](O)[C@@H](NC(=O)OC(C)(C)C)C2)cn1 ZINC001139772934 860478480 /nfs/dbraw/zinc/47/84/80/860478480.db2.gz UYRLURXJMHAKSB-UONOGXRCSA-N 1 2 322.409 1.139 20 30 DDEDLO C=CCn1cc(C[N@H+]2C[C@@H](O)[C@@H](NC(=O)OC(C)(C)C)C2)cn1 ZINC001139772934 860478484 /nfs/dbraw/zinc/47/84/84/860478484.db2.gz UYRLURXJMHAKSB-UONOGXRCSA-N 1 2 322.409 1.139 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](Cc2c(CO)cnc(C)c2O)CC1 ZINC001140870762 860720399 /nfs/dbraw/zinc/72/03/99/860720399.db2.gz CHKOZHHZKVEQCR-UHFFFAOYSA-N 1 2 321.377 1.028 20 30 DDEDLO Cc1cc(=O)[nH]c(C(C)(C)[NH2+]Cc2cnc3c(C#N)cnn3c2)n1 ZINC001329078539 863461155 /nfs/dbraw/zinc/46/11/55/863461155.db2.gz MYOQDDFPRXCVNW-UHFFFAOYSA-N 1 2 323.360 1.430 20 30 DDEDLO CC[N@H+](Cc1cscn1)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152641392 863471119 /nfs/dbraw/zinc/47/11/19/863471119.db2.gz JXSTWGXAYREBMN-LLVKDONJSA-N 1 2 317.418 1.983 20 30 DDEDLO CC[N@@H+](Cc1cscn1)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152641392 863471124 /nfs/dbraw/zinc/47/11/24/863471124.db2.gz JXSTWGXAYREBMN-LLVKDONJSA-N 1 2 317.418 1.983 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@H+](C)CC(=O)N(C)c1nccs1 ZINC001329400362 863645160 /nfs/dbraw/zinc/64/51/60/863645160.db2.gz ZXSMWGPTSONKTK-GFCCVEGCSA-N 1 2 324.450 1.509 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@@H+](C)CC(=O)N(C)c1nccs1 ZINC001329400362 863645170 /nfs/dbraw/zinc/64/51/70/863645170.db2.gz ZXSMWGPTSONKTK-GFCCVEGCSA-N 1 2 324.450 1.509 20 30 DDEDLO CCn1cc(C[N@H+](CC)[C@H](C)CNC(=O)C#CC(C)C)nn1 ZINC001153214190 863767100 /nfs/dbraw/zinc/76/71/00/863767100.db2.gz KRFLXJXWCWLNID-CQSZACIVSA-N 1 2 305.426 1.284 20 30 DDEDLO CCn1cc(C[N@@H+](CC)[C@H](C)CNC(=O)C#CC(C)C)nn1 ZINC001153214190 863767109 /nfs/dbraw/zinc/76/71/09/863767109.db2.gz KRFLXJXWCWLNID-CQSZACIVSA-N 1 2 305.426 1.284 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)c2ccc(C)cc2)C1 ZINC001330072744 864063211 /nfs/dbraw/zinc/06/32/11/864063211.db2.gz WQWGVMHVCHBRLE-CQSZACIVSA-N 1 2 315.417 1.347 20 30 DDEDLO C=CCn1cnn(C[N@@H+]2CC[C@@]3(C(=O)OC)CCC[C@@H]23)c1=S ZINC001330124327 864091992 /nfs/dbraw/zinc/09/19/92/864091992.db2.gz JBXWFSYYYLNLEL-DOMZBBRYSA-N 1 2 322.434 1.975 20 30 DDEDLO C=CCn1cnn(C[N@H+]2CC[C@@]3(C(=O)OC)CCC[C@@H]23)c1=S ZINC001330124327 864092001 /nfs/dbraw/zinc/09/20/01/864092001.db2.gz JBXWFSYYYLNLEL-DOMZBBRYSA-N 1 2 322.434 1.975 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cnnn2CC)CC1(C)C ZINC001330177095 864127609 /nfs/dbraw/zinc/12/76/09/864127609.db2.gz OHAOERKWOWKVRB-CQSZACIVSA-N 1 2 305.426 1.591 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cnnn2CC)CC1(C)C ZINC001330177095 864127613 /nfs/dbraw/zinc/12/76/13/864127613.db2.gz OHAOERKWOWKVRB-CQSZACIVSA-N 1 2 305.426 1.591 20 30 DDEDLO COc1ccnc(O[C@@H]2CCN(c3cc(CC#N)cc[nH+]3)C2)n1 ZINC001158439126 864658220 /nfs/dbraw/zinc/65/82/20/864658220.db2.gz JIRNBOIWOYHQCM-CYBMUJFWSA-N 1 2 311.345 1.604 20 30 DDEDLO Cc1ncc(C#N)c(N2CC[C@@H]([NH+]3CCCC3)C(F)(F)C2)n1 ZINC001158624623 864764158 /nfs/dbraw/zinc/76/41/58/864764158.db2.gz MWORGSRZDOXWNG-CYBMUJFWSA-N 1 2 307.348 1.967 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@@H](C2CC2)N(C)C(=O)C#CC2CC2)no1 ZINC001331282680 864987436 /nfs/dbraw/zinc/98/74/36/864987436.db2.gz OUGLYPAMDXZGRL-NHYWBVRUSA-N 1 2 316.405 1.679 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@H](C)CN(C)C(=O)C#CC2CC2)n1 ZINC001331439649 865084507 /nfs/dbraw/zinc/08/45/07/865084507.db2.gz NUEQMYMVRCEYMT-VXGBXAGGSA-N 1 2 304.394 1.543 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCCC[NH2+]Cc1noc(CC)n1)OCC ZINC001159143493 865127979 /nfs/dbraw/zinc/12/79/79/865127979.db2.gz ZAYIPIJWDDNTIJ-ZDUSSCGKSA-N 1 2 324.425 1.599 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@H+]([C@@H](C)c2nncn2C)CC1 ZINC001159544694 865394933 /nfs/dbraw/zinc/39/49/33/865394933.db2.gz ZFCFKMHLTAEQOT-AWEZNQCLSA-N 1 2 317.437 1.981 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@@H+]([C@@H](C)c2nncn2C)CC1 ZINC001159544694 865394950 /nfs/dbraw/zinc/39/49/50/865394950.db2.gz ZFCFKMHLTAEQOT-AWEZNQCLSA-N 1 2 317.437 1.981 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC1=CC[N@H+](Cc2nccs2)CC1 ZINC001159587460 865415555 /nfs/dbraw/zinc/41/55/55/865415555.db2.gz RVZUFKKTBHSJQT-LBPRGKRZSA-N 1 2 304.419 1.941 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC1=CC[N@@H+](Cc2nccs2)CC1 ZINC001159587460 865415562 /nfs/dbraw/zinc/41/55/62/865415562.db2.gz RVZUFKKTBHSJQT-LBPRGKRZSA-N 1 2 304.419 1.941 20 30 DDEDLO O=C(C#CC1CC1)N[C@]12CCC[C@H]1[N@H+](Cc1cnon1)CC2 ZINC001332093237 865570714 /nfs/dbraw/zinc/57/07/14/865570714.db2.gz WWRUYZBKUXGOOI-ZBFHGGJFSA-N 1 2 300.362 1.096 20 30 DDEDLO O=C(C#CC1CC1)N[C@]12CCC[C@H]1[N@@H+](Cc1cnon1)CC2 ZINC001332093237 865570720 /nfs/dbraw/zinc/57/07/20/865570720.db2.gz WWRUYZBKUXGOOI-ZBFHGGJFSA-N 1 2 300.362 1.096 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@H+](Cc2ccn(C)n2)[C@@H]1C ZINC001332221322 865677258 /nfs/dbraw/zinc/67/72/58/865677258.db2.gz XJDRTCDMUSETMB-ZBFHGGJFSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@@H+](Cc2ccn(C)n2)[C@@H]1C ZINC001332221322 865677262 /nfs/dbraw/zinc/67/72/62/865677262.db2.gz XJDRTCDMUSETMB-ZBFHGGJFSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)c1occc1C)C(C)C ZINC001332689748 866069487 /nfs/dbraw/zinc/06/94/87/866069487.db2.gz YQDSSFDDQHMIJM-UHFFFAOYSA-N 1 2 321.421 1.673 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)c1occc1C)C(C)C ZINC001332689748 866069501 /nfs/dbraw/zinc/06/95/01/866069501.db2.gz YQDSSFDDQHMIJM-UHFFFAOYSA-N 1 2 321.421 1.673 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC001319772901 866283294 /nfs/dbraw/zinc/28/32/94/866283294.db2.gz HGKJMXQQCYHHHS-UHFFFAOYSA-N 1 2 317.345 1.066 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC001319772901 866283309 /nfs/dbraw/zinc/28/33/09/866283309.db2.gz HGKJMXQQCYHHHS-UHFFFAOYSA-N 1 2 317.345 1.066 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1sc(C)cc1C ZINC001320007812 866428126 /nfs/dbraw/zinc/42/81/26/866428126.db2.gz WZZDUQKPVQMHOH-UHFFFAOYSA-N 1 2 321.446 1.118 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1sc(C)cc1C ZINC001320007812 866428128 /nfs/dbraw/zinc/42/81/28/866428128.db2.gz WZZDUQKPVQMHOH-UHFFFAOYSA-N 1 2 321.446 1.118 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001323333385 866538430 /nfs/dbraw/zinc/53/84/30/866538430.db2.gz BXFRBYSUALLLCT-KLHDSHLOSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001323333385 866538440 /nfs/dbraw/zinc/53/84/40/866538440.db2.gz BXFRBYSUALLLCT-KLHDSHLOSA-N 1 2 321.465 1.694 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C/C=C/CNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001321026412 867230629 /nfs/dbraw/zinc/23/06/29/867230629.db2.gz CGKFZLIQTCZPIX-FSIBCCDJSA-N 1 2 314.349 1.215 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@](C)(NC(=O)C#CC(C)C)C2)on1 ZINC001324615135 867406653 /nfs/dbraw/zinc/40/66/53/867406653.db2.gz MGSNTCUTJSIYQQ-MRXNPFEDSA-N 1 2 305.378 1.423 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@](C)(NC(=O)C#CC(C)C)C2)on1 ZINC001324615135 867406661 /nfs/dbraw/zinc/40/66/61/867406661.db2.gz MGSNTCUTJSIYQQ-MRXNPFEDSA-N 1 2 305.378 1.423 20 30 DDEDLO CCc1ccc(C[NH+]2CCN(C(=O)C#CCOC)CC2)cc1 ZINC001334295407 867462100 /nfs/dbraw/zinc/46/21/00/867462100.db2.gz AONWNZUCLIEPNZ-UHFFFAOYSA-N 1 2 300.402 1.543 20 30 DDEDLO N#CC1(CNc2ccc(OCC[NH+]3CCOCC3)nc2)CCC1 ZINC001162417307 867521038 /nfs/dbraw/zinc/52/10/38/867521038.db2.gz YMLHFIPDMJKSLA-UHFFFAOYSA-N 1 2 316.405 1.898 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C\C[NH2+]Cc2nc(C)c(C)o2)cn1 ZINC001321363794 867532615 /nfs/dbraw/zinc/53/26/15/867532615.db2.gz DGWRQZUPARUOSR-WAYWQWQTSA-N 1 2 324.384 1.744 20 30 DDEDLO C[C@@H]1C[NH+](Cc2c3c(nn2C)CCSC3)C[C@@H](C)N1CC#N ZINC001334384757 867533411 /nfs/dbraw/zinc/53/34/11/867533411.db2.gz PDMYHMIPPWSMMU-CHWSQXEVSA-N 1 2 319.478 1.628 20 30 DDEDLO CCc1nc(C[NH2+]CC2(CCNC(=O)C#CC(C)C)CC2)no1 ZINC001164460536 869208457 /nfs/dbraw/zinc/20/84/57/869208457.db2.gz AZHSLMJRJMHZBN-UHFFFAOYSA-N 1 2 318.421 1.668 20 30 DDEDLO COC(=O)/C=C/c1cc2cc[nH]c2c(N2CC[NH2+]C[C@H]2C#N)n1 ZINC001164652485 869342797 /nfs/dbraw/zinc/34/27/97/869342797.db2.gz RJDBVULCAFLDEP-YWVDXFKGSA-N 1 2 311.345 1.051 20 30 DDEDLO C#CCOc1ccccc1NC(=O)C[NH+]1CCC(CO)CC1 ZINC001337179710 869365750 /nfs/dbraw/zinc/36/57/50/869365750.db2.gz YVSWTGGEELONQN-UHFFFAOYSA-N 1 2 302.374 1.341 20 30 DDEDLO Cc1cnc(N2CC([N@@H+]3CCOC(C)(C)C3)C2)c(C=NO)c1 ZINC001165131927 869401336 /nfs/dbraw/zinc/40/13/36/869401336.db2.gz TWSVRWJLLVKJQB-UHFFFAOYSA-N 1 2 304.394 1.498 20 30 DDEDLO Cc1cnc(N2CC([N@H+]3CCOC(C)(C)C3)C2)c(C=NO)c1 ZINC001165131927 869401343 /nfs/dbraw/zinc/40/13/43/869401343.db2.gz TWSVRWJLLVKJQB-UHFFFAOYSA-N 1 2 304.394 1.498 20 30 DDEDLO N#C[C@@H]1CN(C(=O)[C@H]2CC[C@@H](Nc3cccc[nH+]3)CC2)CCO1 ZINC001361761078 882314743 /nfs/dbraw/zinc/31/47/43/882314743.db2.gz JZUOVIDOIAWMIA-QLFBSQMISA-N 1 2 314.389 1.803 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H]1C ZINC001337944544 869707304 /nfs/dbraw/zinc/70/73/04/869707304.db2.gz IZFQGEYHCXIBPE-ZFWWWQNUSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001338117501 869813907 /nfs/dbraw/zinc/81/39/07/869813907.db2.gz QIMQFGVJDAELQJ-STQMWFEESA-N 1 2 304.394 1.201 20 30 DDEDLO C=CC[C@H](NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1)C(=O)OCC ZINC001338420075 869970785 /nfs/dbraw/zinc/97/07/85/869970785.db2.gz TYJZPDBPFYDYPE-SMDDNHRTSA-N 1 2 320.393 1.414 20 30 DDEDLO C=CC[C@H](NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1)C(=O)OCC ZINC001338420075 869970790 /nfs/dbraw/zinc/97/07/90/869970790.db2.gz TYJZPDBPFYDYPE-SMDDNHRTSA-N 1 2 320.393 1.414 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](CC)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001297457104 870054221 /nfs/dbraw/zinc/05/42/21/870054221.db2.gz IKDQVMPRMWMMNB-ZDUSSCGKSA-N 1 2 306.410 1.628 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2cccc(F)c2F)C1 ZINC001316984709 870068213 /nfs/dbraw/zinc/06/82/13/870068213.db2.gz DXIOXHAOOJNHNA-NSHDSACASA-N 1 2 323.343 1.071 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2cccc(F)c2F)C1 ZINC001316984709 870068226 /nfs/dbraw/zinc/06/82/26/870068226.db2.gz DXIOXHAOOJNHNA-NSHDSACASA-N 1 2 323.343 1.071 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCCC1(C[NH2+]Cc2nnn(C)n2)CC1 ZINC001166693649 870160008 /nfs/dbraw/zinc/16/00/08/870160008.db2.gz IJGXABDFSPNFLM-QWHCGFSZSA-N 1 2 320.441 1.044 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001339269276 870432290 /nfs/dbraw/zinc/43/22/90/870432290.db2.gz YQSIOSSYMUFUNT-FVKWTLKZSA-N 1 2 318.421 1.660 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)[NH2+]Cc2nc(CCOC)no2)C1 ZINC001317262875 870554274 /nfs/dbraw/zinc/55/42/74/870554274.db2.gz APMJUNXQMFDQGQ-GFCCVEGCSA-N 1 2 322.409 1.209 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1CC[C@@H](OC)C1 ZINC001339566503 870557968 /nfs/dbraw/zinc/55/79/68/870557968.db2.gz GTDVOHQENQIUGZ-CQSZACIVSA-N 1 2 305.426 1.675 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[C@H]1CCC[N@@H+]1Cc1csnn1 ZINC001317426088 870808931 /nfs/dbraw/zinc/80/89/31/870808931.db2.gz KTFZNPQXBBUCHI-YPMHNXCESA-N 1 2 307.423 1.559 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[C@H]1CCC[N@H+]1Cc1csnn1 ZINC001317426088 870808939 /nfs/dbraw/zinc/80/89/39/870808939.db2.gz KTFZNPQXBBUCHI-YPMHNXCESA-N 1 2 307.423 1.559 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[N@@H+](C)Cc2cncs2)c1 ZINC001317461958 870879552 /nfs/dbraw/zinc/87/95/52/870879552.db2.gz KFLOEINJUZVKRE-UHFFFAOYSA-N 1 2 300.387 1.381 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[N@H+](C)Cc2cncs2)c1 ZINC001317461958 870879569 /nfs/dbraw/zinc/87/95/69/870879569.db2.gz KFLOEINJUZVKRE-UHFFFAOYSA-N 1 2 300.387 1.381 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCC[C@@H](C#N)C1 ZINC001340592995 871216936 /nfs/dbraw/zinc/21/69/36/871216936.db2.gz FZXYBNFZDYTQGF-ZDUSSCGKSA-N 1 2 311.393 1.528 20 30 DDEDLO C=CCn1c(N(CC)CCC)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001340700872 871292219 /nfs/dbraw/zinc/29/22/19/871292219.db2.gz HNJBCUFIFWFVBK-CZUORRHYSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CCn1c(N(CC)CCC)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001340700872 871292245 /nfs/dbraw/zinc/29/22/45/871292245.db2.gz HNJBCUFIFWFVBK-CZUORRHYSA-N 1 2 307.442 1.612 20 30 DDEDLO C[C@H](CC#N)[NH2+]Cc1c[nH]nc1CN1C(=O)c2ccccc2C1=O ZINC001308506533 871504161 /nfs/dbraw/zinc/50/41/61/871504161.db2.gz XTJOMJKAFKBINJ-LLVKDONJSA-N 1 2 323.356 1.598 20 30 DDEDLO N#Cc1cccnc1NCC[NH2+]Cc1n[nH]cc1Br ZINC001308989329 871543576 /nfs/dbraw/zinc/54/35/76/871543576.db2.gz WYZGBRXHKSBXKE-UHFFFAOYSA-N 1 2 321.182 1.641 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2CCC(=C)CC2)C1 ZINC001317981368 871668606 /nfs/dbraw/zinc/66/86/06/871668606.db2.gz JUUSUNKXCPONBQ-INIZCTEOSA-N 1 2 317.433 1.063 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2CCC(=C)CC2)C1 ZINC001317981368 871668616 /nfs/dbraw/zinc/66/86/16/871668616.db2.gz JUUSUNKXCPONBQ-INIZCTEOSA-N 1 2 317.433 1.063 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001318177355 871797080 /nfs/dbraw/zinc/79/70/80/871797080.db2.gz UTNQYJHJLGPIOG-UHFFFAOYSA-N 1 2 323.481 1.799 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1CCCC1 ZINC001318185367 871798545 /nfs/dbraw/zinc/79/85/45/871798545.db2.gz XCDDYJFGSZYQLU-KBMXLJTQSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1CCCC1 ZINC001318185367 871798567 /nfs/dbraw/zinc/79/85/67/871798567.db2.gz XCDDYJFGSZYQLU-KBMXLJTQSA-N 1 2 319.449 1.543 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N(C)C[C@@H]1CCOC1 ZINC001341749106 871805057 /nfs/dbraw/zinc/80/50/57/871805057.db2.gz DGTRVANYPIEJLT-HNNXBMFYSA-N 1 2 319.453 1.923 20 30 DDEDLO N#CCNCC1CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC001205783002 871807672 /nfs/dbraw/zinc/80/76/72/871807672.db2.gz NHBPXZNCJDEBEF-UHFFFAOYSA-N 1 2 323.400 1.838 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)C[C@@H]1CC ZINC001342062525 871977397 /nfs/dbraw/zinc/97/73/97/871977397.db2.gz WLEVJOIHWGUJSK-QPSCCSFWSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@H](C)C[C@@H]1CC ZINC001342062525 871977403 /nfs/dbraw/zinc/97/74/03/871977403.db2.gz WLEVJOIHWGUJSK-QPSCCSFWSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)CCC1CC1 ZINC001342061526 871978551 /nfs/dbraw/zinc/97/85/51/871978551.db2.gz JOKKWCZXSPLVJY-CABCVRRESA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)CCC1CC1 ZINC001342061526 871978561 /nfs/dbraw/zinc/97/85/61/871978561.db2.gz JOKKWCZXSPLVJY-CABCVRRESA-N 1 2 319.453 1.828 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CC[C@H](NC(=O)C#CC(C)C)[C@H]1C ZINC001316781967 872038803 /nfs/dbraw/zinc/03/88/03/872038803.db2.gz UBGRRPBFMFDQOX-ZBFHGGJFSA-N 1 2 321.465 1.530 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CC[C@H](NC(=O)C#CC(C)C)[C@H]1C ZINC001316781967 872038780 /nfs/dbraw/zinc/03/87/80/872038780.db2.gz UBGRRPBFMFDQOX-ZBFHGGJFSA-N 1 2 321.465 1.530 20 30 DDEDLO CC[C@H](C)CCC(=O)N(CC)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318483894 872105464 /nfs/dbraw/zinc/10/54/64/872105464.db2.gz HNFREMJQBVGRKV-LSDHHAIUSA-N 1 2 322.453 1.375 20 30 DDEDLO CC[C@H](C)CCC(=O)N(CC)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318483894 872105473 /nfs/dbraw/zinc/10/54/73/872105473.db2.gz HNFREMJQBVGRKV-LSDHHAIUSA-N 1 2 322.453 1.375 20 30 DDEDLO C#CCN(C)c1nnc(C[NH+]2CCC(CO)CC2)n1CC(C)C ZINC001342847039 872412332 /nfs/dbraw/zinc/41/23/32/872412332.db2.gz PXGMJSQEHKGVKC-UHFFFAOYSA-N 1 2 319.453 1.208 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2conc2C)C1 ZINC001316943901 872432536 /nfs/dbraw/zinc/43/25/36/872432536.db2.gz JPTCDIXAJYCILI-UKRRQHHQSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2conc2C)C1 ZINC001316943901 872432546 /nfs/dbraw/zinc/43/25/46/872432546.db2.gz JPTCDIXAJYCILI-UKRRQHHQSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@H]2CCC[N@@H+]2C)n1CCOC ZINC001343102294 872502166 /nfs/dbraw/zinc/50/21/66/872502166.db2.gz GRRNGGOVININKV-CQSZACIVSA-N 1 2 305.426 1.870 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@H]2CCC[N@H+]2C)n1CCOC ZINC001343102294 872502175 /nfs/dbraw/zinc/50/21/75/872502175.db2.gz GRRNGGOVININKV-CQSZACIVSA-N 1 2 305.426 1.870 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1([NH2+]Cc2nnn(C)n2)CCCC1 ZINC001319372136 872600109 /nfs/dbraw/zinc/60/01/09/872600109.db2.gz DYEGVHQYMHIUIQ-CYBMUJFWSA-N 1 2 320.441 1.331 20 30 DDEDLO C=C(C)Cn1c(N2CCC[C@@H]2C)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001343403752 872610476 /nfs/dbraw/zinc/61/04/76/872610476.db2.gz HGXCCVMLCOFUCY-JJRVBVJISA-N 1 2 319.453 1.755 20 30 DDEDLO C=C(C)Cn1c(N2CCC[C@@H]2C)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001343403752 872610486 /nfs/dbraw/zinc/61/04/86/872610486.db2.gz HGXCCVMLCOFUCY-JJRVBVJISA-N 1 2 319.453 1.755 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2cncc(F)c2)C[C@H]1C ZINC001206938195 872807806 /nfs/dbraw/zinc/80/78/06/872807806.db2.gz LKIFLTZHGSJTEY-CZUORRHYSA-N 1 2 303.381 1.817 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2cncc(F)c2)C[C@H]1C ZINC001206938195 872807830 /nfs/dbraw/zinc/80/78/30/872807830.db2.gz LKIFLTZHGSJTEY-CZUORRHYSA-N 1 2 303.381 1.817 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]1CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001344174936 872910903 /nfs/dbraw/zinc/91/09/03/872910903.db2.gz WRLZSYCVJLSPRQ-CYBMUJFWSA-N 1 2 318.421 1.532 20 30 DDEDLO C#CCC(C)(C)COC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001344614453 873057881 /nfs/dbraw/zinc/05/78/81/873057881.db2.gz RLCMNMVESROCSC-CABCVRRESA-N 1 2 309.406 1.459 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@@H]1CCOC1 ZINC001345412197 873396189 /nfs/dbraw/zinc/39/61/89/873396189.db2.gz JMTKQRYZMIQWFV-UONOGXRCSA-N 1 2 303.410 1.151 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@@H]1CCOC1 ZINC001345412197 873396203 /nfs/dbraw/zinc/39/62/03/873396203.db2.gz JMTKQRYZMIQWFV-UONOGXRCSA-N 1 2 303.410 1.151 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001207617846 873419070 /nfs/dbraw/zinc/41/90/70/873419070.db2.gz FKUPGSXVYHXTFL-OCCSQVGLSA-N 1 2 322.409 1.440 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)C(C)C)n2CC(=C)C)CC1 ZINC001346493771 873776006 /nfs/dbraw/zinc/77/60/06/873776006.db2.gz IFUIEESVDGHDTI-HNNXBMFYSA-N 1 2 317.437 1.299 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cnnn3C)C[C@H]2C)CC1 ZINC001208500920 874196717 /nfs/dbraw/zinc/19/67/17/874196717.db2.gz ZPIZWADFEVOHAQ-UKRRQHHQSA-N 1 2 317.437 1.498 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3cnnn3C)C[C@H]2C)CC1 ZINC001208500920 874196722 /nfs/dbraw/zinc/19/67/22/874196722.db2.gz ZPIZWADFEVOHAQ-UKRRQHHQSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2C)C[C@H]1C ZINC001208508728 874203412 /nfs/dbraw/zinc/20/34/12/874203412.db2.gz GHXSSMHAMGOJFR-XNRPHZJLSA-N 1 2 305.426 1.354 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2C)C[C@H]1C ZINC001208508728 874203415 /nfs/dbraw/zinc/20/34/15/874203415.db2.gz GHXSSMHAMGOJFR-XNRPHZJLSA-N 1 2 305.426 1.354 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2nonc2C)C[C@H]1C ZINC001208509285 874208290 /nfs/dbraw/zinc/20/82/90/874208290.db2.gz YSANEMPVEDMGQO-KFNAQCHYSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2nonc2C)C[C@H]1C ZINC001208509285 874208296 /nfs/dbraw/zinc/20/82/96/874208296.db2.gz YSANEMPVEDMGQO-KFNAQCHYSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)COc2cc(C)on2)C1 ZINC001378151187 874264652 /nfs/dbraw/zinc/26/46/52/874264652.db2.gz SAVWLNPUUONUCZ-GFCCVEGCSA-N 1 2 313.785 1.647 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)COc2cc(C)on2)C1 ZINC001378151187 874264662 /nfs/dbraw/zinc/26/46/62/874264662.db2.gz SAVWLNPUUONUCZ-GFCCVEGCSA-N 1 2 313.785 1.647 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+]([C@@H](C)c2nncn2C)CC1 ZINC001227084829 882818968 /nfs/dbraw/zinc/81/89/68/882818968.db2.gz LPECJEBJJILXDR-ZDUSSCGKSA-N 1 2 303.410 1.260 20 30 DDEDLO CN(C)[C@@H](C(=O)Nc1ccc(OCC#N)cc1)c1c[nH+]cn1C ZINC001362013830 882823671 /nfs/dbraw/zinc/82/36/71/882823671.db2.gz DUKFJGWCCGWQPW-OAHLLOKOSA-N 1 2 313.361 1.564 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+](Cc2nccs2)CC1 ZINC001227113623 882844553 /nfs/dbraw/zinc/84/45/53/882844553.db2.gz GTAWEDMZZOPGRT-OAHLLOKOSA-N 1 2 309.435 1.551 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC001349573092 875242698 /nfs/dbraw/zinc/24/26/98/875242698.db2.gz GTFRLIDCCVHQMM-GJZGRUSLSA-N 1 2 318.421 1.423 20 30 DDEDLO Cc1csc(C[NH+]2CCC(NC(=O)C#CC3CC3)CC2)n1 ZINC001227232558 882922535 /nfs/dbraw/zinc/92/25/35/882922535.db2.gz GHBOPHYGNXTMPC-UHFFFAOYSA-N 1 2 303.431 1.946 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001211355473 875766275 /nfs/dbraw/zinc/76/62/75/875766275.db2.gz SFEJENMVUHNLCE-ZDUSSCGKSA-N 1 2 322.409 1.305 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001350583902 875770231 /nfs/dbraw/zinc/77/02/31/875770231.db2.gz ULGALEPEVXBUGB-CYBMUJFWSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001350583902 875770245 /nfs/dbraw/zinc/77/02/45/875770245.db2.gz ULGALEPEVXBUGB-CYBMUJFWSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cncc(F)c2)C[C@H]1C ZINC001211424760 875802394 /nfs/dbraw/zinc/80/23/94/875802394.db2.gz DTPMQRPROLBMHD-DVOMOZLQSA-N 1 2 321.396 1.748 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cncc(F)c2)C[C@H]1C ZINC001211424760 875802401 /nfs/dbraw/zinc/80/24/01/875802401.db2.gz DTPMQRPROLBMHD-DVOMOZLQSA-N 1 2 321.396 1.748 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)CCCF ZINC001213290743 875880065 /nfs/dbraw/zinc/88/00/65/875880065.db2.gz ZHYKZZNMGILJAR-IAGOWNOFSA-N 1 2 318.392 1.603 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)CCCF ZINC001213290743 875880069 /nfs/dbraw/zinc/88/00/69/875880069.db2.gz ZHYKZZNMGILJAR-IAGOWNOFSA-N 1 2 318.392 1.603 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001213322743 875899348 /nfs/dbraw/zinc/89/93/48/875899348.db2.gz PVZOOWQTNALEMM-FVQBIDKESA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001213322743 875899354 /nfs/dbraw/zinc/89/93/54/875899354.db2.gz PVZOOWQTNALEMM-FVQBIDKESA-N 1 2 321.421 1.901 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)COc2ccccc2CC)[C@H](OC)C1 ZINC001213810926 876065644 /nfs/dbraw/zinc/06/56/44/876065644.db2.gz DJQUFWCBHLXORE-NVXWUHKLSA-N 1 2 316.401 1.076 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)COc2ccccc2CC)[C@H](OC)C1 ZINC001213810926 876065659 /nfs/dbraw/zinc/06/56/59/876065659.db2.gz DJQUFWCBHLXORE-NVXWUHKLSA-N 1 2 316.401 1.076 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001214529371 876379857 /nfs/dbraw/zinc/37/98/57/876379857.db2.gz VVBNOWUTPGFBLR-TZMCWYRMSA-N 1 2 316.405 1.687 20 30 DDEDLO C[C@H](CN(C)C(=O)c1c[nH]c(C#N)c1)[NH2+][C@H](C)c1csnn1 ZINC001379095930 876386945 /nfs/dbraw/zinc/38/69/45/876386945.db2.gz OVKJNCPPXUABSF-NXEZZACHSA-N 1 2 318.406 1.549 20 30 DDEDLO CCC[C@H](C)CC(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001217494169 877212665 /nfs/dbraw/zinc/21/26/65/877212665.db2.gz YTVKMGTYIZTJHX-YESZJQIVSA-N 1 2 322.449 1.374 20 30 DDEDLO CCC[C@H](C)CC(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001217494169 877212682 /nfs/dbraw/zinc/21/26/82/877212682.db2.gz YTVKMGTYIZTJHX-YESZJQIVSA-N 1 2 322.449 1.374 20 30 DDEDLO CC(C)C#CC(=O)N1CCO[C@@H]2C[N@H+](Cc3ccccn3)C[C@@H]21 ZINC001217843743 877338132 /nfs/dbraw/zinc/33/81/32/877338132.db2.gz HOENRKIWPPEXDV-DLBZAZTESA-N 1 2 313.401 1.153 20 30 DDEDLO CC(C)C#CC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccccn3)C[C@@H]21 ZINC001217843743 877338152 /nfs/dbraw/zinc/33/81/52/877338152.db2.gz HOENRKIWPPEXDV-DLBZAZTESA-N 1 2 313.401 1.153 20 30 DDEDLO CC[C@H](F)C[N@@H+]1C[C@H]2OCCN(C(=O)C#CC(C)(C)C)[C@H]2C1 ZINC001217844126 877340082 /nfs/dbraw/zinc/34/00/82/877340082.db2.gz PWBMVJLWPUQMFB-SOUVJXGZSA-N 1 2 310.413 1.696 20 30 DDEDLO CC[C@H](F)C[N@H+]1C[C@H]2OCCN(C(=O)C#CC(C)(C)C)[C@H]2C1 ZINC001217844126 877340100 /nfs/dbraw/zinc/34/01/00/877340100.db2.gz PWBMVJLWPUQMFB-SOUVJXGZSA-N 1 2 310.413 1.696 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C/C=C/c3ccc(C)cc3)[C@H]2C1 ZINC001219087378 877913025 /nfs/dbraw/zinc/91/30/25/877913025.db2.gz GIKKCUMMXIINKY-WWAPKCSDSA-N 1 2 324.424 1.943 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C/C=C/c3ccc(C)cc3)[C@H]2C1 ZINC001219087378 877913035 /nfs/dbraw/zinc/91/30/35/877913035.db2.gz GIKKCUMMXIINKY-WWAPKCSDSA-N 1 2 324.424 1.943 20 30 DDEDLO C[C@@H](C[N@H+](C)Cc1cc(C#N)ccc1F)NC(=O)c1nnc[nH]1 ZINC001379761099 877940401 /nfs/dbraw/zinc/94/04/01/877940401.db2.gz IVQGJRDZHGERCX-JTQLQIEISA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@@H](C[N@@H+](C)Cc1cc(C#N)ccc1F)NC(=O)c1nnc[nH]1 ZINC001379761099 877940412 /nfs/dbraw/zinc/94/04/12/877940412.db2.gz IVQGJRDZHGERCX-JTQLQIEISA-N 1 2 316.340 1.066 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219208875 878015553 /nfs/dbraw/zinc/01/55/53/878015553.db2.gz SYAWBMQBAPBXDK-XKQJLSEDSA-N 1 2 318.392 1.385 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219208875 878015570 /nfs/dbraw/zinc/01/55/70/878015570.db2.gz SYAWBMQBAPBXDK-XKQJLSEDSA-N 1 2 318.392 1.385 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@@H](C)NC(=O)C#CC2CC2)c(C)[nH+]1 ZINC001354630243 878116669 /nfs/dbraw/zinc/11/66/69/878116669.db2.gz XYGHOCMZVCTDIX-CYBMUJFWSA-N 1 2 313.401 1.655 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001219490348 878272822 /nfs/dbraw/zinc/27/28/22/878272822.db2.gz DICMSDSHHZRKLK-CABCVRRESA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001219490348 878272836 /nfs/dbraw/zinc/27/28/36/878272836.db2.gz DICMSDSHHZRKLK-CABCVRRESA-N 1 2 321.446 1.306 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H](NC(=O)CCC(F)F)[C@@H](O)C1 ZINC001220019000 878650880 /nfs/dbraw/zinc/65/08/80/878650880.db2.gz DFGZDFPUHBDFLX-KGLIPLIRSA-N 1 2 323.343 1.265 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H](NC(=O)CCC(F)F)[C@@H](O)C1 ZINC001220019000 878650882 /nfs/dbraw/zinc/65/08/82/878650882.db2.gz DFGZDFPUHBDFLX-KGLIPLIRSA-N 1 2 323.343 1.265 20 30 DDEDLO C=CCCCC(=O)NCCN(C)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001355861865 878754035 /nfs/dbraw/zinc/75/40/35/878754035.db2.gz UHRYMWZKHBKBQM-AWEZNQCLSA-N 1 2 318.421 1.376 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3conc3C)C[C@@H]2O)CCC1 ZINC001220278645 878875088 /nfs/dbraw/zinc/87/50/88/878875088.db2.gz CBICPTJZYBOSBG-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3conc3C)C[C@@H]2O)CCC1 ZINC001220278645 878875099 /nfs/dbraw/zinc/87/50/99/878875099.db2.gz CBICPTJZYBOSBG-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@@H]1O ZINC001220293680 878890451 /nfs/dbraw/zinc/89/04/51/878890451.db2.gz QJALOTPHOPDEQR-IPYPFGDCSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@@H]1O ZINC001220293680 878890460 /nfs/dbraw/zinc/89/04/60/878890460.db2.gz QJALOTPHOPDEQR-IPYPFGDCSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)C[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001287697393 912403072 /nfs/dbraw/zinc/40/30/72/912403072.db2.gz VFYIJQHMCGEYOA-UONOGXRCSA-N 1 2 320.437 1.528 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@@H]1O ZINC001220434420 879010910 /nfs/dbraw/zinc/01/09/10/879010910.db2.gz JCBPMPSKSIDCSN-CABCVRRESA-N 1 2 303.406 1.345 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@@H]1O ZINC001220434420 879010924 /nfs/dbraw/zinc/01/09/24/879010924.db2.gz JCBPMPSKSIDCSN-CABCVRRESA-N 1 2 303.406 1.345 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCN(C)C(=O)/C(C)=C/C)CC1 ZINC001356699077 879201392 /nfs/dbraw/zinc/20/13/92/879201392.db2.gz SYSRRVDZVFAUIV-OMCISZLKSA-N 1 2 319.449 1.359 20 30 DDEDLO C=CCCC(=O)N[C@H](CC)CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001356898984 879560620 /nfs/dbraw/zinc/56/06/20/879560620.db2.gz SSCNQBQIMOMVAC-ZIAGYGMSSA-N 1 2 318.421 1.423 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001357033317 879727392 /nfs/dbraw/zinc/72/73/92/879727392.db2.gz PWJPBNVXMLATRA-CHWSQXEVSA-N 1 2 320.437 1.731 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](CNC(=O)CCn1cc[nH+]c1)C(C)C ZINC001357106963 879797107 /nfs/dbraw/zinc/79/71/07/879797107.db2.gz BLPZMMVQVNRSOZ-OAHLLOKOSA-N 1 2 318.421 1.190 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)CCc2[nH]cc[nH+]2)[C@H]1C ZINC001287865212 912520453 /nfs/dbraw/zinc/52/04/53/912520453.db2.gz AFTHUZCUWMVCFY-KGLIPLIRSA-N 1 2 316.405 1.251 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3occc3Cl)[C@@H]2C1 ZINC001221793040 880088228 /nfs/dbraw/zinc/08/82/28/880088228.db2.gz LEANCVMZXLQRFW-TZMCWYRMSA-N 1 2 322.792 1.729 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3occc3Cl)[C@@H]2C1 ZINC001221793040 880088237 /nfs/dbraw/zinc/08/82/37/880088237.db2.gz LEANCVMZXLQRFW-TZMCWYRMSA-N 1 2 322.792 1.729 20 30 DDEDLO C=CCCC(=O)NC/C=C/CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001357655082 880119244 /nfs/dbraw/zinc/11/92/44/880119244.db2.gz RQKJLMWSHBQQCZ-MUBLQREKSA-N 1 2 316.405 1.584 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)C[C@@H](C)COC)[C@@H]2C1 ZINC001221908168 880148181 /nfs/dbraw/zinc/14/81/81/880148181.db2.gz VVICMVZSRWCFBK-MRVWCRGKSA-N 1 2 300.830 1.944 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)C[C@@H](C)COC)[C@@H]2C1 ZINC001221908168 880148184 /nfs/dbraw/zinc/14/81/84/880148184.db2.gz VVICMVZSRWCFBK-MRVWCRGKSA-N 1 2 300.830 1.944 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001358555049 880528172 /nfs/dbraw/zinc/52/81/72/880528172.db2.gz SFVYYOADAFKQHE-HIFRSBDPSA-N 1 2 318.421 1.710 20 30 DDEDLO Cn1c[nH+]cc1CO[C@@H]1C[C@@H](C#N)N(C(=O)OC(C)(C)C)C1 ZINC001222600132 880588496 /nfs/dbraw/zinc/58/84/96/880588496.db2.gz KSFXMUOFZFQQNR-WCQYABFASA-N 1 2 306.366 1.838 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnn(C)n3)[C@H]2C1 ZINC001222614006 880602472 /nfs/dbraw/zinc/60/24/72/880602472.db2.gz TVYGRBJSBYVPTQ-ZBFHGGJFSA-N 1 2 315.421 1.041 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3cnn(C)n3)[C@H]2C1 ZINC001222614006 880602476 /nfs/dbraw/zinc/60/24/76/880602476.db2.gz TVYGRBJSBYVPTQ-ZBFHGGJFSA-N 1 2 315.421 1.041 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCN1C(=O)CCCn1cc[nH+]c1 ZINC001358603804 880609941 /nfs/dbraw/zinc/60/99/41/880609941.db2.gz KZBWJGRMDFZGGM-OAHLLOKOSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC001358627504 880639585 /nfs/dbraw/zinc/63/95/85/880639585.db2.gz KFSNRPQCUJDQHF-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001288002084 912656812 /nfs/dbraw/zinc/65/68/12/912656812.db2.gz SDOOHBYQLFAZSF-HIFRSBDPSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001288039431 912686461 /nfs/dbraw/zinc/68/64/61/912686461.db2.gz HPULZCQWMVJLIS-CABCVRRESA-N 1 2 318.421 1.641 20 30 DDEDLO CCc1noc(C[NH2+][C@@H](C)CN(C)C(=O)c2cc(C#N)c[nH]2)n1 ZINC001382060454 883303735 /nfs/dbraw/zinc/30/37/35/883303735.db2.gz OWYKWPJNWGTXDL-JTQLQIEISA-N 1 2 316.365 1.082 20 30 DDEDLO CC#CCCCC(=O)NC1CC[NH+]([C@H](C)c2nncn2C)CC1 ZINC001228770208 883661213 /nfs/dbraw/zinc/66/12/13/883661213.db2.gz HEMYZQDPEIRURN-CQSZACIVSA-N 1 2 317.437 1.650 20 30 DDEDLO CCc1cc(C[NH+]2CC3(C[C@@H]3C(=O)N3CC(CC#N)C3)C2)on1 ZINC001277382696 883953197 /nfs/dbraw/zinc/95/31/97/883953197.db2.gz AZIZGLAGLWLYPT-OAHLLOKOSA-N 1 2 314.389 1.431 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C1CC([NH2+]Cc2nnsc2Cl)C1 ZINC001382569476 884268526 /nfs/dbraw/zinc/26/85/26/884268526.db2.gz LKHBPBNQOSAXSE-AFPNSQJFSA-N 1 2 313.814 1.430 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2CN(C)C(=O)C(F)F)cc1C#N ZINC001230574577 884605867 /nfs/dbraw/zinc/60/58/67/884605867.db2.gz GYBDUORAFGHEJL-ZDUSSCGKSA-N 1 2 323.343 1.865 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2CN(C)C(=O)C(F)F)cc1C#N ZINC001230574577 884605871 /nfs/dbraw/zinc/60/58/71/884605871.db2.gz GYBDUORAFGHEJL-ZDUSSCGKSA-N 1 2 323.343 1.865 20 30 DDEDLO COCC[C@@H](C)C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230622959 884665357 /nfs/dbraw/zinc/66/53/57/884665357.db2.gz UCIYMSGXXRNEAD-ZBFHGGJFSA-N 1 2 307.438 1.886 20 30 DDEDLO COCC[C@@H](C)C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230622959 884665364 /nfs/dbraw/zinc/66/53/64/884665364.db2.gz UCIYMSGXXRNEAD-ZBFHGGJFSA-N 1 2 307.438 1.886 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665900 884718622 /nfs/dbraw/zinc/71/86/22/884718622.db2.gz ZCBPKMSWYHLMTD-GDBMZVCRSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665900 884718637 /nfs/dbraw/zinc/71/86/37/884718637.db2.gz ZCBPKMSWYHLMTD-GDBMZVCRSA-N 1 2 319.449 1.237 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC001230939538 885065388 /nfs/dbraw/zinc/06/53/88/885065388.db2.gz UCFBDIITDQCLAG-YOEHRIQHSA-N 1 2 318.392 1.745 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccc(F)cc1 ZINC001230939538 885065402 /nfs/dbraw/zinc/06/54/02/885065402.db2.gz UCFBDIITDQCLAG-YOEHRIQHSA-N 1 2 318.392 1.745 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231247663 885429887 /nfs/dbraw/zinc/42/98/87/885429887.db2.gz QDHDEIXDUIRJFM-HUUCEWRRSA-N 1 2 320.437 1.103 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231247663 885429895 /nfs/dbraw/zinc/42/98/95/885429895.db2.gz QDHDEIXDUIRJFM-HUUCEWRRSA-N 1 2 320.437 1.103 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](CCOc2ccccc2C#N)[C@@H](C)C(=O)N1C ZINC001363266572 885861967 /nfs/dbraw/zinc/86/19/67/885861967.db2.gz PIALGICEOVTDRP-RDBSUJKOSA-N 1 2 301.390 1.877 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](CCOc2ccccc2C#N)[C@@H](C)C(=O)N1C ZINC001363266572 885861978 /nfs/dbraw/zinc/86/19/78/885861978.db2.gz PIALGICEOVTDRP-RDBSUJKOSA-N 1 2 301.390 1.877 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)N[C@H](CO)Cc1c[nH]c[nH+]1 ZINC001363280632 885900669 /nfs/dbraw/zinc/90/06/69/885900669.db2.gz CEYZBHPJYCLSCW-WBMJQRKESA-N 1 2 312.373 1.180 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)N[C@H](CO)Cc1c[nH+]c[nH]1 ZINC001363280632 885900676 /nfs/dbraw/zinc/90/06/76/885900676.db2.gz CEYZBHPJYCLSCW-WBMJQRKESA-N 1 2 312.373 1.180 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@@H]3[C@H](O)CC[C@@H]3C2)c(C#N)c1C ZINC001363323021 886020115 /nfs/dbraw/zinc/02/01/15/886020115.db2.gz MKEBPNSATLHBPO-KWCYVHTRSA-N 1 2 303.362 1.409 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@@H]3[C@H](O)CC[C@@H]3C2)c(C#N)c1C ZINC001363323021 886020125 /nfs/dbraw/zinc/02/01/25/886020125.db2.gz MKEBPNSATLHBPO-KWCYVHTRSA-N 1 2 303.362 1.409 20 30 DDEDLO CC(C)[C@@H](CCNC(=O)[C@H](C)C#N)NC(=O)CCn1cc[nH+]c1 ZINC001383562699 886038445 /nfs/dbraw/zinc/03/84/45/886038445.db2.gz QIFRNLNAWXKRLN-ZIAGYGMSSA-N 1 2 319.409 1.080 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cn(C)nc2C2CC2)CC1 ZINC001232173921 886136507 /nfs/dbraw/zinc/13/65/07/886136507.db2.gz PUBIZZBYAPWJOQ-UHFFFAOYSA-N 1 2 302.378 1.576 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CCN1Cc1c[nH+]c(C)[nH]1 ZINC001232460118 886403493 /nfs/dbraw/zinc/40/34/93/886403493.db2.gz PRGBJKFUXMTTBA-OAHLLOKOSA-N 1 2 316.409 1.632 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CCN1Cc1c[nH]c(C)[nH+]1 ZINC001232460118 886403503 /nfs/dbraw/zinc/40/35/03/886403503.db2.gz PRGBJKFUXMTTBA-OAHLLOKOSA-N 1 2 316.409 1.632 20 30 DDEDLO COc1cc(N2CC[NH+](Cc3cc(C#N)ccc3O)CC2)ccn1 ZINC001232680615 886535788 /nfs/dbraw/zinc/53/57/88/886535788.db2.gz FTKJPNFFVUUZDP-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO N#Cc1ccc(F)cc1C[NH+]1CCC(N2CCOCC2=O)CC1 ZINC001232894228 886655953 /nfs/dbraw/zinc/65/59/53/886655953.db2.gz QBOOLBPRNRCLGF-UHFFFAOYSA-N 1 2 317.364 1.521 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001233489978 887028693 /nfs/dbraw/zinc/02/86/93/887028693.db2.gz NTCULYNJJVQTOI-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001233489978 887028712 /nfs/dbraw/zinc/02/87/12/887028712.db2.gz NTCULYNJJVQTOI-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO O=C([C@@H]1CCn2c[nH+]cc2C1)N1CCC2(CC1)CC(=O)C=CO2 ZINC001363740292 887113033 /nfs/dbraw/zinc/11/30/33/887113033.db2.gz FVCMZAOBRABLKG-CYBMUJFWSA-N 1 2 315.373 1.310 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccoc1Cl ZINC001233916171 887455648 /nfs/dbraw/zinc/45/56/48/887455648.db2.gz UAENVKRTGIHVSS-LBPRGKRZSA-N 1 2 310.781 1.729 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccoc1Cl ZINC001233916171 887455653 /nfs/dbraw/zinc/45/56/53/887455653.db2.gz UAENVKRTGIHVSS-LBPRGKRZSA-N 1 2 310.781 1.729 20 30 DDEDLO C[N@@H+]1CCO[C@H](COc2ccc(-c3cccc(C#N)c3)nn2)C1 ZINC001233942785 887481208 /nfs/dbraw/zinc/48/12/08/887481208.db2.gz GWBONZOBSDWDGA-HNNXBMFYSA-N 1 2 310.357 1.725 20 30 DDEDLO C[N@H+]1CCO[C@H](COc2ccc(-c3cccc(C#N)c3)nn2)C1 ZINC001233942785 887481213 /nfs/dbraw/zinc/48/12/13/887481213.db2.gz GWBONZOBSDWDGA-HNNXBMFYSA-N 1 2 310.357 1.725 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)ns1 ZINC001233976536 887515708 /nfs/dbraw/zinc/51/57/08/887515708.db2.gz GLYDWODYJBHYOC-CJNGLKHVSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)ns1 ZINC001233976536 887515720 /nfs/dbraw/zinc/51/57/20/887515720.db2.gz GLYDWODYJBHYOC-CJNGLKHVSA-N 1 2 323.462 1.811 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1C ZINC001234226024 887769201 /nfs/dbraw/zinc/76/92/01/887769201.db2.gz QQLZUEUXGPJGNH-DZGCQCFKSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1C ZINC001234226024 887769213 /nfs/dbraw/zinc/76/92/13/887769213.db2.gz QQLZUEUXGPJGNH-DZGCQCFKSA-N 1 2 305.378 1.054 20 30 DDEDLO CCn1cc(C[NH2+]Cc2cccc(F)c2C#N)c(=O)[nH]c1=O ZINC001364735868 889306263 /nfs/dbraw/zinc/30/62/63/889306263.db2.gz BQEVJWIKLHPSDS-UHFFFAOYSA-N 1 2 302.309 1.269 20 30 DDEDLO CC(C)=CC(=O)NC[C@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001278040809 889464168 /nfs/dbraw/zinc/46/41/68/889464168.db2.gz DYGBLVMCPSVNQY-OAHLLOKOSA-N 1 2 305.353 1.230 20 30 DDEDLO N#Cc1cc(C[NH+]2CCC3(CC2)COCC(=O)N3)c(F)cc1F ZINC001237528118 889680165 /nfs/dbraw/zinc/68/01/65/889680165.db2.gz RBCXGJJZVKDHKP-UHFFFAOYSA-N 1 2 321.327 1.317 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2cc(Cl)cc(C#N)c2)CCCO1 ZINC001237755435 889835181 /nfs/dbraw/zinc/83/51/81/889835181.db2.gz NWALDQQOKJAXEU-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2cc(Cl)cc(C#N)c2)CCCO1 ZINC001237755435 889835189 /nfs/dbraw/zinc/83/51/89/889835189.db2.gz NWALDQQOKJAXEU-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO Cn1ccnc1NC(=O)[C@@H]1CC12C[NH+](Cc1ccccc1C#N)C2 ZINC001278240457 890246379 /nfs/dbraw/zinc/24/63/79/890246379.db2.gz USQHMODKQGHTAY-HNNXBMFYSA-N 1 2 321.384 1.752 20 30 DDEDLO COc1ncc(NC(=O)N[C@H](C)Cn2cc[nH+]c2)cc1C#N ZINC001365530311 890994445 /nfs/dbraw/zinc/99/44/45/890994445.db2.gz JAHTUFCNSFIITM-SNVBAGLBSA-N 1 2 300.322 1.369 20 30 DDEDLO CC(C)(O)CC(=O)N1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC001365692786 891340310 /nfs/dbraw/zinc/34/03/10/891340310.db2.gz PZJZXRPVPRISHT-UHFFFAOYSA-N 1 2 301.390 1.363 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[C@@H]([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001365850861 891717127 /nfs/dbraw/zinc/71/71/27/891717127.db2.gz NUSJSYOEYHUVEG-GMXVVIOVSA-N 1 2 317.393 1.481 20 30 DDEDLO C#CCCCC(=O)N1CCN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC001292401487 913658624 /nfs/dbraw/zinc/65/86/24/913658624.db2.gz NURDOMDTBRKJIU-AWEZNQCLSA-N 1 2 316.405 1.063 20 30 DDEDLO C#CCCCC(=O)N1CCN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC001292401487 913658637 /nfs/dbraw/zinc/65/86/37/913658637.db2.gz NURDOMDTBRKJIU-AWEZNQCLSA-N 1 2 316.405 1.063 20 30 DDEDLO CC(C)c1cnc(C[NH2+][C@@H](C)CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001366267242 892955909 /nfs/dbraw/zinc/95/59/09/892955909.db2.gz IMWJBNIABZXLRL-NSHDSACASA-N 1 2 315.377 1.906 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1cnc(C2CC2)[nH]c1=O ZINC001366631593 894356442 /nfs/dbraw/zinc/35/64/42/894356442.db2.gz ANUCWZLBCHSFKN-UHFFFAOYSA-N 1 2 324.812 1.864 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1cnc(C2CC2)[nH]c1=O ZINC001366631593 894356457 /nfs/dbraw/zinc/35/64/57/894356457.db2.gz ANUCWZLBCHSFKN-UHFFFAOYSA-N 1 2 324.812 1.864 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H](C)OCC ZINC001366662719 894481497 /nfs/dbraw/zinc/48/14/97/894481497.db2.gz UYSBFXNMMJBWRU-VXGBXAGGSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H](C)OCC ZINC001366662719 894481509 /nfs/dbraw/zinc/48/15/09/894481509.db2.gz UYSBFXNMMJBWRU-VXGBXAGGSA-N 1 2 319.243 1.901 20 30 DDEDLO C#CCOC[C@H](O)C[N@@H+]1CCc2[nH]c3ccc(F)cc3c2C1 ZINC001251851419 894844315 /nfs/dbraw/zinc/84/43/15/894844315.db2.gz KTLQLIJAPVMQSS-CYBMUJFWSA-N 1 2 302.349 1.676 20 30 DDEDLO C#CCOC[C@H](O)C[N@H+]1CCc2[nH]c3ccc(F)cc3c2C1 ZINC001251851419 894844331 /nfs/dbraw/zinc/84/43/31/894844331.db2.gz KTLQLIJAPVMQSS-CYBMUJFWSA-N 1 2 302.349 1.676 20 30 DDEDLO COC[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001367008517 895559206 /nfs/dbraw/zinc/55/92/06/895559206.db2.gz QRUVOPRNCGJNCA-DOMZBBRYSA-N 1 2 319.380 1.670 20 30 DDEDLO COC[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001367008517 895559223 /nfs/dbraw/zinc/55/92/23/895559223.db2.gz QRUVOPRNCGJNCA-DOMZBBRYSA-N 1 2 319.380 1.670 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)CCCC(=O)NC)C1 ZINC001367076287 895773011 /nfs/dbraw/zinc/77/30/11/895773011.db2.gz ZBUXSAAYMOEGBO-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)CCCC(=O)NC)C1 ZINC001367076287 895773028 /nfs/dbraw/zinc/77/30/28/895773028.db2.gz ZBUXSAAYMOEGBO-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)CCCC(=O)NC)C1 ZINC001367076283 895774875 /nfs/dbraw/zinc/77/48/75/895774875.db2.gz ZBUXSAAYMOEGBO-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)CCCC(=O)NC)C1 ZINC001367076283 895774891 /nfs/dbraw/zinc/77/48/91/895774891.db2.gz ZBUXSAAYMOEGBO-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO CCOC(=O)c1ncn2c1C[N@@H+]([C@@H]1CC[C@@H](C#N)C1)CCC2 ZINC001254660093 896552373 /nfs/dbraw/zinc/55/23/73/896552373.db2.gz FUCGYTULRIVTOY-CHWSQXEVSA-N 1 2 302.378 1.958 20 30 DDEDLO CCOC(=O)c1ncn2c1C[N@H+]([C@@H]1CC[C@@H](C#N)C1)CCC2 ZINC001254660093 896552381 /nfs/dbraw/zinc/55/23/81/896552381.db2.gz FUCGYTULRIVTOY-CHWSQXEVSA-N 1 2 302.378 1.958 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@@H+]([C@@H]2CC[C@H](C#N)C2)C[C@@H]1CO ZINC001254667251 896567820 /nfs/dbraw/zinc/56/78/20/896567820.db2.gz BPFOIQQUIXHIBW-BFHYXJOUSA-N 1 2 309.410 1.592 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@H+]([C@@H]2CC[C@H](C#N)C2)C[C@@H]1CO ZINC001254667251 896567828 /nfs/dbraw/zinc/56/78/28/896567828.db2.gz BPFOIQQUIXHIBW-BFHYXJOUSA-N 1 2 309.410 1.592 20 30 DDEDLO CC[C@@H](CC#N)[N@@H+]1C[C@@H](OCc2cccnc2)[C@H]2COC[C@H]21 ZINC001255167719 896761822 /nfs/dbraw/zinc/76/18/22/896761822.db2.gz GCXVFRRGVQVLKI-MWDXBVQZSA-N 1 2 301.390 1.990 20 30 DDEDLO CC[C@@H](CC#N)[N@H+]1C[C@@H](OCc2cccnc2)[C@H]2COC[C@H]21 ZINC001255167719 896761826 /nfs/dbraw/zinc/76/18/26/896761826.db2.gz GCXVFRRGVQVLKI-MWDXBVQZSA-N 1 2 301.390 1.990 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@H+](C)CCN(C(=O)C#CC1CC1)C(C)C ZINC001278907407 897249892 /nfs/dbraw/zinc/24/98/92/897249892.db2.gz CSUBGPVKMFIXGV-OAHLLOKOSA-N 1 2 321.465 1.483 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@@H+](C)CCN(C(=O)C#CC1CC1)C(C)C ZINC001278907407 897249909 /nfs/dbraw/zinc/24/99/09/897249909.db2.gz CSUBGPVKMFIXGV-OAHLLOKOSA-N 1 2 321.465 1.483 20 30 DDEDLO CC(C)N(CCN(C)CC#N)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001278912707 897291011 /nfs/dbraw/zinc/29/10/11/897291011.db2.gz VWKDQIWMPCEAMI-CQSZACIVSA-N 1 2 303.410 1.138 20 30 DDEDLO C[C@H](C[NH2+]Cc1nc(C(F)F)no1)NC(=O)c1c[nH]c(C#N)c1 ZINC001367667244 897403856 /nfs/dbraw/zinc/40/38/56/897403856.db2.gz WPNQTJQOOXVAIY-SSDOTTSWSA-N 1 2 324.291 1.115 20 30 DDEDLO CN(C(=O)OC(C)(C)C)C1CCC(N2CC[NH2+]C[C@H]2C#N)CC1 ZINC001256668741 897528259 /nfs/dbraw/zinc/52/82/59/897528259.db2.gz BYVNLZZLVZSADZ-YMAMQOFZSA-N 1 2 322.453 1.962 20 30 DDEDLO C#CC[NH2+][C@@H](C)[C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001279017297 897871319 /nfs/dbraw/zinc/87/13/19/897871319.db2.gz JRQZFGLQAOLUTR-XJKSGUPXSA-N 1 2 323.400 1.545 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@H](C)[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001390648280 899859205 /nfs/dbraw/zinc/85/92/05/899859205.db2.gz RBLGSSYLWVPXQO-QWRGUYRKSA-N 1 2 307.398 1.511 20 30 DDEDLO CCO[C@H]1C[C@H]1C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001390738270 900058613 /nfs/dbraw/zinc/05/86/13/900058613.db2.gz NZAQLLITTMOCJF-ZBFHGGJFSA-N 1 2 319.380 1.670 20 30 DDEDLO CCO[C@H]1C[C@H]1C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001390738270 900058627 /nfs/dbraw/zinc/05/86/27/900058627.db2.gz NZAQLLITTMOCJF-ZBFHGGJFSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@@H]1OCC[C@@H]1C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001390835204 900312383 /nfs/dbraw/zinc/31/23/83/900312383.db2.gz HSGCUOUADKFSIX-WFASDCNBSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@@H]1OCC[C@@H]1C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001390835204 900312388 /nfs/dbraw/zinc/31/23/88/900312388.db2.gz HSGCUOUADKFSIX-WFASDCNBSA-N 1 2 319.380 1.670 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cnc(COC)s1 ZINC001390870503 900403532 /nfs/dbraw/zinc/40/35/32/900403532.db2.gz ZCDIOBHEHYOODY-UHFFFAOYSA-N 1 2 303.815 1.704 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cnc(COC)s1 ZINC001390870503 900403536 /nfs/dbraw/zinc/40/35/36/900403536.db2.gz ZCDIOBHEHYOODY-UHFFFAOYSA-N 1 2 303.815 1.704 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](CC)[C@H](C)O)n2CC=C)CC1 ZINC001262937701 900425929 /nfs/dbraw/zinc/42/59/29/900425929.db2.gz LKAGXCXTSSMHAU-LSDHHAIUSA-N 1 2 317.437 1.094 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CCC(O)CC3)n2CC)CC1 ZINC001263011422 900467017 /nfs/dbraw/zinc/46/70/17/900467017.db2.gz BSCNVPQTCSQHTR-UHFFFAOYSA-N 1 2 317.437 1.072 20 30 DDEDLO C#CCCN(CCOC)c1nnc(Cc2[nH+]ccn2C)n1CC ZINC001263012963 900469150 /nfs/dbraw/zinc/46/91/50/900469150.db2.gz CNXFKQIWZLLIRD-UHFFFAOYSA-N 1 2 316.409 1.098 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3C[C@H]3C)n2CC(C)C)CC1 ZINC001263407281 900603212 /nfs/dbraw/zinc/60/32/12/900603212.db2.gz CZFRQPPQIADERR-CABCVRRESA-N 1 2 301.438 1.813 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)Cn1nc(C)cc1C ZINC001391042539 900750138 /nfs/dbraw/zinc/75/01/38/900750138.db2.gz GKKQKVMGUYLWAH-CQSZACIVSA-N 1 2 310.829 1.833 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)Cn1nc(C)cc1C ZINC001391042539 900750146 /nfs/dbraw/zinc/75/01/46/900750146.db2.gz GKKQKVMGUYLWAH-CQSZACIVSA-N 1 2 310.829 1.833 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2nccc(C)n2)[C@@H]1CC ZINC001264604387 901207828 /nfs/dbraw/zinc/20/78/28/901207828.db2.gz ZGNNOWQNRXOUAE-LSDHHAIUSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2nccc(C)n2)[C@@H]1CC ZINC001264604387 901207842 /nfs/dbraw/zinc/20/78/42/901207842.db2.gz ZGNNOWQNRXOUAE-LSDHHAIUSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001265208905 901708601 /nfs/dbraw/zinc/70/86/01/901708601.db2.gz XXJKSROXVFIDPH-HNNXBMFYSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@H+](Cc2cnc(C)nc2)C1 ZINC001265208905 901708616 /nfs/dbraw/zinc/70/86/16/901708616.db2.gz XXJKSROXVFIDPH-HNNXBMFYSA-N 1 2 304.394 1.068 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@@H+](Cc2ncc(C)s2)C1 ZINC001265215123 901722930 /nfs/dbraw/zinc/72/29/30/901722930.db2.gz VHOROBIYEVDXBN-AWEZNQCLSA-N 1 2 321.446 1.572 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@H+](Cc2ncc(C)s2)C1 ZINC001265215123 901722941 /nfs/dbraw/zinc/72/29/41/901722941.db2.gz VHOROBIYEVDXBN-AWEZNQCLSA-N 1 2 321.446 1.572 20 30 DDEDLO CCc1cccc(CC(=O)NCC[NH+]2CCN(CC#N)CC2)c1 ZINC001265259584 901781777 /nfs/dbraw/zinc/78/17/77/901781777.db2.gz FLKZTFUJYSAQQY-UHFFFAOYSA-N 1 2 314.433 1.049 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)CCCC(F)(F)F)CC1 ZINC001265264291 901787766 /nfs/dbraw/zinc/78/77/66/901787766.db2.gz KUQFAJXYWQTUIL-UHFFFAOYSA-N 1 2 319.371 1.476 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001265404791 901979805 /nfs/dbraw/zinc/97/98/05/901979805.db2.gz CYKBIRUDLFMMFI-CALCHBBNSA-N 1 2 314.433 1.563 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001265404791 901979812 /nfs/dbraw/zinc/97/98/12/901979812.db2.gz CYKBIRUDLFMMFI-CALCHBBNSA-N 1 2 314.433 1.563 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001265584549 902161797 /nfs/dbraw/zinc/16/17/97/902161797.db2.gz PEWKMFLOQDAUDC-VXGBXAGGSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001265584549 902161807 /nfs/dbraw/zinc/16/18/07/902161807.db2.gz PEWKMFLOQDAUDC-VXGBXAGGSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001265584549 902161815 /nfs/dbraw/zinc/16/18/15/902161815.db2.gz PEWKMFLOQDAUDC-VXGBXAGGSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001265584549 902161827 /nfs/dbraw/zinc/16/18/27/902161827.db2.gz PEWKMFLOQDAUDC-VXGBXAGGSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[N@@H+]1CCCC[C@H]1CNC(=O)CCCC(C)=O ZINC001265638075 902231378 /nfs/dbraw/zinc/23/13/78/902231378.db2.gz CLCUZZBCGCDSQB-INIZCTEOSA-N 1 2 308.422 1.366 20 30 DDEDLO COCC#CC[N@H+]1CCCC[C@H]1CNC(=O)CCCC(C)=O ZINC001265638075 902231391 /nfs/dbraw/zinc/23/13/91/902231391.db2.gz CLCUZZBCGCDSQB-INIZCTEOSA-N 1 2 308.422 1.366 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCC[N@H+]1Cc1ccc(OC)nn1 ZINC001265641246 902235728 /nfs/dbraw/zinc/23/57/28/902235728.db2.gz SKQAJXBOIHLULA-OAHLLOKOSA-N 1 2 318.421 1.922 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1ccc(OC)nn1 ZINC001265641246 902235743 /nfs/dbraw/zinc/23/57/43/902235743.db2.gz SKQAJXBOIHLULA-OAHLLOKOSA-N 1 2 318.421 1.922 20 30 DDEDLO CC[C@H](CNC(=O)[C@@H](C)C#N)[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001391668872 902301206 /nfs/dbraw/zinc/30/12/06/902301206.db2.gz MAARSEPIDVXCPW-CMPLNLGQSA-N 1 2 317.349 1.474 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCCC(=O)NCCC)C1 ZINC001370040967 902439629 /nfs/dbraw/zinc/43/96/29/902439629.db2.gz HYZYBPRXKFCMOB-ZDUSSCGKSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCCC(=O)NCCC)C1 ZINC001370040967 902439638 /nfs/dbraw/zinc/43/96/38/902439638.db2.gz HYZYBPRXKFCMOB-ZDUSSCGKSA-N 1 2 315.845 1.626 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC001265895993 902554584 /nfs/dbraw/zinc/55/45/84/902554584.db2.gz XLJAGKSYHYWDRI-ZDUSSCGKSA-N 1 2 304.394 1.679 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001293697011 914643000 /nfs/dbraw/zinc/64/30/00/914643000.db2.gz JHHLDDYWDOWOQQ-AWEZNQCLSA-N 1 2 316.405 1.205 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](NC(=O)c2cccs2)C1 ZINC001266201350 903097342 /nfs/dbraw/zinc/09/73/42/903097342.db2.gz VPJKUQLAMCQBKF-STQMWFEESA-N 1 2 321.446 1.633 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](NC(=O)c2cccs2)C1 ZINC001266201350 903097351 /nfs/dbraw/zinc/09/73/51/903097351.db2.gz VPJKUQLAMCQBKF-STQMWFEESA-N 1 2 321.446 1.633 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2c(C)noc2C)C1 ZINC001266201490 903100703 /nfs/dbraw/zinc/10/07/03/903100703.db2.gz WCXHEKGNUKGTOX-AWEZNQCLSA-N 1 2 305.378 1.135 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2c(C)noc2C)C1 ZINC001266201490 903100715 /nfs/dbraw/zinc/10/07/15/903100715.db2.gz WCXHEKGNUKGTOX-AWEZNQCLSA-N 1 2 305.378 1.135 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CC ZINC001316603630 903700290 /nfs/dbraw/zinc/70/02/90/903700290.db2.gz KJEXEMPTGQOQPS-QAPCUYQASA-N 1 2 323.481 1.990 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CC ZINC001316603630 903700301 /nfs/dbraw/zinc/70/03/01/903700301.db2.gz KJEXEMPTGQOQPS-QAPCUYQASA-N 1 2 323.481 1.990 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@]1(C)CCCOC1)O2 ZINC001280930779 904201574 /nfs/dbraw/zinc/20/15/74/904201574.db2.gz DGCFITIIRABBGO-GOEBONIOSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H](C)c1cnn(C)c1)O2 ZINC001280937441 904216663 /nfs/dbraw/zinc/21/66/63/904216663.db2.gz VQBSQFKEYNKYJT-UKRRQHHQSA-N 1 2 318.421 1.059 20 30 DDEDLO C[C@@H](C[NH2+]Cc1nc(C2CCCC2)no1)NC(=O)[C@@H](C)C#N ZINC001392549756 904433936 /nfs/dbraw/zinc/43/39/36/904433936.db2.gz MEDRWEBAUVHBAU-QWRGUYRKSA-N 1 2 305.382 1.481 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1ccc2ncn(C)c2n1 ZINC001392692910 904930368 /nfs/dbraw/zinc/93/03/68/904930368.db2.gz TZVKZXWRCWFHAG-NSHDSACASA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1ccc2ncn(C)c2n1 ZINC001392692910 904930378 /nfs/dbraw/zinc/93/03/78/904930378.db2.gz TZVKZXWRCWFHAG-NSHDSACASA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H](C)OC ZINC001377386590 921197291 /nfs/dbraw/zinc/19/72/91/921197291.db2.gz RVYFFXNPKKXJPM-WDEREUQCSA-N 1 2 305.216 1.511 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H](C)OC ZINC001377386590 921197295 /nfs/dbraw/zinc/19/72/95/921197295.db2.gz RVYFFXNPKKXJPM-WDEREUQCSA-N 1 2 305.216 1.511 20 30 DDEDLO C=CCCCC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1c[nH]c[nH+]1)C2 ZINC001282796257 906048270 /nfs/dbraw/zinc/04/82/70/906048270.db2.gz KRBMFPMDHKRKAE-KFWWJZLASA-N 1 2 316.405 1.557 20 30 DDEDLO COc1nscc1C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H](C)C#N ZINC001377390138 921203228 /nfs/dbraw/zinc/20/32/28/921203228.db2.gz VOFDGVZGANQHMT-JQWIXIFHSA-N 1 2 308.407 1.392 20 30 DDEDLO COc1nscc1C[N@H+]1CCC[C@H]1CNC(=O)[C@@H](C)C#N ZINC001377390138 921203237 /nfs/dbraw/zinc/20/32/37/921203237.db2.gz VOFDGVZGANQHMT-JQWIXIFHSA-N 1 2 308.407 1.392 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001372376813 907163075 /nfs/dbraw/zinc/16/30/75/907163075.db2.gz QJBQXXATMVZERT-MELADBBJSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001372376813 907163070 /nfs/dbraw/zinc/16/30/70/907163070.db2.gz QJBQXXATMVZERT-MELADBBJSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCCNC(=O)Cc1[nH]cc[nH+]1 ZINC001283633942 907717461 /nfs/dbraw/zinc/71/74/61/907717461.db2.gz BWMVFDSLDGLGHM-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2CN(C(=O)C(C)(C)c3c[nH+]c[nH]3)C[C@H]21 ZINC001284152466 908554140 /nfs/dbraw/zinc/55/41/40/908554140.db2.gz GCCSYHSFMKOILG-JYAVWHMHSA-N 1 2 316.405 1.227 20 30 DDEDLO COC[C@@H](C)C(=O)NC[C@@H](C)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001394047565 908627589 /nfs/dbraw/zinc/62/75/89/908627589.db2.gz YVPKGAZEZVLKAN-CHWSQXEVSA-N 1 2 321.396 1.916 20 30 DDEDLO COC[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001394047565 908627601 /nfs/dbraw/zinc/62/76/01/908627601.db2.gz YVPKGAZEZVLKAN-CHWSQXEVSA-N 1 2 321.396 1.916 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001284376789 908909345 /nfs/dbraw/zinc/90/93/45/908909345.db2.gz XHNBODBDDHVHAC-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001284436155 908999530 /nfs/dbraw/zinc/99/95/30/908999530.db2.gz ABYCWDDBISMZNR-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001284436155 908999535 /nfs/dbraw/zinc/99/95/35/908999535.db2.gz ABYCWDDBISMZNR-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1nocc1C ZINC001284505938 909104688 /nfs/dbraw/zinc/10/46/88/909104688.db2.gz QGKBFZQLGLIOAR-AWEZNQCLSA-N 1 2 323.437 1.836 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1nocc1C ZINC001284505938 909104695 /nfs/dbraw/zinc/10/46/95/909104695.db2.gz QGKBFZQLGLIOAR-AWEZNQCLSA-N 1 2 323.437 1.836 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)C1CC=CC1)C(C)C ZINC001284530540 909148660 /nfs/dbraw/zinc/14/86/60/909148660.db2.gz UKZCOWGVPRWYED-UHFFFAOYSA-N 1 2 307.438 1.424 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)C1CC=CC1)C(C)C ZINC001284530540 909148665 /nfs/dbraw/zinc/14/86/65/909148665.db2.gz UKZCOWGVPRWYED-UHFFFAOYSA-N 1 2 307.438 1.424 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)COC[C@@H]2CCCO2)C1 ZINC001394251702 909187717 /nfs/dbraw/zinc/18/77/17/909187717.db2.gz JADVECLTMJZAST-OCCSQVGLSA-N 1 2 316.829 1.371 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C1 ZINC001394297778 909291557 /nfs/dbraw/zinc/29/15/57/909291557.db2.gz ZDJPVWJMVUTTSV-SKDRFNHKSA-N 1 2 307.423 1.333 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C1 ZINC001394297778 909291571 /nfs/dbraw/zinc/29/15/71/909291571.db2.gz ZDJPVWJMVUTTSV-SKDRFNHKSA-N 1 2 307.423 1.333 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C1 ZINC001394297769 909292338 /nfs/dbraw/zinc/29/23/38/909292338.db2.gz ZDJPVWJMVUTTSV-CABZTGNLSA-N 1 2 307.423 1.333 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C1 ZINC001394297769 909292351 /nfs/dbraw/zinc/29/23/51/909292351.db2.gz ZDJPVWJMVUTTSV-CABZTGNLSA-N 1 2 307.423 1.333 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H](C)[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001394465915 909706508 /nfs/dbraw/zinc/70/65/08/909706508.db2.gz MNHPTEBZUODXPG-MNOVXSKESA-N 1 2 317.349 1.426 20 30 DDEDLO CC(C)C#CC(=O)NCC1=CC[N@H+](Cc2nccn2C)CC1 ZINC001284908807 909712147 /nfs/dbraw/zinc/71/21/47/909712147.db2.gz DBTXZLHPXGJZMA-UHFFFAOYSA-N 1 2 300.406 1.328 20 30 DDEDLO CC(C)C#CC(=O)NCC1=CC[N@@H+](Cc2nccn2C)CC1 ZINC001284908807 909712154 /nfs/dbraw/zinc/71/21/54/909712154.db2.gz DBTXZLHPXGJZMA-UHFFFAOYSA-N 1 2 300.406 1.328 20 30 DDEDLO CC[C@@H](C)CCC(=O)NCC1=CC[N@H+](CC(=O)NCC#N)CC1 ZINC001284967311 909846639 /nfs/dbraw/zinc/84/66/39/909846639.db2.gz DCRLTQPHNOPHSL-CQSZACIVSA-N 1 2 320.437 1.201 20 30 DDEDLO CC[C@@H](C)CCC(=O)NCC1=CC[N@@H+](CC(=O)NCC#N)CC1 ZINC001284967311 909846650 /nfs/dbraw/zinc/84/66/50/909846650.db2.gz DCRLTQPHNOPHSL-CQSZACIVSA-N 1 2 320.437 1.201 20 30 DDEDLO CC(C)C#CC(=O)N1CC(CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001284977835 909869799 /nfs/dbraw/zinc/86/97/99/909869799.db2.gz ABRCGQNMKSCZRU-UHFFFAOYSA-N 1 2 324.384 1.411 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC(CNC(=O)CCn2cc[nH+]c2)C1 ZINC001284982343 909878392 /nfs/dbraw/zinc/87/83/92/909878392.db2.gz KQLNDBCTVSIPPO-CQSZACIVSA-N 1 2 318.421 1.450 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@]2(NC(=O)[C@H](C)C#N)CCC[C@@H]12 ZINC001394689338 910295750 /nfs/dbraw/zinc/29/57/50/910295750.db2.gz ZJHDFLAZAFNDMG-WDBKCZKBSA-N 1 2 303.366 1.151 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@]2(NC(=O)[C@H](C)C#N)CCC[C@@H]12 ZINC001394689338 910295767 /nfs/dbraw/zinc/29/57/67/910295767.db2.gz ZJHDFLAZAFNDMG-WDBKCZKBSA-N 1 2 303.366 1.151 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H](C)NC(=O)Cc1c[nH+]ccc1OC ZINC001285322834 910358932 /nfs/dbraw/zinc/35/89/32/910358932.db2.gz UNAKITXOSVVGKJ-CHWSQXEVSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[C@@H]1CNC(=O)CCc1[nH]cc[nH+]1 ZINC001285401429 910469502 /nfs/dbraw/zinc/46/95/02/910469502.db2.gz PEZRNAUNBHPSLD-ZIAGYGMSSA-N 1 2 318.421 1.710 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+]1CC[C@H](N(C)C(=O)[C@H](C)C#N)C1 ZINC001373666801 910555643 /nfs/dbraw/zinc/55/56/43/910555643.db2.gz HLPTVGHSMHFVPO-PWSUYJOCSA-N 1 2 323.828 1.574 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+]1CC[C@H](N(C)C(=O)[C@H](C)C#N)C1 ZINC001373666801 910555658 /nfs/dbraw/zinc/55/56/58/910555658.db2.gz HLPTVGHSMHFVPO-PWSUYJOCSA-N 1 2 323.828 1.574 20 30 DDEDLO CC(C)CNC(=O)C[N@H+](C)CCN(C(=O)[C@H](C)C#N)C(C)C ZINC001394801842 910596312 /nfs/dbraw/zinc/59/63/12/910596312.db2.gz ODYOHZZCFHEEKK-CQSZACIVSA-N 1 2 310.442 1.087 20 30 DDEDLO CC(C)CNC(=O)C[N@@H+](C)CCN(C(=O)[C@H](C)C#N)C(C)C ZINC001394801842 910596323 /nfs/dbraw/zinc/59/63/23/910596323.db2.gz ODYOHZZCFHEEKK-CQSZACIVSA-N 1 2 310.442 1.087 20 30 DDEDLO CC(C)Cc1nc(C[NH2+]C2CC(N(C)C(=O)[C@@H](C)C#N)C2)no1 ZINC001394853198 910747212 /nfs/dbraw/zinc/74/72/12/910747212.db2.gz LIKVSLJPEKFXLC-HIFPTAJRSA-N 1 2 319.409 1.507 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001285671589 911010980 /nfs/dbraw/zinc/01/09/80/911010980.db2.gz HYNNBOHPWOFWGX-CHWSQXEVSA-N 1 2 306.410 1.186 20 30 DDEDLO C[C@H](CC(=O)N[C@@H](C)CNC(=O)C#CC(C)(C)C)n1cc[nH+]c1 ZINC001285731067 911118093 /nfs/dbraw/zinc/11/80/93/911118093.db2.gz ZJWWGDCWTBYNAY-UONOGXRCSA-N 1 2 318.421 1.505 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CC(C)(C)CNC(=O)[C@H](C)C#N ZINC001395015445 911124147 /nfs/dbraw/zinc/12/41/47/911124147.db2.gz CILGHQPUVFTCOD-LLVKDONJSA-N 1 2 319.409 1.021 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001285752992 911151537 /nfs/dbraw/zinc/15/15/37/911151537.db2.gz OSTIHVKOBHKBLH-LBPRGKRZSA-N 1 2 312.373 1.601 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001285903027 911395190 /nfs/dbraw/zinc/39/51/90/911395190.db2.gz KJPRBUYLPNMYQW-XJKSGUPXSA-N 1 2 304.394 1.272 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C(C)C)n1C[C@H](C)[NH+]1CCOCC1 ZINC001286275506 911906349 /nfs/dbraw/zinc/90/63/49/911906349.db2.gz SUBZCINHZZEJHI-CABCVRRESA-N 1 2 319.453 1.580 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CC(C)(C)COCC)CC1 ZINC001286473065 911990068 /nfs/dbraw/zinc/99/00/68/911990068.db2.gz ODPNMIDICBAKJN-UHFFFAOYSA-N 1 2 319.453 1.404 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1ccc2nnn(C)c2c1 ZINC001375143830 915095147 /nfs/dbraw/zinc/09/51/47/915095147.db2.gz NCCGLUMHNKBBES-NSHDSACASA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1ccc2nnn(C)c2c1 ZINC001375143830 915095168 /nfs/dbraw/zinc/09/51/68/915095168.db2.gz NCCGLUMHNKBBES-NSHDSACASA-N 1 2 321.812 1.771 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001294902617 915447826 /nfs/dbraw/zinc/44/78/26/915447826.db2.gz RZLPQROOVORTDG-HNNXBMFYSA-N 1 2 316.405 1.013 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001294902617 915447835 /nfs/dbraw/zinc/44/78/35/915447835.db2.gz RZLPQROOVORTDG-HNNXBMFYSA-N 1 2 316.405 1.013 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](CN(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001294945938 915474847 /nfs/dbraw/zinc/47/48/47/915474847.db2.gz OXCVGWPVKKFJFI-CQSZACIVSA-N 1 2 318.421 1.615 20 30 DDEDLO CCCN(CCNC(=O)CCc1c[nH]c[nH+]1)C(=O)C#CC1CC1 ZINC001296514190 916459930 /nfs/dbraw/zinc/45/99/30/916459930.db2.gz UZJBQECEADJPJX-UHFFFAOYSA-N 1 2 316.405 1.111 20 30 DDEDLO CCCN(CCNC(=O)CCc1c[nH+]c[nH]1)C(=O)C#CC1CC1 ZINC001296514190 916459950 /nfs/dbraw/zinc/45/99/50/916459950.db2.gz UZJBQECEADJPJX-UHFFFAOYSA-N 1 2 316.405 1.111 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+](CCN(C)C(=O)[C@H]2C[C@@H]2C)CC1 ZINC001296829536 916581959 /nfs/dbraw/zinc/58/19/59/916581959.db2.gz JOQIGOLDRYQLIT-HOTGVXAUSA-N 1 2 321.465 1.601 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[NH+]1CCN(C(=O)CCC)CC1 ZINC001296826166 916583190 /nfs/dbraw/zinc/58/31/90/916583190.db2.gz CTDPXCFXOPUXCE-UHFFFAOYSA-N 1 2 309.454 1.601 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CO[C@H]2CCOC2)C1 ZINC001376059557 917584800 /nfs/dbraw/zinc/58/48/00/917584800.db2.gz YUDSYFSHKSOELI-OLZOCXBDSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CO[C@H]2CCOC2)C1 ZINC001376059557 917584807 /nfs/dbraw/zinc/58/48/07/917584807.db2.gz YUDSYFSHKSOELI-OLZOCXBDSA-N 1 2 302.802 1.125 20 30 DDEDLO CCc1ccccc1CC[NH+]1CC(O)(CNC(=O)[C@H](C)C#N)C1 ZINC001376142572 917790221 /nfs/dbraw/zinc/79/02/21/917790221.db2.gz NABNAMYCBPKZDX-CQSZACIVSA-N 1 2 315.417 1.114 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCCC[N@@H+]1Cc1ccc(F)cn1 ZINC001376583358 918813187 /nfs/dbraw/zinc/81/31/87/918813187.db2.gz XEHSJYDZNQWHCO-SWLSCSKDSA-N 1 2 304.369 1.851 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCCC[N@H+]1Cc1ccc(F)cn1 ZINC001376583358 918813202 /nfs/dbraw/zinc/81/32/02/918813202.db2.gz XEHSJYDZNQWHCO-SWLSCSKDSA-N 1 2 304.369 1.851 20 30 DDEDLO CCC(=O)N[C@@H](C)C(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001377158427 920495652 /nfs/dbraw/zinc/49/56/52/920495652.db2.gz BDSAAGMZESOEIX-ZDUSSCGKSA-N 1 2 316.405 1.021 20 30 DDEDLO CCC(=O)N[C@@H](C)C(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001377158427 920495659 /nfs/dbraw/zinc/49/56/59/920495659.db2.gz BDSAAGMZESOEIX-ZDUSSCGKSA-N 1 2 316.405 1.021 20 30 DDEDLO COCCC(=O)NCC[N@H+](Cc1cc(F)ccc1C#N)C1CC1 ZINC001377507699 922162483 /nfs/dbraw/zinc/16/24/83/922162483.db2.gz TXDQSDJBRZJDFR-UHFFFAOYSA-N 1 2 319.380 1.814 20 30 DDEDLO COCCC(=O)NCC[N@@H+](Cc1cc(F)ccc1C#N)C1CC1 ZINC001377507699 922162486 /nfs/dbraw/zinc/16/24/86/922162486.db2.gz TXDQSDJBRZJDFR-UHFFFAOYSA-N 1 2 319.380 1.814 20 30 DDEDLO C[C@H](C(=O)NCCC(=O)N1CCCCCC1)[NH+]1CCN(C)CC1 ZINC000328665939 539298372 /nfs/dbraw/zinc/29/83/72/539298372.db2.gz CKHLDDNYJCMKIL-OAHLLOKOSA-N 1 2 324.469 1.372 20 30 DDEDLO Cn1nncc1C(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC000328682253 539298584 /nfs/dbraw/zinc/29/85/84/539298584.db2.gz VMVRVLUXFNIKFI-UHFFFAOYSA-N 1 2 301.350 1.284 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1)[C@H]1CCCOC1 ZINC000328901712 539299357 /nfs/dbraw/zinc/29/93/57/539299357.db2.gz ZKWNLQFYHSFPFQ-XQLPTFJDSA-N 1 2 311.426 1.120 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1)[C@H]1CCCOC1 ZINC000328901712 539299359 /nfs/dbraw/zinc/29/93/59/539299359.db2.gz ZKWNLQFYHSFPFQ-XQLPTFJDSA-N 1 2 311.426 1.120 20 30 DDEDLO Cc1nc(C)c(CCC(=O)N[C@H](C)C[NH+]2CCOCC2)c(=O)[nH]1 ZINC000329180610 539301589 /nfs/dbraw/zinc/30/15/89/539301589.db2.gz OJIGGVYBNYHACB-LLVKDONJSA-N 1 2 322.409 1.409 20 30 DDEDLO Cc1nn(C)cc1[C@H]1CCC[N@@H+]1CC(=O)NC1(C#N)CCC1 ZINC000615224994 362202595 /nfs/dbraw/zinc/20/25/95/362202595.db2.gz IKYMTSKKFFYXOP-CQSZACIVSA-N 1 2 301.394 1.428 20 30 DDEDLO Cc1nn(C)cc1[C@H]1CCC[N@H+]1CC(=O)NC1(C#N)CCC1 ZINC000615224994 362202600 /nfs/dbraw/zinc/20/26/00/362202600.db2.gz IKYMTSKKFFYXOP-CQSZACIVSA-N 1 2 301.394 1.428 20 30 DDEDLO CO[C@]1(C(F)(F)F)CCC[N@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC000615231816 362205389 /nfs/dbraw/zinc/20/53/89/362205389.db2.gz BPNLCHKJYNKDEC-CYBMUJFWSA-N 1 2 319.327 1.592 20 30 DDEDLO CO[C@]1(C(F)(F)F)CCC[N@@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC000615231816 362205393 /nfs/dbraw/zinc/20/53/93/362205393.db2.gz BPNLCHKJYNKDEC-CYBMUJFWSA-N 1 2 319.327 1.592 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)CNC(=O)CCC3CCCC3)C[C@H]21 ZINC000329925161 529785618 /nfs/dbraw/zinc/78/56/18/529785618.db2.gz JORMOJCFHJRLSX-HUUCEWRRSA-N 1 2 323.437 1.455 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)CNC(=O)CCC3CCCC3)C[C@H]21 ZINC000329925161 529785619 /nfs/dbraw/zinc/78/56/19/529785619.db2.gz JORMOJCFHJRLSX-HUUCEWRRSA-N 1 2 323.437 1.455 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(CC(=O)Nc3ccc(Cl)cn3)C[C@H]21 ZINC000330344435 529786706 /nfs/dbraw/zinc/78/67/06/529786706.db2.gz CXYWYCSGLSPOEB-VXGBXAGGSA-N 1 2 310.785 1.338 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(CC(=O)Nc3ccc(Cl)cn3)C[C@H]21 ZINC000330344435 529786708 /nfs/dbraw/zinc/78/67/08/529786708.db2.gz CXYWYCSGLSPOEB-VXGBXAGGSA-N 1 2 310.785 1.338 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc4ncsc4c3)C[C@H]21 ZINC000329954897 529790832 /nfs/dbraw/zinc/79/08/32/529790832.db2.gz KICDDKSNJTUZNR-OLZOCXBDSA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc4ncsc4c3)C[C@H]21 ZINC000329954897 529790834 /nfs/dbraw/zinc/79/08/34/529790834.db2.gz KICDDKSNJTUZNR-OLZOCXBDSA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)CCCC(=O)N2 ZINC000414138086 529868263 /nfs/dbraw/zinc/86/82/63/529868263.db2.gz FNERPIDYBGTVOJ-CQSZACIVSA-N 1 2 317.389 1.547 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)CCCC(=O)N2 ZINC000414138086 529868265 /nfs/dbraw/zinc/86/82/65/529868265.db2.gz FNERPIDYBGTVOJ-CQSZACIVSA-N 1 2 317.389 1.547 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc([C@@H]2CCOC2)cc1 ZINC000414123096 529868435 /nfs/dbraw/zinc/86/84/35/529868435.db2.gz JEBQRHWYIXTNGY-HZPDHXFCSA-N 1 2 304.390 1.776 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc([C@@H]2CCOC2)cc1 ZINC000414123096 529868436 /nfs/dbraw/zinc/86/84/36/529868436.db2.gz JEBQRHWYIXTNGY-HZPDHXFCSA-N 1 2 304.390 1.776 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)CCCC(=O)N2 ZINC000414138084 529868546 /nfs/dbraw/zinc/86/85/46/529868546.db2.gz FNERPIDYBGTVOJ-AWEZNQCLSA-N 1 2 317.389 1.547 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)CCCC(=O)N2 ZINC000414138084 529868547 /nfs/dbraw/zinc/86/85/47/529868547.db2.gz FNERPIDYBGTVOJ-AWEZNQCLSA-N 1 2 317.389 1.547 20 30 DDEDLO C=C[C@@](C)(O)C(=O)Nc1cc(N(C)C)c(Br)c[nH+]1 ZINC000615993680 362513628 /nfs/dbraw/zinc/51/36/28/362513628.db2.gz QDYGKRJDCOMDCU-GFCCVEGCSA-N 1 2 314.183 1.786 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)Nc1nc(C[NH+](C)C)cs1 ZINC000616195243 362581727 /nfs/dbraw/zinc/58/17/27/362581727.db2.gz SHCDDWUCFBZYPR-GFCCVEGCSA-N 1 2 310.423 1.614 20 30 DDEDLO C#CCN(CC#C)C(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000279725155 215094734 /nfs/dbraw/zinc/09/47/34/215094734.db2.gz BQOUZERVHYBRTI-UHFFFAOYSA-N 1 2 301.296 1.718 20 30 DDEDLO N#Cc1cnc(NCc2cc[nH+]c(N3CCSCC3)c2)cn1 ZINC000601240081 358459690 /nfs/dbraw/zinc/45/96/90/358459690.db2.gz GCHOOPHRKAMQNN-UHFFFAOYSA-N 1 2 312.402 1.909 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccccc2Cl)CC1 ZINC000023265209 352183007 /nfs/dbraw/zinc/18/30/07/352183007.db2.gz FXZVFYSNSHSEJR-UHFFFAOYSA-N 1 2 307.825 1.760 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccccc2Cl)CC1 ZINC000023265209 352183010 /nfs/dbraw/zinc/18/30/10/352183010.db2.gz FXZVFYSNSHSEJR-UHFFFAOYSA-N 1 2 307.825 1.760 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000029450360 352238917 /nfs/dbraw/zinc/23/89/17/352238917.db2.gz CWJGWSGJDVWILV-CQSZACIVSA-N 1 2 315.421 1.332 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(Cc2ccc(F)cc2Cl)CC1 ZINC000048059693 352523480 /nfs/dbraw/zinc/52/34/80/352523480.db2.gz HZDKJQWQQYRXPA-UHFFFAOYSA-N 1 2 323.799 1.346 20 30 DDEDLO CCCNC(=O)[C@H]1CCC[N@H+](CC(=O)Nc2nncs2)C1 ZINC000050995518 352575524 /nfs/dbraw/zinc/57/55/24/352575524.db2.gz HRUIMLJSFYYPHO-JTQLQIEISA-N 1 2 311.411 1.364 20 30 DDEDLO CCCNC(=O)[C@H]1CCC[N@@H+](CC(=O)Nc2nncs2)C1 ZINC000050995518 352575529 /nfs/dbraw/zinc/57/55/29/352575529.db2.gz HRUIMLJSFYYPHO-JTQLQIEISA-N 1 2 311.411 1.364 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000057667857 352823128 /nfs/dbraw/zinc/82/31/28/352823128.db2.gz GLSCCCNFFRAFQQ-GFCCVEGCSA-N 1 2 311.345 1.207 20 30 DDEDLO C[C@@H](C#N)CN(C)[C@@H](C)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000066469183 352998866 /nfs/dbraw/zinc/99/88/66/352998866.db2.gz OQXRWCKZSPZEPJ-GJZGRUSLSA-N 1 2 315.421 1.210 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCCN(C(=O)C(C)(C)C)CC1 ZINC000067229692 353039638 /nfs/dbraw/zinc/03/96/38/353039638.db2.gz FGBVGBJQDHBWAK-UHFFFAOYSA-N 1 2 321.465 1.767 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)C)CC1 ZINC000067229692 353039640 /nfs/dbraw/zinc/03/96/40/353039640.db2.gz FGBVGBJQDHBWAK-UHFFFAOYSA-N 1 2 321.465 1.767 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)c2ccc3[nH+]ccn3c2)CC1 ZINC000068328201 353104285 /nfs/dbraw/zinc/10/42/85/353104285.db2.gz YGHVRJALVFSPEE-OAHLLOKOSA-N 1 2 311.389 1.640 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N1CC[NH+](Cc2ccncc2)CC1 ZINC000070791505 353183330 /nfs/dbraw/zinc/18/33/30/353183330.db2.gz GTVNCAQNKFMAAO-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO CC[N@H+](CCC#N)[C@H](C)C(=O)NCc1ccc(OC)c(OC)c1 ZINC000081040503 353648598 /nfs/dbraw/zinc/64/85/98/353648598.db2.gz DXYZQMDRQSMIOO-CYBMUJFWSA-N 1 2 319.405 1.944 20 30 DDEDLO CC[N@@H+](CCC#N)[C@H](C)C(=O)NCc1ccc(OC)c(OC)c1 ZINC000081040503 353648600 /nfs/dbraw/zinc/64/86/00/353648600.db2.gz DXYZQMDRQSMIOO-CYBMUJFWSA-N 1 2 319.405 1.944 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+](C)[C@@H](C)c2ccccc2F)CC1 ZINC000081666350 353687490 /nfs/dbraw/zinc/68/74/90/353687490.db2.gz KOHVAKNIHNQXBB-HNNXBMFYSA-N 1 2 317.408 1.596 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+](C)[C@@H](C)c2ccccc2F)CC1 ZINC000081666350 353687492 /nfs/dbraw/zinc/68/74/92/353687492.db2.gz KOHVAKNIHNQXBB-HNNXBMFYSA-N 1 2 317.408 1.596 20 30 DDEDLO CCC1(O)CC[NH+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000083213679 353708289 /nfs/dbraw/zinc/70/82/89/353708289.db2.gz KFKQQWHJXJKXCE-CYBMUJFWSA-N 1 2 319.430 1.962 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000183027450 354277958 /nfs/dbraw/zinc/27/79/58/354277958.db2.gz XIRRRVCRRZLBGQ-INIZCTEOSA-N 1 2 314.389 1.668 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(C(F)(F)F)cn1 ZINC000581345601 354732653 /nfs/dbraw/zinc/73/26/53/354732653.db2.gz GBLFXMWAFWSZJQ-LBPRGKRZSA-N 1 2 300.284 1.674 20 30 DDEDLO N#CC1(CN2CC[NH+](CC(=O)N3CCCCCC3)CC2)CCC1 ZINC000579197838 354716075 /nfs/dbraw/zinc/71/60/75/354716075.db2.gz JLHZKWLNKUPMKO-UHFFFAOYSA-N 1 2 318.465 1.700 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNS(=O)(=O)CC1(C#N)CCC1)CCC2 ZINC000581753810 354737081 /nfs/dbraw/zinc/73/70/81/354737081.db2.gz PHGDQZCEBNUYIX-ZDUSSCGKSA-N 1 2 322.434 1.682 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](Cc3cccs3)CC2)n1 ZINC000589256992 354978633 /nfs/dbraw/zinc/97/86/33/354978633.db2.gz ARCBEUXGGLXNDS-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1C/C=C\c1ccncc1 ZINC000494994046 235112259 /nfs/dbraw/zinc/11/22/59/235112259.db2.gz JGHZVXCHTWWYAZ-GWQWAINWSA-N 1 2 320.400 1.967 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1C/C=C\c1ccncc1 ZINC000494994046 235112261 /nfs/dbraw/zinc/11/22/61/235112261.db2.gz JGHZVXCHTWWYAZ-GWQWAINWSA-N 1 2 320.400 1.967 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CN1CC(F)(c2ccccc2F)C1 ZINC000591241492 355270858 /nfs/dbraw/zinc/27/08/58/355270858.db2.gz HZWDKLVLHPRDNX-ZDUSSCGKSA-N 1 2 309.360 1.512 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CN1CC(F)(c2ccccc2F)C1 ZINC000591241492 355270860 /nfs/dbraw/zinc/27/08/60/355270860.db2.gz HZWDKLVLHPRDNX-ZDUSSCGKSA-N 1 2 309.360 1.512 20 30 DDEDLO C[C@@H](NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1)c1ccccc1Cl ZINC000592147919 355514262 /nfs/dbraw/zinc/51/42/62/355514262.db2.gz PMUNGKZMBOJPRD-MLGOLLRUSA-N 1 2 321.808 1.868 20 30 DDEDLO C[C@@H](NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1)c1ccccc1Cl ZINC000592147919 355514266 /nfs/dbraw/zinc/51/42/66/355514266.db2.gz PMUNGKZMBOJPRD-MLGOLLRUSA-N 1 2 321.808 1.868 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2cn(-c3ccc(Cl)cc3)nn2)C1 ZINC000592150781 355517503 /nfs/dbraw/zinc/51/75/03/355517503.db2.gz IXWRPCIJCSGONS-HNNXBMFYSA-N 1 2 317.780 1.771 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2cn(-c3ccc(Cl)cc3)nn2)C1 ZINC000592150781 355517505 /nfs/dbraw/zinc/51/75/05/355517505.db2.gz IXWRPCIJCSGONS-HNNXBMFYSA-N 1 2 317.780 1.771 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(N)=O)CC2)c([N+](=O)[O-])c1 ZINC000593006548 355767507 /nfs/dbraw/zinc/76/75/07/355767507.db2.gz GOOXKZLWIIUFOE-UHFFFAOYSA-N 1 2 303.322 1.053 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(N)=O)CC2)c([N+](=O)[O-])c1 ZINC000593006548 355767508 /nfs/dbraw/zinc/76/75/08/355767508.db2.gz GOOXKZLWIIUFOE-UHFFFAOYSA-N 1 2 303.322 1.053 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1[C@@H](C)CC[C@H]1C(=O)OC(C)(C)C ZINC000593048030 355779396 /nfs/dbraw/zinc/77/93/96/355779396.db2.gz GSOGNJAPXYRKRH-KBPBESRZSA-N 1 2 323.437 1.943 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1[C@@H](C)CC[C@H]1C(=O)OC(C)(C)C ZINC000593048030 355779397 /nfs/dbraw/zinc/77/93/97/355779397.db2.gz GSOGNJAPXYRKRH-KBPBESRZSA-N 1 2 323.437 1.943 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnn(CC(F)(F)F)c1 ZINC000593154848 355813690 /nfs/dbraw/zinc/81/36/90/355813690.db2.gz LNXFLGRYXHHKGJ-NSHDSACASA-N 1 2 303.288 1.019 20 30 DDEDLO CC[C@H](C#N)C(=O)NCc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1 ZINC000593408810 355876526 /nfs/dbraw/zinc/87/65/26/355876526.db2.gz VYIVBWOWPRFHHT-UMVBOHGHSA-N 1 2 316.405 1.861 20 30 DDEDLO CC[C@H](C#N)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000593389716 355870774 /nfs/dbraw/zinc/87/07/74/355870774.db2.gz ZOEATSCHSITSGH-CQSZACIVSA-N 1 2 301.390 1.685 20 30 DDEDLO N#CC1(CNC(=O)C(=O)Nc2ccn3cc[nH+]c3c2)CCCC1 ZINC000593675229 355963838 /nfs/dbraw/zinc/96/38/38/355963838.db2.gz NUFIFKIPUQBHCZ-UHFFFAOYSA-N 1 2 311.345 1.473 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCSC[C@H](C(=O)OC)C2)nn1 ZINC000594013078 356087392 /nfs/dbraw/zinc/08/73/92/356087392.db2.gz REJBEVCPHPPSSR-GFCCVEGCSA-N 1 2 310.423 1.192 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCSC[C@H](C(=O)OC)C2)nn1 ZINC000594013078 356087398 /nfs/dbraw/zinc/08/73/98/356087398.db2.gz REJBEVCPHPPSSR-GFCCVEGCSA-N 1 2 310.423 1.192 20 30 DDEDLO Cn1ncc(C2CC2)c1C[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000594729971 356299908 /nfs/dbraw/zinc/29/99/08/356299908.db2.gz QNJULQAAGQYBRG-MRXNPFEDSA-N 1 2 309.373 1.485 20 30 DDEDLO COCCCCCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000594902338 356351533 /nfs/dbraw/zinc/35/15/33/356351533.db2.gz YPJBVWWLFBHTQZ-UHFFFAOYSA-N 1 2 319.405 1.369 20 30 DDEDLO Cn1c[nH+]c(CN2CCN(c3oc(C4CC4)nc3C#N)CC2)c1 ZINC000595356798 356463035 /nfs/dbraw/zinc/46/30/35/356463035.db2.gz KXRODGJKKRQCKU-UHFFFAOYSA-N 1 2 312.377 1.479 20 30 DDEDLO CCN1CC[C@@H]([NH+]2CCN([C@@H](C#N)c3ccccc3)CC2)C1=O ZINC000595376509 356470919 /nfs/dbraw/zinc/47/09/19/356470919.db2.gz JRQMAXNRVIJGOR-SJORKVTESA-N 1 2 312.417 1.490 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)N2CCn3c[nH+]cc3C2)c(C#N)c1C ZINC000595489849 356516200 /nfs/dbraw/zinc/51/62/00/356516200.db2.gz JIWFBUPWRCWWRH-NSHDSACASA-N 1 2 313.361 1.807 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000595737402 356629359 /nfs/dbraw/zinc/62/93/59/356629359.db2.gz BJKJCJXYUQTNIG-KOZAUXTDSA-N 1 2 302.374 1.721 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000595737402 356629361 /nfs/dbraw/zinc/62/93/61/356629361.db2.gz BJKJCJXYUQTNIG-KOZAUXTDSA-N 1 2 302.374 1.721 20 30 DDEDLO CCN(CCC(=O)N1CCN(c2cccc[nH+]2)CC1)[C@@H](C)C#N ZINC000595813831 356661291 /nfs/dbraw/zinc/66/12/91/356661291.db2.gz PAIAAJFXUAFCOC-HNNXBMFYSA-N 1 2 315.421 1.354 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000595804421 356657920 /nfs/dbraw/zinc/65/79/20/356657920.db2.gz FZXDVCRBQTWIQU-QGZVFWFLSA-N 1 2 318.373 1.721 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000595804421 356657922 /nfs/dbraw/zinc/65/79/22/356657922.db2.gz FZXDVCRBQTWIQU-QGZVFWFLSA-N 1 2 318.373 1.721 20 30 DDEDLO CCOC(=O)C12CC(C1)C[N@@H+]2CCOc1ccccc1C#N ZINC000595860249 356681271 /nfs/dbraw/zinc/68/12/71/356681271.db2.gz PTSIOUKAVMNGBY-UHFFFAOYSA-N 1 2 300.358 1.965 20 30 DDEDLO CCOC(=O)C12CC(C1)C[N@H+]2CCOc1ccccc1C#N ZINC000595860249 356681272 /nfs/dbraw/zinc/68/12/72/356681272.db2.gz PTSIOUKAVMNGBY-UHFFFAOYSA-N 1 2 300.358 1.965 20 30 DDEDLO Cc1cc(NCC[N@@H+]2CCO[C@@H](C)C2)cc(C#N)c1[N+](=O)[O-] ZINC000596101098 356772023 /nfs/dbraw/zinc/77/20/23/356772023.db2.gz ARZHFIXQIKFHOT-LBPRGKRZSA-N 1 2 304.350 1.908 20 30 DDEDLO Cc1cc(NCC[N@H+]2CCO[C@@H](C)C2)cc(C#N)c1[N+](=O)[O-] ZINC000596101098 356772026 /nfs/dbraw/zinc/77/20/26/356772026.db2.gz ARZHFIXQIKFHOT-LBPRGKRZSA-N 1 2 304.350 1.908 20 30 DDEDLO N#Cc1cccc(N2CCC[C@@H]([NH+]3CCOCC3)C2)c1[N+](=O)[O-] ZINC000596247559 356831374 /nfs/dbraw/zinc/83/13/74/356831374.db2.gz QTZOSPKSTSERNN-CQSZACIVSA-N 1 2 316.361 1.767 20 30 DDEDLO N#Cc1ccnc(N2CCC[C@H]([NH+]3CCOCC3)C2)c1[N+](=O)[O-] ZINC000596248478 356831950 /nfs/dbraw/zinc/83/19/50/356831950.db2.gz YEVMGZBBCOZHKI-ZDUSSCGKSA-N 1 2 317.349 1.162 20 30 DDEDLO CCc1nnc(NCC[N@@H+]2C[C@H](C)OC[C@H]2C)c(C#N)c1CC ZINC000596433433 356878846 /nfs/dbraw/zinc/87/88/46/356878846.db2.gz VOAQBVPSADPKKI-OLZOCXBDSA-N 1 2 317.437 1.994 20 30 DDEDLO CCc1nnc(NCC[N@H+]2C[C@H](C)OC[C@H]2C)c(C#N)c1CC ZINC000596433433 356878850 /nfs/dbraw/zinc/87/88/50/356878850.db2.gz VOAQBVPSADPKKI-OLZOCXBDSA-N 1 2 317.437 1.994 20 30 DDEDLO N#Cc1cnnc(N(CC[NH+]2CCOCC2)Cc2ccco2)c1 ZINC000596260344 356835800 /nfs/dbraw/zinc/83/58/00/356835800.db2.gz JORNKTFBEWHIRV-UHFFFAOYSA-N 1 2 313.361 1.280 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)CC2)cc1 ZINC000596658971 356944543 /nfs/dbraw/zinc/94/45/43/356944543.db2.gz QJYGWINSMCFCQY-FVQHAEBGSA-N 1 2 311.385 1.095 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@@H]2CCc3[nH+]c[nH]c3C2)CC1 ZINC000597050577 357048814 /nfs/dbraw/zinc/04/88/14/357048814.db2.gz WXRUCMOEFQLQEV-CJNGLKHVSA-N 1 2 315.421 1.207 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@@H]2CCc3[nH]c[nH+]c3C2)CC1 ZINC000597050577 357048818 /nfs/dbraw/zinc/04/88/18/357048818.db2.gz WXRUCMOEFQLQEV-CJNGLKHVSA-N 1 2 315.421 1.207 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2cccc(C#N)n2)[C@@H](C)CO1 ZINC000596932818 357018442 /nfs/dbraw/zinc/01/84/42/357018442.db2.gz CSRSOWNNXSPXKQ-RYUDHWBXSA-N 1 2 303.366 1.184 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2cccc(C#N)n2)[C@@H](C)CO1 ZINC000596932818 357018445 /nfs/dbraw/zinc/01/84/45/357018445.db2.gz CSRSOWNNXSPXKQ-RYUDHWBXSA-N 1 2 303.366 1.184 20 30 DDEDLO N#CC1(CC(=O)N2CC(Oc3cc[nH+]cc3)C2)CCOCC1 ZINC000597115080 357065155 /nfs/dbraw/zinc/06/51/55/357065155.db2.gz GHKRJRWXFMIWEQ-UHFFFAOYSA-N 1 2 301.346 1.382 20 30 DDEDLO N#CC1(CC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CCOCC1 ZINC000597432934 357174321 /nfs/dbraw/zinc/17/43/21/357174321.db2.gz OTTHZMSJHUAPBF-AWEZNQCLSA-N 1 2 314.389 1.487 20 30 DDEDLO N#CCc1ccc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)cc1 ZINC000597708313 357295434 /nfs/dbraw/zinc/29/54/34/357295434.db2.gz YFQQGBIOMQGLQS-QGZVFWFLSA-N 1 2 313.401 1.689 20 30 DDEDLO C[C@H](C#N)N(C(=O)C[NH+]1CCN(c2ccccn2)CC1)C1CC1 ZINC000598128879 357469902 /nfs/dbraw/zinc/46/99/02/357469902.db2.gz QFQCYXDGXDQUAW-CQSZACIVSA-N 1 2 313.405 1.107 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@H+](C)C[C@H](O)CC(C)(C)C#N ZINC000598593399 357645859 /nfs/dbraw/zinc/64/58/59/357645859.db2.gz SKGVWHKBEAYEGJ-OLZOCXBDSA-N 1 2 300.399 1.186 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@@H+](C)C[C@H](O)CC(C)(C)C#N ZINC000598593399 357645862 /nfs/dbraw/zinc/64/58/62/357645862.db2.gz SKGVWHKBEAYEGJ-OLZOCXBDSA-N 1 2 300.399 1.186 20 30 DDEDLO CCO[C@@H](C)c1noc(C[N@H+](C)C[C@H](O)CC(C)(C)C#N)n1 ZINC000598596819 357646993 /nfs/dbraw/zinc/64/69/93/357646993.db2.gz UCZGYAUTIPRGPB-NWDGAFQWSA-N 1 2 310.398 1.900 20 30 DDEDLO CCO[C@@H](C)c1noc(C[N@@H+](C)C[C@H](O)CC(C)(C)C#N)n1 ZINC000598596819 357646995 /nfs/dbraw/zinc/64/69/95/357646995.db2.gz UCZGYAUTIPRGPB-NWDGAFQWSA-N 1 2 310.398 1.900 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)C(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000599196157 357846655 /nfs/dbraw/zinc/84/66/55/357846655.db2.gz YMHBSICSDIGJLM-OAHLLOKOSA-N 1 2 302.378 1.030 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)C(=O)NC[C@@H]1COCC[N@H+]1C ZINC000599196157 357846660 /nfs/dbraw/zinc/84/66/60/357846660.db2.gz YMHBSICSDIGJLM-OAHLLOKOSA-N 1 2 302.378 1.030 20 30 DDEDLO C#CCCOc1ccc(C[NH+]2CCN(S(C)(=O)=O)CC2)cc1 ZINC000179785409 199080798 /nfs/dbraw/zinc/08/07/98/199080798.db2.gz MYOXLLLKABTNAV-UHFFFAOYSA-N 1 2 322.430 1.166 20 30 DDEDLO C[C@@H](C#N)NC(=O)c1ccc(NCC[NH+]2CCOCC2)c(F)c1 ZINC000601018905 358384044 /nfs/dbraw/zinc/38/40/44/358384044.db2.gz XLJCWTOOHBYHSR-LBPRGKRZSA-N 1 2 320.368 1.212 20 30 DDEDLO N#Cc1csc(C[NH2+]Cc2nnc(C3CCOCC3)[nH]2)c1 ZINC000601147623 358421647 /nfs/dbraw/zinc/42/16/47/358421647.db2.gz UWGJDUQOJBAHPM-UHFFFAOYSA-N 1 2 303.391 1.922 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccc(O)cc3)CC2)[nH]1 ZINC000601726580 358665882 /nfs/dbraw/zinc/66/58/82/358665882.db2.gz BRPVCIDIOJAYIB-UHFFFAOYSA-N 1 2 310.357 1.550 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH2+][C@H](CF)c1ccc(F)cc1 ZINC000601937547 358744691 /nfs/dbraw/zinc/74/46/91/358744691.db2.gz COQVQAUTJWZIRU-GXFFZTMASA-N 1 2 311.332 1.826 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCO[C@H](C(F)F)CC3)cnc12 ZINC000601968688 358758638 /nfs/dbraw/zinc/75/86/38/358758638.db2.gz GIPOSGLKGMNUCT-LBPRGKRZSA-N 1 2 307.304 1.457 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCO[C@H](C(F)F)CC3)cnc12 ZINC000601968688 358758641 /nfs/dbraw/zinc/75/86/41/358758641.db2.gz GIPOSGLKGMNUCT-LBPRGKRZSA-N 1 2 307.304 1.457 20 30 DDEDLO CCc1c[nH]c(CC(=O)N2CCN(c3ccccc3C#N)CC2)[nH+]1 ZINC000602089004 358807751 /nfs/dbraw/zinc/80/77/51/358807751.db2.gz JUQCANMXPHZKGK-UHFFFAOYSA-N 1 2 323.400 1.735 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000602125533 358830804 /nfs/dbraw/zinc/83/08/04/358830804.db2.gz YWOLJAQQEVNFIH-RDJZCZTQSA-N 1 2 317.389 1.314 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000602125533 358830808 /nfs/dbraw/zinc/83/08/08/358830808.db2.gz YWOLJAQQEVNFIH-RDJZCZTQSA-N 1 2 317.389 1.314 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CCN(CCC#N)CC1)n1cc[nH+]c1 ZINC000602198133 358878334 /nfs/dbraw/zinc/87/83/34/358878334.db2.gz FOGPUAJBMBIBIO-HNNXBMFYSA-N 1 2 303.410 1.528 20 30 DDEDLO C[C@@H](CCC#N)CNc1cccc(C[N@@H+]2CCNC(=O)C2)c1 ZINC000602678733 359120290 /nfs/dbraw/zinc/12/02/90/359120290.db2.gz YGILKYINVWZUMW-AWEZNQCLSA-N 1 2 300.406 1.970 20 30 DDEDLO C[C@@H](CCC#N)CNc1cccc(C[N@H+]2CCNC(=O)C2)c1 ZINC000602678733 359120295 /nfs/dbraw/zinc/12/02/95/359120295.db2.gz YGILKYINVWZUMW-AWEZNQCLSA-N 1 2 300.406 1.970 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(c3cc(C4CC4)[nH]n3)CC2)n1 ZINC000602622187 359080407 /nfs/dbraw/zinc/08/04/07/359080407.db2.gz KJMRRMRLCFJXOD-UHFFFAOYSA-N 1 2 308.389 1.876 20 30 DDEDLO N#CCC1(C[NH+]2CCN(CC(=O)NC3CCCCC3)CC2)CC1 ZINC000602786382 359190184 /nfs/dbraw/zinc/19/01/84/359190184.db2.gz FDKSKGXEHHBIHR-UHFFFAOYSA-N 1 2 318.465 1.747 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CC[NH+](CCc2cnccn2)CC1 ZINC000602793710 359196680 /nfs/dbraw/zinc/19/66/80/359196680.db2.gz JIPVZXFACWXKGC-GOSISDBHSA-N 1 2 307.401 1.902 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)NCCC2=CCCCC2)C[C@@H](C)N1CC#N ZINC000602857854 359243318 /nfs/dbraw/zinc/24/33/18/359243318.db2.gz WFXCSBXYCYUGBI-HZPDHXFCSA-N 1 2 318.465 1.911 20 30 DDEDLO COC(=O)c1cc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)oc1C ZINC000602857192 359243660 /nfs/dbraw/zinc/24/36/60/359243660.db2.gz PEUDLPJLKPUGPN-TXEJJXNPSA-N 1 2 305.378 1.793 20 30 DDEDLO COC(=O)c1cc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)oc1C ZINC000602857192 359243662 /nfs/dbraw/zinc/24/36/62/359243662.db2.gz PEUDLPJLKPUGPN-TXEJJXNPSA-N 1 2 305.378 1.793 20 30 DDEDLO CCN1OC[C@H]([NH+]2CCC(c3ccc(C#N)cn3)CC2)C1=O ZINC000602909132 359288217 /nfs/dbraw/zinc/28/82/17/359288217.db2.gz ZPGVOBYDFWGOTF-HNNXBMFYSA-N 1 2 300.362 1.295 20 30 DDEDLO Cc1[nH]ncc1C[N@H+](C)C[C@@H](O)COc1ccccc1C#N ZINC000602943801 359311043 /nfs/dbraw/zinc/31/10/43/359311043.db2.gz QSSNRJNPQHBOLM-OAHLLOKOSA-N 1 2 300.362 1.462 20 30 DDEDLO Cc1[nH]ncc1C[N@@H+](C)C[C@@H](O)COc1ccccc1C#N ZINC000602943801 359311049 /nfs/dbraw/zinc/31/10/49/359311049.db2.gz QSSNRJNPQHBOLM-OAHLLOKOSA-N 1 2 300.362 1.462 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCC[C@@H]2c2cn[nH]c2)c1 ZINC000602882267 359265226 /nfs/dbraw/zinc/26/52/26/359265226.db2.gz UHMVNMCKIFFJPQ-MRXNPFEDSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCC[C@@H]2c2cn[nH]c2)c1 ZINC000602882267 359265232 /nfs/dbraw/zinc/26/52/32/359265232.db2.gz UHMVNMCKIFFJPQ-MRXNPFEDSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1cc(F)cc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC000603066458 359385711 /nfs/dbraw/zinc/38/57/11/359385711.db2.gz PFHGQQLUEUUEEC-HNNXBMFYSA-N 1 2 318.352 1.636 20 30 DDEDLO C=C[C@@H](C(=O)OC)n1cc(Cn2c(C)[nH+]c3ccccc32)nn1 ZINC000603233523 359502046 /nfs/dbraw/zinc/50/20/46/359502046.db2.gz IISRDPXTLLAYKF-AWEZNQCLSA-N 1 2 311.345 1.885 20 30 DDEDLO CC(C)(C#N)c1ccccc1Cn1cc([C@@H]2COCC[NH2+]2)nn1 ZINC000603251871 359516797 /nfs/dbraw/zinc/51/67/97/359516797.db2.gz XEWLZBYSJFLZOP-INIZCTEOSA-N 1 2 311.389 1.788 20 30 DDEDLO CN(CC1CC1)C(=O)C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000608779893 360241972 /nfs/dbraw/zinc/24/19/72/360241972.db2.gz HAXXJMWAGRGSAM-UHFFFAOYSA-N 1 2 312.417 1.549 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[N@@H+]1CCN(C)[C@H](c2nccn2C)C1 ZINC000329952914 223052880 /nfs/dbraw/zinc/05/28/80/223052880.db2.gz NLIVZSXCBDJXHT-HIFRSBDPSA-N 1 2 319.453 1.996 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[N@H+]1CCN(C)[C@H](c2nccn2C)C1 ZINC000329952914 223052883 /nfs/dbraw/zinc/05/28/83/223052883.db2.gz NLIVZSXCBDJXHT-HIFRSBDPSA-N 1 2 319.453 1.996 20 30 DDEDLO CC(C)CCNC(=O)[C@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609484705 360312627 /nfs/dbraw/zinc/31/26/27/360312627.db2.gz HWOJITAMKRQXCL-HOTGVXAUSA-N 1 2 308.470 1.703 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@@H](C)C(=O)NC2CCCCC2)CC1 ZINC000609485818 360313763 /nfs/dbraw/zinc/31/37/63/360313763.db2.gz YFECLRAMKJUNEU-DOTOQJQBSA-N 1 2 320.481 1.990 20 30 DDEDLO CCC(C)(C)NC(=O)[C@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609486959 360313804 /nfs/dbraw/zinc/31/38/04/360313804.db2.gz USLPJQBQNDINRL-LSDHHAIUSA-N 1 2 308.470 1.845 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609538559 360321476 /nfs/dbraw/zinc/32/14/76/360321476.db2.gz LVMJKLDARWTXSJ-MRXNPFEDSA-N 1 2 304.438 1.353 20 30 DDEDLO COc1ccc(C)cc1C[NH+]1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC000609920578 360365730 /nfs/dbraw/zinc/36/57/30/360365730.db2.gz SCQWYMLCQMQYMM-AWEZNQCLSA-N 1 2 301.390 1.808 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2C(=O)NCCc2[nH]cc[nH+]2)cc1 ZINC000610427315 360432701 /nfs/dbraw/zinc/43/27/01/360432701.db2.gz MDBICZFXMXOIMH-OAHLLOKOSA-N 1 2 309.373 1.609 20 30 DDEDLO COC1(CC(=O)N2CC[NH+]([C@@H](C)C(=O)NC3CC3)CC2)CCC1 ZINC000330014766 223063412 /nfs/dbraw/zinc/06/34/12/223063412.db2.gz BDKHUACUFPXHRL-ZDUSSCGKSA-N 1 2 323.437 1.597 20 30 DDEDLO CCn1ncnc1CNC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000330027768 223064269 /nfs/dbraw/zinc/06/42/69/223064269.db2.gz NNFWCNOIMMKUMT-NSHDSACASA-N 1 2 303.370 1.315 20 30 DDEDLO C[N@@H+]1CCC[C@H](NS(=O)(=O)c2c(F)cc(C#N)cc2F)C1 ZINC000610711305 360512223 /nfs/dbraw/zinc/51/22/23/360512223.db2.gz BWXDJWTYEIFLRP-JTQLQIEISA-N 1 2 315.345 1.209 20 30 DDEDLO C[N@H+]1CCC[C@H](NS(=O)(=O)c2c(F)cc(C#N)cc2F)C1 ZINC000610711305 360512228 /nfs/dbraw/zinc/51/22/28/360512228.db2.gz BWXDJWTYEIFLRP-JTQLQIEISA-N 1 2 315.345 1.209 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)CC1 ZINC000611012019 360602736 /nfs/dbraw/zinc/60/27/36/360602736.db2.gz FXFUXRQTUGXPSW-HOCLYGCPSA-N 1 2 315.421 1.138 20 30 DDEDLO O=C(C[N@@H+]1CCN2C(=O)NC[C@@H]2C1)NCCc1ccc(F)cc1 ZINC000330283777 223099123 /nfs/dbraw/zinc/09/91/23/223099123.db2.gz WOITYWJLLCVDBK-CQSZACIVSA-N 1 2 320.368 1.239 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)NC[C@@H]2C1)NCCc1ccc(F)cc1 ZINC000330283777 223099126 /nfs/dbraw/zinc/09/91/26/223099126.db2.gz WOITYWJLLCVDBK-CQSZACIVSA-N 1 2 320.368 1.239 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000330708037 223139780 /nfs/dbraw/zinc/13/97/80/223139780.db2.gz MRGFQVLQSQAXBY-CQSZACIVSA-N 1 2 301.390 1.750 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)[C@@]1(C#N)CC12CCCC2)[NH+]1CCOCC1 ZINC000333235134 223219370 /nfs/dbraw/zinc/21/93/70/223219370.db2.gz VLKYAFPIUHOASO-VBQJREDUSA-N 1 2 305.422 1.686 20 30 DDEDLO C[C@H](O)CN1CC[NH+](Cc2ccc(C(C)(C)C#N)cc2)CC1 ZINC000614164744 361731737 /nfs/dbraw/zinc/73/17/37/361731737.db2.gz XBHCZBRKABJWBK-HNNXBMFYSA-N 1 2 301.434 1.986 20 30 DDEDLO Cc1nccc(C[NH+]2CCN(c3oc(C4CC4)nc3C#N)CC2)n1 ZINC000619511045 364044645 /nfs/dbraw/zinc/04/46/45/364044645.db2.gz WSZYUCARFPHPTG-UHFFFAOYSA-N 1 2 324.388 1.844 20 30 DDEDLO C=C[C@H]([NH2+]CC1CCN(C(=O)c2ccccc2)CC1)C(=O)OC ZINC000619703267 364121106 /nfs/dbraw/zinc/12/11/06/364121106.db2.gz YXQOWIPOPSWHNR-INIZCTEOSA-N 1 2 316.401 1.856 20 30 DDEDLO COCC1(C#N)CCN(c2nc(C(C)C)[nH+]c(N(C)C)n2)CC1 ZINC000343152322 223318010 /nfs/dbraw/zinc/31/80/10/223318010.db2.gz VIMDTYOFLBWKPS-UHFFFAOYSA-N 1 2 318.425 1.818 20 30 DDEDLO COCC1(C#N)CCN(c2nc(N(C)C)nc(C(C)C)[nH+]2)CC1 ZINC000343152322 223318012 /nfs/dbraw/zinc/31/80/12/223318012.db2.gz VIMDTYOFLBWKPS-UHFFFAOYSA-N 1 2 318.425 1.818 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000620724349 364534698 /nfs/dbraw/zinc/53/46/98/364534698.db2.gz ZXKZLOORZMUZIZ-KRWDZBQOSA-N 1 2 317.433 1.121 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000620724349 364534704 /nfs/dbraw/zinc/53/47/04/364534704.db2.gz ZXKZLOORZMUZIZ-KRWDZBQOSA-N 1 2 317.433 1.121 20 30 DDEDLO CC1(C)C[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC[C@H]1O ZINC000275494840 212371469 /nfs/dbraw/zinc/37/14/69/212371469.db2.gz QDVXVZZUOQBKOB-CHWSQXEVSA-N 1 2 319.430 1.818 20 30 DDEDLO CC1(C)C[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC[C@H]1O ZINC000275494840 212371472 /nfs/dbraw/zinc/37/14/72/212371472.db2.gz QDVXVZZUOQBKOB-CHWSQXEVSA-N 1 2 319.430 1.818 20 30 DDEDLO C=CC[N@@H+](CCc1ccc(OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000264584660 204012378 /nfs/dbraw/zinc/01/23/78/204012378.db2.gz WTRZHCCXNKSLQE-HNNXBMFYSA-N 1 2 309.431 1.913 20 30 DDEDLO C=CC[N@H+](CCc1ccc(OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000264584660 204012379 /nfs/dbraw/zinc/01/23/79/204012379.db2.gz WTRZHCCXNKSLQE-HNNXBMFYSA-N 1 2 309.431 1.913 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](C)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000264899238 204250881 /nfs/dbraw/zinc/25/08/81/204250881.db2.gz YQTLWOUMGZOPKD-SWLSCSKDSA-N 1 2 317.364 1.001 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](C)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000264899238 204250885 /nfs/dbraw/zinc/25/08/85/204250885.db2.gz YQTLWOUMGZOPKD-SWLSCSKDSA-N 1 2 317.364 1.001 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(Cc2cc(C#N)ccc2F)CC1 ZINC000022843785 182336883 /nfs/dbraw/zinc/33/68/83/182336883.db2.gz BOMKZZYMFRRWNG-UHFFFAOYSA-N 1 2 318.396 1.340 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000042293459 183251105 /nfs/dbraw/zinc/25/11/05/183251105.db2.gz XRVMYDYAVPEYAY-LBPRGKRZSA-N 1 2 302.374 1.200 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000042293459 183251108 /nfs/dbraw/zinc/25/11/08/183251108.db2.gz XRVMYDYAVPEYAY-LBPRGKRZSA-N 1 2 302.374 1.200 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@@H+]3CC[C@](F)(CO)C3)C2=O)cc1 ZINC000338589640 250043632 /nfs/dbraw/zinc/04/36/32/250043632.db2.gz UKBLQDNBRWJRLD-NVXWUHKLSA-N 1 2 317.364 1.460 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@H+]3CC[C@](F)(CO)C3)C2=O)cc1 ZINC000338589640 250043634 /nfs/dbraw/zinc/04/36/34/250043634.db2.gz UKBLQDNBRWJRLD-NVXWUHKLSA-N 1 2 317.364 1.460 20 30 DDEDLO COC(=O)c1cccc(NC(=O)C[NH+]2CCC(C#N)CC2)c1 ZINC000057907529 184062027 /nfs/dbraw/zinc/06/20/27/184062027.db2.gz ZVLLBTPHNPCMNJ-UHFFFAOYSA-N 1 2 301.346 1.647 20 30 DDEDLO CO[C@@H]1C[C@H]([NH+]=C([O-])NC[C@H]2CCc3[nH+]ccn3C2)C1(C)C ZINC000329941217 532953267 /nfs/dbraw/zinc/95/32/67/532953267.db2.gz GPYVGFKBHFQSHY-FRRDWIJNSA-N 1 2 306.410 1.763 20 30 DDEDLO C[N@H+](CC(=O)Nc1cccc(C#N)c1)C1(C(N)=O)CCCC1 ZINC000120190559 195115746 /nfs/dbraw/zinc/11/57/46/195115746.db2.gz DPTWZSIJQKTRNC-UHFFFAOYSA-N 1 2 300.362 1.227 20 30 DDEDLO C[N@@H+](CC(=O)Nc1cccc(C#N)c1)C1(C(N)=O)CCCC1 ZINC000120190559 195115749 /nfs/dbraw/zinc/11/57/49/195115749.db2.gz DPTWZSIJQKTRNC-UHFFFAOYSA-N 1 2 300.362 1.227 20 30 DDEDLO CC[NH+]1CCN(S(=O)(=O)Cc2cc(C#N)ccc2F)CC1 ZINC000120300818 195138503 /nfs/dbraw/zinc/13/85/03/195138503.db2.gz WPUOGOUIUCREIS-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO COC(=O)C1([NH2+]CCCOc2cccc(C#N)c2)CCOCC1 ZINC000451768838 533253846 /nfs/dbraw/zinc/25/38/46/533253846.db2.gz JQJZMVDCIIESEJ-UHFFFAOYSA-N 1 2 318.373 1.639 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCCC[N@@H+]1CCOc1ccccc1C#N ZINC000451657954 533365120 /nfs/dbraw/zinc/36/51/20/533365120.db2.gz LUOXMPUNNZCBKW-HNNXBMFYSA-N 1 2 322.430 1.836 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCCC[N@H+]1CCOc1ccccc1C#N ZINC000451657954 533365125 /nfs/dbraw/zinc/36/51/25/533365125.db2.gz LUOXMPUNNZCBKW-HNNXBMFYSA-N 1 2 322.430 1.836 20 30 DDEDLO C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)Cc1ccccc1[N+](=O)[O-] ZINC000026347561 406904888 /nfs/dbraw/zinc/90/48/88/406904888.db2.gz UVOFAUYQISJHLX-MRXNPFEDSA-N 1 2 316.361 1.835 20 30 DDEDLO C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)Cc1ccccc1[N+](=O)[O-] ZINC000026347561 406904890 /nfs/dbraw/zinc/90/48/90/406904890.db2.gz UVOFAUYQISJHLX-MRXNPFEDSA-N 1 2 316.361 1.835 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2ccc([N+](=O)[O-])cc2C#N)CC1 ZINC000052129238 407147359 /nfs/dbraw/zinc/14/73/59/407147359.db2.gz HKBKEBCVBCHMES-UHFFFAOYSA-N 1 2 317.349 1.042 20 30 DDEDLO COCCCn1c(C)c(C)c(C#N)c1NC(=O)Cn1cc[nH+]c1 ZINC000053898737 407175716 /nfs/dbraw/zinc/17/57/16/407175716.db2.gz HGONOLWKERBGTM-UHFFFAOYSA-N 1 2 315.377 1.848 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000066833982 407260700 /nfs/dbraw/zinc/26/07/00/407260700.db2.gz YHYBHFMIMPBLQE-LLVKDONJSA-N 1 2 307.375 1.443 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000066833982 407260702 /nfs/dbraw/zinc/26/07/02/407260702.db2.gz YHYBHFMIMPBLQE-LLVKDONJSA-N 1 2 307.375 1.443 20 30 DDEDLO N#Cc1cnc(Nc2ccc([NH+]3CCC(C(N)=O)CC3)cc2)cn1 ZINC000123072422 407318425 /nfs/dbraw/zinc/31/84/25/407318425.db2.gz GDEWCASBHPPQDK-UHFFFAOYSA-N 1 2 322.372 1.794 20 30 DDEDLO NC(Cc1ccc(Cl)cc1)=[NH+]OCC(=O)N1CCOCC1 ZINC000106067390 407358363 /nfs/dbraw/zinc/35/83/63/407358363.db2.gz YVPMBAXLDOJBNZ-UHFFFAOYSA-N 1 2 311.769 1.030 20 30 DDEDLO CCC[C@@](C)([NH2+]C[C@H](O)COc1ccc(C#N)cc1)C(=O)OC ZINC000109803602 407398051 /nfs/dbraw/zinc/39/80/51/407398051.db2.gz PGSMIHCDRSMPPB-WMLDXEAASA-N 1 2 320.389 1.619 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(C(=O)C(C)C)CC1 ZINC000271067150 407497296 /nfs/dbraw/zinc/49/72/96/407497296.db2.gz URGXZCJCJSPCLX-UHFFFAOYSA-N 1 2 301.390 1.867 20 30 DDEDLO C=CC[C@H](CO)NC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000185790595 407501999 /nfs/dbraw/zinc/50/19/99/407501999.db2.gz URVJQQDBWMQJNZ-GFCCVEGCSA-N 1 2 316.317 1.447 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000178660692 407533686 /nfs/dbraw/zinc/53/36/86/407533686.db2.gz HTHBSJQECUYQFK-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000178660692 407533691 /nfs/dbraw/zinc/53/36/91/407533691.db2.gz HTHBSJQECUYQFK-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO C=CCCS(=O)(=O)NCC(C)(C)[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC000130027975 407647871 /nfs/dbraw/zinc/64/78/71/407647871.db2.gz BDPFIFYVTBGWGZ-STQMWFEESA-N 1 2 304.456 1.370 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NC2(C#N)CCCCC2)C1 ZINC000130604427 407699940 /nfs/dbraw/zinc/69/99/40/407699940.db2.gz LDJPUHJOBXVSJV-CQSZACIVSA-N 1 2 320.437 1.320 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC2(C#N)CCCCC2)C1 ZINC000130604427 407699943 /nfs/dbraw/zinc/69/99/43/407699943.db2.gz LDJPUHJOBXVSJV-CQSZACIVSA-N 1 2 320.437 1.320 20 30 DDEDLO C=CCN(Cc1cn2ccccc2[nH+]1)[C@@H]1CCS(=O)(=O)C1 ZINC000171748069 407758147 /nfs/dbraw/zinc/75/81/47/407758147.db2.gz BFOSXAXRZMVNHL-CQSZACIVSA-N 1 2 305.403 1.509 20 30 DDEDLO C[C@@H](CNC(=O)c1ccc(C2(C#N)CC2)cc1)[NH+]1CCOCC1 ZINC000179849957 407834771 /nfs/dbraw/zinc/83/47/71/407834771.db2.gz PHTJPCAVEODMJB-AWEZNQCLSA-N 1 2 313.401 1.692 20 30 DDEDLO CC(C)CO[C@@H](C)C(N)=[NH+]OCC(=O)N1CCc2ccccc21 ZINC000174219983 407921584 /nfs/dbraw/zinc/92/15/84/407921584.db2.gz UDSJANYSBHOHLS-ZDUSSCGKSA-N 1 2 319.405 1.926 20 30 DDEDLO CCc1nnc(N[C@@H](C)[C@H](C)[NH+]2CCOCC2)c(C#N)c1CC ZINC000268194803 407940465 /nfs/dbraw/zinc/94/04/65/407940465.db2.gz NLKKGUCRDLOBLK-STQMWFEESA-N 1 2 317.437 1.994 20 30 DDEDLO N#Cc1cccnc1N1CCN(c2nc[nH+]c(N)c2Cl)CC1 ZINC000273046067 408033761 /nfs/dbraw/zinc/03/37/61/408033761.db2.gz DPEKWPJXWDIWIG-UHFFFAOYSA-N 1 2 315.768 1.305 20 30 DDEDLO N#Cc1cccnc1N1CCN(c2[nH+]cnc(N)c2Cl)CC1 ZINC000273046067 408033766 /nfs/dbraw/zinc/03/37/66/408033766.db2.gz DPEKWPJXWDIWIG-UHFFFAOYSA-N 1 2 315.768 1.305 20 30 DDEDLO N#Cc1cc(F)c(C(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)c(F)c1 ZINC000154926385 408104835 /nfs/dbraw/zinc/10/48/35/408104835.db2.gz IWMRHRNXAOSKPK-LBPRGKRZSA-N 1 2 321.327 1.429 20 30 DDEDLO N#Cc1cc(F)c(C(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)c(F)c1 ZINC000154926385 408104844 /nfs/dbraw/zinc/10/48/44/408104844.db2.gz IWMRHRNXAOSKPK-LBPRGKRZSA-N 1 2 321.327 1.429 20 30 DDEDLO C=CCCOCC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000149309848 408191191 /nfs/dbraw/zinc/19/11/91/408191191.db2.gz RBOPMOLMDOHRLO-AWEZNQCLSA-N 1 2 319.405 1.516 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000273566839 408248715 /nfs/dbraw/zinc/24/87/15/408248715.db2.gz JTHZMDHYTJDFRN-HNNXBMFYSA-N 1 2 304.390 1.186 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH2+][C@H](C)c1nnc2ccccn21 ZINC000182737243 408236644 /nfs/dbraw/zinc/23/66/44/408236644.db2.gz ZYEHXEKMOQKDOU-CHWSQXEVSA-N 1 2 314.393 1.388 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1ccc(N(CC)CC)[nH+]c1 ZINC000183018422 408305537 /nfs/dbraw/zinc/30/55/37/408305537.db2.gz OVRDKKPLFGGBIE-AWEZNQCLSA-N 1 2 306.410 1.664 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000138964351 162131182 /nfs/dbraw/zinc/13/11/82/162131182.db2.gz IHPFEOCUOOQORT-LSDHHAIUSA-N 1 2 315.373 1.360 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000138964351 162131186 /nfs/dbraw/zinc/13/11/86/162131186.db2.gz IHPFEOCUOOQORT-LSDHHAIUSA-N 1 2 315.373 1.360 20 30 DDEDLO C[C@@H](Nc1ccc([N+](=O)[O-])cc1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000264303671 408435592 /nfs/dbraw/zinc/43/55/92/408435592.db2.gz RVGVEBIOQIHVBG-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2ccnc(C)n2)CC1 ZINC000183782777 408486179 /nfs/dbraw/zinc/48/61/79/408486179.db2.gz ITCQNEQODQIKKV-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO C#CC[C@H](NC(=O)N[C@@H](C)C[NH+]1CCOCC1)c1ccccc1 ZINC000270415213 408525840 /nfs/dbraw/zinc/52/58/40/408525840.db2.gz MBHIYIJDRICPIC-RDJZCZTQSA-N 1 2 315.417 1.771 20 30 DDEDLO Cc1nc(CC(N)=[NH+]O[C@H]2CCCN(C(C)C)C2=O)cs1 ZINC000176860464 408460974 /nfs/dbraw/zinc/46/09/74/408460974.db2.gz VHBRLPNLPQJSMT-LBPRGKRZSA-N 1 2 310.423 1.682 20 30 DDEDLO Cc1cnccc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000274630879 408474648 /nfs/dbraw/zinc/47/46/48/408474648.db2.gz WMQJVUPFZWJNGN-UHFFFAOYSA-N 1 2 302.378 1.078 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](C)CC[N@@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000274823450 408544975 /nfs/dbraw/zinc/54/49/75/408544975.db2.gz BXBBAJNPFQFFBC-VBNZEHGJSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](C)CC[N@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000274823450 408544983 /nfs/dbraw/zinc/54/49/83/408544983.db2.gz BXBBAJNPFQFFBC-VBNZEHGJSA-N 1 2 302.374 1.865 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(C(=O)NC2Cc3ccccc3C2)CC1 ZINC000275056672 408576024 /nfs/dbraw/zinc/57/60/24/408576024.db2.gz MMAKGAYJTBBQNG-UHFFFAOYSA-N 1 2 312.417 1.783 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N2CCC(n3cc[nH+]c3)CC2)c1 ZINC000177427013 408613122 /nfs/dbraw/zinc/61/31/22/408613122.db2.gz KFUMAHIIUOWKHO-UHFFFAOYSA-N 1 2 322.368 1.667 20 30 DDEDLO CC[C@@](C)([NH2+]CCC(=O)Nc1ccc(C#N)cc1)C(=O)OC ZINC000265457911 408659312 /nfs/dbraw/zinc/65/93/12/408659312.db2.gz WEWCNVKSXOONSU-MRXNPFEDSA-N 1 2 303.362 1.818 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+](C)[C@H]2CCN(C)C2=O)c(C#N)c1C ZINC000265647279 408728465 /nfs/dbraw/zinc/72/84/65/408728465.db2.gz MKEKIOIVBVNYEB-GWCFXTLKSA-N 1 2 318.377 1.258 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+](C)[C@H]2CCN(C)C2=O)c(C#N)c1C ZINC000265647279 408728469 /nfs/dbraw/zinc/72/84/69/408728469.db2.gz MKEKIOIVBVNYEB-GWCFXTLKSA-N 1 2 318.377 1.258 20 30 DDEDLO CO[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@H]1C ZINC000251898628 408788962 /nfs/dbraw/zinc/78/89/62/408788962.db2.gz QUUGSFSGWSYXNR-CZUORRHYSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@H]1C ZINC000251898628 408788964 /nfs/dbraw/zinc/78/89/64/408788964.db2.gz QUUGSFSGWSYXNR-CZUORRHYSA-N 1 2 322.430 1.689 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)NCCCn1cc[nH+]c1 ZINC000185375543 408801668 /nfs/dbraw/zinc/80/16/68/408801668.db2.gz SMEYEFXAFSDHMV-UHFFFAOYSA-N 1 2 320.374 1.132 20 30 DDEDLO COC(=O)c1cccc(OCC(N)=[NH+]OCC[C@H]2CCCO2)c1 ZINC000276028323 408754815 /nfs/dbraw/zinc/75/48/15/408754815.db2.gz CUXXFCVRLWSDRG-CYBMUJFWSA-N 1 2 322.361 1.710 20 30 DDEDLO CCC(C)(C)NC(=O)[C@H](C)O[NH+]=C(N)Cc1csc(C)n1 ZINC000178144957 408779224 /nfs/dbraw/zinc/77/92/24/408779224.db2.gz BGWKVABWSKVUHU-VIFPVBQESA-N 1 2 312.439 1.976 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)N(C)Cc2ccco2)cc1 ZINC000178141243 408779278 /nfs/dbraw/zinc/77/92/78/408779278.db2.gz MKJSRVUSPGKQNY-UHFFFAOYSA-N 1 2 317.345 1.584 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(OC)c([N+](=O)[O-])c2)C1=O ZINC000281340306 408882410 /nfs/dbraw/zinc/88/24/10/408882410.db2.gz SQOXXQLCJGWVDE-CYBMUJFWSA-N 1 2 319.361 1.822 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(OC)c([N+](=O)[O-])c2)C1=O ZINC000281340306 408882412 /nfs/dbraw/zinc/88/24/12/408882412.db2.gz SQOXXQLCJGWVDE-CYBMUJFWSA-N 1 2 319.361 1.822 20 30 DDEDLO C#CCN(C)C(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000290947066 408855086 /nfs/dbraw/zinc/85/50/86/408855086.db2.gz GFGIRZVYMWVJAG-QGZVFWFLSA-N 1 2 315.417 1.205 20 30 DDEDLO CC1(C)OCC(CO)([NH2+]Cc2ccc(Cl)cc2C#N)CO1 ZINC000291085990 408858805 /nfs/dbraw/zinc/85/88/05/408858805.db2.gz HETAQUWNMXXRDT-UHFFFAOYSA-N 1 2 310.781 1.815 20 30 DDEDLO NC(=O)c1ccc(N2CC[NH+](CC#Cc3ccccc3)CC2)cc1 ZINC000276864253 408901665 /nfs/dbraw/zinc/90/16/65/408901665.db2.gz XLVLWKJDKFERLB-UHFFFAOYSA-N 1 2 319.408 1.959 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2cccc(C)c2)C1=O ZINC000281443045 408886799 /nfs/dbraw/zinc/88/67/99/408886799.db2.gz UDCUNECZSHIWNL-HNNXBMFYSA-N 1 2 301.390 1.652 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2cccc(C)c2)C1=O ZINC000281443045 408886801 /nfs/dbraw/zinc/88/68/01/408886801.db2.gz UDCUNECZSHIWNL-HNNXBMFYSA-N 1 2 301.390 1.652 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@@H]2C(=O)NCc2ccco2)C1=O ZINC000281987753 408966175 /nfs/dbraw/zinc/96/61/75/408966175.db2.gz MPKOGKKXNOUZEF-HUUCEWRRSA-N 1 2 317.389 1.147 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@@H]2C(=O)NCc2ccco2)C1=O ZINC000281987753 408966177 /nfs/dbraw/zinc/96/61/77/408966177.db2.gz MPKOGKKXNOUZEF-HUUCEWRRSA-N 1 2 317.389 1.147 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCO[C@H](Cc3ccccc3)C2)C1=O ZINC000282025765 408974868 /nfs/dbraw/zinc/97/48/68/408974868.db2.gz POACGYGYTKEWAN-IAGOWNOFSA-N 1 2 300.402 1.717 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCO[C@H](Cc3ccccc3)C2)C1=O ZINC000282025765 408974871 /nfs/dbraw/zinc/97/48/71/408974871.db2.gz POACGYGYTKEWAN-IAGOWNOFSA-N 1 2 300.402 1.717 20 30 DDEDLO CC1(C)C[NH+]=C(N2CCN(c3ccnc(C#N)c3)CC2)S1 ZINC000286406195 408979426 /nfs/dbraw/zinc/97/94/26/408979426.db2.gz AGSIDRKESGSZOF-UHFFFAOYSA-N 1 2 301.419 1.957 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cccc(CC#N)c1 ZINC000287629403 409066578 /nfs/dbraw/zinc/06/65/78/409066578.db2.gz ZNEFJCPEOAIYGJ-PBHICJAKSA-N 1 2 313.401 1.688 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](C)Cc1nccn1CC(F)(F)F ZINC000293198951 409067313 /nfs/dbraw/zinc/06/73/13/409067313.db2.gz UEVMRMZMOPBCFC-UHFFFAOYSA-N 1 2 302.300 1.017 20 30 DDEDLO C#CCNC(=O)CC[N@H+](C)Cc1nccn1CC(F)(F)F ZINC000293198951 409067315 /nfs/dbraw/zinc/06/73/15/409067315.db2.gz UEVMRMZMOPBCFC-UHFFFAOYSA-N 1 2 302.300 1.017 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000287182427 409005562 /nfs/dbraw/zinc/00/55/62/409005562.db2.gz HJTXFIMAEQNPFN-GOEBONIOSA-N 1 2 313.401 1.802 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000293086515 409050995 /nfs/dbraw/zinc/05/09/95/409050995.db2.gz KAMYFZCHJGWIEL-UHFFFAOYSA-N 1 2 303.381 1.429 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000293086515 409050997 /nfs/dbraw/zinc/05/09/97/409050997.db2.gz KAMYFZCHJGWIEL-UHFFFAOYSA-N 1 2 303.381 1.429 20 30 DDEDLO Cc1ccc2[nH+]c(CNS(=O)(=O)N(C)[C@@H](C)CC#N)cn2c1 ZINC000282967290 409071376 /nfs/dbraw/zinc/07/13/76/409071376.db2.gz KYSARBLFCJVKCD-LBPRGKRZSA-N 1 2 321.406 1.211 20 30 DDEDLO CCc1cncc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000278919891 409113499 /nfs/dbraw/zinc/11/34/99/409113499.db2.gz AEPBJYVORYHGBK-UHFFFAOYSA-N 1 2 316.405 1.332 20 30 DDEDLO C=CCOCC[NH+]1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000293482154 409123018 /nfs/dbraw/zinc/12/30/18/409123018.db2.gz MKUKOLYQHMVWMK-UHFFFAOYSA-N 1 2 324.446 1.337 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCn2c(C3CCCC3)nnc2[C@@H]1C ZINC000293606309 409146767 /nfs/dbraw/zinc/14/67/67/409146767.db2.gz PHKAUBRNXJGYEN-ZDUSSCGKSA-N 1 2 315.421 1.452 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCn2c(C3CCCC3)nnc2[C@@H]1C ZINC000293606309 409146769 /nfs/dbraw/zinc/14/67/69/409146769.db2.gz PHKAUBRNXJGYEN-ZDUSSCGKSA-N 1 2 315.421 1.452 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)NCC#Cc1ccccc1 ZINC000279146750 409158026 /nfs/dbraw/zinc/15/80/26/409158026.db2.gz CMOWVCOGCVGFGY-INIZCTEOSA-N 1 2 315.417 1.400 20 30 DDEDLO N#CCC[C@@H](c1ccccc1)N1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O ZINC000288179007 409158655 /nfs/dbraw/zinc/15/86/55/409158655.db2.gz FTHAPDQRMKOKOG-GJZGRUSLSA-N 1 2 323.356 1.918 20 30 DDEDLO N#CCC[C@@H](c1ccccc1)N1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O ZINC000288179007 409158658 /nfs/dbraw/zinc/15/86/58/409158658.db2.gz FTHAPDQRMKOKOG-GJZGRUSLSA-N 1 2 323.356 1.918 20 30 DDEDLO C#CCOc1ccc(C(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)cc1 ZINC000279370816 409200619 /nfs/dbraw/zinc/20/06/19/409200619.db2.gz SORHHSVJCJYIII-HNNXBMFYSA-N 1 2 316.401 1.491 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CCn3c[nH+]cc3C2)ccc1C#N ZINC000289460274 409276017 /nfs/dbraw/zinc/27/60/17/409276017.db2.gz GLQPFXPVUGPIFJ-UHFFFAOYSA-N 1 2 302.359 1.268 20 30 DDEDLO C[C@@H]1C[C@@H](C)CC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000290174599 409313427 /nfs/dbraw/zinc/31/34/27/409313427.db2.gz DYPRJNNTZHAIFZ-QWHCGFSZSA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@@H]1C[C@@H](C)CC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000290174599 409313429 /nfs/dbraw/zinc/31/34/29/409313429.db2.gz DYPRJNNTZHAIFZ-QWHCGFSZSA-N 1 2 307.419 1.847 20 30 DDEDLO C#CCO[C@@H](C)C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000295605161 409357062 /nfs/dbraw/zinc/35/70/62/409357062.db2.gz YIIXGYIGCJTGPR-ZDUSSCGKSA-N 1 2 303.362 1.203 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCO3)n2CC(=C)C)CC1 ZINC000295841890 409374711 /nfs/dbraw/zinc/37/47/11/409374711.db2.gz BQSYLISHGODQNI-OAHLLOKOSA-N 1 2 315.421 1.461 20 30 DDEDLO NC(=[NH+]OCC(=O)N(Cc1ccccc1)C1CC1)[C@H]1CCCO1 ZINC000284436469 409341722 /nfs/dbraw/zinc/34/17/22/409341722.db2.gz PAZGVKMOPLKEMB-OAHLLOKOSA-N 1 2 317.389 1.645 20 30 DDEDLO CC(C)N(C(=O)CO[NH+]=C(N)[C@@H]1CCCO1)c1ccccc1 ZINC000284412245 409341813 /nfs/dbraw/zinc/34/18/13/409341813.db2.gz NRTAEUJBQQTLHP-AWEZNQCLSA-N 1 2 305.378 1.896 20 30 DDEDLO CCOc1ccc(NC(=O)[C@@H](C)O[NH+]=C(N)[C@H]2CCCO2)cc1 ZINC000284494761 409346131 /nfs/dbraw/zinc/34/61/31/409346131.db2.gz SOHUDZNDYZCCCB-BXUZGUMPSA-N 1 2 321.377 1.880 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCCC[C@@H]1c1cc[nH]n1 ZINC000285371130 409414664 /nfs/dbraw/zinc/41/46/64/409414664.db2.gz MOBPNDIMRGFVSR-OAHLLOKOSA-N 1 2 314.393 1.593 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCCC[C@@H]1c1cc[nH]n1 ZINC000285371130 409414668 /nfs/dbraw/zinc/41/46/68/409414668.db2.gz MOBPNDIMRGFVSR-OAHLLOKOSA-N 1 2 314.393 1.593 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1Cc1ccc(F)c(C#N)c1 ZINC000285415319 409438240 /nfs/dbraw/zinc/43/82/40/409438240.db2.gz BOZOYDLXCINRDD-BBRMVZONSA-N 1 2 303.381 1.992 20 30 DDEDLO C#CCSCCNC(=O)N1CC(C)(C)[C@H]1c1[nH+]ccn1C ZINC000296428808 409548419 /nfs/dbraw/zinc/54/84/19/409548419.db2.gz NJKIQJYEDKMTRK-GFCCVEGCSA-N 1 2 306.435 1.879 20 30 DDEDLO C[C@H](O)C1CC[NH+](CCS(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000305249939 409745181 /nfs/dbraw/zinc/74/51/81/409745181.db2.gz HDRLNWNNNLJRPH-ZDUSSCGKSA-N 1 2 322.430 1.425 20 30 DDEDLO C=CC[C@@H](C)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000354435151 409852742 /nfs/dbraw/zinc/85/27/42/409852742.db2.gz RAIBRKMWUGLTAD-OCCSQVGLSA-N 1 2 306.410 1.564 20 30 DDEDLO C=CC[C@@H](C)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000354435151 409852743 /nfs/dbraw/zinc/85/27/43/409852743.db2.gz RAIBRKMWUGLTAD-OCCSQVGLSA-N 1 2 306.410 1.564 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)COc1ccc(C#N)cc1 ZINC000308692283 409785309 /nfs/dbraw/zinc/78/53/09/409785309.db2.gz HAEUBPSHPWPFAS-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO Cc1[nH][nH]c(=NC(=O)c2cccc(Cn3cc[nH+]c3)c2)c1C#N ZINC000357244688 409952915 /nfs/dbraw/zinc/95/29/15/409952915.db2.gz POSGSRPKIMTQCR-UHFFFAOYSA-N 1 2 306.329 2.087 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)C[C@@H](CC#N)c1ccccc1 ZINC000354581108 409953494 /nfs/dbraw/zinc/95/34/94/409953494.db2.gz FHTGTNCWTQBSCT-DOTOQJQBSA-N 1 2 315.417 1.911 20 30 DDEDLO CC(C)(CNC(=O)N[C@H]1CSC1(C)C)[NH+]1CCOCC1 ZINC000328743974 409969619 /nfs/dbraw/zinc/96/96/19/409969619.db2.gz NSBNGAAMLGAVNS-NSHDSACASA-N 1 2 301.456 1.495 20 30 DDEDLO Cc1ncc(C(=O)NC[C@]2([NH+]3CCOCC3)CCSC2)[nH]1 ZINC000328772606 409975049 /nfs/dbraw/zinc/97/50/49/409975049.db2.gz JSLNTCUKXZTAGK-CQSZACIVSA-N 1 2 310.423 1.231 20 30 DDEDLO CCCn1nnnc1C[N@@H+]1CC[C@@H](n2ccc(NC(C)=O)n2)C1 ZINC000328616098 409936407 /nfs/dbraw/zinc/93/64/07/409936407.db2.gz BJEICMGOLCPTNP-GFCCVEGCSA-N 1 2 318.385 1.335 20 30 DDEDLO CCCn1nnnc1C[N@H+]1CC[C@@H](n2ccc(NC(C)=O)n2)C1 ZINC000328616098 409936414 /nfs/dbraw/zinc/93/64/14/409936414.db2.gz BJEICMGOLCPTNP-GFCCVEGCSA-N 1 2 318.385 1.335 20 30 DDEDLO CC(C)N1CC[C@H](NC(=O)NC[C@H]2CCCn3cc[nH+]c32)C1=O ZINC000328612846 409936630 /nfs/dbraw/zinc/93/66/30/409936630.db2.gz PUOQXNTZVGJDNK-OLZOCXBDSA-N 1 2 319.409 1.273 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CC[N@@H+](C2CC2)C1)N1CCC[C@@H](Cn2ccnn2)C1 ZINC000328653647 409944088 /nfs/dbraw/zinc/94/40/88/409944088.db2.gz CHNFVEPOXSCJSQ-ZIAGYGMSSA-N 1 2 318.425 1.141 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CC[N@H+](C2CC2)C1)N1CCC[C@@H](Cn2ccnn2)C1 ZINC000328653647 409944096 /nfs/dbraw/zinc/94/40/96/409944096.db2.gz CHNFVEPOXSCJSQ-ZIAGYGMSSA-N 1 2 318.425 1.141 20 30 DDEDLO C#CC[N@H+](Cc1ccccc1)[C@@H](C)C(=O)NC[C@@H]1CCCO1 ZINC000297945364 410056168 /nfs/dbraw/zinc/05/61/68/410056168.db2.gz APQIYSNDNGTHFJ-RDJZCZTQSA-N 1 2 300.402 1.806 20 30 DDEDLO C#CC[N@@H+](Cc1ccccc1)[C@@H](C)C(=O)NC[C@@H]1CCCO1 ZINC000297945364 410056172 /nfs/dbraw/zinc/05/61/72/410056172.db2.gz APQIYSNDNGTHFJ-RDJZCZTQSA-N 1 2 300.402 1.806 20 30 DDEDLO C#CC[N@H+](Cc1ccc(F)cc1)[C@H](C)C(=O)NC[C@@H]1CCCO1 ZINC000298082875 410105775 /nfs/dbraw/zinc/10/57/75/410105775.db2.gz KEPIBDHHBOOFJG-PBHICJAKSA-N 1 2 318.392 1.945 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(F)cc1)[C@H](C)C(=O)NC[C@@H]1CCCO1 ZINC000298082875 410105779 /nfs/dbraw/zinc/10/57/79/410105779.db2.gz KEPIBDHHBOOFJG-PBHICJAKSA-N 1 2 318.392 1.945 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)c3cccc(SCC#N)c3)C[C@H]21 ZINC000351702187 410163841 /nfs/dbraw/zinc/16/38/41/410163841.db2.gz HAUPAOLBUSXFJP-CABCVRRESA-N 1 2 317.414 1.457 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)c3cccc(SCC#N)c3)C[C@H]21 ZINC000351702187 410163844 /nfs/dbraw/zinc/16/38/44/410163844.db2.gz HAUPAOLBUSXFJP-CABCVRRESA-N 1 2 317.414 1.457 20 30 DDEDLO O=C(NCCNc1cccc[nH+]1)[C@H]1CC12CCS(=O)(=O)CC2 ZINC000329231931 410181581 /nfs/dbraw/zinc/18/15/81/410181581.db2.gz FOXXVJNRCZGEKR-GFCCVEGCSA-N 1 2 323.418 1.665 20 30 DDEDLO CC[C@@H]1CO[C@H](C)C[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000298479412 410250107 /nfs/dbraw/zinc/25/01/07/410250107.db2.gz TWHVYQAUVUOEGC-CZUORRHYSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H]1CO[C@H](C)C[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000298479412 410250113 /nfs/dbraw/zinc/25/01/13/410250113.db2.gz TWHVYQAUVUOEGC-CZUORRHYSA-N 1 2 301.390 1.674 20 30 DDEDLO C=C[C@@H](C)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000355035268 410255365 /nfs/dbraw/zinc/25/53/65/410255365.db2.gz IAUFJDDTRSDRDK-IUODEOHRSA-N 1 2 318.421 1.708 20 30 DDEDLO C=C[C@@H](C)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000355035268 410255374 /nfs/dbraw/zinc/25/53/74/410255374.db2.gz IAUFJDDTRSDRDK-IUODEOHRSA-N 1 2 318.421 1.708 20 30 DDEDLO CNC(=O)[C@]1(C)CCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000329363623 410259421 /nfs/dbraw/zinc/25/94/21/410259421.db2.gz ZOAIGLLDRHXWEW-RHSMWYFYSA-N 1 2 323.437 1.455 20 30 DDEDLO CNC(=O)[C@]1(C)CCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000329363623 410259429 /nfs/dbraw/zinc/25/94/29/410259429.db2.gz ZOAIGLLDRHXWEW-RHSMWYFYSA-N 1 2 323.437 1.455 20 30 DDEDLO CCc1cnccc1CNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329306683 410223045 /nfs/dbraw/zinc/22/30/45/410223045.db2.gz YTJJASHHNJALGS-CABCVRRESA-N 1 2 304.394 1.073 20 30 DDEDLO CCc1cnccc1CNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329306683 410223052 /nfs/dbraw/zinc/22/30/52/410223052.db2.gz YTJJASHHNJALGS-CABCVRRESA-N 1 2 304.394 1.073 20 30 DDEDLO C[C@@H]1CN(C([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)C[C@@H](C2CC2)O1 ZINC000329507113 410332473 /nfs/dbraw/zinc/33/24/73/410332473.db2.gz ASNOIYQLFPICRX-HCKVZZMMSA-N 1 2 304.394 1.680 20 30 DDEDLO C[C@@H]1CN(C([O-])=[NH+][C@@H]2CCc3[nH+]c[nH]c3C2)C[C@@H](C2CC2)O1 ZINC000329507113 410332479 /nfs/dbraw/zinc/33/24/79/410332479.db2.gz ASNOIYQLFPICRX-HCKVZZMMSA-N 1 2 304.394 1.680 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCN2CCOC[C@@H]2C1 ZINC000329462066 410312078 /nfs/dbraw/zinc/31/20/78/410312078.db2.gz SRJSRMYIAAFYIZ-CABCVRRESA-N 1 2 320.437 1.574 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCN2CCOC[C@@H]2C1 ZINC000329462066 410312083 /nfs/dbraw/zinc/31/20/83/410312083.db2.gz SRJSRMYIAAFYIZ-CABCVRRESA-N 1 2 320.437 1.574 20 30 DDEDLO C[C@H](NC(=O)NC[C@@H]1CCCSC1)[C@H](C)[NH+]1CCOCC1 ZINC000329469448 410313484 /nfs/dbraw/zinc/31/34/84/410313484.db2.gz YBXOOOIZYOTPSS-IHRRRGAJSA-N 1 2 315.483 1.742 20 30 DDEDLO CNC(=O)C1CCC(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)CC1 ZINC000329823796 410454333 /nfs/dbraw/zinc/45/43/33/410454333.db2.gz HSVKZWVGFHZEKH-PQAZSJQKSA-N 1 2 324.425 1.060 20 30 DDEDLO CNC(=O)C1CCC(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)CC1 ZINC000329823796 410454337 /nfs/dbraw/zinc/45/43/37/410454337.db2.gz HSVKZWVGFHZEKH-PQAZSJQKSA-N 1 2 324.425 1.060 20 30 DDEDLO C=CCc1ccc(OC[C@@H](O)C[NH+]2CC(OC)C2)c(OC)c1 ZINC000358451981 410472594 /nfs/dbraw/zinc/47/25/94/410472594.db2.gz HMSYOZUFLVINQM-AWEZNQCLSA-N 1 2 307.390 1.494 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1C[C@@H]2[C@H](C1)OCCN2C ZINC000329914496 410484687 /nfs/dbraw/zinc/48/46/87/410484687.db2.gz CLSLYEAMFWJSLK-KFWWJZLASA-N 1 2 320.437 1.573 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1C[C@@H]2[C@H](C1)OCCN2C ZINC000329914496 410484692 /nfs/dbraw/zinc/48/46/92/410484692.db2.gz CLSLYEAMFWJSLK-KFWWJZLASA-N 1 2 320.437 1.573 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329914496 410484695 /nfs/dbraw/zinc/48/46/95/410484695.db2.gz CLSLYEAMFWJSLK-KFWWJZLASA-N 1 2 320.437 1.573 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329914496 410484701 /nfs/dbraw/zinc/48/47/01/410484701.db2.gz CLSLYEAMFWJSLK-KFWWJZLASA-N 1 2 320.437 1.573 20 30 DDEDLO COc1c(O)cccc1C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000299051447 410498654 /nfs/dbraw/zinc/49/86/54/410498654.db2.gz QEAIHTSDZPPJDG-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)C[C@H](CC#N)c1ccccc1 ZINC000355553495 410577973 /nfs/dbraw/zinc/57/79/73/410577973.db2.gz VNAHMIDSFYCJGD-KRWDZBQOSA-N 1 2 315.417 1.865 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CCOC[C@@H](C2CCC2)C1 ZINC000330192959 410580003 /nfs/dbraw/zinc/58/00/03/410580003.db2.gz ADPNYVFYPOELBH-UONOGXRCSA-N 1 2 318.421 1.930 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CCOC[C@@H](C2CCC2)C1 ZINC000330192959 410580009 /nfs/dbraw/zinc/58/00/09/410580009.db2.gz ADPNYVFYPOELBH-UONOGXRCSA-N 1 2 318.421 1.930 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N(C)Cc1[nH]cc[nH+]1 ZINC000347513934 410596216 /nfs/dbraw/zinc/59/62/16/410596216.db2.gz IHFDMFHCXUIKBJ-UHFFFAOYSA-N 1 2 300.318 1.327 20 30 DDEDLO CC[C@H](C(=O)OC)N1CC[NH+](CC#Cc2ccccc2)CC1 ZINC000352666144 410674956 /nfs/dbraw/zinc/67/49/56/410674956.db2.gz GOPFMEAZAHQKBJ-QGZVFWFLSA-N 1 2 300.402 1.607 20 30 DDEDLO C=CCN(CCC#N)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000359417146 410649457 /nfs/dbraw/zinc/64/94/57/410649457.db2.gz OHMYFSYHQDJEDI-UHFFFAOYSA-N 1 2 315.421 1.560 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)nc1 ZINC000451935689 287190682 /nfs/dbraw/zinc/19/06/82/287190682.db2.gz ROAKNUIJGFWTSS-CYBMUJFWSA-N 1 2 308.407 1.058 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)nc1 ZINC000451935689 287190683 /nfs/dbraw/zinc/19/06/83/287190683.db2.gz ROAKNUIJGFWTSS-CYBMUJFWSA-N 1 2 308.407 1.058 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N(CC[NH+](C)C)C2CC2)cc1C#N ZINC000356280524 410942277 /nfs/dbraw/zinc/94/22/77/410942277.db2.gz NMBBXJGLAJDDCZ-UHFFFAOYSA-N 1 2 320.418 1.547 20 30 DDEDLO CCOC(=O)[C@]1(COC)CCC[N@H+](Cc2nc(C#N)cs2)C1 ZINC000356291525 410946825 /nfs/dbraw/zinc/94/68/25/410946825.db2.gz BUIKXASNHQTIDR-OAHLLOKOSA-N 1 2 323.418 1.806 20 30 DDEDLO CCOC(=O)[C@]1(COC)CCC[N@@H+](Cc2nc(C#N)cs2)C1 ZINC000356291525 410946829 /nfs/dbraw/zinc/94/68/29/410946829.db2.gz BUIKXASNHQTIDR-OAHLLOKOSA-N 1 2 323.418 1.806 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2cscc2C#N)CC1 ZINC000341708966 411050679 /nfs/dbraw/zinc/05/06/79/411050679.db2.gz AJSUSLHIFGENMW-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+]([C@@H](C)C(=O)N3CCCC3)CC2)C1 ZINC000631740479 422900406 /nfs/dbraw/zinc/90/04/06/422900406.db2.gz VLUKVUIVACASRV-HNNXBMFYSA-N 1 2 319.449 1.498 20 30 DDEDLO N#Cc1c[nH]nc1NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000601642388 416623637 /nfs/dbraw/zinc/62/36/37/416623637.db2.gz YICYHVOSPSMSRV-UHFFFAOYSA-N 1 2 311.345 1.366 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)ncc1C#N ZINC000603113835 416632864 /nfs/dbraw/zinc/63/28/64/416632864.db2.gz VRXFJHVHNKEUEQ-QWHCGFSZSA-N 1 2 317.393 1.492 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)ncc1C#N ZINC000603113835 416632865 /nfs/dbraw/zinc/63/28/65/416632865.db2.gz VRXFJHVHNKEUEQ-QWHCGFSZSA-N 1 2 317.393 1.492 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CC[C@@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000355834017 287382107 /nfs/dbraw/zinc/38/21/07/287382107.db2.gz AESVPSVGSMGTMP-DLBZAZTESA-N 1 2 323.437 1.849 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N2CC[NH2+][C@H](c3cccnc3)C2)cc1 ZINC000374350447 418521301 /nfs/dbraw/zinc/52/13/01/418521301.db2.gz LOFCRKPORPHLOO-RYFGYPJOSA-N 1 2 324.384 1.849 20 30 DDEDLO CC#CCNC(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(OC)c1 ZINC000367123443 418561609 /nfs/dbraw/zinc/56/16/09/418561609.db2.gz RKMFBBCXCPXTGW-OAHLLOKOSA-N 1 2 312.373 1.841 20 30 DDEDLO COCC[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)Cc1cccnc1 ZINC000191239589 222100214 /nfs/dbraw/zinc/10/02/14/222100214.db2.gz GVFLUIVWHBLGCC-QGZVFWFLSA-N 1 2 316.405 1.338 20 30 DDEDLO COCC[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)Cc1cccnc1 ZINC000191239589 222100218 /nfs/dbraw/zinc/10/02/18/222100218.db2.gz GVFLUIVWHBLGCC-QGZVFWFLSA-N 1 2 316.405 1.338 20 30 DDEDLO C#CC[N@@H+](C)Cc1nc2oc(C)c(C(=O)OCC)c2c(N)n1 ZINC000192239651 222124509 /nfs/dbraw/zinc/12/45/09/222124509.db2.gz SYOCHMOZOBCJAR-UHFFFAOYSA-N 1 2 302.334 1.355 20 30 DDEDLO C#CC[N@H+](C)Cc1nc2oc(C)c(C(=O)OCC)c2c(N)n1 ZINC000192239651 222124510 /nfs/dbraw/zinc/12/45/10/222124510.db2.gz SYOCHMOZOBCJAR-UHFFFAOYSA-N 1 2 302.334 1.355 20 30 DDEDLO COC[C@@H]1C[N@@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)C[C@H](C)O1 ZINC000251649660 222243470 /nfs/dbraw/zinc/24/34/70/222243470.db2.gz HMFOFAZAXCDRRT-ZNMIVQPWSA-N 1 2 323.437 1.453 20 30 DDEDLO COC[C@@H]1C[N@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)C[C@H](C)O1 ZINC000251649660 222243473 /nfs/dbraw/zinc/24/34/73/222243473.db2.gz HMFOFAZAXCDRRT-ZNMIVQPWSA-N 1 2 323.437 1.453 20 30 DDEDLO Cc1nc(NCc2cccc(C(=O)N[C@H](C)CC#N)c2)cc[nH+]1 ZINC000348733763 418640832 /nfs/dbraw/zinc/64/08/32/418640832.db2.gz DTTWMPPGOKJDGY-GFCCVEGCSA-N 1 2 309.373 2.429 20 30 DDEDLO Cc1cc(NC(=O)c2cccc(-n3cc[nH+]c3)c2)nn1CCC#N ZINC000348824816 418641202 /nfs/dbraw/zinc/64/12/02/418641202.db2.gz BAJKRKGZITTYSK-UHFFFAOYSA-N 1 2 320.356 2.543 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOC[C@@H]1[C@H]1CCCC1=O ZINC000375226703 418619447 /nfs/dbraw/zinc/61/94/47/418619447.db2.gz QQYVSAIBSHTYTL-HUUCEWRRSA-N 1 2 306.406 1.257 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOC[C@@H]1[C@H]1CCCC1=O ZINC000375226703 418619448 /nfs/dbraw/zinc/61/94/48/418619448.db2.gz QQYVSAIBSHTYTL-HUUCEWRRSA-N 1 2 306.406 1.257 20 30 DDEDLO C=CCCOCCNC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000375254288 418621821 /nfs/dbraw/zinc/62/18/21/418621821.db2.gz JXKYNXBMINXDCN-HUUCEWRRSA-N 1 2 303.406 1.863 20 30 DDEDLO N#Cc1ccc(C(=O)NCCNc2ccc3ccccc3[nH+]2)o1 ZINC000340650054 418623599 /nfs/dbraw/zinc/62/35/99/418623599.db2.gz GKHPKOSBDUWIKD-UHFFFAOYSA-N 1 2 306.325 2.541 20 30 DDEDLO C[C@@H](O[NH+]=C(N)CCO)C(=O)Nc1ccccc1OC(F)F ZINC000275463900 222498319 /nfs/dbraw/zinc/49/83/19/222498319.db2.gz KNQOMDARJZXWMW-MRVPVSSYSA-N 1 2 317.292 1.286 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC3(CCC3)[C@H]2[C@@H]2CCCO2)CCC1 ZINC000377757692 418715045 /nfs/dbraw/zinc/71/50/45/418715045.db2.gz COKQNKPDSFGQPY-DZGCQCFKSA-N 1 2 303.406 1.582 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC3(CCC3)[C@H]2[C@@H]2CCCO2)CCC1 ZINC000377757692 418715047 /nfs/dbraw/zinc/71/50/47/418715047.db2.gz COKQNKPDSFGQPY-DZGCQCFKSA-N 1 2 303.406 1.582 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCCCC[C@H]1C(=O)OC ZINC000367966304 418664772 /nfs/dbraw/zinc/66/47/72/418664772.db2.gz YODLUCNGKHCVOB-KBPBESRZSA-N 1 2 309.410 1.412 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCCCC[C@H]1C(=O)OC ZINC000367966304 418664774 /nfs/dbraw/zinc/66/47/74/418664774.db2.gz YODLUCNGKHCVOB-KBPBESRZSA-N 1 2 309.410 1.412 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](CO)c1c(Cl)cccc1Cl ZINC000361547758 418674667 /nfs/dbraw/zinc/67/46/67/418674667.db2.gz HCQBJGAJGGBWCD-NSHDSACASA-N 1 2 303.189 1.919 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+](CCO)[C@H]2CCO[C@H]2C)c1C ZINC000361577567 418682887 /nfs/dbraw/zinc/68/28/87/418682887.db2.gz LLCCGCYVVFNPAA-RDJZCZTQSA-N 1 2 320.433 1.945 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+](CCO)[C@H]2CCO[C@H]2C)c1C ZINC000361577567 418682889 /nfs/dbraw/zinc/68/28/89/418682889.db2.gz LLCCGCYVVFNPAA-RDJZCZTQSA-N 1 2 320.433 1.945 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000376175123 418693107 /nfs/dbraw/zinc/69/31/07/418693107.db2.gz SQFLBMRIOYEQQU-YYIAUSFCSA-N 1 2 322.449 1.679 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCC[C@@H]1C(=O)N1CCCC1 ZINC000363443266 418766228 /nfs/dbraw/zinc/76/62/28/418766228.db2.gz ZEUNBFXWVKLMLH-OAHLLOKOSA-N 1 2 320.437 1.225 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCC[C@@H]1C(=O)N1CCCC1 ZINC000363443266 418766230 /nfs/dbraw/zinc/76/62/30/418766230.db2.gz ZEUNBFXWVKLMLH-OAHLLOKOSA-N 1 2 320.437 1.225 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H](C)CCC)CC1 ZINC000363537544 418767457 /nfs/dbraw/zinc/76/74/57/418767457.db2.gz ZYFOCGLKJOVUEN-OAHLLOKOSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H](C)CCC)CC1 ZINC000363537544 418767460 /nfs/dbraw/zinc/76/74/60/418767460.db2.gz ZYFOCGLKJOVUEN-OAHLLOKOSA-N 1 2 307.438 1.096 20 30 DDEDLO N#CCCn1ccc(NC(=O)[C@H]2CCCN(c3cccc[nH+]3)C2)n1 ZINC000363620963 418768177 /nfs/dbraw/zinc/76/81/77/418768177.db2.gz ZKUFZPZKXGLQQM-AWEZNQCLSA-N 1 2 324.388 2.047 20 30 DDEDLO N#CC1(C(=O)NC2CC[NH+](Cc3cscn3)CC2)CCC1 ZINC000364254241 418774992 /nfs/dbraw/zinc/77/49/92/418774992.db2.gz YHLYGBUNXIYIBH-UHFFFAOYSA-N 1 2 304.419 1.918 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000378483380 418723288 /nfs/dbraw/zinc/72/32/88/418723288.db2.gz OZTZIQKQXUDWHO-HRCADAONSA-N 1 2 318.373 1.244 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000378483380 418723290 /nfs/dbraw/zinc/72/32/90/418723290.db2.gz OZTZIQKQXUDWHO-HRCADAONSA-N 1 2 318.373 1.244 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)CC[N@@H+]1CCOc1ccccc1C#N ZINC000378487112 418723773 /nfs/dbraw/zinc/72/37/73/418723773.db2.gz YKFTXTNELJCAGX-HUUCEWRRSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)CC[N@H+]1CCOc1ccccc1C#N ZINC000378487112 418723776 /nfs/dbraw/zinc/72/37/76/418723776.db2.gz YKFTXTNELJCAGX-HUUCEWRRSA-N 1 2 318.373 1.589 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000408082706 418785990 /nfs/dbraw/zinc/78/59/90/418785990.db2.gz HEGPMBOBFUSDBR-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000408082706 418785992 /nfs/dbraw/zinc/78/59/92/418785992.db2.gz HEGPMBOBFUSDBR-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO CCCC[C@@H](ON=C(C)C)C(=O)NC[C@H]1C[NH+]2CCN1CC2 ZINC000371894017 418823825 /nfs/dbraw/zinc/82/38/25/418823825.db2.gz MUTAZLFVTWOKHF-LSDHHAIUSA-N 1 2 310.442 1.074 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CCCC[C@H]1c1[nH+]ccn1CC ZINC000410859986 418852553 /nfs/dbraw/zinc/85/25/53/418852553.db2.gz XLWYYVAJUTVOLW-AWEZNQCLSA-N 1 2 318.421 1.991 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCc1ccc(-n2cc[nH+]c2)nc1 ZINC000372543105 418880002 /nfs/dbraw/zinc/88/00/02/418880002.db2.gz PIMVLKVBJRRVBY-ZDUSSCGKSA-N 1 2 300.362 1.865 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@H]1c1[nH+]ccn1C ZINC000372971413 418918005 /nfs/dbraw/zinc/91/80/05/418918005.db2.gz BYJFYUWRKNARSM-UONOGXRCSA-N 1 2 303.410 1.565 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1CCC[C@H](F)C1 ZINC000424627899 228296223 /nfs/dbraw/zinc/29/62/23/228296223.db2.gz LUSOATMYCLWQFM-CABCVRRESA-N 1 2 311.401 1.589 20 30 DDEDLO Cc1cc(NCc2cc[nH+]c(N3CCOCC3)c2)c(C#N)cn1 ZINC000425206754 228391064 /nfs/dbraw/zinc/39/10/64/228391064.db2.gz KEDWMKRSYMMFHY-UHFFFAOYSA-N 1 2 309.373 1.527 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CC[C@H](c2n[nH]c(C)n2)C1 ZINC000412033617 419575484 /nfs/dbraw/zinc/57/54/84/419575484.db2.gz SAFHFUDHRWBVDW-OCCSQVGLSA-N 1 2 305.426 1.716 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@H](c2n[nH]c(C)n2)C1 ZINC000412033617 419575488 /nfs/dbraw/zinc/57/54/88/419575488.db2.gz SAFHFUDHRWBVDW-OCCSQVGLSA-N 1 2 305.426 1.716 20 30 DDEDLO CCOC(=O)c1ccccc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000414160935 419809664 /nfs/dbraw/zinc/80/96/64/419809664.db2.gz JQODECMSFFCNJG-CYBMUJFWSA-N 1 2 306.362 1.448 20 30 DDEDLO CCOC(=O)c1ccccc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000414160935 419809671 /nfs/dbraw/zinc/80/96/71/419809671.db2.gz JQODECMSFFCNJG-CYBMUJFWSA-N 1 2 306.362 1.448 20 30 DDEDLO N#Cc1cccc(NC(=O)C2CC[NH+]([C@H]3CCOC3=O)CC2)c1 ZINC000299650779 229299491 /nfs/dbraw/zinc/29/94/91/229299491.db2.gz PKAFOZFFWOPQRK-HNNXBMFYSA-N 1 2 313.357 1.524 20 30 DDEDLO Cc1cc(NC2CCC(CS(C)(=O)=O)CC2)c(C#N)c[nH+]1 ZINC000425268228 420334856 /nfs/dbraw/zinc/33/48/56/420334856.db2.gz NVVIIOJEUZJGOI-UHFFFAOYSA-N 1 2 307.419 1.699 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](S(N)(=O)=O)C2)c(Cl)c1 ZINC000439453727 420513307 /nfs/dbraw/zinc/51/33/07/420513307.db2.gz PQUMDAXBOITUSI-GFCCVEGCSA-N 1 2 313.810 1.465 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](S(N)(=O)=O)C2)c(Cl)c1 ZINC000439453727 420513308 /nfs/dbraw/zinc/51/33/08/420513308.db2.gz PQUMDAXBOITUSI-GFCCVEGCSA-N 1 2 313.810 1.465 20 30 DDEDLO C=C(C)CCNC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000454378035 420850048 /nfs/dbraw/zinc/85/00/48/420850048.db2.gz SXPZHHPROGGHIV-HNNXBMFYSA-N 1 2 313.467 1.460 20 30 DDEDLO C[C@H]1C[C@]1(C#N)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000448579987 420864487 /nfs/dbraw/zinc/86/44/87/420864487.db2.gz VZOPHMZFTAUXLI-KBXCAEBGSA-N 1 2 308.385 1.752 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(C)cc2OC)CC1 ZINC000449010546 420935088 /nfs/dbraw/zinc/93/50/88/420935088.db2.gz SLPFMHNDAPEKGI-UHFFFAOYSA-N 1 2 317.433 1.423 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000450083584 421139499 /nfs/dbraw/zinc/13/94/99/421139499.db2.gz AZSBFKSKCXYVCI-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO CC(C)CO[C@@H](C)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000522863806 421227690 /nfs/dbraw/zinc/22/76/90/421227690.db2.gz OHEQKXLYPOYSBL-HNNXBMFYSA-N 1 2 311.426 1.122 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N(CC)C[C@H]1COc2ccccc2O1 ZINC000491362348 421197362 /nfs/dbraw/zinc/19/73/62/421197362.db2.gz RMYLGSPMXFCKEN-AWEZNQCLSA-N 1 2 302.374 1.240 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N(CC)C[C@H]1COc2ccccc2O1 ZINC000491362348 421197364 /nfs/dbraw/zinc/19/73/64/421197364.db2.gz RMYLGSPMXFCKEN-AWEZNQCLSA-N 1 2 302.374 1.240 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNc2nccc(C)c2C#N)C1 ZINC000450539165 421207732 /nfs/dbraw/zinc/20/77/32/421207732.db2.gz JVCWWEPIJASPIG-ZDUSSCGKSA-N 1 2 302.378 1.559 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNc2nccc(C)c2C#N)C1 ZINC000450539165 421207734 /nfs/dbraw/zinc/20/77/34/421207734.db2.gz JVCWWEPIJASPIG-ZDUSSCGKSA-N 1 2 302.378 1.559 20 30 DDEDLO C=C1CC[NH+](CC(=O)N(CCC(N)=O)c2ccc(F)cc2)CC1 ZINC000524677255 421263853 /nfs/dbraw/zinc/26/38/53/421263853.db2.gz GPSJCLGCARTSLR-UHFFFAOYSA-N 1 2 319.380 1.686 20 30 DDEDLO Cc1[nH+]c2cc(NC(=O)C(=O)N(C)C[C@H](C)C#N)ccc2n1C ZINC000527353564 421380695 /nfs/dbraw/zinc/38/06/95/421380695.db2.gz XNVWQJZTHRXCPW-SNVBAGLBSA-N 1 2 313.361 1.438 20 30 DDEDLO C[C@H]1CN(c2ncc3c(c2C#N)CCC3)C[C@@H]1[NH+]1CCOCC1 ZINC000563018910 421441197 /nfs/dbraw/zinc/44/11/97/421441197.db2.gz ZDWXYRSILGAVGE-GUYCJALGSA-N 1 2 312.417 1.599 20 30 DDEDLO CC1(C)C[S@](=O)CC[N@@H+]1C[C@H](O)COc1ccccc1C#N ZINC000528463795 421491881 /nfs/dbraw/zinc/49/18/81/421491881.db2.gz AYFOZRVUYRYKQA-RCDICMHDSA-N 1 2 322.430 1.141 20 30 DDEDLO CC1(C)C[S@](=O)CC[N@H+]1C[C@H](O)COc1ccccc1C#N ZINC000528463795 421491884 /nfs/dbraw/zinc/49/18/84/421491884.db2.gz AYFOZRVUYRYKQA-RCDICMHDSA-N 1 2 322.430 1.141 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C[C@H](O)COc2ccc(CC#N)cc2)n1 ZINC000516684351 421563081 /nfs/dbraw/zinc/56/30/81/421563081.db2.gz CIQYCQQBYZWKNG-RISCZKNCSA-N 1 2 316.361 1.535 20 30 DDEDLO C/C(Cl)=C\Cn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000530110425 421579480 /nfs/dbraw/zinc/57/94/80/421579480.db2.gz YZYUIGSHOCHYNC-SWGQDTFXSA-N 1 2 307.781 1.695 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1cc(Cl)cc2c1OCC2 ZINC000516973856 421585774 /nfs/dbraw/zinc/58/57/74/421585774.db2.gz IXZNTDCHPHNIOK-MRXNPFEDSA-N 1 2 321.808 1.777 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ccc(C)cn3)CC2)c1C#N ZINC000530513299 421604158 /nfs/dbraw/zinc/60/41/58/421604158.db2.gz CMSDRFGQPVOPHK-UHFFFAOYSA-N 1 2 310.405 1.626 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(N2CCCC2)c(F)c1 ZINC000570048093 421629436 /nfs/dbraw/zinc/62/94/36/421629436.db2.gz HFXBUJIUCQYXCW-KRWDZBQOSA-N 1 2 318.396 2.000 20 30 DDEDLO C=C1CCN(C(=O)[C@@H](Cc2c[nH+]c[nH]2)NC(=O)CC(C)C)CC1 ZINC000555023215 421667575 /nfs/dbraw/zinc/66/75/75/421667575.db2.gz FRVIEMGBVZWRPU-OAHLLOKOSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C1CCN(C(=O)[C@@H](Cc2c[nH]c[nH+]2)NC(=O)CC(C)C)CC1 ZINC000555023215 421667577 /nfs/dbraw/zinc/66/75/77/421667577.db2.gz FRVIEMGBVZWRPU-OAHLLOKOSA-N 1 2 318.421 1.662 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCc3ccc(O)cc3C2)c1 ZINC000532732334 421664271 /nfs/dbraw/zinc/66/42/71/421664271.db2.gz XXCDKIXESBQUAL-UHFFFAOYSA-N 1 2 321.380 1.938 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCc3ccc(O)cc3C2)c1 ZINC000532732334 421664275 /nfs/dbraw/zinc/66/42/75/421664275.db2.gz XXCDKIXESBQUAL-UHFFFAOYSA-N 1 2 321.380 1.938 20 30 DDEDLO N#CCN1CCN(C(=O)Cc2c[nH+]cn2Cc2ccccc2)CC1 ZINC000519518485 421695474 /nfs/dbraw/zinc/69/54/74/421695474.db2.gz YKGVJWUTPDXABW-UHFFFAOYSA-N 1 2 323.400 1.142 20 30 DDEDLO C[C@H](CC(=O)N1CCN(c2cc(C#N)ccn2)CC1)n1cc[nH+]c1 ZINC000519648061 421712597 /nfs/dbraw/zinc/71/25/97/421712597.db2.gz GPIFXOQVBCZHMU-CQSZACIVSA-N 1 2 324.388 1.450 20 30 DDEDLO C[C@@H](CC(=O)N1CCN(c2cc(C#N)ccn2)CC1)n1cc[nH+]c1 ZINC000519648049 421712708 /nfs/dbraw/zinc/71/27/08/421712708.db2.gz GPIFXOQVBCZHMU-AWEZNQCLSA-N 1 2 324.388 1.450 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000538272367 421739400 /nfs/dbraw/zinc/73/94/00/421739400.db2.gz MRGXXERPPXQIKH-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000538272367 421739402 /nfs/dbraw/zinc/73/94/02/421739402.db2.gz MRGXXERPPXQIKH-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000571794387 421744650 /nfs/dbraw/zinc/74/46/50/421744650.db2.gz OVTYGDPBFRJMGW-CYBMUJFWSA-N 1 2 307.419 1.218 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000571794387 421744652 /nfs/dbraw/zinc/74/46/52/421744652.db2.gz OVTYGDPBFRJMGW-CYBMUJFWSA-N 1 2 307.419 1.218 20 30 DDEDLO N#CCN1CC[NH+](Cc2ccc(-n3cncn3)c(F)c2)CC1 ZINC000539816692 421752504 /nfs/dbraw/zinc/75/25/04/421752504.db2.gz QMROYIYOKUEVLQ-UHFFFAOYSA-N 1 2 300.341 1.048 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCCOCc1ccccc1 ZINC000571927106 421754014 /nfs/dbraw/zinc/75/40/14/421754014.db2.gz OELLCKAIZLITQH-QGZVFWFLSA-N 1 2 303.406 1.943 20 30 DDEDLO CCn1cc(N2C(=O)C[C@@H]([NH+]3CCC(C)(C#N)CC3)C2=O)cn1 ZINC000541859025 421809461 /nfs/dbraw/zinc/80/94/61/421809461.db2.gz GMRBNVWTKVXYEM-CYBMUJFWSA-N 1 2 315.377 1.161 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+]([C@H](C)C(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000572700427 421812404 /nfs/dbraw/zinc/81/24/04/421812404.db2.gz KYIMVXQHJSGALG-BXUZGUMPSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+]([C@H](C)C(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000572700427 421812406 /nfs/dbraw/zinc/81/24/06/421812406.db2.gz KYIMVXQHJSGALG-BXUZGUMPSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@@H+]([C@H](C)C(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000572700427 421812409 /nfs/dbraw/zinc/81/24/09/421812409.db2.gz KYIMVXQHJSGALG-BXUZGUMPSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@H+]([C@H](C)C(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000572700427 421812412 /nfs/dbraw/zinc/81/24/12/421812412.db2.gz KYIMVXQHJSGALG-BXUZGUMPSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+]([C@H](C)C(=O)Nc3cccc(C#N)c3)C2)n[nH]1 ZINC000572700427 421812415 /nfs/dbraw/zinc/81/24/15/421812415.db2.gz KYIMVXQHJSGALG-BXUZGUMPSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+]([C@H](C)C(=O)Nc3cccc(C#N)c3)C2)n[nH]1 ZINC000572700427 421812418 /nfs/dbraw/zinc/81/24/18/421812418.db2.gz KYIMVXQHJSGALG-BXUZGUMPSA-N 1 2 324.388 1.801 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNc2nnc(C)c(C)c2C#N)C1 ZINC000573492372 421969862 /nfs/dbraw/zinc/96/98/62/421969862.db2.gz FYMQIYCOECQARN-ZDUSSCGKSA-N 1 2 317.393 1.262 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNc2nnc(C)c(C)c2C#N)C1 ZINC000573492372 421969866 /nfs/dbraw/zinc/96/98/66/421969866.db2.gz FYMQIYCOECQARN-ZDUSSCGKSA-N 1 2 317.393 1.262 20 30 DDEDLO C[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)c1ccc2c(c1)OCO2 ZINC000574457467 422111697 /nfs/dbraw/zinc/11/16/97/422111697.db2.gz PSMJZQPQSHNANP-MEDUHNTESA-N 1 2 303.362 1.479 20 30 DDEDLO Cc1nn(C)c(C)c1C[C@@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000576044281 422363167 /nfs/dbraw/zinc/36/31/67/422363167.db2.gz NVUBUVNORKCBPP-BZNIZROVSA-N 1 2 305.426 1.176 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)[C@@H](CC(C)C)n2cc[nH+]c2)C1=O ZINC000635892289 422445953 /nfs/dbraw/zinc/44/59/53/422445953.db2.gz WNLCAKHZMVYIGW-LSDHHAIUSA-N 1 2 318.421 1.716 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@H]1CCc2[nH+]c[nH]c2C1)C(=O)OCC ZINC000597733937 422390845 /nfs/dbraw/zinc/39/08/45/422390845.db2.gz YHVQWEVOJLVESK-AAEUAGOBSA-N 1 2 305.378 1.529 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@H]1CCc2[nH]c[nH+]c2C1)C(=O)OCC ZINC000597733937 422390852 /nfs/dbraw/zinc/39/08/52/422390852.db2.gz YHVQWEVOJLVESK-AAEUAGOBSA-N 1 2 305.378 1.529 20 30 DDEDLO N#Cc1ccc(NCc2cc[nH+]c(N3CCSCC3)c2)nn1 ZINC000601240697 422423164 /nfs/dbraw/zinc/42/31/64/422423164.db2.gz NUBYOEXQNACJDY-UHFFFAOYSA-N 1 2 312.402 1.909 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000629434611 422673057 /nfs/dbraw/zinc/67/30/57/422673057.db2.gz YKPJOVORVHUPFM-OAHLLOKOSA-N 1 2 303.406 1.611 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000629434611 422673062 /nfs/dbraw/zinc/67/30/62/422673062.db2.gz YKPJOVORVHUPFM-OAHLLOKOSA-N 1 2 303.406 1.611 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)N(C)c2ccccc2)nn1 ZINC000640806542 423200306 /nfs/dbraw/zinc/20/03/06/423200306.db2.gz BXQQAOUANUXNQO-AWEZNQCLSA-N 1 2 311.389 1.615 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(O[C@H]3CCOC3)CC2)nn1 ZINC000653565002 423531071 /nfs/dbraw/zinc/53/10/71/423531071.db2.gz WFMNMGSWKGIBJS-INIZCTEOSA-N 1 2 306.410 1.624 20 30 DDEDLO C=CCCn1cc(C[N@@H+](C)[C@H](CC(N)=O)c2ccccc2)nn1 ZINC000653654040 423566805 /nfs/dbraw/zinc/56/68/05/423566805.db2.gz XIVSQDKCIBHCQP-MRXNPFEDSA-N 1 2 313.405 1.903 20 30 DDEDLO C=CCCn1cc(C[N@H+](C)[C@H](CC(N)=O)c2ccccc2)nn1 ZINC000653654040 423566808 /nfs/dbraw/zinc/56/68/08/423566808.db2.gz XIVSQDKCIBHCQP-MRXNPFEDSA-N 1 2 313.405 1.903 20 30 DDEDLO Cn1cnnc1N1CC[NH+](CC#Cc2cccc(Cl)c2)CC1 ZINC000653821031 423617585 /nfs/dbraw/zinc/61/75/85/423617585.db2.gz SEABVQAIERIWEM-UHFFFAOYSA-N 1 2 315.808 1.642 20 30 DDEDLO N#Cc1cc(N[C@@H](C[NH+]2CCOCC2)c2ccccc2)ncn1 ZINC000525888767 269994710 /nfs/dbraw/zinc/99/47/10/269994710.db2.gz FSNHBBCXKPGFDR-INIZCTEOSA-N 1 2 309.373 1.834 20 30 DDEDLO C=CCOCCCNc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000664387837 424571060 /nfs/dbraw/zinc/57/10/60/424571060.db2.gz JHGMCZWXYJUEHM-CQSZACIVSA-N 1 2 306.410 1.832 20 30 DDEDLO C=CCOCCCNc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000664387837 424571066 /nfs/dbraw/zinc/57/10/66/424571066.db2.gz JHGMCZWXYJUEHM-CQSZACIVSA-N 1 2 306.410 1.832 20 30 DDEDLO CC[NH+](CC)C[C@H](C)NC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000341704557 266117815 /nfs/dbraw/zinc/11/78/15/266117815.db2.gz SPMBHPMSWJNDRO-JTQLQIEISA-N 1 2 322.434 1.841 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCN(c2nccc(C#N)c2Cl)CC1 ZINC000341742731 266163917 /nfs/dbraw/zinc/16/39/17/266163917.db2.gz HXGJSCWOMNTPFV-UHFFFAOYSA-N 1 2 321.812 1.255 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCN(c2nccc(C#N)c2Cl)CC1 ZINC000341742731 266163923 /nfs/dbraw/zinc/16/39/23/266163923.db2.gz HXGJSCWOMNTPFV-UHFFFAOYSA-N 1 2 321.812 1.255 20 30 DDEDLO C[C@@H]1C[NH+](CCN(C)C(=O)c2ccc(C#N)s2)C[C@@H](C)O1 ZINC000345394720 267051193 /nfs/dbraw/zinc/05/11/93/267051193.db2.gz UXYQHNSSUCZZDY-VXGBXAGGSA-N 1 2 307.419 1.801 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000377971543 267283996 /nfs/dbraw/zinc/28/39/96/267283996.db2.gz SDBHFVFMDWFBKI-LBPRGKRZSA-N 1 2 323.356 1.202 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000377971543 267284000 /nfs/dbraw/zinc/28/40/00/267284000.db2.gz SDBHFVFMDWFBKI-LBPRGKRZSA-N 1 2 323.356 1.202 20 30 DDEDLO Cc1cc(C#N)cc(N[C@@H]2CCC(=O)N[C@H]2c2c[nH+]cn2C)n1 ZINC000375368924 267305453 /nfs/dbraw/zinc/30/54/53/267305453.db2.gz VMAOAPQAKAADTR-MLGOLLRUSA-N 1 2 310.361 1.427 20 30 DDEDLO N#Cc1ccc(N2CC[NH+]([C@H]3COC[C@H]3O)CC2)c(Cl)c1 ZINC000361393671 268120311 /nfs/dbraw/zinc/12/03/11/268120311.db2.gz YEUJCJSTOFTYHT-LSDHHAIUSA-N 1 2 307.781 1.093 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@@H+]2CCC[C@@H]2c2ncc[nH]2)cc1 ZINC000359515292 268178297 /nfs/dbraw/zinc/17/82/97/268178297.db2.gz NGFVWABAHMBYBG-GDBMZVCRSA-N 1 2 312.373 1.858 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@H+]2CCC[C@@H]2c2ncc[nH]2)cc1 ZINC000359515292 268178299 /nfs/dbraw/zinc/17/82/99/268178299.db2.gz NGFVWABAHMBYBG-GDBMZVCRSA-N 1 2 312.373 1.858 20 30 DDEDLO CN1C(=O)[C@@H]2C[N@H+](CC#Cc3ccc(Cl)cc3)CCN2C1=O ZINC000360038653 277019575 /nfs/dbraw/zinc/01/95/75/277019575.db2.gz VHAPFWSFAIUTCD-AWEZNQCLSA-N 1 2 317.776 1.270 20 30 DDEDLO CN1C(=O)[C@@H]2C[N@@H+](CC#Cc3ccc(Cl)cc3)CCN2C1=O ZINC000360038653 277019577 /nfs/dbraw/zinc/01/95/77/277019577.db2.gz VHAPFWSFAIUTCD-AWEZNQCLSA-N 1 2 317.776 1.270 20 30 DDEDLO CN1C(=O)[C@H]2C[N@H+](CC#Cc3cccc(Cl)c3)CCN2C1=O ZINC000366471539 277020302 /nfs/dbraw/zinc/02/03/02/277020302.db2.gz VZMLJVNGTCKEJN-CQSZACIVSA-N 1 2 317.776 1.270 20 30 DDEDLO CN1C(=O)[C@H]2C[N@@H+](CC#Cc3cccc(Cl)c3)CCN2C1=O ZINC000366471539 277020304 /nfs/dbraw/zinc/02/03/04/277020304.db2.gz VZMLJVNGTCKEJN-CQSZACIVSA-N 1 2 317.776 1.270 20 30 DDEDLO CO[C@@H]1C[N@@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C[C@@H]1OC ZINC000276851081 277299691 /nfs/dbraw/zinc/29/96/91/277299691.db2.gz IXXIAPIVKKVMGQ-LEWSCRJBSA-N 1 2 321.377 1.441 20 30 DDEDLO CO[C@@H]1C[N@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C[C@@H]1OC ZINC000276851081 277299692 /nfs/dbraw/zinc/29/96/92/277299692.db2.gz IXXIAPIVKKVMGQ-LEWSCRJBSA-N 1 2 321.377 1.441 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCCCC[C@H]1C(=O)OC ZINC000367966305 279224094 /nfs/dbraw/zinc/22/40/94/279224094.db2.gz YODLUCNGKHCVOB-KGLIPLIRSA-N 1 2 309.410 1.412 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCCCC[C@H]1C(=O)OC ZINC000367966305 279224097 /nfs/dbraw/zinc/22/40/97/279224097.db2.gz YODLUCNGKHCVOB-KGLIPLIRSA-N 1 2 309.410 1.412 20 30 DDEDLO Cc1nnc(N2CCN(C3=[NH+]C[C@H](C)S3)CC2)c(C#N)c1C ZINC000301362795 279224610 /nfs/dbraw/zinc/22/46/10/279224610.db2.gz RAAVEOJRKJYPDF-JTQLQIEISA-N 1 2 316.434 1.578 20 30 DDEDLO CC(C)[C@H]([NH2+]CC(=O)NC1(C#N)CCCCC1)c1nncn1C ZINC000285472249 280323641 /nfs/dbraw/zinc/32/36/41/280323641.db2.gz VCWQNQYYCUZUHO-AWEZNQCLSA-N 1 2 318.425 1.444 20 30 DDEDLO COC(=O)C[N@H+](Cc1ccc(C#N)cc1OC)C1CCOCC1 ZINC000121097602 281132029 /nfs/dbraw/zinc/13/20/29/281132029.db2.gz HZYDQUAWAIVYFA-UHFFFAOYSA-N 1 2 318.373 1.721 20 30 DDEDLO COC(=O)C[N@@H+](Cc1ccc(C#N)cc1OC)C1CCOCC1 ZINC000121097602 281132031 /nfs/dbraw/zinc/13/20/31/281132031.db2.gz HZYDQUAWAIVYFA-UHFFFAOYSA-N 1 2 318.373 1.721 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C\c1ccc(F)cc1[N+](=O)[O-] ZINC000493433213 289204198 /nfs/dbraw/zinc/20/41/98/289204198.db2.gz MAHGXQDRVDLIGH-ZQMJAJRESA-N 1 2 320.324 1.707 20 30 DDEDLO C[C@@H](Nc1ncc2c(c1C#N)CCC2)[C@H](C)[NH+]1CCOCC1 ZINC000577504229 308393672 /nfs/dbraw/zinc/39/36/72/308393672.db2.gz KTCVLUMXTGSLAP-OLZOCXBDSA-N 1 2 300.406 1.963 20 30 DDEDLO N#Cc1ccnc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)c1 ZINC000581931936 325937954 /nfs/dbraw/zinc/93/79/54/325937954.db2.gz RYLMXIDHNHFZEF-HNNXBMFYSA-N 1 2 314.389 1.280 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2ccccc2C)CC1 ZINC000119560802 332373835 /nfs/dbraw/zinc/37/38/35/332373835.db2.gz ZOGOOLCHKWSCKF-UHFFFAOYSA-N 1 2 314.433 1.879 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)SCC[NH+]1CCOCC1 ZINC000159957103 332736544 /nfs/dbraw/zinc/73/65/44/332736544.db2.gz JOQMJWWBPDFENA-CQSZACIVSA-N 1 2 300.468 1.865 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000340235192 334153736 /nfs/dbraw/zinc/15/37/36/334153736.db2.gz LIPVWHSMVPOKGY-ZDUSSCGKSA-N 1 2 318.421 1.625 20 30 DDEDLO Cc1noc(C)c1CSCC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000520478033 335981733 /nfs/dbraw/zinc/98/17/33/335981733.db2.gz FTPGCARHWHUCFJ-AWEZNQCLSA-N 1 2 310.423 1.485 20 30 DDEDLO C=C(C)CN(C)C(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000351534809 336041202 /nfs/dbraw/zinc/04/12/02/336041202.db2.gz LXMQCXHPJGAVMY-UHFFFAOYSA-N 1 2 324.446 1.670 20 30 DDEDLO COC(=O)c1cccc(NC(=O)C[NH+]2CCC(CC#N)CC2)n1 ZINC000527049692 336281323 /nfs/dbraw/zinc/28/13/23/336281323.db2.gz BTAVHPWFWOECQG-UHFFFAOYSA-N 1 2 316.361 1.432 20 30 DDEDLO N#CCC1CC[NH+](CCS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000582384044 336952912 /nfs/dbraw/zinc/95/29/12/336952912.db2.gz XQIGPYXNPBYWMK-UHFFFAOYSA-N 1 2 318.402 1.353 20 30 DDEDLO COc1ccc(C#N)cc1CN1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000394427704 337116217 /nfs/dbraw/zinc/11/62/17/337116217.db2.gz IGDHGCSMXQZVEG-KRWDZBQOSA-N 1 2 315.417 1.863 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](Cc2cnc(C3CC3)nc2)CC1 ZINC000583249032 337288239 /nfs/dbraw/zinc/28/82/39/337288239.db2.gz CIKQHALZASYYRC-UHFFFAOYSA-N 1 2 320.400 1.943 20 30 DDEDLO C#CC[C@@H]([NH2+][C@@H](C)C1CN(C(=O)OC(C)(C)C)C1)C(=O)OC ZINC000496539655 340007395 /nfs/dbraw/zinc/00/73/95/340007395.db2.gz LSQMYIJJJLXLMB-WCQYABFASA-N 1 2 310.394 1.396 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCCN(C(=O)OCC)CC2)C1=O ZINC000496728351 340011465 /nfs/dbraw/zinc/01/14/65/340011465.db2.gz SWHYICPEFURXNG-UHFFFAOYSA-N 1 2 310.398 1.032 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCCN(C(=O)OCC)CC2)C1=O ZINC000496728351 340011466 /nfs/dbraw/zinc/01/14/66/340011466.db2.gz SWHYICPEFURXNG-UHFFFAOYSA-N 1 2 310.398 1.032 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNS(=O)(=O)CCC(C)(C)C#N)CCC2 ZINC000554893251 341468863 /nfs/dbraw/zinc/46/88/63/341468863.db2.gz DADRCNNERPRQLO-ZDUSSCGKSA-N 1 2 324.450 1.928 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCCc1cccc2cccnc21 ZINC000154089605 341584503 /nfs/dbraw/zinc/58/45/03/341584503.db2.gz ICDLZJABTPYRQV-UHFFFAOYSA-N 1 2 305.381 1.462 20 30 DDEDLO CC#CCCCC(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000567509092 341611324 /nfs/dbraw/zinc/61/13/24/341611324.db2.gz VXZJCJWUNRKULQ-UHFFFAOYSA-N 1 2 313.405 1.839 20 30 DDEDLO Cc1nsc(N2CCC([C@@H](O)c3[nH]cc[nH+]3)CC2)c1C#N ZINC000367442563 484538952 /nfs/dbraw/zinc/53/89/52/484538952.db2.gz ITGVOAOZKWGMSQ-GFCCVEGCSA-N 1 2 303.391 1.996 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1cn2cccc(C)c2[nH+]1 ZINC000668449836 485169102 /nfs/dbraw/zinc/16/91/02/485169102.db2.gz MPBZHFWFQUIBSR-OAHLLOKOSA-N 1 2 314.389 1.730 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC000673285518 485404698 /nfs/dbraw/zinc/40/46/98/485404698.db2.gz QSRWGTHTALDEJQ-MRXNPFEDSA-N 1 2 313.401 1.490 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC000673285518 485404700 /nfs/dbraw/zinc/40/47/00/485404700.db2.gz QSRWGTHTALDEJQ-MRXNPFEDSA-N 1 2 313.401 1.490 20 30 DDEDLO CCC#C[C@@H](C)[NH+]1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000677047099 486385974 /nfs/dbraw/zinc/38/59/74/486385974.db2.gz RXRVHQZSFLQPDT-QGZVFWFLSA-N 1 2 305.466 1.809 20 30 DDEDLO CCC#C[C@@H](C)N1CC[NH+](CC(=O)N2CCCCCC2)CC1 ZINC000677047099 486385975 /nfs/dbraw/zinc/38/59/75/486385975.db2.gz RXRVHQZSFLQPDT-QGZVFWFLSA-N 1 2 305.466 1.809 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1N(CCC#N)Cc1ccco1 ZINC000377016485 533904590 /nfs/dbraw/zinc/90/45/90/533904590.db2.gz AWWXBJXDNJTCDR-CVEARBPZSA-N 1 2 318.421 1.705 20 30 DDEDLO N#CC1(C(=O)NCc2ccccc2Cn2cc[nH+]c2)CCOCC1 ZINC000344363381 534544085 /nfs/dbraw/zinc/54/40/85/534544085.db2.gz AGHNCSWBWDQDBO-UHFFFAOYSA-N 1 2 324.384 1.868 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+](C)C(C)(C)C(N)=O)c(C#N)c1C ZINC000295842997 534609213 /nfs/dbraw/zinc/60/92/13/534609213.db2.gz ZQTIDXSNJGWGHL-UHFFFAOYSA-N 1 2 308.407 1.371 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+](C)C(C)(C)C(N)=O)c(C#N)c1C ZINC000295842997 534609216 /nfs/dbraw/zinc/60/92/16/534609216.db2.gz ZQTIDXSNJGWGHL-UHFFFAOYSA-N 1 2 308.407 1.371 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CCS1 ZINC000156724521 524960226 /nfs/dbraw/zinc/96/02/26/524960226.db2.gz VHOZDHKQLXAZPR-GFCCVEGCSA-N 1 2 310.444 1.769 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CCS1 ZINC000156724521 524960234 /nfs/dbraw/zinc/96/02/34/524960234.db2.gz VHOZDHKQLXAZPR-GFCCVEGCSA-N 1 2 310.444 1.769 20 30 DDEDLO CC#CCCNC(=O)NC[C@@H]1C[C@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000349955886 526323705 /nfs/dbraw/zinc/32/37/05/526323705.db2.gz UEDJYIMKDKNCGK-GJZGRUSLSA-N 1 2 321.400 1.045 20 30 DDEDLO CC#CCCNC(=O)NC[C@@H]1C[C@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000349955886 526323711 /nfs/dbraw/zinc/32/37/11/526323711.db2.gz UEDJYIMKDKNCGK-GJZGRUSLSA-N 1 2 321.400 1.045 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3cnccc3C)CC2)C1=O ZINC000342819965 526480332 /nfs/dbraw/zinc/48/03/32/526480332.db2.gz FPZXHQYGRQBIPQ-OAHLLOKOSA-N 1 2 300.406 1.299 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2c(C)cccc2C)C1=O ZINC000337132985 526499967 /nfs/dbraw/zinc/49/99/67/526499967.db2.gz LRORICWQIKUSEE-HNNXBMFYSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2c(C)cccc2C)C1=O ZINC000337132985 526499971 /nfs/dbraw/zinc/49/99/71/526499971.db2.gz LRORICWQIKUSEE-HNNXBMFYSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@H](C)c2cccc(C#N)c2)CC1 ZINC000349244144 526540919 /nfs/dbraw/zinc/54/09/19/526540919.db2.gz OAMYCCITRCVSHA-OAHLLOKOSA-N 1 2 312.417 1.539 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1C[C@H](C)O[C@H](C(=O)OC)C1 ZINC000338348520 526542566 /nfs/dbraw/zinc/54/25/66/526542566.db2.gz LIXJNUFBQPCITG-IHRRRGAJSA-N 1 2 312.410 1.062 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1C[C@H](C)O[C@H](C(=O)OC)C1 ZINC000338348520 526542569 /nfs/dbraw/zinc/54/25/69/526542569.db2.gz LIXJNUFBQPCITG-IHRRRGAJSA-N 1 2 312.410 1.062 20 30 DDEDLO C=C(C)C[N@@H+](CC)Cc1nnnn1CCOCC(F)(F)F ZINC000447130677 526549225 /nfs/dbraw/zinc/54/92/25/526549225.db2.gz BOTANRBUFBRPFV-UHFFFAOYSA-N 1 2 307.320 1.650 20 30 DDEDLO C=C(C)C[N@H+](CC)Cc1nnnn1CCOCC(F)(F)F ZINC000447130677 526549229 /nfs/dbraw/zinc/54/92/29/526549229.db2.gz BOTANRBUFBRPFV-UHFFFAOYSA-N 1 2 307.320 1.650 20 30 DDEDLO C#CC(C)(C)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000491669156 526659512 /nfs/dbraw/zinc/65/95/12/526659512.db2.gz ZNELHCUMCYDHJF-CYBMUJFWSA-N 1 2 304.394 1.011 20 30 DDEDLO C#CC(C)(C)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000491669156 526659517 /nfs/dbraw/zinc/65/95/17/526659517.db2.gz ZNELHCUMCYDHJF-CYBMUJFWSA-N 1 2 304.394 1.011 20 30 DDEDLO C=C(C)Cn1c(C2CC2)nnc1N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000338795214 526725147 /nfs/dbraw/zinc/72/51/47/526725147.db2.gz OJEPUWQNVJAVHQ-OAHLLOKOSA-N 1 2 317.437 1.643 20 30 DDEDLO C=C(C)[C@H](CC(=O)NC(C)(C)c1noc(C[NH+](C)C)n1)OCC ZINC000339192467 526810963 /nfs/dbraw/zinc/81/09/63/526810963.db2.gz GAVAFMGGQMBFAS-LBPRGKRZSA-N 1 2 324.425 1.854 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000329886036 526838887 /nfs/dbraw/zinc/83/88/87/526838887.db2.gz VNIAJPGRPJNPGP-GDBMZVCRSA-N 1 2 318.421 1.046 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@H]3CCS(=O)(=O)[C@H]3C2)cc1 ZINC000348788124 526875555 /nfs/dbraw/zinc/87/55/55/526875555.db2.gz YWNISZCDKHCVCX-ZBFHGGJFSA-N 1 2 307.415 1.870 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@H]3CCS(=O)(=O)[C@H]3C2)cc1 ZINC000348788124 526875558 /nfs/dbraw/zinc/87/55/58/526875558.db2.gz YWNISZCDKHCVCX-ZBFHGGJFSA-N 1 2 307.415 1.870 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@H](C)c2cccc(Cl)c2)CC1 ZINC000491209124 527003008 /nfs/dbraw/zinc/00/30/08/527003008.db2.gz BYVUAGKBYZCZSY-CQSZACIVSA-N 1 2 319.836 1.768 20 30 DDEDLO C=CCCCC(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000343323343 527111887 /nfs/dbraw/zinc/11/18/87/527111887.db2.gz JPGSXGNEZFTRHB-UHFFFAOYSA-N 1 2 316.405 1.764 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494573756 527125484 /nfs/dbraw/zinc/12/54/84/527125484.db2.gz UEKRXTLZYIMFDG-HNNXBMFYSA-N 1 2 300.358 1.725 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494573756 527125490 /nfs/dbraw/zinc/12/54/90/527125490.db2.gz UEKRXTLZYIMFDG-HNNXBMFYSA-N 1 2 300.358 1.725 20 30 DDEDLO C=CCCCNC(=O)NC[C@@H]1C[C@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000350043428 527219843 /nfs/dbraw/zinc/21/98/43/527219843.db2.gz VZMYIJYGGABQKY-GJZGRUSLSA-N 1 2 323.416 1.598 20 30 DDEDLO C=CCCCNC(=O)NC[C@@H]1C[C@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000350043428 527219851 /nfs/dbraw/zinc/21/98/51/527219851.db2.gz VZMYIJYGGABQKY-GJZGRUSLSA-N 1 2 323.416 1.598 20 30 DDEDLO C=CCC[N@@H+](C)CC(=O)Nc1cc(S(C)(=O)=O)ccc1F ZINC000342727137 527320817 /nfs/dbraw/zinc/32/08/17/527320817.db2.gz AXEKTOAFPQAAHI-UHFFFAOYSA-N 1 2 314.382 1.676 20 30 DDEDLO C=CCC[N@H+](C)CC(=O)Nc1cc(S(C)(=O)=O)ccc1F ZINC000342727137 527320821 /nfs/dbraw/zinc/32/08/21/527320821.db2.gz AXEKTOAFPQAAHI-UHFFFAOYSA-N 1 2 314.382 1.676 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[NH+](Cc2cccnc2)CC1)C(C)C ZINC000491022936 527387751 /nfs/dbraw/zinc/38/77/51/527387751.db2.gz UEUURESEMHNUTA-INIZCTEOSA-N 1 2 300.406 1.567 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@@H](O)COc1ccc2ccccc2c1 ZINC000491759866 527428800 /nfs/dbraw/zinc/42/88/00/527428800.db2.gz OEFLWWIWFLKGAB-ZWKOTPCHSA-N 1 2 311.381 1.914 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@@H](O)COc1ccc2ccccc2c1 ZINC000491759866 527428805 /nfs/dbraw/zinc/42/88/05/527428805.db2.gz OEFLWWIWFLKGAB-ZWKOTPCHSA-N 1 2 311.381 1.914 20 30 DDEDLO CC(C)C(=O)N1CCC[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000346232264 527473800 /nfs/dbraw/zinc/47/38/00/527473800.db2.gz AUGXJDKVMNPMFD-AWEZNQCLSA-N 1 2 320.437 1.128 20 30 DDEDLO CC(C)C(=O)N1CCC[N@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000346232264 527473808 /nfs/dbraw/zinc/47/38/08/527473808.db2.gz AUGXJDKVMNPMFD-AWEZNQCLSA-N 1 2 320.437 1.128 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)NCC2(C#N)CCC2)CC1 ZINC000332432659 527501045 /nfs/dbraw/zinc/50/10/45/527501045.db2.gz BGYWLRQGTKEAJJ-UHFFFAOYSA-N 1 2 307.398 1.321 20 30 DDEDLO C=CCCn1cc(C(=O)NCCn2c(C)[nH+]c3ccccc32)nn1 ZINC000424538445 527522644 /nfs/dbraw/zinc/52/26/44/527522644.db2.gz IDQMDHURYCGULA-UHFFFAOYSA-N 1 2 324.388 1.942 20 30 DDEDLO CC(C)(C)NC(=O)N1CCC(NC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC000331054185 527557983 /nfs/dbraw/zinc/55/79/83/527557983.db2.gz NUXHIADULGQNRQ-UHFFFAOYSA-N 1 2 321.425 1.635 20 30 DDEDLO CC(C)(C)NC(=O)N1CCC(NC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC000331054185 527557990 /nfs/dbraw/zinc/55/79/90/527557990.db2.gz NUXHIADULGQNRQ-UHFFFAOYSA-N 1 2 321.425 1.635 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000330812596 527638226 /nfs/dbraw/zinc/63/82/26/527638226.db2.gz KOCYQEMYRBNNGH-SJCJKPOMSA-N 1 2 305.422 1.939 20 30 DDEDLO CC1(C)C[S@@](=O)CC[N@@H+]1CCC(=O)Nc1ccccc1C#N ZINC000331359101 527771046 /nfs/dbraw/zinc/77/10/46/527771046.db2.gz WAYJIIRPSPBIKV-QFIPXVFZSA-N 1 2 319.430 1.730 20 30 DDEDLO CC1(C)C[S@@](=O)CC[N@H+]1CCC(=O)Nc1ccccc1C#N ZINC000331359101 527771054 /nfs/dbraw/zinc/77/10/54/527771054.db2.gz WAYJIIRPSPBIKV-QFIPXVFZSA-N 1 2 319.430 1.730 20 30 DDEDLO CC(C)OCCCC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000344814245 527912665 /nfs/dbraw/zinc/91/26/65/527912665.db2.gz ONHCVSNOOGQECF-UHFFFAOYSA-N 1 2 311.426 1.266 20 30 DDEDLO CCC(=O)N1CSC[C@@H]1C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000330302015 528381618 /nfs/dbraw/zinc/38/16/18/528381618.db2.gz JGRBTSDCWFBONJ-WDEREUQCSA-N 1 2 308.407 1.466 20 30 DDEDLO CC(C)c1nnc(NC(=O)C[N@@H+]2C[C@@H]3CC[C@@H](O)[C@H]3C2)s1 ZINC000329610149 528452929 /nfs/dbraw/zinc/45/29/29/528452929.db2.gz BYTXVVCASIFDJA-GARJFASQSA-N 1 2 310.423 1.952 20 30 DDEDLO CC(C)c1nnc(NC(=O)C[N@H+]2C[C@@H]3CC[C@@H](O)[C@H]3C2)s1 ZINC000329610149 528452936 /nfs/dbraw/zinc/45/29/36/528452936.db2.gz BYTXVVCASIFDJA-GARJFASQSA-N 1 2 310.423 1.952 20 30 DDEDLO CCOC(=O)C1([N@H+](C)CC(=O)NC2(C#N)CCC2)CCCCC1 ZINC000346979194 528602847 /nfs/dbraw/zinc/60/28/47/528602847.db2.gz ARPFIIOGXFASIZ-UHFFFAOYSA-N 1 2 321.421 1.747 20 30 DDEDLO CCOC(=O)C1([N@@H+](C)CC(=O)NC2(C#N)CCC2)CCCCC1 ZINC000346979194 528602852 /nfs/dbraw/zinc/60/28/52/528602852.db2.gz ARPFIIOGXFASIZ-UHFFFAOYSA-N 1 2 321.421 1.747 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@@H+]2CCC[C@@](C)(F)C2)CC1 ZINC000451119006 528900748 /nfs/dbraw/zinc/90/07/48/528900748.db2.gz YYXRFLSNCTZQEX-DOTOQJQBSA-N 1 2 324.444 1.503 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@H+]2CCC[C@@](C)(F)C2)CC1 ZINC000451119006 528900752 /nfs/dbraw/zinc/90/07/52/528900752.db2.gz YYXRFLSNCTZQEX-DOTOQJQBSA-N 1 2 324.444 1.503 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNc1c(C#N)cccc1[N+](=O)[O-] ZINC000425234807 529132512 /nfs/dbraw/zinc/13/25/12/529132512.db2.gz ZXHDEJRNSAVNEB-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNc1c(C#N)cccc1[N+](=O)[O-] ZINC000425234807 529132513 /nfs/dbraw/zinc/13/25/13/529132513.db2.gz ZXHDEJRNSAVNEB-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@@H](C(=O)NC1(C#N)CCOCC1)[N@@H+]1CCO[C@@H](CC)C1 ZINC000330446453 529280805 /nfs/dbraw/zinc/28/08/05/529280805.db2.gz HMJLJJFWLDSURY-KBPBESRZSA-N 1 2 309.410 1.065 20 30 DDEDLO CC[C@@H](C(=O)NC1(C#N)CCOCC1)[N@H+]1CCO[C@@H](CC)C1 ZINC000330446453 529280807 /nfs/dbraw/zinc/28/08/07/529280807.db2.gz HMJLJJFWLDSURY-KBPBESRZSA-N 1 2 309.410 1.065 20 30 DDEDLO CC(C)(C)[C@H](NC(=O)CO[NH+]=C(N)CCO)c1cccs1 ZINC000121424427 696709998 /nfs/dbraw/zinc/70/99/98/696709998.db2.gz HRMGQGZKCNYZAH-CYBMUJFWSA-N 1 2 313.423 1.623 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccsc3)C2)C1 ZINC000972244347 695188121 /nfs/dbraw/zinc/18/81/21/695188121.db2.gz WEIYHWACGROMKG-QGZVFWFLSA-N 1 2 318.442 1.617 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccsc3)C2)C1 ZINC000972244347 695188124 /nfs/dbraw/zinc/18/81/24/695188124.db2.gz WEIYHWACGROMKG-QGZVFWFLSA-N 1 2 318.442 1.617 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3oc(C)nc3C)C2)C1 ZINC000972316878 695214261 /nfs/dbraw/zinc/21/42/61/695214261.db2.gz PSUXCWQPSSNVKV-QGZVFWFLSA-N 1 2 317.389 1.232 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3oc(C)nc3C)C2)C1 ZINC000972316878 695214262 /nfs/dbraw/zinc/21/42/62/695214262.db2.gz PSUXCWQPSSNVKV-QGZVFWFLSA-N 1 2 317.389 1.232 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3C)C2)C1 ZINC000972329328 695217781 /nfs/dbraw/zinc/21/77/81/695217781.db2.gz VBFOBDWHYSRTCX-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3C)C2)C1 ZINC000972329328 695217783 /nfs/dbraw/zinc/21/77/83/695217783.db2.gz VBFOBDWHYSRTCX-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)nc(C)c3)C2)C1 ZINC000972400358 695240227 /nfs/dbraw/zinc/24/02/27/695240227.db2.gz UGNWGAQJIDSWIG-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)nc(C)c3)C2)C1 ZINC000972400358 695240230 /nfs/dbraw/zinc/24/02/30/695240230.db2.gz UGNWGAQJIDSWIG-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C(C)(C)C)C2)C1 ZINC000972435341 695250558 /nfs/dbraw/zinc/25/05/58/695250558.db2.gz NVDNGNIGUWCWHK-CRAIPNDOSA-N 1 2 306.450 1.995 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C(C)(C)C)C2)C1 ZINC000972435341 695250560 /nfs/dbraw/zinc/25/05/60/695250560.db2.gz NVDNGNIGUWCWHK-CRAIPNDOSA-N 1 2 306.450 1.995 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C34CCC(CC3)C4)C2)C1 ZINC000972437028 695251150 /nfs/dbraw/zinc/25/11/50/695251150.db2.gz HMGKZQRUORWWIF-KVZIAJEVSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C34CCC(CC3)C4)C2)C1 ZINC000972437028 695251151 /nfs/dbraw/zinc/25/11/51/695251151.db2.gz HMGKZQRUORWWIF-KVZIAJEVSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3CC=CCC3)C2)C1 ZINC000972503603 695266654 /nfs/dbraw/zinc/26/66/54/695266654.db2.gz HEUNGFIVBCBRKU-FUHWJXTLSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3CC=CCC3)C2)C1 ZINC000972503603 695266655 /nfs/dbraw/zinc/26/66/55/695266655.db2.gz HEUNGFIVBCBRKU-FUHWJXTLSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cocc3C)C2)C1 ZINC000972506572 695267408 /nfs/dbraw/zinc/26/74/08/695267408.db2.gz LJIJJDWJZJZOOL-QGZVFWFLSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cocc3C)C2)C1 ZINC000972506572 695267409 /nfs/dbraw/zinc/26/74/09/695267409.db2.gz LJIJJDWJZJZOOL-QGZVFWFLSA-N 1 2 302.374 1.528 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C=C)cc3)C2)C1 ZINC000972563137 695284306 /nfs/dbraw/zinc/28/43/06/695284306.db2.gz MPTORDWOLRBYRX-IBGZPJMESA-N 1 2 310.397 1.880 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C=C)cc3)C2)C1 ZINC000972563137 695284307 /nfs/dbraw/zinc/28/43/07/695284307.db2.gz MPTORDWOLRBYRX-IBGZPJMESA-N 1 2 310.397 1.880 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCO[C@H]3C(=C)C)C2)C1 ZINC000972589473 695291208 /nfs/dbraw/zinc/29/12/08/695291208.db2.gz IBEVGSTXJIIGMS-XYJFISCASA-N 1 2 320.433 1.457 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCO[C@H]3C(=C)C)C2)C1 ZINC000972589473 695291211 /nfs/dbraw/zinc/29/12/11/695291211.db2.gz IBEVGSTXJIIGMS-XYJFISCASA-N 1 2 320.433 1.457 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccnc(C)c3)C2)C1 ZINC000972604339 695296463 /nfs/dbraw/zinc/29/64/63/695296463.db2.gz GAMLOZNUZUQGIJ-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccnc(C)c3)C2)C1 ZINC000972604339 695296464 /nfs/dbraw/zinc/29/64/64/695296464.db2.gz GAMLOZNUZUQGIJ-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO C[C@H](c1ccc([S@](C)=O)cc1)[N@H+](C)CC(=O)NCCC#N ZINC000747533294 700075001 /nfs/dbraw/zinc/07/50/01/700075001.db2.gz WVQXRINYOAQSSY-GTJPDFRWSA-N 1 2 307.419 1.447 20 30 DDEDLO C[C@H](c1ccc([S@](C)=O)cc1)[N@@H+](C)CC(=O)NCCC#N ZINC000747533294 700075003 /nfs/dbraw/zinc/07/50/03/700075003.db2.gz WVQXRINYOAQSSY-GTJPDFRWSA-N 1 2 307.419 1.447 20 30 DDEDLO C=CC1CC[NH+](Cn2nc(CCC(N)=O)n(C)c2=S)CC1 ZINC000111166775 696642358 /nfs/dbraw/zinc/64/23/58/696642358.db2.gz GUJGWHCECMNWLE-UHFFFAOYSA-N 1 2 309.439 1.224 20 30 DDEDLO C#CCSCCNC(=O)[C@H](C(C)C)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000130415533 696797546 /nfs/dbraw/zinc/79/75/46/696797546.db2.gz UCMUBQCRHNLIBT-KFWWJZLASA-N 1 2 312.479 1.603 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)c2cnccn2)CC1 ZINC000980645020 696825714 /nfs/dbraw/zinc/82/57/14/696825714.db2.gz PXEZZVLDSFFJFJ-UHFFFAOYSA-N 1 2 321.384 1.696 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)c2cnccn2)CC1 ZINC000980645020 696825716 /nfs/dbraw/zinc/82/57/16/696825716.db2.gz PXEZZVLDSFFJFJ-UHFFFAOYSA-N 1 2 321.384 1.696 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)[nH]1 ZINC000981671704 696868579 /nfs/dbraw/zinc/86/85/79/696868579.db2.gz FNLDAXFOJSOOPH-VXGBXAGGSA-N 1 2 316.409 1.258 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)[nH]1 ZINC000981671704 696868582 /nfs/dbraw/zinc/86/85/82/696868582.db2.gz FNLDAXFOJSOOPH-VXGBXAGGSA-N 1 2 316.409 1.258 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](Cc2cc(C3CC3)no2)CC1 ZINC000980848716 696916822 /nfs/dbraw/zinc/91/68/22/696916822.db2.gz AOFHKFITABAMSG-GFCCVEGCSA-N 1 2 302.378 1.746 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](Cc2cc(C3CC3)no2)CC1 ZINC000980848716 696916824 /nfs/dbraw/zinc/91/68/24/696916824.db2.gz AOFHKFITABAMSG-GFCCVEGCSA-N 1 2 302.378 1.746 20 30 DDEDLO COc1cc(C[N@@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)ccn1 ZINC000980848499 696917226 /nfs/dbraw/zinc/91/72/26/696917226.db2.gz YYJXLNQTYWZSDT-ZDUSSCGKSA-N 1 2 302.378 1.284 20 30 DDEDLO COc1cc(C[N@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)ccn1 ZINC000980848499 696917229 /nfs/dbraw/zinc/91/72/29/696917229.db2.gz YYJXLNQTYWZSDT-ZDUSSCGKSA-N 1 2 302.378 1.284 20 30 DDEDLO Cc1cc(NC(=O)CO[NH+]=C(N)Cc2ccccc2)n(C)n1 ZINC000176289839 697403719 /nfs/dbraw/zinc/40/37/19/697403719.db2.gz PVYDSGAAALVPMW-UHFFFAOYSA-N 1 2 301.350 1.199 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(Cc2cccc(C#N)c2F)CC1 ZINC000774683253 697911184 /nfs/dbraw/zinc/91/11/84/697911184.db2.gz MOJNZSZNESTTON-OAQYLSRUSA-N 1 2 309.410 1.193 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)c1)CN1CCC2(CCOCC2)C1=O ZINC000777519847 698197376 /nfs/dbraw/zinc/19/73/76/698197376.db2.gz XTOIRFIXCCOVTO-UHFFFAOYSA-N 1 2 313.401 1.977 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)c1)CN1CCC2(CCOCC2)C1=O ZINC000777519847 698197377 /nfs/dbraw/zinc/19/73/77/698197377.db2.gz XTOIRFIXCCOVTO-UHFFFAOYSA-N 1 2 313.401 1.977 20 30 DDEDLO C#CC[N@H+](C[C@H]1CCc2ccccc21)[C@H]1CCS(=O)(=O)C1 ZINC000780303909 698505222 /nfs/dbraw/zinc/50/52/22/698505222.db2.gz OPWSAZLRJKLNAK-CVEARBPZSA-N 1 2 303.427 1.839 20 30 DDEDLO C#CC[N@@H+](C[C@H]1CCc2ccccc21)[C@H]1CCS(=O)(=O)C1 ZINC000780303909 698505223 /nfs/dbraw/zinc/50/52/23/698505223.db2.gz OPWSAZLRJKLNAK-CVEARBPZSA-N 1 2 303.427 1.839 20 30 DDEDLO NC(=[NH+]OC[C@H]1CNC(=O)O1)c1ccc(Br)cc1 ZINC000800869073 700251205 /nfs/dbraw/zinc/25/12/05/700251205.db2.gz XRFSXVQKAZCZMQ-SECBINFHSA-N 1 2 314.139 1.194 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cc(C(=O)NCC)ccc1C ZINC000730098164 699500977 /nfs/dbraw/zinc/50/09/77/699500977.db2.gz XXDCDBGKEABIRX-INIZCTEOSA-N 1 2 313.401 1.781 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cc(C(=O)NCC)ccc1C ZINC000730098164 699500978 /nfs/dbraw/zinc/50/09/78/699500978.db2.gz XXDCDBGKEABIRX-INIZCTEOSA-N 1 2 313.401 1.781 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(C)CC(=O)Nc1cccc(C#C)c1 ZINC000730097736 699501070 /nfs/dbraw/zinc/50/10/70/699501070.db2.gz IQOIXDHSOBRVCA-KRWDZBQOSA-N 1 2 323.396 1.162 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)CC(=O)Nc1cccc(C#C)c1 ZINC000730097736 699501071 /nfs/dbraw/zinc/50/10/71/699501071.db2.gz IQOIXDHSOBRVCA-KRWDZBQOSA-N 1 2 323.396 1.162 20 30 DDEDLO CO[C@@H](C)C[N@H+](C)Cc1nc2ccccc2c(=O)n1CC#N ZINC000796641267 699944306 /nfs/dbraw/zinc/94/43/06/699944306.db2.gz LAWUZXCCXSKHPN-LBPRGKRZSA-N 1 2 300.362 1.387 20 30 DDEDLO CO[C@@H](C)C[N@@H+](C)Cc1nc2ccccc2c(=O)n1CC#N ZINC000796641267 699944308 /nfs/dbraw/zinc/94/43/08/699944308.db2.gz LAWUZXCCXSKHPN-LBPRGKRZSA-N 1 2 300.362 1.387 20 30 DDEDLO N#Cc1ccc(NC(=O)CC[N@@H+]2CCO[C@@H](C(F)F)C2)cc1 ZINC000801565760 700322298 /nfs/dbraw/zinc/32/22/98/700322298.db2.gz ILUWMJDQINPBNM-CYBMUJFWSA-N 1 2 309.316 1.853 20 30 DDEDLO N#Cc1ccc(NC(=O)CC[N@H+]2CCO[C@@H](C(F)F)C2)cc1 ZINC000801565760 700322301 /nfs/dbraw/zinc/32/23/01/700322301.db2.gz ILUWMJDQINPBNM-CYBMUJFWSA-N 1 2 309.316 1.853 20 30 DDEDLO C[N@H+](CC(=O)[C@@H](C#N)C(=O)Nc1ccccn1)[C@H]1CCSC1 ZINC000753951932 700470920 /nfs/dbraw/zinc/47/09/20/700470920.db2.gz ZNCWIZPWYOYHFN-NWDGAFQWSA-N 1 2 318.402 1.166 20 30 DDEDLO C[N@@H+](CC(=O)[C@@H](C#N)C(=O)Nc1ccccn1)[C@H]1CCSC1 ZINC000753951932 700470921 /nfs/dbraw/zinc/47/09/21/700470921.db2.gz ZNCWIZPWYOYHFN-NWDGAFQWSA-N 1 2 318.402 1.166 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)N[C@](CC)(c2cnn(C)c2)C1=O)C(C)C ZINC000755728154 700580261 /nfs/dbraw/zinc/58/02/61/700580261.db2.gz PTDXFYXKFONFJM-MRXNPFEDSA-N 1 2 319.409 1.431 20 30 DDEDLO C=CC[N@H+](CN1C(=O)N[C@](CC)(c2cnn(C)c2)C1=O)C(C)C ZINC000755728154 700580263 /nfs/dbraw/zinc/58/02/63/700580263.db2.gz PTDXFYXKFONFJM-MRXNPFEDSA-N 1 2 319.409 1.431 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H](C#N)[C@H]([NH2+]CC2=CCCOC2)C1 ZINC000758030266 700681411 /nfs/dbraw/zinc/68/14/11/700681411.db2.gz PLZNKSZOQSULSW-ZIAGYGMSSA-N 1 2 307.394 1.682 20 30 DDEDLO C#CCNC(=S)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000758189785 700688054 /nfs/dbraw/zinc/68/80/54/700688054.db2.gz NJKQUHCCQHAOCC-UHFFFAOYSA-N 1 2 303.431 1.191 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)CCCCCC)[C@H]1CCS(=O)(=O)C1 ZINC000759390224 700749655 /nfs/dbraw/zinc/74/96/55/700749655.db2.gz RXTKIKBGYLVSOP-GJZGRUSLSA-N 1 2 303.468 1.993 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)CCCCCC)[C@H]1CCS(=O)(=O)C1 ZINC000759390224 700749657 /nfs/dbraw/zinc/74/96/57/700749657.db2.gz RXTKIKBGYLVSOP-GJZGRUSLSA-N 1 2 303.468 1.993 20 30 DDEDLO NC(=[NH+]OCC1CCS(=O)(=O)CC1)c1ccc(F)cc1 ZINC000760550588 700813823 /nfs/dbraw/zinc/81/38/23/700813823.db2.gz DUYFXUDSTDWAPY-UHFFFAOYSA-N 1 2 300.355 1.287 20 30 DDEDLO C#CCC[NH+]1CCC(NC(=O)c2cc(F)c(O)c(F)c2)CC1 ZINC000762606046 700900108 /nfs/dbraw/zinc/90/01/08/700900108.db2.gz QVBKICRGQKNPNC-UHFFFAOYSA-N 1 2 308.328 1.888 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@H+](CC)CC(=O)NC ZINC000764201116 700963476 /nfs/dbraw/zinc/96/34/76/700963476.db2.gz GRLCFJBSQPJENZ-ZDUSSCGKSA-N 1 2 323.462 1.719 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@@H+](CC)CC(=O)NC ZINC000764201116 700963478 /nfs/dbraw/zinc/96/34/78/700963478.db2.gz GRLCFJBSQPJENZ-ZDUSSCGKSA-N 1 2 323.462 1.719 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCSCC3)nc[nH+]2)nc1 ZINC000764900368 700990818 /nfs/dbraw/zinc/99/08/18/700990818.db2.gz TXLQECHETXWWRO-UHFFFAOYSA-N 1 2 312.402 1.909 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCSCC3)[nH+]cn2)nc1 ZINC000764900368 700990819 /nfs/dbraw/zinc/99/08/19/700990819.db2.gz TXLQECHETXWWRO-UHFFFAOYSA-N 1 2 312.402 1.909 20 30 DDEDLO C[N@H+](C[C@@H]1CCCCO1)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766611991 701056546 /nfs/dbraw/zinc/05/65/46/701056546.db2.gz KNWMYXXHBVDTTC-AWEZNQCLSA-N 1 2 301.390 1.667 20 30 DDEDLO C[N@@H+](C[C@@H]1CCCCO1)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766611991 701056547 /nfs/dbraw/zinc/05/65/47/701056547.db2.gz KNWMYXXHBVDTTC-AWEZNQCLSA-N 1 2 301.390 1.667 20 30 DDEDLO COC1(C)CC[NH+](Cn2cc3c(c(C#N)c2=O)CCC3)CC1 ZINC000766631182 701057385 /nfs/dbraw/zinc/05/73/85/701057385.db2.gz CXUQDQMVHDHFOM-UHFFFAOYSA-N 1 2 301.390 1.667 20 30 DDEDLO COC(=O)C[C@@H]1CCC[N@@H+]1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766633774 701057746 /nfs/dbraw/zinc/05/77/46/701057746.db2.gz SHTWATVSCGKKKA-ZDUSSCGKSA-N 1 2 315.373 1.194 20 30 DDEDLO COC(=O)C[C@@H]1CCC[N@H+]1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766633774 701057748 /nfs/dbraw/zinc/05/77/48/701057748.db2.gz SHTWATVSCGKKKA-ZDUSSCGKSA-N 1 2 315.373 1.194 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000803669220 701133366 /nfs/dbraw/zinc/13/33/66/701133366.db2.gz KAHOLEXRMHXMFY-UHFFFAOYSA-N 1 2 317.389 1.524 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccoc3)n2CC2CC2)CC1 ZINC000830863284 706593196 /nfs/dbraw/zinc/59/31/96/706593196.db2.gz NKTNCMJDLULIKQ-UHFFFAOYSA-N 1 2 311.389 1.703 20 30 DDEDLO Cc1c(C#N)c2[nH]c3ccccc3n2c(=O)c1C=[NH+]NC[C@H](C)O ZINC000814959326 701776123 /nfs/dbraw/zinc/77/61/23/701776123.db2.gz AICMGLIPLOJACV-JTQLQIEISA-N 1 2 323.356 1.265 20 30 DDEDLO COC(=O)c1ccccc1N[NH+]=Cc1cnc(N(C)C)n1C ZINC000811647150 702021055 /nfs/dbraw/zinc/02/10/55/702021055.db2.gz YVRXHEOGNWTSNE-UHFFFAOYSA-N 1 2 301.350 1.719 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@H](CNc3ncncc3C#N)C2)c1 ZINC000866189534 706643847 /nfs/dbraw/zinc/64/38/47/706643847.db2.gz AZLOGAOFWVHIIG-QGZVFWFLSA-N 1 2 323.400 1.392 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@H](CNc3ncncc3C#N)C2)c1 ZINC000866189534 706643848 /nfs/dbraw/zinc/64/38/48/706643848.db2.gz AZLOGAOFWVHIIG-QGZVFWFLSA-N 1 2 323.400 1.392 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@H](CNc3cc(C#N)ncn3)C2)cc1 ZINC000866190851 706644280 /nfs/dbraw/zinc/64/42/80/706644280.db2.gz NZHZBEOWQUFTNE-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@H](CNc3cc(C#N)ncn3)C2)cc1 ZINC000866190851 706644282 /nfs/dbraw/zinc/64/42/82/706644282.db2.gz NZHZBEOWQUFTNE-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO C#C[C@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000868490494 702159030 /nfs/dbraw/zinc/15/90/30/702159030.db2.gz XMJAZSLJKYOYFB-QGZUEGPWSA-N 1 2 302.349 1.505 20 30 DDEDLO C[C@@H]([NH2+]C[C@@H]1CN(C2CC2)C(=O)O1)c1cccc(C#N)c1O ZINC000866387107 706687461 /nfs/dbraw/zinc/68/74/61/706687461.db2.gz NCOUXHWVIHGKQH-ZWNOBZJWSA-N 1 2 301.346 1.898 20 30 DDEDLO C#CC[C@@H]1NC(=O)N([C@H](Cc2[nH+]ccn2C)c2ccccc2)C1=O ZINC000842239311 702687362 /nfs/dbraw/zinc/68/73/62/702687362.db2.gz GEVGDSVPBIYLNF-LSDHHAIUSA-N 1 2 322.368 1.648 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1snc(C)c1C#N ZINC000879527041 706709288 /nfs/dbraw/zinc/70/92/88/706709288.db2.gz FNAKWNVNSFBIHV-LLVKDONJSA-N 1 2 323.422 1.556 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1snc(C)c1C#N ZINC000879527041 706709290 /nfs/dbraw/zinc/70/92/90/706709290.db2.gz FNAKWNVNSFBIHV-LLVKDONJSA-N 1 2 323.422 1.556 20 30 DDEDLO C=C[C@@H](C)ONC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000844284318 703004561 /nfs/dbraw/zinc/00/45/61/703004561.db2.gz SGVVOOJPCJRSLD-GDBMZVCRSA-N 1 2 319.405 1.693 20 30 DDEDLO C=C[C@@H](C)ONC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000844284318 703004565 /nfs/dbraw/zinc/00/45/65/703004565.db2.gz SGVVOOJPCJRSLD-GDBMZVCRSA-N 1 2 319.405 1.693 20 30 DDEDLO Cc1cc(NC(=O)C[N@H+](C)C[C@H](O)c2cccc(C#N)c2)on1 ZINC000844365723 703020124 /nfs/dbraw/zinc/02/01/24/703020124.db2.gz DOVGESHEABNZAO-AWEZNQCLSA-N 1 2 314.345 1.459 20 30 DDEDLO Cc1cc(NC(=O)C[N@@H+](C)C[C@H](O)c2cccc(C#N)c2)on1 ZINC000844365723 703020126 /nfs/dbraw/zinc/02/01/26/703020126.db2.gz DOVGESHEABNZAO-AWEZNQCLSA-N 1 2 314.345 1.459 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1CC(=O)N(CN2Cc3cccc(C#N)c3C2)C1 ZINC000844759463 703070001 /nfs/dbraw/zinc/07/00/01/703070001.db2.gz JUBAMKDWKNTRRF-HNNXBMFYSA-N 1 2 321.384 1.581 20 30 DDEDLO C=CC[N@@H+](CC(=O)[O-])C[C@@H]1C[NH+](Cc2ccccc2)CCCO1 ZINC000846262766 703257594 /nfs/dbraw/zinc/25/75/94/703257594.db2.gz KSVCLPFRLSNYNK-QGZVFWFLSA-N 1 2 318.417 1.850 20 30 DDEDLO C=CC[N@H+](CC(=O)[O-])C[C@@H]1C[NH+](Cc2ccccc2)CCCO1 ZINC000846262766 703257596 /nfs/dbraw/zinc/25/75/96/703257596.db2.gz KSVCLPFRLSNYNK-QGZVFWFLSA-N 1 2 318.417 1.850 20 30 DDEDLO C=CC[NH+](CC(=O)[O-])C[C@@H]1C[N@H+](Cc2ccccc2)CCCO1 ZINC000846262766 703257597 /nfs/dbraw/zinc/25/75/97/703257597.db2.gz KSVCLPFRLSNYNK-QGZVFWFLSA-N 1 2 318.417 1.850 20 30 DDEDLO C=CC[NH+](CC(=O)[O-])C[C@@H]1C[N@@H+](Cc2ccccc2)CCCO1 ZINC000846262766 703257599 /nfs/dbraw/zinc/25/75/99/703257599.db2.gz KSVCLPFRLSNYNK-QGZVFWFLSA-N 1 2 318.417 1.850 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CCS(=O)(=O)CC(=O)OC(C)(C)C)C1 ZINC000847017236 703366270 /nfs/dbraw/zinc/36/62/70/703366270.db2.gz ABIMXAHIXCKXRP-ZDUSSCGKSA-N 1 2 315.435 1.088 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CCS(=O)(=O)CC(=O)OC(C)(C)C)C1 ZINC000847017236 703366271 /nfs/dbraw/zinc/36/62/71/703366271.db2.gz ABIMXAHIXCKXRP-ZDUSSCGKSA-N 1 2 315.435 1.088 20 30 DDEDLO N#CCOc1cc(F)ccc1NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000866619026 706745230 /nfs/dbraw/zinc/74/52/30/706745230.db2.gz PGEOPFFCCCFNRI-OWOJBTEDSA-N 1 2 319.336 1.555 20 30 DDEDLO C(=NNCCCn1cc[nH+]c1)c1ncc(C2OCCO2)s1 ZINC000848416859 703547907 /nfs/dbraw/zinc/54/79/07/703547907.db2.gz AXQDEVNWEMWQOY-UHFFFAOYSA-N 1 2 307.379 1.399 20 30 DDEDLO O=C1C(=NNCCCn2cc[nH+]c2)CCN1Cc1ccccc1 ZINC000848418184 703548112 /nfs/dbraw/zinc/54/81/12/703548112.db2.gz KVNCDZGWYZDKSL-UHFFFAOYSA-N 1 2 311.389 1.651 20 30 DDEDLO COc1cc(C=NNCCCn2cc[nH+]c2)c([N+](=O)[O-])cc1O ZINC000848417847 703548125 /nfs/dbraw/zinc/54/81/25/703548125.db2.gz GFOYDSTZSIOBHY-UHFFFAOYSA-N 1 2 319.321 1.519 20 30 DDEDLO COc1c(O)c(C=NNCCCn2cc[nH+]c2)ccc1[N+](=O)[O-] ZINC000848417251 703548148 /nfs/dbraw/zinc/54/81/48/703548148.db2.gz RDQZAQDDOLMCEM-UHFFFAOYSA-N 1 2 319.321 1.519 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000848751431 703583912 /nfs/dbraw/zinc/58/39/12/703583912.db2.gz ZSCRXRKXNHCTDE-HUUCEWRRSA-N 1 2 300.406 1.535 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000848751431 703583913 /nfs/dbraw/zinc/58/39/13/703583913.db2.gz ZSCRXRKXNHCTDE-HUUCEWRRSA-N 1 2 300.406 1.535 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)O[C@H](C)C(=O)N(C)CCC#N)ccn12 ZINC000848780534 703588633 /nfs/dbraw/zinc/58/86/33/703588633.db2.gz KESRCGQOBJURTB-GFCCVEGCSA-N 1 2 314.345 1.560 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2cc3ccccc3[nH]2)CC1 ZINC000851745467 703842729 /nfs/dbraw/zinc/84/27/29/703842729.db2.gz FZVVLKVTSAOJSH-UHFFFAOYSA-N 1 2 311.385 1.576 20 30 DDEDLO CON(C)C(=O)CC[N@@H+]1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852332624 704014033 /nfs/dbraw/zinc/01/40/33/704014033.db2.gz TWVHIDVKHHWGEL-MRXNPFEDSA-N 1 2 302.378 1.349 20 30 DDEDLO CON(C)C(=O)CC[N@H+]1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852332624 704014041 /nfs/dbraw/zinc/01/40/41/704014041.db2.gz TWVHIDVKHHWGEL-MRXNPFEDSA-N 1 2 302.378 1.349 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1cc(C)[nH+]c(C)c1)C1CCOCC1 ZINC000852386120 704031046 /nfs/dbraw/zinc/03/10/46/704031046.db2.gz WPTNUEODICOSJT-MRXNPFEDSA-N 1 2 301.390 1.926 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@H](C)[C@](C)(CO)C1 ZINC000852703220 704102777 /nfs/dbraw/zinc/10/27/77/704102777.db2.gz NQMDMBNMLPIURF-NUTKFTJISA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@H](C)[C@](C)(CO)C1 ZINC000852703220 704102780 /nfs/dbraw/zinc/10/27/80/704102780.db2.gz NQMDMBNMLPIURF-NUTKFTJISA-N 1 2 302.374 1.723 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc(OC2COC2)cc1 ZINC000819473675 704130959 /nfs/dbraw/zinc/13/09/59/704130959.db2.gz PSGRHZBISPTHAZ-CQSZACIVSA-N 1 2 305.378 1.082 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc(OC2COC2)cc1 ZINC000819473675 704130961 /nfs/dbraw/zinc/13/09/61/704130961.db2.gz PSGRHZBISPTHAZ-CQSZACIVSA-N 1 2 305.378 1.082 20 30 DDEDLO C#CCCCOc1cccnc1NC[C@@H](C)[NH+]1CCN(C)CC1 ZINC000853258368 704226623 /nfs/dbraw/zinc/22/66/23/704226623.db2.gz NBMMPIHRHXHSEO-MRXNPFEDSA-N 1 2 316.449 1.922 20 30 DDEDLO Cc1ccc(C#N)nc1NC1CC[NH+](CCS(C)(=O)=O)CC1 ZINC000853308877 704231806 /nfs/dbraw/zinc/23/18/06/704231806.db2.gz ZJZCIYGRKXBNMG-UHFFFAOYSA-N 1 2 322.434 1.183 20 30 DDEDLO CC(C)(CNC(=O)c1cc(C#N)ccc1F)[NH+]1CCOCC1 ZINC000854241929 704371179 /nfs/dbraw/zinc/37/11/79/704371179.db2.gz XHMFPZBGOURLKI-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000854305965 704377068 /nfs/dbraw/zinc/37/70/68/704377068.db2.gz CYSWFPNGFSHNCS-KRWDZBQOSA-N 1 2 300.402 1.663 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000854305965 704377071 /nfs/dbraw/zinc/37/70/71/704377071.db2.gz CYSWFPNGFSHNCS-KRWDZBQOSA-N 1 2 300.402 1.663 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC000855302863 704478174 /nfs/dbraw/zinc/47/81/74/704478174.db2.gz OPFZKECXHVIBDT-KRWDZBQOSA-N 1 2 309.373 1.821 20 30 DDEDLO COc1cc(C(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)ccc1C#N ZINC000855406076 704482899 /nfs/dbraw/zinc/48/28/99/704482899.db2.gz BMBKYTRKVFNDEU-QWHCGFSZSA-N 1 2 317.389 1.406 20 30 DDEDLO COc1cc(C(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)ccc1C#N ZINC000855406076 704482900 /nfs/dbraw/zinc/48/29/00/704482900.db2.gz BMBKYTRKVFNDEU-QWHCGFSZSA-N 1 2 317.389 1.406 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CC[C@](OC)(C(F)(F)F)C1 ZINC000880304479 706934583 /nfs/dbraw/zinc/93/45/83/706934583.db2.gz ZVNRGNHWBWOWRS-DGCLKSJQSA-N 1 2 321.343 1.648 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@](OC)(C(F)(F)F)C1 ZINC000880304479 706934586 /nfs/dbraw/zinc/93/45/86/706934586.db2.gz ZVNRGNHWBWOWRS-DGCLKSJQSA-N 1 2 321.343 1.648 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000858860992 704765688 /nfs/dbraw/zinc/76/56/88/704765688.db2.gz WUXROEKFKNXNNH-ZIAGYGMSSA-N 1 2 304.394 1.278 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000858860992 704765690 /nfs/dbraw/zinc/76/56/90/704765690.db2.gz WUXROEKFKNXNNH-ZIAGYGMSSA-N 1 2 304.394 1.278 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000859027904 704787183 /nfs/dbraw/zinc/78/71/83/704787183.db2.gz JBNIMDUTRGMCIN-HNNXBMFYSA-N 1 2 316.405 1.342 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(OCC#N)cc2)C[C@@H](C)O1 ZINC000859649388 704962285 /nfs/dbraw/zinc/96/22/85/704962285.db2.gz KTZDHHWCEGOIDB-DOMZBBRYSA-N 1 2 304.346 1.351 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(OCC#N)cc2)C[C@@H](C)O1 ZINC000859649388 704962287 /nfs/dbraw/zinc/96/22/87/704962287.db2.gz KTZDHHWCEGOIDB-DOMZBBRYSA-N 1 2 304.346 1.351 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)C1CCOCC1 ZINC000823186551 705165497 /nfs/dbraw/zinc/16/54/97/705165497.db2.gz OKKAIOBTJFUPMH-CVEARBPZSA-N 1 2 316.405 1.658 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCCNc1ncccc1C#N ZINC000823627077 705283127 /nfs/dbraw/zinc/28/31/27/705283127.db2.gz POUDSPIYLPAJDQ-CHWSQXEVSA-N 1 2 310.361 1.019 20 30 DDEDLO CC(=O)NC[C@H]1CCC[N@H+](Cc2cc(C#N)cnc2Cl)C1 ZINC000876512278 705741444 /nfs/dbraw/zinc/74/14/44/705741444.db2.gz KNGKFEDABNQNFF-GFCCVEGCSA-N 1 2 306.797 1.955 20 30 DDEDLO CC(=O)NC[C@H]1CCC[N@@H+](Cc2cc(C#N)cnc2Cl)C1 ZINC000876512278 705741445 /nfs/dbraw/zinc/74/14/45/705741445.db2.gz KNGKFEDABNQNFF-GFCCVEGCSA-N 1 2 306.797 1.955 20 30 DDEDLO N#C[C@]1(C(=O)N2CCN(c3cccc[nH+]3)CC2)CCCOC1 ZINC000864440833 706170413 /nfs/dbraw/zinc/17/04/13/706170413.db2.gz PTEDQBLAYMLAKH-MRXNPFEDSA-N 1 2 300.362 1.051 20 30 DDEDLO CSCCNC(=S)NN=C1CCC[N@H+]2CCSC[C@H]12 ZINC000872361641 707390634 /nfs/dbraw/zinc/39/06/34/707390634.db2.gz KUBRWRYINASXMG-LLVKDONJSA-N 1 2 318.537 1.381 20 30 DDEDLO C#C[C@H](CO)NC(=O)Nc1nc(C[N@H+]2CCC[C@@H](C)C2)cs1 ZINC000878011980 706263682 /nfs/dbraw/zinc/26/36/82/706263682.db2.gz DTUVKKPEQOFOND-VXGBXAGGSA-N 1 2 322.434 1.491 20 30 DDEDLO C#C[C@H](CO)NC(=O)Nc1nc(C[N@@H+]2CCC[C@@H](C)C2)cs1 ZINC000878011980 706263686 /nfs/dbraw/zinc/26/36/86/706263686.db2.gz DTUVKKPEQOFOND-VXGBXAGGSA-N 1 2 322.434 1.491 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])c(N2CC[NH+]([C@@H]3CCOC3)CC2)cc1F ZINC000865624067 706480748 /nfs/dbraw/zinc/48/07/48/706480748.db2.gz HKXLCEGZSLGONN-GFCCVEGCSA-N 1 2 320.324 1.516 20 30 DDEDLO C#CC1CC[NH+](CCS(=O)(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000830345644 706499782 /nfs/dbraw/zinc/49/97/82/706499782.db2.gz LGBPZYFBDZDDFZ-UHFFFAOYSA-N 1 2 322.386 1.714 20 30 DDEDLO C=CCOc1ccccc1CNC(=O)/C=C/C[NH+]1CCOCC1 ZINC000865705339 706500840 /nfs/dbraw/zinc/50/08/40/706500840.db2.gz OJBMICMKAXFRBQ-VMPITWQZSA-N 1 2 316.401 1.756 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000866982275 706864041 /nfs/dbraw/zinc/86/40/41/706864041.db2.gz NCACDGUBKNZIFT-UHFFFAOYSA-N 1 2 322.365 1.605 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)Nc2ccc3c(c2)OCO3)CC1 ZINC000880480461 706987617 /nfs/dbraw/zinc/98/76/17/706987617.db2.gz UFXZWODOLPMLMZ-UHFFFAOYSA-N 1 2 316.357 1.204 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]3CCNC(=O)[C@H]32)c([N+](=O)[O-])c1 ZINC000880522984 707002055 /nfs/dbraw/zinc/00/20/55/707002055.db2.gz BSAMFTRERQCHNH-WFASDCNBSA-N 1 2 314.345 1.567 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]3CCNC(=O)[C@H]32)c([N+](=O)[O-])c1 ZINC000880522984 707002057 /nfs/dbraw/zinc/00/20/57/707002057.db2.gz BSAMFTRERQCHNH-WFASDCNBSA-N 1 2 314.345 1.567 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@@H+]1CCc2ncncc2C1 ZINC000880598595 707034199 /nfs/dbraw/zinc/03/41/99/707034199.db2.gz SYQQZHFBRBOESB-OAHLLOKOSA-N 1 2 310.357 1.146 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@H+]1CCc2ncncc2C1 ZINC000880598595 707034203 /nfs/dbraw/zinc/03/42/03/707034203.db2.gz SYQQZHFBRBOESB-OAHLLOKOSA-N 1 2 310.357 1.146 20 30 DDEDLO C[C@H](C#N)OCCn1cc(C[NH2+]Cc2ccc(F)cc2)nn1 ZINC000881324597 707197196 /nfs/dbraw/zinc/19/71/96/707197196.db2.gz XUXXLTOQUOBVJC-GFCCVEGCSA-N 1 2 303.341 1.636 20 30 DDEDLO N#C[C@]1(C(=O)N2CCC(Nc3cccc[nH+]3)CC2)CCCOC1 ZINC000927105149 712943109 /nfs/dbraw/zinc/94/31/09/712943109.db2.gz CJRDHJKOYVHXEA-QGZVFWFLSA-N 1 2 314.389 1.805 20 30 DDEDLO CSCCNC(=S)NN=C1CCC[N@@H+]2CCSC[C@H]12 ZINC000872361641 707390629 /nfs/dbraw/zinc/39/06/29/707390629.db2.gz KUBRWRYINASXMG-LLVKDONJSA-N 1 2 318.537 1.381 20 30 DDEDLO CCS(=O)(=O)C=C(C)NNc1cc(Br)nc[nH+]1 ZINC000872435983 707409079 /nfs/dbraw/zinc/40/90/79/707409079.db2.gz MWNYHNWPMSMUIZ-UHFFFAOYSA-N 1 2 321.200 1.462 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C[C@](C)(c2ccccc2F)C1=O ZINC000872623153 707519847 /nfs/dbraw/zinc/51/98/47/707519847.db2.gz OSJYQRXTGZYVJM-GOSISDBHSA-N 1 2 318.392 1.855 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C[C@](C)(c2ccccc2F)C1=O ZINC000872623153 707519851 /nfs/dbraw/zinc/51/98/51/707519851.db2.gz OSJYQRXTGZYVJM-GOSISDBHSA-N 1 2 318.392 1.855 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000837433330 707646206 /nfs/dbraw/zinc/64/62/06/707646206.db2.gz SIRBOFAVINBCOK-QAPCUYQASA-N 1 2 312.413 1.806 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000837433330 707646209 /nfs/dbraw/zinc/64/62/09/707646209.db2.gz SIRBOFAVINBCOK-QAPCUYQASA-N 1 2 312.413 1.806 20 30 DDEDLO C=CCONC(=O)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000838702361 707965680 /nfs/dbraw/zinc/96/56/80/707965680.db2.gz RHJXJRSCFCCMSZ-UHFFFAOYSA-N 1 2 319.409 1.858 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)c1ccc(OC)c(F)c1 ZINC000884059967 708121510 /nfs/dbraw/zinc/12/15/10/708121510.db2.gz KHYWQCKXDGQKJD-GWCFXTLKSA-N 1 2 324.352 1.458 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC1(c2ccccc2)CCC1 ZINC000884063505 708123001 /nfs/dbraw/zinc/12/30/01/708123001.db2.gz BXZDEYRESPKEDZ-HNNXBMFYSA-N 1 2 316.401 1.671 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCO[C@H](c2cccs2)C1 ZINC000884109376 708143424 /nfs/dbraw/zinc/14/34/24/708143424.db2.gz CONUVXHFTPOQMW-RYUDHWBXSA-N 1 2 324.402 1.095 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)Sc1ccccc1 ZINC000884155690 708164087 /nfs/dbraw/zinc/16/40/87/708164087.db2.gz IICGCFCCUAJURX-JSGCOSHPSA-N 1 2 322.430 1.730 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@@H](c2ccccc2)[C@H]1C ZINC000884158225 708165004 /nfs/dbraw/zinc/16/50/04/708165004.db2.gz UZJFOFZSBPMSMS-BMFZPTHFSA-N 1 2 316.401 1.838 20 30 DDEDLO C[C@H](O)CNc1cc(N(C)Cc2cc(C#N)cs2)nc[nH+]1 ZINC000896923904 708183929 /nfs/dbraw/zinc/18/39/29/708183929.db2.gz MSZAVLWQKLLTQI-JTQLQIEISA-N 1 2 303.391 1.839 20 30 DDEDLO C[C@H](O)CNc1cc(N(C)Cc2cc(C#N)cs2)[nH+]cn1 ZINC000896923904 708183931 /nfs/dbraw/zinc/18/39/31/708183931.db2.gz MSZAVLWQKLLTQI-JTQLQIEISA-N 1 2 303.391 1.839 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1c(F)cccc1NC1CC1 ZINC000884307482 708236636 /nfs/dbraw/zinc/23/66/36/708236636.db2.gz KTGMEMZIDHWVHZ-LBPRGKRZSA-N 1 2 321.352 1.785 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000885081891 708466339 /nfs/dbraw/zinc/46/63/39/708466339.db2.gz GSCNQCZHMDMUQV-ZIAGYGMSSA-N 1 2 321.446 1.856 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000885081891 708466341 /nfs/dbraw/zinc/46/63/41/708466341.db2.gz GSCNQCZHMDMUQV-ZIAGYGMSSA-N 1 2 321.446 1.856 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCc3cc(O)c(OC)cc3C2)C1=O ZINC000887106754 708956246 /nfs/dbraw/zinc/95/62/46/708956246.db2.gz MIDUVQYEMQINJL-CQSZACIVSA-N 1 2 302.374 1.546 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCc3cc(O)c(OC)cc3C2)C1=O ZINC000887106754 708956249 /nfs/dbraw/zinc/95/62/49/708956249.db2.gz MIDUVQYEMQINJL-CQSZACIVSA-N 1 2 302.374 1.546 20 30 DDEDLO C#CCN1CCC[C@H](NC(=O)NC[C@@H](C)Cn2cc[nH+]c2C)C1 ZINC000888026299 709194002 /nfs/dbraw/zinc/19/40/02/709194002.db2.gz VCJFARZTLYIXEJ-ZBFHGGJFSA-N 1 2 317.437 1.224 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)NC[C@H]2C[N@@H+](C)CCN2C)cc1 ZINC000900659467 709699230 /nfs/dbraw/zinc/69/92/30/709699230.db2.gz NQDRPPKTPBFXEZ-FDMDGMSGSA-N 1 2 315.417 1.096 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)NC[C@H]2C[N@H+](C)CCN2C)cc1 ZINC000900659467 709699234 /nfs/dbraw/zinc/69/92/34/709699234.db2.gz NQDRPPKTPBFXEZ-FDMDGMSGSA-N 1 2 315.417 1.096 20 30 DDEDLO C/C(=C/C(=O)Nc1ccn(CCC#N)n1)C[NH+]1CCOCC1 ZINC000900746229 709740111 /nfs/dbraw/zinc/74/01/11/709740111.db2.gz MFMJEDVGBUAAFH-QBFSEMIESA-N 1 2 303.366 1.014 20 30 DDEDLO N#Cc1ccc(C2CCN(C(=O)CCn3cc[nH+]c3)CC2)nc1 ZINC000912932709 713127388 /nfs/dbraw/zinc/12/73/88/713127388.db2.gz IFEDOMSSCAPJDS-UHFFFAOYSA-N 1 2 309.373 1.946 20 30 DDEDLO C=CC[C@@H]1CCN1C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000890554050 709913860 /nfs/dbraw/zinc/91/38/60/709913860.db2.gz HNLIPYRLBAFKOG-OAHLLOKOSA-N 1 2 303.406 1.611 20 30 DDEDLO O=C1N(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C[C@H]2CCCCN12 ZINC000902610316 710815042 /nfs/dbraw/zinc/81/50/42/710815042.db2.gz WIWMGXRVNBXXKQ-CQSZACIVSA-N 1 2 319.405 1.572 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)N2CC([N@@H+]3CC[C@@H](O)C3)C2)cc1 ZINC000911905487 711061932 /nfs/dbraw/zinc/06/19/32/711061932.db2.gz QQJQTIJQMKNDKX-FYCOFBDGSA-N 1 2 314.385 1.011 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)N2CC([N@H+]3CC[C@@H](O)C3)C2)cc1 ZINC000911905487 711061937 /nfs/dbraw/zinc/06/19/37/711061937.db2.gz QQJQTIJQMKNDKX-FYCOFBDGSA-N 1 2 314.385 1.011 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)C3(C#N)CCCCC3)CC[NH2+]2)cn1 ZINC000913468582 713229638 /nfs/dbraw/zinc/22/96/38/713229638.db2.gz OAGYGWMKNGQZMY-CQSZACIVSA-N 1 2 301.394 1.367 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2ccsc2C#N)[C@H](C)C1 ZINC000904173604 711400649 /nfs/dbraw/zinc/40/06/49/711400649.db2.gz HCERBAFTZAEACP-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2ccsc2C#N)[C@H](C)C1 ZINC000904173604 711400651 /nfs/dbraw/zinc/40/06/51/711400651.db2.gz HCERBAFTZAEACP-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2cscc2Br)CCCN1O ZINC000895159670 711436813 /nfs/dbraw/zinc/43/68/13/711436813.db2.gz WTGPFISBMVLADF-SECBINFHSA-N 1 2 305.197 1.980 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+]2CCO[C@@H](C)C2)cc(C)c1C#N ZINC000906487399 712338010 /nfs/dbraw/zinc/33/80/10/712338010.db2.gz CKPFHMURQDWQSP-AWEZNQCLSA-N 1 2 301.390 1.626 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+]2CCO[C@@H](C)C2)cc(C)c1C#N ZINC000906487399 712338011 /nfs/dbraw/zinc/33/80/11/712338011.db2.gz CKPFHMURQDWQSP-AWEZNQCLSA-N 1 2 301.390 1.626 20 30 DDEDLO C#CCCN(CC(F)(F)F)C(=O)/C=C(/C)C[NH+]1CCOCC1 ZINC000907424437 712568129 /nfs/dbraw/zinc/56/81/29/712568129.db2.gz BGRGWGMNKQYASI-RAXLEYEMSA-N 1 2 318.339 1.679 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccsc1C#N ZINC000908145326 712697768 /nfs/dbraw/zinc/69/77/68/712697768.db2.gz MMOAMQHQHQNFDH-NEPJUHHUSA-N 1 2 305.403 1.555 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2cccnc2C#N)C[C@@H]1C(C)(C)C ZINC000919598613 713620144 /nfs/dbraw/zinc/62/01/44/713620144.db2.gz XRCLXGPNTQCBLI-CQSZACIVSA-N 1 2 322.434 1.304 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2cccnc2C#N)C[C@@H]1C(C)(C)C ZINC000919598613 713620146 /nfs/dbraw/zinc/62/01/46/713620146.db2.gz XRCLXGPNTQCBLI-CQSZACIVSA-N 1 2 322.434 1.304 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccc(F)cc1C#N ZINC000930286786 713794003 /nfs/dbraw/zinc/79/40/03/713794003.db2.gz BHFHIUAESSGIMJ-LBPRGKRZSA-N 1 2 320.368 1.882 20 30 DDEDLO C[N@H+](C[C@@H](O)c1cccc(C#N)c1)C[C@@H]1CCCS1(=O)=O ZINC000930845262 713936112 /nfs/dbraw/zinc/93/61/12/713936112.db2.gz MICMYQUKKTZUJT-LSDHHAIUSA-N 1 2 308.403 1.101 20 30 DDEDLO C[N@@H+](C[C@@H](O)c1cccc(C#N)c1)C[C@@H]1CCCS1(=O)=O ZINC000930845262 713936114 /nfs/dbraw/zinc/93/61/14/713936114.db2.gz MICMYQUKKTZUJT-LSDHHAIUSA-N 1 2 308.403 1.101 20 30 DDEDLO Cn1nncc1C[NH2+]Cc1cc(C#N)ccc1Br ZINC000922130701 713969651 /nfs/dbraw/zinc/96/96/51/713969651.db2.gz FRXDZLVLKTUEEO-UHFFFAOYSA-N 1 2 306.167 1.739 20 30 DDEDLO Cc1cc(C)c(CNC(=O)N2C[C@H](C)N(CC#N)[C@@H](C)C2)c[nH+]1 ZINC000922779550 714145608 /nfs/dbraw/zinc/14/56/08/714145608.db2.gz XGQLBQOFRDLHQD-GJZGRUSLSA-N 1 2 315.421 1.826 20 30 DDEDLO N#CCCN(CC1CC1)C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000931940257 714217192 /nfs/dbraw/zinc/21/71/92/714217192.db2.gz XOXUHINAVXWLLH-CVEARBPZSA-N 1 2 321.421 1.018 20 30 DDEDLO Cc1nc([C@H]2CC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)no1 ZINC000931953639 714219780 /nfs/dbraw/zinc/21/97/80/714219780.db2.gz QQJNMBPLAFLKNR-WFASDCNBSA-N 1 2 305.382 1.222 20 30 DDEDLO Cc1nc([C@H]2CC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)no1 ZINC000931953639 714219783 /nfs/dbraw/zinc/21/97/83/714219783.db2.gz QQJNMBPLAFLKNR-WFASDCNBSA-N 1 2 305.382 1.222 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cccc(CC#N)n1 ZINC000932425064 714327636 /nfs/dbraw/zinc/32/76/36/714327636.db2.gz QLASVGJGADCDTH-CYBMUJFWSA-N 1 2 317.393 1.380 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)c2ccc(Cl)s2)C1 ZINC000923561206 714404037 /nfs/dbraw/zinc/40/40/37/714404037.db2.gz VIORKERSIPHWKN-JTQLQIEISA-N 1 2 310.806 1.798 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)c2ccc(Cl)s2)C1 ZINC000923561206 714404038 /nfs/dbraw/zinc/40/40/38/714404038.db2.gz VIORKERSIPHWKN-JTQLQIEISA-N 1 2 310.806 1.798 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(C(C)=O)c(C)nc2C)C1 ZINC000923566404 714407660 /nfs/dbraw/zinc/40/76/60/714407660.db2.gz PNDIFIMMUIMTSR-OAHLLOKOSA-N 1 2 313.401 1.728 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(C(C)=O)c(C)nc2C)C1 ZINC000923566404 714407663 /nfs/dbraw/zinc/40/76/63/714407663.db2.gz PNDIFIMMUIMTSR-OAHLLOKOSA-N 1 2 313.401 1.728 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)COc2ccccc2C=O)C1 ZINC000923580034 714414672 /nfs/dbraw/zinc/41/46/72/714414672.db2.gz WNMUJMQMQKQDPC-HNNXBMFYSA-N 1 2 300.358 1.092 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)COc2ccccc2C=O)C1 ZINC000923580034 714414677 /nfs/dbraw/zinc/41/46/77/714414677.db2.gz WNMUJMQMQKQDPC-HNNXBMFYSA-N 1 2 300.358 1.092 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)N[C@H](CC#N)C(F)(F)F)[C@@H](C)CO1 ZINC000932894009 714432262 /nfs/dbraw/zinc/43/22/62/714432262.db2.gz QZTGFWFKXFFZIP-HBNTYKKESA-N 1 2 322.331 1.239 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)N[C@H](CC#N)C(F)(F)F)[C@@H](C)CO1 ZINC000932894009 714432263 /nfs/dbraw/zinc/43/22/63/714432263.db2.gz QZTGFWFKXFFZIP-HBNTYKKESA-N 1 2 322.331 1.239 20 30 DDEDLO Cn1nccc1[C@@H]1C[N@H+](CCOc2ccc(C#N)cc2)CCO1 ZINC000933525272 714608857 /nfs/dbraw/zinc/60/88/57/714608857.db2.gz NQVAFTDKTXOBMQ-KRWDZBQOSA-N 1 2 312.373 1.744 20 30 DDEDLO Cn1nccc1[C@@H]1C[N@@H+](CCOc2ccc(C#N)cc2)CCO1 ZINC000933525272 714608859 /nfs/dbraw/zinc/60/88/59/714608859.db2.gz NQVAFTDKTXOBMQ-KRWDZBQOSA-N 1 2 312.373 1.744 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@H+](CCCN3CCCC3=O)CCO2)cc1 ZINC000933625853 714633780 /nfs/dbraw/zinc/63/37/80/714633780.db2.gz YZRYIOFSUVCZBX-QGZVFWFLSA-N 1 2 313.401 1.944 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@@H+](CCCN3CCCC3=O)CCO2)cc1 ZINC000933625853 714633782 /nfs/dbraw/zinc/63/37/82/714633782.db2.gz YZRYIOFSUVCZBX-QGZVFWFLSA-N 1 2 313.401 1.944 20 30 DDEDLO C[C@H](CC(C)(C)C#N)[NH2+][C@@H]1CCCN(c2nccn(C)c2=O)C1 ZINC000926318836 715047424 /nfs/dbraw/zinc/04/74/24/715047424.db2.gz ASIZZMKKRFHFAU-ZIAGYGMSSA-N 1 2 317.437 1.667 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC000956570530 715473636 /nfs/dbraw/zinc/47/36/36/715473636.db2.gz MACPWOFTTJEXTF-RDJZCZTQSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+]([C@@H]3CCN(C(=O)CC)C3)CC2)C1 ZINC000956605710 715486460 /nfs/dbraw/zinc/48/64/60/715486460.db2.gz PRTZTBRXHOPSDI-OAHLLOKOSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000955110497 715628256 /nfs/dbraw/zinc/62/82/56/715628256.db2.gz IBRADJTXYPXZJY-UHFFFAOYSA-N 1 2 324.812 1.400 20 30 DDEDLO CC#CCN1CCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CC1 ZINC000957054217 715725299 /nfs/dbraw/zinc/72/52/99/715725299.db2.gz GWRQHFHPLPWDKK-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@H]2COc3ccccc3O2)C1 ZINC000957355694 715856714 /nfs/dbraw/zinc/85/67/14/715856714.db2.gz WPGYTHIDGWLALP-QGZVFWFLSA-N 1 2 314.385 1.382 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCCCN2C(=O)C(C)C)C1 ZINC000957415907 715891484 /nfs/dbraw/zinc/89/14/84/715891484.db2.gz CIHQDZKZVRZWLT-MRXNPFEDSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCCN2C(=O)C(C)C)C1 ZINC000957415906 715891718 /nfs/dbraw/zinc/89/17/18/715891718.db2.gz CIHQDZKZVRZWLT-INIZCTEOSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2cc(C)sn2)C1 ZINC000958010881 716274871 /nfs/dbraw/zinc/27/48/71/716274871.db2.gz PNHXICNZDARXAN-JQWIXIFHSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2cc(C)sn2)C1 ZINC000958010881 716274875 /nfs/dbraw/zinc/27/48/75/716274875.db2.gz PNHXICNZDARXAN-JQWIXIFHSA-N 1 2 315.826 1.227 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+](C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960615662 716632623 /nfs/dbraw/zinc/63/26/23/716632623.db2.gz XTTRNHYVDWGHOT-HOCLYGCPSA-N 1 2 312.417 1.874 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+](C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960615662 716632626 /nfs/dbraw/zinc/63/26/26/716632626.db2.gz XTTRNHYVDWGHOT-HOCLYGCPSA-N 1 2 312.417 1.874 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+]([C@H](C)c4nc(C)no4)C[C@H]32)C1 ZINC000961733486 717078457 /nfs/dbraw/zinc/07/84/57/717078457.db2.gz OHGCLRHGTFEIDQ-ZZVYKPCYSA-N 1 2 316.405 1.842 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+]([C@H](C)c4nc(C)no4)C[C@H]32)C1 ZINC000961733486 717078461 /nfs/dbraw/zinc/07/84/61/717078461.db2.gz OHGCLRHGTFEIDQ-ZZVYKPCYSA-N 1 2 316.405 1.842 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@]3(C)CCC[C@@H]3C)CC2)C1 ZINC000941554031 717207187 /nfs/dbraw/zinc/20/71/87/717207187.db2.gz WAEXKDLMRQREAM-QFBILLFUSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3(C)CCC(C)CC3)CC2)C1 ZINC000941682189 717254856 /nfs/dbraw/zinc/25/48/56/717254856.db2.gz HFXDRESMKOCBST-UHFFFAOYSA-N 1 2 317.477 1.664 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1ccn[nH]1 ZINC000965322599 717618691 /nfs/dbraw/zinc/61/86/91/717618691.db2.gz HSORWABQYFYRPA-DYVFJYSZSA-N 1 2 323.400 1.922 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1ccn[nH]1 ZINC000965322599 717618695 /nfs/dbraw/zinc/61/86/95/717618695.db2.gz HSORWABQYFYRPA-DYVFJYSZSA-N 1 2 323.400 1.922 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC000965964131 717836699 /nfs/dbraw/zinc/83/66/99/717836699.db2.gz OEWCNCOBCLCLRF-FZMZJTMJSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC000965964131 717836705 /nfs/dbraw/zinc/83/67/05/717836705.db2.gz OEWCNCOBCLCLRF-FZMZJTMJSA-N 1 2 310.829 1.542 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000943480562 718125516 /nfs/dbraw/zinc/12/55/16/718125516.db2.gz JRQSKLWIXNTUIN-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c[nH]c(C)cc2=O)[C@H](C)C1 ZINC000943967814 718234327 /nfs/dbraw/zinc/23/43/27/718234327.db2.gz UMJZYLVELXJNHP-QMTHXVAHSA-N 1 2 323.824 1.876 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c[nH]c(C)cc2=O)[C@H](C)C1 ZINC000943967814 718234329 /nfs/dbraw/zinc/23/43/29/718234329.db2.gz UMJZYLVELXJNHP-QMTHXVAHSA-N 1 2 323.824 1.876 20 30 DDEDLO CCCCc1noc(C[NH2+][C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000967944296 719098040 /nfs/dbraw/zinc/09/80/40/719098040.db2.gz OFVSAEMRMDKLSP-NWDGAFQWSA-N 1 2 305.382 1.262 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cn2nccc2C)C1 ZINC000968370609 719537719 /nfs/dbraw/zinc/53/77/19/719537719.db2.gz ZYTRPVUWIKJLRT-RISCZKNCSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cn2nccc2C)C1 ZINC000968370609 719537723 /nfs/dbraw/zinc/53/77/23/719537723.db2.gz ZYTRPVUWIKJLRT-RISCZKNCSA-N 1 2 310.829 1.771 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2scnc2COC)C1 ZINC000968399483 719559979 /nfs/dbraw/zinc/55/99/79/719559979.db2.gz DQYVJIKHXANFFO-NEPJUHHUSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2scnc2COC)C1 ZINC000968399483 719559980 /nfs/dbraw/zinc/55/99/80/719559980.db2.gz DQYVJIKHXANFFO-NEPJUHHUSA-N 1 2 307.419 1.363 20 30 DDEDLO C[C@H]1CC[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1cnon1 ZINC000968449545 719594040 /nfs/dbraw/zinc/59/40/40/719594040.db2.gz JXCNYYWNHUHLOA-WMLDXEAASA-N 1 2 324.384 1.562 20 30 DDEDLO C[C@H]1CC[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1cnon1 ZINC000968449545 719594044 /nfs/dbraw/zinc/59/40/44/719594044.db2.gz JXCNYYWNHUHLOA-WMLDXEAASA-N 1 2 324.384 1.562 20 30 DDEDLO C[C@H]1CCN(CC#N)C[C@@H]1NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000968500679 719625982 /nfs/dbraw/zinc/62/59/82/719625982.db2.gz IJNZNNPYNXSMGS-BBRMVZONSA-N 1 2 324.388 1.231 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000968606999 719689716 /nfs/dbraw/zinc/68/97/16/719689716.db2.gz NCAIXBDQCIQZER-ZFWWWQNUSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000968606999 719689720 /nfs/dbraw/zinc/68/97/20/719689720.db2.gz NCAIXBDQCIQZER-ZFWWWQNUSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1c(C)ncn1C ZINC000948929778 719790648 /nfs/dbraw/zinc/79/06/48/719790648.db2.gz TWOLZYBQHHOPKY-KRWDZBQOSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1c(C)ncn1C ZINC000948929778 719790651 /nfs/dbraw/zinc/79/06/51/719790651.db2.gz TWOLZYBQHHOPKY-KRWDZBQOSA-N 1 2 322.412 1.691 20 30 DDEDLO C=C(C)C[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nnc[nH]1 ZINC000948970339 719816461 /nfs/dbraw/zinc/81/64/61/719816461.db2.gz XNQWQQIUIRVHHN-HNNXBMFYSA-N 1 2 311.389 1.710 20 30 DDEDLO C=C(C)C[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nnc[nH]1 ZINC000948970339 719816466 /nfs/dbraw/zinc/81/64/66/719816466.db2.gz XNQWQQIUIRVHHN-HNNXBMFYSA-N 1 2 311.389 1.710 20 30 DDEDLO C=C(C)C[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ncn[nH]1 ZINC000948970339 719816471 /nfs/dbraw/zinc/81/64/71/719816471.db2.gz XNQWQQIUIRVHHN-HNNXBMFYSA-N 1 2 311.389 1.710 20 30 DDEDLO C=C(C)C[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ncn[nH]1 ZINC000948970339 719816475 /nfs/dbraw/zinc/81/64/75/719816475.db2.gz XNQWQQIUIRVHHN-HNNXBMFYSA-N 1 2 311.389 1.710 20 30 DDEDLO C=CC[N@@H+]1CCOC2(CCN(C(=O)C(=O)C(C)(C)C)CC2)C1 ZINC000949322887 720017026 /nfs/dbraw/zinc/01/70/26/720017026.db2.gz KDHCAWBBTQKECY-UHFFFAOYSA-N 1 2 308.422 1.481 20 30 DDEDLO C=CC[N@H+]1CCOC2(CCN(C(=O)C(=O)C(C)(C)C)CC2)C1 ZINC000949322887 720017029 /nfs/dbraw/zinc/01/70/29/720017029.db2.gz KDHCAWBBTQKECY-UHFFFAOYSA-N 1 2 308.422 1.481 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CCO[C@@H](C)C3)CC2)C1 ZINC000949499381 720124981 /nfs/dbraw/zinc/12/49/81/720124981.db2.gz OLXBDZJVFHRYEU-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CCO[C@@H](C)C3)CC2)C1 ZINC000949499381 720124982 /nfs/dbraw/zinc/12/49/82/720124982.db2.gz OLXBDZJVFHRYEU-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cncc3[nH]cnc32)C1 ZINC000969723960 720266080 /nfs/dbraw/zinc/26/60/80/720266080.db2.gz ZFJXLOWMTYCFPM-JTQLQIEISA-N 1 2 319.796 1.761 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2CCN(CC(F)F)CC2)CC1 ZINC000949869780 720392668 /nfs/dbraw/zinc/39/26/68/720392668.db2.gz XLPVJWDFTYRERD-UHFFFAOYSA-N 1 2 301.381 1.294 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn3c(n2)CCCC3)C1 ZINC000950451831 720639378 /nfs/dbraw/zinc/63/93/78/720639378.db2.gz BJQQPTNONAHYDR-UHFFFAOYSA-N 1 2 300.406 1.389 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnc3nccn3c2)C1 ZINC000970158700 720647048 /nfs/dbraw/zinc/64/70/48/720647048.db2.gz SSTZWGQLVNEGRB-NSHDSACASA-N 1 2 319.796 1.532 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C(F)F)ncn2)C1 ZINC000950619411 720707592 /nfs/dbraw/zinc/70/75/92/720707592.db2.gz WJNFKQGCTPYTLN-UHFFFAOYSA-N 1 2 308.332 1.584 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(C)nc2C2CCC2)C1 ZINC000950653460 720722174 /nfs/dbraw/zinc/72/21/74/720722174.db2.gz HZFUWUMKUXTGMW-UHFFFAOYSA-N 1 2 314.433 1.857 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2nc(C)c(C)nc2C)C1 ZINC000950829931 720789027 /nfs/dbraw/zinc/78/90/27/720789027.db2.gz PDCOTBCEWDBJOC-UHFFFAOYSA-N 1 2 300.406 1.571 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC000970940429 720998765 /nfs/dbraw/zinc/99/87/65/720998765.db2.gz BPBOGOWCZMCFIC-BXUZGUMPSA-N 1 2 313.829 1.186 20 30 DDEDLO C[N@H+](Cc1coc(C2CC2)n1)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971510436 721330495 /nfs/dbraw/zinc/33/04/95/721330495.db2.gz FHHSZJBDGGZFJQ-INIZCTEOSA-N 1 2 313.401 1.998 20 30 DDEDLO C[N@@H+](Cc1coc(C2CC2)n1)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971510436 721330502 /nfs/dbraw/zinc/33/05/02/721330502.db2.gz FHHSZJBDGGZFJQ-INIZCTEOSA-N 1 2 313.401 1.998 20 30 DDEDLO C=CCCn1cc(C(=O)N(CC)C2C[NH+](CCCF)C2)nn1 ZINC000952429520 721446965 /nfs/dbraw/zinc/44/69/65/721446965.db2.gz QLOKDGINTLSPNL-UHFFFAOYSA-N 1 2 309.389 1.360 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1C[C@H]([NH2+][C@@H](C)c2noc(C)n2)C1 ZINC001020604828 732552935 /nfs/dbraw/zinc/55/29/35/732552935.db2.gz QGWCANVVVLPIBI-JDCLNJACSA-N 1 2 320.393 1.267 20 30 DDEDLO N#Cc1ccc2cc[nH+]c(N3CCN4C(=O)COC[C@@H]4C3)c2c1 ZINC001167227978 732843447 /nfs/dbraw/zinc/84/34/47/732843447.db2.gz GEPHLRKMISWPQB-AWEZNQCLSA-N 1 2 308.341 1.154 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)CNc1ccc(C#N)nc1 ZINC001104258301 733068551 /nfs/dbraw/zinc/06/85/51/733068551.db2.gz BYQUCZYFTLYRLQ-NILFDRSVSA-N 1 2 324.388 1.644 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)CNc1ccc(C#N)nc1 ZINC001104258301 733068552 /nfs/dbraw/zinc/06/85/52/733068552.db2.gz BYQUCZYFTLYRLQ-NILFDRSVSA-N 1 2 324.388 1.644 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CNC(=O)c1c(Cl)nn(C)c1Cl ZINC001038799845 738684128 /nfs/dbraw/zinc/68/41/28/738684128.db2.gz YPALFGAMNCVSRV-QMMMGPOBSA-N 1 2 303.193 1.717 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CNC(=O)c1c(Cl)nn(C)c1Cl ZINC001038799845 738684130 /nfs/dbraw/zinc/68/41/30/738684130.db2.gz YPALFGAMNCVSRV-QMMMGPOBSA-N 1 2 303.193 1.717 20 30 DDEDLO N#CCN1CC[C@@H]([C@H]2CCCCN2C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001039236758 733346479 /nfs/dbraw/zinc/34/64/79/733346479.db2.gz COYIKDSLORTSPV-ZIAGYGMSSA-N 1 2 301.394 1.179 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067569015 733421900 /nfs/dbraw/zinc/42/19/00/733421900.db2.gz ZMZVPUNVJDDFRN-UHFFFAOYSA-N 1 2 306.410 1.615 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067570270 733441905 /nfs/dbraw/zinc/44/19/05/733441905.db2.gz ZPWHILKUVYKIAJ-UHFFFAOYSA-N 1 2 306.410 1.546 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3csc(Cl)c3)[C@H]2C1 ZINC001083214384 733551646 /nfs/dbraw/zinc/55/16/46/733551646.db2.gz WQAHVIFYHHVSDU-NWDGAFQWSA-N 1 2 310.806 1.560 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3csc(Cl)c3)[C@H]2C1 ZINC001083214384 733551650 /nfs/dbraw/zinc/55/16/50/733551650.db2.gz WQAHVIFYHHVSDU-NWDGAFQWSA-N 1 2 310.806 1.560 20 30 DDEDLO Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)nn1 ZINC001038239492 734756266 /nfs/dbraw/zinc/75/62/66/734756266.db2.gz KIVIUZDELJTQOK-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)nn1 ZINC001038239492 734756270 /nfs/dbraw/zinc/75/62/70/734756270.db2.gz KIVIUZDELJTQOK-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO C[C@@H]1CN(c2ccncc2C#N)CC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067441406 735020697 /nfs/dbraw/zinc/02/06/97/735020697.db2.gz YXUVJOISQYDURK-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](F)c3ccccc3)C2)C1 ZINC000972576181 735266625 /nfs/dbraw/zinc/26/66/25/735266625.db2.gz BDPPYZGYKPXOAL-FUHWJXTLSA-N 1 2 316.376 1.634 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](F)c3ccccc3)C2)C1 ZINC000972576181 735266631 /nfs/dbraw/zinc/26/66/31/735266631.db2.gz BDPPYZGYKPXOAL-FUHWJXTLSA-N 1 2 316.376 1.634 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC001024412159 735817049 /nfs/dbraw/zinc/81/70/49/735817049.db2.gz YLQGWSNWOMMYTJ-AWEZNQCLSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC001024412159 735817051 /nfs/dbraw/zinc/81/70/51/735817051.db2.gz YLQGWSNWOMMYTJ-AWEZNQCLSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]2[C@H](NC(=O)c3cn[nH]n3)C(C)(C)[C@H]21 ZINC001087293743 735963256 /nfs/dbraw/zinc/96/32/56/735963256.db2.gz JFPPRRKTNKVEOR-WXHSDQCUSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]2[C@H](NC(=O)c3cn[nH]n3)C(C)(C)[C@H]21 ZINC001087293743 735963259 /nfs/dbraw/zinc/96/32/59/735963259.db2.gz JFPPRRKTNKVEOR-WXHSDQCUSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]2[C@H](NC(=O)c3cnn[nH]3)C(C)(C)[C@H]21 ZINC001087293743 735963265 /nfs/dbraw/zinc/96/32/65/735963265.db2.gz JFPPRRKTNKVEOR-WXHSDQCUSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]2[C@H](NC(=O)c3cnn[nH]3)C(C)(C)[C@H]21 ZINC001087293743 735963270 /nfs/dbraw/zinc/96/32/70/735963270.db2.gz JFPPRRKTNKVEOR-WXHSDQCUSA-N 1 2 323.828 1.776 20 30 DDEDLO CC#CCN1CCCC[C@@H]1CNC(=O)c1occc1C[NH+](C)C ZINC001024624284 735989735 /nfs/dbraw/zinc/98/97/35/735989735.db2.gz NXNRUDHCHHNTIN-MRXNPFEDSA-N 1 2 317.433 1.949 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001024651962 736003090 /nfs/dbraw/zinc/00/30/90/736003090.db2.gz GMHNRPHYXJXEDE-LLVKDONJSA-N 1 2 324.812 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001024651962 736003094 /nfs/dbraw/zinc/00/30/94/736003094.db2.gz GMHNRPHYXJXEDE-LLVKDONJSA-N 1 2 324.812 1.450 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@H]2CCCC[N@H+]2CC(=C)Cl)nn1 ZINC001024695502 736025151 /nfs/dbraw/zinc/02/51/51/736025151.db2.gz ABCHSDPEPWLZCR-CYBMUJFWSA-N 1 2 323.828 1.801 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@H]2CCCC[N@@H+]2CC(=C)Cl)nn1 ZINC001024695502 736025154 /nfs/dbraw/zinc/02/51/54/736025154.db2.gz ABCHSDPEPWLZCR-CYBMUJFWSA-N 1 2 323.828 1.801 20 30 DDEDLO C[C@@H](CNC(=O)CCn1cc[nH+]c1)Nc1cccc(F)c1C#N ZINC001098168138 736334719 /nfs/dbraw/zinc/33/47/19/736334719.db2.gz UPFYQQICUMOBHE-LBPRGKRZSA-N 1 2 315.352 1.901 20 30 DDEDLO N#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)CCn1cc[nH+]c1 ZINC001025255612 736395828 /nfs/dbraw/zinc/39/58/28/736395828.db2.gz RDESGOIVKZLCEO-HOTGVXAUSA-N 1 2 315.421 1.642 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)on1 ZINC001038048549 737114419 /nfs/dbraw/zinc/11/44/19/737114419.db2.gz WNPPIQISBKLUCO-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)on1 ZINC001038048549 737114421 /nfs/dbraw/zinc/11/44/21/737114421.db2.gz WNPPIQISBKLUCO-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)CC(C)(C)CC)C2)nn1 ZINC001105252450 737663268 /nfs/dbraw/zinc/66/32/68/737663268.db2.gz REHGMGCAFFDBCI-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO N#CCNC1CCC(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CC1 ZINC001026587494 737679362 /nfs/dbraw/zinc/67/93/62/737679362.db2.gz JESZWSRHEOFTRF-UHFFFAOYSA-N 1 2 324.388 1.421 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnc3n[nH]nc32)[C@H]1C ZINC001088732863 742264114 /nfs/dbraw/zinc/26/41/14/742264114.db2.gz UABGXKIJOCSEOV-KOLCDFICSA-N 1 2 320.784 1.298 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnc3n[nH]nc32)[C@H]1C ZINC001088732863 742264116 /nfs/dbraw/zinc/26/41/16/742264116.db2.gz UABGXKIJOCSEOV-KOLCDFICSA-N 1 2 320.784 1.298 20 30 DDEDLO CN(CCN(C)c1ncccc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001105595746 742145617 /nfs/dbraw/zinc/14/56/17/742145617.db2.gz HXPHFJPVTHNAFV-ZIAGYGMSSA-N 1 2 324.388 1.375 20 30 DDEDLO CN(CCN(C)c1ncccc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001105595746 742145621 /nfs/dbraw/zinc/14/56/21/742145621.db2.gz HXPHFJPVTHNAFV-ZIAGYGMSSA-N 1 2 324.388 1.375 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001038719463 738204027 /nfs/dbraw/zinc/20/40/27/738204027.db2.gz GLZCUUQRXMBSGH-JHJVBQTASA-N 1 2 308.813 1.467 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001027336887 738208712 /nfs/dbraw/zinc/20/87/12/738208712.db2.gz VOMJQJBGIGHMNR-HIFRSBDPSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001027336887 738208716 /nfs/dbraw/zinc/20/87/16/738208716.db2.gz VOMJQJBGIGHMNR-HIFRSBDPSA-N 1 2 303.410 1.485 20 30 DDEDLO C[N@H+](Cc1cnns1)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405093 738268914 /nfs/dbraw/zinc/26/89/14/738268914.db2.gz AVMMMZHOTOVDQX-CYBMUJFWSA-N 1 2 304.419 1.374 20 30 DDEDLO C[N@@H+](Cc1cnns1)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405093 738268916 /nfs/dbraw/zinc/26/89/16/738268916.db2.gz AVMMMZHOTOVDQX-CYBMUJFWSA-N 1 2 304.419 1.374 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)CC3CC3)[C@@H](O)C2)s1 ZINC001083307836 738329271 /nfs/dbraw/zinc/32/92/71/738329271.db2.gz YTIMVVRUKOMIRA-KGLIPLIRSA-N 1 2 305.403 1.081 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)CC3CC3)[C@@H](O)C2)s1 ZINC001083307836 738329276 /nfs/dbraw/zinc/32/92/76/738329276.db2.gz YTIMVVRUKOMIRA-KGLIPLIRSA-N 1 2 305.403 1.081 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098198700 739158177 /nfs/dbraw/zinc/15/81/77/739158177.db2.gz VYJPSYFKSQNTDN-DGCLKSJQSA-N 1 2 320.441 1.765 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(CC)ccn2)C1 ZINC001035383309 751453097 /nfs/dbraw/zinc/45/30/97/751453097.db2.gz IJUNMYWUZXZVCO-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(CC)ccn2)C1 ZINC001035383309 751453101 /nfs/dbraw/zinc/45/31/01/751453101.db2.gz IJUNMYWUZXZVCO-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@@]2(C)C1 ZINC001101218324 739733364 /nfs/dbraw/zinc/73/33/64/739733364.db2.gz ZSQHJOXWUDBCSY-PBHICJAKSA-N 1 2 315.421 1.197 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@@]2(C)C1 ZINC001101218324 739733366 /nfs/dbraw/zinc/73/33/66/739733366.db2.gz ZSQHJOXWUDBCSY-PBHICJAKSA-N 1 2 315.421 1.197 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)(C)C)n[nH]2)C1 ZINC001035428781 751486872 /nfs/dbraw/zinc/48/68/72/751486872.db2.gz HJSRLGXZZQQLID-GFCCVEGCSA-N 1 2 306.410 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)(C)C)n[nH]2)C1 ZINC001035428781 751486874 /nfs/dbraw/zinc/48/68/74/751486874.db2.gz HJSRLGXZZQQLID-GFCCVEGCSA-N 1 2 306.410 1.324 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(CC)[nH]c(=O)c2)C1 ZINC001035454242 751503192 /nfs/dbraw/zinc/50/31/92/751503192.db2.gz FSZAJDWYSLSLLL-OAHLLOKOSA-N 1 2 319.405 1.356 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(CC)[nH]c(=O)c2)C1 ZINC001035454242 751503196 /nfs/dbraw/zinc/50/31/96/751503196.db2.gz FSZAJDWYSLSLLL-OAHLLOKOSA-N 1 2 319.405 1.356 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncc(C)cc2C)C1 ZINC001035455710 751503860 /nfs/dbraw/zinc/50/38/60/751503860.db2.gz JIZWFBCMGUGPBE-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncc(C)cc2C)C1 ZINC001035455710 751503863 /nfs/dbraw/zinc/50/38/63/751503863.db2.gz JIZWFBCMGUGPBE-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(COC)cs2)C1 ZINC001035431797 751508813 /nfs/dbraw/zinc/50/88/13/751508813.db2.gz KTVAXHGHIOKMAV-AWEZNQCLSA-N 1 2 322.430 1.348 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(COC)cs2)C1 ZINC001035431797 751508816 /nfs/dbraw/zinc/50/88/16/751508816.db2.gz KTVAXHGHIOKMAV-AWEZNQCLSA-N 1 2 322.430 1.348 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncc(Cl)s2)C1 ZINC001035444852 751521940 /nfs/dbraw/zinc/52/19/40/751521940.db2.gz AMQYASBWEHZRFJ-JTQLQIEISA-N 1 2 315.826 1.803 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncc(Cl)s2)C1 ZINC001035444852 751521942 /nfs/dbraw/zinc/52/19/42/751521942.db2.gz AMQYASBWEHZRFJ-JTQLQIEISA-N 1 2 315.826 1.803 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@@H]1CCc2[nH+]c(C)[nH]c2C1 ZINC001038429789 740371722 /nfs/dbraw/zinc/37/17/22/740371722.db2.gz JHBROHKGNQBDSR-OLZOCXBDSA-N 1 2 322.840 1.766 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@@H]1CCc2[nH]c(C)[nH+]c2C1 ZINC001038429789 740371727 /nfs/dbraw/zinc/37/17/27/740371727.db2.gz JHBROHKGNQBDSR-OLZOCXBDSA-N 1 2 322.840 1.766 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C(C)(C)C(=C)C)C2)nn1 ZINC001098698059 740420884 /nfs/dbraw/zinc/42/08/84/740420884.db2.gz PCQVBOJOZDYWSG-OAHLLOKOSA-N 1 2 315.421 1.377 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2(CC(C)C)CC2)[C@H](OC)C1 ZINC001212391193 751559164 /nfs/dbraw/zinc/55/91/64/751559164.db2.gz OFNCFBCFPRBGDD-HZPDHXFCSA-N 1 2 322.449 1.278 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2(CC(C)C)CC2)[C@H](OC)C1 ZINC001212391193 751559169 /nfs/dbraw/zinc/55/91/69/751559169.db2.gz OFNCFBCFPRBGDD-HZPDHXFCSA-N 1 2 322.449 1.278 20 30 DDEDLO CN(CCN(C)c1ccc(C#N)nc1)C(=O)CCc1[nH]cc[nH+]1 ZINC001105394958 740643034 /nfs/dbraw/zinc/64/30/34/740643034.db2.gz YAILRQUKIMRYOC-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H]3CCC3(C)C)C2)nn1 ZINC001098703506 740644930 /nfs/dbraw/zinc/64/49/30/740644930.db2.gz UEBYHMTVDXSXLV-GJZGRUSLSA-N 1 2 315.421 1.211 20 30 DDEDLO Cc1cc(N(C)CCN(C)C(=O)C#CC2CC2)nc(C2CC2)[nH+]1 ZINC001105395850 740666121 /nfs/dbraw/zinc/66/61/21/740666121.db2.gz FTVIYTBXNYKZCF-UHFFFAOYSA-N 1 2 312.417 1.970 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cn(CC)nc2C)C1 ZINC001035529052 751608494 /nfs/dbraw/zinc/60/84/94/751608494.db2.gz JBSXUUSWPRISRZ-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cn(CC)nc2C)C1 ZINC001035529052 751608498 /nfs/dbraw/zinc/60/84/98/751608498.db2.gz JBSXUUSWPRISRZ-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C=C(C)C(=O)OCCNc1cc(C(=O)OC)cc2[nH+]c[nH]c21 ZINC001168071984 741243540 /nfs/dbraw/zinc/24/35/40/741243540.db2.gz LODQPESWQXQKFZ-UHFFFAOYSA-N 1 2 303.318 1.881 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@H]2C[N@H+](CC=C)CCO2)cc1 ZINC001035535458 751618678 /nfs/dbraw/zinc/61/86/78/751618678.db2.gz FARNJPBRSALPKK-KRWDZBQOSA-N 1 2 314.385 1.315 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@H]2C[N@@H+](CC=C)CCO2)cc1 ZINC001035535458 751618680 /nfs/dbraw/zinc/61/86/80/751618680.db2.gz FARNJPBRSALPKK-KRWDZBQOSA-N 1 2 314.385 1.315 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)CCN3C)C1 ZINC001035566636 751619278 /nfs/dbraw/zinc/61/92/78/751619278.db2.gz IAORDZKBNUBLFK-MRXNPFEDSA-N 1 2 315.417 1.296 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)CCN3C)C1 ZINC001035566636 751619280 /nfs/dbraw/zinc/61/92/80/751619280.db2.gz IAORDZKBNUBLFK-MRXNPFEDSA-N 1 2 315.417 1.296 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)nn2C)C1 ZINC001035566868 751620091 /nfs/dbraw/zinc/62/00/91/751620091.db2.gz APCWJWRIXSAIEO-CYBMUJFWSA-N 1 2 306.410 1.160 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)nn2C)C1 ZINC001035566868 751620094 /nfs/dbraw/zinc/62/00/94/751620094.db2.gz APCWJWRIXSAIEO-CYBMUJFWSA-N 1 2 306.410 1.160 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1OC ZINC001211972581 741907189 /nfs/dbraw/zinc/90/71/89/741907189.db2.gz RWWBUUSPNNWFIB-XXWFEYIXSA-N 1 2 316.829 1.536 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1OC ZINC001211972581 741907191 /nfs/dbraw/zinc/90/71/91/741907191.db2.gz RWWBUUSPNNWFIB-XXWFEYIXSA-N 1 2 316.829 1.536 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](CCOCC(F)(F)F)C[C@H]1OC ZINC001211997429 741931901 /nfs/dbraw/zinc/93/19/01/741931901.db2.gz UOPCNFABQLPVKP-VXGBXAGGSA-N 1 2 324.343 1.347 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](CCOCC(F)(F)F)C[C@H]1OC ZINC001211997429 741931904 /nfs/dbraw/zinc/93/19/04/741931904.db2.gz UOPCNFABQLPVKP-VXGBXAGGSA-N 1 2 324.343 1.347 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnc(C3CC3)s2)C1 ZINC001035588114 751682653 /nfs/dbraw/zinc/68/26/53/751682653.db2.gz FUCPPODTQFKKIV-CYBMUJFWSA-N 1 2 319.430 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnc(C3CC3)s2)C1 ZINC001035588114 751682655 /nfs/dbraw/zinc/68/26/55/751682655.db2.gz FUCPPODTQFKKIV-CYBMUJFWSA-N 1 2 319.430 1.474 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC(C)C)cn2)C1 ZINC001035630503 751703645 /nfs/dbraw/zinc/70/36/45/751703645.db2.gz NLWJNCMHMCMMJF-OAHLLOKOSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC(C)C)cn2)C1 ZINC001035630503 751703651 /nfs/dbraw/zinc/70/36/51/751703651.db2.gz NLWJNCMHMCMMJF-OAHLLOKOSA-N 1 2 319.405 1.485 20 30 DDEDLO N#CC1(c2cc[nH+]c(N[C@@H]3COCCC34OCCO4)c2)CC1 ZINC001168351968 742217024 /nfs/dbraw/zinc/21/70/24/742217024.db2.gz GOCIIHPLNCBJJD-CYBMUJFWSA-N 1 2 301.346 1.581 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076321871 742665344 /nfs/dbraw/zinc/66/53/44/742665344.db2.gz WIMWPAGLKZQTSK-ZDUSSCGKSA-N 1 2 304.394 1.013 20 30 DDEDLO Cc1nc(C[NH+]2CC3(C2)CCN(C(=O)[C@@H](C)C#N)CC3)oc1C ZINC001035674274 751754022 /nfs/dbraw/zinc/75/40/22/751754022.db2.gz TYYWMNDJLMQODT-LBPRGKRZSA-N 1 2 316.405 1.876 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cn(C(C)C)nn3)[C@@H]2C1 ZINC001076407402 742709935 /nfs/dbraw/zinc/70/99/35/742709935.db2.gz CCITWUKRCZRAAS-GXTWGEPZSA-N 1 2 323.828 1.758 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cn(C(C)C)nn3)[C@@H]2C1 ZINC001076407402 742709940 /nfs/dbraw/zinc/70/99/40/742709940.db2.gz CCITWUKRCZRAAS-GXTWGEPZSA-N 1 2 323.828 1.758 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3nn(CC)nc3C)[C@@H]2C1 ZINC001076640174 742845136 /nfs/dbraw/zinc/84/51/36/742845136.db2.gz WLYNXTQJVRMFPN-QWHCGFSZSA-N 1 2 323.828 1.505 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3nn(CC)nc3C)[C@@H]2C1 ZINC001076640174 742845143 /nfs/dbraw/zinc/84/51/43/742845143.db2.gz WLYNXTQJVRMFPN-QWHCGFSZSA-N 1 2 323.828 1.505 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1cnn(C)c1)CC2 ZINC001035669805 751774961 /nfs/dbraw/zinc/77/49/61/751774961.db2.gz RXQNTKSMNVZFHN-UHFFFAOYSA-N 1 2 322.840 1.640 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1ncn(C)n1)CC2 ZINC001035698890 751785880 /nfs/dbraw/zinc/78/58/80/751785880.db2.gz FDEBYCURLNALOJ-UHFFFAOYSA-N 1 2 309.801 1.106 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@H](NC(=O)c3ccco3)C2)C1=O ZINC001006599690 751788672 /nfs/dbraw/zinc/78/86/72/751788672.db2.gz VLMURRALKDMIDW-KBPBESRZSA-N 1 2 317.389 1.261 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@H](NC(=O)c3ccco3)C2)C1=O ZINC001006599690 751788675 /nfs/dbraw/zinc/78/86/75/751788675.db2.gz VLMURRALKDMIDW-KBPBESRZSA-N 1 2 317.389 1.261 20 30 DDEDLO CCc1cnc(C[NH2+][C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001181124780 743073499 /nfs/dbraw/zinc/07/34/99/743073499.db2.gz XYCLUPUYGAWEKJ-LLVKDONJSA-N 1 2 308.407 1.184 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2ccc(OC)c(OC)c2F)CC1 ZINC001181291001 743140054 /nfs/dbraw/zinc/14/00/54/743140054.db2.gz BXNYOEZNBQZVCC-UHFFFAOYSA-N 1 2 322.380 1.716 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(F)CC1 ZINC001121509822 782542157 /nfs/dbraw/zinc/54/21/57/782542157.db2.gz JPFZFCGQXKGCPD-STQMWFEESA-N 1 2 309.389 1.140 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(F)CC1 ZINC001121509822 782542164 /nfs/dbraw/zinc/54/21/64/782542164.db2.gz JPFZFCGQXKGCPD-STQMWFEESA-N 1 2 309.389 1.140 20 30 DDEDLO Cn1ccc(C[NH+]2CCC(NC(=O)c3cc(C#N)c[nH]3)CC2)n1 ZINC001002551038 743232230 /nfs/dbraw/zinc/23/22/30/743232230.db2.gz JZOSDDFQZULXHH-UHFFFAOYSA-N 1 2 312.377 1.014 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2nccc3ccsc32)C1 ZINC001077169544 743291494 /nfs/dbraw/zinc/29/14/94/743291494.db2.gz DOVABSAZKQWILC-CHWSQXEVSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2nccc3ccsc32)C1 ZINC001077169544 743291498 /nfs/dbraw/zinc/29/14/98/743291498.db2.gz DOVABSAZKQWILC-CHWSQXEVSA-N 1 2 315.398 1.095 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001181897900 743383976 /nfs/dbraw/zinc/38/39/76/743383976.db2.gz DBARPHDBZOVEPJ-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(OC)c(C(C)C)c2)C1 ZINC001077314388 743404109 /nfs/dbraw/zinc/40/41/09/743404109.db2.gz NAMPOFPMBLPYOQ-HZPDHXFCSA-N 1 2 316.401 1.227 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(OC)c(C(C)C)c2)C1 ZINC001077314388 743404112 /nfs/dbraw/zinc/40/41/12/743404112.db2.gz NAMPOFPMBLPYOQ-HZPDHXFCSA-N 1 2 316.401 1.227 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@H+](C[C@H](CC)OC)CC2 ZINC001128259399 743405138 /nfs/dbraw/zinc/40/51/38/743405138.db2.gz BQNIUXSGGUUHGI-HNNXBMFYSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@@H+](C[C@H](CC)OC)CC2 ZINC001128259399 743405141 /nfs/dbraw/zinc/40/51/41/743405141.db2.gz BQNIUXSGGUUHGI-HNNXBMFYSA-N 1 2 320.437 1.706 20 30 DDEDLO C[C@@H](C#N)C(=O)NCc1cnn2c1C[N@H+](CCCCCF)CC2 ZINC001128265138 743415636 /nfs/dbraw/zinc/41/56/36/743415636.db2.gz UXDBLWDNIWRXJW-ZDUSSCGKSA-N 1 2 321.400 1.614 20 30 DDEDLO C[C@@H](C#N)C(=O)NCc1cnn2c1C[N@@H+](CCCCCF)CC2 ZINC001128265138 743415639 /nfs/dbraw/zinc/41/56/39/743415639.db2.gz UXDBLWDNIWRXJW-ZDUSSCGKSA-N 1 2 321.400 1.614 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC(OC2C[NH+](CC(=C)C)C2)CC1 ZINC001105708419 743619236 /nfs/dbraw/zinc/61/92/36/743619236.db2.gz HBIRPIORURWNKO-HNNXBMFYSA-N 1 2 320.433 1.293 20 30 DDEDLO N#CCSCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncs1 ZINC001110300697 743628742 /nfs/dbraw/zinc/62/87/42/743628742.db2.gz JYHFVGYTHZICLG-RTXFEEFZSA-N 1 2 322.459 1.621 20 30 DDEDLO N#CCSCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncs1 ZINC001110300697 743628746 /nfs/dbraw/zinc/62/87/46/743628746.db2.gz JYHFVGYTHZICLG-RTXFEEFZSA-N 1 2 322.459 1.621 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[NH2+][C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001127051615 743676094 /nfs/dbraw/zinc/67/60/94/743676094.db2.gz KAHVUZRZCSKBNH-QWHCGFSZSA-N 1 2 321.421 1.777 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccccc1C#N ZINC001110341323 743926675 /nfs/dbraw/zinc/92/66/75/743926675.db2.gz FPWQXEGZJWLFLC-YYQUZTFQSA-N 1 2 313.401 1.815 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccccc1C#N ZINC001110341323 743926680 /nfs/dbraw/zinc/92/66/80/743926680.db2.gz FPWQXEGZJWLFLC-YYQUZTFQSA-N 1 2 313.401 1.815 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cccc3[nH+]ccn32)CC[C@@H]1CNCC#N ZINC001184800771 744103806 /nfs/dbraw/zinc/10/38/06/744103806.db2.gz YWDHKOJUWWXEIA-ZIAGYGMSSA-N 1 2 311.389 1.546 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)N[C@@H](C)C(C)C ZINC001110369133 744281327 /nfs/dbraw/zinc/28/13/27/744281327.db2.gz YOSVUFFXVNJMER-JJXSEGSLSA-N 1 2 321.465 1.835 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)N[C@@H](C)C(C)C ZINC001110369133 744281329 /nfs/dbraw/zinc/28/13/29/744281329.db2.gz YOSVUFFXVNJMER-JJXSEGSLSA-N 1 2 321.465 1.835 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001106051729 744297694 /nfs/dbraw/zinc/29/76/94/744297694.db2.gz LJLZQKSBXXHWGI-CQSZACIVSA-N 1 2 304.394 1.258 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C3(C)CCC3)C2)nn1 ZINC001185975143 744323163 /nfs/dbraw/zinc/32/31/63/744323163.db2.gz UHGHBKMTFYBUMC-HNNXBMFYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2coc(COC)n2)[C@H]1C ZINC001088948176 744396481 /nfs/dbraw/zinc/39/64/81/744396481.db2.gz PXEXWUHECZZJGR-MNOVXSKESA-N 1 2 313.785 1.766 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2coc(COC)n2)[C@H]1C ZINC001088948176 744396484 /nfs/dbraw/zinc/39/64/84/744396484.db2.gz PXEXWUHECZZJGR-MNOVXSKESA-N 1 2 313.785 1.766 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001187320370 744548832 /nfs/dbraw/zinc/54/88/32/744548832.db2.gz QTIPYYMJZQRHKM-LSDHHAIUSA-N 1 2 316.405 1.020 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001187320370 744548833 /nfs/dbraw/zinc/54/88/33/744548833.db2.gz QTIPYYMJZQRHKM-LSDHHAIUSA-N 1 2 316.405 1.020 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccc(F)cn1 ZINC001110394875 744576029 /nfs/dbraw/zinc/57/60/29/744576029.db2.gz OPAZWMCWWRHGOK-QZKOUSHUSA-N 1 2 302.353 1.602 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(F)cn1 ZINC001110394875 744576032 /nfs/dbraw/zinc/57/60/32/744576032.db2.gz OPAZWMCWWRHGOK-QZKOUSHUSA-N 1 2 302.353 1.602 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2csnn2)C1 ZINC001188294090 744679737 /nfs/dbraw/zinc/67/97/37/744679737.db2.gz BINWWHRINKFEAG-VXGBXAGGSA-N 1 2 310.423 1.382 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nnc(C)o2)C1 ZINC001188294669 744680219 /nfs/dbraw/zinc/68/02/19/744680219.db2.gz ZTISLBLMCVIKJV-WCQYABFASA-N 1 2 308.382 1.222 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)(C)C ZINC001110401375 744758699 /nfs/dbraw/zinc/75/86/99/744758699.db2.gz AZLDLOFJJBQWAF-SYQHCUMBSA-N 1 2 320.437 1.030 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)(C)C ZINC001110401375 744758701 /nfs/dbraw/zinc/75/87/01/744758701.db2.gz AZLDLOFJJBQWAF-SYQHCUMBSA-N 1 2 320.437 1.030 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)no1 ZINC001188958964 744799196 /nfs/dbraw/zinc/79/91/96/744799196.db2.gz LSYHNUGHVWESAB-ZDUSSCGKSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)no1 ZINC001188958964 744799198 /nfs/dbraw/zinc/79/91/98/744799198.db2.gz LSYHNUGHVWESAB-ZDUSSCGKSA-N 1 2 308.407 1.273 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001189007268 744809451 /nfs/dbraw/zinc/80/94/51/744809451.db2.gz RITNBXNKOYSTSM-DZGCQCFKSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001189007268 744809454 /nfs/dbraw/zinc/80/94/54/744809454.db2.gz RITNBXNKOYSTSM-DZGCQCFKSA-N 1 2 303.410 1.204 20 30 DDEDLO COCC#CC[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001106155318 745132132 /nfs/dbraw/zinc/13/21/32/745132132.db2.gz RSJNEJWCNWJDLU-IJLUTSLNSA-N 1 2 324.318 1.221 20 30 DDEDLO COCC#CC[NH2+]C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1C ZINC001106155318 745132134 /nfs/dbraw/zinc/13/21/34/745132134.db2.gz RSJNEJWCNWJDLU-IJLUTSLNSA-N 1 2 324.318 1.221 20 30 DDEDLO C#CCOc1ccc(C(=O)NC2C[NH+](C[C@@H](C)OC)C2)cc1 ZINC001031065758 745284915 /nfs/dbraw/zinc/28/49/15/745284915.db2.gz NZWFNOMKBPBTFC-CYBMUJFWSA-N 1 2 302.374 1.148 20 30 DDEDLO C=CCC1(S(=O)(=O)N[C@H]2CC[N@H+](Cc3ccncc3)C2)CC1 ZINC001190836319 745345782 /nfs/dbraw/zinc/34/57/82/745345782.db2.gz PVOORBPGSFXRRC-HNNXBMFYSA-N 1 2 321.446 1.684 20 30 DDEDLO C=CCC1(S(=O)(=O)N[C@H]2CC[N@@H+](Cc3ccncc3)C2)CC1 ZINC001190836319 745345783 /nfs/dbraw/zinc/34/57/83/745345783.db2.gz PVOORBPGSFXRRC-HNNXBMFYSA-N 1 2 321.446 1.684 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001190842773 745348447 /nfs/dbraw/zinc/34/84/47/745348447.db2.gz XBYVWIRLQGMIBZ-HZPDHXFCSA-N 1 2 317.364 1.325 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001190842773 745348451 /nfs/dbraw/zinc/34/84/51/745348451.db2.gz XBYVWIRLQGMIBZ-HZPDHXFCSA-N 1 2 317.364 1.325 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2cccc(C(C)(C)C#N)c2)CC1 ZINC001190927964 745373712 /nfs/dbraw/zinc/37/37/12/745373712.db2.gz GTULPNWBWUKGNS-UHFFFAOYSA-N 1 2 315.417 1.892 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)nc1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106620767 745841910 /nfs/dbraw/zinc/84/19/10/745841910.db2.gz MDXBMRVHKWBRNJ-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)nc1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106620767 745841913 /nfs/dbraw/zinc/84/19/13/745841913.db2.gz MDXBMRVHKWBRNJ-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)cn1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106620903 745842478 /nfs/dbraw/zinc/84/24/78/745842478.db2.gz PXQHMQPBTKNTKZ-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)cn1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106620903 745842485 /nfs/dbraw/zinc/84/24/85/745842485.db2.gz PXQHMQPBTKNTKZ-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1O ZINC001193312773 746075251 /nfs/dbraw/zinc/07/52/51/746075251.db2.gz MYARNXZWZAQRCK-WQMVXFAESA-N 1 2 307.221 1.679 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1O ZINC001193312773 746075257 /nfs/dbraw/zinc/07/52/57/746075257.db2.gz MYARNXZWZAQRCK-WQMVXFAESA-N 1 2 307.221 1.679 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cnc[nH]c2=O)[C@@H]1C ZINC000993465634 746174179 /nfs/dbraw/zinc/17/41/79/746174179.db2.gz HWPJMSLDBBOICL-CMPLNLGQSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cnc[nH]c2=O)[C@@H]1C ZINC000993465634 746174181 /nfs/dbraw/zinc/17/41/81/746174181.db2.gz HWPJMSLDBBOICL-CMPLNLGQSA-N 1 2 310.785 1.517 20 30 DDEDLO C#CCN1CCC[C@@H](NC(=O)c2ccn(-c3cc[nH+]cc3)n2)[C@@H]1C ZINC000993581584 746214703 /nfs/dbraw/zinc/21/47/03/746214703.db2.gz XXADYTDFTOSDMO-GOEBONIOSA-N 1 2 323.400 1.483 20 30 DDEDLO CCN(CCNc1ccc(C#N)c(C)n1)C(=O)Cc1[nH]cc[nH+]1 ZINC001106829145 746271761 /nfs/dbraw/zinc/27/17/61/746271761.db2.gz FIKUNSPFJRRJRW-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nccs2)C1 ZINC001194396889 746367569 /nfs/dbraw/zinc/36/75/69/746367569.db2.gz LEWFMUJJFAXJSI-CHWSQXEVSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nccs2)C1 ZINC001194396889 746367572 /nfs/dbraw/zinc/36/75/72/746367572.db2.gz LEWFMUJJFAXJSI-CHWSQXEVSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)CCC)CC1 ZINC001195232335 746546787 /nfs/dbraw/zinc/54/67/87/746546787.db2.gz INZGPTTVXPYLEV-HNNXBMFYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)CCC)CC1 ZINC001195232335 746546789 /nfs/dbraw/zinc/54/67/89/746546789.db2.gz INZGPTTVXPYLEV-HNNXBMFYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1O ZINC001195531724 746638762 /nfs/dbraw/zinc/63/87/62/746638762.db2.gz IHHWWDFTFSIWRL-NUEKZKHPSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1O ZINC001195531724 746638764 /nfs/dbraw/zinc/63/87/64/746638764.db2.gz IHHWWDFTFSIWRL-NUEKZKHPSA-N 1 2 303.406 1.345 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cc(C)ns2)CC1 ZINC001195806671 746711428 /nfs/dbraw/zinc/71/14/28/746711428.db2.gz PTWYFCIFXUHGEL-CQSZACIVSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cc(C)ns2)CC1 ZINC001195806671 746711429 /nfs/dbraw/zinc/71/14/29/746711429.db2.gz PTWYFCIFXUHGEL-CQSZACIVSA-N 1 2 321.446 1.524 20 30 DDEDLO CN(c1ccncc1C#N)[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001061218666 746903028 /nfs/dbraw/zinc/90/30/28/746903028.db2.gz UFZFJRRLAPCEMP-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO C[C@H]1C[C@H]1C(=O)NCC1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001031522340 746952280 /nfs/dbraw/zinc/95/22/80/746952280.db2.gz UCPUTAATFZCLDN-XHDPSFHLSA-N 1 2 301.365 1.901 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)NCC2CCC2)CC1 ZINC001196732189 746952516 /nfs/dbraw/zinc/95/25/16/746952516.db2.gz NRVGDKTVKMDILX-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NCC2CCC2)CC1 ZINC001196732189 746952521 /nfs/dbraw/zinc/95/25/21/746952521.db2.gz NRVGDKTVKMDILX-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCC2(C)C)CC1 ZINC001196824802 746983789 /nfs/dbraw/zinc/98/37/89/746983789.db2.gz RDDBKFSBCUHDAR-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCC2(C)C)CC1 ZINC001196824802 746983796 /nfs/dbraw/zinc/98/37/96/746983796.db2.gz RDDBKFSBCUHDAR-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)CCCO1 ZINC001089550321 747201133 /nfs/dbraw/zinc/20/11/33/747201133.db2.gz MHYZRPZAZSBFOL-CQSZACIVSA-N 1 2 318.421 1.709 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)CC(C)C)CC1 ZINC001197615018 747206462 /nfs/dbraw/zinc/20/64/62/747206462.db2.gz UTJVMUJXGLBPAE-CVEARBPZSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@H](C)CC(C)C)CC1 ZINC001197615018 747206464 /nfs/dbraw/zinc/20/64/64/747206464.db2.gz UTJVMUJXGLBPAE-CVEARBPZSA-N 1 2 321.465 1.341 20 30 DDEDLO CCc1nc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)co1 ZINC001031623602 747234445 /nfs/dbraw/zinc/23/44/45/747234445.db2.gz IQRALBBYGMDJEX-UHFFFAOYSA-N 1 2 324.384 1.970 20 30 DDEDLO Cc1ccnc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)n1 ZINC001031641322 747287833 /nfs/dbraw/zinc/28/78/33/747287833.db2.gz BCVZPBJICVWHDR-UHFFFAOYSA-N 1 2 320.396 1.498 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ncccc2Cl)CC1 ZINC001197885571 747297728 /nfs/dbraw/zinc/29/77/28/747297728.db2.gz ICAFZHSGNUODAH-UHFFFAOYSA-N 1 2 321.808 1.533 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ncccc2Cl)CC1 ZINC001197885571 747297732 /nfs/dbraw/zinc/29/77/32/747297732.db2.gz ICAFZHSGNUODAH-UHFFFAOYSA-N 1 2 321.808 1.533 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2cc(C(N)=O)co2)CC1 ZINC001003603721 747322191 /nfs/dbraw/zinc/32/21/91/747322191.db2.gz UHCLIYYNRRDOFC-UHFFFAOYSA-N 1 2 311.769 1.325 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)[C@H]3CC3(F)F)C2)c1 ZINC001031656237 747324962 /nfs/dbraw/zinc/32/49/62/747324962.db2.gz WDXBDCBRRGJBHE-CYBMUJFWSA-N 1 2 323.318 1.901 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212255587 747407664 /nfs/dbraw/zinc/40/76/64/747407664.db2.gz MZGDYCDGZCCTCA-ZIAGYGMSSA-N 1 2 321.446 1.508 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212255587 747407666 /nfs/dbraw/zinc/40/76/66/747407666.db2.gz MZGDYCDGZCCTCA-ZIAGYGMSSA-N 1 2 321.446 1.508 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(OC)c(OCC)c2)C1 ZINC001044272024 747502331 /nfs/dbraw/zinc/50/23/31/747502331.db2.gz KLKCGFLJTBPMRR-UHFFFAOYSA-N 1 2 316.401 1.873 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C3CC3)nn2CC)C1 ZINC001043029280 752186605 /nfs/dbraw/zinc/18/66/05/752186605.db2.gz MLHFWRCLXYMUJH-UHFFFAOYSA-N 1 2 300.406 1.560 20 30 DDEDLO CCCCc1noc(C[NH2+][C@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000998745344 752191905 /nfs/dbraw/zinc/19/19/05/752191905.db2.gz HCXHQTPOGOZRGM-OLZOCXBDSA-N 1 2 319.409 1.652 20 30 DDEDLO C=C(C)C[NH2+][C@H](CNC(=O)c1cnn[nH]1)c1ccccc1OC ZINC001198786687 747597423 /nfs/dbraw/zinc/59/74/23/747597423.db2.gz XNTDGELAMYMDFR-CYBMUJFWSA-N 1 2 315.377 1.450 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(C(F)(F)F)no2)C1 ZINC001007538396 752195262 /nfs/dbraw/zinc/19/52/62/752195262.db2.gz IOUQIBPZYQAZFM-VIFPVBQESA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C(F)(F)F)no2)C1 ZINC001007538396 752195266 /nfs/dbraw/zinc/19/52/66/752195266.db2.gz IOUQIBPZYQAZFM-VIFPVBQESA-N 1 2 301.268 1.521 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+][C@H](C)c2nnc(C)o2)CCOCC1 ZINC001149324757 747654772 /nfs/dbraw/zinc/65/47/72/747654772.db2.gz AAYJYTQWJINTPR-GFCCVEGCSA-N 1 2 322.409 1.518 20 30 DDEDLO Cc1ncc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3cccc(C#N)c3)C2)[nH]1 ZINC001032961398 747784149 /nfs/dbraw/zinc/78/41/49/747784149.db2.gz RFRVNENVHANOKS-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO N#Cc1cnc(C(=O)Nc2ccc(Cn3cc[nH+]c3)cc2)cn1 ZINC001199666380 747942943 /nfs/dbraw/zinc/94/29/43/747942943.db2.gz UCYMATPUYNLLNY-UHFFFAOYSA-N 1 2 304.313 1.845 20 30 DDEDLO C[C@H]1CO[C@H](C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)C1 ZINC001031858751 748039519 /nfs/dbraw/zinc/03/95/19/748039519.db2.gz CNYZYMYCTFMZCH-DYVFJYSZSA-N 1 2 313.401 1.531 20 30 DDEDLO CN(C(=O)c1ncn(C)n1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033062032 748133999 /nfs/dbraw/zinc/13/39/99/748133999.db2.gz YDRYWHHGIFMMQC-OAHLLOKOSA-N 1 2 324.388 1.033 20 30 DDEDLO CN(C(=O)c1ncn(C)n1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033062032 748134002 /nfs/dbraw/zinc/13/40/02/748134002.db2.gz YDRYWHHGIFMMQC-OAHLLOKOSA-N 1 2 324.388 1.033 20 30 DDEDLO CC(C)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001090084127 748144902 /nfs/dbraw/zinc/14/49/02/748144902.db2.gz TYXKRTAOKUJTBA-HOTGVXAUSA-N 1 2 301.390 1.266 20 30 DDEDLO CC(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001090084127 748144904 /nfs/dbraw/zinc/14/49/04/748144904.db2.gz TYXKRTAOKUJTBA-HOTGVXAUSA-N 1 2 301.390 1.266 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cn(C3CCC3)nn2)C1 ZINC001033088445 748233219 /nfs/dbraw/zinc/23/32/19/748233219.db2.gz NHPAVKGJAJMSFN-CYBMUJFWSA-N 1 2 323.828 1.902 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cn(C3CCC3)nn2)C1 ZINC001033088445 748233224 /nfs/dbraw/zinc/23/32/24/748233224.db2.gz NHPAVKGJAJMSFN-CYBMUJFWSA-N 1 2 323.828 1.902 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2C[NH+]([C@H](C)c3cnccn3)C2)c1 ZINC001031963390 748311907 /nfs/dbraw/zinc/31/19/07/748311907.db2.gz MNOUYQBJAVVWIZ-CYBMUJFWSA-N 1 2 321.384 1.276 20 30 DDEDLO C=CCCC(=O)NCC[NH2+]Cc1nc(CC(F)(F)F)no1 ZINC001124646218 748379065 /nfs/dbraw/zinc/37/90/65/748379065.db2.gz JQRMSRAMPLQEOK-UHFFFAOYSA-N 1 2 306.288 1.346 20 30 DDEDLO Cc1ocnc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004375747 748382800 /nfs/dbraw/zinc/38/28/00/748382800.db2.gz SHKMFDDNZXXANC-CQSZACIVSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1ocnc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004375747 748382809 /nfs/dbraw/zinc/38/28/09/748382809.db2.gz SHKMFDDNZXXANC-CQSZACIVSA-N 1 2 302.378 1.823 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnc3[nH]cnc3c2)C1 ZINC001033115369 748408887 /nfs/dbraw/zinc/40/88/87/748408887.db2.gz MHOINGUJPKGADJ-LBPRGKRZSA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnc3[nH]cnc3c2)C1 ZINC001033115369 748408889 /nfs/dbraw/zinc/40/88/89/748408889.db2.gz MHOINGUJPKGADJ-LBPRGKRZSA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc3n(n2)CCO3)C1 ZINC001033154465 748654742 /nfs/dbraw/zinc/65/47/42/748654742.db2.gz QQZNEQOIMQHFLH-NSHDSACASA-N 1 2 310.785 1.174 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc3n(n2)CCO3)C1 ZINC001033154465 748654747 /nfs/dbraw/zinc/65/47/47/748654747.db2.gz QQZNEQOIMQHFLH-NSHDSACASA-N 1 2 310.785 1.174 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)[C@@H](O)C1 ZINC001083845699 748669519 /nfs/dbraw/zinc/66/95/19/748669519.db2.gz OPDUSPOOYGKONC-MLHJIOFPSA-N 1 2 312.413 1.201 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)[C@@H](O)C1 ZINC001083845699 748669522 /nfs/dbraw/zinc/66/95/22/748669522.db2.gz OPDUSPOOYGKONC-MLHJIOFPSA-N 1 2 312.413 1.201 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+][C@@H](C)c1csnn1)OCC ZINC001128539825 748765291 /nfs/dbraw/zinc/76/52/91/748765291.db2.gz LEWFULLMLFCYNF-WCQYABFASA-N 1 2 312.439 1.676 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1coc(C)n1 ZINC001153018479 748801033 /nfs/dbraw/zinc/80/10/33/748801033.db2.gz FJTNKFIELZBBNJ-WBMJQRKESA-N 1 2 309.410 1.637 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1coc(C)n1 ZINC001153018479 748801037 /nfs/dbraw/zinc/80/10/37/748801037.db2.gz FJTNKFIELZBBNJ-WBMJQRKESA-N 1 2 309.410 1.637 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C(F)F)c2)C1 ZINC001108305872 761890349 /nfs/dbraw/zinc/89/03/49/761890349.db2.gz BXSDDCXAHFCHJL-AWEZNQCLSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C(F)F)c2)C1 ZINC001108305872 761890359 /nfs/dbraw/zinc/89/03/59/761890359.db2.gz BXSDDCXAHFCHJL-AWEZNQCLSA-N 1 2 314.336 1.285 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)c2csnn2)c1 ZINC001038555920 748815406 /nfs/dbraw/zinc/81/54/06/748815406.db2.gz AANRABJFDVKFGT-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2csnn2)c1 ZINC001038555920 748815411 /nfs/dbraw/zinc/81/54/11/748815411.db2.gz AANRABJFDVKFGT-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2scnc2C)C1 ZINC001108318102 761892353 /nfs/dbraw/zinc/89/23/53/761892353.db2.gz WBGLGWXLGJZDBW-INIZCTEOSA-N 1 2 323.462 1.777 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2scnc2C)C1 ZINC001108318102 761892365 /nfs/dbraw/zinc/89/23/65/761892365.db2.gz WBGLGWXLGJZDBW-INIZCTEOSA-N 1 2 323.462 1.777 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCc3cncn3C2)C1 ZINC001033212518 748946788 /nfs/dbraw/zinc/94/67/88/748946788.db2.gz UXAYHCWRHRTZFS-DZGCQCFKSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCc3cncn3C2)C1 ZINC001033212518 748946794 /nfs/dbraw/zinc/94/67/94/748946794.db2.gz UXAYHCWRHRTZFS-DZGCQCFKSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](C)[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001004991896 748989633 /nfs/dbraw/zinc/98/96/33/748989633.db2.gz OYBVWBOURIHWMV-KBPBESRZSA-N 1 2 318.421 1.449 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CC23CCCC3)C1 ZINC001108325409 761916370 /nfs/dbraw/zinc/91/63/70/761916370.db2.gz OTAGINIRYCGFEN-RDJZCZTQSA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CC23CCCC3)C1 ZINC001108325409 761916371 /nfs/dbraw/zinc/91/63/71/761916371.db2.gz OTAGINIRYCGFEN-RDJZCZTQSA-N 1 2 304.434 1.797 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114340809 749089042 /nfs/dbraw/zinc/08/90/42/749089042.db2.gz NGJSTNHRFUKFBP-ATCWAGBWSA-N 1 2 318.421 1.781 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114340809 749089046 /nfs/dbraw/zinc/08/90/46/749089046.db2.gz NGJSTNHRFUKFBP-ATCWAGBWSA-N 1 2 318.421 1.781 20 30 DDEDLO CN(C(=O)c1cnco1)[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001033306192 749157845 /nfs/dbraw/zinc/15/78/45/749157845.db2.gz BAHHMMNPWJTCGT-LLVKDONJSA-N 1 2 316.386 1.954 20 30 DDEDLO CN(C(=O)c1cnco1)[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001033306192 749157847 /nfs/dbraw/zinc/15/78/47/749157847.db2.gz BAHHMMNPWJTCGT-LLVKDONJSA-N 1 2 316.386 1.954 20 30 DDEDLO CN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)[C@@H]1CCN(CC#N)C1 ZINC001033308057 749167692 /nfs/dbraw/zinc/16/76/92/749167692.db2.gz CKOFSNICKXBGDY-MRXNPFEDSA-N 1 2 309.373 1.542 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncoc2C2CC2)C1 ZINC001108323741 761934377 /nfs/dbraw/zinc/93/43/77/761934377.db2.gz OKOOOAGWROBNNU-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncoc2C2CC2)C1 ZINC001108323741 761934382 /nfs/dbraw/zinc/93/43/82/761934382.db2.gz OKOOOAGWROBNNU-INIZCTEOSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]([NH2+]Cc2nc(C)no2)C(C)(C)C1 ZINC000996140107 749392700 /nfs/dbraw/zinc/39/27/00/749392700.db2.gz SSSCEZBFPZRBKW-LBPRGKRZSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc(C)nc(C)n2)C1 ZINC001033498103 749441956 /nfs/dbraw/zinc/44/19/56/749441956.db2.gz HGWGGLNDUSRGOV-ZDUSSCGKSA-N 1 2 308.813 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(C)nc(C)n2)C1 ZINC001033498103 749441959 /nfs/dbraw/zinc/44/19/59/749441959.db2.gz HGWGGLNDUSRGOV-ZDUSSCGKSA-N 1 2 308.813 1.992 20 30 DDEDLO N#Cc1ccc(NC/C=C/CNC(=O)CCc2[nH]cc[nH+]2)cn1 ZINC001107173649 749490184 /nfs/dbraw/zinc/49/01/84/749490184.db2.gz PCXJYIYYJSOCJR-OWOJBTEDSA-N 1 2 310.361 1.393 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H](F)C(C)C)nn2)C1 ZINC001107193394 749537762 /nfs/dbraw/zinc/53/77/62/749537762.db2.gz BYYWDCSGAWGMOC-CQSZACIVSA-N 1 2 309.389 1.321 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@H](C)C3CC3)nn2)C1 ZINC001107238582 749679745 /nfs/dbraw/zinc/67/97/45/749679745.db2.gz FCVJYESNXXDUMM-LBPRGKRZSA-N 1 2 303.410 1.373 20 30 DDEDLO Cc1cc(N2CCC(NC(=O)[C@@H](C)C#N)CC2)nc(C2CC2)[nH+]1 ZINC001095398977 749714772 /nfs/dbraw/zinc/71/47/72/749714772.db2.gz KMGZKIYUVKVXFF-NSHDSACASA-N 1 2 313.405 1.907 20 30 DDEDLO Cc1nc(N2CCC(NC(=O)[C@@H](C)C#N)CC2)c(C)c(C)[nH+]1 ZINC001095398833 749714833 /nfs/dbraw/zinc/71/48/33/749714833.db2.gz FGZGUNSTUCIVAM-JTQLQIEISA-N 1 2 301.394 1.647 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC/C=C\CNc1ncccc1C#N ZINC001107286165 749738025 /nfs/dbraw/zinc/73/80/25/749738025.db2.gz WMLSBNPXEHCOMZ-IHWYPQMZSA-N 1 2 310.361 1.312 20 30 DDEDLO Cc1nonc1C(=O)N(C)[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001033711664 749760337 /nfs/dbraw/zinc/76/03/37/749760337.db2.gz VMYJBGLRXBJYKE-INIZCTEOSA-N 1 2 324.384 1.576 20 30 DDEDLO Cc1nonc1C(=O)N(C)[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001033711664 749760344 /nfs/dbraw/zinc/76/03/44/749760344.db2.gz VMYJBGLRXBJYKE-INIZCTEOSA-N 1 2 324.384 1.576 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@]1(C)C[N@H+](CC=C)CCO1 ZINC001108365351 761983696 /nfs/dbraw/zinc/98/36/96/761983696.db2.gz HDPZDLOTIXQBNR-IBGZPJMESA-N 1 2 312.413 1.604 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@]1(C)C[N@@H+](CC=C)CCO1 ZINC001108365351 761983706 /nfs/dbraw/zinc/98/37/06/761983706.db2.gz HDPZDLOTIXQBNR-IBGZPJMESA-N 1 2 312.413 1.604 20 30 DDEDLO Cc1nn(C(C)C)cc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)C#N ZINC001032318181 749936791 /nfs/dbraw/zinc/93/67/91/749936791.db2.gz ISYDFLVUXIBKRG-KCXAZCMYSA-N 1 2 315.421 1.717 20 30 DDEDLO Cc1nn(C(C)C)cc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)C#N ZINC001032318181 749936794 /nfs/dbraw/zinc/93/67/94/749936794.db2.gz ISYDFLVUXIBKRG-KCXAZCMYSA-N 1 2 315.421 1.717 20 30 DDEDLO C=CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc3c1CCCC3)C2 ZINC001095674908 750133390 /nfs/dbraw/zinc/13/33/90/750133390.db2.gz QBPHWAIEMNULQG-UGFHNGPFSA-N 1 2 300.406 1.810 20 30 DDEDLO C=CCCn1cc(C(=O)N(CC)[C@H]2CC[N@H+](CCF)C2)nn1 ZINC001033980816 750219649 /nfs/dbraw/zinc/21/96/49/750219649.db2.gz ZCUBFJXHRVCZJZ-ZDUSSCGKSA-N 1 2 309.389 1.360 20 30 DDEDLO C=CCCn1cc(C(=O)N(CC)[C@H]2CC[N@@H+](CCF)C2)nn1 ZINC001033980816 750219656 /nfs/dbraw/zinc/21/96/56/750219656.db2.gz ZCUBFJXHRVCZJZ-ZDUSSCGKSA-N 1 2 309.389 1.360 20 30 DDEDLO Cc1nc(NC2(CNC(=O)[C@H](C)C#N)CCOCC2)cc[nH+]1 ZINC001110977581 750273895 /nfs/dbraw/zinc/27/38/95/750273895.db2.gz ROOOLXHFCWPGGG-LLVKDONJSA-N 1 2 303.366 1.022 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001111072606 750348836 /nfs/dbraw/zinc/34/88/36/750348836.db2.gz OJXQTKFCZKYRPD-KFWWJZLASA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001111072606 750348843 /nfs/dbraw/zinc/34/88/43/750348843.db2.gz OJXQTKFCZKYRPD-KFWWJZLASA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CC(C)C)C2 ZINC001111191261 750426033 /nfs/dbraw/zinc/42/60/33/750426033.db2.gz LXRXFUNDQJAEJW-FPCVCCKLSA-N 1 2 321.465 1.692 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CC(C)C)C2 ZINC001111191261 750426035 /nfs/dbraw/zinc/42/60/35/750426035.db2.gz LXRXFUNDQJAEJW-FPCVCCKLSA-N 1 2 321.465 1.692 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(=O)N1 ZINC001039461513 762052442 /nfs/dbraw/zinc/05/24/42/762052442.db2.gz VODJLMXWBUQNQI-AGIUHOORSA-N 1 2 311.813 1.083 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(=O)N1 ZINC001039461513 762052448 /nfs/dbraw/zinc/05/24/48/762052448.db2.gz VODJLMXWBUQNQI-AGIUHOORSA-N 1 2 311.813 1.083 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)c(OC)c2)C1 ZINC001077784678 750640122 /nfs/dbraw/zinc/64/01/22/750640122.db2.gz NRAHTFQIALTVSD-ZIAGYGMSSA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)c(OC)c2)C1 ZINC001077784678 750640125 /nfs/dbraw/zinc/64/01/25/750640125.db2.gz NRAHTFQIALTVSD-ZIAGYGMSSA-N 1 2 322.792 1.147 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2CC(C)(C)C2)[C@@H](O)C1 ZINC001090242703 750710991 /nfs/dbraw/zinc/71/09/91/750710991.db2.gz ALUHZWYJQZMSND-STQMWFEESA-N 1 2 300.830 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2CC(C)(C)C2)[C@@H](O)C1 ZINC001090242703 750710996 /nfs/dbraw/zinc/71/09/96/750710996.db2.gz ALUHZWYJQZMSND-STQMWFEESA-N 1 2 300.830 1.727 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C(CC)CC)no2)C1 ZINC001077799628 750763519 /nfs/dbraw/zinc/76/35/19/750763519.db2.gz GDULOYPMTHTOLA-HUUCEWRRSA-N 1 2 319.405 1.376 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C(CC)CC)no2)C1 ZINC001077799628 750763522 /nfs/dbraw/zinc/76/35/22/750763522.db2.gz GDULOYPMTHTOLA-HUUCEWRRSA-N 1 2 319.405 1.376 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)cs2)[C@H](O)C1 ZINC001090249823 750764670 /nfs/dbraw/zinc/76/46/70/750764670.db2.gz SDWTVEXMOCRHGT-VXGBXAGGSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)cs2)[C@H](O)C1 ZINC001090249823 750764673 /nfs/dbraw/zinc/76/46/73/750764673.db2.gz SDWTVEXMOCRHGT-VXGBXAGGSA-N 1 2 314.838 1.974 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001107945494 750789360 /nfs/dbraw/zinc/78/93/60/750789360.db2.gz ICMOSHFUVSJPPP-SUMWQHHRSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001107945494 750789366 /nfs/dbraw/zinc/78/93/66/750789366.db2.gz ICMOSHFUVSJPPP-SUMWQHHRSA-N 1 2 318.421 1.223 20 30 DDEDLO N#CCN[C@H]1CC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CC1 ZINC001034777611 750854957 /nfs/dbraw/zinc/85/49/57/750854957.db2.gz VYLOIOJZYXLQCI-HDJSIYSDSA-N 1 2 324.388 1.421 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nc(C)no1)C2 ZINC001111658923 750863870 /nfs/dbraw/zinc/86/38/70/750863870.db2.gz UZTYRBNSERRZHY-BBRMVZONSA-N 1 2 320.393 1.051 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nc(C)no1)C2 ZINC001111658923 750863877 /nfs/dbraw/zinc/86/38/77/750863877.db2.gz UZTYRBNSERRZHY-BBRMVZONSA-N 1 2 320.393 1.051 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(-n2cccc2)c1 ZINC001032461773 750885918 /nfs/dbraw/zinc/88/59/18/750885918.db2.gz YGEBXPIPTMKUGW-IRXDYDNUSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(-n2cccc2)c1 ZINC001032461773 750885926 /nfs/dbraw/zinc/88/59/26/750885926.db2.gz YGEBXPIPTMKUGW-IRXDYDNUSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1C1CCC1 ZINC001032484335 750996250 /nfs/dbraw/zinc/99/62/50/750996250.db2.gz IUOBEEUFWGXHKE-GJZGRUSLSA-N 1 2 312.417 1.610 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1C1CCC1 ZINC001032484335 750996253 /nfs/dbraw/zinc/99/62/53/750996253.db2.gz IUOBEEUFWGXHKE-GJZGRUSLSA-N 1 2 312.417 1.610 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCC[C@@H]2C[NH2+]Cc2cnsn2)c1 ZINC001034895203 751010343 /nfs/dbraw/zinc/01/03/43/751010343.db2.gz JOHYMWZTPOSTEK-GFCCVEGCSA-N 1 2 316.390 1.132 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114722346 751026181 /nfs/dbraw/zinc/02/61/81/751026181.db2.gz GJMIKKGYUDWKFN-MKVSYHDVSA-N 1 2 314.433 1.934 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114722346 751026188 /nfs/dbraw/zinc/02/61/88/751026188.db2.gz GJMIKKGYUDWKFN-MKVSYHDVSA-N 1 2 314.433 1.934 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114792519 751084463 /nfs/dbraw/zinc/08/44/63/751084463.db2.gz UNDQEZJFYPUOCX-YQYZPQCESA-N 1 2 314.433 1.934 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114792519 751084469 /nfs/dbraw/zinc/08/44/69/751084469.db2.gz UNDQEZJFYPUOCX-YQYZPQCESA-N 1 2 314.433 1.934 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1N1CCCC1 ZINC001032503033 751103403 /nfs/dbraw/zinc/10/34/03/751103403.db2.gz AKHJKZGWTBYLCG-HOTGVXAUSA-N 1 2 324.428 1.604 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1N1CCCC1 ZINC001032503033 751103405 /nfs/dbraw/zinc/10/34/05/751103405.db2.gz AKHJKZGWTBYLCG-HOTGVXAUSA-N 1 2 324.428 1.604 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1C[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001114823156 751137403 /nfs/dbraw/zinc/13/74/03/751137403.db2.gz YQBOBZWTDGOFKC-NNUKFRKNSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1C[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001114823156 751137405 /nfs/dbraw/zinc/13/74/05/751137405.db2.gz YQBOBZWTDGOFKC-NNUKFRKNSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncc3n2CCCC3)C1 ZINC001108408557 762102457 /nfs/dbraw/zinc/10/24/57/762102457.db2.gz KSADHOZUPGXJBT-KRWDZBQOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncc3n2CCCC3)C1 ZINC001108408557 762102459 /nfs/dbraw/zinc/10/24/59/762102459.db2.gz KSADHOZUPGXJBT-KRWDZBQOSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc([C@@H](C)OC)no2)CCC1 ZINC001129120869 751295645 /nfs/dbraw/zinc/29/56/45/751295645.db2.gz IAEPNXSDPCBBHJ-GFCCVEGCSA-N 1 2 320.393 1.176 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC000999128845 752528073 /nfs/dbraw/zinc/52/80/73/752528073.db2.gz NIFKKIIAGBCMMZ-LLVKDONJSA-N 1 2 324.331 1.501 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccccc1NC(=O)CC ZINC001032689528 752706490 /nfs/dbraw/zinc/70/64/90/752706490.db2.gz QUEDICJNEGYBLZ-KBPBESRZSA-N 1 2 311.385 1.567 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccccc1NC(=O)CC ZINC001032689528 752706494 /nfs/dbraw/zinc/70/64/94/752706494.db2.gz QUEDICJNEGYBLZ-KBPBESRZSA-N 1 2 311.385 1.567 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cncn3C)C2)c1 ZINC001008467645 752748197 /nfs/dbraw/zinc/74/81/97/752748197.db2.gz FKTPSXKMHLZRTA-MRXNPFEDSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CCC[N@H+](Cc3cncn3C)C2)c1 ZINC001008467645 752748199 /nfs/dbraw/zinc/74/81/99/752748199.db2.gz FKTPSXKMHLZRTA-MRXNPFEDSA-N 1 2 323.400 1.191 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)c2ccnnc2)C1 ZINC001008642746 752838118 /nfs/dbraw/zinc/83/81/18/752838118.db2.gz UHNWGZYMXXKUGF-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)c2ccnnc2)C1 ZINC001008642746 752838125 /nfs/dbraw/zinc/83/81/25/752838125.db2.gz UHNWGZYMXXKUGF-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001062325156 752890189 /nfs/dbraw/zinc/89/01/89/752890189.db2.gz BGZXBOMAOVDTRB-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2CCC[N@@H+](C/C=C\Cl)C2)nn1 ZINC001008827604 752937380 /nfs/dbraw/zinc/93/73/80/752937380.db2.gz ZXMOFIHIBARSHA-SCXUMTSISA-N 1 2 323.828 1.801 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2CCC[N@H+](C/C=C\Cl)C2)nn1 ZINC001008827604 752937386 /nfs/dbraw/zinc/93/73/86/752937386.db2.gz ZXMOFIHIBARSHA-SCXUMTSISA-N 1 2 323.828 1.801 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CCC[N@@H+](C/C=C/Cl)C2)nn1 ZINC001008827602 752937554 /nfs/dbraw/zinc/93/75/54/752937554.db2.gz ZXMOFIHIBARSHA-IYNGYCSASA-N 1 2 323.828 1.801 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CCC[N@H+](C/C=C/Cl)C2)nn1 ZINC001008827602 752937558 /nfs/dbraw/zinc/93/75/58/752937558.db2.gz ZXMOFIHIBARSHA-IYNGYCSASA-N 1 2 323.828 1.801 20 30 DDEDLO Cc1cc(N2C[C@@H]3C[C@H]2CN3C(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001062456888 753014509 /nfs/dbraw/zinc/01/45/09/753014509.db2.gz BUYOIXYJPOPPID-SWHYSGLUSA-N 1 2 311.389 1.612 20 30 DDEDLO C#CCC1(C(=O)NC[C@]2(C)C[N@H+](CC#CC)CCO2)CCC1 ZINC001107990194 753079224 /nfs/dbraw/zinc/07/92/24/753079224.db2.gz BYJHQLOLFHHDTD-QGZVFWFLSA-N 1 2 302.418 1.410 20 30 DDEDLO C#CCC1(C(=O)NC[C@]2(C)C[N@@H+](CC#CC)CCO2)CCC1 ZINC001107990194 753079230 /nfs/dbraw/zinc/07/92/30/753079230.db2.gz BYJHQLOLFHHDTD-QGZVFWFLSA-N 1 2 302.418 1.410 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)COC2CCC(C)CC2)C1 ZINC001108438042 762184470 /nfs/dbraw/zinc/18/44/70/762184470.db2.gz UDKRYXRYLCAHLU-HTWSVDAQSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)COC2CCC(C)CC2)C1 ZINC001108438042 762184473 /nfs/dbraw/zinc/18/44/73/762184473.db2.gz UDKRYXRYLCAHLU-HTWSVDAQSA-N 1 2 324.465 1.975 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3conc3C)C2)nc1 ZINC001009244170 753124677 /nfs/dbraw/zinc/12/46/77/753124677.db2.gz BAFDVWNLQSKLPC-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3conc3C)C2)nc1 ZINC001009244170 753124682 /nfs/dbraw/zinc/12/46/82/753124682.db2.gz BAFDVWNLQSKLPC-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO N#CCN[C@@H]1CCCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000999823458 753191562 /nfs/dbraw/zinc/19/15/62/753191562.db2.gz UZHBQCNKDWRVEN-OAHLLOKOSA-N 1 2 309.373 1.590 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001108168954 753301665 /nfs/dbraw/zinc/30/16/65/753301665.db2.gz IZPYWMNWUDNONN-AEFFLSMTSA-N 1 2 300.402 1.719 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001108168954 753301668 /nfs/dbraw/zinc/30/16/68/753301668.db2.gz IZPYWMNWUDNONN-AEFFLSMTSA-N 1 2 300.402 1.719 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)ccc2C)C1 ZINC001077856613 753328347 /nfs/dbraw/zinc/32/83/47/753328347.db2.gz AXWYWHSXOSSMJV-HUUCEWRRSA-N 1 2 306.793 1.447 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)ccc2C)C1 ZINC001077856613 753328350 /nfs/dbraw/zinc/32/83/50/753328350.db2.gz AXWYWHSXOSSMJV-HUUCEWRRSA-N 1 2 306.793 1.447 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1OCC(C)C ZINC001032739442 753416102 /nfs/dbraw/zinc/41/61/02/753416102.db2.gz SCBASADSCWKLRL-GJZGRUSLSA-N 1 2 313.401 1.648 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1OCC(C)C ZINC001032739442 753416105 /nfs/dbraw/zinc/41/61/05/753416105.db2.gz SCBASADSCWKLRL-GJZGRUSLSA-N 1 2 313.401 1.648 20 30 DDEDLO C#CCCCC(=O)NCC1(NC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001062863599 753770003 /nfs/dbraw/zinc/77/00/03/753770003.db2.gz PSBNEKDCIBTAJB-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO C#CCCCC(=O)NCC1(NC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001062863599 753770008 /nfs/dbraw/zinc/77/00/08/753770008.db2.gz PSBNEKDCIBTAJB-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)[nH]1 ZINC001010379759 753837712 /nfs/dbraw/zinc/83/77/12/753837712.db2.gz LBDOXZROLRQVJX-LLVKDONJSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)[nH]1 ZINC001010379759 753837720 /nfs/dbraw/zinc/83/77/20/753837720.db2.gz LBDOXZROLRQVJX-LLVKDONJSA-N 1 2 315.402 1.656 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cccc(C)c3o2)C1 ZINC001078237762 753873666 /nfs/dbraw/zinc/87/36/66/753873666.db2.gz NKFHSKIKALUWCC-HUUCEWRRSA-N 1 2 312.369 1.539 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cccc(C)c3o2)C1 ZINC001078237762 753873671 /nfs/dbraw/zinc/87/36/71/753873671.db2.gz NKFHSKIKALUWCC-HUUCEWRRSA-N 1 2 312.369 1.539 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H](CC)C(N)=O ZINC001039897318 762257056 /nfs/dbraw/zinc/25/70/56/762257056.db2.gz YAISOXDDRYJPAC-FSZRXZPDSA-N 1 2 321.465 1.918 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H](CC)C(N)=O ZINC001039897318 762257057 /nfs/dbraw/zinc/25/70/57/762257057.db2.gz YAISOXDDRYJPAC-FSZRXZPDSA-N 1 2 321.465 1.918 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@H](NC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001063197837 754038510 /nfs/dbraw/zinc/03/85/10/754038510.db2.gz GJIKIKVSXHYICF-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001060993582 754194557 /nfs/dbraw/zinc/19/45/57/754194557.db2.gz WGTGMPFKLMRXEA-KFWWJZLASA-N 1 2 316.405 1.637 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)CC[C@@H]1Nc1ccc(C#N)nc1 ZINC001063754942 754331728 /nfs/dbraw/zinc/33/17/28/754331728.db2.gz XKGCCHJWRPRJLC-BBRMVZONSA-N 1 2 324.388 1.499 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001078755798 754353270 /nfs/dbraw/zinc/35/32/70/754353270.db2.gz AHUXNTPDNCFATA-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO CC#CCCCC(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064071584 754483407 /nfs/dbraw/zinc/48/34/07/754483407.db2.gz BWNJWEODCJDBKJ-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCC[C@H]2NC(=O)Cc2c[nH]c[nH+]2)cn1 ZINC001064141343 754538802 /nfs/dbraw/zinc/53/88/02/754538802.db2.gz SATNALOAHSZLSL-MLGOLLRUSA-N 1 2 324.388 1.616 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)[C@@H](O)C1 ZINC001083906736 754767134 /nfs/dbraw/zinc/76/71/34/754767134.db2.gz SSSZUVGSOAWHOE-CVEARBPZSA-N 1 2 311.385 1.193 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)[C@@H](O)C1 ZINC001083906736 754767135 /nfs/dbraw/zinc/76/71/35/754767135.db2.gz SSSZUVGSOAWHOE-CVEARBPZSA-N 1 2 311.385 1.193 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C23CCC(CC2)C3)[C@H](O)C1 ZINC001090363423 755082982 /nfs/dbraw/zinc/08/29/82/755082982.db2.gz OBBKIYHRTMPGQN-IOSBVQAASA-N 1 2 312.841 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C23CCC(CC2)C3)[C@H](O)C1 ZINC001090363423 755082988 /nfs/dbraw/zinc/08/29/88/755082988.db2.gz OBBKIYHRTMPGQN-IOSBVQAASA-N 1 2 312.841 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cccc3ncnn32)C1 ZINC001080056331 755700909 /nfs/dbraw/zinc/70/09/09/755700909.db2.gz KEODCDCOKSUDPJ-ZYHUDNBSSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cccc3ncnn32)C1 ZINC001080056331 755700912 /nfs/dbraw/zinc/70/09/12/755700912.db2.gz KEODCDCOKSUDPJ-ZYHUDNBSSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C1 ZINC001014466941 755747338 /nfs/dbraw/zinc/74/73/38/755747338.db2.gz CZXZGEKLJIRNOA-YUELXQCFSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C1 ZINC001014466941 755747343 /nfs/dbraw/zinc/74/73/43/755747343.db2.gz CZXZGEKLJIRNOA-YUELXQCFSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001080452542 755912629 /nfs/dbraw/zinc/91/26/29/755912629.db2.gz SLUZMOHAKNISIR-DJSGYFEHSA-N 1 2 319.836 1.985 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001080452542 755912632 /nfs/dbraw/zinc/91/26/32/755912632.db2.gz SLUZMOHAKNISIR-DJSGYFEHSA-N 1 2 319.836 1.985 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001080529388 755969045 /nfs/dbraw/zinc/96/90/45/755969045.db2.gz FUYPNQKQHFWJKK-BXKDBHETSA-N 1 2 317.220 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001080529388 755969046 /nfs/dbraw/zinc/96/90/46/755969046.db2.gz FUYPNQKQHFWJKK-BXKDBHETSA-N 1 2 317.220 1.726 20 30 DDEDLO C#CCCCCC(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080628444 756010917 /nfs/dbraw/zinc/01/09/17/756010917.db2.gz OHMMGBWFXDVXRW-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO N#CCN1CCC[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)C1 ZINC001040253289 762461830 /nfs/dbraw/zinc/46/18/30/762461830.db2.gz LTFSOTLKQVVGBV-CKEIUWERSA-N 1 2 313.405 1.351 20 30 DDEDLO N#CCN1CCC[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)C1 ZINC001040253289 762461834 /nfs/dbraw/zinc/46/18/34/762461834.db2.gz LTFSOTLKQVVGBV-CKEIUWERSA-N 1 2 313.405 1.351 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001015775003 756538613 /nfs/dbraw/zinc/53/86/13/756538613.db2.gz IZLXVCABQJJVDV-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001015775003 756538616 /nfs/dbraw/zinc/53/86/16/756538616.db2.gz IZLXVCABQJJVDV-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001015813728 756568731 /nfs/dbraw/zinc/56/87/31/756568731.db2.gz XVAGCUKBRRNONL-NEPJUHHUSA-N 1 2 305.403 1.432 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001015813728 756568734 /nfs/dbraw/zinc/56/87/34/756568734.db2.gz XVAGCUKBRRNONL-NEPJUHHUSA-N 1 2 305.403 1.432 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccoc2C2CC2)[C@H](OC)C1 ZINC001082069548 756623739 /nfs/dbraw/zinc/62/37/39/756623739.db2.gz NYQDNZKESVPEAB-HUUCEWRRSA-N 1 2 302.374 1.609 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccoc2C2CC2)[C@H](OC)C1 ZINC001082069548 756623743 /nfs/dbraw/zinc/62/37/43/756623743.db2.gz NYQDNZKESVPEAB-HUUCEWRRSA-N 1 2 302.374 1.609 20 30 DDEDLO Cc1nc(NC[C@]2(C)CN(C(=O)[C@H](C)C#N)C[C@H]2C)cc[nH+]1 ZINC001040306757 762482857 /nfs/dbraw/zinc/48/28/57/762482857.db2.gz IAZNQICGBWWOPS-XHBSWPGZSA-N 1 2 301.394 1.841 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)[C@H](OC)C1 ZINC001082228525 756703423 /nfs/dbraw/zinc/70/34/23/756703423.db2.gz BKMWQRLJNCISPU-QZTJIDSGSA-N 1 2 310.397 1.708 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)[C@H](OC)C1 ZINC001082228525 756703424 /nfs/dbraw/zinc/70/34/24/756703424.db2.gz BKMWQRLJNCISPU-QZTJIDSGSA-N 1 2 310.397 1.708 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3nc(C)ccc3o2)[C@H](OC)C1 ZINC001082336988 756744092 /nfs/dbraw/zinc/74/40/92/756744092.db2.gz UAGQTZIQBAZJDK-CZUORRHYSA-N 1 2 313.357 1.198 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3nc(C)ccc3o2)[C@H](OC)C1 ZINC001082336988 756744095 /nfs/dbraw/zinc/74/40/95/756744095.db2.gz UAGQTZIQBAZJDK-CZUORRHYSA-N 1 2 313.357 1.198 20 30 DDEDLO C=CCOC[C@H]1c2c(ncn2C)CC[N@@H+]1[C@H]1CCN(C(C)=O)C1 ZINC001169546345 762523917 /nfs/dbraw/zinc/52/39/17/762523917.db2.gz RVIQKQKBDIQXJN-HOCLYGCPSA-N 1 2 318.421 1.143 20 30 DDEDLO C=CCOC[C@H]1c2c(ncn2C)CC[N@H+]1[C@H]1CCN(C(C)=O)C1 ZINC001169546345 762523922 /nfs/dbraw/zinc/52/39/22/762523922.db2.gz RVIQKQKBDIQXJN-HOCLYGCPSA-N 1 2 318.421 1.143 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3nccc4ccccc43)[C@H]2C1 ZINC001083178265 757182205 /nfs/dbraw/zinc/18/22/05/757182205.db2.gz KQAKRSCALNZZNQ-DLBZAZTESA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3nccc4ccccc43)[C@H]2C1 ZINC001083178265 757182210 /nfs/dbraw/zinc/18/22/10/757182210.db2.gz KQAKRSCALNZZNQ-DLBZAZTESA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4ccccc4n3C)[C@H]2C1 ZINC001083178493 757182338 /nfs/dbraw/zinc/18/23/38/757182338.db2.gz WVTZEQYMZUFRCY-ZWKOTPCHSA-N 1 2 323.396 1.337 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4ccccc4n3C)[C@H]2C1 ZINC001083178493 757182343 /nfs/dbraw/zinc/18/23/43/757182343.db2.gz WVTZEQYMZUFRCY-ZWKOTPCHSA-N 1 2 323.396 1.337 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(OC3CCC3)cc2)[C@@H](O)C1 ZINC001084078074 757254307 /nfs/dbraw/zinc/25/43/07/757254307.db2.gz MIAQWCLDYHUSMM-SJORKVTESA-N 1 2 314.385 1.026 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(OC3CCC3)cc2)[C@@H](O)C1 ZINC001084078074 757254311 /nfs/dbraw/zinc/25/43/11/757254311.db2.gz MIAQWCLDYHUSMM-SJORKVTESA-N 1 2 314.385 1.026 20 30 DDEDLO Cc1cc(N[C@H](C)CNC(=O)CSCC#N)nc(C2CC2)[nH+]1 ZINC001097675794 757346624 /nfs/dbraw/zinc/34/66/24/757346624.db2.gz FRVHZMPCFIGVBK-LLVKDONJSA-N 1 2 319.434 1.836 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3ccnc(Cl)c3)C[C@H]21 ZINC001084236081 757437286 /nfs/dbraw/zinc/43/72/86/757437286.db2.gz ZTQXYRMHSAMLLR-IACUBPJLSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccnc(Cl)c3)C[C@H]21 ZINC001084236081 757437288 /nfs/dbraw/zinc/43/72/88/757437288.db2.gz ZTQXYRMHSAMLLR-IACUBPJLSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3ccccc3C#N)C[C@H]21 ZINC001084236752 757438384 /nfs/dbraw/zinc/43/83/84/757438384.db2.gz PWCCKBNXVHBPTE-IAOVAPTHSA-N 1 2 308.385 1.751 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccccc3C#N)C[C@H]21 ZINC001084236752 757438388 /nfs/dbraw/zinc/43/83/88/757438388.db2.gz PWCCKBNXVHBPTE-IAOVAPTHSA-N 1 2 308.385 1.751 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CC[N@@H+]([C@H](C)C(N)=O)C[C@H]21 ZINC001084371815 757523453 /nfs/dbraw/zinc/52/34/53/757523453.db2.gz YCQBOHIKHGXKCM-YUSALJHKSA-N 1 2 313.829 1.172 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CC[N@H+]([C@H](C)C(N)=O)C[C@H]21 ZINC001084371815 757523458 /nfs/dbraw/zinc/52/34/58/757523458.db2.gz YCQBOHIKHGXKCM-YUSALJHKSA-N 1 2 313.829 1.172 20 30 DDEDLO N#CCN1CC[C@@H]2CN(C(=O)c3ccc(-n4cc[nH+]c4)cc3)[C@@H]2C1 ZINC001084553784 757660665 /nfs/dbraw/zinc/66/06/65/757660665.db2.gz ZIPPGFPVFHHNTG-NVXWUHKLSA-N 1 2 321.384 1.542 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CC[C@]2(C1)CCCN(CC#N)C2 ZINC001040516600 762574039 /nfs/dbraw/zinc/57/40/39/762574039.db2.gz WJNRIFGZLNXJAU-QGZVFWFLSA-N 1 2 315.421 1.191 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H]2CN(C(=O)c3cnon3)[C@@H]2C1 ZINC001084731331 757832017 /nfs/dbraw/zinc/83/20/17/757832017.db2.gz SOLOTGQHZYEMLB-GDBMZVCRSA-N 1 2 323.356 1.288 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H]2CN(C(=O)c3cnon3)[C@@H]2C1 ZINC001084731331 757832031 /nfs/dbraw/zinc/83/20/31/757832031.db2.gz SOLOTGQHZYEMLB-GDBMZVCRSA-N 1 2 323.356 1.288 20 30 DDEDLO Cn1c[nH+]cc1CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C#N)c[nH]1 ZINC001017451093 757942588 /nfs/dbraw/zinc/94/25/88/757942588.db2.gz JXSWGBPNRKDFEH-OKILXGFUSA-N 1 2 324.388 1.109 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cc(C)ccc1F ZINC001017553331 758021049 /nfs/dbraw/zinc/02/10/49/758021049.db2.gz ZCDGIXUXHREZJT-GASCZTMLSA-N 1 2 316.376 1.821 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cc(C)ccc1F ZINC001017553331 758021063 /nfs/dbraw/zinc/02/10/63/758021063.db2.gz ZCDGIXUXHREZJT-GASCZTMLSA-N 1 2 316.376 1.821 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CC(C)(F)F)CC2=O)C1 ZINC001108572148 762731170 /nfs/dbraw/zinc/73/11/70/762731170.db2.gz NCQQXBVTLFWBME-NSHDSACASA-N 1 2 315.364 1.009 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@H]2CCN(CC#N)[C@H]2C1)n1cc[nH+]c1 ZINC001085045911 758178933 /nfs/dbraw/zinc/17/89/33/758178933.db2.gz PKMPIGQTKGUKJS-KFWWJZLASA-N 1 2 301.394 1.281 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC1CCOCC1)CCO2 ZINC001053194933 758252585 /nfs/dbraw/zinc/25/25/85/758252585.db2.gz FVMFJYNDBJLODW-UHFFFAOYSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccnn1CC)CCO2 ZINC001053235801 758292748 /nfs/dbraw/zinc/29/27/48/758292748.db2.gz WCIMMGWMSONLFJ-UHFFFAOYSA-N 1 2 304.394 1.006 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)CNc1cc(C)[nH+]c(C(C)C)n1 ZINC001108530282 762618491 /nfs/dbraw/zinc/61/84/91/762618491.db2.gz FUQJNCKFLXBZQE-KBPBESRZSA-N 1 2 318.421 1.863 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(C1)CC[NH+](Cc1nncs1)CC2 ZINC001040644205 762635289 /nfs/dbraw/zinc/63/52/89/762635289.db2.gz NYBDNRIEKGWINS-GFCCVEGCSA-N 1 2 319.434 1.512 20 30 DDEDLO Cc1cc(N2CCCN(C(=O)[C@H](C)C#N)CC2)nc(C2CC2)[nH+]1 ZINC001057106828 762633658 /nfs/dbraw/zinc/63/36/58/762633658.db2.gz AOZNCMKNLOSUAG-GFCCVEGCSA-N 1 2 313.405 1.861 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnoc1C1CC1)CCO2 ZINC001053495573 758501196 /nfs/dbraw/zinc/50/11/96/758501196.db2.gz UQXIEWUGDBDZMS-UHFFFAOYSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ncoc1C(C)C)CCO2 ZINC001053498832 758503153 /nfs/dbraw/zinc/50/31/53/758503153.db2.gz LGGYKHFJXGMZNZ-UHFFFAOYSA-N 1 2 319.405 1.901 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)c1cccnc1)CCO2 ZINC001053509544 758514664 /nfs/dbraw/zinc/51/46/64/758514664.db2.gz JOSGFNCLBZXHNM-HNNXBMFYSA-N 1 2 315.417 1.674 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)noc1Cl ZINC001018061323 758515488 /nfs/dbraw/zinc/51/54/88/758515488.db2.gz MYMXFMWGTLJAFX-TXEJJXNPSA-N 1 2 307.781 1.487 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)noc1Cl ZINC001018061323 758515496 /nfs/dbraw/zinc/51/54/96/758515496.db2.gz MYMXFMWGTLJAFX-TXEJJXNPSA-N 1 2 307.781 1.487 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCc1cscn1)CCO2 ZINC001053547433 758552953 /nfs/dbraw/zinc/55/29/53/758552953.db2.gz UJZYJNDCZXNERL-UHFFFAOYSA-N 1 2 321.446 1.565 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnc(CC)s1)CCO2 ZINC001053548177 758554518 /nfs/dbraw/zinc/55/45/18/758554518.db2.gz FVRYYNBVRDQVIV-UHFFFAOYSA-N 1 2 321.446 1.808 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2nc[nH]c2C1 ZINC001018160679 758590638 /nfs/dbraw/zinc/59/06/38/758590638.db2.gz VZHPKTWJHSOSLP-MELADBBJSA-N 1 2 300.406 1.376 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2nc[nH]c2C1 ZINC001018160679 758590640 /nfs/dbraw/zinc/59/06/40/758590640.db2.gz VZHPKTWJHSOSLP-MELADBBJSA-N 1 2 300.406 1.376 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2ccccc2CO1 ZINC001018226925 758648322 /nfs/dbraw/zinc/64/83/22/758648322.db2.gz VZCNZNUVCOKKJM-KSZLIROESA-N 1 2 310.397 1.436 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2ccccc2CO1 ZINC001018226925 758648326 /nfs/dbraw/zinc/64/83/26/758648326.db2.gz VZCNZNUVCOKKJM-KSZLIROESA-N 1 2 310.397 1.436 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)nn1CC)O2 ZINC001053664802 758676960 /nfs/dbraw/zinc/67/69/60/758676960.db2.gz DZYMIZSNOQAVPV-CQSZACIVSA-N 1 2 318.421 1.361 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)OCCO2 ZINC001018262859 758678355 /nfs/dbraw/zinc/67/83/55/758678355.db2.gz ZNXSWHNESLFGMK-GASCZTMLSA-N 1 2 312.369 1.380 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)OCCO2 ZINC001018262859 758678360 /nfs/dbraw/zinc/67/83/60/758678360.db2.gz ZNXSWHNESLFGMK-GASCZTMLSA-N 1 2 312.369 1.380 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC)C2)CC1 ZINC001065675798 758694362 /nfs/dbraw/zinc/69/43/62/758694362.db2.gz SZHWFAXWBIUXLQ-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C3(C)CC3)C2)CC1 ZINC001065706133 758706766 /nfs/dbraw/zinc/70/67/66/758706766.db2.gz HFNDMLIQBGWRNG-OAHLLOKOSA-N 1 2 319.449 1.498 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(OC)c(Cl)c1 ZINC001018300044 758710958 /nfs/dbraw/zinc/71/09/58/758710958.db2.gz VBOPNZJHOPACCO-BETUJISGSA-N 1 2 319.792 1.666 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(OC)c(Cl)c1 ZINC001018300044 758710962 /nfs/dbraw/zinc/71/09/62/758710962.db2.gz VBOPNZJHOPACCO-BETUJISGSA-N 1 2 319.792 1.666 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1C(=O)Nc2ccccc21 ZINC001018331637 758735240 /nfs/dbraw/zinc/73/52/40/758735240.db2.gz FZAWNIPPAUJAFH-IJEWVQPXSA-N 1 2 323.396 1.421 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1C(=O)Nc2ccccc21 ZINC001018331637 758735245 /nfs/dbraw/zinc/73/52/45/758735245.db2.gz FZAWNIPPAUJAFH-IJEWVQPXSA-N 1 2 323.396 1.421 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)OCCc2ccccc21 ZINC001018338113 758740158 /nfs/dbraw/zinc/74/01/58/758740158.db2.gz SVNWFCSPTOMTFP-FUHIMQAGSA-N 1 2 324.424 1.783 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)OCCc2ccccc21 ZINC001018338113 758740162 /nfs/dbraw/zinc/74/01/62/758740162.db2.gz SVNWFCSPTOMTFP-FUHIMQAGSA-N 1 2 324.424 1.783 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC12CCOCC2 ZINC001018352731 758751862 /nfs/dbraw/zinc/75/18/62/758751862.db2.gz GABBNLZXSVLSQF-OWCLPIDISA-N 1 2 302.418 1.502 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC12CCOCC2 ZINC001018352731 758751865 /nfs/dbraw/zinc/75/18/65/758751865.db2.gz GABBNLZXSVLSQF-OWCLPIDISA-N 1 2 302.418 1.502 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(=O)CC(C)C)C2)CC1 ZINC001018357104 758754255 /nfs/dbraw/zinc/75/42/55/758754255.db2.gz AYJOJXYSYJFDCV-MRXNPFEDSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(CC)n[nH]1)CO2 ZINC001053745825 758761676 /nfs/dbraw/zinc/76/16/76/758761676.db2.gz RTUVGDBNUAHJOA-CYBMUJFWSA-N 1 2 304.394 1.121 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc[nH]c1CC)CO2 ZINC001053791528 758818718 /nfs/dbraw/zinc/81/87/18/758818718.db2.gz MSTLRSJBZKUIHA-CYBMUJFWSA-N 1 2 301.390 1.174 20 30 DDEDLO C=CCN1CC2(C1)C[C@@H](NC(=O)C(C)(C)n1c[nH+]c(C)c1)CO2 ZINC001053846223 758877179 /nfs/dbraw/zinc/87/71/79/758877179.db2.gz ZVGANRXUUSFKNQ-CQSZACIVSA-N 1 2 318.421 1.072 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnn(C)c1Cl)CO2 ZINC001053847020 758879695 /nfs/dbraw/zinc/87/96/95/758879695.db2.gz VZRJLXXIFPRRBB-NSHDSACASA-N 1 2 324.812 1.223 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCO[C@H]1CC)CO2 ZINC001053877280 758913178 /nfs/dbraw/zinc/91/31/78/758913178.db2.gz VWBVCGUHWQMHMK-KFWWJZLASA-N 1 2 308.422 1.337 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2COC3(C[NH+](C[C@@H](C)OC)C3)C2)C1 ZINC001053919630 758955112 /nfs/dbraw/zinc/95/51/12/758955112.db2.gz PTUFPCHQPZHWNL-KGLIPLIRSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccnc(C)c1)CO2 ZINC001053960168 758996878 /nfs/dbraw/zinc/99/68/78/758996878.db2.gz QJBFOFLKWUZMMW-OAHLLOKOSA-N 1 2 301.390 1.539 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001018629869 759048194 /nfs/dbraw/zinc/04/81/94/759048194.db2.gz BTFMIQHAFLFRQH-RYUDHWBXSA-N 1 2 305.382 1.118 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn(C)c1C ZINC001054032730 759087244 /nfs/dbraw/zinc/08/72/44/759087244.db2.gz HUAZSQOJFRVKIH-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn(C)c1C ZINC001054032730 759087253 /nfs/dbraw/zinc/08/72/53/759087253.db2.gz HUAZSQOJFRVKIH-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cn(C)nc1C ZINC001054034646 759090420 /nfs/dbraw/zinc/09/04/20/759090420.db2.gz VCDBHSOTGPZGIP-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cn(C)nc1C ZINC001054034646 759090428 /nfs/dbraw/zinc/09/04/28/759090428.db2.gz VCDBHSOTGPZGIP-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1c(C)ncn1C ZINC001054044136 759101885 /nfs/dbraw/zinc/10/18/85/759101885.db2.gz SEWDDSQUZZHYQK-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1c(C)ncn1C ZINC001054044136 759101887 /nfs/dbraw/zinc/10/18/87/759101887.db2.gz SEWDDSQUZZHYQK-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nonc1C ZINC001054060549 759113516 /nfs/dbraw/zinc/11/35/16/759113516.db2.gz BEIRMJPCZPJKER-MRXNPFEDSA-N 1 2 324.384 1.558 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nonc1C ZINC001054060549 759113519 /nfs/dbraw/zinc/11/35/19/759113519.db2.gz BEIRMJPCZPJKER-MRXNPFEDSA-N 1 2 324.384 1.558 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@](C)(C=C)CC)CC2=O)C1 ZINC001108569633 762697489 /nfs/dbraw/zinc/69/74/89/762697489.db2.gz LZZXZMGCEKTDTN-GUYCJALGSA-N 1 2 305.422 1.176 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(OC)ncn3)cc2C1 ZINC001054265376 759369982 /nfs/dbraw/zinc/36/99/82/759369982.db2.gz MEEVQKMABAKJDI-UHFFFAOYSA-N 1 2 322.368 1.364 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(OC)ncn3)cc2C1 ZINC001054265376 759369993 /nfs/dbraw/zinc/36/99/93/759369993.db2.gz MEEVQKMABAKJDI-UHFFFAOYSA-N 1 2 322.368 1.364 20 30 DDEDLO CCC[C@@H](CC)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131098554 767874639 /nfs/dbraw/zinc/87/46/39/767874639.db2.gz FOCZBLJVYVBDRS-RBSFLKMASA-N 1 2 317.437 1.654 20 30 DDEDLO Cc1oncc1C[N@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556720 759702564 /nfs/dbraw/zinc/70/25/64/759702564.db2.gz XZBJFGDYLAAOQR-HNNXBMFYSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1oncc1C[N@@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556720 759702567 /nfs/dbraw/zinc/70/25/67/759702567.db2.gz XZBJFGDYLAAOQR-HNNXBMFYSA-N 1 2 313.361 1.529 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1ncn(C)n1 ZINC001085579246 759760552 /nfs/dbraw/zinc/76/05/52/759760552.db2.gz ISZABSFQQWNFPC-HNNXBMFYSA-N 1 2 324.388 1.033 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1ncn(C)n1 ZINC001085579246 759760559 /nfs/dbraw/zinc/76/05/59/759760559.db2.gz ISZABSFQQWNFPC-HNNXBMFYSA-N 1 2 324.388 1.033 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncnc2sccc21 ZINC001085602917 759823755 /nfs/dbraw/zinc/82/37/55/759823755.db2.gz ONMYUPHUHNDKFV-NSHDSACASA-N 1 2 300.387 1.471 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncnc2sccc21 ZINC001085602917 759823766 /nfs/dbraw/zinc/82/37/66/759823766.db2.gz ONMYUPHUHNDKFV-NSHDSACASA-N 1 2 300.387 1.471 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C(C)(C)C)nn1 ZINC001085634407 759898488 /nfs/dbraw/zinc/89/84/88/759898488.db2.gz PUWVVSGQKIJTEQ-CYBMUJFWSA-N 1 2 303.410 1.203 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C(C)(C)C)nn1 ZINC001085634407 759898496 /nfs/dbraw/zinc/89/84/96/759898496.db2.gz PUWVVSGQKIJTEQ-CYBMUJFWSA-N 1 2 303.410 1.203 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)[nH]cc1NC(C)=O ZINC001085683717 760004911 /nfs/dbraw/zinc/00/49/11/760004911.db2.gz YIUSIBQDECACHL-CYBMUJFWSA-N 1 2 302.378 1.061 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)[nH]cc1NC(C)=O ZINC001085683717 760004922 /nfs/dbraw/zinc/00/49/22/760004922.db2.gz YIUSIBQDECACHL-CYBMUJFWSA-N 1 2 302.378 1.061 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCc2cc(C)ccc2O1 ZINC001085720272 760104180 /nfs/dbraw/zinc/10/41/80/760104180.db2.gz PYAPABGLGOILCB-WMZOPIPTSA-N 1 2 312.413 1.855 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCc2cc(C)ccc2O1 ZINC001085720272 760104183 /nfs/dbraw/zinc/10/41/83/760104183.db2.gz PYAPABGLGOILCB-WMZOPIPTSA-N 1 2 312.413 1.855 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCc2ccc(F)cc2O1 ZINC001085722814 760120874 /nfs/dbraw/zinc/12/08/74/760120874.db2.gz HLHHALWQKPVCEF-HOTGVXAUSA-N 1 2 316.376 1.685 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCc2ccc(F)cc2O1 ZINC001085722814 760120876 /nfs/dbraw/zinc/12/08/76/760120876.db2.gz HLHHALWQKPVCEF-HOTGVXAUSA-N 1 2 316.376 1.685 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(-n2cccn2)n1 ZINC001085812785 760286169 /nfs/dbraw/zinc/28/61/69/760286169.db2.gz LSLBBAUFIMIQTD-CQSZACIVSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(-n2cccn2)n1 ZINC001085812785 760286173 /nfs/dbraw/zinc/28/61/73/760286173.db2.gz LSLBBAUFIMIQTD-CQSZACIVSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(-c2ccccn2)c1 ZINC001085852618 760368294 /nfs/dbraw/zinc/36/82/94/760368294.db2.gz BRKLSCDTODNDHH-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(-c2ccccn2)c1 ZINC001085852618 760368302 /nfs/dbraw/zinc/36/83/02/760368302.db2.gz BRKLSCDTODNDHH-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccn(C)c2CC)C1 ZINC001108213642 760386292 /nfs/dbraw/zinc/38/62/92/760386292.db2.gz PQMIQMPXGKWDMP-QGZVFWFLSA-N 1 2 305.422 1.594 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccn(C)c2CC)C1 ZINC001108213642 760386299 /nfs/dbraw/zinc/38/62/99/760386299.db2.gz PQMIQMPXGKWDMP-QGZVFWFLSA-N 1 2 305.422 1.594 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(NC(=O)NC)c1 ZINC001085926195 760543253 /nfs/dbraw/zinc/54/32/53/760543253.db2.gz QWDJUNLQPDCPNG-HNNXBMFYSA-N 1 2 314.389 1.217 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(NC(=O)NC)c1 ZINC001085926195 760543258 /nfs/dbraw/zinc/54/32/58/760543258.db2.gz QWDJUNLQPDCPNG-HNNXBMFYSA-N 1 2 314.389 1.217 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-n2ccnc2)cn1 ZINC001085922968 760545914 /nfs/dbraw/zinc/54/59/14/760545914.db2.gz NZNIKQOHISLEOZ-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-n2ccnc2)cn1 ZINC001085922968 760545922 /nfs/dbraw/zinc/54/59/22/760545922.db2.gz NZNIKQOHISLEOZ-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(OC)cccc1OC ZINC001085944423 760585205 /nfs/dbraw/zinc/58/52/05/760585205.db2.gz IYOCGJQUFFSXOM-CQSZACIVSA-N 1 2 316.401 1.873 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(OC)cccc1OC ZINC001085944423 760585211 /nfs/dbraw/zinc/58/52/11/760585211.db2.gz IYOCGJQUFFSXOM-CQSZACIVSA-N 1 2 316.401 1.873 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)n(C)nc1Cl ZINC001085948974 760599936 /nfs/dbraw/zinc/59/99/36/760599936.db2.gz UHUICIQMGXJUDR-GFCCVEGCSA-N 1 2 308.813 1.552 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)n(C)nc1Cl ZINC001085948974 760599940 /nfs/dbraw/zinc/59/99/40/760599940.db2.gz UHUICIQMGXJUDR-GFCCVEGCSA-N 1 2 308.813 1.552 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(OCC)cn1 ZINC001086003855 760699537 /nfs/dbraw/zinc/69/95/37/760699537.db2.gz RKACTEXOYIEQQL-CYBMUJFWSA-N 1 2 302.378 1.045 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(OCC)cn1 ZINC001086003855 760699543 /nfs/dbraw/zinc/69/95/43/760699543.db2.gz RKACTEXOYIEQQL-CYBMUJFWSA-N 1 2 302.378 1.045 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nn2CC)C1 ZINC001108238129 760853573 /nfs/dbraw/zinc/85/35/73/760853573.db2.gz LSLHVSHYRQQDPP-MRXNPFEDSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nn2CC)C1 ZINC001108238129 760853586 /nfs/dbraw/zinc/85/35/86/760853586.db2.gz LSLHVSHYRQQDPP-MRXNPFEDSA-N 1 2 306.410 1.218 20 30 DDEDLO CCc1nocc1C[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038167410 760877934 /nfs/dbraw/zinc/87/79/34/760877934.db2.gz GLNGMKQISLPSOA-CYBMUJFWSA-N 1 2 313.361 1.441 20 30 DDEDLO CCc1nocc1C[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038167410 760877947 /nfs/dbraw/zinc/87/79/47/760877947.db2.gz GLNGMKQISLPSOA-CYBMUJFWSA-N 1 2 313.361 1.441 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)C2=CCCC2)C1=O ZINC001038171797 760885060 /nfs/dbraw/zinc/88/50/60/760885060.db2.gz WLQHUZVAPXJFGV-HUUCEWRRSA-N 1 2 303.406 1.074 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)C2=CCCC2)C1=O ZINC001038171797 760885072 /nfs/dbraw/zinc/88/50/72/760885072.db2.gz WLQHUZVAPXJFGV-HUUCEWRRSA-N 1 2 303.406 1.074 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn(C(C)(C)C)nc1C ZINC001038258535 760953202 /nfs/dbraw/zinc/95/32/02/760953202.db2.gz GCIKGROBOBHTDX-AWEZNQCLSA-N 1 2 302.422 1.774 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn(C(C)(C)C)nc1C ZINC001038258535 760953208 /nfs/dbraw/zinc/95/32/08/760953208.db2.gz GCIKGROBOBHTDX-AWEZNQCLSA-N 1 2 302.422 1.774 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn2c1cccc2OC ZINC001038314580 760997579 /nfs/dbraw/zinc/99/75/79/760997579.db2.gz MDIBFMACNPWKSK-CYBMUJFWSA-N 1 2 312.373 1.170 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn2c1cccc2OC ZINC001038314580 760997583 /nfs/dbraw/zinc/99/75/83/760997583.db2.gz MDIBFMACNPWKSK-CYBMUJFWSA-N 1 2 312.373 1.170 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ccncc1C#N ZINC001069376162 767999499 /nfs/dbraw/zinc/99/94/99/767999499.db2.gz STNOIPGNTSJBKO-ZFWWWQNUSA-N 1 2 324.388 1.323 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@H]1CNC(=O)[C@H]1C[C@@H]1C ZINC001038601701 761226507 /nfs/dbraw/zinc/22/65/07/761226507.db2.gz FNKQJWVKZMDRRQ-RCBQFDQVSA-N 1 2 313.401 1.913 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@H]1CNC(=O)[C@H]1C[C@@H]1C ZINC001038601701 761226511 /nfs/dbraw/zinc/22/65/11/761226511.db2.gz FNKQJWVKZMDRRQ-RCBQFDQVSA-N 1 2 313.401 1.913 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@H+]2CCC(F)(F)F)c1 ZINC001038685573 761286811 /nfs/dbraw/zinc/28/68/11/761286811.db2.gz VKZSSTUAANUYIG-CYBMUJFWSA-N 1 2 311.307 1.819 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@@H+]2CCC(F)(F)F)c1 ZINC001038685573 761286816 /nfs/dbraw/zinc/28/68/16/761286816.db2.gz VKZSSTUAANUYIG-CYBMUJFWSA-N 1 2 311.307 1.819 20 30 DDEDLO Cc1nc(NC[C@@H]2CC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001069457628 768027919 /nfs/dbraw/zinc/02/79/19/768027919.db2.gz DFKWIFPBSIUXHO-TZMCWYRMSA-N 1 2 324.388 1.995 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001069476300 768032368 /nfs/dbraw/zinc/03/23/68/768032368.db2.gz TWNCABUCOLCFGZ-HIFRSBDPSA-N 1 2 324.388 1.547 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn2cc(OC)ccc12 ZINC001038813278 761431127 /nfs/dbraw/zinc/43/11/27/761431127.db2.gz BMOWBPDJIOGKCC-CYBMUJFWSA-N 1 2 312.373 1.170 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn2cc(OC)ccc12 ZINC001038813278 761431131 /nfs/dbraw/zinc/43/11/31/761431131.db2.gz BMOWBPDJIOGKCC-CYBMUJFWSA-N 1 2 312.373 1.170 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001056796300 761436077 /nfs/dbraw/zinc/43/60/77/761436077.db2.gz KEBLQCROLDPPIG-IUODEOHRSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001056796300 761436080 /nfs/dbraw/zinc/43/60/80/761436080.db2.gz KEBLQCROLDPPIG-IUODEOHRSA-N 1 2 324.388 1.568 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)c2ccncn2)c1 ZINC001038821753 761437177 /nfs/dbraw/zinc/43/71/77/761437177.db2.gz UABGENBRARGPEY-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)c2ccncn2)c1 ZINC001038821753 761437181 /nfs/dbraw/zinc/43/71/81/761437181.db2.gz UABGENBRARGPEY-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]([NH2+]Cc3nc(C)no3)C2)C1 ZINC001000127818 761526699 /nfs/dbraw/zinc/52/66/99/761526699.db2.gz HECBQSMJGAWLTP-CYBMUJFWSA-N 1 2 304.394 1.815 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)nnn2CC ZINC001039012074 761645577 /nfs/dbraw/zinc/64/55/77/761645577.db2.gz KNIAZWMCJVIXNX-AWEZNQCLSA-N 1 2 311.389 1.279 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)nnn2CC ZINC001039012074 761645581 /nfs/dbraw/zinc/64/55/81/761645581.db2.gz KNIAZWMCJVIXNX-AWEZNQCLSA-N 1 2 311.389 1.279 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)cn1 ZINC001056810676 761661745 /nfs/dbraw/zinc/66/17/45/761661745.db2.gz VSYGWWVIKUGJAN-RBSFLKMASA-N 1 2 322.372 1.493 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)cn1 ZINC001056810676 761661748 /nfs/dbraw/zinc/66/17/48/761661748.db2.gz VSYGWWVIKUGJAN-RBSFLKMASA-N 1 2 322.372 1.493 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1Cc2cc(C)c(C)cc2O1 ZINC001039045033 761685995 /nfs/dbraw/zinc/68/59/95/761685995.db2.gz CMRNCBBSQQGYOS-SJLPKXTDSA-N 1 2 312.413 1.821 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1Cc2cc(C)c(C)cc2O1 ZINC001039045033 761685998 /nfs/dbraw/zinc/68/59/98/761685998.db2.gz CMRNCBBSQQGYOS-SJLPKXTDSA-N 1 2 312.413 1.821 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3(CCC)CC3)CC2=O)C1 ZINC001108573102 762713412 /nfs/dbraw/zinc/71/34/12/762713412.db2.gz MWVMBJISNUWWRZ-CQSZACIVSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C[C@@H]1C[C@@]1([NH2+]C1CCC(S(C)(=O)=O)CC1)C(=O)OCC ZINC001169863171 762725849 /nfs/dbraw/zinc/72/58/49/762725849.db2.gz GXUXCCGEMVTOMD-LPXQKFACSA-N 1 2 315.435 1.440 20 30 DDEDLO C#CC[N@H+]1CC=C(CNC(=O)c2[nH]nc3c2C[C@H](C)CC3)CC1 ZINC001001330598 762767283 /nfs/dbraw/zinc/76/72/83/762767283.db2.gz ZCEYOWVGMXLVNQ-CYBMUJFWSA-N 1 2 312.417 1.530 20 30 DDEDLO C#CC[N@@H+]1CC=C(CNC(=O)c2[nH]nc3c2C[C@H](C)CC3)CC1 ZINC001001330598 762767288 /nfs/dbraw/zinc/76/72/88/762767288.db2.gz ZCEYOWVGMXLVNQ-CYBMUJFWSA-N 1 2 312.417 1.530 20 30 DDEDLO Cc1nc(N2CCCN(C(=O)Cc3[nH]cc[nH+]3)CC2)ccc1C#N ZINC001057167807 762823251 /nfs/dbraw/zinc/82/32/51/762823251.db2.gz ANCRSAQESNQTKO-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@]2(CCN(CC#N)C2)C1)n1cc[nH+]c1 ZINC001041717807 763246890 /nfs/dbraw/zinc/24/68/90/763246890.db2.gz TVWDDDBAYWKSPX-HOCLYGCPSA-N 1 2 301.394 1.282 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CCCS(C)(=O)=O ZINC001109055320 763294563 /nfs/dbraw/zinc/29/45/63/763294563.db2.gz YDLLSENWERYEBU-RDBSUJKOSA-N 1 2 314.451 1.109 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CCCS(C)(=O)=O ZINC001109055320 763294567 /nfs/dbraw/zinc/29/45/67/763294567.db2.gz YDLLSENWERYEBU-RDBSUJKOSA-N 1 2 314.451 1.109 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccccc1 ZINC001109080561 763316465 /nfs/dbraw/zinc/31/64/65/763316465.db2.gz XYRARYWZKVKKHC-RANZSIQMSA-N 1 2 307.397 1.919 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccccc1 ZINC001109080561 763316472 /nfs/dbraw/zinc/31/64/72/763316472.db2.gz XYRARYWZKVKKHC-RANZSIQMSA-N 1 2 307.397 1.919 20 30 DDEDLO CC(C)(C(=O)N1CC[C@]2(CCN(CC#N)C2)C1)c1c[nH+]c[nH]1 ZINC001041787647 763330505 /nfs/dbraw/zinc/33/05/05/763330505.db2.gz REQIINDNJAKHQD-INIZCTEOSA-N 1 2 301.394 1.135 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H]2CCN(C(=O)COC)C[C@@H]21 ZINC001041872921 763431614 /nfs/dbraw/zinc/43/16/14/763431614.db2.gz RCRARZXJAINSPL-RYUDHWBXSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H]2CCN(C(=O)COC)C[C@@H]21 ZINC001041872921 763431616 /nfs/dbraw/zinc/43/16/16/763431616.db2.gz RCRARZXJAINSPL-RYUDHWBXSA-N 1 2 317.227 1.464 20 30 DDEDLO N#Cc1ccc(NC2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)nc1 ZINC001057433933 763687918 /nfs/dbraw/zinc/68/79/18/763687918.db2.gz YDAZUNUKBZMLBP-UHFFFAOYSA-N 1 2 310.361 1.322 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]2CCN(C(=O)c3cc(C(F)F)[nH]n3)C[C@@H]21 ZINC001042230170 763788749 /nfs/dbraw/zinc/78/87/49/763788749.db2.gz NOYQFWWNXXLPMU-FZMZJTMJSA-N 1 2 322.359 1.907 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]2CCN(C(=O)c3cc(C(F)F)[nH]n3)C[C@@H]21 ZINC001042230170 763788753 /nfs/dbraw/zinc/78/87/53/763788753.db2.gz NOYQFWWNXXLPMU-FZMZJTMJSA-N 1 2 322.359 1.907 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CC[NH+](Cc1cnn(C)n1)CC2 ZINC001050618410 763828921 /nfs/dbraw/zinc/82/89/21/763828921.db2.gz VXXXJQTXLONJBL-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cccn3C)c2C1 ZINC001069853170 768181580 /nfs/dbraw/zinc/18/15/80/768181580.db2.gz DDOBOVZEWTVHFK-UHFFFAOYSA-N 1 2 313.405 1.543 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cccn3C)c2C1 ZINC001069853170 768181585 /nfs/dbraw/zinc/18/15/85/768181585.db2.gz DDOBOVZEWTVHFK-UHFFFAOYSA-N 1 2 313.405 1.543 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001050864581 764204368 /nfs/dbraw/zinc/20/43/68/764204368.db2.gz DSEBNXZMUGAPMX-CQSZACIVSA-N 1 2 302.378 1.283 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001050864581 764204372 /nfs/dbraw/zinc/20/43/72/764204372.db2.gz DSEBNXZMUGAPMX-CQSZACIVSA-N 1 2 302.378 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCn1cncn1)C2 ZINC001109934235 764212658 /nfs/dbraw/zinc/21/26/58/764212658.db2.gz VUIHQPWQTIDXEB-RDBSUJKOSA-N 1 2 323.828 1.532 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCn1cncn1)C2 ZINC001109934235 764212664 /nfs/dbraw/zinc/21/26/64/764212664.db2.gz VUIHQPWQTIDXEB-RDBSUJKOSA-N 1 2 323.828 1.532 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1csnc1C ZINC001050881677 764229755 /nfs/dbraw/zinc/22/97/55/764229755.db2.gz HVOZYRPPSPYYOR-ZDUSSCGKSA-N 1 2 309.435 1.848 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1csnc1C ZINC001050881677 764229760 /nfs/dbraw/zinc/22/97/60/764229760.db2.gz HVOZYRPPSPYYOR-ZDUSSCGKSA-N 1 2 309.435 1.848 20 30 DDEDLO CN(C(=O)c1ccc(C#N)[nH]1)C1C[NH+](C[C@@H](O)c2ccccc2)C1 ZINC001042628710 764291447 /nfs/dbraw/zinc/29/14/47/764291447.db2.gz NOKHYKCFPOTZLJ-QGZVFWFLSA-N 1 2 324.384 1.376 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(F)cccc1OC ZINC001050927796 764294805 /nfs/dbraw/zinc/29/48/05/764294805.db2.gz PXLFLTINSLDPGA-ZDUSSCGKSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(F)cccc1OC ZINC001050927796 764294815 /nfs/dbraw/zinc/29/48/15/764294815.db2.gz PXLFLTINSLDPGA-ZDUSSCGKSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccn(CC(C)C)n1 ZINC001050939007 764308702 /nfs/dbraw/zinc/30/87/02/764308702.db2.gz BKUVXJLOIKXMNF-HNNXBMFYSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccn(CC(C)C)n1 ZINC001050939007 764308706 /nfs/dbraw/zinc/30/87/06/764308706.db2.gz BKUVXJLOIKXMNF-HNNXBMFYSA-N 1 2 320.437 1.546 20 30 DDEDLO COC(=O)[C@@H]1CC[C@@H]([NH+]2CC(Oc3ccccc3C#N)C2)C1 ZINC001170572521 768207610 /nfs/dbraw/zinc/20/76/10/768207610.db2.gz QYZAHKSAAQFITJ-TZMCWYRMSA-N 1 2 300.358 1.963 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1conc1CC ZINC001051102292 764506379 /nfs/dbraw/zinc/50/63/79/764506379.db2.gz JPIRXIJNFMCFQV-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1conc1CC ZINC001051102292 764506390 /nfs/dbraw/zinc/50/63/90/764506390.db2.gz JPIRXIJNFMCFQV-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(CC)nc1C ZINC001051145594 764550720 /nfs/dbraw/zinc/55/07/20/764550720.db2.gz JXUFDSHJHZRKEX-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(CC)nc1C ZINC001051145594 764550726 /nfs/dbraw/zinc/55/07/26/764550726.db2.gz JXUFDSHJHZRKEX-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NC[C@H]1COCC[N@H+]1CCC ZINC001051153291 764559603 /nfs/dbraw/zinc/55/96/03/764559603.db2.gz SIWNGSJFQRLJEW-JYJNAYRXSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NC[C@H]1COCC[N@@H+]1CCC ZINC001051153291 764559614 /nfs/dbraw/zinc/55/96/14/764559614.db2.gz SIWNGSJFQRLJEW-JYJNAYRXSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(C)C)nn1C ZINC001051188226 764596481 /nfs/dbraw/zinc/59/64/81/764596481.db2.gz BHZUKQAGPCJBNQ-AWEZNQCLSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(C)C)nn1C ZINC001051188226 764596488 /nfs/dbraw/zinc/59/64/88/764596488.db2.gz BHZUKQAGPCJBNQ-AWEZNQCLSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(C(C)C)nc1C ZINC001051205316 764618628 /nfs/dbraw/zinc/61/86/28/764618628.db2.gz CSEGPRGCUDAVRR-HNNXBMFYSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(C(C)C)nc1C ZINC001051205316 764618634 /nfs/dbraw/zinc/61/86/34/764618634.db2.gz CSEGPRGCUDAVRR-HNNXBMFYSA-N 1 2 320.437 1.779 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c2ncccc12 ZINC001051252811 764675880 /nfs/dbraw/zinc/67/58/80/764675880.db2.gz ZLUAJHLKYBVXQI-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c2ncccc12 ZINC001051252811 764675884 /nfs/dbraw/zinc/67/58/84/764675884.db2.gz ZLUAJHLKYBVXQI-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1nn(CC)nc1C ZINC001051272799 764697437 /nfs/dbraw/zinc/69/74/37/764697437.db2.gz KALXCZGXBBSMHQ-AWEZNQCLSA-N 1 2 321.425 1.003 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nn(CC)nc1C ZINC001051272799 764697443 /nfs/dbraw/zinc/69/74/43/764697443.db2.gz KALXCZGXBBSMHQ-AWEZNQCLSA-N 1 2 321.425 1.003 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[NH+](CCOCC3CCC3)CC2)c1 ZINC001112754274 764704356 /nfs/dbraw/zinc/70/43/56/764704356.db2.gz WFYHGWURUPCVLU-UHFFFAOYSA-N 1 2 316.405 1.461 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051426013 764864736 /nfs/dbraw/zinc/86/47/36/764864736.db2.gz FGIDAXXEOKIERD-KBPBESRZSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCN(Cc2c[nH+]cn2C)CC1 ZINC001112880836 764916841 /nfs/dbraw/zinc/91/68/41/764916841.db2.gz ROICYQMUHFAGFL-UHFFFAOYSA-N 1 2 302.422 1.833 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001112898643 764940943 /nfs/dbraw/zinc/94/09/43/764940943.db2.gz WJKLUBWBJZSDAA-MRXNPFEDSA-N 1 2 324.465 1.929 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnc3c(c2)c(C)nn3C)C1 ZINC001043500218 764942686 /nfs/dbraw/zinc/94/26/86/764942686.db2.gz GBORSGIFQKPIJE-UHFFFAOYSA-N 1 2 313.405 1.609 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(F)ccc(F)c2OC)C1 ZINC001043511728 764954627 /nfs/dbraw/zinc/95/46/27/764954627.db2.gz XQSIOWRMUVRUFG-UHFFFAOYSA-N 1 2 308.328 1.753 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCc3nn(C)cc32)C1 ZINC001043652810 765026824 /nfs/dbraw/zinc/02/68/24/765026824.db2.gz GOLSRRJNNKCYQE-AWEZNQCLSA-N 1 2 302.422 1.559 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H](C[NH2+][C@@H](C)c2csnn2)C1 ZINC001051757209 765131176 /nfs/dbraw/zinc/13/11/76/765131176.db2.gz ZIDHQOITLBKJFY-NWDGAFQWSA-N 1 2 324.450 1.628 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113008725 765133111 /nfs/dbraw/zinc/13/31/11/765133111.db2.gz ACQCCSHUTAXFSE-NEPJUHHUSA-N 1 2 318.425 1.355 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)COCCOc2ccccc2)CC1 ZINC001113062233 765211326 /nfs/dbraw/zinc/21/13/26/765211326.db2.gz PUDPLZURWCAELP-UHFFFAOYSA-N 1 2 304.390 1.412 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)C1CN(Cc2c[nH+]cn2C)C1 ZINC001044038920 765248522 /nfs/dbraw/zinc/24/85/22/765248522.db2.gz KQRDPAAWKDEPEW-HOTGVXAUSA-N 1 2 318.421 1.044 20 30 DDEDLO C[C@@H](NC(=O)Cc1c[nH+]cn1C)[C@@H](C)Nc1ccc(C#N)cn1 ZINC001113098684 765264457 /nfs/dbraw/zinc/26/44/57/765264457.db2.gz MWFZIZRRAUGCHR-VXGBXAGGSA-N 1 2 312.377 1.235 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)[C@@H](C)Nc1ccc(C#N)nc1 ZINC001113137758 765325630 /nfs/dbraw/zinc/32/56/30/765325630.db2.gz GZCNZPMYOBNTEA-GHMZBOCLSA-N 1 2 312.377 1.533 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(-n3ccnc3)c2)C1 ZINC001044134037 765333297 /nfs/dbraw/zinc/33/32/97/765333297.db2.gz NCGZAJDEXUOORW-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C3=COCCC3)C2)CC1 ZINC001051996201 765362683 /nfs/dbraw/zinc/36/26/83/765362683.db2.gz KYEGFWWRSVHXTN-QGZVFWFLSA-N 1 2 319.449 1.475 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001051997790 765363868 /nfs/dbraw/zinc/36/38/68/765363868.db2.gz IJCPAGKZLDGLDU-JSGCOSHPSA-N 1 2 310.829 1.117 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cc(C)sn3)C2)CC1 ZINC001052018137 765390402 /nfs/dbraw/zinc/39/04/02/765390402.db2.gz DHHKTVWQMUTEPD-CQSZACIVSA-N 1 2 320.462 1.470 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccoc3CC)C2)CC1 ZINC001052023594 765398333 /nfs/dbraw/zinc/39/83/33/765398333.db2.gz UWQIZPLXHJVABF-OAHLLOKOSA-N 1 2 317.433 1.860 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)c3cc[nH]c3)C2)CC1 ZINC001052030300 765404938 /nfs/dbraw/zinc/40/49/38/765404938.db2.gz LMGDBIPFSRAEKA-HNNXBMFYSA-N 1 2 322.840 1.599 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)CC1 ZINC001052068595 765442205 /nfs/dbraw/zinc/44/22/05/765442205.db2.gz KEBSPGXJBULBOI-VSZNYVQBSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)C2(c3ccccc3OC)CC2)C1 ZINC001044368246 765514050 /nfs/dbraw/zinc/51/40/50/765514050.db2.gz QAAJJQHRRKPXPJ-UHFFFAOYSA-N 1 2 312.413 1.893 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc3[nH]c(=O)n(C)c3c2)C1 ZINC001044377257 765519711 /nfs/dbraw/zinc/51/97/11/765519711.db2.gz CIUQQWQEEZSDFO-UHFFFAOYSA-N 1 2 312.373 1.058 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001052180900 765553136 /nfs/dbraw/zinc/55/31/36/765553136.db2.gz HQJTZYOAHNBPJZ-OCCSQVGLSA-N 1 2 318.421 1.438 20 30 DDEDLO C[C@@H](NC(=O)Cc1c[nH]c[nH+]1)[C@@H](C)Nc1ncc(C#N)cc1F ZINC001113314266 765555268 /nfs/dbraw/zinc/55/52/68/765555268.db2.gz QXSIQZTWDZNJDG-NXEZZACHSA-N 1 2 316.340 1.363 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H](NC(=O)CCOC)CC[C@H]1C ZINC001131735163 768304311 /nfs/dbraw/zinc/30/43/11/768304311.db2.gz PKDXQIUJCVYNPX-VXGBXAGGSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H](NC(=O)CCOC)CC[C@H]1C ZINC001131735163 768304317 /nfs/dbraw/zinc/30/43/17/768304317.db2.gz PKDXQIUJCVYNPX-VXGBXAGGSA-N 1 2 319.243 1.901 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc3c(c2)CCO3)CC1 ZINC001113369967 765623316 /nfs/dbraw/zinc/62/33/16/765623316.db2.gz IJRRQPUTSMERKZ-UHFFFAOYSA-N 1 2 316.401 1.582 20 30 DDEDLO C=CC1(CC(=O)N2CC[NH+](CCOCCO)CC2)CCCCC1 ZINC001113428031 765684094 /nfs/dbraw/zinc/68/40/94/765684094.db2.gz AFCBUQPDJDSYQB-UHFFFAOYSA-N 1 2 324.465 1.666 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2occ3c2CCC3)[C@@H](O)C1 ZINC001090455859 765933389 /nfs/dbraw/zinc/93/33/89/765933389.db2.gz LBFSLYJEGAVXLJ-KBPBESRZSA-N 1 2 324.808 1.686 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2occ3c2CCC3)[C@@H](O)C1 ZINC001090455859 765933392 /nfs/dbraw/zinc/93/33/92/765933392.db2.gz LBFSLYJEGAVXLJ-KBPBESRZSA-N 1 2 324.808 1.686 20 30 DDEDLO N#Cc1cnc(N(C(N)=[NH2+])c2ccc(N3CCOCC3)cc2)nc1 ZINC001170163287 766050544 /nfs/dbraw/zinc/05/05/44/766050544.db2.gz WXDQRHOCEQHTJE-UHFFFAOYSA-N 1 2 323.360 1.216 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001113713471 766053929 /nfs/dbraw/zinc/05/39/29/766053929.db2.gz RDIOAYKIFKRQPB-HNNXBMFYSA-N 1 2 310.438 1.538 20 30 DDEDLO CC(=O)N(C)[C@H]1C[N@H+](CC#Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001047267018 768348886 /nfs/dbraw/zinc/34/88/86/768348886.db2.gz PLOXESAUZATWDF-HOTGVXAUSA-N 1 2 306.793 1.215 20 30 DDEDLO CC(=O)N(C)[C@H]1C[N@@H+](CC#Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001047267018 768348893 /nfs/dbraw/zinc/34/88/93/768348893.db2.gz PLOXESAUZATWDF-HOTGVXAUSA-N 1 2 306.793 1.215 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C3CC3)CCC2)[C@H](O)C1 ZINC001090462743 766171581 /nfs/dbraw/zinc/17/15/81/766171581.db2.gz IOGPXAFQPRFZKB-ZIAGYGMSSA-N 1 2 312.841 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C3CC3)CCC2)[C@H](O)C1 ZINC001090462743 766171585 /nfs/dbraw/zinc/17/15/85/766171585.db2.gz IOGPXAFQPRFZKB-ZIAGYGMSSA-N 1 2 312.841 1.871 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001113995999 766497998 /nfs/dbraw/zinc/49/79/98/766497998.db2.gz MLQRHBTVOQMQPW-RMRHIDDWSA-N 1 2 321.446 1.671 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001113995999 766498001 /nfs/dbraw/zinc/49/80/01/766498001.db2.gz MLQRHBTVOQMQPW-RMRHIDDWSA-N 1 2 321.446 1.671 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001113996144 766498600 /nfs/dbraw/zinc/49/86/00/766498600.db2.gz ORQHHTBJFYJFAO-TTZDDIAXSA-N 1 2 319.405 1.511 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001113996144 766498607 /nfs/dbraw/zinc/49/86/07/766498607.db2.gz ORQHHTBJFYJFAO-TTZDDIAXSA-N 1 2 319.405 1.511 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CCN(c2nc(C)ccc2C#N)C1 ZINC001058412726 766503363 /nfs/dbraw/zinc/50/33/63/766503363.db2.gz ARRWXGGZXHDDIY-CQSZACIVSA-N 1 2 324.388 1.231 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001113999481 766513241 /nfs/dbraw/zinc/51/32/41/766513241.db2.gz PHZIBRRTAVVQKD-DANNLKNASA-N 1 2 319.405 1.511 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001113999481 766513249 /nfs/dbraw/zinc/51/32/49/766513249.db2.gz PHZIBRRTAVVQKD-DANNLKNASA-N 1 2 319.405 1.511 20 30 DDEDLO Cc1nc(N2CC[C@H](C)[C@@H](NC(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001114018961 766541805 /nfs/dbraw/zinc/54/18/05/766541805.db2.gz NCFZEYTUVJXKCL-ZFWWWQNUSA-N 1 2 300.406 1.775 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nc(CC)no3)C[C@H]21 ZINC001114201876 766761831 /nfs/dbraw/zinc/76/18/31/766761831.db2.gz WQOSXWHBKUDMTJ-BCUIYNNISA-N 1 2 316.405 1.543 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nc(CC)no3)C[C@H]21 ZINC001114201876 766761838 /nfs/dbraw/zinc/76/18/38/766761838.db2.gz WQOSXWHBKUDMTJ-BCUIYNNISA-N 1 2 316.405 1.543 20 30 DDEDLO CC1(C)CN(c2ccncc2C#N)C[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001068115418 766926796 /nfs/dbraw/zinc/92/67/96/766926796.db2.gz JVRGBXCATVSLJK-OAHLLOKOSA-N 1 2 324.388 1.181 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@H](C)N(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001068306441 767083117 /nfs/dbraw/zinc/08/31/17/767083117.db2.gz OLJZIQCRDZKSHU-SMDDNHRTSA-N 1 2 324.388 1.948 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001068465639 767338605 /nfs/dbraw/zinc/33/86/05/767338605.db2.gz MGHQGRWELXLQBU-AULYBMBSSA-N 1 2 310.361 1.605 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccnc3ccnn32)C1 ZINC001046372838 767482451 /nfs/dbraw/zinc/48/24/51/767482451.db2.gz PJXCZZSNVJGABD-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccnc3ccnn32)C1 ZINC001046372838 767482459 /nfs/dbraw/zinc/48/24/59/767482459.db2.gz PJXCZZSNVJGABD-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccc3nnnn3c2)C1 ZINC001046479705 767613687 /nfs/dbraw/zinc/61/36/87/767613687.db2.gz RXUDNOSGINPSJF-AWEZNQCLSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccc3nnnn3c2)C1 ZINC001046479705 767613693 /nfs/dbraw/zinc/61/36/93/767613693.db2.gz RXUDNOSGINPSJF-AWEZNQCLSA-N 1 2 320.784 1.071 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)[C@H]2C)cc[nH+]1 ZINC001068835407 767652013 /nfs/dbraw/zinc/65/20/13/767652013.db2.gz OEWAJMDFHGEQSM-GXFFZTMASA-N 1 2 310.361 1.700 20 30 DDEDLO C[C@H]1[C@H](Nc2[nH+]cnc3c2cnn3C)CCN1C(=O)C#CC1CC1 ZINC001068841046 767656473 /nfs/dbraw/zinc/65/64/73/767656473.db2.gz NHVULNMVXBJASE-SMDDNHRTSA-N 1 2 324.388 1.178 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1c[nH+]cn1C ZINC001068872547 767672337 /nfs/dbraw/zinc/67/23/37/767672337.db2.gz MOQFJYKSABNLPM-IUODEOHRSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2cnns2)C1 ZINC001046629635 767754244 /nfs/dbraw/zinc/75/42/44/767754244.db2.gz FZOHCQKMGZNOHL-IPYPFGDCSA-N 1 2 322.434 1.210 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2cnns2)C1 ZINC001046629635 767754247 /nfs/dbraw/zinc/75/42/47/767754247.db2.gz FZOHCQKMGZNOHL-IPYPFGDCSA-N 1 2 322.434 1.210 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001046632112 767756334 /nfs/dbraw/zinc/75/63/34/767756334.db2.gz RNAGCZKOCBIBKJ-VYDXJSESSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2cc(C)on2)C1 ZINC001046632112 767756339 /nfs/dbraw/zinc/75/63/39/767756339.db2.gz RNAGCZKOCBIBKJ-VYDXJSESSA-N 1 2 319.405 1.655 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2coc(C(C)(C)C)n2)C1 ZINC001047379633 768435579 /nfs/dbraw/zinc/43/55/79/768435579.db2.gz ISAILOVKMQPQEO-KBPBESRZSA-N 1 2 319.405 1.113 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2coc(C(C)(C)C)n2)C1 ZINC001047379633 768435581 /nfs/dbraw/zinc/43/55/81/768435581.db2.gz ISAILOVKMQPQEO-KBPBESRZSA-N 1 2 319.405 1.113 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+]Cc1nnc(C2CC2)o1 ZINC001131866901 768443147 /nfs/dbraw/zinc/44/31/47/768443147.db2.gz GAYWLKGIRHGHSM-MRXNPFEDSA-N 1 2 322.409 1.382 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132021919 768559185 /nfs/dbraw/zinc/55/91/85/768559185.db2.gz ROMGZLDCOLJICL-OCCSQVGLSA-N 1 2 320.462 1.975 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132021919 768559189 /nfs/dbraw/zinc/55/91/89/768559189.db2.gz ROMGZLDCOLJICL-OCCSQVGLSA-N 1 2 320.462 1.975 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCc2[nH+]ccn2C1)Nc1ccc(C#N)cn1 ZINC001098125343 768646613 /nfs/dbraw/zinc/64/66/13/768646613.db2.gz DFRHZDTUMBUTIH-TZMCWYRMSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001070675009 768661702 /nfs/dbraw/zinc/66/17/02/768661702.db2.gz LTEVPCGLJBZUMM-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2cocc2C)CC[C@H]1C ZINC001132222234 768694062 /nfs/dbraw/zinc/69/40/62/768694062.db2.gz VLGCFAIPYKTPQH-KGLIPLIRSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2cocc2C)CC[C@H]1C ZINC001132222234 768694068 /nfs/dbraw/zinc/69/40/68/768694068.db2.gz VLGCFAIPYKTPQH-KGLIPLIRSA-N 1 2 319.405 1.473 20 30 DDEDLO CCC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132252600 768706382 /nfs/dbraw/zinc/70/63/82/768706382.db2.gz HPNPCRAOLVZSIF-CHWSQXEVSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132252600 768706388 /nfs/dbraw/zinc/70/63/88/768706388.db2.gz HPNPCRAOLVZSIF-CHWSQXEVSA-N 1 2 308.426 1.031 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2cc(C)co2)CC[C@H]1C ZINC001132236163 768707686 /nfs/dbraw/zinc/70/76/86/768707686.db2.gz ZDMIAULFRHJERA-ZIAGYGMSSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2cc(C)co2)CC[C@H]1C ZINC001132236163 768707687 /nfs/dbraw/zinc/70/76/87/768707687.db2.gz ZDMIAULFRHJERA-ZIAGYGMSSA-N 1 2 319.405 1.473 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+][C@@H](C)c1nc(CC)no1 ZINC001132407640 768812164 /nfs/dbraw/zinc/81/21/64/768812164.db2.gz KEKGZZRNVIIWQW-ZDUSSCGKSA-N 1 2 306.410 1.983 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)CC1 ZINC001070975166 768826424 /nfs/dbraw/zinc/82/64/24/768826424.db2.gz GIGZBGZQZPUZQW-BZUAXINKSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)CC1 ZINC001070975166 768826428 /nfs/dbraw/zinc/82/64/28/768826428.db2.gz GIGZBGZQZPUZQW-BZUAXINKSA-N 1 2 319.449 1.259 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2(C)CCCC2)CC1 ZINC001070982895 768834821 /nfs/dbraw/zinc/83/48/21/768834821.db2.gz SAVPUONMPCMWFE-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2(C)CCCC2)CC1 ZINC001070982895 768834832 /nfs/dbraw/zinc/83/48/32/768834832.db2.gz SAVPUONMPCMWFE-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C/C=C(/C)C(=O)NCC[NH+]1CCN(c2ncccc2C#N)CC1 ZINC001096280426 768937731 /nfs/dbraw/zinc/93/77/31/768937731.db2.gz FKTPRTSOJNOXCZ-BNNQUZSASA-N 1 2 313.405 1.158 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[C@@H](C)[N@@H+](CC(N)=O)C2)CCCC1 ZINC001132545928 768961370 /nfs/dbraw/zinc/96/13/70/768961370.db2.gz GVLPFWWLMYYGDR-KGLIPLIRSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[C@@H](C)[N@H+](CC(N)=O)C2)CCCC1 ZINC001132545928 768961373 /nfs/dbraw/zinc/96/13/73/768961373.db2.gz GVLPFWWLMYYGDR-KGLIPLIRSA-N 1 2 307.438 1.577 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001096370595 769447600 /nfs/dbraw/zinc/44/76/00/769447600.db2.gz QSCPASWUPZREHD-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2cc(OC)ns2)CC[C@H]1C ZINC001071519205 769551828 /nfs/dbraw/zinc/55/18/28/769551828.db2.gz WHJIRSONTXWQPA-VXGBXAGGSA-N 1 2 307.419 1.758 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2cc(OC)ns2)CC[C@H]1C ZINC001071519205 769551835 /nfs/dbraw/zinc/55/18/35/769551835.db2.gz WHJIRSONTXWQPA-VXGBXAGGSA-N 1 2 307.419 1.758 20 30 DDEDLO C=CCCC1(C(=O)NCC[NH2+][C@H](C)c2nc(COC)no2)CC1 ZINC001133374581 769756861 /nfs/dbraw/zinc/75/68/61/769756861.db2.gz YGEXGFMDQLMOMW-GFCCVEGCSA-N 1 2 322.409 1.729 20 30 DDEDLO C=CCCC1(C(=O)NCC[NH2+]Cc2nc(CCC)no2)CC1 ZINC001133376107 769757152 /nfs/dbraw/zinc/75/71/52/769757152.db2.gz XDHHJZMYPDPYSN-UHFFFAOYSA-N 1 2 306.410 1.974 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001096414643 769807241 /nfs/dbraw/zinc/80/72/41/769807241.db2.gz ZNLHZLAQIKTRKA-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)CC[C@@H]1C ZINC001071942881 770318680 /nfs/dbraw/zinc/31/86/80/770318680.db2.gz UQBXLHWJABDURO-JQWIXIFHSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)CC[C@@H]1C ZINC001071942881 770318688 /nfs/dbraw/zinc/31/86/88/770318688.db2.gz UQBXLHWJABDURO-JQWIXIFHSA-N 1 2 324.812 1.449 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC12CCN(c1cc[nH+]c(C)n1)CC2 ZINC001096518987 770821766 /nfs/dbraw/zinc/82/17/66/770821766.db2.gz DGIAPSCWQILCLY-CQSZACIVSA-N 1 2 316.405 1.463 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)o1 ZINC001049998083 771352329 /nfs/dbraw/zinc/35/23/29/771352329.db2.gz AYXSAZBEOSYIPN-KWCYVHTRSA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)o1 ZINC001049998083 771352331 /nfs/dbraw/zinc/35/23/31/771352331.db2.gz AYXSAZBEOSYIPN-KWCYVHTRSA-N 1 2 302.378 1.566 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cccnc2C)[C@@H](O)C1 ZINC001090573415 771999789 /nfs/dbraw/zinc/99/97/89/771999789.db2.gz MMBDYHRTKCVBBM-CABCVRRESA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cccnc2C)[C@@H](O)C1 ZINC001090573415 771999791 /nfs/dbraw/zinc/99/97/91/771999791.db2.gz MMBDYHRTKCVBBM-CABCVRRESA-N 1 2 323.824 1.236 20 30 DDEDLO C[C@@H]1CN(c2ccncc2C#N)C[C@H]1NC(=O)CCc1c[nH]c[nH+]1 ZINC001090843754 772256876 /nfs/dbraw/zinc/25/68/76/772256876.db2.gz PMBHWIUGFDXJJK-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H]1CN(c2ccncc2C#N)C[C@H]1NC(=O)CCc1c[nH+]c[nH]1 ZINC001090843754 772256877 /nfs/dbraw/zinc/25/68/77/772256877.db2.gz PMBHWIUGFDXJJK-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001090957926 772379630 /nfs/dbraw/zinc/37/96/30/772379630.db2.gz JFXKKGVJYDFETF-DGCLKSJQSA-N 1 2 314.393 1.588 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@@H](C)[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091005952 772441395 /nfs/dbraw/zinc/44/13/95/772441395.db2.gz FLHCYBYCFMPKJR-YRGRVCCFSA-N 1 2 302.378 1.082 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001091464406 772768458 /nfs/dbraw/zinc/76/84/58/772768458.db2.gz SSJHGJALLZUZIH-HDJSIYSDSA-N 1 2 310.361 1.157 20 30 DDEDLO C=CCOCCCC(=O)N1CC2(C1)CC[N@H+](Cc1ccon1)C2 ZINC001147297114 773091771 /nfs/dbraw/zinc/09/17/71/773091771.db2.gz HTORXXSFBJLAMB-UHFFFAOYSA-N 1 2 319.405 1.692 20 30 DDEDLO C=CCOCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1ccon1)C2 ZINC001147297114 773091775 /nfs/dbraw/zinc/09/17/75/773091775.db2.gz HTORXXSFBJLAMB-UHFFFAOYSA-N 1 2 319.405 1.692 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CCC2(CN(C(=O)C#CC(C)(C)C)C2)C1 ZINC001147541056 773163530 /nfs/dbraw/zinc/16/35/30/773163530.db2.gz GQGWNHMVGPGAPE-UHFFFAOYSA-N 1 2 314.433 1.504 20 30 DDEDLO Cn1ccnc1C[N@H+]1CCC2(CN(C(=O)C#CC(C)(C)C)C2)C1 ZINC001147541056 773163531 /nfs/dbraw/zinc/16/35/31/773163531.db2.gz GQGWNHMVGPGAPE-UHFFFAOYSA-N 1 2 314.433 1.504 20 30 DDEDLO C#CCOCCC(=O)N1CC2(C1)CC[N@H+](Cc1nccs1)C2 ZINC001147530089 773169939 /nfs/dbraw/zinc/16/99/39/773169939.db2.gz FZDHXHXJEKZPME-UHFFFAOYSA-N 1 2 319.430 1.217 20 30 DDEDLO C#CCOCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccs1)C2 ZINC001147530089 773169941 /nfs/dbraw/zinc/16/99/41/773169941.db2.gz FZDHXHXJEKZPME-UHFFFAOYSA-N 1 2 319.430 1.217 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nccn1C)C2 ZINC001148137937 773365109 /nfs/dbraw/zinc/36/51/09/773365109.db2.gz JGAIISXRDOQVJF-LSDHHAIUSA-N 1 2 316.449 1.913 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1C)C2 ZINC001148137937 773365114 /nfs/dbraw/zinc/36/51/14/773365114.db2.gz JGAIISXRDOQVJF-LSDHHAIUSA-N 1 2 316.449 1.913 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001148147321 773366392 /nfs/dbraw/zinc/36/63/92/773366392.db2.gz PGXIVTWJKZACIV-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001148147321 773366399 /nfs/dbraw/zinc/36/63/99/773366399.db2.gz PGXIVTWJKZACIV-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO Cn1nncc1C[N@H+](C)C[C@H]1CCCCN1C(=O)C#CC1CC1 ZINC001074012640 773585356 /nfs/dbraw/zinc/58/53/56/773585356.db2.gz FWDRSQFCAZDPJN-OAHLLOKOSA-N 1 2 315.421 1.041 20 30 DDEDLO Cn1nncc1C[N@@H+](C)C[C@H]1CCCCN1C(=O)C#CC1CC1 ZINC001074012640 773585363 /nfs/dbraw/zinc/58/53/63/773585363.db2.gz FWDRSQFCAZDPJN-OAHLLOKOSA-N 1 2 315.421 1.041 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nc(C)no1)C2 ZINC001148874292 773610514 /nfs/dbraw/zinc/61/05/14/773610514.db2.gz POFMYFMMIQQRRC-LBPRGKRZSA-N 1 2 320.393 1.003 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C)no1)C2 ZINC001148874292 773610518 /nfs/dbraw/zinc/61/05/18/773610518.db2.gz POFMYFMMIQQRRC-LBPRGKRZSA-N 1 2 320.393 1.003 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)COCCCC)C1 ZINC001149483261 773652872 /nfs/dbraw/zinc/65/28/72/773652872.db2.gz YLVXJRBMHSSHIK-CQSZACIVSA-N 1 2 318.845 1.763 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)COCCCC)C1 ZINC001149483261 773652875 /nfs/dbraw/zinc/65/28/75/773652875.db2.gz YLVXJRBMHSSHIK-CQSZACIVSA-N 1 2 318.845 1.763 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3=COCCC3)C[C@H]21 ZINC001074191205 773715040 /nfs/dbraw/zinc/71/50/40/773715040.db2.gz UZZXSSOXHIODHF-HZPDHXFCSA-N 1 2 304.390 1.006 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3=COCCC3)C[C@H]21 ZINC001074191205 773715044 /nfs/dbraw/zinc/71/50/44/773715044.db2.gz UZZXSSOXHIODHF-HZPDHXFCSA-N 1 2 304.390 1.006 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c(=O)c3)C[C@H]21 ZINC001074204707 773726492 /nfs/dbraw/zinc/72/64/92/773726492.db2.gz HDPUSJNUOHQMBZ-CABCVRRESA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c(=O)c3)C[C@H]21 ZINC001074204707 773726495 /nfs/dbraw/zinc/72/64/95/773726495.db2.gz HDPUSJNUOHQMBZ-CABCVRRESA-N 1 2 317.389 1.279 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]ccc3C)C[C@@H]21 ZINC001074228998 773753624 /nfs/dbraw/zinc/75/36/24/773753624.db2.gz ICRQEWPCIPBQQT-LSDHHAIUSA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]ccc3C)C[C@@H]21 ZINC001074228998 773753631 /nfs/dbraw/zinc/75/36/31/773753631.db2.gz ICRQEWPCIPBQQT-LSDHHAIUSA-N 1 2 301.390 1.262 20 30 DDEDLO CCOC(=O)CCc1ccc[nH+]c1N[C@@H]1C(=O)N(O)C[C@@H]1C ZINC001171362563 773771191 /nfs/dbraw/zinc/77/11/91/773771191.db2.gz GKDPIUUZNDKURC-GWCFXTLKSA-N 1 2 307.350 1.225 20 30 DDEDLO CCc1cc(N2CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]2CC)nc(C)[nH+]1 ZINC001091774433 773801588 /nfs/dbraw/zinc/80/15/88/773801588.db2.gz AEUFOHHFNKLOCS-TUKIKUTGSA-N 1 2 315.421 1.981 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]3OCC[N@@H+](CCCO)[C@@H]3C2)CC1 ZINC001074326445 773824480 /nfs/dbraw/zinc/82/44/80/773824480.db2.gz FLRMJXSDZDVJHM-IAGOWNOFSA-N 1 2 322.449 1.417 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]3OCC[N@H+](CCCO)[C@@H]3C2)CC1 ZINC001074326445 773824484 /nfs/dbraw/zinc/82/44/84/773824484.db2.gz FLRMJXSDZDVJHM-IAGOWNOFSA-N 1 2 322.449 1.417 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCc2c[nH]c[nH+]2)CCN1c1ncccc1C#N ZINC001092063599 773932764 /nfs/dbraw/zinc/93/27/64/773932764.db2.gz SMALCXNARWPSIT-DOMZBBRYSA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCc2c[nH+]c[nH]2)CCN1c1ncccc1C#N ZINC001092063599 773932767 /nfs/dbraw/zinc/93/27/67/773932767.db2.gz SMALCXNARWPSIT-DOMZBBRYSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC=CCC1)c1nccn12 ZINC001092348169 774067130 /nfs/dbraw/zinc/06/71/30/774067130.db2.gz PNOIGZWTOPXZPV-GJZGRUSLSA-N 1 2 312.417 1.997 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC1(C)C)c1nccn12 ZINC001092362742 774076616 /nfs/dbraw/zinc/07/66/16/774076616.db2.gz XRKVEWRBUQBQEH-KBPBESRZSA-N 1 2 312.417 1.524 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(C)CC=CC1)c1nccn12 ZINC001092391376 774098402 /nfs/dbraw/zinc/09/84/02/774098402.db2.gz VKURHJVWOYHJTP-AWEZNQCLSA-N 1 2 312.417 1.997 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC[C@@H](C)O1)c1nccn12 ZINC001092396424 774101185 /nfs/dbraw/zinc/10/11/85/774101185.db2.gz BSCASHIMZUCNOE-MGPQQGTHSA-N 1 2 316.405 1.209 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccnc(C)c1)c1nccn12 ZINC001092404214 774103118 /nfs/dbraw/zinc/10/31/18/774103118.db2.gz PYDQWPISFVYDAF-OAHLLOKOSA-N 1 2 323.400 1.658 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)[C@H](C)C1 ZINC001074883459 774214401 /nfs/dbraw/zinc/21/44/01/774214401.db2.gz GTXWYONQAQEAFE-KGLIPLIRSA-N 1 2 318.421 1.425 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)[C@@H]1C ZINC001074899685 774229158 /nfs/dbraw/zinc/22/91/58/774229158.db2.gz JNCJEFSXBPBENW-GHYVTOPFSA-N 1 2 320.393 1.048 20 30 DDEDLO C[C@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1cnc(C#N)cn1 ZINC001098302083 774530550 /nfs/dbraw/zinc/53/05/50/774530550.db2.gz CAZQOKGFUKDECF-NEPJUHHUSA-N 1 2 313.365 1.113 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001093336076 774635296 /nfs/dbraw/zinc/63/52/96/774635296.db2.gz FBJFDPOBRJKNQA-SZOQZIPDSA-N 1 2 300.406 1.938 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)CC[C@H]21 ZINC001036826121 774750713 /nfs/dbraw/zinc/75/07/13/774750713.db2.gz APFCOXKYFCVPPL-WMLDXEAASA-N 1 2 312.417 1.847 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)CC[C@H]21 ZINC001036826121 774750717 /nfs/dbraw/zinc/75/07/17/774750717.db2.gz APFCOXKYFCVPPL-WMLDXEAASA-N 1 2 312.417 1.847 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ncccc3C)CC2)C1 ZINC001093524154 774776130 /nfs/dbraw/zinc/77/61/30/774776130.db2.gz SMKIBOBECXUHBM-UHFFFAOYSA-N 1 2 315.417 1.881 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C3=COCCO3)CC2)C1 ZINC001093502847 774793345 /nfs/dbraw/zinc/79/33/45/774793345.db2.gz LZPQCQNIQZGQRN-UHFFFAOYSA-N 1 2 322.405 1.143 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CC[C@@H](OC)C3)CC2)C1 ZINC001093548877 774825262 /nfs/dbraw/zinc/82/52/62/774825262.db2.gz MSSIZISXALSIBH-GDBMZVCRSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)[C@H]3CCCO3)CC2)C1 ZINC001093570546 774842607 /nfs/dbraw/zinc/84/26/07/774842607.db2.gz CBKJPOQNFRQXBW-WMLDXEAASA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3C[C@H]3C3CC3)CC2)C1 ZINC001093582727 774860090 /nfs/dbraw/zinc/86/00/90/774860090.db2.gz IWBQKCWWVSITNG-DLBZAZTESA-N 1 2 304.434 1.910 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@H]1CCC(C)(C)CN1CC#N ZINC001099263520 774923268 /nfs/dbraw/zinc/92/32/68/774923268.db2.gz GCWBUDKHERCXLV-DZGCQCFKSA-N 1 2 317.437 1.719 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@H]1CCC(C)(C)CN1CC#N ZINC001099263520 774923273 /nfs/dbraw/zinc/92/32/73/774923273.db2.gz GCWBUDKHERCXLV-DZGCQCFKSA-N 1 2 317.437 1.719 20 30 DDEDLO C[C@H](CCNC(=O)Cc1[nH]cc[nH+]1)Nc1ncc(C#N)cc1F ZINC001099405648 774982346 /nfs/dbraw/zinc/98/23/46/774982346.db2.gz REYHBXXPURROLP-SNVBAGLBSA-N 1 2 316.340 1.365 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3CCCC3)nn2)C1 ZINC001094267170 775605448 /nfs/dbraw/zinc/60/54/48/775605448.db2.gz WKVIJNDADFQQLI-UHFFFAOYSA-N 1 2 303.410 1.517 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3cccs3)nn2)C1 ZINC001094273818 775620211 /nfs/dbraw/zinc/62/02/11/775620211.db2.gz VKYJDVJVUSDYAC-UHFFFAOYSA-N 1 2 317.418 1.702 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3CC(C)(C)C3)nn2)C1 ZINC001094289257 775690359 /nfs/dbraw/zinc/69/03/59/775690359.db2.gz BEISYELBJKAKBO-UHFFFAOYSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CCCC(=O)NCCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094327060 775705544 /nfs/dbraw/zinc/70/55/44/775705544.db2.gz ANOZHIVBIZTPKL-UHFFFAOYSA-N 1 2 303.410 1.349 20 30 DDEDLO C=CCCC(=O)NCCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094327060 775705553 /nfs/dbraw/zinc/70/55/53/775705553.db2.gz ANOZHIVBIZTPKL-UHFFFAOYSA-N 1 2 303.410 1.349 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC[C@H](C)C3)nn2)C1 ZINC001094324629 775752149 /nfs/dbraw/zinc/75/21/49/775752149.db2.gz YROJAIYYECPBAL-QWHCGFSZSA-N 1 2 303.410 1.373 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@H]2CCn3cc[nH+]c3C2)nc1 ZINC001094657042 776175180 /nfs/dbraw/zinc/17/51/80/776175180.db2.gz VKEFUHWOPQDAHM-AWEZNQCLSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@H]3C=CCCC3)CC2=O)C1 ZINC001094749538 776245503 /nfs/dbraw/zinc/24/55/03/776245503.db2.gz PMPZIHZSHMUVSU-LSDHHAIUSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)C3CCC3)CC2=O)C1 ZINC001094751096 776246806 /nfs/dbraw/zinc/24/68/06/776246806.db2.gz OEJSQCKDQFCLGW-JSGCOSHPSA-N 1 2 305.422 1.010 20 30 DDEDLO CCN(CCNC(=O)CCc1c[nH]c[nH+]1)c1ncccc1C#N ZINC001100756285 776504448 /nfs/dbraw/zinc/50/44/48/776504448.db2.gz MSLGSCOYONXDNV-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CCN(CCNC(=O)CCc1c[nH+]c[nH]1)c1ncccc1C#N ZINC001100756285 776504457 /nfs/dbraw/zinc/50/44/57/776504457.db2.gz MSLGSCOYONXDNV-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH2+][C@@H]1CCN(C2CC2)C(=O)C1)[C@@H](C)CC ZINC001172005495 776553921 /nfs/dbraw/zinc/55/39/21/776553921.db2.gz OEZDVXFWKGMPMB-ZENOOKHLSA-N 1 2 308.422 1.873 20 30 DDEDLO COC(=O)COc1ccc([NH+]=C(NO)c2ccccc2N)cn1 ZINC001212662885 776787558 /nfs/dbraw/zinc/78/75/58/776787558.db2.gz ZXXUAZTVFZDEMG-UHFFFAOYSA-N 1 2 316.317 1.273 20 30 DDEDLO Cc1nc(N2CCCN(C(=O)C#CC(C)(C)C)CC2)cc[nH+]1 ZINC001095179972 777219762 /nfs/dbraw/zinc/21/97/62/777219762.db2.gz QSWHLDVAHPMKHK-UHFFFAOYSA-N 1 2 300.406 1.873 20 30 DDEDLO Cc1nc(NCCN(C)C(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001101544338 777234861 /nfs/dbraw/zinc/23/48/61/777234861.db2.gz VUUZVOIJPICZCE-NSHDSACASA-N 1 2 301.394 1.694 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](F)C(C)C)c1nccn12 ZINC001101640096 777337420 /nfs/dbraw/zinc/33/74/20/777337420.db2.gz WMUQUKWNXYQQKS-STQMWFEESA-N 1 2 306.385 1.635 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCOCC(C)C)C[C@H]21 ZINC001176929876 778317391 /nfs/dbraw/zinc/31/73/91/778317391.db2.gz NQHSITODTJNLHY-SJORKVTESA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCOCC(C)C)C[C@H]21 ZINC001176929876 778317395 /nfs/dbraw/zinc/31/73/95/778317395.db2.gz NQHSITODTJNLHY-SJORKVTESA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOCC=C)[C@@H]2C1 ZINC001176955463 778346843 /nfs/dbraw/zinc/34/68/43/778346843.db2.gz UDCQOXJTOZOQGC-CVEARBPZSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC=C)[C@@H]2C1 ZINC001176955463 778346848 /nfs/dbraw/zinc/34/68/48/778346848.db2.gz UDCQOXJTOZOQGC-CVEARBPZSA-N 1 2 308.422 1.457 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOC(C)C)[C@H]2C1 ZINC001176987514 778369636 /nfs/dbraw/zinc/36/96/36/778369636.db2.gz DYDZRKJPVLLYCS-DLBZAZTESA-N 1 2 322.449 1.517 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2OCC[N@H+](CCOC(C)C)[C@H]2C1 ZINC001176987514 778369640 /nfs/dbraw/zinc/36/96/40/778369640.db2.gz DYDZRKJPVLLYCS-DLBZAZTESA-N 1 2 322.449 1.517 20 30 DDEDLO CCOCCC[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001177006966 778387077 /nfs/dbraw/zinc/38/70/77/778387077.db2.gz WATGUXWNKCSBAV-SJORKVTESA-N 1 2 320.433 1.128 20 30 DDEDLO CCOCCC[N@H+]1CCO[C@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001177006966 778387088 /nfs/dbraw/zinc/38/70/88/778387088.db2.gz WATGUXWNKCSBAV-SJORKVTESA-N 1 2 320.433 1.128 20 30 DDEDLO CC(C)OCC[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001177006949 778387485 /nfs/dbraw/zinc/38/74/85/778387485.db2.gz VRNXEJIGWCQZNL-IRXDYDNUSA-N 1 2 320.433 1.127 20 30 DDEDLO CC(C)OCC[N@H+]1CCO[C@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001177006949 778387492 /nfs/dbraw/zinc/38/74/92/778387492.db2.gz VRNXEJIGWCQZNL-IRXDYDNUSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102878060 778467509 /nfs/dbraw/zinc/46/75/09/778467509.db2.gz OMFQTYLPROKWJQ-ZFWWWQNUSA-N 1 2 316.405 1.298 20 30 DDEDLO C=CCCC(=O)NC[C@H](CC)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001102985394 778529083 /nfs/dbraw/zinc/52/90/83/778529083.db2.gz NCGWVQMWBZBBCI-ZDUSSCGKSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCC(=O)NC[C@H](CC)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001102985394 778529086 /nfs/dbraw/zinc/52/90/86/778529086.db2.gz NCGWVQMWBZBBCI-ZDUSSCGKSA-N 1 2 317.437 1.737 20 30 DDEDLO CO/N=C(\C(=O)N1CCC(n2cc[nH+]c2)CC1)c1ccco1 ZINC001177350093 778561784 /nfs/dbraw/zinc/56/17/84/778561784.db2.gz IUEJHPYIRZFPRU-VKAVYKQESA-N 1 2 302.334 1.690 20 30 DDEDLO CN(CC(=O)N1CCc2cc(C#N)ccc2C1)c1cccc[nH+]1 ZINC001177408724 778584715 /nfs/dbraw/zinc/58/47/15/778584715.db2.gz BEICZFSTSQDDQV-UHFFFAOYSA-N 1 2 306.369 1.974 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001103890113 779136780 /nfs/dbraw/zinc/13/67/80/779136780.db2.gz OSLHADGRMYLGDR-NSHDSACASA-N 1 2 302.382 1.494 20 30 DDEDLO N#CCNCCCCCCNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001178870905 779206410 /nfs/dbraw/zinc/20/64/10/779206410.db2.gz GQZUZLKTVBBTSY-CQSZACIVSA-N 1 2 303.410 1.235 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104097840 779304214 /nfs/dbraw/zinc/30/42/14/779304214.db2.gz HBVIWLYWYIMMDX-LBPRGKRZSA-N 1 2 316.409 1.884 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)[C@H](CNc1ccc(C#N)nc1)C1CC1 ZINC001115577099 780245187 /nfs/dbraw/zinc/24/51/87/780245187.db2.gz NDWFOEFJHXZXJH-MRXNPFEDSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C[C@@H](COC)NC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001116617552 780531326 /nfs/dbraw/zinc/53/13/26/780531326.db2.gz QHNBEWPZFZDGSI-LBPRGKRZSA-N 1 2 314.345 1.128 20 30 DDEDLO C#CCN(CC1CC1)C(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001116715340 780547647 /nfs/dbraw/zinc/54/76/47/780547647.db2.gz GWRDHEVNPBGFKU-UHFFFAOYSA-N 1 2 322.368 1.683 20 30 DDEDLO C=CC[C@H]([NH2+]C1CCN(c2cnccn2)CC1)C(=O)OCC ZINC001116774785 780555688 /nfs/dbraw/zinc/55/56/88/780555688.db2.gz FUWIUKXKMHHPJT-AWEZNQCLSA-N 1 2 304.394 1.543 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC001117348747 780732228 /nfs/dbraw/zinc/73/22/28/780732228.db2.gz QFEDMNJVHSYMIW-ZIAGYGMSSA-N 1 2 316.405 1.247 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1CCO[C@H](C)C1)c1ccccc1 ZINC001118123232 781037930 /nfs/dbraw/zinc/03/79/30/781037930.db2.gz IATPNHYTLNLPOL-ZBFHGGJFSA-N 1 2 301.390 1.381 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1CCO[C@H](C)C1)c1ccccc1 ZINC001118123232 781037933 /nfs/dbraw/zinc/03/79/33/781037933.db2.gz IATPNHYTLNLPOL-ZBFHGGJFSA-N 1 2 301.390 1.381 20 30 DDEDLO C=CCN(CCOC)c1nnnn1CCCc1cccc(C)[nH+]1 ZINC001120157690 781790306 /nfs/dbraw/zinc/79/03/06/781790306.db2.gz IKXXEZCTGMVRRQ-UHFFFAOYSA-N 1 2 316.409 1.648 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[N@@H+](CC)Cc1ccn(C)n1 ZINC001267255343 837675568 /nfs/dbraw/zinc/67/55/68/837675568.db2.gz XTAMJPGKOOLFKO-UHFFFAOYSA-N 1 2 324.428 1.582 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[N@H+](CC)Cc1ccn(C)n1 ZINC001267255343 837675573 /nfs/dbraw/zinc/67/55/73/837675573.db2.gz XTAMJPGKOOLFKO-UHFFFAOYSA-N 1 2 324.428 1.582 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1nccs1 ZINC001267268188 837706571 /nfs/dbraw/zinc/70/65/71/837706571.db2.gz XHUVRVRKXMTRTK-CYBMUJFWSA-N 1 2 307.419 1.264 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@H+]1Cc1nccs1 ZINC001267268188 837706578 /nfs/dbraw/zinc/70/65/78/837706578.db2.gz XHUVRVRKXMTRTK-CYBMUJFWSA-N 1 2 307.419 1.264 20 30 DDEDLO C=CCOCC[NH2+]C1CC(N(C)C(=O)C(F)C(F)(F)F)C1 ZINC001279236289 837773097 /nfs/dbraw/zinc/77/30/97/837773097.db2.gz OGHKKQBYKLVUBO-VQXHTEKXSA-N 1 2 312.307 1.668 20 30 DDEDLO C=CCOCC[NH2+]C1CC(N(C)C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001279236289 837773102 /nfs/dbraw/zinc/77/31/02/837773102.db2.gz OGHKKQBYKLVUBO-VQXHTEKXSA-N 1 2 312.307 1.668 20 30 DDEDLO C[N@H+](CCNC(=O)C#CC(C)(C)C)CC(=O)NCC1CCC1 ZINC001266273446 836023140 /nfs/dbraw/zinc/02/31/40/836023140.db2.gz RODLFVSVEBKDJZ-UHFFFAOYSA-N 1 2 307.438 1.000 20 30 DDEDLO C[N@@H+](CCNC(=O)C#CC(C)(C)C)CC(=O)NCC1CCC1 ZINC001266273446 836023148 /nfs/dbraw/zinc/02/31/48/836023148.db2.gz RODLFVSVEBKDJZ-UHFFFAOYSA-N 1 2 307.438 1.000 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@]1(CC(C)C)CCNC1=O ZINC001266285437 836046651 /nfs/dbraw/zinc/04/66/51/836046651.db2.gz YJQJMWQTTPIRNV-HNNXBMFYSA-N 1 2 315.845 1.339 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@]1(CC(C)C)CCNC1=O ZINC001266285437 836046657 /nfs/dbraw/zinc/04/66/57/836046657.db2.gz YJQJMWQTTPIRNV-HNNXBMFYSA-N 1 2 315.845 1.339 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)c2ccn(CC)c2C)CC1 ZINC001266289977 836061347 /nfs/dbraw/zinc/06/13/47/836061347.db2.gz JANWAUSQXRFLBS-UHFFFAOYSA-N 1 2 316.449 1.187 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]([NH2+]Cc1noc(C2CC2)n1)C(C)C ZINC001271839034 844149962 /nfs/dbraw/zinc/14/99/62/844149962.db2.gz PTNQVLGTFCDZGB-AWEZNQCLSA-N 1 2 318.421 1.837 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CCC[N@H+]2Cc2cnnn2C)CCC1 ZINC001266480571 836327936 /nfs/dbraw/zinc/32/79/36/836327936.db2.gz TWDGAKWEWXBFRM-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2cnnn2C)CCC1 ZINC001266480571 836327945 /nfs/dbraw/zinc/32/79/45/836327945.db2.gz TWDGAKWEWXBFRM-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC1CC1 ZINC001266481327 836329565 /nfs/dbraw/zinc/32/95/65/836329565.db2.gz OURQRGGTUCYDJN-CWRNSKLLSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC1CC1 ZINC001266481327 836329575 /nfs/dbraw/zinc/32/95/75/836329575.db2.gz OURQRGGTUCYDJN-CWRNSKLLSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc([C@H](C)OCC)no2)C1 ZINC001267006382 837178698 /nfs/dbraw/zinc/17/86/98/837178698.db2.gz IUJFFTYSZHVWLN-STQMWFEESA-N 1 2 322.409 1.824 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001267011539 837184658 /nfs/dbraw/zinc/18/46/58/837184658.db2.gz UJJKQXCWVAJWOV-LBPRGKRZSA-N 1 2 321.425 1.428 20 30 DDEDLO C=CCOCC(=O)NCC[C@H]1CC[N@@H+]([C@@H](C)c2noc(C)n2)C1 ZINC001267555671 838297300 /nfs/dbraw/zinc/29/73/00/838297300.db2.gz PKLIMWLRTHJJPM-JSGCOSHPSA-N 1 2 322.409 1.470 20 30 DDEDLO C=CCOCC(=O)NCC[C@H]1CC[N@H+]([C@@H](C)c2noc(C)n2)C1 ZINC001267555671 838297308 /nfs/dbraw/zinc/29/73/08/838297308.db2.gz PKLIMWLRTHJJPM-JSGCOSHPSA-N 1 2 322.409 1.470 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1nncn1C ZINC001272024159 844348897 /nfs/dbraw/zinc/34/88/97/844348897.db2.gz MLBPJRKXDLGFJZ-LSDHHAIUSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1nncn1C ZINC001272024159 844348907 /nfs/dbraw/zinc/34/89/07/844348907.db2.gz MLBPJRKXDLGFJZ-LSDHHAIUSA-N 1 2 317.437 1.737 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H]1CC[N@@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001267765467 838907451 /nfs/dbraw/zinc/90/74/51/838907451.db2.gz ZQHWUMUMAXCKNY-JSGCOSHPSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H]1CC[N@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001267765467 838907463 /nfs/dbraw/zinc/90/74/63/838907463.db2.gz ZQHWUMUMAXCKNY-JSGCOSHPSA-N 1 2 304.394 1.775 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](CC)[NH2+]Cc1csnn1 ZINC001267820117 839027869 /nfs/dbraw/zinc/02/78/69/839027869.db2.gz MMIDZQOUVNEDGA-UHTWSYAYSA-N 1 2 310.423 1.114 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC/C=C\C[NH2+]Cc1nc(C)c(C)o1 ZINC001268534655 840404981 /nfs/dbraw/zinc/40/49/81/840404981.db2.gz VPSCAIBBWCUICT-WLMCBFPDSA-N 1 2 307.394 1.381 20 30 DDEDLO CCOCCC(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001268702519 840689783 /nfs/dbraw/zinc/68/97/83/840689783.db2.gz CRMZWLIEQMNKDV-UHFFFAOYSA-N 1 2 301.390 1.533 20 30 DDEDLO CC#CCCCC(=O)NCC1C[NH+]([C@H](C)C(=O)NCC(C)C)C1 ZINC001268862971 840915618 /nfs/dbraw/zinc/91/56/18/840915618.db2.gz QWFQBABCHMBLCV-OAHLLOKOSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)c1ccc[nH]1 ZINC001269196694 841328525 /nfs/dbraw/zinc/32/85/25/841328525.db2.gz XNYZXRKWNDOVLY-AWEZNQCLSA-N 1 2 316.405 1.019 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CNC(=O)c1ccc[nH]1 ZINC001269196694 841328536 /nfs/dbraw/zinc/32/85/36/841328536.db2.gz XNYZXRKWNDOVLY-AWEZNQCLSA-N 1 2 316.405 1.019 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001269241236 841408279 /nfs/dbraw/zinc/40/82/79/841408279.db2.gz SOMILAUOLIATPG-UONOGXRCSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001269241236 841408285 /nfs/dbraw/zinc/40/82/85/841408285.db2.gz SOMILAUOLIATPG-UONOGXRCSA-N 1 2 321.446 1.523 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001269273201 841454005 /nfs/dbraw/zinc/45/40/05/841454005.db2.gz SZJAVGFDSPHKIQ-KBPBESRZSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001269273201 841454009 /nfs/dbraw/zinc/45/40/09/841454009.db2.gz SZJAVGFDSPHKIQ-KBPBESRZSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](N(CC)C(=O)c2ccc(C)o2)C1 ZINC001269307554 841500433 /nfs/dbraw/zinc/50/04/33/841500433.db2.gz UAJXUQGRMHHFHZ-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc(C)o2)C1 ZINC001269307554 841500441 /nfs/dbraw/zinc/50/04/41/841500441.db2.gz UAJXUQGRMHHFHZ-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC1([NH2+]Cc2ncc(C)o2)CC1 ZINC001269526223 841720988 /nfs/dbraw/zinc/72/09/88/841720988.db2.gz BANIWUJAIDPPHV-UHFFFAOYSA-N 1 2 323.396 1.945 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)CCCCN2C(=O)CCn1cc[nH+]c1 ZINC001269581190 841787960 /nfs/dbraw/zinc/78/79/60/841787960.db2.gz GQLODZWUYQWPGZ-QGZVFWFLSA-N 1 2 316.405 1.443 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)CCCNC(=O)C(C)(C)C)C1 ZINC001269824972 842081803 /nfs/dbraw/zinc/08/18/03/842081803.db2.gz AZRBLHGJJOJTHT-UHFFFAOYSA-N 1 2 309.454 1.648 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001154609701 861277637 /nfs/dbraw/zinc/27/76/37/861277637.db2.gz UUSZKBRQWFEBLO-OAHLLOKOSA-N 1 2 319.453 1.725 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001154609701 861277647 /nfs/dbraw/zinc/27/76/47/861277647.db2.gz UUSZKBRQWFEBLO-OAHLLOKOSA-N 1 2 319.453 1.725 20 30 DDEDLO Cn1cc(C[N@@H+]2CC[C@H]3[C@@H]2CCN3C(=O)c2cnc[nH]2)cc1C#N ZINC001270292384 842460976 /nfs/dbraw/zinc/46/09/76/842460976.db2.gz ZAXQMPWQZBBKBV-HOTGVXAUSA-N 1 2 324.388 1.109 20 30 DDEDLO Cn1cc(C[N@H+]2CC[C@H]3[C@@H]2CCN3C(=O)c2cnc[nH]2)cc1C#N ZINC001270292384 842460981 /nfs/dbraw/zinc/46/09/81/842460981.db2.gz ZAXQMPWQZBBKBV-HOTGVXAUSA-N 1 2 324.388 1.109 20 30 DDEDLO O=C(C#Cc1ccncc1)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cn[nH]c1 ZINC001270336683 842497659 /nfs/dbraw/zinc/49/76/59/842497659.db2.gz VQDVMZMCMJDFNK-CALCHBBNSA-N 1 2 321.384 1.032 20 30 DDEDLO O=C(C#Cc1ccncc1)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cn[nH]c1 ZINC001270336683 842497663 /nfs/dbraw/zinc/49/76/63/842497663.db2.gz VQDVMZMCMJDFNK-CALCHBBNSA-N 1 2 321.384 1.032 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@]2(C1)C[N@H+](Cc1ccc[nH]1)CCO2 ZINC001270509161 842631365 /nfs/dbraw/zinc/63/13/65/842631365.db2.gz ZTJHNTRGXQPHEE-YOEHRIQHSA-N 1 2 316.405 1.368 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@]2(C1)C[N@@H+](Cc1ccc[nH]1)CCO2 ZINC001270509161 842631370 /nfs/dbraw/zinc/63/13/70/842631370.db2.gz ZTJHNTRGXQPHEE-YOEHRIQHSA-N 1 2 316.405 1.368 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)COCc2ccccc2C)C1 ZINC001270681963 842815275 /nfs/dbraw/zinc/81/52/75/842815275.db2.gz GZDQLZBJHOYBFG-KRWDZBQOSA-N 1 2 318.417 1.515 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)COCc2ccccc2C)C1 ZINC001270681963 842815280 /nfs/dbraw/zinc/81/52/80/842815280.db2.gz GZDQLZBJHOYBFG-KRWDZBQOSA-N 1 2 318.417 1.515 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)CN2CCc3ccccc32)C1 ZINC001270700124 842827288 /nfs/dbraw/zinc/82/72/88/842827288.db2.gz BVUQXJXHTQNEBW-INIZCTEOSA-N 1 2 315.417 1.052 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)CN2CCc3ccccc32)C1 ZINC001270700124 842827298 /nfs/dbraw/zinc/82/72/98/842827298.db2.gz BVUQXJXHTQNEBW-INIZCTEOSA-N 1 2 315.417 1.052 20 30 DDEDLO Cc1csc(C[N@@H+](C)[C@@H](C)CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001409532550 845263846 /nfs/dbraw/zinc/26/38/46/845263846.db2.gz COQSITAPJQXHCE-NSHDSACASA-N 1 2 317.418 1.902 20 30 DDEDLO Cc1csc(C[N@H+](C)[C@@H](C)CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001409532550 845263854 /nfs/dbraw/zinc/26/38/54/845263854.db2.gz COQSITAPJQXHCE-NSHDSACASA-N 1 2 317.418 1.902 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2snnc2C)CCCO1 ZINC001149362084 861570288 /nfs/dbraw/zinc/57/02/88/861570288.db2.gz OKTCGFVJJGYCJL-CYBMUJFWSA-N 1 2 324.450 1.520 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2snnc2C)CCCO1 ZINC001149362084 861570298 /nfs/dbraw/zinc/57/02/98/861570298.db2.gz OKTCGFVJJGYCJL-CYBMUJFWSA-N 1 2 324.450 1.520 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@H+]2Cc2ccnc(OC)n2)C1=O ZINC001272638436 846443104 /nfs/dbraw/zinc/44/31/04/846443104.db2.gz HHWURCCYGWCWTM-KRWDZBQOSA-N 1 2 316.405 1.628 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@@H+]2Cc2ccnc(OC)n2)C1=O ZINC001272638436 846443107 /nfs/dbraw/zinc/44/31/07/846443107.db2.gz HHWURCCYGWCWTM-KRWDZBQOSA-N 1 2 316.405 1.628 20 30 DDEDLO CC(C)C(=O)NC[C@@]1(C)C[N@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107747138 846924543 /nfs/dbraw/zinc/92/45/43/846924543.db2.gz RFCJKQOLICRAHL-SFHVURJKSA-N 1 2 315.417 1.921 20 30 DDEDLO CC(C)C(=O)NC[C@@]1(C)C[N@@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107747138 846924550 /nfs/dbraw/zinc/92/45/50/846924550.db2.gz RFCJKQOLICRAHL-SFHVURJKSA-N 1 2 315.417 1.921 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccnc2)[nH]1 ZINC001032362674 847035782 /nfs/dbraw/zinc/03/57/82/847035782.db2.gz NBTROTGHVNLTSR-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccnc2)[nH]1 ZINC001032362674 847035788 /nfs/dbraw/zinc/03/57/88/847035788.db2.gz NBTROTGHVNLTSR-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO C=C(C)CNC(=O)[C@H]1CC12CC[NH+](Cc1ncccn1)CC2 ZINC001272796179 847439387 /nfs/dbraw/zinc/43/93/87/847439387.db2.gz ORIMPKYXDPJWMZ-CQSZACIVSA-N 1 2 300.406 1.771 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1OCC[C@H]1CC ZINC001272868611 847567645 /nfs/dbraw/zinc/56/76/45/847567645.db2.gz GQZRRXSVMGMLFU-OAGGEKHMSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1OCC[C@H]1CC ZINC001272868611 847567653 /nfs/dbraw/zinc/56/76/53/847567653.db2.gz GQZRRXSVMGMLFU-OAGGEKHMSA-N 1 2 310.438 1.585 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@@H+](Cc3ccc(Cl)nc3)C2)OCC1=O ZINC001272875763 847576737 /nfs/dbraw/zinc/57/67/37/847576737.db2.gz VSQPILHFISBVRG-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@H+](Cc3ccc(Cl)nc3)C2)OCC1=O ZINC001272875763 847576741 /nfs/dbraw/zinc/57/67/41/847576741.db2.gz VSQPILHFISBVRG-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(OC)cc1)C2 ZINC001272918661 847627969 /nfs/dbraw/zinc/62/79/69/847627969.db2.gz OCTGFGSXPMYTFC-UHFFFAOYSA-N 1 2 302.374 1.294 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2cnnn2CC)C1 ZINC001034193422 848097620 /nfs/dbraw/zinc/09/76/20/848097620.db2.gz ZRVNVSATDIZYLI-LBPRGKRZSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2cnnn2CC)C1 ZINC001034193422 848097611 /nfs/dbraw/zinc/09/76/11/848097611.db2.gz ZRVNVSATDIZYLI-LBPRGKRZSA-N 1 2 311.817 1.635 20 30 DDEDLO C#CCCCCC(=O)N1CCO[C@@H](C[NH2+]Cc2cnsn2)C1 ZINC001273244594 849025796 /nfs/dbraw/zinc/02/57/96/849025796.db2.gz UCPGMJSGNGYKNO-AWEZNQCLSA-N 1 2 322.434 1.049 20 30 DDEDLO C#CCN1CC[C@]2(CC[N@@H+](Cc3csc(Cl)n3)C2)C1=O ZINC001273270668 849287977 /nfs/dbraw/zinc/28/79/77/849287977.db2.gz BDPVAURGAWVJKD-AWEZNQCLSA-N 1 2 309.822 1.854 20 30 DDEDLO C#CCN1CC[C@]2(CC[N@H+](Cc3csc(Cl)n3)C2)C1=O ZINC001273270668 849287982 /nfs/dbraw/zinc/28/79/82/849287982.db2.gz BDPVAURGAWVJKD-AWEZNQCLSA-N 1 2 309.822 1.854 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)CC(C)(C)C=C)C2)CC1 ZINC001273373999 849734653 /nfs/dbraw/zinc/73/46/53/849734653.db2.gz GNYVDFSCZXKKRE-KRWDZBQOSA-N 1 2 317.477 1.831 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH+]2CCN(CCOCC)CC2)C1 ZINC001273377110 849740580 /nfs/dbraw/zinc/74/05/80/849740580.db2.gz ZNSAZMKYBYWDQY-QGZVFWFLSA-N 1 2 323.481 1.598 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@@H](O)CN(C)C(=O)[C@H](C)CC ZINC001411157559 850297677 /nfs/dbraw/zinc/29/76/77/850297677.db2.gz SATRDKZQMLOWBM-ZYHUDNBSSA-N 1 2 321.259 1.692 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@@H](O)CN(C)C(=O)[C@H](C)CC ZINC001411157559 850297687 /nfs/dbraw/zinc/29/76/87/850297687.db2.gz SATRDKZQMLOWBM-ZYHUDNBSSA-N 1 2 321.259 1.692 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)Cc1ccn(C)n1)C(C)C ZINC001411184035 850342959 /nfs/dbraw/zinc/34/29/59/850342959.db2.gz AXUIDKMGDQIAOD-UHFFFAOYSA-N 1 2 312.845 1.884 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)Cc1ccn(C)n1)C(C)C ZINC001411184035 850342966 /nfs/dbraw/zinc/34/29/66/850342966.db2.gz AXUIDKMGDQIAOD-UHFFFAOYSA-N 1 2 312.845 1.884 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H](OCC)C(=C)C)CO2 ZINC001327396078 862137907 /nfs/dbraw/zinc/13/79/07/862137907.db2.gz DNQLSOISNUVWHM-JKSUJKDBSA-N 1 2 322.449 1.893 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H](C)COC ZINC001327505718 862220699 /nfs/dbraw/zinc/22/06/99/862220699.db2.gz DPZQWQMTFWJACL-PBHICJAKSA-N 1 2 300.402 1.445 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H](C)COC ZINC001327505718 862220718 /nfs/dbraw/zinc/22/07/18/862220718.db2.gz DPZQWQMTFWJACL-PBHICJAKSA-N 1 2 300.402 1.445 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C/C[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001274011100 851846430 /nfs/dbraw/zinc/84/64/30/851846430.db2.gz ULXSRCKKIXEXLR-IHVVCDCBSA-N 1 2 316.405 1.929 20 30 DDEDLO N#CCC[N@H+]1CCOC[C@]2(CC(=O)N(CC(F)(F)F)C2)C1 ZINC001274031445 851869266 /nfs/dbraw/zinc/86/92/66/851869266.db2.gz QRAIODSPSNYSFZ-GFCCVEGCSA-N 1 2 305.300 1.013 20 30 DDEDLO N#CCC[N@@H+]1CCOC[C@]2(CC(=O)N(CC(F)(F)F)C2)C1 ZINC001274031445 851869278 /nfs/dbraw/zinc/86/92/78/851869278.db2.gz QRAIODSPSNYSFZ-GFCCVEGCSA-N 1 2 305.300 1.013 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]([NH2+]Cc2nnc(C3CC3)o2)[C@@H](C)C1 ZINC001274196643 852024463 /nfs/dbraw/zinc/02/44/63/852024463.db2.gz AJTBRAUYYPRAIK-JSGCOSHPSA-N 1 2 316.405 1.543 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001274237626 852078811 /nfs/dbraw/zinc/07/88/11/852078811.db2.gz BGFGOQLDDGLLNB-GXTWGEPZSA-N 1 2 304.394 1.508 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)CN(Cc3c(C)[nH+]cn3C)C[C@@]2(F)C1=O ZINC001274352988 852182361 /nfs/dbraw/zinc/18/23/61/852182361.db2.gz ZHLBZYCJXPEZJI-JKSUJKDBSA-N 1 2 324.375 1.379 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1ncsc1C)C2 ZINC001274506248 852340985 /nfs/dbraw/zinc/34/09/85/852340985.db2.gz KCLWBONVVYUTDP-MRXNPFEDSA-N 1 2 319.430 1.136 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1ncsc1C)C2 ZINC001274506248 852340991 /nfs/dbraw/zinc/34/09/91/852340991.db2.gz KCLWBONVVYUTDP-MRXNPFEDSA-N 1 2 319.430 1.136 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3ncsc3C)C2)OCC1=O ZINC001274508567 852342751 /nfs/dbraw/zinc/34/27/51/852342751.db2.gz ZBPGIWUQQUOFBL-HNNXBMFYSA-N 1 2 307.419 1.441 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3ncsc3C)C2)OCC1=O ZINC001274508567 852342758 /nfs/dbraw/zinc/34/27/58/852342758.db2.gz ZBPGIWUQQUOFBL-HNNXBMFYSA-N 1 2 307.419 1.441 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1C[C@]2(F)CN([C@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274614164 852443451 /nfs/dbraw/zinc/44/34/51/852443451.db2.gz BQUUHUUIAZQXSK-REBRKWNGSA-N 1 2 302.365 1.296 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1C[C@]2(F)CN([C@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274614164 852443455 /nfs/dbraw/zinc/44/34/55/852443455.db2.gz BQUUHUUIAZQXSK-REBRKWNGSA-N 1 2 302.365 1.296 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cncc3cnccc31)C2 ZINC001274660115 852492291 /nfs/dbraw/zinc/49/22/91/852492291.db2.gz CKCKVFDLZPRDCJ-UHFFFAOYSA-N 1 2 324.384 1.229 20 30 DDEDLO C[C@H](C[NH2+]Cc1csnn1)NC(=O)CC#Cc1ccccc1 ZINC001275030340 852759154 /nfs/dbraw/zinc/75/91/54/852759154.db2.gz RVWMIXOSVPRAGU-CYBMUJFWSA-N 1 2 314.414 1.574 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(CC)C(=O)CCc1c[nH+]cn1C ZINC001296351639 853301972 /nfs/dbraw/zinc/30/19/72/853301972.db2.gz BZAUUEHOAFINOW-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H](C)C[N@H+](C)[C@H](C)c2ncccn2)c1 ZINC001275648510 853491267 /nfs/dbraw/zinc/49/12/67/853491267.db2.gz LCLSZHUIEOFQDB-ZIAGYGMSSA-N 1 2 323.400 1.664 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H](C)C[N@@H+](C)[C@H](C)c2ncccn2)c1 ZINC001275648510 853491269 /nfs/dbraw/zinc/49/12/69/853491269.db2.gz LCLSZHUIEOFQDB-ZIAGYGMSSA-N 1 2 323.400 1.664 20 30 DDEDLO Cn1cc(C[N@@H+]2CCC23CN(Cc2cccc(=O)[nH]2)C3)cc1C#N ZINC001276354793 855712085 /nfs/dbraw/zinc/71/20/85/855712085.db2.gz DLKCOKWCTQJSEY-UHFFFAOYSA-N 1 2 323.400 1.458 20 30 DDEDLO Cn1cc(C[N@H+]2CCC23CN(Cc2cccc(=O)[nH]2)C3)cc1C#N ZINC001276354793 855712088 /nfs/dbraw/zinc/71/20/88/855712088.db2.gz DLKCOKWCTQJSEY-UHFFFAOYSA-N 1 2 323.400 1.458 20 30 DDEDLO COc1cncc(C[NH+]2CC([C@@H](C)NC(=O)C#CC(C)C)C2)n1 ZINC001276375460 856300740 /nfs/dbraw/zinc/30/07/40/856300740.db2.gz FOTZFMZUCXTYTN-CYBMUJFWSA-N 1 2 316.405 1.081 20 30 DDEDLO CC(C)n1cc(C[NH2+]Cc2nc(C#N)cs2)c(=O)[nH]c1=O ZINC001413264718 856585441 /nfs/dbraw/zinc/58/54/41/856585441.db2.gz UNIVVUPISFIIJV-UHFFFAOYSA-N 1 2 305.363 1.148 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001049558251 856973397 /nfs/dbraw/zinc/97/33/97/856973397.db2.gz OFXDZITXQSAQQM-FVQBIDKESA-N 1 2 313.405 1.034 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@H+](C/C(Cl)=C\Cl)C2 ZINC001072553404 857439815 /nfs/dbraw/zinc/43/98/15/857439815.db2.gz YYNQASWLDFEMFS-KRPLZJQNSA-N 1 2 302.205 1.999 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](C/C(Cl)=C\Cl)C2 ZINC001072553404 857439820 /nfs/dbraw/zinc/43/98/20/857439820.db2.gz YYNQASWLDFEMFS-KRPLZJQNSA-N 1 2 302.205 1.999 20 30 DDEDLO C#CC[N@H+]1CCC2(CN(C(=O)c3cc(C(F)(F)F)[nH]n3)C2)C1 ZINC001073058334 858056233 /nfs/dbraw/zinc/05/62/33/858056233.db2.gz KKCKCBAJLZJHQD-UHFFFAOYSA-N 1 2 312.295 1.210 20 30 DDEDLO C#CC[N@@H+]1CCC2(CN(C(=O)c3cc(C(F)(F)F)[nH]n3)C2)C1 ZINC001073058334 858056242 /nfs/dbraw/zinc/05/62/42/858056242.db2.gz KKCKCBAJLZJHQD-UHFFFAOYSA-N 1 2 312.295 1.210 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)/C=C\C(C)(C)C)CC2)C1 ZINC001328486347 863001456 /nfs/dbraw/zinc/00/14/56/863001456.db2.gz YYFBIDIUUZPVJY-SREVYHEPSA-N 1 2 304.434 1.915 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)/C=C\C(C)(C)C)CC2)C1 ZINC001328486347 863001470 /nfs/dbraw/zinc/00/14/70/863001470.db2.gz YYFBIDIUUZPVJY-SREVYHEPSA-N 1 2 304.434 1.915 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H](CC(C)C)OC)CC2)C1 ZINC001328491996 863003848 /nfs/dbraw/zinc/00/38/48/863003848.db2.gz LZESTAQMRYHSLM-MRXNPFEDSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H](CC(C)C)OC)CC2)C1 ZINC001328491996 863003858 /nfs/dbraw/zinc/00/38/58/863003858.db2.gz LZESTAQMRYHSLM-MRXNPFEDSA-N 1 2 322.449 1.374 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)c2[nH]ccc2C)CC1 ZINC001381240218 881351715 /nfs/dbraw/zinc/35/17/15/881351715.db2.gz DTLUMGBJVIWNOJ-UHFFFAOYSA-N 1 2 311.813 1.632 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)NCc2cc[nH+]c(NCC)c2)C1 ZINC001123724161 859379212 /nfs/dbraw/zinc/37/92/12/859379212.db2.gz FQVAVUOSPQFQQM-AWEZNQCLSA-N 1 2 304.394 2.000 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](O)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001124164782 859610700 /nfs/dbraw/zinc/61/07/00/859610700.db2.gz UKVBLDWORHZCNU-CQSZACIVSA-N 1 2 318.421 1.860 20 30 DDEDLO CC1(C)CN(Cc2cccc(Cl)c2C#N)CC[N@@H+]1CC(N)=O ZINC001139692346 860453190 /nfs/dbraw/zinc/45/31/90/860453190.db2.gz WSHNDQNKWXKOMJ-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO CC1(C)CN(Cc2cccc(Cl)c2C#N)CC[N@H+]1CC(N)=O ZINC001139692346 860453192 /nfs/dbraw/zinc/45/31/92/860453192.db2.gz WSHNDQNKWXKOMJ-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO C=CCn1cc(C[N@H+]2Cc3nccn3C[C@@H](COC)C2)cn1 ZINC001139769850 860476616 /nfs/dbraw/zinc/47/66/16/860476616.db2.gz SUQQOMPOCAYQCC-HNNXBMFYSA-N 1 2 301.394 1.544 20 30 DDEDLO C=CCn1cc(C[N@@H+]2Cc3nccn3C[C@@H](COC)C2)cn1 ZINC001139769850 860476620 /nfs/dbraw/zinc/47/66/20/860476620.db2.gz SUQQOMPOCAYQCC-HNNXBMFYSA-N 1 2 301.394 1.544 20 30 DDEDLO C=CCn1cc(C[N@@H+]2CCn3cnc(COCC)c3C2)cn1 ZINC001139771527 860478063 /nfs/dbraw/zinc/47/80/63/860478063.db2.gz GLYCDWPREFBCEF-UHFFFAOYSA-N 1 2 301.394 1.818 20 30 DDEDLO C=CCn1cc(C[N@H+]2CCn3cnc(COCC)c3C2)cn1 ZINC001139771527 860478069 /nfs/dbraw/zinc/47/80/69/860478069.db2.gz GLYCDWPREFBCEF-UHFFFAOYSA-N 1 2 301.394 1.818 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2ccnc(NC)n2)C1 ZINC001140044867 860562230 /nfs/dbraw/zinc/56/22/30/860562230.db2.gz UTWWEZMEDLHLQP-GFCCVEGCSA-N 1 2 305.382 1.395 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2ccnc(NC)n2)C1 ZINC001140044867 860562234 /nfs/dbraw/zinc/56/22/34/860562234.db2.gz UTWWEZMEDLHLQP-GFCCVEGCSA-N 1 2 305.382 1.395 20 30 DDEDLO C[C@@H](NC(=O)c1cc(C#N)c[nH]1)[C@@H](C)[NH2+]Cc1cnsn1 ZINC001381369115 881603379 /nfs/dbraw/zinc/60/33/79/881603379.db2.gz PACWYMSMCAEAMZ-RKDXNWHRSA-N 1 2 304.379 1.034 20 30 DDEDLO C=C[C@@H](C(=O)NCC[NH2+]Cc1nncs1)c1ccccc1 ZINC001151998029 863102509 /nfs/dbraw/zinc/10/25/09/863102509.db2.gz OJQWXULGXGNXLM-CYBMUJFWSA-N 1 2 302.403 1.714 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(CC2CC2)nn1 ZINC001152353178 863294213 /nfs/dbraw/zinc/29/42/13/863294213.db2.gz VCKITNLTIKUJHI-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(CC2CC2)nn1 ZINC001152353178 863294224 /nfs/dbraw/zinc/29/42/24/863294224.db2.gz VCKITNLTIKUJHI-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO N#Cc1cc(C(=O)NCCC[NH2+]Cc2nnsc2Cl)c[nH]1 ZINC001156841235 863387142 /nfs/dbraw/zinc/38/71/42/863387142.db2.gz CVOAIYLZVFZJSN-UHFFFAOYSA-N 1 2 324.797 1.301 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2c(C)nc3ccc(Cl)nn32)CC1 ZINC001152519009 863406655 /nfs/dbraw/zinc/40/66/55/863406655.db2.gz XYGHWNHXRIVULE-UHFFFAOYSA-N 1 2 319.796 1.635 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(c2cnn(C)c2)C1)c1cccc(C#N)c1O ZINC001329362465 863619317 /nfs/dbraw/zinc/61/93/17/863619317.db2.gz CXSMZFYMCGSTBM-OCCSQVGLSA-N 1 2 311.389 1.927 20 30 DDEDLO C#CCCCC(=O)NCCC[NH2+]Cc1noc(CC(C)C)n1 ZINC001157182977 863638249 /nfs/dbraw/zinc/63/82/49/863638249.db2.gz LJLATNHBXHNHIS-UHFFFAOYSA-N 1 2 306.410 1.668 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC[NH2+]Cc1nnsc1Cl ZINC001157189161 863641577 /nfs/dbraw/zinc/64/15/77/863641577.db2.gz GRFMWGOTNVXIPK-LBPRGKRZSA-N 1 2 318.830 1.115 20 30 DDEDLO CCc1cnc(C[N@@H+](CC)[C@H](C)CNC(=O)C#CC2CC2)o1 ZINC001153233238 863778058 /nfs/dbraw/zinc/77/80/58/863778058.db2.gz YJNAAAMQEFVDKP-CYBMUJFWSA-N 1 2 303.406 1.977 20 30 DDEDLO CCc1cnc(C[N@H+](CC)[C@H](C)CNC(=O)C#CC2CC2)o1 ZINC001153233238 863778065 /nfs/dbraw/zinc/77/80/65/863778065.db2.gz YJNAAAMQEFVDKP-CYBMUJFWSA-N 1 2 303.406 1.977 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)C1C[NH+](Cc2cnnn2C)C1 ZINC001330001546 864010430 /nfs/dbraw/zinc/01/04/30/864010430.db2.gz WPLYBTUEQUJOTJ-LBPRGKRZSA-N 1 2 305.426 1.354 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)C2C[NH+](CC(=O)NCC)C2)CCCC1 ZINC001330088302 864074675 /nfs/dbraw/zinc/07/46/75/864074675.db2.gz KYFHMSHMDLBBBM-AWEZNQCLSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ccon1 ZINC001157875959 864213547 /nfs/dbraw/zinc/21/35/47/864213547.db2.gz FVXIEVGJBSVQSK-NVXWUHKLSA-N 1 2 321.421 1.815 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ccon1 ZINC001157875959 864213561 /nfs/dbraw/zinc/21/35/61/864213561.db2.gz FVXIEVGJBSVQSK-NVXWUHKLSA-N 1 2 321.421 1.815 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]([C@H](C)[NH2+]Cc2csnn2)C1 ZINC001330399578 864307788 /nfs/dbraw/zinc/30/77/88/864307788.db2.gz ALXBFTASNKDIHQ-GXTWGEPZSA-N 1 2 324.450 1.600 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)[C@H](C#N)Cc2ccc(C#N)cc2)c1 ZINC001361490089 881753320 /nfs/dbraw/zinc/75/33/20/881753320.db2.gz MTYAYQMBKIYYRP-HNNXBMFYSA-N 1 2 307.357 1.333 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1C[C@H](C[NH2+]Cc2noc(C3CC3)n2)C1 ZINC001330595195 864476625 /nfs/dbraw/zinc/47/66/25/864476625.db2.gz RWQSVMRRZWSUCU-MQMHXKEQSA-N 1 2 314.389 1.345 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCCC[C@H]1[NH2+][C@@H](C)c1noc(C)n1 ZINC001225361785 881798920 /nfs/dbraw/zinc/79/89/20/881798920.db2.gz RBOOTLOTTMYLJT-IACUBPJLSA-N 1 2 322.409 1.659 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3c(Cl)nccc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001225431027 881830358 /nfs/dbraw/zinc/83/03/58/881830358.db2.gz KOQVHGZCUQMWIJ-FRQULTQSSA-N 1 2 311.725 1.634 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3c(Cl)nccc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001225431027 881830375 /nfs/dbraw/zinc/83/03/75/881830375.db2.gz KOQVHGZCUQMWIJ-FRQULTQSSA-N 1 2 311.725 1.634 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](CO)[NH2+]Cc1nnc(C(C)(C)C)[nH]1 ZINC001331724685 865274696 /nfs/dbraw/zinc/27/46/96/865274696.db2.gz KMDOTLKQIXPMEL-LBPRGKRZSA-N 1 2 323.441 1.025 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H](CO)[NH2+]Cc1csc(C)n1 ZINC001331787124 865328391 /nfs/dbraw/zinc/32/83/91/865328391.db2.gz GKPXQVVZTJLCJX-DOMZBBRYSA-N 1 2 311.451 1.621 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)n1 ZINC001159594434 865419358 /nfs/dbraw/zinc/41/93/58/865419358.db2.gz RBMOLSLRBDGZLN-NEPJUHHUSA-N 1 2 317.393 1.737 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)n1 ZINC001159594434 865419364 /nfs/dbraw/zinc/41/93/64/865419364.db2.gz RBMOLSLRBDGZLN-NEPJUHHUSA-N 1 2 317.393 1.737 20 30 DDEDLO C#CCCCC(=O)NCC1([NH2+]Cc2nnc(C(C)C)[nH]2)CC1 ZINC001277050700 881876120 /nfs/dbraw/zinc/87/61/20/881876120.db2.gz ZBTQUDWWKBCZJD-UHFFFAOYSA-N 1 2 303.410 1.470 20 30 DDEDLO C=C[C@@H](COC)NC(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC001332334901 865768991 /nfs/dbraw/zinc/76/89/91/865768991.db2.gz HQFFNOBLKDWCFS-ZDUSSCGKSA-N 1 2 304.394 1.869 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]([NH2+]Cc2nnc(CC)o2)C(C)(C)C1 ZINC001332375101 865798571 /nfs/dbraw/zinc/79/85/71/865798571.db2.gz YRTUPUANFYXNLP-CYBMUJFWSA-N 1 2 318.421 1.762 20 30 DDEDLO N#Cc1ccc([N+](=O)[O-])c(N[C@@H]2CCC[C@@H]2[NH+]2CCOCC2)n1 ZINC001160724128 866069538 /nfs/dbraw/zinc/06/95/38/866069538.db2.gz OPPXKOJQBUQCPW-OLZOCXBDSA-N 1 2 317.349 1.527 20 30 DDEDLO COCC[N@@H+](CC#Cc1ccccc1)CCNC(=O)C(C)C ZINC001332909746 866243732 /nfs/dbraw/zinc/24/37/32/866243732.db2.gz RGGIGNCITSVUFC-UHFFFAOYSA-N 1 2 302.418 1.759 20 30 DDEDLO COCC[N@H+](CC#Cc1ccccc1)CCNC(=O)C(C)C ZINC001332909746 866243752 /nfs/dbraw/zinc/24/37/52/866243752.db2.gz RGGIGNCITSVUFC-UHFFFAOYSA-N 1 2 302.418 1.759 20 30 DDEDLO CCOC(=O)C[NH+]1CCC(Nc2cc(C#N)cc(C)n2)CC1 ZINC001161087047 866364473 /nfs/dbraw/zinc/36/44/73/866364473.db2.gz IJMMBUITYYBZBQ-UHFFFAOYSA-N 1 2 302.378 1.701 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC001320074394 866455933 /nfs/dbraw/zinc/45/59/33/866455933.db2.gz WICXVSHVSJWBDR-CQSZACIVSA-N 1 2 300.362 1.821 20 30 DDEDLO C#CCN(C(=O)C(F)F)C1CC[NH+](Cc2nccc(C)n2)CC1 ZINC001324353292 867221140 /nfs/dbraw/zinc/22/11/40/867221140.db2.gz PNHMVEGSNIFOPN-UHFFFAOYSA-N 1 2 322.359 1.476 20 30 DDEDLO C#CCN(C(C)=O)C1CC[NH+]([C@@H](C)c2nc(COC)no2)CC1 ZINC001324353423 867222214 /nfs/dbraw/zinc/22/22/14/867222214.db2.gz RSXKFNKCZODITG-LBPRGKRZSA-N 1 2 320.393 1.223 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@]1(C)CC[N@@H+](Cc2nccc(C)n2)C1 ZINC001324604789 867396474 /nfs/dbraw/zinc/39/64/74/867396474.db2.gz BRXJFEVIPGBKCA-IRXDYDNUSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@]1(C)CC[N@H+](Cc2nccc(C)n2)C1 ZINC001324604789 867396492 /nfs/dbraw/zinc/39/64/92/867396492.db2.gz BRXJFEVIPGBKCA-IRXDYDNUSA-N 1 2 318.421 1.193 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)[C@@H]2C[C@]2(c2ccccc2)C1=O ZINC001334707842 867782877 /nfs/dbraw/zinc/78/28/77/867782877.db2.gz MFMDYXQXRMYTAN-QFBILLFUSA-N 1 2 308.381 1.616 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)[C@@H]2C[C@]2(c2ccccc2)C1=O ZINC001334707842 867782893 /nfs/dbraw/zinc/78/28/93/867782893.db2.gz MFMDYXQXRMYTAN-QFBILLFUSA-N 1 2 308.381 1.616 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CO[C@H]2CCC[C@@H](C)C2)C1 ZINC001325286160 867914280 /nfs/dbraw/zinc/91/42/80/867914280.db2.gz UHBZJRGKEWDGPW-CABCVRRESA-N 1 2 310.438 1.321 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cccnc3Br)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225983541 882144836 /nfs/dbraw/zinc/14/48/36/882144836.db2.gz OZLFTUSGPKRDST-ADAFDVPTSA-N 1 2 311.179 1.835 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cccnc3Br)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225983541 882144841 /nfs/dbraw/zinc/14/48/41/882144841.db2.gz OZLFTUSGPKRDST-ADAFDVPTSA-N 1 2 311.179 1.835 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001334996629 867984337 /nfs/dbraw/zinc/98/43/37/867984337.db2.gz HCRASQQNTMIZJV-ZDUSSCGKSA-N 1 2 316.405 1.109 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2nc3ccccc3c(C)c2C#N)C[NH2+]1 ZINC001164307235 869109458 /nfs/dbraw/zinc/10/94/58/869109458.db2.gz VZFCVKZKCRVZQW-NHYWBVRUSA-N 1 2 310.357 1.730 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001336924428 869219864 /nfs/dbraw/zinc/21/98/64/869219864.db2.gz QVJAIEVVNORRJZ-OAHLLOKOSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CN(C)C(=O)CCc1c[nH+]cn1C ZINC001337374373 869458084 /nfs/dbraw/zinc/45/80/84/869458084.db2.gz BQMMNOWKPOPPNO-AWEZNQCLSA-N 1 2 320.437 1.672 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)CCCOC)CC1 ZINC001226268748 882321457 /nfs/dbraw/zinc/32/14/57/882321457.db2.gz MBOKSEKGHBEHTD-UHFFFAOYSA-N 1 2 319.243 1.902 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@@H]2CCC=CCCC2)CC1 ZINC001316964670 870003863 /nfs/dbraw/zinc/00/38/63/870003863.db2.gz FTAJNUHGQTVCOR-QGZVFWFLSA-N 1 2 303.450 1.490 20 30 DDEDLO C=CCn1c([C@@H]2C[N@@H+]3CCCC[C@H]3CO2)nnc1N(C)C1CC1 ZINC001338690939 870115946 /nfs/dbraw/zinc/11/59/46/870115946.db2.gz OQYPAOLGWZASCS-GJZGRUSLSA-N 1 2 317.437 1.989 20 30 DDEDLO C=CCn1c([C@@H]2C[N@H+]3CCCC[C@H]3CO2)nnc1N(C)C1CC1 ZINC001338690939 870115954 /nfs/dbraw/zinc/11/59/54/870115954.db2.gz OQYPAOLGWZASCS-GJZGRUSLSA-N 1 2 317.437 1.989 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CCC)CCC ZINC001338773377 870159807 /nfs/dbraw/zinc/15/98/07/870159807.db2.gz OLVGXMINETVJNU-KGLIPLIRSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CCC)CCC ZINC001338773377 870159815 /nfs/dbraw/zinc/15/98/15/870159815.db2.gz OLVGXMINETVJNU-KGLIPLIRSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001298134530 870302716 /nfs/dbraw/zinc/30/27/16/870302716.db2.gz DYOKYRMRTOBKGM-QWHCGFSZSA-N 1 2 318.421 1.566 20 30 DDEDLO CCC(CC)C(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001317165439 870394729 /nfs/dbraw/zinc/39/47/29/870394729.db2.gz JNGYEWOLBTYSQF-CQSZACIVSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC(CC)C(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001317165439 870394745 /nfs/dbraw/zinc/39/47/45/870394745.db2.gz JNGYEWOLBTYSQF-CQSZACIVSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CCCC[N@H+]1Cc1ncc(C)o1 ZINC001317171315 870409207 /nfs/dbraw/zinc/40/92/07/870409207.db2.gz RSTAJLCFMJCVQO-YOEHRIQHSA-N 1 2 321.421 1.781 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1ncc(C)o1 ZINC001317171315 870409217 /nfs/dbraw/zinc/40/92/17/870409217.db2.gz RSTAJLCFMJCVQO-YOEHRIQHSA-N 1 2 321.421 1.781 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[NH2+]Cc1cnsn1 ZINC001317183223 870429706 /nfs/dbraw/zinc/42/97/06/870429706.db2.gz DQBLVKUNITVBSR-CYBMUJFWSA-N 1 2 310.423 1.211 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001317204400 870463529 /nfs/dbraw/zinc/46/35/29/870463529.db2.gz PYPHHWGBOTVCGC-HUUCEWRRSA-N 1 2 317.437 1.191 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001317204400 870463539 /nfs/dbraw/zinc/46/35/39/870463539.db2.gz PYPHHWGBOTVCGC-HUUCEWRRSA-N 1 2 317.437 1.191 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001298605352 870644598 /nfs/dbraw/zinc/64/45/98/870644598.db2.gz YCKXGNJGTKQREJ-QWHCGFSZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001298605352 870644605 /nfs/dbraw/zinc/64/46/05/870644605.db2.gz YCKXGNJGTKQREJ-QWHCGFSZSA-N 1 2 306.410 1.566 20 30 DDEDLO C#CCCCC(=O)NCC[N@@H+](C)CC(=O)N1CCCC[C@H]1C ZINC001317443937 870846339 /nfs/dbraw/zinc/84/63/39/870846339.db2.gz FVUCVUNIAUXULT-OAHLLOKOSA-N 1 2 307.438 1.239 20 30 DDEDLO C#CCCCC(=O)NCC[N@H+](C)CC(=O)N1CCCC[C@H]1C ZINC001317443937 870846360 /nfs/dbraw/zinc/84/63/60/870846360.db2.gz FVUCVUNIAUXULT-OAHLLOKOSA-N 1 2 307.438 1.239 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)N(CC)C(=O)Cn1cc[nH+]c1 ZINC001340090699 870888967 /nfs/dbraw/zinc/88/89/67/870888967.db2.gz HREMCWITBOKWLR-CQSZACIVSA-N 1 2 320.437 1.839 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](CC)CC(=O)Nc1cnccn1 ZINC001317484724 870900928 /nfs/dbraw/zinc/90/09/28/870900928.db2.gz OIFIMCQVAWPRRO-UHFFFAOYSA-N 1 2 319.409 1.065 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](CC)CC(=O)Nc1cnccn1 ZINC001317484724 870900937 /nfs/dbraw/zinc/90/09/37/870900937.db2.gz OIFIMCQVAWPRRO-UHFFFAOYSA-N 1 2 319.409 1.065 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1cc(OC)no1 ZINC001317497187 870920479 /nfs/dbraw/zinc/92/04/79/870920479.db2.gz AGQFRGACJNBVKS-ZDUSSCGKSA-N 1 2 305.378 1.567 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1cc(OC)no1 ZINC001317497187 870920490 /nfs/dbraw/zinc/92/04/90/870920490.db2.gz AGQFRGACJNBVKS-ZDUSSCGKSA-N 1 2 305.378 1.567 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC=C(C)CC1 ZINC001340257959 870992001 /nfs/dbraw/zinc/99/20/01/870992001.db2.gz CMDTXQRDYPLTDL-KBPBESRZSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC=C(C)CC1 ZINC001340257959 870992015 /nfs/dbraw/zinc/99/20/15/870992015.db2.gz CMDTXQRDYPLTDL-KBPBESRZSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC=C(C)CC1 ZINC001340257961 870992355 /nfs/dbraw/zinc/99/23/55/870992355.db2.gz CMDTXQRDYPLTDL-UONOGXRCSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC=C(C)CC1 ZINC001340257961 870992366 /nfs/dbraw/zinc/99/23/66/870992366.db2.gz CMDTXQRDYPLTDL-UONOGXRCSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N1CCO[C@H](C#N)C1 ZINC001340263726 870994535 /nfs/dbraw/zinc/99/45/35/870994535.db2.gz GWNCVLUTRPGCIF-KGLIPLIRSA-N 1 2 316.409 1.350 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@H](C#N)C1 ZINC001340263726 870994544 /nfs/dbraw/zinc/99/45/44/870994544.db2.gz GWNCVLUTRPGCIF-KGLIPLIRSA-N 1 2 316.409 1.350 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCSC[C@H]1C ZINC001340375695 871066921 /nfs/dbraw/zinc/06/69/21/871066921.db2.gz OPAHCMHWEADUFX-AGIUHOORSA-N 1 2 323.466 1.143 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCSC[C@H]1C ZINC001340375695 871066937 /nfs/dbraw/zinc/06/69/37/871066937.db2.gz OPAHCMHWEADUFX-AGIUHOORSA-N 1 2 323.466 1.143 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CCN1Cc1[nH]c[nH+]c1C ZINC001204594631 871171799 /nfs/dbraw/zinc/17/17/99/871171799.db2.gz YMPZJIDXRMGUGF-OAHLLOKOSA-N 1 2 316.409 1.632 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)CCCCCO ZINC001340593056 871217166 /nfs/dbraw/zinc/21/71/66/871217166.db2.gz GQTHPBBPRRFSSP-UHFFFAOYSA-N 1 2 318.425 1.387 20 30 DDEDLO C=CCCC(=O)NC1CC[NH+](Cc2cc3n(n2)CCC3)CC1 ZINC001226534201 882491190 /nfs/dbraw/zinc/49/11/90/882491190.db2.gz FUBUPLUHCHNLFZ-UHFFFAOYSA-N 1 2 302.422 1.876 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1CC ZINC001341208727 871559108 /nfs/dbraw/zinc/55/91/08/871559108.db2.gz BGQASRZGNULEQZ-HUUCEWRRSA-N 1 2 317.437 1.683 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2C[N@H+]3CCCC[C@@H]3CO2)n1CC ZINC001341208727 871559113 /nfs/dbraw/zinc/55/91/13/871559113.db2.gz BGQASRZGNULEQZ-HUUCEWRRSA-N 1 2 317.437 1.683 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)SC)n2CCOC)CC1 ZINC001341246699 871574084 /nfs/dbraw/zinc/57/40/84/871574084.db2.gz KHKPKBPUHYPRQR-CYBMUJFWSA-N 1 2 323.466 1.104 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001317969256 871659176 /nfs/dbraw/zinc/65/91/76/871659176.db2.gz RPJGNZVUVDAEIQ-INIZCTEOSA-N 1 2 319.449 1.143 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC2CCC2)C1 ZINC001317969256 871659181 /nfs/dbraw/zinc/65/91/81/871659181.db2.gz RPJGNZVUVDAEIQ-INIZCTEOSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CCOCC(=O)NC1C[NH+]([C@H](C)c2cccc(OC)c2)C1 ZINC001318010434 871686393 /nfs/dbraw/zinc/68/63/93/871686393.db2.gz PSWRYWMLWBOROX-CYBMUJFWSA-N 1 2 304.390 1.759 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC001317538251 871776413 /nfs/dbraw/zinc/77/64/13/871776413.db2.gz VPQDMSPMMSKQDK-ZDUSSCGKSA-N 1 2 317.437 1.977 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC001317538251 871776417 /nfs/dbraw/zinc/77/64/17/871776417.db2.gz VPQDMSPMMSKQDK-ZDUSSCGKSA-N 1 2 317.437 1.977 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(COCC=C)n2CC(C)C)CC1 ZINC001342795030 872387596 /nfs/dbraw/zinc/38/75/96/872387596.db2.gz LXNXQRIXRJTUKG-UHFFFAOYSA-N 1 2 317.437 1.392 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001316939346 872418176 /nfs/dbraw/zinc/41/81/76/872418176.db2.gz HJGJXCWJDYYJLD-ZDUSSCGKSA-N 1 2 304.394 1.532 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001316939346 872418185 /nfs/dbraw/zinc/41/81/85/872418185.db2.gz HJGJXCWJDYYJLD-ZDUSSCGKSA-N 1 2 304.394 1.532 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](NC(=O)C(C)(CC)CC)C1 ZINC001316951249 872451946 /nfs/dbraw/zinc/45/19/46/872451946.db2.gz IAWWVJWIODAXOP-GJZGRUSLSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](NC(=O)C(C)(CC)CC)C1 ZINC001316951249 872451952 /nfs/dbraw/zinc/45/19/52/872451952.db2.gz IAWWVJWIODAXOP-GJZGRUSLSA-N 1 2 321.465 1.531 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCCCC(=O)NC)C1 ZINC001316952105 872456985 /nfs/dbraw/zinc/45/69/85/872456985.db2.gz DZNJMHNEXVNVIC-CYBMUJFWSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCCCC(=O)NC)C1 ZINC001316952105 872456998 /nfs/dbraw/zinc/45/69/98/872456998.db2.gz DZNJMHNEXVNVIC-CYBMUJFWSA-N 1 2 315.845 1.626 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001206606714 872473267 /nfs/dbraw/zinc/47/32/67/872473267.db2.gz JRWRYKMKAQVLPQ-TZMCWYRMSA-N 1 2 307.394 1.376 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001206606714 872473271 /nfs/dbraw/zinc/47/32/71/872473271.db2.gz JRWRYKMKAQVLPQ-TZMCWYRMSA-N 1 2 307.394 1.376 20 30 DDEDLO C=C(C)Cn1c(N(CC)CC)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001343287880 872566148 /nfs/dbraw/zinc/56/61/48/872566148.db2.gz MJUMYKKCDWFOAH-CJNGLKHVSA-N 1 2 307.442 1.612 20 30 DDEDLO C=C(C)Cn1c(N(CC)CC)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001343287880 872566156 /nfs/dbraw/zinc/56/61/56/872566156.db2.gz MJUMYKKCDWFOAH-CJNGLKHVSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)Cc2ccc(Cl)cc2)C1 ZINC001319329972 872579124 /nfs/dbraw/zinc/57/91/24/872579124.db2.gz LDEVYADVGFVQGE-HNNXBMFYSA-N 1 2 308.809 1.886 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)Cc2ccc(Cl)cc2)C1 ZINC001319329972 872579136 /nfs/dbraw/zinc/57/91/36/872579136.db2.gz LDEVYADVGFVQGE-HNNXBMFYSA-N 1 2 308.809 1.886 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N1CCO[C@H](C)C1 ZINC001343415210 872615769 /nfs/dbraw/zinc/61/57/69/872615769.db2.gz ATZVRQAMILEOND-CQSZACIVSA-N 1 2 305.426 1.675 20 30 DDEDLO C#CCOCC[N@@H+](C)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC001319656761 872739845 /nfs/dbraw/zinc/73/98/45/872739845.db2.gz PSWXZJZBFJZGME-UHFFFAOYSA-N 1 2 316.317 1.726 20 30 DDEDLO C#CCOCC[N@H+](C)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC001319656761 872739856 /nfs/dbraw/zinc/73/98/56/872739856.db2.gz PSWXZJZBFJZGME-UHFFFAOYSA-N 1 2 316.317 1.726 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)sn1 ZINC001206948659 872831217 /nfs/dbraw/zinc/83/12/17/872831217.db2.gz VKLBTOFDDNWBQR-BXUZGUMPSA-N 1 2 319.430 1.502 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)sn1 ZINC001206948659 872831228 /nfs/dbraw/zinc/83/12/28/872831228.db2.gz VKLBTOFDDNWBQR-BXUZGUMPSA-N 1 2 319.430 1.502 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001206963417 872854139 /nfs/dbraw/zinc/85/41/39/872854139.db2.gz DGAQQLGGCFRDHQ-KCPJHIHWSA-N 1 2 319.405 1.266 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001206963417 872854143 /nfs/dbraw/zinc/85/41/43/872854143.db2.gz DGAQQLGGCFRDHQ-KCPJHIHWSA-N 1 2 319.405 1.266 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@@H]1CCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001344182253 872916727 /nfs/dbraw/zinc/91/67/27/872916727.db2.gz GJDSKCQPXIRXEX-AWEZNQCLSA-N 1 2 318.421 1.676 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cn(C)nn2)C[C@H]1C ZINC001207165548 873098091 /nfs/dbraw/zinc/09/80/91/873098091.db2.gz STRHRDSVXMQOLM-TZMCWYRMSA-N 1 2 305.426 1.354 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cn(C)nn2)C[C@H]1C ZINC001207165548 873098107 /nfs/dbraw/zinc/09/81/07/873098107.db2.gz STRHRDSVXMQOLM-TZMCWYRMSA-N 1 2 305.426 1.354 20 30 DDEDLO C#CCN(CC)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC(C)C ZINC001345132233 873265773 /nfs/dbraw/zinc/26/57/73/873265773.db2.gz LKHHGBWZWVCAIU-PBHICJAKSA-N 1 2 319.453 1.305 20 30 DDEDLO C#CCN(CC)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC(C)C ZINC001345132233 873265795 /nfs/dbraw/zinc/26/57/95/873265795.db2.gz LKHHGBWZWVCAIU-PBHICJAKSA-N 1 2 319.453 1.305 20 30 DDEDLO C=CCN(CC=C)c1nnc(Cc2[nH+]ccn2C)n1CCOC ZINC001345752918 873499758 /nfs/dbraw/zinc/49/97/58/873499758.db2.gz FUZRIUCULLUGAR-UHFFFAOYSA-N 1 2 316.409 1.427 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001207943555 873674837 /nfs/dbraw/zinc/67/48/37/873674837.db2.gz AVYYUPXUCAOAKE-ZDUSSCGKSA-N 1 2 320.393 1.100 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](OC)C2CCC2)C1 ZINC001208219366 873915424 /nfs/dbraw/zinc/91/54/24/873915424.db2.gz FAZHRFGWOAWLEX-LERXQTSPSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](OC)C2CCC2)C1 ZINC001208219366 873915428 /nfs/dbraw/zinc/91/54/28/873915428.db2.gz FAZHRFGWOAWLEX-LERXQTSPSA-N 1 2 300.830 1.991 20 30 DDEDLO C=CCN(CC[N@@H+]1CCO[C@@H]2C[C@@H](CO)C[C@@H]21)C(=O)OCC ZINC001209018112 874604953 /nfs/dbraw/zinc/60/49/53/874604953.db2.gz BWXRAVUYROALIU-SOUVJXGZSA-N 1 2 312.410 1.103 20 30 DDEDLO C=CCN(CC[N@H+]1CCO[C@@H]2C[C@@H](CO)C[C@@H]21)C(=O)OCC ZINC001209018112 874604956 /nfs/dbraw/zinc/60/49/56/874604956.db2.gz BWXRAVUYROALIU-SOUVJXGZSA-N 1 2 312.410 1.103 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)CCc2cnn(C)c2)C1 ZINC001378587605 875177786 /nfs/dbraw/zinc/17/77/86/875177786.db2.gz OFBBMEOAINTXOM-LBPRGKRZSA-N 1 2 310.829 1.542 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001350600033 875780432 /nfs/dbraw/zinc/78/04/32/875780432.db2.gz VUQLYOQYMMBDON-CQSZACIVSA-N 1 2 316.405 1.438 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)n(C)n2)C[C@H]1C ZINC001211420948 875799756 /nfs/dbraw/zinc/79/97/56/875799756.db2.gz YCAFUGOEFYMIFO-CZUORRHYSA-N 1 2 320.437 1.258 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)n(C)n2)C[C@H]1C ZINC001211420948 875799758 /nfs/dbraw/zinc/79/97/58/875799758.db2.gz YCAFUGOEFYMIFO-CZUORRHYSA-N 1 2 320.437 1.258 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](OCC)C2CCCC2)[C@H](OC)C1 ZINC001213226624 875856107 /nfs/dbraw/zinc/85/61/07/875856107.db2.gz WWZKZPLQVYOMRO-OAGGEKHMSA-N 1 2 308.422 1.030 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](OCC)C2CCCC2)[C@H](OC)C1 ZINC001213226624 875856121 /nfs/dbraw/zinc/85/61/21/875856121.db2.gz WWZKZPLQVYOMRO-OAGGEKHMSA-N 1 2 308.422 1.030 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213390305 875917957 /nfs/dbraw/zinc/91/79/57/875917957.db2.gz XAMNZHRXXGDJIF-INMHGKMJSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213390305 875917969 /nfs/dbraw/zinc/91/79/69/875917969.db2.gz XAMNZHRXXGDJIF-INMHGKMJSA-N 1 2 321.421 1.901 20 30 DDEDLO CC[C@@H](C)CCC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213611928 875996166 /nfs/dbraw/zinc/99/61/66/875996166.db2.gz QKTYTFZCNZVXDT-BZUAXINKSA-N 1 2 310.438 1.278 20 30 DDEDLO CC[C@@H](C)CCC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213611928 875996175 /nfs/dbraw/zinc/99/61/75/875996175.db2.gz QKTYTFZCNZVXDT-BZUAXINKSA-N 1 2 310.438 1.278 20 30 DDEDLO CC[C@@H](CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC)C(C)C ZINC001213747998 876041833 /nfs/dbraw/zinc/04/18/33/876041833.db2.gz ZHFQCETYYSKYMG-GVDBMIGSSA-N 1 2 324.465 1.524 20 30 DDEDLO CC[C@@H](CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC)C(C)C ZINC001213747998 876041847 /nfs/dbraw/zinc/04/18/47/876041847.db2.gz ZHFQCETYYSKYMG-GVDBMIGSSA-N 1 2 324.465 1.524 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2cnsn2)C1 ZINC001214282057 876256867 /nfs/dbraw/zinc/25/68/67/876256867.db2.gz AQRYRYGDQXKWDM-MBNYWOFBSA-N 1 2 324.450 1.456 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001214331532 876287310 /nfs/dbraw/zinc/28/73/10/876287310.db2.gz DOKWSCFWKLFKCR-DGCLKSJQSA-N 1 2 320.393 1.086 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001214581835 876404066 /nfs/dbraw/zinc/40/40/66/876404066.db2.gz AJTBRAUYYPRAIK-TZMCWYRMSA-N 1 2 316.405 1.543 20 30 DDEDLO N#CCOc1ccc(NC(=O)/C=C\C[NH+]2CCOCC2)cc1 ZINC001351742422 876411365 /nfs/dbraw/zinc/41/13/65/876411365.db2.gz MRDQEVBTCMYOES-UPHRSURJSA-N 1 2 301.346 1.416 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3nccnc3C)C[C@@H]21 ZINC001217510138 877214531 /nfs/dbraw/zinc/21/45/31/877214531.db2.gz DECYQNVOXHZCTE-JKSUJKDBSA-N 1 2 316.405 1.163 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3nccnc3C)C[C@@H]21 ZINC001217510138 877214545 /nfs/dbraw/zinc/21/45/45/877214545.db2.gz DECYQNVOXHZCTE-JKSUJKDBSA-N 1 2 316.405 1.163 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](NC(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC001353568010 877423391 /nfs/dbraw/zinc/42/33/91/877423391.db2.gz IVXIGQVKJYTVBD-IHRRRGAJSA-N 1 2 316.405 1.175 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)COCc1nccn1C ZINC001379680872 877726643 /nfs/dbraw/zinc/72/66/43/877726643.db2.gz IERAIIQFPVFAOE-GFCCVEGCSA-N 1 2 314.817 1.126 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)COCc1nccn1C ZINC001379680872 877726653 /nfs/dbraw/zinc/72/66/53/877726653.db2.gz IERAIIQFPVFAOE-GFCCVEGCSA-N 1 2 314.817 1.126 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCC(C)(C)C)[C@H]2C1 ZINC001218819491 877750797 /nfs/dbraw/zinc/75/07/97/877750797.db2.gz GRHSWSQQPFLKRV-JKSUJKDBSA-N 1 2 322.449 1.374 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2OCCN(C(=O)CCC(C)(C)C)[C@H]2C1 ZINC001218819491 877750803 /nfs/dbraw/zinc/75/08/03/877750803.db2.gz GRHSWSQQPFLKRV-JKSUJKDBSA-N 1 2 322.449 1.374 20 30 DDEDLO C=CCCOCC(=O)N1CCO[C@@H]2C[N@@H+](C/C=C\Cl)C[C@@H]21 ZINC001219064451 877899732 /nfs/dbraw/zinc/89/97/32/877899732.db2.gz ZCXZJDFKRSIUES-OQOSRZTISA-N 1 2 314.813 1.243 20 30 DDEDLO C=CCCOCC(=O)N1CCO[C@@H]2C[N@H+](C/C=C\Cl)C[C@@H]21 ZINC001219064451 877899748 /nfs/dbraw/zinc/89/97/48/877899748.db2.gz ZCXZJDFKRSIUES-OQOSRZTISA-N 1 2 314.813 1.243 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001219235259 878041600 /nfs/dbraw/zinc/04/16/00/878041600.db2.gz PUBAMDMPVWHRII-OAGGEKHMSA-N 1 2 310.438 1.014 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001219235259 878041620 /nfs/dbraw/zinc/04/16/20/878041620.db2.gz PUBAMDMPVWHRII-OAGGEKHMSA-N 1 2 310.438 1.014 20 30 DDEDLO O=C(/C=C\C1CC1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219428269 878204572 /nfs/dbraw/zinc/20/45/72/878204572.db2.gz JTNHPEHLAJWBSN-LSSLULSBSA-N 1 2 310.397 1.166 20 30 DDEDLO O=C(/C=C\C1CC1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219428269 878204586 /nfs/dbraw/zinc/20/45/86/878204586.db2.gz JTNHPEHLAJWBSN-LSSLULSBSA-N 1 2 310.397 1.166 20 30 DDEDLO C[C@H](CCNC(=O)Cc1[nH]cc[nH+]1)NC(=O)C#CC(C)(C)C ZINC001355277020 878486213 /nfs/dbraw/zinc/48/62/13/878486213.db2.gz MFFQQYFVTRLADC-GFCCVEGCSA-N 1 2 304.394 1.013 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1CC=C ZINC001355887419 878763970 /nfs/dbraw/zinc/76/39/70/878763970.db2.gz YOXOFCNPTWFROL-AWEZNQCLSA-N 1 2 305.426 1.868 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1CC=C ZINC001355887419 878763981 /nfs/dbraw/zinc/76/39/81/878763981.db2.gz YOXOFCNPTWFROL-AWEZNQCLSA-N 1 2 305.426 1.868 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cccc(OC)n2)C[C@@H]1O ZINC001220239386 878841675 /nfs/dbraw/zinc/84/16/75/878841675.db2.gz BYWMSXLWNOXGBO-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(OC)n2)C[C@@H]1O ZINC001220239386 878841687 /nfs/dbraw/zinc/84/16/87/878841687.db2.gz BYWMSXLWNOXGBO-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@@H]1O ZINC001220292330 878891531 /nfs/dbraw/zinc/89/15/31/878891531.db2.gz VEIRKCCAFDGIOE-IJEWVQPXSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@@H]1O ZINC001220292330 878891541 /nfs/dbraw/zinc/89/15/41/878891541.db2.gz VEIRKCCAFDGIOE-IJEWVQPXSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(F)(F)CC3CC3)n2CC)CC1 ZINC001356231025 878932214 /nfs/dbraw/zinc/93/22/14/878932214.db2.gz SYXRCQZTPOYZCV-UHFFFAOYSA-N 1 2 323.391 1.945 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220436400 879013359 /nfs/dbraw/zinc/01/33/59/879013359.db2.gz VAVSNXIKMQFZLC-KGLIPLIRSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220436400 879013372 /nfs/dbraw/zinc/01/33/72/879013372.db2.gz VAVSNXIKMQFZLC-KGLIPLIRSA-N 1 2 321.421 1.555 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC)Cc2ccccc2)[C@@H](O)C1 ZINC001220453616 879030017 /nfs/dbraw/zinc/03/00/17/879030017.db2.gz IYDGFIJLCXLYGH-BBWFWOEESA-N 1 2 300.402 1.050 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)Cc2ccccc2)[C@@H](O)C1 ZINC001220453616 879030034 /nfs/dbraw/zinc/03/00/34/879030034.db2.gz IYDGFIJLCXLYGH-BBWFWOEESA-N 1 2 300.402 1.050 20 30 DDEDLO CC(C)[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001220455740 879032811 /nfs/dbraw/zinc/03/28/11/879032811.db2.gz PVQWDPTZNWKLPC-DFBGVHRSSA-N 1 2 321.446 1.573 20 30 DDEDLO CC(C)[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001220455740 879032825 /nfs/dbraw/zinc/03/28/25/879032825.db2.gz PVQWDPTZNWKLPC-DFBGVHRSSA-N 1 2 321.446 1.573 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H](CC)NC(=O)Cc1c[nH]c[nH+]1 ZINC001356921906 879592827 /nfs/dbraw/zinc/59/28/27/879592827.db2.gz WQNUMMZIUOUFMQ-LRDDRELGSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@H](C)C3CC3)C[C@H]21 ZINC001221430829 879785498 /nfs/dbraw/zinc/78/54/98/879785498.db2.gz QSWCXDVQXNCFDI-FVQBIDKESA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@H](C)C3CC3)C[C@H]21 ZINC001221430829 879785516 /nfs/dbraw/zinc/78/55/16/879785516.db2.gz QSWCXDVQXNCFDI-FVQBIDKESA-N 1 2 319.449 1.400 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3oc(C)nc3C)[C@@H]2C1 ZINC001221461681 879830303 /nfs/dbraw/zinc/83/03/03/879830303.db2.gz USQSTKKUWSQWGX-HUUCEWRRSA-N 1 2 317.389 1.088 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3oc(C)nc3C)[C@@H]2C1 ZINC001221461681 879830323 /nfs/dbraw/zinc/83/03/23/879830323.db2.gz USQSTKKUWSQWGX-HUUCEWRRSA-N 1 2 317.389 1.088 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC(C)C)C[C@H]21 ZINC001221731642 880031682 /nfs/dbraw/zinc/03/16/82/880031682.db2.gz MUNXKMJXMAAKFL-ZIAGYGMSSA-N 1 2 307.438 1.256 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC(C)C)C[C@H]21 ZINC001221731642 880031699 /nfs/dbraw/zinc/03/16/99/880031699.db2.gz MUNXKMJXMAAKFL-ZIAGYGMSSA-N 1 2 307.438 1.256 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C3(C)CCC3)[C@@H]2C1 ZINC001221735422 880035974 /nfs/dbraw/zinc/03/59/74/880035974.db2.gz IPHOQRMVQCLZEA-ZIAGYGMSSA-N 1 2 305.422 1.012 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C3(C)CCC3)[C@@H]2C1 ZINC001221735422 880035989 /nfs/dbraw/zinc/03/59/89/880035989.db2.gz IPHOQRMVQCLZEA-ZIAGYGMSSA-N 1 2 305.422 1.012 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cn(CC)nn3)[C@H]2C1 ZINC001222432531 880463495 /nfs/dbraw/zinc/46/34/95/880463495.db2.gz SSASONDYGCSTRD-ZBFHGGJFSA-N 1 2 317.437 1.687 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@H+](Cc3cn(CC)nn3)[C@H]2C1 ZINC001222432531 880463505 /nfs/dbraw/zinc/46/35/05/880463505.db2.gz SSASONDYGCSTRD-ZBFHGGJFSA-N 1 2 317.437 1.687 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nnc(C)[nH]3)[C@H]2C1 ZINC001222432634 880464737 /nfs/dbraw/zinc/46/47/37/880464737.db2.gz USCHYDAJZQZOEL-KGLIPLIRSA-N 1 2 303.410 1.502 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@H+](Cc3nnc(C)[nH]3)[C@H]2C1 ZINC001222432634 880464745 /nfs/dbraw/zinc/46/47/45/880464745.db2.gz USCHYDAJZQZOEL-KGLIPLIRSA-N 1 2 303.410 1.502 20 30 DDEDLO CCn1c(C)nnc1C[NH+]1CCC(CNC(=O)[C@H](C)C#N)CC1 ZINC001222636493 880613970 /nfs/dbraw/zinc/61/39/70/880613970.db2.gz NJOJBRDWDUOSOJ-GFCCVEGCSA-N 1 2 318.425 1.094 20 30 DDEDLO COCCOCN1C[C@H]2C[C@@H](C1)[N@H+](Cc1[nH]ccc1C#N)C2 ZINC001276840566 880709489 /nfs/dbraw/zinc/70/94/89/880709489.db2.gz SAYNJXFHNPMUJW-HIFRSBDPSA-N 1 2 304.394 1.013 20 30 DDEDLO COCCOCN1C[C@H]2C[C@@H](C1)[N@@H+](Cc1[nH]ccc1C#N)C2 ZINC001276840566 880709494 /nfs/dbraw/zinc/70/94/94/880709494.db2.gz SAYNJXFHNPMUJW-HIFRSBDPSA-N 1 2 304.394 1.013 20 30 DDEDLO C#CCCCCC(=O)NCC1CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001222914219 880744874 /nfs/dbraw/zinc/74/48/74/880744874.db2.gz GLPXKRGGNPBQSL-UHFFFAOYSA-N 1 2 317.437 1.635 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC1CC[NH+](Cc2cnns2)CC1 ZINC001223149156 880854614 /nfs/dbraw/zinc/85/46/14/880854614.db2.gz YSBVKVUVVCMSGI-UHFFFAOYSA-N 1 2 320.462 1.916 20 30 DDEDLO N#Cc1ccccc1N1C[C@@H]2[C@H](C1)OCCN2CCn1cc[nH+]c1 ZINC001276953751 881264460 /nfs/dbraw/zinc/26/44/60/881264460.db2.gz PTHDESIRUCUXGQ-MSOLQXFVSA-N 1 2 323.400 1.344 20 30 DDEDLO N#Cc1ccccc1N1C[C@@H]2[C@H](C1)OCC[N@@H+]2CCn1ccnc1 ZINC001276953751 881264473 /nfs/dbraw/zinc/26/44/73/881264473.db2.gz PTHDESIRUCUXGQ-MSOLQXFVSA-N 1 2 323.400 1.344 20 30 DDEDLO N#Cc1ccccc1N1C[C@@H]2[C@H](C1)OCC[N@H+]2CCn1ccnc1 ZINC001276953751 881264489 /nfs/dbraw/zinc/26/44/89/881264489.db2.gz PTHDESIRUCUXGQ-MSOLQXFVSA-N 1 2 323.400 1.344 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1CC[NH+](Cc2nnnn2C)CC1 ZINC001224197808 881268257 /nfs/dbraw/zinc/26/82/57/881268257.db2.gz IFDMBJPUYYRFBH-CYBMUJFWSA-N 1 2 320.441 1.141 20 30 DDEDLO C=CCCCC(=O)NCC1CC[NH+](Cc2ncnn2CC)CC1 ZINC001224273227 881306652 /nfs/dbraw/zinc/30/66/52/881306652.db2.gz QXIRYPFZQFLCJK-UHFFFAOYSA-N 1 2 319.453 1.983 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@H](C)C#N)Cc1ccccc1F ZINC001382546045 884223701 /nfs/dbraw/zinc/22/37/01/884223701.db2.gz YRXDORRBGKRLIG-CYBMUJFWSA-N 1 2 307.369 1.550 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@H](C)C#N)Cc1ccccc1F ZINC001382546045 884223714 /nfs/dbraw/zinc/22/37/14/884223714.db2.gz YRXDORRBGKRLIG-CYBMUJFWSA-N 1 2 307.369 1.550 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@@H]1C=CCC1 ZINC001230701361 884768618 /nfs/dbraw/zinc/76/86/18/884768618.db2.gz DUHTWHBGWANOEK-CABCVRRESA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@@H]1C=CCC1 ZINC001230701361 884768628 /nfs/dbraw/zinc/76/86/28/884768628.db2.gz DUHTWHBGWANOEK-CABCVRRESA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCC[C@@H](C)C1 ZINC001231123405 885260573 /nfs/dbraw/zinc/26/05/73/885260573.db2.gz TUHNIXXHQLKXGO-CVEARBPZSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCC[C@@H](C)C1 ZINC001231123405 885260593 /nfs/dbraw/zinc/26/05/93/885260593.db2.gz TUHNIXXHQLKXGO-CVEARBPZSA-N 1 2 321.465 1.744 20 30 DDEDLO COC[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001231215443 885385107 /nfs/dbraw/zinc/38/51/07/885385107.db2.gz GRVRYVZHTRDMQK-STQMWFEESA-N 1 2 321.446 1.935 20 30 DDEDLO COC[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001231215443 885385130 /nfs/dbraw/zinc/38/51/30/885385130.db2.gz GRVRYVZHTRDMQK-STQMWFEESA-N 1 2 321.446 1.935 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@H]2Cc3ccccc3O2)C1 ZINC001277625042 886144434 /nfs/dbraw/zinc/14/44/34/886144434.db2.gz BFUSWQJELZLUDW-QGZVFWFLSA-N 1 2 316.401 1.335 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2cn(C[C@@H]3CCOC3)nn2)s1 ZINC001363465909 886414428 /nfs/dbraw/zinc/41/44/28/886414428.db2.gz XXZRFFIRGXUAKA-NSHDSACASA-N 1 2 303.391 1.538 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cc(F)ccc3N)CC2)c1 ZINC001232512317 886444546 /nfs/dbraw/zinc/44/45/46/886444546.db2.gz OCGMOAUAICIDOW-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO COc1cccnc1N1CC[NH+](Cc2cc(C#N)ccc2O)CC1 ZINC001232678787 886530125 /nfs/dbraw/zinc/53/01/25/886530125.db2.gz PVYKWAONLWMROC-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C[C@@H](C)NC(=O)C2CCCC2)C1 ZINC001277715703 886588951 /nfs/dbraw/zinc/58/89/51/886588951.db2.gz ZWQPUDCUYFLZRQ-CQSZACIVSA-N 1 2 321.465 1.790 20 30 DDEDLO N#Cc1cc[nH]c1CN1CC[NH+](Cc2ccc3c(c2)OCO3)CC1 ZINC001233046208 886754502 /nfs/dbraw/zinc/75/45/02/886754502.db2.gz QDECTSOYYRWLOJ-UHFFFAOYSA-N 1 2 324.384 1.933 20 30 DDEDLO N#Cc1cc[nH]c1C[N@@H+]1CCCN(c2ccc(C#N)cn2)CC1 ZINC001233046614 886755194 /nfs/dbraw/zinc/75/51/94/886755194.db2.gz CZCXTCCHUGUZDW-UHFFFAOYSA-N 1 2 306.373 1.865 20 30 DDEDLO N#Cc1cc[nH]c1C[N@H+]1CCCN(c2ccc(C#N)cn2)CC1 ZINC001233046614 886755208 /nfs/dbraw/zinc/75/52/08/886755208.db2.gz CZCXTCCHUGUZDW-UHFFFAOYSA-N 1 2 306.373 1.865 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(Cc3cccnc3)CC2)c(O)c1 ZINC001233383473 886964409 /nfs/dbraw/zinc/96/44/09/886964409.db2.gz JJIYHQDDKYAHTC-UHFFFAOYSA-N 1 2 308.385 1.977 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C/C1CC1 ZINC001233497964 887041452 /nfs/dbraw/zinc/04/14/52/887041452.db2.gz AGEJCGISOCWFBT-KIMFYNOWSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C/C1CC1 ZINC001233497964 887041462 /nfs/dbraw/zinc/04/14/62/887041462.db2.gz AGEJCGISOCWFBT-KIMFYNOWSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCC1CCC1 ZINC001233548659 887097867 /nfs/dbraw/zinc/09/78/67/887097867.db2.gz HBRBQIYVZAPUHD-HOCLYGCPSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCC1CCC1 ZINC001233548659 887097881 /nfs/dbraw/zinc/09/78/81/887097881.db2.gz HBRBQIYVZAPUHD-HOCLYGCPSA-N 1 2 321.465 1.790 20 30 DDEDLO Cc1ccnc(NC(=O)C[N@H+](C)C[C@@H](O)c2cccc(C#N)c2)c1 ZINC001363806930 887273348 /nfs/dbraw/zinc/27/33/48/887273348.db2.gz LDCMJMGEWCARIS-MRXNPFEDSA-N 1 2 324.384 1.866 20 30 DDEDLO Cc1ccnc(NC(=O)C[N@@H+](C)C[C@@H](O)c2cccc(C#N)c2)c1 ZINC001363806930 887273358 /nfs/dbraw/zinc/27/33/58/887273358.db2.gz LDCMJMGEWCARIS-MRXNPFEDSA-N 1 2 324.384 1.866 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H](OCC)[C@@H]1CCOC1 ZINC001374523171 913145273 /nfs/dbraw/zinc/14/52/73/913145273.db2.gz YSFULUFVQUUCCY-ZIAGYGMSSA-N 1 2 318.845 1.571 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H](OCC)[C@@H]1CCOC1 ZINC001374523171 913145279 /nfs/dbraw/zinc/14/52/79/913145279.db2.gz YSFULUFVQUUCCY-ZIAGYGMSSA-N 1 2 318.845 1.571 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccnc(OC)c1 ZINC001233965749 887505369 /nfs/dbraw/zinc/50/53/69/887505369.db2.gz DYMKNZVPWSQVFR-MRXNPFEDSA-N 1 2 315.417 1.926 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccnc(OC)c1 ZINC001233965749 887505384 /nfs/dbraw/zinc/50/53/84/887505384.db2.gz DYMKNZVPWSQVFR-MRXNPFEDSA-N 1 2 315.417 1.926 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccns1 ZINC001233976686 887514571 /nfs/dbraw/zinc/51/45/71/887514571.db2.gz HSWOFVCKCPARDH-WFASDCNBSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccns1 ZINC001233976686 887514579 /nfs/dbraw/zinc/51/45/79/887514579.db2.gz HSWOFVCKCPARDH-WFASDCNBSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1CC ZINC001233979298 887521832 /nfs/dbraw/zinc/52/18/32/887521832.db2.gz ZAFBOORUVHTOEL-RHSMWYFYSA-N 1 2 321.421 1.597 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1CC ZINC001233979298 887521843 /nfs/dbraw/zinc/52/18/43/887521843.db2.gz ZAFBOORUVHTOEL-RHSMWYFYSA-N 1 2 321.421 1.597 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)ccn1 ZINC001234178237 887714845 /nfs/dbraw/zinc/71/48/45/887714845.db2.gz JUSLRQRZONCRCD-INIZCTEOSA-N 1 2 313.401 1.536 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)ccn1 ZINC001234178237 887714851 /nfs/dbraw/zinc/71/48/51/887714851.db2.gz JUSLRQRZONCRCD-INIZCTEOSA-N 1 2 313.401 1.536 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1oc(C)nc1C ZINC001234266247 887806019 /nfs/dbraw/zinc/80/60/19/887806019.db2.gz OEJYQEQBTVUNNY-UKRRQHHQSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1oc(C)nc1C ZINC001234266247 887806028 /nfs/dbraw/zinc/80/60/28/887806028.db2.gz OEJYQEQBTVUNNY-UKRRQHHQSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)Oc1ccccc1F ZINC001234488792 888019594 /nfs/dbraw/zinc/01/95/94/888019594.db2.gz JQRASXOFXULOOM-ZIAGYGMSSA-N 1 2 304.365 1.759 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)Oc1ccccc1F ZINC001234488792 888019603 /nfs/dbraw/zinc/01/96/03/888019603.db2.gz JQRASXOFXULOOM-ZIAGYGMSSA-N 1 2 304.365 1.759 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]ccc1C1CC1 ZINC001235104475 888408611 /nfs/dbraw/zinc/40/86/11/888408611.db2.gz FWVQWZBQQFGTBW-HNNXBMFYSA-N 1 2 315.417 1.688 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]ccc1C1CC1 ZINC001235104475 888408627 /nfs/dbraw/zinc/40/86/27/888408627.db2.gz FWVQWZBQQFGTBW-HNNXBMFYSA-N 1 2 315.417 1.688 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cnn(C)c2)CCC1 ZINC001235210093 888477638 /nfs/dbraw/zinc/47/76/38/888477638.db2.gz PSWXDWYVZZNFBJ-MRXNPFEDSA-N 1 2 314.433 1.646 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cnn(C)c2)CCC1 ZINC001235210093 888477644 /nfs/dbraw/zinc/47/76/44/888477644.db2.gz PSWXDWYVZZNFBJ-MRXNPFEDSA-N 1 2 314.433 1.646 20 30 DDEDLO COC1(CC(=O)N2C[C@@H]3C[N@@H+](CCCC#N)C[C@H](C2)O3)CCC1 ZINC001277940431 888594928 /nfs/dbraw/zinc/59/49/28/888594928.db2.gz AXTJHMXVAYXNHG-GASCZTMLSA-N 1 2 321.421 1.161 20 30 DDEDLO COC1(CC(=O)N2C[C@@H]3C[N@H+](CCCC#N)C[C@H](C2)O3)CCC1 ZINC001277940431 888594939 /nfs/dbraw/zinc/59/49/39/888594939.db2.gz AXTJHMXVAYXNHG-GASCZTMLSA-N 1 2 321.421 1.161 20 30 DDEDLO N#CCCC[NH+]1CC2(CCN2C(=O)Cn2cc(Cl)cn2)C1 ZINC001277941542 888601415 /nfs/dbraw/zinc/60/14/15/888601415.db2.gz QABUTVRAXQBPGU-UHFFFAOYSA-N 1 2 307.785 1.127 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@@H]1C=CCCC1 ZINC001235777599 888940920 /nfs/dbraw/zinc/94/09/20/888940920.db2.gz LLWRNKYXAYLTBF-CVEARBPZSA-N 1 2 319.449 1.568 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@@H]1C=CCCC1 ZINC001235777599 888940926 /nfs/dbraw/zinc/94/09/26/888940926.db2.gz LLWRNKYXAYLTBF-CVEARBPZSA-N 1 2 319.449 1.568 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2ccnc(C#N)c2)C1 ZINC001237466150 889651842 /nfs/dbraw/zinc/65/18/42/889651842.db2.gz KNEDTBPZUCBSDK-CQSZACIVSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2ccnc(C#N)c2)C1 ZINC001237466150 889651849 /nfs/dbraw/zinc/65/18/49/889651849.db2.gz KNEDTBPZUCBSDK-CQSZACIVSA-N 1 2 300.362 1.830 20 30 DDEDLO C#CCN(C(=O)[C@H]1C[C@@H]1C)C1CC[NH+](Cc2cnns2)CC1 ZINC001278115032 889703775 /nfs/dbraw/zinc/70/37/75/889703775.db2.gz FVHYGDCDJREWHV-WFASDCNBSA-N 1 2 318.446 1.620 20 30 DDEDLO CCOC(=O)C1CC[NH+](Cc2cn3cc(C#N)ccc3n2)CC1 ZINC001237603512 889744711 /nfs/dbraw/zinc/74/47/11/889744711.db2.gz BVRVRZIALPSQTG-UHFFFAOYSA-N 1 2 312.373 1.981 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CCC[C@H](n4cncn4)C3)cn2c1 ZINC001237607924 889748725 /nfs/dbraw/zinc/74/87/25/889748725.db2.gz ANLSFWQAHJVTSN-HNNXBMFYSA-N 1 2 307.361 1.635 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CCC[C@H](n4cncn4)C3)cn2c1 ZINC001237607924 889748733 /nfs/dbraw/zinc/74/87/33/889748733.db2.gz ANLSFWQAHJVTSN-HNNXBMFYSA-N 1 2 307.361 1.635 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3c[nH]ccc3=O)CC2)c(F)c1 ZINC001237848517 889899064 /nfs/dbraw/zinc/89/90/64/889899064.db2.gz NHLZTVSONQWXCQ-UHFFFAOYSA-N 1 2 312.348 1.708 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)Cc2cccc(C#N)c2)c[nH+]1 ZINC001365468204 890851925 /nfs/dbraw/zinc/85/19/25/890851925.db2.gz HYDRCOPMBXXSJV-UHFFFAOYSA-N 1 2 304.375 1.463 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)Cc2cccc(C#N)c2)[nH+]1 ZINC001365468204 890851940 /nfs/dbraw/zinc/85/19/40/890851940.db2.gz HYDRCOPMBXXSJV-UHFFFAOYSA-N 1 2 304.375 1.463 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnn(C)n1 ZINC001278309839 890860456 /nfs/dbraw/zinc/86/04/56/890860456.db2.gz KPJNKRNHTVFBDO-CVEARBPZSA-N 1 2 315.421 1.184 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnn(C)n1 ZINC001278309839 890860468 /nfs/dbraw/zinc/86/04/68/890860468.db2.gz KPJNKRNHTVFBDO-CVEARBPZSA-N 1 2 315.421 1.184 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1CC[C@@H]2C[C@@]21C(=O)NC1(C#N)CCC1 ZINC001278343599 891375061 /nfs/dbraw/zinc/37/50/61/891375061.db2.gz VJLWPIKTQJMSKI-PBHICJAKSA-N 1 2 313.405 1.255 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1CC[C@@H]2C[C@@]21C(=O)NC1(C#N)CCC1 ZINC001278343599 891375080 /nfs/dbraw/zinc/37/50/80/891375080.db2.gz VJLWPIKTQJMSKI-PBHICJAKSA-N 1 2 313.405 1.255 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001278349967 891460828 /nfs/dbraw/zinc/46/08/28/891460828.db2.gz OVJKCCMYNOSOHY-HNNXBMFYSA-N 1 2 304.438 1.331 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](N[C@](C)(C#N)c2ccccc2Cl)C[NH2+]1 ZINC001246263356 892505871 /nfs/dbraw/zinc/50/58/71/892505871.db2.gz YURGYLBDZCWPKI-VZJVUDMVSA-N 1 2 307.781 1.572 20 30 DDEDLO CC(=O)NCSC[C@@H]([NH3+])c1nc(-c2cccc(C#N)c2)no1 ZINC001248435387 893637776 /nfs/dbraw/zinc/63/77/76/893637776.db2.gz HIWGLPGCCUXIDC-GFCCVEGCSA-N 1 2 317.374 1.435 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(Cc3cccc(C#N)c3F)C2)C[C@@H](C)O1 ZINC001249694120 894106115 /nfs/dbraw/zinc/10/61/15/894106115.db2.gz XNTIAIJOSZGARW-CHWSQXEVSA-N 1 2 303.381 1.991 20 30 DDEDLO C#CCOC[C@@H](O)CNc1ccc2[nH+]cc(Br)n2c1 ZINC001251826094 894827959 /nfs/dbraw/zinc/82/79/59/894827959.db2.gz IXUAREARZXTIBA-NSHDSACASA-N 1 2 324.178 1.519 20 30 DDEDLO C#CCOC[C@H](O)CN1CC[C@H]([NH+]2CCCCC2)C(F)(F)C1 ZINC001251860858 894854835 /nfs/dbraw/zinc/85/48/35/894854835.db2.gz VNTDXSOHIPEVQS-CABCVRRESA-N 1 2 316.392 1.193 20 30 DDEDLO C=CCOC[C@@H](O)C[NH+]1CCN(C(=O)c2ccccc2F)CC1 ZINC001252467534 895180585 /nfs/dbraw/zinc/18/05/85/895180585.db2.gz ZRURBNCRVXURDT-AWEZNQCLSA-N 1 2 322.380 1.147 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CCC[N@H+](CC(=O)N2CCCCCC2)C1 ZINC001366890012 895246054 /nfs/dbraw/zinc/24/60/54/895246054.db2.gz PNKNLVVDGPCMAO-HUUCEWRRSA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)N2CCCCCC2)C1 ZINC001366890012 895246063 /nfs/dbraw/zinc/24/60/63/895246063.db2.gz PNKNLVVDGPCMAO-HUUCEWRRSA-N 1 2 320.437 1.129 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001366897895 895273339 /nfs/dbraw/zinc/27/33/39/895273339.db2.gz JGCOQHBXHQYQLE-SWLSCSKDSA-N 1 2 321.446 1.717 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001366897895 895273355 /nfs/dbraw/zinc/27/33/55/895273355.db2.gz JGCOQHBXHQYQLE-SWLSCSKDSA-N 1 2 321.446 1.717 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1sc(C)c(C)c1C#N ZINC001253750003 896059895 /nfs/dbraw/zinc/05/98/95/896059895.db2.gz PAZBYBOBUXPZJS-UHFFFAOYSA-N 1 2 305.403 1.757 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1sc(C)c(C)c1C#N ZINC001253750003 896059904 /nfs/dbraw/zinc/05/99/04/896059904.db2.gz PAZBYBOBUXPZJS-UHFFFAOYSA-N 1 2 305.403 1.757 20 30 DDEDLO CCOC(=O)c1ncn2c1C[N@@H+]([C@H]1CC[C@H](C#N)C1)CCC2 ZINC001254660096 896552071 /nfs/dbraw/zinc/55/20/71/896552071.db2.gz FUCGYTULRIVTOY-STQMWFEESA-N 1 2 302.378 1.958 20 30 DDEDLO CCOC(=O)c1ncn2c1C[N@H+]([C@H]1CC[C@H](C#N)C1)CCC2 ZINC001254660096 896552096 /nfs/dbraw/zinc/55/20/96/896552096.db2.gz FUCGYTULRIVTOY-STQMWFEESA-N 1 2 302.378 1.958 20 30 DDEDLO CCOC(=O)[C@H](CS)[NH2+]C1CCC(C(=O)OCC)CC1 ZINC001255250113 896805401 /nfs/dbraw/zinc/80/54/01/896805401.db2.gz YHIQBVCSCUUNQT-MCIGGMRASA-N 1 2 303.424 1.559 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)C=C1CCC1)C(C)C ZINC001278904916 897214677 /nfs/dbraw/zinc/21/46/77/897214677.db2.gz ZKVRPQPMSSQABY-UHFFFAOYSA-N 1 2 307.438 1.568 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)C=C1CCC1)C(C)C ZINC001278904916 897214691 /nfs/dbraw/zinc/21/46/91/897214691.db2.gz ZKVRPQPMSSQABY-UHFFFAOYSA-N 1 2 307.438 1.568 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2cn(C)nc2C)C1 ZINC001389481637 897326247 /nfs/dbraw/zinc/32/62/47/897326247.db2.gz ATBFMBKBMVSJEU-AWEZNQCLSA-N 1 2 310.829 1.604 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2cn(C)nc2C)C1 ZINC001389481637 897326266 /nfs/dbraw/zinc/32/62/66/897326266.db2.gz ATBFMBKBMVSJEU-AWEZNQCLSA-N 1 2 310.829 1.604 20 30 DDEDLO CCc1noc(C[NH+]2CCC3(C[C@@H]3NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001389535154 897468232 /nfs/dbraw/zinc/46/82/32/897468232.db2.gz YKGSYCJJKDOLGW-RYUDHWBXSA-N 1 2 317.393 1.262 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@H](C)NC(=O)c2ccc(C#N)[nH]2)o1 ZINC001367730012 897610871 /nfs/dbraw/zinc/61/08/71/897610871.db2.gz HORQPHCXYQZHAG-JTQLQIEISA-N 1 2 316.365 1.301 20 30 DDEDLO COC(=O)[C@H]1C[C@@](O)(C(F)(F)F)C[N@@H+]1C1CCC(C#N)CC1 ZINC001256965306 897648029 /nfs/dbraw/zinc/64/80/29/897648029.db2.gz QGZHBJDDZNTDFA-KMFOADKKSA-N 1 2 320.311 1.609 20 30 DDEDLO COC(=O)[C@H]1C[C@@](O)(C(F)(F)F)C[N@H+]1C1CCC(C#N)CC1 ZINC001256965306 897648033 /nfs/dbraw/zinc/64/80/33/897648033.db2.gz QGZHBJDDZNTDFA-KMFOADKKSA-N 1 2 320.311 1.609 20 30 DDEDLO COCCN(CC#N)CCNC(=O)c1c(C)cc(C)[nH+]c1C ZINC001279049949 897955167 /nfs/dbraw/zinc/95/51/67/897955167.db2.gz NQIJOTRYTPHGRH-UHFFFAOYSA-N 1 2 304.394 1.209 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C1C[NH+](Cc2cccc(N(C)C)c2)C1 ZINC001368072701 898637320 /nfs/dbraw/zinc/63/73/20/898637320.db2.gz YGCUWTOIWHFMNZ-CYBMUJFWSA-N 1 2 300.406 1.555 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)NCC[N@@H+]1CCc2sccc2C1 ZINC001261404855 899547183 /nfs/dbraw/zinc/54/71/83/899547183.db2.gz VCBSZCOIAGZCEW-UHFFFAOYSA-N 1 2 321.446 1.305 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)NCC[N@H+]1CCc2sccc2C1 ZINC001261404855 899547189 /nfs/dbraw/zinc/54/71/89/899547189.db2.gz VCBSZCOIAGZCEW-UHFFFAOYSA-N 1 2 321.446 1.305 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)N2CCC(n3cc[nH+]c3)CC2)C1 ZINC001261805829 899770889 /nfs/dbraw/zinc/77/08/89/899770889.db2.gz URGNPOKZQKLYNJ-AWEZNQCLSA-N 1 2 316.405 1.471 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(cc(C)c(=O)n2C)c1 ZINC001262171504 899997853 /nfs/dbraw/zinc/99/78/53/899997853.db2.gz XLBXWXIFZRPNQY-KRWDZBQOSA-N 1 2 323.396 1.883 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(cc(C)c(=O)n2C)c1 ZINC001262171504 899997858 /nfs/dbraw/zinc/99/78/58/899997858.db2.gz XLBXWXIFZRPNQY-KRWDZBQOSA-N 1 2 323.396 1.883 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@H+](C)[C@H](C)C(=O)Nc1cccc(F)c1 ZINC001390739402 900062474 /nfs/dbraw/zinc/06/24/74/900062474.db2.gz AJFGJVOQIOPGHL-VXGBXAGGSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@@H+](C)[C@H](C)C(=O)Nc1cccc(F)c1 ZINC001390739402 900062485 /nfs/dbraw/zinc/06/24/85/900062485.db2.gz AJFGJVOQIOPGHL-VXGBXAGGSA-N 1 2 320.368 1.360 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H](C)OC[C@@H]1CCCCO1 ZINC001390865720 900393449 /nfs/dbraw/zinc/39/34/49/900393449.db2.gz OOFVFGRWJDGRPZ-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H](C)OC[C@@H]1CCCCO1 ZINC001390865720 900393454 /nfs/dbraw/zinc/39/34/54/900393454.db2.gz OOFVFGRWJDGRPZ-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](CC(N)=O)C[C@H]1c1ccccc1 ZINC001263884712 900796645 /nfs/dbraw/zinc/79/66/45/900796645.db2.gz ZQVOLFMFXWKHEQ-JKSUJKDBSA-N 1 2 315.417 1.412 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](CC(N)=O)C[C@H]1c1ccccc1 ZINC001263884712 900796655 /nfs/dbraw/zinc/79/66/55/900796655.db2.gz ZQVOLFMFXWKHEQ-JKSUJKDBSA-N 1 2 315.417 1.412 20 30 DDEDLO CCc1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#CCOC)no1 ZINC001264376895 901062565 /nfs/dbraw/zinc/06/25/65/901062565.db2.gz QIQRDUPGNBNVPY-ZDUSSCGKSA-N 1 2 305.378 1.033 20 30 DDEDLO CCc1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#CCOC)no1 ZINC001264376895 901062574 /nfs/dbraw/zinc/06/25/74/901062574.db2.gz QIQRDUPGNBNVPY-ZDUSSCGKSA-N 1 2 305.378 1.033 20 30 DDEDLO C#CCCCC(=O)NCCC[N@@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC001264979687 901378801 /nfs/dbraw/zinc/37/88/01/901378801.db2.gz ALIGDVFPFZBYMB-UHFFFAOYSA-N 1 2 319.453 1.775 20 30 DDEDLO C#CCCCC(=O)NCCC[N@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC001264979687 901378816 /nfs/dbraw/zinc/37/88/16/901378816.db2.gz ALIGDVFPFZBYMB-UHFFFAOYSA-N 1 2 319.453 1.775 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@H](O)CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001369508152 901414344 /nfs/dbraw/zinc/41/43/44/901414344.db2.gz WRRDPUKDHLGTDM-DLOVCJGASA-N 1 2 319.243 1.302 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@H](O)CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001369508152 901414350 /nfs/dbraw/zinc/41/43/50/901414350.db2.gz WRRDPUKDHLGTDM-DLOVCJGASA-N 1 2 319.243 1.302 20 30 DDEDLO CCc1noc(C)c1C(=O)N[C@@H]1CCC[N@H+](CC#CCOC)C1 ZINC001265232657 901751325 /nfs/dbraw/zinc/75/13/25/901751325.db2.gz COVKMCKQLOYOEN-CQSZACIVSA-N 1 2 319.405 1.389 20 30 DDEDLO CCc1noc(C)c1C(=O)N[C@@H]1CCC[N@@H+](CC#CCOC)C1 ZINC001265232657 901751335 /nfs/dbraw/zinc/75/13/35/901751335.db2.gz COVKMCKQLOYOEN-CQSZACIVSA-N 1 2 319.405 1.389 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC2CC2)C1 ZINC001265301094 901848478 /nfs/dbraw/zinc/84/84/78/901848478.db2.gz CZAZAPVMQAHLGS-YOEHRIQHSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001265301094 901848489 /nfs/dbraw/zinc/84/84/89/901848489.db2.gz CZAZAPVMQAHLGS-YOEHRIQHSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](CC(=O)NC2CCCCC2)C1 ZINC001265301847 901854314 /nfs/dbraw/zinc/85/43/14/901854314.db2.gz SRDZHHKDWBAYOW-INIZCTEOSA-N 1 2 321.465 1.982 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)NC2CCCCC2)C1 ZINC001265301847 901854324 /nfs/dbraw/zinc/85/43/24/901854324.db2.gz SRDZHHKDWBAYOW-INIZCTEOSA-N 1 2 321.465 1.982 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCC[N@@H+](Cc2ncnn2CC)C1 ZINC001265589786 902169206 /nfs/dbraw/zinc/16/92/06/902169206.db2.gz ZDBMFQYAGRJXQP-CQSZACIVSA-N 1 2 319.453 1.838 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCC[N@H+](Cc2ncnn2CC)C1 ZINC001265589786 902169216 /nfs/dbraw/zinc/16/92/16/902169216.db2.gz ZDBMFQYAGRJXQP-CQSZACIVSA-N 1 2 319.453 1.838 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001293692661 914635446 /nfs/dbraw/zinc/63/54/46/914635446.db2.gz IIWQCBPYRSCTNS-HNNXBMFYSA-N 1 2 316.405 1.205 20 30 DDEDLO C[C@H](C[N@H+](C)[C@H](C)c1ncccn1)NC(=O)c1cc(C#N)c[nH]1 ZINC001375018871 914660052 /nfs/dbraw/zinc/66/00/52/914660052.db2.gz GYBOVQLDMLFUAP-VXGBXAGGSA-N 1 2 312.377 1.488 20 30 DDEDLO C[C@H](C[N@@H+](C)[C@H](C)c1ncccn1)NC(=O)c1cc(C#N)c[nH]1 ZINC001375018871 914660061 /nfs/dbraw/zinc/66/00/61/914660061.db2.gz GYBOVQLDMLFUAP-VXGBXAGGSA-N 1 2 312.377 1.488 20 30 DDEDLO CCn1ncc(C[N@H+](CC)CCCNC(=O)C#CC(C)C)n1 ZINC001266041185 902821903 /nfs/dbraw/zinc/82/19/03/902821903.db2.gz ZSMNXHXJGSTWRR-UHFFFAOYSA-N 1 2 305.426 1.286 20 30 DDEDLO CCn1ncc(C[N@@H+](CC)CCCNC(=O)C#CC(C)C)n1 ZINC001266041185 902821913 /nfs/dbraw/zinc/82/19/13/902821913.db2.gz ZSMNXHXJGSTWRR-UHFFFAOYSA-N 1 2 305.426 1.286 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1C[N@H+](CCc2ccccc2F)CCO1 ZINC001391984440 903036591 /nfs/dbraw/zinc/03/65/91/903036591.db2.gz QYGRBOXMAIBORR-HIFRSBDPSA-N 1 2 319.380 1.345 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1C[N@@H+](CCc2ccccc2F)CCO1 ZINC001391984440 903036609 /nfs/dbraw/zinc/03/66/09/903036609.db2.gz QYGRBOXMAIBORR-HIFRSBDPSA-N 1 2 319.380 1.345 20 30 DDEDLO C=CC1(CC(=O)N[C@@H]2CCC[N@@H+](CC(=O)NC)C2)CCCCC1 ZINC001266242526 903177492 /nfs/dbraw/zinc/17/74/92/903177492.db2.gz DGBNXIUDHQVLCV-OAHLLOKOSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CC1(CC(=O)N[C@@H]2CCC[N@H+](CC(=O)NC)C2)CCCCC1 ZINC001266242526 903177497 /nfs/dbraw/zinc/17/74/97/903177497.db2.gz DGBNXIUDHQVLCV-OAHLLOKOSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCOC2(C[NH+](C[C@@H](CC)OC)C2)C1 ZINC001280899089 904165405 /nfs/dbraw/zinc/16/54/05/904165405.db2.gz MUTLUGKJDJIDLW-OAHLLOKOSA-N 1 2 324.465 1.927 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1COC2(C[NH+](CCOCC3CC3)C2)C1 ZINC001280947682 904229967 /nfs/dbraw/zinc/22/99/67/904229967.db2.gz NZDKAURQTOZPLI-MRXNPFEDSA-N 1 2 320.433 1.032 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)Oc1cccc(C)c1 ZINC001316611352 904924564 /nfs/dbraw/zinc/92/45/64/904924564.db2.gz ZVBXVSMOWAWCAE-HOTGVXAUSA-N 1 2 300.402 1.928 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)Oc1cccc(C)c1 ZINC001316611352 904924568 /nfs/dbraw/zinc/92/45/68/904924568.db2.gz ZVBXVSMOWAWCAE-HOTGVXAUSA-N 1 2 300.402 1.928 20 30 DDEDLO N#CCN1CCc2ccccc2[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001281777175 905213935 /nfs/dbraw/zinc/21/39/35/905213935.db2.gz LJQNNFGVSFNXNO-MRXNPFEDSA-N 1 2 309.373 1.122 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC001281957843 905362342 /nfs/dbraw/zinc/36/23/42/905362342.db2.gz VLXNFZUCFHMUKB-HNNXBMFYSA-N 1 2 305.426 1.594 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC001281957843 905362361 /nfs/dbraw/zinc/36/23/61/905362361.db2.gz VLXNFZUCFHMUKB-HNNXBMFYSA-N 1 2 305.426 1.594 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)[C@H](C)[NH2+]Cc1nnc(C2CC2)o1 ZINC001282128066 905565731 /nfs/dbraw/zinc/56/57/31/905565731.db2.gz GOYYXGSQSVKDPF-WDEREUQCSA-N 1 2 302.378 1.343 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)Cc1ccc(C(N)=O)cc1 ZINC001377381033 921182496 /nfs/dbraw/zinc/18/24/96/921182496.db2.gz NJFLNMCKGVXUDQ-UHFFFAOYSA-N 1 2 323.824 1.519 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)Cc1ccc(C(N)=O)cc1 ZINC001377381033 921182504 /nfs/dbraw/zinc/18/25/04/921182504.db2.gz NJFLNMCKGVXUDQ-UHFFFAOYSA-N 1 2 323.824 1.519 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2CCC[C@H]2CNC(=O)[C@@H](C)C#N)n1 ZINC001377382648 921186580 /nfs/dbraw/zinc/18/65/80/921186580.db2.gz LAIFSIAZPDNATO-ZFWWWQNUSA-N 1 2 303.410 1.704 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2CCC[C@H]2CNC(=O)[C@@H](C)C#N)n1 ZINC001377382648 921186584 /nfs/dbraw/zinc/18/65/84/921186584.db2.gz LAIFSIAZPDNATO-ZFWWWQNUSA-N 1 2 303.410 1.704 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](OCC)C3CC3)C2)C1 ZINC001282774372 906023693 /nfs/dbraw/zinc/02/36/93/906023693.db2.gz YCYKLVWANQRGNK-SJLPKXTDSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](OCC)C3CC3)C2)C1 ZINC001282774372 906023697 /nfs/dbraw/zinc/02/36/97/906023697.db2.gz YCYKLVWANQRGNK-SJLPKXTDSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[N@@H+](CC(=O)NCC(C)C)CC1(C)C ZINC001282821962 906073473 /nfs/dbraw/zinc/07/34/73/906073473.db2.gz KQLLHIOOVZRIRH-AWEZNQCLSA-N 1 2 323.481 1.797 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[N@H+](CC(=O)NCC(C)C)CC1(C)C ZINC001282821962 906073488 /nfs/dbraw/zinc/07/34/88/906073488.db2.gz KQLLHIOOVZRIRH-AWEZNQCLSA-N 1 2 323.481 1.797 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@H](C)[NH2+]Cc1noc(C2CCC2)n1 ZINC001393796105 907941183 /nfs/dbraw/zinc/94/11/83/907941183.db2.gz CVZXMWLWFRKXAW-MNOVXSKESA-N 1 2 305.382 1.481 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001283846252 908053533 /nfs/dbraw/zinc/05/35/33/908053533.db2.gz AAYXGOJJNIUQSC-KGLIPLIRSA-N 1 2 304.394 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001394068194 908696002 /nfs/dbraw/zinc/69/60/02/908696002.db2.gz RXZRRCHNNXRRLB-VXGBXAGGSA-N 1 2 301.818 1.044 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001394068194 908696013 /nfs/dbraw/zinc/69/60/13/908696013.db2.gz RXZRRCHNNXRRLB-VXGBXAGGSA-N 1 2 301.818 1.044 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001284451779 909020171 /nfs/dbraw/zinc/02/01/71/909020171.db2.gz GOSZUCDEQPCJMX-CYBMUJFWSA-N 1 2 304.394 1.157 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](CC)NC(=O)Cc1[nH]c[nH+]c1C ZINC001285061914 910018567 /nfs/dbraw/zinc/01/85/67/910018567.db2.gz KHUZXDNCRXHGDK-ZDUSSCGKSA-N 1 2 306.410 1.628 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)Cc2c[nH+]cn2C)CCCC1 ZINC001285119046 910088996 /nfs/dbraw/zinc/08/89/96/910088996.db2.gz VMWKPXWUKDNDHE-UHFFFAOYSA-N 1 2 318.421 1.474 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)Cc2n[nH]c3ccccc32)C1 ZINC001373560828 910177819 /nfs/dbraw/zinc/17/78/19/910177819.db2.gz PKVAXAOSLKWTMA-UHFFFAOYSA-N 1 2 318.808 1.906 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001285348399 910392936 /nfs/dbraw/zinc/39/29/36/910392936.db2.gz WBBNRPXDAKZKHT-AWEZNQCLSA-N 1 2 320.437 1.812 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)cc1 ZINC001285391707 910456439 /nfs/dbraw/zinc/45/64/39/910456439.db2.gz MYQSDNLXGJPSEX-OLZOCXBDSA-N 1 2 324.384 1.257 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)cc1 ZINC001285391705 910456550 /nfs/dbraw/zinc/45/65/50/910456550.db2.gz MYQSDNLXGJPSEX-CHWSQXEVSA-N 1 2 324.384 1.257 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)CCC(=O)NCC)C1 ZINC001373698242 910656077 /nfs/dbraw/zinc/65/60/77/910656077.db2.gz IKFQFNDCCZBUEN-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)CCC(=O)NCC)C1 ZINC001373698242 910656083 /nfs/dbraw/zinc/65/60/83/910656083.db2.gz IKFQFNDCCZBUEN-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001285689151 911043277 /nfs/dbraw/zinc/04/32/77/911043277.db2.gz AROJXWVCXKLQBD-ZIAGYGMSSA-N 1 2 318.421 1.423 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001285849274 911292090 /nfs/dbraw/zinc/29/20/90/911292090.db2.gz VGCYYWQMRYVZHW-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001294797395 915374603 /nfs/dbraw/zinc/37/46/03/915374603.db2.gz XDGSJTFAMTWRQH-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC001295755056 916040770 /nfs/dbraw/zinc/04/07/70/916040770.db2.gz IYKGNKXEEYLXFE-CYBMUJFWSA-N 1 2 316.405 1.273 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C1C[NH+](C[C@H](O)Cc2ccc(F)cc2)C1 ZINC001375671600 916661003 /nfs/dbraw/zinc/66/10/03/916661003.db2.gz XYGYKNFMNFQOCJ-BLLLJJGKSA-N 1 2 319.380 1.031 20 30 DDEDLO CCc1noc([C@H](C)[NH+]2CCC(C)(NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001375774215 916930418 /nfs/dbraw/zinc/93/04/18/916930418.db2.gz WGTYOHRUSISSCO-RYUDHWBXSA-N 1 2 319.409 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H](NC(C)=O)[C@@H](C)CC ZINC001377265704 920831510 /nfs/dbraw/zinc/83/15/10/920831510.db2.gz ITZWHXXIUNTAKL-GWCFXTLKSA-N 1 2 303.834 1.338 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H](NC(C)=O)[C@@H](C)CC ZINC001377265704 920831522 /nfs/dbraw/zinc/83/15/22/920831522.db2.gz ITZWHXXIUNTAKL-GWCFXTLKSA-N 1 2 303.834 1.338 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)Nc1ccc(F)cc1F ZINC000283973613 222612408 /nfs/dbraw/zinc/61/24/08/222612408.db2.gz GMTJJUBUJRLKEW-PELKAZGASA-N 1 2 313.304 1.760 20 30 DDEDLO CO[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C[C@H]1C ZINC000451576025 231129556 /nfs/dbraw/zinc/12/95/56/231129556.db2.gz TYABDNNGXRWWGJ-OCCSQVGLSA-N 1 2 323.418 1.084 20 30 DDEDLO CO[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C[C@H]1C ZINC000451576025 231129559 /nfs/dbraw/zinc/12/95/59/231129559.db2.gz TYABDNNGXRWWGJ-OCCSQVGLSA-N 1 2 323.418 1.084 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000092844002 185345318 /nfs/dbraw/zinc/34/53/18/185345318.db2.gz YLTYHIWQGDGFBH-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000092844002 185345319 /nfs/dbraw/zinc/34/53/19/185345319.db2.gz YLTYHIWQGDGFBH-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO COc1cc(OC)c(C[NH+]2CCN(CC#N)CC2)c(OC)c1 ZINC000092936392 185350012 /nfs/dbraw/zinc/35/00/12/185350012.db2.gz TUIALNCKJJYDIA-UHFFFAOYSA-N 1 2 305.378 1.354 20 30 DDEDLO CCc1[nH+]ccn1[C@@H]1CCCN(C(=O)N[C@@H]2CC[S@](=O)C2)C1 ZINC000329991774 529760115 /nfs/dbraw/zinc/76/01/15/529760115.db2.gz AGDRTMQGLLEASM-VJBOLBCHSA-N 1 2 324.450 1.517 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C([O-])=[NH+]c3nc4ccsc4s3)C[C@H]21 ZINC000329932304 529791131 /nfs/dbraw/zinc/79/11/31/529791131.db2.gz PKLFJRDPTXUPPL-ZJUUUORDSA-N 1 2 324.431 1.918 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C([O-])=[NH+]c3nc4ccsc4s3)C[C@H]21 ZINC000329932304 529791133 /nfs/dbraw/zinc/79/11/33/529791133.db2.gz PKLFJRDPTXUPPL-ZJUUUORDSA-N 1 2 324.431 1.918 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cccc(C(=O)NC2CC2)c1 ZINC000414134978 529868451 /nfs/dbraw/zinc/86/84/51/529868451.db2.gz HXBCRZFYVROAJP-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cccc(C(=O)NC2CC2)c1 ZINC000414134978 529868452 /nfs/dbraw/zinc/86/84/52/529868452.db2.gz HXBCRZFYVROAJP-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ncncc3C#N)c[nH+]2)CCO1 ZINC000564239683 303991887 /nfs/dbraw/zinc/99/18/87/303991887.db2.gz LQIXEFKLXNDFKF-GFCCVEGCSA-N 1 2 310.361 1.002 20 30 DDEDLO N#Cc1ccc(CN2CC[C@H]([NH2+]Cc3nccs3)C2=O)cc1 ZINC000616997607 362906467 /nfs/dbraw/zinc/90/64/67/362906467.db2.gz RMSQNCVGLQYLQO-AWEZNQCLSA-N 1 2 312.398 1.905 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CCC[C@]2(CNC(=O)C2)C1 ZINC000459381764 233146953 /nfs/dbraw/zinc/14/69/53/233146953.db2.gz DKVOQKBNWUSTIH-INIZCTEOSA-N 1 2 314.345 1.569 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CCC[C@]2(CNC(=O)C2)C1 ZINC000459381764 233146963 /nfs/dbraw/zinc/14/69/63/233146963.db2.gz DKVOQKBNWUSTIH-INIZCTEOSA-N 1 2 314.345 1.569 20 30 DDEDLO CCOC(=O)C1CC[NH+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000007016308 352120097 /nfs/dbraw/zinc/12/00/97/352120097.db2.gz WMEQJFFCTZJCTH-GUYCJALGSA-N 1 2 323.437 1.704 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1ccc(F)cc1F ZINC000025078375 352193674 /nfs/dbraw/zinc/19/36/74/352193674.db2.gz RJEQFHZGKCRGOH-SNVBAGLBSA-N 1 2 311.332 1.797 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1ccc(F)cc1F ZINC000025078375 352193675 /nfs/dbraw/zinc/19/36/75/352193675.db2.gz RJEQFHZGKCRGOH-SNVBAGLBSA-N 1 2 311.332 1.797 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2SCC(=O)N[C@](C)(C#N)C1CC1 ZINC000011681541 352145465 /nfs/dbraw/zinc/14/54/65/352145465.db2.gz MEKUEIRZHHIJPC-CQSZACIVSA-N 1 2 316.390 1.264 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)COc1ccc(C#N)cc1 ZINC000032687491 352283854 /nfs/dbraw/zinc/28/38/54/352283854.db2.gz LBXZFBBVWUNVML-UHFFFAOYSA-N 1 2 310.357 1.714 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2ccc(O)cc2)CC1 ZINC000048614231 352544190 /nfs/dbraw/zinc/54/41/90/352544190.db2.gz ZZNIUMHLMCAWHR-UHFFFAOYSA-N 1 2 315.417 1.715 20 30 DDEDLO C#CCN(CC)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000056564724 352777679 /nfs/dbraw/zinc/77/76/79/352777679.db2.gz IEHVYWPJFQFFTF-UHFFFAOYSA-N 1 2 315.417 1.684 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(c2ccc(C#N)c(Cl)c2)CC1 ZINC000068428161 353110764 /nfs/dbraw/zinc/11/07/64/353110764.db2.gz ZJVVNTMGQPEFEQ-UHFFFAOYSA-N 1 2 306.797 1.422 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC(=O)N(C(C)C)C(C)(C)C1 ZINC000075924886 353386124 /nfs/dbraw/zinc/38/61/24/353386124.db2.gz CIYDFKYBDPEULN-UHFFFAOYSA-N 1 2 309.454 1.742 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC(=O)N(C(C)C)C(C)(C)C1 ZINC000075924886 353386125 /nfs/dbraw/zinc/38/61/25/353386125.db2.gz CIYDFKYBDPEULN-UHFFFAOYSA-N 1 2 309.454 1.742 20 30 DDEDLO C=CCOCCCC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000079386613 353560314 /nfs/dbraw/zinc/56/03/14/353560314.db2.gz YMNHWLWYFJJCRL-UHFFFAOYSA-N 1 2 319.405 1.517 20 30 DDEDLO Cc1cccn2cc(CCNS(=O)(=O)CCCC#N)[nH+]c12 ZINC000080786914 353628840 /nfs/dbraw/zinc/62/88/40/353628840.db2.gz DPYIQRDBDQHKMY-UHFFFAOYSA-N 1 2 306.391 1.408 20 30 DDEDLO CN(C[C@@H](O)C[NH+]1CCOCC1)c1ccc(Cl)cc1C#N ZINC000081015277 353644270 /nfs/dbraw/zinc/64/42/70/353644270.db2.gz VSMVSAIBFSAXIQ-CQSZACIVSA-N 1 2 309.797 1.341 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCCC[C@@H]2CCO)cc1 ZINC000081513331 353680457 /nfs/dbraw/zinc/68/04/57/353680457.db2.gz JUISMGYPFLIRIS-OAHLLOKOSA-N 1 2 322.430 1.569 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCCC[C@@H]2CCO)cc1 ZINC000081513331 353680458 /nfs/dbraw/zinc/68/04/58/353680458.db2.gz JUISMGYPFLIRIS-OAHLLOKOSA-N 1 2 322.430 1.569 20 30 DDEDLO C[C@@H]1[C@@H](C)S(=O)(=O)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000093559682 353902367 /nfs/dbraw/zinc/90/23/67/353902367.db2.gz MMYWADHKOLLNJZ-VXGBXAGGSA-N 1 2 318.402 1.213 20 30 DDEDLO C#CC[N@@H+](C)Cc1nnc(-c2cc(OC)c(OC)c(OC)c2)o1 ZINC000277041354 213256806 /nfs/dbraw/zinc/25/68/06/213256806.db2.gz TYAKPFQVTSWQKG-UHFFFAOYSA-N 1 2 317.345 1.827 20 30 DDEDLO C#CC[N@H+](C)Cc1nnc(-c2cc(OC)c(OC)c(OC)c2)o1 ZINC000277041354 213256808 /nfs/dbraw/zinc/25/68/08/213256808.db2.gz TYAKPFQVTSWQKG-UHFFFAOYSA-N 1 2 317.345 1.827 20 30 DDEDLO CN(C)c1ccc(CN(CCC#N)CC[NH+]2CCOCC2)cn1 ZINC000156424569 354219329 /nfs/dbraw/zinc/21/93/29/354219329.db2.gz ODTUJBCREASYDX-UHFFFAOYSA-N 1 2 317.437 1.195 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000521639186 354688732 /nfs/dbraw/zinc/68/87/32/354688732.db2.gz BNYKXCUZBTWNEQ-CYBMUJFWSA-N 1 2 302.378 1.609 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000578450599 354708263 /nfs/dbraw/zinc/70/82/63/354708263.db2.gz GJOJGVSBIYTTIC-SNVBAGLBSA-N 1 2 306.322 1.824 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCCNC(=O)[C@@H]1CC=CCC1 ZINC000578776109 354712641 /nfs/dbraw/zinc/71/26/41/354712641.db2.gz VWLSUQDAKVNPAQ-RHSMWYFYSA-N 1 2 320.437 1.199 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@]2(CCOC2)O1 ZINC000565048784 304040845 /nfs/dbraw/zinc/04/08/45/304040845.db2.gz MQCOOSARQIHEPS-WBMJQRKESA-N 1 2 317.345 1.846 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@]2(CCOC2)O1 ZINC000565048784 304040846 /nfs/dbraw/zinc/04/08/46/304040846.db2.gz MQCOOSARQIHEPS-WBMJQRKESA-N 1 2 317.345 1.846 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@@H](CNC(=O)CC(F)(F)F)C1 ZINC000586774427 354863879 /nfs/dbraw/zinc/86/38/79/354863879.db2.gz WNSRGAOLXADOKQ-QWRGUYRKSA-N 1 2 322.327 1.495 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@@H](CNC(=O)CC(F)(F)F)C1 ZINC000586774427 354863880 /nfs/dbraw/zinc/86/38/80/354863880.db2.gz WNSRGAOLXADOKQ-QWRGUYRKSA-N 1 2 322.327 1.495 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cc(O)cc(C#N)c1 ZINC000587972038 354888115 /nfs/dbraw/zinc/88/81/15/354888115.db2.gz SFAOAVPRCCRDBB-SWLSCSKDSA-N 1 2 315.373 1.199 20 30 DDEDLO N#CCCCCCC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000588345891 354917167 /nfs/dbraw/zinc/91/71/67/354917167.db2.gz RJNUXLOINRTXIS-UHFFFAOYSA-N 1 2 319.409 1.628 20 30 DDEDLO CS(=O)(=O)CCCC[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000590005077 355039236 /nfs/dbraw/zinc/03/92/36/355039236.db2.gz CANQAFGVPJUTAL-CQSZACIVSA-N 1 2 323.418 1.231 20 30 DDEDLO CS(=O)(=O)CCCC[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000590005077 355039239 /nfs/dbraw/zinc/03/92/39/355039239.db2.gz CANQAFGVPJUTAL-CQSZACIVSA-N 1 2 323.418 1.231 20 30 DDEDLO C[C@@H](C(=O)N1CCc2cc(C#N)ccc21)[NH+]1CCSCC1 ZINC000591312297 355287516 /nfs/dbraw/zinc/28/75/16/355287516.db2.gz ADNREXFMPXBMTH-LBPRGKRZSA-N 1 2 301.415 1.885 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(c2ncc3c(c2C#N)CCC3)CCN1C ZINC000591824699 355392337 /nfs/dbraw/zinc/39/23/37/355392337.db2.gz AWNIDWFUSPPNRA-MRXNPFEDSA-N 1 2 322.416 1.669 20 30 DDEDLO Cc1ccn2cc(CNC(=O)N3CC[C@@](O)(CC#N)C3)[nH+]c2c1 ZINC000591926489 355437145 /nfs/dbraw/zinc/43/71/45/355437145.db2.gz YZTSQALJLHNWDT-INIZCTEOSA-N 1 2 313.361 1.203 20 30 DDEDLO CCC[C@@H](NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1 ZINC000592146537 355511928 /nfs/dbraw/zinc/51/19/28/355511928.db2.gz CQUBRQJDLWFAPF-AEFFLSMTSA-N 1 2 315.417 1.994 20 30 DDEDLO CCC[C@@H](NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1 ZINC000592146537 355511931 /nfs/dbraw/zinc/51/19/31/355511931.db2.gz CQUBRQJDLWFAPF-AEFFLSMTSA-N 1 2 315.417 1.994 20 30 DDEDLO COC[C@H]1C[C@@H](O)C[N@@H+]1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000593066721 355785278 /nfs/dbraw/zinc/78/52/78/355785278.db2.gz QOSSTGBIUIDNHQ-CHWSQXEVSA-N 1 2 323.780 1.232 20 30 DDEDLO COC[C@H]1C[C@@H](O)C[N@H+]1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000593066721 355785281 /nfs/dbraw/zinc/78/52/81/355785281.db2.gz QOSSTGBIUIDNHQ-CHWSQXEVSA-N 1 2 323.780 1.232 20 30 DDEDLO CC(=O)NC[C@H](CC(=O)N[C@@](C)(C#N)C[NH+](C)C)CC(C)C ZINC000593153270 355812822 /nfs/dbraw/zinc/81/28/22/355812822.db2.gz JKNDNALTAWIFIS-HOCLYGCPSA-N 1 2 310.442 1.135 20 30 DDEDLO CCc1c(C(=O)N[C@](C)(C#N)C[NH+](C)C)cnn1CC(C)C ZINC000593154821 355813610 /nfs/dbraw/zinc/81/36/10/355813610.db2.gz IDDRWJSZPCUGMC-MRXNPFEDSA-N 1 2 305.426 1.675 20 30 DDEDLO C[C@H](NC(=O)NCC1(C#N)CCCC1)[C@H](C)[NH+]1CCOCC1 ZINC000593339914 355858284 /nfs/dbraw/zinc/85/82/84/355858284.db2.gz WFMZPWOZCGGQIS-KBPBESRZSA-N 1 2 308.426 1.479 20 30 DDEDLO CC(C)(C#N)c1ccc(S(=O)(=O)NCC[NH+]2CCC2)cc1 ZINC000593565218 355927782 /nfs/dbraw/zinc/92/77/82/355927782.db2.gz CEAHWOMNMNBCEM-UHFFFAOYSA-N 1 2 307.419 1.472 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(S(=O)(=O)c2ccsc2C#N)C1 ZINC000593567307 355928116 /nfs/dbraw/zinc/92/81/16/355928116.db2.gz OLLGFTLNUHPTFQ-SNVBAGLBSA-N 1 2 322.415 1.531 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](CC(=O)OC(C)(C)C)C2CCC2)C1=O ZINC000594056217 356101685 /nfs/dbraw/zinc/10/16/85/356101685.db2.gz TUJQTXLOLLVKPB-CQSZACIVSA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](CC(=O)OC(C)(C)C)C2CCC2)C1=O ZINC000594056217 356101691 /nfs/dbraw/zinc/10/16/91/356101691.db2.gz TUJQTXLOLLVKPB-CQSZACIVSA-N 1 2 308.422 1.970 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2cc(C#N)ccn2)CC1 ZINC000594219696 356142984 /nfs/dbraw/zinc/14/29/84/356142984.db2.gz JGWPCPJTRNOXAG-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2cc(C#N)ccn2)CC1 ZINC000594219696 356142988 /nfs/dbraw/zinc/14/29/88/356142988.db2.gz JGWPCPJTRNOXAG-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO COc1c(C)[nH+]cnc1N1CCN(c2cnccc2C#N)CC1 ZINC000594582264 356255528 /nfs/dbraw/zinc/25/55/28/356255528.db2.gz LKSNYTJFVKCRSN-UHFFFAOYSA-N 1 2 310.361 1.387 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](Cc2ccccc2)C(=O)OC)nn1 ZINC000594651791 356275342 /nfs/dbraw/zinc/27/53/42/356275342.db2.gz HHGFRNPTRMBUDP-MRXNPFEDSA-N 1 2 312.373 1.348 20 30 DDEDLO C=CC[N@H+](CCc1ccc(C#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000595373408 356469283 /nfs/dbraw/zinc/46/92/83/356469283.db2.gz NRIGYZDMVOYFJD-MRXNPFEDSA-N 1 2 304.415 1.776 20 30 DDEDLO C=CC[N@@H+](CCc1ccc(C#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000595373408 356469285 /nfs/dbraw/zinc/46/92/85/356469285.db2.gz NRIGYZDMVOYFJD-MRXNPFEDSA-N 1 2 304.415 1.776 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCC1(C#N)CCCCC1 ZINC000595443239 356494711 /nfs/dbraw/zinc/49/47/11/356494711.db2.gz DOJGPTPZCZTQDO-CQSZACIVSA-N 1 2 308.426 1.480 20 30 DDEDLO CCCCC[N@H+](CCO)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513470 192275977 /nfs/dbraw/zinc/27/59/77/192275977.db2.gz VZJJCCHRMXNOMX-UHFFFAOYSA-N 1 2 324.446 1.816 20 30 DDEDLO CCCCC[N@@H+](CCO)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513470 192275978 /nfs/dbraw/zinc/27/59/78/192275978.db2.gz VZJJCCHRMXNOMX-UHFFFAOYSA-N 1 2 324.446 1.816 20 30 DDEDLO C[C@H](NC(=O)C[N@@H+]1CCC[C@@H](CC#N)C1)C(=O)N1CCCCC1 ZINC000595612590 356576883 /nfs/dbraw/zinc/57/68/83/356576883.db2.gz YGEUVRVBPCYELP-GJZGRUSLSA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@H](NC(=O)C[N@H+]1CCC[C@@H](CC#N)C1)C(=O)N1CCCCC1 ZINC000595612590 356576886 /nfs/dbraw/zinc/57/68/86/356576886.db2.gz YGEUVRVBPCYELP-GJZGRUSLSA-N 1 2 320.437 1.129 20 30 DDEDLO CCOCC[NH+]1CCN(c2nc(C#N)c(Cl)s2)CC1 ZINC000596115039 356778041 /nfs/dbraw/zinc/77/80/41/356778041.db2.gz ONKIKGAAXJFQSQ-UHFFFAOYSA-N 1 2 300.815 1.827 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)c1 ZINC000596481917 356893320 /nfs/dbraw/zinc/89/33/20/356893320.db2.gz MDWHABVCFPDRGW-UHFFFAOYSA-N 1 2 302.378 1.071 20 30 DDEDLO CCCn1nccc1C[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000596982844 357034060 /nfs/dbraw/zinc/03/40/60/357034060.db2.gz LCGOFBRQHLOLKU-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO C[C@@H](CC#N)C(=O)N(CC[NH+]1CCOCC1)c1ccccc1 ZINC000597016453 357041264 /nfs/dbraw/zinc/04/12/64/357041264.db2.gz PHVZXUGLFOWHAT-HNNXBMFYSA-N 1 2 301.390 1.902 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](Cc3ccnn3CC3CC3)CC2)n1 ZINC000597087671 357057590 /nfs/dbraw/zinc/05/75/90/357057590.db2.gz VKGQERPKSKSPHN-UHFFFAOYSA-N 1 2 322.416 1.882 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)s1 ZINC000597708282 357295331 /nfs/dbraw/zinc/29/53/31/357295331.db2.gz XCZVTCJQEDQCSO-GFCCVEGCSA-N 1 2 305.403 1.557 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1ccnc(C#N)c1)C(C)(C)CO ZINC000597849053 357356858 /nfs/dbraw/zinc/35/68/58/357356858.db2.gz ZFGMQFMXTTYCAC-ZDUSSCGKSA-N 1 2 313.361 1.176 20 30 DDEDLO C[C@H](C#N)N(C(=O)c1ccc(C[NH+]2CCOCC2)cn1)C1CC1 ZINC000598137551 357471638 /nfs/dbraw/zinc/47/16/38/357471638.db2.gz LPDNWNTUMGSOAE-CYBMUJFWSA-N 1 2 314.389 1.430 20 30 DDEDLO CC(C)(C(=O)N1CCN(c2ccccc2C#N)CC1)c1c[nH+]c[nH]1 ZINC000598684161 357686608 /nfs/dbraw/zinc/68/66/08/357686608.db2.gz RILKRNVCQLKGNI-UHFFFAOYSA-N 1 2 323.400 1.908 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cccc2c1OC(F)(F)O2 ZINC000598836222 357739897 /nfs/dbraw/zinc/73/98/97/357739897.db2.gz CTPNHKCFGSNBMP-CYBMUJFWSA-N 1 2 311.288 1.582 20 30 DDEDLO N#Cc1cc(F)cc(C2(C(=O)N[C@@H]3Cc4c[nH+]cn4C3)CC2)c1 ZINC000598946975 357770735 /nfs/dbraw/zinc/77/07/35/357770735.db2.gz JYVGPHQTYMVXOO-CQSZACIVSA-N 1 2 310.332 1.667 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1NC1CC([NH+]2CCOCC2)C1 ZINC000599094730 357814202 /nfs/dbraw/zinc/81/42/02/357814202.db2.gz LOOZLCXNDMARPC-UHFFFAOYSA-N 1 2 303.322 1.137 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1c(C#N)cnc2c(F)ccc(F)c21 ZINC000599161787 357834338 /nfs/dbraw/zinc/83/43/38/357834338.db2.gz VUZLRNYUBXRTQT-LLVKDONJSA-N 1 2 318.327 1.549 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1c(C#N)cnc2c(F)ccc(F)c21 ZINC000599161787 357834340 /nfs/dbraw/zinc/83/43/40/357834340.db2.gz VUZLRNYUBXRTQT-LLVKDONJSA-N 1 2 318.327 1.549 20 30 DDEDLO CC(C)(C)CNC(=O)C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000599269332 357869384 /nfs/dbraw/zinc/86/93/84/357869384.db2.gz OOUBWJIGOGPIKZ-UHFFFAOYSA-N 1 2 315.421 1.238 20 30 DDEDLO Cc1ccccc1[C@@H]1C(=O)NCC[N@H+]1C[C@H](O)CC1(C#N)CC1 ZINC000599364264 357910114 /nfs/dbraw/zinc/91/01/14/357910114.db2.gz JWQUDRNUPLBSEU-GDBMZVCRSA-N 1 2 313.401 1.523 20 30 DDEDLO Cc1ccccc1[C@@H]1C(=O)NCC[N@@H+]1C[C@H](O)CC1(C#N)CC1 ZINC000599364264 357910115 /nfs/dbraw/zinc/91/01/15/357910115.db2.gz JWQUDRNUPLBSEU-GDBMZVCRSA-N 1 2 313.401 1.523 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)Nc1cc(C#N)cn1C(C)(C)C ZINC000599782410 358057698 /nfs/dbraw/zinc/05/76/98/358057698.db2.gz BHFOFMPKPSVXFH-CYBMUJFWSA-N 1 2 319.409 1.567 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)Nc1cc(C#N)cn1C(C)(C)C ZINC000599782410 358057700 /nfs/dbraw/zinc/05/77/00/358057700.db2.gz BHFOFMPKPSVXFH-CYBMUJFWSA-N 1 2 319.409 1.567 20 30 DDEDLO Cn1cc(C[NH2+][C@@H]2CS(=O)(=O)c3ccccc32)cc1C#N ZINC000276638026 213016383 /nfs/dbraw/zinc/01/63/83/213016383.db2.gz QBZJVHUQFGVHLX-CQSZACIVSA-N 1 2 301.371 1.515 20 30 DDEDLO N#Cc1cc(N2CCN(c3cccc[nH+]3)CC2)nc(C2CC2)n1 ZINC000601099632 358402007 /nfs/dbraw/zinc/40/20/07/358402007.db2.gz CARHVEVNLMBQRR-UHFFFAOYSA-N 1 2 306.373 1.947 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)S(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000601532350 358591362 /nfs/dbraw/zinc/59/13/62/358591362.db2.gz VVLJMYOYFVAQBD-UHFFFAOYSA-N 1 2 304.375 1.438 20 30 DDEDLO C=CCOC[C@@H](NC(=O)c1ccn2cc(C)[nH+]c2c1)C(=O)OC ZINC000602143799 358843618 /nfs/dbraw/zinc/84/36/18/358843618.db2.gz UWCSSFPOEOOJSL-CYBMUJFWSA-N 1 2 317.345 1.117 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](CCc3ccncc3)CC2)CC2(CC2)C1 ZINC000602198235 358878370 /nfs/dbraw/zinc/87/83/70/358878370.db2.gz JRXGBCAYQPPJPQ-UHFFFAOYSA-N 1 2 324.428 1.852 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@H]1COc2cc(F)ccc2C1 ZINC000602333143 358934495 /nfs/dbraw/zinc/93/44/95/358934495.db2.gz LSIHKPHYOHOTJC-MLGOLLRUSA-N 1 2 305.353 1.337 20 30 DDEDLO CS(=O)(=O)C[C@H]([NH2+]Cc1cccc(C#N)n1)c1ccccc1 ZINC000602618463 359078027 /nfs/dbraw/zinc/07/80/27/359078027.db2.gz UBUSCULSQQZWRX-INIZCTEOSA-N 1 2 315.398 1.829 20 30 DDEDLO C=CCOC[C@H]([NH2+][C@@H]1CCc2cccnc2CC1)C(=O)OC ZINC000602644189 359095048 /nfs/dbraw/zinc/09/50/48/359095048.db2.gz WPLFEYDOEUVTJM-ZBFHGGJFSA-N 1 2 304.390 1.663 20 30 DDEDLO COC(=O)c1cc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)cs1 ZINC000602851650 359238992 /nfs/dbraw/zinc/23/89/92/359238992.db2.gz WSNJYWOSURSYAX-TXEJJXNPSA-N 1 2 307.419 1.953 20 30 DDEDLO COC(=O)c1cc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)cs1 ZINC000602851650 359238997 /nfs/dbraw/zinc/23/89/97/359238997.db2.gz WSNJYWOSURSYAX-TXEJJXNPSA-N 1 2 307.419 1.953 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)Nc1cccc(N2CCCC2=O)c1 ZINC000602862748 359247460 /nfs/dbraw/zinc/24/74/60/359247460.db2.gz JREUMYJEFVYESK-GFCCVEGCSA-N 1 2 300.362 1.644 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)CC#N)C(=O)N1CCN(c2ccccn2)CC1 ZINC000602867796 359252142 /nfs/dbraw/zinc/25/21/42/359252142.db2.gz DXZFEJMWAOUXKO-UONOGXRCSA-N 1 2 301.394 1.010 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@H+](C)[C@H]2CCC[C@H]2C#N)CCCCC1 ZINC000602980246 359336974 /nfs/dbraw/zinc/33/69/74/359336974.db2.gz NTNLJSDIICKNNB-KBPBESRZSA-N 1 2 321.421 1.603 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@@H+](C)[C@H]2CCC[C@H]2C#N)CCCCC1 ZINC000602980246 359336980 /nfs/dbraw/zinc/33/69/80/359336980.db2.gz NTNLJSDIICKNNB-KBPBESRZSA-N 1 2 321.421 1.603 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1C[C@@H](CO)[C@H](C(F)(F)F)C1 ZINC000602987602 359340196 /nfs/dbraw/zinc/34/01/96/359340196.db2.gz LVLPNOJHCNFKRO-DMDPSCGWSA-N 1 2 321.343 1.143 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](CO)[C@H](C(F)(F)F)C1 ZINC000602987602 359340198 /nfs/dbraw/zinc/34/01/98/359340198.db2.gz LVLPNOJHCNFKRO-DMDPSCGWSA-N 1 2 321.343 1.143 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2csc(C(=O)OCC)n2)nn1 ZINC000603202069 359476514 /nfs/dbraw/zinc/47/65/14/359476514.db2.gz SYXFHUZUJNNZCD-UHFFFAOYSA-N 1 2 319.390 1.073 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N[C@@H]2CC[C@H](C#N)C2)C1 ZINC000603363661 359594120 /nfs/dbraw/zinc/59/41/20/359594120.db2.gz NMMIMFOMUDWCBL-GXTWGEPZSA-N 1 2 319.430 1.645 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N[C@@H]2CC[C@H](C#N)C2)C1 ZINC000603363661 359594124 /nfs/dbraw/zinc/59/41/24/359594124.db2.gz NMMIMFOMUDWCBL-GXTWGEPZSA-N 1 2 319.430 1.645 20 30 DDEDLO COc1cc(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)ccc1C#N ZINC000565777948 304091362 /nfs/dbraw/zinc/09/13/62/304091362.db2.gz NJGKUWNFXJEFBD-CQSZACIVSA-N 1 2 310.357 1.642 20 30 DDEDLO C[C@H](CNC(=O)c1c[nH]c2cccnc12)[NH+]1CCN(C)CC1 ZINC000329861131 223039042 /nfs/dbraw/zinc/03/90/42/223039042.db2.gz QBAUTCDVKKDUEF-GFCCVEGCSA-N 1 2 301.394 1.503 20 30 DDEDLO O=C(NC[C@H]1CCc2[nH+]ccn2C1)N1CCc2cncnc2C1 ZINC000329906541 223044698 /nfs/dbraw/zinc/04/46/98/223044698.db2.gz SGFDFSKQRKVTQI-GFCCVEGCSA-N 1 2 312.377 1.208 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000329909346 223045100 /nfs/dbraw/zinc/04/51/00/223045100.db2.gz MLSZXIPOJIOVHC-IPYPFGDCSA-N 1 2 311.426 1.120 20 30 DDEDLO N#CCN1CCC(NC(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)CC1 ZINC000609340280 360297817 /nfs/dbraw/zinc/29/78/17/360297817.db2.gz NDQXGYCNJDBVLP-CQSZACIVSA-N 1 2 316.409 1.634 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N[C@@H]2CCCC[C@H]2C)CC1 ZINC000609485633 360312376 /nfs/dbraw/zinc/31/23/76/360312376.db2.gz UETMCMMBZVVCAI-ZACQAIPSSA-N 1 2 320.481 1.847 20 30 DDEDLO CCOCCCNC(=O)[C@@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609486662 360313607 /nfs/dbraw/zinc/31/36/07/360313607.db2.gz OUIAKGHSWLKCTF-CVEARBPZSA-N 1 2 324.469 1.083 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NCC[NH+]1CCN(C)CC1 ZINC000610245435 360396682 /nfs/dbraw/zinc/39/66/82/360396682.db2.gz VJARFRCMQYLDSP-UHFFFAOYSA-N 1 2 309.417 1.496 20 30 DDEDLO Cn1c[nH+]cc1CN1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000610581138 360476008 /nfs/dbraw/zinc/47/60/08/360476008.db2.gz PWGZVTDIYRGBSX-UHFFFAOYSA-N 1 2 312.377 1.479 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@H]([C@H]2CCCO2)C1 ZINC000610682628 360505635 /nfs/dbraw/zinc/50/56/35/360505635.db2.gz WFZBJQJCLCBFAR-PNBKFKSVSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@H]([C@H]2CCCO2)C1 ZINC000610682628 360505640 /nfs/dbraw/zinc/50/56/40/360505640.db2.gz WFZBJQJCLCBFAR-PNBKFKSVSA-N 1 2 323.437 1.309 20 30 DDEDLO C[N@H+](Cc1ccnc(C#N)c1)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000610994990 360594494 /nfs/dbraw/zinc/59/44/94/360594494.db2.gz QSVAIOQNOCQIGH-GJZGRUSLSA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1ccnc(C#N)c1)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000610994990 360594498 /nfs/dbraw/zinc/59/44/98/360594498.db2.gz QSVAIOQNOCQIGH-GJZGRUSLSA-N 1 2 307.419 1.741 20 30 DDEDLO CC(C)CCC[C@@H](C)NC(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611173676 360646633 /nfs/dbraw/zinc/64/66/33/360646633.db2.gz GDAWDWCBDKDIJN-MRXNPFEDSA-N 1 2 308.470 1.849 20 30 DDEDLO C[C@@H](c1ccccc1)N(C)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611175428 360648044 /nfs/dbraw/zinc/64/80/44/360648044.db2.gz CGOJHAPGWYDWOT-INIZCTEOSA-N 1 2 314.433 1.737 20 30 DDEDLO C[C@@H](C(=O)NCC1CCCCC1)[NH+]1CCN(CCC#N)CC1 ZINC000611175642 360649288 /nfs/dbraw/zinc/64/92/88/360649288.db2.gz CDZXXBVFOICCBB-HNNXBMFYSA-N 1 2 306.454 1.603 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)N1CCC(N2CCCCC2=O)CC1 ZINC000330096522 223073438 /nfs/dbraw/zinc/07/34/38/223073438.db2.gz XNKNLFVSDMUUPT-UHFFFAOYSA-N 1 2 319.409 1.311 20 30 DDEDLO CCCNC(=O)C[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000611551771 360756269 /nfs/dbraw/zinc/75/62/69/360756269.db2.gz TWNMBFBKKNSTNO-INIZCTEOSA-N 1 2 318.396 1.534 20 30 DDEDLO N#CC1(Cn2nnc([C@@H]3C[N@H+](Cc4ccccc4)CCO3)n2)CC1 ZINC000611492218 360734535 /nfs/dbraw/zinc/73/45/35/360734535.db2.gz WGZWGXOOWXVYNG-HNNXBMFYSA-N 1 2 324.388 1.550 20 30 DDEDLO N#CC1(Cn2nnc([C@@H]3C[N@@H+](Cc4ccccc4)CCO3)n2)CC1 ZINC000611492218 360734542 /nfs/dbraw/zinc/73/45/42/360734542.db2.gz WGZWGXOOWXVYNG-HNNXBMFYSA-N 1 2 324.388 1.550 20 30 DDEDLO C[C@H]1OCC[C@H]1NC(=O)N[C@@H]1CCO[C@@H](c2c[nH+]cn2C)C1 ZINC000330206329 223087294 /nfs/dbraw/zinc/08/72/94/223087294.db2.gz DEJQSVBCNQDQJK-HKUMRIAESA-N 1 2 308.382 1.321 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N1CCC[C@@](C)(C#N)C1)[NH+]1CCOCC1 ZINC000612131984 360928066 /nfs/dbraw/zinc/92/80/66/360928066.db2.gz IUEQEZWGTBIXSE-LZWOXQAQSA-N 1 2 308.426 1.431 20 30 DDEDLO C[C@]1(CNC([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)CCCC[C@H]1O ZINC000330313012 223102566 /nfs/dbraw/zinc/10/25/66/223102566.db2.gz WWRKFTLZAYTVKX-XJKCOSOUSA-N 1 2 306.410 1.643 20 30 DDEDLO C[C@]1(C[NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)CCCC[C@H]1O ZINC000330313012 223102568 /nfs/dbraw/zinc/10/25/68/223102568.db2.gz WWRKFTLZAYTVKX-XJKCOSOUSA-N 1 2 306.410 1.643 20 30 DDEDLO C[C@H](CC#N)N(C)S(=O)(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000330431293 223115183 /nfs/dbraw/zinc/11/51/83/223115183.db2.gz WDHSISWRQHEZNN-TZMCWYRMSA-N 1 2 324.406 1.013 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(C(=O)C(=O)NC2CCCCCC2)CC1 ZINC000332364216 223212972 /nfs/dbraw/zinc/21/29/72/223212972.db2.gz XEFVEAVJDMYWNE-UHFFFAOYSA-N 1 2 320.437 1.272 20 30 DDEDLO N#CC1CCC(CNC(=O)N[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC000333046272 223217152 /nfs/dbraw/zinc/21/71/52/223217152.db2.gz KMKAVBOSXHAPIA-RUXDESIVSA-N 1 2 301.394 1.827 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)[C@H](C2CC2)[NH+]2CCCC2)CCS1(=O)=O ZINC000329128849 291264659 /nfs/dbraw/zinc/26/46/59/291264659.db2.gz VQLXEOFHLFVGSH-DRZSPHRISA-N 1 2 300.424 1.393 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@H](C)C(=O)NCC(F)(F)F)CC1 ZINC000342001219 223299228 /nfs/dbraw/zinc/29/92/28/223299228.db2.gz ZFFTWVXBMLVQTE-SNVBAGLBSA-N 1 2 307.316 1.306 20 30 DDEDLO C=C[C@H](C(=O)OC)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000619700203 364120190 /nfs/dbraw/zinc/12/01/90/364120190.db2.gz ZSKFWWNEYXKBEP-OAHLLOKOSA-N 1 2 314.389 1.180 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@H](c2nnc3ccccn32)C1 ZINC000619718373 364129488 /nfs/dbraw/zinc/12/94/88/364129488.db2.gz HYTJCMRRWAVENJ-STQMWFEESA-N 1 2 300.362 1.636 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@H](c2nnc3ccccn32)C1 ZINC000619718373 364129495 /nfs/dbraw/zinc/12/94/95/364129495.db2.gz HYTJCMRRWAVENJ-STQMWFEESA-N 1 2 300.362 1.636 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCN(c3ccc(O)cc3)CC2)CCC1 ZINC000346877465 223361171 /nfs/dbraw/zinc/36/11/71/223361171.db2.gz XMPMKQLWHGYSFN-UHFFFAOYSA-N 1 2 314.389 1.077 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C1 ZINC000267426469 206058950 /nfs/dbraw/zinc/05/89/50/206058950.db2.gz VRIBOBYRKOFACB-NSHDSACASA-N 1 2 321.402 1.670 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C1 ZINC000267426469 206058955 /nfs/dbraw/zinc/05/89/55/206058955.db2.gz VRIBOBYRKOFACB-NSHDSACASA-N 1 2 321.402 1.670 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000267608229 206170643 /nfs/dbraw/zinc/17/06/43/206170643.db2.gz NDMVLYYDWQMFJA-VXGBXAGGSA-N 1 2 319.386 1.220 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000267608229 206170645 /nfs/dbraw/zinc/17/06/45/206170645.db2.gz NDMVLYYDWQMFJA-VXGBXAGGSA-N 1 2 319.386 1.220 20 30 DDEDLO C[N@H+](CCO)CC(=O)Nc1sc2c(c1C#N)CCCCC2 ZINC000267906091 206331777 /nfs/dbraw/zinc/33/17/77/206331777.db2.gz KSSJXXJPDBVRLK-UHFFFAOYSA-N 1 2 307.419 1.751 20 30 DDEDLO C[N@@H+](CCO)CC(=O)Nc1sc2c(c1C#N)CCCCC2 ZINC000267906091 206331781 /nfs/dbraw/zinc/33/17/81/206331781.db2.gz KSSJXXJPDBVRLK-UHFFFAOYSA-N 1 2 307.419 1.751 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(F)ccc(F)c2OC)CC1 ZINC000267964984 206364736 /nfs/dbraw/zinc/36/47/36/206364736.db2.gz NGEQCMXBZQYTOY-UHFFFAOYSA-N 1 2 308.328 1.755 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1-n1cc[nH+]c1)n1cnc(C#N)n1 ZINC000336896382 249284188 /nfs/dbraw/zinc/28/41/88/249284188.db2.gz RUOAIUWMOLABHX-NSHDSACASA-N 1 2 307.317 1.535 20 30 DDEDLO C[C@H](NC(=O)Nc1cccc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000104774553 194037697 /nfs/dbraw/zinc/03/76/97/194037697.db2.gz JYWPCOSQUXAKEZ-STQMWFEESA-N 1 2 302.378 1.789 20 30 DDEDLO COCCC(=O)N1CCC(/[NH+]=C/c2cccc(OC)c2O)CC1 ZINC000128483067 284839018 /nfs/dbraw/zinc/83/90/18/284839018.db2.gz FCFCAGKBTQOMHC-LDADJPATSA-N 1 2 320.389 1.847 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NC[C@@H](C)[NH+]1CCOCC1 ZINC000058452934 184119564 /nfs/dbraw/zinc/11/95/64/184119564.db2.gz RMRWDBFIPBSKTR-CYBMUJFWSA-N 1 2 302.378 1.709 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc([N+](=O)[O-])c(C)s2)CC1 ZINC000064443903 184297214 /nfs/dbraw/zinc/29/72/14/184297214.db2.gz HAWBRWCQFRCBJV-UHFFFAOYSA-N 1 2 307.375 1.746 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)N(C)c2ccccc2)CC1 ZINC000341982274 533109631 /nfs/dbraw/zinc/10/96/31/533109631.db2.gz AEELCAQYDFUEQW-UHFFFAOYSA-N 1 2 301.390 1.902 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](CC(N)=O)C(C)(C)C)c(C#N)c1C ZINC000157300658 197178175 /nfs/dbraw/zinc/17/81/75/197178175.db2.gz JESNUDCCXKVCIV-UHFFFAOYSA-N 1 2 306.366 1.292 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](CC(N)=O)C(C)(C)C)c(C#N)c1C ZINC000157300658 197178177 /nfs/dbraw/zinc/17/81/77/197178177.db2.gz JESNUDCCXKVCIV-UHFFFAOYSA-N 1 2 306.366 1.292 20 30 DDEDLO C[C@@H](CC(=O)N1CCN(c2ncccc2C#N)CC1)n1cc[nH+]c1 ZINC000568012262 304261860 /nfs/dbraw/zinc/26/18/60/304261860.db2.gz OCQMGLPOCFCFNK-AWEZNQCLSA-N 1 2 324.388 1.450 20 30 DDEDLO COc1cc([N+](=O)[O-])ccc1NC(=O)C[NH2+][C@H]1CCC[C@H]1C#N ZINC000459457284 533137977 /nfs/dbraw/zinc/13/79/77/533137977.db2.gz DCUMOJSPDLMHEK-JQWIXIFHSA-N 1 2 318.333 1.824 20 30 DDEDLO N#Cc1cnc(NC[C@H]2C[N@H+](Cc3ccccc3)CCO2)cn1 ZINC000120543413 195187911 /nfs/dbraw/zinc/18/79/11/195187911.db2.gz DNCWMFGXQRORGY-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1cnc(NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)cn1 ZINC000120543413 195187913 /nfs/dbraw/zinc/18/79/13/195187913.db2.gz DNCWMFGXQRORGY-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO C#CCSCCNC(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000271577080 209027216 /nfs/dbraw/zinc/02/72/16/209027216.db2.gz BGTRPIMLZWZRTG-UHFFFAOYSA-N 1 2 316.386 1.064 20 30 DDEDLO COc1ccc(C(C)=O)cc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000414128760 224302707 /nfs/dbraw/zinc/30/27/07/224302707.db2.gz SPSPSKZDNWYJFF-CQSZACIVSA-N 1 2 306.362 1.483 20 30 DDEDLO COc1ccc(C(C)=O)cc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000414128760 224302710 /nfs/dbraw/zinc/30/27/10/224302710.db2.gz SPSPSKZDNWYJFF-CQSZACIVSA-N 1 2 306.362 1.483 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNC(=O)c2scnc2C)C1 ZINC000330602951 533505363 /nfs/dbraw/zinc/50/53/63/533505363.db2.gz JJGXUPWNHXSBCM-LLVKDONJSA-N 1 2 311.407 1.641 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNC(=O)c2scnc2C)C1 ZINC000330602951 533505367 /nfs/dbraw/zinc/50/53/67/533505367.db2.gz JJGXUPWNHXSBCM-LLVKDONJSA-N 1 2 311.407 1.641 20 30 DDEDLO N#Cc1ccccc1N1CCC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC000363397291 134834667 /nfs/dbraw/zinc/83/46/67/134834667.db2.gz KRPWFVHPLVWBMS-MRXNPFEDSA-N 1 2 323.400 1.930 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@H+]2CCCO[C@H](CF)C2)C1=O ZINC000570024085 304389881 /nfs/dbraw/zinc/38/98/81/304389881.db2.gz BTIOGEDLABHUQU-CHWSQXEVSA-N 1 2 323.393 1.785 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@@H+]2CCCO[C@H](CF)C2)C1=O ZINC000570024085 304389883 /nfs/dbraw/zinc/38/98/83/304389883.db2.gz BTIOGEDLABHUQU-CHWSQXEVSA-N 1 2 323.393 1.785 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N1CC(Oc2ccc(C#N)cc2)C1 ZINC000186035171 407565170 /nfs/dbraw/zinc/56/51/70/407565170.db2.gz NJFXWMVZBLHSIH-UHFFFAOYSA-N 1 2 306.329 1.503 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[NH+](C(C)C)CC2)cc1C#N ZINC000074508550 406913931 /nfs/dbraw/zinc/91/39/31/406913931.db2.gz NYRLNEKFKZXKGR-UHFFFAOYSA-N 1 2 320.418 1.547 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc3n(c2=S)CCCCC3)CC1 ZINC000029436344 406923671 /nfs/dbraw/zinc/92/36/71/406923671.db2.gz OTXSLQOHZLDOCO-UHFFFAOYSA-N 1 2 305.451 1.349 20 30 DDEDLO C=CC[N@@H+](Cc1ccccc1N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000078048182 407017304 /nfs/dbraw/zinc/01/73/04/407017304.db2.gz UXOFCNBLMQRVMI-HNNXBMFYSA-N 1 2 308.447 1.928 20 30 DDEDLO C=CC[N@H+](Cc1ccccc1N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000078048182 407017306 /nfs/dbraw/zinc/01/73/06/407017306.db2.gz UXOFCNBLMQRVMI-HNNXBMFYSA-N 1 2 308.447 1.928 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@H+](C)CC(=O)N(C)C ZINC000078721059 407042016 /nfs/dbraw/zinc/04/20/16/407042016.db2.gz MBCHPMKZLVQUBG-CQSZACIVSA-N 1 2 316.405 1.342 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+](C)CC(=O)N(C)C ZINC000078721059 407042018 /nfs/dbraw/zinc/04/20/18/407042018.db2.gz MBCHPMKZLVQUBG-CQSZACIVSA-N 1 2 316.405 1.342 20 30 DDEDLO COc1cccc(CNC(=O)C[N@H+](CCC#N)CC2CC2)c1 ZINC000081061793 407075118 /nfs/dbraw/zinc/07/51/18/407075118.db2.gz WIWVTAJTZGSMDK-UHFFFAOYSA-N 1 2 301.390 1.937 20 30 DDEDLO COc1cccc(CNC(=O)C[N@@H+](CCC#N)CC2CC2)c1 ZINC000081061793 407075120 /nfs/dbraw/zinc/07/51/20/407075120.db2.gz WIWVTAJTZGSMDK-UHFFFAOYSA-N 1 2 301.390 1.937 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCO[C@@H](CC(=O)OC)C2)cc1 ZINC000093458554 407198431 /nfs/dbraw/zinc/19/84/31/407198431.db2.gz ODPBKMJBWSDUGP-KRWDZBQOSA-N 1 2 317.385 1.853 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCO[C@@H](CC(=O)OC)C2)cc1 ZINC000093458554 407198435 /nfs/dbraw/zinc/19/84/35/407198435.db2.gz ODPBKMJBWSDUGP-KRWDZBQOSA-N 1 2 317.385 1.853 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[NH+](Cc3cccc(F)c3)CC2)c1 ZINC000178587181 407502689 /nfs/dbraw/zinc/50/26/89/407502689.db2.gz PVHMIVSRQNLPJO-UHFFFAOYSA-N 1 2 312.348 1.983 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+][C@@](C)(C(N)=O)c1ccccc1 ZINC000170645130 407463416 /nfs/dbraw/zinc/46/34/16/407463416.db2.gz ATTCQQVLQQDXBN-QGZVFWFLSA-N 1 2 301.390 1.177 20 30 DDEDLO C#CCSCCNC(=O)N[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000128321534 407523162 /nfs/dbraw/zinc/52/31/62/407523162.db2.gz QVBNRCKGUBQVLL-CQSZACIVSA-N 1 2 318.446 1.716 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000266867630 407657221 /nfs/dbraw/zinc/65/72/21/407657221.db2.gz NQWRJTCLEUFGBE-CYBMUJFWSA-N 1 2 300.406 1.501 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2cccnc2-n2cc[nH+]c2)cn1 ZINC000115328507 407669335 /nfs/dbraw/zinc/66/93/35/407669335.db2.gz DAAZZVZVKQSXGQ-UHFFFAOYSA-N 1 2 304.313 1.464 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)C[N@H+]1CCCOc1ccc(C#N)cc1 ZINC000116282454 407738106 /nfs/dbraw/zinc/73/81/06/407738106.db2.gz DRAPJQKWJUEPFR-HZPDHXFCSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)C[N@@H+]1CCCOc1ccc(C#N)cc1 ZINC000116282454 407738111 /nfs/dbraw/zinc/73/81/11/407738111.db2.gz DRAPJQKWJUEPFR-HZPDHXFCSA-N 1 2 318.373 1.589 20 30 DDEDLO N#CC1(C(=O)NC[C@@]2([NH+]3CCOCC3)CCSC2)CCC1 ZINC000134667971 407924263 /nfs/dbraw/zinc/92/42/63/407924263.db2.gz YUHPFXFNPRBBHE-HNNXBMFYSA-N 1 2 309.435 1.004 20 30 DDEDLO COC(=O)C[N@H+](CC#Cc1ccc(F)cc1)C1CCOCC1 ZINC000154167788 407987813 /nfs/dbraw/zinc/98/78/13/407987813.db2.gz XPKDHAGKDCPTND-UHFFFAOYSA-N 1 2 305.349 1.831 20 30 DDEDLO COC(=O)C[N@@H+](CC#Cc1ccc(F)cc1)C1CCOCC1 ZINC000154167788 407987815 /nfs/dbraw/zinc/98/78/15/407987815.db2.gz XPKDHAGKDCPTND-UHFFFAOYSA-N 1 2 305.349 1.831 20 30 DDEDLO CCCn1cc(CN(CCC#N)CC[NH+]2CCOCC2)cn1 ZINC000154747296 408077631 /nfs/dbraw/zinc/07/76/31/408077631.db2.gz GPEXUIFFOQRQIA-UHFFFAOYSA-N 1 2 305.426 1.341 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)NCCCC#N)CC1 ZINC000273146755 408080056 /nfs/dbraw/zinc/08/00/56/408080056.db2.gz WTDMCOBEWFNDLZ-AWEZNQCLSA-N 1 2 301.394 1.774 20 30 DDEDLO COCCO[C@H]1CC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000189736897 408097370 /nfs/dbraw/zinc/09/73/70/408097370.db2.gz SBVRWECYTBFVCY-ZDUSSCGKSA-N 1 2 321.377 1.444 20 30 DDEDLO COCCO[C@H]1CC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000189736897 408097373 /nfs/dbraw/zinc/09/73/73/408097373.db2.gz SBVRWECYTBFVCY-ZDUSSCGKSA-N 1 2 321.377 1.444 20 30 DDEDLO C=C(C)C[N@@H+](CC)[C@H](C)C(=O)N(CC)[C@H]1CCS(=O)(=O)C1 ZINC000246143001 408154721 /nfs/dbraw/zinc/15/47/21/408154721.db2.gz FRXHWDPKIJXOHJ-KGLIPLIRSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)C[N@H+](CC)[C@H](C)C(=O)N(CC)[C@H]1CCS(=O)(=O)C1 ZINC000246143001 408154728 /nfs/dbraw/zinc/15/47/28/408154728.db2.gz FRXHWDPKIJXOHJ-KGLIPLIRSA-N 1 2 316.467 1.309 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC(C)(C)c1noc(C[NH+](C)C)n1 ZINC000121235430 408168554 /nfs/dbraw/zinc/16/85/54/408168554.db2.gz FLSSGCUXAMGGNL-LLVKDONJSA-N 1 2 310.398 1.464 20 30 DDEDLO C[C@H](O[NH+]=C(N)CCO)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC000121409196 408204667 /nfs/dbraw/zinc/20/46/67/408204667.db2.gz UUZDARCEKDQXGV-ZETCQYMHSA-N 1 2 320.176 1.992 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCCN(CC(F)(F)F)CC1 ZINC000155880071 408221733 /nfs/dbraw/zinc/22/17/33/408221733.db2.gz FASOJCBAKYBFNQ-GFCCVEGCSA-N 1 2 320.359 1.317 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCCN(CC(F)(F)F)CC1 ZINC000155880071 408221736 /nfs/dbraw/zinc/22/17/36/408221736.db2.gz FASOJCBAKYBFNQ-GFCCVEGCSA-N 1 2 320.359 1.317 20 30 DDEDLO CC1(C)C[N@H+](CCNc2ncc([N+](=O)[O-])cc2C#N)CCO1 ZINC000263728491 408225851 /nfs/dbraw/zinc/22/58/51/408225851.db2.gz POEIWPZFIQMGOV-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO CC1(C)C[N@@H+](CCNc2ncc([N+](=O)[O-])cc2C#N)CCO1 ZINC000263728491 408225858 /nfs/dbraw/zinc/22/58/58/408225858.db2.gz POEIWPZFIQMGOV-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO C=CCN(C)C(=O)C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000121549882 408236237 /nfs/dbraw/zinc/23/62/37/408236237.db2.gz APFMVNSJIQCYCZ-UHFFFAOYSA-N 1 2 317.389 1.102 20 30 DDEDLO CCCCC[N@H+](CCO)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156746850 408272740 /nfs/dbraw/zinc/27/27/40/408272740.db2.gz OAMAXFVSQGORLA-UHFFFAOYSA-N 1 2 324.446 1.816 20 30 DDEDLO CCCCC[N@@H+](CCO)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156746850 408272746 /nfs/dbraw/zinc/27/27/46/408272746.db2.gz OAMAXFVSQGORLA-UHFFFAOYSA-N 1 2 324.446 1.816 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@H+](CC)C[C@H](C)C#N)C1 ZINC000157634156 408309233 /nfs/dbraw/zinc/30/92/33/408309233.db2.gz KVIQPMKEHLTOMH-KGLIPLIRSA-N 1 2 309.410 1.270 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@@H+](CC)C[C@H](C)C#N)C1 ZINC000157634156 408309237 /nfs/dbraw/zinc/30/92/37/408309237.db2.gz KVIQPMKEHLTOMH-KGLIPLIRSA-N 1 2 309.410 1.270 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](C)[C@H]1CCCN(c2ccccc2)C1=O ZINC000265206352 408580875 /nfs/dbraw/zinc/58/08/75/408580875.db2.gz KTQWLQUJTGMLHV-HOCLYGCPSA-N 1 2 313.401 1.252 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](C)[C@H]1CCCN(c2ccccc2)C1=O ZINC000265206352 408580878 /nfs/dbraw/zinc/58/08/78/408580878.db2.gz KTQWLQUJTGMLHV-HOCLYGCPSA-N 1 2 313.401 1.252 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3ccnnc3)CC2)cc1 ZINC000270448241 408540887 /nfs/dbraw/zinc/54/08/87/408540887.db2.gz OXNPZKBGPZTQNH-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO C#CC[C@@H](NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C)c1ccccc1 ZINC000270470938 408542225 /nfs/dbraw/zinc/54/22/25/408542225.db2.gz VLCPXMHDMIDLSR-DLBZAZTESA-N 1 2 314.433 1.296 20 30 DDEDLO C#CC[C@@H](NC(=O)NC[C@H]1CN(C)CC[N@H+]1C)c1ccccc1 ZINC000270470938 408542231 /nfs/dbraw/zinc/54/22/31/408542231.db2.gz VLCPXMHDMIDLSR-DLBZAZTESA-N 1 2 314.433 1.296 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)Nc2ccc(F)c(C#N)c2)C1 ZINC000265500654 408675908 /nfs/dbraw/zinc/67/59/08/408675908.db2.gz FLGXNCUJJUBXCS-ZDUSSCGKSA-N 1 2 305.357 1.065 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)Nc2ccc(F)c(C#N)c2)C1 ZINC000265500654 408675911 /nfs/dbraw/zinc/67/59/11/408675911.db2.gz FLGXNCUJJUBXCS-ZDUSSCGKSA-N 1 2 305.357 1.065 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)[C@@H](C)C(=O)N(C)C(C)C)c1 ZINC000177658169 408680016 /nfs/dbraw/zinc/68/00/16/408680016.db2.gz PPWLTLACPKOUEM-AWEZNQCLSA-N 1 2 315.417 1.794 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)[C@@H](C)C(=O)N(C)C(C)C)c1 ZINC000177658169 408680023 /nfs/dbraw/zinc/68/00/23/408680023.db2.gz PPWLTLACPKOUEM-AWEZNQCLSA-N 1 2 315.417 1.794 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](CC)CC(=O)Nc1c(C)cccc1C ZINC000163430761 408777444 /nfs/dbraw/zinc/77/74/44/408777444.db2.gz RXEWWKYBCDRYAQ-OAHLLOKOSA-N 1 2 315.417 1.702 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](CC)CC(=O)Nc1c(C)cccc1C ZINC000163430761 408777446 /nfs/dbraw/zinc/77/74/46/408777446.db2.gz RXEWWKYBCDRYAQ-OAHLLOKOSA-N 1 2 315.417 1.702 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NCc2sccc2C)C1=O ZINC000281301448 408878688 /nfs/dbraw/zinc/87/86/88/408878688.db2.gz JWYZLGURNAWESX-ZDUSSCGKSA-N 1 2 321.446 1.391 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NCc2sccc2C)C1=O ZINC000281301448 408878691 /nfs/dbraw/zinc/87/86/91/408878691.db2.gz JWYZLGURNAWESX-ZDUSSCGKSA-N 1 2 321.446 1.391 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N(CC)CC(=C)C)C1=O ZINC000281362923 408882619 /nfs/dbraw/zinc/88/26/19/408882619.db2.gz NWWPAUSXGVQONG-CABCVRRESA-N 1 2 307.438 1.518 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N(CC)CC(=C)C)C1=O ZINC000281362923 408882622 /nfs/dbraw/zinc/88/26/22/408882622.db2.gz NWWPAUSXGVQONG-CABCVRRESA-N 1 2 307.438 1.518 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)NCc2cccs2)C1=O ZINC000281407844 408884632 /nfs/dbraw/zinc/88/46/32/408884632.db2.gz QXHDYYCMZOFKMK-GXTWGEPZSA-N 1 2 321.446 1.472 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)NCc2cccs2)C1=O ZINC000281407844 408884633 /nfs/dbraw/zinc/88/46/33/408884633.db2.gz QXHDYYCMZOFKMK-GXTWGEPZSA-N 1 2 321.446 1.472 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NCc2cccc(C)c2)C1=O ZINC000281414638 408884852 /nfs/dbraw/zinc/88/48/52/408884852.db2.gz RKIBOTKSANQRSV-MRXNPFEDSA-N 1 2 315.417 1.330 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NCc2cccc(C)c2)C1=O ZINC000281414638 408884857 /nfs/dbraw/zinc/88/48/57/408884857.db2.gz RKIBOTKSANQRSV-MRXNPFEDSA-N 1 2 315.417 1.330 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000280963799 408866052 /nfs/dbraw/zinc/86/60/52/408866052.db2.gz ACVOFJUMBALTMP-KGLIPLIRSA-N 1 2 305.426 1.773 20 30 DDEDLO C=CCN1CC[C@H](N(C)c2cc(NCC3CC3)[nH+]cn2)C1=O ZINC000281730836 408918911 /nfs/dbraw/zinc/91/89/11/408918911.db2.gz DNZCSQUBVUYMGT-ZDUSSCGKSA-N 1 2 301.394 1.522 20 30 DDEDLO C=CCN1CC[C@H](N(C)c2cc(NCC3CC3)nc[nH+]2)C1=O ZINC000281730836 408918913 /nfs/dbraw/zinc/91/89/13/408918913.db2.gz DNZCSQUBVUYMGT-ZDUSSCGKSA-N 1 2 301.394 1.522 20 30 DDEDLO C=CCN1CC[C@@H](N(C)c2cc(NCCCC)[nH+]c(N)n2)C1=O ZINC000287623421 409066788 /nfs/dbraw/zinc/06/67/88/409066788.db2.gz GTZBLEANAIFUEW-GFCCVEGCSA-N 1 2 318.425 1.494 20 30 DDEDLO C=CCN1CC[C@@H](N(C)c2cc(NCCCC)nc(N)[nH+]2)C1=O ZINC000287623421 409066791 /nfs/dbraw/zinc/06/67/91/409066791.db2.gz GTZBLEANAIFUEW-GFCCVEGCSA-N 1 2 318.425 1.494 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000292485229 409031190 /nfs/dbraw/zinc/03/11/90/409031190.db2.gz QGXKVEMQLRTRHO-GOEBONIOSA-N 1 2 301.390 1.208 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000292485229 409031191 /nfs/dbraw/zinc/03/11/91/409031191.db2.gz QGXKVEMQLRTRHO-GOEBONIOSA-N 1 2 301.390 1.208 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(C)cccc2[N+](=O)[O-])CC1 ZINC000277942893 409038664 /nfs/dbraw/zinc/03/86/64/409038664.db2.gz GOCULXBRLORQTJ-UHFFFAOYSA-N 1 2 301.346 1.684 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](CCNC(C)=O)Cc2ccsc2)C1=O ZINC000282359844 409039573 /nfs/dbraw/zinc/03/95/73/409039573.db2.gz BGESRCFRNGTOSK-OAHLLOKOSA-N 1 2 321.446 1.473 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](CCNC(C)=O)Cc2ccsc2)C1=O ZINC000282359844 409039575 /nfs/dbraw/zinc/03/95/75/409039575.db2.gz BGESRCFRNGTOSK-OAHLLOKOSA-N 1 2 321.446 1.473 20 30 DDEDLO Cc1nn(-c2ccccn2)c(C)c1C[NH+]1CCN(CC#N)CC1 ZINC000282718425 409061919 /nfs/dbraw/zinc/06/19/19/409061919.db2.gz CASLNRAOWLVZRP-UHFFFAOYSA-N 1 2 310.405 1.525 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N(CCC#N)CCC(C)C ZINC000283077998 409082841 /nfs/dbraw/zinc/08/28/41/409082841.db2.gz NUMVMFFAKAAPIW-HNNXBMFYSA-N 1 2 319.409 1.245 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N(CCC#N)CCC(C)C ZINC000283077998 409082842 /nfs/dbraw/zinc/08/28/42/409082842.db2.gz NUMVMFFAKAAPIW-HNNXBMFYSA-N 1 2 319.409 1.245 20 30 DDEDLO CCCCCCCCNC(=O)C(=O)NCCCn1cc[nH+]c1 ZINC000283372033 409145553 /nfs/dbraw/zinc/14/55/53/409145553.db2.gz VPBRZJRXCBHIGJ-UHFFFAOYSA-N 1 2 308.426 1.866 20 30 DDEDLO C[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@@H](C)C1 ZINC000289165492 409227699 /nfs/dbraw/zinc/22/76/99/409227699.db2.gz DYPRJNNTZHAIFZ-STQMWFEESA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@@H](C)C1 ZINC000289165492 409227701 /nfs/dbraw/zinc/22/77/01/409227701.db2.gz DYPRJNNTZHAIFZ-STQMWFEESA-N 1 2 307.419 1.847 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[N@@H+](C)[C@H](Cc2ccccc2)C1 ZINC000284019694 409258993 /nfs/dbraw/zinc/25/89/93/409258993.db2.gz QKEQTRBLCZZWKY-MRXNPFEDSA-N 1 2 308.447 1.751 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[N@H+](C)[C@H](Cc2ccccc2)C1 ZINC000284019694 409258995 /nfs/dbraw/zinc/25/89/95/409258995.db2.gz QKEQTRBLCZZWKY-MRXNPFEDSA-N 1 2 308.447 1.751 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCc3cccc(C#N)c3)C[C@H]21 ZINC000284031658 409261180 /nfs/dbraw/zinc/26/11/80/409261180.db2.gz OGQMZFPZFRUEKP-HZPDHXFCSA-N 1 2 314.389 1.173 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCc3cccc(C#N)c3)C[C@H]21 ZINC000284031658 409261186 /nfs/dbraw/zinc/26/11/86/409261186.db2.gz OGQMZFPZFRUEKP-HZPDHXFCSA-N 1 2 314.389 1.173 20 30 DDEDLO C=C[C@@H](CO)[NH2+]Cc1ncc(I)s1 ZINC000289809682 409287039 /nfs/dbraw/zinc/28/70/39/409287039.db2.gz LLWPPQJHYIKKIO-LURJTMIESA-N 1 2 310.160 1.384 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC[NH+]1CCOCC1)c1ccccc1 ZINC000294664741 409297064 /nfs/dbraw/zinc/29/70/64/409297064.db2.gz IPPSJDKXKFPNPT-INIZCTEOSA-N 1 2 316.401 1.390 20 30 DDEDLO COC(=O)C[C@H](C)[NH+]1CCN(C(=O)c2cc(C#N)oc2C)CC1 ZINC000280595767 409371611 /nfs/dbraw/zinc/37/16/11/409371611.db2.gz IWWUOGMWYUMIDU-NSHDSACASA-N 1 2 319.361 1.169 20 30 DDEDLO CC(C)(C)OC(=O)N1CCN(CCCn2cc[nH+]c2)[C@H](C#N)C1 ZINC000285360615 409412372 /nfs/dbraw/zinc/41/23/72/409412372.db2.gz BNFJBLYESLFKOC-CQSZACIVSA-N 1 2 319.409 1.718 20 30 DDEDLO CCn1cc[nH+]c1CNS(=O)(=O)c1ccc(C#N)c(C)c1 ZINC000295414282 409473163 /nfs/dbraw/zinc/47/31/63/409473163.db2.gz HWODFGLOUSUBPF-UHFFFAOYSA-N 1 2 304.375 1.562 20 30 DDEDLO CN(C)S(=O)(=O)[C@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000285522991 409477072 /nfs/dbraw/zinc/47/70/72/409477072.db2.gz GTYZQBCTKUNERY-INIZCTEOSA-N 1 2 324.421 1.533 20 30 DDEDLO CN(C)S(=O)(=O)[C@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000285522991 409477077 /nfs/dbraw/zinc/47/70/77/409477077.db2.gz GTYZQBCTKUNERY-INIZCTEOSA-N 1 2 324.421 1.533 20 30 DDEDLO C=C(C)CNC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000356899391 409700499 /nfs/dbraw/zinc/70/04/99/409700499.db2.gz RVQHYOJIFLGOCM-CZUORRHYSA-N 1 2 318.421 1.802 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+][C@H]2COc3ccc(F)cc32)C(N)=O)cc1 ZINC000342455297 409647386 /nfs/dbraw/zinc/64/73/86/409647386.db2.gz HVQAXOPUPQKYTM-GOEBONIOSA-N 1 2 311.316 1.947 20 30 DDEDLO C=C[C@@H](CO)NC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000356840053 409649777 /nfs/dbraw/zinc/64/97/77/409649777.db2.gz SBPFSZMFKDGDSB-NSHDSACASA-N 1 2 302.290 1.057 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCC[N@H+](Cc2cccc(C#N)c2F)C1 ZINC000315083588 409834508 /nfs/dbraw/zinc/83/45/08/409834508.db2.gz NONIPBWBTNAFBV-GFCCVEGCSA-N 1 2 310.394 1.954 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCC[N@@H+](Cc2cccc(C#N)c2F)C1 ZINC000315083588 409834511 /nfs/dbraw/zinc/83/45/11/409834511.db2.gz NONIPBWBTNAFBV-GFCCVEGCSA-N 1 2 310.394 1.954 20 30 DDEDLO CC[N@@H+]1CCC[C@H](NC(=O)C(C)(C)S(=O)(=O)C2CC2)C1 ZINC000328816978 409985440 /nfs/dbraw/zinc/98/54/40/409985440.db2.gz OTRZIFYZUDCYMB-NSHDSACASA-N 1 2 302.440 1.783 20 30 DDEDLO CC[N@H+]1CCC[C@H](NC(=O)C(C)(C)S(=O)(=O)C2CC2)C1 ZINC000328816978 409985448 /nfs/dbraw/zinc/98/54/48/409985448.db2.gz OTRZIFYZUDCYMB-NSHDSACASA-N 1 2 302.440 1.783 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@H](n3ccc(NC(C)=O)n3)C2)n1 ZINC000328627395 409941420 /nfs/dbraw/zinc/94/14/20/409941420.db2.gz MTXYTYSPGFKFRO-NSHDSACASA-N 1 2 304.354 1.883 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@H](n3ccc(NC(C)=O)n3)C2)n1 ZINC000328627395 409941429 /nfs/dbraw/zinc/94/14/29/409941429.db2.gz MTXYTYSPGFKFRO-NSHDSACASA-N 1 2 304.354 1.883 20 30 DDEDLO CSC[C@H](C)NC(=O)N(CC1CC1)C[C@@H]1C[N@H+](C)CCO1 ZINC000328630933 409941934 /nfs/dbraw/zinc/94/19/34/409941934.db2.gz JXHHVVVYZFXKDR-JSGCOSHPSA-N 1 2 315.483 1.695 20 30 DDEDLO CSC[C@H](C)NC(=O)N(CC1CC1)C[C@@H]1C[N@@H+](C)CCO1 ZINC000328630933 409941941 /nfs/dbraw/zinc/94/19/41/409941941.db2.gz JXHHVVVYZFXKDR-JSGCOSHPSA-N 1 2 315.483 1.695 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CCNC(=O)[C@H]1C)CCC2 ZINC000328663644 409948483 /nfs/dbraw/zinc/94/84/83/409948483.db2.gz YBPMAAWEWVOIGZ-NEPJUHHUSA-N 1 2 305.382 1.644 20 30 DDEDLO Cc1cn2cc(NC(=O)C(=O)N(C)CC(C)(C)C#N)ccc2[nH+]1 ZINC000342935074 410010992 /nfs/dbraw/zinc/01/09/92/410010992.db2.gz QSDSCAXJFMFYEY-UHFFFAOYSA-N 1 2 313.361 1.589 20 30 DDEDLO Cc1noc([C@@H]2C[N@@H+](Cc3ccc(F)c(C#N)c3)CCN2C)n1 ZINC000332263347 410023941 /nfs/dbraw/zinc/02/39/41/410023941.db2.gz FHYBJKRCDBVPQN-HNNXBMFYSA-N 1 2 315.352 1.878 20 30 DDEDLO Cc1noc([C@@H]2C[N@H+](Cc3ccc(F)c(C#N)c3)CCN2C)n1 ZINC000332263347 410023948 /nfs/dbraw/zinc/02/39/48/410023948.db2.gz FHYBJKRCDBVPQN-HNNXBMFYSA-N 1 2 315.352 1.878 20 30 DDEDLO CC(C)N1C(=O)N[C@@H]2C[N@@H+](CC(=O)NC[C@@H]3CCCO3)CC[C@@H]21 ZINC000328995756 410043340 /nfs/dbraw/zinc/04/33/40/410043340.db2.gz FLCKQYQZCMFNMM-MJBXVCDLSA-N 1 2 324.425 1.203 20 30 DDEDLO CC(C)N1C(=O)N[C@@H]2C[N@H+](CC(=O)NC[C@@H]3CCCO3)CC[C@@H]21 ZINC000328995756 410043345 /nfs/dbraw/zinc/04/33/45/410043345.db2.gz FLCKQYQZCMFNMM-MJBXVCDLSA-N 1 2 324.425 1.203 20 30 DDEDLO CNC(=O)N1CC[NH+](Cc2cccc3c2OCCCO3)CC1 ZINC000329191086 410155791 /nfs/dbraw/zinc/15/57/91/410155791.db2.gz RIJLOVKAHJUEQH-UHFFFAOYSA-N 1 2 305.378 1.509 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)N[C@@H]2CCCOCC2)CC1 ZINC000329257925 410197477 /nfs/dbraw/zinc/19/74/77/410197477.db2.gz FCMQZOBPHMNQNJ-GFCCVEGCSA-N 1 2 312.414 1.401 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Cc2c(F)cccc2C#N)CCO1 ZINC000351807427 410251185 /nfs/dbraw/zinc/25/11/85/410251185.db2.gz HIYGFRADNQEZIQ-UHFFFAOYSA-N 1 2 319.380 1.467 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Cc2c(F)cccc2C#N)CCO1 ZINC000351807427 410251189 /nfs/dbraw/zinc/25/11/89/410251189.db2.gz HIYGFRADNQEZIQ-UHFFFAOYSA-N 1 2 319.380 1.467 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@@H](Oc3ccncc3)C2)CCC1 ZINC000329428223 410292940 /nfs/dbraw/zinc/29/29/40/410292940.db2.gz HANHOPDOQVBBDV-CQSZACIVSA-N 1 2 300.362 1.937 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@@H](Oc3ccncc3)C2)CCC1 ZINC000329428223 410292946 /nfs/dbraw/zinc/29/29/46/410292946.db2.gz HANHOPDOQVBBDV-CQSZACIVSA-N 1 2 300.362 1.937 20 30 DDEDLO CC(C)(C#N)CNC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000358626218 410499676 /nfs/dbraw/zinc/49/96/76/410499676.db2.gz KHXDKAXZYDGZOG-UHFFFAOYSA-N 1 2 301.394 1.459 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](c1ccn(C)n1)c1ccc(F)cc1 ZINC000359226113 410579775 /nfs/dbraw/zinc/57/97/75/410579775.db2.gz UROMMQKDWNTXFD-INIZCTEOSA-N 1 2 302.353 1.540 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(NC(C)=O)ccc2O)CC1 ZINC000299314348 410585291 /nfs/dbraw/zinc/58/52/91/410585291.db2.gz LBGGQOZOTGBGBV-UHFFFAOYSA-N 1 2 315.373 1.132 20 30 DDEDLO C#CC[NH+](CC#C)[C@@H](C)C(=O)NC(=O)Nc1ccccc1F ZINC000299386799 410589272 /nfs/dbraw/zinc/58/92/72/410589272.db2.gz TTXJJLKCIGHBSY-LBPRGKRZSA-N 1 2 301.321 1.431 20 30 DDEDLO CC[C@@H](C)[N@H+](CC(=O)OC)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000337236995 410666769 /nfs/dbraw/zinc/66/67/69/410666769.db2.gz LUJXJPPZURLWKU-CQSZACIVSA-N 1 2 323.437 1.945 20 30 DDEDLO CC[C@@H](C)[N@@H+](CC(=O)OC)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000337236995 410666776 /nfs/dbraw/zinc/66/67/76/410666776.db2.gz LUJXJPPZURLWKU-CQSZACIVSA-N 1 2 323.437 1.945 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000343775475 410690758 /nfs/dbraw/zinc/69/07/58/410690758.db2.gz WQYUAGAXQWPWRE-AWEZNQCLSA-N 1 2 305.403 1.767 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000343775475 410690761 /nfs/dbraw/zinc/69/07/61/410690761.db2.gz WQYUAGAXQWPWRE-AWEZNQCLSA-N 1 2 305.403 1.767 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)NC(=O)NCC)Cc1cnn(C(C)C)c1 ZINC000352924267 410690890 /nfs/dbraw/zinc/69/08/90/410690890.db2.gz YUNICDNFISGJCY-UHFFFAOYSA-N 1 2 321.425 1.688 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)NC(=O)NCC)Cc1cnn(C(C)C)c1 ZINC000352924267 410690896 /nfs/dbraw/zinc/69/08/96/410690896.db2.gz YUNICDNFISGJCY-UHFFFAOYSA-N 1 2 321.425 1.688 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N(C)C[C@@H](C)C#N ZINC000352774584 410678528 /nfs/dbraw/zinc/67/85/28/410678528.db2.gz GTLMNOFWODWOAP-GXTWGEPZSA-N 1 2 319.409 1.101 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N(C)C[C@@H](C)C#N ZINC000352774584 410678535 /nfs/dbraw/zinc/67/85/35/410678535.db2.gz GTLMNOFWODWOAP-GXTWGEPZSA-N 1 2 319.409 1.101 20 30 DDEDLO CC(C)(C)OC(=O)C[C@@](C)(C#N)C(=O)NCCCn1cc[nH+]c1 ZINC000356230929 410908294 /nfs/dbraw/zinc/90/82/94/410908294.db2.gz WTGNBBSLDNFNSM-INIZCTEOSA-N 1 2 320.393 1.651 20 30 DDEDLO Cn1nccc1C[N@H+](C)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000348381964 410943271 /nfs/dbraw/zinc/94/32/71/410943271.db2.gz XGYZBWFURMFWHH-CYBMUJFWSA-N 1 2 315.402 1.591 20 30 DDEDLO Cn1nccc1C[N@@H+](C)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000348381964 410943275 /nfs/dbraw/zinc/94/32/75/410943275.db2.gz XGYZBWFURMFWHH-CYBMUJFWSA-N 1 2 315.402 1.591 20 30 DDEDLO C[C@@H]1C[NH+](CCC(=O)Nc2ccc(C#N)cc2)C[C@@H](C)S1=O ZINC000331140431 410976305 /nfs/dbraw/zinc/97/63/05/410976305.db2.gz FCBNOVOIOJBCDB-CHWSQXEVSA-N 1 2 319.430 1.728 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC000331149968 410980643 /nfs/dbraw/zinc/98/06/43/410980643.db2.gz HPOUHZMAQAWLFY-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC000331149968 410980646 /nfs/dbraw/zinc/98/06/46/410980646.db2.gz HPOUHZMAQAWLFY-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO C=CCOC[C@@H]1NC(=O)N(C[C@@H]2CCCn3cc(C)[nH+]c32)C1=O ZINC000348617402 411038668 /nfs/dbraw/zinc/03/86/68/411038668.db2.gz UCSLKHFDLJHKAC-STQMWFEESA-N 1 2 318.377 1.192 20 30 DDEDLO C[C@@H]1CCC[N@H+](CCNC(=O)[C@@H]2CCCCS2(=O)=O)C1 ZINC000329696806 533680115 /nfs/dbraw/zinc/68/01/15/533680115.db2.gz YAOOSCGYDRWUDT-OLZOCXBDSA-N 1 2 302.440 1.642 20 30 DDEDLO C[C@@H]1CCC[N@@H+](CCNC(=O)[C@@H]2CCCCS2(=O)=O)C1 ZINC000329696806 533680126 /nfs/dbraw/zinc/68/01/26/533680126.db2.gz YAOOSCGYDRWUDT-OLZOCXBDSA-N 1 2 302.440 1.642 20 30 DDEDLO Cc1cc(C#N)cc(Nc2ccn(CC[NH+]3CCOCC3)n2)n1 ZINC000601116294 416621302 /nfs/dbraw/zinc/62/13/02/416621302.db2.gz PTGSHUNSMPZTHS-UHFFFAOYSA-N 1 2 312.377 1.534 20 30 DDEDLO N#CC1(C[C@@H](O)Cn2c3ccccc3[nH+]c2NCCO)CC1 ZINC000601951632 416624927 /nfs/dbraw/zinc/62/49/27/416624927.db2.gz CNZLCIOPRXKBLO-GFCCVEGCSA-N 1 2 300.362 1.495 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)ncc1C#N ZINC000603113833 416631780 /nfs/dbraw/zinc/63/17/80/416631780.db2.gz VRXFJHVHNKEUEQ-CHWSQXEVSA-N 1 2 317.393 1.492 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)ncc1C#N ZINC000603113833 416631786 /nfs/dbraw/zinc/63/17/86/416631786.db2.gz VRXFJHVHNKEUEQ-CHWSQXEVSA-N 1 2 317.393 1.492 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CC[C@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000355834031 287382112 /nfs/dbraw/zinc/38/21/12/287382112.db2.gz AESVPSVGSMGTMP-IRXDYDNUSA-N 1 2 323.437 1.849 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1OC ZINC000373473293 418432532 /nfs/dbraw/zinc/43/25/32/418432532.db2.gz RMILEKXUKCNOOJ-SWLSCSKDSA-N 1 2 312.373 1.839 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000366201458 418442372 /nfs/dbraw/zinc/44/23/72/418442372.db2.gz IKNWRCYUIBERSX-CABCVRRESA-N 1 2 319.368 1.637 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000366201458 418442375 /nfs/dbraw/zinc/44/23/75/418442375.db2.gz IKNWRCYUIBERSX-CABCVRRESA-N 1 2 319.368 1.637 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N[C@@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000373921021 418473506 /nfs/dbraw/zinc/47/35/06/418473506.db2.gz LJTYBUDJUZLYQN-HZPDHXFCSA-N 1 2 317.389 1.833 20 30 DDEDLO CC1(C#N)CCN(C(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)CC1 ZINC000366575053 418492038 /nfs/dbraw/zinc/49/20/38/418492038.db2.gz VJZNLNJTIYVPMP-CQSZACIVSA-N 1 2 306.410 1.185 20 30 DDEDLO CC1(C#N)CCN(C(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)CC1 ZINC000366575053 418492041 /nfs/dbraw/zinc/49/20/41/418492041.db2.gz VJZNLNJTIYVPMP-CQSZACIVSA-N 1 2 306.410 1.185 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(C(=O)NC2CCCCC2)CC1 ZINC000192631337 222131559 /nfs/dbraw/zinc/13/15/59/222131559.db2.gz WNVZSRWOLRMTEO-AWEZNQCLSA-N 1 2 322.453 1.337 20 30 DDEDLO C[C@H](C#N)CSc1ccccc1NC(=O)C[N@@H+]1CC[C@@H](O)C1 ZINC000245535649 222216904 /nfs/dbraw/zinc/21/69/04/222216904.db2.gz ZCABLVOOBHOBRX-CHWSQXEVSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@H](C#N)CSc1ccccc1NC(=O)C[N@H+]1CC[C@@H](O)C1 ZINC000245535649 222216908 /nfs/dbraw/zinc/21/69/08/222216908.db2.gz ZCABLVOOBHOBRX-CHWSQXEVSA-N 1 2 319.430 1.943 20 30 DDEDLO C=CC[N@@H+](CCN(C)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000246207879 222221122 /nfs/dbraw/zinc/22/11/22/222221122.db2.gz NLAYHJMGYLWRFJ-INIZCTEOSA-N 1 2 308.447 1.798 20 30 DDEDLO C=CC[N@H+](CCN(C)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000246207879 222221126 /nfs/dbraw/zinc/22/11/26/222221126.db2.gz NLAYHJMGYLWRFJ-INIZCTEOSA-N 1 2 308.447 1.798 20 30 DDEDLO C=CCn1c(SCC(=O)N2CC[NH+](C)CC2)nnc1C1CC1 ZINC000253570017 222249925 /nfs/dbraw/zinc/24/99/25/222249925.db2.gz NBCIJGTYMITZSE-UHFFFAOYSA-N 1 2 321.450 1.208 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000264166858 222328037 /nfs/dbraw/zinc/32/80/37/222328037.db2.gz UPXHWMCPQRUWDK-UHFFFAOYSA-N 1 2 322.434 1.795 20 30 DDEDLO COc1ccc(C[N@@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1C#N ZINC000367534432 418609028 /nfs/dbraw/zinc/60/90/28/418609028.db2.gz VNIBPUJEZPLITE-SWLSCSKDSA-N 1 2 306.387 1.186 20 30 DDEDLO COc1ccc(C[N@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1C#N ZINC000367534432 418609031 /nfs/dbraw/zinc/60/90/31/418609031.db2.gz VNIBPUJEZPLITE-SWLSCSKDSA-N 1 2 306.387 1.186 20 30 DDEDLO Cc1cc(C#N)nc(NCc2ccccc2Cn2cc[nH+]c2)n1 ZINC000341422845 418625293 /nfs/dbraw/zinc/62/52/93/418625293.db2.gz URUQEJYXTOFSDW-UHFFFAOYSA-N 1 2 304.357 2.514 20 30 DDEDLO CCc1nn(CC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c(=O)c(C#N)c1CC ZINC000367762844 418630699 /nfs/dbraw/zinc/63/06/99/418630699.db2.gz GIAXSBOZEWHKDG-BETUJISGSA-N 1 2 316.405 1.103 20 30 DDEDLO CCc1nn(CC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c(=O)c(C#N)c1CC ZINC000367762844 418630701 /nfs/dbraw/zinc/63/07/01/418630701.db2.gz GIAXSBOZEWHKDG-BETUJISGSA-N 1 2 316.405 1.103 20 30 DDEDLO N#Cc1cccc(CNc2ccn(CC[NH+]3CCOCC3)n2)c1 ZINC000344949826 418634477 /nfs/dbraw/zinc/63/44/77/418634477.db2.gz AKAZWRQBUDJNDA-UHFFFAOYSA-N 1 2 311.389 1.699 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cc1F ZINC000377587850 418712141 /nfs/dbraw/zinc/71/21/41/418712141.db2.gz ZXPPPZGBOZZODS-GFCCVEGCSA-N 1 2 301.325 1.901 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)cc1F ZINC000377587850 418712144 /nfs/dbraw/zinc/71/21/44/418712144.db2.gz ZXPPPZGBOZZODS-GFCCVEGCSA-N 1 2 301.325 1.901 20 30 DDEDLO N#CCOc1ccc(C=CC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000378202236 418719938 /nfs/dbraw/zinc/71/99/38/418719938.db2.gz OYKCBNYCQSANCO-SMDADKPZSA-N 1 2 322.368 1.999 20 30 DDEDLO N#CCOc1ccc(C=CC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000378202236 418719940 /nfs/dbraw/zinc/71/99/40/418719940.db2.gz OYKCBNYCQSANCO-SMDADKPZSA-N 1 2 322.368 1.999 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N[C@@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000375564333 418657303 /nfs/dbraw/zinc/65/73/03/418657303.db2.gz KHZLYBZJZQYLQB-TZMCWYRMSA-N 1 2 304.394 1.599 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H](c2ccncn2)C1 ZINC000361638209 418694127 /nfs/dbraw/zinc/69/41/27/418694127.db2.gz ZGFGEJPFRNQLAV-WMLDXEAASA-N 1 2 315.421 1.710 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H](c2ccncn2)C1 ZINC000361638209 418694130 /nfs/dbraw/zinc/69/41/30/418694130.db2.gz ZGFGEJPFRNQLAV-WMLDXEAASA-N 1 2 315.421 1.710 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN([C@@H]2CCOC2)CC1 ZINC000362032740 418742828 /nfs/dbraw/zinc/74/28/28/418742828.db2.gz PNGWBDLVTXBPFX-JKSUJKDBSA-N 1 2 309.454 1.206 20 30 DDEDLO CC[C@H]1CC[C@@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)O1 ZINC000362499806 418755234 /nfs/dbraw/zinc/75/52/34/418755234.db2.gz WSBSPVLYGKOCAE-GJZGRUSLSA-N 1 2 309.410 1.018 20 30 DDEDLO C=CCN(CC)C(=O)NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000371165132 418765783 /nfs/dbraw/zinc/76/57/83/418765783.db2.gz LTCZEIFHIZLOHQ-INIZCTEOSA-N 1 2 311.426 1.085 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC000363961547 418772228 /nfs/dbraw/zinc/77/22/28/418772228.db2.gz KIBLVUXPSVXPNX-UHFFFAOYSA-N 1 2 321.465 1.814 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC000363961547 418772230 /nfs/dbraw/zinc/77/22/30/418772230.db2.gz KIBLVUXPSVXPNX-UHFFFAOYSA-N 1 2 321.465 1.814 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N1CCCN(c2cccc[nH+]2)CC1 ZINC000368834017 418725532 /nfs/dbraw/zinc/72/55/32/418725532.db2.gz KHYBPOIAADXODL-UHFFFAOYSA-N 1 2 324.344 1.973 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCSC[C@@H]1CO)C1(C#N)CCCCC1 ZINC000408181900 418791507 /nfs/dbraw/zinc/79/15/07/418791507.db2.gz GCQWOXSFZRKIRI-ZDUSSCGKSA-N 1 2 311.451 1.081 20 30 DDEDLO CN(C(=O)C[N@H+]1CCSC[C@@H]1CO)C1(C#N)CCCCC1 ZINC000408181900 418791510 /nfs/dbraw/zinc/79/15/10/418791510.db2.gz GCQWOXSFZRKIRI-ZDUSSCGKSA-N 1 2 311.451 1.081 20 30 DDEDLO C=CCCS(=O)(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC000371979123 418829515 /nfs/dbraw/zinc/82/95/15/418829515.db2.gz PJUXLZKSUFSTKE-UHFFFAOYSA-N 1 2 315.464 1.603 20 30 DDEDLO N#Cc1cccc(CCNC(=O)NC[C@H]2CC[NH2+]CC2(F)F)c1 ZINC000418152276 419573367 /nfs/dbraw/zinc/57/33/67/419573367.db2.gz UJUXBCUIMFNNMJ-CQSZACIVSA-N 1 2 322.359 1.645 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCO[C@H]([C@@H](C)O)C1 ZINC000412099393 419659451 /nfs/dbraw/zinc/65/94/51/419659451.db2.gz VBWWNUPVESZUPQ-WBMJQRKESA-N 1 2 306.362 1.027 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCO[C@H]([C@@H](C)O)C1 ZINC000412099393 419659462 /nfs/dbraw/zinc/65/94/62/419659462.db2.gz VBWWNUPVESZUPQ-WBMJQRKESA-N 1 2 306.362 1.027 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)[C@@H](C)[C@H](C)O1 ZINC000446067325 230226831 /nfs/dbraw/zinc/22/68/31/230226831.db2.gz APQHLZYFVSNPFY-RDBSUJKOSA-N 1 2 301.390 1.672 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)[C@@H](C)[C@H](C)O1 ZINC000446067325 230226835 /nfs/dbraw/zinc/22/68/35/230226835.db2.gz APQHLZYFVSNPFY-RDBSUJKOSA-N 1 2 301.390 1.672 20 30 DDEDLO CCn1cc[nH+]c1/C=C\C(=O)NCCOc1ccc(C#N)cc1 ZINC000492406669 420549013 /nfs/dbraw/zinc/54/90/13/420549013.db2.gz HUPQKCIPZSSJQH-FPLPWBNLSA-N 1 2 310.357 1.983 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC000440376090 420572113 /nfs/dbraw/zinc/57/21/13/420572113.db2.gz ADPGPRYUIAGECK-HNNXBMFYSA-N 1 2 310.394 1.191 20 30 DDEDLO CNS(=O)(=O)C[C@@H]1CCC[N@H+]1CC#Cc1ccc(F)cc1 ZINC000440376090 420572116 /nfs/dbraw/zinc/57/21/16/420572116.db2.gz ADPGPRYUIAGECK-HNNXBMFYSA-N 1 2 310.394 1.191 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(c2cnc(C#N)cn2)C1 ZINC000440648559 420593699 /nfs/dbraw/zinc/59/36/99/420593699.db2.gz DQWTXDRKOIORJU-INIZCTEOSA-N 1 2 317.393 1.248 20 30 DDEDLO C=C(C)CCNC(=O)N1CC[C@@H]([N@H+](C)Cc2nccc(N)n2)C1 ZINC000458996728 420778799 /nfs/dbraw/zinc/77/87/99/420778799.db2.gz HYFHMCZMQSLKCA-CYBMUJFWSA-N 1 2 318.425 1.241 20 30 DDEDLO C=C(C)CCNC(=O)N1CC[C@@H]([N@@H+](C)Cc2nccc(N)n2)C1 ZINC000458996728 420778802 /nfs/dbraw/zinc/77/88/02/420778802.db2.gz HYFHMCZMQSLKCA-CYBMUJFWSA-N 1 2 318.425 1.241 20 30 DDEDLO C=C(C)CCNC(=O)N1CC[C@H]([N@H+](C)Cc2nccc(N)n2)C1 ZINC000458996731 420779216 /nfs/dbraw/zinc/77/92/16/420779216.db2.gz HYFHMCZMQSLKCA-ZDUSSCGKSA-N 1 2 318.425 1.241 20 30 DDEDLO C=C(C)CCNC(=O)N1CC[C@H]([N@@H+](C)Cc2nccc(N)n2)C1 ZINC000458996731 420779218 /nfs/dbraw/zinc/77/92/18/420779218.db2.gz HYFHMCZMQSLKCA-ZDUSSCGKSA-N 1 2 318.425 1.241 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[NH2+][C@H](CO)[C@H]3CCCO3)o2)c1 ZINC000447906219 420815231 /nfs/dbraw/zinc/81/52/31/420815231.db2.gz OFNJAGBHSBBWRP-ZIAGYGMSSA-N 1 2 314.345 1.238 20 30 DDEDLO N#C[C@@H](c1cccc(Cl)c1)N1CC[NH+]([C@@H]2COC[C@H]2O)CC1 ZINC000463216312 420925893 /nfs/dbraw/zinc/92/58/93/420925893.db2.gz UJOBXDORCLVKQD-ARFHVFGLSA-N 1 2 321.808 1.282 20 30 DDEDLO N#Cc1ccnc(N2CCN(C(=O)/C=C/c3[nH]cc[nH+]3)CC2)c1 ZINC000493740625 420929304 /nfs/dbraw/zinc/92/93/04/420929304.db2.gz OCTALHYFSDAENJ-OWOJBTEDSA-N 1 2 308.345 1.038 20 30 DDEDLO C[N@H+](CCNC(=O)OC(C)(C)C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000495928828 421095739 /nfs/dbraw/zinc/09/57/39/421095739.db2.gz LPMHPHNWVAUXQS-INIZCTEOSA-N 1 2 324.425 1.251 20 30 DDEDLO C[N@@H+](CCNC(=O)OC(C)(C)C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000495928828 421095741 /nfs/dbraw/zinc/09/57/41/421095741.db2.gz LPMHPHNWVAUXQS-INIZCTEOSA-N 1 2 324.425 1.251 20 30 DDEDLO C[N@H+](CCNC(=O)OC(C)(C)C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000495928829 421095982 /nfs/dbraw/zinc/09/59/82/421095982.db2.gz LPMHPHNWVAUXQS-MRXNPFEDSA-N 1 2 324.425 1.251 20 30 DDEDLO C[N@@H+](CCNC(=O)OC(C)(C)C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000495928829 421095985 /nfs/dbraw/zinc/09/59/85/421095985.db2.gz LPMHPHNWVAUXQS-MRXNPFEDSA-N 1 2 324.425 1.251 20 30 DDEDLO C=CCn1c(SCc2c[nH+]cn2C)nnc1-c1cnccn1 ZINC000488884187 421123193 /nfs/dbraw/zinc/12/31/93/421123193.db2.gz SWYXPEUEXULOBF-UHFFFAOYSA-N 1 2 313.390 1.947 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCOC[C@H]2CC(N)=O)cc1Cl ZINC000449767669 421077721 /nfs/dbraw/zinc/07/77/21/421077721.db2.gz DUQQFMKOIYHFRN-CYBMUJFWSA-N 1 2 324.808 1.981 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCOC[C@H]2CC(N)=O)cc1Cl ZINC000449767669 421077724 /nfs/dbraw/zinc/07/77/24/421077724.db2.gz DUQQFMKOIYHFRN-CYBMUJFWSA-N 1 2 324.808 1.981 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCOC[C@@H]2CC(N)=O)cc1OC ZINC000449771840 421080705 /nfs/dbraw/zinc/08/07/05/421080705.db2.gz KEIYSJGNNXEVDT-AWEZNQCLSA-N 1 2 320.389 1.336 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCOC[C@@H]2CC(N)=O)cc1OC ZINC000449771840 421080707 /nfs/dbraw/zinc/08/07/07/421080707.db2.gz KEIYSJGNNXEVDT-AWEZNQCLSA-N 1 2 320.389 1.336 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000450083585 421139543 /nfs/dbraw/zinc/13/95/43/421139543.db2.gz AZSBFKSKCXYVCI-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C)CC1 ZINC000491484543 421198474 /nfs/dbraw/zinc/19/84/74/421198474.db2.gz JERHVXWOWZEMGN-JKSUJKDBSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C)CC1 ZINC000491484543 421198476 /nfs/dbraw/zinc/19/84/76/421198476.db2.gz JERHVXWOWZEMGN-JKSUJKDBSA-N 1 2 319.449 1.096 20 30 DDEDLO CN1CC[N@H+](C)C[C@H]1c1noc(COc2ccccc2C#N)n1 ZINC000546496910 421293334 /nfs/dbraw/zinc/29/33/34/421293334.db2.gz ZWVHDMJSHLTJAP-ZDUSSCGKSA-N 1 2 313.361 1.439 20 30 DDEDLO CN1CC[N@@H+](C)C[C@H]1c1noc(COc2ccccc2C#N)n1 ZINC000546496910 421293336 /nfs/dbraw/zinc/29/33/36/421293336.db2.gz ZWVHDMJSHLTJAP-ZDUSSCGKSA-N 1 2 313.361 1.439 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)c2cc(F)c(O)c(Cl)c2)CC1 ZINC000562267852 421345475 /nfs/dbraw/zinc/34/54/75/421345475.db2.gz LBVKJTFHTFLNAA-UHFFFAOYSA-N 1 2 311.744 1.902 20 30 DDEDLO C[C@@H]([NH2+]CCC(=O)Nc1sccc1C#N)c1ncn(C)n1 ZINC000548403825 421434017 /nfs/dbraw/zinc/43/40/17/421434017.db2.gz YVNQOIWJYPHQDC-SECBINFHSA-N 1 2 304.379 1.428 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Cc1cccc(C#N)c1 ZINC000552660119 421591660 /nfs/dbraw/zinc/59/16/60/421591660.db2.gz QHLOCXOPCXTXIJ-YOEHRIQHSA-N 1 2 313.401 1.422 20 30 DDEDLO COc1ccc2c(c1)C=C(C(=O)N[C@@](C)(C#N)C[NH+](C)C)CO2 ZINC000565050572 421595660 /nfs/dbraw/zinc/59/56/60/421595660.db2.gz GIOYXHYBPNYZJT-KRWDZBQOSA-N 1 2 315.373 1.431 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000566441942 421605610 /nfs/dbraw/zinc/60/56/10/421605610.db2.gz AKUKNKXPGVEYHT-CABZTGNLSA-N 1 2 318.299 1.501 20 30 DDEDLO N#Cc1ccc(NC[C@@H](c2ccccc2)[NH+]2CCOCC2)nc1N ZINC000566479479 421607380 /nfs/dbraw/zinc/60/73/80/421607380.db2.gz OREZEFRRJMMXGR-INIZCTEOSA-N 1 2 323.400 2.021 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NS(=O)(=O)c1cncc(C#N)c1)C1CC1 ZINC000554975462 421666630 /nfs/dbraw/zinc/66/66/30/421666630.db2.gz KAEZRPGILLPHCD-CYBMUJFWSA-N 1 2 317.374 1.116 20 30 DDEDLO CC[C@H]1CCCCN1C(=O)[C@H](C)[NH+]1CCN(C(=O)CC#N)CC1 ZINC000517584481 421620772 /nfs/dbraw/zinc/62/07/72/421620772.db2.gz VKGAKWNPCBMOTE-GJZGRUSLSA-N 1 2 320.437 1.224 20 30 DDEDLO C[C@H](c1nccc(N)n1)[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000571175163 421693834 /nfs/dbraw/zinc/69/38/34/421693834.db2.gz BPSSFGJYIHMYGY-DGCLKSJQSA-N 1 2 310.361 1.540 20 30 DDEDLO C[C@H](c1nccc(N)n1)[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000571175163 421693835 /nfs/dbraw/zinc/69/38/35/421693835.db2.gz BPSSFGJYIHMYGY-DGCLKSJQSA-N 1 2 310.361 1.540 20 30 DDEDLO CC(C)COc1ncccc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000572004796 421759824 /nfs/dbraw/zinc/75/98/24/421759824.db2.gz KYTYXNFYPLNLMT-MRXNPFEDSA-N 1 2 304.394 1.690 20 30 DDEDLO C[C@@H](CC(=O)N(CCC#N)CC[NH+]1CCOCC1)n1cccc1 ZINC000522640336 421826100 /nfs/dbraw/zinc/82/61/00/421826100.db2.gz CIDYNKJBFWFIIH-INIZCTEOSA-N 1 2 318.421 1.514 20 30 DDEDLO N#C[C@@H]1COCCN1C(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000557632444 421782759 /nfs/dbraw/zinc/78/27/59/421782759.db2.gz UCMFLCIDYRKRLI-HUUCEWRRSA-N 1 2 310.357 1.683 20 30 DDEDLO Cc1nc(NCc2cccc(C(=O)NCC3(C#N)CC3)c2)cc[nH+]1 ZINC000582464632 422155758 /nfs/dbraw/zinc/15/57/58/422155758.db2.gz OEHWQTOTCMYHBF-UHFFFAOYSA-N 1 2 321.384 2.431 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000628575097 422236217 /nfs/dbraw/zinc/23/62/17/422236217.db2.gz BISMVLRIIZTULC-RDJZCZTQSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000628575097 422236223 /nfs/dbraw/zinc/23/62/23/422236223.db2.gz BISMVLRIIZTULC-RDJZCZTQSA-N 1 2 310.438 1.321 20 30 DDEDLO C=CCCOCCNC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000635737710 422297815 /nfs/dbraw/zinc/29/78/15/422297815.db2.gz FAULYTHUYDWGKN-UHFFFAOYSA-N 1 2 301.346 1.900 20 30 DDEDLO Cn1cc([C@@H]([NH2+]C[C@H](C#N)CCC#N)C2(CO)CCC2)cn1 ZINC000576040497 422363488 /nfs/dbraw/zinc/36/34/88/422363488.db2.gz IFHVXGHXSUTJIL-DZGCQCFKSA-N 1 2 301.394 1.657 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000629436843 422672882 /nfs/dbraw/zinc/67/28/82/422672882.db2.gz ZYQXDCDPDXBZIP-QGZVFWFLSA-N 1 2 315.417 1.592 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000629436843 422672886 /nfs/dbraw/zinc/67/28/86/422672886.db2.gz ZYQXDCDPDXBZIP-QGZVFWFLSA-N 1 2 315.417 1.592 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)N2CCCC[C@H]2CC)nn1 ZINC000640817658 423211813 /nfs/dbraw/zinc/21/18/13/423211813.db2.gz OHLAZBQGISTLTE-GOEBONIOSA-N 1 2 317.437 1.743 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cnc(CCOC)s2)C1=O ZINC000639132413 423325014 /nfs/dbraw/zinc/32/50/14/423325014.db2.gz NHZFDOKRFVMQNO-ZDUSSCGKSA-N 1 2 309.435 1.551 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cnc(CCOC)s2)C1=O ZINC000639132413 423325022 /nfs/dbraw/zinc/32/50/22/423325022.db2.gz NHZFDOKRFVMQNO-ZDUSSCGKSA-N 1 2 309.435 1.551 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@@H](CO)[C@H](C(F)(F)F)C2)nn1 ZINC000639388389 423488752 /nfs/dbraw/zinc/48/87/52/423488752.db2.gz YTEROHDXGJFDRN-CMPLNLGQSA-N 1 2 304.316 1.457 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@@H](CO)[C@H](C(F)(F)F)C2)nn1 ZINC000639388389 423488760 /nfs/dbraw/zinc/48/87/60/423488760.db2.gz YTEROHDXGJFDRN-CMPLNLGQSA-N 1 2 304.316 1.457 20 30 DDEDLO C=CCCNC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000663436996 423828801 /nfs/dbraw/zinc/82/88/01/423828801.db2.gz JNKXIQPFPDMJFR-MRXNPFEDSA-N 1 2 303.406 1.763 20 30 DDEDLO C=CCCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000663436996 423828816 /nfs/dbraw/zinc/82/88/16/423828816.db2.gz JNKXIQPFPDMJFR-MRXNPFEDSA-N 1 2 303.406 1.763 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)CCC[NH+]1CCOCC1 ZINC000660068396 424497948 /nfs/dbraw/zinc/49/79/48/424497948.db2.gz GUOMMTITEXRFNL-GASCZTMLSA-N 1 2 314.451 1.222 20 30 DDEDLO CCN(CC)C(=O)N1CCN(c2cc(C)[nH+]cc2C#N)CC1 ZINC000425208032 267055881 /nfs/dbraw/zinc/05/58/81/267055881.db2.gz OFUKFQZCBXPZNA-UHFFFAOYSA-N 1 2 301.394 1.846 20 30 DDEDLO N#Cc1ccc(NC(=O)CN2CCN(Cc3[nH]cc[nH+]3)CC2)cc1 ZINC000358475854 268139302 /nfs/dbraw/zinc/13/93/02/268139302.db2.gz WMCHGDMSGFMNFR-UHFFFAOYSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1ccc(OCC[N@@H+]2CCOC[C@@H]2[C@H]2CCCO2)cc1 ZINC000375802425 268173499 /nfs/dbraw/zinc/17/34/99/268173499.db2.gz TZKWYWBXALPVGP-IAGOWNOFSA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccc(OCC[N@H+]2CCOC[C@@H]2[C@H]2CCCO2)cc1 ZINC000375802425 268173502 /nfs/dbraw/zinc/17/35/02/268173502.db2.gz TZKWYWBXALPVGP-IAGOWNOFSA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@]3(CCS(=O)(=O)C3)C2)nc1 ZINC000521640770 268219557 /nfs/dbraw/zinc/21/95/57/268219557.db2.gz PGNPHHMZUCOHTO-OAHLLOKOSA-N 1 2 305.403 1.354 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@]3(CCS(=O)(=O)C3)C2)nc1 ZINC000521640770 268219559 /nfs/dbraw/zinc/21/95/59/268219559.db2.gz PGNPHHMZUCOHTO-OAHLLOKOSA-N 1 2 305.403 1.354 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)c1 ZINC000354763529 268266993 /nfs/dbraw/zinc/26/69/93/268266993.db2.gz MRWQZZCAORGQLF-UHFFFAOYSA-N 1 2 310.361 1.631 20 30 DDEDLO CCN1CCN(C(=O)N[C@@H]2CCO[C@@H]2C)C[C@@H]1c1[nH]cc[nH+]1 ZINC000329999795 276188503 /nfs/dbraw/zinc/18/85/03/276188503.db2.gz VIXPFQOYVHEARI-JHJVBQTASA-N 1 2 307.398 1.180 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCC[C@@H]1c1ccnn1C ZINC000366871102 279172195 /nfs/dbraw/zinc/17/21/95/279172195.db2.gz TYUPSPHBFAQERY-OAHLLOKOSA-N 1 2 303.410 1.709 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCC[C@@H]1c1ccnn1C ZINC000366871102 279172198 /nfs/dbraw/zinc/17/21/98/279172198.db2.gz TYUPSPHBFAQERY-OAHLLOKOSA-N 1 2 303.410 1.709 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CC[C@@](O)(C(F)(F)F)C1 ZINC000331014447 280103545 /nfs/dbraw/zinc/10/35/45/280103545.db2.gz XLHMXHRXXGXCEQ-RTXFEEFZSA-N 1 2 321.343 1.428 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CC[C@@](O)(C(F)(F)F)C1 ZINC000331014447 280103547 /nfs/dbraw/zinc/10/35/47/280103547.db2.gz XLHMXHRXXGXCEQ-RTXFEEFZSA-N 1 2 321.343 1.428 20 30 DDEDLO Cc1c(Cl)cnc(N(C)C[C@@H](O)C[NH+]2CCOCC2)c1C#N ZINC000273328038 280233997 /nfs/dbraw/zinc/23/39/97/280233997.db2.gz LNYNQXZXQMKFMH-GFCCVEGCSA-N 1 2 324.812 1.044 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1cccc(C#N)c1[N+](=O)[O-] ZINC000450531017 288718804 /nfs/dbraw/zinc/71/88/04/288718804.db2.gz REDAMYHLXUORBL-OCCSQVGLSA-N 1 2 316.361 1.766 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2ccnc(C#N)c2)C1 ZINC000281769197 290076432 /nfs/dbraw/zinc/07/64/32/290076432.db2.gz ICOSNNLZYOKAJZ-MRXNPFEDSA-N 1 2 314.389 1.136 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2ccnc(C#N)c2)C1 ZINC000281769197 290076435 /nfs/dbraw/zinc/07/64/35/290076435.db2.gz ICOSNNLZYOKAJZ-MRXNPFEDSA-N 1 2 314.389 1.136 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@H]3CCCc4occc43)C[C@@H]21 ZINC000329734098 290088423 /nfs/dbraw/zinc/08/84/23/290088423.db2.gz RDMOGNLWGRNBEV-KCQAQPDRSA-N 1 2 305.378 1.586 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@H]3CCCc4occc43)C[C@@H]21 ZINC000329734098 290088425 /nfs/dbraw/zinc/08/84/25/290088425.db2.gz RDMOGNLWGRNBEV-KCQAQPDRSA-N 1 2 305.378 1.586 20 30 DDEDLO C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCS(=O)(=O)C2)[C@@H]1c1ccccc1 ZINC000328814742 290189357 /nfs/dbraw/zinc/18/93/57/290189357.db2.gz GLSGNWNSNJJYHC-ILXRZTDVSA-N 1 2 322.430 1.823 20 30 DDEDLO C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCS(=O)(=O)C2)[C@@H]1c1ccccc1 ZINC000328814742 290189360 /nfs/dbraw/zinc/18/93/60/290189360.db2.gz GLSGNWNSNJJYHC-ILXRZTDVSA-N 1 2 322.430 1.823 20 30 DDEDLO NS(=O)(=O)C[C@@H]1CCC[N@@H+]1CC#Cc1ccccc1Cl ZINC000441257384 295283375 /nfs/dbraw/zinc/28/33/75/295283375.db2.gz IFQCNRNCXGQQRO-ZDUSSCGKSA-N 1 2 312.822 1.444 20 30 DDEDLO NS(=O)(=O)C[C@@H]1CCC[N@H+]1CC#Cc1ccccc1Cl ZINC000441257384 295283379 /nfs/dbraw/zinc/28/33/79/295283379.db2.gz IFQCNRNCXGQQRO-ZDUSSCGKSA-N 1 2 312.822 1.444 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCO[C@@]2(CCOC2)C1 ZINC000248918168 298136181 /nfs/dbraw/zinc/13/61/81/298136181.db2.gz DOUFQLXEIPJTDJ-PBHICJAKSA-N 1 2 321.421 1.209 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCO[C@@]2(CCOC2)C1 ZINC000248918168 298136183 /nfs/dbraw/zinc/13/61/83/298136183.db2.gz DOUFQLXEIPJTDJ-PBHICJAKSA-N 1 2 321.421 1.209 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@@H](c2nnc[nH]2)C1 ZINC000575918150 308281930 /nfs/dbraw/zinc/28/19/30/308281930.db2.gz LRKGCYZVXIODKJ-SNVBAGLBSA-N 1 2 302.363 1.166 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@@H](c2nnc[nH]2)C1 ZINC000575918150 308281932 /nfs/dbraw/zinc/28/19/32/308281932.db2.gz LRKGCYZVXIODKJ-SNVBAGLBSA-N 1 2 302.363 1.166 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(c2cccc(C(F)F)c2C#N)CC1 ZINC000578668727 308477599 /nfs/dbraw/zinc/47/75/99/308477599.db2.gz NBTVKSWIWBRHHU-UHFFFAOYSA-N 1 2 322.359 1.882 20 30 DDEDLO Cc1cc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c(C)o1 ZINC000078346193 331961565 /nfs/dbraw/zinc/96/15/65/331961565.db2.gz YKSSCNWHAFLCSZ-UHFFFAOYSA-N 1 2 305.378 1.585 20 30 DDEDLO N#CCCCC[NH+]1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000198667872 333333718 /nfs/dbraw/zinc/33/37/18/333333718.db2.gz IMTYSVUTWHORIO-UHFFFAOYSA-N 1 2 307.419 1.687 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)NC2CCC(C)CC2)C1=O ZINC000532479541 336251458 /nfs/dbraw/zinc/25/14/58/336251458.db2.gz BRUFGPLAKRDYDQ-GYTBAZASSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)NC2CCC(C)CC2)C1=O ZINC000532479541 336251459 /nfs/dbraw/zinc/25/14/59/336251459.db2.gz BRUFGPLAKRDYDQ-GYTBAZASSA-N 1 2 321.465 1.789 20 30 DDEDLO C[C@H]1C[C@H](NS(=O)(=O)c2ccccc2C#N)c2[nH+]ccn21 ZINC000582468187 337093974 /nfs/dbraw/zinc/09/39/74/337093974.db2.gz VYEMQVUEKJFOKA-JQWIXIFHSA-N 1 2 302.359 1.739 20 30 DDEDLO C[C@@H](C(=O)NC1CCCC1)[NH+]1CCN(CC2(C#N)CC2)CC1 ZINC000582503597 337099110 /nfs/dbraw/zinc/09/91/10/337099110.db2.gz QZAKKOBINATMIN-AWEZNQCLSA-N 1 2 304.438 1.355 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000583258106 337292030 /nfs/dbraw/zinc/29/20/30/337292030.db2.gz GWFNHSIYFOJPCD-BPUTZDHNSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000583258106 337292029 /nfs/dbraw/zinc/29/20/29/337292029.db2.gz GWFNHSIYFOJPCD-BPUTZDHNSA-N 1 2 308.422 1.337 20 30 DDEDLO CC#CCNC(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000270752688 337642311 /nfs/dbraw/zinc/64/23/11/337642311.db2.gz YRCZJNXYJKZIDQ-CQSZACIVSA-N 1 2 300.337 1.971 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(CO)ccc2Cl)CC1 ZINC000085275831 338007927 /nfs/dbraw/zinc/00/79/27/338007927.db2.gz ODBVAUGTIYCMAM-UHFFFAOYSA-N 1 2 321.808 1.022 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H]2C[C@@]2(C[NH+]2CC(O)(CC#N)C2)C1 ZINC000497072454 340019606 /nfs/dbraw/zinc/01/96/06/340019606.db2.gz GFBNCLMRIPATTE-IUODEOHRSA-N 1 2 307.394 1.204 20 30 DDEDLO C=CCNC(=O)C[S@@](=O)Cc1cn2cc(Cl)ccc2[nH+]1 ZINC000505707302 340175418 /nfs/dbraw/zinc/17/54/18/340175418.db2.gz RCRBRVVWTCGBCO-FQEVSTJZSA-N 1 2 311.794 1.539 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000195217211 340576247 /nfs/dbraw/zinc/57/62/47/340576247.db2.gz MGBUBYSXIRYMFT-UHFFFAOYSA-N 1 2 301.346 1.241 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C ZINC000331186487 533718486 /nfs/dbraw/zinc/71/84/86/533718486.db2.gz OUJCVJQQFBGLMV-CQSZACIVSA-N 1 2 301.394 1.438 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccnc(NC(=O)C2CC2)c1 ZINC000564333154 341237305 /nfs/dbraw/zinc/23/73/05/341237305.db2.gz CCXBJVBVRNIBPL-INIZCTEOSA-N 1 2 315.377 1.004 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C ZINC000331186487 533718495 /nfs/dbraw/zinc/71/84/95/533718495.db2.gz OUJCVJQQFBGLMV-CQSZACIVSA-N 1 2 301.394 1.438 20 30 DDEDLO COCCOc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000567995266 341644546 /nfs/dbraw/zinc/64/45/46/341644546.db2.gz GHXRMWPWDWTQPG-INIZCTEOSA-N 1 2 305.378 1.285 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCSc2ccc(F)cc2)CC1 ZINC000568104009 341651521 /nfs/dbraw/zinc/65/15/21/341651521.db2.gz WFHFSCNANOJLQC-UHFFFAOYSA-N 1 2 307.394 1.976 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)C(=O)N(C)Cc2[nH+]ccn2C)C1 ZINC000658440454 484355921 /nfs/dbraw/zinc/35/59/21/484355921.db2.gz XWCMLYRASJPZJL-ZDUSSCGKSA-N 1 2 304.394 1.193 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000671396576 485010562 /nfs/dbraw/zinc/01/05/62/485010562.db2.gz LXEATDZOEGKXSQ-UHFFFAOYSA-N 1 2 301.390 1.201 20 30 DDEDLO C=C[C@](C)(O)C(=O)Nc1cccc([C@H](C)[NH+]2CCOCC2)c1 ZINC000668270022 485066457 /nfs/dbraw/zinc/06/64/57/485066457.db2.gz XWEDBXIMXGUGLX-GUYCJALGSA-N 1 2 304.390 1.955 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCc1cn2cc(C)ccc2[nH+]1 ZINC000667136217 485412563 /nfs/dbraw/zinc/41/25/63/485412563.db2.gz YYDCBRYYCZUYIP-HNNXBMFYSA-N 1 2 314.389 1.730 20 30 DDEDLO C=CCOCCNC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000679505598 485905950 /nfs/dbraw/zinc/90/59/50/485905950.db2.gz IVKWAMZFIIDNPH-UHFFFAOYSA-N 1 2 309.435 1.778 20 30 DDEDLO C[C@@H]1C[C@H](CCNC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)CCO1 ZINC000329673534 533832358 /nfs/dbraw/zinc/83/23/58/533832358.db2.gz UYZRGQCKGYNWNE-KBXIAJHMSA-N 1 2 311.426 1.120 20 30 DDEDLO C[C@@H]1C[C@H](CCNC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)CCO1 ZINC000329673534 533832366 /nfs/dbraw/zinc/83/23/66/533832366.db2.gz UYZRGQCKGYNWNE-KBXIAJHMSA-N 1 2 311.426 1.120 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)c1ccc(CC#N)cc1 ZINC000330486144 533841328 /nfs/dbraw/zinc/84/13/28/533841328.db2.gz DCRUHBJGSZWDKJ-AWEZNQCLSA-N 1 2 300.406 1.118 20 30 DDEDLO C[C@H]([NH2+]Cc1nnc2n1CCCC2)C(=O)NC(=O)NC1CC1 ZINC000329730507 533948582 /nfs/dbraw/zinc/94/85/82/533948582.db2.gz HYPZLQMBPDUHRA-VIFPVBQESA-N 1 2 306.370 1.125 20 30 DDEDLO C[C@@H]1C[NH+](C(C)(C)CNS(=O)(=O)CCCC#N)C[C@@H](C)O1 ZINC000330698989 534437845 /nfs/dbraw/zinc/43/78/45/534437845.db2.gz MGNIYBLQULSRHT-CHWSQXEVSA-N 1 2 317.455 1.097 20 30 DDEDLO Cc1ocnc1CNC(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000329998677 534588781 /nfs/dbraw/zinc/58/87/81/534588781.db2.gz STAAUOAGHYBKAN-CYBMUJFWSA-N 1 2 318.381 1.119 20 30 DDEDLO N#CCCN(C/C=C\c1ccncc1)CC[NH+]1CCOCC1 ZINC000494348313 534633124 /nfs/dbraw/zinc/63/31/24/534633124.db2.gz LXVXBTWOHJKZEQ-IWQZZHSRSA-N 1 2 300.406 1.643 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2cccc(Cl)c2)C1 ZINC000330951024 526402990 /nfs/dbraw/zinc/40/29/90/526402990.db2.gz PBXBYSDOWXFVMV-LBPRGKRZSA-N 1 2 310.785 1.486 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2cccc(Cl)c2)C1 ZINC000330951024 526402994 /nfs/dbraw/zinc/40/29/94/526402994.db2.gz PBXBYSDOWXFVMV-LBPRGKRZSA-N 1 2 310.785 1.486 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)N2CCC(C)CC2)C1=O ZINC000337222826 526472787 /nfs/dbraw/zinc/47/27/87/526472787.db2.gz MHDUGSKFJCWKNL-HUUCEWRRSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)N2CCC(C)CC2)C1=O ZINC000337222826 526472794 /nfs/dbraw/zinc/47/27/94/526472794.db2.gz MHDUGSKFJCWKNL-HUUCEWRRSA-N 1 2 307.438 1.352 20 30 DDEDLO CC(C)(C)OC(=O)NCc1ccc(C(N)=[NH+]OCCO)cc1 ZINC000495343852 526477153 /nfs/dbraw/zinc/47/71/53/526477153.db2.gz YQSPKXOZWMFJDJ-UHFFFAOYSA-N 1 2 309.366 1.341 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(CC(F)(F)F)CC2(C)C)C1=O ZINC000337176416 526507809 /nfs/dbraw/zinc/50/78/09/526507809.db2.gz IWRZORNRWNSCNM-LBPRGKRZSA-N 1 2 319.371 1.732 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(CC(F)(F)F)CC2(C)C)C1=O ZINC000337176416 526507812 /nfs/dbraw/zinc/50/78/12/526507812.db2.gz IWRZORNRWNSCNM-LBPRGKRZSA-N 1 2 319.371 1.732 20 30 DDEDLO C#CCN(C)C(=O)N[C@H](c1[nH+]ccn1C)c1ccc(OC)cc1 ZINC000491715583 526795115 /nfs/dbraw/zinc/79/51/15/526795115.db2.gz QLVJFWCYEOBRQX-HNNXBMFYSA-N 1 2 312.373 1.793 20 30 DDEDLO C=CCOC[C@H]([NH2+][C@@H]1CCO[C@H](C(F)(F)F)C1)C(=O)OC ZINC000348361716 526810573 /nfs/dbraw/zinc/81/05/73/526810573.db2.gz UDTKTMLXAHJJQK-VWYCJHECSA-N 1 2 311.300 1.430 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N(C)Cc1ccc(OC)c(OC)c1 ZINC000490763537 526845763 /nfs/dbraw/zinc/84/57/63/526845763.db2.gz TZRONRWIWCRRFH-UHFFFAOYSA-N 1 2 314.385 1.231 20 30 DDEDLO C#CCN(CC#CC)C(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000490715272 526851663 /nfs/dbraw/zinc/85/16/63/526851663.db2.gz WEKVFRBMPTVLNG-UHFFFAOYSA-N 1 2 308.341 1.066 20 30 DDEDLO C#CCN1C(=O)C[C@@H]([N@@H+]2Cc3ccc(O)cc3C3(CC3)C2)C1=O ZINC000491807753 526914078 /nfs/dbraw/zinc/91/40/78/526914078.db2.gz UFLZPSHHTCNRSU-OAHLLOKOSA-N 1 2 310.353 1.000 20 30 DDEDLO C#CCN1C(=O)C[C@@H]([N@H+]2Cc3ccc(O)cc3C3(CC3)C2)C1=O ZINC000491807753 526914084 /nfs/dbraw/zinc/91/40/84/526914084.db2.gz UFLZPSHHTCNRSU-OAHLLOKOSA-N 1 2 310.353 1.000 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1ccc(C(=O)OC)s1 ZINC000490614986 526946173 /nfs/dbraw/zinc/94/61/73/526946173.db2.gz CPKSVGAXGMVRQJ-NSHDSACASA-N 1 2 307.371 1.789 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1ccc(C(=O)OC)s1 ZINC000490614986 526946178 /nfs/dbraw/zinc/94/61/78/526946178.db2.gz CPKSVGAXGMVRQJ-NSHDSACASA-N 1 2 307.371 1.789 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cccc(F)c2Cl)CC1 ZINC000491642676 526952371 /nfs/dbraw/zinc/95/23/71/526952371.db2.gz BRZDEZLVTAZMGT-UHFFFAOYSA-N 1 2 309.772 1.668 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3scnc3C)n2CC)CC1 ZINC000491474395 526955966 /nfs/dbraw/zinc/95/59/66/526955966.db2.gz MKOHHTRPLMGYNS-UHFFFAOYSA-N 1 2 316.434 1.485 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCS3)n2CC)CC1 ZINC000491474639 526956389 /nfs/dbraw/zinc/95/63/89/526956389.db2.gz ONFUVQFOJFMZAC-ZDUSSCGKSA-N 1 2 305.451 1.621 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000341549492 526961103 /nfs/dbraw/zinc/96/11/03/526961103.db2.gz QPATZWOPBIWYFP-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000341549492 526961107 /nfs/dbraw/zinc/96/11/07/526961107.db2.gz QPATZWOPBIWYFP-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCCCNC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000344576433 527230565 /nfs/dbraw/zinc/23/05/65/527230565.db2.gz UGUBIBMBUXOBOG-UHFFFAOYSA-N 1 2 324.446 1.718 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCc1cn2c(cccc2C)[nH+]1 ZINC000458379360 527431382 /nfs/dbraw/zinc/43/13/82/527431382.db2.gz YFQSPWUJVOUCII-ZDUSSCGKSA-N 1 2 302.378 1.769 20 30 DDEDLO C=CCCc1ccccc1NC(=O)C(=O)N1CC[N@@H+](C)C[C@@H]1C ZINC000337570214 527498095 /nfs/dbraw/zinc/49/80/95/527498095.db2.gz YQHDRACTAHJQBI-AWEZNQCLSA-N 1 2 315.417 1.906 20 30 DDEDLO C=CCCc1ccccc1NC(=O)C(=O)N1CC[N@H+](C)C[C@@H]1C ZINC000337570214 527498100 /nfs/dbraw/zinc/49/81/00/527498100.db2.gz YQHDRACTAHJQBI-AWEZNQCLSA-N 1 2 315.417 1.906 20 30 DDEDLO C=CCCn1cc(C(=O)Nc2nc3c(s2)C[N@H+](C)CC3)nn1 ZINC000424591976 527530258 /nfs/dbraw/zinc/53/02/58/527530258.db2.gz IJQSLZHDJGBARA-UHFFFAOYSA-N 1 2 318.406 1.551 20 30 DDEDLO C=CCCn1cc(C(=O)Nc2nc3c(s2)C[N@@H+](C)CC3)nn1 ZINC000424591976 527530267 /nfs/dbraw/zinc/53/02/67/527530267.db2.gz IJQSLZHDJGBARA-UHFFFAOYSA-N 1 2 318.406 1.551 20 30 DDEDLO CC(C)CCN(CCC#N)C(=O)C[N@H+](C)CC(=O)NC(C)C ZINC000349403622 527711153 /nfs/dbraw/zinc/71/11/53/527711153.db2.gz WZDXDWZWNGVIQS-UHFFFAOYSA-N 1 2 310.442 1.231 20 30 DDEDLO CC(C)CCN(CCC#N)C(=O)C[N@@H+](C)CC(=O)NC(C)C ZINC000349403622 527711161 /nfs/dbraw/zinc/71/11/61/527711161.db2.gz WZDXDWZWNGVIQS-UHFFFAOYSA-N 1 2 310.442 1.231 20 30 DDEDLO CC[N@H+](CC(=O)NCc1ccc(C#N)cc1)Cc1cnn(C)c1 ZINC000299603360 527910263 /nfs/dbraw/zinc/91/02/63/527910263.db2.gz MZSKLGSNYJAURS-UHFFFAOYSA-N 1 2 311.389 1.430 20 30 DDEDLO CC[N@@H+](CC(=O)NCc1ccc(C#N)cc1)Cc1cnn(C)c1 ZINC000299603360 527910266 /nfs/dbraw/zinc/91/02/66/527910266.db2.gz MZSKLGSNYJAURS-UHFFFAOYSA-N 1 2 311.389 1.430 20 30 DDEDLO CCN(CC)c1ccc(CNC(=O)N[C@@H]2CC[S@@](=O)C2)c[nH+]1 ZINC000330030042 528297632 /nfs/dbraw/zinc/29/76/32/528297632.db2.gz QZJJLVYVCFZOEL-MCMMXHMISA-N 1 2 324.450 1.452 20 30 DDEDLO CCOC(C)(C)CNC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000331026518 528402538 /nfs/dbraw/zinc/40/25/38/528402538.db2.gz BNDXQTGJXFJMQB-CYBMUJFWSA-N 1 2 323.441 1.817 20 30 DDEDLO CC(C)Cn1cc(C(=O)NC(C)(C)C[NH+]2CCOCC2)cn1 ZINC000331357960 528698592 /nfs/dbraw/zinc/69/85/92/528698592.db2.gz UOHRVJZZTZRQFJ-UHFFFAOYSA-N 1 2 308.426 1.955 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)CC(C)C ZINC000343324785 528792593 /nfs/dbraw/zinc/79/25/93/528792593.db2.gz XQXPMAYDZIYCRW-INIZCTEOSA-N 1 2 309.410 1.316 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)CC(C)C ZINC000343324785 528792594 /nfs/dbraw/zinc/79/25/94/528792594.db2.gz XQXPMAYDZIYCRW-INIZCTEOSA-N 1 2 309.410 1.316 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N(CC)C[C@H](C)C#N)C(C)(C)C ZINC000431142729 528792817 /nfs/dbraw/zinc/79/28/17/528792817.db2.gz GMOONEDJPAAQCA-CYBMUJFWSA-N 1 2 311.426 1.658 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N(CC)C[C@H](C)C#N)C(C)(C)C ZINC000431142729 528792820 /nfs/dbraw/zinc/79/28/20/528792820.db2.gz GMOONEDJPAAQCA-CYBMUJFWSA-N 1 2 311.426 1.658 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)NC[C@H]2CCCCS2)CCO1 ZINC000329610808 529115924 /nfs/dbraw/zinc/11/59/24/529115924.db2.gz CDHYXVBDDNFCLR-CYBMUJFWSA-N 1 2 315.483 1.887 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)NC[C@H]2CCCCS2)CCO1 ZINC000329610808 529115927 /nfs/dbraw/zinc/11/59/27/529115927.db2.gz CDHYXVBDDNFCLR-CYBMUJFWSA-N 1 2 315.483 1.887 20 30 DDEDLO COCC[NH+]1CCC([NH2+][C@H](C(=O)[O-])c2ccc(C#N)cc2)CC1 ZINC000737814085 601102213 /nfs/dbraw/zinc/10/22/13/601102213.db2.gz VSGVWJWMODVSNX-INIZCTEOSA-N 1 2 317.389 1.384 20 30 DDEDLO C=CC[N@@H+](Cc1ccnn1C)Cc1cccc(-c2nn[nH]n2)c1 ZINC000823914330 608632209 /nfs/dbraw/zinc/63/22/09/608632209.db2.gz GFKGDHFMJNUFQM-UHFFFAOYSA-N 1 2 309.377 1.788 20 30 DDEDLO C=CC[N@H+](Cc1ccnn1C)Cc1cccc(-c2nn[nH]n2)c1 ZINC000823914330 608632212 /nfs/dbraw/zinc/63/22/12/608632212.db2.gz GFKGDHFMJNUFQM-UHFFFAOYSA-N 1 2 309.377 1.788 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCCO3)C2)C1 ZINC000972274461 695200754 /nfs/dbraw/zinc/20/07/54/695200754.db2.gz GILABHVSIWISBV-AEFFLSMTSA-N 1 2 320.433 1.272 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCCO3)C2)C1 ZINC000972274461 695200756 /nfs/dbraw/zinc/20/07/56/695200756.db2.gz GILABHVSIWISBV-AEFFLSMTSA-N 1 2 320.433 1.272 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnccc3C)C2)C1 ZINC000972309800 695213150 /nfs/dbraw/zinc/21/31/50/695213150.db2.gz FXVUYWCMOOEXBB-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnccc3C)C2)C1 ZINC000972309800 695213152 /nfs/dbraw/zinc/21/31/52/695213152.db2.gz FXVUYWCMOOEXBB-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)C1 ZINC000972319185 695214978 /nfs/dbraw/zinc/21/49/78/695214978.db2.gz KFTKOYBPRRCXKY-LHHMISFZSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)C1 ZINC000972319185 695214980 /nfs/dbraw/zinc/21/49/80/695214980.db2.gz KFTKOYBPRRCXKY-LHHMISFZSA-N 1 2 304.434 1.912 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccoc3CC)C2)C1 ZINC000972364282 695229497 /nfs/dbraw/zinc/22/94/97/695229497.db2.gz FWJWYMRFQGBRKE-SFHVURJKSA-N 1 2 316.401 1.782 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccoc3CC)C2)C1 ZINC000972364282 695229498 /nfs/dbraw/zinc/22/94/98/695229498.db2.gz FWJWYMRFQGBRKE-SFHVURJKSA-N 1 2 316.401 1.782 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3sccc3F)C2)C1 ZINC000972367268 695230870 /nfs/dbraw/zinc/23/08/70/695230870.db2.gz HTXZITQOOAGKBU-HNNXBMFYSA-N 1 2 310.394 1.990 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3sccc3F)C2)C1 ZINC000972367268 695230872 /nfs/dbraw/zinc/23/08/72/695230872.db2.gz HTXZITQOOAGKBU-HNNXBMFYSA-N 1 2 310.394 1.990 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3OCC[C@H]3C(C)C)C2)C1 ZINC000972387587 695237655 /nfs/dbraw/zinc/23/76/55/695237655.db2.gz SGMWLXUVRWPUPK-BQFCYCMXSA-N 1 2 322.449 1.537 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3OCC[C@H]3C(C)C)C2)C1 ZINC000972387587 695237656 /nfs/dbraw/zinc/23/76/56/695237656.db2.gz SGMWLXUVRWPUPK-BQFCYCMXSA-N 1 2 322.449 1.537 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccnn3C(C)C)C2)C1 ZINC000972394552 695239132 /nfs/dbraw/zinc/23/91/32/695239132.db2.gz KRKXIXHQHSUAIR-KRWDZBQOSA-N 1 2 316.405 1.014 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccnn3C(C)C)C2)C1 ZINC000972394552 695239133 /nfs/dbraw/zinc/23/91/33/695239133.db2.gz KRKXIXHQHSUAIR-KRWDZBQOSA-N 1 2 316.405 1.014 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ocnc3CC)C2)C1 ZINC000972544218 695277863 /nfs/dbraw/zinc/27/78/63/695277863.db2.gz TXWUZBDTDVBVNW-KRWDZBQOSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ocnc3CC)C2)C1 ZINC000972544218 695277864 /nfs/dbraw/zinc/27/78/64/695277864.db2.gz TXWUZBDTDVBVNW-KRWDZBQOSA-N 1 2 317.389 1.177 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973017260 695396595 /nfs/dbraw/zinc/39/65/95/695396595.db2.gz UBRFLEMOMRMHQF-VXGBXAGGSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973017260 695396597 /nfs/dbraw/zinc/39/65/97/695396597.db2.gz UBRFLEMOMRMHQF-VXGBXAGGSA-N 1 2 313.829 1.092 20 30 DDEDLO Cc1oc(-n2cccc2)c(C#N)c1C(=O)NCCc1[nH]cc[nH+]1 ZINC000746242243 700013165 /nfs/dbraw/zinc/01/31/65/700013165.db2.gz GLJXLFLPDBQZTM-UHFFFAOYSA-N 1 2 309.329 1.946 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2snnc2C2CC2)C(C)(C)C1 ZINC000974709046 695716429 /nfs/dbraw/zinc/71/64/29/695716429.db2.gz GRVIQAAYDGSSHV-LLVKDONJSA-N 1 2 304.419 1.489 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2snnc2C2CC2)C(C)(C)C1 ZINC000974709046 695716432 /nfs/dbraw/zinc/71/64/32/695716432.db2.gz GRVIQAAYDGSSHV-LLVKDONJSA-N 1 2 304.419 1.489 20 30 DDEDLO C[C@@H](O[NH+]=C(N)c1ccc(F)cc1)C(=O)NCC(F)(F)F ZINC000029464642 696110642 /nfs/dbraw/zinc/11/06/42/696110642.db2.gz GRJMSIXRIFDICD-SSDOTTSWSA-N 1 2 307.247 1.530 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cn2ccccc2=O)C(C)(C)C1 ZINC000977565701 696214570 /nfs/dbraw/zinc/21/45/70/696214570.db2.gz KFILRBSSXUCQEV-CYBMUJFWSA-N 1 2 323.824 1.427 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cn2ccccc2=O)C(C)(C)C1 ZINC000977565701 696214573 /nfs/dbraw/zinc/21/45/73/696214573.db2.gz KFILRBSSXUCQEV-CYBMUJFWSA-N 1 2 323.824 1.427 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCCN(C(=O)C(F)F)CC1 ZINC000980590798 696808444 /nfs/dbraw/zinc/80/84/44/696808444.db2.gz LYEKKGZJXAEEPP-UHFFFAOYSA-N 1 2 323.343 1.866 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCCN(C(=O)C(F)F)CC1 ZINC000980590798 696808446 /nfs/dbraw/zinc/80/84/46/696808446.db2.gz LYEKKGZJXAEEPP-UHFFFAOYSA-N 1 2 323.343 1.866 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@@H+](Cc3conc3C)CC2)c1 ZINC000981923050 696970085 /nfs/dbraw/zinc/97/00/85/696970085.db2.gz GQSKTWOSQUFOKH-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@H+](Cc3conc3C)CC2)c1 ZINC000981923050 696970086 /nfs/dbraw/zinc/97/00/86/696970086.db2.gz GQSKTWOSQUFOKH-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@@H+](Cc3cc(C)no3)CC2)c1 ZINC000981923637 696970164 /nfs/dbraw/zinc/97/01/64/696970164.db2.gz WYDIZJPJYBZIEJ-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@H+](Cc3cc(C)no3)CC2)c1 ZINC000981923637 696970166 /nfs/dbraw/zinc/97/01/66/696970166.db2.gz WYDIZJPJYBZIEJ-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CCC[N@H+](Cc2cnon2)C3)C1 ZINC000982001329 696998986 /nfs/dbraw/zinc/99/89/86/696998986.db2.gz WHLGQPMHYXELDV-UHFFFAOYSA-N 1 2 316.405 1.850 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CCC[N@@H+](Cc2cnon2)C3)C1 ZINC000982001329 696998987 /nfs/dbraw/zinc/99/89/87/696998987.db2.gz WHLGQPMHYXELDV-UHFFFAOYSA-N 1 2 316.405 1.850 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2scnc2COC)CC1 ZINC000982120854 697035548 /nfs/dbraw/zinc/03/55/48/697035548.db2.gz XNENAHIFTPJPGW-UHFFFAOYSA-N 1 2 307.419 1.461 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2scnc2COC)CC1 ZINC000982120854 697035550 /nfs/dbraw/zinc/03/55/50/697035550.db2.gz XNENAHIFTPJPGW-UHFFFAOYSA-N 1 2 307.419 1.461 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@@H+]([C@H]2CCNC2=O)CC1 ZINC000981240700 697043785 /nfs/dbraw/zinc/04/37/85/697043785.db2.gz XPNIARBBUPAWPQ-LBPRGKRZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@H+]([C@H]2CCNC2=O)CC1 ZINC000981240700 697043788 /nfs/dbraw/zinc/04/37/88/697043788.db2.gz XPNIARBBUPAWPQ-LBPRGKRZSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CC[NH2+][C@H]1CCC[C@@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000981261749 697049805 /nfs/dbraw/zinc/04/98/05/697049805.db2.gz IKJXQIMPEJOAQS-JKSUJKDBSA-N 1 2 323.400 1.736 20 30 DDEDLO C=C(Cl)CN1CCCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)CC1 ZINC000981472216 697105274 /nfs/dbraw/zinc/10/52/74/697105274.db2.gz FUUPMFOYXZXFRK-CQSZACIVSA-N 1 2 322.840 1.732 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCNC(=O)[C@H]2CC)c(O)c(OC)c1 ZINC000164304072 697336137 /nfs/dbraw/zinc/33/61/37/697336137.db2.gz UVDRRXOZZUYUTA-CQSZACIVSA-N 1 2 304.390 1.840 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCNC(=O)[C@H]2CC)c(O)c(OC)c1 ZINC000164304072 697336140 /nfs/dbraw/zinc/33/61/40/697336140.db2.gz UVDRRXOZZUYUTA-CQSZACIVSA-N 1 2 304.390 1.840 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000171399770 697357771 /nfs/dbraw/zinc/35/77/71/697357771.db2.gz YWIGHLNIEWGQCU-HNNXBMFYSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000171399770 697357773 /nfs/dbraw/zinc/35/77/73/697357773.db2.gz YWIGHLNIEWGQCU-HNNXBMFYSA-N 1 2 304.346 1.201 20 30 DDEDLO N#Cc1ccc(O)c(NC(=O)CCCC[NH+]2CCOCC2)c1 ZINC000179740687 697441364 /nfs/dbraw/zinc/44/13/64/697441364.db2.gz IMVAABNQIFDUJM-UHFFFAOYSA-N 1 2 303.362 1.705 20 30 DDEDLO CC(=NNS(=O)(=O)C(C)C)c1ccc(-n2cc[nH+]c2)cc1 ZINC000749748741 700182481 /nfs/dbraw/zinc/18/24/81/700182481.db2.gz LYPGPNXSLAUKCQ-UHFFFAOYSA-N 1 2 306.391 1.924 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]([NH2+]Cc3nnn(C)n3)[C@@H]2C)CC1 ZINC000986858263 697931212 /nfs/dbraw/zinc/93/12/12/697931212.db2.gz WKQFTPCSLFVPIB-JSGCOSHPSA-N 1 2 318.425 1.036 20 30 DDEDLO C#CCOc1ccccc1NC(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000776087960 698065267 /nfs/dbraw/zinc/06/52/67/698065267.db2.gz YDBBWONEQOZNHC-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CCOc1ccccc1NC(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000776087960 698065271 /nfs/dbraw/zinc/06/52/71/698065271.db2.gz YDBBWONEQOZNHC-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO C[C@@H]1C[C@@H]([NH2+]Cc2csnn2)CN1C(=O)c1cc(C#N)c[nH]1 ZINC000988504918 698392824 /nfs/dbraw/zinc/39/28/24/698392824.db2.gz ZZAWESKQHZARFZ-MWLCHTKSSA-N 1 2 316.390 1.131 20 30 DDEDLO Cn1ccc(C[NH2+][C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]2C#N)c1 ZINC000779401724 698420306 /nfs/dbraw/zinc/42/03/06/698420306.db2.gz CIFKMSIQZQVBKC-UONOGXRCSA-N 1 2 304.394 1.874 20 30 DDEDLO C#CCCCCCC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000779929324 698479585 /nfs/dbraw/zinc/47/95/85/698479585.db2.gz IMBVBOJXCXFOKD-UHFFFAOYSA-N 1 2 318.421 1.738 20 30 DDEDLO N#Cc1cccc(NC(=O)C[NH+]2CCC3(CNC(=O)O3)CC2)c1 ZINC000781998851 698671343 /nfs/dbraw/zinc/67/13/43/698671343.db2.gz MQMHOJQBWVEGLV-UHFFFAOYSA-N 1 2 314.345 1.071 20 30 DDEDLO C=C(CC)COC(=O)CC[NH+]1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000787002230 699185230 /nfs/dbraw/zinc/18/52/30/699185230.db2.gz UQUQORAHNVECBS-HNNXBMFYSA-N 1 2 324.421 1.209 20 30 DDEDLO C=CCCCOC(=O)CC[NH+]1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000787000754 699185327 /nfs/dbraw/zinc/18/53/27/699185327.db2.gz QBKGWKIJSWWNBS-HNNXBMFYSA-N 1 2 324.421 1.209 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)O[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000787715326 699233659 /nfs/dbraw/zinc/23/36/59/699233659.db2.gz UOTPFEIAEFOSPN-QWHCGFSZSA-N 1 2 307.394 1.355 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)O[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000787715326 699233662 /nfs/dbraw/zinc/23/36/62/699233662.db2.gz UOTPFEIAEFOSPN-QWHCGFSZSA-N 1 2 307.394 1.355 20 30 DDEDLO C#Cc1cccc(NC(=S)N[C@@H](C)C[NH+]2CCOCC2)c1 ZINC000726462860 699364171 /nfs/dbraw/zinc/36/41/71/699364171.db2.gz MKERASMTEBTJCD-ZDUSSCGKSA-N 1 2 303.431 1.675 20 30 DDEDLO CCN(C)c1ccc(C=[NH+]NC(=S)NC[C@H]2CCCO2)cn1 ZINC000790147967 699445471 /nfs/dbraw/zinc/44/54/71/699445471.db2.gz NXALNUUQBVEVNX-CYBMUJFWSA-N 1 2 321.450 1.515 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(NC(C)=O)c1 ZINC000730096714 699500648 /nfs/dbraw/zinc/50/06/48/699500648.db2.gz RRIPCBUZFXSTKP-HNNXBMFYSA-N 1 2 303.337 1.820 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(NC(C)=O)c1 ZINC000730096714 699500649 /nfs/dbraw/zinc/50/06/49/699500649.db2.gz RRIPCBUZFXSTKP-HNNXBMFYSA-N 1 2 303.337 1.820 20 30 DDEDLO Cc1cccn2cc(CC(=O)N3CCN(C4CC4)[C@@H](C#N)C3)[nH+]c12 ZINC000732647458 699564967 /nfs/dbraw/zinc/56/49/67/699564967.db2.gz FJXXCBZIKQJVAB-INIZCTEOSA-N 1 2 323.400 1.384 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(Cc2ccc(C#N)c(F)c2)CC1 ZINC000792255003 699687021 /nfs/dbraw/zinc/68/70/21/699687021.db2.gz LGUORFYYFMGKLE-NRFANRHFSA-N 1 2 309.410 1.193 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000738339094 699757499 /nfs/dbraw/zinc/75/74/99/699757499.db2.gz IQVACPIQOCHERP-AWEZNQCLSA-N 1 2 302.349 1.470 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000738339094 699757500 /nfs/dbraw/zinc/75/75/00/699757500.db2.gz IQVACPIQOCHERP-AWEZNQCLSA-N 1 2 302.349 1.470 20 30 DDEDLO COC(=O)[C@@H](C)C[N@H+](C)[C@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000739914827 699782887 /nfs/dbraw/zinc/78/28/87/699782887.db2.gz LMHFCGMIYNAPQJ-FZMZJTMJSA-N 1 2 304.346 1.513 20 30 DDEDLO COC(=O)[C@@H](C)C[N@@H+](C)[C@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000739914827 699782888 /nfs/dbraw/zinc/78/28/88/699782888.db2.gz LMHFCGMIYNAPQJ-FZMZJTMJSA-N 1 2 304.346 1.513 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)N(C)CC[NH+]1CCOCC1 ZINC000739916275 699782940 /nfs/dbraw/zinc/78/29/40/699782940.db2.gz WLJDBDJTDYUXPZ-MRXNPFEDSA-N 1 2 317.389 1.036 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCCC[C@H]2[NH+]2CCOCC2)o1 ZINC000794381471 699806153 /nfs/dbraw/zinc/80/61/53/699806153.db2.gz AVIGMDQRZFTHGI-ZIAGYGMSSA-N 1 2 303.362 1.524 20 30 DDEDLO COC(=O)[C@@H](OC(=O)[C@H]1CCCC[N@@H+]1C)c1cccc(C#N)c1 ZINC000751665284 700305519 /nfs/dbraw/zinc/30/55/19/700305519.db2.gz AQJXYQCVNBPJEI-CABCVRRESA-N 1 2 316.357 1.800 20 30 DDEDLO COC(=O)[C@@H](OC(=O)[C@H]1CCCC[N@H+]1C)c1cccc(C#N)c1 ZINC000751665284 700305523 /nfs/dbraw/zinc/30/55/23/700305523.db2.gz AQJXYQCVNBPJEI-CABCVRRESA-N 1 2 316.357 1.800 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(CCO[C@H](C)C#N)CC1 ZINC000801918056 700353625 /nfs/dbraw/zinc/35/36/25/700353625.db2.gz WWHSTDNGJQZQFP-OAHLLOKOSA-N 1 2 303.406 1.741 20 30 DDEDLO C#CCOCCNC(=O)CSCc1cn2cc(C)ccc2[nH+]1 ZINC000753232975 700416654 /nfs/dbraw/zinc/41/66/54/700416654.db2.gz UKIIFNPRZLJFIC-UHFFFAOYSA-N 1 2 317.414 1.642 20 30 DDEDLO CCCCn1nnnc1CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000753829194 700460670 /nfs/dbraw/zinc/46/06/70/700460670.db2.gz GTMPVDJNIAZWLV-UHFFFAOYSA-N 1 2 316.365 1.245 20 30 DDEDLO COC(=O)CCC1CC[NH+](Cn2cccc(C#N)c2=O)CC1 ZINC000758235182 700692950 /nfs/dbraw/zinc/69/29/50/700692950.db2.gz HDSAKTIXHYOKEA-UHFFFAOYSA-N 1 2 303.362 1.343 20 30 DDEDLO CC[C@H]1C[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C[C@@H]1O ZINC000761128456 700846129 /nfs/dbraw/zinc/84/61/29/700846129.db2.gz VQGIAKUMDSAGGY-WFASDCNBSA-N 1 2 312.373 1.123 20 30 DDEDLO CC[C@H]1C[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C[C@@H]1O ZINC000761128456 700846131 /nfs/dbraw/zinc/84/61/31/700846131.db2.gz VQGIAKUMDSAGGY-WFASDCNBSA-N 1 2 312.373 1.123 20 30 DDEDLO C#Cc1ccc(C(=O)Nc2ccc(N3CCOCC3)[nH+]c2C)nc1 ZINC000770211503 701271568 /nfs/dbraw/zinc/27/15/68/701271568.db2.gz CODCWEMZPPIABH-UHFFFAOYSA-N 1 2 322.368 1.855 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)NCC(C)(C)CC#N)c(N(C)C)[nH+]1 ZINC000839582041 701745032 /nfs/dbraw/zinc/74/50/32/701745032.db2.gz XDGSOOMQUMCZHS-UHFFFAOYSA-N 1 2 317.393 1.451 20 30 DDEDLO C=CCN1CCN(CN2CC[NH+](Cc3ccsc3)CC2)C1=O ZINC000839956940 701876864 /nfs/dbraw/zinc/87/68/64/701876864.db2.gz QBCHXRRBRXASPY-UHFFFAOYSA-N 1 2 320.462 1.747 20 30 DDEDLO COC[C@@]1(C)CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000840103098 701969329 /nfs/dbraw/zinc/96/93/29/701969329.db2.gz AETWBJSBWHTSIV-KRWDZBQOSA-N 1 2 301.390 1.525 20 30 DDEDLO COC[C@@]1(C)CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000840103098 701969332 /nfs/dbraw/zinc/96/93/32/701969332.db2.gz AETWBJSBWHTSIV-KRWDZBQOSA-N 1 2 301.390 1.525 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)N[C@H]1CCn3cc[nH+]c3C1)C2 ZINC000868519157 702172949 /nfs/dbraw/zinc/17/29/49/702172949.db2.gz BQOWYZPWWOXYEX-HOTGVXAUSA-N 1 2 321.384 1.536 20 30 DDEDLO CC1(C)[C@H](O)CC[N@@H+]1Cc1nc2ccccc2c(=O)n1CC#N ZINC000812868521 702255224 /nfs/dbraw/zinc/25/52/24/702255224.db2.gz KXMZFSKJGFEZCS-CQSZACIVSA-N 1 2 312.373 1.265 20 30 DDEDLO CC1(C)[C@H](O)CC[N@H+]1Cc1nc2ccccc2c(=O)n1CC#N ZINC000812868521 702255226 /nfs/dbraw/zinc/25/52/26/702255226.db2.gz KXMZFSKJGFEZCS-CQSZACIVSA-N 1 2 312.373 1.265 20 30 DDEDLO C#CCN1C(=O)C[C@@H]([N@@H+]2CCS[C@@H](c3ccccc3)C2)C1=O ZINC000844273115 703002780 /nfs/dbraw/zinc/00/27/80/703002780.db2.gz NOGQGUDRJUILCF-HUUCEWRRSA-N 1 2 314.410 1.537 20 30 DDEDLO C#CCN1C(=O)C[C@@H]([N@H+]2CCS[C@@H](c3ccccc3)C2)C1=O ZINC000844273115 703002783 /nfs/dbraw/zinc/00/27/83/703002783.db2.gz NOGQGUDRJUILCF-HUUCEWRRSA-N 1 2 314.410 1.537 20 30 DDEDLO C[C@@H](CC(=O)[C@@H](C#N)C(=O)NC1CCCCC1)n1cc[nH+]c1 ZINC000845441731 703157599 /nfs/dbraw/zinc/15/75/99/703157599.db2.gz SHFHDRFPEKLNOS-GXTWGEPZSA-N 1 2 302.378 1.992 20 30 DDEDLO CCCCCNC(=O)C[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879584719 706728370 /nfs/dbraw/zinc/72/83/70/706728370.db2.gz HJTFICKWYWBDJW-UHFFFAOYSA-N 1 2 314.433 1.987 20 30 DDEDLO C#CCC(C)(C)C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000846094772 703237272 /nfs/dbraw/zinc/23/72/72/703237272.db2.gz GNKXJLXZLHUZPS-UHFFFAOYSA-N 1 2 313.405 1.695 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)C#Cc1ccccc1)C2 ZINC000846123340 703240326 /nfs/dbraw/zinc/24/03/26/703240326.db2.gz GAGPRLQGBDRXKP-INIZCTEOSA-N 1 2 323.396 1.209 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)N2Cc3ccc(C#N)cc3C2)CCO1 ZINC000847305378 703399476 /nfs/dbraw/zinc/39/94/76/703399476.db2.gz JPPFCQKMBCGPFM-CYBMUJFWSA-N 1 2 314.389 1.304 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)N2Cc3ccc(C#N)cc3C2)CCO1 ZINC000847305378 703399477 /nfs/dbraw/zinc/39/94/77/703399477.db2.gz JPPFCQKMBCGPFM-CYBMUJFWSA-N 1 2 314.389 1.304 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[NH2+]Cc2nc(C(N)=O)cs2)cc1 ZINC000850383230 703728435 /nfs/dbraw/zinc/72/84/35/703728435.db2.gz BTMNPKVEBOZXJW-SNVBAGLBSA-N 1 2 316.386 1.823 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2ccccc2OCC)CC1 ZINC000851729090 703838695 /nfs/dbraw/zinc/83/86/95/703838695.db2.gz YSGJUXLSGYXBBS-UHFFFAOYSA-N 1 2 316.401 1.493 20 30 DDEDLO C#CC[C@H](CO)NC(=O)Nc1ccc2c(cc[nH+]c2N(C)C)c1 ZINC000879717562 706768645 /nfs/dbraw/zinc/76/86/45/706768645.db2.gz ALOLFNNOMRPBNN-CQSZACIVSA-N 1 2 312.373 1.807 20 30 DDEDLO N#Cc1nc(C2CC2)oc1N1CC[NH+](C[C@@H]2CCC(=O)O2)CC1 ZINC000851822259 703865130 /nfs/dbraw/zinc/86/51/30/703865130.db2.gz LEEDPOWMXOXYRS-LBPRGKRZSA-N 1 2 316.361 1.251 20 30 DDEDLO C#CCOCC[NH+]1CCN(c2nnc(C(F)(F)F)s2)CC1 ZINC000851953821 703889765 /nfs/dbraw/zinc/88/97/65/703889765.db2.gz KOHJLPPJQGCMGQ-UHFFFAOYSA-N 1 2 320.340 1.329 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCN(c2ccc(C#N)cc2)CC1 ZINC000870095108 703915059 /nfs/dbraw/zinc/91/50/59/703915059.db2.gz KADKDPRRYKXOGP-UHFFFAOYSA-N 1 2 309.373 1.183 20 30 DDEDLO COc1cccc([C@@H]2CN(C(=O)c3ccnc(C#N)c3)CC[NH2+]2)c1 ZINC000870151886 703934191 /nfs/dbraw/zinc/93/41/91/703934191.db2.gz DKODUXQGNIDQTF-KRWDZBQOSA-N 1 2 322.368 1.749 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH2+][C@H](c2cccc(OC)c2)C1 ZINC000870154210 703936039 /nfs/dbraw/zinc/93/60/39/703936039.db2.gz HAUJNUUDMGBEHJ-IKGGRYGDSA-N 1 2 316.401 1.759 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCN(C(=O)CC(C)C)CC1 ZINC000879759289 706782432 /nfs/dbraw/zinc/78/24/32/706782432.db2.gz FGQBDGRQIRKBAB-UHFFFAOYSA-N 1 2 322.453 1.329 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCN(C(=O)CC(C)C)CC1 ZINC000879759289 706782433 /nfs/dbraw/zinc/78/24/33/706782433.db2.gz FGQBDGRQIRKBAB-UHFFFAOYSA-N 1 2 322.453 1.329 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[NH+]1C[C@H](C)C(O)[C@@H](C)C1 ZINC000852503197 704064394 /nfs/dbraw/zinc/06/43/94/704064394.db2.gz LKWYOSSABAEJME-HUBLWGQQSA-N 1 2 302.374 1.721 20 30 DDEDLO CCNc1nc(N=NCc2c[nH+]cn2C(C)C)nc(NCC)n1 ZINC000819806786 704176139 /nfs/dbraw/zinc/17/61/39/704176139.db2.gz WUSYZEFCUVSGSE-UHFFFAOYSA-N 1 2 317.401 1.959 20 30 DDEDLO C#CC[NH+]1CCN(CN2CC[C@H](C3CCCCC3)C2=O)CC1 ZINC000853490308 704248592 /nfs/dbraw/zinc/24/85/92/704248592.db2.gz ULJBFFRCSCVYIU-QGZVFWFLSA-N 1 2 303.450 1.624 20 30 DDEDLO Cn1cncc1[C@@H]1CC(=O)N(C[N@@H+]2CCC[C@H](CC#N)C2)C1 ZINC000853524819 704259686 /nfs/dbraw/zinc/25/96/86/704259686.db2.gz QPNATFKXMZPMNP-ZIAGYGMSSA-N 1 2 301.394 1.319 20 30 DDEDLO Cn1cncc1[C@@H]1CC(=O)N(C[N@H+]2CCC[C@H](CC#N)C2)C1 ZINC000853524819 704259690 /nfs/dbraw/zinc/25/96/90/704259690.db2.gz QPNATFKXMZPMNP-ZIAGYGMSSA-N 1 2 301.394 1.319 20 30 DDEDLO C#CCC[N@@H+](CCOC)Cn1nc(C(=O)OC)c(Cl)c1C ZINC000853619717 704287060 /nfs/dbraw/zinc/28/70/60/704287060.db2.gz IVZNALKCUCWKII-UHFFFAOYSA-N 1 2 313.785 1.561 20 30 DDEDLO C#CCC[N@H+](CCOC)Cn1nc(C(=O)OC)c(Cl)c1C ZINC000853619717 704287062 /nfs/dbraw/zinc/28/70/62/704287062.db2.gz IVZNALKCUCWKII-UHFFFAOYSA-N 1 2 313.785 1.561 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)NC2(CCCCC2)C1=O ZINC000853620354 704287604 /nfs/dbraw/zinc/28/76/04/704287604.db2.gz ZDHMDIHHJMTXPO-UHFFFAOYSA-N 1 2 307.394 1.170 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)NC2(CCCCC2)C1=O ZINC000853620354 704287606 /nfs/dbraw/zinc/28/76/06/704287606.db2.gz ZDHMDIHHJMTXPO-UHFFFAOYSA-N 1 2 307.394 1.170 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C(C)(C)[C@H]1[C@@H]1CCCO1 ZINC000853621149 704287971 /nfs/dbraw/zinc/28/79/71/704287971.db2.gz RBRCTRNEBQSONB-LSDHHAIUSA-N 1 2 308.422 1.332 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C(C)(C)[C@H]1[C@@H]1CCCO1 ZINC000853621149 704287974 /nfs/dbraw/zinc/28/79/74/704287974.db2.gz RBRCTRNEBQSONB-LSDHHAIUSA-N 1 2 308.422 1.332 20 30 DDEDLO CS(=O)(=O)Nc1ccc(C(=O)/C=C/c2ccc(N)[nH+]c2)cc1 ZINC000821038788 704362665 /nfs/dbraw/zinc/36/26/65/704362665.db2.gz MTNJHTNKBFNDBC-KRXBUXKQSA-N 1 2 317.370 1.931 20 30 DDEDLO O=S(=O)(NC1CC1)c1ccc(NNC=C2CC2(F)F)[nH+]c1 ZINC000834939699 707150459 /nfs/dbraw/zinc/15/04/59/707150459.db2.gz NMFIZIJUZKNTIO-QMMMGPOBSA-N 1 2 316.333 1.575 20 30 DDEDLO COC[C@]1(C)C[N@H+](Cc2cc(C#N)ccc2N(C)C)CCO1 ZINC000877661974 706173420 /nfs/dbraw/zinc/17/34/20/706173420.db2.gz KVBFQPUGZPIZFJ-KRWDZBQOSA-N 1 2 303.406 1.862 20 30 DDEDLO COC[C@]1(C)C[N@@H+](Cc2cc(C#N)ccc2N(C)C)CCO1 ZINC000877661974 706173422 /nfs/dbraw/zinc/17/34/22/706173422.db2.gz KVBFQPUGZPIZFJ-KRWDZBQOSA-N 1 2 303.406 1.862 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)C#Cc2cccs2)C[C@H]1C ZINC000828405609 706173544 /nfs/dbraw/zinc/17/35/44/706173544.db2.gz OBCLFJWXKCJHGM-CYBMUJFWSA-N 1 2 320.414 1.195 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)C#Cc2cccs2)C[C@H]1C ZINC000828405609 706173546 /nfs/dbraw/zinc/17/35/46/706173546.db2.gz OBCLFJWXKCJHGM-CYBMUJFWSA-N 1 2 320.414 1.195 20 30 DDEDLO S=C(NN=C1CCC[N@@H+]2CCSC[C@H]12)Nc1ccccn1 ZINC000872358166 707388989 /nfs/dbraw/zinc/38/89/89/707388989.db2.gz PWPNWENJFYVTPD-GFCCVEGCSA-N 1 2 321.475 1.935 20 30 DDEDLO S=C(NN=C1CCC[N@H+]2CCSC[C@H]12)Nc1ccccn1 ZINC000872358166 707388990 /nfs/dbraw/zinc/38/89/90/707388990.db2.gz PWPNWENJFYVTPD-GFCCVEGCSA-N 1 2 321.475 1.935 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000872475215 707434063 /nfs/dbraw/zinc/43/40/63/707434063.db2.gz MAOZKJBUNGTZDV-ZDUSSCGKSA-N 1 2 316.386 1.357 20 30 DDEDLO C#CC[C@H]1CCC[N@@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC000829793543 706397327 /nfs/dbraw/zinc/39/73/27/706397327.db2.gz IGCCRCHCLTYVJV-AWEZNQCLSA-N 1 2 314.385 1.687 20 30 DDEDLO C#CC[C@H]1CCC[N@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC000829793543 706397328 /nfs/dbraw/zinc/39/73/28/706397328.db2.gz IGCCRCHCLTYVJV-AWEZNQCLSA-N 1 2 314.385 1.687 20 30 DDEDLO C=C(Cl)C[C@@H]1NC(=O)N(CCCNc2cccc[nH+]2)C1=O ZINC000865298540 706397373 /nfs/dbraw/zinc/39/73/73/706397373.db2.gz GRSHRLKWLXTHEF-NSHDSACASA-N 1 2 308.769 1.947 20 30 DDEDLO CC[N@H+](CC[C@](C#N)(C(C)=O)c1ccccc1)[C@H]1CCNC1=O ZINC000878556724 706426719 /nfs/dbraw/zinc/42/67/19/706426719.db2.gz UPTVYDWARXOHLL-WMZOPIPTSA-N 1 2 313.401 1.637 20 30 DDEDLO CC[N@@H+](CC[C@](C#N)(C(C)=O)c1ccccc1)[C@H]1CCNC1=O ZINC000878556724 706426722 /nfs/dbraw/zinc/42/67/22/706426722.db2.gz UPTVYDWARXOHLL-WMZOPIPTSA-N 1 2 313.401 1.637 20 30 DDEDLO CCC[N@H+](CC[C@](C#N)(C(C)=O)c1ccccc1)CC(N)=O ZINC000878647786 706455613 /nfs/dbraw/zinc/45/56/13/706455613.db2.gz PXUDOQCOGOPYNN-KRWDZBQOSA-N 1 2 301.390 1.624 20 30 DDEDLO CCC[N@@H+](CC[C@](C#N)(C(C)=O)c1ccccc1)CC(N)=O ZINC000878647786 706455615 /nfs/dbraw/zinc/45/56/15/706455615.db2.gz PXUDOQCOGOPYNN-KRWDZBQOSA-N 1 2 301.390 1.624 20 30 DDEDLO CC(C)c1nnc(CC2CC[NH+](CC(=O)NCCC#N)CC2)[nH]1 ZINC000878800339 706502655 /nfs/dbraw/zinc/50/26/55/706502655.db2.gz VEUMZGSMRULSTL-UHFFFAOYSA-N 1 2 318.425 1.212 20 30 DDEDLO CC(C)c1nc(CC2CC[NH+](CC(=O)NCCC#N)CC2)n[nH]1 ZINC000878800339 706502657 /nfs/dbraw/zinc/50/26/57/706502657.db2.gz VEUMZGSMRULSTL-UHFFFAOYSA-N 1 2 318.425 1.212 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)NCc1ccc(N2CCCC2=O)cc1 ZINC000878816997 706507753 /nfs/dbraw/zinc/50/77/53/706507753.db2.gz NKLBMVGGSDCORM-CYBMUJFWSA-N 1 2 314.389 1.321 20 30 DDEDLO CCCNc1cc(N2CCN(c3cnccc3C#N)CC2)nc[nH+]1 ZINC000865827252 706538109 /nfs/dbraw/zinc/53/81/09/706538109.db2.gz ZLBQHVGHZYZBGU-UHFFFAOYSA-N 1 2 323.404 1.892 20 30 DDEDLO CCCNc1cc(N2CCN(c3cnccc3C#N)CC2)[nH+]cn1 ZINC000865827252 706538112 /nfs/dbraw/zinc/53/81/12/706538112.db2.gz ZLBQHVGHZYZBGU-UHFFFAOYSA-N 1 2 323.404 1.892 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC2(C1)C[NH+](Cc1ccccc1)C2 ZINC000867582697 707046370 /nfs/dbraw/zinc/04/63/70/707046370.db2.gz XJZXVLWZTAKFFJ-UHFFFAOYSA-N 1 2 306.431 1.710 20 30 DDEDLO N#CCc1cccc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)n1 ZINC000881142652 707135015 /nfs/dbraw/zinc/13/50/15/707135015.db2.gz MSIKZQNICTXJKU-CQSZACIVSA-N 1 2 315.377 1.086 20 30 DDEDLO C=C(Cl)C[C@H]([NH3+])c1nc(CS(=O)(=O)CCCC)no1 ZINC000872180910 707318023 /nfs/dbraw/zinc/31/80/23/707318023.db2.gz WGQBJXQMCPTSEW-VIFPVBQESA-N 1 2 307.803 1.927 20 30 DDEDLO Cc1ccc(NC[C@]2([NH+]3CCOCC3)CCSC2)c(C#N)n1 ZINC000881837902 707387823 /nfs/dbraw/zinc/38/78/23/707387823.db2.gz YVUZTBTXTBUNRP-MRXNPFEDSA-N 1 2 318.446 1.882 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@H](c1nnc[nH]1)c1ccccc1 ZINC000837046619 707570949 /nfs/dbraw/zinc/57/09/49/707570949.db2.gz VTLVMFCRCUWSNK-HOTGVXAUSA-N 1 2 323.400 1.150 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@H](c1nnc[nH]1)c1ccccc1 ZINC000837046619 707570950 /nfs/dbraw/zinc/57/09/50/707570950.db2.gz VTLVMFCRCUWSNK-HOTGVXAUSA-N 1 2 323.400 1.150 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(OC(C)C)cc1F ZINC000884110467 708143775 /nfs/dbraw/zinc/14/37/75/708143775.db2.gz LDWDYUOMSLJNQD-ZDUSSCGKSA-N 1 2 324.352 1.998 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2c1cccc2Cl ZINC000884141173 708157990 /nfs/dbraw/zinc/15/79/90/708157990.db2.gz RQOLJUGKTGUXQH-LBPRGKRZSA-N 1 2 308.765 1.676 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC(C)(C)c1ncc(C)s1 ZINC000884207967 708190591 /nfs/dbraw/zinc/19/05/91/708190591.db2.gz LNEQLPZYLQWJAL-JTQLQIEISA-N 1 2 311.407 1.249 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2cc(Cl)ccc2C1 ZINC000884312123 708238917 /nfs/dbraw/zinc/23/89/17/708238917.db2.gz WPGLNSYNKYIZAP-AWEZNQCLSA-N 1 2 322.792 1.671 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)C[C@@H](C)c1nccs1 ZINC000884315188 708240375 /nfs/dbraw/zinc/24/03/75/708240375.db2.gz IOZLVBBRXDSWPU-MNOVXSKESA-N 1 2 311.407 1.152 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC1([C@H]2CCCCO2)CCC1 ZINC000884463684 708307013 /nfs/dbraw/zinc/30/70/13/708307013.db2.gz PKFZKPGNGIJFMG-UONOGXRCSA-N 1 2 324.421 1.289 20 30 DDEDLO N#Cc1csc(C[NH2+]Cc2cnc(N3CCCC3)nc2)n1 ZINC000897632106 708401297 /nfs/dbraw/zinc/40/12/97/708401297.db2.gz GTWFVZBJUWJZPR-UHFFFAOYSA-N 1 2 300.391 1.695 20 30 DDEDLO CN(CCC#N)c1ccc(C[NH+]2CC3(C2)CCNC(=O)O3)cc1 ZINC000897753728 708440788 /nfs/dbraw/zinc/44/07/88/708440788.db2.gz VSAOSLXZFYEISY-UHFFFAOYSA-N 1 2 314.389 1.721 20 30 DDEDLO C=CCCCC[C@@H](C)[NH2+]Cc1nc(CS(C)(=O)=O)n[nH]1 ZINC000897887282 708482110 /nfs/dbraw/zinc/48/21/10/708482110.db2.gz PPCCSHXDKCJBNG-LLVKDONJSA-N 1 2 300.428 1.574 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2cc(C)cc(C)c2)C1 ZINC000885509941 708562574 /nfs/dbraw/zinc/56/25/74/708562574.db2.gz ZUNXYPXSLRREPT-QGZVFWFLSA-N 1 2 320.458 1.820 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2cc(C)cc(C)c2)C1 ZINC000885509941 708562576 /nfs/dbraw/zinc/56/25/76/708562576.db2.gz ZUNXYPXSLRREPT-QGZVFWFLSA-N 1 2 320.458 1.820 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@@H+]1C[C@@H](O)CC1(C#N)CCC1 ZINC000886116220 708701280 /nfs/dbraw/zinc/70/12/80/708701280.db2.gz CWJIKVWJIRULCJ-IHRRRGAJSA-N 1 2 310.394 1.084 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@H+]1C[C@@H](O)CC1(C#N)CCC1 ZINC000886116220 708701283 /nfs/dbraw/zinc/70/12/83/708701283.db2.gz CWJIKVWJIRULCJ-IHRRRGAJSA-N 1 2 310.394 1.084 20 30 DDEDLO COC(=O)C1CC2(C1)C[N@H+](CCC#N)C[C@H]2C(=O)OC(C)(C)C ZINC000886881256 708879646 /nfs/dbraw/zinc/87/96/46/708879646.db2.gz VYBXYHXRQMNFPD-JZLYGMAVSA-N 1 2 322.405 1.743 20 30 DDEDLO COC(=O)C1CC2(C1)C[N@@H+](CCC#N)C[C@H]2C(=O)OC(C)(C)C ZINC000886881256 708879649 /nfs/dbraw/zinc/87/96/49/708879649.db2.gz VYBXYHXRQMNFPD-JZLYGMAVSA-N 1 2 322.405 1.743 20 30 DDEDLO C#CC1(O)CCN(C(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)CC1 ZINC000899121894 708992385 /nfs/dbraw/zinc/99/23/85/708992385.db2.gz JMUMLWDCNGRYGM-NSHDSACASA-N 1 2 315.295 1.363 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1)C(=O)OC ZINC000899165865 709006987 /nfs/dbraw/zinc/00/69/87/709006987.db2.gz YJILYOUPWWQKMT-ZIAGYGMSSA-N 1 2 320.393 1.414 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1)C(=O)OC ZINC000899165865 709006991 /nfs/dbraw/zinc/00/69/91/709006991.db2.gz YJILYOUPWWQKMT-ZIAGYGMSSA-N 1 2 320.393 1.414 20 30 DDEDLO N#Cc1ccc([C@H]2CN(C(=O)CNc3cccc[nH+]3)CCO2)cc1 ZINC000887736584 709114529 /nfs/dbraw/zinc/11/45/29/709114529.db2.gz DVQLYLKDMPPVRZ-MRXNPFEDSA-N 1 2 322.368 1.965 20 30 DDEDLO CC[C@@H](O)[C@H](C)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000899967501 709252867 /nfs/dbraw/zinc/25/28/67/709252867.db2.gz MWJHJDVMXHHJNH-WMLDXEAASA-N 1 2 315.417 1.609 20 30 DDEDLO Cn1cncc1/C=C1/CSC/C(=C\c2c[nH+]cn2C)C1=O ZINC000901438017 710040131 /nfs/dbraw/zinc/04/01/31/710040131.db2.gz GEJXPSKVUXFJME-XPWJWFAVSA-N 1 2 300.387 1.937 20 30 DDEDLO Cn1cncc1/C=C1\CSC/C(=C/c2c[nH+]cn2C)C1=O ZINC000901438017 710040134 /nfs/dbraw/zinc/04/01/34/710040134.db2.gz GEJXPSKVUXFJME-XPWJWFAVSA-N 1 2 300.387 1.937 20 30 DDEDLO C=CCCC[C@@H](NC(=O)/C=C(\C)C[NH+]1CCOCC1)C(=O)OC ZINC000928323699 713175918 /nfs/dbraw/zinc/17/59/18/713175918.db2.gz RPQWDQWZWQAKCL-OKFGHLOFSA-N 1 2 324.421 1.279 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)N(C)Cc2cc(C#N)cs2)CCO1 ZINC000892597734 710466447 /nfs/dbraw/zinc/46/64/47/710466447.db2.gz WBWZASCQNKQRDY-GFCCVEGCSA-N 1 2 322.434 1.482 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)N(C)Cc2cc(C#N)cs2)CCO1 ZINC000892597734 710466448 /nfs/dbraw/zinc/46/64/48/710466448.db2.gz WBWZASCQNKQRDY-GFCCVEGCSA-N 1 2 322.434 1.482 20 30 DDEDLO C[C@@H]1CN(C(=O)CO[NH+]=C(N)c2ccc(F)cc2)CC(C)(C)O1 ZINC000902693923 710865226 /nfs/dbraw/zinc/86/52/26/710865226.db2.gz SLWRKJINXAZFIC-LLVKDONJSA-N 1 2 323.368 1.489 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2nnc(-c3ccccc3)o2)C1 ZINC000902729311 710881506 /nfs/dbraw/zinc/88/15/06/710881506.db2.gz GWLGYCOXSDHDOH-CQSZACIVSA-N 1 2 310.357 1.564 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2nnc(-c3ccccc3)o2)C1 ZINC000902729311 710881510 /nfs/dbraw/zinc/88/15/10/710881510.db2.gz GWLGYCOXSDHDOH-CQSZACIVSA-N 1 2 310.357 1.564 20 30 DDEDLO N#CCc1ccccc1CC(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC000913449088 713220386 /nfs/dbraw/zinc/22/03/86/713220386.db2.gz GFBBKLXUOIDIHN-MRXNPFEDSA-N 1 2 309.373 1.191 20 30 DDEDLO C#CCCOc1ccc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)cc1 ZINC000913454443 713223140 /nfs/dbraw/zinc/22/31/40/713223140.db2.gz WEPWEAPDYIEQRQ-QGZVFWFLSA-N 1 2 324.384 1.599 20 30 DDEDLO C#CCC1(C(=O)N2CC[NH2+][C@@H](c3cnn(C)c3)C2)CCCCC1 ZINC000913460979 713226221 /nfs/dbraw/zinc/22/62/21/713226221.db2.gz ALUXRFQYAUDNEI-MRXNPFEDSA-N 1 2 314.433 1.867 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1cccc(CC#N)c1 ZINC000928640873 713246396 /nfs/dbraw/zinc/24/63/96/713246396.db2.gz TWVODQYQFBPMJD-MRXNPFEDSA-N 1 2 301.390 1.593 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C=NOC)cc1 ZINC000928637353 713245009 /nfs/dbraw/zinc/24/50/09/713245009.db2.gz XRQFLFWXDSMZNY-MRXNPFEDSA-N 1 2 319.405 1.508 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)[C@@]1(C#N)CC12CCCC2 ZINC000928649893 713250949 /nfs/dbraw/zinc/25/09/49/713250949.db2.gz ZQVUIRLKAKERHA-YOEHRIQHSA-N 1 2 305.422 1.687 20 30 DDEDLO CCCCN(O)C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000904212599 711411711 /nfs/dbraw/zinc/41/17/11/711411711.db2.gz IIWCTMUIVCVYLH-ZDUSSCGKSA-N 1 2 310.398 1.501 20 30 DDEDLO CCCCN(O)C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000904212599 711411712 /nfs/dbraw/zinc/41/17/12/711411712.db2.gz IIWCTMUIVCVYLH-ZDUSSCGKSA-N 1 2 310.398 1.501 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2cccc(O)c2Br)CCCN1O ZINC000895158495 711435948 /nfs/dbraw/zinc/43/59/48/711435948.db2.gz KPOKAIAPWRWZGU-VIFPVBQESA-N 1 2 315.167 1.625 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H]2CC[C@H](C(F)(F)F)O2)nn1 ZINC000905831612 712159365 /nfs/dbraw/zinc/15/93/65/712159365.db2.gz BXWPQMYHLKQUCS-VXGBXAGGSA-N 1 2 302.300 1.501 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000906554683 712352924 /nfs/dbraw/zinc/35/29/24/712352924.db2.gz JNOWZRSHYWRYRR-JTTJXQCZSA-N 1 2 319.449 1.933 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000906554683 712352926 /nfs/dbraw/zinc/35/29/26/712352926.db2.gz JNOWZRSHYWRYRR-JTTJXQCZSA-N 1 2 319.449 1.933 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N2CCC[C@H](n3cc[nH+]c3)C2)cc1 ZINC000906911143 712436146 /nfs/dbraw/zinc/43/61/46/712436146.db2.gz GCHCEHKGLSGMHR-HNNXBMFYSA-N 1 2 315.398 1.890 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000908658263 712830919 /nfs/dbraw/zinc/83/09/19/712830919.db2.gz CRSVOSCFILXNME-ZFWWWQNUSA-N 1 2 301.390 1.972 20 30 DDEDLO S=C(NCCc1cccs1)NN=C1C[NH+]2CCC1CC2 ZINC000915048064 713407183 /nfs/dbraw/zinc/40/71/83/713407183.db2.gz ACRNARXLYHXMCJ-UHFFFAOYSA-N 1 2 308.476 1.836 20 30 DDEDLO COC[C@H](C)NC(=S)NN=C1CCN(c2cccc[nH+]2)CC1 ZINC000915945913 713436210 /nfs/dbraw/zinc/43/62/10/713436210.db2.gz YOZRRHKAPHNCJH-LBPRGKRZSA-N 1 2 321.450 1.537 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)nn2)C1 ZINC000966046267 717906731 /nfs/dbraw/zinc/90/67/31/717906731.db2.gz KZFMGYHKIOLISZ-QMTHXVAHSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)nn2)C1 ZINC000966046267 717906737 /nfs/dbraw/zinc/90/67/37/717906737.db2.gz KZFMGYHKIOLISZ-QMTHXVAHSA-N 1 2 308.813 1.978 20 30 DDEDLO CCS(=O)(=O)N(C)C1CC[NH+](Cc2cncc(C#N)c2)CC1 ZINC000929970176 713724713 /nfs/dbraw/zinc/72/47/13/713724713.db2.gz ALDRTZYGBDVIJQ-UHFFFAOYSA-N 1 2 322.434 1.199 20 30 DDEDLO N#Cc1cc(Cl)cc(C[NH+]2CCC(S(N)(=O)=O)CC2)c1 ZINC000930118197 713760455 /nfs/dbraw/zinc/76/04/55/713760455.db2.gz GRWVGEFZPMFQLR-UHFFFAOYSA-N 1 2 313.810 1.465 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)[N@@H+]2Cc2cncc(C#N)c2)O1 ZINC000930352617 713812054 /nfs/dbraw/zinc/81/20/54/713812054.db2.gz AUPHPLUTROCLPL-FWYOQMDTSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)[N@H+]2Cc2cncc(C#N)c2)O1 ZINC000930352617 713812055 /nfs/dbraw/zinc/81/20/55/713812055.db2.gz AUPHPLUTROCLPL-FWYOQMDTSA-N 1 2 315.373 1.637 20 30 DDEDLO CC[C@@](O)(C[NH+]1CCC(C#N)(C(=O)OC)CC1)C(F)(F)F ZINC000930640197 713884606 /nfs/dbraw/zinc/88/46/06/713884606.db2.gz UAZNVSBFDMXNNN-GFCCVEGCSA-N 1 2 308.300 1.469 20 30 DDEDLO CCOC(=O)[C@@H](CCc1ccccc1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000930819239 713928037 /nfs/dbraw/zinc/92/80/37/713928037.db2.gz VAHYSPRENDRKID-AEFFLSMTSA-N 1 2 316.401 1.901 20 30 DDEDLO CCOC(=O)[C@@H](CCc1ccccc1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000930819239 713928040 /nfs/dbraw/zinc/92/80/40/713928040.db2.gz VAHYSPRENDRKID-AEFFLSMTSA-N 1 2 316.401 1.901 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000932052712 714246859 /nfs/dbraw/zinc/24/68/59/714246859.db2.gz QULLVOIMOCVKNQ-CHWSQXEVSA-N 1 2 317.389 1.406 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccc(C#N)cn1 ZINC000932110913 714261750 /nfs/dbraw/zinc/26/17/50/714261750.db2.gz UDKAFEVZULMTRR-GFCCVEGCSA-N 1 2 303.366 1.138 20 30 DDEDLO C#C[C@H](NC(=O)N[C@@H](CC)C[NH+]1CCOCC1)c1ccccc1 ZINC000923221051 714276445 /nfs/dbraw/zinc/27/64/45/714276445.db2.gz VZUZQFAOTSRVAT-IRXDYDNUSA-N 1 2 315.417 1.771 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(C(C)=O)c(O)c2)C1 ZINC000923561876 714404807 /nfs/dbraw/zinc/40/48/07/714404807.db2.gz OMCXFTXWHQAPMO-CQSZACIVSA-N 1 2 300.358 1.422 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(C)=O)c(O)c2)C1 ZINC000923561876 714404810 /nfs/dbraw/zinc/40/48/10/714404810.db2.gz OMCXFTXWHQAPMO-CQSZACIVSA-N 1 2 300.358 1.422 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)N[C@H](CC#N)C(F)(F)F ZINC000932912297 714436043 /nfs/dbraw/zinc/43/60/43/714436043.db2.gz RFHVHEQLHKJWLY-SNVBAGLBSA-N 1 2 303.288 1.759 20 30 DDEDLO CCC#C[C@@H](C)[NH+]1CCN(c2nc(N)nc(C3CC3)n2)CC1 ZINC000933557250 714615850 /nfs/dbraw/zinc/61/58/50/714615850.db2.gz QWGXNYQCPKEWJE-GFCCVEGCSA-N 1 2 300.410 1.255 20 30 DDEDLO CC[C@H]([NH2+]C[C@H]1CCS(=O)(=O)C1)c1cccc(C#N)c1O ZINC000924600050 714616643 /nfs/dbraw/zinc/61/66/43/714616643.db2.gz PVSZNKDHYRRPFI-RISCZKNCSA-N 1 2 308.403 1.739 20 30 DDEDLO Cc1noc(C[NH+]2CCC3(CC2)CC(=O)C=CO3)c1[N+](=O)[O-] ZINC000933645317 714637848 /nfs/dbraw/zinc/63/78/48/714637848.db2.gz LYYBRXUATPEDAA-UHFFFAOYSA-N 1 2 307.306 1.729 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1Cc1cccc(S(=O)(=O)N(C)C)c1 ZINC000934508594 714838423 /nfs/dbraw/zinc/83/84/23/714838423.db2.gz CYUTXJSIFJLLAE-OAHLLOKOSA-N 1 2 306.431 1.925 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1Cc1cccc(S(=O)(=O)N(C)C)c1 ZINC000934508594 714838426 /nfs/dbraw/zinc/83/84/26/714838426.db2.gz CYUTXJSIFJLLAE-OAHLLOKOSA-N 1 2 306.431 1.925 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000934509822 714838673 /nfs/dbraw/zinc/83/86/73/714838673.db2.gz DTFIAFXAEJMDII-LSDHHAIUSA-N 1 2 314.385 1.430 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000934509822 714838677 /nfs/dbraw/zinc/83/86/77/714838677.db2.gz DTFIAFXAEJMDII-LSDHHAIUSA-N 1 2 314.385 1.430 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(CC#N)cc1 ZINC000925574324 714890690 /nfs/dbraw/zinc/89/06/90/714890690.db2.gz AEOGLOJGMSYIOV-OAHLLOKOSA-N 1 2 316.405 1.985 20 30 DDEDLO CC[C@H]([NH2+]CCS(=O)(=O)C1CC1)c1cccc(C#N)c1O ZINC000926589590 715093003 /nfs/dbraw/zinc/09/30/03/715093003.db2.gz PHFFIWIGCKSYSJ-AWEZNQCLSA-N 1 2 308.403 1.882 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC(N(C)C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC000954702532 715474155 /nfs/dbraw/zinc/47/41/55/715474155.db2.gz TYFBNRWJFWVQHR-SNVBAGLBSA-N 1 2 311.345 1.005 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCCN2C(=O)CCC)C1 ZINC000957420573 715894311 /nfs/dbraw/zinc/89/43/11/715894311.db2.gz RPCKRAJMDDVDJA-OAHLLOKOSA-N 1 2 307.438 1.496 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2ccoc2Cl)C1 ZINC000958008616 716272774 /nfs/dbraw/zinc/27/27/74/716272774.db2.gz BAIZWXMHGNJFSF-ONGXEEELSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2ccoc2Cl)C1 ZINC000958008616 716272775 /nfs/dbraw/zinc/27/27/75/716272775.db2.gz BAIZWXMHGNJFSF-ONGXEEELSA-N 1 2 319.188 1.708 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C(C)=C3CCCC3)CC2)C1 ZINC000941371128 717164055 /nfs/dbraw/zinc/16/40/55/717164055.db2.gz NRNIVWIEJGKMID-UHFFFAOYSA-N 1 2 301.434 1.339 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3cc(C)c(C)o3)CC2)C1 ZINC000941377996 717164993 /nfs/dbraw/zinc/16/49/93/717164993.db2.gz NCSFETQAMYSGOK-UHFFFAOYSA-N 1 2 315.417 1.362 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCCC34CC4)CC2)C1 ZINC000941454055 717180014 /nfs/dbraw/zinc/18/00/14/717180014.db2.gz BIOKLLGXSNSOFV-INIZCTEOSA-N 1 2 301.434 1.028 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3C=CCCC3)CC2)C1 ZINC000941455528 717180229 /nfs/dbraw/zinc/18/02/29/717180229.db2.gz TZNAWZAWUTVQFT-KRWDZBQOSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CCc3ccccc3)CC2)C1 ZINC000941649142 717243440 /nfs/dbraw/zinc/24/34/40/717243440.db2.gz OPABHLOJGLMLSM-UHFFFAOYSA-N 1 2 311.429 1.081 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1cn[nH]c1 ZINC000965374630 717634245 /nfs/dbraw/zinc/63/42/45/717634245.db2.gz STZSGOABOJXKPW-DYVFJYSZSA-N 1 2 323.400 1.922 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1cn[nH]c1 ZINC000965374630 717634248 /nfs/dbraw/zinc/63/42/48/717634248.db2.gz STZSGOABOJXKPW-DYVFJYSZSA-N 1 2 323.400 1.922 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000965891155 717799624 /nfs/dbraw/zinc/79/96/24/717799624.db2.gz RIRLRCNEEHJZCS-YGRLFVJLSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000965891155 717799628 /nfs/dbraw/zinc/79/96/28/717799628.db2.gz RIRLRCNEEHJZCS-YGRLFVJLSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC000965891155 717799633 /nfs/dbraw/zinc/79/96/33/717799633.db2.gz RIRLRCNEEHJZCS-YGRLFVJLSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC000965891155 717799636 /nfs/dbraw/zinc/79/96/36/717799636.db2.gz RIRLRCNEEHJZCS-YGRLFVJLSA-N 1 2 310.829 1.840 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC000945439860 718462416 /nfs/dbraw/zinc/46/24/16/718462416.db2.gz OQNWSUNBBGRSCC-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC000967272719 718809220 /nfs/dbraw/zinc/80/92/20/718809220.db2.gz DOCVAHVHAPYSLM-GUYCJALGSA-N 1 2 323.396 1.602 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC000967272719 718809226 /nfs/dbraw/zinc/80/92/26/718809226.db2.gz DOCVAHVHAPYSLM-GUYCJALGSA-N 1 2 323.396 1.602 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnc(C)n2C)C1 ZINC000968015012 719123850 /nfs/dbraw/zinc/12/38/50/719123850.db2.gz GWNNLCLOSBIHLJ-GWCFXTLKSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnc(C)n2C)C1 ZINC000968015012 719123851 /nfs/dbraw/zinc/12/38/51/719123851.db2.gz GWNNLCLOSBIHLJ-GWCFXTLKSA-N 1 2 310.829 1.921 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn(C)cn1 ZINC000948906322 719778086 /nfs/dbraw/zinc/77/80/86/719778086.db2.gz LGUHKCXJJREJIN-SFHVURJKSA-N 1 2 322.412 1.773 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn(C)cn1 ZINC000948906322 719778088 /nfs/dbraw/zinc/77/80/88/719778088.db2.gz LGUHKCXJJREJIN-SFHVURJKSA-N 1 2 322.412 1.773 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccc(C)nn1 ZINC000948916157 719784286 /nfs/dbraw/zinc/78/42/86/719784286.db2.gz OPVODQZVKAVOJV-GOSISDBHSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccc(C)nn1 ZINC000948916157 719784288 /nfs/dbraw/zinc/78/42/88/719784288.db2.gz OPVODQZVKAVOJV-GOSISDBHSA-N 1 2 320.396 1.747 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccn(C)n1 ZINC000948985452 719824652 /nfs/dbraw/zinc/82/46/52/719824652.db2.gz DJMIURAGZFLMJS-GOSISDBHSA-N 1 2 322.412 1.773 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccn(C)n1 ZINC000948985452 719824659 /nfs/dbraw/zinc/82/46/59/719824659.db2.gz DJMIURAGZFLMJS-GOSISDBHSA-N 1 2 322.412 1.773 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccoc3C)CC2)C1 ZINC000949291463 719996549 /nfs/dbraw/zinc/99/65/49/719996549.db2.gz FOVMQUDZXPFAAA-UHFFFAOYSA-N 1 2 302.374 1.528 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccoc3C)CC2)C1 ZINC000949291463 719996550 /nfs/dbraw/zinc/99/65/50/719996550.db2.gz FOVMQUDZXPFAAA-UHFFFAOYSA-N 1 2 302.374 1.528 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC000949628186 720221795 /nfs/dbraw/zinc/22/17/95/720221795.db2.gz RLGFTGKHXIDPJY-UHFFFAOYSA-N 1 2 319.453 1.641 20 30 DDEDLO C=CC[NH+]1CC([C@H](C)NC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC000969819639 720307680 /nfs/dbraw/zinc/30/76/80/720307680.db2.gz ZMDJQSSAAIPNDX-LBPRGKRZSA-N 1 2 311.389 1.708 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]([NH2+]Cc3nc(C)no3)C2)CC1 ZINC000969830422 720314649 /nfs/dbraw/zinc/31/46/49/720314649.db2.gz LLNNSIVSXPMLBQ-CQSZACIVSA-N 1 2 304.394 1.815 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([NH2+][C@@H](C)c3nc(C)no3)C2)C1 ZINC000969882499 720546130 /nfs/dbraw/zinc/54/61/30/720546130.db2.gz AHKSOKCBHMLKSN-WCQYABFASA-N 1 2 304.394 1.986 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(C)[nH]cc2NC(C)=O)C1 ZINC000950687920 720736004 /nfs/dbraw/zinc/73/60/04/720736004.db2.gz VVNZHPCUOWZAHO-UHFFFAOYSA-N 1 2 316.405 1.451 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@H]2OCCc3ccccc32)C1 ZINC000951114226 720922683 /nfs/dbraw/zinc/92/26/83/720922683.db2.gz SIWWIYUEUYSUAH-SFHVURJKSA-N 1 2 312.413 1.856 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3cnn(C)c3C2)C1 ZINC000951372082 721006869 /nfs/dbraw/zinc/00/68/69/721006869.db2.gz OKUNIWSBNAOJOX-CQSZACIVSA-N 1 2 316.449 1.634 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC000951539683 721063746 /nfs/dbraw/zinc/06/37/46/721063746.db2.gz CVERJEAGVAXFGV-ZDUSSCGKSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnn3cccc(OC)c23)CC1 ZINC000951781156 721180295 /nfs/dbraw/zinc/18/02/95/721180295.db2.gz LSVMGYDQAYJNRP-UHFFFAOYSA-N 1 2 300.362 1.287 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2coc(C3CC3)n2)C1 ZINC000971211361 721181285 /nfs/dbraw/zinc/18/12/85/721181285.db2.gz QKQBTARARIULDR-RISCZKNCSA-N 1 2 302.378 1.744 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C3CC3)n2)C1 ZINC000971211361 721181289 /nfs/dbraw/zinc/18/12/89/721181289.db2.gz QKQBTARARIULDR-RISCZKNCSA-N 1 2 302.378 1.744 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000971218207 721185318 /nfs/dbraw/zinc/18/53/18/721185318.db2.gz KGIHTAJGTWXRAY-MWLCHTKSSA-N 1 2 300.200 1.587 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000971218207 721185322 /nfs/dbraw/zinc/18/53/22/721185322.db2.gz KGIHTAJGTWXRAY-MWLCHTKSSA-N 1 2 300.200 1.587 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](CNC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001023682485 735394337 /nfs/dbraw/zinc/39/43/37/735394337.db2.gz BBYSIKAASAROQS-AWEZNQCLSA-N 1 2 323.400 1.547 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001023682485 735394338 /nfs/dbraw/zinc/39/43/38/735394338.db2.gz BBYSIKAASAROQS-AWEZNQCLSA-N 1 2 323.400 1.547 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCCC(F)(F)C3)[C@H]2C1 ZINC001083190085 732461678 /nfs/dbraw/zinc/46/16/78/732461678.db2.gz YCMFAJKDQGOSCA-MELADBBJSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCCC(F)(F)C3)[C@H]2C1 ZINC001083190085 732461680 /nfs/dbraw/zinc/46/16/80/732461680.db2.gz YCMFAJKDQGOSCA-MELADBBJSA-N 1 2 312.360 1.357 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)[nH]1 ZINC001038171471 732551119 /nfs/dbraw/zinc/55/11/19/732551119.db2.gz ZMUOZFXKLFXMEV-MRXNPFEDSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)[nH]1 ZINC001038171471 732551123 /nfs/dbraw/zinc/55/11/23/732551123.db2.gz ZMUOZFXKLFXMEV-MRXNPFEDSA-N 1 2 319.368 1.762 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccsc2)nn1 ZINC001038792575 738673444 /nfs/dbraw/zinc/67/34/44/738673444.db2.gz XIGWZLFDECDYKJ-ZDUSSCGKSA-N 1 2 317.418 1.530 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccsc2)nn1 ZINC001038792575 738673446 /nfs/dbraw/zinc/67/34/46/738673446.db2.gz XIGWZLFDECDYKJ-ZDUSSCGKSA-N 1 2 317.418 1.530 20 30 DDEDLO CCc1noc(C[NH2+]C[C@@H]2C[C@H](C)CCN2C(=O)[C@@H](C)C#N)n1 ZINC001087136157 733464110 /nfs/dbraw/zinc/46/41/10/733464110.db2.gz MAGORGUVLQZXJJ-AGIUHOORSA-N 1 2 319.409 1.508 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCC[C@@H]2CN(CC#N)CC[C@H]21 ZINC001021724200 733487263 /nfs/dbraw/zinc/48/72/63/733487263.db2.gz KGPLBSDFCWSVNU-GDBMZVCRSA-N 1 2 315.421 1.189 20 30 DDEDLO Cc1ccnc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038211812 733698858 /nfs/dbraw/zinc/69/88/58/733698858.db2.gz HAXPQBCFUKNEBR-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ccnc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038211812 733698860 /nfs/dbraw/zinc/69/88/60/733698860.db2.gz HAXPQBCFUKNEBR-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)nn1 ZINC001038239494 734756467 /nfs/dbraw/zinc/75/64/67/734756467.db2.gz KIVIUZDELJTQOK-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)nn1 ZINC001038239494 734756470 /nfs/dbraw/zinc/75/64/70/734756470.db2.gz KIVIUZDELJTQOK-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@](C)(O)C3CC3)C2)C1 ZINC000972340437 734797408 /nfs/dbraw/zinc/79/74/08/734797408.db2.gz WQDIWQCSGIUIRW-IRXDYDNUSA-N 1 2 308.422 1.027 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@](C)(O)C3CC3)C2)C1 ZINC000972340437 734797411 /nfs/dbraw/zinc/79/74/11/734797411.db2.gz WQDIWQCSGIUIRW-IRXDYDNUSA-N 1 2 308.422 1.027 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3csc(C)n3)C2)C1 ZINC000972517678 735057169 /nfs/dbraw/zinc/05/71/69/735057169.db2.gz FGALCSLRCGDEGN-OAHLLOKOSA-N 1 2 307.419 1.554 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3csc(C)n3)C2)C1 ZINC000972517678 735057172 /nfs/dbraw/zinc/05/71/72/735057172.db2.gz FGALCSLRCGDEGN-OAHLLOKOSA-N 1 2 307.419 1.554 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc2n1[C@H](C)CCC2 ZINC001038277518 735249501 /nfs/dbraw/zinc/24/95/01/735249501.db2.gz IEEVJAWNSCVMRC-KGLIPLIRSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc2n1[C@H](C)CCC2 ZINC001038277518 735249507 /nfs/dbraw/zinc/24/95/07/735249507.db2.gz IEEVJAWNSCVMRC-KGLIPLIRSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC001024522783 735898339 /nfs/dbraw/zinc/89/83/39/735898339.db2.gz JGHNONDOVYBMSR-AWEZNQCLSA-N 1 2 314.433 1.896 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC001024522783 735898340 /nfs/dbraw/zinc/89/83/40/735898340.db2.gz JGHNONDOVYBMSR-AWEZNQCLSA-N 1 2 314.433 1.896 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCc2cncn2C1 ZINC001027980965 738902770 /nfs/dbraw/zinc/90/27/70/738902770.db2.gz HDPXMGIEEBFZOQ-KGLIPLIRSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCc2cncn2C1 ZINC001027980965 738902771 /nfs/dbraw/zinc/90/27/71/738902771.db2.gz HDPXMGIEEBFZOQ-KGLIPLIRSA-N 1 2 322.840 1.779 20 30 DDEDLO N#Cc1cccnc1N1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001058591139 736025761 /nfs/dbraw/zinc/02/57/61/736025761.db2.gz XFXMKXUEDXTORE-BFHYXJOUSA-N 1 2 322.372 1.175 20 30 DDEDLO N#Cc1cccnc1N1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001058591139 736025765 /nfs/dbraw/zinc/02/57/65/736025765.db2.gz XFXMKXUEDXTORE-BFHYXJOUSA-N 1 2 322.372 1.175 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1ccc(OC)nn1 ZINC001024744979 736060860 /nfs/dbraw/zinc/06/08/60/736060860.db2.gz KQJAZOWWZZLQMM-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1ccc(OC)nn1 ZINC001024744979 736060862 /nfs/dbraw/zinc/06/08/62/736060862.db2.gz KQJAZOWWZZLQMM-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C[C@H](CNC(=O)CCn1cc[nH+]c1)Nc1cccc(F)c1C#N ZINC001098168137 736334388 /nfs/dbraw/zinc/33/43/88/736334388.db2.gz UPFYQQICUMOBHE-GFCCVEGCSA-N 1 2 315.352 1.901 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001025279462 736407108 /nfs/dbraw/zinc/40/71/08/736407108.db2.gz CASSGQZAFDKLHH-KGLIPLIRSA-N 1 2 301.394 1.321 20 30 DDEDLO Cc1nsc(NC[C@@H](C)N(C)C(=O)Cn2cc[nH+]c2)c1C#N ZINC001104605192 736445745 /nfs/dbraw/zinc/44/57/45/736445745.db2.gz YPEDRNMYULFWKC-SNVBAGLBSA-N 1 2 318.406 1.479 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@H](NC(=O)C(C)(C)F)C2)C1=O ZINC001006564158 736474689 /nfs/dbraw/zinc/47/46/89/736474689.db2.gz UTUSXACIZMMCES-QWHCGFSZSA-N 1 2 311.401 1.102 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@H](NC(=O)C(C)(C)F)C2)C1=O ZINC001006564158 736474690 /nfs/dbraw/zinc/47/46/90/736474690.db2.gz UTUSXACIZMMCES-QWHCGFSZSA-N 1 2 311.401 1.102 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2C=CC=CC=C2)C1 ZINC001107974674 751383172 /nfs/dbraw/zinc/38/31/72/751383172.db2.gz XZYQTETYVFRBJD-GOSISDBHSA-N 1 2 300.402 1.515 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2C=CC=CC=C2)C1 ZINC001107974674 751383179 /nfs/dbraw/zinc/38/31/79/751383179.db2.gz XZYQTETYVFRBJD-GOSISDBHSA-N 1 2 300.402 1.515 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCC[C@@H](NC(=O)C(F)F)C1 ZINC001006504267 737707057 /nfs/dbraw/zinc/70/70/57/737707057.db2.gz VKSSRGAHEFTUQB-CYBMUJFWSA-N 1 2 323.343 1.913 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCC[C@@H](NC(=O)C(F)F)C1 ZINC001006504267 737707058 /nfs/dbraw/zinc/70/70/58/737707058.db2.gz VKSSRGAHEFTUQB-CYBMUJFWSA-N 1 2 323.343 1.913 20 30 DDEDLO C=CCCCC(=O)N1CC(n2cc(C[NH2+]C[C@H](F)CC)nn2)C1 ZINC001105317194 737829305 /nfs/dbraw/zinc/82/93/05/737829305.db2.gz MWCBIMDYWWWEMB-CYBMUJFWSA-N 1 2 323.416 1.855 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC001027408435 738272213 /nfs/dbraw/zinc/27/22/13/738272213.db2.gz PMRDGAVVQUJPCN-WFASDCNBSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC001027408435 738272215 /nfs/dbraw/zinc/27/22/15/738272215.db2.gz PMRDGAVVQUJPCN-WFASDCNBSA-N 1 2 316.405 1.775 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC001108164107 751398776 /nfs/dbraw/zinc/39/87/76/751398776.db2.gz UEEUWVZDRGVWRT-GOSISDBHSA-N 1 2 315.417 1.736 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC001108164107 751398783 /nfs/dbraw/zinc/39/87/83/751398783.db2.gz UEEUWVZDRGVWRT-GOSISDBHSA-N 1 2 315.417 1.736 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CCC[C@@H](N(C)CC#N)C1 ZINC001027461882 738316276 /nfs/dbraw/zinc/31/62/76/738316276.db2.gz RDVWQGAPTSQAFR-CQSZACIVSA-N 1 2 302.378 1.079 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1cnc2ccc(C)cc21 ZINC001032586223 751418335 /nfs/dbraw/zinc/41/83/35/751418335.db2.gz NJXXXXYCIPKLCC-HOTGVXAUSA-N 1 2 322.412 1.653 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1cnc2ccc(C)cc21 ZINC001032586223 751418341 /nfs/dbraw/zinc/41/83/41/751418341.db2.gz NJXXXXYCIPKLCC-HOTGVXAUSA-N 1 2 322.412 1.653 20 30 DDEDLO O=C(NC[C@@H]1CCC[N@@H+]1CC#Cc1ccccc1)c1cnon1 ZINC001028215957 739178196 /nfs/dbraw/zinc/17/81/96/739178196.db2.gz CSMNJMOSZHRJBA-HNNXBMFYSA-N 1 2 310.357 1.316 20 30 DDEDLO O=C(NC[C@@H]1CCC[N@H+]1CC#Cc1ccccc1)c1cnon1 ZINC001028215957 739178199 /nfs/dbraw/zinc/17/81/99/739178199.db2.gz CSMNJMOSZHRJBA-HNNXBMFYSA-N 1 2 310.357 1.316 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001126344224 739252720 /nfs/dbraw/zinc/25/27/20/739252720.db2.gz QEKZGWYIZKOAQC-UHFFFAOYSA-N 1 2 302.334 1.339 20 30 DDEDLO C[C@@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1ccc(C#N)nn1 ZINC001098152823 739273173 /nfs/dbraw/zinc/27/31/73/739273173.db2.gz FTMONKKFWDXSLM-NSHDSACASA-N 1 2 321.344 1.226 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(C)c2F)C1 ZINC001035375900 751431028 /nfs/dbraw/zinc/43/10/28/751431028.db2.gz SWCQKQBWYUKRLC-AWEZNQCLSA-N 1 2 304.365 1.588 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(C)c2F)C1 ZINC001035375900 751431029 /nfs/dbraw/zinc/43/10/29/751431029.db2.gz SWCQKQBWYUKRLC-AWEZNQCLSA-N 1 2 304.365 1.588 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001028284645 739288470 /nfs/dbraw/zinc/28/84/70/739288470.db2.gz IPUGYTYIPRVWFP-LLVKDONJSA-N 1 2 307.785 1.609 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001028284645 739288473 /nfs/dbraw/zinc/28/84/73/739288473.db2.gz IPUGYTYIPRVWFP-LLVKDONJSA-N 1 2 307.785 1.609 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001126357243 739363813 /nfs/dbraw/zinc/36/38/13/739363813.db2.gz WQIYDNLCVRRZQW-GFCCVEGCSA-N 1 2 306.410 1.937 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(CC)ccn2)C1 ZINC001035383310 751452743 /nfs/dbraw/zinc/45/27/43/751452743.db2.gz IJUNMYWUZXZVCO-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(CC)ccn2)C1 ZINC001035383310 751452746 /nfs/dbraw/zinc/45/27/46/751452746.db2.gz IJUNMYWUZXZVCO-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn(CC)nc2C2CC2)C1 ZINC001035384356 751453987 /nfs/dbraw/zinc/45/39/87/751453987.db2.gz FVWLZZZAFZFOAH-AWEZNQCLSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn(CC)nc2C2CC2)C1 ZINC001035384356 751453990 /nfs/dbraw/zinc/45/39/90/751453990.db2.gz FVWLZZZAFZFOAH-AWEZNQCLSA-N 1 2 318.421 1.397 20 30 DDEDLO O=C(CC1CCC1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083383496 739751470 /nfs/dbraw/zinc/75/14/70/739751470.db2.gz IYIFAOVNRKHNCZ-MSOLQXFVSA-N 1 2 312.413 1.390 20 30 DDEDLO O=C(CC1CCC1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083383496 739751473 /nfs/dbraw/zinc/75/14/73/739751473.db2.gz IYIFAOVNRKHNCZ-MSOLQXFVSA-N 1 2 312.413 1.390 20 30 DDEDLO C=CCOc1ccccc1C(=O)NC[C@@H]1C[N@H+](CC=C)CCO1 ZINC001035420577 751479642 /nfs/dbraw/zinc/47/96/42/751479642.db2.gz OKAFMAABUUFFIY-OAHLLOKOSA-N 1 2 316.401 1.868 20 30 DDEDLO C=CCOc1ccccc1C(=O)NC[C@@H]1C[N@@H+](CC=C)CCO1 ZINC001035420577 751479645 /nfs/dbraw/zinc/47/96/45/751479645.db2.gz OKAFMAABUUFFIY-OAHLLOKOSA-N 1 2 316.401 1.868 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@H](Nc2ccc(C#N)cn2)C1 ZINC001059087871 739903053 /nfs/dbraw/zinc/90/30/53/739903053.db2.gz CRODAGRPLNYKQH-JSGCOSHPSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@H](Nc2ccc(C#N)cn2)C1 ZINC001059087871 739903059 /nfs/dbraw/zinc/90/30/59/739903059.db2.gz CRODAGRPLNYKQH-JSGCOSHPSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)[C@@H]2C1 ZINC001075698563 740007757 /nfs/dbraw/zinc/00/77/57/740007757.db2.gz BNYFMERJKHUBLO-SMDDNHRTSA-N 1 2 304.781 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)[C@@H]2C1 ZINC001075698563 740007760 /nfs/dbraw/zinc/00/77/60/740007760.db2.gz BNYFMERJKHUBLO-SMDDNHRTSA-N 1 2 304.781 1.785 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3oc(=O)[nH]c32)C1 ZINC001035444121 751521039 /nfs/dbraw/zinc/52/10/39/751521039.db2.gz LEFURCUXYYDUIZ-LLVKDONJSA-N 1 2 317.345 1.150 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3oc(=O)[nH]c32)C1 ZINC001035444121 751521043 /nfs/dbraw/zinc/52/10/43/751521043.db2.gz LEFURCUXYYDUIZ-LLVKDONJSA-N 1 2 317.345 1.150 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001029008116 740253293 /nfs/dbraw/zinc/25/32/93/740253293.db2.gz SZAQPQKYERGQRU-ZDUSSCGKSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001029008116 740253296 /nfs/dbraw/zinc/25/32/96/740253296.db2.gz SZAQPQKYERGQRU-ZDUSSCGKSA-N 1 2 309.373 1.157 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccccn2)c1 ZINC001029258321 740498385 /nfs/dbraw/zinc/49/83/85/740498385.db2.gz MUYDZLLJDXTPID-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccccn2)c1 ZINC001029258321 740498388 /nfs/dbraw/zinc/49/83/88/740498388.db2.gz MUYDZLLJDXTPID-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCC3)[C@H]1CC ZINC001087638361 740687689 /nfs/dbraw/zinc/68/76/89/740687689.db2.gz PAPRPNFXWYRWKO-JKSUJKDBSA-N 1 2 314.433 1.895 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCC3)[C@H]1CC ZINC001087638361 740687692 /nfs/dbraw/zinc/68/76/92/740687692.db2.gz PAPRPNFXWYRWKO-JKSUJKDBSA-N 1 2 314.433 1.895 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(Cl)cc2OC)C1 ZINC001035491476 751574792 /nfs/dbraw/zinc/57/47/92/751574792.db2.gz QBYXYFHXNIVXAJ-CYBMUJFWSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(Cl)cc2OC)C1 ZINC001035491476 751574796 /nfs/dbraw/zinc/57/47/96/751574796.db2.gz QBYXYFHXNIVXAJ-CYBMUJFWSA-N 1 2 324.808 1.965 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC001035491037 751575039 /nfs/dbraw/zinc/57/50/39/751575039.db2.gz TXWJUPPJBURUDJ-CQSZACIVSA-N 1 2 303.406 1.899 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC001035491037 751575044 /nfs/dbraw/zinc/57/50/44/751575044.db2.gz TXWJUPPJBURUDJ-CQSZACIVSA-N 1 2 303.406 1.899 20 30 DDEDLO C[N@@H+](Cc1nncs1)C[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001017128253 751588593 /nfs/dbraw/zinc/58/85/93/751588593.db2.gz SDNYRWMSMYXTHI-AWEZNQCLSA-N 1 2 318.446 1.622 20 30 DDEDLO C[N@H+](Cc1nncs1)C[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001017128253 751588597 /nfs/dbraw/zinc/58/85/97/751588597.db2.gz SDNYRWMSMYXTHI-AWEZNQCLSA-N 1 2 318.446 1.622 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC)c(C)c2)C1 ZINC001035546771 751593750 /nfs/dbraw/zinc/59/37/50/751593750.db2.gz XHSJUPKQCYWYEZ-OAHLLOKOSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC)c(C)c2)C1 ZINC001035546771 751593754 /nfs/dbraw/zinc/59/37/54/751593754.db2.gz XHSJUPKQCYWYEZ-OAHLLOKOSA-N 1 2 304.390 1.620 20 30 DDEDLO C#CCOc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CCF)cc1 ZINC001029506739 740983389 /nfs/dbraw/zinc/98/33/89/740983389.db2.gz WFLODSOTJPFOBR-IYBDPMFKSA-N 1 2 316.376 1.957 20 30 DDEDLO C#CCOc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CCF)cc1 ZINC001029506739 740983392 /nfs/dbraw/zinc/98/33/92/740983392.db2.gz WFLODSOTJPFOBR-IYBDPMFKSA-N 1 2 316.376 1.957 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C(C)C)[nH]c2=O)C1 ZINC001035532889 751614944 /nfs/dbraw/zinc/61/49/44/751614944.db2.gz KDOUWJJJZOKHPX-ZDUSSCGKSA-N 1 2 319.405 1.527 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C(C)C)[nH]c2=O)C1 ZINC001035532889 751614947 /nfs/dbraw/zinc/61/49/47/751614947.db2.gz KDOUWJJJZOKHPX-ZDUSSCGKSA-N 1 2 319.405 1.527 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001035571974 751626755 /nfs/dbraw/zinc/62/67/55/751626755.db2.gz NMOVJODZMSBENC-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001035571974 751626764 /nfs/dbraw/zinc/62/67/64/751626764.db2.gz NMOVJODZMSBENC-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029828638 741364909 /nfs/dbraw/zinc/36/49/09/741364909.db2.gz HHKFPTOMVUWLGF-PBHICJAKSA-N 1 2 319.453 1.996 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029828638 741364913 /nfs/dbraw/zinc/36/49/13/741364913.db2.gz HHKFPTOMVUWLGF-PBHICJAKSA-N 1 2 319.453 1.996 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](C[N@@H+](C)Cc3ncnn3C)C2)C1 ZINC001029835883 741375416 /nfs/dbraw/zinc/37/54/16/741375416.db2.gz KKIACAHOXXACJL-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](C[N@H+](C)Cc3ncnn3C)C2)C1 ZINC001029835883 741375420 /nfs/dbraw/zinc/37/54/20/741375420.db2.gz KKIACAHOXXACJL-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO CC(C)(C(=O)N1CCCCC[C@H](NCC#N)C1)c1c[nH+]c[nH]1 ZINC001088413538 741472992 /nfs/dbraw/zinc/47/29/92/741472992.db2.gz INYMRTUDHSIURJ-ZDUSSCGKSA-N 1 2 303.410 1.572 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(CC)c[nH]c2=O)C1 ZINC001035560929 751642709 /nfs/dbraw/zinc/64/27/09/751642709.db2.gz HCVUJIZDRGEOSA-AWEZNQCLSA-N 1 2 319.405 1.356 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(CC)c[nH]c2=O)C1 ZINC001035560929 751642710 /nfs/dbraw/zinc/64/27/10/751642710.db2.gz HCVUJIZDRGEOSA-AWEZNQCLSA-N 1 2 319.405 1.356 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001035560873 751642835 /nfs/dbraw/zinc/64/28/35/751642835.db2.gz WXYWXFVEKPEKIR-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001035560873 751642839 /nfs/dbraw/zinc/64/28/39/751642839.db2.gz WXYWXFVEKPEKIR-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2nc(C3CC3)oc2C)C1 ZINC001035564983 751647270 /nfs/dbraw/zinc/64/72/70/751647270.db2.gz GWSPVFCTVUYUBF-AWEZNQCLSA-N 1 2 317.389 1.314 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2nc(C3CC3)oc2C)C1 ZINC001035564983 751647276 /nfs/dbraw/zinc/64/72/76/751647276.db2.gz GWSPVFCTVUYUBF-AWEZNQCLSA-N 1 2 317.389 1.314 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cccnc2)cc1 ZINC001038005912 751649429 /nfs/dbraw/zinc/64/94/29/751649429.db2.gz DLHFTTTYEWDHQR-QGZVFWFLSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cccnc2)cc1 ZINC001038005912 751649444 /nfs/dbraw/zinc/64/94/44/751649444.db2.gz DLHFTTTYEWDHQR-QGZVFWFLSA-N 1 2 306.369 1.958 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OCC)cc2)C1 ZINC001035595478 751652357 /nfs/dbraw/zinc/65/23/57/751652357.db2.gz HMTPTIQGFNJZMA-INIZCTEOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OCC)cc2)C1 ZINC001035595478 751652360 /nfs/dbraw/zinc/65/23/60/751652360.db2.gz HMTPTIQGFNJZMA-INIZCTEOSA-N 1 2 304.390 1.702 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC(C[N@H+](C)Cc2nncs2)CC1 ZINC001029947478 741637091 /nfs/dbraw/zinc/63/70/91/741637091.db2.gz ANGAEBSUSZAANM-NSHDSACASA-N 1 2 307.423 1.368 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC(C[N@@H+](C)Cc2nncs2)CC1 ZINC001029947478 741637092 /nfs/dbraw/zinc/63/70/92/741637092.db2.gz ANGAEBSUSZAANM-NSHDSACASA-N 1 2 307.423 1.368 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(N(C)C)c2)C1 ZINC001035587226 751680948 /nfs/dbraw/zinc/68/09/48/751680948.db2.gz OPWIZYQNRNTTKV-MRXNPFEDSA-N 1 2 303.406 1.369 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc(N(C)C)c2)C1 ZINC001035587226 751680953 /nfs/dbraw/zinc/68/09/53/751680953.db2.gz OPWIZYQNRNTTKV-MRXNPFEDSA-N 1 2 303.406 1.369 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)no1 ZINC001038024135 751684113 /nfs/dbraw/zinc/68/41/13/751684113.db2.gz CTULZEYPJWSXBF-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)no1 ZINC001038024135 751684117 /nfs/dbraw/zinc/68/41/17/751684117.db2.gz CTULZEYPJWSXBF-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccccc2OCC)C1 ZINC001035592089 751687657 /nfs/dbraw/zinc/68/76/57/751687657.db2.gz IKFOKTRKAHYYFI-HNNXBMFYSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccccc2OCC)C1 ZINC001035592089 751687663 /nfs/dbraw/zinc/68/76/63/751687663.db2.gz IKFOKTRKAHYYFI-HNNXBMFYSA-N 1 2 316.401 1.539 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3[nH]c(=O)oc32)C1 ZINC001035628969 751700667 /nfs/dbraw/zinc/70/06/67/751700667.db2.gz BZVVUUYWZVQJSQ-LLVKDONJSA-N 1 2 317.345 1.150 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3[nH]c(=O)oc32)C1 ZINC001035628969 751700669 /nfs/dbraw/zinc/70/06/69/751700669.db2.gz BZVVUUYWZVQJSQ-LLVKDONJSA-N 1 2 317.345 1.150 20 30 DDEDLO CN(CCN(C)c1ccc(C#N)cn1)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001105596176 742146584 /nfs/dbraw/zinc/14/65/84/742146584.db2.gz YBXBNKZYGMFPFU-ZIAGYGMSSA-N 1 2 324.388 1.375 20 30 DDEDLO CN(CCN(C)c1ccc(C#N)cn1)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001105596176 742146586 /nfs/dbraw/zinc/14/65/86/742146586.db2.gz YBXBNKZYGMFPFU-ZIAGYGMSSA-N 1 2 324.388 1.375 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+][C@@H](C)c1nnc(CC(C)C)o1 ZINC001126823232 742306253 /nfs/dbraw/zinc/30/62/53/742306253.db2.gz SGSGGTPJLFAIMN-ZDUSSCGKSA-N 1 2 306.410 1.694 20 30 DDEDLO Cc1nc(NC2CC(N(C)C(=O)CSCC#N)C2)cc[nH+]1 ZINC001126887182 742429085 /nfs/dbraw/zinc/42/90/85/742429085.db2.gz BTFWOQWMQUFEDN-UHFFFAOYSA-N 1 2 305.407 1.443 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccc(OC)cn2)[C@H]1C ZINC001088815887 742439327 /nfs/dbraw/zinc/43/93/27/742439327.db2.gz JEWNUJHLTBDLEC-DOMZBBRYSA-N 1 2 323.824 1.964 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccc(OC)cn2)[C@H]1C ZINC001088815887 742439330 /nfs/dbraw/zinc/43/93/30/742439330.db2.gz JEWNUJHLTBDLEC-DOMZBBRYSA-N 1 2 323.824 1.964 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076321384 742665495 /nfs/dbraw/zinc/66/54/95/742665495.db2.gz DDKBZABYIBJLIZ-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)C3CCC3)C2)cc1F ZINC001076664204 742875913 /nfs/dbraw/zinc/87/59/13/742875913.db2.gz KIOXKFKRHOMYIZ-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)C3CCC3)C2)cc1F ZINC001076664204 742875924 /nfs/dbraw/zinc/87/59/24/742875924.db2.gz KIOXKFKRHOMYIZ-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO CCn1ccc(C[NH+]2CC3(C2)CCN(C(=O)[C@H](C)C#N)CC3)n1 ZINC001035670385 751776104 /nfs/dbraw/zinc/77/61/04/751776104.db2.gz FDNNJYCQSHLNIJ-CQSZACIVSA-N 1 2 315.421 1.487 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)[C@H]1CC=CCC1 ZINC001076733445 742952470 /nfs/dbraw/zinc/95/24/70/742952470.db2.gz GUDROZMTBKRRQI-IPMKNSEASA-N 1 2 324.424 1.556 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)[C@H]1CC=CCC1 ZINC001076733445 742952472 /nfs/dbraw/zinc/95/24/72/742952472.db2.gz GUDROZMTBKRRQI-IPMKNSEASA-N 1 2 324.424 1.556 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2ccncc2)C1 ZINC001108041950 742983000 /nfs/dbraw/zinc/98/30/00/742983000.db2.gz FSPBCVPEHJLKNG-SFHVURJKSA-N 1 2 315.417 1.245 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2ccncc2)C1 ZINC001108041950 742983005 /nfs/dbraw/zinc/98/30/05/742983005.db2.gz FSPBCVPEHJLKNG-SFHVURJKSA-N 1 2 315.417 1.245 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)cc(C)n2)C1 ZINC001108043274 743096507 /nfs/dbraw/zinc/09/65/07/743096507.db2.gz HSOXNTOQFVVUEB-QGZVFWFLSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)cc(C)n2)C1 ZINC001108043274 743096518 /nfs/dbraw/zinc/09/65/18/743096518.db2.gz HSOXNTOQFVVUEB-QGZVFWFLSA-N 1 2 303.406 1.705 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(C(F)F)c2F)C1 ZINC001077009349 743170462 /nfs/dbraw/zinc/17/04/62/743170462.db2.gz AJARVPDRCHTUHH-VXGBXAGGSA-N 1 2 312.291 1.171 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(C(F)F)c2F)C1 ZINC001077009349 743170466 /nfs/dbraw/zinc/17/04/66/743170466.db2.gz AJARVPDRCHTUHH-VXGBXAGGSA-N 1 2 312.291 1.171 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077017369 743175035 /nfs/dbraw/zinc/17/50/35/743175035.db2.gz XWINNMBUUPCJII-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077017369 743175038 /nfs/dbraw/zinc/17/50/38/743175038.db2.gz XWINNMBUUPCJII-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C[C@H]2C=CCCC2)[C@H](OC)C1 ZINC001212451390 743282336 /nfs/dbraw/zinc/28/23/36/743282336.db2.gz WMZLZKDFNOLPOQ-GVDBMIGSSA-N 1 2 320.433 1.198 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C[C@H]2C=CCCC2)[C@H](OC)C1 ZINC001212451390 743282341 /nfs/dbraw/zinc/28/23/41/743282341.db2.gz WMZLZKDFNOLPOQ-GVDBMIGSSA-N 1 2 320.433 1.198 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCC3(CN(CC#N)C3)CC2)c[nH+]1 ZINC001035730500 751822085 /nfs/dbraw/zinc/82/20/85/751822085.db2.gz PQLQURPWDRFMSF-UHFFFAOYSA-N 1 2 315.421 1.375 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)COC(C)(C)C)c2C1 ZINC001128316078 743564406 /nfs/dbraw/zinc/56/44/06/743564406.db2.gz IACVGNOZAVEOFP-UHFFFAOYSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)COC(C)(C)C)c2C1 ZINC001128316078 743564413 /nfs/dbraw/zinc/56/44/13/743564413.db2.gz IACVGNOZAVEOFP-UHFFFAOYSA-N 1 2 320.437 1.706 20 30 DDEDLO CCOCC[NH+]1CC(OC2CCN(C(=O)C#CC3CC3)CC2)C1 ZINC001105707166 743606058 /nfs/dbraw/zinc/60/60/58/743606058.db2.gz PBBHFEKHCBFCOG-UHFFFAOYSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3ccccc3cc2F)[C@@H](O)C1 ZINC001083632566 743638750 /nfs/dbraw/zinc/63/87/50/743638750.db2.gz CIWKIZONSAFFIC-SJORKVTESA-N 1 2 312.344 1.387 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3ccccc3cc2F)[C@@H](O)C1 ZINC001083632566 743638752 /nfs/dbraw/zinc/63/87/52/743638752.db2.gz CIWKIZONSAFFIC-SJORKVTESA-N 1 2 312.344 1.387 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC(C)(C)COC)CC2)C1 ZINC001105717507 743755156 /nfs/dbraw/zinc/75/51/56/743755156.db2.gz YYECRRJVMQUNQM-UHFFFAOYSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](C)CCCOC)CC2)C1 ZINC001105730847 743766582 /nfs/dbraw/zinc/76/65/82/743766582.db2.gz ZFGUDLHDDDILSC-OAHLLOKOSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+]Cc1nnc(C)o1 ZINC001127125280 743810091 /nfs/dbraw/zinc/81/00/91/743810091.db2.gz UYDOGTNFAFRPKR-UHFFFAOYSA-N 1 2 310.398 1.347 20 30 DDEDLO CC1(C)CO[C@H](C[NH+]2CC(NC(=O)c3ccc(C#N)[nH]3)C2)C1 ZINC001030224658 743961203 /nfs/dbraw/zinc/96/12/03/743961203.db2.gz PWKANDVUNPTKQP-ZDUSSCGKSA-N 1 2 302.378 1.115 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001184889967 744115181 /nfs/dbraw/zinc/11/51/81/744115181.db2.gz FKJRSIBEFFTEMW-CQSZACIVSA-N 1 2 318.421 1.906 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H]2CCCC[C@@H]2n2cccn2)C1 ZINC001030463262 744177496 /nfs/dbraw/zinc/17/74/96/744177496.db2.gz HYNCCFRNKBMMJS-HOTGVXAUSA-N 1 2 300.406 1.438 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001106051728 744297782 /nfs/dbraw/zinc/29/77/82/744297782.db2.gz LJLZQKSBXXHWGI-AWEZNQCLSA-N 1 2 304.394 1.258 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C=C(C)C)C2)nn1 ZINC001185864646 744302260 /nfs/dbraw/zinc/30/22/60/744302260.db2.gz RUFSANONWCKFNN-MRXNPFEDSA-N 1 2 315.421 1.521 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)/C=C(/C)CC)C2)nn1 ZINC001185932017 744316334 /nfs/dbraw/zinc/31/63/34/744316334.db2.gz CYZSCSGRABYVQP-DNXIFWLFSA-N 1 2 315.421 1.521 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@@H]3C[C@H]3C)C2)nn1 ZINC001185974238 744323131 /nfs/dbraw/zinc/32/31/31/744323131.db2.gz JCUMAKXSTGFCSH-VNQPRFMTSA-N 1 2 315.421 1.211 20 30 DDEDLO COc1ccnc(C(=N)Nc2ccc(Cn3cc[nH+]c3)cc2)n1 ZINC001168424207 744450464 /nfs/dbraw/zinc/45/04/64/744450464.db2.gz BCVMVGFRXZHDON-UHFFFAOYSA-N 1 2 308.345 1.767 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(CC)n(C)n2)[C@H]1C ZINC001089080205 744496787 /nfs/dbraw/zinc/49/67/87/744496787.db2.gz NBCUCBYPZMYRHC-YPMHNXCESA-N 1 2 310.829 1.928 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(CC)n(C)n2)[C@H]1C ZINC001089080205 744496791 /nfs/dbraw/zinc/49/67/91/744496791.db2.gz NBCUCBYPZMYRHC-YPMHNXCESA-N 1 2 310.829 1.928 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CNC(=O)c1cc2c(C)nn(C)c2s1 ZINC001038566418 744528711 /nfs/dbraw/zinc/52/87/11/744528711.db2.gz DRPSFIKWICPXLU-NSHDSACASA-N 1 2 304.419 1.933 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CNC(=O)c1cc2c(C)nn(C)c2s1 ZINC001038566418 744528713 /nfs/dbraw/zinc/52/87/13/744528713.db2.gz DRPSFIKWICPXLU-NSHDSACASA-N 1 2 304.419 1.933 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)NCC[NH+]2CC=CC2)c1Cl ZINC001187461400 744566325 /nfs/dbraw/zinc/56/63/25/744566325.db2.gz YBRVCBDJLOYFDN-UHFFFAOYSA-N 1 2 311.794 1.362 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001187729163 744597480 /nfs/dbraw/zinc/59/74/80/744597480.db2.gz KTPKNAMUSMQSKO-JKSUJKDBSA-N 1 2 312.417 1.621 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001187729163 744597483 /nfs/dbraw/zinc/59/74/83/744597483.db2.gz KTPKNAMUSMQSKO-JKSUJKDBSA-N 1 2 312.417 1.621 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C3CCCCCC3)CC2)C1 ZINC001046012578 744607611 /nfs/dbraw/zinc/60/76/11/744607611.db2.gz QPUAWMCCVQVFFN-QGZVFWFLSA-N 1 2 318.465 1.699 20 30 DDEDLO Cc1noc(C[NH2+][C@@H]2CCN(C(=O)CC#Cc3ccccc3)C2)n1 ZINC001188040746 744648256 /nfs/dbraw/zinc/64/82/56/744648256.db2.gz WMLDLYPRVIGFBJ-MRXNPFEDSA-N 1 2 324.384 1.510 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)s1 ZINC001188959418 744799698 /nfs/dbraw/zinc/79/96/98/744799698.db2.gz SYSPVNUAVNKZDP-GFCCVEGCSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)s1 ZINC001188959418 744799699 /nfs/dbraw/zinc/79/96/99/744799699.db2.gz SYSPVNUAVNKZDP-GFCCVEGCSA-N 1 2 324.475 1.741 20 30 DDEDLO Cn1cncc1C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001015136642 744802458 /nfs/dbraw/zinc/80/24/58/744802458.db2.gz ORCILVMFAVEQJY-LLVKDONJSA-N 1 2 315.402 1.358 20 30 DDEDLO Cn1cncc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001015136642 744802460 /nfs/dbraw/zinc/80/24/60/744802460.db2.gz ORCILVMFAVEQJY-LLVKDONJSA-N 1 2 315.402 1.358 20 30 DDEDLO Cc1nc(N[C@H](C)C[C@H](C)NC(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001089263543 744824691 /nfs/dbraw/zinc/82/46/91/744824691.db2.gz BFONNIQMVQCQBY-MNOVXSKESA-N 1 2 312.377 1.994 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)sc2C)C1 ZINC001189379312 744879393 /nfs/dbraw/zinc/87/93/93/744879393.db2.gz IHAPXRNJFQEELD-AWEZNQCLSA-N 1 2 323.462 1.995 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)sc2C)C1 ZINC001189379312 744879397 /nfs/dbraw/zinc/87/93/97/744879397.db2.gz IHAPXRNJFQEELD-AWEZNQCLSA-N 1 2 323.462 1.995 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189910394 745026895 /nfs/dbraw/zinc/02/68/95/745026895.db2.gz OEZRQUBLTKMJPV-MRXNPFEDSA-N 1 2 302.422 1.565 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189910394 745026903 /nfs/dbraw/zinc/02/69/03/745026903.db2.gz OEZRQUBLTKMJPV-MRXNPFEDSA-N 1 2 302.422 1.565 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001189917157 745031500 /nfs/dbraw/zinc/03/15/00/745031500.db2.gz DSSFUUQRMXMPNS-MLGOLLRUSA-N 1 2 323.393 1.043 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001189917157 745031508 /nfs/dbraw/zinc/03/15/08/745031508.db2.gz DSSFUUQRMXMPNS-MLGOLLRUSA-N 1 2 323.393 1.043 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2nc(C)no2)C1 ZINC001190122355 745123271 /nfs/dbraw/zinc/12/32/71/745123271.db2.gz XRFCVBXDSQMCGS-GXTWGEPZSA-N 1 2 320.393 1.012 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2nc(C)no2)C1 ZINC001190122355 745123276 /nfs/dbraw/zinc/12/32/76/745123276.db2.gz XRFCVBXDSQMCGS-GXTWGEPZSA-N 1 2 320.393 1.012 20 30 DDEDLO C=CCOCC[N@@H+](C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190268863 745168364 /nfs/dbraw/zinc/16/83/64/745168364.db2.gz DLWDWIVGVKFAQY-WDEREUQCSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@H+](C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190268863 745168367 /nfs/dbraw/zinc/16/83/67/745168367.db2.gz DLWDWIVGVKFAQY-WDEREUQCSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@@H+](C)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001190268863 745168370 /nfs/dbraw/zinc/16/83/70/745168370.db2.gz DLWDWIVGVKFAQY-WDEREUQCSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@H+](C)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001190268863 745168373 /nfs/dbraw/zinc/16/83/73/745168373.db2.gz DLWDWIVGVKFAQY-WDEREUQCSA-N 1 2 312.307 1.622 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1C[C@@H]2CCC[C@@H](NCC#N)[C@@H]2C1 ZINC000992752129 745176253 /nfs/dbraw/zinc/17/62/53/745176253.db2.gz GZPYHBNOYJMOIR-RRFJBIMHSA-N 1 2 315.421 1.093 20 30 DDEDLO C=CC[N@H+](CCc1ccccc1)Cc1nnc2c(=O)[nH]ccn12 ZINC001190698856 745288196 /nfs/dbraw/zinc/28/81/96/745288196.db2.gz BMLXJGCIBQRYLG-UHFFFAOYSA-N 1 2 309.373 1.648 20 30 DDEDLO C=CC[N@@H+](CCc1ccccc1)Cc1nnc2c(=O)[nH]ccn12 ZINC001190698856 745288198 /nfs/dbraw/zinc/28/81/98/745288198.db2.gz BMLXJGCIBQRYLG-UHFFFAOYSA-N 1 2 309.373 1.648 20 30 DDEDLO C=CCCn1cc(C(=O)NC2C[NH+](CC3=CCCCC3)C2)nn1 ZINC001031079975 745333813 /nfs/dbraw/zinc/33/38/13/745333813.db2.gz RPXALSVSJICXMM-UHFFFAOYSA-N 1 2 315.421 1.769 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(C(C)(C)C#N)c2)C1 ZINC001190942170 745377226 /nfs/dbraw/zinc/37/72/26/745377226.db2.gz DDSGGBVTJXNBQC-HNNXBMFYSA-N 1 2 301.390 1.548 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)c2cccc(C(C)(C)C#N)c2)C1 ZINC001190942170 745377230 /nfs/dbraw/zinc/37/72/30/745377230.db2.gz DDSGGBVTJXNBQC-HNNXBMFYSA-N 1 2 301.390 1.548 20 30 DDEDLO C[NH+]1CC2(CN(S(=O)(=O)c3cc(C#N)ccc3Cl)C2)C1 ZINC001190963043 745388326 /nfs/dbraw/zinc/38/83/26/745388326.db2.gz YONXMUBJTDMGDD-UHFFFAOYSA-N 1 2 311.794 1.148 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)nc1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106310181 745592403 /nfs/dbraw/zinc/59/24/03/745592403.db2.gz OXVNMZKFVCOIDK-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc2cc(C)nn2c1C ZINC001038575442 745714308 /nfs/dbraw/zinc/71/43/08/745714308.db2.gz LQSOWSNQDSSZQT-AWEZNQCLSA-N 1 2 311.389 1.174 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc2cc(C)nn2c1C ZINC001038575442 745714310 /nfs/dbraw/zinc/71/43/10/745714310.db2.gz LQSOWSNQDSSZQT-AWEZNQCLSA-N 1 2 311.389 1.174 20 30 DDEDLO C#CCCCC(=O)N(CC)CCNc1[nH+]cnc2c1cnn2C ZINC001106742032 746008106 /nfs/dbraw/zinc/00/81/06/746008106.db2.gz LZXWATDFPVSHQJ-UHFFFAOYSA-N 1 2 314.393 1.427 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2nccc2C)[C@@H]1C ZINC000993891065 746367557 /nfs/dbraw/zinc/36/75/57/746367557.db2.gz MTRAJZBCXVYSLW-KBPBESRZSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2nccc2C)[C@@H]1C ZINC000993891065 746367561 /nfs/dbraw/zinc/36/75/61/746367561.db2.gz MTRAJZBCXVYSLW-KBPBESRZSA-N 1 2 310.829 1.913 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CCCN(C(=O)CSCC#N)CC1 ZINC001194918890 746486448 /nfs/dbraw/zinc/48/64/48/746486448.db2.gz DTFXVEVPRMYHLZ-UHFFFAOYSA-N 1 2 319.434 1.076 20 30 DDEDLO Cc1nccnc1C[N@H+]1CCCN(C(=O)CSCC#N)CC1 ZINC001194918890 746486452 /nfs/dbraw/zinc/48/64/52/746486452.db2.gz DTFXVEVPRMYHLZ-UHFFFAOYSA-N 1 2 319.434 1.076 20 30 DDEDLO C/C=C(/C)C(=O)N1CCC[N@@H+](CC(=O)N(CC)CCC#N)CC1 ZINC001195459455 746607507 /nfs/dbraw/zinc/60/75/07/746607507.db2.gz JOJITFZBVJSTLB-TVPGTPATSA-N 1 2 320.437 1.249 20 30 DDEDLO C/C=C(/C)C(=O)N1CCC[N@H+](CC(=O)N(CC)CCC#N)CC1 ZINC001195459455 746607509 /nfs/dbraw/zinc/60/75/09/746607509.db2.gz JOJITFZBVJSTLB-TVPGTPATSA-N 1 2 320.437 1.249 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+](Cc2snnc2C)CC1 ZINC001195681546 746670230 /nfs/dbraw/zinc/67/02/30/746670230.db2.gz FYVOIPOTJRRLSI-OAHLLOKOSA-N 1 2 324.450 1.208 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+](Cc2snnc2C)CC1 ZINC001195681546 746670232 /nfs/dbraw/zinc/67/02/32/746670232.db2.gz FYVOIPOTJRRLSI-OAHLLOKOSA-N 1 2 324.450 1.208 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3cnc(C)o3)C[C@H]2O)CC1 ZINC001195906150 746733877 /nfs/dbraw/zinc/73/38/77/746733877.db2.gz QRQHBLXWOHOLGA-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cnc(C)o3)C[C@H]2O)CC1 ZINC001195906150 746733879 /nfs/dbraw/zinc/73/38/79/746733879.db2.gz QRQHBLXWOHOLGA-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1cncc(C#N)n1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089380151 746757309 /nfs/dbraw/zinc/75/73/09/746757309.db2.gz HSHNKAIYPPHNNG-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1)Nc1ccc(C#N)cn1 ZINC001089382016 746760379 /nfs/dbraw/zinc/76/03/79/746760379.db2.gz WWALWIHQEFHVHB-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CC(C)(C)C=C)CC1 ZINC001195989884 746761317 /nfs/dbraw/zinc/76/13/17/746761317.db2.gz GUVVEIMPYGNZAV-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CC(C)(C)C=C)CC1 ZINC001195989884 746761319 /nfs/dbraw/zinc/76/13/19/746761319.db2.gz GUVVEIMPYGNZAV-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO CCc1cccc(C(=O)N2CCC[N@H+](CC#CCOC)CC2)n1 ZINC001196177745 746793013 /nfs/dbraw/zinc/79/30/13/746793013.db2.gz MGRUUHQLKGXKNQ-UHFFFAOYSA-N 1 2 315.417 1.442 20 30 DDEDLO CCc1cccc(C(=O)N2CCC[N@@H+](CC#CCOC)CC2)n1 ZINC001196177745 746793014 /nfs/dbraw/zinc/79/30/14/746793014.db2.gz MGRUUHQLKGXKNQ-UHFFFAOYSA-N 1 2 315.417 1.442 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CCCCN2C(=O)C(C)C)C1 ZINC001031433485 746798355 /nfs/dbraw/zinc/79/83/55/746798355.db2.gz GASKWNWKJMATCU-OAHLLOKOSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc(OCC3CC3)nc2)C1 ZINC001031436158 746804980 /nfs/dbraw/zinc/80/49/80/746804980.db2.gz VICBNNNALXVKFM-UHFFFAOYSA-N 1 2 301.390 1.861 20 30 DDEDLO C[NH+]1CCC(C#N)(NS(=O)(=O)c2ccc(Cl)cn2)CC1 ZINC001196272249 746822909 /nfs/dbraw/zinc/82/29/09/746822909.db2.gz BXXKVYMRESXHBB-UHFFFAOYSA-N 1 2 314.798 1.001 20 30 DDEDLO CC(C)(C)[C@H](C[NH+]1CCOCC1)NC(=O)c1ncccc1C#N ZINC001196563698 746891530 /nfs/dbraw/zinc/89/15/30/746891530.db2.gz JHUPHANOHWZBPA-AWEZNQCLSA-N 1 2 316.405 1.430 20 30 DDEDLO N#Cc1cccnc1C(=O)N1CC[C@@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001196553917 746904372 /nfs/dbraw/zinc/90/43/72/746904372.db2.gz XYSPTXYDZRAYFE-CYBMUJFWSA-N 1 2 320.343 1.899 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(C)C(C)(C)C)CC1 ZINC001196754837 746958935 /nfs/dbraw/zinc/95/89/35/746958935.db2.gz YLWKROFXTVQWNX-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)C(C)(C)C)CC1 ZINC001196754837 746958938 /nfs/dbraw/zinc/95/89/38/746958938.db2.gz YLWKROFXTVQWNX-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001196855042 746991813 /nfs/dbraw/zinc/99/18/13/746991813.db2.gz XLPOOSWVZQCILK-GJZGRUSLSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001196855042 746991816 /nfs/dbraw/zinc/99/18/16/746991816.db2.gz XLPOOSWVZQCILK-GJZGRUSLSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@H+](CC(=O)NC(C)C)CC2)CC1 ZINC001197055495 747038568 /nfs/dbraw/zinc/03/85/68/747038568.db2.gz RIMMQUVGPGUINH-UHFFFAOYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@@H+](CC(=O)NC(C)C)CC2)CC1 ZINC001197055495 747038560 /nfs/dbraw/zinc/03/85/60/747038560.db2.gz RIMMQUVGPGUINH-UHFFFAOYSA-N 1 2 321.465 1.792 20 30 DDEDLO CCCn1cc(C(=O)N2CCC[N@H+](CC#CCOC)CC2)cn1 ZINC001197283238 747129777 /nfs/dbraw/zinc/12/97/77/747129777.db2.gz HAZCSKSPCUFRER-UHFFFAOYSA-N 1 2 318.421 1.091 20 30 DDEDLO CCCn1cc(C(=O)N2CCC[N@@H+](CC#CCOC)CC2)cn1 ZINC001197283238 747129779 /nfs/dbraw/zinc/12/97/79/747129779.db2.gz HAZCSKSPCUFRER-UHFFFAOYSA-N 1 2 318.421 1.091 20 30 DDEDLO Cc1ncoc1C(=O)NCC1C[NH+](Cc2ccc(C#N)s2)C1 ZINC001031598850 747135166 /nfs/dbraw/zinc/13/51/66/747135166.db2.gz RXTHODAELYGQSW-UHFFFAOYSA-N 1 2 316.386 1.778 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)C2CCC2)[C@H](O)C1 ZINC001090000411 747158079 /nfs/dbraw/zinc/15/80/79/747158079.db2.gz GHRJQXUSEBJLNK-DLBZAZTESA-N 1 2 313.401 1.410 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)C2CCC2)[C@H](O)C1 ZINC001090000411 747158084 /nfs/dbraw/zinc/15/80/84/747158084.db2.gz GHRJQXUSEBJLNK-DLBZAZTESA-N 1 2 313.401 1.410 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H](F)C(C)C)c2C1 ZINC001128453308 747159235 /nfs/dbraw/zinc/15/92/35/747159235.db2.gz FZCZGJJRADOCRV-OAHLLOKOSA-N 1 2 308.401 1.885 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H](F)C(C)C)c2C1 ZINC001128453308 747159238 /nfs/dbraw/zinc/15/92/38/747159238.db2.gz FZCZGJJRADOCRV-OAHLLOKOSA-N 1 2 308.401 1.885 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cncn2Cc2ccncc2)CC1 ZINC001197887539 747298681 /nfs/dbraw/zinc/29/86/81/747298681.db2.gz VWMZAQNGFFHVKG-UHFFFAOYSA-N 1 2 311.389 1.270 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+]([C@@H](C)C(=O)NC)CC2)CCCC1 ZINC001198423171 747488216 /nfs/dbraw/zinc/48/82/16/747488216.db2.gz LVKHBJWJRQMPLI-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+]([C@@H](C)C(=O)NC)CC2)CCCC1 ZINC001198423171 747488222 /nfs/dbraw/zinc/48/82/22/747488222.db2.gz LVKHBJWJRQMPLI-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC000998745363 752191933 /nfs/dbraw/zinc/19/19/33/752191933.db2.gz HFJVAUBFESUUKL-DTWKUNHWSA-N 1 2 313.308 1.247 20 30 DDEDLO CCN(c1ncccc1C#N)[C@H](C)CNC(=O)Cn1cc[nH+]c1 ZINC001089667095 747618191 /nfs/dbraw/zinc/61/81/91/747618191.db2.gz XEIICFLHJDCADW-CYBMUJFWSA-N 1 2 312.377 1.181 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2cn(C(C)C)nn2)CC1 ZINC001003860674 747640740 /nfs/dbraw/zinc/64/07/40/747640740.db2.gz JDPMAHHFRYWNGG-UHFFFAOYSA-N 1 2 311.817 1.806 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)C)C2 ZINC001110533912 747870494 /nfs/dbraw/zinc/87/04/94/747870494.db2.gz MMYADQCNBVTRGM-FPCVCCKLSA-N 1 2 319.449 1.282 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)C)C2 ZINC001110533912 747870504 /nfs/dbraw/zinc/87/05/04/747870504.db2.gz MMYADQCNBVTRGM-FPCVCCKLSA-N 1 2 319.449 1.282 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CC[C@@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001033019690 747932085 /nfs/dbraw/zinc/93/20/85/747932085.db2.gz CJDLABZHDUNVDD-SWLSCSKDSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CC[C@@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001033019690 747932086 /nfs/dbraw/zinc/93/20/86/747932086.db2.gz CJDLABZHDUNVDD-SWLSCSKDSA-N 1 2 324.388 1.584 20 30 DDEDLO Cc1ncc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)n1C ZINC001031924547 748222314 /nfs/dbraw/zinc/22/23/14/748222314.db2.gz PZRLKIWUYOCDQK-UHFFFAOYSA-N 1 2 323.400 1.462 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(C)C(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001033088096 748232597 /nfs/dbraw/zinc/23/25/97/748232597.db2.gz ZQRNYWROFRMOMD-AWEZNQCLSA-N 1 2 302.422 1.778 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(C)C(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001033088096 748232603 /nfs/dbraw/zinc/23/26/03/748232603.db2.gz ZQRNYWROFRMOMD-AWEZNQCLSA-N 1 2 302.422 1.778 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H]2CC(C)(C)CO2)C1 ZINC001108068934 748250285 /nfs/dbraw/zinc/25/02/85/748250285.db2.gz VXIYPHCULWXFNJ-YJBOKZPZSA-N 1 2 322.449 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H]2CC(C)(C)CO2)C1 ZINC001108068934 748250293 /nfs/dbraw/zinc/25/02/93/748250293.db2.gz VXIYPHCULWXFNJ-YJBOKZPZSA-N 1 2 322.449 1.422 20 30 DDEDLO N#CCN1CCC[C@@H]1C1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001004425427 748419613 /nfs/dbraw/zinc/41/96/13/748419613.db2.gz ODLMBMJOTQJGLF-OAHLLOKOSA-N 1 2 315.421 1.569 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2Cc3cc(F)ccc3O2)C1 ZINC001032019241 748437273 /nfs/dbraw/zinc/43/72/73/748437273.db2.gz IZLWPCABLFOGCS-HNNXBMFYSA-N 1 2 324.783 1.930 20 30 DDEDLO Cc1ncc(CC(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)o1 ZINC001004455681 748447227 /nfs/dbraw/zinc/44/72/27/748447227.db2.gz FOBQMBFZMJKIGU-MRXNPFEDSA-N 1 2 316.405 1.752 20 30 DDEDLO Cc1ncc(CC(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)o1 ZINC001004455681 748447235 /nfs/dbraw/zinc/44/72/35/748447235.db2.gz FOBQMBFZMJKIGU-MRXNPFEDSA-N 1 2 316.405 1.752 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2oc(CC(C)C)nc2C)[C@@H](O)C1 ZINC001083846820 748717239 /nfs/dbraw/zinc/71/72/39/748717239.db2.gz UPEDBSAOKQQDFQ-OLZOCXBDSA-N 1 2 307.394 1.142 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2oc(CC(C)C)nc2C)[C@@H](O)C1 ZINC001083846820 748717243 /nfs/dbraw/zinc/71/72/43/748717243.db2.gz UPEDBSAOKQQDFQ-OLZOCXBDSA-N 1 2 307.394 1.142 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+]Cc1nccc(C)n1)OCC ZINC001128527864 748734424 /nfs/dbraw/zinc/73/44/24/748734424.db2.gz DMSDMLJWCPPZEO-CQSZACIVSA-N 1 2 306.410 1.362 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CN(C(=O)[C@H](C)C#N)CC2(C)C)n1 ZINC000995581592 748959274 /nfs/dbraw/zinc/95/92/74/748959274.db2.gz NIEQPUZJLGJJCY-VXGBXAGGSA-N 1 2 319.409 1.508 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCCC[C@H]2OC)C1 ZINC001032219414 749013026 /nfs/dbraw/zinc/01/30/26/749013026.db2.gz YTBPAFQZOGWCOQ-UONOGXRCSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CCC3CCCC3)nn2)C1 ZINC001107120559 749154833 /nfs/dbraw/zinc/15/48/33/749154833.db2.gz PQIUHDAUBSEIPP-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001108337185 761937770 /nfs/dbraw/zinc/93/77/70/761937770.db2.gz VAUAQJQOGAIGEP-QGZVFWFLSA-N 1 2 303.406 1.510 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001108337185 761937773 /nfs/dbraw/zinc/93/77/73/761937773.db2.gz VAUAQJQOGAIGEP-QGZVFWFLSA-N 1 2 303.406 1.510 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccnn1CC)C2 ZINC001095349804 749588970 /nfs/dbraw/zinc/58/89/70/749588970.db2.gz KKCSJIUJDNFWGD-AGIUHOORSA-N 1 2 308.813 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccnn1CC)C2 ZINC001095349804 749588979 /nfs/dbraw/zinc/58/89/79/749588979.db2.gz KKCSJIUJDNFWGD-AGIUHOORSA-N 1 2 308.813 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnn(C)c2OC)C1 ZINC001033629315 749631429 /nfs/dbraw/zinc/63/14/29/749631429.db2.gz XSGZLZBHZQFDMK-LLVKDONJSA-N 1 2 312.801 1.328 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnn(C)c2OC)C1 ZINC001033629315 749631434 /nfs/dbraw/zinc/63/14/34/749631434.db2.gz XSGZLZBHZQFDMK-LLVKDONJSA-N 1 2 312.801 1.328 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)[C@@H](C)CC)nn2)C1 ZINC001107224905 749650269 /nfs/dbraw/zinc/65/02/69/749650269.db2.gz GWBSZTOCVRQWPQ-STQMWFEESA-N 1 2 305.426 1.619 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)n2cccc2)C1 ZINC001108351282 761971324 /nfs/dbraw/zinc/97/13/24/761971324.db2.gz HDLHMJGJHSHGRD-FUHWJXTLSA-N 1 2 317.433 1.670 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)n2cccc2)C1 ZINC001108351282 761971325 /nfs/dbraw/zinc/97/13/25/761971325.db2.gz HDLHMJGJHSHGRD-FUHWJXTLSA-N 1 2 317.433 1.670 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001033723943 749776594 /nfs/dbraw/zinc/77/65/94/749776594.db2.gz REWUXZQZVQWQPR-OCCSQVGLSA-N 1 2 310.829 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001033723943 749776601 /nfs/dbraw/zinc/77/66/01/749776601.db2.gz REWUXZQZVQWQPR-OCCSQVGLSA-N 1 2 310.829 1.809 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccccc2OC)C1 ZINC001108360864 761984090 /nfs/dbraw/zinc/98/40/90/761984090.db2.gz UMWKKUXUIWNMNX-GOSISDBHSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccccc2OC)C1 ZINC001108360864 761984097 /nfs/dbraw/zinc/98/40/97/761984097.db2.gz UMWKKUXUIWNMNX-GOSISDBHSA-N 1 2 316.401 1.539 20 30 DDEDLO C#Cc1cncc(C(=O)NC/C=C/CNc2cc[nH+]c(C)n2)c1 ZINC001107500127 750051946 /nfs/dbraw/zinc/05/19/46/750051946.db2.gz YHWFEIBDJALCIS-SNAWJCMRSA-N 1 2 307.357 1.559 20 30 DDEDLO N#CCN[C@H]1CCCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001000614087 762005491 /nfs/dbraw/zinc/00/54/91/762005491.db2.gz MGNYMUKHZHUKLJ-HNNXBMFYSA-N 1 2 309.373 1.590 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccccc2F)C1 ZINC001108373986 762005419 /nfs/dbraw/zinc/00/54/19/762005419.db2.gz CVSUVLOVVQSQPR-KRWDZBQOSA-N 1 2 306.381 1.761 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccccc2F)C1 ZINC001108373986 762005432 /nfs/dbraw/zinc/00/54/32/762005432.db2.gz CVSUVLOVVQSQPR-KRWDZBQOSA-N 1 2 306.381 1.761 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC/C=C\CNc1cc[nH+]c(C)n1 ZINC001107530275 750086675 /nfs/dbraw/zinc/08/66/75/750086675.db2.gz ZUJAEGMCGRKEFB-VSVUCHCSSA-N 1 2 302.378 1.460 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001110897593 750185403 /nfs/dbraw/zinc/18/54/03/750185403.db2.gz QSUVXAJGOIBNNA-BNOWGMLFSA-N 1 2 303.410 1.249 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001110897593 750185406 /nfs/dbraw/zinc/18/54/06/750185406.db2.gz QSUVXAJGOIBNNA-BNOWGMLFSA-N 1 2 303.410 1.249 20 30 DDEDLO Cc1nc(N2CCC[C@@](C)(CNC(=O)C#CC3CC3)C2)cc[nH+]1 ZINC001110932986 750239572 /nfs/dbraw/zinc/23/95/72/750239572.db2.gz HPMLTCZORYPPAQ-SFHVURJKSA-N 1 2 312.417 1.921 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001110989070 750284815 /nfs/dbraw/zinc/28/48/15/750284815.db2.gz FUQDOXXUCPPERR-BSXFFOKHSA-N 1 2 319.449 1.589 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001110989070 750284818 /nfs/dbraw/zinc/28/48/18/750284818.db2.gz FUQDOXXUCPPERR-BSXFFOKHSA-N 1 2 319.449 1.589 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)n2ccc(C)n2)C1 ZINC001108117551 750414143 /nfs/dbraw/zinc/41/41/43/750414143.db2.gz LMHIIYJZSFKKDD-WBVHZDCISA-N 1 2 320.437 1.536 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)n2ccc(C)n2)C1 ZINC001108117551 750414146 /nfs/dbraw/zinc/41/41/46/750414146.db2.gz LMHIIYJZSFKKDD-WBVHZDCISA-N 1 2 320.437 1.536 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ocnc1C(F)(F)F)C2 ZINC001095813680 750472466 /nfs/dbraw/zinc/47/24/66/750472466.db2.gz WITGZECONDGPSM-UTLUCORTSA-N 1 2 313.279 1.662 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ocnc1C(F)(F)F)C2 ZINC001095813680 750472469 /nfs/dbraw/zinc/47/24/69/750472469.db2.gz WITGZECONDGPSM-UTLUCORTSA-N 1 2 313.279 1.662 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032386468 750497484 /nfs/dbraw/zinc/49/74/84/750497484.db2.gz HZPCOTBCRABBOP-YESZJQIVSA-N 1 2 313.401 1.360 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032386468 750497485 /nfs/dbraw/zinc/49/74/85/750497485.db2.gz HZPCOTBCRABBOP-YESZJQIVSA-N 1 2 313.401 1.360 20 30 DDEDLO C=CCCC(=O)NCCCN(CC)c1[nH+]cnc2c1cnn2C ZINC001095842054 750533688 /nfs/dbraw/zinc/53/36/88/750533688.db2.gz RYGFVNFJZWNYSX-UHFFFAOYSA-N 1 2 316.409 1.662 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC2(COC)CC2)C1 ZINC001107938058 750685219 /nfs/dbraw/zinc/68/52/19/750685219.db2.gz HPEJCVAANRYJSV-INIZCTEOSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC2(COC)CC2)C1 ZINC001107938058 750685222 /nfs/dbraw/zinc/68/52/22/750685222.db2.gz HPEJCVAANRYJSV-INIZCTEOSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C(F)(F)F)nc1 ZINC001032465476 750893634 /nfs/dbraw/zinc/89/36/34/750893634.db2.gz JNDUPXMIJSMBHB-RYUDHWBXSA-N 1 2 324.306 1.417 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C(F)(F)F)nc1 ZINC001032465476 750893642 /nfs/dbraw/zinc/89/36/42/750893642.db2.gz JNDUPXMIJSMBHB-RYUDHWBXSA-N 1 2 324.306 1.417 20 30 DDEDLO Cc1nc(NC[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001060844509 750977329 /nfs/dbraw/zinc/97/73/29/750977329.db2.gz NCSMFWMJZCAQFG-LBPRGKRZSA-N 1 2 310.361 1.559 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001114710651 751011554 /nfs/dbraw/zinc/01/15/54/751011554.db2.gz HNXMMUYOUSZOIH-HALDLXJZSA-N 1 2 301.390 1.723 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001114710651 751011558 /nfs/dbraw/zinc/01/15/58/751011558.db2.gz HNXMMUYOUSZOIH-HALDLXJZSA-N 1 2 301.390 1.723 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Br)c[nH]1 ZINC001032507296 751115004 /nfs/dbraw/zinc/11/50/04/751115004.db2.gz VUXDYUKLCLDCJY-QWRGUYRKSA-N 1 2 308.179 1.309 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Br)c[nH]1 ZINC001032507296 751115000 /nfs/dbraw/zinc/11/50/00/751115000.db2.gz VUXDYUKLCLDCJY-QWRGUYRKSA-N 1 2 308.179 1.309 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc2ccc(OC)cc12 ZINC001032513149 751149781 /nfs/dbraw/zinc/14/97/81/751149781.db2.gz UNUWWSCKQKEHBO-STQMWFEESA-N 1 2 310.353 1.973 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc2ccc(OC)cc12 ZINC001032513149 751149784 /nfs/dbraw/zinc/14/97/84/751149784.db2.gz UNUWWSCKQKEHBO-STQMWFEESA-N 1 2 310.353 1.973 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C1CCN(CC#N)CC1 ZINC000997626760 751165608 /nfs/dbraw/zinc/16/56/08/751165608.db2.gz VBKKSYRHGFXCBX-IACUBPJLSA-N 1 2 301.394 1.253 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C1CCN(CC#N)CC1 ZINC000997626760 751165611 /nfs/dbraw/zinc/16/56/11/751165611.db2.gz VBKKSYRHGFXCBX-IACUBPJLSA-N 1 2 301.394 1.253 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)C(C)(C)CC)CC2 ZINC001128040565 752417128 /nfs/dbraw/zinc/41/71/28/752417128.db2.gz ROPQTQUSCGAXOI-ZDUSSCGKSA-N 1 2 317.437 1.258 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001008023526 752504289 /nfs/dbraw/zinc/50/42/89/752504289.db2.gz IEWNDMVRUOSJHL-MGPQQGTHSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001008023526 752504295 /nfs/dbraw/zinc/50/42/95/752504295.db2.gz IEWNDMVRUOSJHL-MGPQQGTHSA-N 1 2 322.840 1.857 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC001032668737 752680564 /nfs/dbraw/zinc/68/05/64/752680564.db2.gz MMDZXQYUYHHPFX-NXJYUQPGSA-N 1 2 306.409 1.881 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC001032668737 752680569 /nfs/dbraw/zinc/68/05/69/752680569.db2.gz MMDZXQYUYHHPFX-NXJYUQPGSA-N 1 2 306.409 1.881 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1CNC(=O)CCc1[nH]cc[nH+]1 ZINC001062058237 752707069 /nfs/dbraw/zinc/70/70/69/752707069.db2.gz NNFOULBPUSGZRK-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc2n[nH]c(C)c21 ZINC001032681613 752708705 /nfs/dbraw/zinc/70/87/05/752708705.db2.gz DTPASEZRHUFQMX-KBPBESRZSA-N 1 2 323.400 1.497 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc2n[nH]c(C)c21 ZINC001032681613 752708708 /nfs/dbraw/zinc/70/87/08/752708708.db2.gz DTPASEZRHUFQMX-KBPBESRZSA-N 1 2 323.400 1.497 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccc(F)cc1F ZINC001032711024 752807515 /nfs/dbraw/zinc/80/75/15/752807515.db2.gz CSJMNFJSJRFIQF-GJZGRUSLSA-N 1 2 304.340 1.816 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccc(F)cc1F ZINC001032711024 752807525 /nfs/dbraw/zinc/80/75/25/752807525.db2.gz CSJMNFJSJRFIQF-GJZGRUSLSA-N 1 2 304.340 1.816 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](N(C)c2ncccc2C#N)C1 ZINC001056949193 762165313 /nfs/dbraw/zinc/16/53/13/762165313.db2.gz COSHWLRRRDWKEN-CQSZACIVSA-N 1 2 324.388 1.265 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CN(C)c2ccccc2)C1 ZINC001108433857 762170136 /nfs/dbraw/zinc/17/01/36/762170136.db2.gz LCEMXWFJAUSALI-SFHVURJKSA-N 1 2 317.433 1.516 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CN(C)c2ccccc2)C1 ZINC001108433857 762170141 /nfs/dbraw/zinc/17/01/41/762170141.db2.gz LCEMXWFJAUSALI-SFHVURJKSA-N 1 2 317.433 1.516 20 30 DDEDLO N#Cc1ccc(NCC2(CCNC(=O)Cn3cc[nH+]c3)CC2)nc1 ZINC001089944531 753265594 /nfs/dbraw/zinc/26/55/94/753265594.db2.gz FQHAWRVHKCRNSN-UHFFFAOYSA-N 1 2 324.388 1.548 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC001009635690 753292784 /nfs/dbraw/zinc/29/27/84/753292784.db2.gz LOIJGLDRRVODFE-OAHLLOKOSA-N 1 2 323.400 1.689 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC001009635690 753292787 /nfs/dbraw/zinc/29/27/87/753292787.db2.gz LOIJGLDRRVODFE-OAHLLOKOSA-N 1 2 323.400 1.689 20 30 DDEDLO O=C(Cc1ccco1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001077876736 753349578 /nfs/dbraw/zinc/34/95/78/753349578.db2.gz KESIYGRQYCLUSA-QZTJIDSGSA-N 1 2 324.380 1.035 20 30 DDEDLO O=C(Cc1ccco1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001077876736 753349579 /nfs/dbraw/zinc/34/95/79/753349579.db2.gz KESIYGRQYCLUSA-QZTJIDSGSA-N 1 2 324.380 1.035 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cccc(Cl)c1 ZINC001032772412 753583981 /nfs/dbraw/zinc/58/39/81/753583981.db2.gz MSAQWYZPSBYAMF-KBPBESRZSA-N 1 2 304.777 1.637 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cccc(Cl)c1 ZINC001032772412 753583984 /nfs/dbraw/zinc/58/39/84/753583984.db2.gz MSAQWYZPSBYAMF-KBPBESRZSA-N 1 2 304.777 1.637 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncc(F)cc2F)C1 ZINC001108028912 753735432 /nfs/dbraw/zinc/73/54/32/753735432.db2.gz NQDLSUHRGGDJQW-HNNXBMFYSA-N 1 2 311.332 1.367 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncc(F)cc2F)C1 ZINC001108028912 753735435 /nfs/dbraw/zinc/73/54/35/753735435.db2.gz NQDLSUHRGGDJQW-HNNXBMFYSA-N 1 2 311.332 1.367 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2nccc(-c3ccccc3)c2C#N)C[NH2+]1 ZINC001169130465 762246388 /nfs/dbraw/zinc/24/63/88/762246388.db2.gz WMWVPMFBQMIXAI-CJNGLKHVSA-N 1 2 322.368 1.936 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001078236357 753872424 /nfs/dbraw/zinc/87/24/24/753872424.db2.gz GNJJZUPVAFLEHD-IAOVAPTHSA-N 1 2 300.402 1.608 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001078236357 753872426 /nfs/dbraw/zinc/87/24/26/753872426.db2.gz GNJJZUPVAFLEHD-IAOVAPTHSA-N 1 2 300.402 1.608 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c3ccccc3oc2CC)C1 ZINC001078239018 753874381 /nfs/dbraw/zinc/87/43/81/753874381.db2.gz YIIAUILEABCMCY-ZIAGYGMSSA-N 1 2 312.369 1.403 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c3ccccc3oc2CC)C1 ZINC001078239018 753874388 /nfs/dbraw/zinc/87/43/88/753874388.db2.gz YIIAUILEABCMCY-ZIAGYGMSSA-N 1 2 312.369 1.403 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H](C)C(=O)NC ZINC001039897367 762256714 /nfs/dbraw/zinc/25/67/14/762256714.db2.gz ZQAWKFKZUYRLCJ-ZXFNITATSA-N 1 2 321.465 1.789 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)NC ZINC001039897367 762256716 /nfs/dbraw/zinc/25/67/16/762256716.db2.gz ZQAWKFKZUYRLCJ-ZXFNITATSA-N 1 2 321.465 1.789 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)c(C)o1 ZINC001010694201 754104743 /nfs/dbraw/zinc/10/47/43/754104743.db2.gz BYKRZWSQFBTOPI-ZDUSSCGKSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)c(C)o1 ZINC001010694201 754104745 /nfs/dbraw/zinc/10/47/45/754104745.db2.gz BYKRZWSQFBTOPI-ZDUSSCGKSA-N 1 2 313.361 1.496 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2cncnc2)C1 ZINC001010707547 754112910 /nfs/dbraw/zinc/11/29/10/754112910.db2.gz FDFRLBPBWLUSQT-INIZCTEOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2cncnc2)C1 ZINC001010707547 754112915 /nfs/dbraw/zinc/11/29/15/754112915.db2.gz FDFRLBPBWLUSQT-INIZCTEOSA-N 1 2 307.357 1.353 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H]2CNc2cc[nH+]c(C)n2)nc1 ZINC001063501328 754195876 /nfs/dbraw/zinc/19/58/76/754195876.db2.gz BCLBYBJSUCNGCP-OAHLLOKOSA-N 1 2 321.384 1.878 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)CC[C@@H]1Nc1ncccc1C#N ZINC001063751997 754330070 /nfs/dbraw/zinc/33/00/70/754330070.db2.gz ITNQSJOPINGCJB-HIFRSBDPSA-N 1 2 324.388 1.499 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC001000888852 762304929 /nfs/dbraw/zinc/30/49/29/762304929.db2.gz ZWIWSIFAOWXYJY-CYBMUJFWSA-N 1 2 311.813 1.013 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC001000888852 762304938 /nfs/dbraw/zinc/30/49/38/762304938.db2.gz ZWIWSIFAOWXYJY-CYBMUJFWSA-N 1 2 311.813 1.013 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3cccnc3s2)[C@@H](O)C1 ZINC001083915983 754864976 /nfs/dbraw/zinc/86/49/76/754864976.db2.gz JDUIICVKLTZSFY-NEPJUHHUSA-N 1 2 303.387 1.257 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3cccnc3s2)[C@@H](O)C1 ZINC001083915983 754864980 /nfs/dbraw/zinc/86/49/80/754864980.db2.gz JDUIICVKLTZSFY-NEPJUHHUSA-N 1 2 303.387 1.257 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111546502 762368883 /nfs/dbraw/zinc/36/88/83/762368883.db2.gz MYQRVRQNCYBUTA-UHFFFAOYSA-N 1 2 306.410 1.620 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2nccs2)C[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC001079592549 755475144 /nfs/dbraw/zinc/47/51/44/755475144.db2.gz LLMQYRLQFTZHLA-ZWNOBZJWSA-N 1 2 315.402 1.593 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2nccs2)C[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC001079592549 755475146 /nfs/dbraw/zinc/47/51/46/755475146.db2.gz LLMQYRLQFTZHLA-ZWNOBZJWSA-N 1 2 315.402 1.593 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001079700780 755536243 /nfs/dbraw/zinc/53/62/43/755536243.db2.gz KYQQNXRVJJCIOW-VHDGCEQUSA-N 1 2 302.422 1.842 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001038631971 755569158 /nfs/dbraw/zinc/56/91/58/755569158.db2.gz MPKKYVAGMSLRJG-TZMCWYRMSA-N 1 2 302.378 1.089 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001038631971 755569163 /nfs/dbraw/zinc/56/91/63/755569163.db2.gz MPKKYVAGMSLRJG-TZMCWYRMSA-N 1 2 302.378 1.089 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001079991926 755668252 /nfs/dbraw/zinc/66/82/52/755668252.db2.gz VXZCPJOOXRNLHL-UXIGCNINSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001079991926 755668253 /nfs/dbraw/zinc/66/82/53/755668253.db2.gz VXZCPJOOXRNLHL-UXIGCNINSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2coc(OCC)n2)C1 ZINC001080044720 755696346 /nfs/dbraw/zinc/69/63/46/755696346.db2.gz MOZHCQFYVVETAY-MWLCHTKSSA-N 1 2 313.785 1.876 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2coc(OCC)n2)C1 ZINC001080044720 755696349 /nfs/dbraw/zinc/69/63/49/755696349.db2.gz MOZHCQFYVVETAY-MWLCHTKSSA-N 1 2 313.785 1.876 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2ccns2)C1 ZINC001014466936 755747509 /nfs/dbraw/zinc/74/75/09/755747509.db2.gz CZXZGEKLJIRNOA-CFVMTHIKSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C1 ZINC001014466936 755747510 /nfs/dbraw/zinc/74/75/10/755747510.db2.gz CZXZGEKLJIRNOA-CFVMTHIKSA-N 1 2 321.446 1.815 20 30 DDEDLO C=CCCCC(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080351383 755864126 /nfs/dbraw/zinc/86/41/26/755864126.db2.gz XLEWMGJBEOMUBN-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001014716753 755904801 /nfs/dbraw/zinc/90/48/01/755904801.db2.gz NZSJKPGFWALPBA-LBPRGKRZSA-N 1 2 309.801 1.099 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001014716753 755904806 /nfs/dbraw/zinc/90/48/06/755904806.db2.gz NZSJKPGFWALPBA-LBPRGKRZSA-N 1 2 309.801 1.099 20 30 DDEDLO C[C@H]1CN(C(=O)C#CC2CC2)C[C@H]1Nc1[nH+]cnc2c1cnn2C ZINC001067089483 755920025 /nfs/dbraw/zinc/92/00/25/755920025.db2.gz KAHMFULEWDJZSU-SMDDNHRTSA-N 1 2 324.388 1.036 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)c1ccc([N+](=O)[O-])c(Cl)n1 ZINC001156320878 762432226 /nfs/dbraw/zinc/43/22/26/762432226.db2.gz GPOHHTUJFGFPRE-ZETCQYMHSA-N 1 2 317.683 1.490 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1C[N@@H+](Cc2ccon2)C[C@H]1C ZINC001080850317 756121937 /nfs/dbraw/zinc/12/19/37/756121937.db2.gz IXALIQOLMPERQC-RDTXWAMCSA-N 1 2 323.396 1.835 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1C[N@H+](Cc2ccon2)C[C@H]1C ZINC001080850317 756121939 /nfs/dbraw/zinc/12/19/39/756121939.db2.gz IXALIQOLMPERQC-RDTXWAMCSA-N 1 2 323.396 1.835 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn3cc(C)cnc23)C1 ZINC001015776728 756541060 /nfs/dbraw/zinc/54/10/60/756541060.db2.gz ALZDSIHNEYFVOS-LBPRGKRZSA-N 1 2 319.796 1.594 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn3cc(C)cnc23)C1 ZINC001015776728 756541062 /nfs/dbraw/zinc/54/10/62/756541062.db2.gz ALZDSIHNEYFVOS-LBPRGKRZSA-N 1 2 319.796 1.594 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cnc3cnccc3c2)[C@H](OC)C1 ZINC001081948357 756560096 /nfs/dbraw/zinc/56/00/96/756560096.db2.gz VRYQEVJSLVIAFT-IAGOWNOFSA-N 1 2 324.384 1.082 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cnc3cnccc3c2)[C@H](OC)C1 ZINC001081948357 756560100 /nfs/dbraw/zinc/56/01/00/756560100.db2.gz VRYQEVJSLVIAFT-IAGOWNOFSA-N 1 2 324.384 1.082 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc3c2O[C@H](C)C3)[C@H](OC)C1 ZINC001081956058 756563084 /nfs/dbraw/zinc/56/30/84/756563084.db2.gz ICDQVNIDWPJBCC-DAXOMENPSA-N 1 2 314.385 1.072 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc3c2O[C@H](C)C3)[C@H](OC)C1 ZINC001081956058 756563086 /nfs/dbraw/zinc/56/30/86/756563086.db2.gz ICDQVNIDWPJBCC-DAXOMENPSA-N 1 2 314.385 1.072 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1ccncn1 ZINC001015876929 756610272 /nfs/dbraw/zinc/61/02/72/756610272.db2.gz JFIJIANFRHGTFX-MRXNPFEDSA-N 1 2 306.369 1.332 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1ccncn1 ZINC001015876929 756610274 /nfs/dbraw/zinc/61/02/74/756610274.db2.gz JFIJIANFRHGTFX-MRXNPFEDSA-N 1 2 306.369 1.332 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(F)c(Cl)c2)[C@H](OC)C1 ZINC001082080457 756631639 /nfs/dbraw/zinc/63/16/39/756631639.db2.gz QKQMZZYFKYPBER-ZIAGYGMSSA-N 1 2 310.756 1.541 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(F)c(Cl)c2)[C@H](OC)C1 ZINC001082080457 756631642 /nfs/dbraw/zinc/63/16/42/756631642.db2.gz QKQMZZYFKYPBER-ZIAGYGMSSA-N 1 2 310.756 1.541 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3ccccn3)C[C@H]2OC)C1 ZINC001082103054 756642155 /nfs/dbraw/zinc/64/21/55/756642155.db2.gz REBUSIUFFUURIQ-HZPDHXFCSA-N 1 2 315.417 1.753 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3ccccn3)C[C@H]2OC)C1 ZINC001082103054 756642159 /nfs/dbraw/zinc/64/21/59/756642159.db2.gz REBUSIUFFUURIQ-HZPDHXFCSA-N 1 2 315.417 1.753 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccns3)C2)nc1 ZINC001016026078 756730777 /nfs/dbraw/zinc/73/07/77/756730777.db2.gz CTDYRODRXHNQMR-CYBMUJFWSA-N 1 2 312.398 1.524 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccns3)C2)nc1 ZINC001016026078 756730781 /nfs/dbraw/zinc/73/07/81/756730781.db2.gz CTDYRODRXHNQMR-CYBMUJFWSA-N 1 2 312.398 1.524 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099738933 756730974 /nfs/dbraw/zinc/73/09/74/756730974.db2.gz USCIRFUJDIVUQO-WDEREUQCSA-N 1 2 323.220 1.059 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099738933 756730977 /nfs/dbraw/zinc/73/09/77/756730977.db2.gz USCIRFUJDIVUQO-WDEREUQCSA-N 1 2 323.220 1.059 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C/C=C\Cl)C[C@H]2OC)cn1 ZINC001082333818 756736211 /nfs/dbraw/zinc/73/62/11/756736211.db2.gz BFOGYOWGUSWPGM-DGPIVGRDSA-N 1 2 319.792 1.244 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C/C=C\Cl)C[C@H]2OC)cn1 ZINC001082333818 756736213 /nfs/dbraw/zinc/73/62/13/756736213.db2.gz BFOGYOWGUSWPGM-DGPIVGRDSA-N 1 2 319.792 1.244 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3ccccc3n2)[C@H](OC)C1 ZINC001082339225 756745834 /nfs/dbraw/zinc/74/58/34/756745834.db2.gz APGPEUIIISVTNJ-IAGOWNOFSA-N 1 2 309.369 1.297 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3ccccc3n2)[C@H](OC)C1 ZINC001082339225 756745836 /nfs/dbraw/zinc/74/58/36/756745836.db2.gz APGPEUIIISVTNJ-IAGOWNOFSA-N 1 2 309.369 1.297 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2nc(C)c(C)s2)[C@H](OC)C1 ZINC001082377351 756760788 /nfs/dbraw/zinc/76/07/88/756760788.db2.gz MWGSDNWRQBQRRF-CHWSQXEVSA-N 1 2 307.419 1.212 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2nc(C)c(C)s2)[C@H](OC)C1 ZINC001082377351 756760791 /nfs/dbraw/zinc/76/07/91/756760791.db2.gz MWGSDNWRQBQRRF-CHWSQXEVSA-N 1 2 307.419 1.212 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cc(C)ccc3o2)[C@H](OC)C1 ZINC001082384461 756762154 /nfs/dbraw/zinc/76/21/54/756762154.db2.gz XREINSSRGVWLHE-RHSMWYFYSA-N 1 2 312.369 1.803 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3cc(C)ccc3o2)[C@H](OC)C1 ZINC001082384461 756762158 /nfs/dbraw/zinc/76/21/58/756762158.db2.gz XREINSSRGVWLHE-RHSMWYFYSA-N 1 2 312.369 1.803 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cnc(C(C)C)s2)[C@H](OC)C1 ZINC001082403212 756771838 /nfs/dbraw/zinc/77/18/38/756771838.db2.gz DUBRZNLUWCNMTP-CHWSQXEVSA-N 1 2 321.446 1.719 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cnc(C(C)C)s2)[C@H](OC)C1 ZINC001082403212 756771842 /nfs/dbraw/zinc/77/18/42/756771842.db2.gz DUBRZNLUWCNMTP-CHWSQXEVSA-N 1 2 321.446 1.719 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1Nc1ncccc1C#N ZINC001067140586 756812701 /nfs/dbraw/zinc/81/27/01/756812701.db2.gz WVZVEQLFBPAKFP-AAEUAGOBSA-N 1 2 310.361 1.178 20 30 DDEDLO CN(c1ccc(C#N)nc1)[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001057058847 762500851 /nfs/dbraw/zinc/50/08/51/762500851.db2.gz BTVYQPNOPHUILA-INIZCTEOSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(c1ccc(C#N)nc1)[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001057058847 762500856 /nfs/dbraw/zinc/50/08/56/762500856.db2.gz BTVYQPNOPHUILA-INIZCTEOSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2c(C)cnn2C)CC1 ZINC001001059359 762512776 /nfs/dbraw/zinc/51/27/76/762512776.db2.gz AEZOKUHZSPICNL-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2c(C)cnn2C)CC1 ZINC001001059359 762512780 /nfs/dbraw/zinc/51/27/80/762512780.db2.gz AEZOKUHZSPICNL-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO Cc1nc(N2CCC[C@@H](CNC(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001097298233 757060725 /nfs/dbraw/zinc/06/07/25/757060725.db2.gz OJRFHJQRUZCHHN-ABAIWWIYSA-N 1 2 315.421 1.894 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001097308123 757071908 /nfs/dbraw/zinc/07/19/08/757071908.db2.gz PFMPMNHFPFXFPK-CQSZACIVSA-N 1 2 324.388 1.183 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001083982035 757205326 /nfs/dbraw/zinc/20/53/26/757205326.db2.gz WZEYUKVIECHXHF-CABCVRRESA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001083982035 757205328 /nfs/dbraw/zinc/20/53/28/757205328.db2.gz WZEYUKVIECHXHF-CABCVRRESA-N 1 2 319.380 1.405 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2ccc(C)cc2)[C@@H](O)C1 ZINC001083968010 757222272 /nfs/dbraw/zinc/22/22/72/757222272.db2.gz AJYLZYUKJWSHCO-CVEARBPZSA-N 1 2 300.402 1.067 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2ccc(C)cc2)[C@@H](O)C1 ZINC001083968010 757222274 /nfs/dbraw/zinc/22/22/74/757222274.db2.gz AJYLZYUKJWSHCO-CVEARBPZSA-N 1 2 300.402 1.067 20 30 DDEDLO CO[C@@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3ccccc3C#N)C[C@H]21 ZINC001084218178 757417755 /nfs/dbraw/zinc/41/77/55/757417755.db2.gz KKGBWJJDXYVHBU-IAOVAPTHSA-N 1 2 313.401 1.626 20 30 DDEDLO CO[C@@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccccc3C#N)C[C@H]21 ZINC001084218178 757417761 /nfs/dbraw/zinc/41/77/61/757417761.db2.gz KKGBWJJDXYVHBU-IAOVAPTHSA-N 1 2 313.401 1.626 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)/C=C(\C)C3CC3)CC2=O)C1 ZINC001108513098 762566394 /nfs/dbraw/zinc/56/63/94/762566394.db2.gz ALHJPAACXFYCFY-MRHLJLSQSA-N 1 2 317.433 1.320 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3scnc3COC)[C@@H]2C1 ZINC001084709889 757799843 /nfs/dbraw/zinc/79/98/43/757799843.db2.gz ZLTHVFVOMOQKHI-TZMCWYRMSA-N 1 2 319.430 1.459 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3scnc3COC)[C@@H]2C1 ZINC001084709889 757799850 /nfs/dbraw/zinc/79/98/50/757799850.db2.gz ZLTHVFVOMOQKHI-TZMCWYRMSA-N 1 2 319.430 1.459 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001052812800 757805968 /nfs/dbraw/zinc/80/59/68/757805968.db2.gz CKYDZAXYHQVTFD-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001052812800 757805982 /nfs/dbraw/zinc/80/59/82/757805982.db2.gz CKYDZAXYHQVTFD-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H]2CN(C(=O)c3nc[nH]n3)[C@@H]2C1 ZINC001084728510 757828639 /nfs/dbraw/zinc/82/86/39/757828639.db2.gz KWWSWKCQUCSLPK-HUUCEWRRSA-N 1 2 322.372 1.023 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H]2CN(C(=O)c3nc[nH]n3)[C@@H]2C1 ZINC001084728510 757828651 /nfs/dbraw/zinc/82/86/51/757828651.db2.gz KWWSWKCQUCSLPK-HUUCEWRRSA-N 1 2 322.372 1.023 20 30 DDEDLO N#CCN1CCC[C@@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CC1 ZINC001052839470 757857787 /nfs/dbraw/zinc/85/77/87/757857787.db2.gz WPJICVFWCRDSGZ-CQSZACIVSA-N 1 2 324.388 1.375 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)CC)C1=O ZINC001017365785 757867214 /nfs/dbraw/zinc/86/72/14/757867214.db2.gz DRWNNWUBUYNUSK-JONQDZQNSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)CC)C1=O ZINC001017365785 757867219 /nfs/dbraw/zinc/86/72/19/757867219.db2.gz DRWNNWUBUYNUSK-JONQDZQNSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)/C=C\C(C)(C)C)CC2=O)C1 ZINC001108525148 762598909 /nfs/dbraw/zinc/59/89/09/762598909.db2.gz HXPZKJBLNYHWSO-AFNCTOJWSA-N 1 2 319.449 1.566 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2snnc2C)C[C@H]1O ZINC001099858274 758114603 /nfs/dbraw/zinc/11/46/03/758114603.db2.gz JSJKJSOORBSUBR-CHWSQXEVSA-N 1 2 324.450 1.254 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2snnc2C)C[C@H]1O ZINC001099858274 758114609 /nfs/dbraw/zinc/11/46/09/758114609.db2.gz JSJKJSOORBSUBR-CHWSQXEVSA-N 1 2 324.450 1.254 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nncc2ccccc21 ZINC001017726589 758186059 /nfs/dbraw/zinc/18/60/59/758186059.db2.gz FJZVOAAJGSECGP-GASCZTMLSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nncc2ccccc21 ZINC001017726589 758186063 /nfs/dbraw/zinc/18/60/63/758186063.db2.gz FJZVOAAJGSECGP-GASCZTMLSA-N 1 2 306.369 1.552 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1cccs1)CCO2 ZINC001053129139 758186368 /nfs/dbraw/zinc/18/63/68/758186368.db2.gz LTRRMZASBKAUNQ-UHFFFAOYSA-N 1 2 306.431 1.780 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1n[nH]c(C)c1C)CCO2 ZINC001053197458 758254738 /nfs/dbraw/zinc/25/47/38/758254738.db2.gz OFZHAMRDJMFOBK-UHFFFAOYSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001053503549 758508797 /nfs/dbraw/zinc/50/87/97/758508797.db2.gz MRYSUIJCBJYYOY-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-n2cccn2)c1 ZINC001018064271 758517432 /nfs/dbraw/zinc/51/74/32/758517432.db2.gz FUDRGDBQLBXWAK-HDICACEKSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-n2cccn2)c1 ZINC001018064271 758517435 /nfs/dbraw/zinc/51/74/35/758517435.db2.gz FUDRGDBQLBXWAK-HDICACEKSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ncc2ccccc21 ZINC001018073975 758524188 /nfs/dbraw/zinc/52/41/88/758524188.db2.gz XYTVAHTTYRMXAA-CALCHBBNSA-N 1 2 322.412 1.735 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ncc2ccccc21 ZINC001018073975 758524190 /nfs/dbraw/zinc/52/41/90/758524190.db2.gz XYTVAHTTYRMXAA-CALCHBBNSA-N 1 2 322.412 1.735 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1c(C)n[nH]c1C)CCO2 ZINC001053529798 758536379 /nfs/dbraw/zinc/53/63/79/758536379.db2.gz KECDABHUEGHDKH-UHFFFAOYSA-N 1 2 318.421 1.058 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)C1CCOCC1)CCO2 ZINC001053552239 758556845 /nfs/dbraw/zinc/55/68/45/758556845.db2.gz OJFBHMQPGQZAMG-OAHLLOKOSA-N 1 2 322.449 1.538 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)CCN2C ZINC001018149192 758582338 /nfs/dbraw/zinc/58/23/38/758582338.db2.gz HQSOOEWAUSKIAR-HDICACEKSA-N 1 2 323.440 1.991 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)CCN2C ZINC001018149192 758582343 /nfs/dbraw/zinc/58/23/43/758582343.db2.gz HQSOOEWAUSKIAR-HDICACEKSA-N 1 2 323.440 1.991 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc(OC(C)C)c1 ZINC001018168492 758598034 /nfs/dbraw/zinc/59/80/34/758598034.db2.gz WAAZMIIVUXIZSP-IYBDPMFKSA-N 1 2 313.401 1.791 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc(OC(C)C)c1 ZINC001018168492 758598039 /nfs/dbraw/zinc/59/80/39/758598039.db2.gz WAAZMIIVUXIZSP-IYBDPMFKSA-N 1 2 313.401 1.791 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1OCCc2ccccc21 ZINC001018191488 758616922 /nfs/dbraw/zinc/61/69/22/758616922.db2.gz AUXYEAVUAZSGAO-SCTDSRPQSA-N 1 2 324.424 1.999 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1OCCc2ccccc21 ZINC001018191488 758616927 /nfs/dbraw/zinc/61/69/27/758616927.db2.gz AUXYEAVUAZSGAO-SCTDSRPQSA-N 1 2 324.424 1.999 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCCO[C@H]1C=C)O2 ZINC001053600391 758621869 /nfs/dbraw/zinc/62/18/69/758621869.db2.gz HRCWZMUIXKBTHB-OAGGEKHMSA-N 1 2 320.433 1.503 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1OCc2ccccc21 ZINC001018225907 758647448 /nfs/dbraw/zinc/64/74/48/758647448.db2.gz LLFRHCYUKBYSQX-JZXOWHBKSA-N 1 2 310.397 1.956 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1OCc2ccccc21 ZINC001018225907 758647452 /nfs/dbraw/zinc/64/74/52/758647452.db2.gz LLFRHCYUKBYSQX-JZXOWHBKSA-N 1 2 310.397 1.956 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncc(C)cc1C)O2 ZINC001053632007 758648836 /nfs/dbraw/zinc/64/88/36/758648836.db2.gz OWGAGOVAOWDPDW-OAHLLOKOSA-N 1 2 315.417 1.848 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C(C)C)C2)CC1 ZINC001065686338 758681177 /nfs/dbraw/zinc/68/11/77/758681177.db2.gz OHTROHMFPJHXPM-MRXNPFEDSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c([nH]c1=O)CCC2 ZINC001018268635 758681853 /nfs/dbraw/zinc/68/18/53/758681853.db2.gz ARZKLPCTJMJMIX-OKILXGFUSA-N 1 2 311.385 1.198 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c([nH]c1=O)CCC2 ZINC001018268635 758681859 /nfs/dbraw/zinc/68/18/59/758681859.db2.gz ARZKLPCTJMJMIX-OKILXGFUSA-N 1 2 311.385 1.198 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccncn1)O2 ZINC001053678045 758687437 /nfs/dbraw/zinc/68/74/37/758687437.db2.gz NDUAPKUDUIWESZ-CYBMUJFWSA-N 1 2 302.378 1.016 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC)C2)CC1 ZINC001065675691 758694677 /nfs/dbraw/zinc/69/46/77/758694677.db2.gz CMPBQPZDPGNYPB-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CN1CCc2ccccc21 ZINC001018281929 758695197 /nfs/dbraw/zinc/69/51/97/758695197.db2.gz KMHJEQJQKQUCMJ-CALCHBBNSA-N 1 2 309.413 1.358 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CN1CCc2ccccc21 ZINC001018281929 758695200 /nfs/dbraw/zinc/69/52/00/758695200.db2.gz KMHJEQJQKQUCMJ-CALCHBBNSA-N 1 2 309.413 1.358 20 30 DDEDLO CCCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC001065688439 758706553 /nfs/dbraw/zinc/70/65/53/758706553.db2.gz HRKGAGYKHKMVBH-HUUCEWRRSA-N 1 2 320.437 1.081 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065711182 758728860 /nfs/dbraw/zinc/72/88/60/758728860.db2.gz IYQHIKAWYGXOPO-JKSUJKDBSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1[C@@H]3CCCC[C@@H]31)CO2 ZINC001053767324 758787210 /nfs/dbraw/zinc/78/72/10/758787210.db2.gz FZTMTQHKWDAOOK-WCVJEAGWSA-N 1 2 302.418 1.405 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1sccc1F)CO2 ZINC001053792723 758820408 /nfs/dbraw/zinc/82/04/08/758820408.db2.gz YXVRKXCCCDTQTJ-LLVKDONJSA-N 1 2 308.378 1.484 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc3occc3[nH]1)CO2 ZINC001053822165 758850384 /nfs/dbraw/zinc/85/03/84/758850384.db2.gz HRALYXYVTGZNFX-LBPRGKRZSA-N 1 2 315.373 1.910 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1snnc1CC)CO2 ZINC001053865104 758899667 /nfs/dbraw/zinc/89/96/67/758899667.db2.gz REJSYURWZUMPLA-LLVKDONJSA-N 1 2 322.434 1.250 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C(C)C)on1)CO2 ZINC001053885016 758919954 /nfs/dbraw/zinc/91/99/54/758919954.db2.gz ZKLNBRVFROLGAN-CYBMUJFWSA-N 1 2 317.389 1.394 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)(C)C1CCC1)CO2 ZINC001053885010 758920054 /nfs/dbraw/zinc/92/00/54/758920054.db2.gz ZEVMORSNCQBACU-HNNXBMFYSA-N 1 2 304.434 1.796 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(OC)ccn1)CO2 ZINC001053889318 758923425 /nfs/dbraw/zinc/92/34/25/758923425.db2.gz HACAMRDQLVTQJY-CYBMUJFWSA-N 1 2 317.389 1.239 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C#C)cc1)CO2 ZINC001053890099 758923729 /nfs/dbraw/zinc/92/37/29/758923729.db2.gz ZXCZFZHAVIYPET-KRWDZBQOSA-N 1 2 308.381 1.264 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccoc1C1CC1)CO2 ZINC001053907187 758940269 /nfs/dbraw/zinc/94/02/69/758940269.db2.gz PSZRLYHWDYGLPH-AWEZNQCLSA-N 1 2 314.385 1.753 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc3n[nH]cc3c1)CO2 ZINC001053908346 758941275 /nfs/dbraw/zinc/94/12/75/758941275.db2.gz QNWYOZASHAHADE-HNNXBMFYSA-N 1 2 324.384 1.159 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@@H]2COC3(C[NH+](CC)C3)C2)cc1 ZINC001053946031 758982818 /nfs/dbraw/zinc/98/28/18/758982818.db2.gz LWRAZMJSJSUGLE-HNNXBMFYSA-N 1 2 314.385 1.292 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)c(CC)o1)CO2 ZINC001053981154 759019930 /nfs/dbraw/zinc/01/99/30/759019930.db2.gz ZOZPGBMNLANKFF-AWEZNQCLSA-N 1 2 316.401 1.747 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1sc(C)nc1C)CO2 ZINC001054002021 759048106 /nfs/dbraw/zinc/04/81/06/759048106.db2.gz PLALPTMLTCBCOF-ZDUSSCGKSA-N 1 2 321.446 1.909 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC001018633179 759052681 /nfs/dbraw/zinc/05/26/81/759052681.db2.gz UXJDPPBCIIOVND-QWRGUYRKSA-N 1 2 305.382 1.217 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)C[C@@H](NC(=O)Cn1ccnc1C)CO2 ZINC001054007698 759054745 /nfs/dbraw/zinc/05/47/45/759054745.db2.gz LENVFUQFCFWPMR-OAHLLOKOSA-N 1 2 318.421 1.117 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cncnc1 ZINC001054040043 759098529 /nfs/dbraw/zinc/09/85/29/759098529.db2.gz AFAVEQQAOLZROF-QGZVFWFLSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cncnc1 ZINC001054040043 759098537 /nfs/dbraw/zinc/09/85/37/759098537.db2.gz AFAVEQQAOLZROF-QGZVFWFLSA-N 1 2 306.369 1.267 20 30 DDEDLO CCc1cnc(C[NH2+][C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001018682997 759131742 /nfs/dbraw/zinc/13/17/42/759131742.db2.gz UHLLXIOHNVVNQB-LBPRGKRZSA-N 1 2 313.361 1.441 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1)c1csnn1 ZINC001018747679 759216350 /nfs/dbraw/zinc/21/63/50/759216350.db2.gz JNUYDUOZBVMDIH-KOLCDFICSA-N 1 2 316.390 1.303 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)Cc1c[nH+]cn1C ZINC001069122028 767861102 /nfs/dbraw/zinc/86/11/02/767861102.db2.gz MPLOLHPVBCOSKA-IUODEOHRSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#Cc2ccccc2)[nH]n1 ZINC001085502182 759536331 /nfs/dbraw/zinc/53/63/31/759536331.db2.gz ROPKOPFLJQMMJZ-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#Cc2ccccc2)[nH]n1 ZINC001085502182 759536337 /nfs/dbraw/zinc/53/63/37/759536337.db2.gz ROPKOPFLJQMMJZ-KRWDZBQOSA-N 1 2 322.412 1.916 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccccc1C#N)C(=O)[C@@H]1CCOC1 ZINC001085507745 759572732 /nfs/dbraw/zinc/57/27/32/759572732.db2.gz MVNUHDFBAXMQBN-SJORKVTESA-N 1 2 313.401 1.627 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccccc1C#N)C(=O)[C@@H]1CCOC1 ZINC001085507745 759572736 /nfs/dbraw/zinc/57/27/36/759572736.db2.gz MVNUHDFBAXMQBN-SJORKVTESA-N 1 2 313.401 1.627 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1)C(=O)c1cocn1 ZINC001085516744 759589246 /nfs/dbraw/zinc/58/92/46/759589246.db2.gz CCUXVSBNRLFJNK-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1)C(=O)c1cocn1 ZINC001085516744 759589254 /nfs/dbraw/zinc/58/92/54/759589254.db2.gz CCUXVSBNRLFJNK-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO Cc1cc(N[C@@H]2C[C@@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001069138976 767875608 /nfs/dbraw/zinc/87/56/08/767875608.db2.gz ZMPNAJSZRHAAAB-TZMCWYRMSA-N 1 2 324.388 1.051 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001019238391 759708075 /nfs/dbraw/zinc/70/80/75/759708075.db2.gz ZLWUAOSNNXRMBL-NSHDSACASA-N 1 2 307.398 1.038 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)CCn1cc[nH+]c1 ZINC001069180666 767896076 /nfs/dbraw/zinc/89/60/76/767896076.db2.gz KMNKBKKZQNBMKX-XJKSGUPXSA-N 1 2 324.388 1.641 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001066285289 759859360 /nfs/dbraw/zinc/85/93/60/759859360.db2.gz NCZKERAGQNQTQT-HNNXBMFYSA-N 1 2 316.405 1.040 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C2CC2)n(C)n1 ZINC001085630170 759884827 /nfs/dbraw/zinc/88/48/27/759884827.db2.gz NEDJJUAYKLXKTE-CQSZACIVSA-N 1 2 300.406 1.467 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C2CC2)n(C)n1 ZINC001085630170 759884837 /nfs/dbraw/zinc/88/48/37/759884837.db2.gz NEDJJUAYKLXKTE-CQSZACIVSA-N 1 2 300.406 1.467 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(CC(F)(F)F)c1 ZINC001085643858 759922230 /nfs/dbraw/zinc/92/22/30/759922230.db2.gz ZSYZSKQUBUMFDN-LBPRGKRZSA-N 1 2 314.311 1.225 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(CC(F)(F)F)c1 ZINC001085643858 759922233 /nfs/dbraw/zinc/92/22/33/759922233.db2.gz ZSYZSKQUBUMFDN-LBPRGKRZSA-N 1 2 314.311 1.225 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)nc2ccnn2c1C ZINC001085679940 759991401 /nfs/dbraw/zinc/99/14/01/759991401.db2.gz UQZDKFQBIPOXAP-CQSZACIVSA-N 1 2 311.389 1.126 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)nc2ccnn2c1C ZINC001085679940 759991406 /nfs/dbraw/zinc/99/14/06/759991406.db2.gz UQZDKFQBIPOXAP-CQSZACIVSA-N 1 2 311.389 1.126 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1conc1C(F)(F)F ZINC001085724304 760125166 /nfs/dbraw/zinc/12/51/66/760125166.db2.gz SJBDZPQJQREUEV-SNVBAGLBSA-N 1 2 315.295 1.863 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1conc1C(F)(F)F ZINC001085724304 760125169 /nfs/dbraw/zinc/12/51/69/760125169.db2.gz SJBDZPQJQREUEV-SNVBAGLBSA-N 1 2 315.295 1.863 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@@H](C)C(=O)NC)CC1 ZINC001085800919 760269924 /nfs/dbraw/zinc/26/99/24/760269924.db2.gz FKOGDGMPOLUPKN-ZFWWWQNUSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@@H](C)C(=O)NC)CC1 ZINC001085800919 760269929 /nfs/dbraw/zinc/26/99/29/760269929.db2.gz FKOGDGMPOLUPKN-ZFWWWQNUSA-N 1 2 307.438 1.400 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)nc1OC ZINC001085858705 760382973 /nfs/dbraw/zinc/38/29/73/760382973.db2.gz GYUUGSMZYMIWSF-CQSZACIVSA-N 1 2 301.390 1.568 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)nc1OC ZINC001085858705 760382983 /nfs/dbraw/zinc/38/29/83/760382983.db2.gz GYUUGSMZYMIWSF-CQSZACIVSA-N 1 2 301.390 1.568 20 30 DDEDLO N#Cc1ccc(NCC[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001066328342 760417878 /nfs/dbraw/zinc/41/78/78/760417878.db2.gz AYVFKRNXAAVVFG-AWEZNQCLSA-N 1 2 324.388 1.500 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001085902170 760495461 /nfs/dbraw/zinc/49/54/61/760495461.db2.gz WAUOSDCXGCRLRR-OLZOCXBDSA-N 1 2 300.406 1.314 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001085902170 760495463 /nfs/dbraw/zinc/49/54/63/760495463.db2.gz WAUOSDCXGCRLRR-OLZOCXBDSA-N 1 2 300.406 1.314 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1csc(C(F)(F)F)n1 ZINC001085909517 760517719 /nfs/dbraw/zinc/51/77/19/760517719.db2.gz LEACELIFHBYKPG-SECBINFHSA-N 1 2 317.336 1.941 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1csc(C(F)(F)F)n1 ZINC001085909517 760517724 /nfs/dbraw/zinc/51/77/24/760517724.db2.gz LEACELIFHBYKPG-SECBINFHSA-N 1 2 317.336 1.941 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3ccc(C#N)[nH]3)CC2)c(C)c(C)[nH+]1 ZINC001055774534 760712463 /nfs/dbraw/zinc/71/24/63/760712463.db2.gz XJVPNBXSAMPBRC-UHFFFAOYSA-N 1 2 324.388 1.564 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001046851892 767984725 /nfs/dbraw/zinc/98/47/25/767984725.db2.gz KCZVHYHHAHKFJU-IUODEOHRSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001046851892 767984729 /nfs/dbraw/zinc/98/47/29/767984729.db2.gz KCZVHYHHAHKFJU-IUODEOHRSA-N 1 2 323.828 1.174 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1COCC[C@@H]1c1ccccc1 ZINC001038259782 760954777 /nfs/dbraw/zinc/95/47/77/760954777.db2.gz JHSRPCMYXHNPMH-RCCFBDPRSA-N 1 2 312.413 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1COCC[C@@H]1c1ccccc1 ZINC001038259782 760954783 /nfs/dbraw/zinc/95/47/83/760954783.db2.gz JHSRPCMYXHNPMH-RCCFBDPRSA-N 1 2 312.413 1.630 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ncccc1C#N ZINC001069375272 767999690 /nfs/dbraw/zinc/99/96/90/767999690.db2.gz GQWVMEDBAFRWPW-HIFRSBDPSA-N 1 2 324.388 1.323 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)[nH]c2C)C1 ZINC001108246354 761085892 /nfs/dbraw/zinc/08/58/92/761085892.db2.gz KAGYSCXGRLDMKX-KRWDZBQOSA-N 1 2 303.406 1.476 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)[nH]c2C)C1 ZINC001108246354 761085904 /nfs/dbraw/zinc/08/59/04/761085904.db2.gz KAGYSCXGRLDMKX-KRWDZBQOSA-N 1 2 303.406 1.476 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066462187 761262087 /nfs/dbraw/zinc/26/20/87/761262087.db2.gz CXAOXUOBBRCWPB-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO Cc1nc(NC[C@H]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001069465546 768029502 /nfs/dbraw/zinc/02/95/02/768029502.db2.gz GZMQGVSMZRFKLR-STQMWFEESA-N 1 2 324.388 1.995 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001098320038 761292524 /nfs/dbraw/zinc/29/25/24/761292524.db2.gz NGEKEYIZBPHZHV-RYUDHWBXSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001098320038 761292526 /nfs/dbraw/zinc/29/25/26/761292526.db2.gz NGEKEYIZBPHZHV-RYUDHWBXSA-N 1 2 312.377 1.472 20 30 DDEDLO Cc1nc(NC[C@@H]2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001069452887 768026898 /nfs/dbraw/zinc/02/68/98/768026898.db2.gz BLBPERDKYMHPIU-TZMCWYRMSA-N 1 2 324.388 1.995 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@@H]2CC[N@H+]2CCC(F)(F)F)nn1 ZINC001038791090 761411337 /nfs/dbraw/zinc/41/13/37/761411337.db2.gz NWXLTNLJXQDIPK-JTQLQIEISA-N 1 2 317.315 1.221 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@@H]2CC[N@@H+]2CCC(F)(F)F)nn1 ZINC001038791090 761411341 /nfs/dbraw/zinc/41/13/41/761411341.db2.gz NWXLTNLJXQDIPK-JTQLQIEISA-N 1 2 317.315 1.221 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@H](Nc2ncccc2C#N)C1 ZINC001056796521 761437265 /nfs/dbraw/zinc/43/72/65/761437265.db2.gz WBHRZBMDIHDJPO-GXTWGEPZSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@@H](Nc2ncccc2C#N)C1 ZINC001056796521 761437269 /nfs/dbraw/zinc/43/72/69/761437269.db2.gz WBHRZBMDIHDJPO-GXTWGEPZSA-N 1 2 324.388 1.568 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001069510881 768039930 /nfs/dbraw/zinc/03/99/30/768039930.db2.gz QTABKAIFOAKNDN-OCCSQVGLSA-N 1 2 324.388 1.038 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CNC(=O)c1ccc2c(=O)ccoc2c1 ZINC001038927535 761554608 /nfs/dbraw/zinc/55/46/08/761554608.db2.gz WMSPBBJOHXSSQQ-AWEZNQCLSA-N 1 2 310.353 1.620 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2c(=O)ccoc2c1 ZINC001038927535 761554614 /nfs/dbraw/zinc/55/46/14/761554614.db2.gz WMSPBBJOHXSSQQ-AWEZNQCLSA-N 1 2 310.353 1.620 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(C2(NC(=O)c3nnc[nH]3)CC2)CC1 ZINC001000285760 761616219 /nfs/dbraw/zinc/61/62/19/761616219.db2.gz FGLFSCUMESOWNY-UHFFFAOYSA-N 1 2 309.801 1.532 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(C2(NC(=O)c3ncn[nH]3)CC2)CC1 ZINC001000285760 761616227 /nfs/dbraw/zinc/61/62/27/761616227.db2.gz FGLFSCUMESOWNY-UHFFFAOYSA-N 1 2 309.801 1.532 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(C2(NC(=O)c3nc[nH]n3)CC2)CC1 ZINC001000285760 761616231 /nfs/dbraw/zinc/61/62/31/761616231.db2.gz FGLFSCUMESOWNY-UHFFFAOYSA-N 1 2 309.801 1.532 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(N(CC)CC)nc1 ZINC001039067837 761706631 /nfs/dbraw/zinc/70/66/31/761706631.db2.gz SQWRRRDIPGRFBP-OAHLLOKOSA-N 1 2 300.406 1.365 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(N(CC)CC)nc1 ZINC001039067837 761706633 /nfs/dbraw/zinc/70/66/33/761706633.db2.gz SQWRRRDIPGRFBP-OAHLLOKOSA-N 1 2 300.406 1.365 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@H](C)CC(C)C)CC2=O)C1 ZINC001108586080 762762666 /nfs/dbraw/zinc/76/26/66/762762666.db2.gz ZGLKZWLUJNIQLN-HUUCEWRRSA-N 1 2 321.465 1.646 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@]2(CCN(C(=O)C#CC3CC3)C2)C1 ZINC001041272817 762924272 /nfs/dbraw/zinc/92/42/72/762924272.db2.gz JXHIVYZGVZGQLV-QGZVFWFLSA-N 1 2 314.389 1.216 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@]2(CCN(C(=O)C#CC3CC3)C2)C1 ZINC001041272817 762924278 /nfs/dbraw/zinc/92/42/78/762924278.db2.gz JXHIVYZGVZGQLV-QGZVFWFLSA-N 1 2 314.389 1.216 20 30 DDEDLO COCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccc(F)cc1 ZINC001108844332 763005076 /nfs/dbraw/zinc/00/50/76/763005076.db2.gz CKMAEASENJJGEK-IKGGRYGDSA-N 1 2 316.376 1.545 20 30 DDEDLO COCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccc(F)cc1 ZINC001108844332 763005080 /nfs/dbraw/zinc/00/50/80/763005080.db2.gz CKMAEASENJJGEK-IKGGRYGDSA-N 1 2 316.376 1.545 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)F)C2 ZINC001108857777 763017550 /nfs/dbraw/zinc/01/75/50/763017550.db2.gz SLCSDIVBPQBYMD-NDBYEHHHSA-N 1 2 311.401 1.147 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)F)C2 ZINC001108857777 763017555 /nfs/dbraw/zinc/01/75/55/763017555.db2.gz SLCSDIVBPQBYMD-NDBYEHHHSA-N 1 2 311.401 1.147 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001108908014 763099321 /nfs/dbraw/zinc/09/93/21/763099321.db2.gz JRCVOTPZUVOOEP-ZDUSSCGKSA-N 1 2 306.410 1.709 20 30 DDEDLO C=CC[N@@H+]1CCC(F)(F)[C@H](CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001046923417 768119037 /nfs/dbraw/zinc/11/90/37/768119037.db2.gz OXBFWTBNFFXQGR-GFCCVEGCSA-N 1 2 312.364 1.900 20 30 DDEDLO C=CC[N@H+]1CCC(F)(F)[C@H](CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001046923417 768119039 /nfs/dbraw/zinc/11/90/39/768119039.db2.gz OXBFWTBNFFXQGR-GFCCVEGCSA-N 1 2 312.364 1.900 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)(C)C ZINC001109055330 763294416 /nfs/dbraw/zinc/29/44/16/763294416.db2.gz YHJHRHNKOPGGFH-ILXRZTDVSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)(C)C ZINC001109055330 763294423 /nfs/dbraw/zinc/29/44/23/763294423.db2.gz YHJHRHNKOPGGFH-ILXRZTDVSA-N 1 2 321.465 1.836 20 30 DDEDLO COc1cccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H](C)C#N)C3)n1 ZINC001109078537 763314534 /nfs/dbraw/zinc/31/45/34/763314534.db2.gz LPDSANBLHUICLX-RZFFKMDDSA-N 1 2 314.389 1.471 20 30 DDEDLO COc1cccc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H](C)C#N)C3)n1 ZINC001109078537 763314543 /nfs/dbraw/zinc/31/45/43/763314543.db2.gz LPDSANBLHUICLX-RZFFKMDDSA-N 1 2 314.389 1.471 20 30 DDEDLO Cc1nc(NC2CCN(C(=O)c3cc(C#N)c[nH]3)CC2)cc[nH+]1 ZINC001057303181 763370170 /nfs/dbraw/zinc/37/01/70/763370170.db2.gz HHRFZKWOWKONMH-UHFFFAOYSA-N 1 2 310.361 1.702 20 30 DDEDLO N#Cc1cccnc1NC1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001057322655 763424536 /nfs/dbraw/zinc/42/45/36/763424536.db2.gz HANGAVVKFJKNDI-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C)n(C)n1 ZINC001109208294 763459094 /nfs/dbraw/zinc/45/90/94/763459094.db2.gz IOWIOIRMKUPROE-IKGGRYGDSA-N 1 2 314.433 1.754 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C)n(C)n1 ZINC001109208294 763459100 /nfs/dbraw/zinc/45/91/00/763459100.db2.gz IOWIOIRMKUPROE-IKGGRYGDSA-N 1 2 314.433 1.754 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CCCC)C2 ZINC001109222836 763481212 /nfs/dbraw/zinc/48/12/12/763481212.db2.gz ZAIQDYVFTDFBBV-WCVJEAGWSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CCCC)C2 ZINC001109222836 763481214 /nfs/dbraw/zinc/48/12/14/763481214.db2.gz ZAIQDYVFTDFBBV-WCVJEAGWSA-N 1 2 321.465 1.836 20 30 DDEDLO Cc1nc(N(C)C[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001109254599 763518551 /nfs/dbraw/zinc/51/85/51/763518551.db2.gz BWLBDERZXXDFOZ-LLVKDONJSA-N 1 2 312.377 1.168 20 30 DDEDLO C#CCCCC(=O)N(C)CCCNc1[nH+]cnc2c1cnn2C ZINC001109426509 763689433 /nfs/dbraw/zinc/68/94/33/763689433.db2.gz OFHCWYLGVUXCFD-UHFFFAOYSA-N 1 2 314.393 1.427 20 30 DDEDLO CN(CCCNc1cccc(F)c1C#N)C(=O)Cc1[nH]cc[nH+]1 ZINC001109506977 763761053 /nfs/dbraw/zinc/76/10/53/763761053.db2.gz XKWXKTUVKISSNK-UHFFFAOYSA-N 1 2 315.352 1.924 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001050574576 763769633 /nfs/dbraw/zinc/76/96/33/763769633.db2.gz UZIFTOSACDQLAN-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CC[NH+](Cc1nncn1C)CC2 ZINC001050618717 763830036 /nfs/dbraw/zinc/83/00/36/763830036.db2.gz ZLSNNIKAVSIJCD-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CC)CCC1)C2 ZINC001109629398 763890766 /nfs/dbraw/zinc/89/07/66/763890766.db2.gz DLOBCAICXNIKEB-ILXRZTDVSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CC)CCC1)C2 ZINC001109629398 763890777 /nfs/dbraw/zinc/89/07/77/763890777.db2.gz DLOBCAICXNIKEB-ILXRZTDVSA-N 1 2 319.449 1.590 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001109682197 763941357 /nfs/dbraw/zinc/94/13/57/763941357.db2.gz XCTFZYHXCFIFRZ-DYWXZXKOSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001109682197 763941363 /nfs/dbraw/zinc/94/13/63/763941363.db2.gz XCTFZYHXCFIFRZ-DYWXZXKOSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC ZINC001109683462 763942315 /nfs/dbraw/zinc/94/23/15/763942315.db2.gz MNDVVPWIGCPGFE-XJIUQZFPSA-N 1 2 307.438 1.446 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC ZINC001109683462 763942320 /nfs/dbraw/zinc/94/23/20/763942320.db2.gz MNDVVPWIGCPGFE-XJIUQZFPSA-N 1 2 307.438 1.446 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CCC)CC1)C2 ZINC001109692021 763955388 /nfs/dbraw/zinc/95/53/88/763955388.db2.gz HYCUJYYZQDBLQO-ILXRZTDVSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CCC)CC1)C2 ZINC001109692021 763955398 /nfs/dbraw/zinc/95/53/98/763955398.db2.gz HYCUJYYZQDBLQO-ILXRZTDVSA-N 1 2 317.433 1.038 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C3CC(C)C3)c2C1 ZINC001069856808 768187845 /nfs/dbraw/zinc/18/78/45/768187845.db2.gz MHGRNEFEJOOWNB-UHFFFAOYSA-N 1 2 302.422 1.937 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C3CC(C)C3)c2C1 ZINC001069856808 768187849 /nfs/dbraw/zinc/18/78/49/768187849.db2.gz MHGRNEFEJOOWNB-UHFFFAOYSA-N 1 2 302.422 1.937 20 30 DDEDLO C[C@@H](F)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001050872237 764214616 /nfs/dbraw/zinc/21/46/16/764214616.db2.gz MDBRWHVFELZVRT-YPMHNXCESA-N 1 2 308.357 1.065 20 30 DDEDLO C[C@@H](F)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001050872237 764214623 /nfs/dbraw/zinc/21/46/23/764214623.db2.gz MDBRWHVFELZVRT-YPMHNXCESA-N 1 2 308.357 1.065 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001050892380 764241227 /nfs/dbraw/zinc/24/12/27/764241227.db2.gz OWXGUYLOLQIKMW-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO N#Cc1cccnc1NC[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001109991741 764269986 /nfs/dbraw/zinc/26/99/86/764269986.db2.gz PTQOOLFMRNVTNH-CQSZACIVSA-N 1 2 310.361 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1[nH]cnc1C(C)(C)C ZINC001050927864 764294875 /nfs/dbraw/zinc/29/48/75/764294875.db2.gz RTFBRUYTCGMXDY-ZDUSSCGKSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1[nH]cnc1C(C)(C)C ZINC001050927864 764294882 /nfs/dbraw/zinc/29/48/82/764294882.db2.gz RTFBRUYTCGMXDY-ZDUSSCGKSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001050993694 764393312 /nfs/dbraw/zinc/39/33/12/764393312.db2.gz OAUAQDRKZMCIAW-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001050993694 764393320 /nfs/dbraw/zinc/39/33/20/764393320.db2.gz OAUAQDRKZMCIAW-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C)c(C)n1 ZINC001051026954 764431315 /nfs/dbraw/zinc/43/13/15/764431315.db2.gz YWHRUOMOSQAIKK-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C)c(C)n1 ZINC001051026954 764431323 /nfs/dbraw/zinc/43/13/23/764431323.db2.gz YWHRUOMOSQAIKK-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(F)cc2NC(C)=O)C1 ZINC001042849730 764482435 /nfs/dbraw/zinc/48/24/35/764482435.db2.gz WYEAYILBLGLKGQ-UHFFFAOYSA-N 1 2 317.364 1.564 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C)nc1OC ZINC001051154513 764562261 /nfs/dbraw/zinc/56/22/61/764562261.db2.gz WUDFQEMKHSDPBY-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C)nc1OC ZINC001051154513 764562266 /nfs/dbraw/zinc/56/22/66/764562266.db2.gz WUDFQEMKHSDPBY-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)C(F)=C2CCCC2)[C@@H](n2ccnn2)C1 ZINC001069909752 768222716 /nfs/dbraw/zinc/22/27/16/768222716.db2.gz OIGFKOIMDJVLON-KGLIPLIRSA-N 1 2 319.384 1.603 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)C(F)=C2CCCC2)[C@@H](n2ccnn2)C1 ZINC001069909752 768222719 /nfs/dbraw/zinc/22/27/19/768222719.db2.gz OIGFKOIMDJVLON-KGLIPLIRSA-N 1 2 319.384 1.603 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nc(C)n1 ZINC001051166849 764572004 /nfs/dbraw/zinc/57/20/04/764572004.db2.gz SBJHDBXBXXCNMJ-HNNXBMFYSA-N 1 2 318.421 1.490 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nc(C)n1 ZINC001051166849 764572015 /nfs/dbraw/zinc/57/20/15/764572015.db2.gz SBJHDBXBXXCNMJ-HNNXBMFYSA-N 1 2 318.421 1.490 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001086549731 764576521 /nfs/dbraw/zinc/57/65/21/764576521.db2.gz ALLHVMMJDZZGFI-UMSPYCQHSA-N 1 2 319.796 1.808 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CCO[C@H]2CCCC[C@H]2C)CC1 ZINC001112703698 764622409 /nfs/dbraw/zinc/62/24/09/764622409.db2.gz CRGDOUCXCVZHEF-SJORKVTESA-N 1 2 324.465 1.929 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[NH+](CCCOc2ccc(F)cc2)CC1 ZINC001112718705 764643756 /nfs/dbraw/zinc/64/37/56/764643756.db2.gz QSANOXDDCHLMHC-CQSZACIVSA-N 1 2 319.380 1.898 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCCN2C(=O)CSCC#N)cc[nH+]1 ZINC001112779574 764744099 /nfs/dbraw/zinc/74/40/99/764744099.db2.gz CVYGIVHEZGOLOB-ZDUSSCGKSA-N 1 2 319.434 1.469 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)cc[nH+]1 ZINC001051321565 764744893 /nfs/dbraw/zinc/74/48/93/764744893.db2.gz VYPMATAIXTXOSY-JSGCOSHPSA-N 1 2 301.394 1.762 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccnc(OCCOC)c2)CC1 ZINC001112843006 764866757 /nfs/dbraw/zinc/86/67/57/764866757.db2.gz JOOAQSHKBJAXFV-UHFFFAOYSA-N 1 2 319.405 1.441 20 30 DDEDLO C[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1Nc1ncccc1C#N ZINC001043425521 764898172 /nfs/dbraw/zinc/89/81/72/764898172.db2.gz DCPNDHQANSCPLL-UKRRQHHQSA-N 1 2 324.388 1.499 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051460938 764903898 /nfs/dbraw/zinc/90/38/98/764903898.db2.gz XASCJGYSDSIGEV-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[NH+]([C@H]2CCCN(C)C2=O)CC1 ZINC001112894637 764937312 /nfs/dbraw/zinc/93/73/12/764937312.db2.gz IGSJBCIUBOJTTB-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccc(CC)n2)CC1 ZINC001112969849 765063004 /nfs/dbraw/zinc/06/30/04/765063004.db2.gz FQSSWUJWAYYKFD-UHFFFAOYSA-N 1 2 303.406 1.604 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051692353 765078838 /nfs/dbraw/zinc/07/88/38/765078838.db2.gz IGMGDDANAUWMCE-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cncc(OC)c2C)CC1 ZINC001113012347 765137374 /nfs/dbraw/zinc/13/73/74/765137374.db2.gz QJCIYXNFYFVNQU-UHFFFAOYSA-N 1 2 319.405 1.359 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096158266 768268809 /nfs/dbraw/zinc/26/88/09/768268809.db2.gz OQZNDPHBDZWXIN-OAHLLOKOSA-N 1 2 318.421 1.853 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C(F)(F)F)n[nH]2)C1 ZINC001044049124 765255751 /nfs/dbraw/zinc/25/57/51/765255751.db2.gz PRHAOFPIINJCOQ-UHFFFAOYSA-N 1 2 300.284 1.208 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[C@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131717349 768282473 /nfs/dbraw/zinc/28/24/73/768282473.db2.gz QQTPPOLPFYJAEE-CVEARBPZSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[C@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131717349 768282476 /nfs/dbraw/zinc/28/24/76/768282476.db2.gz QQTPPOLPFYJAEE-CVEARBPZSA-N 1 2 321.465 1.744 20 30 DDEDLO CC(=O)N1CC[C@H]([NH+]2CCN(Cc3ccc(C#N)s3)CC2)C1 ZINC001051960417 765313825 /nfs/dbraw/zinc/31/38/25/765313825.db2.gz MQOCIXPIGZAVIG-AWEZNQCLSA-N 1 2 318.446 1.358 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)Cc3ccon3)C2)CC1 ZINC001052001625 765367478 /nfs/dbraw/zinc/36/74/78/765367478.db2.gz XDFPMECCYUUFGP-INIZCTEOSA-N 1 2 318.421 1.012 20 30 DDEDLO C=CC[NH+]1CCN([C@@H]2CCN(C(=O)C(=O)C(C)(C)C)C2)CC1 ZINC001052005032 765371191 /nfs/dbraw/zinc/37/11/91/765371191.db2.gz UMYYTGZSJJEJCB-CQSZACIVSA-N 1 2 307.438 1.006 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C3CC(C)C3)C2)CC1 ZINC001052007839 765377707 /nfs/dbraw/zinc/37/77/07/765377707.db2.gz ATAJHCKFAXKMHJ-JCYILVPMSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3cc(C)c(C)o3)C2)CC1 ZINC001052013304 765381312 /nfs/dbraw/zinc/38/13/12/765381312.db2.gz VFEIXCQXXJYTOA-MRXNPFEDSA-N 1 2 315.417 1.362 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cc(C)c(C)o3)C2)CC1 ZINC001052013304 765381319 /nfs/dbraw/zinc/38/13/19/765381319.db2.gz VFEIXCQXXJYTOA-MRXNPFEDSA-N 1 2 315.417 1.362 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccoc3Cl)C2)CC1 ZINC001052016992 765388184 /nfs/dbraw/zinc/38/81/84/765388184.db2.gz CPWHFWKRBIHHHY-CYBMUJFWSA-N 1 2 321.808 1.398 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccoc3Cl)C2)CC1 ZINC001052016992 765388194 /nfs/dbraw/zinc/38/81/94/765388194.db2.gz CPWHFWKRBIHHHY-CYBMUJFWSA-N 1 2 321.808 1.398 20 30 DDEDLO CC#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3coc(C)c3)C2)CC1 ZINC001052018043 765389125 /nfs/dbraw/zinc/38/91/25/765389125.db2.gz AWZZVOMCOXFBJU-QGZVFWFLSA-N 1 2 315.417 1.443 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3CC3(F)F)C2)CC1 ZINC001052019792 765391548 /nfs/dbraw/zinc/39/15/48/765391548.db2.gz BCHBFBQXFOVNJN-KGLIPLIRSA-N 1 2 313.392 1.436 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)C3(C)CC3)C2)CC1 ZINC001052029883 765405970 /nfs/dbraw/zinc/40/59/70/765405970.db2.gz DGEKYCSQBMSUQM-AWEZNQCLSA-N 1 2 311.857 1.758 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)(C)C(C)C)C2)CC1 ZINC001052033804 765407741 /nfs/dbraw/zinc/40/77/41/765407741.db2.gz VCXSTQUTFQTKHU-QGZVFWFLSA-N 1 2 319.493 1.910 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc3c(cn2)OCCC3)C1 ZINC001044238236 765416807 /nfs/dbraw/zinc/41/68/07/765416807.db2.gz GSVUMABMLCKIPA-UHFFFAOYSA-N 1 2 301.390 1.739 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)C2)CC1 ZINC001052054101 765425466 /nfs/dbraw/zinc/42/54/66/765425466.db2.gz YJCJRTUYIRFFAB-BRWVUGGUSA-N 1 2 317.477 1.520 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cocc3C)C2)CC1 ZINC001052062675 765434962 /nfs/dbraw/zinc/43/49/62/765434962.db2.gz MRGHDFUMSJZYJX-OAHLLOKOSA-N 1 2 303.406 1.606 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC[NH+](CCCc2nc(C)no2)CC1 ZINC001113229152 765440645 /nfs/dbraw/zinc/44/06/45/765440645.db2.gz DOPONWLTWUYBRG-KBPBESRZSA-N 1 2 320.437 1.913 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3Cc4ccccc43)C2)CC1 ZINC001052073731 765445384 /nfs/dbraw/zinc/44/53/84/765445384.db2.gz NKRIWDQZAHMKHP-PKOBYXMFSA-N 1 2 323.440 1.178 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2Oc3ccccc3O[C@@H]2C)C1 ZINC001044284208 765448798 /nfs/dbraw/zinc/44/87/98/765448798.db2.gz POGAIQLIHIJAOJ-DYVFJYSZSA-N 1 2 314.385 1.381 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3CC)C2)CC1 ZINC001052089895 765461850 /nfs/dbraw/zinc/46/18/50/765461850.db2.gz VJIMZSPNKKJYIK-BRWVUGGUSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3CC34CCC4)C2)CC1 ZINC001052091048 765463026 /nfs/dbraw/zinc/46/30/26/765463026.db2.gz BQZWIQWICPWKBJ-IRXDYDNUSA-N 1 2 315.461 1.418 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H](C)c2c(C)nn(C)c2C)C1 ZINC001044369545 765514629 /nfs/dbraw/zinc/51/46/29/765514629.db2.gz OBCMFAMSVPVQGU-GFCCVEGCSA-N 1 2 304.438 1.859 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001052382789 765777385 /nfs/dbraw/zinc/77/73/85/765777385.db2.gz SJGWACAWQPUWEH-KBPBESRZSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001052382789 765777389 /nfs/dbraw/zinc/77/73/89/765777389.db2.gz SJGWACAWQPUWEH-KBPBESRZSA-N 1 2 300.406 1.434 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@@H]1CCCN(CC#N)CC1)C2 ZINC001052506681 765899615 /nfs/dbraw/zinc/89/96/15/765899615.db2.gz JEQOQSAYHGQJEJ-ZIAGYGMSSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@@H]1CCCN(CC#N)CC1)CC2 ZINC001052506681 765899624 /nfs/dbraw/zinc/89/96/24/765899624.db2.gz JEQOQSAYHGQJEJ-ZIAGYGMSSA-N 1 2 315.421 1.317 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113582641 765912916 /nfs/dbraw/zinc/91/29/16/765912916.db2.gz LYMFNTYRXJUWFT-PIGZYNQJSA-N 1 2 320.437 1.670 20 30 DDEDLO N#Cc1ccc(N2CCC(NC(=O)Cc3c[nH]c[nH+]3)CC2)nc1 ZINC001057959552 766158575 /nfs/dbraw/zinc/15/85/75/766158575.db2.gz KMCZHRUWJVAPKN-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)COCCCOC)CC[C@H]1C ZINC001132410643 768825489 /nfs/dbraw/zinc/82/54/89/768825489.db2.gz MSBRAHFCVIQPEP-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)COCCCOC)CC[C@H]1C ZINC001132410643 768825502 /nfs/dbraw/zinc/82/55/02/768825502.db2.gz MSBRAHFCVIQPEP-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO Cc1c(CC[NH3+])c(=O)[nH]n1-c1cc(C)c(C#N)c([N+](=O)[O-])c1 ZINC001170344069 766275754 /nfs/dbraw/zinc/27/57/54/766275754.db2.gz COERVKHNHMCSAZ-UHFFFAOYSA-N 1 2 301.306 1.476 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)SC ZINC001113912851 766388818 /nfs/dbraw/zinc/38/88/18/766388818.db2.gz CIPWQWQYOJCHOU-UKKRHICBSA-N 1 2 319.268 1.693 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)SC ZINC001113912851 766388825 /nfs/dbraw/zinc/38/88/25/766388825.db2.gz CIPWQWQYOJCHOU-UKKRHICBSA-N 1 2 319.268 1.693 20 30 DDEDLO C#CC[NH+]1CCC(C)(NC(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)CC1 ZINC001045506421 766362565 /nfs/dbraw/zinc/36/25/65/766362565.db2.gz NBBQGBHOLKJFPK-CZUORRHYSA-N 1 2 314.433 1.680 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)N(C)c1ncccc1C#N ZINC001113957807 766447609 /nfs/dbraw/zinc/44/76/09/766447609.db2.gz MKBJNXYBRNYHEM-IACUBPJLSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)N(C)c1ncccc1C#N ZINC001113957807 766447613 /nfs/dbraw/zinc/44/76/13/766447613.db2.gz MKBJNXYBRNYHEM-IACUBPJLSA-N 1 2 324.388 1.421 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001114111189 766648005 /nfs/dbraw/zinc/64/80/05/766648005.db2.gz GBPSAFINRVDGNR-QLPKVWCKSA-N 1 2 319.405 1.512 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001114111189 766648011 /nfs/dbraw/zinc/64/80/11/766648011.db2.gz GBPSAFINRVDGNR-QLPKVWCKSA-N 1 2 319.405 1.512 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)c3cc(Cl)c[nH]3)CC2)C1 ZINC001046003411 766791329 /nfs/dbraw/zinc/79/13/29/766791329.db2.gz FARLCGWQDRNOBO-ZDUSSCGKSA-N 1 2 321.812 1.024 20 30 DDEDLO C[C@@H]1CCN(c2ncccc2C#N)C[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067994139 766861318 /nfs/dbraw/zinc/86/13/18/766861318.db2.gz RSPDGNPCLFTEOQ-DOMZBBRYSA-N 1 2 324.388 1.250 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)[C@@]34C[C@@H]3CCCC4)CC2)C1 ZINC001046059650 766869052 /nfs/dbraw/zinc/86/90/52/766869052.db2.gz LZQXFZFATQXSDY-BQFCYCMXSA-N 1 2 316.449 1.309 20 30 DDEDLO C=CCn1c(N(CC)C(C)C)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121607310 782589332 /nfs/dbraw/zinc/58/93/32/782589332.db2.gz LTEGYXLALKKUPI-XJKSGUPXSA-N 1 2 307.442 1.610 20 30 DDEDLO C=CCn1c(N(CC)C(C)C)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001121607310 782589339 /nfs/dbraw/zinc/58/93/39/782589339.db2.gz LTEGYXLALKKUPI-XJKSGUPXSA-N 1 2 307.442 1.610 20 30 DDEDLO CC1(C)CN(c2ccncc2C#N)C[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001068115417 766926730 /nfs/dbraw/zinc/92/67/30/766926730.db2.gz JVRGBXCATVSLJK-HNNXBMFYSA-N 1 2 324.388 1.181 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001098059553 766985994 /nfs/dbraw/zinc/98/59/94/766985994.db2.gz UBJHRTXSKUDSGE-CYBMUJFWSA-N 1 2 316.405 1.619 20 30 DDEDLO CN(c1ccc(C#N)cn1)C1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001068683200 767519638 /nfs/dbraw/zinc/51/96/38/767519638.db2.gz KFGUEVXBKFEDPK-UHFFFAOYSA-N 1 2 324.388 1.277 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001046429876 767551728 /nfs/dbraw/zinc/55/17/28/767551728.db2.gz VVQXKIXAGZTLMG-IUODEOHRSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001046429876 767551731 /nfs/dbraw/zinc/55/17/31/767551731.db2.gz VVQXKIXAGZTLMG-IUODEOHRSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001096103437 767623566 /nfs/dbraw/zinc/62/35/66/767623566.db2.gz RBPOYPMVJNQKKB-QGZVFWFLSA-N 1 2 318.421 1.539 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068962592 767730433 /nfs/dbraw/zinc/73/04/33/767730433.db2.gz ITISEHOCTBKBQK-IAQYHMDHSA-N 1 2 310.361 1.320 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001047308225 768393209 /nfs/dbraw/zinc/39/32/09/768393209.db2.gz KMVHHIHSAASHRP-HOTGVXAUSA-N 1 2 319.380 1.357 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001047308225 768393216 /nfs/dbraw/zinc/39/32/16/768393216.db2.gz KMVHHIHSAASHRP-HOTGVXAUSA-N 1 2 319.380 1.357 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CNC(=O)CC)CC[C@H]1C ZINC001131909018 768473328 /nfs/dbraw/zinc/47/33/28/768473328.db2.gz RHDVSRXSRUKGLJ-VXGBXAGGSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CNC(=O)CC)CC[C@H]1C ZINC001131909018 768473331 /nfs/dbraw/zinc/47/33/31/768473331.db2.gz RHDVSRXSRUKGLJ-VXGBXAGGSA-N 1 2 301.818 1.234 20 30 DDEDLO C#CC[NH+]1CC2(C1)CN(C(=O)C(C)(C)C)C[C@H]2c1nnc(C)[nH]1 ZINC001070450568 768490757 /nfs/dbraw/zinc/49/07/57/768490757.db2.gz KJKLORDBDPIGLV-ZDUSSCGKSA-N 1 2 315.421 1.020 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2nocc2C)C1 ZINC001131946105 768503425 /nfs/dbraw/zinc/50/34/25/768503425.db2.gz GUUNXBLHWWYIOB-KBPBESRZSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2nocc2C)C1 ZINC001131946105 768503428 /nfs/dbraw/zinc/50/34/28/768503428.db2.gz GUUNXBLHWWYIOB-KBPBESRZSA-N 1 2 307.394 1.655 20 30 DDEDLO CCC(=CC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1)CC ZINC001131949371 768508405 /nfs/dbraw/zinc/50/84/05/768508405.db2.gz BSYMLKKUBVMXHZ-UKRRQHHQSA-N 1 2 320.437 1.342 20 30 DDEDLO CCC(=CC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1)CC ZINC001131949371 768508409 /nfs/dbraw/zinc/50/84/09/768508409.db2.gz BSYMLKKUBVMXHZ-UKRRQHHQSA-N 1 2 320.437 1.342 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCc2nnc[nH]2)CC[C@H]1C ZINC001131954416 768511523 /nfs/dbraw/zinc/51/15/23/768511523.db2.gz CJRFUVIOOSFROP-VXGBXAGGSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCc2nnc[nH]2)CC[C@H]1C ZINC001131954416 768511527 /nfs/dbraw/zinc/51/15/27/768511527.db2.gz CJRFUVIOOSFROP-VXGBXAGGSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CC(=O)NCC)CC[C@H]1C ZINC001131980210 768523436 /nfs/dbraw/zinc/52/34/36/768523436.db2.gz JFYKSGYTNFLOTL-NEPJUHHUSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CC(=O)NCC)CC[C@H]1C ZINC001131980210 768523441 /nfs/dbraw/zinc/52/34/41/768523441.db2.gz JFYKSGYTNFLOTL-NEPJUHHUSA-N 1 2 301.818 1.234 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(C(C)C)s2)C1 ZINC001047658988 768643881 /nfs/dbraw/zinc/64/38/81/768643881.db2.gz RTMTWOKHMCVWCA-RYUDHWBXSA-N 1 2 309.435 1.570 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(C(C)C)s2)C1 ZINC001047658988 768643883 /nfs/dbraw/zinc/64/38/83/768643883.db2.gz RTMTWOKHMCVWCA-RYUDHWBXSA-N 1 2 309.435 1.570 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2cc(F)c[nH]2)CC[C@@H]1C ZINC001132183002 768669245 /nfs/dbraw/zinc/66/92/45/768669245.db2.gz KZRSFVYNIKCOIC-WCQYABFASA-N 1 2 322.384 1.039 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2cc(F)c[nH]2)CC[C@@H]1C ZINC001132183002 768669252 /nfs/dbraw/zinc/66/92/52/768669252.db2.gz KZRSFVYNIKCOIC-WCQYABFASA-N 1 2 322.384 1.039 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132257087 768714236 /nfs/dbraw/zinc/71/42/36/768714236.db2.gz TYAFCLDBRFCPCJ-ZIAGYGMSSA-N 1 2 305.426 1.641 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132257087 768714244 /nfs/dbraw/zinc/71/42/44/768714244.db2.gz TYAFCLDBRFCPCJ-ZIAGYGMSSA-N 1 2 305.426 1.641 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001132601567 769004185 /nfs/dbraw/zinc/00/41/85/769004185.db2.gz DLWKPEJBFDCRTB-UHFFFAOYSA-N 1 2 322.434 1.501 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc(=O)n(C)o2)CC[C@@H]1C ZINC001071463585 769473735 /nfs/dbraw/zinc/47/37/35/769473735.db2.gz NUMWPROURLNQTG-QWRGUYRKSA-N 1 2 313.785 1.314 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc(=O)n(C)o2)CC[C@@H]1C ZINC001071463585 769473738 /nfs/dbraw/zinc/47/37/38/769473738.db2.gz NUMWPROURLNQTG-QWRGUYRKSA-N 1 2 313.785 1.314 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CC[C@H](C)N(CC#N)C2)c[nH+]1 ZINC001071606339 769704064 /nfs/dbraw/zinc/70/40/64/769704064.db2.gz IBVJQQNYUWPEEF-KBPBESRZSA-N 1 2 303.410 1.419 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2cn[nH]n2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071634937 769762491 /nfs/dbraw/zinc/76/24/91/769762491.db2.gz KWBUUJDMACGLRN-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2cn[nH]n2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071634937 769762497 /nfs/dbraw/zinc/76/24/97/769762497.db2.gz KWBUUJDMACGLRN-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2cnn[nH]2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071634937 769762505 /nfs/dbraw/zinc/76/25/05/769762505.db2.gz KWBUUJDMACGLRN-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2cnn[nH]2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071634937 769762513 /nfs/dbraw/zinc/76/25/13/769762513.db2.gz KWBUUJDMACGLRN-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CCF)C1 ZINC001072006354 770424337 /nfs/dbraw/zinc/42/43/37/770424337.db2.gz SBVSGKZCDUYDQW-ZIAGYGMSSA-N 1 2 319.380 1.646 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1CC[C@@H](C)[N@H+](CCF)C1 ZINC001072006354 770424342 /nfs/dbraw/zinc/42/43/42/770424342.db2.gz SBVSGKZCDUYDQW-ZIAGYGMSSA-N 1 2 319.380 1.646 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1C ZINC001072430815 770884633 /nfs/dbraw/zinc/88/46/33/770884633.db2.gz YNAYWUQMDSCHES-GXTWGEPZSA-N 1 2 318.421 1.138 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049472627 770900545 /nfs/dbraw/zinc/90/05/45/770900545.db2.gz UTAKDKKSSYSEJE-HOTGVXAUSA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049472627 770900549 /nfs/dbraw/zinc/90/05/49/770900549.db2.gz UTAKDKKSSYSEJE-HOTGVXAUSA-N 1 2 313.401 1.962 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#N ZINC001049785678 771157527 /nfs/dbraw/zinc/15/75/27/771157527.db2.gz YIDCRRWUVHUVRN-YUELXQCFSA-N 1 2 301.394 1.177 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#N ZINC001049785678 771157531 /nfs/dbraw/zinc/15/75/31/771157531.db2.gz YIDCRRWUVHUVRN-YUELXQCFSA-N 1 2 301.394 1.177 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001096925021 771517925 /nfs/dbraw/zinc/51/79/25/771517925.db2.gz NLUROKZHYNBFGW-LBPRGKRZSA-N 1 2 304.398 1.225 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001097166919 771654046 /nfs/dbraw/zinc/65/40/46/771654046.db2.gz AXMLVGKNSBXOJW-FPMFFAJLSA-N 1 2 304.394 1.825 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@H+]([C@@H](C)c2nnnn2C)CC1 ZINC001159544651 771666666 /nfs/dbraw/zinc/66/66/66/771666666.db2.gz XBEFQFDZSFVQBR-ZDUSSCGKSA-N 1 2 318.425 1.376 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@@H+]([C@@H](C)c2nnnn2C)CC1 ZINC001159544651 771666670 /nfs/dbraw/zinc/66/66/70/771666670.db2.gz XBEFQFDZSFVQBR-ZDUSSCGKSA-N 1 2 318.425 1.376 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)/C=C/c1ccc(F)cc1 ZINC001136226837 771919163 /nfs/dbraw/zinc/91/91/63/771919163.db2.gz OCYVYMQEKHANOO-RPTYSRGTSA-N 1 2 301.321 1.809 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnc(C)c2)[C@@H](O)C1 ZINC001090655797 772080900 /nfs/dbraw/zinc/08/09/00/772080900.db2.gz WDRIFCFTSWYQKI-KBPBESRZSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnc(C)c2)[C@@H](O)C1 ZINC001090655797 772080904 /nfs/dbraw/zinc/08/09/04/772080904.db2.gz WDRIFCFTSWYQKI-KBPBESRZSA-N 1 2 309.797 1.308 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCCNc1nccc(NCCC)n1 ZINC001171068701 772219827 /nfs/dbraw/zinc/21/98/27/772219827.db2.gz RUYGGVAMWSCHHV-ZDUSSCGKSA-N 1 2 321.425 1.937 20 30 DDEDLO COC(=O)[C@H]([NH3+])Cc1cn([C@@H](C)Cc2cccc(C#N)c2)cn1 ZINC001171204379 772591029 /nfs/dbraw/zinc/59/10/29/772591029.db2.gz WXSNENDUNPAVKJ-BLLLJJGKSA-N 1 2 312.373 1.601 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@@H+]([C@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171220679 772616942 /nfs/dbraw/zinc/61/69/42/772616942.db2.gz BBDGDVVVHYDBOX-CJNGLKHVSA-N 1 2 302.374 1.753 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@H+]([C@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171220679 772616945 /nfs/dbraw/zinc/61/69/45/772616945.db2.gz BBDGDVVVHYDBOX-CJNGLKHVSA-N 1 2 302.374 1.753 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001091413702 772736126 /nfs/dbraw/zinc/73/61/26/772736126.db2.gz JRWHIQDQLOOROS-OTVXOJSOSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001091413702 772736129 /nfs/dbraw/zinc/73/61/29/772736129.db2.gz JRWHIQDQLOOROS-OTVXOJSOSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001091521344 772804569 /nfs/dbraw/zinc/80/45/69/772804569.db2.gz JRWHIQDQLOOROS-CTYIDZIISA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001091521344 772804572 /nfs/dbraw/zinc/80/45/72/772804572.db2.gz JRWHIQDQLOOROS-CTYIDZIISA-N 1 2 324.388 1.616 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC3(CN(C(=O)CSCC#N)C3)C2)co1 ZINC001147031425 773023034 /nfs/dbraw/zinc/02/30/34/773023034.db2.gz SZSLJBRJTRRWEZ-UHFFFAOYSA-N 1 2 320.418 1.274 20 30 DDEDLO Cc1nc(C[N@H+]2CCC3(CN(C(=O)CSCC#N)C3)C2)co1 ZINC001147031425 773023036 /nfs/dbraw/zinc/02/30/36/773023036.db2.gz SZSLJBRJTRRWEZ-UHFFFAOYSA-N 1 2 320.418 1.274 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nnnn1C)C2 ZINC001147640211 773188101 /nfs/dbraw/zinc/18/81/01/773188101.db2.gz QJRWBCZVDSRFPN-ZDUSSCGKSA-N 1 2 318.425 1.162 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nnnn1C)C2 ZINC001147640211 773188103 /nfs/dbraw/zinc/18/81/03/773188103.db2.gz QJRWBCZVDSRFPN-ZDUSSCGKSA-N 1 2 318.425 1.162 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C2CC(OC)C2)C1 ZINC001073647162 773263307 /nfs/dbraw/zinc/26/33/07/773263307.db2.gz GFZLPTRGQCYTQE-RUXDESIVSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C2CC(OC)C2)C1 ZINC001073647162 773263311 /nfs/dbraw/zinc/26/33/11/773263311.db2.gz GFZLPTRGQCYTQE-RUXDESIVSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001148137925 773363725 /nfs/dbraw/zinc/36/37/25/773363725.db2.gz IVMIOTBZYRPHQJ-UONOGXRCSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001148137925 773363733 /nfs/dbraw/zinc/36/37/33/773363733.db2.gz IVMIOTBZYRPHQJ-UONOGXRCSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001073806139 773429146 /nfs/dbraw/zinc/42/91/46/773429146.db2.gz QQXUVCRPIFEKML-OCCSQVGLSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ncccn2)C1 ZINC001073828470 773448482 /nfs/dbraw/zinc/44/84/82/773448482.db2.gz VYMYYOJDQHFXCS-LBPRGKRZSA-N 1 2 310.785 1.050 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ncccn2)C1 ZINC001073828470 773448486 /nfs/dbraw/zinc/44/84/86/773448486.db2.gz VYMYYOJDQHFXCS-LBPRGKRZSA-N 1 2 310.785 1.050 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+][C@@H](C)c2nnc(CC)o2)cn1 ZINC001148389116 773450428 /nfs/dbraw/zinc/45/04/28/773450428.db2.gz CDSMDUVTDUPRQY-NSHDSACASA-N 1 2 313.361 1.089 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3CCCC3)C[C@H]21 ZINC001074154865 773679514 /nfs/dbraw/zinc/67/95/14/773679514.db2.gz LHCWZJONJKZHAI-IAGOWNOFSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3CCCC3)C[C@H]21 ZINC001074154865 773679517 /nfs/dbraw/zinc/67/95/17/773679517.db2.gz LHCWZJONJKZHAI-IAGOWNOFSA-N 1 2 304.434 1.892 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[C@H]3OCC[N@@H+](CCCF)[C@@H]3C2)c[nH]1 ZINC001074212465 773735175 /nfs/dbraw/zinc/73/51/75/773735175.db2.gz PTXCZQYXRSWTEV-HUUCEWRRSA-N 1 2 320.368 1.161 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[C@H]3OCC[N@H+](CCCF)[C@@H]3C2)c[nH]1 ZINC001074212465 773735177 /nfs/dbraw/zinc/73/51/77/773735177.db2.gz PTXCZQYXRSWTEV-HUUCEWRRSA-N 1 2 320.368 1.161 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCF)[C@H]3C2)[nH]1 ZINC001074219776 773743732 /nfs/dbraw/zinc/74/37/32/773743732.db2.gz WAJWAFVHCWYOTE-GJZGRUSLSA-N 1 2 320.368 1.161 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@@H]3OCC[N@H+](CCCF)[C@H]3C2)[nH]1 ZINC001074219776 773743735 /nfs/dbraw/zinc/74/37/35/773743735.db2.gz WAJWAFVHCWYOTE-GJZGRUSLSA-N 1 2 320.368 1.161 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@@H]21 ZINC001074242517 773766265 /nfs/dbraw/zinc/76/62/65/773766265.db2.gz YYGDXUFEDWZTTI-QWHCGFSZSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@@H]21 ZINC001074242517 773766271 /nfs/dbraw/zinc/76/62/71/773766271.db2.gz YYGDXUFEDWZTTI-QWHCGFSZSA-N 1 2 312.360 1.357 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3conc3C)C[C@H]21 ZINC001074331154 773827543 /nfs/dbraw/zinc/82/75/43/773827543.db2.gz CVECLLBHBZBIJI-HUUCEWRRSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3conc3C)C[C@H]21 ZINC001074331154 773827551 /nfs/dbraw/zinc/82/75/51/773827551.db2.gz CVECLLBHBZBIJI-HUUCEWRRSA-N 1 2 305.378 1.474 20 30 DDEDLO C[C@H]1CN(O)C(=O)[C@H]1Nc1cc[nH+]c(OCc2ccccn2)c1 ZINC001171368834 773828912 /nfs/dbraw/zinc/82/89/12/773828912.db2.gz NHBUDEXVRZEOBQ-NHYWBVRUSA-N 1 2 314.345 1.704 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3conc3CC)C[C@H]21 ZINC001074336509 773833798 /nfs/dbraw/zinc/83/37/98/773833798.db2.gz QRHFJCNEQRYEKJ-CVEARBPZSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3conc3CC)C[C@H]21 ZINC001074336509 773833803 /nfs/dbraw/zinc/83/38/03/773833803.db2.gz QRHFJCNEQRYEKJ-CVEARBPZSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3oncc3C)C[C@H]21 ZINC001074375601 773868903 /nfs/dbraw/zinc/86/89/03/773868903.db2.gz WTMQHRMJBZPVTC-ZIAGYGMSSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3oncc3C)C[C@H]21 ZINC001074375601 773868910 /nfs/dbraw/zinc/86/89/10/773868910.db2.gz WTMQHRMJBZPVTC-ZIAGYGMSSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCN1CCO[C@H]2CCN(C(=O)C(C)(C)c3c[nH+]c[nH]3)C[C@@H]21 ZINC001074385354 773878227 /nfs/dbraw/zinc/87/82/27/773878227.db2.gz SXSJVDZBOCWIET-KBPBESRZSA-N 1 2 318.421 1.175 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001091980382 773912422 /nfs/dbraw/zinc/91/24/22/773912422.db2.gz BUSBKGKAGNLAKW-COXVUDFISA-N 1 2 318.421 1.952 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001091980382 773912427 /nfs/dbraw/zinc/91/24/27/773912427.db2.gz BUSBKGKAGNLAKW-COXVUDFISA-N 1 2 318.421 1.952 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCCOC1)c1nccn12 ZINC001092351325 774069227 /nfs/dbraw/zinc/06/92/27/774069227.db2.gz BEQXIRRBZYJZIB-ZIAGYGMSSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H]1CCCO1)c1nccn12 ZINC001092351567 774069594 /nfs/dbraw/zinc/06/95/94/774069594.db2.gz CLYUOJFUMDFLBF-KGLIPLIRSA-N 1 2 316.405 1.210 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1cccnc1)c1nccn12 ZINC001092363726 774077945 /nfs/dbraw/zinc/07/79/45/774077945.db2.gz NSCXTSISVLOAPU-HNNXBMFYSA-N 1 2 323.400 1.279 20 30 DDEDLO Cc1nc(NC[C@@H]2CN(C(=O)CSCC#N)C[C@H]2C)cc[nH+]1 ZINC001092630510 774205544 /nfs/dbraw/zinc/20/55/44/774205544.db2.gz RLOBWRJRHZTGGX-DGCLKSJQSA-N 1 2 319.434 1.548 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](CNC(=O)c2cccc(-c3nnc[nH]3)c2)[C@H](C)C1 ZINC001092878349 774290621 /nfs/dbraw/zinc/29/06/21/774290621.db2.gz GYYSOQUXOCFBOF-CZUORRHYSA-N 1 2 323.400 1.403 20 30 DDEDLO C#CC[N@H+]1C[C@@H](CNC(=O)c2cccc(-c3nnc[nH]3)c2)[C@H](C)C1 ZINC001092878349 774290626 /nfs/dbraw/zinc/29/06/26/774290626.db2.gz GYYSOQUXOCFBOF-CZUORRHYSA-N 1 2 323.400 1.403 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@@H]1C ZINC001075211465 774424816 /nfs/dbraw/zinc/42/48/16/774424816.db2.gz WAYHXHLNQRBBAA-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)Cc3ccon3)CC2)C1 ZINC001093517116 774768518 /nfs/dbraw/zinc/76/85/18/774768518.db2.gz VDBOBKATFWXZFH-UHFFFAOYSA-N 1 2 305.378 1.095 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCNc1nc(C)[nH+]c2c1CCCC2 ZINC001093572625 774845388 /nfs/dbraw/zinc/84/53/88/774845388.db2.gz VGDMPLFCWLTWRQ-QGZVFWFLSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001093558852 774884985 /nfs/dbraw/zinc/88/49/85/774884985.db2.gz CPLHGEKTAIJGKK-UHFFFAOYSA-N 1 2 310.438 1.273 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3ncn[nH]3)CC[C@@H]21 ZINC001036865067 774990023 /nfs/dbraw/zinc/99/00/23/774990023.db2.gz IANAOYXIWQYYKX-NEPJUHHUSA-N 1 2 309.801 1.023 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3ncn[nH]3)CC[C@@H]21 ZINC001036865067 774990025 /nfs/dbraw/zinc/99/00/25/774990025.db2.gz IANAOYXIWQYYKX-NEPJUHHUSA-N 1 2 309.801 1.023 20 30 DDEDLO C=CCCOCC(=O)NCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001093692274 775000754 /nfs/dbraw/zinc/00/07/54/775000754.db2.gz WLRAENABKNCRTC-UHFFFAOYSA-N 1 2 304.394 1.783 20 30 DDEDLO N#Cc1c(F)cccc1NCCNC(=O)CCCn1cc[nH+]c1 ZINC001093714280 775029169 /nfs/dbraw/zinc/02/91/69/775029169.db2.gz ABGYYFFSZHPIJE-UHFFFAOYSA-N 1 2 315.352 1.902 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[C@H](C)Nc1cc[nH+]c(C)n1 ZINC001099710824 775167686 /nfs/dbraw/zinc/16/76/86/775167686.db2.gz IIPGGDOYQXIQPS-YUTCNCBUSA-N 1 2 304.394 1.683 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCCCOC)[C@@H](O)C1 ZINC001099712000 775170177 /nfs/dbraw/zinc/17/01/77/775170177.db2.gz ITLQZGPYEJJZCC-OLZOCXBDSA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCCCOC)[C@@H](O)C1 ZINC001099712000 775170181 /nfs/dbraw/zinc/17/01/81/775170181.db2.gz ITLQZGPYEJJZCC-OLZOCXBDSA-N 1 2 304.818 1.107 20 30 DDEDLO Cc1nsc(N[C@H](C)CCNC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001099712365 775171632 /nfs/dbraw/zinc/17/16/32/775171632.db2.gz ANGKXLSUMPEWJZ-SECBINFHSA-N 1 2 318.406 1.596 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099728119 775194799 /nfs/dbraw/zinc/19/47/99/775194799.db2.gz VUZDQRWFLVUPLX-QWHCGFSZSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099728119 775194807 /nfs/dbraw/zinc/19/48/07/775194807.db2.gz VUZDQRWFLVUPLX-QWHCGFSZSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccncc2Cl)C[C@H]1O ZINC001099728431 775194869 /nfs/dbraw/zinc/19/48/69/775194869.db2.gz WWSPTCMJKJIICX-HUUCEWRRSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccncc2Cl)C[C@H]1O ZINC001099728431 775194878 /nfs/dbraw/zinc/19/48/78/775194878.db2.gz WWSPTCMJKJIICX-HUUCEWRRSA-N 1 2 323.824 1.753 20 30 DDEDLO C[C@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001099765461 775242407 /nfs/dbraw/zinc/24/24/07/775242407.db2.gz TXXXHIJSKYUKST-MRVWCRGKSA-N 1 2 324.388 1.787 20 30 DDEDLO C[C@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001099765461 775242411 /nfs/dbraw/zinc/24/24/11/775242411.db2.gz TXXXHIJSKYUKST-MRVWCRGKSA-N 1 2 324.388 1.787 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099804966 775303793 /nfs/dbraw/zinc/30/37/93/775303793.db2.gz VEIRIMSKXBFSEA-RYUDHWBXSA-N 1 2 319.232 1.660 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099804966 775303807 /nfs/dbraw/zinc/30/38/07/775303807.db2.gz VEIRIMSKXBFSEA-RYUDHWBXSA-N 1 2 319.232 1.660 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094045602 775368425 /nfs/dbraw/zinc/36/84/25/775368425.db2.gz CLBTWWGISWQUGL-STQMWFEESA-N 1 2 317.437 1.451 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094045602 775368433 /nfs/dbraw/zinc/36/84/33/775368433.db2.gz CLBTWWGISWQUGL-STQMWFEESA-N 1 2 317.437 1.451 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100163734 775744956 /nfs/dbraw/zinc/74/49/56/775744956.db2.gz VGKOSRPOJXUKAL-UHFFFAOYSA-N 1 2 316.409 1.518 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(C)=C3CCCC3)CC2=O)C1 ZINC001094720137 776187095 /nfs/dbraw/zinc/18/70/95/776187095.db2.gz KBHRXDUZWDNBIH-HNNXBMFYSA-N 1 2 317.433 1.464 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)c3ccc(C)o3)CC2=O)C1 ZINC001094699648 776210256 /nfs/dbraw/zinc/21/02/56/776210256.db2.gz RMRQSGCYFRRESD-ZDUSSCGKSA-N 1 2 317.389 1.179 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001172978922 776949432 /nfs/dbraw/zinc/94/94/32/776949432.db2.gz BAYRVDOAACWPRZ-RDBSUJKOSA-N 1 2 309.410 1.999 20 30 DDEDLO C[C@@H]1COCC[N@H+]1[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001172978922 776949436 /nfs/dbraw/zinc/94/94/36/776949436.db2.gz BAYRVDOAACWPRZ-RDBSUJKOSA-N 1 2 309.410 1.999 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](OC)C1CC1)c1nccn12 ZINC001101625342 777319887 /nfs/dbraw/zinc/31/98/87/777319887.db2.gz DMBAEYPREOWIPD-KBPBESRZSA-N 1 2 316.405 1.066 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C(\C)CC)c1nccn12 ZINC001101627677 777322008 /nfs/dbraw/zinc/32/20/08/777322008.db2.gz JWQBTTOVTUXXBJ-YVGNARHYSA-N 1 2 312.417 1.835 20 30 DDEDLO Cc1nsc(NCCN(C)C(=O)Cn2cc[nH+]c2)c1C#N ZINC001101672796 777375912 /nfs/dbraw/zinc/37/59/12/777375912.db2.gz GHZNHUAUIRNPSP-UHFFFAOYSA-N 1 2 304.379 1.090 20 30 DDEDLO C[C@H](CC(=O)N(C)CCNc1ccc(C#N)nc1)n1cc[nH+]c1 ZINC001101975913 777757091 /nfs/dbraw/zinc/75/70/91/777757091.db2.gz SVUDWQCXNLZEEX-CYBMUJFWSA-N 1 2 312.377 1.671 20 30 DDEDLO C#CCOc1ccc(C(=O)N(C)CCNc2cc[nH+]c(C)n2)cc1 ZINC001101988343 777767397 /nfs/dbraw/zinc/76/73/97/777767397.db2.gz ATGJDXDAQMJPDG-UHFFFAOYSA-N 1 2 324.384 1.981 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@@H+](Cc2nncs2)C[C@H]1C ZINC001102229895 777959744 /nfs/dbraw/zinc/95/97/44/777959744.db2.gz IXQLBEVIRLZQKA-VXGBXAGGSA-N 1 2 308.451 1.934 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@H+](Cc2nncs2)C[C@H]1C ZINC001102229895 777959749 /nfs/dbraw/zinc/95/97/49/777959749.db2.gz IXQLBEVIRLZQKA-VXGBXAGGSA-N 1 2 308.451 1.934 20 30 DDEDLO CCc1cc(N2C[C@@H]3C[C@H]2CN3C(=O)C#CC(C)C)nc(C)[nH+]1 ZINC001102548893 778203020 /nfs/dbraw/zinc/20/30/20/778203020.db2.gz UFUVSJVNURUQJV-HOTGVXAUSA-N 1 2 312.417 1.796 20 30 DDEDLO Cc1cc(N2CC[C@@H](N(C)C(=O)[C@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001102701823 778328281 /nfs/dbraw/zinc/32/82/81/778328281.db2.gz JEGYHZBYMBTWGY-BXUZGUMPSA-N 1 2 313.405 1.859 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOCC(C)C)[C@@H]2C1 ZINC001176955812 778347820 /nfs/dbraw/zinc/34/78/20/778347820.db2.gz ZPNVCZFXGMNHEM-IAGOWNOFSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@H+](CCOCC(C)C)[C@@H]2C1 ZINC001176955812 778347826 /nfs/dbraw/zinc/34/78/26/778347826.db2.gz ZPNVCZFXGMNHEM-IAGOWNOFSA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)(C)C)C[C@@H]21 ZINC001177007041 778386827 /nfs/dbraw/zinc/38/68/27/778386827.db2.gz WNKXVGNXCQCIBS-JKSUJKDBSA-N 1 2 302.418 1.361 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)(C)C)C[C@@H]21 ZINC001177007041 778386833 /nfs/dbraw/zinc/38/68/33/778386833.db2.gz WNKXVGNXCQCIBS-JKSUJKDBSA-N 1 2 302.418 1.361 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)COC)C[C@H]21 ZINC001177054908 778415889 /nfs/dbraw/zinc/41/58/89/778415889.db2.gz DONUJNGSIXERFJ-CVEARBPZSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)COC)C[C@H]21 ZINC001177054908 778415891 /nfs/dbraw/zinc/41/58/91/778415891.db2.gz DONUJNGSIXERFJ-CVEARBPZSA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@H]2OCC[N@@H+](CCCO)[C@H]2C1 ZINC001177060882 778420255 /nfs/dbraw/zinc/42/02/55/778420255.db2.gz GPDBRBOAGALJMZ-DLBZAZTESA-N 1 2 322.449 1.254 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@H]2OCC[N@H+](CCCO)[C@H]2C1 ZINC001177060882 778420260 /nfs/dbraw/zinc/42/02/60/778420260.db2.gz GPDBRBOAGALJMZ-DLBZAZTESA-N 1 2 322.449 1.254 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102817349 778424810 /nfs/dbraw/zinc/42/48/10/778424810.db2.gz FKXQIKCIXCLGEZ-HIFRSBDPSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CCCCNC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)OC(C)(C)C ZINC001177091829 778442757 /nfs/dbraw/zinc/44/27/57/778442757.db2.gz JTTWANPTQMZEJF-CYBMUJFWSA-N 1 2 322.409 1.928 20 30 DDEDLO C=CCCCNC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)OC(C)(C)C ZINC001177091829 778442763 /nfs/dbraw/zinc/44/27/63/778442763.db2.gz JTTWANPTQMZEJF-CYBMUJFWSA-N 1 2 322.409 1.928 20 30 DDEDLO CC[C@H](CNC(=O)Cc1[nH]c[nH+]c1C)Nc1ncccc1C#N ZINC001103146862 778666283 /nfs/dbraw/zinc/66/62/83/778666283.db2.gz BSSZGKSOYSDJMY-CYBMUJFWSA-N 1 2 312.377 1.534 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1(CNc2cc[nH+]c(C)n2)CCCC1 ZINC001103423215 778857433 /nfs/dbraw/zinc/85/74/33/778857433.db2.gz SLXBKDVCUDRCNK-INIZCTEOSA-N 1 2 318.421 1.953 20 30 DDEDLO C[C@](CNc1ccncc1C#N)(NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001103545285 778936777 /nfs/dbraw/zinc/93/67/77/778936777.db2.gz UEMWYVYBBSNNMV-QGZVFWFLSA-N 1 2 324.388 1.038 20 30 DDEDLO C=CCOCC[NH2+][C@H]1CCN(C(=O)C(F)C(F)(F)F)[C@H]1C ZINC001178747256 779160563 /nfs/dbraw/zinc/16/05/63/779160563.db2.gz SBNJEPWUNBETCP-DCAQKATOSA-N 1 2 312.307 1.668 20 30 DDEDLO C=CCOCC[NH2+][C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)[C@H]1C ZINC001178747256 779160568 /nfs/dbraw/zinc/16/05/68/779160568.db2.gz SBNJEPWUNBETCP-DCAQKATOSA-N 1 2 312.307 1.668 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115259273 779985968 /nfs/dbraw/zinc/98/59/68/779985968.db2.gz JYPRLXRHZQDHET-UHFFFAOYSA-N 1 2 320.437 1.956 20 30 DDEDLO C#C[C@H]1CCCCN1C(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC001119754202 781594543 /nfs/dbraw/zinc/59/45/43/781594543.db2.gz PKZHLXYKQCMIMO-AWEZNQCLSA-N 1 2 314.389 1.014 20 30 DDEDLO Cc1nc(N[C@H](C)CN(C)C(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001119980255 781706703 /nfs/dbraw/zinc/70/67/03/781706703.db2.gz UKFNNHHWEGUARX-LLVKDONJSA-N 1 2 312.377 1.486 20 30 DDEDLO C[N@H+](CCNC(=O)c1ccc(F)nc1)Cc1ccccc1C#N ZINC001267188684 837501092 /nfs/dbraw/zinc/50/10/92/837501092.db2.gz MBQSMCHGVUJXTH-UHFFFAOYSA-N 1 2 312.348 1.954 20 30 DDEDLO C[N@@H+](CCNC(=O)c1ccc(F)nc1)Cc1ccccc1C#N ZINC001267188684 837501097 /nfs/dbraw/zinc/50/10/97/837501097.db2.gz MBQSMCHGVUJXTH-UHFFFAOYSA-N 1 2 312.348 1.954 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+]([C@@H]3CCNC3=O)C2)CCCC1 ZINC001266254775 835993331 /nfs/dbraw/zinc/99/33/31/835993331.db2.gz VAHZIDBDFFMWMQ-LSDHHAIUSA-N 1 2 319.449 1.592 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+]([C@@H]3CCNC3=O)C2)CCCC1 ZINC001266254775 835993336 /nfs/dbraw/zinc/99/33/36/835993336.db2.gz VAHZIDBDFFMWMQ-LSDHHAIUSA-N 1 2 319.449 1.592 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cc2F)C1 ZINC001266317901 836110621 /nfs/dbraw/zinc/11/06/21/836110621.db2.gz WCYRPDZZXXOGRQ-RHSMWYFYSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cc2F)C1 ZINC001266317901 836110626 /nfs/dbraw/zinc/11/06/26/836110626.db2.gz WCYRPDZZXXOGRQ-RHSMWYFYSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](C)[NH2+]Cc2nc(COC)no2)CC1 ZINC001266968496 837128409 /nfs/dbraw/zinc/12/84/09/837128409.db2.gz RBHCNXKXGUDEOU-LBPRGKRZSA-N 1 2 322.409 1.557 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)[NH2+]Cc2ncc(CC)o2)nc1 ZINC001266985681 837151167 /nfs/dbraw/zinc/15/11/67/837151167.db2.gz OVZWYXQZVAUWFV-LBPRGKRZSA-N 1 2 312.373 1.521 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+][C@H](C)c2nc(COC)no2)C1 ZINC001267009366 837181604 /nfs/dbraw/zinc/18/16/04/837181604.db2.gz ZPTNCLYSQRAXTH-OLZOCXBDSA-N 1 2 320.393 1.271 20 30 DDEDLO C#CC[NH2+][C@@H](CNC(=O)c1cnn[nH]1)c1ccc(C(C)C)cc1 ZINC001267345459 837873349 /nfs/dbraw/zinc/87/33/49/837873349.db2.gz FSMPFEPSPNFLPS-HNNXBMFYSA-N 1 2 311.389 1.622 20 30 DDEDLO C=CCOCC(=O)N(C)CC[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001267354208 837895122 /nfs/dbraw/zinc/89/51/22/837895122.db2.gz FHUWRIOIFONIAN-GFCCVEGCSA-N 1 2 324.425 1.679 20 30 DDEDLO N#CCN[C@H](CNC(=O)[C@H]1CCn2c[nH+]cc2C1)c1ccccc1 ZINC001267362042 837913182 /nfs/dbraw/zinc/91/31/82/837913182.db2.gz NODZWRHMHIAGBN-DOTOQJQBSA-N 1 2 323.400 1.416 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@@H](C)CC(C)C)CC1 ZINC001267576502 838346370 /nfs/dbraw/zinc/34/63/70/838346370.db2.gz ZEKFQJKTUUXPKV-KRWDZBQOSA-N 1 2 307.482 1.768 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cnc(C)cn2)C1 ZINC001267611813 838460801 /nfs/dbraw/zinc/46/08/01/838460801.db2.gz KZYPRNMINDSJJO-OAHLLOKOSA-N 1 2 300.406 1.669 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2cnc(C)cn2)C1 ZINC001267611813 838460807 /nfs/dbraw/zinc/46/08/07/838460807.db2.gz KZYPRNMINDSJJO-OAHLLOKOSA-N 1 2 300.406 1.669 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1C[NH+](CC(=O)NCC(C)C)C1 ZINC001267691343 838646545 /nfs/dbraw/zinc/64/65/45/838646545.db2.gz OUJBCSXSIBAAMD-QGZVFWFLSA-N 1 2 309.454 1.409 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cc(F)cc(F)c2)C1 ZINC001267724656 838742689 /nfs/dbraw/zinc/74/26/89/838742689.db2.gz ZPFQAVUJRNVEAS-LRDDRELGSA-N 1 2 322.355 1.694 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cc(F)cc(F)c2)C1 ZINC001267724656 838742694 /nfs/dbraw/zinc/74/26/94/838742694.db2.gz ZPFQAVUJRNVEAS-LRDDRELGSA-N 1 2 322.355 1.694 20 30 DDEDLO CCN(CCNC(=O)c1c(C)cc(C)[nH+]c1C)C(=O)[C@H](C)C#N ZINC001408778669 838754489 /nfs/dbraw/zinc/75/44/89/838754489.db2.gz PKLUPIXFVKQIAW-GFCCVEGCSA-N 1 2 316.405 1.745 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)C=C2CCCCC2)C1 ZINC001268016492 839377476 /nfs/dbraw/zinc/37/74/76/839377476.db2.gz CNIUWOXQVZAEJU-KRWDZBQOSA-N 1 2 322.449 1.897 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)C=C2CCCCC2)C1 ZINC001268016492 839377487 /nfs/dbraw/zinc/37/74/87/839377487.db2.gz CNIUWOXQVZAEJU-KRWDZBQOSA-N 1 2 322.449 1.897 20 30 DDEDLO CC#CCCCC(=O)N(C)CC[N@H+](C)Cc1cc2n(n1)CCC2 ZINC001268245337 839887622 /nfs/dbraw/zinc/88/76/22/839887622.db2.gz NGFGCCWNANSINV-UHFFFAOYSA-N 1 2 316.449 1.913 20 30 DDEDLO CC#CCCCC(=O)N(C)CC[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC001268245337 839887632 /nfs/dbraw/zinc/88/76/32/839887632.db2.gz NGFGCCWNANSINV-UHFFFAOYSA-N 1 2 316.449 1.913 20 30 DDEDLO CC(C)(C)C#CC(=O)NC1C[NH+](CCCO[C@H]2CCOC2)C1 ZINC001268300373 839964981 /nfs/dbraw/zinc/96/49/81/839964981.db2.gz NWRBCSPJQRSQQU-HNNXBMFYSA-N 1 2 308.422 1.032 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C/C[NH2+][C@@H](C)c2ncccn2)cn1 ZINC001268618531 840586197 /nfs/dbraw/zinc/58/61/97/840586197.db2.gz RAGOTQUFJUWSLA-NNTXTVRGSA-N 1 2 321.384 1.490 20 30 DDEDLO CC(C)CC1(C(=O)N[C@H]2CC[N@H+](CC(=O)NCC#N)C2)CCC1 ZINC001269041910 841138274 /nfs/dbraw/zinc/13/82/74/841138274.db2.gz DVCNPHBZQUNUNY-AWEZNQCLSA-N 1 2 320.437 1.033 20 30 DDEDLO CC(C)CC1(C(=O)N[C@H]2CC[N@@H+](CC(=O)NCC#N)C2)CCC1 ZINC001269041910 841138277 /nfs/dbraw/zinc/13/82/77/841138277.db2.gz DVCNPHBZQUNUNY-AWEZNQCLSA-N 1 2 320.437 1.033 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@@H](NC(=O)C#CC2CC2)C2CC2)no1 ZINC001269066336 841172152 /nfs/dbraw/zinc/17/21/52/841172152.db2.gz CVXZWFGGTFZDMI-IINYFYTJSA-N 1 2 302.378 1.337 20 30 DDEDLO CCCC#CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cccc(=O)[nH]1 ZINC001272111112 844621264 /nfs/dbraw/zinc/62/12/64/844621264.db2.gz AEJXDHWGUZUDTJ-IYBDPMFKSA-N 1 2 313.401 1.766 20 30 DDEDLO CCCC#CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cccc(=O)[nH]1 ZINC001272111112 844621268 /nfs/dbraw/zinc/62/12/68/844621268.db2.gz AEJXDHWGUZUDTJ-IYBDPMFKSA-N 1 2 313.401 1.766 20 30 DDEDLO CCOc1cccc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)C2)n1 ZINC001269126570 841238096 /nfs/dbraw/zinc/23/80/96/841238096.db2.gz RYDGICYFFIVSME-MRXNPFEDSA-N 1 2 315.417 1.830 20 30 DDEDLO CCOc1cccc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)C2)n1 ZINC001269126570 841238107 /nfs/dbraw/zinc/23/81/07/841238107.db2.gz RYDGICYFFIVSME-MRXNPFEDSA-N 1 2 315.417 1.830 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@H]1CCN(C2CCC2)C1=O ZINC001269223208 841374872 /nfs/dbraw/zinc/37/48/72/841374872.db2.gz MHTXGWRJHVPQLY-CVEARBPZSA-N 1 2 317.433 1.134 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+]1[C@H]1CCN(C2CCC2)C1=O ZINC001269223208 841374884 /nfs/dbraw/zinc/37/48/84/841374884.db2.gz MHTXGWRJHVPQLY-CVEARBPZSA-N 1 2 317.433 1.134 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H]1CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001269233089 841397607 /nfs/dbraw/zinc/39/76/07/841397607.db2.gz DIVBJIJJMASXTB-GJZGRUSLSA-N 1 2 300.406 1.874 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H]1CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001269233089 841397617 /nfs/dbraw/zinc/39/76/17/841397617.db2.gz DIVBJIJJMASXTB-GJZGRUSLSA-N 1 2 300.406 1.874 20 30 DDEDLO CCc1cncc(C(=O)NC[C@@H]2CC[N@@H+]2CC#CCOC)c1 ZINC001269261694 841436170 /nfs/dbraw/zinc/43/61/70/841436170.db2.gz UTBNFQAWECHRCG-INIZCTEOSA-N 1 2 301.390 1.098 20 30 DDEDLO CCc1cncc(C(=O)NC[C@@H]2CC[N@H+]2CC#CCOC)c1 ZINC001269261694 841436175 /nfs/dbraw/zinc/43/61/75/841436175.db2.gz UTBNFQAWECHRCG-INIZCTEOSA-N 1 2 301.390 1.098 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001269274148 841453305 /nfs/dbraw/zinc/45/33/05/841453305.db2.gz HIXRYTYPYXNAGF-YOEHRIQHSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001269274148 841453313 /nfs/dbraw/zinc/45/33/13/841453313.db2.gz HIXRYTYPYXNAGF-YOEHRIQHSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1CC2(CCC2)C1 ZINC001269279324 841462520 /nfs/dbraw/zinc/46/25/20/841462520.db2.gz ZEBRVNUHFYCXGX-HIFRSBDPSA-N 1 2 319.449 1.448 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C1CC2(CCC2)C1 ZINC001269279324 841462524 /nfs/dbraw/zinc/46/25/24/841462524.db2.gz ZEBRVNUHFYCXGX-HIFRSBDPSA-N 1 2 319.449 1.448 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC)[C@@H]1CC[N@H+](Cc2nccs2)C1 ZINC001269325317 841523823 /nfs/dbraw/zinc/52/38/23/841523823.db2.gz YYRDFNLMNOWDHK-ZIAGYGMSSA-N 1 2 321.446 1.604 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC)[C@@H]1CC[N@@H+](Cc2nccs2)C1 ZINC001269325317 841523835 /nfs/dbraw/zinc/52/38/35/841523835.db2.gz YYRDFNLMNOWDHK-ZIAGYGMSSA-N 1 2 321.446 1.604 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)Cc2cc(F)ccc2F)C1 ZINC001269839756 842098536 /nfs/dbraw/zinc/09/85/36/842098536.db2.gz SJIQTZBYAKBDQH-UHFFFAOYSA-N 1 2 324.371 1.853 20 30 DDEDLO CC#CC(=O)N1CCC[C@@]2(C1)C[N@H+](Cc1ccc[nH]1)CCO2 ZINC001270717156 842844570 /nfs/dbraw/zinc/84/45/70/842844570.db2.gz VXKNIMWBGXVYAW-KRWDZBQOSA-N 1 2 301.390 1.231 20 30 DDEDLO CC#CC(=O)N1CCC[C@@]2(C1)C[N@@H+](Cc1ccc[nH]1)CCO2 ZINC001270717156 842844577 /nfs/dbraw/zinc/84/45/77/842844577.db2.gz VXKNIMWBGXVYAW-KRWDZBQOSA-N 1 2 301.390 1.231 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@@H+]([C@@H](C)c2nnc(C)[nH]2)C1 ZINC001271185212 843392655 /nfs/dbraw/zinc/39/26/55/843392655.db2.gz UTUVAZIJKSUJCA-LRDDRELGSA-N 1 2 321.425 1.083 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@H+]([C@@H](C)c2nnc(C)[nH]2)C1 ZINC001271185212 843392661 /nfs/dbraw/zinc/39/26/61/843392661.db2.gz UTUVAZIJKSUJCA-LRDDRELGSA-N 1 2 321.425 1.083 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)[N@H+](Cc1csnn1)C2 ZINC001271407927 843564751 /nfs/dbraw/zinc/56/47/51/843564751.db2.gz NFPMBEGPGHYNQT-SCRDCRAPSA-N 1 2 306.435 1.973 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)[N@@H+](Cc1csnn1)C2 ZINC001271407927 843564754 /nfs/dbraw/zinc/56/47/54/843564754.db2.gz NFPMBEGPGHYNQT-SCRDCRAPSA-N 1 2 306.435 1.973 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2cc(OC)no2)CCCO1 ZINC001149362315 861571301 /nfs/dbraw/zinc/57/13/01/861571301.db2.gz QDLKTCLGCOOIKY-CQSZACIVSA-N 1 2 323.393 1.357 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2cc(OC)no2)CCCO1 ZINC001149362315 861571310 /nfs/dbraw/zinc/57/13/10/861571310.db2.gz QDLKTCLGCOOIKY-CQSZACIVSA-N 1 2 323.393 1.357 20 30 DDEDLO CCc1nnc(C[N@@H+]2CCCCC[C@H]2CNC(=O)[C@@H](C)C#N)o1 ZINC001409875033 845973618 /nfs/dbraw/zinc/97/36/18/845973618.db2.gz WJOKCIHNKKHDAZ-STQMWFEESA-N 1 2 319.409 1.652 20 30 DDEDLO CCc1nnc(C[N@H+]2CCCCC[C@H]2CNC(=O)[C@@H](C)C#N)o1 ZINC001409875033 845973625 /nfs/dbraw/zinc/97/36/25/845973625.db2.gz WJOKCIHNKKHDAZ-STQMWFEESA-N 1 2 319.409 1.652 20 30 DDEDLO COCC#CC[NH2+]CC[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001272441055 846141819 /nfs/dbraw/zinc/14/18/19/846141819.db2.gz RFTMUOKXRALHMS-NWDGAFQWSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[NH2+]CC[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001272441055 846141823 /nfs/dbraw/zinc/14/18/23/846141823.db2.gz RFTMUOKXRALHMS-NWDGAFQWSA-N 1 2 324.318 1.365 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](CC3(CC)COC3)C[C@@]2(F)C1=O ZINC001272526410 846272245 /nfs/dbraw/zinc/27/22/45/846272245.db2.gz DYBAYKNLICWMTL-LSDHHAIUSA-N 1 2 300.349 1.173 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](CC3(CC)COC3)C[C@@]2(F)C1=O ZINC001272526410 846272258 /nfs/dbraw/zinc/27/22/58/846272258.db2.gz DYBAYKNLICWMTL-LSDHHAIUSA-N 1 2 300.349 1.173 20 30 DDEDLO Cc1ncc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)n1C ZINC001031924264 846668264 /nfs/dbraw/zinc/66/82/64/846668264.db2.gz IHXVAPZNXLBMHC-UHFFFAOYSA-N 1 2 322.412 1.442 20 30 DDEDLO N#CCCCN1CC[C@@]2(CC[N@H+](Cc3csnn3)C2)C1=O ZINC001272780533 847419185 /nfs/dbraw/zinc/41/91/85/847419185.db2.gz KYQCNIUJMGLVMT-CQSZACIVSA-N 1 2 305.407 1.266 20 30 DDEDLO N#CCCCN1CC[C@@]2(CC[N@@H+](Cc3csnn3)C2)C1=O ZINC001272780533 847419190 /nfs/dbraw/zinc/41/91/90/847419190.db2.gz KYQCNIUJMGLVMT-CQSZACIVSA-N 1 2 305.407 1.266 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCO[C@@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001327036408 861820178 /nfs/dbraw/zinc/82/01/78/861820178.db2.gz WHBDCOOQPUJPLD-ZDUSSCGKSA-N 1 2 322.409 1.297 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](CCc3cccc(C)c3)C[C@@]2(F)C1=O ZINC001273042162 847829379 /nfs/dbraw/zinc/82/93/79/847829379.db2.gz IWJSSWGUGSWILL-ZWKOTPCHSA-N 1 2 318.367 1.745 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](CCc3cccc(C)c3)C[C@@]2(F)C1=O ZINC001273042162 847829386 /nfs/dbraw/zinc/82/93/86/847829386.db2.gz IWJSSWGUGSWILL-ZWKOTPCHSA-N 1 2 318.367 1.745 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)c(C)o2)[C@@H](O)C1 ZINC001090198530 848175799 /nfs/dbraw/zinc/17/57/99/848175799.db2.gz YNZANVKAHIBJAF-STQMWFEESA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)c(C)o2)[C@@H](O)C1 ZINC001090198530 848175808 /nfs/dbraw/zinc/17/58/08/848175808.db2.gz YNZANVKAHIBJAF-STQMWFEESA-N 1 2 312.797 1.814 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2ccc(OC)cc2C#N)C1=O ZINC001273236256 848962883 /nfs/dbraw/zinc/96/28/83/848962883.db2.gz CZWHOODTNOQIRD-LJQANCHMSA-N 1 2 323.396 1.767 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2ccc(OC)cc2C#N)C1=O ZINC001273236256 848962887 /nfs/dbraw/zinc/96/28/87/848962887.db2.gz CZWHOODTNOQIRD-LJQANCHMSA-N 1 2 323.396 1.767 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CCC(F)F)C1 ZINC001149975347 862001058 /nfs/dbraw/zinc/00/10/58/862001058.db2.gz UXDGVMWYNJCNTQ-NSHDSACASA-N 1 2 310.772 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CCC(F)F)C1 ZINC001149975347 862001069 /nfs/dbraw/zinc/00/10/69/862001069.db2.gz UXDGVMWYNJCNTQ-NSHDSACASA-N 1 2 310.772 1.991 20 30 DDEDLO CC#CCCCC(=O)N1CCOC2(C[NH+](CCC[C@H](C)O)C2)C1 ZINC001327330964 862069475 /nfs/dbraw/zinc/06/94/75/862069475.db2.gz IHMBQYFMXITXFT-INIZCTEOSA-N 1 2 322.449 1.254 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H](CC(C)C)OC)O2 ZINC001327366032 862107262 /nfs/dbraw/zinc/10/72/62/862107262.db2.gz WCMWSSGJBYGTIO-JKSUJKDBSA-N 1 2 324.465 1.973 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C[C@](C)(O)C1CC1)CO2 ZINC001327385502 862126448 /nfs/dbraw/zinc/12/64/48/862126448.db2.gz BTVATOZTCLGAKK-HOCLYGCPSA-N 1 2 308.422 1.073 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)CCc1cncs1)CO2 ZINC001327411244 862153323 /nfs/dbraw/zinc/15/33/23/862153323.db2.gz FNSWFWWSCGPPOT-CYBMUJFWSA-N 1 2 319.430 1.059 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3cccc4c3CCC4)C2)OCC1=O ZINC001273589724 851122178 /nfs/dbraw/zinc/12/21/78/851122178.db2.gz PQMKYCAEUVLSHV-UHFFFAOYSA-N 1 2 310.397 1.222 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)C1(C)CC(F)(F)C1)O2 ZINC001273669318 851204770 /nfs/dbraw/zinc/20/47/70/851204770.db2.gz RGUSEKSECNLXMQ-GFCCVEGCSA-N 1 2 314.376 1.957 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1(CC)CCOCC1)CO2 ZINC001273724980 851276344 /nfs/dbraw/zinc/27/63/44/851276344.db2.gz UAVXNJYRWVWLNU-HNNXBMFYSA-N 1 2 320.433 1.176 20 30 DDEDLO CC#CC[NH2+]CC1(NC(=O)C(F)C(F)(F)F)CCOCC1 ZINC001273975140 851737992 /nfs/dbraw/zinc/73/79/92/851737992.db2.gz OVSBGHMJTGHHFB-SNVBAGLBSA-N 1 2 310.291 1.165 20 30 DDEDLO CC#CC[NH2+]CC1(NC(=O)[C@@H](F)C(F)(F)F)CCOCC1 ZINC001273975140 851737997 /nfs/dbraw/zinc/73/79/97/851737997.db2.gz OVSBGHMJTGHHFB-SNVBAGLBSA-N 1 2 310.291 1.165 20 30 DDEDLO CC(C)(C)C(=O)OCNC(=O)[C@H]1CC12CC[NH+](CCC#N)CC2 ZINC001274031438 851870084 /nfs/dbraw/zinc/87/00/84/851870084.db2.gz QIRUOVNTYPCEBB-CYBMUJFWSA-N 1 2 321.421 1.665 20 30 DDEDLO CCOC(=O)[C@H](C)NC(=O)[C@@H]1CC12CC[NH+](CCC#N)CC2 ZINC001274030761 851870132 /nfs/dbraw/zinc/87/01/32/851870132.db2.gz WVLPDVIHQUTOMP-STQMWFEESA-N 1 2 307.394 1.070 20 30 DDEDLO Cc1cc[nH]c1C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001274126716 851958428 /nfs/dbraw/zinc/95/84/28/851958428.db2.gz NCZLFQCMXHUVGA-UHFFFAOYSA-N 1 2 323.400 1.491 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc3[nH]c(=O)n(C)c32)CC1 ZINC001155639293 862325783 /nfs/dbraw/zinc/32/57/83/862325783.db2.gz AHDQEMGNTJURAM-UHFFFAOYSA-N 1 2 300.362 1.223 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1c(C)cccc1OC)C2 ZINC001274651851 852483198 /nfs/dbraw/zinc/48/31/98/852483198.db2.gz MRUHSRXGNDQRHS-UHFFFAOYSA-N 1 2 316.401 1.603 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@@H](OC)C(C)C)cc2C1 ZINC001327696720 862397415 /nfs/dbraw/zinc/39/74/15/862397415.db2.gz VSOOKLJAIAGPPS-KRWDZBQOSA-N 1 2 300.402 1.923 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@@H](OC)C(C)C)cc2C1 ZINC001327696720 862397430 /nfs/dbraw/zinc/39/74/30/862397430.db2.gz VSOOKLJAIAGPPS-KRWDZBQOSA-N 1 2 300.402 1.923 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)C(=O)NCc2cc(C)[nH+]c(C)c2)C1 ZINC001327825723 862492022 /nfs/dbraw/zinc/49/20/22/862492022.db2.gz RLXRSXDLQARWNM-OAHLLOKOSA-N 1 2 317.389 1.118 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC3(C2)CCN(Cc2cn[nH]c2)C3)cc1 ZINC001275829295 853831184 /nfs/dbraw/zinc/83/11/84/853831184.db2.gz VCIVHYNJXWHCJF-UHFFFAOYSA-N 1 2 307.401 1.989 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2nc3c(o2)CCCC3)C[C@H]1C ZINC001206659310 862626475 /nfs/dbraw/zinc/62/64/75/862626475.db2.gz JDMMDQLQGMMLSQ-OUCADQQQSA-N 1 2 316.405 1.650 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2nc3c(o2)CCCC3)C[C@H]1C ZINC001206659310 862626493 /nfs/dbraw/zinc/62/64/93/862626493.db2.gz JDMMDQLQGMMLSQ-OUCADQQQSA-N 1 2 316.405 1.650 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001072552862 857438545 /nfs/dbraw/zinc/43/85/45/857438545.db2.gz SXSPMJODUBGLKH-GHMZBOCLSA-N 1 2 303.366 1.133 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001072552862 857438547 /nfs/dbraw/zinc/43/85/47/857438547.db2.gz SXSPMJODUBGLKH-GHMZBOCLSA-N 1 2 303.366 1.133 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072606476 857506608 /nfs/dbraw/zinc/50/66/08/857506608.db2.gz QMKABMBEVJRCKG-NEPJUHHUSA-N 1 2 304.394 1.128 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072606477 857507589 /nfs/dbraw/zinc/50/75/89/857507589.db2.gz QMKABMBEVJRCKG-NWDGAFQWSA-N 1 2 304.394 1.128 20 30 DDEDLO CC#CC[N@@H+]1CCC2(CN(C(=O)c3cc(C(C)C)[nH]n3)C2)C1 ZINC001073007590 857991043 /nfs/dbraw/zinc/99/10/43/857991043.db2.gz QJIUDUDLLWBQRO-UHFFFAOYSA-N 1 2 300.406 1.704 20 30 DDEDLO CC#CC[N@H+]1CCC2(CN(C(=O)c3cc(C(C)C)[nH]n3)C2)C1 ZINC001073007590 857991050 /nfs/dbraw/zinc/99/10/50/857991050.db2.gz QJIUDUDLLWBQRO-UHFFFAOYSA-N 1 2 300.406 1.704 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H]2CCCO2)C1 ZINC001073522839 858410049 /nfs/dbraw/zinc/41/00/49/858410049.db2.gz MEZBJOYVPJEJCJ-OLZOCXBDSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H]2CCCO2)C1 ZINC001073522839 858410053 /nfs/dbraw/zinc/41/00/53/858410053.db2.gz MEZBJOYVPJEJCJ-OLZOCXBDSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccccc2O)C1 ZINC001073539484 858424044 /nfs/dbraw/zinc/42/40/44/858424044.db2.gz MBFKGUFHIVCCKD-ZDUSSCGKSA-N 1 2 324.808 1.965 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccccc2O)C1 ZINC001073539484 858424047 /nfs/dbraw/zinc/42/40/47/858424047.db2.gz MBFKGUFHIVCCKD-ZDUSSCGKSA-N 1 2 324.808 1.965 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ocnc2C)C1 ZINC001073565181 858444187 /nfs/dbraw/zinc/44/41/87/858444187.db2.gz BILUBYRHPBKSMP-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ocnc2C)C1 ZINC001073565181 858444195 /nfs/dbraw/zinc/44/41/95/858444195.db2.gz BILUBYRHPBKSMP-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)C3CC3)n2CC(C)C)CC1 ZINC001122673368 858933964 /nfs/dbraw/zinc/93/39/64/858933964.db2.gz KNHYUKPZORUUOT-OAHLLOKOSA-N 1 2 317.437 1.133 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224386815 881365665 /nfs/dbraw/zinc/36/56/65/881365665.db2.gz VEHXGWOKWUSALW-KRWDZBQOSA-N 1 2 319.453 1.746 20 30 DDEDLO C#CC[C@@H](OC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(C)(C)C ZINC001122925091 859008263 /nfs/dbraw/zinc/00/82/63/859008263.db2.gz WTJLWOQKXKHXAM-OWCLPIDISA-N 1 2 323.433 1.847 20 30 DDEDLO C=CCC[C@H]1CCCN1C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC001123570543 859304539 /nfs/dbraw/zinc/30/45/39/859304539.db2.gz ZILWNYUAVNHLDR-CVEARBPZSA-N 1 2 308.470 1.763 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCN3C(=O)CC[C@@H]3C2)cc1OC ZINC001138346374 860063728 /nfs/dbraw/zinc/06/37/28/860063728.db2.gz AVYDBNKNPUCZIL-OAHLLOKOSA-N 1 2 314.385 1.514 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCN3C(=O)CC[C@@H]3C2)cc1OC ZINC001138346374 860063743 /nfs/dbraw/zinc/06/37/43/860063743.db2.gz AVYDBNKNPUCZIL-OAHLLOKOSA-N 1 2 314.385 1.514 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(N3CCOCC3)cc2F)CC1 ZINC001140663535 860670421 /nfs/dbraw/zinc/67/04/21/860670421.db2.gz IOBOYOLJLLKKSH-UHFFFAOYSA-N 1 2 317.408 1.413 20 30 DDEDLO C#CCN1CC[NH+](Cc2ccc(N3CCOCC3)cc2F)CC1 ZINC001140663535 860670425 /nfs/dbraw/zinc/67/04/25/860670425.db2.gz IOBOYOLJLLKKSH-UHFFFAOYSA-N 1 2 317.408 1.413 20 30 DDEDLO N#Cc1cc(N)c(NC(=O)c2cccc3[nH+]ccn32)cc1C#N ZINC001154523972 861172111 /nfs/dbraw/zinc/17/21/11/861172111.db2.gz MPXAGAFCOGIKIP-UHFFFAOYSA-N 1 2 302.297 1.912 20 30 DDEDLO C=C(C)C(=O)NCCCNc1cc(N2CCCCC2)nc[nH+]1 ZINC001156826090 863370097 /nfs/dbraw/zinc/37/00/97/863370097.db2.gz KUVMJLLWBPSGLY-UHFFFAOYSA-N 1 2 303.410 1.961 20 30 DDEDLO C=C(C)C(=O)NCCCNc1cc(N2CCCCC2)[nH+]cn1 ZINC001156826090 863370099 /nfs/dbraw/zinc/37/00/99/863370099.db2.gz KUVMJLLWBPSGLY-UHFFFAOYSA-N 1 2 303.410 1.961 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2ncccn2)CCCCC1 ZINC001153009762 863651503 /nfs/dbraw/zinc/65/15/03/863651503.db2.gz SQZNCQYYZXIZTK-UHFFFAOYSA-N 1 2 300.406 1.656 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1C ZINC001157213017 863654254 /nfs/dbraw/zinc/65/42/54/863654254.db2.gz ADBLLOXZCDVYLV-KGLIPLIRSA-N 1 2 308.426 1.338 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1C ZINC001157213017 863654262 /nfs/dbraw/zinc/65/42/62/863654262.db2.gz ADBLLOXZCDVYLV-KGLIPLIRSA-N 1 2 308.426 1.338 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2ccc(COC)cc2)C1 ZINC001329717815 863808989 /nfs/dbraw/zinc/80/89/89/863808989.db2.gz KVGMUBYDDWTDEZ-UHFFFAOYSA-N 1 2 318.417 1.576 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)CC2CCCCC2)C1 ZINC001329953119 863970088 /nfs/dbraw/zinc/97/00/88/863970088.db2.gz NXIKHJQISSORTJ-CQSZACIVSA-N 1 2 319.449 1.143 20 30 DDEDLO C[C@H](NC(=O)CCC1CCCC1)C1C[NH+](CC(=O)NCC#N)C1 ZINC001329954359 863973107 /nfs/dbraw/zinc/97/31/07/863973107.db2.gz VEVQWXOYHVQUGS-ZDUSSCGKSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nc(COC)no2)CCCC1 ZINC001153818124 864131435 /nfs/dbraw/zinc/13/14/35/864131435.db2.gz XSWXLOHNURXLNI-UHFFFAOYSA-N 1 2 322.409 1.558 20 30 DDEDLO CCc1nc(C[NH2+][C@@H]2CCCC[C@H]2NC(=O)[C@H](C)C#N)no1 ZINC001225395759 881813349 /nfs/dbraw/zinc/81/33/49/881813349.db2.gz QXINATVIDYMGPJ-IJLUTSLNSA-N 1 2 305.382 1.309 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H](CO)[NH2+]Cc1csc(C2CC2)n1 ZINC001331713335 865267417 /nfs/dbraw/zinc/26/74/17/865267417.db2.gz ZOHAEXJWCFPQEC-ZDUSSCGKSA-N 1 2 319.430 1.001 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CSCC#N)CCC[N@@H+]1Cc1cncn1C ZINC001332160187 865623379 /nfs/dbraw/zinc/62/33/79/865623379.db2.gz QNNFCHTUFXKNDP-OCCSQVGLSA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CSCC#N)CCC[N@H+]1Cc1cncn1C ZINC001332160187 865623385 /nfs/dbraw/zinc/62/33/85/865623385.db2.gz QNNFCHTUFXKNDP-OCCSQVGLSA-N 1 2 321.450 1.146 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001323280467 866496758 /nfs/dbraw/zinc/49/67/58/866496758.db2.gz YWNNUQMEAQWBKI-AWEZNQCLSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001323280467 866496762 /nfs/dbraw/zinc/49/67/62/866496762.db2.gz YWNNUQMEAQWBKI-AWEZNQCLSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@H+]2[C@@H](CC)C(N)=O)CCCC1 ZINC001323369590 866566865 /nfs/dbraw/zinc/56/68/65/866566865.db2.gz DCQCWMBKQVZDJB-KBPBESRZSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@@H+]2[C@@H](CC)C(N)=O)CCCC1 ZINC001323369590 866566876 /nfs/dbraw/zinc/56/68/76/866566876.db2.gz DCQCWMBKQVZDJB-KBPBESRZSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C1C[NH+](CCc2cnn(C)c2)C1 ZINC001323896367 866932772 /nfs/dbraw/zinc/93/27/72/866932772.db2.gz QZWOXNMDZBWBSQ-AWEZNQCLSA-N 1 2 320.437 1.086 20 30 DDEDLO C#CCN(C(=O)CC1CC1)C1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001324352406 867221193 /nfs/dbraw/zinc/22/11/93/867221193.db2.gz DBVDBMRUPITJRW-UHFFFAOYSA-N 1 2 316.405 1.604 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cncc2[nH]cnc21 ZINC001381525910 882071372 /nfs/dbraw/zinc/07/13/72/882071372.db2.gz SEVFORGZUNVMLZ-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cncc2[nH]cnc21 ZINC001381525910 882071382 /nfs/dbraw/zinc/07/13/82/882071382.db2.gz SEVFORGZUNVMLZ-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO CCCC[C@@H](C)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001324561817 867358206 /nfs/dbraw/zinc/35/82/06/867358206.db2.gz XZVMXOAVUALTGP-CVEARBPZSA-N 1 2 306.454 1.555 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@](C)(NC(=O)c2cccs2)C1 ZINC001324569898 867360420 /nfs/dbraw/zinc/36/04/20/867360420.db2.gz CEPPGLIPDRNKKJ-OAHLLOKOSA-N 1 2 307.419 1.245 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@](C)(NC(=O)c2cccs2)C1 ZINC001324569898 867360435 /nfs/dbraw/zinc/36/04/35/867360435.db2.gz CEPPGLIPDRNKKJ-OAHLLOKOSA-N 1 2 307.419 1.245 20 30 DDEDLO COCCCn1nccc1C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC001334383178 867530948 /nfs/dbraw/zinc/53/09/48/867530948.db2.gz FYVQZAGOULKGOR-HUUCEWRRSA-N 1 2 305.426 1.338 20 30 DDEDLO Cc1cc(/C=N/[S@@](=O)C(C)(C)C)cc(NC2(C(N)=O)CC2)[nH+]1 ZINC001162547349 867629633 /nfs/dbraw/zinc/62/96/33/867629633.db2.gz ASIOEDNKKSZDIP-IXNCKXOBSA-N 1 2 322.434 1.701 20 30 DDEDLO C[C@@H](C[NH2+]Cc1noc(-c2ccccc2)n1)NC(=O)C#CC1CC1 ZINC001321842284 867827445 /nfs/dbraw/zinc/82/74/45/867827445.db2.gz NIYOQYHBMBCIRQ-ZDUSSCGKSA-N 1 2 324.384 1.744 20 30 DDEDLO CCCC[C@@H](CNC(=O)C#CC(C)C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001334848112 867862637 /nfs/dbraw/zinc/86/26/37/867862637.db2.gz WLMHOKWKIXAOKC-AWEZNQCLSA-N 1 2 318.421 1.403 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)CCc1ccnc(C)n1 ZINC001381557345 882159735 /nfs/dbraw/zinc/15/97/35/882159735.db2.gz MUZWEKWTLKHCHQ-GFCCVEGCSA-N 1 2 310.829 1.907 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)CCc1ccnc(C)n1 ZINC001381557345 882159745 /nfs/dbraw/zinc/15/97/45/882159745.db2.gz MUZWEKWTLKHCHQ-GFCCVEGCSA-N 1 2 310.829 1.907 20 30 DDEDLO CCOC(=O)Cn1ccc2c1nc[nH+]c2NC(C)=CC(C)=O ZINC001163736985 868668097 /nfs/dbraw/zinc/66/80/97/868668097.db2.gz JQHUBHKDBHRAOB-YFHOEESVSA-N 1 2 302.334 1.899 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC1(C[NH2+]CC(F)(F)C(F)F)CC1 ZINC001163840093 868750065 /nfs/dbraw/zinc/75/00/65/868750065.db2.gz DPULSPBCQKTBMN-VIFPVBQESA-N 1 2 309.307 1.923 20 30 DDEDLO C#Cc1ccc(N2CCN(c3[nH+]cnc4c3cnn4C)CC2)nc1 ZINC001336450851 868950859 /nfs/dbraw/zinc/95/08/59/868950859.db2.gz QQWWINAHRBQJDS-UHFFFAOYSA-N 1 2 319.372 1.066 20 30 DDEDLO CC(C)(C)c1noc(-c2ccnc(N3CC[NH2+]C[C@H]3C#N)c2)n1 ZINC001164653029 869342142 /nfs/dbraw/zinc/34/21/42/869342142.db2.gz ZGPUYRVYORVSTG-GFCCVEGCSA-N 1 2 312.377 1.731 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001337504800 869515317 /nfs/dbraw/zinc/51/53/17/869515317.db2.gz AUIDGABFOGAWIZ-STQMWFEESA-N 1 2 306.410 1.518 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)NCCC1(CNCC#N)CC1)C2 ZINC001165837379 869729999 /nfs/dbraw/zinc/72/99/99/869729999.db2.gz UAIYPIWWBGGMRE-ZDUSSCGKSA-N 1 2 315.421 1.223 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)NCCC1(CNCC#N)CC1)CC2 ZINC001165837379 869730010 /nfs/dbraw/zinc/73/00/10/869730010.db2.gz UAIYPIWWBGGMRE-ZDUSSCGKSA-N 1 2 315.421 1.223 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001338117498 869813666 /nfs/dbraw/zinc/81/36/66/869813666.db2.gz QIMQFGVJDAELQJ-CHWSQXEVSA-N 1 2 304.394 1.201 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[C@H](C)N(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001338135516 869824422 /nfs/dbraw/zinc/82/44/22/869824422.db2.gz PANVAVRRUVGATC-QWHCGFSZSA-N 1 2 318.421 1.660 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001338282000 869901817 /nfs/dbraw/zinc/90/18/17/869901817.db2.gz HGTFFMSVXLKXRR-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CC[C@@H](NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1)C(=O)OCC ZINC001338420074 869970761 /nfs/dbraw/zinc/97/07/61/869970761.db2.gz TYJZPDBPFYDYPE-RISCZKNCSA-N 1 2 320.393 1.414 20 30 DDEDLO C=CC[C@@H](NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1)C(=O)OCC ZINC001338420074 869970765 /nfs/dbraw/zinc/97/07/65/869970765.db2.gz TYJZPDBPFYDYPE-RISCZKNCSA-N 1 2 320.393 1.414 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001316978446 870046041 /nfs/dbraw/zinc/04/60/41/870046041.db2.gz XTNFMLZSMYXART-RRFJBIMHSA-N 1 2 321.465 1.551 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001316978446 870046048 /nfs/dbraw/zinc/04/60/48/870046048.db2.gz XTNFMLZSMYXART-RRFJBIMHSA-N 1 2 321.465 1.551 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](CC)NC(=O)Cc1c[nH]c[nH+]1 ZINC001297471377 870062286 /nfs/dbraw/zinc/06/22/86/870062286.db2.gz PWQMQBNXZPXYRX-CYBMUJFWSA-N 1 2 304.394 1.157 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@](C)(NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001297568399 870106154 /nfs/dbraw/zinc/10/61/54/870106154.db2.gz KXNHQILCSQNXLC-QGZVFWFLSA-N 1 2 318.421 1.641 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC001317043081 870154153 /nfs/dbraw/zinc/15/41/53/870154153.db2.gz HGIPFUJQKCYEBU-GJZGRUSLSA-N 1 2 315.421 1.139 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCC[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001298136166 870306363 /nfs/dbraw/zinc/30/63/63/870306363.db2.gz NMWYTBPXRNPGKE-STQMWFEESA-N 1 2 318.421 1.566 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCC[N@H+](Cc2cnns2)C1 ZINC001317130436 870314076 /nfs/dbraw/zinc/31/40/76/870314076.db2.gz IMXPXKLYCIKFRZ-CYBMUJFWSA-N 1 2 306.435 1.526 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCC[N@@H+](Cc2cnns2)C1 ZINC001317130436 870314088 /nfs/dbraw/zinc/31/40/88/870314088.db2.gz IMXPXKLYCIKFRZ-CYBMUJFWSA-N 1 2 306.435 1.526 20 30 DDEDLO C=CCn1c(N(C)C2CCC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001339067859 870329916 /nfs/dbraw/zinc/32/99/16/870329916.db2.gz FAQCXGGPXPQDNB-XJKSGUPXSA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCn1c(N(C)C2CCC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001339067859 870329930 /nfs/dbraw/zinc/32/99/30/870329930.db2.gz FAQCXGGPXPQDNB-XJKSGUPXSA-N 1 2 305.426 1.364 20 30 DDEDLO C=C1CCN(c2nnc([C@]3(C)C[C@@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001339354602 870458324 /nfs/dbraw/zinc/45/83/24/870458324.db2.gz RKVTZSPUTSRTFJ-CJNGLKHVSA-N 1 2 305.426 1.366 20 30 DDEDLO C=C1CCN(c2nnc([C@]3(C)C[C@@H](O)C[N@H+]3C)n2CC)CC1 ZINC001339354602 870458332 /nfs/dbraw/zinc/45/83/32/870458332.db2.gz RKVTZSPUTSRTFJ-CJNGLKHVSA-N 1 2 305.426 1.366 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C2CC[NH+](CCC#N)CC2)n1CC ZINC001339501275 870529223 /nfs/dbraw/zinc/52/92/23/870529223.db2.gz PZCWIFVOHQTXSB-AWEZNQCLSA-N 1 2 314.437 1.849 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC(c2c[nH+]cn2C)CC1)[C@H]1CCCO1 ZINC001340076143 870878390 /nfs/dbraw/zinc/87/83/90/870878390.db2.gz UIOWQJJUBRRCAK-GOEBONIOSA-N 1 2 316.405 1.490 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)CC1=CCCCC1 ZINC001317494850 870915395 /nfs/dbraw/zinc/91/53/95/870915395.db2.gz GEPMXSXSMGVTMK-HNNXBMFYSA-N 1 2 318.421 1.097 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@H]1CNC(=O)CC1=CCCCC1 ZINC001317494850 870915403 /nfs/dbraw/zinc/91/54/03/870915403.db2.gz GEPMXSXSMGVTMK-HNNXBMFYSA-N 1 2 318.421 1.097 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CC[N@@H+](Cc3cnn(C)n3)C2)C1 ZINC001317529825 871003193 /nfs/dbraw/zinc/00/31/93/871003193.db2.gz BTXWPGZWPMRXJS-ZDUSSCGKSA-N 1 2 303.410 1.110 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CC[N@H+](Cc3cnn(C)n3)C2)C1 ZINC001317529825 871003212 /nfs/dbraw/zinc/00/32/12/871003212.db2.gz BTXWPGZWPMRXJS-ZDUSSCGKSA-N 1 2 303.410 1.110 20 30 DDEDLO C=CCn1c([C@H]2CCC[N@H+]2C)nnc1N1CC[C@](F)(C#N)C1 ZINC001340491592 871147793 /nfs/dbraw/zinc/14/77/93/871147793.db2.gz JKGMSBMPFTVVCI-DOMZBBRYSA-N 1 2 304.373 1.673 20 30 DDEDLO C=CCn1c([C@H]2CCC[N@@H+]2C)nnc1N1CC[C@](F)(C#N)C1 ZINC001340491592 871147797 /nfs/dbraw/zinc/14/77/97/871147797.db2.gz JKGMSBMPFTVVCI-DOMZBBRYSA-N 1 2 304.373 1.673 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(CCF)CCOC ZINC001340595536 871220084 /nfs/dbraw/zinc/22/00/84/871220084.db2.gz ZGSZMJILBNYLHL-UHFFFAOYSA-N 1 2 322.388 1.211 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CC[NH2+][C@@H](C)c1nc(C)no1 ZINC001317628090 871246125 /nfs/dbraw/zinc/24/61/25/871246125.db2.gz FSSOHHAQPRMJOE-UHTWSYAYSA-N 1 2 308.382 1.078 20 30 DDEDLO C=CCn1c(N(C)CC[NH+]2CCOCC2)nnc1C(C)(C)C#N ZINC001340732677 871315812 /nfs/dbraw/zinc/31/58/12/871315812.db2.gz JBWMDVWIODSKMV-UHFFFAOYSA-N 1 2 318.425 1.034 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1cc(C(C)C)oc1C ZINC001317467689 871373001 /nfs/dbraw/zinc/37/30/01/871373001.db2.gz JVTCWVLLAZTGTK-UHFFFAOYSA-N 1 2 321.421 1.675 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1cc(C(C)C)oc1C ZINC001317467689 871373020 /nfs/dbraw/zinc/37/30/20/871373020.db2.gz JVTCWVLLAZTGTK-UHFFFAOYSA-N 1 2 321.421 1.675 20 30 DDEDLO C#CCOCC[N@@H+](C)[C@@H](C(=O)NC1CC1)c1ccc(F)cc1 ZINC001341256113 871581081 /nfs/dbraw/zinc/58/10/81/871581081.db2.gz GMTJVKVQMBCVJP-MRXNPFEDSA-N 1 2 304.365 1.727 20 30 DDEDLO C#CCOCC[N@H+](C)[C@@H](C(=O)NC1CC1)c1ccc(F)cc1 ZINC001341256113 871581095 /nfs/dbraw/zinc/58/10/95/871581095.db2.gz GMTJVKVQMBCVJP-MRXNPFEDSA-N 1 2 304.365 1.727 20 30 DDEDLO C=CCCC[N@@H+](CCO)[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001316745099 871791691 /nfs/dbraw/zinc/79/16/91/871791691.db2.gz CXECSBNLYHVUTE-INIZCTEOSA-N 1 2 320.437 1.204 20 30 DDEDLO C=CCCC[N@H+](CCO)[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001316745099 871791707 /nfs/dbraw/zinc/79/17/07/871791707.db2.gz CXECSBNLYHVUTE-INIZCTEOSA-N 1 2 320.437 1.204 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC(CNC(=O)CCC2CCCCC2)C1 ZINC001318171133 871794835 /nfs/dbraw/zinc/79/48/35/871794835.db2.gz LBYXHPIQNGBDAT-UHFFFAOYSA-N 1 2 319.449 1.144 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)CC(C)C ZINC001318223907 871846749 /nfs/dbraw/zinc/84/67/49/871846749.db2.gz LMRZLSLRMVBORT-IKGGRYGDSA-N 1 2 306.450 1.994 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)CC(C)C ZINC001318223907 871846771 /nfs/dbraw/zinc/84/67/71/871846771.db2.gz LMRZLSLRMVBORT-IKGGRYGDSA-N 1 2 306.450 1.994 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2CCCC[N@@H+]2C)n1CCOC ZINC001341899646 871883143 /nfs/dbraw/zinc/88/31/43/871883143.db2.gz UTLWJTZLUXTJKJ-UONOGXRCSA-N 1 2 305.426 1.539 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2CCCC[N@H+]2C)n1CCOC ZINC001341899646 871883164 /nfs/dbraw/zinc/88/31/64/871883164.db2.gz UTLWJTZLUXTJKJ-UONOGXRCSA-N 1 2 305.426 1.539 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001318273819 871901106 /nfs/dbraw/zinc/90/11/06/871901106.db2.gz YTORDWUGMRUFTK-GJZGRUSLSA-N 1 2 309.454 1.551 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001318273819 871901112 /nfs/dbraw/zinc/90/11/12/871901112.db2.gz YTORDWUGMRUFTK-GJZGRUSLSA-N 1 2 309.454 1.551 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001318330708 871937074 /nfs/dbraw/zinc/93/70/74/871937074.db2.gz CCBPZCFHXPSFAW-MRXNPFEDSA-N 1 2 319.449 1.261 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001318330708 871937081 /nfs/dbraw/zinc/93/70/81/871937081.db2.gz CCBPZCFHXPSFAW-MRXNPFEDSA-N 1 2 319.449 1.261 20 30 DDEDLO C[C@H](c1nc(C2CC2)no1)[NH+]1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001226648876 882565557 /nfs/dbraw/zinc/56/55/57/882565557.db2.gz OOCBBANONYXCBC-WDEREUQCSA-N 1 2 317.393 1.748 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@H]2C[C@@H](O)C[N@@H+]2C)n1CC=C ZINC001342061733 871979148 /nfs/dbraw/zinc/97/91/48/871979148.db2.gz KYGUPNHBSVXJQV-HUUCEWRRSA-N 1 2 319.453 1.994 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@H]2C[C@@H](O)C[N@H+]2C)n1CC=C ZINC001342061733 871979155 /nfs/dbraw/zinc/97/91/55/871979155.db2.gz KYGUPNHBSVXJQV-HUUCEWRRSA-N 1 2 319.453 1.994 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC[C@@H](CC)C1 ZINC001342062561 871979490 /nfs/dbraw/zinc/97/94/90/871979490.db2.gz XHEFCVGYOQPDLO-ILXRZTDVSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC[C@@H](CC)C1 ZINC001342062561 871979493 /nfs/dbraw/zinc/97/94/93/871979493.db2.gz XHEFCVGYOQPDLO-ILXRZTDVSA-N 1 2 319.453 1.828 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](N(C)C(=O)CSCC#N)C2)cn1 ZINC001318386962 871980109 /nfs/dbraw/zinc/98/01/09/871980109.db2.gz FKKFKCFSDRRRCK-CQSZACIVSA-N 1 2 319.434 1.075 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](N(C)C(=O)CSCC#N)C2)cn1 ZINC001318386962 871980114 /nfs/dbraw/zinc/98/01/14/871980114.db2.gz FKKFKCFSDRRRCK-CQSZACIVSA-N 1 2 319.434 1.075 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001318402704 872002977 /nfs/dbraw/zinc/00/29/77/872002977.db2.gz NJKBRZCWXSQGLJ-HNNXBMFYSA-N 1 2 319.405 1.364 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001318402704 872003001 /nfs/dbraw/zinc/00/30/01/872003001.db2.gz NJKBRZCWXSQGLJ-HNNXBMFYSA-N 1 2 319.405 1.364 20 30 DDEDLO CCC[C@H](C)CC(=O)N(CC)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318456947 872069421 /nfs/dbraw/zinc/06/94/21/872069421.db2.gz FQKXYUFSFGBQJF-LSDHHAIUSA-N 1 2 322.453 1.375 20 30 DDEDLO CCC[C@H](C)CC(=O)N(CC)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318456947 872069439 /nfs/dbraw/zinc/06/94/39/872069439.db2.gz FQKXYUFSFGBQJF-LSDHHAIUSA-N 1 2 322.453 1.375 20 30 DDEDLO CCN(C(=O)C(C)=C1CCC1)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318470932 872088106 /nfs/dbraw/zinc/08/81/06/872088106.db2.gz OWTZSBSCJIPMGB-HNNXBMFYSA-N 1 2 318.421 1.049 20 30 DDEDLO CCN(C(=O)C(C)=C1CCC1)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318470932 872088084 /nfs/dbraw/zinc/08/80/84/872088084.db2.gz OWTZSBSCJIPMGB-HNNXBMFYSA-N 1 2 318.421 1.049 20 30 DDEDLO CC#CCCCC(=O)N(CC)[C@H]1CC[N@H+](Cc2nonc2C)C1 ZINC001318477743 872100400 /nfs/dbraw/zinc/10/04/00/872100400.db2.gz HLFQQLAPROPQMK-HNNXBMFYSA-N 1 2 318.421 1.994 20 30 DDEDLO CC#CCCCC(=O)N(CC)[C@H]1CC[N@@H+](Cc2nonc2C)C1 ZINC001318477743 872100415 /nfs/dbraw/zinc/10/04/15/872100415.db2.gz HLFQQLAPROPQMK-HNNXBMFYSA-N 1 2 318.421 1.994 20 30 DDEDLO C#CCN(CC)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001342520745 872244363 /nfs/dbraw/zinc/24/43/63/872244363.db2.gz BFYGMLHILFCCOY-YOEHRIQHSA-N 1 2 317.437 1.225 20 30 DDEDLO C#CCN(CC)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC(=C)C ZINC001342520745 872244384 /nfs/dbraw/zinc/24/43/84/872244384.db2.gz BFYGMLHILFCCOY-YOEHRIQHSA-N 1 2 317.437 1.225 20 30 DDEDLO N#CCNCC[C@@H]1CCCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001318803794 872279682 /nfs/dbraw/zinc/27/96/82/872279682.db2.gz HHKFALRTJCKEHM-LSDHHAIUSA-N 1 2 315.421 1.187 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2nocc2C)C[C@H]1C ZINC001206916788 872761000 /nfs/dbraw/zinc/76/10/00/872761000.db2.gz CTPUEFZOQHYWQR-KFNAQCHYSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2nocc2C)C[C@H]1C ZINC001206916788 872761008 /nfs/dbraw/zinc/76/10/08/872761008.db2.gz CTPUEFZOQHYWQR-KFNAQCHYSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](CC)CO)n2CC(C)C)CC1 ZINC001344407832 872997982 /nfs/dbraw/zinc/99/79/82/872997982.db2.gz DPEXGXBSCIUMNX-HNNXBMFYSA-N 1 2 319.453 1.175 20 30 DDEDLO Cc1nc2sccn2c1C=NOCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001345167191 873285909 /nfs/dbraw/zinc/28/59/09/873285909.db2.gz ORFHOLBQODKVOE-BETUJISGSA-N 1 2 320.418 1.918 20 30 DDEDLO Cc1nc2sccn2c1C=NOCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC001345167191 873285915 /nfs/dbraw/zinc/28/59/15/873285915.db2.gz ORFHOLBQODKVOE-BETUJISGSA-N 1 2 320.418 1.918 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)Cc2cnn(CC)c2)C1 ZINC001381808263 882711188 /nfs/dbraw/zinc/71/11/88/882711188.db2.gz ICXHXWFUOLEANV-LBPRGKRZSA-N 1 2 310.829 1.635 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C#CC(C)(C)C)C2)C1 ZINC001276539553 873355923 /nfs/dbraw/zinc/35/59/23/873355923.db2.gz DJFUMRZJZJVLBP-SFHVURJKSA-N 1 2 302.418 1.363 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C#CC(C)(C)C)C2)C1 ZINC001276539553 873355933 /nfs/dbraw/zinc/35/59/33/873355933.db2.gz DJFUMRZJZJVLBP-SFHVURJKSA-N 1 2 302.418 1.363 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001207758732 873539485 /nfs/dbraw/zinc/53/94/85/873539485.db2.gz GFIRBNTZFYLNEW-AWEZNQCLSA-N 1 2 322.409 1.441 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001346102228 873614013 /nfs/dbraw/zinc/61/40/13/873614013.db2.gz LGKSLPQJWPBDIQ-WMLDXEAASA-N 1 2 319.453 1.612 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC1CC1 ZINC001346102228 873614014 /nfs/dbraw/zinc/61/40/14/873614014.db2.gz LGKSLPQJWPBDIQ-WMLDXEAASA-N 1 2 319.453 1.612 20 30 DDEDLO C#CCC1(C(=O)N2CCC[C@H]([NH2+]Cc3nnc(C)o3)C2)CCC1 ZINC001208319380 874006524 /nfs/dbraw/zinc/00/65/24/874006524.db2.gz SANOSQZCBBCRCT-AWEZNQCLSA-N 1 2 316.405 1.652 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2C)C[C@H]1C ZINC001208388723 874096168 /nfs/dbraw/zinc/09/61/68/874096168.db2.gz PGXAUCKLLHHLBR-GZBFAFLISA-N 1 2 305.426 1.354 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2C)C[C@H]1C ZINC001208388723 874096182 /nfs/dbraw/zinc/09/61/82/874096182.db2.gz PGXAUCKLLHHLBR-GZBFAFLISA-N 1 2 305.426 1.354 20 30 DDEDLO C=C[C@@H](C(=O)N(C)C[C@H](O)C[NH+]1CCOCC1)c1ccccc1 ZINC001348091891 874356779 /nfs/dbraw/zinc/35/67/79/874356779.db2.gz ZLEGEBBCQAFNJT-DLBZAZTESA-N 1 2 318.417 1.108 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COC[C@H]2CCOC2)C1 ZINC001209067808 874653509 /nfs/dbraw/zinc/65/35/09/874653509.db2.gz GHHLPJIVBFJDSA-KWCYVHTRSA-N 1 2 316.829 1.229 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)COC[C@H]2CCOC2)C1 ZINC001209067808 874653521 /nfs/dbraw/zinc/65/35/21/874653521.db2.gz GHHLPJIVBFJDSA-KWCYVHTRSA-N 1 2 316.829 1.229 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+]([C@H](C)c2ncccn2)CC1 ZINC001227124212 882855420 /nfs/dbraw/zinc/85/54/20/882855420.db2.gz PMPGQIPTZGFGGF-DYVFJYSZSA-N 1 2 318.421 1.445 20 30 DDEDLO C=C(CC)C(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC001348880676 874851051 /nfs/dbraw/zinc/85/10/51/874851051.db2.gz SKKXEMRMPQXBPS-KRWDZBQOSA-N 1 2 301.434 1.885 20 30 DDEDLO C=C(CC)C(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC001348880676 874851055 /nfs/dbraw/zinc/85/10/55/874851055.db2.gz SKKXEMRMPQXBPS-KRWDZBQOSA-N 1 2 301.434 1.885 20 30 DDEDLO N#Cc1ccnc(C[N@H+]2C[C@@H]3[C@H](C2)OCCN3Cc2ccc[nH]2)c1 ZINC001276687123 875474487 /nfs/dbraw/zinc/47/44/87/875474487.db2.gz MXLHHUWLIORMRJ-MSOLQXFVSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccnc(C[N@@H+]2C[C@@H]3[C@H](C2)OCCN3Cc2ccc[nH]2)c1 ZINC001276687123 875474498 /nfs/dbraw/zinc/47/44/98/875474498.db2.gz MXLHHUWLIORMRJ-MSOLQXFVSA-N 1 2 323.400 1.367 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nocc2C)C[C@H]1C ZINC001211427503 875806291 /nfs/dbraw/zinc/80/62/91/875806291.db2.gz HTXHTIKAGWLJMH-KWCYVHTRSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nocc2C)C[C@H]1C ZINC001211427503 875806305 /nfs/dbraw/zinc/80/63/05/875806305.db2.gz HTXHTIKAGWLJMH-KWCYVHTRSA-N 1 2 307.394 1.511 20 30 DDEDLO CCO[C@@H]1C[C@@H]1C(=O)N(C)CC[N@H+](C)Cc1ccccc1C#N ZINC001379022792 876155623 /nfs/dbraw/zinc/15/56/23/876155623.db2.gz DTSOUPGXRBXEBO-DLBZAZTESA-N 1 2 315.417 1.873 20 30 DDEDLO CCO[C@@H]1C[C@@H]1C(=O)N(C)CC[N@@H+](C)Cc1ccccc1C#N ZINC001379022792 876155628 /nfs/dbraw/zinc/15/56/28/876155628.db2.gz DTSOUPGXRBXEBO-DLBZAZTESA-N 1 2 315.417 1.873 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)[C@@H](CC#N)c1ccccc1 ZINC001362111729 883068881 /nfs/dbraw/zinc/06/88/81/883068881.db2.gz GJSIZBKMFNWNKL-HOCLYGCPSA-N 1 2 301.390 1.521 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)[C@@H](CC#N)c1ccccc1 ZINC001362111729 883068898 /nfs/dbraw/zinc/06/88/98/883068898.db2.gz GJSIZBKMFNWNKL-HOCLYGCPSA-N 1 2 301.390 1.521 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3c(C)n[nH]c3C)n2CC)CC1 ZINC001353760286 877541942 /nfs/dbraw/zinc/54/19/42/877541942.db2.gz JVCLSZOWHWJVLR-UHFFFAOYSA-N 1 2 313.409 1.060 20 30 DDEDLO C#CCN(C)c1nnc([C@H](C)CC)n1C[C@H](C)[NH+]1CCOCC1 ZINC001300431260 877616299 /nfs/dbraw/zinc/61/62/99/877616299.db2.gz VXDLMRDHXBULDY-CABCVRRESA-N 1 2 319.453 1.582 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)C1CCN(C(C)=O)CC1 ZINC001379776930 877989873 /nfs/dbraw/zinc/98/98/73/877989873.db2.gz HFTWBEVJXJQFST-LBPRGKRZSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)C1CCN(C(C)=O)CC1 ZINC001379776930 877989881 /nfs/dbraw/zinc/98/98/81/877989881.db2.gz HFTWBEVJXJQFST-LBPRGKRZSA-N 1 2 315.845 1.434 20 30 DDEDLO C#CCC1(C(=O)N[C@@H](C)CNC(=O)CCn2cc[nH+]c2)CCC1 ZINC001354581861 878086899 /nfs/dbraw/zinc/08/68/99/878086899.db2.gz QDVSIEMWMVCQQN-AWEZNQCLSA-N 1 2 316.405 1.088 20 30 DDEDLO N#Cc1ccc(-c2ccccc2NC(=O)[C@@H]2COCC[NH2+]2)nc1 ZINC001219423095 878196348 /nfs/dbraw/zinc/19/63/48/878196348.db2.gz WGAYYCOKARXMGA-INIZCTEOSA-N 1 2 308.341 1.547 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@@H](O)CN(C)C(=O)CCC ZINC001379892357 878239924 /nfs/dbraw/zinc/23/99/24/878239924.db2.gz YPTQENXIHJHVCO-LLVKDONJSA-N 1 2 307.232 1.446 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@@H](O)CN(C)C(=O)CCC ZINC001379892357 878239938 /nfs/dbraw/zinc/23/99/38/878239938.db2.gz YPTQENXIHJHVCO-LLVKDONJSA-N 1 2 307.232 1.446 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@@H]1O ZINC001219481969 878266395 /nfs/dbraw/zinc/26/63/95/878266395.db2.gz FNTWZPCAICBYSE-CVEARBPZSA-N 1 2 319.405 1.092 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@@H]1O ZINC001219481969 878266410 /nfs/dbraw/zinc/26/64/10/878266410.db2.gz FNTWZPCAICBYSE-CVEARBPZSA-N 1 2 319.405 1.092 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@@H]1O ZINC001219706964 878468835 /nfs/dbraw/zinc/46/88/35/878468835.db2.gz XBPXELGYFFHMBG-CABCVRRESA-N 1 2 321.421 1.501 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@@H]1O ZINC001219706964 878468841 /nfs/dbraw/zinc/46/88/41/878468841.db2.gz XBPXELGYFFHMBG-CABCVRRESA-N 1 2 321.421 1.501 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1O)OCC ZINC001219732158 878480713 /nfs/dbraw/zinc/48/07/13/878480713.db2.gz PFGDWRQSSNBQRO-GLCHUSEXSA-N 1 2 316.829 1.272 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1O)OCC ZINC001219732158 878480718 /nfs/dbraw/zinc/48/07/18/878480718.db2.gz PFGDWRQSSNBQRO-GLCHUSEXSA-N 1 2 316.829 1.272 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1O)OCC ZINC001219732160 878481349 /nfs/dbraw/zinc/48/13/49/878481349.db2.gz PFGDWRQSSNBQRO-VQQHFMJTSA-N 1 2 316.829 1.272 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1O)OCC ZINC001219732160 878481354 /nfs/dbraw/zinc/48/13/54/878481354.db2.gz PFGDWRQSSNBQRO-VQQHFMJTSA-N 1 2 316.829 1.272 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001287630157 912362061 /nfs/dbraw/zinc/36/20/61/912362061.db2.gz RQPBXUPDIVNIJQ-CYBMUJFWSA-N 1 2 306.410 1.662 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@H](C)C1 ZINC001355929491 878784188 /nfs/dbraw/zinc/78/41/88/878784188.db2.gz KIAVWPZPJWVVEV-KGLIPLIRSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N1CCO[C@H](C)C1 ZINC001355929491 878784206 /nfs/dbraw/zinc/78/42/06/878784206.db2.gz KIAVWPZPJWVVEV-KGLIPLIRSA-N 1 2 305.426 1.846 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)[C@@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1=O ZINC001356049501 878846977 /nfs/dbraw/zinc/84/69/77/878846977.db2.gz KDCRLEJDENXKJO-LLDVTBCESA-N 1 2 300.402 1.710 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)[C@@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1=O ZINC001356049501 878846986 /nfs/dbraw/zinc/84/69/86/878846986.db2.gz KDCRLEJDENXKJO-LLDVTBCESA-N 1 2 300.402 1.710 20 30 DDEDLO C=CCn1c(N2CCC(F)CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001356135516 878884659 /nfs/dbraw/zinc/88/46/59/878884659.db2.gz XTZMKCXOWADHMR-CZUORRHYSA-N 1 2 323.416 1.314 20 30 DDEDLO C=CCn1c(N2CCC(F)CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001356135516 878884667 /nfs/dbraw/zinc/88/46/67/878884667.db2.gz XTZMKCXOWADHMR-CZUORRHYSA-N 1 2 323.416 1.314 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220293445 878891086 /nfs/dbraw/zinc/89/10/86/878891086.db2.gz HSHOZVLKUASFLX-HLLBOEOZSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220293445 878891092 /nfs/dbraw/zinc/89/10/92/878891092.db2.gz HSHOZVLKUASFLX-HLLBOEOZSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2C(=O)Cc1c[nH+]c[nH]1 ZINC001356842522 879468006 /nfs/dbraw/zinc/46/80/06/879468006.db2.gz CQOCXCNHRGJRMU-KBPBESRZSA-N 1 2 316.405 1.366 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCC)C[C@H]21 ZINC001221731288 880032359 /nfs/dbraw/zinc/03/23/59/880032359.db2.gz AWCTYTKCHKNQOD-ZIAGYGMSSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCC)C[C@H]21 ZINC001221731288 880032371 /nfs/dbraw/zinc/03/23/71/880032371.db2.gz AWCTYTKCHKNQOD-ZIAGYGMSSA-N 1 2 307.438 1.258 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)CC(CC)CC)[C@@H]2C1 ZINC001221896337 880138670 /nfs/dbraw/zinc/13/86/70/880138670.db2.gz GDUBNQSONCAOEM-HZPDHXFCSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)CC(CC)CC)[C@@H]2C1 ZINC001221896337 880138675 /nfs/dbraw/zinc/13/86/75/880138675.db2.gz GDUBNQSONCAOEM-HZPDHXFCSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2csnn2)C1 ZINC001222067721 880210961 /nfs/dbraw/zinc/21/09/61/880210961.db2.gz LTROMABRSIXPIJ-NEPJUHHUSA-N 1 2 310.423 1.210 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001358463781 880441096 /nfs/dbraw/zinc/44/10/96/880441096.db2.gz KWVFRFRZESDTNO-QWHCGFSZSA-N 1 2 304.394 1.033 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nc(C)no3)[C@H]2C1 ZINC001222613944 880600170 /nfs/dbraw/zinc/60/01/70/880600170.db2.gz POLWCFOFHRXGHU-CABCVRRESA-N 1 2 316.405 1.604 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3nc(C)no3)[C@H]2C1 ZINC001222613944 880600176 /nfs/dbraw/zinc/60/01/76/880600176.db2.gz POLWCFOFHRXGHU-CABCVRRESA-N 1 2 316.405 1.604 20 30 DDEDLO CCCc1noc(C[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001222641793 880617870 /nfs/dbraw/zinc/61/78/70/880617870.db2.gz SSYQFSTYKFEGEQ-LBPRGKRZSA-N 1 2 319.409 1.510 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C1CN(C(=O)CCn2cc[nH+]c2)C1 ZINC001358743130 880841367 /nfs/dbraw/zinc/84/13/67/880841367.db2.gz SJQFZJLPTYFUFU-UHFFFAOYSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001358749016 880853473 /nfs/dbraw/zinc/85/34/73/880853473.db2.gz XXWFYZMITOYMED-MRXNPFEDSA-N 1 2 304.394 1.224 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(OC)c1F ZINC001276950260 881240064 /nfs/dbraw/zinc/24/00/64/881240064.db2.gz RAZFPSUNVSRXRD-ZDUSSCGKSA-N 1 2 320.364 1.288 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(OC)c1F ZINC001276950260 881240074 /nfs/dbraw/zinc/24/00/74/881240074.db2.gz RAZFPSUNVSRXRD-ZDUSSCGKSA-N 1 2 320.364 1.288 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001228748636 883653347 /nfs/dbraw/zinc/65/33/47/883653347.db2.gz MXFTUCCIOZNSAQ-ZIAGYGMSSA-N 1 2 304.438 1.959 20 30 DDEDLO C=CCC1(C(=O)NC2CC[NH+](Cc3cn(C)nn3)CC2)CCC1 ZINC001229030413 883784775 /nfs/dbraw/zinc/78/47/75/883784775.db2.gz NBBDSBISWCDBAH-UHFFFAOYSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCCC(=O)N1CCC([C@@H](C)NC(=O)Cn2cc[nH+]c2)CC1 ZINC001288263965 912803508 /nfs/dbraw/zinc/80/35/08/912803508.db2.gz VJKIUIYUGFRDHI-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CCCCC1 ZINC001230637579 884679576 /nfs/dbraw/zinc/67/95/76/884679576.db2.gz IFQCYMCMQMSJHY-GDBMZVCRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1CCCCC1 ZINC001230637579 884679585 /nfs/dbraw/zinc/67/95/85/884679585.db2.gz IFQCYMCMQMSJHY-GDBMZVCRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccoc1C ZINC001230702032 884767431 /nfs/dbraw/zinc/76/74/31/884767431.db2.gz JCVXOGWNLAVQTP-GXTWGEPZSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccoc1C ZINC001230702032 884767448 /nfs/dbraw/zinc/76/74/48/884767448.db2.gz JCVXOGWNLAVQTP-GXTWGEPZSA-N 1 2 319.405 1.425 20 30 DDEDLO C[N@H+]1C[C@H]2CN(C(=S)Nc3ccccc3C#N)C[C@@H](C1)O2 ZINC001277464483 884799967 /nfs/dbraw/zinc/79/99/67/884799967.db2.gz XUYHDKUPYDDFNO-BETUJISGSA-N 1 2 302.403 1.270 20 30 DDEDLO C[N@@H+]1C[C@H]2CN(C(=S)Nc3ccccc3C#N)C[C@@H](C1)O2 ZINC001277464483 884799978 /nfs/dbraw/zinc/79/99/78/884799978.db2.gz XUYHDKUPYDDFNO-BETUJISGSA-N 1 2 302.403 1.270 20 30 DDEDLO CCc1nocc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230814697 884916952 /nfs/dbraw/zinc/91/69/52/884916952.db2.gz FSRVINFGWCQJDF-CYBMUJFWSA-N 1 2 322.434 1.526 20 30 DDEDLO CCc1nocc1C[N@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230814697 884916968 /nfs/dbraw/zinc/91/69/68/884916968.db2.gz FSRVINFGWCQJDF-CYBMUJFWSA-N 1 2 322.434 1.526 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230937886 885063643 /nfs/dbraw/zinc/06/36/43/885063643.db2.gz LZOMVNMWKUJJAE-WFASDCNBSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230937886 885063649 /nfs/dbraw/zinc/06/36/49/885063649.db2.gz LZOMVNMWKUJJAE-WFASDCNBSA-N 1 2 319.380 1.765 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)[C@H](C)C#N)ccn1 ZINC001231245868 885424799 /nfs/dbraw/zinc/42/47/99/885424799.db2.gz BOLXWUBBZBWAFF-TZMCWYRMSA-N 1 2 302.378 1.283 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)[C@H](C)C#N)ccn1 ZINC001231245868 885424803 /nfs/dbraw/zinc/42/48/03/885424803.db2.gz BOLXWUBBZBWAFF-TZMCWYRMSA-N 1 2 302.378 1.283 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001288528924 912959519 /nfs/dbraw/zinc/95/95/19/912959519.db2.gz ORGQTURLARPEJR-OLZOCXBDSA-N 1 2 304.394 1.011 20 30 DDEDLO CC1(C)CNC(=O)[C@@H]1[NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC001363289111 885921786 /nfs/dbraw/zinc/92/17/86/885921786.db2.gz LLOLRYFSMCPCTD-ZDUSSCGKSA-N 1 2 311.345 1.222 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cc(C)nnc2CC)C1 ZINC001277616974 886109028 /nfs/dbraw/zinc/10/90/28/886109028.db2.gz CJPHMBNJIWJHGY-UHFFFAOYSA-N 1 2 318.421 1.306 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2C[C@H]3CN(CC4CC4)C(=O)[C@H]3C2)c1 ZINC001232675095 886527733 /nfs/dbraw/zinc/52/77/33/886527733.db2.gz LRPJODRHCXEFGT-HOTGVXAUSA-N 1 2 311.385 1.564 20 30 DDEDLO N#Cc1ccc(O)c(C[N@H+]2C[C@H]3CN(CC4CC4)C(=O)[C@H]3C2)c1 ZINC001232675095 886527744 /nfs/dbraw/zinc/52/77/44/886527744.db2.gz LRPJODRHCXEFGT-HOTGVXAUSA-N 1 2 311.385 1.564 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(F)c([N+](=O)[O-])c(F)c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233754155 887282693 /nfs/dbraw/zinc/28/26/93/887282693.db2.gz RXBYTQUUZKUPPX-PEUDNIOHSA-N 1 2 312.272 1.864 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(F)c([N+](=O)[O-])c(F)c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233754155 887282714 /nfs/dbraw/zinc/28/27/14/887282714.db2.gz RXBYTQUUZKUPPX-PEUDNIOHSA-N 1 2 312.272 1.864 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@@H](C)CC ZINC001233761499 887291653 /nfs/dbraw/zinc/29/16/53/887291653.db2.gz LFJXYPRWUCJPIG-JKSUJKDBSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@@H](C)CC ZINC001233761499 887291670 /nfs/dbraw/zinc/29/16/70/887291670.db2.gz LFJXYPRWUCJPIG-JKSUJKDBSA-N 1 2 321.465 1.627 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)nc1 ZINC001233976825 887515362 /nfs/dbraw/zinc/51/53/62/887515362.db2.gz IQWBIPIUDVOPPW-WBVHZDCISA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)nc1 ZINC001233976825 887515382 /nfs/dbraw/zinc/51/53/82/887515382.db2.gz IQWBIPIUDVOPPW-WBVHZDCISA-N 1 2 318.421 1.145 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC1(C)CCCC1 ZINC001234078332 887623624 /nfs/dbraw/zinc/62/36/24/887623624.db2.gz MYNYUVHAHLXUNT-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC1(C)CCCC1 ZINC001234078332 887623628 /nfs/dbraw/zinc/62/36/28/887623628.db2.gz MYNYUVHAHLXUNT-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234128961 887672683 /nfs/dbraw/zinc/67/26/83/887672683.db2.gz GELYCMMBUDYTGE-JKSUJKDBSA-N 1 2 321.465 1.435 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234128961 887672688 /nfs/dbraw/zinc/67/26/88/887672688.db2.gz GELYCMMBUDYTGE-JKSUJKDBSA-N 1 2 321.465 1.435 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)s1 ZINC001234215830 887755801 /nfs/dbraw/zinc/75/58/01/887755801.db2.gz PPHAIEOXIJZHSH-OCCSQVGLSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)s1 ZINC001234215830 887755817 /nfs/dbraw/zinc/75/58/17/887755817.db2.gz PPHAIEOXIJZHSH-OCCSQVGLSA-N 1 2 321.446 1.523 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C2(C)CC2)C1=O ZINC001234453859 887983120 /nfs/dbraw/zinc/98/31/20/887983120.db2.gz DLAIZVQRPFSTNL-ZIAGYGMSSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C2(C)CC2)C1=O ZINC001234453859 887983125 /nfs/dbraw/zinc/98/31/25/887983125.db2.gz DLAIZVQRPFSTNL-ZIAGYGMSSA-N 1 2 305.422 1.106 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](Oc2cc(C(N)=O)on2)C1 ZINC001234590388 888123200 /nfs/dbraw/zinc/12/32/00/888123200.db2.gz GNNXWYKGXSPWRT-ZDUSSCGKSA-N 1 2 312.329 1.298 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](Oc2cc(C(N)=O)on2)C1 ZINC001234590388 888123208 /nfs/dbraw/zinc/12/32/08/888123208.db2.gz GNNXWYKGXSPWRT-ZDUSSCGKSA-N 1 2 312.329 1.298 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@H](C)C1CC1 ZINC001234626221 888151704 /nfs/dbraw/zinc/15/17/04/888151704.db2.gz RATRTYUXCLLDMB-GDBMZVCRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@H](C)C1CC1 ZINC001234626221 888151709 /nfs/dbraw/zinc/15/17/09/888151709.db2.gz RATRTYUXCLLDMB-GDBMZVCRSA-N 1 2 321.465 1.790 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NC[C@@H](C)[NH+]1CCN(C)CC1 ZINC001364315452 888363188 /nfs/dbraw/zinc/36/31/88/888363188.db2.gz DBHROZNRRUKETL-CYBMUJFWSA-N 1 2 315.421 1.624 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1coc(C)n1 ZINC001235229326 888492200 /nfs/dbraw/zinc/49/22/00/888492200.db2.gz NRPRVYOTHXKFPG-CYBMUJFWSA-N 1 2 309.410 1.902 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1coc(C)n1 ZINC001235229326 888492208 /nfs/dbraw/zinc/49/22/08/888492208.db2.gz NRPRVYOTHXKFPG-CYBMUJFWSA-N 1 2 309.410 1.902 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)[C@@H](C)c1nncn1C ZINC001235230709 888492984 /nfs/dbraw/zinc/49/29/84/888492984.db2.gz UROTWFAJPOTZQU-UONOGXRCSA-N 1 2 323.441 1.295 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)[C@@H](C)c1nncn1C ZINC001235230709 888492994 /nfs/dbraw/zinc/49/29/94/888492994.db2.gz UROTWFAJPOTZQU-UONOGXRCSA-N 1 2 323.441 1.295 20 30 DDEDLO CCn1ccnc1CN1C[C@@H]2C[N@@H+](CCCC#N)C[C@H](C1)O2 ZINC001277943125 888626020 /nfs/dbraw/zinc/62/60/20/888626020.db2.gz OJGMUQNGJQWWIS-GASCZTMLSA-N 1 2 303.410 1.092 20 30 DDEDLO CCn1ccnc1CN1C[C@@H]2C[N@H+](CCCC#N)C[C@H](C1)O2 ZINC001277943125 888626023 /nfs/dbraw/zinc/62/60/23/888626023.db2.gz OJGMUQNGJQWWIS-GASCZTMLSA-N 1 2 303.410 1.092 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H](C)[NH2+]Cc2nc(C)no2)nc1 ZINC001277994960 889295268 /nfs/dbraw/zinc/29/52/68/889295268.db2.gz LTODDJKYCUWJKG-NSHDSACASA-N 1 2 313.361 1.005 20 30 DDEDLO C#CCN(C(=O)CC)C1CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001278107380 889675314 /nfs/dbraw/zinc/67/53/14/889675314.db2.gz NCUCGJBQJISZSX-AWEZNQCLSA-N 1 2 300.406 1.874 20 30 DDEDLO CNc1ccccc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC001364931585 889692177 /nfs/dbraw/zinc/69/21/77/889692177.db2.gz KLFUOFFLILFTRN-UHFFFAOYSA-N 1 2 302.422 1.776 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CC[C@H](Oc4cnccn4)C3)cn2c1 ZINC001237612664 889757853 /nfs/dbraw/zinc/75/78/53/889757853.db2.gz ZOHSNJUYAAVXPB-HNNXBMFYSA-N 1 2 320.356 1.649 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CC[C@H](Oc4cnccn4)C3)cn2c1 ZINC001237612664 889757865 /nfs/dbraw/zinc/75/78/65/889757865.db2.gz ZOHSNJUYAAVXPB-HNNXBMFYSA-N 1 2 320.356 1.649 20 30 DDEDLO N#CCC1CN(C(=O)[C@@]23C[C@@H]2CC[N@@H+]3Cc2ccccc2C#N)C1 ZINC001278239718 890240790 /nfs/dbraw/zinc/24/07/90/890240790.db2.gz WLBZUZYRBOTMJI-PKOBYXMFSA-N 1 2 320.396 1.895 20 30 DDEDLO N#CCC1CN(C(=O)[C@@]23C[C@@H]2CC[N@H+]3Cc2ccccc2C#N)C1 ZINC001278239718 890240807 /nfs/dbraw/zinc/24/08/07/890240807.db2.gz WLBZUZYRBOTMJI-PKOBYXMFSA-N 1 2 320.396 1.895 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001278342680 891356314 /nfs/dbraw/zinc/35/63/14/891356314.db2.gz XLCBBZUPAKBAMP-QGZVFWFLSA-N 1 2 316.449 1.497 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=S)Nc1ccc(C#N)cc1 ZINC001245950745 892285088 /nfs/dbraw/zinc/28/50/88/892285088.db2.gz LYJOELKSFNEHEB-LBPRGKRZSA-N 1 2 304.419 1.565 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=S)Nc1ccc(C#N)cc1 ZINC001245950745 892285107 /nfs/dbraw/zinc/28/51/07/892285107.db2.gz LYJOELKSFNEHEB-LBPRGKRZSA-N 1 2 304.419 1.565 20 30 DDEDLO N#Cc1ccn2ncc(C[NH+]3CCN(c4ccccn4)CC3)c2c1 ZINC001249082011 893810953 /nfs/dbraw/zinc/81/09/53/893810953.db2.gz DKWNVAIWGHWQDI-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO CC1(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)CCN(CC#N)CC1 ZINC001278616350 894507772 /nfs/dbraw/zinc/50/77/72/894507772.db2.gz XUMYKDVUHMZGJV-AWEZNQCLSA-N 1 2 315.421 1.187 20 30 DDEDLO N#Cc1c2c(sc1NC[C@H](O)C[NH+]1CCOCC1)CCC2 ZINC001251003009 894560706 /nfs/dbraw/zinc/56/07/06/894560706.db2.gz CFFRZDAJVBRGAB-NSHDSACASA-N 1 2 307.419 1.213 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)Cn1ccccc1=O)C1CC1 ZINC001366763914 894861037 /nfs/dbraw/zinc/86/10/37/894861037.db2.gz XTPHVPUNALQYIB-UHFFFAOYSA-N 1 2 309.797 1.181 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)Cn1ccccc1=O)C1CC1 ZINC001366763914 894861052 /nfs/dbraw/zinc/86/10/52/894861052.db2.gz XTPHVPUNALQYIB-UHFFFAOYSA-N 1 2 309.797 1.181 20 30 DDEDLO CC(C)OCC(=O)NCC1C[NH+](Cc2ccc(C#N)cc2F)C1 ZINC001366959636 895432621 /nfs/dbraw/zinc/43/26/21/895432621.db2.gz ACEHKOZZYAKDJN-UHFFFAOYSA-N 1 2 319.380 1.670 20 30 DDEDLO C[NH+]1CCC(C#N)(NS(=O)(=O)/C=C/c2ccccc2)CC1 ZINC001253089811 895629165 /nfs/dbraw/zinc/62/91/65/895629165.db2.gz VZLYTOKZXGKYPZ-KPKJPENVSA-N 1 2 305.403 1.565 20 30 DDEDLO CC[C@H](CNC(=O)c1[nH]ncc1F)[NH2+]Cc1ccc(C#N)s1 ZINC001367126675 895943237 /nfs/dbraw/zinc/94/32/37/895943237.db2.gz JPIGHMFMOFBYPK-SECBINFHSA-N 1 2 321.381 1.780 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@]1(O)CC[N@H+](Cc2csc(C)n2)C1 ZINC001278781061 896411291 /nfs/dbraw/zinc/41/12/91/896411291.db2.gz BZJZJJDAJRSJMK-INIZCTEOSA-N 1 2 323.462 1.861 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2csc(C)n2)C1 ZINC001278781061 896411296 /nfs/dbraw/zinc/41/12/96/896411296.db2.gz BZJZJJDAJRSJMK-INIZCTEOSA-N 1 2 323.462 1.861 20 30 DDEDLO C#CCCCCCC(=O)NCC1(O)C[NH+](C[C@@H]2CCCCO2)C1 ZINC001278895747 897158655 /nfs/dbraw/zinc/15/86/55/897158655.db2.gz AZJMGIIVPXVSKS-INIZCTEOSA-N 1 2 322.449 1.302 20 30 DDEDLO CC(C)(C)[C@@H](CNCC#N)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001278972610 897618874 /nfs/dbraw/zinc/61/88/74/897618874.db2.gz FMDHOQNPPLVARP-TZMCWYRMSA-N 1 2 303.410 1.090 20 30 DDEDLO CCOC(=O)c1ccc(C[C@@H](C)N2CC[NH2+]C[C@@H]2C#N)cc1 ZINC001257158938 897753233 /nfs/dbraw/zinc/75/32/33/897753233.db2.gz CGYUQEKIQGFOCV-CJNGLKHVSA-N 1 2 301.390 1.592 20 30 DDEDLO CCN(CCn1cc[nH+]c1)S(=O)(=O)c1ccc(C#N)cc1 ZINC001258096127 898053124 /nfs/dbraw/zinc/05/31/24/898053124.db2.gz VMPWLXCWSDXXQO-UHFFFAOYSA-N 1 2 304.375 1.466 20 30 DDEDLO Cc1cc(C)cc(S(=O)(=O)NC2(C#N)CC[NH+](C)CC2)c1 ZINC001259528652 898718669 /nfs/dbraw/zinc/71/86/69/898718669.db2.gz GPWJETMCQGFSKS-UHFFFAOYSA-N 1 2 307.419 1.570 20 30 DDEDLO C[C@H](C(=O)NCC[N@H+](C)Cc1ccccc1C#N)[C@H]1CCCO1 ZINC001390818315 900269058 /nfs/dbraw/zinc/26/90/58/900269058.db2.gz MTKHRQWQVSDGDD-WMLDXEAASA-N 1 2 315.417 1.921 20 30 DDEDLO C[C@H](C(=O)NCC[N@@H+](C)Cc1ccccc1C#N)[C@H]1CCCO1 ZINC001390818315 900269068 /nfs/dbraw/zinc/26/90/68/900269068.db2.gz MTKHRQWQVSDGDD-WMLDXEAASA-N 1 2 315.417 1.921 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](CC)[C@@H](C)O)n2CC=C)CC1 ZINC001262937698 900425683 /nfs/dbraw/zinc/42/56/83/900425683.db2.gz LKAGXCXTSSMHAU-CABCVRRESA-N 1 2 317.437 1.094 20 30 DDEDLO C=C(C)Cn1c(N2CCCCC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001263285965 900558070 /nfs/dbraw/zinc/55/80/70/900558070.db2.gz RTVNFCCGUAVGGX-WMLDXEAASA-N 1 2 319.453 1.756 20 30 DDEDLO C=C(C)Cn1c(N2CCCCC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001263285965 900558079 /nfs/dbraw/zinc/55/80/79/900558079.db2.gz RTVNFCCGUAVGGX-WMLDXEAASA-N 1 2 319.453 1.756 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](OC)c1cccc(OC)c1 ZINC001263805719 900716917 /nfs/dbraw/zinc/71/69/17/900716917.db2.gz UUSPXQDJKAYHQW-WBVHZDCISA-N 1 2 316.401 1.549 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](OC)c1cccc(OC)c1 ZINC001263805719 900716925 /nfs/dbraw/zinc/71/69/25/900716925.db2.gz UUSPXQDJKAYHQW-WBVHZDCISA-N 1 2 316.401 1.549 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C/C=C(\C)C=C ZINC001263808226 900722717 /nfs/dbraw/zinc/72/27/17/900722717.db2.gz YONCVYFSBVDMON-KEQVLUGWSA-N 1 2 305.422 1.344 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C/C=C(\C)C=C ZINC001263808226 900722724 /nfs/dbraw/zinc/72/27/24/900722724.db2.gz YONCVYFSBVDMON-KEQVLUGWSA-N 1 2 305.422 1.344 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCCC(C)C ZINC001263812441 900726990 /nfs/dbraw/zinc/72/69/90/900726990.db2.gz NJJRHOVPSZLHTM-HZPDHXFCSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCCC(C)C ZINC001263812441 900726998 /nfs/dbraw/zinc/72/69/98/900726998.db2.gz NJJRHOVPSZLHTM-HZPDHXFCSA-N 1 2 321.465 1.483 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CC=CCC1 ZINC001264359860 901039126 /nfs/dbraw/zinc/03/91/26/901039126.db2.gz OVIWZZANGZQOMD-HUUCEWRRSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CC=CCC1 ZINC001264359860 901039140 /nfs/dbraw/zinc/03/91/40/901039140.db2.gz OVIWZZANGZQOMD-HUUCEWRRSA-N 1 2 305.422 1.178 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)nsc1C ZINC001264380514 901066866 /nfs/dbraw/zinc/06/68/66/901066866.db2.gz KVTIVEWIZLJMSK-CQSZACIVSA-N 1 2 321.446 1.556 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)nsc1C ZINC001264380514 901066875 /nfs/dbraw/zinc/06/68/75/901066875.db2.gz KVTIVEWIZLJMSK-CQSZACIVSA-N 1 2 321.446 1.556 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)CCOCCN(C)C(=O)[C@H](C)C#N)o1 ZINC001264663042 901255843 /nfs/dbraw/zinc/25/58/43/901255843.db2.gz WSOQWFSUOVHHQF-TZMCWYRMSA-N 1 2 322.409 1.611 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)CCOCCN(C)C(=O)[C@H](C)C#N)o1 ZINC001264663042 901255857 /nfs/dbraw/zinc/25/58/57/901255857.db2.gz WSOQWFSUOVHHQF-TZMCWYRMSA-N 1 2 322.409 1.611 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)[C@@H]1C ZINC001264699857 901296974 /nfs/dbraw/zinc/29/69/74/901296974.db2.gz JABKCNZWZDSQQZ-YUELXQCFSA-N 1 2 319.405 1.409 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)[C@@H]1C ZINC001264699857 901296983 /nfs/dbraw/zinc/29/69/83/901296983.db2.gz JABKCNZWZDSQQZ-YUELXQCFSA-N 1 2 319.405 1.409 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CCC)C(=O)CNC(=O)OC)C1 ZINC001391267937 901349623 /nfs/dbraw/zinc/34/96/23/901349623.db2.gz WEQBIMXQZXIULK-LBPRGKRZSA-N 1 2 317.817 1.408 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CCC)C(=O)CNC(=O)OC)C1 ZINC001391267937 901349631 /nfs/dbraw/zinc/34/96/31/901349631.db2.gz WEQBIMXQZXIULK-LBPRGKRZSA-N 1 2 317.817 1.408 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@H+](C)CCN(C(=O)[C@@H](C)C#N)C(C)C ZINC001369515831 901428179 /nfs/dbraw/zinc/42/81/79/901428179.db2.gz NFRSSCPNXVXEFK-UONOGXRCSA-N 1 2 310.442 1.230 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@@H+](C)CCN(C(=O)[C@@H](C)C#N)C(C)C ZINC001369515831 901428187 /nfs/dbraw/zinc/42/81/87/901428187.db2.gz NFRSSCPNXVXEFK-UONOGXRCSA-N 1 2 310.442 1.230 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)[C@H](C)c2ccccc2)CC1 ZINC001265264779 901787689 /nfs/dbraw/zinc/78/76/89/901787689.db2.gz COJPNSIGYGXTNQ-QGZVFWFLSA-N 1 2 313.445 1.547 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265272158 901799101 /nfs/dbraw/zinc/79/91/01/901799101.db2.gz CAPPGLLBGVPYMO-XJKSGUPXSA-N 1 2 304.365 1.403 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265272158 901799110 /nfs/dbraw/zinc/79/91/10/901799110.db2.gz CAPPGLLBGVPYMO-XJKSGUPXSA-N 1 2 304.365 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C(C)(C)C2CCC2)C1 ZINC001265297305 901844292 /nfs/dbraw/zinc/84/42/92/901844292.db2.gz OAQPOQULLSXROI-AWEZNQCLSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)C2CCC2)C1 ZINC001265297305 901844298 /nfs/dbraw/zinc/84/42/98/901844298.db2.gz OAQPOQULLSXROI-AWEZNQCLSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@H]1CCO[C@H]1C ZINC001265945122 902639314 /nfs/dbraw/zinc/63/93/14/902639314.db2.gz ZPLWYBUZLAIOLF-RYUDHWBXSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@H]1CCO[C@H]1C ZINC001265945122 902639318 /nfs/dbraw/zinc/63/93/18/902639318.db2.gz ZPLWYBUZLAIOLF-RYUDHWBXSA-N 1 2 319.243 1.758 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C[C@@H](C)N(C)C(=O)c2c[nH]c(C#N)c2)n1 ZINC001392060736 903198266 /nfs/dbraw/zinc/19/82/66/903198266.db2.gz MCCKRBARPJMABZ-ZJUUUORDSA-N 1 2 316.365 1.389 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@H]1CCCNC1=O ZINC001316602548 903579547 /nfs/dbraw/zinc/57/95/47/903579547.db2.gz MJXZFOYZRKAKIC-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@H]1CCCNC1=O ZINC001316602548 903579553 /nfs/dbraw/zinc/57/95/53/903579553.db2.gz MJXZFOYZRKAKIC-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1COCC[N@H+]1CC/C=C/CC ZINC001280406613 903644461 /nfs/dbraw/zinc/64/44/61/903644461.db2.gz UCDRICLPYVTQFF-YCZSJOHXSA-N 1 2 308.422 1.198 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1COCC[N@@H+]1CC/C=C/CC ZINC001280406613 903644464 /nfs/dbraw/zinc/64/44/64/903644464.db2.gz UCDRICLPYVTQFF-YCZSJOHXSA-N 1 2 308.422 1.198 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CC ZINC001316603628 903701051 /nfs/dbraw/zinc/70/10/51/903701051.db2.gz KJEXEMPTGQOQPS-CRAIPNDOSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CC ZINC001316603628 903701068 /nfs/dbraw/zinc/70/10/68/903701068.db2.gz KJEXEMPTGQOQPS-CRAIPNDOSA-N 1 2 323.481 1.990 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@@H+](Cc2ncnn2C)CC1 ZINC001280746272 903978988 /nfs/dbraw/zinc/97/89/88/903978988.db2.gz XXHILLZTXCDYQL-ZDUSSCGKSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@H+](Cc2ncnn2C)CC1 ZINC001280746272 903978998 /nfs/dbraw/zinc/97/89/98/903978998.db2.gz XXHILLZTXCDYQL-ZDUSSCGKSA-N 1 2 305.426 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CN(C)C(=O)CCn2cc[nH+]c2)C1 ZINC001281030793 904334381 /nfs/dbraw/zinc/33/43/81/904334381.db2.gz ZZVANJWWQDJXHY-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C[C@H](CNC(=O)c1c[nH]c(C#N)c1)[NH2+]Cc1nc(C2CC2)no1 ZINC001371158657 904377621 /nfs/dbraw/zinc/37/76/21/904377621.db2.gz AAPQMENSBGOLPC-SECBINFHSA-N 1 2 314.349 1.055 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C[N@H+](C)Cc1nc2c(o1)CCCC2 ZINC001392647141 904727396 /nfs/dbraw/zinc/72/73/96/904727396.db2.gz YKMQPGLSEHICKO-NEPJUHHUSA-N 1 2 304.394 1.650 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C[N@@H+](C)Cc1nc2c(o1)CCCC2 ZINC001392647141 904727404 /nfs/dbraw/zinc/72/74/04/904727404.db2.gz YKMQPGLSEHICKO-NEPJUHHUSA-N 1 2 304.394 1.650 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H](NC(=O)CSCC#N)C[C@H]2C)co1 ZINC001281653534 905124171 /nfs/dbraw/zinc/12/41/71/905124171.db2.gz UDCBPNPOUUOVKV-YPMHNXCESA-N 1 2 322.434 1.709 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H](NC(=O)CSCC#N)C[C@H]2C)co1 ZINC001281653534 905124177 /nfs/dbraw/zinc/12/41/77/905124177.db2.gz UDCBPNPOUUOVKV-YPMHNXCESA-N 1 2 322.434 1.709 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC001281957844 905361689 /nfs/dbraw/zinc/36/16/89/905361689.db2.gz VLXNFZUCFHMUKB-OAHLLOKOSA-N 1 2 305.426 1.594 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC001281957844 905361707 /nfs/dbraw/zinc/36/17/07/905361707.db2.gz VLXNFZUCFHMUKB-OAHLLOKOSA-N 1 2 305.426 1.594 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H](C)[C@H](C)NC(=O)C#CC2CC2)o1 ZINC001282130325 905567347 /nfs/dbraw/zinc/56/73/47/905567347.db2.gz XEEFEPVMUWGBGQ-RYUDHWBXSA-N 1 2 304.394 1.589 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H]1CC ZINC001282247773 905644364 /nfs/dbraw/zinc/64/43/64/905644364.db2.gz FPOLZKOKQHQXHM-UONOGXRCSA-N 1 2 304.394 1.345 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccncc1Cl ZINC001377379624 921178602 /nfs/dbraw/zinc/17/86/02/921178602.db2.gz IQOJZXKLXYOKET-YPMHNXCESA-N 1 2 306.797 1.975 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC[N@H+]1Cc1ccncc1Cl ZINC001377379624 921178605 /nfs/dbraw/zinc/17/86/05/921178605.db2.gz IQOJZXKLXYOKET-YPMHNXCESA-N 1 2 306.797 1.975 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](O)(CNC(=O)c2nccs2)C1 ZINC001393274375 906627017 /nfs/dbraw/zinc/62/70/17/906627017.db2.gz FQWCPULSQBDEPS-GFCCVEGCSA-N 1 2 301.799 1.062 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](O)(CNC(=O)c2nccs2)C1 ZINC001393274375 906627025 /nfs/dbraw/zinc/62/70/25/906627025.db2.gz FQWCPULSQBDEPS-GFCCVEGCSA-N 1 2 301.799 1.062 20 30 DDEDLO C=CCCC(=O)N1CCCN(C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC001283409601 907309722 /nfs/dbraw/zinc/30/97/22/907309722.db2.gz ZFYJYJDPXIBXJS-HNNXBMFYSA-N 1 2 318.421 1.861 20 30 DDEDLO C=CCCCC(=O)NCC1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001283448242 907368323 /nfs/dbraw/zinc/36/83/23/907368323.db2.gz YTVYLIKOMKDAGY-UHFFFAOYSA-N 1 2 318.421 1.594 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@H+](Cc2ncnn2C(C)(C)C)C1 ZINC001372597233 907725903 /nfs/dbraw/zinc/72/59/03/907725903.db2.gz RSPAQYYAXPVIJN-OLZOCXBDSA-N 1 2 318.425 1.131 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@@H+](Cc2ncnn2C(C)(C)C)C1 ZINC001372597233 907725913 /nfs/dbraw/zinc/72/59/13/907725913.db2.gz RSPAQYYAXPVIJN-OLZOCXBDSA-N 1 2 318.425 1.131 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001284010410 908309690 /nfs/dbraw/zinc/30/96/90/908309690.db2.gz LAPHVBVCSFWWBK-CABCVRRESA-N 1 2 316.405 1.355 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)(C)C2CC2)[C@@H]1C ZINC001284129409 908523670 /nfs/dbraw/zinc/52/36/70/908523670.db2.gz HIJTULOUHLMLTC-DZGCQCFKSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)C2CC2)[C@@H]1C ZINC001284129409 908523690 /nfs/dbraw/zinc/52/36/90/908523690.db2.gz HIJTULOUHLMLTC-DZGCQCFKSA-N 1 2 319.449 1.141 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001394073866 908712058 /nfs/dbraw/zinc/71/20/58/908712058.db2.gz KMKVGHSXCUSJCG-RISCZKNCSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001394073866 908712060 /nfs/dbraw/zinc/71/20/60/908712060.db2.gz KMKVGHSXCUSJCG-RISCZKNCSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(C)C[N@@H+](C)C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001284493374 909078593 /nfs/dbraw/zinc/07/85/93/909078593.db2.gz SAPAIMKMTZINDX-NXEZZACHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C(C)C[N@H+](C)C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001284493374 909078610 /nfs/dbraw/zinc/07/86/10/909078610.db2.gz SAPAIMKMTZINDX-NXEZZACHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C(C)C[N@@H+](C)C[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001284493374 909078626 /nfs/dbraw/zinc/07/86/26/909078626.db2.gz SAPAIMKMTZINDX-NXEZZACHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C(C)C[N@H+](C)C[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001284493374 909078648 /nfs/dbraw/zinc/07/86/48/909078648.db2.gz SAPAIMKMTZINDX-NXEZZACHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCN(C)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001284523990 909140242 /nfs/dbraw/zinc/14/02/42/909140242.db2.gz KDEAIOJKAYCXJY-ZDUSSCGKSA-N 1 2 320.437 1.765 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCN(C)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001284523990 909140250 /nfs/dbraw/zinc/14/02/50/909140250.db2.gz KDEAIOJKAYCXJY-ZDUSSCGKSA-N 1 2 320.437 1.765 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H]1CCN(C(=O)CCc2cnn[nH]2)C1 ZINC001373294339 909437712 /nfs/dbraw/zinc/43/77/12/909437712.db2.gz TYFVCGFXCOQUMC-LBPRGKRZSA-N 1 2 311.817 1.270 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H]1CCN(C(=O)CCc2cnn[nH]2)C1 ZINC001373294339 909437726 /nfs/dbraw/zinc/43/77/26/909437726.db2.gz TYFVCGFXCOQUMC-LBPRGKRZSA-N 1 2 311.817 1.270 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](CC)NC(=O)Cc1[nH]cc[nH+]1 ZINC001285056754 910014670 /nfs/dbraw/zinc/01/46/70/910014670.db2.gz WYYCVKABXYSYJG-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCC(=O)NC/C=C/CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001285496918 910667950 /nfs/dbraw/zinc/66/79/50/910667950.db2.gz MIORNRPNBDUELU-NNTXTVRGSA-N 1 2 316.405 1.200 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)N(C)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001285624219 910904963 /nfs/dbraw/zinc/90/49/63/910904963.db2.gz AAUUKBCYZJKQCJ-KGLIPLIRSA-N 1 2 318.421 1.375 20 30 DDEDLO CCc1nc(C[NH2+]CC2(NC(=O)[C@@H](C)C#N)CCCC2)no1 ZINC001373841306 911165186 /nfs/dbraw/zinc/16/51/86/911165186.db2.gz GOUPEGMQQQEQGJ-NSHDSACASA-N 1 2 305.382 1.310 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001285766001 911172607 /nfs/dbraw/zinc/17/26/07/911172607.db2.gz YYJJDEJGDIFEQX-AWEZNQCLSA-N 1 2 318.421 1.167 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001285813584 911226365 /nfs/dbraw/zinc/22/63/65/911226365.db2.gz PUDQOPKUYPQTPH-LBPRGKRZSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)CC1 ZINC001285909706 911410687 /nfs/dbraw/zinc/41/06/87/911410687.db2.gz CPYGHYSGEYCYAU-CHWSQXEVSA-N 1 2 316.405 1.255 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCc1nc(-c2cccc(C#N)c2)c[nH]1 ZINC001294847765 915399955 /nfs/dbraw/zinc/39/99/55/915399955.db2.gz LYPYZYDMHDCXSU-UHFFFAOYSA-N 1 2 320.356 1.839 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](N(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC001295777141 916049175 /nfs/dbraw/zinc/04/91/75/916049175.db2.gz GYJFQVMSEKTKNS-AWEZNQCLSA-N 1 2 318.421 1.378 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001295783546 916054431 /nfs/dbraw/zinc/05/44/31/916054431.db2.gz LMWKPIAYWMESFZ-OAHLLOKOSA-N 1 2 316.405 1.205 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)CCNC(=O)Cc1c[nH+]cn1C ZINC001296160199 916259479 /nfs/dbraw/zinc/25/94/79/916259479.db2.gz GCWFYVWMEQBNAA-INIZCTEOSA-N 1 2 306.410 1.140 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001296223526 916283664 /nfs/dbraw/zinc/28/36/64/916283664.db2.gz UVSUUYHSBQSTRH-INIZCTEOSA-N 1 2 306.410 1.438 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001296451099 916426983 /nfs/dbraw/zinc/42/69/83/916426983.db2.gz RSOYWVFDUMGKQH-CYBMUJFWSA-N 1 2 304.394 1.272 20 30 DDEDLO CC(C)(C)C#CC(=O)N(CCNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001296593220 916498381 /nfs/dbraw/zinc/49/83/81/916498381.db2.gz AVCYDTCVOGOYRG-UHFFFAOYSA-N 1 2 316.405 1.040 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@H+](C)[C@@H](C)C(=O)Nc1ccccc1F ZINC001377128473 920406121 /nfs/dbraw/zinc/40/61/21/920406121.db2.gz IFNRBRNOKTZZEU-NEPJUHHUSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@@H+](C)[C@@H](C)C(=O)Nc1ccccc1F ZINC001377128473 920406130 /nfs/dbraw/zinc/40/61/30/920406130.db2.gz IFNRBRNOKTZZEU-NEPJUHHUSA-N 1 2 320.368 1.360 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001377180641 920556096 /nfs/dbraw/zinc/55/60/96/920556096.db2.gz LCDMOCLEFYPUAZ-UHFFFAOYSA-N 1 2 319.796 1.886 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001377180641 920556102 /nfs/dbraw/zinc/55/61/02/920556102.db2.gz LCDMOCLEFYPUAZ-UHFFFAOYSA-N 1 2 319.796 1.886 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C[C@@H]2CCOC[C@H]2OC)C1 ZINC001377977939 923569262 /nfs/dbraw/zinc/56/92/62/923569262.db2.gz VWCKOCHOGMKRRD-UONOGXRCSA-N 1 2 316.829 1.229 20 30 DDEDLO COC[C@@H]1C[N@H+](Cc2cnc3ccc(C#N)cn23)C[C@H](C)O1 ZINC000249193217 282137271 /nfs/dbraw/zinc/13/72/71/282137271.db2.gz PQWHFRDKUBEMTB-WFASDCNBSA-N 1 2 300.362 1.442 20 30 DDEDLO COC[C@@H]1C[N@@H+](Cc2cnc3ccc(C#N)cn23)C[C@H](C)O1 ZINC000249193217 282137273 /nfs/dbraw/zinc/13/72/73/282137273.db2.gz PQWHFRDKUBEMTB-WFASDCNBSA-N 1 2 300.362 1.442 20 30 DDEDLO COC[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@H](C)O1 ZINC000249193217 282137274 /nfs/dbraw/zinc/13/72/74/282137274.db2.gz PQWHFRDKUBEMTB-WFASDCNBSA-N 1 2 300.362 1.442 20 30 DDEDLO CC[N@@H+]1CCN(CCS(=O)(=O)c2ccc(C#N)cc2)[C@H](C)C1 ZINC000286578414 219064448 /nfs/dbraw/zinc/06/44/48/219064448.db2.gz QAGNJYYBGKRWPL-CQSZACIVSA-N 1 2 321.446 1.358 20 30 DDEDLO CC[N@H+]1CCN(CCS(=O)(=O)c2ccc(C#N)cc2)[C@H](C)C1 ZINC000286578414 219064450 /nfs/dbraw/zinc/06/44/50/219064450.db2.gz QAGNJYYBGKRWPL-CQSZACIVSA-N 1 2 321.446 1.358 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000451925412 529590211 /nfs/dbraw/zinc/59/02/11/529590211.db2.gz FPYZHIABHMYWHG-SNVBAGLBSA-N 1 2 322.365 1.953 20 30 DDEDLO COCCOc1ccc(C#N)cc1NC(=O)C[NH+]1CC(C)(C)C1 ZINC000563635316 303967376 /nfs/dbraw/zinc/96/73/76/303967376.db2.gz GRGRZVRENJWVEU-UHFFFAOYSA-N 1 2 317.389 1.864 20 30 DDEDLO Cc1cc(Cl)cnc1NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329596260 539306179 /nfs/dbraw/zinc/30/61/79/539306179.db2.gz CAUNUASCEVDWRK-RYUDHWBXSA-N 1 2 310.785 1.604 20 30 DDEDLO Cc1cc(Cl)cnc1NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329596260 539306181 /nfs/dbraw/zinc/30/61/81/539306181.db2.gz CAUNUASCEVDWRK-RYUDHWBXSA-N 1 2 310.785 1.604 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)N[C@@H]2CCC[C@@H]2C#N)C1 ZINC000333147465 529788584 /nfs/dbraw/zinc/78/85/84/529788584.db2.gz LKSAEZAQWASEQI-OWCLPIDISA-N 1 2 320.437 1.431 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)N[C@@H]2CCC[C@@H]2C#N)C1 ZINC000333147465 529788585 /nfs/dbraw/zinc/78/85/85/529788585.db2.gz LKSAEZAQWASEQI-OWCLPIDISA-N 1 2 320.437 1.431 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000615547564 362332684 /nfs/dbraw/zinc/33/26/84/362332684.db2.gz PKXQJPYIENYARV-DOMZBBRYSA-N 1 2 303.341 1.307 20 30 DDEDLO C=CCC1(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)CCOCC1 ZINC000615557187 362337820 /nfs/dbraw/zinc/33/78/20/362337820.db2.gz GJWXEXFIBWFJFL-MRXNPFEDSA-N 1 2 322.449 1.683 20 30 DDEDLO CN1CCC[C@H](NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1=O ZINC000330012466 530060081 /nfs/dbraw/zinc/06/00/81/530060081.db2.gz KPWPHSJRAKVCFL-KBPBESRZSA-N 1 2 309.410 1.207 20 30 DDEDLO CN1CCC[C@H](NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1=O ZINC000330012466 530060082 /nfs/dbraw/zinc/06/00/82/530060082.db2.gz KPWPHSJRAKVCFL-KBPBESRZSA-N 1 2 309.410 1.207 20 30 DDEDLO Cc1nnc(CN2CC[NH+](Cc3ccc(C#N)c(F)c3)CC2)[nH]1 ZINC000616767960 362811387 /nfs/dbraw/zinc/81/13/87/362811387.db2.gz NWTMXXPIRXYVCL-UHFFFAOYSA-N 1 2 314.368 1.442 20 30 DDEDLO C[C@H](Nc1ccc(C#N)cc1[N+](=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000264256992 186330104 /nfs/dbraw/zinc/33/01/04/186330104.db2.gz LPZOPRZBTHPQOK-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO CC[NH+]1CCC(NC(=O)c2cc(C#N)c(SC)[nH]c2=O)CC1 ZINC000031896763 352273278 /nfs/dbraw/zinc/27/32/78/352273278.db2.gz PKVRTMLFPVDSSK-UHFFFAOYSA-N 1 2 320.418 1.595 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+](CC(=O)N2CCC2)CC1 ZINC000029860468 352246572 /nfs/dbraw/zinc/24/65/72/352246572.db2.gz DSXQISXEJMRIAF-UHFFFAOYSA-N 1 2 302.353 1.052 20 30 DDEDLO CCCCOCCNC(=O)CO[NH+]=C(N)c1ccc(OC)cc1 ZINC000055046521 352713544 /nfs/dbraw/zinc/71/35/44/352713544.db2.gz HONJBEXZTCIMID-UHFFFAOYSA-N 1 2 323.393 1.265 20 30 DDEDLO C=CCOCCCC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000173165132 198191425 /nfs/dbraw/zinc/19/14/25/198191425.db2.gz DKSCFRFEBSEUKC-UHFFFAOYSA-N 1 2 303.406 1.709 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(Cc2cscn2)CC1 ZINC000065953128 352971724 /nfs/dbraw/zinc/97/17/24/352971724.db2.gz BWOHHRYFIAZQSR-UHFFFAOYSA-N 1 2 320.462 1.461 20 30 DDEDLO N#CCNC(=O)C[NH+]1CCC(C(=O)c2ccc(Cl)cc2)CC1 ZINC000066496419 353000906 /nfs/dbraw/zinc/00/09/06/353000906.db2.gz KCWMXEHIIZOFKY-UHFFFAOYSA-N 1 2 319.792 1.874 20 30 DDEDLO N#Cc1ccccc1N1CC[NH+](CC(=O)NCC2CCC2)CC1 ZINC000066497529 353001265 /nfs/dbraw/zinc/00/12/65/353001265.db2.gz UFRFFUJYCDZTSQ-UHFFFAOYSA-N 1 2 312.417 1.597 20 30 DDEDLO CCn1cc(C[N@H+](C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cn1 ZINC000072983120 353229963 /nfs/dbraw/zinc/22/99/63/353229963.db2.gz BKEJIEIIDPLOFM-CJNGLKHVSA-N 1 2 305.426 1.778 20 30 DDEDLO CCn1cc(C[N@@H+](C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cn1 ZINC000072983120 353229967 /nfs/dbraw/zinc/22/99/67/353229967.db2.gz BKEJIEIIDPLOFM-CJNGLKHVSA-N 1 2 305.426 1.778 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N[C@H](C)c1cc(OC)ccc1OC ZINC000174528860 198348172 /nfs/dbraw/zinc/34/81/72/198348172.db2.gz FOJNDPYJMLYURT-CQSZACIVSA-N 1 2 314.385 1.449 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@@H+](C)CC(=O)NCC ZINC000077377393 353466080 /nfs/dbraw/zinc/46/60/80/353466080.db2.gz BNSPNHFNHMMAFV-ZDUSSCGKSA-N 1 2 323.462 1.719 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@H+](C)CC(=O)NCC ZINC000077377393 353466085 /nfs/dbraw/zinc/46/60/85/353466085.db2.gz BNSPNHFNHMMAFV-ZDUSSCGKSA-N 1 2 323.462 1.719 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@@H+](C)CC(=O)NCC ZINC000077377394 353466265 /nfs/dbraw/zinc/46/62/65/353466265.db2.gz BNSPNHFNHMMAFV-CYBMUJFWSA-N 1 2 323.462 1.719 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@H+](C)CC(=O)NCC ZINC000077377394 353466266 /nfs/dbraw/zinc/46/62/66/353466266.db2.gz BNSPNHFNHMMAFV-CYBMUJFWSA-N 1 2 323.462 1.719 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cnc4c(c3)CCCC4)C[C@@H]21 ZINC000329452857 283013436 /nfs/dbraw/zinc/01/34/36/283013436.db2.gz MGVRSVOAAPMXGD-JKSUJKDBSA-N 1 2 316.405 1.521 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cnc4c(c3)CCCC4)C[C@@H]21 ZINC000329452857 283013437 /nfs/dbraw/zinc/01/34/37/283013437.db2.gz MGVRSVOAAPMXGD-JKSUJKDBSA-N 1 2 316.405 1.521 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)CC1(C#N)CCCC1 ZINC000578202663 354705399 /nfs/dbraw/zinc/70/53/99/354705399.db2.gz KJDSKULLEUMYMG-UHFFFAOYSA-N 1 2 309.391 1.594 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000578965374 354713695 /nfs/dbraw/zinc/71/36/95/354713695.db2.gz ZMTUUHJNXMLFDL-ZBFHGGJFSA-N 1 2 314.389 1.987 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc(OCC(F)F)ccn1 ZINC000578992760 354714466 /nfs/dbraw/zinc/71/44/66/354714466.db2.gz QWQPQVKIEOEIQM-AWEZNQCLSA-N 1 2 312.320 1.299 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccccc1CC#N ZINC000601306213 358488624 /nfs/dbraw/zinc/48/86/24/358488624.db2.gz CRTNSAJKWCMZOQ-LBPRGKRZSA-N 1 2 304.375 1.316 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000579375906 354718948 /nfs/dbraw/zinc/71/89/48/354718948.db2.gz AMTNPUKHGSWJLR-UHFFFAOYSA-N 1 2 314.389 1.813 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cccc(C(F)(F)F)n1 ZINC000579598742 354720894 /nfs/dbraw/zinc/72/08/94/354720894.db2.gz PHJQIGBSVFGIRN-GFCCVEGCSA-N 1 2 300.284 1.674 20 30 DDEDLO COC(=O)[C@@H](NCc1c[nH+]c2ccc(C#N)cn12)C(C)(C)C ZINC000585767202 354838796 /nfs/dbraw/zinc/83/87/96/354838796.db2.gz OFHLXFOAPWFCNK-CQSZACIVSA-N 1 2 300.362 1.883 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)o1 ZINC000589255304 354979060 /nfs/dbraw/zinc/97/90/60/354979060.db2.gz RAOIETUXTKTADG-NSHDSACASA-N 1 2 312.377 1.664 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)o1 ZINC000589255304 354979062 /nfs/dbraw/zinc/97/90/62/354979062.db2.gz RAOIETUXTKTADG-NSHDSACASA-N 1 2 312.377 1.664 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1cccc(C#N)n1)[NH+]1CCOCC1 ZINC000589268857 354980623 /nfs/dbraw/zinc/98/06/23/354980623.db2.gz VSWAGHBTDWOVBI-OAHLLOKOSA-N 1 2 302.378 1.040 20 30 DDEDLO COC(=O)c1cc(C(C)(C)[NH2+]Cc2ccnc(C#N)c2)no1 ZINC000589278665 354981338 /nfs/dbraw/zinc/98/13/38/354981338.db2.gz YHSRGRRFIQYACW-UHFFFAOYSA-N 1 2 300.318 1.753 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)c1cccc(CC#N)c1 ZINC000590064291 355046258 /nfs/dbraw/zinc/04/62/58/355046258.db2.gz IRSWSEXQTQDTBD-UHFFFAOYSA-N 1 2 317.370 1.635 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(n2cc(C(=O)OCC)cn2)CC1 ZINC000590747428 355162375 /nfs/dbraw/zinc/16/23/75/355162375.db2.gz UYDJGHNTDLGRRJ-AWEZNQCLSA-N 1 2 321.377 1.424 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@H](c2cnn(C)c2)C2(C1)CCOCC2 ZINC000590755281 355166886 /nfs/dbraw/zinc/16/68/86/355166886.db2.gz AOQWTLNHXOFGIO-HUUCEWRRSA-N 1 2 319.405 1.344 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@H](c2cnn(C)c2)C2(C1)CCOCC2 ZINC000590755281 355166889 /nfs/dbraw/zinc/16/68/89/355166889.db2.gz AOQWTLNHXOFGIO-HUUCEWRRSA-N 1 2 319.405 1.344 20 30 DDEDLO N#Cc1ccc(-c2nnc(CN3CCc4[nH+]c[nH]c4C3)o2)cc1 ZINC000590601199 355126827 /nfs/dbraw/zinc/12/68/27/355126827.db2.gz RNUWMEHKIFCNSA-UHFFFAOYSA-N 1 2 306.329 1.890 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000590702265 355152381 /nfs/dbraw/zinc/15/23/81/355152381.db2.gz DZUAJRVVVXXOGY-CQSZACIVSA-N 1 2 302.378 1.483 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@@H+]1Cc1ccc(C#N)cc1Cl ZINC000591647773 355351218 /nfs/dbraw/zinc/35/12/18/355351218.db2.gz WQTLXUDSEHYZED-INIZCTEOSA-N 1 2 321.808 1.939 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@H+]1Cc1ccc(C#N)cc1Cl ZINC000591647773 355351223 /nfs/dbraw/zinc/35/12/23/355351223.db2.gz WQTLXUDSEHYZED-INIZCTEOSA-N 1 2 321.808 1.939 20 30 DDEDLO COc1ccc2ncc(C#N)c(NCC[NH+]3CCOCC3)c2c1 ZINC000591889345 355411973 /nfs/dbraw/zinc/41/19/73/355411973.db2.gz NCLYLQJMKOGVKL-UHFFFAOYSA-N 1 2 312.373 1.281 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@@H+]2Cc3cccnc3C2)cc1 ZINC000591846188 355394754 /nfs/dbraw/zinc/39/47/54/355394754.db2.gz RNIIKGLIMMSLNT-INIZCTEOSA-N 1 2 309.369 1.903 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@H+]2Cc3cccnc3C2)cc1 ZINC000591846188 355394759 /nfs/dbraw/zinc/39/47/59/355394759.db2.gz RNIIKGLIMMSLNT-INIZCTEOSA-N 1 2 309.369 1.903 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)NCCCOC2CCCCC2)C1 ZINC000592151116 355517630 /nfs/dbraw/zinc/51/76/30/355517630.db2.gz MCRHJFDCHBEOOE-QGZVFWFLSA-N 1 2 323.437 1.192 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)NCCCOC2CCCCC2)C1 ZINC000592151116 355517633 /nfs/dbraw/zinc/51/76/33/355517633.db2.gz MCRHJFDCHBEOOE-QGZVFWFLSA-N 1 2 323.437 1.192 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2cc3c(cc2Cl)OCCO3)C1 ZINC000592154199 355519547 /nfs/dbraw/zinc/51/95/47/355519547.db2.gz RJPQCPGQXLSTBD-OAHLLOKOSA-N 1 2 308.765 1.962 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2cc3c(cc2Cl)OCCO3)C1 ZINC000592154199 355519550 /nfs/dbraw/zinc/51/95/50/355519550.db2.gz RJPQCPGQXLSTBD-OAHLLOKOSA-N 1 2 308.765 1.962 20 30 DDEDLO CN(C)[C@@H](C(=O)N1CCC(CCC#N)CC1)c1c[nH+]cn1C ZINC000592819617 355703592 /nfs/dbraw/zinc/70/35/92/355703592.db2.gz NKGUGJFIAVXFHS-OAHLLOKOSA-N 1 2 303.410 1.565 20 30 DDEDLO Cc1cccc2[nH+]c(CCNC(=O)[C@H](C)n3cnc(C#N)n3)cn21 ZINC000592746184 355673701 /nfs/dbraw/zinc/67/37/01/355673701.db2.gz PLEMUPWCIXWCSL-LBPRGKRZSA-N 1 2 323.360 1.026 20 30 DDEDLO CC(C)(C#N)c1ccc(S(=O)(=O)NCCn2cc[nH+]c2)cc1 ZINC000593525742 355914264 /nfs/dbraw/zinc/91/42/64/355914264.db2.gz YONILPSFBSJIGV-UHFFFAOYSA-N 1 2 318.402 1.663 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccsc2C#N)C[C@@H]1C ZINC000593567913 355928776 /nfs/dbraw/zinc/92/87/76/355928776.db2.gz DUEGPLHHNYTSKU-WDEREUQCSA-N 1 2 313.448 1.723 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccsc2C#N)C[C@@H]1C ZINC000593567913 355928782 /nfs/dbraw/zinc/92/87/82/355928782.db2.gz DUEGPLHHNYTSKU-WDEREUQCSA-N 1 2 313.448 1.723 20 30 DDEDLO Cn1cnnc1N1CC[NH+](Cc2ccc(C#N)cc2F)CC1 ZINC000594026084 356092872 /nfs/dbraw/zinc/09/28/72/356092872.db2.gz CHYVVACRLLDUKT-UHFFFAOYSA-N 1 2 300.341 1.148 20 30 DDEDLO Cc1noc(C[N@H+](C)C[C@H](O)COc2ccc(C#N)cc2)n1 ZINC000594052896 356100541 /nfs/dbraw/zinc/10/05/41/356100541.db2.gz IWFCZLYGLNFIJS-ZDUSSCGKSA-N 1 2 302.334 1.121 20 30 DDEDLO Cc1noc(C[N@@H+](C)C[C@H](O)COc2ccc(C#N)cc2)n1 ZINC000594052896 356100546 /nfs/dbraw/zinc/10/05/46/356100546.db2.gz IWFCZLYGLNFIJS-ZDUSSCGKSA-N 1 2 302.334 1.121 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCCc1c[nH+]ccc1C ZINC000594820033 356327365 /nfs/dbraw/zinc/32/73/65/356327365.db2.gz YRRLJFBDVFUTPO-GFCCVEGCSA-N 1 2 310.423 1.249 20 30 DDEDLO CC(C)(C#N)CCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000594902260 356351227 /nfs/dbraw/zinc/35/12/27/356351227.db2.gz UCCDWZPJFNZOND-UHFFFAOYSA-N 1 2 314.389 1.492 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@H+](C)CCc1ccc(C#N)cc1 ZINC000595349710 356459345 /nfs/dbraw/zinc/45/93/45/356459345.db2.gz DEHYLUQERYIKMS-MRXNPFEDSA-N 1 2 304.390 1.999 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@@H+](C)CCc1ccc(C#N)cc1 ZINC000595349710 356459347 /nfs/dbraw/zinc/45/93/47/356459347.db2.gz DEHYLUQERYIKMS-MRXNPFEDSA-N 1 2 304.390 1.999 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH2+]C(C)(C)c1nc(C)cc(=O)[nH]1 ZINC000595520105 356530686 /nfs/dbraw/zinc/53/06/86/356530686.db2.gz MSRAGRWYDXKQIG-LLVKDONJSA-N 1 2 319.409 1.324 20 30 DDEDLO Cn1cc([C@@H]([NH2+]Cc2nc(C#N)cs2)C2(CO)CCC2)cn1 ZINC000595496011 356517995 /nfs/dbraw/zinc/51/79/95/356517995.db2.gz XNIODOGJYKJGQL-CQSZACIVSA-N 1 2 317.418 1.742 20 30 DDEDLO CCOC(=O)[C@@](C)(O)C[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595629753 356584338 /nfs/dbraw/zinc/58/43/38/356584338.db2.gz KBMPFGNEXDVKJJ-HNNXBMFYSA-N 1 2 323.418 1.056 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)NCc1cccc(C#N)c1)c1cscn1 ZINC000595669558 356602218 /nfs/dbraw/zinc/60/22/18/356602218.db2.gz WRXLWHJRHKJPLQ-LLVKDONJSA-N 1 2 300.387 1.982 20 30 DDEDLO CC(C)(C)OC(=O)CN1CC[C@@H]([N@@H+]2CC[C@](C)(C#N)C2)C1=O ZINC000595841154 356673218 /nfs/dbraw/zinc/67/32/18/356673218.db2.gz IWMDFBGNNJVZOO-MLGOLLRUSA-N 1 2 307.394 1.165 20 30 DDEDLO CC(C)(C)OC(=O)CN1CC[C@@H]([N@H+]2CC[C@](C)(C#N)C2)C1=O ZINC000595841154 356673221 /nfs/dbraw/zinc/67/32/21/356673221.db2.gz IWMDFBGNNJVZOO-MLGOLLRUSA-N 1 2 307.394 1.165 20 30 DDEDLO N#C[C@@H]1CC[C@H]([N@@H+]2CCc3sc(S(N)(=O)=O)cc3C2)C1 ZINC000595884746 356690926 /nfs/dbraw/zinc/69/09/26/356690926.db2.gz LDEGSNCJAXRYAB-KOLCDFICSA-N 1 2 311.432 1.446 20 30 DDEDLO N#C[C@@H]1CC[C@H]([N@H+]2CCc3sc(S(N)(=O)=O)cc3C2)C1 ZINC000595884746 356690927 /nfs/dbraw/zinc/69/09/27/356690927.db2.gz LDEGSNCJAXRYAB-KOLCDFICSA-N 1 2 311.432 1.446 20 30 DDEDLO Cc1ccc(C#N)nc1NC[C@@H](C(C)C)N1CC[NH+](C)CC1 ZINC000596070939 356762041 /nfs/dbraw/zinc/76/20/41/356762041.db2.gz VMEKPOJHDOFZNC-INIZCTEOSA-N 1 2 301.438 1.946 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2c(C#N)cccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596426870 356875284 /nfs/dbraw/zinc/87/52/84/356875284.db2.gz CKLNCVMXBGHNFY-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2c(C#N)cccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596426870 356875288 /nfs/dbraw/zinc/87/52/88/356875288.db2.gz CKLNCVMXBGHNFY-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO N#CC[C@@H]1CCCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)C1 ZINC000596327719 356858301 /nfs/dbraw/zinc/85/83/01/356858301.db2.gz ADDQRTZROIVRCW-LSDHHAIUSA-N 1 2 315.421 1.958 20 30 DDEDLO N#CC[C@@H]1CCCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC000596327719 356858305 /nfs/dbraw/zinc/85/83/05/356858305.db2.gz ADDQRTZROIVRCW-LSDHHAIUSA-N 1 2 315.421 1.958 20 30 DDEDLO N#CC1(CNC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CCCCC1 ZINC000596859401 356998054 /nfs/dbraw/zinc/99/80/54/356998054.db2.gz LWIXRMCOTBIYDK-HNNXBMFYSA-N 1 2 320.437 1.577 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)[C@H](C)CO1 ZINC000596911660 357013549 /nfs/dbraw/zinc/01/35/49/357013549.db2.gz WBKNBYIPWFPPHG-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)[C@H](C)CO1 ZINC000596911660 357013553 /nfs/dbraw/zinc/01/35/53/357013553.db2.gz WBKNBYIPWFPPHG-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO N#Cc1csc(C[NH+]2CCN(c3ncc(F)cn3)CC2)c1 ZINC000332408170 283247656 /nfs/dbraw/zinc/24/76/56/283247656.db2.gz DJTFUKDYLALYTJ-UHFFFAOYSA-N 1 2 303.366 1.871 20 30 DDEDLO C[C@@H](CC#N)C(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000598506797 357609012 /nfs/dbraw/zinc/60/90/12/357609012.db2.gz QZBURVJKNDDSTM-DOTOQJQBSA-N 1 2 314.433 1.469 20 30 DDEDLO C[C@@H](CC#N)C(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000598506797 357609015 /nfs/dbraw/zinc/60/90/15/357609015.db2.gz QZBURVJKNDDSTM-DOTOQJQBSA-N 1 2 314.433 1.469 20 30 DDEDLO Cn1cc(NC(=O)N2CC[C@H]3OCC[N@H+](C)[C@@H]3C2)c(C2CC2)n1 ZINC000329634466 223006320 /nfs/dbraw/zinc/00/63/20/223006320.db2.gz TWMHLOIBQHSQDJ-ZIAGYGMSSA-N 1 2 319.409 1.248 20 30 DDEDLO Cn1cc(NC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@@H]3C2)c(C2CC2)n1 ZINC000329634466 223006324 /nfs/dbraw/zinc/00/63/24/223006324.db2.gz TWMHLOIBQHSQDJ-ZIAGYGMSSA-N 1 2 319.409 1.248 20 30 DDEDLO C[C@]1(C(=O)NCC(=O)NCC[NH+]2CCOCC2)CCCOC1 ZINC000329717437 223017560 /nfs/dbraw/zinc/01/75/60/223017560.db2.gz XOOMSYAGFIJEDP-HNNXBMFYSA-N 1 2 313.398 1.048 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)N[C@@H]3CC[C@H](F)C3)CC2)cn1 ZINC000329712363 223017921 /nfs/dbraw/zinc/01/79/21/223017921.db2.gz PYFUGNPHDANGLT-UONOGXRCSA-N 1 2 309.389 1.342 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1c(C#N)cnc2ccc(Cl)cc21 ZINC000599160051 357832835 /nfs/dbraw/zinc/83/28/35/357832835.db2.gz CQCLZFVAMAHRFS-CYBMUJFWSA-N 1 2 316.792 1.924 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1c(C#N)cnc2ccc(Cl)cc21 ZINC000599160051 357832838 /nfs/dbraw/zinc/83/28/38/357832838.db2.gz CQCLZFVAMAHRFS-CYBMUJFWSA-N 1 2 316.792 1.924 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(C(=O)[C@H]3CCCO3)CC2)cc1C#N ZINC000599260868 357866428 /nfs/dbraw/zinc/86/64/28/357866428.db2.gz LIBBXFULWZYBQE-QGZVFWFLSA-N 1 2 313.401 1.690 20 30 DDEDLO CCS(=O)(=O)NCC[N@@H+]1CCc2c(CC#N)cccc2C1 ZINC000599679427 358022026 /nfs/dbraw/zinc/02/20/26/358022026.db2.gz RUWFQPIHLADFGD-UHFFFAOYSA-N 1 2 307.419 1.050 20 30 DDEDLO CCS(=O)(=O)NCC[N@H+]1CCc2c(CC#N)cccc2C1 ZINC000599679427 358022028 /nfs/dbraw/zinc/02/20/28/358022028.db2.gz RUWFQPIHLADFGD-UHFFFAOYSA-N 1 2 307.419 1.050 20 30 DDEDLO C=CCN(Cc1cccc2[nH+]ccn21)[C@H]1CCS(=O)(=O)C1 ZINC000179819348 199083999 /nfs/dbraw/zinc/08/39/99/199083999.db2.gz QCKXTTWTEIUSAT-AWEZNQCLSA-N 1 2 305.403 1.509 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc([N+](=O)[O-])ccc2OC)nn1 ZINC000600972806 358364518 /nfs/dbraw/zinc/36/45/18/358364518.db2.gz FPBDTPZFJRSXAP-UHFFFAOYSA-N 1 2 315.333 1.356 20 30 DDEDLO N#Cc1cccc(N2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)CC2)n1 ZINC000602136376 358838313 /nfs/dbraw/zinc/83/83/13/358838313.db2.gz PXWOWABIROGMLT-ZIAGYGMSSA-N 1 2 322.372 1.129 20 30 DDEDLO N#Cc1cccc(N2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)CC2)n1 ZINC000602136376 358838315 /nfs/dbraw/zinc/83/83/15/358838315.db2.gz PXWOWABIROGMLT-ZIAGYGMSSA-N 1 2 322.372 1.129 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)nc1 ZINC000602152144 358850131 /nfs/dbraw/zinc/85/01/31/358850131.db2.gz GBGYSBCMCLZYFS-MGPQQGTHSA-N 1 2 323.356 1.460 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)nc1 ZINC000602152144 358850136 /nfs/dbraw/zinc/85/01/36/358850136.db2.gz GBGYSBCMCLZYFS-MGPQQGTHSA-N 1 2 323.356 1.460 20 30 DDEDLO CC[N@@H+]1CCOC[C@@H]1C(=O)N(C)[C@H](C)c1cccc(C#N)c1 ZINC000602350020 358942703 /nfs/dbraw/zinc/94/27/03/358942703.db2.gz YBKCIMAPHPJDQL-CZUORRHYSA-N 1 2 301.390 1.798 20 30 DDEDLO CC[N@H+]1CCOC[C@@H]1C(=O)N(C)[C@H](C)c1cccc(C#N)c1 ZINC000602350020 358942708 /nfs/dbraw/zinc/94/27/08/358942708.db2.gz YBKCIMAPHPJDQL-CZUORRHYSA-N 1 2 301.390 1.798 20 30 DDEDLO CC1(C#N)CC[NH+](Cc2ccc(S(N)(=O)=O)cc2F)CC1 ZINC000602607702 359070472 /nfs/dbraw/zinc/07/04/72/359070472.db2.gz STCKHDILUCLBAU-UHFFFAOYSA-N 1 2 311.382 1.599 20 30 DDEDLO CCOC(=O)c1ccc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)nc1 ZINC000602849233 359236516 /nfs/dbraw/zinc/23/65/16/359236516.db2.gz KIBQTYZVVZSYHL-OKILXGFUSA-N 1 2 316.405 1.676 20 30 DDEDLO CCOC(=O)c1ccc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)nc1 ZINC000602849233 359236519 /nfs/dbraw/zinc/23/65/19/359236519.db2.gz KIBQTYZVVZSYHL-OKILXGFUSA-N 1 2 316.405 1.676 20 30 DDEDLO C[C@H]1CN(Cc2[nH+]ccn2CC(F)(F)F)C[C@H](C)N1CC#N ZINC000602854853 359241555 /nfs/dbraw/zinc/24/15/55/359241555.db2.gz FKDRAEMYMCJYIM-RYUDHWBXSA-N 1 2 315.343 1.864 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2cc(F)cc(F)c2)C[C@H](C)N1CC#N ZINC000602855806 359241943 /nfs/dbraw/zinc/24/19/43/359241943.db2.gz IVTPFSAGSMAKRR-TXEJJXNPSA-N 1 2 322.359 1.821 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2cc(F)cc(F)c2)C[C@H](C)N1CC#N ZINC000602855806 359241949 /nfs/dbraw/zinc/24/19/49/359241949.db2.gz IVTPFSAGSMAKRR-TXEJJXNPSA-N 1 2 322.359 1.821 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@H](C)C(=O)N(C)C[C@@H]1COc2ccccc2O1 ZINC000602860358 359245739 /nfs/dbraw/zinc/24/57/39/359245739.db2.gz CPXLFUZGWGCDOT-MGPQQGTHSA-N 1 2 317.389 1.565 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cc(=O)n3ccsc3n2)C[C@H](C)N1CC#N ZINC000602861139 359246095 /nfs/dbraw/zinc/24/60/95/359246095.db2.gz KQVYRTMIJJSUMV-TXEJJXNPSA-N 1 2 317.418 1.174 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cc(=O)n3ccsc3n2)C[C@H](C)N1CC#N ZINC000602861139 359246098 /nfs/dbraw/zinc/24/60/98/359246098.db2.gz KQVYRTMIJJSUMV-TXEJJXNPSA-N 1 2 317.418 1.174 20 30 DDEDLO COc1ccc(CCNC(=O)[C@H](C)[NH2+][C@@H](C)CC#N)cc1OC ZINC000602863608 359248854 /nfs/dbraw/zinc/24/88/54/359248854.db2.gz OTBFFJGJFXCPCJ-STQMWFEESA-N 1 2 319.405 1.643 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)CC#N)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000602867808 359251409 /nfs/dbraw/zinc/25/14/09/359251409.db2.gz DZBWJAMIRFTBIP-QWHCGFSZSA-N 1 2 317.389 1.397 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@@H](OC)C[N@@H+]1Cc1ccc(C#N)cc1OC ZINC000602934708 359304554 /nfs/dbraw/zinc/30/45/54/359304554.db2.gz HVGSCIXURMGYIF-RHSMWYFYSA-N 1 2 318.373 1.719 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@@H](OC)C[N@H+]1Cc1ccc(C#N)cc1OC ZINC000602934708 359304559 /nfs/dbraw/zinc/30/45/59/359304559.db2.gz HVGSCIXURMGYIF-RHSMWYFYSA-N 1 2 318.373 1.719 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@@H+]2CCC[C@H]2c2ncccn2)cc1 ZINC000602897560 359279243 /nfs/dbraw/zinc/27/92/43/359279243.db2.gz KVFRXYCINLROND-RDJZCZTQSA-N 1 2 324.384 1.925 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCC[C@H]2c2ncccn2)cc1 ZINC000602897560 359279244 /nfs/dbraw/zinc/27/92/44/359279244.db2.gz KVFRXYCINLROND-RDJZCZTQSA-N 1 2 324.384 1.925 20 30 DDEDLO C[C@@H](NC(=O)Nc1cc(F)cc(C#N)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000603079534 359390904 /nfs/dbraw/zinc/39/09/04/359390904.db2.gz WAMXAXSTRDBZMC-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO N#Cc1ccc(F)cc1Cn1cc(C[NH+]2CCSCC2)nn1 ZINC000603219125 359490645 /nfs/dbraw/zinc/49/06/45/359490645.db2.gz WDXFMIBISLMIAL-UHFFFAOYSA-N 1 2 317.393 1.886 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000189333035 200383427 /nfs/dbraw/zinc/38/34/27/200383427.db2.gz VQICRDRLVIATET-CHWSQXEVSA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000189333035 200383428 /nfs/dbraw/zinc/38/34/28/200383428.db2.gz VQICRDRLVIATET-CHWSQXEVSA-N 1 2 311.426 1.618 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCCO1)[N@@H+]1CCO[C@]2(CCO[C@H]2C)C1 ZINC000329922128 223047530 /nfs/dbraw/zinc/04/75/30/223047530.db2.gz SYLSTFNWURCNEI-ORIJERBGSA-N 1 2 312.410 1.390 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCCO1)[N@H+]1CCO[C@]2(CCO[C@H]2C)C1 ZINC000329922128 223047531 /nfs/dbraw/zinc/04/75/31/223047531.db2.gz SYLSTFNWURCNEI-ORIJERBGSA-N 1 2 312.410 1.390 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC[C@@H](c3ccncn3)C2)cc1 ZINC000609671881 360342798 /nfs/dbraw/zinc/34/27/98/360342798.db2.gz YBGZRBKCHFNPTK-WBVHZDCISA-N 1 2 321.384 1.754 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC[C@@H](c3ccncn3)C2)cc1 ZINC000609671881 360342804 /nfs/dbraw/zinc/34/28/04/360342804.db2.gz YBGZRBKCHFNPTK-WBVHZDCISA-N 1 2 321.384 1.754 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@H](C)C(=O)N2CCC(C)CC2)CC1 ZINC000609486857 360313710 /nfs/dbraw/zinc/31/37/10/360313710.db2.gz SKKUJFUKYYTNCC-SJORKVTESA-N 1 2 320.481 1.799 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609537998 360321876 /nfs/dbraw/zinc/32/18/76/360321876.db2.gz GXXSMRJLBPRAHG-IAGOWNOFSA-N 1 2 320.481 1.965 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@@H]2CCCN(C(C)C)C2=O)CC1 ZINC000610504501 360455383 /nfs/dbraw/zinc/45/53/83/360455383.db2.gz PPJWQKJDCOTSGZ-CVEARBPZSA-N 1 2 306.454 1.552 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+]([C@H]3CCC[C@@H]3O)CC2)s1 ZINC000610521416 360459712 /nfs/dbraw/zinc/45/97/12/360459712.db2.gz LLHLTAZFXBWCAP-STQMWFEESA-N 1 2 305.403 1.291 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)[C@H]1CCO[C@@H]1c1cccnc1 ZINC000330058526 223069586 /nfs/dbraw/zinc/06/95/86/223069586.db2.gz ZCPDXBPNVSLAFP-CWRNSKLLSA-N 1 2 319.405 1.836 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)[C@H]1CCO[C@@H]1c1cccnc1 ZINC000330058526 223069590 /nfs/dbraw/zinc/06/95/90/223069590.db2.gz ZCPDXBPNVSLAFP-CWRNSKLLSA-N 1 2 319.405 1.836 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1n[nH]c2ccccc21 ZINC000610931060 360574287 /nfs/dbraw/zinc/57/42/87/360574287.db2.gz UENRQURIXNDFPE-UHFFFAOYSA-N 1 2 313.405 1.611 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1[nH]nc2ccccc21 ZINC000610931060 360574292 /nfs/dbraw/zinc/57/42/92/360574292.db2.gz UENRQURIXNDFPE-UHFFFAOYSA-N 1 2 313.405 1.611 20 30 DDEDLO C[C@@H]1CCC[C@@H](NC(=O)C[NH+]2CCN(CCC#N)CC2)[C@@H]1C ZINC000611173785 360646624 /nfs/dbraw/zinc/64/66/24/360646624.db2.gz KQGSANVQGLFGFU-BZUAXINKSA-N 1 2 306.454 1.459 20 30 DDEDLO C[C@H]1CC(=O)NCC[N@@H+]1Cc1ccc(C#N)cc1OC(F)F ZINC000611177495 360650671 /nfs/dbraw/zinc/65/06/71/360650671.db2.gz XWKDFCDFICIHMZ-JTQLQIEISA-N 1 2 309.316 1.870 20 30 DDEDLO C[C@H]1CC(=O)NCC[N@H+]1Cc1ccc(C#N)cc1OC(F)F ZINC000611177495 360650675 /nfs/dbraw/zinc/65/06/75/360650675.db2.gz XWKDFCDFICIHMZ-JTQLQIEISA-N 1 2 309.316 1.870 20 30 DDEDLO Cc1[nH+]c[nH]c1CNC(=O)CSCC(=O)N1CCCCC1 ZINC000330083244 223073139 /nfs/dbraw/zinc/07/31/39/223073139.db2.gz HJFRUMHVPCACGO-UHFFFAOYSA-N 1 2 310.423 1.920 20 30 DDEDLO CCCNC(=O)C[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000611551772 360756547 /nfs/dbraw/zinc/75/65/47/360756547.db2.gz TWNMBFBKKNSTNO-MRXNPFEDSA-N 1 2 318.396 1.534 20 30 DDEDLO Cc1nnsc1CNC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000330266727 223096173 /nfs/dbraw/zinc/09/61/73/223096173.db2.gz QBHACZIGNKRVRZ-SNVBAGLBSA-N 1 2 306.395 1.484 20 30 DDEDLO CN(C)S(=O)(=O)c1cccc(C[NH2+][C@@H]2CCC[C@H]2C#N)c1 ZINC000330857055 223149222 /nfs/dbraw/zinc/14/92/22/223149222.db2.gz SRNVQITVSZISEZ-DZGCQCFKSA-N 1 2 307.419 1.719 20 30 DDEDLO Cc1ccc(NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)cc1[N+](=O)[O-] ZINC000330701330 223137816 /nfs/dbraw/zinc/13/78/16/223137816.db2.gz GXEVTKHRPATBNK-CYBMUJFWSA-N 1 2 321.381 1.475 20 30 DDEDLO Cc1ccc(NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)cc1[N+](=O)[O-] ZINC000330701330 223137820 /nfs/dbraw/zinc/13/78/20/223137820.db2.gz GXEVTKHRPATBNK-CYBMUJFWSA-N 1 2 321.381 1.475 20 30 DDEDLO N#CCCOCC[NH+]1CCN(C(=O)NC2CCCCC2)CC1 ZINC000339022348 223265586 /nfs/dbraw/zinc/26/55/86/223265586.db2.gz NYTLRKCFPQCDMZ-UHFFFAOYSA-N 1 2 308.426 1.577 20 30 DDEDLO CC(C)(C)c1ccc(C#N)c(NCCC[N@@H+]2CCNC(=O)C2)n1 ZINC000613428146 361417002 /nfs/dbraw/zinc/41/70/02/361417002.db2.gz UPWULPQCFZLZBG-UHFFFAOYSA-N 1 2 315.421 1.485 20 30 DDEDLO CC(C)(C)c1ccc(C#N)c(NCCC[N@H+]2CCNC(=O)C2)n1 ZINC000613428146 361417005 /nfs/dbraw/zinc/41/70/05/361417005.db2.gz UPWULPQCFZLZBG-UHFFFAOYSA-N 1 2 315.421 1.485 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000292918296 223308420 /nfs/dbraw/zinc/30/84/20/223308420.db2.gz HZCAAYYZSDFPJE-MRXNPFEDSA-N 1 2 315.352 1.618 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000292918296 223308422 /nfs/dbraw/zinc/30/84/22/223308422.db2.gz HZCAAYYZSDFPJE-MRXNPFEDSA-N 1 2 315.352 1.618 20 30 DDEDLO COc1cccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)n1 ZINC000264917311 204267230 /nfs/dbraw/zinc/26/72/30/204267230.db2.gz PXBQPOWBEZALNE-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@@H+]2CCC[C@H](CO)C2)C1=O ZINC000267563303 206147307 /nfs/dbraw/zinc/14/73/07/206147307.db2.gz HUAGYGHEWUYLRN-AAEUAGOBSA-N 1 2 305.403 1.429 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@H+]2CCC[C@H](CO)C2)C1=O ZINC000267563303 206147313 /nfs/dbraw/zinc/14/73/13/206147313.db2.gz HUAGYGHEWUYLRN-AAEUAGOBSA-N 1 2 305.403 1.429 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC000333507753 249005775 /nfs/dbraw/zinc/00/57/75/249005775.db2.gz DMVDEZGBJMESST-INIZCTEOSA-N 1 2 304.415 1.959 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC000333507753 249005778 /nfs/dbraw/zinc/00/57/78/249005778.db2.gz DMVDEZGBJMESST-INIZCTEOSA-N 1 2 304.415 1.959 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(-n2cc[nH+]c2)c1)n1cnc(C#N)n1 ZINC000336936069 249293463 /nfs/dbraw/zinc/29/34/63/249293463.db2.gz FTLFHJOKUODLAJ-NSHDSACASA-N 1 2 307.317 1.535 20 30 DDEDLO C[C@H]1CN(S(=O)(=O)c2ccc(C#N)c(F)c2)C[C@H](C)[NH+]1C ZINC000339071265 250279763 /nfs/dbraw/zinc/27/97/63/250279763.db2.gz CMCIXWBHPYXHNF-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO COC(=O)C1([N@H+](C)CC(=O)N(CCC#N)CCC#N)CCCC1 ZINC000159317415 197345307 /nfs/dbraw/zinc/34/53/07/197345307.db2.gz NKEPPYKZWCXOPP-UHFFFAOYSA-N 1 2 320.393 1.060 20 30 DDEDLO COC(=O)C1([N@@H+](C)CC(=O)N(CCC#N)CCC#N)CCCC1 ZINC000159317415 197345309 /nfs/dbraw/zinc/34/53/09/197345309.db2.gz NKEPPYKZWCXOPP-UHFFFAOYSA-N 1 2 320.393 1.060 20 30 DDEDLO N#Cc1cscc1C(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000340328862 251010645 /nfs/dbraw/zinc/01/06/45/251010645.db2.gz JTIYIERLEMNQDX-UHFFFAOYSA-N 1 2 301.375 1.301 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[NH+](CCc2cccs2)CC1 ZINC000268900358 207069391 /nfs/dbraw/zinc/06/93/91/207069391.db2.gz WOOHWYMSAPRPHY-UHFFFAOYSA-N 1 2 314.476 1.814 20 30 DDEDLO COc1cc(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)cc(OC)c1 ZINC000329923002 532962086 /nfs/dbraw/zinc/96/20/86/532962086.db2.gz IGWITKKRYKQNSG-GJZGRUSLSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1cc(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)cc(OC)c1 ZINC000329923002 532962091 /nfs/dbraw/zinc/96/20/91/532962091.db2.gz IGWITKKRYKQNSG-GJZGRUSLSA-N 1 2 321.377 1.264 20 30 DDEDLO CS(=O)(=O)CC[N@H+](C[C@H](O)c1ccc(C#N)cc1)C1CC1 ZINC000156781988 197140428 /nfs/dbraw/zinc/14/04/28/197140428.db2.gz LAIPYAMOIUNMKX-HNNXBMFYSA-N 1 2 308.403 1.101 20 30 DDEDLO CS(=O)(=O)CC[N@@H+](C[C@H](O)c1ccc(C#N)cc1)C1CC1 ZINC000156781988 197140430 /nfs/dbraw/zinc/14/04/30/197140430.db2.gz LAIPYAMOIUNMKX-HNNXBMFYSA-N 1 2 308.403 1.101 20 30 DDEDLO COC(=O)C[C@H]1C[N@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000156651928 197129756 /nfs/dbraw/zinc/12/97/56/197129756.db2.gz YRGDYVRWQFVKHZ-INIZCTEOSA-N 1 2 318.373 1.591 20 30 DDEDLO COC(=O)C[C@H]1C[N@@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000156651928 197129757 /nfs/dbraw/zinc/12/97/57/197129757.db2.gz YRGDYVRWQFVKHZ-INIZCTEOSA-N 1 2 318.373 1.591 20 30 DDEDLO C#CCCCCNC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000154987039 197010735 /nfs/dbraw/zinc/01/07/35/197010735.db2.gz ZLRMOLNXSWAKQI-UHFFFAOYSA-N 1 2 300.406 1.712 20 30 DDEDLO COc1ccc([N+](=O)[O-])cc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000414145625 533228842 /nfs/dbraw/zinc/22/88/42/533228842.db2.gz PLWFUQOSTGYXDB-LBPRGKRZSA-N 1 2 309.322 1.189 20 30 DDEDLO COc1ccc([N+](=O)[O-])cc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414145625 533228845 /nfs/dbraw/zinc/22/88/45/533228845.db2.gz PLWFUQOSTGYXDB-LBPRGKRZSA-N 1 2 309.322 1.189 20 30 DDEDLO C[C@H]1C[C@H](NS(=O)(=O)c2ccc(C#N)cc2F)c2[nH+]ccn21 ZINC000569071668 304333780 /nfs/dbraw/zinc/33/37/80/304333780.db2.gz HIAAAUHZOGJGLM-CABZTGNLSA-N 1 2 320.349 1.878 20 30 DDEDLO CCO[C@@H]1C[C@H](NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)C12CCC2 ZINC000329618765 286313189 /nfs/dbraw/zinc/31/31/89/286313189.db2.gz GGBOMSVGDNUSKS-LJISPDSOSA-N 1 2 323.437 1.263 20 30 DDEDLO CCO[C@@H]1C[C@H](NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)C12CCC2 ZINC000329618765 286313191 /nfs/dbraw/zinc/31/31/91/286313191.db2.gz GGBOMSVGDNUSKS-LJISPDSOSA-N 1 2 323.437 1.263 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2CC[C@H](C)C2)CC1 ZINC000128776112 407568792 /nfs/dbraw/zinc/56/87/92/407568792.db2.gz AJUWWBBXGQRWJE-JKSUJKDBSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2CC[C@H](C)C2)CC1 ZINC000128776112 407568797 /nfs/dbraw/zinc/56/87/97/407568797.db2.gz AJUWWBBXGQRWJE-JKSUJKDBSA-N 1 2 319.449 1.096 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[NH+](C(C)C)CC1 ZINC000070432009 406815267 /nfs/dbraw/zinc/81/52/67/406815267.db2.gz MNCLMVWHUPGQOJ-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO COCCC[N@H+](Cc1ccccc1C#N)[C@@H]1CCS(=O)(=O)C1 ZINC000071119120 406831426 /nfs/dbraw/zinc/83/14/26/406831426.db2.gz CDMFSMLGTPCSDF-MRXNPFEDSA-N 1 2 322.430 1.584 20 30 DDEDLO COCCC[N@@H+](Cc1ccccc1C#N)[C@@H]1CCS(=O)(=O)C1 ZINC000071119120 406831429 /nfs/dbraw/zinc/83/14/29/406831429.db2.gz CDMFSMLGTPCSDF-MRXNPFEDSA-N 1 2 322.430 1.584 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000078269227 407022940 /nfs/dbraw/zinc/02/29/40/407022940.db2.gz HXQZDYRXNLOBBC-WBVHZDCISA-N 1 2 312.417 1.560 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCc1cccc([N+](=O)[O-])c1C ZINC000078670553 407039921 /nfs/dbraw/zinc/03/99/21/407039921.db2.gz JMFIIWZTDQWDOU-UHFFFAOYSA-N 1 2 308.338 1.607 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cccnc1Cl ZINC000081158141 407075062 /nfs/dbraw/zinc/07/50/62/407075062.db2.gz PIHRFTPJPONBBD-UHFFFAOYSA-N 1 2 308.813 1.783 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2ccc(C#N)cc2[N+](=O)[O-])CC1 ZINC000052129275 407147737 /nfs/dbraw/zinc/14/77/37/407147737.db2.gz SGGDQBFMYURTBZ-UHFFFAOYSA-N 1 2 317.349 1.042 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)Nc1ccccc1SCC(N)=O ZINC000052869232 407159510 /nfs/dbraw/zinc/15/95/10/407159510.db2.gz DDIDCSFPIXGJHX-UHFFFAOYSA-N 1 2 319.430 1.877 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCCN(CC(F)F)CC2)c1 ZINC000091084825 407177336 /nfs/dbraw/zinc/17/73/36/407177336.db2.gz ZJNWBRUEGZXUNC-UHFFFAOYSA-N 1 2 322.359 1.770 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCCN(CC(F)F)CC2)c1 ZINC000091084825 407177338 /nfs/dbraw/zinc/17/73/38/407177338.db2.gz ZJNWBRUEGZXUNC-UHFFFAOYSA-N 1 2 322.359 1.770 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](O)C[N@@H+]1Cc1ccc(N(C)CCC#N)cc1 ZINC000093441471 407198943 /nfs/dbraw/zinc/19/89/43/407198943.db2.gz OCPSYWOSZLYMQP-HOTGVXAUSA-N 1 2 317.389 1.145 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](O)C[N@H+]1Cc1ccc(N(C)CCC#N)cc1 ZINC000093441471 407198944 /nfs/dbraw/zinc/19/89/44/407198944.db2.gz OCPSYWOSZLYMQP-HOTGVXAUSA-N 1 2 317.389 1.145 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1cccc(C#N)c1 ZINC000060634169 407225923 /nfs/dbraw/zinc/22/59/23/407225923.db2.gz KBGKNKNFVIVNQQ-UHFFFAOYSA-N 1 2 312.373 1.246 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[NH+]1CCC(CO)CC1 ZINC000103467159 407339786 /nfs/dbraw/zinc/33/97/86/407339786.db2.gz RDWOUEBQIJYAGO-UHFFFAOYSA-N 1 2 319.430 1.945 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(N(C)C)n(C(C)C)c2=S)CC1 ZINC000103457659 407339889 /nfs/dbraw/zinc/33/98/89/407339889.db2.gz NYICUVKVSZGGFS-UHFFFAOYSA-N 1 2 322.482 1.269 20 30 DDEDLO C[N@@H+](CCCn1cc[nH+]c1)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000124326063 407354526 /nfs/dbraw/zinc/35/45/26/407354526.db2.gz MCFNEESSXROANN-MRXNPFEDSA-N 1 2 314.389 1.517 20 30 DDEDLO C[C@]1(O)CCC[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000124752520 407368439 /nfs/dbraw/zinc/36/84/39/407368439.db2.gz YUYAROYVONCDCG-HNNXBMFYSA-N 1 2 307.781 1.997 20 30 DDEDLO C[C@]1(O)CCC[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000124752520 407368440 /nfs/dbraw/zinc/36/84/40/407368440.db2.gz YUYAROYVONCDCG-HNNXBMFYSA-N 1 2 307.781 1.997 20 30 DDEDLO CCc1nnc(NC[C@@H]2C[N@@H+](C3CC3)CCO2)c(C#N)c1CC ZINC000125856296 407399751 /nfs/dbraw/zinc/39/97/51/407399751.db2.gz LTNVMFWKWLVAFK-CYBMUJFWSA-N 1 2 315.421 1.748 20 30 DDEDLO CCc1nnc(NC[C@@H]2C[N@H+](C3CC3)CCO2)c(C#N)c1CC ZINC000125856296 407399752 /nfs/dbraw/zinc/39/97/52/407399752.db2.gz LTNVMFWKWLVAFK-CYBMUJFWSA-N 1 2 315.421 1.748 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2cccnn2)CC1 ZINC000170650032 407464350 /nfs/dbraw/zinc/46/43/50/407464350.db2.gz QAKVGRDGZRQNBZ-UHFFFAOYSA-N 1 2 303.410 1.023 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CC[NH+](C/C=C\c2ccccc2)CC1 ZINC000255514592 407473421 /nfs/dbraw/zinc/47/34/21/407473421.db2.gz QWYWXFZYSOJDBC-CGQZWFTASA-N 1 2 311.429 1.455 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(C/C=C\c2ccccc2)CC1 ZINC000255514592 407473429 /nfs/dbraw/zinc/47/34/29/407473429.db2.gz QWYWXFZYSOJDBC-CGQZWFTASA-N 1 2 311.429 1.455 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000178605563 407506585 /nfs/dbraw/zinc/50/65/85/407506585.db2.gz NXBGGEZRZSCBKR-INIZCTEOSA-N 1 2 319.430 1.558 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000178605563 407506589 /nfs/dbraw/zinc/50/65/89/407506589.db2.gz NXBGGEZRZSCBKR-INIZCTEOSA-N 1 2 319.430 1.558 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@H+](C)CCOc1ccccc1C#N ZINC000113001431 407458610 /nfs/dbraw/zinc/45/86/10/407458610.db2.gz FFQZYUNVGUVOAP-ZDUSSCGKSA-N 1 2 310.419 1.692 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@@H+](C)CCOc1ccccc1C#N ZINC000113001431 407458613 /nfs/dbraw/zinc/45/86/13/407458613.db2.gz FFQZYUNVGUVOAP-ZDUSSCGKSA-N 1 2 310.419 1.692 20 30 DDEDLO CC1(C)C[N@H+](CCNc2ccc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000170640496 407460155 /nfs/dbraw/zinc/46/01/55/407460155.db2.gz WYLVKMHBTXIQGH-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO CC1(C)C[N@@H+](CCNc2ccc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000170640496 407460159 /nfs/dbraw/zinc/46/01/59/407460159.db2.gz WYLVKMHBTXIQGH-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCC(=O)NCCC(C)(C)C)c1 ZINC000151897416 407521896 /nfs/dbraw/zinc/52/18/96/407521896.db2.gz ZFRUUZPDIOQMOE-UHFFFAOYSA-N 1 2 307.394 1.885 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(Cc2csc(CC)n2)CC1 ZINC000128471349 407537366 /nfs/dbraw/zinc/53/73/66/407537366.db2.gz GRFHOJIEOKQOOI-ZDUSSCGKSA-N 1 2 322.478 1.514 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cc(C#N)ccc2C)CC1 ZINC000114738070 407611373 /nfs/dbraw/zinc/61/13/73/407611373.db2.gz JBNHSNMEDKNNGP-UHFFFAOYSA-N 1 2 317.414 1.196 20 30 DDEDLO N#Cc1ccccc1OCC(=O)NCCCNc1cccc[nH+]1 ZINC000115160138 407657558 /nfs/dbraw/zinc/65/75/58/407657558.db2.gz LIWNZEDANZACCT-UHFFFAOYSA-N 1 2 310.357 1.950 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC[C@H](n3cccn3)C2)cc1 ZINC000115540590 407688625 /nfs/dbraw/zinc/68/86/25/407688625.db2.gz MHOGAXDXBBJIRU-HOTGVXAUSA-N 1 2 309.373 1.618 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC[C@H](n3cccn3)C2)cc1 ZINC000115540590 407688632 /nfs/dbraw/zinc/68/86/32/407688632.db2.gz MHOGAXDXBBJIRU-HOTGVXAUSA-N 1 2 309.373 1.618 20 30 DDEDLO CN1CC[C@H]([NH+]2CCN(c3ccc(C#N)cc3F)CC2)C1=O ZINC000116275607 407737112 /nfs/dbraw/zinc/73/71/12/407737112.db2.gz QAPWBQBVCJFWQQ-HNNXBMFYSA-N 1 2 302.353 1.050 20 30 DDEDLO N#Cc1ccsc1C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000174479879 407949984 /nfs/dbraw/zinc/94/99/84/407949984.db2.gz AQDUIQPPPLREMZ-ZDUSSCGKSA-N 1 2 305.403 1.557 20 30 DDEDLO CC(C)CO[C@H](C)C(N)=[NH+]OCC(=O)Nc1cccc(C#N)c1 ZINC000174221385 407921935 /nfs/dbraw/zinc/92/19/35/407921935.db2.gz ZQFBCJPOCOWHFA-GFCCVEGCSA-N 1 2 318.377 1.847 20 30 DDEDLO C=CCC(CC=C)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000268178483 407932844 /nfs/dbraw/zinc/93/28/44/407932844.db2.gz KOENGXPEDVNQAD-UHFFFAOYSA-N 1 2 318.421 1.922 20 30 DDEDLO CC(C)(CNc1nccc(C#N)c1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000268451896 408049573 /nfs/dbraw/zinc/04/95/73/408049573.db2.gz FZOATBRUFLTSMR-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(c2cnn(C)c2)CC1 ZINC000174919037 408006684 /nfs/dbraw/zinc/00/66/84/408006684.db2.gz YTDMUNNXJSAQAN-OAHLLOKOSA-N 1 2 319.453 1.355 20 30 DDEDLO C=CCOc1cccc(C[N@H+]2CCN(CCOC)C(=O)C2)c1 ZINC000181576397 408015223 /nfs/dbraw/zinc/01/52/23/408015223.db2.gz FKRLKIXASXPDPS-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO C=CCOc1cccc(C[N@@H+]2CCN(CCOC)C(=O)C2)c1 ZINC000181576397 408015226 /nfs/dbraw/zinc/01/52/26/408015226.db2.gz FKRLKIXASXPDPS-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO C=C(C)CNC(=O)CCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000154341161 408019891 /nfs/dbraw/zinc/01/98/91/408019891.db2.gz WAOYXUPQBVRZME-UHFFFAOYSA-N 1 2 316.405 1.203 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)NCc1cccnc1-n1cc[nH+]c1 ZINC000175201092 408077585 /nfs/dbraw/zinc/07/75/85/408077585.db2.gz VOYDIOZGFUCQSY-UHFFFAOYSA-N 1 2 306.329 1.407 20 30 DDEDLO CC(C)(C)c1n[nH]cc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000154744197 408080019 /nfs/dbraw/zinc/08/00/19/408080019.db2.gz CYJYGIWLAYSUHS-UHFFFAOYSA-N 1 2 319.453 1.755 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000175227231 408083809 /nfs/dbraw/zinc/08/38/09/408083809.db2.gz UURVSFSNQQTUPA-MRXNPFEDSA-N 1 2 321.465 1.888 20 30 DDEDLO CC#CCC[NH+]1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000155038182 408117955 /nfs/dbraw/zinc/11/79/55/408117955.db2.gz HIAXBMWOCBUIEP-UHFFFAOYSA-N 1 2 306.431 1.547 20 30 DDEDLO CCc1ccc([C@@H](C)NC(=O)[C@@H](C)O[NH+]=C(N)CCO)cc1 ZINC000121359201 408193179 /nfs/dbraw/zinc/19/31/79/408193179.db2.gz OSVSPULLRYNREW-VXGBXAGGSA-N 1 2 307.394 1.486 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](C)[C@H](C)[C@@H](C)S(C)(=O)=O ZINC000120968260 408151256 /nfs/dbraw/zinc/15/12/56/408151256.db2.gz CDYOFICSMYDMFV-VXGBXAGGSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](C)[C@H](C)[C@@H](C)S(C)(=O)=O ZINC000120968260 408151260 /nfs/dbraw/zinc/15/12/60/408151260.db2.gz CDYOFICSMYDMFV-VXGBXAGGSA-N 1 2 310.419 1.820 20 30 DDEDLO Cc1ccnc(N2CCN(C3=[NH+]C[C@H](C)S3)CC2)c1C#N ZINC000273372302 408171599 /nfs/dbraw/zinc/17/15/99/408171599.db2.gz RVCPVZDFJPVZNU-LBPRGKRZSA-N 1 2 301.419 1.875 20 30 DDEDLO CCOc1ccc(-c2noc(CO[NH+]=C(N)CCO)n2)cc1 ZINC000121326092 408187652 /nfs/dbraw/zinc/18/76/52/408187652.db2.gz HLTGETLSWWBLEE-UHFFFAOYSA-N 1 2 306.322 1.307 20 30 DDEDLO C[C@@H](c1ccc(Cl)cc1)N(C)C(=O)CO[NH+]=C(N)CCO ZINC000121363736 408192228 /nfs/dbraw/zinc/19/22/28/408192228.db2.gz HIQMCAWWEGVPLD-JTQLQIEISA-N 1 2 313.785 1.531 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1noc2c1CCCC2 ZINC000176264665 408326630 /nfs/dbraw/zinc/32/66/30/408326630.db2.gz WDZZDEXTWBGOEF-UHFFFAOYSA-N 1 2 318.421 1.601 20 30 DDEDLO CC[N@H+](Cc1cc(C#N)ccc1OC)[C@H](C)CS(C)(=O)=O ZINC000151302713 408291845 /nfs/dbraw/zinc/29/18/45/408291845.db2.gz RUIWDHXDYGTOSP-GFCCVEGCSA-N 1 2 310.419 1.822 20 30 DDEDLO CC[N@@H+](Cc1cc(C#N)ccc1OC)[C@H](C)CS(C)(=O)=O ZINC000151302713 408291853 /nfs/dbraw/zinc/29/18/53/408291853.db2.gz RUIWDHXDYGTOSP-GFCCVEGCSA-N 1 2 310.419 1.822 20 30 DDEDLO C[C@@H]([NH2+][C@H](C)c1nncn1C)C(=O)NC1(C#N)CCCCC1 ZINC000273987727 408299397 /nfs/dbraw/zinc/29/93/97/408299397.db2.gz JJYCUHNCNFXWSW-VXGBXAGGSA-N 1 2 304.398 1.197 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCCCn1c(C)[nH+]c2ccccc21 ZINC000183000185 408304036 /nfs/dbraw/zinc/30/40/36/408304036.db2.gz MFBUBJADMYRZEN-AWEZNQCLSA-N 1 2 316.405 1.971 20 30 DDEDLO COCc1noc(CO[NH+]=C(N)Cc2ccccc2Cl)n1 ZINC000183172991 408342451 /nfs/dbraw/zinc/34/24/51/408342451.db2.gz BZJIPBPTGYYMRZ-UHFFFAOYSA-N 1 2 310.741 1.901 20 30 DDEDLO CS(=O)(=O)CCC[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000264050018 408354315 /nfs/dbraw/zinc/35/43/15/408354315.db2.gz HSYKIMXODUSQIQ-UHFFFAOYSA-N 1 2 321.446 1.111 20 30 DDEDLO C#CC[N@@H+](CCCS(=O)(=O)c1ccccc1OC)C1CC1 ZINC000274294442 408356202 /nfs/dbraw/zinc/35/62/02/408356202.db2.gz HMTDZIJOXDSQAX-UHFFFAOYSA-N 1 2 307.415 1.957 20 30 DDEDLO C#CC[N@H+](CCCS(=O)(=O)c1ccccc1OC)C1CC1 ZINC000274294442 408356204 /nfs/dbraw/zinc/35/62/04/408356204.db2.gz HMTDZIJOXDSQAX-UHFFFAOYSA-N 1 2 307.415 1.957 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)Nc1ccc(C#N)cc1)c1nnc2ccccn21 ZINC000172610719 162287771 /nfs/dbraw/zinc/28/77/71/162287771.db2.gz IYALXVUKZPXBNC-GFCCVEGCSA-N 1 2 320.356 1.890 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1CC[C@@H](CN2CCOCC2)C1 ZINC000160626981 408505127 /nfs/dbraw/zinc/50/51/27/408505127.db2.gz BSSFVSAHVSOSIF-AWEZNQCLSA-N 1 2 303.381 1.851 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1CC[C@@H](CN2CCOCC2)C1 ZINC000160626981 408505132 /nfs/dbraw/zinc/50/51/32/408505132.db2.gz BSSFVSAHVSOSIF-AWEZNQCLSA-N 1 2 303.381 1.851 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CCC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000160831297 408529539 /nfs/dbraw/zinc/52/95/39/408529539.db2.gz GSWWOOUJQNYSGH-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000160831297 408529543 /nfs/dbraw/zinc/52/95/43/408529543.db2.gz GSWWOOUJQNYSGH-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@@H](COC)c1ccc(CC)o1 ZINC000184838215 408701531 /nfs/dbraw/zinc/70/15/31/408701531.db2.gz SZICZLIDZYJWQK-YPMHNXCESA-N 1 2 323.393 1.519 20 30 DDEDLO CC#CCCCC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000185283786 408791208 /nfs/dbraw/zinc/79/12/08/408791208.db2.gz SOILFFPVAVAXNT-INIZCTEOSA-N 1 2 310.463 1.504 20 30 DDEDLO C#CCC[NH+]1CCN([C@H](C)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000276122496 408822029 /nfs/dbraw/zinc/82/20/29/408822029.db2.gz ZAJTZDPJGFMTOV-OAHLLOKOSA-N 1 2 320.458 1.792 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)Nc2ccccc2)C1=O ZINC000281405831 408885296 /nfs/dbraw/zinc/88/52/96/408885296.db2.gz QVWSLZBWMFGOMI-ZFWWWQNUSA-N 1 2 301.390 1.732 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)Nc2ccccc2)C1=O ZINC000281405831 408885299 /nfs/dbraw/zinc/88/52/99/408885299.db2.gz QVWSLZBWMFGOMI-ZFWWWQNUSA-N 1 2 301.390 1.732 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C(=O)OC)c(C)n2C)C1=O ZINC000291060720 408857551 /nfs/dbraw/zinc/85/75/51/408857551.db2.gz PDZTWFZHXJDDTD-OAHLLOKOSA-N 1 2 319.405 1.339 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C(=O)OC)c(C)n2C)C1=O ZINC000291060720 408857552 /nfs/dbraw/zinc/85/75/52/408857552.db2.gz PDZTWFZHXJDDTD-OAHLLOKOSA-N 1 2 319.405 1.339 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)Cc2cn3ccccc3[nH+]2)C1=O ZINC000281414731 408885454 /nfs/dbraw/zinc/88/54/54/408885454.db2.gz PTMAGRIMAANJAB-CQSZACIVSA-N 1 2 312.373 1.122 20 30 DDEDLO COC(=O)[C@@H](O)C1CC[NH+](Cc2ccc(Cl)cc2C#N)CC1 ZINC000187308686 163013225 /nfs/dbraw/zinc/01/32/25/163013225.db2.gz DMNCYHRNQBDAAX-HNNXBMFYSA-N 1 2 322.792 1.958 20 30 DDEDLO COCCN1CCC[N@H+](CCOc2ccccc2C#N)CC1=O ZINC000190805260 163159634 /nfs/dbraw/zinc/15/96/34/163159634.db2.gz IKJBKYWJJKCOMQ-UHFFFAOYSA-N 1 2 317.389 1.118 20 30 DDEDLO COCCN1CCC[N@@H+](CCOc2ccccc2C#N)CC1=O ZINC000190805260 163159636 /nfs/dbraw/zinc/15/96/36/163159636.db2.gz IKJBKYWJJKCOMQ-UHFFFAOYSA-N 1 2 317.389 1.118 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000286966322 409002284 /nfs/dbraw/zinc/00/22/84/409002284.db2.gz CJJKBPYMWRWJCY-INIZCTEOSA-N 1 2 315.417 1.552 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)C[C@](C)(O)C(F)(F)F)c1 ZINC000281899008 408950274 /nfs/dbraw/zinc/95/02/74/408950274.db2.gz FMJFYBJVNBYGQQ-AWEZNQCLSA-N 1 2 314.307 1.852 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)C[C@](C)(O)C(F)(F)F)c1 ZINC000281899008 408950275 /nfs/dbraw/zinc/95/02/75/408950275.db2.gz FMJFYBJVNBYGQQ-AWEZNQCLSA-N 1 2 314.307 1.852 20 30 DDEDLO C#CCC(CC#C)C(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000277489496 408950917 /nfs/dbraw/zinc/95/09/17/408950917.db2.gz RCOHWOPWMAUFLS-LJQANCHMSA-N 1 2 324.424 1.839 20 30 DDEDLO CCc1cc(NCCn2cnc(C#N)n2)nc(-c2ccncc2)[nH+]1 ZINC000286496273 408989731 /nfs/dbraw/zinc/98/97/31/408989731.db2.gz WCZMVCYDVHFNNP-UHFFFAOYSA-N 1 2 320.360 1.676 20 30 DDEDLO C#CC[C@@H](Cc1ccccc1)NC(=O)C(C)(C)[NH+]1CCOCC1 ZINC000287208739 409005956 /nfs/dbraw/zinc/00/59/56/409005956.db2.gz IHFJVCBGKDHKIZ-KRWDZBQOSA-N 1 2 314.429 1.848 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CC[N@H+](Cc2cn3ccccc3c2C#N)C1 ZINC000292253093 409010559 /nfs/dbraw/zinc/01/05/59/409010559.db2.gz OZJUTZXYGIFMRV-CYBMUJFWSA-N 1 2 317.414 1.677 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CC[N@@H+](Cc2cn3ccccc3c2C#N)C1 ZINC000292253093 409010560 /nfs/dbraw/zinc/01/05/60/409010560.db2.gz OZJUTZXYGIFMRV-CYBMUJFWSA-N 1 2 317.414 1.677 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC[N@@H+](CCC(=O)OC)C[C@@H]1C ZINC000293530463 409131839 /nfs/dbraw/zinc/13/18/39/409131839.db2.gz RFOKCHPWEGUYGI-NSHDSACASA-N 1 2 304.337 1.294 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC[N@H+](CCC(=O)OC)C[C@@H]1C ZINC000293530463 409131844 /nfs/dbraw/zinc/13/18/44/409131844.db2.gz RFOKCHPWEGUYGI-NSHDSACASA-N 1 2 304.337 1.294 20 30 DDEDLO CCCCCCCCCNC(=O)C(=O)NCc1[nH+]ccn1C ZINC000283317125 409135746 /nfs/dbraw/zinc/13/57/46/409135746.db2.gz BSPGTYIBYBGLRF-UHFFFAOYSA-N 1 2 308.426 1.903 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1Cc2ccccc2C[C@@H]1c1nnc(C)o1 ZINC000293549370 409136810 /nfs/dbraw/zinc/13/68/10/409136810.db2.gz RDBKVUILJQJFBI-MRXNPFEDSA-N 1 2 324.384 1.617 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1Cc2ccccc2C[C@@H]1c1nnc(C)o1 ZINC000293549370 409136814 /nfs/dbraw/zinc/13/68/14/409136814.db2.gz RDBKVUILJQJFBI-MRXNPFEDSA-N 1 2 324.384 1.617 20 30 DDEDLO C=CCCCNC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000279091447 409147741 /nfs/dbraw/zinc/14/77/41/409147741.db2.gz IFVLYWOTUGPGSG-UHFFFAOYSA-N 1 2 304.394 1.684 20 30 DDEDLO C[C@H]1Cc2ccccc2N1C(=O)CO[NH+]=C(N)[C@@H]1CCCO1 ZINC000283756518 409210069 /nfs/dbraw/zinc/21/00/69/409210069.db2.gz AKZLWIXJJHFSKP-FZMZJTMJSA-N 1 2 303.362 1.432 20 30 DDEDLO Cc1ccc([C@H](C)NC(=O)CO[NH+]=C(N)[C@H]2CCCO2)cc1C ZINC000283762005 409212350 /nfs/dbraw/zinc/21/23/50/409212350.db2.gz BBISMLQWHYINPW-DZGCQCFKSA-N 1 2 319.405 1.948 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)Nc1ccc(F)cc1F ZINC000283973619 409250103 /nfs/dbraw/zinc/25/01/03/409250103.db2.gz GMTJJUBUJRLKEW-UFBFGSQYSA-N 1 2 313.304 1.760 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCC[C@H](c3nc(=O)[nH][nH]3)C2)ccc1F ZINC000289457187 409276168 /nfs/dbraw/zinc/27/61/68/409276168.db2.gz PTUKUFYMBGNGAP-NSHDSACASA-N 1 2 301.325 1.488 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCC[C@H](c3nc(=O)[nH][nH]3)C2)ccc1F ZINC000289457187 409276170 /nfs/dbraw/zinc/27/61/70/409276170.db2.gz PTUKUFYMBGNGAP-NSHDSACASA-N 1 2 301.325 1.488 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[NH2+][C@@H](CO)C2CCCC2)cc1 ZINC000289460371 409276637 /nfs/dbraw/zinc/27/66/37/409276637.db2.gz GXECABVVMJEEEQ-RDJZCZTQSA-N 1 2 304.390 1.439 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000294667403 409296982 /nfs/dbraw/zinc/29/69/82/409296982.db2.gz ZRSQXZWDRWGGHO-AWEZNQCLSA-N 1 2 301.390 1.726 20 30 DDEDLO N#Cc1cc(S(=O)(=O)NCC[N@H+]2CC=CCC2)ccc1F ZINC000280351001 409297007 /nfs/dbraw/zinc/29/70/07/409297007.db2.gz WOAWWKVOLCRTAP-UHFFFAOYSA-N 1 2 309.366 1.238 20 30 DDEDLO N#Cc1cc(S(=O)(=O)NCC[N@@H+]2CC=CCC2)ccc1F ZINC000280351001 409297010 /nfs/dbraw/zinc/29/70/10/409297010.db2.gz WOAWWKVOLCRTAP-UHFFFAOYSA-N 1 2 309.366 1.238 20 30 DDEDLO CCC1CC[NH+](CCS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000290205459 409320677 /nfs/dbraw/zinc/32/06/77/409320677.db2.gz GZHAOLXZTHLHPS-UHFFFAOYSA-N 1 2 307.419 1.849 20 30 DDEDLO C[C@H]1OCC[C@]12C[N@H+](CC(=O)Nc1cccc(C#N)c1)CCO2 ZINC000280611816 409380281 /nfs/dbraw/zinc/38/02/81/409380281.db2.gz XSTRAAVNZHUDKX-DYVFJYSZSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H]1OCC[C@]12C[N@@H+](CC(=O)Nc1cccc(C#N)c1)CCO2 ZINC000280611816 409380285 /nfs/dbraw/zinc/38/02/85/409380285.db2.gz XSTRAAVNZHUDKX-DYVFJYSZSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)NCc1ccccc1F ZINC000284608362 409356642 /nfs/dbraw/zinc/35/66/42/409356642.db2.gz YVQZYMQIDUUGAB-GWCFXTLKSA-N 1 2 309.341 1.298 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ncccc3[N+](=O)[O-])CC2)o1 ZINC000296185946 409455800 /nfs/dbraw/zinc/45/58/00/409455800.db2.gz ZXDJMBGAEVCMRF-UHFFFAOYSA-N 1 2 313.317 1.777 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)nc2)C[C@H]1C ZINC000408043136 164207755 /nfs/dbraw/zinc/20/77/55/164207755.db2.gz VKEORPBVKWWROJ-VXGBXAGGSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)nc2)C[C@H]1C ZINC000408043136 164207757 /nfs/dbraw/zinc/20/77/57/164207757.db2.gz VKEORPBVKWWROJ-VXGBXAGGSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000285590602 409501397 /nfs/dbraw/zinc/50/13/97/409501397.db2.gz LMZRLWCOKHPDPK-HNNXBMFYSA-N 1 2 305.382 1.094 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000353932220 409568720 /nfs/dbraw/zinc/56/87/20/409568720.db2.gz KTIKATISZYHDTB-PBHICJAKSA-N 1 2 320.437 1.081 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNc2ncc(C#N)cc2Cl)C1 ZINC000353875170 409529542 /nfs/dbraw/zinc/52/95/42/409529542.db2.gz MMQGSGPQFVCKOC-NSHDSACASA-N 1 2 322.796 1.904 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNc2ncc(C#N)cc2Cl)C1 ZINC000353875170 409529547 /nfs/dbraw/zinc/52/95/47/409529547.db2.gz MMQGSGPQFVCKOC-NSHDSACASA-N 1 2 322.796 1.904 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@@H](C2CC2)[NH+](C)C)cc1C#N ZINC000342041251 409544649 /nfs/dbraw/zinc/54/46/49/409544649.db2.gz DRKBWYDZOJVEKA-LBPRGKRZSA-N 1 2 320.418 1.451 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)CCNC(=O)OC(C)(C)C ZINC000297424524 409914644 /nfs/dbraw/zinc/91/46/44/409914644.db2.gz MPSHOCCTUJUFTI-UHFFFAOYSA-N 1 2 313.442 1.868 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)CCNC(=O)OC(C)(C)C ZINC000297424524 409914648 /nfs/dbraw/zinc/91/46/48/409914648.db2.gz MPSHOCCTUJUFTI-UHFFFAOYSA-N 1 2 313.442 1.868 20 30 DDEDLO Cn1c(N2CC[NH+](CC(=O)NC3CC3)CC2)nnc1C(C)(C)C ZINC000328705332 409959731 /nfs/dbraw/zinc/95/97/31/409959731.db2.gz LDHRWMUPSKQKBS-UHFFFAOYSA-N 1 2 320.441 1.354 20 30 DDEDLO CCc1nc2n(n1)C[C@@H](NC(=O)CCc1c[nH+]cn1C)CC2 ZINC000328787599 409977909 /nfs/dbraw/zinc/97/79/09/409977909.db2.gz PAUWETMKJOQLAE-NSHDSACASA-N 1 2 302.382 1.478 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)NCc2ccoc2)C1 ZINC000328637495 409941353 /nfs/dbraw/zinc/94/13/53/409941353.db2.gz OQQVGQNZTFVFKU-CQSZACIVSA-N 1 2 315.377 1.690 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)NCc2ccoc2)C1 ZINC000328637495 409941359 /nfs/dbraw/zinc/94/13/59/409941359.db2.gz OQQVGQNZTFVFKU-CQSZACIVSA-N 1 2 315.377 1.690 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNC(=O)[C@@H]1COCCN1C ZINC000328937564 410015366 /nfs/dbraw/zinc/01/53/66/410015366.db2.gz GHOVEHCAAPKPLK-HNNXBMFYSA-N 1 2 302.378 1.632 20 30 DDEDLO O=C(C[N@@H+]1CCN2C(=O)CC[C@H]2C1)NC(=O)NC1CCCCC1 ZINC000328943784 410016305 /nfs/dbraw/zinc/01/63/05/410016305.db2.gz AMRJWWYIPQLUTC-ZDUSSCGKSA-N 1 2 322.409 1.496 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)CC[C@H]2C1)NC(=O)NC1CCCCC1 ZINC000328943784 410016310 /nfs/dbraw/zinc/01/63/10/410016310.db2.gz AMRJWWYIPQLUTC-ZDUSSCGKSA-N 1 2 322.409 1.496 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000297836206 410016825 /nfs/dbraw/zinc/01/68/25/410016825.db2.gz QXNBXHXKSOWQJI-UONOGXRCSA-N 1 2 304.394 1.327 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCCO1)[N@@H+]1CCO[C@]2(CCCOC2)C1 ZINC000329070168 410086669 /nfs/dbraw/zinc/08/66/69/410086669.db2.gz NCZNMGNBABCZDB-IJEWVQPXSA-N 1 2 312.410 1.392 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCCO1)[N@H+]1CCO[C@]2(CCCOC2)C1 ZINC000329070168 410086674 /nfs/dbraw/zinc/08/66/74/410086674.db2.gz NCZNMGNBABCZDB-IJEWVQPXSA-N 1 2 312.410 1.392 20 30 DDEDLO C[N@H+](CC(=O)Nc1cccc2nsnc21)[C@]1(CO)CCOC1 ZINC000329290036 410212951 /nfs/dbraw/zinc/21/29/51/410212951.db2.gz IESZARQRVSBIQH-AWEZNQCLSA-N 1 2 322.390 1.363 20 30 DDEDLO C[N@@H+](CC(=O)Nc1cccc2nsnc21)[C@]1(CO)CCOC1 ZINC000329290036 410212957 /nfs/dbraw/zinc/21/29/57/410212957.db2.gz IESZARQRVSBIQH-AWEZNQCLSA-N 1 2 322.390 1.363 20 30 DDEDLO C[N@H+](CC(=O)Nc1cccc2nsnc21)[C@@]1(CO)CCOC1 ZINC000329290039 410215305 /nfs/dbraw/zinc/21/53/05/410215305.db2.gz IESZARQRVSBIQH-CQSZACIVSA-N 1 2 322.390 1.363 20 30 DDEDLO C[N@@H+](CC(=O)Nc1cccc2nsnc21)[C@@]1(CO)CCOC1 ZINC000329290039 410215310 /nfs/dbraw/zinc/21/53/10/410215310.db2.gz IESZARQRVSBIQH-CQSZACIVSA-N 1 2 322.390 1.363 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NC[C@H]1CCCCO1 ZINC000329254540 410192314 /nfs/dbraw/zinc/19/23/14/410192314.db2.gz ZVJAXIKVGCRAIL-QLFBSQMISA-N 1 2 311.426 1.265 20 30 DDEDLO C[N@@H+]1CCc2nc(NC(=O)CN3CC(=O)NC3=O)sc2C1 ZINC000329255146 410193100 /nfs/dbraw/zinc/19/31/00/410193100.db2.gz ANIPQEIZRPPSBE-UHFFFAOYSA-N 1 2 309.351 1.111 20 30 DDEDLO C[N@H+]1CCc2nc(NC(=O)CN3CC(=O)NC3=O)sc2C1 ZINC000329255146 410193105 /nfs/dbraw/zinc/19/31/05/410193105.db2.gz ANIPQEIZRPPSBE-UHFFFAOYSA-N 1 2 309.351 1.111 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1)C(=O)N1CCCC1 ZINC000329268339 410204840 /nfs/dbraw/zinc/20/48/40/410204840.db2.gz DRSRETHWJZKMQI-ZFWWWQNUSA-N 1 2 323.437 1.597 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1)C(=O)N1CCCC1 ZINC000329268339 410204843 /nfs/dbraw/zinc/20/48/43/410204843.db2.gz DRSRETHWJZKMQI-ZFWWWQNUSA-N 1 2 323.437 1.597 20 30 DDEDLO O=C(NC[C@H]1CCCS(=O)(=O)C1)[C@@H]1CCC[N@@H+]1C1CC1 ZINC000329326592 410237535 /nfs/dbraw/zinc/23/75/35/410237535.db2.gz JJRRWLPELGWWLH-YPMHNXCESA-N 1 2 300.424 1.395 20 30 DDEDLO O=C(NC[C@H]1CCCS(=O)(=O)C1)[C@@H]1CCC[N@H+]1C1CC1 ZINC000329326592 410237543 /nfs/dbraw/zinc/23/75/43/410237543.db2.gz JJRRWLPELGWWLH-YPMHNXCESA-N 1 2 300.424 1.395 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cscc1C#N ZINC000351817974 410259648 /nfs/dbraw/zinc/25/96/48/410259648.db2.gz CWTPOPXEJCRIDM-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cscc1C#N ZINC000351817974 410259656 /nfs/dbraw/zinc/25/96/56/410259656.db2.gz CWTPOPXEJCRIDM-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@H](c2[nH+]ccn2C)C1)[C@@H]1CCCOC1 ZINC000329396848 410274851 /nfs/dbraw/zinc/27/48/51/410274851.db2.gz WEKLBZXWZFKFEW-MELADBBJSA-N 1 2 306.410 1.939 20 30 DDEDLO COc1cccc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)n1 ZINC000355127302 410328685 /nfs/dbraw/zinc/32/86/85/410328685.db2.gz OUPYJCNEDXUYMZ-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO Cn1nc(NC(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1C1CC1 ZINC000329545668 410354079 /nfs/dbraw/zinc/35/40/79/410354079.db2.gz ZQOQKUZAVNNBEQ-LLVKDONJSA-N 1 2 300.366 1.835 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)CNC(=O)C2CCCCC2)C1 ZINC000329445280 410303294 /nfs/dbraw/zinc/30/32/94/410303294.db2.gz LRIUFYQMHJNCJO-CQSZACIVSA-N 1 2 310.442 1.726 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)CNC(=O)C2CCCCC2)C1 ZINC000329445280 410303298 /nfs/dbraw/zinc/30/32/98/410303298.db2.gz LRIUFYQMHJNCJO-CQSZACIVSA-N 1 2 310.442 1.726 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C)[NH+]1CCCCCC1 ZINC000329634593 410395151 /nfs/dbraw/zinc/39/51/51/410395151.db2.gz XPJOFBPEHYPLSC-YNEHKIRRSA-N 1 2 302.440 1.641 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCCN(C(=O)C(C)(C)C#N)CC2)no1 ZINC000358214066 410408317 /nfs/dbraw/zinc/40/83/17/410408317.db2.gz VKFDVWYQHNDWDB-CYBMUJFWSA-N 1 2 319.409 1.741 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCCN(C(=O)C(C)(C)C#N)CC2)no1 ZINC000358214066 410408322 /nfs/dbraw/zinc/40/83/22/410408322.db2.gz VKFDVWYQHNDWDB-CYBMUJFWSA-N 1 2 319.409 1.741 20 30 DDEDLO CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2C([O-])=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000329751606 410430300 /nfs/dbraw/zinc/43/03/00/410430300.db2.gz VSLGCSVFESGIJE-OUAORHGVSA-N 1 2 316.405 1.536 20 30 DDEDLO CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2C([O-])=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000329751606 410430304 /nfs/dbraw/zinc/43/03/04/410430304.db2.gz VSLGCSVFESGIJE-OUAORHGVSA-N 1 2 316.405 1.536 20 30 DDEDLO C=C(C)COCCNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000355471485 410532951 /nfs/dbraw/zinc/53/29/51/410532951.db2.gz KHKURTZTSVVRBV-UHFFFAOYSA-N 1 2 318.417 1.841 20 30 DDEDLO [O-]C(N[C@@H]1CCn2cc[nH+]c2C1)=[NH+][C@@H]1CCCc2c[nH]nc21 ZINC000330198779 410581798 /nfs/dbraw/zinc/58/17/98/410581798.db2.gz UNOHKZLAIAMEJG-VXGBXAGGSA-N 1 2 300.366 1.502 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccccc2[S@](=O)CC)CC1 ZINC000299344438 410588547 /nfs/dbraw/zinc/58/85/47/410588547.db2.gz SDAXRCIBEJCGGD-JOCHJYFZSA-N 1 2 318.442 1.595 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3ncc(C#N)cc3Cl)CC2)cn1 ZINC000358920868 410540050 /nfs/dbraw/zinc/54/00/50/410540050.db2.gz NLXJQFLSVUXPJG-UHFFFAOYSA-N 1 2 316.796 1.662 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([NH+]3CCC(F)(C#N)CC3)C2=O)cc1 ZINC000352459405 410660121 /nfs/dbraw/zinc/66/01/21/410660121.db2.gz YBHXZTORXWHOMU-OAHLLOKOSA-N 1 2 312.348 1.991 20 30 DDEDLO COc1cc([C@@H](C)[NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)ccn1 ZINC000347668020 410666060 /nfs/dbraw/zinc/66/60/60/410666060.db2.gz HXBHRUNZKPOJGT-BDJLRTHQSA-N 1 2 310.357 1.839 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)Cc1c(F)cccc1C#N ZINC000352590673 410667994 /nfs/dbraw/zinc/66/79/94/410667994.db2.gz OSVPRZXJNKTHEN-LBPRGKRZSA-N 1 2 305.353 1.077 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)Cc1c(F)cccc1C#N ZINC000352590673 410667999 /nfs/dbraw/zinc/66/79/99/410667999.db2.gz OSVPRZXJNKTHEN-LBPRGKRZSA-N 1 2 305.353 1.077 20 30 DDEDLO CC(C)(C)N1C[C@H](NC(=O)N2CCn3cc[nH+]c3C2)CC1=O ZINC000330275432 410609356 /nfs/dbraw/zinc/60/93/56/410609356.db2.gz LMRPRKKKKCSCRS-LLVKDONJSA-N 1 2 305.382 1.012 20 30 DDEDLO N#CC1(F)CCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)CC1 ZINC000359347970 410615112 /nfs/dbraw/zinc/61/51/12/410615112.db2.gz JHCHRYKQPDHOSM-CQSZACIVSA-N 1 2 309.385 1.484 20 30 DDEDLO N#CC1(F)CCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)CC1 ZINC000359347970 410615118 /nfs/dbraw/zinc/61/51/18/410615118.db2.gz JHCHRYKQPDHOSM-CQSZACIVSA-N 1 2 309.385 1.484 20 30 DDEDLO CC#CCCNC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000355673338 410637004 /nfs/dbraw/zinc/63/70/04/410637004.db2.gz OBQFZSNFORBSIX-CQSZACIVSA-N 1 2 304.394 1.013 20 30 DDEDLO CC#CCCNC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000355673338 410637008 /nfs/dbraw/zinc/63/70/08/410637008.db2.gz OBQFZSNFORBSIX-CQSZACIVSA-N 1 2 304.394 1.013 20 30 DDEDLO N#Cc1c(F)cccc1-n1ccc(NC(=O)CCc2c[nH+]c[nH]2)n1 ZINC000355915489 410730044 /nfs/dbraw/zinc/73/00/44/410730044.db2.gz HOSPHXJLWNJZQP-UHFFFAOYSA-N 1 2 324.319 2.177 20 30 DDEDLO N#Cc1c(F)cccc1-n1ccc(NC(=O)CCc2c[nH]c[nH+]2)n1 ZINC000355915489 410730051 /nfs/dbraw/zinc/73/00/51/410730051.db2.gz HOSPHXJLWNJZQP-UHFFFAOYSA-N 1 2 324.319 2.177 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cccc(C#N)c1F ZINC000352868407 410685481 /nfs/dbraw/zinc/68/54/81/410685481.db2.gz LXMUAHVOGSIHMV-UHFFFAOYSA-N 1 2 316.380 1.745 20 30 DDEDLO Cn1c[nH+]cc1C[S@](=O)CC(=O)Nc1cccc(C#N)c1 ZINC000343849450 410745976 /nfs/dbraw/zinc/74/59/76/410745976.db2.gz YAHUDTKGDTXBDH-NRFANRHFSA-N 1 2 302.359 1.179 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[N@H+](Cc3ccccc3)CCO2)nn1 ZINC000301929052 410833511 /nfs/dbraw/zinc/83/35/11/410833511.db2.gz NRBBNAAIDXKYGN-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)nn1 ZINC000301929052 410833513 /nfs/dbraw/zinc/83/35/13/410833513.db2.gz NRBBNAAIDXKYGN-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO Cn1ccnc(N2CC[NH+](Cc3ccc(C#N)s3)CC2)c1=O ZINC000353833117 411134001 /nfs/dbraw/zinc/13/40/01/411134001.db2.gz YUEAXEMTMVVODD-UHFFFAOYSA-N 1 2 315.402 1.036 20 30 DDEDLO CN1CC[N@H+](CCC(=O)Nc2sccc2C#N)C(C)(C)C1=O ZINC000129758808 196074077 /nfs/dbraw/zinc/07/40/77/196074077.db2.gz ASHATVKEWKOKNH-UHFFFAOYSA-N 1 2 320.418 1.501 20 30 DDEDLO CN1CC[N@@H+](CCC(=O)Nc2sccc2C#N)C(C)(C)C1=O ZINC000129758808 196074079 /nfs/dbraw/zinc/07/40/79/196074079.db2.gz ASHATVKEWKOKNH-UHFFFAOYSA-N 1 2 320.418 1.501 20 30 DDEDLO CC#CC[C@H](CO)Nc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000645405475 423022341 /nfs/dbraw/zinc/02/23/41/423022341.db2.gz AQWJTYLCXVTJNH-KGLIPLIRSA-N 1 2 304.394 1.014 20 30 DDEDLO CC#CC[C@H](CO)Nc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000645405475 423022346 /nfs/dbraw/zinc/02/23/46/423022346.db2.gz AQWJTYLCXVTJNH-KGLIPLIRSA-N 1 2 304.394 1.014 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000366149901 418432926 /nfs/dbraw/zinc/43/29/26/418432926.db2.gz FSQDPBRUWULXNZ-IMJJTQAJSA-N 1 2 323.437 1.130 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000366149901 418432930 /nfs/dbraw/zinc/43/29/30/418432930.db2.gz FSQDPBRUWULXNZ-IMJJTQAJSA-N 1 2 323.437 1.130 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNC(=O)CCCC#N)cn1 ZINC000360715562 418499063 /nfs/dbraw/zinc/49/90/63/418499063.db2.gz NGUPFFCFHCWGHI-KBPBESRZSA-N 1 2 307.373 1.143 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNC(=O)CCCC#N)cn1 ZINC000360715562 418499065 /nfs/dbraw/zinc/49/90/65/418499065.db2.gz NGUPFFCFHCWGHI-KBPBESRZSA-N 1 2 307.373 1.143 20 30 DDEDLO COc1ccccc1[C@@H]1CN(C(=O)c2c[nH]c(C#N)c2)CC[NH2+]1 ZINC000374315688 418515941 /nfs/dbraw/zinc/51/59/41/418515941.db2.gz MLFUIAHVTAXSCV-HNNXBMFYSA-N 1 2 310.357 1.682 20 30 DDEDLO N#C[C@@H]1C[N@@H+](Cc2cccnc2)C[C@@]12c1ccccc1NC2=O ZINC000374351484 418521131 /nfs/dbraw/zinc/52/11/31/418521131.db2.gz MMTKWRUINBCYTN-KDOFPFPSSA-N 1 2 304.353 1.927 20 30 DDEDLO N#C[C@@H]1C[N@H+](Cc2cccnc2)C[C@@]12c1ccccc1NC2=O ZINC000374351484 418521133 /nfs/dbraw/zinc/52/11/33/418521133.db2.gz MMTKWRUINBCYTN-KDOFPFPSSA-N 1 2 304.353 1.927 20 30 DDEDLO CCCNC(=O)[C@H](C)[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000367260531 418576585 /nfs/dbraw/zinc/57/65/85/418576585.db2.gz PRDGFBRTIXNKCT-AWEZNQCLSA-N 1 2 300.406 1.853 20 30 DDEDLO C#CCCCC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000192099178 222120048 /nfs/dbraw/zinc/12/00/48/222120048.db2.gz IGSFIZHCGFGMKY-GOSISDBHSA-N 1 2 314.429 1.850 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1ccc(N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000192234468 222124232 /nfs/dbraw/zinc/12/42/32/222124232.db2.gz YDRGOEPVPLXHCI-HUUCEWRRSA-N 1 2 315.417 1.804 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1ccc(N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000192234468 222124236 /nfs/dbraw/zinc/12/42/36/222124236.db2.gz YDRGOEPVPLXHCI-HUUCEWRRSA-N 1 2 315.417 1.804 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+](C)[C@H]1CCCc2c1cnn2C ZINC000246274785 222221804 /nfs/dbraw/zinc/22/18/04/222221804.db2.gz MUEMXYRNMRRAHA-YOEHRIQHSA-N 1 2 317.437 1.784 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+](C)[C@H]1CCCc2c1cnn2C ZINC000246274785 222221807 /nfs/dbraw/zinc/22/18/07/222221807.db2.gz MUEMXYRNMRRAHA-YOEHRIQHSA-N 1 2 317.437 1.784 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC000367396796 418596580 /nfs/dbraw/zinc/59/65/80/418596580.db2.gz NDXFBORATXJRSU-UHFFFAOYSA-N 1 2 307.438 1.239 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC000367396796 418596583 /nfs/dbraw/zinc/59/65/83/418596583.db2.gz NDXFBORATXJRSU-UHFFFAOYSA-N 1 2 307.438 1.239 20 30 DDEDLO C[C@H]1CCN(S(=O)(=O)CCCCC#N)C[C@H]1n1cc[nH+]c1 ZINC000247733367 222231204 /nfs/dbraw/zinc/23/12/04/222231204.db2.gz VKLRMPXZGAFGFF-UONOGXRCSA-N 1 2 310.423 1.790 20 30 DDEDLO O=C(NC[C@H]1CCCn2cc[nH+]c21)N[C@H]1CC[C@H](CO)CC1 ZINC000328599877 418605809 /nfs/dbraw/zinc/60/58/09/418605809.db2.gz CONDELKQZVXTOS-MGPQQGTHSA-N 1 2 306.410 1.815 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOC[C@H]1[C@H]1CCCC1=O ZINC000375226697 418619340 /nfs/dbraw/zinc/61/93/40/418619340.db2.gz QQYVSAIBSHTYTL-CABCVRRESA-N 1 2 306.406 1.257 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOC[C@H]1[C@H]1CCCC1=O ZINC000375226697 418619342 /nfs/dbraw/zinc/61/93/42/418619342.db2.gz QQYVSAIBSHTYTL-CABCVRRESA-N 1 2 306.406 1.257 20 30 DDEDLO N#Cc1ccc(NCC(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)nc1 ZINC000345437110 418636340 /nfs/dbraw/zinc/63/63/40/418636340.db2.gz PLZVFDYSSRIIEK-ZDUSSCGKSA-N 1 2 310.361 1.842 20 30 DDEDLO COc1cc(C[N@@H+]2CCOC[C@@H]2[C@@H]2CCCO2)ccc1C#N ZINC000377703045 418713607 /nfs/dbraw/zinc/71/36/07/418713607.db2.gz QCBZXHIHMXDIOZ-CVEARBPZSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C[N@H+]2CCOC[C@@H]2[C@@H]2CCCO2)ccc1C#N ZINC000377703045 418713609 /nfs/dbraw/zinc/71/36/09/418713609.db2.gz QCBZXHIHMXDIOZ-CVEARBPZSA-N 1 2 302.374 1.947 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N2CCn3c[nH+]cc3C2)ccc1F ZINC000377732120 418713900 /nfs/dbraw/zinc/71/39/00/418713900.db2.gz JFNLJJBSTFANMV-UHFFFAOYSA-N 1 2 306.322 1.098 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](CC#Cc3ccccc3)CC2)c1C#N ZINC000361851909 418718177 /nfs/dbraw/zinc/71/81/77/418718177.db2.gz ZPEXBVXWCHDAGG-UHFFFAOYSA-N 1 2 319.412 1.774 20 30 DDEDLO Cc1cc(N2CCC(NC(=O)CC(C)(C)C#N)CC2)nc[nH+]1 ZINC000376933518 418703108 /nfs/dbraw/zinc/70/31/08/418703108.db2.gz UEAWMDGGTPSQSP-UHFFFAOYSA-N 1 2 301.394 1.810 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)C[C@H](C2CC2)O1 ZINC000369389507 418733395 /nfs/dbraw/zinc/73/33/95/418733395.db2.gz PSARKOIGDZJWHW-DZGCQCFKSA-N 1 2 304.394 1.142 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)C[C@H](C2CC2)O1 ZINC000369389507 418733398 /nfs/dbraw/zinc/73/33/98/418733398.db2.gz PSARKOIGDZJWHW-DZGCQCFKSA-N 1 2 304.394 1.142 20 30 DDEDLO C#CCCCNC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000369895925 418739205 /nfs/dbraw/zinc/73/92/05/418739205.db2.gz NQLPBVLISMVTPA-KRWDZBQOSA-N 1 2 315.417 1.600 20 30 DDEDLO C#CCCCNC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000369895925 418739207 /nfs/dbraw/zinc/73/92/07/418739207.db2.gz NQLPBVLISMVTPA-KRWDZBQOSA-N 1 2 315.417 1.600 20 30 DDEDLO N#C[C@H]1CN(CCn2cc[nH+]c2)C[C@]12C(=O)Nc1ccccc12 ZINC000362397909 418754221 /nfs/dbraw/zinc/75/42/21/418754221.db2.gz VFOPAVOAMKEIDN-GUYCJALGSA-N 1 2 307.357 1.229 20 30 DDEDLO N#C[C@H]1C[N@@H+](CCn2ccnc2)C[C@]12C(=O)Nc1ccccc12 ZINC000362397909 418754223 /nfs/dbraw/zinc/75/42/23/418754223.db2.gz VFOPAVOAMKEIDN-GUYCJALGSA-N 1 2 307.357 1.229 20 30 DDEDLO N#C[C@H]1C[N@H+](CCn2ccnc2)C[C@]12C(=O)Nc1ccccc12 ZINC000362397909 418754224 /nfs/dbraw/zinc/75/42/24/418754224.db2.gz VFOPAVOAMKEIDN-GUYCJALGSA-N 1 2 307.357 1.229 20 30 DDEDLO CC[C@@H]1CC[C@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)O1 ZINC000362499807 418754877 /nfs/dbraw/zinc/75/48/77/418754877.db2.gz WSBSPVLYGKOCAE-HUUCEWRRSA-N 1 2 309.410 1.018 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)Nc1cnn(-c2ncccc2C#N)c1 ZINC000363803520 418770208 /nfs/dbraw/zinc/77/02/08/418770208.db2.gz UVCNUIHCRPMUPG-UHFFFAOYSA-N 1 2 322.332 1.194 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)N[C@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000363848508 418770399 /nfs/dbraw/zinc/77/03/99/418770399.db2.gz FUFATSAXROBYLA-INIZCTEOSA-N 1 2 323.400 1.356 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH+]1CC(Cc2ccccc2F)C1 ZINC000371345658 418781413 /nfs/dbraw/zinc/78/14/13/418781413.db2.gz RWZOXAQTVLKWQT-LBPRGKRZSA-N 1 2 319.380 1.700 20 30 DDEDLO C=CCCC(=O)N1CCC2(CC1)NC(=O)C1(CCCCC1)[NH2+]2 ZINC000368883508 418727068 /nfs/dbraw/zinc/72/70/68/418727068.db2.gz BQAHBAIHHZIQPY-UHFFFAOYSA-N 1 2 305.422 1.694 20 30 DDEDLO Cc1c(Cl)cnc(NC[C@@H]2C[N@@H+](C3CC3)CCO2)c1C#N ZINC000371695290 418810122 /nfs/dbraw/zinc/81/01/22/418810122.db2.gz WTKARYWNLGZGST-GFCCVEGCSA-N 1 2 306.797 2.190 20 30 DDEDLO Cc1c(Cl)cnc(NC[C@@H]2C[N@H+](C3CC3)CCO2)c1C#N ZINC000371695290 418810125 /nfs/dbraw/zinc/81/01/25/418810125.db2.gz WTKARYWNLGZGST-GFCCVEGCSA-N 1 2 306.797 2.190 20 30 DDEDLO C#CC[C@@H](C)NC(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC000365671618 418892162 /nfs/dbraw/zinc/89/21/62/418892162.db2.gz OHOSZBZMXRQDSQ-GFCCVEGCSA-N 1 2 306.435 1.819 20 30 DDEDLO Cc1n[nH]c(C2CC[NH+](CC(=O)N[C@](C)(C#N)C(C)C)CC2)n1 ZINC000365118002 418838560 /nfs/dbraw/zinc/83/85/60/418838560.db2.gz POYOQJISOMBEKL-MRXNPFEDSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1nc(C2CC[NH+](CC(=O)N[C@](C)(C#N)C(C)C)CC2)n[nH]1 ZINC000365118002 418838562 /nfs/dbraw/zinc/83/85/62/418838562.db2.gz POYOQJISOMBEKL-MRXNPFEDSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1nnc(C2CC[NH+](CC(=O)N[C@](C)(C#N)C(C)C)CC2)[nH]1 ZINC000365118002 418838564 /nfs/dbraw/zinc/83/85/64/418838564.db2.gz POYOQJISOMBEKL-MRXNPFEDSA-N 1 2 318.425 1.347 20 30 DDEDLO C=C(C)CN(CC)C(=O)c1cnn(CC[NH+]2CCOCC2)c1 ZINC000365280045 418849145 /nfs/dbraw/zinc/84/91/45/418849145.db2.gz RNDZAIDLSGKPTC-UHFFFAOYSA-N 1 2 306.410 1.254 20 30 DDEDLO Cc1cc(C)c(NC(=O)C(=O)NCC2(CC#N)CC2)c(C)[nH+]1 ZINC000411483176 418908417 /nfs/dbraw/zinc/90/84/17/418908417.db2.gz DRWWYTXRPOKBAC-UHFFFAOYSA-N 1 2 300.362 1.755 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)[C@@H](C)c1nc(N)nc(N(C)C)n1 ZINC000411487491 418908946 /nfs/dbraw/zinc/90/89/46/418908946.db2.gz MYKLJLNOFDEKOR-LBPRGKRZSA-N 1 2 316.409 1.905 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)[C@@H](C)c1nc(N)nc(N(C)C)n1 ZINC000411487491 418908948 /nfs/dbraw/zinc/90/89/48/418908948.db2.gz MYKLJLNOFDEKOR-LBPRGKRZSA-N 1 2 316.409 1.905 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)NCc3ccc(C#N)s3)C[C@@H]21 ZINC000420890049 419343783 /nfs/dbraw/zinc/34/37/83/419343783.db2.gz PTSLUXZFYOFJBP-KBPBESRZSA-N 1 2 320.418 1.234 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)NCc3ccc(C#N)s3)C[C@@H]21 ZINC000420890049 419343786 /nfs/dbraw/zinc/34/37/86/419343786.db2.gz PTSLUXZFYOFJBP-KBPBESRZSA-N 1 2 320.418 1.234 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C[C@H](CC)O1 ZINC000420950630 419379311 /nfs/dbraw/zinc/37/93/11/419379311.db2.gz GLMBAYVVILPECG-OWCLPIDISA-N 1 2 301.390 1.974 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C[C@H](CC)O1 ZINC000420950630 419379316 /nfs/dbraw/zinc/37/93/16/419379316.db2.gz GLMBAYVVILPECG-OWCLPIDISA-N 1 2 301.390 1.974 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CSc1n[nH]c(-c2ccccc2)n1 ZINC000414099256 419806902 /nfs/dbraw/zinc/80/69/02/419806902.db2.gz QBVWVVRGKRQPNU-ZDUSSCGKSA-N 1 2 317.418 1.770 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CSc1n[nH]c(-c2ccccc2)n1 ZINC000414099256 419806915 /nfs/dbraw/zinc/80/69/15/419806915.db2.gz QBVWVVRGKRQPNU-ZDUSSCGKSA-N 1 2 317.418 1.770 20 30 DDEDLO CCC[N@H+](CC(N)=O)CC(=O)Nc1ccccc1SCC#N ZINC000429120223 419971682 /nfs/dbraw/zinc/97/16/82/419971682.db2.gz DOIKXWPSEDAQIJ-UHFFFAOYSA-N 1 2 320.418 1.438 20 30 DDEDLO CCC[N@@H+](CC(N)=O)CC(=O)Nc1ccccc1SCC#N ZINC000429120223 419971685 /nfs/dbraw/zinc/97/16/85/419971685.db2.gz DOIKXWPSEDAQIJ-UHFFFAOYSA-N 1 2 320.418 1.438 20 30 DDEDLO COC(=O)Cn1cc(C[N@H+](C)[C@@H](C)c2cccc(C#N)c2)nn1 ZINC000425366747 420362929 /nfs/dbraw/zinc/36/29/29/420362929.db2.gz QUJRXGVKRNTPKJ-LBPRGKRZSA-N 1 2 313.361 1.516 20 30 DDEDLO COC(=O)Cn1cc(C[N@@H+](C)[C@@H](C)c2cccc(C#N)c2)nn1 ZINC000425366747 420362934 /nfs/dbraw/zinc/36/29/34/420362934.db2.gz QUJRXGVKRNTPKJ-LBPRGKRZSA-N 1 2 313.361 1.516 20 30 DDEDLO N#CCCOCC[NH+]1CCN(C(=O)c2ccc(O)cc2)CC1 ZINC000436751633 420363092 /nfs/dbraw/zinc/36/30/92/420363092.db2.gz UCGSYNHFHFAQCG-UHFFFAOYSA-N 1 2 303.362 1.080 20 30 DDEDLO C=C(C)C(C)(C)C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000424663811 420320804 /nfs/dbraw/zinc/32/08/04/420320804.db2.gz NWICTHQWZOFFKS-UHFFFAOYSA-N 1 2 306.410 1.756 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CCC[C@@H]1c1[nH+]c2c(n1C)CCCC2 ZINC000416468032 420382543 /nfs/dbraw/zinc/38/25/43/420382543.db2.gz DNRYWDMNXPPOJE-BXUZGUMPSA-N 1 2 322.434 1.678 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(C#N)s1 ZINC000420799675 420394689 /nfs/dbraw/zinc/39/46/89/420394689.db2.gz IWHDMBYSVOINPL-NSHDSACASA-N 1 2 308.407 1.140 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(C#N)s1 ZINC000420799675 420394692 /nfs/dbraw/zinc/39/46/92/420394692.db2.gz IWHDMBYSVOINPL-NSHDSACASA-N 1 2 308.407 1.140 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]2CS(N)(=O)=O)c(Cl)c1 ZINC000439454263 420512850 /nfs/dbraw/zinc/51/28/50/420512850.db2.gz RMIYTCWBTWUOBH-GFCCVEGCSA-N 1 2 313.810 1.465 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]2CS(N)(=O)=O)c(Cl)c1 ZINC000439454263 420512853 /nfs/dbraw/zinc/51/28/53/420512853.db2.gz RMIYTCWBTWUOBH-GFCCVEGCSA-N 1 2 313.810 1.465 20 30 DDEDLO C[C@@H]1C[N@H+](CCO)CCN1c1ccc(C#N)cc1Br ZINC000450954183 420569080 /nfs/dbraw/zinc/56/90/80/420569080.db2.gz MPOKNHFTHJIUQH-LLVKDONJSA-N 1 2 324.222 1.824 20 30 DDEDLO C[C@@H]1C[N@@H+](CCO)CCN1c1ccc(C#N)cc1Br ZINC000450954183 420569084 /nfs/dbraw/zinc/56/90/84/420569084.db2.gz MPOKNHFTHJIUQH-LLVKDONJSA-N 1 2 324.222 1.824 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000458416651 420650542 /nfs/dbraw/zinc/65/05/42/420650542.db2.gz QPRBHMFFLPHHMB-HNNXBMFYSA-N 1 2 314.389 1.999 20 30 DDEDLO COCCO[C@@H]1C[C@H](CO)C[N@H+](Cc2cc(F)ccc2C#N)C1 ZINC000447399251 420771050 /nfs/dbraw/zinc/77/10/50/420771050.db2.gz VFXQXBWXAKGFSA-SUMWQHHRSA-N 1 2 322.380 1.543 20 30 DDEDLO COCCO[C@@H]1C[C@H](CO)C[N@@H+](Cc2cc(F)ccc2C#N)C1 ZINC000447399251 420771054 /nfs/dbraw/zinc/77/10/54/420771054.db2.gz VFXQXBWXAKGFSA-SUMWQHHRSA-N 1 2 322.380 1.543 20 30 DDEDLO CC(C)(Cc1ccc(C#N)cc1)C(=O)NCC[NH+]1CCOCC1 ZINC000454190213 420812764 /nfs/dbraw/zinc/81/27/64/420812764.db2.gz QPIPRCXDIUSGFP-UHFFFAOYSA-N 1 2 315.417 1.575 20 30 DDEDLO CC1(O)CC(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)C1 ZINC000448580098 420864716 /nfs/dbraw/zinc/86/47/16/420864716.db2.gz WZLZISKLQDJPGV-UHFFFAOYSA-N 1 2 313.401 1.363 20 30 DDEDLO N#C[C@@H](NC(=O)c1ccc([N+](=O)[O-])c(-n2cc[nH+]c2)c1)C1CC1 ZINC000448944055 420919738 /nfs/dbraw/zinc/91/97/38/420919738.db2.gz QBOGWOBBLKHBGG-GFCCVEGCSA-N 1 2 311.301 1.812 20 30 DDEDLO C=C(CC)CNC(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000454826355 420929814 /nfs/dbraw/zinc/92/98/14/420929814.db2.gz VJUHLRKRAISRFA-ZDUSSCGKSA-N 1 2 319.409 1.177 20 30 DDEDLO CN1C[C@@H](C[NH+]2CCN([C@@H](C#N)c3ccccc3)CC2)OC1=O ZINC000495651800 421040298 /nfs/dbraw/zinc/04/02/98/421040298.db2.gz NQEBXOLABDHUDF-HOTGVXAUSA-N 1 2 314.389 1.319 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2CC[C@H]([NH+]3CCOCC3)C2)c1 ZINC000456007017 421088747 /nfs/dbraw/zinc/08/87/47/421088747.db2.gz RCCBKBGGDTZGOO-INIZCTEOSA-N 1 2 314.389 1.805 20 30 DDEDLO Cc1ncc(S(=O)(=O)N2CCc3c[nH+]ccc32)cc1C#N ZINC000489584435 421165061 /nfs/dbraw/zinc/16/50/61/421165061.db2.gz YPJRXMPQRYYFPG-UHFFFAOYSA-N 1 2 300.343 1.408 20 30 DDEDLO C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489687844 421170462 /nfs/dbraw/zinc/17/04/62/421170462.db2.gz YNOWSDKMYAMHMF-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489687844 421170464 /nfs/dbraw/zinc/17/04/64/421170464.db2.gz YNOWSDKMYAMHMF-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC000489681279 421170881 /nfs/dbraw/zinc/17/08/81/421170881.db2.gz DFZWQXVKHIOKOA-AWEZNQCLSA-N 1 2 311.451 1.971 20 30 DDEDLO Cc1c(C#N)c(NC[C@H]2CN(C)CC[N@@H+]2C)nc2ccccc12 ZINC000450335958 421184664 /nfs/dbraw/zinc/18/46/64/421184664.db2.gz NHFSBIQEGWEAGY-AWEZNQCLSA-N 1 2 309.417 2.073 20 30 DDEDLO Cc1c(C#N)c(NC[C@H]2CN(C)CC[N@H+]2C)nc2ccccc12 ZINC000450335958 421184667 /nfs/dbraw/zinc/18/46/67/421184667.db2.gz NHFSBIQEGWEAGY-AWEZNQCLSA-N 1 2 309.417 2.073 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)[C@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000491616695 421200405 /nfs/dbraw/zinc/20/04/05/421200405.db2.gz AGGBCZDCLJRSCD-QWHCGFSZSA-N 1 2 301.390 1.499 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)[C@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000491616695 421200406 /nfs/dbraw/zinc/20/04/06/421200406.db2.gz AGGBCZDCLJRSCD-QWHCGFSZSA-N 1 2 301.390 1.499 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNc2nc(C)ccc2C#N)C1 ZINC000450542128 421208037 /nfs/dbraw/zinc/20/80/37/421208037.db2.gz UYUDVTRRTFCUCS-CYBMUJFWSA-N 1 2 302.378 1.559 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNc2nc(C)ccc2C#N)C1 ZINC000450542128 421208040 /nfs/dbraw/zinc/20/80/40/421208040.db2.gz UYUDVTRRTFCUCS-CYBMUJFWSA-N 1 2 302.378 1.559 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000528793019 421518525 /nfs/dbraw/zinc/51/85/25/421518525.db2.gz WOHBJKZUIHWTET-AWEZNQCLSA-N 1 2 304.394 1.744 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000528793019 421518529 /nfs/dbraw/zinc/51/85/29/421518529.db2.gz WOHBJKZUIHWTET-AWEZNQCLSA-N 1 2 304.394 1.744 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@@H+]1CCO[C@H](C2CC2)C1 ZINC000528457648 421492217 /nfs/dbraw/zinc/49/22/17/421492217.db2.gz FRKJAVHVMBTIPP-KRWDZBQOSA-N 1 2 324.380 1.397 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@H+]1CCO[C@H](C2CC2)C1 ZINC000528457648 421492219 /nfs/dbraw/zinc/49/22/19/421492219.db2.gz FRKJAVHVMBTIPP-KRWDZBQOSA-N 1 2 324.380 1.397 20 30 DDEDLO COCC[C@](C)(C#N)NC(=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000564191686 421573073 /nfs/dbraw/zinc/57/30/73/421573073.db2.gz WMKBOLPBSROUSK-MRXNPFEDSA-N 1 2 300.362 1.620 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)C[C@@H](CC#N)c2ccccc2)CCO1 ZINC000530278291 421590747 /nfs/dbraw/zinc/59/07/47/421590747.db2.gz QCRHLXYDHCLNKH-NVXWUHKLSA-N 1 2 315.417 1.911 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)C[C@@H](CC#N)c2ccccc2)CCO1 ZINC000530278291 421590749 /nfs/dbraw/zinc/59/07/49/421590749.db2.gz QCRHLXYDHCLNKH-NVXWUHKLSA-N 1 2 315.417 1.911 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@H+]1CCOCC1(C)C ZINC000566544884 421607123 /nfs/dbraw/zinc/60/71/23/421607123.db2.gz URVNSNSFDVTMKJ-KGLIPLIRSA-N 1 2 311.426 1.130 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000566544884 421607128 /nfs/dbraw/zinc/60/71/28/421607128.db2.gz URVNSNSFDVTMKJ-KGLIPLIRSA-N 1 2 311.426 1.130 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000568252561 421618812 /nfs/dbraw/zinc/61/88/12/421618812.db2.gz XDXBLBYWNSHWJC-ZDUSSCGKSA-N 1 2 304.394 1.177 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2cnc(-c3ccccc3)nc2)CC1 ZINC000517584495 421620799 /nfs/dbraw/zinc/62/07/99/421620799.db2.gz VOSMTHVYYBFMBM-UHFFFAOYSA-N 1 2 321.384 1.701 20 30 DDEDLO CN1CC[NH+](C[C@H](Nc2nccc(C#N)n2)c2ccccc2)CC1 ZINC000556201573 421705274 /nfs/dbraw/zinc/70/52/74/421705274.db2.gz QDVXXKOMZOBJBG-KRWDZBQOSA-N 1 2 322.416 1.749 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2nccc3ccc(C)cc32)CC1 ZINC000571319901 421705568 /nfs/dbraw/zinc/70/55/68/421705568.db2.gz FGCSSGZXMAOPBO-UHFFFAOYSA-N 1 2 324.428 1.967 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@]2(CCOC2)OC(C)(C)C1 ZINC000558824120 421826710 /nfs/dbraw/zinc/82/67/10/421826710.db2.gz IVGDNHWCQQKJMQ-SUMWQHHRSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@]2(CCOC2)OC(C)(C)C1 ZINC000558824120 421826711 /nfs/dbraw/zinc/82/67/11/421826711.db2.gz IVGDNHWCQQKJMQ-SUMWQHHRSA-N 1 2 321.421 1.207 20 30 DDEDLO CC(C)(CNC(=O)N1CCC(CC#N)CC1)[NH+]1CCOCC1 ZINC000540989633 421782877 /nfs/dbraw/zinc/78/28/77/421782877.db2.gz ZILWUWRFYUZSCU-UHFFFAOYSA-N 1 2 308.426 1.432 20 30 DDEDLO C=CCn1cc(CNC(=O)N[C@@H]2C[C@@H](C)n3cc[nH+]c32)nn1 ZINC000573319104 421946482 /nfs/dbraw/zinc/94/64/82/421946482.db2.gz SFAOYUVQSGDEFF-ZYHUDNBSSA-N 1 2 301.354 1.166 20 30 DDEDLO Cn1cc[nH+]c1[C@H](CC(=O)N1CC(C)(C#N)C1)C(F)(F)F ZINC000573385215 421956587 /nfs/dbraw/zinc/95/65/87/421956587.db2.gz RRCIZYZQUPAVOX-VIFPVBQESA-N 1 2 300.284 1.828 20 30 DDEDLO C=CCCCCCN(C)S(=O)(=O)C[C@H]1C[N@H+](C)CCO1 ZINC000632017229 422023726 /nfs/dbraw/zinc/02/37/26/422023726.db2.gz RFQZHLIAWXDWDH-CQSZACIVSA-N 1 2 304.456 1.325 20 30 DDEDLO C=CCCCCCN(C)S(=O)(=O)C[C@H]1C[N@@H+](C)CCO1 ZINC000632017229 422023730 /nfs/dbraw/zinc/02/37/30/422023730.db2.gz RFQZHLIAWXDWDH-CQSZACIVSA-N 1 2 304.456 1.325 20 30 DDEDLO C[C@@H]1C[C@@]2(CCO1)C[N@@H+](CC(=O)NC1(C#N)CCC1)C[C@@H](C)O2 ZINC000574264731 422082574 /nfs/dbraw/zinc/08/25/74/422082574.db2.gz JQTXDVNCJKEFAI-CPUCHLNUSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@@H]1C[C@@]2(CCO1)C[N@H+](CC(=O)NC1(C#N)CCC1)C[C@@H](C)O2 ZINC000574264731 422082581 /nfs/dbraw/zinc/08/25/81/422082581.db2.gz JQTXDVNCJKEFAI-CPUCHLNUSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1ccc2c(c1)OCO2 ZINC000574457465 422112077 /nfs/dbraw/zinc/11/20/77/422112077.db2.gz PSMJZQPQSHNANP-BZNIZROVSA-N 1 2 303.362 1.479 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000576880736 422379304 /nfs/dbraw/zinc/37/93/04/422379304.db2.gz XZYUDPGVKBKCLU-OAHLLOKOSA-N 1 2 320.349 1.324 20 30 DDEDLO COCCc1cc(O)ccc1NC[C@@H](O)C[N@H+](C)CCC#N ZINC000578007205 422475509 /nfs/dbraw/zinc/47/55/09/422475509.db2.gz DRWOGSURJIPANL-OAHLLOKOSA-N 1 2 307.394 1.199 20 30 DDEDLO COCCc1cc(O)ccc1NC[C@@H](O)C[N@@H+](C)CCC#N ZINC000578007205 422475512 /nfs/dbraw/zinc/47/55/12/422475512.db2.gz DRWOGSURJIPANL-OAHLLOKOSA-N 1 2 307.394 1.199 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N[C@@H]2CCCC[C@H]2C)nn1 ZINC000640829497 423217008 /nfs/dbraw/zinc/21/70/08/423217008.db2.gz MYFZDLGBHKXWQI-IIAWOOMASA-N 1 2 317.437 1.647 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N[C@H](C)c2cccs2)nn1 ZINC000640852105 423231582 /nfs/dbraw/zinc/23/15/82/423231582.db2.gz OCZFQJUISWRMRI-GFCCVEGCSA-N 1 2 317.418 1.330 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H](c3nnc(N)o3)C2)nn1 ZINC000639818983 423757226 /nfs/dbraw/zinc/75/72/26/423757226.db2.gz DIKJHHGHZBHIED-NSHDSACASA-N 1 2 303.370 1.199 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H](c3nnc(N)o3)C2)nn1 ZINC000639818983 423757233 /nfs/dbraw/zinc/75/72/33/423757233.db2.gz DIKJHHGHZBHIED-NSHDSACASA-N 1 2 303.370 1.199 20 30 DDEDLO Clc1cccc(C#CC[N@@H+]2CCO[C@H](Cn3ccnn3)C2)c1 ZINC000639855134 423830155 /nfs/dbraw/zinc/83/01/55/423830155.db2.gz LOSJUDGVHYIMIT-INIZCTEOSA-N 1 2 316.792 1.684 20 30 DDEDLO Clc1cccc(C#CC[N@H+]2CCO[C@H](Cn3ccnn3)C2)c1 ZINC000639855134 423830169 /nfs/dbraw/zinc/83/01/69/423830169.db2.gz LOSJUDGVHYIMIT-INIZCTEOSA-N 1 2 316.792 1.684 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000665976168 423980975 /nfs/dbraw/zinc/98/09/75/423980975.db2.gz JNXADISFQZUKSC-RDJZCZTQSA-N 1 2 304.390 1.113 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H](C)C(=O)N2CCCC[C@@H]2C)nn1 ZINC000657342655 424293484 /nfs/dbraw/zinc/29/34/84/424293484.db2.gz QABKFLYGOYYSPQ-UONOGXRCSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)N[C@@H](C)C[NH+]2CCN(C)CC2)C1 ZINC000660855751 424794625 /nfs/dbraw/zinc/79/46/25/424794625.db2.gz TYCAMSNAJWEHMY-HOTGVXAUSA-N 1 2 308.470 1.620 20 30 DDEDLO C[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)CC[S@](=O)C1 ZINC000369352174 267056340 /nfs/dbraw/zinc/05/63/40/267056340.db2.gz IAUNYUPKTMLANP-GTJPDFRWSA-N 1 2 302.403 1.406 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](C)CCN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000357048416 267107495 /nfs/dbraw/zinc/10/74/95/267107495.db2.gz WGPRBCIQATZTPL-UONOGXRCSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](C)CCN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000357048416 267107500 /nfs/dbraw/zinc/10/75/00/267107500.db2.gz WGPRBCIQATZTPL-UONOGXRCSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@H]1CC[C@@H](C[N@@H+]2CCN(Cc3ccc(C#N)cc3)C(=O)C2)O1 ZINC000376412229 267220162 /nfs/dbraw/zinc/22/01/62/267220162.db2.gz RNYLPKAAODIRSB-YOEHRIQHSA-N 1 2 313.401 1.770 20 30 DDEDLO C[C@H]1CC[C@@H](C[N@H+]2CCN(Cc3ccc(C#N)cc3)C(=O)C2)O1 ZINC000376412229 267220164 /nfs/dbraw/zinc/22/01/64/267220164.db2.gz RNYLPKAAODIRSB-YOEHRIQHSA-N 1 2 313.401 1.770 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000342612667 267227863 /nfs/dbraw/zinc/22/78/63/267227863.db2.gz YKVNXCBSXHAMOV-VIFPVBQESA-N 1 2 315.345 1.161 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000342612667 267227865 /nfs/dbraw/zinc/22/78/65/267227865.db2.gz YKVNXCBSXHAMOV-VIFPVBQESA-N 1 2 315.345 1.161 20 30 DDEDLO C[C@H]1CN(c2nccc(C#N)c2Cl)C[C@@H]1[NH+]1CCOCC1 ZINC000342573698 267240917 /nfs/dbraw/zinc/24/09/17/267240917.db2.gz OROHUJHMMLIQHS-AAEUAGOBSA-N 1 2 306.797 1.764 20 30 DDEDLO C[C@H]1c2nncn2CC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000362078341 267253606 /nfs/dbraw/zinc/25/36/06/267253606.db2.gz QATAAVKSVXJRBC-JTQLQIEISA-N 1 2 316.390 1.617 20 30 DDEDLO C[C@H]1c2nncn2CC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000362078341 267253607 /nfs/dbraw/zinc/25/36/07/267253607.db2.gz QATAAVKSVXJRBC-JTQLQIEISA-N 1 2 316.390 1.617 20 30 DDEDLO N#Cc1ccc(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)s1 ZINC000354025618 268062559 /nfs/dbraw/zinc/06/25/59/268062559.db2.gz GTZLFDQUJLXDRP-VIFPVBQESA-N 1 2 308.325 1.727 20 30 DDEDLO N#Cc1ccc(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)s1 ZINC000354025618 268062563 /nfs/dbraw/zinc/06/25/63/268062563.db2.gz GTZLFDQUJLXDRP-VIFPVBQESA-N 1 2 308.325 1.727 20 30 DDEDLO Cc1ccnc(NC[C@]2(CO)COCC3(CCC3)[N@H+]2C)c1C#N ZINC000528127208 268063803 /nfs/dbraw/zinc/06/38/03/268063803.db2.gz CLGBHOUMOWVENA-KRWDZBQOSA-N 1 2 316.405 1.289 20 30 DDEDLO Cc1ccnc(NC[C@]2(CO)COCC3(CCC3)[N@@H+]2C)c1C#N ZINC000528127208 268063806 /nfs/dbraw/zinc/06/38/06/268063806.db2.gz CLGBHOUMOWVENA-KRWDZBQOSA-N 1 2 316.405 1.289 20 30 DDEDLO N#Cc1ccc(OCC[N@@H+]2CCOC[C@H]2[C@H]2CCCO2)cc1 ZINC000375802424 268172270 /nfs/dbraw/zinc/17/22/70/268172270.db2.gz TZKWYWBXALPVGP-DLBZAZTESA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccc(OCC[N@H+]2CCOC[C@H]2[C@H]2CCCO2)cc1 ZINC000375802424 268172272 /nfs/dbraw/zinc/17/22/72/268172272.db2.gz TZKWYWBXALPVGP-DLBZAZTESA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CCC3(CCO3)CC2)cc1 ZINC000367110487 268188013 /nfs/dbraw/zinc/18/80/13/268188013.db2.gz XLJLEUPOYNAWCO-UHFFFAOYSA-N 1 2 320.414 1.587 20 30 DDEDLO N#Cc1cc2c(nc1N1CCN(Cc3[nH]cc[nH+]3)CC1)CCC2 ZINC000524308811 268194134 /nfs/dbraw/zinc/19/41/34/268194134.db2.gz MOLUWOYAHULOSO-UHFFFAOYSA-N 1 2 308.389 1.487 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CC[C@H]3CC[C@@H](C2)[S@@]3=O)cc1 ZINC000528785144 268263490 /nfs/dbraw/zinc/26/34/90/268263490.db2.gz RXGFWIFZNPWBJK-DQMOJMCFSA-N 1 2 317.414 1.070 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CC[C@H]3CC[C@@H](C2)[S@@]3=O)cc1 ZINC000528785144 268263491 /nfs/dbraw/zinc/26/34/91/268263491.db2.gz RXGFWIFZNPWBJK-DQMOJMCFSA-N 1 2 317.414 1.070 20 30 DDEDLO N#Cc1cccc(OCC[N@@H+]2CCOC[C@@H]2[C@H]2CCCO2)c1 ZINC000375739648 268274131 /nfs/dbraw/zinc/27/41/31/268274131.db2.gz BEIBRLBSJDBNDI-IAGOWNOFSA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1cccc(OCC[N@H+]2CCOC[C@@H]2[C@H]2CCCO2)c1 ZINC000375739648 268274132 /nfs/dbraw/zinc/27/41/32/268274132.db2.gz BEIBRLBSJDBNDI-IAGOWNOFSA-N 1 2 302.374 1.817 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+](CCNS(C)(=O)=O)C1CC1 ZINC000340213130 271050906 /nfs/dbraw/zinc/05/09/06/271050906.db2.gz AMZWDUDWCHUWGI-UHFFFAOYSA-N 1 2 323.418 1.080 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+](CCNS(C)(=O)=O)C1CC1 ZINC000340213130 271050909 /nfs/dbraw/zinc/05/09/09/271050909.db2.gz AMZWDUDWCHUWGI-UHFFFAOYSA-N 1 2 323.418 1.080 20 30 DDEDLO C=C[C@@H](CO)NC(=O)N[C@H](c1[nH+]ccn1CC)C1CCOCC1 ZINC000291068170 276914673 /nfs/dbraw/zinc/91/46/73/276914673.db2.gz IMWYNBIAHRWIRD-KBPBESRZSA-N 1 2 322.409 1.217 20 30 DDEDLO CC(C)C[C@@H]1COCCN1C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000330180277 277454823 /nfs/dbraw/zinc/45/48/23/277454823.db2.gz FXCFBMYBJFNRGL-UONOGXRCSA-N 1 2 306.410 1.859 20 30 DDEDLO C=CCCS(=O)(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000121071856 277834091 /nfs/dbraw/zinc/83/40/91/277834091.db2.gz GUUFQGHRUKOELS-CQSZACIVSA-N 1 2 302.440 1.079 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1nc(Cl)c(C#N)s1 ZINC000286201022 278016970 /nfs/dbraw/zinc/01/69/70/278016970.db2.gz BRXKDARYNJXTOK-ZJUUUORDSA-N 1 2 312.826 1.968 20 30 DDEDLO C[C@@H]1Oc2ccccc2[C@H]1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329636324 278070505 /nfs/dbraw/zinc/07/05/05/278070505.db2.gz YDKNZNZWBULUGV-YUDUHTQSSA-N 1 2 317.389 1.437 20 30 DDEDLO C[C@@H]1Oc2ccccc2[C@H]1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329636324 278070507 /nfs/dbraw/zinc/07/05/07/278070507.db2.gz YDKNZNZWBULUGV-YUDUHTQSSA-N 1 2 317.389 1.437 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)c1ccc([N+](=O)[O-])cc1O ZINC000331353083 278611418 /nfs/dbraw/zinc/61/14/18/278611418.db2.gz OVUSNNLEHKYURM-UHFFFAOYSA-N 1 2 323.349 1.716 20 30 DDEDLO CC(C)[C@@H]1C(=O)NCCN1C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000330277219 279942316 /nfs/dbraw/zinc/94/23/16/279942316.db2.gz NYCQDRWGPYTMOS-WCQYABFASA-N 1 2 305.382 1.409 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCc1cccc(C#N)c1 ZINC000048977990 280880025 /nfs/dbraw/zinc/88/00/25/280880025.db2.gz UDZCQJHVDUAACJ-CYBMUJFWSA-N 1 2 302.378 1.078 20 30 DDEDLO C[NH2+]CCCc1[nH]nc(NC(=O)c2cc(F)ccc2O)c1C#N ZINC000089674431 281022127 /nfs/dbraw/zinc/02/21/27/281022127.db2.gz NNUAVMNGXYYEAP-UHFFFAOYSA-N 1 2 317.324 1.530 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)N[C@@H]3CCC[C@@H]4OCC[C@@H]43)C[C@H]21 ZINC000328886430 290078140 /nfs/dbraw/zinc/07/81/40/290078140.db2.gz OVVOVCSSZJIQDL-DGXTUMSLSA-N 1 2 323.437 1.263 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)N[C@@H]3CCC[C@@H]4OCC[C@@H]43)C[C@H]21 ZINC000328886430 290078143 /nfs/dbraw/zinc/07/81/43/290078143.db2.gz OVVOVCSSZJIQDL-DGXTUMSLSA-N 1 2 323.437 1.263 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CCOC[C@@H]2c2ccccc2)C1 ZINC000329688367 290106451 /nfs/dbraw/zinc/10/64/51/290106451.db2.gz CBTBTNSWOZALRF-HZPDHXFCSA-N 1 2 319.405 1.305 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CCOC[C@@H]2c2ccccc2)C1 ZINC000329688367 290106453 /nfs/dbraw/zinc/10/64/53/290106453.db2.gz CBTBTNSWOZALRF-HZPDHXFCSA-N 1 2 319.405 1.305 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N1CCC(C)(C#N)CC1)[NH+]1CCOCC1 ZINC000355923584 290202718 /nfs/dbraw/zinc/20/27/18/290202718.db2.gz PYIWFHGGPPTMGY-UONOGXRCSA-N 1 2 308.426 1.431 20 30 DDEDLO C[C@H](c1nnnn1C)[N@@H+]1CCCN(c2ccccc2C#N)CC1 ZINC000188733044 290287886 /nfs/dbraw/zinc/28/78/86/290287886.db2.gz NXTNLTIGEQKQCD-CYBMUJFWSA-N 1 2 311.393 1.355 20 30 DDEDLO C[C@H](c1nnnn1C)[N@H+]1CCCN(c2ccccc2C#N)CC1 ZINC000188733044 290287890 /nfs/dbraw/zinc/28/78/90/290287890.db2.gz NXTNLTIGEQKQCD-CYBMUJFWSA-N 1 2 311.393 1.355 20 30 DDEDLO Cc1c[nH+]c(CN2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)n1C ZINC000342810458 292897663 /nfs/dbraw/zinc/89/76/63/292897663.db2.gz VUPXWOHGWBFPAZ-SCLBCKFNSA-N 1 2 321.384 1.574 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CCc3[nH+]c(C(C)(C)C)cn3C2)nn1 ZINC000329315894 294195736 /nfs/dbraw/zinc/19/57/36/294195736.db2.gz DXADKWQQPZQQGB-SNVBAGLBSA-N 1 2 302.382 1.629 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCO[C@]2(CCOC2)C1 ZINC000185142258 298136551 /nfs/dbraw/zinc/13/65/51/298136551.db2.gz DOUFQLXEIPJTDJ-RHSMWYFYSA-N 1 2 321.421 1.209 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCO[C@]2(CCOC2)C1 ZINC000185142258 298136554 /nfs/dbraw/zinc/13/65/54/298136554.db2.gz DOUFQLXEIPJTDJ-RHSMWYFYSA-N 1 2 321.421 1.209 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[N@@H+](C)[C@H](C)[C@H]2C)ccc1C#N ZINC000408437098 301385682 /nfs/dbraw/zinc/38/56/82/301385682.db2.gz OXAIPJCTOSEJQB-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[N@H+](C)[C@H](C)[C@H]2C)ccc1C#N ZINC000408437098 301385685 /nfs/dbraw/zinc/38/56/85/301385685.db2.gz OXAIPJCTOSEJQB-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO N#Cc1ccncc1C(=O)NCC1CC[NH+](CC(F)F)CC1 ZINC000567289642 308076560 /nfs/dbraw/zinc/07/65/60/308076560.db2.gz NXJGBISKWIFTPI-UHFFFAOYSA-N 1 2 308.332 1.660 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)Nc1ncc2c(c1C#N)CCC2 ZINC000567719977 308092532 /nfs/dbraw/zinc/09/25/32/308092532.db2.gz CXYLACMWVUMAJB-UHFFFAOYSA-N 1 2 300.406 1.965 20 30 DDEDLO COCC[N@H+](Cc1cccc(C(=O)OC)c1C#N)[C@@H]1CCOC1 ZINC000576646357 308329835 /nfs/dbraw/zinc/32/98/35/308329835.db2.gz MKNVLVCLUXKUFR-CQSZACIVSA-N 1 2 318.373 1.582 20 30 DDEDLO COCC[N@@H+](Cc1cccc(C(=O)OC)c1C#N)[C@@H]1CCOC1 ZINC000576646357 308329836 /nfs/dbraw/zinc/32/98/36/308329836.db2.gz MKNVLVCLUXKUFR-CQSZACIVSA-N 1 2 318.373 1.582 20 30 DDEDLO N#Cc1cncnc1NCC[N@H+]1CCc2c(Cl)cccc2C1 ZINC000576939119 308350670 /nfs/dbraw/zinc/35/06/70/308350670.db2.gz QHYHWBQNVPHTEG-UHFFFAOYSA-N 1 2 313.792 1.894 20 30 DDEDLO N#Cc1cncnc1NCC[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000576939119 308350672 /nfs/dbraw/zinc/35/06/72/308350672.db2.gz QHYHWBQNVPHTEG-UHFFFAOYSA-N 1 2 313.792 1.894 20 30 DDEDLO C[C@H](Nc1ncc2c(c1C#N)CCC2)[C@H](C)[NH+]1CCOCC1 ZINC000577504231 308393632 /nfs/dbraw/zinc/39/36/32/308393632.db2.gz KTCVLUMXTGSLAP-STQMWFEESA-N 1 2 300.406 1.963 20 30 DDEDLO C[C@@H]1C[C@H](NS(=O)(=O)c2cccc(C#N)c2)c2[nH+]ccn21 ZINC000578150522 308441600 /nfs/dbraw/zinc/44/16/00/308441600.db2.gz DLKVASFSBMMIJR-MFKMUULPSA-N 1 2 302.359 1.739 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C1CCN(CC(F)(F)F)CC1 ZINC000566309808 332331684 /nfs/dbraw/zinc/33/16/84/332331684.db2.gz SXAKIQZLORRCSK-ZDUSSCGKSA-N 1 2 320.359 1.221 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000562432295 332592707 /nfs/dbraw/zinc/59/27/07/332592707.db2.gz XZHHINFXNNUETK-UHFFFAOYSA-N 1 2 300.449 1.772 20 30 DDEDLO C[C@@H]1[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)CCN1c1ccccc1 ZINC000571050325 333493727 /nfs/dbraw/zinc/49/37/27/333493727.db2.gz OUZULJDEKSUJEP-QGPMSJSTSA-N 1 2 314.433 1.861 20 30 DDEDLO C=C(C)C[N@@H+](Cc1ccc(CO)o1)C[C@@H]1CCS(=O)(=O)C1 ZINC000352820781 336256416 /nfs/dbraw/zinc/25/64/16/336256416.db2.gz ZKFWGQZPZGYHSR-ZDUSSCGKSA-N 1 2 313.419 1.585 20 30 DDEDLO C=C(C)C[N@H+](Cc1ccc(CO)o1)C[C@@H]1CCS(=O)(=O)C1 ZINC000352820781 336256417 /nfs/dbraw/zinc/25/64/17/336256417.db2.gz ZKFWGQZPZGYHSR-ZDUSSCGKSA-N 1 2 313.419 1.585 20 30 DDEDLO COC[C@@H]1C[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000583148774 337246367 /nfs/dbraw/zinc/24/63/67/337246367.db2.gz PIOIGKXAFFBGCM-RISCZKNCSA-N 1 2 305.334 1.702 20 30 DDEDLO COC[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000583148774 337246368 /nfs/dbraw/zinc/24/63/68/337246368.db2.gz PIOIGKXAFFBGCM-RISCZKNCSA-N 1 2 305.334 1.702 20 30 DDEDLO CC(=O)Nc1cc(NC[C@@H](O)C[N@H+](C)CCC#N)ccc1C ZINC000583199202 337266382 /nfs/dbraw/zinc/26/63/82/337266382.db2.gz CXXYOFAEBKUSGZ-OAHLLOKOSA-N 1 2 304.394 1.572 20 30 DDEDLO CC(=O)Nc1cc(NC[C@@H](O)C[N@@H+](C)CCC#N)ccc1C ZINC000583199202 337266383 /nfs/dbraw/zinc/26/63/83/337266383.db2.gz CXXYOFAEBKUSGZ-OAHLLOKOSA-N 1 2 304.394 1.572 20 30 DDEDLO C[C@H]1C(NNc2cccn[nH+]2)=CC(=O)N1C(=O)OC(C)(C)C ZINC000497074238 340019686 /nfs/dbraw/zinc/01/96/86/340019686.db2.gz RUNQUXNYVBEFQE-VIFPVBQESA-N 1 2 305.338 1.800 20 30 DDEDLO C=CCOc1ccccc1C[NH+]1CCN(S(C)(=O)=O)CC1 ZINC000134541309 341217899 /nfs/dbraw/zinc/21/78/99/341217899.db2.gz RNHIYYMLDJLBFQ-UHFFFAOYSA-N 1 2 310.419 1.329 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CC(n3cc[nH+]c3)C2)CCCCC1 ZINC000547793228 341232877 /nfs/dbraw/zinc/23/28/77/341232877.db2.gz WLWGHOTVZSYETL-UHFFFAOYSA-N 1 2 308.407 1.544 20 30 DDEDLO Cn1c2c([nH+]c1[C@H]1CCCN1CC(=O)NCC#N)CCCC2 ZINC000548021786 341243387 /nfs/dbraw/zinc/24/33/87/341243387.db2.gz AMBLCQYXGVEQLK-CQSZACIVSA-N 1 2 301.394 1.076 20 30 DDEDLO COc1cccc2c1OCC(C(=O)N[C@@](C)(C#N)C[NH+](C)C)=C2 ZINC000565051359 341515969 /nfs/dbraw/zinc/51/59/69/341515969.db2.gz LPTXOVBQZMEKAX-KRWDZBQOSA-N 1 2 315.373 1.431 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2c(F)cc(F)cc2F)CC1 ZINC000154043178 341583737 /nfs/dbraw/zinc/58/37/37/341583737.db2.gz RUDMCJAQOZPQSV-UHFFFAOYSA-N 1 2 311.307 1.293 20 30 DDEDLO CC(C)N(C(=O)[C@@H](C)[NH+]1CCN(C(=O)CC#N)CC1)C(C)C ZINC000572659779 341699321 /nfs/dbraw/zinc/69/93/21/341699321.db2.gz PZZBEAYJJLRJAE-CQSZACIVSA-N 1 2 308.426 1.078 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000672765693 485345436 /nfs/dbraw/zinc/34/54/36/485345436.db2.gz JQAIPRJHSXAVEY-UHFFFAOYSA-N 1 2 314.389 1.336 20 30 DDEDLO CCC#C[C@@H](C)[NH+]1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682370223 485574447 /nfs/dbraw/zinc/57/44/47/485574447.db2.gz UDBQHNPAHBLFJQ-CQSZACIVSA-N 1 2 321.446 1.258 20 30 DDEDLO C=CCOCCNC(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000679479875 485897480 /nfs/dbraw/zinc/89/74/80/485897480.db2.gz NUYYRMMSBBRRCO-UHFFFAOYSA-N 1 2 302.378 1.847 20 30 DDEDLO COCC#CCN1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000677133412 486397531 /nfs/dbraw/zinc/39/75/31/486397531.db2.gz USZDLLTXFUQHJW-UHFFFAOYSA-N 1 2 302.422 1.680 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC000677133396 486397944 /nfs/dbraw/zinc/39/79/44/486397944.db2.gz UBQWMAWXBSKOHF-UHFFFAOYSA-N 1 2 306.793 1.748 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cn3cccc(F)c3n2)C1=O ZINC000684966781 486469094 /nfs/dbraw/zinc/46/90/94/486469094.db2.gz NKXJPJXSPCTHSO-AWEZNQCLSA-N 1 2 302.353 1.692 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cn3cccc(F)c3n2)C1=O ZINC000684966781 486469098 /nfs/dbraw/zinc/46/90/98/486469098.db2.gz NKXJPJXSPCTHSO-AWEZNQCLSA-N 1 2 302.353 1.692 20 30 DDEDLO CCc1ccc(C(=O)N2CC[NH+](CC#CCOC)CC2)cc1 ZINC000677475566 486475240 /nfs/dbraw/zinc/47/52/40/486475240.db2.gz CNTSDDCZARIQMB-UHFFFAOYSA-N 1 2 300.402 1.657 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)CCCc2ccccc2)CC1 ZINC000677818480 486547873 /nfs/dbraw/zinc/54/78/73/486547873.db2.gz PKAQTMUKTUEBDV-UHFFFAOYSA-N 1 2 314.429 1.803 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)Cc2cccc(Cl)c2)CC1 ZINC000677820707 486549489 /nfs/dbraw/zinc/54/94/89/486549489.db2.gz SXUNXHZDEMTZDL-UHFFFAOYSA-N 1 2 320.820 1.677 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](c1ccc(Cl)cc1)[C@H]1C[N@H+](C)CCO1 ZINC000346177335 534284773 /nfs/dbraw/zinc/28/47/73/534284773.db2.gz KGTUGYWHNQOWQY-KCPJHIHWSA-N 1 2 321.808 1.988 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](c1ccc(Cl)cc1)[C@H]1C[N@@H+](C)CCO1 ZINC000346177335 534284776 /nfs/dbraw/zinc/28/47/76/534284776.db2.gz KGTUGYWHNQOWQY-KCPJHIHWSA-N 1 2 321.808 1.988 20 30 DDEDLO COC(=O)c1cnc([C@@H](C)[NH2+]Cc2cc(C#N)n(C)c2)s1 ZINC000424199974 534308475 /nfs/dbraw/zinc/30/84/75/534308475.db2.gz LPRSAODTXJJCGK-SECBINFHSA-N 1 2 304.375 1.991 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N(C[C@H](C)O)[C@@H](C)CO)CCC2 ZINC000331275452 534511028 /nfs/dbraw/zinc/51/10/28/534511028.db2.gz DZRJYMQHRYEDBZ-IHRRRGAJSA-N 1 2 324.425 1.047 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCOC[C@H]1CC1CCC1 ZINC000339767393 534644390 /nfs/dbraw/zinc/64/43/90/534644390.db2.gz JKQHJRXMWQHZAK-MRXNPFEDSA-N 1 2 318.421 1.533 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCOC[C@H]1CC1CCC1 ZINC000339767393 534644395 /nfs/dbraw/zinc/64/43/95/534644395.db2.gz JKQHJRXMWQHZAK-MRXNPFEDSA-N 1 2 318.421 1.533 20 30 DDEDLO Cc1[nH+]c2ccc(C(=O)NCCNC(=O)N(C)C)cc2n1C ZINC000331076693 534647670 /nfs/dbraw/zinc/64/76/70/534647670.db2.gz VNXANLQMCJTMCX-UHFFFAOYSA-N 1 2 303.366 1.662 20 30 DDEDLO N#C[C@H]1CCC[C@H]1[NH2+]CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000331632662 534749793 /nfs/dbraw/zinc/74/97/93/534749793.db2.gz IPUIPSXGYOIKLL-TZMCWYRMSA-N 1 2 314.345 1.766 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)C[N@H+]1CCCOc1cccc(C#N)c1 ZINC000156355792 523983027 /nfs/dbraw/zinc/98/30/27/523983027.db2.gz GXGDIICGIRPFIG-HZPDHXFCSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)C[N@@H+]1CCCOc1cccc(C#N)c1 ZINC000156355792 523983031 /nfs/dbraw/zinc/98/30/31/523983031.db2.gz GXGDIICGIRPFIG-HZPDHXFCSA-N 1 2 318.373 1.589 20 30 DDEDLO O=C(NCC1([NH+]2CCOCC2)CC1)[C@@H]1CCc2c[nH]nc2C1 ZINC000329897727 534751730 /nfs/dbraw/zinc/75/17/30/534751730.db2.gz DDHANBJTCVXPIQ-GFCCVEGCSA-N 1 2 304.394 1.336 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N1CCC(C(=O)N(C)C(C)C)CC1 ZINC000339757857 526358786 /nfs/dbraw/zinc/35/87/86/526358786.db2.gz WSGWEMAQQJLGEL-UHFFFAOYSA-N 1 2 307.438 1.047 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N1CCC(C(=O)N(C)C(C)C)CC1 ZINC000339757857 526358790 /nfs/dbraw/zinc/35/87/90/526358790.db2.gz WSGWEMAQQJLGEL-UHFFFAOYSA-N 1 2 307.438 1.047 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C)c(Cl)c2)C1 ZINC000330951742 526401481 /nfs/dbraw/zinc/40/14/81/526401481.db2.gz QBWLHQBRNHQBJO-GFCCVEGCSA-N 1 2 324.812 1.795 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C)c(Cl)c2)C1 ZINC000330951742 526401484 /nfs/dbraw/zinc/40/14/84/526401484.db2.gz QBWLHQBRNHQBJO-GFCCVEGCSA-N 1 2 324.812 1.795 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccc(C)c(Cl)c2)C1 ZINC000330951743 526402509 /nfs/dbraw/zinc/40/25/09/526402509.db2.gz QBWLHQBRNHQBJO-LBPRGKRZSA-N 1 2 324.812 1.795 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C)c(Cl)c2)C1 ZINC000330951743 526402510 /nfs/dbraw/zinc/40/25/10/526402510.db2.gz QBWLHQBRNHQBJO-LBPRGKRZSA-N 1 2 324.812 1.795 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccc(C)c(F)c2)C1=O ZINC000337201787 526468498 /nfs/dbraw/zinc/46/84/98/526468498.db2.gz DLHUFAPNSRZOJO-OAHLLOKOSA-N 1 2 319.380 1.791 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccc(C)c(F)c2)C1=O ZINC000337201787 526468500 /nfs/dbraw/zinc/46/85/00/526468500.db2.gz DLHUFAPNSRZOJO-OAHLLOKOSA-N 1 2 319.380 1.791 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccc(C)c(C)c2)C1=O ZINC000337201339 526468966 /nfs/dbraw/zinc/46/89/66/526468966.db2.gz CURXCZXECSNPIY-MRXNPFEDSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccc(C)c(C)c2)C1=O ZINC000337201339 526468970 /nfs/dbraw/zinc/46/89/70/526468970.db2.gz CURXCZXECSNPIY-MRXNPFEDSA-N 1 2 315.417 1.961 20 30 DDEDLO CC(C)(C)OC(=O)NCc1ccc(C(N)=[NH+]OCCCO)cc1 ZINC000495381573 526477496 /nfs/dbraw/zinc/47/74/96/526477496.db2.gz BHVOHDWDFBMWLR-UHFFFAOYSA-N 1 2 323.393 1.731 20 30 DDEDLO C=CCN1CC[C@H](N2CC[NH+](Cc3cccs3)CC2)C1=O ZINC000337124432 526509945 /nfs/dbraw/zinc/50/99/45/526509945.db2.gz CWFNRJPPTTYJBX-HNNXBMFYSA-N 1 2 305.447 1.653 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@@H](c3ccccc3)[C@@](F)(CO)C2)C1=O ZINC000451281825 526510776 /nfs/dbraw/zinc/51/07/76/526510776.db2.gz JZHSHIYRUWIODI-BQFCYCMXSA-N 1 2 318.392 1.573 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@@H](c3ccccc3)[C@@](F)(CO)C2)C1=O ZINC000451281825 526510782 /nfs/dbraw/zinc/51/07/82/526510782.db2.gz JZHSHIYRUWIODI-BQFCYCMXSA-N 1 2 318.392 1.573 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](CCc2cccc(Cl)c2)CC1 ZINC000459314961 526537356 /nfs/dbraw/zinc/53/73/56/526537356.db2.gz WSWKYBDDDMYTLC-UHFFFAOYSA-N 1 2 321.852 1.802 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(CCc2cccc(Cl)c2)CC1 ZINC000459314961 526537365 /nfs/dbraw/zinc/53/73/65/526537365.db2.gz WSWKYBDDDMYTLC-UHFFFAOYSA-N 1 2 321.852 1.802 20 30 DDEDLO C#CC[N@@H+](CCc1cccc(F)c1F)[C@@H]1CCS(=O)(=O)C1 ZINC000490936554 526900682 /nfs/dbraw/zinc/90/06/82/526900682.db2.gz QSOFCKRLNAKSCI-CYBMUJFWSA-N 1 2 313.369 1.630 20 30 DDEDLO C#CC[N@H+](CCc1cccc(F)c1F)[C@@H]1CCS(=O)(=O)C1 ZINC000490936554 526900688 /nfs/dbraw/zinc/90/06/88/526900688.db2.gz QSOFCKRLNAKSCI-CYBMUJFWSA-N 1 2 313.369 1.630 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(C)cc2SC)CC1 ZINC000491415068 526952165 /nfs/dbraw/zinc/95/21/65/526952165.db2.gz FZIRHJLXEGCFKR-UHFFFAOYSA-N 1 2 317.458 1.906 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cnc(Cl)c(F)c2)CC1 ZINC000491817313 526952650 /nfs/dbraw/zinc/95/26/50/526952650.db2.gz ODDSRGWNFTWYQR-UHFFFAOYSA-N 1 2 311.744 1.030 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cccc(Cl)c2F)CC1 ZINC000491023288 526952881 /nfs/dbraw/zinc/95/28/81/526952881.db2.gz WXDZYJDLLBSREW-UHFFFAOYSA-N 1 2 310.756 1.635 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccccc2-n2cccn2)CC1 ZINC000491771327 526953436 /nfs/dbraw/zinc/95/34/36/526953436.db2.gz OITAFRDDZMDWPI-UHFFFAOYSA-N 1 2 324.384 1.029 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cccc([N+](=O)[O-])c2C)CC1 ZINC000491749368 526953705 /nfs/dbraw/zinc/95/37/05/526953705.db2.gz ZCRLGJLLDGRGMA-UHFFFAOYSA-N 1 2 317.345 1.059 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCO3)n2CC(C)C)CC1 ZINC000491251922 526957961 /nfs/dbraw/zinc/95/79/61/526957961.db2.gz DEKRRWCZYWVRCI-OAHLLOKOSA-N 1 2 317.437 1.541 20 30 DDEDLO C#CCNC(=O)C1CCN(c2[nH+]c3cccc(F)c3n2C)CC1 ZINC000491105903 526973138 /nfs/dbraw/zinc/97/31/38/526973138.db2.gz WQGKHMDTKNJECU-UHFFFAOYSA-N 1 2 314.364 1.678 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN([C@@H](C)c2cc(F)ccc2F)CC1 ZINC000491209980 527002888 /nfs/dbraw/zinc/00/28/88/527002888.db2.gz OJTBANFHMZVRTH-ZDUSSCGKSA-N 1 2 321.371 1.393 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000491810566 527212618 /nfs/dbraw/zinc/21/26/18/527212618.db2.gz IXYKKJCQTSUOHG-SNVBAGLBSA-N 1 2 316.340 1.002 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000491810566 527212622 /nfs/dbraw/zinc/21/26/22/527212622.db2.gz IXYKKJCQTSUOHG-SNVBAGLBSA-N 1 2 316.340 1.002 20 30 DDEDLO C#C[C@H](CC)NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000491689374 527346817 /nfs/dbraw/zinc/34/68/17/527346817.db2.gz IEHNUPHWOOGOCG-GFCCVEGCSA-N 1 2 324.384 1.917 20 30 DDEDLO C#C[C@H](CC)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000491452662 527358206 /nfs/dbraw/zinc/35/82/06/527358206.db2.gz MVEGPYYZLWAZPQ-OCCSQVGLSA-N 1 2 304.394 1.011 20 30 DDEDLO C#C[C@H](CC)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000491452662 527358211 /nfs/dbraw/zinc/35/82/11/527358211.db2.gz MVEGPYYZLWAZPQ-OCCSQVGLSA-N 1 2 304.394 1.011 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C(C)C ZINC000491811775 527404640 /nfs/dbraw/zinc/40/46/40/527404640.db2.gz FLXJNOGTZMAVFO-GJZGRUSLSA-N 1 2 318.421 1.257 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C(C)C ZINC000491811775 527404647 /nfs/dbraw/zinc/40/46/47/527404647.db2.gz FLXJNOGTZMAVFO-GJZGRUSLSA-N 1 2 318.421 1.257 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000491814342 527427478 /nfs/dbraw/zinc/42/74/78/527427478.db2.gz MTDJMKOEOPYPHZ-ZDUSSCGKSA-N 1 2 317.345 1.566 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000491814342 527427480 /nfs/dbraw/zinc/42/74/80/527427480.db2.gz MTDJMKOEOPYPHZ-ZDUSSCGKSA-N 1 2 317.345 1.566 20 30 DDEDLO CC(C)(C#N)CNC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000357895102 527473012 /nfs/dbraw/zinc/47/30/12/527473012.db2.gz YLIYSBBUKSDXID-UHFFFAOYSA-N 1 2 311.345 1.477 20 30 DDEDLO CC(C)(C(=O)NCC#Cc1cccc(F)c1)[NH+]1CCOCC1 ZINC000338238261 527514630 /nfs/dbraw/zinc/51/46/30/527514630.db2.gz BUBPOZXMBFREDF-UHFFFAOYSA-N 1 2 304.365 1.404 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000330600525 527550733 /nfs/dbraw/zinc/55/07/33/527550733.db2.gz YJSACAVEWNWIKT-GOEBONIOSA-N 1 2 307.394 1.331 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000331477694 527935764 /nfs/dbraw/zinc/93/57/64/527935764.db2.gz MVELVMSVLODGHE-SMKXDYDZSA-N 1 2 307.438 1.929 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000331477694 527935769 /nfs/dbraw/zinc/93/57/69/527935769.db2.gz MVELVMSVLODGHE-SMKXDYDZSA-N 1 2 307.438 1.929 20 30 DDEDLO CC(C)c1nnc(CN2CC[NH+]([C@@H](C)C(=O)NC3CC3)CC2)[nH]1 ZINC000330336215 528247688 /nfs/dbraw/zinc/24/76/88/528247688.db2.gz ZISPEWMGVBIODJ-LBPRGKRZSA-N 1 2 320.441 1.553 20 30 DDEDLO CCC(=O)N[C@@H]1CCCN(C(=O)N[C@@H](C)Cn2cc[nH+]c2)C1 ZINC000330452622 528398075 /nfs/dbraw/zinc/39/80/75/528398075.db2.gz KZGUDGDQZCHTSP-QWHCGFSZSA-N 1 2 307.398 1.176 20 30 DDEDLO CCC(=O)N[C@@H]1CCCN(C([O-])=[NH+][C@@H](C)Cn2cc[nH+]c2)C1 ZINC000330452622 528398077 /nfs/dbraw/zinc/39/80/77/528398077.db2.gz KZGUDGDQZCHTSP-QWHCGFSZSA-N 1 2 307.398 1.176 20 30 DDEDLO CC(C)[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000330732427 528746799 /nfs/dbraw/zinc/74/67/99/528746799.db2.gz JMBIQIKMOMZQSE-INIZCTEOSA-N 1 2 301.390 1.531 20 30 DDEDLO CC(C)[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000330732427 528746802 /nfs/dbraw/zinc/74/68/02/528746802.db2.gz JMBIQIKMOMZQSE-INIZCTEOSA-N 1 2 301.390 1.531 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C[C@H](O)c1ccc(-c2nn[nH]n2)cc1)C1CC1 ZINC000824034030 607831480 /nfs/dbraw/zinc/83/14/80/607831480.db2.gz FYKSTDSVAJLPMB-NHYWBVRUSA-N 1 2 312.377 1.524 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C[C@H](O)c1ccc(-c2nn[nH]n2)cc1)C1CC1 ZINC000824034030 607831479 /nfs/dbraw/zinc/83/14/79/607831479.db2.gz FYKSTDSVAJLPMB-NHYWBVRUSA-N 1 2 312.377 1.524 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1cc(-c2nn[nH]n2)cs1 ZINC000823850758 607923026 /nfs/dbraw/zinc/92/30/26/607923026.db2.gz SEVSCPHRPJKGKM-LLVKDONJSA-N 1 2 317.374 1.183 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1cc(-c2nn[nH]n2)cs1 ZINC000823850758 607923027 /nfs/dbraw/zinc/92/30/27/607923027.db2.gz SEVSCPHRPJKGKM-LLVKDONJSA-N 1 2 317.374 1.183 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(C)s3)C2)C1 ZINC000972290692 695206960 /nfs/dbraw/zinc/20/69/60/695206960.db2.gz GDNURJDVJMGFFT-INIZCTEOSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(C)s3)C2)C1 ZINC000972290692 695206961 /nfs/dbraw/zinc/20/69/61/695206961.db2.gz GDNURJDVJMGFFT-INIZCTEOSA-N 1 2 319.430 1.392 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3CCCCO3)C2)C1 ZINC000972332900 695219336 /nfs/dbraw/zinc/21/93/36/695219336.db2.gz NODFDICXGBNRFC-WBVHZDCISA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3CCCCO3)C2)C1 ZINC000972332900 695219338 /nfs/dbraw/zinc/21/93/38/695219338.db2.gz NODFDICXGBNRFC-WBVHZDCISA-N 1 2 308.422 1.435 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(F)CCCC3)C2)C1 ZINC000972444205 695253000 /nfs/dbraw/zinc/25/30/00/695253000.db2.gz PRZAEBYIUJXNJV-MRXNPFEDSA-N 1 2 308.397 1.595 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(F)CCCC3)C2)C1 ZINC000972444205 695253003 /nfs/dbraw/zinc/25/30/03/695253003.db2.gz PRZAEBYIUJXNJV-MRXNPFEDSA-N 1 2 308.397 1.595 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cncc(C)c3)C2)C1 ZINC000972482582 695261003 /nfs/dbraw/zinc/26/10/03/695261003.db2.gz CLYPJBYLPNHHOK-SFHVURJKSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cncc(C)c3)C2)C1 ZINC000972482582 695261005 /nfs/dbraw/zinc/26/10/05/695261005.db2.gz CLYPJBYLPNHHOK-SFHVURJKSA-N 1 2 315.417 1.422 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C(C)(C)C1 ZINC000974998002 695774997 /nfs/dbraw/zinc/77/49/97/695774997.db2.gz AFLHVYDMOGGAOP-LLVKDONJSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C(C)(C)C1 ZINC000974998002 695774998 /nfs/dbraw/zinc/77/49/98/695774998.db2.gz AFLHVYDMOGGAOP-LLVKDONJSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975046725 695784690 /nfs/dbraw/zinc/78/46/90/695784690.db2.gz XQTBBRDKLKEMSJ-NHYWBVRUSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975046725 695784694 /nfs/dbraw/zinc/78/46/94/695784694.db2.gz XQTBBRDKLKEMSJ-NHYWBVRUSA-N 1 2 313.829 1.092 20 30 DDEDLO COc1ccccc1CNC(=O)CO[NH+]=C(N)c1ccccc1 ZINC000072807252 696397225 /nfs/dbraw/zinc/39/72/25/696397225.db2.gz JPFWBGNUJCXBFE-UHFFFAOYSA-N 1 2 313.357 1.649 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H]([C@@H](C)[NH2+]Cc2nc(C)no2)C1 ZINC000979382788 696542073 /nfs/dbraw/zinc/54/20/73/696542073.db2.gz XSIPEMCIUIQWHB-YPMHNXCESA-N 1 2 322.409 1.296 20 30 DDEDLO C=CCNC(=O)[C@H](C)OC(=O)[C@@H]([NH2+]C1CCOCC1)C(C)C ZINC000748472863 700120536 /nfs/dbraw/zinc/12/05/36/700120536.db2.gz SQEVDBGPUINAJK-JSGCOSHPSA-N 1 2 312.410 1.014 20 30 DDEDLO C#CCC[N@H+]1CCCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000981786323 696915248 /nfs/dbraw/zinc/91/52/48/696915248.db2.gz DMICDAKLECKBKR-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@@H+]1CCCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000981786323 696915250 /nfs/dbraw/zinc/91/52/50/696915250.db2.gz DMICDAKLECKBKR-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@H+]1CCCC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC000981786323 696915252 /nfs/dbraw/zinc/91/52/52/696915252.db2.gz DMICDAKLECKBKR-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@@H+]1CCCC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC000981786323 696915255 /nfs/dbraw/zinc/91/52/55/696915255.db2.gz DMICDAKLECKBKR-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](CC(=O)NC2CCCCC2)CC1 ZINC000980848419 696916443 /nfs/dbraw/zinc/91/64/43/696916443.db2.gz WQDNOVYCRSESLR-AWEZNQCLSA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](CC(=O)NC2CCCCC2)CC1 ZINC000980848419 696916446 /nfs/dbraw/zinc/91/64/46/696916446.db2.gz WQDNOVYCRSESLR-AWEZNQCLSA-N 1 2 320.437 1.129 20 30 DDEDLO N#CCN1CCCC2(CN(C(=O)c3cccc4[nH+]ccn43)C2)C1 ZINC000981891662 696957850 /nfs/dbraw/zinc/95/78/50/696957850.db2.gz POODFGYOFWIQEI-UHFFFAOYSA-N 1 2 309.373 1.396 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2CCOC[C@H]2C)CC1 ZINC000981905720 696963514 /nfs/dbraw/zinc/96/35/14/696963514.db2.gz PHSRZFRDZMFRBZ-TZMCWYRMSA-N 1 2 300.830 1.946 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2CCOC[C@H]2C)CC1 ZINC000981905720 696963515 /nfs/dbraw/zinc/96/35/15/696963515.db2.gz PHSRZFRDZMFRBZ-TZMCWYRMSA-N 1 2 300.830 1.946 20 30 DDEDLO Cc1oncc1C[N@@H+]1CCCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000981001820 696976171 /nfs/dbraw/zinc/97/61/71/696976171.db2.gz TYRQIDWQNNCXPM-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO Cc1oncc1C[N@H+]1CCCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000981001820 696976174 /nfs/dbraw/zinc/97/61/74/696976174.db2.gz TYRQIDWQNNCXPM-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CCC[C@H](NCC#N)C2)c[nH+]1 ZINC000981166467 697024487 /nfs/dbraw/zinc/02/44/87/697024487.db2.gz MGFSRBUEWBHBPR-KBPBESRZSA-N 1 2 303.410 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@]2(C)CN(C(=O)Cc3ccn[nH]3)C[C@@]2(C)C1 ZINC000982243728 697071514 /nfs/dbraw/zinc/07/15/14/697071514.db2.gz GLSQESKDICVWTG-IYBDPMFKSA-N 1 2 322.840 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@]2(C)CN(C(=O)Cc3ccn[nH]3)C[C@@]2(C)C1 ZINC000982243728 697071517 /nfs/dbraw/zinc/07/15/17/697071517.db2.gz GLSQESKDICVWTG-IYBDPMFKSA-N 1 2 322.840 1.875 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCCOc1ccccc1[N+](=O)[O-] ZINC000181719312 697464613 /nfs/dbraw/zinc/46/46/13/697464613.db2.gz QQSXPEIFMWCBMO-UHFFFAOYSA-N 1 2 316.317 1.900 20 30 DDEDLO NC(=NOCc1ccon1)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181838963 697465480 /nfs/dbraw/zinc/46/54/80/697465480.db2.gz CGKDIZCBAMWWET-OAHLLOKOSA-N 1 2 316.361 1.364 20 30 DDEDLO NC(=NOCc1ccon1)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181838963 697465482 /nfs/dbraw/zinc/46/54/82/697465482.db2.gz CGKDIZCBAMWWET-OAHLLOKOSA-N 1 2 316.361 1.364 20 30 DDEDLO COCCCON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000183298645 697486190 /nfs/dbraw/zinc/48/61/90/697486190.db2.gz MNPFUODDVXUEHV-HNNXBMFYSA-N 1 2 307.394 1.213 20 30 DDEDLO COCCCON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000183298645 697486194 /nfs/dbraw/zinc/48/61/94/697486194.db2.gz MNPFUODDVXUEHV-HNNXBMFYSA-N 1 2 307.394 1.213 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC([N@H+](C)Cc2ncnn2C)CC1 ZINC000985619527 697543944 /nfs/dbraw/zinc/54/39/44/697543944.db2.gz RWVSDKKXAVVRIH-QGZVFWFLSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC([N@@H+](C)Cc2ncnn2C)CC1 ZINC000985619527 697543945 /nfs/dbraw/zinc/54/39/45/697543945.db2.gz RWVSDKKXAVVRIH-QGZVFWFLSA-N 1 2 319.453 1.840 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@H]1CC2(CCC2)C(=O)O1 ZINC000192054500 697618721 /nfs/dbraw/zinc/61/87/21/697618721.db2.gz CAGWMYRQCZHDEY-OAHLLOKOSA-N 1 2 321.421 1.020 20 30 DDEDLO C[C@@H]1C[N@H+](CCOC(=O)Cc2ccc(OCC#N)cc2)CCO1 ZINC000800166398 700196949 /nfs/dbraw/zinc/19/69/49/700196949.db2.gz YQKNJLDKKRIWQC-CQSZACIVSA-N 1 2 318.373 1.395 20 30 DDEDLO C[C@@H]1C[N@@H+](CCOC(=O)Cc2ccc(OCC#N)cc2)CCO1 ZINC000800166398 700196951 /nfs/dbraw/zinc/19/69/51/700196951.db2.gz YQKNJLDKKRIWQC-CQSZACIVSA-N 1 2 318.373 1.395 20 30 DDEDLO C[C@@H]1C[C@@H]([NH2+]Cc2nc(C3CC3)no2)CN1C(=O)C#CC1CC1 ZINC000988827313 698477570 /nfs/dbraw/zinc/47/75/70/698477570.db2.gz RUELZUUKSUCJCH-BXUZGUMPSA-N 1 2 314.389 1.439 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)N2CCC(n3cc[nH+]c3)CC2)cc1 ZINC000780920599 698571994 /nfs/dbraw/zinc/57/19/94/698571994.db2.gz KTCKAYZPODOJGQ-INIZCTEOSA-N 1 2 310.357 1.652 20 30 DDEDLO C[C@@H]1CCN(C(=O)[C@@H](O)c2ccc(C#N)cc2)C[C@H]1n1cc[nH+]c1 ZINC000780970104 698578582 /nfs/dbraw/zinc/57/85/82/698578582.db2.gz UNRMMSUGCRNZCJ-XYPHTWIQSA-N 1 2 324.384 1.898 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1C[C@H](C)N(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000989783125 698761455 /nfs/dbraw/zinc/76/14/55/698761455.db2.gz PREHVGOTSWGHBD-CMPLNLGQSA-N 1 2 319.796 1.903 20 30 DDEDLO C#CCOCCNC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000784545457 699026070 /nfs/dbraw/zinc/02/60/70/699026070.db2.gz OBMZEMJZXMDSCN-UHFFFAOYSA-N 1 2 307.419 1.225 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)OC(=O)COc1ccc(C#N)cc1 ZINC000784912875 699046594 /nfs/dbraw/zinc/04/65/94/699046594.db2.gz CFEDCVIOVGQEFC-ZDUSSCGKSA-N 1 2 304.346 1.201 20 30 DDEDLO CCOc1ccc(/C=[NH+]\CCCN2C(=O)CCC2=O)c(O)c1 ZINC000729936401 699495685 /nfs/dbraw/zinc/49/56/85/699495685.db2.gz RRQPRPAYKAMLGG-BOPFTXTBSA-N 1 2 304.346 1.749 20 30 DDEDLO C=CCNC(=O)COC(=O)[C@@H](c1ccccc1)[NH+](CC)CC ZINC000731908187 699540199 /nfs/dbraw/zinc/54/01/99/699540199.db2.gz NFYMFSAPIGFGND-MRXNPFEDSA-N 1 2 304.390 1.915 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2ccccc2C)CC1 ZINC000732217652 699550784 /nfs/dbraw/zinc/55/07/84/699550784.db2.gz CLOBREWPTWQYQX-UHFFFAOYSA-N 1 2 306.431 1.466 20 30 DDEDLO CNC(=S)NN=C(C)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000735609246 699698086 /nfs/dbraw/zinc/69/80/86/699698086.db2.gz QPKCZSFRDWTQBJ-CQSZACIVSA-N 1 2 306.435 1.357 20 30 DDEDLO CNC(=S)NN=C(C)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000735609246 699698087 /nfs/dbraw/zinc/69/80/87/699698087.db2.gz QPKCZSFRDWTQBJ-CQSZACIVSA-N 1 2 306.435 1.357 20 30 DDEDLO COCCCN[NH+]=Cc1c(C)nn2c1[nH]c1ccccc1c2=O ZINC000794542796 699815415 /nfs/dbraw/zinc/81/54/15/699815415.db2.gz ZZCJQLUGJBMBFV-UHFFFAOYSA-N 1 2 313.361 1.444 20 30 DDEDLO C#CCOc1ccccc1C[NH2+]Cc1nnnn1-c1ccccc1 ZINC000742717463 699887836 /nfs/dbraw/zinc/88/78/36/699887836.db2.gz HRKUDOCBZPPCAG-UHFFFAOYSA-N 1 2 319.368 1.964 20 30 DDEDLO CCCCNC(=O)OC[C@@H]1CCCC[N@@H+]1CC(=O)NCCC#N ZINC000796160844 699916785 /nfs/dbraw/zinc/91/67/85/699916785.db2.gz YHRPSLRIYIEZQR-AWEZNQCLSA-N 1 2 324.425 1.397 20 30 DDEDLO CCCCNC(=O)OC[C@@H]1CCCC[N@H+]1CC(=O)NCCC#N ZINC000796160844 699916787 /nfs/dbraw/zinc/91/67/87/699916787.db2.gz YHRPSLRIYIEZQR-AWEZNQCLSA-N 1 2 324.425 1.397 20 30 DDEDLO N#Cc1ccc([C@H](OC(=O)CCc2ccc(N)[nH+]c2)C(N)=O)cc1 ZINC000751455775 700291320 /nfs/dbraw/zinc/29/13/20/700291320.db2.gz KXQMYFJEJZJEIG-INIZCTEOSA-N 1 2 324.340 1.238 20 30 DDEDLO C[C@@H](C#N)OCCOC(=O)[C@H](C)[N@@H+]1CCc2ccccc2C1 ZINC000801840639 700344467 /nfs/dbraw/zinc/34/44/67/700344467.db2.gz STAVWXCOPDPSDT-KBPBESRZSA-N 1 2 302.374 1.905 20 30 DDEDLO C[C@@H](C#N)OCCOC(=O)[C@H](C)[N@H+]1CCc2ccccc2C1 ZINC000801840639 700344470 /nfs/dbraw/zinc/34/44/70/700344470.db2.gz STAVWXCOPDPSDT-KBPBESRZSA-N 1 2 302.374 1.905 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@H+]2CCN(CC)C(=O)C2)c1O ZINC000758275911 700695864 /nfs/dbraw/zinc/69/58/64/700695864.db2.gz KFVVWGCBVUPGRC-UHFFFAOYSA-N 1 2 304.390 1.793 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@@H+]2CCN(CC)C(=O)C2)c1O ZINC000758275911 700695865 /nfs/dbraw/zinc/69/58/65/700695865.db2.gz KFVVWGCBVUPGRC-UHFFFAOYSA-N 1 2 304.390 1.793 20 30 DDEDLO C#Cc1ccc(C[NH2+]Cc2ncccc2S(C)(=O)=O)cc1 ZINC000809655887 701678704 /nfs/dbraw/zinc/67/87/04/701678704.db2.gz MHVIDMUKNGRCGE-UHFFFAOYSA-N 1 2 300.383 1.756 20 30 DDEDLO CON=CC(=O)N[C@H]1CC[N@H+](C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000809736195 701684182 /nfs/dbraw/zinc/68/41/82/701684182.db2.gz JMIZKQMBLSUWNM-JSGCOSHPSA-N 1 2 313.760 1.973 20 30 DDEDLO CON=CC(=O)N[C@H]1CC[N@@H+](C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000809736195 701684184 /nfs/dbraw/zinc/68/41/84/701684184.db2.gz JMIZKQMBLSUWNM-JSGCOSHPSA-N 1 2 313.760 1.973 20 30 DDEDLO O=[N+]([O-])c1ccccc1S(=O)(=O)NN=C1CC[N@@H+]2CCC[C@@H]12 ZINC000765580147 701019647 /nfs/dbraw/zinc/01/96/47/701019647.db2.gz HIQOHMNJWOCKLZ-NSHDSACASA-N 1 2 324.362 1.097 20 30 DDEDLO O=[N+]([O-])c1ccccc1S(=O)(=O)NN=C1CC[N@H+]2CCC[C@@H]12 ZINC000765580147 701019649 /nfs/dbraw/zinc/01/96/49/701019649.db2.gz HIQOHMNJWOCKLZ-NSHDSACASA-N 1 2 324.362 1.097 20 30 DDEDLO N#Cc1ccc(NCC(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000767509675 701112025 /nfs/dbraw/zinc/11/20/25/701112025.db2.gz HERXBTZYBKIQOG-IYBDPMFKSA-N 1 2 315.373 1.377 20 30 DDEDLO N#Cc1ccc(NCC(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000767509675 701112026 /nfs/dbraw/zinc/11/20/26/701112026.db2.gz HERXBTZYBKIQOG-IYBDPMFKSA-N 1 2 315.373 1.377 20 30 DDEDLO N#CCc1ccccc1CC(=O)OCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000767584043 701118435 /nfs/dbraw/zinc/11/84/35/701118435.db2.gz MKWCKYVYIPVBKY-CALCHBBNSA-N 1 2 314.385 1.702 20 30 DDEDLO N#CCc1ccccc1CC(=O)OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000767584043 701118436 /nfs/dbraw/zinc/11/84/36/701118436.db2.gz MKWCKYVYIPVBKY-CALCHBBNSA-N 1 2 314.385 1.702 20 30 DDEDLO C#CCNc1nc(N2CCC=C(C)C2)nc(N2CCC=C(C)C2)[nH+]1 ZINC000804683259 701207411 /nfs/dbraw/zinc/20/74/11/701207411.db2.gz RMWSZZCSPPDXKS-UHFFFAOYSA-N 1 2 324.432 1.651 20 30 DDEDLO C#CCNc1nc(N2CCC=C(C)C2)[nH+]c(N2CCC=C(C)C2)n1 ZINC000804683259 701207414 /nfs/dbraw/zinc/20/74/14/701207414.db2.gz RMWSZZCSPPDXKS-UHFFFAOYSA-N 1 2 324.432 1.651 20 30 DDEDLO NS(=O)(=O)c1ccc(NN=Cc2cccc3[nH+]ccn32)cc1 ZINC000771096510 701309939 /nfs/dbraw/zinc/30/99/39/701309939.db2.gz GCYNGPPRNGFXCG-UHFFFAOYSA-N 1 2 315.358 1.428 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ncc(C#N)cc2Cl)CC1 ZINC000771831074 701333785 /nfs/dbraw/zinc/33/37/85/701333785.db2.gz HMIHKACXEMVRHK-UHFFFAOYSA-N 1 2 302.765 1.388 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCc2ccc(OCC#N)cc2)C1 ZINC000805468239 701383294 /nfs/dbraw/zinc/38/32/94/701383294.db2.gz DOLRTLQHQCEBTE-HNNXBMFYSA-N 1 2 304.346 1.353 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCc2ccc(OCC#N)cc2)C1 ZINC000805468239 701383295 /nfs/dbraw/zinc/38/32/95/701383295.db2.gz DOLRTLQHQCEBTE-HNNXBMFYSA-N 1 2 304.346 1.353 20 30 DDEDLO C#CCOc1ccc(F)cc1NC(=O)NCc1c[nH+]cn1C ZINC000809879054 701696770 /nfs/dbraw/zinc/69/67/70/701696770.db2.gz JNKNESTWWBGUIO-UHFFFAOYSA-N 1 2 302.309 1.893 20 30 DDEDLO C=C(Br)C[N@H+]1CCc2c(CC(N)=O)cccc2C1 ZINC000879230040 706622218 /nfs/dbraw/zinc/62/22/18/706622218.db2.gz HNGVHBRRVVFRAO-UHFFFAOYSA-N 1 2 309.207 1.981 20 30 DDEDLO C=C(Br)C[N@@H+]1CCc2c(CC(N)=O)cccc2C1 ZINC000879230040 706622222 /nfs/dbraw/zinc/62/22/22/706622222.db2.gz HNGVHBRRVVFRAO-UHFFFAOYSA-N 1 2 309.207 1.981 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@H](C)O[C@]3(CCO[C@@H]3C)C2)C1=O ZINC000840066211 701948854 /nfs/dbraw/zinc/94/88/54/701948854.db2.gz VCRQEOPTJWLEPT-SQWLQELKSA-N 1 2 309.410 1.136 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@H](C)O[C@]3(CCO[C@@H]3C)C2)C1=O ZINC000840066211 701948856 /nfs/dbraw/zinc/94/88/56/701948856.db2.gz VCRQEOPTJWLEPT-SQWLQELKSA-N 1 2 309.410 1.136 20 30 DDEDLO C[NH+]1CCN(C2CCN(c3ccc(Cl)c(C#N)n3)CC2)CC1 ZINC000866213997 706651071 /nfs/dbraw/zinc/65/10/71/706651071.db2.gz GAWCAAGJUCBGGO-UHFFFAOYSA-N 1 2 319.840 1.823 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000846332069 703265957 /nfs/dbraw/zinc/26/59/57/703265957.db2.gz DYKOKLRAYLAFGM-WBVHZDCISA-N 1 2 324.384 1.509 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1CC[C@@H](c2ccc([N+](=O)[O-])cc2)C1=O ZINC000848485738 703556104 /nfs/dbraw/zinc/55/61/04/703556104.db2.gz VUSZVTXVGSTPEW-WFASDCNBSA-N 1 2 301.346 1.822 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1CC[C@@H](c2ccc([N+](=O)[O-])cc2)C1=O ZINC000848485738 703556106 /nfs/dbraw/zinc/55/61/06/703556106.db2.gz VUSZVTXVGSTPEW-WFASDCNBSA-N 1 2 301.346 1.822 20 30 DDEDLO C#C[C@H]1CCCN(c2nc(NC)nc(N3CCC[C@H](C#C)C3)[nH+]2)C1 ZINC000848750879 703584029 /nfs/dbraw/zinc/58/40/29/703584029.db2.gz VDTROSRJPORXHV-GJZGRUSLSA-N 1 2 324.432 1.034 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000852263406 703990862 /nfs/dbraw/zinc/99/08/62/703990862.db2.gz RNBPSVVNCIBPHX-WBVHZDCISA-N 1 2 314.433 1.124 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000852263406 703990866 /nfs/dbraw/zinc/99/08/66/703990866.db2.gz RNBPSVVNCIBPHX-WBVHZDCISA-N 1 2 314.433 1.124 20 30 DDEDLO C=CCNC(=O)C[N@H+](C1CCC1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000852446597 704051607 /nfs/dbraw/zinc/05/16/07/704051607.db2.gz KJWPGQVJRHLVRT-UHFFFAOYSA-N 1 2 323.437 1.762 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C1CCC1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000852446597 704051609 /nfs/dbraw/zinc/05/16/09/704051609.db2.gz KJWPGQVJRHLVRT-UHFFFAOYSA-N 1 2 323.437 1.762 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)Nc1cc(C(C)(C)C)no1 ZINC000852751303 704113861 /nfs/dbraw/zinc/11/38/61/704113861.db2.gz WPNJQBRVCCVGIQ-UHFFFAOYSA-N 1 2 307.394 1.882 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)Nc1cc(C(C)(C)C)no1 ZINC000852751303 704113865 /nfs/dbraw/zinc/11/38/65/704113865.db2.gz WPNJQBRVCCVGIQ-UHFFFAOYSA-N 1 2 307.394 1.882 20 30 DDEDLO C=CC[N@H+](CN1C[C@@H](c2cccnc2)CC1=O)[C@@H](C)COC ZINC000853533962 704261645 /nfs/dbraw/zinc/26/16/45/704261645.db2.gz FMCCUTPDPUYXDT-HOCLYGCPSA-N 1 2 303.406 1.878 20 30 DDEDLO C=CC[N@@H+](CN1C[C@@H](c2cccnc2)CC1=O)[C@@H](C)COC ZINC000853533962 704261648 /nfs/dbraw/zinc/26/16/48/704261648.db2.gz FMCCUTPDPUYXDT-HOCLYGCPSA-N 1 2 303.406 1.878 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)[C@@]2(CCCO2)[C@H]1C(C)C ZINC000853620769 704287542 /nfs/dbraw/zinc/28/75/42/704287542.db2.gz DBEKECPOUBQZHV-NVXWUHKLSA-N 1 2 308.422 1.332 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)[C@@]2(CCCO2)[C@H]1C(C)C ZINC000853620769 704287545 /nfs/dbraw/zinc/28/75/45/704287545.db2.gz DBEKECPOUBQZHV-NVXWUHKLSA-N 1 2 308.422 1.332 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2)c1ccccc1 ZINC000820583668 704304965 /nfs/dbraw/zinc/30/49/65/704304965.db2.gz KMLMLBMWTDPLRK-BBWFWOEESA-N 1 2 313.401 1.523 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2)c1ccccc1 ZINC000820583668 704304970 /nfs/dbraw/zinc/30/49/70/704304970.db2.gz KMLMLBMWTDPLRK-BBWFWOEESA-N 1 2 313.401 1.523 20 30 DDEDLO O=C(C#Cc1cccs1)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000854730783 704445421 /nfs/dbraw/zinc/44/54/21/704445421.db2.gz QQDDMNTUNIWADT-AWEZNQCLSA-N 1 2 304.415 1.423 20 30 DDEDLO CC(C)(C)OC(=O)C[C@](C)(C#N)C(=O)N[C@H]1CCn2c[nH+]cc21 ZINC000857981754 704654931 /nfs/dbraw/zinc/65/49/31/704654931.db2.gz IPFMTZZPLRORNM-MEDUHNTESA-N 1 2 318.377 1.706 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2C(=O)N[C@H]2CCn3c[nH+]cc32)cc1 ZINC000857984211 704655541 /nfs/dbraw/zinc/65/55/41/704655541.db2.gz HPUAAZCSOCPVEB-HOTGVXAUSA-N 1 2 321.384 1.985 20 30 DDEDLO C#CC[C@H](COC)NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000858126614 704668679 /nfs/dbraw/zinc/66/86/79/704668679.db2.gz CWWZPCASQGQSKT-OAHLLOKOSA-N 1 2 316.405 1.519 20 30 DDEDLO C#CC[C@@H](COC)[NH2+]Cc1csc(N(C(C)=O)C2CC2)n1 ZINC000858335539 704696511 /nfs/dbraw/zinc/69/65/11/704696511.db2.gz XEZAAEMOQQKORS-LBPRGKRZSA-N 1 2 307.419 1.786 20 30 DDEDLO C#CCNC(=O)C[N@@H+](CCOC)Cc1ccc(F)c(Cl)c1 ZINC000832529995 706932305 /nfs/dbraw/zinc/93/23/05/706932305.db2.gz UIYMUALHKAPDCD-UHFFFAOYSA-N 1 2 312.772 1.677 20 30 DDEDLO C#CCNC(=O)C[N@H+](CCOC)Cc1ccc(F)c(Cl)c1 ZINC000832529995 706932306 /nfs/dbraw/zinc/93/23/06/706932306.db2.gz UIYMUALHKAPDCD-UHFFFAOYSA-N 1 2 312.772 1.677 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)C1CCOCC1 ZINC000823186553 705165603 /nfs/dbraw/zinc/16/56/03/705165603.db2.gz OKKAIOBTJFUPMH-HZPDHXFCSA-N 1 2 316.405 1.658 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000823512919 705258361 /nfs/dbraw/zinc/25/83/61/705258361.db2.gz QUWTZFGIUYMCAH-ZACQAIPSSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C(C)C[N@@H+](CC)[C@@H](C(=O)OC)c1cccc(-c2nn[nH]n2)c1 ZINC000823878349 705332961 /nfs/dbraw/zinc/33/29/61/705332961.db2.gz WCFVWIXKPTZIDX-CQSZACIVSA-N 1 2 315.377 1.979 20 30 DDEDLO C=C(C)C[N@H+](CC)[C@@H](C(=O)OC)c1cccc(-c2nn[nH]n2)c1 ZINC000823878349 705332965 /nfs/dbraw/zinc/33/29/65/705332965.db2.gz WCFVWIXKPTZIDX-CQSZACIVSA-N 1 2 315.377 1.979 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC[N@@H+]1C[C@H](C)OC[C@@H]1C ZINC000824950852 705564994 /nfs/dbraw/zinc/56/49/94/705564994.db2.gz QPUDMEUSBVPTPT-STQMWFEESA-N 1 2 317.389 1.406 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC[N@H+]1C[C@H](C)OC[C@@H]1C ZINC000824950852 705564999 /nfs/dbraw/zinc/56/49/99/705564999.db2.gz QPUDMEUSBVPTPT-STQMWFEESA-N 1 2 317.389 1.406 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cnc(C4CC4)nc3)CC2)cn1 ZINC000862422892 705714818 /nfs/dbraw/zinc/71/48/18/705714818.db2.gz JUEDZQSNBVSAFS-UHFFFAOYSA-N 1 2 320.400 1.943 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+]1CC(=O)N[C@H]2CCCC[C@H]21 ZINC000876652744 705803500 /nfs/dbraw/zinc/80/35/00/705803500.db2.gz ROWCVYLYVNLAGS-DOTOQJQBSA-N 1 2 312.417 1.867 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+]1CC(=O)N[C@H]2CCCC[C@H]21 ZINC000876652744 705803504 /nfs/dbraw/zinc/80/35/04/705803504.db2.gz ROWCVYLYVNLAGS-DOTOQJQBSA-N 1 2 312.417 1.867 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)c1cc(C#N)ccc1F)[NH+]1CCOCC1 ZINC000826571383 705818077 /nfs/dbraw/zinc/81/80/77/705818077.db2.gz HCNUFLJZSHXLHX-NWDGAFQWSA-N 1 2 305.353 1.536 20 30 DDEDLO C#CC[C@H](COC)NC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000863805253 706018266 /nfs/dbraw/zinc/01/82/66/706018266.db2.gz WYODCWRWAJVNOB-LLVKDONJSA-N 1 2 321.327 1.777 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)OCC ZINC000881753190 707352211 /nfs/dbraw/zinc/35/22/11/707352211.db2.gz JOBMXGJCIVQVAB-OLZOCXBDSA-N 1 2 314.451 1.438 20 30 DDEDLO C=CC[C@H](C(=O)OC)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000878130262 706302307 /nfs/dbraw/zinc/30/23/07/706302307.db2.gz HBLLWZPXPZYMGC-GDBMZVCRSA-N 1 2 303.406 1.878 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)[C@H]1CCc3[nH+]ccn3C1)C2 ZINC000829485422 706342800 /nfs/dbraw/zinc/34/28/00/706342800.db2.gz CQDZQNXNPZLUTA-HOCLYGCPSA-N 1 2 306.369 1.601 20 30 DDEDLO Cc1nn(C)c2nc(Cl)c(C[N@@H+]3CC[C@@](O)(CC#N)C3)cc12 ZINC000829974957 706429017 /nfs/dbraw/zinc/42/90/17/706429017.db2.gz MOYRFQYQKNRBSS-HNNXBMFYSA-N 1 2 319.796 1.781 20 30 DDEDLO Cc1nn(C)c2nc(Cl)c(C[N@H+]3CC[C@@](O)(CC#N)C3)cc12 ZINC000829974957 706429020 /nfs/dbraw/zinc/42/90/20/706429020.db2.gz MOYRFQYQKNRBSS-HNNXBMFYSA-N 1 2 319.796 1.781 20 30 DDEDLO C[C@@H]1C[N@@H+](C[C@@H](O)c2cc(F)ccc2F)C[C@H](C)N1CC#N ZINC000878775486 706496722 /nfs/dbraw/zinc/49/67/22/706496722.db2.gz JBCYHCOIKVUNCK-BFQNTYOBSA-N 1 2 309.360 1.916 20 30 DDEDLO C[C@@H]1C[N@H+](C[C@@H](O)c2cc(F)ccc2F)C[C@H](C)N1CC#N ZINC000878775486 706496725 /nfs/dbraw/zinc/49/67/25/706496725.db2.gz JBCYHCOIKVUNCK-BFQNTYOBSA-N 1 2 309.360 1.916 20 30 DDEDLO C[C@@](C#N)(NC(=O)/C=C/C[NH+]1CCOCC1)C1CCCCC1 ZINC000865891016 706554247 /nfs/dbraw/zinc/55/42/47/706554247.db2.gz LQWZNBANLXBYAQ-JZLODUJNSA-N 1 2 305.422 1.854 20 30 DDEDLO N#CCc1cccc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)n1 ZINC000881145565 707136081 /nfs/dbraw/zinc/13/60/81/707136081.db2.gz VFJNSOOXCUPJKY-OKILXGFUSA-N 1 2 315.377 1.132 20 30 DDEDLO N#CCc1cccc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)n1 ZINC000881145565 707136082 /nfs/dbraw/zinc/13/60/82/707136082.db2.gz VFJNSOOXCUPJKY-OKILXGFUSA-N 1 2 315.377 1.132 20 30 DDEDLO COCC[N@H+](C)Cc1cn(Cc2ccc(OCC#N)cc2)nn1 ZINC000881285033 707180123 /nfs/dbraw/zinc/18/01/23/707180123.db2.gz DMITUYTYBGAYIR-UHFFFAOYSA-N 1 2 315.377 1.307 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(Cc2ccc(OCC#N)cc2)nn1 ZINC000881285033 707180126 /nfs/dbraw/zinc/18/01/26/707180126.db2.gz DMITUYTYBGAYIR-UHFFFAOYSA-N 1 2 315.377 1.307 20 30 DDEDLO C[C@H]([NH2+][C@H]1C[C@@H](O)C12CCOCC2)c1cccc(C#N)c1O ZINC000927155734 712947569 /nfs/dbraw/zinc/94/75/69/712947569.db2.gz XEAROBGJGSKWDP-TUKIKUTGSA-N 1 2 302.374 1.844 20 30 DDEDLO CC[C@H]([NH2+][C@@H]1CCc2nnn(C)c2C1)c1cccc(C#N)c1O ZINC000927146113 712946945 /nfs/dbraw/zinc/94/69/45/712946945.db2.gz ZUIFNGDCEOJKHL-OCCSQVGLSA-N 1 2 311.389 1.991 20 30 DDEDLO CCOCCON=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872367439 707392659 /nfs/dbraw/zinc/39/26/59/707392659.db2.gz XKMOAXBQZYNMQZ-UHFFFAOYSA-N 1 2 322.405 1.785 20 30 DDEDLO Cc1cc(C)c(CNC(=O)N2CCN(C3CC3)[C@H](C#N)C2)c[nH+]1 ZINC000836658808 707499166 /nfs/dbraw/zinc/49/91/66/707499166.db2.gz RGTQTHZFIFRJLC-MRXNPFEDSA-N 1 2 313.405 1.580 20 30 DDEDLO CC[N@@H+]1CC[C@H]1CNS(=O)(=O)c1c(C)cc(F)cc1C#N ZINC000882763443 707778637 /nfs/dbraw/zinc/77/86/37/707778637.db2.gz OIJKHALJHMMUFN-ZDUSSCGKSA-N 1 2 311.382 1.378 20 30 DDEDLO CC[N@H+]1CC[C@H]1CNS(=O)(=O)c1c(C)cc(F)cc1C#N ZINC000882763443 707778640 /nfs/dbraw/zinc/77/86/40/707778640.db2.gz OIJKHALJHMMUFN-ZDUSSCGKSA-N 1 2 311.382 1.378 20 30 DDEDLO C=CCC[C@H](NC(=O)/C=C(/C)C[NH+]1CCOCC1)C(=O)OCC ZINC000909274454 712980188 /nfs/dbraw/zinc/98/01/88/712980188.db2.gz YRHHTHMNYYNOEE-ZSMUJPCHSA-N 1 2 324.421 1.279 20 30 DDEDLO C#Cc1cccc(CNC(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)c1 ZINC000838720139 707969160 /nfs/dbraw/zinc/96/91/60/707969160.db2.gz AGSHSHGSXUOCBZ-HNNXBMFYSA-N 1 2 315.417 1.530 20 30 DDEDLO C=CCN(C(=O)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1)C(C)C ZINC000839245534 708038333 /nfs/dbraw/zinc/03/83/33/708038333.db2.gz ASAGBKNOEHFKAN-CABCVRRESA-N 1 2 318.421 1.716 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](c1ccccc1)C1CC1 ZINC000884063701 708122964 /nfs/dbraw/zinc/12/29/64/708122964.db2.gz JDGYRZBQUZUERO-GOEBONIOSA-N 1 2 302.374 1.701 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1COc2c1ccc(C)c2C ZINC000884125090 708150095 /nfs/dbraw/zinc/15/00/95/708150095.db2.gz QCHLQWOPYYWXSA-KBPBESRZSA-N 1 2 318.373 1.300 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2ccc(OC)cc2)CC1 ZINC000884134651 708155164 /nfs/dbraw/zinc/15/51/64/708155164.db2.gz NUJYCEIDRYRPRI-AWEZNQCLSA-N 1 2 318.373 1.247 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CSc2ccccc21 ZINC000884136740 708155972 /nfs/dbraw/zinc/15/59/72/708155972.db2.gz TYJDQSLNOYJZBN-RYUDHWBXSA-N 1 2 306.387 1.396 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@@]1(C)c1ccccc1 ZINC000884154593 708163471 /nfs/dbraw/zinc/16/34/71/708163471.db2.gz JXQXUIYQBDBJFQ-VBQJREDUSA-N 1 2 302.374 1.279 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](c1nc(C)cs1)C1CC1 ZINC000884227604 708200052 /nfs/dbraw/zinc/20/00/52/708200052.db2.gz UCPFBPWVOLIGMI-WCQYABFASA-N 1 2 323.418 1.465 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(C)cc1NCC ZINC000884315390 708240898 /nfs/dbraw/zinc/24/08/98/708240898.db2.gz OAVIURADJPWMGH-LBPRGKRZSA-N 1 2 305.378 1.812 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(C2CCCC2)nn1C ZINC000884317041 708241406 /nfs/dbraw/zinc/24/14/06/708241406.db2.gz JQZSQLZEVMRKIG-LBPRGKRZSA-N 1 2 320.393 1.463 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@H]1c1c(F)cccc1F ZINC000884342348 708254196 /nfs/dbraw/zinc/25/41/96/708254196.db2.gz YZDAWSDEWHPHPI-JIMOISOXSA-N 1 2 324.327 1.384 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC[C@@]1(C)C[C@]1(F)Cl ZINC000884380517 708271516 /nfs/dbraw/zinc/27/15/16/708271516.db2.gz GJQKWWDABPUNQW-TVYUQYBPSA-N 1 2 306.765 1.254 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CC[C@@H]([C@@H](C)O)C3)nc[nH+]2)C1 ZINC000884595922 708335424 /nfs/dbraw/zinc/33/54/24/708335424.db2.gz QNXTUVIYJZMXNN-RBSFLKMASA-N 1 2 300.406 1.533 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CC[C@@H]([C@@H](C)O)C3)[nH+]cn2)C1 ZINC000884595922 708335427 /nfs/dbraw/zinc/33/54/27/708335427.db2.gz QNXTUVIYJZMXNN-RBSFLKMASA-N 1 2 300.406 1.533 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](Nc2ccc(S(=O)(=O)CC)cc2)C1 ZINC000884611596 708338089 /nfs/dbraw/zinc/33/80/89/708338089.db2.gz PLXVXKIRFADZBV-HNNXBMFYSA-N 1 2 306.431 1.990 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](Nc2ccc(S(=O)(=O)CC)cc2)C1 ZINC000884611596 708338092 /nfs/dbraw/zinc/33/80/92/708338092.db2.gz PLXVXKIRFADZBV-HNNXBMFYSA-N 1 2 306.431 1.990 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)/C=C\c2ccc(F)cc2)C1 ZINC000885508015 708562090 /nfs/dbraw/zinc/56/20/90/708562090.db2.gz BBLDUSRMZLVPHM-HMWXGYMHSA-N 1 2 322.405 1.813 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)/C=C\c2ccc(F)cc2)C1 ZINC000885508015 708562093 /nfs/dbraw/zinc/56/20/93/708562093.db2.gz BBLDUSRMZLVPHM-HMWXGYMHSA-N 1 2 322.405 1.813 20 30 DDEDLO Cc1c(C(=O)N[C@@H]2CCC[N@H+](CCF)C2)cnn1CCC#N ZINC000898489092 708654589 /nfs/dbraw/zinc/65/45/89/708654589.db2.gz AYAYFJSTKWPHAB-CYBMUJFWSA-N 1 2 307.373 1.269 20 30 DDEDLO Cc1c(C(=O)N[C@@H]2CCC[N@@H+](CCF)C2)cnn1CCC#N ZINC000898489092 708654592 /nfs/dbraw/zinc/65/45/92/708654592.db2.gz AYAYFJSTKWPHAB-CYBMUJFWSA-N 1 2 307.373 1.269 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N[C@]2(C#N)CC2(C)C)C1 ZINC000886406359 708754940 /nfs/dbraw/zinc/75/49/40/708754940.db2.gz WOBSJHYNUYQZLF-INIZCTEOSA-N 1 2 319.430 1.645 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N[C@]2(C#N)CC2(C)C)C1 ZINC000886406359 708754942 /nfs/dbraw/zinc/75/49/42/708754942.db2.gz WOBSJHYNUYQZLF-INIZCTEOSA-N 1 2 319.430 1.645 20 30 DDEDLO C=CCn1cc(C[NH2+][C@H]2CCCn3nc(C(=O)OC)cc32)nn1 ZINC000886628148 708798084 /nfs/dbraw/zinc/79/80/84/708798084.db2.gz HJVKYSGRNASMGP-LBPRGKRZSA-N 1 2 316.365 1.072 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)C(C)(C)c1ccc(C#N)cc1 ZINC000898896183 708913362 /nfs/dbraw/zinc/91/33/62/708913362.db2.gz NRHYMZHSRJSKOH-CQSZACIVSA-N 1 2 312.373 1.419 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)NC[C@H](c2ccco2)N(C)C)C1 ZINC000887606635 709081207 /nfs/dbraw/zinc/08/12/07/709081207.db2.gz GTTCZEBSUMWETC-LSDHHAIUSA-N 1 2 318.421 1.279 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)NC[C@H](c2ccco2)N(C)C)C1 ZINC000887606635 709081209 /nfs/dbraw/zinc/08/12/09/709081209.db2.gz GTTCZEBSUMWETC-LSDHHAIUSA-N 1 2 318.421 1.279 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cc(C#N)ccc2F)[C@@H](C)CO1 ZINC000888374092 709290776 /nfs/dbraw/zinc/29/07/76/709290776.db2.gz ZOOZWZCLUJMKFF-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cc(C#N)ccc2F)[C@@H](C)CO1 ZINC000888374092 709290777 /nfs/dbraw/zinc/29/07/77/709290777.db2.gz ZOOZWZCLUJMKFF-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)N2CC[NH+](C[C@@H](C)O)CC2)cc1 ZINC000900600250 709670898 /nfs/dbraw/zinc/67/08/98/709670898.db2.gz BIZPKVIESMNIHK-MVGZEHJDSA-N 1 2 316.401 1.259 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cc(-c2n[nH]c(C)n2)ccn1 ZINC000910097514 709771298 /nfs/dbraw/zinc/77/12/98/709771298.db2.gz JNISMEGLEOPJOA-ZDUSSCGKSA-N 1 2 310.361 1.211 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cc(-c2n[nH]c(C)n2)ccn1 ZINC000910097514 709771302 /nfs/dbraw/zinc/77/13/02/709771302.db2.gz JNISMEGLEOPJOA-ZDUSSCGKSA-N 1 2 310.361 1.211 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000890245941 709799452 /nfs/dbraw/zinc/79/94/52/709799452.db2.gz CTMBVFUQUQARPO-SJORKVTESA-N 1 2 324.384 1.668 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)N[C@H](C)c2n[nH]c(C(C)C)n2)C1 ZINC000890369438 709842024 /nfs/dbraw/zinc/84/20/24/709842024.db2.gz WEIBYLRBWVRIBG-CHWSQXEVSA-N 1 2 318.425 1.386 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)N[C@H](C)c2n[nH]c(C(C)C)n2)C1 ZINC000890369438 709842028 /nfs/dbraw/zinc/84/20/28/709842028.db2.gz WEIBYLRBWVRIBG-CHWSQXEVSA-N 1 2 318.425 1.386 20 30 DDEDLO N#C[C@H]1CCCN(C(=O)c2cccn2CC[NH+]2CCOCC2)C1 ZINC000928215348 713153561 /nfs/dbraw/zinc/15/35/61/713153561.db2.gz KPEGHDDEVRQXNK-OAHLLOKOSA-N 1 2 316.405 1.196 20 30 DDEDLO C[C@H](CC(=O)Nc1ncc2c(c1C#N)COCC2)n1cc[nH+]c1 ZINC000891899090 710321754 /nfs/dbraw/zinc/32/17/54/710321754.db2.gz IYAXXLVMMXTWBV-LLVKDONJSA-N 1 2 311.345 1.812 20 30 DDEDLO N#Cc1cnc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)c(Cl)c1 ZINC000913448934 713220250 /nfs/dbraw/zinc/22/02/50/713220250.db2.gz CMQPZQKJBYFCCJ-LBPRGKRZSA-N 1 2 316.752 1.116 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H](Nc2ncccn2)C1 ZINC000903031653 711007751 /nfs/dbraw/zinc/00/77/51/711007751.db2.gz SRHCZTPGLUYKBF-XJKSGUPXSA-N 1 2 316.409 1.017 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@H](Nc2ncccn2)C1 ZINC000903031653 711007753 /nfs/dbraw/zinc/00/77/53/711007753.db2.gz SRHCZTPGLUYKBF-XJKSGUPXSA-N 1 2 316.409 1.017 20 30 DDEDLO CCS(=O)(=O)NC1CC[NH+](CC#Cc2ccccc2)CC1 ZINC000894454245 711128424 /nfs/dbraw/zinc/12/84/24/711128424.db2.gz QKNLHMBORHXLRJ-UHFFFAOYSA-N 1 2 306.431 1.442 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)cc1C ZINC000928639573 713245754 /nfs/dbraw/zinc/24/57/54/713245754.db2.gz GYPUQPJWWPGAII-HNNXBMFYSA-N 1 2 301.390 1.707 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Cc1cccc(C#N)c1 ZINC000928644420 713248089 /nfs/dbraw/zinc/24/80/89/713248089.db2.gz XYSKQHZZUABWLQ-MRXNPFEDSA-N 1 2 301.390 1.328 20 30 DDEDLO COCC[N@@H+](Cc1csc(C#N)c1)C[C@H](O)C(F)(F)F ZINC000895200150 711451511 /nfs/dbraw/zinc/45/15/11/711451511.db2.gz NYRBQQVUBNBPHG-NSHDSACASA-N 1 2 308.325 1.991 20 30 DDEDLO COCC[N@H+](Cc1csc(C#N)c1)C[C@H](O)C(F)(F)F ZINC000895200150 711451512 /nfs/dbraw/zinc/45/15/12/711451512.db2.gz NYRBQQVUBNBPHG-NSHDSACASA-N 1 2 308.325 1.991 20 30 DDEDLO Cn1ccc(-c2nc(C=NNCCCn3cc[nH+]c3)cs2)n1 ZINC000905483286 712050725 /nfs/dbraw/zinc/05/07/25/712050725.db2.gz FUPZITYQENGETG-UHFFFAOYSA-N 1 2 315.406 1.754 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)[C@@]2(C#N)CC3CCC2CC3)CC1 ZINC000906641992 712377371 /nfs/dbraw/zinc/37/73/71/712377371.db2.gz ZPVIOJCWTMLNOH-LEOMRAHMSA-N 1 2 319.449 1.887 20 30 DDEDLO C#CC[C@H](CO)NC(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000913971242 713326752 /nfs/dbraw/zinc/32/67/52/713326752.db2.gz AEGIMUXSNIOSTA-MRXNPFEDSA-N 1 2 312.373 1.115 20 30 DDEDLO C=C(CC[NH+](C)C)C(=O)Nc1cc(-c2n[nH]c(=O)[nH]2)ccc1C ZINC000907958814 712655208 /nfs/dbraw/zinc/65/52/08/712655208.db2.gz XXWCRDMHHKJADN-UHFFFAOYSA-N 1 2 315.377 1.932 20 30 DDEDLO N#CC1(c2ccccn2)CCN(C(=O)CNc2cccc[nH+]2)CC1 ZINC000908017510 712666868 /nfs/dbraw/zinc/66/68/68/712666868.db2.gz ZDCWVHQECORPBO-UHFFFAOYSA-N 1 2 321.384 1.972 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CCOCC3)n2CC=C)CC1 ZINC000908668107 712832157 /nfs/dbraw/zinc/83/21/57/712832157.db2.gz PRMILXRNOJWLIG-UHFFFAOYSA-N 1 2 315.421 1.113 20 30 DDEDLO C=CCSCCNC(=O)N[C@@H](CC)C[NH+]1CCOCC1 ZINC000915205404 713412783 /nfs/dbraw/zinc/41/27/83/713412783.db2.gz QYQXWLNUPRPPPO-ZDUSSCGKSA-N 1 2 301.456 1.316 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2cccc(C#N)c2)C[C@H]1C(C)(C)C ZINC000919590700 713618159 /nfs/dbraw/zinc/61/81/59/713618159.db2.gz JKSAPDUJVRMTQS-HNNXBMFYSA-N 1 2 321.446 1.909 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2cccc(C#N)c2)C[C@H]1C(C)(C)C ZINC000919590700 713618161 /nfs/dbraw/zinc/61/81/61/713618161.db2.gz JKSAPDUJVRMTQS-HNNXBMFYSA-N 1 2 321.446 1.909 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+](C)Cc2nnc3n2CCC3)cc1 ZINC000929822794 713697987 /nfs/dbraw/zinc/69/79/87/713697987.db2.gz IVXZIQPATOACFS-UHFFFAOYSA-N 1 2 323.400 1.666 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+](C)Cc2nnc3n2CCC3)cc1 ZINC000929822794 713697989 /nfs/dbraw/zinc/69/79/89/713697989.db2.gz IVXZIQPATOACFS-UHFFFAOYSA-N 1 2 323.400 1.666 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+](C)CCS(=O)(=O)CC)cc1 ZINC000930110126 713759073 /nfs/dbraw/zinc/75/90/73/713759073.db2.gz KOJKKRULHJZUGO-UHFFFAOYSA-N 1 2 322.430 1.363 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+](C)CCS(=O)(=O)CC)cc1 ZINC000930110126 713759076 /nfs/dbraw/zinc/75/90/76/713759076.db2.gz KOJKKRULHJZUGO-UHFFFAOYSA-N 1 2 322.430 1.363 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C[NH+]1CCN(C(=O)[C@H](C)O)CC1 ZINC000930142391 713766355 /nfs/dbraw/zinc/76/63/55/713766355.db2.gz HYILSXYSENNAEC-AWEZNQCLSA-N 1 2 301.390 1.200 20 30 DDEDLO C[N@H+](C[C@H](O)c1cccc(C#N)c1)C[C@H]1CCCS1(=O)=O ZINC000930845257 713936016 /nfs/dbraw/zinc/93/60/16/713936016.db2.gz MICMYQUKKTZUJT-CABCVRRESA-N 1 2 308.403 1.101 20 30 DDEDLO C[N@@H+](C[C@H](O)c1cccc(C#N)c1)C[C@H]1CCCS1(=O)=O ZINC000930845257 713936018 /nfs/dbraw/zinc/93/60/18/713936018.db2.gz MICMYQUKKTZUJT-CABCVRRESA-N 1 2 308.403 1.101 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CCCC[C@@H]2CS(N)(=O)=O)c1 ZINC000931225537 714041580 /nfs/dbraw/zinc/04/15/80/714041580.db2.gz JQJJWNUMYDCELO-CYBMUJFWSA-N 1 2 311.382 1.340 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CCCC[C@@H]2CS(N)(=O)=O)c1 ZINC000931225537 714041582 /nfs/dbraw/zinc/04/15/82/714041582.db2.gz JQJJWNUMYDCELO-CYBMUJFWSA-N 1 2 311.382 1.340 20 30 DDEDLO N#CCc1cccc2c1CC[N@@H+](C[C@@H]1CCCS1(=O)=O)C2 ZINC000931333352 714069486 /nfs/dbraw/zinc/06/94/86/714069486.db2.gz SWBIGRGEAFYCGE-HNNXBMFYSA-N 1 2 304.415 1.688 20 30 DDEDLO N#CCc1cccc2c1CC[N@H+](C[C@@H]1CCCS1(=O)=O)C2 ZINC000931333352 714069488 /nfs/dbraw/zinc/06/94/88/714069488.db2.gz SWBIGRGEAFYCGE-HNNXBMFYSA-N 1 2 304.415 1.688 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(OC)nc2Cl)CC1 ZINC000931344636 714073630 /nfs/dbraw/zinc/07/36/30/714073630.db2.gz QMRCDDOGDGBOMQ-UHFFFAOYSA-N 1 2 307.781 1.525 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)no1 ZINC000931952576 714219318 /nfs/dbraw/zinc/21/93/18/714219318.db2.gz KXJDMJSBWDRWQT-NORZTCDRSA-N 1 2 319.409 1.610 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)no1 ZINC000931952576 714219320 /nfs/dbraw/zinc/21/93/20/714219320.db2.gz KXJDMJSBWDRWQT-NORZTCDRSA-N 1 2 319.409 1.610 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)c2ccc(Cl)s2)C1 ZINC000923561207 714404135 /nfs/dbraw/zinc/40/41/35/714404135.db2.gz VIORKERSIPHWKN-SNVBAGLBSA-N 1 2 310.806 1.798 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)c2ccc(Cl)s2)C1 ZINC000923561207 714404136 /nfs/dbraw/zinc/40/41/36/714404136.db2.gz VIORKERSIPHWKN-SNVBAGLBSA-N 1 2 310.806 1.798 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC000923567882 714408674 /nfs/dbraw/zinc/40/86/74/714408674.db2.gz WTMBLHLJUNSWTE-STQMWFEESA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC000923567882 714408675 /nfs/dbraw/zinc/40/86/75/714408675.db2.gz WTMBLHLJUNSWTE-STQMWFEESA-N 1 2 319.430 1.822 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCC(CO)(C(F)(F)F)CC2)CCC1 ZINC000932827579 714421001 /nfs/dbraw/zinc/42/10/01/714421001.db2.gz AMNXYVHYWALDNS-UHFFFAOYSA-N 1 2 319.327 1.186 20 30 DDEDLO O=C(C[NH+]1CCC2(CC1)CC(=O)C=CO2)NCc1cccs1 ZINC000933658903 714640693 /nfs/dbraw/zinc/64/06/93/714640693.db2.gz VTWDGXSFLVFPJB-UHFFFAOYSA-N 1 2 320.414 1.702 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCC[C@@H]1C1OCCO1)C1(C#N)CCCCC1 ZINC000933905099 714697877 /nfs/dbraw/zinc/69/78/77/714697877.db2.gz BYGMCHAWFSKMER-CQSZACIVSA-N 1 2 321.421 1.509 20 30 DDEDLO CN(C(=O)C[N@H+]1CCC[C@@H]1C1OCCO1)C1(C#N)CCCCC1 ZINC000933905099 714697881 /nfs/dbraw/zinc/69/78/81/714697881.db2.gz BYGMCHAWFSKMER-CQSZACIVSA-N 1 2 321.421 1.509 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@H](CC)C[NH+]1CCOCC1 ZINC000925615269 714900092 /nfs/dbraw/zinc/90/00/92/714900092.db2.gz YLOJPFKTGSYYLX-OAGGEKHMSA-N 1 2 307.438 1.990 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC000938221908 715762813 /nfs/dbraw/zinc/76/28/13/715762813.db2.gz PVVMLCKGUXWNKO-JQWIXIFHSA-N 1 2 311.345 1.053 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2ccc(=O)n(CCCC)n2)C1 ZINC000957375972 715868476 /nfs/dbraw/zinc/86/84/76/715868476.db2.gz SUWYFMAFTIBNMP-UHFFFAOYSA-N 1 2 318.421 1.376 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CCN(Cc2c[nH+]cn2C)CC1 ZINC000957467335 715913760 /nfs/dbraw/zinc/91/37/60/715913760.db2.gz JNQSGQKNGIZAPX-UHFFFAOYSA-N 1 2 322.412 1.288 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000961444045 716949473 /nfs/dbraw/zinc/94/94/73/716949473.db2.gz ANIYJDOOIOBJMD-ZSHCYNCHSA-N 1 2 323.400 1.708 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000961444045 716949475 /nfs/dbraw/zinc/94/94/75/716949475.db2.gz ANIYJDOOIOBJMD-ZSHCYNCHSA-N 1 2 323.400 1.708 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cc(C)on4)C[C@H]32)C1 ZINC000961689838 717059955 /nfs/dbraw/zinc/05/99/55/717059955.db2.gz ISMSWOGNVYVCJF-FICVDOATSA-N 1 2 301.390 1.886 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cc(C)on4)C[C@H]32)C1 ZINC000961689838 717059962 /nfs/dbraw/zinc/05/99/62/717059962.db2.gz ISMSWOGNVYVCJF-FICVDOATSA-N 1 2 301.390 1.886 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC[C@H]3CC)CC2)C1 ZINC000941553114 717207571 /nfs/dbraw/zinc/20/75/71/717207571.db2.gz LYCZLJDIPLVSJT-NVXWUHKLSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCCC(C)(C)C3)CC2)C1 ZINC000941681620 717254834 /nfs/dbraw/zinc/25/48/34/717254834.db2.gz UFYKTSXDVGETHH-MRXNPFEDSA-N 1 2 317.477 1.664 20 30 DDEDLO N#CCNC1CC2(C1)CC(NC(=O)[C@H]1CCCc3[nH+]c[nH]c31)C2 ZINC000965012221 717507241 /nfs/dbraw/zinc/50/72/41/717507241.db2.gz SBFBEWPPPHCSDI-LMYLPIETSA-N 1 2 313.405 1.370 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1nnc[nH]1 ZINC000943702368 718176149 /nfs/dbraw/zinc/17/61/49/718176149.db2.gz NCXILLUBXFUODS-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1nnc[nH]1 ZINC000943702368 718176150 /nfs/dbraw/zinc/17/61/50/718176150.db2.gz NCXILLUBXFUODS-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1ncn[nH]1 ZINC000943702368 718176151 /nfs/dbraw/zinc/17/61/51/718176151.db2.gz NCXILLUBXFUODS-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1ncn[nH]1 ZINC000943702368 718176152 /nfs/dbraw/zinc/17/61/52/718176152.db2.gz NCXILLUBXFUODS-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)[C@@H](C)C2)C1 ZINC000966801261 718665542 /nfs/dbraw/zinc/66/55/42/718665542.db2.gz SEYLCYYBTGXRSS-JSGCOSHPSA-N 1 2 316.405 1.272 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1cnon1 ZINC000947218007 719076907 /nfs/dbraw/zinc/07/69/07/719076907.db2.gz VAROBGPTOQJHQU-WDEREUQCSA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1cnon1 ZINC000947218007 719076911 /nfs/dbraw/zinc/07/69/11/719076911.db2.gz VAROBGPTOQJHQU-WDEREUQCSA-N 1 2 314.349 1.052 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc(NC(=O)CC)c2)CC1 ZINC000948630615 719602155 /nfs/dbraw/zinc/60/21/55/719602155.db2.gz VMPTYAMMFROWHF-UHFFFAOYSA-N 1 2 301.390 1.979 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000968660632 719722001 /nfs/dbraw/zinc/72/20/01/719722001.db2.gz LVXLOOYHSQDMNA-TVQRCGJNSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000968660632 719722004 /nfs/dbraw/zinc/72/20/04/719722004.db2.gz LVXLOOYHSQDMNA-TVQRCGJNSA-N 1 2 324.812 1.306 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cccc(=O)[nH]1 ZINC000948905704 719778189 /nfs/dbraw/zinc/77/81/89/719778189.db2.gz AJANHVDGKHCYIZ-QGZVFWFLSA-N 1 2 321.380 1.750 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cccc(=O)[nH]1 ZINC000948905704 719778191 /nfs/dbraw/zinc/77/81/91/719778191.db2.gz AJANHVDGKHCYIZ-QGZVFWFLSA-N 1 2 321.380 1.750 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CC1(F)F ZINC000948916227 719784141 /nfs/dbraw/zinc/78/41/41/719784141.db2.gz QIRLFHVCYRCHQC-CABCVRRESA-N 1 2 304.340 1.990 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CC1(F)F ZINC000948916227 719784144 /nfs/dbraw/zinc/78/41/44/719784144.db2.gz QIRLFHVCYRCHQC-CABCVRRESA-N 1 2 304.340 1.990 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1csnn1 ZINC000948940366 719794257 /nfs/dbraw/zinc/79/42/57/719794257.db2.gz HNCAYFBBBYENPG-HNNXBMFYSA-N 1 2 312.398 1.501 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1csnn1 ZINC000948940366 719794259 /nfs/dbraw/zinc/79/42/59/719794259.db2.gz HNCAYFBBBYENPG-HNNXBMFYSA-N 1 2 312.398 1.501 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn(CC)nn1 ZINC000948975047 719819057 /nfs/dbraw/zinc/81/90/57/719819057.db2.gz SACKQTPKWWFILD-KRWDZBQOSA-N 1 2 323.400 1.260 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn(CC)nn1 ZINC000948975047 719819064 /nfs/dbraw/zinc/81/90/64/719819064.db2.gz SACKQTPKWWFILD-KRWDZBQOSA-N 1 2 323.400 1.260 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2Cc3cc(Cl)ccc3O2)CC1 ZINC000949169965 719939932 /nfs/dbraw/zinc/93/99/32/719939932.db2.gz WYMMVCYCJCOBHU-HNNXBMFYSA-N 1 2 306.793 1.974 20 30 DDEDLO CC[C@H](F)C[N@@H+]1CCOC2(CCN(C(=O)C#CC3CC3)CC2)C1 ZINC000949358388 720038582 /nfs/dbraw/zinc/03/85/82/720038582.db2.gz SBIIFEBDCDJIKX-INIZCTEOSA-N 1 2 322.424 1.841 20 30 DDEDLO CC[C@H](F)C[N@H+]1CCOC2(CCN(C(=O)C#CC3CC3)CC2)C1 ZINC000949358388 720038585 /nfs/dbraw/zinc/03/85/85/720038585.db2.gz SBIIFEBDCDJIKX-INIZCTEOSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CCC[C@@H](F)C3)CC2)C1 ZINC000949365747 720041821 /nfs/dbraw/zinc/04/18/21/720041821.db2.gz CSOPBUQCNFLGTK-JKSUJKDBSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CCC[C@@H](F)C3)CC2)C1 ZINC000949365747 720041828 /nfs/dbraw/zinc/04/18/28/720041828.db2.gz CSOPBUQCNFLGTK-JKSUJKDBSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@H]3CCC)CC2)C1 ZINC000949466335 720107382 /nfs/dbraw/zinc/10/73/82/720107382.db2.gz CZQOLBNIASFLLO-HZPDHXFCSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@H]3CCC)CC2)C1 ZINC000949466335 720107387 /nfs/dbraw/zinc/10/73/87/720107387.db2.gz CZQOLBNIASFLLO-HZPDHXFCSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccnc(C)c3)CC2)C1 ZINC000949499681 720126146 /nfs/dbraw/zinc/12/61/46/720126146.db2.gz YLZLPMUAVCYEJI-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccnc(C)c3)CC2)C1 ZINC000949499681 720126152 /nfs/dbraw/zinc/12/61/52/720126152.db2.gz YLZLPMUAVCYEJI-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2nnc3ccccc3n2)C1 ZINC000950886886 720813521 /nfs/dbraw/zinc/81/35/21/720813521.db2.gz SZVPKCIQYQSQCW-UHFFFAOYSA-N 1 2 311.389 1.747 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCN(CC(F)(F)F)C2)C1 ZINC000951372681 721007538 /nfs/dbraw/zinc/00/75/38/721007538.db2.gz ZLLIFGVNPNGEHA-GFCCVEGCSA-N 1 2 319.371 1.589 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(CC)ncnc2CC)C1 ZINC000951773496 721176657 /nfs/dbraw/zinc/17/66/57/721176657.db2.gz MQBSGJZGNUMXMI-UHFFFAOYSA-N 1 2 314.433 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCN(c3cc[nH+]c(C)n3)C2)cc1 ZINC001058510793 732409021 /nfs/dbraw/zinc/40/90/21/732409021.db2.gz FGCOHFVEQNQKFN-INIZCTEOSA-N 1 2 306.369 1.775 20 30 DDEDLO N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(-n3cc[nH+]c3)cn1)C2 ZINC001098203130 732893764 /nfs/dbraw/zinc/89/37/64/732893764.db2.gz KQZAXTOKPVSVGT-WQVCFCJDSA-N 1 2 322.372 1.126 20 30 DDEDLO Cc1conc1C[N@@H+]1CCC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001027814608 738688754 /nfs/dbraw/zinc/68/87/54/738688754.db2.gz OYKWDYKUEAMGNX-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1conc1C[N@H+]1CCC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001027814608 738688758 /nfs/dbraw/zinc/68/87/58/738688758.db2.gz OYKWDYKUEAMGNX-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)cnn1 ZINC001010766754 733223201 /nfs/dbraw/zinc/22/32/01/733223201.db2.gz JDOOAZZBTQBGGP-KRWDZBQOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)cnn1 ZINC001010766754 733223204 /nfs/dbraw/zinc/22/32/04/733223204.db2.gz JDOOAZZBTQBGGP-KRWDZBQOSA-N 1 2 321.384 1.661 20 30 DDEDLO N#CCN1CC[C@H]2[C@H](CCCN2C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001021575677 733331957 /nfs/dbraw/zinc/33/19/57/733331957.db2.gz LXKYTJKKWVSQMW-KGLIPLIRSA-N 1 2 301.394 1.179 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)Cc3ccco3)[C@H]2C1 ZINC001083221493 734384999 /nfs/dbraw/zinc/38/49/99/734384999.db2.gz DRPNVZAOZIGUMX-VNQPRFMTSA-N 1 2 302.374 1.003 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)Cc3ccco3)[C@H]2C1 ZINC001083221493 734385002 /nfs/dbraw/zinc/38/50/02/734385002.db2.gz DRPNVZAOZIGUMX-VNQPRFMTSA-N 1 2 302.374 1.003 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](C)SC)C1 ZINC001149263469 734725841 /nfs/dbraw/zinc/72/58/41/734725841.db2.gz MKKUMPBZRJUVDI-VXGBXAGGSA-N 1 2 306.859 1.698 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H](C)SC)C1 ZINC001149263469 734725844 /nfs/dbraw/zinc/72/58/44/734725844.db2.gz MKKUMPBZRJUVDI-VXGBXAGGSA-N 1 2 306.859 1.698 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](F)CC3CCCCC3)[C@H]2C1 ZINC001083248983 734809866 /nfs/dbraw/zinc/80/98/66/734809866.db2.gz HHFZCAFMXHOLIW-IXDOHACOSA-N 1 2 322.424 1.840 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](F)CC3CCCCC3)[C@H]2C1 ZINC001083248983 734809868 /nfs/dbraw/zinc/80/98/68/734809868.db2.gz HHFZCAFMXHOLIW-IXDOHACOSA-N 1 2 322.424 1.840 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)[C@H]2CC2(F)F)s1 ZINC001038242721 734842797 /nfs/dbraw/zinc/84/27/97/734842797.db2.gz QEXJZACNPLKYBG-JOYOIKCWSA-N 1 2 311.357 1.965 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@H]2CC2(F)F)s1 ZINC001038242721 734842800 /nfs/dbraw/zinc/84/28/00/734842800.db2.gz QEXJZACNPLKYBG-JOYOIKCWSA-N 1 2 311.357 1.965 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cn2)CC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067439457 734898831 /nfs/dbraw/zinc/89/88/31/734898831.db2.gz AKSWSFXOLCBOTH-DOMZBBRYSA-N 1 2 324.388 1.250 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)cc3)[C@H]2C1 ZINC001083255716 734979056 /nfs/dbraw/zinc/97/90/56/734979056.db2.gz KLYDHFQZJNBPFI-LSDHHAIUSA-N 1 2 304.777 1.498 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(Cl)cc3)[C@H]2C1 ZINC001083255716 734979058 /nfs/dbraw/zinc/97/90/58/734979058.db2.gz KLYDHFQZJNBPFI-LSDHHAIUSA-N 1 2 304.777 1.498 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001058571431 735667109 /nfs/dbraw/zinc/66/71/09/735667109.db2.gz HVTBOPGVDFYZCV-ZNMIVQPWSA-N 1 2 316.405 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1n[nH]c2c1CCC2 ZINC001027995358 738918815 /nfs/dbraw/zinc/91/88/15/738918815.db2.gz MBTZAEIFGMMLLA-LLVKDONJSA-N 1 2 308.813 1.845 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1n[nH]c2c1CCC2 ZINC001027995358 738918816 /nfs/dbraw/zinc/91/88/16/738918816.db2.gz MBTZAEIFGMMLLA-LLVKDONJSA-N 1 2 308.813 1.845 20 30 DDEDLO CCc1nnc(C[NH2+]C[C@H]2CCCCN2C(=O)[C@H](C)C#N)o1 ZINC001024902725 736160532 /nfs/dbraw/zinc/16/05/32/736160532.db2.gz ZADFBAQHNXAGLG-VXGBXAGGSA-N 1 2 305.382 1.262 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@@H](NC(=O)C(C)(F)F)C2)C1=O ZINC001006565130 736486149 /nfs/dbraw/zinc/48/61/49/736486149.db2.gz FIMHWOVGJAQJCA-VXGBXAGGSA-N 1 2 315.364 1.009 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@@H](NC(=O)C(C)(F)F)C2)C1=O ZINC001006565130 736486151 /nfs/dbraw/zinc/48/61/51/736486151.db2.gz FIMHWOVGJAQJCA-VXGBXAGGSA-N 1 2 315.364 1.009 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@@H](NC(=O)c3ccco3)C2)C1=O ZINC001006599698 737215994 /nfs/dbraw/zinc/21/59/94/737215994.db2.gz VLMURRALKDMIDW-ZIAGYGMSSA-N 1 2 317.389 1.261 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@@H](NC(=O)c3ccco3)C2)C1=O ZINC001006599698 737215995 /nfs/dbraw/zinc/21/59/95/737215995.db2.gz VLMURRALKDMIDW-ZIAGYGMSSA-N 1 2 317.389 1.261 20 30 DDEDLO C=CCOCC(=O)N[C@@H](CNc1cc[nH+]c(C)n1)CC(C)C ZINC001104917520 737367682 /nfs/dbraw/zinc/36/76/82/737367682.db2.gz XOXHNBCNBQVJKR-CQSZACIVSA-N 1 2 306.410 1.930 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O)C1CCC1 ZINC001083300377 737681752 /nfs/dbraw/zinc/68/17/52/737681752.db2.gz MJLBSQUIUZCFRV-SJORKVTESA-N 1 2 316.376 1.139 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O)C1CCC1 ZINC001083300377 737681754 /nfs/dbraw/zinc/68/17/54/737681754.db2.gz MJLBSQUIUZCFRV-SJORKVTESA-N 1 2 316.376 1.139 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C(C)(C)C3CC3)C2)nn1 ZINC001105307896 737800320 /nfs/dbraw/zinc/80/03/20/737800320.db2.gz CTLJTJBABAFTHU-UHFFFAOYSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](CCC)C(C)C)C2)nn1 ZINC001105339568 738309407 /nfs/dbraw/zinc/30/94/07/738309407.db2.gz TZYJTNABDBUQIY-INIZCTEOSA-N 1 2 317.437 1.457 20 30 DDEDLO CC#CCN1CCC[C@@H]1CNC(=O)c1occc1C[NH+](C)C ZINC001028105164 739040270 /nfs/dbraw/zinc/04/02/70/739040270.db2.gz PCTJEDYKZQDDMG-OAHLLOKOSA-N 1 2 303.406 1.559 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1occc1-c1cnn(C)c1 ZINC001032584562 751408671 /nfs/dbraw/zinc/40/86/71/751408671.db2.gz DSNWTLCAJGRPQS-KBPBESRZSA-N 1 2 310.357 1.212 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1occc1-c1cnn(C)c1 ZINC001032584562 751408674 /nfs/dbraw/zinc/40/86/74/751408674.db2.gz DSNWTLCAJGRPQS-KBPBESRZSA-N 1 2 310.357 1.212 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cc(COC)on1 ZINC001028184092 739133357 /nfs/dbraw/zinc/13/33/57/739133357.db2.gz HGIRCMPSGJIHPG-NSHDSACASA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc(COC)on1 ZINC001028184092 739133359 /nfs/dbraw/zinc/13/33/59/739133359.db2.gz HGIRCMPSGJIHPG-NSHDSACASA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)nc(C)c2)C1 ZINC001035383464 751453250 /nfs/dbraw/zinc/45/32/50/751453250.db2.gz ILCNKXKQIIHOFR-INIZCTEOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)nc(C)c2)C1 ZINC001035383464 751453253 /nfs/dbraw/zinc/45/32/53/751453253.db2.gz ILCNKXKQIIHOFR-INIZCTEOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)n(C)n2)C1 ZINC001035391514 751461835 /nfs/dbraw/zinc/46/18/35/751461835.db2.gz ORRISUHNDQJEFG-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)n(C)n2)C1 ZINC001035391514 751461841 /nfs/dbraw/zinc/46/18/41/751461841.db2.gz ORRISUHNDQJEFG-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+](Cc3nnc(C)[nH]3)C2)cc1 ZINC001028732956 739972088 /nfs/dbraw/zinc/97/20/88/739972088.db2.gz TXISHUKBSORAGG-OAHLLOKOSA-N 1 2 323.400 1.346 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+](Cc3nnc(C)[nH]3)C2)cc1 ZINC001028732956 739972090 /nfs/dbraw/zinc/97/20/90/739972090.db2.gz TXISHUKBSORAGG-OAHLLOKOSA-N 1 2 323.400 1.346 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(OC)no3)[C@@H]2C1 ZINC001075711609 740073096 /nfs/dbraw/zinc/07/30/96/740073096.db2.gz BQDBLUUCFMIRFP-WDEREUQCSA-N 1 2 311.769 1.582 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(OC)no3)[C@@H]2C1 ZINC001075711609 740073097 /nfs/dbraw/zinc/07/30/97/740073097.db2.gz BQDBLUUCFMIRFP-WDEREUQCSA-N 1 2 311.769 1.582 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3cccnc32)C1 ZINC001035432435 751510137 /nfs/dbraw/zinc/51/01/37/751510137.db2.gz TZBDIOCOQJVYFI-INIZCTEOSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3cccnc32)C1 ZINC001035432435 751510139 /nfs/dbraw/zinc/51/01/39/751510139.db2.gz TZBDIOCOQJVYFI-INIZCTEOSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001035435398 751512668 /nfs/dbraw/zinc/51/26/68/751512668.db2.gz LMIRRUQYVANBKB-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001035435398 751512669 /nfs/dbraw/zinc/51/26/69/751512669.db2.gz LMIRRUQYVANBKB-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(Cl)n(C)n2)C1 ZINC001035439572 751515892 /nfs/dbraw/zinc/51/58/92/751515892.db2.gz ZOUOWWKQTBBRRX-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(Cl)n(C)n2)C1 ZINC001035439572 751515895 /nfs/dbraw/zinc/51/58/95/751515895.db2.gz ZOUOWWKQTBBRRX-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001035443028 751519717 /nfs/dbraw/zinc/51/97/17/751519717.db2.gz AXYNUJHRRIDJLO-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001035443028 751519721 /nfs/dbraw/zinc/51/97/21/751519721.db2.gz AXYNUJHRRIDJLO-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3c2O[C@H](C)C3)C1 ZINC001035449289 751525900 /nfs/dbraw/zinc/52/59/00/751525900.db2.gz KVPAWUFDSAPVIG-HIFRSBDPSA-N 1 2 316.401 1.627 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3c2O[C@H](C)C3)C1 ZINC001035449289 751525903 /nfs/dbraw/zinc/52/59/03/751525903.db2.gz KVPAWUFDSAPVIG-HIFRSBDPSA-N 1 2 316.401 1.627 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCCC2(F)F)C1 ZINC001035459396 751544792 /nfs/dbraw/zinc/54/47/92/751544792.db2.gz PEYFVFKBXANZSD-QWHCGFSZSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCCC2(F)F)C1 ZINC001035459396 751544793 /nfs/dbraw/zinc/54/47/93/751544793.db2.gz PEYFVFKBXANZSD-QWHCGFSZSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001035468415 751553162 /nfs/dbraw/zinc/55/31/62/751553162.db2.gz GMRXHYZWSHCSBS-ZDUSSCGKSA-N 1 2 305.378 1.021 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001035468415 751553166 /nfs/dbraw/zinc/55/31/66/751553166.db2.gz GMRXHYZWSHCSBS-ZDUSSCGKSA-N 1 2 305.378 1.021 20 30 DDEDLO Cc1nsc(N[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)c1C#N ZINC001059283447 740559234 /nfs/dbraw/zinc/55/92/34/740559234.db2.gz DTPYKEZMSKZKEC-MGCOHNPYSA-N 1 2 316.390 1.348 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001059318118 740613386 /nfs/dbraw/zinc/61/33/86/740613386.db2.gz VKDXOLBOYWCWJO-CYBMUJFWSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CC[N@H+]1CC[C@H](NC(=O)[C@H]2CCCCS2(=O)=O)[C@H]1CC ZINC001087600617 740672316 /nfs/dbraw/zinc/67/23/16/740672316.db2.gz CLYQCKUOEBNIHC-BFHYXJOUSA-N 1 2 314.451 1.109 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCCS2(=O)=O)[C@H]1CC ZINC001087600617 740672318 /nfs/dbraw/zinc/67/23/18/740672318.db2.gz CLYQCKUOEBNIHC-BFHYXJOUSA-N 1 2 314.451 1.109 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCO[C@H]2C(=C)C)C1 ZINC001035539934 751586856 /nfs/dbraw/zinc/58/68/56/751586856.db2.gz RAXQAWNKIZWZAR-ULQDDVLXSA-N 1 2 322.449 1.751 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCO[C@H]2C(=C)C)C1 ZINC001035539934 751586857 /nfs/dbraw/zinc/58/68/57/751586857.db2.gz RAXQAWNKIZWZAR-ULQDDVLXSA-N 1 2 322.449 1.751 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@@H]2C[N@@H+](Cc3ncccn3)CC[C@@H]2C1 ZINC001087962442 740890255 /nfs/dbraw/zinc/89/02/55/740890255.db2.gz QRBPCLOUVNZZFA-CVEARBPZSA-N 1 2 310.401 1.170 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@@H]2C[N@H+](Cc3ncccn3)CC[C@@H]2C1 ZINC001087962442 740890258 /nfs/dbraw/zinc/89/02/58/740890258.db2.gz QRBPCLOUVNZZFA-CVEARBPZSA-N 1 2 310.401 1.170 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC001035520135 751596819 /nfs/dbraw/zinc/59/68/19/751596819.db2.gz MXZXBMXSNWPABF-CYBMUJFWSA-N 1 2 306.410 1.540 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC001035520135 751596821 /nfs/dbraw/zinc/59/68/21/751596821.db2.gz MXZXBMXSNWPABF-CYBMUJFWSA-N 1 2 306.410 1.540 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccncc2Cl)C1 ZINC001035531520 751612735 /nfs/dbraw/zinc/61/27/35/751612735.db2.gz CHYHOUQPDNDQLY-LBPRGKRZSA-N 1 2 309.797 1.742 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccncc2Cl)C1 ZINC001035531520 751612738 /nfs/dbraw/zinc/61/27/38/751612738.db2.gz CHYHOUQPDNDQLY-LBPRGKRZSA-N 1 2 309.797 1.742 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)[nH]nc2C(C)C)C1 ZINC001035573399 751628397 /nfs/dbraw/zinc/62/83/97/751628397.db2.gz HTSUVSDHIROAOP-CQSZACIVSA-N 1 2 320.437 1.848 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)[nH]nc2C(C)C)C1 ZINC001035573399 751628400 /nfs/dbraw/zinc/62/84/00/751628400.db2.gz HTSUVSDHIROAOP-CQSZACIVSA-N 1 2 320.437 1.848 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001035573399 751628405 /nfs/dbraw/zinc/62/84/05/751628405.db2.gz HTSUVSDHIROAOP-CQSZACIVSA-N 1 2 320.437 1.848 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001035573399 751628410 /nfs/dbraw/zinc/62/84/10/751628410.db2.gz HTSUVSDHIROAOP-CQSZACIVSA-N 1 2 320.437 1.848 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCCC2CCOCC2)C1 ZINC001107981826 751636308 /nfs/dbraw/zinc/63/63/08/751636308.db2.gz HPJMPURTLHSGGB-GOSISDBHSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCCC2CCOCC2)C1 ZINC001107981826 751636314 /nfs/dbraw/zinc/63/63/14/751636314.db2.gz HPJMPURTLHSGGB-GOSISDBHSA-N 1 2 324.465 1.976 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccnc2OCC)C1 ZINC001035592485 751687812 /nfs/dbraw/zinc/68/78/12/751687812.db2.gz LMZJVZJNQJLXHA-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccnc2OCC)C1 ZINC001035592485 751687814 /nfs/dbraw/zinc/68/78/14/751687814.db2.gz LMZJVZJNQJLXHA-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2COc3ccccc32)C1 ZINC001035625873 751697353 /nfs/dbraw/zinc/69/73/53/751697353.db2.gz YPPYRXKIAMYBLG-DZGCQCFKSA-N 1 2 302.374 1.166 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2COc3ccccc32)C1 ZINC001035625873 751697355 /nfs/dbraw/zinc/69/73/55/751697355.db2.gz YPPYRXKIAMYBLG-DZGCQCFKSA-N 1 2 302.374 1.166 20 30 DDEDLO Cn1cncc1C[N@@H+]1CCC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007060814 742162273 /nfs/dbraw/zinc/16/22/73/742162273.db2.gz YCACXCZJRNIWAN-ZDUSSCGKSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1cncc1C[N@H+]1CCC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007060814 742162274 /nfs/dbraw/zinc/16/22/74/742162274.db2.gz YCACXCZJRNIWAN-ZDUSSCGKSA-N 1 2 312.377 1.014 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn2cc(C)nc2c1 ZINC001032625890 751706639 /nfs/dbraw/zinc/70/66/39/751706639.db2.gz NLEICTLKXIHRRR-HOTGVXAUSA-N 1 2 308.385 1.565 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn2cc(C)nc2c1 ZINC001032625890 751706645 /nfs/dbraw/zinc/70/66/45/751706645.db2.gz NLEICTLKXIHRRR-HOTGVXAUSA-N 1 2 308.385 1.565 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncccc2OCC)C1 ZINC001035632046 751704504 /nfs/dbraw/zinc/70/45/04/751704504.db2.gz XLBVBVHWERVUGG-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncccc2OCC)C1 ZINC001035632046 751704509 /nfs/dbraw/zinc/70/45/09/751704509.db2.gz XLBVBVHWERVUGG-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H]3CC3(C)C)C2)nn1 ZINC001098658908 742414771 /nfs/dbraw/zinc/41/47/71/742414771.db2.gz KDPCNNBPBOLMIM-LSDHHAIUSA-N 1 2 315.421 1.211 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)cn1 ZINC001060093737 742495792 /nfs/dbraw/zinc/49/57/92/742495792.db2.gz NEXDDWURVUOPKX-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO Cc1nc(C[NH+]2CC3(C2)CCN(C(=O)[C@H](C)C#N)CC3)c(C)o1 ZINC001035670040 751776323 /nfs/dbraw/zinc/77/63/23/751776323.db2.gz BDYSKELMHWBGTA-GFCCVEGCSA-N 1 2 316.405 1.876 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1CC[C@H](OC)C1 ZINC001121509228 782541186 /nfs/dbraw/zinc/54/11/86/782541186.db2.gz FYQXWAFMADBCIF-KBPBESRZSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N1CC[C@H](OC)C1 ZINC001121509228 782541197 /nfs/dbraw/zinc/54/11/97/782541197.db2.gz FYQXWAFMADBCIF-KBPBESRZSA-N 1 2 305.426 1.846 20 30 DDEDLO C[C@H](c1ncccn1)[NH+]1CC2(C1)CCN(C(=O)C#CC1CC1)CC2 ZINC001035700612 751788260 /nfs/dbraw/zinc/78/82/60/751788260.db2.gz JGBSQADBPAIQCX-OAHLLOKOSA-N 1 2 324.428 1.875 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H](O)[C@H](NC(=O)C2=CCCC2)C1 ZINC001076876542 743059276 /nfs/dbraw/zinc/05/92/76/743059276.db2.gz VMZDPTVLRQGLQO-IAGOWNOFSA-N 1 2 311.385 1.330 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2=CCCC2)C1 ZINC001076876542 743059286 /nfs/dbraw/zinc/05/92/86/743059286.db2.gz VMZDPTVLRQGLQO-IAGOWNOFSA-N 1 2 311.385 1.330 20 30 DDEDLO O=C(C#CC1CC1)N1CCC2(C[NH+](Cc3nccs3)C2)CC1 ZINC001035702741 751789806 /nfs/dbraw/zinc/78/98/06/751789806.db2.gz ZQLNYKSCOXSOMW-UHFFFAOYSA-N 1 2 315.442 1.981 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2scc(C)c2Cl)C1 ZINC001077049782 743197442 /nfs/dbraw/zinc/19/74/42/743197442.db2.gz LZZYEGUISYOWTM-GHMZBOCLSA-N 1 2 312.822 1.508 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2scc(C)c2Cl)C1 ZINC001077049782 743197446 /nfs/dbraw/zinc/19/74/46/743197446.db2.gz LZZYEGUISYOWTM-GHMZBOCLSA-N 1 2 312.822 1.508 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2oc3c(cccc3F)c2C)C1 ZINC001077134330 743268678 /nfs/dbraw/zinc/26/86/78/743268678.db2.gz AHVRVIWYTOEMBU-ZIAGYGMSSA-N 1 2 316.332 1.288 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2oc3c(cccc3F)c2C)C1 ZINC001077134330 743268682 /nfs/dbraw/zinc/26/86/82/743268682.db2.gz AHVRVIWYTOEMBU-ZIAGYGMSSA-N 1 2 316.332 1.288 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)CCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001077247623 743350348 /nfs/dbraw/zinc/35/03/48/743350348.db2.gz SQWJMWQCENCVDE-QWRGUYRKSA-N 1 2 313.361 1.347 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001182108674 743480001 /nfs/dbraw/zinc/48/00/01/743480001.db2.gz RTULDKXUKWZXBZ-CQSZACIVSA-N 1 2 318.421 1.906 20 30 DDEDLO COC(=O)c1cc(C#N)cc(NC(=O)CCc2ccc(N)[nH+]c2)c1 ZINC001183934572 743929887 /nfs/dbraw/zinc/92/98/87/743929887.db2.gz LCUNUXKRRSZNEN-UHFFFAOYSA-N 1 2 324.340 1.893 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)C[C@@H]2COc3ccccc3O2)C1 ZINC001030379065 744091241 /nfs/dbraw/zinc/09/12/41/744091241.db2.gz SAATUJCRXMUPAS-CQSZACIVSA-N 1 2 302.374 1.593 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2COC[C@@H]2c2ccccc2)C1 ZINC001030559050 744264967 /nfs/dbraw/zinc/26/49/67/744264967.db2.gz VHDFNJOILLAOQG-IAGOWNOFSA-N 1 2 300.402 1.793 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccnc(OC)n1 ZINC001110368795 744281839 /nfs/dbraw/zinc/28/18/39/744281839.db2.gz NCTFMOGWCVRXDF-KFWWJZLASA-N 1 2 316.405 1.673 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccnc(OC)n1 ZINC001110368795 744281841 /nfs/dbraw/zinc/28/18/41/744281841.db2.gz NCTFMOGWCVRXDF-KFWWJZLASA-N 1 2 316.405 1.673 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@H](C)C3CC3)C2)nn1 ZINC001185899066 744304983 /nfs/dbraw/zinc/30/49/83/744304983.db2.gz IDFKJHLXMAFFSD-CZUORRHYSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C3=CCCC3)C2)nn1 ZINC001185916749 744314758 /nfs/dbraw/zinc/31/47/58/744314758.db2.gz STVQLGMXBUIIGY-INIZCTEOSA-N 1 2 313.405 1.275 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(N(C)C)nn2)[C@H]1C ZINC001088986281 744429921 /nfs/dbraw/zinc/42/99/21/744429921.db2.gz SCGLOIKAZPAQIH-NEPJUHHUSA-N 1 2 323.828 1.488 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(N(C)C)nn2)[C@H]1C ZINC001088986281 744429923 /nfs/dbraw/zinc/42/99/23/744429923.db2.gz SCGLOIKAZPAQIH-NEPJUHHUSA-N 1 2 323.828 1.488 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3ccc(F)cc3)CCC2)C1 ZINC001077485284 744489917 /nfs/dbraw/zinc/48/99/17/744489917.db2.gz MSZSIPPUVRATFB-HZPDHXFCSA-N 1 2 316.376 1.042 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3ccc(F)cc3)CCC2)C1 ZINC001077485284 744489919 /nfs/dbraw/zinc/48/99/19/744489919.db2.gz MSZSIPPUVRATFB-HZPDHXFCSA-N 1 2 316.376 1.042 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001189006899 744809679 /nfs/dbraw/zinc/80/96/79/744809679.db2.gz MAGUXHFRBPAEPQ-SOUVJXGZSA-N 1 2 317.437 1.765 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001189006899 744809682 /nfs/dbraw/zinc/80/96/82/744809682.db2.gz MAGUXHFRBPAEPQ-SOUVJXGZSA-N 1 2 317.437 1.765 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001189365397 744887577 /nfs/dbraw/zinc/88/75/77/744887577.db2.gz XFFQSQSWNOSCQH-UONOGXRCSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001189365397 744887578 /nfs/dbraw/zinc/88/75/78/744887578.db2.gz XFFQSQSWNOSCQH-UONOGXRCSA-N 1 2 304.394 1.273 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001083704651 744931787 /nfs/dbraw/zinc/93/17/87/744931787.db2.gz YTCYBEROLPEJOP-CEFQPYBMSA-N 1 2 317.364 1.015 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001083704651 744931789 /nfs/dbraw/zinc/93/17/89/744931789.db2.gz YTCYBEROLPEJOP-CEFQPYBMSA-N 1 2 317.364 1.015 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001189743335 744965642 /nfs/dbraw/zinc/96/56/42/744965642.db2.gz BDXWKKCPLZHTOW-CQSZACIVSA-N 1 2 303.410 1.041 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001189743335 744965647 /nfs/dbraw/zinc/96/56/47/744965647.db2.gz BDXWKKCPLZHTOW-CQSZACIVSA-N 1 2 303.410 1.041 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001189918348 745033478 /nfs/dbraw/zinc/03/34/78/745033478.db2.gz PLHHNKPETOALGJ-ZBFHGGJFSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001189918348 745033484 /nfs/dbraw/zinc/03/34/84/745033484.db2.gz PLHHNKPETOALGJ-ZBFHGGJFSA-N 1 2 307.394 1.343 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001190094123 745098127 /nfs/dbraw/zinc/09/81/27/745098127.db2.gz HXFKRMVPHYSFEN-LSDHHAIUSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001190094123 745098133 /nfs/dbraw/zinc/09/81/33/745098133.db2.gz HXFKRMVPHYSFEN-LSDHHAIUSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)s2)C1 ZINC001190104505 745101710 /nfs/dbraw/zinc/10/17/10/745101710.db2.gz GDQPRHPKJYQQCO-KGLIPLIRSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)s2)C1 ZINC001190104505 745101712 /nfs/dbraw/zinc/10/17/12/745101712.db2.gz GDQPRHPKJYQQCO-KGLIPLIRSA-N 1 2 321.446 1.523 20 30 DDEDLO CC#CC[N@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001190178177 745140870 /nfs/dbraw/zinc/14/08/70/745140870.db2.gz UWPXVXOOOHEDRY-KGLIPLIRSA-N 1 2 300.406 1.386 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001190178177 745140872 /nfs/dbraw/zinc/14/08/72/745140872.db2.gz UWPXVXOOOHEDRY-KGLIPLIRSA-N 1 2 300.406 1.386 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H]2CCC[C@@H](NCC#N)[C@H]2C1 ZINC000992870903 745260768 /nfs/dbraw/zinc/26/07/68/745260768.db2.gz JAWYCAXQRSNRJA-MELADBBJSA-N 1 2 301.394 1.001 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106250969 745465240 /nfs/dbraw/zinc/46/52/40/745465240.db2.gz SHIVZFIXKDYGJJ-LLVKDONJSA-N 1 2 306.414 1.519 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106250970 745465329 /nfs/dbraw/zinc/46/53/29/745465329.db2.gz SHIVZFIXKDYGJJ-NSHDSACASA-N 1 2 306.414 1.519 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@H]1O ZINC001191714899 745581721 /nfs/dbraw/zinc/58/17/21/745581721.db2.gz LTNCPDHEDMMSKJ-HUUCEWRRSA-N 1 2 308.809 1.968 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@H]1O ZINC001191714899 745581723 /nfs/dbraw/zinc/58/17/23/745581723.db2.gz LTNCPDHEDMMSKJ-HUUCEWRRSA-N 1 2 308.809 1.968 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CCNc1ccc(C#N)nc1 ZINC001106395453 745660891 /nfs/dbraw/zinc/66/08/91/745660891.db2.gz MHGWSRZEDMKULY-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001192120147 745695419 /nfs/dbraw/zinc/69/54/19/745695419.db2.gz UUUYYBJMODQKDZ-RFNOUCBBSA-N 1 2 305.403 1.247 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001192120147 745695422 /nfs/dbraw/zinc/69/54/22/745695422.db2.gz UUUYYBJMODQKDZ-RFNOUCBBSA-N 1 2 305.403 1.247 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C2)sn1 ZINC001192812910 745902032 /nfs/dbraw/zinc/90/20/32/745902032.db2.gz AJGHGWLPURSJTR-ZIAGYGMSSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C2)sn1 ZINC001192812910 745902035 /nfs/dbraw/zinc/90/20/35/745902035.db2.gz AJGHGWLPURSJTR-ZIAGYGMSSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1c[nH+]c2n1CCC21CCN(S(=O)(=O)[C@H](C)C#N)CC1 ZINC001193118688 745983902 /nfs/dbraw/zinc/98/39/02/745983902.db2.gz TVDSZFKUMBLGHL-GFCCVEGCSA-N 1 2 308.407 1.171 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N[C@H]1CC[N@H+](Cc2cccc(F)c2)C1 ZINC001193186537 746023654 /nfs/dbraw/zinc/02/36/54/746023654.db2.gz YQOXIWDREAFTOF-RISCZKNCSA-N 1 2 311.382 1.231 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N[C@H]1CC[N@@H+](Cc2cccc(F)c2)C1 ZINC001193186537 746023659 /nfs/dbraw/zinc/02/36/59/746023659.db2.gz YQOXIWDREAFTOF-RISCZKNCSA-N 1 2 311.382 1.231 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cn(C)nc2C)[C@@H]1C ZINC000993422109 746113378 /nfs/dbraw/zinc/11/33/78/746113378.db2.gz LTXWZOBTGCISEB-DZGCQCFKSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cn(C)nc2C)[C@@H]1C ZINC000993422109 746113380 /nfs/dbraw/zinc/11/33/80/746113380.db2.gz LTXWZOBTGCISEB-DZGCQCFKSA-N 1 2 324.856 1.993 20 30 DDEDLO C#CC[NH+]1CCC(OC(=O)C(C)(C)NC(=O)C(F)(F)F)CC1 ZINC001123349350 746148306 /nfs/dbraw/zinc/14/83/06/746148306.db2.gz TVFZNJXQBVZVIX-UHFFFAOYSA-N 1 2 320.311 1.084 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccc(=O)n(C)c2)[C@@H]1C ZINC000993546607 746207815 /nfs/dbraw/zinc/20/78/15/746207815.db2.gz XNRCQNAFMOBELK-JSGCOSHPSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(=O)n(C)c2)[C@@H]1C ZINC000993546607 746207817 /nfs/dbraw/zinc/20/78/17/746207817.db2.gz XNRCQNAFMOBELK-JSGCOSHPSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccnc(OC)n2)[C@@H]1C ZINC000993589008 746239876 /nfs/dbraw/zinc/23/98/76/746239876.db2.gz NEKYBFNRWRRXBY-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccnc(OC)n2)[C@@H]1C ZINC000993589008 746239880 /nfs/dbraw/zinc/23/98/80/746239880.db2.gz NEKYBFNRWRRXBY-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO N#Cc1cnccc1NC1CCC(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001060624625 746373028 /nfs/dbraw/zinc/37/30/28/746373028.db2.gz CABXBGFDBGZQHT-UHFFFAOYSA-N 1 2 324.388 1.180 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2cc(C3CC3)no2)CC1 ZINC001195284482 746568490 /nfs/dbraw/zinc/56/84/90/746568490.db2.gz VZFBWZDTGKLCJX-UHFFFAOYSA-N 1 2 319.405 1.789 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2cc(C3CC3)no2)CC1 ZINC001195284482 746568495 /nfs/dbraw/zinc/56/84/95/746568495.db2.gz VZFBWZDTGKLCJX-UHFFFAOYSA-N 1 2 319.405 1.789 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)=C2CCCC2)CC1 ZINC001195459632 746606984 /nfs/dbraw/zinc/60/69/84/746606984.db2.gz NXTFZWXISAEKIA-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)=C2CCCC2)CC1 ZINC001195459632 746606985 /nfs/dbraw/zinc/60/69/85/746606985.db2.gz NXTFZWXISAEKIA-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2ccccc2=O)[C@@H]1C ZINC000994377203 746614895 /nfs/dbraw/zinc/61/48/95/746614895.db2.gz PONFWJWFVZRVLB-KBPBESRZSA-N 1 2 323.824 1.570 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2ccccc2=O)[C@@H]1C ZINC000994377203 746614899 /nfs/dbraw/zinc/61/48/99/746614899.db2.gz PONFWJWFVZRVLB-KBPBESRZSA-N 1 2 323.824 1.570 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1O ZINC001195554487 746630717 /nfs/dbraw/zinc/63/07/17/746630717.db2.gz JRVSLICVACMMNN-BPLDGKMQSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1O ZINC001195554487 746630720 /nfs/dbraw/zinc/63/07/20/746630720.db2.gz JRVSLICVACMMNN-BPLDGKMQSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC000994416945 746642591 /nfs/dbraw/zinc/64/25/91/746642591.db2.gz BAWXLRLEFJRXPL-ZYHUDNBSSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC000994416945 746642594 /nfs/dbraw/zinc/64/25/94/746642594.db2.gz BAWXLRLEFJRXPL-ZYHUDNBSSA-N 1 2 324.812 1.449 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+]([C@@H](C)c2cnccn2)CC1 ZINC001195774878 746700868 /nfs/dbraw/zinc/70/08/68/746700868.db2.gz GILAYKCLDPLLRA-HNNXBMFYSA-N 1 2 300.406 1.731 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+]([C@@H](C)c2cnccn2)CC1 ZINC001195774878 746700870 /nfs/dbraw/zinc/70/08/70/746700870.db2.gz GILAYKCLDPLLRA-HNNXBMFYSA-N 1 2 300.406 1.731 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195923816 746737424 /nfs/dbraw/zinc/73/74/24/746737424.db2.gz MSGNAPCBSNISDJ-INMHGKMJSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195923816 746737426 /nfs/dbraw/zinc/73/74/26/746737426.db2.gz MSGNAPCBSNISDJ-INMHGKMJSA-N 1 2 321.421 1.555 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](Cc2ccccc2)C(C)C)C1 ZINC001196169106 746791676 /nfs/dbraw/zinc/79/16/76/746791676.db2.gz ONYFCTXYAPMETP-KZNAEPCWSA-N 1 2 314.429 1.296 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](Cc2ccccc2)C(C)C)C1 ZINC001196169106 746791677 /nfs/dbraw/zinc/79/16/77/746791677.db2.gz ONYFCTXYAPMETP-KZNAEPCWSA-N 1 2 314.429 1.296 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2cc[n+]([O-])cc2)C1 ZINC001031536830 746976530 /nfs/dbraw/zinc/97/65/30/746976530.db2.gz JEVVHJUSWWOPGP-UHFFFAOYSA-N 1 2 322.368 1.053 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](Cc2cnnn2CC)CC1 ZINC001196847258 746979349 /nfs/dbraw/zinc/97/93/49/746979349.db2.gz ZGDZSCKXXCBGAQ-UHFFFAOYSA-N 1 2 317.437 1.526 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](Cc2cnnn2CC)CC1 ZINC001196847258 746979362 /nfs/dbraw/zinc/97/93/62/746979362.db2.gz ZGDZSCKXXCBGAQ-UHFFFAOYSA-N 1 2 317.437 1.526 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCCC[C@@H]2C)CC1 ZINC001196943903 747016835 /nfs/dbraw/zinc/01/68/35/747016835.db2.gz XFDXFSYIKNRGJR-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCCC[C@@H]2C)CC1 ZINC001196943903 747016842 /nfs/dbraw/zinc/01/68/42/747016842.db2.gz XFDXFSYIKNRGJR-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)CCC)CC1 ZINC001197014729 747033382 /nfs/dbraw/zinc/03/33/82/747033382.db2.gz PWSNYROSPZHPTG-HNNXBMFYSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C(C)(C)CCC)CC1 ZINC001197014729 747033390 /nfs/dbraw/zinc/03/33/90/747033390.db2.gz PWSNYROSPZHPTG-HNNXBMFYSA-N 1 2 321.465 1.485 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)NC(C)(C)C)CC2)C1 ZINC001197092480 747048238 /nfs/dbraw/zinc/04/82/38/747048238.db2.gz MGILKJLHLHMMQM-UHFFFAOYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)NC(C)(C)C)CC2)C1 ZINC001197092480 747048242 /nfs/dbraw/zinc/04/82/42/747048242.db2.gz MGILKJLHLHMMQM-UHFFFAOYSA-N 1 2 321.465 1.792 20 30 DDEDLO N#Cc1ccc(C(=O)NCC2C[NH+](Cc3cncc(F)c3)C2)[nH]1 ZINC001031620256 747211902 /nfs/dbraw/zinc/21/19/02/747211902.db2.gz FREMBRMTEXJASY-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=S)Nc1cccc(C#N)c1 ZINC001197674986 747224297 /nfs/dbraw/zinc/22/42/97/747224297.db2.gz JFVQVDQAAFJORI-UHFFFAOYSA-N 1 2 304.419 1.519 20 30 DDEDLO CC(=O)N1CC[C@@H](n2cc(C[NH2+]Cc3ccc(C#N)cc3)nn2)C1 ZINC001089572491 747262937 /nfs/dbraw/zinc/26/29/37/747262937.db2.gz RUUZBAKKRVQCBH-QGZVFWFLSA-N 1 2 324.388 1.233 20 30 DDEDLO Cc1cn(C)nc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031660558 747335158 /nfs/dbraw/zinc/33/51/58/747335158.db2.gz KRVJCRWYBACJGJ-UHFFFAOYSA-N 1 2 322.412 1.442 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001015812226 747397837 /nfs/dbraw/zinc/39/78/37/747397837.db2.gz ANPHBHDXCXDGTK-STQMWFEESA-N 1 2 319.430 1.822 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001015812226 747397841 /nfs/dbraw/zinc/39/78/41/747397841.db2.gz ANPHBHDXCXDGTK-STQMWFEESA-N 1 2 319.430 1.822 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2nccnc2C)CC1 ZINC001198344255 747459348 /nfs/dbraw/zinc/45/93/48/747459348.db2.gz SINZAPHUWJNCAK-HNNXBMFYSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2nccnc2C)CC1 ZINC001198344255 747459353 /nfs/dbraw/zinc/45/93/53/747459353.db2.gz SINZAPHUWJNCAK-HNNXBMFYSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cnc(C)cn2)CC1 ZINC001198344965 747460709 /nfs/dbraw/zinc/46/07/09/747460709.db2.gz YAUPQMNDRNLHAN-HNNXBMFYSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cnc(C)cn2)CC1 ZINC001198344965 747460713 /nfs/dbraw/zinc/46/07/13/747460713.db2.gz YAUPQMNDRNLHAN-HNNXBMFYSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2Cc3ccccc3O2)C1 ZINC001108059938 747501970 /nfs/dbraw/zinc/50/19/70/747501970.db2.gz RFVDEFZXPANJOD-SJLPKXTDSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2Cc3ccccc3O2)C1 ZINC001108059938 747501975 /nfs/dbraw/zinc/50/19/75/747501975.db2.gz RFVDEFZXPANJOD-SJLPKXTDSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]([NH2+]CCF)c1ccccc1OC ZINC001198604782 747545994 /nfs/dbraw/zinc/54/59/94/747545994.db2.gz AOHSACQRFQHDGV-CQSZACIVSA-N 1 2 310.369 1.614 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cncn2C2CCOCC2)CC1 ZINC001199067212 747690084 /nfs/dbraw/zinc/69/00/84/747690084.db2.gz BXOGVFREJMEPPR-UHFFFAOYSA-N 1 2 304.394 1.178 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001089788282 747800472 /nfs/dbraw/zinc/80/04/72/747800472.db2.gz OGMPBEJWWZDMEK-OAHLLOKOSA-N 1 2 318.421 1.805 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001032987607 747835922 /nfs/dbraw/zinc/83/59/22/747835922.db2.gz STXAFYJPXOANAK-GXTWGEPZSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CC[C@@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001032987607 747835926 /nfs/dbraw/zinc/83/59/26/747835926.db2.gz STXAFYJPXOANAK-GXTWGEPZSA-N 1 2 324.388 1.584 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3nocc3C)CC2)cn1 ZINC001004001254 747892840 /nfs/dbraw/zinc/89/28/40/747892840.db2.gz GNRNOJIJUVVYAJ-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO Cc1nnccc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031815617 747931454 /nfs/dbraw/zinc/93/14/54/747931454.db2.gz BLXYSJFMYCJOCB-UHFFFAOYSA-N 1 2 320.396 1.498 20 30 DDEDLO CC#CC[NH+]1CC(CNC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001031824023 747954077 /nfs/dbraw/zinc/95/40/77/747954077.db2.gz BGGHGVYYLFHYMV-UHFFFAOYSA-N 1 2 309.369 1.213 20 30 DDEDLO C=CCSc1ncccc1C(=O)NCC[NH+]1CC2(COC2)C1 ZINC001199739254 747974483 /nfs/dbraw/zinc/97/44/83/747974483.db2.gz DJJKUPKFGBXNEG-UHFFFAOYSA-N 1 2 319.430 1.422 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001033081240 748215581 /nfs/dbraw/zinc/21/55/81/748215581.db2.gz DYUMCRKDAAIWIJ-VXGBXAGGSA-N 1 2 307.419 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001033081240 748215586 /nfs/dbraw/zinc/21/55/86/748215586.db2.gz DYUMCRKDAAIWIJ-VXGBXAGGSA-N 1 2 307.419 1.630 20 30 DDEDLO C=C(C)C[NH2+]C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200555572 748277977 /nfs/dbraw/zinc/27/79/77/748277977.db2.gz RZPSZLNFUYGUNK-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[NH2+]C[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCCO1 ZINC001200555572 748277982 /nfs/dbraw/zinc/27/79/82/748277982.db2.gz RZPSZLNFUYGUNK-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccnnc3)C2)s1 ZINC001032003675 748399320 /nfs/dbraw/zinc/39/93/20/748399320.db2.gz DMNGBWYHIZBJRF-UHFFFAOYSA-N 1 2 313.386 1.272 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)C[C@H]2COC(=O)C2)CC1 ZINC001004407832 748405942 /nfs/dbraw/zinc/40/59/42/748405942.db2.gz QYLBVUYJRQLDQA-HIFRSBDPSA-N 1 2 319.405 1.166 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)C[C@H]2COC(=O)C2)CC1 ZINC001004407832 748405950 /nfs/dbraw/zinc/40/59/50/748405950.db2.gz QYLBVUYJRQLDQA-HIFRSBDPSA-N 1 2 319.405 1.166 20 30 DDEDLO Cn1ccc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)c1 ZINC001004422130 748416073 /nfs/dbraw/zinc/41/60/73/748416073.db2.gz VFALBOALMASRID-MRXNPFEDSA-N 1 2 300.406 1.865 20 30 DDEDLO Cn1ccc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)c1 ZINC001004422130 748416074 /nfs/dbraw/zinc/41/60/74/748416074.db2.gz VFALBOALMASRID-MRXNPFEDSA-N 1 2 300.406 1.865 20 30 DDEDLO CCn1cc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)nn1 ZINC001032081233 748590292 /nfs/dbraw/zinc/59/02/92/748590292.db2.gz IISZINOUXDJYNR-UHFFFAOYSA-N 1 2 324.388 1.031 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnc3n[nH]nc3c2)C1 ZINC001033155851 748652259 /nfs/dbraw/zinc/65/22/59/748652259.db2.gz XRDLYOXXOQTKPR-LLVKDONJSA-N 1 2 320.784 1.252 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc3n[nH]nc3c2)C1 ZINC001033155851 748652261 /nfs/dbraw/zinc/65/22/61/748652261.db2.gz XRDLYOXXOQTKPR-LLVKDONJSA-N 1 2 320.784 1.252 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2sc(C)nc2C)C1 ZINC001108084724 748743495 /nfs/dbraw/zinc/74/34/95/748743495.db2.gz MAVVMIOMVVEXLZ-MRXNPFEDSA-N 1 2 323.462 1.696 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2sc(C)nc2C)C1 ZINC001108084724 748743498 /nfs/dbraw/zinc/74/34/98/748743498.db2.gz MAVVMIOMVVEXLZ-MRXNPFEDSA-N 1 2 323.462 1.696 20 30 DDEDLO C#CCN(C(=O)[C@@H]1C[C@@H]1C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110616206 748830028 /nfs/dbraw/zinc/83/00/28/748830028.db2.gz YUKREPDMYDPTSP-XJKSGUPXSA-N 1 2 312.417 1.872 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccn(C)c(=O)c2)C1 ZINC001033205833 748917568 /nfs/dbraw/zinc/91/75/68/748917568.db2.gz AZPVQDKWLBVJBL-ZDUSSCGKSA-N 1 2 309.797 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccn(C)c(=O)c2)C1 ZINC001033205833 748917572 /nfs/dbraw/zinc/91/75/72/748917572.db2.gz AZPVQDKWLBVJBL-ZDUSSCGKSA-N 1 2 309.797 1.284 20 30 DDEDLO C=CCOCC(=O)NC/C=C\CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001107073579 748918397 /nfs/dbraw/zinc/91/83/97/748918397.db2.gz AQFZBKRYWRFSRK-PLNGDYQASA-N 1 2 316.405 1.949 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cccc(C(N)=O)c2)C1 ZINC001033209425 748926332 /nfs/dbraw/zinc/92/63/32/748926332.db2.gz UIMSJTBBKIOAPT-AWEZNQCLSA-N 1 2 321.808 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cccc(C(N)=O)c2)C1 ZINC001033209425 748926335 /nfs/dbraw/zinc/92/63/35/748926335.db2.gz UIMSJTBBKIOAPT-AWEZNQCLSA-N 1 2 321.808 1.684 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001033212520 748946960 /nfs/dbraw/zinc/94/69/60/748946960.db2.gz UXAYHCWRHRTZFS-HIFRSBDPSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001033212520 748946967 /nfs/dbraw/zinc/94/69/67/748946967.db2.gz UXAYHCWRHRTZFS-HIFRSBDPSA-N 1 2 322.840 1.731 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@]1(C)CCN(c2ccncc2C#N)C1 ZINC001110771842 748998374 /nfs/dbraw/zinc/99/83/74/748998374.db2.gz KFNKRNNTLPRFTI-KRWDZBQOSA-N 1 2 324.388 1.014 20 30 DDEDLO C[C@@]1(NC(=O)CCn2cc[nH+]c2)CCN(c2ncccc2C#N)C1 ZINC001110802568 749024248 /nfs/dbraw/zinc/02/42/48/749024248.db2.gz QQPPQYGWBZQCMY-QGZVFWFLSA-N 1 2 324.388 1.325 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001108315767 761911809 /nfs/dbraw/zinc/91/18/09/761911809.db2.gz ZICQFLRONWDCFP-QGZVFWFLSA-N 1 2 320.437 1.091 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001108315767 761911813 /nfs/dbraw/zinc/91/18/13/761911813.db2.gz ZICQFLRONWDCFP-QGZVFWFLSA-N 1 2 320.437 1.091 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1nn(C)cc1Cl ZINC001125253071 749075619 /nfs/dbraw/zinc/07/56/19/749075619.db2.gz XCZHHMSBMJWHDM-UHFFFAOYSA-N 1 2 314.817 1.262 20 30 DDEDLO Cc1n[nH]nc1C(=O)N(C)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033319481 749179419 /nfs/dbraw/zinc/17/94/19/749179419.db2.gz SEBBGNSTYKMDLI-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1n[nH]nc1C(=O)N(C)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033319481 749179421 /nfs/dbraw/zinc/17/94/21/749179421.db2.gz SEBBGNSTYKMDLI-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CCCC#CC)nn2)C1 ZINC001107197376 749560098 /nfs/dbraw/zinc/56/00/98/749560098.db2.gz FPBSENWDANYMHF-UHFFFAOYSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)[C@H]1CC[N@H+](CCF)C1 ZINC001033569989 749566943 /nfs/dbraw/zinc/56/69/43/749566943.db2.gz DAOQJNCUKOCTJC-ZDUSSCGKSA-N 1 2 307.369 1.762 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)[C@H]1CC[N@@H+](CCF)C1 ZINC001033569989 749566947 /nfs/dbraw/zinc/56/69/47/749566947.db2.gz DAOQJNCUKOCTJC-ZDUSSCGKSA-N 1 2 307.369 1.762 20 30 DDEDLO N#Cc1ccc(NC/C=C\CNC(=O)CCCn2cc[nH+]c2)nc1 ZINC001107237108 749678704 /nfs/dbraw/zinc/67/87/04/749678704.db2.gz FIVRNMQENPPDGZ-UPHRSURJSA-N 1 2 324.388 1.714 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncccc2Cl)C1 ZINC001108353497 761973572 /nfs/dbraw/zinc/97/35/72/761973572.db2.gz JPMLQQDMWGZEBS-OAHLLOKOSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncccc2Cl)C1 ZINC001108353497 761973577 /nfs/dbraw/zinc/97/35/77/761973577.db2.gz JPMLQQDMWGZEBS-OAHLLOKOSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cncnc2C2CC2)C1 ZINC001108371970 761992631 /nfs/dbraw/zinc/99/26/31/761992631.db2.gz CWCWSBVRXKHNPC-KRWDZBQOSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cncnc2C2CC2)C1 ZINC001108371970 761992637 /nfs/dbraw/zinc/99/26/37/761992637.db2.gz CWCWSBVRXKHNPC-KRWDZBQOSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CC(C)(C)C(=O)NC/C=C/CNc1[nH+]cnc2c1cnn2C ZINC001107447017 749968916 /nfs/dbraw/zinc/96/89/16/749968916.db2.gz DHURVVQXBZPGGN-VOTSOKGWSA-N 1 2 314.393 1.660 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)C(F)C(F)(F)F)s1 ZINC001127778114 749977756 /nfs/dbraw/zinc/97/77/56/749977756.db2.gz DIQNWFIPEUBPBS-VIFPVBQESA-N 1 2 309.288 1.726 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)[C@H](F)C(F)(F)F)s1 ZINC001127778114 749977759 /nfs/dbraw/zinc/97/77/59/749977759.db2.gz DIQNWFIPEUBPBS-VIFPVBQESA-N 1 2 309.288 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2C(C)(C)C2(C)C)[C@H](O)C1 ZINC001090169060 750158386 /nfs/dbraw/zinc/15/83/86/750158386.db2.gz FMVUTLJICSCENK-NWDGAFQWSA-N 1 2 314.857 1.973 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2C(C)(C)C2(C)C)[C@H](O)C1 ZINC001090169060 750158388 /nfs/dbraw/zinc/15/83/88/750158388.db2.gz FMVUTLJICSCENK-NWDGAFQWSA-N 1 2 314.857 1.973 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001110925417 750228686 /nfs/dbraw/zinc/22/86/86/750228686.db2.gz YMONNGWJHLCNTI-OAGGEKHMSA-N 1 2 319.449 1.428 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001110925417 750228690 /nfs/dbraw/zinc/22/86/90/750228690.db2.gz YMONNGWJHLCNTI-OAGGEKHMSA-N 1 2 319.449 1.428 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)C1CC1)C2 ZINC001110935150 750241428 /nfs/dbraw/zinc/24/14/28/750241428.db2.gz ZKOKCUCBAXSVTR-KFWWJZLASA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)C1CC1)C2 ZINC001110935150 750241434 /nfs/dbraw/zinc/24/14/34/750241434.db2.gz ZKOKCUCBAXSVTR-KFWWJZLASA-N 1 2 319.449 1.446 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001108112654 750327655 /nfs/dbraw/zinc/32/76/55/750327655.db2.gz XDAXWVCWORZSPX-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001108112654 750327660 /nfs/dbraw/zinc/32/76/60/750327660.db2.gz XDAXWVCWORZSPX-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO CCC[C@H](CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001111194030 750428971 /nfs/dbraw/zinc/42/89/71/750428971.db2.gz SOEMFKZTSXUXHV-YJNKXOJESA-N 1 2 320.437 1.174 20 30 DDEDLO CCC[C@H](CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001111194030 750428975 /nfs/dbraw/zinc/42/89/75/750428975.db2.gz SOEMFKZTSXUXHV-YJNKXOJESA-N 1 2 320.437 1.174 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccnc2N(C)C)C1 ZINC001108395598 762039137 /nfs/dbraw/zinc/03/91/37/762039137.db2.gz UGRADUHAXODIEF-KRWDZBQOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccnc2N(C)C)C1 ZINC001108395598 762039146 /nfs/dbraw/zinc/03/91/46/762039146.db2.gz UGRADUHAXODIEF-KRWDZBQOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@@H]1C ZINC001090216270 750447659 /nfs/dbraw/zinc/44/76/59/750447659.db2.gz LISWHYQQWOLQJM-RBOXIYTFSA-N 1 2 304.394 1.513 20 30 DDEDLO C[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)C1CCN(CC#N)CC1 ZINC000997310926 750771575 /nfs/dbraw/zinc/77/15/75/750771575.db2.gz MCVLXSCSMYFOKW-BPLDGKMQSA-N 1 2 315.421 1.264 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)CC ZINC001114696107 750998416 /nfs/dbraw/zinc/99/84/16/750998416.db2.gz RFNRDCIHSYSEAF-YTWAJWBKSA-N 1 2 305.191 1.690 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)CC ZINC001114696107 750998422 /nfs/dbraw/zinc/99/84/22/750998422.db2.gz RFNRDCIHSYSEAF-YTWAJWBKSA-N 1 2 305.191 1.690 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001114793030 751084618 /nfs/dbraw/zinc/08/46/18/751084618.db2.gz YPZMFEOJPRDWFD-SHFYGJNESA-N 1 2 302.422 1.569 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001114793030 751084622 /nfs/dbraw/zinc/08/46/22/751084622.db2.gz YPZMFEOJPRDWFD-SHFYGJNESA-N 1 2 302.422 1.569 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(O[C@@H](C)CC)c1 ZINC001032722295 753312904 /nfs/dbraw/zinc/31/29/04/753312904.db2.gz RZTNIFFUNZSULY-BPUTZDHNSA-N 1 2 313.401 1.791 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(O[C@@H](C)CC)c1 ZINC001032722295 753312906 /nfs/dbraw/zinc/31/29/06/753312906.db2.gz RZTNIFFUNZSULY-BPUTZDHNSA-N 1 2 313.401 1.791 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)CN1c1ncccc1C#N ZINC001039758671 762204477 /nfs/dbraw/zinc/20/44/77/762204477.db2.gz YRFXKUYYURAYCI-ZFWWWQNUSA-N 1 2 324.388 1.323 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)ccc2Cl)C1 ZINC001078066668 753699300 /nfs/dbraw/zinc/69/93/00/753699300.db2.gz DVROJCPGWDUKSY-CHWSQXEVSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)ccc2Cl)C1 ZINC001078066668 753699301 /nfs/dbraw/zinc/69/93/01/753699301.db2.gz DVROJCPGWDUKSY-CHWSQXEVSA-N 1 2 313.184 1.402 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1(CNC(=O)C[C@H](C)n2cc[nH+]c2)CCC1 ZINC001063072304 753956132 /nfs/dbraw/zinc/95/61/32/753956132.db2.gz PMYWLZZJDNLHGO-STQMWFEESA-N 1 2 317.393 1.149 20 30 DDEDLO CCN(C(=O)c1c[nH]c(C#N)c1)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001063097000 753971118 /nfs/dbraw/zinc/97/11/18/753971118.db2.gz CCGHBNPLKDOUMW-OAHLLOKOSA-N 1 2 324.388 1.726 20 30 DDEDLO Cc1nc(N2CCCC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001063174498 754021520 /nfs/dbraw/zinc/02/15/20/754021520.db2.gz PICKVECZIHWXRS-AWEZNQCLSA-N 1 2 324.388 1.774 20 30 DDEDLO Cc1nc(NCCC2CCN(C(=O)[C@H](C)C#N)CC2)cc[nH+]1 ZINC001063314756 754085080 /nfs/dbraw/zinc/08/50/80/754085080.db2.gz IZAPVLYSTBFGDQ-GFCCVEGCSA-N 1 2 301.394 1.985 20 30 DDEDLO Cc1cc(NC[C@H]2CCCN2C(=O)Cc2[nH]cc[nH+]2)c(C#N)cn1 ZINC001063510686 754201330 /nfs/dbraw/zinc/20/13/30/754201330.db2.gz QUVFEGKCBAEGER-CQSZACIVSA-N 1 2 324.388 1.052 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1ccc(F)cc1 ZINC001032812225 754228872 /nfs/dbraw/zinc/22/88/72/754228872.db2.gz MJGZYTQEEFIKTK-JQFCIGGWSA-N 1 2 300.377 1.923 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1ccc(F)cc1 ZINC001032812225 754228874 /nfs/dbraw/zinc/22/88/74/754228874.db2.gz MJGZYTQEEFIKTK-JQFCIGGWSA-N 1 2 300.377 1.923 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)CC[C@@H]1Nc1ccc(C#N)cn1 ZINC001063760622 754356829 /nfs/dbraw/zinc/35/68/29/754356829.db2.gz NEDUZGHNKZQRHB-OCCSQVGLSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2(NC(=O)Cc3[nH]cc[nH+]3)CCC2)C1 ZINC001064457846 754682750 /nfs/dbraw/zinc/68/27/50/754682750.db2.gz VAFLQJUDRNREOA-UHFFFAOYSA-N 1 2 316.405 1.464 20 30 DDEDLO CC(C)(C)C#CC(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064702721 754780829 /nfs/dbraw/zinc/78/08/29/754780829.db2.gz NRKCTBBFBINIPP-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cc(C#N)ccc2F)C[C@H]1NC(=O)C(F)F ZINC001079330458 755227259 /nfs/dbraw/zinc/22/72/59/755227259.db2.gz GPRFTBSNNWEVPY-NOZJJQNGSA-N 1 2 311.307 1.899 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2F)C[C@H]1NC(=O)C(F)F ZINC001079330458 755227262 /nfs/dbraw/zinc/22/72/62/755227262.db2.gz GPRFTBSNNWEVPY-NOZJJQNGSA-N 1 2 311.307 1.899 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001040113018 762391206 /nfs/dbraw/zinc/39/12/06/762391206.db2.gz JYCQQBDAHLXETE-WFASDCNBSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001079718563 755543921 /nfs/dbraw/zinc/54/39/21/755543921.db2.gz JFIDKDRMLGLKHV-FPQZTECRSA-N 1 2 313.829 1.090 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001079718563 755543928 /nfs/dbraw/zinc/54/39/28/755543928.db2.gz JFIDKDRMLGLKHV-FPQZTECRSA-N 1 2 313.829 1.090 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080034839 755693984 /nfs/dbraw/zinc/69/39/84/755693984.db2.gz LOIDHEKJCSJPKV-UHFFFAOYSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn3cccnc23)C1 ZINC001080242243 755781145 /nfs/dbraw/zinc/78/11/45/755781145.db2.gz QXTXGDJLDVEDKT-ZWNOBZJWSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn3cccnc23)C1 ZINC001080242243 755781149 /nfs/dbraw/zinc/78/11/49/755781149.db2.gz QXTXGDJLDVEDKT-ZWNOBZJWSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(C)CCC(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080350889 755864341 /nfs/dbraw/zinc/86/43/41/755864341.db2.gz FBUGDBBPLDSKCS-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cnn3c2CCCC3)C1 ZINC001014803799 755952658 /nfs/dbraw/zinc/95/26/58/755952658.db2.gz AJJBFDPIKWTRTQ-CQSZACIVSA-N 1 2 322.840 1.705 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cnn3c2CCCC3)C1 ZINC001014803799 755952660 /nfs/dbraw/zinc/95/26/60/755952660.db2.gz AJJBFDPIKWTRTQ-CQSZACIVSA-N 1 2 322.840 1.705 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001080712103 756063861 /nfs/dbraw/zinc/06/38/61/756063861.db2.gz RCPLJJQPIWUPKK-BXKDBHETSA-N 1 2 310.785 1.288 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001080712103 756063867 /nfs/dbraw/zinc/06/38/67/756063867.db2.gz RCPLJJQPIWUPKK-BXKDBHETSA-N 1 2 310.785 1.288 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001080990953 756178518 /nfs/dbraw/zinc/17/85/18/756178518.db2.gz NVXRLSISIUUPAY-RAIGVLPGSA-N 1 2 323.828 1.030 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001080990953 756178520 /nfs/dbraw/zinc/17/85/20/756178520.db2.gz NVXRLSISIUUPAY-RAIGVLPGSA-N 1 2 323.828 1.030 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2ncc3cccnc32)C1 ZINC001015303466 756231014 /nfs/dbraw/zinc/23/10/14/756231014.db2.gz NKLMPQAKYKXHHG-ZDUSSCGKSA-N 1 2 319.796 1.374 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2ncc3cccnc32)C1 ZINC001015303466 756231015 /nfs/dbraw/zinc/23/10/15/756231015.db2.gz NKLMPQAKYKXHHG-ZDUSSCGKSA-N 1 2 319.796 1.374 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(CC)[nH]c(=O)c2)C1 ZINC001015308252 756233706 /nfs/dbraw/zinc/23/37/06/756233706.db2.gz VIAIYCJCCJZJJT-ZDUSSCGKSA-N 1 2 309.797 1.906 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(CC)[nH]c(=O)c2)C1 ZINC001015308252 756233712 /nfs/dbraw/zinc/23/37/12/756233712.db2.gz VIAIYCJCCJZJJT-ZDUSSCGKSA-N 1 2 309.797 1.906 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccc(OC)cn2)C1 ZINC001015609916 756410551 /nfs/dbraw/zinc/41/05/51/756410551.db2.gz OIVUZOMWWFAYHR-CYBMUJFWSA-N 1 2 309.797 1.576 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccc(OC)cn2)C1 ZINC001015609916 756410554 /nfs/dbraw/zinc/41/05/54/756410554.db2.gz OIVUZOMWWFAYHR-CYBMUJFWSA-N 1 2 309.797 1.576 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)nc3)C2)c1 ZINC001015678216 756460697 /nfs/dbraw/zinc/46/06/97/756460697.db2.gz DTLWYFXKOPXKRQ-QGZVFWFLSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)nc3)C2)c1 ZINC001015678216 756460699 /nfs/dbraw/zinc/46/06/99/756460699.db2.gz DTLWYFXKOPXKRQ-QGZVFWFLSA-N 1 2 321.384 1.166 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3ccncn3)C2)s1 ZINC001015876065 756609413 /nfs/dbraw/zinc/60/94/13/756609413.db2.gz BIXJJMYXBHPTEU-LLVKDONJSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3ccncn3)C2)s1 ZINC001015876065 756609415 /nfs/dbraw/zinc/60/94/15/756609415.db2.gz BIXJJMYXBHPTEU-LLVKDONJSA-N 1 2 313.386 1.414 20 30 DDEDLO CCn1cc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)nn1 ZINC001015916792 756649502 /nfs/dbraw/zinc/64/95/02/756649502.db2.gz QLOFUSNIJWSCHJ-INIZCTEOSA-N 1 2 323.400 1.154 20 30 DDEDLO CCn1cc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)nn1 ZINC001015916792 756649505 /nfs/dbraw/zinc/64/95/05/756649505.db2.gz QLOFUSNIJWSCHJ-INIZCTEOSA-N 1 2 323.400 1.154 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C23CCC(CC2)C3(C)C)[C@H](OC)C1 ZINC001082212007 756686579 /nfs/dbraw/zinc/68/65/79/756686579.db2.gz SLZUXWDVUQVFHW-NWPKHEMWSA-N 1 2 304.434 1.651 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C23CCC(CC2)C3(C)C)[C@H](OC)C1 ZINC001082212007 756686584 /nfs/dbraw/zinc/68/65/84/756686584.db2.gz SLZUXWDVUQVFHW-NWPKHEMWSA-N 1 2 304.434 1.651 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2cccc3nc[nH]c32)[C@H](OC)C1 ZINC001082263043 756713538 /nfs/dbraw/zinc/71/35/38/756713538.db2.gz MSSDAMGWEISFEE-HUUCEWRRSA-N 1 2 314.389 1.568 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2cccc3nc[nH]c32)[C@H](OC)C1 ZINC001082263043 756713541 /nfs/dbraw/zinc/71/35/41/756713541.db2.gz MSSDAMGWEISFEE-HUUCEWRRSA-N 1 2 314.389 1.568 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)cn3)C2)nc1 ZINC001016026926 756730466 /nfs/dbraw/zinc/73/04/66/756730466.db2.gz KFMBLJWXGVYBKT-HNNXBMFYSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)cn3)C2)nc1 ZINC001016026926 756730468 /nfs/dbraw/zinc/73/04/68/756730468.db2.gz KFMBLJWXGVYBKT-HNNXBMFYSA-N 1 2 321.384 1.166 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3ncsc3c2)[C@H](OC)C1 ZINC001082355037 756748833 /nfs/dbraw/zinc/74/88/33/756748833.db2.gz CTBTUVHMTYSMIU-ZIAGYGMSSA-N 1 2 315.398 1.359 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3ncsc3c2)[C@H](OC)C1 ZINC001082355037 756748836 /nfs/dbraw/zinc/74/88/36/756748836.db2.gz CTBTUVHMTYSMIU-ZIAGYGMSSA-N 1 2 315.398 1.359 20 30 DDEDLO O=C(C1CC1)N1CCO[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001082973342 757000109 /nfs/dbraw/zinc/00/01/09/757000109.db2.gz TUPBLUAVBIQCRD-ZWKOTPCHSA-N 1 2 310.397 1.360 20 30 DDEDLO O=C(C1CC1)N1CCO[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001082973342 757000118 /nfs/dbraw/zinc/00/01/18/757000118.db2.gz TUPBLUAVBIQCRD-ZWKOTPCHSA-N 1 2 310.397 1.360 20 30 DDEDLO C[C@H](CC(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)cn2)C1)n1cc[nH+]c1 ZINC001097254527 757021118 /nfs/dbraw/zinc/02/11/18/757021118.db2.gz LISIMOKBEHBYSI-YUELXQCFSA-N 1 2 324.388 1.860 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@@H]1C[C@H]3CC[C@@H]1N3CC#N)C2 ZINC001097374632 757120282 /nfs/dbraw/zinc/12/02/82/757120282.db2.gz DCGOQLDFWVMHJX-BHTHQVBYSA-N 1 2 313.405 1.068 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@@H]1C[C@H]3CC[C@@H]1N3CC#N)CC2 ZINC001097374632 757120286 /nfs/dbraw/zinc/12/02/86/757120286.db2.gz DCGOQLDFWVMHJX-BHTHQVBYSA-N 1 2 313.405 1.068 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccsc3COC)[C@H]2C1 ZINC001083121385 757121634 /nfs/dbraw/zinc/12/16/34/757121634.db2.gz ILSFSSRKWFSKLW-UONOGXRCSA-N 1 2 320.414 1.053 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccsc3COC)[C@H]2C1 ZINC001083121385 757121636 /nfs/dbraw/zinc/12/16/36/757121636.db2.gz ILSFSSRKWFSKLW-UONOGXRCSA-N 1 2 320.414 1.053 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)c(F)c3)[C@H]2C1 ZINC001083106026 757139621 /nfs/dbraw/zinc/13/96/21/757139621.db2.gz GCNQUUZPDOSZLL-JKSUJKDBSA-N 1 2 320.339 1.513 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)c(F)c3)[C@H]2C1 ZINC001083106026 757139623 /nfs/dbraw/zinc/13/96/23/757139623.db2.gz GCNQUUZPDOSZLL-JKSUJKDBSA-N 1 2 320.339 1.513 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)n(CC)c3C)[C@H]2C1 ZINC001083135256 757150282 /nfs/dbraw/zinc/15/02/82/757150282.db2.gz SMIQGNWHNNBXGU-DLBZAZTESA-N 1 2 315.417 1.283 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)n(CC)c3C)[C@H]2C1 ZINC001083135256 757150284 /nfs/dbraw/zinc/15/02/84/757150284.db2.gz SMIQGNWHNNBXGU-DLBZAZTESA-N 1 2 315.417 1.283 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCCCC3(F)F)[C@H]2C1 ZINC001083153853 757162099 /nfs/dbraw/zinc/16/20/99/757162099.db2.gz SOWKDCKSOMPRNQ-HZSPNIEDSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCCCC3(F)F)[C@H]2C1 ZINC001083153853 757162101 /nfs/dbraw/zinc/16/21/01/757162101.db2.gz SOWKDCKSOMPRNQ-HZSPNIEDSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(CCC)c2)[C@@H](O)C1 ZINC001083953421 757199550 /nfs/dbraw/zinc/19/95/50/757199550.db2.gz SAFXPTCWXOPHMH-CVEARBPZSA-N 1 2 320.820 1.701 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(CCC)c2)[C@@H](O)C1 ZINC001083953421 757199554 /nfs/dbraw/zinc/19/95/54/757199554.db2.gz SAFXPTCWXOPHMH-CVEARBPZSA-N 1 2 320.820 1.701 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(=O)c(OC)co1)C2 ZINC001097548641 757236918 /nfs/dbraw/zinc/23/69/18/757236918.db2.gz RSAZWDVKRIHSQU-UPJWGTAASA-N 1 2 316.357 1.007 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(=O)c(OC)co1)C2 ZINC001097548641 757236923 /nfs/dbraw/zinc/23/69/23/757236923.db2.gz RSAZWDVKRIHSQU-UPJWGTAASA-N 1 2 316.357 1.007 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3C)C[C@H]21 ZINC001084634918 757723877 /nfs/dbraw/zinc/72/38/77/757723877.db2.gz JPJUULOLPZRPRK-FRFSOERESA-N 1 2 317.437 1.450 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3C)C[C@H]21 ZINC001084634918 757723884 /nfs/dbraw/zinc/72/38/84/757723884.db2.gz JPJUULOLPZRPRK-FRFSOERESA-N 1 2 317.437 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1ccc(C)n1)C2 ZINC001097874920 757884963 /nfs/dbraw/zinc/88/49/63/757884963.db2.gz RMVXDWYNEYFBPU-MCIONIFRSA-N 1 2 308.813 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1ccc(C)n1)C2 ZINC001097874920 757884979 /nfs/dbraw/zinc/88/49/79/757884979.db2.gz RMVXDWYNEYFBPU-MCIONIFRSA-N 1 2 308.813 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3cncn3C)[C@@H]2C1 ZINC001084775469 757903951 /nfs/dbraw/zinc/90/39/51/757903951.db2.gz HZHQWOGVEFBWGC-TZMCWYRMSA-N 1 2 308.813 1.248 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cncn3C)[C@@H]2C1 ZINC001084775469 757903957 /nfs/dbraw/zinc/90/39/57/757903957.db2.gz HZHQWOGVEFBWGC-TZMCWYRMSA-N 1 2 308.813 1.248 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(Cl)cnn1C ZINC001017557702 758026700 /nfs/dbraw/zinc/02/67/00/758026700.db2.gz JEPCDSFJRRCLSK-TXEJJXNPSA-N 1 2 306.797 1.386 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(Cl)cnn1C ZINC001017557702 758026710 /nfs/dbraw/zinc/02/67/10/758026710.db2.gz JEPCDSFJRRCLSK-TXEJJXNPSA-N 1 2 306.797 1.386 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)[C@@H]1CCCCO1 ZINC001017559917 758029580 /nfs/dbraw/zinc/02/95/80/758029580.db2.gz XHIMSBGNNFKXRZ-XHSDSOJGSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)[C@@H]1CCCCO1 ZINC001017559917 758029591 /nfs/dbraw/zinc/02/95/91/758029591.db2.gz XHIMSBGNNFKXRZ-XHSDSOJGSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C(F)F)n1 ZINC001017583151 758050061 /nfs/dbraw/zinc/05/00/61/758050061.db2.gz DUSDIVPWDFKUFS-TXEJJXNPSA-N 1 2 308.332 1.590 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C(F)F)n1 ZINC001017583151 758050068 /nfs/dbraw/zinc/05/00/68/758050068.db2.gz DUSDIVPWDFKUFS-TXEJJXNPSA-N 1 2 308.332 1.590 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@@H]1C(C)C ZINC001017605948 758073292 /nfs/dbraw/zinc/07/32/92/758073292.db2.gz LKMDFIWAYVSCMV-YYIAUSFCSA-N 1 2 304.434 1.746 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@@H]1C(C)C ZINC001017605948 758073301 /nfs/dbraw/zinc/07/33/01/758073301.db2.gz LKMDFIWAYVSCMV-YYIAUSFCSA-N 1 2 304.434 1.746 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nccc2cccnc21 ZINC001017626234 758097235 /nfs/dbraw/zinc/09/72/35/758097235.db2.gz HUUSVSZUZNERGK-IYBDPMFKSA-N 1 2 320.396 1.942 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nccc2cccnc21 ZINC001017626234 758097247 /nfs/dbraw/zinc/09/72/47/758097247.db2.gz HUUSVSZUZNERGK-IYBDPMFKSA-N 1 2 320.396 1.942 20 30 DDEDLO C=C(Cl)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1[nH+]ccn1C ZINC001017758851 758210877 /nfs/dbraw/zinc/21/08/77/758210877.db2.gz ICBFCCJFGAKSAX-OKILXGFUSA-N 1 2 322.840 1.780 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nccc2[nH]ccc21 ZINC001017958575 758421950 /nfs/dbraw/zinc/42/19/50/758421950.db2.gz IWVFNCLBNWHFJQ-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nccc2[nH]ccc21 ZINC001017958575 758421959 /nfs/dbraw/zinc/42/19/59/758421959.db2.gz IWVFNCLBNWHFJQ-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)c1ccco1)CCO2 ZINC001053425075 758452859 /nfs/dbraw/zinc/45/28/59/758452859.db2.gz VASLEIIKRUXIGH-AWEZNQCLSA-N 1 2 304.390 1.872 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cn(CC)cn1)CCO2 ZINC001053509935 758515547 /nfs/dbraw/zinc/51/55/47/758515547.db2.gz UDVXZAAZEQAXAQ-UHFFFAOYSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CC3(C)CCCC3)CC2=O)C1 ZINC001108540983 762640748 /nfs/dbraw/zinc/64/07/48/762640748.db2.gz LMOQKSZWIQEIEO-CQSZACIVSA-N 1 2 319.449 1.544 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2c1CCCC2 ZINC001018145235 758579187 /nfs/dbraw/zinc/57/91/87/758579187.db2.gz ZNSHZNAQBZECIE-GASCZTMLSA-N 1 2 312.417 1.532 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2c1CCCC2 ZINC001018145235 758579189 /nfs/dbraw/zinc/57/91/89/758579189.db2.gz ZNSHZNAQBZECIE-GASCZTMLSA-N 1 2 312.417 1.532 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncoc1CC)O2 ZINC001053582278 758595870 /nfs/dbraw/zinc/59/58/70/758595870.db2.gz BXXDICDHWRUBIK-LBPRGKRZSA-N 1 2 305.378 1.386 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cncc(C)c1)O2 ZINC001053586243 758601235 /nfs/dbraw/zinc/60/12/35/758601235.db2.gz PAEJOHAHSKLQMS-HNNXBMFYSA-N 1 2 301.390 1.539 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C(C)C)C2)CC1 ZINC001065686975 758682427 /nfs/dbraw/zinc/68/24/27/758682427.db2.gz VQGXXNFPTHHXEX-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC001018270975 758685313 /nfs/dbraw/zinc/68/53/13/758685313.db2.gz XPZPAKOYGHFTTN-OKILXGFUSA-N 1 2 324.384 1.900 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC001018270975 758685317 /nfs/dbraw/zinc/68/53/17/758685317.db2.gz XPZPAKOYGHFTTN-OKILXGFUSA-N 1 2 324.384 1.900 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1oc(CC)nc1C)O2 ZINC001053682824 758692215 /nfs/dbraw/zinc/69/22/15/758692215.db2.gz MHXBRGGFFZDCTL-ZDUSSCGKSA-N 1 2 319.405 1.695 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001065684801 758702548 /nfs/dbraw/zinc/70/25/48/758702548.db2.gz BYZUPGFUWLMDPA-WCQYABFASA-N 1 2 324.388 1.948 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)[C@H](C)CC)CC2)C1 ZINC001065692673 758710775 /nfs/dbraw/zinc/71/07/75/758710775.db2.gz TXTLARTTYNVUPD-CVEARBPZSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)C(C)C)CC2)C1 ZINC001065711995 758730604 /nfs/dbraw/zinc/73/06/04/758730604.db2.gz SOGSJKFCFQOCRB-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1sccc1C)CO2 ZINC001053724951 758741723 /nfs/dbraw/zinc/74/17/23/758741723.db2.gz UYDKMAVSKVLDFT-ZDUSSCGKSA-N 1 2 304.415 1.653 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccnn1CC)CO2 ZINC001053786914 758812031 /nfs/dbraw/zinc/81/20/31/758812031.db2.gz QEXWEASDGVDYSB-ZDUSSCGKSA-N 1 2 304.394 1.052 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]nc1CCC)CO2 ZINC001053855207 758886426 /nfs/dbraw/zinc/88/64/26/758886426.db2.gz HWDMOUFYDNQGRF-ZDUSSCGKSA-N 1 2 318.421 1.511 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1CNc1ccc(C#N)nc1 ZINC001065911601 758905035 /nfs/dbraw/zinc/90/50/35/758905035.db2.gz VUYGVUFCAUPCBQ-STQMWFEESA-N 1 2 324.388 1.425 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2COC3(C[NH+](CCCO)C3)C2)CC1 ZINC001053914943 758948343 /nfs/dbraw/zinc/94/83/43/758948343.db2.gz YYWMKTXEOFYQCM-OAHLLOKOSA-N 1 2 308.422 1.075 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)c1ccco1)CO2 ZINC001053922702 758958151 /nfs/dbraw/zinc/95/81/51/758958151.db2.gz GQYAOCAPUODQGY-KBPBESRZSA-N 1 2 304.390 1.919 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)cc(F)c1)CO2 ZINC001053935384 758970667 /nfs/dbraw/zinc/97/06/67/758970667.db2.gz MLWCRBIHKOXEHW-MRXNPFEDSA-N 1 2 316.376 1.731 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C3CC3)no1)CO2 ZINC001053944352 758980178 /nfs/dbraw/zinc/98/01/78/758980178.db2.gz VPECIUONVRYHIA-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C3CC3)no1)CO2 ZINC001053944399 758980401 /nfs/dbraw/zinc/98/04/01/758980401.db2.gz WQOZGLHMPCKBGM-ZDUSSCGKSA-N 1 2 315.373 1.148 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1oc(CC)nc1C)CO2 ZINC001053973648 759010732 /nfs/dbraw/zinc/01/07/32/759010732.db2.gz NXFVTITYECYVLE-ZDUSSCGKSA-N 1 2 319.405 1.695 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)c1ccccc1)CO2 ZINC001053986251 759028536 /nfs/dbraw/zinc/02/85/36/759028536.db2.gz KPLKKQGUUMENDE-WBVHZDCISA-N 1 2 312.413 1.773 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1CCC=CCC1)CO2 ZINC001054009823 759058148 /nfs/dbraw/zinc/05/81/48/759058148.db2.gz FOZQOSUUMOKVSB-INIZCTEOSA-N 1 2 302.418 1.716 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccn[nH]1 ZINC001054033298 759086916 /nfs/dbraw/zinc/08/69/16/759086916.db2.gz PZOXVEMBTZFURS-INIZCTEOSA-N 1 2 308.385 1.590 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccn[nH]1 ZINC001054033298 759086924 /nfs/dbraw/zinc/08/69/24/759086924.db2.gz PZOXVEMBTZFURS-INIZCTEOSA-N 1 2 308.385 1.590 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cn(C)cn1 ZINC001054036879 759093920 /nfs/dbraw/zinc/09/39/20/759093920.db2.gz SXKDUMMVDLRXBO-KRWDZBQOSA-N 1 2 322.412 1.600 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cn(C)cn1 ZINC001054036879 759093929 /nfs/dbraw/zinc/09/39/29/759093929.db2.gz SXKDUMMVDLRXBO-KRWDZBQOSA-N 1 2 322.412 1.600 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1coc(C)n1 ZINC001054038946 759096868 /nfs/dbraw/zinc/09/68/68/759096868.db2.gz PSVRJAOQCCUNBK-INIZCTEOSA-N 1 2 309.369 1.773 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1coc(C)n1 ZINC001054038946 759096872 /nfs/dbraw/zinc/09/68/72/759096872.db2.gz PSVRJAOQCCUNBK-INIZCTEOSA-N 1 2 309.369 1.773 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1n[nH]cc1C ZINC001054041402 759098155 /nfs/dbraw/zinc/09/81/55/759098155.db2.gz LNTMXNVNJDCUBD-KRWDZBQOSA-N 1 2 322.412 1.898 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1n[nH]cc1C ZINC001054041402 759098162 /nfs/dbraw/zinc/09/81/62/759098162.db2.gz LNTMXNVNJDCUBD-KRWDZBQOSA-N 1 2 322.412 1.898 20 30 DDEDLO C=C(C)C[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nnc[nH]1 ZINC001054056567 759109106 /nfs/dbraw/zinc/10/91/06/759109106.db2.gz LKKHRXPFLCRMHZ-HNNXBMFYSA-N 1 2 311.389 1.538 20 30 DDEDLO C=C(C)C[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nnc[nH]1 ZINC001054056567 759109109 /nfs/dbraw/zinc/10/91/09/759109109.db2.gz LKKHRXPFLCRMHZ-HNNXBMFYSA-N 1 2 311.389 1.538 20 30 DDEDLO C=C(C)C[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ncn[nH]1 ZINC001054056567 759109115 /nfs/dbraw/zinc/10/91/15/759109115.db2.gz LKKHRXPFLCRMHZ-HNNXBMFYSA-N 1 2 311.389 1.538 20 30 DDEDLO C=C(C)C[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ncn[nH]1 ZINC001054056567 759109118 /nfs/dbraw/zinc/10/91/18/759109118.db2.gz LKKHRXPFLCRMHZ-HNNXBMFYSA-N 1 2 311.389 1.538 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1[nH]cnc1CC ZINC001054059129 759111913 /nfs/dbraw/zinc/11/19/13/759111913.db2.gz HELOAGXDKJLWOG-INIZCTEOSA-N 1 2 322.412 1.762 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1[nH]cnc1CC ZINC001054059129 759111921 /nfs/dbraw/zinc/11/19/21/759111921.db2.gz HELOAGXDKJLWOG-INIZCTEOSA-N 1 2 322.412 1.762 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C(C)(C)CC)CC2=O)C1 ZINC001108568504 762696321 /nfs/dbraw/zinc/69/63/21/762696321.db2.gz VFHVNQOGGHKTBO-CYBMUJFWSA-N 1 2 307.438 1.400 20 30 DDEDLO N#CCN[C@@H]1CCCC[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC001085391844 759324595 /nfs/dbraw/zinc/32/45/95/759324595.db2.gz XXILYHFMSFKBNH-HUUCEWRRSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553501 759682802 /nfs/dbraw/zinc/68/28/02/759682802.db2.gz MRDDGQVGKJOKFT-TZMCWYRMSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553501 759682810 /nfs/dbraw/zinc/68/28/10/759682810.db2.gz MRDDGQVGKJOKFT-TZMCWYRMSA-N 1 2 324.388 1.584 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(NC(C)=O)cn1 ZINC001085632874 759909027 /nfs/dbraw/zinc/90/90/27/759909027.db2.gz GKUXXQZFVBVLLE-OAHLLOKOSA-N 1 2 314.389 1.210 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(NC(C)=O)cn1 ZINC001085632874 759909033 /nfs/dbraw/zinc/90/90/33/759909033.db2.gz GKUXXQZFVBVLLE-OAHLLOKOSA-N 1 2 314.389 1.210 20 30 DDEDLO C[C@H]1CN(C(=O)C#CC2CC2)C[C@@H]1[NH2+]Cc1nc(C(F)F)no1 ZINC001054637092 759928388 /nfs/dbraw/zinc/92/83/88/759928388.db2.gz LUFMNNRTLNWEDJ-ONGXEEELSA-N 1 2 324.331 1.357 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]cc1C(F)(F)F ZINC001085637472 759929261 /nfs/dbraw/zinc/92/92/61/759929261.db2.gz QFULYMVARRQYND-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]cc1C(F)(F)F ZINC001085637472 759929267 /nfs/dbraw/zinc/92/92/67/759929267.db2.gz QFULYMVARRQYND-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO Cc1nc(N[C@H](C)CNC(=O)Cc2c[nH+]cn2C)ccc1C#N ZINC001097955403 759983213 /nfs/dbraw/zinc/98/32/13/759983213.db2.gz KSCZOSRDYYGJEL-LLVKDONJSA-N 1 2 312.377 1.155 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC2(CN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001019494403 759988479 /nfs/dbraw/zinc/98/84/79/759988479.db2.gz JOMCAGAJGAMWKP-UHFFFAOYSA-N 1 2 316.405 1.225 20 30 DDEDLO C#CC[NH2+][C@H]1CN(C(=O)c2c(Cl)[nH]nc2C2CC2)C[C@@H]1C ZINC001054726735 760019749 /nfs/dbraw/zinc/01/97/49/760019749.db2.gz RROPONCSTSVTKM-ONGXEEELSA-N 1 2 306.797 1.624 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(OC)ccnc1OC ZINC001085717560 760101348 /nfs/dbraw/zinc/10/13/48/760101348.db2.gz QORZHIKHBQHPNS-ZDUSSCGKSA-N 1 2 317.389 1.268 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(OC)ccnc1OC ZINC001085717560 760101351 /nfs/dbraw/zinc/10/13/51/760101351.db2.gz QORZHIKHBQHPNS-ZDUSSCGKSA-N 1 2 317.389 1.268 20 30 DDEDLO Cc1n[nH]cc1C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001085752733 760155779 /nfs/dbraw/zinc/15/57/79/760155779.db2.gz NKCHRKOOCBZUQE-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1n[nH]cc1C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001085752733 760155781 /nfs/dbraw/zinc/15/57/81/760155781.db2.gz NKCHRKOOCBZUQE-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc2c(c1)c(C)nn2C ZINC001085751658 760170032 /nfs/dbraw/zinc/17/00/32/760170032.db2.gz MCEAWBVPWDJKCW-AWEZNQCLSA-N 1 2 311.389 1.056 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc2c(c1)c(C)nn2C ZINC001085751658 760170035 /nfs/dbraw/zinc/17/00/35/760170035.db2.gz MCEAWBVPWDJKCW-AWEZNQCLSA-N 1 2 311.389 1.056 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1occc1-c1cnn(C)c1 ZINC001085780492 760213920 /nfs/dbraw/zinc/21/39/20/760213920.db2.gz VUFJSDMRQQTREX-AWEZNQCLSA-N 1 2 312.373 1.460 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1occc1-c1cnn(C)c1 ZINC001085780492 760213928 /nfs/dbraw/zinc/21/39/28/760213928.db2.gz VUFJSDMRQQTREX-AWEZNQCLSA-N 1 2 312.373 1.460 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnn(C)c2OC)C1 ZINC001046801244 767936339 /nfs/dbraw/zinc/93/63/39/767936339.db2.gz DEDAKDWRPUPNSI-CQSZACIVSA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnn(C)c2OC)C1 ZINC001046801244 767936344 /nfs/dbraw/zinc/93/63/44/767936344.db2.gz DEDAKDWRPUPNSI-CQSZACIVSA-N 1 2 312.801 1.375 20 30 DDEDLO N#Cc1ccc(N2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C3)nc1 ZINC001055081359 760316375 /nfs/dbraw/zinc/31/63/75/760316375.db2.gz CIFKARIRXXDPAK-RDBSUJKOSA-N 1 2 322.372 1.145 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001046804739 767938965 /nfs/dbraw/zinc/93/89/65/767938965.db2.gz FXVOLOCXPNSONW-DZGCQCFKSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001046804739 767938967 /nfs/dbraw/zinc/93/89/67/767938967.db2.gz FXVOLOCXPNSONW-DZGCQCFKSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1oc(CC(C)C)nc1C ZINC001085863327 760404608 /nfs/dbraw/zinc/40/46/08/760404608.db2.gz WYTLYEBJMLVHBP-CQSZACIVSA-N 1 2 303.406 1.961 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1oc(CC(C)C)nc1C ZINC001085863327 760404614 /nfs/dbraw/zinc/40/46/14/760404614.db2.gz WYTLYEBJMLVHBP-CQSZACIVSA-N 1 2 303.406 1.961 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1noc2c1C[C@H](C)CC2 ZINC001085901272 760493985 /nfs/dbraw/zinc/49/39/85/760493985.db2.gz AYDBYLHVRSXFAR-ZIAGYGMSSA-N 1 2 315.417 1.969 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1noc2c1C[C@H](C)CC2 ZINC001085901272 760493989 /nfs/dbraw/zinc/49/39/89/760493989.db2.gz AYDBYLHVRSXFAR-ZIAGYGMSSA-N 1 2 315.417 1.969 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-n2cccc2)ccn1 ZINC001085916111 760522684 /nfs/dbraw/zinc/52/26/84/760522684.db2.gz RMELVVFDUKJHSP-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-n2cccc2)ccn1 ZINC001085916111 760522687 /nfs/dbraw/zinc/52/26/87/760522687.db2.gz RMELVVFDUKJHSP-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001108233033 760690339 /nfs/dbraw/zinc/69/03/39/760690339.db2.gz JMMDYYMBZJUTQK-CPUCHLNUSA-N 1 2 304.390 1.776 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001108233033 760690343 /nfs/dbraw/zinc/69/03/43/760690343.db2.gz JMMDYYMBZJUTQK-CPUCHLNUSA-N 1 2 304.390 1.776 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccn(C2CCOCC2)n1 ZINC001038261346 760954827 /nfs/dbraw/zinc/95/48/27/760954827.db2.gz JSSZKEFGEWOZML-HNNXBMFYSA-N 1 2 316.405 1.062 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccn(C2CCOCC2)n1 ZINC001038261346 760954829 /nfs/dbraw/zinc/95/48/29/760954829.db2.gz JSSZKEFGEWOZML-HNNXBMFYSA-N 1 2 316.405 1.062 20 30 DDEDLO C#CCC1(C(=O)N2CCC(=O)NCC[N@@H+](CC=C)CC2)CCC1 ZINC001131364762 768011179 /nfs/dbraw/zinc/01/11/79/768011179.db2.gz WSOUQKDPHRDOLN-UHFFFAOYSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCC1(C(=O)N2CCC(=O)NCC[N@H+](CC=C)CC2)CCC1 ZINC001131364762 768011187 /nfs/dbraw/zinc/01/11/87/768011187.db2.gz WSOUQKDPHRDOLN-UHFFFAOYSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1F ZINC001038543984 761187807 /nfs/dbraw/zinc/18/78/07/761187807.db2.gz RPUMEYXJJYWLTO-VHDGCEQUSA-N 1 2 304.340 1.892 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1F ZINC001038543984 761187808 /nfs/dbraw/zinc/18/78/08/761187808.db2.gz RPUMEYXJJYWLTO-VHDGCEQUSA-N 1 2 304.340 1.892 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]([NH2+]Cc3cnsn3)C2)C1 ZINC001000129240 761528912 /nfs/dbraw/zinc/52/89/12/761528912.db2.gz VHIXQNWNUYOEHN-LBPRGKRZSA-N 1 2 306.435 1.975 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)Cc2ccco2)C1 ZINC001108272471 761574069 /nfs/dbraw/zinc/57/40/69/761574069.db2.gz IHSCVFAMYQUYQG-RHSMWYFYSA-N 1 2 306.406 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)Cc2ccco2)C1 ZINC001108272471 761574074 /nfs/dbraw/zinc/57/40/74/761574074.db2.gz IHSCVFAMYQUYQG-RHSMWYFYSA-N 1 2 306.406 1.851 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2nc(CC)oc2C)C1 ZINC001108274693 761593624 /nfs/dbraw/zinc/59/36/24/761593624.db2.gz LRHRQBPWAGCZHB-INIZCTEOSA-N 1 2 307.394 1.552 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2nc(CC)oc2C)C1 ZINC001108274693 761593628 /nfs/dbraw/zinc/59/36/28/761593628.db2.gz LRHRQBPWAGCZHB-INIZCTEOSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cn(CCC)nn2)CC1 ZINC001001310674 762749395 /nfs/dbraw/zinc/74/93/95/762749395.db2.gz NMCOAAMFFWCHJD-UHFFFAOYSA-N 1 2 323.828 1.803 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cn(CCC)nn2)CC1 ZINC001001310674 762749399 /nfs/dbraw/zinc/74/93/99/762749399.db2.gz NMCOAAMFFWCHJD-UHFFFAOYSA-N 1 2 323.828 1.803 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@](C)(CC)CCC)CC2=O)C1 ZINC001108584066 762753217 /nfs/dbraw/zinc/75/32/17/762753217.db2.gz YSALPPUOJJEOSZ-KBXCAEBGSA-N 1 2 321.465 1.790 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108721365 762891633 /nfs/dbraw/zinc/89/16/33/762891633.db2.gz DXHWMLGSVZRYQJ-STQMWFEESA-N 1 2 312.377 1.718 20 30 DDEDLO CC#CC[N@@H+]1CC[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041304092 762948028 /nfs/dbraw/zinc/94/80/28/762948028.db2.gz KVGIUKIQJVWVLQ-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@H+]1CC[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041304092 762948037 /nfs/dbraw/zinc/94/80/37/762948037.db2.gz KVGIUKIQJVWVLQ-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@@H+]1CC[C@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001041304092 762948040 /nfs/dbraw/zinc/94/80/40/762948040.db2.gz KVGIUKIQJVWVLQ-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@H+]1CC[C@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001041304092 762948044 /nfs/dbraw/zinc/94/80/44/762948044.db2.gz KVGIUKIQJVWVLQ-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CN(C)c1[nH+]cnc2c1cnn2C ZINC001108858584 763019841 /nfs/dbraw/zinc/01/98/41/763019841.db2.gz AFIBKDOHCZFTSI-NSHDSACASA-N 1 2 302.382 1.270 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)CN(C)c1[nH+]cnc2c1cnn2C ZINC001108921191 763108291 /nfs/dbraw/zinc/10/82/91/763108291.db2.gz FUODFMKOGNUVGR-GFCCVEGCSA-N 1 2 314.393 1.108 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2CCCN(C(=O)C3=NC(=O)N(C)C3)[C@@H]2C1 ZINC001050131034 763187042 /nfs/dbraw/zinc/18/70/42/763187042.db2.gz PMXQHZUWRKHURU-GXTWGEPZSA-N 1 2 304.394 1.238 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2CCCN(C(=O)C3=NC(=O)N(C)C3)[C@@H]2C1 ZINC001050131034 763187051 /nfs/dbraw/zinc/18/70/51/763187051.db2.gz PMXQHZUWRKHURU-GXTWGEPZSA-N 1 2 304.394 1.238 20 30 DDEDLO Cc1cc(N(C)C[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)c(C#N)cn1 ZINC001109058221 763299987 /nfs/dbraw/zinc/29/99/87/763299987.db2.gz ULWPXJFOHGHZKI-LBPRGKRZSA-N 1 2 312.377 1.168 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C#N)C2 ZINC001109087806 763305508 /nfs/dbraw/zinc/30/55/08/763305508.db2.gz CDFUBCILRIAAHM-RZFFKMDDSA-N 1 2 320.437 1.172 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C#N)C2 ZINC001109087806 763305518 /nfs/dbraw/zinc/30/55/18/763305518.db2.gz CDFUBCILRIAAHM-RZFFKMDDSA-N 1 2 320.437 1.172 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001109102374 763331833 /nfs/dbraw/zinc/33/18/33/763331833.db2.gz RNDNVBYYSTXGBZ-SMDDNHRTSA-N 1 2 318.421 1.381 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)[C@H](C)C2)cc[nH+]1 ZINC001067376015 763440338 /nfs/dbraw/zinc/44/03/38/763440338.db2.gz ZLYFMRPCEGEYTR-IAQYHMDHSA-N 1 2 324.388 1.630 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)C ZINC001109208913 763458590 /nfs/dbraw/zinc/45/85/90/763458590.db2.gz GALCADXJWYXEOO-PMPSAXMXSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)C ZINC001109208913 763458597 /nfs/dbraw/zinc/45/85/97/763458597.db2.gz GALCADXJWYXEOO-PMPSAXMXSA-N 1 2 319.449 1.284 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H](C)CN(C)c2cc[nH+]c(C)n2)c1 ZINC001109244442 763505167 /nfs/dbraw/zinc/50/51/67/763505167.db2.gz QZMUZCLVBQWWCG-LBPRGKRZSA-N 1 2 309.373 1.416 20 30 DDEDLO Cc1cc(N2C[C@@H](C)[C@H](NC(=O)Cn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001042037635 763584210 /nfs/dbraw/zinc/58/42/10/763584210.db2.gz UJSABOJIXZFWRH-IUODEOHRSA-N 1 2 324.388 1.099 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C[C@H](C)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001129390620 763590987 /nfs/dbraw/zinc/59/09/87/763590987.db2.gz SFVMGFYOXUOVFK-OAGGEKHMSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C[C@H](C)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001129390620 763590995 /nfs/dbraw/zinc/59/09/95/763590995.db2.gz SFVMGFYOXUOVFK-OAGGEKHMSA-N 1 2 317.437 1.325 20 30 DDEDLO N#Cc1ccc(NC2CCN(C(=O)CCn3cc[nH+]c3)CC2)nc1 ZINC001057397985 763595327 /nfs/dbraw/zinc/59/53/27/763595327.db2.gz WJHIRELSRGZJID-UHFFFAOYSA-N 1 2 324.388 1.643 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)o1 ZINC001042058707 763601759 /nfs/dbraw/zinc/60/17/59/763601759.db2.gz UTLCDPYPWRBVRM-LSDHHAIUSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)o1 ZINC001042058707 763601767 /nfs/dbraw/zinc/60/17/67/763601767.db2.gz UTLCDPYPWRBVRM-LSDHHAIUSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)nc1 ZINC001042058591 763601988 /nfs/dbraw/zinc/60/19/88/763601988.db2.gz SKMBLGCBYZRSQF-DLBZAZTESA-N 1 2 324.428 1.621 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)nc1 ZINC001042058591 763601992 /nfs/dbraw/zinc/60/19/92/763601992.db2.gz SKMBLGCBYZRSQF-DLBZAZTESA-N 1 2 324.428 1.621 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@@H]4CCN(CC#N)[C@@H]4C3)ccn12 ZINC001042061320 763604789 /nfs/dbraw/zinc/60/47/89/763604789.db2.gz DEWUNZDCZBJYBU-GOEBONIOSA-N 1 2 323.400 1.703 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC3(C2)CCN(CC#N)CC3)c[nH+]1 ZINC001050561604 763756467 /nfs/dbraw/zinc/75/64/67/763756467.db2.gz YPCRJCHGNSAELO-UHFFFAOYSA-N 1 2 315.421 1.375 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001050586916 763789513 /nfs/dbraw/zinc/78/95/13/763789513.db2.gz GQZKUHZLJCZWCF-HUUCEWRRSA-N 1 2 316.405 1.040 20 30 DDEDLO Cc1nc(NCC2CCN(C(=O)c3c[nH]c(C#N)c3)CC2)cc[nH+]1 ZINC001057483746 763812853 /nfs/dbraw/zinc/81/28/53/763812853.db2.gz KNCQKUPFBOEFNB-UHFFFAOYSA-N 1 2 324.388 1.949 20 30 DDEDLO N#Cc1ccc(NCC2CCN(C(=O)Cn3cc[nH+]c3)CC2)cn1 ZINC001057502913 763858401 /nfs/dbraw/zinc/85/84/01/763858401.db2.gz FRURLKQHMQJCKC-UHFFFAOYSA-N 1 2 324.388 1.500 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3C[C@H]3OCC)c2C1 ZINC001069853903 768184344 /nfs/dbraw/zinc/18/43/44/768184344.db2.gz MUDNNLOKKYUPCT-GDBMZVCRSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3C[C@H]3OCC)c2C1 ZINC001069853903 768184347 /nfs/dbraw/zinc/18/43/47/768184347.db2.gz MUDNNLOKKYUPCT-GDBMZVCRSA-N 1 2 318.421 1.316 20 30 DDEDLO C[C@H]1C[C@H]1C(=O)N(C)C1C[NH+](Cc2ccc(OCC#N)cc2)C1 ZINC001042437296 764045274 /nfs/dbraw/zinc/04/52/74/764045274.db2.gz ZYWMEOQGQUGUOS-SUMWQHHRSA-N 1 2 313.401 1.888 20 30 DDEDLO CC(C)C[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109894146 764173412 /nfs/dbraw/zinc/17/34/12/764173412.db2.gz AMIWDNRMQUONCO-XGUBFFRZSA-N 1 2 320.437 1.030 20 30 DDEDLO CC(C)C[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109894146 764173418 /nfs/dbraw/zinc/17/34/18/764173418.db2.gz AMIWDNRMQUONCO-XGUBFFRZSA-N 1 2 320.437 1.030 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c(Cl)cnn1C ZINC001050917772 764277849 /nfs/dbraw/zinc/27/78/49/764277849.db2.gz OJRCDVMVNRVEKD-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(Cl)cnn1C ZINC001050917772 764277854 /nfs/dbraw/zinc/27/78/54/764277854.db2.gz OJRCDVMVNRVEKD-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO N#Cc1cnccc1NC[C@@H](NC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001110004967 764283709 /nfs/dbraw/zinc/28/37/09/764283709.db2.gz FBQOJFJRIUPIOF-MRXNPFEDSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1cnccc1NC[C@@H](NC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001110004967 764283718 /nfs/dbraw/zinc/28/37/18/764283718.db2.gz FBQOJFJRIUPIOF-MRXNPFEDSA-N 1 2 324.388 1.038 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(Cn3cccn3)o2)C1 ZINC001042759479 764420079 /nfs/dbraw/zinc/42/00/79/764420079.db2.gz XZKVEDSEYHBYTP-UHFFFAOYSA-N 1 2 312.373 1.304 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1occ2c1CCC2 ZINC001051052775 764454368 /nfs/dbraw/zinc/45/43/68/764454368.db2.gz WAKZQPPXHNLPPA-AWEZNQCLSA-N 1 2 304.390 1.775 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1occ2c1CCC2 ZINC001051052775 764454375 /nfs/dbraw/zinc/45/43/75/764454375.db2.gz WAKZQPPXHNLPPA-AWEZNQCLSA-N 1 2 304.390 1.775 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ocnc1C1CC1 ZINC001051110823 764515911 /nfs/dbraw/zinc/51/59/11/764515911.db2.gz KULJNGXDIOLZSV-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ocnc1C1CC1 ZINC001051110823 764515919 /nfs/dbraw/zinc/51/59/19/764515919.db2.gz KULJNGXDIOLZSV-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)CCOC)C[C@H](C)O2 ZINC001131619997 768221103 /nfs/dbraw/zinc/22/11/03/768221103.db2.gz AKTQDFRTJFPYPI-DZGCQCFKSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)CCOC)C[C@H](C)O2 ZINC001131619997 768221107 /nfs/dbraw/zinc/22/11/07/768221107.db2.gz AKTQDFRTJFPYPI-DZGCQCFKSA-N 1 2 316.829 1.467 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1coc(C2CC2)n1 ZINC001051153678 764560176 /nfs/dbraw/zinc/56/01/76/764560176.db2.gz QPFNDQMFYKOXEP-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1coc(C2CC2)n1 ZINC001051153678 764560182 /nfs/dbraw/zinc/56/01/82/764560182.db2.gz QPFNDQMFYKOXEP-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1coc(CC2CC2)n1 ZINC001051187308 764595762 /nfs/dbraw/zinc/59/57/62/764595762.db2.gz VGSPOHHZLUAPJZ-AWEZNQCLSA-N 1 2 319.405 1.634 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1coc(CC2CC2)n1 ZINC001051187308 764595767 /nfs/dbraw/zinc/59/57/67/764595767.db2.gz VGSPOHHZLUAPJZ-AWEZNQCLSA-N 1 2 319.405 1.634 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncnc1C1CC1 ZINC001051231023 764652416 /nfs/dbraw/zinc/65/24/16/764652416.db2.gz NIDSCWLCLLKXKC-AWEZNQCLSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncnc1C1CC1 ZINC001051231023 764652419 /nfs/dbraw/zinc/65/24/19/764652419.db2.gz NIDSCWLCLLKXKC-AWEZNQCLSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(C)nc1C(C)C ZINC001051239736 764662255 /nfs/dbraw/zinc/66/22/55/764662255.db2.gz CGONBWFSFROFJQ-AWEZNQCLSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(C)nc1C(C)C ZINC001051239736 764662260 /nfs/dbraw/zinc/66/22/60/764662260.db2.gz CGONBWFSFROFJQ-AWEZNQCLSA-N 1 2 320.437 1.550 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-c3cn[nH]c3)cn2)C1 ZINC001043133249 764696634 /nfs/dbraw/zinc/69/66/34/764696634.db2.gz PNGHDORMFUDUAM-UHFFFAOYSA-N 1 2 309.373 1.251 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](CCc2ccccc2F)CC1 ZINC001112813848 764800564 /nfs/dbraw/zinc/80/05/64/764800564.db2.gz CDLZEWVKRUIRKG-SFHVURJKSA-N 1 2 320.408 1.840 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(C)nc(C(C)C)[nH]c2=O)C1 ZINC001043504598 764947727 /nfs/dbraw/zinc/94/77/27/764947727.db2.gz IGRFSGYOKJGKNF-UHFFFAOYSA-N 1 2 316.405 1.394 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051671577 765056905 /nfs/dbraw/zinc/05/69/05/765056905.db2.gz JZPQEXRQORXDDL-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)[C@H](C)Nc2cc[nH+]c(C)n2)nc1 ZINC001113104228 765261909 /nfs/dbraw/zinc/26/19/09/765261909.db2.gz WYGXWANRNQPQHO-RYUDHWBXSA-N 1 2 309.373 1.780 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(C)onc2C2CC2)CC1 ZINC001113113762 765286835 /nfs/dbraw/zinc/28/68/35/765286835.db2.gz LACYTAOJQLGTAR-UHFFFAOYSA-N 1 2 319.405 1.821 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3CCCOC3)C2)CC1 ZINC001051996822 765363702 /nfs/dbraw/zinc/36/37/02/765363702.db2.gz VFGHBZFEHDJQHK-IRXDYDNUSA-N 1 2 321.465 1.208 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C(C)C)on2)CC1 ZINC001113167266 765365395 /nfs/dbraw/zinc/36/53/95/765365395.db2.gz GNSHQFLFTKWAHS-UHFFFAOYSA-N 1 2 307.394 1.758 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C3CC=CC3)C2)CC1 ZINC001052003154 765368997 /nfs/dbraw/zinc/36/89/97/765368997.db2.gz ONIQYCZIDULNFI-QGZVFWFLSA-N 1 2 303.450 1.747 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H](C)C3CC3)C2)CC1 ZINC001052005545 765371997 /nfs/dbraw/zinc/37/19/97/765371997.db2.gz DHABZWZDTPROKS-DOTOQJQBSA-N 1 2 305.466 1.827 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](C[C@H](O)CC(F)(F)F)CC1 ZINC001113225973 765435193 /nfs/dbraw/zinc/43/51/93/765435193.db2.gz DYZSSNNLAKQBMS-CYBMUJFWSA-N 1 2 320.355 1.638 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)CC1 ZINC001052069308 765441510 /nfs/dbraw/zinc/44/15/10/765441510.db2.gz XIQJDTTWFZHXBN-MLHJIOFPSA-N 1 2 317.477 1.827 20 30 DDEDLO C[C@@H](C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1)c1ccco1 ZINC001052082104 765454785 /nfs/dbraw/zinc/45/47/85/765454785.db2.gz QGBVQRVLZMQRRB-HUUCEWRRSA-N 1 2 316.405 1.125 20 30 DDEDLO C[C@@H](C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1)c1ccco1 ZINC001052082102 765454974 /nfs/dbraw/zinc/45/49/74/765454974.db2.gz QGBVQRVLZMQRRB-CABCVRRESA-N 1 2 316.405 1.125 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3C[C@H]3C3CC3)C2)CC1 ZINC001052096764 765468124 /nfs/dbraw/zinc/46/81/24/765468124.db2.gz ORGXLUHQQHGRIX-OKZBNKHCSA-N 1 2 317.477 1.827 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC001113243058 765477000 /nfs/dbraw/zinc/47/70/00/765477000.db2.gz CRECKHARYCQKIC-AWEZNQCLSA-N 1 2 319.453 1.641 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C[C@@H]2c3ccccc3NC2=O)C1 ZINC001044363367 765509899 /nfs/dbraw/zinc/50/98/99/765509899.db2.gz MHJLZXRFUICJOI-OAHLLOKOSA-N 1 2 313.401 1.831 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113296804 765526306 /nfs/dbraw/zinc/52/63/06/765526306.db2.gz QVIRVMOSYYSLFT-VXGBXAGGSA-N 1 2 320.441 1.907 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113296802 765526923 /nfs/dbraw/zinc/52/69/23/765526923.db2.gz QVIRVMOSYYSLFT-NWDGAFQWSA-N 1 2 320.441 1.907 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001113303081 765535570 /nfs/dbraw/zinc/53/55/70/765535570.db2.gz JXQXLOLJKVCORB-WMZOPIPTSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001052180991 765552738 /nfs/dbraw/zinc/55/27/38/765552738.db2.gz IFSQYJRULGNHRW-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001052180992 765553061 /nfs/dbraw/zinc/55/30/61/765553061.db2.gz IFSQYJRULGNHRW-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccnc(OCC)c2)CC1 ZINC001113331095 765573160 /nfs/dbraw/zinc/57/31/60/765573160.db2.gz HNLDIUSUVSGDNT-UHFFFAOYSA-N 1 2 319.405 1.441 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CNC(=O)CCC2CCCC2)CC1 ZINC001113434458 765696074 /nfs/dbraw/zinc/69/60/74/765696074.db2.gz JGLVUSUOCWYMSL-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)CC(C)(C)CNc1cc[nH+]c(C)n1 ZINC001113438056 765698489 /nfs/dbraw/zinc/69/84/89/765698489.db2.gz BYOKBSZZEVFRFR-ZDUSSCGKSA-N 1 2 318.421 1.720 20 30 DDEDLO C#CC[NH+]1CCC(CNC(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)CC1 ZINC001001645811 768313823 /nfs/dbraw/zinc/31/38/23/768313823.db2.gz LZFHLXYYVWRSDZ-DYVFJYSZSA-N 1 2 314.433 1.537 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)N(C)c1ccc(C#N)cn1 ZINC001113682014 766014171 /nfs/dbraw/zinc/01/41/71/766014171.db2.gz PGOFOPCWNMTAID-NSHDSACASA-N 1 2 312.377 1.168 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC(NC(=O)Cn3cc[nH+]c3)CC2)n1 ZINC001057880826 766116302 /nfs/dbraw/zinc/11/63/02/766116302.db2.gz ZSEQTXNENMNPIS-UHFFFAOYSA-N 1 2 324.388 1.243 20 30 DDEDLO Cc1nc(N2CCC(NC(=O)Cc3[nH]cc[nH+]3)CC2)ccc1C#N ZINC001057894065 766125329 /nfs/dbraw/zinc/12/53/29/766125329.db2.gz WSHPIZTUTOEOQJ-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO CCC(=O)N(C)[C@H]1C[N@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001047270326 768353254 /nfs/dbraw/zinc/35/32/54/768353254.db2.gz CYQFQRQCUBVHRA-GJZGRUSLSA-N 1 2 305.353 1.111 20 30 DDEDLO CCC(=O)N(C)[C@H]1C[N@@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001047270326 768353261 /nfs/dbraw/zinc/35/32/61/768353261.db2.gz CYQFQRQCUBVHRA-GJZGRUSLSA-N 1 2 305.353 1.111 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCn2c[nH+]cc2C1)Nc1ccc(C#N)cn1 ZINC001098116382 768360998 /nfs/dbraw/zinc/36/09/98/768360998.db2.gz XQKWMBGHVXBQCX-GXTWGEPZSA-N 1 2 324.388 1.329 20 30 DDEDLO N#Cc1cnccc1N(CCCNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001096041884 766535320 /nfs/dbraw/zinc/53/53/20/766535320.db2.gz JSAOZQKMHSTPRA-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO Cc1nc(N2CC[C@@H](C)[C@@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001114011559 766552429 /nfs/dbraw/zinc/55/24/29/766552429.db2.gz RVPKBTLAFIUEMD-YPMHNXCESA-N 1 2 319.434 1.373 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nn(CC)nc3C)C[C@H]21 ZINC001114026243 766557758 /nfs/dbraw/zinc/55/77/58/766557758.db2.gz YIDCYUSBLYDWOR-VIKVFOODSA-N 1 2 303.410 1.119 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nn(CC)nc3C)C[C@H]21 ZINC001114026243 766557770 /nfs/dbraw/zinc/55/77/70/766557770.db2.gz YIDCYUSBLYDWOR-VIKVFOODSA-N 1 2 303.410 1.119 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCCN2C(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001067752319 766677703 /nfs/dbraw/zinc/67/77/03/766677703.db2.gz PTEJOBAIYUKLAA-AWEZNQCLSA-N 1 2 324.388 1.726 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001114160376 766712595 /nfs/dbraw/zinc/71/25/95/766712595.db2.gz XCJUULQDFUODPW-HALDLXJZSA-N 1 2 300.406 1.160 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001114160376 766712599 /nfs/dbraw/zinc/71/25/99/766712599.db2.gz XCJUULQDFUODPW-HALDLXJZSA-N 1 2 300.406 1.160 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCCN(C)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001067831573 766730573 /nfs/dbraw/zinc/73/05/73/766730573.db2.gz IFOMIGVKOMGZIJ-LBPRGKRZSA-N 1 2 319.409 1.154 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)s3)C[C@H]21 ZINC001114199672 766773132 /nfs/dbraw/zinc/77/31/32/766773132.db2.gz YWNAIPHCYLLLDC-PBOSXPJTSA-N 1 2 318.446 1.757 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)s3)C[C@H]21 ZINC001114199672 766773136 /nfs/dbraw/zinc/77/31/36/766773136.db2.gz YWNAIPHCYLLLDC-PBOSXPJTSA-N 1 2 318.446 1.757 20 30 DDEDLO Cc1cccc(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)c1 ZINC001045999334 766784410 /nfs/dbraw/zinc/78/44/10/766784410.db2.gz NTTNSWCGQACBIK-QGZVFWFLSA-N 1 2 312.417 1.351 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)s1 ZINC001114247279 766814787 /nfs/dbraw/zinc/81/47/87/766814787.db2.gz HVXOYNLSSKIDAR-MUYACECFSA-N 1 2 318.446 1.613 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)s1 ZINC001114247279 766814798 /nfs/dbraw/zinc/81/47/98/766814798.db2.gz HVXOYNLSSKIDAR-MUYACECFSA-N 1 2 318.446 1.613 20 30 DDEDLO CCc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)cs1 ZINC001114257900 766828232 /nfs/dbraw/zinc/82/82/32/766828232.db2.gz HXGNBRPOSRHPFL-HALDLXJZSA-N 1 2 315.442 1.665 20 30 DDEDLO CCc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)cs1 ZINC001114257900 766828242 /nfs/dbraw/zinc/82/82/42/766828242.db2.gz HXGNBRPOSRHPFL-HALDLXJZSA-N 1 2 315.442 1.665 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)(C)C ZINC001114258361 766829255 /nfs/dbraw/zinc/82/92/55/766829255.db2.gz QQDIATMXWYSFSM-HALDLXJZSA-N 1 2 314.433 1.499 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)(C)C ZINC001114258361 766829264 /nfs/dbraw/zinc/82/92/64/766829264.db2.gz QQDIATMXWYSFSM-HALDLXJZSA-N 1 2 314.433 1.499 20 30 DDEDLO C[C@@]1(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)C=CCC1 ZINC001046050277 766857380 /nfs/dbraw/zinc/85/73/80/766857380.db2.gz JGMYLYGPEKRMAY-NVXWUHKLSA-N 1 2 302.422 1.085 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)[C@H]3Cc4ccccc43)CC2)C1 ZINC001046058669 766869287 /nfs/dbraw/zinc/86/92/87/766869287.db2.gz QGSSZAZTOCRRCV-WMZOPIPTSA-N 1 2 324.428 1.068 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001046361885 767469245 /nfs/dbraw/zinc/46/92/45/767469245.db2.gz LMRPSDVWCDGIFS-CQSZACIVSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001046361885 767469249 /nfs/dbraw/zinc/46/92/49/767469249.db2.gz LMRPSDVWCDGIFS-CQSZACIVSA-N 1 2 320.784 1.300 20 30 DDEDLO Cc1nc(N(C)C2CCN(C(=O)c3ccc(C#N)[nH]3)CC2)cc[nH+]1 ZINC001068667926 767505339 /nfs/dbraw/zinc/50/53/39/767505339.db2.gz YKQVDKVTSUKGFJ-UHFFFAOYSA-N 1 2 324.388 1.726 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2nnc[nH]2)C3)c1 ZINC001098077433 767532702 /nfs/dbraw/zinc/53/27/02/767532702.db2.gz ZQMDNORBDQJTMY-KFWWJZLASA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1cccc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2nnc[nH]2)C3)c1 ZINC001098077433 767532707 /nfs/dbraw/zinc/53/27/07/767532707.db2.gz ZQMDNORBDQJTMY-KFWWJZLASA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2ncn[nH]2)C3)c1 ZINC001098077433 767532716 /nfs/dbraw/zinc/53/27/16/767532716.db2.gz ZQMDNORBDQJTMY-KFWWJZLASA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1cccc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2ncn[nH]2)C3)c1 ZINC001098077433 767532721 /nfs/dbraw/zinc/53/27/21/767532721.db2.gz ZQMDNORBDQJTMY-KFWWJZLASA-N 1 2 322.372 1.212 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2ccon2)C1 ZINC001046631570 767755678 /nfs/dbraw/zinc/75/56/78/767755678.db2.gz GTIRYMHKJAROTQ-YCPHGPKFSA-N 1 2 305.378 1.346 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2ccon2)C1 ZINC001046631570 767755680 /nfs/dbraw/zinc/75/56/80/767755680.db2.gz GTIRYMHKJAROTQ-YCPHGPKFSA-N 1 2 305.378 1.346 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001046631703 767756228 /nfs/dbraw/zinc/75/62/28/767756228.db2.gz JMEJKRGVRITBRR-KEYYUXOJSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2ncc(C)o2)C1 ZINC001046631703 767756234 /nfs/dbraw/zinc/75/62/34/767756234.db2.gz JMEJKRGVRITBRR-KEYYUXOJSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+]Cc1nccc(C)n1 ZINC001131867070 768442923 /nfs/dbraw/zinc/44/29/23/768442923.db2.gz IDNNVNYSBDZGBU-INIZCTEOSA-N 1 2 306.410 1.220 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CCCS(C)(=O)=O)C1 ZINC001131894697 768459235 /nfs/dbraw/zinc/45/92/35/768459235.db2.gz BKXNZWNWVXVXNO-UONOGXRCSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](CCCS(C)(=O)=O)C1 ZINC001131894697 768459240 /nfs/dbraw/zinc/45/92/40/768459240.db2.gz BKXNZWNWVXVXNO-UONOGXRCSA-N 1 2 316.467 1.356 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CCC2CCC2)C[N@@H+]1CC(=O)NCC#N ZINC001131951785 768510278 /nfs/dbraw/zinc/51/02/78/768510278.db2.gz SKVMUPNDMWBKBQ-HIFRSBDPSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CCC2CCC2)C[N@H+]1CC(=O)NCC#N ZINC001131951785 768510281 /nfs/dbraw/zinc/51/02/81/768510281.db2.gz SKVMUPNDMWBKBQ-HIFRSBDPSA-N 1 2 320.437 1.176 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC(C)C)C1 ZINC001132020239 768576866 /nfs/dbraw/zinc/57/68/66/768576866.db2.gz DSVZVIFXKJTHCG-HOTGVXAUSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC(C)C)C1 ZINC001132020239 768576869 /nfs/dbraw/zinc/57/68/69/768576869.db2.gz DSVZVIFXKJTHCG-HOTGVXAUSA-N 1 2 321.465 1.387 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C#CC(C)(C)C)C[N@@H+]1CC(=O)NC1CC1 ZINC001132035087 768581649 /nfs/dbraw/zinc/58/16/49/768581649.db2.gz XKAYCMLDAKPOOK-HIFRSBDPSA-N 1 2 319.449 1.284 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C#CC(C)(C)C)C[N@H+]1CC(=O)NC1CC1 ZINC001132035087 768581651 /nfs/dbraw/zinc/58/16/51/768581651.db2.gz XKAYCMLDAKPOOK-HIFRSBDPSA-N 1 2 319.449 1.284 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3c(s2)CCC3)C1 ZINC001047618606 768615286 /nfs/dbraw/zinc/61/52/86/768615286.db2.gz FAXOOEXFXJNNSP-KBPBESRZSA-N 1 2 318.442 1.377 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3c(s2)CCC3)C1 ZINC001047618606 768615289 /nfs/dbraw/zinc/61/52/89/768615289.db2.gz FAXOOEXFXJNNSP-KBPBESRZSA-N 1 2 318.442 1.377 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)/C=C\c2ccco2)CC1 ZINC001070932146 768791568 /nfs/dbraw/zinc/79/15/68/768791568.db2.gz AQSXHRXOTLUZIP-WAYWQWQTSA-N 1 2 317.389 1.129 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)/C=C\c2ccco2)CC1 ZINC001070932146 768791573 /nfs/dbraw/zinc/79/15/73/768791573.db2.gz AQSXHRXOTLUZIP-WAYWQWQTSA-N 1 2 317.389 1.129 20 30 DDEDLO CC(C)CC(=O)NCC[NH+]1CCN(c2ncccc2C#N)CC1 ZINC001096261899 768808140 /nfs/dbraw/zinc/80/81/40/768808140.db2.gz NUZQTPQSJLPITE-UHFFFAOYSA-N 1 2 315.421 1.238 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2C(C)(C)C)CC1 ZINC001070979027 768831788 /nfs/dbraw/zinc/83/17/88/768831788.db2.gz OYAFJTNDDFAHLA-HUUCEWRRSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2C(C)(C)C)CC1 ZINC001070979027 768831791 /nfs/dbraw/zinc/83/17/91/768831791.db2.gz OYAFJTNDDFAHLA-HUUCEWRRSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CC1 ZINC001070984097 768835875 /nfs/dbraw/zinc/83/58/75/768835875.db2.gz SVZJFDZOBQVAKI-JLVBRIDZSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CC1 ZINC001070984097 768835888 /nfs/dbraw/zinc/83/58/88/768835888.db2.gz SVZJFDZOBQVAKI-JLVBRIDZSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2CC[C@@H](C)C2)CC1 ZINC001070988041 768842496 /nfs/dbraw/zinc/84/24/96/768842496.db2.gz CYHDMHIJHNHJHT-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2CC[C@@H](C)C2)CC1 ZINC001070988041 768842507 /nfs/dbraw/zinc/84/25/07/768842507.db2.gz CYHDMHIJHNHJHT-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2(C)CC=CC2)CC1 ZINC001070995255 768854368 /nfs/dbraw/zinc/85/43/68/768854368.db2.gz XRVCENCJHIWLKS-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2(C)CC=CC2)CC1 ZINC001070995255 768854381 /nfs/dbraw/zinc/85/43/81/768854381.db2.gz XRVCENCJHIWLKS-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001071000320 768863004 /nfs/dbraw/zinc/86/30/04/768863004.db2.gz XTSVRVJEVJYJAF-GFCCVEGCSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001071000320 768863017 /nfs/dbraw/zinc/86/30/17/768863017.db2.gz XTSVRVJEVJYJAF-GFCCVEGCSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@]2(C)C(C)C)CC1 ZINC001071013290 768880118 /nfs/dbraw/zinc/88/01/18/768880118.db2.gz XJZHMYRJQQAPBV-MAUKXSAKSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@]2(C)C(C)C)CC1 ZINC001071013290 768880122 /nfs/dbraw/zinc/88/01/22/768880122.db2.gz XJZHMYRJQQAPBV-MAUKXSAKSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001071015270 768881655 /nfs/dbraw/zinc/88/16/55/768881655.db2.gz WOBNRZBSPNJFNQ-CYBMUJFWSA-N 1 2 304.394 1.036 20 30 DDEDLO C/C=C(\C)C(=O)NCC[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC001096281052 768927228 /nfs/dbraw/zinc/92/72/28/768927228.db2.gz RFBADNOUFACTHS-LZWSPWQCSA-N 1 2 313.405 1.158 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)C(F)F)C[C@@H](C)O2 ZINC001071115784 768966017 /nfs/dbraw/zinc/96/60/17/768966017.db2.gz DFJLFBUTWGCBNH-MFKMUULPSA-N 1 2 308.756 1.696 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)C(F)F)C[C@@H](C)O2 ZINC001071115784 768966020 /nfs/dbraw/zinc/96/60/20/768966020.db2.gz DFJLFBUTWGCBNH-MFKMUULPSA-N 1 2 308.756 1.696 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096333923 769244820 /nfs/dbraw/zinc/24/48/20/769244820.db2.gz BJNHLWMXVNJYON-AWEZNQCLSA-N 1 2 304.394 1.299 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(c3ccc4[nH]c(C#N)cc4n3)C2)C[C@@H](C)O1 ZINC001158729061 769251416 /nfs/dbraw/zinc/25/14/16/769251416.db2.gz VHZSOHZIEKMOQG-VXGBXAGGSA-N 1 2 311.389 1.732 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071417904 769404050 /nfs/dbraw/zinc/40/40/50/769404050.db2.gz BMUJLJCYQVIRNT-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096440818 770069958 /nfs/dbraw/zinc/06/99/58/770069958.db2.gz ANFNCJKUPLZQSS-DZGCQCFKSA-N 1 2 316.405 1.135 20 30 DDEDLO C=CCC[N@H+]1C[C@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)C[C@H]2C1 ZINC001049076106 770329184 /nfs/dbraw/zinc/32/91/84/770329184.db2.gz FCSGXDOSTJSPMK-OKILXGFUSA-N 1 2 300.406 1.867 20 30 DDEDLO C=CCC[N@@H+]1C[C@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)C[C@H]2C1 ZINC001049076106 770329194 /nfs/dbraw/zinc/32/91/94/770329194.db2.gz FCSGXDOSTJSPMK-OKILXGFUSA-N 1 2 300.406 1.867 20 30 DDEDLO Cc1nc(N2CC[C@@H]3CN(C(=O)C#CC4CC4)C[C@@H]3C2)cc[nH+]1 ZINC001049352730 770724409 /nfs/dbraw/zinc/72/44/09/770724409.db2.gz VLGQJPLLISKENT-CVEARBPZSA-N 1 2 310.401 1.483 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1cnns1 ZINC001049468955 770894605 /nfs/dbraw/zinc/89/46/05/770894605.db2.gz YZIXDIYCBXWAIS-HUUCEWRRSA-N 1 2 316.430 1.517 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1cnns1 ZINC001049468955 770894614 /nfs/dbraw/zinc/89/46/14/770894614.db2.gz YZIXDIYCBXWAIS-HUUCEWRRSA-N 1 2 316.430 1.517 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC001049895564 771240323 /nfs/dbraw/zinc/24/03/23/771240323.db2.gz IZIIMYROBDNTNU-IRXDYDNUSA-N 1 2 324.384 1.982 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC001049895564 771240325 /nfs/dbraw/zinc/24/03/25/771240325.db2.gz IZIIMYROBDNTNU-IRXDYDNUSA-N 1 2 324.384 1.982 20 30 DDEDLO CCc1noc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001049996223 771350335 /nfs/dbraw/zinc/35/03/35/771350335.db2.gz WRZKVYSTTVHQKQ-FRRDWIJNSA-N 1 2 317.393 1.214 20 30 DDEDLO CCc1noc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001049996223 771350344 /nfs/dbraw/zinc/35/03/44/771350344.db2.gz WRZKVYSTTVHQKQ-FRRDWIJNSA-N 1 2 317.393 1.214 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC1OCCCO1)C2 ZINC001097000242 771566637 /nfs/dbraw/zinc/56/66/37/771566637.db2.gz HEEXMLPXUNYOCZ-UPJWGTAASA-N 1 2 314.813 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC1OCCCO1)C2 ZINC001097000242 771566640 /nfs/dbraw/zinc/56/66/40/771566640.db2.gz HEEXMLPXUNYOCZ-UPJWGTAASA-N 1 2 314.813 1.614 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2sc(NCC)nc2C)CC1 ZINC001136863097 772177905 /nfs/dbraw/zinc/17/79/05/772177905.db2.gz OSNKFINISCTQPL-UHFFFAOYSA-N 1 2 306.435 1.664 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2sc(NCC)nc2C)CC1 ZINC001136863097 772177907 /nfs/dbraw/zinc/17/79/07/772177907.db2.gz OSNKFINISCTQPL-UHFFFAOYSA-N 1 2 306.435 1.664 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CCCC[C@H]1Nc1cc[nH+]c(C)n1 ZINC001091282166 772654518 /nfs/dbraw/zinc/65/45/18/772654518.db2.gz ZGISDFFKEBCOEV-BPLDGKMQSA-N 1 2 316.405 1.663 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1C)C2 ZINC001147636724 773187566 /nfs/dbraw/zinc/18/75/66/773187566.db2.gz MUEZDOWQXJHRRQ-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+](Cc1nccn1C)C2 ZINC001147636724 773187567 /nfs/dbraw/zinc/18/75/67/773187567.db2.gz MUEZDOWQXJHRRQ-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001073736503 773357214 /nfs/dbraw/zinc/35/72/14/773357214.db2.gz UNHGEIFLIFBKBZ-YJNKXOJESA-N 1 2 312.841 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001073736503 773357221 /nfs/dbraw/zinc/35/72/21/773357221.db2.gz UNHGEIFLIFBKBZ-YJNKXOJESA-N 1 2 312.841 1.992 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001148088362 773359290 /nfs/dbraw/zinc/35/92/90/773359290.db2.gz LTFZCIPZWCSNKA-LSDHHAIUSA-N 1 2 314.433 1.969 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001148088362 773359295 /nfs/dbraw/zinc/35/92/95/773359295.db2.gz LTFZCIPZWCSNKA-LSDHHAIUSA-N 1 2 314.433 1.969 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1ncccn1)C2 ZINC001148088360 773359827 /nfs/dbraw/zinc/35/98/27/773359827.db2.gz LTFZCIPZWCSNKA-HUUCEWRRSA-N 1 2 314.433 1.969 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1ncccn1)C2 ZINC001148088360 773359833 /nfs/dbraw/zinc/35/98/33/773359833.db2.gz LTFZCIPZWCSNKA-HUUCEWRRSA-N 1 2 314.433 1.969 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001148194382 773374423 /nfs/dbraw/zinc/37/44/23/773374423.db2.gz YKENIFCZNWAAKC-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001148194382 773374429 /nfs/dbraw/zinc/37/44/29/773374429.db2.gz YKENIFCZNWAAKC-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cccnc2C)C1 ZINC001073752635 773377113 /nfs/dbraw/zinc/37/71/13/773377113.db2.gz BBRUJFYBTNMNMY-CQSZACIVSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cccnc2C)C1 ZINC001073752635 773377120 /nfs/dbraw/zinc/37/71/20/773377120.db2.gz BBRUJFYBTNMNMY-CQSZACIVSA-N 1 2 323.824 1.963 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2cccc([C@@H](C)C#N)c2)CC1 ZINC001148614739 773552894 /nfs/dbraw/zinc/55/28/94/773552894.db2.gz VMEDEOUDUJSYBE-AWEZNQCLSA-N 1 2 301.390 1.718 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)nc1 ZINC001073972679 773566500 /nfs/dbraw/zinc/56/65/00/773566500.db2.gz ABMYMJDOABVWJX-LSDHHAIUSA-N 1 2 315.421 1.758 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)nc1 ZINC001073972679 773566504 /nfs/dbraw/zinc/56/65/04/773566504.db2.gz ABMYMJDOABVWJX-LSDHHAIUSA-N 1 2 315.421 1.758 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074150540 773675376 /nfs/dbraw/zinc/67/53/76/773675376.db2.gz YREGZBLROLTZRQ-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccsc3)C[C@@H]21 ZINC001074158798 773681904 /nfs/dbraw/zinc/68/19/04/773681904.db2.gz UXOUXZPDFMLUDM-GJZGRUSLSA-N 1 2 304.415 1.687 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccsc3)C[C@@H]21 ZINC001074158798 773681907 /nfs/dbraw/zinc/68/19/07/773681907.db2.gz UXOUXZPDFMLUDM-GJZGRUSLSA-N 1 2 304.415 1.687 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(Cl)c[nH]3)C[C@H]21 ZINC001074159917 773682731 /nfs/dbraw/zinc/68/27/31/773682731.db2.gz MGQRHRKUGJNVOQ-CABCVRRESA-N 1 2 321.808 1.607 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(Cl)c[nH]3)C[C@H]21 ZINC001074159917 773682735 /nfs/dbraw/zinc/68/27/35/773682735.db2.gz MGQRHRKUGJNVOQ-CABCVRRESA-N 1 2 321.808 1.607 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cccc(C)n3)C[C@H]21 ZINC001074166800 773689765 /nfs/dbraw/zinc/68/97/65/773689765.db2.gz MSYRXBLFBGSGPL-SJORKVTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccc(C)n3)C[C@H]21 ZINC001074166800 773689770 /nfs/dbraw/zinc/68/97/70/773689770.db2.gz MSYRXBLFBGSGPL-SJORKVTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3C(C)(C)C3(C)C)C[C@H]21 ZINC001074202021 773725410 /nfs/dbraw/zinc/72/54/10/773725410.db2.gz VDGDHMQBQSHWJY-HUUCEWRRSA-N 1 2 318.461 1.994 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3C(C)(C)C3(C)C)C[C@H]21 ZINC001074202021 773725414 /nfs/dbraw/zinc/72/54/14/773725414.db2.gz VDGDHMQBQSHWJY-HUUCEWRRSA-N 1 2 318.461 1.994 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2OCC[N@H+](Cc3ccccc3)[C@@H]2C1 ZINC001074237411 773760156 /nfs/dbraw/zinc/76/01/56/773760156.db2.gz YTIJYAYJOFQNOZ-MOPGFXCFSA-N 1 2 324.424 1.902 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2OCC[N@@H+](Cc3ccccc3)[C@@H]2C1 ZINC001074237411 773760157 /nfs/dbraw/zinc/76/01/57/773760157.db2.gz YTIJYAYJOFQNOZ-MOPGFXCFSA-N 1 2 324.424 1.902 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3c(C)cnn3C)C[C@H]21 ZINC001074316550 773817668 /nfs/dbraw/zinc/81/76/68/773817668.db2.gz AMVFBMVDQSOQTN-CABCVRRESA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3c(C)cnn3C)C[C@H]21 ZINC001074316550 773817672 /nfs/dbraw/zinc/81/76/72/773817672.db2.gz AMVFBMVDQSOQTN-CABCVRRESA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC3=CCOCC3)C[C@H]21 ZINC001074333495 773829405 /nfs/dbraw/zinc/82/94/05/773829405.db2.gz COYOZOZFDVVVSX-SJORKVTESA-N 1 2 320.433 1.601 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC3=CCOCC3)C[C@H]21 ZINC001074333495 773829411 /nfs/dbraw/zinc/82/94/11/773829411.db2.gz COYOZOZFDVVVSX-SJORKVTESA-N 1 2 320.433 1.601 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3occc3C)C[C@H]21 ZINC001074337068 773835593 /nfs/dbraw/zinc/83/55/93/773835593.db2.gz YIGUTQRBTQBUDB-CVEARBPZSA-N 1 2 316.401 1.456 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3occc3C)C[C@H]21 ZINC001074337068 773835595 /nfs/dbraw/zinc/83/55/95/773835595.db2.gz YIGUTQRBTQBUDB-CVEARBPZSA-N 1 2 316.401 1.456 20 30 DDEDLO Cc1nc(N(C)CCOCCN(C)C(=O)C#CC(C)C)cc[nH+]1 ZINC001091887606 773836759 /nfs/dbraw/zinc/83/67/59/773836759.db2.gz BYXPEOHDIQAUHK-UHFFFAOYSA-N 1 2 318.421 1.356 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cnoc3CC)C[C@H]21 ZINC001074348023 773844085 /nfs/dbraw/zinc/84/40/85/773844085.db2.gz GJCUQRVGBTZOSK-ZBFHGGJFSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cnoc3CC)C[C@H]21 ZINC001074348023 773844090 /nfs/dbraw/zinc/84/40/90/773844090.db2.gz GJCUQRVGBTZOSK-ZBFHGGJFSA-N 1 2 319.405 1.728 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCc2[nH]cc[nH+]2)CCN1c1ncccc1C#N ZINC001091958164 773896788 /nfs/dbraw/zinc/89/67/88/773896788.db2.gz JSJCLAVMNONCAU-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccoc1)c1nccn12 ZINC001092350395 774068066 /nfs/dbraw/zinc/06/80/66/774068066.db2.gz ROSNLVSVQSWMAM-CQSZACIVSA-N 1 2 310.357 1.385 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1CC(F)(F)C1)c1nccn12 ZINC001092357547 774072539 /nfs/dbraw/zinc/07/25/39/774072539.db2.gz XJOJVQRUYOPCNI-LBPRGKRZSA-N 1 2 322.359 1.686 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CCC1(F)F)c1nccn12 ZINC001092378392 774093861 /nfs/dbraw/zinc/09/38/61/774093861.db2.gz MWPNLUSSSJZNKR-RYUDHWBXSA-N 1 2 322.359 1.686 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cn2)C[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001092381121 774094984 /nfs/dbraw/zinc/09/49/84/774094984.db2.gz BAHPRNRQPHDCMV-UKRRQHHQSA-N 1 2 324.388 1.038 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1OCC[C@H]1C)c1nccn12 ZINC001092397873 774101256 /nfs/dbraw/zinc/10/12/56/774101256.db2.gz FQKRILPLZBFZQO-MCIONIFRSA-N 1 2 316.405 1.066 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)(C)C)c1nccn12 ZINC001092408821 774123862 /nfs/dbraw/zinc/12/38/62/774123862.db2.gz BHWVBUDUERIQDX-CYBMUJFWSA-N 1 2 300.406 1.524 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001075017205 774300854 /nfs/dbraw/zinc/30/08/54/774300854.db2.gz LMFJGXXCYLIMPJ-LSDHHAIUSA-N 1 2 318.421 1.735 20 30 DDEDLO C[C@@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)Nc1cnc(C#N)cn1 ZINC001098380396 774556206 /nfs/dbraw/zinc/55/62/06/774556206.db2.gz XSRKIJABACBZEE-JTQLQIEISA-N 1 2 321.344 1.455 20 30 DDEDLO CCC(=O)N1CC(n2cc(C[NH2+]Cc3ccc(C#N)cc3)nn2)C1 ZINC001093296648 774592184 /nfs/dbraw/zinc/59/21/84/774592184.db2.gz GAVAXWDNEXLVJS-UHFFFAOYSA-N 1 2 324.388 1.233 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C3CCOCC3)CC2)C1 ZINC001093497424 774719944 /nfs/dbraw/zinc/71/99/44/774719944.db2.gz FTWWUIKKKCGXHP-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)CC[C@@H]21 ZINC001036826122 774750551 /nfs/dbraw/zinc/75/05/51/774750551.db2.gz APFCOXKYFCVPPL-YOEHRIQHSA-N 1 2 312.417 1.847 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)CC[C@@H]21 ZINC001036826122 774750555 /nfs/dbraw/zinc/75/05/55/774750555.db2.gz APFCOXKYFCVPPL-YOEHRIQHSA-N 1 2 312.417 1.847 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cccn3C)CC2)C1 ZINC001093509672 774755697 /nfs/dbraw/zinc/75/56/97/774755697.db2.gz SZQUPIJGWNLGJU-UHFFFAOYSA-N 1 2 303.406 1.517 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3c(C)ccn3C)CC2)C1 ZINC001093531381 774783623 /nfs/dbraw/zinc/78/36/23/774783623.db2.gz VUXWSCWBYUCYMI-UHFFFAOYSA-N 1 2 317.433 1.825 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3c(C)ncn3C)CC2)C1 ZINC001093544913 774819795 /nfs/dbraw/zinc/81/97/95/774819795.db2.gz OBPSWFYIWBDQFY-UHFFFAOYSA-N 1 2 318.421 1.220 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001093878763 775177592 /nfs/dbraw/zinc/17/75/92/775177592.db2.gz XBLQYNVQXWGONS-LBPRGKRZSA-N 1 2 302.378 1.229 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@H]3CCC)nn2)C1 ZINC001094316886 775674020 /nfs/dbraw/zinc/67/40/20/775674020.db2.gz OZYHPYSDUXDACB-CZUORRHYSA-N 1 2 317.437 1.763 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCNc2cnc(C#N)cn2)c(C)[nH+]1 ZINC001094291754 775693353 /nfs/dbraw/zinc/69/33/53/775693353.db2.gz NPXQCKXGLDXAMR-UHFFFAOYSA-N 1 2 310.361 1.510 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3c[nH]cc3C)nn2)C1 ZINC001094338535 775724854 /nfs/dbraw/zinc/72/48/54/775724854.db2.gz JEUHEBKZRUIRGW-UHFFFAOYSA-N 1 2 314.393 1.277 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCCCNc1cc[nH+]c(C)n1 ZINC001094523878 775982407 /nfs/dbraw/zinc/98/24/07/775982407.db2.gz GMDJAQSKVXYUJE-UHFFFAOYSA-N 1 2 308.385 1.927 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCCNc1nc(C)ccc1C#N ZINC001094524683 775984420 /nfs/dbraw/zinc/98/44/20/775984420.db2.gz QHLRCSVZYDGEGI-UHFFFAOYSA-N 1 2 312.377 1.454 20 30 DDEDLO N#Cc1cccnc1NCCCNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001094656610 776175914 /nfs/dbraw/zinc/17/59/14/776175914.db2.gz OVHWRMUZHDQIGE-CQSZACIVSA-N 1 2 324.388 1.331 20 30 DDEDLO C#CCOCCC(=O)NCCN(CC)c1nc(C)[nH+]c(C)c1C ZINC001100618992 776369502 /nfs/dbraw/zinc/36/95/02/776369502.db2.gz FXFSIWFIDGVURK-UHFFFAOYSA-N 1 2 318.421 1.384 20 30 DDEDLO COC(=O)[C@H]([NH3+])Cc1cn([C@H](C)Cc2ccc(C#N)cc2)cn1 ZINC001172309069 776744832 /nfs/dbraw/zinc/74/48/32/776744832.db2.gz PZZFITWESCLESA-MLGOLLRUSA-N 1 2 312.373 1.601 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1[C@@H]1COC(C)(C)C1 ZINC001172282917 776752569 /nfs/dbraw/zinc/75/25/69/776752569.db2.gz HPIYMRFQOWPDNU-JSGCOSHPSA-N 1 2 306.410 1.484 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1[C@@H]1COC(C)(C)C1 ZINC001172282917 776752575 /nfs/dbraw/zinc/75/25/75/776752575.db2.gz HPIYMRFQOWPDNU-JSGCOSHPSA-N 1 2 306.410 1.484 20 30 DDEDLO Cc1nc(N2CC[C@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001101007296 776817307 /nfs/dbraw/zinc/81/73/07/776817307.db2.gz IXEFCAIGYXMARS-CYBMUJFWSA-N 1 2 324.388 1.170 20 30 DDEDLO CCCN(CCNC(=O)Cc1c[nH]c[nH+]1)c1ccc(C#N)cn1 ZINC001101246082 777009671 /nfs/dbraw/zinc/00/96/71/777009671.db2.gz XHNRSVKZLYCVIG-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095095266 777091827 /nfs/dbraw/zinc/09/18/27/777091827.db2.gz DPDYBCFNDSARLI-ZFWWWQNUSA-N 1 2 318.421 1.803 20 30 DDEDLO Cc1ccc(C#N)c(NC2(CNC(=O)Cn3cc[nH+]c3)CC2)n1 ZINC001110169168 777123492 /nfs/dbraw/zinc/12/34/92/777123492.db2.gz ITBDALLFDYPQDI-UHFFFAOYSA-N 1 2 310.361 1.219 20 30 DDEDLO CCOC(=O)C[NH2+]C1CCN(C(=O)c2ccc(C#N)cc2)CC1 ZINC001173425874 777186488 /nfs/dbraw/zinc/18/64/88/777186488.db2.gz GBNONJNODLVYOG-UHFFFAOYSA-N 1 2 315.373 1.316 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnn(C)c1)C2 ZINC001095223516 777237677 /nfs/dbraw/zinc/23/76/77/777237677.db2.gz LLMXPRFWTLTAPK-RDBSUJKOSA-N 1 2 308.813 1.437 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnn(C)c1)C2 ZINC001095223516 777237681 /nfs/dbraw/zinc/23/76/81/777237681.db2.gz LLMXPRFWTLTAPK-RDBSUJKOSA-N 1 2 308.813 1.437 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C(F)=C(C)C)c1nccn12 ZINC001101623067 777316810 /nfs/dbraw/zinc/31/68/10/777316810.db2.gz XCLCMRWPIZVBNZ-GFCCVEGCSA-N 1 2 304.369 1.904 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)CC(F)F)c1nccn12 ZINC001101634047 777330194 /nfs/dbraw/zinc/33/01/94/777330194.db2.gz LOMBRODKCRPDMF-NEPJUHHUSA-N 1 2 324.375 1.932 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)(C)C=C)c1nccn12 ZINC001101635623 777332683 /nfs/dbraw/zinc/33/26/83/777332683.db2.gz NNIRSBDXDDPSPI-CYBMUJFWSA-N 1 2 300.406 1.853 20 30 DDEDLO CN(CCNc1cccc(F)c1C#N)C(=O)Cn1cc[nH+]c1 ZINC001101671805 777373627 /nfs/dbraw/zinc/37/36/27/777373627.db2.gz HFZFTGXYNUMHST-UHFFFAOYSA-N 1 2 301.325 1.464 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099945659 777469602 /nfs/dbraw/zinc/46/96/02/777469602.db2.gz ZZMSFIKDNWXLDK-ZIAGYGMSSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099945659 777469605 /nfs/dbraw/zinc/46/96/05/777469605.db2.gz ZZMSFIKDNWXLDK-ZIAGYGMSSA-N 1 2 321.421 1.555 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2nnc(C3CC3)[nH]2)C[C@H]1C ZINC001101824047 777569954 /nfs/dbraw/zinc/56/99/54/777569954.db2.gz UQKLSCGUFUBBEO-NQBHXWOUSA-N 1 2 316.409 1.026 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2nnc(C3CC3)[nH]2)C[C@H]1C ZINC001101824047 777569958 /nfs/dbraw/zinc/56/99/58/777569958.db2.gz UQKLSCGUFUBBEO-NQBHXWOUSA-N 1 2 316.409 1.026 20 30 DDEDLO C=CCCCC(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101933138 777696019 /nfs/dbraw/zinc/69/60/19/777696019.db2.gz XNJHCGWVWLAQAN-UHFFFAOYSA-N 1 2 306.414 1.473 20 30 DDEDLO N#Cc1cnccc1N1CC[C@H](CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001102398411 778116909 /nfs/dbraw/zinc/11/69/09/778116909.db2.gz QYPLORNHMNFKHN-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)F)C[C@@H]21 ZINC001176881930 778289368 /nfs/dbraw/zinc/28/93/68/778289368.db2.gz IPNWGOWPJGNNHO-KBPBESRZSA-N 1 2 314.401 1.239 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)F)C[C@@H]21 ZINC001176881930 778289376 /nfs/dbraw/zinc/28/93/76/778289376.db2.gz IPNWGOWPJGNNHO-KBPBESRZSA-N 1 2 314.401 1.239 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc[nH]3)C[C@@H]21 ZINC001176923208 778310160 /nfs/dbraw/zinc/31/01/60/778310160.db2.gz FVKDUZLFKSRUOO-JKSUJKDBSA-N 1 2 319.405 1.133 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc[nH]3)C[C@@H]21 ZINC001176923208 778310169 /nfs/dbraw/zinc/31/01/69/778310169.db2.gz FVKDUZLFKSRUOO-JKSUJKDBSA-N 1 2 319.405 1.133 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)COCC3CC3)C[C@H]21 ZINC001176925939 778315529 /nfs/dbraw/zinc/31/55/29/778315529.db2.gz ZTHBVLOCXCHOEQ-HZPDHXFCSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)COCC3CC3)C[C@H]21 ZINC001176925939 778315536 /nfs/dbraw/zinc/31/55/36/778315536.db2.gz ZTHBVLOCXCHOEQ-HZPDHXFCSA-N 1 2 308.422 1.291 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2OCC[N@@H+](CCOC(C)C)[C@@H]2C1 ZINC001177019461 778395250 /nfs/dbraw/zinc/39/52/50/778395250.db2.gz MPWORRFWKSFBLU-IAGOWNOFSA-N 1 2 322.449 1.373 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2OCC[N@H+](CCOC(C)C)[C@@H]2C1 ZINC001177019461 778395253 /nfs/dbraw/zinc/39/52/53/778395253.db2.gz MPWORRFWKSFBLU-IAGOWNOFSA-N 1 2 322.449 1.373 20 30 DDEDLO CC(C)=CC[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)C)C[C@H]21 ZINC001177019721 778395352 /nfs/dbraw/zinc/39/53/52/778395352.db2.gz RANJWSBWMMFQEC-IAGOWNOFSA-N 1 2 304.434 1.914 20 30 DDEDLO CC(C)=CC[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)C)C[C@H]21 ZINC001177019721 778395357 /nfs/dbraw/zinc/39/53/57/778395357.db2.gz RANJWSBWMMFQEC-IAGOWNOFSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC(F)F)C[C@H]21 ZINC001177049938 778412082 /nfs/dbraw/zinc/41/20/82/778412082.db2.gz XSSNQPQVJJZFDH-OLZOCXBDSA-N 1 2 300.349 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC(F)F)C[C@H]21 ZINC001177049938 778412086 /nfs/dbraw/zinc/41/20/86/778412086.db2.gz XSSNQPQVJJZFDH-OLZOCXBDSA-N 1 2 300.349 1.357 20 30 DDEDLO C#CCOCCC(=O)N(CC)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102815794 778423428 /nfs/dbraw/zinc/42/34/28/778423428.db2.gz JGSGIQWQJRUZFU-HNNXBMFYSA-N 1 2 316.405 1.252 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102817450 778424483 /nfs/dbraw/zinc/42/44/83/778424483.db2.gz FKXQIKCIXCLGEZ-UKRRQHHQSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCOC)[C@@H]2C1 ZINC001177102465 778447919 /nfs/dbraw/zinc/44/79/19/778447919.db2.gz WCDCTLRZDBBPNY-CABCVRRESA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCOC)[C@@H]2C1 ZINC001177102465 778447922 /nfs/dbraw/zinc/44/79/22/778447922.db2.gz WCDCTLRZDBBPNY-CABCVRRESA-N 1 2 310.438 1.537 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1nccnc1C#N ZINC001103056737 778603961 /nfs/dbraw/zinc/60/39/61/778603961.db2.gz SNSPREZKAVIATM-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1c[nH+]cn1C)Nc1ncccc1C#N ZINC001103151122 778670546 /nfs/dbraw/zinc/67/05/46/778670546.db2.gz MYKXSXLCTOWEIM-ZDUSSCGKSA-N 1 2 312.377 1.236 20 30 DDEDLO CC[C@@H](CNC(=O)CCn1cc[nH+]c1)Nc1ccc(C#N)nc1 ZINC001103248824 778735709 /nfs/dbraw/zinc/73/57/09/778735709.db2.gz PHLUEZCILWRHEE-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+]Cc2nnc(C3CC3)o2)[C@@H]1C ZINC001178336136 778987900 /nfs/dbraw/zinc/98/79/00/778987900.db2.gz SQRSEOQDLLBQRI-AAEUAGOBSA-N 1 2 320.393 1.229 20 30 DDEDLO O=C(C#Cc1ccc(F)cc1F)NCC1([NH+]2CCCC2)COC1 ZINC001178573875 779107511 /nfs/dbraw/zinc/10/75/11/779107511.db2.gz NNSRCTRWRYHVRF-UHFFFAOYSA-N 1 2 320.339 1.297 20 30 DDEDLO Cc1nc(NC[C@H](C)CNC(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001103898512 779147281 /nfs/dbraw/zinc/14/72/81/779147281.db2.gz NNAZFXIZOMZAEQ-NWDGAFQWSA-N 1 2 315.421 1.988 20 30 DDEDLO N#C[C@@H]1CCCCN1C(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001178992748 779253753 /nfs/dbraw/zinc/25/37/53/779253753.db2.gz VDAUKPWLUIUMPW-IRXDYDNUSA-N 1 2 313.401 1.792 20 30 DDEDLO N#C[C@@H]1CCCCN1C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001178992748 779253759 /nfs/dbraw/zinc/25/37/59/779253759.db2.gz VDAUKPWLUIUMPW-IRXDYDNUSA-N 1 2 313.401 1.792 20 30 DDEDLO C[C@H](CNC(=O)Cc1c[nH+]cn1C)CNc1ccc(C#N)nc1 ZINC001104038901 779262710 /nfs/dbraw/zinc/26/27/10/779262710.db2.gz DKHDVJQKWUGEPZ-LBPRGKRZSA-N 1 2 312.377 1.094 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ccon1)C2 ZINC001111658453 779421309 /nfs/dbraw/zinc/42/13/09/779421309.db2.gz AOBDTWQINNFBBQ-BBRMVZONSA-N 1 2 305.378 1.348 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ccon1)C2 ZINC001111658453 779421314 /nfs/dbraw/zinc/42/13/14/779421314.db2.gz AOBDTWQINNFBBQ-BBRMVZONSA-N 1 2 305.378 1.348 20 30 DDEDLO C[C@H](C#N)C(=O)NCCSCc1csc(C[NH+](C)C)n1 ZINC001179901545 779526346 /nfs/dbraw/zinc/52/63/46/779526346.db2.gz YUIKEZJWEMXZFH-SNVBAGLBSA-N 1 2 312.464 1.714 20 30 DDEDLO C#CCCCC(=O)N1CCC(O)(CNc2cc[nH+]c(C)n2)CC1 ZINC001112449597 779782056 /nfs/dbraw/zinc/78/20/56/779782056.db2.gz MCZOPLQMCGUPKX-UHFFFAOYSA-N 1 2 316.405 1.354 20 30 DDEDLO N#CCNC[C@]12CCC[C@H]1CN(C(=O)c1cccc3[nH+]ccn31)C2 ZINC001112463745 779791309 /nfs/dbraw/zinc/79/13/09/779791309.db2.gz ZIVSSFFAIOSABM-KSSFIOAISA-N 1 2 323.400 1.690 20 30 DDEDLO COC[C@@H](C)NC(=S)N[NH+]=C1CCN(C)c2ccccc21 ZINC001117127932 780669228 /nfs/dbraw/zinc/66/92/28/780669228.db2.gz ZURIDHWZODSURB-LLVKDONJSA-N 1 2 306.435 1.730 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC12CC[NH+](Cc1ncnn1C)CC2 ZINC001266377261 836186484 /nfs/dbraw/zinc/18/64/84/836186484.db2.gz SENFMSBQASEHIQ-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001266459617 836289873 /nfs/dbraw/zinc/28/98/73/836289873.db2.gz RIYZFSXUAMNYMC-LSDHHAIUSA-N 1 2 315.421 1.139 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+]2CC[C@@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001266511923 836388051 /nfs/dbraw/zinc/38/80/51/836388051.db2.gz UUGISXWEKPHJPI-JSGCOSHPSA-N 1 2 315.421 1.280 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+]2CC[C@@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001266511923 836388060 /nfs/dbraw/zinc/38/80/60/836388060.db2.gz UUGISXWEKPHJPI-JSGCOSHPSA-N 1 2 315.421 1.280 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1C[C@@H]([NH2+][C@@H](C)c2noc(C)n2)C1 ZINC001266672036 836634393 /nfs/dbraw/zinc/63/43/93/836634393.db2.gz KVKHSLSHRMRAMM-AUZPSNTRSA-N 1 2 322.409 1.657 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@@H]([NH2+]Cc2nnsc2Cl)C1 ZINC001266673804 836637904 /nfs/dbraw/zinc/63/79/04/836637904.db2.gz CEHUPBUPEKICNY-DTORHVGOSA-N 1 2 316.814 1.131 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)[NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001266970326 837131036 /nfs/dbraw/zinc/13/10/36/837131036.db2.gz IXRLPHNWVCWRBI-LLVKDONJSA-N 1 2 304.394 1.898 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1cnn(C)n1 ZINC001279556414 837212513 /nfs/dbraw/zinc/21/25/13/837212513.db2.gz QVCQVMPUAYNVLI-JKSUJKDBSA-N 1 2 315.421 1.184 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1cnn(C)n1 ZINC001279556414 837212521 /nfs/dbraw/zinc/21/25/21/837212521.db2.gz QVCQVMPUAYNVLI-JKSUJKDBSA-N 1 2 315.421 1.184 20 30 DDEDLO CN(CC[NH2+]Cc1nc(C2CCCC2)no1)C(=O)C#CC1CC1 ZINC001267370687 837930538 /nfs/dbraw/zinc/93/05/38/837930538.db2.gz CMXQKRLBCYAVLW-UHFFFAOYSA-N 1 2 316.405 1.689 20 30 DDEDLO CCCN(C(=O)C1CCCC1)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267442970 838056089 /nfs/dbraw/zinc/05/60/89/838056089.db2.gz GJJCTCWKNAQKHQ-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO CCCN(C(=O)C1CCCC1)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267442970 838056099 /nfs/dbraw/zinc/05/60/99/838056099.db2.gz GJJCTCWKNAQKHQ-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO CCCN(C(=O)c1cccc2[nH+]ccn21)[C@@H]1CCN(CC#N)C1 ZINC001267465085 838111564 /nfs/dbraw/zinc/11/15/64/838111564.db2.gz CGOKEBDIMQZOEO-CQSZACIVSA-N 1 2 311.389 1.784 20 30 DDEDLO N#CCN[C@H](CNC(=O)c1cccc2[nH+]ccn21)c1ccccc1 ZINC001267521631 838242828 /nfs/dbraw/zinc/24/28/28/838242828.db2.gz USFJDUNVDPBZNG-OAHLLOKOSA-N 1 2 319.368 1.919 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)c2ccc(CC)cc2)CC1 ZINC001267618056 838500129 /nfs/dbraw/zinc/50/01/29/838500129.db2.gz JRDNKMIWHCKURK-UHFFFAOYSA-N 1 2 313.445 1.572 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1C[NH+](CCc2ccc(OC)cc2)C1 ZINC001267644738 838564128 /nfs/dbraw/zinc/56/41/28/838564128.db2.gz DKFMBJVHEBOICM-CQSZACIVSA-N 1 2 316.401 1.076 20 30 DDEDLO C=CCCCCC[NH+]1CC(NC(=O)c2cn(C)c(C)cc2=O)C1 ZINC001267648755 838574292 /nfs/dbraw/zinc/57/42/92/838574292.db2.gz CTQWHDNNXCDOJP-UHFFFAOYSA-N 1 2 317.433 1.854 20 30 DDEDLO C=CCC[C@H](C(=O)NC1C[NH+](CCCO)C1)c1ccccc1 ZINC001267672687 838620499 /nfs/dbraw/zinc/62/04/99/838620499.db2.gz IPQUCZOAHQFSSX-KRWDZBQOSA-N 1 2 302.418 1.919 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC(=O)N(CC)CC ZINC001267712977 838701047 /nfs/dbraw/zinc/70/10/47/838701047.db2.gz ZNWKRFKXRKISGD-GJZGRUSLSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC(=O)N(CC)CC ZINC001267712977 838701054 /nfs/dbraw/zinc/70/10/54/838701054.db2.gz ZNWKRFKXRKISGD-GJZGRUSLSA-N 1 2 307.438 1.496 20 30 DDEDLO CCN(CCNC(=O)[C@@H](C)C#N)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001408791436 838796650 /nfs/dbraw/zinc/79/66/50/838796650.db2.gz JPHPAPBITGXDLI-NSHDSACASA-N 1 2 313.361 1.301 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)[C@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001267785536 838973623 /nfs/dbraw/zinc/97/36/23/838973623.db2.gz VMVKKKVTPNJFCZ-WMLDXEAASA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)[C@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001267785536 838973630 /nfs/dbraw/zinc/97/36/30/838973630.db2.gz VMVKKKVTPNJFCZ-WMLDXEAASA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)[C@@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001267785532 838974646 /nfs/dbraw/zinc/97/46/46/838974646.db2.gz VMVKKKVTPNJFCZ-PBHICJAKSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001267785532 838974652 /nfs/dbraw/zinc/97/46/52/838974652.db2.gz VMVKKKVTPNJFCZ-PBHICJAKSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1C[N@H+](CCc2ccnn2C)CCO1 ZINC001268022490 839399934 /nfs/dbraw/zinc/39/99/34/839399934.db2.gz SJTZXPLSJFGCPW-INIZCTEOSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1C[N@@H+](CCc2ccnn2C)CCO1 ZINC001268022490 839399946 /nfs/dbraw/zinc/39/99/46/839399946.db2.gz SJTZXPLSJFGCPW-INIZCTEOSA-N 1 2 320.437 1.136 20 30 DDEDLO CCN(CC[NH2+]Cc1nn(C)cc1Cl)C(=O)C#CC(C)C ZINC001268448671 840205235 /nfs/dbraw/zinc/20/52/35/840205235.db2.gz NDKLGPQGVOXJJC-UHFFFAOYSA-N 1 2 310.829 1.671 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C\C[NH2+]Cc1coc(C2CC2)n1 ZINC001268536261 840409227 /nfs/dbraw/zinc/40/92/27/840409227.db2.gz IWHZKIOJYCEGGA-ARJAWSKDSA-N 1 2 301.390 1.973 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CC(CNC(=O)C2CCCCCC2)C1 ZINC001268702797 840689600 /nfs/dbraw/zinc/68/96/00/840689600.db2.gz GMRYFXFPFCYZTO-AWEZNQCLSA-N 1 2 319.449 1.143 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1C[NH+](Cc2snnc2C)C1 ZINC001268874180 840927905 /nfs/dbraw/zinc/92/79/05/840927905.db2.gz GSIVPFBMOKYGAP-HNNXBMFYSA-N 1 2 308.451 1.997 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CC(CNC(=O)C2(CCCC)CC2)C1 ZINC001268874214 840928215 /nfs/dbraw/zinc/92/82/15/840928215.db2.gz HGWRJLFPWPEWJT-AWEZNQCLSA-N 1 2 319.449 1.143 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](CCCC)C(N)=O ZINC001268959431 841034664 /nfs/dbraw/zinc/03/46/64/841034664.db2.gz ABPOAEUJTHPTKI-HRCADAONSA-N 1 2 319.449 1.509 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](CCCC)C(N)=O ZINC001268959431 841034674 /nfs/dbraw/zinc/03/46/74/841034674.db2.gz ABPOAEUJTHPTKI-HRCADAONSA-N 1 2 319.449 1.509 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)c2ccc(C)s2)C1 ZINC001268996363 841096406 /nfs/dbraw/zinc/09/64/06/841096406.db2.gz KMKPSIDHXNHSIE-STQMWFEESA-N 1 2 321.446 1.551 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)c2ccc(C)s2)C1 ZINC001268996363 841096415 /nfs/dbraw/zinc/09/64/15/841096415.db2.gz KMKPSIDHXNHSIE-STQMWFEESA-N 1 2 321.446 1.551 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)c(F)c2)C1 ZINC001269009852 841104836 /nfs/dbraw/zinc/10/48/36/841104836.db2.gz OVAOMWMTVWPPFS-ZDUSSCGKSA-N 1 2 310.344 1.858 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)c(F)c2)C1 ZINC001269009852 841104841 /nfs/dbraw/zinc/10/48/41/841104841.db2.gz OVAOMWMTVWPPFS-ZDUSSCGKSA-N 1 2 310.344 1.858 20 30 DDEDLO C=C(C(=O)N1CC2(CC[N@@H+]2CCn2ccnc2)C1)C(F)(F)F ZINC001269004792 841107429 /nfs/dbraw/zinc/10/74/29/841107429.db2.gz RMNJACRIIKPHEP-UHFFFAOYSA-N 1 2 314.311 1.288 20 30 DDEDLO C=C(C(=O)N1CC2(CC[N@H+]2CCn2ccnc2)C1)C(F)(F)F ZINC001269004792 841107436 /nfs/dbraw/zinc/10/74/36/841107436.db2.gz RMNJACRIIKPHEP-UHFFFAOYSA-N 1 2 314.311 1.288 20 30 DDEDLO CCc1noc(C[NH2+]C[C@@H](NC(=O)C#CC(C)(C)C)C2CC2)n1 ZINC001269071010 841172018 /nfs/dbraw/zinc/17/20/18/841172018.db2.gz AABSEVAQWDAFTI-CYBMUJFWSA-N 1 2 318.421 1.666 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)c3c[nH]c4cc(C#N)ccc43)C[C@@H]21 ZINC001269159056 841279149 /nfs/dbraw/zinc/27/91/49/841279149.db2.gz NIAUOXJQRPRISJ-HOTGVXAUSA-N 1 2 310.357 1.195 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)c3c[nH]c4cc(C#N)ccc43)C[C@@H]21 ZINC001269159056 841279155 /nfs/dbraw/zinc/27/91/55/841279155.db2.gz NIAUOXJQRPRISJ-HOTGVXAUSA-N 1 2 310.357 1.195 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)CCCCN2C(=O)CCc1[nH]cc[nH+]1 ZINC001269175097 841295620 /nfs/dbraw/zinc/29/56/20/841295620.db2.gz HOEWELPPDAFOIM-KRWDZBQOSA-N 1 2 316.405 1.512 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@@H+]1CC[C@@H](N(C)C(=O)C#CC2CC2)C1 ZINC001269241389 841408357 /nfs/dbraw/zinc/40/83/57/841408357.db2.gz UHXZRAOCFLJEQO-GOEBONIOSA-N 1 2 319.449 1.237 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@H+]1CC[C@@H](N(C)C(=O)C#CC2CC2)C1 ZINC001269241389 841408361 /nfs/dbraw/zinc/40/83/61/841408361.db2.gz UHXZRAOCFLJEQO-GOEBONIOSA-N 1 2 319.449 1.237 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)c2cc(C#N)ccc2[nH]1 ZINC001269258596 841434119 /nfs/dbraw/zinc/43/41/19/841434119.db2.gz WMXCZGNOFRNUQI-BETUJISGSA-N 1 2 322.368 1.318 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)C2(C)CCCC2)C1=O ZINC001269266750 841443959 /nfs/dbraw/zinc/44/39/59/841443959.db2.gz JDEVDGAFTDEVOJ-HUUCEWRRSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)C2(C)CCCC2)C1=O ZINC001269266750 841443963 /nfs/dbraw/zinc/44/39/63/841443963.db2.gz JDEVDGAFTDEVOJ-HUUCEWRRSA-N 1 2 319.449 1.544 20 30 DDEDLO CC(C)C#CC(=O)N(C)C1C[NH+](C[C@@H](O)c2ccccc2)C1 ZINC001269787738 842048243 /nfs/dbraw/zinc/04/82/43/842048243.db2.gz RZOCTHWLDVXGNL-QGZVFWFLSA-N 1 2 300.402 1.522 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cc3cccn3cn2)C1 ZINC001269805598 842064366 /nfs/dbraw/zinc/06/43/66/842064366.db2.gz HLNLUNIDXPTVRQ-UHFFFAOYSA-N 1 2 314.389 1.293 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)N4CCCC4)C3)C2)cc1C#N ZINC001270091490 842290036 /nfs/dbraw/zinc/29/00/36/842290036.db2.gz CYUCHXIRHBYEJW-UHFFFAOYSA-N 1 2 313.405 1.230 20 30 DDEDLO C#CCN(C(=O)CCCF)C1CC[NH+](Cc2ncccn2)CC1 ZINC001270331442 842494861 /nfs/dbraw/zinc/49/48/61/842494861.db2.gz DEXICKCHOIFBKT-UHFFFAOYSA-N 1 2 318.396 1.653 20 30 DDEDLO CC[C@H](CC(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C(C)C ZINC001270528024 842643025 /nfs/dbraw/zinc/64/30/25/842643025.db2.gz BHKFHMNGBCYOTD-SJORKVTESA-N 1 2 320.481 1.801 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](CCc2cnn(CC)c2)CCO1 ZINC001270629268 842755382 /nfs/dbraw/zinc/75/53/82/842755382.db2.gz NSQHGWVDIMSTSN-INIZCTEOSA-N 1 2 320.437 1.229 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](CCc2cnn(CC)c2)CCO1 ZINC001270629268 842755388 /nfs/dbraw/zinc/75/53/88/842755388.db2.gz NSQHGWVDIMSTSN-INIZCTEOSA-N 1 2 320.437 1.229 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)CCc2ccc(OC)cc2)C1 ZINC001270700393 842827945 /nfs/dbraw/zinc/82/79/45/842827945.db2.gz FYZLERPHAKFLNV-QGZVFWFLSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)CCc2ccc(OC)cc2)C1 ZINC001270700393 842827961 /nfs/dbraw/zinc/82/79/61/842827961.db2.gz FYZLERPHAKFLNV-QGZVFWFLSA-N 1 2 318.417 1.631 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)Cc2sc(C)cc2C)C1 ZINC001271380206 843539652 /nfs/dbraw/zinc/53/96/52/843539652.db2.gz HNZPLBVOSFLBPQ-UHFFFAOYSA-N 1 2 308.447 1.646 20 30 DDEDLO C[C@@H](NCC#N)[C@H]1CCCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001271646765 843751848 /nfs/dbraw/zinc/75/18/48/843751848.db2.gz ZALUSOACIYZUNY-OLZOCXBDSA-N 1 2 311.389 1.917 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CC[C@H](CCNCC#N)C1)n1cc[nH+]c1 ZINC001272465905 846163812 /nfs/dbraw/zinc/16/38/12/846163812.db2.gz MXYFTNTYGWGVCO-JKSUJKDBSA-N 1 2 317.437 1.822 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1ccncc1C)C2 ZINC001272717638 846710480 /nfs/dbraw/zinc/71/04/80/846710480.db2.gz YFDNCJNYKMCXDE-UHFFFAOYSA-N 1 2 301.390 1.379 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CC[C@@H](C)OC)C1 ZINC001149574427 861721499 /nfs/dbraw/zinc/72/14/99/861721499.db2.gz YAYHUKWZVNBOHS-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CC[C@@H](C)OC)C1 ZINC001149574427 861721512 /nfs/dbraw/zinc/72/15/12/861721512.db2.gz YAYHUKWZVNBOHS-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO Cc1ncoc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032364814 847110183 /nfs/dbraw/zinc/11/01/83/847110183.db2.gz SPCOAVFKQJEHFH-KBPBESRZSA-N 1 2 311.345 1.282 20 30 DDEDLO Cc1ncoc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032364814 847110191 /nfs/dbraw/zinc/11/01/91/847110191.db2.gz SPCOAVFKQJEHFH-KBPBESRZSA-N 1 2 311.345 1.282 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@@H+](Cc3ccnc(Cl)c3)C2)OCC1=O ZINC001272878039 847581666 /nfs/dbraw/zinc/58/16/66/847581666.db2.gz FTKHRVOTNRPTRC-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@H+](Cc3ccnc(Cl)c3)C2)OCC1=O ZINC001272878039 847581669 /nfs/dbraw/zinc/58/16/69/847581669.db2.gz FTKHRVOTNRPTRC-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccnn1 ZINC001272894585 847602963 /nfs/dbraw/zinc/60/29/63/847602963.db2.gz SBQBOBOLXDEWQJ-OAHLLOKOSA-N 1 2 318.421 1.654 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccnn1 ZINC001272894585 847602965 /nfs/dbraw/zinc/60/29/65/847602965.db2.gz SBQBOBOLXDEWQJ-OAHLLOKOSA-N 1 2 318.421 1.654 20 30 DDEDLO CCn1ncc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC3CC3)CC2)n1 ZINC001327125294 861910613 /nfs/dbraw/zinc/91/06/13/861910613.db2.gz IAEDAMLWRQSYNU-OAHLLOKOSA-N 1 2 315.421 1.182 20 30 DDEDLO CCn1ncc(C[N@H+]2CCC[C@@H](NC(=O)C#CC3CC3)CC2)n1 ZINC001327125294 861910631 /nfs/dbraw/zinc/91/06/31/861910631.db2.gz IAEDAMLWRQSYNU-OAHLLOKOSA-N 1 2 315.421 1.182 20 30 DDEDLO CCCc1noc(C[NH2+]CCN(CC)C(=O)C#CC(C)C)n1 ZINC001273363791 849686945 /nfs/dbraw/zinc/68/69/45/849686945.db2.gz REESCWTZKZUWCH-UHFFFAOYSA-N 1 2 306.410 1.620 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](F)C(C)C)C1 ZINC001150116377 862097394 /nfs/dbraw/zinc/09/73/94/862097394.db2.gz RQMMKWSPVNJSTC-STQMWFEESA-N 1 2 306.809 1.940 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](F)C(C)C)C1 ZINC001150116377 862097416 /nfs/dbraw/zinc/09/74/16/862097416.db2.gz RQMMKWSPVNJSTC-STQMWFEESA-N 1 2 306.809 1.940 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)CCc1cncs1)CO2 ZINC001327411276 862153479 /nfs/dbraw/zinc/15/34/79/862153479.db2.gz FNSWFWWSCGPPOT-ZDUSSCGKSA-N 1 2 319.430 1.059 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)CCC[N@H+](C)Cc1nccs1 ZINC001273507721 851038770 /nfs/dbraw/zinc/03/87/70/851038770.db2.gz KTWSRMCNJCLIDW-OAHLLOKOSA-N 1 2 311.451 1.751 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)CCC[N@@H+](C)Cc1nccs1 ZINC001273507721 851038783 /nfs/dbraw/zinc/03/87/83/851038783.db2.gz KTWSRMCNJCLIDW-OAHLLOKOSA-N 1 2 311.451 1.751 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](CC)OC ZINC001327506155 862224880 /nfs/dbraw/zinc/22/48/80/862224880.db2.gz FQYNPRLENZDPQX-MSOLQXFVSA-N 1 2 314.429 1.978 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](CC)OC ZINC001327506155 862224901 /nfs/dbraw/zinc/22/49/01/862224901.db2.gz FQYNPRLENZDPQX-MSOLQXFVSA-N 1 2 314.429 1.978 20 30 DDEDLO C=CCN1C(=O)CC[C@]12CCC[N@@H+](Cc1cnn[nH]1)[C@@H]2CC ZINC001203199028 862251418 /nfs/dbraw/zinc/25/14/18/862251418.db2.gz ASBMISFKCPZSQV-ZBFHGGJFSA-N 1 2 303.410 1.726 20 30 DDEDLO C=CCN1C(=O)CC[C@]12CCC[N@H+](Cc1cnn[nH]1)[C@@H]2CC ZINC001203199028 862251434 /nfs/dbraw/zinc/25/14/34/862251434.db2.gz ASBMISFKCPZSQV-ZBFHGGJFSA-N 1 2 303.410 1.726 20 30 DDEDLO C=CCN1C(=O)CC[C@]12CCC[N@@H+](Cc1c[nH]nn1)[C@@H]2CC ZINC001203199028 862251453 /nfs/dbraw/zinc/25/14/53/862251453.db2.gz ASBMISFKCPZSQV-ZBFHGGJFSA-N 1 2 303.410 1.726 20 30 DDEDLO C=CCN1C(=O)CC[C@]12CCC[N@H+](Cc1c[nH]nn1)[C@@H]2CC ZINC001203199028 862251470 /nfs/dbraw/zinc/25/14/70/862251470.db2.gz ASBMISFKCPZSQV-ZBFHGGJFSA-N 1 2 303.410 1.726 20 30 DDEDLO C=CCN1C(=O)CC[C@]12CCC[N@@H+](Cc1cn[nH]n1)[C@@H]2CC ZINC001203199028 862251487 /nfs/dbraw/zinc/25/14/87/862251487.db2.gz ASBMISFKCPZSQV-ZBFHGGJFSA-N 1 2 303.410 1.726 20 30 DDEDLO C=CCN1C(=O)CC[C@]12CCC[N@H+](Cc1cn[nH]n1)[C@@H]2CC ZINC001203199028 862251505 /nfs/dbraw/zinc/25/15/05/862251505.db2.gz ASBMISFKCPZSQV-ZBFHGGJFSA-N 1 2 303.410 1.726 20 30 DDEDLO N#Cc1cnc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccc[nH]2)cn1 ZINC001274121529 851952629 /nfs/dbraw/zinc/95/26/29/851952629.db2.gz OEVRJKGMKJEIIS-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1cnc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccc[nH]2)cn1 ZINC001274121529 851952639 /nfs/dbraw/zinc/95/26/39/851952639.db2.gz OEVRJKGMKJEIIS-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3ccc4cccccc3-4)C2)OCC1=O ZINC001274177979 852007140 /nfs/dbraw/zinc/00/71/40/852007140.db2.gz MOWBLKXQUDLMLX-UHFFFAOYSA-N 1 2 320.392 1.838 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1cnc(OC)cn1 ZINC001274233909 852081390 /nfs/dbraw/zinc/08/13/90/852081390.db2.gz SRGOREFSFWOKOK-KRWDZBQOSA-N 1 2 314.389 1.075 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1cnc(OC)cn1 ZINC001274233909 852081399 /nfs/dbraw/zinc/08/13/99/852081399.db2.gz SRGOREFSFWOKOK-KRWDZBQOSA-N 1 2 314.389 1.075 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1cn[nH]c1CC ZINC001274381919 852216085 /nfs/dbraw/zinc/21/60/85/852216085.db2.gz VGIXLTRKZBYFMA-KRWDZBQOSA-N 1 2 300.406 1.562 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1cn[nH]c1CC ZINC001274381919 852216092 /nfs/dbraw/zinc/21/60/92/852216092.db2.gz VGIXLTRKZBYFMA-KRWDZBQOSA-N 1 2 300.406 1.562 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)o2)CC1(C)C ZINC001274417523 852247490 /nfs/dbraw/zinc/24/74/90/852247490.db2.gz WKIFSNPFDZWCCL-AWEZNQCLSA-N 1 2 321.421 1.902 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)o2)CC1(C)C ZINC001274417523 852247498 /nfs/dbraw/zinc/24/74/98/852247498.db2.gz WKIFSNPFDZWCCL-AWEZNQCLSA-N 1 2 321.421 1.902 20 30 DDEDLO C=C[C@@](C)(O)C[NH+]1CC2(C1)CN(CC1CCCC1)C(=O)CO2 ZINC001274621626 852453051 /nfs/dbraw/zinc/45/30/51/852453051.db2.gz WATQZQJZGQKBHQ-MRXNPFEDSA-N 1 2 308.422 1.027 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(CC)no2)[C@@H](C)C1 ZINC001274623922 852457618 /nfs/dbraw/zinc/45/76/18/852457618.db2.gz NZQLKUTVEQOVMZ-UONOGXRCSA-N 1 2 318.421 1.905 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(CC)no2)[C@@H](C)C1 ZINC001274623922 852457623 /nfs/dbraw/zinc/45/76/23/852457623.db2.gz NZQLKUTVEQOVMZ-UONOGXRCSA-N 1 2 318.421 1.905 20 30 DDEDLO N#CCCCN1C(=O)C[C@@]2(CCCN(c3cccc[nH+]3)C2)C1=O ZINC001274740422 852570231 /nfs/dbraw/zinc/57/02/31/852570231.db2.gz WVIVIYRWJAQWIY-QGZVFWFLSA-N 1 2 312.373 1.731 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H](CCC)OCC)CC2)C1 ZINC001274868135 852657652 /nfs/dbraw/zinc/65/76/52/852657652.db2.gz USNFTPBZOSFJQD-MRXNPFEDSA-N 1 2 322.449 1.518 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H](CCC)OCC)CC2)C1 ZINC001274868135 852657657 /nfs/dbraw/zinc/65/76/57/852657657.db2.gz USNFTPBZOSFJQD-MRXNPFEDSA-N 1 2 322.449 1.518 20 30 DDEDLO N#Cc1cncc(C[N@H+]2C[C@@H]3[C@H](C2)OCCN3Cc2ccc[nH]2)c1 ZINC001275027095 852752543 /nfs/dbraw/zinc/75/25/43/852752543.db2.gz FPABOSOXLQJWJC-MSOLQXFVSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2C[C@@H]3[C@H](C2)OCCN3Cc2ccc[nH]2)c1 ZINC001275027095 852752547 /nfs/dbraw/zinc/75/25/47/852752547.db2.gz FPABOSOXLQJWJC-MSOLQXFVSA-N 1 2 323.400 1.367 20 30 DDEDLO C[N@@H+]1CCO[C@]2(CCCN(C(=O)c3ccc(O)c(C#N)c3)C2)C1 ZINC001275708490 853605287 /nfs/dbraw/zinc/60/52/87/853605287.db2.gz YTUSFKSBRHGJOY-QGZVFWFLSA-N 1 2 315.373 1.201 20 30 DDEDLO C[N@H+]1CCO[C@]2(CCCN(C(=O)c3ccc(O)c(C#N)c3)C2)C1 ZINC001275708490 853605292 /nfs/dbraw/zinc/60/52/92/853605292.db2.gz YTUSFKSBRHGJOY-QGZVFWFLSA-N 1 2 315.373 1.201 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)[N@H+](C)CC(=O)Nc1nccs1 ZINC001275820213 853813338 /nfs/dbraw/zinc/81/33/38/853813338.db2.gz ZREGASASJMKKLD-LBPRGKRZSA-N 1 2 322.434 1.322 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)[N@@H+](C)CC(=O)Nc1nccs1 ZINC001275820213 853813344 /nfs/dbraw/zinc/81/33/44/853813344.db2.gz ZREGASASJMKKLD-LBPRGKRZSA-N 1 2 322.434 1.322 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2[C@H](C1)OCCN2Cc1cn[nH]c1 ZINC001275965690 854030360 /nfs/dbraw/zinc/03/03/60/854030360.db2.gz MSEUMJDFGYENOU-MSOLQXFVSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2[C@H](C1)OCCN2Cc1cn[nH]c1 ZINC001275965690 854030362 /nfs/dbraw/zinc/03/03/62/854030362.db2.gz MSEUMJDFGYENOU-MSOLQXFVSA-N 1 2 323.400 1.367 20 30 DDEDLO Cc1ccncc1CN1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001276197382 854943030 /nfs/dbraw/zinc/94/30/30/854943030.db2.gz KDXVCCQPVKRTIR-UHFFFAOYSA-N 1 2 321.428 1.918 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C(C)(C)C(F)F)CO2 ZINC001327409255 855335181 /nfs/dbraw/zinc/33/51/81/855335181.db2.gz WLLRCVCTPKJFHI-NSHDSACASA-N 1 2 302.365 1.813 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)C[C@H]2C)n1 ZINC001328238306 862797994 /nfs/dbraw/zinc/79/79/94/862797994.db2.gz DRBUKSYBQINYBH-TZMCWYRMSA-N 1 2 304.394 1.507 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)C[C@H]2C)n1 ZINC001328238306 862798000 /nfs/dbraw/zinc/79/80/00/862798000.db2.gz DRBUKSYBQINYBH-TZMCWYRMSA-N 1 2 304.394 1.507 20 30 DDEDLO CC(C)n1cc(C[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)nn1 ZINC001072552335 857436237 /nfs/dbraw/zinc/43/62/37/857436237.db2.gz OBIUULFNOCAUCR-ZDUSSCGKSA-N 1 2 316.409 1.053 20 30 DDEDLO CC(C)n1cc(C[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)nn1 ZINC001072552335 857436244 /nfs/dbraw/zinc/43/62/44/857436244.db2.gz OBIUULFNOCAUCR-ZDUSSCGKSA-N 1 2 316.409 1.053 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001072563882 857454919 /nfs/dbraw/zinc/45/49/19/857454919.db2.gz PJIWMSYCWFIKCN-ZFWWWQNUSA-N 1 2 316.405 1.109 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC3(C2)CC[N@H+](Cc2ccon2)C3)c1 ZINC001072592515 857488218 /nfs/dbraw/zinc/48/82/18/857488218.db2.gz HOYUHVMJSIWCFT-UHFFFAOYSA-N 1 2 311.345 1.222 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC3(C2)CC[N@@H+](Cc2ccon2)C3)c1 ZINC001072592515 857488222 /nfs/dbraw/zinc/48/82/22/857488222.db2.gz HOYUHVMJSIWCFT-UHFFFAOYSA-N 1 2 311.345 1.222 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@@H+](Cc2nccn2C)C3)C1 ZINC001072964440 857943417 /nfs/dbraw/zinc/94/34/17/857943417.db2.gz XEGMTHRKRADSDS-UHFFFAOYSA-N 1 2 314.433 1.811 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@H+](Cc2nccn2C)C3)C1 ZINC001072964440 857943421 /nfs/dbraw/zinc/94/34/21/857943421.db2.gz XEGMTHRKRADSDS-UHFFFAOYSA-N 1 2 314.433 1.811 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C(C)(C)C1 ZINC001073517902 858403995 /nfs/dbraw/zinc/40/39/95/858403995.db2.gz BUMMMEXMOYFLMM-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2coc(C)n2)C1 ZINC001073578243 858457695 /nfs/dbraw/zinc/45/76/95/858457695.db2.gz HJODDKLIWKHWPX-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2coc(C)n2)C1 ZINC001073578243 858457702 /nfs/dbraw/zinc/45/77/02/858457702.db2.gz HJODDKLIWKHWPX-LBPRGKRZSA-N 1 2 313.785 1.556 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2C[N@@H+]3CCCC[C@H]3CO2)n1CC ZINC001121803829 858600199 /nfs/dbraw/zinc/60/01/99/858600199.db2.gz MPHUDFCSZJFUBK-UONOGXRCSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2C[N@H+]3CCCC[C@H]3CO2)n1CC ZINC001121803829 858600201 /nfs/dbraw/zinc/60/02/01/858600201.db2.gz MPHUDFCSZJFUBK-UONOGXRCSA-N 1 2 305.426 1.846 20 30 DDEDLO C[C@H]([NH2+]CCNC(=O)CSCC#N)c1ncc(C(C)(C)C)o1 ZINC001123799418 859420263 /nfs/dbraw/zinc/42/02/63/859420263.db2.gz QVYXMHHYPINAMF-NSHDSACASA-N 1 2 324.450 1.996 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(Br)cnc2OC)CC1 ZINC001138523328 860124205 /nfs/dbraw/zinc/12/42/05/860124205.db2.gz PMUSVGNTTGOZJU-UHFFFAOYSA-N 1 2 324.222 1.604 20 30 DDEDLO C=C(C)CC[NH2+]CCN(CCO)C(=O)C(F)C(F)(F)F ZINC001326033333 861056703 /nfs/dbraw/zinc/05/67/03/861056703.db2.gz QOSYWVRLBBSLCJ-JTQLQIEISA-N 1 2 300.296 1.264 20 30 DDEDLO C=C(C)CC[NH2+]CCN(CCO)C(=O)[C@H](F)C(F)(F)F ZINC001326033333 861056718 /nfs/dbraw/zinc/05/67/18/861056718.db2.gz QOSYWVRLBBSLCJ-JTQLQIEISA-N 1 2 300.296 1.264 20 30 DDEDLO O=C(CC#Cc1ccccc1)NCC[NH2+]Cc1nncs1 ZINC001151958219 863080246 /nfs/dbraw/zinc/08/02/46/863080246.db2.gz DCOBIZHDWBMTJS-UHFFFAOYSA-N 1 2 300.387 1.186 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1nccn1C ZINC001328721571 863175372 /nfs/dbraw/zinc/17/53/72/863175372.db2.gz VSUCXFVJGBGSBO-PBHICJAKSA-N 1 2 320.437 1.170 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1nccn1C ZINC001328721571 863175374 /nfs/dbraw/zinc/17/53/74/863175374.db2.gz VSUCXFVJGBGSBO-PBHICJAKSA-N 1 2 320.437 1.170 20 30 DDEDLO CC[N@H+](Cc1nocc1C)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152879334 863584454 /nfs/dbraw/zinc/58/44/54/863584454.db2.gz YRVVXHMGOLWYJB-GFCCVEGCSA-N 1 2 315.377 1.823 20 30 DDEDLO CC[N@@H+](Cc1nocc1C)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152879334 863584463 /nfs/dbraw/zinc/58/44/63/863584463.db2.gz YRVVXHMGOLWYJB-GFCCVEGCSA-N 1 2 315.377 1.823 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1CC ZINC001153012163 863650864 /nfs/dbraw/zinc/65/08/64/863650864.db2.gz DYWIUPCEEUMELG-PBHICJAKSA-N 1 2 322.453 1.557 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1CC ZINC001153012163 863650869 /nfs/dbraw/zinc/65/08/69/863650869.db2.gz DYWIUPCEEUMELG-PBHICJAKSA-N 1 2 322.453 1.557 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001153149022 863732898 /nfs/dbraw/zinc/73/28/98/863732898.db2.gz QIQXOWFDNHMXBP-GFCCVEGCSA-N 1 2 307.394 1.350 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccs1 ZINC001153326749 863827064 /nfs/dbraw/zinc/82/70/64/863827064.db2.gz FDVJCIJMYKDNNX-CHWSQXEVSA-N 1 2 309.435 1.508 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccs1 ZINC001153326749 863827071 /nfs/dbraw/zinc/82/70/71/863827071.db2.gz FDVJCIJMYKDNNX-CHWSQXEVSA-N 1 2 309.435 1.508 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C(C)(C)C(C)C)C1 ZINC001329998806 864008077 /nfs/dbraw/zinc/00/80/77/864008077.db2.gz HMNWAPZAJWLLRF-ZDUSSCGKSA-N 1 2 309.454 1.407 20 30 DDEDLO Cc1nc(N2CC([NH+]3C[C@@H](C)O[C@H](C)C3)C2)ccc1CC#N ZINC001158726298 864861900 /nfs/dbraw/zinc/86/19/00/864861900.db2.gz DYITUAYNWRLMJK-CHWSQXEVSA-N 1 2 300.406 1.754 20 30 DDEDLO CCc1[nH]c(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)cc1C(=O)OC ZINC001332021279 865509533 /nfs/dbraw/zinc/50/95/33/865509533.db2.gz JLLFEISOWXHKHT-CHWSQXEVSA-N 1 2 318.421 1.782 20 30 DDEDLO N#CCN1CC=C(CCNC(=O)[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC001160529186 865914786 /nfs/dbraw/zinc/91/47/86/865914786.db2.gz FHXIRBVPGQGNOO-OAHLLOKOSA-N 1 2 313.405 1.107 20 30 DDEDLO CCS(=O)(=O)c1cnc(CNc2cc(CC#N)cc[nH+]2)nc1 ZINC001160553308 865934228 /nfs/dbraw/zinc/93/42/28/865934228.db2.gz CRBGIDCVHGXSJX-UHFFFAOYSA-N 1 2 317.374 1.343 20 30 DDEDLO C=CCC[N@@H+](C)C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001332632683 865998716 /nfs/dbraw/zinc/99/87/16/865998716.db2.gz OLFFXSSAZNNYBL-NXEZZACHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=CCC[N@H+](C)C[C@@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001332632683 865998723 /nfs/dbraw/zinc/99/87/23/865998723.db2.gz OLFFXSSAZNNYBL-NXEZZACHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=CCC[N@@H+](C)C[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001332632683 865998730 /nfs/dbraw/zinc/99/87/30/865998730.db2.gz OLFFXSSAZNNYBL-NXEZZACHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=CCC[N@H+](C)C[C@@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001332632683 865998733 /nfs/dbraw/zinc/99/87/33/865998733.db2.gz OLFFXSSAZNNYBL-NXEZZACHSA-N 1 2 300.296 1.214 20 30 DDEDLO CCCCS(=O)(=O)Cc1noc([C@@H]([NH3+])CCCCC#N)n1 ZINC001332944431 866279393 /nfs/dbraw/zinc/27/93/93/866279393.db2.gz FNXGMZKOAYMNCK-NSHDSACASA-N 1 2 314.411 1.868 20 30 DDEDLO CN(CCO)C(=O)[C@@H]1CCCC[N@@H+]1Cc1ccccc1C#N ZINC001161108785 866375903 /nfs/dbraw/zinc/37/59/03/866375903.db2.gz HPJQSPFVHYNXFZ-INIZCTEOSA-N 1 2 301.390 1.363 20 30 DDEDLO CN(CCO)C(=O)[C@@H]1CCCC[N@H+]1Cc1ccccc1C#N ZINC001161108785 866375912 /nfs/dbraw/zinc/37/59/12/866375912.db2.gz HPJQSPFVHYNXFZ-INIZCTEOSA-N 1 2 301.390 1.363 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)/C=C\c1ccco1 ZINC001323179693 866406718 /nfs/dbraw/zinc/40/67/18/866406718.db2.gz VEZOGFCEAFBYNH-KFBXHMBNSA-N 1 2 317.389 1.174 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)/C=C\c1ccco1 ZINC001323179693 866406723 /nfs/dbraw/zinc/40/67/23/866406723.db2.gz VEZOGFCEAFBYNH-KFBXHMBNSA-N 1 2 317.389 1.174 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1CC[C@@H]1CNC(=O)CSCC#N ZINC001323181654 866408719 /nfs/dbraw/zinc/40/87/19/866408719.db2.gz LTMDEIFLILTUMS-GFCCVEGCSA-N 1 2 322.434 1.493 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1CC[C@@H]1CNC(=O)CSCC#N ZINC001323181654 866408727 /nfs/dbraw/zinc/40/87/27/866408727.db2.gz LTMDEIFLILTUMS-GFCCVEGCSA-N 1 2 322.434 1.493 20 30 DDEDLO CN(CC[N@H+](C)CC(=O)NCC#N)C(=O)CC1CCCCCC1 ZINC001320054366 866449144 /nfs/dbraw/zinc/44/91/44/866449144.db2.gz GQUKXKKYIKNVEF-UHFFFAOYSA-N 1 2 322.453 1.377 20 30 DDEDLO CN(CC[N@@H+](C)CC(=O)NCC#N)C(=O)CC1CCCCCC1 ZINC001320054366 866449150 /nfs/dbraw/zinc/44/91/50/866449150.db2.gz GQUKXKKYIKNVEF-UHFFFAOYSA-N 1 2 322.453 1.377 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc2n(c1)CCCC2 ZINC001323372460 866572092 /nfs/dbraw/zinc/57/20/92/866572092.db2.gz XPIBLRKOZRGFJG-QGZVFWFLSA-N 1 2 315.417 1.278 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc2n(c1)CCCC2 ZINC001323372460 866572112 /nfs/dbraw/zinc/57/21/12/866572112.db2.gz XPIBLRKOZRGFJG-QGZVFWFLSA-N 1 2 315.417 1.278 20 30 DDEDLO C=C(C)CCC(=O)NCC1([NH2+]Cc2noc3c2COCC3)CC1 ZINC001323458180 866624127 /nfs/dbraw/zinc/62/41/27/866624127.db2.gz VOUUOWIWOFWRID-UHFFFAOYSA-N 1 2 319.405 1.842 20 30 DDEDLO COC(OC)c1c(/C=N/O)ccnc1NCCCn1cc[nH+]c1 ZINC001161682813 866884168 /nfs/dbraw/zinc/88/41/68/866884168.db2.gz ZHWDPRMRTUUHTN-VXLYETTFSA-N 1 2 319.365 1.880 20 30 DDEDLO C[C@@H]1C[NH+](Cc2cnc3ccc(C#N)cn23)C[C@@H](C)N1CC#N ZINC001333718561 866960274 /nfs/dbraw/zinc/96/02/74/866960274.db2.gz IUALPNIICHDHEE-ZIAGYGMSSA-N 1 2 308.389 1.624 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNC(F)(F)c1cc(Cl)nc(C#N)c1 ZINC001161950913 867132838 /nfs/dbraw/zinc/13/28/38/867132838.db2.gz WUQRTHMHTHOXJT-SECBINFHSA-N 1 2 318.711 1.136 20 30 DDEDLO CO[C@H](C)c1nc(C[NH2+]C/C=C\CNC(=O)[C@H](C)C#N)cs1 ZINC001320998902 867191996 /nfs/dbraw/zinc/19/19/96/867191996.db2.gz QSCWDHGIRDDJFA-XLMCQVRKSA-N 1 2 322.434 1.772 20 30 DDEDLO CC1(CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CCN(CC#N)CC1 ZINC001324869168 867589479 /nfs/dbraw/zinc/58/94/79/867589479.db2.gz OGJCSWWFEGPXPO-CHWSQXEVSA-N 1 2 301.394 1.255 20 30 DDEDLO C/C(=N\C#N)N(C)Cc1ccc(NC[C@@H]2C[N@H+](C)CCO2)nc1 ZINC001162680051 867721538 /nfs/dbraw/zinc/72/15/38/867721538.db2.gz DDMBHCLWNXUCKO-OOWUWCJESA-N 1 2 316.409 1.155 20 30 DDEDLO C/C(=N\C#N)N(C)Cc1ccc(NC[C@@H]2C[N@@H+](C)CCO2)nc1 ZINC001162680051 867721543 /nfs/dbraw/zinc/72/15/43/867721543.db2.gz DDMBHCLWNXUCKO-OOWUWCJESA-N 1 2 316.409 1.155 20 30 DDEDLO C[C@H]1CCc2n[nH]c(C(=O)NC3(C#N)CC[NH+](C)CC3)c2C1 ZINC001334735442 867801282 /nfs/dbraw/zinc/80/12/82/867801282.db2.gz VLILCAOFWAWIIV-NSHDSACASA-N 1 2 301.394 1.252 20 30 DDEDLO C=CCOCC(=O)NCC1(O)C[NH+](Cc2ccc(CC)cc2)C1 ZINC001325189876 867851755 /nfs/dbraw/zinc/85/17/55/867851755.db2.gz NHBMUOJPNOZVPG-UHFFFAOYSA-N 1 2 318.417 1.115 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CCc2csc(C)n2)C1 ZINC001325285049 867915372 /nfs/dbraw/zinc/91/53/72/867915372.db2.gz LGZCFJKVXSPTQV-UHFFFAOYSA-N 1 2 309.435 1.123 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncc(Cl)cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001226131358 882221206 /nfs/dbraw/zinc/22/12/06/882221206.db2.gz HIWNZMNLGPKDFN-ADAFDVPTSA-N 1 2 311.725 1.634 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncc(Cl)cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001226131358 882221217 /nfs/dbraw/zinc/22/12/17/882221217.db2.gz HIWNZMNLGPKDFN-ADAFDVPTSA-N 1 2 311.725 1.634 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001163861134 868762681 /nfs/dbraw/zinc/76/26/81/868762681.db2.gz VKOZAQLCMZAIBM-UHFFFAOYSA-N 1 2 324.425 1.457 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2ccc(C#N)c(C(F)(F)F)n2)C[NH2+]1 ZINC001164302604 869105518 /nfs/dbraw/zinc/10/55/18/869105518.db2.gz ZCGANSDWNKPLPJ-IUCAKERBSA-N 1 2 314.267 1.287 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CN(C)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001337260584 869406562 /nfs/dbraw/zinc/40/65/62/869406562.db2.gz SIDLXRYJICIUAG-UONOGXRCSA-N 1 2 318.421 1.375 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C[C@H](NC(=O)OC(C)(C)C)CC1=O ZINC001337592374 869555311 /nfs/dbraw/zinc/55/53/11/869555311.db2.gz WPIMCSSMIZNTMW-CQSZACIVSA-N 1 2 321.421 1.415 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C[C@H](NC(=O)OC(C)(C)C)CC1=O ZINC001337592374 869555319 /nfs/dbraw/zinc/55/53/19/869555319.db2.gz WPIMCSSMIZNTMW-CQSZACIVSA-N 1 2 321.421 1.415 20 30 DDEDLO N#Cc1c(F)ccnc1N1CCc2onc(Cn3cc[nH+]c3)c2C1 ZINC001165546764 869638135 /nfs/dbraw/zinc/63/81/35/869638135.db2.gz GPHIASKGVZDUAL-UHFFFAOYSA-N 1 2 324.319 1.888 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(c2cc(C#N)cc(Cl)n2)CC1 ZINC001337870316 869664839 /nfs/dbraw/zinc/66/48/39/869664839.db2.gz YWTPQKIFXXPHHA-FQEVSTJZSA-N 1 2 312.826 1.107 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@@H](C)N(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001338109212 869809623 /nfs/dbraw/zinc/80/96/23/869809623.db2.gz SZNAWSUHONMHMO-HIFRSBDPSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@@H](C)N(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001338109212 869809629 /nfs/dbraw/zinc/80/96/29/869809629.db2.gz SZNAWSUHONMHMO-HIFRSBDPSA-N 1 2 318.421 1.804 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCCNC(=O)c2[nH]ncc2F)cc1F ZINC001166121603 869844793 /nfs/dbraw/zinc/84/47/93/869844793.db2.gz UNZDIOXDJDXWIE-UHFFFAOYSA-N 1 2 319.315 1.469 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCC(F)(F)C3)n2C)CC1 ZINC001338503794 870020095 /nfs/dbraw/zinc/02/00/95/870020095.db2.gz HINDIIFNVUUZOV-GFCCVEGCSA-N 1 2 309.364 1.473 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CC[N@@H+](Cc2cnnn2C)C1 ZINC001316979043 870050085 /nfs/dbraw/zinc/05/00/85/870050085.db2.gz HYVLPYIUFXYIAY-AWEZNQCLSA-N 1 2 303.410 1.274 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CC[N@H+](Cc2cnnn2C)C1 ZINC001316979043 870050093 /nfs/dbraw/zinc/05/00/93/870050093.db2.gz HYVLPYIUFXYIAY-AWEZNQCLSA-N 1 2 303.410 1.274 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001297780558 870177542 /nfs/dbraw/zinc/17/75/42/870177542.db2.gz AOTIHXWFQOOECK-CYBMUJFWSA-N 1 2 304.394 1.014 20 30 DDEDLO COC(=O)C[C@H]1CN(c2[nH+]ccc3ccc(C#N)cc32)CCO1 ZINC001166833665 870294540 /nfs/dbraw/zinc/29/45/40/870294540.db2.gz UMQLTLSJBWVQPM-AWEZNQCLSA-N 1 2 311.341 1.875 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001381640484 882409320 /nfs/dbraw/zinc/40/93/20/882409320.db2.gz SGWQEFYKMONIDM-WCQYABFASA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001381640484 882409325 /nfs/dbraw/zinc/40/93/25/882409325.db2.gz SGWQEFYKMONIDM-WCQYABFASA-N 1 2 317.861 1.726 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@@H+](C)Cc1nc(C)c(C)s1 ZINC001317445657 870850081 /nfs/dbraw/zinc/85/00/81/870850081.db2.gz ZKJUIECLHINQKI-HNNXBMFYSA-N 1 2 311.451 1.635 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@H+](C)Cc1nc(C)c(C)s1 ZINC001317445657 870850090 /nfs/dbraw/zinc/85/00/90/870850090.db2.gz ZKJUIECLHINQKI-HNNXBMFYSA-N 1 2 311.451 1.635 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001299080304 870883157 /nfs/dbraw/zinc/88/31/57/870883157.db2.gz LVBQGNUQYQEDHJ-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CCC[N@@H+]1Cc1nc(C)c(C)o1 ZINC001317505364 870940858 /nfs/dbraw/zinc/94/08/58/870940858.db2.gz OOGGSQYRMOMIFO-LSDHHAIUSA-N 1 2 319.405 1.410 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CCC[N@H+]1Cc1nc(C)c(C)o1 ZINC001317505364 870940866 /nfs/dbraw/zinc/94/08/66/870940866.db2.gz OOGGSQYRMOMIFO-LSDHHAIUSA-N 1 2 319.405 1.410 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(C)(C)CC1 ZINC001340251650 870984844 /nfs/dbraw/zinc/98/48/44/870984844.db2.gz OJQIRIPYFYYEHS-KGLIPLIRSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(C)(C)CC1 ZINC001340251650 870984859 /nfs/dbraw/zinc/98/48/59/870984859.db2.gz OJQIRIPYFYYEHS-KGLIPLIRSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@H](C(C)C)C1 ZINC001340258006 870992702 /nfs/dbraw/zinc/99/27/02/870992702.db2.gz DMAYQFMXXVPTPJ-KKUMJFAQSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@H](C(C)C)C1 ZINC001340258006 870992711 /nfs/dbraw/zinc/99/27/11/870992711.db2.gz DMAYQFMXXVPTPJ-KKUMJFAQSA-N 1 2 319.453 1.684 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2[C@H](C1)OCCN2c1ncccn1 ZINC001204367862 871079954 /nfs/dbraw/zinc/07/99/54/871079954.db2.gz QJMOMLCWQLDXQM-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2[C@H](C1)OCCN2c1ncccn1 ZINC001204367862 871079961 /nfs/dbraw/zinc/07/99/61/871079961.db2.gz QJMOMLCWQLDXQM-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1CC[C@@](F)(C#N)C1 ZINC001340422394 871098965 /nfs/dbraw/zinc/09/89/65/871098965.db2.gz SPKXFNFXDRYEJQ-MRXNPFEDSA-N 1 2 318.400 1.892 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CC[NH2+][C@@H](C)c1nc(COC)no1 ZINC001317617924 871216311 /nfs/dbraw/zinc/21/63/11/871216311.db2.gz ULHHSNLUYLMQOH-LBPRGKRZSA-N 1 2 324.425 1.927 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1C[C@H](C)[C@H](C)C1 ZINC001340732403 871316780 /nfs/dbraw/zinc/31/67/80/871316780.db2.gz GQIJULJWGZKMJP-BETUJISGSA-N 1 2 300.410 1.881 20 30 DDEDLO C[N@H+](CCn1cnnc1)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC001308052249 871465589 /nfs/dbraw/zinc/46/55/89/871465589.db2.gz OKCKGICZPAMIND-UHFFFAOYSA-N 1 2 309.333 1.332 20 30 DDEDLO C[N@@H+](CCn1cnnc1)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC001308052249 871465593 /nfs/dbraw/zinc/46/55/93/871465593.db2.gz OKCKGICZPAMIND-UHFFFAOYSA-N 1 2 309.333 1.332 20 30 DDEDLO Cc1ccc([C@@H](C)C(=O)N(C)CC[NH+]2CCN(CC#N)CC2)o1 ZINC001317823209 871548403 /nfs/dbraw/zinc/54/84/03/871548403.db2.gz SUTSAYMSZWOELD-OAHLLOKOSA-N 1 2 318.421 1.291 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001317849386 871590773 /nfs/dbraw/zinc/59/07/73/871590773.db2.gz UPOQADILYHFTTO-HUUCEWRRSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001317849386 871590787 /nfs/dbraw/zinc/59/07/87/871590787.db2.gz UPOQADILYHFTTO-HUUCEWRRSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)s2)CC1 ZINC001226598815 882531441 /nfs/dbraw/zinc/53/14/41/882531441.db2.gz LHULSKWVAOIHFK-NSHDSACASA-N 1 2 324.450 1.691 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001317968639 871658777 /nfs/dbraw/zinc/65/87/77/871658777.db2.gz CJGAIMWBUAKSOT-ZBFHGGJFSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001317968639 871658782 /nfs/dbraw/zinc/65/87/82/871658782.db2.gz CJGAIMWBUAKSOT-ZBFHGGJFSA-N 1 2 319.449 1.285 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1C[NH+](CCOc2ccccc2C)C1 ZINC001318018491 871690660 /nfs/dbraw/zinc/69/06/60/871690660.db2.gz PNTUNHOZBHFGKU-SFHVURJKSA-N 1 2 318.417 1.501 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CC(CNC(=O)c2ccc(C)s2)C1 ZINC001318097296 871744161 /nfs/dbraw/zinc/74/41/61/871744161.db2.gz UBSBDRNDHCGQFD-GFCCVEGCSA-N 1 2 321.446 1.409 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1C[NH+](CC(=O)N(C)CC2CC2)C1 ZINC001318140974 871775841 /nfs/dbraw/zinc/77/58/41/871775841.db2.gz XPIQBILSBRJIHX-UHFFFAOYSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H]1CCN(CC=C)C1=O ZINC001318191180 871808118 /nfs/dbraw/zinc/80/81/18/871808118.db2.gz YBZPEASDRZKCGU-KKUMJFAQSA-N 1 2 303.406 1.025 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H]1CCN(CC=C)C1=O ZINC001318191180 871808139 /nfs/dbraw/zinc/80/81/39/871808139.db2.gz YBZPEASDRZKCGU-KKUMJFAQSA-N 1 2 303.406 1.025 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@H]3C[N@H+](C(C)C)CCO3)n2C)C1 ZINC001341798699 871830426 /nfs/dbraw/zinc/83/04/26/871830426.db2.gz UNCFOIXTVQKKDR-HUUCEWRRSA-N 1 2 317.437 1.446 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@H]3C[N@@H+](C(C)C)CCO3)n2C)C1 ZINC001341798699 871830442 /nfs/dbraw/zinc/83/04/42/871830442.db2.gz UNCFOIXTVQKKDR-HUUCEWRRSA-N 1 2 317.437 1.446 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)c(F)c2)C1 ZINC001318329328 871933353 /nfs/dbraw/zinc/93/33/53/871933353.db2.gz ILUXWUKDMWSMGM-CXAGYDPISA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)c(F)c2)C1 ZINC001318329328 871933362 /nfs/dbraw/zinc/93/33/62/871933362.db2.gz ILUXWUKDMWSMGM-CXAGYDPISA-N 1 2 324.371 1.982 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2conc2C2CC2)C1 ZINC001318356086 871956546 /nfs/dbraw/zinc/95/65/46/871956546.db2.gz RBTMQKYVHHDIEY-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2conc2C2CC2)C1 ZINC001318356086 871956554 /nfs/dbraw/zinc/95/65/54/871956554.db2.gz RBTMQKYVHHDIEY-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2c(C)cccc2F)C1 ZINC001318376484 871966912 /nfs/dbraw/zinc/96/69/12/871966912.db2.gz JGUADZCWOUOLFX-CYBMUJFWSA-N 1 2 319.380 1.240 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)cccc2F)C1 ZINC001318376484 871966929 /nfs/dbraw/zinc/96/69/29/871966929.db2.gz JGUADZCWOUOLFX-CYBMUJFWSA-N 1 2 319.380 1.240 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cc3n(n2)CCC3)C[C@H]1C ZINC001206536903 872421870 /nfs/dbraw/zinc/42/18/70/872421870.db2.gz DLRHATPIASQJAG-CZUORRHYSA-N 1 2 302.422 1.732 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cc3n(n2)CCC3)C[C@H]1C ZINC001206536903 872421881 /nfs/dbraw/zinc/42/18/81/872421881.db2.gz DLRHATPIASQJAG-CZUORRHYSA-N 1 2 302.422 1.732 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001316948911 872446528 /nfs/dbraw/zinc/44/65/28/872446528.db2.gz ZITHKTYQQNJKDZ-HNNXBMFYSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001316948911 872446536 /nfs/dbraw/zinc/44/65/36/872446536.db2.gz ZITHKTYQQNJKDZ-HNNXBMFYSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)/C=C(/C)C2CC2)C1 ZINC001319294857 872543912 /nfs/dbraw/zinc/54/39/12/872543912.db2.gz FPVJBOGXJJTGMN-QBOMHBFWSA-N 1 2 308.422 1.362 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)/C=C(/C)C2CC2)C1 ZINC001319294857 872543925 /nfs/dbraw/zinc/54/39/25/872543925.db2.gz FPVJBOGXJJTGMN-QBOMHBFWSA-N 1 2 308.422 1.362 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001319308892 872558971 /nfs/dbraw/zinc/55/89/71/872558971.db2.gz GVDVQORWNWJJLO-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001319308892 872558977 /nfs/dbraw/zinc/55/89/77/872558977.db2.gz GVDVQORWNWJJLO-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C#CC[NH2+]CC(=O)N1CCC[C@H](c2nnc(-c3ccccc3)[nH]2)C1 ZINC001343590687 872680589 /nfs/dbraw/zinc/68/05/89/872680589.db2.gz DHYFDVNISZOBJW-HNNXBMFYSA-N 1 2 323.400 1.401 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@](C)(O)CC)n2CC(C)C)CC1 ZINC001344364148 872986277 /nfs/dbraw/zinc/98/62/77/872986277.db2.gz PWCBVBOZOJJTSA-KRWDZBQOSA-N 1 2 319.453 1.307 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@]2(C)CCCOC2)C1 ZINC001381806206 882707473 /nfs/dbraw/zinc/70/74/73/882707473.db2.gz DHYRCCKIZCAELH-DOMZBBRYSA-N 1 2 300.830 1.992 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@](C)(O)CC)n2CC2CC2)CC1 ZINC001346581011 873814946 /nfs/dbraw/zinc/81/49/46/873814946.db2.gz VDEMLXCRPGUONV-KRWDZBQOSA-N 1 2 317.437 1.061 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2CC)C[C@H]1C ZINC001208508982 874205935 /nfs/dbraw/zinc/20/59/35/874205935.db2.gz NOJGEDQBVCPUTP-UNEWFSDZSA-N 1 2 319.453 1.837 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2CC)C[C@H]1C ZINC001208508982 874205952 /nfs/dbraw/zinc/20/59/52/874205952.db2.gz NOJGEDQBVCPUTP-UNEWFSDZSA-N 1 2 319.453 1.837 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2cccc(SCC#N)c2)CCO1 ZINC001362012588 882821330 /nfs/dbraw/zinc/82/13/30/882821330.db2.gz RGWXKRMUGCCWAB-ZDUSSCGKSA-N 1 2 319.430 1.753 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2cccc(SCC#N)c2)CCO1 ZINC001362012588 882821340 /nfs/dbraw/zinc/82/13/40/882821340.db2.gz RGWXKRMUGCCWAB-ZDUSSCGKSA-N 1 2 319.430 1.753 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)NCC#N)C[C@@H]1NC(=O)C1(C)CCCC1 ZINC001276601251 874538028 /nfs/dbraw/zinc/53/80/28/874538028.db2.gz MLMUWGWTXDJKKV-ZDUSSCGKSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)NCC#N)C[C@@H]1NC(=O)C1(C)CCCC1 ZINC001276601251 874538036 /nfs/dbraw/zinc/53/80/36/874538036.db2.gz MLMUWGWTXDJKKV-ZDUSSCGKSA-N 1 2 320.437 1.033 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+](Cc2nc(C)c(C)o2)CC1 ZINC001227299559 882960537 /nfs/dbraw/zinc/96/05/37/882960537.db2.gz UQYRRQWRNXNHCN-CQSZACIVSA-N 1 2 319.405 1.410 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccn(CC)n2)C[C@H]1C ZINC001211427145 875801531 /nfs/dbraw/zinc/80/15/31/875801531.db2.gz BFLXVJKCHMZDHP-IJEWVQPXSA-N 1 2 320.437 1.431 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccn(CC)n2)C[C@H]1C ZINC001211427145 875801538 /nfs/dbraw/zinc/80/15/38/875801538.db2.gz BFLXVJKCHMZDHP-IJEWVQPXSA-N 1 2 320.437 1.431 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+](Cc2csc(C)n2)CC1 ZINC001227308400 882964599 /nfs/dbraw/zinc/96/45/99/882964599.db2.gz VFZYSJAFXQCVOI-GFCCVEGCSA-N 1 2 321.446 1.570 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)[C@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001350686292 875834386 /nfs/dbraw/zinc/83/43/86/875834386.db2.gz OAPWFIXOEWTHEC-ZDUSSCGKSA-N 1 2 316.405 1.061 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2(C)CCCC2)[C@H](OC)C1 ZINC001213228627 875856861 /nfs/dbraw/zinc/85/68/61/875856861.db2.gz TYVKBDFCQBDTKH-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2(C)CCCC2)[C@H](OC)C1 ZINC001213228627 875856866 /nfs/dbraw/zinc/85/68/66/875856866.db2.gz TYVKBDFCQBDTKH-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CC23CCCC3)[C@H](OC)C1 ZINC001213673530 876019052 /nfs/dbraw/zinc/01/90/52/876019052.db2.gz HCEVRDJLKZOBBF-ARFHVFGLSA-N 1 2 320.433 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CC23CCCC3)[C@H](OC)C1 ZINC001213673530 876019065 /nfs/dbraw/zinc/01/90/65/876019065.db2.gz HCEVRDJLKZOBBF-ARFHVFGLSA-N 1 2 320.433 1.032 20 30 DDEDLO CCC(C)(CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213888051 876091581 /nfs/dbraw/zinc/09/15/81/876091581.db2.gz NCIVRMLYJGZQMW-HUUCEWRRSA-N 1 2 310.438 1.278 20 30 DDEDLO CCC(C)(CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213888051 876091593 /nfs/dbraw/zinc/09/15/93/876091593.db2.gz NCIVRMLYJGZQMW-HUUCEWRRSA-N 1 2 310.438 1.278 20 30 DDEDLO C=CCC[NH2+]C1(CNC(=O)c2cn[nH]c2-c2cnn(C)c2)CC1 ZINC001277217510 883066232 /nfs/dbraw/zinc/06/62/32/883066232.db2.gz LAZFXYRNZXQOEH-UHFFFAOYSA-N 1 2 314.393 1.238 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001352812658 876948168 /nfs/dbraw/zinc/94/81/68/876948168.db2.gz ZNHVLDVZWDKXAA-CYBMUJFWSA-N 1 2 316.405 1.208 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H](C)[C@H]([NH2+][C@@H](C)c2noc(C)n2)C1 ZINC001216935939 877098774 /nfs/dbraw/zinc/09/87/74/877098774.db2.gz GOHPOUYTMSLCRL-MBNYWOFBSA-N 1 2 322.409 1.468 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001353462661 877349341 /nfs/dbraw/zinc/34/93/41/877349341.db2.gz TZEQYCFUJPJDBR-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001353759309 877539519 /nfs/dbraw/zinc/53/95/19/877539519.db2.gz HWIMHBKPVZPIKF-OKILXGFUSA-N 1 2 316.405 1.218 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)CCCc1nc(C)no1 ZINC001379789399 878032526 /nfs/dbraw/zinc/03/25/26/878032526.db2.gz YHMUTVBDBIRTEE-LLVKDONJSA-N 1 2 314.817 1.890 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)CCCc1nc(C)no1 ZINC001379789399 878032540 /nfs/dbraw/zinc/03/25/40/878032540.db2.gz YHMUTVBDBIRTEE-LLVKDONJSA-N 1 2 314.817 1.890 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001354861416 878281557 /nfs/dbraw/zinc/28/15/57/878281557.db2.gz OFAIRRVTUFGCAQ-CQSZACIVSA-N 1 2 318.421 1.450 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1O ZINC001219702434 878463958 /nfs/dbraw/zinc/46/39/58/878463958.db2.gz MYARNXZWZAQRCK-ZJRFNNFUSA-N 1 2 307.221 1.679 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1O ZINC001219702434 878463960 /nfs/dbraw/zinc/46/39/60/878463960.db2.gz MYARNXZWZAQRCK-ZJRFNNFUSA-N 1 2 307.221 1.679 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001219716009 878475529 /nfs/dbraw/zinc/47/55/29/878475529.db2.gz WFXBZHIGNLZPGX-CABCVRRESA-N 1 2 307.394 1.309 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001219716009 878475535 /nfs/dbraw/zinc/47/55/35/878475535.db2.gz WFXBZHIGNLZPGX-CABCVRRESA-N 1 2 307.394 1.309 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)C2CCCC2)[C@@H](O)C1 ZINC001219814061 878527055 /nfs/dbraw/zinc/52/70/55/878527055.db2.gz QTBNCVWAZTXNLU-CVEARBPZSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)C2CCCC2)[C@@H](O)C1 ZINC001219814061 878527068 /nfs/dbraw/zinc/52/70/68/878527068.db2.gz QTBNCVWAZTXNLU-CVEARBPZSA-N 1 2 322.449 1.014 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220205728 878822102 /nfs/dbraw/zinc/82/21/02/878822102.db2.gz KLWPKCDIQDXIQY-IMJJTQAJSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220205728 878822114 /nfs/dbraw/zinc/82/21/14/878822114.db2.gz KLWPKCDIQDXIQY-IMJJTQAJSA-N 1 2 318.421 1.049 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001356324267 878995615 /nfs/dbraw/zinc/99/56/15/878995615.db2.gz XDKNNODVCWBFBY-CQSZACIVSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](C/C=C\Cl)C[C@@H]2O)CCCCC1 ZINC001221129960 879520934 /nfs/dbraw/zinc/52/09/34/879520934.db2.gz UVGXPCIAKYLJDC-FVGUCBIESA-N 1 2 324.852 1.874 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](C/C=C\Cl)C[C@@H]2O)CCCCC1 ZINC001221129960 879520944 /nfs/dbraw/zinc/52/09/44/879520944.db2.gz UVGXPCIAKYLJDC-FVGUCBIESA-N 1 2 324.852 1.874 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)C(C)(C)C)[C@@H]2C1 ZINC001221645309 879984708 /nfs/dbraw/zinc/98/47/08/879984708.db2.gz GUZQTLVHRWKRER-RRFJBIMHSA-N 1 2 321.465 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)C(C)(C)C)[C@@H]2C1 ZINC001221645309 879984721 /nfs/dbraw/zinc/98/47/21/879984721.db2.gz GUZQTLVHRWKRER-RRFJBIMHSA-N 1 2 321.465 1.504 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C(C)(CC)CC)[C@@H]2C1 ZINC001222029542 880191492 /nfs/dbraw/zinc/19/14/92/880191492.db2.gz AGYMYINGCUUBFQ-HUUCEWRRSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(CC)CC)[C@@H]2C1 ZINC001222029542 880191497 /nfs/dbraw/zinc/19/14/97/880191497.db2.gz AGYMYINGCUUBFQ-HUUCEWRRSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@H+](Cc3cscn3)[C@H]2C1 ZINC001222432366 880464947 /nfs/dbraw/zinc/46/49/47/880464947.db2.gz LTVLDXIZFSVBHX-HIFRSBDPSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cscn3)[C@H]2C1 ZINC001222432366 880464949 /nfs/dbraw/zinc/46/49/49/880464949.db2.gz LTVLDXIZFSVBHX-HIFRSBDPSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@H+](Cc3ncccn3)[C@H]2C1 ZINC001222447734 880470783 /nfs/dbraw/zinc/47/07/83/880470783.db2.gz AJAGRWVYHNGUFS-CABCVRRESA-N 1 2 316.405 1.102 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@@H+](Cc3ncccn3)[C@H]2C1 ZINC001222447734 880470787 /nfs/dbraw/zinc/47/07/87/880470787.db2.gz AJAGRWVYHNGUFS-CABCVRRESA-N 1 2 316.405 1.102 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH+]cn1C ZINC001358634477 880655192 /nfs/dbraw/zinc/65/51/92/880655192.db2.gz LILRCXWEQBKBKZ-HNNXBMFYSA-N 1 2 318.421 1.426 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)CCn2cc[nH+]c2)C1 ZINC001287944321 912613343 /nfs/dbraw/zinc/61/33/43/912613343.db2.gz UHFQILOTIBGQBR-HUUCEWRRSA-N 1 2 316.405 1.182 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1CCNC(=O)C1CC1 ZINC001276933597 881161028 /nfs/dbraw/zinc/16/10/28/881161028.db2.gz XMHVESBDKJNUNT-CQSZACIVSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@H+]1CCNC(=O)C1CC1 ZINC001276933597 881161038 /nfs/dbraw/zinc/16/10/38/881161038.db2.gz XMHVESBDKJNUNT-CQSZACIVSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224165514 881251578 /nfs/dbraw/zinc/25/15/78/881251578.db2.gz NXEFLJHCSVWGGU-ZIAGYGMSSA-N 1 2 319.453 1.602 20 30 DDEDLO C[C@H](NC(=O)c1ncc(C#N)cc1Cl)[C@H](C)[NH+]1CCOCC1 ZINC001362262470 883433140 /nfs/dbraw/zinc/43/31/40/883433140.db2.gz YQLJNHXTHHIGGL-QWRGUYRKSA-N 1 2 322.796 1.446 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001228588393 883560944 /nfs/dbraw/zinc/56/09/44/883560944.db2.gz CVQHCDWMXZDAKM-UHFFFAOYSA-N 1 2 305.426 1.498 20 30 DDEDLO COC(=O)c1ccc(Cl)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)n1 ZINC001230341956 884436490 /nfs/dbraw/zinc/43/64/90/884436490.db2.gz BVUFWCFQDRZXEN-ROHLRWBXSA-N 1 2 324.764 1.513 20 30 DDEDLO COC(=O)c1ccc(Cl)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)n1 ZINC001230341956 884436493 /nfs/dbraw/zinc/43/64/93/884436493.db2.gz BVUFWCFQDRZXEN-ROHLRWBXSA-N 1 2 324.764 1.513 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nccc(C)n2)CC1 ZINC001230406904 884473709 /nfs/dbraw/zinc/47/37/09/884473709.db2.gz GNBRORXUTOBVBF-AWEZNQCLSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2ccn(CC)n2)CC1 ZINC001230415717 884482929 /nfs/dbraw/zinc/48/29/29/884482929.db2.gz XJJYYDQJUZGVEQ-AWEZNQCLSA-N 1 2 320.437 1.575 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1F ZINC001230568259 884593074 /nfs/dbraw/zinc/59/30/74/884593074.db2.gz IBTRLYUIKCEINQ-OAHLLOKOSA-N 1 2 319.380 1.767 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1F ZINC001230568259 884593087 /nfs/dbraw/zinc/59/30/87/884593087.db2.gz IBTRLYUIKCEINQ-OAHLLOKOSA-N 1 2 319.380 1.767 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC001230568721 884596187 /nfs/dbraw/zinc/59/61/87/884596187.db2.gz SNCSDJWVPOKUEO-QGZVFWFLSA-N 1 2 318.392 1.746 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccc(F)cc1 ZINC001230568721 884596204 /nfs/dbraw/zinc/59/62/04/884596204.db2.gz SNCSDJWVPOKUEO-QGZVFWFLSA-N 1 2 318.392 1.746 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CCCCC1 ZINC001230637581 884678698 /nfs/dbraw/zinc/67/86/98/884678698.db2.gz IFQCYMCMQMSJHY-GOEBONIOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1CCCCC1 ZINC001230637581 884678708 /nfs/dbraw/zinc/67/87/08/884678708.db2.gz IFQCYMCMQMSJHY-GOEBONIOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)CC ZINC001230812616 884909811 /nfs/dbraw/zinc/90/98/11/884909811.db2.gz XJAUMNKQPRSRIO-CVEARBPZSA-N 1 2 321.465 1.766 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)CC ZINC001230812616 884909821 /nfs/dbraw/zinc/90/98/21/884909821.db2.gz XJAUMNKQPRSRIO-CVEARBPZSA-N 1 2 321.465 1.766 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230816236 884917262 /nfs/dbraw/zinc/91/72/62/884917262.db2.gz YUPKOBCFALVZTN-AWEZNQCLSA-N 1 2 321.450 1.018 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230816236 884917278 /nfs/dbraw/zinc/91/72/78/884917278.db2.gz YUPKOBCFALVZTN-AWEZNQCLSA-N 1 2 321.450 1.018 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)COC1CCCC1 ZINC001230899203 885025096 /nfs/dbraw/zinc/02/50/96/885025096.db2.gz JOKAUJRAIXWRSH-OAHLLOKOSA-N 1 2 308.422 1.128 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)COC1CCCC1 ZINC001230899203 885025104 /nfs/dbraw/zinc/02/51/04/885025104.db2.gz JOKAUJRAIXWRSH-OAHLLOKOSA-N 1 2 308.422 1.128 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001230939229 885064410 /nfs/dbraw/zinc/06/44/10/885064410.db2.gz SKTDDUKDVBKSQR-RYUDHWBXSA-N 1 2 307.419 1.687 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001230939229 885064428 /nfs/dbraw/zinc/06/44/28/885064428.db2.gz SKTDDUKDVBKSQR-RYUDHWBXSA-N 1 2 307.419 1.687 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001231096056 885219177 /nfs/dbraw/zinc/21/91/77/885219177.db2.gz WXQQVGXUNVBOKA-JKSUJKDBSA-N 1 2 308.422 1.150 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001231096056 885219189 /nfs/dbraw/zinc/21/91/89/885219189.db2.gz WXQQVGXUNVBOKA-JKSUJKDBSA-N 1 2 308.422 1.150 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC001231246799 885427343 /nfs/dbraw/zinc/42/73/43/885427343.db2.gz IETIRHGZSDFBNL-DOMZBBRYSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC001231246799 885427351 /nfs/dbraw/zinc/42/73/51/885427351.db2.gz IETIRHGZSDFBNL-DOMZBBRYSA-N 1 2 314.364 1.890 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)/C=C/C(C)(C)C ZINC001231281235 885466302 /nfs/dbraw/zinc/46/63/02/885466302.db2.gz GMSDBIBRKZMALC-KGXGESDWSA-N 1 2 307.438 1.424 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C/C(C)(C)C ZINC001231281235 885466304 /nfs/dbraw/zinc/46/63/04/885466304.db2.gz GMSDBIBRKZMALC-KGXGESDWSA-N 1 2 307.438 1.424 20 30 DDEDLO Cn1nc(OC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)cc1C#N ZINC001231351951 885538798 /nfs/dbraw/zinc/53/87/98/885538798.db2.gz HYQINABKRSSMQY-INIZCTEOSA-N 1 2 312.373 1.572 20 30 DDEDLO Cn1nc(OC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)cc1C#N ZINC001231351951 885538812 /nfs/dbraw/zinc/53/88/12/885538812.db2.gz HYQINABKRSSMQY-INIZCTEOSA-N 1 2 312.373 1.572 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC1CCC1 ZINC001231413395 885643276 /nfs/dbraw/zinc/64/32/76/885643276.db2.gz RVALICIDRBGUBQ-HIFRSBDPSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC1CCC1 ZINC001231413395 885643280 /nfs/dbraw/zinc/64/32/80/885643280.db2.gz RVALICIDRBGUBQ-HIFRSBDPSA-N 1 2 307.438 1.400 20 30 DDEDLO C[N@@H+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)C[C@H]1CCC[NH+](C)C1 ZINC001363206224 885711245 /nfs/dbraw/zinc/71/12/45/885711245.db2.gz HYPBALJYNVWOGC-LBPRGKRZSA-N 1 2 314.393 1.080 20 30 DDEDLO C[N@H+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)C[C@H]1CCC[NH+](C)C1 ZINC001363206224 885711256 /nfs/dbraw/zinc/71/12/56/885711256.db2.gz HYPBALJYNVWOGC-LBPRGKRZSA-N 1 2 314.393 1.080 20 30 DDEDLO C[NH+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)C[C@H]1CCC[N@H+](C)C1 ZINC001363206224 885711266 /nfs/dbraw/zinc/71/12/66/885711266.db2.gz HYPBALJYNVWOGC-LBPRGKRZSA-N 1 2 314.393 1.080 20 30 DDEDLO C[NH+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)C[C@H]1CCC[N@@H+](C)C1 ZINC001363206224 885711276 /nfs/dbraw/zinc/71/12/76/885711276.db2.gz HYPBALJYNVWOGC-LBPRGKRZSA-N 1 2 314.393 1.080 20 30 DDEDLO COc1cc(C#N)ccc1CN1CC([N@H+]2C[C@@H](C)O[C@@H](C)C2)C1 ZINC001231621877 885765445 /nfs/dbraw/zinc/76/54/45/885765445.db2.gz KYOJIIIGHGZHDL-OKILXGFUSA-N 1 2 315.417 1.860 20 30 DDEDLO COc1cc(C#N)ccc1CN1CC([N@@H+]2C[C@@H](C)O[C@@H](C)C2)C1 ZINC001231621877 885765464 /nfs/dbraw/zinc/76/54/64/885765464.db2.gz KYOJIIIGHGZHDL-OKILXGFUSA-N 1 2 315.417 1.860 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C(=O)OC)[nH]2)C1 ZINC001232079203 886076768 /nfs/dbraw/zinc/07/67/68/886076768.db2.gz NSCGYFDDGAHFHF-LBPRGKRZSA-N 1 2 321.377 1.678 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2ccc(C(=O)OC)[nH]2)C1 ZINC001232079203 886076778 /nfs/dbraw/zinc/07/67/78/886076778.db2.gz NSCGYFDDGAHFHF-LBPRGKRZSA-N 1 2 321.377 1.678 20 30 DDEDLO N#CC1(C(=O)N2C[C@H]3C[C@@H](C2)N3c2cccc[nH+]2)CCOCC1 ZINC001277753016 886752478 /nfs/dbraw/zinc/75/24/78/886752478.db2.gz PFSQAPVOBRMENL-OKILXGFUSA-N 1 2 312.373 1.191 20 30 DDEDLO Cn1cnnc1CC1CC[NH+](Cc2nc(C#N)cs2)CC1 ZINC001363834702 887350563 /nfs/dbraw/zinc/35/05/63/887350563.db2.gz LUOVVFKSIMLGPT-UHFFFAOYSA-N 1 2 302.407 1.598 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccoc1Cl ZINC001233916168 887456753 /nfs/dbraw/zinc/45/67/53/887456753.db2.gz UAENVKRTGIHVSS-GFCCVEGCSA-N 1 2 310.781 1.729 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccoc1Cl ZINC001233916168 887456770 /nfs/dbraw/zinc/45/67/70/887456770.db2.gz UAENVKRTGIHVSS-GFCCVEGCSA-N 1 2 310.781 1.729 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC(CC)CC ZINC001233948136 887491218 /nfs/dbraw/zinc/49/12/18/887491218.db2.gz QQCXHTJZILVLAQ-MRXNPFEDSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC(CC)CC ZINC001233948136 887491226 /nfs/dbraw/zinc/49/12/26/887491226.db2.gz QQCXHTJZILVLAQ-MRXNPFEDSA-N 1 2 321.465 1.627 20 30 DDEDLO CCOC(=O)c1cccc(C[NH2+]CC2(C#N)CCOCC2)n1 ZINC001363939674 887578319 /nfs/dbraw/zinc/57/83/19/887578319.db2.gz HEYPUDWRFWKDSI-UHFFFAOYSA-N 1 2 303.362 1.668 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@H]1CCN(C)C1=O ZINC001234516113 888043944 /nfs/dbraw/zinc/04/39/44/888043944.db2.gz AQRYEJBOXYDNDS-CVEARBPZSA-N 1 2 319.449 1.518 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@H]1CCN(C)C1=O ZINC001234516113 888043952 /nfs/dbraw/zinc/04/39/52/888043952.db2.gz AQRYEJBOXYDNDS-CVEARBPZSA-N 1 2 319.449 1.518 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1nnc(C)[nH]1 ZINC001235228508 888493180 /nfs/dbraw/zinc/49/31/80/888493180.db2.gz KROBZZPXUDIGOO-LBPRGKRZSA-N 1 2 309.414 1.032 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1nnc(C)[nH]1 ZINC001235228508 888493187 /nfs/dbraw/zinc/49/31/87/888493187.db2.gz KROBZZPXUDIGOO-LBPRGKRZSA-N 1 2 309.414 1.032 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)[C@H](C)c1nnc(C)[nH]1 ZINC001235228870 888493286 /nfs/dbraw/zinc/49/32/86/888493286.db2.gz LFTNNSBXDDRSPN-CHWSQXEVSA-N 1 2 323.441 1.593 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)[C@H](C)c1nnc(C)[nH]1 ZINC001235228870 888493295 /nfs/dbraw/zinc/49/32/95/888493295.db2.gz LFTNNSBXDDRSPN-CHWSQXEVSA-N 1 2 323.441 1.593 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@]2(CCOC2=O)C1 ZINC001364535768 888871029 /nfs/dbraw/zinc/87/10/29/888871029.db2.gz PBNJDZXCIOLQJI-YOEHRIQHSA-N 1 2 314.341 1.411 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@]2(CCOC2=O)C1 ZINC001364535768 888871038 /nfs/dbraw/zinc/87/10/38/888871038.db2.gz PBNJDZXCIOLQJI-YOEHRIQHSA-N 1 2 314.341 1.411 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nc(CCC)no1 ZINC001235698580 888893923 /nfs/dbraw/zinc/89/39/23/888893923.db2.gz LQNJNHSCCULNMB-UHFFFAOYSA-N 1 2 310.398 1.163 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nc(CCC)no1 ZINC001235698580 888893935 /nfs/dbraw/zinc/89/39/35/888893935.db2.gz LQNJNHSCCULNMB-UHFFFAOYSA-N 1 2 310.398 1.163 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C1CCC1 ZINC001235723425 888913144 /nfs/dbraw/zinc/91/31/44/888913144.db2.gz POUPDJVVOBMMIG-UKRRQHHQSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C1CCC1 ZINC001235723425 888913154 /nfs/dbraw/zinc/91/31/54/888913154.db2.gz POUPDJVVOBMMIG-UKRRQHHQSA-N 1 2 307.438 1.258 20 30 DDEDLO C#CCN(C(C)=O)C1CC[NH+](Cc2nn(CC)nc2C)CC1 ZINC001278107651 889675042 /nfs/dbraw/zinc/67/50/42/889675042.db2.gz YRBKXOODESZTDL-UHFFFAOYSA-N 1 2 303.410 1.053 20 30 DDEDLO C=C(C)CC[NH2+][C@H](CO)CNC(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001278119549 889738215 /nfs/dbraw/zinc/73/82/15/889738215.db2.gz LYMFVZKNWCUALS-JENIJYKNSA-N 1 2 316.445 1.997 20 30 DDEDLO CCCCCCCCNC(=O)N1CC[NH2+]C[C@@H]1C(=O)OCC ZINC001239272435 890580067 /nfs/dbraw/zinc/58/00/67/890580067.db2.gz SWSQSFJEUNBNMW-CQSZACIVSA-N 1 2 313.442 1.893 20 30 DDEDLO CCCCCCCCCNC(=S)NC[C@H]([NH3+])C(=O)OC ZINC001239375708 890620231 /nfs/dbraw/zinc/62/02/31/890620231.db2.gz JHXUPSZOUUDESX-LBPRGKRZSA-N 1 2 303.472 1.701 20 30 DDEDLO N#Cc1ncncc1-c1ccc(OCC[NH+]2CCOCC2)cc1 ZINC001240450385 890933011 /nfs/dbraw/zinc/93/30/11/890933011.db2.gz XDPXBCZZMYXIFT-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO CCc1noc([C@@H](C)[NH+]2CCC(N(C)C(=O)[C@@H](C)C#N)CC2)n1 ZINC001365920463 891918048 /nfs/dbraw/zinc/91/80/48/891918048.db2.gz XEQNOBNDVHUCPV-NWDGAFQWSA-N 1 2 319.409 1.775 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCc2cnn(C)c2)C1 ZINC001365951871 892022445 /nfs/dbraw/zinc/02/24/45/892022445.db2.gz RXFYIWZNASDXKA-AWEZNQCLSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCc2cnn(C)c2)C1 ZINC001365951871 892022462 /nfs/dbraw/zinc/02/24/62/892022462.db2.gz RXFYIWZNASDXKA-AWEZNQCLSA-N 1 2 310.829 1.686 20 30 DDEDLO Cc1cc(-c2noc([C@H](Cc3c[nH]c[nH+]3)NC=O)n2)ccc1C#N ZINC001247268334 893148357 /nfs/dbraw/zinc/14/83/57/893148357.db2.gz CYOLQTOHLAFWNS-AWEZNQCLSA-N 1 2 322.328 1.670 20 30 DDEDLO Cc1cc(-c2noc([C@H](Cc3c[nH+]c[nH]3)NC=O)n2)ccc1C#N ZINC001247268334 893148365 /nfs/dbraw/zinc/14/83/65/893148365.db2.gz CYOLQTOHLAFWNS-AWEZNQCLSA-N 1 2 322.328 1.670 20 30 DDEDLO N#Cc1cc(Br)ccc1-c1noc([C@@H]([NH3+])CO)n1 ZINC001247612353 893250354 /nfs/dbraw/zinc/25/03/54/893250354.db2.gz LUOCBBFRKWTQBZ-VIFPVBQESA-N 1 2 309.123 1.363 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2ccoc2)[C@H]1C ZINC001278499196 893537497 /nfs/dbraw/zinc/53/74/97/893537497.db2.gz CRDJRNSSVJTSBU-TZMCWYRMSA-N 1 2 305.378 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2ccoc2)[C@H]1C ZINC001278499196 893537499 /nfs/dbraw/zinc/53/74/99/893537499.db2.gz CRDJRNSSVJTSBU-TZMCWYRMSA-N 1 2 305.378 1.165 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)CSCC#N)CCC[N@@H+]1Cc1ccns1 ZINC001278501079 893564797 /nfs/dbraw/zinc/56/47/97/893564797.db2.gz RBRHELIRTOBART-DGCLKSJQSA-N 1 2 324.475 1.869 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)CSCC#N)CCC[N@H+]1Cc1ccns1 ZINC001278501079 893564814 /nfs/dbraw/zinc/56/48/14/893564814.db2.gz RBRHELIRTOBART-DGCLKSJQSA-N 1 2 324.475 1.869 20 30 DDEDLO C#CCOC[C@H](O)CNc1ccc2[nH+]cc(Br)n2c1 ZINC001251826093 894828133 /nfs/dbraw/zinc/82/81/33/894828133.db2.gz IXUAREARZXTIBA-LLVKDONJSA-N 1 2 324.178 1.519 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCNC(=O)c1c(C)cc(C)[nH+]c1C ZINC001292683613 913926330 /nfs/dbraw/zinc/92/63/30/913926330.db2.gz TZYVGRMRFJHZBG-KRWDZBQOSA-N 1 2 319.405 1.180 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001366899397 895278621 /nfs/dbraw/zinc/27/86/21/895278621.db2.gz OTDKFNIEZXWAHY-RYUDHWBXSA-N 1 2 302.403 1.860 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001366899397 895278632 /nfs/dbraw/zinc/27/86/32/895278632.db2.gz OTDKFNIEZXWAHY-RYUDHWBXSA-N 1 2 302.403 1.860 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCc2c([nH]nc2C(=O)N2CCCC2)C1 ZINC001252596748 895301711 /nfs/dbraw/zinc/30/17/11/895301711.db2.gz RZIYMZYTFJEAMN-ZDUSSCGKSA-N 1 2 318.421 1.331 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCc2c([nH]nc2C(=O)N2CCCC2)C1 ZINC001252596748 895301723 /nfs/dbraw/zinc/30/17/23/895301723.db2.gz RZIYMZYTFJEAMN-ZDUSSCGKSA-N 1 2 318.421 1.331 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)OCc2ccncc2)C1 ZINC001367013333 895572918 /nfs/dbraw/zinc/57/29/18/895572918.db2.gz NSAOSVQYFDMIAO-HIFRSBDPSA-N 1 2 323.824 1.930 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)OCc2ccncc2)C1 ZINC001367013333 895572924 /nfs/dbraw/zinc/57/29/24/895572924.db2.gz NSAOSVQYFDMIAO-HIFRSBDPSA-N 1 2 323.824 1.930 20 30 DDEDLO C=CCOC(=O)[C@H](CC(C)C)[NH2+]C[C@H](O)COC(C)(C)C ZINC001253472296 895868938 /nfs/dbraw/zinc/86/89/38/895868938.db2.gz VSQQXFZBXXNGNM-KBPBESRZSA-N 1 2 301.427 1.896 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCN(C)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001389012072 896348831 /nfs/dbraw/zinc/34/88/31/896348831.db2.gz YOTQYMMNMTWORF-NSHDSACASA-N 1 2 313.361 1.253 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]2C[N@H+]([C@H]3CC[C@H](C#N)C3)C[C@H](C1)O2 ZINC001254658630 896553486 /nfs/dbraw/zinc/55/34/86/896553486.db2.gz JHSNHGPQDPDWIL-ZQDZILKHSA-N 1 2 321.421 1.999 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]2C[N@@H+]([C@H]3CC[C@H](C#N)C3)C[C@H](C1)O2 ZINC001254658630 896553500 /nfs/dbraw/zinc/55/35/00/896553500.db2.gz JHSNHGPQDPDWIL-ZQDZILKHSA-N 1 2 321.421 1.999 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001278883407 897104926 /nfs/dbraw/zinc/10/49/26/897104926.db2.gz KZEAWAPGSYJHHB-QGZVFWFLSA-N 1 2 312.413 1.293 20 30 DDEDLO COC(=O)[C@H](Cc1ccc(O)c(O)c1)[NH2+]C1CCC(C#N)CC1 ZINC001256955459 897645000 /nfs/dbraw/zinc/64/50/00/897645000.db2.gz UUJYTWPVVBZOAS-UBHUBRDASA-N 1 2 318.373 1.854 20 30 DDEDLO N#CC1CCC([NH+]2CC3(C2)[C@H](CF)CCS3(=O)=O)CC1 ZINC001256972809 897651364 /nfs/dbraw/zinc/65/13/64/897651364.db2.gz HCWOHQGCEJNASP-CPCZMJQVSA-N 1 2 300.399 1.527 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)NC1CN(c2cccc[nH+]2)C1 ZINC001258323266 898138926 /nfs/dbraw/zinc/13/89/26/898138926.db2.gz IEYCDBNEFUTKOE-UHFFFAOYSA-N 1 2 314.370 1.120 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1[C@H]1CCC(=O)N(Cc2ccccc2)CC1 ZINC001258581487 898252996 /nfs/dbraw/zinc/25/29/96/898252996.db2.gz OSZNCYXZBBEQIT-DLBZAZTESA-N 1 2 312.417 1.365 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1[C@@H]1CCC(=O)N(Cc2ccccc2)CC1 ZINC001258581490 898254946 /nfs/dbraw/zinc/25/49/46/898254946.db2.gz OSZNCYXZBBEQIT-SJORKVTESA-N 1 2 312.417 1.365 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@]1(C)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001299563329 898527767 /nfs/dbraw/zinc/52/77/67/898527767.db2.gz DKTLYXQPNAJGSW-PBHICJAKSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)COCc1cc(C)on1 ZINC001390779272 900160361 /nfs/dbraw/zinc/16/03/61/900160361.db2.gz RPEOOHYWWVWIJC-UHFFFAOYSA-N 1 2 301.774 1.300 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)COCc1cc(C)on1 ZINC001390779272 900160365 /nfs/dbraw/zinc/16/03/65/900160365.db2.gz RPEOOHYWWVWIJC-UHFFFAOYSA-N 1 2 301.774 1.300 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H](C)OC[C@H]1CCCCO1 ZINC001390865723 900394502 /nfs/dbraw/zinc/39/45/02/900394502.db2.gz OOFVFGRWJDGRPZ-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H](C)OC[C@H]1CCCCO1 ZINC001390865723 900394506 /nfs/dbraw/zinc/39/45/06/900394506.db2.gz OOFVFGRWJDGRPZ-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC(C)(C)C[C@@H]1C ZINC001262947498 900432028 /nfs/dbraw/zinc/43/20/28/900432028.db2.gz MWLRMPACSOPQJC-IHRRRGAJSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC(C)(C)C[C@@H]1C ZINC001262947498 900432039 /nfs/dbraw/zinc/43/20/39/900432039.db2.gz MWLRMPACSOPQJC-IHRRRGAJSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCN(C)c1nnc(C[NH+]2CCCC2)n1CCCOCC ZINC001263370469 900591828 /nfs/dbraw/zinc/59/18/28/900591828.db2.gz ZLHXUWKMLLDAAC-UHFFFAOYSA-N 1 2 307.442 1.923 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001263807497 900721139 /nfs/dbraw/zinc/72/11/39/900721139.db2.gz LPQIRNHMEIEGOW-JYJNAYRXSA-N 1 2 319.449 1.376 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001263807497 900721146 /nfs/dbraw/zinc/72/11/46/900721146.db2.gz LPQIRNHMEIEGOW-JYJNAYRXSA-N 1 2 319.449 1.376 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C(C)(C)C ZINC001264363597 901039390 /nfs/dbraw/zinc/03/93/90/901039390.db2.gz VDYOWPJRSRHSRN-UONOGXRCSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C(C)(C)C ZINC001264363597 901039402 /nfs/dbraw/zinc/03/94/02/901039402.db2.gz VDYOWPJRSRHSRN-UONOGXRCSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@@H+](CC(=O)NC)C2)CCCCC1 ZINC001265234751 901756132 /nfs/dbraw/zinc/75/61/32/901756132.db2.gz AIVCBTLBOKBQHK-OAHLLOKOSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@H+](CC(=O)NC)C2)CCCCC1 ZINC001265234751 901756142 /nfs/dbraw/zinc/75/61/42/901756142.db2.gz AIVCBTLBOKBQHK-OAHLLOKOSA-N 1 2 321.465 1.840 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCc2cnn(C)n2)C1 ZINC001369855665 902103851 /nfs/dbraw/zinc/10/38/51/902103851.db2.gz PCFDPESPUQFIDR-CYBMUJFWSA-N 1 2 311.817 1.081 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCc2cnn(C)n2)C1 ZINC001369855665 902103855 /nfs/dbraw/zinc/10/38/55/902103855.db2.gz PCFDPESPUQFIDR-CYBMUJFWSA-N 1 2 311.817 1.081 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[N@H+]([C@H](C)c2nnnn2C)C1 ZINC001265577573 902151229 /nfs/dbraw/zinc/15/12/29/902151229.db2.gz KEMWJYGMIPKLCG-CHWSQXEVSA-N 1 2 306.414 1.066 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[N@@H+]([C@H](C)c2nnnn2C)C1 ZINC001265577573 902151224 /nfs/dbraw/zinc/15/12/24/902151224.db2.gz KEMWJYGMIPKLCG-CHWSQXEVSA-N 1 2 306.414 1.066 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCC[N@@H+](C)Cc1nnc(C)o1 ZINC001265937166 902625870 /nfs/dbraw/zinc/62/58/70/902625870.db2.gz VEUYUQLVSKNKCF-ZFWWWQNUSA-N 1 2 322.409 1.297 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCC[N@H+](C)Cc1nnc(C)o1 ZINC001265937166 902625876 /nfs/dbraw/zinc/62/58/76/902625876.db2.gz VEUYUQLVSKNKCF-ZFWWWQNUSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001265949594 902649589 /nfs/dbraw/zinc/64/95/89/902649589.db2.gz UVMGAWLLWQOIDF-AAEUAGOBSA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(C)(C)C(C)C)C1 ZINC001266222143 903141134 /nfs/dbraw/zinc/14/11/34/903141134.db2.gz OMBPRCYBIIKARI-AWEZNQCLSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C(C)C)C1 ZINC001266222143 903141143 /nfs/dbraw/zinc/14/11/43/903141143.db2.gz OMBPRCYBIIKARI-AWEZNQCLSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H](C)C(CC)CC)C1 ZINC001266225193 903144469 /nfs/dbraw/zinc/14/44/69/903144469.db2.gz JWPZKDBVGLCZTB-GDBMZVCRSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)C(CC)CC)C1 ZINC001266225193 903144480 /nfs/dbraw/zinc/14/44/80/903144480.db2.gz JWPZKDBVGLCZTB-GDBMZVCRSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@@H](C)N(C)C(=O)c1ccc2[nH]nnc2c1 ZINC001392135227 903361680 /nfs/dbraw/zinc/36/16/80/903361680.db2.gz UVRYMQXWYYHAFK-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001280402875 903638980 /nfs/dbraw/zinc/63/89/80/903638980.db2.gz NXWOPDJIUANGAN-HNNXBMFYSA-N 1 2 318.421 1.897 20 30 DDEDLO CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001280402875 903638985 /nfs/dbraw/zinc/63/89/85/903638985.db2.gz NXWOPDJIUANGAN-HNNXBMFYSA-N 1 2 318.421 1.897 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCC[C@H]2CNC(=O)[C@H](C)C#N)nn1 ZINC001370842119 903829637 /nfs/dbraw/zinc/82/96/37/903829637.db2.gz HUMBGMNDTJEPGV-OCCSQVGLSA-N 1 2 317.393 1.116 20 30 DDEDLO COc1ccc(C[N@H+]2CCCC[C@H]2CNC(=O)[C@H](C)C#N)nn1 ZINC001370842119 903829647 /nfs/dbraw/zinc/82/96/47/903829647.db2.gz HUMBGMNDTJEPGV-OCCSQVGLSA-N 1 2 317.393 1.116 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)Cc1ccc(C)o1)O2 ZINC001280921871 904191080 /nfs/dbraw/zinc/19/10/80/904191080.db2.gz YSQDMPOZSWHHIR-HNNXBMFYSA-N 1 2 304.390 1.666 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)Cc1cn2c(n1)CCCC2 ZINC001375054653 914796459 /nfs/dbraw/zinc/79/64/59/914796459.db2.gz LXRKXTWJPHQVIW-CYBMUJFWSA-N 1 2 324.856 1.951 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)Cc1cn2c(n1)CCCC2 ZINC001375054653 914796471 /nfs/dbraw/zinc/79/64/71/914796471.db2.gz LXRKXTWJPHQVIW-CYBMUJFWSA-N 1 2 324.856 1.951 20 30 DDEDLO C[C@H](CN(C)C(=O)C[C@H](C)n1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001281005175 904309405 /nfs/dbraw/zinc/30/94/05/904309405.db2.gz UVXAUMDBYURIKW-KGLIPLIRSA-N 1 2 316.405 1.211 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001281234053 904598943 /nfs/dbraw/zinc/59/89/43/904598943.db2.gz FGLGGFBEAXWOLQ-CABCVRRESA-N 1 2 318.421 1.641 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@@H](C)Oc2ccccc2)C1 ZINC001282539401 905861383 /nfs/dbraw/zinc/86/13/83/905861383.db2.gz JHPYGTLCDPCXEX-OAHLLOKOSA-N 1 2 318.417 1.583 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1snnc1C1CC1 ZINC001372001452 906208468 /nfs/dbraw/zinc/20/84/68/906208468.db2.gz XYCOKJVWNSIZGV-UHFFFAOYSA-N 1 2 300.815 1.830 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1snnc1C1CC1 ZINC001372001452 906208481 /nfs/dbraw/zinc/20/84/81/906208481.db2.gz XYCOKJVWNSIZGV-UHFFFAOYSA-N 1 2 300.815 1.830 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H](C)OCc1ccncc1 ZINC001372115568 906495502 /nfs/dbraw/zinc/49/55/02/906495502.db2.gz HNULKOLSMLSZEZ-CYBMUJFWSA-N 1 2 311.813 1.787 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H](C)OCc1ccncc1 ZINC001372115568 906495508 /nfs/dbraw/zinc/49/55/08/906495508.db2.gz HNULKOLSMLSZEZ-CYBMUJFWSA-N 1 2 311.813 1.787 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CCC[NH2+]Cc1nnn(C)n1 ZINC001283214567 906909520 /nfs/dbraw/zinc/90/95/20/906909520.db2.gz PCXHLINKLMFPOT-GFCCVEGCSA-N 1 2 308.430 1.187 20 30 DDEDLO C=CCCCC(=O)N(C)[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001283387990 907273087 /nfs/dbraw/zinc/27/30/87/907273087.db2.gz KIYQEYVCINKTOD-HNNXBMFYSA-N 1 2 318.421 1.689 20 30 DDEDLO C=C(C)CCC(=O)N1CCCN(C(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001283410702 907312050 /nfs/dbraw/zinc/31/20/50/907312050.db2.gz HAQAHXCVYMJEJR-UHFFFAOYSA-N 1 2 318.421 1.678 20 30 DDEDLO Cc1ccc(C[NH+]2CC3(C2)C[C@H](NC(=O)[C@H](C)C#N)CO3)cc1 ZINC001393663117 907641521 /nfs/dbraw/zinc/64/15/21/907641521.db2.gz KENXKTTVQLAJDG-ZBFHGGJFSA-N 1 2 313.401 1.614 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCCNC(=O)Cc1c[nH+]cn1C ZINC001283599542 907659810 /nfs/dbraw/zinc/65/98/10/907659810.db2.gz VDPJDZBIWZWKAY-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCCN(C)C(=O)CCn1cc[nH+]c1 ZINC001283613910 907680686 /nfs/dbraw/zinc/68/06/86/907680686.db2.gz SWBTXKAQYZFGED-UHFFFAOYSA-N 1 2 320.437 1.840 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001283881717 908125890 /nfs/dbraw/zinc/12/58/90/908125890.db2.gz ZPXSFYKWYSGCEV-GXTWGEPZSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(C)C[N@@H+](C)C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001284493375 909079131 /nfs/dbraw/zinc/07/91/31/909079131.db2.gz SAPAIMKMTZINDX-UWVGGRQHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C(C)C[N@H+](C)C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001284493375 909079144 /nfs/dbraw/zinc/07/91/44/909079144.db2.gz SAPAIMKMTZINDX-UWVGGRQHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C(C)C[N@@H+](C)C[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001284493375 909079162 /nfs/dbraw/zinc/07/91/62/909079162.db2.gz SAPAIMKMTZINDX-UWVGGRQHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C(C)C[N@H+](C)C[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001284493375 909079175 /nfs/dbraw/zinc/07/91/75/909079175.db2.gz SAPAIMKMTZINDX-UWVGGRQHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)CCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001284531471 909150698 /nfs/dbraw/zinc/15/06/98/909150698.db2.gz MFUJLGWKOXWLQW-DYVFJYSZSA-N 1 2 320.437 1.765 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)CCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001284531471 909150708 /nfs/dbraw/zinc/15/07/08/909150708.db2.gz MFUJLGWKOXWLQW-DYVFJYSZSA-N 1 2 320.437 1.765 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)COC[C@H]2CCCO2)C1 ZINC001394251028 909189213 /nfs/dbraw/zinc/18/92/13/909189213.db2.gz JADVECLTMJZAST-GXTWGEPZSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCc2cncn2C)C(C)(C)C1 ZINC001394298766 909294953 /nfs/dbraw/zinc/29/49/53/909294953.db2.gz FTIQWIXHVSRLBY-CQSZACIVSA-N 1 2 324.856 1.932 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCc2cncn2C)C(C)(C)C1 ZINC001394298766 909294969 /nfs/dbraw/zinc/29/49/69/909294969.db2.gz FTIQWIXHVSRLBY-CQSZACIVSA-N 1 2 324.856 1.932 20 30 DDEDLO Cc1nnc(C[NH+]2CCC([C@H](C)NC(=O)C#CC(C)C)CC2)[nH]1 ZINC001284712795 909386294 /nfs/dbraw/zinc/38/62/94/909386294.db2.gz QXLUNXPOHJSUJK-ZDUSSCGKSA-N 1 2 317.437 1.489 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+](CCN(C)C(=O)CC)CC1 ZINC001284835474 909550717 /nfs/dbraw/zinc/55/07/17/909550717.db2.gz FWFCXKITPMDJIS-UHFFFAOYSA-N 1 2 307.438 1.193 20 30 DDEDLO C=CCCC(=O)N(C)CC1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001284850334 909565904 /nfs/dbraw/zinc/56/59/04/909565904.db2.gz PETXFYAPBGHNMP-UHFFFAOYSA-N 1 2 318.421 1.546 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC1CN(Cc2cccc(C#N)c2)C1 ZINC001373476006 909957789 /nfs/dbraw/zinc/95/77/89/909957789.db2.gz SMKXVUAQIJIWOS-UHFFFAOYSA-N 1 2 323.400 1.225 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286032332 911600424 /nfs/dbraw/zinc/60/04/24/911600424.db2.gz ZOGJSZMTSPHELR-LBPRGKRZSA-N 1 2 304.394 1.224 20 30 DDEDLO C=CCCCC(=O)N(C)C1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001287373954 912207441 /nfs/dbraw/zinc/20/74/41/912207441.db2.gz GWJGLTZLNCFGRO-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001375105421 914972879 /nfs/dbraw/zinc/97/28/79/914972879.db2.gz SZBBVRLQJCVTGO-TUAOUCFPSA-N 1 2 301.818 1.077 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001375105421 914972891 /nfs/dbraw/zinc/97/28/91/914972891.db2.gz SZBBVRLQJCVTGO-TUAOUCFPSA-N 1 2 301.818 1.077 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2cn[nH]n2)C[C@H]1C ZINC001377393414 921745953 /nfs/dbraw/zinc/74/59/53/921745953.db2.gz ODFLVXMMVNTWNZ-VXGBXAGGSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2cn[nH]n2)C[C@H]1C ZINC001377393414 921745962 /nfs/dbraw/zinc/74/59/62/921745962.db2.gz ODFLVXMMVNTWNZ-VXGBXAGGSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2c[nH]nn2)C[C@H]1C ZINC001377393414 921745969 /nfs/dbraw/zinc/74/59/69/921745969.db2.gz ODFLVXMMVNTWNZ-VXGBXAGGSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2c[nH]nn2)C[C@H]1C ZINC001377393414 921745979 /nfs/dbraw/zinc/74/59/79/921745979.db2.gz ODFLVXMMVNTWNZ-VXGBXAGGSA-N 1 2 311.817 1.459 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001295051523 915531812 /nfs/dbraw/zinc/53/18/12/915531812.db2.gz IUJTZBMBEBGUII-AWEZNQCLSA-N 1 2 324.384 1.553 20 30 DDEDLO C=CCCC1(C(=O)N(C)CCNC(=O)Cn2cc[nH+]c2)CC1 ZINC001296133667 916250186 /nfs/dbraw/zinc/25/01/86/916250186.db2.gz KKRKLBGTKALKGS-UHFFFAOYSA-N 1 2 304.394 1.204 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCNC(=O)CCc1[nH+]ccn1C ZINC001296187461 916266161 /nfs/dbraw/zinc/26/61/61/916266161.db2.gz NZSFOCKNZHLSGD-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(CC)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001296352206 916353012 /nfs/dbraw/zinc/35/30/12/916353012.db2.gz OPDPKYDDRIWRNX-ZDUSSCGKSA-N 1 2 320.437 1.765 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(CC)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001296352206 916353032 /nfs/dbraw/zinc/35/30/32/916353032.db2.gz OPDPKYDDRIWRNX-ZDUSSCGKSA-N 1 2 320.437 1.765 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCc1ccc(C(=O)NO)cc1 ZINC001297054191 916695511 /nfs/dbraw/zinc/69/55/11/916695511.db2.gz IPGZSDZWSOZQCB-SNVBAGLBSA-N 1 2 302.334 1.024 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCc1ccc(C(=O)NO)cc1 ZINC001297054191 916695524 /nfs/dbraw/zinc/69/55/24/916695524.db2.gz IPGZSDZWSOZQCB-SNVBAGLBSA-N 1 2 302.334 1.024 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2CCC2)C1 ZINC001376027956 917507545 /nfs/dbraw/zinc/50/75/45/917507545.db2.gz SHGGDHCFBNIHLB-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H](NC(=O)C2CCC2)C1 ZINC001376027956 917507557 /nfs/dbraw/zinc/50/75/57/917507557.db2.gz SHGGDHCFBNIHLB-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO COc1cc(C[N@@H+]2CCCC[C@H]2CNC(=O)[C@H](C)C#N)sn1 ZINC001376580333 918806933 /nfs/dbraw/zinc/80/69/33/918806933.db2.gz UBGIYVOWPNRIFD-NEPJUHHUSA-N 1 2 322.434 1.782 20 30 DDEDLO COc1cc(C[N@H+]2CCCC[C@H]2CNC(=O)[C@H](C)C#N)sn1 ZINC001376580333 918806947 /nfs/dbraw/zinc/80/69/47/918806947.db2.gz UBGIYVOWPNRIFD-NEPJUHHUSA-N 1 2 322.434 1.782 20 30 DDEDLO Cc1cnc(C[NH2+][C@@H](CNC(=O)[C@H](C)C#N)c2ccccc2)cn1 ZINC001377602255 922451079 /nfs/dbraw/zinc/45/10/79/922451079.db2.gz AKXQKSLWDMOSTC-DYVFJYSZSA-N 1 2 323.400 1.892 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCCN(Cc2ccc(Cl)cc2)CC1 ZINC000076668455 185030819 /nfs/dbraw/zinc/03/08/19/185030819.db2.gz IYNAGFMWEZRDTE-UHFFFAOYSA-N 1 2 320.824 1.487 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCCN(Cc2ccc(Cl)cc2)CC1 ZINC000076668455 185030821 /nfs/dbraw/zinc/03/08/21/185030821.db2.gz IYNAGFMWEZRDTE-UHFFFAOYSA-N 1 2 320.824 1.487 20 30 DDEDLO C[C@H](NC(=O)[C@H](C)O[NH+]=C(N)[C@@H]1CCCO1)c1ccc(F)cc1 ZINC000284105123 222615020 /nfs/dbraw/zinc/61/50/20/222615020.db2.gz LKRZVUJEIXTBLB-MJVIPROJSA-N 1 2 323.368 1.859 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000096969262 185394405 /nfs/dbraw/zinc/39/44/05/185394405.db2.gz RCCNGHPDYXEMGC-UHFFFAOYSA-N 1 2 319.317 1.936 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CCC[C@@H]1C#N ZINC000329561127 539305618 /nfs/dbraw/zinc/30/56/18/539305618.db2.gz RJCPTWDGUSBLFA-APIJFGDWSA-N 1 2 306.410 1.388 20 30 DDEDLO CC(C)[C@@H]1C(=O)NCCN1C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330277218 539310128 /nfs/dbraw/zinc/31/01/28/539310128.db2.gz NYCQDRWGPYTMOS-DGCLKSJQSA-N 1 2 305.382 1.409 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1CCC[C@H]1C#N ZINC000332848371 539313160 /nfs/dbraw/zinc/31/31/60/539313160.db2.gz RJCPTWDGUSBLFA-QPSCCSFWSA-N 1 2 306.410 1.183 20 30 DDEDLO CCc1nc(NC(=O)CN2C[C@@H]3OCC[N@H+](C)[C@H]3C2)sc1C ZINC000329940095 529742077 /nfs/dbraw/zinc/74/20/77/529742077.db2.gz BKASXEYNBVERMR-STQMWFEESA-N 1 2 324.450 1.617 20 30 DDEDLO CCc1nc(NC(=O)CN2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)sc1C ZINC000329940095 529742078 /nfs/dbraw/zinc/74/20/78/529742078.db2.gz BKASXEYNBVERMR-STQMWFEESA-N 1 2 324.450 1.617 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCN(c3ccccc3C#N)CC2)[nH]1 ZINC000272784033 210186953 /nfs/dbraw/zinc/18/69/53/210186953.db2.gz WQZXZWBCHMKNKO-UHFFFAOYSA-N 1 2 324.384 1.995 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)C(=O)CCC2 ZINC000414120636 529868491 /nfs/dbraw/zinc/86/84/91/529868491.db2.gz DCNWSXVYKDDAOE-AWEZNQCLSA-N 1 2 302.374 1.791 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)C(=O)CCC2 ZINC000414120636 529868492 /nfs/dbraw/zinc/86/84/92/529868492.db2.gz DCNWSXVYKDDAOE-AWEZNQCLSA-N 1 2 302.374 1.791 20 30 DDEDLO C=C[C@](C)(O)C(=O)Nc1cc(N(C)C)c(Br)c[nH+]1 ZINC000615993686 362513163 /nfs/dbraw/zinc/51/31/63/362513163.db2.gz QDYGKRJDCOMDCU-LBPRGKRZSA-N 1 2 314.183 1.786 20 30 DDEDLO Cc1oc(NC(=O)C[NH+]2CCC([C@@H](C)O)CC2)c(C#N)c1C ZINC000111288032 185575469 /nfs/dbraw/zinc/57/54/69/185575469.db2.gz KVKRYQYMSOJENI-LLVKDONJSA-N 1 2 305.378 1.799 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(Cc2cc(F)ccc2C#N)CC1 ZINC000160148678 290767484 /nfs/dbraw/zinc/76/74/84/290767484.db2.gz WCQOHQXUPLGCSZ-UHFFFAOYSA-N 1 2 313.380 1.749 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000186074120 186238421 /nfs/dbraw/zinc/23/84/21/186238421.db2.gz GDVDYYZGOMFRJS-GOEBONIOSA-N 1 2 301.390 1.381 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)c1 ZINC000343690893 282725474 /nfs/dbraw/zinc/72/54/74/282725474.db2.gz NGXMJCVFNZYXGR-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO Cc1nsc(N2CC[NH+](CCc3cnccn3)CC2)c1C#N ZINC000601253443 358464353 /nfs/dbraw/zinc/46/43/53/358464353.db2.gz NUWZKFSSQIHOTO-UHFFFAOYSA-N 1 2 314.418 1.478 20 30 DDEDLO CCCCNC(=O)C[NH+]1CCN(Cc2ccccc2C#N)CC1 ZINC000023252478 352183198 /nfs/dbraw/zinc/18/31/98/352183198.db2.gz DHLQIDQZYRUKNY-UHFFFAOYSA-N 1 2 314.433 1.592 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(SC)cc2)CC1 ZINC000024242509 352187663 /nfs/dbraw/zinc/18/76/63/352187663.db2.gz RKLQYHIPVXVRLW-UHFFFAOYSA-N 1 2 319.474 1.828 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(SC)cc2)CC1 ZINC000024242509 352187667 /nfs/dbraw/zinc/18/76/67/352187667.db2.gz RKLQYHIPVXVRLW-UHFFFAOYSA-N 1 2 319.474 1.828 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnnn1CC(F)(F)F)c1cccc(C#N)c1 ZINC000617540950 363168565 /nfs/dbraw/zinc/16/85/65/363168565.db2.gz GAYMMTPARMAHFZ-SECBINFHSA-N 1 2 310.283 1.958 20 30 DDEDLO CCCCCNC(=O)C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000047857453 352513971 /nfs/dbraw/zinc/51/39/71/352513971.db2.gz KEKGANJCMPCUJK-UHFFFAOYSA-N 1 2 315.421 1.382 20 30 DDEDLO Cc1noc(C2CC[NH+](CC(=O)N[C@@](C)(C#N)C(C)C)CC2)n1 ZINC000053641126 352662815 /nfs/dbraw/zinc/66/28/15/352662815.db2.gz MAGCWADQNFXEFI-INIZCTEOSA-N 1 2 319.409 1.612 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)CSc1[nH+]cnc2c1cnn2C ZINC000053713198 352664734 /nfs/dbraw/zinc/66/47/34/352664734.db2.gz LMRZJGHLJDWEFY-SNVBAGLBSA-N 1 2 318.406 1.464 20 30 DDEDLO COc1ccnc(N2CC[NH+](Cc3cccc(C#N)c3)CC2)n1 ZINC000064248433 352932293 /nfs/dbraw/zinc/93/22/93/352932293.db2.gz VYWDBIRFGNMDOJ-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N1CCN(c2cccc[nH+]2)CC1 ZINC000070789741 353183565 /nfs/dbraw/zinc/18/35/65/353183565.db2.gz MBJNVPWOPASJOH-UHFFFAOYSA-N 1 2 310.317 1.583 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCSC[C@@H]1c1ccc(C)o1 ZINC000076010974 353390000 /nfs/dbraw/zinc/39/00/00/353390000.db2.gz HFRYYMYXCDPXTR-GFCCVEGCSA-N 1 2 323.418 1.690 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCSC[C@@H]1c1ccc(C)o1 ZINC000076010974 353390004 /nfs/dbraw/zinc/39/00/04/353390004.db2.gz HFRYYMYXCDPXTR-GFCCVEGCSA-N 1 2 323.418 1.690 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CC(=O)N(C(C)C)C(C)(C)C1 ZINC000075924790 353386047 /nfs/dbraw/zinc/38/60/47/353386047.db2.gz GQHLNNQCBRALOJ-OAHLLOKOSA-N 1 2 321.465 1.907 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC(=O)N(C(C)C)C(C)(C)C1 ZINC000075924790 353386048 /nfs/dbraw/zinc/38/60/48/353386048.db2.gz GQHLNNQCBRALOJ-OAHLLOKOSA-N 1 2 321.465 1.907 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1CCCN(c2ncc(C#N)cc2Cl)CC1 ZINC000080947323 353638574 /nfs/dbraw/zinc/63/85/74/353638574.db2.gz WIQBHRHBXQRYLP-UHFFFAOYSA-N 1 2 321.812 1.207 20 30 DDEDLO CN(C)C(=O)C[N@H+]1CCCN(c2ncc(C#N)cc2Cl)CC1 ZINC000080947323 353638575 /nfs/dbraw/zinc/63/85/75/353638575.db2.gz WIQBHRHBXQRYLP-UHFFFAOYSA-N 1 2 321.812 1.207 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)c3cc(C#N)cn3C)[nH+]c12 ZINC000081551034 353681637 /nfs/dbraw/zinc/68/16/37/353681637.db2.gz ZSNLKWVWHHQBIL-UHFFFAOYSA-N 1 2 307.357 1.825 20 30 DDEDLO Cc1cc(C[NH2+]CCS(=O)(=O)c2cccc(C#N)c2)on1 ZINC000163080582 354247241 /nfs/dbraw/zinc/24/72/41/354247241.db2.gz IKLCEIBDDILLHQ-UHFFFAOYSA-N 1 2 305.359 1.418 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C[C@H](O)COc1ccccc1C#N)C(C)C ZINC000314621505 354486964 /nfs/dbraw/zinc/48/69/64/354486964.db2.gz WRRBQUQBNHBICC-ZFWWWQNUSA-N 1 2 306.362 1.085 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000601306272 358488593 /nfs/dbraw/zinc/48/85/93/358488593.db2.gz FEXVENOSPMUXIK-NSHDSACASA-N 1 2 322.365 1.569 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CCc2c[nH+]cn2C1 ZINC000587045293 354879430 /nfs/dbraw/zinc/87/94/30/354879430.db2.gz LFBPCLDUMBIOGT-TZMCWYRMSA-N 1 2 310.357 1.653 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@H](C)C(=O)N2CCCCC2)CC1 ZINC000591020253 355233577 /nfs/dbraw/zinc/23/35/77/355233577.db2.gz ZIZRSSAUDYWDKK-CVEARBPZSA-N 1 2 306.454 1.553 20 30 DDEDLO CCNC(=O)C[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000591026000 355235773 /nfs/dbraw/zinc/23/57/73/355235773.db2.gz REPAXMPIQLCECP-OAHLLOKOSA-N 1 2 304.369 1.144 20 30 DDEDLO CS(=O)(=O)C[C@H]([NH2+]C[C@@H](C#N)CCC#N)c1ccccc1 ZINC000591747824 355376573 /nfs/dbraw/zinc/37/65/73/355376573.db2.gz MGBZFKJIWNOCJE-HIFRSBDPSA-N 1 2 305.403 1.805 20 30 DDEDLO Cc1cccc2[nH+]c(CCNS(=O)(=O)CC3(C#N)CC3)cn21 ZINC000592120452 355505256 /nfs/dbraw/zinc/50/52/56/355505256.db2.gz AESXDCQMTXNZBU-UHFFFAOYSA-N 1 2 318.402 1.408 20 30 DDEDLO CCc1cccc(C)c1NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592146123 355511761 /nfs/dbraw/zinc/51/17/61/355511761.db2.gz DOKLJLOAGMACJO-QGZVFWFLSA-N 1 2 301.390 1.846 20 30 DDEDLO CCc1cccc(C)c1NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592146123 355511764 /nfs/dbraw/zinc/51/17/64/355511764.db2.gz DOKLJLOAGMACJO-QGZVFWFLSA-N 1 2 301.390 1.846 20 30 DDEDLO CC(C)n1nccc1NC(=O)[C@@H](C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592146793 355511791 /nfs/dbraw/zinc/51/17/91/355511791.db2.gz KLVBCQHFQSDCPD-IUODEOHRSA-N 1 2 305.382 1.141 20 30 DDEDLO CC(C)n1nccc1NC(=O)[C@@H](C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592146793 355511795 /nfs/dbraw/zinc/51/17/95/355511795.db2.gz KLVBCQHFQSDCPD-IUODEOHRSA-N 1 2 305.382 1.141 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1N(Cc2cnc3c(C#N)cnn3c2)CC1(C)C ZINC000592514703 355609482 /nfs/dbraw/zinc/60/94/82/355609482.db2.gz XIFQRPHTEQPHGO-AWEZNQCLSA-N 1 2 321.388 1.918 20 30 DDEDLO N#Cc1cccc2c1C[N@H+](C[C@H](O)COC[C@H]1CCCO1)C2 ZINC000593121663 355806224 /nfs/dbraw/zinc/80/62/24/355806224.db2.gz CTIBYLLABMALNT-JKSUJKDBSA-N 1 2 302.374 1.430 20 30 DDEDLO N#Cc1cccc2c1C[N@@H+](C[C@H](O)COC[C@H]1CCCO1)C2 ZINC000593121663 355806226 /nfs/dbraw/zinc/80/62/26/355806226.db2.gz CTIBYLLABMALNT-JKSUJKDBSA-N 1 2 302.374 1.430 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@H](C[C@@H]1CCCO1)C(F)(F)F ZINC000593153579 355812519 /nfs/dbraw/zinc/81/25/19/355812519.db2.gz VNZHMFWQXYZICA-GVXVVHGQSA-N 1 2 321.343 1.694 20 30 DDEDLO COc1cc(F)c(OC[C@@H](O)C[N@H+](C)CCC#N)cc1OC ZINC000593337086 355856882 /nfs/dbraw/zinc/85/68/82/355856882.db2.gz CKZUOKAHHYMUBK-NSHDSACASA-N 1 2 312.341 1.428 20 30 DDEDLO COc1cc(F)c(OC[C@@H](O)C[N@@H+](C)CCC#N)cc1OC ZINC000593337086 355856884 /nfs/dbraw/zinc/85/68/84/355856884.db2.gz CKZUOKAHHYMUBK-NSHDSACASA-N 1 2 312.341 1.428 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCC2)CC2(CCCCC2)O1 ZINC000594057659 356102596 /nfs/dbraw/zinc/10/25/96/356102596.db2.gz KMNVUUVXCZIVSI-CQSZACIVSA-N 1 2 305.422 1.972 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)CC2(CCCCC2)O1 ZINC000594057659 356102598 /nfs/dbraw/zinc/10/25/98/356102598.db2.gz KMNVUUVXCZIVSI-CQSZACIVSA-N 1 2 305.422 1.972 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCCc1[nH+]cc(C)cc1C ZINC000594474008 356228900 /nfs/dbraw/zinc/22/89/00/356228900.db2.gz BPDKEZVVWSFFHF-ZDUSSCGKSA-N 1 2 324.450 1.557 20 30 DDEDLO COC(C)(C)CCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000565240757 304057037 /nfs/dbraw/zinc/05/70/37/304057037.db2.gz DCMKNMDWTIBWON-UHFFFAOYSA-N 1 2 319.405 1.367 20 30 DDEDLO COC(=O)[C@H](c1cccc(OC)c1)[N@H+](C)CCOCCC#N ZINC000595674343 356604196 /nfs/dbraw/zinc/60/41/96/356604196.db2.gz KWZUPJOXEYYRQD-HNNXBMFYSA-N 1 2 306.362 1.771 20 30 DDEDLO COC(=O)[C@H](c1cccc(OC)c1)[N@@H+](C)CCOCCC#N ZINC000595674343 356604198 /nfs/dbraw/zinc/60/41/98/356604198.db2.gz KWZUPJOXEYYRQD-HNNXBMFYSA-N 1 2 306.362 1.771 20 30 DDEDLO COC(=O)C[C@@H]1C(=O)NCC[N@H+]1CCC1(C#N)CCCCC1 ZINC000411129380 291114099 /nfs/dbraw/zinc/11/40/99/291114099.db2.gz DZBORTHEWCFFJU-CYBMUJFWSA-N 1 2 307.394 1.214 20 30 DDEDLO COC(=O)C[C@@H]1C(=O)NCC[N@@H+]1CCC1(C#N)CCCCC1 ZINC000411129380 291114102 /nfs/dbraw/zinc/11/41/02/291114102.db2.gz DZBORTHEWCFFJU-CYBMUJFWSA-N 1 2 307.394 1.214 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[NH+]1C[C@@H](C)C(O)[C@H](C)C1 ZINC000595737399 356629431 /nfs/dbraw/zinc/62/94/31/356629431.db2.gz BJKJCJXYUQTNIG-JMSVASOKSA-N 1 2 302.374 1.721 20 30 DDEDLO CC[N@H+](CCC(=O)NCc1ccc(OC)cc1OC)[C@@H](C)C#N ZINC000595820821 356663818 /nfs/dbraw/zinc/66/38/18/356663818.db2.gz XFPQQUILFCDDAJ-ZDUSSCGKSA-N 1 2 319.405 1.944 20 30 DDEDLO CC[N@@H+](CCC(=O)NCc1ccc(OC)cc1OC)[C@@H](C)C#N ZINC000595820821 356663821 /nfs/dbraw/zinc/66/38/21/356663821.db2.gz XFPQQUILFCDDAJ-ZDUSSCGKSA-N 1 2 319.405 1.944 20 30 DDEDLO C=CCCSCCNC(=O)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000597353079 357145550 /nfs/dbraw/zinc/14/55/50/357145550.db2.gz AZYZFBOLRNXFBN-UHFFFAOYSA-N 1 2 321.446 1.740 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@H+]2CC[C@H](NC(N)=O)C2)c1 ZINC000276846853 213130266 /nfs/dbraw/zinc/13/02/66/213130266.db2.gz DCEAMGIQSGFLGI-NSHDSACASA-N 1 2 323.194 1.563 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@@H+]2CC[C@H](NC(N)=O)C2)c1 ZINC000276846853 213130268 /nfs/dbraw/zinc/13/02/68/213130268.db2.gz DCEAMGIQSGFLGI-NSHDSACASA-N 1 2 323.194 1.563 20 30 DDEDLO Cc1nccc(NC(=O)N2CC[NH+](Cc3cccnc3)CC2)n1 ZINC000329611028 223001359 /nfs/dbraw/zinc/00/13/59/223001359.db2.gz NXVLPGXWJVHBFX-UHFFFAOYSA-N 1 2 312.377 1.543 20 30 DDEDLO O=C(CS(=O)(=O)C1CCCC1)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000329657756 223010131 /nfs/dbraw/zinc/01/01/31/223010131.db2.gz NBCGKLOKICJNCO-JTQLQIEISA-N 1 2 311.407 1.581 20 30 DDEDLO O=C(CS(=O)(=O)C1CCCC1)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000329657756 223010134 /nfs/dbraw/zinc/01/01/34/223010134.db2.gz NBCGKLOKICJNCO-JTQLQIEISA-N 1 2 311.407 1.581 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1CO ZINC000598649882 357670029 /nfs/dbraw/zinc/67/00/29/357670029.db2.gz ZVQIGKNUEOGESX-HIFRSBDPSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1CO ZINC000598649882 357670032 /nfs/dbraw/zinc/67/00/32/357670032.db2.gz ZVQIGKNUEOGESX-HIFRSBDPSA-N 1 2 322.430 1.282 20 30 DDEDLO Cc1c(C(=O)N[C@H]2CCc3[nH+]c(C(C)(C)C)cn3C2)nnn1C ZINC000329702766 223016534 /nfs/dbraw/zinc/01/65/34/223016534.db2.gz IBIITIDVPXIJQP-NSHDSACASA-N 1 2 316.409 1.937 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)N1CCC(N2CCCCC2=O)CC1 ZINC000329741635 223022922 /nfs/dbraw/zinc/02/29/22/223022922.db2.gz WBHYCEQJVBAGNR-UHFFFAOYSA-N 1 2 319.409 1.311 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)N2CCc3[nH+]c[nH]c3C2)c(C#N)c1C ZINC000599424132 357929700 /nfs/dbraw/zinc/92/97/00/357929700.db2.gz TUYGWQLFMLZART-JTQLQIEISA-N 1 2 313.361 1.877 20 30 DDEDLO COC(=O)CN(CC[NH+]1CCOCC1)c1cccc(F)c1C#N ZINC000180132022 199121627 /nfs/dbraw/zinc/12/16/27/199121627.db2.gz MQSDRCSUVLFPNO-UHFFFAOYSA-N 1 2 321.352 1.009 20 30 DDEDLO Cc1c[nH]c(CN2CCN(c3oc(C4CC4)nc3C#N)CC2)[nH+]1 ZINC000600111921 358127008 /nfs/dbraw/zinc/12/70/08/358127008.db2.gz ULMHZGKOCCBVOM-UHFFFAOYSA-N 1 2 312.377 1.777 20 30 DDEDLO CC(C)SCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000600556533 358256434 /nfs/dbraw/zinc/25/64/34/358256434.db2.gz AYGYPCUDRTXCLR-UHFFFAOYSA-N 1 2 307.419 1.651 20 30 DDEDLO CC[N@@H+]1CCOC[C@H]1C(=O)N1CCc2c(CC#N)cccc2C1 ZINC000600783162 358313689 /nfs/dbraw/zinc/31/36/89/358313689.db2.gz DHPRMIIDMPGUIY-KRWDZBQOSA-N 1 2 313.401 1.358 20 30 DDEDLO CC[N@H+]1CCOC[C@H]1C(=O)N1CCc2c(CC#N)cccc2C1 ZINC000600783162 358313693 /nfs/dbraw/zinc/31/36/93/358313693.db2.gz DHPRMIIDMPGUIY-KRWDZBQOSA-N 1 2 313.401 1.358 20 30 DDEDLO N#CC1(CC(=O)NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)CC1 ZINC000600684048 358282139 /nfs/dbraw/zinc/28/21/39/358282139.db2.gz VUOQQGVFRZJEKL-HNNXBMFYSA-N 1 2 314.389 1.092 20 30 DDEDLO N#CC1(CC(=O)NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)CC1 ZINC000600684048 358282143 /nfs/dbraw/zinc/28/21/43/358282143.db2.gz VUOQQGVFRZJEKL-HNNXBMFYSA-N 1 2 314.389 1.092 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccc(O)cc3)CC2)cn1 ZINC000600864541 358332117 /nfs/dbraw/zinc/33/21/17/358332117.db2.gz LIDXRFNVPSLZAQ-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO CN1CCCC[C@@H]([N@H+](C)CC(=O)Nc2ccc(C#N)cc2)C1=O ZINC000601968842 358758720 /nfs/dbraw/zinc/75/87/20/358758720.db2.gz HZRRFSCVMZZBGN-OAHLLOKOSA-N 1 2 314.389 1.439 20 30 DDEDLO CN1CCCC[C@@H]([N@@H+](C)CC(=O)Nc2ccc(C#N)cc2)C1=O ZINC000601968842 358758723 /nfs/dbraw/zinc/75/87/23/358758723.db2.gz HZRRFSCVMZZBGN-OAHLLOKOSA-N 1 2 314.389 1.439 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCO[C@H](C(F)F)CC1 ZINC000601977842 358762768 /nfs/dbraw/zinc/76/27/68/358762768.db2.gz UPTUSADKFJOPPY-NEPJUHHUSA-N 1 2 303.353 1.351 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCO[C@H](C(F)F)CC1 ZINC000601977842 358762769 /nfs/dbraw/zinc/76/27/69/358762769.db2.gz UPTUSADKFJOPPY-NEPJUHHUSA-N 1 2 303.353 1.351 20 30 DDEDLO C=CCOC[C@H](NC(=O)c1ccn2cc(C)[nH+]c2c1)C(=O)OC ZINC000602143818 358843523 /nfs/dbraw/zinc/84/35/23/358843523.db2.gz UWCSSFPOEOOJSL-ZDUSSCGKSA-N 1 2 317.345 1.117 20 30 DDEDLO Cc1cn(CC(=O)N[C@](C)(C#N)C[NH+](C)C)nc1C(F)(F)F ZINC000602336673 358937417 /nfs/dbraw/zinc/93/74/17/358937417.db2.gz ZKIDTJODADJSAV-GFCCVEGCSA-N 1 2 317.315 1.170 20 30 DDEDLO COC(=O)[C@@H]([N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)C1(OC)CCC1 ZINC000602847675 359235337 /nfs/dbraw/zinc/23/53/37/359235337.db2.gz CBGHBWCCLSWYAT-HZSPNIEDSA-N 1 2 309.410 1.015 20 30 DDEDLO COC(=O)[C@@H]([N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)C1(OC)CCC1 ZINC000602847675 359235342 /nfs/dbraw/zinc/23/53/42/359235342.db2.gz CBGHBWCCLSWYAT-HZSPNIEDSA-N 1 2 309.410 1.015 20 30 DDEDLO Cc1ccc(NC(=O)C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)cc1F ZINC000602854418 359241351 /nfs/dbraw/zinc/24/13/51/359241351.db2.gz BWBWBSXISIOANX-KBPBESRZSA-N 1 2 318.396 1.991 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1)[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602857070 359243291 /nfs/dbraw/zinc/24/32/91/359243291.db2.gz OOSOYYVGMKUTDA-ZNMIVQPWSA-N 1 2 300.406 1.932 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1)[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602857070 359243294 /nfs/dbraw/zinc/24/32/94/359243294.db2.gz OOSOYYVGMKUTDA-ZNMIVQPWSA-N 1 2 300.406 1.932 20 30 DDEDLO C[C@H](C(=O)NCC1CCCCC1)[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602857215 359243851 /nfs/dbraw/zinc/24/38/51/359243851.db2.gz PQUYCFZWGHKHDP-BZUAXINKSA-N 1 2 320.481 1.990 20 30 DDEDLO C[C@@H]1CCC[C@H](NC(=O)C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)[C@@H]1C ZINC000602858061 359244800 /nfs/dbraw/zinc/24/48/00/359244800.db2.gz YGWZNTLUPQFAAN-UUAJXVIYSA-N 1 2 320.481 1.845 20 30 DDEDLO C[C@@H]1CCC[C@H](NC(=O)C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)[C@@H]1C ZINC000602858061 359244804 /nfs/dbraw/zinc/24/48/04/359244804.db2.gz YGWZNTLUPQFAAN-UUAJXVIYSA-N 1 2 320.481 1.845 20 30 DDEDLO C[C@@H]1CCC[C@H](NC(=O)C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)[C@@H]1C ZINC000602858059 359244985 /nfs/dbraw/zinc/24/49/85/359244985.db2.gz YGWZNTLUPQFAAN-HMDCTGQHSA-N 1 2 320.481 1.845 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@H+](C)[C@H]1CCC[C@@H]1C#N ZINC000602974097 359334056 /nfs/dbraw/zinc/33/40/56/359334056.db2.gz JURCMNZZKXUNEX-ILXRZTDVSA-N 1 2 321.421 1.555 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@@H+](C)[C@H]1CCC[C@@H]1C#N ZINC000602974097 359334061 /nfs/dbraw/zinc/33/40/61/359334061.db2.gz JURCMNZZKXUNEX-ILXRZTDVSA-N 1 2 321.421 1.555 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@H+](CCOc2ccc(C#N)cc2)CCO1 ZINC000603003374 359349766 /nfs/dbraw/zinc/34/97/66/359349766.db2.gz XWIGPMZSFKGBGD-KRWDZBQOSA-N 1 2 318.373 1.591 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@@H+](CCOc2ccc(C#N)cc2)CCO1 ZINC000603003374 359349770 /nfs/dbraw/zinc/34/97/70/359349770.db2.gz XWIGPMZSFKGBGD-KRWDZBQOSA-N 1 2 318.373 1.591 20 30 DDEDLO C[C@@H](O)C[NH+]1CCN(C(=O)CSc2ccc(C#N)cc2)CC1 ZINC000186799995 200013625 /nfs/dbraw/zinc/01/36/25/200013625.db2.gz FWFCLEKVXMGFCE-CYBMUJFWSA-N 1 2 319.430 1.175 20 30 DDEDLO Cc1cc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c(C)[nH]1 ZINC000604538472 359762786 /nfs/dbraw/zinc/76/27/86/359762786.db2.gz QKSYQZAETSRMDX-UHFFFAOYSA-N 1 2 304.394 1.320 20 30 DDEDLO COCC[N@@H+]1CCN(C(=O)c2ccc(C#N)c(O)c2)C[C@@H]1C ZINC000188518015 200259727 /nfs/dbraw/zinc/25/97/27/200259727.db2.gz LODUXRICRXFAKQ-LBPRGKRZSA-N 1 2 303.362 1.057 20 30 DDEDLO COCC[N@H+]1CCN(C(=O)c2ccc(C#N)c(O)c2)C[C@@H]1C ZINC000188518015 200259729 /nfs/dbraw/zinc/25/97/29/200259729.db2.gz LODUXRICRXFAKQ-LBPRGKRZSA-N 1 2 303.362 1.057 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)N2CCC[C@H](n3cc[nH+]c3)C2)cc1 ZINC000610533930 360462608 /nfs/dbraw/zinc/46/26/08/360462608.db2.gz ZHOKQGBNIKCQTR-HNNXBMFYSA-N 1 2 323.356 1.557 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Cn1cc2ccccc2n1 ZINC000330066251 223069920 /nfs/dbraw/zinc/06/99/20/223069920.db2.gz SSJITDATEFPESD-CYBMUJFWSA-N 1 2 302.378 1.714 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC000611039546 360609331 /nfs/dbraw/zinc/60/93/31/360609331.db2.gz ZQTMIHDTJVSLHH-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC000611039546 360609333 /nfs/dbraw/zinc/60/93/33/360609333.db2.gz ZQTMIHDTJVSLHH-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO C[C@@H]1CCCC[C@H]1N(C)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611175631 360649433 /nfs/dbraw/zinc/64/94/33/360649433.db2.gz KIRGBGJCUMFEAK-HZPDHXFCSA-N 1 2 306.454 1.555 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@@H+](C[C@H](C)C#N)C3CC3)sc2n1 ZINC000611091300 360619699 /nfs/dbraw/zinc/61/96/99/360619699.db2.gz RSKJZMYTMDAAGG-SECBINFHSA-N 1 2 303.391 1.584 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@H+](C[C@H](C)C#N)C3CC3)sc2n1 ZINC000611091300 360619709 /nfs/dbraw/zinc/61/97/09/360619709.db2.gz RSKJZMYTMDAAGG-SECBINFHSA-N 1 2 303.391 1.584 20 30 DDEDLO CO[C@H](C)c1noc(CN2CCC[N@H+](CC3(C#N)CC3)CC2)n1 ZINC000611430247 360718676 /nfs/dbraw/zinc/71/86/76/360718676.db2.gz UIGBOKHERXLLOZ-CYBMUJFWSA-N 1 2 319.409 1.588 20 30 DDEDLO CO[C@H](C)c1noc(CN2CCC[N@@H+](CC3(C#N)CC3)CC2)n1 ZINC000611430247 360718680 /nfs/dbraw/zinc/71/86/80/360718680.db2.gz UIGBOKHERXLLOZ-CYBMUJFWSA-N 1 2 319.409 1.588 20 30 DDEDLO Cn1c[nH+]cc1CN1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000611550771 360756203 /nfs/dbraw/zinc/75/62/03/360756203.db2.gz JTFUSJMPGHLKHL-KRWDZBQOSA-N 1 2 313.380 1.942 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1C[C@]2(CCOC2)OC(C)(C)C1)C1CC1 ZINC000330165456 223081635 /nfs/dbraw/zinc/08/16/35/223081635.db2.gz WZPWIQCNZYOSTM-SJORKVTESA-N 1 2 321.421 1.905 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1C[C@]2(CCOC2)OC(C)(C)C1)C1CC1 ZINC000330165456 223081639 /nfs/dbraw/zinc/08/16/39/223081639.db2.gz WZPWIQCNZYOSTM-SJORKVTESA-N 1 2 321.421 1.905 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](CCN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000612163279 360937396 /nfs/dbraw/zinc/93/73/96/360937396.db2.gz QTORMJWDLVKLGQ-HNNXBMFYSA-N 1 2 304.394 1.477 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](CCN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000612163279 360937399 /nfs/dbraw/zinc/93/73/99/360937399.db2.gz QTORMJWDLVKLGQ-HNNXBMFYSA-N 1 2 304.394 1.477 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@@H+]2CCC[C@](C)(C#N)C2)CC1 ZINC000612163365 360937437 /nfs/dbraw/zinc/93/74/37/360937437.db2.gz PWWZUJUDKXHOKK-QGZVFWFLSA-N 1 2 321.421 1.414 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@H+]2CCC[C@](C)(C#N)C2)CC1 ZINC000612163365 360937447 /nfs/dbraw/zinc/93/74/47/360937447.db2.gz PWWZUJUDKXHOKK-QGZVFWFLSA-N 1 2 321.421 1.414 20 30 DDEDLO C[C@]12CC[N@H+](CC(=O)NCC(=O)N3CCCC3)C[C@H]1C2(F)F ZINC000330257231 223095443 /nfs/dbraw/zinc/09/54/43/223095443.db2.gz QOPIAGOJZLVOKC-RISCZKNCSA-N 1 2 315.364 1.542 20 30 DDEDLO C[C@]12CC[N@@H+](CC(=O)NCC(=O)N3CCCC3)C[C@H]1C2(F)F ZINC000330257231 223095445 /nfs/dbraw/zinc/09/54/45/223095445.db2.gz QOPIAGOJZLVOKC-RISCZKNCSA-N 1 2 315.364 1.542 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000330591036 223128369 /nfs/dbraw/zinc/12/83/69/223128369.db2.gz YZWYWPNHIUMKHQ-XJKCOSOUSA-N 1 2 302.378 1.199 20 30 DDEDLO Cc1ncc([N+](=O)[O-])cc1C(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000331355555 223204121 /nfs/dbraw/zinc/20/41/21/223204121.db2.gz QUGOGDAXJOPCOL-UHFFFAOYSA-N 1 2 322.365 1.714 20 30 DDEDLO N#CCC1(CS(=O)(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)CC1 ZINC000333405931 223221075 /nfs/dbraw/zinc/22/10/75/223221075.db2.gz JJXSXJIPCRFANC-CQSZACIVSA-N 1 2 321.402 1.558 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)N1CC[NH+](C2CC2)CC1 ZINC000195227028 201361574 /nfs/dbraw/zinc/36/15/74/201361574.db2.gz VQDNKRTULCLECO-UHFFFAOYSA-N 1 2 312.373 1.112 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(NC(=O)c2ccc(C)s2)CC1 ZINC000619719254 364130475 /nfs/dbraw/zinc/13/04/75/364130475.db2.gz CVGAARBSDBQZGQ-CYBMUJFWSA-N 1 2 322.430 1.978 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(NC(=O)c2ccc(C)s2)CC1 ZINC000619719255 364130901 /nfs/dbraw/zinc/13/09/01/364130901.db2.gz CVGAARBSDBQZGQ-ZDUSSCGKSA-N 1 2 322.430 1.978 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(O)(Cc2ccc(F)cc2)CC1 ZINC000619721573 364131104 /nfs/dbraw/zinc/13/11/04/364131104.db2.gz LUSLCATXNZAKNS-HNNXBMFYSA-N 1 2 307.365 1.923 20 30 DDEDLO C[C@@H](NC(=O)C(C)(C)[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000619776124 364147370 /nfs/dbraw/zinc/14/73/70/364147370.db2.gz ASTVLYZLWUIDBU-CYBMUJFWSA-N 1 2 301.390 1.846 20 30 DDEDLO C[C@@H](c1cccnc1)N1C(=O)C[C@@H]([NH+]2CCC(C#N)CC2)C1=O ZINC000343026914 223315407 /nfs/dbraw/zinc/31/54/07/223315407.db2.gz VZRHLUJUDANRIN-SWLSCSKDSA-N 1 2 312.373 1.506 20 30 DDEDLO COc1ccc([C@@H]2CCC[N@@H+]2CC(=O)NCC#N)cc1OC ZINC000343256015 223318658 /nfs/dbraw/zinc/31/86/58/223318658.db2.gz PTTYNDVGZNFRDX-ZDUSSCGKSA-N 1 2 303.362 1.480 20 30 DDEDLO COc1ccc([C@@H]2CCC[N@H+]2CC(=O)NCC#N)cc1OC ZINC000343256015 223318660 /nfs/dbraw/zinc/31/86/60/223318660.db2.gz PTTYNDVGZNFRDX-ZDUSSCGKSA-N 1 2 303.362 1.480 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@@H+]1CCOC[C@H]1CC(=O)c1ccco1 ZINC000621284598 364773449 /nfs/dbraw/zinc/77/34/49/364773449.db2.gz XSFZOHDNIXULLI-KGLIPLIRSA-N 1 2 320.389 1.854 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@H+]1CCOC[C@H]1CC(=O)c1ccco1 ZINC000621284598 364773456 /nfs/dbraw/zinc/77/34/56/364773456.db2.gz XSFZOHDNIXULLI-KGLIPLIRSA-N 1 2 320.389 1.854 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+](C)[C@@H](C)CS(=O)(=O)CC ZINC000093417217 193246499 /nfs/dbraw/zinc/24/64/99/193246499.db2.gz QAJUHJUWLFDQHX-AWEZNQCLSA-N 1 2 309.431 1.954 20 30 DDEDLO C#CCOc1ccccc1C[N@H+](C)[C@@H](C)CS(=O)(=O)CC ZINC000093417217 193246501 /nfs/dbraw/zinc/24/65/01/193246501.db2.gz QAJUHJUWLFDQHX-AWEZNQCLSA-N 1 2 309.431 1.954 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+](C)CCS(=O)(=O)CC)cc1 ZINC000093643436 193282709 /nfs/dbraw/zinc/28/27/09/193282709.db2.gz LQNOYUHTIJKORV-UHFFFAOYSA-N 1 2 309.431 1.955 20 30 DDEDLO C#CCCOc1ccc(C[N@H+](C)CCS(=O)(=O)CC)cc1 ZINC000093643436 193282710 /nfs/dbraw/zinc/28/27/10/193282710.db2.gz LQNOYUHTIJKORV-UHFFFAOYSA-N 1 2 309.431 1.955 20 30 DDEDLO C#CCCNC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000264963238 204306912 /nfs/dbraw/zinc/30/69/12/204306912.db2.gz BFRLVHIAIISTCT-QGZVFWFLSA-N 1 2 315.417 1.252 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000334048799 249195021 /nfs/dbraw/zinc/19/50/21/249195021.db2.gz XLDIEJWWYPPPOO-QWHCGFSZSA-N 1 2 301.350 1.138 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000334048799 249195022 /nfs/dbraw/zinc/19/50/22/249195022.db2.gz XLDIEJWWYPPPOO-QWHCGFSZSA-N 1 2 301.350 1.138 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(-c2[nH+]ccn2C)c1)n1cnc(C#N)n1 ZINC000336882174 249281959 /nfs/dbraw/zinc/28/19/59/249281959.db2.gz FCONVWFOXKDZTP-LLVKDONJSA-N 1 2 321.344 1.750 20 30 DDEDLO C[C@@H](C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1)n1cnc(C#N)n1 ZINC000337076348 249341101 /nfs/dbraw/zinc/34/11/01/249341101.db2.gz ZOOFSZMHCBDLBR-FRRDWIJNSA-N 1 2 313.365 1.017 20 30 DDEDLO C[N@@H+](Cc1cscn1)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000042865332 183315660 /nfs/dbraw/zinc/31/56/60/183315660.db2.gz NSEKABNKSISJIE-CQSZACIVSA-N 1 2 303.387 1.886 20 30 DDEDLO C[N@H+](Cc1cscn1)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000042865332 183315662 /nfs/dbraw/zinc/31/56/62/183315662.db2.gz NSEKABNKSISJIE-CQSZACIVSA-N 1 2 303.387 1.886 20 30 DDEDLO C#CC[NH+]1CCN(Cn2ncn(-c3ccccc3)c2=S)CC1 ZINC000044756424 183437624 /nfs/dbraw/zinc/43/76/24/183437624.db2.gz GLNVJUSVIMFTGU-UHFFFAOYSA-N 1 2 313.430 1.612 20 30 DDEDLO Cc1ncc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)s1 ZINC000105790332 194129138 /nfs/dbraw/zinc/12/91/38/194129138.db2.gz DPWXGFINNVBWRT-NSHDSACASA-N 1 2 314.418 1.824 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)s1 ZINC000105790332 194129139 /nfs/dbraw/zinc/12/91/39/194129139.db2.gz DPWXGFINNVBWRT-NSHDSACASA-N 1 2 314.418 1.824 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000336942716 284850447 /nfs/dbraw/zinc/85/04/47/284850447.db2.gz KUZAGBUCQKNTRS-GFCCVEGCSA-N 1 2 311.411 1.417 20 30 DDEDLO COCCC[N@H+](Cc1ccc(C#N)o1)[C@@H]1CCS(=O)(=O)C1 ZINC000120141748 195104861 /nfs/dbraw/zinc/10/48/61/195104861.db2.gz SLVSQBBDWPBJTG-GFCCVEGCSA-N 1 2 312.391 1.177 20 30 DDEDLO COCCC[N@@H+](Cc1ccc(C#N)o1)[C@@H]1CCS(=O)(=O)C1 ZINC000120141748 195104863 /nfs/dbraw/zinc/10/48/63/195104863.db2.gz SLVSQBBDWPBJTG-GFCCVEGCSA-N 1 2 312.391 1.177 20 30 DDEDLO Cc1cccc(S(=O)(=O)N(C)Cc2[nH+]ccn2C)c1C#N ZINC000156474948 197116266 /nfs/dbraw/zinc/11/62/66/197116266.db2.gz JLRZLDCOBAFYHL-UHFFFAOYSA-N 1 2 304.375 1.421 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)c1c(F)cc(C#N)cc1F ZINC000120578838 195194184 /nfs/dbraw/zinc/19/41/84/195194184.db2.gz NQJVHBRIYASBLD-JTQLQIEISA-N 1 2 309.316 1.287 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(F)cc2C#N)[C@H](C)C1 ZINC000285182706 285328741 /nfs/dbraw/zinc/32/87/41/285328741.db2.gz BWJQGIUZQSUKJH-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(F)cc2C#N)[C@H](C)C1 ZINC000285182706 285328745 /nfs/dbraw/zinc/32/87/45/285328745.db2.gz BWJQGIUZQSUKJH-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO C=CCNC(=O)c1cccc(S(=O)(=O)Nc2cc[nH+]cc2)c1 ZINC000105565141 221502174 /nfs/dbraw/zinc/50/21/74/221502174.db2.gz UWZZXUKVEOPPPA-UHFFFAOYSA-N 1 2 317.370 1.798 20 30 DDEDLO C[C@@H](CNC(=O)Cn1cc2ccccc2n1)[NH+]1CCN(C)CC1 ZINC000330040657 533360719 /nfs/dbraw/zinc/36/07/19/533360719.db2.gz CORQGWLTNSHHDN-AWEZNQCLSA-N 1 2 315.421 1.629 20 30 DDEDLO Cc1nnc(N2CCN(Cc3[nH+]ccn3C)CC2)c(C#N)c1C ZINC000069348546 406696508 /nfs/dbraw/zinc/69/65/08/406696508.db2.gz SOJLKELSKROYMF-UHFFFAOYSA-N 1 2 311.393 1.021 20 30 DDEDLO CC[N@@H+]1CCC[C@H]1CNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000074350684 406909542 /nfs/dbraw/zinc/90/95/42/406909542.db2.gz JVAMWNGKNJRLSG-NSHDSACASA-N 1 2 320.418 1.595 20 30 DDEDLO CC[N@H+]1CCC[C@H]1CNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000074350684 406909544 /nfs/dbraw/zinc/90/95/44/406909544.db2.gz JVAMWNGKNJRLSG-NSHDSACASA-N 1 2 320.418 1.595 20 30 DDEDLO Cc1nc(C(=O)NCc2cccnc2-n2cc[nH+]c2)ccc1C#N ZINC000029022525 406920935 /nfs/dbraw/zinc/92/09/35/406920935.db2.gz YCVGGXJRAMRWBT-UHFFFAOYSA-N 1 2 318.340 1.772 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1coc(-c2ccccc2)n1 ZINC000078640103 407037338 /nfs/dbraw/zinc/03/73/38/407037338.db2.gz RQLGFGWIHBYHBY-UHFFFAOYSA-N 1 2 316.361 1.463 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)N(C)Cc1cccc(C#N)c1 ZINC000046480555 407060932 /nfs/dbraw/zinc/06/09/32/407060932.db2.gz DMLNHAIDVISMTB-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO CC[N@@H+](CC(=O)NCCOc1cccc(F)c1)C[C@H](C)C#N ZINC000066424946 407251493 /nfs/dbraw/zinc/25/14/93/407251493.db2.gz KLHONZULXHZYCS-CYBMUJFWSA-N 1 2 307.369 1.802 20 30 DDEDLO CC[N@H+](CC(=O)NCCOc1cccc(F)c1)C[C@H](C)C#N ZINC000066424946 407251495 /nfs/dbraw/zinc/25/14/95/407251495.db2.gz KLHONZULXHZYCS-CYBMUJFWSA-N 1 2 307.369 1.802 20 30 DDEDLO CCOC(=O)[C@@H](C)[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000067387544 407273091 /nfs/dbraw/zinc/27/30/91/407273091.db2.gz QBIHWLHSRMKNAE-CYBMUJFWSA-N 1 2 302.378 1.417 20 30 DDEDLO CCOC(=O)[C@@H](C)[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000067387544 407273093 /nfs/dbraw/zinc/27/30/93/407273093.db2.gz QBIHWLHSRMKNAE-CYBMUJFWSA-N 1 2 302.378 1.417 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)Nc2ccc(C(C)(C)C#N)cc2)C1 ZINC000105281991 407353955 /nfs/dbraw/zinc/35/39/55/407353955.db2.gz MMLCSSFJUHCKJY-HNNXBMFYSA-N 1 2 316.405 1.940 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)Nc2ccc(C(C)(C)C#N)cc2)C1 ZINC000105281991 407353957 /nfs/dbraw/zinc/35/39/57/407353957.db2.gz MMLCSSFJUHCKJY-HNNXBMFYSA-N 1 2 316.405 1.940 20 30 DDEDLO N#CCSCC(=O)N1CC[NH+](C[C@@H](O)c2ccccc2)CC1 ZINC000105817279 407355288 /nfs/dbraw/zinc/35/52/88/407355288.db2.gz IMWKQQALXPTTMO-OAHLLOKOSA-N 1 2 319.430 1.121 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCN(CC(F)(F)F)[C@H](C)C1 ZINC000127906475 407477325 /nfs/dbraw/zinc/47/73/25/407477325.db2.gz WAUBREKZRSJALN-CYBMUJFWSA-N 1 2 319.371 1.755 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCN(CC(F)(F)F)[C@H](C)C1 ZINC000127906475 407477332 /nfs/dbraw/zinc/47/73/32/407477332.db2.gz WAUBREKZRSJALN-CYBMUJFWSA-N 1 2 319.371 1.755 20 30 DDEDLO C=C(C)COCCNC(=O)N1CC[C@@H]([NH+]2CCN(CC)CC2)C1 ZINC000151526764 407449866 /nfs/dbraw/zinc/44/98/66/407449866.db2.gz WMPGANGKABBHOO-MRXNPFEDSA-N 1 2 324.469 1.001 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000152380277 407627016 /nfs/dbraw/zinc/62/70/16/407627016.db2.gz VRVPSALVJHZAAN-HNNXBMFYSA-N 1 2 322.368 1.727 20 30 DDEDLO CCOc1ncccc1C[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000115596404 407696968 /nfs/dbraw/zinc/69/69/68/407696968.db2.gz MYJOTGLOWVYLBJ-OAHLLOKOSA-N 1 2 310.357 1.668 20 30 DDEDLO Cc1cn2cc(NC(=O)C(=O)N3CCC(C#N)CC3)ccc2[nH+]1 ZINC000271583583 407721388 /nfs/dbraw/zinc/72/13/88/407721388.db2.gz CFRDGAXYNZXHPW-UHFFFAOYSA-N 1 2 311.345 1.343 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)C[N@H+]1CCOc1ccccc1C#N ZINC000116286837 407744927 /nfs/dbraw/zinc/74/49/27/407744927.db2.gz ILEVIHHLKZQRBU-ZIAGYGMSSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)C[N@@H+]1CCOc1ccccc1C#N ZINC000116286837 407744933 /nfs/dbraw/zinc/74/49/33/407744933.db2.gz ILEVIHHLKZQRBU-ZIAGYGMSSA-N 1 2 304.346 1.199 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)N(CC)CC(=O)NCc1cccs1 ZINC000267243897 407803550 /nfs/dbraw/zinc/80/35/50/407803550.db2.gz FKPQKAYICSNWLC-UHFFFAOYSA-N 1 2 321.446 1.168 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)N(CC)CC(=O)NCc1cccs1 ZINC000267243897 407803558 /nfs/dbraw/zinc/80/35/58/407803558.db2.gz FKPQKAYICSNWLC-UHFFFAOYSA-N 1 2 321.446 1.168 20 30 DDEDLO CC(C)NS(=O)(=O)[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000134307358 407895314 /nfs/dbraw/zinc/89/53/14/407895314.db2.gz DVCHDROTXBUKHX-MRXNPFEDSA-N 1 2 324.421 1.579 20 30 DDEDLO CC(C)NS(=O)(=O)[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000134307358 407895321 /nfs/dbraw/zinc/89/53/21/407895321.db2.gz DVCHDROTXBUKHX-MRXNPFEDSA-N 1 2 324.421 1.579 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000134975197 407959647 /nfs/dbraw/zinc/95/96/47/407959647.db2.gz SYPOOONUUVJMMN-CVEARBPZSA-N 1 2 312.479 1.913 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](CCC)[C@H]1CCS(=O)(=O)C1 ZINC000134648358 407919960 /nfs/dbraw/zinc/91/99/60/407919960.db2.gz XMEZFXUZOUCFHO-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](CCC)[C@H]1CCS(=O)(=O)C1 ZINC000134648358 407919966 /nfs/dbraw/zinc/91/99/66/407919966.db2.gz XMEZFXUZOUCFHO-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3cccnc3)CC2)cc1O ZINC000188253578 407931075 /nfs/dbraw/zinc/93/10/75/407931075.db2.gz RKKAJRRCGMDBSS-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO C=C[C@H](C)NC(=O)CCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000154458096 408039446 /nfs/dbraw/zinc/03/94/46/408039446.db2.gz OJLYNNSUYKTIET-AWEZNQCLSA-N 1 2 316.405 1.201 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2CCC[C@](C)(O)C2)c(C#N)c1C ZINC000245894082 408091044 /nfs/dbraw/zinc/09/10/44/408091044.db2.gz KCEKYMWMIIYKMF-BZNIZROVSA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2CCC[C@](C)(O)C2)c(C#N)c1C ZINC000245894082 408091049 /nfs/dbraw/zinc/09/10/49/408091049.db2.gz KCEKYMWMIIYKMF-BZNIZROVSA-N 1 2 305.378 1.942 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCN(C(C)=O)C[C@@H]1C ZINC000268686155 408155694 /nfs/dbraw/zinc/15/56/94/408155694.db2.gz NPJNBOOGUGWLFW-ZDUSSCGKSA-N 1 2 317.389 1.498 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCN(C(C)=O)C[C@@H]1C ZINC000268686155 408155698 /nfs/dbraw/zinc/15/56/98/408155698.db2.gz NPJNBOOGUGWLFW-ZDUSSCGKSA-N 1 2 317.389 1.498 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@H]1CCCCO1 ZINC000156676012 408270738 /nfs/dbraw/zinc/27/07/38/408270738.db2.gz PAWJWPFXZFSMNH-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@H]1CCCCO1 ZINC000156676012 408270744 /nfs/dbraw/zinc/27/07/44/408270744.db2.gz PAWJWPFXZFSMNH-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCCC[C@H]2[C@@H](C)O)c(C#N)c1C ZINC000269422611 408303371 /nfs/dbraw/zinc/30/33/71/408303371.db2.gz JFELLPCFOHTMRU-RISCZKNCSA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCCC[C@H]2[C@@H](C)O)c(C#N)c1C ZINC000269422611 408303377 /nfs/dbraw/zinc/30/33/77/408303377.db2.gz JFELLPCFOHTMRU-RISCZKNCSA-N 1 2 305.378 1.942 20 30 DDEDLO N#Cc1nccnc1NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000263893202 408304946 /nfs/dbraw/zinc/30/49/46/408304946.db2.gz OOGBIQPREDAVKF-MRXNPFEDSA-N 1 2 309.373 1.834 20 30 DDEDLO Cc1nc(N2CCN(c3ccc([N+](=O)[O-])c(C#N)c3)CC2)cc[nH+]1 ZINC000167515788 162175451 /nfs/dbraw/zinc/17/54/51/162175451.db2.gz ZGFMWWADNYQBBD-UHFFFAOYSA-N 1 2 324.344 1.892 20 30 DDEDLO CCOC(=O)C1(O)CC[NH+](CC#Cc2ccc(F)cc2)CC1 ZINC000269623282 408366165 /nfs/dbraw/zinc/36/61/65/408366165.db2.gz OEIIZTAADIKRRN-UHFFFAOYSA-N 1 2 305.349 1.567 20 30 DDEDLO Cc1nc(CC(N)=[NH+]O[C@@H]2CCCN(C(C)C)C2=O)cs1 ZINC000176860448 408460031 /nfs/dbraw/zinc/46/00/31/408460031.db2.gz VHBRLPNLPQJSMT-GFCCVEGCSA-N 1 2 310.423 1.682 20 30 DDEDLO Cc1ncc(CO)c(/C=N/[C@H]2CCN(c3cccc[nH+]3)C2)c1O ZINC000270009729 408478741 /nfs/dbraw/zinc/47/87/41/408478741.db2.gz ZYAYDNDHJYMYDT-IEEVUCOHSA-N 1 2 312.373 1.681 20 30 DDEDLO N#Cc1c(Cl)nsc1N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000160390223 408478369 /nfs/dbraw/zinc/47/83/69/408478369.db2.gz NOFKQHLWHPKFIT-SNVBAGLBSA-N 1 2 312.826 1.969 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](C)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000265206351 408580592 /nfs/dbraw/zinc/58/05/92/408580592.db2.gz KTQWLQUJTGMLHV-GOEBONIOSA-N 1 2 313.401 1.252 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](C)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000265206351 408580596 /nfs/dbraw/zinc/58/05/96/408580596.db2.gz KTQWLQUJTGMLHV-GOEBONIOSA-N 1 2 313.401 1.252 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@@H](O)C[N@@H+](C)[C@@H](C)CC#N)cc1 ZINC000161724643 408631188 /nfs/dbraw/zinc/63/11/88/408631188.db2.gz IDILQAJLQXGJCM-WFASDCNBSA-N 1 2 305.378 1.619 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@@H](O)C[N@H+](C)[C@@H](C)CC#N)cc1 ZINC000161724643 408631193 /nfs/dbraw/zinc/63/11/93/408631193.db2.gz IDILQAJLQXGJCM-WFASDCNBSA-N 1 2 305.378 1.619 20 30 DDEDLO C=C(C)CN(CC)C(=O)CO[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000177563651 408659655 /nfs/dbraw/zinc/65/96/55/408659655.db2.gz APYQCWRUYIIRFP-UHFFFAOYSA-N 1 2 319.405 1.951 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cn4cccnc4n3)CC2)nc1 ZINC000193769696 408743342 /nfs/dbraw/zinc/74/33/42/408743342.db2.gz NZFBBEOOHHBXJO-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCc1ccc(Cl)cc1C#N ZINC000185370263 408800693 /nfs/dbraw/zinc/80/06/93/408800693.db2.gz GXSGUXDPNIPZDJ-UHFFFAOYSA-N 1 2 308.769 1.915 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000185439345 408808234 /nfs/dbraw/zinc/80/82/34/408808234.db2.gz QIIIMWCPBKUSRO-MRXNPFEDSA-N 1 2 302.353 1.540 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2cc(C)cc(C)c2)C1=O ZINC000281173915 408874380 /nfs/dbraw/zinc/87/43/80/408874380.db2.gz ALFKCVQVQKLFRI-MRXNPFEDSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2cc(C)cc(C)c2)C1=O ZINC000281173915 408874382 /nfs/dbraw/zinc/87/43/82/408874382.db2.gz ALFKCVQVQKLFRI-MRXNPFEDSA-N 1 2 315.417 1.961 20 30 DDEDLO CCOC(=O)C[N@H+](CC)C[C@H](O)COc1ccc(C#N)cc1 ZINC000195204365 408815532 /nfs/dbraw/zinc/81/55/32/408815532.db2.gz BVFGBVYQCRGRNN-AWEZNQCLSA-N 1 2 306.362 1.183 20 30 DDEDLO CCOC(=O)C[N@@H+](CC)C[C@H](O)COc1ccc(C#N)cc1 ZINC000195204365 408815533 /nfs/dbraw/zinc/81/55/33/408815533.db2.gz BVFGBVYQCRGRNN-AWEZNQCLSA-N 1 2 306.362 1.183 20 30 DDEDLO CN(C)[C@H](C(=O)N(C)Cc1cccc(C#N)c1)c1c[nH+]cn1C ZINC000280862667 408848863 /nfs/dbraw/zinc/84/88/63/408848863.db2.gz XFANGSADEFEPIA-INIZCTEOSA-N 1 2 311.389 1.553 20 30 DDEDLO C[C@@H](C#N)CN(C)S(=O)(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000281755587 408924374 /nfs/dbraw/zinc/92/43/74/408924374.db2.gz JKMGSABXGRKUPM-NWDGAFQWSA-N 1 2 311.411 1.273 20 30 DDEDLO C=CCN1CC[C@H](N(C)c2cc(N3CCCCC3)[nH+]cn2)C1=O ZINC000281871263 408944621 /nfs/dbraw/zinc/94/46/21/408944621.db2.gz NNEHQTVTAWLENR-AWEZNQCLSA-N 1 2 315.421 1.690 20 30 DDEDLO C=CCN1CC[C@H](N(C)c2cc(N3CCCCC3)nc[nH+]2)C1=O ZINC000281871263 408944624 /nfs/dbraw/zinc/94/46/24/408944624.db2.gz NNEHQTVTAWLENR-AWEZNQCLSA-N 1 2 315.421 1.690 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCc2ncnn2C(C)(C)C)c1 ZINC000282331844 409035822 /nfs/dbraw/zinc/03/58/22/409035822.db2.gz VCPVUCGOIJTNQA-UHFFFAOYSA-N 1 2 303.366 1.879 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)c1cnc(C(F)(F)F)c(C#N)c1 ZINC000292740327 409040697 /nfs/dbraw/zinc/04/06/97/409040697.db2.gz JLSUPEXTFIMKFU-UHFFFAOYSA-N 1 2 323.278 1.978 20 30 DDEDLO CC[N@H+](CC(=O)N(CCC#N)c1ccccc1)[C@H]1CCNC1=O ZINC000282449725 409056159 /nfs/dbraw/zinc/05/61/59/409056159.db2.gz SJPYOPWLIUKHTQ-HNNXBMFYSA-N 1 2 314.389 1.144 20 30 DDEDLO CC[N@@H+](CC(=O)N(CCC#N)c1ccccc1)[C@H]1CCNC1=O ZINC000282449725 409056160 /nfs/dbraw/zinc/05/61/60/409056160.db2.gz SJPYOPWLIUKHTQ-HNNXBMFYSA-N 1 2 314.389 1.144 20 30 DDEDLO NC(=[NH+]OCc1nnc2n1CCCC2)c1ccc(F)c(F)c1 ZINC000278325307 409079337 /nfs/dbraw/zinc/07/93/37/409079337.db2.gz WANZVRVIJMGELS-UHFFFAOYSA-N 1 2 307.304 1.730 20 30 DDEDLO CN(CCC#N)C(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000283306482 409133258 /nfs/dbraw/zinc/13/32/58/409133258.db2.gz PHQZLGFYTJHFJY-UHFFFAOYSA-N 1 2 311.345 1.398 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)NCc1cccnc1-n1cc[nH+]c1 ZINC000278903390 409109865 /nfs/dbraw/zinc/10/98/65/409109865.db2.gz YWXIZJFSOJVVLD-HUUCEWRRSA-N 1 2 312.373 1.865 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](Oc2ncccc2F)C1 ZINC000278959175 409120685 /nfs/dbraw/zinc/12/06/85/409120685.db2.gz ZWLJHPKJQGPCHN-OLZOCXBDSA-N 1 2 307.369 1.755 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](Oc2ncccc2F)C1 ZINC000278959175 409120687 /nfs/dbraw/zinc/12/06/87/409120687.db2.gz ZWLJHPKJQGPCHN-OLZOCXBDSA-N 1 2 307.369 1.755 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000278983270 409125729 /nfs/dbraw/zinc/12/57/29/409125729.db2.gz YRERIUXWOXELSF-OAHLLOKOSA-N 1 2 309.435 1.505 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000278983270 409125732 /nfs/dbraw/zinc/12/57/32/409125732.db2.gz YRERIUXWOXELSF-OAHLLOKOSA-N 1 2 309.435 1.505 20 30 DDEDLO C#CCN(C)C(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000283624106 409186661 /nfs/dbraw/zinc/18/66/61/409186661.db2.gz NXCHQACMKQTKEZ-UHFFFAOYSA-N 1 2 310.357 1.481 20 30 DDEDLO CN(C)c1noc(CO[NH+]=C(N)Cc2ccccc2Cl)n1 ZINC000283602323 409183440 /nfs/dbraw/zinc/18/34/40/409183440.db2.gz UBUUCZHXQJMIEM-UHFFFAOYSA-N 1 2 309.757 1.821 20 30 DDEDLO NC(=[NH+]OCC(=O)NCc1cccc(Cl)c1)[C@H]1CCCO1 ZINC000283868355 409230732 /nfs/dbraw/zinc/23/07/32/409230732.db2.gz CKHRMLLWJYZSRD-GFCCVEGCSA-N 1 2 311.769 1.424 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCC[C@@H]1c1nc(=O)[nH][nH]1 ZINC000289283549 409242124 /nfs/dbraw/zinc/24/21/24/409242124.db2.gz GLMWOCFAHVMDMG-GFCCVEGCSA-N 1 2 307.398 1.050 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCC[C@@H]1c1nc(=O)[nH][nH]1 ZINC000289283549 409242128 /nfs/dbraw/zinc/24/21/28/409242128.db2.gz GLMWOCFAHVMDMG-GFCCVEGCSA-N 1 2 307.398 1.050 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)N(C)CC[N@@H+]1CCOC(C)(C)C1 ZINC000279716911 409246419 /nfs/dbraw/zinc/24/64/19/409246419.db2.gz LDHLZNCVTAZABJ-MRXNPFEDSA-N 1 2 323.481 1.618 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)N(C)CC[N@H+]1CCOC(C)(C)C1 ZINC000279716911 409246420 /nfs/dbraw/zinc/24/64/20/409246420.db2.gz LDHLZNCVTAZABJ-MRXNPFEDSA-N 1 2 323.481 1.618 20 30 DDEDLO CN(C)S(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(C#N)s2)C1 ZINC000289368201 409256165 /nfs/dbraw/zinc/25/61/65/409256165.db2.gz LBUJNNSCKOBBQY-CYBMUJFWSA-N 1 2 313.448 1.476 20 30 DDEDLO CN(C)S(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC000289368201 409256166 /nfs/dbraw/zinc/25/61/66/409256166.db2.gz LBUJNNSCKOBBQY-CYBMUJFWSA-N 1 2 313.448 1.476 20 30 DDEDLO CC(C)OCc1nc([C@H](C)[NH2+]CCC(=O)N(C)CCC#N)no1 ZINC000289679626 409284486 /nfs/dbraw/zinc/28/44/86/409284486.db2.gz AUOLBMPWTIRCHJ-LBPRGKRZSA-N 1 2 323.397 1.407 20 30 DDEDLO N#CCCN(CC[C@H](O)c1ccccc1)CC[NH+]1CCOCC1 ZINC000290161433 409311420 /nfs/dbraw/zinc/31/14/20/409311420.db2.gz DERHKZMIMBVJGE-SFHVURJKSA-N 1 2 317.433 1.658 20 30 DDEDLO N#CCC[N@@H+](CC[C@H](O)c1ccccc1)CCN1CCOCC1 ZINC000290161433 409311422 /nfs/dbraw/zinc/31/14/22/409311422.db2.gz DERHKZMIMBVJGE-SFHVURJKSA-N 1 2 317.433 1.658 20 30 DDEDLO N#CCC[N@H+](CC[C@H](O)c1ccccc1)CCN1CCOCC1 ZINC000290161433 409311426 /nfs/dbraw/zinc/31/14/26/409311426.db2.gz DERHKZMIMBVJGE-SFHVURJKSA-N 1 2 317.433 1.658 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000285073091 409398250 /nfs/dbraw/zinc/39/82/50/409398250.db2.gz RPQIZPXMSOZYNR-SJLPKXTDSA-N 1 2 315.417 1.943 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000285073091 409398255 /nfs/dbraw/zinc/39/82/55/409398255.db2.gz RPQIZPXMSOZYNR-SJLPKXTDSA-N 1 2 315.417 1.943 20 30 DDEDLO COc1ccc(NC(=O)CO[NH+]=C(N)[C@H]2CCCO2)cc1OC ZINC000284387703 409339409 /nfs/dbraw/zinc/33/94/09/409339409.db2.gz MIBJARVQVFHXDH-GFCCVEGCSA-N 1 2 323.349 1.110 20 30 DDEDLO C=C(C)CS(=O)(=O)N[C@@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000284423916 409342466 /nfs/dbraw/zinc/34/24/66/409342466.db2.gz COKCAAZVRUJGGB-CYBMUJFWSA-N 1 2 313.423 1.383 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000285303763 409409659 /nfs/dbraw/zinc/40/96/59/409409659.db2.gz DKMJZAZMFWGIIL-MRXNPFEDSA-N 1 2 302.378 1.474 20 30 DDEDLO N#CCCS(=O)(=O)N1CCC[C@H](c2[nH+]cc3n2CCCC3)C1 ZINC000296082542 409424552 /nfs/dbraw/zinc/42/45/52/409424552.db2.gz QARMIUXWIXEROB-ZDUSSCGKSA-N 1 2 322.434 1.642 20 30 DDEDLO CCN(CCOC)c1cccc(Cn2nc(C)c(C#N)c2N)[nH+]1 ZINC000351919531 164118216 /nfs/dbraw/zinc/11/82/16/164118216.db2.gz QQLLUECCQIYHBD-UHFFFAOYSA-N 1 2 314.393 1.561 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCN(c3cccc[nH+]3)CC2)CCC1 ZINC000354114421 409666656 /nfs/dbraw/zinc/66/66/56/409666656.db2.gz HAMXDGLPIVYGQZ-UHFFFAOYSA-N 1 2 320.418 1.227 20 30 DDEDLO N#CCCCCNC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000354269587 409742865 /nfs/dbraw/zinc/74/28/65/409742865.db2.gz SZCRUYZTXSJOTO-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO C[N@H+](CC[C@H]1CCCO1)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000342606514 409760858 /nfs/dbraw/zinc/76/08/58/409760858.db2.gz PULHMURLLXKEEZ-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO C[N@@H+](CC[C@H]1CCCO1)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000342606514 409760868 /nfs/dbraw/zinc/76/08/68/409760868.db2.gz PULHMURLLXKEEZ-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000297118814 409812147 /nfs/dbraw/zinc/81/21/47/409812147.db2.gz MFZPJJDUFDEUEW-XFBWCDHKSA-N 1 2 322.355 1.865 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000297118814 409812156 /nfs/dbraw/zinc/81/21/56/409812156.db2.gz MFZPJJDUFDEUEW-XFBWCDHKSA-N 1 2 322.355 1.865 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C#N)s1 ZINC000332130332 409913221 /nfs/dbraw/zinc/91/32/21/409913221.db2.gz NGTBPYAWOIDLME-VXGBXAGGSA-N 1 2 305.403 1.555 20 30 DDEDLO N#Cc1cc(S(=O)(=O)NCCCCn2cc[nH+]c2)ccc1F ZINC000316912939 409860353 /nfs/dbraw/zinc/86/03/53/409860353.db2.gz ULXAOABAZDDAQS-UHFFFAOYSA-N 1 2 322.365 1.653 20 30 DDEDLO COCC1(C#N)CCN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC000357094625 409870798 /nfs/dbraw/zinc/87/07/98/409870798.db2.gz OXGGIJBWNAUJMN-GFCCVEGCSA-N 1 2 302.378 1.608 20 30 DDEDLO CC(C)(C(=O)NCC1(CO)CC2(CCC2)C1)[NH+]1CCOCC1 ZINC000328679857 409952331 /nfs/dbraw/zinc/95/23/31/409952331.db2.gz NIBCRNHMNCUODK-UHFFFAOYSA-N 1 2 310.438 1.997 20 30 DDEDLO CC1(C)SC[C@@H]1NC(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000328739641 409966698 /nfs/dbraw/zinc/96/66/98/409966698.db2.gz LJIDQDHWEJNEIL-NSHDSACASA-N 1 2 301.456 1.495 20 30 DDEDLO CC1(C)SC[C@@H]1NC(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000328739641 409966700 /nfs/dbraw/zinc/96/67/00/409966700.db2.gz LJIDQDHWEJNEIL-NSHDSACASA-N 1 2 301.456 1.495 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)c3cc(C#N)ccc3Cl)C[C@@H]21 ZINC000332203098 409974701 /nfs/dbraw/zinc/97/47/01/409974701.db2.gz DSFALCTWZKRDCB-UONOGXRCSA-N 1 2 305.765 1.367 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)c3cc(C#N)ccc3Cl)C[C@@H]21 ZINC000332203098 409974708 /nfs/dbraw/zinc/97/47/08/409974708.db2.gz DSFALCTWZKRDCB-UONOGXRCSA-N 1 2 305.765 1.367 20 30 DDEDLO N#C[C@H]1CC[C@H]([NH+]2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC000328837102 409987403 /nfs/dbraw/zinc/98/74/03/409987403.db2.gz MXNNXAZYYWGUGB-KBPBESRZSA-N 1 2 320.418 1.080 20 30 DDEDLO Cc1cn2cc(NC(=O)N3C[C@@H]4COC[C@H](C3)O4)ccc2[nH+]1 ZINC000328665509 409950439 /nfs/dbraw/zinc/95/04/39/409950439.db2.gz CHQUQWJOIKMRGN-BETUJISGSA-N 1 2 302.334 1.288 20 30 DDEDLO COc1ccc(CN2CC[NH+]([C@H](C)C(=O)NC3CC3)CC2)nn1 ZINC000328661192 409951020 /nfs/dbraw/zinc/95/10/20/409951020.db2.gz ACCSCHIMMQTKRJ-GFCCVEGCSA-N 1 2 319.409 1.110 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N[C@@H]2CCO[C@@H](C(C)(C)C)C2)C1 ZINC000328912245 410008415 /nfs/dbraw/zinc/00/84/15/410008415.db2.gz HCKNMZYAWSAHJP-MGPQQGTHSA-N 1 2 313.442 1.414 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N[C@@H]2CCO[C@@H](C(C)(C)C)C2)C1 ZINC000328912245 410008430 /nfs/dbraw/zinc/00/84/30/410008430.db2.gz HCKNMZYAWSAHJP-MGPQQGTHSA-N 1 2 313.442 1.414 20 30 DDEDLO COC(=O)c1cc(C#N)c(=O)n(Cc2c[nH+]c3ccccn23)c1 ZINC000346616632 410039089 /nfs/dbraw/zinc/03/90/89/410039089.db2.gz BKNDWFHGFHMFLI-UHFFFAOYSA-N 1 2 308.297 1.203 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](C(=O)OC)[C@@H]1C ZINC000346675619 410074256 /nfs/dbraw/zinc/07/42/56/410074256.db2.gz WOFLHJIDAQYNIO-GLQYFDAESA-N 1 2 316.357 1.656 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](C(=O)OC)[C@@H]1C ZINC000346675619 410074258 /nfs/dbraw/zinc/07/42/58/410074258.db2.gz WOFLHJIDAQYNIO-GLQYFDAESA-N 1 2 316.357 1.656 20 30 DDEDLO C=CC[N@@H+](CC(=O)N[C@@H](Cc1ccccc1)C(C)=O)C1CC1 ZINC000357549023 410096641 /nfs/dbraw/zinc/09/66/41/410096641.db2.gz CWUQJUXEVQSYIX-KRWDZBQOSA-N 1 2 300.402 1.953 20 30 DDEDLO C=CC[N@H+](CC(=O)N[C@@H](Cc1ccccc1)C(C)=O)C1CC1 ZINC000357549023 410096650 /nfs/dbraw/zinc/09/66/50/410096650.db2.gz CWUQJUXEVQSYIX-KRWDZBQOSA-N 1 2 300.402 1.953 20 30 DDEDLO CNC(=O)[C@]1(C)CCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000329363624 410260317 /nfs/dbraw/zinc/26/03/17/410260317.db2.gz ZOAIGLLDRHXWEW-WMLDXEAASA-N 1 2 323.437 1.455 20 30 DDEDLO CNC(=O)[C@]1(C)CCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000329363624 410260323 /nfs/dbraw/zinc/26/03/23/410260323.db2.gz ZOAIGLLDRHXWEW-WMLDXEAASA-N 1 2 323.437 1.455 20 30 DDEDLO N#CCN1CCC(CNC(=O)C2([NH+]3CCOCC3)CCC2)CC1 ZINC000329313156 410226386 /nfs/dbraw/zinc/22/63/86/410226386.db2.gz DAZZMZDPDWCUSQ-UHFFFAOYSA-N 1 2 320.437 1.433 20 30 DDEDLO CC1CC[NH+](CC(=O)NC[C@@H]2CCCCS2(=O)=O)CC1 ZINC000329546603 410355216 /nfs/dbraw/zinc/35/52/16/410355216.db2.gz IDUVMULRYNLEIC-ZDUSSCGKSA-N 1 2 302.440 1.642 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cnc4c(c3)CCCC4)C[C@H]21 ZINC000329452851 410305629 /nfs/dbraw/zinc/30/56/29/410305629.db2.gz MGVRSVOAAPMXGD-CVEARBPZSA-N 1 2 316.405 1.521 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cnc4c(c3)CCCC4)C[C@H]21 ZINC000329452851 410305638 /nfs/dbraw/zinc/30/56/38/410305638.db2.gz MGVRSVOAAPMXGD-CVEARBPZSA-N 1 2 316.405 1.521 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+](CC(=O)NC3CCOCC3)C2)[nH]1 ZINC000329475816 410316619 /nfs/dbraw/zinc/31/66/19/410316619.db2.gz PEVFMYZALRBJFF-GFCCVEGCSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+](CC(=O)NC3CCOCC3)C2)[nH]1 ZINC000329475816 410316629 /nfs/dbraw/zinc/31/66/29/410316629.db2.gz PEVFMYZALRBJFF-GFCCVEGCSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+](CC(=O)NC3CCOCC3)C2)n1 ZINC000329475816 410316634 /nfs/dbraw/zinc/31/66/34/410316634.db2.gz PEVFMYZALRBJFF-GFCCVEGCSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+](CC(=O)NC3CCOCC3)C2)n1 ZINC000329475816 410316641 /nfs/dbraw/zinc/31/66/41/410316641.db2.gz PEVFMYZALRBJFF-GFCCVEGCSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@H+](CC(=O)NC3CCOCC3)C2)n[nH]1 ZINC000329475816 410316650 /nfs/dbraw/zinc/31/66/50/410316650.db2.gz PEVFMYZALRBJFF-GFCCVEGCSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@@H+](CC(=O)NC3CCOCC3)C2)n[nH]1 ZINC000329475816 410316656 /nfs/dbraw/zinc/31/66/56/410316656.db2.gz PEVFMYZALRBJFF-GFCCVEGCSA-N 1 2 307.398 1.428 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)C[C@H]3CCOC3)CC2)cc1 ZINC000329725537 410421630 /nfs/dbraw/zinc/42/16/30/410421630.db2.gz BYHRLWVIZBVVOK-QGZVFWFLSA-N 1 2 313.401 1.629 20 30 DDEDLO C=C(CC)C[N@@H+]1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000358621093 410497547 /nfs/dbraw/zinc/49/75/47/410497547.db2.gz ROUFWCCAGANKKN-CQSZACIVSA-N 1 2 314.385 1.950 20 30 DDEDLO C=C(CC)C[N@H+]1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000358621093 410497553 /nfs/dbraw/zinc/49/75/53/410497553.db2.gz ROUFWCCAGANKKN-CQSZACIVSA-N 1 2 314.385 1.950 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000355513945 410559653 /nfs/dbraw/zinc/55/96/53/410559653.db2.gz WEQRXVUOQRKMHH-KRWDZBQOSA-N 1 2 314.389 1.408 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000355513945 410559657 /nfs/dbraw/zinc/55/96/57/410559657.db2.gz WEQRXVUOQRKMHH-KRWDZBQOSA-N 1 2 314.389 1.408 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCCNc2ccc3ccccc3[nH+]2)c1 ZINC000359137140 410562519 /nfs/dbraw/zinc/56/25/19/410562519.db2.gz HDHMSXSGBDRSGW-UHFFFAOYSA-N 1 2 305.341 2.276 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000352316919 410620887 /nfs/dbraw/zinc/62/08/87/410620887.db2.gz YZCCUAMEFZOLFD-KGLIPLIRSA-N 1 2 318.421 1.977 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+](CCCCC(C)(C)C#N)CC1 ZINC000336827699 410636836 /nfs/dbraw/zinc/63/68/36/410636836.db2.gz GGYGBTVMTWHZMC-UHFFFAOYSA-N 1 2 301.456 1.674 20 30 DDEDLO Cc1cc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)ccc1F ZINC000336868150 410644771 /nfs/dbraw/zinc/64/47/71/410644771.db2.gz RKOVNLDVVQXWHB-UHFFFAOYSA-N 1 2 319.380 1.822 20 30 DDEDLO Cc1nc(C(=O)NC[C@@H](C(C)C)[NH+]2CCOCC2)ccc1C#N ZINC000330498905 410732484 /nfs/dbraw/zinc/73/24/84/410732484.db2.gz FBPGDSVUFFKRMW-INIZCTEOSA-N 1 2 316.405 1.348 20 30 DDEDLO C#CCNC(=O)c1ccc(NCc2[nH+]ccn2CC(C)C)nc1 ZINC000301736399 410784100 /nfs/dbraw/zinc/78/41/00/410784100.db2.gz BLIDNMZEDNWIAJ-UHFFFAOYSA-N 1 2 311.389 1.909 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2ccc3cc(C#N)ccc3n2)CC1 ZINC000301822459 410807175 /nfs/dbraw/zinc/80/71/75/410807175.db2.gz MREQXQUIGRFNCT-UHFFFAOYSA-N 1 2 323.400 1.682 20 30 DDEDLO C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000330633615 410842104 /nfs/dbraw/zinc/84/21/04/410842104.db2.gz KFMXPPSEMUSEOA-WMLDXEAASA-N 1 2 313.401 1.627 20 30 DDEDLO COC(=O)CN(CC#N)C(=O)c1ccc2[nH+]c(C)n(C)c2c1 ZINC000356174285 410878670 /nfs/dbraw/zinc/87/86/70/410878670.db2.gz SNZKGGCEXVDYBK-UHFFFAOYSA-N 1 2 300.318 1.021 20 30 DDEDLO CC#CCN(C)C(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000341037953 410880015 /nfs/dbraw/zinc/88/00/15/410880015.db2.gz DLSSJOWZIDFKKD-UHFFFAOYSA-N 1 2 316.401 1.544 20 30 DDEDLO CC#CCN(C)C(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000341037953 410880021 /nfs/dbraw/zinc/88/00/21/410880021.db2.gz DLSSJOWZIDFKKD-UHFFFAOYSA-N 1 2 316.401 1.544 20 30 DDEDLO CC[C@H](C)[C@@H]([NH2+]C[C@H](O)COc1ccc(C#N)cc1)C(=O)OC ZINC000348003305 410817303 /nfs/dbraw/zinc/81/73/03/410817303.db2.gz LGMGLCCARZUUNN-DUVNUKRYSA-N 1 2 320.389 1.475 20 30 DDEDLO C[N@H+](CC(=O)Nc1cc(Cl)ccc1C#N)[C@@]1(CO)CCOC1 ZINC000359806756 410890933 /nfs/dbraw/zinc/89/09/33/410890933.db2.gz KJPVRIWAABDXGS-OAHLLOKOSA-N 1 2 323.780 1.233 20 30 DDEDLO C[N@@H+](CC(=O)Nc1cc(Cl)ccc1C#N)[C@@]1(CO)CCOC1 ZINC000359806756 410890940 /nfs/dbraw/zinc/89/09/40/410890940.db2.gz KJPVRIWAABDXGS-OAHLLOKOSA-N 1 2 323.780 1.233 20 30 DDEDLO C[N@H+](CCOCc1ccc(C#N)cc1)CC(=O)Nc1ccncc1 ZINC000344067765 410934460 /nfs/dbraw/zinc/93/44/60/410934460.db2.gz JLORZHLLUSIOIT-UHFFFAOYSA-N 1 2 324.384 1.462 20 30 DDEDLO C[N@@H+](CCOCc1ccc(C#N)cc1)CC(=O)Nc1ccncc1 ZINC000344067765 410934476 /nfs/dbraw/zinc/93/44/76/410934476.db2.gz JLORZHLLUSIOIT-UHFFFAOYSA-N 1 2 324.384 1.462 20 30 DDEDLO C[C@H]1C[NH+](CCC(=O)Nc2ccccc2C#N)C[C@H](C)S1=O ZINC000331132687 410971279 /nfs/dbraw/zinc/97/12/79/410971279.db2.gz BXBDBFNRTHFULC-STQMWFEESA-N 1 2 319.430 1.728 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@H+](C)C[C@H](C)C#N)C1 ZINC000353453862 410996599 /nfs/dbraw/zinc/99/65/99/410996599.db2.gz GTHXCRHEXKDEOF-MGPQQGTHSA-N 1 2 309.410 1.268 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@@H+](C)C[C@H](C)C#N)C1 ZINC000353453862 410996604 /nfs/dbraw/zinc/99/66/04/410996604.db2.gz GTHXCRHEXKDEOF-MGPQQGTHSA-N 1 2 309.410 1.268 20 30 DDEDLO CC(C)[C@@H](Oc1ccccc1C#N)C(=O)N1CC[NH+](C)CC1 ZINC000341717491 411050912 /nfs/dbraw/zinc/05/09/12/411050912.db2.gz DLOLUOSCKRSDIT-MRXNPFEDSA-N 1 2 301.390 1.736 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](CCN3C(=O)CCC3=O)CCO2)c1 ZINC000341820745 411066141 /nfs/dbraw/zinc/06/61/41/411066141.db2.gz UZHTWFOVHHYFHA-HNNXBMFYSA-N 1 2 313.357 1.081 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](CCN3C(=O)CCC3=O)CCO2)c1 ZINC000341820745 411066145 /nfs/dbraw/zinc/06/61/45/411066145.db2.gz UZHTWFOVHHYFHA-HNNXBMFYSA-N 1 2 313.357 1.081 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000636537718 422899712 /nfs/dbraw/zinc/89/97/12/422899712.db2.gz RSVTXWOLTJGPBX-UHFFFAOYSA-N 1 2 318.421 1.482 20 30 DDEDLO N#Cc1cnc(Nc2ccn(CC[NH+]3CCOCC3)n2)c(F)c1 ZINC000517088631 416779085 /nfs/dbraw/zinc/77/90/85/416779085.db2.gz KZRBCYCRVLBZNB-UHFFFAOYSA-N 1 2 316.340 1.365 20 30 DDEDLO CCOC(=O)[C@@H](CC)[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000366445632 418473371 /nfs/dbraw/zinc/47/33/71/418473371.db2.gz WIDBCIITSGISEX-ZIAGYGMSSA-N 1 2 303.362 1.748 20 30 DDEDLO CCOC(=O)[C@@H](CC)[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000366445632 418473373 /nfs/dbraw/zinc/47/33/73/418473373.db2.gz WIDBCIITSGISEX-ZIAGYGMSSA-N 1 2 303.362 1.748 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000374364080 418522685 /nfs/dbraw/zinc/52/26/85/418522685.db2.gz FNGLZJFINVSYNB-DYVFJYSZSA-N 1 2 307.357 1.266 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000374364080 418522688 /nfs/dbraw/zinc/52/26/88/418522688.db2.gz FNGLZJFINVSYNB-DYVFJYSZSA-N 1 2 307.357 1.266 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1Cc2ccccc2[C@H](C(=O)OCC)C1 ZINC000374398360 418526773 /nfs/dbraw/zinc/52/67/73/418526773.db2.gz JASDXHMJXPXTTM-OAHLLOKOSA-N 1 2 302.374 1.451 20 30 DDEDLO C=CCNC(=O)C[N@H+]1Cc2ccccc2[C@H](C(=O)OCC)C1 ZINC000374398360 418526775 /nfs/dbraw/zinc/52/67/75/418526775.db2.gz JASDXHMJXPXTTM-OAHLLOKOSA-N 1 2 302.374 1.451 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCOc3cc(OC)ccc3C2)C1=O ZINC000374855921 418570789 /nfs/dbraw/zinc/57/07/89/418570789.db2.gz MICYCVGWQRRKKP-OAHLLOKOSA-N 1 2 302.374 1.677 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCOc3cc(OC)ccc3C2)C1=O ZINC000374855921 418570792 /nfs/dbraw/zinc/57/07/92/418570792.db2.gz MICYCVGWQRRKKP-OAHLLOKOSA-N 1 2 302.374 1.677 20 30 DDEDLO CC(C)[C@@H](Oc1ccccc1C#N)C(=O)NCCn1cc[nH+]c1 ZINC000194179982 418541598 /nfs/dbraw/zinc/54/15/98/418541598.db2.gz KYAPWBQIELAZBF-MRXNPFEDSA-N 1 2 312.373 1.975 20 30 DDEDLO C=CCOCCNC(=O)NCc1ccnc(-n2cc[nH+]c2)c1 ZINC000374771412 418561403 /nfs/dbraw/zinc/56/14/03/418561403.db2.gz MRWNVZMNRJWLGG-UHFFFAOYSA-N 1 2 301.350 1.269 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1cc(C)nn1-c1nc(C)cc(C)n1 ZINC000192226452 222123695 /nfs/dbraw/zinc/12/36/95/222123695.db2.gz JPLZQRNALFYGOL-UHFFFAOYSA-N 1 2 312.377 1.091 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1cc(C)nn1-c1nc(C)cc(C)n1 ZINC000192226452 222123698 /nfs/dbraw/zinc/12/36/98/222123698.db2.gz JPLZQRNALFYGOL-UHFFFAOYSA-N 1 2 312.377 1.091 20 30 DDEDLO C=CCCOCCNc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000192347607 222124988 /nfs/dbraw/zinc/12/49/88/222124988.db2.gz UNIGIRKELDGZNW-CQSZACIVSA-N 1 2 306.410 1.832 20 30 DDEDLO C=CCCOCCNc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000192347607 222124993 /nfs/dbraw/zinc/12/49/93/222124993.db2.gz UNIGIRKELDGZNW-CQSZACIVSA-N 1 2 306.410 1.832 20 30 DDEDLO C=CC[N@H+](Cc1ccc(OCC#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000264571001 222333137 /nfs/dbraw/zinc/33/31/37/222333137.db2.gz RUCAREONLDWAQC-OAHLLOKOSA-N 1 2 320.414 1.764 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(OCC#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000264571001 222333140 /nfs/dbraw/zinc/33/31/40/222333140.db2.gz RUCAREONLDWAQC-OAHLLOKOSA-N 1 2 320.414 1.764 20 30 DDEDLO CC(C)CO[C@@H](C)C(N)=[NH+]OCC(=O)N(C)Cc1ccccc1 ZINC000266182621 222360215 /nfs/dbraw/zinc/36/02/15/222360215.db2.gz GPXZYNOHKRPSSW-AWEZNQCLSA-N 1 2 321.421 1.995 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCC(=O)N2C[C@@H](C)C[C@H](C)C2)c1 ZINC000266209781 222360429 /nfs/dbraw/zinc/36/04/29/222360429.db2.gz NQJBWVITDRUSNG-STQMWFEESA-N 1 2 319.405 1.837 20 30 DDEDLO CC(C)CO[C@H](C)C(N)=[NH+]OCC(=O)N(C)c1ccccc1 ZINC000266249298 222361397 /nfs/dbraw/zinc/36/13/97/222361397.db2.gz ZJLPMPIVOKMNIH-CYBMUJFWSA-N 1 2 307.394 1.999 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNC(=O)CC(C)(C)C#N)cn1 ZINC000377337384 418709142 /nfs/dbraw/zinc/70/91/42/418709142.db2.gz YPFPDQFHSDRKNP-KBPBESRZSA-N 1 2 321.400 1.389 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNC(=O)CC(C)(C)C#N)cn1 ZINC000377337384 418709144 /nfs/dbraw/zinc/70/91/44/418709144.db2.gz YPFPDQFHSDRKNP-KBPBESRZSA-N 1 2 321.400 1.389 20 30 DDEDLO CO/N=C/C(=O)N[C@@H]1CC[N@H+](C2CC2)[C@H]1c1ccc(F)c(F)c1 ZINC000377927271 418717795 /nfs/dbraw/zinc/71/77/95/418717795.db2.gz ZLLVGHIXLJNNQP-WDUCTLHGSA-N 1 2 323.343 1.991 20 30 DDEDLO CO/N=C/C(=O)N[C@@H]1CC[N@@H+](C2CC2)[C@H]1c1ccc(F)c(F)c1 ZINC000377927271 418717796 /nfs/dbraw/zinc/71/77/96/418717796.db2.gz ZLLVGHIXLJNNQP-WDUCTLHGSA-N 1 2 323.343 1.991 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)CCCC)CC1 ZINC000368521362 418721419 /nfs/dbraw/zinc/72/14/19/418721419.db2.gz FBWRZQKZGDEGAM-HNNXBMFYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)CCCC)CC1 ZINC000368521362 418721421 /nfs/dbraw/zinc/72/14/21/418721421.db2.gz FBWRZQKZGDEGAM-HNNXBMFYSA-N 1 2 307.438 1.096 20 30 DDEDLO N#Cc1cc(CN2CCC[C@@H]([NH+]3CCOCC3)C2)ccc1F ZINC000382591750 418731698 /nfs/dbraw/zinc/73/16/98/418731698.db2.gz QMQRGIZTXZDENW-MRXNPFEDSA-N 1 2 303.381 1.994 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC000369315790 418731827 /nfs/dbraw/zinc/73/18/27/418731827.db2.gz WWBMHOYHZLNXJU-ZBFHGGJFSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC000369315790 418731829 /nfs/dbraw/zinc/73/18/29/418731829.db2.gz WWBMHOYHZLNXJU-ZBFHGGJFSA-N 1 2 323.437 1.311 20 30 DDEDLO N#CCC1(CNc2cc(N3CCC[C@H](CO)C3)nc[nH+]2)CC1 ZINC000362366145 418753464 /nfs/dbraw/zinc/75/34/64/418753464.db2.gz SBBAICDTTPRUQG-ZDUSSCGKSA-N 1 2 301.394 1.791 20 30 DDEDLO N#CCC1(CNc2cc(N3CCC[C@H](CO)C3)[nH+]cn2)CC1 ZINC000362366145 418753466 /nfs/dbraw/zinc/75/34/66/418753466.db2.gz SBBAICDTTPRUQG-ZDUSSCGKSA-N 1 2 301.394 1.791 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCO[C@H](C2CC2)C1)C1(C#N)CCCCC1 ZINC000363772023 418769391 /nfs/dbraw/zinc/76/93/91/418769391.db2.gz HZJGNNQZWHJVCO-HNNXBMFYSA-N 1 2 305.422 1.782 20 30 DDEDLO CN(C(=O)C[N@H+]1CCO[C@H](C2CC2)C1)C1(C#N)CCCCC1 ZINC000363772023 418769393 /nfs/dbraw/zinc/76/93/93/418769393.db2.gz HZJGNNQZWHJVCO-HNNXBMFYSA-N 1 2 305.422 1.782 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cc1 ZINC000363901082 418771255 /nfs/dbraw/zinc/77/12/55/418771255.db2.gz PZEFMEJVKHRVBC-MRXNPFEDSA-N 1 2 314.385 1.245 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)[nH]n1 ZINC000364016001 418772151 /nfs/dbraw/zinc/77/21/51/418772151.db2.gz WPGKMNSGNXOHLG-CXAGYDPISA-N 1 2 315.421 1.706 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)[nH]n1 ZINC000364016001 418772154 /nfs/dbraw/zinc/77/21/54/418772154.db2.gz WPGKMNSGNXOHLG-CXAGYDPISA-N 1 2 315.421 1.706 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)n[nH]1 ZINC000364016001 418772156 /nfs/dbraw/zinc/77/21/56/418772156.db2.gz WPGKMNSGNXOHLG-CXAGYDPISA-N 1 2 315.421 1.706 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)n[nH]1 ZINC000364016001 418772159 /nfs/dbraw/zinc/77/21/59/418772159.db2.gz WPGKMNSGNXOHLG-CXAGYDPISA-N 1 2 315.421 1.706 20 30 DDEDLO CC(C)COC(=O)C[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000364065289 418773404 /nfs/dbraw/zinc/77/34/04/418773404.db2.gz BZOMKJCNWMOSSS-UHFFFAOYSA-N 1 2 316.405 1.665 20 30 DDEDLO CC(C)COC(=O)C[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000364065289 418773408 /nfs/dbraw/zinc/77/34/08/418773408.db2.gz BZOMKJCNWMOSSS-UHFFFAOYSA-N 1 2 316.405 1.665 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)[C@H]3CCCO3)CC2)o1 ZINC000372435215 418870166 /nfs/dbraw/zinc/87/01/66/418870166.db2.gz JHMYSGFGPXHOCW-OAHLLOKOSA-N 1 2 303.362 1.365 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)[C@H]3CCCO3)CC2)o1 ZINC000372435215 418870168 /nfs/dbraw/zinc/87/01/68/418870168.db2.gz JHMYSGFGPXHOCW-OAHLLOKOSA-N 1 2 303.362 1.365 20 30 DDEDLO C#CCCNC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000365562099 418876502 /nfs/dbraw/zinc/87/65/02/418876502.db2.gz GPYWVHNJWRTBHQ-CZUORRHYSA-N 1 2 316.405 1.249 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCO[C@@H](COC(C)C)C1 ZINC000372119591 418837496 /nfs/dbraw/zinc/83/74/96/418837496.db2.gz DFLDQJINWAQCPD-JKSUJKDBSA-N 1 2 312.454 1.925 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCO[C@@H](COC(C)C)C1 ZINC000372119591 418837498 /nfs/dbraw/zinc/83/74/98/418837498.db2.gz DFLDQJINWAQCPD-JKSUJKDBSA-N 1 2 312.454 1.925 20 30 DDEDLO Cc1cc(NCc2ccc(N3CCOCC3)[nH+]c2)c(C#N)cn1 ZINC000425212127 228392169 /nfs/dbraw/zinc/39/21/69/228392169.db2.gz VOYAEXMFOYOBAA-UHFFFAOYSA-N 1 2 309.373 1.527 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)c1csnn1)C(=O)NC1(C#N)CCCCC1 ZINC000412026325 419570722 /nfs/dbraw/zinc/57/07/22/419570722.db2.gz LJKHWBRUDJMNQM-WDEREUQCSA-N 1 2 307.423 1.920 20 30 DDEDLO Cc1ccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)nc1C ZINC000412130759 419685368 /nfs/dbraw/zinc/68/53/68/419685368.db2.gz OWQGPEVLAZRKSH-UHFFFAOYSA-N 1 2 316.405 1.387 20 30 DDEDLO C[C@@H](C(N)=O)[NH+]1CCN(c2c(C#N)cnc3ccccc32)CC1 ZINC000299469831 229136540 /nfs/dbraw/zinc/13/65/40/229136540.db2.gz ACTSHMGEMBZOFG-LBPRGKRZSA-N 1 2 309.373 1.102 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)o1 ZINC000429847659 420053293 /nfs/dbraw/zinc/05/32/93/420053293.db2.gz QQJNSUGXFAXHDN-UHFFFAOYSA-N 1 2 312.329 1.313 20 30 DDEDLO CS(=O)(=O)C[C@H]1CC[N@H+](Cc2cnc3ccc(C#N)cn23)C1 ZINC000430212471 420090015 /nfs/dbraw/zinc/09/00/15/420090015.db2.gz NJPXDCZNHGIIFY-ZDUSSCGKSA-N 1 2 318.402 1.072 20 30 DDEDLO CS(=O)(=O)C[C@H]1CC[N@@H+](Cc2cnc3ccc(C#N)cn23)C1 ZINC000430212471 420090019 /nfs/dbraw/zinc/09/00/19/420090019.db2.gz NJPXDCZNHGIIFY-ZDUSSCGKSA-N 1 2 318.402 1.072 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCN(Cc2c[nH+]c3ccc(C#N)cn23)C1 ZINC000430212471 420090024 /nfs/dbraw/zinc/09/00/24/420090024.db2.gz NJPXDCZNHGIIFY-ZDUSSCGKSA-N 1 2 318.402 1.072 20 30 DDEDLO Cc1cc(NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)c(C#N)c[nH+]1 ZINC000425255344 420331241 /nfs/dbraw/zinc/33/12/41/420331241.db2.gz PFZUTMBCQQCTCY-GXTWGEPZSA-N 1 2 322.434 1.204 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CCC[C@H](c2[nH+]cc3n2CCCC3)C1 ZINC000416528835 420407464 /nfs/dbraw/zinc/40/74/64/420407464.db2.gz QYFPRTOKKSWNIQ-OLZOCXBDSA-N 1 2 322.434 1.641 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCC1CCC(C#N)CC1 ZINC000441197837 420639835 /nfs/dbraw/zinc/63/98/35/420639835.db2.gz IBPWSMLBIKNXML-WLYUNCDWSA-N 1 2 308.426 1.336 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000458569516 420687273 /nfs/dbraw/zinc/68/72/73/420687273.db2.gz KPGRVWIPIIYTJF-KGLIPLIRSA-N 1 2 306.410 1.695 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)NCC1CCC(C#N)CC1 ZINC000442728455 420705261 /nfs/dbraw/zinc/70/52/61/420705261.db2.gz IUGYAJFWAAMEDY-UHFFFAOYSA-N 1 2 308.426 1.290 20 30 DDEDLO C=C(CC)CNC(=O)NC[C@@H]1C[C@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000459071039 420796940 /nfs/dbraw/zinc/79/69/40/420796940.db2.gz GNVALAGDJNSWNG-GJZGRUSLSA-N 1 2 323.416 1.598 20 30 DDEDLO C=C(CC)CNC(=O)NC[C@@H]1C[C@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000459071039 420796944 /nfs/dbraw/zinc/79/69/44/420796944.db2.gz GNVALAGDJNSWNG-GJZGRUSLSA-N 1 2 323.416 1.598 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)/C=C\c2ccc(C#N)cc2)CCO1 ZINC000493323103 420819230 /nfs/dbraw/zinc/81/92/30/420819230.db2.gz OFTLQAPKACJWFX-ZPUOCTKUSA-N 1 2 313.401 1.798 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)/C=C\c2ccc(C#N)cc2)CCO1 ZINC000493323103 420819236 /nfs/dbraw/zinc/81/92/36/420819236.db2.gz OFTLQAPKACJWFX-ZPUOCTKUSA-N 1 2 313.401 1.798 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(C(=O)OC(C)(C)C)[C@@H](C)C2)C1=O ZINC000493355543 420827592 /nfs/dbraw/zinc/82/75/92/420827592.db2.gz FQHNRUZMJMWSNZ-UONOGXRCSA-N 1 2 323.437 1.715 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(C(=O)OC(C)(C)C)[C@@H](C)C2)C1=O ZINC000493355543 420827596 /nfs/dbraw/zinc/82/75/96/420827596.db2.gz FQHNRUZMJMWSNZ-UONOGXRCSA-N 1 2 323.437 1.715 20 30 DDEDLO C=CC(C)(C)CNC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000454891520 420938725 /nfs/dbraw/zinc/93/87/25/420938725.db2.gz OKPWIYDDPBQWNE-UHFFFAOYSA-N 1 2 321.425 1.549 20 30 DDEDLO C=CCn1cccc1C(=O)N1C[C@H]([NH+]2CCOCC2)[C@@H](C)C1 ZINC000488754372 421112867 /nfs/dbraw/zinc/11/28/67/421112867.db2.gz UINDADZYQLDRDF-HOCLYGCPSA-N 1 2 303.406 1.467 20 30 DDEDLO CNC(=O)Oc1cccc(NC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000496113980 421136194 /nfs/dbraw/zinc/13/61/94/421136194.db2.gz HMNUXFFDEQYLAB-CYBMUJFWSA-N 1 2 306.366 1.023 20 30 DDEDLO CNC(=O)Oc1cccc(NC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000496113980 421136198 /nfs/dbraw/zinc/13/61/98/421136198.db2.gz HMNUXFFDEQYLAB-CYBMUJFWSA-N 1 2 306.366 1.023 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489084764 421135094 /nfs/dbraw/zinc/13/50/94/421135094.db2.gz XRJBWQPUPYXPOZ-UHFFFAOYSA-N 1 2 308.338 1.252 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000548311838 421421412 /nfs/dbraw/zinc/42/14/12/421421412.db2.gz JOOGFSZGIJCQOA-GXTWGEPZSA-N 1 2 310.357 1.653 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@@H+]1CCOC[C@@H]1C1CC1 ZINC000528425340 421488210 /nfs/dbraw/zinc/48/82/10/421488210.db2.gz AXNRKRDOAVGTEJ-QGZVFWFLSA-N 1 2 324.380 1.397 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@H+]1CCOC[C@@H]1C1CC1 ZINC000528425340 421488212 /nfs/dbraw/zinc/48/82/12/421488212.db2.gz AXNRKRDOAVGTEJ-QGZVFWFLSA-N 1 2 324.380 1.397 20 30 DDEDLO C[C@@H]1C[N@@H+](C[C@@H](O)COc2ccccc2C#N)C[C@H](C)[S@@]1=O ZINC000528478672 421493500 /nfs/dbraw/zinc/49/35/00/421493500.db2.gz RSAYTKDWXJNLTB-BKZHYRFYSA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@@H]1C[N@H+](C[C@@H](O)COc2ccccc2C#N)C[C@H](C)[S@@]1=O ZINC000528478672 421493504 /nfs/dbraw/zinc/49/35/04/421493504.db2.gz RSAYTKDWXJNLTB-BKZHYRFYSA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@@H](C#N)CN(C(=O)C(=O)Nc1ccc2[nH+]ccn2c1)C1CC1 ZINC000568951610 421623077 /nfs/dbraw/zinc/62/30/77/421623077.db2.gz MSUBDGGZJSCEPZ-NSHDSACASA-N 1 2 311.345 1.423 20 30 DDEDLO CC1CC(C#N)(C(=O)N2CC[NH+](Cc3cccc(O)c3)CC2)C1 ZINC000532080686 421647454 /nfs/dbraw/zinc/64/74/54/421647454.db2.gz MLAQUHPDTDBKML-UHFFFAOYSA-N 1 2 313.401 1.976 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C(=O)OC)oc2C)C1=O ZINC000532212976 421651934 /nfs/dbraw/zinc/65/19/34/421651934.db2.gz HWDXBZXMPSHRIC-ZDUSSCGKSA-N 1 2 306.362 1.593 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C(=O)OC)oc2C)C1=O ZINC000532212976 421651937 /nfs/dbraw/zinc/65/19/37/421651937.db2.gz HWDXBZXMPSHRIC-ZDUSSCGKSA-N 1 2 306.362 1.593 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N[C@@H]2CCCC[C@@H]2C)C1=O ZINC000532217200 421652036 /nfs/dbraw/zinc/65/20/36/421652036.db2.gz ZYIKBNAMOHAKQE-ZNMIVQPWSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N[C@@H]2CCCC[C@@H]2C)C1=O ZINC000532217200 421652038 /nfs/dbraw/zinc/65/20/38/421652038.db2.gz ZYIKBNAMOHAKQE-ZNMIVQPWSA-N 1 2 307.438 1.400 20 30 DDEDLO Cn1nccc1C[N@@H+]1C[C@@H](F)C[C@H]1CNc1nccc(C#N)n1 ZINC000570668132 421660043 /nfs/dbraw/zinc/66/00/43/421660043.db2.gz PFUMDJNUWDGUFH-FZMZJTMJSA-N 1 2 315.356 1.106 20 30 DDEDLO Cn1nccc1C[N@H+]1C[C@@H](F)C[C@H]1CNc1nccc(C#N)n1 ZINC000570668132 421660046 /nfs/dbraw/zinc/66/00/46/421660046.db2.gz PFUMDJNUWDGUFH-FZMZJTMJSA-N 1 2 315.356 1.106 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)c2ccc(C#N)cn2)CC1 ZINC000532565977 421660554 /nfs/dbraw/zinc/66/05/54/421660554.db2.gz KRYTWVNRMWJSQK-UHFFFAOYSA-N 1 2 307.357 1.619 20 30 DDEDLO N#CCCC1CCN(c2cc(N3CCOCC3)nc[nH+]2)CC1 ZINC000565890812 421601240 /nfs/dbraw/zinc/60/12/40/421601240.db2.gz ZFJZCZOYKSTNRK-UHFFFAOYSA-N 1 2 301.394 1.833 20 30 DDEDLO N#CCCC1CCN(c2cc(N3CCOCC3)[nH+]cn2)CC1 ZINC000565890812 421601244 /nfs/dbraw/zinc/60/12/44/421601244.db2.gz ZFJZCZOYKSTNRK-UHFFFAOYSA-N 1 2 301.394 1.833 20 30 DDEDLO Cc1nnc([C@H]2CC[N@@H+]([C@H](C)C(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000572700429 421811940 /nfs/dbraw/zinc/81/19/40/421811940.db2.gz KYIMVXQHJSGALG-RISCZKNCSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nnc([C@H]2CC[N@H+]([C@H](C)C(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000572700429 421811944 /nfs/dbraw/zinc/81/19/44/421811944.db2.gz KYIMVXQHJSGALG-RISCZKNCSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@@H+]([C@H](C)C(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000572700429 421811948 /nfs/dbraw/zinc/81/19/48/421811948.db2.gz KYIMVXQHJSGALG-RISCZKNCSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@H+]([C@H](C)C(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000572700429 421811951 /nfs/dbraw/zinc/81/19/51/421811951.db2.gz KYIMVXQHJSGALG-RISCZKNCSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nc([C@H]2CC[N@@H+]([C@H](C)C(=O)Nc3cccc(C#N)c3)C2)n[nH]1 ZINC000572700429 421811955 /nfs/dbraw/zinc/81/19/55/421811955.db2.gz KYIMVXQHJSGALG-RISCZKNCSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nc([C@H]2CC[N@H+]([C@H](C)C(=O)Nc3cccc(C#N)c3)C2)n[nH]1 ZINC000572700429 421811959 /nfs/dbraw/zinc/81/19/59/421811959.db2.gz KYIMVXQHJSGALG-RISCZKNCSA-N 1 2 324.388 1.801 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CC[C@H](OC(F)F)C2)cc1 ZINC000558863248 421826891 /nfs/dbraw/zinc/82/68/91/421826891.db2.gz NZSVEEDFBGLJQM-ZDUSSCGKSA-N 1 2 309.316 1.488 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CC[C@H](OC(F)F)C2)cc1 ZINC000558863248 421826893 /nfs/dbraw/zinc/82/68/93/421826893.db2.gz NZSVEEDFBGLJQM-ZDUSSCGKSA-N 1 2 309.316 1.488 20 30 DDEDLO COc1cc(C#N)ccc1CN1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000521894761 421804892 /nfs/dbraw/zinc/80/48/92/421804892.db2.gz VZDWZWCKNAAHLR-QGZVFWFLSA-N 1 2 315.417 1.863 20 30 DDEDLO Cc1c(C[N@@H+](C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cnn1C ZINC000574266845 422082053 /nfs/dbraw/zinc/08/20/53/422082053.db2.gz UBPFJAGTBBFVND-CJNGLKHVSA-N 1 2 305.426 1.603 20 30 DDEDLO Cc1c(C[N@H+](C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cnn1C ZINC000574266845 422082057 /nfs/dbraw/zinc/08/20/57/422082057.db2.gz UBPFJAGTBBFVND-CJNGLKHVSA-N 1 2 305.426 1.603 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CC[C@@](O)(C(F)(F)F)C3)cnc12 ZINC000582063516 422100890 /nfs/dbraw/zinc/10/08/90/422100890.db2.gz UISFNSRCOZQBIT-LBPRGKRZSA-N 1 2 311.267 1.100 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CC[C@@](O)(C(F)(F)F)C3)cnc12 ZINC000582063516 422100895 /nfs/dbraw/zinc/10/08/95/422100895.db2.gz UISFNSRCOZQBIT-LBPRGKRZSA-N 1 2 311.267 1.100 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)NCCCCn1cc[nH+]c1 ZINC000582339304 422143276 /nfs/dbraw/zinc/14/32/76/422143276.db2.gz YJCCVJKJTXYQLL-LSDHHAIUSA-N 1 2 306.410 1.944 20 30 DDEDLO CC(C)(CNc1ncc2c(c1C#N)CCC2)[NH+]1CCOCC1 ZINC000583930806 422219297 /nfs/dbraw/zinc/21/92/97/422219297.db2.gz SBBXIAKDJGVOCB-UHFFFAOYSA-N 1 2 300.406 1.965 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+]1CCC[C@@H](N2CCNC2=O)C1 ZINC000584208612 422282428 /nfs/dbraw/zinc/28/24/28/422282428.db2.gz QEWNYWMSILWAGJ-CYBMUJFWSA-N 1 2 302.353 1.687 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+]1CCC[C@@H](N2CCNC2=O)C1 ZINC000584208612 422282431 /nfs/dbraw/zinc/28/24/31/422282431.db2.gz QEWNYWMSILWAGJ-CYBMUJFWSA-N 1 2 302.353 1.687 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3cc(C#N)cnn3)c[nH+]2)CCO1 ZINC000596076813 422362698 /nfs/dbraw/zinc/36/26/98/422362698.db2.gz PWAPCVFMQSUJDJ-LBPRGKRZSA-N 1 2 310.361 1.580 20 30 DDEDLO N#Cc1ccnc(NCc2ccc[nH+]c2N2CCCC2)c1[N+](=O)[O-] ZINC000596355458 422375412 /nfs/dbraw/zinc/37/54/12/422375412.db2.gz ASSNHEJLDSCQCU-UHFFFAOYSA-N 1 2 324.344 2.469 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635765588 422325361 /nfs/dbraw/zinc/32/53/61/422325361.db2.gz HEEXUEVGJGTOHQ-RDJZCZTQSA-N 1 2 318.417 1.570 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635765588 422325367 /nfs/dbraw/zinc/32/53/67/422325367.db2.gz HEEXUEVGJGTOHQ-RDJZCZTQSA-N 1 2 318.417 1.570 20 30 DDEDLO COCCn1cc2c(n1)[C@@H]([NH2+]C[C@H](C#N)CCC#N)CCC2 ZINC000577327969 422383749 /nfs/dbraw/zinc/38/37/49/422383749.db2.gz OGLYWJBRCRCNRG-ZFWWWQNUSA-N 1 2 301.394 1.940 20 30 DDEDLO C=CCOCCCNC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000636199703 422709377 /nfs/dbraw/zinc/70/93/77/422709377.db2.gz IAYGBMCGPRFBGB-UHFFFAOYSA-N 1 2 301.346 1.900 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)NCc2sccc2C)nn1 ZINC000640974439 423308379 /nfs/dbraw/zinc/30/83/79/423308379.db2.gz UJIXGHSBIVEALZ-UHFFFAOYSA-N 1 2 317.418 1.077 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)c2cn(-c3ccccc3)nn2)nn1 ZINC000641149055 423422501 /nfs/dbraw/zinc/42/25/01/423422501.db2.gz RRDYCJXCVJTGMB-CQSZACIVSA-N 1 2 321.388 1.581 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCO[C@@H](C(=O)C4CC4)C3)n2c1 ZINC000356308712 269992819 /nfs/dbraw/zinc/99/28/19/269992819.db2.gz UGXUXMHXMGSGCF-OAHLLOKOSA-N 1 2 310.357 1.386 20 30 DDEDLO CC(C)NC(=O)CC[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000371290253 265854427 /nfs/dbraw/zinc/85/44/27/265854427.db2.gz AXNHXKWVHSZYKI-MRXNPFEDSA-N 1 2 301.390 1.846 20 30 DDEDLO CC(C)NC(=O)CC[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000371290253 265854433 /nfs/dbraw/zinc/85/44/33/265854433.db2.gz AXNHXKWVHSZYKI-MRXNPFEDSA-N 1 2 301.390 1.846 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(c2ncns2)CC1 ZINC000660713137 424754707 /nfs/dbraw/zinc/75/47/07/424754707.db2.gz MQTYHJFJZUDKAM-CYBMUJFWSA-N 1 2 323.466 1.473 20 30 DDEDLO C=CC(C)(C)CNC(=O)N[C@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000665024243 424732859 /nfs/dbraw/zinc/73/28/59/424732859.db2.gz QHFULNYVXRBCFL-GFCCVEGCSA-N 1 2 308.426 1.991 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)C[C@H]1CCCO1 ZINC000343979239 266129319 /nfs/dbraw/zinc/12/93/19/266129319.db2.gz MCQGAYQKTZTENF-CQSZACIVSA-N 1 2 323.418 1.228 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)C[C@H]1CCCO1 ZINC000343979239 266129323 /nfs/dbraw/zinc/12/93/23/266129323.db2.gz MCQGAYQKTZTENF-CQSZACIVSA-N 1 2 323.418 1.228 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@H+](Cc3ccc(F)cc3C#N)CCN2C1=O ZINC000375877457 266141640 /nfs/dbraw/zinc/14/16/40/266141640.db2.gz XDKBBBKXMULSTQ-AWEZNQCLSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@@H+](Cc3ccc(F)cc3C#N)CCN2C1=O ZINC000375877457 266141645 /nfs/dbraw/zinc/14/16/45/266141645.db2.gz XDKBBBKXMULSTQ-AWEZNQCLSA-N 1 2 316.336 1.166 20 30 DDEDLO CCS(=O)(=O)N1CC[N@H+](Cc2ccc(C#N)cc2)[C@@H](C)C1 ZINC000347315610 266339039 /nfs/dbraw/zinc/33/90/39/266339039.db2.gz PAIRKDZKIDHZEC-ZDUSSCGKSA-N 1 2 307.419 1.414 20 30 DDEDLO CCS(=O)(=O)N1CC[N@@H+](Cc2ccc(C#N)cc2)[C@@H](C)C1 ZINC000347315610 266339042 /nfs/dbraw/zinc/33/90/42/266339042.db2.gz PAIRKDZKIDHZEC-ZDUSSCGKSA-N 1 2 307.419 1.414 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)COc1ccc(C#N)cc1OC ZINC000353849582 266906493 /nfs/dbraw/zinc/90/64/93/266906493.db2.gz URRDZEZMLWUOFI-UHFFFAOYSA-N 1 2 313.313 1.988 20 30 DDEDLO C[C@@H]1c2nncn2CC[N@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000362073388 267112320 /nfs/dbraw/zinc/11/23/20/267112320.db2.gz WGFVOHUHSOUYSW-GFCCVEGCSA-N 1 2 310.361 1.555 20 30 DDEDLO C[C@@H]1c2nncn2CC[N@@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000362073388 267112324 /nfs/dbraw/zinc/11/23/24/267112324.db2.gz WGFVOHUHSOUYSW-GFCCVEGCSA-N 1 2 310.361 1.555 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)n1cnc(C#N)n1 ZINC000344552358 267135653 /nfs/dbraw/zinc/13/56/53/267135653.db2.gz NGJCDIKBPFQMRW-LLVKDONJSA-N 1 2 307.317 1.535 20 30 DDEDLO C[C@H]1CCOCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000362239014 267217991 /nfs/dbraw/zinc/21/79/91/267217991.db2.gz ROIRVISXZOMVJV-ZDUSSCGKSA-N 1 2 308.403 1.443 20 30 DDEDLO C[C@H]1CCOCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000362239014 267217994 /nfs/dbraw/zinc/21/79/94/267217994.db2.gz ROIRVISXZOMVJV-ZDUSSCGKSA-N 1 2 308.403 1.443 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+]([C@H](C)c3ccccn3)CC2)c1C#N ZINC000530360893 268091566 /nfs/dbraw/zinc/09/15/66/268091566.db2.gz FRBVKDYIVNXNEY-CQSZACIVSA-N 1 2 310.405 1.878 20 30 DDEDLO N#Cc1ccc(N2CCCN(C(=O)CCc3c[nH+]c[nH]3)CC2)cc1 ZINC000377074078 268109461 /nfs/dbraw/zinc/10/94/61/268109461.db2.gz RCHIMYPRJQECMY-UHFFFAOYSA-N 1 2 323.400 1.953 20 30 DDEDLO N#Cc1ccc(N2CCCN(C(=O)CCc3c[nH]c[nH+]3)CC2)cc1 ZINC000377074078 268109462 /nfs/dbraw/zinc/10/94/62/268109462.db2.gz RCHIMYPRJQECMY-UHFFFAOYSA-N 1 2 323.400 1.953 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCC[C@H]3COC[C@H]32)c1 ZINC000374350695 268275670 /nfs/dbraw/zinc/27/56/70/268275670.db2.gz MVTYFYWMPUZFFS-GOEBONIOSA-N 1 2 320.414 1.443 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCC[C@H]3COC[C@H]32)c1 ZINC000374350695 268275674 /nfs/dbraw/zinc/27/56/74/268275674.db2.gz MVTYFYWMPUZFFS-GOEBONIOSA-N 1 2 320.414 1.443 20 30 DDEDLO N#Cc1ccccc1OCC[NH+]1CCN([C@@H]2CCOC2)CC1 ZINC000366536752 268282520 /nfs/dbraw/zinc/28/25/20/268282520.db2.gz SWHHIHQAEXICRK-MRXNPFEDSA-N 1 2 301.390 1.344 20 30 DDEDLO N#Cc1ccnc(NC[C@]2([NH+]3CCOCC3)CCCOC2)c1 ZINC000376452576 268298485 /nfs/dbraw/zinc/29/84/85/268298485.db2.gz ABLGOLYJEQEKHH-MRXNPFEDSA-N 1 2 302.378 1.247 20 30 DDEDLO N#Cc1cncc(N2CCC[N@H+](Cc3cscn3)CC2)n1 ZINC000518175343 268315505 /nfs/dbraw/zinc/31/55/05/268315505.db2.gz HTIVWUDPXHTTMJ-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO N#Cc1cncc(N2CCC[N@@H+](Cc3cscn3)CC2)n1 ZINC000518175343 268315506 /nfs/dbraw/zinc/31/55/06/268315506.db2.gz HTIVWUDPXHTTMJ-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO N#Cc1nc(N2CCN(Cc3[nH]cc[nH+]3)CC2)ccc1Cl ZINC000354639139 268323259 /nfs/dbraw/zinc/32/32/59/268323259.db2.gz VOWCDCBXLGUOMC-UHFFFAOYSA-N 1 2 302.769 1.652 20 30 DDEDLO N#Cc1ccncc1C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000341645500 271152948 /nfs/dbraw/zinc/15/29/48/271152948.db2.gz SVQUQMFYMNZCCH-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@H]3CCOc4ccccc43)C[C@@H]21 ZINC000329727476 277121721 /nfs/dbraw/zinc/12/17/21/277121721.db2.gz OUPBKIFSTQSYEG-OFQRWUPVSA-N 1 2 317.389 1.439 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@H]3CCOc4ccccc43)C[C@@H]21 ZINC000329727476 277121722 /nfs/dbraw/zinc/12/17/22/277121722.db2.gz OUPBKIFSTQSYEG-OFQRWUPVSA-N 1 2 317.389 1.439 20 30 DDEDLO Cc1ccn2cc(CNC(=O)N3C[C@@H]4CC[C@H](O)[C@@H]4C3)[nH+]c2c1 ZINC000329587984 278980805 /nfs/dbraw/zinc/98/08/05/278980805.db2.gz XPDDCRAMWURDHT-CFVMTHIKSA-N 1 2 314.389 1.759 20 30 DDEDLO CCN1CCN(C(=O)C2(C#N)CCCC2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000433015991 279338037 /nfs/dbraw/zinc/33/80/37/279338037.db2.gz ZVIAYOJHLRSIKZ-CYBMUJFWSA-N 1 2 301.394 1.699 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)N(CCC#N)CCC#N)[C@H]1[C@@H]1CCCO1 ZINC000367701370 281230106 /nfs/dbraw/zinc/23/01/06/281230106.db2.gz GGFGPIDKDIZQTQ-HOCLYGCPSA-N 1 2 318.421 1.532 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)N(CCC#N)CCC#N)[C@H]1[C@@H]1CCCO1 ZINC000367701370 281230108 /nfs/dbraw/zinc/23/01/08/281230108.db2.gz GGFGPIDKDIZQTQ-HOCLYGCPSA-N 1 2 318.421 1.532 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)cn1 ZINC000121423737 303197143 /nfs/dbraw/zinc/19/71/43/303197143.db2.gz MEWRJWHHLBABDQ-ZDUSSCGKSA-N 1 2 317.374 1.592 20 30 DDEDLO CC(C)(CO)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000565958567 308039147 /nfs/dbraw/zinc/03/91/47/308039147.db2.gz CEAMYUQEENTDDG-UHFFFAOYSA-N 1 2 301.390 1.221 20 30 DDEDLO CNc1[nH+]cnc2c1cnn2Cc1cccc(C(=O)OC)c1C#N ZINC000576182775 308295273 /nfs/dbraw/zinc/29/52/73/308295273.db2.gz RQVQLRHOSYHBGP-UHFFFAOYSA-N 1 2 322.328 1.575 20 30 DDEDLO CCc1nc(CN2CC[NH+](Cc3ccc(C#N)cn3)CC2)no1 ZINC000577133214 308365357 /nfs/dbraw/zinc/36/53/57/308365357.db2.gz CIRNYAHRCMNMFK-UHFFFAOYSA-N 1 2 312.377 1.216 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[NH2+][C@@](C)(C(N)=O)c1ccccc1 ZINC000170645076 332861792 /nfs/dbraw/zinc/86/17/92/332861792.db2.gz CWIZRBFQCUQANJ-IAGOWNOFSA-N 1 2 316.405 1.031 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)COc1ccc(F)c(Cl)c1 ZINC000569527484 332124327 /nfs/dbraw/zinc/12/43/27/332124327.db2.gz VOCNSNLZRDIXHT-AWEZNQCLSA-N 1 2 313.760 1.818 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnc(-c2ccccn2)s1 ZINC000584267254 332177141 /nfs/dbraw/zinc/17/71/41/332177141.db2.gz QJMMDJXPVABFJN-OAHLLOKOSA-N 1 2 315.402 1.779 20 30 DDEDLO C[C@@H](c1cccc(F)c1)[NH+]1CCN(CC(=O)NCC#N)CC1 ZINC000159492753 332190490 /nfs/dbraw/zinc/19/04/90/332190490.db2.gz HIAZZXWJKABZEQ-ZDUSSCGKSA-N 1 2 304.369 1.144 20 30 DDEDLO CCc1ccc([C@H](COC)[NH2+]CC(=O)N[C@@](C)(C#N)C2CC2)o1 ZINC000184811263 333201559 /nfs/dbraw/zinc/20/15/59/333201559.db2.gz OZRKOKJHTZLOFY-YOEHRIQHSA-N 1 2 319.405 1.928 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)CC2(CCC2)O1 ZINC000563082334 333350987 /nfs/dbraw/zinc/35/09/87/333350987.db2.gz ZRZBNDDKGDVGNA-AWEZNQCLSA-N 1 2 305.422 1.972 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)CC2(CCC2)O1 ZINC000563082334 333350988 /nfs/dbraw/zinc/35/09/88/333350988.db2.gz ZRZBNDDKGDVGNA-AWEZNQCLSA-N 1 2 305.422 1.972 20 30 DDEDLO C=C(C)Cn1c(C(C)C)nnc1N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000338848159 333499512 /nfs/dbraw/zinc/49/95/12/333499512.db2.gz ZKADKFSFADKWSY-OAHLLOKOSA-N 1 2 319.453 1.889 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc3occc3c2)CC1 ZINC000347681521 335516270 /nfs/dbraw/zinc/51/62/70/335516270.db2.gz XGOZKNRHUMCNBX-UHFFFAOYSA-N 1 2 313.401 1.853 20 30 DDEDLO N#Cc1cccc(NC(=O)NCCc2cn3c([nH+]2)CCCC3)n1 ZINC000582904010 337213730 /nfs/dbraw/zinc/21/37/30/337213730.db2.gz YRZWASCLLNUIFV-UHFFFAOYSA-N 1 2 310.361 1.850 20 30 DDEDLO CC(=O)Nc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1F ZINC000572164798 337594540 /nfs/dbraw/zinc/59/45/40/337594540.db2.gz AHAUQRXADKQYGS-HNNXBMFYSA-N 1 2 306.341 1.358 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(C)c3ccc([N+](=O)[O-])cc32)CC1 ZINC000121080645 337885679 /nfs/dbraw/zinc/88/56/79/337885679.db2.gz JNRNASVCZFRXCS-UHFFFAOYSA-N 1 2 313.361 1.461 20 30 DDEDLO C#CC[N@@H+](CCN(C)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000085793593 338011223 /nfs/dbraw/zinc/01/12/23/338011223.db2.gz RABGVXYNCHCDDH-MRXNPFEDSA-N 1 2 306.431 1.245 20 30 DDEDLO C#CC[N@H+](CCN(C)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000085793593 338011224 /nfs/dbraw/zinc/01/12/24/338011224.db2.gz RABGVXYNCHCDDH-MRXNPFEDSA-N 1 2 306.431 1.245 20 30 DDEDLO Cn1c2ccccc2cc(C[NH+]2CCN(C(=O)CC#N)CC2)c1=O ZINC000577623211 341924807 /nfs/dbraw/zinc/92/48/07/341924807.db2.gz IQEGKLGRYFZACB-UHFFFAOYSA-N 1 2 324.384 1.096 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)NCc1ccc[nH+]c1N(C)C ZINC000656296120 484071469 /nfs/dbraw/zinc/07/14/69/484071469.db2.gz QKSXAERFMCTKLK-UHFFFAOYSA-N 1 2 318.421 1.626 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H]2CC(=O)N(C3CCCCC3)C2)nn1 ZINC000667981172 484889768 /nfs/dbraw/zinc/88/97/68/484889768.db2.gz FXKQRQZPDYLCDC-AWEZNQCLSA-N 1 2 317.437 1.877 20 30 DDEDLO C=CCOCCCNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000666522091 485255099 /nfs/dbraw/zinc/25/50/99/485255099.db2.gz OOJMULRPXKRNBO-OAHLLOKOSA-N 1 2 311.426 1.085 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@H](OCC(F)(F)F)C2)C1=O ZINC000684889027 486452899 /nfs/dbraw/zinc/45/28/99/486452899.db2.gz DXDRUZOOLBHONS-NWDGAFQWSA-N 1 2 306.328 1.817 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@H](OCC(F)(F)F)C2)C1=O ZINC000684889027 486452901 /nfs/dbraw/zinc/45/29/01/486452901.db2.gz DXDRUZOOLBHONS-NWDGAFQWSA-N 1 2 306.328 1.817 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)cc1OC ZINC000414121287 534234090 /nfs/dbraw/zinc/23/40/90/534234090.db2.gz GILCQYPIRKNGGQ-LBPRGKRZSA-N 1 2 322.361 1.067 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc1OC ZINC000414121287 534234092 /nfs/dbraw/zinc/23/40/92/534234092.db2.gz GILCQYPIRKNGGQ-LBPRGKRZSA-N 1 2 322.361 1.067 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)N1CCC(CO)CC1 ZINC000330550592 534354229 /nfs/dbraw/zinc/35/42/29/534354229.db2.gz WWBYWXSKCKVUFM-UHFFFAOYSA-N 1 2 320.315 1.564 20 30 DDEDLO Cn1cc([NH+]=C([O-])N[C@H]2CCc3[nH+]c[nH]c3C2)c(C2CC2)n1 ZINC000329804691 534737805 /nfs/dbraw/zinc/73/78/05/534737805.db2.gz KJDZZDCAAILQNA-JTQLQIEISA-N 1 2 300.366 1.904 20 30 DDEDLO Cn1cc([NH+]=C([O-])N[C@H]2CCc3[nH]c[nH+]c3C2)c(C2CC2)n1 ZINC000329804691 534737807 /nfs/dbraw/zinc/73/78/07/534737807.db2.gz KJDZZDCAAILQNA-JTQLQIEISA-N 1 2 300.366 1.904 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000413267106 526305024 /nfs/dbraw/zinc/30/50/24/526305024.db2.gz QCCWIDBXZGRZKE-UONOGXRCSA-N 1 2 306.410 1.323 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000413267106 526305027 /nfs/dbraw/zinc/30/50/27/526305027.db2.gz QCCWIDBXZGRZKE-UONOGXRCSA-N 1 2 306.410 1.323 20 30 DDEDLO C=CC[N@H+](Cc1ccc(CC#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000342757997 526334355 /nfs/dbraw/zinc/33/43/55/526334355.db2.gz XAQHUTPBNNQPHQ-INIZCTEOSA-N 1 2 304.415 1.928 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(CC#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000342757997 526334361 /nfs/dbraw/zinc/33/43/61/526334361.db2.gz XAQHUTPBNNQPHQ-INIZCTEOSA-N 1 2 304.415 1.928 20 30 DDEDLO CC#CC[N@@H+](C)[C@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000339758104 526363197 /nfs/dbraw/zinc/36/31/97/526363197.db2.gz TVOBHLHBWAJHOO-LLVKDONJSA-N 1 2 305.334 1.886 20 30 DDEDLO CC#CC[N@H+](C)[C@H](C)C(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000339758104 526363201 /nfs/dbraw/zinc/36/32/01/526363201.db2.gz TVOBHLHBWAJHOO-LLVKDONJSA-N 1 2 305.334 1.886 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cnc3ccc(C#N)cn23)C1=O ZINC000343596720 526471290 /nfs/dbraw/zinc/47/12/90/526471290.db2.gz BLZTZFLVIMIRDM-OAHLLOKOSA-N 1 2 309.373 1.425 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cnc3ccc(C#N)cn23)C1=O ZINC000343596720 526471294 /nfs/dbraw/zinc/47/12/94/526471294.db2.gz BLZTZFLVIMIRDM-OAHLLOKOSA-N 1 2 309.373 1.425 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000339989699 526478869 /nfs/dbraw/zinc/47/88/69/526478869.db2.gz UTSLKEPYVQPAGX-UHFFFAOYSA-N 1 2 316.405 1.333 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(CC(F)(F)F)[C@H](C)C2)C1=O ZINC000337187488 526480241 /nfs/dbraw/zinc/48/02/41/526480241.db2.gz QCTSHCYXWTVPDY-VXGBXAGGSA-N 1 2 305.344 1.342 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(CC(F)(F)F)[C@H](C)C2)C1=O ZINC000337187488 526480243 /nfs/dbraw/zinc/48/02/43/526480243.db2.gz QCTSHCYXWTVPDY-VXGBXAGGSA-N 1 2 305.344 1.342 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(=O)[nH]c(C3CC3)n2)C1=O ZINC000459426209 526500934 /nfs/dbraw/zinc/50/09/34/526500934.db2.gz DACCYPCESUTCST-ZDUSSCGKSA-N 1 2 302.378 1.278 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(=O)[nH]c(C3CC3)n2)C1=O ZINC000459426209 526500938 /nfs/dbraw/zinc/50/09/38/526500938.db2.gz DACCYPCESUTCST-ZDUSSCGKSA-N 1 2 302.378 1.278 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000337676302 526540934 /nfs/dbraw/zinc/54/09/34/526540934.db2.gz FWWUVFPZDOXULL-UHFFFAOYSA-N 1 2 302.353 1.122 20 30 DDEDLO C=C(C)CS(=O)(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000435426169 526684548 /nfs/dbraw/zinc/68/45/48/526684548.db2.gz GWMATYWRDXKIRF-UHFFFAOYSA-N 1 2 305.403 1.927 20 30 DDEDLO C=CCOCC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000347894755 526713177 /nfs/dbraw/zinc/71/31/77/526713177.db2.gz BVLCIFWGUONTEO-KRWDZBQOSA-N 1 2 318.417 1.249 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[C@H]([NH+]2CCN(CC)CC2)C1)OCC ZINC000339354176 526745408 /nfs/dbraw/zinc/74/54/08/526745408.db2.gz DTWUPWSEJNCMAO-DLBZAZTESA-N 1 2 323.481 1.596 20 30 DDEDLO C#CC[N@@H+](CCc1ccc(CC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000490850969 526901698 /nfs/dbraw/zinc/90/16/98/526901698.db2.gz CTYCNHYJZFWTBY-KRWDZBQOSA-N 1 2 305.443 1.914 20 30 DDEDLO C#CC[N@H+](CCc1ccc(CC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000490850969 526901705 /nfs/dbraw/zinc/90/17/05/526901705.db2.gz CTYCNHYJZFWTBY-KRWDZBQOSA-N 1 2 305.443 1.914 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1ccc(C(=O)OC)s1 ZINC000490614985 526940746 /nfs/dbraw/zinc/94/07/46/526940746.db2.gz CPKSVGAXGMVRQJ-LLVKDONJSA-N 1 2 307.371 1.789 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1ccc(C(=O)OC)s1 ZINC000490614985 526940749 /nfs/dbraw/zinc/94/07/49/526940749.db2.gz CPKSVGAXGMVRQJ-LLVKDONJSA-N 1 2 307.371 1.789 20 30 DDEDLO CC(C)(C)n1ncnc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000338312311 526942037 /nfs/dbraw/zinc/94/20/37/526942037.db2.gz GOMNSVCRHORWQA-UHFFFAOYSA-N 1 2 320.441 1.081 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(CC)c2ccccc2OC)CC1 ZINC000491726926 526947108 /nfs/dbraw/zinc/94/71/08/526947108.db2.gz KJASVWGFJKPWEC-UHFFFAOYSA-N 1 2 315.417 1.299 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(CCCC)CC(F)(F)F)CC1 ZINC000490838398 526949007 /nfs/dbraw/zinc/94/90/07/526949007.db2.gz BITYHTIKZYHUPQ-UHFFFAOYSA-N 1 2 319.371 1.428 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc([N+](=O)[O-])ccc2C)CC1 ZINC000491711646 526951726 /nfs/dbraw/zinc/95/17/26/526951726.db2.gz WWJICCQDMDXSGS-UHFFFAOYSA-N 1 2 316.361 1.093 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(F)(F)F)n2CC=C)CC1 ZINC000491656267 526956189 /nfs/dbraw/zinc/95/61/89/526956189.db2.gz HUQDVTGDZWZDHO-UHFFFAOYSA-N 1 2 313.327 1.324 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](C)Cc1nc([C@@H](C)SCC)no1 ZINC000491164344 526973643 /nfs/dbraw/zinc/97/36/43/526973643.db2.gz AVOYGDQKVCJQIT-LLVKDONJSA-N 1 2 310.423 1.455 20 30 DDEDLO C#CCNC(=O)CC[N@H+](C)Cc1nc([C@@H](C)SCC)no1 ZINC000491164344 526973650 /nfs/dbraw/zinc/97/36/50/526973650.db2.gz AVOYGDQKVCJQIT-LLVKDONJSA-N 1 2 310.423 1.455 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CC[C@](F)(c2cc(F)cc(F)c2)C1 ZINC000491122627 526980801 /nfs/dbraw/zinc/98/08/01/526980801.db2.gz WYZFWTSFTXXIDF-MRXNPFEDSA-N 1 2 310.319 1.975 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CC[C@](F)(c2cc(F)cc(F)c2)C1 ZINC000491122627 526980805 /nfs/dbraw/zinc/98/08/05/526980805.db2.gz WYZFWTSFTXXIDF-MRXNPFEDSA-N 1 2 310.319 1.975 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)CC2CCS(=O)(=O)CC2)n1 ZINC000491673915 527182566 /nfs/dbraw/zinc/18/25/66/527182566.db2.gz WOEMXXHMJKRBPD-UHFFFAOYSA-N 1 2 309.435 1.163 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)CC2CCS(=O)(=O)CC2)n1 ZINC000491673915 527182571 /nfs/dbraw/zinc/18/25/71/527182571.db2.gz WOEMXXHMJKRBPD-UHFFFAOYSA-N 1 2 309.435 1.163 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)NC(=O)NCC[NH+]1CCOCC1 ZINC000413036400 527216428 /nfs/dbraw/zinc/21/64/28/527216428.db2.gz PLRXSAWYZQCJAQ-QGZVFWFLSA-N 1 2 317.433 1.805 20 30 DDEDLO CC(=O)c1ccc(C#N)cc1N1C[C@@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000302104524 527231131 /nfs/dbraw/zinc/23/11/31/527231131.db2.gz MVAXRAQPTYKEQQ-FZKQIMNGSA-N 1 2 313.401 1.918 20 30 DDEDLO CC(=O)c1ccc(F)c(F)c1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000414121297 527285359 /nfs/dbraw/zinc/28/53/59/527285359.db2.gz GJICIRUAYVLBIP-NSHDSACASA-N 1 2 312.316 1.753 20 30 DDEDLO CC(=O)c1ccc(F)c(F)c1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414121297 527285361 /nfs/dbraw/zinc/28/53/61/527285361.db2.gz GJICIRUAYVLBIP-NSHDSACASA-N 1 2 312.316 1.753 20 30 DDEDLO C=CCn1c([C@@H](C)CC)nnc1N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000337920750 527349556 /nfs/dbraw/zinc/34/95/56/527349556.db2.gz YUHFWQAXSAPIMD-GJZGRUSLSA-N 1 2 319.453 1.889 20 30 DDEDLO C#C[C@H](CC)NC(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000491646699 527350490 /nfs/dbraw/zinc/35/04/90/527350490.db2.gz UMTODLSFFRYEKK-CYBMUJFWSA-N 1 2 306.435 1.772 20 30 DDEDLO C#C[C@H](CC)NC(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000491646699 527350495 /nfs/dbraw/zinc/35/04/95/527350495.db2.gz UMTODLSFFRYEKK-CYBMUJFWSA-N 1 2 306.435 1.772 20 30 DDEDLO C=CCCOCCNC(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000372594916 527355617 /nfs/dbraw/zinc/35/56/17/527355617.db2.gz ZTUHHODSBNVMFF-CQSZACIVSA-N 1 2 306.410 1.961 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000491746395 527416829 /nfs/dbraw/zinc/41/68/29/527416829.db2.gz VEVPSSMLMVHCNE-WDEREUQCSA-N 1 2 315.295 1.317 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1Cc1cc(C)c(OCC(=O)OC)c(C)c1 ZINC000491296367 527429015 /nfs/dbraw/zinc/42/90/15/527429015.db2.gz LLIBXWLBOVHCPR-INIZCTEOSA-N 1 2 317.385 1.689 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1Cc1cc(C)c(OCC(=O)OC)c(C)c1 ZINC000491296367 527429024 /nfs/dbraw/zinc/42/90/24/527429024.db2.gz LLIBXWLBOVHCPR-INIZCTEOSA-N 1 2 317.385 1.689 20 30 DDEDLO CC(C)C(=O)NC1CCN(C(=O)N[C@@H](C)Cn2cc[nH+]c2)CC1 ZINC000330396719 527483922 /nfs/dbraw/zinc/48/39/22/527483922.db2.gz KPYNRAQEBKUNJD-ZDUSSCGKSA-N 1 2 321.425 1.422 20 30 DDEDLO CC(C)C(=O)NC1CCN(C([O-])=[NH+][C@@H](C)Cn2cc[nH+]c2)CC1 ZINC000330396719 527483930 /nfs/dbraw/zinc/48/39/30/527483930.db2.gz KPYNRAQEBKUNJD-ZDUSSCGKSA-N 1 2 321.425 1.422 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)NCC[C@@H]2CCOC2)CC1 ZINC000329686354 527500977 /nfs/dbraw/zinc/50/09/77/527500977.db2.gz AHEUSILHVMYQDP-GFCCVEGCSA-N 1 2 312.414 1.258 20 30 DDEDLO CC(C)CO[C@@H]1CCN(C([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)C1 ZINC000329748275 528122883 /nfs/dbraw/zinc/12/28/83/528122883.db2.gz ZWPOCEJHZRTAMM-CHWSQXEVSA-N 1 2 306.410 1.928 20 30 DDEDLO CC(C)CO[C@@H]1CCN(C([O-])=[NH+][C@@H]2CCc3[nH+]c[nH]c3C2)C1 ZINC000329748275 528122889 /nfs/dbraw/zinc/12/28/89/528122889.db2.gz ZWPOCEJHZRTAMM-CHWSQXEVSA-N 1 2 306.410 1.928 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCc1ccn2cc[nH+]c2c1 ZINC000336930722 528714126 /nfs/dbraw/zinc/71/41/26/528714126.db2.gz BFLQWYFSGWUHTO-GFCCVEGCSA-N 1 2 321.406 1.150 20 30 DDEDLO CCNC(=O)NC(=O)C[NH+]1CCC(C(=O)OC(C)(C)C)CC1 ZINC000330495763 528825826 /nfs/dbraw/zinc/82/58/26/528825826.db2.gz WXVYDXJYJQOBMP-UHFFFAOYSA-N 1 2 313.398 1.090 20 30 DDEDLO CC(C)[C@@H]1OCC[C@@H]1CNC([O-])=[NH+][C@H]1CCn2cc[nH+]c2C1 ZINC000330193911 528834085 /nfs/dbraw/zinc/83/40/85/528834085.db2.gz OMIDXTZBBZCRHM-IPYPFGDCSA-N 1 2 306.410 1.763 20 30 DDEDLO CC(C)[C@@H]1OCC[C@@H]1C[NH+]=C([O-])N[C@H]1CCn2cc[nH+]c2C1 ZINC000330193911 528834088 /nfs/dbraw/zinc/83/40/88/528834088.db2.gz OMIDXTZBBZCRHM-IPYPFGDCSA-N 1 2 306.410 1.763 20 30 DDEDLO CC[C@@H](C)NC(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000330732119 529299276 /nfs/dbraw/zinc/29/92/76/529299276.db2.gz JQKJBONHVPHBBT-GFCCVEGCSA-N 1 2 319.409 1.594 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000299342561 529363296 /nfs/dbraw/zinc/36/32/96/529363296.db2.gz KDFQXPCDWMZTRL-CYBMUJFWSA-N 1 2 318.396 1.734 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CC[N@@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000833760032 604988866 /nfs/dbraw/zinc/98/88/66/604988866.db2.gz KOGMVVNOHNPSHP-HUUCEWRRSA-N 1 2 310.357 1.907 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000833760032 604988869 /nfs/dbraw/zinc/98/88/69/604988869.db2.gz KOGMVVNOHNPSHP-HUUCEWRRSA-N 1 2 310.357 1.907 20 30 DDEDLO C#CC[N@@H+](CC)[C@@H](C)C(=O)Nc1oc(C)c(C)c1-c1nn[nH]n1 ZINC000819697517 606073809 /nfs/dbraw/zinc/07/38/09/606073809.db2.gz HKDVSBXFBVMJRT-JTQLQIEISA-N 1 2 316.365 1.359 20 30 DDEDLO C#CC[N@H+](CC)[C@@H](C)C(=O)Nc1oc(C)c(C)c1-c1nn[nH]n1 ZINC000819697517 606073814 /nfs/dbraw/zinc/07/38/14/606073814.db2.gz HKDVSBXFBVMJRT-JTQLQIEISA-N 1 2 316.365 1.359 20 30 DDEDLO Cc1nc(-c2nn[nH]n2)c(N=NC2C[C@@H](C)[N@@H+](C3CC3)C2)o1 ZINC000822422872 606632679 /nfs/dbraw/zinc/63/26/79/606632679.db2.gz FEWFNGWXTDPLMU-SSDOTTSWSA-N 1 2 302.342 1.188 20 30 DDEDLO Cc1nc(-c2nn[nH]n2)c(N=NC2C[C@@H](C)[N@H+](C3CC3)C2)o1 ZINC000822422872 606632680 /nfs/dbraw/zinc/63/26/80/606632680.db2.gz FEWFNGWXTDPLMU-SSDOTTSWSA-N 1 2 302.342 1.188 20 30 DDEDLO CC(C)N(Cc1ccccc1)C(=O)[C@H](C)O[NH+]=C(N)CCO ZINC000121318036 696708474 /nfs/dbraw/zinc/70/84/74/696708474.db2.gz AHVRYGMMFYNIGO-ZDUSSCGKSA-N 1 2 307.394 1.483 20 30 DDEDLO COCCc1noc(CO[NH+]=C(N)Cc2ccccc2Cl)n1 ZINC000122217000 696720105 /nfs/dbraw/zinc/72/01/05/696720105.db2.gz VCIDWFUWZZJCFQ-UHFFFAOYSA-N 1 2 324.768 1.943 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ccn(C)n3)C2)c1 ZINC000972285790 695204924 /nfs/dbraw/zinc/20/49/24/695204924.db2.gz VYEDWGVKILGDFQ-QGZVFWFLSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H]([N@H+](C)Cc3ccn(C)n3)C2)c1 ZINC000972285790 695204926 /nfs/dbraw/zinc/20/49/26/695204926.db2.gz VYEDWGVKILGDFQ-QGZVFWFLSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]([N@@H+](C)Cc3ccn(C)n3)C2)c1 ZINC000972285787 695204989 /nfs/dbraw/zinc/20/49/89/695204989.db2.gz VYEDWGVKILGDFQ-KRWDZBQOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]([N@H+](C)Cc3ccn(C)n3)C2)c1 ZINC000972285787 695204990 /nfs/dbraw/zinc/20/49/90/695204990.db2.gz VYEDWGVKILGDFQ-KRWDZBQOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)C1 ZINC000972320853 695215471 /nfs/dbraw/zinc/21/54/71/695215471.db2.gz RDPKDOVCCRDANX-LHHMISFZSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)C1 ZINC000972320853 695215472 /nfs/dbraw/zinc/21/54/72/695215472.db2.gz RDPKDOVCCRDANX-LHHMISFZSA-N 1 2 302.418 1.359 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)c(C)o3)C2)C1 ZINC000972341161 695221336 /nfs/dbraw/zinc/22/13/36/695221336.db2.gz AWUDRIOOSXYAGO-KRWDZBQOSA-N 1 2 304.390 1.999 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)c(C)o3)C2)C1 ZINC000972341161 695221338 /nfs/dbraw/zinc/22/13/38/695221338.db2.gz AWUDRIOOSXYAGO-KRWDZBQOSA-N 1 2 304.390 1.999 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCCOCC3)C2)C1 ZINC000972361738 695228462 /nfs/dbraw/zinc/22/84/62/695228462.db2.gz JVEJYGUVECYASM-SJLPKXTDSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCCOCC3)C2)C1 ZINC000972361738 695228464 /nfs/dbraw/zinc/22/84/64/695228464.db2.gz JVEJYGUVECYASM-SJLPKXTDSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3sccc3F)C2)C1 ZINC000972367733 695231393 /nfs/dbraw/zinc/23/13/93/695231393.db2.gz MMHKZCCASSVPSO-INIZCTEOSA-N 1 2 322.405 1.827 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3sccc3F)C2)C1 ZINC000972367733 695231395 /nfs/dbraw/zinc/23/13/95/695231395.db2.gz MMHKZCCASSVPSO-INIZCTEOSA-N 1 2 322.405 1.827 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3[nH]ccc3C3CC3)C2)C1 ZINC000972405000 695241343 /nfs/dbraw/zinc/24/13/43/695241343.db2.gz KHCLXCQWGSRODT-SFHVURJKSA-N 1 2 313.401 1.442 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3[nH]ccc3C3CC3)C2)C1 ZINC000972405000 695241346 /nfs/dbraw/zinc/24/13/46/695241346.db2.gz KHCLXCQWGSRODT-SFHVURJKSA-N 1 2 313.401 1.442 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3nc(C)sc3C)C2)C1 ZINC000972428689 695248586 /nfs/dbraw/zinc/24/85/86/695248586.db2.gz MZLKVHAKQWCAHA-MRXNPFEDSA-N 1 2 321.446 1.863 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3nc(C)sc3C)C2)C1 ZINC000972428689 695248588 /nfs/dbraw/zinc/24/85/88/695248588.db2.gz MZLKVHAKQWCAHA-MRXNPFEDSA-N 1 2 321.446 1.863 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3CC)C2)C1 ZINC000972444287 695253167 /nfs/dbraw/zinc/25/31/67/695253167.db2.gz QJGBDDPZZYNAMF-SFHVURJKSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3CC)C2)C1 ZINC000972444287 695253168 /nfs/dbraw/zinc/25/31/68/695253168.db2.gz QJGBDDPZZYNAMF-SFHVURJKSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3n[nH]c4c3CCC4)C2)C1 ZINC000972446961 695254109 /nfs/dbraw/zinc/25/41/09/695254109.db2.gz NOQYIAUIHYFVHA-QGZVFWFLSA-N 1 2 316.405 1.001 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3n[nH]c4c3CCC4)C2)C1 ZINC000972446961 695254112 /nfs/dbraw/zinc/25/41/12/695254112.db2.gz NOQYIAUIHYFVHA-QGZVFWFLSA-N 1 2 316.405 1.001 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCO[C@H]3C3CC3)C2)C1 ZINC000972480734 695260539 /nfs/dbraw/zinc/26/05/39/695260539.db2.gz RPSHQWOZAMDDLI-SOLBZPMBSA-N 1 2 320.433 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCO[C@H]3C3CC3)C2)C1 ZINC000972480734 695260541 /nfs/dbraw/zinc/26/05/41/695260541.db2.gz RPSHQWOZAMDDLI-SOLBZPMBSA-N 1 2 320.433 1.291 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc4ccccn4c3)C2)C1 ZINC000972583547 695290116 /nfs/dbraw/zinc/29/01/16/695290116.db2.gz VKWSVHZTFIOVAH-IBGZPJMESA-N 1 2 323.396 1.489 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc4ccccn4c3)C2)C1 ZINC000972583547 695290118 /nfs/dbraw/zinc/29/01/18/695290118.db2.gz VKWSVHZTFIOVAH-IBGZPJMESA-N 1 2 323.396 1.489 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C3CC3)C3CC3)C2)C1 ZINC000972634052 695304620 /nfs/dbraw/zinc/30/46/20/695304620.db2.gz JUCZRQBTESSCFC-SFHVURJKSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C3CC3)C3CC3)C2)C1 ZINC000972634052 695304624 /nfs/dbraw/zinc/30/46/24/695304624.db2.gz JUCZRQBTESSCFC-SFHVURJKSA-N 1 2 304.434 1.912 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cn(C)nc2C)C(C)(C)C1 ZINC000972972987 695384465 /nfs/dbraw/zinc/38/44/65/695384465.db2.gz MLDCKXYRKYLRIH-ZDUSSCGKSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cn(C)nc2C)C(C)(C)C1 ZINC000972972987 695384467 /nfs/dbraw/zinc/38/44/67/695384467.db2.gz MLDCKXYRKYLRIH-ZDUSSCGKSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975046716 695784568 /nfs/dbraw/zinc/78/45/68/695784568.db2.gz XQTBBRDKLKEMSJ-ABAIWWIYSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975046716 695784569 /nfs/dbraw/zinc/78/45/69/695784569.db2.gz XQTBBRDKLKEMSJ-ABAIWWIYSA-N 1 2 313.829 1.092 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CCC[C@H]([C@H]3CCOC3)C2)c1=S ZINC000747826966 700088541 /nfs/dbraw/zinc/08/85/41/700088541.db2.gz FGQDWDBNYIPBHK-STQMWFEESA-N 1 2 309.439 1.701 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CCC[C@H]([C@H]3CCOC3)C2)c1=S ZINC000747826966 700088542 /nfs/dbraw/zinc/08/85/42/700088542.db2.gz FGQDWDBNYIPBHK-STQMWFEESA-N 1 2 309.439 1.701 20 30 DDEDLO NC(=NOC[C@@H]1CCOC1)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000747832766 700089179 /nfs/dbraw/zinc/08/91/79/700089179.db2.gz WBVKWWFWLMIUQR-OAHLLOKOSA-N 1 2 319.405 1.192 20 30 DDEDLO N#CCN1C[C@@H]2CC[C@@H](NC(=O)c3cc4c[nH+]ccc4[nH]3)C[C@@H]2C1 ZINC000979984924 696672943 /nfs/dbraw/zinc/67/29/43/696672943.db2.gz HUTPOIQKHGEVMB-NWANDNLSSA-N 1 2 323.400 1.917 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)N1CCc2ccccc21 ZINC000137649138 696858856 /nfs/dbraw/zinc/85/88/56/696858856.db2.gz HGDXZCNZTUJBAU-UHFFFAOYSA-N 1 2 310.357 1.502 20 30 DDEDLO C#CCC[N@@H+]1CCCN(C(=O)c2occc2CN(C)C)CC1 ZINC000981836246 696937582 /nfs/dbraw/zinc/93/75/82/696937582.db2.gz FLELCRCDHQYWEX-UHFFFAOYSA-N 1 2 303.406 1.512 20 30 DDEDLO C#CCC[N@H+]1CCCN(C(=O)c2occc2CN(C)C)CC1 ZINC000981836246 696937581 /nfs/dbraw/zinc/93/75/81/696937581.db2.gz FLELCRCDHQYWEX-UHFFFAOYSA-N 1 2 303.406 1.512 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)C[C@](C)(O)C2CC2)CC1 ZINC000981000048 696975801 /nfs/dbraw/zinc/97/58/01/696975801.db2.gz RXAYSBOVVJKCQX-HNNXBMFYSA-N 1 2 300.830 1.824 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)C[C@](C)(O)C2CC2)CC1 ZINC000981000048 696975802 /nfs/dbraw/zinc/97/58/02/696975802.db2.gz RXAYSBOVVJKCQX-HNNXBMFYSA-N 1 2 300.830 1.824 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCN(C(=O)c3c[nH]c(C#N)c3)CC2)on1 ZINC000981002062 696976312 /nfs/dbraw/zinc/97/63/12/696976312.db2.gz XEZKSIDZTHJFFT-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO Cc1cc(C[N@H+]2CCCN(C(=O)c3c[nH]c(C#N)c3)CC2)on1 ZINC000981002062 696976313 /nfs/dbraw/zinc/97/63/13/696976313.db2.gz XEZKSIDZTHJFFT-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)C[C@@H](C)n2ccnc2CC)CC1 ZINC000981197868 697031902 /nfs/dbraw/zinc/03/19/02/697031902.db2.gz PZXXDEREDPYWJR-OAHLLOKOSA-N 1 2 302.422 1.564 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)C[C@@H](C)n2ccnc2CC)CC1 ZINC000981197868 697031904 /nfs/dbraw/zinc/03/19/04/697031904.db2.gz PZXXDEREDPYWJR-OAHLLOKOSA-N 1 2 302.422 1.564 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cc2ccn(CC)n2)CC1 ZINC000981207445 697034471 /nfs/dbraw/zinc/03/44/71/697034471.db2.gz SSTXBHPBHNPWEL-UHFFFAOYSA-N 1 2 310.829 1.732 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cc2ccn(CC)n2)CC1 ZINC000981207445 697034474 /nfs/dbraw/zinc/03/44/74/697034474.db2.gz SSTXBHPBHNPWEL-UHFFFAOYSA-N 1 2 310.829 1.732 20 30 DDEDLO Cn1c(N[NH2+]C2=CC(=O)N3CCC[C@@H]23)nnc1-c1ccccc1 ZINC000799477200 700143467 /nfs/dbraw/zinc/14/34/67/700143467.db2.gz LAXPWROQJFIQDS-ZDUSSCGKSA-N 1 2 310.361 1.645 20 30 DDEDLO COC[C@]1(C)CC(=O)N(C[N@H+](C)Cc2cccc(C#N)c2)C1 ZINC000177134673 697409734 /nfs/dbraw/zinc/40/97/34/697409734.db2.gz MRDIGJOSYYCVGJ-QGZVFWFLSA-N 1 2 301.390 1.833 20 30 DDEDLO COC[C@]1(C)CC(=O)N(C[N@@H+](C)Cc2cccc(C#N)c2)C1 ZINC000177134673 697409737 /nfs/dbraw/zinc/40/97/37/697409737.db2.gz MRDIGJOSYYCVGJ-QGZVFWFLSA-N 1 2 301.390 1.833 20 30 DDEDLO CC(C)OCCON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181744321 697464647 /nfs/dbraw/zinc/46/46/47/697464647.db2.gz AHVGLNNHTHXKGS-INIZCTEOSA-N 1 2 321.421 1.601 20 30 DDEDLO CC(C)OCCON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181744321 697464649 /nfs/dbraw/zinc/46/46/49/697464649.db2.gz AHVGLNNHTHXKGS-INIZCTEOSA-N 1 2 321.421 1.601 20 30 DDEDLO C[C@H]1[C@H]([NH2+]Cc2nnc(C3CC3)o2)CCN1C(=O)C#CC1CC1 ZINC000986274047 697762261 /nfs/dbraw/zinc/76/22/61/697762261.db2.gz DGDCWOWJBXMLCR-SMDDNHRTSA-N 1 2 314.389 1.439 20 30 DDEDLO C[NH+]1CCC(ONC(=O)CSc2ccc(C#N)cc2)CC1 ZINC000773552320 697779174 /nfs/dbraw/zinc/77/91/74/697779174.db2.gz MOUUWWBHOXDBFB-UHFFFAOYSA-N 1 2 305.403 1.792 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)/C=C/c2ccccc2F)CC1 ZINC000800021275 700181383 /nfs/dbraw/zinc/18/13/83/700181383.db2.gz YEMGMJQYLLPPQG-RIYZIHGNSA-N 1 2 322.405 1.767 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(C(=O)NCc2ccc(C#N)cn2)C1 ZINC000774980868 697949969 /nfs/dbraw/zinc/94/99/69/697949969.db2.gz DFJLIPBBLNIVQC-AWEZNQCLSA-N 1 2 324.388 1.776 20 30 DDEDLO CC[C@@H]1C(=O)N(CC)CC[N@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000778418124 698334812 /nfs/dbraw/zinc/33/48/12/698334812.db2.gz RMBXZFBVKMXFAN-CVEARBPZSA-N 1 2 301.390 1.534 20 30 DDEDLO CC[C@@H]1C(=O)N(CC)CC[N@@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000778418124 698334816 /nfs/dbraw/zinc/33/48/16/698334816.db2.gz RMBXZFBVKMXFAN-CVEARBPZSA-N 1 2 301.390 1.534 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000779342358 698415409 /nfs/dbraw/zinc/41/54/09/698415409.db2.gz RTHOGIWNUAWZCI-HNNXBMFYSA-N 1 2 321.421 1.740 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000779342358 698415410 /nfs/dbraw/zinc/41/54/10/698415410.db2.gz RTHOGIWNUAWZCI-HNNXBMFYSA-N 1 2 321.421 1.740 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)NCCCCNc2cccc[nH+]2)cc1 ZINC000780931501 698572860 /nfs/dbraw/zinc/57/28/60/698572860.db2.gz KNRDIEXFMREPBF-KRWDZBQOSA-N 1 2 324.384 1.995 20 30 DDEDLO C#CCOc1ncccc1C(=O)N1CCC[N@@H+](CCF)CC1 ZINC000989508870 698650595 /nfs/dbraw/zinc/65/05/95/698650595.db2.gz XLOSARYNLWIGPA-UHFFFAOYSA-N 1 2 305.353 1.211 20 30 DDEDLO C#CCOc1ncccc1C(=O)N1CCC[N@H+](CCF)CC1 ZINC000989508870 698650596 /nfs/dbraw/zinc/65/05/96/698650596.db2.gz XLOSARYNLWIGPA-UHFFFAOYSA-N 1 2 305.353 1.211 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2cc(=O)c3ccccc3o2)CC1 ZINC000989591799 698685330 /nfs/dbraw/zinc/68/53/30/698685330.db2.gz DTAPHWPYCALPIE-UHFFFAOYSA-N 1 2 324.380 1.964 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2cc(=O)c3ccccc3o2)CC1 ZINC000989591799 698685332 /nfs/dbraw/zinc/68/53/32/698685332.db2.gz DTAPHWPYCALPIE-UHFFFAOYSA-N 1 2 324.380 1.964 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2cc(C(N)=O)cs2)CC1 ZINC000989750719 698752181 /nfs/dbraw/zinc/75/21/81/698752181.db2.gz UZAHBXBCTZNXID-UHFFFAOYSA-N 1 2 305.403 1.018 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2cc(C(N)=O)cs2)CC1 ZINC000989750719 698752183 /nfs/dbraw/zinc/75/21/83/698752183.db2.gz UZAHBXBCTZNXID-UHFFFAOYSA-N 1 2 305.403 1.018 20 30 DDEDLO C=C(Br)CNC(=O)N(C)[C@@H](C)C[NH+]1CCOCC1 ZINC000788913641 699347145 /nfs/dbraw/zinc/34/71/45/699347145.db2.gz JWGJIXZYSICCSJ-NSHDSACASA-N 1 2 320.231 1.257 20 30 DDEDLO COCCS(=O)(=O)CCC[N@H+](C)Cc1cccc(C#N)c1 ZINC000727828725 699427108 /nfs/dbraw/zinc/42/71/08/699427108.db2.gz IYDFIGNGGAWYCZ-UHFFFAOYSA-N 1 2 310.419 1.441 20 30 DDEDLO COCCS(=O)(=O)CCC[N@@H+](C)Cc1cccc(C#N)c1 ZINC000727828725 699427109 /nfs/dbraw/zinc/42/71/09/699427109.db2.gz IYDFIGNGGAWYCZ-UHFFFAOYSA-N 1 2 310.419 1.441 20 30 DDEDLO C[C@H](NC(=O)COc1cccc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000729445389 699479286 /nfs/dbraw/zinc/47/92/86/699479286.db2.gz OCKCGAFOKPZEQD-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C=CCNC(=O)COC(=O)[C@H]1CCC[N@@H+]1Cc1ccccc1 ZINC000732356173 699556920 /nfs/dbraw/zinc/55/69/20/699556920.db2.gz GCEWQNNKUXHQOM-OAHLLOKOSA-N 1 2 302.374 1.496 20 30 DDEDLO C=CCNC(=O)COC(=O)[C@H]1CCC[N@H+]1Cc1ccccc1 ZINC000732356173 699556921 /nfs/dbraw/zinc/55/69/21/699556921.db2.gz GCEWQNNKUXHQOM-OAHLLOKOSA-N 1 2 302.374 1.496 20 30 DDEDLO Fc1cc(C=[NH+]Nc2cncnc2)ccc1N1CCOCC1 ZINC000794977947 699839633 /nfs/dbraw/zinc/83/96/33/699839633.db2.gz DTZNICXEEJXCLN-UHFFFAOYSA-N 1 2 301.325 1.898 20 30 DDEDLO N#Cc1ccccc1NC(=O)CC[N@@H+]1CCO[C@@H](C(F)F)C1 ZINC000801563006 700321445 /nfs/dbraw/zinc/32/14/45/700321445.db2.gz JYUVPUDAKWLHCD-CYBMUJFWSA-N 1 2 309.316 1.853 20 30 DDEDLO N#Cc1ccccc1NC(=O)CC[N@H+]1CCO[C@@H](C(F)F)C1 ZINC000801563006 700321449 /nfs/dbraw/zinc/32/14/49/700321449.db2.gz JYUVPUDAKWLHCD-CYBMUJFWSA-N 1 2 309.316 1.853 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@@H+]2CCO[C@@H](C(=O)OC)C2)c1O ZINC000754726521 700522666 /nfs/dbraw/zinc/52/26/66/700522666.db2.gz KIUJVWAJOZGUEI-OAHLLOKOSA-N 1 2 321.373 1.503 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@H+]2CCO[C@@H](C(=O)OC)C2)c1O ZINC000754726521 700522669 /nfs/dbraw/zinc/52/26/69/700522669.db2.gz KIUJVWAJOZGUEI-OAHLLOKOSA-N 1 2 321.373 1.503 20 30 DDEDLO C#CCNC(=O)C[NH2+][C@H](C)c1nc(C)c(C(=O)OCC)s1 ZINC000755019085 700545132 /nfs/dbraw/zinc/54/51/32/700545132.db2.gz IBYPPVLXUYYIRG-SNVBAGLBSA-N 1 2 309.391 1.028 20 30 DDEDLO CC(C)S(=O)(=O)NN=C1C[C@@H](C)[N@H+](Cc2ccccc2)C1 ZINC000757577021 700664674 /nfs/dbraw/zinc/66/46/74/700664674.db2.gz XRBPVQFHFBCSPE-CYBMUJFWSA-N 1 2 309.435 1.965 20 30 DDEDLO CC(C)S(=O)(=O)NN=C1C[C@@H](C)[N@@H+](Cc2ccccc2)C1 ZINC000757577021 700664676 /nfs/dbraw/zinc/66/46/76/700664676.db2.gz XRBPVQFHFBCSPE-CYBMUJFWSA-N 1 2 309.435 1.965 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)C[C@H]2C[C@@H](C(C)=O)C2(C)C)CC1 ZINC000759704003 700767188 /nfs/dbraw/zinc/76/71/88/700767188.db2.gz CQEISJUMPWZIHA-CVEARBPZSA-N 1 2 304.434 1.795 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[NH+]2CCN(C[C@@H]3CCCO3)CC2)cc1 ZINC000763399694 700936858 /nfs/dbraw/zinc/93/68/58/700936858.db2.gz YGVZUIHJWHPSHX-ROUUACIJSA-N 1 2 315.417 1.388 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](C)CC(=O)c1cccs1 ZINC000765211285 701003321 /nfs/dbraw/zinc/00/33/21/701003321.db2.gz SRTAXLRXJPTNAV-STQMWFEESA-N 1 2 304.415 1.923 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)CC(=O)c1cccs1 ZINC000765211285 701003323 /nfs/dbraw/zinc/00/33/23/701003323.db2.gz SRTAXLRXJPTNAV-STQMWFEESA-N 1 2 304.415 1.923 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000810070593 701720971 /nfs/dbraw/zinc/72/09/71/701720971.db2.gz QXJCUYMJLAENNX-ZJUUUORDSA-N 1 2 308.300 1.105 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000810070593 701720982 /nfs/dbraw/zinc/72/09/82/701720982.db2.gz QXJCUYMJLAENNX-ZJUUUORDSA-N 1 2 308.300 1.105 20 30 DDEDLO C=CCOc1ccc(CN2CC[NH+](CC[S@](C)=O)CC2)cc1 ZINC000769481655 701246903 /nfs/dbraw/zinc/24/69/03/701246903.db2.gz XAIVLUCJLZCNTD-QFIPXVFZSA-N 1 2 322.474 1.748 20 30 DDEDLO C#CCNC(=O)C[N@H+](CC(=O)Nc1ccc(F)cc1)C(C)(C)C ZINC000770209676 701271512 /nfs/dbraw/zinc/27/15/12/701271512.db2.gz UMCMLAMWMVPIII-UHFFFAOYSA-N 1 2 319.380 1.614 20 30 DDEDLO C#CCNC(=O)C[N@@H+](CC(=O)Nc1ccc(F)cc1)C(C)(C)C ZINC000770209676 701271514 /nfs/dbraw/zinc/27/15/14/701271514.db2.gz UMCMLAMWMVPIII-UHFFFAOYSA-N 1 2 319.380 1.614 20 30 DDEDLO CON=Cc1ccc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cc1 ZINC000771564438 701323653 /nfs/dbraw/zinc/32/36/53/701323653.db2.gz ZPDNHHBVHYEHND-MRXNPFEDSA-N 1 2 317.389 1.214 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1CC[C@H](C)[C@H](NC(=O)C(F)(F)F)[C@@H]1C ZINC000805787755 701409357 /nfs/dbraw/zinc/40/93/57/701409357.db2.gz YRQSVJJNDDNYMT-USZNOCQGSA-N 1 2 321.343 1.692 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1CC[C@H](C)[C@H](NC(=O)C(F)(F)F)[C@@H]1C ZINC000805787755 701409358 /nfs/dbraw/zinc/40/93/58/701409358.db2.gz YRQSVJJNDDNYMT-USZNOCQGSA-N 1 2 321.343 1.692 20 30 DDEDLO C#C[C@H](NC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C)[C@@H]1CCCO1 ZINC000839517087 701721870 /nfs/dbraw/zinc/72/18/70/701721870.db2.gz NQJUWXHYULBQRV-KBPBESRZSA-N 1 2 315.373 1.242 20 30 DDEDLO CC(=[NH+]Nc1cnnn1C)c1ccc(N2CCOCC2)cc1 ZINC000814981165 701780199 /nfs/dbraw/zinc/78/01/99/701780199.db2.gz XWPSPWJIIUPHGY-UHFFFAOYSA-N 1 2 300.366 1.488 20 30 DDEDLO CC(C)(C)[N@@H+]1CC[C@@](F)(C(=O)[C@H](C#N)C(=O)N2CCCC2)C1 ZINC000810527827 701783865 /nfs/dbraw/zinc/78/38/65/701783865.db2.gz OSMVJVHJQHEBJS-LRDDRELGSA-N 1 2 309.385 1.530 20 30 DDEDLO CC(C)(C)[N@H+]1CC[C@@](F)(C(=O)[C@H](C#N)C(=O)N2CCCC2)C1 ZINC000810527827 701783870 /nfs/dbraw/zinc/78/38/70/701783870.db2.gz OSMVJVHJQHEBJS-LRDDRELGSA-N 1 2 309.385 1.530 20 30 DDEDLO CC(C)(C)OC(=O)[C@@]1(C)CC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC000840159569 702003200 /nfs/dbraw/zinc/00/32/00/702003200.db2.gz JCTRJRJRYFDSJR-KRWDZBQOSA-N 1 2 317.389 1.731 20 30 DDEDLO CC(C)(C)OC(=O)[C@@]1(C)CC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC000840159569 702003204 /nfs/dbraw/zinc/00/32/04/702003204.db2.gz JCTRJRJRYFDSJR-KRWDZBQOSA-N 1 2 317.389 1.731 20 30 DDEDLO CC(C)S(=O)(=O)NN=C1CC[N@H+](Cc2ccccc2)[C@@H]1C ZINC000811639666 702019632 /nfs/dbraw/zinc/01/96/32/702019632.db2.gz DOUQEMDHDVUXCP-CYBMUJFWSA-N 1 2 309.435 1.965 20 30 DDEDLO CC(C)S(=O)(=O)NN=C1CC[N@@H+](Cc2ccccc2)[C@@H]1C ZINC000811639666 702019639 /nfs/dbraw/zinc/01/96/39/702019639.db2.gz DOUQEMDHDVUXCP-CYBMUJFWSA-N 1 2 309.435 1.965 20 30 DDEDLO Cc1cnn([C@@H]2CC[N@H+](Cn3cc4c(c(C#N)c3=O)CCC4)C2)c1 ZINC000811800205 702062229 /nfs/dbraw/zinc/06/22/29/702062229.db2.gz MDDHBCCACFYJLG-OAHLLOKOSA-N 1 2 323.400 1.618 20 30 DDEDLO Cc1cnn([C@@H]2CC[N@@H+](Cn3cc4c(c(C#N)c3=O)CCC4)C2)c1 ZINC000811800205 702062236 /nfs/dbraw/zinc/06/22/36/702062236.db2.gz MDDHBCCACFYJLG-OAHLLOKOSA-N 1 2 323.400 1.618 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCO3)n2CC2CC2)CC1 ZINC000831137356 706641637 /nfs/dbraw/zinc/64/16/37/706641637.db2.gz OOORJCWAIBOISO-OAHLLOKOSA-N 1 2 315.421 1.295 20 30 DDEDLO C[C@H]1CC(=O)Oc2cc(OC[C@H](O)C[N@H+](C)CCC#N)ccc21 ZINC000840762198 702230981 /nfs/dbraw/zinc/23/09/81/702230981.db2.gz NQYQANWHEBXSDP-QWHCGFSZSA-N 1 2 318.373 1.684 20 30 DDEDLO C[C@H]1CC(=O)Oc2cc(OC[C@H](O)C[N@@H+](C)CCC#N)ccc21 ZINC000840762198 702230986 /nfs/dbraw/zinc/23/09/86/702230986.db2.gz NQYQANWHEBXSDP-QWHCGFSZSA-N 1 2 318.373 1.684 20 30 DDEDLO C[C@H]([NH2+]C[C@@H]1CCN(S(C)(=O)=O)C1)c1cccc(C#N)c1O ZINC000866310775 706670758 /nfs/dbraw/zinc/67/07/58/706670758.db2.gz PNXRQQXPRKXWHB-RYUDHWBXSA-N 1 2 323.418 1.196 20 30 DDEDLO O=C1Nc2c(ccc(O)c2F)C1=NNC1=[NH+][C@@H]2CCCC[C@H]2N1 ZINC000841634347 702514524 /nfs/dbraw/zinc/51/45/24/702514524.db2.gz XQMRQULXUUVFGM-RKDXNWHRSA-N 1 2 317.324 1.048 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)CCCn3cc[nH+]c3)C2)c1 ZINC000869338539 702573866 /nfs/dbraw/zinc/57/38/66/702573866.db2.gz KVJZORXNFOWOCE-UHFFFAOYSA-N 1 2 309.373 1.858 20 30 DDEDLO CC[C@@H](CON=C(N)c1ccc(C[NH+]2CCOCC2)cc1)OC ZINC000842023418 702657570 /nfs/dbraw/zinc/65/75/70/702657570.db2.gz DMDJGZFHUCDMBW-INIZCTEOSA-N 1 2 321.421 1.581 20 30 DDEDLO C[C@@H]1CC2(C[N@@H+]1Cn1nc(CC#N)n(C)c1=S)CCOCC2 ZINC000842638750 702747706 /nfs/dbraw/zinc/74/77/06/702747706.db2.gz NFLQOWGOLVCANF-GFCCVEGCSA-N 1 2 321.450 1.866 20 30 DDEDLO C[C@@H]1CC2(C[N@H+]1Cn1nc(CC#N)n(C)c1=S)CCOCC2 ZINC000842638750 702747708 /nfs/dbraw/zinc/74/77/08/702747708.db2.gz NFLQOWGOLVCANF-GFCCVEGCSA-N 1 2 321.450 1.866 20 30 DDEDLO COC[C@@H](C[N@@H+]1CCO[C@H](C)C1)OC(=O)c1ccc(C#N)cc1 ZINC000842964615 702795267 /nfs/dbraw/zinc/79/52/67/702795267.db2.gz ULVMGCNJFJTQOH-CZUORRHYSA-N 1 2 318.373 1.451 20 30 DDEDLO COC[C@@H](C[N@H+]1CCO[C@H](C)C1)OC(=O)c1ccc(C#N)cc1 ZINC000842964615 702795270 /nfs/dbraw/zinc/79/52/70/702795270.db2.gz ULVMGCNJFJTQOH-CZUORRHYSA-N 1 2 318.373 1.451 20 30 DDEDLO C#CC[NH+](CC#C)Cc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000844301489 703008265 /nfs/dbraw/zinc/00/82/65/703008265.db2.gz WTNAHJFTKCNDAO-UHFFFAOYSA-N 1 2 302.399 1.196 20 30 DDEDLO COC(=O)c1cnoc1C[N@H+](C)C[C@H](O)c1cccc(C#N)c1 ZINC000844371633 703021690 /nfs/dbraw/zinc/02/16/90/703021690.db2.gz PJOJKEIMNQQKHF-AWEZNQCLSA-N 1 2 315.329 1.498 20 30 DDEDLO COC(=O)c1cnoc1C[N@@H+](C)C[C@H](O)c1cccc(C#N)c1 ZINC000844371633 703021692 /nfs/dbraw/zinc/02/16/92/703021692.db2.gz PJOJKEIMNQQKHF-AWEZNQCLSA-N 1 2 315.329 1.498 20 30 DDEDLO CN1CC[C@@H]([NH+]2CCN(c3ccc(F)cc3C#N)CC2)C1=O ZINC000879588221 706729327 /nfs/dbraw/zinc/72/93/27/706729327.db2.gz BGHLLEBEODFOSY-OAHLLOKOSA-N 1 2 302.353 1.050 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)C#CC(C)(C)C)C2 ZINC000846121678 703240422 /nfs/dbraw/zinc/24/04/22/703240422.db2.gz DBHPKXKOWYDQDH-ZDUSSCGKSA-N 1 2 303.406 1.207 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CCS(=O)(=O)CC(=O)OC(C)(C)C)C1 ZINC000847017235 703366227 /nfs/dbraw/zinc/36/62/27/703366227.db2.gz ABIMXAHIXCKXRP-CYBMUJFWSA-N 1 2 315.435 1.088 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CCS(=O)(=O)CC(=O)OC(C)(C)C)C1 ZINC000847017235 703366228 /nfs/dbraw/zinc/36/62/28/703366228.db2.gz ABIMXAHIXCKXRP-CYBMUJFWSA-N 1 2 315.435 1.088 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[N@H+]2C[C@@H](C)O[C@@H](C)C2)nc1 ZINC000847180599 703385228 /nfs/dbraw/zinc/38/52/28/703385228.db2.gz CWHAOKDGRDVUGR-MCIONIFRSA-N 1 2 301.390 1.290 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[N@@H+]2C[C@@H](C)O[C@@H](C)C2)nc1 ZINC000847180599 703385230 /nfs/dbraw/zinc/38/52/30/703385230.db2.gz CWHAOKDGRDVUGR-MCIONIFRSA-N 1 2 301.390 1.290 20 30 DDEDLO C#CCN1CCN(C(=O)C[NH2+][C@H](CF)c2ccc(F)cc2)CC1 ZINC000879659818 706751904 /nfs/dbraw/zinc/75/19/04/706751904.db2.gz ACNBOJSUNATJLO-MRXNPFEDSA-N 1 2 321.371 1.203 20 30 DDEDLO C#CC[N@@H+](CC#CC)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC000851783428 703854359 /nfs/dbraw/zinc/85/43/59/703854359.db2.gz BUNAKPISLARRIF-HNNXBMFYSA-N 1 2 306.406 1.581 20 30 DDEDLO C#CC[N@H+](CC#CC)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC000851783428 703854362 /nfs/dbraw/zinc/85/43/62/703854362.db2.gz BUNAKPISLARRIF-HNNXBMFYSA-N 1 2 306.406 1.581 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CC(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC000852319854 704011597 /nfs/dbraw/zinc/01/15/97/704011597.db2.gz SKSNZKLKZVDRAZ-NSHDSACASA-N 1 2 313.361 1.833 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CC(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC000852319854 704011598 /nfs/dbraw/zinc/01/15/98/704011598.db2.gz SKSNZKLKZVDRAZ-NSHDSACASA-N 1 2 313.361 1.833 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[NH+]1C[C@@H](C)C(O)[C@H](C)C1 ZINC000852503198 704064715 /nfs/dbraw/zinc/06/47/15/704064715.db2.gz LKWYOSSABAEJME-JMSVASOKSA-N 1 2 302.374 1.721 20 30 DDEDLO CCNc1nc(N=NCc2[nH]c(C)c(C)[nH+]2)nc(NCC)n1 ZINC000853266551 704226835 /nfs/dbraw/zinc/22/68/35/704226835.db2.gz JLWIGIAIZLKDFH-UHFFFAOYSA-N 1 2 303.374 1.521 20 30 DDEDLO C#CCN(CC#CC)C(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000820317975 704259791 /nfs/dbraw/zinc/25/97/91/704259791.db2.gz YHVVYATVOJPLLB-GOSISDBHSA-N 1 2 310.397 1.755 20 30 DDEDLO C#CCN(CC#CC)C(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000820317975 704259793 /nfs/dbraw/zinc/25/97/93/704259793.db2.gz YHVVYATVOJPLLB-GOSISDBHSA-N 1 2 310.397 1.755 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C2(CCOCC2)[C@@H]1C1CC1)[C@@H](C)COC ZINC000853533742 704261314 /nfs/dbraw/zinc/26/13/14/704261314.db2.gz BXUBNVGCAGPDHU-HOCLYGCPSA-N 1 2 322.449 1.884 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C2(CCOCC2)[C@@H]1C1CC1)[C@@H](C)COC ZINC000853533742 704261315 /nfs/dbraw/zinc/26/13/15/704261315.db2.gz BXUBNVGCAGPDHU-HOCLYGCPSA-N 1 2 322.449 1.884 20 30 DDEDLO C#CCN(CC(=O)N1CCn2c[nH+]cc2C1)C(=O)OC(C)(C)C ZINC000854527932 704412420 /nfs/dbraw/zinc/41/24/20/704412420.db2.gz WELOFKOPASPHFF-UHFFFAOYSA-N 1 2 318.377 1.096 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)c1cccc2[nH+]ccn21 ZINC000855494378 704487964 /nfs/dbraw/zinc/48/79/64/704487964.db2.gz IRININWCVARBAD-UHFFFAOYSA-N 1 2 313.357 1.751 20 30 DDEDLO CO[C@]1(C(F)(F)F)CC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000880302139 706933749 /nfs/dbraw/zinc/93/37/49/706933749.db2.gz DWGOJPPABWNJLO-ZYHUDNBSSA-N 1 2 307.316 1.400 20 30 DDEDLO CO[C@]1(C(F)(F)F)CC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000880302139 706933751 /nfs/dbraw/zinc/93/37/51/706933751.db2.gz DWGOJPPABWNJLO-ZYHUDNBSSA-N 1 2 307.316 1.400 20 30 DDEDLO C#CC[C@H](COC)Nc1nc(NCCO)c2ccccc2[nH+]1 ZINC000858859523 704765273 /nfs/dbraw/zinc/76/52/73/704765273.db2.gz OUOUEMURBASOGF-GFCCVEGCSA-N 1 2 300.362 1.484 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC000821839169 704822799 /nfs/dbraw/zinc/82/27/99/704822799.db2.gz WNSKJFHEXARJJZ-CYBMUJFWSA-N 1 2 313.423 1.187 20 30 DDEDLO COC(=O)c1ccc(N[NH+]=Cc2cnc(N(C)C)n2C)cc1F ZINC000835017792 707157120 /nfs/dbraw/zinc/15/71/20/707157120.db2.gz ANUPLGRDSLNVJT-UHFFFAOYSA-N 1 2 319.340 1.858 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000876120519 705626078 /nfs/dbraw/zinc/62/60/78/705626078.db2.gz ACPOCFLVEGTSSL-ZDUSSCGKSA-N 1 2 323.437 1.456 20 30 DDEDLO Cn1ncc(C2CC2)c1C[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000862423492 705715440 /nfs/dbraw/zinc/71/54/40/705715440.db2.gz VRMAPEZUKXOCMO-UHFFFAOYSA-N 1 2 322.416 1.886 20 30 DDEDLO C#CCCCCCC[NH+]1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000876549107 705754222 /nfs/dbraw/zinc/75/42/22/705754222.db2.gz GGTYNFSWQYWTDY-INIZCTEOSA-N 1 2 312.479 1.375 20 30 DDEDLO CC(=O)NCC1CC[NH+](Cc2cc(C#N)cnc2Cl)CC1 ZINC000876636341 705796255 /nfs/dbraw/zinc/79/62/55/705796255.db2.gz UJQZNVQZRIRCPK-UHFFFAOYSA-N 1 2 306.797 1.955 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000826590935 705820835 /nfs/dbraw/zinc/82/08/35/705820835.db2.gz WKEIJVNISNCAHC-WMZOPIPTSA-N 1 2 318.417 1.249 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000826590935 705820841 /nfs/dbraw/zinc/82/08/41/705820841.db2.gz WKEIJVNISNCAHC-WMZOPIPTSA-N 1 2 318.417 1.249 20 30 DDEDLO C[C@H](CC#N)NN=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872357578 707389101 /nfs/dbraw/zinc/38/91/01/707389101.db2.gz GNLCXCNVKLYBSA-OAHLLOKOSA-N 1 2 316.405 1.623 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000878159808 706310196 /nfs/dbraw/zinc/31/01/96/706310196.db2.gz WRMHJCVXMXECSL-ULQDDVLXSA-N 1 2 307.438 1.492 20 30 DDEDLO COC(=O)c1cc(C(=O)C[NH+]2CCC(CC#N)CC2)c(C)[nH]1 ZINC000878397541 706377326 /nfs/dbraw/zinc/37/73/26/706377326.db2.gz VHQPHHXWZWIZER-UHFFFAOYSA-N 1 2 303.362 1.918 20 30 DDEDLO C=C(Cl)C[C@H]1NC(=O)N([C@@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000865305162 706399819 /nfs/dbraw/zinc/39/98/19/706399819.db2.gz KRNSMVSMBYECPU-VXGBXAGGSA-N 1 2 320.780 1.723 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000829898001 706415847 /nfs/dbraw/zinc/41/58/47/706415847.db2.gz RMKZDCJYRSICSU-ZDUSSCGKSA-N 1 2 320.820 1.853 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[N@H+]1CCc2c(Cl)cccc2C1 ZINC000829898001 706415850 /nfs/dbraw/zinc/41/58/50/706415850.db2.gz RMKZDCJYRSICSU-ZDUSSCGKSA-N 1 2 320.820 1.853 20 30 DDEDLO C#C[C@H](CO)NC(=O)Nc1ccccc1OCCn1cc[nH+]c1 ZINC000878663624 706461845 /nfs/dbraw/zinc/46/18/45/706461845.db2.gz MULXVDZTTXKPRF-CYBMUJFWSA-N 1 2 314.345 1.078 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(C(=O)OC(C)(C)C)C2)C[C@@H](C)N1CC#N ZINC000878773672 706496198 /nfs/dbraw/zinc/49/61/98/706496198.db2.gz ZWQJZPAIRDRVGC-CHWSQXEVSA-N 1 2 308.426 1.524 20 30 DDEDLO COc1ccc(NC(=O)[C@@H](c2c[nH+]cn2C)N(C)C)cc1C#N ZINC000830412953 706513681 /nfs/dbraw/zinc/51/36/81/706513681.db2.gz AZXPUCODMMEWCA-OAHLLOKOSA-N 1 2 313.361 1.542 20 30 DDEDLO CC[C@@H]([NH2+][C@H]1C[C@H](S(C)(=O)=O)C1)c1cccc(C#N)c1O ZINC000926863820 712913892 /nfs/dbraw/zinc/91/38/92/712913892.db2.gz CFYFZAQLXHQCPA-YRGRVCCFSA-N 1 2 308.403 1.880 20 30 DDEDLO Cc1cc(F)c(C#N)cc1NC(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000880994363 707104894 /nfs/dbraw/zinc/10/48/94/707104894.db2.gz KDAOUPKLRLAHRF-GFCCVEGCSA-N 1 2 320.368 1.848 20 30 DDEDLO Nc1ccc2c(c1)CCCC2=[NH+]NC(=S)NC[C@@H]1CCCO1 ZINC000834925010 707149017 /nfs/dbraw/zinc/14/90/17/707149017.db2.gz HLFMXDIVOFKPBA-ZDUSSCGKSA-N 1 2 318.446 1.952 20 30 DDEDLO S=C(NN=C1CCC[N@@H+]2CCSC[C@@H]12)Nc1cccnc1 ZINC000872329854 707383431 /nfs/dbraw/zinc/38/34/31/707383431.db2.gz WPXFNNNUWRMDBM-ZDUSSCGKSA-N 1 2 321.475 1.935 20 30 DDEDLO S=C(NN=C1CCC[N@H+]2CCSC[C@@H]12)Nc1cccnc1 ZINC000872329854 707383438 /nfs/dbraw/zinc/38/34/38/707383438.db2.gz WPXFNNNUWRMDBM-ZDUSSCGKSA-N 1 2 321.475 1.935 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@@H](C)[N@@H+](C)C[C@H]2C)c(C#N)c1 ZINC000872467543 707429050 /nfs/dbraw/zinc/42/90/50/707429050.db2.gz KXVNPXYUPSJMPU-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@@H](C)[N@H+](C)C[C@H]2C)c(C#N)c1 ZINC000872467543 707429056 /nfs/dbraw/zinc/42/90/56/707429056.db2.gz KXVNPXYUPSJMPU-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNc1cc(C#N)ccc1[N+](=O)[O-] ZINC000872770895 707592231 /nfs/dbraw/zinc/59/22/31/707592231.db2.gz BYWGMHZXMWJTHO-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNc1cc(C#N)ccc1[N+](=O)[O-] ZINC000872770895 707592235 /nfs/dbraw/zinc/59/22/35/707592235.db2.gz BYWGMHZXMWJTHO-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@@H]([NH2+]Cc1nnc2n1CCNC2=O)c1ccc(C#N)cc1 ZINC000883304103 707972160 /nfs/dbraw/zinc/97/21/60/707972160.db2.gz NJYQZVWZCBBDEM-CYBMUJFWSA-N 1 2 310.361 1.134 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000884062005 708122603 /nfs/dbraw/zinc/12/26/03/708122603.db2.gz BXHXQHRWDNWVRL-XQLPTFJDSA-N 1 2 308.422 1.908 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)Cc1ccccc1Cl ZINC000884093608 708135812 /nfs/dbraw/zinc/13/58/12/708135812.db2.gz NXJSFDBQVMIROC-FZMZJTMJSA-N 1 2 324.808 1.834 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)COc1cccc(F)c1 ZINC000884098861 708137818 /nfs/dbraw/zinc/13/78/18/708137818.db2.gz WZOUBVGCNPUWDA-FZMZJTMJSA-N 1 2 324.352 1.156 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2c(C)cccc2C1 ZINC000884127621 708151481 /nfs/dbraw/zinc/15/14/81/708151481.db2.gz IXUAENQASBWQML-HNNXBMFYSA-N 1 2 302.374 1.326 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCOc2ccc(F)cc21 ZINC000884134406 708155200 /nfs/dbraw/zinc/15/52/00/708155200.db2.gz GLFTWTGMZSBTND-STQMWFEESA-N 1 2 322.336 1.212 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCC[C@@H](SCC)C1 ZINC000884170350 708170555 /nfs/dbraw/zinc/17/05/55/708170555.db2.gz VRSLDXFSOGLJDK-UPJWGTAASA-N 1 2 314.451 1.614 20 30 DDEDLO Cc1c(C(=O)NCc2ccn3cc[nH+]c3c2)cnn1CCC#N ZINC000896994634 708202585 /nfs/dbraw/zinc/20/25/85/708202585.db2.gz FOMBZSFBQJUUFA-UHFFFAOYSA-N 1 2 308.345 1.683 20 30 DDEDLO C[NH+]1CCN(N=Cc2cc(Br)cc(F)c2O)CC1 ZINC000884370242 708267430 /nfs/dbraw/zinc/26/74/30/708267430.db2.gz DORAMHABQXIITD-UHFFFAOYSA-N 1 2 316.174 1.875 20 30 DDEDLO N#CCOc1ccccc1C(=O)N[C@H]1CCC[N@@H+](CCF)C1 ZINC000898489445 708654719 /nfs/dbraw/zinc/65/47/19/708654719.db2.gz CFXHEDCUUKBOFQ-ZDUSSCGKSA-N 1 2 305.353 1.753 20 30 DDEDLO N#CCOc1ccccc1C(=O)N[C@H]1CCC[N@H+](CCF)C1 ZINC000898489445 708654721 /nfs/dbraw/zinc/65/47/21/708654721.db2.gz CFXHEDCUUKBOFQ-ZDUSSCGKSA-N 1 2 305.353 1.753 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H]1CCC2(CCC2)CO1 ZINC000886029792 708684780 /nfs/dbraw/zinc/68/47/80/708684780.db2.gz BEVMGOTXWMVPAU-KRWDZBQOSA-N 1 2 321.465 1.884 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@@H]2CC[C@H](C3CC3)O2)n1 ZINC000886177328 708710494 /nfs/dbraw/zinc/71/04/94/708710494.db2.gz ZVMYTVUIZJOEAL-ZWKOTPCHSA-N 1 2 317.433 1.922 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@@H]2CC[C@H](C3CC3)O2)n1 ZINC000886177328 708710497 /nfs/dbraw/zinc/71/04/97/708710497.db2.gz ZVMYTVUIZJOEAL-ZWKOTPCHSA-N 1 2 317.433 1.922 20 30 DDEDLO C=CCCn1cc(C(=O)NCc2nc(C[NH+](C)C)cs2)nn1 ZINC000927763839 713049823 /nfs/dbraw/zinc/04/98/23/713049823.db2.gz LNWGEXQHDVDODS-UHFFFAOYSA-N 1 2 320.422 1.302 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)[C@H](C#N)CCc1ccccc1 ZINC000912613902 713051037 /nfs/dbraw/zinc/05/10/37/713051037.db2.gz IDQXEQZHODNZQH-LSDHHAIUSA-N 1 2 312.373 1.342 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CC[C@](CO)(C(F)(F)F)C1)C1CC1 ZINC000886793860 708845790 /nfs/dbraw/zinc/84/57/90/708845790.db2.gz VXJQVGBWRKOHJG-OLZOCXBDSA-N 1 2 319.327 1.042 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CC[C@](CO)(C(F)(F)F)C1)C1CC1 ZINC000886793860 708845792 /nfs/dbraw/zinc/84/57/92/708845792.db2.gz VXJQVGBWRKOHJG-OLZOCXBDSA-N 1 2 319.327 1.042 20 30 DDEDLO N#Cc1cccc([C@@H]2CN(C(=O)CNc3cccc[nH+]3)CCO2)c1 ZINC000901251989 709985099 /nfs/dbraw/zinc/98/50/99/709985099.db2.gz WRDHPVOFOHDTJP-INIZCTEOSA-N 1 2 322.368 1.965 20 30 DDEDLO C=CCCC[C@H](NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)OC ZINC000928318761 713174536 /nfs/dbraw/zinc/17/45/36/713174536.db2.gz XTHRSWVMIIIREM-OLZOCXBDSA-N 1 2 314.451 1.438 20 30 DDEDLO C/C(=C/C(=O)N1CCc2c1cccc2C#N)C[NH+]1CCOCC1 ZINC000911185154 710673863 /nfs/dbraw/zinc/67/38/63/710673863.db2.gz WPPBUEXAAGRPOP-KAMYIIQDSA-N 1 2 311.385 1.726 20 30 DDEDLO N#C[C@]1(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)CC2CCC1CC2 ZINC000913440354 713216707 /nfs/dbraw/zinc/21/67/07/713216707.db2.gz RBYURCJPKWXNCM-IBGATYGBSA-N 1 2 313.405 1.603 20 30 DDEDLO CCCC[C@H](ON=C(C)C)C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC000913451934 713221567 /nfs/dbraw/zinc/22/15/67/713221567.db2.gz VRJONFCCMIABSB-CABCVRRESA-N 1 2 321.425 1.854 20 30 DDEDLO N#Cc1c(F)cc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)cc1F ZINC000913452786 713221916 /nfs/dbraw/zinc/22/19/16/713221916.db2.gz FTAOKUCSQORQRY-AWEZNQCLSA-N 1 2 317.299 1.346 20 30 DDEDLO O=C(NCC#C[C@H]1CCCCO1)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000894307100 711059921 /nfs/dbraw/zinc/05/99/21/711059921.db2.gz BDXJBZVCDDFWGN-ZIAGYGMSSA-N 1 2 302.378 1.070 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](CC)C[NH+]2CCOCC2)CCOCC1 ZINC000928646840 713249056 /nfs/dbraw/zinc/24/90/56/713249056.db2.gz MNVAQTFHQAWGCL-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2ccc(F)cc2)no1 ZINC000904079452 711375909 /nfs/dbraw/zinc/37/59/09/711375909.db2.gz IOKONRBFZNMARC-LBPRGKRZSA-N 1 2 305.309 1.399 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc([C@H]2CCC(F)(F)C2)no1 ZINC000904116608 711385927 /nfs/dbraw/zinc/38/59/27/711385927.db2.gz LMCMVHZTJHZVDT-IUCAKERBSA-N 1 2 301.293 1.571 20 30 DDEDLO N#Cc1sccc1C(=O)N1CC[NH+](C[C@@H]2CCCO2)CC1 ZINC000905252519 711980734 /nfs/dbraw/zinc/98/07/34/711980734.db2.gz KFBFNXXRRARWQM-LBPRGKRZSA-N 1 2 305.403 1.557 20 30 DDEDLO C#CC[N@@H+](CN1Cc2ccc(C(=O)OC)cc2C1=O)C(C)C ZINC000905747816 712138069 /nfs/dbraw/zinc/13/80/69/712138069.db2.gz DHAFDXYYAJUQBK-UHFFFAOYSA-N 1 2 300.358 1.730 20 30 DDEDLO C#CC[N@H+](CN1Cc2ccc(C(=O)OC)cc2C1=O)C(C)C ZINC000905747816 712138073 /nfs/dbraw/zinc/13/80/73/712138073.db2.gz DHAFDXYYAJUQBK-UHFFFAOYSA-N 1 2 300.358 1.730 20 30 DDEDLO C#CC[N@H+](CN1C(=O)C[C@@]2(CCc3ccccc32)C1=O)C(C)C ZINC000905748188 712138127 /nfs/dbraw/zinc/13/81/27/712138127.db2.gz HBBIVECFQBKYAO-LJQANCHMSA-N 1 2 310.397 1.931 20 30 DDEDLO C#CC[N@@H+](CN1C(=O)C[C@@]2(CCc3ccccc32)C1=O)C(C)C ZINC000905748188 712138130 /nfs/dbraw/zinc/13/81/30/712138130.db2.gz HBBIVECFQBKYAO-LJQANCHMSA-N 1 2 310.397 1.931 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@]2(C#N)CC3CCC2CC3)CC[N@@H+]1CCO ZINC000907544398 712592336 /nfs/dbraw/zinc/59/23/36/712592336.db2.gz QLNIBJNVKPVTLN-ARAOSMHQSA-N 1 2 305.422 1.231 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@]2(C#N)CC3CCC2CC3)CC[N@H+]1CCO ZINC000907544398 712592337 /nfs/dbraw/zinc/59/23/37/712592337.db2.gz QLNIBJNVKPVTLN-ARAOSMHQSA-N 1 2 305.422 1.231 20 30 DDEDLO C#Cc1cccc(NC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)c1 ZINC000929652916 713662262 /nfs/dbraw/zinc/66/22/62/713662262.db2.gz AZVHJNMYLPRBAY-SJORKVTESA-N 1 2 314.385 1.486 20 30 DDEDLO C[NH+](C)Cc1csc(CNS(=O)(=O)CC(C)(C)C#N)n1 ZINC000921105164 713714069 /nfs/dbraw/zinc/71/40/69/713714069.db2.gz OAUSQBUETMJKFD-UHFFFAOYSA-N 1 2 316.452 1.174 20 30 DDEDLO C=CCN(C(=O)[C@H]1CCC[N@H+]1C(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000930376984 713818318 /nfs/dbraw/zinc/81/83/18/713818318.db2.gz ACNHXZLIHKTGGE-ZIAGYGMSSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCN(C(=O)[C@H]1CCC[N@@H+]1C(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000930376984 713818320 /nfs/dbraw/zinc/81/83/20/713818320.db2.gz ACNHXZLIHKTGGE-ZIAGYGMSSA-N 1 2 314.451 1.061 20 30 DDEDLO Cc1ccc(CNS(=O)(=O)CCn2cc[nH+]c2)cc1C#N ZINC000921641198 713829106 /nfs/dbraw/zinc/82/91/06/713829106.db2.gz ROYFOUBCPAJVBH-UHFFFAOYSA-N 1 2 304.375 1.183 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000930459821 713840438 /nfs/dbraw/zinc/84/04/38/713840438.db2.gz YKJUIDOULNQFBE-LBPRGKRZSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000930459821 713840440 /nfs/dbraw/zinc/84/04/40/713840440.db2.gz YKJUIDOULNQFBE-LBPRGKRZSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1ccc(CNC(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1C#N ZINC000922077733 713954470 /nfs/dbraw/zinc/95/44/70/713954470.db2.gz PFJYKCUKGUWNFQ-HNNXBMFYSA-N 1 2 309.373 1.877 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c3c(ccc2F)OCO3)CC1 ZINC000931344356 714073691 /nfs/dbraw/zinc/07/36/91/714073691.db2.gz KRUZBOCUDAKZQJ-UHFFFAOYSA-N 1 2 304.321 1.336 20 30 DDEDLO C[C@@H](NC(=O)C1(C#N)CCSCC1)[C@@H](C)[NH+]1CCOCC1 ZINC000932051480 714246386 /nfs/dbraw/zinc/24/63/86/714246386.db2.gz HLLASGILAIQEBC-CHWSQXEVSA-N 1 2 311.451 1.249 20 30 DDEDLO COc1ccc(NC(=O)NCC[N@@H+]2CCO[C@H](C)C2)cc1C#N ZINC000932229035 714288265 /nfs/dbraw/zinc/28/82/65/714288265.db2.gz XVFQDXRSPWJTLM-GFCCVEGCSA-N 1 2 318.377 1.409 20 30 DDEDLO COc1ccc(NC(=O)NCC[N@H+]2CCO[C@H](C)C2)cc1C#N ZINC000932229035 714288268 /nfs/dbraw/zinc/28/82/68/714288268.db2.gz XVFQDXRSPWJTLM-GFCCVEGCSA-N 1 2 318.377 1.409 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(O)cc([N+](=O)[O-])c2)C1 ZINC000923572601 714411323 /nfs/dbraw/zinc/41/13/23/714411323.db2.gz ZDPAKDZKCDDFBX-GFCCVEGCSA-N 1 2 303.318 1.128 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(O)cc([N+](=O)[O-])c2)C1 ZINC000923572601 714411324 /nfs/dbraw/zinc/41/13/24/714411324.db2.gz ZDPAKDZKCDDFBX-GFCCVEGCSA-N 1 2 303.318 1.128 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc3ccccc3c2O)C1 ZINC000923590562 714420147 /nfs/dbraw/zinc/42/01/47/714420147.db2.gz WQFVTCVJYDODKD-CYBMUJFWSA-N 1 2 309.369 1.356 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc3ccccc3c2O)C1 ZINC000923590562 714420149 /nfs/dbraw/zinc/42/01/49/714420149.db2.gz WQFVTCVJYDODKD-CYBMUJFWSA-N 1 2 309.369 1.356 20 30 DDEDLO C[C@H](NC(=O)N[C@H](CC#N)C(F)(F)F)[C@H](C)[NH+]1CCOCC1 ZINC000932919053 714437709 /nfs/dbraw/zinc/43/77/09/714437709.db2.gz MXSVEMRMNAEGHB-GARJFASQSA-N 1 2 322.331 1.239 20 30 DDEDLO C=CCNC(=O)Cc1noc([C@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000923982660 714499888 /nfs/dbraw/zinc/49/98/88/714499888.db2.gz PUZNSLLYPCANBD-LBPRGKRZSA-N 1 2 303.366 1.746 20 30 DDEDLO N#Cc1cnccc1C[NH+]1CCC(N2CCCOC2=O)CC1 ZINC000933615357 714631715 /nfs/dbraw/zinc/63/17/15/714631715.db2.gz BQZIVFFQPAXHKQ-UHFFFAOYSA-N 1 2 300.362 1.760 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCOC[C@H]1C[C@H]1CCCO1 ZINC000933674976 714645556 /nfs/dbraw/zinc/64/55/56/714645556.db2.gz FPUUFXITMWYLBS-HUUCEWRRSA-N 1 2 309.410 1.018 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCOC[C@H]1C[C@H]1CCCO1 ZINC000933674976 714645558 /nfs/dbraw/zinc/64/55/58/714645558.db2.gz FPUUFXITMWYLBS-HUUCEWRRSA-N 1 2 309.410 1.018 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOC[C@@H]1C[C@H]1CCOC1 ZINC000933677635 714646177 /nfs/dbraw/zinc/64/61/77/714646177.db2.gz WZZBXHRCGSZUEN-CVEARBPZSA-N 1 2 308.422 1.314 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOC[C@@H]1C[C@H]1CCOC1 ZINC000933677635 714646180 /nfs/dbraw/zinc/64/61/80/714646180.db2.gz WZZBXHRCGSZUEN-CVEARBPZSA-N 1 2 308.422 1.314 20 30 DDEDLO COC(=O)[C@@H](NC(=O)C[N@H+](C)Cc1cc(C#N)cs1)C(C)C ZINC000933772950 714669454 /nfs/dbraw/zinc/66/94/54/714669454.db2.gz YLEPKLRACQOGMK-AWEZNQCLSA-N 1 2 323.418 1.365 20 30 DDEDLO COC(=O)[C@@H](NC(=O)C[N@@H+](C)Cc1cc(C#N)cs1)C(C)C ZINC000933772950 714669455 /nfs/dbraw/zinc/66/94/55/714669455.db2.gz YLEPKLRACQOGMK-AWEZNQCLSA-N 1 2 323.418 1.365 20 30 DDEDLO C=CC[C@@H]1CCN1CCS(=O)(=O)CC[N@@H+]1CC[C@H]1CC=C ZINC000934467648 714827576 /nfs/dbraw/zinc/82/75/76/714827576.db2.gz PLARYIIJTCRVAL-HZPDHXFCSA-N 1 2 312.479 1.702 20 30 DDEDLO C=CC[C@@H]1CCN1CCS(=O)(=O)CC[N@H+]1CC[C@H]1CC=C ZINC000934467648 714827578 /nfs/dbraw/zinc/82/75/78/714827578.db2.gz PLARYIIJTCRVAL-HZPDHXFCSA-N 1 2 312.479 1.702 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC000956566856 715471487 /nfs/dbraw/zinc/47/14/87/715471487.db2.gz HZJIXENLSJDPIZ-YJBOKZPZSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccnc(-n3ccnc3)c2)CC1 ZINC000957691497 716003783 /nfs/dbraw/zinc/00/37/83/716003783.db2.gz YOMSWXAKIOZLCV-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC000957980728 716261355 /nfs/dbraw/zinc/26/13/55/716261355.db2.gz XGFRUBPLUGELBT-OLZOCXBDSA-N 1 2 312.797 1.671 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC000957980728 716261357 /nfs/dbraw/zinc/26/13/57/716261357.db2.gz XGFRUBPLUGELBT-OLZOCXBDSA-N 1 2 312.797 1.671 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2ccoc2Cl)C1 ZINC000958008614 716272988 /nfs/dbraw/zinc/27/29/88/716272988.db2.gz BAIZWXMHGNJFSF-KOLCDFICSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2ccoc2Cl)C1 ZINC000958008614 716272992 /nfs/dbraw/zinc/27/29/92/716272992.db2.gz BAIZWXMHGNJFSF-KOLCDFICSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2C[N@@H+](Cc3ccon3)C[C@@H]2O)CC1 ZINC000958370712 716507934 /nfs/dbraw/zinc/50/79/34/716507934.db2.gz TYPDAVJPDPGRDE-ZBFHGGJFSA-N 1 2 319.405 1.330 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2C[N@H+](Cc3ccon3)C[C@@H]2O)CC1 ZINC000958370712 716507938 /nfs/dbraw/zinc/50/79/38/716507938.db2.gz TYPDAVJPDPGRDE-ZBFHGGJFSA-N 1 2 319.405 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ocnc2CC)C1 ZINC000958384102 716517084 /nfs/dbraw/zinc/51/70/84/716517084.db2.gz FEGRYMZYKUVFMM-CMPLNLGQSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ocnc2CC)C1 ZINC000958384102 716517086 /nfs/dbraw/zinc/51/70/86/716517086.db2.gz FEGRYMZYKUVFMM-CMPLNLGQSA-N 1 2 313.785 1.012 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)co1 ZINC000960613966 716631164 /nfs/dbraw/zinc/63/11/64/716631164.db2.gz OVAYQVZXRSFPNU-MRXNPFEDSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)co1 ZINC000960613966 716631167 /nfs/dbraw/zinc/63/11/67/716631167.db2.gz OVAYQVZXRSFPNU-MRXNPFEDSA-N 1 2 301.390 1.819 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](NC(=O)c2cncc3nc[nH]c32)C(C)(C)C1 ZINC000940769185 716951008 /nfs/dbraw/zinc/95/10/08/716951008.db2.gz RRUIUMCZJSZSKU-AWEZNQCLSA-N 1 2 313.405 1.974 20 30 DDEDLO C=CC[N@H+]1CC[C@H](NC(=O)c2cncc3nc[nH]c32)C(C)(C)C1 ZINC000940769185 716951013 /nfs/dbraw/zinc/95/10/13/716951013.db2.gz RRUIUMCZJSZSKU-AWEZNQCLSA-N 1 2 313.405 1.974 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cscn4)C[C@H]32)c1 ZINC000961721753 717073682 /nfs/dbraw/zinc/07/36/82/717073682.db2.gz MPJSCMNNCWLNNK-ZSHCYNCHSA-N 1 2 324.409 1.380 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cscn4)C[C@H]32)c1 ZINC000961721753 717073685 /nfs/dbraw/zinc/07/36/85/717073685.db2.gz MPJSCMNNCWLNNK-ZSHCYNCHSA-N 1 2 324.409 1.380 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3C[C@@]3(C)C(C)C)CC2)C1 ZINC000941623721 717233926 /nfs/dbraw/zinc/23/39/26/717233926.db2.gz ZYNNFTPECFJEIS-MJGOQNOKSA-N 1 2 317.477 1.520 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CC[C@H](CC)CC3)CC2)C1 ZINC000941645283 717241917 /nfs/dbraw/zinc/24/19/17/717241917.db2.gz NYKCTHPWUXNQRF-QAQDUYKDSA-N 1 2 317.477 1.664 20 30 DDEDLO C[C@H]1CN(CC#N)CC[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000942532238 717724981 /nfs/dbraw/zinc/72/49/81/717724981.db2.gz ICWQSTSWFAMZKH-WMLDXEAASA-N 1 2 323.400 1.836 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@@H]2C)C1 ZINC000966873420 718688818 /nfs/dbraw/zinc/68/88/18/718688818.db2.gz PUAMDOIXXDBVKE-JSGCOSHPSA-N 1 2 316.405 1.272 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1cn[nH]n1 ZINC000967155385 718778013 /nfs/dbraw/zinc/77/80/13/718778013.db2.gz JTYHXAPHCAVFTR-MLGOLLRUSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1cn[nH]n1 ZINC000967155385 718778016 /nfs/dbraw/zinc/77/80/16/718778016.db2.gz JTYHXAPHCAVFTR-MLGOLLRUSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC000967155385 718778019 /nfs/dbraw/zinc/77/80/19/718778019.db2.gz JTYHXAPHCAVFTR-MLGOLLRUSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC000967155385 718778021 /nfs/dbraw/zinc/77/80/21/718778021.db2.gz JTYHXAPHCAVFTR-MLGOLLRUSA-N 1 2 324.388 1.317 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC000967184655 718786111 /nfs/dbraw/zinc/78/61/11/718786111.db2.gz OKXFJKLLJJPXFX-IUODEOHRSA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC000967184655 718786113 /nfs/dbraw/zinc/78/61/13/718786113.db2.gz OKXFJKLLJJPXFX-IUODEOHRSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2nc3c(s2)CCC3)C1 ZINC000967386601 718864502 /nfs/dbraw/zinc/86/45/02/718864502.db2.gz YGVLAOWEIQDFHU-AAEUAGOBSA-N 1 2 303.431 1.705 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2nc3c(s2)CCC3)C1 ZINC000967386601 718864505 /nfs/dbraw/zinc/86/45/05/718864505.db2.gz YGVLAOWEIQDFHU-AAEUAGOBSA-N 1 2 303.431 1.705 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnc(C)n2C)C1 ZINC000968015018 719123731 /nfs/dbraw/zinc/12/37/31/719123731.db2.gz GWNNLCLOSBIHLJ-MFKMUULPSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnc(C)n2C)C1 ZINC000968015018 719123733 /nfs/dbraw/zinc/12/37/33/719123733.db2.gz GWNNLCLOSBIHLJ-MFKMUULPSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cnnn3C)CC[C@@H]2C)C1 ZINC000968275286 719483652 /nfs/dbraw/zinc/48/36/52/719483652.db2.gz QRTLYQPXFJKRHA-DZGCQCFKSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cnnn3C)CC[C@@H]2C)C1 ZINC000968275286 719483655 /nfs/dbraw/zinc/48/36/55/719483655.db2.gz QRTLYQPXFJKRHA-DZGCQCFKSA-N 1 2 317.437 1.498 20 30 DDEDLO Cn1cc(Cl)c(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000968626748 719698645 /nfs/dbraw/zinc/69/86/45/719698645.db2.gz AIUNDICXUUCEIH-GFCCVEGCSA-N 1 2 306.797 1.177 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2c(CC)nn(C)c2CC)CC1 ZINC000948815807 719727588 /nfs/dbraw/zinc/72/75/88/719727588.db2.gz BCECPGJWTRWPGO-UHFFFAOYSA-N 1 2 316.449 1.255 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CCCCO1 ZINC000948910170 719781081 /nfs/dbraw/zinc/78/10/81/719781081.db2.gz KXFSCNHXDPAPEP-QZTJIDSGSA-N 1 2 312.413 1.904 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CCCCO1 ZINC000948910170 719781086 /nfs/dbraw/zinc/78/10/86/719781086.db2.gz KXFSCNHXDPAPEP-QZTJIDSGSA-N 1 2 312.413 1.904 20 30 DDEDLO C#CCC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nnc[nH]1 ZINC000948970180 719815385 /nfs/dbraw/zinc/81/53/85/719815385.db2.gz TYWUBZNMAXVREK-OAHLLOKOSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CCC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nnc[nH]1 ZINC000948970180 719815391 /nfs/dbraw/zinc/81/53/91/719815391.db2.gz TYWUBZNMAXVREK-OAHLLOKOSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC000968931254 719880801 /nfs/dbraw/zinc/88/08/01/719880801.db2.gz JUPXCMFZUAZQNU-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC000968931254 719880804 /nfs/dbraw/zinc/88/08/04/719880804.db2.gz JUPXCMFZUAZQNU-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@@H]3CCCOC3)CC2)C1 ZINC000949529405 720142648 /nfs/dbraw/zinc/14/26/48/720142648.db2.gz RXHCQZYJQKUNSK-INIZCTEOSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@@H]3CCCOC3)CC2)C1 ZINC000949529405 720142652 /nfs/dbraw/zinc/14/26/52/720142652.db2.gz RXHCQZYJQKUNSK-INIZCTEOSA-N 1 2 320.433 1.130 20 30 DDEDLO CCN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](C[C@H]2CC[C@@H](C)O2)C1 ZINC000949854280 720382680 /nfs/dbraw/zinc/38/26/80/720382680.db2.gz LBPJYWDIEADFOI-IUODEOHRSA-N 1 2 316.405 1.600 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)C3(CF)CC3)CC2)cc1 ZINC000950421154 720625669 /nfs/dbraw/zinc/62/56/69/720625669.db2.gz PDTYEFDTGZUQQE-UHFFFAOYSA-N 1 2 301.365 1.952 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCn3cncc3C2)C1 ZINC000950639586 720716889 /nfs/dbraw/zinc/71/68/89/720716889.db2.gz LPRWJNITABREHU-CQSZACIVSA-N 1 2 302.422 1.554 20 30 DDEDLO C=C(Cl)CN1CC(N(CC)C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC000950658423 720723071 /nfs/dbraw/zinc/72/30/71/720723071.db2.gz LRBUSSUQRMCBFL-ZDUSSCGKSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc(N(C)C)nc2)C1 ZINC000950701514 720740491 /nfs/dbraw/zinc/74/04/91/720740491.db2.gz OVYQVLOCNZCPOH-UHFFFAOYSA-N 1 2 302.422 1.870 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2n[nH]c3c2CCCC3)C1 ZINC000950720913 720750295 /nfs/dbraw/zinc/75/02/95/720750295.db2.gz IXDKIWSBQRGMBW-UHFFFAOYSA-N 1 2 300.406 1.458 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@]23CCO[C@H]2CCCC3)C1 ZINC000950856593 720796878 /nfs/dbraw/zinc/79/68/78/720796878.db2.gz LAKXSVHVACCFGL-FUHWJXTLSA-N 1 2 304.434 1.892 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2c[nH]nc2[C@@H]2CCOC2)C1 ZINC000950997704 720870712 /nfs/dbraw/zinc/87/07/12/720870712.db2.gz VITYSUZVIIHBRW-CYBMUJFWSA-N 1 2 318.421 1.636 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2nn(CC)nc2C)C1 ZINC000970986106 721036139 /nfs/dbraw/zinc/03/61/39/721036139.db2.gz PYYYLDDWBPKMDV-SNVBAGLBSA-N 1 2 311.817 1.409 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C3CC3)o2)C1 ZINC000971219049 721186088 /nfs/dbraw/zinc/18/60/88/721186088.db2.gz VNRROXUIKNMMBA-WCQYABFASA-N 1 2 302.378 1.744 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C3CC3)o2)C1 ZINC000971219049 721186091 /nfs/dbraw/zinc/18/60/91/721186091.db2.gz VNRROXUIKNMMBA-WCQYABFASA-N 1 2 302.378 1.744 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)C[C@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125272106 732312331 /nfs/dbraw/zinc/31/23/31/732312331.db2.gz NJWBADGFKQEHQB-LSDHHAIUSA-N 1 2 320.437 1.643 20 30 DDEDLO CC(C)(C)c1nnc(C[NH2+]CCNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001125370179 732922123 /nfs/dbraw/zinc/92/21/23/732922123.db2.gz IJQCSPTUUDMTMD-UHFFFAOYSA-N 1 2 316.365 1.086 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(F)c(F)c(F)c3)[C@H]2C1 ZINC001083202307 733137072 /nfs/dbraw/zinc/13/70/72/733137072.db2.gz NBKTZDRCVIMVHO-UONOGXRCSA-N 1 2 324.302 1.262 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(F)c(F)c(F)c3)[C@H]2C1 ZINC001083202307 733137076 /nfs/dbraw/zinc/13/70/76/733137076.db2.gz NBKTZDRCVIMVHO-UONOGXRCSA-N 1 2 324.302 1.262 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001027812841 738687901 /nfs/dbraw/zinc/68/79/01/738687901.db2.gz JSDLOTBLYUEOLN-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001027812841 738687902 /nfs/dbraw/zinc/68/79/02/738687902.db2.gz JSDLOTBLYUEOLN-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCCN(C)C(=O)CCn1cc[nH+]c1 ZINC001067570219 733440983 /nfs/dbraw/zinc/44/09/83/733440983.db2.gz XCJOFXOSDAYEBD-UHFFFAOYSA-N 1 2 320.437 1.936 20 30 DDEDLO CC(C)[N@H+](C)Cc1nnc2n1CCCN(C(=O)C(C)(C)C#N)C2 ZINC001143398640 734410395 /nfs/dbraw/zinc/41/03/95/734410395.db2.gz WAIFYXYIXORCIV-UHFFFAOYSA-N 1 2 318.425 1.400 20 30 DDEDLO CC(C)[N@@H+](C)Cc1nnc2n1CCCN(C(=O)C(C)(C)C#N)C2 ZINC001143398640 734410398 /nfs/dbraw/zinc/41/03/98/734410398.db2.gz WAIFYXYIXORCIV-UHFFFAOYSA-N 1 2 318.425 1.400 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3CC[C@H](C(C)C)CC3)[C@H]2C1 ZINC001083233504 734605085 /nfs/dbraw/zinc/60/50/85/734605085.db2.gz FJBXCFGQKSEKAE-XLAORIBOSA-N 1 2 318.461 1.994 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3CC[C@H](C(C)C)CC3)[C@H]2C1 ZINC001083233504 734605087 /nfs/dbraw/zinc/60/50/87/734605087.db2.gz FJBXCFGQKSEKAE-XLAORIBOSA-N 1 2 318.461 1.994 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3CCCCO3)C2)C1 ZINC000972334310 734795198 /nfs/dbraw/zinc/79/51/98/734795198.db2.gz WQHBXIFFOMFISA-FUHWJXTLSA-N 1 2 320.433 1.272 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3CCCCO3)C2)C1 ZINC000972334310 734795203 /nfs/dbraw/zinc/79/52/03/734795203.db2.gz WQHBXIFFOMFISA-FUHWJXTLSA-N 1 2 320.433 1.272 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cn(C)nc1CC ZINC001027956697 738863355 /nfs/dbraw/zinc/86/33/55/738863355.db2.gz TZRLCQMWJYMLQN-GFCCVEGCSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cn(C)nc1CC ZINC001027956697 738863360 /nfs/dbraw/zinc/86/33/60/738863360.db2.gz TZRLCQMWJYMLQN-GFCCVEGCSA-N 1 2 310.829 1.929 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2CCC[N@H+](Cc3ncccn3)C2)c[nH]1 ZINC001023314448 735151777 /nfs/dbraw/zinc/15/17/77/735151777.db2.gz MIEVSOYOKAASLW-CYBMUJFWSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2CCC[N@@H+](Cc3ncccn3)C2)c[nH]1 ZINC001023314448 735151778 /nfs/dbraw/zinc/15/17/78/735151778.db2.gz MIEVSOYOKAASLW-CYBMUJFWSA-N 1 2 324.388 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001024598792 735969867 /nfs/dbraw/zinc/96/98/67/735969867.db2.gz GNUOYLVIOFFUEM-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001024598792 735969872 /nfs/dbraw/zinc/96/98/72/735969872.db2.gz GNUOYLVIOFFUEM-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1C[NH+](C(C)C)CCO1 ZINC001024618010 735985655 /nfs/dbraw/zinc/98/56/55/735985655.db2.gz OTYKHSVHRFEBNX-SJORKVTESA-N 1 2 321.465 1.090 20 30 DDEDLO CC#CC[NH+]1CCCC[C@@H]1CNC(=O)[C@@H]1C[N@@H+](C(C)C)CCO1 ZINC001024618010 735985660 /nfs/dbraw/zinc/98/56/60/735985660.db2.gz OTYKHSVHRFEBNX-SJORKVTESA-N 1 2 321.465 1.090 20 30 DDEDLO CC1(C)[C@H](NC(=O)Cn2cc[nH+]c2)[C@H]2CCCN(CC#N)[C@@H]21 ZINC001087319185 736085289 /nfs/dbraw/zinc/08/52/89/736085289.db2.gz JZHLUKISCJAIKL-YUELXQCFSA-N 1 2 301.394 1.012 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001007337676 736308037 /nfs/dbraw/zinc/30/80/37/736308037.db2.gz JSEUDOQRJUZJOJ-ZIAGYGMSSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001007337676 736308042 /nfs/dbraw/zinc/30/80/42/736308042.db2.gz JSEUDOQRJUZJOJ-ZIAGYGMSSA-N 1 2 302.422 1.986 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1[C@@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001025268012 736399355 /nfs/dbraw/zinc/39/93/55/736399355.db2.gz UOWWWSANEDUXLX-GJZGRUSLSA-N 1 2 318.421 1.771 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1[C@@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001025268012 736399357 /nfs/dbraw/zinc/39/93/57/736399357.db2.gz UOWWWSANEDUXLX-GJZGRUSLSA-N 1 2 318.421 1.771 20 30 DDEDLO Cc1nc(NC[C@@H](C)N(C)C(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001104650329 736491039 /nfs/dbraw/zinc/49/10/39/736491039.db2.gz QZIOZVHWQDINTQ-LLVKDONJSA-N 1 2 312.377 1.486 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@@H]3CC[C@H](NCC#N)[C@@H]3C2)c[nH+]1 ZINC001026294014 737309234 /nfs/dbraw/zinc/30/92/34/737309234.db2.gz MNFRBVROFDEYFS-ZNMIVQPWSA-N 1 2 315.421 1.277 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001105050508 737486724 /nfs/dbraw/zinc/48/67/24/737486724.db2.gz JYNMTKRLWKKTRS-UKRRQHHQSA-N 1 2 316.405 1.474 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(C2CCCC2)c1C ZINC001038899144 739105373 /nfs/dbraw/zinc/10/53/73/739105373.db2.gz IGAVMNJILFKNGN-HNNXBMFYSA-N 1 2 300.406 1.744 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(C2CCCC2)c1C ZINC001038899144 739105374 /nfs/dbraw/zinc/10/53/74/739105374.db2.gz IGAVMNJILFKNGN-HNNXBMFYSA-N 1 2 300.406 1.744 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(NC(C)=O)c1C ZINC001038911929 739130397 /nfs/dbraw/zinc/13/03/97/739130397.db2.gz AEVGBJISXFIILK-HNNXBMFYSA-N 1 2 313.401 1.781 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(NC(C)=O)c1C ZINC001038911929 739130399 /nfs/dbraw/zinc/13/03/99/739130399.db2.gz AEVGBJISXFIILK-HNNXBMFYSA-N 1 2 313.401 1.781 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cncc(OC)n1 ZINC001028183802 739132458 /nfs/dbraw/zinc/13/24/58/739132458.db2.gz DLGMBEOLRRCTNV-NSHDSACASA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cncc(OC)n1 ZINC001028183802 739132461 /nfs/dbraw/zinc/13/24/61/739132461.db2.gz DLGMBEOLRRCTNV-NSHDSACASA-N 1 2 310.785 1.432 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@H]2CCC[N@H+]2CC(=C)Cl)nn1 ZINC001028187734 739138096 /nfs/dbraw/zinc/13/80/96/739138096.db2.gz MQUFSLOXPYJODO-GFCCVEGCSA-N 1 2 309.801 1.411 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@H]2CCC[N@@H+]2CC(=C)Cl)nn1 ZINC001028187734 739138097 /nfs/dbraw/zinc/13/80/97/739138097.db2.gz MQUFSLOXPYJODO-GFCCVEGCSA-N 1 2 309.801 1.411 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1nc2ncccn2n1 ZINC001028210967 739166010 /nfs/dbraw/zinc/16/60/10/739166010.db2.gz WUMIWBPRHJHNFP-LLVKDONJSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1nc2ncccn2n1 ZINC001028210967 739166015 /nfs/dbraw/zinc/16/60/15/739166015.db2.gz WUMIWBPRHJHNFP-LLVKDONJSA-N 1 2 320.784 1.071 20 30 DDEDLO CCn1ccc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001075616023 739187447 /nfs/dbraw/zinc/18/74/47/739187447.db2.gz GVVNNMYHBIXMIQ-VNHYZAJKSA-N 1 2 301.394 1.095 20 30 DDEDLO CCn1ccc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001075616023 739187449 /nfs/dbraw/zinc/18/74/49/739187449.db2.gz GVVNNMYHBIXMIQ-VNHYZAJKSA-N 1 2 301.394 1.095 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccn3c2CCCC3)C1 ZINC001035396984 751466484 /nfs/dbraw/zinc/46/64/84/751466484.db2.gz CNBPFJIDJUTKKC-OAHLLOKOSA-N 1 2 317.433 1.831 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccn3c2CCCC3)C1 ZINC001035396984 751466490 /nfs/dbraw/zinc/46/64/90/751466490.db2.gz CNBPFJIDJUTKKC-OAHLLOKOSA-N 1 2 317.433 1.831 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001035396905 751466556 /nfs/dbraw/zinc/46/65/56/751466556.db2.gz ZPGLDPDVPCJCLP-CHWSQXEVSA-N 1 2 302.365 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001035396905 751466561 /nfs/dbraw/zinc/46/65/61/751466561.db2.gz ZPGLDPDVPCJCLP-CHWSQXEVSA-N 1 2 302.365 1.815 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2CCC)C1 ZINC001035422848 751481695 /nfs/dbraw/zinc/48/16/95/751481695.db2.gz FNGXYXRXKIXPFH-ZDUSSCGKSA-N 1 2 306.410 1.369 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2CCC)C1 ZINC001035422848 751481698 /nfs/dbraw/zinc/48/16/98/751481698.db2.gz FNGXYXRXKIXPFH-ZDUSSCGKSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3ncn(C)c32)C1 ZINC001035425231 751484386 /nfs/dbraw/zinc/48/43/86/751484386.db2.gz UHWNNUWDNPBYBZ-CYBMUJFWSA-N 1 2 314.389 1.190 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3ncn(C)c32)C1 ZINC001035425231 751484390 /nfs/dbraw/zinc/48/43/90/751484390.db2.gz UHWNNUWDNPBYBZ-CYBMUJFWSA-N 1 2 314.389 1.190 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCc3cc(F)ccc32)C1 ZINC001035457016 751505324 /nfs/dbraw/zinc/50/53/24/751505324.db2.gz XBLBDRJLBSPSSA-RDJZCZTQSA-N 1 2 318.392 1.859 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCc3cc(F)ccc32)C1 ZINC001035457016 751505327 /nfs/dbraw/zinc/50/53/27/751505327.db2.gz XBLBDRJLBSPSSA-RDJZCZTQSA-N 1 2 318.392 1.859 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3cccnc32)C1 ZINC001035432436 751509873 /nfs/dbraw/zinc/50/98/73/751509873.db2.gz TZBDIOCOQJVYFI-MRXNPFEDSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccc3cccnc32)C1 ZINC001035432436 751509878 /nfs/dbraw/zinc/50/98/78/751509878.db2.gz TZBDIOCOQJVYFI-MRXNPFEDSA-N 1 2 323.396 1.689 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)CCOC3)C1 ZINC001035442010 751518502 /nfs/dbraw/zinc/51/85/02/751518502.db2.gz IVSXVPVPDYPLML-QGZVFWFLSA-N 1 2 316.401 1.376 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)CCOC3)C1 ZINC001035442010 751518505 /nfs/dbraw/zinc/51/85/05/751518505.db2.gz IVSXVPVPDYPLML-QGZVFWFLSA-N 1 2 316.401 1.376 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2onc3c2CCCC3)C1 ZINC001035444417 751520878 /nfs/dbraw/zinc/52/08/78/751520878.db2.gz RECTWBUGRAMAAN-CYBMUJFWSA-N 1 2 317.389 1.007 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2onc3c2CCCC3)C1 ZINC001035444417 751520880 /nfs/dbraw/zinc/52/08/80/751520880.db2.gz RECTWBUGRAMAAN-CYBMUJFWSA-N 1 2 317.389 1.007 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)CCN(C)c1nc(C)[nH+]c(C)c1C ZINC001105379574 740210531 /nfs/dbraw/zinc/21/05/31/740210531.db2.gz BEGLJMTWYYIEMR-KRWDZBQOSA-N 1 2 320.437 1.624 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3(C)CCCC3)C2)nn1 ZINC001098694981 740308658 /nfs/dbraw/zinc/30/86/58/740308658.db2.gz OOMYTFSIRKSYCW-HNNXBMFYSA-N 1 2 315.421 1.355 20 30 DDEDLO Cc1nc(NCCC[C@H](C)NC(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001114900073 751542722 /nfs/dbraw/zinc/54/27/22/751542722.db2.gz GIOXQHJSQMURLG-NSHDSACASA-N 1 2 312.377 1.995 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#Cc1ccccc1 ZINC001029245348 740486318 /nfs/dbraw/zinc/48/63/18/740486318.db2.gz UUQTVXOXXYDHLV-NXHRZFHOSA-N 1 2 307.397 1.873 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#Cc1ccccc1 ZINC001029245348 740486319 /nfs/dbraw/zinc/48/63/19/740486319.db2.gz UUQTVXOXXYDHLV-NXHRZFHOSA-N 1 2 307.397 1.873 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001035500713 751556740 /nfs/dbraw/zinc/55/67/40/751556740.db2.gz ICCPIOYMKLWMJW-NVXWUHKLSA-N 1 2 300.402 1.719 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001035500713 751556746 /nfs/dbraw/zinc/55/67/46/751556746.db2.gz ICCPIOYMKLWMJW-NVXWUHKLSA-N 1 2 300.402 1.719 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)[C@@H]2CC)cn1 ZINC001087801382 740755675 /nfs/dbraw/zinc/75/56/75/740755675.db2.gz LXNZACONTAWARU-DLBZAZTESA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)[C@@H]2CC)cn1 ZINC001087801382 740755678 /nfs/dbraw/zinc/75/56/78/740755678.db2.gz LXNZACONTAWARU-DLBZAZTESA-N 1 2 324.384 1.834 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3[C@@H](C)C(=O)NC)CC1 ZINC001029457261 740837580 /nfs/dbraw/zinc/83/75/80/740837580.db2.gz JOZCKHMYBSPCMI-CWRNSKLLSA-N 1 2 319.449 1.543 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3[C@@H](C)C(=O)NC)CC1 ZINC001029457261 740837584 /nfs/dbraw/zinc/83/75/84/740837584.db2.gz JOZCKHMYBSPCMI-CWRNSKLLSA-N 1 2 319.449 1.543 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](NC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)cn1 ZINC001059454296 740948901 /nfs/dbraw/zinc/94/89/01/740948901.db2.gz HSLJXXDRTVOJEA-KBUPBQIOSA-N 1 2 322.372 1.539 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](NC(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)cn1 ZINC001059454296 740948903 /nfs/dbraw/zinc/94/89/03/740948903.db2.gz HSLJXXDRTVOJEA-KBUPBQIOSA-N 1 2 322.372 1.539 20 30 DDEDLO N#CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCCc4[nH+]c[nH]c43)C[C@@H]2C1 ZINC001088102306 741031321 /nfs/dbraw/zinc/03/13/21/741031321.db2.gz ZCKXKVREEUAROB-HZSPNIEDSA-N 1 2 313.405 1.133 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)n[nH]2)C1 ZINC001035522918 751600833 /nfs/dbraw/zinc/60/08/33/751600833.db2.gz DIUQRRPNVSXOGR-ZDUSSCGKSA-N 1 2 304.394 1.294 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)n[nH]2)C1 ZINC001035522918 751600836 /nfs/dbraw/zinc/60/08/36/751600836.db2.gz DIUQRRPNVSXOGR-ZDUSSCGKSA-N 1 2 304.394 1.294 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2scnc2C(C)C)C1 ZINC001035534731 751616151 /nfs/dbraw/zinc/61/61/51/751616151.db2.gz BPWVXGHNIGUWMM-CYBMUJFWSA-N 1 2 321.446 1.720 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2scnc2C(C)C)C1 ZINC001035534731 751616155 /nfs/dbraw/zinc/61/61/55/751616155.db2.gz BPWVXGHNIGUWMM-CYBMUJFWSA-N 1 2 321.446 1.720 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001059553076 741277504 /nfs/dbraw/zinc/27/75/04/741277504.db2.gz LEHVRMGBOWQJPR-HNNXBMFYSA-N 1 2 316.405 1.041 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCCCC[C@@H](NCC#N)C2)c[nH+]1 ZINC001088334224 741405774 /nfs/dbraw/zinc/40/57/74/741405774.db2.gz DBZIGLWCICJCFM-OAHLLOKOSA-N 1 2 317.437 1.811 20 30 DDEDLO Cc1ccc(C#N)c(N(C)CCN(C)C(=O)Cn2cc[nH+]c2)n1 ZINC001105419337 741405947 /nfs/dbraw/zinc/40/59/47/741405947.db2.gz SKCOBSJSQMRGMY-UHFFFAOYSA-N 1 2 312.377 1.053 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(CCC)c2C)C1 ZINC001035555114 751636925 /nfs/dbraw/zinc/63/69/25/751636925.db2.gz GEGFYKNPNSSVIG-HNNXBMFYSA-N 1 2 318.421 1.055 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnn(CCC)c2C)C1 ZINC001035555114 751636927 /nfs/dbraw/zinc/63/69/27/751636927.db2.gz GEGFYKNPNSSVIG-HNNXBMFYSA-N 1 2 318.421 1.055 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cn(CCC)nc2C)C1 ZINC001035561916 751643766 /nfs/dbraw/zinc/64/37/66/751643766.db2.gz FGBBKSHRSBUKKQ-OAHLLOKOSA-N 1 2 318.421 1.055 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cn(CCC)nc2C)C1 ZINC001035561916 751643767 /nfs/dbraw/zinc/64/37/67/751643767.db2.gz FGBBKSHRSBUKKQ-OAHLLOKOSA-N 1 2 318.421 1.055 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2[nH]nc3ccccc32)C1 ZINC001035599301 751656294 /nfs/dbraw/zinc/65/62/94/751656294.db2.gz DXLPAEWMAUPSDS-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2[nH]nc3ccccc32)C1 ZINC001035599301 751656298 /nfs/dbraw/zinc/65/62/98/751656298.db2.gz DXLPAEWMAUPSDS-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)C2CCCC2)C1=O ZINC001038008791 751658318 /nfs/dbraw/zinc/65/83/18/751658318.db2.gz LPGOEYHJYBNTNW-GJZGRUSLSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)C2CCCC2)C1=O ZINC001038008791 751658326 /nfs/dbraw/zinc/65/83/26/751658326.db2.gz LPGOEYHJYBNTNW-GJZGRUSLSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)onc2CC)C1 ZINC001035601507 751660113 /nfs/dbraw/zinc/66/01/13/751660113.db2.gz BJCFMSHGRSUAAL-CYBMUJFWSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)onc2CC)C1 ZINC001035601507 751660116 /nfs/dbraw/zinc/66/01/16/751660116.db2.gz BJCFMSHGRSUAAL-CYBMUJFWSA-N 1 2 307.394 1.552 20 30 DDEDLO Cc1cc(N(C)CCN(C)C(=O)Cc2[nH]cc[nH+]2)c(C#N)cn1 ZINC001105453911 741784597 /nfs/dbraw/zinc/78/45/97/741784597.db2.gz LEMKYCDZDVFWED-UHFFFAOYSA-N 1 2 312.377 1.122 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccc(N(C)C)c2)C1 ZINC001035586032 751680122 /nfs/dbraw/zinc/68/01/22/751680122.db2.gz DSOFZIMZXAKVGH-QGZVFWFLSA-N 1 2 317.433 1.759 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccc(N(C)C)c2)C1 ZINC001035586032 751680125 /nfs/dbraw/zinc/68/01/25/751680125.db2.gz DSOFZIMZXAKVGH-QGZVFWFLSA-N 1 2 317.433 1.759 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnc3ccnn32)[C@H]1C ZINC001088616682 741978123 /nfs/dbraw/zinc/97/81/23/741978123.db2.gz HNDSTCLUWKRZCN-NEPJUHHUSA-N 1 2 319.796 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnc3ccnn32)[C@H]1C ZINC001088616682 741978126 /nfs/dbraw/zinc/97/81/26/741978126.db2.gz HNDSTCLUWKRZCN-NEPJUHHUSA-N 1 2 319.796 1.674 20 30 DDEDLO C=C(C)C(=O)N1CC[NH+](Cc2ccc(C(=O)OC)cc2)CC1 ZINC001142512375 742139490 /nfs/dbraw/zinc/13/94/90/742139490.db2.gz VBGVUIRUKPDNPB-UHFFFAOYSA-N 1 2 302.374 1.694 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C(=O)OC)o2)C1 ZINC001142643230 742474444 /nfs/dbraw/zinc/47/44/44/742474444.db2.gz MKYNPSRVPKSCQG-GFCCVEGCSA-N 1 2 322.361 1.943 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C(=O)OC)o2)C1 ZINC001142643230 742474447 /nfs/dbraw/zinc/47/44/47/742474447.db2.gz MKYNPSRVPKSCQG-GFCCVEGCSA-N 1 2 322.361 1.943 20 30 DDEDLO CC(=O)Nc1nc(C[NH2+]CCNC(=O)C#CC(C)(C)C)cs1 ZINC001126921867 742626424 /nfs/dbraw/zinc/62/64/24/742626424.db2.gz YSMOILKPWJEYQT-UHFFFAOYSA-N 1 2 322.434 1.357 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001076667031 742880582 /nfs/dbraw/zinc/88/05/82/742880582.db2.gz FWLLKCXXIGDAJS-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO COc1cc(C#N)c(F)cc1NC(=O)Cc1cc[nH+]c(N)c1 ZINC001181264269 743130534 /nfs/dbraw/zinc/13/05/34/743130534.db2.gz VQTMIRFJJJXRCD-UHFFFAOYSA-N 1 2 300.293 1.864 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077014998 743169588 /nfs/dbraw/zinc/16/95/88/743169588.db2.gz WQCYMGXSXGYFKC-ZDUSSCGKSA-N 1 2 304.394 1.013 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077014998 743169591 /nfs/dbraw/zinc/16/95/91/743169591.db2.gz WQCYMGXSXGYFKC-ZDUSSCGKSA-N 1 2 304.394 1.013 20 30 DDEDLO N#Cc1ccc(C(=O)NC2CC[NH+](Cc3nccs3)CC2)[nH]1 ZINC001002627340 743294815 /nfs/dbraw/zinc/29/48/15/743294815.db2.gz QFWXUUMIPVADCU-UHFFFAOYSA-N 1 2 315.402 1.737 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N(C)C1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001126962923 743304344 /nfs/dbraw/zinc/30/43/44/743304344.db2.gz CYYATCHQFXPKQC-XGNXJENSSA-N 1 2 304.394 1.777 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001128252498 743375688 /nfs/dbraw/zinc/37/56/88/743375688.db2.gz LEFPCNQHKFVOBO-GFCCVEGCSA-N 1 2 324.425 1.975 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(CCCC)cc2)[C@@H](O)C1 ZINC001083620218 743589484 /nfs/dbraw/zinc/58/94/84/743589484.db2.gz AILWTRBWTPWXBD-SJORKVTESA-N 1 2 300.402 1.437 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(CCCC)cc2)[C@@H](O)C1 ZINC001083620218 743589488 /nfs/dbraw/zinc/58/94/88/743589488.db2.gz AILWTRBWTPWXBD-SJORKVTESA-N 1 2 300.402 1.437 20 30 DDEDLO C#CCOCCC(=O)NCC[NH2+][C@H](C)c1ncc(C(C)(C)C)o1 ZINC001127023659 743597526 /nfs/dbraw/zinc/59/75/26/743597526.db2.gz PUPBJUXWGGCVOQ-CYBMUJFWSA-N 1 2 321.421 1.779 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(C3CC3)no2)C1 ZINC001182414396 743618335 /nfs/dbraw/zinc/61/83/35/743618335.db2.gz JRWMWDPZAHGZAR-TZMCWYRMSA-N 1 2 316.405 1.858 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C#CC(C)C)c2C1 ZINC001128346706 743635552 /nfs/dbraw/zinc/63/55/52/743635552.db2.gz DRSJJYKXAAVAAQ-UHFFFAOYSA-N 1 2 300.406 1.550 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C#CC(C)C)c2C1 ZINC001128346706 743635557 /nfs/dbraw/zinc/63/55/57/743635557.db2.gz DRSJJYKXAAVAAQ-UHFFFAOYSA-N 1 2 300.406 1.550 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001077435210 743648566 /nfs/dbraw/zinc/64/85/66/743648566.db2.gz DMJBUHKDNDDVHZ-VXGBXAGGSA-N 1 2 310.756 1.196 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001077435210 743648568 /nfs/dbraw/zinc/64/85/68/743648568.db2.gz DMJBUHKDNDDVHZ-VXGBXAGGSA-N 1 2 310.756 1.196 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1c[nH]c(=O)n1C)CC2 ZINC001035769122 751853167 /nfs/dbraw/zinc/85/31/67/751853167.db2.gz UNRHMIBMNKFQSH-UHFFFAOYSA-N 1 2 324.812 1.416 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001182933933 743759511 /nfs/dbraw/zinc/75/95/11/743759511.db2.gz YAPHHSTUMLMFRL-CYBMUJFWSA-N 1 2 304.394 1.994 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+]Cc1nc(CC)no1 ZINC001127129736 743847602 /nfs/dbraw/zinc/84/76/02/743847602.db2.gz XMSDYAPQQBISHG-UHFFFAOYSA-N 1 2 324.425 1.601 20 30 DDEDLO C[NH+]1CC(NC(=O)[C@H]2CCCCN2Cc2ccccc2C#N)C1 ZINC001183838683 743916779 /nfs/dbraw/zinc/91/67/79/743916779.db2.gz KOUATFAZEZIAAY-QGZVFWFLSA-N 1 2 312.417 1.343 20 30 DDEDLO COc1ccc(CC[NH+]2CC(NC(=O)c3c[nH]c(C#N)c3)C2)cc1 ZINC001030234615 743969215 /nfs/dbraw/zinc/96/92/15/743969215.db2.gz BABFXGGJYPTTMJ-UHFFFAOYSA-N 1 2 324.384 1.552 20 30 DDEDLO C=C(C)CC[NH+]1CC(NC(=O)c2cnc3cc[nH]cc-3c2=O)C1 ZINC001030378853 744091092 /nfs/dbraw/zinc/09/10/92/744091092.db2.gz JPLYDBCTYNLBJB-UHFFFAOYSA-N 1 2 312.373 1.303 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001185036015 744148989 /nfs/dbraw/zinc/14/89/89/744148989.db2.gz WHVISJHALXDNEZ-CYBMUJFWSA-N 1 2 304.394 1.516 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C=C3CCC3)C2)nn1 ZINC001185915592 744313967 /nfs/dbraw/zinc/31/39/67/744313967.db2.gz JNLHWAWCZSKGIY-MRXNPFEDSA-N 1 2 313.405 1.275 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCC1(Nc2ncccc2C#N)CC1 ZINC001110376865 744353788 /nfs/dbraw/zinc/35/37/88/744353788.db2.gz MBBKKIRODVJDFE-LBPRGKRZSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCC1(Nc2ncccc2C#N)CC1 ZINC001110376865 744353791 /nfs/dbraw/zinc/35/37/91/744353791.db2.gz MBBKKIRODVJDFE-LBPRGKRZSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](O)CCCc2ccccc2)CC1 ZINC001187045145 744490403 /nfs/dbraw/zinc/49/04/03/744490403.db2.gz DQCGJHJNTPOKCN-QGZVFWFLSA-N 1 2 302.418 1.700 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)CC2)cn1 ZINC001110389222 744498253 /nfs/dbraw/zinc/49/82/53/744498253.db2.gz VBONEBDYHVYDAP-ZIAGYGMSSA-N 1 2 322.372 1.541 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)CC2)cn1 ZINC001110389222 744498255 /nfs/dbraw/zinc/49/82/55/744498255.db2.gz VBONEBDYHVYDAP-ZIAGYGMSSA-N 1 2 322.372 1.541 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001187280345 744533326 /nfs/dbraw/zinc/53/33/26/744533326.db2.gz JJRDWMAEFWFBPI-GXTWGEPZSA-N 1 2 307.419 1.378 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001187280345 744533328 /nfs/dbraw/zinc/53/33/28/744533328.db2.gz JJRDWMAEFWFBPI-GXTWGEPZSA-N 1 2 307.419 1.378 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]2C[N@@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001188057086 744660505 /nfs/dbraw/zinc/66/05/05/744660505.db2.gz MFSGPPNWGAMOOX-DZGCQCFKSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]2C[N@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001188057086 744660507 /nfs/dbraw/zinc/66/05/07/744660507.db2.gz MFSGPPNWGAMOOX-DZGCQCFKSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)C2CCN(CC(F)F)CC2)C1 ZINC001030847874 744720028 /nfs/dbraw/zinc/72/00/28/744720028.db2.gz NTNGLTONLDVQPV-UHFFFAOYSA-N 1 2 301.381 1.340 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)CCOC)C1 ZINC001188572726 744723951 /nfs/dbraw/zinc/72/39/51/744723951.db2.gz MNSGAELOVMHVJR-NSHDSACASA-N 1 2 305.216 1.464 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)CCOC)C1 ZINC001188572726 744723953 /nfs/dbraw/zinc/72/39/53/744723953.db2.gz MNSGAELOVMHVJR-NSHDSACASA-N 1 2 305.216 1.464 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3ccccn3)C[C@@H]2O)cc1 ZINC001083691108 744813818 /nfs/dbraw/zinc/81/38/18/744813818.db2.gz YYFNLPYZZCXFBX-MSOLQXFVSA-N 1 2 321.380 1.038 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3ccccn3)C[C@@H]2O)cc1 ZINC001083691108 744813820 /nfs/dbraw/zinc/81/38/20/744813820.db2.gz YYFNLPYZZCXFBX-MSOLQXFVSA-N 1 2 321.380 1.038 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)C[C@H](C)OC)C1 ZINC001189300589 744862937 /nfs/dbraw/zinc/86/29/37/744862937.db2.gz MZWVXHUOXNWBSC-RYUDHWBXSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)C[C@H](C)OC)C1 ZINC001189300589 744862940 /nfs/dbraw/zinc/86/29/40/744862940.db2.gz MZWVXHUOXNWBSC-RYUDHWBXSA-N 1 2 319.243 1.853 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2csc(C)n2)C1 ZINC001189372497 744878483 /nfs/dbraw/zinc/87/84/83/744878483.db2.gz YAHIWXFHIDYCDF-AWEZNQCLSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2csc(C)n2)C1 ZINC001189372497 744878487 /nfs/dbraw/zinc/87/84/87/744878487.db2.gz YAHIWXFHIDYCDF-AWEZNQCLSA-N 1 2 309.435 1.687 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001077523089 745025412 /nfs/dbraw/zinc/02/54/12/745025412.db2.gz YTCYBEROLPEJOP-SQWYCQTGSA-N 1 2 317.364 1.015 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001077523089 745025418 /nfs/dbraw/zinc/02/54/18/745025418.db2.gz YTCYBEROLPEJOP-SQWYCQTGSA-N 1 2 317.364 1.015 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2nocc2C)C1 ZINC001189918898 745033865 /nfs/dbraw/zinc/03/38/65/745033865.db2.gz WCHPLKWMUFRURI-XJKSGUPXSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001189918898 745033874 /nfs/dbraw/zinc/03/38/74/745033874.db2.gz WCHPLKWMUFRURI-XJKSGUPXSA-N 1 2 307.394 1.343 20 30 DDEDLO C#CCCCC(=O)NCC[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001060462680 745141526 /nfs/dbraw/zinc/14/15/26/745141526.db2.gz IOYDIJLNVOPDDX-AWEZNQCLSA-N 1 2 316.405 1.111 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001190428590 745217650 /nfs/dbraw/zinc/21/76/50/745217650.db2.gz LZYGDUMWVZRBLQ-CQSZACIVSA-N 1 2 305.426 1.687 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001190428590 745217653 /nfs/dbraw/zinc/21/76/53/745217653.db2.gz LZYGDUMWVZRBLQ-CQSZACIVSA-N 1 2 305.426 1.687 20 30 DDEDLO CC#CC[N@H+](C)[C@@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC001190566782 745255797 /nfs/dbraw/zinc/25/57/97/745255797.db2.gz SPWSMLQHXLNXAF-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC001190566782 745255803 /nfs/dbraw/zinc/25/58/03/745255803.db2.gz SPWSMLQHXLNXAF-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([N@@H+](C)Cc3cnnn3C)C2)CCC1 ZINC001190595712 745268092 /nfs/dbraw/zinc/26/80/92/745268092.db2.gz REMRLWVXAFHNHQ-CQSZACIVSA-N 1 2 315.421 1.041 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([N@H+](C)Cc3cnnn3C)C2)CCC1 ZINC001190595712 745268094 /nfs/dbraw/zinc/26/80/94/745268094.db2.gz REMRLWVXAFHNHQ-CQSZACIVSA-N 1 2 315.421 1.041 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cccn(C(C)(C)C)c2=O)C1 ZINC001031072098 745302234 /nfs/dbraw/zinc/30/22/34/745302234.db2.gz GYNJZEZFAVAOAD-UHFFFAOYSA-N 1 2 303.406 1.593 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1CCOC ZINC001122288937 745310746 /nfs/dbraw/zinc/31/07/46/745310746.db2.gz RRKCJNONSZNUSS-CQSZACIVSA-N 1 2 323.441 1.328 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1CCOC ZINC001122288937 745310752 /nfs/dbraw/zinc/31/07/52/745310752.db2.gz RRKCJNONSZNUSS-CQSZACIVSA-N 1 2 323.441 1.328 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106457161 745715189 /nfs/dbraw/zinc/71/51/89/745715189.db2.gz INLRMBSBIHHSFG-GFCCVEGCSA-N 1 2 320.441 1.909 20 30 DDEDLO C[C@@H](CCNc1ncccc1C#N)NC(=O)CCn1cc[nH+]c1 ZINC001106520340 745767108 /nfs/dbraw/zinc/76/71/08/745767108.db2.gz BPLIZOQXKUKKKM-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2CC)[C@@H](O)C1 ZINC001083733324 745859909 /nfs/dbraw/zinc/85/99/09/745859909.db2.gz JLZYYLSLCBHPIC-MLHJIOFPSA-N 1 2 312.413 1.147 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2CC)[C@@H](O)C1 ZINC001083733324 745859916 /nfs/dbraw/zinc/85/99/16/745859916.db2.gz JLZYYLSLCBHPIC-MLHJIOFPSA-N 1 2 312.413 1.147 20 30 DDEDLO Cc1nc(N2CC[C@@]3(C2)CCCN(C(=O)[C@@H](C)C#N)C3)cc[nH+]1 ZINC001066857195 752043913 /nfs/dbraw/zinc/04/39/13/752043913.db2.gz QQSILQOKINPCBP-SUMWQHHRSA-N 1 2 313.405 1.764 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1O ZINC001193232214 746024729 /nfs/dbraw/zinc/02/47/29/746024729.db2.gz VDPSDINSVPLOKP-IAGOWNOFSA-N 1 2 315.417 1.511 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1O ZINC001193232214 746024734 /nfs/dbraw/zinc/02/47/34/746024734.db2.gz VDPSDINSVPLOKP-IAGOWNOFSA-N 1 2 315.417 1.511 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@H]1O ZINC001193400706 746093220 /nfs/dbraw/zinc/09/32/20/746093220.db2.gz XURXCKNQGRLLLC-BPLDGKMQSA-N 1 2 304.394 1.055 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@H]1O ZINC001193400706 746093225 /nfs/dbraw/zinc/09/32/25/746093225.db2.gz XURXCKNQGRLLLC-BPLDGKMQSA-N 1 2 304.394 1.055 20 30 DDEDLO CCN(CCNc1ccc(C#N)cn1)C(=O)Cc1c[nH+]cn1C ZINC001106803365 746123298 /nfs/dbraw/zinc/12/32/98/746123298.db2.gz YMFLCOLOKNSHOX-UHFFFAOYSA-N 1 2 312.377 1.190 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](Cc3cccc(C)c3)C2)cn1 ZINC001031278951 746123882 /nfs/dbraw/zinc/12/38/82/746123882.db2.gz HDIBHYABNLBRGG-UHFFFAOYSA-N 1 2 305.381 1.986 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cc3n(n2)CCO3)[C@@H]1C ZINC000993427652 746127504 /nfs/dbraw/zinc/12/75/04/746127504.db2.gz SKSRCTNDNTXDEU-RYUDHWBXSA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cc3n(n2)CCO3)[C@@H]1C ZINC000993427652 746127507 /nfs/dbraw/zinc/12/75/07/746127507.db2.gz SKSRCTNDNTXDEU-RYUDHWBXSA-N 1 2 324.812 1.611 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001194397208 746367747 /nfs/dbraw/zinc/36/77/47/746367747.db2.gz QQAYMBLKRBFNBQ-TZMCWYRMSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001194397208 746367751 /nfs/dbraw/zinc/36/77/51/746367751.db2.gz QQAYMBLKRBFNBQ-TZMCWYRMSA-N 1 2 322.409 1.564 20 30 DDEDLO COCCC(=O)N1CCC[N@H+](Cc2ccc(C#N)cc2F)CC1 ZINC001194621757 746427871 /nfs/dbraw/zinc/42/78/71/746427871.db2.gz FVFWZNJSQZFXRH-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO COCCC(=O)N1CCC[N@@H+](Cc2ccc(C#N)cc2F)CC1 ZINC001194621757 746427873 /nfs/dbraw/zinc/42/78/73/746427873.db2.gz FVFWZNJSQZFXRH-UHFFFAOYSA-N 1 2 319.380 1.768 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2nonc2C)[C@H]1C ZINC000994123598 746454657 /nfs/dbraw/zinc/45/46/57/746454657.db2.gz LHLJOHVQUNUFPN-NEPJUHHUSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2nonc2C)[C@H]1C ZINC000994123598 746454658 /nfs/dbraw/zinc/45/46/58/746454658.db2.gz LHLJOHVQUNUFPN-NEPJUHHUSA-N 1 2 312.801 1.642 20 30 DDEDLO CCOC(=O)[C@@H](C#N)NC(=O)c1cccc(-c2c[nH+]cn2C)c1 ZINC001194917530 746486231 /nfs/dbraw/zinc/48/62/31/746486231.db2.gz NDJPNINDKOQYCV-CYBMUJFWSA-N 1 2 312.329 1.272 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1O ZINC001195030564 746512636 /nfs/dbraw/zinc/51/26/36/746512636.db2.gz RZJHCGQUVRKFNE-HIXHAHCQSA-N 1 2 316.829 1.129 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1O ZINC001195030564 746512637 /nfs/dbraw/zinc/51/26/37/746512637.db2.gz RZJHCGQUVRKFNE-HIXHAHCQSA-N 1 2 316.829 1.129 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3conc3CC)C[C@@H]2O)C1 ZINC001083756440 746719386 /nfs/dbraw/zinc/71/93/86/746719386.db2.gz VAJQPXFNGYFYNT-CABCVRRESA-N 1 2 319.405 1.255 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3conc3CC)C[C@@H]2O)C1 ZINC001083756440 746719397 /nfs/dbraw/zinc/71/93/97/746719397.db2.gz VAJQPXFNGYFYNT-CABCVRRESA-N 1 2 319.405 1.255 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cncc(C)c2)C[C@H]1NC(=O)C#CC(C)C ZINC001212234281 746901155 /nfs/dbraw/zinc/90/11/55/746901155.db2.gz UVCBJFMOQJKFAM-IAGOWNOFSA-N 1 2 315.417 1.365 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cncc(C)c2)C[C@H]1NC(=O)C#CC(C)C ZINC001212234281 746901159 /nfs/dbraw/zinc/90/11/59/746901159.db2.gz UVCBJFMOQJKFAM-IAGOWNOFSA-N 1 2 315.417 1.365 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C#CC(C)C ZINC001212234364 746903073 /nfs/dbraw/zinc/90/30/73/746903073.db2.gz YSAACWNOFPFNFO-RTBURBONSA-N 1 2 324.424 1.513 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C#CC(C)C ZINC001212234364 746903078 /nfs/dbraw/zinc/90/30/78/746903078.db2.gz YSAACWNOFPFNFO-RTBURBONSA-N 1 2 324.424 1.513 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](CC(=O)NCCCC)CC1 ZINC001196846867 746979761 /nfs/dbraw/zinc/97/97/61/746979761.db2.gz NGRSZVPYKMVWTL-UHFFFAOYSA-N 1 2 321.465 1.631 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](CC(=O)NCCCC)CC1 ZINC001196846867 746979764 /nfs/dbraw/zinc/97/97/64/746979764.db2.gz NGRSZVPYKMVWTL-UHFFFAOYSA-N 1 2 321.465 1.631 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001128413529 747030695 /nfs/dbraw/zinc/03/06/95/747030695.db2.gz GOTLILKTSFVRNF-UHFFFAOYSA-N 1 2 304.350 1.892 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(C)CC=CC2)CC1 ZINC001197028546 747042606 /nfs/dbraw/zinc/04/26/06/747042606.db2.gz GCIQGSTZNVIDJJ-HNNXBMFYSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(C)CC=CC2)CC1 ZINC001197028546 747042614 /nfs/dbraw/zinc/04/26/14/747042614.db2.gz GCIQGSTZNVIDJJ-HNNXBMFYSA-N 1 2 317.433 1.015 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)NCC3CC3)CC2)C1 ZINC001197094292 747048600 /nfs/dbraw/zinc/04/86/00/747048600.db2.gz ZZKFQRBANWLMDA-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)NCC3CC3)CC2)C1 ZINC001197094292 747048606 /nfs/dbraw/zinc/04/86/06/747048606.db2.gz ZZKFQRBANWLMDA-UHFFFAOYSA-N 1 2 319.449 1.403 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cncc(Cl)c2)CC1 ZINC001197440801 747151245 /nfs/dbraw/zinc/15/12/45/747151245.db2.gz MTLHZPDPUKJIRB-UHFFFAOYSA-N 1 2 321.808 1.533 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cncc(Cl)c2)CC1 ZINC001197440801 747151246 /nfs/dbraw/zinc/15/12/46/747151246.db2.gz MTLHZPDPUKJIRB-UHFFFAOYSA-N 1 2 321.808 1.533 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(C)n(-c3ccccn3)n2)CC1 ZINC001197517811 747182625 /nfs/dbraw/zinc/18/26/25/747182625.db2.gz SZYPFNCZKIYJNP-UHFFFAOYSA-N 1 2 311.389 1.520 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3ncccn3)CC2)cc1 ZINC001003411821 747188798 /nfs/dbraw/zinc/18/87/98/747188798.db2.gz XFFSLSRUGWMDKS-UHFFFAOYSA-N 1 2 320.396 1.852 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)CC(C)C)CC1 ZINC001197615021 747206377 /nfs/dbraw/zinc/20/63/77/747206377.db2.gz UTJVMUJXGLBPAE-JKSUJKDBSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)CC(C)C)CC1 ZINC001197615021 747206382 /nfs/dbraw/zinc/20/63/82/747206382.db2.gz UTJVMUJXGLBPAE-JKSUJKDBSA-N 1 2 321.465 1.341 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@]2(C)C(C)C)CC1 ZINC001197630704 747231142 /nfs/dbraw/zinc/23/11/42/747231142.db2.gz ONBWBKRAYRQHJT-MAUKXSAKSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@]2(C)C(C)C)CC1 ZINC001197630704 747231144 /nfs/dbraw/zinc/23/11/44/747231144.db2.gz ONBWBKRAYRQHJT-MAUKXSAKSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccccc2F)[C@@H](O)C1 ZINC001090018338 747313751 /nfs/dbraw/zinc/31/37/51/747313751.db2.gz ZUZIGKPDILYUID-KGLIPLIRSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccccc2F)[C@@H](O)C1 ZINC001090018338 747313757 /nfs/dbraw/zinc/31/37/57/747313757.db2.gz ZUZIGKPDILYUID-KGLIPLIRSA-N 1 2 312.772 1.743 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c[nH]c(Br)c1 ZINC001038529168 752172529 /nfs/dbraw/zinc/17/25/29/752172529.db2.gz IWHKKZKMMDJQFV-NSHDSACASA-N 1 2 310.195 1.605 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c[nH]c(Br)c1 ZINC001038529168 752172532 /nfs/dbraw/zinc/17/25/32/752172532.db2.gz IWHKKZKMMDJQFV-NSHDSACASA-N 1 2 310.195 1.605 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212253689 747389846 /nfs/dbraw/zinc/38/98/46/747389846.db2.gz IOBPQFFBXITMRQ-HUUCEWRRSA-N 1 2 319.405 1.348 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212253689 747389852 /nfs/dbraw/zinc/38/98/52/747389852.db2.gz IOBPQFFBXITMRQ-HUUCEWRRSA-N 1 2 319.405 1.348 20 30 DDEDLO C=CCCC(=O)N(C)[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001078875390 747399893 /nfs/dbraw/zinc/39/98/93/747399893.db2.gz AXSYINSBLNEKNV-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO CN(C(=O)C(F)F)[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001032856539 747462995 /nfs/dbraw/zinc/46/29/95/747462995.db2.gz QBFJAPINAIWXMJ-AWEZNQCLSA-N 1 2 310.319 1.975 20 30 DDEDLO CN(C(=O)C(F)F)[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001032856539 747462996 /nfs/dbraw/zinc/46/29/96/747462996.db2.gz QBFJAPINAIWXMJ-AWEZNQCLSA-N 1 2 310.319 1.975 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(C)c2)[C@@H](O)C1 ZINC001090039519 747489698 /nfs/dbraw/zinc/48/96/98/747489698.db2.gz VEJHYZAWCAUMIR-GJZGRUSLSA-N 1 2 308.809 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(C)c2)[C@@H](O)C1 ZINC001090039519 747489704 /nfs/dbraw/zinc/48/97/04/747489704.db2.gz VEJHYZAWCAUMIR-GJZGRUSLSA-N 1 2 308.809 1.913 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](C/C=C/Cl)C[C@H]2O)CCCCC1 ZINC001199278247 747788881 /nfs/dbraw/zinc/78/88/81/747788881.db2.gz UVGXPCIAKYLJDC-ILJFBXALSA-N 1 2 324.852 1.874 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](C/C=C/Cl)C[C@H]2O)CCCCC1 ZINC001199278247 747788885 /nfs/dbraw/zinc/78/88/85/747788885.db2.gz UVGXPCIAKYLJDC-ILJFBXALSA-N 1 2 324.852 1.874 20 30 DDEDLO C[N@@H+]1CCN(C(=O)c2cnc(C#N)cn2)[C@H](c2ccccc2)C1 ZINC001199662234 747940336 /nfs/dbraw/zinc/94/03/36/747940336.db2.gz RZZYPGOXBVYKBX-INIZCTEOSA-N 1 2 307.357 1.477 20 30 DDEDLO C[N@H+]1CCN(C(=O)c2cnc(C#N)cn2)[C@H](c2ccccc2)C1 ZINC001199662234 747940340 /nfs/dbraw/zinc/94/03/40/747940340.db2.gz RZZYPGOXBVYKBX-INIZCTEOSA-N 1 2 307.357 1.477 20 30 DDEDLO Cc1[nH+]c[nH]c1CSCCNC(=O)c1cnc(C#N)cn1 ZINC001199667917 747944052 /nfs/dbraw/zinc/94/40/52/747944052.db2.gz NXJWIAGQGXKTMD-UHFFFAOYSA-N 1 2 302.363 1.043 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(Cl)o2)[C@@H](O)C1 ZINC001090084403 748148982 /nfs/dbraw/zinc/14/89/82/748148982.db2.gz UNQDTEWWSMHBTK-UWVGGRQHSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(Cl)o2)[C@@H](O)C1 ZINC001090084403 748148985 /nfs/dbraw/zinc/14/89/85/748148985.db2.gz UNQDTEWWSMHBTK-UWVGGRQHSA-N 1 2 319.188 1.851 20 30 DDEDLO C=CCNC(=S)Nc1sc2c(c1C(N)=O)CC[N@@H+](C)C2 ZINC001200274396 748184304 /nfs/dbraw/zinc/18/43/04/748184304.db2.gz OMFWWFDUSKQNTC-UHFFFAOYSA-N 1 2 310.448 1.307 20 30 DDEDLO C=CCNC(=S)Nc1sc2c(c1C(N)=O)CC[N@H+](C)C2 ZINC001200274396 748184306 /nfs/dbraw/zinc/18/43/06/748184306.db2.gz OMFWWFDUSKQNTC-UHFFFAOYSA-N 1 2 310.448 1.307 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccnc3[nH]cnc32)C1 ZINC001033115296 748413408 /nfs/dbraw/zinc/41/34/08/748413408.db2.gz LJVUCIAPAYMTQZ-LLVKDONJSA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccnc3[nH]cnc32)C1 ZINC001033115296 748413410 /nfs/dbraw/zinc/41/34/10/748413410.db2.gz LJVUCIAPAYMTQZ-LLVKDONJSA-N 1 2 319.796 1.857 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC([NH+]3CCCCC3)C2)cc1C#N ZINC001201310493 748473598 /nfs/dbraw/zinc/47/35/98/748473598.db2.gz RPOHODKRUKLWPU-UHFFFAOYSA-N 1 2 319.430 1.726 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(CC)[nH]c(=O)c2)C1 ZINC001108076038 748505780 /nfs/dbraw/zinc/50/57/80/748505780.db2.gz HQMYRYBLAQTGNY-KRWDZBQOSA-N 1 2 319.405 1.356 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(CC)[nH]c(=O)c2)C1 ZINC001108076038 748505784 /nfs/dbraw/zinc/50/57/84/748505784.db2.gz HQMYRYBLAQTGNY-KRWDZBQOSA-N 1 2 319.405 1.356 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cn3c(n2)COCC3)C1 ZINC001033196942 748850229 /nfs/dbraw/zinc/85/02/29/748850229.db2.gz XEIVPMSATMVKQE-GFCCVEGCSA-N 1 2 324.812 1.312 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cn3c(n2)COCC3)C1 ZINC001033196942 748850237 /nfs/dbraw/zinc/85/02/37/748850237.db2.gz XEIVPMSATMVKQE-GFCCVEGCSA-N 1 2 324.812 1.312 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccnc(F)c2)[C@@H](O)C1 ZINC001090115719 748873198 /nfs/dbraw/zinc/87/31/98/748873198.db2.gz VPEOWHKRVMKNJH-NEPJUHHUSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccnc(F)c2)[C@@H](O)C1 ZINC001090115719 748873201 /nfs/dbraw/zinc/87/32/01/748873201.db2.gz VPEOWHKRVMKNJH-NEPJUHHUSA-N 1 2 313.760 1.138 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@]2(C)CCCOC2)C1 ZINC001108310136 761901086 /nfs/dbraw/zinc/90/10/86/761901086.db2.gz IXQIAJYVYPETSQ-DLBZAZTESA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@]2(C)CCCOC2)C1 ZINC001108310136 761901089 /nfs/dbraw/zinc/90/10/89/761901089.db2.gz IXQIAJYVYPETSQ-DLBZAZTESA-N 1 2 308.422 1.034 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)CNc2[nH+]cnc3c2cnn3C)C1 ZINC001108096580 748968471 /nfs/dbraw/zinc/96/84/71/748968471.db2.gz OXYYVNCGCMIGAA-LLVKDONJSA-N 1 2 314.393 1.636 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccnc(OC)c2)C1 ZINC001033223403 748978760 /nfs/dbraw/zinc/97/87/60/748978760.db2.gz PKZFNVLWCHNQNB-ZDUSSCGKSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccnc(OC)c2)C1 ZINC001033223403 748978766 /nfs/dbraw/zinc/97/87/66/748978766.db2.gz PKZFNVLWCHNQNB-ZDUSSCGKSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnn(C)n3)C[C@H]21 ZINC001114336236 749083479 /nfs/dbraw/zinc/08/34/79/749083479.db2.gz KMLMCUOWLYKHKE-ITGUQSILSA-N 1 2 323.828 1.140 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnn(C)n3)C[C@H]21 ZINC001114336236 749083485 /nfs/dbraw/zinc/08/34/85/749083485.db2.gz KMLMCUOWLYKHKE-ITGUQSILSA-N 1 2 323.828 1.140 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001108329651 761923362 /nfs/dbraw/zinc/92/33/62/761923362.db2.gz SJYIDUQSBDMNSB-GOSISDBHSA-N 1 2 317.433 1.900 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001108329651 761923366 /nfs/dbraw/zinc/92/33/66/761923366.db2.gz SJYIDUQSBDMNSB-GOSISDBHSA-N 1 2 317.433 1.900 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2coc(C3CCC3)n2)C1 ZINC001108331846 761926133 /nfs/dbraw/zinc/92/61/33/761926133.db2.gz AKMAHVQKZCWQIN-QGZVFWFLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2coc(C3CCC3)n2)C1 ZINC001108331846 761926136 /nfs/dbraw/zinc/92/61/36/761926136.db2.gz AKMAHVQKZCWQIN-QGZVFWFLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)[nH]nc2C(C)C)C1 ZINC001108333942 761930166 /nfs/dbraw/zinc/93/01/66/761930166.db2.gz XUOZWLKZCAVLLG-QGZVFWFLSA-N 1 2 320.437 1.848 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)[nH]nc2C(C)C)C1 ZINC001108333942 761930170 /nfs/dbraw/zinc/93/01/70/761930170.db2.gz XUOZWLKZCAVLLG-QGZVFWFLSA-N 1 2 320.437 1.848 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001108333942 761930173 /nfs/dbraw/zinc/93/01/73/761930173.db2.gz XUOZWLKZCAVLLG-QGZVFWFLSA-N 1 2 320.437 1.848 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001108333942 761930178 /nfs/dbraw/zinc/93/01/78/761930178.db2.gz XUOZWLKZCAVLLG-QGZVFWFLSA-N 1 2 320.437 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H](C)c2cncnc2)C1 ZINC001033356254 749260312 /nfs/dbraw/zinc/26/03/12/749260312.db2.gz LSNJTDZJOXAMOW-GXTWGEPZSA-N 1 2 308.813 1.865 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H](C)c2cncnc2)C1 ZINC001033356254 749260316 /nfs/dbraw/zinc/26/03/16/749260316.db2.gz LSNJTDZJOXAMOW-GXTWGEPZSA-N 1 2 308.813 1.865 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@@H]2CC[N@H+](Cc3nocc3C)C2)c1 ZINC001033404897 749325527 /nfs/dbraw/zinc/32/55/27/749325527.db2.gz GPCSMZDBHKSWJW-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3nocc3C)C2)c1 ZINC001033404897 749325528 /nfs/dbraw/zinc/32/55/28/749325528.db2.gz GPCSMZDBHKSWJW-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CO[C@H](C)CC)nn2)C1 ZINC001107161036 749457764 /nfs/dbraw/zinc/45/77/64/749457764.db2.gz VRISSQFKZAJIBF-CYBMUJFWSA-N 1 2 321.425 1.142 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(C)C(=O)c2snnc2C(C)C)C1 ZINC001033524153 749473653 /nfs/dbraw/zinc/47/36/53/749473653.db2.gz XFRNFCYNWVCQKX-LBPRGKRZSA-N 1 2 306.435 1.831 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(C)C(=O)c2snnc2C(C)C)C1 ZINC001033524153 749473658 /nfs/dbraw/zinc/47/36/58/749473658.db2.gz XFRNFCYNWVCQKX-LBPRGKRZSA-N 1 2 306.435 1.831 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)/C=C(\C)CC)nn2)C1 ZINC001107167981 749473787 /nfs/dbraw/zinc/47/37/87/749473787.db2.gz OVBQYQFCELXCAL-AWNIVKPZSA-N 1 2 303.410 1.683 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)nn1C ZINC001039349258 761954455 /nfs/dbraw/zinc/95/44/55/761954455.db2.gz RGWKXZPDCJNDPW-WQVCFCJDSA-N 1 2 315.421 1.454 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)nn1C ZINC001039349258 761954462 /nfs/dbraw/zinc/95/44/62/761954462.db2.gz RGWKXZPDCJNDPW-WQVCFCJDSA-N 1 2 315.421 1.454 20 30 DDEDLO Cc1nc(NC/C=C\CNC(=O)CCc2[nH]cc[nH+]2)ccc1C#N ZINC001107173577 749490677 /nfs/dbraw/zinc/49/06/77/749490677.db2.gz MXQNKONVXGOPHL-IHWYPQMZSA-N 1 2 324.388 1.702 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H](C)CC(=C)C)nn2)C1 ZINC001107198905 749566815 /nfs/dbraw/zinc/56/68/15/749566815.db2.gz XGRMLGGDFLHAMK-CQSZACIVSA-N 1 2 315.421 1.377 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@H+](Cc3ccn(C)n3)C2)cn1 ZINC001033600123 749595764 /nfs/dbraw/zinc/59/57/64/749595764.db2.gz WEPFGTYDJPNXCD-KRWDZBQOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3ccn(C)n3)C2)cn1 ZINC001033600123 749595765 /nfs/dbraw/zinc/59/57/65/749595765.db2.gz WEPFGTYDJPNXCD-KRWDZBQOSA-N 1 2 323.400 1.143 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1C ZINC001038604675 749615521 /nfs/dbraw/zinc/61/55/21/749615521.db2.gz FNKQJWVKZMDRRQ-UHOFOFEASA-N 1 2 313.401 1.913 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1C ZINC001038604675 749615525 /nfs/dbraw/zinc/61/55/25/749615525.db2.gz FNKQJWVKZMDRRQ-UHOFOFEASA-N 1 2 313.401 1.913 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)CC(C)C)nn2)C1 ZINC001107225108 749650406 /nfs/dbraw/zinc/65/04/06/749650406.db2.gz NPIKQKPHDDSMKW-AWEZNQCLSA-N 1 2 317.437 1.457 20 30 DDEDLO N#Cc1cccnc1NC/C=C/CNC(=O)CCCn1cc[nH+]c1 ZINC001107237461 749678732 /nfs/dbraw/zinc/67/87/32/749678732.db2.gz MDJZINSWVAHGEP-OWOJBTEDSA-N 1 2 324.388 1.714 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC/C=C\CNc1ncccc1C#N ZINC001107262877 749700887 /nfs/dbraw/zinc/70/08/87/749700887.db2.gz KIIZPPUFYVNHBC-IHWYPQMZSA-N 1 2 310.361 1.014 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2cccs2)C1 ZINC001108388497 762008084 /nfs/dbraw/zinc/00/80/84/762008084.db2.gz BITDIYWWTZEGAA-QGZVFWFLSA-N 1 2 320.458 1.911 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2cccs2)C1 ZINC001108388497 762008092 /nfs/dbraw/zinc/00/80/92/762008092.db2.gz BITDIYWWTZEGAA-QGZVFWFLSA-N 1 2 320.458 1.911 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CCC)CC1)C2 ZINC001110997694 750291426 /nfs/dbraw/zinc/29/14/26/750291426.db2.gz PWQJYTHTMILPFO-KFWWJZLASA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CCC)CC1)C2 ZINC001110997694 750291434 /nfs/dbraw/zinc/29/14/34/750291434.db2.gz PWQJYTHTMILPFO-KFWWJZLASA-N 1 2 319.449 1.590 20 30 DDEDLO C[C@H](CNc1cccc(F)c1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001107888565 750565725 /nfs/dbraw/zinc/56/57/25/750565725.db2.gz BPBCOPCXUGFJQA-SNVBAGLBSA-N 1 2 301.325 1.580 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1COc2cc(F)ccc2C1 ZINC001032401077 750578537 /nfs/dbraw/zinc/57/85/37/750578537.db2.gz FALIBLSOLLROQM-KBMXLJTQSA-N 1 2 314.360 1.295 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1COc2cc(F)ccc2C1 ZINC001032401077 750578538 /nfs/dbraw/zinc/57/85/38/750578538.db2.gz FALIBLSOLLROQM-KBMXLJTQSA-N 1 2 314.360 1.295 20 30 DDEDLO C[C@H](CNc1nc(C#N)c(Cl)s1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001108135691 750654772 /nfs/dbraw/zinc/65/47/72/750654772.db2.gz DEIOQYDIYPIXFJ-SSDOTTSWSA-N 1 2 324.797 1.551 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc[nH]c2CCC)C1 ZINC001108155246 750852771 /nfs/dbraw/zinc/85/27/71/750852771.db2.gz NFQSHXBEUCAOIZ-KRWDZBQOSA-N 1 2 305.422 1.974 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc[nH]c2CCC)C1 ZINC001108155246 750852777 /nfs/dbraw/zinc/85/27/77/750852777.db2.gz NFQSHXBEUCAOIZ-KRWDZBQOSA-N 1 2 305.422 1.974 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1COc2ccc(F)cc2C1 ZINC001032518458 751175866 /nfs/dbraw/zinc/17/58/66/751175866.db2.gz YSNFBNDQZVBPIR-KBMXLJTQSA-N 1 2 314.360 1.295 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1COc2ccc(F)cc2C1 ZINC001032518458 751175870 /nfs/dbraw/zinc/17/58/70/751175870.db2.gz YSNFBNDQZVBPIR-KBMXLJTQSA-N 1 2 314.360 1.295 20 30 DDEDLO C[C@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C1CCN(CC#N)CC1 ZINC000997647146 751178811 /nfs/dbraw/zinc/17/88/11/751178811.db2.gz UWMXBHBQPDSORC-ZFWWWQNUSA-N 1 2 315.421 1.186 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCCn2cccc2)C1 ZINC001108416047 762109622 /nfs/dbraw/zinc/10/96/22/762109622.db2.gz PUYMUFKZJAWQGP-KRWDZBQOSA-N 1 2 305.422 1.661 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCCn2cccc2)C1 ZINC001108416047 762109628 /nfs/dbraw/zinc/10/96/28/762109628.db2.gz PUYMUFKZJAWQGP-KRWDZBQOSA-N 1 2 305.422 1.661 20 30 DDEDLO C=CCC[N@H+]1CCC[C@H](NC(=O)[C@]2(C)CCCS2(=O)=O)C1 ZINC001008843952 752942668 /nfs/dbraw/zinc/94/26/68/752942668.db2.gz GGMGXVTWQSYJDK-ZFWWWQNUSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCC[N@@H+]1CCC[C@H](NC(=O)[C@]2(C)CCCS2(=O)=O)C1 ZINC001008843952 752942675 /nfs/dbraw/zinc/94/26/75/752942675.db2.gz GGMGXVTWQSYJDK-ZFWWWQNUSA-N 1 2 314.451 1.110 20 30 DDEDLO CCc1cc(N2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)nc(C)[nH+]1 ZINC001062488264 753058047 /nfs/dbraw/zinc/05/80/47/753058047.db2.gz TVUWOFTWAMUMNO-HOTGVXAUSA-N 1 2 310.401 1.550 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC000999895339 753209695 /nfs/dbraw/zinc/20/96/95/753209695.db2.gz YOADKYKILRXVGT-GFCCVEGCSA-N 1 2 306.410 1.925 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)CN1c1ccc(C#N)nc1 ZINC001039758766 762204601 /nfs/dbraw/zinc/20/46/01/762204601.db2.gz ZTSYSCAITBTWLB-UKRRQHHQSA-N 1 2 324.388 1.323 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001107992011 753343347 /nfs/dbraw/zinc/34/33/47/753343347.db2.gz FUQALWBZWRTFTF-OLZOCXBDSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001107992013 753343480 /nfs/dbraw/zinc/34/34/80/753343480.db2.gz FVNMDHDYRNUWBP-OCCSQVGLSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(s1)CCOC2 ZINC001032740582 753414809 /nfs/dbraw/zinc/41/48/09/753414809.db2.gz WYAVURUMNUCABV-STQMWFEESA-N 1 2 304.415 1.906 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(s1)CCOC2 ZINC001032740582 753414812 /nfs/dbraw/zinc/41/48/12/753414812.db2.gz WYAVURUMNUCABV-STQMWFEESA-N 1 2 304.415 1.906 20 30 DDEDLO N#Cc1cnccc1NCC1(CCNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001089957224 753541560 /nfs/dbraw/zinc/54/15/60/753541560.db2.gz ZHIWTRUAQGGEME-UHFFFAOYSA-N 1 2 324.388 1.039 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(F)c3ccccc23)[C@@H](O)C1 ZINC001083797258 753561670 /nfs/dbraw/zinc/56/16/70/753561670.db2.gz UADDJITXOXSKCX-SJORKVTESA-N 1 2 312.344 1.387 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(F)c3ccccc23)[C@@H](O)C1 ZINC001083797258 753561676 /nfs/dbraw/zinc/56/16/76/753561676.db2.gz UADDJITXOXSKCX-SJORKVTESA-N 1 2 312.344 1.387 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)[C@H]1CCCO1 ZINC001010059174 753580307 /nfs/dbraw/zinc/58/03/07/753580307.db2.gz MPDSEOXALHIYGD-DLBZAZTESA-N 1 2 316.376 1.547 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)[C@H]1CCCO1 ZINC001010059174 753580315 /nfs/dbraw/zinc/58/03/15/753580315.db2.gz MPDSEOXALHIYGD-DLBZAZTESA-N 1 2 316.376 1.547 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccccc1OC ZINC001032779205 753619363 /nfs/dbraw/zinc/61/93/63/753619363.db2.gz DBFXSEMNWLSLIM-GJZGRUSLSA-N 1 2 314.385 1.382 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccccc1OC ZINC001032779205 753619368 /nfs/dbraw/zinc/61/93/68/753619368.db2.gz DBFXSEMNWLSLIM-GJZGRUSLSA-N 1 2 314.385 1.382 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2n[nH]c(C)c2c1 ZINC001032783679 753649709 /nfs/dbraw/zinc/64/97/09/753649709.db2.gz MIFZVJFRTSQPSR-KBPBESRZSA-N 1 2 309.373 1.188 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2n[nH]c(C)c2c1 ZINC001032783679 753649717 /nfs/dbraw/zinc/64/97/17/753649717.db2.gz MIFZVJFRTSQPSR-KBPBESRZSA-N 1 2 309.373 1.188 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC001078028911 753656215 /nfs/dbraw/zinc/65/62/15/753656215.db2.gz AJYLZYUKJWSHCO-HZPDHXFCSA-N 1 2 300.402 1.067 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC001078028911 753656218 /nfs/dbraw/zinc/65/62/18/753656218.db2.gz AJYLZYUKJWSHCO-HZPDHXFCSA-N 1 2 300.402 1.067 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2ccccc2Cl)C1 ZINC001078033733 753661465 /nfs/dbraw/zinc/66/14/65/753661465.db2.gz YHYWUHRPCCNOCG-HUUCEWRRSA-N 1 2 320.820 1.412 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2ccccc2Cl)C1 ZINC001078033733 753661469 /nfs/dbraw/zinc/66/14/69/753661469.db2.gz YHYWUHRPCCNOCG-HUUCEWRRSA-N 1 2 320.820 1.412 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2nc(C)sc2C)C1 ZINC001108027334 753694405 /nfs/dbraw/zinc/69/44/05/753694405.db2.gz SADMHJFCCRBOOL-INIZCTEOSA-N 1 2 323.462 1.696 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2nc(C)sc2C)C1 ZINC001108027334 753694408 /nfs/dbraw/zinc/69/44/08/753694408.db2.gz SADMHJFCCRBOOL-INIZCTEOSA-N 1 2 323.462 1.696 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cocn3)C2)s1 ZINC001010374051 753829867 /nfs/dbraw/zinc/82/98/67/753829867.db2.gz RWEQJOCLOKDBBD-JTQLQIEISA-N 1 2 302.359 1.612 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cocn3)C2)s1 ZINC001010374051 753829874 /nfs/dbraw/zinc/82/98/74/753829874.db2.gz RWEQJOCLOKDBBD-JTQLQIEISA-N 1 2 302.359 1.612 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001078221785 753855027 /nfs/dbraw/zinc/85/50/27/753855027.db2.gz IBDWLZPNGISIBG-SUMWQHHRSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001078221785 753855035 /nfs/dbraw/zinc/85/50/35/753855035.db2.gz IBDWLZPNGISIBG-SUMWQHHRSA-N 1 2 320.437 1.956 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@H]1CCN(c2ccncc2C#N)C1 ZINC001062959654 753870443 /nfs/dbraw/zinc/87/04/43/753870443.db2.gz DFMFEXSWKURSCU-AWEZNQCLSA-N 1 2 324.388 1.265 20 30 DDEDLO Cc1nc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)co1 ZINC001010689144 754101160 /nfs/dbraw/zinc/10/11/60/754101160.db2.gz AWDUPWNUHBUVHG-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1nc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)co1 ZINC001010689144 754101164 /nfs/dbraw/zinc/10/11/64/754101164.db2.gz AWDUPWNUHBUVHG-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]cn1C ZINC001066985668 754190579 /nfs/dbraw/zinc/19/05/79/754190579.db2.gz ZTLWVJCLJRARFR-UHFFFAOYSA-N 1 2 306.410 1.236 20 30 DDEDLO CC#CCCCC(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063520075 754206394 /nfs/dbraw/zinc/20/63/94/754206394.db2.gz KHOMRBNSMHCGHT-UHFFFAOYSA-N 1 2 316.405 1.232 20 30 DDEDLO N#CCN[C@@H]1C[C@H](NC(=O)c2cccc3[nH+]ccn32)C12CCC2 ZINC001078681312 754298412 /nfs/dbraw/zinc/29/84/12/754298412.db2.gz YZKRKMQTTGRXHE-KGLIPLIRSA-N 1 2 309.373 1.488 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064110477 754518769 /nfs/dbraw/zinc/51/87/69/754518769.db2.gz XMUATWBYTAYETH-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO CCC(=O)N1CC[NH+]([C@@H]2CCN(c3ccncc3C#N)C2)CC1 ZINC001065183235 755113219 /nfs/dbraw/zinc/11/32/19/755113219.db2.gz JPJJBMYSNHQWOH-OAHLLOKOSA-N 1 2 313.405 1.086 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccon2)C[C@H]1C ZINC001079719869 755545200 /nfs/dbraw/zinc/54/52/00/755545200.db2.gz HJIUJWWARWSTTF-MIGQKNRLSA-N 1 2 319.405 1.592 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccon2)C[C@H]1C ZINC001079719869 755545202 /nfs/dbraw/zinc/54/52/02/755545202.db2.gz HJIUJWWARWSTTF-MIGQKNRLSA-N 1 2 319.405 1.592 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001080014257 755682330 /nfs/dbraw/zinc/68/23/30/755682330.db2.gz ONKRLZMUNFWEPS-ZIAGYGMSSA-N 1 2 316.405 1.539 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001080014257 755682334 /nfs/dbraw/zinc/68/23/34/755682334.db2.gz ONKRLZMUNFWEPS-ZIAGYGMSSA-N 1 2 316.405 1.539 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2n[nH]c3c2CCC3)C1 ZINC001080027629 755689035 /nfs/dbraw/zinc/68/90/35/755689035.db2.gz RWQMBKIRHGPAMX-NOZJJQNGSA-N 1 2 308.813 1.701 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2n[nH]c3c2CCC3)C1 ZINC001080027629 755689037 /nfs/dbraw/zinc/68/90/37/755689037.db2.gz RWQMBKIRHGPAMX-NOZJJQNGSA-N 1 2 308.813 1.701 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001014502916 755764126 /nfs/dbraw/zinc/76/41/26/755764126.db2.gz IRYNBXYJVXRETB-BQFCYCMXSA-N 1 2 311.385 1.285 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001014502916 755764127 /nfs/dbraw/zinc/76/41/27/755764127.db2.gz IRYNBXYJVXRETB-BQFCYCMXSA-N 1 2 311.385 1.285 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2C[C@@H]2C)C1 ZINC001080272803 755793560 /nfs/dbraw/zinc/79/35/60/755793560.db2.gz DNVIHQGJGYQRLM-BSJXLVFVSA-N 1 2 301.228 1.988 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2C[C@@H]2C)C1 ZINC001080272803 755793564 /nfs/dbraw/zinc/79/35/64/755793564.db2.gz DNVIHQGJGYQRLM-BSJXLVFVSA-N 1 2 301.228 1.988 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080310072 755821459 /nfs/dbraw/zinc/82/14/59/755821459.db2.gz LJXSKLGAZIWHQE-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067085151 755853196 /nfs/dbraw/zinc/85/31/96/755853196.db2.gz WPNZZRKYHUWQJP-OCCSQVGLSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080351122 755863864 /nfs/dbraw/zinc/86/38/64/755863864.db2.gz NZUTYMQGTFIBRY-QGZVFWFLSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001015013587 756072700 /nfs/dbraw/zinc/07/27/00/756072700.db2.gz JOUMPBQNGAWONC-CQSZACIVSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001015013587 756072707 /nfs/dbraw/zinc/07/27/07/756072707.db2.gz JOUMPBQNGAWONC-CQSZACIVSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCc3nc(C)nn3C2)C1 ZINC001015364328 756265622 /nfs/dbraw/zinc/26/56/22/756265622.db2.gz FAMABJQNDHDEOE-OLZOCXBDSA-N 1 2 323.828 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCc3nc(C)nn3C2)C1 ZINC001015364328 756265623 /nfs/dbraw/zinc/26/56/23/756265623.db2.gz FAMABJQNDHDEOE-OLZOCXBDSA-N 1 2 323.828 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001015619746 756418289 /nfs/dbraw/zinc/41/82/89/756418289.db2.gz CYTRVYJQUDYGTL-MNOVXSKESA-N 1 2 309.801 1.168 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001015619746 756418292 /nfs/dbraw/zinc/41/82/92/756418292.db2.gz CYTRVYJQUDYGTL-MNOVXSKESA-N 1 2 309.801 1.168 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccncc2C#N)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001040271541 762470602 /nfs/dbraw/zinc/47/06/02/762470602.db2.gz LLBWTTLJCLQKGO-WFASDCNBSA-N 1 2 324.388 1.132 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccncc2C#N)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001040271541 762470603 /nfs/dbraw/zinc/47/06/03/762470603.db2.gz LLBWTTLJCLQKGO-WFASDCNBSA-N 1 2 324.388 1.132 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2scnc2C(F)F)[C@H](OC)C1 ZINC001081933714 756551377 /nfs/dbraw/zinc/55/13/77/756551377.db2.gz QXCDDLSWKZSEFL-RKDXNWHRSA-N 1 2 317.361 1.696 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2scnc2C(F)F)[C@H](OC)C1 ZINC001081933714 756551380 /nfs/dbraw/zinc/55/13/80/756551380.db2.gz QXCDDLSWKZSEFL-RKDXNWHRSA-N 1 2 317.361 1.696 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001082036045 756613570 /nfs/dbraw/zinc/61/35/70/756613570.db2.gz YOGACZIGXSPWRT-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001015957065 756676678 /nfs/dbraw/zinc/67/66/78/756676678.db2.gz NDTSEMMVPMXJRX-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001015957065 756676684 /nfs/dbraw/zinc/67/66/84/756676684.db2.gz NDTSEMMVPMXJRX-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccncc2CC)[C@@H](O)C1 ZINC001090379018 756921935 /nfs/dbraw/zinc/92/19/35/756921935.db2.gz UYGJIZSVRJIUQV-GJZGRUSLSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccncc2CC)[C@@H](O)C1 ZINC001090379018 756921936 /nfs/dbraw/zinc/92/19/36/756921936.db2.gz UYGJIZSVRJIUQV-GJZGRUSLSA-N 1 2 323.824 1.562 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@@H+](CC(=C)Cl)C[C@@H]1O ZINC001099764367 756926387 /nfs/dbraw/zinc/92/63/87/756926387.db2.gz UYYKZBVTAPFXMZ-KGLIPLIRSA-N 1 2 316.829 1.273 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@H+](CC(=C)Cl)C[C@@H]1O ZINC001099764367 756926391 /nfs/dbraw/zinc/92/63/91/756926391.db2.gz UYYKZBVTAPFXMZ-KGLIPLIRSA-N 1 2 316.829 1.273 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(N(C)C)cn2)C1 ZINC001016366027 756957800 /nfs/dbraw/zinc/95/78/00/756957800.db2.gz DKBCBHQKVUPFED-GFCCVEGCSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(N(C)C)cn2)C1 ZINC001016366027 756957808 /nfs/dbraw/zinc/95/78/08/756957808.db2.gz DKBCBHQKVUPFED-GFCCVEGCSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CCC(C)C)CC2=O)C1 ZINC001108506980 762511759 /nfs/dbraw/zinc/51/17/59/762511759.db2.gz FJMRUOLOARPDOH-AWEZNQCLSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C(C)C)nc3)[C@H]2C1 ZINC001083105304 757138532 /nfs/dbraw/zinc/13/85/32/757138532.db2.gz UHUBNORKXKBYAC-DLBZAZTESA-N 1 2 313.401 1.363 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C(C)C)nc3)[C@H]2C1 ZINC001083105304 757138541 /nfs/dbraw/zinc/13/85/41/757138541.db2.gz UHUBNORKXKBYAC-DLBZAZTESA-N 1 2 313.401 1.363 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C4CC4)on3)[C@H]2C1 ZINC001083155872 757159563 /nfs/dbraw/zinc/15/95/63/757159563.db2.gz QSCKPPFSNYZKMC-GOEBONIOSA-N 1 2 315.373 1.101 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C4CC4)on3)[C@H]2C1 ZINC001083155872 757159568 /nfs/dbraw/zinc/15/95/68/757159568.db2.gz QSCKPPFSNYZKMC-GOEBONIOSA-N 1 2 315.373 1.101 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@H]2CNC(=O)Cc2c[nH]c[nH+]2)cn1 ZINC001097466945 757193188 /nfs/dbraw/zinc/19/31/88/757193188.db2.gz RTIGNOQMZZUGLK-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccc(=O)[nH]c1)C2 ZINC001097558393 757245603 /nfs/dbraw/zinc/24/56/03/757245603.db2.gz FBYUDULTZZQTEH-MCIONIFRSA-N 1 2 321.808 1.804 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccc(=O)[nH]c1)C2 ZINC001097558393 757245614 /nfs/dbraw/zinc/24/56/14/757245614.db2.gz FBYUDULTZZQTEH-MCIONIFRSA-N 1 2 321.808 1.804 20 30 DDEDLO N#CCN1CCC2(C[C@H]2NC(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001016686551 757266765 /nfs/dbraw/zinc/26/67/65/757266765.db2.gz AYRBKRONCRRTOM-OAHLLOKOSA-N 1 2 309.373 1.671 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[N@H+](CC#Cc3ccc(F)cc3)C[C@H]21 ZINC001084139162 757305658 /nfs/dbraw/zinc/30/56/58/757305658.db2.gz IGKXDBMLSFQZAG-NVXWUHKLSA-N 1 2 316.376 1.356 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[N@@H+](CC#Cc3ccc(F)cc3)C[C@H]21 ZINC001084139162 757305667 /nfs/dbraw/zinc/30/56/67/757305667.db2.gz IGKXDBMLSFQZAG-NVXWUHKLSA-N 1 2 316.376 1.356 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3nnc[nH]3)[C@@H]2C1 ZINC001084264170 757452332 /nfs/dbraw/zinc/45/23/32/757452332.db2.gz MBWOLSXSDSESDV-VXGBXAGGSA-N 1 2 309.801 1.023 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3nnc[nH]3)[C@@H]2C1 ZINC001084264170 757452338 /nfs/dbraw/zinc/45/23/38/757452338.db2.gz MBWOLSXSDSESDV-VXGBXAGGSA-N 1 2 309.801 1.023 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100275959 757573831 /nfs/dbraw/zinc/57/38/31/757573831.db2.gz NPYDCIDIDRDZRC-GFCCVEGCSA-N 1 2 316.409 1.518 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCn3cc[nH+]c3)[C@@H]2C1 ZINC001084560533 757672284 /nfs/dbraw/zinc/67/22/84/757672284.db2.gz WOEFWIRATAWQMP-ZIAGYGMSSA-N 1 2 308.813 1.558 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001017179552 757693301 /nfs/dbraw/zinc/69/33/01/757693301.db2.gz KJQKXGFEZASYDM-CQSZACIVSA-N 1 2 319.453 1.996 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001017179552 757693308 /nfs/dbraw/zinc/69/33/08/757693308.db2.gz KJQKXGFEZASYDM-CQSZACIVSA-N 1 2 319.453 1.996 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cccc(=O)n2C)CC1 ZINC001001137864 762581588 /nfs/dbraw/zinc/58/15/88/762581588.db2.gz UPRDBKNRFHPYAZ-UHFFFAOYSA-N 1 2 321.808 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cccc(=O)n2C)CC1 ZINC001001137864 762581593 /nfs/dbraw/zinc/58/15/93/762581593.db2.gz UPRDBKNRFHPYAZ-UHFFFAOYSA-N 1 2 321.808 1.500 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001052866774 757903367 /nfs/dbraw/zinc/90/33/67/757903367.db2.gz JSYNGBUFCAPKJH-GJZGRUSLSA-N 1 2 318.421 1.450 20 30 DDEDLO Cn1c[nH+]cc1CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(C#N)c1 ZINC001017489753 757971165 /nfs/dbraw/zinc/97/11/65/757971165.db2.gz BHBPIXOTSJZPCI-GASCZTMLSA-N 1 2 324.388 1.109 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCO[C@H]1CC ZINC001017608031 758075383 /nfs/dbraw/zinc/07/53/83/758075383.db2.gz GUQWLAWBMSVOQW-TWMKSMIVSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCO[C@H]1CC ZINC001017608031 758075395 /nfs/dbraw/zinc/07/53/95/758075395.db2.gz GUQWLAWBMSVOQW-TWMKSMIVSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001053064247 758122009 /nfs/dbraw/zinc/12/20/09/758122009.db2.gz CWHWQXXBSWGFKA-HUUCEWRRSA-N 1 2 318.421 1.450 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)n1ccc(C)n1 ZINC001017794039 758246092 /nfs/dbraw/zinc/24/60/92/758246092.db2.gz HBBFQXCGSASEBT-HRCADAONSA-N 1 2 300.406 1.451 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)n1ccc(C)n1 ZINC001017794039 758246096 /nfs/dbraw/zinc/24/60/96/758246096.db2.gz HBBFQXCGSASEBT-HRCADAONSA-N 1 2 300.406 1.451 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1c(C)noc1C ZINC001018059400 758513996 /nfs/dbraw/zinc/51/39/96/758513996.db2.gz CXQVEGZLLDURQJ-TUKIKUTGSA-N 1 2 301.390 1.703 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1c(C)noc1C ZINC001018059400 758514004 /nfs/dbraw/zinc/51/40/04/758514004.db2.gz CXQVEGZLLDURQJ-TUKIKUTGSA-N 1 2 301.390 1.703 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCOC3(C[NH+](CCC=C)C3)C2)cn1 ZINC001053512655 758518817 /nfs/dbraw/zinc/51/88/17/758518817.db2.gz UQRGJKWPNIGRMI-UHFFFAOYSA-N 1 2 311.385 1.166 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(C)noc1C)O2 ZINC001053571393 758579039 /nfs/dbraw/zinc/57/90/39/758579039.db2.gz FKZYTKMDSPMHFF-AWEZNQCLSA-N 1 2 319.405 1.831 20 30 DDEDLO N#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC001018168798 758598811 /nfs/dbraw/zinc/59/88/11/758598811.db2.gz KLKATWPFQJWARD-GASCZTMLSA-N 1 2 322.372 1.080 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1CC(OCC)C1)O2 ZINC001053595978 758616456 /nfs/dbraw/zinc/61/64/56/758616456.db2.gz ICBAJTNPXMKDHA-SLTAFYQDSA-N 1 2 308.422 1.337 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccnn1C(C)C)O2 ZINC001053604354 758625360 /nfs/dbraw/zinc/62/53/60/758625360.db2.gz CYUWTBSQZWFSJE-CQSZACIVSA-N 1 2 318.421 1.613 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1[nH]c(C)cc1C)O2 ZINC001053618152 758637030 /nfs/dbraw/zinc/63/70/30/758637030.db2.gz QTPPGFMBTVBGKU-AWEZNQCLSA-N 1 2 303.406 1.781 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(OC)nc1)O2 ZINC001053627520 758645110 /nfs/dbraw/zinc/64/51/10/758645110.db2.gz ZOKHEAXSDYNBME-CQSZACIVSA-N 1 2 317.389 1.239 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(C)c(C)n1)O2 ZINC001053628410 758646358 /nfs/dbraw/zinc/64/63/58/758646358.db2.gz NHYXUKKSNYGWEN-HNNXBMFYSA-N 1 2 315.417 1.848 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)CN1CCOCC1 ZINC001018277995 758691183 /nfs/dbraw/zinc/69/11/83/758691183.db2.gz WPRXWTVLHAEKID-YESZJQIVSA-N 1 2 321.465 1.206 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)CN1CCOCC1 ZINC001018277995 758691190 /nfs/dbraw/zinc/69/11/90/758691190.db2.gz WPRXWTVLHAEKID-YESZJQIVSA-N 1 2 321.465 1.206 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC001065676202 758696332 /nfs/dbraw/zinc/69/63/32/758696332.db2.gz HFAMFCSITBNVGO-HZPDHXFCSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065705403 758705809 /nfs/dbraw/zinc/70/58/09/758705809.db2.gz YQKSUMSLGTXEIH-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C#CC(C)C)C2)CC1 ZINC001065699243 758715219 /nfs/dbraw/zinc/71/52/19/758715219.db2.gz JHOLASZKGYORAL-MRXNPFEDSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1c(C)noc1Cl ZINC001018326375 758732953 /nfs/dbraw/zinc/73/29/53/758732953.db2.gz HUZRBGVHILYAQR-BETUJISGSA-N 1 2 321.808 1.877 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1c(C)noc1Cl ZINC001018326375 758732958 /nfs/dbraw/zinc/73/29/58/758732958.db2.gz HUZRBGVHILYAQR-BETUJISGSA-N 1 2 321.808 1.877 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1csnc1C)CO2 ZINC001053781044 758805516 /nfs/dbraw/zinc/80/55/16/758805516.db2.gz ZTNOHLGRKRPUCU-LBPRGKRZSA-N 1 2 307.419 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CCCOCC1)CO2 ZINC001053790547 758818917 /nfs/dbraw/zinc/81/89/17/758818917.db2.gz YSJKVFLGLCFKSD-LSDHHAIUSA-N 1 2 308.422 1.339 20 30 DDEDLO Cc1nc(NC[C@H]2CN(C(=O)c3cc(C#N)c[nH]3)C[C@@H]2C)cc[nH+]1 ZINC001065838706 758848947 /nfs/dbraw/zinc/84/89/47/758848947.db2.gz JCPXJYINDWAEDQ-FZMZJTMJSA-N 1 2 324.388 1.805 20 30 DDEDLO C=CCOc1ccccc1C(=O)N[C@@H]1COC2(C[NH+](CC)C2)C1 ZINC001053849278 758880255 /nfs/dbraw/zinc/88/02/55/758880255.db2.gz GFABBIOYGNJWLT-AWEZNQCLSA-N 1 2 316.401 1.844 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(OCC)no1)CO2 ZINC001053873356 758907711 /nfs/dbraw/zinc/90/77/11/758907711.db2.gz WXIKABFBPOFPLO-GFCCVEGCSA-N 1 2 321.377 1.223 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1occc1Cl)CO2 ZINC001053917914 758952455 /nfs/dbraw/zinc/95/24/55/758952455.db2.gz XUTGPHQCOIHVHF-LLVKDONJSA-N 1 2 308.765 1.529 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1COC2(C[NH+](CC=C(C)C)C2)C1 ZINC001053931039 758967284 /nfs/dbraw/zinc/96/72/84/758967284.db2.gz MGRFDENOTOMTSS-XHSDSOJGSA-N 1 2 320.433 1.503 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]cc1C1CC1)CO2 ZINC001053970247 759005977 /nfs/dbraw/zinc/00/59/77/759005977.db2.gz ISUHBTOOOUMIHU-AWEZNQCLSA-N 1 2 313.401 1.489 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C)n(C)n1 ZINC001054035306 759089725 /nfs/dbraw/zinc/08/97/25/759089725.db2.gz JMVTZLPBILUUMO-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C)n(C)n1 ZINC001054035306 759089733 /nfs/dbraw/zinc/08/97/33/759089733.db2.gz JMVTZLPBILUUMO-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccsn1 ZINC001054044646 759102168 /nfs/dbraw/zinc/10/21/68/759102168.db2.gz AAEDSJNVUAQGRS-OAHLLOKOSA-N 1 2 311.410 1.933 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccsn1 ZINC001054044646 759102176 /nfs/dbraw/zinc/10/21/76/759102176.db2.gz AAEDSJNVUAQGRS-OAHLLOKOSA-N 1 2 311.410 1.933 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@]2(CC[N@H+](Cc3cnon3)C2)C1 ZINC001054102699 759168794 /nfs/dbraw/zinc/16/87/94/759168794.db2.gz RLOAQOJXGQTZOU-SWLSCSKDSA-N 1 2 303.366 1.044 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@]2(CC[N@@H+](Cc3cnon3)C2)C1 ZINC001054102699 759168800 /nfs/dbraw/zinc/16/88/00/759168800.db2.gz RLOAQOJXGQTZOU-SWLSCSKDSA-N 1 2 303.366 1.044 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CCCC3CC3)CC2=O)C1 ZINC001108563247 762689231 /nfs/dbraw/zinc/68/92/31/762689231.db2.gz KLYKXJVAOQFRCA-CQSZACIVSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(C)(C)CCC)CC2=O)C1 ZINC001108567734 762694480 /nfs/dbraw/zinc/69/44/80/762694480.db2.gz AHRQOKOYNPCJIP-CYBMUJFWSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@H]3C[C@@]34CCOC4)cc2C1 ZINC001054288772 759396374 /nfs/dbraw/zinc/39/63/74/759396374.db2.gz IWFQUEGJRCOTGL-IEBWSBKVSA-N 1 2 310.397 1.678 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@H]3C[C@@]34CCOC4)cc2C1 ZINC001054288772 759396384 /nfs/dbraw/zinc/39/63/84/759396384.db2.gz IWFQUEGJRCOTGL-IEBWSBKVSA-N 1 2 310.397 1.678 20 30 DDEDLO C#CC[N@H+]1CC[C@@](C)(NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001046740566 767873548 /nfs/dbraw/zinc/87/35/48/767873548.db2.gz VSCLTNLQNYISLC-YVEFUNNKSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001046740566 767873556 /nfs/dbraw/zinc/87/35/56/767873556.db2.gz VSCLTNLQNYISLC-YVEFUNNKSA-N 1 2 300.406 1.362 20 30 DDEDLO Cc1ncoc1C[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553613 759682185 /nfs/dbraw/zinc/68/21/85/759682185.db2.gz QWNLKRIHHCSSBK-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553613 759682188 /nfs/dbraw/zinc/68/21/88/759682188.db2.gz QWNLKRIHHCSSBK-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](CC)C(N)=O ZINC001085641750 759916682 /nfs/dbraw/zinc/91/66/82/759916682.db2.gz VZXKVJJJKGEMGI-KGLIPLIRSA-N 1 2 318.421 1.084 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](CC)C(N)=O ZINC001085641750 759916686 /nfs/dbraw/zinc/91/66/86/759916686.db2.gz VZXKVJJJKGEMGI-KGLIPLIRSA-N 1 2 318.421 1.084 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cncn1-c1ccccc1 ZINC001085641001 759916795 /nfs/dbraw/zinc/91/67/95/759916795.db2.gz AUCJJKVUWMAYJW-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cncn1-c1ccccc1 ZINC001085641001 759916803 /nfs/dbraw/zinc/91/68/03/759916803.db2.gz AUCJJKVUWMAYJW-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)oc2nc[nH]c(=O)c21 ZINC001085640965 759917117 /nfs/dbraw/zinc/91/71/17/759917117.db2.gz ZVXRDDQLEAFJFS-LLVKDONJSA-N 1 2 314.345 1.016 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)oc2nc[nH]c(=O)c21 ZINC001085640965 759917120 /nfs/dbraw/zinc/91/71/20/759917120.db2.gz ZVXRDDQLEAFJFS-LLVKDONJSA-N 1 2 314.345 1.016 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(C)nc1C(F)F ZINC001085645036 759924795 /nfs/dbraw/zinc/92/47/95/759924795.db2.gz GWAKLXMGWANIHQ-NSHDSACASA-N 1 2 310.348 1.527 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(C)nc1C(F)F ZINC001085645036 759924799 /nfs/dbraw/zinc/92/47/99/759924799.db2.gz GWAKLXMGWANIHQ-NSHDSACASA-N 1 2 310.348 1.527 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)no1 ZINC001085656601 759942595 /nfs/dbraw/zinc/94/25/95/759942595.db2.gz UTQFCFWBGCENQF-SECBINFHSA-N 1 2 301.268 1.473 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)no1 ZINC001085656601 759942604 /nfs/dbraw/zinc/94/26/04/759942604.db2.gz UTQFCFWBGCENQF-SECBINFHSA-N 1 2 301.268 1.473 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C)nc1C1CCC1 ZINC001085679560 759991788 /nfs/dbraw/zinc/99/17/88/759991788.db2.gz LUCVXHOLZNTPKJ-CQSZACIVSA-N 1 2 300.406 1.467 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C)nc1C1CCC1 ZINC001085679560 759991791 /nfs/dbraw/zinc/99/17/91/759991791.db2.gz LUCVXHOLZNTPKJ-CQSZACIVSA-N 1 2 300.406 1.467 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001046771558 767910429 /nfs/dbraw/zinc/91/04/29/767910429.db2.gz NKXATCWGZHAXEN-NHYWBVRUSA-N 1 2 323.828 1.243 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001046771558 767910431 /nfs/dbraw/zinc/91/04/31/767910431.db2.gz NKXATCWGZHAXEN-NHYWBVRUSA-N 1 2 323.828 1.243 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001046783334 767921959 /nfs/dbraw/zinc/92/19/59/767921959.db2.gz ANHACXRDUBDIJR-DZGCQCFKSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001046783334 767921962 /nfs/dbraw/zinc/92/19/62/767921962.db2.gz ANHACXRDUBDIJR-DZGCQCFKSA-N 1 2 313.829 1.330 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3cc(C)on3)C2)cn1 ZINC001046783934 767923106 /nfs/dbraw/zinc/92/31/06/767923106.db2.gz VJSUETQHWVLROG-SFHVURJKSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@H+](Cc3cc(C)on3)C2)cn1 ZINC001046783934 767923111 /nfs/dbraw/zinc/92/31/11/767923111.db2.gz VJSUETQHWVLROG-SFHVURJKSA-N 1 2 324.384 1.754 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1cnco1 ZINC001085748470 760150901 /nfs/dbraw/zinc/15/09/01/760150901.db2.gz IWDYZWWDHAWCJB-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1cnco1 ZINC001085748470 760150906 /nfs/dbraw/zinc/15/09/06/760150906.db2.gz IWDYZWWDHAWCJB-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H](C)[C@@H]([NH2+]Cc3nnc(C)o3)C2)cc1 ZINC001054962468 760236981 /nfs/dbraw/zinc/23/69/81/760236981.db2.gz SDPOZEKXYBZXIG-WBMJQRKESA-N 1 2 324.384 1.610 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2cccnc2)on1 ZINC001085795184 760255557 /nfs/dbraw/zinc/25/55/57/760255557.db2.gz NVNVYCIQUBZLAM-AWEZNQCLSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2cccnc2)on1 ZINC001085795184 760255560 /nfs/dbraw/zinc/25/55/60/760255560.db2.gz NVNVYCIQUBZLAM-AWEZNQCLSA-N 1 2 310.357 1.516 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2[C@H](CC)C(N)=O)CC1 ZINC001085802298 760259301 /nfs/dbraw/zinc/25/93/01/760259301.db2.gz QGFMSAYZTQQOIB-HUUCEWRRSA-N 1 2 307.438 1.529 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@H](CC)C(N)=O)CC1 ZINC001085802298 760259305 /nfs/dbraw/zinc/25/93/05/760259305.db2.gz QGFMSAYZTQQOIB-HUUCEWRRSA-N 1 2 307.438 1.529 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nnn(CC)c1CC ZINC001085814275 760291925 /nfs/dbraw/zinc/29/19/25/760291925.db2.gz UDEYKSKGFOVBKV-ZDUSSCGKSA-N 1 2 303.410 1.030 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nnn(CC)c1CC ZINC001085814275 760291929 /nfs/dbraw/zinc/29/19/29/760291929.db2.gz UDEYKSKGFOVBKV-ZDUSSCGKSA-N 1 2 303.410 1.030 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnn(C)c2OC)C1 ZINC001046801240 767936597 /nfs/dbraw/zinc/93/65/97/767936597.db2.gz DEDAKDWRPUPNSI-AWEZNQCLSA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnn(C)c2OC)C1 ZINC001046801240 767936603 /nfs/dbraw/zinc/93/66/03/767936603.db2.gz DEDAKDWRPUPNSI-AWEZNQCLSA-N 1 2 312.801 1.375 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2ccccn2)no1 ZINC001085895584 760482070 /nfs/dbraw/zinc/48/20/70/760482070.db2.gz GUFRJNFFGKYZBN-AWEZNQCLSA-N 1 2 324.384 1.906 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2ccccn2)no1 ZINC001085895584 760482072 /nfs/dbraw/zinc/48/20/72/760482072.db2.gz GUFRJNFFGKYZBN-AWEZNQCLSA-N 1 2 324.384 1.906 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@@H]2C1 ZINC001055449370 760501205 /nfs/dbraw/zinc/50/12/05/760501205.db2.gz FOASTPFDMUHPNB-OKILXGFUSA-N 1 2 316.405 1.225 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(-n2ccnc2)c1 ZINC001085973846 760635529 /nfs/dbraw/zinc/63/55/29/760635529.db2.gz HRULNPFJJCCXBZ-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnc(-n2ccnc2)c1 ZINC001085973846 760635535 /nfs/dbraw/zinc/63/55/35/760635535.db2.gz HRULNPFJJCCXBZ-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc2[nH]c(=O)n(C)c2c1 ZINC001086007187 760706798 /nfs/dbraw/zinc/70/67/98/760706798.db2.gz YHPKSDLKNQOCQM-ZDUSSCGKSA-N 1 2 312.373 1.058 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2[nH]c(=O)n(C)c2c1 ZINC001086007187 760706804 /nfs/dbraw/zinc/70/68/04/760706804.db2.gz YHPKSDLKNQOCQM-ZDUSSCGKSA-N 1 2 312.373 1.058 20 30 DDEDLO C[C@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1snc(Cl)c1C#N ZINC001098006629 760752856 /nfs/dbraw/zinc/75/28/56/760752856.db2.gz KCFNIWGVHNMTQX-SSDOTTSWSA-N 1 2 324.797 1.551 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)cc(F)c2)C1 ZINC001108236423 760803633 /nfs/dbraw/zinc/80/36/33/760803633.db2.gz LMTXIJMTCBXSMP-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)cc(F)c2)C1 ZINC001108236423 760803646 /nfs/dbraw/zinc/80/36/46/760803646.db2.gz LMTXIJMTCBXSMP-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001038265176 760959590 /nfs/dbraw/zinc/95/95/90/760959590.db2.gz CUCFUDMUGJWWTC-XHSDSOJGSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001038265176 760959597 /nfs/dbraw/zinc/95/95/97/760959597.db2.gz CUCFUDMUGJWWTC-XHSDSOJGSA-N 1 2 319.405 1.655 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn2c1cccc2OC ZINC001038314583 760998298 /nfs/dbraw/zinc/99/82/98/760998298.db2.gz MDIBFMACNPWKSK-ZDUSSCGKSA-N 1 2 312.373 1.170 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn2c1cccc2OC ZINC001038314583 760998305 /nfs/dbraw/zinc/99/83/05/760998305.db2.gz MDIBFMACNPWKSK-ZDUSSCGKSA-N 1 2 312.373 1.170 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2[C@@H]2CCN(CC)C2=O)CC1 ZINC001038665009 761265091 /nfs/dbraw/zinc/26/50/91/761265091.db2.gz CPBOJCZGQCOSLW-JKSUJKDBSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2[C@@H]2CCN(CC)C2=O)CC1 ZINC001038665009 761265096 /nfs/dbraw/zinc/26/50/96/761265096.db2.gz CPBOJCZGQCOSLW-JKSUJKDBSA-N 1 2 319.449 1.544 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001069511244 768040691 /nfs/dbraw/zinc/04/06/91/768040691.db2.gz WTTBALZTNNOFLU-OLZOCXBDSA-N 1 2 324.388 1.616 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnc(OC2CCC2)c1 ZINC001038862119 761486182 /nfs/dbraw/zinc/48/61/82/761486182.db2.gz AKGGXOKRXGANOU-HNNXBMFYSA-N 1 2 313.401 1.840 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnc(OC2CCC2)c1 ZINC001038862119 761486186 /nfs/dbraw/zinc/48/61/86/761486186.db2.gz AKGGXOKRXGANOU-HNNXBMFYSA-N 1 2 313.401 1.840 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(Cl)nc2ccccn21 ZINC001038993262 761625600 /nfs/dbraw/zinc/62/56/00/761625600.db2.gz FJRLKGBQKDMKTP-LLVKDONJSA-N 1 2 302.765 1.425 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(Cl)nc2ccccn21 ZINC001038993262 761625596 /nfs/dbraw/zinc/62/55/96/761625596.db2.gz FJRLKGBQKDMKTP-LLVKDONJSA-N 1 2 302.765 1.425 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(-c2ccccc2)n1 ZINC001039002980 761633707 /nfs/dbraw/zinc/63/37/07/761633707.db2.gz ADXNOSOGARIFAL-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(-c2ccccc2)n1 ZINC001039002980 761633709 /nfs/dbraw/zinc/63/37/09/761633709.db2.gz ADXNOSOGARIFAL-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)nc2cc(C)nn21 ZINC001039024598 761660442 /nfs/dbraw/zinc/66/04/42/761660442.db2.gz FHAYORUIUKSWAX-AWEZNQCLSA-N 1 2 311.389 1.174 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C)nc2cc(C)nn21 ZINC001039024598 761660444 /nfs/dbraw/zinc/66/04/44/761660444.db2.gz FHAYORUIUKSWAX-AWEZNQCLSA-N 1 2 311.389 1.174 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccnc1OC(C)C ZINC001039088783 761729058 /nfs/dbraw/zinc/72/90/58/761729058.db2.gz GVSKNFUWISVIEH-CQSZACIVSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccnc1OC(C)C ZINC001039088783 761729063 /nfs/dbraw/zinc/72/90/63/761729063.db2.gz GVSKNFUWISVIEH-CQSZACIVSA-N 1 2 301.390 1.696 20 30 DDEDLO CC1(C)CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001069573509 768065175 /nfs/dbraw/zinc/06/51/75/768065175.db2.gz DSXVCYANVJEGDL-HNNXBMFYSA-N 1 2 324.388 1.499 20 30 DDEDLO N#CCN1CC[C@@H](C2CCN(C(=O)CCn3cc[nH+]c3)CC2)C1 ZINC001039156619 761783764 /nfs/dbraw/zinc/78/37/64/761783764.db2.gz TZVAFWDMASJDRP-MRXNPFEDSA-N 1 2 315.421 1.357 20 30 DDEDLO N#CCN1CC[C@H]([C@@H]2CCCCN2C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001039222792 761856301 /nfs/dbraw/zinc/85/63/01/761856301.db2.gz MAWUGGQENBNPAZ-GJZGRUSLSA-N 1 2 315.421 1.569 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CCCCCC)CC2=O)C1 ZINC001108589468 762775005 /nfs/dbraw/zinc/77/50/05/762775005.db2.gz RYHWMHOEKMDJAF-CQSZACIVSA-N 1 2 307.438 1.544 20 30 DDEDLO Cc1ccc(C#N)c(N2CCCN(C(=O)Cn3cc[nH+]c3)CC2)n1 ZINC001057152223 762783899 /nfs/dbraw/zinc/78/38/99/762783899.db2.gz SMYNZPQMXLUKLD-UHFFFAOYSA-N 1 2 324.388 1.197 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001129353226 762809366 /nfs/dbraw/zinc/80/93/66/762809366.db2.gz HFQPZHZJTUEVEI-OAGGEKHMSA-N 1 2 317.437 1.325 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001129353226 762809374 /nfs/dbraw/zinc/80/93/74/762809374.db2.gz HFQPZHZJTUEVEI-OAGGEKHMSA-N 1 2 317.437 1.325 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H](C)CNc1cc[nH+]c(C)n1 ZINC001108729818 762888575 /nfs/dbraw/zinc/88/85/75/762888575.db2.gz PVRNWYILRTVEHE-WHOFXGATSA-N 1 2 304.394 1.683 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@]2(CC[N@H+](Cc3ncccn3)C2)C1 ZINC001041272414 762924036 /nfs/dbraw/zinc/92/40/36/762924036.db2.gz HSGGZXRQXONVOD-GOSISDBHSA-N 1 2 310.401 1.314 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@]2(CC[N@@H+](Cc3ncccn3)C2)C1 ZINC001041272414 762924042 /nfs/dbraw/zinc/92/40/42/762924042.db2.gz HSGGZXRQXONVOD-GOSISDBHSA-N 1 2 310.401 1.314 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@]3(CCN(C(=O)C#CC4CC4)C3)C2)n1 ZINC001041272404 762924054 /nfs/dbraw/zinc/92/40/54/762924054.db2.gz HKTHNFHYXZKTAH-QGZVFWFLSA-N 1 2 314.389 1.216 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@]3(CCN(C(=O)C#CC4CC4)C3)C2)n1 ZINC001041272404 762924058 /nfs/dbraw/zinc/92/40/58/762924058.db2.gz HKTHNFHYXZKTAH-QGZVFWFLSA-N 1 2 314.389 1.216 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001108922824 763109525 /nfs/dbraw/zinc/10/95/25/763109525.db2.gz DNJKYTZOVMDEDQ-PIGZYNQJSA-N 1 2 320.437 1.670 20 30 DDEDLO C[C@H](CN(C)c1ccc(C#N)nc1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001108930787 763136935 /nfs/dbraw/zinc/13/69/35/763136935.db2.gz CYBOIAMPGSGLBQ-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H](C)CC(C)C)CC1 ZINC001131391537 768109995 /nfs/dbraw/zinc/10/99/95/768109995.db2.gz XTBVOHJKYCGUQS-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H](C)CC(C)C)CC1 ZINC001131391537 768110001 /nfs/dbraw/zinc/11/00/01/768110001.db2.gz XTBVOHJKYCGUQS-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CN(C)c1[nH+]cnc2c1cnn2C ZINC001109108713 763345073 /nfs/dbraw/zinc/34/50/73/763345073.db2.gz CLDFZYHBNXIVDT-LBPRGKRZSA-N 1 2 316.409 1.661 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)CCn2cc[nH+]c2)C1 ZINC001050260102 763384337 /nfs/dbraw/zinc/38/43/37/763384337.db2.gz OXYUZTKQPBEVJN-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO Cc1cc(N2CC[C@H](NC(=O)Cn3cc[nH+]c3)[C@H]2C)c(C#N)cn1 ZINC001050388203 763530166 /nfs/dbraw/zinc/53/01/66/763530166.db2.gz DNDRUWUSIFLFFC-HIFRSBDPSA-N 1 2 324.388 1.242 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@H](NC(=O)Cn3cc[nH+]c3)[C@H]2C)n1 ZINC001050388076 763530369 /nfs/dbraw/zinc/53/03/69/763530369.db2.gz ALFNPPYJWIVOOK-HIFRSBDPSA-N 1 2 324.388 1.242 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@@H+](Cc3nnc(C)[nH]3)[C@H]2C1 ZINC001042184808 763750828 /nfs/dbraw/zinc/75/08/28/763750828.db2.gz ZKNRRZZKSFLLIG-KBPBESRZSA-N 1 2 317.437 1.748 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@H+](Cc3nnc(C)[nH]3)[C@H]2C1 ZINC001042184808 763750833 /nfs/dbraw/zinc/75/08/33/763750833.db2.gz ZKNRRZZKSFLLIG-KBPBESRZSA-N 1 2 317.437 1.748 20 30 DDEDLO C=CCCC(=O)N[C@@H](CNc1nc(N(C)C)nc(C)[nH+]1)C1CC1 ZINC001109789912 764058412 /nfs/dbraw/zinc/05/84/12/764058412.db2.gz JPSOJOAQVOALBC-ZDUSSCGKSA-N 1 2 318.425 1.519 20 30 DDEDLO N#Cc1ccc(NC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C2CC2)nc1 ZINC001109892010 764170382 /nfs/dbraw/zinc/17/03/82/764170382.db2.gz PSLZHIIXQOMRKD-CYBMUJFWSA-N 1 2 310.361 1.226 20 30 DDEDLO Cc1ccc(C#N)c(N2C[C@@H](C)[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC001042543022 764184411 /nfs/dbraw/zinc/18/44/11/764184411.db2.gz UHKQDUQPUDTRNM-IAQYHMDHSA-N 1 2 324.388 1.168 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001050892381 764241370 /nfs/dbraw/zinc/24/13/70/764241370.db2.gz OWXGUYLOLQIKMW-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(C)nn1 ZINC001050912193 764267155 /nfs/dbraw/zinc/26/71/55/764267155.db2.gz BBYOSVJSNYJLCS-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(C)nn1 ZINC001050912193 764267162 /nfs/dbraw/zinc/26/71/62/764267162.db2.gz BBYOSVJSNYJLCS-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(F)c(F)c1 ZINC001050954817 764344547 /nfs/dbraw/zinc/34/45/47/764344547.db2.gz GFZHYSVESKEITP-CYBMUJFWSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(F)c(F)c1 ZINC001050954817 764344552 /nfs/dbraw/zinc/34/45/52/764344552.db2.gz GFZHYSVESKEITP-CYBMUJFWSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCc1cnn2c1C[N@H+](CCC)CC2 ZINC001069876293 768207150 /nfs/dbraw/zinc/20/71/50/768207150.db2.gz RJRJJUVCYQRYAU-ZBFHGGJFSA-N 1 2 318.421 1.316 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCc1cnn2c1C[N@@H+](CCC)CC2 ZINC001069876293 768207155 /nfs/dbraw/zinc/20/71/55/768207155.db2.gz RJRJJUVCYQRYAU-ZBFHGGJFSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096150622 768207353 /nfs/dbraw/zinc/20/73/53/768207353.db2.gz UOUCILFHMQUOGP-ZFWWWQNUSA-N 1 2 318.421 1.851 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCn2nccc21 ZINC001050989910 764388309 /nfs/dbraw/zinc/38/83/09/764388309.db2.gz YZPVGJKJCVAJFB-CABCVRRESA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCn2nccc21 ZINC001050989910 764388313 /nfs/dbraw/zinc/38/83/13/764388313.db2.gz YZPVGJKJCVAJFB-CABCVRRESA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1nc(C)c(C)nc1C ZINC001051014207 764417660 /nfs/dbraw/zinc/41/76/60/764417660.db2.gz SLRGNHGLSIINGL-HNNXBMFYSA-N 1 2 318.421 1.409 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nc(C)c(C)nc1C ZINC001051014207 764417664 /nfs/dbraw/zinc/41/76/64/764417664.db2.gz SLRGNHGLSIINGL-HNNXBMFYSA-N 1 2 318.421 1.409 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001051086062 764491250 /nfs/dbraw/zinc/49/12/50/764491250.db2.gz IKMJWVFUVWNMFC-RDJZCZTQSA-N 1 2 318.392 1.859 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001051086062 764491253 /nfs/dbraw/zinc/49/12/53/764491253.db2.gz IKMJWVFUVWNMFC-RDJZCZTQSA-N 1 2 318.392 1.859 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2occc2C)[C@@H](n2ccnn2)C1 ZINC001069897001 768219500 /nfs/dbraw/zinc/21/95/00/768219500.db2.gz SVVIVCKQUDVQQH-OLZOCXBDSA-N 1 2 301.350 1.021 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2occc2C)[C@@H](n2ccnn2)C1 ZINC001069897001 768219503 /nfs/dbraw/zinc/21/95/03/768219503.db2.gz SVVIVCKQUDVQQH-OLZOCXBDSA-N 1 2 301.350 1.021 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C2CC2)n[nH]1 ZINC001051134095 764535516 /nfs/dbraw/zinc/53/55/16/764535516.db2.gz QSKWCHDYHHZPHY-AWEZNQCLSA-N 1 2 318.421 1.684 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C2CC2)n[nH]1 ZINC001051134095 764535521 /nfs/dbraw/zinc/53/55/21/764535521.db2.gz QSKWCHDYHHZPHY-AWEZNQCLSA-N 1 2 318.421 1.684 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCO[C@H]2c2ccnn2CC)C1 ZINC001042976364 764563121 /nfs/dbraw/zinc/56/31/21/764563121.db2.gz YMWQHKZZKXUALI-GDBMZVCRSA-N 1 2 318.421 1.309 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1COCC[N@@H+]1CCCF ZINC001051164664 764569395 /nfs/dbraw/zinc/56/93/95/764569395.db2.gz QWWRIEYJKJINLL-KKUMJFAQSA-N 1 2 314.401 1.144 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1COCC[N@H+]1CCCF ZINC001051164664 764569398 /nfs/dbraw/zinc/56/93/98/764569398.db2.gz QWWRIEYJKJINLL-KKUMJFAQSA-N 1 2 314.401 1.144 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnc(C)nc1C ZINC001051171891 764577111 /nfs/dbraw/zinc/57/71/11/764577111.db2.gz CSQYFJVUXNEGFC-CQSZACIVSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnc(C)nc1C ZINC001051171891 764577112 /nfs/dbraw/zinc/57/71/12/764577112.db2.gz CSQYFJVUXNEGFC-CQSZACIVSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(C(C)C)c1C ZINC001051202983 764615320 /nfs/dbraw/zinc/61/53/20/764615320.db2.gz MNTIXVGQCBJUPZ-OAHLLOKOSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(C(C)C)c1C ZINC001051202983 764615326 /nfs/dbraw/zinc/61/53/26/764615326.db2.gz MNTIXVGQCBJUPZ-OAHLLOKOSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(N(C)C)ccn1 ZINC001051267295 764690176 /nfs/dbraw/zinc/69/01/76/764690176.db2.gz ZKGPBOVNPXJBIA-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(N(C)C)ccn1 ZINC001051267295 764690183 /nfs/dbraw/zinc/69/01/83/764690183.db2.gz ZKGPBOVNPXJBIA-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[NH+]1CC(N(C)C(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001043170267 764735289 /nfs/dbraw/zinc/73/52/89/764735289.db2.gz XFYCXFZWFVITTL-UHFFFAOYSA-N 1 2 317.414 1.922 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2c(OC)cccc2OC)C1 ZINC001043237453 764785146 /nfs/dbraw/zinc/78/51/46/764785146.db2.gz OYSRHNRFXNKDPK-UHFFFAOYSA-N 1 2 318.417 1.965 20 30 DDEDLO Cn1nccc1CC[NH+]1CCN(C(=O)C#CC(C)(C)C)CC1 ZINC001112837102 764845463 /nfs/dbraw/zinc/84/54/63/764845463.db2.gz RWTDLLRLWQZXTL-UHFFFAOYSA-N 1 2 302.422 1.156 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[NH+](CCOCCCC)CC1 ZINC001112864726 764891957 /nfs/dbraw/zinc/89/19/57/764891957.db2.gz ZOQQIOFIANVSBV-SJORKVTESA-N 1 2 324.465 1.929 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCO[C@H](C[NH2+]Cc2nc(C)no2)C1 ZINC001051802516 765174616 /nfs/dbraw/zinc/17/46/16/765174616.db2.gz CPMBIQGAZCGJPJ-CJNGLKHVSA-N 1 2 322.409 1.297 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2nn(C)c3c2CCCC3)C1 ZINC001043935345 765174785 /nfs/dbraw/zinc/17/47/85/765174785.db2.gz CVESADOHKJXMRF-UHFFFAOYSA-N 1 2 302.422 1.631 20 30 DDEDLO C[C@H](NC(=O)Cn1cc[nH+]c1)[C@H](C)Nc1ncc(C#N)cc1F ZINC001113081721 765234141 /nfs/dbraw/zinc/23/41/41/765234141.db2.gz IOXLIHFBTBSHGM-QWRGUYRKSA-N 1 2 316.340 1.294 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(COC)o2)CC1 ZINC001113082578 765236168 /nfs/dbraw/zinc/23/61/68/765236168.db2.gz HODZIGUGZJONEX-UHFFFAOYSA-N 1 2 308.378 1.386 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC001051969438 765327886 /nfs/dbraw/zinc/32/78/86/765327886.db2.gz LAGXEHWNFHVERU-KRWDZBQOSA-N 1 2 305.466 1.971 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001113155649 765344262 /nfs/dbraw/zinc/34/42/62/765344262.db2.gz QTXIXBATYCJANQ-RYUDHWBXSA-N 1 2 312.377 1.533 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc(Cl)o3)C2)CC1 ZINC001051984489 765348983 /nfs/dbraw/zinc/34/89/83/765348983.db2.gz ISUJJXYHWZHEEY-CYBMUJFWSA-N 1 2 323.824 1.951 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)CC(F)(F)F)C2)CC1 ZINC001051994123 765359780 /nfs/dbraw/zinc/35/97/80/765359780.db2.gz VPNBFVCEHDEVPT-ZDUSSCGKSA-N 1 2 317.355 1.181 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)c3cc[nH]c3C)C2)CC1 ZINC001052008588 765377392 /nfs/dbraw/zinc/37/73/92/765377392.db2.gz ILUZVZBNPNJIBC-INIZCTEOSA-N 1 2 316.449 1.731 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3CC3(C)C)C2)CC1 ZINC001052013222 765382483 /nfs/dbraw/zinc/38/24/83/765382483.db2.gz TWJBTKJBJCQEMZ-HOTGVXAUSA-N 1 2 303.450 1.274 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccc3cn[nH]c32)CC1 ZINC001113179370 765383406 /nfs/dbraw/zinc/38/34/06/765383406.db2.gz NZWZBOSKZWBKQG-UHFFFAOYSA-N 1 2 314.389 1.523 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccc3c[nH]nc32)CC1 ZINC001113179370 765383416 /nfs/dbraw/zinc/38/34/16/765383416.db2.gz NZWZBOSKZWBKQG-UHFFFAOYSA-N 1 2 314.389 1.523 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001113199801 765398270 /nfs/dbraw/zinc/39/82/70/765398270.db2.gz KMLAWLPDYUAADA-OAHLLOKOSA-N 1 2 310.438 1.538 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(NC(C)=O)cc2)C1 ZINC001044222363 765406822 /nfs/dbraw/zinc/40/68/22/765406822.db2.gz GCCAVJIMJIRVIL-UHFFFAOYSA-N 1 2 301.390 1.977 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3CC34CC4)C2)CC1 ZINC001052091306 765463200 /nfs/dbraw/zinc/46/32/00/765463200.db2.gz FBIYSLBRYPALRT-CVEARBPZSA-N 1 2 303.450 1.581 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccc(F)s3)C2)CC1 ZINC001052123327 765485679 /nfs/dbraw/zinc/48/56/79/765485679.db2.gz FMAFYGNDQQTPNN-CYBMUJFWSA-N 1 2 321.421 1.353 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cncc(Cl)c2)CC1 ZINC001113429144 765685126 /nfs/dbraw/zinc/68/51/26/765685126.db2.gz MHGWZFVMNSMSRB-UHFFFAOYSA-N 1 2 309.797 1.695 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(C)cc2OC)CC1 ZINC001113564885 765868607 /nfs/dbraw/zinc/86/86/07/765868607.db2.gz HTFWSFFZVMQBHF-UHFFFAOYSA-N 1 2 318.417 1.964 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2cccs2)CC[C@H]1C ZINC001131773075 768342827 /nfs/dbraw/zinc/34/28/27/768342827.db2.gz XRVAGPROUADLKL-OLZOCXBDSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2cccs2)CC[C@H]1C ZINC001131773075 768342833 /nfs/dbraw/zinc/34/28/33/768342833.db2.gz XRVAGPROUADLKL-OLZOCXBDSA-N 1 2 319.430 1.080 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)C(=O)N1CC[NH+](CCO)CC1 ZINC001113663089 765998946 /nfs/dbraw/zinc/99/89/46/765998946.db2.gz WPFXLKGFBJTKEB-QGZVFWFLSA-N 1 2 302.418 1.558 20 30 DDEDLO COCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001131762201 768350582 /nfs/dbraw/zinc/35/05/82/768350582.db2.gz ZNEUANXFGHHDGV-IUODEOHRSA-N 1 2 319.380 1.813 20 30 DDEDLO COCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001131762201 768350590 /nfs/dbraw/zinc/35/05/90/768350590.db2.gz ZNEUANXFGHHDGV-IUODEOHRSA-N 1 2 319.380 1.813 20 30 DDEDLO Cc1cc(N2CCC[C@H](NC(=O)Cn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001058172064 766287622 /nfs/dbraw/zinc/28/76/22/766287622.db2.gz RCPKOVYIBUNUDW-HNNXBMFYSA-N 1 2 324.388 1.243 20 30 DDEDLO CN(C(=O)C(C)(C)F)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047276391 768362482 /nfs/dbraw/zinc/36/24/82/768362482.db2.gz UOPBLRBFJLHVKE-HOTGVXAUSA-N 1 2 318.392 1.290 20 30 DDEDLO CN(C(=O)C(C)(C)F)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047276391 768362489 /nfs/dbraw/zinc/36/24/89/768362489.db2.gz UOPBLRBFJLHVKE-HOTGVXAUSA-N 1 2 318.392 1.290 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncnn3C(C)(C)C)C[C@H]21 ZINC001114003557 766521231 /nfs/dbraw/zinc/52/12/31/766521231.db2.gz AQDPAAUMJCJPHG-VIKVFOODSA-N 1 2 317.437 1.546 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncnn3C(C)(C)C)C[C@H]21 ZINC001114003557 766521234 /nfs/dbraw/zinc/52/12/34/766521234.db2.gz AQDPAAUMJCJPHG-VIKVFOODSA-N 1 2 317.437 1.546 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnns1 ZINC001045735292 766545075 /nfs/dbraw/zinc/54/50/75/766545075.db2.gz MJSPELWKOMBTLC-UTUOFQBUSA-N 1 2 305.407 1.263 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnns1 ZINC001045735292 766545079 /nfs/dbraw/zinc/54/50/79/766545079.db2.gz MJSPELWKOMBTLC-UTUOFQBUSA-N 1 2 305.407 1.263 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnns1 ZINC001045774385 766574379 /nfs/dbraw/zinc/57/43/79/766574379.db2.gz NVYATBNMWSEMSF-KGLIPLIRSA-N 1 2 316.430 1.517 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnns1 ZINC001045774385 766574381 /nfs/dbraw/zinc/57/43/81/766574381.db2.gz NVYATBNMWSEMSF-KGLIPLIRSA-N 1 2 316.430 1.517 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)c3ccccc3F)CC2)C1 ZINC001045998168 766782954 /nfs/dbraw/zinc/78/29/54/766782954.db2.gz ZUTWRXFWRKIRPF-AWEZNQCLSA-N 1 2 316.380 1.181 20 30 DDEDLO C#CCOCCC(=O)NC1[C@H]2C[N@@H+](C/C(Cl)=C\Cl)C[C@@H]12 ZINC001114266273 766845308 /nfs/dbraw/zinc/84/53/08/766845308.db2.gz NJDVVUWEYVBETN-VNAVXUDHSA-N 1 2 317.216 1.392 20 30 DDEDLO C#CCOCCC(=O)NC1[C@H]2C[N@H+](C/C(Cl)=C\Cl)C[C@@H]12 ZINC001114266273 766845318 /nfs/dbraw/zinc/84/53/18/766845318.db2.gz NJDVVUWEYVBETN-VNAVXUDHSA-N 1 2 317.216 1.392 20 30 DDEDLO CC1(C)CC[C@H]1C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046056888 766865451 /nfs/dbraw/zinc/86/54/51/766865451.db2.gz YTCKLVULVUMRLC-CABCVRRESA-N 1 2 304.438 1.165 20 30 DDEDLO CC1(C)CC[C@@H]1C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046056898 766865490 /nfs/dbraw/zinc/86/54/90/766865490.db2.gz YTCKLVULVUMRLC-LSDHHAIUSA-N 1 2 304.438 1.165 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+][C@H](C)c1nc(CC)no1 ZINC001129522644 766925926 /nfs/dbraw/zinc/92/59/26/766925926.db2.gz HJHMNBFDVRWYKC-GFCCVEGCSA-N 1 2 317.393 1.700 20 30 DDEDLO CC1(C)CN(c2ccncc2C#N)C[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001068119657 766931448 /nfs/dbraw/zinc/93/14/48/766931448.db2.gz TZLYCSSTPSUEGI-CQSZACIVSA-N 1 2 324.388 1.250 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)co1 ZINC001046252661 767302839 /nfs/dbraw/zinc/30/28/39/767302839.db2.gz GDDYVRAXQUYTQK-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)co1 ZINC001046252661 767302842 /nfs/dbraw/zinc/30/28/42/767302842.db2.gz GDDYVRAXQUYTQK-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO C#CC[N@H+]1CC[C@@](C)(NC(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001046318749 767416592 /nfs/dbraw/zinc/41/65/92/767416592.db2.gz OAZOSAMHENATIY-IAQYHMDHSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001046318749 767416593 /nfs/dbraw/zinc/41/65/93/767416593.db2.gz OAZOSAMHENATIY-IAQYHMDHSA-N 1 2 307.419 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001046353658 767457257 /nfs/dbraw/zinc/45/72/57/767457257.db2.gz WFNYCWBIJSPTKS-OAHLLOKOSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001046353658 767457260 /nfs/dbraw/zinc/45/72/60/767457260.db2.gz WFNYCWBIJSPTKS-OAHLLOKOSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001046424239 767545908 /nfs/dbraw/zinc/54/59/08/767545908.db2.gz ASXGUSJEAYLLKB-INIZCTEOSA-N 1 2 321.808 1.732 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001046424239 767545912 /nfs/dbraw/zinc/54/59/12/767545912.db2.gz ASXGUSJEAYLLKB-INIZCTEOSA-N 1 2 321.808 1.732 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001046516280 767645096 /nfs/dbraw/zinc/64/50/96/767645096.db2.gz IQDIFRVALQHPOI-WFASDCNBSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001046516280 767645099 /nfs/dbraw/zinc/64/50/99/767645099.db2.gz IQDIFRVALQHPOI-WFASDCNBSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001046560771 767688957 /nfs/dbraw/zinc/68/89/57/767688957.db2.gz AFDFFXHTDWUPBP-AWEZNQCLSA-N 1 2 310.785 1.437 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001046560771 767688960 /nfs/dbraw/zinc/68/89/60/767688960.db2.gz AFDFFXHTDWUPBP-AWEZNQCLSA-N 1 2 310.785 1.437 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](C)N(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001069064678 767813061 /nfs/dbraw/zinc/81/30/61/767813061.db2.gz COZRHRUMWLLHHX-MFKMUULPSA-N 1 2 310.361 1.700 20 30 DDEDLO CCCC[C@H](C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130985230 767835715 /nfs/dbraw/zinc/83/57/15/767835715.db2.gz DVSYNEVONURIBU-RRFJBIMHSA-N 1 2 317.437 1.654 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(COC)cs2)C1 ZINC001047446564 768482920 /nfs/dbraw/zinc/48/29/20/768482920.db2.gz JFSOYOSVLAGRDG-KBPBESRZSA-N 1 2 322.430 1.035 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(COC)cs2)C1 ZINC001047446564 768482926 /nfs/dbraw/zinc/48/29/26/768482926.db2.gz JFSOYOSVLAGRDG-KBPBESRZSA-N 1 2 322.430 1.035 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2coc(C(F)F)c2)C1 ZINC001047503741 768525806 /nfs/dbraw/zinc/52/58/06/768525806.db2.gz LELKHXRXEZQQPA-RYUDHWBXSA-N 1 2 312.316 1.358 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2coc(C(F)F)c2)C1 ZINC001047503741 768525810 /nfs/dbraw/zinc/52/58/10/768525810.db2.gz LELKHXRXEZQQPA-RYUDHWBXSA-N 1 2 312.316 1.358 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2nnc[nH]2)C3)cc1 ZINC001096200268 768532058 /nfs/dbraw/zinc/53/20/58/768532058.db2.gz SXSUGZNVSURFDU-ILXRZTDVSA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1ccc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2nnc[nH]2)C3)cc1 ZINC001096200268 768532062 /nfs/dbraw/zinc/53/20/62/768532062.db2.gz SXSUGZNVSURFDU-ILXRZTDVSA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2ncn[nH]2)C3)cc1 ZINC001096200268 768532067 /nfs/dbraw/zinc/53/20/67/768532067.db2.gz SXSUGZNVSURFDU-ILXRZTDVSA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1ccc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2ncn[nH]2)C3)cc1 ZINC001096200268 768532068 /nfs/dbraw/zinc/53/20/68/768532068.db2.gz SXSUGZNVSURFDU-ILXRZTDVSA-N 1 2 322.372 1.212 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132003632 768555270 /nfs/dbraw/zinc/55/52/70/768555270.db2.gz YAVIGIJPMRIXOG-KGLIPLIRSA-N 1 2 303.410 1.088 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132003632 768555277 /nfs/dbraw/zinc/55/52/77/768555277.db2.gz YAVIGIJPMRIXOG-KGLIPLIRSA-N 1 2 303.410 1.088 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132017569 768575503 /nfs/dbraw/zinc/57/55/03/768575503.db2.gz QPXQLRJXRXKIPE-HZPDHXFCSA-N 1 2 321.465 1.531 20 30 DDEDLO CCCCNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132017569 768575506 /nfs/dbraw/zinc/57/55/06/768575506.db2.gz QPXQLRJXRXKIPE-HZPDHXFCSA-N 1 2 321.465 1.531 20 30 DDEDLO CC(C)CCCC(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132319249 768756319 /nfs/dbraw/zinc/75/63/19/768756319.db2.gz WISGISWSBXAXOE-GJZGRUSLSA-N 1 2 322.453 1.422 20 30 DDEDLO CC(C)CCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132319249 768756323 /nfs/dbraw/zinc/75/63/23/768756323.db2.gz WISGISWSBXAXOE-GJZGRUSLSA-N 1 2 322.453 1.422 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)C2CCC2)C1 ZINC001070900523 768775607 /nfs/dbraw/zinc/77/56/07/768775607.db2.gz OTXLTROMBXMBJS-ZNMIVQPWSA-N 1 2 319.405 1.078 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)C2CCC2)C1 ZINC001070900523 768775610 /nfs/dbraw/zinc/77/56/10/768775610.db2.gz OTXLTROMBXMBJS-ZNMIVQPWSA-N 1 2 319.405 1.078 20 30 DDEDLO C#CCC[N@@H+]1CCNC(=O)CCN(C(=O)c2cccs2)CC1 ZINC001070934721 768794690 /nfs/dbraw/zinc/79/46/90/768794690.db2.gz NSNLAKHVIJAQRW-UHFFFAOYSA-N 1 2 319.430 1.036 20 30 DDEDLO C#CCC[N@H+]1CCNC(=O)CCN(C(=O)c2cccs2)CC1 ZINC001070934721 768794693 /nfs/dbraw/zinc/79/46/93/768794693.db2.gz NSNLAKHVIJAQRW-UHFFFAOYSA-N 1 2 319.430 1.036 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)c2ccoc2)CC1 ZINC001070938472 768797910 /nfs/dbraw/zinc/79/79/10/768797910.db2.gz VGAOZWKLBZBYKX-UHFFFAOYSA-N 1 2 305.378 1.120 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)c2ccoc2)CC1 ZINC001070938472 768797913 /nfs/dbraw/zinc/79/79/13/768797913.db2.gz VGAOZWKLBZBYKX-UHFFFAOYSA-N 1 2 305.378 1.120 20 30 DDEDLO C#CCCCC(=O)NCC[NH+]1CCN(c2cccc(F)n2)CC1 ZINC001096282127 768940085 /nfs/dbraw/zinc/94/00/85/768940085.db2.gz YXIZSTQCGQNBOQ-UHFFFAOYSA-N 1 2 318.396 1.262 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067992287 769077485 /nfs/dbraw/zinc/07/74/85/769077485.db2.gz MPLARYVRJBQTLT-CQSZACIVSA-N 1 2 320.437 1.861 20 30 DDEDLO C#CCC[N@H+]1CCN([C@H]2CCCN(C(=O)[C@H](C)CC)C2)C(=O)C1 ZINC001071257162 769202638 /nfs/dbraw/zinc/20/26/38/769202638.db2.gz SYECMGCYXXLYGZ-CVEARBPZSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@H]2CCCN(C(=O)[C@H](C)CC)C2)C(=O)C1 ZINC001071257162 769202645 /nfs/dbraw/zinc/20/26/45/769202645.db2.gz SYECMGCYXXLYGZ-CVEARBPZSA-N 1 2 319.449 1.191 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001096370194 769446071 /nfs/dbraw/zinc/44/60/71/769446071.db2.gz NEFSYIPYENOSMI-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2cn3cc(C)nc3s2)CC[C@@H]1C ZINC001071568773 769642235 /nfs/dbraw/zinc/64/22/35/769642235.db2.gz SQALKHYHUTWMFE-QWHCGFSZSA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2cn3cc(C)nc3s2)CC[C@@H]1C ZINC001071568773 769642236 /nfs/dbraw/zinc/64/22/36/769642236.db2.gz SQALKHYHUTWMFE-QWHCGFSZSA-N 1 2 316.430 1.920 20 30 DDEDLO C=C1CCC(C(=O)NCC[NH2+]Cc2nc(COC)no2)CC1 ZINC001133368959 769752980 /nfs/dbraw/zinc/75/29/80/769752980.db2.gz JZEFTQQMAZEYQX-UHFFFAOYSA-N 1 2 308.382 1.168 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nnc(C3CC3)o2)CCC1 ZINC001133392440 769773478 /nfs/dbraw/zinc/77/34/78/769773478.db2.gz XDOFWSMMMKQIHW-UHFFFAOYSA-N 1 2 304.394 1.899 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(N)=O)s2)CC[C@H]1C ZINC001071893013 770239432 /nfs/dbraw/zinc/23/94/32/770239432.db2.gz KDSKHBGUKYAZJK-MNOVXSKESA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(N)=O)s2)CC[C@H]1C ZINC001071893013 770239439 /nfs/dbraw/zinc/23/94/39/770239439.db2.gz KDSKHBGUKYAZJK-MNOVXSKESA-N 1 2 305.403 1.063 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)COC ZINC001049260971 770618618 /nfs/dbraw/zinc/61/86/18/770618618.db2.gz QDKDKRMZYYAUDE-RYUDHWBXSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)COC ZINC001049260971 770618621 /nfs/dbraw/zinc/61/86/21/770618621.db2.gz QDKDKRMZYYAUDE-RYUDHWBXSA-N 1 2 317.227 1.607 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001072504481 770996232 /nfs/dbraw/zinc/99/62/32/770996232.db2.gz JXNISNATLQYFNW-ZIAGYGMSSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1n[nH]cc1F ZINC001049698029 771094680 /nfs/dbraw/zinc/09/46/80/771094680.db2.gz BCUYLCYIEHOBMC-NEPJUHHUSA-N 1 2 312.776 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1n[nH]cc1F ZINC001049698029 771094685 /nfs/dbraw/zinc/09/46/85/771094685.db2.gz BCUYLCYIEHOBMC-NEPJUHHUSA-N 1 2 312.776 1.980 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@H]1C[C@H](Nc2ncccc2C#N)C1 ZINC001097052212 771599003 /nfs/dbraw/zinc/59/90/03/771599003.db2.gz GIXFSBSYLRXWHH-HDJSIYSDSA-N 1 2 324.388 1.379 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](Cc2ccn(C)n2)CCCO1 ZINC001149342535 772294311 /nfs/dbraw/zinc/29/43/11/772294311.db2.gz XMPFHWONAKPGLP-HNNXBMFYSA-N 1 2 306.410 1.093 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](Cc2ccn(C)n2)CCCO1 ZINC001149342535 772294313 /nfs/dbraw/zinc/29/43/13/772294313.db2.gz XMPFHWONAKPGLP-HNNXBMFYSA-N 1 2 306.410 1.093 20 30 DDEDLO C[NH+](C)Cc1nc(CSCCNC(=O)CCS)cs1 ZINC001143985458 772360678 /nfs/dbraw/zinc/36/06/78/772360678.db2.gz AMHQTQCRDIZIBQ-UHFFFAOYSA-N 1 2 319.521 1.874 20 30 DDEDLO CCn1cc2c(n1)C[N@@H+](Cc1cncc(C#N)c1)C[C@H]2COC ZINC001144075653 772392871 /nfs/dbraw/zinc/39/28/71/772392871.db2.gz RYFSPHUSHJGXRE-HNNXBMFYSA-N 1 2 311.389 1.915 20 30 DDEDLO CCn1cc2c(n1)C[N@H+](Cc1cncc(C#N)c1)C[C@H]2COC ZINC001144075653 772392872 /nfs/dbraw/zinc/39/28/72/772392872.db2.gz RYFSPHUSHJGXRE-HNNXBMFYSA-N 1 2 311.389 1.915 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2cc(C)n(C)n2)CCCO1 ZINC001149363678 772466951 /nfs/dbraw/zinc/46/69/51/772466951.db2.gz ZOEMFRORLCELOX-MRXNPFEDSA-N 1 2 320.437 1.402 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2cc(C)n(C)n2)CCCO1 ZINC001149363678 772466953 /nfs/dbraw/zinc/46/69/53/772466953.db2.gz ZOEMFRORLCELOX-MRXNPFEDSA-N 1 2 320.437 1.402 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001091463246 772771503 /nfs/dbraw/zinc/77/15/03/772771503.db2.gz BIFDJPZTTBBUBI-MQMHXKEQSA-N 1 2 310.361 1.157 20 30 DDEDLO C[C@H](C[C@@H](C)NC(=O)C[N@@H+]1CCc2sccc2C1)NCC#N ZINC001146563920 772895766 /nfs/dbraw/zinc/89/57/66/772895766.db2.gz ZZFFRBRNNNVKGT-CHWSQXEVSA-N 1 2 320.462 1.503 20 30 DDEDLO C[C@H](C[C@@H](C)NC(=O)C[N@H+]1CCc2sccc2C1)NCC#N ZINC001146563920 772895770 /nfs/dbraw/zinc/89/57/70/772895770.db2.gz ZZFFRBRNNNVKGT-CHWSQXEVSA-N 1 2 320.462 1.503 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nnc(C)o1)C2 ZINC001147255993 773081395 /nfs/dbraw/zinc/08/13/95/773081395.db2.gz GPLNXYIRJLVXLQ-LBPRGKRZSA-N 1 2 320.393 1.176 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nnc(C)o1)C2 ZINC001147255993 773081399 /nfs/dbraw/zinc/08/13/99/773081399.db2.gz GPLNXYIRJLVXLQ-LBPRGKRZSA-N 1 2 320.393 1.176 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001073806138 773429400 /nfs/dbraw/zinc/42/94/00/773429400.db2.gz QQXUVCRPIFEKML-JSGCOSHPSA-N 1 2 318.421 1.580 20 30 DDEDLO CCn1ccc(C[N@H+](C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)n1 ZINC001073974022 773567487 /nfs/dbraw/zinc/56/74/87/773567487.db2.gz NOASXQZVHFAKFN-GDBMZVCRSA-N 1 2 317.437 1.876 20 30 DDEDLO CCn1ccc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)n1 ZINC001073974022 773567489 /nfs/dbraw/zinc/56/74/89/773567489.db2.gz NOASXQZVHFAKFN-GDBMZVCRSA-N 1 2 317.437 1.876 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)on3)C[C@@H]21 ZINC001074151384 773676880 /nfs/dbraw/zinc/67/68/80/773676880.db2.gz LQEPTCVOFTXODF-LSDHHAIUSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)on3)C[C@@H]21 ZINC001074151384 773676883 /nfs/dbraw/zinc/67/68/83/773676883.db2.gz LQEPTCVOFTXODF-LSDHHAIUSA-N 1 2 305.378 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3CCCC3)C[C@@H]21 ZINC001074154864 773679567 /nfs/dbraw/zinc/67/95/67/773679567.db2.gz LHCWZJONJKZHAI-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3CCCC3)C[C@@H]21 ZINC001074154864 773679570 /nfs/dbraw/zinc/67/95/70/773679570.db2.gz LHCWZJONJKZHAI-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(F)c3)C[C@@H]21 ZINC001074159101 773681462 /nfs/dbraw/zinc/68/14/62/773681462.db2.gz ZAQOKVFKRWIMKG-DLBZAZTESA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(F)c3)C[C@@H]21 ZINC001074159101 773681465 /nfs/dbraw/zinc/68/14/65/773681465.db2.gz ZAQOKVFKRWIMKG-DLBZAZTESA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)oc3C)C[C@H]21 ZINC001074162786 773685017 /nfs/dbraw/zinc/68/50/17/773685017.db2.gz DREJMBKJHCYIJL-IAGOWNOFSA-N 1 2 316.401 1.835 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)oc3C)C[C@H]21 ZINC001074162786 773685022 /nfs/dbraw/zinc/68/50/22/773685022.db2.gz DREJMBKJHCYIJL-IAGOWNOFSA-N 1 2 316.401 1.835 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@@H]21 ZINC001074195571 773719235 /nfs/dbraw/zinc/71/92/35/773719235.db2.gz WSMBIPPJBVSWBW-KBPBESRZSA-N 1 2 308.422 1.479 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@@H]21 ZINC001074195571 773719241 /nfs/dbraw/zinc/71/92/41/773719241.db2.gz WSMBIPPJBVSWBW-KBPBESRZSA-N 1 2 308.422 1.479 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3coc(C)n3)C[C@@H]21 ZINC001074222504 773747236 /nfs/dbraw/zinc/74/72/36/773747236.db2.gz IPNHHUBPLWGPCY-GJZGRUSLSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3coc(C)n3)C[C@@H]21 ZINC001074222504 773747239 /nfs/dbraw/zinc/74/72/39/773747239.db2.gz IPNHHUBPLWGPCY-GJZGRUSLSA-N 1 2 305.378 1.474 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1cccc(F)c1C#N ZINC001098344447 773787702 /nfs/dbraw/zinc/78/77/02/773787702.db2.gz MYSQZFYPROHLPM-NSHDSACASA-N 1 2 315.352 1.970 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1cccc(F)c1C#N ZINC001098344447 773787707 /nfs/dbraw/zinc/78/77/07/773787707.db2.gz MYSQZFYPROHLPM-NSHDSACASA-N 1 2 315.352 1.970 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3coc(OC)n3)C[C@@H]21 ZINC001074304661 773808597 /nfs/dbraw/zinc/80/85/97/773808597.db2.gz OLUVXOGMVPJGIW-KBPBESRZSA-N 1 2 321.377 1.175 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3coc(OC)n3)C[C@@H]21 ZINC001074304661 773808605 /nfs/dbraw/zinc/80/86/05/773808605.db2.gz OLUVXOGMVPJGIW-KBPBESRZSA-N 1 2 321.377 1.175 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCCC3)C[C@H]21 ZINC001074308258 773811507 /nfs/dbraw/zinc/81/15/07/773811507.db2.gz WHJZXDVWGCYUGY-CVEARBPZSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCCC3)C[C@H]21 ZINC001074308258 773811512 /nfs/dbraw/zinc/81/15/12/773811512.db2.gz WHJZXDVWGCYUGY-CVEARBPZSA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3csnn3)C[C@H]21 ZINC001074309467 773812638 /nfs/dbraw/zinc/81/26/38/773812638.db2.gz FKHYZEJMMQJXTC-OLZOCXBDSA-N 1 2 308.407 1.030 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3csnn3)C[C@H]21 ZINC001074309467 773812642 /nfs/dbraw/zinc/81/26/42/773812642.db2.gz FKHYZEJMMQJXTC-OLZOCXBDSA-N 1 2 308.407 1.030 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccc[nH]3)C[C@H]21 ZINC001074413370 773908372 /nfs/dbraw/zinc/90/83/72/773908372.db2.gz SEANJYGPGGQWIX-CVEARBPZSA-N 1 2 303.406 1.435 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccc[nH]3)C[C@H]21 ZINC001074413370 773908373 /nfs/dbraw/zinc/90/83/73/773908373.db2.gz SEANJYGPGGQWIX-CVEARBPZSA-N 1 2 303.406 1.435 20 30 DDEDLO N#Cc1ccc(NC2CC(CNC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001092268552 774035984 /nfs/dbraw/zinc/03/59/84/774035984.db2.gz BUVZSYSRFCPPDK-UHFFFAOYSA-N 1 2 310.361 1.226 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]cc1C)c1nccn12 ZINC001092412215 774124640 /nfs/dbraw/zinc/12/46/40/774124640.db2.gz BVSUBSKDFRHBTC-CQSZACIVSA-N 1 2 311.389 1.591 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001075017203 774301054 /nfs/dbraw/zinc/30/10/54/774301054.db2.gz LMFJGXXCYLIMPJ-GJZGRUSLSA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001075087147 774341543 /nfs/dbraw/zinc/34/15/43/774341543.db2.gz WUPOVKLJLRBSRL-GJZGRUSLSA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001075142657 774379421 /nfs/dbraw/zinc/37/94/21/774379421.db2.gz VDEQJJRELMHKGL-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CCCCCC)C2)nn1 ZINC001098779592 774672111 /nfs/dbraw/zinc/67/21/11/774672111.db2.gz IGPXVZAWOQZJJE-MRXNPFEDSA-N 1 2 317.437 1.745 20 30 DDEDLO C=CCC[NH+]1CC(OC2CCN(C(=O)c3cnc(C)[nH]3)CC2)C1 ZINC001093517421 774768173 /nfs/dbraw/zinc/76/81/73/774768173.db2.gz LYTTYDRQUVEVPP-UHFFFAOYSA-N 1 2 318.421 1.600 20 30 DDEDLO Cc1cc(NCCNC(=O)c2ccc(C#N)[nH]2)nc(C2CC2)[nH+]1 ZINC001093588235 774868950 /nfs/dbraw/zinc/86/89/50/774868950.db2.gz UUMJJMJLZNJNLD-UHFFFAOYSA-N 1 2 310.361 1.704 20 30 DDEDLO CC[NH2+][C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C(F)(F)F ZINC001099254240 774918701 /nfs/dbraw/zinc/91/87/01/774918701.db2.gz GWARUEBATIXERT-QYNIQEEDSA-N 1 2 310.213 1.886 20 30 DDEDLO CC[NH2+][C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1C(F)(F)F ZINC001099254240 774918704 /nfs/dbraw/zinc/91/87/04/774918704.db2.gz GWARUEBATIXERT-QYNIQEEDSA-N 1 2 310.213 1.886 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(CCF)CC2)[C@@H](O)C1 ZINC001099931403 775329936 /nfs/dbraw/zinc/32/99/36/775329936.db2.gz QIEPMPVPVKLSQC-NEPJUHHUSA-N 1 2 304.793 1.430 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(CCF)CC2)[C@@H](O)C1 ZINC001099931403 775329947 /nfs/dbraw/zinc/32/99/47/775329947.db2.gz QIEPMPVPVKLSQC-NEPJUHHUSA-N 1 2 304.793 1.430 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094031088 775356817 /nfs/dbraw/zinc/35/68/17/775356817.db2.gz JEUWPZDPYBTQRF-UHFFFAOYSA-N 1 2 306.414 1.376 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099945147 775456397 /nfs/dbraw/zinc/45/63/97/775456397.db2.gz VPIGCMLWJFJCNN-CABCVRRESA-N 1 2 321.396 1.484 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099945147 775456403 /nfs/dbraw/zinc/45/64/03/775456403.db2.gz VPIGCMLWJFJCNN-CABCVRRESA-N 1 2 321.396 1.484 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099975769 775507667 /nfs/dbraw/zinc/50/76/67/775507667.db2.gz GOFPWLYUPRASDR-CVEARBPZSA-N 1 2 321.396 1.628 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099975769 775507675 /nfs/dbraw/zinc/50/76/75/775507675.db2.gz GOFPWLYUPRASDR-CVEARBPZSA-N 1 2 321.396 1.628 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cc[nH]c3CC)nn2)C1 ZINC001094289326 775690384 /nfs/dbraw/zinc/69/03/84/775690384.db2.gz DYKPUMRPDDFJLC-UHFFFAOYSA-N 1 2 314.393 1.141 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)c3ccoc3C)CC2=O)C1 ZINC001094701690 776212340 /nfs/dbraw/zinc/21/23/40/776212340.db2.gz RLZBMBOIFKNRHW-CYBMUJFWSA-N 1 2 317.389 1.179 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1CCCN1c1ccc(C#N)nc1 ZINC001100856193 776637427 /nfs/dbraw/zinc/63/74/27/776637427.db2.gz XEENIHILFJSAAP-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+]([C@@H](C)Cc2ccc(C#N)cc2)CC1 ZINC001172311551 776745779 /nfs/dbraw/zinc/74/57/79/776745779.db2.gz UKNHVDORHMAONQ-AWEZNQCLSA-N 1 2 321.446 1.457 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCCNc2ccc(C#N)nn2)c(C)[nH+]1 ZINC001094912830 776785638 /nfs/dbraw/zinc/78/56/38/776785638.db2.gz DZXRMPIKJMINNX-UHFFFAOYSA-N 1 2 324.388 1.901 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](CNC(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001101033623 776842387 /nfs/dbraw/zinc/84/23/87/776842387.db2.gz BVKRSWRLUDUQDV-CQSZACIVSA-N 1 2 324.388 1.183 20 30 DDEDLO C=CCCOCC(=O)NCC1CC([NH2+][C@@H](C)c2noc(C)n2)C1 ZINC001101055318 776863692 /nfs/dbraw/zinc/86/36/92/776863692.db2.gz SZKMLCQFALBIJW-XGNXJENSSA-N 1 2 322.409 1.516 20 30 DDEDLO CCCN(CCNC(=O)Cc1[nH]cc[nH+]1)c1ccc(C#N)nc1 ZINC001101172392 776964403 /nfs/dbraw/zinc/96/44/03/776964403.db2.gz HZHLIMWYNLPGFR-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CC(C)(C)OC(=O)[C@H](CC(N)=O)[NH2+]C1CCC(CC#N)CC1 ZINC001173328294 777113185 /nfs/dbraw/zinc/11/31/85/777113185.db2.gz WHCJFTIXXZVTRE-BPCQOVAHSA-N 1 2 309.410 1.634 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)NC1CCC(C)(C#N)CC1 ZINC001173590899 777272398 /nfs/dbraw/zinc/27/23/98/777272398.db2.gz QAFOCYMHCFKINW-CXOJTPOUSA-N 1 2 304.394 1.566 20 30 DDEDLO COC(=O)[C@@H](Cc1cncn1C)[NH2+]C1CCC(C)(C#N)CC1 ZINC001173590899 777272402 /nfs/dbraw/zinc/27/24/02/777272402.db2.gz QAFOCYMHCFKINW-CXOJTPOUSA-N 1 2 304.394 1.566 20 30 DDEDLO CCOC(=O)C[C@H]1CC[C@@H]([NH2+][C@@H](CS)C(=O)OCC)C1 ZINC001173624647 777282428 /nfs/dbraw/zinc/28/24/28/777282428.db2.gz ANFXINWFBVFSID-TUAOUCFPSA-N 1 2 303.424 1.559 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)CC(C)C)c1nccn12 ZINC001101606137 777296447 /nfs/dbraw/zinc/29/64/47/777296447.db2.gz PNEWMZUYNUZZMG-CQSZACIVSA-N 1 2 300.406 1.524 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CC[C@H](C)OC)c1nccn12 ZINC001101623511 777317337 /nfs/dbraw/zinc/31/73/37/777317337.db2.gz DYPIHWFCNATYNI-UONOGXRCSA-N 1 2 318.421 1.456 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](CCC)OC)c1nccn12 ZINC001101635362 777332544 /nfs/dbraw/zinc/33/25/44/777332544.db2.gz HIGBXLYQQLQXKG-KGLIPLIRSA-N 1 2 318.421 1.456 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)CCCn1cc[nH+]c1 ZINC001101662936 777362188 /nfs/dbraw/zinc/36/21/88/777362188.db2.gz JEBOWXFOBYFKNC-UHFFFAOYSA-N 1 2 312.377 1.500 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101928835 777691346 /nfs/dbraw/zinc/69/13/46/777691346.db2.gz DUEBRQKBGVVFJR-MRXNPFEDSA-N 1 2 320.441 1.719 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@H]21 ZINC001176949594 778342676 /nfs/dbraw/zinc/34/26/76/778342676.db2.gz QABJKDFYGWWUCA-CABCVRRESA-N 1 2 322.449 1.870 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@H]21 ZINC001176949594 778342684 /nfs/dbraw/zinc/34/26/84/778342684.db2.gz QABJKDFYGWWUCA-CABCVRRESA-N 1 2 322.449 1.870 20 30 DDEDLO N#CCNCCCN(CC1CCCC1)C(=O)Cc1c[nH+]c[nH]1 ZINC001177431301 778606712 /nfs/dbraw/zinc/60/67/12/778606712.db2.gz RYIYFYPDEQBMJU-UHFFFAOYSA-N 1 2 303.410 1.474 20 30 DDEDLO C#CCCCC(=O)NC[C@H](CC)Nc1[nH+]cnc2c1cnn2C ZINC001103071948 778618889 /nfs/dbraw/zinc/61/88/89/778618889.db2.gz HHZKQPCBSXVSLP-LBPRGKRZSA-N 1 2 314.393 1.474 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)C#Cc1ccc(F)cc1F ZINC001178573382 779107350 /nfs/dbraw/zinc/10/73/50/779107350.db2.gz FXQFZXDJTOXLLF-UHFFFAOYSA-N 1 2 319.311 1.476 20 30 DDEDLO Cc1nc(NC[C@@H](C)CNC(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001103898514 779147467 /nfs/dbraw/zinc/14/74/67/779147467.db2.gz NNAZFXIZOMZAEQ-VXGBXAGGSA-N 1 2 315.421 1.988 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnn(C)n1)C2 ZINC001111648755 779416593 /nfs/dbraw/zinc/41/65/93/779416593.db2.gz MTDSVTPVEMAAAW-BBRMVZONSA-N 1 2 303.410 1.252 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnn(C)n1)C2 ZINC001111648755 779416594 /nfs/dbraw/zinc/41/65/94/779416594.db2.gz MTDSVTPVEMAAAW-BBRMVZONSA-N 1 2 303.410 1.252 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)C[C@@H](C)C1CCCCC1 ZINC001267221751 837557780 /nfs/dbraw/zinc/55/77/80/837557780.db2.gz VUVXMBGSKRZUOR-OAHLLOKOSA-N 1 2 321.465 1.390 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)C[C@@H](C)C1CCCCC1 ZINC001267221751 837557788 /nfs/dbraw/zinc/55/77/88/837557788.db2.gz VUVXMBGSKRZUOR-OAHLLOKOSA-N 1 2 321.465 1.390 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1nccn1C ZINC001267264583 837697736 /nfs/dbraw/zinc/69/77/36/837697736.db2.gz VBPZCFKMLPPRNL-HNNXBMFYSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1nccn1C ZINC001267264583 837697740 /nfs/dbraw/zinc/69/77/40/837697740.db2.gz VBPZCFKMLPPRNL-HNNXBMFYSA-N 1 2 302.422 1.694 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C)ncn2)C1 ZINC001266252249 835989624 /nfs/dbraw/zinc/98/96/24/835989624.db2.gz QAOWEPRDEPPFTH-OAHLLOKOSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(C)ncn2)C1 ZINC001266252249 835989637 /nfs/dbraw/zinc/98/96/37/835989637.db2.gz QAOWEPRDEPPFTH-OAHLLOKOSA-N 1 2 318.421 1.458 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](C)[C@@H](C)c1ncc(C)o1 ZINC001282391726 836061039 /nfs/dbraw/zinc/06/10/39/836061039.db2.gz RCSLWHATMPZTIK-OCCSQVGLSA-N 1 2 307.394 1.520 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](C)[C@@H](C)c1ncc(C)o1 ZINC001282391726 836061051 /nfs/dbraw/zinc/06/10/51/836061051.db2.gz RCSLWHATMPZTIK-OCCSQVGLSA-N 1 2 307.394 1.520 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+](C)CC(=O)NCC(C)(C)C)CCC1 ZINC001266321464 836116324 /nfs/dbraw/zinc/11/63/24/836116324.db2.gz TVQQFNDFGJDCFN-UHFFFAOYSA-N 1 2 323.481 1.943 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+](C)CC(=O)NCC(C)(C)C)CCC1 ZINC001266321464 836116328 /nfs/dbraw/zinc/11/63/28/836116328.db2.gz TVQQFNDFGJDCFN-UHFFFAOYSA-N 1 2 323.481 1.943 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCC[N@@H+](C)Cc1cnoc1C ZINC001266332259 836130194 /nfs/dbraw/zinc/13/01/94/836130194.db2.gz IRFOKUFLBXXLAK-HNNXBMFYSA-N 1 2 322.409 1.002 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCC[N@H+](C)Cc1cnoc1C ZINC001266332259 836130199 /nfs/dbraw/zinc/13/01/99/836130199.db2.gz IRFOKUFLBXXLAK-HNNXBMFYSA-N 1 2 322.409 1.002 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@H+]1Cc1cncc(OC)n1 ZINC001266460708 836291422 /nfs/dbraw/zinc/29/14/22/836291422.db2.gz BPSBRRSFLJROND-OAHLLOKOSA-N 1 2 316.405 1.369 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1cncc(OC)n1 ZINC001266460708 836291431 /nfs/dbraw/zinc/29/14/31/836291431.db2.gz BPSBRRSFLJROND-OAHLLOKOSA-N 1 2 316.405 1.369 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCC[N@H+]1[C@H](C)c1nncn1C ZINC001266465122 836298524 /nfs/dbraw/zinc/29/85/24/836298524.db2.gz OVOJAGIYKUTQCZ-KGLIPLIRSA-N 1 2 305.426 1.813 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCC[N@@H+]1[C@H](C)c1nncn1C ZINC001266465122 836298535 /nfs/dbraw/zinc/29/85/35/836298535.db2.gz OVOJAGIYKUTQCZ-KGLIPLIRSA-N 1 2 305.426 1.813 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@H+]1Cc1ncc(C)o1 ZINC001266465236 836300108 /nfs/dbraw/zinc/30/01/08/836300108.db2.gz RGDRWNKIXOTEID-CQSZACIVSA-N 1 2 305.378 1.104 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1ncc(C)o1 ZINC001266465236 836300123 /nfs/dbraw/zinc/30/01/23/836300123.db2.gz RGDRWNKIXOTEID-CQSZACIVSA-N 1 2 305.378 1.104 20 30 DDEDLO CCCC[C@@H](C)C(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001266840679 836911186 /nfs/dbraw/zinc/91/11/86/836911186.db2.gz YZZLCCAEPMRRDE-CABCVRRESA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC[C@@H](C)C(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001266840679 836911191 /nfs/dbraw/zinc/91/11/91/836911191.db2.gz YZZLCCAEPMRRDE-CABCVRRESA-N 1 2 322.453 1.423 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CCCC[N@H+]1Cc1cnnn1C ZINC001266841790 836914540 /nfs/dbraw/zinc/91/45/40/836914540.db2.gz CIFOWTFUCJFPIT-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CCCC[N@@H+]1Cc1cnnn1C ZINC001266841790 836914549 /nfs/dbraw/zinc/91/45/49/836914549.db2.gz CIFOWTFUCJFPIT-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([N@@H+](C)Cc2nccn2C)C1 ZINC001267104344 837352517 /nfs/dbraw/zinc/35/25/17/837352517.db2.gz APUWGZPSRWPANN-HNNXBMFYSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([N@H+](C)Cc2nccn2C)C1 ZINC001267104344 837352526 /nfs/dbraw/zinc/35/25/26/837352526.db2.gz APUWGZPSRWPANN-HNNXBMFYSA-N 1 2 302.422 1.646 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@H]2CCC[C@@H](OC)C2)CC1 ZINC001267612361 838462912 /nfs/dbraw/zinc/46/29/12/838462912.db2.gz GYJLBCJVLSWYJH-DLBZAZTESA-N 1 2 323.481 1.454 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CCC[N@@H+](Cc2cnnn2CC)C1 ZINC001267616887 838490309 /nfs/dbraw/zinc/49/03/09/838490309.db2.gz FUFWRLRUTPCQRW-PBHICJAKSA-N 1 2 319.453 1.981 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CCC[N@H+](Cc2cnnn2CC)C1 ZINC001267616887 838490326 /nfs/dbraw/zinc/49/03/26/838490326.db2.gz FUFWRLRUTPCQRW-PBHICJAKSA-N 1 2 319.453 1.981 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@H](C)c2ccco2)CC1 ZINC001267617580 838495712 /nfs/dbraw/zinc/49/57/12/838495712.db2.gz DMJXHWDIXPNOLH-INIZCTEOSA-N 1 2 317.433 1.482 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@H]1CCCCC1(C)C ZINC001267618676 838504993 /nfs/dbraw/zinc/50/49/93/838504993.db2.gz QNJIEDCPQJVWRS-MRXNPFEDSA-N 1 2 320.481 1.802 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2snnc2C)C1 ZINC001267620506 838509454 /nfs/dbraw/zinc/50/94/54/838509454.db2.gz DVQVTXMNZZQFKO-CYBMUJFWSA-N 1 2 324.450 1.520 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@H+](Cc2snnc2C)C1 ZINC001267620506 838509457 /nfs/dbraw/zinc/50/94/57/838509457.db2.gz DVQVTXMNZZQFKO-CYBMUJFWSA-N 1 2 324.450 1.520 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2ccsc2C)C1 ZINC001267624652 838520341 /nfs/dbraw/zinc/52/03/41/838520341.db2.gz JCPXGPVYSJXKLR-ZDUSSCGKSA-N 1 2 319.430 1.000 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2ccsc2C)C1 ZINC001267624652 838520350 /nfs/dbraw/zinc/52/03/50/838520350.db2.gz JCPXGPVYSJXKLR-ZDUSSCGKSA-N 1 2 319.430 1.000 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(OC)no2)C1 ZINC001267629100 838528083 /nfs/dbraw/zinc/52/80/83/838528083.db2.gz MWANPNDAIDIJTC-AWEZNQCLSA-N 1 2 319.405 1.957 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2cc(OC)no2)C1 ZINC001267629100 838528091 /nfs/dbraw/zinc/52/80/91/838528091.db2.gz MWANPNDAIDIJTC-AWEZNQCLSA-N 1 2 319.405 1.957 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)COc2ccc3c(c2)CCC3)C1 ZINC001267669023 838613069 /nfs/dbraw/zinc/61/30/69/838613069.db2.gz USLGPGCQLVLOBN-UHFFFAOYSA-N 1 2 300.402 1.931 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](C)C(=O)NCCCC ZINC001267705981 838683817 /nfs/dbraw/zinc/68/38/17/838683817.db2.gz VUXUSSZSYRCQBW-JYJNAYRXSA-N 1 2 321.465 1.933 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](C)C(=O)NCCCC ZINC001267705981 838683819 /nfs/dbraw/zinc/68/38/19/838683819.db2.gz VUXUSSZSYRCQBW-JYJNAYRXSA-N 1 2 321.465 1.933 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCC(C)(F)F ZINC001267709689 838695537 /nfs/dbraw/zinc/69/55/37/838695537.db2.gz UPYSORIFLHJRKA-STQMWFEESA-N 1 2 300.349 1.357 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCC(C)(F)F ZINC001267709689 838695544 /nfs/dbraw/zinc/69/55/44/838695544.db2.gz UPYSORIFLHJRKA-STQMWFEESA-N 1 2 300.349 1.357 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)c2ccccc2F)C1 ZINC001267717663 838719512 /nfs/dbraw/zinc/71/95/12/838719512.db2.gz FEZGHUQLKZHRLL-STQMWFEESA-N 1 2 319.380 1.321 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)c2ccccc2F)C1 ZINC001267717663 838719514 /nfs/dbraw/zinc/71/95/14/838719514.db2.gz FEZGHUQLKZHRLL-STQMWFEESA-N 1 2 319.380 1.321 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001267741181 838814051 /nfs/dbraw/zinc/81/40/51/838814051.db2.gz MRWNXUGBEXSDIX-QGZVFWFLSA-N 1 2 323.396 1.671 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001267741181 838814062 /nfs/dbraw/zinc/81/40/62/838814062.db2.gz MRWNXUGBEXSDIX-QGZVFWFLSA-N 1 2 323.396 1.671 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CC[N@H+](Cc2cnnn2C)C1 ZINC001267770072 838928411 /nfs/dbraw/zinc/92/84/11/838928411.db2.gz FVTQPUNKMFJEGY-UONOGXRCSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2cnnn2C)C1 ZINC001267770072 838928418 /nfs/dbraw/zinc/92/84/18/838928418.db2.gz FVTQPUNKMFJEGY-UONOGXRCSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](N(CC)C(=O)c2ccsc2)C1 ZINC001267780202 838963442 /nfs/dbraw/zinc/96/34/42/838963442.db2.gz KBXXSHNOYAOXIL-CQSZACIVSA-N 1 2 321.446 1.587 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccsc2)C1 ZINC001267780202 838963448 /nfs/dbraw/zinc/96/34/48/838963448.db2.gz KBXXSHNOYAOXIL-CQSZACIVSA-N 1 2 321.446 1.587 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H](c2cccnc2)C(C)C)C1 ZINC001268029952 839446128 /nfs/dbraw/zinc/44/61/28/839446128.db2.gz JPRKIMCKXUNTRP-IRXDYDNUSA-N 1 2 317.433 1.824 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H](c2cccnc2)C(C)C)C1 ZINC001268029952 839446133 /nfs/dbraw/zinc/44/61/33/839446133.db2.gz JPRKIMCKXUNTRP-IRXDYDNUSA-N 1 2 317.433 1.824 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001268195226 839826000 /nfs/dbraw/zinc/82/60/00/839826000.db2.gz QHUQZWOQQFENLH-INIZCTEOSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC2CCC2)C1 ZINC001268195226 839826006 /nfs/dbraw/zinc/82/60/06/839826006.db2.gz QHUQZWOQQFENLH-INIZCTEOSA-N 1 2 319.449 1.287 20 30 DDEDLO CCN(CC[NH2+][C@H](C)c1nc(C)no1)C(=O)C#CC(C)(C)C ZINC001268450189 840211633 /nfs/dbraw/zinc/21/16/33/840211633.db2.gz RTKIFBSHYIRAKO-GFCCVEGCSA-N 1 2 306.410 1.927 20 30 DDEDLO COCc1noc([C@H](C)[NH2+]C[C@H](C)NC(=O)C#CC(C)C)n1 ZINC001268685619 840665997 /nfs/dbraw/zinc/66/59/97/840665997.db2.gz GVNPUIDPJTWHCO-RYUDHWBXSA-N 1 2 308.382 1.031 20 30 DDEDLO CCC#CC(=O)N1CCCC2(C[NH+](Cc3cccc(=O)[nH]3)C2)C1 ZINC001268951397 841032176 /nfs/dbraw/zinc/03/21/76/841032176.db2.gz ODGAVLVWSHVOQV-UHFFFAOYSA-N 1 2 313.401 1.625 20 30 DDEDLO Cc1ncsc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCS ZINC001268959441 841036091 /nfs/dbraw/zinc/03/60/91/841036091.db2.gz CGULDJFNEGPQFB-TXEJJXNPSA-N 1 2 311.476 1.947 20 30 DDEDLO Cc1ncsc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCS ZINC001268959441 841036106 /nfs/dbraw/zinc/03/61/06/841036106.db2.gz CGULDJFNEGPQFB-TXEJJXNPSA-N 1 2 311.476 1.947 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C1 ZINC001269019354 841117498 /nfs/dbraw/zinc/11/74/98/841117498.db2.gz XUIZDVUFGXVPHZ-HOCLYGCPSA-N 1 2 307.369 1.238 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001269019354 841117500 /nfs/dbraw/zinc/11/75/00/841117500.db2.gz XUIZDVUFGXVPHZ-HOCLYGCPSA-N 1 2 307.369 1.238 20 30 DDEDLO CCCC#CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C)nn1C ZINC001272112503 844622494 /nfs/dbraw/zinc/62/24/94/844622494.db2.gz UFULHUHGIPDPEK-IYBDPMFKSA-N 1 2 314.433 1.707 20 30 DDEDLO CCCC#CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C)nn1C ZINC001272112503 844622499 /nfs/dbraw/zinc/62/24/99/844622499.db2.gz UFULHUHGIPDPEK-IYBDPMFKSA-N 1 2 314.433 1.707 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(F)s2)C1 ZINC001269300531 841488769 /nfs/dbraw/zinc/48/87/69/841488769.db2.gz BGZMXLCCSSXPTG-GFCCVEGCSA-N 1 2 310.394 1.683 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(F)s2)C1 ZINC001269300531 841488773 /nfs/dbraw/zinc/48/87/73/841488773.db2.gz BGZMXLCCSSXPTG-GFCCVEGCSA-N 1 2 310.394 1.683 20 30 DDEDLO COC[C@H](C)CC(=O)NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001269325789 841523353 /nfs/dbraw/zinc/52/33/53/841523353.db2.gz BWWCYEOZSZFUPO-AEFFLSMTSA-N 1 2 314.429 1.901 20 30 DDEDLO COC[C@H](C)CC(=O)NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001269325789 841523361 /nfs/dbraw/zinc/52/33/61/841523361.db2.gz BWWCYEOZSZFUPO-AEFFLSMTSA-N 1 2 314.429 1.901 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1(C)CC[NH+](Cc2ncccn2)CC1 ZINC001270226182 842401862 /nfs/dbraw/zinc/40/18/62/842401862.db2.gz VKMJBZFQLCADCC-KRWDZBQOSA-N 1 2 318.421 1.274 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnn(CC)n1 ZINC001270467600 842599963 /nfs/dbraw/zinc/59/99/63/842599963.db2.gz DWUVQQKHSGJQKU-CVEARBPZSA-N 1 2 317.437 1.830 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnn(CC)n1 ZINC001270467600 842599972 /nfs/dbraw/zinc/59/99/72/842599972.db2.gz DWUVQQKHSGJQKU-CVEARBPZSA-N 1 2 317.437 1.830 20 30 DDEDLO Cc1cc(C[NH+]2CC3(C2)CCCN(C(=O)[C@H](C)C#N)C3)n(C)n1 ZINC001270508805 842629798 /nfs/dbraw/zinc/62/97/98/842629798.db2.gz XGONKBGYZPJSIE-CYBMUJFWSA-N 1 2 315.421 1.313 20 30 DDEDLO CC(C)C#CC(=O)N[C@]1(C)CC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001270583019 842691956 /nfs/dbraw/zinc/69/19/56/842691956.db2.gz LNUGBYSKBDIUSL-GOSISDBHSA-N 1 2 319.449 1.143 20 30 DDEDLO CC(C)C#CC(=O)N[C@]1(C)CC[N@@H+](CC(=O)NCC2CCC2)C1 ZINC001270583019 842691960 /nfs/dbraw/zinc/69/19/60/842691960.db2.gz LNUGBYSKBDIUSL-GOSISDBHSA-N 1 2 319.449 1.143 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)CCC2CCOCC2)C1 ZINC001270683167 842811156 /nfs/dbraw/zinc/81/11/56/842811156.db2.gz RRPOUCOGKXCBPQ-INIZCTEOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)CCC2CCOCC2)C1 ZINC001270683167 842811167 /nfs/dbraw/zinc/81/11/67/842811167.db2.gz RRPOUCOGKXCBPQ-INIZCTEOSA-N 1 2 310.438 1.586 20 30 DDEDLO CO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001271126497 843259890 /nfs/dbraw/zinc/25/98/90/843259890.db2.gz AAIONGHCLDGUAC-YUELXQCFSA-N 1 2 316.405 1.107 20 30 DDEDLO CO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001271126497 843259900 /nfs/dbraw/zinc/25/99/00/843259900.db2.gz AAIONGHCLDGUAC-YUELXQCFSA-N 1 2 316.405 1.107 20 30 DDEDLO C[C@H](C#N)C(=O)NC12CC(C(=O)Nc3cccc4[nH+]ccn43)(C1)C2 ZINC001271212818 843419286 /nfs/dbraw/zinc/41/92/86/843419286.db2.gz ZBVINYCVJWWWDK-LBWKMTJJSA-N 1 2 323.356 1.471 20 30 DDEDLO O=C(C#CC1CCCCC1)N1CC2(C1)C[NH+](Cc1cn[nH]c1)C2 ZINC001272149504 844660068 /nfs/dbraw/zinc/66/00/68/844660068.db2.gz XYODXTMBOHMHSP-UHFFFAOYSA-N 1 2 312.417 1.638 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1(CNC(=O)CCc2c[nH]c[nH+]2)CCCC1 ZINC001409479873 845164207 /nfs/dbraw/zinc/16/42/07/845164207.db2.gz SPVPNJUODITVGU-LBPRGKRZSA-N 1 2 317.393 1.047 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1(CNC(=O)CCc2c[nH+]c[nH]2)CCCC1 ZINC001409479873 845164214 /nfs/dbraw/zinc/16/42/14/845164214.db2.gz SPVPNJUODITVGU-LBPRGKRZSA-N 1 2 317.393 1.047 20 30 DDEDLO N#Cc1cccc(CC(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)c1 ZINC001272303594 846017756 /nfs/dbraw/zinc/01/77/56/846017756.db2.gz BXTBKOFZPFFVFE-UHFFFAOYSA-N 1 2 320.396 1.773 20 30 DDEDLO C=CCCC[NH2+]C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001326978011 861768102 /nfs/dbraw/zinc/76/81/02/861768102.db2.gz KHGBQBGSURQEMV-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCCC[NH2+]C[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC001326978011 861768112 /nfs/dbraw/zinc/76/81/12/861768112.db2.gz KHGBQBGSURQEMV-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCCC(=O)NC[C@H]1COCC[N@H+]1C[C@H](OC)C1CCC1 ZINC001272843205 847537570 /nfs/dbraw/zinc/53/75/70/847537570.db2.gz PHUNZRSRTCYIDE-HOTGVXAUSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCCC(=O)NC[C@H]1COCC[N@@H+]1C[C@H](OC)C1CCC1 ZINC001272843205 847537578 /nfs/dbraw/zinc/53/75/78/847537578.db2.gz PHUNZRSRTCYIDE-HOTGVXAUSA-N 1 2 310.438 1.585 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cn3ccccc3n2)C1=O ZINC001272843385 847539151 /nfs/dbraw/zinc/53/91/51/847539151.db2.gz KNUWKMIZKLKWKJ-SFHVURJKSA-N 1 2 308.385 1.534 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cn3ccccc3n2)C1=O ZINC001272843385 847539158 /nfs/dbraw/zinc/53/91/58/847539158.db2.gz KNUWKMIZKLKWKJ-SFHVURJKSA-N 1 2 308.385 1.534 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1C[N@H+](Cc2ccns2)CCCO1 ZINC001149695242 861817887 /nfs/dbraw/zinc/81/78/87/861817887.db2.gz ZHVKEZWPUVARSW-AWEZNQCLSA-N 1 2 319.430 1.264 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1C[N@@H+](Cc2ccns2)CCCO1 ZINC001149695242 861817899 /nfs/dbraw/zinc/81/78/99/861817899.db2.gz ZHVKEZWPUVARSW-AWEZNQCLSA-N 1 2 319.430 1.264 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(CCN3CCn3cc[nH+]c3)C2)C1 ZINC001273134008 847979228 /nfs/dbraw/zinc/97/92/28/847979228.db2.gz AQXJLTWEHOEQOB-UHFFFAOYSA-N 1 2 300.406 1.526 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(CC[N@@H+]3CCn3ccnc3)C2)C1 ZINC001273134008 847979234 /nfs/dbraw/zinc/97/92/34/847979234.db2.gz AQXJLTWEHOEQOB-UHFFFAOYSA-N 1 2 300.406 1.526 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(CC[N@H+]3CCn3ccnc3)C2)C1 ZINC001273134008 847979238 /nfs/dbraw/zinc/97/92/38/847979238.db2.gz AQXJLTWEHOEQOB-UHFFFAOYSA-N 1 2 300.406 1.526 20 30 DDEDLO C=CCCC(=O)N1CCO[C@H](C[NH2+][C@@H](C)c2csnn2)C1 ZINC001273237596 848971328 /nfs/dbraw/zinc/97/13/28/848971328.db2.gz RXBFMLRERDQIJG-NWDGAFQWSA-N 1 2 310.423 1.382 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@@H+]2Cc2nccnc2N)C1=O ZINC001273279857 849327444 /nfs/dbraw/zinc/32/74/44/849327444.db2.gz QPBXDUXXJDSCHA-INIZCTEOSA-N 1 2 301.394 1.202 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@H+]2Cc2nccnc2N)C1=O ZINC001273279857 849327448 /nfs/dbraw/zinc/32/74/48/849327448.db2.gz QPBXDUXXJDSCHA-INIZCTEOSA-N 1 2 301.394 1.202 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCOC2(C[NH+](CCCF)C2)C1 ZINC001327314561 862053403 /nfs/dbraw/zinc/05/34/03/862053403.db2.gz CLMYEEWFZIJNNT-AWEZNQCLSA-N 1 2 314.401 1.240 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc(C(F)F)cc1)C2 ZINC001273426254 850231817 /nfs/dbraw/zinc/23/18/17/850231817.db2.gz DYIASJROTDEIJD-UHFFFAOYSA-N 1 2 320.339 1.671 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccccc1)CO2 ZINC001327371925 862113491 /nfs/dbraw/zinc/11/34/91/862113491.db2.gz IGGHWHKSTFEBHW-MRXNPFEDSA-N 1 2 316.401 1.462 20 30 DDEDLO CCc1nnc(C[NH+]2CCC([C@H](C)NC(=O)[C@@H](C)C#N)CC2)o1 ZINC001411228723 850442735 /nfs/dbraw/zinc/44/27/35/850442735.db2.gz BANFLORWOUGYMH-RYUDHWBXSA-N 1 2 319.409 1.508 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1COC2(C[NH+](C[C@@H](C)OC)C2)C1 ZINC001327388759 862131566 /nfs/dbraw/zinc/13/15/66/862131566.db2.gz XAJDAAGWBPMTCP-HUUCEWRRSA-N 1 2 308.422 1.174 20 30 DDEDLO CC(C)COCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H](C)C#N)O2 ZINC001273653554 851188779 /nfs/dbraw/zinc/18/87/79/851188779.db2.gz AZMHQTNTDOVOJH-CABCVRRESA-N 1 2 323.437 1.168 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1COC2(C[NH+]([C@@H](C)COC)C2)C1 ZINC001273695119 851234979 /nfs/dbraw/zinc/23/49/79/851234979.db2.gz CMVKNOXNFDUUJI-LSDHHAIUSA-N 1 2 308.422 1.174 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H](CC)OC ZINC001327506158 862225334 /nfs/dbraw/zinc/22/53/34/862225334.db2.gz FQYNPRLENZDPQX-QZTJIDSGSA-N 1 2 314.429 1.978 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H](CC)OC ZINC001327506158 862225351 /nfs/dbraw/zinc/22/53/51/862225351.db2.gz FQYNPRLENZDPQX-QZTJIDSGSA-N 1 2 314.429 1.978 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)OCCC(C)C)CO2 ZINC001273729234 851281681 /nfs/dbraw/zinc/28/16/81/851281681.db2.gz MBMUCWRGGXHLIK-CVEARBPZSA-N 1 2 324.465 1.973 20 30 DDEDLO C[N@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(-c2ccc(C#N)cc2)on1 ZINC001273874817 851444027 /nfs/dbraw/zinc/44/40/27/851444027.db2.gz BTLFIIWXCBPJBQ-OKILXGFUSA-N 1 2 308.341 1.742 20 30 DDEDLO C[N@@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(-c2ccc(C#N)cc2)on1 ZINC001273874817 851444035 /nfs/dbraw/zinc/44/40/35/851444035.db2.gz BTLFIIWXCBPJBQ-OKILXGFUSA-N 1 2 308.341 1.742 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@]2(C)CCOC2)C1 ZINC001150498546 862277401 /nfs/dbraw/zinc/27/74/01/862277401.db2.gz PKVYZBXRLWKYJX-DZGCQCFKSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@]2(C)CCOC2)C1 ZINC001150498546 862277417 /nfs/dbraw/zinc/27/74/17/862277417.db2.gz PKVYZBXRLWKYJX-DZGCQCFKSA-N 1 2 316.829 1.373 20 30 DDEDLO CCc1nnc(C[NH2+]C/C=C/CNC(=O)C#CC(C)(C)C)s1 ZINC001274014808 851852032 /nfs/dbraw/zinc/85/20/32/851852032.db2.gz CYURWYWPTHCLNN-VOTSOKGWSA-N 1 2 320.462 1.912 20 30 DDEDLO C#CCN1CC[C@]2(CC[N@@H+](Cc3cc(C#N)ccc3O)C2)C1=O ZINC001274666362 852501054 /nfs/dbraw/zinc/50/10/54/852501054.db2.gz FOMXUKMBQYAUOV-SFHVURJKSA-N 1 2 309.369 1.321 20 30 DDEDLO C#CCN1CC[C@]2(CC[N@H+](Cc3cc(C#N)ccc3O)C2)C1=O ZINC001274666362 852501058 /nfs/dbraw/zinc/50/10/58/852501058.db2.gz FOMXUKMBQYAUOV-SFHVURJKSA-N 1 2 309.369 1.321 20 30 DDEDLO CC(C)C#CC(=O)N1CC(N(C)C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001299355470 852515931 /nfs/dbraw/zinc/51/59/31/852515931.db2.gz HGFHPLVSJRWOCM-AWEZNQCLSA-N 1 2 316.405 1.163 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC(N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001299369260 852548695 /nfs/dbraw/zinc/54/86/95/852548695.db2.gz LOGUJHWUVDCGKV-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC(N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001299369260 852548698 /nfs/dbraw/zinc/54/86/98/852548698.db2.gz LOGUJHWUVDCGKV-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CC3(COC)CC3)CC2)C1 ZINC001274867502 852656190 /nfs/dbraw/zinc/65/61/90/852656190.db2.gz IKGIXXNHGOQZAS-UHFFFAOYSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CC3(COC)CC3)CC2)C1 ZINC001274867502 852656200 /nfs/dbraw/zinc/65/62/00/852656200.db2.gz IKGIXXNHGOQZAS-UHFFFAOYSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C[NH2+][C@@H](C)c1nnc(CC)o1 ZINC001274889022 852659906 /nfs/dbraw/zinc/65/99/06/852659906.db2.gz UWQHKDRQRFJZGK-OLZOCXBDSA-N 1 2 306.410 1.981 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@@H](C)n3cccn3)cc2C1 ZINC001327683144 862383907 /nfs/dbraw/zinc/38/39/07/862383907.db2.gz VIDZKXGUWXIZAS-CQSZACIVSA-N 1 2 308.385 1.709 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@@H](C)n3cccn3)cc2C1 ZINC001327683144 862383927 /nfs/dbraw/zinc/38/39/27/862383927.db2.gz VIDZKXGUWXIZAS-CQSZACIVSA-N 1 2 308.385 1.709 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCCC[C@H]1C[NH2+]Cc1cnsn1 ZINC001274996874 852734661 /nfs/dbraw/zinc/73/46/61/852734661.db2.gz KTJKLZKIRKWVQI-JSGCOSHPSA-N 1 2 322.434 1.047 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3OCCN(Cc4ccc[nH]4)[C@H]3C2)nc1 ZINC001275032454 852762044 /nfs/dbraw/zinc/76/20/44/852762044.db2.gz QUGLGNMKAGHLAE-ROUUACIJSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3OCCN(Cc4ccc[nH]4)[C@H]3C2)nc1 ZINC001275032454 852762050 /nfs/dbraw/zinc/76/20/50/852762050.db2.gz QUGLGNMKAGHLAE-ROUUACIJSA-N 1 2 323.400 1.367 20 30 DDEDLO Cc1cc(C[NH+]2CC3(CN(Cc4cccc(C#N)n4)C3)C2)n(C)n1 ZINC001275605224 853424393 /nfs/dbraw/zinc/42/43/93/853424393.db2.gz GOOYMOSWUQIXEO-UHFFFAOYSA-N 1 2 322.416 1.313 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H](C)[N@H+](C)Cc1nc(C)c(C)o1 ZINC001275820135 853815312 /nfs/dbraw/zinc/81/53/12/853815312.db2.gz YGQXOSOUACGWAY-ZBEGNZNMSA-N 1 2 309.410 1.555 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H](C)[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001275820135 853815317 /nfs/dbraw/zinc/81/53/17/853815317.db2.gz YGQXOSOUACGWAY-ZBEGNZNMSA-N 1 2 309.410 1.555 20 30 DDEDLO C=CCCC(=O)N(C)C1CC([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001279199505 853987371 /nfs/dbraw/zinc/98/73/71/853987371.db2.gz JLSBOAMSSOJENU-UHFFFAOYSA-N 1 2 304.394 1.992 20 30 DDEDLO N#Cc1ccccc1CN1CC2(C1)C[NH+](Cc1cccc(=O)[nH]1)C2 ZINC001275965354 854028808 /nfs/dbraw/zinc/02/88/08/854028808.db2.gz BZQWYQVWQCZVCO-UHFFFAOYSA-N 1 2 320.396 1.977 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1(CCO)CN(Cc2c[nH+]cn2C)C1 ZINC001276082456 854722257 /nfs/dbraw/zinc/72/22/57/854722257.db2.gz IJPMKTUHSADOAI-INIZCTEOSA-N 1 2 320.437 1.075 20 30 DDEDLO Cc1cc(C)c(CNS(=O)(=O)c2ccc(C#N)cn2)c[nH+]1 ZINC001413379279 856736792 /nfs/dbraw/zinc/73/67/92/856736792.db2.gz RZECQMZPTXBJDG-UHFFFAOYSA-N 1 2 302.359 1.444 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](NC(=O)Cc2c[nH+]cn2C)[C@@H](C)C1 ZINC001072631608 857534739 /nfs/dbraw/zinc/53/47/39/857534739.db2.gz BNQPMZONPDBSGV-ZFWWWQNUSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001072632863 857536464 /nfs/dbraw/zinc/53/64/64/857536464.db2.gz PIHWTSRXKYUMMZ-DOMZBBRYSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001072944978 857919900 /nfs/dbraw/zinc/91/99/00/857919900.db2.gz QFCBEDUSYTZDRI-OAHLLOKOSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001072944978 857919906 /nfs/dbraw/zinc/91/99/06/857919906.db2.gz QFCBEDUSYTZDRI-OAHLLOKOSA-N 1 2 304.394 1.706 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1CC ZINC001121803485 858600277 /nfs/dbraw/zinc/60/02/77/858600277.db2.gz LYQRSCZXGPUPDX-UONOGXRCSA-N 1 2 305.426 1.538 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1CC ZINC001121803485 858600279 /nfs/dbraw/zinc/60/02/79/858600279.db2.gz LYQRSCZXGPUPDX-UONOGXRCSA-N 1 2 305.426 1.538 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001122482314 858844689 /nfs/dbraw/zinc/84/46/89/858844689.db2.gz LJSINYANSXVWNO-RHSMWYFYSA-N 1 2 319.453 1.778 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC(=C)C ZINC001122482314 858844690 /nfs/dbraw/zinc/84/46/90/858844690.db2.gz LJSINYANSXVWNO-RHSMWYFYSA-N 1 2 319.453 1.778 20 30 DDEDLO C[C@H](CS)OCC[NH+]1CCN(C(=O)OC(C)(C)C)CC1 ZINC001224735056 881517299 /nfs/dbraw/zinc/51/72/99/881517299.db2.gz PJYKJCQYQHUUAW-GFCCVEGCSA-N 1 2 304.456 1.874 20 30 DDEDLO C=CCN1CC[NH+](Cc2ccccc2OCC(=O)OC)CC1 ZINC001140424903 860632514 /nfs/dbraw/zinc/63/25/14/860632514.db2.gz DJNYUCBYKKLHJP-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1nonc1C ZINC001325883708 860922743 /nfs/dbraw/zinc/92/27/43/860922743.db2.gz SIVRNNWMMJGFQA-LSDHHAIUSA-N 1 2 304.394 1.910 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1nonc1C ZINC001325883708 860922756 /nfs/dbraw/zinc/92/27/56/860922756.db2.gz SIVRNNWMMJGFQA-LSDHHAIUSA-N 1 2 304.394 1.910 20 30 DDEDLO CCc1noc(C[NH2+]CCNC(=O)CC#Cc2ccccc2)n1 ZINC001151958687 863080782 /nfs/dbraw/zinc/08/07/82/863080782.db2.gz OHDHQOCAEMJZFL-UHFFFAOYSA-N 1 2 312.373 1.280 20 30 DDEDLO COC(=O)C1(C#N)CCN(C(=O)c2c[nH]c3cc[nH+]cc32)CC1 ZINC001152976825 863629074 /nfs/dbraw/zinc/62/90/74/863629074.db2.gz DEDUVISMJWXZDF-UHFFFAOYSA-N 1 2 312.329 1.482 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccc(C)n1 ZINC001153012790 863653528 /nfs/dbraw/zinc/65/35/28/863653528.db2.gz SOFNBNCZJXKDME-RHSMWYFYSA-N 1 2 320.437 1.439 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccc(C)n1 ZINC001153012790 863653533 /nfs/dbraw/zinc/65/35/33/863653533.db2.gz SOFNBNCZJXKDME-RHSMWYFYSA-N 1 2 320.437 1.439 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nocc1C ZINC001157217351 863660384 /nfs/dbraw/zinc/66/03/84/863660384.db2.gz ODHHUOWJLQYPEW-ZIAGYGMSSA-N 1 2 309.410 1.901 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nocc1C ZINC001157217351 863660393 /nfs/dbraw/zinc/66/03/93/863660393.db2.gz ODHHUOWJLQYPEW-ZIAGYGMSSA-N 1 2 309.410 1.901 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)CC2(C(F)(F)F)CC2)C1 ZINC001329667796 863779255 /nfs/dbraw/zinc/77/92/55/863779255.db2.gz MOCXXMRPOWKNOE-UHFFFAOYSA-N 1 2 320.355 1.848 20 30 DDEDLO C=CC[C@@H]([NH2+]C1CC(n2cc([N+](=O)[O-])cn2)C1)C(=O)OCC ZINC001330622093 864493030 /nfs/dbraw/zinc/49/30/30/864493030.db2.gz OZDVFXFJKOBNJB-GCZXYKMCSA-N 1 2 308.338 1.592 20 30 DDEDLO Cc1nc(C#N)cc(N2CC[C@@H]([NH+]3CCCC3)C(F)(F)C2)n1 ZINC001158630258 864768702 /nfs/dbraw/zinc/76/87/02/864768702.db2.gz ZMZYIIZLGHOHKE-CYBMUJFWSA-N 1 2 307.348 1.967 20 30 DDEDLO C#CCC1(C(=O)NCCC[NH2+]Cc2noc(CC)n2)CCC1 ZINC001159637954 865444786 /nfs/dbraw/zinc/44/47/86/865444786.db2.gz BQUBGANFJXABCK-UHFFFAOYSA-N 1 2 304.394 1.422 20 30 DDEDLO Cc1cc(N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)n(C)n1 ZINC001277051745 881885618 /nfs/dbraw/zinc/88/56/18/881885618.db2.gz KYGRISKQFJOQHF-UHFFFAOYSA-N 1 2 310.405 1.261 20 30 DDEDLO C#CC[N@H+]1CC=C(CCNC(=O)c2[nH]nc3c2CCCC3)CC1 ZINC001160580385 865956601 /nfs/dbraw/zinc/95/66/01/865956601.db2.gz MXXSCKGLDBTIJK-UHFFFAOYSA-N 1 2 312.417 1.674 20 30 DDEDLO C#CC[N@@H+]1CC=C(CCNC(=O)c2[nH]nc3c2CCCC3)CC1 ZINC001160580385 865956612 /nfs/dbraw/zinc/95/66/12/865956612.db2.gz MXXSCKGLDBTIJK-UHFFFAOYSA-N 1 2 312.417 1.674 20 30 DDEDLO CC(C)N(CC[N@H+](C)CC(=O)NC1CC1)C(=O)C#CC(C)(C)C ZINC001332706698 866082402 /nfs/dbraw/zinc/08/24/02/866082402.db2.gz FXAVUOJJMOYMAZ-UHFFFAOYSA-N 1 2 321.465 1.483 20 30 DDEDLO CC(C)N(CC[N@@H+](C)CC(=O)NC1CC1)C(=O)C#CC(C)(C)C ZINC001332706698 866082417 /nfs/dbraw/zinc/08/24/17/866082417.db2.gz FXAVUOJJMOYMAZ-UHFFFAOYSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC[N@H+](C)Cc1ccnn1C)C(C)C ZINC001332707894 866086725 /nfs/dbraw/zinc/08/67/25/866086725.db2.gz UKGLRILCPMZRFJ-OAHLLOKOSA-N 1 2 320.437 1.127 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC[N@@H+](C)Cc1ccnn1C)C(C)C ZINC001332707894 866086742 /nfs/dbraw/zinc/08/67/42/866086742.db2.gz UKGLRILCPMZRFJ-OAHLLOKOSA-N 1 2 320.437 1.127 20 30 DDEDLO C#CCCN1CCC[C@H](Nc2cc(N(CC)CC)nc[nH+]2)C1=O ZINC001160899942 866175618 /nfs/dbraw/zinc/17/56/18/866175618.db2.gz QJTNRJCWQOAHKL-AWEZNQCLSA-N 1 2 315.421 1.749 20 30 DDEDLO C#CCCN1CCC[C@H](Nc2cc(N(CC)CC)[nH+]cn2)C1=O ZINC001160899942 866175623 /nfs/dbraw/zinc/17/56/23/866175623.db2.gz QJTNRJCWQOAHKL-AWEZNQCLSA-N 1 2 315.421 1.749 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1([NH2+][C@H](C)c2nc(C)no2)CC1 ZINC001323435684 866608070 /nfs/dbraw/zinc/60/80/70/866608070.db2.gz NZXGGCIDIAZXTB-YGRLFVJLSA-N 1 2 308.382 1.005 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1([NH2+][C@@H](C)c2nnnn2C)CC1 ZINC001323509416 866653046 /nfs/dbraw/zinc/65/30/46/866653046.db2.gz XIZNOCSRIFTBRT-NSHDSACASA-N 1 2 306.414 1.112 20 30 DDEDLO COc1nc(NC[C@@H]2C[N@H+](C)CCO2)c(C#N)c(C)c1Cl ZINC001162680299 867720179 /nfs/dbraw/zinc/72/01/79/867720179.db2.gz IOGJZXSACYBNEO-SNVBAGLBSA-N 1 2 310.785 1.666 20 30 DDEDLO COc1nc(NC[C@@H]2C[N@@H+](C)CCO2)c(C#N)c(C)c1Cl ZINC001162680299 867720188 /nfs/dbraw/zinc/72/01/88/867720188.db2.gz IOGJZXSACYBNEO-SNVBAGLBSA-N 1 2 310.785 1.666 20 30 DDEDLO C#CC[C@H]1CCN(c2nnnn2CCCc2cccc(C)[nH+]2)C1 ZINC001334634453 867723500 /nfs/dbraw/zinc/72/35/00/867723500.db2.gz DDTPCSSODSYFFT-HNNXBMFYSA-N 1 2 310.405 1.859 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[N@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001325764243 868335981 /nfs/dbraw/zinc/33/59/81/868335981.db2.gz ZWOCMSKHZJFXCR-OKILXGFUSA-N 1 2 303.410 1.062 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[N@@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001325764243 868335999 /nfs/dbraw/zinc/33/59/99/868335999.db2.gz ZWOCMSKHZJFXCR-OKILXGFUSA-N 1 2 303.410 1.062 20 30 DDEDLO CCc1nc(C[NH2+][C@@H]2CCCC[C@H]2NC(=O)C#CC(C)C)no1 ZINC001226073381 882191885 /nfs/dbraw/zinc/19/18/85/882191885.db2.gz PSESZYWYITUIPM-ZIAGYGMSSA-N 1 2 318.421 1.808 20 30 DDEDLO COCc1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)nc(SC)n1 ZINC001226163805 882241206 /nfs/dbraw/zinc/24/12/06/882241206.db2.gz DTJXPMNIZGSBFR-GQTXGERZSA-N 1 2 323.418 1.336 20 30 DDEDLO COCc1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)nc(SC)n1 ZINC001226163805 882241225 /nfs/dbraw/zinc/24/12/25/882241225.db2.gz DTJXPMNIZGSBFR-GQTXGERZSA-N 1 2 323.418 1.336 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1c1nc(-c2ccncc2)nc2c1CCC2 ZINC001164661814 869345694 /nfs/dbraw/zinc/34/56/94/869345694.db2.gz PFAFTNCFSXYXGZ-CYBMUJFWSA-N 1 2 306.373 1.329 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CN(C)C(=O)Cc1c[nH+]cn1C ZINC001337314302 869432149 /nfs/dbraw/zinc/43/21/49/869432149.db2.gz RMFHOSSMJSGAGB-CYBMUJFWSA-N 1 2 306.410 1.282 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(c2cc(C#N)cc(Cl)n2)CC1 ZINC001337870317 869664461 /nfs/dbraw/zinc/66/44/61/869664461.db2.gz YWTPQKIFXXPHHA-HXUWFJFHSA-N 1 2 312.826 1.107 20 30 DDEDLO COC(=O)c1cc(C#N)ccc1O[C@H](C)C[NH+]1CCOCC1 ZINC001226305109 882337233 /nfs/dbraw/zinc/33/72/33/882337233.db2.gz HZVXZKBPIBBRMF-GFCCVEGCSA-N 1 2 304.346 1.444 20 30 DDEDLO C=C(C)CCC(=O)NC[C@](C)(NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001297568398 870106254 /nfs/dbraw/zinc/10/62/54/870106254.db2.gz KXNHQILCSQNXLC-KRWDZBQOSA-N 1 2 318.421 1.641 20 30 DDEDLO C[C@H](CC(=O)N1CCC[C@@H](CN(C)CC#N)C1)n1cc[nH+]c1 ZINC001317044376 870158751 /nfs/dbraw/zinc/15/87/51/870158751.db2.gz QWHWSBMDOQHURK-CABCVRRESA-N 1 2 303.410 1.528 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC[C@@](C)(CC)C1 ZINC001338773530 870160161 /nfs/dbraw/zinc/16/01/61/870160161.db2.gz PYRCVYGITSPPPG-JKIFEVAISA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC[C@@](C)(CC)C1 ZINC001338773530 870160170 /nfs/dbraw/zinc/16/01/70/870160170.db2.gz PYRCVYGITSPPPG-JKIFEVAISA-N 1 2 319.453 1.828 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC[C@@H]1OC ZINC001317048627 870164738 /nfs/dbraw/zinc/16/47/38/870164738.db2.gz YPXASOCKDDRZIO-YVSFHVDLSA-N 1 2 320.433 1.127 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC[C@@H]1OC ZINC001317048627 870164742 /nfs/dbraw/zinc/16/47/42/870164742.db2.gz YPXASOCKDDRZIO-YVSFHVDLSA-N 1 2 320.433 1.127 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]([NH2+]Cc2noc(CC(C)C)n2)C1 ZINC001317073599 870202439 /nfs/dbraw/zinc/20/24/39/870202439.db2.gz UDKFUYWVYFIDNM-JOCQHMNTSA-N 1 2 306.410 1.971 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)CC(F)(F)F ZINC001339091625 870342486 /nfs/dbraw/zinc/34/24/86/870342486.db2.gz ZXDFRZZTVTVAHS-UHFFFAOYSA-N 1 2 314.315 1.787 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+][C@H](C)c2csnn2)C1 ZINC001317310891 870619990 /nfs/dbraw/zinc/61/99/90/870619990.db2.gz BINWWHRINKFEAG-NEPJUHHUSA-N 1 2 310.423 1.382 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1nc(C)c(C)o1 ZINC001317505359 870942689 /nfs/dbraw/zinc/94/26/89/870942689.db2.gz OOGGSQYRMOMIFO-CABCVRRESA-N 1 2 319.405 1.410 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1nc(C)c(C)o1 ZINC001317505359 870942698 /nfs/dbraw/zinc/94/26/98/870942698.db2.gz OOGGSQYRMOMIFO-CABCVRRESA-N 1 2 319.405 1.410 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ncc(C)o3)n2CC)CC1 ZINC001340185686 870946554 /nfs/dbraw/zinc/94/65/54/870946554.db2.gz BIUXHLIMEMEWCR-UHFFFAOYSA-N 1 2 300.366 1.017 20 30 DDEDLO CN(CC[NH2+]Cc1noc(-c2ccccc2)n1)C(=O)C#CC1CC1 ZINC001317584493 871141328 /nfs/dbraw/zinc/14/13/28/871141328.db2.gz CPRBRHMFRZHRIE-UHFFFAOYSA-N 1 2 324.384 1.698 20 30 DDEDLO Cn1ccnc1C[NH2+][C@@H](CNC(=O)C#CC1CC1)c1ccccc1 ZINC001317717521 871439130 /nfs/dbraw/zinc/43/91/30/871439130.db2.gz FUFAAONSBUQSGQ-KRWDZBQOSA-N 1 2 322.412 1.781 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+](Cc2nc(C)c(C)o2)CC1 ZINC001226589471 882526983 /nfs/dbraw/zinc/52/69/83/882526983.db2.gz RJPBVVMAVISIDB-UHFFFAOYSA-N 1 2 307.394 1.575 20 30 DDEDLO COCC(=O)N[C@@H]1C[N@H+](Cc2cc(F)ccc2C#N)C[C@H]1C ZINC001205704444 871724147 /nfs/dbraw/zinc/72/41/47/871724147.db2.gz CBVBBJBIYXHSPQ-IAQYHMDHSA-N 1 2 305.353 1.280 20 30 DDEDLO COCC(=O)N[C@@H]1C[N@@H+](Cc2cc(F)ccc2C#N)C[C@H]1C ZINC001205704444 871724160 /nfs/dbraw/zinc/72/41/60/871724160.db2.gz CBVBBJBIYXHSPQ-IAQYHMDHSA-N 1 2 305.353 1.280 20 30 DDEDLO C=CCC1(C(=O)NCC2C[NH+]([C@H]3CCNC3=O)C2)CCCC1 ZINC001318179715 871795910 /nfs/dbraw/zinc/79/59/10/871795910.db2.gz LUUWFMZGVQUUEJ-AWEZNQCLSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CC[C@@H](C)CC)C1 ZINC001316955023 871864220 /nfs/dbraw/zinc/86/42/20/871864220.db2.gz HXAIUDSWNQSVAU-GJZGRUSLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CC[C@@H](C)CC)C1 ZINC001316955023 871864242 /nfs/dbraw/zinc/86/42/42/871864242.db2.gz HXAIUDSWNQSVAU-GJZGRUSLSA-N 1 2 309.454 1.696 20 30 DDEDLO C[C@H]1C[C@@H]1C[N@@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001277143281 882554980 /nfs/dbraw/zinc/55/49/80/882554980.db2.gz SRFCKOKZRJQIRN-WCQYABFASA-N 1 2 313.405 1.409 20 30 DDEDLO C[C@H]1C[C@@H]1C[N@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001277143281 882554987 /nfs/dbraw/zinc/55/49/87/882554987.db2.gz SRFCKOKZRJQIRN-WCQYABFASA-N 1 2 313.405 1.409 20 30 DDEDLO C[C@@H]1C[C@@H]1C[N@@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001277143280 882555913 /nfs/dbraw/zinc/55/59/13/882555913.db2.gz SRFCKOKZRJQIRN-DGCLKSJQSA-N 1 2 313.405 1.409 20 30 DDEDLO C[C@@H]1C[C@@H]1C[N@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001277143280 882555919 /nfs/dbraw/zinc/55/59/19/882555919.db2.gz SRFCKOKZRJQIRN-DGCLKSJQSA-N 1 2 313.405 1.409 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1ocnc1C ZINC001316819358 871945342 /nfs/dbraw/zinc/94/53/42/871945342.db2.gz FNKFTOWIIVLZJO-UHFFFAOYSA-N 1 2 316.199 1.943 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1ocnc1C ZINC001316819358 871945354 /nfs/dbraw/zinc/94/53/54/871945354.db2.gz FNKFTOWIIVLZJO-UHFFFAOYSA-N 1 2 316.199 1.943 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NCC(C)C)C2)C1 ZINC001318355378 871950871 /nfs/dbraw/zinc/95/08/71/871950871.db2.gz DMOCSQFLSXDMRT-CQSZACIVSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](CC(=O)NCC(C)C)C2)C1 ZINC001318355378 871950890 /nfs/dbraw/zinc/95/08/90/871950890.db2.gz DMOCSQFLSXDMRT-CQSZACIVSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@@H]2[C@H](C1)C2(C)C ZINC001342062050 871978763 /nfs/dbraw/zinc/97/87/63/871978763.db2.gz PRJGLWCKPPRWQZ-FQUUOJAGSA-N 1 2 317.437 1.294 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@@H]2[C@H](C1)C2(C)C ZINC001342062050 871978768 /nfs/dbraw/zinc/97/87/68/871978768.db2.gz PRJGLWCKPPRWQZ-FQUUOJAGSA-N 1 2 317.437 1.294 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001318387004 871980324 /nfs/dbraw/zinc/98/03/24/871980324.db2.gz QFIMFKGYPWHGPZ-GOEBONIOSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001318387004 871980338 /nfs/dbraw/zinc/98/03/38/871980338.db2.gz QFIMFKGYPWHGPZ-GOEBONIOSA-N 1 2 320.437 1.434 20 30 DDEDLO C#CCN(CC)c1nnc(C[NH+]2CCCCC2)n1CCOC ZINC001342642338 872313351 /nfs/dbraw/zinc/31/33/51/872313351.db2.gz DOCBKHUDVHWNQZ-UHFFFAOYSA-N 1 2 305.426 1.370 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@H]2CCCN2C(=O)CSCC#N)no1 ZINC001319049097 872413895 /nfs/dbraw/zinc/41/38/95/872413895.db2.gz NKNARICKJXORPF-CMPLNLGQSA-N 1 2 323.422 1.276 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1([NH2+]Cc2nnn(C)n2)CCCC1 ZINC001319372137 872601543 /nfs/dbraw/zinc/60/15/43/872601543.db2.gz DYEGVHQYMHIUIQ-ZDUSSCGKSA-N 1 2 320.441 1.331 20 30 DDEDLO C#CCCCC(=O)N1CCC2(C[NH+](Cc3ccn(C)n3)C2)CC1 ZINC001319398725 872610391 /nfs/dbraw/zinc/61/03/91/872610391.db2.gz YRKDUPFELKLISR-UHFFFAOYSA-N 1 2 314.433 1.648 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)cn2)C[C@H]1C ZINC001206937991 872804972 /nfs/dbraw/zinc/80/49/72/872804972.db2.gz BNDSOCKVNUYBRJ-CZUORRHYSA-N 1 2 303.381 1.817 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)cn2)C[C@H]1C ZINC001206937991 872804984 /nfs/dbraw/zinc/80/49/84/872804984.db2.gz BNDSOCKVNUYBRJ-CZUORRHYSA-N 1 2 303.381 1.817 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]1CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001344174937 872911006 /nfs/dbraw/zinc/91/10/06/872911006.db2.gz WRLZSYCVJLSPRQ-ZDUSSCGKSA-N 1 2 318.421 1.532 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001344229000 872959653 /nfs/dbraw/zinc/95/96/53/872959653.db2.gz DOLVUGWGDJACCW-XDQVBPFNSA-N 1 2 318.421 1.421 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@H](CNC(=O)Cc2c[nH+]cn2C)C1 ZINC001344297799 872972246 /nfs/dbraw/zinc/97/22/46/872972246.db2.gz SQZIDTXTRNZBME-UONOGXRCSA-N 1 2 318.421 1.330 20 30 DDEDLO CC(=O)N1CCC2(CC1)C[N@H+](Cc1cc(C#N)n(C)c1)CCO2 ZINC001207105125 873018690 /nfs/dbraw/zinc/01/86/90/873018690.db2.gz PTDRQBKRXIZKJA-UHFFFAOYSA-N 1 2 316.405 1.110 20 30 DDEDLO CC(=O)N1CCC2(CC1)C[N@@H+](Cc1cc(C#N)n(C)c1)CCO2 ZINC001207105125 873018710 /nfs/dbraw/zinc/01/87/10/873018710.db2.gz PTDRQBKRXIZKJA-UHFFFAOYSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001344548549 873034898 /nfs/dbraw/zinc/03/48/98/873034898.db2.gz HIYSNBMHKIMPHD-IRXDYDNUSA-N 1 2 315.417 1.327 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001344548549 873034916 /nfs/dbraw/zinc/03/49/16/873034916.db2.gz HIYSNBMHKIMPHD-IRXDYDNUSA-N 1 2 315.417 1.327 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001344548551 873035665 /nfs/dbraw/zinc/03/56/65/873035665.db2.gz HIYSNBMHKIMPHD-SJORKVTESA-N 1 2 315.417 1.327 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001344548551 873035682 /nfs/dbraw/zinc/03/56/82/873035682.db2.gz HIYSNBMHKIMPHD-SJORKVTESA-N 1 2 315.417 1.327 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)Cc2ncccc2OC)C1 ZINC001381799946 882696910 /nfs/dbraw/zinc/69/69/10/882696910.db2.gz BKZPJQZVBDWKQX-LBPRGKRZSA-N 1 2 323.824 1.822 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccco3)n2CC2CC2)CC1 ZINC001345664621 873468355 /nfs/dbraw/zinc/46/83/55/873468355.db2.gz ZWXMOCNLNASYNN-UHFFFAOYSA-N 1 2 311.389 1.703 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001207945345 873678973 /nfs/dbraw/zinc/67/89/73/873678973.db2.gz ZZAHQSAALGNWTP-CYBMUJFWSA-N 1 2 319.409 1.020 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001207951461 873682838 /nfs/dbraw/zinc/68/28/38/873682838.db2.gz ULOVNFHOGHUALN-BLLLJJGKSA-N 1 2 322.409 1.040 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC001346401250 873735482 /nfs/dbraw/zinc/73/54/82/873735482.db2.gz LQDWQUDBYDZJOZ-ZIAGYGMSSA-N 1 2 318.421 1.597 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)SC)n2CC2CC2)CC1 ZINC001346573679 873809687 /nfs/dbraw/zinc/80/96/87/873809687.db2.gz GIGUMBFJUACQRA-CYBMUJFWSA-N 1 2 319.478 1.867 20 30 DDEDLO COC[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001378051933 874002722 /nfs/dbraw/zinc/00/27/22/874002722.db2.gz BIHYOXNISLYJPG-WFASDCNBSA-N 1 2 319.380 1.670 20 30 DDEDLO COC[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001378051933 874002732 /nfs/dbraw/zinc/00/27/32/874002732.db2.gz BIHYOXNISLYJPG-WFASDCNBSA-N 1 2 319.380 1.670 20 30 DDEDLO C#CCCCCCC(=O)N1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001348256471 874451418 /nfs/dbraw/zinc/45/14/18/874451418.db2.gz MDDNTRSPQOOGEO-UHFFFAOYSA-N 1 2 316.405 1.207 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+](Cc2cc3n(n2)CCC3)CC1 ZINC001227108581 882841411 /nfs/dbraw/zinc/84/14/11/882841411.db2.gz SKRCSTHWEDPLCW-UHFFFAOYSA-N 1 2 314.433 1.713 20 30 DDEDLO C=CCN(CC[NH+]1CCC(C#N)(C(=O)OC)CC1)C(=O)OCC ZINC001209023448 874608658 /nfs/dbraw/zinc/60/86/58/874608658.db2.gz GBTYNYUTCMWTPK-UHFFFAOYSA-N 1 2 323.393 1.410 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213317765 875895862 /nfs/dbraw/zinc/89/58/62/875895862.db2.gz VJKMMXNNOQAKCI-NUEKZKHPSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213317765 875895867 /nfs/dbraw/zinc/89/58/67/875895867.db2.gz VJKMMXNNOQAKCI-NUEKZKHPSA-N 1 2 320.437 1.338 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001214302267 876269771 /nfs/dbraw/zinc/26/97/71/876269771.db2.gz YQVYBRDYDUWBCI-CHWSQXEVSA-N 1 2 306.410 1.925 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001214468011 876352744 /nfs/dbraw/zinc/35/27/44/876352744.db2.gz QMKYDYQSCRVVDS-ZIAGYGMSSA-N 1 2 318.421 1.762 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@H]1CCC[C@H]1NC(=O)c1[nH]ncc1F ZINC001379181752 876577612 /nfs/dbraw/zinc/57/76/12/876577612.db2.gz VJFOZRACUCNFSW-MWLCHTKSSA-N 1 2 300.765 1.789 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001352934462 877022474 /nfs/dbraw/zinc/02/24/74/877022474.db2.gz MOLWEZDCEZUKTE-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1CCCCCC1 ZINC001276802165 877936918 /nfs/dbraw/zinc/93/69/18/877936918.db2.gz XEHMVQHTZBDMJZ-HOCLYGCPSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C1CCCCCC1 ZINC001276802165 877936931 /nfs/dbraw/zinc/93/69/31/877936931.db2.gz XEHMVQHTZBDMJZ-HOCLYGCPSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C3CC3)no2)C[C@@H]1O ZINC001219344511 878137272 /nfs/dbraw/zinc/13/72/72/878137272.db2.gz NYNXBBBSPRRBGU-CABCVRRESA-N 1 2 305.378 1.180 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C3CC3)no2)C[C@@H]1O ZINC001219344511 878137282 /nfs/dbraw/zinc/13/72/82/878137282.db2.gz NYNXBBBSPRRBGU-CABCVRRESA-N 1 2 305.378 1.180 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@H]2CCC[C@H]2OC)CC1 ZINC001300685864 878138382 /nfs/dbraw/zinc/13/83/82/878138382.db2.gz TVGWYRKFRPPJIO-HZPDHXFCSA-N 1 2 317.437 1.157 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@@H]1O ZINC001219686770 878449675 /nfs/dbraw/zinc/44/96/75/878449675.db2.gz VDPSDINSVPLOKP-SJORKVTESA-N 1 2 315.417 1.511 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@@H]1O ZINC001219686770 878449679 /nfs/dbraw/zinc/44/96/79/878449679.db2.gz VDPSDINSVPLOKP-SJORKVTESA-N 1 2 315.417 1.511 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)CCc1[nH+]ccn1C ZINC001355813589 878729059 /nfs/dbraw/zinc/72/90/59/878729059.db2.gz AVNOAJMKXKTYLF-UHFFFAOYSA-N 1 2 306.410 1.284 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)C3(CF)CC3)[C@@H](O)C2)s1 ZINC001220336261 878930355 /nfs/dbraw/zinc/93/03/55/878930355.db2.gz NIDLXQZSECFHPG-OLZOCXBDSA-N 1 2 323.393 1.031 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)C3(CF)CC3)[C@@H](O)C2)s1 ZINC001220336261 878930365 /nfs/dbraw/zinc/93/03/65/878930365.db2.gz NIDLXQZSECFHPG-OLZOCXBDSA-N 1 2 323.393 1.031 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCN(C)C(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001356698282 879202469 /nfs/dbraw/zinc/20/24/69/879202469.db2.gz JJKGBOCHXYBEHN-JKSUJKDBSA-N 1 2 319.449 1.049 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2CC[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001221754016 880056358 /nfs/dbraw/zinc/05/63/58/880056358.db2.gz WIZNSYZDOYTNTK-NVXWUHKLSA-N 1 2 314.433 1.646 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001221754016 880056367 /nfs/dbraw/zinc/05/63/67/880056367.db2.gz WIZNSYZDOYTNTK-NVXWUHKLSA-N 1 2 314.433 1.646 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C(C)(C)CCC)[C@@H]2C1 ZINC001221778479 880071430 /nfs/dbraw/zinc/07/14/30/880071430.db2.gz LPKDUDNEVDDOFT-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(C)CCC)[C@@H]2C1 ZINC001221778479 880071455 /nfs/dbraw/zinc/07/14/55/880071455.db2.gz LPKDUDNEVDDOFT-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)CCCC(C)C)[C@@H]2C1 ZINC001221828141 880109768 /nfs/dbraw/zinc/10/97/68/880109768.db2.gz WIYRVRRIVLLKDO-HZPDHXFCSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)CCCC(C)C)[C@@H]2C1 ZINC001221828141 880109774 /nfs/dbraw/zinc/10/97/74/880109774.db2.gz WIYRVRRIVLLKDO-HZPDHXFCSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+]1CCNC(=O)NC(C)(C)C ZINC001276843574 880732686 /nfs/dbraw/zinc/73/26/86/880732686.db2.gz ZGUCIJYQRNMOMU-CQSZACIVSA-N 1 2 322.453 1.078 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+]1CCNC(=O)NC(C)(C)C ZINC001276843574 880732692 /nfs/dbraw/zinc/73/26/92/880732692.db2.gz ZGUCIJYQRNMOMU-CQSZACIVSA-N 1 2 322.453 1.078 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+]1CCNC(=O)NC(C)(C)C ZINC001276843573 880732882 /nfs/dbraw/zinc/73/28/82/880732882.db2.gz ZGUCIJYQRNMOMU-AWEZNQCLSA-N 1 2 322.453 1.078 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+]1CCNC(=O)NC(C)(C)C ZINC001276843573 880732888 /nfs/dbraw/zinc/73/28/88/880732888.db2.gz ZGUCIJYQRNMOMU-AWEZNQCLSA-N 1 2 322.453 1.078 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1(CNC(=O)Cc2c[nH+]cn2C)CC1 ZINC001358678266 880746945 /nfs/dbraw/zinc/74/69/45/880746945.db2.gz LEAZBYBESZVDAZ-MRXNPFEDSA-N 1 2 318.421 1.330 20 30 DDEDLO C=CCCCC(=O)N[C@]1(C)CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001358805776 880942997 /nfs/dbraw/zinc/94/29/97/880942997.db2.gz VRKYDIAPSCDYMA-QGZVFWFLSA-N 1 2 318.421 1.737 20 30 DDEDLO N#CC1(NC(=O)[C@]23C[C@H]2CC[N@H+]3Cc2cccc(O)c2)CCC1 ZINC001276904928 881025642 /nfs/dbraw/zinc/02/56/42/881025642.db2.gz OTQAPPUKTMWPJG-KDOFPFPSSA-N 1 2 311.385 1.919 20 30 DDEDLO N#CC1(NC(=O)[C@]23C[C@H]2CC[N@@H+]3Cc2cccc(O)c2)CCC1 ZINC001276904928 881025658 /nfs/dbraw/zinc/02/56/58/881025658.db2.gz OTQAPPUKTMWPJG-KDOFPFPSSA-N 1 2 311.385 1.919 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001276915980 881077639 /nfs/dbraw/zinc/07/76/39/881077639.db2.gz HOXWQKBQXBQLBN-YOEHRIQHSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001276915980 881077654 /nfs/dbraw/zinc/07/76/54/881077654.db2.gz HOXWQKBQXBQLBN-YOEHRIQHSA-N 1 2 307.438 1.402 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]3C[C@]32C(=O)Nc2cn[nH]c2)cc1 ZINC001276931270 881148071 /nfs/dbraw/zinc/14/80/71/881148071.db2.gz VXFBQYSQGRDYQC-WMLDXEAASA-N 1 2 307.357 1.884 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]3C[C@]32C(=O)Nc2cn[nH]c2)cc1 ZINC001276931270 881148080 /nfs/dbraw/zinc/14/80/80/881148080.db2.gz VXFBQYSQGRDYQC-WMLDXEAASA-N 1 2 307.357 1.884 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(COCC)n2CCCCC)CC1 ZINC001359039313 881164613 /nfs/dbraw/zinc/16/46/13/881164613.db2.gz DHAOMIIBOJPFAG-UHFFFAOYSA-N 1 2 319.453 1.760 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224197969 881267208 /nfs/dbraw/zinc/26/72/08/881267208.db2.gz IXUBXWFTTSLJFP-AWEZNQCLSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CCCCC(=O)NCC1CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001224277504 881304189 /nfs/dbraw/zinc/30/41/89/881304189.db2.gz ZLPCALXZGUQPET-UHFFFAOYSA-N 1 2 305.426 1.798 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288054219 912699847 /nfs/dbraw/zinc/69/98/47/912699847.db2.gz ZOJJTMWYZRPFCA-ZIAGYGMSSA-N 1 2 318.421 1.710 20 30 DDEDLO CSc1ncc(C#N)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)n1 ZINC001228681318 883616857 /nfs/dbraw/zinc/61/68/57/883616857.db2.gz HAOLITOMUSSDEA-YZCVJGAOSA-N 1 2 304.375 1.061 20 30 DDEDLO CSc1ncc(C#N)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)n1 ZINC001228681318 883616862 /nfs/dbraw/zinc/61/68/62/883616862.db2.gz HAOLITOMUSSDEA-YZCVJGAOSA-N 1 2 304.375 1.061 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C1CC(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288342289 912843713 /nfs/dbraw/zinc/84/37/13/912843713.db2.gz ICFSTPKBPVVOMP-FVKWTLKZSA-N 1 2 318.421 1.660 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc4n[nH]cc4cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001230601072 884641568 /nfs/dbraw/zinc/64/15/68/884641568.db2.gz KIZACQZPWMVOOC-QCRWRKDUSA-N 1 2 316.317 1.462 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc4n[nH]cc4cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001230601072 884641577 /nfs/dbraw/zinc/64/15/77/884641577.db2.gz KIZACQZPWMVOOC-QCRWRKDUSA-N 1 2 316.317 1.462 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)Cc1cccs1 ZINC001230620960 884662167 /nfs/dbraw/zinc/66/21/67/884662167.db2.gz GCAOBKWHOJMRFY-AWEZNQCLSA-N 1 2 306.431 1.473 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)Cc1cccs1 ZINC001230620960 884662172 /nfs/dbraw/zinc/66/21/72/884662172.db2.gz GCAOBKWHOJMRFY-AWEZNQCLSA-N 1 2 306.431 1.473 20 30 DDEDLO COCCOCCC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230631119 884671400 /nfs/dbraw/zinc/67/14/00/884671400.db2.gz XMPHQYARDGWZFI-INIZCTEOSA-N 1 2 323.437 1.266 20 30 DDEDLO COCCOCCC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230631119 884671405 /nfs/dbraw/zinc/67/14/05/884671405.db2.gz XMPHQYARDGWZFI-INIZCTEOSA-N 1 2 323.437 1.266 20 30 DDEDLO COCCOCCC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230631123 884672816 /nfs/dbraw/zinc/67/28/16/884672816.db2.gz XMPHQYARDGWZFI-MRXNPFEDSA-N 1 2 323.437 1.266 20 30 DDEDLO COCCOCCC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230631123 884672822 /nfs/dbraw/zinc/67/28/22/884672822.db2.gz XMPHQYARDGWZFI-MRXNPFEDSA-N 1 2 323.437 1.266 20 30 DDEDLO CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001230664824 884720362 /nfs/dbraw/zinc/72/03/62/884720362.db2.gz PWLPNJXBSDXNIX-GFCCVEGCSA-N 1 2 307.419 1.689 20 30 DDEDLO CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001230664824 884720371 /nfs/dbraw/zinc/72/03/71/884720371.db2.gz PWLPNJXBSDXNIX-GFCCVEGCSA-N 1 2 307.419 1.689 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC1CCCCC1 ZINC001230682881 884743808 /nfs/dbraw/zinc/74/38/08/884743808.db2.gz XLOKKILCXUIABX-INIZCTEOSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC1CCCCC1 ZINC001230682881 884743823 /nfs/dbraw/zinc/74/38/23/884743823.db2.gz XLOKKILCXUIABX-INIZCTEOSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccsc1 ZINC001230699171 884763949 /nfs/dbraw/zinc/76/39/49/884763949.db2.gz VMQZHARBGZMGEQ-GXTWGEPZSA-N 1 2 319.430 1.032 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccsc1 ZINC001230699171 884763960 /nfs/dbraw/zinc/76/39/60/884763960.db2.gz VMQZHARBGZMGEQ-GXTWGEPZSA-N 1 2 319.430 1.032 20 30 DDEDLO C=C(Cl)C[N@@H+](CCCNC(=O)CC(N)=O)Cc1cccnc1 ZINC001230716582 884792559 /nfs/dbraw/zinc/79/25/59/884792559.db2.gz KRRCHULRFNGTAO-UHFFFAOYSA-N 1 2 324.812 1.018 20 30 DDEDLO C=C(Cl)C[N@H+](CCCNC(=O)CC(N)=O)Cc1cccnc1 ZINC001230716582 884792578 /nfs/dbraw/zinc/79/25/78/884792578.db2.gz KRRCHULRFNGTAO-UHFFFAOYSA-N 1 2 324.812 1.018 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC(C)(C)CN(C)C(=O)CCn1cc[nH+]c1 ZINC001382862010 884822907 /nfs/dbraw/zinc/82/29/07/884822907.db2.gz FMYUEHVAKAWXFQ-ZDUSSCGKSA-N 1 2 319.409 1.034 20 30 DDEDLO N#Cc1ccncc1C(=O)N1CC[NH2+][C@@H](c2ccc(F)cc2)C1 ZINC001362863736 884826186 /nfs/dbraw/zinc/82/61/86/884826186.db2.gz YMGWPYQSPIWIJV-MRXNPFEDSA-N 1 2 310.332 1.879 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCC[N@H+](CCF)Cc1cccnc1 ZINC001230763206 884846569 /nfs/dbraw/zinc/84/65/69/884846569.db2.gz USEUGEXFAPMACW-HNNXBMFYSA-N 1 2 321.396 1.398 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCC[N@@H+](CCF)Cc1cccnc1 ZINC001230763206 884846581 /nfs/dbraw/zinc/84/65/81/884846581.db2.gz USEUGEXFAPMACW-HNNXBMFYSA-N 1 2 321.396 1.398 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@H]2CN(C)C(=O)CSCC#N)o1 ZINC001230815348 884915805 /nfs/dbraw/zinc/91/58/05/884915805.db2.gz NKAYDBDRNRRHGX-ZDUSSCGKSA-N 1 2 322.434 1.581 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@H]2CN(C)C(=O)CSCC#N)o1 ZINC001230815348 884915827 /nfs/dbraw/zinc/91/58/27/884915827.db2.gz NKAYDBDRNRRHGX-ZDUSSCGKSA-N 1 2 322.434 1.581 20 30 DDEDLO COC(=O)c1ccc(F)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001230895353 885021301 /nfs/dbraw/zinc/02/13/01/885021301.db2.gz XQDCFFVMGIUBCN-HJTUNCCVSA-N 1 2 307.321 1.604 20 30 DDEDLO COC(=O)c1ccc(F)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001230895353 885021311 /nfs/dbraw/zinc/02/13/11/885021311.db2.gz XQDCFFVMGIUBCN-HJTUNCCVSA-N 1 2 307.321 1.604 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC001231246807 885426690 /nfs/dbraw/zinc/42/66/90/885426690.db2.gz IETIRHGZSDFBNL-WFASDCNBSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC001231246807 885426694 /nfs/dbraw/zinc/42/66/94/885426694.db2.gz IETIRHGZSDFBNL-WFASDCNBSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnn(C(C)(C)C)c1 ZINC001231293858 885477089 /nfs/dbraw/zinc/47/70/89/885477089.db2.gz RZRSCINTEPAXGK-UKRRQHHQSA-N 1 2 317.437 1.830 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnn(C(C)(C)C)c1 ZINC001231293858 885477093 /nfs/dbraw/zinc/47/70/93/885477093.db2.gz RZRSCINTEPAXGK-UKRRQHHQSA-N 1 2 317.437 1.830 20 30 DDEDLO N#Cc1cccc(C(=O)CN2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)c1 ZINC001277506931 885510502 /nfs/dbraw/zinc/51/05/02/885510502.db2.gz ROYUQKKZNUNAFV-UHFFFAOYSA-N 1 2 320.396 1.887 20 30 DDEDLO Cc1cc(NC2CC[NH+](CCS(C)(=O)=O)CC2)ncc1C#N ZINC001363147623 885579297 /nfs/dbraw/zinc/57/92/97/885579297.db2.gz FXZPEFDAWLHYFQ-UHFFFAOYSA-N 1 2 322.434 1.183 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+]([C@H]2CCCN(C(C)C)C2=O)CC1 ZINC001363245053 885808268 /nfs/dbraw/zinc/80/82/68/885808268.db2.gz PUMUUUSBIIYXDI-ZDUSSCGKSA-N 1 2 307.394 1.165 20 30 DDEDLO COc1ccc(C[NH+]2CCN(CCC#N)CC2)cc1OC(C)=O ZINC001231708884 885829009 /nfs/dbraw/zinc/82/90/09/885829009.db2.gz CUNQYIGMTOEUFK-UHFFFAOYSA-N 1 2 317.389 1.652 20 30 DDEDLO C=CCOC[C@@H]1c2c(ncn2C)CCN1Cc1c[nH+]c(C)[nH]1 ZINC001232462248 886407493 /nfs/dbraw/zinc/40/74/93/886407493.db2.gz LQYUHCXKLVAYSA-OAHLLOKOSA-N 1 2 301.394 1.754 20 30 DDEDLO N#Cc1ccnc(C[N@H+]2CCC[C@@H](NC(=O)c3ccncc3)C2)c1 ZINC001232482547 886422228 /nfs/dbraw/zinc/42/22/28/886422228.db2.gz YGRPOOFYECJUAI-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccnc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccncc3)C2)c1 ZINC001232482547 886422236 /nfs/dbraw/zinc/42/22/36/886422236.db2.gz YGRPOOFYECJUAI-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1cc(C[N@H+]2C[C@H](CO)[C@H](O)C2)ccc1Br ZINC001232893113 886657137 /nfs/dbraw/zinc/65/71/37/886657137.db2.gz BNYRXMFLOPLQOD-DGCLKSJQSA-N 1 2 311.179 1.106 20 30 DDEDLO N#Cc1cc(C[N@@H+]2C[C@H](CO)[C@H](O)C2)ccc1Br ZINC001232893113 886657150 /nfs/dbraw/zinc/65/71/50/886657150.db2.gz BNYRXMFLOPLQOD-DGCLKSJQSA-N 1 2 311.179 1.106 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NCCCC ZINC001233760620 887293574 /nfs/dbraw/zinc/29/35/74/887293574.db2.gz BMHXEFIGTQIWKF-INIZCTEOSA-N 1 2 321.465 1.629 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NCCCC ZINC001233760620 887293592 /nfs/dbraw/zinc/29/35/92/887293592.db2.gz BMHXEFIGTQIWKF-INIZCTEOSA-N 1 2 321.465 1.629 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@H](c3cnccn3)C2)c1 ZINC001363844881 887377199 /nfs/dbraw/zinc/37/71/99/887377199.db2.gz LUCCMZIDCKWKPM-AWEZNQCLSA-N 1 2 307.357 1.776 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CC[C@H](c3cnccn3)C2)c1 ZINC001363844881 887377213 /nfs/dbraw/zinc/37/72/13/887377213.db2.gz LUCCMZIDCKWKPM-AWEZNQCLSA-N 1 2 307.357 1.776 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[C@@H]1C[NH2+]Cc1cnsn1 ZINC001277814191 887407284 /nfs/dbraw/zinc/40/72/84/887407284.db2.gz NIWBVBMEUBPBOH-TZMCWYRMSA-N 1 2 324.450 1.600 20 30 DDEDLO C[N@@H+]1CCO[C@@H](COc2ccc(-c3cccc(C#N)c3)nn2)C1 ZINC001233942787 887482394 /nfs/dbraw/zinc/48/23/94/887482394.db2.gz GWBONZOBSDWDGA-OAHLLOKOSA-N 1 2 310.357 1.725 20 30 DDEDLO C[N@H+]1CCO[C@@H](COc2ccc(-c3cccc(C#N)c3)nn2)C1 ZINC001233942787 887482401 /nfs/dbraw/zinc/48/24/01/887482401.db2.gz GWBONZOBSDWDGA-OAHLLOKOSA-N 1 2 310.357 1.725 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NCC(C)C ZINC001233948254 887489813 /nfs/dbraw/zinc/48/98/13/887489813.db2.gz UCIUXYNVSNRCKR-HZPDHXFCSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCC(C)C ZINC001233948254 887489821 /nfs/dbraw/zinc/48/98/21/887489821.db2.gz UCIUXYNVSNRCKR-HZPDHXFCSA-N 1 2 321.465 1.483 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncnc4ccc(F)c(F)c43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234026739 887566344 /nfs/dbraw/zinc/56/63/44/887566344.db2.gz OLJAVUWZLZWCOU-LDGUKNRMSA-N 1 2 319.311 1.899 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncnc4ccc(F)c(F)c43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234026739 887566348 /nfs/dbraw/zinc/56/63/48/887566348.db2.gz OLJAVUWZLZWCOU-LDGUKNRMSA-N 1 2 319.311 1.899 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234030191 887567356 /nfs/dbraw/zinc/56/73/56/887567356.db2.gz OMASJODEEZEXHX-DZGCQCFKSA-N 1 2 319.449 1.093 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234030191 887567371 /nfs/dbraw/zinc/56/73/71/887567371.db2.gz OMASJODEEZEXHX-DZGCQCFKSA-N 1 2 319.449 1.093 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C(\C)CC ZINC001234130613 887675262 /nfs/dbraw/zinc/67/52/62/887675262.db2.gz NPJUPDLIBYJROW-TZLBIFBXSA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C(\C)CC ZINC001234130613 887675266 /nfs/dbraw/zinc/67/52/66/887675266.db2.gz NPJUPDLIBYJROW-TZLBIFBXSA-N 1 2 307.438 1.566 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C=C(\C)CC ZINC001234131142 887675329 /nfs/dbraw/zinc/67/53/29/887675329.db2.gz PQFJZNGOAJWEGW-CYAURGIBSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C(\C)CC ZINC001234131142 887675335 /nfs/dbraw/zinc/67/53/35/887675335.db2.gz PQFJZNGOAJWEGW-CYAURGIBSA-N 1 2 305.422 1.013 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H](C)Oc1cccnc1 ZINC001374533356 913179316 /nfs/dbraw/zinc/17/93/16/913179316.db2.gz NBPHBICNJMTEOW-ZDUSSCGKSA-N 1 2 311.813 1.992 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H](C)Oc1cccnc1 ZINC001374533356 913179325 /nfs/dbraw/zinc/17/93/25/913179325.db2.gz NBPHBICNJMTEOW-ZDUSSCGKSA-N 1 2 311.813 1.992 20 30 DDEDLO CCO[C@H](C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC)C(C)C ZINC001234247354 887792444 /nfs/dbraw/zinc/79/24/44/887792444.db2.gz ZYHCZOFUPHOMBH-CVEARBPZSA-N 1 2 310.438 1.230 20 30 DDEDLO CCO[C@H](C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC)C(C)C ZINC001234247354 887792453 /nfs/dbraw/zinc/79/24/53/887792453.db2.gz ZYHCZOFUPHOMBH-CVEARBPZSA-N 1 2 310.438 1.230 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234562625 888100860 /nfs/dbraw/zinc/10/08/60/888100860.db2.gz ICGZLRQLUFMQSY-CABCVRRESA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234562625 888100863 /nfs/dbraw/zinc/10/08/63/888100863.db2.gz ICGZLRQLUFMQSY-CABCVRRESA-N 1 2 321.465 1.812 20 30 DDEDLO Cc1ccc(NC(=O)N2CC[C@](O)(CC#N)C2)c(N(C)C)[nH+]1 ZINC001364319835 888372046 /nfs/dbraw/zinc/37/20/46/888372046.db2.gz BOIAFQZBOXEVHB-OAHLLOKOSA-N 1 2 303.366 1.338 20 30 DDEDLO C[C@H](C#N)C[N@H+](C)[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001364334480 888406147 /nfs/dbraw/zinc/40/61/47/888406147.db2.gz UIFXKFALGNLTNV-VNQPRFMTSA-N 1 2 317.389 1.460 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C)[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001364334480 888406151 /nfs/dbraw/zinc/40/61/51/888406151.db2.gz UIFXKFALGNLTNV-VNQPRFMTSA-N 1 2 317.389 1.460 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1conc1C(C)C ZINC001235243072 888497696 /nfs/dbraw/zinc/49/76/96/888497696.db2.gz FWAOCJZWQPQADQ-CQSZACIVSA-N 1 2 319.405 1.594 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1conc1C(C)C ZINC001235243072 888497702 /nfs/dbraw/zinc/49/77/02/888497702.db2.gz FWAOCJZWQPQADQ-CQSZACIVSA-N 1 2 319.405 1.594 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@@H]1CCCOC1 ZINC001235548443 888736878 /nfs/dbraw/zinc/73/68/78/888736878.db2.gz XHWYTOJVTPOODB-GFCCVEGCSA-N 1 2 319.243 1.760 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@@H]1CCCOC1 ZINC001235548443 888736881 /nfs/dbraw/zinc/73/68/81/888736881.db2.gz XHWYTOJVTPOODB-GFCCVEGCSA-N 1 2 319.243 1.760 20 30 DDEDLO Cc1nc([C@H]2CC[N@@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C2)no1 ZINC001364510513 888805486 /nfs/dbraw/zinc/80/54/86/888805486.db2.gz OVJPHEZVBDKOPR-KBPBESRZSA-N 1 2 311.345 1.266 20 30 DDEDLO Cc1nc([C@H]2CC[N@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C2)no1 ZINC001364510513 888805491 /nfs/dbraw/zinc/80/54/91/888805491.db2.gz OVJPHEZVBDKOPR-KBPBESRZSA-N 1 2 311.345 1.266 20 30 DDEDLO C#CCN(C(=O)c1cocn1)C1CC[NH+](CC(=C)Cl)CC1 ZINC001278128821 889790921 /nfs/dbraw/zinc/79/09/21/889790921.db2.gz RFMZMMNHHZOXNT-UHFFFAOYSA-N 1 2 307.781 1.967 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2ccc(C#N)cc2Cl)CCCO1 ZINC001238085020 890016873 /nfs/dbraw/zinc/01/68/73/890016873.db2.gz SYSGGYJEGXERDT-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2ccc(C#N)cc2Cl)CCCO1 ZINC001238085020 890016890 /nfs/dbraw/zinc/01/68/90/890016890.db2.gz SYSGGYJEGXERDT-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO CCc1cc(C[NH+]2CCN(C(=O)N(C)C)CC2)ccc1C#N ZINC001238766472 890336366 /nfs/dbraw/zinc/33/63/66/890336366.db2.gz MCWAPHTXSFWYFQ-UHFFFAOYSA-N 1 2 300.406 1.920 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnon1 ZINC001278310313 890879732 /nfs/dbraw/zinc/87/97/32/890879732.db2.gz RILSNWYONAQCKR-CABCVRRESA-N 1 2 304.394 1.991 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnon1 ZINC001278310313 890879748 /nfs/dbraw/zinc/87/97/48/890879748.db2.gz RILSNWYONAQCKR-CABCVRRESA-N 1 2 304.394 1.991 20 30 DDEDLO C[C@H]1CC(=O)C=C(c2cc(C(=O)N3CC[NH+](C)CC3)ccn2)C1 ZINC001242737373 891497120 /nfs/dbraw/zinc/49/71/20/891497120.db2.gz LXKYOISBYSQDJZ-CYBMUJFWSA-N 1 2 313.401 1.852 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+](Cc2ncc(C3CC3)o2)C1 ZINC001278368469 891699369 /nfs/dbraw/zinc/69/93/69/891699369.db2.gz ARRYHRQALPVTSS-QGZVFWFLSA-N 1 2 319.405 1.835 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+](Cc2ncc(C3CC3)o2)C1 ZINC001278368469 891699377 /nfs/dbraw/zinc/69/93/77/891699377.db2.gz ARRYHRQALPVTSS-QGZVFWFLSA-N 1 2 319.405 1.835 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](N2CCC(c3cccc(C#N)c3)CC2)C[NH2+]1 ZINC001246794624 892904558 /nfs/dbraw/zinc/90/45/58/892904558.db2.gz GFVNSGWTDIJDQP-SJORKVTESA-N 1 2 313.401 1.641 20 30 DDEDLO C[N@H+](CC(=O)N1CCOCC1)Cc1cc2ccc(C#N)cc2[nH]1 ZINC001249426212 893984424 /nfs/dbraw/zinc/98/44/24/893984424.db2.gz JCTLORVKKXNURA-UHFFFAOYSA-N 1 2 312.373 1.330 20 30 DDEDLO C[N@@H+](CC(=O)N1CCOCC1)Cc1cc2ccc(C#N)cc2[nH]1 ZINC001249426212 893984447 /nfs/dbraw/zinc/98/44/47/893984447.db2.gz JCTLORVKKXNURA-UHFFFAOYSA-N 1 2 312.373 1.330 20 30 DDEDLO C=CCOC[C@H](O)C[NH2+][C@H](C(=O)OCc1ccccc1)C(C)C ZINC001252487579 895207358 /nfs/dbraw/zinc/20/73/58/895207358.db2.gz WDJILNRXAIYDHU-SJORKVTESA-N 1 2 321.417 1.908 20 30 DDEDLO CC(C)(C)OCC(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001366963947 895446089 /nfs/dbraw/zinc/44/60/89/895446089.db2.gz SCFNSSCZHMXTCS-UHFFFAOYSA-N 1 2 315.417 1.921 20 30 DDEDLO CC[C@H](CNC(=O)c1c[nH]c(C#N)c1)[NH2+]Cc1cnsn1 ZINC001367102021 895871233 /nfs/dbraw/zinc/87/12/33/895871233.db2.gz QIQONOWENQQFFE-SNVBAGLBSA-N 1 2 304.379 1.036 20 30 DDEDLO CC#CCCCC(=O)NC[C@@]1(O)CC[N@H+](Cc2nccs2)C1 ZINC001278801264 896583490 /nfs/dbraw/zinc/58/34/90/896583490.db2.gz WLOHBDXMZROYHU-INIZCTEOSA-N 1 2 321.446 1.390 20 30 DDEDLO CC#CCCCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2nccs2)C1 ZINC001278801264 896583505 /nfs/dbraw/zinc/58/35/05/896583505.db2.gz WLOHBDXMZROYHU-INIZCTEOSA-N 1 2 321.446 1.390 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C(=O)C1=CCCC1)C(C)C ZINC001278904881 897214784 /nfs/dbraw/zinc/21/47/84/897214784.db2.gz XYASNLVGTXKEEW-UHFFFAOYSA-N 1 2 305.422 1.015 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C(=O)C1=CCCC1)C(C)C ZINC001278904881 897214800 /nfs/dbraw/zinc/21/48/00/897214800.db2.gz XYASNLVGTXKEEW-UHFFFAOYSA-N 1 2 305.422 1.015 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C2(CNC(=O)[C@@H](C)C#N)CC2)s1 ZINC001367988033 898410851 /nfs/dbraw/zinc/41/08/51/898410851.db2.gz BYIRTHRDQBMIDD-JTQLQIEISA-N 1 2 307.423 1.560 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(Cl)s3)n2C)CC1 ZINC001262876787 900405133 /nfs/dbraw/zinc/40/51/33/900405133.db2.gz DZSOPQCKDJEFTM-UHFFFAOYSA-N 1 2 321.837 1.952 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](CC)[C@H](C)O)n2CC=C)CC1 ZINC001262937699 900425824 /nfs/dbraw/zinc/42/58/24/900425824.db2.gz LKAGXCXTSSMHAU-GJZGRUSLSA-N 1 2 317.437 1.094 20 30 DDEDLO CC1(C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)[C@H]2CCCN(CC#N)[C@@H]21 ZINC001264030344 900882587 /nfs/dbraw/zinc/88/25/87/900882587.db2.gz QTRPTEKYMMCYEA-BMFZPTHFSA-N 1 2 315.421 1.471 20 30 DDEDLO CC1(C)[C@H](NC(=O)CCc2c[nH+]c[nH]2)[C@H]2CCCN(CC#N)[C@@H]21 ZINC001264030344 900882594 /nfs/dbraw/zinc/88/25/94/900882594.db2.gz QTRPTEKYMMCYEA-BMFZPTHFSA-N 1 2 315.421 1.471 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC(CC)CC ZINC001264374429 901057251 /nfs/dbraw/zinc/05/72/51/901057251.db2.gz GWCFQQAXLMZYNK-OAHLLOKOSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(CC)CC ZINC001264374429 901057270 /nfs/dbraw/zinc/05/72/70/901057270.db2.gz GWCFQQAXLMZYNK-OAHLLOKOSA-N 1 2 307.438 1.095 20 30 DDEDLO Cn1cncc1C(=O)NCC[N@H+](Cc1ccccc1C#N)C1CC1 ZINC001391168880 901123524 /nfs/dbraw/zinc/12/35/24/901123524.db2.gz LDIAWXGLDSCQKY-UHFFFAOYSA-N 1 2 323.400 1.686 20 30 DDEDLO Cn1cncc1C(=O)NCC[N@@H+](Cc1ccccc1C#N)C1CC1 ZINC001391168880 901123532 /nfs/dbraw/zinc/12/35/32/901123532.db2.gz LDIAWXGLDSCQKY-UHFFFAOYSA-N 1 2 323.400 1.686 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(Cl)nc2)C1 ZINC001265283390 901820534 /nfs/dbraw/zinc/82/05/34/901820534.db2.gz FAAXCYMGMFOEPJ-JSGCOSHPSA-N 1 2 321.808 1.464 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(Cl)nc2)C1 ZINC001265283390 901820542 /nfs/dbraw/zinc/82/05/42/901820542.db2.gz FAAXCYMGMFOEPJ-JSGCOSHPSA-N 1 2 321.808 1.464 20 30 DDEDLO C=CCOCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncs1 ZINC001265402632 901975325 /nfs/dbraw/zinc/97/53/25/901975325.db2.gz TXWURTONQNFBGC-BETUJISGSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncs1 ZINC001265402632 901975341 /nfs/dbraw/zinc/97/53/41/901975341.db2.gz TXWURTONQNFBGC-BETUJISGSA-N 1 2 307.419 1.635 20 30 DDEDLO CCC(=O)NCC[NH+]1CCN(Cc2ccc(F)c(C#N)c2)CC1 ZINC001369874556 902134687 /nfs/dbraw/zinc/13/46/87/902134687.db2.gz YODUWZLYMRWELB-UHFFFAOYSA-N 1 2 318.396 1.341 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@@H+](Cc2cn(CC)nn2)C1 ZINC001265582175 902160170 /nfs/dbraw/zinc/16/01/70/902160170.db2.gz MYVPVSLANZDDEC-HNNXBMFYSA-N 1 2 317.437 1.430 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@H+](Cc2cn(CC)nn2)C1 ZINC001265582175 902160179 /nfs/dbraw/zinc/16/01/79/902160179.db2.gz MYVPVSLANZDDEC-HNNXBMFYSA-N 1 2 317.437 1.430 20 30 DDEDLO C[C@H](CNC(=O)CSCC#N)[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001265755370 902370085 /nfs/dbraw/zinc/37/00/85/902370085.db2.gz WVNPSVNRNMGQKJ-NXEZZACHSA-N 1 2 323.422 1.359 20 30 DDEDLO C=CCOCC(=O)N(C)C1CC[NH+]([C@H](C)c2nnc(C)o2)CC1 ZINC001266177917 903066573 /nfs/dbraw/zinc/06/65/73/903066573.db2.gz RMMMFBLLHDLMPP-GFCCVEGCSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2cc(C)oc2C)C1 ZINC001266200644 903097228 /nfs/dbraw/zinc/09/72/28/903097228.db2.gz PZJPGLHFZYFPBQ-AWEZNQCLSA-N 1 2 319.405 1.393 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2cc(C)oc2C)C1 ZINC001266200644 903097240 /nfs/dbraw/zinc/09/72/40/903097240.db2.gz PZJPGLHFZYFPBQ-AWEZNQCLSA-N 1 2 319.405 1.393 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001280537193 903775520 /nfs/dbraw/zinc/77/55/20/903775520.db2.gz UCGXQZIVQOPXHO-BLLLJJGKSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)(C)CC)CO2 ZINC001280968684 904253743 /nfs/dbraw/zinc/25/37/43/904253743.db2.gz LIKYGXHOFMQGRD-AWEZNQCLSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2COC3(C[NH+](CCOCC)C3)C2)CC1 ZINC001280970196 904256664 /nfs/dbraw/zinc/25/66/64/904256664.db2.gz YANVAHXCMVQHMP-INIZCTEOSA-N 1 2 322.449 1.729 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CN(C)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001281027224 904330504 /nfs/dbraw/zinc/33/05/04/904330504.db2.gz PFTAQDQIEQWRNJ-ZDUSSCGKSA-N 1 2 318.421 1.310 20 30 DDEDLO C[C@@H](C[NH2+]Cc1nc(C(F)F)no1)NC(=O)c1cc(C#N)c[nH]1 ZINC001392550773 904439352 /nfs/dbraw/zinc/43/93/52/904439352.db2.gz JEBXHOIPOGPDNE-ZETCQYMHSA-N 1 2 324.291 1.115 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](NC(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001281163419 904510726 /nfs/dbraw/zinc/51/07/26/904510726.db2.gz FCGPKICUHKTGFC-CABCVRRESA-N 1 2 316.405 1.182 20 30 DDEDLO N#CCN1CCc2ccccc2[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001281777169 905212810 /nfs/dbraw/zinc/21/28/10/905212810.db2.gz LJQNNFGVSFNXNO-INIZCTEOSA-N 1 2 309.373 1.122 20 30 DDEDLO COCC#CC[NH2+][C@H](CCN(C)C(=O)Cc1c[nH+]c[nH]1)C(C)C ZINC001282568731 905872667 /nfs/dbraw/zinc/87/26/67/905872667.db2.gz OMFXYNULQBLSDJ-MRXNPFEDSA-N 1 2 320.437 1.065 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C/C=C(/C)C=C)C1 ZINC001282733893 905995112 /nfs/dbraw/zinc/99/51/12/905995112.db2.gz JRMVACUVHIYGPZ-LVOZBFFPSA-N 1 2 305.422 1.248 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)CCC1 ZINC001283366142 907227063 /nfs/dbraw/zinc/22/70/63/907227063.db2.gz HYFJHXCRGUSBPT-CYBMUJFWSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001372479815 907400801 /nfs/dbraw/zinc/40/08/01/907400801.db2.gz IDVDNPUGAYWRAD-OAHLLOKOSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001372479815 907400807 /nfs/dbraw/zinc/40/08/07/907400807.db2.gz IDVDNPUGAYWRAD-OAHLLOKOSA-N 1 2 315.845 1.626 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H](C)[NH2+]Cc1nc(C2CC2)no1 ZINC001283608136 907671950 /nfs/dbraw/zinc/67/19/50/907671950.db2.gz NUQGICHCDYGTJU-GFCCVEGCSA-N 1 2 304.394 1.543 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001283893130 908145162 /nfs/dbraw/zinc/14/51/62/908145162.db2.gz ORAILVJSNBMDCK-DYVFJYSZSA-N 1 2 318.421 1.282 20 30 DDEDLO COCC#CC[NH2+][C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001316630506 908169127 /nfs/dbraw/zinc/16/91/27/908169127.db2.gz YDVGVJAAKQQOJW-DCAQKATOSA-N 1 2 310.291 1.021 20 30 DDEDLO COCC#CC[NH2+][C@H]1C[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001316630506 908169142 /nfs/dbraw/zinc/16/91/42/908169142.db2.gz YDVGVJAAKQQOJW-DCAQKATOSA-N 1 2 310.291 1.021 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1[N@H+](Cc1cnon1)CC2 ZINC001284049798 908392179 /nfs/dbraw/zinc/39/21/79/908392179.db2.gz FLSCGRWZVZDMOO-ZBFHGGJFSA-N 1 2 302.378 1.486 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1[N@@H+](Cc1cnon1)CC2 ZINC001284049798 908392192 /nfs/dbraw/zinc/39/21/92/908392192.db2.gz FLSCGRWZVZDMOO-ZBFHGGJFSA-N 1 2 302.378 1.486 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@H]1CC[N@H+](Cc2ncc(Cl)n2C)C1 ZINC001373158141 909108427 /nfs/dbraw/zinc/10/84/27/909108427.db2.gz NVYHMHVJEZPDEM-RYUDHWBXSA-N 1 2 323.828 1.561 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@H]1CC[N@@H+](Cc2ncc(Cl)n2C)C1 ZINC001373158141 909108433 /nfs/dbraw/zinc/10/84/33/909108433.db2.gz NVYHMHVJEZPDEM-RYUDHWBXSA-N 1 2 323.828 1.561 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)CCCn2cncn2)C1 ZINC001394252541 909190985 /nfs/dbraw/zinc/19/09/85/909190985.db2.gz VQGSBAYUXWQCOF-GFCCVEGCSA-N 1 2 311.817 1.247 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001284679263 909346509 /nfs/dbraw/zinc/34/65/09/909346509.db2.gz FAMKGDZJOQGWIM-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO CCC(C)(C)CC(=O)NCC1=CC[N@H+](CC(=O)NCC#N)CC1 ZINC001284917203 909729826 /nfs/dbraw/zinc/72/98/26/909729826.db2.gz IHECMQOVDUMFHO-UHFFFAOYSA-N 1 2 320.437 1.201 20 30 DDEDLO CCC(C)(C)CC(=O)NCC1=CC[N@@H+](CC(=O)NCC#N)CC1 ZINC001284917203 909729837 /nfs/dbraw/zinc/72/98/37/909729837.db2.gz IHECMQOVDUMFHO-UHFFFAOYSA-N 1 2 320.437 1.201 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001285022300 909949927 /nfs/dbraw/zinc/94/99/27/909949927.db2.gz AGNZXRFZULQUPA-AWEZNQCLSA-N 1 2 318.421 1.758 20 30 DDEDLO CCn1ncnc1C[NH+]1CCC([C@H](C)NC(=O)[C@@H](C)C#N)CC1 ZINC001394841934 910717354 /nfs/dbraw/zinc/71/73/54/910717354.db2.gz APJGDEVKDQJAOK-STQMWFEESA-N 1 2 318.425 1.174 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C/CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001285540361 910763811 /nfs/dbraw/zinc/76/38/11/910763811.db2.gz ACBAFPIXBXBPEG-ISZGNANSSA-N 1 2 316.405 1.036 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C/CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001285540361 910763823 /nfs/dbraw/zinc/76/38/23/910763823.db2.gz ACBAFPIXBXBPEG-ISZGNANSSA-N 1 2 316.405 1.036 20 30 DDEDLO C#CCCCCC(=O)N(C)[C@@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001285773386 911186418 /nfs/dbraw/zinc/18/64/18/911186418.db2.gz FWSITRAGCBOMIC-ZDUSSCGKSA-N 1 2 318.421 1.417 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H](C)N(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001285841125 911271741 /nfs/dbraw/zinc/27/17/41/911271741.db2.gz AXORFKLNIQEPRO-SJCJKPOMSA-N 1 2 320.437 1.826 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2C[C@@H]2CCCS2)CC1 ZINC001286479287 911992876 /nfs/dbraw/zinc/99/28/76/911992876.db2.gz PONVKCUITZSUBM-AWEZNQCLSA-N 1 2 319.478 1.491 20 30 DDEDLO CCN(CCCNC(=O)C#CC(C)(C)C)C(=O)Cc1c[nH+]c[nH]1 ZINC001294448913 915148419 /nfs/dbraw/zinc/14/84/19/915148419.db2.gz RITCXNGKYZFKBA-UHFFFAOYSA-N 1 2 318.421 1.357 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1nc(C(C)(C)C)no1)C1CC1 ZINC001375219344 915299021 /nfs/dbraw/zinc/29/90/21/915299021.db2.gz SDOVLACDTHRDQK-PWSUYJOCSA-N 1 2 319.409 1.511 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](NC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001294916842 915460271 /nfs/dbraw/zinc/46/02/71/915460271.db2.gz DYGYEWXDWFCFNL-AWEZNQCLSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](NC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001294916842 915460279 /nfs/dbraw/zinc/46/02/79/915460279.db2.gz DYGYEWXDWFCFNL-AWEZNQCLSA-N 1 2 318.421 1.566 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)NC(=O)C#CC(C)(C)C ZINC001295532210 915878172 /nfs/dbraw/zinc/87/81/72/915878172.db2.gz KMQAPQDROJSAGZ-LBPRGKRZSA-N 1 2 304.394 1.013 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)NC(=O)C#CC(C)(C)C ZINC001295532210 915878193 /nfs/dbraw/zinc/87/81/93/915878193.db2.gz KMQAPQDROJSAGZ-LBPRGKRZSA-N 1 2 304.394 1.013 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001296129192 916248694 /nfs/dbraw/zinc/24/86/94/916248694.db2.gz RTFVLFPMXNOODQ-CHWSQXEVSA-N 1 2 318.421 1.690 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001296129192 916248713 /nfs/dbraw/zinc/24/87/13/916248713.db2.gz RTFVLFPMXNOODQ-CHWSQXEVSA-N 1 2 318.421 1.690 20 30 DDEDLO C=CCCC(=O)NCC1CN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001297172814 916778815 /nfs/dbraw/zinc/77/88/15/916778815.db2.gz NAGJORRZUSRBMK-ZIAGYGMSSA-N 1 2 316.405 1.064 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@H](CNC(=O)CCOC)C1 ZINC001375984093 917411757 /nfs/dbraw/zinc/41/17/57/917411757.db2.gz RYBPXLJEUMNRJI-LLVKDONJSA-N 1 2 310.772 1.849 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)CCOC)C1 ZINC001375984093 917411766 /nfs/dbraw/zinc/41/17/66/917411766.db2.gz RYBPXLJEUMNRJI-LLVKDONJSA-N 1 2 310.772 1.849 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1(O)C[NH+](CCCc2cccc(F)c2)C1 ZINC001376152996 917822789 /nfs/dbraw/zinc/82/27/89/917822789.db2.gz WRPNJDXRCOQICC-ZDUSSCGKSA-N 1 2 319.380 1.081 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@](CO)(NC(=O)[C@@H](C)C#N)C2)sn1 ZINC001376456306 918535985 /nfs/dbraw/zinc/53/59/85/918535985.db2.gz QFOMCKVIAZGGBI-XHDPSFHLSA-N 1 2 322.434 1.054 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@](CO)(NC(=O)[C@@H](C)C#N)C2)sn1 ZINC001376456306 918535995 /nfs/dbraw/zinc/53/59/95/918535995.db2.gz QFOMCKVIAZGGBI-XHDPSFHLSA-N 1 2 322.434 1.054 20 30 DDEDLO CCc1ccccc1NC(=O)C[N@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001377131094 920415381 /nfs/dbraw/zinc/41/53/81/920415381.db2.gz PSHLBXRCUDEMCN-ZDUSSCGKSA-N 1 2 316.405 1.395 20 30 DDEDLO CCc1ccccc1NC(=O)C[N@@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001377131094 920415391 /nfs/dbraw/zinc/41/53/91/920415391.db2.gz PSHLBXRCUDEMCN-ZDUSSCGKSA-N 1 2 316.405 1.395 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)C[C@H](C)COC)CC1 ZINC001377583527 922402880 /nfs/dbraw/zinc/40/28/80/922402880.db2.gz YQVRJGTXAMSGRM-LBPRGKRZSA-N 1 2 318.845 1.355 20 30 DDEDLO C=C(Cl)CN1CCC[C@@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001377828655 923160295 /nfs/dbraw/zinc/16/02/95/923160295.db2.gz CUWWUWLHTBWMIX-CQSZACIVSA-N 1 2 310.829 1.996 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)Cc2ccon2)C1 ZINC001377958301 923518063 /nfs/dbraw/zinc/51/80/63/923518063.db2.gz GEJWDPSVZIUAGB-UHFFFAOYSA-N 1 2 310.357 1.337 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)CNC(=O)c2ccccc2)C1 ZINC001378013978 923698200 /nfs/dbraw/zinc/69/82/00/923698200.db2.gz HZHXVMPINNAUGF-UHFFFAOYSA-N 1 2 321.808 1.217 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)NC[C@H]1CCCN(CC#N)C1)C2 ZINC000614266518 361781328 /nfs/dbraw/zinc/78/13/28/361781328.db2.gz XJEMPEQROJPXTP-ZIAGYGMSSA-N 1 2 315.421 1.175 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)NC[C@H]1CCCN(CC#N)C1)CC2 ZINC000614266518 361781332 /nfs/dbraw/zinc/78/13/32/361781332.db2.gz XJEMPEQROJPXTP-ZIAGYGMSSA-N 1 2 315.421 1.175 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)Nc1ccc(F)c(F)c1 ZINC000284440206 222617576 /nfs/dbraw/zinc/61/75/76/222617576.db2.gz PFRWJSHWHQYCPZ-PELKAZGASA-N 1 2 313.304 1.760 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)c2ccc(C#N)n2C)CC1 ZINC000451428109 231091394 /nfs/dbraw/zinc/09/13/94/231091394.db2.gz KBXYCVDDCIYVEZ-UHFFFAOYSA-N 1 2 309.373 1.563 20 30 DDEDLO Cc1c(C[NH2+][C@H]2CCO[C@@H]2c2cnn(C)c2C)cc(C#N)n1C ZINC000328619488 231249414 /nfs/dbraw/zinc/24/94/14/231249414.db2.gz FVYCGAQBBBVBLK-DLBZAZTESA-N 1 2 313.405 1.867 20 30 DDEDLO COC[C@@H]1CCCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000452036310 231277076 /nfs/dbraw/zinc/27/70/76/231277076.db2.gz IQDDJKIIOVSXNX-AWEZNQCLSA-N 1 2 323.418 1.228 20 30 DDEDLO COC[C@@H]1CCCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000452036310 231277080 /nfs/dbraw/zinc/27/70/80/231277080.db2.gz IQDDJKIIOVSXNX-AWEZNQCLSA-N 1 2 323.418 1.228 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)c1ccc(F)cc1C#N ZINC000451934152 529590175 /nfs/dbraw/zinc/59/01/75/529590175.db2.gz VQXNGSXOYQUTJL-SNVBAGLBSA-N 1 2 322.365 1.953 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000088524522 185254939 /nfs/dbraw/zinc/25/49/39/185254939.db2.gz LRNVYEUGAZJMQI-INIZCTEOSA-N 1 2 300.406 1.393 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)NC[C@@H]3CC3(C)C)CC2)cn1 ZINC000329465963 539304746 /nfs/dbraw/zinc/30/47/46/539304746.db2.gz VTWACNAITKKYFQ-AWEZNQCLSA-N 1 2 305.426 1.498 20 30 DDEDLO O=C(Nc1ccn2cc[nH+]c2c1)NC1CCS(=O)(=O)CC1 ZINC000329536552 539305490 /nfs/dbraw/zinc/30/54/90/539305490.db2.gz ULRQRQMORHRTFO-UHFFFAOYSA-N 1 2 308.363 1.237 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC000092842458 185345639 /nfs/dbraw/zinc/34/56/39/185345639.db2.gz TYJKJTOTFOAPEQ-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC000092842458 185345640 /nfs/dbraw/zinc/34/56/40/185345640.db2.gz TYJKJTOTFOAPEQ-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C(N)=O)c(F)c3)C[C@H]21 ZINC000329944185 529786186 /nfs/dbraw/zinc/78/61/86/529786186.db2.gz WOCQXKGQZOQJKS-CHWSQXEVSA-N 1 2 322.340 1.269 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C(N)=O)c(F)c3)C[C@H]21 ZINC000329944185 529786188 /nfs/dbraw/zinc/78/61/88/529786188.db2.gz WOCQXKGQZOQJKS-CHWSQXEVSA-N 1 2 322.340 1.269 20 30 DDEDLO CN(CC(=O)NC1CCOCC1)Cc1cn2c([nH+]1)CCCC2 ZINC000330247391 529819609 /nfs/dbraw/zinc/81/96/09/529819609.db2.gz LRTSJRXFRJSCPX-UHFFFAOYSA-N 1 2 306.410 1.787 20 30 DDEDLO CNC(=O)C[NH+]1CCN([C@@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348328502 529863184 /nfs/dbraw/zinc/86/31/84/529863184.db2.gz KYYKOKLTACTVBR-AWEZNQCLSA-N 1 2 306.797 1.268 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc([C@@H]2CCOC2)cc1 ZINC000414123091 529868425 /nfs/dbraw/zinc/86/84/25/529868425.db2.gz JEBQRHWYIXTNGY-CVEARBPZSA-N 1 2 304.390 1.776 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc([C@@H]2CCOC2)cc1 ZINC000414123091 529868426 /nfs/dbraw/zinc/86/84/26/529868426.db2.gz JEBQRHWYIXTNGY-CVEARBPZSA-N 1 2 304.390 1.776 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccccc1OC(F)F ZINC000414148937 529868759 /nfs/dbraw/zinc/86/87/59/529868759.db2.gz UCGGJADPGGLKIZ-NSHDSACASA-N 1 2 300.305 1.873 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccccc1OC(F)F ZINC000414148937 529868761 /nfs/dbraw/zinc/86/87/61/529868761.db2.gz UCGGJADPGGLKIZ-NSHDSACASA-N 1 2 300.305 1.873 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000615547565 362332642 /nfs/dbraw/zinc/33/26/42/362332642.db2.gz PKXQJPYIENYARV-IUODEOHRSA-N 1 2 303.341 1.307 20 30 DDEDLO CN1CC[N@H+](C)C[C@H]1CNC(=O)[C@H]1CCC(=O)c2cccn21 ZINC000329859813 530070987 /nfs/dbraw/zinc/07/09/87/530070987.db2.gz PAUAAHCLMPDCQO-TZMCWYRMSA-N 1 2 304.394 1.208 20 30 DDEDLO CN1CC[N@@H+](C)C[C@H]1CNC(=O)[C@H]1CCC(=O)c2cccn21 ZINC000329859813 530070988 /nfs/dbraw/zinc/07/09/88/530070988.db2.gz PAUAAHCLMPDCQO-TZMCWYRMSA-N 1 2 304.394 1.208 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@H+]3CCSC[C@H]3CO)o2)cc1 ZINC000330842393 232108293 /nfs/dbraw/zinc/10/82/93/232108293.db2.gz MSIFQYPUIQIDKW-CYBMUJFWSA-N 1 2 316.386 1.518 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@@H+]3CCSC[C@H]3CO)o2)cc1 ZINC000330842393 232108295 /nfs/dbraw/zinc/10/82/95/232108295.db2.gz MSIFQYPUIQIDKW-CYBMUJFWSA-N 1 2 316.386 1.518 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000290442079 222701293 /nfs/dbraw/zinc/70/12/93/222701293.db2.gz KPOJDCDADUVLJL-AWEZNQCLSA-N 1 2 316.405 1.201 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([NH2+]Cc3csnn3)C2=O)cc1 ZINC000272477428 209884065 /nfs/dbraw/zinc/88/40/65/209884065.db2.gz BLQPGJHQDUIMMC-AWEZNQCLSA-N 1 2 313.386 1.695 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2sccc2C#N)C[C@@H]1C ZINC000191866625 186267250 /nfs/dbraw/zinc/26/72/50/186267250.db2.gz RTXBWPGXKKUMDJ-JQWIXIFHSA-N 1 2 321.402 1.689 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2sccc2C#N)C[C@@H]1C ZINC000191866625 186267252 /nfs/dbraw/zinc/26/72/52/186267252.db2.gz RTXBWPGXKKUMDJ-JQWIXIFHSA-N 1 2 321.402 1.689 20 30 DDEDLO C=CC[N@@H+](CCc1scnc1C)[C@H]1CCS(=O)(=O)C1 ZINC000172536938 198111695 /nfs/dbraw/zinc/11/16/95/198111695.db2.gz LKZHLYKULMHPNR-LBPRGKRZSA-N 1 2 300.449 1.669 20 30 DDEDLO C=CC[N@H+](CCc1scnc1C)[C@H]1CCS(=O)(=O)C1 ZINC000172536938 198111697 /nfs/dbraw/zinc/11/16/97/198111697.db2.gz LKZHLYKULMHPNR-LBPRGKRZSA-N 1 2 300.449 1.669 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(Cc2cc(C)no2)CC1 ZINC000053456650 352656962 /nfs/dbraw/zinc/65/69/62/352656962.db2.gz HVQCIFWZCKURNL-UHFFFAOYSA-N 1 2 320.437 1.525 20 30 DDEDLO C=C1CC[NH+](CC(=O)Nc2c(C(=O)OCC)cnn2C)CC1 ZINC000067118264 353034417 /nfs/dbraw/zinc/03/44/17/353034417.db2.gz PKWLEKABGXBEDR-UHFFFAOYSA-N 1 2 306.366 1.187 20 30 DDEDLO CC(C)[C@@H](CNc1ncc([N+](=O)[O-])cc1C#N)[NH+]1CCOCC1 ZINC000068127257 353090466 /nfs/dbraw/zinc/09/04/66/353090466.db2.gz XGEJUYYMAJPUDS-CQSZACIVSA-N 1 2 319.365 1.630 20 30 DDEDLO CS(=O)(=O)NCC[N@@H+]1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000076152938 353401671 /nfs/dbraw/zinc/40/16/71/353401671.db2.gz IDKQUWVGOSBMKJ-LSDHHAIUSA-N 1 2 307.419 1.307 20 30 DDEDLO CS(=O)(=O)NCC[N@H+]1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000076152938 353401674 /nfs/dbraw/zinc/40/16/74/353401674.db2.gz IDKQUWVGOSBMKJ-LSDHHAIUSA-N 1 2 307.419 1.307 20 30 DDEDLO CCC(=O)N1CCC[N@@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000077387708 353466859 /nfs/dbraw/zinc/46/68/59/353466859.db2.gz FFCIXEMKBAVVCE-YOEHRIQHSA-N 1 2 322.453 1.374 20 30 DDEDLO CCC(=O)N1CCC[N@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000077387708 353466860 /nfs/dbraw/zinc/46/68/60/353466860.db2.gz FFCIXEMKBAVVCE-YOEHRIQHSA-N 1 2 322.453 1.374 20 30 DDEDLO CC[N@@H+](CC(=O)NC(=O)Nc1ccc(OC)cc1)C[C@@H](C)C#N ZINC000140433963 354135794 /nfs/dbraw/zinc/13/57/94/354135794.db2.gz PCFKJLYNUSTBRK-LBPRGKRZSA-N 1 2 318.377 1.825 20 30 DDEDLO CC[N@H+](CC(=O)NC(=O)Nc1ccc(OC)cc1)C[C@@H](C)C#N ZINC000140433963 354135799 /nfs/dbraw/zinc/13/57/99/354135799.db2.gz PCFKJLYNUSTBRK-LBPRGKRZSA-N 1 2 318.377 1.825 20 30 DDEDLO Cn1ccnc1C[N@H+](C)C[C@@H](O)COc1ccccc1C#N ZINC000314940528 354490068 /nfs/dbraw/zinc/49/00/68/354490068.db2.gz BAROASSCNVIOOG-CQSZACIVSA-N 1 2 300.362 1.163 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)C[C@@H](O)COc1ccccc1C#N ZINC000314940528 354490071 /nfs/dbraw/zinc/49/00/71/354490071.db2.gz BAROASSCNVIOOG-CQSZACIVSA-N 1 2 300.362 1.163 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)NCC2(C#N)CCC2)CC1 ZINC000578731151 354711970 /nfs/dbraw/zinc/71/19/70/354711970.db2.gz PVMZUTDZYXZRIP-UHFFFAOYSA-N 1 2 313.405 1.916 20 30 DDEDLO Cc1oc(C)c(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1C ZINC000585698862 354829377 /nfs/dbraw/zinc/82/93/77/354829377.db2.gz XRBNAODAZHKJSZ-UHFFFAOYSA-N 1 2 319.405 1.893 20 30 DDEDLO N#Cc1ccc(C2(C(=O)N[C@@H]3CCc4c[nH+]cn4C3)CC2)cc1 ZINC000587062366 354880442 /nfs/dbraw/zinc/88/04/42/354880442.db2.gz SRFADBCFGXXKIV-OAHLLOKOSA-N 1 2 306.369 1.918 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3ccnc(C)n3)CC2)c1C#N ZINC000589287379 354981752 /nfs/dbraw/zinc/98/17/52/354981752.db2.gz BHWGBJSMTKFBPW-UHFFFAOYSA-N 1 2 314.418 1.744 20 30 DDEDLO CCC[C@H](C#N)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000589835106 355023045 /nfs/dbraw/zinc/02/30/45/355023045.db2.gz UBZOTCPETMOLSF-OCCSQVGLSA-N 1 2 319.409 1.292 20 30 DDEDLO CCC[C@H](C#N)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000589835106 355023049 /nfs/dbraw/zinc/02/30/49/355023049.db2.gz UBZOTCPETMOLSF-OCCSQVGLSA-N 1 2 319.409 1.292 20 30 DDEDLO C=CCOCC(=O)N[C@H](c1[nH+]ccn1C)c1cccc(OC)c1 ZINC000591118555 355250961 /nfs/dbraw/zinc/25/09/61/355250961.db2.gz GEPWBGBKZHFMHY-INIZCTEOSA-N 1 2 315.373 1.837 20 30 DDEDLO CC(C)(C#N)C[NH2+]CCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000591759593 355378771 /nfs/dbraw/zinc/37/87/71/355378771.db2.gz OTRGVJGHRSTPRO-UHFFFAOYSA-N 1 2 311.363 1.508 20 30 DDEDLO Cc1cc(-n2cccn2)ccc1NC[C@@H](O)C[N@H+](C)CCC#N ZINC000591774940 355382328 /nfs/dbraw/zinc/38/23/28/355382328.db2.gz YYILTIBHZYPJIJ-MRXNPFEDSA-N 1 2 313.405 1.799 20 30 DDEDLO Cc1cc(-n2cccn2)ccc1NC[C@@H](O)C[N@@H+](C)CCC#N ZINC000591774940 355382330 /nfs/dbraw/zinc/38/23/30/355382330.db2.gz YYILTIBHZYPJIJ-MRXNPFEDSA-N 1 2 313.405 1.799 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1ccc(C#N)cc1[N+](=O)[O-] ZINC000286174589 291067793 /nfs/dbraw/zinc/06/77/93/291067793.db2.gz ABWZLVPWVGJQDB-GXTWGEPZSA-N 1 2 316.361 1.766 20 30 DDEDLO Cc1cccc2[nH+]c(CCNS(=O)(=O)CCCCC#N)cn21 ZINC000592120474 355505474 /nfs/dbraw/zinc/50/54/74/355505474.db2.gz BWFNWEPTGLLAQC-UHFFFAOYSA-N 1 2 320.418 1.799 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)NCCCc2ccccc2)C1 ZINC000592147367 355512697 /nfs/dbraw/zinc/51/26/97/355512697.db2.gz KPDVLJRVHDNATP-QGZVFWFLSA-N 1 2 301.390 1.086 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)NCCCc2ccccc2)C1 ZINC000592147367 355512701 /nfs/dbraw/zinc/51/27/01/355512701.db2.gz KPDVLJRVHDNATP-QGZVFWFLSA-N 1 2 301.390 1.086 20 30 DDEDLO CCN(C(=O)[C@H](C)[N@@H+]1CC[C@](O)(CC#N)C1)c1ccccc1 ZINC000592147166 355512912 /nfs/dbraw/zinc/51/29/12/355512912.db2.gz IVVVSHLETOQZKR-WMLDXEAASA-N 1 2 301.390 1.778 20 30 DDEDLO CCN(C(=O)[C@H](C)[N@H+]1CC[C@](O)(CC#N)C1)c1ccccc1 ZINC000592147166 355512914 /nfs/dbraw/zinc/51/29/14/355512914.db2.gz IVVVSHLETOQZKR-WMLDXEAASA-N 1 2 301.390 1.778 20 30 DDEDLO CCOc1ccccc1NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148365 355515531 /nfs/dbraw/zinc/51/55/31/355515531.db2.gz XVOWSEZVBOPANT-INIZCTEOSA-N 1 2 303.362 1.374 20 30 DDEDLO CCOc1ccccc1NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148365 355515536 /nfs/dbraw/zinc/51/55/36/355515536.db2.gz XVOWSEZVBOPANT-INIZCTEOSA-N 1 2 303.362 1.374 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000592151655 355517373 /nfs/dbraw/zinc/51/73/73/355517373.db2.gz QBRDXXSOFUSSDH-GDBMZVCRSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000592151655 355517378 /nfs/dbraw/zinc/51/73/78/355517378.db2.gz QBRDXXSOFUSSDH-GDBMZVCRSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000592151659 355518561 /nfs/dbraw/zinc/51/85/61/355518561.db2.gz QBRDXXSOFUSSDH-HOCLYGCPSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000592151659 355518563 /nfs/dbraw/zinc/51/85/63/355518563.db2.gz QBRDXXSOFUSSDH-HOCLYGCPSA-N 1 2 319.792 1.796 20 30 DDEDLO Cc1cc(NC(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)n(C(C)(C)C)n1 ZINC000592152650 355518735 /nfs/dbraw/zinc/51/87/35/355518735.db2.gz ZPCONZUXMUCPLG-MRXNPFEDSA-N 1 2 319.409 1.236 20 30 DDEDLO Cc1cc(NC(=O)C[N@H+]2CC[C@](O)(CC#N)C2)n(C(C)(C)C)n1 ZINC000592152650 355518736 /nfs/dbraw/zinc/51/87/36/355518736.db2.gz ZPCONZUXMUCPLG-MRXNPFEDSA-N 1 2 319.409 1.236 20 30 DDEDLO CC(C)Cn1nccc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000592496915 355601735 /nfs/dbraw/zinc/60/17/35/355601735.db2.gz QQBJWUGFAWUNCB-UHFFFAOYSA-N 1 2 319.453 1.587 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)[C@@H](CC)CO1 ZINC000593058477 355782535 /nfs/dbraw/zinc/78/25/35/355782535.db2.gz MOWZOEBJKLNFNU-GJZGRUSLSA-N 1 2 306.410 1.532 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)[C@@H](CC)CO1 ZINC000593058477 355782539 /nfs/dbraw/zinc/78/25/39/355782539.db2.gz MOWZOEBJKLNFNU-GJZGRUSLSA-N 1 2 306.410 1.532 20 30 DDEDLO CCn1cc(C[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)C(C)C)cn1 ZINC000593083220 355790208 /nfs/dbraw/zinc/79/02/08/355790208.db2.gz VOFWZEQNRUENHY-QGZVFWFLSA-N 1 2 317.437 1.922 20 30 DDEDLO CCn1cc(C[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C(C)C)cn1 ZINC000593083220 355790210 /nfs/dbraw/zinc/79/02/10/355790210.db2.gz VOFWZEQNRUENHY-QGZVFWFLSA-N 1 2 317.437 1.922 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000593153445 355812392 /nfs/dbraw/zinc/81/23/92/355812392.db2.gz QCGSLPZLTHXQNP-CQSZACIVSA-N 1 2 308.313 1.236 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc(F)c2cccnc12 ZINC000593339514 355858021 /nfs/dbraw/zinc/85/80/21/355858021.db2.gz QEPWIHDUWXVRFQ-GFCCVEGCSA-N 1 2 303.337 1.959 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc(F)c2cccnc12 ZINC000593339514 355858024 /nfs/dbraw/zinc/85/80/24/355858024.db2.gz QEPWIHDUWXVRFQ-GFCCVEGCSA-N 1 2 303.337 1.959 20 30 DDEDLO COc1ccc(CN(CCC#N)CC[NH+]2CCOCC2)c(F)c1 ZINC000593565061 355926907 /nfs/dbraw/zinc/92/69/07/355926907.db2.gz HENXAHLGQQINSU-UHFFFAOYSA-N 1 2 321.396 1.882 20 30 DDEDLO COCCC1C[NH+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000594718869 356295054 /nfs/dbraw/zinc/29/50/54/356295054.db2.gz WXIRXSCZLRQUJB-UHFFFAOYSA-N 1 2 308.403 1.300 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H](CCSC)C(=O)N(C)C)nn1 ZINC000594955392 356364200 /nfs/dbraw/zinc/36/42/00/356364200.db2.gz PZACUULHJUSQRO-ZDUSSCGKSA-N 1 2 311.455 1.154 20 30 DDEDLO CC(C)N1CC[C@@H]([NH+]2CCN(c3ccsc3C#N)CC2)C1=O ZINC000595631386 356585423 /nfs/dbraw/zinc/58/54/23/356585423.db2.gz SBNJLBNOJMCXAJ-CQSZACIVSA-N 1 2 318.446 1.751 20 30 DDEDLO C[C@H]1COC2(CCC2)C[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000595676867 356606007 /nfs/dbraw/zinc/60/60/07/356606007.db2.gz VHQSUTZQLRINCH-AWEZNQCLSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@H]1COC2(CCC2)C[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000595676867 356606012 /nfs/dbraw/zinc/60/60/12/356606012.db2.gz VHQSUTZQLRINCH-AWEZNQCLSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@H](C(=O)NC(=O)Nc1ccccc1)[N@@H+]1CC[C@@](C)(C#N)C1 ZINC000595835316 356671493 /nfs/dbraw/zinc/67/14/93/356671493.db2.gz QLQSFHXOYOTKSJ-WBMJQRKESA-N 1 2 300.362 1.959 20 30 DDEDLO C[C@H](C(=O)NC(=O)Nc1ccccc1)[N@H+]1CC[C@@](C)(C#N)C1 ZINC000595835316 356671495 /nfs/dbraw/zinc/67/14/95/356671495.db2.gz QLQSFHXOYOTKSJ-WBMJQRKESA-N 1 2 300.362 1.959 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CCCCC1)[N@@H+]1CC[C@](C)(C#N)C1 ZINC000595837615 356672195 /nfs/dbraw/zinc/67/21/95/356672195.db2.gz KEBLRGFTPHXRMS-MLGOLLRUSA-N 1 2 306.410 1.769 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CCCCC1)[N@H+]1CC[C@](C)(C#N)C1 ZINC000595837615 356672200 /nfs/dbraw/zinc/67/22/00/356672200.db2.gz KEBLRGFTPHXRMS-MLGOLLRUSA-N 1 2 306.410 1.769 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@@H+]2CC[C@](C)(C#N)C2)C1 ZINC000595838974 356673465 /nfs/dbraw/zinc/67/34/65/356673465.db2.gz FRDAYZLSMCRPQH-CKEIUWERSA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@H+]2CC[C@](C)(C#N)C2)C1 ZINC000595838974 356673469 /nfs/dbraw/zinc/67/34/69/356673469.db2.gz FRDAYZLSMCRPQH-CKEIUWERSA-N 1 2 321.421 1.412 20 30 DDEDLO Cc1cc(N2CC[C@](C)(O)C2)c(C#N)c(N2CC[C@@](C)(O)C2)[nH+]1 ZINC000596151554 356793054 /nfs/dbraw/zinc/79/30/54/356793054.db2.gz RXUMXVGXPNTPLV-DLBZAZTESA-N 1 2 316.405 1.184 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000596481975 356893672 /nfs/dbraw/zinc/89/36/72/356893672.db2.gz NUZPHRHNMQUBOL-UHFFFAOYSA-N 1 2 316.405 1.081 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N2CCOC[C@@H]2C#N)cc1 ZINC000596670717 356948487 /nfs/dbraw/zinc/94/84/87/356948487.db2.gz FMPDOIFFVHEVQY-HOCLYGCPSA-N 1 2 315.373 1.020 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2C(=O)N2CCOC[C@@H]2C#N)cc1 ZINC000596670717 356948489 /nfs/dbraw/zinc/94/84/89/356948489.db2.gz FMPDOIFFVHEVQY-HOCLYGCPSA-N 1 2 315.373 1.020 20 30 DDEDLO Cc1cccn2c(NC(=O)NC3CCN(CC#N)CC3)c[nH+]c12 ZINC000597078493 357055156 /nfs/dbraw/zinc/05/51/56/357055156.db2.gz FTBFIWGCSQXGKZ-UHFFFAOYSA-N 1 2 312.377 1.752 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000276853316 213135130 /nfs/dbraw/zinc/13/51/30/213135130.db2.gz ZQSTUMCLGZTEIQ-KGLIPLIRSA-N 1 2 306.410 1.216 20 30 DDEDLO O=C(NCc1ccc(N2CCOCC2)[nH+]c1)[C@@H]1C[C@]12CCOC2 ZINC000329603528 223000480 /nfs/dbraw/zinc/00/04/80/223000480.db2.gz LKBFTYDVLLPJIQ-YOEHRIQHSA-N 1 2 317.389 1.801 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1cc(C#N)c[nH]1)C(C)(C)CO ZINC000597844734 357355055 /nfs/dbraw/zinc/35/50/55/357355055.db2.gz BNGMGJUEJRIMKN-GFCCVEGCSA-N 1 2 301.350 1.109 20 30 DDEDLO N#Cc1sccc1N1CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC000597940496 357391861 /nfs/dbraw/zinc/39/18/61/357391861.db2.gz UGESZSPJWCDWEE-UHFFFAOYSA-N 1 2 315.402 1.624 20 30 DDEDLO N#Cc1sccc1N1CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC000597940496 357391862 /nfs/dbraw/zinc/39/18/62/357391862.db2.gz UGESZSPJWCDWEE-UHFFFAOYSA-N 1 2 315.402 1.624 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)Cc1c(C)cc(C)nc1OC ZINC000598647486 357668412 /nfs/dbraw/zinc/66/84/12/357668412.db2.gz DDJBPZYWFAKNJA-UHFFFAOYSA-N 1 2 318.421 1.901 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)Cc1c(C)cc(C)nc1OC ZINC000598647486 357668418 /nfs/dbraw/zinc/66/84/18/357668418.db2.gz DDJBPZYWFAKNJA-UHFFFAOYSA-N 1 2 318.421 1.901 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@H]1CCn2c[nH+]cc2C1 ZINC000598950534 357773471 /nfs/dbraw/zinc/77/34/71/357773471.db2.gz QRBNUPULLUMBEF-OCCSQVGLSA-N 1 2 310.357 1.653 20 30 DDEDLO C[C@@H](O)C[N@H+](CC(F)F)C[C@H](O)CC1(C#N)CCOCC1 ZINC000599435652 357934804 /nfs/dbraw/zinc/93/48/04/357934804.db2.gz YGCKSRWFASHAAF-VXGBXAGGSA-N 1 2 306.353 1.006 20 30 DDEDLO C[C@@H](O)C[N@@H+](CC(F)F)C[C@H](O)CC1(C#N)CCOCC1 ZINC000599435652 357934807 /nfs/dbraw/zinc/93/48/07/357934807.db2.gz YGCKSRWFASHAAF-VXGBXAGGSA-N 1 2 306.353 1.006 20 30 DDEDLO Cn1nccc1[C@@H]1c2[nH]c[nH+]c2CCN1Cc1ccnc(C#N)c1 ZINC000599445131 357940175 /nfs/dbraw/zinc/94/01/75/357940175.db2.gz QIEXUQIUTQPYNL-QGZVFWFLSA-N 1 2 319.372 1.558 20 30 DDEDLO COC(=O)[C@@H]1CC[C@@H](C(=O)OC)[N@H+](Cc2ccc(C#N)cc2)C1 ZINC000599581200 357982601 /nfs/dbraw/zinc/98/26/01/357982601.db2.gz DTXIGBLDJZCRLP-CABCVRRESA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@@H]1CC[C@@H](C(=O)OC)[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC000599581200 357982603 /nfs/dbraw/zinc/98/26/03/357982603.db2.gz DTXIGBLDJZCRLP-CABCVRRESA-N 1 2 316.357 1.485 20 30 DDEDLO Cc1cn2c([nH+]1)C[C@H](C(=O)NCCOc1ccc(C#N)cc1)CC2 ZINC000599594121 357985995 /nfs/dbraw/zinc/98/59/95/357985995.db2.gz FGCQVMYQINVHRN-OAHLLOKOSA-N 1 2 324.384 1.821 20 30 DDEDLO CN(CC#N)C(=O)c1ccc(NCC[NH+]2CCOCC2)c(F)c1 ZINC000601023300 358385412 /nfs/dbraw/zinc/38/54/12/358385412.db2.gz BWCROWWDKFNFBE-UHFFFAOYSA-N 1 2 320.368 1.165 20 30 DDEDLO Cc1nc(N2CCN(c3cc(C#N)nc(C4CC4)n3)CC2)cc[nH+]1 ZINC000601142450 358418113 /nfs/dbraw/zinc/41/81/13/358418113.db2.gz RMBRTBDJLRFWAE-UHFFFAOYSA-N 1 2 321.388 1.651 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000601887652 358728265 /nfs/dbraw/zinc/72/82/65/358728265.db2.gz HFQDLVJWILAXIO-INIZCTEOSA-N 1 2 301.394 1.103 20 30 DDEDLO N#C[C@H]1COCCN1C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000602106695 358816950 /nfs/dbraw/zinc/81/69/50/358816950.db2.gz FLGZBCDZAIPWDI-VHSXEESVSA-N 1 2 302.256 1.128 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CC(=O)N1CCN(CCC#N)CC1 ZINC000602207779 358884590 /nfs/dbraw/zinc/88/45/90/358884590.db2.gz LMCRSASPXZOSIV-UHFFFAOYSA-N 1 2 311.389 1.403 20 30 DDEDLO CCOC(=O)c1ncc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)s1 ZINC000602850501 359238033 /nfs/dbraw/zinc/23/80/33/359238033.db2.gz PWJOVBYWRHNRJH-RYUDHWBXSA-N 1 2 322.434 1.738 20 30 DDEDLO CC1CCC(N(C)C(=O)C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)CC1 ZINC000602857316 359243306 /nfs/dbraw/zinc/24/33/06/359243306.db2.gz QQTQIDRVJUUKDV-JCCCHCCOSA-N 1 2 320.481 1.942 20 30 DDEDLO CC1CCC(N(C)C(=O)C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)CC1 ZINC000602857314 359243442 /nfs/dbraw/zinc/24/34/42/359243442.db2.gz QQTQIDRVJUUKDV-HPZPOOBXSA-N 1 2 320.481 1.942 20 30 DDEDLO CC1CCC(N(C)C(=O)C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)CC1 ZINC000602857314 359243446 /nfs/dbraw/zinc/24/34/46/359243446.db2.gz QQTQIDRVJUUKDV-HPZPOOBXSA-N 1 2 320.481 1.942 20 30 DDEDLO COc1ccc(NC(=O)C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)cc1 ZINC000602859380 359245192 /nfs/dbraw/zinc/24/51/92/359245192.db2.gz GGBYHTJPFOJTJS-KBPBESRZSA-N 1 2 316.405 1.552 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@@H+](C)[C@H]3CCC[C@@H]3C#N)sc2n1 ZINC000602976069 359335029 /nfs/dbraw/zinc/33/50/29/359335029.db2.gz KPFQQNARWYXIIV-MNOVXSKESA-N 1 2 303.391 1.584 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@H+](C)[C@H]3CCC[C@@H]3C#N)sc2n1 ZINC000602976069 359335031 /nfs/dbraw/zinc/33/50/31/359335031.db2.gz KPFQQNARWYXIIV-MNOVXSKESA-N 1 2 303.391 1.584 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+](C)[C@@H]2CCC[C@@H]2C#N)C1 ZINC000602977093 359335321 /nfs/dbraw/zinc/33/53/21/359335321.db2.gz XWZFFLJRMBGJCO-RBSFLKMASA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+](C)[C@@H]2CCC[C@@H]2C#N)C1 ZINC000602977093 359335324 /nfs/dbraw/zinc/33/53/24/359335324.db2.gz XWZFFLJRMBGJCO-RBSFLKMASA-N 1 2 321.421 1.412 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@H+](C)[C@H]2CCC[C@@H]2C#N)CCCCC1 ZINC000602980247 359336719 /nfs/dbraw/zinc/33/67/19/359336719.db2.gz NTNLJSDIICKNNB-KGLIPLIRSA-N 1 2 321.421 1.603 20 30 DDEDLO COC(=O)C1(NC(=O)C[N@@H+](C)[C@H]2CCC[C@@H]2C#N)CCCCC1 ZINC000602980247 359336723 /nfs/dbraw/zinc/33/67/23/359336723.db2.gz NTNLJSDIICKNNB-KGLIPLIRSA-N 1 2 321.421 1.603 20 30 DDEDLO N#CCCN(C(=O)C[N@H+]1C[C@@H]2C[C@]2(O)C1)c1cccc(Cl)c1 ZINC000603087797 359395222 /nfs/dbraw/zinc/39/52/22/359395222.db2.gz LZDIDCHDLYGSAS-LRDDRELGSA-N 1 2 319.792 1.653 20 30 DDEDLO N#CCCN(C(=O)C[N@@H+]1C[C@@H]2C[C@]2(O)C1)c1cccc(Cl)c1 ZINC000603087797 359395230 /nfs/dbraw/zinc/39/52/30/359395230.db2.gz LZDIDCHDLYGSAS-LRDDRELGSA-N 1 2 319.792 1.653 20 30 DDEDLO N#Cc1ccc(Cn2cc([C@H]3COCC[NH2+]3)nn2)c(Cl)c1 ZINC000603226964 359497763 /nfs/dbraw/zinc/49/77/63/359497763.db2.gz OFQNIYCDRXNRMT-CQSZACIVSA-N 1 2 303.753 1.512 20 30 DDEDLO N#Cc1cccc(OCCCn2cc([C@@H]3COCC[NH2+]3)nn2)c1 ZINC000603241439 359507621 /nfs/dbraw/zinc/50/76/21/359507621.db2.gz FQIZUKBQZLMOFO-INIZCTEOSA-N 1 2 313.361 1.280 20 30 DDEDLO COCC[N@H+](C)Cc1cn(CCOc2ccccc2C#N)nn1 ZINC000603212134 359484754 /nfs/dbraw/zinc/48/47/54/359484754.db2.gz UWYZQSODIJDZDI-UHFFFAOYSA-N 1 2 315.377 1.307 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(CCOc2ccccc2C#N)nn1 ZINC000603212134 359484757 /nfs/dbraw/zinc/48/47/57/359484757.db2.gz UWYZQSODIJDZDI-UHFFFAOYSA-N 1 2 315.377 1.307 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2c(C#N)cnn2-c2ccccc2)CCO1 ZINC000603282359 359539931 /nfs/dbraw/zinc/53/99/31/359539931.db2.gz AAAKKQNPDISQFM-CQSZACIVSA-N 1 2 311.389 1.877 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2c(C#N)cnn2-c2ccccc2)CCO1 ZINC000603282359 359539937 /nfs/dbraw/zinc/53/99/37/359539937.db2.gz AAAKKQNPDISQFM-CQSZACIVSA-N 1 2 311.389 1.877 20 30 DDEDLO N#Cc1c(NCCC[N@@H+]2CCNC(=O)C2)cccc1-n1cccc1 ZINC000603320844 359567198 /nfs/dbraw/zinc/56/71/98/359567198.db2.gz PTCPEPAFDIPLCY-UHFFFAOYSA-N 1 2 323.400 1.583 20 30 DDEDLO N#Cc1c(NCCC[N@H+]2CCNC(=O)C2)cccc1-n1cccc1 ZINC000603320844 359567200 /nfs/dbraw/zinc/56/72/00/359567200.db2.gz PTCPEPAFDIPLCY-UHFFFAOYSA-N 1 2 323.400 1.583 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@H](C[NH+]3CCOCC3)C2)cc1O ZINC000188564034 200266562 /nfs/dbraw/zinc/26/65/62/200266562.db2.gz PLEYEVJJJSZTHT-CYBMUJFWSA-N 1 2 315.373 1.058 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000606531930 359932763 /nfs/dbraw/zinc/93/27/63/359932763.db2.gz BGKQRZOVRYRYHE-UHFFFAOYSA-N 1 2 304.390 1.629 20 30 DDEDLO COc1c(C)ccc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)c1C ZINC000329919644 223046709 /nfs/dbraw/zinc/04/67/09/223046709.db2.gz GLKLCFXUHCDPMK-HUUCEWRRSA-N 1 2 319.405 1.872 20 30 DDEDLO COc1c(C)ccc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)c1C ZINC000329919644 223046713 /nfs/dbraw/zinc/04/67/13/223046713.db2.gz GLKLCFXUHCDPMK-HUUCEWRRSA-N 1 2 319.405 1.872 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@H](C)C(=O)N2CCCCCC2)CC1 ZINC000609484382 360312679 /nfs/dbraw/zinc/31/26/79/360312679.db2.gz BFYNVNLZCJRDFA-IAGOWNOFSA-N 1 2 320.481 1.943 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H](N2CCCC2=O)C1 ZINC000609541014 360321945 /nfs/dbraw/zinc/32/19/45/360321945.db2.gz TXNBYNRZKAOOMT-RHSMWYFYSA-N 1 2 320.437 1.128 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H](N2CCCC2=O)C1 ZINC000609541014 360321952 /nfs/dbraw/zinc/32/19/52/360321952.db2.gz TXNBYNRZKAOOMT-RHSMWYFYSA-N 1 2 320.437 1.128 20 30 DDEDLO CC[N@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)CC(=O)N(C)C ZINC000609845905 360355699 /nfs/dbraw/zinc/35/56/99/360355699.db2.gz UIPZVEHCKUDQAZ-UHFFFAOYSA-N 1 2 322.796 1.560 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)CC(=O)N(C)C ZINC000609845905 360355701 /nfs/dbraw/zinc/35/57/01/360355701.db2.gz UIPZVEHCKUDQAZ-UHFFFAOYSA-N 1 2 322.796 1.560 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)c1 ZINC000610533744 360462934 /nfs/dbraw/zinc/46/29/34/360462934.db2.gz LPGDKGVSBPDGSO-OAHLLOKOSA-N 1 2 323.356 1.557 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCN(CCC#N)CC2)cc1F ZINC000611176107 360649215 /nfs/dbraw/zinc/64/92/15/360649215.db2.gz UQMNIQRBKOEFRC-UHFFFAOYSA-N 1 2 305.353 1.644 20 30 DDEDLO C[N@H+](Cc1cn[nH]c1)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000611516600 360743720 /nfs/dbraw/zinc/74/37/20/360743720.db2.gz GVZAIQKLCSVTBA-INIZCTEOSA-N 1 2 309.373 1.909 20 30 DDEDLO C[N@@H+](Cc1cn[nH]c1)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000611516600 360743727 /nfs/dbraw/zinc/74/37/27/360743727.db2.gz GVZAIQKLCSVTBA-INIZCTEOSA-N 1 2 309.373 1.909 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)Cc1noc(C)c1C#N)CCC2 ZINC000611529021 360750329 /nfs/dbraw/zinc/75/03/29/360750329.db2.gz ZJURURNZVAVGFD-LBPRGKRZSA-N 1 2 313.361 1.596 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)c1cccnc1N(C)C)[NH+]1CCOCC1 ZINC000330622921 223131049 /nfs/dbraw/zinc/13/10/49/223131049.db2.gz OTRMDLDNAKZWIT-QWHCGFSZSA-N 1 2 306.410 1.561 20 30 DDEDLO Cc1ccc([N+](=O)[O-])c(C(=O)NCC[N@H+]2CCOC[C@H]2C)c1 ZINC000330890444 223152046 /nfs/dbraw/zinc/15/20/46/223152046.db2.gz HJVSPKWSTRGOOL-GFCCVEGCSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1ccc([N+](=O)[O-])c(C(=O)NCC[N@@H+]2CCOC[C@H]2C)c1 ZINC000330890444 223152048 /nfs/dbraw/zinc/15/20/48/223152048.db2.gz HJVSPKWSTRGOOL-GFCCVEGCSA-N 1 2 307.350 1.929 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[NH+]1CCN(CC(=O)N(C)C)CC1 ZINC000612544512 361061486 /nfs/dbraw/zinc/06/14/86/361061486.db2.gz SPVFEWJCFLODGS-CQSZACIVSA-N 1 2 300.406 1.325 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N1CC[NH+](CC(=O)N(C)C)CC1 ZINC000612544512 361061493 /nfs/dbraw/zinc/06/14/93/361061493.db2.gz SPVFEWJCFLODGS-CQSZACIVSA-N 1 2 300.406 1.325 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000331060191 223184003 /nfs/dbraw/zinc/18/40/03/223184003.db2.gz NLZWAROQMMMAGC-AWEZNQCLSA-N 1 2 315.417 1.938 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000331060191 223184005 /nfs/dbraw/zinc/18/40/05/223184005.db2.gz NLZWAROQMMMAGC-AWEZNQCLSA-N 1 2 315.417 1.938 20 30 DDEDLO Cc1cc(C)c(NC(=O)NC[C@@H]2CCS(=O)(=O)C2)c(C)[nH+]1 ZINC000331227210 223194670 /nfs/dbraw/zinc/19/46/70/223194670.db2.gz DHJBWXCBOBIUNO-LBPRGKRZSA-N 1 2 311.407 1.767 20 30 DDEDLO Cc1cccc([N+](=O)[O-])c1C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000331321842 223202525 /nfs/dbraw/zinc/20/25/25/223202525.db2.gz KKOCXMKPIHSOHN-LBPRGKRZSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1cccc([N+](=O)[O-])c1C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000331321842 223202528 /nfs/dbraw/zinc/20/25/28/223202528.db2.gz KKOCXMKPIHSOHN-LBPRGKRZSA-N 1 2 307.350 1.929 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC[C@@H](n3ccnn3)C2)CCCCC1 ZINC000333437642 223221340 /nfs/dbraw/zinc/22/13/40/223221340.db2.gz VUGNMHXCXNYVDM-CQSZACIVSA-N 1 2 316.409 1.258 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC[C@@H](n3ccnn3)C2)CCCCC1 ZINC000333437642 223221343 /nfs/dbraw/zinc/22/13/43/223221343.db2.gz VUGNMHXCXNYVDM-CQSZACIVSA-N 1 2 316.409 1.258 20 30 DDEDLO C[NH+](C)Cc1nc(C2(NC(=O)CC#N)CCCCCC2)no1 ZINC000613906072 361626735 /nfs/dbraw/zinc/62/67/35/361626735.db2.gz SQLCKKUPHKZEDX-UHFFFAOYSA-N 1 2 305.382 1.711 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000619494481 364036892 /nfs/dbraw/zinc/03/68/92/364036892.db2.gz CXFIUROUTKCPKM-QWHCGFSZSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000619494481 364036896 /nfs/dbraw/zinc/03/68/96/364036896.db2.gz CXFIUROUTKCPKM-QWHCGFSZSA-N 1 2 307.419 1.466 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@H](CNC(=O)c2ccccc2)C1 ZINC000619718111 364129472 /nfs/dbraw/zinc/12/94/72/364129472.db2.gz ADMLJIBCIWRQPI-ZBFHGGJFSA-N 1 2 316.401 1.856 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@H](CNC(=O)c2ccccc2)C1 ZINC000619718111 364129476 /nfs/dbraw/zinc/12/94/76/364129476.db2.gz ADMLJIBCIWRQPI-ZBFHGGJFSA-N 1 2 316.401 1.856 20 30 DDEDLO N#CC1(C(=O)NCc2ccc(Cn3cc[nH+]c3)cc2)CCOCC1 ZINC000344400130 223333926 /nfs/dbraw/zinc/33/39/26/223333926.db2.gz ZKXWKBXILVKQHZ-UHFFFAOYSA-N 1 2 324.384 1.868 20 30 DDEDLO Cc1ccc([C@@H](CNC(=O)[C@H](C)C#N)[NH+]2CCOCC2)cc1 ZINC000347069081 223366114 /nfs/dbraw/zinc/36/61/14/223366114.db2.gz MXZUKCDRUZJSGT-GDBMZVCRSA-N 1 2 301.390 1.644 20 30 DDEDLO N#C[C@@H]1CN(Cc2c[nH+]c3ccc(Br)cn23)CCO1 ZINC000093134722 193205621 /nfs/dbraw/zinc/20/56/21/193205621.db2.gz AWYTXIZNPXMPEK-GFCCVEGCSA-N 1 2 321.178 1.821 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)N(C)Cc1c[nH+]c2ccc(C#N)cn12 ZINC000093416967 193246341 /nfs/dbraw/zinc/24/63/41/193246341.db2.gz FQHKWIVKWSZOOP-LBPRGKRZSA-N 1 2 320.418 1.461 20 30 DDEDLO CC[N@H+](Cc1ccc(C#N)c(OC)c1)[C@@H](C)CS(C)(=O)=O ZINC000282448449 217003100 /nfs/dbraw/zinc/00/31/00/217003100.db2.gz UYVNSIZFEPQXTH-LBPRGKRZSA-N 1 2 310.419 1.822 20 30 DDEDLO CC[N@@H+](Cc1ccc(C#N)c(OC)c1)[C@@H](C)CS(C)(=O)=O ZINC000282448449 217003103 /nfs/dbraw/zinc/00/31/03/217003103.db2.gz UYVNSIZFEPQXTH-LBPRGKRZSA-N 1 2 310.419 1.822 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1CCC[C@](O)(Cn2ccnn2)C1 ZINC000284671486 218126707 /nfs/dbraw/zinc/12/67/07/218126707.db2.gz XFIYOHVXMHTOEZ-MRXNPFEDSA-N 1 2 315.352 1.316 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1CCC[C@](O)(Cn2ccnn2)C1 ZINC000284671486 218126710 /nfs/dbraw/zinc/12/67/10/218126710.db2.gz XFIYOHVXMHTOEZ-MRXNPFEDSA-N 1 2 315.352 1.316 20 30 DDEDLO C[C@H](NC(=O)N(C)CC[NH+]1CCOCC1)c1ccc(C#N)cc1 ZINC000105154021 194079431 /nfs/dbraw/zinc/07/94/31/194079431.db2.gz IZCTXMYETGUXLI-AWEZNQCLSA-N 1 2 316.405 1.593 20 30 DDEDLO COc1ccc(OC)c(NC(=O)C[NH+]2CCC(C#N)CC2)c1 ZINC000057907576 184061841 /nfs/dbraw/zinc/06/18/41/184061841.db2.gz YWZBFSQOHPLKEL-UHFFFAOYSA-N 1 2 303.362 1.878 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(F)cc(Cl)c2O)CC1 ZINC000269127657 207262013 /nfs/dbraw/zinc/26/20/13/207262013.db2.gz FZIBVIFSHLUBGO-UHFFFAOYSA-N 1 2 310.756 1.966 20 30 DDEDLO COCC(=O)NC1C[NH+](Cc2cccc(OCCCC#N)c2)C1 ZINC000459607836 533061955 /nfs/dbraw/zinc/06/19/55/533061955.db2.gz FHBYRXJLFBUKRC-UHFFFAOYSA-N 1 2 317.389 1.316 20 30 DDEDLO COc1cc(OC[C@@H](O)C[N@H+](C)CCC#N)cc(OC)c1OC ZINC000414157632 533094627 /nfs/dbraw/zinc/09/46/27/533094627.db2.gz YGAPRSDGHLPZIN-LBPRGKRZSA-N 1 2 324.377 1.298 20 30 DDEDLO COc1cc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc(OC)c1OC ZINC000414157632 533094633 /nfs/dbraw/zinc/09/46/33/533094633.db2.gz YGAPRSDGHLPZIN-LBPRGKRZSA-N 1 2 324.377 1.298 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)NCc2ccc(C)cc2)CC1 ZINC000341995299 533108941 /nfs/dbraw/zinc/10/89/41/533108941.db2.gz ULMVIRRDCRQXQZ-UHFFFAOYSA-N 1 2 315.417 1.863 20 30 DDEDLO COc1cc[nH+]c(CN2CCN(c3nccnc3C#N)C[C@H]2C)c1 ZINC000156841152 197144754 /nfs/dbraw/zinc/14/47/54/197144754.db2.gz WCAAFMRGFQHRPQ-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO Cn1nncc1C[NH+]1CCC(Oc2ccc(C#N)cc2F)CC1 ZINC000289514776 221033041 /nfs/dbraw/zinc/03/30/41/221033041.db2.gz GWKGTAWPXMFOML-UHFFFAOYSA-N 1 2 315.352 1.869 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000120950405 195270008 /nfs/dbraw/zinc/27/00/08/195270008.db2.gz LMTDMVZAGHXCDH-KGLIPLIRSA-N 1 2 301.390 1.706 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000345735551 533237683 /nfs/dbraw/zinc/23/76/83/533237683.db2.gz CJUXCMYACCNXLQ-LBPRGKRZSA-N 1 2 316.361 1.978 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000345735551 533237688 /nfs/dbraw/zinc/23/76/88/533237688.db2.gz CJUXCMYACCNXLQ-LBPRGKRZSA-N 1 2 316.361 1.978 20 30 DDEDLO C[C@@H](CNC(=O)Cc1csc2nccn12)[NH+]1CCOCC1 ZINC000330050383 533360377 /nfs/dbraw/zinc/36/03/77/533360377.db2.gz LPEAMAPAWDARCA-NSHDSACASA-N 1 2 308.407 1.616 20 30 DDEDLO CCOC1CC(CNC(=O)CC(C)(C)C#N)([NH+]2CCOCC2)C1 ZINC000369691650 286012179 /nfs/dbraw/zinc/01/21/79/286012179.db2.gz JGOQPBFNQQHRCZ-UHFFFAOYSA-N 1 2 323.437 1.312 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000077169667 406979171 /nfs/dbraw/zinc/97/91/71/406979171.db2.gz RRGJYOJEEOBNOI-OAHLLOKOSA-N 1 2 300.362 1.097 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000077169667 406979172 /nfs/dbraw/zinc/97/91/72/406979172.db2.gz RRGJYOJEEOBNOI-OAHLLOKOSA-N 1 2 300.362 1.097 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@@H](C2OCCO2)C1 ZINC000076294728 406961063 /nfs/dbraw/zinc/96/10/63/406961063.db2.gz SSJXDHYMYSHJFB-GFCCVEGCSA-N 1 2 321.402 1.643 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@@H](C2OCCO2)C1 ZINC000076294728 406961066 /nfs/dbraw/zinc/96/10/66/406961066.db2.gz SSJXDHYMYSHJFB-GFCCVEGCSA-N 1 2 321.402 1.643 20 30 DDEDLO COc1ccc(C(N)=[NH+]O[C@H](C)C(=O)N2CCC(C)CC2)cc1 ZINC000078695816 407041192 /nfs/dbraw/zinc/04/11/92/407041192.db2.gz RPCCXVXEXRVPSQ-CYBMUJFWSA-N 1 2 319.405 1.979 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000052635540 407153614 /nfs/dbraw/zinc/15/36/14/407153614.db2.gz MSQYXHVXOCJIGZ-CQSZACIVSA-N 1 2 318.396 1.708 20 30 DDEDLO Cc1cccc(NC(=O)N(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000123496317 407330009 /nfs/dbraw/zinc/33/00/09/407330009.db2.gz PECCAFPMMZEBTN-UHFFFAOYSA-N 1 2 317.393 1.470 20 30 DDEDLO COCC[N@H+](CCCS(C)(=O)=O)Cc1ccc(C#N)cc1 ZINC000103141787 407332720 /nfs/dbraw/zinc/33/27/20/407332720.db2.gz YVLJRAZOFRWUIJ-UHFFFAOYSA-N 1 2 310.419 1.441 20 30 DDEDLO COCC[N@@H+](CCCS(C)(=O)=O)Cc1ccc(C#N)cc1 ZINC000103141787 407332722 /nfs/dbraw/zinc/33/27/22/407332722.db2.gz YVLJRAZOFRWUIJ-UHFFFAOYSA-N 1 2 310.419 1.441 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](Cc3ccccn3)CC2)CCOCC1 ZINC000109138912 407395110 /nfs/dbraw/zinc/39/51/10/407395110.db2.gz XXKIUJLXQYJXTF-UHFFFAOYSA-N 1 2 314.389 1.046 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)CN(C)CC[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000195308691 407449856 /nfs/dbraw/zinc/44/98/56/407449856.db2.gz RXZNKHYESUBEGH-UXLLHSPISA-N 1 2 324.469 1.082 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)CN(C)CC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000195308691 407449863 /nfs/dbraw/zinc/44/98/63/407449863.db2.gz RXZNKHYESUBEGH-UXLLHSPISA-N 1 2 324.469 1.082 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H](C[NH+]2CCOCC2)c2ccccc2)[nH]1 ZINC000152352975 407619228 /nfs/dbraw/zinc/61/92/28/407619228.db2.gz ARTWUIBSFPJWRT-QGZVFWFLSA-N 1 2 324.384 1.690 20 30 DDEDLO COc1cc(C[NH+]2CCN(CC#N)CC2)ccc1OC(F)F ZINC000178839315 407621595 /nfs/dbraw/zinc/62/15/95/407621595.db2.gz JTHKVXSNIKLXEO-UHFFFAOYSA-N 1 2 311.332 1.938 20 30 DDEDLO C[C@H]1CO[C@@H](CO)C[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000129685705 407623570 /nfs/dbraw/zinc/62/35/70/407623570.db2.gz KPRDBOWWGJZVQV-CMPLNLGQSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@H]1CO[C@@H](CO)C[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000129685705 407623574 /nfs/dbraw/zinc/62/35/74/407623574.db2.gz KPRDBOWWGJZVQV-CMPLNLGQSA-N 1 2 309.391 1.030 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000178978035 407678683 /nfs/dbraw/zinc/67/86/83/407678683.db2.gz CYQDTXXWPWXFCG-MNOVXSKESA-N 1 2 305.378 1.562 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)cc1 ZINC000267338340 407838770 /nfs/dbraw/zinc/83/87/70/407838770.db2.gz IFSUMBNQVUFSSR-UHFFFAOYSA-N 1 2 323.356 1.626 20 30 DDEDLO CC[NH+]1CCN(S(=O)(=O)c2ccc(Cl)cc2C#N)CC1 ZINC000172953538 407854191 /nfs/dbraw/zinc/85/41/91/407854191.db2.gz QJUKEXZAVIXMEV-UHFFFAOYSA-N 1 2 313.810 1.538 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@@H+]3CCC4(C3)OCCO4)C2=O)cc1 ZINC000119007852 407974050 /nfs/dbraw/zinc/97/40/50/407974050.db2.gz RUVCROUDEFAZNE-HNNXBMFYSA-N 1 2 313.357 1.112 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@H+]3CCC4(C3)OCCO4)C2=O)cc1 ZINC000119007852 407974055 /nfs/dbraw/zinc/97/40/55/407974055.db2.gz RUVCROUDEFAZNE-HNNXBMFYSA-N 1 2 313.357 1.112 20 30 DDEDLO C=CCOc1cccc(C[N@H+]2CCC[C@@H](O)[C@H]2C(=O)OC)c1 ZINC000188774668 407976704 /nfs/dbraw/zinc/97/67/04/407976704.db2.gz LEMGZAKTHJHYOI-CVEARBPZSA-N 1 2 305.374 1.750 20 30 DDEDLO C=CCOc1cccc(C[N@@H+]2CCC[C@@H](O)[C@H]2C(=O)OC)c1 ZINC000188774668 407976707 /nfs/dbraw/zinc/97/67/07/407976707.db2.gz LEMGZAKTHJHYOI-CVEARBPZSA-N 1 2 305.374 1.750 20 30 DDEDLO N#Cc1cccc(NCC(=O)N2CCN(c3cccc[nH+]3)CC2)c1 ZINC000242863530 407919227 /nfs/dbraw/zinc/91/92/27/407919227.db2.gz GGSFQTLFIJHXPK-UHFFFAOYSA-N 1 2 321.384 1.714 20 30 DDEDLO Cc1cccc(CNC(=O)CO[NH+]=C(N)[C@H](C)OCC(C)C)c1 ZINC000174224330 407921337 /nfs/dbraw/zinc/92/13/37/407921337.db2.gz MONZFIPTFSPRMU-AWEZNQCLSA-N 1 2 321.421 1.961 20 30 DDEDLO CC[N@H+](C[C@@H](O)c1ccc(C#N)cc1)[C@@H](C)CS(C)(=O)=O ZINC000268639022 408131701 /nfs/dbraw/zinc/13/17/01/408131701.db2.gz DDOKDMVMZHMJBH-SWLSCSKDSA-N 1 2 310.419 1.347 20 30 DDEDLO CC[N@@H+](C[C@@H](O)c1ccc(C#N)cc1)[C@@H](C)CS(C)(=O)=O ZINC000268639022 408131705 /nfs/dbraw/zinc/13/17/05/408131705.db2.gz DDOKDMVMZHMJBH-SWLSCSKDSA-N 1 2 310.419 1.347 20 30 DDEDLO CCC[N@H+](Cc1ccc(C#N)cc1OC)[C@H]1CCS(=O)(=O)C1 ZINC000120630280 408134149 /nfs/dbraw/zinc/13/41/49/408134149.db2.gz HFTBZDMODPJAGF-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO CCC[N@@H+](Cc1ccc(C#N)cc1OC)[C@H]1CCS(=O)(=O)C1 ZINC000120630280 408134155 /nfs/dbraw/zinc/13/41/55/408134155.db2.gz HFTBZDMODPJAGF-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO CS(=O)(=O)N[C@H]1CC[N@H+](CC#Cc2cccc(Cl)c2)C1 ZINC000122198882 408263727 /nfs/dbraw/zinc/26/37/27/408263727.db2.gz PUMURETXWWLEDR-AWEZNQCLSA-N 1 2 312.822 1.315 20 30 DDEDLO CS(=O)(=O)N[C@H]1CC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC000122198882 408263735 /nfs/dbraw/zinc/26/37/35/408263735.db2.gz PUMURETXWWLEDR-AWEZNQCLSA-N 1 2 312.822 1.315 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCc1cccc(OCCOC)c1)C1CC1 ZINC000274190395 408319040 /nfs/dbraw/zinc/31/90/40/408319040.db2.gz QBYDKVTWLXPWOF-UHFFFAOYSA-N 1 2 316.401 1.426 20 30 DDEDLO C#CC[N@H+](CC(=O)NCc1cccc(OCCOC)c1)C1CC1 ZINC000274190395 408319044 /nfs/dbraw/zinc/31/90/44/408319044.db2.gz QBYDKVTWLXPWOF-UHFFFAOYSA-N 1 2 316.401 1.426 20 30 DDEDLO N#CCC[N@H+](CCN1CCOCC1)C[C@@H](O)Cc1ccccc1 ZINC000176264774 408327328 /nfs/dbraw/zinc/32/73/28/408327328.db2.gz AVEKTUVVXCXYAG-SFHVURJKSA-N 1 2 317.433 1.138 20 30 DDEDLO N#CCC[N@@H+](CCN1CCOCC1)C[C@@H](O)Cc1ccccc1 ZINC000176264774 408327334 /nfs/dbraw/zinc/32/73/34/408327334.db2.gz AVEKTUVVXCXYAG-SFHVURJKSA-N 1 2 317.433 1.138 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H](O)Cc1ccccc1 ZINC000176264774 408327337 /nfs/dbraw/zinc/32/73/37/408327337.db2.gz AVEKTUVVXCXYAG-SFHVURJKSA-N 1 2 317.433 1.138 20 30 DDEDLO CCCCCNC(=O)[C@@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158211277 408329598 /nfs/dbraw/zinc/32/95/98/408329598.db2.gz OBLOBVGDRDPKPU-GFCCVEGCSA-N 1 2 319.405 1.953 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC2CCS(=O)(=O)CC2)cs1 ZINC000273621564 408268485 /nfs/dbraw/zinc/26/84/85/408268485.db2.gz OSLACQDPQHPERP-UHFFFAOYSA-N 1 2 317.436 1.108 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccccc1C#N ZINC000176372792 408350378 /nfs/dbraw/zinc/35/03/78/408350378.db2.gz DNEHXXHVFXUNBA-AWEZNQCLSA-N 1 2 318.384 1.931 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccccc1C#N ZINC000176372792 408350382 /nfs/dbraw/zinc/35/03/82/408350382.db2.gz DNEHXXHVFXUNBA-AWEZNQCLSA-N 1 2 318.384 1.931 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2cc(C#N)ccc2[N+](=O)[O-])CC1 ZINC000274318660 408362359 /nfs/dbraw/zinc/36/23/59/408362359.db2.gz JPLUYSHBWNYOSY-UHFFFAOYSA-N 1 2 317.349 1.042 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2[C@@H](C)CCC[C@H]2CO)c(C#N)c1C ZINC000269847805 408447330 /nfs/dbraw/zinc/44/73/30/408447330.db2.gz GKHFKDBNQCFZMC-GWCFXTLKSA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2[C@@H](C)CCC[C@H]2CO)c(C#N)c1C ZINC000269847805 408447335 /nfs/dbraw/zinc/44/73/35/408447335.db2.gz GKHFKDBNQCFZMC-GWCFXTLKSA-N 1 2 305.378 1.942 20 30 DDEDLO C[C@H](Nc1ncc([N+](=O)[O-])cc1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000264278790 408421748 /nfs/dbraw/zinc/42/17/48/408421748.db2.gz OUFACXQOKWWBDX-QWRGUYRKSA-N 1 2 305.338 1.383 20 30 DDEDLO CC#CC[NH+]1CCN([C@H](C(N)=O)c2ccc(Cl)cc2)CC1 ZINC000159626624 408423447 /nfs/dbraw/zinc/42/34/47/408423447.db2.gz AQHCAFJVBZQZQD-HNNXBMFYSA-N 1 2 305.809 1.507 20 30 DDEDLO COc1ccc(CC(N)=[NH+]O[C@@H]2CCCN(C(C)C)C2=O)cc1 ZINC000176860547 408459987 /nfs/dbraw/zinc/45/99/87/408459987.db2.gz GGSHGAWEJUFLEL-OAHLLOKOSA-N 1 2 319.405 1.926 20 30 DDEDLO CO/N=C/c1ccc(C(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)cc1 ZINC000160410811 408480825 /nfs/dbraw/zinc/48/08/25/408480825.db2.gz QKGQMBOQOVVRCA-JJLFXSABSA-N 1 2 317.389 1.260 20 30 DDEDLO CO/N=C/c1ccc(C(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)cc1 ZINC000160410811 408480829 /nfs/dbraw/zinc/48/08/29/408480829.db2.gz QKGQMBOQOVVRCA-JJLFXSABSA-N 1 2 317.389 1.260 20 30 DDEDLO CC(C)OC(=O)C1(C(=O)OC(C)C)CC([NH2+]C[C@@H](C)C#N)C1 ZINC000183833617 408498728 /nfs/dbraw/zinc/49/87/28/408498728.db2.gz VCGGVVIGJQXQOS-LBPRGKRZSA-N 1 2 310.394 1.788 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)[C@@H](C)C[S@@](C)=O)c(C#N)c1C ZINC000248500394 408578877 /nfs/dbraw/zinc/57/88/77/408578877.db2.gz SQBSLTFZMKXPHT-JLTPVHFHSA-N 1 2 311.407 1.405 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)[C@@H](C)C[S@@](C)=O)c(C#N)c1C ZINC000248500394 408578884 /nfs/dbraw/zinc/57/88/84/408578884.db2.gz SQBSLTFZMKXPHT-JLTPVHFHSA-N 1 2 311.407 1.405 20 30 DDEDLO C=CCSCCNc1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000274798937 408535934 /nfs/dbraw/zinc/53/59/34/408535934.db2.gz JKTWZYDKRLDJST-UHFFFAOYSA-N 1 2 307.423 1.086 20 30 DDEDLO C=CCSCCNc1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000274798937 408535936 /nfs/dbraw/zinc/53/59/36/408535936.db2.gz JKTWZYDKRLDJST-UHFFFAOYSA-N 1 2 307.423 1.086 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2C[N@@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000248771784 408632475 /nfs/dbraw/zinc/63/24/75/408632475.db2.gz AJVXDNPXOCMZOK-ZAUVIXRXSA-N 1 2 319.405 1.068 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2C[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000248771784 408632479 /nfs/dbraw/zinc/63/24/79/408632479.db2.gz AJVXDNPXOCMZOK-ZAUVIXRXSA-N 1 2 319.405 1.068 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCNC(=O)[C@@H]1c1ccc(F)cc1C ZINC000184747581 408683329 /nfs/dbraw/zinc/68/33/29/408683329.db2.gz DOUCWBCYQJSSNB-ZBFHGGJFSA-N 1 2 306.381 1.934 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCNC(=O)[C@@H]1c1ccc(F)cc1C ZINC000184747581 408683335 /nfs/dbraw/zinc/68/33/35/408683335.db2.gz DOUCWBCYQJSSNB-ZBFHGGJFSA-N 1 2 306.381 1.934 20 30 DDEDLO COC[C@@H]1C[N@@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C[C@@H](C)O1 ZINC000251678111 408783918 /nfs/dbraw/zinc/78/39/18/408783918.db2.gz IVIPSUZRDRMUHI-WWGRRREGSA-N 1 2 317.389 1.621 20 30 DDEDLO COC[C@@H]1C[N@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C[C@@H](C)O1 ZINC000251678111 408783921 /nfs/dbraw/zinc/78/39/21/408783921.db2.gz IVIPSUZRDRMUHI-WWGRRREGSA-N 1 2 317.389 1.621 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2c(C)nc3sccn23)C1=O ZINC000281122243 408873625 /nfs/dbraw/zinc/87/36/25/408873625.db2.gz CGDZRZCNGQWCCG-LBPRGKRZSA-N 1 2 304.419 1.923 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2c(C)nc3sccn23)C1=O ZINC000281122243 408873626 /nfs/dbraw/zinc/87/36/26/408873626.db2.gz CGDZRZCNGQWCCG-LBPRGKRZSA-N 1 2 304.419 1.923 20 30 DDEDLO N#C[C@@H]1CN(C(=O)C=Cc2c[nH]c[nH+]2)CCN1Cc1ccccc1 ZINC000276561360 408882668 /nfs/dbraw/zinc/88/26/68/408882668.db2.gz IZVUUAMXLBDGAG-DKRLNXSXSA-N 1 2 321.384 1.659 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)[C@@H](C#N)Cc1ccc(C#N)cc1 ZINC000280901494 408855736 /nfs/dbraw/zinc/85/57/36/408855736.db2.gz TUNIJLAJIZUEMT-XJKSGUPXSA-N 1 2 307.357 1.642 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)c1ccnc(-n2cc[nH+]c2)c1 ZINC000281642403 408902172 /nfs/dbraw/zinc/90/21/72/408902172.db2.gz YDVTUZDLCHJLSJ-HOCLYGCPSA-N 1 2 312.373 1.978 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000292208714 409001751 /nfs/dbraw/zinc/00/17/51/409001751.db2.gz CRDSUFVHGBIBOD-AWEZNQCLSA-N 1 2 304.394 1.418 20 30 DDEDLO N#CCCCCNC(=O)C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000286685517 408997360 /nfs/dbraw/zinc/99/73/60/408997360.db2.gz IYJVMBSSDODUCY-GFCCVEGCSA-N 1 2 303.366 1.273 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccccn3)n2CC=C)CC1 ZINC000282139968 408998629 /nfs/dbraw/zinc/99/86/29/408998629.db2.gz BJOXOOBQALTFHZ-UHFFFAOYSA-N 1 2 322.416 1.205 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](CCNC(C)=O)Cc2ccsc2)C1=O ZINC000282359839 409039709 /nfs/dbraw/zinc/03/97/09/409039709.db2.gz BGESRCFRNGTOSK-HNNXBMFYSA-N 1 2 321.446 1.473 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](CCNC(C)=O)Cc2ccsc2)C1=O ZINC000282359839 409039711 /nfs/dbraw/zinc/03/97/11/409039711.db2.gz BGESRCFRNGTOSK-HNNXBMFYSA-N 1 2 321.446 1.473 20 30 DDEDLO CC#CC(=O)N1CC[NH+](CCOc2cccc(OC)c2)CC1 ZINC000283211225 409111783 /nfs/dbraw/zinc/11/17/83/409111783.db2.gz NFDSGVYYWKQRSQ-UHFFFAOYSA-N 1 2 302.374 1.242 20 30 DDEDLO Cc1ccc(C#N)c(Nc2cnn(CC[NH+]3CCOCC3)c2)n1 ZINC000287898680 409112045 /nfs/dbraw/zinc/11/20/45/409112045.db2.gz NVNYHNYXLNKLFQ-UHFFFAOYSA-N 1 2 312.377 1.534 20 30 DDEDLO C#CCn1ccc(CN(CC)c2cc(N3CCCC3)[nH+]cn2)n1 ZINC000278966219 409123731 /nfs/dbraw/zinc/12/37/31/409123731.db2.gz YRFAOMRXYHWJLF-UHFFFAOYSA-N 1 2 310.405 1.933 20 30 DDEDLO C#CCn1ccc(CN(CC)c2cc(N3CCCC3)nc[nH+]2)n1 ZINC000278966219 409123733 /nfs/dbraw/zinc/12/37/33/409123733.db2.gz YRFAOMRXYHWJLF-UHFFFAOYSA-N 1 2 310.405 1.933 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)NCc1c[nH+]ccc1OC ZINC000293494616 409125156 /nfs/dbraw/zinc/12/51/56/409125156.db2.gz YMPNRFCKUCIXSP-SWLSCSKDSA-N 1 2 305.378 1.871 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)C(C)(C)[NH+]1CCOCC1 ZINC000283367970 409144522 /nfs/dbraw/zinc/14/45/22/409144522.db2.gz YZAHWOUDCYOFLU-UHFFFAOYSA-N 1 2 316.401 1.426 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000279183043 409166167 /nfs/dbraw/zinc/16/61/67/409166167.db2.gz KKYACTDFFVHSSR-SJLPKXTDSA-N 1 2 318.417 1.331 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000279183043 409166170 /nfs/dbraw/zinc/16/61/70/409166170.db2.gz KKYACTDFFVHSSR-SJLPKXTDSA-N 1 2 318.417 1.331 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1cccc(CC#N)c1 ZINC000279421987 409211987 /nfs/dbraw/zinc/21/19/87/409211987.db2.gz YBAGRYDHJPRUEF-AWEZNQCLSA-N 1 2 301.390 1.545 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)Cc2ccc(C#N)cc2)[C@@H](C)C1 ZINC000289287738 409243796 /nfs/dbraw/zinc/24/37/96/409243796.db2.gz XXSCQFBDCUPSBE-ZDUSSCGKSA-N 1 2 307.419 1.414 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)Cc2ccc(C#N)cc2)[C@@H](C)C1 ZINC000289287738 409243797 /nfs/dbraw/zinc/24/37/97/409243797.db2.gz XXSCQFBDCUPSBE-ZDUSSCGKSA-N 1 2 307.419 1.414 20 30 DDEDLO CC(C)[C@H](NS(=O)(=O)c1ccc(C#N)cc1)c1[nH]cc[nH+]1 ZINC000289018139 409207548 /nfs/dbraw/zinc/20/75/48/409207548.db2.gz VKLUQZFHIVDPGY-ZDUSSCGKSA-N 1 2 304.375 1.957 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccc(C)n3)n2CC)CC1 ZINC000284166933 409289012 /nfs/dbraw/zinc/28/90/12/409289012.db2.gz XMHYVGUMZKVINQ-UHFFFAOYSA-N 1 2 310.405 1.424 20 30 DDEDLO C=CCOCC[N@@H+](CCNC(C)=O)[C@H](C)C(=O)OC(C)(C)C ZINC000294378943 409289338 /nfs/dbraw/zinc/28/93/38/409289338.db2.gz BJSVYRPTPKLVSB-CYBMUJFWSA-N 1 2 314.426 1.357 20 30 DDEDLO C=CCOCC[N@H+](CCNC(C)=O)[C@H](C)C(=O)OC(C)(C)C ZINC000294378943 409289341 /nfs/dbraw/zinc/28/93/41/409289341.db2.gz BJSVYRPTPKLVSB-CYBMUJFWSA-N 1 2 314.426 1.357 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000294541613 409293003 /nfs/dbraw/zinc/29/30/03/409293003.db2.gz SOCGOUDVFQREML-IUODEOHRSA-N 1 2 315.348 1.803 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CC(=O)N3CCCCC3)CC2)cc1 ZINC000280192673 409267110 /nfs/dbraw/zinc/26/71/10/409267110.db2.gz IGSHXCFQCZKZNI-UHFFFAOYSA-N 1 2 312.417 1.693 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000285007045 409391394 /nfs/dbraw/zinc/39/13/94/409391394.db2.gz BDZPXJYGKMCHSZ-MRXNPFEDSA-N 1 2 302.378 1.474 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCCO3)n2CC=C)CC1 ZINC000296000593 409397559 /nfs/dbraw/zinc/39/75/59/409397559.db2.gz QGXIYUQOJCAASC-OAHLLOKOSA-N 1 2 315.421 1.461 20 30 DDEDLO COC[C@@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000290261182 409340145 /nfs/dbraw/zinc/34/01/45/409340145.db2.gz KPINKYNRCHQIMQ-CQSZACIVSA-N 1 2 323.418 1.085 20 30 DDEDLO COC[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000290261182 409340147 /nfs/dbraw/zinc/34/01/47/409340147.db2.gz KPINKYNRCHQIMQ-CQSZACIVSA-N 1 2 323.418 1.085 20 30 DDEDLO C[N@H+](CC(=O)N(CCC#N)c1ccccc1)C(C)(C)C(N)=O ZINC000295305725 409425639 /nfs/dbraw/zinc/42/56/39/409425639.db2.gz FQOAALGLAHRYFX-UHFFFAOYSA-N 1 2 302.378 1.129 20 30 DDEDLO C[N@@H+](CC(=O)N(CCC#N)c1ccccc1)C(C)(C)C(N)=O ZINC000295305725 409425643 /nfs/dbraw/zinc/42/56/43/409425643.db2.gz FQOAALGLAHRYFX-UHFFFAOYSA-N 1 2 302.378 1.129 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCC[C@@H]2CCO)c1 ZINC000314488356 164014586 /nfs/dbraw/zinc/01/45/86/164014586.db2.gz XAQXPRILEGCVOV-CQSZACIVSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCC[C@@H]2CCO)c1 ZINC000314488356 164014589 /nfs/dbraw/zinc/01/45/89/164014589.db2.gz XAQXPRILEGCVOV-CQSZACIVSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@H+](C3CC3)C3COC3)C2=O)cc1 ZINC000295441879 409483851 /nfs/dbraw/zinc/48/38/51/409483851.db2.gz OWMUGQJVXRWYMH-KRWDZBQOSA-N 1 2 311.385 1.917 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@@H+](C3CC3)C3COC3)C2=O)cc1 ZINC000295441879 409483855 /nfs/dbraw/zinc/48/38/55/409483855.db2.gz OWMUGQJVXRWYMH-KRWDZBQOSA-N 1 2 311.385 1.917 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)NCc1[nH+]ccn1CC ZINC000296306985 409496569 /nfs/dbraw/zinc/49/65/69/409496569.db2.gz GCZSKCDPMBGMQB-UHFFFAOYSA-N 1 2 312.373 1.914 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CCCC[C@@H]1C/[NH+]=C/c1ccccc1O ZINC000285589741 409500369 /nfs/dbraw/zinc/50/03/69/409500369.db2.gz TUUBLYOXTHQROJ-XWIFYCKRSA-N 1 2 310.419 1.919 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000354065084 409623035 /nfs/dbraw/zinc/62/30/35/409623035.db2.gz PJUMZGVPXZRYFL-UHFFFAOYSA-N 1 2 304.390 1.412 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000338116230 409730389 /nfs/dbraw/zinc/73/03/89/409730389.db2.gz INUWMAGVWFPWNX-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO C[C@@H]([NH2+]CCS(=O)(=O)c1cccc(C#N)c1)c1ccon1 ZINC000349238127 409758584 /nfs/dbraw/zinc/75/85/84/409758584.db2.gz GKZJJLPKFCFTDO-LLVKDONJSA-N 1 2 305.359 1.671 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000354395742 409824618 /nfs/dbraw/zinc/82/46/18/409824618.db2.gz LTFUZTWOWREYHY-ZDUSSCGKSA-N 1 2 306.328 1.576 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000354395742 409824624 /nfs/dbraw/zinc/82/46/24/409824624.db2.gz LTFUZTWOWREYHY-ZDUSSCGKSA-N 1 2 306.328 1.576 20 30 DDEDLO Cc1c(C[N@@H+]2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cnn1C ZINC000338185199 409786077 /nfs/dbraw/zinc/78/60/77/409786077.db2.gz LLSYHXVWMYHAOO-KSSFIOAISA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1c(C[N@H+]2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cnn1C ZINC000338185199 409786082 /nfs/dbraw/zinc/78/60/82/409786082.db2.gz LLSYHXVWMYHAOO-KSSFIOAISA-N 1 2 321.384 1.574 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@H+](C)C[C@@H]2C)cc1C#N ZINC000346255255 409881909 /nfs/dbraw/zinc/88/19/09/409881909.db2.gz BZIFRKCOIWVITP-VIFPVBQESA-N 1 2 306.391 1.157 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@@H+](C)C[C@@H]2C)cc1C#N ZINC000346255255 409881916 /nfs/dbraw/zinc/88/19/16/409881916.db2.gz BZIFRKCOIWVITP-VIFPVBQESA-N 1 2 306.391 1.157 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2cc(C#N)c3ccccc3n2)CC1 ZINC000346413850 409960409 /nfs/dbraw/zinc/96/04/09/409960409.db2.gz OLNARTLTPSDOPS-UHFFFAOYSA-N 1 2 323.400 1.682 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCn2c[nH+]cc2C1)N1CCCCC1=O ZINC000328717420 409962247 /nfs/dbraw/zinc/96/22/47/409962247.db2.gz FHOGJGYAVCDVOP-CHWSQXEVSA-N 1 2 304.394 1.803 20 30 DDEDLO C=CCN(C)C(=O)[C@@H]1CCC(=O)N(C2CC2)[C@@H]1c1c[nH+]cn1C ZINC000346495047 409990510 /nfs/dbraw/zinc/99/05/10/409990510.db2.gz SYSWWAURXUTSJX-CJNGLKHVSA-N 1 2 316.405 1.507 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2ccc(OC)c(C#N)c2)C[C@H](C)O1 ZINC000338354343 409934952 /nfs/dbraw/zinc/93/49/52/409934952.db2.gz FDBJWAQEFLDTQK-XHDPSFHLSA-N 1 2 304.346 1.329 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2ccc(OC)c(C#N)c2)C[C@H](C)O1 ZINC000338354343 409934956 /nfs/dbraw/zinc/93/49/56/409934956.db2.gz FDBJWAQEFLDTQK-XHDPSFHLSA-N 1 2 304.346 1.329 20 30 DDEDLO C[C@@H]1NC(=O)CC[C@@H]1NC(=O)NC[C@@H]1CCc2[nH+]ccn2C1 ZINC000328616532 409937878 /nfs/dbraw/zinc/93/78/78/409937878.db2.gz SPHSKKCUXQJLEP-SRVKXCTJSA-N 1 2 305.382 1.457 20 30 DDEDLO C[C@@H]1NC(=O)CC[C@@H]1[NH+]=C([O-])NC[C@@H]1CCc2[nH+]ccn2C1 ZINC000328616532 409937886 /nfs/dbraw/zinc/93/78/86/409937886.db2.gz SPHSKKCUXQJLEP-SRVKXCTJSA-N 1 2 305.382 1.457 20 30 DDEDLO C[C@@H]1NC(=O)CC[C@@H]1NC([O-])=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000328616532 409937893 /nfs/dbraw/zinc/93/78/93/409937893.db2.gz SPHSKKCUXQJLEP-SRVKXCTJSA-N 1 2 305.382 1.457 20 30 DDEDLO CCN1CCN(C(=O)NCc2cscn2)C[C@H]1c1[nH]cc[nH+]1 ZINC000328644335 409946382 /nfs/dbraw/zinc/94/63/82/409946382.db2.gz ZCUYVZMEWMZQTH-LBPRGKRZSA-N 1 2 320.422 1.659 20 30 DDEDLO Cn1ncc(C(=O)NCc2ccc(N3CCCCC3)[nH+]c2)c1C#N ZINC000354578895 409948769 /nfs/dbraw/zinc/94/87/69/409948769.db2.gz AAAXVVSWTRIKDL-UHFFFAOYSA-N 1 2 324.388 1.607 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000297964283 410062879 /nfs/dbraw/zinc/06/28/79/410062879.db2.gz VONULGXPUHQIKP-LSDHHAIUSA-N 1 2 318.421 1.717 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3cccc4c3CCO4)C[C@@H]21 ZINC000329119701 410114260 /nfs/dbraw/zinc/11/42/60/410114260.db2.gz JVHGSXFKBZGSOJ-GOEBONIOSA-N 1 2 317.389 1.572 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3cccc4c3CCO4)C[C@@H]21 ZINC000329119701 410114264 /nfs/dbraw/zinc/11/42/64/410114264.db2.gz JVHGSXFKBZGSOJ-GOEBONIOSA-N 1 2 317.389 1.572 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCC[C@H]1c1cnn(C)c1 ZINC000332390250 410120239 /nfs/dbraw/zinc/12/02/39/410120239.db2.gz RCNNSKPONYYVRP-KMFMINBZSA-N 1 2 317.437 2.000 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCC[C@H]1c1cnn(C)c1 ZINC000332390250 410120242 /nfs/dbraw/zinc/12/02/42/410120242.db2.gz RCNNSKPONYYVRP-KMFMINBZSA-N 1 2 317.437 2.000 20 30 DDEDLO CC1(C)CN(C(=O)C2CC2)CC[N@@H+]1CC(=O)NC[C@H]1CCCO1 ZINC000329226211 410179008 /nfs/dbraw/zinc/17/90/08/410179008.db2.gz HFQBYOWKMLGYHK-CQSZACIVSA-N 1 2 323.437 1.455 20 30 DDEDLO CC1(C)CN(C(=O)C2CC2)CC[N@H+]1CC(=O)NC[C@H]1CCCO1 ZINC000329226211 410179015 /nfs/dbraw/zinc/17/90/15/410179015.db2.gz HFQBYOWKMLGYHK-CQSZACIVSA-N 1 2 323.437 1.455 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)CC[C@@H]21 ZINC000332567102 410181973 /nfs/dbraw/zinc/18/19/73/410181973.db2.gz BSQHDUSGXIXULV-HZSPNIEDSA-N 1 2 313.361 1.233 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)CC[C@@H]21 ZINC000332567102 410181980 /nfs/dbraw/zinc/18/19/80/410181980.db2.gz BSQHDUSGXIXULV-HZSPNIEDSA-N 1 2 313.361 1.233 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CC(C)(C)OC3(C)C)C[C@@H]21 ZINC000329243323 410185534 /nfs/dbraw/zinc/18/55/34/410185534.db2.gz OYIRHOKFNGAYRF-YNEHKIRRSA-N 1 2 311.426 1.261 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CC(C)(C)OC3(C)C)C[C@@H]21 ZINC000329243323 410185540 /nfs/dbraw/zinc/18/55/40/410185540.db2.gz OYIRHOKFNGAYRF-YNEHKIRRSA-N 1 2 311.426 1.261 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)CC[C@H]2CCCCO2)CC1 ZINC000329406370 410281309 /nfs/dbraw/zinc/28/13/09/410281309.db2.gz AXFBGBZGAGOYPD-OAHLLOKOSA-N 1 2 311.426 1.456 20 30 DDEDLO C[C@@H]1OCC[C@@]1(C)NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000329496704 410329574 /nfs/dbraw/zinc/32/95/74/410329574.db2.gz DVDKSGWYYGBXLQ-BLLLJJGKSA-N 1 2 306.410 1.833 20 30 DDEDLO C[C@]1([NH2+]CCC(=O)Nc2ccc(C#N)c(Cl)c2)CCOC1=O ZINC000298656823 410334673 /nfs/dbraw/zinc/33/46/73/410334673.db2.gz XTTCOUSZDXYRID-HNNXBMFYSA-N 1 2 321.764 1.835 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]=C([O-])N[C@@H]2CCc3[nH]c[nH+]c3C2)s1 ZINC000329536990 410347740 /nfs/dbraw/zinc/34/77/40/410347740.db2.gz BIPTZVBBSCFBBT-IONNQARKSA-N 1 2 306.395 1.692 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]=C([O-])N[C@@H]2CCc3[nH+]c[nH]c3C2)s1 ZINC000329536990 410347749 /nfs/dbraw/zinc/34/77/49/410347749.db2.gz BIPTZVBBSCFBBT-IONNQARKSA-N 1 2 306.395 1.692 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000355098114 410313666 /nfs/dbraw/zinc/31/36/66/410313666.db2.gz KWUXSSNHACKFFU-OAHLLOKOSA-N 1 2 302.353 1.733 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000355098114 410313672 /nfs/dbraw/zinc/31/36/72/410313672.db2.gz KWUXSSNHACKFFU-OAHLLOKOSA-N 1 2 302.353 1.733 20 30 DDEDLO C[C@@H]1NC(=O)CC[C@H]1NC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000329653596 410401384 /nfs/dbraw/zinc/40/13/84/410401384.db2.gz LJGWYIZCOGRRRL-MELADBBJSA-N 1 2 324.425 1.204 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000352248814 410580013 /nfs/dbraw/zinc/58/00/13/410580013.db2.gz DEVHILPILBNGQJ-ZDUSSCGKSA-N 1 2 318.421 1.977 20 30 DDEDLO CC[C@H](C(=O)OC)N1CC[NH+](Cc2cc(C#N)ccc2F)CC1 ZINC000352726437 410676225 /nfs/dbraw/zinc/67/62/25/410676225.db2.gz QSHRRJGYRPXPRI-MRXNPFEDSA-N 1 2 319.380 1.767 20 30 DDEDLO Cc1cnn(C)c1C[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000347637374 410643020 /nfs/dbraw/zinc/64/30/20/410643020.db2.gz KWKFUEDRVUIOKF-UHFFFAOYSA-N 1 2 309.417 1.918 20 30 DDEDLO CNC(=O)C[C@@H]([NH2+]Cc1nc(C#N)cs1)c1ccccc1 ZINC000352933348 410690931 /nfs/dbraw/zinc/69/09/31/410690931.db2.gz GEMMEOLPBWRORX-CYBMUJFWSA-N 1 2 300.387 1.982 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCC[C@@H](S(C)(=O)=O)CC1 ZINC000337421444 410773527 /nfs/dbraw/zinc/77/35/27/410773527.db2.gz UXACSADMAGBVBN-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCC[C@@H](S(C)(=O)=O)CC1 ZINC000337421444 410773535 /nfs/dbraw/zinc/77/35/35/410773535.db2.gz UXACSADMAGBVBN-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO CC(C)(C#N)CNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000359645017 410789007 /nfs/dbraw/zinc/78/90/07/410789007.db2.gz FESPLUDQDXVYJF-UHFFFAOYSA-N 1 2 301.390 1.798 20 30 DDEDLO CC[C@H]1CO[C@H](C)C[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000330690596 410879942 /nfs/dbraw/zinc/87/99/42/410879942.db2.gz FTUUUNOZABRJEJ-CJNGLKHVSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H]1CO[C@H](C)C[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000330690596 410879947 /nfs/dbraw/zinc/87/99/47/410879947.db2.gz FTUUUNOZABRJEJ-CJNGLKHVSA-N 1 2 301.390 1.674 20 30 DDEDLO CC(C)C(=O)NC[C@H]1CCC[N@H+](Cc2nc(C#N)cs2)C1 ZINC000356208914 410897558 /nfs/dbraw/zinc/89/75/58/410897558.db2.gz CVYSKWCPXIXXPM-GFCCVEGCSA-N 1 2 306.435 1.999 20 30 DDEDLO CC(C)C(=O)NC[C@H]1CCC[N@@H+](Cc2nc(C#N)cs2)C1 ZINC000356208914 410897562 /nfs/dbraw/zinc/89/75/62/410897562.db2.gz CVYSKWCPXIXXPM-GFCCVEGCSA-N 1 2 306.435 1.999 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000356366989 410987938 /nfs/dbraw/zinc/98/79/38/410987938.db2.gz LTCHPRXJMSMTBZ-UKRRQHHQSA-N 1 2 320.437 1.954 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000356366989 410987946 /nfs/dbraw/zinc/98/79/46/410987946.db2.gz LTCHPRXJMSMTBZ-UKRRQHHQSA-N 1 2 320.437 1.954 20 30 DDEDLO CN(C[C@H]1OCC[N@H+](C)[C@@H]1c1ccccc1)c1cnc(C#N)cn1 ZINC000344294019 411074508 /nfs/dbraw/zinc/07/45/08/411074508.db2.gz BLTHMILAPYINIG-SJLPKXTDSA-N 1 2 323.400 1.856 20 30 DDEDLO CN(C[C@H]1OCC[N@@H+](C)[C@@H]1c1ccccc1)c1cnc(C#N)cn1 ZINC000344294019 411074510 /nfs/dbraw/zinc/07/45/10/411074510.db2.gz BLTHMILAPYINIG-SJLPKXTDSA-N 1 2 323.400 1.856 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@H+](Cc2cnc3c(C#N)cnn3c2)C1 ZINC000580075771 422883969 /nfs/dbraw/zinc/88/39/69/422883969.db2.gz XKIRLHZAJFIZLY-AWEZNQCLSA-N 1 2 312.377 1.092 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@@H+](Cc2cnc3c(C#N)cnn3c2)C1 ZINC000580075771 422883972 /nfs/dbraw/zinc/88/39/72/422883972.db2.gz XKIRLHZAJFIZLY-AWEZNQCLSA-N 1 2 312.377 1.092 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCN2C(=O)CC[C@H]2C1 ZINC000580754635 422951330 /nfs/dbraw/zinc/95/13/30/422951330.db2.gz KDRUDCUNTLSNHP-AWEZNQCLSA-N 1 2 315.373 1.252 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCN2C(=O)CC[C@H]2C1 ZINC000580754635 422951333 /nfs/dbraw/zinc/95/13/33/422951333.db2.gz KDRUDCUNTLSNHP-AWEZNQCLSA-N 1 2 315.373 1.252 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCN2C(=O)CC[C@@H]2C1 ZINC000580754636 422951627 /nfs/dbraw/zinc/95/16/27/422951627.db2.gz KDRUDCUNTLSNHP-CQSZACIVSA-N 1 2 315.373 1.252 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCN2C(=O)CC[C@@H]2C1 ZINC000580754636 422951629 /nfs/dbraw/zinc/95/16/29/422951629.db2.gz KDRUDCUNTLSNHP-CQSZACIVSA-N 1 2 315.373 1.252 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCCCS(=O)(=O)C(C)C)c1 ZINC000123089755 221549970 /nfs/dbraw/zinc/54/99/70/221549970.db2.gz IEJCZKPAJSEUOB-UHFFFAOYSA-N 1 2 314.407 1.545 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)[C@@H](C)c2cccc(C#N)c2)CCO1 ZINC000360454859 418439073 /nfs/dbraw/zinc/43/90/73/418439073.db2.gz LBDDCDRDSGFXGS-YOEHRIQHSA-N 1 2 315.417 1.889 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)[C@@H](C)c2cccc(C#N)c2)CCO1 ZINC000360454859 418439077 /nfs/dbraw/zinc/43/90/77/418439077.db2.gz LBDDCDRDSGFXGS-YOEHRIQHSA-N 1 2 315.417 1.889 20 30 DDEDLO COc1ccccc1[C@H]1CN(C(=O)c2c[nH]c(C#N)c2)CC[NH2+]1 ZINC000374315693 418515953 /nfs/dbraw/zinc/51/59/53/418515953.db2.gz MLFUIAHVTAXSCV-OAHLLOKOSA-N 1 2 310.357 1.682 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCSC[C@H]1c1cnn(C)c1 ZINC000191202692 222099312 /nfs/dbraw/zinc/09/93/12/222099312.db2.gz QJWSPFNIAYJJQS-HNNXBMFYSA-N 1 2 322.478 1.935 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCSC[C@H]1c1cnn(C)c1 ZINC000191202692 222099316 /nfs/dbraw/zinc/09/93/16/222099316.db2.gz QJWSPFNIAYJJQS-HNNXBMFYSA-N 1 2 322.478 1.935 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCN2CCO[C@@H](C)C2)c1 ZINC000192723476 222134182 /nfs/dbraw/zinc/13/41/82/222134182.db2.gz KQZPNSIOIAIMEM-HNNXBMFYSA-N 1 2 315.417 1.259 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCN2CCO[C@@H](C)C2)c1 ZINC000192723476 222134185 /nfs/dbraw/zinc/13/41/85/222134185.db2.gz KQZPNSIOIAIMEM-HNNXBMFYSA-N 1 2 315.417 1.259 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)CC[N@@H+]2CCO[C@@H](C)C2)c1 ZINC000192723476 222134188 /nfs/dbraw/zinc/13/41/88/222134188.db2.gz KQZPNSIOIAIMEM-HNNXBMFYSA-N 1 2 315.417 1.259 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)CC[N@H+]2CCO[C@@H](C)C2)c1 ZINC000192723476 222134190 /nfs/dbraw/zinc/13/41/90/222134190.db2.gz KQZPNSIOIAIMEM-HNNXBMFYSA-N 1 2 315.417 1.259 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CC[NH+]([C@@H]2CCCCNC2=O)CC1 ZINC000192934172 222138262 /nfs/dbraw/zinc/13/82/62/222138262.db2.gz FOELXVNIUOUJJR-IAGOWNOFSA-N 1 2 312.417 1.538 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000294235970 418584830 /nfs/dbraw/zinc/58/48/30/418584830.db2.gz BMMMTBLYSRNVDQ-WBVHZDCISA-N 1 2 316.401 1.214 20 30 DDEDLO C=CCOC[C@@H]([NH2+]C1CCN(CC(F)(F)F)CC1)C(=O)OC ZINC000361108710 418585891 /nfs/dbraw/zinc/58/58/91/418585891.db2.gz DDMUQPSLUOOFGB-GFCCVEGCSA-N 1 2 324.343 1.347 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCc1ccnc(-n2cc[nH+]c2)c1 ZINC000194644051 222180096 /nfs/dbraw/zinc/18/00/96/222180096.db2.gz RCFANKYTTIRAAC-ZDUSSCGKSA-N 1 2 300.362 1.865 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@@H](C)OC ZINC000291163333 222185363 /nfs/dbraw/zinc/18/53/63/222185363.db2.gz FZNGGVJSYISUBX-CYBMUJFWSA-N 1 2 310.419 1.689 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@@H](C)OC ZINC000291163333 222185367 /nfs/dbraw/zinc/18/53/67/222185367.db2.gz FZNGGVJSYISUBX-CYBMUJFWSA-N 1 2 310.419 1.689 20 30 DDEDLO N#Cc1ccc(NCC(=O)NCCCCNc2cccc[nH+]2)nc1 ZINC000345434350 418636039 /nfs/dbraw/zinc/63/60/39/418636039.db2.gz HDEVSHQKSUBOHD-UHFFFAOYSA-N 1 2 324.388 1.769 20 30 DDEDLO N#C[C@@H]1CSCCN1C(=O)[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000377178614 418706777 /nfs/dbraw/zinc/70/67/77/418706777.db2.gz LMWNKXGXHPCPPR-UONOGXRCSA-N 1 2 316.430 1.766 20 30 DDEDLO N#Cc1cnc(NC2CC[NH+](Cc3cscn3)CC2)cn1 ZINC000368404044 418719942 /nfs/dbraw/zinc/71/99/42/418719942.db2.gz JGEUQLFKTNZPAD-UHFFFAOYSA-N 1 2 300.391 1.881 20 30 DDEDLO Cc1[nH+]c(CNS(=O)(=O)c2ccc(C#N)o2)c2n1CCCC2 ZINC000376344797 418696349 /nfs/dbraw/zinc/69/63/49/418696349.db2.gz UZRBNFBPIJBXAH-UHFFFAOYSA-N 1 2 320.374 1.471 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1cc(C(C)(C)C)nn1C ZINC000361996892 418737004 /nfs/dbraw/zinc/73/70/04/418737004.db2.gz RQNBGRZALUTKJQ-UHFFFAOYSA-N 1 2 308.426 1.791 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1cc(C(C)(C)C)nn1C ZINC000361996892 418737007 /nfs/dbraw/zinc/73/70/07/418737007.db2.gz RQNBGRZALUTKJQ-UHFFFAOYSA-N 1 2 308.426 1.791 20 30 DDEDLO CCC(CC)C[N@H+](CCC#N)CC(=O)NCC(=O)N1CCCC1 ZINC000362182259 418749841 /nfs/dbraw/zinc/74/98/41/418749841.db2.gz CSGXSJGLDFKXEW-UHFFFAOYSA-N 1 2 322.453 1.377 20 30 DDEDLO CCC(CC)C[N@@H+](CCC#N)CC(=O)NCC(=O)N1CCCC1 ZINC000362182259 418749844 /nfs/dbraw/zinc/74/98/44/418749844.db2.gz CSGXSJGLDFKXEW-UHFFFAOYSA-N 1 2 322.453 1.377 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)[C@H](C)C[NH+]1CCOCC1 ZINC000362212844 418750618 /nfs/dbraw/zinc/75/06/18/418750618.db2.gz FAYPCVVFOHFNJO-CQSZACIVSA-N 1 2 319.405 1.439 20 30 DDEDLO COc1cncc(N2CC[NH+](Cc3ccccc3C#N)CC2)n1 ZINC000363218288 418764063 /nfs/dbraw/zinc/76/40/63/418764063.db2.gz HIHHPOVQZKBETN-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](CC)CCCC)CC1 ZINC000363638122 418768245 /nfs/dbraw/zinc/76/82/45/418768245.db2.gz GWHRRDFNULARJP-INIZCTEOSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](CC)CCCC)CC1 ZINC000363638122 418768246 /nfs/dbraw/zinc/76/82/46/418768246.db2.gz GWHRRDFNULARJP-INIZCTEOSA-N 1 2 321.465 1.487 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)C[C@@H](C)O2 ZINC000363926924 418771658 /nfs/dbraw/zinc/77/16/58/418771658.db2.gz QWFPENFWKHKKGI-GFOFROLCSA-N 1 2 321.421 1.063 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C[C@@H](C)O2 ZINC000363926924 418771661 /nfs/dbraw/zinc/77/16/61/418771661.db2.gz QWFPENFWKHKKGI-GFOFROLCSA-N 1 2 321.421 1.063 20 30 DDEDLO CCc1nc(C[NH+]2C[C@@H]3CN(c4ccncc4C#N)C[C@H]3C2)no1 ZINC000364312515 418775264 /nfs/dbraw/zinc/77/52/64/418775264.db2.gz FSTKJGKHSQBIRF-ZIAGYGMSSA-N 1 2 324.388 1.467 20 30 DDEDLO CC(C)NC(=O)C[N@@H+]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC000372391626 418863804 /nfs/dbraw/zinc/86/38/04/418863804.db2.gz LYDKHTZIKWFUCG-UHFFFAOYSA-N 1 2 320.462 1.652 20 30 DDEDLO CC(C)NC(=O)C[N@H+]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC000372391626 418863805 /nfs/dbraw/zinc/86/38/05/418863805.db2.gz LYDKHTZIKWFUCG-UHFFFAOYSA-N 1 2 320.462 1.652 20 30 DDEDLO Cc1n[nH]c(C2CC[NH+](CC(=O)N[C@@](C)(C#N)C(C)C)CC2)n1 ZINC000365118001 418838409 /nfs/dbraw/zinc/83/84/09/418838409.db2.gz POYOQJISOMBEKL-INIZCTEOSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1nc(C2CC[NH+](CC(=O)N[C@@](C)(C#N)C(C)C)CC2)n[nH]1 ZINC000365118001 418838412 /nfs/dbraw/zinc/83/84/12/418838412.db2.gz POYOQJISOMBEKL-INIZCTEOSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1nnc(C2CC[NH+](CC(=O)N[C@@](C)(C#N)C(C)C)CC2)[nH]1 ZINC000365118001 418838415 /nfs/dbraw/zinc/83/84/15/418838415.db2.gz POYOQJISOMBEKL-INIZCTEOSA-N 1 2 318.425 1.347 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N(C)C(=O)[C@@H](C)[NH+]1CCN(C)CC1 ZINC000425123122 228369661 /nfs/dbraw/zinc/36/96/61/228369661.db2.gz DGUDRQSWDHYEQR-HUUCEWRRSA-N 1 2 314.433 1.714 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000411592170 419353200 /nfs/dbraw/zinc/35/32/00/419353200.db2.gz SJBUZSUZCOWXRG-ZDUSSCGKSA-N 1 2 305.378 1.389 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CC[C@@H](NC(C)=O)C2)c1C ZINC000420982232 419411614 /nfs/dbraw/zinc/41/16/14/419411614.db2.gz HAEAWDGIYCLWQZ-OAHLLOKOSA-N 1 2 303.406 1.684 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CC[C@@H](NC(C)=O)C2)c1C ZINC000420982232 419411626 /nfs/dbraw/zinc/41/16/26/419411626.db2.gz HAEAWDGIYCLWQZ-OAHLLOKOSA-N 1 2 303.406 1.684 20 30 DDEDLO NS(=O)(=O)C[C@H]1CCC[N@@H+]1CC#Cc1ccc(Cl)cc1 ZINC000427008310 419579521 /nfs/dbraw/zinc/57/95/21/419579521.db2.gz NIJWHPIXLHQPQZ-CQSZACIVSA-N 1 2 312.822 1.444 20 30 DDEDLO NS(=O)(=O)C[C@H]1CCC[N@H+]1CC#Cc1ccc(Cl)cc1 ZINC000427008310 419579529 /nfs/dbraw/zinc/57/95/29/419579529.db2.gz NIJWHPIXLHQPQZ-CQSZACIVSA-N 1 2 312.822 1.444 20 30 DDEDLO CC1(C)C[C@]1(C#N)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000427889340 419764153 /nfs/dbraw/zinc/76/41/53/419764153.db2.gz WJGMOSCDCPPPKO-GOSISDBHSA-N 1 2 313.401 1.941 20 30 DDEDLO CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)[C@@H](C)C(=O)OCC ZINC000429717361 420040225 /nfs/dbraw/zinc/04/02/25/420040225.db2.gz LQLPYLHHQNKEKV-BBRMVZONSA-N 1 2 311.426 1.704 20 30 DDEDLO CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)[C@@H](C)C(=O)OCC ZINC000429717361 420040231 /nfs/dbraw/zinc/04/02/31/420040231.db2.gz LQLPYLHHQNKEKV-BBRMVZONSA-N 1 2 311.426 1.704 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCOCC3)nc[nH+]2)s1 ZINC000420611492 420329971 /nfs/dbraw/zinc/32/99/71/420329971.db2.gz QOWBVROSAYBPQY-UHFFFAOYSA-N 1 2 301.375 1.858 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCOCC3)[nH+]cn2)s1 ZINC000420611492 420329974 /nfs/dbraw/zinc/32/99/74/420329974.db2.gz QOWBVROSAYBPQY-UHFFFAOYSA-N 1 2 301.375 1.858 20 30 DDEDLO CO[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000451535638 420602536 /nfs/dbraw/zinc/60/25/36/420602536.db2.gz AXPFDQLHKKPBFF-XJKSGUPXSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000451535638 420602539 /nfs/dbraw/zinc/60/25/39/420602539.db2.gz AXPFDQLHKKPBFF-XJKSGUPXSA-N 1 2 322.430 1.689 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H]2CC[N@H+](C)[C@H](C)C2)cc1C#N ZINC000439948103 420546413 /nfs/dbraw/zinc/54/64/13/420546413.db2.gz GUEXIDNHPUSLEC-KOLCDFICSA-N 1 2 320.418 1.593 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H]2CC[N@@H+](C)[C@H](C)C2)cc1C#N ZINC000439948103 420546416 /nfs/dbraw/zinc/54/64/16/420546416.db2.gz GUEXIDNHPUSLEC-KOLCDFICSA-N 1 2 320.418 1.593 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000450892249 420553097 /nfs/dbraw/zinc/55/30/97/420553097.db2.gz CTMOLRCZJODYHD-UONOGXRCSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000450892249 420553099 /nfs/dbraw/zinc/55/30/99/420553099.db2.gz CTMOLRCZJODYHD-UONOGXRCSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(C(=O)OCC)cn2)C1=O ZINC000450908557 420556501 /nfs/dbraw/zinc/55/65/01/420556501.db2.gz SIBJJYYBEIFSPG-HNNXBMFYSA-N 1 2 317.389 1.477 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(C(=O)OCC)cn2)C1=O ZINC000450908557 420556505 /nfs/dbraw/zinc/55/65/05/420556505.db2.gz SIBJJYYBEIFSPG-HNNXBMFYSA-N 1 2 317.389 1.477 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)/C=C\c2[nH+]ccn2CC)C1=O ZINC000492571473 420595128 /nfs/dbraw/zinc/59/51/28/420595128.db2.gz ZYJYHGPDZRSAOV-FWWRYZNZSA-N 1 2 302.378 1.162 20 30 DDEDLO COCCOc1ccc(C#N)cc1NC(=O)C=Cc1c[nH]c[nH+]1 ZINC000492758999 420653593 /nfs/dbraw/zinc/65/35/93/420653593.db2.gz BNYXPVNUIUVNJE-HYXAFXHYSA-N 1 2 312.329 1.958 20 30 DDEDLO N#C[C@H]1CN(C(=O)/C=C/c2[nH]cc[nH+]2)CCN1Cc1ccccc1 ZINC000493249719 420798451 /nfs/dbraw/zinc/79/84/51/420798451.db2.gz HEJKGWSXKHIIHL-MOEXGYKKSA-N 1 2 321.384 1.659 20 30 DDEDLO CC(C)(C)[C@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)CCO1 ZINC000447836234 420805887 /nfs/dbraw/zinc/80/58/87/420805887.db2.gz WQQBFUVUSAHJJE-CQSZACIVSA-N 1 2 306.410 1.389 20 30 DDEDLO CC(C)(C)[C@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)CCO1 ZINC000447836234 420805890 /nfs/dbraw/zinc/80/58/90/420805890.db2.gz WQQBFUVUSAHJJE-CQSZACIVSA-N 1 2 306.410 1.389 20 30 DDEDLO CN(CCOCc1ccc(C#N)cc1)C(=O)C=Cc1c[nH]c[nH+]1 ZINC000493431782 420849009 /nfs/dbraw/zinc/84/90/09/420849009.db2.gz ZVHMANSUUWCPHL-SREVYHEPSA-N 1 2 310.357 1.970 20 30 DDEDLO C[C@@H](NC(=O)N[C@H]1CC[C@@H](CC#N)C1)[C@H](C)[NH+]1CCOCC1 ZINC000454552262 420881835 /nfs/dbraw/zinc/88/18/35/420881835.db2.gz SZYXAGBUMKZWRB-QPSCCSFWSA-N 1 2 308.426 1.477 20 30 DDEDLO Cc1nc(N)c(CNC(=O)/C=C\c2ccc(OCC#N)cc2)c[nH+]1 ZINC000493760284 420935243 /nfs/dbraw/zinc/93/52/43/420935243.db2.gz SMAPLPGPFGINSO-DAXSKMNVSA-N 1 2 323.356 1.599 20 30 DDEDLO CC[C@H](C(=O)OC)[NH+]1CCN(c2ccc(C#N)c(C)n2)CC1 ZINC000487774927 421049118 /nfs/dbraw/zinc/04/91/18/421049118.db2.gz YNIRJFFYYZWVJP-CQSZACIVSA-N 1 2 302.378 1.335 20 30 DDEDLO C=CCCCS(=O)(=O)N(C)CC[N@@H+]1CCOC(C)(C)C1 ZINC000489797391 421173463 /nfs/dbraw/zinc/17/34/63/421173463.db2.gz MZFFPELNDBFDAK-UHFFFAOYSA-N 1 2 304.456 1.325 20 30 DDEDLO C=CCCCS(=O)(=O)N(C)CC[N@H+]1CCOC(C)(C)C1 ZINC000489797391 421173467 /nfs/dbraw/zinc/17/34/67/421173467.db2.gz MZFFPELNDBFDAK-UHFFFAOYSA-N 1 2 304.456 1.325 20 30 DDEDLO C=CCCCS(=O)(=O)N(C)CC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000489800470 421174724 /nfs/dbraw/zinc/17/47/24/421174724.db2.gz XJPCVRIXRYSNFA-OKILXGFUSA-N 1 2 304.456 1.323 20 30 DDEDLO C=CCCCS(=O)(=O)N(C)CC[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000489800470 421174728 /nfs/dbraw/zinc/17/47/28/421174728.db2.gz XJPCVRIXRYSNFA-OKILXGFUSA-N 1 2 304.456 1.323 20 30 DDEDLO C[C@H]1C(NNc2cncc[nH+]2)=CC(=O)N1C(=O)OC(C)(C)C ZINC000496351378 421237523 /nfs/dbraw/zinc/23/75/23/421237523.db2.gz YSDPALMNJGNSHZ-VIFPVBQESA-N 1 2 305.338 1.800 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNc2ccc(Cl)c(C#N)n2)C1 ZINC000450539774 421207745 /nfs/dbraw/zinc/20/77/45/421207745.db2.gz MHZKDGQYABBDCI-LLVKDONJSA-N 1 2 322.796 1.904 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNc2ccc(Cl)c(C#N)n2)C1 ZINC000450539774 421207748 /nfs/dbraw/zinc/20/77/48/421207748.db2.gz MHZKDGQYABBDCI-LLVKDONJSA-N 1 2 322.796 1.904 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H]2CCN(C3CCCCC3)C2=O)C1=O ZINC000528498231 421495731 /nfs/dbraw/zinc/49/57/31/421495731.db2.gz VKPVCOBTDGYFMX-HZPDHXFCSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H]2CCN(C3CCCCC3)C2=O)C1=O ZINC000528498231 421495734 /nfs/dbraw/zinc/49/57/34/421495734.db2.gz VKPVCOBTDGYFMX-HZPDHXFCSA-N 1 2 319.449 1.639 20 30 DDEDLO CCN1CC[N@H+](C[C@H](O)COc2ccccc2C#N)[C@H](C)C1 ZINC000528510687 421496260 /nfs/dbraw/zinc/49/62/60/421496260.db2.gz YHZUNYUOFOQSCW-ZBFHGGJFSA-N 1 2 303.406 1.324 20 30 DDEDLO CCN1CC[N@@H+](C[C@H](O)COc2ccccc2C#N)[C@H](C)C1 ZINC000528510687 421496263 /nfs/dbraw/zinc/49/62/63/421496263.db2.gz YHZUNYUOFOQSCW-ZBFHGGJFSA-N 1 2 303.406 1.324 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000563890939 421554172 /nfs/dbraw/zinc/55/41/72/421554172.db2.gz UWYKURDMYUEQMO-AWEZNQCLSA-N 1 2 324.768 1.751 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2F)[C@H](C)C1 ZINC000552001726 421565088 /nfs/dbraw/zinc/56/50/88/421565088.db2.gz MUWJZOQOOADNDH-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2F)[C@H](C)C1 ZINC000552001726 421565089 /nfs/dbraw/zinc/56/50/89/421565089.db2.gz MUWJZOQOOADNDH-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO COCCOc1ccc(C[NH2+]Cc2nc(C#N)cs2)cn1 ZINC000516940335 421580222 /nfs/dbraw/zinc/58/02/22/421580222.db2.gz XKJJZWLFXFVBSB-UHFFFAOYSA-N 1 2 304.375 1.725 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000567052519 421610068 /nfs/dbraw/zinc/61/00/68/421610068.db2.gz AQOIINFOOYXKAQ-ZDUSSCGKSA-N 1 2 310.741 1.822 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)Cc2cccc(C#N)c2)CC1 ZINC000530913180 421619323 /nfs/dbraw/zinc/61/93/23/421619323.db2.gz LPUIITMIFHGKRZ-UHFFFAOYSA-N 1 2 323.400 1.179 20 30 DDEDLO C[N@@H+](CC(=O)NC1(C#N)CCC1)C[C@H]1OCCc2ccccc21 ZINC000553873071 421628220 /nfs/dbraw/zinc/62/82/20/421628220.db2.gz VPWKOGBZHLJPDB-MRXNPFEDSA-N 1 2 313.401 1.795 20 30 DDEDLO C[N@H+](CC(=O)NC1(C#N)CCC1)C[C@H]1OCCc2ccccc21 ZINC000553873071 421628222 /nfs/dbraw/zinc/62/82/22/421628222.db2.gz VPWKOGBZHLJPDB-MRXNPFEDSA-N 1 2 313.401 1.795 20 30 DDEDLO Cc1nnc(-c2ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc2)o1 ZINC000571332135 421707442 /nfs/dbraw/zinc/70/74/42/421707442.db2.gz VRKNLYKDLPPDMW-INIZCTEOSA-N 1 2 313.361 1.619 20 30 DDEDLO Cc1cc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)ccc1C#N ZINC000572685587 421811267 /nfs/dbraw/zinc/81/12/67/421811267.db2.gz DHJQZNQUHPWUPG-ZDUSSCGKSA-N 1 2 316.386 1.357 20 30 DDEDLO CCn1cc(C[N@H+](C)CC(=O)NC2(C#N)CCCCC2)cn1 ZINC000522245824 421820283 /nfs/dbraw/zinc/82/02/83/421820283.db2.gz YRBCDRKEUFWEJT-UHFFFAOYSA-N 1 2 303.410 1.677 20 30 DDEDLO CCn1cc(C[N@@H+](C)CC(=O)NC2(C#N)CCCCC2)cn1 ZINC000522245824 421820286 /nfs/dbraw/zinc/82/02/86/421820286.db2.gz YRBCDRKEUFWEJT-UHFFFAOYSA-N 1 2 303.410 1.677 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000572144517 421766437 /nfs/dbraw/zinc/76/64/37/421766437.db2.gz XRHRQWFIVZKEHK-ZFWWWQNUSA-N 1 2 314.389 1.197 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])C[C@@H]1CCS(=O)(=O)C1 ZINC000572885624 421880619 /nfs/dbraw/zinc/88/06/19/421880619.db2.gz HTVODGWFRDKQSR-LBPRGKRZSA-N 1 2 323.374 1.333 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])C[C@@H]1CCS(=O)(=O)C1 ZINC000572885624 421880624 /nfs/dbraw/zinc/88/06/24/421880624.db2.gz HTVODGWFRDKQSR-LBPRGKRZSA-N 1 2 323.374 1.333 20 30 DDEDLO C=CCN(C(=O)C[N@@H+](C)CC(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000635401844 421955978 /nfs/dbraw/zinc/95/59/78/421955978.db2.gz MAUSSIHTNLKHPZ-CYBMUJFWSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CCN(C(=O)C[N@H+](C)CC(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000635401844 421955985 /nfs/dbraw/zinc/95/59/85/421955985.db2.gz MAUSSIHTNLKHPZ-CYBMUJFWSA-N 1 2 316.467 1.166 20 30 DDEDLO COc1nccc(C[NH+]2CCN(c3cnccc3C#N)CC2)n1 ZINC000573890981 422032534 /nfs/dbraw/zinc/03/25/34/422032534.db2.gz VBAGWTBNZDJNQG-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CCC[C@H](N2CCOCC2)C1 ZINC000581497003 421988816 /nfs/dbraw/zinc/98/88/16/421988816.db2.gz IQWAHFOVGNMWMY-KRWDZBQOSA-N 1 2 303.381 1.994 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CCC[C@H](N2CCOCC2)C1 ZINC000581497003 421988819 /nfs/dbraw/zinc/98/88/19/421988819.db2.gz IQWAHFOVGNMWMY-KRWDZBQOSA-N 1 2 303.381 1.994 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(O[C@@H]2CCOC2)cc1 ZINC000573729271 422007498 /nfs/dbraw/zinc/00/74/98/422007498.db2.gz DBKVSIFLBFGIAL-WBVHZDCISA-N 1 2 317.389 1.428 20 30 DDEDLO Cc1nc(N[C@@H]2CCCN(Cc3[nH+]ccn3C)C2=O)ccc1C#N ZINC000581996176 422084678 /nfs/dbraw/zinc/08/46/78/422084678.db2.gz WUBQAELQRURACA-CQSZACIVSA-N 1 2 324.388 1.598 20 30 DDEDLO N#Cc1ccc2nc(NCC3([NH+]4CCOCC4)CC3)ccc2c1 ZINC000582100010 422108261 /nfs/dbraw/zinc/10/82/61/422108261.db2.gz FDLKNKJNBGVXRR-UHFFFAOYSA-N 1 2 308.385 2.383 20 30 DDEDLO C[C@@H]1CCN(c2cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)ccn2)C1 ZINC000574576080 422137692 /nfs/dbraw/zinc/13/76/92/422137692.db2.gz GUEKBERLZSUGLC-DYVFJYSZSA-N 1 2 315.421 1.501 20 30 DDEDLO Cc1csc(NC(=O)NCC[N@H+]2CCOC[C@H]2C)c1C#N ZINC000583507952 422175045 /nfs/dbraw/zinc/17/50/45/422175045.db2.gz VBNFXYVRROPJRV-LLVKDONJSA-N 1 2 308.407 1.770 20 30 DDEDLO Cc1csc(NC(=O)NCC[N@@H+]2CCOC[C@H]2C)c1C#N ZINC000583507952 422175051 /nfs/dbraw/zinc/17/50/51/422175051.db2.gz VBNFXYVRROPJRV-LLVKDONJSA-N 1 2 308.407 1.770 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)CC2(CCCC2)O1 ZINC000592186148 422332184 /nfs/dbraw/zinc/33/21/84/422332184.db2.gz ADQLRGSHVSFCIL-CZUORRHYSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)CC2(CCCC2)O1 ZINC000592186148 422332188 /nfs/dbraw/zinc/33/21/88/422332188.db2.gz ADQLRGSHVSFCIL-CZUORRHYSA-N 1 2 305.422 1.828 20 30 DDEDLO CCc1nc(C#N)cc(NCc2cc[nH+]c(N3CCCC3)c2)n1 ZINC000596067654 422362064 /nfs/dbraw/zinc/36/20/64/422362064.db2.gz PLEKNZQHVJPSRV-UHFFFAOYSA-N 1 2 308.389 2.518 20 30 DDEDLO Cc1cc(NC(=O)N[C@@H](C)c2[nH+]ccn2C)nn1CCC#N ZINC000596817218 422382049 /nfs/dbraw/zinc/38/20/49/422382049.db2.gz UQTSEDFVQKRJJI-NSHDSACASA-N 1 2 301.354 1.721 20 30 DDEDLO Cc1nn(C(F)F)c(C)c1CC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000577168752 422383189 /nfs/dbraw/zinc/38/31/89/422383189.db2.gz JLKXQDAYNHWILE-CQSZACIVSA-N 1 2 313.352 1.398 20 30 DDEDLO Cc1cc(NCCNC(=O)CSCC#N)nc(C(C)C)[nH+]1 ZINC000600366238 422409523 /nfs/dbraw/zinc/40/95/23/422409523.db2.gz NEOGOOIUXPTAGQ-UHFFFAOYSA-N 1 2 307.423 1.693 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCOc2ccc(OC)cc2)nn1 ZINC000640797239 423193979 /nfs/dbraw/zinc/19/39/79/423193979.db2.gz ZGFRBCNVWWLBEF-UHFFFAOYSA-N 1 2 300.362 1.479 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)N1CC(Oc2ccc(C#N)cc2)C1 ZINC000367848551 269936682 /nfs/dbraw/zinc/93/66/82/269936682.db2.gz USZVHFNFCTUOAZ-UHFFFAOYSA-N 1 2 311.345 1.265 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)n1cc(C[NH2+]CC#CC)nn1 ZINC000640924667 423278422 /nfs/dbraw/zinc/27/84/22/423278422.db2.gz XSWKQDQFWXGWCV-AWEZNQCLSA-N 1 2 303.410 1.377 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccnn2CC2CCC2)C1=O ZINC000639132339 423325646 /nfs/dbraw/zinc/32/56/46/423325646.db2.gz MDBRFNDAQLOING-MRXNPFEDSA-N 1 2 302.422 1.902 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccnn2CC2CCC2)C1=O ZINC000639132339 423325649 /nfs/dbraw/zinc/32/56/49/423325649.db2.gz MDBRFNDAQLOING-MRXNPFEDSA-N 1 2 302.422 1.902 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H]2CN2CCOCC2)nn1 ZINC000653559840 423529226 /nfs/dbraw/zinc/52/92/26/423529226.db2.gz XKKASNXVSZNJIC-INIZCTEOSA-N 1 2 305.426 1.151 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H]2CN2CCOCC2)nn1 ZINC000653559840 423529230 /nfs/dbraw/zinc/52/92/30/423529230.db2.gz XKKASNXVSZNJIC-INIZCTEOSA-N 1 2 305.426 1.151 20 30 DDEDLO C=CC[N@H+](Cc1cccc2c1CCOC2)[C@@H]1CCS(=O)(=O)C1 ZINC000639531624 423561014 /nfs/dbraw/zinc/56/10/14/423561014.db2.gz LGLJBJFFHPZHIR-MRXNPFEDSA-N 1 2 321.442 1.934 20 30 DDEDLO C=CC[N@@H+](Cc1cccc2c1CCOC2)[C@@H]1CCS(=O)(=O)C1 ZINC000639531624 423561018 /nfs/dbraw/zinc/56/10/18/423561018.db2.gz LGLJBJFFHPZHIR-MRXNPFEDSA-N 1 2 321.442 1.934 20 30 DDEDLO C=CCCC[C@@H](C)n1cc(C[NH2+][C@H]2CCS(=O)(=O)C2)nn1 ZINC000641235518 423500345 /nfs/dbraw/zinc/50/03/45/423500345.db2.gz SUXYYCBDXDSPBM-OLZOCXBDSA-N 1 2 312.439 1.472 20 30 DDEDLO C=CCN(Cc1ccccc1)S(=O)(=O)CCn1cc[nH+]c1 ZINC000641270631 423516801 /nfs/dbraw/zinc/51/68/01/423516801.db2.gz HTVMTPDZWNVPMM-UHFFFAOYSA-N 1 2 305.403 1.901 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@H](C)O[C@](C)(C(F)F)C1 ZINC000662364950 424590054 /nfs/dbraw/zinc/59/00/54/424590054.db2.gz SIXQJCVWYNXWRJ-WFASDCNBSA-N 1 2 302.365 1.932 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@H](C)O[C@](C)(C(F)F)C1 ZINC000662364950 424590061 /nfs/dbraw/zinc/59/00/61/424590061.db2.gz SIXQJCVWYNXWRJ-WFASDCNBSA-N 1 2 302.365 1.932 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)Nc2cccc(C#N)c2)CCO1 ZINC000362477326 266378752 /nfs/dbraw/zinc/37/87/52/266378752.db2.gz SRLNNPFQKPGEOR-OAHLLOKOSA-N 1 2 302.378 1.791 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)Nc2cccc(C#N)c2)CCO1 ZINC000362477326 266378757 /nfs/dbraw/zinc/37/87/57/266378757.db2.gz SRLNNPFQKPGEOR-OAHLLOKOSA-N 1 2 302.378 1.791 20 30 DDEDLO C[C@@H](NC(=O)C1([NH+]2CCOCC2)CCC1)c1ccc(C#N)cc1 ZINC000355696497 266947716 /nfs/dbraw/zinc/94/77/16/266947716.db2.gz IDDOKIYOMYWQSN-CQSZACIVSA-N 1 2 313.401 1.990 20 30 DDEDLO CCOC[C@H]1C[N@H+](C[C@H](O)COc2ccc(C#N)cc2)CCO1 ZINC000528725696 267142453 /nfs/dbraw/zinc/14/24/53/267142453.db2.gz VQWUVYVYPAMLGA-DOTOQJQBSA-N 1 2 320.389 1.035 20 30 DDEDLO CCOC[C@H]1C[N@@H+](C[C@H](O)COc2ccc(C#N)cc2)CCO1 ZINC000528725696 267142456 /nfs/dbraw/zinc/14/24/56/267142456.db2.gz VQWUVYVYPAMLGA-DOTOQJQBSA-N 1 2 320.389 1.035 20 30 DDEDLO Cn1ncc(C(=O)N2CC[N@H+](C)C[C@@H]2c2ccccc2)c1C#N ZINC000353822882 267840393 /nfs/dbraw/zinc/84/03/93/267840393.db2.gz GJPRZLHQSFONNT-MRXNPFEDSA-N 1 2 309.373 1.421 20 30 DDEDLO Cn1ncc(C(=O)N2CC[N@@H+](C)C[C@@H]2c2ccccc2)c1C#N ZINC000353822882 267840396 /nfs/dbraw/zinc/84/03/96/267840396.db2.gz GJPRZLHQSFONNT-MRXNPFEDSA-N 1 2 309.373 1.421 20 30 DDEDLO Cc1cc(N(C)C[C@@H](O)CNC(=O)OC(C)(C)C)c(C#N)c[nH+]1 ZINC000496224726 268005087 /nfs/dbraw/zinc/00/50/87/268005087.db2.gz HSVWUOPTAPKGOZ-ZDUSSCGKSA-N 1 2 320.393 1.584 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](Cc3ccsc3)CC2)ccn1 ZINC000525979542 268176503 /nfs/dbraw/zinc/17/65/03/268176503.db2.gz XWIWRBOOHZGTBD-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCCC3(CC3)C2)nc1 ZINC000377581047 268187350 /nfs/dbraw/zinc/18/73/50/268187350.db2.gz DTGFSYNCMRKRCL-UHFFFAOYSA-N 1 2 305.403 1.603 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCCC3(CC3)C2)nc1 ZINC000377581047 268187353 /nfs/dbraw/zinc/18/73/53/268187353.db2.gz DTGFSYNCMRKRCL-UHFFFAOYSA-N 1 2 305.403 1.603 20 30 DDEDLO CC1(C)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CCCO1 ZINC000319737268 278333331 /nfs/dbraw/zinc/33/33/31/278333331.db2.gz LSPFWKPZKNZDBR-UHFFFAOYSA-N 1 2 322.430 1.833 20 30 DDEDLO CC1(C)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CCCO1 ZINC000319737268 278333333 /nfs/dbraw/zinc/33/33/33/278333333.db2.gz LSPFWKPZKNZDBR-UHFFFAOYSA-N 1 2 322.430 1.833 20 30 DDEDLO CC(C)C[C@@H]1COCCN1C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330180278 279031198 /nfs/dbraw/zinc/03/11/98/279031198.db2.gz FXCFBMYBJFNRGL-ZIAGYGMSSA-N 1 2 306.410 1.859 20 30 DDEDLO Cc1nsc(NC(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)c1C#N ZINC000297417347 279266410 /nfs/dbraw/zinc/26/64/10/279266410.db2.gz LZOHYYAPRODVAL-KOLCDFICSA-N 1 2 323.422 1.554 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C#N)cc1 ZINC000048981938 280879923 /nfs/dbraw/zinc/87/99/23/280879923.db2.gz UBCWCFSGLLZWEH-CYBMUJFWSA-N 1 2 302.378 1.078 20 30 DDEDLO CCc1noc(CC)c1C[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000088550599 281018844 /nfs/dbraw/zinc/01/88/44/281018844.db2.gz SDTCBTNBPOJRKU-INIZCTEOSA-N 1 2 312.373 1.987 20 30 DDEDLO C[C@@H]1C[N@H+](CCN2CCC[C@@]3(CCCCO3)[C@@H]2C#N)CCO1 ZINC000337370777 297002436 /nfs/dbraw/zinc/00/24/36/297002436.db2.gz PUXYAZXMEKQINL-IKGGRYGDSA-N 1 2 307.438 1.634 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN2CCC[C@@]3(CCCCO3)[C@@H]2C#N)CCO1 ZINC000337370777 297002437 /nfs/dbraw/zinc/00/24/37/297002437.db2.gz PUXYAZXMEKQINL-IKGGRYGDSA-N 1 2 307.438 1.634 20 30 DDEDLO O=C1CC[C@@H]([NH+]=C([O-])N[C@H]2CCn3cc[nH+]c3C2)C2(CCC2)N1 ZINC000328762195 295389472 /nfs/dbraw/zinc/38/94/72/295389472.db2.gz YXINOLGNOOSOAO-NWDGAFQWSA-N 1 2 317.393 1.743 20 30 DDEDLO O=C1CC[C@@H](NC([O-])=[NH+][C@H]2CCn3cc[nH+]c3C2)C2(CCC2)N1 ZINC000328762195 295389475 /nfs/dbraw/zinc/38/94/75/295389475.db2.gz YXINOLGNOOSOAO-NWDGAFQWSA-N 1 2 317.393 1.743 20 30 DDEDLO C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)[C@H]1CCC[N@@H+]1C1CCCC1 ZINC000329561028 300377401 /nfs/dbraw/zinc/37/74/01/300377401.db2.gz JCFVSSJIFFAMTG-IACUBPJLSA-N 1 2 314.451 1.783 20 30 DDEDLO C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)[C@H]1CCC[N@H+]1C1CCCC1 ZINC000329561028 300377406 /nfs/dbraw/zinc/37/74/06/300377406.db2.gz JCFVSSJIFFAMTG-IACUBPJLSA-N 1 2 314.451 1.783 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCOC[C@@H]3c3ncon3)n2c1 ZINC000374822874 301849379 /nfs/dbraw/zinc/84/93/79/301849379.db2.gz FWWODRTVOTXUFC-CYBMUJFWSA-N 1 2 310.317 1.162 20 30 DDEDLO Cc1cn2c([nH+]1)CN(CC(=O)N(C)C1(C#N)CCCCC1)CC2 ZINC000374690869 302323297 /nfs/dbraw/zinc/32/32/97/302323297.db2.gz BLTZRHYXEVVYMO-UHFFFAOYSA-N 1 2 315.421 1.692 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)N1CCC[C@@H]1CO)C1CCOCC1 ZINC000329336889 302990535 /nfs/dbraw/zinc/99/05/35/302990535.db2.gz MEPHWWQQNQBCDC-KGLIPLIRSA-N 1 2 322.409 1.259 20 30 DDEDLO Cn1cnc2c(c1=O)CC[N@H+](CC(=O)NC1CCCCC1)C2 ZINC000329621206 303022004 /nfs/dbraw/zinc/02/20/04/303022004.db2.gz FNVHLQYAFOQAPM-UHFFFAOYSA-N 1 2 304.394 1.428 20 30 DDEDLO Cn1cnc2c(c1=O)CC[N@@H+](CC(=O)NC1CCCCC1)C2 ZINC000329621206 303022005 /nfs/dbraw/zinc/02/20/05/303022005.db2.gz FNVHLQYAFOQAPM-UHFFFAOYSA-N 1 2 304.394 1.428 20 30 DDEDLO Cn1ccnc1C[N@H+](C)CCC(=O)Nc1sccc1C#N ZINC000535764718 303351601 /nfs/dbraw/zinc/35/16/01/303351601.db2.gz MMHBWQGNVSYFHG-UHFFFAOYSA-N 1 2 303.391 1.814 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)CCC(=O)Nc1sccc1C#N ZINC000535764718 303351604 /nfs/dbraw/zinc/35/16/04/303351604.db2.gz MMHBWQGNVSYFHG-UHFFFAOYSA-N 1 2 303.391 1.814 20 30 DDEDLO Cn1cnnc1C[NH+]1CCC(Oc2ccc(C#N)cc2F)CC1 ZINC000560501470 307913616 /nfs/dbraw/zinc/91/36/16/307913616.db2.gz DXLWJONSQYDJBN-UHFFFAOYSA-N 1 2 315.352 1.869 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000566843250 308064816 /nfs/dbraw/zinc/06/48/16/308064816.db2.gz NUSAPWAMLNPPDG-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO C#CCN(Cc1c[nH+]c2c(C)cccn12)[C@H]1CCS(=O)(=O)C1 ZINC000092194035 332115785 /nfs/dbraw/zinc/11/57/85/332115785.db2.gz LJUBVSVDCNRNIA-AWEZNQCLSA-N 1 2 317.414 1.265 20 30 DDEDLO COc1cccc2c1[C@@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)CC2 ZINC000566307903 332329453 /nfs/dbraw/zinc/32/94/53/332329453.db2.gz BUOSAAPETNMZOR-SUMWQHHRSA-N 1 2 301.390 1.685 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccn(C2CCCCC2)n1 ZINC000566309397 332330748 /nfs/dbraw/zinc/33/07/48/332330748.db2.gz PCXWSJZHUFEPSS-INIZCTEOSA-N 1 2 303.410 1.962 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)c1ccccc1OCC#N)[NH+]1CCOCC1 ZINC000170887257 333041952 /nfs/dbraw/zinc/04/19/52/333041952.db2.gz PEFZDAVPLGOFTO-UONOGXRCSA-N 1 2 317.389 1.428 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2CCc3c([nH+]c(C)n3CCO)C2)CC1 ZINC000571291136 333707588 /nfs/dbraw/zinc/70/75/88/333707588.db2.gz VBVDCSDPRSBFNO-CQSZACIVSA-N 1 2 317.433 1.904 20 30 DDEDLO CC#CCN(C)C(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000344205247 335225161 /nfs/dbraw/zinc/22/51/61/335225161.db2.gz XOCKFEOBNQFMOD-UHFFFAOYSA-N 1 2 310.357 1.507 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCc2ccc(O)cc2C1 ZINC000532732127 336268565 /nfs/dbraw/zinc/26/85/65/336268565.db2.gz LQWCTOFQHIXKTA-NSHDSACASA-N 1 2 303.362 1.151 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCc2ccc(O)cc2C1 ZINC000532732127 336268566 /nfs/dbraw/zinc/26/85/66/336268566.db2.gz LQWCTOFQHIXKTA-NSHDSACASA-N 1 2 303.362 1.151 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CC(=O)N(CC(F)(F)F)C3)n2c1 ZINC000583119339 337243651 /nfs/dbraw/zinc/24/36/51/337243651.db2.gz OLGMITFDFUUKPL-UHFFFAOYSA-N 1 2 323.278 1.370 20 30 DDEDLO CC1(C)C[NH+](CC(=O)N2CCC[C@]3(CCCCO3)[C@@H]2C#N)C1 ZINC000514949636 337974873 /nfs/dbraw/zinc/97/48/73/337974873.db2.gz LGGGDROJJCAOHN-WMLDXEAASA-N 1 2 305.422 1.782 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC[C@@](O)(C[NH+]2CC(C)(C#N)C2)CC1 ZINC000496876049 340015107 /nfs/dbraw/zinc/01/51/07/340015107.db2.gz PGZYGLFSLKBDNQ-KRWDZBQOSA-N 1 2 323.437 1.984 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)CC2(C#N)CC2)C1 ZINC000546624473 341175543 /nfs/dbraw/zinc/17/55/43/341175543.db2.gz ZFTJTAIYJPCAES-AWEZNQCLSA-N 1 2 305.403 1.396 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)CC2(C#N)CC2)C1 ZINC000546624473 341175544 /nfs/dbraw/zinc/17/55/44/341175544.db2.gz ZFTJTAIYJPCAES-AWEZNQCLSA-N 1 2 305.403 1.396 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)NCc1ccc[nH+]c1N(C)C ZINC000572633893 341698600 /nfs/dbraw/zinc/69/86/00/341698600.db2.gz OCDOEMVQTIFEOK-JSGCOSHPSA-N 1 2 304.394 1.538 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)Nc3cccnn3)CC2)cc1 ZINC000610793078 483937520 /nfs/dbraw/zinc/93/75/20/483937520.db2.gz PBYNBELXNQFCFT-UHFFFAOYSA-N 1 2 322.372 1.698 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000667667715 484723844 /nfs/dbraw/zinc/72/38/44/484723844.db2.gz UKBRRTMEWNMCQK-HNNXBMFYSA-N 1 2 304.394 1.518 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCc1nc(C[NH+]2CCCCC2)no1 ZINC000670398588 484804505 /nfs/dbraw/zinc/80/45/05/484804505.db2.gz BJAFGVRUWSQKIB-ZDUSSCGKSA-N 1 2 322.409 1.653 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2cc(C(C)(C)C)ncn2)CC1 ZINC000663360221 484825964 /nfs/dbraw/zinc/82/59/64/484825964.db2.gz NMJALOOQMHGZLB-UHFFFAOYSA-N 1 2 317.437 1.198 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000661119819 484924008 /nfs/dbraw/zinc/92/40/08/484924008.db2.gz KHOXRPJDFONLHE-PMPSAXMXSA-N 1 2 323.437 1.084 20 30 DDEDLO C=C[C@@H](O)C(=O)N(Cc1ccccc1)[C@@H](C)C[NH+]1CCOCC1 ZINC000668142900 484983613 /nfs/dbraw/zinc/98/36/13/484983613.db2.gz HUQYXLGSAXDCJT-DOTOQJQBSA-N 1 2 318.417 1.283 20 30 DDEDLO C=C[C@@](C)(O)C(=O)Nc1cccc([C@@H](C)[NH+]2CCOCC2)c1 ZINC000668270020 485066584 /nfs/dbraw/zinc/06/65/84/485066584.db2.gz XWEDBXIMXGUGLX-CXAGYDPISA-N 1 2 304.390 1.955 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+](CCC2CCCCC2)CC(N)=O)CCC1 ZINC000346823415 534568618 /nfs/dbraw/zinc/56/86/18/534568618.db2.gz BWGLCEVVGKEHHV-UHFFFAOYSA-N 1 2 320.437 1.307 20 30 DDEDLO N#CC1(NC(=O)C[N@H+](CCC2CCCCC2)CC(N)=O)CCC1 ZINC000346823415 534568624 /nfs/dbraw/zinc/56/86/24/534568624.db2.gz BWGLCEVVGKEHHV-UHFFFAOYSA-N 1 2 320.437 1.307 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+](CCO)Cc2cccc(F)c2)CCC1 ZINC000347126536 534569785 /nfs/dbraw/zinc/56/97/85/534569785.db2.gz KYLFEHPHVBUJJN-UHFFFAOYSA-N 1 2 305.353 1.182 20 30 DDEDLO N#CC1(NC(=O)C[N@H+](CCO)Cc2cccc(F)c2)CCC1 ZINC000347126536 534569787 /nfs/dbraw/zinc/56/97/87/534569787.db2.gz KYLFEHPHVBUJJN-UHFFFAOYSA-N 1 2 305.353 1.182 20 30 DDEDLO N#Cc1ccc(SC[C@@H](O)C[NH+]2CCOCC2)c(Cl)c1 ZINC000305341376 534798413 /nfs/dbraw/zinc/79/84/13/534798413.db2.gz PEDYRRCJRADLGJ-LBPRGKRZSA-N 1 2 312.822 1.997 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCN4C(=O)OCC[C@H]4C3)n2c1 ZINC000494471551 534800080 /nfs/dbraw/zinc/80/00/80/534800080.db2.gz QMDJONHQEOGMPN-ZDUSSCGKSA-N 1 2 311.345 1.232 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2ccc(Cl)cc2C)C1 ZINC000330941228 526400756 /nfs/dbraw/zinc/40/07/56/526400756.db2.gz FNEZWQNOMSQPIW-GFCCVEGCSA-N 1 2 324.812 1.795 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(Cl)cc2C)C1 ZINC000330941228 526400760 /nfs/dbraw/zinc/40/07/60/526400760.db2.gz FNEZWQNOMSQPIW-GFCCVEGCSA-N 1 2 324.812 1.795 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2c(C)cc(C)cc2C)C1 ZINC000330964417 526401764 /nfs/dbraw/zinc/40/17/64/526401764.db2.gz ZNFBEKBRMXTRTH-CQSZACIVSA-N 1 2 318.421 1.758 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2c(C)cc(C)cc2C)C1 ZINC000330964417 526401766 /nfs/dbraw/zinc/40/17/66/526401766.db2.gz ZNFBEKBRMXTRTH-CQSZACIVSA-N 1 2 318.421 1.758 20 30 DDEDLO CNC(=O)[C@]1(C)CCN(C(=O)NCC2([NH+]3CCOCC3)CC2)C1 ZINC000330280320 526407829 /nfs/dbraw/zinc/40/78/29/526407829.db2.gz SGFRKGYYILNCFV-OAHLLOKOSA-N 1 2 324.425 1.064 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCOc3ccc(OC)cc3C2)C1=O ZINC000451645541 526482294 /nfs/dbraw/zinc/48/22/94/526482294.db2.gz DZFYEFGOYZINMY-OAHLLOKOSA-N 1 2 302.374 1.677 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCOc3ccc(OC)cc3C2)C1=O ZINC000451645541 526482298 /nfs/dbraw/zinc/48/22/98/526482298.db2.gz DZFYEFGOYZINMY-OAHLLOKOSA-N 1 2 302.374 1.677 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc([N+](=O)[O-])ccc2OC)C1=O ZINC000337281020 526501287 /nfs/dbraw/zinc/50/12/87/526501287.db2.gz VUJNYEWJTJGLDA-AWEZNQCLSA-N 1 2 319.361 1.822 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc([N+](=O)[O-])ccc2OC)C1=O ZINC000337281020 526501288 /nfs/dbraw/zinc/50/12/88/526501288.db2.gz VUJNYEWJTJGLDA-AWEZNQCLSA-N 1 2 319.361 1.822 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)N(C)C2CCCCC2)C1=O ZINC000337218298 526502393 /nfs/dbraw/zinc/50/23/93/526502393.db2.gz IWLDNTVUMWFIGE-HOCLYGCPSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)N(C)C2CCCCC2)C1=O ZINC000337218298 526502398 /nfs/dbraw/zinc/50/23/98/526502398.db2.gz IWLDNTVUMWFIGE-HOCLYGCPSA-N 1 2 321.465 1.885 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)N(C)c2ccc(F)cc2)CC1 ZINC000330525335 526624509 /nfs/dbraw/zinc/62/45/09/526624509.db2.gz QDBUCAUFVVCZCY-UHFFFAOYSA-N 1 2 322.384 1.340 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N[C@H](C)Cc1c(F)cccc1F ZINC000490799141 526845889 /nfs/dbraw/zinc/84/58/89/526845889.db2.gz BSGWEHRHYWDURN-CYBMUJFWSA-N 1 2 304.340 1.580 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459311395 526855534 /nfs/dbraw/zinc/85/55/34/526855534.db2.gz XKSOAXNXQUZADM-CYBMUJFWSA-N 1 2 302.334 1.567 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459311395 526855539 /nfs/dbraw/zinc/85/55/39/526855539.db2.gz XKSOAXNXQUZADM-CYBMUJFWSA-N 1 2 302.334 1.567 20 30 DDEDLO C#CC[N@@H+](CC#CC)CC(=O)N1CCC[C@H](C(F)(F)F)C1 ZINC000490840338 526863065 /nfs/dbraw/zinc/86/30/65/526863065.db2.gz NOTGNEMUHBQREN-ZDUSSCGKSA-N 1 2 300.324 1.746 20 30 DDEDLO C#CC[N@H+](CC#CC)CC(=O)N1CCC[C@H](C(F)(F)F)C1 ZINC000490840338 526863071 /nfs/dbraw/zinc/86/30/71/526863071.db2.gz NOTGNEMUHBQREN-ZDUSSCGKSA-N 1 2 300.324 1.746 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1CCN(Cc2ccsc2)CC1)C1CC1 ZINC000491773965 526883639 /nfs/dbraw/zinc/88/36/39/526883639.db2.gz WICROKYEQQGRMQ-UHFFFAOYSA-N 1 2 317.458 1.490 20 30 DDEDLO C#CC[N@H+](CC(=O)N1CCN(Cc2ccsc2)CC1)C1CC1 ZINC000491773965 526883642 /nfs/dbraw/zinc/88/36/42/526883642.db2.gz WICROKYEQQGRMQ-UHFFFAOYSA-N 1 2 317.458 1.490 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+](CC)Cc2occc2C)CC1 ZINC000491554647 526946947 /nfs/dbraw/zinc/94/69/47/526946947.db2.gz LBDZRLHJLHBVEF-UHFFFAOYSA-N 1 2 303.406 1.187 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+](CC)Cc2occc2C)CC1 ZINC000491554647 526946952 /nfs/dbraw/zinc/94/69/52/526946952.db2.gz LBDZRLHJLHBVEF-UHFFFAOYSA-N 1 2 303.406 1.187 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCO3)n2C2CC2)CC1 ZINC000491627642 526956466 /nfs/dbraw/zinc/95/64/66/526956466.db2.gz UPBKBUWWTARWMP-AWEZNQCLSA-N 1 2 301.394 1.220 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)CC(C)(C)C)CC1 ZINC000491038229 526993735 /nfs/dbraw/zinc/99/37/35/526993735.db2.gz IHGKKHXOKXBRRE-OAHLLOKOSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)CC(C)(C)C)CC1 ZINC000491038229 526993740 /nfs/dbraw/zinc/99/37/40/526993740.db2.gz IHGKKHXOKXBRRE-OAHLLOKOSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)C(CC)CC)CC1 ZINC000491210018 526993831 /nfs/dbraw/zinc/99/38/31/526993831.db2.gz OYJBUVFVHFYHFC-OAHLLOKOSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)C(CC)CC)CC1 ZINC000491210018 526993837 /nfs/dbraw/zinc/99/38/37/526993837.db2.gz OYJBUVFVHFYHFC-OAHLLOKOSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC[C@H]2CC)CC1 ZINC000491465684 526995471 /nfs/dbraw/zinc/99/54/71/526995471.db2.gz XETRXBWFBMSPSA-HZPDHXFCSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC[C@H]2CC)CC1 ZINC000491465684 526995475 /nfs/dbraw/zinc/99/54/75/526995475.db2.gz XETRXBWFBMSPSA-HZPDHXFCSA-N 1 2 319.449 1.096 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000346292161 526995779 /nfs/dbraw/zinc/99/57/79/526995779.db2.gz CNOSQQBIKADPJU-ZDUSSCGKSA-N 1 2 318.421 1.630 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000340874348 527190758 /nfs/dbraw/zinc/19/07/58/527190758.db2.gz FDWSLUZMOIOZTG-UONOGXRCSA-N 1 2 306.410 1.282 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000340874348 527190763 /nfs/dbraw/zinc/19/07/63/527190763.db2.gz FDWSLUZMOIOZTG-UONOGXRCSA-N 1 2 306.410 1.282 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)N(CC(=O)OCC)c1ccccc1 ZINC000491407672 527211696 /nfs/dbraw/zinc/21/16/96/527211696.db2.gz RZTTUNDWHQCUHB-CQSZACIVSA-N 1 2 302.374 1.536 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)N(CC(=O)OCC)c1ccccc1 ZINC000491407672 527211703 /nfs/dbraw/zinc/21/17/03/527211703.db2.gz RZTTUNDWHQCUHB-CQSZACIVSA-N 1 2 302.374 1.536 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)NCC(=O)Nc1ccccc1CC ZINC000491417397 527213206 /nfs/dbraw/zinc/21/32/06/527213206.db2.gz NFBRLKYNWYQMJB-CYBMUJFWSA-N 1 2 301.390 1.257 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)NCC(=O)Nc1ccccc1CC ZINC000491417397 527213207 /nfs/dbraw/zinc/21/32/07/527213207.db2.gz NFBRLKYNWYQMJB-CYBMUJFWSA-N 1 2 301.390 1.257 20 30 DDEDLO C=CCCCNC(=O)NC[C@H]1C[C@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000350043430 527220681 /nfs/dbraw/zinc/22/06/81/527220681.db2.gz VZMYIJYGGABQKY-LSDHHAIUSA-N 1 2 323.416 1.598 20 30 DDEDLO C=CCCCNC(=O)NC[C@H]1C[C@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000350043430 527220686 /nfs/dbraw/zinc/22/06/86/527220686.db2.gz VZMYIJYGGABQKY-LSDHHAIUSA-N 1 2 323.416 1.598 20 30 DDEDLO C#C[C@@H](CC)NC(=O)N1CCN(C2=[NH+]CC(C)(C)S2)CC1 ZINC000491725905 527234471 /nfs/dbraw/zinc/23/44/71/527234471.db2.gz FLQSZWWSOSFDSP-LBPRGKRZSA-N 1 2 308.451 1.607 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000490738515 527252469 /nfs/dbraw/zinc/25/24/69/527252469.db2.gz ASEMXBWWYJLTJE-KBPBESRZSA-N 1 2 303.410 1.600 20 30 DDEDLO C=CCn1c(C)nn(C[N@@H+]2CC[C@@H]3NC(=O)OC[C@H]3C2)c1=S ZINC000492025331 527297696 /nfs/dbraw/zinc/29/76/96/527297696.db2.gz HJZCYHFENFTOEH-NEPJUHHUSA-N 1 2 323.422 1.296 20 30 DDEDLO C=CCn1c(C)nn(C[N@H+]2CC[C@@H]3NC(=O)OC[C@H]3C2)c1=S ZINC000492025331 527297704 /nfs/dbraw/zinc/29/77/04/527297704.db2.gz HJZCYHFENFTOEH-NEPJUHHUSA-N 1 2 323.422 1.296 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000491654211 527301699 /nfs/dbraw/zinc/30/16/99/527301699.db2.gz FLBPVSSQWFNBPA-OAHLLOKOSA-N 1 2 314.389 1.225 20 30 DDEDLO CCC(=O)N1CCCC[C@@H]1C(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000329895696 528297895 /nfs/dbraw/zinc/29/78/95/528297895.db2.gz CBBJHOZROWPSBI-CQSZACIVSA-N 1 2 323.437 1.599 20 30 DDEDLO CC1(C#N)CN(C(=O)c2ccc([N+](=O)[O-])c(-n3cc[nH+]c3)c2)C1 ZINC000451375453 528845639 /nfs/dbraw/zinc/84/56/39/528845639.db2.gz MWWWXOVMRKQFGF-UHFFFAOYSA-N 1 2 311.301 1.766 20 30 DDEDLO CC(C)[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCCCS2(=O)=O)C1 ZINC000330062569 529018913 /nfs/dbraw/zinc/01/89/13/529018913.db2.gz PIBKHXXHBQHYNE-QWHCGFSZSA-N 1 2 302.440 1.783 20 30 DDEDLO CC(C)[N@H+]1CCC[C@H](NC(=O)[C@H]2CCCCS2(=O)=O)C1 ZINC000330062569 529018916 /nfs/dbraw/zinc/01/89/16/529018916.db2.gz PIBKHXXHBQHYNE-QWHCGFSZSA-N 1 2 302.440 1.783 20 30 DDEDLO CCN1CCN(C(=O)NCC2CCOCC2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000329963877 529108092 /nfs/dbraw/zinc/10/80/92/529108092.db2.gz QFDFRMGRDJCTON-CQSZACIVSA-N 1 2 321.425 1.429 20 30 DDEDLO CCN1CCN(CC(=O)NC(=O)NC2CC2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000329923871 529139995 /nfs/dbraw/zinc/13/99/95/529139995.db2.gz HFWZEKOWVIZKJM-GFCCVEGCSA-N 1 2 320.397 1.121 20 30 DDEDLO CC[C@H]1c2ccsc2CCN1C(=O)CO[NH+]=C(N)CCO ZINC000121352945 696708932 /nfs/dbraw/zinc/70/89/32/696708932.db2.gz GXRBAOBAWBAYNO-NSHDSACASA-N 1 2 311.407 1.255 20 30 DDEDLO Cc1cc(N2CCCC2)ccc1NC(=O)CO[NH+]=C(N)CCO ZINC000121416631 696709611 /nfs/dbraw/zinc/70/96/11/696709611.db2.gz MADQBZNNNBHWCQ-UHFFFAOYSA-N 1 2 320.393 1.205 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3sccc3C)C2)C1 ZINC000972227921 695182514 /nfs/dbraw/zinc/18/25/14/695182514.db2.gz KDFCNHHUAZUOFI-KRWDZBQOSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3sccc3C)C2)C1 ZINC000972227921 695182516 /nfs/dbraw/zinc/18/25/16/695182516.db2.gz KDFCNHHUAZUOFI-KRWDZBQOSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cscn3)C2)C1 ZINC000972294112 695208461 /nfs/dbraw/zinc/20/84/61/695208461.db2.gz HWWYIFQYYRHIGI-OAHLLOKOSA-N 1 2 305.403 1.083 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cscn3)C2)C1 ZINC000972294112 695208462 /nfs/dbraw/zinc/20/84/62/695208462.db2.gz HWWYIFQYYRHIGI-OAHLLOKOSA-N 1 2 305.403 1.083 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)n3cccc3)C2)C1 ZINC000972345450 695222281 /nfs/dbraw/zinc/22/22/81/695222281.db2.gz CUAZAQWVGARWIO-RDJZCZTQSA-N 1 2 303.406 1.538 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)n3cccc3)C2)C1 ZINC000972345450 695222284 /nfs/dbraw/zinc/22/22/84/695222284.db2.gz CUAZAQWVGARWIO-RDJZCZTQSA-N 1 2 303.406 1.538 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)C2)C1 ZINC000972461405 695256360 /nfs/dbraw/zinc/25/63/60/695256360.db2.gz RPDTVXLDWFEJTH-RKVPGOIHSA-N 1 2 304.434 1.605 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)C2)C1 ZINC000972461405 695256362 /nfs/dbraw/zinc/25/63/62/695256362.db2.gz RPDTVXLDWFEJTH-RKVPGOIHSA-N 1 2 304.434 1.605 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C4CC4)on3)C2)C1 ZINC000972485711 695262116 /nfs/dbraw/zinc/26/21/16/695262116.db2.gz BVINQQYSIRMWAV-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C4CC4)on3)C2)C1 ZINC000972485711 695262118 /nfs/dbraw/zinc/26/21/18/695262118.db2.gz BVINQQYSIRMWAV-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@]3(C)C=CCC3)C2)C1 ZINC000972499003 695266037 /nfs/dbraw/zinc/26/60/37/695266037.db2.gz UWNCTSMGHDOGRR-QZTJIDSGSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@]3(C)C=CCC3)C2)C1 ZINC000972499003 695266038 /nfs/dbraw/zinc/26/60/38/695266038.db2.gz UWNCTSMGHDOGRR-QZTJIDSGSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(CC)CCC3)C2)C1 ZINC000972510054 695268673 /nfs/dbraw/zinc/26/86/73/695268673.db2.gz JDLYSNLUZNJZOC-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(CC)CCC3)C2)C1 ZINC000972510054 695268675 /nfs/dbraw/zinc/26/86/75/695268675.db2.gz JDLYSNLUZNJZOC-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3Cc4ccccc43)C2)C1 ZINC000972527310 695273248 /nfs/dbraw/zinc/27/32/48/695273248.db2.gz TXBFTQFMASFBQS-HKUYNNGSSA-N 1 2 312.413 1.816 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3Cc4ccccc43)C2)C1 ZINC000972527310 695273250 /nfs/dbraw/zinc/27/32/50/695273250.db2.gz TXBFTQFMASFBQS-HKUYNNGSSA-N 1 2 312.413 1.816 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3sccc3OC)C2)C1 ZINC000972643955 695308291 /nfs/dbraw/zinc/30/82/91/695308291.db2.gz UXVRQZPFYSNRTJ-MRXNPFEDSA-N 1 2 322.430 1.860 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3sccc3OC)C2)C1 ZINC000972643955 695308293 /nfs/dbraw/zinc/30/82/93/695308293.db2.gz UXVRQZPFYSNRTJ-MRXNPFEDSA-N 1 2 322.430 1.860 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)n(C)c3C)C2)C1 ZINC000972669869 695313944 /nfs/dbraw/zinc/31/39/44/695313944.db2.gz UGVUCLDGNHPKJD-SFHVURJKSA-N 1 2 317.433 1.745 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)n(C)c3C)C2)C1 ZINC000972669869 695313948 /nfs/dbraw/zinc/31/39/48/695313948.db2.gz UGVUCLDGNHPKJD-SFHVURJKSA-N 1 2 317.433 1.745 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)c1cccc(C#N)c1 ZINC000745794238 699994412 /nfs/dbraw/zinc/99/44/12/699994412.db2.gz YAAXTALXLGPKAW-UONOGXRCSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@H+]1CCO[C@@H](C)C1)c1cccc(C#N)c1 ZINC000745794238 699994414 /nfs/dbraw/zinc/99/44/14/699994414.db2.gz YAAXTALXLGPKAW-UONOGXRCSA-N 1 2 316.405 1.639 20 30 DDEDLO CC1(C)C[N@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1cn[nH]n1 ZINC000974794383 695736209 /nfs/dbraw/zinc/73/62/09/695736209.db2.gz PMYKEECYKKYYFU-HNNXBMFYSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1cn[nH]n1 ZINC000974794383 695736211 /nfs/dbraw/zinc/73/62/11/695736211.db2.gz PMYKEECYKKYYFU-HNNXBMFYSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1cnn[nH]1 ZINC000974794383 695736212 /nfs/dbraw/zinc/73/62/12/695736212.db2.gz PMYKEECYKKYYFU-HNNXBMFYSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1cnn[nH]1 ZINC000974794383 695736214 /nfs/dbraw/zinc/73/62/14/695736214.db2.gz PMYKEECYKKYYFU-HNNXBMFYSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C(C)(C)C1 ZINC000974834302 695745835 /nfs/dbraw/zinc/74/58/35/695745835.db2.gz JOLRSPZZLBNLQI-CYBMUJFWSA-N 1 2 323.824 1.909 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C(C)(C)C1 ZINC000974834302 695745836 /nfs/dbraw/zinc/74/58/36/695745836.db2.gz JOLRSPZZLBNLQI-CYBMUJFWSA-N 1 2 323.824 1.909 20 30 DDEDLO CCO[C@H](C)c1noc(CO[NH+]=C(N)Cc2cccnc2)n1 ZINC000092915502 696598372 /nfs/dbraw/zinc/59/83/72/696598372.db2.gz IGPZFUMXYCMQAC-SNVBAGLBSA-N 1 2 305.338 1.594 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)C(=O)Nc2ccc(Cl)cc2)CC1 ZINC000981567147 696821527 /nfs/dbraw/zinc/82/15/27/696821527.db2.gz XUTRZGVTCXFRFR-UHFFFAOYSA-N 1 2 319.792 1.446 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)C(=O)Nc2ccc(Cl)cc2)CC1 ZINC000981567147 696821530 /nfs/dbraw/zinc/82/15/30/696821530.db2.gz XUTRZGVTCXFRFR-UHFFFAOYSA-N 1 2 319.792 1.446 20 30 DDEDLO C#CCCOc1ccc(C[NH+]2CCN(C(=O)N(C)C)CC2)cc1 ZINC000133606865 696828076 /nfs/dbraw/zinc/82/80/76/696828076.db2.gz LKAREIMYQZYXFT-UHFFFAOYSA-N 1 2 315.417 1.888 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CCCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC000981758830 696904896 /nfs/dbraw/zinc/90/48/96/696904896.db2.gz HQYWQCDLOYYAHU-UHFFFAOYSA-N 1 2 312.417 1.258 20 30 DDEDLO Cn1ccnc1C[N@H+]1CCCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC000981758830 696904898 /nfs/dbraw/zinc/90/48/98/696904898.db2.gz HQYWQCDLOYYAHU-UHFFFAOYSA-N 1 2 312.417 1.258 20 30 DDEDLO Cc1nc(C[N@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)sc1C ZINC000980848444 696916322 /nfs/dbraw/zinc/91/63/22/696916322.db2.gz XGIBNQNPQDEYQP-LLVKDONJSA-N 1 2 306.435 1.954 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)sc1C ZINC000980848444 696916324 /nfs/dbraw/zinc/91/63/24/696916324.db2.gz XGIBNQNPQDEYQP-LLVKDONJSA-N 1 2 306.435 1.954 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)c3cn[nH]n3)CC2)s1 ZINC000981531892 697120338 /nfs/dbraw/zinc/12/03/38/697120338.db2.gz OOILSORWVIITRY-UHFFFAOYSA-N 1 2 316.390 1.086 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)c3cn[nH]n3)CC2)s1 ZINC000981531892 697120339 /nfs/dbraw/zinc/12/03/39/697120339.db2.gz OOILSORWVIITRY-UHFFFAOYSA-N 1 2 316.390 1.086 20 30 DDEDLO COC(=O)Cn1cc(C(C)=NNc2cc[nH+]c3ccccc23)nn1 ZINC000772843667 697684562 /nfs/dbraw/zinc/68/45/62/697684562.db2.gz YALOOISYCBLNPR-UHFFFAOYSA-N 1 2 324.344 1.257 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1)[C@@H]1CCCO1 ZINC000773240177 697733167 /nfs/dbraw/zinc/73/31/67/697733167.db2.gz KHOSEZBXQHQFOH-SJORKVTESA-N 1 2 324.384 1.852 20 30 DDEDLO C[C@H]1[C@@H]([NH2+]Cc2nc(C3CC3)no2)CCN1C(=O)C#CC1CC1 ZINC000986285689 697767063 /nfs/dbraw/zinc/76/70/63/697767063.db2.gz KJXSYFNESWAIAF-FZMZJTMJSA-N 1 2 314.389 1.439 20 30 DDEDLO C#C[C@@H](NC(=O)c1ccc(Cn2cc[nH+]c2)cc1)[C@H]1CCCO1 ZINC000775329489 697982816 /nfs/dbraw/zinc/98/28/16/697982816.db2.gz QBKZQBMFOPRZLR-IAGOWNOFSA-N 1 2 309.369 1.842 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)Nc1nnc(CC(C)C)s1)[C@@H]1CCCO1 ZINC000775791610 698034684 /nfs/dbraw/zinc/03/46/84/698034684.db2.gz WGBBJENISFLGTR-RYUDHWBXSA-N 1 2 322.434 1.446 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)Nc1nnc(C(C)C)s1)[C@H]1CCCO1 ZINC000775793683 698035458 /nfs/dbraw/zinc/03/54/58/698035458.db2.gz MRRDQNSYZZYPFV-WDEREUQCSA-N 1 2 308.407 1.370 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1)CN1CCC2(CCOCC2)C1=O ZINC000777519458 698197330 /nfs/dbraw/zinc/19/73/30/698197330.db2.gz UJOVHDCDWZTYTQ-UHFFFAOYSA-N 1 2 313.401 1.977 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1)CN1CCC2(CCOCC2)C1=O ZINC000777519458 698197332 /nfs/dbraw/zinc/19/73/32/698197332.db2.gz UJOVHDCDWZTYTQ-UHFFFAOYSA-N 1 2 313.401 1.977 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000800369289 700219031 /nfs/dbraw/zinc/21/90/31/700219031.db2.gz KWZOSYFKTMPGBN-RWMBFGLXSA-N 1 2 312.410 1.949 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000800369289 700219034 /nfs/dbraw/zinc/21/90/34/700219034.db2.gz KWZOSYFKTMPGBN-RWMBFGLXSA-N 1 2 312.410 1.949 20 30 DDEDLO N#CCOc1cccc(CC(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000779098053 698396060 /nfs/dbraw/zinc/39/60/60/698396060.db2.gz ZLSOLOKKDZTHAA-CQSZACIVSA-N 1 2 310.357 1.459 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2sc(COC)nc2C)CC1 ZINC000989568669 698671181 /nfs/dbraw/zinc/67/11/81/698671181.db2.gz JSGLRSCDIHBBFD-UHFFFAOYSA-N 1 2 307.419 1.379 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2sc(COC)nc2C)CC1 ZINC000989568669 698671183 /nfs/dbraw/zinc/67/11/83/698671183.db2.gz JSGLRSCDIHBBFD-UHFFFAOYSA-N 1 2 307.419 1.379 20 30 DDEDLO C[N@H+](CCc1cccc([N+](=O)[O-])c1)Cn1cccc(C#N)c1=O ZINC000800865401 700250894 /nfs/dbraw/zinc/25/08/94/700250894.db2.gz BFGUXLNATSQMQO-UHFFFAOYSA-N 1 2 312.329 1.760 20 30 DDEDLO C[N@@H+](CCc1cccc([N+](=O)[O-])c1)Cn1cccc(C#N)c1=O ZINC000800865401 700250897 /nfs/dbraw/zinc/25/08/97/700250897.db2.gz BFGUXLNATSQMQO-UHFFFAOYSA-N 1 2 312.329 1.760 20 30 DDEDLO N#Cc1ccccc1CN1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000989788444 698763678 /nfs/dbraw/zinc/76/36/78/698763678.db2.gz BNZTWGHXJSRGDF-UHFFFAOYSA-N 1 2 323.400 1.489 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1CC(=O)N(CN2CCC[C@@](C)(C#N)C2)C1 ZINC000784012940 698902832 /nfs/dbraw/zinc/90/28/32/698902832.db2.gz KNJOIEPUVMQYLQ-BBRMVZONSA-N 1 2 301.394 1.319 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1CC1=C(C(=O)OCC)[C@H](C)NC(=O)N1 ZINC000785998180 699116195 /nfs/dbraw/zinc/11/61/95/699116195.db2.gz YBZJMTNLRJBFHD-RYUDHWBXSA-N 1 2 307.394 1.545 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1CC1=C(C(=O)OCC)[C@H](C)NC(=O)N1 ZINC000785998180 699116196 /nfs/dbraw/zinc/11/61/96/699116196.db2.gz YBZJMTNLRJBFHD-RYUDHWBXSA-N 1 2 307.394 1.545 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H](O)C[NH+]2CCOCC2)CCCCC1 ZINC000788515062 699320708 /nfs/dbraw/zinc/32/07/08/699320708.db2.gz PUTBQRQJLJGRIR-INIZCTEOSA-N 1 2 322.449 1.112 20 30 DDEDLO O=c1nc(NN=Cc2ccc(-n3cc[nH+]c3)cc2)nc2[nH][nH]cc1-2 ZINC000731158003 699523563 /nfs/dbraw/zinc/52/35/63/699523563.db2.gz XILACVFOESFHIN-UHFFFAOYSA-N 1 2 320.316 1.064 20 30 DDEDLO C[C@@H]1CN(c2ccccc2C=[NH+]NC(=S)N(C)C)CCO1 ZINC000731558211 699530646 /nfs/dbraw/zinc/53/06/46/699530646.db2.gz MRGVDERVZPZODN-GFCCVEGCSA-N 1 2 306.435 1.682 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)Nc2ccc(C#N)cn2)CC1 ZINC000731989358 699543836 /nfs/dbraw/zinc/54/38/36/699543836.db2.gz YTIMDGLXQBLIBE-UHFFFAOYSA-N 1 2 324.388 1.537 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2cccnc2OC)CC1 ZINC000791900456 699661886 /nfs/dbraw/zinc/66/18/86/699661886.db2.gz BGFAORFUSWTXHT-UHFFFAOYSA-N 1 2 316.357 1.161 20 30 DDEDLO C[C@H]([NH2+]Cc1cnc2ccc(C#N)cn12)c1nnnn1C1CC1 ZINC000793591865 699766440 /nfs/dbraw/zinc/76/64/40/699766440.db2.gz SCLAZADFJUDDMA-JTQLQIEISA-N 1 2 308.349 1.378 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CCC[C@@H](CCO)C1 ZINC000741787726 699852092 /nfs/dbraw/zinc/85/20/92/699852092.db2.gz KNESSRPAZSNLEB-HOCLYGCPSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CCC[C@@H](CCO)C1 ZINC000741787726 699852095 /nfs/dbraw/zinc/85/20/95/699852095.db2.gz KNESSRPAZSNLEB-HOCLYGCPSA-N 1 2 302.374 1.867 20 30 DDEDLO C(=NNC1=[NH+][C@@H]2CCCC[C@H]2N1)c1cc(-c2cccnc2)[nH]n1 ZINC000753935384 700469163 /nfs/dbraw/zinc/46/91/63/700469163.db2.gz OCICQMDNGXJJDI-ZIAGYGMSSA-N 1 2 309.377 1.666 20 30 DDEDLO c1ccc(N2CCC(N=NC3=N[C@H]4CCCC[C@@H]4N3)CC2)[nH+]c1 ZINC000753939465 700469333 /nfs/dbraw/zinc/46/93/33/700469333.db2.gz PCIPOGNFCOXSIR-GJZGRUSLSA-N 1 2 312.421 1.898 20 30 DDEDLO C[C@@H]1CC(=NNS(=O)(=O)CCc2ccccc2)C[N@@H+]1C1CC1 ZINC000753958796 700471513 /nfs/dbraw/zinc/47/15/13/700471513.db2.gz AXWYLRFMUNVQHT-CYBMUJFWSA-N 1 2 321.446 1.761 20 30 DDEDLO C[C@@H]1CC(=NNS(=O)(=O)CCc2ccccc2)C[N@H+]1C1CC1 ZINC000753958796 700471515 /nfs/dbraw/zinc/47/15/15/700471515.db2.gz AXWYLRFMUNVQHT-CYBMUJFWSA-N 1 2 321.446 1.761 20 30 DDEDLO COC(=O)c1cccc(C[NH+]2CCC(OC(C)=O)CC2)c1C#N ZINC000756621066 700631813 /nfs/dbraw/zinc/63/18/13/700631813.db2.gz KZHQJXMPBITRKV-UHFFFAOYSA-N 1 2 316.357 1.872 20 30 DDEDLO COCCCON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000758759496 700722114 /nfs/dbraw/zinc/72/21/14/700722114.db2.gz CWVGMINPEOKNJR-UHFFFAOYSA-N 1 2 307.394 1.192 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccn(C3CCCC3)n2)CC1 ZINC000763316563 700934061 /nfs/dbraw/zinc/93/40/61/700934061.db2.gz GHDCTAQRDADVJZ-UHFFFAOYSA-N 1 2 300.406 1.779 20 30 DDEDLO COC[C@H]1CCC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766618001 701057031 /nfs/dbraw/zinc/05/70/31/701057031.db2.gz BTPNWZSLCSHMHY-ZDUSSCGKSA-N 1 2 301.390 1.525 20 30 DDEDLO COC[C@H]1CCC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766618001 701057032 /nfs/dbraw/zinc/05/70/32/701057032.db2.gz BTPNWZSLCSHMHY-ZDUSSCGKSA-N 1 2 301.390 1.525 20 30 DDEDLO C#CCOc1ccc(F)cc1NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000866077633 706612126 /nfs/dbraw/zinc/61/21/26/706612126.db2.gz DTPGFDJWBXXVTH-ONEGZZNKSA-N 1 2 318.348 1.665 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(C(=O)OCC)cn2)CC1 ZINC000815185404 701821725 /nfs/dbraw/zinc/82/17/25/701821725.db2.gz GNDUOFYUOWYVQT-UHFFFAOYSA-N 1 2 315.373 1.039 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@H](C)OC3(CCOCC3)C2)C1=O ZINC000840060131 701945125 /nfs/dbraw/zinc/94/51/25/701945125.db2.gz PCNPHDCNECLQCD-AWEZNQCLSA-N 1 2 309.410 1.137 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@H](C)OC3(CCOCC3)C2)C1=O ZINC000840060131 701945132 /nfs/dbraw/zinc/94/51/32/701945132.db2.gz PCNPHDCNECLQCD-AWEZNQCLSA-N 1 2 309.410 1.137 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@H](C)[C@@H]2C[N@H+](C)CCO2)cc1 ZINC000815841046 701947591 /nfs/dbraw/zinc/94/75/91/701947591.db2.gz OPTSDXTYRCNHFJ-PBHICJAKSA-N 1 2 300.402 1.436 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@H](C)[C@@H]2C[N@@H+](C)CCO2)cc1 ZINC000815841046 701947594 /nfs/dbraw/zinc/94/75/94/701947594.db2.gz OPTSDXTYRCNHFJ-PBHICJAKSA-N 1 2 300.402 1.436 20 30 DDEDLO CO[C@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C[C@@H]1C ZINC000840121300 701980871 /nfs/dbraw/zinc/98/08/71/701980871.db2.gz BOUVWFOBHCFRQQ-LRDDRELGSA-N 1 2 301.390 1.523 20 30 DDEDLO CO[C@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C[C@@H]1C ZINC000840121300 701980873 /nfs/dbraw/zinc/98/08/73/701980873.db2.gz BOUVWFOBHCFRQQ-LRDDRELGSA-N 1 2 301.390 1.523 20 30 DDEDLO CO[C@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC1(C)C ZINC000840127592 701984955 /nfs/dbraw/zinc/98/49/55/701984955.db2.gz ZVTJREUDKZSTGH-INIZCTEOSA-N 1 2 315.417 1.913 20 30 DDEDLO CO[C@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC1(C)C ZINC000840127592 701984957 /nfs/dbraw/zinc/98/49/57/701984957.db2.gz ZVTJREUDKZSTGH-INIZCTEOSA-N 1 2 315.417 1.913 20 30 DDEDLO C=CCCNC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000868765030 702309430 /nfs/dbraw/zinc/30/94/30/702309430.db2.gz IHXCEWVBABCBGS-UHFFFAOYSA-N 1 2 311.426 1.668 20 30 DDEDLO Cc1nc(NC2CC[NH+](CCS(C)(=O)=O)CC2)ccc1C#N ZINC000813592905 702380050 /nfs/dbraw/zinc/38/00/50/702380050.db2.gz IZPLTGVKZBASQI-UHFFFAOYSA-N 1 2 322.434 1.183 20 30 DDEDLO CC[C@@H](C(=O)NCc1ccc(C#N)cn1)[N@@H+]1CCO[C@@H](CC)C1 ZINC000813709618 702402660 /nfs/dbraw/zinc/40/26/60/702402660.db2.gz RXMHYGPKSWSHHA-HOTGVXAUSA-N 1 2 316.405 1.459 20 30 DDEDLO CC[C@@H](C(=O)NCc1ccc(C#N)cn1)[N@H+]1CCO[C@@H](CC)C1 ZINC000813709618 702402662 /nfs/dbraw/zinc/40/26/62/702402662.db2.gz RXMHYGPKSWSHHA-HOTGVXAUSA-N 1 2 316.405 1.459 20 30 DDEDLO CC[C@@H](C(=O)NCc1ccc(C#N)cn1)[N@@H+]1CCO[C@H](CC)C1 ZINC000813709617 702402879 /nfs/dbraw/zinc/40/28/79/702402879.db2.gz RXMHYGPKSWSHHA-CVEARBPZSA-N 1 2 316.405 1.459 20 30 DDEDLO CC[C@@H](C(=O)NCc1ccc(C#N)cn1)[N@H+]1CCO[C@H](CC)C1 ZINC000813709617 702402886 /nfs/dbraw/zinc/40/28/86/702402886.db2.gz RXMHYGPKSWSHHA-CVEARBPZSA-N 1 2 316.405 1.459 20 30 DDEDLO C#CCN1CCN(C(=O)OC(C)(C)C)CC[N@@H+](CC#C)CC1 ZINC000818030667 702558991 /nfs/dbraw/zinc/55/89/91/702558991.db2.gz OUYBBVHFIRLLHF-UHFFFAOYSA-N 1 2 305.422 1.108 20 30 DDEDLO C#CCN1CCN(C(=O)OC(C)(C)C)CC[N@H+](CC#C)CC1 ZINC000818030667 702558996 /nfs/dbraw/zinc/55/89/96/702558996.db2.gz OUYBBVHFIRLLHF-UHFFFAOYSA-N 1 2 305.422 1.108 20 30 DDEDLO C[C@@H]1CCN(C(=O)[C@@]2(C#N)CCCOC2)C[C@@H]1n1cc[nH+]c1 ZINC000866584169 706736000 /nfs/dbraw/zinc/73/60/00/706736000.db2.gz XGYAQVFUYKWOHD-IJEWVQPXSA-N 1 2 302.378 1.613 20 30 DDEDLO Cc1cc(C)cc([C@H](O)C[NH+]2CCN(C(=O)CC#N)CC2)c1 ZINC000846953163 703356800 /nfs/dbraw/zinc/35/68/00/703356800.db2.gz AIVUQOINKIADLJ-MRXNPFEDSA-N 1 2 301.390 1.395 20 30 DDEDLO CCOC(=O)c1cnn2c1[nH]c(C[NH2+][C@@H](CC)CC#N)cc2=O ZINC000846984986 703361640 /nfs/dbraw/zinc/36/16/40/703361640.db2.gz DAIBQXYEDDCLRY-JTQLQIEISA-N 1 2 317.349 1.393 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000846996936 703363314 /nfs/dbraw/zinc/36/33/14/703363314.db2.gz YHPPUOVYRBERPY-VIFPVBQESA-N 1 2 320.418 1.556 20 30 DDEDLO CC1(C)C[C@H](O)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000879619763 706740685 /nfs/dbraw/zinc/74/06/85/706740685.db2.gz BFRHTYXEFYLKKK-AWEZNQCLSA-N 1 2 322.430 1.425 20 30 DDEDLO CC1(C)C[C@H](O)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000879619763 706740689 /nfs/dbraw/zinc/74/06/89/706740689.db2.gz BFRHTYXEFYLKKK-AWEZNQCLSA-N 1 2 322.430 1.425 20 30 DDEDLO C=CCN(CC(=O)OCC)C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000847612110 703443423 /nfs/dbraw/zinc/44/34/23/703443423.db2.gz MYXINHKCOFMXQF-UHFFFAOYSA-N 1 2 301.346 1.834 20 30 DDEDLO CS(=O)(=O)c1ccc(C=NNCCCn2cc[nH+]c2)s1 ZINC000848416778 703547867 /nfs/dbraw/zinc/54/78/67/703547867.db2.gz XUEUEWOBEWBWMT-UHFFFAOYSA-N 1 2 312.420 1.362 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CN2C(=O)NC(C(C)C)(C(C)C)C2=O)C1 ZINC000848494968 703557737 /nfs/dbraw/zinc/55/77/37/703557737.db2.gz WXTPRZAQTXKJMA-CQSZACIVSA-N 1 2 305.422 1.892 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CN2C(=O)NC(C(C)C)(C(C)C)C2=O)C1 ZINC000848494968 703557738 /nfs/dbraw/zinc/55/77/38/703557738.db2.gz WXTPRZAQTXKJMA-CQSZACIVSA-N 1 2 305.422 1.892 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=S)NCC3CC3)CC2)cc1 ZINC000849252954 703628391 /nfs/dbraw/zinc/62/83/91/703628391.db2.gz PDHNVRRTJZRIDU-UHFFFAOYSA-N 1 2 314.458 1.960 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2cccnc2-n2ccnc2)nn1 ZINC000850025956 703697946 /nfs/dbraw/zinc/69/79/46/703697946.db2.gz BHCYADATRCZLIV-UHFFFAOYSA-N 1 2 309.377 1.725 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CCCC[C@H]2[NH+]2CCOCC2)co1 ZINC000866671410 706759872 /nfs/dbraw/zinc/75/98/72/706759872.db2.gz RRJFRVPJIRXENN-LSDHHAIUSA-N 1 2 303.362 1.524 20 30 DDEDLO C[C@@H](CC#N)C(=O)OC[C@H]1CCC[N@@H+]1CC(=O)OC(C)(C)C ZINC000850871417 703760672 /nfs/dbraw/zinc/76/06/72/703760672.db2.gz VFCKSQCUZVKMDS-QWHCGFSZSA-N 1 2 310.394 1.885 20 30 DDEDLO C[C@@H](CC#N)C(=O)OC[C@H]1CCC[N@H+]1CC(=O)OC(C)(C)C ZINC000850871417 703760673 /nfs/dbraw/zinc/76/06/73/703760673.db2.gz VFCKSQCUZVKMDS-QWHCGFSZSA-N 1 2 310.394 1.885 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C[C@@H](O)c3ccccn3)CC2)cc1 ZINC000851722498 703837121 /nfs/dbraw/zinc/83/71/21/703837121.db2.gz FUWLZEZVIHQEMD-LJQANCHMSA-N 1 2 322.412 1.804 20 30 DDEDLO N#Cc1sccc1N1CC[NH+](CCN2CCOC2=O)CC1 ZINC000852344177 704018051 /nfs/dbraw/zinc/01/80/51/704018051.db2.gz NJIKAUJORQQKDY-UHFFFAOYSA-N 1 2 306.391 1.194 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc(OCC(F)F)cc1 ZINC000819455040 704128703 /nfs/dbraw/zinc/12/87/03/704128703.db2.gz FYADVFXIDBTKLU-CYBMUJFWSA-N 1 2 313.348 1.949 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc(OCC(F)F)cc1 ZINC000819455040 704128704 /nfs/dbraw/zinc/12/87/04/704128704.db2.gz FYADVFXIDBTKLU-CYBMUJFWSA-N 1 2 313.348 1.949 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCCNS(=O)(=O)CC ZINC000853251877 704225410 /nfs/dbraw/zinc/22/54/10/704225410.db2.gz GZZPILMIHVRNGC-UHFFFAOYSA-N 1 2 311.407 1.225 20 30 DDEDLO O=C1Nc2cc(O)c(Cl)cc2C1=NNCC[NH+]1CCCCC1 ZINC000853291530 704230203 /nfs/dbraw/zinc/23/02/03/704230203.db2.gz KJAHXKKDRBZZRG-UHFFFAOYSA-N 1 2 322.796 1.777 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@H+]1C[C@H](C)OC[C@H]1C ZINC000853504129 704252741 /nfs/dbraw/zinc/25/27/41/704252741.db2.gz NLYLKOPGHGGWGR-MNOVXSKESA-N 1 2 320.231 1.303 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@@H+]1C[C@H](C)OC[C@H]1C ZINC000853504129 704252744 /nfs/dbraw/zinc/25/27/44/704252744.db2.gz NLYLKOPGHGGWGR-MNOVXSKESA-N 1 2 320.231 1.303 20 30 DDEDLO C=CC[C@@H]1CCC[N@@H+](Cn2nc(CCC(N)=O)n(C)c2=S)C1 ZINC000853537238 704262531 /nfs/dbraw/zinc/26/25/31/704262531.db2.gz RZZPSGPEBXHZOC-GFCCVEGCSA-N 1 2 323.466 1.615 20 30 DDEDLO C=CC[C@@H]1CCC[N@H+](Cn2nc(CCC(N)=O)n(C)c2=S)C1 ZINC000853537238 704262533 /nfs/dbraw/zinc/26/25/33/704262533.db2.gz RZZPSGPEBXHZOC-GFCCVEGCSA-N 1 2 323.466 1.615 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2cn(C(C)(C)C)nn2)cc1 ZINC000853654726 704293825 /nfs/dbraw/zinc/29/38/25/704293825.db2.gz WTMPCCWSMQHDPW-UHFFFAOYSA-N 1 2 303.366 1.879 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000871541787 704311678 /nfs/dbraw/zinc/31/16/78/704311678.db2.gz KTHYIYBBBNSJEO-UHFFFAOYSA-N 1 2 312.410 1.111 20 30 DDEDLO N#CC1(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)CCSCC1 ZINC000821021314 704360651 /nfs/dbraw/zinc/36/06/51/704360651.db2.gz OXCJLVVUIJMMOS-AWEZNQCLSA-N 1 2 323.462 1.347 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccccc2OCC#N)[C@H](C)CO1 ZINC000855413648 704484142 /nfs/dbraw/zinc/48/41/42/704484142.db2.gz OQINFVOEFVTKKI-ZIAGYGMSSA-N 1 2 317.389 1.428 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccccc2OCC#N)[C@H](C)CO1 ZINC000855413648 704484143 /nfs/dbraw/zinc/48/41/43/704484143.db2.gz OQINFVOEFVTKKI-ZIAGYGMSSA-N 1 2 317.389 1.428 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](CC)[N@@H+]1CCO[C@@H](CC)C1)C1CCOCC1 ZINC000856099503 704513484 /nfs/dbraw/zinc/51/34/84/704513484.db2.gz CEUUFFKZUSMNKZ-ULQDDVLXSA-N 1 2 322.449 1.420 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](CC)[N@H+]1CCO[C@@H](CC)C1)C1CCOCC1 ZINC000856099503 704513485 /nfs/dbraw/zinc/51/34/85/704513485.db2.gz CEUUFFKZUSMNKZ-ULQDDVLXSA-N 1 2 322.449 1.420 20 30 DDEDLO C#C[C@@H](NC(=O)c1cc(-n2cc[nH+]c2)ccn1)C1CCOCC1 ZINC000856106641 704514094 /nfs/dbraw/zinc/51/40/94/704514094.db2.gz WZDLQMGVHAEPFS-OAHLLOKOSA-N 1 2 310.357 1.426 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000858857282 704764967 /nfs/dbraw/zinc/76/49/67/704764967.db2.gz RBICSRCCTZHBCE-HUUCEWRRSA-N 1 2 318.421 1.668 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000858857282 704764969 /nfs/dbraw/zinc/76/49/69/704764969.db2.gz RBICSRCCTZHBCE-HUUCEWRRSA-N 1 2 318.421 1.668 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[NH2+][C@H](c3ccc(F)cc3)C2)nn1 ZINC000874887986 705216743 /nfs/dbraw/zinc/21/67/43/705216743.db2.gz LFCIIZLNIISXHF-AWEZNQCLSA-N 1 2 315.352 1.390 20 30 DDEDLO CCCCCCCNC(=O)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000875337128 705356267 /nfs/dbraw/zinc/35/62/67/705356267.db2.gz JLNKLHWFWSMLBD-ZDUSSCGKSA-N 1 2 306.410 1.401 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000875924149 705561864 /nfs/dbraw/zinc/56/18/64/705561864.db2.gz OHDKVOUTAQVSSZ-RRFJBIMHSA-N 1 2 304.394 1.514 20 30 DDEDLO C#C[C@H](CO)NC(=O)[C@@H]1CCC[N@@H+]1Cc1ccc(Cl)cc1 ZINC000861884269 705594484 /nfs/dbraw/zinc/59/44/84/705594484.db2.gz KTMIHUHOGGTLGW-CABCVRRESA-N 1 2 306.793 1.415 20 30 DDEDLO C#C[C@H](CO)NC(=O)[C@@H]1CCC[N@H+]1Cc1ccc(Cl)cc1 ZINC000861884269 705594485 /nfs/dbraw/zinc/59/44/85/705594485.db2.gz KTMIHUHOGGTLGW-CABCVRRESA-N 1 2 306.793 1.415 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)nc1 ZINC000825100106 705600183 /nfs/dbraw/zinc/60/01/83/705600183.db2.gz UOYDIGVIVVLAIQ-UHFFFAOYSA-N 1 2 320.396 1.458 20 30 DDEDLO COc1c(C)c[nH+]c(Cn2cnc(-c3nn[nH]n3)c2C#N)c1C ZINC000826167059 705780416 /nfs/dbraw/zinc/78/04/16/705780416.db2.gz NPBUPLUWGPDAKZ-UHFFFAOYSA-N 1 2 310.321 1.004 20 30 DDEDLO CC1CC(C#N)(C(=O)N2CCC(N3CC[NH+](C)CC3)CC2)C1 ZINC000827449536 706004243 /nfs/dbraw/zinc/00/42/43/706004243.db2.gz DTSLMMOLPUBZOK-UHFFFAOYSA-N 1 2 304.438 1.165 20 30 DDEDLO C=CCOC[C@@H]([NH2+]C1CCC(CNC(C)=O)CC1)C(=O)OC ZINC000877494805 706122856 /nfs/dbraw/zinc/12/28/56/706122856.db2.gz OBQIUPBSTSDGRT-YMAMQOFZSA-N 1 2 312.410 1.015 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H]1CC2(CCC2)CO1 ZINC000878159402 706309789 /nfs/dbraw/zinc/30/97/89/706309789.db2.gz KXSBUHJMRVBEAC-INIZCTEOSA-N 1 2 307.438 1.493 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])c(N2CC[NH+]([C@H]3CCOC3)CC2)cc1F ZINC000865624068 706480551 /nfs/dbraw/zinc/48/05/51/706480551.db2.gz HKXLCEGZSLGONN-LBPRGKRZSA-N 1 2 320.324 1.516 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1Cc2ccccc2[C@H](C(=O)OC)C1 ZINC000879061622 706576544 /nfs/dbraw/zinc/57/65/44/706576544.db2.gz FTVSHHVPQPYEQL-CABCVRRESA-N 1 2 303.358 1.877 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1Cc2ccccc2[C@H](C(=O)OC)C1 ZINC000879061622 706576545 /nfs/dbraw/zinc/57/65/45/706576545.db2.gz FTVSHHVPQPYEQL-CABCVRRESA-N 1 2 303.358 1.877 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1Cc2ccccc2[C@@H](C(=O)OC)C1 ZINC000879061625 706577058 /nfs/dbraw/zinc/57/70/58/706577058.db2.gz FTVSHHVPQPYEQL-LSDHHAIUSA-N 1 2 303.358 1.877 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1Cc2ccccc2[C@@H](C(=O)OC)C1 ZINC000879061625 706577061 /nfs/dbraw/zinc/57/70/61/706577061.db2.gz FTVSHHVPQPYEQL-LSDHHAIUSA-N 1 2 303.358 1.877 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2[C@H]1C ZINC000880090727 706876243 /nfs/dbraw/zinc/87/62/43/706876243.db2.gz NLMDZVURVGJNJL-GFCCVEGCSA-N 1 2 304.390 1.925 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2[C@H]1C ZINC000880090727 706876245 /nfs/dbraw/zinc/87/62/45/706876245.db2.gz NLMDZVURVGJNJL-GFCCVEGCSA-N 1 2 304.390 1.925 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc(C)c1C#N ZINC000909025129 712920151 /nfs/dbraw/zinc/92/01/51/712920151.db2.gz NWWGZMKHOHQQBH-IYBDPMFKSA-N 1 2 313.401 1.768 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc(C)c1C#N ZINC000909025129 712920152 /nfs/dbraw/zinc/92/01/52/712920152.db2.gz NWWGZMKHOHQQBH-IYBDPMFKSA-N 1 2 313.401 1.768 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1noc2c1CC(C)(C)CC2 ZINC000834728417 707107577 /nfs/dbraw/zinc/10/75/77/707107577.db2.gz OOUPYSKNOBPKMS-HNNXBMFYSA-N 1 2 315.417 1.903 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1noc2c1CC(C)(C)CC2 ZINC000834728417 707107578 /nfs/dbraw/zinc/10/75/78/707107578.db2.gz OOUPYSKNOBPKMS-HNNXBMFYSA-N 1 2 315.417 1.903 20 30 DDEDLO C[C@@H]([NH2+]CC(C)(C)CS(C)(=O)=O)c1cccc(C#N)c1O ZINC000926950799 712922264 /nfs/dbraw/zinc/92/22/64/712922264.db2.gz SUGVNWAHTBDYOG-LLVKDONJSA-N 1 2 310.419 1.985 20 30 DDEDLO CCOC(=O)C=Cc1ccc(C=NNc2[nH+]ccn2C)n1C ZINC000872370243 707392465 /nfs/dbraw/zinc/39/24/65/707392465.db2.gz WBELZVOHYPFUIP-KKKMGPSGSA-N 1 2 301.350 1.781 20 30 DDEDLO Cn1c2ccncc2n(C[N@H+](C)CCc2cccc(C#N)c2)c1=O ZINC000872705881 707565641 /nfs/dbraw/zinc/56/56/41/707565641.db2.gz GDOSNTGQLBIFAT-UHFFFAOYSA-N 1 2 321.384 1.739 20 30 DDEDLO Cn1c2ccncc2n(C[N@@H+](C)CCc2cccc(C#N)c2)c1=O ZINC000872705881 707565644 /nfs/dbraw/zinc/56/56/44/707565644.db2.gz GDOSNTGQLBIFAT-UHFFFAOYSA-N 1 2 321.384 1.739 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000883096673 707925109 /nfs/dbraw/zinc/92/51/09/707925109.db2.gz NXDLVVXNKLZYJE-OAHLLOKOSA-N 1 2 318.421 1.808 20 30 DDEDLO C#Cc1cccc(CNC(=O)N(C)[C@H](C)C[NH+]2CCOCC2)c1 ZINC000838720141 707969126 /nfs/dbraw/zinc/96/91/26/707969126.db2.gz AGSHSHGSXUOCBZ-OAHLLOKOSA-N 1 2 315.417 1.530 20 30 DDEDLO C#CCC1(O)CCN(C(=O)NCc2ccn3cc[nH+]c3c2)CC1 ZINC000883525408 708021669 /nfs/dbraw/zinc/02/16/69/708021669.db2.gz CWMNOHDHTHDCNY-UHFFFAOYSA-N 1 2 312.373 1.394 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2cc(F)c(F)cc21 ZINC000884077403 708129278 /nfs/dbraw/zinc/12/92/78/708129278.db2.gz KMVQDIANMKSOKW-LBPRGKRZSA-N 1 2 310.300 1.301 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCc2c1cccc2C ZINC000884127983 708151830 /nfs/dbraw/zinc/15/18/30/708151830.db2.gz RENCKACXRKZJJG-GJZGRUSLSA-N 1 2 302.374 1.545 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC(C)(C)[C@H]1CCCCO1 ZINC000884172921 708171793 /nfs/dbraw/zinc/17/17/93/708171793.db2.gz HFQPCKOJYKDIBT-QWHCGFSZSA-N 1 2 312.410 1.145 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@]2(CC=CCC2)C1 ZINC000884377425 708270103 /nfs/dbraw/zinc/27/01/03/708270103.db2.gz JXPDJSMBWKOHNX-WMLDXEAASA-N 1 2 306.406 1.782 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1Cc2ccccc2[C@@H]1C ZINC000884402969 708281713 /nfs/dbraw/zinc/28/17/13/708281713.db2.gz NVLOJEXBHSLLCY-TUKIKUTGSA-N 1 2 302.374 1.278 20 30 DDEDLO N#Cc1csc(CNC(=O)N2CCC(c3[nH]cc[nH+]3)CC2)n1 ZINC000897296283 708282493 /nfs/dbraw/zinc/28/24/93/708282493.db2.gz HZQFYABNIZTSMV-UHFFFAOYSA-N 1 2 316.390 1.827 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@]1(c2ccccc2)CC1(C)C ZINC000884421051 708290972 /nfs/dbraw/zinc/29/09/72/708290972.db2.gz KUUHDYVCYVXQGX-KSSFIOAISA-N 1 2 316.401 1.875 20 30 DDEDLO N#Cc1c2ccccc2[nH]c1C(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000897905311 708488537 /nfs/dbraw/zinc/48/85/37/708488537.db2.gz KWLDRGNMRNMSAC-BETUJISGSA-N 1 2 324.384 1.633 20 30 DDEDLO N#Cc1c2ccccc2[nH]c1C(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000897905311 708488538 /nfs/dbraw/zinc/48/85/38/708488538.db2.gz KWLDRGNMRNMSAC-BETUJISGSA-N 1 2 324.384 1.633 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(OC)c2)C1 ZINC000885508101 708561885 /nfs/dbraw/zinc/56/18/85/708561885.db2.gz CAMKXSRBHIZULU-CYBMUJFWSA-N 1 2 308.403 1.071 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(OC)c2)C1 ZINC000885508101 708561887 /nfs/dbraw/zinc/56/18/87/708561887.db2.gz CAMKXSRBHIZULU-CYBMUJFWSA-N 1 2 308.403 1.071 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2ccccc2F)C1 ZINC000885512891 708563301 /nfs/dbraw/zinc/56/33/01/708563301.db2.gz ZYZZZJUBBBQWPE-AWEZNQCLSA-N 1 2 310.394 1.343 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2ccccc2F)C1 ZINC000885512891 708563305 /nfs/dbraw/zinc/56/33/05/708563305.db2.gz ZYZZZJUBBBQWPE-AWEZNQCLSA-N 1 2 310.394 1.343 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@H]1CCC2(CCC2)CO1 ZINC000886029793 708684727 /nfs/dbraw/zinc/68/47/27/708684727.db2.gz BEVMGOTXWMVPAU-QGZVFWFLSA-N 1 2 321.465 1.884 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)[C@H](C#N)CCc1ccccc1 ZINC000912613900 713051279 /nfs/dbraw/zinc/05/12/79/713051279.db2.gz IDQXEQZHODNZQH-GJZGRUSLSA-N 1 2 312.373 1.342 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1cc(C#N)ccc1F ZINC000888374091 709290754 /nfs/dbraw/zinc/29/07/54/709290754.db2.gz ZOOZWZCLUJMKFF-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1cc(C#N)ccc1F ZINC000888374091 709290757 /nfs/dbraw/zinc/29/07/57/709290757.db2.gz ZOOZWZCLUJMKFF-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO O=C(NCC#C[C@H]1CCCCO1)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000891121436 710100090 /nfs/dbraw/zinc/10/00/90/710100090.db2.gz KGTCWLVLIAXRAE-IAGOWNOFSA-N 1 2 320.433 1.319 20 30 DDEDLO O=C(NCC#C[C@H]1CCCCO1)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000891121436 710100092 /nfs/dbraw/zinc/10/00/92/710100092.db2.gz KGTCWLVLIAXRAE-IAGOWNOFSA-N 1 2 320.433 1.319 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(F)c(C)c2)C1 ZINC000891606779 710246734 /nfs/dbraw/zinc/24/67/34/710246734.db2.gz PDZAELVQUSDOGF-CQSZACIVSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(F)c(C)c2)C1 ZINC000891606779 710246738 /nfs/dbraw/zinc/24/67/38/710246738.db2.gz PDZAELVQUSDOGF-CQSZACIVSA-N 1 2 317.364 1.286 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)CN1C[C@]2(CCCOC2)C1=O ZINC000902218567 710668937 /nfs/dbraw/zinc/66/89/37/710668937.db2.gz PPIMUINNZRJFDW-KRWDZBQOSA-N 1 2 304.390 1.907 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)CN1C[C@]2(CCCOC2)C1=O ZINC000902218567 710668941 /nfs/dbraw/zinc/66/89/41/710668941.db2.gz PPIMUINNZRJFDW-KRWDZBQOSA-N 1 2 304.390 1.907 20 30 DDEDLO CO[C@@H]1CC[C@@H]1[N@H+](C)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000902638259 710833026 /nfs/dbraw/zinc/83/30/26/710833026.db2.gz MADAANJREGFVJD-JKSUJKDBSA-N 1 2 301.390 1.665 20 30 DDEDLO CO[C@@H]1CC[C@@H]1[N@@H+](C)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000902638259 710833029 /nfs/dbraw/zinc/83/30/29/710833029.db2.gz MADAANJREGFVJD-JKSUJKDBSA-N 1 2 301.390 1.665 20 30 DDEDLO CC(C)OCc1noc(CO[NH+]=C(N)c2ccc(F)cc2)n1 ZINC000902693771 710865406 /nfs/dbraw/zinc/86/54/06/710865406.db2.gz NADOILLOUADOBL-UHFFFAOYSA-N 1 2 308.313 1.971 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC000894419841 711112458 /nfs/dbraw/zinc/11/24/58/711112458.db2.gz VETWPJKTVPGXJA-HNNXBMFYSA-N 1 2 314.433 1.541 20 30 DDEDLO CCS(=O)(=O)NC1CC[NH+](Cc2csc(C#N)c2)CC1 ZINC000894454291 711128169 /nfs/dbraw/zinc/12/81/69/711128169.db2.gz RJTVHWUTWZQJMM-UHFFFAOYSA-N 1 2 313.448 1.523 20 30 DDEDLO CC(C)S(=O)(=O)c1ccccc1C(=O)NCC#CC[NH+](C)C ZINC000913517194 713242770 /nfs/dbraw/zinc/24/27/70/713242770.db2.gz WVPTZKIQKFLMHA-UHFFFAOYSA-N 1 2 322.430 1.164 20 30 DDEDLO CC1(C)Cc2cc(C[NH+]3CCN(C(=O)CC#N)CC3)ccc2O1 ZINC000895066834 711394891 /nfs/dbraw/zinc/39/48/91/711394891.db2.gz YCDRUHCIJDKBFH-UHFFFAOYSA-N 1 2 313.401 1.958 20 30 DDEDLO C#CC[NH+]1CCC(NC(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC000928654012 713253222 /nfs/dbraw/zinc/25/32/22/713253222.db2.gz JYVBSXGMIQMFQN-UHFFFAOYSA-N 1 2 309.373 1.299 20 30 DDEDLO C=CC[C@H]([NH2+]CCCN1C(=O)c2ccccc2C1=O)C(=O)OC ZINC000905766290 712142136 /nfs/dbraw/zinc/14/21/36/712142136.db2.gz DGJHLWUIJPYBKU-AWEZNQCLSA-N 1 2 316.357 1.380 20 30 DDEDLO N#C[C@H]1CCC[C@H](OC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000905929845 712190110 /nfs/dbraw/zinc/19/01/10/712190110.db2.gz SFZPDJZXHZFJAO-JONQDZQNSA-N 1 2 322.405 1.492 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)cc1 ZINC000906851902 712422197 /nfs/dbraw/zinc/42/21/97/712422197.db2.gz LMIRBTXDKUWVKD-UHFFFAOYSA-N 1 2 304.415 1.384 20 30 DDEDLO N#C[C@@]1(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CC2CCC1CC2 ZINC000906975005 712454040 /nfs/dbraw/zinc/45/40/40/712454040.db2.gz JEGXMVSJPIGTNZ-IOTAOYMUSA-N 1 2 317.433 1.640 20 30 DDEDLO C#CCCCc1nnc(N2CCN(c3cccc[nH+]3)CC2)n1CC ZINC000908865176 712873184 /nfs/dbraw/zinc/87/31/84/712873184.db2.gz AVFQURWPPUZWLJ-UHFFFAOYSA-N 1 2 324.432 1.976 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)CCc2cccc(F)c2)C1 ZINC000919874536 713639709 /nfs/dbraw/zinc/63/97/09/713639709.db2.gz ACEVCQBJLVLGLQ-MRXNPFEDSA-N 1 2 324.421 1.385 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)CCc2cccc(F)c2)C1 ZINC000919874536 713639711 /nfs/dbraw/zinc/63/97/11/713639711.db2.gz ACEVCQBJLVLGLQ-MRXNPFEDSA-N 1 2 324.421 1.385 20 30 DDEDLO CC(C)(C#N)CS(=O)(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC000920626990 713683818 /nfs/dbraw/zinc/68/38/18/713683818.db2.gz VDHRKGYGWRNESL-UHFFFAOYSA-N 1 2 322.434 1.837 20 30 DDEDLO C[N@@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000921412616 713761610 /nfs/dbraw/zinc/76/16/10/713761610.db2.gz RPPGNHUFZPLSFO-ZDUSSCGKSA-N 1 2 307.419 1.470 20 30 DDEDLO C[N@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000921412616 713761612 /nfs/dbraw/zinc/76/16/12/713761612.db2.gz RPPGNHUFZPLSFO-ZDUSSCGKSA-N 1 2 307.419 1.470 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](C[C@@H](O)C3(O)CCCC3)CCO2)c1 ZINC000930135353 713764170 /nfs/dbraw/zinc/76/41/70/713764170.db2.gz NATBXNPENSSCSP-DLBZAZTESA-N 1 2 316.401 1.598 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](C[C@@H](O)C3(O)CCCC3)CCO2)c1 ZINC000930135353 713764173 /nfs/dbraw/zinc/76/41/73/713764173.db2.gz NATBXNPENSSCSP-DLBZAZTESA-N 1 2 316.401 1.598 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@@H+](C[C@@H](O)C2(O)CCC2)C1 ZINC000930924605 713961012 /nfs/dbraw/zinc/96/10/12/713961012.db2.gz XBSWHLADCYIGHA-CVEARBPZSA-N 1 2 301.390 1.215 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@H+](C[C@@H](O)C2(O)CCC2)C1 ZINC000930924605 713961013 /nfs/dbraw/zinc/96/10/13/713961013.db2.gz XBSWHLADCYIGHA-CVEARBPZSA-N 1 2 301.390 1.215 20 30 DDEDLO N#Cc1cnccc1C[NH+]1CCC(O)(Cc2ccccn2)CC1 ZINC000930987914 713974443 /nfs/dbraw/zinc/97/44/43/713974443.db2.gz JQULGHZVLUGIBU-UHFFFAOYSA-N 1 2 308.385 1.918 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC000931385754 714080867 /nfs/dbraw/zinc/08/08/67/714080867.db2.gz UEDLXKYESMSCJR-MRXNPFEDSA-N 1 2 311.389 1.869 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cccc(CC#N)c2)CCO1 ZINC000931685661 714160379 /nfs/dbraw/zinc/16/03/79/714160379.db2.gz FFEBRCFVSBVERJ-CYBMUJFWSA-N 1 2 302.378 1.595 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cccc(CC#N)c2)CCO1 ZINC000931685661 714160381 /nfs/dbraw/zinc/16/03/81/714160381.db2.gz FFEBRCFVSBVERJ-CYBMUJFWSA-N 1 2 302.378 1.595 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000922952476 714196632 /nfs/dbraw/zinc/19/66/32/714196632.db2.gz CYAOXAPLUHKXSV-AAEUAGOBSA-N 1 2 324.450 1.595 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)[C@@H](C)Sc2ccccn2)C1 ZINC000923567142 714408324 /nfs/dbraw/zinc/40/83/24/714408324.db2.gz AIQGYQWLZDKHSC-ZIAGYGMSSA-N 1 2 303.431 1.776 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)[C@@H](C)Sc2ccccn2)C1 ZINC000923567142 714408325 /nfs/dbraw/zinc/40/83/25/714408325.db2.gz AIQGYQWLZDKHSC-ZIAGYGMSSA-N 1 2 303.431 1.776 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2sc(NCC)nc2C)C1 ZINC000923597142 714423922 /nfs/dbraw/zinc/42/39/22/714423922.db2.gz MQYHYFXFGPLKOD-LBPRGKRZSA-N 1 2 306.435 1.711 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2sc(NCC)nc2C)C1 ZINC000923597142 714423924 /nfs/dbraw/zinc/42/39/24/714423924.db2.gz MQYHYFXFGPLKOD-LBPRGKRZSA-N 1 2 306.435 1.711 20 30 DDEDLO CCn1c[nH+]c2c1CCN(CC(=O)NC1(C#N)CCCCC1)C2 ZINC000933263902 714549577 /nfs/dbraw/zinc/54/95/77/714549577.db2.gz POMICSFECJXFSB-UHFFFAOYSA-N 1 2 315.421 1.604 20 30 DDEDLO C=CC[C@@H]([NH2+][C@H](C)C1(C(=O)OCC)CCOCC1)C(=O)OC ZINC000933876939 714690168 /nfs/dbraw/zinc/69/01/68/714690168.db2.gz PFHVUNNXJSRBDM-CHWSQXEVSA-N 1 2 313.394 1.442 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)Nc1cccc(C(=O)NCC)c1 ZINC000934514103 714839931 /nfs/dbraw/zinc/83/99/31/714839931.db2.gz YSJNQFIHSMWXEG-INIZCTEOSA-N 1 2 313.401 1.863 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)Nc1cccc(C(=O)NCC)c1 ZINC000934514103 714839932 /nfs/dbraw/zinc/83/99/32/714839932.db2.gz YSJNQFIHSMWXEG-INIZCTEOSA-N 1 2 313.401 1.863 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@](C)(C#N)C1 ZINC000925593687 714895118 /nfs/dbraw/zinc/89/51/18/714895118.db2.gz IAPOISIZXBWZQS-GDBMZVCRSA-N 1 2 308.426 1.432 20 30 DDEDLO C#Cc1ccc(NC(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@@H]2C)cc1 ZINC000934924829 714930683 /nfs/dbraw/zinc/93/06/83/714930683.db2.gz BDFLJZVUAIYVJD-YOEHRIQHSA-N 1 2 313.401 1.995 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([NH+]3CCN(C(=O)CC)CC3)C2)C1 ZINC000956566836 715471474 /nfs/dbraw/zinc/47/14/74/715471474.db2.gz HSYUVHPDKCYUFB-HNNXBMFYSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC000956604692 715485591 /nfs/dbraw/zinc/48/55/91/715485591.db2.gz GATZGJLCGHSHII-CRAIPNDOSA-N 1 2 321.465 1.744 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@H]2OCCc3ccccc32)CC1 ZINC000957387174 715875661 /nfs/dbraw/zinc/87/56/61/715875661.db2.gz JOSUPNAQLROXLI-GOSISDBHSA-N 1 2 312.413 1.858 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2oc(C)nc2C)C1 ZINC000957954242 716248831 /nfs/dbraw/zinc/24/88/31/716248831.db2.gz ZUTSUQQPFJLVRT-RYUDHWBXSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2oc(C)nc2C)C1 ZINC000957954242 716248836 /nfs/dbraw/zinc/24/88/36/716248836.db2.gz ZUTSUQQPFJLVRT-RYUDHWBXSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2coc(CC)n2)C1 ZINC000957997528 716268019 /nfs/dbraw/zinc/26/80/19/716268019.db2.gz ZLFCQHIJFFQAPB-CMPLNLGQSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2coc(CC)n2)C1 ZINC000957997528 716268022 /nfs/dbraw/zinc/26/80/22/716268022.db2.gz ZLFCQHIJFFQAPB-CMPLNLGQSA-N 1 2 313.785 1.012 20 30 DDEDLO N#CCN1CC[C@@H]([C@@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000961263281 716887593 /nfs/dbraw/zinc/88/75/93/716887593.db2.gz QRESJTIKMYMHGS-ZIAGYGMSSA-N 1 2 301.394 1.036 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)c1cccc2[nH+]ccn21 ZINC000940886624 717010487 /nfs/dbraw/zinc/01/04/87/717010487.db2.gz QRXIRWUZEZQAFT-CQSZACIVSA-N 1 2 311.389 1.688 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000941510377 717189544 /nfs/dbraw/zinc/18/95/44/717189544.db2.gz QIRCYZJJNQJVNH-KRWDZBQOSA-N 1 2 318.421 1.546 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CC34CCCC4)CC2)C1 ZINC000941626621 717234722 /nfs/dbraw/zinc/23/47/22/717234722.db2.gz ODCWVKZLPZPCOI-QGZVFWFLSA-N 1 2 315.461 1.418 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC000962395712 717435747 /nfs/dbraw/zinc/43/57/47/717435747.db2.gz IYMSSPDKPREVDN-DZFIZOCASA-N 1 2 321.380 1.445 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC000962395712 717435752 /nfs/dbraw/zinc/43/57/52/717435752.db2.gz IYMSSPDKPREVDN-DZFIZOCASA-N 1 2 321.380 1.445 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnnc(C)c2)C1 ZINC000965957503 717834372 /nfs/dbraw/zinc/83/43/72/717834372.db2.gz DEXILILXOBFTLZ-YGRLFVJLSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnnc(C)c2)C1 ZINC000965957503 717834379 /nfs/dbraw/zinc/83/43/79/717834379.db2.gz DEXILILXOBFTLZ-YGRLFVJLSA-N 1 2 308.813 1.978 20 30 DDEDLO C[C@H]1C[N@H+](CC#Cc2ccccc2)CC[C@H]1NC(=O)c1ncn[nH]1 ZINC000943674894 718173135 /nfs/dbraw/zinc/17/31/35/718173135.db2.gz KYLMDLQCBBOJOI-GOEBONIOSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@H]1NC(=O)c1ncn[nH]1 ZINC000943674894 718173136 /nfs/dbraw/zinc/17/31/36/718173136.db2.gz KYLMDLQCBBOJOI-GOEBONIOSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@H]1C[N@H+](CC#Cc2ccccc2)CC[C@H]1NC(=O)c1nc[nH]n1 ZINC000943674894 718173137 /nfs/dbraw/zinc/17/31/37/718173137.db2.gz KYLMDLQCBBOJOI-GOEBONIOSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@H]1NC(=O)c1nc[nH]n1 ZINC000943674894 718173138 /nfs/dbraw/zinc/17/31/38/718173138.db2.gz KYLMDLQCBBOJOI-GOEBONIOSA-N 1 2 323.400 1.297 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC000966662878 718620749 /nfs/dbraw/zinc/62/07/49/718620749.db2.gz NUGXDAKJTOXQCT-RYUDHWBXSA-N 1 2 304.394 1.128 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC000966748939 718648863 /nfs/dbraw/zinc/64/88/63/718648863.db2.gz DDQJRWMQLGXPNV-ZYHUDNBSSA-N 1 2 308.813 1.699 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC000966748939 718648867 /nfs/dbraw/zinc/64/88/67/718648867.db2.gz DDQJRWMQLGXPNV-ZYHUDNBSSA-N 1 2 308.813 1.699 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CCN1CC#N ZINC000947332623 719124552 /nfs/dbraw/zinc/12/45/52/719124552.db2.gz AGHXYOAOAPPLHW-KBPBESRZSA-N 1 2 315.421 1.255 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)C1 ZINC000948578396 719559227 /nfs/dbraw/zinc/55/92/27/719559227.db2.gz BPLGCUYQVHQRTO-UHFFFAOYSA-N 1 2 316.405 1.416 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968695505 719741666 /nfs/dbraw/zinc/74/16/66/719741666.db2.gz QLZOKWNVRQMMOU-STQMWFEESA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968695505 719741668 /nfs/dbraw/zinc/74/16/68/719741668.db2.gz QLZOKWNVRQMMOU-STQMWFEESA-N 1 2 321.446 1.753 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1 ZINC000968719241 719755402 /nfs/dbraw/zinc/75/54/02/719755402.db2.gz NPASSALKBFJTIY-UPJWGTAASA-N 1 2 322.434 1.210 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn(C)c1C ZINC000948895350 719772633 /nfs/dbraw/zinc/77/26/33/719772633.db2.gz ZAILNRCJCCFMNM-GOSISDBHSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn(C)c1C ZINC000948895350 719772638 /nfs/dbraw/zinc/77/26/38/719772638.db2.gz ZAILNRCJCCFMNM-GOSISDBHSA-N 1 2 322.412 1.691 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2COC[C@H]2c2ccccc2)CC1 ZINC000948958225 719802823 /nfs/dbraw/zinc/80/28/23/719802823.db2.gz SNRKOHYDUYQAFF-IRXDYDNUSA-N 1 2 300.402 1.747 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2COC[C@@H]2c2ccccc2)CC1 ZINC000948958224 719803192 /nfs/dbraw/zinc/80/31/92/719803192.db2.gz SNRKOHYDUYQAFF-IAGOWNOFSA-N 1 2 300.402 1.747 20 30 DDEDLO N#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000968925510 719874541 /nfs/dbraw/zinc/87/45/41/719874541.db2.gz FWWYEXKYHJWHRL-LSDHHAIUSA-N 1 2 315.421 1.330 20 30 DDEDLO C=CC[N@@H+]1CCOC2(CCN(C(=O)c3cnc(C)[nH]3)CC2)C1 ZINC000949322908 720016650 /nfs/dbraw/zinc/01/66/50/720016650.db2.gz KXSPZLAHMIXDST-UHFFFAOYSA-N 1 2 304.394 1.211 20 30 DDEDLO C=CC[N@H+]1CCOC2(CCN(C(=O)c3cnc(C)[nH]3)CC2)C1 ZINC000949322908 720016652 /nfs/dbraw/zinc/01/66/52/720016652.db2.gz KXSPZLAHMIXDST-UHFFFAOYSA-N 1 2 304.394 1.211 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cncc(C)c3)CC2)C1 ZINC000949328788 720019526 /nfs/dbraw/zinc/01/95/26/720019526.db2.gz FFOJEHMJRVWQNU-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cncc(C)c3)CC2)C1 ZINC000949328788 720019528 /nfs/dbraw/zinc/01/95/28/720019528.db2.gz FFOJEHMJRVWQNU-UHFFFAOYSA-N 1 2 313.401 1.330 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@@H]3C(F)F)CC2)C1 ZINC000949348453 720032567 /nfs/dbraw/zinc/03/25/67/720032567.db2.gz CMGFKFBKVGEVOS-STQMWFEESA-N 1 2 312.360 1.214 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@@H]3C(F)F)CC2)C1 ZINC000949348453 720032573 /nfs/dbraw/zinc/03/25/73/720032573.db2.gz CMGFKFBKVGEVOS-STQMWFEESA-N 1 2 312.360 1.214 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3(F)CCCC3)CC2)C1 ZINC000949402501 720065489 /nfs/dbraw/zinc/06/54/89/720065489.db2.gz ZYLPGGAEPWSROE-UHFFFAOYSA-N 1 2 308.397 1.595 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3(F)CCCC3)CC2)C1 ZINC000949402501 720065492 /nfs/dbraw/zinc/06/54/92/720065492.db2.gz ZYLPGGAEPWSROE-UHFFFAOYSA-N 1 2 308.397 1.595 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(C#C)cc3)CC2)C1 ZINC000949433426 720085145 /nfs/dbraw/zinc/08/51/45/720085145.db2.gz SWHLXQHUYXRUKE-UHFFFAOYSA-N 1 2 322.408 1.608 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(C#C)cc3)CC2)C1 ZINC000949433426 720085147 /nfs/dbraw/zinc/08/51/47/720085147.db2.gz SWHLXQHUYXRUKE-UHFFFAOYSA-N 1 2 322.408 1.608 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@](C)(C=C)CC)CC2)C1 ZINC000949458828 720102157 /nfs/dbraw/zinc/10/21/57/720102157.db2.gz WSQXMHQFZPJPFK-KRWDZBQOSA-N 1 2 304.434 1.915 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@](C)(C=C)CC)CC2)C1 ZINC000949458828 720102161 /nfs/dbraw/zinc/10/21/61/720102161.db2.gz WSQXMHQFZPJPFK-KRWDZBQOSA-N 1 2 304.434 1.915 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@@H]3CC[C@@H](C)O3)CC2)C1 ZINC000949463440 720105254 /nfs/dbraw/zinc/10/52/54/720105254.db2.gz MWTKTDBWNJNWJQ-CVEARBPZSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@@H]3CC[C@@H](C)O3)CC2)C1 ZINC000949463440 720105257 /nfs/dbraw/zinc/10/52/57/720105257.db2.gz MWTKTDBWNJNWJQ-CVEARBPZSA-N 1 2 320.433 1.271 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC000969577297 720198534 /nfs/dbraw/zinc/19/85/34/720198534.db2.gz YXTWLZNUUBZMJK-GFCCVEGCSA-N 1 2 304.394 1.850 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cn(C3CCC3)nn2)C1 ZINC000969683888 720250131 /nfs/dbraw/zinc/25/01/31/720250131.db2.gz GKMBZILBPUJWFH-LLVKDONJSA-N 1 2 323.828 1.806 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ncnc3[nH]ccc32)C1 ZINC000970059525 720614117 /nfs/dbraw/zinc/61/41/17/720614117.db2.gz WCVAQRMKXBXZGA-SNVBAGLBSA-N 1 2 319.796 1.712 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ncc(OC)cn2)C1 ZINC000970116531 720631469 /nfs/dbraw/zinc/63/14/69/720631469.db2.gz IZVBBUUEYHZLGU-JTQLQIEISA-N 1 2 310.785 1.288 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc(NC(C)=O)cn2)C1 ZINC000950476985 720650485 /nfs/dbraw/zinc/65/04/85/720650485.db2.gz PQSLBZGAYMKTCV-UHFFFAOYSA-N 1 2 316.405 1.762 20 30 DDEDLO C=CCOc1ccccc1C(=O)N(CC)C1C[NH+](C[C@@H](C)O)C1 ZINC000950645136 720719283 /nfs/dbraw/zinc/71/92/83/720719283.db2.gz HWMXCRKDNGBQDL-CQSZACIVSA-N 1 2 318.417 1.779 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC000950663790 720725996 /nfs/dbraw/zinc/72/59/96/720725996.db2.gz XUOJMYYHJYRJNY-AWEZNQCLSA-N 1 2 300.406 1.002 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)C2C[NH+](CCn3cncn3)C2)cc1 ZINC000951117157 720923630 /nfs/dbraw/zinc/92/36/30/720923630.db2.gz FBLSNNQFTOBOCG-UHFFFAOYSA-N 1 2 323.400 1.106 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc3n2CCCC3)C1 ZINC000951369014 721005481 /nfs/dbraw/zinc/00/54/81/721005481.db2.gz UHKXHQCSDWJLDX-UHFFFAOYSA-N 1 2 302.422 1.942 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)c(C)s1 ZINC000971220108 721186716 /nfs/dbraw/zinc/18/67/16/721186716.db2.gz COAIFVHSRQWICG-ZWNOBZJWSA-N 1 2 306.435 1.952 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)c(C)s1 ZINC000971220108 721186719 /nfs/dbraw/zinc/18/67/19/721186719.db2.gz COAIFVHSRQWICG-ZWNOBZJWSA-N 1 2 306.435 1.952 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cscn3)C2)C1 ZINC000972585820 735295247 /nfs/dbraw/zinc/29/52/47/735295247.db2.gz QFPVYMGXWOSSBG-MRXNPFEDSA-N 1 2 319.430 1.012 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cscn3)C2)C1 ZINC000972585820 735295250 /nfs/dbraw/zinc/29/52/50/735295250.db2.gz QFPVYMGXWOSSBG-MRXNPFEDSA-N 1 2 319.430 1.012 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@H]1CNC(=O)c1cc[nH]c1 ZINC001038285180 735329285 /nfs/dbraw/zinc/32/92/85/735329285.db2.gz RCMIQGRNOURDBG-INIZCTEOSA-N 1 2 324.384 1.899 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@H]1CNC(=O)c1cc[nH]c1 ZINC001038285180 735329287 /nfs/dbraw/zinc/32/92/87/735329287.db2.gz RCMIQGRNOURDBG-INIZCTEOSA-N 1 2 324.384 1.899 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(F)CCCCC3)C2)C1 ZINC000972627155 735375973 /nfs/dbraw/zinc/37/59/73/735375973.db2.gz IBHZPJNIJJOUGP-INIZCTEOSA-N 1 2 308.397 1.595 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(F)CCCCC3)C2)C1 ZINC000972627155 735375974 /nfs/dbraw/zinc/37/59/74/735375974.db2.gz IBHZPJNIJJOUGP-INIZCTEOSA-N 1 2 308.397 1.595 20 30 DDEDLO C#Cc1ccc(N2CC[NH+](CC(=O)N3CCCCC3)CC2)nc1 ZINC001120877271 782204712 /nfs/dbraw/zinc/20/47/12/782204712.db2.gz CIOHENARELPDFW-UHFFFAOYSA-N 1 2 312.417 1.197 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)s3)C2)C1 ZINC000972696633 735486519 /nfs/dbraw/zinc/48/65/19/735486519.db2.gz NUOMZDNWZTWAPI-OAHLLOKOSA-N 1 2 310.394 1.990 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)s3)C2)C1 ZINC000972696633 735486520 /nfs/dbraw/zinc/48/65/20/735486520.db2.gz NUOMZDNWZTWAPI-OAHLLOKOSA-N 1 2 310.394 1.990 20 30 DDEDLO C[C@H](CCCNc1ncccc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114983850 732336198 /nfs/dbraw/zinc/33/61/98/732336198.db2.gz STFKJVRZYFVRAJ-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCc2cn[nH]c21 ZINC001027885255 738772984 /nfs/dbraw/zinc/77/29/84/738772984.db2.gz CDMJZWIXUMBJFH-GJZGRUSLSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(C)cc2F)C1 ZINC000891607552 734421816 /nfs/dbraw/zinc/42/18/16/734421816.db2.gz UFQVVBSMAPDYHG-ZDUSSCGKSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(C)cc2F)C1 ZINC000891607552 734421818 /nfs/dbraw/zinc/42/18/18/734421818.db2.gz UFQVVBSMAPDYHG-ZDUSSCGKSA-N 1 2 317.364 1.286 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001104489324 735244560 /nfs/dbraw/zinc/24/45/60/735244560.db2.gz SMCGLDQUOWWXTK-ZDUSSCGKSA-N 1 2 317.437 1.690 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001104489324 735244564 /nfs/dbraw/zinc/24/45/64/735244564.db2.gz SMCGLDQUOWWXTK-ZDUSSCGKSA-N 1 2 317.437 1.690 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1cn2cc(C)nc2s1 ZINC001024479617 735860747 /nfs/dbraw/zinc/86/07/47/735860747.db2.gz LNUCVIHEPFVASG-CYBMUJFWSA-N 1 2 316.430 1.922 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1cn2cc(C)nc2s1 ZINC001024479617 735860751 /nfs/dbraw/zinc/86/07/51/735860751.db2.gz LNUCVIHEPFVASG-CYBMUJFWSA-N 1 2 316.430 1.922 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1C[NH+](C(C)C)CCO1 ZINC001024618009 735985224 /nfs/dbraw/zinc/98/52/24/735985224.db2.gz OTYKHSVHRFEBNX-IRXDYDNUSA-N 1 2 321.465 1.090 20 30 DDEDLO CC#CC[NH+]1CCCC[C@H]1CNC(=O)[C@@H]1C[N@@H+](C(C)C)CCO1 ZINC001024618009 735985225 /nfs/dbraw/zinc/98/52/25/735985225.db2.gz OTYKHSVHRFEBNX-IRXDYDNUSA-N 1 2 321.465 1.090 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](NC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)nc1 ZINC001058591115 736026124 /nfs/dbraw/zinc/02/61/24/736026124.db2.gz WILITMHIKZKVIO-BFHYXJOUSA-N 1 2 322.372 1.175 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](NC(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)nc1 ZINC001058591115 736026129 /nfs/dbraw/zinc/02/61/29/736026129.db2.gz WILITMHIKZKVIO-BFHYXJOUSA-N 1 2 322.372 1.175 20 30 DDEDLO Cc1nc(NC[C@@H]2CN(C(=O)C#CC(C)(C)C)CCO2)cc[nH+]1 ZINC001111943223 736114844 /nfs/dbraw/zinc/11/48/44/736114844.db2.gz FZAHSJOLSCFALU-CQSZACIVSA-N 1 2 316.405 1.474 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccccc3C)[C@H]2C1 ZINC001083282671 736722080 /nfs/dbraw/zinc/72/20/80/736722080.db2.gz NNPPWUIKZUWJTI-BPQIPLTHSA-N 1 2 312.413 1.643 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3ccccc3C)[C@H]2C1 ZINC001083282671 736722077 /nfs/dbraw/zinc/72/20/77/736722077.db2.gz NNPPWUIKZUWJTI-BPQIPLTHSA-N 1 2 312.413 1.643 20 30 DDEDLO C[C@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001025661126 736812607 /nfs/dbraw/zinc/81/26/07/736812607.db2.gz LMXYZCZIDBCIKR-PHXCXEIZSA-N 1 2 313.376 1.900 20 30 DDEDLO C[C@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001025661126 736812612 /nfs/dbraw/zinc/81/26/12/736812612.db2.gz LMXYZCZIDBCIKR-PHXCXEIZSA-N 1 2 313.376 1.900 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C[C@H]3C=CCC3)C2)nn1 ZINC001098607922 737222389 /nfs/dbraw/zinc/22/23/89/737222389.db2.gz IWZZMYPOFKUDGV-HOCLYGCPSA-N 1 2 313.405 1.131 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-n2cncn2)cc1 ZINC001038361400 737286321 /nfs/dbraw/zinc/28/63/21/737286321.db2.gz RNMPXISBRHXQRL-INIZCTEOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-n2cncn2)cc1 ZINC001038361400 737286324 /nfs/dbraw/zinc/28/63/24/737286324.db2.gz RNMPXISBRHXQRL-INIZCTEOSA-N 1 2 309.373 1.095 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)C[C@@H]2CCCS2(=O)=O)C1 ZINC001008061467 737374299 /nfs/dbraw/zinc/37/42/99/737374299.db2.gz GNOLPPCLTOOTPW-KGLIPLIRSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@@H](NC(=O)C[C@@H]2CCCS2(=O)=O)C1 ZINC001008061467 737374305 /nfs/dbraw/zinc/37/43/05/737374305.db2.gz GNOLPPCLTOOTPW-KGLIPLIRSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001029827044 741363585 /nfs/dbraw/zinc/36/35/85/741363585.db2.gz ZPURMRUVPVSGDT-WMLDXEAASA-N 1 2 319.453 1.698 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001029827044 741363587 /nfs/dbraw/zinc/36/35/87/741363587.db2.gz ZPURMRUVPVSGDT-WMLDXEAASA-N 1 2 319.453 1.698 20 30 DDEDLO C=C(C)CCC(=O)N1CC(n2cc(C[NH2+]C[C@@H](F)CC)nn2)C1 ZINC001105252587 737663380 /nfs/dbraw/zinc/66/33/80/737663380.db2.gz YINQIYRESVXOIM-ZDUSSCGKSA-N 1 2 323.416 1.855 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@]3(C)CCC[C@@H]3C)C2)nn1 ZINC001105319008 737837999 /nfs/dbraw/zinc/83/79/99/737837999.db2.gz WJIZIIFJJPYFNB-GUYCJALGSA-N 1 2 315.421 1.211 20 30 DDEDLO CCc1cc(N2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)nc(C)[nH+]1 ZINC001058734464 737896859 /nfs/dbraw/zinc/89/68/59/737896859.db2.gz SUSLLSCOVKSPBN-DFBGVHRSSA-N 1 2 313.405 1.687 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C=C(\C)C3CC3)C2)nn1 ZINC001098622994 737972970 /nfs/dbraw/zinc/97/29/70/737972970.db2.gz UZDPJVYRAVBOLW-LRFDDAOPSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098198701 739157914 /nfs/dbraw/zinc/15/79/14/739157914.db2.gz VYJPSYFKSQNTDN-WCQYABFASA-N 1 2 320.441 1.765 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1coc(COC)n1 ZINC001028231445 739202637 /nfs/dbraw/zinc/20/26/37/739202637.db2.gz NJIMYNPQMVUHNV-LLVKDONJSA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1coc(COC)n1 ZINC001028231445 739202642 /nfs/dbraw/zinc/20/26/42/739202642.db2.gz NJIMYNPQMVUHNV-LLVKDONJSA-N 1 2 313.785 1.768 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001075619845 739218884 /nfs/dbraw/zinc/21/88/84/739218884.db2.gz QSNQWCZKXNHSHS-MELADBBJSA-N 1 2 301.394 1.095 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001075619845 739218887 /nfs/dbraw/zinc/21/88/87/739218887.db2.gz QSNQWCZKXNHSHS-MELADBBJSA-N 1 2 301.394 1.095 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3ccncc3Cl)C[C@H]21 ZINC001075620035 739219444 /nfs/dbraw/zinc/21/94/44/739219444.db2.gz WTUBNVGXJHXXIN-OSAQELSMSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3ccncc3Cl)C[C@H]21 ZINC001075620035 739219446 /nfs/dbraw/zinc/21/94/46/739219446.db2.gz WTUBNVGXJHXXIN-OSAQELSMSA-N 1 2 318.808 1.927 20 30 DDEDLO N#Cc1cnc(N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)c(F)c1 ZINC001058946720 739287086 /nfs/dbraw/zinc/28/70/86/739287086.db2.gz SUEWDGPBDVCTSL-NSHDSACASA-N 1 2 314.324 1.071 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2conc2C(C)C)C1 ZINC001035391104 751461305 /nfs/dbraw/zinc/46/13/05/751461305.db2.gz DFANOLVVBQDQCP-CYBMUJFWSA-N 1 2 305.378 1.252 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2conc2C(C)C)C1 ZINC001035391104 751461306 /nfs/dbraw/zinc/46/13/06/751461306.db2.gz DFANOLVVBQDQCP-CYBMUJFWSA-N 1 2 305.378 1.252 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C(F)F)nc2)C1 ZINC001035393700 751464107 /nfs/dbraw/zinc/46/41/07/751464107.db2.gz DGRFZNJLISAEAI-LBPRGKRZSA-N 1 2 311.332 1.636 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C(F)F)nc2)C1 ZINC001035393700 751464110 /nfs/dbraw/zinc/46/41/10/751464110.db2.gz DGRFZNJLISAEAI-LBPRGKRZSA-N 1 2 311.332 1.636 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)c(OC)c(C)c2)C1 ZINC001035377937 751474249 /nfs/dbraw/zinc/47/42/49/751474249.db2.gz KKQXWZMQRHSFSL-MRXNPFEDSA-N 1 2 318.417 1.929 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)c(OC)c(C)c2)C1 ZINC001035377937 751474253 /nfs/dbraw/zinc/47/42/53/751474253.db2.gz KKQXWZMQRHSFSL-MRXNPFEDSA-N 1 2 318.417 1.929 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2sccc2Cl)C1 ZINC001035378427 751475620 /nfs/dbraw/zinc/47/56/20/751475620.db2.gz VRIVPDKMHIPQGE-NSHDSACASA-N 1 2 312.822 1.855 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2sccc2Cl)C1 ZINC001035378427 751475622 /nfs/dbraw/zinc/47/56/22/751475622.db2.gz VRIVPDKMHIPQGE-NSHDSACASA-N 1 2 312.822 1.855 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1CC[N@H+](Cc2cnon2)C1 ZINC001028593939 739755471 /nfs/dbraw/zinc/75/54/71/739755471.db2.gz XKEFQYLOGPQZNB-CYBMUJFWSA-N 1 2 315.377 1.309 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1CC[N@@H+](Cc2cnon2)C1 ZINC001028593939 739755475 /nfs/dbraw/zinc/75/54/75/739755475.db2.gz XKEFQYLOGPQZNB-CYBMUJFWSA-N 1 2 315.377 1.309 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc3ccccc32)C1 ZINC001035435301 751513350 /nfs/dbraw/zinc/51/33/50/751513350.db2.gz NZIHTJPXVHIEGG-AWEZNQCLSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccnc3ccccc32)C1 ZINC001035435301 751513354 /nfs/dbraw/zinc/51/33/54/751513354.db2.gz NZIHTJPXVHIEGG-AWEZNQCLSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3[nH]nc(C)c3c2)C1 ZINC001035440002 751517422 /nfs/dbraw/zinc/51/74/22/751517422.db2.gz KBGFYOPAYUDQRS-CQSZACIVSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3[nH]nc(C)c3c2)C1 ZINC001035440002 751517424 /nfs/dbraw/zinc/51/74/24/751517424.db2.gz KBGFYOPAYUDQRS-CQSZACIVSA-N 1 2 314.389 1.488 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(COC)o2)C1 ZINC001035440394 751517705 /nfs/dbraw/zinc/51/77/05/751517705.db2.gz UTNQZKZTOQUCHZ-AWEZNQCLSA-N 1 2 308.378 1.433 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(COC)o2)C1 ZINC001035440394 751517710 /nfs/dbraw/zinc/51/77/10/751517710.db2.gz UTNQZKZTOQUCHZ-AWEZNQCLSA-N 1 2 308.378 1.433 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccn3ccccc23)C1 ZINC001035441561 751518596 /nfs/dbraw/zinc/51/85/96/751518596.db2.gz BWWVBKBTKYZGNX-HNNXBMFYSA-N 1 2 313.401 1.946 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccn3ccccc23)C1 ZINC001035441561 751518598 /nfs/dbraw/zinc/51/85/98/751518598.db2.gz BWWVBKBTKYZGNX-HNNXBMFYSA-N 1 2 313.401 1.946 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)nc2C2CC2)C1 ZINC001077465787 740221202 /nfs/dbraw/zinc/22/12/02/740221202.db2.gz PZVWZOGKGICNHG-HZPDHXFCSA-N 1 2 313.401 1.066 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)nc2C2CC2)C1 ZINC001077465787 740221203 /nfs/dbraw/zinc/22/12/03/740221203.db2.gz PZVWZOGKGICNHG-HZPDHXFCSA-N 1 2 313.401 1.066 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCc4ccccc43)n2C)CC1 ZINC001121490770 782533664 /nfs/dbraw/zinc/53/36/64/782533664.db2.gz CIAYHRXMYKHZJN-KRWDZBQOSA-N 1 2 321.428 1.648 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2nc(Cl)cs2)C1 ZINC001035483993 751538163 /nfs/dbraw/zinc/53/81/63/751538163.db2.gz VVAYRCXOLJNXNV-JTQLQIEISA-N 1 2 313.810 1.250 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2nc(Cl)cs2)C1 ZINC001035483993 751538165 /nfs/dbraw/zinc/53/81/65/751538165.db2.gz VVAYRCXOLJNXNV-JTQLQIEISA-N 1 2 313.810 1.250 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cnc([C@H](C)OC)s3)[C@@H]2C1 ZINC001075793854 740519975 /nfs/dbraw/zinc/51/99/75/740519975.db2.gz UVQYLSPDVJWLCR-RWMBFGLXSA-N 1 2 319.430 1.630 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cnc([C@H](C)OC)s3)[C@@H]2C1 ZINC001075793854 740519978 /nfs/dbraw/zinc/51/99/78/740519978.db2.gz UVQYLSPDVJWLCR-RWMBFGLXSA-N 1 2 319.430 1.630 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc3n(n2)CCO3)[C@H]1CC ZINC001087603837 740676808 /nfs/dbraw/zinc/67/68/08/740676808.db2.gz LECBBVKQQOFNMM-WCQYABFASA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc3n(n2)CCO3)[C@H]1CC ZINC001087603837 740676810 /nfs/dbraw/zinc/67/68/10/740676810.db2.gz LECBBVKQQOFNMM-WCQYABFASA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)NC ZINC001029420244 740749554 /nfs/dbraw/zinc/74/95/54/740749554.db2.gz URZMTVQHIDZZRH-MELADBBJSA-N 1 2 307.438 1.398 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@@H](C)C(=O)NC ZINC001029420244 740749559 /nfs/dbraw/zinc/74/95/59/740749559.db2.gz URZMTVQHIDZZRH-MELADBBJSA-N 1 2 307.438 1.398 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3(CCC)CC3)C2)nn1 ZINC001098715784 740970625 /nfs/dbraw/zinc/97/06/25/740970625.db2.gz JVGRCIIOYUHZJV-HNNXBMFYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc(N(C)C)c2)C1 ZINC001035531230 751612176 /nfs/dbraw/zinc/61/21/76/751612176.db2.gz RSTKHVQWBCJBQI-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccnc(N(C)C)c2)C1 ZINC001035531230 751612181 /nfs/dbraw/zinc/61/21/81/751612181.db2.gz RSTKHVQWBCJBQI-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)nn(CCC)c2C)C1 ZINC001035570722 751624062 /nfs/dbraw/zinc/62/40/62/751624062.db2.gz QTRGGDHANDNFKS-HNNXBMFYSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)nn(CCC)c2C)C1 ZINC001035570722 751624063 /nfs/dbraw/zinc/62/40/63/751624063.db2.gz QTRGGDHANDNFKS-HNNXBMFYSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn(CCC)nc2C)C1 ZINC001035562587 751645082 /nfs/dbraw/zinc/64/50/82/751645082.db2.gz JROUOBNGRDYXBC-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn(CCC)nc2C)C1 ZINC001035562587 751645085 /nfs/dbraw/zinc/64/50/85/751645085.db2.gz JROUOBNGRDYXBC-CQSZACIVSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)c(Cl)c2)C1 ZINC001035598086 751655382 /nfs/dbraw/zinc/65/53/82/751655382.db2.gz SBKCMPUIJQNHND-ZDUSSCGKSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)c(Cl)c2)C1 ZINC001035598086 751655387 /nfs/dbraw/zinc/65/53/87/751655387.db2.gz SBKCMPUIJQNHND-ZDUSSCGKSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001075885986 741718413 /nfs/dbraw/zinc/71/84/13/741718413.db2.gz WVAOQFMGLUUCQY-KBPBESRZSA-N 1 2 306.410 1.811 20 30 DDEDLO C=CCCN1CCO[C@H](CNC(=O)c2c[nH+]ccc2N(C)C)C1 ZINC001035581511 751672192 /nfs/dbraw/zinc/67/21/92/751672192.db2.gz CPECUNKQKSUDBZ-CQSZACIVSA-N 1 2 318.421 1.154 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(-c2ccccc2)c1 ZINC001038475065 741837393 /nfs/dbraw/zinc/83/73/93/741837393.db2.gz AGXKTNYQHSGUGW-KRWDZBQOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(-c2ccccc2)c1 ZINC001038475065 741837395 /nfs/dbraw/zinc/83/73/95/741837395.db2.gz AGXKTNYQHSGUGW-KRWDZBQOSA-N 1 2 308.385 1.700 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3ccccc3n2)C1 ZINC001035583273 751676575 /nfs/dbraw/zinc/67/65/75/751676575.db2.gz HIHOQWSFAHTWJR-OAHLLOKOSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3ccccc3n2)C1 ZINC001035583273 751676580 /nfs/dbraw/zinc/67/65/80/751676580.db2.gz HIHOQWSFAHTWJR-OAHLLOKOSA-N 1 2 311.385 1.851 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212039310 741949833 /nfs/dbraw/zinc/94/98/33/741949833.db2.gz JMQJGMPGPUSTCV-ZLKJLUDKSA-N 1 2 318.402 1.095 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212039310 741949837 /nfs/dbraw/zinc/94/98/37/741949837.db2.gz JMQJGMPGPUSTCV-ZLKJLUDKSA-N 1 2 318.402 1.095 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cc(C)ccc2F)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212041661 741951089 /nfs/dbraw/zinc/95/10/89/741951089.db2.gz XGALFXFIGXBOQK-APHBMKBZSA-N 1 2 319.380 1.609 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cc(C)ccc2F)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212041661 741951091 /nfs/dbraw/zinc/95/10/91/741951091.db2.gz XGALFXFIGXBOQK-APHBMKBZSA-N 1 2 319.380 1.609 20 30 DDEDLO Cc1nc(N2CCC[C@H](CNC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001059916415 741971512 /nfs/dbraw/zinc/97/15/12/741971512.db2.gz BJRWBWUGAXTEFZ-CYBMUJFWSA-N 1 2 324.388 1.631 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn(C)nc2CC)[C@H]1C ZINC001088643590 742036843 /nfs/dbraw/zinc/03/68/43/742036843.db2.gz RRNABUOABUQMKU-RISCZKNCSA-N 1 2 310.829 1.928 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn(C)nc2CC)[C@H]1C ZINC001088643590 742036851 /nfs/dbraw/zinc/03/68/51/742036851.db2.gz RRNABUOABUQMKU-RISCZKNCSA-N 1 2 310.829 1.928 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+]Cc1nnc(C(F)(F)F)s1 ZINC001126815805 742291919 /nfs/dbraw/zinc/29/19/19/742291919.db2.gz NAERNCPMTMHFKQ-UHFFFAOYSA-N 1 2 320.340 1.422 20 30 DDEDLO CCO[C@H](C)c1noc(C[NH2+]CCNC(=O)C#CC(C)C)n1 ZINC001126823537 742306498 /nfs/dbraw/zinc/30/64/98/742306498.db2.gz VKQVQBSYGXACEW-GFCCVEGCSA-N 1 2 308.382 1.032 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3ccncc3s2)[C@@H](O)C1 ZINC001083526246 742465535 /nfs/dbraw/zinc/46/55/35/742465535.db2.gz ISLZIMSYXRSPDK-NEPJUHHUSA-N 1 2 303.387 1.257 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3ccncc3s2)[C@@H](O)C1 ZINC001083526246 742465536 /nfs/dbraw/zinc/46/55/36/742465536.db2.gz ISLZIMSYXRSPDK-NEPJUHHUSA-N 1 2 303.387 1.257 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(F)CC1 ZINC001121509818 782541263 /nfs/dbraw/zinc/54/12/63/782541263.db2.gz JPFZFCGQXKGCPD-CHWSQXEVSA-N 1 2 309.389 1.140 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(F)CC1 ZINC001121509818 782541271 /nfs/dbraw/zinc/54/12/71/782541271.db2.gz JPFZFCGQXKGCPD-CHWSQXEVSA-N 1 2 309.389 1.140 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077016757 743174109 /nfs/dbraw/zinc/17/41/09/743174109.db2.gz AWORADXRCWUNNH-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077016757 743174110 /nfs/dbraw/zinc/17/41/10/743174110.db2.gz AWORADXRCWUNNH-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC(C)C)no2)C1 ZINC001181511505 743218220 /nfs/dbraw/zinc/21/82/20/743218220.db2.gz NMERSIZJVDWOPQ-CYBMUJFWSA-N 1 2 306.410 1.925 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061107248 743256394 /nfs/dbraw/zinc/25/63/94/743256394.db2.gz IYTTVFMPWVGVJL-KGLIPLIRSA-N 1 2 324.388 1.758 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C=C(/C)CC)C2)nn1 ZINC001098668897 743337143 /nfs/dbraw/zinc/33/71/43/743337143.db2.gz BRYONPQBAICIEF-CTXBLAANSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCc1cnn2c1C[N@H+](C(C)C)CC2 ZINC001128245374 743362571 /nfs/dbraw/zinc/36/25/71/743362571.db2.gz NPKGCJHVDKLGKF-CQSZACIVSA-N 1 2 320.437 1.705 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCc1cnn2c1C[N@@H+](C(C)C)CC2 ZINC001128245374 743362576 /nfs/dbraw/zinc/36/25/76/743362576.db2.gz NPKGCJHVDKLGKF-CQSZACIVSA-N 1 2 320.437 1.705 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001061136021 743386461 /nfs/dbraw/zinc/38/64/61/743386461.db2.gz JXZYNSJXPWTAHN-UONOGXRCSA-N 1 2 324.388 1.758 20 30 DDEDLO C[C@@H](CCNC(=O)c1cccc2[nH+]ccn21)NC(=O)C#CC1CC1 ZINC001077357301 743423042 /nfs/dbraw/zinc/42/30/42/743423042.db2.gz QMNJBZSQZILOGD-ZDUSSCGKSA-N 1 2 324.384 1.372 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CCc2cc(C)ccc2O1 ZINC001038511446 743460204 /nfs/dbraw/zinc/46/02/04/743460204.db2.gz NQLSFSPKWRWGDS-FUHWJXTLSA-N 1 2 312.413 1.902 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1CCc2cc(C)ccc2O1 ZINC001038511446 743460212 /nfs/dbraw/zinc/46/02/12/743460212.db2.gz NQLSFSPKWRWGDS-FUHWJXTLSA-N 1 2 312.413 1.902 20 30 DDEDLO C=CCOCC(=O)N1CCC(OC2C[NH+](CC=C(C)C)C2)CC1 ZINC001105696677 743512912 /nfs/dbraw/zinc/51/29/12/743512912.db2.gz BOVPZVDDWSAXLE-UHFFFAOYSA-N 1 2 322.449 1.847 20 30 DDEDLO C#CCOCCC(=O)NCC[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC001127027028 743612189 /nfs/dbraw/zinc/61/21/89/743612189.db2.gz DYJNAYRIMUJAIC-UHFFFAOYSA-N 1 2 307.394 1.218 20 30 DDEDLO CCc1nnc([C@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001182431933 743617051 /nfs/dbraw/zinc/61/70/51/743617051.db2.gz KOEOKAVHKLVWKD-QWHCGFSZSA-N 1 2 304.394 1.543 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060237317 743711860 /nfs/dbraw/zinc/71/18/60/743711860.db2.gz FGZTTWRIYIEJOX-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C(C)(C)COC)CC2)C1 ZINC001105717185 743756058 /nfs/dbraw/zinc/75/60/58/743756058.db2.gz HFIZZFHMTYZYSC-UHFFFAOYSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@H](C)COC)CC2)C1 ZINC001105730759 743766229 /nfs/dbraw/zinc/76/62/29/743766229.db2.gz WKMZXHYVONWUKK-AWEZNQCLSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2noc3cc(O)ccc23)CC1 ZINC001183989554 743940057 /nfs/dbraw/zinc/94/00/57/743940057.db2.gz RSZHREUOBLVODK-UHFFFAOYSA-N 1 2 301.346 1.406 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(CC(F)(F)F)C2)CC1 ZINC001184898619 744117044 /nfs/dbraw/zinc/11/70/44/744117044.db2.gz RAMAAIXRDARDSK-ZDUSSCGKSA-N 1 2 319.371 1.591 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2ccc3c(c2)CCCO3)C1 ZINC001030484650 744195760 /nfs/dbraw/zinc/19/57/60/744195760.db2.gz UFCJHXUGJQXSIM-UHFFFAOYSA-N 1 2 300.402 1.931 20 30 DDEDLO C[C@@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC[C@@H]1CNCC#N ZINC001185493449 744236736 /nfs/dbraw/zinc/23/67/36/744236736.db2.gz WWCMXVVAPKUJRC-CHWSQXEVSA-N 1 2 303.410 1.285 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@@H](C)C3CC3)C2)nn1 ZINC001185899062 744305084 /nfs/dbraw/zinc/30/50/84/744305084.db2.gz IDFKJHLXMAFFSD-BBRMVZONSA-N 1 2 315.421 1.211 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C3(C)CC3)C2)nn1 ZINC001185941693 744310260 /nfs/dbraw/zinc/31/02/60/744310260.db2.gz BPDNSUNTQTYSHM-HNNXBMFYSA-N 1 2 315.421 1.355 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)CCC)C2 ZINC001110374699 744337686 /nfs/dbraw/zinc/33/76/86/744337686.db2.gz LDJHWULONBHQTK-JJXSEGSLSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)CCC)C2 ZINC001110374699 744337687 /nfs/dbraw/zinc/33/76/87/744337687.db2.gz LDJHWULONBHQTK-JJXSEGSLSA-N 1 2 319.449 1.284 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001088929779 744374998 /nfs/dbraw/zinc/37/49/98/744374998.db2.gz QPGREZZSADOQES-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001088929779 744375001 /nfs/dbraw/zinc/37/50/01/744375001.db2.gz QPGREZZSADOQES-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001088929779 744375005 /nfs/dbraw/zinc/37/50/05/744375005.db2.gz QPGREZZSADOQES-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001088929779 744375008 /nfs/dbraw/zinc/37/50/08/744375008.db2.gz QPGREZZSADOQES-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001187881630 744632469 /nfs/dbraw/zinc/63/24/69/744632469.db2.gz AVIRPEZGJOIDCX-IVMMDQJWSA-N 1 2 317.389 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001187881630 744632471 /nfs/dbraw/zinc/63/24/71/744632471.db2.gz AVIRPEZGJOIDCX-IVMMDQJWSA-N 1 2 317.389 1.054 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001077499990 744648984 /nfs/dbraw/zinc/64/89/84/744648984.db2.gz VIJLEKICDVMVAJ-KBPBESRZSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001188080907 744657340 /nfs/dbraw/zinc/65/73/40/744657340.db2.gz PFSAOMYMASYPOV-GOEBONIOSA-N 1 2 317.437 1.687 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001188080907 744657343 /nfs/dbraw/zinc/65/73/43/744657343.db2.gz PFSAOMYMASYPOV-GOEBONIOSA-N 1 2 317.437 1.687 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001077501343 744667226 /nfs/dbraw/zinc/66/72/26/744667226.db2.gz VDMPYKMRMRLOGQ-ZDUSSCGKSA-N 1 2 306.410 1.330 20 30 DDEDLO CC1(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)CCCC1 ZINC001046049783 744678830 /nfs/dbraw/zinc/67/88/30/744678830.db2.gz XVLQYLJXVAYIQV-OAHLLOKOSA-N 1 2 304.438 1.309 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CCCN(C(C)(C)C)C2=O)C1 ZINC001030825015 744681135 /nfs/dbraw/zinc/68/11/35/744681135.db2.gz ZLGOKGBQDZDAND-CQSZACIVSA-N 1 2 307.438 1.400 20 30 DDEDLO COCCC(=O)N1CC[C@H]([N@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC001188572207 744724304 /nfs/dbraw/zinc/72/43/04/744724304.db2.gz FSQWZHRKFAVLTQ-INIZCTEOSA-N 1 2 319.380 1.767 20 30 DDEDLO COCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC001188572207 744724306 /nfs/dbraw/zinc/72/43/06/744724306.db2.gz FSQWZHRKFAVLTQ-INIZCTEOSA-N 1 2 319.380 1.767 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)COCC)C1 ZINC001188727112 744757908 /nfs/dbraw/zinc/75/79/08/744757908.db2.gz PKBQVDRFODXOHR-NSHDSACASA-N 1 2 305.216 1.464 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)COCC)C1 ZINC001188727112 744757909 /nfs/dbraw/zinc/75/79/09/744757909.db2.gz PKBQVDRFODXOHR-NSHDSACASA-N 1 2 305.216 1.464 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CCC)C2 ZINC001110405602 744848548 /nfs/dbraw/zinc/84/85/48/744848548.db2.gz GBNLIWKDXOZAFO-YJNKXOJESA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CCC)C2 ZINC001110405602 744848550 /nfs/dbraw/zinc/84/85/50/744848550.db2.gz GBNLIWKDXOZAFO-YJNKXOJESA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2nc(CC)no2)C1 ZINC001189371401 744878366 /nfs/dbraw/zinc/87/83/66/744878366.db2.gz QOXBDEUGORFASD-OLZOCXBDSA-N 1 2 322.409 1.428 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2nc(CC)no2)C1 ZINC001189371401 744878368 /nfs/dbraw/zinc/87/83/68/744878368.db2.gz QOXBDEUGORFASD-OLZOCXBDSA-N 1 2 322.409 1.428 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@@H]12 ZINC000992469821 744898928 /nfs/dbraw/zinc/89/89/28/744898928.db2.gz ZETIFAAYERMQEM-WBVHZDCISA-N 1 2 309.373 1.318 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@@H]12 ZINC000992469821 744898931 /nfs/dbraw/zinc/89/89/31/744898931.db2.gz ZETIFAAYERMQEM-WBVHZDCISA-N 1 2 309.373 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(NC(=O)c3nonc3C)CCC[C@H]12 ZINC000992542226 744954462 /nfs/dbraw/zinc/95/44/62/744954462.db2.gz ZVLBXNMIYVNOBX-SMDDNHRTSA-N 1 2 310.785 1.857 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(NC(=O)c3nonc3C)CCC[C@H]12 ZINC000992542226 744954467 /nfs/dbraw/zinc/95/44/67/744954467.db2.gz ZVLBXNMIYVNOBX-SMDDNHRTSA-N 1 2 310.785 1.857 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2csc(C)n2)C1 ZINC001189865834 745005230 /nfs/dbraw/zinc/00/52/30/745005230.db2.gz HPFCUTFXQCAXNF-GOEBONIOSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2csc(C)n2)C1 ZINC001189865834 745005237 /nfs/dbraw/zinc/00/52/37/745005237.db2.gz HPFCUTFXQCAXNF-GOEBONIOSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2nccs2)C1 ZINC001189918992 745035071 /nfs/dbraw/zinc/03/50/71/745035071.db2.gz XYAQXOHQWWTHAX-IUODEOHRSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2nccs2)C1 ZINC001189918992 745035078 /nfs/dbraw/zinc/03/50/78/745035078.db2.gz XYAQXOHQWWTHAX-IUODEOHRSA-N 1 2 309.435 1.503 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)Cc2nocc2C)C1 ZINC001190112764 745119224 /nfs/dbraw/zinc/11/92/24/745119224.db2.gz FUHNSKCKRKPDJC-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001190112764 745119227 /nfs/dbraw/zinc/11/92/27/745119227.db2.gz FUHNSKCKRKPDJC-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001190425220 745216669 /nfs/dbraw/zinc/21/66/69/745216669.db2.gz RRXLAXZZSJMGLH-GOEBONIOSA-N 1 2 318.421 1.046 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001190425220 745216673 /nfs/dbraw/zinc/21/66/73/745216673.db2.gz RRXLAXZZSJMGLH-GOEBONIOSA-N 1 2 318.421 1.046 20 30 DDEDLO C=CCn1cc(C(=O)NC2C[NH+](CC3=CCCCC3)C2)nn1 ZINC001031070361 745300177 /nfs/dbraw/zinc/30/01/77/745300177.db2.gz ZYOMMGKKZBGWIG-UHFFFAOYSA-N 1 2 301.394 1.379 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc2c(c1)c(C)nn2C ZINC001038573239 745367333 /nfs/dbraw/zinc/36/73/33/745367333.db2.gz XGKFRODHYBDATE-AWEZNQCLSA-N 1 2 311.389 1.104 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc2c(c1)c(C)nn2C ZINC001038573239 745367336 /nfs/dbraw/zinc/36/73/36/745367336.db2.gz XGKFRODHYBDATE-AWEZNQCLSA-N 1 2 311.389 1.104 20 30 DDEDLO C#CCCCCC(=O)N(C)CCOCCNc1cc[nH+]c(C)n1 ZINC001127306206 745466845 /nfs/dbraw/zinc/46/68/45/745466845.db2.gz GHSOQBFPQJMDDC-UHFFFAOYSA-N 1 2 318.421 1.866 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)CCNc1[nH+]cnc2c1cnn2C ZINC001106298812 745568995 /nfs/dbraw/zinc/56/89/95/745568995.db2.gz BARQAEFQSKWBLG-GFCCVEGCSA-N 1 2 314.393 1.329 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cc(F)ccc2OC)C[C@H]1O ZINC001191646311 745571069 /nfs/dbraw/zinc/57/10/69/745571069.db2.gz YSZPFEBIHQHRIO-HUUCEWRRSA-N 1 2 322.380 1.462 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(F)ccc2OC)C[C@H]1O ZINC001191646311 745571073 /nfs/dbraw/zinc/57/10/73/745571073.db2.gz YSZPFEBIHQHRIO-HUUCEWRRSA-N 1 2 322.380 1.462 20 30 DDEDLO C[C@H](CCNc1ncccc1C#N)NC(=O)Cc1c[nH+]cn1C ZINC001106381488 745648675 /nfs/dbraw/zinc/64/86/75/745648675.db2.gz LQJYDDBQATXERK-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO CC[C@@H](C)CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001192509700 745800797 /nfs/dbraw/zinc/80/07/97/745800797.db2.gz CEAVSESLMDZWFP-KBRIMQKVSA-N 1 2 315.417 1.656 20 30 DDEDLO CC[C@@H](C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001192509700 745800799 /nfs/dbraw/zinc/80/07/99/745800799.db2.gz CEAVSESLMDZWFP-KBRIMQKVSA-N 1 2 315.417 1.656 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001192586665 745833859 /nfs/dbraw/zinc/83/38/59/745833859.db2.gz SZANEIRINPEZNR-CQSZACIVSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001192586665 745833874 /nfs/dbraw/zinc/83/38/74/745833874.db2.gz SZANEIRINPEZNR-CQSZACIVSA-N 1 2 319.453 1.933 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cn1cc[nH+]c1)Nc1ncccc1C#N ZINC001089346946 745992392 /nfs/dbraw/zinc/99/23/92/745992392.db2.gz SAQFDNOMUIKPRZ-QWHCGFSZSA-N 1 2 312.377 1.545 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@H]1C ZINC000993443911 746154167 /nfs/dbraw/zinc/15/41/67/746154167.db2.gz NMIQJCCHJGXPOA-PWSUYJOCSA-N 1 2 308.813 1.841 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@H]1C ZINC000993443911 746154170 /nfs/dbraw/zinc/15/41/70/746154170.db2.gz NMIQJCCHJGXPOA-PWSUYJOCSA-N 1 2 308.813 1.841 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CC[C@@]2(CNC(=O)C2)C1 ZINC001193920592 746237742 /nfs/dbraw/zinc/23/77/42/746237742.db2.gz PIXLJHFLMXHJRH-OAHLLOKOSA-N 1 2 300.318 1.178 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CC[C@@]2(CNC(=O)C2)C1 ZINC001193920592 746237743 /nfs/dbraw/zinc/23/77/43/746237743.db2.gz PIXLJHFLMXHJRH-OAHLLOKOSA-N 1 2 300.318 1.178 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)cn2)C1 ZINC001194397564 746367190 /nfs/dbraw/zinc/36/71/90/746367190.db2.gz XFKRQXDZFYNZDD-CABCVRRESA-N 1 2 318.421 1.409 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)cn2)C1 ZINC001194397564 746367197 /nfs/dbraw/zinc/36/71/97/746367197.db2.gz XFKRQXDZFYNZDD-CABCVRRESA-N 1 2 318.421 1.409 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)cn2)C1 ZINC001194397566 746367858 /nfs/dbraw/zinc/36/78/58/746367858.db2.gz XFKRQXDZFYNZDD-HUUCEWRRSA-N 1 2 318.421 1.409 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)cn2)C1 ZINC001194397566 746367862 /nfs/dbraw/zinc/36/78/62/746367862.db2.gz XFKRQXDZFYNZDD-HUUCEWRRSA-N 1 2 318.421 1.409 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2C[N@H+](CCF)CCC2(F)F)c[nH]1 ZINC001046931079 746522847 /nfs/dbraw/zinc/52/28/47/746522847.db2.gz QKVXPWGDNWVVGO-NSHDSACASA-N 1 2 314.311 1.543 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2C[N@@H+](CCF)CCC2(F)F)c[nH]1 ZINC001046931079 746522848 /nfs/dbraw/zinc/52/28/48/746522848.db2.gz QKVXPWGDNWVVGO-NSHDSACASA-N 1 2 314.311 1.543 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)c2ncc[nH]2)C1 ZINC001046937579 746539863 /nfs/dbraw/zinc/53/98/63/746539863.db2.gz JQSCFZKWXNZJGN-JTQLQIEISA-N 1 2 318.755 1.849 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)c2ncc[nH]2)C1 ZINC001046937579 746539868 /nfs/dbraw/zinc/53/98/68/746539868.db2.gz JQSCFZKWXNZJGN-JTQLQIEISA-N 1 2 318.755 1.849 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)C(C)C)CC1 ZINC001195191556 746541534 /nfs/dbraw/zinc/54/15/34/746541534.db2.gz KOFVKSZAMPJDPN-OAHLLOKOSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)C(C)C)CC1 ZINC001195191556 746541540 /nfs/dbraw/zinc/54/15/40/746541540.db2.gz KOFVKSZAMPJDPN-OAHLLOKOSA-N 1 2 309.454 1.648 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@H]1O ZINC001195254854 746557359 /nfs/dbraw/zinc/55/73/59/746557359.db2.gz JFZZUPFSSVZCGM-BPLDGKMQSA-N 1 2 318.421 1.301 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@H]1O ZINC001195254854 746557363 /nfs/dbraw/zinc/55/73/63/746557363.db2.gz JFZZUPFSSVZCGM-BPLDGKMQSA-N 1 2 318.421 1.301 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)CCCF)C2)cc1F ZINC001195373606 746588054 /nfs/dbraw/zinc/58/80/54/746588054.db2.gz KCXSFCSCMMZDGH-HUUCEWRRSA-N 1 2 323.343 1.108 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)CCCF)C2)cc1F ZINC001195373606 746588056 /nfs/dbraw/zinc/58/80/56/746588056.db2.gz KCXSFCSCMMZDGH-HUUCEWRRSA-N 1 2 323.343 1.108 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001195562966 746654608 /nfs/dbraw/zinc/65/46/08/746654608.db2.gz QHIJZZGRMXVEFR-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001195562966 746654610 /nfs/dbraw/zinc/65/46/10/746654610.db2.gz QHIJZZGRMXVEFR-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195568040 746655877 /nfs/dbraw/zinc/65/58/77/746655877.db2.gz VWUJPKYNBYBLRI-RRFJBIMHSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195568040 746655881 /nfs/dbraw/zinc/65/58/81/746655881.db2.gz VWUJPKYNBYBLRI-RRFJBIMHSA-N 1 2 321.421 1.555 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](Cc2cc(OC)ccn2)CC1 ZINC001195644976 746663422 /nfs/dbraw/zinc/66/34/22/746663422.db2.gz FGAUXYIKBBFDQE-UHFFFAOYSA-N 1 2 315.417 1.928 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](Cc2cc(OC)ccn2)CC1 ZINC001195644976 746663426 /nfs/dbraw/zinc/66/34/26/746663426.db2.gz FGAUXYIKBBFDQE-UHFFFAOYSA-N 1 2 315.417 1.928 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ccc(OCC)c(OC)c2)C1 ZINC001031378660 746712924 /nfs/dbraw/zinc/71/29/24/746712924.db2.gz YHEWZAQKZULVOV-UHFFFAOYSA-N 1 2 302.374 1.531 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2CCC[C@H]2C)CC1 ZINC001195915127 746736572 /nfs/dbraw/zinc/73/65/72/746736572.db2.gz LJZDWBAQTSOKTH-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2CCC[C@H]2C)CC1 ZINC001195915127 746736578 /nfs/dbraw/zinc/73/65/78/746736578.db2.gz LJZDWBAQTSOKTH-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](CC(=O)N(C)CC2CC2)CC1 ZINC001196010158 746754539 /nfs/dbraw/zinc/75/45/39/746754539.db2.gz JHAKHJMYRUEXSG-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](CC(=O)N(C)CC2CC2)CC1 ZINC001196010158 746754544 /nfs/dbraw/zinc/75/45/44/746754544.db2.gz JHAKHJMYRUEXSG-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)CC)CC1 ZINC001196009568 746754570 /nfs/dbraw/zinc/75/45/70/746754570.db2.gz ALROZGRYMOCAIT-HNNXBMFYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)CC)CC1 ZINC001196009568 746754573 /nfs/dbraw/zinc/75/45/73/746754573.db2.gz ALROZGRYMOCAIT-HNNXBMFYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001196468289 746869322 /nfs/dbraw/zinc/86/93/22/746869322.db2.gz FKOYWDJUNFHCMY-HUUCEWRRSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001196468289 746869325 /nfs/dbraw/zinc/86/93/25/746869325.db2.gz FKOYWDJUNFHCMY-HUUCEWRRSA-N 1 2 321.421 1.555 20 30 DDEDLO N#Cc1cccnc1C(=O)N1CC[C@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001196553918 746904232 /nfs/dbraw/zinc/90/42/32/746904232.db2.gz XYSPTXYDZRAYFE-ZDUSSCGKSA-N 1 2 320.343 1.899 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001196847185 746979606 /nfs/dbraw/zinc/97/96/06/746979606.db2.gz VYPPDSIPXRSZCS-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001196847185 746979615 /nfs/dbraw/zinc/97/96/15/746979615.db2.gz VYPPDSIPXRSZCS-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)C2CC2)CC1 ZINC001196999896 747029041 /nfs/dbraw/zinc/02/90/41/747029041.db2.gz BWPYRUKYYLNLJX-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)C2CC2)CC1 ZINC001196999896 747029047 /nfs/dbraw/zinc/02/90/47/747029047.db2.gz BWPYRUKYYLNLJX-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CCCCC(C)C)C1 ZINC001197066850 747052188 /nfs/dbraw/zinc/05/21/88/747052188.db2.gz HFKASKCQOPUUGY-HZPDHXFCSA-N 1 2 310.438 1.014 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)CCCCC(C)C)C1 ZINC001197066850 747052197 /nfs/dbraw/zinc/05/21/97/747052197.db2.gz HFKASKCQOPUUGY-HZPDHXFCSA-N 1 2 310.438 1.014 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)[C@H]2CCCOC2)C1 ZINC001031576684 747085988 /nfs/dbraw/zinc/08/59/88/747085988.db2.gz CTGVJGLQIQGTGX-KRWDZBQOSA-N 1 2 313.401 1.533 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1cnc(C#N)cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089491251 747089240 /nfs/dbraw/zinc/08/92/40/747089240.db2.gz YILPUJAECWWWEX-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO CCc1cc(C(=O)N2CC[NH+](CCOC)CC2)ccc1C#N ZINC001197274575 747122841 /nfs/dbraw/zinc/12/28/41/747122841.db2.gz HOPZFBDRXPTGBR-UHFFFAOYSA-N 1 2 301.390 1.525 20 30 DDEDLO Cn1cnc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)c1 ZINC001031613777 747191361 /nfs/dbraw/zinc/19/13/61/747191361.db2.gz WKTNXTISMYQYDF-UHFFFAOYSA-N 1 2 309.373 1.154 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2c[nH]nc2C2CC2)CC1 ZINC001197670086 747228923 /nfs/dbraw/zinc/22/89/23/747228923.db2.gz YNRSVSGMHOGAIJ-UHFFFAOYSA-N 1 2 316.405 1.085 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2c[nH]nc2C2CC2)CC1 ZINC001197670086 747228928 /nfs/dbraw/zinc/22/89/28/747228928.db2.gz YNRSVSGMHOGAIJ-UHFFFAOYSA-N 1 2 316.405 1.085 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC[NH+]([C@H](C)c3nncn3C)CC2)C1 ZINC001003596578 747309976 /nfs/dbraw/zinc/30/99/76/747309976.db2.gz WZUWBJDFCDXAEH-CYBMUJFWSA-N 1 2 317.437 1.813 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccccc2C)[C@H](O)C1 ZINC001090032842 747406968 /nfs/dbraw/zinc/40/69/68/747406968.db2.gz UJUYFRPEHSDGIA-HUUCEWRRSA-N 1 2 308.809 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccccc2C)[C@H](O)C1 ZINC001090032842 747406972 /nfs/dbraw/zinc/40/69/72/747406972.db2.gz UJUYFRPEHSDGIA-HUUCEWRRSA-N 1 2 308.809 1.913 20 30 DDEDLO CCc1nc(N(CC)[C@H](C)CNC(=O)[C@@H](C)C#N)cc(C)[nH+]1 ZINC001089601580 747435139 /nfs/dbraw/zinc/43/51/39/747435139.db2.gz XIRNOFHOJSFFRX-WCQYABFASA-N 1 2 303.410 1.838 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+]([C@H](C)c2cnccn2)CC1 ZINC001198344429 747459669 /nfs/dbraw/zinc/45/96/69/747459669.db2.gz UZCNOJUWENIIHN-HUUCEWRRSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+]([C@H](C)c2cnccn2)CC1 ZINC001198344429 747459674 /nfs/dbraw/zinc/45/96/74/747459674.db2.gz UZCNOJUWENIIHN-HUUCEWRRSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccsc2)[C@H](O)C1 ZINC001090048515 747590298 /nfs/dbraw/zinc/59/02/98/747590298.db2.gz ZMGZWXDXXCSWTC-VXGBXAGGSA-N 1 2 300.811 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccsc2)[C@H](O)C1 ZINC001090048515 747590302 /nfs/dbraw/zinc/59/03/02/747590302.db2.gz ZMGZWXDXXCSWTC-VXGBXAGGSA-N 1 2 300.811 1.666 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2cn(CC3CC3)nn2)CC1 ZINC001003860173 747639937 /nfs/dbraw/zinc/63/99/37/747639937.db2.gz XTZZAEQMANHUCT-UHFFFAOYSA-N 1 2 323.828 1.635 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC000998752681 752211997 /nfs/dbraw/zinc/21/19/97/752211997.db2.gz JTRZNSMZVQWRNU-AAEUAGOBSA-N 1 2 317.393 1.262 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001031781965 747827691 /nfs/dbraw/zinc/82/76/91/747827691.db2.gz SIQSUVNHZHMVRS-CYBMUJFWSA-N 1 2 308.813 1.561 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001033008840 747895891 /nfs/dbraw/zinc/89/58/91/747895891.db2.gz GCESIKQLLNYOHV-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001033008840 747895899 /nfs/dbraw/zinc/89/58/99/747895899.db2.gz GCESIKQLLNYOHV-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110539483 747920484 /nfs/dbraw/zinc/92/04/84/747920484.db2.gz VCQKLJUCUBMOOC-XZDPQHSOSA-N 1 2 317.433 1.036 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110539483 747920487 /nfs/dbraw/zinc/92/04/87/747920487.db2.gz VCQKLJUCUBMOOC-XZDPQHSOSA-N 1 2 317.433 1.036 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@@H](C[NH2+][C@@H](C)c2nnc(C)o2)C1 ZINC001200101167 748123001 /nfs/dbraw/zinc/12/30/01/748123001.db2.gz RXPIPZBYWBWKSY-JSGCOSHPSA-N 1 2 322.409 1.612 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2C[C@@H](C)Cc3c[nH]nc32)C1 ZINC001031922776 748218930 /nfs/dbraw/zinc/21/89/30/748218930.db2.gz JNUJQFBKYUVLHS-HZMBPMFUSA-N 1 2 322.840 1.876 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001031976077 748339524 /nfs/dbraw/zinc/33/95/24/748339524.db2.gz DOPPSUVBGDQPQW-AWEZNQCLSA-N 1 2 305.809 1.597 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cncc(CC)c2)C1 ZINC001108074383 748458173 /nfs/dbraw/zinc/45/81/73/748458173.db2.gz IHMYDFXKJKPIEZ-QGZVFWFLSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cncc(CC)c2)C1 ZINC001108074383 748458177 /nfs/dbraw/zinc/45/81/77/748458177.db2.gz IHMYDFXKJKPIEZ-QGZVFWFLSA-N 1 2 303.406 1.651 20 30 DDEDLO CCN(CCNc1ncccc1C#N)C(=O)CCc1c[nH]c[nH+]1 ZINC001106979252 748466379 /nfs/dbraw/zinc/46/63/79/748466379.db2.gz GTXLIIAIVPQWGY-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO CCN(CCNc1ncccc1C#N)C(=O)CCc1c[nH+]c[nH]1 ZINC001106979252 748466383 /nfs/dbraw/zinc/46/63/83/748466383.db2.gz GTXLIIAIVPQWGY-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO COc1nc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)co1 ZINC001004498116 748485581 /nfs/dbraw/zinc/48/55/81/748485581.db2.gz MSOSPWNRHKBRPL-AWEZNQCLSA-N 1 2 318.377 1.523 20 30 DDEDLO COc1nc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)co1 ZINC001004498116 748485584 /nfs/dbraw/zinc/48/55/84/748485584.db2.gz MSOSPWNRHKBRPL-AWEZNQCLSA-N 1 2 318.377 1.523 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2c(C)nnn2CC)C1 ZINC001033142398 748530353 /nfs/dbraw/zinc/53/03/53/748530353.db2.gz RZNVWNWFHMOREP-GFCCVEGCSA-N 1 2 311.817 1.505 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2c(C)nnn2CC)C1 ZINC001033142398 748530360 /nfs/dbraw/zinc/53/03/60/748530360.db2.gz RZNVWNWFHMOREP-GFCCVEGCSA-N 1 2 311.817 1.505 20 30 DDEDLO C[C@@H]1CCO[C@@H]1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004550995 748542069 /nfs/dbraw/zinc/54/20/69/748542069.db2.gz GSAXTEOJQSNWCU-BMFZPTHFSA-N 1 2 305.422 1.638 20 30 DDEDLO C[C@@H]1CCO[C@@H]1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004550995 748542073 /nfs/dbraw/zinc/54/20/73/748542073.db2.gz GSAXTEOJQSNWCU-BMFZPTHFSA-N 1 2 305.422 1.638 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+]Cc1nnc(CC)o1)OCC ZINC001128529097 748740359 /nfs/dbraw/zinc/74/03/59/748740359.db2.gz YEFASTNCNJSBMY-LBPRGKRZSA-N 1 2 310.398 1.209 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+][C@@H](C)c1nnc(C)o1)OCC ZINC001128539595 748764289 /nfs/dbraw/zinc/76/42/89/748764289.db2.gz HZJMCSWUHAWYKW-AAEUAGOBSA-N 1 2 310.398 1.516 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]([NH2+]Cc2nn(C)cc2Cl)C(C)(C)C1 ZINC000995546312 748907986 /nfs/dbraw/zinc/90/79/86/748907986.db2.gz BWAZPNFAXJBBSD-ZWNOBZJWSA-N 1 2 323.828 1.560 20 30 DDEDLO Cc1nc(NC/C=C\CNC(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001107079939 748954460 /nfs/dbraw/zinc/95/44/60/748954460.db2.gz FLBMCDVXHOEATO-FJOGCWAESA-N 1 2 313.405 1.908 20 30 DDEDLO Cc1nc(N2CC[C@@](C)(NC(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001110778918 749004239 /nfs/dbraw/zinc/00/42/39/749004239.db2.gz RMIKQOAYYIPNMD-QGZVFWFLSA-N 1 2 324.388 1.313 20 30 DDEDLO C[C@@]1(NC(=O)CCn2cc[nH+]c2)CCN(c2ccc(C#N)nc2)C1 ZINC001110802152 749023552 /nfs/dbraw/zinc/02/35/52/749023552.db2.gz JLROJQVMKNPWAU-QGZVFWFLSA-N 1 2 324.388 1.325 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccc(C#N)cc2)no1 ZINC001032269034 749146237 /nfs/dbraw/zinc/14/62/37/749146237.db2.gz VAPKXIMANIWPDN-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccc(C#N)cc2)no1 ZINC001032269034 749146243 /nfs/dbraw/zinc/14/62/43/749146243.db2.gz VAPKXIMANIWPDN-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)Cc3ccsc3)nn2)C1 ZINC001107119633 749153044 /nfs/dbraw/zinc/15/30/44/749153044.db2.gz IXHSXNWHKMXHKX-UHFFFAOYSA-N 1 2 317.418 1.241 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)n2cccn2)C1 ZINC001108332372 761927758 /nfs/dbraw/zinc/92/77/58/761927758.db2.gz CESCPJIJHROYJB-GDBMZVCRSA-N 1 2 306.410 1.227 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)n2cccn2)C1 ZINC001108332372 761927761 /nfs/dbraw/zinc/92/77/61/761927761.db2.gz CESCPJIJHROYJB-GDBMZVCRSA-N 1 2 306.410 1.227 20 30 DDEDLO C[C@@H](CNc1ncc(C#N)cc1F)NC(=O)CCc1[nH]cc[nH+]1 ZINC001108337876 761939232 /nfs/dbraw/zinc/93/92/32/761939232.db2.gz OWYDMGPZOGCPJG-JTQLQIEISA-N 1 2 316.340 1.365 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001033441625 749358677 /nfs/dbraw/zinc/35/86/77/749358677.db2.gz CEVYNIUVPHBJFF-QLFBSQMISA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001033441625 749358682 /nfs/dbraw/zinc/35/86/82/749358682.db2.gz CEVYNIUVPHBJFF-QLFBSQMISA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H](C)CSC)nn2)C1 ZINC001107146308 749400324 /nfs/dbraw/zinc/40/03/24/749400324.db2.gz FDIUFUDUKRIEQR-LBPRGKRZSA-N 1 2 323.466 1.326 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2nc3ncccn3n2)C1 ZINC001033520510 749465286 /nfs/dbraw/zinc/46/52/86/749465286.db2.gz FFYOPNCNVURTNS-NSHDSACASA-N 1 2 320.784 1.023 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2nc3ncccn3n2)C1 ZINC001033520510 749465289 /nfs/dbraw/zinc/46/52/89/749465289.db2.gz FFYOPNCNVURTNS-NSHDSACASA-N 1 2 320.784 1.023 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CCC(=C)C)nn2)C1 ZINC001107176846 749480197 /nfs/dbraw/zinc/48/01/97/749480197.db2.gz PZDNOEZROLLZIO-UHFFFAOYSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](C)[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001005202702 749507321 /nfs/dbraw/zinc/50/73/21/749507321.db2.gz YQRLTZOJTFTMLW-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](CCC)OCC)nn2)C1 ZINC001107196610 749550628 /nfs/dbraw/zinc/55/06/28/749550628.db2.gz MUTZRXZJWNVCML-HNNXBMFYSA-N 1 2 321.425 1.142 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CC(C)=C(C)C)nn2)C1 ZINC001107196165 749551311 /nfs/dbraw/zinc/55/13/11/749551311.db2.gz HBMIHANHLJFCRR-UHFFFAOYSA-N 1 2 303.410 1.683 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)COc2ccccc2)C1 ZINC001108363193 761967002 /nfs/dbraw/zinc/96/70/02/761967002.db2.gz ZCCIUPASHYAPDE-QGZVFWFLSA-N 1 2 304.390 1.459 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)COc2ccccc2)C1 ZINC001108363193 761967007 /nfs/dbraw/zinc/96/70/07/761967007.db2.gz ZCCIUPASHYAPDE-QGZVFWFLSA-N 1 2 304.390 1.459 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H](C)C(C)C)nn2)C1 ZINC001107216202 749624724 /nfs/dbraw/zinc/62/47/24/749624724.db2.gz YBZXLFUUUQWYCF-ZDUSSCGKSA-N 1 2 305.426 1.619 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C\CNc2cc[nH+]c(C)n2)nc1 ZINC001107268864 749711450 /nfs/dbraw/zinc/71/14/50/749711450.db2.gz BZRFZXHLXJEEEE-PLNGDYQASA-N 1 2 307.357 1.559 20 30 DDEDLO C#CC[NH2+]C1CCN(C(=O)c2c(Cl)[nH]nc2C2CC2)CC1 ZINC000996660623 749863223 /nfs/dbraw/zinc/86/32/23/749863223.db2.gz UVCBJCGFNWCAGH-UHFFFAOYSA-N 1 2 306.797 1.768 20 30 DDEDLO C=CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCc3[nH]ncc31)C2 ZINC001095416353 749876907 /nfs/dbraw/zinc/87/69/07/749876907.db2.gz XUVFGHARLMRMDL-MPTQWLOMSA-N 1 2 300.406 1.737 20 30 DDEDLO C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCc3[nH]ncc31)C2 ZINC001095416353 749876916 /nfs/dbraw/zinc/87/69/16/749876916.db2.gz XUVFGHARLMRMDL-MPTQWLOMSA-N 1 2 300.406 1.737 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCc1ccc(F)c(F)c1 ZINC001032316637 749934678 /nfs/dbraw/zinc/93/46/78/749934678.db2.gz BAPKXVPAPIKXCS-UBHSHLNASA-N 1 2 319.355 1.952 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCc1ccc(F)c(F)c1 ZINC001032316637 749934681 /nfs/dbraw/zinc/93/46/81/749934681.db2.gz BAPKXVPAPIKXCS-UBHSHLNASA-N 1 2 319.355 1.952 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(OC)cc2)C1 ZINC001108363849 761994837 /nfs/dbraw/zinc/99/48/37/761994837.db2.gz FVRHDKWKYIGJGG-GOSISDBHSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(OC)cc2)C1 ZINC001108363849 761994840 /nfs/dbraw/zinc/99/48/40/761994840.db2.gz FVRHDKWKYIGJGG-GOSISDBHSA-N 1 2 318.417 1.631 20 30 DDEDLO Cc1cc(N(C)CCCNC(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001095507111 749989576 /nfs/dbraw/zinc/98/95/76/749989576.db2.gz HPZINWLXOODYHS-LLVKDONJSA-N 1 2 301.394 1.765 20 30 DDEDLO N#Cc1ccc(F)cc1C[NH2+]CCNC(=O)C(F)C(F)(F)F ZINC001127779336 749996940 /nfs/dbraw/zinc/99/69/40/749996940.db2.gz LPDCHNFFOYYVED-LLVKDONJSA-N 1 2 321.249 1.804 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2snnc2CC)C1 ZINC001033889565 750039423 /nfs/dbraw/zinc/03/94/23/750039423.db2.gz DLVYGAKBDBVMQY-GFCCVEGCSA-N 1 2 306.435 1.660 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2snnc2CC)C1 ZINC001033889565 750039429 /nfs/dbraw/zinc/03/94/29/750039429.db2.gz DLVYGAKBDBVMQY-GFCCVEGCSA-N 1 2 306.435 1.660 20 30 DDEDLO CN(C(=O)Cc1cn2c([nH+]1)CCCC2)C1CCN(CC#N)CC1 ZINC001005432696 750061207 /nfs/dbraw/zinc/06/12/07/750061207.db2.gz SCWZLWROYVUIDH-UHFFFAOYSA-N 1 2 315.421 1.208 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001034015431 750253792 /nfs/dbraw/zinc/25/37/92/750253792.db2.gz OFODEKVYWSLNNJ-CHWSQXEVSA-N 1 2 303.410 1.018 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001034015431 750253795 /nfs/dbraw/zinc/25/37/95/750253795.db2.gz OFODEKVYWSLNNJ-CHWSQXEVSA-N 1 2 303.410 1.018 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001034015431 750253799 /nfs/dbraw/zinc/25/37/99/750253799.db2.gz OFODEKVYWSLNNJ-CHWSQXEVSA-N 1 2 303.410 1.018 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001034015431 750253802 /nfs/dbraw/zinc/25/38/02/750253802.db2.gz OFODEKVYWSLNNJ-CHWSQXEVSA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001034041443 750304441 /nfs/dbraw/zinc/30/44/41/750304441.db2.gz DQJHGAGUOHSMRZ-LBPRGKRZSA-N 1 2 324.812 1.403 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001034041443 750304444 /nfs/dbraw/zinc/30/44/44/750304444.db2.gz DQJHGAGUOHSMRZ-LBPRGKRZSA-N 1 2 324.812 1.403 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1nn(C)c2ccccc12 ZINC001032405250 750599776 /nfs/dbraw/zinc/59/97/76/750599776.db2.gz PQKAEDVVWLSCFV-KBPBESRZSA-N 1 2 308.385 1.034 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1nn(C)c2ccccc12 ZINC001032405250 750599781 /nfs/dbraw/zinc/59/97/81/750599781.db2.gz PQKAEDVVWLSCFV-KBPBESRZSA-N 1 2 308.385 1.034 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc[nH]c2CC)C1 ZINC001107915449 750631984 /nfs/dbraw/zinc/63/19/84/750631984.db2.gz XWZSVMNCTBPMTJ-QGZVFWFLSA-N 1 2 303.406 1.421 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc[nH]c2CC)C1 ZINC001107915449 750631987 /nfs/dbraw/zinc/63/19/87/750631987.db2.gz XWZSVMNCTBPMTJ-QGZVFWFLSA-N 1 2 303.406 1.421 20 30 DDEDLO CCCCOCC[N@@H+]1CCO[C@](C)(CNC(=O)C#CC(C)C)C1 ZINC001107930687 750663999 /nfs/dbraw/zinc/66/39/99/750663999.db2.gz MVHQXBMFMIQIEO-GOSISDBHSA-N 1 2 324.465 1.670 20 30 DDEDLO CCCCOCC[N@H+]1CCO[C@](C)(CNC(=O)C#CC(C)C)C1 ZINC001107930687 750664003 /nfs/dbraw/zinc/66/40/03/750664003.db2.gz MVHQXBMFMIQIEO-GOSISDBHSA-N 1 2 324.465 1.670 20 30 DDEDLO C[C@@H](NC(=O)c1cccc2[nH+]ccn21)C1CCN(CC#N)CC1 ZINC000997220308 750700290 /nfs/dbraw/zinc/70/02/90/750700290.db2.gz WUZSRVCLEYVFLE-CYBMUJFWSA-N 1 2 311.389 1.688 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)CCCC)CC2 ZINC001127943971 750807458 /nfs/dbraw/zinc/80/74/58/750807458.db2.gz JPNPWJUBGKASQM-CYBMUJFWSA-N 1 2 303.410 1.012 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nccc2cccnc21 ZINC001032452136 750870302 /nfs/dbraw/zinc/87/03/02/750870302.db2.gz KFZUNKRPPHGWNG-GJZGRUSLSA-N 1 2 306.369 1.552 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nccc2cccnc21 ZINC001032452136 750870309 /nfs/dbraw/zinc/87/03/09/750870309.db2.gz KFZUNKRPPHGWNG-GJZGRUSLSA-N 1 2 306.369 1.552 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCCN(CC)c1cc[nH+]c(C)n1 ZINC001095889747 750989161 /nfs/dbraw/zinc/98/91/61/750989161.db2.gz GTNIWBBCQCMEKM-CYBMUJFWSA-N 1 2 306.410 1.709 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114722349 751026476 /nfs/dbraw/zinc/02/64/76/751026476.db2.gz GJMIKKGYUDWKFN-UTXMOHQDSA-N 1 2 314.433 1.934 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114722349 751026483 /nfs/dbraw/zinc/02/64/83/751026483.db2.gz GJMIKKGYUDWKFN-UTXMOHQDSA-N 1 2 314.433 1.934 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001060862931 751042863 /nfs/dbraw/zinc/04/28/63/751042863.db2.gz BDTJXBPEFAGKBG-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCn1cnc2ccccc21 ZINC001032506403 751111887 /nfs/dbraw/zinc/11/18/87/751111887.db2.gz FDSDOTMILMFLST-GJZGRUSLSA-N 1 2 308.385 1.345 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCn1cnc2ccccc21 ZINC001032506403 751111891 /nfs/dbraw/zinc/11/18/91/751111891.db2.gz FDSDOTMILMFLST-GJZGRUSLSA-N 1 2 308.385 1.345 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2cc(OC)ccn12 ZINC001032521460 751186031 /nfs/dbraw/zinc/18/60/31/751186031.db2.gz MMFCLSLEIVBNCE-KBPBESRZSA-N 1 2 324.384 1.265 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2cc(OC)ccn12 ZINC001032521460 751186034 /nfs/dbraw/zinc/18/60/34/751186034.db2.gz MMFCLSLEIVBNCE-KBPBESRZSA-N 1 2 324.384 1.265 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc(C3CCC3)no2)CCC1 ZINC001129125475 751299978 /nfs/dbraw/zinc/29/99/78/751299978.db2.gz WQJHBYMBOMORCH-UHFFFAOYSA-N 1 2 316.405 1.737 20 30 DDEDLO Cc1c[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccc(C#N)c2)n1 ZINC001032673554 752703667 /nfs/dbraw/zinc/70/36/67/752703667.db2.gz XLRKUWPKWGYEQG-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1c[nH]c(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccc(C#N)c2)n1 ZINC001032673554 752703672 /nfs/dbraw/zinc/70/36/72/752703672.db2.gz XLRKUWPKWGYEQG-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cc(C)no3)C2)c1 ZINC001008471441 752750180 /nfs/dbraw/zinc/75/01/80/752750180.db2.gz ZGQXFBCZVUONHB-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CCC[N@H+](Cc3cc(C)no3)C2)c1 ZINC001008471441 752750182 /nfs/dbraw/zinc/75/01/82/752750182.db2.gz ZGQXFBCZVUONHB-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1noc2c1C[C@@H](C)CC2 ZINC001032710983 752807913 /nfs/dbraw/zinc/80/79/13/752807913.db2.gz BCIQADKIEUDSTH-IHRRRGAJSA-N 1 2 313.401 1.721 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1noc2c1C[C@@H](C)CC2 ZINC001032710983 752807922 /nfs/dbraw/zinc/80/79/22/752807922.db2.gz BCIQADKIEUDSTH-IHRRRGAJSA-N 1 2 313.401 1.721 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001009185717 753102112 /nfs/dbraw/zinc/10/21/12/753102112.db2.gz DTXHAYHQPRBAJT-CHWSQXEVSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001009185717 753102115 /nfs/dbraw/zinc/10/21/15/753102115.db2.gz DTXHAYHQPRBAJT-CHWSQXEVSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001009185717 753102121 /nfs/dbraw/zinc/10/21/21/753102121.db2.gz DTXHAYHQPRBAJT-CHWSQXEVSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001009185717 753102128 /nfs/dbraw/zinc/10/21/28/753102128.db2.gz DTXHAYHQPRBAJT-CHWSQXEVSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001009338283 753159212 /nfs/dbraw/zinc/15/92/12/753159212.db2.gz CHVGSPMILYCSEU-NSHDSACASA-N 1 2 307.785 1.609 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001009338283 753159214 /nfs/dbraw/zinc/15/92/14/753159214.db2.gz CHVGSPMILYCSEU-NSHDSACASA-N 1 2 307.785 1.609 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2sc(COC)nc2C)C1 ZINC001009366438 753169954 /nfs/dbraw/zinc/16/99/54/753169954.db2.gz SVXLHGUQFIXMOS-ZDUSSCGKSA-N 1 2 321.446 1.815 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2sc(COC)nc2C)C1 ZINC001009366438 753169955 /nfs/dbraw/zinc/16/99/55/753169955.db2.gz SVXLHGUQFIXMOS-ZDUSSCGKSA-N 1 2 321.446 1.815 20 30 DDEDLO C#CC[NH2+][C@@H]1CCCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000999862600 753212428 /nfs/dbraw/zinc/21/24/28/753212428.db2.gz DIFHIHJOWLRPFV-OAHLLOKOSA-N 1 2 309.373 1.299 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cnc3n2CCC3)CC1 ZINC001000790308 762204236 /nfs/dbraw/zinc/20/42/36/762204236.db2.gz IBRPUASQNOKZMJ-UHFFFAOYSA-N 1 2 320.824 1.944 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cnc3n2CCC3)CC1 ZINC001000790308 762204240 /nfs/dbraw/zinc/20/42/40/762204240.db2.gz IBRPUASQNOKZMJ-UHFFFAOYSA-N 1 2 320.824 1.944 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1csnn1 ZINC001049626355 753470977 /nfs/dbraw/zinc/47/09/77/753470977.db2.gz KQUHGLKNGIPKDS-RYUDHWBXSA-N 1 2 312.826 1.970 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1csnn1 ZINC001049626355 753470981 /nfs/dbraw/zinc/47/09/81/753470981.db2.gz KQUHGLKNGIPKDS-RYUDHWBXSA-N 1 2 312.826 1.970 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(OC)c(F)c2)C1 ZINC001108007545 753490905 /nfs/dbraw/zinc/49/09/05/753490905.db2.gz ZXRBOQIUJWOENK-KRWDZBQOSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(OC)c(F)c2)C1 ZINC001108007545 753490907 /nfs/dbraw/zinc/49/09/07/753490907.db2.gz ZXRBOQIUJWOENK-KRWDZBQOSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc3n(n2)CCCC3)C1 ZINC001108017756 753521552 /nfs/dbraw/zinc/52/15/52/753521552.db2.gz XIPGTSPOSOAGGT-QGZVFWFLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc3n(n2)CCCC3)C1 ZINC001108017756 753521556 /nfs/dbraw/zinc/52/15/56/753521556.db2.gz XIPGTSPOSOAGGT-QGZVFWFLSA-N 1 2 318.421 1.226 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccco1 ZINC001032791534 753758318 /nfs/dbraw/zinc/75/83/18/753758318.db2.gz XXVDDFXGTRESEL-STQMWFEESA-N 1 2 310.357 1.592 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccco1 ZINC001032791534 753758322 /nfs/dbraw/zinc/75/83/22/753758322.db2.gz XXVDDFXGTRESEL-STQMWFEESA-N 1 2 310.357 1.592 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CC[C@@H](C)C3)C1 ZINC001078160263 753804967 /nfs/dbraw/zinc/80/49/67/753804967.db2.gz RGAGPQMDUJWCRE-MRVWCRGKSA-N 1 2 320.458 1.834 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CC[C@@H](C)C3)C1 ZINC001078160263 753804972 /nfs/dbraw/zinc/80/49/72/753804972.db2.gz RGAGPQMDUJWCRE-MRVWCRGKSA-N 1 2 320.458 1.834 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCOC2)C1 ZINC001010363166 753811562 /nfs/dbraw/zinc/81/15/62/753811562.db2.gz XOSYUTYHOJYFJE-IAGOWNOFSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCOC2)C1 ZINC001010363166 753811567 /nfs/dbraw/zinc/81/15/67/753811567.db2.gz XOSYUTYHOJYFJE-IAGOWNOFSA-N 1 2 313.401 1.675 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(F)(F)F)s2)C1 ZINC001078262171 753920313 /nfs/dbraw/zinc/92/03/13/753920313.db2.gz MKOVNMNWQRFERB-RKDXNWHRSA-N 1 2 320.336 1.728 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(F)(F)F)s2)C1 ZINC001078262171 753920322 /nfs/dbraw/zinc/92/03/22/753920322.db2.gz MKOVNMNWQRFERB-RKDXNWHRSA-N 1 2 320.336 1.728 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCO[C@@H](C[NH2+]Cc2cnsn2)C1 ZINC001078440507 754080135 /nfs/dbraw/zinc/08/01/35/754080135.db2.gz AKVDMIGKSFRQHH-ZDUSSCGKSA-N 1 2 324.450 1.457 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC001011193643 754388658 /nfs/dbraw/zinc/38/86/58/754388658.db2.gz HIJOFQCTZACTIO-ZDUSSCGKSA-N 1 2 318.421 1.471 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC001011193643 754388663 /nfs/dbraw/zinc/38/86/63/754388663.db2.gz HIJOFQCTZACTIO-ZDUSSCGKSA-N 1 2 318.421 1.471 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCC[C@H]2NC(=O)Cn2cc[nH+]c2)cn1 ZINC001064099607 754499788 /nfs/dbraw/zinc/49/97/88/754499788.db2.gz PFMBEZKCRBHEHQ-CZUORRHYSA-N 1 2 324.388 1.547 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)nc(C)c2)[C@@H](O)C1 ZINC001090294853 754776404 /nfs/dbraw/zinc/77/64/04/754776404.db2.gz IGBODKBVMUFLFN-GJZGRUSLSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)nc(C)c2)[C@@H](O)C1 ZINC001090294853 754776407 /nfs/dbraw/zinc/77/64/07/754776407.db2.gz IGBODKBVMUFLFN-GJZGRUSLSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@H]2C)C1 ZINC001012099689 754843984 /nfs/dbraw/zinc/84/39/84/754843984.db2.gz HEKVJOPUPFSMAB-GXTWGEPZSA-N 1 2 316.405 1.414 20 30 DDEDLO CCN(C(=O)CCc1c[nH]c[nH+]1)C1CN(C(=O)C#CC(C)C)C1 ZINC001079964914 755657559 /nfs/dbraw/zinc/65/75/59/755657559.db2.gz PFOITWIGGXBHOL-UHFFFAOYSA-N 1 2 316.405 1.061 20 30 DDEDLO CCN(C(=O)CCc1c[nH+]c[nH]1)C1CN(C(=O)C#CC(C)C)C1 ZINC001079964914 755657562 /nfs/dbraw/zinc/65/75/62/755657562.db2.gz PFOITWIGGXBHOL-UHFFFAOYSA-N 1 2 316.405 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C3CC3)nn2C)C1 ZINC001014596840 755819224 /nfs/dbraw/zinc/81/92/24/755819224.db2.gz TUFPSPXKRYKDSO-LBPRGKRZSA-N 1 2 308.813 1.854 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C3CC3)nn2C)C1 ZINC001014596840 755819227 /nfs/dbraw/zinc/81/92/27/755819227.db2.gz TUFPSPXKRYKDSO-LBPRGKRZSA-N 1 2 308.813 1.854 20 30 DDEDLO Cc1cc(N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@H]2C)c(C#N)cn1 ZINC001040173977 762423788 /nfs/dbraw/zinc/42/37/88/762423788.db2.gz XXSRCOWFNMRWEZ-JSGCOSHPSA-N 1 2 324.388 1.051 20 30 DDEDLO Cc1ncc(CC(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)o1 ZINC001014703488 755897451 /nfs/dbraw/zinc/89/74/51/755897451.db2.gz CBLMDLFQVXEVSG-MRXNPFEDSA-N 1 2 324.384 1.788 20 30 DDEDLO Cc1ncc(CC(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)o1 ZINC001014703488 755897457 /nfs/dbraw/zinc/89/74/57/755897457.db2.gz CBLMDLFQVXEVSG-MRXNPFEDSA-N 1 2 324.384 1.788 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001014984307 756054184 /nfs/dbraw/zinc/05/41/84/756054184.db2.gz QORHPLQYXGFTCR-OLZOCXBDSA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001014984307 756054190 /nfs/dbraw/zinc/05/41/90/756054190.db2.gz QORHPLQYXGFTCR-OLZOCXBDSA-N 1 2 308.813 1.389 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3nocc3C)C[C@H]2C)nc1 ZINC001080810430 756111426 /nfs/dbraw/zinc/11/14/26/756111426.db2.gz LCKPECZYDCOYPC-MLGOLLRUSA-N 1 2 324.384 1.610 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3nocc3C)C[C@H]2C)nc1 ZINC001080810430 756111429 /nfs/dbraw/zinc/11/14/29/756111429.db2.gz LCKPECZYDCOYPC-MLGOLLRUSA-N 1 2 324.384 1.610 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnc3[nH]c(C)nc32)C1 ZINC001015360756 756263523 /nfs/dbraw/zinc/26/35/23/756263523.db2.gz KAVDZUXJEMBJHR-NSHDSACASA-N 1 2 319.796 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnc3[nH]c(C)nc32)C1 ZINC001015360756 756263524 /nfs/dbraw/zinc/26/35/24/756263524.db2.gz KAVDZUXJEMBJHR-NSHDSACASA-N 1 2 319.796 1.823 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@H+](Cc2cc(C#N)ccc2OC)C[C@H]1OC ZINC001081241860 756277809 /nfs/dbraw/zinc/27/78/09/756277809.db2.gz NGFVIFFNMGYEES-GDBMZVCRSA-N 1 2 317.389 1.292 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2OC)C[C@H]1OC ZINC001081241860 756277811 /nfs/dbraw/zinc/27/78/11/756277811.db2.gz NGFVIFFNMGYEES-GDBMZVCRSA-N 1 2 317.389 1.292 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3conc3C)C2)c1 ZINC001015678859 756460726 /nfs/dbraw/zinc/46/07/26/756460726.db2.gz OPZNASXUUSWOSV-INIZCTEOSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3conc3C)C2)c1 ZINC001015678859 756460728 /nfs/dbraw/zinc/46/07/28/756460728.db2.gz OPZNASXUUSWOSV-INIZCTEOSA-N 1 2 310.357 1.364 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2coc(C(F)F)c2)[C@H](OC)C1 ZINC001082070580 756624471 /nfs/dbraw/zinc/62/44/71/756624471.db2.gz KHAHTGVWHUMUHK-DGCLKSJQSA-N 1 2 312.316 1.670 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2coc(C(F)F)c2)[C@H](OC)C1 ZINC001082070580 756624474 /nfs/dbraw/zinc/62/44/74/756624474.db2.gz KHAHTGVWHUMUHK-DGCLKSJQSA-N 1 2 312.316 1.670 20 30 DDEDLO C=C(C)CCC(=O)N1CCCC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001082110363 756644426 /nfs/dbraw/zinc/64/44/26/756644426.db2.gz OSXQDSWVQGCITG-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)[C@H](OC)C1 ZINC001082347604 756741926 /nfs/dbraw/zinc/74/19/26/756741926.db2.gz LUBNPFRPAZVSAJ-HUUCEWRRSA-N 1 2 324.783 1.850 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)[C@H](OC)C1 ZINC001082347604 756741928 /nfs/dbraw/zinc/74/19/28/756741928.db2.gz LUBNPFRPAZVSAJ-HUUCEWRRSA-N 1 2 324.783 1.850 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2OC(C)C)[C@H](OC)C1 ZINC001082336728 756744182 /nfs/dbraw/zinc/74/41/82/756744182.db2.gz HEHMSJCWIRWWTE-NVXWUHKLSA-N 1 2 316.401 1.536 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2OC(C)C)[C@H](OC)C1 ZINC001082336728 756744187 /nfs/dbraw/zinc/74/41/87/756744187.db2.gz HEHMSJCWIRWWTE-NVXWUHKLSA-N 1 2 316.401 1.536 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001082451460 756792324 /nfs/dbraw/zinc/79/23/24/756792324.db2.gz BCSAOVAMUSTHST-HOSYDEDBSA-N 1 2 303.366 1.043 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC001082448107 756804700 /nfs/dbraw/zinc/80/47/00/756804700.db2.gz DMGIMEKMIYLQRV-QJPTWQEYSA-N 1 2 319.409 1.463 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001097257378 757024124 /nfs/dbraw/zinc/02/41/24/757024124.db2.gz KWEYCTSEFHHROG-TUKIKUTGSA-N 1 2 324.388 1.614 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001097257378 757024130 /nfs/dbraw/zinc/02/41/30/757024130.db2.gz KWEYCTSEFHHROG-TUKIKUTGSA-N 1 2 324.388 1.614 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3ccccc3)CCCC2)[C@@H](O)C1 ZINC001084030401 757235521 /nfs/dbraw/zinc/23/55/21/757235521.db2.gz PWKYPENPDNRKTP-SJORKVTESA-N 1 2 312.413 1.293 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3ccccc3)CCCC2)[C@@H](O)C1 ZINC001084030401 757235528 /nfs/dbraw/zinc/23/55/28/757235528.db2.gz PWKYPENPDNRKTP-SJORKVTESA-N 1 2 312.413 1.293 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001097632573 757296019 /nfs/dbraw/zinc/29/60/19/757296019.db2.gz DXFXVAVUVKNKPJ-GRTSSRMGSA-N 1 2 316.405 1.663 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2cnc(C(C)C)s2)[C@@H](O)C1 ZINC001084134691 757299823 /nfs/dbraw/zinc/29/98/23/757299823.db2.gz YFWUCAUIWMGKJW-NEPJUHHUSA-N 1 2 309.435 1.618 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2cnc(C(C)C)s2)[C@@H](O)C1 ZINC001084134691 757299829 /nfs/dbraw/zinc/29/98/29/757299829.db2.gz YFWUCAUIWMGKJW-NEPJUHHUSA-N 1 2 309.435 1.618 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)cn1 ZINC001084235471 757436767 /nfs/dbraw/zinc/43/67/67/757436767.db2.gz DJRIPLIDAGLQOA-BPLDGKMQSA-N 1 2 314.389 1.283 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)cn1 ZINC001084235471 757436771 /nfs/dbraw/zinc/43/67/71/757436771.db2.gz DJRIPLIDAGLQOA-BPLDGKMQSA-N 1 2 314.389 1.283 20 30 DDEDLO CCOC(=O)[C@H](CS)[NH2+][C@@H]1CCCN(C(=O)OCC)CC1 ZINC001169646429 762568262 /nfs/dbraw/zinc/56/82/62/762568262.db2.gz SRZQYIXKLQIEHV-NEPJUHHUSA-N 1 2 318.439 1.449 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C1CC1 ZINC001017588187 758054401 /nfs/dbraw/zinc/05/44/01/758054401.db2.gz DOLNSTPGZWVWTR-GASCZTMLSA-N 1 2 312.417 1.610 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C1CC1 ZINC001017588187 758054410 /nfs/dbraw/zinc/05/44/10/758054410.db2.gz DOLNSTPGZWVWTR-GASCZTMLSA-N 1 2 312.417 1.610 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)n(C(F)F)n1 ZINC001017588862 758054880 /nfs/dbraw/zinc/05/48/80/758054880.db2.gz PYJQWJBCGMWQDI-TXEJJXNPSA-N 1 2 308.332 1.509 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)n(C(F)F)n1 ZINC001017588862 758054886 /nfs/dbraw/zinc/05/48/86/758054886.db2.gz PYJQWJBCGMWQDI-TXEJJXNPSA-N 1 2 308.332 1.509 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2n1CCCCC2 ZINC001017652198 758120978 /nfs/dbraw/zinc/12/09/78/758120978.db2.gz YNAPCKLMYVKBAS-GASCZTMLSA-N 1 2 312.417 1.532 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2n1CCCCC2 ZINC001017652198 758120980 /nfs/dbraw/zinc/12/09/80/758120980.db2.gz YNAPCKLMYVKBAS-GASCZTMLSA-N 1 2 312.417 1.532 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)n2ccccc12 ZINC001017733999 758193331 /nfs/dbraw/zinc/19/33/31/758193331.db2.gz WMMADNDCNCYNHI-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)n2ccccc12 ZINC001017733999 758193338 /nfs/dbraw/zinc/19/33/38/758193338.db2.gz WMMADNDCNCYNHI-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2n1CCCC2 ZINC001017840266 758287393 /nfs/dbraw/zinc/28/73/93/758287393.db2.gz BJPJBFQYDYEXAQ-GASCZTMLSA-N 1 2 312.417 1.532 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2n1CCCC2 ZINC001017840266 758287398 /nfs/dbraw/zinc/28/73/98/758287398.db2.gz BJPJBFQYDYEXAQ-GASCZTMLSA-N 1 2 312.417 1.532 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CC[C@H](OC)C1)CCO2 ZINC001053318337 758370111 /nfs/dbraw/zinc/37/01/11/758370111.db2.gz IKSXPKARGZGPFU-CABCVRRESA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c[nH]nc1CCC)CCO2 ZINC001053325575 758376032 /nfs/dbraw/zinc/37/60/32/758376032.db2.gz VXGLVWFEZSWRLF-UHFFFAOYSA-N 1 2 318.421 1.465 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@H]1CC[C@@H](C)O1)CCO2 ZINC001053408605 758439830 /nfs/dbraw/zinc/43/98/30/758439830.db2.gz LMENYGHJJNHCPR-HUUCEWRRSA-N 1 2 308.422 1.433 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(OC(C)C)n1 ZINC001018123206 758564674 /nfs/dbraw/zinc/56/46/74/758564674.db2.gz UOSIDQLOTQAQHI-GASCZTMLSA-N 1 2 313.401 1.791 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(OC(C)C)n1 ZINC001018123206 758564678 /nfs/dbraw/zinc/56/46/78/758564678.db2.gz UOSIDQLOTQAQHI-GASCZTMLSA-N 1 2 313.401 1.791 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnns1)O2 ZINC001053574773 758584396 /nfs/dbraw/zinc/58/43/96/758584396.db2.gz JCSOCUGRRDUIJZ-NSHDSACASA-N 1 2 308.407 1.077 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccccc1O)O2 ZINC001053574618 758584626 /nfs/dbraw/zinc/58/46/26/758584626.db2.gz GDIHZPOBWWNJAW-CQSZACIVSA-N 1 2 316.401 1.932 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CCC1(F)F)O2 ZINC001053615666 758633632 /nfs/dbraw/zinc/63/36/32/758633632.db2.gz RCGNYVYOCYZZNA-NWDGAFQWSA-N 1 2 300.349 1.567 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1C[C@H]1c1ccco1)O2 ZINC001053666374 758678721 /nfs/dbraw/zinc/67/87/21/758678721.db2.gz CMHXPPIFNJXUEM-RRFJBIMHSA-N 1 2 316.401 1.919 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)nc1)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065722992 758725931 /nfs/dbraw/zinc/72/59/31/758725931.db2.gz KWRYWOXDOJCMNB-ZIAGYGMSSA-N 1 2 324.388 1.499 20 30 DDEDLO C[C@@H](Nc1ncccc1C#N)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065729438 758745134 /nfs/dbraw/zinc/74/51/34/758745134.db2.gz NJFDNUFHPFNQFG-OCCSQVGLSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccccc1O)CO2 ZINC001053740460 758754982 /nfs/dbraw/zinc/75/49/82/758754982.db2.gz LUYWBRKMMLKMGE-CYBMUJFWSA-N 1 2 302.374 1.541 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(=O)/C(C)=C/C)C2)CC1 ZINC001018368455 758763761 /nfs/dbraw/zinc/76/37/61/758763761.db2.gz ZUEQTWWIMGJZHV-HSUWOCHWSA-N 1 2 319.449 1.521 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)CC1=CCCCC1)CO2 ZINC001053756913 758774396 /nfs/dbraw/zinc/77/43/96/758774396.db2.gz IODPSPVSVIZCMV-INIZCTEOSA-N 1 2 302.418 1.860 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1[C@@H]3CCCC[C@@H]31)CO2 ZINC001053767321 758787182 /nfs/dbraw/zinc/78/71/82/758787182.db2.gz FZTMTQHKWDAOOK-CAOSSQGBSA-N 1 2 302.418 1.405 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cn1)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065780242 758794253 /nfs/dbraw/zinc/79/42/53/758794253.db2.gz QUNJVSBVWWZWNH-TZMCWYRMSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1CCC3(CC3)CC1)CO2 ZINC001053873216 758908022 /nfs/dbraw/zinc/90/80/22/758908022.db2.gz UQANBTBIUMTGHZ-INIZCTEOSA-N 1 2 316.445 1.940 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ncc(C)cc1C)CO2 ZINC001053876472 758911595 /nfs/dbraw/zinc/91/15/95/758911595.db2.gz DVJIOSXVMDLHJW-HNNXBMFYSA-N 1 2 315.417 1.848 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CCO[C@H]1CC)CO2 ZINC001053877281 758913409 /nfs/dbraw/zinc/91/34/09/758913409.db2.gz VWBVCGUHWQMHMK-ZNMIVQPWSA-N 1 2 308.422 1.337 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1Cc3ccccc31)CO2 ZINC001053911867 758946424 /nfs/dbraw/zinc/94/64/24/758946424.db2.gz OOAIKUMCHWQWFR-NVXWUHKLSA-N 1 2 310.397 1.309 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C3CC3)n[nH]1)CO2 ZINC001053935551 758971706 /nfs/dbraw/zinc/97/17/06/758971706.db2.gz RCHQBYCFYRQQEF-CYBMUJFWSA-N 1 2 316.405 1.436 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(OC)cc1)CO2 ZINC001053990631 759035695 /nfs/dbraw/zinc/03/56/95/759035695.db2.gz FRXPMGIOPPCDDR-OAHLLOKOSA-N 1 2 316.401 1.844 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1csc(C)n1)CO2 ZINC001054001514 759048432 /nfs/dbraw/zinc/04/84/32/759048432.db2.gz AXRJEPKZZRMGGK-CQSZACIVSA-N 1 2 321.446 1.530 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CCC2(C1)CCN(CC#N)CC2 ZINC001040786472 762680761 /nfs/dbraw/zinc/68/07/61/762680761.db2.gz FPUJYSZMILQCPQ-UHFFFAOYSA-N 1 2 315.421 1.191 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnccn1 ZINC001054031849 759085284 /nfs/dbraw/zinc/08/52/84/759085284.db2.gz MJCSQKFTVYCRER-KRWDZBQOSA-N 1 2 320.396 1.657 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnccn1 ZINC001054031849 759085294 /nfs/dbraw/zinc/08/52/94/759085294.db2.gz MJCSQKFTVYCRER-KRWDZBQOSA-N 1 2 320.396 1.657 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1n[nH]c(C)c1C ZINC001054035532 759092026 /nfs/dbraw/zinc/09/20/26/759092026.db2.gz PKSRXPHCENBXRS-QGZVFWFLSA-N 1 2 322.412 1.816 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1n[nH]c(C)c1C ZINC001054035532 759092031 /nfs/dbraw/zinc/09/20/31/759092031.db2.gz PKSRXPHCENBXRS-QGZVFWFLSA-N 1 2 322.412 1.816 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cn(C)cn1 ZINC001054036880 759093581 /nfs/dbraw/zinc/09/35/81/759093581.db2.gz SXKDUMMVDLRXBO-QGZVFWFLSA-N 1 2 322.412 1.600 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cn(C)cn1 ZINC001054036880 759093587 /nfs/dbraw/zinc/09/35/87/759093587.db2.gz SXKDUMMVDLRXBO-QGZVFWFLSA-N 1 2 322.412 1.600 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cccnn1 ZINC001054057282 759111112 /nfs/dbraw/zinc/11/11/12/759111112.db2.gz HNQYEKJDYLCHMJ-QGZVFWFLSA-N 1 2 320.396 1.657 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cccnn1 ZINC001054057282 759111116 /nfs/dbraw/zinc/11/11/16/759111116.db2.gz HNQYEKJDYLCHMJ-QGZVFWFLSA-N 1 2 320.396 1.657 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@]2(CC[N@H+](Cc3nncs3)C2)C1 ZINC001054101669 759165047 /nfs/dbraw/zinc/16/50/47/759165047.db2.gz CDKPOANGSJTAMV-SWLSCSKDSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@]2(CC[N@@H+](Cc3nncs3)C2)C1 ZINC001054101669 759165051 /nfs/dbraw/zinc/16/50/51/759165051.db2.gz CDKPOANGSJTAMV-SWLSCSKDSA-N 1 2 319.434 1.512 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nn2c(c1C)OCCC2 ZINC001085630755 759886010 /nfs/dbraw/zinc/88/60/10/759886010.db2.gz CYQRVJIYTBMRAL-AWEZNQCLSA-N 1 2 316.405 1.144 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nn2c(c1C)OCCC2 ZINC001085630755 759886020 /nfs/dbraw/zinc/88/60/20/759886020.db2.gz CYQRVJIYTBMRAL-AWEZNQCLSA-N 1 2 316.405 1.144 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@H](Nc3cc[nH+]c(C)n3)C[C@@H]2C)c1 ZINC001069199436 767910790 /nfs/dbraw/zinc/91/07/90/767910790.db2.gz FZNVVCUBCJSWSE-BLLLJJGKSA-N 1 2 321.384 1.876 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc2ccccc2c1O ZINC001085691670 760038868 /nfs/dbraw/zinc/03/88/68/760038868.db2.gz MUNQWIODHRXSOY-ZDUSSCGKSA-N 1 2 309.369 1.308 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc2ccccc2c1O ZINC001085691670 760038880 /nfs/dbraw/zinc/03/88/80/760038880.db2.gz MUNQWIODHRXSOY-ZDUSSCGKSA-N 1 2 309.369 1.308 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001019708835 760193166 /nfs/dbraw/zinc/19/31/66/760193166.db2.gz VBEFOOCSQYVFAZ-INIZCTEOSA-N 1 2 324.384 1.293 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(C(C)(F)F)CC2)C1 ZINC001108220214 760481991 /nfs/dbraw/zinc/48/19/91/760481991.db2.gz PCTMEYGIPCVLIL-ZDUSSCGKSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(C(C)(F)F)CC2)C1 ZINC001108220214 760481992 /nfs/dbraw/zinc/48/19/92/760481992.db2.gz PCTMEYGIPCVLIL-ZDUSSCGKSA-N 1 2 302.365 1.815 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)nc1OCC ZINC001085898593 760488324 /nfs/dbraw/zinc/48/83/24/760488324.db2.gz JAQZPYRTLBYBCH-AWEZNQCLSA-N 1 2 301.390 1.568 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)nc1OCC ZINC001085898593 760488328 /nfs/dbraw/zinc/48/83/28/760488328.db2.gz JAQZPYRTLBYBCH-AWEZNQCLSA-N 1 2 301.390 1.568 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(CC)c1C1CC1 ZINC001085915733 760531816 /nfs/dbraw/zinc/53/18/16/760531816.db2.gz JHIPXZOVJIJOSJ-AWEZNQCLSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(CC)c1C1CC1 ZINC001085915733 760531822 /nfs/dbraw/zinc/53/18/22/760531822.db2.gz JHIPXZOVJIJOSJ-AWEZNQCLSA-N 1 2 300.406 1.560 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cnn(C)c2)nc1 ZINC001085930050 760556317 /nfs/dbraw/zinc/55/63/17/760556317.db2.gz MPLYGHHHEUQJOP-INIZCTEOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cnn(C)c2)nc1 ZINC001085930050 760556323 /nfs/dbraw/zinc/55/63/23/760556323.db2.gz MPLYGHHHEUQJOP-INIZCTEOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2ncon2)cc1 ZINC001085931670 760560504 /nfs/dbraw/zinc/56/05/04/760560504.db2.gz FKNDMOYEAROTKU-HNNXBMFYSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2ncon2)cc1 ZINC001085931670 760560508 /nfs/dbraw/zinc/56/05/08/760560508.db2.gz FKNDMOYEAROTKU-HNNXBMFYSA-N 1 2 310.357 1.516 20 30 DDEDLO Cc1cncc(C[N@@H+]2CC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)c1 ZINC001038173642 760887746 /nfs/dbraw/zinc/88/77/46/760887746.db2.gz BPHPRPGQFTXWDI-MRXNPFEDSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cncc(C[N@H+]2CC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)c1 ZINC001038173642 760887762 /nfs/dbraw/zinc/88/77/62/760887762.db2.gz BPHPRPGQFTXWDI-MRXNPFEDSA-N 1 2 309.373 1.594 20 30 DDEDLO N#Cc1cnccc1N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC001056069154 760947309 /nfs/dbraw/zinc/94/73/09/760947309.db2.gz DHJMOPHSOQZBLP-ZIAGYGMSSA-N 1 2 322.372 1.129 20 30 DDEDLO N#Cc1cnccc1N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CC1 ZINC001056069154 760947316 /nfs/dbraw/zinc/94/73/16/760947316.db2.gz DHJMOPHSOQZBLP-ZIAGYGMSSA-N 1 2 322.372 1.129 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ccncc1C#N ZINC001069384173 768004444 /nfs/dbraw/zinc/00/44/44/768004444.db2.gz TZGVTRPHZQSUMK-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](F)c2ccccc2)C1 ZINC001108248279 761089579 /nfs/dbraw/zinc/08/95/79/761089579.db2.gz GHCZMTJWZZYNRF-WMZOPIPTSA-N 1 2 318.392 1.928 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](F)c2ccccc2)C1 ZINC001108248279 761089589 /nfs/dbraw/zinc/08/95/89/761089589.db2.gz GHCZMTJWZZYNRF-WMZOPIPTSA-N 1 2 318.392 1.928 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)nn1C(CC)CC ZINC001038430391 761110363 /nfs/dbraw/zinc/11/03/63/761110363.db2.gz CYEUUNUCEUPTEQ-OAHLLOKOSA-N 1 2 302.422 1.990 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)nn1C(CC)CC ZINC001038430391 761110368 /nfs/dbraw/zinc/11/03/68/761110368.db2.gz CYEUUNUCEUPTEQ-OAHLLOKOSA-N 1 2 302.422 1.990 20 30 DDEDLO CC#CCN1CCO[C@](C)(CNC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001108249619 761126723 /nfs/dbraw/zinc/12/67/23/761126723.db2.gz INHGTJTZYMAMCR-NVXWUHKLSA-N 1 2 318.421 1.065 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn2cccc(Cl)c12 ZINC001038490284 761156810 /nfs/dbraw/zinc/15/68/10/761156810.db2.gz RLXLQCKDBNDHNL-LBPRGKRZSA-N 1 2 316.792 1.815 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn2cccc(Cl)c12 ZINC001038490284 761156814 /nfs/dbraw/zinc/15/68/14/761156814.db2.gz RLXLQCKDBNDHNL-LBPRGKRZSA-N 1 2 316.792 1.815 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccc(C)cc2)[C@@H](O)C1 ZINC001090407562 761173332 /nfs/dbraw/zinc/17/33/32/761173332.db2.gz OTPZVTYYSNDKQE-HOTGVXAUSA-N 1 2 322.836 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccc(C)cc2)[C@@H](O)C1 ZINC001090407562 761173337 /nfs/dbraw/zinc/17/33/37/761173337.db2.gz OTPZVTYYSNDKQE-HOTGVXAUSA-N 1 2 322.836 1.841 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnc(N(C)C)c2)C1 ZINC001108256031 761213508 /nfs/dbraw/zinc/21/35/08/761213508.db2.gz QBODFHGJZUFDQP-KRWDZBQOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnc(N(C)C)c2)C1 ZINC001108256031 761213511 /nfs/dbraw/zinc/21/35/11/761213511.db2.gz QBODFHGJZUFDQP-KRWDZBQOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1c[nH+]c[nH]1 ZINC001056573230 761240003 /nfs/dbraw/zinc/24/00/03/761240003.db2.gz TXSSRDSKWXSOFV-HUUCEWRRSA-N 1 2 316.405 1.510 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@@H](Nc2ccc(C#N)nc2)C1)n1cc[nH+]c1 ZINC001056792826 761433667 /nfs/dbraw/zinc/43/36/67/761433667.db2.gz IQOBYRZSUPGBNN-XJKSGUPXSA-N 1 2 324.388 1.814 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001069511018 768040500 /nfs/dbraw/zinc/04/05/00/768040500.db2.gz SKTOYGSKDARRDH-TZMCWYRMSA-N 1 2 324.388 1.616 20 30 DDEDLO N#CCN1CCC(C2(NC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)CC2)CC1 ZINC001000182940 761559746 /nfs/dbraw/zinc/55/97/46/761559746.db2.gz YNBMEOIMACHGBG-ZIAGYGMSSA-N 1 2 313.405 1.398 20 30 DDEDLO N#CCN1CCC(C2(NC(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)CC2)CC1 ZINC001000182940 761559750 /nfs/dbraw/zinc/55/97/50/761559750.db2.gz YNBMEOIMACHGBG-ZIAGYGMSSA-N 1 2 313.405 1.398 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2c(C)noc2C)cn1 ZINC001038932803 761562859 /nfs/dbraw/zinc/56/28/59/761562859.db2.gz RGCVDPYAXKDDNJ-INIZCTEOSA-N 1 2 324.384 1.672 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2c(C)noc2C)cn1 ZINC001038932803 761562864 /nfs/dbraw/zinc/56/28/64/761562864.db2.gz RGCVDPYAXKDDNJ-INIZCTEOSA-N 1 2 324.384 1.672 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(COC)c(F)c1 ZINC001038967403 761601196 /nfs/dbraw/zinc/60/11/96/761601196.db2.gz ZZYRWXMVDCMQJF-HNNXBMFYSA-N 1 2 304.365 1.800 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(COC)c(F)c1 ZINC001038967403 761601202 /nfs/dbraw/zinc/60/12/02/761601202.db2.gz ZZYRWXMVDCMQJF-HNNXBMFYSA-N 1 2 304.365 1.800 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(CC(C)C)c1C ZINC001038970731 761604572 /nfs/dbraw/zinc/60/45/72/761604572.db2.gz LMRONWJGSLXBSB-OAHLLOKOSA-N 1 2 302.422 1.675 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(CC(C)C)c1C ZINC001038970731 761604574 /nfs/dbraw/zinc/60/45/74/761604574.db2.gz LMRONWJGSLXBSB-OAHLLOKOSA-N 1 2 302.422 1.675 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1Cc2cc(C)c(C)cc2O1 ZINC001039045029 761685645 /nfs/dbraw/zinc/68/56/45/761685645.db2.gz CMRNCBBSQQGYOS-AEFFLSMTSA-N 1 2 312.413 1.821 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1Cc2cc(C)c(C)cc2O1 ZINC001039045029 761685650 /nfs/dbraw/zinc/68/56/50/761685650.db2.gz CMRNCBBSQQGYOS-AEFFLSMTSA-N 1 2 312.413 1.821 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001066561366 761798106 /nfs/dbraw/zinc/79/81/06/761798106.db2.gz JYXJZGGIGBCYNR-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@]2(CC[N@H+](Cc3nncs3)C2)C1 ZINC001041136985 762831840 /nfs/dbraw/zinc/83/18/40/762831840.db2.gz QDMVUBMTXVVLQK-FZMZJTMJSA-N 1 2 305.407 1.122 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@]2(CC[N@@H+](Cc3nncs3)C2)C1 ZINC001041136985 762831844 /nfs/dbraw/zinc/83/18/44/762831844.db2.gz QDMVUBMTXVVLQK-FZMZJTMJSA-N 1 2 305.407 1.122 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)s1 ZINC001041137426 762832152 /nfs/dbraw/zinc/83/21/52/762832152.db2.gz SOSGHKJESAWIAS-XHDPSFHLSA-N 1 2 319.434 1.431 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)s1 ZINC001041137426 762832158 /nfs/dbraw/zinc/83/21/58/762832158.db2.gz SOSGHKJESAWIAS-XHDPSFHLSA-N 1 2 319.434 1.431 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108656321 762836808 /nfs/dbraw/zinc/83/68/08/762836808.db2.gz HFFFYSTZPOPPNY-NEPJUHHUSA-N 1 2 320.441 1.765 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C)CNc1ccc(C#N)nc1 ZINC001108741164 762899784 /nfs/dbraw/zinc/89/97/84/762899784.db2.gz NSNKUWFSASOJFL-NEPJUHHUSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C)CNc1ccc(C#N)nc1 ZINC001108741164 762899790 /nfs/dbraw/zinc/89/97/90/762899790.db2.gz NSNKUWFSASOJFL-NEPJUHHUSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001050000572 763003639 /nfs/dbraw/zinc/00/36/39/763003639.db2.gz ZGLQGLOTYZCBNX-GMXVVIOVSA-N 1 2 305.407 1.121 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001050000572 763003646 /nfs/dbraw/zinc/00/36/46/763003646.db2.gz ZGLQGLOTYZCBNX-GMXVVIOVSA-N 1 2 305.407 1.121 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001108855508 763013747 /nfs/dbraw/zinc/01/37/47/763013747.db2.gz SFFOKRCKJRURGH-CHWSQXEVSA-N 1 2 306.410 1.707 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CCC2(C)CC2)CC1 ZINC001131390517 768108896 /nfs/dbraw/zinc/10/88/96/768108896.db2.gz JZMXDXAJKYMTBY-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CCC2(C)CC2)CC1 ZINC001131390517 768108904 /nfs/dbraw/zinc/10/89/04/768108904.db2.gz JZMXDXAJKYMTBY-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC(=O)NCC)C2 ZINC001109061688 763274892 /nfs/dbraw/zinc/27/48/92/763274892.db2.gz PZXDSGUXESVZSB-AGIUHOORSA-N 1 2 313.829 1.377 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC(=O)NCC)C2 ZINC001109061688 763274897 /nfs/dbraw/zinc/27/48/97/763274897.db2.gz PZXDSGUXESVZSB-AGIUHOORSA-N 1 2 313.829 1.377 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@@H+](Cc3cn(C)nn3)[C@@H]2C1 ZINC001042184840 763750720 /nfs/dbraw/zinc/75/07/20/763750720.db2.gz ZUVWVOLGNZSKTB-DZGCQCFKSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@H+](Cc3cn(C)nn3)[C@@H]2C1 ZINC001042184840 763750725 /nfs/dbraw/zinc/75/07/25/763750725.db2.gz ZUVWVOLGNZSKTB-DZGCQCFKSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3ncoc3C)c2C1 ZINC001069854660 768184517 /nfs/dbraw/zinc/18/45/17/768184517.db2.gz RZWQIMAOYGIMTD-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3ncoc3C)c2C1 ZINC001069854660 768184519 /nfs/dbraw/zinc/18/45/19/768184519.db2.gz RZWQIMAOYGIMTD-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C[C@H](F)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001050872234 764213585 /nfs/dbraw/zinc/21/35/85/764213585.db2.gz MDBRWHVFELZVRT-AAEUAGOBSA-N 1 2 308.357 1.065 20 30 DDEDLO C[C@H](F)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001050872234 764213592 /nfs/dbraw/zinc/21/35/92/764213592.db2.gz MDBRWHVFELZVRT-AAEUAGOBSA-N 1 2 308.357 1.065 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnnc(C)c1 ZINC001050892797 764243439 /nfs/dbraw/zinc/24/34/39/764243439.db2.gz IYPPYARDTWRXOM-OAHLLOKOSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnnc(C)c1 ZINC001050892797 764243442 /nfs/dbraw/zinc/24/34/42/764243442.db2.gz IYPPYARDTWRXOM-OAHLLOKOSA-N 1 2 304.394 1.182 20 30 DDEDLO Cc1nc(NC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C2CC2)ccc1C#N ZINC001109991989 764270245 /nfs/dbraw/zinc/27/02/45/764270245.db2.gz WUVSUSVRIKFBSK-OAHLLOKOSA-N 1 2 324.388 1.534 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(C2CCC2)c1 ZINC001050919635 764280567 /nfs/dbraw/zinc/28/05/67/764280567.db2.gz OLYLQWVOKMYDKH-MRXNPFEDSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(C2CCC2)c1 ZINC001050919635 764280575 /nfs/dbraw/zinc/28/05/75/764280575.db2.gz OLYLQWVOKMYDKH-MRXNPFEDSA-N 1 2 318.421 1.615 20 30 DDEDLO CN(C(=O)c1c[nH]c(C#N)c1)C1C[NH+](CCOc2ccccc2)C1 ZINC001042630379 764292851 /nfs/dbraw/zinc/29/28/51/764292851.db2.gz IBUYMYNYLNPIHF-UHFFFAOYSA-N 1 2 324.384 1.722 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncc(CC)c2)[C@H](O)C1 ZINC001090436283 764347644 /nfs/dbraw/zinc/34/76/44/764347644.db2.gz PIAYTYDRAVRRGH-LSDHHAIUSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncc(CC)c2)[C@H](O)C1 ZINC001090436283 764347650 /nfs/dbraw/zinc/34/76/50/764347650.db2.gz PIAYTYDRAVRRGH-LSDHHAIUSA-N 1 2 323.824 1.562 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn([C@@H](C)COC)nc2C)C1 ZINC001042723249 764388888 /nfs/dbraw/zinc/38/88/88/764388888.db2.gz SOQBJNKHNNMTFH-ZDUSSCGKSA-N 1 2 318.421 1.178 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(C)c1CC ZINC001051002034 764405722 /nfs/dbraw/zinc/40/57/22/764405722.db2.gz ZPBYEAQHWLQDEF-CQSZACIVSA-N 1 2 320.437 1.379 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(C)c1CC ZINC001051002034 764405727 /nfs/dbraw/zinc/40/57/27/764405727.db2.gz ZPBYEAQHWLQDEF-CQSZACIVSA-N 1 2 320.437 1.379 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H]2CCC[C@]2(CNCC#N)C1 ZINC001112570389 764411488 /nfs/dbraw/zinc/41/14/88/764411488.db2.gz USHSWUDBVWXDCB-BBRMVZONSA-N 1 2 301.394 1.003 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc3[nH]c(=O)[nH]c32)C1 ZINC001042953494 764549084 /nfs/dbraw/zinc/54/90/84/764549084.db2.gz OWHFEWCLDLKNGT-UHFFFAOYSA-N 1 2 300.362 1.601 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001051260531 764684493 /nfs/dbraw/zinc/68/44/93/764684493.db2.gz PMIGJTZIDRILKQ-KBPBESRZSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001051329648 764752213 /nfs/dbraw/zinc/75/22/13/764752213.db2.gz SQIYIPBYNMKRNU-ZIAGYGMSSA-N 1 2 318.421 1.449 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2cccc(CC)c2)CC1 ZINC001112844687 764857889 /nfs/dbraw/zinc/85/78/89/764857889.db2.gz BIPIRJVPYGAEIY-MRXNPFEDSA-N 1 2 314.429 1.932 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+](C[C@H](O)CC(F)(F)F)CC1 ZINC001112902314 764942097 /nfs/dbraw/zinc/94/20/97/764942097.db2.gz LENGMLSFUVXWGK-GFCCVEGCSA-N 1 2 308.344 1.800 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)Cc2cc(C3CC3)no2)CC1 ZINC001112958715 765057301 /nfs/dbraw/zinc/05/73/01/765057301.db2.gz IAOBJISBMYVCHB-UHFFFAOYSA-N 1 2 319.405 1.441 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cncc(COC)c2)CC1 ZINC001112974770 765074726 /nfs/dbraw/zinc/07/47/26/765074726.db2.gz LOAXQWJJQWNRAS-UHFFFAOYSA-N 1 2 319.405 1.188 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1ccncc1C#N ZINC001112993580 765103326 /nfs/dbraw/zinc/10/33/26/765103326.db2.gz JYEGYOLPFIEESM-NWDGAFQWSA-N 1 2 312.377 1.036 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(OC)nc2)CC1 ZINC001113081021 765231780 /nfs/dbraw/zinc/23/17/80/765231780.db2.gz HQUCADJVXUDJNE-UHFFFAOYSA-N 1 2 305.378 1.051 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccoc3C)C2)CC1 ZINC001051976846 765338945 /nfs/dbraw/zinc/33/89/45/765338945.db2.gz BCMKBNGKICQGLS-HNNXBMFYSA-N 1 2 303.406 1.606 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cccc(C)n3)C2)CC1 ZINC001051982514 765346254 /nfs/dbraw/zinc/34/62/54/765346254.db2.gz GFPSEFFMVOHGPR-INIZCTEOSA-N 1 2 314.433 1.408 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccc(Cl)o3)C2)CC1 ZINC001051984925 765348565 /nfs/dbraw/zinc/34/85/65/765348565.db2.gz PSVFNVKIEOVWLD-CYBMUJFWSA-N 1 2 321.808 1.398 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc(Cl)o3)C2)CC1 ZINC001051984925 765348579 /nfs/dbraw/zinc/34/85/79/765348579.db2.gz PSVFNVKIEOVWLD-CYBMUJFWSA-N 1 2 321.808 1.398 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccnc(OC(C)C)c2)C1 ZINC001044177569 765368181 /nfs/dbraw/zinc/36/81/81/765368181.db2.gz VAVZRAWTZPMZGZ-UHFFFAOYSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)Cc3ccoc3)C2)CC1 ZINC001052016775 765388852 /nfs/dbraw/zinc/38/88/52/765388852.db2.gz ZCILLEWWOFBEDI-QGZVFWFLSA-N 1 2 315.417 1.064 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3coc(C)c3)C2)CC1 ZINC001052018503 765390221 /nfs/dbraw/zinc/39/02/21/765390221.db2.gz KWAUMFLDBSJTJW-INIZCTEOSA-N 1 2 301.390 1.053 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3[nH]ccc3C)C2)CC1 ZINC001052022210 765396306 /nfs/dbraw/zinc/39/63/06/765396306.db2.gz JSMKSMZKADDUHR-MRXNPFEDSA-N 1 2 314.433 1.179 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(OC)cc2OC)C1 ZINC001044227872 765410636 /nfs/dbraw/zinc/41/06/36/765410636.db2.gz ZRWYHTUTRLFJPS-UHFFFAOYSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn3c2C[C@H](C)CC3)C1 ZINC001044242487 765419773 /nfs/dbraw/zinc/41/97/73/765419773.db2.gz YNVMAXLIWREEOB-CYBMUJFWSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3CCC3(C)C)C2)CC1 ZINC001052064324 765437379 /nfs/dbraw/zinc/43/73/79/765437379.db2.gz DDGKFZCGERDCQX-IAGOWNOFSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC[NH+](CCCc2nc(C)no2)CC1 ZINC001113229155 765440894 /nfs/dbraw/zinc/44/08/94/765440894.db2.gz DOPONWLTWUYBRG-ZIAGYGMSSA-N 1 2 320.437 1.913 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(C)CC=CC3)C2)CC1 ZINC001052074787 765446507 /nfs/dbraw/zinc/44/65/07/765446507.db2.gz LEPWMVSLDGNMCL-MRXNPFEDSA-N 1 2 301.434 1.194 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H](C)c3ccco3)C2)CC1 ZINC001052082705 765456519 /nfs/dbraw/zinc/45/65/19/765456519.db2.gz VDSTYHUCOLMTJK-HZPDHXFCSA-N 1 2 315.417 1.235 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3C[C@H]3CC)C2)CC1 ZINC001052087861 765459538 /nfs/dbraw/zinc/45/95/38/765459538.db2.gz DVOCZVNCKQIVBK-IXDOHACOSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3CC34CCC4)C2)CC1 ZINC001052091542 765463035 /nfs/dbraw/zinc/46/30/35/765463035.db2.gz GLLVDCSEIHPPED-IAGOWNOFSA-N 1 2 315.461 1.418 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)c3c[nH]cc3C)C2)CC1 ZINC001052117722 765482048 /nfs/dbraw/zinc/48/20/48/765482048.db2.gz YBRWMUOKCBYZEZ-MRXNPFEDSA-N 1 2 316.449 1.731 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)C1CN(Cc2ccc(C#N)cc2)C1 ZINC001044364993 765510707 /nfs/dbraw/zinc/51/07/07/765510707.db2.gz HIBBLNMDVQDZMN-UHFFFAOYSA-N 1 2 309.373 1.098 20 30 DDEDLO C=CCC[C@@H](C)[NH+]1CCN(C(=O)[C@@H]2CCc3[nH]nnc3C2)CC1 ZINC001113532997 765831863 /nfs/dbraw/zinc/83/18/63/765831863.db2.gz OFOZBIFBVUXYJJ-ZIAGYGMSSA-N 1 2 317.437 1.409 20 30 DDEDLO C=CCC[C@@H](C)[NH+]1CCN(C(=O)[C@@H]2CCc3nn[nH]c3C2)CC1 ZINC001113532997 765831867 /nfs/dbraw/zinc/83/18/67/765831867.db2.gz OFOZBIFBVUXYJJ-ZIAGYGMSSA-N 1 2 317.437 1.409 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCOCC(C)C)CC2)cn1 ZINC001113557789 765861999 /nfs/dbraw/zinc/86/19/99/765861999.db2.gz OUJWSIJNJPSWBM-UHFFFAOYSA-N 1 2 315.417 1.493 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113724909 766065930 /nfs/dbraw/zinc/06/59/30/766065930.db2.gz KFVVMGRZVTWOGS-SMDDNHRTSA-N 1 2 318.421 1.381 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@@H](C)NC(=O)C2CCCC2)CC1 ZINC001113733249 766072705 /nfs/dbraw/zinc/07/27/05/766072705.db2.gz VTKGVPBFYZUQCM-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO CCOC(=O)[C@H](C#N)Nc1ccc(C)cc1C[NH+]1CCOCC1 ZINC001170370743 766270068 /nfs/dbraw/zinc/27/00/68/766270068.db2.gz JQAQEQYSTXRWSV-INIZCTEOSA-N 1 2 317.389 1.694 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H](C)N(C)c2cc[nH+]c(C)n2)c1 ZINC001113865417 766323960 /nfs/dbraw/zinc/32/39/60/766323960.db2.gz PGUVATCQLCHBMM-LBPRGKRZSA-N 1 2 309.373 1.416 20 30 DDEDLO Cc1cc(N2CCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)c(C#N)cn1 ZINC001058247285 766324259 /nfs/dbraw/zinc/32/42/59/766324259.db2.gz NYVJDYYZNBCPAR-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@H]4CC[C@@H](C3)N4CC#N)ccn12 ZINC001045780531 766579836 /nfs/dbraw/zinc/57/98/36/766579836.db2.gz RKXHJVJUOYJZSI-CVEARBPZSA-N 1 2 323.400 1.845 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccc(C#N)nc1 ZINC001067549718 766609682 /nfs/dbraw/zinc/60/96/82/766609682.db2.gz JYWNFSIKOCKUGV-STQMWFEESA-N 1 2 324.388 1.393 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cn(C)nn1 ZINC001045827064 766619168 /nfs/dbraw/zinc/61/91/68/766619168.db2.gz HWPWHGLUDPIYCV-CABCVRRESA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cn(C)nn1 ZINC001045827064 766619172 /nfs/dbraw/zinc/61/91/72/766619172.db2.gz HWPWHGLUDPIYCV-CABCVRRESA-N 1 2 317.437 1.593 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)c3ccccc3F)CC2)C1 ZINC001045998169 766782890 /nfs/dbraw/zinc/78/28/90/766782890.db2.gz ZUTWRXFWRKIRPF-CQSZACIVSA-N 1 2 316.380 1.181 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc4n(n3)CCC4)C[C@H]21 ZINC001114246960 766813771 /nfs/dbraw/zinc/81/37/71/766813771.db2.gz BOWDDHQCTPHHGX-VQFNDLOPSA-N 1 2 312.417 1.035 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc4n(n3)CCC4)C[C@H]21 ZINC001114246960 766813778 /nfs/dbraw/zinc/81/37/78/766813778.db2.gz BOWDDHQCTPHHGX-VQFNDLOPSA-N 1 2 312.417 1.035 20 30 DDEDLO C[C@H]1CCN(c2ccc(C#N)nc2)C[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067966601 766843572 /nfs/dbraw/zinc/84/35/72/766843572.db2.gz DCKFJNPILPRTFU-SWLSCSKDSA-N 1 2 324.388 1.250 20 30 DDEDLO CC1(C)CN(c2ncccc2C#N)C[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001068115383 766927437 /nfs/dbraw/zinc/92/74/37/766927437.db2.gz IXXNEGUABMJWHP-AWEZNQCLSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)CC1CC1 ZINC001121609887 782591718 /nfs/dbraw/zinc/59/17/18/782591718.db2.gz PGDZYOIWPLKQOB-ZIAGYGMSSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)CC1CC1 ZINC001121609887 782591724 /nfs/dbraw/zinc/59/17/24/782591724.db2.gz PGDZYOIWPLKQOB-ZIAGYGMSSA-N 1 2 305.426 1.438 20 30 DDEDLO C[C@@H]1C[C@@H](CNc2ccc(C#N)nc2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068376449 767220714 /nfs/dbraw/zinc/22/07/14/767220714.db2.gz UYECGFVNLLGBOY-OLZOCXBDSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[NH2+]Cc1ncccn1 ZINC001130374571 767473028 /nfs/dbraw/zinc/47/30/28/767473028.db2.gz WPSCPOSADNIFHK-UHFFFAOYSA-N 1 2 312.373 1.561 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cc(OC)n(C)n2)C1 ZINC001046472799 767607568 /nfs/dbraw/zinc/60/75/68/767607568.db2.gz MMUDXCRAUUFPEB-CQSZACIVSA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc(OC)n(C)n2)C1 ZINC001046472799 767607573 /nfs/dbraw/zinc/60/75/73/767607573.db2.gz MMUDXCRAUUFPEB-CQSZACIVSA-N 1 2 312.801 1.375 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001068866031 767669501 /nfs/dbraw/zinc/66/95/01/767669501.db2.gz XLVHHXCSMTUIBP-IUODEOHRSA-N 1 2 310.361 1.251 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2nccn3ccnc23)C1 ZINC001046630989 767755051 /nfs/dbraw/zinc/75/50/51/767755051.db2.gz BJDFSXUJMOIQPO-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2nccn3ccnc23)C1 ZINC001046630989 767755057 /nfs/dbraw/zinc/75/50/57/767755057.db2.gz BJDFSXUJMOIQPO-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2cnc(C)s2)CC[C@@H]1C ZINC001131861126 768418135 /nfs/dbraw/zinc/41/81/35/768418135.db2.gz GVWGKZHHKGXHDP-GXTWGEPZSA-N 1 2 321.446 1.684 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2cnc(C)s2)CC[C@@H]1C ZINC001131861126 768418142 /nfs/dbraw/zinc/41/81/42/768418142.db2.gz GVWGKZHHKGXHDP-GXTWGEPZSA-N 1 2 321.446 1.684 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC001047385434 768439751 /nfs/dbraw/zinc/43/97/51/768439751.db2.gz LYLGKOKKOZTUPB-HOTGVXAUSA-N 1 2 315.417 1.129 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC001047385434 768439754 /nfs/dbraw/zinc/43/97/54/768439754.db2.gz LYLGKOKKOZTUPB-HOTGVXAUSA-N 1 2 315.417 1.129 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cc(OC)no2)C1 ZINC001131946746 768504863 /nfs/dbraw/zinc/50/48/63/768504863.db2.gz MLPQDSYACBVCLD-OLZOCXBDSA-N 1 2 323.393 1.355 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cc(OC)no2)C1 ZINC001131946746 768504867 /nfs/dbraw/zinc/50/48/67/768504867.db2.gz MLPQDSYACBVCLD-OLZOCXBDSA-N 1 2 323.393 1.355 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3ncccc3s2)C1 ZINC001047483778 768510481 /nfs/dbraw/zinc/51/04/81/768510481.db2.gz SKSDXXUMHYUMQT-STQMWFEESA-N 1 2 315.398 1.047 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3ncccc3s2)C1 ZINC001047483778 768510484 /nfs/dbraw/zinc/51/04/84/768510484.db2.gz SKSDXXUMHYUMQT-STQMWFEESA-N 1 2 315.398 1.047 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(F)cc(Cl)c2)C1 ZINC001047541893 768555250 /nfs/dbraw/zinc/55/52/50/768555250.db2.gz IWXJWLCNJSUBQP-KBPBESRZSA-N 1 2 310.756 1.229 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(F)cc(Cl)c2)C1 ZINC001047541893 768555256 /nfs/dbraw/zinc/55/52/56/768555256.db2.gz IWXJWLCNJSUBQP-KBPBESRZSA-N 1 2 310.756 1.229 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(Cl)cs2)C1 ZINC001047553311 768564997 /nfs/dbraw/zinc/56/49/97/768564997.db2.gz ZBMMVWWMTRFDOH-RYUDHWBXSA-N 1 2 312.822 1.542 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(Cl)cs2)C1 ZINC001047553311 768565001 /nfs/dbraw/zinc/56/50/01/768565001.db2.gz ZBMMVWWMTRFDOH-RYUDHWBXSA-N 1 2 312.822 1.542 20 30 DDEDLO CCC(C)(C)CC(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132055115 768592904 /nfs/dbraw/zinc/59/29/04/768592904.db2.gz XLQTVTYNHUSPTL-KGLIPLIRSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(C)(C)CC(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132055115 768592908 /nfs/dbraw/zinc/59/29/08/768592908.db2.gz XLQTVTYNHUSPTL-KGLIPLIRSA-N 1 2 322.453 1.422 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)C2CCCC2)C[C@H]1NCC#N ZINC001070612640 768617340 /nfs/dbraw/zinc/61/73/40/768617340.db2.gz VIJAUSSQXXPHMN-ZIAGYGMSSA-N 1 2 301.394 1.018 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)[C@@H]2CCC2(C)C)C[C@H]1NCC#N ZINC001070679708 768666037 /nfs/dbraw/zinc/66/60/37/768666037.db2.gz KJOHOWAWEPFKCL-HZSPNIEDSA-N 1 2 315.421 1.264 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001070794555 768734007 /nfs/dbraw/zinc/73/40/07/768734007.db2.gz RLMJFLNWTHBDQN-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001070825061 768745701 /nfs/dbraw/zinc/74/57/01/768745701.db2.gz POZLVRMGMJYURW-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2nccs2)CC[C@H]1C ZINC001132373068 768791905 /nfs/dbraw/zinc/79/19/05/768791905.db2.gz XZPHOAVSCDCKIJ-OLZOCXBDSA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2nccs2)CC[C@H]1C ZINC001132373068 768791908 /nfs/dbraw/zinc/79/19/08/768791908.db2.gz XZPHOAVSCDCKIJ-OLZOCXBDSA-N 1 2 307.419 1.376 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CC2(C)CCCC2)CC1 ZINC001070961001 768814478 /nfs/dbraw/zinc/81/44/78/768814478.db2.gz HIWNDLRTCIQFPA-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CC2(C)CCCC2)CC1 ZINC001070961001 768814488 /nfs/dbraw/zinc/81/44/88/768814488.db2.gz HIWNDLRTCIQFPA-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001132577084 768997289 /nfs/dbraw/zinc/99/72/89/768997289.db2.gz QYMQINWSTFNHJA-WDEREUQCSA-N 1 2 324.450 1.766 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001132591000 769011927 /nfs/dbraw/zinc/01/19/27/769011927.db2.gz CBYLXXKLRJCXLT-GFCCVEGCSA-N 1 2 308.382 1.176 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067992264 769075567 /nfs/dbraw/zinc/07/55/67/769075567.db2.gz KSHZMHVHUJQRLV-AWEZNQCLSA-N 1 2 320.437 1.861 20 30 DDEDLO Cc1nc(N2CC([N@H+]3C[C@@H](C)O[C@@H](C)C3)C2)ccc1CC#N ZINC001158726297 769256413 /nfs/dbraw/zinc/25/64/13/769256413.db2.gz DYITUAYNWRLMJK-BETUJISGSA-N 1 2 300.406 1.754 20 30 DDEDLO Cc1nc(N2CC([N@@H+]3C[C@@H](C)O[C@@H](C)C3)C2)ccc1CC#N ZINC001158726297 769256420 /nfs/dbraw/zinc/25/64/20/769256420.db2.gz DYITUAYNWRLMJK-BETUJISGSA-N 1 2 300.406 1.754 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cc(C)n[nH]2)CC[C@@H]1C ZINC001071428077 769420081 /nfs/dbraw/zinc/42/00/81/769420081.db2.gz VYRJEHWXQJYKPF-QWHCGFSZSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cc(C)n[nH]2)CC[C@@H]1C ZINC001071428077 769420088 /nfs/dbraw/zinc/42/00/88/769420088.db2.gz VYRJEHWXQJYKPF-QWHCGFSZSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cc(C)[nH]n2)CC[C@@H]1C ZINC001071428077 769420096 /nfs/dbraw/zinc/42/00/96/769420096.db2.gz VYRJEHWXQJYKPF-QWHCGFSZSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cc(C)[nH]n2)CC[C@@H]1C ZINC001071428077 769420104 /nfs/dbraw/zinc/42/01/04/769420104.db2.gz VYRJEHWXQJYKPF-QWHCGFSZSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2ccn(C)n2)CC[C@H]1C ZINC001071504321 769528454 /nfs/dbraw/zinc/52/84/54/769528454.db2.gz CWIDOWXJZXWETH-OCCSQVGLSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2ccn(C)n2)CC[C@H]1C ZINC001071504321 769528460 /nfs/dbraw/zinc/52/84/60/769528460.db2.gz CWIDOWXJZXWETH-OCCSQVGLSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc(OC)ncn2)CC[C@H]1C ZINC001071514247 769543283 /nfs/dbraw/zinc/54/32/83/769543283.db2.gz LXRRCVAJHQDTCU-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc(OC)ncn2)CC[C@H]1C ZINC001071514247 769543288 /nfs/dbraw/zinc/54/32/88/769543288.db2.gz LXRRCVAJHQDTCU-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2sc3nccn3c2C)CC[C@@H]1C ZINC001071573393 769649185 /nfs/dbraw/zinc/64/91/85/769649185.db2.gz FKMDAWHPNJAHEX-WCQYABFASA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2sc3nccn3c2C)CC[C@@H]1C ZINC001071573393 769649189 /nfs/dbraw/zinc/64/91/89/769649189.db2.gz FKMDAWHPNJAHEX-WCQYABFASA-N 1 2 316.430 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnc[nH]c2=O)CC[C@@H]1C ZINC001071600138 769692019 /nfs/dbraw/zinc/69/20/19/769692019.db2.gz HGGWMIVJFRIKPC-WDEREUQCSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnc[nH]c2=O)CC[C@@H]1C ZINC001071600138 769692024 /nfs/dbraw/zinc/69/20/24/769692024.db2.gz HGGWMIVJFRIKPC-WDEREUQCSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(=O)n(C)c2)CC[C@H]1C ZINC001071641653 769776710 /nfs/dbraw/zinc/77/67/10/769776710.db2.gz CSNWJGXQYKQOMF-OCCSQVGLSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(=O)n(C)c2)CC[C@H]1C ZINC001071641653 769776715 /nfs/dbraw/zinc/77/67/15/769776715.db2.gz CSNWJGXQYKQOMF-OCCSQVGLSA-N 1 2 323.824 1.721 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[NH2+]Cc2ncc(C(C)C)o2)c1 ZINC001133597363 770048089 /nfs/dbraw/zinc/04/80/89/770048089.db2.gz PAXPVFBLPWXJKL-UHFFFAOYSA-N 1 2 312.373 1.694 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2cc(C(N)=O)cs2)CC[C@H]1C ZINC001072098466 770540220 /nfs/dbraw/zinc/54/02/20/770540220.db2.gz BYSZQMAJWPOARS-ZYHUDNBSSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2cc(C(N)=O)cs2)CC[C@H]1C ZINC001072098466 770540223 /nfs/dbraw/zinc/54/02/23/770540223.db2.gz BYSZQMAJWPOARS-ZYHUDNBSSA-N 1 2 305.403 1.063 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)co1 ZINC001049368817 770745339 /nfs/dbraw/zinc/74/53/39/770745339.db2.gz BVBMOWDDUFESOX-NILFDRSVSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)co1 ZINC001049368817 770745343 /nfs/dbraw/zinc/74/53/43/770745343.db2.gz BVBMOWDDUFESOX-NILFDRSVSA-N 1 2 302.378 1.708 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)C#N ZINC001049384459 770763380 /nfs/dbraw/zinc/76/33/80/770763380.db2.gz WMROMUCDEJRGHO-RBSFLKMASA-N 1 2 315.421 1.628 20 30 DDEDLO CCn1ccnc1C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)C#N ZINC001049384459 770763384 /nfs/dbraw/zinc/76/33/84/770763384.db2.gz WMROMUCDEJRGHO-RBSFLKMASA-N 1 2 315.421 1.628 20 30 DDEDLO Cc1nc(N2CCC3(C[C@H]3NC(=O)C#CC3CC3)CC2)cc[nH+]1 ZINC001096521407 770806348 /nfs/dbraw/zinc/80/63/48/770806348.db2.gz GSHQRCIILJTSTK-OAHLLOKOSA-N 1 2 310.401 1.674 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](NC(=O)CCn2cc[nH+]c2)[C@@H](C)C1 ZINC001072504478 770995624 /nfs/dbraw/zinc/99/56/24/770995624.db2.gz JXNISNATLQYFNW-KBPBESRZSA-N 1 2 318.421 1.449 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[NH2+]Cc2csnn2)cc1 ZINC001134950241 771252536 /nfs/dbraw/zinc/25/25/36/771252536.db2.gz FRCCJYUKKCUJGW-UHFFFAOYSA-N 1 2 316.386 1.070 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H](Nc2cc(C)[nH+]c(C3CC3)n2)C1 ZINC001096925982 771518503 /nfs/dbraw/zinc/51/85/03/771518503.db2.gz MAFNPELDAUNILW-AWEZNQCLSA-N 1 2 316.405 1.878 20 30 DDEDLO Cn1cncc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C#N)c[nH]1)C2 ZINC001096928918 771520657 /nfs/dbraw/zinc/52/06/57/771520657.db2.gz OVZZBHBGSCWXJR-XPKDYRNWSA-N 1 2 324.388 1.155 20 30 DDEDLO Cn1cncc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C#N)c[nH]1)C2 ZINC001096928918 771520663 /nfs/dbraw/zinc/52/06/63/771520663.db2.gz OVZZBHBGSCWXJR-XPKDYRNWSA-N 1 2 324.388 1.155 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001097018552 771580618 /nfs/dbraw/zinc/58/06/18/771580618.db2.gz QQCAOAKWPZGQOK-JOCQHMNTSA-N 1 2 310.361 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c[nH]nc2C2CC2)[C@@H](O)C1 ZINC001090695116 772118052 /nfs/dbraw/zinc/11/80/52/772118052.db2.gz FWCZJBUDVSKRIO-STQMWFEESA-N 1 2 324.812 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c[nH]nc2C2CC2)[C@@H](O)C1 ZINC001090695116 772118054 /nfs/dbraw/zinc/11/80/54/772118054.db2.gz FWCZJBUDVSKRIO-STQMWFEESA-N 1 2 324.812 1.205 20 30 DDEDLO Cc1c(O)cccc1C[NH+]1CCN(c2nccnc2C#N)CC1 ZINC001144118815 772416163 /nfs/dbraw/zinc/41/61/63/772416163.db2.gz LQMKSCQOWXDPLK-UHFFFAOYSA-N 1 2 309.373 1.685 20 30 DDEDLO CC(=O)N[C@@H](CS)C(=O)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001144411002 772511595 /nfs/dbraw/zinc/51/15/95/772511595.db2.gz NCMPCPYURGYZOT-AWEZNQCLSA-N 1 2 318.402 1.304 20 30 DDEDLO COC(=O)[C@H]([NH3+])Cc1cn([C@H](C)Cc2cccc(C#N)c2)cn1 ZINC001171204380 772590831 /nfs/dbraw/zinc/59/08/31/772590831.db2.gz WXSNENDUNPAVKJ-MLGOLLRUSA-N 1 2 312.373 1.601 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001148146229 773366852 /nfs/dbraw/zinc/36/68/52/773366852.db2.gz AYGILPIYXNGIJS-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001148146229 773366861 /nfs/dbraw/zinc/36/68/61/773366861.db2.gz AYGILPIYXNGIJS-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cscn1)C2 ZINC001148900085 773630021 /nfs/dbraw/zinc/63/00/21/773630021.db2.gz QTPSAEKMEFBXSA-ZDUSSCGKSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cscn1)C2 ZINC001148900085 773630028 /nfs/dbraw/zinc/63/00/28/773630028.db2.gz QTPSAEKMEFBXSA-ZDUSSCGKSA-N 1 2 321.446 1.769 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001074187527 773708097 /nfs/dbraw/zinc/70/80/97/773708097.db2.gz IOXPXDROEFRVMS-CVEARBPZSA-N 1 2 318.421 1.600 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001074187527 773708100 /nfs/dbraw/zinc/70/81/00/773708100.db2.gz IOXPXDROEFRVMS-CVEARBPZSA-N 1 2 318.421 1.600 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cnccc3C)C[C@@H]21 ZINC001074200133 773724547 /nfs/dbraw/zinc/72/45/47/773724547.db2.gz OMSPVKDALUNEDE-IRXDYDNUSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cnccc3C)C[C@@H]21 ZINC001074200133 773724551 /nfs/dbraw/zinc/72/45/51/773724551.db2.gz OMSPVKDALUNEDE-IRXDYDNUSA-N 1 2 313.401 1.329 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ocnc3C)C[C@H]21 ZINC001074205642 773728798 /nfs/dbraw/zinc/72/87/98/773728798.db2.gz VFNATJKPGMNGDB-ZIAGYGMSSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ocnc3C)C[C@H]21 ZINC001074205642 773728803 /nfs/dbraw/zinc/72/88/03/773728803.db2.gz VFNATJKPGMNGDB-ZIAGYGMSSA-N 1 2 305.378 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ncccc3C)C[C@@H]21 ZINC001074208334 773731265 /nfs/dbraw/zinc/73/12/65/773731265.db2.gz GXOYRZIXMBSSTO-JKSUJKDBSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ncccc3C)C[C@@H]21 ZINC001074208334 773731268 /nfs/dbraw/zinc/73/12/68/773731268.db2.gz GXOYRZIXMBSSTO-JKSUJKDBSA-N 1 2 313.401 1.329 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC3OCCCO3)C[C@@H]21 ZINC001074222808 773748223 /nfs/dbraw/zinc/74/82/23/773748223.db2.gz NKMANOOLOWSMLH-GJZGRUSLSA-N 1 2 324.421 1.017 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC3OCCCO3)C[C@@H]21 ZINC001074222808 773748224 /nfs/dbraw/zinc/74/82/24/773748224.db2.gz NKMANOOLOWSMLH-GJZGRUSLSA-N 1 2 324.421 1.017 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@@H]21 ZINC001074241437 773764061 /nfs/dbraw/zinc/76/40/61/773764061.db2.gz IJVJUCMOLWNVRI-QWHCGFSZSA-N 1 2 314.376 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@@H]21 ZINC001074241437 773764066 /nfs/dbraw/zinc/76/40/66/773764066.db2.gz IJVJUCMOLWNVRI-QWHCGFSZSA-N 1 2 314.376 1.910 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCc3[nH]cc[nH+]3)CC2)cn1 ZINC001110124627 773839874 /nfs/dbraw/zinc/83/98/74/773839874.db2.gz UYHRSOHEXWFJIQ-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO C=CCN1CCO[C@H]2CCN(C(=O)C(C)(C)c3c[nH+]c[nH]3)C[C@H]21 ZINC001074385355 773878991 /nfs/dbraw/zinc/87/89/91/773878991.db2.gz SXSJVDZBOCWIET-KGLIPLIRSA-N 1 2 318.421 1.175 20 30 DDEDLO C[C@@]12CN(CC#N)C[C@@H]1CN(C(=O)[C@H]1CCCc3[nH+]c[nH]c31)C2 ZINC001092004058 773906816 /nfs/dbraw/zinc/90/68/16/773906816.db2.gz SIHDKLMKDFEXRA-IGCXYCKISA-N 1 2 313.405 1.133 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092144455 773957133 /nfs/dbraw/zinc/95/71/33/773957133.db2.gz ZNBRFXXZGXSJSO-GJZGRUSLSA-N 1 2 316.405 1.034 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccoc1C)c1nccn12 ZINC001092348201 774067389 /nfs/dbraw/zinc/06/73/89/774067389.db2.gz QDPINHTZCREEAB-OAHLLOKOSA-N 1 2 324.384 1.694 20 30 DDEDLO CC(=O)N[C@H]1CC2(C[NH+](Cc3cccc(C#N)c3)C2)n2ccnc21 ZINC001092333704 774083887 /nfs/dbraw/zinc/08/38/87/774083887.db2.gz GRDAEZLLLJRHLD-INIZCTEOSA-N 1 2 321.384 1.547 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccccc1)c1nccn12 ZINC001092335245 774084714 /nfs/dbraw/zinc/08/47/14/774084714.db2.gz BLVSNBDYDYZIBS-MRXNPFEDSA-N 1 2 320.396 1.792 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(C)CCC1)c1nccn12 ZINC001092386281 774095812 /nfs/dbraw/zinc/09/58/12/774095812.db2.gz HZOUDTMUAFYWRJ-ZDUSSCGKSA-N 1 2 300.406 1.831 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccns1)c1nccn12 ZINC001092372547 774107337 /nfs/dbraw/zinc/10/73/37/774107337.db2.gz LHRYKABZXJAJTA-LLVKDONJSA-N 1 2 315.402 1.411 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cnc(C)s3)CC2)C1 ZINC001093516119 774767633 /nfs/dbraw/zinc/76/76/33/774767633.db2.gz GWUXYBKEVNFOBT-UHFFFAOYSA-N 1 2 321.446 1.943 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3n[nH]cc3F)CC2)C1 ZINC001093568770 774840155 /nfs/dbraw/zinc/84/01/55/774840155.db2.gz RFSIRHKGFFVLRC-UHFFFAOYSA-N 1 2 322.384 1.430 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)s2)C[C@H]1O ZINC001099727395 775193144 /nfs/dbraw/zinc/19/31/44/775193144.db2.gz OPPZLWUXVYLOBR-UONOGXRCSA-N 1 2 323.462 1.778 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)s2)C[C@H]1O ZINC001099727395 775193149 /nfs/dbraw/zinc/19/31/49/775193149.db2.gz OPPZLWUXVYLOBR-UONOGXRCSA-N 1 2 323.462 1.778 20 30 DDEDLO N#Cc1sc(NCCNC(=O)CCn2cc[nH+]c2)nc1Cl ZINC001094024504 775349345 /nfs/dbraw/zinc/34/93/45/775349345.db2.gz HQWCVVGAHHBTTF-UHFFFAOYSA-N 1 2 324.797 1.483 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094050987 775374621 /nfs/dbraw/zinc/37/46/21/775374621.db2.gz NHXABRXOYCBQDU-ZDUSSCGKSA-N 1 2 317.437 1.595 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094050987 775374635 /nfs/dbraw/zinc/37/46/35/775374635.db2.gz NHXABRXOYCBQDU-ZDUSSCGKSA-N 1 2 317.437 1.595 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CC[N@@H+](CC(=C)Cl)C[C@@H]2O)CCC1 ZINC001099874737 775376211 /nfs/dbraw/zinc/37/62/11/775376211.db2.gz PVPNZOHNGRBBGX-KGLIPLIRSA-N 1 2 310.825 1.484 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CC[N@H+](CC(=C)Cl)C[C@@H]2O)CCC1 ZINC001099874737 775376223 /nfs/dbraw/zinc/37/62/23/775376223.db2.gz PVPNZOHNGRBBGX-KGLIPLIRSA-N 1 2 310.825 1.484 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)CCCF)[C@H](O)C1 ZINC001099955642 775475557 /nfs/dbraw/zinc/47/55/57/775475557.db2.gz XKANHUKPHSVRAT-JKSUJKDBSA-N 1 2 319.380 1.359 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)CCCF)[C@H](O)C1 ZINC001099955642 775475565 /nfs/dbraw/zinc/47/55/65/775475565.db2.gz XKANHUKPHSVRAT-JKSUJKDBSA-N 1 2 319.380 1.359 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC(C)(C)COC)[C@H](O)C1 ZINC001099932666 775491681 /nfs/dbraw/zinc/49/16/81/775491681.db2.gz BQMOCKMNLNSAJX-QWHCGFSZSA-N 1 2 318.845 1.353 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC(C)(C)COC)[C@H](O)C1 ZINC001099932666 775491688 /nfs/dbraw/zinc/49/16/88/775491688.db2.gz BQMOCKMNLNSAJX-QWHCGFSZSA-N 1 2 318.845 1.353 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001094160762 775503489 /nfs/dbraw/zinc/50/34/89/775503489.db2.gz GZKWVUPMLCEERZ-UHFFFAOYSA-N 1 2 302.382 1.494 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=C)Cl)C[C@H]1O ZINC001100020873 775581968 /nfs/dbraw/zinc/58/19/68/775581968.db2.gz UOYDBWURWGLGQW-QWHCGFSZSA-N 1 2 300.830 1.893 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=C)Cl)C[C@H]1O ZINC001100020873 775581977 /nfs/dbraw/zinc/58/19/77/775581977.db2.gz UOYDBWURWGLGQW-QWHCGFSZSA-N 1 2 300.830 1.893 20 30 DDEDLO C#CCCCCC(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100028366 775590395 /nfs/dbraw/zinc/59/03/95/775590395.db2.gz QIRJUROZUFIXOU-UHFFFAOYSA-N 1 2 314.393 1.109 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCNc2nccnc2C#N)c(C)[nH+]1 ZINC001094291480 775692249 /nfs/dbraw/zinc/69/22/49/775692249.db2.gz GQCUHNBPKAAUDN-UHFFFAOYSA-N 1 2 310.361 1.510 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@]3(C)CC)nn2)C1 ZINC001094332341 775714507 /nfs/dbraw/zinc/71/45/07/775714507.db2.gz RNSHVXPCPQABPK-WBVHZDCISA-N 1 2 317.437 1.763 20 30 DDEDLO Cc1cc(N(C)CCNC(=O)CCn2cc[nH+]c2)c(C#N)cn1 ZINC001100250906 775892410 /nfs/dbraw/zinc/89/24/10/775892410.db2.gz TVBLHPMRGFZEBR-UHFFFAOYSA-N 1 2 312.377 1.101 20 30 DDEDLO Cc1cc(N2CCC[C@@H]2CNC(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001100810548 776577616 /nfs/dbraw/zinc/57/76/16/776577616.db2.gz WIFXHFYBQQMHLS-SMDDNHRTSA-N 1 2 313.405 1.907 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(N(CCC#N)CCC#N)CC1 ZINC001172897442 776914114 /nfs/dbraw/zinc/91/41/14/776914114.db2.gz UCKAWSYTOCRURS-UHFFFAOYSA-N 1 2 320.437 1.922 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H]([NH2+]Cc2ncccn2)C[C@H]1C#N ZINC001172967250 776945671 /nfs/dbraw/zinc/94/56/71/776945671.db2.gz VEAZIHLICZJIHO-STQMWFEESA-N 1 2 317.393 1.858 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)CCC)c1nccn12 ZINC001101617650 777310536 /nfs/dbraw/zinc/31/05/36/777310536.db2.gz RSMAOPMEIXUSQZ-GJZGRUSLSA-N 1 2 314.433 1.915 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C(F)=C(C)C)c1nccn12 ZINC001101622961 777316239 /nfs/dbraw/zinc/31/62/39/777316239.db2.gz UJVMTMTUHKATGR-CYBMUJFWSA-N 1 2 316.380 1.742 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C#CC(C)(C)C)c1nccn12 ZINC001101629354 777324416 /nfs/dbraw/zinc/32/44/16/777324416.db2.gz QILFTSDIQVNBRJ-CQSZACIVSA-N 1 2 312.417 1.691 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(CC)CC1)c1nccn12 ZINC001101641602 777338899 /nfs/dbraw/zinc/33/88/99/777338899.db2.gz UYFWOWOGRVHQRI-ZDUSSCGKSA-N 1 2 300.406 1.831 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001101848273 777599854 /nfs/dbraw/zinc/59/98/54/777599854.db2.gz XSHJEPBCMTVQDO-CYBMUJFWSA-N 1 2 324.388 1.283 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001101893480 777650979 /nfs/dbraw/zinc/65/09/79/777650979.db2.gz CFEFKVJFFHCEEG-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001101893480 777650984 /nfs/dbraw/zinc/65/09/84/777650984.db2.gz CFEFKVJFFHCEEG-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO CN(CCNc1ccncc1C#N)C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001101947191 777714360 /nfs/dbraw/zinc/71/43/60/777714360.db2.gz DIAFDRJEVNGRQT-CYBMUJFWSA-N 1 2 324.388 1.089 20 30 DDEDLO Cc1nc(N(CCCNC(=O)C#CC2CC2)C(C)C)cc[nH+]1 ZINC001102107977 777877551 /nfs/dbraw/zinc/87/75/51/777877551.db2.gz OXCXPYHPLDBYAZ-UHFFFAOYSA-N 1 2 300.406 1.920 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(F)F)C[C@@H]21 ZINC001176883342 778292328 /nfs/dbraw/zinc/29/23/28/778292328.db2.gz XVNKLZHWOBQING-QWHCGFSZSA-N 1 2 318.364 1.146 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(F)F)C[C@@H]21 ZINC001176883342 778292329 /nfs/dbraw/zinc/29/23/29/778292329.db2.gz XVNKLZHWOBQING-QWHCGFSZSA-N 1 2 318.364 1.146 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C=C)C[C@@H]21 ZINC001177061499 778421260 /nfs/dbraw/zinc/42/12/60/778421260.db2.gz MMRPHAKZBGSFBD-JKSUJKDBSA-N 1 2 322.449 1.703 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C=C)C[C@@H]21 ZINC001177061499 778421262 /nfs/dbraw/zinc/42/12/62/778421262.db2.gz MMRPHAKZBGSFBD-JKSUJKDBSA-N 1 2 322.449 1.703 20 30 DDEDLO C=CCCCC(=O)NC[C@H](CC)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001103279386 778755506 /nfs/dbraw/zinc/75/55/06/778755506.db2.gz MGTZSVMCLBHKSQ-ZDUSSCGKSA-N 1 2 320.441 1.909 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[C@H](Nc2cc[nH+]c(C)n2)CC1 ZINC001103648279 778979357 /nfs/dbraw/zinc/97/93/57/778979357.db2.gz DXFXVAVUVKNKPJ-BPLDGKMQSA-N 1 2 316.405 1.663 20 30 DDEDLO Cc1nc(N2CCC(CCNC(=O)[C@@H](C)C#N)CC2)cc[nH+]1 ZINC001103677681 778992170 /nfs/dbraw/zinc/99/21/70/778992170.db2.gz LEVBIHHSTRPIBN-LBPRGKRZSA-N 1 2 301.394 1.667 20 30 DDEDLO C=CCOCCCC(=O)NC[C@H](C)CNc1cc[nH+]c(C)n1 ZINC001103906553 779158877 /nfs/dbraw/zinc/15/88/77/779158877.db2.gz ZACWBEXEEPOBNY-CYBMUJFWSA-N 1 2 306.410 1.932 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)NCCCCCCNCC#N)C2 ZINC001178880194 779209770 /nfs/dbraw/zinc/20/97/70/779209770.db2.gz JMXQPJNUKPHNNC-AWEZNQCLSA-N 1 2 317.437 1.613 20 30 DDEDLO Cc1nc(NC2(CNC(=O)Cn3cc[nH+]c3)CCC2)ccc1C#N ZINC001111819541 779497044 /nfs/dbraw/zinc/49/70/44/779497044.db2.gz GXEBJQFMEZPIHK-UHFFFAOYSA-N 1 2 324.388 1.609 20 30 DDEDLO C=CCCOCC(=O)N1CCO[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111947949 779575647 /nfs/dbraw/zinc/57/56/47/779575647.db2.gz CXJDBPSPEUYTBS-AWEZNQCLSA-N 1 2 320.393 1.017 20 30 DDEDLO N#CCNC1(CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CCCCC1 ZINC001115579279 780248060 /nfs/dbraw/zinc/24/80/60/780248060.db2.gz GIPDEMXIBLFSGS-CHWSQXEVSA-N 1 2 301.394 1.445 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CC(=O)c2[nH]c(C)c(C(=O)OC)c2C)C1 ZINC001116556792 780523479 /nfs/dbraw/zinc/52/34/79/780523479.db2.gz UEOHVJPENQUBIO-ZDUSSCGKSA-N 1 2 320.389 1.878 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CC(=O)c2[nH]c(C)c(C(=O)OC)c2C)C1 ZINC001116556792 780523483 /nfs/dbraw/zinc/52/34/83/780523483.db2.gz UEOHVJPENQUBIO-ZDUSSCGKSA-N 1 2 320.389 1.878 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](C)CC(=O)N1CCCC[C@@H]1C ZINC001267211146 837541836 /nfs/dbraw/zinc/54/18/36/837541836.db2.gz BFPQVJMSKDFVQE-AWEZNQCLSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](C)CC(=O)N1CCCC[C@@H]1C ZINC001267211146 837541841 /nfs/dbraw/zinc/54/18/41/837541841.db2.gz BFPQVJMSKDFVQE-AWEZNQCLSA-N 1 2 309.454 1.648 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1)[N@H+](C)CCNC(=O)C#CC1CC1 ZINC001266273207 836023442 /nfs/dbraw/zinc/02/34/42/836023442.db2.gz MWAITESADGYDIH-AWEZNQCLSA-N 1 2 313.401 1.475 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1)[N@@H+](C)CCNC(=O)C#CC1CC1 ZINC001266273207 836023457 /nfs/dbraw/zinc/02/34/57/836023457.db2.gz MWAITESADGYDIH-AWEZNQCLSA-N 1 2 313.401 1.475 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001266336680 836136825 /nfs/dbraw/zinc/13/68/25/836136825.db2.gz UEZWPPFAAFJTJN-HNNXBMFYSA-N 1 2 307.438 1.143 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001266336680 836136831 /nfs/dbraw/zinc/13/68/31/836136831.db2.gz UEZWPPFAAFJTJN-HNNXBMFYSA-N 1 2 307.438 1.143 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@@H+](CC)Cc2cnnn2CC)C1 ZINC001266416874 836226380 /nfs/dbraw/zinc/22/63/80/836226380.db2.gz PHURYNKAKAHHBW-UHFFFAOYSA-N 1 2 305.426 1.592 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@H+](CC)Cc2cnnn2CC)C1 ZINC001266416874 836226395 /nfs/dbraw/zinc/22/63/95/836226395.db2.gz PHURYNKAKAHHBW-UHFFFAOYSA-N 1 2 305.426 1.592 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)CC[NH2+]Cc1nc(C(C)C)no1 ZINC001266686502 836667958 /nfs/dbraw/zinc/66/79/58/836667958.db2.gz FIGIAPAWNKIEDF-HNNXBMFYSA-N 1 2 310.398 1.068 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@H](CNC(=O)C#CC(C)C)C2)s1 ZINC001266749712 836775397 /nfs/dbraw/zinc/77/53/97/836775397.db2.gz LOBNCQCEKRYFPV-AWEZNQCLSA-N 1 2 320.462 1.834 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@H](CNC(=O)C#CC(C)C)C2)s1 ZINC001266749712 836775408 /nfs/dbraw/zinc/77/54/08/836775408.db2.gz LOBNCQCEKRYFPV-AWEZNQCLSA-N 1 2 320.462 1.834 20 30 DDEDLO C=CCOCC(=O)NCC1=CC[N@H+]([C@@H](C)c2nc(C)no2)CC1 ZINC001279511459 836839679 /nfs/dbraw/zinc/83/96/79/836839679.db2.gz OHBGBAMWMOVFMU-LBPRGKRZSA-N 1 2 320.393 1.390 20 30 DDEDLO C=CCOCC(=O)NCC1=CC[N@@H+]([C@@H](C)c2nc(C)no2)CC1 ZINC001279511459 836839687 /nfs/dbraw/zinc/83/96/87/836839687.db2.gz OHBGBAMWMOVFMU-LBPRGKRZSA-N 1 2 320.393 1.390 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1[C@H]2C[N@@H+](C/C(Cl)=C\Cl)C[C@H]21 ZINC001266901089 837030176 /nfs/dbraw/zinc/03/01/76/837030176.db2.gz NJDVVUWEYVBETN-RPRRVOEVSA-N 1 2 317.216 1.392 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1[C@H]2C[N@H+](C/C(Cl)=C\Cl)C[C@H]21 ZINC001266901089 837030193 /nfs/dbraw/zinc/03/01/93/837030193.db2.gz NJDVVUWEYVBETN-RPRRVOEVSA-N 1 2 317.216 1.392 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)[NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001266970328 837130815 /nfs/dbraw/zinc/13/08/15/837130815.db2.gz IXRLPHNWVCWRBI-NSHDSACASA-N 1 2 304.394 1.898 20 30 DDEDLO C[N@@H+]1C[C@@H]2COC[C@H](C1)N2C(=O)C(F)(F)c1ccc(C#N)cc1 ZINC001271975957 844255516 /nfs/dbraw/zinc/25/55/16/844255516.db2.gz LWGJAFQYHUXPOZ-OKILXGFUSA-N 1 2 321.327 1.191 20 30 DDEDLO C[N@H+]1C[C@@H]2COC[C@H](C1)N2C(=O)C(F)(F)c1ccc(C#N)cc1 ZINC001271975957 844255525 /nfs/dbraw/zinc/25/55/25/844255525.db2.gz LWGJAFQYHUXPOZ-OKILXGFUSA-N 1 2 321.327 1.191 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)C2C(C)(C)C2(C)C)CC1 ZINC001267572401 838335144 /nfs/dbraw/zinc/33/51/44/838335144.db2.gz KHVBITRLLVMUDL-UHFFFAOYSA-N 1 2 307.482 1.931 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@@H]2CCC[C@H](OC)C2)CC1 ZINC001267612364 838463010 /nfs/dbraw/zinc/46/30/10/838463010.db2.gz GYJLBCJVLSWYJH-SJORKVTESA-N 1 2 323.481 1.454 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H](C)c2cccs2)C1 ZINC001267728635 838761082 /nfs/dbraw/zinc/76/10/82/838761082.db2.gz IVWCZDGAJMIHDK-OLZOCXBDSA-N 1 2 321.446 1.344 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)c2cccs2)C1 ZINC001267728635 838761095 /nfs/dbraw/zinc/76/10/95/838761095.db2.gz IVWCZDGAJMIHDK-OLZOCXBDSA-N 1 2 321.446 1.344 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001267747492 838834590 /nfs/dbraw/zinc/83/45/90/838834590.db2.gz WMEVHLNXFWMTSS-UONOGXRCSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001267747492 838834595 /nfs/dbraw/zinc/83/45/95/838834595.db2.gz WMEVHLNXFWMTSS-UONOGXRCSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCC2(C)C)C1 ZINC001268023295 839402879 /nfs/dbraw/zinc/40/28/79/839402879.db2.gz IFBPUMHBUXGZIV-JKSUJKDBSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCC2(C)C)C1 ZINC001268023295 839402890 /nfs/dbraw/zinc/40/28/90/839402890.db2.gz IFBPUMHBUXGZIV-JKSUJKDBSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)Cc2sc(C)nc2C)C1 ZINC001268023264 839403083 /nfs/dbraw/zinc/40/30/83/839403083.db2.gz HRYFXOFQEXCEMO-CYBMUJFWSA-N 1 2 309.435 1.305 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)Cc2sc(C)nc2C)C1 ZINC001268023264 839403088 /nfs/dbraw/zinc/40/30/88/839403088.db2.gz HRYFXOFQEXCEMO-CYBMUJFWSA-N 1 2 309.435 1.305 20 30 DDEDLO CC[C@@H](C#N)N(CC)C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001417586156 839574955 /nfs/dbraw/zinc/57/49/55/839574955.db2.gz ABMAKDOSDZKCEX-ZNMIVQPWSA-N 1 2 309.410 1.017 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C[NH2+]Cc1csnn1)CC(C)C ZINC001268103684 839692083 /nfs/dbraw/zinc/69/20/83/839692083.db2.gz DTZFZXPLUKSBLX-ZDUSSCGKSA-N 1 2 308.451 1.818 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCCCC)C1 ZINC001268193500 839820821 /nfs/dbraw/zinc/82/08/21/839820821.db2.gz MQYUCPUKIXDXBN-HNNXBMFYSA-N 1 2 309.454 1.840 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCCCC)C1 ZINC001268193500 839820829 /nfs/dbraw/zinc/82/08/29/839820829.db2.gz MQYUCPUKIXDXBN-HNNXBMFYSA-N 1 2 309.454 1.840 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]2[C@H](CC[N@@H+]2Cc2cnsn2)C1 ZINC001268261817 839912428 /nfs/dbraw/zinc/91/24/28/839912428.db2.gz FSHDSCCDQHINBT-UKRRQHHQSA-N 1 2 318.446 1.764 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]2[C@H](CC[N@H+]2Cc2cnsn2)C1 ZINC001268261817 839912440 /nfs/dbraw/zinc/91/24/40/839912440.db2.gz FSHDSCCDQHINBT-UKRRQHHQSA-N 1 2 318.446 1.764 20 30 DDEDLO C#Cc1cncc(C(=O)N(CC)CC[NH2+]Cc2ncc(C)o2)c1 ZINC001268478329 840269374 /nfs/dbraw/zinc/26/93/74/840269374.db2.gz OWZBJPWLJKLSRM-UHFFFAOYSA-N 1 2 312.373 1.611 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H](C)C[NH2+][C@H](C)c2nnc(C)o2)c1 ZINC001268702329 840689566 /nfs/dbraw/zinc/68/95/66/840689566.db2.gz CVQGLZOPXFOLCN-WDEREUQCSA-N 1 2 313.361 1.223 20 30 DDEDLO C=C(C)CCC(=O)NCC1C[NH+](CC(=O)N2CCC[C@H](C)C2)C1 ZINC001268833440 840877837 /nfs/dbraw/zinc/87/78/37/840877837.db2.gz PQMLWNNXLNZPGO-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2C[NH+](Cc3cc(OC)ccn3)C2)C1 ZINC001268878847 840940303 /nfs/dbraw/zinc/94/03/03/840940303.db2.gz DZSSJDZKTNGKMI-UHFFFAOYSA-N 1 2 315.417 1.995 20 30 DDEDLO CC(C)CCC(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001268976815 841076154 /nfs/dbraw/zinc/07/61/54/841076154.db2.gz QEJIMTQUWVSIEW-UHFFFAOYSA-N 1 2 314.433 1.977 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCCCC)C1 ZINC001269061541 841160897 /nfs/dbraw/zinc/16/08/97/841160897.db2.gz KYSGCXBDUKPCJS-YOEHRIQHSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@H+](CC(=O)NCCCC)C1 ZINC001269061541 841160900 /nfs/dbraw/zinc/16/09/00/841160900.db2.gz KYSGCXBDUKPCJS-YOEHRIQHSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001269061796 841161276 /nfs/dbraw/zinc/16/12/76/841161276.db2.gz QTXNSUGXPXCZCA-BLLLJJGKSA-N 1 2 307.394 1.976 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001269061796 841161282 /nfs/dbraw/zinc/16/12/82/841161282.db2.gz QTXNSUGXPXCZCA-BLLLJJGKSA-N 1 2 307.394 1.976 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)c1cc[nH]c1 ZINC001269236059 841402422 /nfs/dbraw/zinc/40/24/22/841402422.db2.gz UPEFWBLZDQGWBC-HNNXBMFYSA-N 1 2 318.421 1.243 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CNC(=O)c1cc[nH]c1 ZINC001269236059 841402426 /nfs/dbraw/zinc/40/24/26/841402426.db2.gz UPEFWBLZDQGWBC-HNNXBMFYSA-N 1 2 318.421 1.243 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)C1(NC(C)=O)CCCCC1 ZINC001269255644 841430676 /nfs/dbraw/zinc/43/06/76/841430676.db2.gz RQOJLJPVJQOUMJ-HNNXBMFYSA-N 1 2 305.422 1.039 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)C1(NC(C)=O)CCCCC1 ZINC001269255644 841430679 /nfs/dbraw/zinc/43/06/79/841430679.db2.gz RQOJLJPVJQOUMJ-HNNXBMFYSA-N 1 2 305.422 1.039 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H](C)Oc1ccccc1 ZINC001269336576 841535032 /nfs/dbraw/zinc/53/50/32/841535032.db2.gz DDICTPZALBDHMS-HOTGVXAUSA-N 1 2 316.401 1.294 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H](C)Oc1ccccc1 ZINC001269336576 841535038 /nfs/dbraw/zinc/53/50/38/841535038.db2.gz DDICTPZALBDHMS-HOTGVXAUSA-N 1 2 316.401 1.294 20 30 DDEDLO N#Cc1ccccc1C(=O)N1CC2(C1)C[NH+](Cc1ccc[nH]1)C2 ZINC001269400697 841603557 /nfs/dbraw/zinc/60/35/57/841603557.db2.gz OJGZGIIJHCEQSE-UHFFFAOYSA-N 1 2 306.369 1.844 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)COCc2ccc(C)cc2)C1 ZINC001270658078 842785119 /nfs/dbraw/zinc/78/51/19/842785119.db2.gz NMPKLHMKQKDUKI-KRWDZBQOSA-N 1 2 318.417 1.515 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)COCc2ccc(C)cc2)C1 ZINC001270658078 842785127 /nfs/dbraw/zinc/78/51/27/842785127.db2.gz NMPKLHMKQKDUKI-KRWDZBQOSA-N 1 2 318.417 1.515 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@]1(O)CC[N@H+](Cc2ccon2)C1 ZINC001271193238 843398378 /nfs/dbraw/zinc/39/83/78/843398378.db2.gz UAONYZRESJHRTI-INIZCTEOSA-N 1 2 307.394 1.330 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@]1(O)CC[N@@H+](Cc2ccon2)C1 ZINC001271193238 843398389 /nfs/dbraw/zinc/39/83/89/843398389.db2.gz UAONYZRESJHRTI-INIZCTEOSA-N 1 2 307.394 1.330 20 30 DDEDLO C=CCSCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cn[nH]c1 ZINC001271195108 843401008 /nfs/dbraw/zinc/40/10/08/843401008.db2.gz YUOYMMCRJZEUPX-OKILXGFUSA-N 1 2 306.435 1.504 20 30 DDEDLO C=CCSCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cn[nH]c1 ZINC001271195108 843401012 /nfs/dbraw/zinc/40/10/12/843401012.db2.gz YUOYMMCRJZEUPX-OKILXGFUSA-N 1 2 306.435 1.504 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)c2ccc(C(C)C)[nH]c2=O)C1 ZINC001271361875 843522251 /nfs/dbraw/zinc/52/22/51/843522251.db2.gz UZMCEUKZOLMQDV-UHFFFAOYSA-N 1 2 319.405 1.263 20 30 DDEDLO C#CC[NH+]1CCN(Cc2c[nH]c3ccc(C(=O)OC)cc23)CC1 ZINC001143239643 861436656 /nfs/dbraw/zinc/43/66/56/861436656.db2.gz RNRIUZOOXRJAHZ-UHFFFAOYSA-N 1 2 311.385 1.705 20 30 DDEDLO N#CCNC[C@H]1CC[C@H](NC(=O)CCCn2cc[nH+]c2)CC1 ZINC001326567851 861451520 /nfs/dbraw/zinc/45/15/20/861451520.db2.gz YXVCATCWUCSQNE-SHTZXODSSA-N 1 2 303.410 1.451 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)sn1 ZINC001326627241 861491630 /nfs/dbraw/zinc/49/16/30/861491630.db2.gz MCAJTCVMDHMMML-ZDUSSCGKSA-N 1 2 309.435 1.848 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)sn1 ZINC001326627241 861491644 /nfs/dbraw/zinc/49/16/44/861491644.db2.gz MCAJTCVMDHMMML-ZDUSSCGKSA-N 1 2 309.435 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](CC)OCC)C1 ZINC001149309940 861536266 /nfs/dbraw/zinc/53/62/66/861536266.db2.gz KLQPROGULPMYBI-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](CC)OCC)C1 ZINC001149309940 861536285 /nfs/dbraw/zinc/53/62/85/861536285.db2.gz KLQPROGULPMYBI-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cccc(C(=O)NC)n1 ZINC001409650361 845500297 /nfs/dbraw/zinc/50/02/97/845500297.db2.gz ZQGUSAYYNFFXET-NSHDSACASA-N 1 2 324.812 1.244 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cccc(C(=O)NC)n1 ZINC001409650361 845500302 /nfs/dbraw/zinc/50/03/02/845500302.db2.gz ZQGUSAYYNFFXET-NSHDSACASA-N 1 2 324.812 1.244 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCO[C@H](CNC(=O)[C@H](C)C#N)C2)c(C)o1 ZINC001149409061 861599372 /nfs/dbraw/zinc/59/93/72/861599372.db2.gz STGLPKOTURBKBB-BXUZGUMPSA-N 1 2 320.393 1.158 20 30 DDEDLO Cc1nc(C[N@H+]2CCCO[C@H](CNC(=O)[C@H](C)C#N)C2)c(C)o1 ZINC001149409061 861599386 /nfs/dbraw/zinc/59/93/86/861599386.db2.gz STGLPKOTURBKBB-BXUZGUMPSA-N 1 2 320.393 1.158 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001155066114 861696503 /nfs/dbraw/zinc/69/65/03/861696503.db2.gz DAALMLWZJGGXRX-CJNGLKHVSA-N 1 2 307.442 1.744 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001155066114 861696509 /nfs/dbraw/zinc/69/65/09/861696509.db2.gz DAALMLWZJGGXRX-CJNGLKHVSA-N 1 2 307.442 1.744 20 30 DDEDLO C=CCCCCC[NH+]1CC2(C1)COCC(=O)N2[C@H](C)C(C)=O ZINC001272699712 846655512 /nfs/dbraw/zinc/65/55/12/846655512.db2.gz NEXQGOATEFWRSG-CQSZACIVSA-N 1 2 308.422 1.624 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cncnc2)c1 ZINC001032368347 847139341 /nfs/dbraw/zinc/13/93/41/847139341.db2.gz QSRWUXNNIKYVNA-IRXDYDNUSA-N 1 2 319.368 1.447 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cncnc2)c1 ZINC001032368347 847139345 /nfs/dbraw/zinc/13/93/45/847139345.db2.gz QSRWUXNNIKYVNA-IRXDYDNUSA-N 1 2 319.368 1.447 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)CN(Cc2c[nH+]cn2C)CCO1 ZINC001107802695 847156271 /nfs/dbraw/zinc/15/62/71/847156271.db2.gz WYCJBCYEBLJEJQ-MRXNPFEDSA-N 1 2 306.410 1.093 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001107827900 847230910 /nfs/dbraw/zinc/23/09/10/847230910.db2.gz BOTCDPNZMHWFRX-KRWDZBQOSA-N 1 2 320.437 1.813 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@@](C)(CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001107827900 847230916 /nfs/dbraw/zinc/23/09/16/847230916.db2.gz BOTCDPNZMHWFRX-KRWDZBQOSA-N 1 2 320.437 1.813 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(C)cn1 ZINC001272851101 847548915 /nfs/dbraw/zinc/54/89/15/847548915.db2.gz NMCRFMIFTAIWGA-HNNXBMFYSA-N 1 2 320.437 1.597 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(C)cn1 ZINC001272851101 847548922 /nfs/dbraw/zinc/54/89/22/847548922.db2.gz NMCRFMIFTAIWGA-HNNXBMFYSA-N 1 2 320.437 1.597 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CCC2(CN(C(=O)[C@@H]3C[C@@H]3C#N)C2)C1 ZINC001272886738 847594384 /nfs/dbraw/zinc/59/43/84/847594384.db2.gz TVCJOUVGYZCHKA-ZIAGYGMSSA-N 1 2 313.405 1.097 20 30 DDEDLO CCn1ccnc1C[N@H+]1CCC2(CN(C(=O)[C@@H]3C[C@@H]3C#N)C2)C1 ZINC001272886738 847594394 /nfs/dbraw/zinc/59/43/94/847594394.db2.gz TVCJOUVGYZCHKA-ZIAGYGMSSA-N 1 2 313.405 1.097 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@H]2COCC[N@H+]2CCCCC)nn1 ZINC001272895116 847603697 /nfs/dbraw/zinc/60/36/97/847603697.db2.gz WCCXVVPNEDYOEM-AWEZNQCLSA-N 1 2 321.425 1.085 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@H]2COCC[N@@H+]2CCCCC)nn1 ZINC001272895116 847603698 /nfs/dbraw/zinc/60/36/98/847603698.db2.gz WCCXVVPNEDYOEM-AWEZNQCLSA-N 1 2 321.425 1.085 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1([NH2+]Cc2ncc(CC)o2)CCC1 ZINC001273068975 847861684 /nfs/dbraw/zinc/86/16/84/847861684.db2.gz PFMCABJUCBMOFK-MRXNPFEDSA-N 1 2 321.421 1.693 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2nncs2)CC1 ZINC001327109629 861891959 /nfs/dbraw/zinc/89/19/59/861891959.db2.gz DIHSOXCGOQMKGG-GFCCVEGCSA-N 1 2 310.423 1.211 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2nncs2)CC1 ZINC001327109629 861891979 /nfs/dbraw/zinc/89/19/79/861891979.db2.gz DIHSOXCGOQMKGG-GFCCVEGCSA-N 1 2 310.423 1.211 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](C[C@H](F)CC)C[C@@H]1n1ccnn1 ZINC001128939390 848359199 /nfs/dbraw/zinc/35/91/99/848359199.db2.gz ONYWKNVSSADUKP-KFWWJZLASA-N 1 2 321.400 1.171 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](C[C@H](F)CC)C[C@@H]1n1ccnn1 ZINC001128939390 848359205 /nfs/dbraw/zinc/35/92/05/848359205.db2.gz ONYWKNVSSADUKP-KFWWJZLASA-N 1 2 321.400 1.171 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCC[N@@H+](Cc2nnc(C)[nH]2)CC1 ZINC001327113453 861901168 /nfs/dbraw/zinc/90/11/68/861901168.db2.gz MHUUHAVOIDPPBF-HNNXBMFYSA-N 1 2 317.437 1.777 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCC[N@H+](Cc2nnc(C)[nH]2)CC1 ZINC001327113453 861901180 /nfs/dbraw/zinc/90/11/80/861901180.db2.gz MHUUHAVOIDPPBF-HNNXBMFYSA-N 1 2 317.437 1.777 20 30 DDEDLO C#CCN1C[C@]2(CC[N@@H+](Cc3cnccc3Cl)C2)OCC1=O ZINC001273172501 848630021 /nfs/dbraw/zinc/63/00/21/848630021.db2.gz OLTQNZBYOOYATN-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@]2(CC[N@H+](Cc3cnccc3Cl)C2)OCC1=O ZINC001273172501 848630026 /nfs/dbraw/zinc/63/00/26/848630026.db2.gz OLTQNZBYOOYATN-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)CCCOCC)C2)CC1 ZINC001273376557 849739181 /nfs/dbraw/zinc/73/91/81/849739181.db2.gz WCBDQNCLTOACPL-QGZVFWFLSA-N 1 2 321.465 1.045 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)COCCC)C2)CC1 ZINC001273376568 849739587 /nfs/dbraw/zinc/73/95/87/849739587.db2.gz WJQVIQPJEMOSCP-INIZCTEOSA-N 1 2 309.454 1.208 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C[C@@H](C)COC)C2)CC1 ZINC001273383708 849773310 /nfs/dbraw/zinc/77/33/10/849773310.db2.gz JJCKXGINBDQFEQ-IAGOWNOFSA-N 1 2 323.481 1.454 20 30 DDEDLO C#CCN1C(=O)C[C@@]2(CCCN(c3cc[nH+]c(OC)c3)C2)C1=O ZINC001273585525 851116432 /nfs/dbraw/zinc/11/64/32/851116432.db2.gz QPWAODQDGRTDHL-QGZVFWFLSA-N 1 2 313.357 1.069 20 30 DDEDLO C#Cc1ccc(C[NH+]2CC3(C2)COCC(=O)N3CCCC#N)cc1 ZINC001273672110 851207886 /nfs/dbraw/zinc/20/78/86/851207886.db2.gz YNPLYEFEVFYIPY-UHFFFAOYSA-N 1 2 323.396 1.385 20 30 DDEDLO N#Cc1ccncc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cn[nH]c1 ZINC001273942720 851534609 /nfs/dbraw/zinc/53/46/09/851534609.db2.gz OFEPWNOEPAHBNQ-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1ccncc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cn[nH]c1 ZINC001273942720 851534615 /nfs/dbraw/zinc/53/46/15/851534615.db2.gz OFEPWNOEPAHBNQ-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO Cn1cc(Cl)c(C[NH2+]C/C=C/CNC(=O)C#CC2CC2)n1 ZINC001274015342 851851224 /nfs/dbraw/zinc/85/12/24/851851224.db2.gz IEXHBFVFCFUAAV-NSCUHMNNSA-N 1 2 306.797 1.249 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1nc(C)cc(C)n1 ZINC001274017422 851855298 /nfs/dbraw/zinc/85/52/98/851855298.db2.gz MGASCJSZXDUDGD-GOSISDBHSA-N 1 2 312.417 1.684 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1nc(C)cc(C)n1 ZINC001274017422 851855303 /nfs/dbraw/zinc/85/53/03/851855303.db2.gz MGASCJSZXDUDGD-GOSISDBHSA-N 1 2 312.417 1.684 20 30 DDEDLO N#Cc1ncccc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cn[nH]c1 ZINC001274022948 851861088 /nfs/dbraw/zinc/86/10/88/851861088.db2.gz PRKUJIRFVFGSGS-OKILXGFUSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1ncccc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cn[nH]c1 ZINC001274022948 851861094 /nfs/dbraw/zinc/86/10/94/851861094.db2.gz PRKUJIRFVFGSGS-OKILXGFUSA-N 1 2 322.372 1.165 20 30 DDEDLO CCOC(=O)[C@@H](C)NC(=O)[C@@H]1CC12CC[NH+](CCC#N)CC2 ZINC001274030759 851869784 /nfs/dbraw/zinc/86/97/84/851869784.db2.gz WVLPDVIHQUTOMP-OLZOCXBDSA-N 1 2 307.394 1.070 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2Cc2cnc(OC)cn2)C1=O ZINC001274233636 852081180 /nfs/dbraw/zinc/08/11/80/852081180.db2.gz REROZIVQAMDIGD-MRXNPFEDSA-N 1 2 302.378 1.238 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2Cc2cnc(OC)cn2)C1=O ZINC001274233636 852081190 /nfs/dbraw/zinc/08/11/90/852081190.db2.gz REROZIVQAMDIGD-MRXNPFEDSA-N 1 2 302.378 1.238 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1cnc(OC)cn1 ZINC001274233910 852082039 /nfs/dbraw/zinc/08/20/39/852082039.db2.gz SRGOREFSFWOKOK-QGZVFWFLSA-N 1 2 314.389 1.075 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1cnc(OC)cn1 ZINC001274233910 852082048 /nfs/dbraw/zinc/08/20/48/852082048.db2.gz SRGOREFSFWOKOK-QGZVFWFLSA-N 1 2 314.389 1.075 20 30 DDEDLO N#Cc1coc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccc[nH]2)c1 ZINC001274351088 852179512 /nfs/dbraw/zinc/17/95/12/852179512.db2.gz ZVQMGXVGCMMCFA-GASCZTMLSA-N 1 2 310.357 1.968 20 30 DDEDLO N#Cc1coc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccc[nH]2)c1 ZINC001274351088 852179518 /nfs/dbraw/zinc/17/95/18/852179518.db2.gz ZVQMGXVGCMMCFA-GASCZTMLSA-N 1 2 310.357 1.968 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@@]2(CC[N@H+](Cc3nnc[nH]3)C2)C1=O ZINC001274356420 852192524 /nfs/dbraw/zinc/19/25/24/852192524.db2.gz SQINEDVJEQAEQV-SFHVURJKSA-N 1 2 323.400 1.871 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@@]2(CC[N@@H+](Cc3nnc[nH]3)C2)C1=O ZINC001274356420 852192530 /nfs/dbraw/zinc/19/25/30/852192530.db2.gz SQINEDVJEQAEQV-SFHVURJKSA-N 1 2 323.400 1.871 20 30 DDEDLO C#CCNC(=O)[C@H]1CC12CC[NH+](Cc1cn[nH]c1CC)CC2 ZINC001274380867 852213107 /nfs/dbraw/zinc/21/31/07/852213107.db2.gz JQJFIDQFJYYGTC-CQSZACIVSA-N 1 2 300.406 1.324 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cc(OC)ccc1C)C2 ZINC001274408974 852242098 /nfs/dbraw/zinc/24/20/98/852242098.db2.gz LTUHXOUMPDQBKT-UHFFFAOYSA-N 1 2 316.401 1.603 20 30 DDEDLO N#Cc1ccc(O)c(C[N@H+]2C[C@H]3CC[C@@H](C2)N3Cc2cn[nH]c2)c1 ZINC001275548310 853317497 /nfs/dbraw/zinc/31/74/97/853317497.db2.gz SWEUTRJPQMNRMN-CALCHBBNSA-N 1 2 323.400 1.836 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3Cc2cn[nH]c2)c1 ZINC001275548310 853317507 /nfs/dbraw/zinc/31/75/07/853317507.db2.gz SWEUTRJPQMNRMN-CALCHBBNSA-N 1 2 323.400 1.836 20 30 DDEDLO N#Cc1cccc(CN2C[C@@H]3C[N@@H+](Cc4ccc[nH]4)C[C@H](C2)O3)n1 ZINC001275606092 853429196 /nfs/dbraw/zinc/42/91/96/853429196.db2.gz UMHFYBAHVZPLKT-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1cccc(CN2C[C@@H]3C[N@H+](Cc4ccc[nH]4)C[C@H](C2)O3)n1 ZINC001275606092 853429201 /nfs/dbraw/zinc/42/92/01/853429201.db2.gz UMHFYBAHVZPLKT-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4cccnc4)C3)C2)cc1C#N ZINC001275885037 853907631 /nfs/dbraw/zinc/90/76/31/853907631.db2.gz UCAMFJKGUJFMQB-UHFFFAOYSA-N 1 2 307.401 1.610 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnc3cc(C4CC4)nn3c2)CC1 ZINC001155856533 862513984 /nfs/dbraw/zinc/51/39/84/862513984.db2.gz FLSAGBGHUSNKKL-UHFFFAOYSA-N 1 2 311.389 1.551 20 30 DDEDLO CC(C)OCCC[NH+]1CC(CCO)(NC(=O)C#CC(C)(C)C)C1 ZINC001276050744 854672156 /nfs/dbraw/zinc/67/21/56/854672156.db2.gz LTNLHKOODXXONZ-UHFFFAOYSA-N 1 2 324.465 1.404 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4cscn4)C3)C2)cc1C#N ZINC001276281044 855085378 /nfs/dbraw/zinc/08/53/78/855085378.db2.gz SJOSXNXCKLHOJM-UHFFFAOYSA-N 1 2 313.430 1.671 20 30 DDEDLO N#Cc1cnnc(N2C[C@H]3OCC[N@H+](Cc4ccccc4)[C@H]3C2)c1 ZINC001412896371 855766621 /nfs/dbraw/zinc/76/66/21/855766621.db2.gz IPFAARCOGGQJBQ-DLBZAZTESA-N 1 2 321.384 1.438 20 30 DDEDLO N#Cc1cnnc(N2C[C@H]3OCC[N@@H+](Cc4ccccc4)[C@H]3C2)c1 ZINC001412896371 855766626 /nfs/dbraw/zinc/76/66/26/855766626.db2.gz IPFAARCOGGQJBQ-DLBZAZTESA-N 1 2 321.384 1.438 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@H](NC(=O)CSCC#N)C[C@@H]2C)n1 ZINC001328220698 862784202 /nfs/dbraw/zinc/78/42/02/862784202.db2.gz CBBYSHOTETXQNE-JQWIXIFHSA-N 1 2 323.422 1.104 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@H](NC(=O)CSCC#N)C[C@@H]2C)n1 ZINC001328220698 862784212 /nfs/dbraw/zinc/78/42/12/862784212.db2.gz CBBYSHOTETXQNE-JQWIXIFHSA-N 1 2 323.422 1.104 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]CCCNC(=O)c2cc(C#N)c[nH]2)no1 ZINC001156282309 862901204 /nfs/dbraw/zinc/90/12/04/862901204.db2.gz RDDVLYQYJFUZFZ-VIFPVBQESA-N 1 2 302.338 1.049 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072602338 857500508 /nfs/dbraw/zinc/50/05/08/857500508.db2.gz HDQLEAQDGKWMLF-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072606479 857506717 /nfs/dbraw/zinc/50/67/17/857506717.db2.gz QMKABMBEVJRCKG-VXGBXAGGSA-N 1 2 304.394 1.128 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccc(C)nc2)C1 ZINC001073536636 858420852 /nfs/dbraw/zinc/42/08/52/858420852.db2.gz CLDVFFIIMIQGIV-OAHLLOKOSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccc(C)nc2)C1 ZINC001073536636 858420854 /nfs/dbraw/zinc/42/08/54/858420854.db2.gz CLDVFFIIMIQGIV-OAHLLOKOSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C2=CCOCC2)C1 ZINC001073583832 858461777 /nfs/dbraw/zinc/46/17/77/858461777.db2.gz FVAQHWPZQURCFC-CQSZACIVSA-N 1 2 314.813 1.293 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C2=CCOCC2)C1 ZINC001073583832 858461778 /nfs/dbraw/zinc/46/17/78/858461778.db2.gz FVAQHWPZQURCFC-CQSZACIVSA-N 1 2 314.813 1.293 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cnnc(C)c2)C1 ZINC001073585229 858461782 /nfs/dbraw/zinc/46/17/82/858461782.db2.gz BRRFTQJXPNURSE-AWEZNQCLSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cnnc(C)c2)C1 ZINC001073585229 858461783 /nfs/dbraw/zinc/46/17/83/858461783.db2.gz BRRFTQJXPNURSE-AWEZNQCLSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N1CC[C@@H](OC)C1 ZINC001122529615 858868570 /nfs/dbraw/zinc/86/85/70/858868570.db2.gz IVIKWRKVHWFQOL-ZIAGYGMSSA-N 1 2 305.426 1.846 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N1CC[C@@H](OC)C1 ZINC001122529615 858868584 /nfs/dbraw/zinc/86/85/84/858868584.db2.gz IVIKWRKVHWFQOL-ZIAGYGMSSA-N 1 2 305.426 1.846 20 30 DDEDLO Cc1nc(NC[C@@H]2CC[C@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001123784008 859412201 /nfs/dbraw/zinc/41/22/01/859412201.db2.gz AXMGGZOCMCGKFM-OLZOCXBDSA-N 1 2 319.434 1.739 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001124786581 859810922 /nfs/dbraw/zinc/81/09/22/859810922.db2.gz OXIMPIIHHCPJBB-CYBMUJFWSA-N 1 2 306.410 1.619 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2cnc3[nH]ccc3c2)CC1 ZINC001138741671 860173277 /nfs/dbraw/zinc/17/32/77/860173277.db2.gz ZCLMCMKOHRQQSQ-UHFFFAOYSA-N 1 2 319.372 1.547 20 30 DDEDLO CCNC(=O)CO[C@@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001139649511 860447045 /nfs/dbraw/zinc/44/70/45/860447045.db2.gz MAIQOVWTNKVBKN-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO CCNC(=O)CO[C@@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001139649511 860447054 /nfs/dbraw/zinc/44/70/54/860447054.db2.gz MAIQOVWTNKVBKN-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO CNc1nccc(C[NH+]2CCN(c3ccccc3C#N)CC2)n1 ZINC001140039640 860557402 /nfs/dbraw/zinc/55/74/02/860557402.db2.gz IEGZHGFPAQJORY-UHFFFAOYSA-N 1 2 308.389 1.712 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](Cc2ccc(OC)c(N)c2)CC1 ZINC001140902088 860729049 /nfs/dbraw/zinc/72/90/49/860729049.db2.gz VTQXOUSZNWNCQS-UHFFFAOYSA-N 1 2 305.378 1.718 20 30 DDEDLO COCC[NH+](CCOC)Cc1sc(N)c(C#N)c1Cl ZINC001141109466 860787792 /nfs/dbraw/zinc/78/77/92/860787792.db2.gz RRZMTZXQSTVGLB-UHFFFAOYSA-N 1 2 303.815 1.950 20 30 DDEDLO CC[N@H+](Cc1nccn1C)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152619408 863459549 /nfs/dbraw/zinc/45/95/49/863459549.db2.gz DXCHATCMRQUBBG-GFCCVEGCSA-N 1 2 314.393 1.260 20 30 DDEDLO CC[N@@H+](Cc1nccn1C)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152619408 863459552 /nfs/dbraw/zinc/45/95/52/863459552.db2.gz DXCHATCMRQUBBG-GFCCVEGCSA-N 1 2 314.393 1.260 20 30 DDEDLO C=CCn1cc(C[NH+]2CC3(C[C@H]3C(=O)Nc3cn[nH]c3)C2)cn1 ZINC001277018739 881676388 /nfs/dbraw/zinc/67/63/88/881676388.db2.gz OXSYTQOKWQEHOO-AWEZNQCLSA-N 1 2 312.377 1.253 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1nccn1C ZINC001157701640 864062543 /nfs/dbraw/zinc/06/25/43/864062543.db2.gz GURXWZAWSMAMBI-OAHLLOKOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1nccn1C ZINC001157701640 864062558 /nfs/dbraw/zinc/06/25/58/864062558.db2.gz GURXWZAWSMAMBI-OAHLLOKOSA-N 1 2 320.437 1.436 20 30 DDEDLO CCc1[nH]c(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)cc1C(=O)OC ZINC001332021278 865509820 /nfs/dbraw/zinc/50/98/20/865509820.db2.gz JLLFEISOWXHKHT-BETUJISGSA-N 1 2 318.421 1.782 20 30 DDEDLO CCc1[nH]c(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)cc1C(=O)OC ZINC001332021278 865509825 /nfs/dbraw/zinc/50/98/25/865509825.db2.gz JLLFEISOWXHKHT-BETUJISGSA-N 1 2 318.421 1.782 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@]3(NC(=O)C#CC(C)C)CCC[C@@H]23)o1 ZINC001332087687 865567284 /nfs/dbraw/zinc/56/72/84/865567284.db2.gz CJZNDNSCJIXPGL-RHSMWYFYSA-N 1 2 316.405 1.651 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@]3(NC(=O)C#CC(C)C)CCC[C@@H]23)o1 ZINC001332087687 865567289 /nfs/dbraw/zinc/56/72/89/865567289.db2.gz CJZNDNSCJIXPGL-RHSMWYFYSA-N 1 2 316.405 1.651 20 30 DDEDLO COC1(C=[NH+]n2c(SC)nnc2SC)CCOCC1 ZINC001332317080 865754374 /nfs/dbraw/zinc/75/43/74/865754374.db2.gz BYKBKTKMIGKMCD-UHFFFAOYSA-N 1 2 302.425 1.751 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]([NH2+]Cc2nc(CC)no2)C(C)(C)C1 ZINC001332360226 865789317 /nfs/dbraw/zinc/78/93/17/865789317.db2.gz ZQRXPUAIWQBJGW-GFCCVEGCSA-N 1 2 306.410 1.925 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]([NH2+]Cc2nc(C)no2)C(C)(C)C1 ZINC001332453195 865851512 /nfs/dbraw/zinc/85/15/12/865851512.db2.gz MJFWDJHGFKBGOI-ZDUSSCGKSA-N 1 2 322.409 1.297 20 30 DDEDLO C#CCOCCOCCNc1[nH+]cccc1CC(=O)OCC ZINC001160669081 866007184 /nfs/dbraw/zinc/00/71/84/866007184.db2.gz QTCQHFXXMZCKGT-UHFFFAOYSA-N 1 2 306.362 1.266 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1nccc(C#N)c1F ZINC001160693844 866036322 /nfs/dbraw/zinc/03/63/22/866036322.db2.gz XMXXLDPFDOKTAF-LLVKDONJSA-N 1 2 303.297 1.022 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CC(=O)N2CC(=O)Nc3ccccc32)C1 ZINC001320038464 866441970 /nfs/dbraw/zinc/44/19/70/866441970.db2.gz SMCVMAVHXRQYRB-CYBMUJFWSA-N 1 2 315.373 1.249 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CC(=O)N2CC(=O)Nc3ccccc32)C1 ZINC001320038464 866441972 /nfs/dbraw/zinc/44/19/72/866441972.db2.gz SMCVMAVHXRQYRB-CYBMUJFWSA-N 1 2 315.373 1.249 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)CC1(C)CCCC1 ZINC001323231603 866455299 /nfs/dbraw/zinc/45/52/99/866455299.db2.gz LFEFVHKOHLXQOQ-LSDHHAIUSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)CC1(C)CCCC1 ZINC001323231603 866455309 /nfs/dbraw/zinc/45/53/09/866455309.db2.gz LFEFVHKOHLXQOQ-LSDHHAIUSA-N 1 2 321.465 1.838 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)COc1ccccc1OC ZINC001323354160 866557183 /nfs/dbraw/zinc/55/71/83/866557183.db2.gz VYYVZCVSIXPVJA-AWEZNQCLSA-N 1 2 302.374 1.288 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)COc1ccccc1OC ZINC001323354160 866557191 /nfs/dbraw/zinc/55/71/91/866557191.db2.gz VYYVZCVSIXPVJA-AWEZNQCLSA-N 1 2 302.374 1.288 20 30 DDEDLO CC(C)C#CC(=O)NCC1([NH2+]Cc2coc(C3CC3)n2)CC1 ZINC001323438083 866610836 /nfs/dbraw/zinc/61/08/36/866610836.db2.gz SWRCAVHGFXHMJO-UHFFFAOYSA-N 1 2 301.390 1.950 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C1C[NH+](CCc2ccnn2C)C1 ZINC001323977709 866989250 /nfs/dbraw/zinc/98/92/50/866989250.db2.gz BSOXDVCULVGKMT-KRWDZBQOSA-N 1 2 304.438 1.708 20 30 DDEDLO C=CCC1(C(=O)N(C)C2C[NH+](CCOCCO)C2)CCCC1 ZINC001324028817 867018292 /nfs/dbraw/zinc/01/82/92/867018292.db2.gz CEEHFRDRMDCWQP-UHFFFAOYSA-N 1 2 310.438 1.274 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C/C=C\CNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001321026417 867227704 /nfs/dbraw/zinc/22/77/04/867227704.db2.gz CGKFZLIQTCZPIX-UMBAGQNISA-N 1 2 314.349 1.215 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NCC1(C)CCN(CC#N)CC1 ZINC001324876406 867596719 /nfs/dbraw/zinc/59/67/19/867596719.db2.gz LUPACQBOGNBFGE-UHFFFAOYSA-N 1 2 303.410 1.095 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1([NH2+]Cc2nc(C)no2)CCOCC1 ZINC001325016013 867698619 /nfs/dbraw/zinc/69/86/19/867698619.db2.gz QDOUCWRJSHNMEV-UHFFFAOYSA-N 1 2 322.409 1.345 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001325130724 867802610 /nfs/dbraw/zinc/80/26/10/867802610.db2.gz UXOALQSQUJJHRL-HOTGVXAUSA-N 1 2 303.406 1.489 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001325130724 867802616 /nfs/dbraw/zinc/80/26/16/867802616.db2.gz UXOALQSQUJJHRL-HOTGVXAUSA-N 1 2 303.406 1.489 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)c2cc(=O)[nH]c(C3CC3)c2)C1 ZINC001325224360 867875640 /nfs/dbraw/zinc/87/56/40/867875640.db2.gz DKGJWWIDZORPRP-UHFFFAOYSA-N 1 2 317.389 1.017 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)N[C@](CCC)(C3CC3)C2=O)C1 ZINC001325280196 867909958 /nfs/dbraw/zinc/90/99/58/867909958.db2.gz VUFRIYQGBXJNTQ-RHSMWYFYSA-N 1 2 321.421 1.722 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)N[C@](CCC)(C3CC3)C2=O)C1 ZINC001325280196 867909976 /nfs/dbraw/zinc/90/99/76/867909976.db2.gz VUFRIYQGBXJNTQ-RHSMWYFYSA-N 1 2 321.421 1.722 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001335067045 868041028 /nfs/dbraw/zinc/04/10/28/868041028.db2.gz JFLVJSVSWVDKJA-DYVFJYSZSA-N 1 2 318.421 1.449 20 30 DDEDLO CC#CC[NH2+]CCCN(C)C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001322639877 868296037 /nfs/dbraw/zinc/29/60/37/868296037.db2.gz CVYXFCAKLZSQMS-UHFFFAOYSA-N 1 2 311.389 1.547 20 30 DDEDLO N#Cc1cnn2cc(C[NH2+][C@@H](c3ncccn3)C3CC3)cnc12 ZINC001336855513 869185028 /nfs/dbraw/zinc/18/50/28/869185028.db2.gz ZBEYIHHYDUVVQI-CQSZACIVSA-N 1 2 305.345 1.632 20 30 DDEDLO C=C1CCC(C(=O)NCC[NH+]2CCN(CC#CC)CC2)CC1 ZINC001316967062 870010157 /nfs/dbraw/zinc/01/01/57/870010157.db2.gz PLBCGLMACPKGHD-UHFFFAOYSA-N 1 2 303.450 1.490 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001316992165 870084871 /nfs/dbraw/zinc/08/48/71/870084871.db2.gz OHYVOANNBXCZSF-HUUCEWRRSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001316992165 870084879 /nfs/dbraw/zinc/08/48/79/870084879.db2.gz OHYVOANNBXCZSF-HUUCEWRRSA-N 1 2 321.465 1.389 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC=CCC1 ZINC001317044694 870159206 /nfs/dbraw/zinc/15/92/06/870159206.db2.gz URYYMDWVWSFYCS-ZACQAIPSSA-N 1 2 302.418 1.668 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC=CCC1 ZINC001317044694 870159210 /nfs/dbraw/zinc/15/92/10/870159210.db2.gz URYYMDWVWSFYCS-ZACQAIPSSA-N 1 2 302.418 1.668 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@H](C)[C@H](C)C1 ZINC001338893734 870227654 /nfs/dbraw/zinc/22/76/54/870227654.db2.gz BTDKHLUYHBOWOX-XGUBFFRZSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@H](C)[C@H](C)C1 ZINC001338893734 870227668 /nfs/dbraw/zinc/22/76/68/870227668.db2.gz BTDKHLUYHBOWOX-XGUBFFRZSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c(N(C)C2CCC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001339067850 870331155 /nfs/dbraw/zinc/33/11/55/870331155.db2.gz FAQCXGGPXPQDNB-BBRMVZONSA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCn1c(N(C)C2CCC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001339067850 870331175 /nfs/dbraw/zinc/33/11/75/870331175.db2.gz FAQCXGGPXPQDNB-BBRMVZONSA-N 1 2 305.426 1.364 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CCCC[C@H]1CNC(=O)C#CC1CC1 ZINC001317172157 870412057 /nfs/dbraw/zinc/41/20/57/870412057.db2.gz ZUUFDCBDXLTSMW-AWEZNQCLSA-N 1 2 318.446 1.731 20 30 DDEDLO Cc1nnsc1C[N@H+]1CCCC[C@H]1CNC(=O)C#CC1CC1 ZINC001317172157 870412062 /nfs/dbraw/zinc/41/20/62/870412062.db2.gz ZUUFDCBDXLTSMW-AWEZNQCLSA-N 1 2 318.446 1.731 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001339267346 870430724 /nfs/dbraw/zinc/43/07/24/870430724.db2.gz MOANCRQJDKSBDX-HSBZDZAISA-N 1 2 318.421 1.660 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1CC=C ZINC001339486058 870521927 /nfs/dbraw/zinc/52/19/27/870521927.db2.gz PYEJUROOEADAIM-CABCVRRESA-N 1 2 317.437 1.704 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1CC=C ZINC001339486058 870521945 /nfs/dbraw/zinc/52/19/45/870521945.db2.gz PYEJUROOEADAIM-CABCVRRESA-N 1 2 317.437 1.704 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C34CCC(CC3)CC4)n2C)CC1 ZINC001339531894 870542524 /nfs/dbraw/zinc/54/25/24/870542524.db2.gz XPXWLKXYPZKFGQ-UHFFFAOYSA-N 1 2 313.449 1.792 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001339826007 870717680 /nfs/dbraw/zinc/71/76/80/870717680.db2.gz CRSRTUUCJXOUTA-QWHCGFSZSA-N 1 2 304.394 1.155 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@H](CCC)C(C)C)C1 ZINC001276439468 870926981 /nfs/dbraw/zinc/92/69/81/870926981.db2.gz DTIRDUSSZQEJPR-GDBMZVCRSA-N 1 2 321.465 1.245 20 30 DDEDLO C#Cc1ccccc1CC(=O)N(C)CC[NH2+]Cc1cnsn1 ZINC001317656990 871335164 /nfs/dbraw/zinc/33/51/64/871335164.db2.gz MODSBTJQIYUQPM-UHFFFAOYSA-N 1 2 314.414 1.310 20 30 DDEDLO C[C@H](C#N)C(=O)N(Cc1ccccc1)[C@@H]1C[N@H+](CCF)C[C@H]1O ZINC001205109597 871366703 /nfs/dbraw/zinc/36/67/03/871366703.db2.gz GCRHOZWRLAOVTA-FVQBIDKESA-N 1 2 319.380 1.189 20 30 DDEDLO C[C@H](C#N)C(=O)N(Cc1ccccc1)[C@@H]1C[N@@H+](CCF)C[C@H]1O ZINC001205109597 871366724 /nfs/dbraw/zinc/36/67/24/871366724.db2.gz GCRHOZWRLAOVTA-FVQBIDKESA-N 1 2 319.380 1.189 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C[NH+]2CCCCC2)n1CCOC ZINC001341125437 871516375 /nfs/dbraw/zinc/51/63/75/871516375.db2.gz YGFSBVLZMIBJDS-AWEZNQCLSA-N 1 2 305.426 1.368 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1nnc(-c2ccco2)o1)CC1CC1 ZINC001341193622 871551683 /nfs/dbraw/zinc/55/16/83/871551683.db2.gz UCCXNECTLBRUSZ-UHFFFAOYSA-N 1 2 300.318 1.613 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1nnc(-c2ccco2)o1)CC1CC1 ZINC001341193622 871551701 /nfs/dbraw/zinc/55/17/01/871551701.db2.gz UCCXNECTLBRUSZ-UHFFFAOYSA-N 1 2 300.318 1.613 20 30 DDEDLO C#CCCCCC(=O)NCC1C[NH+](Cc2cnnn2CC)C1 ZINC001318117483 871756640 /nfs/dbraw/zinc/75/66/40/871756640.db2.gz GAYTVYCFTULTFE-UHFFFAOYSA-N 1 2 303.410 1.040 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1C[NH+](Cc2ccncc2Cl)C1 ZINC001318118101 871759589 /nfs/dbraw/zinc/75/95/89/871759589.db2.gz PIIAWWSYWKBCPW-INIZCTEOSA-N 1 2 323.824 1.610 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CC(CNC(=O)C(C)(C)CC)C1 ZINC001318150241 871781768 /nfs/dbraw/zinc/78/17/68/871781768.db2.gz XUPZEWLRTWCKKK-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)C ZINC001318187738 871806272 /nfs/dbraw/zinc/80/62/72/871806272.db2.gz QIIJVPHIXPOWOO-PMPSAXMXSA-N 1 2 321.465 1.741 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)C ZINC001318187738 871806283 /nfs/dbraw/zinc/80/62/83/871806283.db2.gz QIIJVPHIXPOWOO-PMPSAXMXSA-N 1 2 321.465 1.741 20 30 DDEDLO CCn1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CC#CCOC)c1C ZINC001318209169 871829604 /nfs/dbraw/zinc/82/96/04/871829604.db2.gz IOFHRWUVDJIWCB-HOTGVXAUSA-N 1 2 315.417 1.365 20 30 DDEDLO CCn1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CC#CCOC)c1C ZINC001318209169 871829618 /nfs/dbraw/zinc/82/96/18/871829618.db2.gz IOFHRWUVDJIWCB-HOTGVXAUSA-N 1 2 315.417 1.365 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N(C[C@@H](C)O)C1CC1 ZINC001341903188 871888758 /nfs/dbraw/zinc/88/87/58/871888758.db2.gz LVPPAEBECIKZGW-UKRRQHHQSA-N 1 2 319.453 1.971 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N(C[C@@H](C)O)C1CC1 ZINC001341903188 871888780 /nfs/dbraw/zinc/88/87/80/871888780.db2.gz LVPPAEBECIKZGW-UKRRQHHQSA-N 1 2 319.453 1.971 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001318326071 871929763 /nfs/dbraw/zinc/92/97/63/871929763.db2.gz LCQADCKFAXHXAF-CYBMUJFWSA-N 1 2 305.378 1.567 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001318326071 871929783 /nfs/dbraw/zinc/92/97/83/871929783.db2.gz LCQADCKFAXHXAF-CYBMUJFWSA-N 1 2 305.378 1.567 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2nccs2)C1 ZINC001318403442 872002820 /nfs/dbraw/zinc/00/28/20/872002820.db2.gz RYDCRUBXBMBYIL-CYBMUJFWSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2nccs2)C1 ZINC001318403442 872002838 /nfs/dbraw/zinc/00/28/38/872002838.db2.gz RYDCRUBXBMBYIL-CYBMUJFWSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)CCCC(C)=O)C2 ZINC001316806591 872076730 /nfs/dbraw/zinc/07/67/30/872076730.db2.gz QECQDPCTGHNYPL-UHFFFAOYSA-N 1 2 319.430 1.510 20 30 DDEDLO C=CC[NH+]1CCC(CCNC(=O)c2cncc3nc[nH]c32)CC1 ZINC001319020779 872401076 /nfs/dbraw/zinc/40/10/76/872401076.db2.gz KEJKNGXRQGZCEP-UHFFFAOYSA-N 1 2 313.405 1.976 20 30 DDEDLO CC#CC[NH+]1CCN(CCCNC(=O)c2cc(C)sn2)CC1 ZINC001316956656 872465788 /nfs/dbraw/zinc/46/57/88/872465788.db2.gz USLFLHHUCLRMKQ-UHFFFAOYSA-N 1 2 320.462 1.212 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2ncccn2)C[C@H]1C ZINC001206614275 872477562 /nfs/dbraw/zinc/47/75/62/872477562.db2.gz TUPSQHPHGGXZQI-MGPQQGTHSA-N 1 2 304.394 1.177 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2ncccn2)C[C@H]1C ZINC001206614275 872477565 /nfs/dbraw/zinc/47/75/65/872477565.db2.gz TUPSQHPHGGXZQI-MGPQQGTHSA-N 1 2 304.394 1.177 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C(C)(C)[C@@H]2CCCCO2)C1 ZINC001319311076 872561685 /nfs/dbraw/zinc/56/16/85/872561685.db2.gz SRZVPRBZTWUGGI-CABCVRRESA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C(C)(C)[C@@H]2CCCCO2)C1 ZINC001319311076 872561691 /nfs/dbraw/zinc/56/16/91/872561691.db2.gz SRZVPRBZTWUGGI-CABCVRRESA-N 1 2 310.438 1.585 20 30 DDEDLO C#CCOCC[N@@H+](C)Cc1nnc(-c2ccc(OC)cc2)o1 ZINC001319554301 872691116 /nfs/dbraw/zinc/69/11/16/872691116.db2.gz SMCUAXQSNMDGFX-UHFFFAOYSA-N 1 2 301.346 1.827 20 30 DDEDLO C#CCOCC[N@H+](C)Cc1nnc(-c2ccc(OC)cc2)o1 ZINC001319554301 872691124 /nfs/dbraw/zinc/69/11/24/872691124.db2.gz SMCUAXQSNMDGFX-UHFFFAOYSA-N 1 2 301.346 1.827 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001206963998 872856036 /nfs/dbraw/zinc/85/60/36/872856036.db2.gz UAVKUXIWQSZXIG-MRVWCRGKSA-N 1 2 321.446 1.426 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001206963998 872856047 /nfs/dbraw/zinc/85/60/47/872856047.db2.gz UAVKUXIWQSZXIG-MRVWCRGKSA-N 1 2 321.446 1.426 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]1CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001344175038 872911262 /nfs/dbraw/zinc/91/12/62/872911262.db2.gz YOFMSJIQIMKANN-AWEZNQCLSA-N 1 2 318.421 1.676 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001344548545 873035466 /nfs/dbraw/zinc/03/54/66/873035466.db2.gz HIYSNBMHKIMPHD-DLBZAZTESA-N 1 2 315.417 1.327 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001344548545 873035480 /nfs/dbraw/zinc/03/54/80/873035480.db2.gz HIYSNBMHKIMPHD-DLBZAZTESA-N 1 2 315.417 1.327 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)Cc2ncccc2OC)C1 ZINC001381799943 882696505 /nfs/dbraw/zinc/69/65/05/882696505.db2.gz BKZPJQZVBDWKQX-GFCCVEGCSA-N 1 2 323.824 1.822 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@@H]1CCOC1 ZINC001345412167 873395641 /nfs/dbraw/zinc/39/56/41/873395641.db2.gz JMTKQRYZMIQWFV-KBPBESRZSA-N 1 2 303.410 1.151 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@@H]1CCOC1 ZINC001345412167 873395650 /nfs/dbraw/zinc/39/56/50/873395650.db2.gz JMTKQRYZMIQWFV-KBPBESRZSA-N 1 2 303.410 1.151 20 30 DDEDLO CCOCCCNC(=O)[C@H](C#N)C(=O)[C@H]1CCC[N@@H+]1C(C)C ZINC001346199474 873643834 /nfs/dbraw/zinc/64/38/34/873643834.db2.gz XCYIHXMIYPUEHB-ZIAGYGMSSA-N 1 2 309.410 1.111 20 30 DDEDLO CCOCCCNC(=O)[C@H](C#N)C(=O)[C@H]1CCC[N@H+]1C(C)C ZINC001346199474 873643841 /nfs/dbraw/zinc/64/38/41/873643841.db2.gz XCYIHXMIYPUEHB-ZIAGYGMSSA-N 1 2 309.410 1.111 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC001346401248 873735536 /nfs/dbraw/zinc/73/55/36/873735536.db2.gz LQDWQUDBYDZJOZ-KGLIPLIRSA-N 1 2 318.421 1.597 20 30 DDEDLO Cc1noc(C[NH2+][C@@H]2CCCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001208008359 873741436 /nfs/dbraw/zinc/74/14/36/873741436.db2.gz HOZGTUAURYXFJO-CYBMUJFWSA-N 1 2 304.394 1.508 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208315345 873996083 /nfs/dbraw/zinc/99/60/83/873996083.db2.gz VLMOLPFJADRAGP-NVXWUHKLSA-N 1 2 316.449 1.940 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208315345 873996087 /nfs/dbraw/zinc/99/60/87/873996087.db2.gz VLMOLPFJADRAGP-NVXWUHKLSA-N 1 2 316.449 1.940 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[N@@H+](Cc2cnnn2CC)CC1(C)C ZINC001276579931 874398693 /nfs/dbraw/zinc/39/86/93/874398693.db2.gz PKTUSCJWHPOLQN-HNNXBMFYSA-N 1 2 317.437 1.428 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[N@H+](Cc2cnnn2CC)CC1(C)C ZINC001276579931 874398710 /nfs/dbraw/zinc/39/87/10/874398710.db2.gz PKTUSCJWHPOLQN-HNNXBMFYSA-N 1 2 317.437 1.428 20 30 DDEDLO C#CCOCCN(C)C(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC001348891152 874858798 /nfs/dbraw/zinc/85/87/98/874858798.db2.gz LPSRTGNFPWBTFI-UHFFFAOYSA-N 1 2 321.327 1.731 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)CC(C)C)[C@H](OC)C1 ZINC001213634332 876002530 /nfs/dbraw/zinc/00/25/30/876002530.db2.gz UAJRMPJETZJQIC-ARFHVFGLSA-N 1 2 310.438 1.134 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)CC(C)C)[C@H](OC)C1 ZINC001213634332 876002548 /nfs/dbraw/zinc/00/25/48/876002548.db2.gz UAJRMPJETZJQIC-ARFHVFGLSA-N 1 2 310.438 1.134 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](F)CC2CCCCC2)[C@H](OC)C1 ZINC001213728904 876036807 /nfs/dbraw/zinc/03/68/07/876036807.db2.gz ZCKRKVRZCWVKTL-BZUAXINKSA-N 1 2 310.413 1.744 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](F)CC2CCCCC2)[C@H](OC)C1 ZINC001213728904 876036826 /nfs/dbraw/zinc/03/68/26/876036826.db2.gz ZCKRKVRZCWVKTL-BZUAXINKSA-N 1 2 310.413 1.744 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](CCF)C[C@H]2OC)CCCCC1 ZINC001213957627 876113267 /nfs/dbraw/zinc/11/32/67/876113267.db2.gz KRHZYZUIRIRZCN-HUUCEWRRSA-N 1 2 310.413 1.745 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](CCF)C[C@H]2OC)CCCCC1 ZINC001213957627 876113274 /nfs/dbraw/zinc/11/32/74/876113274.db2.gz KRHZYZUIRIRZCN-HUUCEWRRSA-N 1 2 310.413 1.745 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CCCNC(=O)CCc1c[nH]c[nH+]1 ZINC001351612894 876349366 /nfs/dbraw/zinc/34/93/66/876349366.db2.gz YLCNLSNTHQNUHH-UHFFFAOYSA-N 1 2 320.437 1.909 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CCCNC(=O)CCc1c[nH+]c[nH]1 ZINC001351612894 876349373 /nfs/dbraw/zinc/34/93/73/876349373.db2.gz YLCNLSNTHQNUHH-UHFFFAOYSA-N 1 2 320.437 1.909 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001214743875 876455850 /nfs/dbraw/zinc/45/58/50/876455850.db2.gz RFVNXRWZGFURBH-CHWSQXEVSA-N 1 2 306.410 1.925 20 30 DDEDLO N#CCC1CN(C(=O)[C@H]2CC23C[NH+](Cc2cncs2)C3)C1 ZINC001277220433 883083016 /nfs/dbraw/zinc/08/30/16/883083016.db2.gz TWVRSBNWWWBBAR-CYBMUJFWSA-N 1 2 302.403 1.337 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001353452399 877342538 /nfs/dbraw/zinc/34/25/38/877342538.db2.gz BZLMHYWFRVXXOP-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001353452399 877342550 /nfs/dbraw/zinc/34/25/50/877342550.db2.gz BZLMHYWFRVXXOP-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCOc1cc(F)ccc1NC(=O)C[NH+]1CCC(CO)CC1 ZINC001353595869 877439178 /nfs/dbraw/zinc/43/91/78/877439178.db2.gz HJZSQFRDEDNUEA-UHFFFAOYSA-N 1 2 320.364 1.481 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1conc1COC ZINC001379767951 877963079 /nfs/dbraw/zinc/96/30/79/877963079.db2.gz RPBMHHHZUJCFIL-SNVBAGLBSA-N 1 2 301.774 1.624 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1conc1COC ZINC001379767951 877963087 /nfs/dbraw/zinc/96/30/87/877963087.db2.gz RPBMHHHZUJCFIL-SNVBAGLBSA-N 1 2 301.774 1.624 20 30 DDEDLO CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219197819 878000102 /nfs/dbraw/zinc/00/01/02/878000102.db2.gz ARRPMFYRPSXADH-CVEARBPZSA-N 1 2 315.417 1.656 20 30 DDEDLO CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219197819 878000122 /nfs/dbraw/zinc/00/01/22/878000122.db2.gz ARRPMFYRPSXADH-CVEARBPZSA-N 1 2 315.417 1.656 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219482056 878264085 /nfs/dbraw/zinc/26/40/85/878264085.db2.gz JDRPLZUISMNQLU-MOPGFXCFSA-N 1 2 324.424 1.393 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219482056 878264098 /nfs/dbraw/zinc/26/40/98/878264098.db2.gz JDRPLZUISMNQLU-MOPGFXCFSA-N 1 2 324.424 1.393 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)[C@]34C[C@H]3COC4)CC2)cc1 ZINC001472959437 878494212 /nfs/dbraw/zinc/49/42/12/878494212.db2.gz BVNXYAYCCZZZLY-WMZOPIPTSA-N 1 2 311.385 1.239 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@@H]2C[C@H](O)C[N@@H+]2C)n1C ZINC001355680987 878675632 /nfs/dbraw/zinc/67/56/32/878675632.db2.gz KYQRRSNQENRQTL-KBPBESRZSA-N 1 2 307.442 1.735 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@@H]2C[C@H](O)C[N@H+]2C)n1C ZINC001355680987 878675643 /nfs/dbraw/zinc/67/56/43/878675643.db2.gz KYQRRSNQENRQTL-KBPBESRZSA-N 1 2 307.442 1.735 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1O ZINC001220076158 878689628 /nfs/dbraw/zinc/68/96/28/878689628.db2.gz RZJHCGQUVRKFNE-KZYWRJBESA-N 1 2 316.829 1.129 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1O ZINC001220076158 878689641 /nfs/dbraw/zinc/68/96/41/878689641.db2.gz RZJHCGQUVRKFNE-KZYWRJBESA-N 1 2 316.829 1.129 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@@H]1O ZINC001220203428 878817680 /nfs/dbraw/zinc/81/76/80/878817680.db2.gz GHMPCVZQOVXENB-MAZHCROVSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@@H]1O ZINC001220203428 878817698 /nfs/dbraw/zinc/81/76/98/878817698.db2.gz GHMPCVZQOVXENB-MAZHCROVSA-N 1 2 321.421 1.501 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001356350553 879008950 /nfs/dbraw/zinc/00/89/50/879008950.db2.gz DOGKGNZTCVIRDS-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001356386138 879028945 /nfs/dbraw/zinc/02/89/45/879028945.db2.gz QFAUBYCVTILFOJ-CXAGYDPISA-N 1 2 318.421 1.662 20 30 DDEDLO CC(C)N(CCCNC(=O)C#CC1CC1)C(=O)Cc1c[nH+]c[nH]1 ZINC001356665690 879175664 /nfs/dbraw/zinc/17/56/64/879175664.db2.gz NPTOJCBPRAKXRO-UHFFFAOYSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCCC(=O)N1CC(NC(=O)CCCn2cc[nH+]c2)C1 ZINC001356773431 879331018 /nfs/dbraw/zinc/33/10/18/879331018.db2.gz UMIUXNPLJCLXOG-UHFFFAOYSA-N 1 2 304.394 1.347 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@@H]1O ZINC001221139530 879524718 /nfs/dbraw/zinc/52/47/18/879524718.db2.gz BSKAUSMRRSKDJS-CVEARBPZSA-N 1 2 322.380 1.080 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@@H]1O ZINC001221139530 879524727 /nfs/dbraw/zinc/52/47/27/879524727.db2.gz BSKAUSMRRSKDJS-CVEARBPZSA-N 1 2 322.380 1.080 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](C)[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001357072343 879755639 /nfs/dbraw/zinc/75/56/39/879755639.db2.gz DITOBLUQOWBGHO-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@H](C)CC)C[C@H]21 ZINC001221514310 879903124 /nfs/dbraw/zinc/90/31/24/879903124.db2.gz RQERFCHKBXYRFA-BZUAXINKSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@H](C)CC)C[C@H]21 ZINC001221514310 879903140 /nfs/dbraw/zinc/90/31/40/879903140.db2.gz RQERFCHKBXYRFA-BZUAXINKSA-N 1 2 319.449 1.237 20 30 DDEDLO CCc1ccc(C(=O)N2C[C@H]3CC[N@H+](CC#CCOC)C[C@H]32)o1 ZINC001221649419 879989708 /nfs/dbraw/zinc/98/97/08/879989708.db2.gz QCJAPOMKIQGOBR-GDBMZVCRSA-N 1 2 316.401 1.638 20 30 DDEDLO CCc1ccc(C(=O)N2C[C@H]3CC[N@@H+](CC#CCOC)C[C@H]32)o1 ZINC001221649419 879989720 /nfs/dbraw/zinc/98/97/20/879989720.db2.gz QCJAPOMKIQGOBR-GDBMZVCRSA-N 1 2 316.401 1.638 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC(C)(C)CN(C)C(=O)[C@H](C)C#N ZINC001380589893 879997340 /nfs/dbraw/zinc/99/73/40/879997340.db2.gz QCSHYBOBSJHNOO-LLVKDONJSA-N 1 2 319.409 1.021 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C)co3)[C@@H]2C1 ZINC001221750600 880056048 /nfs/dbraw/zinc/05/60/48/880056048.db2.gz NJOPZLXNPVSCNF-HUUCEWRRSA-N 1 2 302.374 1.384 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C)co3)[C@@H]2C1 ZINC001221750600 880056065 /nfs/dbraw/zinc/05/60/65/880056065.db2.gz NJOPZLXNPVSCNF-HUUCEWRRSA-N 1 2 302.374 1.384 20 30 DDEDLO Cc1cc(CNC(=O)NC2CCN(CC#N)CC2)cc(C)[nH+]1 ZINC001221811067 880100547 /nfs/dbraw/zinc/10/05/47/880100547.db2.gz MAIAGVXZGKJNGJ-UHFFFAOYSA-N 1 2 301.394 1.486 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCOCCOC)[C@@H]2C1 ZINC001222064407 880208432 /nfs/dbraw/zinc/20/84/32/880208432.db2.gz SMEVPXYRAOBIIH-ZIAGYGMSSA-N 1 2 316.829 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCOCCOC)[C@@H]2C1 ZINC001222064407 880208446 /nfs/dbraw/zinc/20/84/46/880208446.db2.gz SMEVPXYRAOBIIH-ZIAGYGMSSA-N 1 2 316.829 1.325 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3ccns3)C[C@H]21 ZINC001222071453 880215623 /nfs/dbraw/zinc/21/56/23/880215623.db2.gz ACUKRLDFDZIABZ-UMVBOHGHSA-N 1 2 321.446 1.767 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccns3)C[C@H]21 ZINC001222071453 880215636 /nfs/dbraw/zinc/21/56/36/880215636.db2.gz ACUKRLDFDZIABZ-UMVBOHGHSA-N 1 2 321.446 1.767 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CNC(=O)[C@H](c1cccnc1)[NH+](C)C ZINC001358094883 880327420 /nfs/dbraw/zinc/32/74/20/880327420.db2.gz WAGBTRZJFMGDOV-CJNGLKHVSA-N 1 2 318.421 1.271 20 30 DDEDLO Cn1c[nH+]cc1CO[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC001222595288 880586762 /nfs/dbraw/zinc/58/67/62/880586762.db2.gz VZPFKPALQCHEKL-DGCLKSJQSA-N 1 2 306.366 1.696 20 30 DDEDLO Cc1nnc([C@@H](C)[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)s1 ZINC001222641995 880617909 /nfs/dbraw/zinc/61/79/09/880617909.db2.gz WLYKIQYWHLVWIL-WDEREUQCSA-N 1 2 321.450 1.895 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H]2CCN(C(=O)C#CC(C)C)C[C@@H]21 ZINC001222652016 880621993 /nfs/dbraw/zinc/62/19/93/880621993.db2.gz QWOOHWOSTKQPNL-ZBFHGGJFSA-N 1 2 316.405 1.460 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H]2CCN(C(=O)C#CC(C)C)C[C@@H]21 ZINC001222652016 880621996 /nfs/dbraw/zinc/62/19/96/880621996.db2.gz QWOOHWOSTKQPNL-ZBFHGGJFSA-N 1 2 316.405 1.460 20 30 DDEDLO CCc1noc(C[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)n1 ZINC001222663502 880626184 /nfs/dbraw/zinc/62/61/84/880626184.db2.gz ATVZUSJNEWPUDQ-LLVKDONJSA-N 1 2 305.382 1.120 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001358627489 880639850 /nfs/dbraw/zinc/63/98/50/880639850.db2.gz JZXVWEGOQYNWRS-CYBMUJFWSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001358627489 880639855 /nfs/dbraw/zinc/63/98/55/880639855.db2.gz JZXVWEGOQYNWRS-CYBMUJFWSA-N 1 2 304.394 1.272 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCC[N@H+](C)[C@@H](C)c1nc(C2CC2)no1 ZINC001380946758 880715950 /nfs/dbraw/zinc/71/59/50/880715950.db2.gz GFODIGFJLVHSDU-NEPJUHHUSA-N 1 2 319.409 1.948 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCC[N@@H+](C)[C@@H](C)c1nc(C2CC2)no1 ZINC001380946758 880715953 /nfs/dbraw/zinc/71/59/53/880715953.db2.gz GFODIGFJLVHSDU-NEPJUHHUSA-N 1 2 319.409 1.948 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001358673970 880737636 /nfs/dbraw/zinc/73/76/36/880737636.db2.gz NPPDMMXBPHDTEV-CHWSQXEVSA-N 1 2 304.394 1.106 20 30 DDEDLO Cn1ccnc1NC(=O)[C@@]12C[C@@H]1CC[N@@H+]2Cc1ccc(C#N)cc1 ZINC001276928963 881136382 /nfs/dbraw/zinc/13/63/82/881136382.db2.gz GTAIPSCGGKJSQY-MAUKXSAKSA-N 1 2 321.384 1.895 20 30 DDEDLO Cn1ccnc1NC(=O)[C@@]12C[C@@H]1CC[N@H+]2Cc1ccc(C#N)cc1 ZINC001276928963 881136384 /nfs/dbraw/zinc/13/63/84/881136384.db2.gz GTAIPSCGGKJSQY-MAUKXSAKSA-N 1 2 321.384 1.895 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1CC[NH+](Cc2nnnn2C)CC1 ZINC001224013984 881182068 /nfs/dbraw/zinc/18/20/68/881182068.db2.gz OUXJXWJYHVSYDK-UHFFFAOYSA-N 1 2 320.441 1.141 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC1CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001224165007 881249502 /nfs/dbraw/zinc/24/95/02/881249502.db2.gz DVFMZGJIBDMTJG-QWHCGFSZSA-N 1 2 319.453 1.900 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C(C1CC1)C1CC1 ZINC001276956150 881270511 /nfs/dbraw/zinc/27/05/11/881270511.db2.gz LIIASGSYNIEJFG-DOMZBBRYSA-N 1 2 319.449 1.304 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C(C1CC1)C1CC1 ZINC001276956150 881270529 /nfs/dbraw/zinc/27/05/29/881270529.db2.gz LIIASGSYNIEJFG-DOMZBBRYSA-N 1 2 319.449 1.304 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001228747505 883650907 /nfs/dbraw/zinc/65/09/07/883650907.db2.gz BUVKMWFCCRDRHF-VXGBXAGGSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001228809057 883682127 /nfs/dbraw/zinc/68/21/27/883682127.db2.gz DGGNRHSGBZQCSW-CYBMUJFWSA-N 1 2 305.426 1.498 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cn4nc(Cl)ccc4n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228840433 883693980 /nfs/dbraw/zinc/69/39/80/883693980.db2.gz MNLJOHBEHGHOGC-KLXOBDOZSA-N 1 2 306.753 1.374 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cn4nc(Cl)ccc4n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228840433 883693985 /nfs/dbraw/zinc/69/39/85/883693985.db2.gz MNLJOHBEHGHOGC-KLXOBDOZSA-N 1 2 306.753 1.374 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nccn2CC)CC1 ZINC001230407048 884473331 /nfs/dbraw/zinc/47/33/31/884473331.db2.gz HWRCZIYKXGLWMD-AWEZNQCLSA-N 1 2 320.437 1.575 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1CC1 ZINC001230556869 884577866 /nfs/dbraw/zinc/57/78/66/884577866.db2.gz PLZUPRSPJLOMAX-OAHLLOKOSA-N 1 2 305.422 1.130 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CC1 ZINC001230556869 884577868 /nfs/dbraw/zinc/57/78/68/884577868.db2.gz PLZUPRSPJLOMAX-OAHLLOKOSA-N 1 2 305.422 1.130 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1C ZINC001230638964 884682525 /nfs/dbraw/zinc/68/25/25/884682525.db2.gz RRCCGSAVMZGXGW-HNNXBMFYSA-N 1 2 315.417 1.444 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1C ZINC001230638964 884682535 /nfs/dbraw/zinc/68/25/35/884682535.db2.gz RRCCGSAVMZGXGW-HNNXBMFYSA-N 1 2 315.417 1.444 20 30 DDEDLO C#CC[N@@H+](CCCNC(=O)C(=O)C(C)(C)C)Cc1cccnc1 ZINC001230717568 884793226 /nfs/dbraw/zinc/79/32/26/884793226.db2.gz XEWUHZNDDBMZKW-UHFFFAOYSA-N 1 2 315.417 1.638 20 30 DDEDLO C#CC[N@H+](CCCNC(=O)C(=O)C(C)(C)C)Cc1cccnc1 ZINC001230717568 884793232 /nfs/dbraw/zinc/79/32/32/884793232.db2.gz XEWUHZNDDBMZKW-UHFFFAOYSA-N 1 2 315.417 1.638 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(Cl)c[nH]1 ZINC001230735585 884810953 /nfs/dbraw/zinc/81/09/53/884810953.db2.gz VWSKDSHCNBCVOF-CYBMUJFWSA-N 1 2 309.797 1.464 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(Cl)c[nH]1 ZINC001230735585 884810970 /nfs/dbraw/zinc/81/09/70/884810970.db2.gz VWSKDSHCNBCVOF-CYBMUJFWSA-N 1 2 309.797 1.464 20 30 DDEDLO Cn1c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)nc2ccc(Cl)nc21 ZINC001231039287 885154340 /nfs/dbraw/zinc/15/43/40/885154340.db2.gz UDVMYMYCXOATBN-PINQPDRWSA-N 1 2 320.780 1.613 20 30 DDEDLO Cn1c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)nc2ccc(Cl)nc21 ZINC001231039287 885154350 /nfs/dbraw/zinc/15/43/50/885154350.db2.gz UDVMYMYCXOATBN-PINQPDRWSA-N 1 2 320.780 1.613 20 30 DDEDLO CCOc1cccc(C[N@@H+]2CC[C@H]2CN(C)C(=O)[C@@H](C)C#N)n1 ZINC001231247706 885429830 /nfs/dbraw/zinc/42/98/30/885429830.db2.gz QZAZWTHDBDJGDG-ZFWWWQNUSA-N 1 2 316.405 1.673 20 30 DDEDLO CCOc1cccc(C[N@H+]2CC[C@H]2CN(C)C(=O)[C@@H](C)C#N)n1 ZINC001231247706 885429837 /nfs/dbraw/zinc/42/98/37/885429837.db2.gz QZAZWTHDBDJGDG-ZFWWWQNUSA-N 1 2 316.405 1.673 20 30 DDEDLO N#Cc1cccc(C#N)c1NC1CC[NH+]([C@@H]2CCOC2=O)CC1 ZINC001363149113 885584577 /nfs/dbraw/zinc/58/45/77/885584577.db2.gz PQFSNZWGERVZRR-OAHLLOKOSA-N 1 2 310.357 1.622 20 30 DDEDLO Cc1nccnc1C[NH+]1CC2(C[C@H]2C(=O)NC2(C#N)CCC2)C1 ZINC001277558900 885842036 /nfs/dbraw/zinc/84/20/36/885842036.db2.gz GCOVKRALRDRFHT-ZDUSSCGKSA-N 1 2 311.389 1.169 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2cc3ncccc3[nH]2)CC1 ZINC001232065180 886065228 /nfs/dbraw/zinc/06/52/28/886065228.db2.gz MKYAONOYGOIXMS-UHFFFAOYSA-N 1 2 319.372 1.547 20 30 DDEDLO N#CC1(C(=O)N2CC3(C2)CN(c2cccc[nH+]2)C3)CCOCC1 ZINC001277752143 886742822 /nfs/dbraw/zinc/74/28/22/886742822.db2.gz CESNONVLRIHWPF-UHFFFAOYSA-N 1 2 312.373 1.051 20 30 DDEDLO N#Cc1cc[nH]c1C[N@H+]1C[C@H]2CN(Cc3nccs3)C[C@H]2C1 ZINC001233045368 886755668 /nfs/dbraw/zinc/75/56/68/886755668.db2.gz WLBYBRRWOGKMJR-OKILXGFUSA-N 1 2 313.430 1.907 20 30 DDEDLO N#Cc1cc[nH]c1C[N@@H+]1C[C@H]2CN(Cc3nccs3)C[C@H]2C1 ZINC001233045368 886755684 /nfs/dbraw/zinc/75/56/84/886755684.db2.gz WLBYBRRWOGKMJR-OKILXGFUSA-N 1 2 313.430 1.907 20 30 DDEDLO N#Cc1ccc(NCC(=O)NCc2[nH]c3c([nH+]2)CCCC3)nc1 ZINC001363624570 886798871 /nfs/dbraw/zinc/79/88/71/886798871.db2.gz LHWLWOKKBWVWBV-UHFFFAOYSA-N 1 2 310.361 1.283 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C=C(CC)CC ZINC001233612830 887153398 /nfs/dbraw/zinc/15/33/98/887153398.db2.gz ZFAUVNZHLSKZFH-ZBFHGGJFSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C=C(CC)CC ZINC001233612830 887153408 /nfs/dbraw/zinc/15/34/08/887153408.db2.gz ZFAUVNZHLSKZFH-ZBFHGGJFSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCC=C ZINC001233947336 887486420 /nfs/dbraw/zinc/48/64/20/887486420.db2.gz KPTSBYFIRLXDAV-LSDHHAIUSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCC=C ZINC001233947336 887486427 /nfs/dbraw/zinc/48/64/27/887486427.db2.gz KPTSBYFIRLXDAV-LSDHHAIUSA-N 1 2 305.422 1.013 20 30 DDEDLO COc1cc[nH+]cc1Cn1c(C)nnc1N1CC[C@](F)(C#N)C1 ZINC001396097345 913151951 /nfs/dbraw/zinc/15/19/51/913151951.db2.gz HELTYRZJXPFNFT-HNNXBMFYSA-N 1 2 316.340 1.480 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)ncn1 ZINC001233978294 887519521 /nfs/dbraw/zinc/51/95/21/887519521.db2.gz SVRLHFDIRTZVJD-DOTOQJQBSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)ncn1 ZINC001233978294 887519529 /nfs/dbraw/zinc/51/95/29/887519529.db2.gz SVRLHFDIRTZVJD-DOTOQJQBSA-N 1 2 318.421 1.145 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234151062 887688129 /nfs/dbraw/zinc/68/81/29/887688129.db2.gz MAPXJEWHHMSHAE-OAHLLOKOSA-N 1 2 305.422 1.322 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234151062 887688131 /nfs/dbraw/zinc/68/81/31/887688131.db2.gz MAPXJEWHHMSHAE-OAHLLOKOSA-N 1 2 305.422 1.322 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001234255671 887797392 /nfs/dbraw/zinc/79/73/92/887797392.db2.gz PFCXAMADWKHDKZ-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001234255671 887797410 /nfs/dbraw/zinc/79/74/10/887797410.db2.gz PFCXAMADWKHDKZ-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NC ZINC001234517593 888046008 /nfs/dbraw/zinc/04/60/08/888046008.db2.gz NOMQLMCITZHMMM-DZGCQCFKSA-N 1 2 307.438 1.422 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NC ZINC001234517593 888046017 /nfs/dbraw/zinc/04/60/17/888046017.db2.gz NOMQLMCITZHMMM-DZGCQCFKSA-N 1 2 307.438 1.422 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2[C@@H](C)C(=O)N(C)C)CCC1 ZINC001235227490 888490828 /nfs/dbraw/zinc/49/08/28/888490828.db2.gz URUJNRMLUBXIFE-LSDHHAIUSA-N 1 2 319.449 1.189 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@@H](C)C(=O)N(C)C)CCC1 ZINC001235227490 888490837 /nfs/dbraw/zinc/49/08/37/888490837.db2.gz URUJNRMLUBXIFE-LSDHHAIUSA-N 1 2 319.449 1.189 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1nccc(C)n1 ZINC001235246420 888501816 /nfs/dbraw/zinc/50/18/16/888501816.db2.gz QYABHUQWJQIKIA-HNNXBMFYSA-N 1 2 320.437 1.704 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1nccc(C)n1 ZINC001235246420 888501827 /nfs/dbraw/zinc/50/18/27/888501827.db2.gz QYABHUQWJQIKIA-HNNXBMFYSA-N 1 2 320.437 1.704 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nc(C(C)C)no1 ZINC001235698611 888893720 /nfs/dbraw/zinc/89/37/20/888893720.db2.gz MEDQSCXKTAKMEB-UHFFFAOYSA-N 1 2 310.398 1.334 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nc(C(C)C)no1 ZINC001235698611 888893733 /nfs/dbraw/zinc/89/37/33/888893733.db2.gz MEDQSCXKTAKMEB-UHFFFAOYSA-N 1 2 310.398 1.334 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(NC(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC001385453027 889136718 /nfs/dbraw/zinc/13/67/18/889136718.db2.gz VDOOKNKNWIQMAF-OLZOCXBDSA-N 1 2 317.393 1.101 20 30 DDEDLO C#CCN(C(=O)COC)C1CC[NH+](Cc2ncc(CC)o2)CC1 ZINC001278102025 889651643 /nfs/dbraw/zinc/65/16/43/889651643.db2.gz MWGQTIOMAUCCDS-UHFFFAOYSA-N 1 2 319.405 1.310 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CCN1Cc1c[nH+]cn1CC ZINC001237593190 889726919 /nfs/dbraw/zinc/72/69/19/889726919.db2.gz KESQYPQNXSUDAU-HNNXBMFYSA-N 1 2 316.409 1.334 20 30 DDEDLO COCCN(C)C(=O)[C@@]12C[C@@H]1CC[N@@H+]2Cc1ccccc1C#N ZINC001278239761 890242818 /nfs/dbraw/zinc/24/28/18/890242818.db2.gz XQNDSCRSSRMZCY-FUHWJXTLSA-N 1 2 313.401 1.627 20 30 DDEDLO COCCN(C)C(=O)[C@@]12C[C@@H]1CC[N@H+]2Cc1ccccc1C#N ZINC001278239761 890242827 /nfs/dbraw/zinc/24/28/27/890242827.db2.gz XQNDSCRSSRMZCY-FUHWJXTLSA-N 1 2 313.401 1.627 20 30 DDEDLO CCCCCCCCS(=O)(=O)NC1(C(=O)OCC)C[NH2+]C1 ZINC001238785529 890344989 /nfs/dbraw/zinc/34/49/89/890344989.db2.gz GUVNNJZBSOWOHI-UHFFFAOYSA-N 1 2 320.455 1.171 20 30 DDEDLO CCN(C)C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001278284089 890355034 /nfs/dbraw/zinc/35/50/34/890355034.db2.gz DXCMMJVIHMQKPC-UHFFFAOYSA-N 1 2 301.394 1.086 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@H]1C ZINC001290690392 913487526 /nfs/dbraw/zinc/48/75/26/913487526.db2.gz HWGPFDKKICDBCH-KGLIPLIRSA-N 1 2 318.421 1.723 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H]1C ZINC001290735887 913497172 /nfs/dbraw/zinc/49/71/72/913497172.db2.gz BGHWBYQHBCZHEN-HIFRSBDPSA-N 1 2 316.405 1.251 20 30 DDEDLO C[C@H]1[C@H](NC(=O)CSCC#N)CCC[N@@H+]1Cc1ccns1 ZINC001278501081 893564323 /nfs/dbraw/zinc/56/43/23/893564323.db2.gz RBRHELIRTOBART-WCQYABFASA-N 1 2 324.475 1.869 20 30 DDEDLO C[C@H]1[C@H](NC(=O)CSCC#N)CCC[N@H+]1Cc1ccns1 ZINC001278501081 893564337 /nfs/dbraw/zinc/56/43/37/893564337.db2.gz RBRHELIRTOBART-WCQYABFASA-N 1 2 324.475 1.869 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)C(C)(C)NC(=O)NCCC ZINC001366594165 894178444 /nfs/dbraw/zinc/17/84/44/894178444.db2.gz DLVXJWCLZRRGHN-UHFFFAOYSA-N 1 2 318.849 1.275 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)C(C)(C)NC(=O)NCCC ZINC001366594165 894178450 /nfs/dbraw/zinc/17/84/50/894178450.db2.gz DLVXJWCLZRRGHN-UHFFFAOYSA-N 1 2 318.849 1.275 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)CCC[N@@H+]([C@H](C)c2nnnn2C)C1 ZINC001278648805 895031045 /nfs/dbraw/zinc/03/10/45/895031045.db2.gz UGMNUKJUFJNOFQ-CJNGLKHVSA-N 1 2 320.441 1.456 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)CCC[N@H+]([C@H](C)c2nnnn2C)C1 ZINC001278648805 895031060 /nfs/dbraw/zinc/03/10/60/895031060.db2.gz UGMNUKJUFJNOFQ-CJNGLKHVSA-N 1 2 320.441 1.456 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)CCCF)C2)ccc1F ZINC001366978897 895478729 /nfs/dbraw/zinc/47/87/29/895478729.db2.gz ZOLHUQOJBMQJKQ-UHFFFAOYSA-N 1 2 307.344 1.995 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)CCc2ncccn2)C1 ZINC001367054588 895688472 /nfs/dbraw/zinc/68/84/72/895688472.db2.gz QWYUPBOWLHXHKM-CYBMUJFWSA-N 1 2 308.813 1.694 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)CCc2ncccn2)C1 ZINC001367054588 895688482 /nfs/dbraw/zinc/68/84/82/895688482.db2.gz QWYUPBOWLHXHKM-CYBMUJFWSA-N 1 2 308.813 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)o1)C2 ZINC001253538163 895890007 /nfs/dbraw/zinc/89/00/07/895890007.db2.gz BKHSNJCQTJVKFR-UHFFFAOYSA-N 1 2 304.394 1.625 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)o1)C2 ZINC001253538163 895890011 /nfs/dbraw/zinc/89/00/11/895890011.db2.gz BKHSNJCQTJVKFR-UHFFFAOYSA-N 1 2 304.394 1.625 20 30 DDEDLO C=CCCC(=O)NCC1(O)C[NH+](Cc2cccc(CC)c2)C1 ZINC001278843908 896753358 /nfs/dbraw/zinc/75/33/58/896753358.db2.gz QZHLBBFOMZWNPB-UHFFFAOYSA-N 1 2 302.418 1.878 20 30 DDEDLO CC[C@@H](CC#N)[N@@H+]1C[C@@H](OCC2CCOCC2)[C@H]2COC[C@H]21 ZINC001255167384 896760219 /nfs/dbraw/zinc/76/02/19/896760219.db2.gz ADGGDISKFBUNFK-MWDXBVQZSA-N 1 2 308.422 1.821 20 30 DDEDLO CC[C@@H](CC#N)[N@H+]1C[C@@H](OCC2CCOCC2)[C@H]2COC[C@H]21 ZINC001255167384 896760227 /nfs/dbraw/zinc/76/02/27/896760227.db2.gz ADGGDISKFBUNFK-MWDXBVQZSA-N 1 2 308.422 1.821 20 30 DDEDLO C#CC[NH+](CC#C)[C@H]1C[C@H](C(=O)OC)N(C(=O)OC(C)(C)C)C1 ZINC001256279593 897346250 /nfs/dbraw/zinc/34/62/50/897346250.db2.gz CKVBJZOJRIHQPM-UONOGXRCSA-N 1 2 320.389 1.106 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C[N@H+](C)CC(=O)Nc1ccccc1F ZINC001367792475 897813486 /nfs/dbraw/zinc/81/34/86/897813486.db2.gz XVACTKZKJHERMV-NEPJUHHUSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C[N@@H+](C)CC(=O)Nc1ccccc1F ZINC001367792475 897813493 /nfs/dbraw/zinc/81/34/93/897813493.db2.gz XVACTKZKJHERMV-NEPJUHHUSA-N 1 2 320.368 1.360 20 30 DDEDLO CN(C)c1cc[nH+]cc1NS(=O)(=O)c1ccc(C#N)cc1 ZINC001258039386 898028847 /nfs/dbraw/zinc/02/88/47/898028847.db2.gz RSHKBXDXUIQQQD-UHFFFAOYSA-N 1 2 302.359 1.820 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@@H]([NH2+]Cc2noc(-c3ccoc3)n2)C1 ZINC001389961568 898383595 /nfs/dbraw/zinc/38/35/95/898383595.db2.gz INMLGTHKYSSOHL-ZMLRMANQSA-N 1 2 315.333 1.226 20 30 DDEDLO Cc1nc(C[NH2+]C2(CNC(=O)c3c[nH]c(C#N)c3)CC2)oc1C ZINC001367999408 898447882 /nfs/dbraw/zinc/44/78/82/898447882.db2.gz MWIUBHGVOYRUHM-UHFFFAOYSA-N 1 2 313.361 1.543 20 30 DDEDLO C#CCN(CC)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001263679687 900669830 /nfs/dbraw/zinc/66/98/30/900669830.db2.gz KMDYZMDDENTVGL-WMLDXEAASA-N 1 2 317.437 1.059 20 30 DDEDLO C#CCN(CC)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC1CC1 ZINC001263679687 900669837 /nfs/dbraw/zinc/66/98/37/900669837.db2.gz KMDYZMDDENTVGL-WMLDXEAASA-N 1 2 317.437 1.059 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)Cn1nc(C)cc1C ZINC001391042534 900749850 /nfs/dbraw/zinc/74/98/50/900749850.db2.gz GKKQKVMGUYLWAH-AWEZNQCLSA-N 1 2 310.829 1.833 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)Cn1nc(C)cc1C ZINC001391042534 900749855 /nfs/dbraw/zinc/74/98/55/900749855.db2.gz GKKQKVMGUYLWAH-AWEZNQCLSA-N 1 2 310.829 1.833 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2nonc2C)[C@@H]1CC ZINC001264606129 901210584 /nfs/dbraw/zinc/21/05/84/901210584.db2.gz FKOIWIZDRITZKB-JGGQBBKZSA-N 1 2 322.409 1.174 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2nonc2C)[C@@H]1CC ZINC001264606129 901210598 /nfs/dbraw/zinc/21/05/98/901210598.db2.gz FKOIWIZDRITZKB-JGGQBBKZSA-N 1 2 322.409 1.174 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@H](C)CC)C1 ZINC001265222997 901734886 /nfs/dbraw/zinc/73/48/86/901734886.db2.gz OSOGLPVIPMSDTF-HZPDHXFCSA-N 1 2 321.465 1.675 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@H](C)CC)C1 ZINC001265222997 901734899 /nfs/dbraw/zinc/73/48/99/901734899.db2.gz OSOGLPVIPMSDTF-HZPDHXFCSA-N 1 2 321.465 1.675 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)N(C)Cc2ccco2)C1 ZINC001265274409 901802347 /nfs/dbraw/zinc/80/23/47/901802347.db2.gz CDFXBKLHKLCQFP-CQSZACIVSA-N 1 2 319.405 1.395 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](CC(=O)N(C)Cc2ccco2)C1 ZINC001265274409 901802354 /nfs/dbraw/zinc/80/23/54/901802354.db2.gz CDFXBKLHKLCQFP-CQSZACIVSA-N 1 2 319.405 1.395 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)C#CC(C)C)C1 ZINC001265284591 901823184 /nfs/dbraw/zinc/82/31/84/901823184.db2.gz SPUICLKVFVJULX-GJZGRUSLSA-N 1 2 307.438 1.141 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)C#CC(C)C)C1 ZINC001265284591 901823193 /nfs/dbraw/zinc/82/31/93/901823193.db2.gz SPUICLKVFVJULX-GJZGRUSLSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCCOc1ccc(C(=O)N[C@H]2CC[N@@H+](CCF)C2)cc1 ZINC001265284361 901824429 /nfs/dbraw/zinc/82/44/29/901824429.db2.gz OOLBIUKMVYPGIO-HNNXBMFYSA-N 1 2 304.365 1.862 20 30 DDEDLO C#CCCOc1ccc(C(=O)N[C@H]2CC[N@H+](CCF)C2)cc1 ZINC001265284361 901824433 /nfs/dbraw/zinc/82/44/33/901824433.db2.gz OOLBIUKMVYPGIO-HNNXBMFYSA-N 1 2 304.365 1.862 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)COCc2cccnc2)C1 ZINC001369849605 902091416 /nfs/dbraw/zinc/09/14/16/902091416.db2.gz DSWJUNANIICAJJ-HNNXBMFYSA-N 1 2 323.824 1.931 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)COCc2cccnc2)C1 ZINC001369849605 902091423 /nfs/dbraw/zinc/09/14/23/902091423.db2.gz DSWJUNANIICAJJ-HNNXBMFYSA-N 1 2 323.824 1.931 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@H]([C@@H](C)NC(=O)C#CC(C)C)C2)o1 ZINC001265703352 902317952 /nfs/dbraw/zinc/31/79/52/902317952.db2.gz OOXNDJPGVQLUAX-HIFRSBDPSA-N 1 2 318.421 1.754 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@H]([C@@H](C)NC(=O)C#CC(C)C)C2)o1 ZINC001265703352 902317965 /nfs/dbraw/zinc/31/79/65/902317965.db2.gz OOXNDJPGVQLUAX-HIFRSBDPSA-N 1 2 318.421 1.754 20 30 DDEDLO CCCC[C@H](CNC(=O)Cc1[nH]c[nH+]c1C)NC(=O)[C@@H](C)C#N ZINC001370064401 902479111 /nfs/dbraw/zinc/47/91/11/902479111.db2.gz WRNFNMCWTKJAIZ-WCQYABFASA-N 1 2 319.409 1.212 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001265932889 902618183 /nfs/dbraw/zinc/61/81/83/902618183.db2.gz ZRYDOYMMNZXSPK-LBPRGKRZSA-N 1 2 322.409 1.509 20 30 DDEDLO CC[N@H+](CCCNC(=O)C#CC(C)C)Cc1nnc(C2CC2)[nH]1 ZINC001266040844 902820726 /nfs/dbraw/zinc/82/07/26/902820726.db2.gz PSCQIVYMOUMREF-UHFFFAOYSA-N 1 2 317.437 1.670 20 30 DDEDLO CC[N@@H+](CCCNC(=O)C#CC(C)C)Cc1nnc(C2CC2)[nH]1 ZINC001266040844 902820738 /nfs/dbraw/zinc/82/07/38/902820738.db2.gz PSCQIVYMOUMREF-UHFFFAOYSA-N 1 2 317.437 1.670 20 30 DDEDLO C=CC1(CC(=O)N(C)C[C@@H]2CC[N@H+]2CC(=O)NC)CCCCC1 ZINC001316602359 903569367 /nfs/dbraw/zinc/56/93/67/903569367.db2.gz LRWJCIJACJEEIS-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CC1(CC(=O)N(C)C[C@@H]2CC[N@@H+]2CC(=O)NC)CCCCC1 ZINC001316602359 903569377 /nfs/dbraw/zinc/56/93/77/903569377.db2.gz LRWJCIJACJEEIS-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CC ZINC001316603264 903625561 /nfs/dbraw/zinc/62/55/61/903625561.db2.gz OYNKSUNTUDUGDS-HNNXBMFYSA-N 1 2 323.481 1.990 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CC ZINC001316603264 903625569 /nfs/dbraw/zinc/62/55/69/903625569.db2.gz OYNKSUNTUDUGDS-HNNXBMFYSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)CSC(C)C ZINC001280396718 903630747 /nfs/dbraw/zinc/63/07/47/903630747.db2.gz KCQLODWVXDGCGO-AWEZNQCLSA-N 1 2 300.468 1.911 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)CSC(C)C ZINC001280396718 903630758 /nfs/dbraw/zinc/63/07/58/903630758.db2.gz KCQLODWVXDGCGO-AWEZNQCLSA-N 1 2 300.468 1.911 20 30 DDEDLO C=CCOCCN1CC[NH+]([C@@H]2CCN(C(=O)C=C(C)C)C2)CC1 ZINC001280676894 903911149 /nfs/dbraw/zinc/91/11/49/903911149.db2.gz DOTCCQIUAKHMJW-QGZVFWFLSA-N 1 2 321.465 1.374 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccco1)O2 ZINC001280921016 904192399 /nfs/dbraw/zinc/19/23/99/904192399.db2.gz PPFOOLKEMXWNIB-AWEZNQCLSA-N 1 2 320.389 1.445 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001371167137 904397200 /nfs/dbraw/zinc/39/72/00/904397200.db2.gz RATXUQDWBFZQOF-DTWKUNHWSA-N 1 2 302.338 1.047 20 30 DDEDLO C=CCCC(=O)N(CCN(C)C(=O)Cc1[nH]c[nH+]c1C)C(C)C ZINC001281286425 904654282 /nfs/dbraw/zinc/65/42/82/904654282.db2.gz VKLAVZLVLYYKCZ-UHFFFAOYSA-N 1 2 320.437 1.922 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1ccc2n[nH]nc2c1 ZINC001377366617 921146186 /nfs/dbraw/zinc/14/61/86/921146186.db2.gz VWVMTYKHPBOPLJ-UHFFFAOYSA-N 1 2 307.785 1.762 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1ccc2n[nH]nc2c1 ZINC001377366617 921146190 /nfs/dbraw/zinc/14/61/90/921146190.db2.gz VWVMTYKHPBOPLJ-UHFFFAOYSA-N 1 2 307.785 1.762 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]1CN(C)C(=O)Cn1cc[nH+]c1 ZINC001282040976 905456462 /nfs/dbraw/zinc/45/64/62/905456462.db2.gz ABNGWXPXSUTEDP-CQSZACIVSA-N 1 2 318.421 1.545 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C1C[NH+](Cc2cnc(C)cn2)C1 ZINC001282707047 905970005 /nfs/dbraw/zinc/97/00/05/905970005.db2.gz QUEVLONJJGEWCH-CQSZACIVSA-N 1 2 300.406 1.525 20 30 DDEDLO C=CCCC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1[nH]c[nH+]c1C)C2 ZINC001282771592 906022970 /nfs/dbraw/zinc/02/29/70/906022970.db2.gz YUJYZJPPQWUMQC-YUELXQCFSA-N 1 2 316.405 1.475 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](OCC)C3CC3)C2)C1 ZINC001282774368 906026542 /nfs/dbraw/zinc/02/65/42/906026542.db2.gz YCYKLVWANQRGNK-AEFFLSMTSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](OCC)C3CC3)C2)C1 ZINC001282774368 906026555 /nfs/dbraw/zinc/02/65/55/906026555.db2.gz YCYKLVWANQRGNK-AEFFLSMTSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[N@@H+](Cc2cc(OC)no2)CC1(C)C ZINC001282801096 906054146 /nfs/dbraw/zinc/05/41/46/906054146.db2.gz RABRWTSDXAATKN-AWEZNQCLSA-N 1 2 319.405 1.813 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[N@H+](Cc2cc(OC)no2)CC1(C)C ZINC001282801096 906054162 /nfs/dbraw/zinc/05/41/62/906054162.db2.gz RABRWTSDXAATKN-AWEZNQCLSA-N 1 2 319.405 1.813 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@]1(C)CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001393107881 906214614 /nfs/dbraw/zinc/21/46/14/906214614.db2.gz SXDJJOJEGDJUKS-SJCJKPOMSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@]1(C)CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001393107881 906214631 /nfs/dbraw/zinc/21/46/31/906214631.db2.gz SXDJJOJEGDJUKS-SJCJKPOMSA-N 1 2 314.364 1.938 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001283356210 907205592 /nfs/dbraw/zinc/20/55/92/907205592.db2.gz SKWWBOVREPWFPF-CYBMUJFWSA-N 1 2 318.421 1.580 20 30 DDEDLO Cc1nnc(C[N@@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)n1C1CC1 ZINC001393613604 907484066 /nfs/dbraw/zinc/48/40/66/907484066.db2.gz QNUYRQAKKGZTTP-LBPRGKRZSA-N 1 2 318.425 1.361 20 30 DDEDLO Cc1nnc(C[N@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)n1C1CC1 ZINC001393613604 907484076 /nfs/dbraw/zinc/48/40/76/907484076.db2.gz QNUYRQAKKGZTTP-LBPRGKRZSA-N 1 2 318.425 1.361 20 30 DDEDLO C=C(Br)C[N@H+](CCC)CCNC(=O)[C@@H]1CCOC1 ZINC001372683065 907960046 /nfs/dbraw/zinc/96/00/46/907960046.db2.gz FAOAXKIXNCETIV-GFCCVEGCSA-N 1 2 319.243 1.760 20 30 DDEDLO C=C(Br)C[N@@H+](CCC)CCNC(=O)[C@@H]1CCOC1 ZINC001372683065 907960054 /nfs/dbraw/zinc/96/00/54/907960054.db2.gz FAOAXKIXNCETIV-GFCCVEGCSA-N 1 2 319.243 1.760 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001284031418 908348318 /nfs/dbraw/zinc/34/83/18/908348318.db2.gz LBMAPUPCOIVNPG-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H](C)CNC(=O)CCc1c[nH+]cn1C ZINC001284304110 908801529 /nfs/dbraw/zinc/80/15/29/908801529.db2.gz TWZZYCFPQJHNQV-ZIAGYGMSSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001284532161 909150825 /nfs/dbraw/zinc/15/08/25/909150825.db2.gz ZUHKZAQUTJMEKU-GFCCVEGCSA-N 1 2 306.410 1.375 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001284532161 909150832 /nfs/dbraw/zinc/15/08/32/909150832.db2.gz ZUHKZAQUTJMEKU-GFCCVEGCSA-N 1 2 306.410 1.375 20 30 DDEDLO C#CC[N@@H+](C)CCN(C(=O)c1n[nH]cc1C(F)(F)F)C(C)C ZINC001284536430 909156287 /nfs/dbraw/zinc/15/62/87/909156287.db2.gz IJLZGABSFUOJGT-UHFFFAOYSA-N 1 2 316.327 1.844 20 30 DDEDLO C#CC[N@H+](C)CCN(C(=O)c1n[nH]cc1C(F)(F)F)C(C)C ZINC001284536430 909156292 /nfs/dbraw/zinc/15/62/92/909156292.db2.gz IJLZGABSFUOJGT-UHFFFAOYSA-N 1 2 316.327 1.844 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)CN(C)C(=O)C2CC2)C1 ZINC001373673370 910576316 /nfs/dbraw/zinc/57/63/16/910576316.db2.gz PXXLOSXQIGIZKK-CYBMUJFWSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)CN(C)C(=O)C2CC2)C1 ZINC001373673370 910576324 /nfs/dbraw/zinc/57/63/24/910576324.db2.gz PXXLOSXQIGIZKK-CYBMUJFWSA-N 1 2 313.829 1.140 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@H](C)NC(=O)C#CC(C)(C)C ZINC001285728419 911112986 /nfs/dbraw/zinc/11/29/86/911112986.db2.gz NPYIAVUNJGQZPM-OLZOCXBDSA-N 1 2 318.421 1.259 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@H](C)NC(=O)C#CC(C)(C)C ZINC001285728419 911112994 /nfs/dbraw/zinc/11/29/94/911112994.db2.gz NPYIAVUNJGQZPM-OLZOCXBDSA-N 1 2 318.421 1.259 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCN1C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001285851850 911300091 /nfs/dbraw/zinc/30/00/91/911300091.db2.gz PUJFAIPLFOEKFZ-ZFWWWQNUSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCN1C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001285851850 911300106 /nfs/dbraw/zinc/30/01/06/911300106.db2.gz PUJFAIPLFOEKFZ-ZFWWWQNUSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]1CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001285865602 911329739 /nfs/dbraw/zinc/32/97/39/911329739.db2.gz OOAGZQJZTPGJBI-KBPBESRZSA-N 1 2 318.421 1.764 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)[C@H](C)CNC(=O)[C@H](C)C#N)c(C)[nH+]1 ZINC001395156037 911480571 /nfs/dbraw/zinc/48/05/71/911480571.db2.gz CXRXPCVJZMBGHO-DGCLKSJQSA-N 1 2 316.405 1.743 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001285971363 911512149 /nfs/dbraw/zinc/51/21/49/911512149.db2.gz QWSQMMUYHFQVIP-GFCCVEGCSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1cc(=O)[nH]c(CNC(=O)C2(CC)CC2)n1 ZINC001397173730 915343750 /nfs/dbraw/zinc/34/37/50/915343750.db2.gz RSPAKJJHTRTNFX-UHFFFAOYSA-N 1 2 324.812 1.831 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001295519245 915869398 /nfs/dbraw/zinc/86/93/98/915869398.db2.gz WWSHSIHRKSKSGC-ZIAGYGMSSA-N 1 2 318.421 1.423 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001295587429 915912851 /nfs/dbraw/zinc/91/28/51/915912851.db2.gz PYWKIXKFMXSDMO-MEDUHNTESA-N 1 2 306.410 1.484 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC(CNC(=O)CCn2cc[nH+]c2)C1 ZINC001297241944 916831139 /nfs/dbraw/zinc/83/11/39/916831139.db2.gz WFDNTWFHXWWSSG-KGLIPLIRSA-N 1 2 318.421 1.306 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)C#N)[N@H+](C)Cc1nnc(-c2ccco2)o1 ZINC001378020021 923721947 /nfs/dbraw/zinc/72/19/47/923721947.db2.gz KPOUDFQHCQLHHY-WDEREUQCSA-N 1 2 317.349 1.426 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)C#N)[N@@H+](C)Cc1nnc(-c2ccco2)o1 ZINC001378020021 923721949 /nfs/dbraw/zinc/72/19/49/923721949.db2.gz KPOUDFQHCQLHHY-WDEREUQCSA-N 1 2 317.349 1.426 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)C#N)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001378021093 923725735 /nfs/dbraw/zinc/72/57/35/923725735.db2.gz NTCYLPBPUZAHDM-NWDGAFQWSA-N 1 2 302.353 1.794 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)C#N)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001378021093 923725743 /nfs/dbraw/zinc/72/57/43/923725743.db2.gz NTCYLPBPUZAHDM-NWDGAFQWSA-N 1 2 302.353 1.794 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000278603777 214269161 /nfs/dbraw/zinc/26/91/61/214269161.db2.gz LFUSAARDHVLAKH-WMZOPIPTSA-N 1 2 318.417 1.503 20 30 DDEDLO Cc1cc(C#N)ccc1C[NH+]1CCN(CCC(=O)N(C)C)CC1 ZINC000614402387 361840472 /nfs/dbraw/zinc/84/04/72/361840472.db2.gz CHFVSDKFHFGEEM-UHFFFAOYSA-N 1 2 314.433 1.463 20 30 DDEDLO Cc1cnc([C@H](C)NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)o1 ZINC000328859049 539299237 /nfs/dbraw/zinc/29/92/37/539299237.db2.gz DRHYFYWZWBFJKE-AVGNSLFASA-N 1 2 308.382 1.363 20 30 DDEDLO Cc1cnc([C@H](C)NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)o1 ZINC000328859049 539299239 /nfs/dbraw/zinc/29/92/39/539299239.db2.gz DRHYFYWZWBFJKE-AVGNSLFASA-N 1 2 308.382 1.363 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NC[C@@H](C)[NH+]2CCOCC2)c1 ZINC000455997546 231782308 /nfs/dbraw/zinc/78/23/08/231782308.db2.gz JKFJKIGXJRVKOK-CYBMUJFWSA-N 1 2 302.378 1.709 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(CC(=O)Nc3cc(C(C)(C)C)no3)C[C@H]21 ZINC000329973242 529790966 /nfs/dbraw/zinc/79/09/66/529790966.db2.gz WRDMRGCVEBUMLC-NEPJUHHUSA-N 1 2 322.409 1.575 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(CC(=O)Nc3cc(C(C)(C)C)no3)C[C@H]21 ZINC000329973242 529790967 /nfs/dbraw/zinc/79/09/67/529790967.db2.gz WRDMRGCVEBUMLC-NEPJUHHUSA-N 1 2 322.409 1.575 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)N(CCO)CC[NH+]1CCOCC1 ZINC000343841651 539360084 /nfs/dbraw/zinc/36/00/84/539360084.db2.gz PGHMCCBXVSSOHN-HNNXBMFYSA-N 1 2 313.442 1.067 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)cc1O ZINC000615423280 362283229 /nfs/dbraw/zinc/28/32/29/362283229.db2.gz IPAYZBUUZRUEOG-OAHLLOKOSA-N 1 2 315.373 1.201 20 30 DDEDLO C[C@H]1CCCC[C@H]1OCCNC(=O)C[NH+]1CCC(C#N)CC1 ZINC000057874587 334953608 /nfs/dbraw/zinc/95/36/08/334953608.db2.gz PRNDOTAFDIYAHO-GOEBONIOSA-N 1 2 307.438 1.933 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@H](O)CN3CC[C@](F)(C#N)C3)c2cc1C ZINC000615637278 362376653 /nfs/dbraw/zinc/37/66/53/362376653.db2.gz HFTXQMAIQYXLGC-PBHICJAKSA-N 1 2 316.380 1.952 20 30 DDEDLO CN1CC[N@H+](C)C[C@H]1CNC(=O)[C@@H]1CCC(=O)c2cccn21 ZINC000329859812 530070856 /nfs/dbraw/zinc/07/08/56/530070856.db2.gz PAUAAHCLMPDCQO-OCCSQVGLSA-N 1 2 304.394 1.208 20 30 DDEDLO CN1CC[N@@H+](C)C[C@H]1CNC(=O)[C@@H]1CCC(=O)c2cccn21 ZINC000329859812 530070857 /nfs/dbraw/zinc/07/08/57/530070857.db2.gz PAUAAHCLMPDCQO-OCCSQVGLSA-N 1 2 304.394 1.208 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCCC(=O)OC)Cc1cccc(C#N)c1 ZINC000068827033 190785898 /nfs/dbraw/zinc/78/58/98/190785898.db2.gz DINPHGOTPLBGET-UHFFFAOYSA-N 1 2 315.373 1.226 20 30 DDEDLO C=CC[N@H+](CC(=O)NCCC(=O)OC)Cc1cccc(C#N)c1 ZINC000068827033 190785901 /nfs/dbraw/zinc/78/59/01/190785901.db2.gz DINPHGOTPLBGET-UHFFFAOYSA-N 1 2 315.373 1.226 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000623746329 366033221 /nfs/dbraw/zinc/03/32/21/366033221.db2.gz CADMILDFRWPMDB-HIFRSBDPSA-N 1 2 304.394 1.156 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000623746329 366033222 /nfs/dbraw/zinc/03/32/22/366033222.db2.gz CADMILDFRWPMDB-HIFRSBDPSA-N 1 2 304.394 1.156 20 30 DDEDLO CCC[C@H](C(=O)OCC)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000172210043 198058814 /nfs/dbraw/zinc/05/88/14/198058814.db2.gz KZYXFHGEBNXKPF-OAHLLOKOSA-N 1 2 316.405 1.807 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccccc2[N+](=O)[O-])CC1 ZINC000016432153 352161542 /nfs/dbraw/zinc/16/15/42/352161542.db2.gz XFOLLSSJFVCLAR-UHFFFAOYSA-N 1 2 318.377 1.015 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccccc2[N+](=O)[O-])CC1 ZINC000016432153 352161547 /nfs/dbraw/zinc/16/15/47/352161547.db2.gz XFOLLSSJFVCLAR-UHFFFAOYSA-N 1 2 318.377 1.015 20 30 DDEDLO C=CCNC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccc(C)cc1 ZINC000028798464 352231629 /nfs/dbraw/zinc/23/16/29/352231629.db2.gz ZCLYFEXXSNUYQJ-INIZCTEOSA-N 1 2 303.406 1.854 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(Cc2c(F)cccc2Cl)CC1 ZINC000049974404 352559266 /nfs/dbraw/zinc/55/92/66/352559266.db2.gz HPAQGONNVGTVKN-UHFFFAOYSA-N 1 2 323.799 1.346 20 30 DDEDLO OC(NC1=[NH+]CCS1)=C(c1ccccc1)N1CCSCC1 ZINC000069981669 353177807 /nfs/dbraw/zinc/17/78/07/353177807.db2.gz FJURWHDNTTXXJQ-ZDUSSCGKSA-N 1 2 321.471 1.996 20 30 DDEDLO N#Cc1ccc(F)c(CN2CCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC000174020040 198281695 /nfs/dbraw/zinc/28/16/95/198281695.db2.gz CHHFOICXVALAOB-MRXNPFEDSA-N 1 2 303.381 1.994 20 30 DDEDLO COCC[N@@H+](CCC#N)CCCN1C(=O)c2ccccc2C1=O ZINC000072689222 353209609 /nfs/dbraw/zinc/20/96/09/353209609.db2.gz GLJHHGONAFXBFY-UHFFFAOYSA-N 1 2 315.373 1.535 20 30 DDEDLO COCC[N@H+](CCC#N)CCCN1C(=O)c2ccccc2C1=O ZINC000072689222 353209611 /nfs/dbraw/zinc/20/96/11/353209611.db2.gz GLJHHGONAFXBFY-UHFFFAOYSA-N 1 2 315.373 1.535 20 30 DDEDLO COc1ccc(OC)c([C@H]2CCC[N@@H+]2CC(=O)NCC#N)c1 ZINC000076668151 353431574 /nfs/dbraw/zinc/43/15/74/353431574.db2.gz GTCBHCVYDSNQCB-CQSZACIVSA-N 1 2 303.362 1.480 20 30 DDEDLO COc1ccc(OC)c([C@H]2CCC[N@H+]2CC(=O)NCC#N)c1 ZINC000076668151 353431576 /nfs/dbraw/zinc/43/15/76/353431576.db2.gz GTCBHCVYDSNQCB-CQSZACIVSA-N 1 2 303.362 1.480 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)CCOCC1 ZINC000617721298 363251137 /nfs/dbraw/zinc/25/11/37/363251137.db2.gz NOBSDIMQBSHDEX-CABCVRRESA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)CCOCC1 ZINC000617721298 363251143 /nfs/dbraw/zinc/25/11/43/363251143.db2.gz NOBSDIMQBSHDEX-CABCVRRESA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+][C@@H](c1nc(C2CC2)no1)C(C)C ZINC000179814630 354263779 /nfs/dbraw/zinc/26/37/79/354263779.db2.gz GQHQZYLTQUHMJZ-GFCCVEGCSA-N 1 2 321.381 1.246 20 30 DDEDLO N#CCCN(CCO[C@@H]1CCCCO1)CC[NH+]1CCOCC1 ZINC000181729920 354269719 /nfs/dbraw/zinc/26/97/19/354269719.db2.gz JGEVKHQYXBZJNU-MRXNPFEDSA-N 1 2 311.426 1.077 20 30 DDEDLO N#C[C@@H](c1cccs1)N1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000182870599 354277294 /nfs/dbraw/zinc/27/72/94/354277294.db2.gz PZNSEJABAZUVKO-ZDUSSCGKSA-N 1 2 315.402 1.354 20 30 DDEDLO CC(C)c1[nH+]c2c(n1C)CCN(C(=O)NCc1ncn(C)n1)C2 ZINC000329031663 222922502 /nfs/dbraw/zinc/92/25/02/222922502.db2.gz BEPGHMOPHDRHMO-UHFFFAOYSA-N 1 2 317.397 1.144 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C[C@H]1C ZINC000280809437 354425458 /nfs/dbraw/zinc/42/54/58/354425458.db2.gz TUHGZWQMIWCRMR-NOZJJQNGSA-N 1 2 319.361 1.448 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C[C@H]1C ZINC000280809437 354425461 /nfs/dbraw/zinc/42/54/61/354425461.db2.gz TUHGZWQMIWCRMR-NOZJJQNGSA-N 1 2 319.361 1.448 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@@H](CO)CC2)c(C#N)c1C ZINC000293237177 354454739 /nfs/dbraw/zinc/45/47/39/354454739.db2.gz SOJMSHRHWCGYIS-CYBMUJFWSA-N 1 2 305.378 1.801 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@@H](CO)CC2)c(C#N)c1C ZINC000293237177 354454741 /nfs/dbraw/zinc/45/47/41/354454741.db2.gz SOJMSHRHWCGYIS-CYBMUJFWSA-N 1 2 305.378 1.801 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCCCC[C@H]2CO)c(C#N)c1C ZINC000318378173 354515057 /nfs/dbraw/zinc/51/50/57/354515057.db2.gz BWMDWTIZWAVARG-ZDUSSCGKSA-N 1 2 305.378 1.944 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCCCC[C@H]2CO)c(C#N)c1C ZINC000318378173 354515060 /nfs/dbraw/zinc/51/50/60/354515060.db2.gz BWMDWTIZWAVARG-ZDUSSCGKSA-N 1 2 305.378 1.944 20 30 DDEDLO C=CCC1(c2nc([C@@H]3C[N@@H+](C)CCN3C)no2)CCOCC1 ZINC000351332118 354617849 /nfs/dbraw/zinc/61/78/49/354617849.db2.gz GDLNSZCCYPBCHM-ZDUSSCGKSA-N 1 2 306.410 1.612 20 30 DDEDLO C=CCC1(c2nc([C@@H]3C[N@H+](C)CCN3C)no2)CCOCC1 ZINC000351332118 354617850 /nfs/dbraw/zinc/61/78/50/354617850.db2.gz GDLNSZCCYPBCHM-ZDUSSCGKSA-N 1 2 306.410 1.612 20 30 DDEDLO COC1(CO)CC[NH+]([C@@H](C)C(=O)Nc2ccccc2C#N)CC1 ZINC000402808840 354669590 /nfs/dbraw/zinc/66/95/90/354669590.db2.gz ZYSFILZNPGKTAJ-ZDUSSCGKSA-N 1 2 317.389 1.359 20 30 DDEDLO COc1cccc(CO[C@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000581541497 354734914 /nfs/dbraw/zinc/73/49/14/354734914.db2.gz GKVMTSVTCDSGQJ-DYVFJYSZSA-N 1 2 319.405 1.560 20 30 DDEDLO Cn1c2nnc(C[NH2+][C@H]3CCC[C@H]3C#N)n2c2ccccc2c1=O ZINC000589163881 354966371 /nfs/dbraw/zinc/96/63/71/354966371.db2.gz HQNDGZXLOGPCGV-AAEUAGOBSA-N 1 2 322.372 1.363 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)c2cccc(C#N)n2)CC1 ZINC000589419162 354988733 /nfs/dbraw/zinc/98/87/33/354988733.db2.gz GQNDXWUVNABMIU-CQSZACIVSA-N 1 2 321.384 1.867 20 30 DDEDLO N#Cc1csc(C[NH+]2CCC(c3nnc4n3CCC4)CC2)n1 ZINC000589496077 354996095 /nfs/dbraw/zinc/99/60/95/354996095.db2.gz ORWUCLIVMHGVII-UHFFFAOYSA-N 1 2 314.418 1.932 20 30 DDEDLO N#Cc1ncn(CC(=O)Nc2ccc(-n3cc[nH+]c3)c(F)c2)n1 ZINC000495064719 235122707 /nfs/dbraw/zinc/12/27/07/235122707.db2.gz YNUYHVMQDZFCMP-UHFFFAOYSA-N 1 2 311.280 1.113 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNc2snc(C)c2C#N)C1 ZINC000590703170 355152236 /nfs/dbraw/zinc/15/22/36/355152236.db2.gz FDDNZQKQHVDHRQ-LLVKDONJSA-N 1 2 308.407 1.620 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNc2snc(C)c2C#N)C1 ZINC000590703170 355152239 /nfs/dbraw/zinc/15/22/39/355152239.db2.gz FDDNZQKQHVDHRQ-LLVKDONJSA-N 1 2 308.407 1.620 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C(=O)OCC ZINC000590882493 355204577 /nfs/dbraw/zinc/20/45/77/355204577.db2.gz PZTDWVOCMIWLLZ-QWHCGFSZSA-N 1 2 305.378 1.460 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CN[C@H]1COc2cc(F)cc(F)c21 ZINC000591760649 355379578 /nfs/dbraw/zinc/37/95/78/355379578.db2.gz ODNUWZMRHQUELX-YPMHNXCESA-N 1 2 311.332 1.194 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CN[C@H]1COc2cc(F)cc(F)c21 ZINC000591760649 355379579 /nfs/dbraw/zinc/37/95/79/355379579.db2.gz ODNUWZMRHQUELX-YPMHNXCESA-N 1 2 311.332 1.194 20 30 DDEDLO C[N@H+](Cc1ccncc1C#N)CC(C)(C)CN1CCOCC1 ZINC000592085056 355490126 /nfs/dbraw/zinc/49/01/26/355490126.db2.gz BYNNEVPZOWYSEU-UHFFFAOYSA-N 1 2 302.422 1.743 20 30 DDEDLO C[N@@H+](Cc1ccncc1C#N)CC(C)(C)CN1CCOCC1 ZINC000592085056 355490127 /nfs/dbraw/zinc/49/01/27/355490127.db2.gz BYNNEVPZOWYSEU-UHFFFAOYSA-N 1 2 302.422 1.743 20 30 DDEDLO CCc1ccccc1NC(=O)[C@@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148007 355514105 /nfs/dbraw/zinc/51/41/05/355514105.db2.gz VDGVUJZTAIONSA-DYVFJYSZSA-N 1 2 301.390 1.926 20 30 DDEDLO CCc1ccccc1NC(=O)[C@@H](C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148007 355514108 /nfs/dbraw/zinc/51/41/08/355514108.db2.gz VDGVUJZTAIONSA-DYVFJYSZSA-N 1 2 301.390 1.926 20 30 DDEDLO COc1ccc(C)cc1NC(=O)[C@@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149045 355514859 /nfs/dbraw/zinc/51/48/59/355514859.db2.gz HGMFXSXNFXPGHC-DYVFJYSZSA-N 1 2 317.389 1.681 20 30 DDEDLO COc1ccc(C)cc1NC(=O)[C@@H](C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149045 355514863 /nfs/dbraw/zinc/51/48/63/355514863.db2.gz HGMFXSXNFXPGHC-DYVFJYSZSA-N 1 2 317.389 1.681 20 30 DDEDLO Cc1cc([N+](=O)[O-])ccc1NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149356 355516005 /nfs/dbraw/zinc/51/60/05/355516005.db2.gz NLYDDFYXVFLMGC-HNNXBMFYSA-N 1 2 318.333 1.192 20 30 DDEDLO Cc1cc([N+](=O)[O-])ccc1NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149356 355516008 /nfs/dbraw/zinc/51/60/08/355516008.db2.gz NLYDDFYXVFLMGC-HNNXBMFYSA-N 1 2 318.333 1.192 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)NCCCOC2CCCCC2)C1 ZINC000592151115 355517537 /nfs/dbraw/zinc/51/75/37/355517537.db2.gz MCRHJFDCHBEOOE-KRWDZBQOSA-N 1 2 323.437 1.192 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)NCCCOC2CCCCC2)C1 ZINC000592151115 355517540 /nfs/dbraw/zinc/51/75/40/355517540.db2.gz MCRHJFDCHBEOOE-KRWDZBQOSA-N 1 2 323.437 1.192 20 30 DDEDLO Cc1ccc(CN(C(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)C2CC2)o1 ZINC000592152019 355518553 /nfs/dbraw/zinc/51/85/53/355518553.db2.gz SPODHRIKYCVNII-QGZVFWFLSA-N 1 2 317.389 1.430 20 30 DDEDLO Cc1ccc(CN(C(=O)C[N@H+]2CC[C@](O)(CC#N)C2)C2CC2)o1 ZINC000592152019 355518555 /nfs/dbraw/zinc/51/85/55/355518555.db2.gz SPODHRIKYCVNII-QGZVFWFLSA-N 1 2 317.389 1.430 20 30 DDEDLO CC(C)OC(=O)Cc1nc(C[N@@H+]2CC[C@](O)(CC#N)C2)cs1 ZINC000592152630 355518750 /nfs/dbraw/zinc/51/87/50/355518750.db2.gz ZCUWWUYULKTXKR-OAHLLOKOSA-N 1 2 323.418 1.488 20 30 DDEDLO CC(C)OC(=O)Cc1nc(C[N@H+]2CC[C@](O)(CC#N)C2)cs1 ZINC000592152630 355518753 /nfs/dbraw/zinc/51/87/53/355518753.db2.gz ZCUWWUYULKTXKR-OAHLLOKOSA-N 1 2 323.418 1.488 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(Cn2cccn2)cc1 ZINC000593155636 355813584 /nfs/dbraw/zinc/81/35/84/355813584.db2.gz MDBCXIPPJHRFDT-QGZVFWFLSA-N 1 2 311.389 1.505 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC000593444322 355886653 /nfs/dbraw/zinc/88/66/53/355886653.db2.gz VNALIUIFWBWMFK-GJZGRUSLSA-N 1 2 303.410 1.527 20 30 DDEDLO COCCOCC[NH+]1CCN(C(=O)c2sccc2C#N)CC1 ZINC000593885781 356041580 /nfs/dbraw/zinc/04/15/80/356041580.db2.gz ACLOJSCWUBGKOP-UHFFFAOYSA-N 1 2 323.418 1.041 20 30 DDEDLO COCCOCC[NH+]1CCN(C(=O)c2ccc(C#N)s2)CC1 ZINC000593887138 356042147 /nfs/dbraw/zinc/04/21/47/356042147.db2.gz OMJHVSGNZNHACJ-UHFFFAOYSA-N 1 2 323.418 1.041 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCCOc2cccc(C#N)c2)CC1 ZINC000594003309 356084140 /nfs/dbraw/zinc/08/41/40/356084140.db2.gz XOBHZKOBYIXAJL-UHFFFAOYSA-N 1 2 312.373 1.385 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)NCc1ccnc(-n2cc[nH+]c2)c1 ZINC000081535950 192284683 /nfs/dbraw/zinc/28/46/83/192284683.db2.gz GVCIPEOPQRUIFM-UHFFFAOYSA-N 1 2 306.329 1.407 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ccsc3C#N)CC2)nn1 ZINC000595630346 356584800 /nfs/dbraw/zinc/58/48/00/356584800.db2.gz UXLJZWOXXQFIOI-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO CN(C)C(=O)Cc1nc(C[NH2+][C@@H]2CCCC[C@H]2C#N)cs1 ZINC000595690269 356611119 /nfs/dbraw/zinc/61/11/19/356611119.db2.gz ATSXUIVVLOZUAN-WCQYABFASA-N 1 2 306.435 1.946 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@@H]2NC(=O)CC[C@@H]2C1 ZINC000595736435 356628158 /nfs/dbraw/zinc/62/81/58/356628158.db2.gz GJHOLNSSBNWASW-NEPJUHHUSA-N 1 2 318.402 1.159 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@@H]2NC(=O)CC[C@@H]2C1 ZINC000595736435 356628162 /nfs/dbraw/zinc/62/81/62/356628162.db2.gz GJHOLNSSBNWASW-NEPJUHHUSA-N 1 2 318.402 1.159 20 30 DDEDLO N#Cc1csc(C[N@H+](CCN2CCOCC2)CC2CC2)n1 ZINC000595807425 356658809 /nfs/dbraw/zinc/65/88/09/356658809.db2.gz IXYPZGOOQGVYJJ-UHFFFAOYSA-N 1 2 306.435 1.559 20 30 DDEDLO N#Cc1csc(C[N@@H+](CCN2CCOCC2)CC2CC2)n1 ZINC000595807425 356658811 /nfs/dbraw/zinc/65/88/11/356658811.db2.gz IXYPZGOOQGVYJJ-UHFFFAOYSA-N 1 2 306.435 1.559 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H]3COC[C@H]3C2)c(C#N)c1C ZINC000595836628 356671054 /nfs/dbraw/zinc/67/10/54/356671054.db2.gz XNPJBMJFEZYMIS-CHWSQXEVSA-N 1 2 303.362 1.675 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H]3COC[C@H]3C2)c(C#N)c1C ZINC000595836628 356671059 /nfs/dbraw/zinc/67/10/59/356671059.db2.gz XNPJBMJFEZYMIS-CHWSQXEVSA-N 1 2 303.362 1.675 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000595913489 356702675 /nfs/dbraw/zinc/70/26/75/356702675.db2.gz DZVUKFDQUJHQCG-ISTVAULSSA-N 1 2 319.352 1.131 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000595913489 356702678 /nfs/dbraw/zinc/70/26/78/356702678.db2.gz DZVUKFDQUJHQCG-ISTVAULSSA-N 1 2 319.352 1.131 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2ccc(C#N)cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000596429440 356877185 /nfs/dbraw/zinc/87/71/85/356877185.db2.gz JIDCYZNQIAEYMG-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2ccc(C#N)cc2[N+](=O)[O-])[C@H](C)CO1 ZINC000596429440 356877191 /nfs/dbraw/zinc/87/71/91/356877191.db2.gz JIDCYZNQIAEYMG-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2nc3c(cc2C#N)CCC3)[C@@H](C)CO1 ZINC000596433326 356878697 /nfs/dbraw/zinc/87/86/97/356878697.db2.gz UDABXBVGSSZADR-STQMWFEESA-N 1 2 300.406 1.963 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2nc3c(cc2C#N)CCC3)[C@@H](C)CO1 ZINC000596433326 356878699 /nfs/dbraw/zinc/87/86/99/356878699.db2.gz UDABXBVGSSZADR-STQMWFEESA-N 1 2 300.406 1.963 20 30 DDEDLO COC1(Cn2cc(C[NH+]3CCOCC3)cc(C#N)c2=O)CCC1 ZINC000596287158 356845401 /nfs/dbraw/zinc/84/54/01/356845401.db2.gz UBNWZIMNBUJKIE-UHFFFAOYSA-N 1 2 317.389 1.121 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1Cc2c[nH]nc2CC(C)(C)C1 ZINC000596597185 356929532 /nfs/dbraw/zinc/92/95/32/356929532.db2.gz KOQSIHZJQLDHRK-GFCCVEGCSA-N 1 2 315.421 1.745 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1Cc2c[nH]nc2CC(C)(C)C1 ZINC000596597185 356929535 /nfs/dbraw/zinc/92/95/35/356929535.db2.gz KOQSIHZJQLDHRK-GFCCVEGCSA-N 1 2 315.421 1.745 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)NCC(C)(C)CC#N)C(C)(C)CO ZINC000596624262 356935228 /nfs/dbraw/zinc/93/52/28/356935228.db2.gz OTNWNYUURPJJMI-LBPRGKRZSA-N 1 2 321.425 1.719 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)CC1(C#N)CCOCC1 ZINC000596796433 356979350 /nfs/dbraw/zinc/97/93/50/356979350.db2.gz KUCNGRJATGDSRQ-UHFFFAOYSA-N 1 2 302.378 1.474 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000597022274 357041893 /nfs/dbraw/zinc/04/18/93/357041893.db2.gz NXOINTMJBZJCBA-OAGGEKHMSA-N 1 2 305.422 1.497 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000597707394 357294947 /nfs/dbraw/zinc/29/49/47/357294947.db2.gz PRCMADHWSPDPBG-HNNXBMFYSA-N 1 2 314.389 1.199 20 30 DDEDLO N#CCCCCCC(=O)NC[C@@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000597643192 357266729 /nfs/dbraw/zinc/26/67/29/357266729.db2.gz ZQHIONCNFNZFNB-AWEZNQCLSA-N 1 2 319.409 1.872 20 30 DDEDLO N#CCCCCCC(=O)NC[C@@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000597643192 357266731 /nfs/dbraw/zinc/26/67/31/357266731.db2.gz ZQHIONCNFNZFNB-AWEZNQCLSA-N 1 2 319.409 1.872 20 30 DDEDLO COc1cc(CNC(=O)[C@H]2CCc3[nH+]c[nH]c3C2)ccc1C#N ZINC000597666899 357275598 /nfs/dbraw/zinc/27/55/98/357275598.db2.gz SDYSLUULQFYXPT-LBPRGKRZSA-N 1 2 310.357 1.711 20 30 DDEDLO COc1cc(CNC(=O)[C@H]2CCc3[nH]c[nH+]c3C2)ccc1C#N ZINC000597666899 357275601 /nfs/dbraw/zinc/27/56/01/357275601.db2.gz SDYSLUULQFYXPT-LBPRGKRZSA-N 1 2 310.357 1.711 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1ccc(C#N)s1)C(C)(C)CO ZINC000597848480 357356799 /nfs/dbraw/zinc/35/67/99/357356799.db2.gz VETZTDOOZHIIRH-GFCCVEGCSA-N 1 2 318.402 1.843 20 30 DDEDLO Cc1nnc([C@H]2CCCN(C(=O)NCc3c[nH+]cn3C)C2)[nH]1 ZINC000329651267 223009000 /nfs/dbraw/zinc/00/90/00/223009000.db2.gz KHNNRLFKESXRRO-NSHDSACASA-N 1 2 303.370 1.140 20 30 DDEDLO N#Cc1c(F)cc(C(=O)N[C@H]2CCn3c[nH+]cc3C2)cc1F ZINC000598951143 357774439 /nfs/dbraw/zinc/77/44/39/357774439.db2.gz XFEWWDLOIIHILS-JTQLQIEISA-N 1 2 302.284 1.778 20 30 DDEDLO Cc1ccnc(NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)c1C#N ZINC000599185840 357842848 /nfs/dbraw/zinc/84/28/48/357842848.db2.gz YQOVHTWEXMDYOD-MRXNPFEDSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccnc(NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)c1C#N ZINC000599185840 357842853 /nfs/dbraw/zinc/84/28/53/357842853.db2.gz YQOVHTWEXMDYOD-MRXNPFEDSA-N 1 2 323.400 1.970 20 30 DDEDLO COC(=O)[C@@]1(OC)CC[N@H+](CC#Cc2ccccc2Cl)C1 ZINC000599559477 357972730 /nfs/dbraw/zinc/97/27/30/357972730.db2.gz WDWORXDVHQDDKF-MRXNPFEDSA-N 1 2 307.777 1.955 20 30 DDEDLO COC(=O)[C@@]1(OC)CC[N@@H+](CC#Cc2ccccc2Cl)C1 ZINC000599559477 357972733 /nfs/dbraw/zinc/97/27/33/357972733.db2.gz WDWORXDVHQDDKF-MRXNPFEDSA-N 1 2 307.777 1.955 20 30 DDEDLO COC(=O)[C@H]1CC[C@@H](C(=O)OC)[N@H+](Cc2cccc(C#N)c2)C1 ZINC000599587868 357984084 /nfs/dbraw/zinc/98/40/84/357984084.db2.gz UMWMAMWXMMAHBC-GJZGRUSLSA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@H]1CC[C@@H](C(=O)OC)[N@@H+](Cc2cccc(C#N)c2)C1 ZINC000599587868 357984089 /nfs/dbraw/zinc/98/40/89/357984089.db2.gz UMWMAMWXMMAHBC-GJZGRUSLSA-N 1 2 316.357 1.485 20 30 DDEDLO CC(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000599705716 358033861 /nfs/dbraw/zinc/03/38/61/358033861.db2.gz ZKWQXRWYDCXKAI-MRXNPFEDSA-N 1 2 314.389 1.487 20 30 DDEDLO CC(=O)NC[C@H]1CCCC[N@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000599705716 358033865 /nfs/dbraw/zinc/03/38/65/358033865.db2.gz ZKWQXRWYDCXKAI-MRXNPFEDSA-N 1 2 314.389 1.487 20 30 DDEDLO CCC(C#N)(CC)C(=O)N1CC[N@H+](CCC(=O)OC)[C@H](C)C1 ZINC000599726291 358040885 /nfs/dbraw/zinc/04/08/85/358040885.db2.gz AWJWDKKZKMPQIT-CYBMUJFWSA-N 1 2 309.410 1.412 20 30 DDEDLO CCC(C#N)(CC)C(=O)N1CC[N@@H+](CCC(=O)OC)[C@H](C)C1 ZINC000599726291 358040887 /nfs/dbraw/zinc/04/08/87/358040887.db2.gz AWJWDKKZKMPQIT-CYBMUJFWSA-N 1 2 309.410 1.412 20 30 DDEDLO CC(C)(C#N)c1ccc(C[NH+]2CC(NS(C)(=O)=O)C2)cc1 ZINC000600195118 358153675 /nfs/dbraw/zinc/15/36/75/358153675.db2.gz HLRRVPACWCJXJX-UHFFFAOYSA-N 1 2 307.419 1.221 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)c1ccccc1CC#N)[NH+]1CCOCC1 ZINC000601040366 358390615 /nfs/dbraw/zinc/39/06/15/358390615.db2.gz WVATUSOMSBHXAY-UONOGXRCSA-N 1 2 301.390 1.592 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000601729988 358667158 /nfs/dbraw/zinc/66/71/58/358667158.db2.gz RSDIYSXJCAVVJW-UHFFFAOYSA-N 1 2 324.384 1.858 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N(C)C[C@H](C)C#N)cc1 ZINC000602079207 358803120 /nfs/dbraw/zinc/80/31/20/358803120.db2.gz OARWFQWZKCFXBK-CZUORRHYSA-N 1 2 301.390 1.888 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N(C)C[C@H](C)C#N)cc1 ZINC000602079207 358803123 /nfs/dbraw/zinc/80/31/23/358803123.db2.gz OARWFQWZKCFXBK-CZUORRHYSA-N 1 2 301.390 1.888 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000602124072 358829775 /nfs/dbraw/zinc/82/97/75/358829775.db2.gz VHDZRARSXHMNSE-GXSJLCMTSA-N 1 2 304.272 1.421 20 30 DDEDLO N#C[C@@H]1CSCCN1C(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000602131528 358834327 /nfs/dbraw/zinc/83/43/27/358834327.db2.gz DTNSPGWIKQBSGT-GFCCVEGCSA-N 1 2 314.370 1.659 20 30 DDEDLO CN(C)C(=O)N1CC[N@H+](Cc2cccc(C#N)n2)C(C)(C)C1 ZINC000602619456 359077741 /nfs/dbraw/zinc/07/77/41/359077741.db2.gz ZNOWXAJWOPKRHE-UHFFFAOYSA-N 1 2 301.394 1.531 20 30 DDEDLO CN(C)C(=O)N1CC[N@@H+](Cc2cccc(C#N)n2)C(C)(C)C1 ZINC000602619456 359077744 /nfs/dbraw/zinc/07/77/44/359077744.db2.gz ZNOWXAJWOPKRHE-UHFFFAOYSA-N 1 2 301.394 1.531 20 30 DDEDLO Cc1ccccc1NC(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602859901 359246492 /nfs/dbraw/zinc/24/64/92/359246492.db2.gz ZTGCOBKLMFYFKB-GJZGRUSLSA-N 1 2 300.406 1.852 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)NC(C2CC2)C2CC2)C[C@H](C)N1CC#N ZINC000602862136 359247015 /nfs/dbraw/zinc/24/70/15/359247015.db2.gz MPDABYQAMOGSGN-STQMWFEESA-N 1 2 304.438 1.209 20 30 DDEDLO COCCOc1cccc(CNC(=O)C[NH2+][C@@H](C)CC#N)c1 ZINC000602864178 359248604 /nfs/dbraw/zinc/24/86/04/359248604.db2.gz RAUXSWIEXBVOOS-ZDUSSCGKSA-N 1 2 305.378 1.220 20 30 DDEDLO Cc1cccc(C)c1NC(=O)CNC(=O)[C@@H](C)[NH2+][C@@H](C)CC#N ZINC000602864340 359248766 /nfs/dbraw/zinc/24/87/66/359248766.db2.gz RXIFZVALTGYCMI-UONOGXRCSA-N 1 2 316.405 1.638 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2ccc(F)c(F)c2)C[C@H](C)N1CC#N ZINC000602865493 359249672 /nfs/dbraw/zinc/24/96/72/359249672.db2.gz TVHYNGCJJGAWMS-TXEJJXNPSA-N 1 2 322.359 1.821 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2ccc(F)c(F)c2)C[C@H](C)N1CC#N ZINC000602865493 359249675 /nfs/dbraw/zinc/24/96/75/359249675.db2.gz TVHYNGCJJGAWMS-TXEJJXNPSA-N 1 2 322.359 1.821 20 30 DDEDLO COc1ccc(OC)c([C@@H](C)NC(=O)C[NH2+][C@H](C)CC#N)c1 ZINC000602866411 359250297 /nfs/dbraw/zinc/25/02/97/359250297.db2.gz ZTXQKMICXLMSER-VXGBXAGGSA-N 1 2 305.378 1.773 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)Nc1ccc(N2CCCCC2)nc1 ZINC000602867059 359251968 /nfs/dbraw/zinc/25/19/68/359251968.db2.gz BDNJIGNZFIXYLQ-CYBMUJFWSA-N 1 2 301.394 1.902 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1c(C)nn(CC)c1C ZINC000602924616 359297697 /nfs/dbraw/zinc/29/76/97/359297697.db2.gz GSELHIKQXRCWBB-ZDUSSCGKSA-N 1 2 321.425 1.352 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1c(C)nn(CC)c1C ZINC000602924616 359297699 /nfs/dbraw/zinc/29/76/99/359297699.db2.gz GSELHIKQXRCWBB-ZDUSSCGKSA-N 1 2 321.425 1.352 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@@H+]1CCOc1cccc(C#N)c1 ZINC000602956713 359320285 /nfs/dbraw/zinc/32/02/85/359320285.db2.gz VCKIWWMGSUMESH-RDJZCZTQSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@H+]1CCOc1cccc(C#N)c1 ZINC000602956713 359320290 /nfs/dbraw/zinc/32/02/90/359320290.db2.gz VCKIWWMGSUMESH-RDJZCZTQSA-N 1 2 318.373 1.589 20 30 DDEDLO CO[C@@H]1C[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@](C)(CO)C1 ZINC000602964299 359327661 /nfs/dbraw/zinc/32/76/61/359327661.db2.gz OMXNVABNGYMCCH-LRDDRELGSA-N 1 2 321.377 1.178 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@](C)(CO)C1 ZINC000602964299 359327664 /nfs/dbraw/zinc/32/76/64/359327664.db2.gz OMXNVABNGYMCCH-LRDDRELGSA-N 1 2 321.377 1.178 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@H+](C)[C@@H]1CCC[C@@H]1C#N ZINC000602974098 359334491 /nfs/dbraw/zinc/33/44/91/359334491.db2.gz JURCMNZZKXUNEX-KFWWJZLASA-N 1 2 321.421 1.555 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@@H+](C)[C@@H]1CCC[C@@H]1C#N ZINC000602974098 359334494 /nfs/dbraw/zinc/33/44/94/359334494.db2.gz JURCMNZZKXUNEX-KFWWJZLASA-N 1 2 321.421 1.555 20 30 DDEDLO C[N@H+](CC(=O)NCCOc1ccc(F)cc1)[C@@H]1CCC[C@H]1C#N ZINC000602978373 359336656 /nfs/dbraw/zinc/33/66/56/359336656.db2.gz PQTTZZLGMJXEGN-XJKSGUPXSA-N 1 2 319.380 1.945 20 30 DDEDLO C[N@@H+](CC(=O)NCCOc1ccc(F)cc1)[C@@H]1CCC[C@H]1C#N ZINC000602978373 359336658 /nfs/dbraw/zinc/33/66/58/359336658.db2.gz PQTTZZLGMJXEGN-XJKSGUPXSA-N 1 2 319.380 1.945 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2c(F)cccc2[N+](=O)[O-])nn1 ZINC000603203921 359478615 /nfs/dbraw/zinc/47/86/15/359478615.db2.gz RSZPTOIRYCXUGC-UHFFFAOYSA-N 1 2 303.297 1.487 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCCC2(C#N)CCOCC2)nn1 ZINC000603235714 359504369 /nfs/dbraw/zinc/50/43/69/359504369.db2.gz VGMBBBZOLWNOCY-UHFFFAOYSA-N 1 2 301.394 1.492 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)CC2(C#N)CCCCC2)CC1(C)C ZINC000603377201 359602031 /nfs/dbraw/zinc/60/20/31/359602031.db2.gz ZHZKWFZSQJWGSS-UHFFFAOYSA-N 1 2 313.467 1.816 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)CC2(C#N)CCCCC2)CC1(C)C ZINC000603377201 359602033 /nfs/dbraw/zinc/60/20/33/359602033.db2.gz ZHZKWFZSQJWGSS-UHFFFAOYSA-N 1 2 313.467 1.816 20 30 DDEDLO CCCN1CC[N@H+](C[C@H](O)c2ccc(C#N)cc2)C(C)(C)C1=O ZINC000187863881 200167895 /nfs/dbraw/zinc/16/78/95/200167895.db2.gz UWXDZDGRPKWYPD-INIZCTEOSA-N 1 2 315.417 1.924 20 30 DDEDLO CCCN1CC[N@@H+](C[C@H](O)c2ccc(C#N)cc2)C(C)(C)C1=O ZINC000187863881 200167896 /nfs/dbraw/zinc/16/78/96/200167896.db2.gz UWXDZDGRPKWYPD-INIZCTEOSA-N 1 2 315.417 1.924 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@H+](Cc1ccc(F)c(C#N)c1)C2 ZINC000281133095 216101364 /nfs/dbraw/zinc/10/13/64/216101364.db2.gz YNHQPFJAAJTFNH-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@@H+](Cc1ccc(F)c(C#N)c1)C2 ZINC000281133095 216101368 /nfs/dbraw/zinc/10/13/68/216101368.db2.gz YNHQPFJAAJTFNH-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000606432444 359919128 /nfs/dbraw/zinc/91/91/28/359919128.db2.gz AZZXCGFHSCFSEF-QGZVFWFLSA-N 1 2 316.380 1.883 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000606432444 359919131 /nfs/dbraw/zinc/91/91/31/359919131.db2.gz AZZXCGFHSCFSEF-QGZVFWFLSA-N 1 2 316.380 1.883 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCC[C@H](O)[C@H]2C(=O)OC)cc1 ZINC000188790439 200304464 /nfs/dbraw/zinc/30/44/64/200304464.db2.gz JDVMIHGZHGIEGB-HOTGVXAUSA-N 1 2 303.358 1.197 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCC[C@H](O)[C@H]2C(=O)OC)cc1 ZINC000188790439 200304465 /nfs/dbraw/zinc/30/44/65/200304465.db2.gz JDVMIHGZHGIEGB-HOTGVXAUSA-N 1 2 303.358 1.197 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ccnc(C#N)n3)c[nH+]2)CCO1 ZINC000565805110 304093297 /nfs/dbraw/zinc/09/32/97/304093297.db2.gz GURMWDPLEZCYML-GFCCVEGCSA-N 1 2 310.361 1.002 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](CCn3ccccc3=O)CC2)cc1 ZINC000608788625 360243060 /nfs/dbraw/zinc/24/30/60/360243060.db2.gz INDNPKIITZLOKE-UHFFFAOYSA-N 1 2 322.412 1.538 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+]1C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000609709310 360346424 /nfs/dbraw/zinc/34/64/24/360346424.db2.gz UVAKQNYMJNYNTD-ZBFHGGJFSA-N 1 2 318.373 1.130 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+]1C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000609709310 360346430 /nfs/dbraw/zinc/34/64/30/360346430.db2.gz UVAKQNYMJNYNTD-ZBFHGGJFSA-N 1 2 318.373 1.130 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)Nc1ccc2c(c1)OCO2 ZINC000610392026 360425337 /nfs/dbraw/zinc/42/53/37/360425337.db2.gz AQBTUNFRLKQDLM-UHFFFAOYSA-N 1 2 319.361 1.606 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)Nc1ccc2c(c1)OCO2 ZINC000610392026 360425342 /nfs/dbraw/zinc/42/53/42/360425342.db2.gz AQBTUNFRLKQDLM-UHFFFAOYSA-N 1 2 319.361 1.606 20 30 DDEDLO COC[C@@H]1CCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000610605323 360481305 /nfs/dbraw/zinc/48/13/05/360481305.db2.gz XVGRGSXOKBRDSR-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO COC[C@@H]1CCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000610605323 360481310 /nfs/dbraw/zinc/48/13/10/360481310.db2.gz XVGRGSXOKBRDSR-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO Cn1cc(C[N@H+](C)CC#CCN2C(=O)c3ccccc3C2=O)cn1 ZINC000625045557 366682784 /nfs/dbraw/zinc/68/27/84/366682784.db2.gz NNJJVMBJNJQXSE-UHFFFAOYSA-N 1 2 322.368 1.152 20 30 DDEDLO Cn1cc(C[N@@H+](C)CC#CCN2C(=O)c3ccccc3C2=O)cn1 ZINC000625045557 366682789 /nfs/dbraw/zinc/68/27/89/366682789.db2.gz NNJJVMBJNJQXSE-UHFFFAOYSA-N 1 2 322.368 1.152 20 30 DDEDLO Cc1ccc(C#N)nc1N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000611399142 360711924 /nfs/dbraw/zinc/71/19/24/360711924.db2.gz QWAIXUPHBLEXKW-UHFFFAOYSA-N 1 2 313.405 1.006 20 30 DDEDLO COc1cc(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)cc(C)n1 ZINC000330381194 223108408 /nfs/dbraw/zinc/10/84/08/223108408.db2.gz NDXAYJQVIZVCSF-OLZOCXBDSA-N 1 2 307.394 1.812 20 30 DDEDLO O=C(N[C@@H]1CCn2cc[nH+]c2C1)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000330331989 223103550 /nfs/dbraw/zinc/10/35/50/223103550.db2.gz XSFHWUJBNODSKI-UONOGXRCSA-N 1 2 316.405 1.803 20 30 DDEDLO O=C(N[C@H]1CCn2cc[nH+]c2C1)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000330331988 223103684 /nfs/dbraw/zinc/10/36/84/223103684.db2.gz XSFHWUJBNODSKI-KBPBESRZSA-N 1 2 316.405 1.803 20 30 DDEDLO COCCOCCN1CC[NH+]([C@@H](C)c2cccc(C#N)c2)CC1 ZINC000612543647 361059879 /nfs/dbraw/zinc/05/98/79/361059879.db2.gz NCYIMRMPHQHINA-INIZCTEOSA-N 1 2 317.433 1.900 20 30 DDEDLO COc1cc(NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)ccc1F ZINC000331301088 223200571 /nfs/dbraw/zinc/20/05/71/223200571.db2.gz RIWREMJZSYZHBE-LBPRGKRZSA-N 1 2 310.373 1.406 20 30 DDEDLO COc1cc(NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)ccc1F ZINC000331301088 223200574 /nfs/dbraw/zinc/20/05/74/223200574.db2.gz RIWREMJZSYZHBE-LBPRGKRZSA-N 1 2 310.373 1.406 20 30 DDEDLO N#CCCOCC[N@@H+]1C[C@H](C#N)[C@]2(C1)C(=O)Nc1ccccc12 ZINC000339052684 223266365 /nfs/dbraw/zinc/26/63/65/223266365.db2.gz OKEPGWSJNUOEFM-GUYCJALGSA-N 1 2 310.357 1.262 20 30 DDEDLO N#CCCOCC[N@H+]1C[C@H](C#N)[C@]2(C1)C(=O)Nc1ccccc12 ZINC000339052684 223266367 /nfs/dbraw/zinc/26/63/67/223266367.db2.gz OKEPGWSJNUOEFM-GUYCJALGSA-N 1 2 310.357 1.262 20 30 DDEDLO Cc1nnc([C@H]2CC[N@@H+]([C@H](C)C(=O)Nc3ccccc3C#N)C2)[nH]1 ZINC000565996532 304108638 /nfs/dbraw/zinc/10/86/38/304108638.db2.gz HWUAHYROORPVIZ-RISCZKNCSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nnc([C@H]2CC[N@H+]([C@H](C)C(=O)Nc3ccccc3C#N)C2)[nH]1 ZINC000565996532 304108640 /nfs/dbraw/zinc/10/86/40/304108640.db2.gz HWUAHYROORPVIZ-RISCZKNCSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@@H+]([C@H](C)C(=O)Nc3ccccc3C#N)C2)n1 ZINC000565996532 304108642 /nfs/dbraw/zinc/10/86/42/304108642.db2.gz HWUAHYROORPVIZ-RISCZKNCSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@H+]([C@H](C)C(=O)Nc3ccccc3C#N)C2)n1 ZINC000565996532 304108644 /nfs/dbraw/zinc/10/86/44/304108644.db2.gz HWUAHYROORPVIZ-RISCZKNCSA-N 1 2 324.388 1.801 20 30 DDEDLO N#Cc1cccc(-n2ccc(C(=O)N3CC(n4cc[nH+]c4)C3)n2)c1 ZINC000619613297 364085728 /nfs/dbraw/zinc/08/57/28/364085728.db2.gz SNSODSNGDMYHQV-UHFFFAOYSA-N 1 2 318.340 1.638 20 30 DDEDLO CN(CC[NH+]1CCOCC1)c1ccc(C(F)(F)F)c(C#N)n1 ZINC000619695487 364117662 /nfs/dbraw/zinc/11/76/62/364117662.db2.gz OSTFGRPAAICULP-UHFFFAOYSA-N 1 2 314.311 1.740 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+](CC)Cc1ccc(C(=O)N(C)C)cc1 ZINC000619717273 364128374 /nfs/dbraw/zinc/12/83/74/364128374.db2.gz SAWJQUIQPAMRSH-HNNXBMFYSA-N 1 2 304.390 1.938 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+](CC)Cc1ccc(C(=O)N(C)C)cc1 ZINC000619717273 364128376 /nfs/dbraw/zinc/12/83/76/364128376.db2.gz SAWJQUIQPAMRSH-HNNXBMFYSA-N 1 2 304.390 1.938 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CC[C@@H](CN(CC)CC(F)(F)F)C1 ZINC000619718221 364129349 /nfs/dbraw/zinc/12/93/49/364129349.db2.gz FBZRPBGVRDCSRP-RYUDHWBXSA-N 1 2 308.344 1.920 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CC[C@@H](CN(CC)CC(F)(F)F)C1 ZINC000619718221 364129351 /nfs/dbraw/zinc/12/93/51/364129351.db2.gz FBZRPBGVRDCSRP-RYUDHWBXSA-N 1 2 308.344 1.920 20 30 DDEDLO C[C@H](c1cccnc1)N1C(=O)C[C@@H]([NH+]2CCC(C#N)CC2)C1=O ZINC000343026913 223315279 /nfs/dbraw/zinc/31/52/79/223315279.db2.gz VZRHLUJUDANRIN-IUODEOHRSA-N 1 2 312.373 1.506 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCC[C@@](F)(CO)C1 ZINC000347330188 223370557 /nfs/dbraw/zinc/37/05/57/223370557.db2.gz FHBAFRIRXYQLJI-HIFRSBDPSA-N 1 2 311.401 1.514 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCC[C@@](F)(CO)C1 ZINC000347330188 223370560 /nfs/dbraw/zinc/37/05/60/223370560.db2.gz FHBAFRIRXYQLJI-HIFRSBDPSA-N 1 2 311.401 1.514 20 30 DDEDLO Cc1csc2nc(C[NH+]3CCC(F)(C#N)CC3)cc(=O)n12 ZINC000352467049 223419911 /nfs/dbraw/zinc/41/99/11/223419911.db2.gz HZCDZPDUCSATTC-UHFFFAOYSA-N 1 2 306.366 1.892 20 30 DDEDLO Cc1cnc(CN2CC[NH+](Cc3ccc(C#N)cc3)CC2)nc1 ZINC000336872916 249278877 /nfs/dbraw/zinc/27/88/77/249278877.db2.gz RLKZHCNAKPICAB-UHFFFAOYSA-N 1 2 307.401 1.975 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(-c2[nH+]ccn2C)c1)n1cnc(C#N)n1 ZINC000336882175 249281490 /nfs/dbraw/zinc/28/14/90/249281490.db2.gz FCONVWFOXKDZTP-NSHDSACASA-N 1 2 321.344 1.750 20 30 DDEDLO COc1ccc(OC)c(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)c1 ZINC000329916752 532967521 /nfs/dbraw/zinc/96/75/21/532967521.db2.gz DVVLEVHLHKKPOX-DZGCQCFKSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1ccc(OC)c(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)c1 ZINC000329916752 532967523 /nfs/dbraw/zinc/96/75/23/532967523.db2.gz DVVLEVHLHKKPOX-DZGCQCFKSA-N 1 2 321.377 1.264 20 30 DDEDLO N#Cc1cnn2cc(C[NH+]3CC(CO)(c4ccccc4)C3)cnc12 ZINC000567887814 304251962 /nfs/dbraw/zinc/25/19/62/304251962.db2.gz SCAORWXCWDDBTB-UHFFFAOYSA-N 1 2 319.368 1.347 20 30 DDEDLO COC[C@H]([NH2+][C@H](C)C(=O)NC1(C#N)CCC1)c1ccc(C)o1 ZINC000346539698 533098520 /nfs/dbraw/zinc/09/85/20/533098520.db2.gz YTBWTHHZUOIGFQ-OLZOCXBDSA-N 1 2 305.378 1.816 20 30 DDEDLO C[C@@H](NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1)c1cccc(O)c1 ZINC000330241156 533103285 /nfs/dbraw/zinc/10/32/85/533103285.db2.gz IWFUKQBEKKVFMH-UGFHNGPFSA-N 1 2 305.378 1.382 20 30 DDEDLO C[C@@H](NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1)c1cccc(O)c1 ZINC000330241156 533103295 /nfs/dbraw/zinc/10/32/95/533103295.db2.gz IWFUKQBEKKVFMH-UGFHNGPFSA-N 1 2 305.378 1.382 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)c1cccs1 ZINC000157845931 197220774 /nfs/dbraw/zinc/22/07/74/197220774.db2.gz WJWOEMMBJOEGQT-AWEZNQCLSA-N 1 2 321.446 1.926 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCC[C@](F)(CO)C1 ZINC000347330180 533238312 /nfs/dbraw/zinc/23/83/12/533238312.db2.gz FHBAFRIRXYQLJI-DZGCQCFKSA-N 1 2 311.401 1.514 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCC[C@](F)(CO)C1 ZINC000347330180 533238315 /nfs/dbraw/zinc/23/83/15/533238315.db2.gz FHBAFRIRXYQLJI-DZGCQCFKSA-N 1 2 311.401 1.514 20 30 DDEDLO COC[C@H]([NH2+]Cc1c(C#N)cccc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000459450962 285404623 /nfs/dbraw/zinc/40/46/23/285404623.db2.gz YYUQGNZCVYIYBT-ZFWWWQNUSA-N 1 2 305.334 1.750 20 30 DDEDLO C[NH+](C)[C@H](CNc1ncncc1C#N)c1c(F)cccc1F ZINC000568979537 304326132 /nfs/dbraw/zinc/32/61/32/304326132.db2.gz WPRIJJZHBXDGIR-CYBMUJFWSA-N 1 2 303.316 1.763 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](C)CC(=O)Nc1c(C)cc(C)cc1C ZINC000026371654 406904868 /nfs/dbraw/zinc/90/48/68/406904868.db2.gz IBWVTGAHXHCAIE-OAHLLOKOSA-N 1 2 315.417 1.620 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](C)CC(=O)Nc1c(C)cc(C)cc1C ZINC000026371654 406904870 /nfs/dbraw/zinc/90/48/70/406904870.db2.gz IBWVTGAHXHCAIE-OAHLLOKOSA-N 1 2 315.417 1.620 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(C(=O)c3ccccn3)CC2)c1 ZINC000027148834 406907850 /nfs/dbraw/zinc/90/78/50/406907850.db2.gz GNRMRVJQULOFCT-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(c2nc(CC)ns2)CC1 ZINC000076184987 406958713 /nfs/dbraw/zinc/95/87/13/406958713.db2.gz ROCRDRYVONCUIL-LBPRGKRZSA-N 1 2 323.466 1.303 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(c2nc(CC)ns2)CC1 ZINC000076184987 406958715 /nfs/dbraw/zinc/95/87/15/406958715.db2.gz ROCRDRYVONCUIL-LBPRGKRZSA-N 1 2 323.466 1.303 20 30 DDEDLO CC(=O)NC[C@@H]1CCC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000067415326 407273083 /nfs/dbraw/zinc/27/30/83/407273083.db2.gz VRYNIHRTPWUJAS-LBPRGKRZSA-N 1 2 320.418 1.406 20 30 DDEDLO CC(=O)NC[C@@H]1CCC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000067415326 407273084 /nfs/dbraw/zinc/27/30/84/407273084.db2.gz VRYNIHRTPWUJAS-LBPRGKRZSA-N 1 2 320.418 1.406 20 30 DDEDLO C[C@H](/N=C/c1cc([N+](=O)[O-])ccc1O)[C@H](C)[NH+]1CCOCC1 ZINC000105356548 407353484 /nfs/dbraw/zinc/35/34/84/407353484.db2.gz FNIDQFUWRROXAU-ATARQRRYSA-N 1 2 307.350 1.829 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)NCC[NH+]1CCOCC1 ZINC000124842144 407371167 /nfs/dbraw/zinc/37/11/67/407371167.db2.gz JVQLDLFYMNCUIY-UHFFFAOYSA-N 1 2 303.406 1.716 20 30 DDEDLO C=CC[C@@H](C)NC(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000125843926 407399017 /nfs/dbraw/zinc/39/90/17/407399017.db2.gz FHUQOBIAAHQMID-CQSZACIVSA-N 1 2 322.453 1.195 20 30 DDEDLO CCOC(=O)C(C)(C)[NH2+]C[C@@H](O)COc1ccc(C#N)cc1 ZINC000112656512 407434736 /nfs/dbraw/zinc/43/47/36/407434736.db2.gz LFUDNACLICQCGJ-CYBMUJFWSA-N 1 2 306.362 1.229 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)NC[C@H](C)C#N ZINC000178468443 407464586 /nfs/dbraw/zinc/46/45/86/407464586.db2.gz MZYPAENJZCRNLG-LLVKDONJSA-N 1 2 303.366 1.508 20 30 DDEDLO N#Cc1ccc([C@H](O)CN2CC[NH+](Cc3ccncc3)CC2)cc1 ZINC000271029974 407477119 /nfs/dbraw/zinc/47/71/19/407477119.db2.gz QEFXCJPCCVWEIP-LJQANCHMSA-N 1 2 322.412 1.804 20 30 DDEDLO C[C@@H]1CCC[C@H]1CC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000185909079 407536320 /nfs/dbraw/zinc/53/63/20/407536320.db2.gz ZMDHTNGTKKXYRP-CVEARBPZSA-N 1 2 307.438 1.887 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+](C)Cc1ccc([S@](C)=O)cc1 ZINC000178804649 407608359 /nfs/dbraw/zinc/60/83/59/407608359.db2.gz ULUDJLVTGXFGJM-XMHCIUCPSA-N 1 2 321.446 1.616 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+](C)Cc1ccc([S@](C)=O)cc1 ZINC000178804649 407608364 /nfs/dbraw/zinc/60/83/64/407608364.db2.gz ULUDJLVTGXFGJM-XMHCIUCPSA-N 1 2 321.446 1.616 20 30 DDEDLO CCc1nnc(N(C)CC[NH+]2CCOCC2)c(C#N)c1CC ZINC000268176198 407930418 /nfs/dbraw/zinc/93/04/18/407930418.db2.gz RVCQQQPTDHRBNC-UHFFFAOYSA-N 1 2 303.410 1.241 20 30 DDEDLO CCc1nnc(N[C@H](C)[C@H](C)[NH+]2CCOCC2)c(C#N)c1CC ZINC000268194794 407940901 /nfs/dbraw/zinc/94/09/01/407940901.db2.gz NLKKGUCRDLOBLK-OLZOCXBDSA-N 1 2 317.437 1.994 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)[nH]1 ZINC000268459708 408052062 /nfs/dbraw/zinc/05/20/62/408052062.db2.gz KMJIOBNHQNQYPC-BLLLJJGKSA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)[nH]1 ZINC000268459708 408052069 /nfs/dbraw/zinc/05/20/69/408052069.db2.gz KMJIOBNHQNQYPC-BLLLJJGKSA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)n1 ZINC000268459708 408052072 /nfs/dbraw/zinc/05/20/72/408052072.db2.gz KMJIOBNHQNQYPC-BLLLJJGKSA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)n1 ZINC000268459708 408052080 /nfs/dbraw/zinc/05/20/80/408052080.db2.gz KMJIOBNHQNQYPC-BLLLJJGKSA-N 1 2 316.409 1.101 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@H](C)C[NH+]2CCN(C)CC2)cc1 ZINC000119390087 408058037 /nfs/dbraw/zinc/05/80/37/408058037.db2.gz KCQKDSZIVNRICJ-OAHLLOKOSA-N 1 2 315.417 1.064 20 30 DDEDLO C=CCCS(=O)(=O)NCCc1[nH+]ccn1Cc1ccccc1 ZINC000119533435 408090997 /nfs/dbraw/zinc/09/09/97/408090997.db2.gz IACYFAHQSUOKHS-UHFFFAOYSA-N 1 2 319.430 1.969 20 30 DDEDLO COCCOc1ccc(C#N)cc1NC(=O)CCn1cc[nH+]c1 ZINC000273177905 408094288 /nfs/dbraw/zinc/09/42/88/408094288.db2.gz XEYDAVODFNFNCV-UHFFFAOYSA-N 1 2 314.345 1.809 20 30 DDEDLO O=S(=O)(NC1CC1)[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000120292964 408124533 /nfs/dbraw/zinc/12/45/33/408124533.db2.gz LFHDPOKJQIZOPE-MRXNPFEDSA-N 1 2 322.405 1.333 20 30 DDEDLO O=S(=O)(NC1CC1)[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000120292964 408124540 /nfs/dbraw/zinc/12/45/40/408124540.db2.gz LFHDPOKJQIZOPE-MRXNPFEDSA-N 1 2 322.405 1.333 20 30 DDEDLO CC[C@H](NC(=O)CO[NH+]=C(N)CCO)c1ccc(Cl)cc1 ZINC000121421093 408208290 /nfs/dbraw/zinc/20/82/90/408208290.db2.gz RUTFRKJKGPPNIH-LBPRGKRZSA-N 1 2 313.785 1.579 20 30 DDEDLO N#Cc1nccnc1NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000263893200 408304903 /nfs/dbraw/zinc/30/49/03/408304903.db2.gz OOGBIQPREDAVKF-INIZCTEOSA-N 1 2 309.373 1.834 20 30 DDEDLO C=C1CC[NH+](CC(=O)NCC(=O)Nc2ccccc2CC)CC1 ZINC000264442772 408492093 /nfs/dbraw/zinc/49/20/93/408492093.db2.gz GHBCPKRZEDFERZ-UHFFFAOYSA-N 1 2 315.417 1.956 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CCCO)CC2)c(Br)c1 ZINC000270350842 408498879 /nfs/dbraw/zinc/49/88/79/408498879.db2.gz HRDBVBKEXQCTSD-UHFFFAOYSA-N 1 2 324.222 1.825 20 30 DDEDLO COc1ccc(CC(N)=[NH+]O[C@H]2CCN(C(C)C)C2=O)cc1 ZINC000177563372 408659465 /nfs/dbraw/zinc/65/94/65/408659465.db2.gz PWMVVXJZGDMNFM-AWEZNQCLSA-N 1 2 305.378 1.536 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc([S@@](C)=O)cc2)C1=O ZINC000281223215 408875834 /nfs/dbraw/zinc/87/58/34/408875834.db2.gz KLMGDNYLKSXYJB-YCRPNKLZSA-N 1 2 306.431 1.643 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc([S@@](C)=O)cc2)C1=O ZINC000281223215 408875835 /nfs/dbraw/zinc/87/58/35/408875835.db2.gz KLMGDNYLKSXYJB-YCRPNKLZSA-N 1 2 306.431 1.643 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN([C@H](C)c3nccs3)CC2)C1=O ZINC000281412147 408884603 /nfs/dbraw/zinc/88/46/03/408884603.db2.gz RDIJLGLGHZXPHT-ZIAGYGMSSA-N 1 2 320.462 1.609 20 30 DDEDLO N#CCCCC(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000290991738 408856133 /nfs/dbraw/zinc/85/61/33/408856133.db2.gz RVGPGCCDTATKNM-UHFFFAOYSA-N 1 2 311.389 1.672 20 30 DDEDLO C=CCN(C)C(=O)C(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000285760501 408857988 /nfs/dbraw/zinc/85/79/88/408857988.db2.gz VLOBVWUJRWEBMY-UHFFFAOYSA-N 1 2 316.405 1.333 20 30 DDEDLO Cc1ccc2ncc(C#N)c(NCCC[N@@H+]3CCNC(=O)C3)c2c1 ZINC000291630698 408897313 /nfs/dbraw/zinc/89/73/13/408897313.db2.gz AFUWOJJGVIUPBA-UHFFFAOYSA-N 1 2 323.400 1.649 20 30 DDEDLO Cc1ccc2ncc(C#N)c(NCCC[N@H+]3CCNC(=O)C3)c2c1 ZINC000291630698 408897314 /nfs/dbraw/zinc/89/73/14/408897314.db2.gz AFUWOJJGVIUPBA-UHFFFAOYSA-N 1 2 323.400 1.649 20 30 DDEDLO CC[C@@H]1C[N@H+](C[C@H](O)c2ccc(C#N)cc2)C[C@H](C)[S@]1=O ZINC000285997426 408905617 /nfs/dbraw/zinc/90/56/17/408905617.db2.gz ORFXLQKCWHUSGJ-CCDOGKGBSA-N 1 2 306.431 1.823 20 30 DDEDLO CC[C@@H]1C[N@@H+](C[C@H](O)c2ccc(C#N)cc2)C[C@H](C)[S@]1=O ZINC000285997426 408905621 /nfs/dbraw/zinc/90/56/21/408905621.db2.gz ORFXLQKCWHUSGJ-CCDOGKGBSA-N 1 2 306.431 1.823 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)c2ccc(C(=O)OC)o2)C1=O ZINC000281514051 408888644 /nfs/dbraw/zinc/88/86/44/408888644.db2.gz YXHHBOQHJNTBOT-RYUDHWBXSA-N 1 2 306.362 1.846 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)c2ccc(C(=O)OC)o2)C1=O ZINC000281514051 408888646 /nfs/dbraw/zinc/88/86/46/408888646.db2.gz YXHHBOQHJNTBOT-RYUDHWBXSA-N 1 2 306.362 1.846 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N(C)Cc1cccc(C#N)c1 ZINC000195042400 163304190 /nfs/dbraw/zinc/30/41/90/163304190.db2.gz ZGZOBROKUUSCNJ-UHFFFAOYSA-N 1 2 324.340 1.559 20 30 DDEDLO CN(C)c1noc(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000292087817 408978328 /nfs/dbraw/zinc/97/83/28/408978328.db2.gz FDVPEGJJUMZITO-GFCCVEGCSA-N 1 2 314.349 1.056 20 30 DDEDLO CN(C)c1noc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000292087817 408978330 /nfs/dbraw/zinc/97/83/30/408978330.db2.gz FDVPEGJJUMZITO-GFCCVEGCSA-N 1 2 314.349 1.056 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccc(N(C)CCC#N)cc2)CC(=O)N1C ZINC000282400864 409047714 /nfs/dbraw/zinc/04/77/14/409047714.db2.gz CPOLNAUMEPFKED-CQSZACIVSA-N 1 2 300.406 1.699 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccc(N(C)CCC#N)cc2)CC(=O)N1C ZINC000282400864 409047717 /nfs/dbraw/zinc/04/77/17/409047717.db2.gz CPOLNAUMEPFKED-CQSZACIVSA-N 1 2 300.406 1.699 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1cc(Cl)ccc1-n1cncn1 ZINC000293304702 409085974 /nfs/dbraw/zinc/08/59/74/409085974.db2.gz WQXJZYWTSRPHMB-UHFFFAOYSA-N 1 2 317.780 1.814 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1cc(Cl)ccc1-n1cncn1 ZINC000293304702 409085975 /nfs/dbraw/zinc/08/59/75/409085975.db2.gz WQXJZYWTSRPHMB-UHFFFAOYSA-N 1 2 317.780 1.814 20 30 DDEDLO NC(Cc1ccccc1Cl)=[NH+]OC[C@@H]1CCS(=O)(=O)C1 ZINC000278639611 409086260 /nfs/dbraw/zinc/08/62/60/409086260.db2.gz LHLBILIJJCDLFV-JTQLQIEISA-N 1 2 316.810 1.606 20 30 DDEDLO C=CCN(CC(F)(F)F)C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(C)=O ZINC000283130406 409094848 /nfs/dbraw/zinc/09/48/48/409094848.db2.gz UPJFWEMCJIEFIO-NSHDSACASA-N 1 2 318.299 1.034 20 30 DDEDLO C=CCN(CC(F)(F)F)C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(C)=O ZINC000283130406 409094850 /nfs/dbraw/zinc/09/48/50/409094850.db2.gz UPJFWEMCJIEFIO-NSHDSACASA-N 1 2 318.299 1.034 20 30 DDEDLO COc1cccc(C(=O)N2CC[NH+](CCOCCC#N)CC2)c1 ZINC000293459289 409117184 /nfs/dbraw/zinc/11/71/84/409117184.db2.gz LBHXTXZYTPKZEV-UHFFFAOYSA-N 1 2 317.389 1.383 20 30 DDEDLO CCOC(=O)[C@H](F)C1C[NH+](Cc2ccc(C#N)c(OC)c2)C1 ZINC000293812190 409185577 /nfs/dbraw/zinc/18/55/77/409185577.db2.gz FFXFVGDYWXMSSZ-OAHLLOKOSA-N 1 2 306.337 1.900 20 30 DDEDLO C=C(CNC(=O)N(C)CC[NH+]1CCOCC1)c1ccccc1 ZINC000288140496 409152222 /nfs/dbraw/zinc/15/22/22/409152222.db2.gz YGCNXGDRIXKJEW-UHFFFAOYSA-N 1 2 303.406 1.673 20 30 DDEDLO CCOC(=O)[C@@H](F)C1C[NH+](Cc2ccc(OC)c(C#N)c2)C1 ZINC000293779319 409179171 /nfs/dbraw/zinc/17/91/71/409179171.db2.gz BRUWZHFZAUPYDJ-HNNXBMFYSA-N 1 2 306.337 1.900 20 30 DDEDLO CCc1ccc(C#CC(=O)N(C)[C@H](C)C[NH+]2CCOCC2)cc1 ZINC000294472504 409291706 /nfs/dbraw/zinc/29/17/06/409291706.db2.gz ZPBDREMULOGPNP-MRXNPFEDSA-N 1 2 314.429 1.780 20 30 DDEDLO C#CCO[C@@H](C)C(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000294477754 409291903 /nfs/dbraw/zinc/29/19/03/409291903.db2.gz QOMXDECLCACCPT-AWEZNQCLSA-N 1 2 302.374 1.496 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(C)coc2CC(=O)OC)CC1 ZINC000290164068 409311169 /nfs/dbraw/zinc/31/11/69/409311169.db2.gz OEPZVNXJOLLMFY-UHFFFAOYSA-N 1 2 318.373 1.085 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000295249851 409397362 /nfs/dbraw/zinc/39/73/62/409397362.db2.gz MPEYHBRTTIAROF-LSDHHAIUSA-N 1 2 301.390 1.325 20 30 DDEDLO CCOc1cccc(CNC(=O)CO[NH+]=C(N)[C@@H]2CCCO2)c1 ZINC000284425513 409341019 /nfs/dbraw/zinc/34/10/19/409341019.db2.gz OLWOWHPANATRLH-AWEZNQCLSA-N 1 2 321.377 1.169 20 30 DDEDLO CC#CCCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000353937771 409570909 /nfs/dbraw/zinc/57/09/09/409570909.db2.gz DRLUAORSCUEXRN-HNNXBMFYSA-N 1 2 317.408 1.866 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2ccc(C#N)s2)C[C@H]1[NH+]1CCOCC1 ZINC000332107694 409891133 /nfs/dbraw/zinc/89/11/33/409891133.db2.gz IGDKNEBCPFWEAD-TZMCWYRMSA-N 1 2 319.430 1.803 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+]C2(c3noc(C)n3)CCCCC2)C1=O ZINC000328779554 409976559 /nfs/dbraw/zinc/97/65/59/409976559.db2.gz IWYZPTBWHGUXHJ-CYBMUJFWSA-N 1 2 304.394 1.914 20 30 DDEDLO Cc1nc(CNC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)sc1C ZINC000328855264 409992151 /nfs/dbraw/zinc/99/21/51/409992151.db2.gz COUSTHPPYPRBRX-OLZOCXBDSA-N 1 2 324.450 1.579 20 30 DDEDLO Cc1nc(CNC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)sc1C ZINC000328855264 409992154 /nfs/dbraw/zinc/99/21/54/409992154.db2.gz COUSTHPPYPRBRX-OLZOCXBDSA-N 1 2 324.450 1.579 20 30 DDEDLO Cn1nncc1C(=O)N[C@@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000328650122 409944969 /nfs/dbraw/zinc/94/49/69/409944969.db2.gz IXOGWOOBNGEOAN-SNVBAGLBSA-N 1 2 302.382 1.629 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2C[C@@H](C)[N@@H+](C)C[C@H]2C)c1C#N ZINC000350872698 410007823 /nfs/dbraw/zinc/00/78/23/410007823.db2.gz WHKPPFBYTRTNDY-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2C[C@@H](C)[N@H+](C)C[C@H]2C)c1C#N ZINC000350872698 410007827 /nfs/dbraw/zinc/00/78/27/410007827.db2.gz WHKPPFBYTRTNDY-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO O=C(C[N@@H+]1CCN2C(=O)NC(=O)[C@H]2C1)NCC1CCCCC1 ZINC000328922014 410008950 /nfs/dbraw/zinc/00/89/50/410008950.db2.gz UFINUHSSJKYKOB-GFCCVEGCSA-N 1 2 308.382 1.600 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)NC(=O)[C@H]2C1)NCC1CCCCC1 ZINC000328922014 410008957 /nfs/dbraw/zinc/00/89/57/410008957.db2.gz UFINUHSSJKYKOB-GFCCVEGCSA-N 1 2 308.382 1.600 20 30 DDEDLO CC1=CC[N@H+](CCNC(=O)C(C)(C)S(=O)(=O)C2CC2)CC1 ZINC000328915592 410009059 /nfs/dbraw/zinc/00/90/59/410009059.db2.gz QVHWZJNZOFMHRI-UHFFFAOYSA-N 1 2 314.451 1.951 20 30 DDEDLO CC1=CC[N@@H+](CCNC(=O)C(C)(C)S(=O)(=O)C2CC2)CC1 ZINC000328915592 410009063 /nfs/dbraw/zinc/00/90/63/410009063.db2.gz QVHWZJNZOFMHRI-UHFFFAOYSA-N 1 2 314.451 1.951 20 30 DDEDLO C[C@H](Cn1cncn1)NC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000328966485 410024501 /nfs/dbraw/zinc/02/45/01/410024501.db2.gz SPZPHMLNCNDPIR-MGPQQGTHSA-N 1 2 314.393 1.791 20 30 DDEDLO NC(=O)[C@]1(O)CCCN(C(=O)NC[C@H]2CCCn3cc[nH+]c32)C1 ZINC000328967697 410026474 /nfs/dbraw/zinc/02/64/74/410026474.db2.gz ADFGVWRCPSKTOA-ABAIWWIYSA-N 1 2 321.381 1.037 20 30 DDEDLO C=C(C)Cn1c(CCCC)nnc1N(C)CC[NH+]1CCOCC1 ZINC000338848271 410045319 /nfs/dbraw/zinc/04/53/19/410045319.db2.gz YOVNNDVURNIDMU-UHFFFAOYSA-N 1 2 321.469 1.965 20 30 DDEDLO COc1ccc(C[N@@H+]2CCOC[C@H]2[C@@H]2CCCO2)cc1C#N ZINC000329073486 410085136 /nfs/dbraw/zinc/08/51/36/410085136.db2.gz OVFYBTYTMJMBIO-RDJZCZTQSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C[N@H+]2CCOC[C@H]2[C@@H]2CCCO2)cc1C#N ZINC000329073486 410085146 /nfs/dbraw/zinc/08/51/46/410085146.db2.gz OVFYBTYTMJMBIO-RDJZCZTQSA-N 1 2 302.374 1.947 20 30 DDEDLO O=C(NCCNc1cccc[nH+]1)N[C@@H]1CCO[C@]2(CCOC2)C1 ZINC000329115218 410109281 /nfs/dbraw/zinc/10/92/81/410109281.db2.gz MPADAPODWNJQMY-CZUORRHYSA-N 1 2 320.393 1.335 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CCS(=O)(=O)[C@H]1C)[NH+]1CCCCCC1 ZINC000329128631 410119336 /nfs/dbraw/zinc/11/93/36/410119336.db2.gz VNWFXAQNWSQTFC-AGIUHOORSA-N 1 2 302.440 1.783 20 30 DDEDLO Cc1noc([C@@H]2CCCN2C(=O)N[C@@H](C)C[NH+]2CCOCC2)n1 ZINC000329188943 410156445 /nfs/dbraw/zinc/15/64/45/410156445.db2.gz BUTRWIHLSBONGJ-AAEUAGOBSA-N 1 2 323.397 1.150 20 30 DDEDLO COc1cnc(NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)s1 ZINC000329241074 410186019 /nfs/dbraw/zinc/18/60/19/410186019.db2.gz NDZYUTPOQPFERV-ZJUUUORDSA-N 1 2 312.395 1.102 20 30 DDEDLO COc1cnc(NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)s1 ZINC000329241074 410186026 /nfs/dbraw/zinc/18/60/26/410186026.db2.gz NDZYUTPOQPFERV-ZJUUUORDSA-N 1 2 312.395 1.102 20 30 DDEDLO C[C@H](Cn1cncn1)NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000329249029 410190399 /nfs/dbraw/zinc/19/03/99/410190399.db2.gz SUCGZKDHNPHALY-OCCSQVGLSA-N 1 2 307.398 1.267 20 30 DDEDLO C[C@H](Cn1cncn1)NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000329249029 410190404 /nfs/dbraw/zinc/19/04/04/410190404.db2.gz SUCGZKDHNPHALY-OCCSQVGLSA-N 1 2 307.398 1.267 20 30 DDEDLO O=C(NCC[N@H+]1CC=CCC1)[C@@H]1CC12CCS(=O)(=O)CC2 ZINC000329261379 410195626 /nfs/dbraw/zinc/19/56/26/410195626.db2.gz AXWJRZVBQILAAX-ZDUSSCGKSA-N 1 2 312.435 1.420 20 30 DDEDLO O=C(NCC[N@@H+]1CC=CCC1)[C@@H]1CC12CCS(=O)(=O)CC2 ZINC000329261379 410195631 /nfs/dbraw/zinc/19/56/31/410195631.db2.gz AXWJRZVBQILAAX-ZDUSSCGKSA-N 1 2 312.435 1.420 20 30 DDEDLO Cc1ncsc1CNC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000329271685 410203264 /nfs/dbraw/zinc/20/32/64/410203264.db2.gz JJOZYLTYVCLHLK-WCQYABFASA-N 1 2 324.450 1.661 20 30 DDEDLO CC1CC[NH+](CC(=O)NC[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC000329329491 410236818 /nfs/dbraw/zinc/23/68/18/410236818.db2.gz LBBDHCJJZASEBI-ZDUSSCGKSA-N 1 2 302.440 1.500 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCN2CCOC[C@H]2C1 ZINC000329403237 410278537 /nfs/dbraw/zinc/27/85/37/410278537.db2.gz CBGKFLHJDDCTPH-CZUORRHYSA-N 1 2 314.389 1.551 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCN2CCOC[C@H]2C1 ZINC000329403237 410278548 /nfs/dbraw/zinc/27/85/48/410278548.db2.gz CBGKFLHJDDCTPH-CZUORRHYSA-N 1 2 314.389 1.551 20 30 DDEDLO Cc1csc([C@H](C)NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)n1 ZINC000329438011 410298371 /nfs/dbraw/zinc/29/83/71/410298371.db2.gz JPFNZVBNOLLDTB-NWDGAFQWSA-N 1 2 311.455 1.262 20 30 DDEDLO Cc1csc([C@H](C)NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)n1 ZINC000329438011 410298376 /nfs/dbraw/zinc/29/83/76/410298376.db2.gz JPFNZVBNOLLDTB-NWDGAFQWSA-N 1 2 311.455 1.262 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1C[C@@]2(CCOC2)OC(C)(C)C1)C1CC1 ZINC000332977629 410302591 /nfs/dbraw/zinc/30/25/91/410302591.db2.gz WZPWIQCNZYOSTM-DLBZAZTESA-N 1 2 321.421 1.065 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1C[C@@]2(CCOC2)OC(C)(C)C1)C1CC1 ZINC000332977629 410302598 /nfs/dbraw/zinc/30/25/98/410302598.db2.gz WZPWIQCNZYOSTM-DLBZAZTESA-N 1 2 321.421 1.065 20 30 DDEDLO Cn1cc[nH+]c1CNS(=O)(=O)c1ccc(Cl)c(C#N)c1 ZINC000355089350 410307953 /nfs/dbraw/zinc/30/79/53/410307953.db2.gz DKLUPERWZBNKAZ-UHFFFAOYSA-N 1 2 310.766 1.424 20 30 DDEDLO C[C@H](NC(=O)N1CCC[C@H]1C[NH+]1CCOCC1)[C@@H]1CCOC1 ZINC000329680111 410410725 /nfs/dbraw/zinc/41/07/25/410410725.db2.gz WGUHFAPMAYFBHV-ZNMIVQPWSA-N 1 2 311.426 1.122 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)NCC(C)(C)CCC#N)CCO1 ZINC000358677120 410515930 /nfs/dbraw/zinc/51/59/30/410515930.db2.gz BRIIFGWNUDGTRA-AWEZNQCLSA-N 1 2 310.442 1.726 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)NCC(C)(C)CCC#N)CCO1 ZINC000358677120 410515940 /nfs/dbraw/zinc/51/59/40/410515940.db2.gz BRIIFGWNUDGTRA-AWEZNQCLSA-N 1 2 310.442 1.726 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[NH2+][C@H](C)c2nncn2C)c(C#N)c1C ZINC000358794330 410534060 /nfs/dbraw/zinc/53/40/60/410534060.db2.gz YTRKKBDAAVPEPK-NXEZZACHSA-N 1 2 316.365 1.574 20 30 DDEDLO C=CCOCC(=O)Nc1ccc2[nH]c(N3CCOCC3)[nH+]c2c1 ZINC000355517690 410559923 /nfs/dbraw/zinc/55/99/23/410559923.db2.gz GNKFVSFOUKMVFH-UHFFFAOYSA-N 1 2 316.361 1.541 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)CCCC1 ZINC000352953840 410700329 /nfs/dbraw/zinc/70/03/29/410700329.db2.gz PSKOKADZPOTJMH-GFCCVEGCSA-N 1 2 308.407 1.960 20 30 DDEDLO CC[C@H](CC#N)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000355805873 410685159 /nfs/dbraw/zinc/68/51/59/410685159.db2.gz LFLWRIZDSOWWQD-TZMCWYRMSA-N 1 2 319.409 1.292 20 30 DDEDLO CC[C@H](CC#N)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000355805873 410685167 /nfs/dbraw/zinc/68/51/67/410685167.db2.gz LFLWRIZDSOWWQD-TZMCWYRMSA-N 1 2 319.409 1.292 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](CC(=C)C)Cc1ccc(CO)o1 ZINC000352895652 410687785 /nfs/dbraw/zinc/68/77/85/410687785.db2.gz FBJVPYIODSCQTQ-UHFFFAOYSA-N 1 2 321.377 1.162 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](CC(=C)C)Cc1ccc(CO)o1 ZINC000352895652 410687793 /nfs/dbraw/zinc/68/77/93/410687793.db2.gz FBJVPYIODSCQTQ-UHFFFAOYSA-N 1 2 321.377 1.162 20 30 DDEDLO C=CCCC[C@H](C)Nc1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000302376434 410842961 /nfs/dbraw/zinc/84/29/61/410842961.db2.gz CXVMHYPGRFHZFG-ZDUSSCGKSA-N 1 2 303.410 1.912 20 30 DDEDLO C=CCCC[C@H](C)Nc1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000302376434 410842965 /nfs/dbraw/zinc/84/29/65/410842965.db2.gz CXVMHYPGRFHZFG-ZDUSSCGKSA-N 1 2 303.410 1.912 20 30 DDEDLO Cc1ccc(N2CC[NH+]([C@@H](C)C(=O)NC3CC3)CC2)nc1C#N ZINC000302716985 410849786 /nfs/dbraw/zinc/84/97/86/410849786.db2.gz NLFRZHXMPDQEQG-ZDUSSCGKSA-N 1 2 313.405 1.051 20 30 DDEDLO C[C@@H](CN1CCOCC1)[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000341136115 410887208 /nfs/dbraw/zinc/88/72/08/410887208.db2.gz ZLWFDOZLJLIGAV-MAUKXSAKSA-N 1 2 315.417 1.652 20 30 DDEDLO C[C@@H](CN1CCOCC1)[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000341136115 410887211 /nfs/dbraw/zinc/88/72/11/410887211.db2.gz ZLWFDOZLJLIGAV-MAUKXSAKSA-N 1 2 315.417 1.652 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cccc(C)c1C#N ZINC000451934405 287190958 /nfs/dbraw/zinc/19/09/58/287190958.db2.gz QDAOLQXGQOTFND-CQSZACIVSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cccc(C)c1C#N ZINC000451934405 287190959 /nfs/dbraw/zinc/19/09/59/287190959.db2.gz QDAOLQXGQOTFND-CQSZACIVSA-N 1 2 321.446 1.972 20 30 DDEDLO N#Cc1ccncc1C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000341340267 411011431 /nfs/dbraw/zinc/01/14/31/411011431.db2.gz DMCWIIZQRNVMRQ-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C ZINC000356516274 411068081 /nfs/dbraw/zinc/06/80/81/411068081.db2.gz SQWVPJUBBGUJKR-HNNXBMFYSA-N 1 2 306.366 1.298 20 30 DDEDLO CN(C)c1ccc(CNS(=O)(=O)c2ccc(C#N)o2)c[nH+]1 ZINC000344228920 411039677 /nfs/dbraw/zinc/03/96/77/411039677.db2.gz YNOMVTCLPRJEJL-UHFFFAOYSA-N 1 2 306.347 1.091 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)s1 ZINC000353648603 411098808 /nfs/dbraw/zinc/09/88/08/411098808.db2.gz BLUITCHPARJOAL-PKFCDNJMSA-N 1 2 309.439 1.940 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000156182975 221668048 /nfs/dbraw/zinc/66/80/48/221668048.db2.gz DMEKYMRVZLHOBY-AWEZNQCLSA-N 1 2 322.368 1.182 20 30 DDEDLO N#Cc1c(F)ccc(C[N@@H+]2CC[C@H]3OCC(=O)N[C@@H]3C2)c1Cl ZINC000373969009 418479641 /nfs/dbraw/zinc/47/96/41/418479641.db2.gz OLLKUHTZZNHWOL-CHWSQXEVSA-N 1 2 323.755 1.440 20 30 DDEDLO N#Cc1c(F)ccc(C[N@H+]2CC[C@H]3OCC(=O)N[C@@H]3C2)c1Cl ZINC000373969009 418479643 /nfs/dbraw/zinc/47/96/43/418479643.db2.gz OLLKUHTZZNHWOL-CHWSQXEVSA-N 1 2 323.755 1.440 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC000360676318 418489712 /nfs/dbraw/zinc/48/97/12/418489712.db2.gz ZLTZIGJAQRTUAK-OAHLLOKOSA-N 1 2 304.415 1.477 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC000360676318 418489715 /nfs/dbraw/zinc/48/97/15/418489715.db2.gz ZLTZIGJAQRTUAK-OAHLLOKOSA-N 1 2 304.415 1.477 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H](F)C[C@@H]2CNC(=O)CCCC#N)cn1 ZINC000360715581 418499598 /nfs/dbraw/zinc/49/95/98/418499598.db2.gz NGUPFFCFHCWGHI-ZIAGYGMSSA-N 1 2 307.373 1.143 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H](F)C[C@@H]2CNC(=O)CCCC#N)cn1 ZINC000360715581 418499601 /nfs/dbraw/zinc/49/96/01/418499601.db2.gz NGUPFFCFHCWGHI-ZIAGYGMSSA-N 1 2 307.373 1.143 20 30 DDEDLO COC(=O)Cc1cccc(NCc2c[nH+]c3ccc(C#N)cn23)n1 ZINC000191653601 418522480 /nfs/dbraw/zinc/52/24/80/418522480.db2.gz ZSZLECBAYXWQIT-UHFFFAOYSA-N 1 2 321.340 1.929 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1ccc(C#N)c(C)c1)CC2 ZINC000373882855 418468352 /nfs/dbraw/zinc/46/83/52/418468352.db2.gz PFZFJHJFHGOUAS-UHFFFAOYSA-N 1 2 316.386 1.576 20 30 DDEDLO CC(C)C[C@@H]1C(=O)NCCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000188910886 222032093 /nfs/dbraw/zinc/03/20/93/222032093.db2.gz HTNWZZNTAIFABZ-OAHLLOKOSA-N 1 2 311.389 1.552 20 30 DDEDLO Cc1ccc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)cc1C#N ZINC000367017016 418552269 /nfs/dbraw/zinc/55/22/69/418552269.db2.gz NDLUDABUYOZOKH-QGZVFWFLSA-N 1 2 313.401 1.804 20 30 DDEDLO Cn1cc(CNc2cccc(C[N@@H+]3CCNC(=O)C3)c2)cc1C#N ZINC000291030662 222085566 /nfs/dbraw/zinc/08/55/66/222085566.db2.gz DYDWPODKGKWJKH-UHFFFAOYSA-N 1 2 323.400 1.441 20 30 DDEDLO Cn1cc(CNc2cccc(C[N@H+]3CCNC(=O)C3)c2)cc1C#N ZINC000291030662 222085571 /nfs/dbraw/zinc/08/55/71/222085571.db2.gz DYDWPODKGKWJKH-UHFFFAOYSA-N 1 2 323.400 1.441 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCC[C@@H]1c1ccc(OC)c(OC)c1 ZINC000192236483 222124133 /nfs/dbraw/zinc/12/41/33/222124133.db2.gz FRCFEKHFXWMLBO-OAHLLOKOSA-N 1 2 316.401 1.932 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCC[C@@H]1c1ccc(OC)c(OC)c1 ZINC000192236483 222124136 /nfs/dbraw/zinc/12/41/36/222124136.db2.gz FRCFEKHFXWMLBO-OAHLLOKOSA-N 1 2 316.401 1.932 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000193485401 222155553 /nfs/dbraw/zinc/15/55/53/222155553.db2.gz GUEZGUYTYHZVDT-AWEZNQCLSA-N 1 2 317.437 1.640 20 30 DDEDLO N#CC1(NC(=O)[C@H]2C[N@H+](Cc3ccccc3)CCO2)CCCC1 ZINC000265852373 222357770 /nfs/dbraw/zinc/35/77/70/222357770.db2.gz BXYHREAFQBSSAK-MRXNPFEDSA-N 1 2 313.401 1.840 20 30 DDEDLO N#CC1(NC(=O)[C@H]2C[N@@H+](Cc3ccccc3)CCO2)CCCC1 ZINC000265852373 222357773 /nfs/dbraw/zinc/35/77/73/222357773.db2.gz BXYHREAFQBSSAK-MRXNPFEDSA-N 1 2 313.401 1.840 20 30 DDEDLO N#C[C@@H]1C[N@@H+](CCOC2CCC2)C[C@]12C(=O)Nc1ccccc12 ZINC000367735893 418628543 /nfs/dbraw/zinc/62/85/43/418628543.db2.gz ABWGLCDLBACBJW-ACJLOTCBSA-N 1 2 311.385 1.901 20 30 DDEDLO N#C[C@@H]1C[N@H+](CCOC2CCC2)C[C@]12C(=O)Nc1ccccc12 ZINC000367735893 418628545 /nfs/dbraw/zinc/62/85/45/418628545.db2.gz ABWGLCDLBACBJW-ACJLOTCBSA-N 1 2 311.385 1.901 20 30 DDEDLO N#Cc1cc(C(=O)NC2CC[NH+](Cc3cscn3)CC2)c[nH]1 ZINC000367755024 418630324 /nfs/dbraw/zinc/63/03/24/418630324.db2.gz RYTVCWQHIOROSI-UHFFFAOYSA-N 1 2 315.402 1.737 20 30 DDEDLO CO/N=C/C(=O)N[C@H](c1[nH+]ccn1C)c1cccc(OC)c1 ZINC000377703963 418713640 /nfs/dbraw/zinc/71/36/40/418713640.db2.gz WZCVZVSOQFCYDL-FBJMNJBLSA-N 1 2 302.334 1.267 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000377835469 418716074 /nfs/dbraw/zinc/71/60/74/418716074.db2.gz UGIHLJUDYCJDNX-UKRRQHHQSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000377835469 418716077 /nfs/dbraw/zinc/71/60/77/418716077.db2.gz UGIHLJUDYCJDNX-UKRRQHHQSA-N 1 2 318.373 1.741 20 30 DDEDLO Cc1ccc(C[N@H+](CCO)CC(=O)Nc2cccc(C#N)c2)o1 ZINC000361512203 418667637 /nfs/dbraw/zinc/66/76/37/418667637.db2.gz XMUCPFJSKFWWRL-UHFFFAOYSA-N 1 2 313.357 1.893 20 30 DDEDLO Cc1ccc(C[N@@H+](CCO)CC(=O)Nc2cccc(C#N)c2)o1 ZINC000361512203 418667639 /nfs/dbraw/zinc/66/76/39/418667639.db2.gz XMUCPFJSKFWWRL-UHFFFAOYSA-N 1 2 313.357 1.893 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000361720262 418701411 /nfs/dbraw/zinc/70/14/11/418701411.db2.gz GWALHRHQEWSWAF-NSHDSACASA-N 1 2 320.374 1.130 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(-c3cccnc3)n[nH]2)C1=O ZINC000369204962 418730286 /nfs/dbraw/zinc/73/02/86/418730286.db2.gz QODUKBFADVCTAW-INIZCTEOSA-N 1 2 311.389 1.690 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(-c3cccnc3)n[nH]2)C1=O ZINC000369204962 418730288 /nfs/dbraw/zinc/73/02/88/418730288.db2.gz QODUKBFADVCTAW-INIZCTEOSA-N 1 2 311.389 1.690 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C1(N2CC[NH+](C)CC2)CCCC1 ZINC000369880500 418738946 /nfs/dbraw/zinc/73/89/46/418738946.db2.gz NABIRGOHPRGJMF-HNNXBMFYSA-N 1 2 306.454 1.555 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCCN(C(=O)C(C)(C)C)CC1 ZINC000362916506 418760922 /nfs/dbraw/zinc/76/09/22/418760922.db2.gz NHQCEMVPBZNFBW-CQSZACIVSA-N 1 2 322.453 1.327 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCCN(C(=O)C(C)(C)C)CC1 ZINC000362916506 418760925 /nfs/dbraw/zinc/76/09/25/418760925.db2.gz NHQCEMVPBZNFBW-CQSZACIVSA-N 1 2 322.453 1.327 20 30 DDEDLO C=C(C)CN(C)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000378492299 418724263 /nfs/dbraw/zinc/72/42/63/418724263.db2.gz IPMIHSXRJZUQMZ-UHFFFAOYSA-N 1 2 307.398 1.255 20 30 DDEDLO CCc1nnc(C[N@@H+]2CCCN(c3ccc(C#N)cc3)CC2)n1C ZINC000368842548 418725555 /nfs/dbraw/zinc/72/55/55/418725555.db2.gz CDROFZYGFKOKOW-UHFFFAOYSA-N 1 2 324.432 1.961 20 30 DDEDLO CCc1nnc(C[N@H+]2CCCN(c3ccc(C#N)cc3)CC2)n1C ZINC000368842548 418725558 /nfs/dbraw/zinc/72/55/58/418725558.db2.gz CDROFZYGFKOKOW-UHFFFAOYSA-N 1 2 324.432 1.961 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@H](C)c1nc(-c2ccc(F)cc2)no1 ZINC000368812514 418725912 /nfs/dbraw/zinc/72/59/12/418725912.db2.gz RDZBZKJVRYLQHT-WDEREUQCSA-N 1 2 316.336 1.664 20 30 DDEDLO Cc1noc(C2C[NH+](C[C@H](O)COc3ccc(C#N)cc3)C2)n1 ZINC000365577333 418877784 /nfs/dbraw/zinc/87/77/84/418877784.db2.gz IZJCKEHCEHGKLG-AWEZNQCLSA-N 1 2 314.345 1.089 20 30 DDEDLO C#CCNC(=O)N1CCC[C@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000372571285 418885422 /nfs/dbraw/zinc/88/54/22/418885422.db2.gz MKDKDGFFPOFRJQ-ZDUSSCGKSA-N 1 2 305.382 1.007 20 30 DDEDLO C#CCNC(=O)N1CCC[C@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000372571285 418885424 /nfs/dbraw/zinc/88/54/24/418885424.db2.gz MKDKDGFFPOFRJQ-ZDUSSCGKSA-N 1 2 305.382 1.007 20 30 DDEDLO Cc1cc(NC[C@H](O)COc2ccc(F)c(F)c2)c(C#N)c[nH+]1 ZINC000425222957 228394238 /nfs/dbraw/zinc/39/42/38/228394238.db2.gz JJKUIJHWNDOTNG-LBPRGKRZSA-N 1 2 319.311 1.814 20 30 DDEDLO COCC(C)(C)CC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000412131555 419686188 /nfs/dbraw/zinc/68/61/88/419686188.db2.gz OYCMWIHORCWMSG-UHFFFAOYSA-N 1 2 311.426 1.124 20 30 DDEDLO COC(=O)[C@H](C1CC1)[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000428786224 419932169 /nfs/dbraw/zinc/93/21/69/419932169.db2.gz HLOQDTCWVRUZPF-HIFRSBDPSA-N 1 2 301.346 1.358 20 30 DDEDLO COC(=O)[C@H](C1CC1)[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000428786224 419932173 /nfs/dbraw/zinc/93/21/73/419932173.db2.gz HLOQDTCWVRUZPF-HIFRSBDPSA-N 1 2 301.346 1.358 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)NC[C@@H]2CC[NH2+]CC2(F)F)c1 ZINC000420464640 420304037 /nfs/dbraw/zinc/30/40/37/420304037.db2.gz KAXKQAVPMZYOFM-NSHDSACASA-N 1 2 315.345 1.081 20 30 DDEDLO Cc1cc(S(=O)(=O)NCCNc2cccc[nH+]2)ccc1C#N ZINC000443899733 230036710 /nfs/dbraw/zinc/03/67/10/230036710.db2.gz MBELJWYISFKBHR-UHFFFAOYSA-N 1 2 316.386 1.652 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@H](O)C1CCCCC1 ZINC000437802919 420425385 /nfs/dbraw/zinc/42/53/85/420425385.db2.gz PUFUTOSUYVRTGA-MRXNPFEDSA-N 1 2 323.437 1.002 20 30 DDEDLO CCCC[N@@H+]1CCOC[C@@H]1C(=O)NCc1ccc(C#N)c(F)c1 ZINC000456848318 420553496 /nfs/dbraw/zinc/55/34/96/420553496.db2.gz WKIJIFXMFAIOQZ-MRXNPFEDSA-N 1 2 319.380 1.814 20 30 DDEDLO CCCC[N@H+]1CCOC[C@@H]1C(=O)NCc1ccc(C#N)c(F)c1 ZINC000456848318 420553498 /nfs/dbraw/zinc/55/34/98/420553498.db2.gz WKIJIFXMFAIOQZ-MRXNPFEDSA-N 1 2 319.380 1.814 20 30 DDEDLO CC(C)(C#N)CC(=O)N(CC[NH+]1CCOCC1)Cc1ccco1 ZINC000456983796 420580670 /nfs/dbraw/zinc/58/06/70/420580670.db2.gz HKAIXEPRCXCBPW-UHFFFAOYSA-N 1 2 319.405 1.880 20 30 DDEDLO C[C@@H](C[N@H+](C)CCOCc1ccc(C#N)cc1)S(C)(=O)=O ZINC000451034222 420584870 /nfs/dbraw/zinc/58/48/70/420584870.db2.gz BUIVXEGSWFIDBX-ZDUSSCGKSA-N 1 2 310.419 1.440 20 30 DDEDLO C[C@@H](C[N@@H+](C)CCOCc1ccc(C#N)cc1)S(C)(=O)=O ZINC000451034222 420584875 /nfs/dbraw/zinc/58/48/75/420584875.db2.gz BUIVXEGSWFIDBX-ZDUSSCGKSA-N 1 2 310.419 1.440 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)NCC2CCC(C#N)CC2)CCO1 ZINC000442727007 420704633 /nfs/dbraw/zinc/70/46/33/420704633.db2.gz FAZUIIWWKHDLSA-GPANFISMSA-N 1 2 322.453 1.726 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)NCC2CCC(C#N)CC2)CCO1 ZINC000442727007 420704636 /nfs/dbraw/zinc/70/46/36/420704636.db2.gz FAZUIIWWKHDLSA-GPANFISMSA-N 1 2 322.453 1.726 20 30 DDEDLO C=C(C)CCNC(=O)N1CC[C@@H]([N@H+](C)Cc2ncccn2)C1 ZINC000458998084 420778493 /nfs/dbraw/zinc/77/84/93/420778493.db2.gz JZMIRDZAJHPDRH-CQSZACIVSA-N 1 2 303.410 1.659 20 30 DDEDLO C=C(C)CCNC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000458998084 420778498 /nfs/dbraw/zinc/77/84/98/420778498.db2.gz JZMIRDZAJHPDRH-CQSZACIVSA-N 1 2 303.410 1.659 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccc(C#N)c(O)c1 ZINC000447657729 420791687 /nfs/dbraw/zinc/79/16/87/420791687.db2.gz VPFMVHGIGKGFSH-LBPRGKRZSA-N 1 2 303.362 1.057 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(Cc3c(C#N)cccc3[N+](=O)[O-])C[C@H]21 ZINC000459460139 420833377 /nfs/dbraw/zinc/83/33/77/420833377.db2.gz RQKGAGTZSKPUFI-HZPDHXFCSA-N 1 2 316.361 1.371 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(Cc3c(C#N)cccc3[N+](=O)[O-])C[C@H]21 ZINC000459460139 420833379 /nfs/dbraw/zinc/83/33/79/420833379.db2.gz RQKGAGTZSKPUFI-HZPDHXFCSA-N 1 2 316.361 1.371 20 30 DDEDLO Cc1ccc(CCC(=O)N(CCC#N)CC[NH+]2CCOCC2)o1 ZINC000471982530 420961606 /nfs/dbraw/zinc/96/16/06/420961606.db2.gz ZDKLYTHKRUTALL-UHFFFAOYSA-N 1 2 319.405 1.595 20 30 DDEDLO C=C(C)CCNC(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000454828449 420930738 /nfs/dbraw/zinc/93/07/38/420930738.db2.gz XDYIFGNEZQNPBX-ZDUSSCGKSA-N 1 2 319.409 1.177 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494131548 420997547 /nfs/dbraw/zinc/99/75/47/420997547.db2.gz GCSYAHUATVISDH-UONOGXRCSA-N 1 2 307.394 1.102 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494131548 420997550 /nfs/dbraw/zinc/99/75/50/420997550.db2.gz GCSYAHUATVISDH-UONOGXRCSA-N 1 2 307.394 1.102 20 30 DDEDLO N#CC1(C[N@H+]2CCCC[C@H]2COC(=O)N[C@H]2CCOC2)CC1 ZINC000495812885 421068968 /nfs/dbraw/zinc/06/89/68/421068968.db2.gz DBFWQQBVVQPLAI-KBPBESRZSA-N 1 2 307.394 1.660 20 30 DDEDLO N#CC1(C[N@@H+]2CCCC[C@H]2COC(=O)N[C@H]2CCOC2)CC1 ZINC000495812885 421068972 /nfs/dbraw/zinc/06/89/72/421068972.db2.gz DBFWQQBVVQPLAI-KBPBESRZSA-N 1 2 307.394 1.660 20 30 DDEDLO CC#CCC[NH+]1CCN(S(=O)(=O)N(CCC)CCC)CC1 ZINC000488192158 421075482 /nfs/dbraw/zinc/07/54/82/421075482.db2.gz JTMMMFPYZSKETC-UHFFFAOYSA-N 1 2 315.483 1.384 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CC[C@H](OC(=O)N(C)C)C1 ZINC000495862596 421077263 /nfs/dbraw/zinc/07/72/63/421077263.db2.gz AILGYEVSYHAQBC-DVOMOZLQSA-N 1 2 324.425 1.202 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CC[C@H](OC(=O)N(C)C)C1 ZINC000495862596 421077265 /nfs/dbraw/zinc/07/72/65/421077265.db2.gz AILGYEVSYHAQBC-DVOMOZLQSA-N 1 2 324.425 1.202 20 30 DDEDLO N#Cc1nnc2ccccc2c1N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000524841365 421274834 /nfs/dbraw/zinc/27/48/34/421274834.db2.gz OYBVROZIQSGKNB-UHFFFAOYSA-N 1 2 319.372 1.547 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CC[C@@H](NC(=O)NC4CC4)C3)n2c1 ZINC000546267509 421289304 /nfs/dbraw/zinc/28/93/04/421289304.db2.gz VDDQSXDIDBYABE-CQSZACIVSA-N 1 2 324.388 1.242 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CC[C@@H](NC(=O)NC4CC4)C3)n2c1 ZINC000546267509 421289309 /nfs/dbraw/zinc/28/93/09/421289309.db2.gz VDDQSXDIDBYABE-CQSZACIVSA-N 1 2 324.388 1.242 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@]1(C#N)CCOC1)C2 ZINC000526017025 421323830 /nfs/dbraw/zinc/32/38/30/421323830.db2.gz KZMOSGPTDMLGNA-ZBEGNZNMSA-N 1 2 302.378 1.437 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@]1(C#N)CCOC1)CC2 ZINC000526017025 421323832 /nfs/dbraw/zinc/32/38/32/421323832.db2.gz KZMOSGPTDMLGNA-ZBEGNZNMSA-N 1 2 302.378 1.437 20 30 DDEDLO Cc1noc([C@@H]2CCC[N@H+](CC(=O)NC3(C#N)CCC3)C2)n1 ZINC000527377687 421383210 /nfs/dbraw/zinc/38/32/10/421383210.db2.gz BAFSBWQOBPSMIP-GFCCVEGCSA-N 1 2 303.366 1.120 20 30 DDEDLO Cc1noc([C@@H]2CCC[N@@H+](CC(=O)NC3(C#N)CCC3)C2)n1 ZINC000527377687 421383212 /nfs/dbraw/zinc/38/32/12/421383212.db2.gz BAFSBWQOBPSMIP-GFCCVEGCSA-N 1 2 303.366 1.120 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000527498150 421390767 /nfs/dbraw/zinc/39/07/67/421390767.db2.gz JJGHBIBWVRTDQX-CVEARBPZSA-N 1 2 319.368 1.568 20 30 DDEDLO CCOCc1nc(C)c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)s1 ZINC000563220572 421474517 /nfs/dbraw/zinc/47/45/17/421474517.db2.gz RHJZEYKVOOAMRE-AWEZNQCLSA-N 1 2 310.423 1.562 20 30 DDEDLO CC[NH+]1CCN(C(=O)[C@@H](C)SCc2ncc(C#N)cn2)CC1 ZINC000515145151 421481663 /nfs/dbraw/zinc/48/16/63/421481663.db2.gz VYANJSGYLVYBRU-GFCCVEGCSA-N 1 2 319.434 1.134 20 30 DDEDLO C[N@H+](CCCc1[nH]nc(N)c1C#N)Cc1ncnn1C(C)(C)C ZINC000549136700 421494140 /nfs/dbraw/zinc/49/41/40/421494140.db2.gz GMRBOIAYVIYFOR-UHFFFAOYSA-N 1 2 316.413 1.275 20 30 DDEDLO C[N@@H+](CCCc1[nH]nc(N)c1C#N)Cc1ncnn1C(C)(C)C ZINC000549136700 421494141 /nfs/dbraw/zinc/49/41/41/421494141.db2.gz GMRBOIAYVIYFOR-UHFFFAOYSA-N 1 2 316.413 1.275 20 30 DDEDLO COc1ccc2c(c1)C=C(C(=O)N[C@](C)(C#N)C[NH+](C)C)CO2 ZINC000565050573 421595557 /nfs/dbraw/zinc/59/55/57/421595557.db2.gz GIOYXHYBPNYZJT-QGZVFWFLSA-N 1 2 315.373 1.431 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnc(-c2ccccc2)nc1 ZINC000566306685 421604636 /nfs/dbraw/zinc/60/46/36/421604636.db2.gz WEGMQSFCCVNKRH-QGZVFWFLSA-N 1 2 309.373 1.717 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc(COC(C)(C)C)on1 ZINC000569009254 421624218 /nfs/dbraw/zinc/62/42/18/421624218.db2.gz ZQIJSVMJRDXFFM-HNNXBMFYSA-N 1 2 308.382 1.563 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000517761113 421628805 /nfs/dbraw/zinc/62/88/05/421628805.db2.gz GVKDNQKXVXAPEI-UHFFFAOYSA-N 1 2 309.373 1.767 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NC2CCCCCC2)C1=O ZINC000531695118 421643706 /nfs/dbraw/zinc/64/37/06/421643706.db2.gz DVYDXJBOEPTZDB-OAHLLOKOSA-N 1 2 307.438 1.544 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NC2CCCCCC2)C1=O ZINC000531695118 421643709 /nfs/dbraw/zinc/64/37/09/421643709.db2.gz DVYDXJBOEPTZDB-OAHLLOKOSA-N 1 2 307.438 1.544 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2nc3ccc(OC)nc3[nH]2)C1=O ZINC000531705703 421644304 /nfs/dbraw/zinc/64/43/04/421644304.db2.gz BKDAAMZVZRMOEM-GFCCVEGCSA-N 1 2 315.377 1.185 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2nc3ccc(OC)nc3[nH]2)C1=O ZINC000531705703 421644306 /nfs/dbraw/zinc/64/43/06/421644306.db2.gz BKDAAMZVZRMOEM-GFCCVEGCSA-N 1 2 315.377 1.185 20 30 DDEDLO C[C@@H](c1nccc(N)n1)[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000571175162 421693794 /nfs/dbraw/zinc/69/37/94/421693794.db2.gz BPSSFGJYIHMYGY-AAEUAGOBSA-N 1 2 310.361 1.540 20 30 DDEDLO C[C@@H](c1nccc(N)n1)[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000571175162 421693796 /nfs/dbraw/zinc/69/37/96/421693796.db2.gz BPSSFGJYIHMYGY-AAEUAGOBSA-N 1 2 310.361 1.540 20 30 DDEDLO C=CCC[C@@H]1NC(=O)N(C[C@@H]2CCCn3cc(C)[nH+]c32)C1=O ZINC000571186606 421695990 /nfs/dbraw/zinc/69/59/90/421695990.db2.gz VJKRUQKSVYKXKZ-STQMWFEESA-N 1 2 302.378 1.956 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[NH2+]Cc1cn[nH]c1C ZINC000583929695 422220201 /nfs/dbraw/zinc/22/02/01/422220201.db2.gz XZUNAWUMWYWJBU-MRXNPFEDSA-N 1 2 301.390 1.976 20 30 DDEDLO CCc1cc2c(ccn(C[C@@H](O)C[N@H+](C)CCC#N)c2=O)o1 ZINC000583935200 422222377 /nfs/dbraw/zinc/22/23/77/422222377.db2.gz VOCAEAXQFKBVCE-LBPRGKRZSA-N 1 2 303.362 1.363 20 30 DDEDLO CCc1cc2c(ccn(C[C@@H](O)C[N@@H+](C)CCC#N)c2=O)o1 ZINC000583935200 422222381 /nfs/dbraw/zinc/22/23/81/422222381.db2.gz VOCAEAXQFKBVCE-LBPRGKRZSA-N 1 2 303.362 1.363 20 30 DDEDLO Cc1ccc2c(c1)CC[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)O2 ZINC000575806969 422329307 /nfs/dbraw/zinc/32/93/07/422329307.db2.gz WJZRLLWHGFYWML-NVXWUHKLSA-N 1 2 301.390 1.649 20 30 DDEDLO Cc1nc(N)c(CNC(=O)c2cc(C#N)cc(N(C)C)c2)c[nH+]1 ZINC000592799100 422335807 /nfs/dbraw/zinc/33/58/07/422335807.db2.gz ODTQHPKENJHYHM-UHFFFAOYSA-N 1 2 310.361 1.235 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000645939259 423252398 /nfs/dbraw/zinc/25/23/98/423252398.db2.gz WQARWXDWCYMTQB-ZDUSSCGKSA-N 1 2 301.381 1.436 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000645939259 423252402 /nfs/dbraw/zinc/25/24/02/423252402.db2.gz WQARWXDWCYMTQB-ZDUSSCGKSA-N 1 2 301.381 1.436 20 30 DDEDLO C=CCn1cc(C(=O)NCCCn2c(C)[nH+]c3ccccc32)nn1 ZINC000648733636 423434617 /nfs/dbraw/zinc/43/46/17/423434617.db2.gz BJBPOXGXJPATAE-UHFFFAOYSA-N 1 2 324.388 1.942 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000646594343 423542909 /nfs/dbraw/zinc/54/29/09/423542909.db2.gz ZWXIQZXPQCAHAH-CYBMUJFWSA-N 1 2 304.394 1.104 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000649181533 423662123 /nfs/dbraw/zinc/66/21/23/423662123.db2.gz CMIOMBFTHIRXRO-INIZCTEOSA-N 1 2 311.385 1.364 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000649229434 423690576 /nfs/dbraw/zinc/69/05/76/423690576.db2.gz ICIUITKXLHLVFM-HNNXBMFYSA-N 1 2 310.344 1.660 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCc1cn2cc(C)ccc2[nH+]1 ZINC000645197963 424228834 /nfs/dbraw/zinc/22/88/34/424228834.db2.gz FCJVKFJSCRGEPL-CYBMUJFWSA-N 1 2 300.362 1.216 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1nc2c(cnn2C(C)(C)C)c(N)[nH+]1 ZINC000663968163 424309504 /nfs/dbraw/zinc/30/95/04/424309504.db2.gz DGQLYAOYNPQJPV-MNOVXSKESA-N 1 2 302.382 1.919 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+](C/C=C/c2ccccc2)CC1 ZINC000665150620 424751107 /nfs/dbraw/zinc/75/11/07/424751107.db2.gz HPFQFZNDYSSCLU-HKMNZKMDSA-N 1 2 300.402 1.781 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C ZINC000660638580 424737414 /nfs/dbraw/zinc/73/74/14/424737414.db2.gz NCLRGWCXTMSDBP-OWCLPIDISA-N 1 2 308.470 1.666 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NC(=O)NC[C@H]1CN(C)CC[N@H+]1C ZINC000660638580 424737417 /nfs/dbraw/zinc/73/74/17/424737417.db2.gz NCLRGWCXTMSDBP-OWCLPIDISA-N 1 2 308.470 1.666 20 30 DDEDLO C[C@@H]1C[C@H](O)C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000401510986 267092839 /nfs/dbraw/zinc/09/28/39/267092839.db2.gz VYQKXECPYFXQGP-OCCSQVGLSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1C[C@H](O)C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000401510986 267092841 /nfs/dbraw/zinc/09/28/41/267092841.db2.gz VYQKXECPYFXQGP-OCCSQVGLSA-N 1 2 308.403 1.035 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](C)CC2)nn1-c1cccc(C#N)c1 ZINC000357179098 267320576 /nfs/dbraw/zinc/32/05/76/267320576.db2.gz UWOALPPZQKUJOD-UHFFFAOYSA-N 1 2 309.373 1.440 20 30 DDEDLO Cc1cc(C[NH+]2CCN(CC(=O)NC3CC3)CC2)ccc1C#N ZINC000362970732 267325049 /nfs/dbraw/zinc/32/50/49/267325049.db2.gz WENRENNPFRVVQP-UHFFFAOYSA-N 1 2 312.417 1.263 20 30 DDEDLO Cc1cc(CN2CC[NH+](CC(=O)NC3CC3)CC2)ccc1C#N ZINC000362970732 267325051 /nfs/dbraw/zinc/32/50/51/267325051.db2.gz WENRENNPFRVVQP-UHFFFAOYSA-N 1 2 312.417 1.263 20 30 DDEDLO N#Cc1cc(C(=O)NCc2[nH+]ccn2CC(F)(F)F)ccn1 ZINC000355610104 267981690 /nfs/dbraw/zinc/98/16/90/267981690.db2.gz SKUXQLYPLACJPM-UHFFFAOYSA-N 1 2 309.251 1.642 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@H+]1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000367839713 268099806 /nfs/dbraw/zinc/09/98/06/268099806.db2.gz NDGDQDHGNMXEFE-ITGUQSILSA-N 1 2 305.765 1.320 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000367839713 268099809 /nfs/dbraw/zinc/09/98/09/268099809.db2.gz NDGDQDHGNMXEFE-ITGUQSILSA-N 1 2 305.765 1.320 20 30 DDEDLO N#Cc1ccc(F)c(CNC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)c1 ZINC000378069974 268102869 /nfs/dbraw/zinc/10/28/69/268102869.db2.gz JPJHNIBBFXKYSW-LBPRGKRZSA-N 1 2 313.336 1.777 20 30 DDEDLO N#Cc1ccc(F)c(CNC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)c1 ZINC000378069974 268102872 /nfs/dbraw/zinc/10/28/72/268102872.db2.gz JPJHNIBBFXKYSW-LBPRGKRZSA-N 1 2 313.336 1.777 20 30 DDEDLO N#Cc1ccc(F)c(CS(=O)(=O)NCCCn2cc[nH+]c2)c1 ZINC000359115841 268104395 /nfs/dbraw/zinc/10/43/95/268104395.db2.gz CDKHAHJTUCRWCY-UHFFFAOYSA-N 1 2 322.365 1.404 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2C[C@@H]3CCC[C@@H]3[C@H]2C(N)=O)cc1 ZINC000368973637 268140891 /nfs/dbraw/zinc/14/08/91/268140891.db2.gz KPRKPQHGVKYBSU-NOLJZWGESA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2C[C@@H]3CCC[C@@H]3[C@H]2C(N)=O)cc1 ZINC000368973637 268140893 /nfs/dbraw/zinc/14/08/93/268140893.db2.gz KPRKPQHGVKYBSU-NOLJZWGESA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2C[C@@H]3CCCCC[C@H]32)nc1 ZINC000377569232 268188920 /nfs/dbraw/zinc/18/89/20/268188920.db2.gz AMCSZMPXBPUEKG-LSDHHAIUSA-N 1 2 319.430 1.991 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2C[C@@H]3CCCCC[C@H]32)nc1 ZINC000377569232 268188921 /nfs/dbraw/zinc/18/89/21/268188921.db2.gz AMCSZMPXBPUEKG-LSDHHAIUSA-N 1 2 319.430 1.991 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000496071499 268290970 /nfs/dbraw/zinc/29/09/70/268290970.db2.gz PICOWVJAHBETGF-YPMHNXCESA-N 1 2 316.317 1.397 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000496071499 268290972 /nfs/dbraw/zinc/29/09/72/268290972.db2.gz PICOWVJAHBETGF-YPMHNXCESA-N 1 2 316.317 1.397 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000376175112 277802121 /nfs/dbraw/zinc/80/21/21/277802121.db2.gz SQFLBMRIOYEQQU-MWDXBVQZSA-N 1 2 322.449 1.679 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000493826584 289219344 /nfs/dbraw/zinc/21/93/44/289219344.db2.gz RMYHYYLEYKJCCF-CDNLZTBQSA-N 1 2 302.334 1.568 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN([C@@H](C(N)=O)c3ccc(C#N)cc3)C[C@@H]21 ZINC000332202814 290098877 /nfs/dbraw/zinc/09/88/77/290098877.db2.gz DODLRGKIPLRDTQ-RRFJBIMHSA-N 1 2 300.362 1.149 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN([C@@H](C(N)=O)c3ccc(C#N)cc3)C[C@@H]21 ZINC000332202814 290098879 /nfs/dbraw/zinc/09/88/79/290098879.db2.gz DODLRGKIPLRDTQ-RRFJBIMHSA-N 1 2 300.362 1.149 20 30 DDEDLO CN1CCO[C@@H]2C[N@@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C[C@@H]21 ZINC000332202814 290098881 /nfs/dbraw/zinc/09/88/81/290098881.db2.gz DODLRGKIPLRDTQ-RRFJBIMHSA-N 1 2 300.362 1.149 20 30 DDEDLO CN1CCO[C@@H]2C[N@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C[C@@H]21 ZINC000332202814 290098882 /nfs/dbraw/zinc/09/88/82/290098882.db2.gz DODLRGKIPLRDTQ-RRFJBIMHSA-N 1 2 300.362 1.149 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000285783227 294027774 /nfs/dbraw/zinc/02/77/74/294027774.db2.gz LVKHNNLWUVMXHU-YPMHNXCESA-N 1 2 303.362 1.395 20 30 DDEDLO N#CC1(C(=O)N2CCCN(c3cccc[nH+]3)CC2)CCOCC1 ZINC000186377966 294781243 /nfs/dbraw/zinc/78/12/43/294781243.db2.gz DPGVZBUIZIIWPW-UHFFFAOYSA-N 1 2 314.389 1.441 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000575477052 304728224 /nfs/dbraw/zinc/72/82/24/304728224.db2.gz IVGQHNSWTHQSGA-HNNXBMFYSA-N 1 2 316.405 1.224 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCC[C@@]23CCNC3=O)c1C#N ZINC000565168968 308022574 /nfs/dbraw/zinc/02/25/74/308022574.db2.gz FYPROEZMJRQNIG-KRWDZBQOSA-N 1 2 313.357 1.199 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCC[C@@]23CCNC3=O)c1C#N ZINC000565168968 308022575 /nfs/dbraw/zinc/02/25/75/308022575.db2.gz FYPROEZMJRQNIG-KRWDZBQOSA-N 1 2 313.357 1.199 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccc(S(C)(=O)=O)cc2)nn1 ZINC000570562604 332773446 /nfs/dbraw/zinc/77/34/46/332773446.db2.gz CSTIROOTWOQEMT-UHFFFAOYSA-N 1 2 306.391 1.157 20 30 DDEDLO CCNC(=O)C(C)(C)[NH2+]Cc1cccc(C(=O)OC)c1C#N ZINC000584039045 331648705 /nfs/dbraw/zinc/64/87/05/331648705.db2.gz CVNRNJURANEOAE-UHFFFAOYSA-N 1 2 303.362 1.349 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCCOc1ccccc1F ZINC000565721063 331780190 /nfs/dbraw/zinc/78/01/90/331780190.db2.gz VLUZJYANZMABFH-MRXNPFEDSA-N 1 2 307.369 1.945 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(C(F)(F)F)nc1 ZINC000569527464 332124000 /nfs/dbraw/zinc/12/40/00/332124000.db2.gz UJNZPVZHPUMPML-GFCCVEGCSA-N 1 2 300.284 1.674 20 30 DDEDLO Cc1cccc(C[NH+]2CCN(S(=O)(=O)CCCC#N)CC2)c1 ZINC000111325503 332304534 /nfs/dbraw/zinc/30/45/34/332304534.db2.gz LGZJXOJLHDVLKJ-UHFFFAOYSA-N 1 2 321.446 1.746 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@@H]1C[N@@H+]([C@H]2CC[C@H](C#N)C2)CCO1 ZINC000497251981 333227345 /nfs/dbraw/zinc/22/73/45/333227345.db2.gz HPBPPPOTZDDEJT-MELADBBJSA-N 1 2 309.410 1.904 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@@H]1C[N@H+]([C@H]2CC[C@H](C#N)C2)CCO1 ZINC000497251981 333227346 /nfs/dbraw/zinc/22/73/46/333227346.db2.gz HPBPPPOTZDDEJT-MELADBBJSA-N 1 2 309.410 1.904 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NCc1cn2c([nH+]1)CCCC2 ZINC000519621411 335882493 /nfs/dbraw/zinc/88/24/93/335882493.db2.gz JKVQSPWOXTWQAB-CJNGLKHVSA-N 1 2 318.421 2.000 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(Cc2nc(C)c(C)o2)CC1 ZINC000171686473 337088349 /nfs/dbraw/zinc/08/83/49/337088349.db2.gz QCYCLSRTKIVPLT-ZDUSSCGKSA-N 1 2 306.410 1.100 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1csc(-c2cnccn2)n1 ZINC000584414539 337322103 /nfs/dbraw/zinc/32/21/03/337322103.db2.gz XPYYREZAJZGAMK-AWEZNQCLSA-N 1 2 316.390 1.174 20 30 DDEDLO CCCN(CC#N)CC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000058921319 337458847 /nfs/dbraw/zinc/45/88/47/337458847.db2.gz NACQMSBGRUWIQO-UHFFFAOYSA-N 1 2 304.394 1.159 20 30 DDEDLO C[C@H](c1ccccc1[N+](=O)[O-])[NH+]1CCN(C(=O)CC#N)CC1 ZINC000514870998 337973847 /nfs/dbraw/zinc/97/38/47/337973847.db2.gz PCLDVYYUDIZZNF-GFCCVEGCSA-N 1 2 302.334 1.714 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1occc1Br ZINC000514983733 337976670 /nfs/dbraw/zinc/97/66/70/337976670.db2.gz PYQQSBGRNCNZDP-NSHDSACASA-N 1 2 300.156 1.616 20 30 DDEDLO CC#CC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000495757903 339991678 /nfs/dbraw/zinc/99/16/78/339991678.db2.gz AKHXUAIXZXGBLN-CQSZACIVSA-N 1 2 321.421 1.163 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)N1CCC(CC#N)CC1 ZINC000543775394 341058100 /nfs/dbraw/zinc/05/81/00/341058100.db2.gz TZGYNQHZTVGVJA-UHFFFAOYSA-N 1 2 301.394 1.983 20 30 DDEDLO C[C@@H](NC(=O)N1CCC(CC#N)CC1)[C@H](C)[NH+]1CCOCC1 ZINC000543783683 341058545 /nfs/dbraw/zinc/05/85/45/341058545.db2.gz ZJUIJKOAPQFPLY-KGLIPLIRSA-N 1 2 308.426 1.431 20 30 DDEDLO CCC[C@H]1CN(CC#CC[N@@H+]2CCO[C@@H](CCC)C2)CCO1 ZINC000551394443 341340518 /nfs/dbraw/zinc/34/05/18/341340518.db2.gz CZXVPNBCZUXLMZ-ROUUACIJSA-N 1 2 308.466 1.992 20 30 DDEDLO CCC[C@H]1CN(CC#CC[N@H+]2CCO[C@@H](CCC)C2)CCO1 ZINC000551394443 341340519 /nfs/dbraw/zinc/34/05/19/341340519.db2.gz CZXVPNBCZUXLMZ-ROUUACIJSA-N 1 2 308.466 1.992 20 30 DDEDLO C=CCCn1cc(CS(=O)(=O)Cc2ccc(C)[nH+]c2C)nn1 ZINC000656175279 483997234 /nfs/dbraw/zinc/99/72/34/483997234.db2.gz SVSZLLYVSGRTRP-UHFFFAOYSA-N 1 2 320.418 1.981 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)NCC2([NH+]3CCOCC3)CC2)C1 ZINC000661069368 484886749 /nfs/dbraw/zinc/88/67/49/484886749.db2.gz HRRJIRXAECQDRS-OAHLLOKOSA-N 1 2 307.438 1.849 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)Nc1ccon1)Cc1ccc(CO)o1 ZINC000671721122 485176698 /nfs/dbraw/zinc/17/66/98/485176698.db2.gz GBPVSDGIOAQLSL-UHFFFAOYSA-N 1 2 305.334 1.777 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)Nc1ccon1)Cc1ccc(CO)o1 ZINC000671721122 485176704 /nfs/dbraw/zinc/17/67/04/485176704.db2.gz GBPVSDGIOAQLSL-UHFFFAOYSA-N 1 2 305.334 1.777 20 30 DDEDLO CC#CCCNC(=O)NC[C@H]1C[C@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000349955889 526324523 /nfs/dbraw/zinc/32/45/23/526324523.db2.gz UEDJYIMKDKNCGK-LSDHHAIUSA-N 1 2 321.400 1.045 20 30 DDEDLO CC#CCCNC(=O)NC[C@H]1C[C@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000349955889 526324530 /nfs/dbraw/zinc/32/45/30/526324530.db2.gz UEDJYIMKDKNCGK-LSDHHAIUSA-N 1 2 321.400 1.045 20 30 DDEDLO CC#CCCNC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000349872894 526330517 /nfs/dbraw/zinc/33/05/17/526330517.db2.gz BCGOVQJPSAKMCE-UHFFFAOYSA-N 1 2 302.378 1.762 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N1CCc2cc(OC)c(OC)cc2C1 ZINC000339754257 526357752 /nfs/dbraw/zinc/35/77/52/526357752.db2.gz OWBOBBXPUPAROF-UHFFFAOYSA-N 1 2 316.401 1.544 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N1CCc2cc(OC)c(OC)cc2C1 ZINC000339754257 526357760 /nfs/dbraw/zinc/35/77/60/526357760.db2.gz OWBOBBXPUPAROF-UHFFFAOYSA-N 1 2 316.401 1.544 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2cccc(C)c2)C1 ZINC000330958893 526400592 /nfs/dbraw/zinc/40/05/92/526400592.db2.gz WUBLEEFKRHMEJR-GXTWGEPZSA-N 1 2 304.394 1.530 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2cccc(C)c2)C1 ZINC000330958893 526400598 /nfs/dbraw/zinc/40/05/98/526400598.db2.gz WUBLEEFKRHMEJR-GXTWGEPZSA-N 1 2 304.394 1.530 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2cccc(F)c2)C1 ZINC000330956652 526400800 /nfs/dbraw/zinc/40/08/00/526400800.db2.gz VBFOUECOTHZAIU-GXFFZTMASA-N 1 2 308.357 1.360 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2cccc(F)c2)C1 ZINC000330956652 526400806 /nfs/dbraw/zinc/40/08/06/526400806.db2.gz VBFOUECOTHZAIU-GXFFZTMASA-N 1 2 308.357 1.360 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+]([C@@H](C)C(=O)NC2CC2)CC1 ZINC000424555843 526430208 /nfs/dbraw/zinc/43/02/08/526430208.db2.gz GPBHXORSURSCFR-ZDUSSCGKSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N2CCCC[C@H]2CC)C1=O ZINC000337202373 526499484 /nfs/dbraw/zinc/49/94/84/526499484.db2.gz DXIDXGARLWOGBI-CABCVRRESA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N2CCCC[C@H]2CC)C1=O ZINC000337202373 526499488 /nfs/dbraw/zinc/49/94/88/526499488.db2.gz DXIDXGARLWOGBI-CABCVRRESA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc([N+](=O)[O-])c(OC)c2)C1=O ZINC000337221116 526500876 /nfs/dbraw/zinc/50/08/76/526500876.db2.gz DUXHZUHNZGUDEH-AWEZNQCLSA-N 1 2 319.361 1.822 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc([N+](=O)[O-])c(OC)c2)C1=O ZINC000337221116 526500879 /nfs/dbraw/zinc/50/08/79/526500879.db2.gz DUXHZUHNZGUDEH-AWEZNQCLSA-N 1 2 319.361 1.822 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH2+][C@@H](CO)c1cccc(Cl)c1F ZINC000337230117 526634918 /nfs/dbraw/zinc/63/49/18/526634918.db2.gz SNKWNECGFCEMFM-CABZTGNLSA-N 1 2 300.761 1.793 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+]([C@@H](C)C(=O)NC2CC2)CC1 ZINC000332103442 526708149 /nfs/dbraw/zinc/70/81/49/526708149.db2.gz CBRZXJQDLLKHQE-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[C@@H]([NH+]2CCN(CC)CC2)C1)OCC ZINC000339354177 526745789 /nfs/dbraw/zinc/74/57/89/526745789.db2.gz DTWUPWSEJNCMAO-IAGOWNOFSA-N 1 2 323.481 1.596 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NCC1(c2ccccc2)CCOCC1 ZINC000491705857 526800322 /nfs/dbraw/zinc/80/03/22/526800322.db2.gz LBWXHZXHMSJWGE-UHFFFAOYSA-N 1 2 300.402 1.416 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NCC1(c2ccccc2)CCOCC1 ZINC000491705857 526800332 /nfs/dbraw/zinc/80/03/32/526800332.db2.gz LBWXHZXHMSJWGE-UHFFFAOYSA-N 1 2 300.402 1.416 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330453933 526855250 /nfs/dbraw/zinc/85/52/50/526855250.db2.gz MESAFTIFDJTVDL-JGGQBBKZSA-N 1 2 308.426 1.030 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330453933 526855253 /nfs/dbraw/zinc/85/52/53/526855253.db2.gz MESAFTIFDJTVDL-JGGQBBKZSA-N 1 2 308.426 1.030 20 30 DDEDLO C#CC[N@@H+](CCCCOC(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000490741390 526902056 /nfs/dbraw/zinc/90/20/56/526902056.db2.gz RBFPPTABSQJPPC-AWEZNQCLSA-N 1 2 301.452 1.704 20 30 DDEDLO C#CC[N@H+](CCCCOC(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000490741390 526902059 /nfs/dbraw/zinc/90/20/59/526902059.db2.gz RBFPPTABSQJPPC-AWEZNQCLSA-N 1 2 301.452 1.704 20 30 DDEDLO C#CC[N@H+](Cc1ccc(F)cc1)[C@H](C)C(=O)NCCCOC ZINC000491546319 526906646 /nfs/dbraw/zinc/90/66/46/526906646.db2.gz VKTQJTOKTHUWGV-CQSZACIVSA-N 1 2 306.381 1.802 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(F)cc1)[C@H](C)C(=O)NCCCOC ZINC000491546319 526906649 /nfs/dbraw/zinc/90/66/49/526906649.db2.gz VKTQJTOKTHUWGV-CQSZACIVSA-N 1 2 306.381 1.802 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1sc(COC)nc1C ZINC000490877846 526942286 /nfs/dbraw/zinc/94/22/86/526942286.db2.gz YVPJSIZCIUDUAY-GFCCVEGCSA-N 1 2 308.403 1.852 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1sc(COC)nc1C ZINC000490877846 526942290 /nfs/dbraw/zinc/94/22/90/526942290.db2.gz YVPJSIZCIUDUAY-GFCCVEGCSA-N 1 2 308.403 1.852 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(F)c(F)c2C)CC1 ZINC000491789272 526952033 /nfs/dbraw/zinc/95/20/33/526952033.db2.gz ZDEZOYAMISUSQQ-UHFFFAOYSA-N 1 2 307.344 1.463 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCC[C@@H]2CC)CC1 ZINC000491465683 526993780 /nfs/dbraw/zinc/99/37/80/526993780.db2.gz XETRXBWFBMSPSA-HOTGVXAUSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCC[C@@H]2CC)CC1 ZINC000491465683 526993785 /nfs/dbraw/zinc/99/37/85/526993785.db2.gz XETRXBWFBMSPSA-HOTGVXAUSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2cc3ccccc3o2)CC1 ZINC000491118799 527002678 /nfs/dbraw/zinc/00/26/78/527002678.db2.gz FXTCQLXEDITNEV-UHFFFAOYSA-N 1 2 311.385 1.300 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000341825278 527173452 /nfs/dbraw/zinc/17/34/52/527173452.db2.gz QJMZEMMFEKMTFR-HNNXBMFYSA-N 1 2 314.389 1.856 20 30 DDEDLO C=CCCCNC(=O)C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000340510048 527204682 /nfs/dbraw/zinc/20/46/82/527204682.db2.gz LTLWMHBTIKFMDL-UHFFFAOYSA-N 1 2 316.405 1.249 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000491809018 527298630 /nfs/dbraw/zinc/29/86/30/527298630.db2.gz FQCLCYRMRNKBGJ-OAHLLOKOSA-N 1 2 314.389 1.094 20 30 DDEDLO C#C[C@H](CCC)NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000491637870 527364622 /nfs/dbraw/zinc/36/46/22/527364622.db2.gz UXWOJKBPKCPQOJ-GFCCVEGCSA-N 1 2 312.373 1.738 20 30 DDEDLO C#C[C@H](CCC)NC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000490738517 527368399 /nfs/dbraw/zinc/36/83/99/527368399.db2.gz ASEMXBWWYJLTJE-KGLIPLIRSA-N 1 2 303.410 1.600 20 30 DDEDLO CC(C)Oc1cc(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)ccn1 ZINC000330984680 528096109 /nfs/dbraw/zinc/09/61/09/528096109.db2.gz QJWZGVAWAOVLEK-ZDUSSCGKSA-N 1 2 307.394 1.894 20 30 DDEDLO CC(C)Oc1cc(C(=O)NCC[N@H+]2CCOC[C@@H]2C)ccn1 ZINC000330984680 528096115 /nfs/dbraw/zinc/09/61/15/528096115.db2.gz QJWZGVAWAOVLEK-ZDUSSCGKSA-N 1 2 307.394 1.894 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)CN(C)CC[N@@H+]1CCOC(C)(C)C1 ZINC000434705073 528617000 /nfs/dbraw/zinc/61/70/00/528617000.db2.gz HGNQBKXECPTBTF-HNNXBMFYSA-N 1 2 324.469 1.037 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)CN(C)CC[N@H+]1CCOC(C)(C)C1 ZINC000434705073 528617006 /nfs/dbraw/zinc/61/70/06/528617006.db2.gz HGNQBKXECPTBTF-HNNXBMFYSA-N 1 2 324.469 1.037 20 30 DDEDLO CC1(C)CC(CC(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000339588458 528884008 /nfs/dbraw/zinc/88/40/08/528884008.db2.gz YFWAKRNGUGACFN-UHFFFAOYSA-N 1 2 307.438 1.887 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1cscc1C#N)[NH+]1CCOCC1 ZINC000432069304 529012945 /nfs/dbraw/zinc/01/29/45/529012945.db2.gz LRMWSJUAPKZLIK-AWEZNQCLSA-N 1 2 307.419 1.706 20 30 DDEDLO CCN1CCN(C(=O)NC[C@H](C)SC)C[C@H]1c1[nH]cc[nH+]1 ZINC000331053303 529108186 /nfs/dbraw/zinc/10/81/86/529108186.db2.gz ZKRSIARQKDGWRJ-RYUDHWBXSA-N 1 2 311.455 1.754 20 30 DDEDLO CCN1CCN(C(=O)N[C@@H]2CCCSC2)C[C@H]1c1[nH]cc[nH+]1 ZINC000330205902 529108207 /nfs/dbraw/zinc/10/82/07/529108207.db2.gz DUVMNGWKZYINPK-OLZOCXBDSA-N 1 2 323.466 1.898 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c1C ZINC000330122341 529292193 /nfs/dbraw/zinc/29/21/93/529292193.db2.gz KGDFFONIFGXHRS-GLQYFDAESA-N 1 2 321.425 1.723 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c1C ZINC000330122341 529292196 /nfs/dbraw/zinc/29/21/96/529292196.db2.gz KGDFFONIFGXHRS-GLQYFDAESA-N 1 2 321.425 1.723 20 30 DDEDLO C[C@@H](O[NH+]=C(N)CCO)C(=O)N1c2ccccc2CC[C@@H]1C ZINC000121333061 696708557 /nfs/dbraw/zinc/70/85/57/696708557.db2.gz DWJNNDPJMDXNKU-NWDGAFQWSA-N 1 2 305.378 1.414 20 30 DDEDLO NC(CCO)=[NH+]OCC(=O)N[C@H](c1ccccc1)C1CCCC1 ZINC000121379485 696709283 /nfs/dbraw/zinc/70/92/83/696709283.db2.gz JTOHGHXMQSQNBM-QGZVFWFLSA-N 1 2 319.405 1.705 20 30 DDEDLO CCNC(=O)N1CC[NH+](Cc2ccc(O[C@H](C)C#N)cc2)CC1 ZINC000121575041 696712532 /nfs/dbraw/zinc/71/25/32/696712532.db2.gz KRLSEUARENCTJF-CQSZACIVSA-N 1 2 316.405 1.825 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([N@@H+](C)Cc3ccn(C)n3)C2)C1 ZINC000972277500 695201915 /nfs/dbraw/zinc/20/19/15/695201915.db2.gz ZNONFNMHUQTBAE-HNNXBMFYSA-N 1 2 302.422 1.809 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([N@H+](C)Cc3ccn(C)n3)C2)C1 ZINC000972277500 695201916 /nfs/dbraw/zinc/20/19/16/695201916.db2.gz ZNONFNMHUQTBAE-HNNXBMFYSA-N 1 2 302.422 1.809 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CC(OCC)C3)C2)C1 ZINC000972370780 695232906 /nfs/dbraw/zinc/23/29/06/695232906.db2.gz QJMUCYJUYODNAG-DQPZFDDXSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CC(OCC)C3)C2)C1 ZINC000972370780 695232909 /nfs/dbraw/zinc/23/29/09/695232909.db2.gz QJMUCYJUYODNAG-DQPZFDDXSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](OC)C3CCC3)C2)C1 ZINC000972487185 695262390 /nfs/dbraw/zinc/26/23/90/695262390.db2.gz MBXQZRGGTYNMBY-DOTOQJQBSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](OC)C3CCC3)C2)C1 ZINC000972487185 695262392 /nfs/dbraw/zinc/26/23/92/695262392.db2.gz MBXQZRGGTYNMBY-DOTOQJQBSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCC(C)(C)C3)C2)C1 ZINC000972538328 695276486 /nfs/dbraw/zinc/27/64/86/695276486.db2.gz RKVTXOKQAPAMNP-YJBOKZPZSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCC(C)(C)C3)C2)C1 ZINC000972538328 695276487 /nfs/dbraw/zinc/27/64/87/695276487.db2.gz RKVTXOKQAPAMNP-YJBOKZPZSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(F)F)C2)C1 ZINC000972545138 695278203 /nfs/dbraw/zinc/27/82/03/695278203.db2.gz ZXBRIWICBSIMMV-INIZCTEOSA-N 1 2 314.376 1.604 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(F)F)C2)C1 ZINC000972545138 695278204 /nfs/dbraw/zinc/27/82/04/695278204.db2.gz ZXBRIWICBSIMMV-INIZCTEOSA-N 1 2 314.376 1.604 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3ccc(C)o3)C2)C1 ZINC000972582831 695289967 /nfs/dbraw/zinc/28/99/67/695289967.db2.gz NTSSOLRBPJZJDG-CRAIPNDOSA-N 1 2 316.401 1.628 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3ccc(C)o3)C2)C1 ZINC000972582831 695289968 /nfs/dbraw/zinc/28/99/68/695289968.db2.gz NTSSOLRBPJZJDG-CRAIPNDOSA-N 1 2 316.401 1.628 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)Cc3ccco3)C2)C1 ZINC000972593562 695292982 /nfs/dbraw/zinc/29/29/82/695292982.db2.gz NICGFHFQOJMGDX-CRAIPNDOSA-N 1 2 316.401 1.395 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)Cc3ccco3)C2)C1 ZINC000972593562 695292983 /nfs/dbraw/zinc/29/29/83/695292983.db2.gz NICGFHFQOJMGDX-CRAIPNDOSA-N 1 2 316.401 1.395 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(OC)n3)C2)C1 ZINC000972622518 695301921 /nfs/dbraw/zinc/30/19/21/695301921.db2.gz WSBBBVZTDALZQK-KRWDZBQOSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(OC)n3)C2)C1 ZINC000972622518 695301925 /nfs/dbraw/zinc/30/19/25/695301925.db2.gz WSBBBVZTDALZQK-KRWDZBQOSA-N 1 2 317.389 1.193 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C[C@H]2COC(=O)C2)C(C)(C)C1 ZINC000973037853 695401918 /nfs/dbraw/zinc/40/19/18/695401918.db2.gz JLNULKHJNDKFCT-VXGBXAGGSA-N 1 2 314.813 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C[C@H]2COC(=O)C2)C(C)(C)C1 ZINC000973037853 695401920 /nfs/dbraw/zinc/40/19/20/695401920.db2.gz JLNULKHJNDKFCT-VXGBXAGGSA-N 1 2 314.813 1.519 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2nc3c(s2)CCC3)C(C)(C)C1 ZINC000974825049 695743537 /nfs/dbraw/zinc/74/35/37/695743537.db2.gz GQYZWLYAEWXOEC-CYBMUJFWSA-N 1 2 303.431 1.705 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2nc3c(s2)CCC3)C(C)(C)C1 ZINC000974825049 695743539 /nfs/dbraw/zinc/74/35/39/695743539.db2.gz GQYZWLYAEWXOEC-CYBMUJFWSA-N 1 2 303.431 1.705 20 30 DDEDLO C#CCC[C@H](O)CNc1nc(NCCO)c2ccccc2[nH+]1 ZINC000798421122 700064056 /nfs/dbraw/zinc/06/40/56/700064056.db2.gz JRWHAVUICAFDLY-LBPRGKRZSA-N 1 2 300.362 1.220 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2snnc2C(C)C)C(C)(C)C1 ZINC000977388808 696140256 /nfs/dbraw/zinc/14/02/56/696140256.db2.gz IREAODMHOYYPKI-LLVKDONJSA-N 1 2 306.435 1.735 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2snnc2C(C)C)C(C)(C)C1 ZINC000977388808 696140260 /nfs/dbraw/zinc/14/02/60/696140260.db2.gz IREAODMHOYYPKI-LLVKDONJSA-N 1 2 306.435 1.735 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NC[C@@H]3[C@H]4CN(CC#N)C[C@H]43)ccn12 ZINC000978169907 696335179 /nfs/dbraw/zinc/33/51/79/696335179.db2.gz VHJWQYNXESTKKP-QKDCVEJESA-N 1 2 309.373 1.074 20 30 DDEDLO CN(CC#N)[C@H]1CCN(C(=O)C[N@@H+]2CCc3sccc3C2)C1 ZINC000980476202 696782208 /nfs/dbraw/zinc/78/22/08/696782208.db2.gz PBWGTDFRVILFOZ-AWEZNQCLSA-N 1 2 318.446 1.162 20 30 DDEDLO CN(CC#N)[C@H]1CCN(C(=O)C[N@H+]2CCc3sccc3C2)C1 ZINC000980476202 696782209 /nfs/dbraw/zinc/78/22/09/696782209.db2.gz PBWGTDFRVILFOZ-AWEZNQCLSA-N 1 2 318.446 1.162 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cn2nc(C)cc2C)CC1 ZINC000981583802 696829238 /nfs/dbraw/zinc/82/92/38/696829238.db2.gz IHJXSCHYQHRNFP-UHFFFAOYSA-N 1 2 310.829 1.787 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cn2nc(C)cc2C)CC1 ZINC000981583802 696829240 /nfs/dbraw/zinc/82/92/40/696829240.db2.gz IHJXSCHYQHRNFP-UHFFFAOYSA-N 1 2 310.829 1.787 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cc2cc(C)nn2C)CC1 ZINC000981608654 696839954 /nfs/dbraw/zinc/83/99/54/696839954.db2.gz CLDSIHITCBMSLM-UHFFFAOYSA-N 1 2 310.829 1.558 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cc2cc(C)nn2C)CC1 ZINC000981608654 696839957 /nfs/dbraw/zinc/83/99/57/696839957.db2.gz CLDSIHITCBMSLM-UHFFFAOYSA-N 1 2 310.829 1.558 20 30 DDEDLO Cn1nccc1C(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC000980808635 696897336 /nfs/dbraw/zinc/89/73/36/696897336.db2.gz PXCLRFSZUSWJQW-UHFFFAOYSA-N 1 2 323.400 1.640 20 30 DDEDLO Cn1nccc1C(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC000980808635 696897340 /nfs/dbraw/zinc/89/73/40/696897340.db2.gz PXCLRFSZUSWJQW-UHFFFAOYSA-N 1 2 323.400 1.640 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+]([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000980837850 696910136 /nfs/dbraw/zinc/91/01/36/696910136.db2.gz BBSVMJZUPBNVAF-ZIAGYGMSSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+]([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000980837850 696910137 /nfs/dbraw/zinc/91/01/37/696910137.db2.gz BBSVMJZUPBNVAF-ZIAGYGMSSA-N 1 2 320.437 1.128 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N(C)C)CC1 ZINC000981240414 697043917 /nfs/dbraw/zinc/04/39/17/697043917.db2.gz HKABURFOCXRPQW-UHFFFAOYSA-N 1 2 315.845 1.388 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@H+](CC(=O)N(C)C)CC1 ZINC000981240414 697043919 /nfs/dbraw/zinc/04/39/19/697043919.db2.gz HKABURFOCXRPQW-UHFFFAOYSA-N 1 2 315.845 1.388 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCc2ccn(C)n2)CC1 ZINC000981262077 697049795 /nfs/dbraw/zinc/04/97/95/697049795.db2.gz CARBSYQLYVGGOZ-UHFFFAOYSA-N 1 2 310.829 1.640 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCc2ccn(C)n2)CC1 ZINC000981262077 697049796 /nfs/dbraw/zinc/04/97/96/697049796.db2.gz CARBSYQLYVGGOZ-UHFFFAOYSA-N 1 2 310.829 1.640 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2[nH]cnc2C(F)(F)F)CC1 ZINC000981343258 697071482 /nfs/dbraw/zinc/07/14/82/697071482.db2.gz YZPOMAWRHWPXAQ-UHFFFAOYSA-N 1 2 300.284 1.210 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2[nH]cnc2C(F)(F)F)CC1 ZINC000981343258 697071484 /nfs/dbraw/zinc/07/14/84/697071484.db2.gz YZPOMAWRHWPXAQ-UHFFFAOYSA-N 1 2 300.284 1.210 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2nc[nH]c2C(F)(F)F)CC1 ZINC000981343258 697071487 /nfs/dbraw/zinc/07/14/87/697071487.db2.gz YZPOMAWRHWPXAQ-UHFFFAOYSA-N 1 2 300.284 1.210 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2nc[nH]c2C(F)(F)F)CC1 ZINC000981343258 697071490 /nfs/dbraw/zinc/07/14/90/697071490.db2.gz YZPOMAWRHWPXAQ-UHFFFAOYSA-N 1 2 300.284 1.210 20 30 DDEDLO C=C(Cl)CN1CCCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)CC1 ZINC000981472215 697105246 /nfs/dbraw/zinc/10/52/46/697105246.db2.gz FUUPMFOYXZXFRK-AWEZNQCLSA-N 1 2 322.840 1.732 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](C[C@@H](O)c2ccc(F)cn2)CC1 ZINC000799310257 700134247 /nfs/dbraw/zinc/13/42/47/700134247.db2.gz FBHUWDREXZTGJZ-JKSUJKDBSA-N 1 2 306.385 1.420 20 30 DDEDLO C=CC[N@@H+]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC000983600128 697283013 /nfs/dbraw/zinc/28/30/13/697283013.db2.gz GIYMQFIGNGZGRM-UHFFFAOYSA-N 1 2 311.389 1.806 20 30 DDEDLO C=CC[N@H+]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC000983600128 697283015 /nfs/dbraw/zinc/28/30/15/697283015.db2.gz GIYMQFIGNGZGRM-UHFFFAOYSA-N 1 2 311.389 1.806 20 30 DDEDLO C=CC[N@@H+](CCOC)C[C@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC000189420310 697575735 /nfs/dbraw/zinc/57/57/35/697575735.db2.gz DYHITNWSBISXMU-HNNXBMFYSA-N 1 2 313.419 1.258 20 30 DDEDLO C=CC[N@H+](CCOC)C[C@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC000189420310 697575737 /nfs/dbraw/zinc/57/57/37/697575737.db2.gz DYHITNWSBISXMU-HNNXBMFYSA-N 1 2 313.419 1.258 20 30 DDEDLO C#CCCCCC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000773357900 697749613 /nfs/dbraw/zinc/74/96/13/697749613.db2.gz YXRHYJYFWOXATR-UHFFFAOYSA-N 1 2 304.394 1.347 20 30 DDEDLO C[C@@H]1[C@@H]([NH2+]Cc2nc(C(F)F)no2)CCN1C(=O)C#CC1CC1 ZINC000986277995 697763880 /nfs/dbraw/zinc/76/38/80/697763880.db2.gz KCWNUCRRWQQLFW-KOLCDFICSA-N 1 2 324.331 1.500 20 30 DDEDLO C#C[C@@H](NC(=O)NCCCCNc1cccc[nH+]1)[C@@H]1CCCO1 ZINC000773566123 697782421 /nfs/dbraw/zinc/78/24/21/697782421.db2.gz YKUPTQFOURFEEE-CABCVRRESA-N 1 2 316.405 1.754 20 30 DDEDLO C#C[C@H]([NH2+][C@H]1CCS(=O)(=O)c2ccc(F)cc21)[C@@H]1CCCO1 ZINC000775572398 698010761 /nfs/dbraw/zinc/01/07/61/698010761.db2.gz AQDYGZRAPRHOMJ-KKUMJFAQSA-N 1 2 323.389 1.815 20 30 DDEDLO C[C@H]1C[N@H+](CCOC(=O)CNc2ccc(C#N)cc2)CCO1 ZINC000800144906 700194054 /nfs/dbraw/zinc/19/40/54/700194054.db2.gz KEYAGLJZUYJJTQ-ZDUSSCGKSA-N 1 2 303.362 1.234 20 30 DDEDLO C[C@H]1C[N@@H+](CCOC(=O)CNc2ccc(C#N)cc2)CCO1 ZINC000800144906 700194055 /nfs/dbraw/zinc/19/40/55/700194055.db2.gz KEYAGLJZUYJJTQ-ZDUSSCGKSA-N 1 2 303.362 1.234 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)Nc1nnc(CCCC)s1)[C@H]1CCCO1 ZINC000775793359 698035567 /nfs/dbraw/zinc/03/55/67/698035567.db2.gz CHMBDIXYWQKVAG-VXGBXAGGSA-N 1 2 322.434 1.590 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CCNC(=O)OC(C)(C)C)CC1 ZINC000776000399 698058621 /nfs/dbraw/zinc/05/86/21/698058621.db2.gz HEIZZECRSIMJNX-AWEZNQCLSA-N 1 2 310.442 1.677 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC(c2c[nH]c[nH+]2)CC1)[C@H]1CCCO1 ZINC000776227952 698084157 /nfs/dbraw/zinc/08/41/57/698084157.db2.gz MQQJEWHHQVVBID-UKRRQHHQSA-N 1 2 302.378 1.480 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC(c2c[nH+]c[nH]2)CC1)[C@H]1CCCO1 ZINC000776227952 698084160 /nfs/dbraw/zinc/08/41/60/698084160.db2.gz MQQJEWHHQVVBID-UKRRQHHQSA-N 1 2 302.378 1.480 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)[C@@H]1CCCO1 ZINC000776231332 698085632 /nfs/dbraw/zinc/08/56/32/698085632.db2.gz OUVSDMJOVWKDAG-RDBSUJKOSA-N 1 2 302.378 1.480 20 30 DDEDLO CCN(CC)c1ccc(/C=[NH+]/[C@H](COC)C(=O)OC)c(O)c1 ZINC000777868911 698229285 /nfs/dbraw/zinc/22/92/85/698229285.db2.gz BBOLCNDAFPPHIL-NGWPFTMJSA-N 1 2 308.378 1.845 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)CC[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000778236197 698251966 /nfs/dbraw/zinc/25/19/66/698251966.db2.gz YYRXWHKTDNSMGI-HZPDHXFCSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)CC[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000778236197 698251968 /nfs/dbraw/zinc/25/19/68/698251968.db2.gz YYRXWHKTDNSMGI-HZPDHXFCSA-N 1 2 318.373 1.741 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H]([NH2+]Cc3nc(C)no3)C[C@H]2C)cc1 ZINC000989274497 698574390 /nfs/dbraw/zinc/57/43/90/698574390.db2.gz KSNILPBNTKSDRO-MLGOLLRUSA-N 1 2 324.384 1.752 20 30 DDEDLO C#CC[NH2+][C@@H]1C[C@H](C)N(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000989286952 698578145 /nfs/dbraw/zinc/57/81/45/698578145.db2.gz AUTSZPRCZNNLNZ-SWLSCSKDSA-N 1 2 309.373 1.298 20 30 DDEDLO C[C@H]1CN(C)C(=O)C[N@@H+]1CCC(=O)Nc1ccccc1C#N ZINC000782597109 698746539 /nfs/dbraw/zinc/74/65/39/698746539.db2.gz PFVYOEQGBJTDPJ-LBPRGKRZSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@H]1CN(C)C(=O)C[N@H+]1CCC(=O)Nc1ccccc1C#N ZINC000782597109 698746543 /nfs/dbraw/zinc/74/65/43/698746543.db2.gz PFVYOEQGBJTDPJ-LBPRGKRZSA-N 1 2 300.362 1.049 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1C[C@@H]2C[C@]2(CO)C1 ZINC000782613044 698750131 /nfs/dbraw/zinc/75/01/31/698750131.db2.gz AIPIDMBWILTKLV-BLLLJJGKSA-N 1 2 317.414 1.555 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1C[C@@H]2C[C@]2(CO)C1 ZINC000782613044 698750135 /nfs/dbraw/zinc/75/01/35/698750135.db2.gz AIPIDMBWILTKLV-BLLLJJGKSA-N 1 2 317.414 1.555 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1c(Cl)nc(Cl)n1C ZINC000782968581 698791116 /nfs/dbraw/zinc/79/11/16/698791116.db2.gz ZKOQKFORBMQKPD-UHFFFAOYSA-N 1 2 312.222 1.417 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@]12CCC[C@H]1N(CC#N)CC2 ZINC000991335677 699302473 /nfs/dbraw/zinc/30/24/73/699302473.db2.gz WAWLSJWKLFGBNS-WBVHZDCISA-N 1 2 314.389 1.269 20 30 DDEDLO C#CCOCCC(=O)N1CCC(c2[nH+]cc3n2CCCC3)CC1 ZINC000790157183 699446085 /nfs/dbraw/zinc/44/60/85/699446085.db2.gz JJESLSHVYYRZKX-UHFFFAOYSA-N 1 2 315.417 1.965 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(Cn2cccn2)c1 ZINC000730097444 699500602 /nfs/dbraw/zinc/50/06/02/699500602.db2.gz YIZLWANUYVOKSG-KRWDZBQOSA-N 1 2 308.385 1.968 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(Cn2cccn2)c1 ZINC000730097444 699500603 /nfs/dbraw/zinc/50/06/03/699500603.db2.gz YIZLWANUYVOKSG-KRWDZBQOSA-N 1 2 308.385 1.968 20 30 DDEDLO N#Cc1c(=O)n(C[N@@H+]2CC[C@@H](n3cncn3)C2)cc2c1CCCC2 ZINC000793518832 699762952 /nfs/dbraw/zinc/76/29/52/699762952.db2.gz WVOPQEBGIDXOEW-CQSZACIVSA-N 1 2 324.388 1.095 20 30 DDEDLO N#Cc1c(=O)n(C[N@H+]2CC[C@@H](n3cncn3)C2)cc2c1CCCC2 ZINC000793518832 699762953 /nfs/dbraw/zinc/76/29/53/699762953.db2.gz WVOPQEBGIDXOEW-CQSZACIVSA-N 1 2 324.388 1.095 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@H+]2CCOC[C@H]2C)cc1 ZINC000741688036 699847698 /nfs/dbraw/zinc/84/76/98/699847698.db2.gz UHZWPUQPDPXDQE-CQSZACIVSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@@H+]2CCOC[C@H]2C)cc1 ZINC000741688036 699847701 /nfs/dbraw/zinc/84/77/01/699847701.db2.gz UHZWPUQPDPXDQE-CQSZACIVSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCN(c2ccc(Cl)c(F)c2)C[C@@H]1C ZINC000795393635 699863202 /nfs/dbraw/zinc/86/32/02/699863202.db2.gz CBUCPXLXLBUYSV-LBPRGKRZSA-N 1 2 323.799 1.739 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCN(c2ccc(Cl)c(F)c2)C[C@@H]1C ZINC000795393635 699863203 /nfs/dbraw/zinc/86/32/03/699863203.db2.gz CBUCPXLXLBUYSV-LBPRGKRZSA-N 1 2 323.799 1.739 20 30 DDEDLO CC(C)S(=O)(=O)NN=C1C[N@H+](Cc2ccccc2)C[C@@H]1C ZINC000751526233 700296787 /nfs/dbraw/zinc/29/67/87/700296787.db2.gz MGAVWWVFKWKORI-ZDUSSCGKSA-N 1 2 309.435 1.822 20 30 DDEDLO CC(C)S(=O)(=O)NN=C1C[N@@H+](Cc2ccccc2)C[C@@H]1C ZINC000751526233 700296791 /nfs/dbraw/zinc/29/67/91/700296791.db2.gz MGAVWWVFKWKORI-ZDUSSCGKSA-N 1 2 309.435 1.822 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCO[C@H](C(=O)OC)C2)c(O)c(OC)c1 ZINC000754726230 700522569 /nfs/dbraw/zinc/52/25/69/700522569.db2.gz HIVDJKNRCCTEIJ-HNNXBMFYSA-N 1 2 321.373 1.503 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCO[C@H](C(=O)OC)C2)c(O)c(OC)c1 ZINC000754726230 700522571 /nfs/dbraw/zinc/52/25/71/700522571.db2.gz HIVDJKNRCCTEIJ-HNNXBMFYSA-N 1 2 321.373 1.503 20 30 DDEDLO CC(C)CNC(=O)C1CC[NH+](Cn2cccc(C#N)c2=O)CC1 ZINC000758184676 700687667 /nfs/dbraw/zinc/68/76/67/700687667.db2.gz FXNXPKDLNKKHPQ-UHFFFAOYSA-N 1 2 316.405 1.162 20 30 DDEDLO N#CCCN1CC[NH+](C[C@@H](O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000760942617 700830532 /nfs/dbraw/zinc/83/05/32/700830532.db2.gz BFGFFQADXNTZLW-OAHLLOKOSA-N 1 2 304.350 1.159 20 30 DDEDLO N#CCc1ccc(C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000767578197 701117670 /nfs/dbraw/zinc/11/76/70/701117670.db2.gz GWVODGFMJSNNDB-IYBDPMFKSA-N 1 2 300.358 1.773 20 30 DDEDLO N#CCc1ccc(C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000767578197 701117671 /nfs/dbraw/zinc/11/76/71/701117671.db2.gz GWVODGFMJSNNDB-IYBDPMFKSA-N 1 2 300.358 1.773 20 30 DDEDLO Cc1ccn(C[N@@H+]2CCOC3(CCOCC3)CC2)c(=O)c1C#N ZINC000768904966 701215442 /nfs/dbraw/zinc/21/54/42/701215442.db2.gz BTMRDZBNGUFIHB-UHFFFAOYSA-N 1 2 317.389 1.257 20 30 DDEDLO Cc1ccn(C[N@H+]2CCOC3(CCOCC3)CC2)c(=O)c1C#N ZINC000768904966 701215443 /nfs/dbraw/zinc/21/54/43/701215443.db2.gz BTMRDZBNGUFIHB-UHFFFAOYSA-N 1 2 317.389 1.257 20 30 DDEDLO C[C@H](C#N)Oc1ccccc1NC(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000879137260 706596864 /nfs/dbraw/zinc/59/68/64/706596864.db2.gz REAYZHIXXHKRNU-NEPJUHHUSA-N 1 2 311.345 1.920 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CCC[C@H](CO)CC1 ZINC000815276848 701836505 /nfs/dbraw/zinc/83/65/05/701836505.db2.gz JRHUYICIAXLPBJ-HOCLYGCPSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CCC[C@H](CO)CC1 ZINC000815276848 701836506 /nfs/dbraw/zinc/83/65/06/701836506.db2.gz JRHUYICIAXLPBJ-HOCLYGCPSA-N 1 2 302.374 1.867 20 30 DDEDLO N#Cc1cc(C(=O)N2CC3(CC[N@@H+]3Cc3ccccc3)C2)c[nH]1 ZINC000866119439 706624331 /nfs/dbraw/zinc/62/43/31/706624331.db2.gz PEVVFCHNWUZBBR-UHFFFAOYSA-N 1 2 306.369 1.987 20 30 DDEDLO N#Cc1cc(C(=O)N2CC3(CC[N@H+]3Cc3ccccc3)C2)c[nH]1 ZINC000866119439 706624334 /nfs/dbraw/zinc/62/43/34/706624334.db2.gz PEVVFCHNWUZBBR-UHFFFAOYSA-N 1 2 306.369 1.987 20 30 DDEDLO N#Cc1c2c(cn(C[N@@H+]3CC[C@@H](n4cccn4)C3)c1=O)CCC2 ZINC000812295836 702140008 /nfs/dbraw/zinc/14/00/08/702140008.db2.gz HUZMEASJPFQAIZ-CQSZACIVSA-N 1 2 309.373 1.310 20 30 DDEDLO N#Cc1c2c(cn(C[N@H+]3CC[C@@H](n4cccn4)C3)c1=O)CCC2 ZINC000812295836 702140010 /nfs/dbraw/zinc/14/00/10/702140010.db2.gz HUZMEASJPFQAIZ-CQSZACIVSA-N 1 2 309.373 1.310 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(NC(=O)NCCCC#N)CC1 ZINC000868491291 702158880 /nfs/dbraw/zinc/15/88/80/702158880.db2.gz DEBNNMGIBKKYEZ-UHFFFAOYSA-N 1 2 324.425 1.395 20 30 DDEDLO N#Cc1c[nH]c2cc(C(=O)NCC[N@H+]3C[C@H]4CC[C@@H](C3)O4)ccc12 ZINC000868720395 702285170 /nfs/dbraw/zinc/28/51/70/702285170.db2.gz KZFSWRYXFKXEGZ-GASCZTMLSA-N 1 2 324.384 1.633 20 30 DDEDLO N#Cc1c[nH]c2cc(C(=O)NCC[N@@H+]3C[C@H]4CC[C@@H](C3)O4)ccc12 ZINC000868720395 702285175 /nfs/dbraw/zinc/28/51/75/702285175.db2.gz KZFSWRYXFKXEGZ-GASCZTMLSA-N 1 2 324.384 1.633 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@H+](C[C@@H](O)c2ccc(C#N)cc2)CCO1 ZINC000879430927 706678361 /nfs/dbraw/zinc/67/83/61/706678361.db2.gz NUOQSUSDZYJVBB-NVXWUHKLSA-N 1 2 318.373 1.246 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)CCO1 ZINC000879430927 706678364 /nfs/dbraw/zinc/67/83/64/706678364.db2.gz NUOQSUSDZYJVBB-NVXWUHKLSA-N 1 2 318.373 1.246 20 30 DDEDLO C[C@@H]([NH2+]CCN1C[C@H]2CC[C@@H](C1)O2)c1cccc(C#N)c1O ZINC000866367690 706682822 /nfs/dbraw/zinc/68/28/22/706682822.db2.gz NBFCTDQTRXLNLM-YUELXQCFSA-N 1 2 301.390 1.778 20 30 DDEDLO CC(C)[C@H](O[NH+]=C(N)c1ccc(F)cc1)C(=O)N1CCOCC1 ZINC000842019548 702656321 /nfs/dbraw/zinc/65/63/21/702656321.db2.gz QEIPWQXGZADYIW-AWEZNQCLSA-N 1 2 323.368 1.346 20 30 DDEDLO CCOC(=O)c1noc(CO[NH+]=C(N)c2ccc(F)c(C)c2)n1 ZINC000842022899 702657033 /nfs/dbraw/zinc/65/70/33/702657033.db2.gz PUGKYZSLJJFURI-UHFFFAOYSA-N 1 2 322.296 1.531 20 30 DDEDLO CC[C@H](CON=C(N)c1ccc(C[NH+]2CCOCC2)cc1)OC ZINC000842023420 702657485 /nfs/dbraw/zinc/65/74/85/702657485.db2.gz DMDJGZFHUCDMBW-MRXNPFEDSA-N 1 2 321.421 1.581 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](Cc2ccc(S(=O)(=O)N3CCCC3)o2)C1 ZINC000847023407 703367274 /nfs/dbraw/zinc/36/72/74/703367274.db2.gz MIDMLEXGTDGJOI-AWEZNQCLSA-N 1 2 322.430 1.909 20 30 DDEDLO C#C[C@H]1CCC[N@H+](Cc2ccc(S(=O)(=O)N3CCCC3)o2)C1 ZINC000847023407 703367278 /nfs/dbraw/zinc/36/72/78/703367278.db2.gz MIDMLEXGTDGJOI-AWEZNQCLSA-N 1 2 322.430 1.909 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=S)Nc1ccccc1C#N ZINC000849280732 703631239 /nfs/dbraw/zinc/63/12/39/703631239.db2.gz GGEBKPWZOVWGGJ-OLZOCXBDSA-N 1 2 318.446 1.954 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=S)Nc1ccccc1C#N ZINC000849280732 703631240 /nfs/dbraw/zinc/63/12/40/703631240.db2.gz GGEBKPWZOVWGGJ-OLZOCXBDSA-N 1 2 318.446 1.954 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)OCc1cc[nH+]c(N(C)C)c1 ZINC000849962169 703692182 /nfs/dbraw/zinc/69/21/82/703692182.db2.gz POUSHXWOUYUXAK-AWEZNQCLSA-N 1 2 305.378 1.662 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(CCc2ccc(C#N)cc2)CC1 ZINC000851846703 703869525 /nfs/dbraw/zinc/86/95/25/703869525.db2.gz FURUGQPEIIGPGC-NRFANRHFSA-N 1 2 305.447 1.097 20 30 DDEDLO C#CCNC(=O)C[N@H+](C1CCC1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000852447216 704051788 /nfs/dbraw/zinc/05/17/88/704051788.db2.gz SZGLKBZGTWRLLX-UHFFFAOYSA-N 1 2 321.421 1.210 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C1CCC1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000852447216 704051789 /nfs/dbraw/zinc/05/17/89/704051789.db2.gz SZGLKBZGTWRLLX-UHFFFAOYSA-N 1 2 321.421 1.210 20 30 DDEDLO CN(C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2ccc(F)cc2C#N)C1 ZINC000852653678 704093129 /nfs/dbraw/zinc/09/31/29/704093129.db2.gz YMKMJQNLDYTOGE-OAHLLOKOSA-N 1 2 304.369 1.885 20 30 DDEDLO CN(C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccc(F)cc2C#N)C1 ZINC000852653678 704093132 /nfs/dbraw/zinc/09/31/32/704093132.db2.gz YMKMJQNLDYTOGE-OAHLLOKOSA-N 1 2 304.369 1.885 20 30 DDEDLO N#CCC[C@H](C#N)C[NH2+]CCS(=O)(=O)CCc1ccccc1 ZINC000819292392 704101761 /nfs/dbraw/zinc/10/17/61/704101761.db2.gz TXUOROUNVDPJKE-MRXNPFEDSA-N 1 2 319.430 1.677 20 30 DDEDLO C#CCC[N@@H+](CCOC)CCS(=O)(=O)Cc1ccccc1 ZINC000852749154 704113328 /nfs/dbraw/zinc/11/33/28/704113328.db2.gz QJMMXQJRNSBDDG-UHFFFAOYSA-N 1 2 309.431 1.573 20 30 DDEDLO C#CCC[N@H+](CCOC)CCS(=O)(=O)Cc1ccccc1 ZINC000852749154 704113330 /nfs/dbraw/zinc/11/33/30/704113330.db2.gz QJMMXQJRNSBDDG-UHFFFAOYSA-N 1 2 309.431 1.573 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)Nc1nc2c(s1)CCCC2 ZINC000852750956 704113561 /nfs/dbraw/zinc/11/35/61/704113561.db2.gz KNOVTMCUQCOVAK-UHFFFAOYSA-N 1 2 321.446 1.932 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)Nc1nc2c(s1)CCCC2 ZINC000852750956 704113564 /nfs/dbraw/zinc/11/35/64/704113564.db2.gz KNOVTMCUQCOVAK-UHFFFAOYSA-N 1 2 321.446 1.932 20 30 DDEDLO COC(=O)Nc1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000819414456 704122194 /nfs/dbraw/zinc/12/21/94/704122194.db2.gz HMHRCKVKPOSXRU-CQSZACIVSA-N 1 2 306.366 1.483 20 30 DDEDLO COC(=O)Nc1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000819414456 704122196 /nfs/dbraw/zinc/12/21/96/704122196.db2.gz HMHRCKVKPOSXRU-CQSZACIVSA-N 1 2 306.366 1.483 20 30 DDEDLO CO[C@@H]1CCOc2c(NC[C@H](O)C[N@H+](C)CCC#N)cccc21 ZINC000819481209 704132006 /nfs/dbraw/zinc/13/20/06/704132006.db2.gz MIJAWQJPMYLZLH-XJKSGUPXSA-N 1 2 319.405 1.775 20 30 DDEDLO CO[C@@H]1CCOc2c(NC[C@H](O)C[N@@H+](C)CCC#N)cccc21 ZINC000819481209 704132008 /nfs/dbraw/zinc/13/20/08/704132008.db2.gz MIJAWQJPMYLZLH-XJKSGUPXSA-N 1 2 319.405 1.775 20 30 DDEDLO N#Cc1ccc2[nH]c(NC(=O)[C@H]3CCn4c[nH+]cc4C3)nc2c1 ZINC000871073393 704213366 /nfs/dbraw/zinc/21/33/66/704213366.db2.gz ZXDNHQXOTUBYMQ-NSHDSACASA-N 1 2 306.329 1.832 20 30 DDEDLO N#Cc1ccc2[nH]c(NC(=O)[C@@H]3CCc4c[nH+]cn4C3)nc2c1 ZINC000871071869 704213471 /nfs/dbraw/zinc/21/34/71/704213471.db2.gz GFCMHWLFQHYTTG-LLVKDONJSA-N 1 2 306.329 1.832 20 30 DDEDLO CC1(O)CCN(c2cc(N3CCC(C#N)CC3)[nH+]cn2)CC1 ZINC000853241552 704223223 /nfs/dbraw/zinc/22/32/23/704223223.db2.gz LJGUZVOHJFBTIQ-UHFFFAOYSA-N 1 2 301.394 1.568 20 30 DDEDLO CC1(O)CCN(c2cc(N3CCC(C#N)CC3)nc[nH+]2)CC1 ZINC000853241552 704223224 /nfs/dbraw/zinc/22/32/24/704223224.db2.gz LJGUZVOHJFBTIQ-UHFFFAOYSA-N 1 2 301.394 1.568 20 30 DDEDLO CC1(C)C(=O)N(C[N@@H+]2CCC[C@H](CC#N)C2)[C@@H]1[C@H]1CCCO1 ZINC000853525102 704259764 /nfs/dbraw/zinc/25/97/64/704259764.db2.gz SMFFKQNOFLZQDO-RBSFLKMASA-N 1 2 305.422 1.986 20 30 DDEDLO CC1(C)C(=O)N(C[N@H+]2CCC[C@H](CC#N)C2)[C@@H]1[C@H]1CCCO1 ZINC000853525102 704259767 /nfs/dbraw/zinc/25/97/67/704259767.db2.gz SMFFKQNOFLZQDO-RBSFLKMASA-N 1 2 305.422 1.986 20 30 DDEDLO C=CC[N@H+](CN1C(=O)[C@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1=O)[C@H](C)COC ZINC000853535485 704261971 /nfs/dbraw/zinc/26/19/71/704261971.db2.gz WITSIWLAHYOORQ-NSIVTLKISA-N 1 2 320.433 1.888 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)[C@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1=O)[C@H](C)COC ZINC000853535485 704261975 /nfs/dbraw/zinc/26/19/75/704261975.db2.gz WITSIWLAHYOORQ-NSIVTLKISA-N 1 2 320.433 1.888 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)[C@@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1=O ZINC000853621067 704287892 /nfs/dbraw/zinc/28/78/92/704287892.db2.gz OVJTZFGUUUBPKE-LLDVTBCESA-N 1 2 318.417 1.337 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)[C@@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1=O ZINC000853621067 704287896 /nfs/dbraw/zinc/28/78/96/704287896.db2.gz OVJTZFGUUUBPKE-LLDVTBCESA-N 1 2 318.417 1.337 20 30 DDEDLO C[C@H](CC#N)C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000871545637 704312717 /nfs/dbraw/zinc/31/27/17/704312717.db2.gz TWOHYRCAPBTUNW-GFCCVEGCSA-N 1 2 309.410 1.458 20 30 DDEDLO CC(C)(C)OC(=O)C[C@@](C)(C#N)C(=O)N[C@@H]1CCn2c[nH+]cc21 ZINC000857981753 704654778 /nfs/dbraw/zinc/65/47/78/704654778.db2.gz IPFMTZZPLRORNM-BZNIZROVSA-N 1 2 318.377 1.706 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000858316503 704693547 /nfs/dbraw/zinc/69/35/47/704693547.db2.gz VUOOWOGNAYWKAC-LSDHHAIUSA-N 1 2 316.405 1.388 20 30 DDEDLO C#C[C@H](NC(=O)c1cc(-n2cc[nH+]c2)ccc1O)[C@@H]1CCCO1 ZINC000822072814 704871107 /nfs/dbraw/zinc/87/11/07/704871107.db2.gz MTONXEQOKFJOQM-HOCLYGCPSA-N 1 2 311.341 1.489 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000823541849 705263690 /nfs/dbraw/zinc/26/36/90/705263690.db2.gz SLDOUOOSMQOWQH-WBVHZDCISA-N 1 2 314.385 1.130 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000823541849 705263694 /nfs/dbraw/zinc/26/36/94/705263694.db2.gz SLDOUOOSMQOWQH-WBVHZDCISA-N 1 2 314.385 1.130 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@@H](CNC(=O)[C@H](C)C#N)C2)c1 ZINC000826562624 705814999 /nfs/dbraw/zinc/81/49/99/705814999.db2.gz ALUIMJOEDDVPDF-ZBFHGGJFSA-N 1 2 301.390 1.472 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@@H](CNC(=O)[C@H](C)C#N)C2)c1 ZINC000826562624 705815003 /nfs/dbraw/zinc/81/50/03/705815003.db2.gz ALUIMJOEDDVPDF-ZBFHGGJFSA-N 1 2 301.390 1.472 20 30 DDEDLO C[C@H]1C[N@H+](Cc2cc(C#N)ccc2N(C)C)CC[S@](=O)C1 ZINC000877478669 706118329 /nfs/dbraw/zinc/11/83/29/706118329.db2.gz PLVGGNLIHZLKIE-ZSEKCTLFSA-N 1 2 305.447 1.825 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2cc(C#N)ccc2N(C)C)CC[S@](=O)C1 ZINC000877478669 706118332 /nfs/dbraw/zinc/11/83/32/706118332.db2.gz PLVGGNLIHZLKIE-ZSEKCTLFSA-N 1 2 305.447 1.825 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC(CCCn2cc[nH+]c2)CC1 ZINC000828248721 706146357 /nfs/dbraw/zinc/14/63/57/706146357.db2.gz NOHOSBVXMSOYAJ-HNNXBMFYSA-N 1 2 303.406 1.940 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](Cc2ccc(Cl)c(S(N)(=O)=O)c2)C1 ZINC000877617699 706160860 /nfs/dbraw/zinc/16/08/60/706160860.db2.gz SEKNIBVGLXDMMZ-NSHDSACASA-N 1 2 312.822 1.833 20 30 DDEDLO C#C[C@H]1CCC[N@H+](Cc2ccc(Cl)c(S(N)(=O)=O)c2)C1 ZINC000877617699 706160862 /nfs/dbraw/zinc/16/08/62/706160862.db2.gz SEKNIBVGLXDMMZ-NSHDSACASA-N 1 2 312.822 1.833 20 30 DDEDLO N#Cc1ccc(F)c(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)c1 ZINC000828955248 706262156 /nfs/dbraw/zinc/26/21/56/706262156.db2.gz FHANJUNGTUTUSM-AWEZNQCLSA-N 1 2 317.364 1.634 20 30 DDEDLO C[C@@H]1CN(c2snc(Cl)c2C#N)C[C@H](C)[N@H+]1CCO ZINC000829015280 706273697 /nfs/dbraw/zinc/27/36/97/706273697.db2.gz DWPXZRWYJDQBOU-DTORHVGOSA-N 1 2 300.815 1.559 20 30 DDEDLO C[C@@H]1CN(c2snc(Cl)c2C#N)C[C@H](C)[N@@H+]1CCO ZINC000829015280 706273700 /nfs/dbraw/zinc/27/37/00/706273700.db2.gz DWPXZRWYJDQBOU-DTORHVGOSA-N 1 2 300.815 1.559 20 30 DDEDLO COCc1cc(C#N)ccc1N1CC[NH+](CC[S@@](C)=O)CC1 ZINC000882008209 707463830 /nfs/dbraw/zinc/46/38/30/707463830.db2.gz FEAMOXBEMAADMX-JOCHJYFZSA-N 1 2 321.446 1.205 20 30 DDEDLO C#C[C@](C)(CC)NC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000878765640 706493983 /nfs/dbraw/zinc/49/39/83/706493983.db2.gz OMVVYLBZBHFMRX-MRXNPFEDSA-N 1 2 319.409 1.139 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2sccc2CC#N)CC1 ZINC000865686567 706496719 /nfs/dbraw/zinc/49/67/19/706496719.db2.gz OWMANGQJWCPXKH-UHFFFAOYSA-N 1 2 307.419 1.608 20 30 DDEDLO CCCNc1cc(N2CCC(C#N)(C(=O)OC)CC2)nc[nH+]1 ZINC000865851826 706543332 /nfs/dbraw/zinc/54/33/32/706543332.db2.gz JFOQADPDPNMNRP-UHFFFAOYSA-N 1 2 303.366 1.582 20 30 DDEDLO CCCNc1cc(N2CCC(C#N)(C(=O)OC)CC2)[nH+]cn1 ZINC000865851826 706543335 /nfs/dbraw/zinc/54/33/35/706543335.db2.gz JFOQADPDPNMNRP-UHFFFAOYSA-N 1 2 303.366 1.582 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1C[C@@H]2CN(C(=O)OC(C)(C)C)C[C@]2(C)C1 ZINC000880027321 706857083 /nfs/dbraw/zinc/85/70/83/706857083.db2.gz WIANLCMXAIJMLS-IGCXYCKISA-N 1 2 324.421 1.903 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1C[C@@H]2CN(C(=O)OC(C)(C)C)C[C@]2(C)C1 ZINC000880027321 706857086 /nfs/dbraw/zinc/85/70/86/706857086.db2.gz WIANLCMXAIJMLS-IGCXYCKISA-N 1 2 324.421 1.903 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)Nc2cc(C)ccc2OC)CC1 ZINC000880478742 706986729 /nfs/dbraw/zinc/98/67/29/706986729.db2.gz JXTDLIXOGXTFPK-UHFFFAOYSA-N 1 2 316.401 1.792 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)Nc2ccc(C)cc2F)CC1 ZINC000880478734 706986939 /nfs/dbraw/zinc/98/69/39/706986939.db2.gz JQUMXCMZXWLKST-UHFFFAOYSA-N 1 2 304.365 1.923 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cc(C)c(C#N)cn1 ZINC000880521087 707000934 /nfs/dbraw/zinc/00/09/34/707000934.db2.gz MDGWLPAYNTXIEA-AWEZNQCLSA-N 1 2 317.393 1.494 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1cc(C)c(C#N)cn1 ZINC000880521087 707000936 /nfs/dbraw/zinc/00/09/36/707000936.db2.gz MDGWLPAYNTXIEA-AWEZNQCLSA-N 1 2 317.393 1.494 20 30 DDEDLO COc1ccc(NC(=O)N[C@H](C)C[NH+]2CCOCC2)cc1C#N ZINC000880874163 707087945 /nfs/dbraw/zinc/08/79/45/707087945.db2.gz VBCNOENOMUTTNB-GFCCVEGCSA-N 1 2 318.377 1.409 20 30 DDEDLO COCCCNC(=S)N[NH+]=C1CCCc2cc(N)ccc21 ZINC000834924752 707148508 /nfs/dbraw/zinc/14/85/08/707148508.db2.gz FIHDPEHNFLHKIE-UHFFFAOYSA-N 1 2 306.435 1.810 20 30 DDEDLO COC[C@@H](C)NN=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872363239 707391235 /nfs/dbraw/zinc/39/12/35/707391235.db2.gz UQNVUHGENSQTJV-OAHLLOKOSA-N 1 2 321.421 1.356 20 30 DDEDLO Cc1cc(NN=C2CCN(Cc3ccccc3)C2=O)nc(N)[nH+]1 ZINC000872420068 707404353 /nfs/dbraw/zinc/40/43/53/707404353.db2.gz HCZLYZQFXDDRLC-UHFFFAOYSA-N 1 2 310.361 1.568 20 30 DDEDLO CN(CN1C[C@H](c2c[nH+]cn2C)CC1=O)[C@@H]1CCC[C@@H]1C#N ZINC000872684557 707558126 /nfs/dbraw/zinc/55/81/26/707558126.db2.gz OYZJKEZTBBOFIM-MGPQQGTHSA-N 1 2 301.394 1.318 20 30 DDEDLO C=CC(C)(C)CCCNC(=O)C(=O)N1CC[NH+](C2CC2)CC1 ZINC000872811140 707611689 /nfs/dbraw/zinc/61/16/89/707611689.db2.gz YATQPZJENOVGEW-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC000837415210 707641921 /nfs/dbraw/zinc/64/19/21/707641921.db2.gz XLGQUPTUNVDLEF-UHFFFAOYSA-N 1 2 321.465 1.439 20 30 DDEDLO CCN(CC)C(=O)C[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC000837415210 707641922 /nfs/dbraw/zinc/64/19/22/707641922.db2.gz XLGQUPTUNVDLEF-UHFFFAOYSA-N 1 2 321.465 1.439 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000883005124 707893344 /nfs/dbraw/zinc/89/33/44/707893344.db2.gz FMUCDVQBIRGUAF-BFHYXJOUSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000883005124 707893348 /nfs/dbraw/zinc/89/33/48/707893348.db2.gz FMUCDVQBIRGUAF-BFHYXJOUSA-N 1 2 306.410 1.423 20 30 DDEDLO COc1ccc(CNC(=O)NCCc2cn(C)c[nH+]2)cc1C#N ZINC000838524047 707938988 /nfs/dbraw/zinc/93/89/88/707938988.db2.gz DVBQTBSASXRSDY-UHFFFAOYSA-N 1 2 313.361 1.342 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1ccc(CC)cc1 ZINC000884052115 708118296 /nfs/dbraw/zinc/11/82/96/708118296.db2.gz FEHBZYGGWDUBNQ-HNNXBMFYSA-N 1 2 304.390 1.654 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1nc2c(s1)CCCCC2 ZINC000884065436 708124221 /nfs/dbraw/zinc/12/42/21/708124221.db2.gz OQRUHJDUCLNVDT-JTQLQIEISA-N 1 2 323.418 1.797 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)Oc1ccc(C)cc1 ZINC000884083171 708131393 /nfs/dbraw/zinc/13/13/93/708131393.db2.gz GJDBWDSPXYSICA-HIFRSBDPSA-N 1 2 320.389 1.325 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CC)CCc1cccs1 ZINC000884088300 708134008 /nfs/dbraw/zinc/13/40/08/708134008.db2.gz GELYUSSKRVZTHN-ZDUSSCGKSA-N 1 2 310.419 1.586 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1COc2c1ccc(C)c2C ZINC000884125091 708150238 /nfs/dbraw/zinc/15/02/38/708150238.db2.gz QCHLQWOPYYWXSA-UONOGXRCSA-N 1 2 318.373 1.300 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(OCC2CC2)cc1 ZINC000884138168 708157072 /nfs/dbraw/zinc/15/70/72/708157072.db2.gz GEYDEYSLPXLRNS-HNNXBMFYSA-N 1 2 318.373 1.861 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1ccc(F)cc1C ZINC000884166306 708169003 /nfs/dbraw/zinc/16/90/03/708169003.db2.gz ZKBZAAWBXZPKPZ-AWEZNQCLSA-N 1 2 308.353 1.239 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc(N(C)C(C)C)c1 ZINC000884217966 708195140 /nfs/dbraw/zinc/19/51/40/708195140.db2.gz AXKPGQCLIZKOOA-HNNXBMFYSA-N 1 2 319.405 1.916 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1ccc(Cl)cn1 ZINC000884333840 708249914 /nfs/dbraw/zinc/24/99/14/708249914.db2.gz ZRFDXEYJWQPKEQ-KOLCDFICSA-N 1 2 311.769 1.359 20 30 DDEDLO CC1=C[C@H](C)C[C@H](C[N@@H+]2C[C@@H]3CS(=O)(=O)C[C@@]3(C#N)C2)C1 ZINC000897294124 708281719 /nfs/dbraw/zinc/28/17/19/708281719.db2.gz KWZOIPZZYIVOHR-ARLBYUKCSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=C[C@H](C)C[C@H](C[N@H+]2C[C@@H]3CS(=O)(=O)C[C@@]3(C#N)C2)C1 ZINC000897294124 708281720 /nfs/dbraw/zinc/28/17/20/708281720.db2.gz KWZOIPZZYIVOHR-ARLBYUKCSA-N 1 2 308.447 1.849 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccccc2CC)C1 ZINC000885511586 708563108 /nfs/dbraw/zinc/56/31/08/708563108.db2.gz KFLCLJYGEJQGTO-OAHLLOKOSA-N 1 2 306.431 1.625 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccccc2CC)C1 ZINC000885511586 708563110 /nfs/dbraw/zinc/56/31/10/708563110.db2.gz KFLCLJYGEJQGTO-OAHLLOKOSA-N 1 2 306.431 1.625 20 30 DDEDLO CC(C)C[C@H](C#N)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000886399510 708753716 /nfs/dbraw/zinc/75/37/16/708753716.db2.gz ZAWYCADBZYFUBE-CQSZACIVSA-N 1 2 321.446 1.891 20 30 DDEDLO CC(C)C[C@H](C#N)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000886399510 708753717 /nfs/dbraw/zinc/75/37/17/708753717.db2.gz ZAWYCADBZYFUBE-CQSZACIVSA-N 1 2 321.446 1.891 20 30 DDEDLO C[C@H]([NH2+]CC1(S(=O)(=O)N(C)C)CC1)c1cccc(C#N)c1O ZINC000886739125 708830799 /nfs/dbraw/zinc/83/07/99/708830799.db2.gz PRAIFCMJQGKKRO-NSHDSACASA-N 1 2 323.418 1.338 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1cc(C#N)ccc1F)[NH+]1CCOCC1 ZINC000888356695 709287324 /nfs/dbraw/zinc/28/73/24/709287324.db2.gz SUVSTBLODUYMQX-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC(c2ccc(C#N)cn2)CC1 ZINC000912930283 713127524 /nfs/dbraw/zinc/12/75/24/713127524.db2.gz KOHRSBXLHYBFNV-UHFFFAOYSA-N 1 2 309.373 1.635 20 30 DDEDLO C/C(=C\C(=O)N[C@H](C#N)C1CCCCC1)C[NH+]1CCOCC1 ZINC000900950437 709844645 /nfs/dbraw/zinc/84/46/45/709844645.db2.gz BFWFAXGUCPQRTC-WSYSLRRZSA-N 1 2 305.422 1.854 20 30 DDEDLO C[C@H]1CCN(C(=O)c2cccc(C#CCO)c2)C[C@H]1n1cc[nH+]c1 ZINC000901042333 709896421 /nfs/dbraw/zinc/89/64/21/709896421.db2.gz OXTRFERCEVFCBC-MAUKXSAKSA-N 1 2 323.396 1.950 20 30 DDEDLO C/C(=C/C(=O)N1CCc2cc(C#N)ccc21)C[NH+]1CCOCC1 ZINC000910482840 709966188 /nfs/dbraw/zinc/96/61/88/709966188.db2.gz XPPSZWQWBDYKLC-UVTDQMKNSA-N 1 2 311.385 1.726 20 30 DDEDLO C/C(=C\C(=O)N1CCc2cc(C#N)ccc21)C[NH+]1CCOCC1 ZINC000910482839 709966232 /nfs/dbraw/zinc/96/62/32/709966232.db2.gz XPPSZWQWBDYKLC-GXDHUFHOSA-N 1 2 311.385 1.726 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](C)c1noc(C(F)(F)F)n1 ZINC000910751886 710063999 /nfs/dbraw/zinc/06/39/99/710063999.db2.gz QSKWBQOTPVJBAJ-BDAKNGLRSA-N 1 2 316.283 1.363 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)c1noc(C(F)(F)F)n1 ZINC000910751886 710064001 /nfs/dbraw/zinc/06/40/01/710064001.db2.gz QSKWBQOTPVJBAJ-BDAKNGLRSA-N 1 2 316.283 1.363 20 30 DDEDLO N#Cc1ccc(Cl)c(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)c1 ZINC000913452906 713222146 /nfs/dbraw/zinc/22/21/46/713222146.db2.gz MBVRJWHQKVMGHX-AWEZNQCLSA-N 1 2 315.764 1.721 20 30 DDEDLO CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1cccc(C)c1C#N ZINC000903198027 711061925 /nfs/dbraw/zinc/06/19/25/711061925.db2.gz CXRUEUVCWUYDPG-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cccc(C)c1C#N ZINC000903198027 711061931 /nfs/dbraw/zinc/06/19/31/711061931.db2.gz CXRUEUVCWUYDPG-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO C#CC[NH2+]CC(=O)Nc1n[nH]c(-c2ccc(C(C)(C)C)cc2)n1 ZINC000912135984 711187438 /nfs/dbraw/zinc/18/74/38/711187438.db2.gz OKSGILGDQKAGLH-UHFFFAOYSA-N 1 2 311.389 1.931 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccc(C(=O)OC)c(C)c2)nn1 ZINC000895007071 711362905 /nfs/dbraw/zinc/36/29/05/711362905.db2.gz XKLKFPQEKSFZNY-UHFFFAOYSA-N 1 2 300.362 1.849 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2conc2Cc2ccccc2)CCCN1O ZINC000895158414 711436075 /nfs/dbraw/zinc/43/60/75/711436075.db2.gz HZOIQIUDECPDAZ-CQSZACIVSA-N 1 2 301.346 1.735 20 30 DDEDLO Cn1nc2c(c1C[NH+]1CCN(c3ccc(C#N)nc3)CC1)CCC2 ZINC000895492802 711526133 /nfs/dbraw/zinc/52/61/33/711526133.db2.gz FRHJCDMZMKQSAJ-UHFFFAOYSA-N 1 2 322.416 1.498 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc3[nH]cnc3c2F)CC1 ZINC000895885494 711637374 /nfs/dbraw/zinc/63/73/74/711637374.db2.gz QEQQVQJTCFJKRG-UHFFFAOYSA-N 1 2 300.337 1.483 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCN(C3CC3)[C@@H](C#N)C2)c[nH+]1 ZINC000896313965 711705290 /nfs/dbraw/zinc/70/52/90/711705290.db2.gz AUDMTJPYROTSTI-AWEZNQCLSA-N 1 2 301.394 1.125 20 30 DDEDLO C[C@H](NC(=O)c1ccc(CC#N)cc1)[C@@H]1CN(C)CC[N@@H+]1C ZINC000896551832 711749123 /nfs/dbraw/zinc/74/91/23/711749123.db2.gz HMPYANDRIARCQB-BBRMVZONSA-N 1 2 300.406 1.117 20 30 DDEDLO C[C@H](NC(=O)c1ccc(CC#N)cc1)[C@@H]1CN(C)CC[N@H+]1C ZINC000896551832 711749124 /nfs/dbraw/zinc/74/91/24/711749124.db2.gz HMPYANDRIARCQB-BBRMVZONSA-N 1 2 300.406 1.117 20 30 DDEDLO C=CCCOCCNC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000907136468 712496415 /nfs/dbraw/zinc/49/64/15/712496415.db2.gz FTRKSDKYUQXKDC-UHFFFAOYSA-N 1 2 321.421 1.143 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)Cc1csc(C#N)c1 ZINC000914319737 713377753 /nfs/dbraw/zinc/37/77/53/713377753.db2.gz BIUZKHCJJZIDFR-GHMZBOCLSA-N 1 2 313.448 1.474 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)Cc1csc(C#N)c1 ZINC000914319737 713377755 /nfs/dbraw/zinc/37/77/55/713377755.db2.gz BIUZKHCJJZIDFR-GHMZBOCLSA-N 1 2 313.448 1.474 20 30 DDEDLO COCCCNC(=S)NN=Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000917025743 713478863 /nfs/dbraw/zinc/47/88/63/713478863.db2.gz CQWKKNNXQOTZKJ-UHFFFAOYSA-N 1 2 317.418 1.707 20 30 DDEDLO C#CCCCS(=O)(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccc1 ZINC000919341564 713599713 /nfs/dbraw/zinc/59/97/13/713599713.db2.gz KUUXJOYQCAZTSQ-IRXDYDNUSA-N 1 2 318.442 1.688 20 30 DDEDLO C#CCCCS(=O)(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1 ZINC000919341564 713599717 /nfs/dbraw/zinc/59/97/17/713599717.db2.gz KUUXJOYQCAZTSQ-IRXDYDNUSA-N 1 2 318.442 1.688 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccc(N)nc1 ZINC000929825596 713698550 /nfs/dbraw/zinc/69/85/50/713698550.db2.gz PVZHGTCWFDOGPF-GFCCVEGCSA-N 1 2 309.377 1.036 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccc(N)nc1 ZINC000929825596 713698554 /nfs/dbraw/zinc/69/85/54/713698554.db2.gz PVZHGTCWFDOGPF-GFCCVEGCSA-N 1 2 309.377 1.036 20 30 DDEDLO N#CC1(c2ccccn2)CC[NH+](C[C@H]2CCCS2(=O)=O)CC1 ZINC000930334374 713806489 /nfs/dbraw/zinc/80/64/89/713806489.db2.gz ZCJSIRCZDZHZRF-CQSZACIVSA-N 1 2 319.430 1.516 20 30 DDEDLO CCOC(=O)[C@@H](CCc1ccccc1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000930819241 713927970 /nfs/dbraw/zinc/92/79/70/713927970.db2.gz VAHYSPRENDRKID-SJLPKXTDSA-N 1 2 316.401 1.901 20 30 DDEDLO CCOC(=O)[C@@H](CCc1ccccc1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000930819241 713927973 /nfs/dbraw/zinc/92/79/73/713927973.db2.gz VAHYSPRENDRKID-SJLPKXTDSA-N 1 2 316.401 1.901 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)N[C@H]2CCC[C@H]2C#N)n1 ZINC000921982660 713928060 /nfs/dbraw/zinc/92/80/60/713928060.db2.gz ZXJOESNVGMHMFD-JQWIXIFHSA-N 1 2 307.423 1.696 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C[NH2+]Cc1nnc2c(=O)n(C)ccn12 ZINC000922334947 714023158 /nfs/dbraw/zinc/02/31/58/714023158.db2.gz MVRWZBJMFSISJR-UHFFFAOYSA-N 1 2 322.372 1.206 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(c2n[nH]c(C)n2)CC1 ZINC000931625038 714141283 /nfs/dbraw/zinc/14/12/83/714141283.db2.gz ULDKVPVXPDYIOW-CYBMUJFWSA-N 1 2 320.441 1.048 20 30 DDEDLO CCOC(=O)[C@@H]1[C@@H]2CC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C[C@@H]21 ZINC000932065447 714250920 /nfs/dbraw/zinc/25/09/20/714250920.db2.gz OAEYDBYKFTXCHT-IARIHHJXSA-N 1 2 321.421 1.172 20 30 DDEDLO CCOC(=O)[C@@H]1[C@@H]2CC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C[C@@H]21 ZINC000932065447 714250922 /nfs/dbraw/zinc/25/09/22/714250922.db2.gz OAEYDBYKFTXCHT-IARIHHJXSA-N 1 2 321.421 1.172 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ccc(C(C)=O)c(O)c2)C1 ZINC000923561875 714404717 /nfs/dbraw/zinc/40/47/17/714404717.db2.gz OMCXFTXWHQAPMO-AWEZNQCLSA-N 1 2 300.358 1.422 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(C)=O)c(O)c2)C1 ZINC000923561875 714404718 /nfs/dbraw/zinc/40/47/18/714404718.db2.gz OMCXFTXWHQAPMO-AWEZNQCLSA-N 1 2 300.358 1.422 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)OCC[N@@H+]1CCO[C@@H](C)C1 ZINC000923593039 714421352 /nfs/dbraw/zinc/42/13/52/714421352.db2.gz ZJNAJSSLUNJLHV-HNNXBMFYSA-N 1 2 316.401 1.982 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)OCC[N@H+]1CCO[C@@H](C)C1 ZINC000923593039 714421353 /nfs/dbraw/zinc/42/13/53/714421353.db2.gz ZJNAJSSLUNJLHV-HNNXBMFYSA-N 1 2 316.401 1.982 20 30 DDEDLO N#C[C@]12C[N@@H+](C[C@H]3CC4CCC3CC4)C[C@H]1CS(=O)(=O)C2 ZINC000932958348 714444589 /nfs/dbraw/zinc/44/45/89/714444589.db2.gz ATQUVPOGEQQYPE-MTERUZRBSA-N 1 2 308.447 1.683 20 30 DDEDLO N#C[C@]12C[N@H+](C[C@H]3CC4CCC3CC4)C[C@H]1CS(=O)(=O)C2 ZINC000932958348 714444592 /nfs/dbraw/zinc/44/45/92/714444592.db2.gz ATQUVPOGEQQYPE-MTERUZRBSA-N 1 2 308.447 1.683 20 30 DDEDLO CCO[C@H]1CC[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000932974794 714447200 /nfs/dbraw/zinc/44/72/00/714447200.db2.gz XRTSEGDHBSJYJW-QWHCGFSZSA-N 1 2 305.403 1.836 20 30 DDEDLO CCO[C@H]1CC[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000932974794 714447202 /nfs/dbraw/zinc/44/72/02/714447202.db2.gz XRTSEGDHBSJYJW-QWHCGFSZSA-N 1 2 305.403 1.836 20 30 DDEDLO N#C[C@@]12C[N@H+]([C@@H]3CCCc4ccccc43)C[C@H]1CS(=O)(=O)C2 ZINC000933118062 714518414 /nfs/dbraw/zinc/51/84/14/714518414.db2.gz IWMLPVFUBMHGAU-UAGQMJEPSA-N 1 2 316.426 1.934 20 30 DDEDLO N#C[C@@]12C[N@@H+]([C@@H]3CCCc4ccccc43)C[C@H]1CS(=O)(=O)C2 ZINC000933118062 714518416 /nfs/dbraw/zinc/51/84/16/714518416.db2.gz IWMLPVFUBMHGAU-UAGQMJEPSA-N 1 2 316.426 1.934 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)NC2(C#N)CCCCC2)[C@H](C)C1 ZINC000933175851 714527838 /nfs/dbraw/zinc/52/78/38/714527838.db2.gz NGXFOBHTEOCVPI-ZIAGYGMSSA-N 1 2 321.421 1.603 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)NC2(C#N)CCCCC2)[C@H](C)C1 ZINC000933175851 714527841 /nfs/dbraw/zinc/52/78/41/714527841.db2.gz NGXFOBHTEOCVPI-ZIAGYGMSSA-N 1 2 321.421 1.603 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)Cc1cccc(=O)[nH]1 ZINC000933394225 714580968 /nfs/dbraw/zinc/58/09/68/714580968.db2.gz LXTAGSIHIPCSRT-ZDUSSCGKSA-N 1 2 316.405 1.950 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)Cc1cccc(=O)[nH]1 ZINC000933394225 714580969 /nfs/dbraw/zinc/58/09/69/714580969.db2.gz LXTAGSIHIPCSRT-ZDUSSCGKSA-N 1 2 316.405 1.950 20 30 DDEDLO CC(C)(C)[C@H]1CNC(=O)C[N@@H+]1CCOc1cccc(C#N)c1 ZINC000933825005 714676577 /nfs/dbraw/zinc/67/65/77/714676577.db2.gz BZVPVSWMBPGDNJ-OAHLLOKOSA-N 1 2 301.390 1.784 20 30 DDEDLO CC(C)(C)[C@H]1CNC(=O)C[N@H+]1CCOc1cccc(C#N)c1 ZINC000933825005 714676592 /nfs/dbraw/zinc/67/65/92/714676592.db2.gz BZVPVSWMBPGDNJ-OAHLLOKOSA-N 1 2 301.390 1.784 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOC[C@@H]1CC1CCOCC1 ZINC000934643595 714869307 /nfs/dbraw/zinc/86/93/07/714869307.db2.gz VAVLJLHTIABMBP-KRWDZBQOSA-N 1 2 322.449 1.705 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOC[C@@H]1CC1CCOCC1 ZINC000934643595 714869309 /nfs/dbraw/zinc/86/93/09/714869309.db2.gz VAVLJLHTIABMBP-KRWDZBQOSA-N 1 2 322.449 1.705 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000934722243 714889165 /nfs/dbraw/zinc/88/91/65/714889165.db2.gz PTKRGVXSKMEINA-JKSUJKDBSA-N 1 2 310.438 1.538 20 30 DDEDLO N#CC1(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CCSCC1 ZINC000935061123 714966600 /nfs/dbraw/zinc/96/66/00/714966600.db2.gz PETODRXDTQLZFK-ZDUSSCGKSA-N 1 2 316.430 1.813 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000938193687 715752526 /nfs/dbraw/zinc/75/25/26/715752526.db2.gz DTTZPIRLRJBUCI-BBRMVZONSA-N 1 2 304.394 1.203 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCOC3CCC3)CC2)cn1 ZINC000957380969 715871483 /nfs/dbraw/zinc/87/14/83/715871483.db2.gz ANQPTINUUDQEJR-UHFFFAOYSA-N 1 2 313.401 1.390 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc3n[nH]c(C)c3c2)C1 ZINC000957383582 715872979 /nfs/dbraw/zinc/87/29/79/715872979.db2.gz VSXXKUHIWDBJAK-UHFFFAOYSA-N 1 2 313.405 1.989 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ncc3n2CCCC3)C1 ZINC000957411268 715887855 /nfs/dbraw/zinc/88/78/55/715887855.db2.gz UETPRWKJWBCFKM-UHFFFAOYSA-N 1 2 302.422 1.942 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn(C)c2C2CC2)C1 ZINC000957453134 715906900 /nfs/dbraw/zinc/90/69/00/715906900.db2.gz HYOZWDDVYIEHBT-UHFFFAOYSA-N 1 2 300.406 1.467 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2CCN(C(=O)CCC)CC2)CC1 ZINC000957753002 716029387 /nfs/dbraw/zinc/02/93/87/716029387.db2.gz XSXUZKBXFNEZDL-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCCN2C(=O)C(C)C)CC1 ZINC000957757819 716030806 /nfs/dbraw/zinc/03/08/06/716030806.db2.gz KIXBDPWAWDNZSJ-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN2CC(F)(F)F)CC1 ZINC000957782801 716041692 /nfs/dbraw/zinc/04/16/92/716041692.db2.gz UUSOEXNRMJVKNE-LBPRGKRZSA-N 1 2 305.344 1.343 20 30 DDEDLO C[N@@H+](Cc1ccon1)C[C@@H]1CCCN1C(=O)c1ccc(C#N)[nH]1 ZINC000960566602 716613701 /nfs/dbraw/zinc/61/37/01/716613701.db2.gz ZSTIOXCYBWNGAT-AWEZNQCLSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@H+](Cc1ccon1)C[C@@H]1CCCN1C(=O)c1ccc(C#N)[nH]1 ZINC000960566602 716613705 /nfs/dbraw/zinc/61/37/05/716613705.db2.gz ZSTIOXCYBWNGAT-AWEZNQCLSA-N 1 2 313.361 1.611 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ncccn4)C[C@H]32)CC1 ZINC000961673080 717053747 /nfs/dbraw/zinc/05/37/47/717053747.db2.gz GLBMMHKMRNVONJ-QLPKVWCKSA-N 1 2 312.417 1.769 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ncccn4)C[C@H]32)CC1 ZINC000961673080 717053753 /nfs/dbraw/zinc/05/37/53/717053753.db2.gz GLBMMHKMRNVONJ-QLPKVWCKSA-N 1 2 312.417 1.769 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc(C)cs3)CC2)C1 ZINC000941400939 717170532 /nfs/dbraw/zinc/17/05/32/717170532.db2.gz JOFREJVCNHQZBV-UHFFFAOYSA-N 1 2 303.431 1.132 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CCc3ccsc3)CC2)C1 ZINC000941438507 717177273 /nfs/dbraw/zinc/17/72/73/717177273.db2.gz ZUCQDKSAMZJROZ-UHFFFAOYSA-N 1 2 317.458 1.142 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccon2)CC[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941962260 717409404 /nfs/dbraw/zinc/40/94/04/717409404.db2.gz CYLWIUMJJNOIKO-IAQYHMDHSA-N 1 2 313.361 1.515 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccon2)CC[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941962260 717409409 /nfs/dbraw/zinc/40/94/09/717409409.db2.gz CYLWIUMJJNOIKO-IAQYHMDHSA-N 1 2 313.361 1.515 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H]1[C@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC000963382067 717768748 /nfs/dbraw/zinc/76/87/48/717768748.db2.gz AKSRMJXCVMMZIK-GJZGRUSLSA-N 1 2 315.421 1.074 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1[C@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC000963382067 717768752 /nfs/dbraw/zinc/76/87/52/717768752.db2.gz AKSRMJXCVMMZIK-GJZGRUSLSA-N 1 2 315.421 1.074 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnon3)C[C@@H]2C)C1 ZINC000942607468 717774968 /nfs/dbraw/zinc/77/49/68/717774968.db2.gz GECOGPBPWXRPHK-JSGCOSHPSA-N 1 2 304.394 1.753 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnon3)C[C@@H]2C)C1 ZINC000942607468 717774972 /nfs/dbraw/zinc/77/49/72/717774972.db2.gz GECOGPBPWXRPHK-JSGCOSHPSA-N 1 2 304.394 1.753 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965992310 717851465 /nfs/dbraw/zinc/85/14/65/717851465.db2.gz ZZGFIOXCUSBTHQ-JOYOIKCWSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965992310 717851469 /nfs/dbraw/zinc/85/14/69/717851469.db2.gz ZZGFIOXCUSBTHQ-JOYOIKCWSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000966669945 718623618 /nfs/dbraw/zinc/62/36/18/718623618.db2.gz JRPRLALJJFAGKK-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC000967974377 719110549 /nfs/dbraw/zinc/11/05/49/719110549.db2.gz GFTRVRADCQWUJX-ZYHUDNBSSA-N 1 2 303.366 1.187 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CCN1CC#N ZINC000947332631 719124388 /nfs/dbraw/zinc/12/43/88/719124388.db2.gz AGHXYOAOAPPLHW-ZIAGYGMSSA-N 1 2 315.421 1.255 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC000968347011 719523114 /nfs/dbraw/zinc/52/31/14/719523114.db2.gz QMBMATNODTWHMU-BXKDBHETSA-N 1 2 314.349 1.143 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC000968627097 719699356 /nfs/dbraw/zinc/69/93/56/719699356.db2.gz MPXFGRFSNBPMOH-SNVBAGLBSA-N 1 2 310.304 1.111 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnc(C)n1C ZINC000948950998 719798466 /nfs/dbraw/zinc/79/84/66/719798466.db2.gz QNRSMQPSISGDDW-QGZVFWFLSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnc(C)n1C ZINC000948950998 719798471 /nfs/dbraw/zinc/79/84/71/719798471.db2.gz QNRSMQPSISGDDW-QGZVFWFLSA-N 1 2 322.412 1.691 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnc3ccccc3c2O)CC1 ZINC000949066496 719884475 /nfs/dbraw/zinc/88/44/75/719884475.db2.gz NUPLVIXQEOEEHG-UHFFFAOYSA-N 1 2 311.385 1.862 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2Cc3cc(Cl)ccc3O2)CC1 ZINC000949169966 719939921 /nfs/dbraw/zinc/93/99/21/719939921.db2.gz WYMMVCYCJCOBHU-OAHLLOKOSA-N 1 2 306.793 1.974 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc3n(n2)CCC3)C1 ZINC000969807120 720301085 /nfs/dbraw/zinc/30/10/85/720301085.db2.gz XZHUDKFSVRNSIV-LLVKDONJSA-N 1 2 308.813 1.632 20 30 DDEDLO CCN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](C[C@@H]2CCCCO2)C1 ZINC000949854115 720382953 /nfs/dbraw/zinc/38/29/53/720382953.db2.gz FYBLMHWCNBRKPB-HNNXBMFYSA-N 1 2 316.405 1.602 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc3n[nH]nc3n2)C1 ZINC000950469925 720646861 /nfs/dbraw/zinc/64/68/61/720646861.db2.gz UGSWTAIPBFWSOV-UHFFFAOYSA-N 1 2 300.366 1.075 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cccc3[nH]c(=O)[nH]c32)C1 ZINC000950522094 720669509 /nfs/dbraw/zinc/66/95/09/720669509.db2.gz BDFMMUWXCSAWSW-UHFFFAOYSA-N 1 2 314.389 1.991 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc(OC)ccn2)C1 ZINC000970259647 720681402 /nfs/dbraw/zinc/68/14/02/720681402.db2.gz LOSRLSJBYIHMRX-NSHDSACASA-N 1 2 309.797 1.893 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc(-n3ccnc3)n2)C1 ZINC000950692278 720737366 /nfs/dbraw/zinc/73/73/66/720737366.db2.gz IZAOFULFJXHZST-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2nccn3ccnc23)C1 ZINC000970445457 720764511 /nfs/dbraw/zinc/76/45/11/720764511.db2.gz SHLDJQINFKKRRR-LLVKDONJSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2coc(COC)n2)C1 ZINC000970663463 720876180 /nfs/dbraw/zinc/87/61/80/720876180.db2.gz VKRJCVYJFUJIKU-JTQLQIEISA-N 1 2 313.785 1.624 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3nnn(C)c3C2)C1 ZINC000951387916 721015400 /nfs/dbraw/zinc/01/54/00/721015400.db2.gz XUTYWWXMQHKVAK-CYBMUJFWSA-N 1 2 317.437 1.029 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971218750 721185669 /nfs/dbraw/zinc/18/56/69/721185669.db2.gz RXAGBRVCCFOELZ-WBMJQRKESA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971218750 721185671 /nfs/dbraw/zinc/18/56/71/721185671.db2.gz RXAGBRVCCFOELZ-WBMJQRKESA-N 1 2 314.364 1.890 20 30 DDEDLO CCCC1(C(=O)N[C@@H]2C[N@H+](CC#CCOC)C[C@H]2OC)CC1 ZINC001213412343 735450375 /nfs/dbraw/zinc/45/03/75/735450375.db2.gz RRXAWCCUFANDKV-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO CCCC1(C(=O)N[C@@H]2C[N@@H+](CC#CCOC)C[C@H]2OC)CC1 ZINC001213412343 735450377 /nfs/dbraw/zinc/45/03/77/735450377.db2.gz RRXAWCCUFANDKV-HUUCEWRRSA-N 1 2 308.422 1.032 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H]([N@H+](C)Cc3ccon3)C2)cn1 ZINC001027655774 738516625 /nfs/dbraw/zinc/51/66/25/738516625.db2.gz BUGCZKYNZHWITR-QGZVFWFLSA-N 1 2 324.384 1.788 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H]([N@@H+](C)Cc3ccon3)C2)cn1 ZINC001027655774 738516628 /nfs/dbraw/zinc/51/66/28/738516628.db2.gz BUGCZKYNZHWITR-QGZVFWFLSA-N 1 2 324.384 1.788 20 30 DDEDLO CN(CC#N)[C@@H]1CCCN(C(=O)[C@H](c2cccnc2)[NH+](C)C)C1 ZINC001027697946 738563459 /nfs/dbraw/zinc/56/34/59/738563459.db2.gz ZTDZNEBAKHZQAJ-CVEARBPZSA-N 1 2 315.421 1.131 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cncnc2)cc1 ZINC001038179398 732803442 /nfs/dbraw/zinc/80/34/42/732803442.db2.gz GQKTZZUPMOFXHS-MRXNPFEDSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cncnc2)cc1 ZINC001038179398 732803445 /nfs/dbraw/zinc/80/34/45/732803445.db2.gz GQKTZZUPMOFXHS-MRXNPFEDSA-N 1 2 307.357 1.353 20 30 DDEDLO C[C@@H]([NH2+]CCNC(=O)c1cc(C#N)c[nH]1)c1nc(C2CC2)no1 ZINC001125370027 732922458 /nfs/dbraw/zinc/92/24/58/732922458.db2.gz GLOQGCDYGQSXLN-SECBINFHSA-N 1 2 314.349 1.227 20 30 DDEDLO Cc1ncsc1C[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038149653 738762227 /nfs/dbraw/zinc/76/22/27/738762227.db2.gz BKPGQQPZCZROHS-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1ncsc1C[N@H+]1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038149653 738762229 /nfs/dbraw/zinc/76/22/29/738762229.db2.gz BKPGQQPZCZROHS-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001038818701 738809512 /nfs/dbraw/zinc/80/95/12/738809512.db2.gz SQADJFWTIJDOCL-SECBINFHSA-N 1 2 300.284 1.256 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001038818701 738809515 /nfs/dbraw/zinc/80/95/15/738809515.db2.gz SQADJFWTIJDOCL-SECBINFHSA-N 1 2 300.284 1.256 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)Cn3cc[nH+]c3)CCCC2)nc1 ZINC001104397968 734629600 /nfs/dbraw/zinc/62/96/00/734629600.db2.gz MEEDXAYXJOERIN-UHFFFAOYSA-N 1 2 324.388 1.691 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc(C)ccc3F)n2C)CC1 ZINC001121335128 782461930 /nfs/dbraw/zinc/46/19/30/782461930.db2.gz QKUCMOZRSONGNE-UHFFFAOYSA-N 1 2 313.380 1.685 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1COCCO1 ZINC001024338764 735781341 /nfs/dbraw/zinc/78/13/41/735781341.db2.gz CAELMYDJAGKACQ-STQMWFEESA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1COCCO1 ZINC001024338764 735781344 /nfs/dbraw/zinc/78/13/44/735781344.db2.gz CAELMYDJAGKACQ-STQMWFEESA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC001024412160 735816840 /nfs/dbraw/zinc/81/68/40/735816840.db2.gz YLQGWSNWOMMYTJ-CQSZACIVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC001024412160 735816846 /nfs/dbraw/zinc/81/68/46/735816846.db2.gz YLQGWSNWOMMYTJ-CQSZACIVSA-N 1 2 313.829 1.188 20 30 DDEDLO N#Cc1cnccc1N1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001058590625 736022570 /nfs/dbraw/zinc/02/25/70/736022570.db2.gz IUWCGBWCGSXNHL-BFHYXJOUSA-N 1 2 322.372 1.175 20 30 DDEDLO N#Cc1cnccc1N1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001058590625 736022574 /nfs/dbraw/zinc/02/25/74/736022574.db2.gz IUWCGBWCGSXNHL-BFHYXJOUSA-N 1 2 322.372 1.175 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001025279464 736406790 /nfs/dbraw/zinc/40/67/90/736406790.db2.gz CASSGQZAFDKLHH-ZIAGYGMSSA-N 1 2 301.394 1.321 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([NH2+][C@@H](C)c3nc(C)no3)C2)C1 ZINC001019352077 736714361 /nfs/dbraw/zinc/71/43/61/736714361.db2.gz AHKSOKCBHMLKSN-AAEUAGOBSA-N 1 2 304.394 1.986 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001029827045 741363375 /nfs/dbraw/zinc/36/33/75/741363375.db2.gz ZPURMRUVPVSGDT-YOEHRIQHSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001029827045 741363376 /nfs/dbraw/zinc/36/33/76/741363376.db2.gz ZPURMRUVPVSGDT-YOEHRIQHSA-N 1 2 319.453 1.698 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@]3(C)CC=CCC3)C2)nn1 ZINC001105249364 737658230 /nfs/dbraw/zinc/65/82/30/737658230.db2.gz RJLMPGLKIDMKMN-QGZVFWFLSA-N 1 2 313.405 1.131 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)Cc3ccccc3)C2)nn1 ZINC001105271144 737699859 /nfs/dbraw/zinc/69/98/59/737699859.db2.gz YGEQANBYBGDSLM-UHFFFAOYSA-N 1 2 323.400 1.017 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3CCCC[C@H]3C)C2)nn1 ZINC001105315377 737823046 /nfs/dbraw/zinc/82/30/46/737823046.db2.gz KDNCUMPPVYUYCT-CZUORRHYSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001125951289 737912823 /nfs/dbraw/zinc/91/28/23/737912823.db2.gz LIGZIKQARDJPBH-GFCCVEGCSA-N 1 2 304.394 1.907 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C=C(\C)C3CC3)C2)nn1 ZINC001098622997 737974958 /nfs/dbraw/zinc/97/49/58/737974958.db2.gz UZDPJVYRAVBOLW-WQMJKPAKSA-N 1 2 313.405 1.131 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3CC[C@@H](C)C3)C2)nn1 ZINC001105336881 738035314 /nfs/dbraw/zinc/03/53/14/738035314.db2.gz NAERRZOCCWBQGS-KGLIPLIRSA-N 1 2 315.421 1.211 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3ccnn3C)C2)nc1 ZINC001009254407 738150478 /nfs/dbraw/zinc/15/04/78/738150478.db2.gz ROGJSSAHUIOLOH-HNNXBMFYSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3ccnn3C)C2)nc1 ZINC001009254407 738150480 /nfs/dbraw/zinc/15/04/80/738150480.db2.gz ROGJSSAHUIOLOH-HNNXBMFYSA-N 1 2 323.400 1.191 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]([N@@H+](C)Cc2cn(CC)nn2)C1 ZINC001027522244 738373759 /nfs/dbraw/zinc/37/37/59/738373759.db2.gz VAXSFDAODQGCHU-OAHLLOKOSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]([N@H+](C)Cc2cn(CC)nn2)C1 ZINC001027522244 738373761 /nfs/dbraw/zinc/37/37/61/738373761.db2.gz VAXSFDAODQGCHU-OAHLLOKOSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CCn1cc(C(=O)NCCC[NH2+]CC(F)(F)C(F)F)nn1 ZINC001167838674 738997626 /nfs/dbraw/zinc/99/76/26/738997626.db2.gz KPLUQTLPEPUJKM-UHFFFAOYSA-N 1 2 323.294 1.074 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCCC(=O)N1 ZINC001028130935 739073972 /nfs/dbraw/zinc/07/39/72/739073972.db2.gz YCIDYHILOBOQEB-CHWSQXEVSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCCC(=O)N1 ZINC001028130935 739073977 /nfs/dbraw/zinc/07/39/77/739073977.db2.gz YCIDYHILOBOQEB-CHWSQXEVSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1cscn1 ZINC001028147169 739092680 /nfs/dbraw/zinc/09/26/80/739092680.db2.gz PQCBMBPHGRXDJF-ZNMIVQPWSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1cscn1 ZINC001028147169 739092682 /nfs/dbraw/zinc/09/26/82/739092682.db2.gz PQCBMBPHGRXDJF-ZNMIVQPWSA-N 1 2 321.446 1.815 20 30 DDEDLO CCn1ccc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001075616022 739187569 /nfs/dbraw/zinc/18/75/69/739187569.db2.gz GVVNNMYHBIXMIQ-KCQAQPDRSA-N 1 2 301.394 1.095 20 30 DDEDLO CCn1ccc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001075616022 739187571 /nfs/dbraw/zinc/18/75/71/739187571.db2.gz GVVNNMYHBIXMIQ-KCQAQPDRSA-N 1 2 301.394 1.095 20 30 DDEDLO C=CCOC(=O)CC[C@H]([NH2+][C@H]1C[C@H]2C[C@H]2C1)C(=O)OCC=C ZINC001167915094 739323163 /nfs/dbraw/zinc/32/31/63/739323163.db2.gz ZPMIOTIGRKBBMU-YJNKXOJESA-N 1 2 307.390 1.982 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(Cl)n[nH]c2CC)C1 ZINC001035399144 751469144 /nfs/dbraw/zinc/46/91/44/751469144.db2.gz PRRMEFBFDHGULK-LLVKDONJSA-N 1 2 324.812 1.079 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(Cl)n[nH]c2CC)C1 ZINC001035399144 751469147 /nfs/dbraw/zinc/46/91/47/751469147.db2.gz PRRMEFBFDHGULK-LLVKDONJSA-N 1 2 324.812 1.079 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)C1CC1 ZINC001083389795 739757002 /nfs/dbraw/zinc/75/70/02/739757002.db2.gz QZKIILVRUMSRQX-QGTPRVQTSA-N 1 2 312.413 1.246 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)C1CC1 ZINC001083389795 739757004 /nfs/dbraw/zinc/75/70/04/739757004.db2.gz QZKIILVRUMSRQX-QGTPRVQTSA-N 1 2 312.413 1.246 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2[nH]nc3ccc(C)cc32)C1 ZINC001035428265 751486276 /nfs/dbraw/zinc/48/62/76/751486276.db2.gz VMTXHRQLPKAICF-ZDUSSCGKSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2[nH]nc3ccc(C)cc32)C1 ZINC001035428265 751486281 /nfs/dbraw/zinc/48/62/81/751486281.db2.gz VMTXHRQLPKAICF-ZDUSSCGKSA-N 1 2 314.389 1.488 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+](Cc2nncs2)C1 ZINC001028812551 740071841 /nfs/dbraw/zinc/07/18/41/740071841.db2.gz FXXABRLQSZDCJY-UPJWGTAASA-N 1 2 322.434 1.067 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+](Cc2nncs2)C1 ZINC001028812551 740071844 /nfs/dbraw/zinc/07/18/44/740071844.db2.gz FXXABRLQSZDCJY-UPJWGTAASA-N 1 2 322.434 1.067 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001035432983 751510664 /nfs/dbraw/zinc/51/06/64/751510664.db2.gz UBHLZLPTIXSBOS-MRXNPFEDSA-N 1 2 313.401 1.413 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001035432983 751510667 /nfs/dbraw/zinc/51/06/67/751510667.db2.gz UBHLZLPTIXSBOS-MRXNPFEDSA-N 1 2 313.401 1.413 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2onc3c2CCCC3)C1 ZINC001035444749 751521565 /nfs/dbraw/zinc/52/15/65/751521565.db2.gz ZEWOLMLCPGIASU-CYBMUJFWSA-N 1 2 319.405 1.560 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2onc3c2CCCC3)C1 ZINC001035444749 751521569 /nfs/dbraw/zinc/52/15/69/751521569.db2.gz ZEWOLMLCPGIASU-CYBMUJFWSA-N 1 2 319.405 1.560 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3ccncc3n2)C1 ZINC001035448272 751525169 /nfs/dbraw/zinc/52/51/69/751525169.db2.gz BVHMKKGUDHUWAG-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc3ccncc3n2)C1 ZINC001035448272 751525170 /nfs/dbraw/zinc/52/51/70/751525170.db2.gz BVHMKKGUDHUWAG-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@]3(C)C=CCC3)C2)nn1 ZINC001098700287 740529648 /nfs/dbraw/zinc/52/96/48/740529648.db2.gz IBJBIQWDVBEZAE-NVXWUHKLSA-N 1 2 313.405 1.131 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3ccsc3[nH]2)C1 ZINC001035470018 751555063 /nfs/dbraw/zinc/55/50/63/751555063.db2.gz NDTNISLOTAFJFE-LBPRGKRZSA-N 1 2 305.403 1.846 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc3ccsc3[nH]2)C1 ZINC001035470018 751555069 /nfs/dbraw/zinc/55/50/69/751555069.db2.gz NDTNISLOTAFJFE-LBPRGKRZSA-N 1 2 305.403 1.846 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(Cl)cc2OC)C1 ZINC001035491477 751574169 /nfs/dbraw/zinc/57/41/69/751574169.db2.gz QBYXYFHXNIVXAJ-ZDUSSCGKSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(Cl)cc2OC)C1 ZINC001035491477 751574172 /nfs/dbraw/zinc/57/41/72/751574172.db2.gz QBYXYFHXNIVXAJ-ZDUSSCGKSA-N 1 2 324.808 1.965 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C(C)(C)CC)C2)nn1 ZINC001098710834 740882279 /nfs/dbraw/zinc/88/22/79/740882279.db2.gz NATCMADEWCJSSI-AWEZNQCLSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3nncn3C)CC[C@@H]2C1 ZINC001088066459 740979863 /nfs/dbraw/zinc/97/98/63/740979863.db2.gz LJIYAIWDWSGPFT-KGLIPLIRSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3nncn3C)CC[C@@H]2C1 ZINC001088066459 740979864 /nfs/dbraw/zinc/97/98/64/740979864.db2.gz LJIYAIWDWSGPFT-KGLIPLIRSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3cnn(C)n3)CC[C@@H]2C1 ZINC001088066660 740979932 /nfs/dbraw/zinc/97/99/32/740979932.db2.gz TYYFRYHJQHUDJT-KGLIPLIRSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3cnn(C)n3)CC[C@@H]2C1 ZINC001088066660 740979933 /nfs/dbraw/zinc/97/99/33/740979933.db2.gz TYYFRYHJQHUDJT-KGLIPLIRSA-N 1 2 317.437 1.308 20 30 DDEDLO CC(C)n1ncnc1C[N@H+](C)C[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001029676420 741216584 /nfs/dbraw/zinc/21/65/84/741216584.db2.gz AOOHDPFGRVYSLD-ZIAGYGMSSA-N 1 2 318.425 1.299 20 30 DDEDLO CC(C)n1ncnc1C[N@@H+](C)C[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001029676420 741216586 /nfs/dbraw/zinc/21/65/86/741216586.db2.gz AOOHDPFGRVYSLD-ZIAGYGMSSA-N 1 2 318.425 1.299 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3[nH]c(C)nc32)C1 ZINC001035566348 751619431 /nfs/dbraw/zinc/61/94/31/751619431.db2.gz CUOAJSKHDNYSTH-ZDUSSCGKSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3[nH]c(C)nc32)C1 ZINC001035566348 751619435 /nfs/dbraw/zinc/61/94/35/751619435.db2.gz CUOAJSKHDNYSTH-ZDUSSCGKSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)nn(CCC)c2C)C1 ZINC001035570724 751623797 /nfs/dbraw/zinc/62/37/97/751623797.db2.gz QTRGGDHANDNFKS-OAHLLOKOSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c(C)nn(CCC)c2C)C1 ZINC001035570724 751623804 /nfs/dbraw/zinc/62/38/04/751623804.db2.gz QTRGGDHANDNFKS-OAHLLOKOSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCCC2CCOCC2)C1 ZINC001107981827 751636291 /nfs/dbraw/zinc/63/62/91/751636291.db2.gz HPJMPURTLHSGGB-SFHVURJKSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCCC2CCOCC2)C1 ZINC001107981827 751636296 /nfs/dbraw/zinc/63/62/96/751636296.db2.gz HPJMPURTLHSGGB-SFHVURJKSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(OC(C)C)n2)C1 ZINC001035560166 751642845 /nfs/dbraw/zinc/64/28/45/751642845.db2.gz PEYVMCOASSUAGI-CQSZACIVSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc(OC(C)C)n2)C1 ZINC001035560166 751642846 /nfs/dbraw/zinc/64/28/46/751642846.db2.gz PEYVMCOASSUAGI-CQSZACIVSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3[nH]ccc3s2)C1 ZINC001035585803 751678833 /nfs/dbraw/zinc/67/88/33/751678833.db2.gz BYHVOPUPPLTLOB-NSHDSACASA-N 1 2 305.403 1.846 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc3[nH]ccc3s2)C1 ZINC001035585803 751678835 /nfs/dbraw/zinc/67/88/35/751678835.db2.gz BYHVOPUPPLTLOB-NSHDSACASA-N 1 2 305.403 1.846 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(CC(C)C)c2C)C1 ZINC001035591298 751685921 /nfs/dbraw/zinc/68/59/21/751685921.db2.gz AGBBKWOGTZXCAR-HNNXBMFYSA-N 1 2 320.437 1.464 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnn(CC(C)C)c2C)C1 ZINC001035591298 751685925 /nfs/dbraw/zinc/68/59/25/751685925.db2.gz AGBBKWOGTZXCAR-HNNXBMFYSA-N 1 2 320.437 1.464 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(CC)s2)C1 ZINC001035624347 751694848 /nfs/dbraw/zinc/69/48/48/751694848.db2.gz KRLTUMZQEWUHAW-LBPRGKRZSA-N 1 2 307.419 1.159 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnc(CC)s2)C1 ZINC001035624347 751694852 /nfs/dbraw/zinc/69/48/52/751694852.db2.gz KRLTUMZQEWUHAW-LBPRGKRZSA-N 1 2 307.419 1.159 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cncc3ccccc32)C1 ZINC001035614704 751720484 /nfs/dbraw/zinc/72/04/84/751720484.db2.gz QGROOGDEGHZXLZ-OAHLLOKOSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cncc3ccccc32)C1 ZINC001035614704 751720490 /nfs/dbraw/zinc/72/04/90/751720490.db2.gz QGROOGDEGHZXLZ-OAHLLOKOSA-N 1 2 311.385 1.851 20 30 DDEDLO O=C(C#CC1CC1)NCC[NH2+]Cc1nnc(C(F)(F)F)s1 ZINC001126881177 742415039 /nfs/dbraw/zinc/41/50/39/742415039.db2.gz MCINWWPQJZTACF-UHFFFAOYSA-N 1 2 318.324 1.176 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccnn2C(CC)CC)[C@@H](O)C1 ZINC001083522579 742456281 /nfs/dbraw/zinc/45/62/81/742456281.db2.gz MGCYOBWAADTDPS-ZBFHGGJFSA-N 1 2 318.421 1.042 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccnn2C(CC)CC)[C@@H](O)C1 ZINC001083522579 742456284 /nfs/dbraw/zinc/45/62/84/742456284.db2.gz MGCYOBWAADTDPS-ZBFHGGJFSA-N 1 2 318.421 1.042 20 30 DDEDLO CCOc1nnc(C[NH2+]CCNC(=O)C#CC(C)(C)C)s1 ZINC001126907920 742469235 /nfs/dbraw/zinc/46/92/35/742469235.db2.gz XWFACPOZSOAUEM-UHFFFAOYSA-N 1 2 310.423 1.192 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1cc(C)n[nH]1)CC2 ZINC001035682233 751761411 /nfs/dbraw/zinc/76/14/11/751761411.db2.gz JABLXVFGYCUPEH-UHFFFAOYSA-N 1 2 322.840 1.938 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1cncnc1)CC2 ZINC001035694313 751781795 /nfs/dbraw/zinc/78/17/95/751781795.db2.gz VYRCHFUBVMESIA-UHFFFAOYSA-N 1 2 320.824 1.696 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)[C@@H]1C[C@H]1[N+](=O)[O-])CC2 ZINC001035704570 751791051 /nfs/dbraw/zinc/79/10/51/751791051.db2.gz QPHCPFVTHZRBGY-VXGBXAGGSA-N 1 2 313.785 1.329 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCc2ccccc2C(=O)OC)CC1 ZINC001181157678 743090021 /nfs/dbraw/zinc/09/00/21/743090021.db2.gz DVNJXJTWZBBZRJ-UHFFFAOYSA-N 1 2 316.401 1.736 20 30 DDEDLO N#Cc1nc(N[C@@H]2CCC[N@@H+](C3COC3)C2)ccc1[N+](=O)[O-] ZINC001168367699 743104422 /nfs/dbraw/zinc/10/44/22/743104422.db2.gz RNRYTTNRYZLTQR-SNVBAGLBSA-N 1 2 303.322 1.137 20 30 DDEDLO N#Cc1nc(N[C@@H]2CCC[N@H+](C3COC3)C2)ccc1[N+](=O)[O-] ZINC001168367699 743104428 /nfs/dbraw/zinc/10/44/28/743104428.db2.gz RNRYTTNRYZLTQR-SNVBAGLBSA-N 1 2 303.322 1.137 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001181469801 743207991 /nfs/dbraw/zinc/20/79/91/743207991.db2.gz SDCNKIXIGUOVHL-CHWSQXEVSA-N 1 2 322.409 1.304 20 30 DDEDLO Cc1cnc(C[NH+]2CCC(NC(=O)c3c[nH]c(C#N)c3)CC2)o1 ZINC001002630416 743295827 /nfs/dbraw/zinc/29/58/27/743295827.db2.gz VSYSDXDBDTVXHA-UHFFFAOYSA-N 1 2 313.361 1.577 20 30 DDEDLO N#Cc1cnccc1N[C@H]1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001061133334 743368599 /nfs/dbraw/zinc/36/85/99/743368599.db2.gz BKPGTUJGMHOYFM-UONOGXRCSA-N 1 2 324.388 1.180 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](CC)OC)c2C1 ZINC001128274755 743444967 /nfs/dbraw/zinc/44/49/67/743444967.db2.gz VZIONDDORZKRCA-OAHLLOKOSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H](CC)OC)c2C1 ZINC001128274755 743444970 /nfs/dbraw/zinc/44/49/70/743444970.db2.gz VZIONDDORZKRCA-OAHLLOKOSA-N 1 2 306.410 1.316 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)CCOCC)CC2)C1 ZINC001105696120 743496631 /nfs/dbraw/zinc/49/66/31/743496631.db2.gz WUZGQTQXODASLJ-UHFFFAOYSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001002866560 743526979 /nfs/dbraw/zinc/52/69/79/743526979.db2.gz DLMSIELTTGLJQM-CHWSQXEVSA-N 1 2 316.405 1.300 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001002866560 743526984 /nfs/dbraw/zinc/52/69/84/743526984.db2.gz DLMSIELTTGLJQM-CHWSQXEVSA-N 1 2 316.405 1.300 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001182458670 743638703 /nfs/dbraw/zinc/63/87/03/743638703.db2.gz KXOMKJVLYIXLFA-GFCCVEGCSA-N 1 2 304.394 1.372 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](OC)[C@H](C)CC)CC2)C1 ZINC001105714377 743747976 /nfs/dbraw/zinc/74/79/76/743747976.db2.gz WTUYFHFBVOURCG-RHSMWYFYSA-N 1 2 324.465 1.925 20 30 DDEDLO COc1ccc(CC[NH+]2CC(NC(=O)c3ccc(C#N)[nH]3)C2)cc1 ZINC001030222321 743957156 /nfs/dbraw/zinc/95/71/56/743957156.db2.gz AVCONLPGMMJESE-UHFFFAOYSA-N 1 2 324.384 1.552 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)c2cc([C@H]3CCC[N@H+]3C)n[nH]2)C1 ZINC001030349244 744068486 /nfs/dbraw/zinc/06/84/86/744068486.db2.gz FBPMXWFMRSZYLR-CQSZACIVSA-N 1 2 323.828 1.343 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)c2cc([C@H]3CCC[N@@H+]3C)n[nH]2)C1 ZINC001030349244 744068489 /nfs/dbraw/zinc/06/84/89/744068489.db2.gz FBPMXWFMRSZYLR-CQSZACIVSA-N 1 2 323.828 1.343 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3nc(C)oc3C)C[C@H]21 ZINC001187319946 744548430 /nfs/dbraw/zinc/54/84/30/744548430.db2.gz GBPKIGLKEXLXFZ-GOEBONIOSA-N 1 2 319.405 1.527 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3nc(C)oc3C)C[C@H]21 ZINC001187319946 744548431 /nfs/dbraw/zinc/54/84/31/744548431.db2.gz GBPKIGLKEXLXFZ-GOEBONIOSA-N 1 2 319.405 1.527 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C)s3)C[C@H]21 ZINC001187320362 744548529 /nfs/dbraw/zinc/54/85/29/744548529.db2.gz QCZBRLJQKGUADW-UONOGXRCSA-N 1 2 321.446 1.687 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C)s3)C[C@H]21 ZINC001187320362 744548532 /nfs/dbraw/zinc/54/85/32/744548532.db2.gz QCZBRLJQKGUADW-UONOGXRCSA-N 1 2 321.446 1.687 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001188081076 744657288 /nfs/dbraw/zinc/65/72/88/744657288.db2.gz WMWYDTJQSAONEM-QLFBSQMISA-N 1 2 317.437 1.765 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001188081076 744657290 /nfs/dbraw/zinc/65/72/90/744657290.db2.gz WMWYDTJQSAONEM-QLFBSQMISA-N 1 2 317.437 1.765 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001089251181 744788248 /nfs/dbraw/zinc/78/82/48/744788248.db2.gz UNFUYMBANDUHSC-NWDGAFQWSA-N 1 2 320.441 1.907 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CCC[N@H+](CC#Cc3ccccc3)C2)[nH]1 ZINC001006941002 751950045 /nfs/dbraw/zinc/95/00/45/751950045.db2.gz TZUYGHILSLGEMI-QGZVFWFLSA-N 1 2 322.412 1.964 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)[nH]1 ZINC001006941002 751950052 /nfs/dbraw/zinc/95/00/52/751950052.db2.gz TZUYGHILSLGEMI-QGZVFWFLSA-N 1 2 322.412 1.964 20 30 DDEDLO Cc1ncc(C(=O)N2CC[C@@H]([N@H+](C)CC#Cc3ccccc3)C2)[nH]1 ZINC001189371479 744878702 /nfs/dbraw/zinc/87/87/02/744878702.db2.gz RFZKWPXAXRCSCN-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1ncc(C(=O)N2CC[C@@H]([N@@H+](C)CC#Cc3ccccc3)C2)[nH]1 ZINC001189371479 744878705 /nfs/dbraw/zinc/87/87/05/744878705.db2.gz RFZKWPXAXRCSCN-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001189743546 744966127 /nfs/dbraw/zinc/96/61/27/744966127.db2.gz GMBACBQICBBURZ-MRXNPFEDSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001189743546 744966129 /nfs/dbraw/zinc/96/61/29/744966129.db2.gz GMBACBQICBBURZ-MRXNPFEDSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCC(=O)NCC[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001060462681 745141831 /nfs/dbraw/zinc/14/18/31/745141831.db2.gz IOYDIJLNVOPDDX-CQSZACIVSA-N 1 2 316.405 1.111 20 30 DDEDLO C=CCCCC(=O)NCC[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001060463054 745146284 /nfs/dbraw/zinc/14/62/84/745146284.db2.gz PQHKITAINQPZJY-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191106100 745424347 /nfs/dbraw/zinc/42/43/47/745424347.db2.gz UWYZDFSEHOKJDA-DJIMGWMZSA-N 1 2 300.402 1.246 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191106100 745424350 /nfs/dbraw/zinc/42/43/50/745424350.db2.gz UWYZDFSEHOKJDA-DJIMGWMZSA-N 1 2 300.402 1.246 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191106101 745424429 /nfs/dbraw/zinc/42/44/29/745424429.db2.gz UWYZDFSEHOKJDA-USXIJHARSA-N 1 2 300.402 1.246 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191106101 745424432 /nfs/dbraw/zinc/42/44/32/745424432.db2.gz UWYZDFSEHOKJDA-USXIJHARSA-N 1 2 300.402 1.246 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001191868291 745634041 /nfs/dbraw/zinc/63/40/41/745634041.db2.gz RAJVKUSEFOPLPU-LSDHHAIUSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001191868291 745634046 /nfs/dbraw/zinc/63/40/46/745634046.db2.gz RAJVKUSEFOPLPU-LSDHHAIUSA-N 1 2 319.453 1.933 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CCCN(CC#N)[C@H]3C)ccn12 ZINC000993356995 746025509 /nfs/dbraw/zinc/02/55/09/746025509.db2.gz XSDOGAFJSHRHIZ-DZGCQCFKSA-N 1 2 311.389 1.749 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cc(OC)ncn2)[C@H]1C ZINC000993368021 746039785 /nfs/dbraw/zinc/03/97/85/746039785.db2.gz ROGHKVFLQIRASE-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cc(OC)ncn2)[C@H]1C ZINC000993368021 746039790 /nfs/dbraw/zinc/03/97/90/746039790.db2.gz ROGHKVFLQIRASE-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@H]1O ZINC001193337264 746067728 /nfs/dbraw/zinc/06/77/28/746067728.db2.gz MQBHMJPXTALQEH-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@H]1O ZINC001193337264 746067735 /nfs/dbraw/zinc/06/77/35/746067735.db2.gz MQBHMJPXTALQEH-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO CCN(CCNc1nc(C)ccc1C#N)C(=O)Cn1cc[nH+]c1 ZINC001106790019 746083403 /nfs/dbraw/zinc/08/34/03/746083403.db2.gz MZPLKLSNOBGZIO-UHFFFAOYSA-N 1 2 312.377 1.419 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)Cc2nccs2)C1 ZINC001194381644 746361563 /nfs/dbraw/zinc/36/15/63/746361563.db2.gz KTHUVNIMJKQSIT-ZDUSSCGKSA-N 1 2 309.435 1.769 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2nccs2)C1 ZINC001194381644 746361570 /nfs/dbraw/zinc/36/15/70/746361570.db2.gz KTHUVNIMJKQSIT-ZDUSSCGKSA-N 1 2 309.435 1.769 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2CCC[N@H+](CC(=C)Cl)[C@H]2C)nn1 ZINC000993950208 746403746 /nfs/dbraw/zinc/40/37/46/746403746.db2.gz LOZXLTPOSHZGGB-QWHCGFSZSA-N 1 2 323.828 1.799 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2CCC[N@@H+](CC(=C)Cl)[C@H]2C)nn1 ZINC000993950208 746403749 /nfs/dbraw/zinc/40/37/49/746403749.db2.gz LOZXLTPOSHZGGB-QWHCGFSZSA-N 1 2 323.828 1.799 20 30 DDEDLO COCCC(=O)N1CCC[N@H+](CC#Cc2ccc(F)cc2)CC1 ZINC001194622163 746427990 /nfs/dbraw/zinc/42/79/90/746427990.db2.gz SNYYJVINOLBEFU-UHFFFAOYSA-N 1 2 318.392 1.748 20 30 DDEDLO COCCC(=O)N1CCC[N@@H+](CC#Cc2ccc(F)cc2)CC1 ZINC001194622163 746427991 /nfs/dbraw/zinc/42/79/91/746427991.db2.gz SNYYJVINOLBEFU-UHFFFAOYSA-N 1 2 318.392 1.748 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CCCN(C(=O)CSCC#N)CC1 ZINC001194918785 746486503 /nfs/dbraw/zinc/48/65/03/746486503.db2.gz AEGXUIIZKNPSCG-CYBMUJFWSA-N 1 2 319.434 1.329 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CCCN(C(=O)CSCC#N)CC1 ZINC001194918785 746486507 /nfs/dbraw/zinc/48/65/07/746486507.db2.gz AEGXUIIZKNPSCG-CYBMUJFWSA-N 1 2 319.434 1.329 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2c[nH+]ccc2N(C)C)[C@@H]1C ZINC000994270235 746555923 /nfs/dbraw/zinc/55/59/23/746555923.db2.gz QMLJCZWUWAXYJI-DZGCQCFKSA-N 1 2 300.406 1.364 20 30 DDEDLO C#CCN1CCC[C@@H](NC(=O)c2c[nH+]ccc2N(C)C)[C@@H]1C ZINC000994270235 746555928 /nfs/dbraw/zinc/55/59/28/746555928.db2.gz QMLJCZWUWAXYJI-DZGCQCFKSA-N 1 2 300.406 1.364 20 30 DDEDLO CCC(CC)NC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195321176 746564094 /nfs/dbraw/zinc/56/40/94/746564094.db2.gz DYBNMYGTGYYNOW-ZIAGYGMSSA-N 1 2 322.453 1.374 20 30 DDEDLO CCC(CC)NC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195321176 746564096 /nfs/dbraw/zinc/56/40/96/746564096.db2.gz DYBNMYGTGYYNOW-ZIAGYGMSSA-N 1 2 322.453 1.374 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2ccc(F)cn2)CC1 ZINC001195314404 746574371 /nfs/dbraw/zinc/57/43/71/746574371.db2.gz MLXAILWOMAFPTJ-UHFFFAOYSA-N 1 2 307.369 1.458 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2ccc(F)cn2)CC1 ZINC001195314404 746574376 /nfs/dbraw/zinc/57/43/76/746574376.db2.gz MLXAILWOMAFPTJ-UHFFFAOYSA-N 1 2 307.369 1.458 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCN(C(=O)C#CC(C)C)CC2)cn1 ZINC001195775256 746701756 /nfs/dbraw/zinc/70/17/56/746701756.db2.gz SMWASKQQGBGKAW-UHFFFAOYSA-N 1 2 315.417 1.784 20 30 DDEDLO COc1ccc(C[N@H+]2CCCN(C(=O)C#CC(C)C)CC2)cn1 ZINC001195775256 746701762 /nfs/dbraw/zinc/70/17/62/746701762.db2.gz SMWASKQQGBGKAW-UHFFFAOYSA-N 1 2 315.417 1.784 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC001195785264 746704258 /nfs/dbraw/zinc/70/42/58/746704258.db2.gz IPCVXXYMNPCRFI-UHFFFAOYSA-N 1 2 321.465 1.485 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC001195785264 746704260 /nfs/dbraw/zinc/70/42/60/746704260.db2.gz IPCVXXYMNPCRFI-UHFFFAOYSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)C(C)C)CC1 ZINC001195958011 746749491 /nfs/dbraw/zinc/74/94/91/746749491.db2.gz LECJVQIRRRHXIQ-HNNXBMFYSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C(C)(C)C(C)C)CC1 ZINC001195958011 746749493 /nfs/dbraw/zinc/74/94/93/746749493.db2.gz LECJVQIRRRHXIQ-HNNXBMFYSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@@H+](CC(=O)NCC=C)CC2)CCC1 ZINC001196138000 746780936 /nfs/dbraw/zinc/78/09/36/746780936.db2.gz HKROXQXOZOQDQD-UHFFFAOYSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@H+](CC(=O)NCC=C)CC2)CCC1 ZINC001196138000 746780939 /nfs/dbraw/zinc/78/09/39/746780939.db2.gz HKROXQXOZOQDQD-UHFFFAOYSA-N 1 2 317.433 1.017 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC001108164451 752132792 /nfs/dbraw/zinc/13/27/92/752132792.db2.gz WZGFABBTHXRPRO-QGZVFWFLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC001108164451 752132795 /nfs/dbraw/zinc/13/27/95/752132795.db2.gz WZGFABBTHXRPRO-QGZVFWFLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC001196582107 746913584 /nfs/dbraw/zinc/91/35/84/746913584.db2.gz ZVXJPOZOPVUUPU-HOTGVXAUSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC001196582107 746913586 /nfs/dbraw/zinc/91/35/86/746913586.db2.gz ZVXJPOZOPVUUPU-HOTGVXAUSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2(CCC)CCC2)CC1 ZINC001196838248 746976952 /nfs/dbraw/zinc/97/69/52/746976952.db2.gz DDVSGSGETCUGQL-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(CCC)CCC2)CC1 ZINC001196838248 746976954 /nfs/dbraw/zinc/97/69/54/746976954.db2.gz DDVSGSGETCUGQL-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@@H+](CC(=O)N(C)C)CC2)CC1 ZINC001197055170 747038343 /nfs/dbraw/zinc/03/83/43/747038343.db2.gz KUKCRUNUQJLDRK-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@H+](CC(=O)N(C)C)CC2)CC1 ZINC001197055170 747038356 /nfs/dbraw/zinc/03/83/56/747038356.db2.gz KUKCRUNUQJLDRK-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1cncc(C#N)n1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089489611 747083621 /nfs/dbraw/zinc/08/36/21/747083621.db2.gz JLMBJCWJVVTLOX-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)c1 ZINC001031603466 747150539 /nfs/dbraw/zinc/15/05/39/747150539.db2.gz QSFIBYDZAWXUEO-UHFFFAOYSA-N 1 2 319.368 1.620 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2cccnc2)C1 ZINC001108055536 747157635 /nfs/dbraw/zinc/15/76/35/747157635.db2.gz QIEFLCRVLFDHBN-KRWDZBQOSA-N 1 2 303.406 1.407 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2cccnc2)C1 ZINC001108055536 747157640 /nfs/dbraw/zinc/15/76/40/747157640.db2.gz QIEFLCRVLFDHBN-KRWDZBQOSA-N 1 2 303.406 1.407 20 30 DDEDLO CN(c1ccc(C#N)nc1)[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001061271476 747256401 /nfs/dbraw/zinc/25/64/01/747256401.db2.gz OHDOFACFDWYZQT-MRXNPFEDSA-N 1 2 324.388 1.346 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2c(F)cccc2F)CC1 ZINC001197933983 747312961 /nfs/dbraw/zinc/31/29/61/747312961.db2.gz IBLHPKLVFHOXHS-UHFFFAOYSA-N 1 2 322.355 1.763 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2c(F)cccc2F)CC1 ZINC001197933983 747312964 /nfs/dbraw/zinc/31/29/64/747312964.db2.gz IBLHPKLVFHOXHS-UHFFFAOYSA-N 1 2 322.355 1.763 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2nc3cccnc3s2)C1 ZINC001007505379 752178975 /nfs/dbraw/zinc/17/89/75/752178975.db2.gz AWGYOXPFEHUIPD-LBPRGKRZSA-N 1 2 314.414 1.909 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2nc3cccnc3s2)C1 ZINC001007505379 752178977 /nfs/dbraw/zinc/17/89/77/752178977.db2.gz AWGYOXPFEHUIPD-LBPRGKRZSA-N 1 2 314.414 1.909 20 30 DDEDLO CN(C(=O)C(F)F)[C@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001032857457 747474072 /nfs/dbraw/zinc/47/40/72/747474072.db2.gz WGDYBESJFUYZDX-LBPRGKRZSA-N 1 2 311.307 1.995 20 30 DDEDLO CN(C(=O)C(F)F)[C@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001032857457 747474076 /nfs/dbraw/zinc/47/40/76/747474076.db2.gz WGDYBESJFUYZDX-LBPRGKRZSA-N 1 2 311.307 1.995 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H](CC(C)C)C(N)=O)CC1 ZINC001198410715 747484057 /nfs/dbraw/zinc/48/40/57/747484057.db2.gz JXVMBWNFMHIXHH-CYBMUJFWSA-N 1 2 315.845 1.421 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H](CC(C)C)C(N)=O)CC1 ZINC001198410715 747484061 /nfs/dbraw/zinc/48/40/61/747484061.db2.gz JXVMBWNFMHIXHH-CYBMUJFWSA-N 1 2 315.845 1.421 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]([NH2+]CC(N)=O)c1ccccc1OC ZINC001198752210 747598927 /nfs/dbraw/zinc/59/89/27/747598927.db2.gz NQFUFGYXVSHETR-AWEZNQCLSA-N 1 2 319.405 1.284 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC=C)Cc2ccccc2)C1 ZINC001198904499 747656912 /nfs/dbraw/zinc/65/69/12/747656912.db2.gz GOCRTIMBRKXDBW-KZNAEPCWSA-N 1 2 312.413 1.216 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC=C)Cc2ccccc2)C1 ZINC001198904499 747656915 /nfs/dbraw/zinc/65/69/15/747656915.db2.gz GOCRTIMBRKXDBW-KZNAEPCWSA-N 1 2 312.413 1.216 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+]Cc1nnc(C(C)C)o1 ZINC001127605310 747723001 /nfs/dbraw/zinc/72/30/01/747723001.db2.gz XLPQUYNCHKCJDH-OLZOCXBDSA-N 1 2 322.409 1.380 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(C)CCC(C)CC2)C1 ZINC001199227567 747750850 /nfs/dbraw/zinc/75/08/50/747750850.db2.gz YQSXLECIWSPPDX-GARNVTTQSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(C)CCC(C)CC2)C1 ZINC001199227567 747750851 /nfs/dbraw/zinc/75/08/51/747750851.db2.gz YQSXLECIWSPPDX-GARNVTTQSA-N 1 2 322.449 1.014 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+][C@H](C)c1csnn1 ZINC001127609253 747765512 /nfs/dbraw/zinc/76/55/12/747765512.db2.gz SCDWKKDDNMWQMH-WZRBSPASSA-N 1 2 310.423 1.286 20 30 DDEDLO CN(C(=O)Cc1ccn[nH]1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001032979733 747823691 /nfs/dbraw/zinc/82/36/91/747823691.db2.gz FHDXOIZMOPQRCS-QGZVFWFLSA-N 1 2 323.400 1.557 20 30 DDEDLO CN(C(=O)Cc1ccn[nH]1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001032979733 747823697 /nfs/dbraw/zinc/82/36/97/747823697.db2.gz FHDXOIZMOPQRCS-QGZVFWFLSA-N 1 2 323.400 1.557 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(C)n2C)[C@@H](O)C1 ZINC001090063251 747972111 /nfs/dbraw/zinc/97/21/11/747972111.db2.gz FTZWWDPBWFPJBO-OCCSQVGLSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)n2C)[C@@H](O)C1 ZINC001090063251 747972120 /nfs/dbraw/zinc/97/21/20/747972120.db2.gz FTZWWDPBWFPJBO-OCCSQVGLSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)oc2C)[C@@H](O)C1 ZINC001090064189 747985447 /nfs/dbraw/zinc/98/54/47/747985447.db2.gz JVJVDTWIAUWNAA-KGLIPLIRSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)oc2C)[C@@H](O)C1 ZINC001090064189 747985449 /nfs/dbraw/zinc/98/54/49/747985449.db2.gz JVJVDTWIAUWNAA-KGLIPLIRSA-N 1 2 312.797 1.814 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001124488525 748278076 /nfs/dbraw/zinc/27/80/76/748278076.db2.gz PSQRGJGDGSNCPB-SECBINFHSA-N 1 2 318.324 1.194 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1ccnnc1 ZINC001032004100 748399846 /nfs/dbraw/zinc/39/98/46/748399846.db2.gz SKFGVXYASSITTC-UHFFFAOYSA-N 1 2 324.359 1.329 20 30 DDEDLO Cc1ncc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)n1C ZINC001004523614 748511281 /nfs/dbraw/zinc/51/12/81/748511281.db2.gz SLABNOAWZHZMQV-OAHLLOKOSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1ncc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)n1C ZINC001004523614 748511287 /nfs/dbraw/zinc/51/12/87/748511287.db2.gz SLABNOAWZHZMQV-OAHLLOKOSA-N 1 2 315.421 1.569 20 30 DDEDLO CCn1cnc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)c1 ZINC001032132160 748722132 /nfs/dbraw/zinc/72/21/32/748722132.db2.gz MBSQOHILKRZUFQ-UHFFFAOYSA-N 1 2 323.400 1.636 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108321069 761898566 /nfs/dbraw/zinc/89/85/66/761898566.db2.gz LAUQGSRXGGPCOJ-LBPRGKRZSA-N 1 2 314.393 1.474 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110770140 748996978 /nfs/dbraw/zinc/99/69/78/748996978.db2.gz SKQYKFXTCGCQQB-MLGOLLRUSA-N 1 2 304.394 1.461 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cnns4)C[C@H]32)CCC1 ZINC001114398061 749139305 /nfs/dbraw/zinc/13/93/05/749139305.db2.gz YNHWYQXIHNUECQ-WDNDVIMCSA-N 1 2 316.430 1.278 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cnns4)C[C@H]32)CCC1 ZINC001114398061 749139311 /nfs/dbraw/zinc/13/93/11/749139311.db2.gz YNHWYQXIHNUECQ-WDNDVIMCSA-N 1 2 316.430 1.278 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CC3CCC3)nn2)C1 ZINC001107153184 749430183 /nfs/dbraw/zinc/43/01/83/749430183.db2.gz WROSAGIEILEQFB-UHFFFAOYSA-N 1 2 303.410 1.517 20 30 DDEDLO CN(C(=O)c1cnon1)[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001033523841 749468703 /nfs/dbraw/zinc/46/87/03/749468703.db2.gz AKJHJPZOMYJAHM-JTQLQIEISA-N 1 2 317.374 1.349 20 30 DDEDLO CN(C(=O)c1cnon1)[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001033523841 749468707 /nfs/dbraw/zinc/46/87/07/749468707.db2.gz AKJHJPZOMYJAHM-JTQLQIEISA-N 1 2 317.374 1.349 20 30 DDEDLO C#CCOc1ncccc1C(=O)N(C)[C@@H]1CC[N@H+](CCF)C1 ZINC001033589536 749574432 /nfs/dbraw/zinc/57/44/32/749574432.db2.gz KSDLYBSCFZLODP-CYBMUJFWSA-N 1 2 305.353 1.209 20 30 DDEDLO C#CCOc1ncccc1C(=O)N(C)[C@@H]1CC[N@@H+](CCF)C1 ZINC001033589536 749574435 /nfs/dbraw/zinc/57/44/35/749574435.db2.gz KSDLYBSCFZLODP-CYBMUJFWSA-N 1 2 305.353 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(C)c1N)C2 ZINC001095341435 749575483 /nfs/dbraw/zinc/57/54/83/749575483.db2.gz AIQJAWGHSZDTAR-USWWRNFRSA-N 1 2 309.801 1.090 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(C)c1N)C2 ZINC001095341435 749575487 /nfs/dbraw/zinc/57/54/87/749575487.db2.gz AIQJAWGHSZDTAR-USWWRNFRSA-N 1 2 309.801 1.090 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001033616774 749612005 /nfs/dbraw/zinc/61/20/05/749612005.db2.gz LPXPCBBOADIPRO-UONOGXRCSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001033616774 749612008 /nfs/dbraw/zinc/61/20/08/749612008.db2.gz LPXPCBBOADIPRO-UONOGXRCSA-N 1 2 313.829 1.140 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@](C)(CNc2cc[nH+]c(C)n2)C1 ZINC001110848066 749755362 /nfs/dbraw/zinc/75/53/62/749755362.db2.gz CYPSYNMGHZWYET-DYVFJYSZSA-N 1 2 316.405 1.474 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033790828 749902796 /nfs/dbraw/zinc/90/27/96/749902796.db2.gz FUSZOENOZIOHBC-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033790828 749902793 /nfs/dbraw/zinc/90/27/93/749902793.db2.gz FUSZOENOZIOHBC-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(F)cc2)C1 ZINC001108375029 762006114 /nfs/dbraw/zinc/00/61/14/762006114.db2.gz TVEAMELELJEFFA-QGZVFWFLSA-N 1 2 306.381 1.761 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(F)cc2)C1 ZINC001108375029 762006131 /nfs/dbraw/zinc/00/61/31/762006131.db2.gz TVEAMELELJEFFA-QGZVFWFLSA-N 1 2 306.381 1.761 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC/C=C/CNc1cc[nH+]c(C)n1 ZINC001107530276 750086978 /nfs/dbraw/zinc/08/69/78/750086978.db2.gz ZUJAEGMCGRKEFB-WEHDMVHDSA-N 1 2 302.378 1.460 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001033951248 750174011 /nfs/dbraw/zinc/17/40/11/750174011.db2.gz CRRZQUFOOYTUNL-NSHDSACASA-N 1 2 324.812 1.403 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001033951248 750174013 /nfs/dbraw/zinc/17/40/13/750174013.db2.gz CRRZQUFOOYTUNL-NSHDSACASA-N 1 2 324.812 1.403 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H](N(CC)C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001033953687 750176203 /nfs/dbraw/zinc/17/62/03/750176203.db2.gz WZMXSPCIWIRJJZ-LLVKDONJSA-N 1 2 310.348 1.907 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001033953687 750176210 /nfs/dbraw/zinc/17/62/10/750176210.db2.gz WZMXSPCIWIRJJZ-LLVKDONJSA-N 1 2 310.348 1.907 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cncc(OC)n2)C1 ZINC001033976686 750205770 /nfs/dbraw/zinc/20/57/70/750205770.db2.gz IOPFKVJKYBIRQZ-GFCCVEGCSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cncc(OC)n2)C1 ZINC001033976686 750205774 /nfs/dbraw/zinc/20/57/74/750205774.db2.gz IOPFKVJKYBIRQZ-GFCCVEGCSA-N 1 2 324.812 1.774 20 30 DDEDLO CCN(C(=O)c1nc[nH]n1)[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001033992188 750228333 /nfs/dbraw/zinc/22/83/33/750228333.db2.gz GMVIMXSPHVDGNP-MRXNPFEDSA-N 1 2 323.400 1.393 20 30 DDEDLO CCN(C(=O)c1nc[nH]n1)[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001033992188 750228340 /nfs/dbraw/zinc/22/83/40/750228340.db2.gz GMVIMXSPHVDGNP-MRXNPFEDSA-N 1 2 323.400 1.393 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CC)CCC1)C2 ZINC001110926433 750231162 /nfs/dbraw/zinc/23/11/62/750231162.db2.gz DLOBCAICXNIKEB-KFWWJZLASA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CC)CCC1)C2 ZINC001110926433 750231167 /nfs/dbraw/zinc/23/11/67/750231167.db2.gz DLOBCAICXNIKEB-KFWWJZLASA-N 1 2 319.449 1.590 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001110933344 750240316 /nfs/dbraw/zinc/24/03/16/750240316.db2.gz KCSIOIFTQHJWPC-MIGQKNRLSA-N 1 2 319.449 1.589 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001110933344 750240323 /nfs/dbraw/zinc/24/03/23/750240323.db2.gz KCSIOIFTQHJWPC-MIGQKNRLSA-N 1 2 319.449 1.589 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CC(=C)C)C2 ZINC001110934079 750240383 /nfs/dbraw/zinc/24/03/83/750240383.db2.gz XXOPQLGLZPEOLH-FPCVCCKLSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CC(=C)C)C2 ZINC001110934079 750240388 /nfs/dbraw/zinc/24/03/88/750240388.db2.gz XXOPQLGLZPEOLH-FPCVCCKLSA-N 1 2 317.433 1.060 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)C)n(C)n1 ZINC001032397078 750565380 /nfs/dbraw/zinc/56/53/80/750565380.db2.gz CSPGMVFOJGMXQE-KBPBESRZSA-N 1 2 300.406 1.466 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)C)n(C)n1 ZINC001032397078 750565383 /nfs/dbraw/zinc/56/53/83/750565383.db2.gz CSPGMVFOJGMXQE-KBPBESRZSA-N 1 2 300.406 1.466 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COc2cc(F)ccc2C1 ZINC001032401076 750578126 /nfs/dbraw/zinc/57/81/26/750578126.db2.gz FALIBLSOLLROQM-BPUTZDHNSA-N 1 2 314.360 1.295 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COc2cc(F)ccc2C1 ZINC001032401076 750578132 /nfs/dbraw/zinc/57/81/32/750578132.db2.gz FALIBLSOLLROQM-BPUTZDHNSA-N 1 2 314.360 1.295 20 30 DDEDLO Cc1cc(C)c(C(=O)NCC[C@H](C)NC(=O)[C@@H](C)C#N)c(C)[nH+]1 ZINC001077774792 750616847 /nfs/dbraw/zinc/61/68/47/750616847.db2.gz QOGIMLAUJFMRLY-RYUDHWBXSA-N 1 2 316.405 1.791 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](CCC)OCC)C1 ZINC001108148190 750778811 /nfs/dbraw/zinc/77/88/11/750778811.db2.gz JHUVFQZSAXTQEP-RDJZCZTQSA-N 1 2 310.438 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](CCC)OCC)C1 ZINC001108148190 750778814 /nfs/dbraw/zinc/77/88/14/750778814.db2.gz JHUVFQZSAXTQEP-RDJZCZTQSA-N 1 2 310.438 1.422 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)n[nH]c1CC ZINC001032438919 750834584 /nfs/dbraw/zinc/83/45/84/750834584.db2.gz GWSUGSNDJCNPEX-QWRGUYRKSA-N 1 2 306.797 1.548 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)n[nH]c1CC ZINC001032438919 750834592 /nfs/dbraw/zinc/83/45/92/750834592.db2.gz GWSUGSNDJCNPEX-QWRGUYRKSA-N 1 2 306.797 1.548 20 30 DDEDLO CN(CCCN(C)C(=O)CCc1[nH]cc[nH+]1)C(=O)C#CC1CC1 ZINC001067299197 762073489 /nfs/dbraw/zinc/07/34/89/762073489.db2.gz MZJIXMIOYJHDKS-UHFFFAOYSA-N 1 2 316.405 1.063 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cc(C)c(Cl)cn1 ZINC001032473416 750952566 /nfs/dbraw/zinc/95/25/66/750952566.db2.gz PJGFOKZADCVVLY-KBPBESRZSA-N 1 2 303.793 1.504 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cc(C)c(Cl)cn1 ZINC001032473416 750952571 /nfs/dbraw/zinc/95/25/71/750952571.db2.gz PJGFOKZADCVVLY-KBPBESRZSA-N 1 2 303.793 1.504 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(CC2CC2)no1 ZINC001034886609 750983913 /nfs/dbraw/zinc/98/39/13/750983913.db2.gz LOKFNWIRNQXLBB-DGCLKSJQSA-N 1 2 317.393 1.262 20 30 DDEDLO CCCCc1noc(C[NH2+]C[C@H]2CCCN2C(=O)[C@@H](C)C#N)n1 ZINC001034886871 750984095 /nfs/dbraw/zinc/98/40/95/750984095.db2.gz PGPRVWCCYMKGTD-QWHCGFSZSA-N 1 2 319.409 1.652 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114726283 751027480 /nfs/dbraw/zinc/02/74/80/751027480.db2.gz JYBDAQBPJSVTLR-BSXFFOKHSA-N 1 2 314.433 1.934 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114726283 751027487 /nfs/dbraw/zinc/02/74/87/751027487.db2.gz JYBDAQBPJSVTLR-BSXFFOKHSA-N 1 2 314.433 1.934 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc2[nH]c(CC)nc21 ZINC001032538308 751223277 /nfs/dbraw/zinc/22/32/77/751223277.db2.gz NXKYYQJXMCGQME-RYUDHWBXSA-N 1 2 309.373 1.052 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc2[nH]c(CC)nc21 ZINC001032538308 751223284 /nfs/dbraw/zinc/22/32/84/751223284.db2.gz NXKYYQJXMCGQME-RYUDHWBXSA-N 1 2 309.373 1.052 20 30 DDEDLO N#CCN1CCC2(C1)CCN(C(=O)c1cccc3[nH+]ccn31)CC2 ZINC001035205003 751286429 /nfs/dbraw/zinc/28/64/29/751286429.db2.gz UUKRDSSSHQMFTD-UHFFFAOYSA-N 1 2 323.400 1.786 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2c[nH+]cn2C)cc1 ZINC001032554155 751287892 /nfs/dbraw/zinc/28/78/92/751287892.db2.gz SVBUYFQLIRYNJA-IRXDYDNUSA-N 1 2 320.396 1.500 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](C)C(=O)N(C)C ZINC001032557907 751300265 /nfs/dbraw/zinc/30/02/65/751300265.db2.gz WMKIIZPTYAHJQC-RDBSUJKOSA-N 1 2 307.438 1.351 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](C)C(=O)N(C)C ZINC001032557907 751300271 /nfs/dbraw/zinc/30/02/71/751300271.db2.gz WMKIIZPTYAHJQC-RDBSUJKOSA-N 1 2 307.438 1.351 20 30 DDEDLO C#CCC[N@H+]1CCC[C@@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001008160938 752585593 /nfs/dbraw/zinc/58/55/93/752585593.db2.gz ZPQAHBJZWIILOD-MRXNPFEDSA-N 1 2 323.400 1.689 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001008160938 752585596 /nfs/dbraw/zinc/58/55/96/752585596.db2.gz ZPQAHBJZWIILOD-MRXNPFEDSA-N 1 2 323.400 1.689 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nnn(C(C)C)c1C ZINC001032702975 752760011 /nfs/dbraw/zinc/76/00/11/752760011.db2.gz GRMVSNZUMKXDFB-KBPBESRZSA-N 1 2 301.394 1.089 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nnn(C(C)C)c1C ZINC001032702975 752760014 /nfs/dbraw/zinc/76/00/14/752760014.db2.gz GRMVSNZUMKXDFB-KBPBESRZSA-N 1 2 301.394 1.089 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)nc1OCCC ZINC001032708906 752786210 /nfs/dbraw/zinc/78/62/10/752786210.db2.gz HTEPPVHQEDCBKR-GJZGRUSLSA-N 1 2 313.401 1.711 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)nc1OCCC ZINC001032708906 752786215 /nfs/dbraw/zinc/78/62/15/752786215.db2.gz HTEPPVHQEDCBKR-GJZGRUSLSA-N 1 2 313.401 1.711 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1noc2c1C[C@@H](C)CC2 ZINC001032711059 752808511 /nfs/dbraw/zinc/80/85/11/752808511.db2.gz GEJQIBHXTXXZNC-AVGNSLFASA-N 1 2 301.390 1.884 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1noc2c1C[C@@H](C)CC2 ZINC001032711059 752808517 /nfs/dbraw/zinc/80/85/17/752808517.db2.gz GEJQIBHXTXXZNC-AVGNSLFASA-N 1 2 301.390 1.884 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)cccc2F)C1 ZINC001108441045 762193715 /nfs/dbraw/zinc/19/37/15/762193715.db2.gz HUNGRAKMNPKYEX-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)cccc2F)C1 ZINC001108441045 762193717 /nfs/dbraw/zinc/19/37/17/762193717.db2.gz HUNGRAKMNPKYEX-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C(N)=O)cs2)C1 ZINC001009648537 753297118 /nfs/dbraw/zinc/29/71/18/753297118.db2.gz HPWIFQNUOGNECA-LBPRGKRZSA-N 1 2 305.403 1.065 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2cc(C(N)=O)cs2)C1 ZINC001009648537 753297122 /nfs/dbraw/zinc/29/71/22/753297122.db2.gz HPWIFQNUOGNECA-LBPRGKRZSA-N 1 2 305.403 1.065 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CCCC)c1C ZINC001032722885 753355734 /nfs/dbraw/zinc/35/57/34/753355734.db2.gz IRECAYBNXJEXFN-GJZGRUSLSA-N 1 2 300.406 1.524 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CCCC)c1C ZINC001032722885 753355743 /nfs/dbraw/zinc/35/57/43/753355743.db2.gz IRECAYBNXJEXFN-GJZGRUSLSA-N 1 2 300.406 1.524 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ccncc1C#N ZINC001039767865 762208329 /nfs/dbraw/zinc/20/83/29/762208329.db2.gz RNGSMTRQAKNPMR-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(F)ccc1F ZINC001032744072 753436206 /nfs/dbraw/zinc/43/62/06/753436206.db2.gz SWJNSWSZLLXDLT-STQMWFEESA-N 1 2 306.312 1.262 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(F)ccc1F ZINC001032744072 753436209 /nfs/dbraw/zinc/43/62/09/753436209.db2.gz SWJNSWSZLLXDLT-STQMWFEESA-N 1 2 306.312 1.262 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(F)ccc2F)C1 ZINC001107999716 753442310 /nfs/dbraw/zinc/44/23/10/753442310.db2.gz DJWMVFJMQHVOHE-INIZCTEOSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(F)ccc2F)C1 ZINC001107999716 753442311 /nfs/dbraw/zinc/44/23/11/753442311.db2.gz DJWMVFJMQHVOHE-INIZCTEOSA-N 1 2 310.344 1.972 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)C2CCOCC2)C1 ZINC001108446895 762233102 /nfs/dbraw/zinc/23/31/02/762233102.db2.gz MTGHZUYVIZIFEI-MAUKXSAKSA-N 1 2 322.449 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)C2CCOCC2)C1 ZINC001108446895 762233106 /nfs/dbraw/zinc/23/31/06/762233106.db2.gz MTGHZUYVIZIFEI-MAUKXSAKSA-N 1 2 322.449 1.280 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)C2CCOCC2)C1 ZINC001108447848 762234398 /nfs/dbraw/zinc/23/43/98/762234398.db2.gz XWAVHHKYEKGCBW-RHSMWYFYSA-N 1 2 310.438 1.442 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)C2CCOCC2)C1 ZINC001108447848 762234401 /nfs/dbraw/zinc/23/44/01/762234401.db2.gz XWAVHHKYEKGCBW-RHSMWYFYSA-N 1 2 310.438 1.442 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)nn1C ZINC001010341967 753783647 /nfs/dbraw/zinc/78/36/47/753783647.db2.gz NAESHOHAABWGNK-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)nn1C ZINC001010341967 753783649 /nfs/dbraw/zinc/78/36/49/753783649.db2.gz NAESHOHAABWGNK-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CC[C@H](C)C3)C1 ZINC001078160209 753805169 /nfs/dbraw/zinc/80/51/69/753805169.db2.gz PDRKUCMOWRBOOJ-IACUBPJLSA-N 1 2 318.442 1.281 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(s2)CC[C@H](C)C3)C1 ZINC001078160209 753805176 /nfs/dbraw/zinc/80/51/76/753805176.db2.gz PDRKUCMOWRBOOJ-IACUBPJLSA-N 1 2 318.442 1.281 20 30 DDEDLO C=C1CCC(C(=O)NCC[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001078215914 753848112 /nfs/dbraw/zinc/84/81/12/753848112.db2.gz PTTIJHXKFRKICQ-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001010644869 754076236 /nfs/dbraw/zinc/07/62/36/754076236.db2.gz QQJTXVKNYDYWFP-WCQYABFASA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001010644869 754076239 /nfs/dbraw/zinc/07/62/39/754076239.db2.gz QQJTXVKNYDYWFP-WCQYABFASA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)c(C)o1 ZINC001010653960 754081681 /nfs/dbraw/zinc/08/16/81/754081681.db2.gz CZGPFEDENJBLAQ-CYBMUJFWSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)c(C)o1 ZINC001010653960 754081684 /nfs/dbraw/zinc/08/16/84/754081684.db2.gz CZGPFEDENJBLAQ-CYBMUJFWSA-N 1 2 313.361 1.496 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)[nH]c(=O)n2C ZINC001032804683 754110441 /nfs/dbraw/zinc/11/04/41/754110441.db2.gz PVVBBTBRGRFTLS-KBPBESRZSA-N 1 2 324.384 1.201 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)[nH]c(=O)n2C ZINC001032804683 754110445 /nfs/dbraw/zinc/11/04/45/754110445.db2.gz PVVBBTBRGRFTLS-KBPBESRZSA-N 1 2 324.384 1.201 20 30 DDEDLO Cc1nc(NC[C@H]2CCCN2C(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001063458766 754175596 /nfs/dbraw/zinc/17/55/96/754175596.db2.gz YGCJZUBYIJIRBL-CQSZACIVSA-N 1 2 310.361 1.702 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN2C(=O)Cn2cc[nH+]c2)nc1 ZINC001063496512 754193244 /nfs/dbraw/zinc/19/32/44/754193244.db2.gz DKLSZMAUONZPRU-CQSZACIVSA-N 1 2 310.361 1.253 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCN(C(=O)CCc3c[nH]c[nH+]3)CC2)C1 ZINC001011280184 754420056 /nfs/dbraw/zinc/42/00/56/754420056.db2.gz CWPZSKBVARMWNO-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCN(C(=O)CCc3c[nH+]c[nH]3)CC2)C1 ZINC001011280184 754420060 /nfs/dbraw/zinc/42/00/60/754420060.db2.gz CWPZSKBVARMWNO-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccncc2C#N)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064791193 754858085 /nfs/dbraw/zinc/85/80/85/754858085.db2.gz FRWAZFNATDONJO-JSGCOSHPSA-N 1 2 324.388 1.132 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)co1 ZINC001079537963 755409457 /nfs/dbraw/zinc/40/94/57/755409457.db2.gz MQPCVYPYFOFXNM-MEBBXXQBSA-N 1 2 313.361 1.433 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)co1 ZINC001079537963 755409458 /nfs/dbraw/zinc/40/94/58/755409458.db2.gz MQPCVYPYFOFXNM-MEBBXXQBSA-N 1 2 313.361 1.433 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(CC)o2)[C@@H](O)C1 ZINC001090366220 755568911 /nfs/dbraw/zinc/56/89/11/755568911.db2.gz XXLHRUCQSKFBQR-STQMWFEESA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(CC)o2)[C@@H](O)C1 ZINC001090366220 755568913 /nfs/dbraw/zinc/56/89/13/755568913.db2.gz XXLHRUCQSKFBQR-STQMWFEESA-N 1 2 312.797 1.760 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)C2=COCCO2)s1 ZINC001038033986 755655334 /nfs/dbraw/zinc/65/53/34/755655334.db2.gz WYTIAEYQLOWKEB-NSHDSACASA-N 1 2 319.386 1.198 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)C2=COCCO2)s1 ZINC001038033986 755655340 /nfs/dbraw/zinc/65/53/40/755655340.db2.gz WYTIAEYQLOWKEB-NSHDSACASA-N 1 2 319.386 1.198 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001014430290 755733301 /nfs/dbraw/zinc/73/33/01/755733301.db2.gz AYIYDTHRLVCMQL-VXGBXAGGSA-N 1 2 308.813 1.773 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001014430290 755733302 /nfs/dbraw/zinc/73/33/02/755733302.db2.gz AYIYDTHRLVCMQL-VXGBXAGGSA-N 1 2 308.813 1.773 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3nccn3C)C[C@H]2C)cc1 ZINC001080244692 755781816 /nfs/dbraw/zinc/78/18/16/755781816.db2.gz HATGGABPCCFWHW-RHSMWYFYSA-N 1 2 322.412 1.652 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3nccn3C)C[C@H]2C)cc1 ZINC001080244692 755781818 /nfs/dbraw/zinc/78/18/18/755781818.db2.gz HATGGABPCCFWHW-RHSMWYFYSA-N 1 2 322.412 1.652 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001080305678 755815763 /nfs/dbraw/zinc/81/57/63/755815763.db2.gz ZPNVAJCEVVQEKQ-IXPVHAAZSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001080305678 755815766 /nfs/dbraw/zinc/81/57/66/755815766.db2.gz ZPNVAJCEVVQEKQ-IXPVHAAZSA-N 1 2 313.829 1.092 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067084448 755841668 /nfs/dbraw/zinc/84/16/68/755841668.db2.gz MVJJPMHYXHCSHD-WFASDCNBSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cnnn3C)C[C@H]2C)C1 ZINC001080404541 755898246 /nfs/dbraw/zinc/89/82/46/755898246.db2.gz MWCCOXTXPCHCLL-TZMCWYRMSA-N 1 2 303.410 1.108 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cnnn3C)C[C@H]2C)C1 ZINC001080404541 755898248 /nfs/dbraw/zinc/89/82/48/755898248.db2.gz MWCCOXTXPCHCLL-TZMCWYRMSA-N 1 2 303.410 1.108 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cncc(OC)n2)C1 ZINC001080563348 755983786 /nfs/dbraw/zinc/98/37/86/755983786.db2.gz MVIRRUIMZXFVMW-BXKDBHETSA-N 1 2 310.785 1.288 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cncc(OC)n2)C1 ZINC001080563348 755983788 /nfs/dbraw/zinc/98/37/88/755983788.db2.gz MVIRRUIMZXFVMW-BXKDBHETSA-N 1 2 310.785 1.288 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(Cl)cc2)C[C@H]1OC ZINC001081241895 756277822 /nfs/dbraw/zinc/27/78/22/756277822.db2.gz OVBHROQILFDHKA-HZPDHXFCSA-N 1 2 320.820 1.917 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(Cl)cc2)C[C@H]1OC ZINC001081241895 756277825 /nfs/dbraw/zinc/27/78/25/756277825.db2.gz OVBHROQILFDHKA-HZPDHXFCSA-N 1 2 320.820 1.917 20 30 DDEDLO Cc1cnn(C)c1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015568505 756380308 /nfs/dbraw/zinc/38/03/08/756380308.db2.gz LNUWPHNEUKXEAX-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cnn(C)c1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015568505 756380309 /nfs/dbraw/zinc/38/03/09/756380309.db2.gz LNUWPHNEUKXEAX-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(F)c2Cl)[C@H](OC)C1 ZINC001082172957 756661473 /nfs/dbraw/zinc/66/14/73/756661473.db2.gz REHUTEBNCNZLGY-ZIAGYGMSSA-N 1 2 324.783 1.931 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(F)c2Cl)[C@H](OC)C1 ZINC001082172957 756661478 /nfs/dbraw/zinc/66/14/78/756661478.db2.gz REHUTEBNCNZLGY-ZIAGYGMSSA-N 1 2 324.783 1.931 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](F)c2ccccc2)[C@H](OC)C1 ZINC001082182771 756676489 /nfs/dbraw/zinc/67/64/89/756676489.db2.gz WFKJEDJQJXQGOH-OAGGEKHMSA-N 1 2 304.365 1.536 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](F)c2ccccc2)[C@H](OC)C1 ZINC001082182771 756676492 /nfs/dbraw/zinc/67/64/92/756676492.db2.gz WFKJEDJQJXQGOH-OAGGEKHMSA-N 1 2 304.365 1.536 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+]([C@@H](C)c3cnccn3)C2)nc1 ZINC001016015976 756724366 /nfs/dbraw/zinc/72/43/66/756724366.db2.gz DZOXFJMBKURLFV-DZGCQCFKSA-N 1 2 321.384 1.418 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+]([C@@H](C)c3cnccn3)C2)nc1 ZINC001016015976 756724370 /nfs/dbraw/zinc/72/43/70/756724370.db2.gz DZOXFJMBKURLFV-DZGCQCFKSA-N 1 2 321.384 1.418 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2CCN(C(C)=O)CC2)C1 ZINC001016216747 756837124 /nfs/dbraw/zinc/83/71/24/756837124.db2.gz PIFGVXVJRVPDSE-AWEZNQCLSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2CCN(C(C)=O)CC2)C1 ZINC001016216747 756837129 /nfs/dbraw/zinc/83/71/29/756837129.db2.gz PIFGVXVJRVPDSE-AWEZNQCLSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccncc2CC)[C@H](O)C1 ZINC001090379019 756921753 /nfs/dbraw/zinc/92/17/53/756921753.db2.gz UYGJIZSVRJIUQV-HUUCEWRRSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccncc2CC)[C@H](O)C1 ZINC001090379019 756921755 /nfs/dbraw/zinc/92/17/55/756921755.db2.gz UYGJIZSVRJIUQV-HUUCEWRRSA-N 1 2 323.824 1.562 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sc3nccn3c1C)C2 ZINC001097239506 757011261 /nfs/dbraw/zinc/01/12/61/757011261.db2.gz YMHNNEWCCNTJAN-UPJWGTAASA-N 1 2 314.414 1.673 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sc3nccn3c1C)C2 ZINC001097239506 757011274 /nfs/dbraw/zinc/01/12/74/757011274.db2.gz YMHNNEWCCNTJAN-UPJWGTAASA-N 1 2 314.414 1.673 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@@H](Nc2ccncc2C#N)C1 ZINC001097257035 757024094 /nfs/dbraw/zinc/02/40/94/757024094.db2.gz FOAXBACSHULGIY-BNOWGMLFSA-N 1 2 324.388 1.036 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@@H](Nc2ccncc2C#N)C1 ZINC001097257035 757024100 /nfs/dbraw/zinc/02/41/00/757024100.db2.gz FOAXBACSHULGIY-BNOWGMLFSA-N 1 2 324.388 1.036 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001097308122 757071873 /nfs/dbraw/zinc/07/18/73/757071873.db2.gz PFMPMNHFPFXFPK-AWEZNQCLSA-N 1 2 324.388 1.183 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001097349029 757102416 /nfs/dbraw/zinc/10/24/16/757102416.db2.gz LQHKBVKXUZKOTB-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO CC[C@H](F)C[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C#N)[nH]3)[C@H]2C1 ZINC001083054541 757106980 /nfs/dbraw/zinc/10/69/80/757106980.db2.gz NHHUYWLFUNPBMZ-TUKIKUTGSA-N 1 2 320.368 1.160 20 30 DDEDLO CC[C@H](F)C[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C#N)[nH]3)[C@H]2C1 ZINC001083054541 757106984 /nfs/dbraw/zinc/10/69/84/757106984.db2.gz NHHUYWLFUNPBMZ-TUKIKUTGSA-N 1 2 320.368 1.160 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4cn[nH]c43)[C@H]2C1 ZINC001083159727 757168644 /nfs/dbraw/zinc/16/86/44/757168644.db2.gz QJZSGMXWYHRBPM-JKSUJKDBSA-N 1 2 324.384 1.111 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4cn[nH]c43)[C@H]2C1 ZINC001083159727 757168647 /nfs/dbraw/zinc/16/86/47/757168647.db2.gz QJZSGMXWYHRBPM-JKSUJKDBSA-N 1 2 324.384 1.111 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4c[nH]nc43)[C@H]2C1 ZINC001083159727 757168648 /nfs/dbraw/zinc/16/86/48/757168648.db2.gz QJZSGMXWYHRBPM-JKSUJKDBSA-N 1 2 324.384 1.111 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4c[nH]nc43)[C@H]2C1 ZINC001083159727 757168650 /nfs/dbraw/zinc/16/86/50/757168650.db2.gz QJZSGMXWYHRBPM-JKSUJKDBSA-N 1 2 324.384 1.111 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)(C)C)cc2)[C@@H](O)C1 ZINC001083983603 757207025 /nfs/dbraw/zinc/20/70/25/757207025.db2.gz DQZPEDKIZCYDLE-CVEARBPZSA-N 1 2 300.402 1.392 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)(C)C)cc2)[C@@H](O)C1 ZINC001083983603 757207033 /nfs/dbraw/zinc/20/70/33/757207033.db2.gz DQZPEDKIZCYDLE-CVEARBPZSA-N 1 2 300.402 1.392 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3[nH]ccc3s2)[C@@H](O)C1 ZINC001083996121 757215949 /nfs/dbraw/zinc/21/59/49/757215949.db2.gz JABOBKHQNGXLED-NEPJUHHUSA-N 1 2 303.387 1.028 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3[nH]ccc3s2)[C@@H](O)C1 ZINC001083996121 757215951 /nfs/dbraw/zinc/21/59/51/757215951.db2.gz JABOBKHQNGXLED-NEPJUHHUSA-N 1 2 303.387 1.028 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2OC)[C@@H](O)C1 ZINC001084024624 757229855 /nfs/dbraw/zinc/22/98/55/757229855.db2.gz ICKFRHUHOSAMAH-KGLIPLIRSA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2OC)[C@@H](O)C1 ZINC001084024624 757229862 /nfs/dbraw/zinc/22/98/62/757229862.db2.gz ICKFRHUHOSAMAH-KGLIPLIRSA-N 1 2 322.792 1.147 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001097584083 757275917 /nfs/dbraw/zinc/27/59/17/757275917.db2.gz ZXGJXEISMWCKFG-CXKPUVAFSA-N 1 2 300.406 1.794 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]3CN(C(=O)C(F)F)[C@@H]3C2)cc1F ZINC001084141309 757310088 /nfs/dbraw/zinc/31/00/88/757310088.db2.gz BJABDIHRTZMGNB-TZMCWYRMSA-N 1 2 323.318 1.995 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]3CN(C(=O)C(F)F)[C@@H]3C2)cc1F ZINC001084141309 757310098 /nfs/dbraw/zinc/31/00/98/757310098.db2.gz BJABDIHRTZMGNB-TZMCWYRMSA-N 1 2 323.318 1.995 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@H]2CCCN(C(=O)C#CC3CC3)C2)[nH]1 ZINC001017126621 757656390 /nfs/dbraw/zinc/65/63/90/757656390.db2.gz JMDOIVZBTVSWCN-OAHLLOKOSA-N 1 2 315.421 1.197 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@H]2CCCN(C(=O)C#CC3CC3)C2)[nH]1 ZINC001017126621 757656394 /nfs/dbraw/zinc/65/63/94/757656394.db2.gz JMDOIVZBTVSWCN-OAHLLOKOSA-N 1 2 315.421 1.197 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2ccncc2Br)CCCN1O ZINC001119450091 757692143 /nfs/dbraw/zinc/69/21/43/757692143.db2.gz MBDPTUSFCSNDMH-SNVBAGLBSA-N 1 2 300.156 1.314 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3sc(CC)nc3C)[C@@H]2C1 ZINC001084717885 757810123 /nfs/dbraw/zinc/81/01/23/757810123.db2.gz MUXHXPAEFXABBQ-CHWSQXEVSA-N 1 2 303.431 1.793 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3sc(CC)nc3C)[C@@H]2C1 ZINC001084717885 757810131 /nfs/dbraw/zinc/81/01/31/757810131.db2.gz MUXHXPAEFXABBQ-CHWSQXEVSA-N 1 2 303.431 1.793 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)(C)C)[C@H](O)C2)on1 ZINC001099826367 757897938 /nfs/dbraw/zinc/89/79/38/757897938.db2.gz KHEXRBZKCFIZKV-LSDHHAIUSA-N 1 2 319.405 1.084 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)(C)C)[C@H](O)C2)on1 ZINC001099826367 757897943 /nfs/dbraw/zinc/89/79/43/757897943.db2.gz KHEXRBZKCFIZKV-LSDHHAIUSA-N 1 2 319.405 1.084 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C2CCC2)nn1 ZINC001017560127 758030397 /nfs/dbraw/zinc/03/03/97/758030397.db2.gz ZYOZFTNIMXJSFS-GASCZTMLSA-N 1 2 313.405 1.315 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C2CCC2)nn1 ZINC001017560127 758030411 /nfs/dbraw/zinc/03/04/11/758030411.db2.gz ZYOZFTNIMXJSFS-GASCZTMLSA-N 1 2 313.405 1.315 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2n[nH]nc21 ZINC001017582778 758050471 /nfs/dbraw/zinc/05/04/71/758050471.db2.gz QKYCIVJIQVJJDC-BETUJISGSA-N 1 2 311.389 1.823 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2n[nH]nc21 ZINC001017582778 758050479 /nfs/dbraw/zinc/05/04/79/758050479.db2.gz QKYCIVJIQVJJDC-BETUJISGSA-N 1 2 311.389 1.823 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nn[nH]c21 ZINC001017582778 758050490 /nfs/dbraw/zinc/05/04/90/758050490.db2.gz QKYCIVJIQVJJDC-BETUJISGSA-N 1 2 311.389 1.823 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nn[nH]c21 ZINC001017582778 758050498 /nfs/dbraw/zinc/05/04/98/758050498.db2.gz QKYCIVJIQVJJDC-BETUJISGSA-N 1 2 311.389 1.823 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]ncc1C(F)(F)F ZINC001017610373 758078843 /nfs/dbraw/zinc/07/88/43/758078843.db2.gz UTKAGJNJOONCCC-AOOOYVTPSA-N 1 2 314.311 1.903 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]ncc1C(F)(F)F ZINC001017610373 758078849 /nfs/dbraw/zinc/07/88/49/758078849.db2.gz UTKAGJNJOONCCC-AOOOYVTPSA-N 1 2 314.311 1.903 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(CC)noc1CC ZINC001017625807 758095605 /nfs/dbraw/zinc/09/56/05/758095605.db2.gz UMTSRUNDFHGFGJ-OKILXGFUSA-N 1 2 315.417 1.650 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(CC)noc1CC ZINC001017625807 758095619 /nfs/dbraw/zinc/09/56/19/758095619.db2.gz UMTSRUNDFHGFGJ-OKILXGFUSA-N 1 2 315.417 1.650 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099857808 758113184 /nfs/dbraw/zinc/11/31/84/758113184.db2.gz BZYXHNHEFLWYBU-HOCLYGCPSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099857808 758113188 /nfs/dbraw/zinc/11/31/88/758113188.db2.gz BZYXHNHEFLWYBU-HOCLYGCPSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)c(OC)co1 ZINC001017735127 758195139 /nfs/dbraw/zinc/19/51/39/758195139.db2.gz CAGGKMWAIKUEJB-BETUJISGSA-N 1 2 318.373 1.513 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)c(OC)co1 ZINC001017735127 758195144 /nfs/dbraw/zinc/19/51/44/758195144.db2.gz CAGGKMWAIKUEJB-BETUJISGSA-N 1 2 318.373 1.513 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1sccc1F)CCO2 ZINC001053244152 758301305 /nfs/dbraw/zinc/30/13/05/758301305.db2.gz DFEXSTMLEHJJCX-UHFFFAOYSA-N 1 2 310.394 1.990 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1[nH]ccc1C1CC1)CCO2 ZINC001053285179 758339240 /nfs/dbraw/zinc/33/92/40/758339240.db2.gz MGFUOFGLDLKATG-UHFFFAOYSA-N 1 2 315.417 1.995 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(CCC)n[nH]1)CCO2 ZINC001053366802 758405647 /nfs/dbraw/zinc/40/56/47/758405647.db2.gz PUMJTRJACHZUOS-UHFFFAOYSA-N 1 2 318.421 1.465 20 30 DDEDLO Cc1nc(NC[C@@]2(C)CCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001065418616 758512728 /nfs/dbraw/zinc/51/27/28/758512728.db2.gz MUGIEQYXYVOLIH-QGZVFWFLSA-N 1 2 324.388 1.949 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCOc2ccccc21 ZINC001018064904 758518104 /nfs/dbraw/zinc/51/81/04/758518104.db2.gz KAOOOCFWAVJYDJ-HLLBOEOZSA-N 1 2 310.397 1.861 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCOc2ccccc21 ZINC001018064904 758518110 /nfs/dbraw/zinc/51/81/10/758518110.db2.gz KAOOOCFWAVJYDJ-HLLBOEOZSA-N 1 2 310.397 1.861 20 30 DDEDLO C[C@@]1(CNc2ccc(C#N)cn2)CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065445497 758552047 /nfs/dbraw/zinc/55/20/47/758552047.db2.gz MBSDFZQMNOHROE-KRWDZBQOSA-N 1 2 324.388 1.570 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(C(C)C)c1C ZINC001018114757 758557933 /nfs/dbraw/zinc/55/79/33/758557933.db2.gz WBCJZRNEQQAVKS-OKILXGFUSA-N 1 2 303.410 1.642 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(C(C)C)c1C ZINC001018114757 758557937 /nfs/dbraw/zinc/55/79/37/758557937.db2.gz WBCJZRNEQQAVKS-OKILXGFUSA-N 1 2 303.410 1.642 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1scc2c1OCCO2 ZINC001018137797 758572892 /nfs/dbraw/zinc/57/28/92/758572892.db2.gz TYGVKJJMEGTKGX-TXEJJXNPSA-N 1 2 320.414 1.994 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1scc2c1OCCO2 ZINC001018137797 758572898 /nfs/dbraw/zinc/57/28/98/758572898.db2.gz TYGVKJJMEGTKGX-TXEJJXNPSA-N 1 2 320.414 1.994 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccccc1O)O2 ZINC001053575563 758585655 /nfs/dbraw/zinc/58/56/55/758585655.db2.gz YVRONKHCAFGHSR-ZDUSSCGKSA-N 1 2 302.374 1.541 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCCOC1)O2 ZINC001053581635 758594302 /nfs/dbraw/zinc/59/43/02/758594302.db2.gz SGKFGBGTYMFHKQ-HUUCEWRRSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1C)O2 ZINC001053586690 758602477 /nfs/dbraw/zinc/60/24/77/758602477.db2.gz XFZIJPRXHZELIW-AWEZNQCLSA-N 1 2 301.390 1.539 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccnn1CC)O2 ZINC001053594082 758613369 /nfs/dbraw/zinc/61/33/69/758613369.db2.gz CYPLXMLWONWVJU-ZDUSSCGKSA-N 1 2 304.394 1.052 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cn(C)nc1CC)O2 ZINC001053613289 758631529 /nfs/dbraw/zinc/63/15/29/758631529.db2.gz AAYSSJKUIFZEAO-ZDUSSCGKSA-N 1 2 318.421 1.132 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1conc1CC)O2 ZINC001053658768 758671550 /nfs/dbraw/zinc/67/15/50/758671550.db2.gz FQMBWRASKWYSIV-ZDUSSCGKSA-N 1 2 319.405 1.776 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(CC)nn1C)O2 ZINC001053677735 758688433 /nfs/dbraw/zinc/68/84/33/758688433.db2.gz IIIGOEBKDWEIQH-CQSZACIVSA-N 1 2 318.421 1.132 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)C3CCC3)C2)CC1 ZINC001065675603 758694718 /nfs/dbraw/zinc/69/47/18/758694718.db2.gz BUQSUJJIRGLDJO-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)/C(C)=C\C)CC2)C1 ZINC001065690918 758708757 /nfs/dbraw/zinc/70/87/57/758708757.db2.gz GNEXHFBAAQCBCG-STJUIISESA-N 1 2 319.449 1.664 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)[C@H](C)CC)CC2)C1 ZINC001065692677 758710399 /nfs/dbraw/zinc/71/03/99/758710399.db2.gz TXTLARTTYNVUPD-HZPDHXFCSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065712382 758729684 /nfs/dbraw/zinc/72/96/84/758729684.db2.gz XANQGFHHGVTRMB-ZBFHGGJFSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@]1(C)CC=CCC1)CO2 ZINC001053813880 758842106 /nfs/dbraw/zinc/84/21/06/758842106.db2.gz BMJDBGTUAKLPHK-RDJZCZTQSA-N 1 2 302.418 1.716 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1nn(C)cc1Cl)CO2 ZINC001053813846 758842196 /nfs/dbraw/zinc/84/21/96/758842196.db2.gz AYKJXTSTCLSUDX-LLVKDONJSA-N 1 2 324.812 1.223 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)nc(C)c1)CO2 ZINC001053820065 758849051 /nfs/dbraw/zinc/84/90/51/758849051.db2.gz RIWIDLCBIRNGRP-MRXNPFEDSA-N 1 2 313.401 1.295 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1CNc1ncccc1C#N ZINC001065872400 758860113 /nfs/dbraw/zinc/86/01/13/758860113.db2.gz PGFKDDGAUUZTQN-GXTWGEPZSA-N 1 2 324.388 1.425 20 30 DDEDLO Cc1nc(N2CCCN(C(=O)c3cc(C#N)c[nH]3)CC2)cc[nH+]1 ZINC001057119105 762666463 /nfs/dbraw/zinc/66/64/63/762666463.db2.gz CQRIJWHMIGNBEX-UHFFFAOYSA-N 1 2 310.361 1.337 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H]1CC(C)(C)CO1)CO2 ZINC001053869782 758902680 /nfs/dbraw/zinc/90/26/80/758902680.db2.gz SOCPVKMFQVVZIS-GJZGRUSLSA-N 1 2 320.433 1.174 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)c(C)n1)CO2 ZINC001053870096 758904105 /nfs/dbraw/zinc/90/41/05/758904105.db2.gz BPTLTEVPUQSNNS-HNNXBMFYSA-N 1 2 315.417 1.848 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1CNc1ccc(C#N)cn1 ZINC001065911715 758904660 /nfs/dbraw/zinc/90/46/60/758904660.db2.gz WNUVLEAQMFOLKA-OCCSQVGLSA-N 1 2 324.388 1.425 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C)CCOCC1)CO2 ZINC001053958523 758994052 /nfs/dbraw/zinc/99/40/52/758994052.db2.gz HLYYATHPPNBCPP-CQSZACIVSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C1=COCCO1 ZINC001054029375 759081338 /nfs/dbraw/zinc/08/13/38/759081338.db2.gz MEKZSDVVENSHCT-MRXNPFEDSA-N 1 2 312.369 1.051 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C1=COCCO1 ZINC001054029375 759081346 /nfs/dbraw/zinc/08/13/46/759081346.db2.gz MEKZSDVVENSHCT-MRXNPFEDSA-N 1 2 312.369 1.051 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001054049889 759106464 /nfs/dbraw/zinc/10/64/64/759106464.db2.gz MESSIBLKUPDUGI-BDXSIMOUSA-N 1 2 310.397 1.055 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001054049889 759106466 /nfs/dbraw/zinc/10/64/66/759106466.db2.gz MESSIBLKUPDUGI-BDXSIMOUSA-N 1 2 310.397 1.055 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001054053902 759107671 /nfs/dbraw/zinc/10/76/71/759107671.db2.gz DPIJZOIHHMYECS-MKXGPGLRSA-N 1 2 324.424 1.730 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001054053902 759107675 /nfs/dbraw/zinc/10/76/75/759107675.db2.gz DPIJZOIHHMYECS-MKXGPGLRSA-N 1 2 324.424 1.730 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC001018823675 759310010 /nfs/dbraw/zinc/31/00/10/759310010.db2.gz ICVSZZITPIULTN-ZDUSSCGKSA-N 1 2 302.378 1.297 20 30 DDEDLO C=CC[N@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@H]3[N+](=O)[O-])cc2C1 ZINC001054264982 759369129 /nfs/dbraw/zinc/36/91/29/759369129.db2.gz YNEMGOZJFGMSSB-HUUCEWRRSA-N 1 2 301.346 1.470 20 30 DDEDLO C=CC[N@@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@H]3[N+](=O)[O-])cc2C1 ZINC001054264982 759369143 /nfs/dbraw/zinc/36/91/43/759369143.db2.gz YNEMGOZJFGMSSB-HUUCEWRRSA-N 1 2 301.346 1.470 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H](Nc3cc[nH+]c(C)n3)C[C@@H]2C)nc1 ZINC001069124973 767862715 /nfs/dbraw/zinc/86/27/15/767862715.db2.gz XEYWRKKKCAVSBE-WFASDCNBSA-N 1 2 321.384 1.876 20 30 DDEDLO Cc1ncoc1C[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085535069 759647242 /nfs/dbraw/zinc/64/72/42/759647242.db2.gz ZWOROPQXAOHZJR-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085535069 759647249 /nfs/dbraw/zinc/64/72/49/759647249.db2.gz ZWOROPQXAOHZJR-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2cc[nH]cc-2c1=O ZINC001085621170 759862466 /nfs/dbraw/zinc/86/24/66/759862466.db2.gz JEUIMASFTDJMJX-GFCCVEGCSA-N 1 2 312.373 1.255 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2cc[nH]cc-2c1=O ZINC001085621170 759862472 /nfs/dbraw/zinc/86/24/72/759862472.db2.gz JEUIMASFTDJMJX-GFCCVEGCSA-N 1 2 312.373 1.255 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(C)nc1C1CCC1 ZINC001085679559 759991380 /nfs/dbraw/zinc/99/13/80/759991380.db2.gz LUCVXHOLZNTPKJ-AWEZNQCLSA-N 1 2 300.406 1.467 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(C)nc1C1CCC1 ZINC001085679559 759991385 /nfs/dbraw/zinc/99/13/85/759991385.db2.gz LUCVXHOLZNTPKJ-AWEZNQCLSA-N 1 2 300.406 1.467 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn2cccc(Cl)c12 ZINC001085712018 760092526 /nfs/dbraw/zinc/09/25/26/760092526.db2.gz YIINIUSQPRSDPW-LBPRGKRZSA-N 1 2 316.792 1.767 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn2cccc(Cl)c12 ZINC001085712018 760092537 /nfs/dbraw/zinc/09/25/37/760092537.db2.gz YIINIUSQPRSDPW-LBPRGKRZSA-N 1 2 316.792 1.767 20 30 DDEDLO CN(C[C@H]1CCN1CC#N)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001085746183 760147165 /nfs/dbraw/zinc/14/71/65/760147165.db2.gz ACJKLNSGEUJLIS-MRXNPFEDSA-N 1 2 309.373 1.542 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069221359 767925513 /nfs/dbraw/zinc/92/55/13/767925513.db2.gz NDCSUAUNISKTEI-IUODEOHRSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069221359 767925516 /nfs/dbraw/zinc/92/55/16/767925516.db2.gz NDCSUAUNISKTEI-IUODEOHRSA-N 1 2 324.388 1.710 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1sc(N(C)C)nc1C ZINC001085856748 760375281 /nfs/dbraw/zinc/37/52/81/760375281.db2.gz HNALKYOKGDJUFG-GFCCVEGCSA-N 1 2 308.451 1.850 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1sc(N(C)C)nc1C ZINC001085856748 760375293 /nfs/dbraw/zinc/37/52/93/760375293.db2.gz HNALKYOKGDJUFG-GFCCVEGCSA-N 1 2 308.451 1.850 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001085873175 760423728 /nfs/dbraw/zinc/42/37/28/760423728.db2.gz RIBJKOAXSUVACX-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001085873175 760423731 /nfs/dbraw/zinc/42/37/31/760423731.db2.gz RIBJKOAXSUVACX-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1Cc2cc(C)c(C)cc2O1 ZINC001085980626 760651310 /nfs/dbraw/zinc/65/13/10/760651310.db2.gz TWRKMIFELXCWOY-AEFFLSMTSA-N 1 2 312.413 1.773 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1Cc2cc(C)c(C)cc2O1 ZINC001085980626 760651318 /nfs/dbraw/zinc/65/13/18/760651318.db2.gz TWRKMIFELXCWOY-AEFFLSMTSA-N 1 2 312.413 1.773 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001066361235 760718669 /nfs/dbraw/zinc/71/86/69/760718669.db2.gz GNTNXHUFKKMQSI-CQSZACIVSA-N 1 2 318.421 1.724 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1COc2ccc(Cl)cc21 ZINC001038513494 761167888 /nfs/dbraw/zinc/16/78/88/761167888.db2.gz IVXJZNOUKWYETA-TZMCWYRMSA-N 1 2 304.777 1.640 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1COc2ccc(Cl)cc21 ZINC001038513494 761167891 /nfs/dbraw/zinc/16/78/91/761167891.db2.gz IVXJZNOUKWYETA-TZMCWYRMSA-N 1 2 304.777 1.640 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CC[C@@H](Nc2ncccc2C#N)C1 ZINC001056682397 761339081 /nfs/dbraw/zinc/33/90/81/761339081.db2.gz WRZZCLYZHLKONF-CQSZACIVSA-N 1 2 324.388 1.332 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(CNC(C)=O)s1 ZINC001038754806 761367525 /nfs/dbraw/zinc/36/75/25/761367525.db2.gz UAEILYRIVNUYAC-GFCCVEGCSA-N 1 2 307.419 1.374 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(CNC(C)=O)s1 ZINC001038754806 761367533 /nfs/dbraw/zinc/36/75/33/761367533.db2.gz UAEILYRIVNUYAC-GFCCVEGCSA-N 1 2 307.419 1.374 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)nc2OC)C1 ZINC001108264375 761429898 /nfs/dbraw/zinc/42/98/98/761429898.db2.gz UJXOOBZFYGWKNI-QGZVFWFLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)nc2OC)C1 ZINC001108264375 761429901 /nfs/dbraw/zinc/42/99/01/761429901.db2.gz UJXOOBZFYGWKNI-QGZVFWFLSA-N 1 2 319.405 1.405 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cn(C)nc2C)nc1 ZINC001038940923 761573370 /nfs/dbraw/zinc/57/33/70/761573370.db2.gz CIRNCUKIWJARKD-MRXNPFEDSA-N 1 2 323.400 1.109 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cn(C)nc2C)nc1 ZINC001038940923 761573374 /nfs/dbraw/zinc/57/33/74/761573374.db2.gz CIRNCUKIWJARKD-MRXNPFEDSA-N 1 2 323.400 1.109 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(OC)c1OC ZINC001038953252 761586886 /nfs/dbraw/zinc/58/68/86/761586886.db2.gz LWWHQIDCKYNTMN-CYBMUJFWSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(OC)c1OC ZINC001038953252 761586890 /nfs/dbraw/zinc/58/68/90/761586890.db2.gz LWWHQIDCKYNTMN-CYBMUJFWSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1COc2ccccc2O1 ZINC001038993102 761625216 /nfs/dbraw/zinc/62/52/16/761625216.db2.gz UVXFCVKFHOFZEV-CZUORRHYSA-N 1 2 300.358 1.040 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1COc2ccccc2O1 ZINC001038993102 761625221 /nfs/dbraw/zinc/62/52/21/761625221.db2.gz UVXFCVKFHOFZEV-CZUORRHYSA-N 1 2 300.358 1.040 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)CNc1nc(C)[nH+]c2c1CCCC2 ZINC001108307832 761876681 /nfs/dbraw/zinc/87/66/81/761876681.db2.gz WYFZIDFCAAQNEA-LBPRGKRZSA-N 1 2 318.421 1.783 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001108582630 762748261 /nfs/dbraw/zinc/74/82/61/762748261.db2.gz FVNMDHDYRNUWBP-JSGCOSHPSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@@H](CNc1cccc(F)c1C#N)NC(=O)CCn1cc[nH+]c1 ZINC001108624774 762810844 /nfs/dbraw/zinc/81/08/44/762810844.db2.gz XXPKLICOQVBZTK-LBPRGKRZSA-N 1 2 315.352 1.901 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108658663 762824425 /nfs/dbraw/zinc/82/44/25/762824425.db2.gz FHXSNQFNXQMGRR-RYUDHWBXSA-N 1 2 316.409 1.882 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001041147631 762840275 /nfs/dbraw/zinc/84/02/75/762840275.db2.gz UECZZMHELZZELG-WBMJQRKESA-N 1 2 317.393 1.216 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001041147631 762840281 /nfs/dbraw/zinc/84/02/81/762840281.db2.gz UECZZMHELZZELG-WBMJQRKESA-N 1 2 317.393 1.216 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(CCN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC001041158518 762847160 /nfs/dbraw/zinc/84/71/60/762847160.db2.gz ARCUCMMUAGEJLG-OAHLLOKOSA-N 1 2 308.813 1.629 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(CCN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC001041158518 762847162 /nfs/dbraw/zinc/84/71/62/762847162.db2.gz ARCUCMMUAGEJLG-OAHLLOKOSA-N 1 2 308.813 1.629 20 30 DDEDLO Cc1nonc1C[N@@H+]1C[C@@H]2CCCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001050044921 763057381 /nfs/dbraw/zinc/05/73/81/763057381.db2.gz TZJPVHKCQAOKNZ-GOEBONIOSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1nonc1C[N@H+]1C[C@@H]2CCCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001050044921 763057383 /nfs/dbraw/zinc/05/73/83/763057383.db2.gz TZJPVHKCQAOKNZ-GOEBONIOSA-N 1 2 314.389 1.214 20 30 DDEDLO N#Cc1cccnc1N1CCCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001057250124 763074895 /nfs/dbraw/zinc/07/48/95/763074895.db2.gz QAXSGCKBQRFMBT-UHFFFAOYSA-N 1 2 324.388 1.348 20 30 DDEDLO N#Cc1cccnc1N1CCCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001057250124 763074901 /nfs/dbraw/zinc/07/49/01/763074901.db2.gz QAXSGCKBQRFMBT-UHFFFAOYSA-N 1 2 324.388 1.348 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)CC(C)C)CC1 ZINC001131391745 768110013 /nfs/dbraw/zinc/11/00/13/768110013.db2.gz YGKBBTPYGKNTDP-OAHLLOKOSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)CC(C)C)CC1 ZINC001131391745 768110018 /nfs/dbraw/zinc/11/00/18/768110018.db2.gz YGKBBTPYGKNTDP-OAHLLOKOSA-N 1 2 309.454 1.505 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1snnc1C ZINC001109209920 763460639 /nfs/dbraw/zinc/46/06/39/763460639.db2.gz YHYBXIHSRRNMHD-RDBSUJKOSA-N 1 2 318.446 1.872 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1snnc1C ZINC001109209920 763460641 /nfs/dbraw/zinc/46/06/41/763460641.db2.gz YHYBXIHSRRNMHD-RDBSUJKOSA-N 1 2 318.446 1.872 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001115324184 763500882 /nfs/dbraw/zinc/50/08/82/763500882.db2.gz MJDVXAWXHMHVIE-JYAVWHMHSA-N 1 2 307.419 1.282 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001115324184 763500889 /nfs/dbraw/zinc/50/08/89/763500889.db2.gz MJDVXAWXHMHVIE-JYAVWHMHSA-N 1 2 307.419 1.282 20 30 DDEDLO CN(CCCNc1ccc(C#N)nc1)C(=O)Cc1c[nH+]cn1C ZINC001109489913 763752193 /nfs/dbraw/zinc/75/21/93/763752193.db2.gz VLTGZHVWZGWXDW-UHFFFAOYSA-N 1 2 312.377 1.190 20 30 DDEDLO CC(C)(C(=O)N1CC[C@H]2CCN(CC#N)[C@@H]2C1)c1c[nH+]c[nH]1 ZINC001042314529 763905222 /nfs/dbraw/zinc/90/52/22/763905222.db2.gz RQBGCMKKOMZPKN-CHWSQXEVSA-N 1 2 301.394 1.134 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NC(C)C)C1 ZINC001109697258 763950216 /nfs/dbraw/zinc/95/02/16/763950216.db2.gz XOCVITMXTRATJM-ILXRZTDVSA-N 1 2 319.449 1.589 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NC(C)C)C1 ZINC001109697258 763950223 /nfs/dbraw/zinc/95/02/23/763950223.db2.gz XOCVITMXTRATJM-ILXRZTDVSA-N 1 2 319.449 1.589 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)CC=C)C2 ZINC001109769680 764017454 /nfs/dbraw/zinc/01/74/54/764017454.db2.gz NZNYTYQBCRKNNL-ILXRZTDVSA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)CC=C)C2 ZINC001109769680 764017460 /nfs/dbraw/zinc/01/74/60/764017460.db2.gz NZNYTYQBCRKNNL-ILXRZTDVSA-N 1 2 319.449 1.612 20 30 DDEDLO N#Cc1cccnc1NC[C@H](NC(=O)CCc1[nH]cc[nH+]1)C1CC1 ZINC001109820095 764087387 /nfs/dbraw/zinc/08/73/87/764087387.db2.gz IJIKJPAKKKLWPX-AWEZNQCLSA-N 1 2 324.388 1.616 20 30 DDEDLO Cc1nc(N2C[C@@H](C)[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001042542992 764184380 /nfs/dbraw/zinc/18/43/80/764184380.db2.gz STSZJIFGHRTRSP-IAQYHMDHSA-N 1 2 324.388 1.168 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001050882957 764232438 /nfs/dbraw/zinc/23/24/38/764232438.db2.gz CURDZBPIEWDIJV-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001050925974 764290519 /nfs/dbraw/zinc/29/05/19/764290519.db2.gz XPHPTHGVDXEWRQ-IXDOHACOSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001050925974 764290526 /nfs/dbraw/zinc/29/05/26/764290526.db2.gz XPHPTHGVDXEWRQ-IXDOHACOSA-N 1 2 315.417 1.578 20 30 DDEDLO CN(C(=O)c1c[nH]c(C#N)c1)C1C[NH+](CCOC2CCC2)C1 ZINC001042638993 764300242 /nfs/dbraw/zinc/30/02/42/764300242.db2.gz YHYFTXBMRPJDMC-UHFFFAOYSA-N 1 2 302.378 1.212 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1CCC ZINC001050941748 764315687 /nfs/dbraw/zinc/31/56/87/764315687.db2.gz ZPFACRKCJKXNBW-HNNXBMFYSA-N 1 2 320.437 1.690 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1CCC ZINC001050941748 764315692 /nfs/dbraw/zinc/31/56/92/764315692.db2.gz ZPFACRKCJKXNBW-HNNXBMFYSA-N 1 2 320.437 1.690 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(F)c(F)c1 ZINC001050954818 764344156 /nfs/dbraw/zinc/34/41/56/764344156.db2.gz GFZHYSVESKEITP-ZDUSSCGKSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(F)c(F)c1 ZINC001050954818 764344163 /nfs/dbraw/zinc/34/41/63/764344163.db2.gz GFZHYSVESKEITP-ZDUSSCGKSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3C[C@H]3C3CC3)c2C1 ZINC001069877193 768206951 /nfs/dbraw/zinc/20/69/51/768206951.db2.gz IYYMWGXYUJDNSS-JKSUJKDBSA-N 1 2 314.433 1.937 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3C[C@H]3C3CC3)c2C1 ZINC001069877193 768206957 /nfs/dbraw/zinc/20/69/57/768206957.db2.gz IYYMWGXYUJDNSS-JKSUJKDBSA-N 1 2 314.433 1.937 20 30 DDEDLO COC(=O)[C@@H]1CC[C@H]([NH+]2CC(Oc3ccccc3C#N)C2)C1 ZINC001170572520 768207574 /nfs/dbraw/zinc/20/75/74/768207574.db2.gz QYZAHKSAAQFITJ-OCCSQVGLSA-N 1 2 300.358 1.963 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3nccs3)c2C1 ZINC001069878913 768208229 /nfs/dbraw/zinc/20/82/29/768208229.db2.gz TZPBAYIJAFTOSZ-UHFFFAOYSA-N 1 2 317.418 1.661 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3nccs3)c2C1 ZINC001069878913 768208232 /nfs/dbraw/zinc/20/82/32/768208232.db2.gz TZPBAYIJAFTOSZ-UHFFFAOYSA-N 1 2 317.418 1.661 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cncc(OC)c1C ZINC001050986035 764384248 /nfs/dbraw/zinc/38/42/48/764384248.db2.gz IBPCYZYXBJYYPL-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncc(OC)c1C ZINC001050986035 764384254 /nfs/dbraw/zinc/38/42/54/764384254.db2.gz IBPCYZYXBJYYPL-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnc2ccccn21 ZINC001050990031 764388592 /nfs/dbraw/zinc/38/85/92/764388592.db2.gz AUYMECWISPGNJQ-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnc2ccccn21 ZINC001050990031 764388598 /nfs/dbraw/zinc/38/85/98/764388598.db2.gz AUYMECWISPGNJQ-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2CCCN2C(=O)C(C)(C)C)C1 ZINC001042765637 764426805 /nfs/dbraw/zinc/42/68/05/764426805.db2.gz DBEYLMGWVOJWPT-HNNXBMFYSA-N 1 2 319.449 1.189 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2[nH]nc3c2cccc3OC)C1 ZINC001042811131 764463640 /nfs/dbraw/zinc/46/36/40/764463640.db2.gz MDRKDBHGDVQQGI-UHFFFAOYSA-N 1 2 314.389 1.904 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(NC(=O)CC)c2)C1 ZINC001042838752 764478014 /nfs/dbraw/zinc/47/80/14/764478014.db2.gz SRRSSAZWPBDYEO-UHFFFAOYSA-N 1 2 313.401 1.815 20 30 DDEDLO Cc1cc(N2CCC[C@@H](NC(=O)[C@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001096151276 768218289 /nfs/dbraw/zinc/21/82/89/768218289.db2.gz INMMMFJTAUBWTF-BXUZGUMPSA-N 1 2 313.405 1.907 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCc2nc[nH]c21 ZINC001051101683 764507096 /nfs/dbraw/zinc/50/70/96/764507096.db2.gz ZOAIBPJCFDBKGC-KGLIPLIRSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCc2nc[nH]c21 ZINC001051101683 764507099 /nfs/dbraw/zinc/50/70/99/764507099.db2.gz ZOAIBPJCFDBKGC-KGLIPLIRSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CCC)c1C ZINC001051185421 764593299 /nfs/dbraw/zinc/59/32/99/764593299.db2.gz VPGOAJDYTNWFGO-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CCC)c1C ZINC001051185421 764593305 /nfs/dbraw/zinc/59/33/05/764593305.db2.gz VPGOAJDYTNWFGO-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](C[C@@H](O)c2ccc(F)cc2)CC1 ZINC001112703614 764622226 /nfs/dbraw/zinc/62/22/26/764622226.db2.gz ALZVFFRXFMQZCH-MRXNPFEDSA-N 1 2 322.380 1.206 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CC[C@@H]2CC2(Cl)Cl)CC1 ZINC001112708766 764628982 /nfs/dbraw/zinc/62/89/82/764628982.db2.gz WOVUGMJYBHMTDF-GFCCVEGCSA-N 1 2 321.248 1.917 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncccc1Cl ZINC001051217577 764632538 /nfs/dbraw/zinc/63/25/38/764632538.db2.gz YNXWDZFEIVDSFJ-GFCCVEGCSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncccc1Cl ZINC001051217577 764632544 /nfs/dbraw/zinc/63/25/44/764632544.db2.gz YNXWDZFEIVDSFJ-GFCCVEGCSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(OC)cc1 ZINC001051220645 764637161 /nfs/dbraw/zinc/63/71/61/764637161.db2.gz LEMGAJJDXXZEQC-HNNXBMFYSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(OC)cc1 ZINC001051220645 764637163 /nfs/dbraw/zinc/63/71/63/764637163.db2.gz LEMGAJJDXXZEQC-HNNXBMFYSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1Cc2cccnc2C1 ZINC001051227626 764646960 /nfs/dbraw/zinc/64/69/60/764646960.db2.gz PIWHJGRKQJATII-HZPDHXFCSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1Cc2cccnc2C1 ZINC001051227626 764646964 /nfs/dbraw/zinc/64/69/64/764646964.db2.gz PIWHJGRKQJATII-HZPDHXFCSA-N 1 2 315.417 1.190 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3c(n2)OCCO3)C1 ZINC001043218110 764773278 /nfs/dbraw/zinc/77/32/78/764773278.db2.gz HHNIAKMHFLACLB-UHFFFAOYSA-N 1 2 303.362 1.185 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051428061 764867961 /nfs/dbraw/zinc/86/79/61/764867961.db2.gz UXEXNYCIYLNGPE-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2nn(C)cc2Cl)CC1 ZINC001112881052 764917965 /nfs/dbraw/zinc/91/79/65/764917965.db2.gz ZEZHVFIMALLLQW-UHFFFAOYSA-N 1 2 312.801 1.034 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnc3cc(C)nn3c2C)C1 ZINC001043499937 764941979 /nfs/dbraw/zinc/94/19/79/764941979.db2.gz OHRSUFCBLCHRAH-UHFFFAOYSA-N 1 2 313.405 1.678 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](CCc3ccnn3C)C2)cc1 ZINC001043505605 764948980 /nfs/dbraw/zinc/94/89/80/764948980.db2.gz YOFVRZXUYQZNQS-UHFFFAOYSA-N 1 2 322.412 1.400 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113008728 765132690 /nfs/dbraw/zinc/13/26/90/765132690.db2.gz ACQCCSHUTAXFSE-VXGBXAGGSA-N 1 2 318.425 1.355 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(-c3cccs3)n[nH]2)C1 ZINC001043943431 765179757 /nfs/dbraw/zinc/17/97/57/765179757.db2.gz QZGHYDQTBNIHCF-UHFFFAOYSA-N 1 2 314.414 1.918 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@]23CCO[C@H]2CCCC3)CC1 ZINC001113092730 765251432 /nfs/dbraw/zinc/25/14/32/765251432.db2.gz ILIYICOHJUELKT-FUHWJXTLSA-N 1 2 322.449 1.683 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)CC(C)(C)C)C2)CC1 ZINC001051982832 765346387 /nfs/dbraw/zinc/34/63/87/765346387.db2.gz JUJWOIBVYKCMAL-INIZCTEOSA-N 1 2 305.466 1.664 20 30 DDEDLO C#CCC[N@H+]1CCN([C@@H]2CCCN(C(=O)CCCC)C2)C(=O)C1 ZINC001131706953 768285510 /nfs/dbraw/zinc/28/55/10/768285510.db2.gz SBAVCDKWNMGYTK-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@@H]2CCCN(C(=O)CCCC)C2)C(=O)C1 ZINC001131706953 768285512 /nfs/dbraw/zinc/28/55/12/768285512.db2.gz SBAVCDKWNMGYTK-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C3CC3)on2)CC1 ZINC001113167502 765365573 /nfs/dbraw/zinc/36/55/73/765365573.db2.gz PYKMNNCFKRYIES-UHFFFAOYSA-N 1 2 305.378 1.512 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3CC3(C)C)C2)CC1 ZINC001052013307 765382318 /nfs/dbraw/zinc/38/23/18/765382318.db2.gz VFJPKIJNFQBZTB-HZPDHXFCSA-N 1 2 303.450 1.274 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccoc3Cl)C2)CC1 ZINC001052016824 765388676 /nfs/dbraw/zinc/38/86/76/765388676.db2.gz AXLZEYDWGNAKEQ-CYBMUJFWSA-N 1 2 323.824 1.951 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2cccc(NC(C)=O)c2)C1 ZINC001044214269 765399979 /nfs/dbraw/zinc/39/99/79/765399979.db2.gz ZGORKCKYVUSASQ-UHFFFAOYSA-N 1 2 315.417 1.906 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)(C)C(C)(C)C)C2)CC1 ZINC001052061501 765433715 /nfs/dbraw/zinc/43/37/15/765433715.db2.gz ZZXRLILOYZARMH-MRXNPFEDSA-N 1 2 319.493 1.910 20 30 DDEDLO CCC1(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)CCC1 ZINC001052066460 765439068 /nfs/dbraw/zinc/43/90/68/765439068.db2.gz WEQCRXUPNGSRBG-OAHLLOKOSA-N 1 2 304.438 1.309 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2Oc3ccccc3O[C@@H]2C)C1 ZINC001044284205 765449241 /nfs/dbraw/zinc/44/92/41/765449241.db2.gz POGAIQLIHIJAOJ-CXAGYDPISA-N 1 2 314.385 1.381 20 30 DDEDLO CC(C)C1(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)CC1 ZINC001052078799 765451130 /nfs/dbraw/zinc/45/11/30/765451130.db2.gz BCFDMGHLBKKOKK-OAHLLOKOSA-N 1 2 304.438 1.165 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](N3CC[NH+](CC#CC)CC3)C2)C1 ZINC001052082158 765456038 /nfs/dbraw/zinc/45/60/38/765456038.db2.gz RNBFRYOVCRFAQK-KRWDZBQOSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C[C@@H](C)C3CC3)C2)CC1 ZINC001052122503 765485447 /nfs/dbraw/zinc/48/54/47/765485447.db2.gz VVEHGSOCIMQAAO-SJLPKXTDSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cn2c(C)c(C)sc2=O)C1 ZINC001044330813 765488168 /nfs/dbraw/zinc/48/81/68/765488168.db2.gz JKEGDXNIWULAQG-UHFFFAOYSA-N 1 2 309.435 1.245 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)C1CN(Cc2ccc(C#N)s2)C1 ZINC001044365540 765512829 /nfs/dbraw/zinc/51/28/29/765512829.db2.gz SVZXXERTYCVANW-UHFFFAOYSA-N 1 2 315.402 1.159 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H](C)[C@@H](C)Nc2cc[nH+]c(C)n2)nn1 ZINC001113334257 765576204 /nfs/dbraw/zinc/57/62/04/765576204.db2.gz IAUWJFRDYXOOQI-GHMZBOCLSA-N 1 2 315.381 1.181 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(CF)CCOCC2)CC1 ZINC001113345700 765596971 /nfs/dbraw/zinc/59/69/71/765596971.db2.gz CLWIZNKHHBOKSR-UHFFFAOYSA-N 1 2 314.401 1.100 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C(C)C)no2)CC1 ZINC001113403067 765653594 /nfs/dbraw/zinc/65/35/94/765653594.db2.gz QQYPEXLJYDOFID-UHFFFAOYSA-N 1 2 307.394 1.758 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(=O)n1C)C2 ZINC001095990424 765732744 /nfs/dbraw/zinc/73/27/44/765732744.db2.gz RLHNAAQTUZONBH-AGIUHOORSA-N 1 2 321.808 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(=O)n1C)C2 ZINC001095990424 765732747 /nfs/dbraw/zinc/73/27/47/765732747.db2.gz RLHNAAQTUZONBH-AGIUHOORSA-N 1 2 321.808 1.473 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[NH+](CCc2ccns2)CC1 ZINC001113712841 766052717 /nfs/dbraw/zinc/05/27/17/766052717.db2.gz GWIKLELKEAZIKG-CYBMUJFWSA-N 1 2 309.435 1.421 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2snnc2C)CC[C@H]1C ZINC001131781039 768365626 /nfs/dbraw/zinc/36/56/26/768365626.db2.gz TVQRCWOKZALPQX-YPMHNXCESA-N 1 2 322.434 1.079 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2snnc2C)CC[C@H]1C ZINC001131781039 768365634 /nfs/dbraw/zinc/36/56/34/768365634.db2.gz TVQRCWOKZALPQX-YPMHNXCESA-N 1 2 322.434 1.079 20 30 DDEDLO CCCc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)cs1 ZINC001114066659 766591430 /nfs/dbraw/zinc/59/14/30/766591430.db2.gz GOAGZSMECLOHAR-PBOSXPJTSA-N 1 2 318.446 1.802 20 30 DDEDLO CCCc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)cs1 ZINC001114066659 766591432 /nfs/dbraw/zinc/59/14/32/766591432.db2.gz GOAGZSMECLOHAR-PBOSXPJTSA-N 1 2 318.446 1.802 20 30 DDEDLO CC1(C)C(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)C1(C)C ZINC001046015055 766809736 /nfs/dbraw/zinc/80/97/36/766809736.db2.gz JIUCCCZUOJCRGC-CQSZACIVSA-N 1 2 318.465 1.411 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)CCC3CCC3)CC2)C1 ZINC001046018219 766816291 /nfs/dbraw/zinc/81/62/91/766816291.db2.gz VNEYDIFJIPOJOJ-INIZCTEOSA-N 1 2 304.438 1.309 20 30 DDEDLO Cc1nc(N2CC[C@H](C)[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001067944050 766829626 /nfs/dbraw/zinc/82/96/26/766829626.db2.gz HRQMJILZBONVBF-XHDPSFHLSA-N 1 2 324.388 1.630 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C[C@H]3CC=CCC3)CC2)C1 ZINC001046051961 766859740 /nfs/dbraw/zinc/85/97/40/766859740.db2.gz SSYBZDXNFMCPRF-IRXDYDNUSA-N 1 2 316.449 1.475 20 30 DDEDLO C[C@H]1CCN(c2ncccc2C#N)C[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067994142 766861543 /nfs/dbraw/zinc/86/15/43/766861543.db2.gz RSPDGNPCLFTEOQ-WFASDCNBSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046060817 766872495 /nfs/dbraw/zinc/87/24/95/766872495.db2.gz GKZRMEFSIGYAQD-DOTOQJQBSA-N 1 2 304.438 1.331 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+][C@@H](C)c1nnc(CC)o1 ZINC001129502840 766907782 /nfs/dbraw/zinc/90/77/82/766907782.db2.gz QDDXUYRIPLUYKQ-LBPRGKRZSA-N 1 2 317.393 1.700 20 30 DDEDLO Cc1nc(N2C[C@H](NC(=O)c3c[nH]c(C#N)c3)C(C)(C)C2)cc[nH+]1 ZINC001068103998 766913873 /nfs/dbraw/zinc/91/38/73/766913873.db2.gz YHDQBWHFKQLNOI-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCSC[C@@H]1C ZINC001121620948 782597619 /nfs/dbraw/zinc/59/76/19/782597619.db2.gz WTJAFAQAKMJWEU-LBPRGKRZSA-N 1 2 318.450 1.730 20 30 DDEDLO C[C@H]1C[C@H](CNc2ccc(C#N)cn2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068346566 767150265 /nfs/dbraw/zinc/15/02/65/767150265.db2.gz HJMXQHLDXHLWQW-GXTWGEPZSA-N 1 2 324.388 1.568 20 30 DDEDLO CC[C@@H](C)CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130971396 767824061 /nfs/dbraw/zinc/82/40/61/767824061.db2.gz MPMFDDXUXFGUKV-MGPQQGTHSA-N 1 2 303.410 1.264 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001096175937 768368937 /nfs/dbraw/zinc/36/89/37/768368937.db2.gz VFGJXPAGBZEFMB-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)CCCC(C)=O)CC[C@@H]1C ZINC001131802244 768378927 /nfs/dbraw/zinc/37/89/27/768378927.db2.gz GFAJEFYCUDJTIB-GOEBONIOSA-N 1 2 308.422 1.365 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)CCCC(C)=O)CC[C@@H]1C ZINC001131802244 768378932 /nfs/dbraw/zinc/37/89/32/768378932.db2.gz GFAJEFYCUDJTIB-GOEBONIOSA-N 1 2 308.422 1.365 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096177606 768380889 /nfs/dbraw/zinc/38/08/89/768380889.db2.gz UZFVKEVIKYZKLN-CZUORRHYSA-N 1 2 304.394 1.197 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2nccn2C)C1 ZINC001132002632 768554577 /nfs/dbraw/zinc/55/45/77/768554577.db2.gz LUACRLGHKLADIW-LSDHHAIUSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2nccn2C)C1 ZINC001132002632 768554582 /nfs/dbraw/zinc/55/45/82/768554582.db2.gz LUACRLGHKLADIW-LSDHHAIUSA-N 1 2 302.422 1.693 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001096218846 768590162 /nfs/dbraw/zinc/59/01/62/768590162.db2.gz ONXUQYJSVHDJKD-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(N)=O)C1 ZINC001132078218 768608360 /nfs/dbraw/zinc/60/83/60/768608360.db2.gz KKLWRLUHJILJSK-ONGXEEELSA-N 1 2 301.818 1.220 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@H+](CC(N)=O)C1 ZINC001132078218 768608364 /nfs/dbraw/zinc/60/83/64/768608364.db2.gz KKLWRLUHJILJSK-ONGXEEELSA-N 1 2 301.818 1.220 20 30 DDEDLO Cc1cccc(C(=O)N2C[C@@H](c3c[nH+]cn3C)[C@H](NCC#N)C2)c1 ZINC001070616683 768620893 /nfs/dbraw/zinc/62/08/93/768620893.db2.gz DNVRQRBWLFJBHC-HZPDHXFCSA-N 1 2 323.400 1.450 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132218714 768690716 /nfs/dbraw/zinc/69/07/16/768690716.db2.gz UYOYRFZNGSEWBX-KGLIPLIRSA-N 1 2 319.453 1.887 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132218714 768690721 /nfs/dbraw/zinc/69/07/21/768690721.db2.gz UYOYRFZNGSEWBX-KGLIPLIRSA-N 1 2 319.453 1.887 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nc(CC3CC3)no2)cc1 ZINC001132226672 768697947 /nfs/dbraw/zinc/69/79/47/768697947.db2.gz KOPRDHAWCSSPTA-UHFFFAOYSA-N 1 2 324.384 1.523 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001070738362 768703443 /nfs/dbraw/zinc/70/34/43/768703443.db2.gz UBNVQXCGLCSKRH-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO CCC1(C(=O)N[C@@H]2CC[C@@H](C)[N@H+](CC(=O)NCC#N)C2)CCC1 ZINC001132249771 768704857 /nfs/dbraw/zinc/70/48/57/768704857.db2.gz SAVDQJROVCBNGF-ZIAGYGMSSA-N 1 2 320.437 1.176 20 30 DDEDLO CCC1(C(=O)N[C@@H]2CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C2)CCC1 ZINC001132249771 768704862 /nfs/dbraw/zinc/70/48/62/768704862.db2.gz SAVDQJROVCBNGF-ZIAGYGMSSA-N 1 2 320.437 1.176 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)CCC)C1 ZINC001070900558 768775697 /nfs/dbraw/zinc/77/56/97/768775697.db2.gz PSGJTMAFDDYZMK-MCIONIFRSA-N 1 2 307.394 1.078 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)CCC)C1 ZINC001070900558 768775699 /nfs/dbraw/zinc/77/56/99/768775699.db2.gz PSGJTMAFDDYZMK-MCIONIFRSA-N 1 2 307.394 1.078 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](F)C(C)C)CC1 ZINC001070985364 768838247 /nfs/dbraw/zinc/83/82/47/768838247.db2.gz LXMBZQMDSDGUFS-HNNXBMFYSA-N 1 2 313.417 1.207 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](F)C(C)C)CC1 ZINC001070985364 768838262 /nfs/dbraw/zinc/83/82/62/768838262.db2.gz LXMBZQMDSDGUFS-HNNXBMFYSA-N 1 2 313.417 1.207 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)COC)C[C@@H](C)O2 ZINC001071110645 768956284 /nfs/dbraw/zinc/95/62/84/768956284.db2.gz RQBVUDHXNXIUBW-OCCSQVGLSA-N 1 2 302.802 1.077 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)COC)C[C@@H](C)O2 ZINC001071110645 768956282 /nfs/dbraw/zinc/95/62/82/768956282.db2.gz RQBVUDHXNXIUBW-OCCSQVGLSA-N 1 2 302.802 1.077 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH+]1CCN(c2cnc(F)cn2)CC1 ZINC001096293705 769058356 /nfs/dbraw/zinc/05/83/56/769058356.db2.gz SZKJQBHBYAOYFM-UHFFFAOYSA-N 1 2 321.400 1.066 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071176962 769103204 /nfs/dbraw/zinc/10/32/04/769103204.db2.gz IKHBEQRTLRWSOQ-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071417966 769404123 /nfs/dbraw/zinc/40/41/23/769404123.db2.gz CPXKZMRVFOWUIG-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C2CN(C(C)=O)C2)CC[C@H]1C ZINC001071460111 769467468 /nfs/dbraw/zinc/46/74/68/769467468.db2.gz IRGNLQBNTNCAKU-BXUZGUMPSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C2CN(C(C)=O)C2)CC[C@H]1C ZINC001071460111 769467474 /nfs/dbraw/zinc/46/74/74/769467474.db2.gz IRGNLQBNTNCAKU-BXUZGUMPSA-N 1 2 313.829 1.186 20 30 DDEDLO C=CCCCC(=O)NCC[NH2+]Cc1nnc([C@H]2CCCOC2)o1 ZINC001133143495 769527264 /nfs/dbraw/zinc/52/72/64/769527264.db2.gz WYVSMCFIMBLZKE-ZDUSSCGKSA-N 1 2 322.409 1.526 20 30 DDEDLO Cc1nc([C@H](C)[N@H+]2C[C@H]3CN(C(=O)C#CC4CC4)C[C@H]3C2)no1 ZINC001048810449 769927452 /nfs/dbraw/zinc/92/74/52/769927452.db2.gz NCDRDEATNKQKSX-TUKIKUTGSA-N 1 2 314.389 1.243 20 30 DDEDLO Cc1nc([C@H](C)[N@@H+]2C[C@H]3CN(C(=O)C#CC4CC4)C[C@H]3C2)no1 ZINC001048810449 769927457 /nfs/dbraw/zinc/92/74/57/769927457.db2.gz NCDRDEATNKQKSX-TUKIKUTGSA-N 1 2 314.389 1.243 20 30 DDEDLO C#CCN1C[C@H](NC(=O)c2c[nH+]ccc2N(C)C)CC[C@@H]1C ZINC001071999037 770411284 /nfs/dbraw/zinc/41/12/84/770411284.db2.gz MDQDQZUUGJFMST-UONOGXRCSA-N 1 2 300.406 1.364 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072111068 770555161 /nfs/dbraw/zinc/55/51/61/770555161.db2.gz HFYWHFIKYXYHRM-KGLIPLIRSA-N 1 2 318.421 1.449 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC2CC2)nc1 ZINC001049468958 770895615 /nfs/dbraw/zinc/89/56/15/770895615.db2.gz YZPVHKXORMSLSJ-IAGOWNOFSA-N 1 2 324.428 1.764 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC2CC2)nc1 ZINC001049468958 770895628 /nfs/dbraw/zinc/89/56/28/770895628.db2.gz YZPVHKXORMSLSJ-IAGOWNOFSA-N 1 2 324.428 1.764 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+][C@@H](C)c1nnc(C)o1 ZINC001135145701 771350425 /nfs/dbraw/zinc/35/04/25/771350425.db2.gz PXPLQYLHCXQEGK-DRZSPHRISA-N 1 2 308.382 1.126 20 30 DDEDLO C#CC[NH2+][C@H](C)C[C@H](C)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001135165481 771362446 /nfs/dbraw/zinc/36/24/46/771362446.db2.gz GJIVAYQESGBGNU-OLZOCXBDSA-N 1 2 311.389 1.591 20 30 DDEDLO N#Cc1ccc(N[C@@H](CNC(=O)CCc2[nH]cc[nH+]2)C2CC2)nc1 ZINC001096647060 771370098 /nfs/dbraw/zinc/37/00/98/771370098.db2.gz YWCANYJGUPSIIP-AWEZNQCLSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cccnc1N[C@@H](CNC(=O)CCn1cc[nH+]c1)C1CC1 ZINC001096768344 771455801 /nfs/dbraw/zinc/45/58/01/771455801.db2.gz KGNSJNYVSSKBJV-HNNXBMFYSA-N 1 2 324.388 1.547 20 30 DDEDLO Cc1nc(N[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C2CC2)ccc1C#N ZINC001096820138 771467340 /nfs/dbraw/zinc/46/73/40/771467340.db2.gz OAQAJGARALPXAX-HNNXBMFYSA-N 1 2 324.388 1.534 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCN(C(=O)CCCn3cc[nH+]c3)C2)cn1 ZINC001096944174 771543971 /nfs/dbraw/zinc/54/39/71/771543971.db2.gz VHCGUOVPWLPIAD-INIZCTEOSA-N 1 2 324.388 1.643 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(OC)ns1)C2 ZINC001097097125 771622585 /nfs/dbraw/zinc/62/25/85/771622585.db2.gz IOOVSBUPTJXIKM-UTUOFQBUSA-N 1 2 305.403 1.510 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(OC)ns1)C2 ZINC001097097125 771622587 /nfs/dbraw/zinc/62/25/87/771622587.db2.gz IOOVSBUPTJXIKM-UTUOFQBUSA-N 1 2 305.403 1.510 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@H](Nc2ccncc2C#N)C1 ZINC001097105892 771626102 /nfs/dbraw/zinc/62/61/02/771626102.db2.gz FOAXBACSHULGIY-UBHSHLNASA-N 1 2 324.388 1.036 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@H](Nc2ccncc2C#N)C1 ZINC001097105892 771626104 /nfs/dbraw/zinc/62/61/04/771626104.db2.gz FOAXBACSHULGIY-UBHSHLNASA-N 1 2 324.388 1.036 20 30 DDEDLO Cc1cn2cc(NC(=O)CNc3ccc(C#N)cn3)ccc2[nH+]1 ZINC001136498096 771985254 /nfs/dbraw/zinc/98/52/54/771985254.db2.gz GASNWWQLTYUSJH-UHFFFAOYSA-N 1 2 306.329 1.960 20 30 DDEDLO CCn1nccc([NH+]=C(N)c2ccc(C(=O)OC)cc2)c1=O ZINC001170989177 772033069 /nfs/dbraw/zinc/03/30/69/772033069.db2.gz MRRDMEVHRQSMNU-UHFFFAOYSA-N 1 2 300.318 1.087 20 30 DDEDLO Cc1nc(N2C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C2)cc[nH+]1 ZINC001090705404 772133346 /nfs/dbraw/zinc/13/33/46/772133346.db2.gz LXYSXAYWBVNMEZ-TZMCWYRMSA-N 1 2 300.406 1.775 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001090747133 772157321 /nfs/dbraw/zinc/15/73/21/772157321.db2.gz LNVLPOUKMYVPIU-HZPDHXFCSA-N 1 2 315.417 1.656 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001090747133 772157325 /nfs/dbraw/zinc/15/73/25/772157325.db2.gz LNVLPOUKMYVPIU-HZPDHXFCSA-N 1 2 315.417 1.656 20 30 DDEDLO O=C(NC1=CC(=O)CCC1)[C@H]1[C@@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143677821 772292214 /nfs/dbraw/zinc/29/22/14/772292214.db2.gz QWTBHZRCIRCOKX-DLBZAZTESA-N 1 2 314.385 1.375 20 30 DDEDLO O=C(NC1=CC(=O)CCC1)[C@H]1[C@@H](O)CC[N@H+]1Cc1ccccc1 ZINC001143677821 772292218 /nfs/dbraw/zinc/29/22/18/772292218.db2.gz QWTBHZRCIRCOKX-DLBZAZTESA-N 1 2 314.385 1.375 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC3(CN(c4ccccc4)C3=O)C2)nc1 ZINC001144162958 772431127 /nfs/dbraw/zinc/43/11/27/772431127.db2.gz FXWYTTKLJAPPLT-UHFFFAOYSA-N 1 2 304.353 1.802 20 30 DDEDLO NC(=O)c1ccc(C(=[NH2+])Nc2cc(F)c(C(N)=O)c(F)c2)cc1 ZINC001171259189 772753906 /nfs/dbraw/zinc/75/39/06/772753906.db2.gz XZULEGOUKDKEIV-UHFFFAOYSA-N 1 2 318.283 1.200 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1 ZINC001171264249 772775830 /nfs/dbraw/zinc/77/58/30/772775830.db2.gz WVZSNYMINAIIKE-WFFDWFOESA-N 1 2 324.428 1.620 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001091476508 772781089 /nfs/dbraw/zinc/78/10/89/772781089.db2.gz LOWUBGUDTPNBHA-AULYBMBSSA-N 1 2 310.361 1.226 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+]Cc1nnc(CC)o1 ZINC001149117569 772806152 /nfs/dbraw/zinc/80/61/52/772806152.db2.gz LRKMJQWDNIVSDY-UHFFFAOYSA-N 1 2 312.373 1.062 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cn(CC)nn1)C2 ZINC001147188240 773062710 /nfs/dbraw/zinc/06/27/10/773062710.db2.gz VTMKCOFWZQNTFO-UHFFFAOYSA-N 1 2 303.410 1.299 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1cn(CC)nn1)C2 ZINC001147188240 773062713 /nfs/dbraw/zinc/06/27/13/773062713.db2.gz VTMKCOFWZQNTFO-UHFFFAOYSA-N 1 2 303.410 1.299 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccns2)C1 ZINC001073625437 773234407 /nfs/dbraw/zinc/23/44/07/773234407.db2.gz FWKFKVHNEKDTDX-LLVKDONJSA-N 1 2 315.826 1.716 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccns2)C1 ZINC001073625437 773234411 /nfs/dbraw/zinc/23/44/11/773234411.db2.gz FWKFKVHNEKDTDX-LLVKDONJSA-N 1 2 315.826 1.716 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001148089157 773361448 /nfs/dbraw/zinc/36/14/48/773361448.db2.gz UGOGBBXISRKAFK-KGLIPLIRSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001148089157 773361452 /nfs/dbraw/zinc/36/14/52/773361452.db2.gz UGOGBBXISRKAFK-KGLIPLIRSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001148089457 773361464 /nfs/dbraw/zinc/36/14/64/773361464.db2.gz WMDTWTARKGAMMI-KGLIPLIRSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001148089457 773361470 /nfs/dbraw/zinc/36/14/70/773361470.db2.gz WMDTWTARKGAMMI-KGLIPLIRSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001073802980 773424759 /nfs/dbraw/zinc/42/47/59/773424759.db2.gz NMKNDGGKHBSRDQ-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001073802980 773424763 /nfs/dbraw/zinc/42/47/63/773424763.db2.gz NMKNDGGKHBSRDQ-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2oncc2C)C1 ZINC001073838387 773458582 /nfs/dbraw/zinc/45/85/82/773458582.db2.gz ADGRZOLODVRLTP-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2oncc2C)C1 ZINC001073838387 773458587 /nfs/dbraw/zinc/45/85/87/773458587.db2.gz ADGRZOLODVRLTP-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO CCn1ccc(C[N@H+](C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)n1 ZINC001073974024 773567090 /nfs/dbraw/zinc/56/70/90/773567090.db2.gz NOASXQZVHFAKFN-GOEBONIOSA-N 1 2 317.437 1.876 20 30 DDEDLO CCn1ccc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)n1 ZINC001073974024 773567096 /nfs/dbraw/zinc/56/70/96/773567096.db2.gz NOASXQZVHFAKFN-GOEBONIOSA-N 1 2 317.437 1.876 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001074168016 773690905 /nfs/dbraw/zinc/69/09/05/773690905.db2.gz HPZPOZJGKJQOBV-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cnsn3)C[C@@H]21 ZINC001074178220 773699933 /nfs/dbraw/zinc/69/99/33/773699933.db2.gz OTOKYQOOVWRTNQ-STQMWFEESA-N 1 2 308.407 1.030 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cnsn3)C[C@@H]21 ZINC001074178220 773699934 /nfs/dbraw/zinc/69/99/34/773699934.db2.gz OTOKYQOOVWRTNQ-STQMWFEESA-N 1 2 308.407 1.030 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ncoc3CC)C[C@H]21 ZINC001074196016 773720438 /nfs/dbraw/zinc/72/04/38/773720438.db2.gz DUKXZSKPLVXOMN-HIFRSBDPSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ncoc3CC)C[C@H]21 ZINC001074196016 773720442 /nfs/dbraw/zinc/72/04/42/773720442.db2.gz DUKXZSKPLVXOMN-HIFRSBDPSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3onc(C)c3C)C[C@@H]21 ZINC001074274423 773786048 /nfs/dbraw/zinc/78/60/48/773786048.db2.gz UBUBXQPLCDVCRJ-LSDHHAIUSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3onc(C)c3C)C[C@@H]21 ZINC001074274423 773786051 /nfs/dbraw/zinc/78/60/51/773786051.db2.gz UBUBXQPLCDVCRJ-LSDHHAIUSA-N 1 2 319.405 1.783 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)cnn3C)C[C@H]21 ZINC001074316552 773817099 /nfs/dbraw/zinc/81/70/99/773817099.db2.gz AMVFBMVDQSOQTN-HUUCEWRRSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)cnn3C)C[C@H]21 ZINC001074316552 773817102 /nfs/dbraw/zinc/81/71/02/773817102.db2.gz AMVFBMVDQSOQTN-HUUCEWRRSA-N 1 2 318.421 1.220 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3csc(C)n3)C[C@H]21 ZINC001074323736 773823769 /nfs/dbraw/zinc/82/37/69/773823769.db2.gz FPDXBPUUYSFGGP-HUUCEWRRSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3csc(C)n3)C[C@H]21 ZINC001074323736 773823775 /nfs/dbraw/zinc/82/37/75/773823775.db2.gz FPDXBPUUYSFGGP-HUUCEWRRSA-N 1 2 321.446 1.943 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cscn3)C[C@H]21 ZINC001074354051 773849336 /nfs/dbraw/zinc/84/93/36/773849336.db2.gz KEQNQJNDCMJKJC-CABCVRRESA-N 1 2 319.430 1.011 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cscn3)C[C@H]21 ZINC001074354051 773849340 /nfs/dbraw/zinc/84/93/40/773849340.db2.gz KEQNQJNDCMJKJC-CABCVRRESA-N 1 2 319.430 1.011 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCOCC3)C[C@@H]21 ZINC001074354112 773849696 /nfs/dbraw/zinc/84/96/96/773849696.db2.gz LAMGGRAGLWPKHN-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCOCC3)C[C@@H]21 ZINC001074354112 773849701 /nfs/dbraw/zinc/84/97/01/773849701.db2.gz LAMGGRAGLWPKHN-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@@]2(C)C1 ZINC001091917436 773858892 /nfs/dbraw/zinc/85/88/92/773858892.db2.gz XQROSUNCFTXCGA-DYVFJYSZSA-N 1 2 318.421 1.871 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2C[N@H+](Cc3nc(C)no3)C[C@@]2(C)C1 ZINC001091917436 773858895 /nfs/dbraw/zinc/85/88/95/773858895.db2.gz XQROSUNCFTXCGA-DYVFJYSZSA-N 1 2 318.421 1.871 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCn3cccc3)C[C@H]21 ZINC001074369273 773861460 /nfs/dbraw/zinc/86/14/60/773861460.db2.gz SZNTZHIIHSWKPG-SJORKVTESA-N 1 2 317.433 1.756 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCn3cccc3)C[C@H]21 ZINC001074369273 773861467 /nfs/dbraw/zinc/86/14/67/773861467.db2.gz SZNTZHIIHSWKPG-SJORKVTESA-N 1 2 317.433 1.756 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccn3CC)C[C@@H]21 ZINC001074405681 773900486 /nfs/dbraw/zinc/90/04/86/773900486.db2.gz WAJZHMHYYMFQQC-DLBZAZTESA-N 1 2 315.417 1.447 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccn3CC)C[C@@H]21 ZINC001074405681 773900489 /nfs/dbraw/zinc/90/04/89/773900489.db2.gz WAJZHMHYYMFQQC-DLBZAZTESA-N 1 2 315.417 1.447 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001092212877 774008135 /nfs/dbraw/zinc/00/81/35/774008135.db2.gz KATFIYBXSWGPGJ-ZUEPYMLJSA-N 1 2 304.394 1.419 20 30 DDEDLO Cc1ccc(C#N)c(NC2CC(CNC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001092233849 774022327 /nfs/dbraw/zinc/02/23/27/774022327.db2.gz IGELLWWHZBJZIJ-UHFFFAOYSA-N 1 2 324.388 1.534 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@]13C[C@H]1CCC3)c1nccn12 ZINC001092383702 774095064 /nfs/dbraw/zinc/09/50/64/774095064.db2.gz LLOBNACPIZWUDO-QWQRMKEZSA-N 1 2 312.417 1.831 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC13CC3)c1nccn12 ZINC001092400979 774102262 /nfs/dbraw/zinc/10/22/62/774102262.db2.gz TYXBXIYBEUYTAK-KGLIPLIRSA-N 1 2 310.401 1.278 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001074955846 774263984 /nfs/dbraw/zinc/26/39/84/774263984.db2.gz MYRDZIPZIMBSJA-HUUCEWRRSA-N 1 2 316.405 1.182 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)C[C@@H]1C ZINC001075081074 774337835 /nfs/dbraw/zinc/33/78/35/774337835.db2.gz DABBBNIZQJISNI-UONOGXRCSA-N 1 2 318.421 1.425 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCN(c2nc(C)[nH+]c(C)c2C)CC1 ZINC001093147845 774451670 /nfs/dbraw/zinc/45/16/70/774451670.db2.gz MUCBTFMJDKYMJB-QGZVFWFLSA-N 1 2 318.421 1.378 20 30 DDEDLO Cc1cc(N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)nc(C(C)C)[nH+]1 ZINC001093236173 774546539 /nfs/dbraw/zinc/54/65/39/774546539.db2.gz WWRQTJIQFCBQEP-MUYACECFSA-N 1 2 313.405 1.619 20 30 DDEDLO C[C@@H](CNC(=O)CCc1[nH+]ccn1C)Nc1ccc(C#N)nc1 ZINC001098441592 774583287 /nfs/dbraw/zinc/58/32/87/774583287.db2.gz CNJZKXWRNHNPGW-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)c3ccc(C)cc3)C2)nn1 ZINC001098774943 774671233 /nfs/dbraw/zinc/67/12/33/774671233.db2.gz VKNPLPBMRVGWCS-QGZVFWFLSA-N 1 2 323.400 1.397 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H](CC)OC)CC2)C1 ZINC001093513933 774763582 /nfs/dbraw/zinc/76/35/82/774763582.db2.gz WCVJMOXOXKHNNG-INIZCTEOSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cc(C)on3)CC2)C1 ZINC001093501917 774793781 /nfs/dbraw/zinc/79/37/81/774793781.db2.gz UGYTUHONSNYORD-UHFFFAOYSA-N 1 2 319.405 1.865 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001099063140 774804461 /nfs/dbraw/zinc/80/44/61/774804461.db2.gz CIKRBQQSCKPIPN-GFCCVEGCSA-N 1 2 314.393 1.329 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCO[C@H](C)C3)CC2)C1 ZINC001093584915 774863059 /nfs/dbraw/zinc/86/30/59/774863059.db2.gz MADXVGQAKFRUQO-HUUCEWRRSA-N 1 2 322.449 1.679 20 30 DDEDLO Cc1nc(-n2cccn2)c(C)c(NCCNC(=O)C#CC2CC2)[nH+]1 ZINC001093610058 774914356 /nfs/dbraw/zinc/91/43/56/774914356.db2.gz HGVLCKLZOBEQRN-UHFFFAOYSA-N 1 2 324.388 1.221 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[C@@H](C)Nc2cc[nH+]c(C)n2)cn1 ZINC001099324980 774947533 /nfs/dbraw/zinc/94/75/33/774947533.db2.gz BNHSJYKJVGSLBQ-GFCCVEGCSA-N 1 2 309.373 1.782 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCCNc3ccc(C#N)nc3)ccn12 ZINC001093888305 775187058 /nfs/dbraw/zinc/18/70/58/775187058.db2.gz XIQKPQHYYFBHDZ-UHFFFAOYSA-N 1 2 320.356 1.751 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2cnc(C)cn2)C[C@H]1O ZINC001099858417 775361478 /nfs/dbraw/zinc/36/14/78/775361478.db2.gz LIWHYDJHCVBDDR-JKSUJKDBSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)cn2)C[C@H]1O ZINC001099858417 775361485 /nfs/dbraw/zinc/36/14/85/775361485.db2.gz LIWHYDJHCVBDDR-JKSUJKDBSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094063477 775390946 /nfs/dbraw/zinc/39/09/46/775390946.db2.gz CMLSTWATFROFKR-MNOVXSKESA-N 1 2 306.414 1.232 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)n1 ZINC001094112505 775440213 /nfs/dbraw/zinc/44/02/13/775440213.db2.gz ZSAGMQKPLKCXPT-ZDUSSCGKSA-N 1 2 324.388 1.633 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099944354 775454426 /nfs/dbraw/zinc/45/44/26/775454426.db2.gz MKAKBZWDTSDOLI-CABCVRRESA-N 1 2 321.396 1.484 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099944354 775454431 /nfs/dbraw/zinc/45/44/31/775454431.db2.gz MKAKBZWDTSDOLI-CABCVRRESA-N 1 2 321.396 1.484 20 30 DDEDLO C=CCC1(C(=O)NCCNc2nc(N(C)C)nc(C)[nH+]2)CCC1 ZINC001094101840 775476955 /nfs/dbraw/zinc/47/69/55/775476955.db2.gz URYYZSVXOQXNFG-UHFFFAOYSA-N 1 2 318.425 1.521 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccsc3C)nn2)C1 ZINC001094287936 775687606 /nfs/dbraw/zinc/68/76/06/775687606.db2.gz XBTFUJXZGSOLIE-UHFFFAOYSA-N 1 2 317.418 1.621 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3(F)CCCC3)CC2=O)C1 ZINC001094753590 776249870 /nfs/dbraw/zinc/24/98/70/776249870.db2.gz ORVLRUVRFYRECQ-ZDUSSCGKSA-N 1 2 323.412 1.246 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3CC4(CCC4)C3)CC2=O)C1 ZINC001094770531 776259518 /nfs/dbraw/zinc/25/95/18/776259518.db2.gz DDFNEULUEDOPLT-CQSZACIVSA-N 1 2 317.433 1.154 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCCN1c1ncccc1C#N ZINC001100856278 776637009 /nfs/dbraw/zinc/63/70/09/776637009.db2.gz YWRJRYVSBJIZMC-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NCCCNc1ccc(C#N)nc1 ZINC001094907945 776782922 /nfs/dbraw/zinc/78/29/22/776782922.db2.gz XWTHLQJCRMHKQB-UHFFFAOYSA-N 1 2 312.377 1.238 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001095238450 777293407 /nfs/dbraw/zinc/29/34/07/777293407.db2.gz ROUFBKAIDPIPGL-LBPRGKRZSA-N 1 2 302.378 1.226 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001095238449 777293441 /nfs/dbraw/zinc/29/34/41/777293441.db2.gz ROUFBKAIDPIPGL-GFCCVEGCSA-N 1 2 302.378 1.226 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CSCCC)c1nccn12 ZINC001101610054 777299341 /nfs/dbraw/zinc/29/93/41/777299341.db2.gz DYHBPYRQHOHRKJ-ZDUSSCGKSA-N 1 2 320.462 1.784 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095295621 777461088 /nfs/dbraw/zinc/46/10/88/777461088.db2.gz FLCPJCPWIOEKSU-BBRMVZONSA-N 1 2 304.394 1.515 20 30 DDEDLO Cc1cnc(Nc2cnn(CC[NH+]3CCOCC3)c2)c(C#N)c1 ZINC001174489911 777483079 /nfs/dbraw/zinc/48/30/79/777483079.db2.gz IFTNXQBDDKHQCJ-UHFFFAOYSA-N 1 2 312.377 1.534 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2nnnn2CCC)C[C@H]1C ZINC001101806631 777543889 /nfs/dbraw/zinc/54/38/89/777543889.db2.gz ZPTCDPYFFSQDPT-ZIAGYGMSSA-N 1 2 320.441 1.233 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2nnnn2CCC)C[C@H]1C ZINC001101806631 777543896 /nfs/dbraw/zinc/54/38/96/777543896.db2.gz ZPTCDPYFFSQDPT-ZIAGYGMSSA-N 1 2 320.441 1.233 20 30 DDEDLO C[N@@H+]1CCCn2nc(C(=O)Nc3ccc(C#N)cc3C#N)cc2C1 ZINC001175094799 777690597 /nfs/dbraw/zinc/69/05/97/777690597.db2.gz NKNUVWOCFXTSTA-UHFFFAOYSA-N 1 2 320.356 1.714 20 30 DDEDLO C[N@H+]1CCCn2nc(C(=O)Nc3ccc(C#N)cc3C#N)cc2C1 ZINC001175094799 777690600 /nfs/dbraw/zinc/69/06/00/777690600.db2.gz NKNUVWOCFXTSTA-UHFFFAOYSA-N 1 2 320.356 1.714 20 30 DDEDLO CCCC(=O)N(C)CC[NH+]1CCN(c2ncccc2C#N)CC1 ZINC001102410619 778122350 /nfs/dbraw/zinc/12/23/50/778122350.db2.gz KRVMHZHIPCSZDD-UHFFFAOYSA-N 1 2 315.421 1.334 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOC(C)C)[C@H]2C1 ZINC001176954976 778345316 /nfs/dbraw/zinc/34/53/16/778345316.db2.gz LGCLUIOREROKAX-HOTGVXAUSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOC(C)C)[C@H]2C1 ZINC001176954976 778345322 /nfs/dbraw/zinc/34/53/22/778345322.db2.gz LGCLUIOREROKAX-HOTGVXAUSA-N 1 2 310.438 1.679 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCOCC=C)C[C@H]21 ZINC001176976156 778361670 /nfs/dbraw/zinc/36/16/70/778361670.db2.gz GPOHRFZBGGBHIV-SJORKVTESA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCOCC=C)C[C@H]21 ZINC001176976156 778361675 /nfs/dbraw/zinc/36/16/75/778361675.db2.gz GPOHRFZBGGBHIV-SJORKVTESA-N 1 2 320.433 1.294 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C\CC)C[C@@H]21 ZINC001176944621 778372972 /nfs/dbraw/zinc/37/29/72/778372972.db2.gz ZYUAVCANOMDERG-AKLXSSKXSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C\CC)C[C@@H]21 ZINC001176944621 778372976 /nfs/dbraw/zinc/37/29/76/778372976.db2.gz ZYUAVCANOMDERG-AKLXSSKXSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)C)C[C@H]21 ZINC001177019743 778395363 /nfs/dbraw/zinc/39/53/63/778395363.db2.gz RFNOUMUUHJVGPB-SJORKVTESA-N 1 2 320.433 1.150 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)C)C[C@H]21 ZINC001177019743 778395365 /nfs/dbraw/zinc/39/53/65/778395365.db2.gz RFNOUMUUHJVGPB-SJORKVTESA-N 1 2 320.433 1.150 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCF)C[C@@H]21 ZINC001177067055 778424797 /nfs/dbraw/zinc/42/47/97/778424797.db2.gz JVCZBGPBWUGPNV-LSDHHAIUSA-N 1 2 314.401 1.240 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCF)C[C@@H]21 ZINC001177067055 778424802 /nfs/dbraw/zinc/42/48/02/778424802.db2.gz JVCZBGPBWUGPNV-LSDHHAIUSA-N 1 2 314.401 1.240 20 30 DDEDLO Cc1nc(N2CCC[C@H](CCNC(=O)C#CC3CC3)C2)cc[nH+]1 ZINC001102920335 778489236 /nfs/dbraw/zinc/48/92/36/778489236.db2.gz BMAKWVHPXUVGRF-MRXNPFEDSA-N 1 2 312.417 1.921 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCC[NH2+]CC(F)(F)C(F)F ZINC001177276635 778529056 /nfs/dbraw/zinc/52/90/56/778529056.db2.gz FTJUIYOFIGFCPP-VIFPVBQESA-N 1 2 300.296 1.574 20 30 DDEDLO CCc1nc(N2CCC[C@@H]2CCNC(=O)[C@@H](C)C#N)cc(C)[nH+]1 ZINC001103725349 779015693 /nfs/dbraw/zinc/01/56/93/779015693.db2.gz UGTZGZMUNCPALP-GXTWGEPZSA-N 1 2 315.421 1.982 20 30 DDEDLO Cc1nc(NC[C@H]2CCCN2C(=O)CSCC#N)cc[nH+]1 ZINC001103791882 779047938 /nfs/dbraw/zinc/04/79/38/779047938.db2.gz VKUULPOYWKVGJL-GFCCVEGCSA-N 1 2 305.407 1.445 20 30 DDEDLO C[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)CNc1ccc(C#N)cn1 ZINC001103943247 779192934 /nfs/dbraw/zinc/19/29/34/779192934.db2.gz FKCOIAKTCDYIHA-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1(Nc2cc[nH+]c(C)n2)CCC1 ZINC001111793391 779484118 /nfs/dbraw/zinc/48/41/18/779484118.db2.gz SNZYYEVOQCIARR-OAHLLOKOSA-N 1 2 304.394 1.563 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2COc3c(cccc3OC)C2)CC1 ZINC001180398794 779747040 /nfs/dbraw/zinc/74/70/40/779747040.db2.gz LSUCIWMEQJDONQ-OAHLLOKOSA-N 1 2 316.401 1.577 20 30 DDEDLO C[C@@H](CCCNc1nccnc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115088881 779868612 /nfs/dbraw/zinc/86/86/12/779868612.db2.gz OERISBQAYZNURV-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=CC(C)(C)C(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115240625 779971573 /nfs/dbraw/zinc/97/15/73/779971573.db2.gz HYMNUZWXLOBDTF-UHFFFAOYSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(O)cc2)C1 ZINC001266307960 836094771 /nfs/dbraw/zinc/09/47/71/836094771.db2.gz NLFZFZFMRRSQEM-CQSZACIVSA-N 1 2 317.389 1.487 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2ccc(O)cc2)C1 ZINC001266307960 836094781 /nfs/dbraw/zinc/09/47/81/836094781.db2.gz NLFZFZFMRRSQEM-CQSZACIVSA-N 1 2 317.389 1.487 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC12CC[NH+](Cc1cnon1)CC2 ZINC001266370682 836183368 /nfs/dbraw/zinc/18/33/68/836183368.db2.gz JKNKNHJWOAHCRZ-AWEZNQCLSA-N 1 2 302.378 1.200 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1cncc(OC)n1 ZINC001266460707 836292618 /nfs/dbraw/zinc/29/26/18/836292618.db2.gz BPSBRRSFLJROND-HNNXBMFYSA-N 1 2 316.405 1.369 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1cncc(OC)n1 ZINC001266460707 836292630 /nfs/dbraw/zinc/29/26/30/836292630.db2.gz BPSBRRSFLJROND-HNNXBMFYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](Cc1ccnn1C)C1CC1 ZINC001266611443 836531974 /nfs/dbraw/zinc/53/19/74/836531974.db2.gz JYBXDKNTEFUVBN-CVEARBPZSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](Cc1ccnn1C)C1CC1 ZINC001266611443 836531982 /nfs/dbraw/zinc/53/19/82/836531982.db2.gz JYBXDKNTEFUVBN-CVEARBPZSA-N 1 2 318.421 1.092 20 30 DDEDLO CC(C)c1cnc(C[NH2+]CCN(C)C(=O)CSCC#N)o1 ZINC001267349115 837883867 /nfs/dbraw/zinc/88/38/67/837883867.db2.gz QHSCCKJEKZLGCD-UHFFFAOYSA-N 1 2 310.423 1.603 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@@H](C)Cc2ccco2)CC1 ZINC001267612431 838462995 /nfs/dbraw/zinc/46/29/95/838462995.db2.gz JYLXTPKTZUVZGK-INIZCTEOSA-N 1 2 319.449 1.720 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1C[NH+](Cc2cc(F)cc(F)c2)C1 ZINC001267682422 838636421 /nfs/dbraw/zinc/63/64/21/838636421.db2.gz WPMXFQWCRGBCGU-GFCCVEGCSA-N 1 2 322.355 1.551 20 30 DDEDLO C=CC[C@@H](C(=O)NCC1C[NH+](CC(=O)NC)C1)c1ccccc1 ZINC001267699116 838673246 /nfs/dbraw/zinc/67/32/46/838673246.db2.gz MTLLIZPHWYTLIB-MRXNPFEDSA-N 1 2 315.417 1.140 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)N(C)CC(F)(F)F ZINC001267711836 838698949 /nfs/dbraw/zinc/69/89/49/838698949.db2.gz GVXVMJCMXDAWPO-AVGNSLFASA-N 1 2 317.355 1.177 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)N(C)CC(F)(F)F ZINC001267711836 838698950 /nfs/dbraw/zinc/69/89/50/838698950.db2.gz GVXVMJCMXDAWPO-AVGNSLFASA-N 1 2 317.355 1.177 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)s2)C1 ZINC001267723983 838737294 /nfs/dbraw/zinc/73/72/94/838737294.db2.gz NGMQDSNRJHGMLD-JSGCOSHPSA-N 1 2 321.446 1.489 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)s2)C1 ZINC001267723983 838737298 /nfs/dbraw/zinc/73/72/98/838737298.db2.gz NGMQDSNRJHGMLD-JSGCOSHPSA-N 1 2 321.446 1.489 20 30 DDEDLO CCc1ncsc1C(=O)N[C@@H]1CC[N@H+](CC#CCOC)C1 ZINC001267743553 838817894 /nfs/dbraw/zinc/81/78/94/838817894.db2.gz WVUCIUHRRVCQRK-GFCCVEGCSA-N 1 2 307.419 1.159 20 30 DDEDLO CCc1ncsc1C(=O)N[C@@H]1CC[N@@H+](CC#CCOC)C1 ZINC001267743553 838817902 /nfs/dbraw/zinc/81/79/02/838817902.db2.gz WVUCIUHRRVCQRK-GFCCVEGCSA-N 1 2 307.419 1.159 20 30 DDEDLO CC1(C)CCCC[C@H]1C(=O)N[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267753893 838856949 /nfs/dbraw/zinc/85/69/49/838856949.db2.gz QTVLWAPVJMNMNW-KGLIPLIRSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CCCC[C@H]1C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267753893 838856961 /nfs/dbraw/zinc/85/69/61/838856961.db2.gz QTVLWAPVJMNMNW-KGLIPLIRSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@H]1CCCC[C@@]1(CNCC#N)NC(=O)CCc1c[nH+]cn1C ZINC001267873943 839108642 /nfs/dbraw/zinc/10/86/42/839108642.db2.gz NNUQZIZSULCXOF-YOEHRIQHSA-N 1 2 317.437 1.531 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001267937474 839223769 /nfs/dbraw/zinc/22/37/69/839223769.db2.gz NHTVSAKGPBPDLJ-OAHLLOKOSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001267937474 839223780 /nfs/dbraw/zinc/22/37/80/839223780.db2.gz NHTVSAKGPBPDLJ-OAHLLOKOSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccoc2CC)C1 ZINC001268020400 839389868 /nfs/dbraw/zinc/38/98/68/839389868.db2.gz GVOVFJVCGBTWGT-AWEZNQCLSA-N 1 2 322.405 1.475 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)c2ccoc2CC)C1 ZINC001268020400 839389883 /nfs/dbraw/zinc/38/98/83/839389883.db2.gz GVOVFJVCGBTWGT-AWEZNQCLSA-N 1 2 322.405 1.475 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H](C)Oc2cccnc2)C1 ZINC001268024602 839413294 /nfs/dbraw/zinc/41/32/94/839413294.db2.gz ADXDEEIJLYBWRG-GDBMZVCRSA-N 1 2 319.405 1.242 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H](C)Oc2cccnc2)C1 ZINC001268024602 839413303 /nfs/dbraw/zinc/41/33/03/839413303.db2.gz ADXDEEIJLYBWRG-GDBMZVCRSA-N 1 2 319.405 1.242 20 30 DDEDLO C=CCOCC(=O)N1CCC2(C[NH+](Cc3nccs3)C2)CC1 ZINC001268044022 839577134 /nfs/dbraw/zinc/57/71/34/839577134.db2.gz RGRMYILEIRXPJT-UHFFFAOYSA-N 1 2 321.446 1.770 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2CCCCC2)C1 ZINC001268153798 839763320 /nfs/dbraw/zinc/76/33/20/839763320.db2.gz PSSOLSCPPONLRE-HNNXBMFYSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2CCCCC2)C1 ZINC001268153798 839763327 /nfs/dbraw/zinc/76/33/27/839763327.db2.gz PSSOLSCPPONLRE-HNNXBMFYSA-N 1 2 307.438 1.450 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2sccc2F)C1 ZINC001268195863 839822167 /nfs/dbraw/zinc/82/21/67/839822167.db2.gz ZSAZAZKHLDTMBC-LBPRGKRZSA-N 1 2 310.394 1.731 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2sccc2F)C1 ZINC001268195863 839822173 /nfs/dbraw/zinc/82/21/73/839822173.db2.gz ZSAZAZKHLDTMBC-LBPRGKRZSA-N 1 2 310.394 1.731 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C/C[NH2+]Cc2nonc2C)cc1 ZINC001268575627 840474581 /nfs/dbraw/zinc/47/45/81/840474581.db2.gz KNMCDPQUCVUUIR-SNAWJCMRSA-N 1 2 310.357 1.435 20 30 DDEDLO C#CCCCCC(=O)NCC1C[NH+](Cc2ccc(OC)nn2)C1 ZINC001268822227 840867127 /nfs/dbraw/zinc/86/71/27/840867127.db2.gz GFIVJQZXHZBMEV-UHFFFAOYSA-N 1 2 316.405 1.227 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@]2(C)CCC[C@@H]2CC)C1 ZINC001268842876 840891554 /nfs/dbraw/zinc/89/15/54/840891554.db2.gz CHRPAYAZYRHUSF-MAUKXSAKSA-N 1 2 321.465 1.553 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC(=O)N1CCCC[C@H]1C ZINC001268929876 841010702 /nfs/dbraw/zinc/01/07/02/841010702.db2.gz OMKHYCLDIOFBIC-PMPSAXMXSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC(=O)N1CCCC[C@H]1C ZINC001268929876 841010712 /nfs/dbraw/zinc/01/07/12/841010712.db2.gz OMKHYCLDIOFBIC-PMPSAXMXSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCC(C)(C)C2)C1 ZINC001269060947 841162225 /nfs/dbraw/zinc/16/22/25/841162225.db2.gz COPVEXTUTANGLI-KBPBESRZSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCC(C)(C)C2)C1 ZINC001269060947 841162229 /nfs/dbraw/zinc/16/22/29/841162229.db2.gz COPVEXTUTANGLI-KBPBESRZSA-N 1 2 307.438 1.305 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)c3c[nH]c4cc(C#N)ccc43)C[C@H]21 ZINC001269159055 841279395 /nfs/dbraw/zinc/27/93/95/841279395.db2.gz NIAUOXJQRPRISJ-CVEARBPZSA-N 1 2 310.357 1.195 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)c3c[nH]c4cc(C#N)ccc43)C[C@H]21 ZINC001269159055 841279403 /nfs/dbraw/zinc/27/94/03/841279403.db2.gz NIAUOXJQRPRISJ-CVEARBPZSA-N 1 2 310.357 1.195 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001269202942 841336441 /nfs/dbraw/zinc/33/64/41/841336441.db2.gz HYGWYKRMYUEQPQ-QGZVFWFLSA-N 1 2 313.401 1.841 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001269202942 841336449 /nfs/dbraw/zinc/33/64/49/841336449.db2.gz HYGWYKRMYUEQPQ-QGZVFWFLSA-N 1 2 313.401 1.841 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001269223574 841369039 /nfs/dbraw/zinc/36/90/39/841369039.db2.gz PZAYJAFORLZRKM-WBMJQRKESA-N 1 2 319.430 1.637 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001269223574 841369051 /nfs/dbraw/zinc/36/90/51/841369051.db2.gz PZAYJAFORLZRKM-WBMJQRKESA-N 1 2 319.430 1.637 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@@H+]1CC[C@@H](N(C)C(=O)C#CC2CC2)C1 ZINC001269241388 841407999 /nfs/dbraw/zinc/40/79/99/841407999.db2.gz UHXZRAOCFLJEQO-GDBMZVCRSA-N 1 2 319.449 1.237 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@H+]1CC[C@@H](N(C)C(=O)C#CC2CC2)C1 ZINC001269241388 841408009 /nfs/dbraw/zinc/40/80/09/841408009.db2.gz UHXZRAOCFLJEQO-GDBMZVCRSA-N 1 2 319.449 1.237 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2([NH2+]Cc3ncc(C)o3)CC2)nc1 ZINC001269523088 841723509 /nfs/dbraw/zinc/72/35/09/841723509.db2.gz XKDZMOBPRCDAMS-UHFFFAOYSA-N 1 2 310.357 1.412 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCN(CC)C(=O)Cc1[nH]cc[nH+]1 ZINC001284637284 842068376 /nfs/dbraw/zinc/06/83/76/842068376.db2.gz KUOGKZDQUWKITQ-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO C#CCN(C(=O)c1cc[nH]c1)C1CC[NH+](Cc2ncccn2)CC1 ZINC001270315519 842482780 /nfs/dbraw/zinc/48/27/80/842482780.db2.gz RQGKANUIJOISHR-UHFFFAOYSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001154742286 861411941 /nfs/dbraw/zinc/41/19/41/861411941.db2.gz BTEDBQWSYAIAME-CHWSQXEVSA-N 1 2 323.441 1.037 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001154742286 861411949 /nfs/dbraw/zinc/41/19/49/861411949.db2.gz BTEDBQWSYAIAME-CHWSQXEVSA-N 1 2 323.441 1.037 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)CCCC#N)C3)C2)cc1C#N ZINC001271252503 843446752 /nfs/dbraw/zinc/44/67/52/843446752.db2.gz HGSYUBXZGOGGIH-UHFFFAOYSA-N 1 2 311.389 1.235 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccon1 ZINC001280397788 843568785 /nfs/dbraw/zinc/56/87/85/843568785.db2.gz WWQXATNFJJPZCR-CQSZACIVSA-N 1 2 307.394 1.852 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccon1 ZINC001280397788 843568793 /nfs/dbraw/zinc/56/87/93/843568793.db2.gz WWQXATNFJJPZCR-CQSZACIVSA-N 1 2 307.394 1.852 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CCCCCF)[nH]1 ZINC001280403051 843643214 /nfs/dbraw/zinc/64/32/14/843643214.db2.gz QULMRBKIUWBJST-AWEZNQCLSA-N 1 2 322.384 1.457 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CCCCCF)[nH]1 ZINC001280403051 843643220 /nfs/dbraw/zinc/64/32/20/843643220.db2.gz QULMRBKIUWBJST-AWEZNQCLSA-N 1 2 322.384 1.457 20 30 DDEDLO CN1C[C@]2(CC[N@H+](Cc3cc(F)c(C#N)c(F)c3)C2)OCC1=O ZINC001272660265 846504728 /nfs/dbraw/zinc/50/47/28/846504728.db2.gz MQQIDBTXQLGZOX-INIZCTEOSA-N 1 2 321.327 1.270 20 30 DDEDLO CN1C[C@]2(CC[N@@H+](Cc3cc(F)c(C#N)c(F)c3)C2)OCC1=O ZINC001272660265 846504730 /nfs/dbraw/zinc/50/47/30/846504730.db2.gz MQQIDBTXQLGZOX-INIZCTEOSA-N 1 2 321.327 1.270 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CO[C@@H](C)CC)C1 ZINC001149549124 861703685 /nfs/dbraw/zinc/70/36/85/861703685.db2.gz LSCPFNDPIZOKDW-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CO[C@@H](C)CC)C1 ZINC001149549124 861703695 /nfs/dbraw/zinc/70/36/95/861703695.db2.gz LSCPFNDPIZOKDW-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CC[NH2+]Cc1nnsc1Cl ZINC001272747164 846805525 /nfs/dbraw/zinc/80/55/25/846805525.db2.gz HLKVKGXTEWRIQY-JTQLQIEISA-N 1 2 314.842 1.979 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)C[N@H+](CCc2ccns2)CCO1 ZINC001107802289 847154562 /nfs/dbraw/zinc/15/45/62/847154562.db2.gz NQIIXABYAQFSFV-MRXNPFEDSA-N 1 2 323.462 1.859 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)C[N@@H+](CCc2ccns2)CCO1 ZINC001107802289 847154568 /nfs/dbraw/zinc/15/45/68/847154568.db2.gz NQIIXABYAQFSFV-MRXNPFEDSA-N 1 2 323.462 1.859 20 30 DDEDLO C[C@H](CNc1snc(Cl)c1C#N)NC(=O)Cn1cc[nH+]c1 ZINC001107812323 847175691 /nfs/dbraw/zinc/17/56/91/847175691.db2.gz KELMCTKQVRDFHJ-MRVPVSSYSA-N 1 2 324.797 1.481 20 30 DDEDLO CC(C)c1nc(C[NH2+]C[C@@H](C2CC2)N(C)C(=O)[C@@H](C)C#N)no1 ZINC001410287928 849022216 /nfs/dbraw/zinc/02/22/16/849022216.db2.gz AZWDEBOHSSPYPY-AAEUAGOBSA-N 1 2 319.409 1.679 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1scnc1Cl ZINC001273259806 849224772 /nfs/dbraw/zinc/22/47/72/849224772.db2.gz BFJXGEKFLJZMTD-GHMZBOCLSA-N 1 2 309.822 1.995 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1scnc1Cl ZINC001273259806 849224782 /nfs/dbraw/zinc/22/47/82/849224782.db2.gz BFJXGEKFLJZMTD-GHMZBOCLSA-N 1 2 309.822 1.995 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C(C)(C)COC)C1 ZINC001149974865 862000452 /nfs/dbraw/zinc/00/04/52/862000452.db2.gz QIOHXAUMTDRPFW-ZDUSSCGKSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C(C)(C)COC)C1 ZINC001149974865 862000469 /nfs/dbraw/zinc/00/04/69/862000469.db2.gz QIOHXAUMTDRPFW-ZDUSSCGKSA-N 1 2 318.845 1.619 20 30 DDEDLO C=CCCC(=O)N1CCOC2(C[NH+](C[C@H](OCC)C3CC3)C2)C1 ZINC001327315090 862055012 /nfs/dbraw/zinc/05/50/12/862055012.db2.gz LILQIUJDZBNMAS-INIZCTEOSA-N 1 2 322.449 1.681 20 30 DDEDLO COCC[NH+]1CC2(C1)CC[C@H](CNC(=O)C#CC(C)(C)C)O2 ZINC001327349449 862087641 /nfs/dbraw/zinc/08/76/41/862087641.db2.gz JNIJSLHRPRORCA-CQSZACIVSA-N 1 2 308.422 1.032 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H](OCC)C(=C)C)CO2 ZINC001327396076 862138120 /nfs/dbraw/zinc/13/81/20/862138120.db2.gz DNQLSOISNUVWHM-HOTGVXAUSA-N 1 2 322.449 1.893 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3cc(C)sn3)C2)OCC1=O ZINC001273629339 851162919 /nfs/dbraw/zinc/16/29/19/851162919.db2.gz JSSDBLCSQKFVAS-OAHLLOKOSA-N 1 2 307.419 1.441 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3cc(C)sn3)C2)OCC1=O ZINC001273629339 851162924 /nfs/dbraw/zinc/16/29/24/851162924.db2.gz JSSDBLCSQKFVAS-OAHLLOKOSA-N 1 2 307.419 1.441 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H](C)C(C)C)O2 ZINC001273669524 851205727 /nfs/dbraw/zinc/20/57/27/851205727.db2.gz VPNYFRWWBIVEPD-HZPDHXFCSA-N 1 2 324.465 1.831 20 30 DDEDLO C#CCNC(=O)[C@H]1CC12CCN(Cc1[nH+]cn3ccccc13)CC2 ZINC001273674727 851211188 /nfs/dbraw/zinc/21/11/88/851211188.db2.gz YAXLVEGYYQCYMK-OAHLLOKOSA-N 1 2 322.412 1.686 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@](CO)([NH2+]Cc2ncc(C)o2)C1 ZINC001273857321 851430561 /nfs/dbraw/zinc/43/05/61/851430561.db2.gz GXBPEYJWGFFFHO-MRXNPFEDSA-N 1 2 307.394 1.392 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc3n1CCC3)C2 ZINC001273962815 851605396 /nfs/dbraw/zinc/60/53/96/851605396.db2.gz RJFRBOWICNNZLO-UHFFFAOYSA-N 1 2 301.390 1.034 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[N@H+](Cc2cn(C)nn2)CC1(C)C ZINC001274435318 852262963 /nfs/dbraw/zinc/26/29/63/852262963.db2.gz JHFVSSBSBAZEKO-OAHLLOKOSA-N 1 2 317.437 1.191 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[N@@H+](Cc2cn(C)nn2)CC1(C)C ZINC001274435318 852262971 /nfs/dbraw/zinc/26/29/71/852262971.db2.gz JHFVSSBSBAZEKO-OAHLLOKOSA-N 1 2 317.437 1.191 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1C[C@]2(F)CN([C@@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274614162 852442671 /nfs/dbraw/zinc/44/26/71/852442671.db2.gz BQUUHUUIAZQXSK-CYUUQNCZSA-N 1 2 302.365 1.296 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1C[C@]2(F)CN([C@@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274614162 852442675 /nfs/dbraw/zinc/44/26/75/852442675.db2.gz BQUUHUUIAZQXSK-CYUUQNCZSA-N 1 2 302.365 1.296 20 30 DDEDLO C=CCN1CC[C@]2(CCCN2c2nc(N(C)CC)cc[nH+]2)C1=O ZINC001274738385 852566729 /nfs/dbraw/zinc/56/67/29/852566729.db2.gz XTYNTQOOUGPORX-QGZVFWFLSA-N 1 2 315.421 1.690 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C[NH2+][C@H](C)c1nnc(CC)o1 ZINC001274889020 852659839 /nfs/dbraw/zinc/65/98/39/852659839.db2.gz UWQHKDRQRFJZGK-CHWSQXEVSA-N 1 2 306.410 1.981 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1ccn(C)n1 ZINC001275092998 852799426 /nfs/dbraw/zinc/79/94/26/852799426.db2.gz AEDXDZNKOOSWPW-HNNXBMFYSA-N 1 2 306.410 1.046 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1ccn(C)n1 ZINC001275092998 852799433 /nfs/dbraw/zinc/79/94/33/852799433.db2.gz AEDXDZNKOOSWPW-HNNXBMFYSA-N 1 2 306.410 1.046 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H](C)[N@H+](C)Cc1nc(C)cs1 ZINC001275819518 853815217 /nfs/dbraw/zinc/81/52/17/853815217.db2.gz SJXCSBUONKDNII-WFASDCNBSA-N 1 2 311.451 1.715 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H](C)[N@@H+](C)Cc1nc(C)cs1 ZINC001275819518 853815222 /nfs/dbraw/zinc/81/52/22/853815222.db2.gz SJXCSBUONKDNII-WFASDCNBSA-N 1 2 311.451 1.715 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@@H]2CCO[C@H](CC)C2)C1 ZINC001276094375 854755748 /nfs/dbraw/zinc/75/57/48/854755748.db2.gz GPKGYCWRNJGRNA-HUUCEWRRSA-N 1 2 310.438 1.321 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@@H](C=C)c2ccccc2)C1 ZINC001276107422 854768415 /nfs/dbraw/zinc/76/84/15/854768415.db2.gz GZZUPAUPACSNHE-KRWDZBQOSA-N 1 2 312.413 1.533 20 30 DDEDLO CC#CCCCC(=O)N1CCOC2(C[NH+](CC[C@H](C)F)C2)C1 ZINC001327331228 855203607 /nfs/dbraw/zinc/20/36/07/855203607.db2.gz KYKQYAKGSITSFG-HNNXBMFYSA-N 1 2 310.413 1.841 20 30 DDEDLO COCC#CC(=O)N[C@@H](C)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001328084994 862684611 /nfs/dbraw/zinc/68/46/11/862684611.db2.gz UDIBBXBXFNXJFN-RDJZCZTQSA-N 1 2 316.401 1.042 20 30 DDEDLO COCC#CC(=O)N[C@@H](C)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001328084994 862684617 /nfs/dbraw/zinc/68/46/17/862684617.db2.gz UDIBBXBXFNXJFN-RDJZCZTQSA-N 1 2 316.401 1.042 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ncnn2C)[C@H](C)C1 ZINC001328268633 862846277 /nfs/dbraw/zinc/84/62/77/862846277.db2.gz VGILUISKLTYZGL-ZIAGYGMSSA-N 1 2 305.426 1.641 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ncnn2C)[C@H](C)C1 ZINC001328268633 862846288 /nfs/dbraw/zinc/84/62/88/862846288.db2.gz VGILUISKLTYZGL-ZIAGYGMSSA-N 1 2 305.426 1.641 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)s1 ZINC001072553127 857437793 /nfs/dbraw/zinc/43/77/93/857437793.db2.gz WAQDWUHEWJVXQW-JTQLQIEISA-N 1 2 305.407 1.041 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)s1 ZINC001072553127 857437805 /nfs/dbraw/zinc/43/78/05/857437805.db2.gz WAQDWUHEWJVXQW-JTQLQIEISA-N 1 2 305.407 1.041 20 30 DDEDLO C#CC[N@H+]1CCC2(CN(C(=O)c3cc(-c4ccoc4)[nH]n3)C2)C1 ZINC001073430591 858330482 /nfs/dbraw/zinc/33/04/82/858330482.db2.gz ITLLNGVXYGJOAO-UHFFFAOYSA-N 1 2 310.357 1.451 20 30 DDEDLO C#CC[N@@H+]1CCC2(CN(C(=O)c3cc(-c4ccoc4)[nH]n3)C2)C1 ZINC001073430591 858330483 /nfs/dbraw/zinc/33/04/83/858330483.db2.gz ITLLNGVXYGJOAO-UHFFFAOYSA-N 1 2 310.357 1.451 20 30 DDEDLO C=CCn1c(N(C)CC(C)C)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121707951 858577929 /nfs/dbraw/zinc/57/79/29/858577929.db2.gz DYODQOUANXOPFL-XJKSGUPXSA-N 1 2 307.442 1.468 20 30 DDEDLO C=CCn1c(N(C)CC(C)C)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001121707951 858577932 /nfs/dbraw/zinc/57/79/32/858577932.db2.gz DYODQOUANXOPFL-XJKSGUPXSA-N 1 2 307.442 1.468 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001122482313 858844779 /nfs/dbraw/zinc/84/47/79/858844779.db2.gz LJSINYANSXVWNO-PBHICJAKSA-N 1 2 319.453 1.778 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC(=C)C ZINC001122482313 858844781 /nfs/dbraw/zinc/84/47/81/858844781.db2.gz LJSINYANSXVWNO-PBHICJAKSA-N 1 2 319.453 1.778 20 30 DDEDLO C#CCOCCN(C)C(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC001124132218 859594635 /nfs/dbraw/zinc/59/46/35/859594635.db2.gz DOANDJQTJGZYKV-UHFFFAOYSA-N 1 2 311.385 1.582 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(Cc3cc(F)cc(C#N)c3)C2)CCO1 ZINC001139875156 860505723 /nfs/dbraw/zinc/50/57/23/860505723.db2.gz ISLJVJMYYJXHKP-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO CC1(C)C[N@H+](C2CN(Cc3cc(F)cc(C#N)c3)C2)CCO1 ZINC001139875156 860505728 /nfs/dbraw/zinc/50/57/28/860505728.db2.gz ISLJVJMYYJXHKP-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO CC(=O)N1CCC2(C[NH+](Cc3sc(N)c(C#N)c3Cl)C2)C1 ZINC001141111634 860787341 /nfs/dbraw/zinc/78/73/41/860787341.db2.gz WWBJYGGLRVWQNQ-UHFFFAOYSA-N 1 2 324.837 1.910 20 30 DDEDLO C#CCCC1(CCNC(=O)c2nc3c(s2)C[N@H+](C)CC3)N=N1 ZINC001154226441 860897637 /nfs/dbraw/zinc/89/76/37/860897637.db2.gz KUTAQNQRWLYWNS-UHFFFAOYSA-N 1 2 317.418 1.826 20 30 DDEDLO C#CCCC1(CCNC(=O)c2nc3c(s2)C[N@@H+](C)CC3)N=N1 ZINC001154226441 860897656 /nfs/dbraw/zinc/89/76/56/860897656.db2.gz KUTAQNQRWLYWNS-UHFFFAOYSA-N 1 2 317.418 1.826 20 30 DDEDLO C=C[C@H](C(=O)NCC[NH2+]Cc1nc(CC)no1)c1ccccc1 ZINC001151997473 863099988 /nfs/dbraw/zinc/09/99/88/863099988.db2.gz HCRWXJLLAKCGRD-AWEZNQCLSA-N 1 2 314.389 1.808 20 30 DDEDLO C#CCCCCC(=O)NCCC[NH2+]Cc1nnsc1Cl ZINC001156812602 863357418 /nfs/dbraw/zinc/35/74/18/863357418.db2.gz YMJXEBWXYUXPQF-UHFFFAOYSA-N 1 2 314.842 1.981 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nonc1C ZINC001153012432 863650326 /nfs/dbraw/zinc/65/03/26/863650326.db2.gz KVBYNGHHDFIJMC-IAQYHMDHSA-N 1 2 310.398 1.032 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nonc1C ZINC001153012432 863650331 /nfs/dbraw/zinc/65/03/31/863650331.db2.gz KVBYNGHHDFIJMC-IAQYHMDHSA-N 1 2 310.398 1.032 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1-n1ncc(C#N)c1N)n1cc[nH+]c1 ZINC001157288182 863714208 /nfs/dbraw/zinc/71/42/08/863714208.db2.gz HZYQZLUBXMWYCH-NSHDSACASA-N 1 2 321.344 1.722 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC001329556483 863727782 /nfs/dbraw/zinc/72/77/82/863727782.db2.gz GQNLLJHHLRMNND-AWEZNQCLSA-N 1 2 318.421 1.236 20 30 DDEDLO CC[N@H+](Cc1csnc1OC)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153241295 863780686 /nfs/dbraw/zinc/78/06/86/863780686.db2.gz WQTCNFKGBOTQJQ-GFCCVEGCSA-N 1 2 321.446 1.892 20 30 DDEDLO CC[N@@H+](Cc1csnc1OC)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153241295 863780694 /nfs/dbraw/zinc/78/06/94/863780694.db2.gz WQTCNFKGBOTQJQ-GFCCVEGCSA-N 1 2 321.446 1.892 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@H](OCC)C2CCCC2)C1 ZINC001329681537 863787471 /nfs/dbraw/zinc/78/74/71/863787471.db2.gz LJYHUABWXQOXOG-MRXNPFEDSA-N 1 2 322.449 1.158 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cscn1 ZINC001153306597 863814153 /nfs/dbraw/zinc/81/41/53/863814153.db2.gz LXPOAPXTXVVVAK-CHWSQXEVSA-N 1 2 309.435 1.508 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cscn1 ZINC001153306597 863814155 /nfs/dbraw/zinc/81/41/55/863814155.db2.gz LXPOAPXTXVVVAK-CHWSQXEVSA-N 1 2 309.435 1.508 20 30 DDEDLO CCC(CC)[C@H](C)C(=O)N[C@H](C)C1C[NH+](CC(=O)NCC#N)C1 ZINC001330021670 864023771 /nfs/dbraw/zinc/02/37/71/864023771.db2.gz MCCKFPNJYILPIU-QWHCGFSZSA-N 1 2 322.453 1.135 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H](C)C(F)(F)F)C2)C1 ZINC001330160139 864114190 /nfs/dbraw/zinc/11/41/90/864114190.db2.gz LLIBDLGBKDNRBO-GXTWGEPZSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H](C)C(F)(F)F)C2)C1 ZINC001330160139 864114195 /nfs/dbraw/zinc/11/41/95/864114195.db2.gz LLIBDLGBKDNRBO-GXTWGEPZSA-N 1 2 318.339 1.511 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3cnn(C)n3)C[C@H]21 ZINC001330329884 864244448 /nfs/dbraw/zinc/24/44/48/864244448.db2.gz UWPBDRGYPHRXJH-PNBKFKSVSA-N 1 2 317.437 1.211 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3cnn(C)n3)C[C@H]21 ZINC001330329884 864244461 /nfs/dbraw/zinc/24/44/61/864244461.db2.gz UWPBDRGYPHRXJH-PNBKFKSVSA-N 1 2 317.437 1.211 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2ncc3c(c2C#N)CCCC3)C[NH2+]1 ZINC001158260158 864523612 /nfs/dbraw/zinc/52/36/12/864523612.db2.gz WHJROBFGTCTOKU-RISCZKNCSA-N 1 2 300.362 1.147 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCCC[C@@H]1CN(C)CC#N ZINC001158571445 864737935 /nfs/dbraw/zinc/73/79/35/864737935.db2.gz JJQGEPSYGSYGRM-UKRRQHHQSA-N 1 2 303.410 1.425 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCCC[C@@H]1CN(C)CC#N ZINC001158571445 864737947 /nfs/dbraw/zinc/73/79/47/864737947.db2.gz JJQGEPSYGSYGRM-UKRRQHHQSA-N 1 2 303.410 1.425 20 30 DDEDLO COCc1noc([C@H](C)[NH2+][C@@H](C)CN(C)C(=O)C#CC(C)C)n1 ZINC001331439121 865085199 /nfs/dbraw/zinc/08/51/99/865085199.db2.gz DNGNSRUWKIZDIZ-STQMWFEESA-N 1 2 322.409 1.373 20 30 DDEDLO Cc1cnc(C[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001159586915 865413466 /nfs/dbraw/zinc/41/34/66/865413466.db2.gz FBBRPQAISIPYPI-LBPRGKRZSA-N 1 2 302.378 1.781 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001159586915 865413476 /nfs/dbraw/zinc/41/34/76/865413476.db2.gz FBBRPQAISIPYPI-LBPRGKRZSA-N 1 2 302.378 1.781 20 30 DDEDLO CC(C)C#CC(=O)NCC1([NH2+]Cc2nnc(C3CC3)[nH]2)CC1 ZINC001277054048 881900909 /nfs/dbraw/zinc/90/09/09/881900909.db2.gz AWQFKVDOMIZHHV-UHFFFAOYSA-N 1 2 301.394 1.080 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1([NH2+][C@@H](C)c2ncc(C)o2)CC1 ZINC001277055387 881909729 /nfs/dbraw/zinc/90/97/29/881909729.db2.gz OWPAFIZRPKGALA-QWHCGFSZSA-N 1 2 305.378 1.321 20 30 DDEDLO C=CCn1nnnc1N(CC[NH+]1CCOCC1)c1ccccc1 ZINC001332490738 865881998 /nfs/dbraw/zinc/88/19/98/865881998.db2.gz YPDZLLYIUWZOCK-UHFFFAOYSA-N 1 2 314.393 1.329 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H](O)C[N@@H+](C)[C@@H](C)c1nc(C)no1 ZINC001332634600 866002871 /nfs/dbraw/zinc/00/28/71/866002871.db2.gz ZRONCOUQDZWCKO-GXTWGEPZSA-N 1 2 324.425 1.546 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H](O)C[N@H+](C)[C@@H](C)c1nc(C)no1 ZINC001332634600 866002888 /nfs/dbraw/zinc/00/28/88/866002888.db2.gz ZRONCOUQDZWCKO-GXTWGEPZSA-N 1 2 324.425 1.546 20 30 DDEDLO Cc1csc(C[N@@H+](C)C[C@H](O)CN(C)C(=O)C#CC(C)C)n1 ZINC001332635432 866003357 /nfs/dbraw/zinc/00/33/57/866003357.db2.gz XJEMXDBPRZRLMI-AWEZNQCLSA-N 1 2 323.462 1.362 20 30 DDEDLO Cc1csc(C[N@H+](C)C[C@H](O)CN(C)C(=O)C#CC(C)C)n1 ZINC001332635432 866003366 /nfs/dbraw/zinc/00/33/66/866003366.db2.gz XJEMXDBPRZRLMI-AWEZNQCLSA-N 1 2 323.462 1.362 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@@H](NC(=O)C#CC(C)C)C2CC2)no1 ZINC001322990125 866246319 /nfs/dbraw/zinc/24/63/19/866246319.db2.gz DNPRXNFOODDCRZ-BXUZGUMPSA-N 1 2 304.394 1.583 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[N@@H+](C)CC(=O)N[C@@H](C)CC)C1 ZINC001320041307 866444056 /nfs/dbraw/zinc/44/40/56/866444056.db2.gz DCSZHASEPAGHND-AWEZNQCLSA-N 1 2 309.454 1.648 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[N@H+](C)CC(=O)N[C@@H](C)CC)C1 ZINC001320041307 866444058 /nfs/dbraw/zinc/44/40/58/866444058.db2.gz DCSZHASEPAGHND-AWEZNQCLSA-N 1 2 309.454 1.648 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H]2CC[N@H+]2CC#CCOC)CCCCC1 ZINC001323369378 866566156 /nfs/dbraw/zinc/56/61/56/866566156.db2.gz BXBOUUCGIOXRKW-KRWDZBQOSA-N 1 2 316.445 1.801 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H]2CC[N@@H+]2CC#CCOC)CCCCC1 ZINC001323369378 866566169 /nfs/dbraw/zinc/56/61/69/866566169.db2.gz BXBOUUCGIOXRKW-KRWDZBQOSA-N 1 2 316.445 1.801 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)C(C)(C)[C@H]2CCCCO2)C1 ZINC001323931894 866956534 /nfs/dbraw/zinc/95/65/34/866956534.db2.gz WFXZNPMKJUWCOG-MRXNPFEDSA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(N(C)C)no1 ZINC001324145991 867105740 /nfs/dbraw/zinc/10/57/40/867105740.db2.gz KNMPOOCSHOYHPU-CYBMUJFWSA-N 1 2 319.409 1.020 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]1C[NH2+]Cc1nc(N(C)C)no1 ZINC001324221308 867148605 /nfs/dbraw/zinc/14/86/05/867148605.db2.gz MDEUGICZVHUTKT-ZDUSSCGKSA-N 1 2 321.425 1.573 20 30 DDEDLO C#CCN(C(=O)CC)C1CC[NH+](Cc2nnc(CC)o2)CC1 ZINC001324353745 867223498 /nfs/dbraw/zinc/22/34/98/867223498.db2.gz VBAKAHOFLADACY-UHFFFAOYSA-N 1 2 304.394 1.468 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC/C=C/C[NH2+]Cc1nc(C)c(C)o1 ZINC001321057832 867273872 /nfs/dbraw/zinc/27/38/72/867273872.db2.gz TVKAVQROKKTNBE-PSKZRQQASA-N 1 2 305.378 1.092 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@@H](CNCC#N)C1CCCC1 ZINC001324480361 867302463 /nfs/dbraw/zinc/30/24/63/867302463.db2.gz AWILKMVBGHGPQQ-HNNXBMFYSA-N 1 2 316.405 1.421 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@]1(C)CC[N@H+](CC(=O)NCC#N)C1 ZINC001324609357 867403146 /nfs/dbraw/zinc/40/31/46/867403146.db2.gz VTBHCKCXHDNGLT-XJKSGUPXSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@]1(C)CC[N@@H+](CC(=O)NCC#N)C1 ZINC001324609357 867403150 /nfs/dbraw/zinc/40/31/50/867403150.db2.gz VTBHCKCXHDNGLT-XJKSGUPXSA-N 1 2 308.426 1.033 20 30 DDEDLO C#CCOCCC(=O)N[C@]1(C)CC[N@@H+](Cc2ccn(CC)n2)C1 ZINC001324614578 867407638 /nfs/dbraw/zinc/40/76/38/867407638.db2.gz JCCCEHUMPWVFLX-QGZVFWFLSA-N 1 2 318.421 1.024 20 30 DDEDLO C#CCOCCC(=O)N[C@]1(C)CC[N@H+](Cc2ccn(CC)n2)C1 ZINC001324614578 867407647 /nfs/dbraw/zinc/40/76/47/867407647.db2.gz JCCCEHUMPWVFLX-QGZVFWFLSA-N 1 2 318.421 1.024 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001334444434 867573611 /nfs/dbraw/zinc/57/36/11/867573611.db2.gz POUKCLFQUVXJJH-CYBMUJFWSA-N 1 2 320.437 1.908 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C[NH2+]Cc2csnn2)cc1 ZINC001321610126 867694175 /nfs/dbraw/zinc/69/41/75/867694175.db2.gz TWRYBPAIIFHSDT-LLVKDONJSA-N 1 2 300.387 1.428 20 30 DDEDLO CC(=O)NC[C@@]1(O)CC[N@H+](CC#Cc2ccccc2Cl)C1 ZINC001325056070 867726189 /nfs/dbraw/zinc/72/61/89/867726189.db2.gz XEBLQPHCFHKRFC-INIZCTEOSA-N 1 2 306.793 1.264 20 30 DDEDLO CC(=O)NC[C@@]1(O)CC[N@@H+](CC#Cc2ccccc2Cl)C1 ZINC001325056070 867726201 /nfs/dbraw/zinc/72/62/01/867726201.db2.gz XEBLQPHCFHKRFC-INIZCTEOSA-N 1 2 306.793 1.264 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001325308345 867933502 /nfs/dbraw/zinc/93/35/02/867933502.db2.gz MLPHZGUCJOARMI-UHFFFAOYSA-N 1 2 304.365 1.242 20 30 DDEDLO C#CC[C@H](NC(=O)C(=O)N[C@@H](C)Cn1cc[nH+]c1)c1ccccc1 ZINC001322220047 868059209 /nfs/dbraw/zinc/05/92/09/868059209.db2.gz WLYGDJUHBUPQAF-HOCLYGCPSA-N 1 2 324.384 1.269 20 30 DDEDLO Cc1cnc(C[NH+]2CCC(NC(=O)CSCC#N)CC2)o1 ZINC001226145771 882233336 /nfs/dbraw/zinc/23/33/36/882233336.db2.gz ZQXNPENKMQMZET-UHFFFAOYSA-N 1 2 308.407 1.320 20 30 DDEDLO Cc1nc(C[NH+]2CCC(NC(=O)CSCC#N)CC2)cs1 ZINC001226152189 882233899 /nfs/dbraw/zinc/23/38/99/882233899.db2.gz DDODNUGSIDZTJJ-UHFFFAOYSA-N 1 2 324.475 1.789 20 30 DDEDLO COCCC[NH+]1CCC(Oc2[nH]c(=O)cc(C)c2C#N)CC1 ZINC001226223596 882287893 /nfs/dbraw/zinc/28/78/93/882287893.db2.gz RWMYTXAGRIRWEH-UHFFFAOYSA-N 1 2 305.378 1.847 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001337133975 869341007 /nfs/dbraw/zinc/34/10/07/869341007.db2.gz KHXCTFHEZZHROU-LBPRGKRZSA-N 1 2 304.394 1.027 20 30 DDEDLO CC1CCN(C(=O)c2ccc(N3CC[NH2+]C[C@H]3C#N)nc2)CC1 ZINC001164660181 869344371 /nfs/dbraw/zinc/34/43/71/869344371.db2.gz BASXOHWRJQCXEE-OAHLLOKOSA-N 1 2 313.405 1.255 20 30 DDEDLO C=CCC1(C(=O)NCCC[NH2+]Cc2noc(CC)n2)CCC1 ZINC001165998345 869799673 /nfs/dbraw/zinc/79/96/73/869799673.db2.gz RQGQPOYLUCVIIT-UHFFFAOYSA-N 1 2 306.410 1.974 20 30 DDEDLO C=CCOC[C@H]1CCC[C@@]12CN(c1cc(N)nc[nH+]1)CCO2 ZINC001166370186 869971546 /nfs/dbraw/zinc/97/15/46/869971546.db2.gz HEYKOVRRHMPKGE-CZUORRHYSA-N 1 2 304.394 1.637 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN([C@H](C)c2csnn2)CC1 ZINC001316963492 870001065 /nfs/dbraw/zinc/00/10/65/870001065.db2.gz ULUWJIUYTLDYDW-CYBMUJFWSA-N 1 2 323.466 1.299 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H](NC(=O)c2cccs2)C1 ZINC001316970010 870015594 /nfs/dbraw/zinc/01/55/94/870015594.db2.gz VFXSQEYEICAQPF-NWDGAFQWSA-N 1 2 307.419 1.243 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)c2cccs2)C1 ZINC001316970010 870015609 /nfs/dbraw/zinc/01/56/09/870015609.db2.gz VFXSQEYEICAQPF-NWDGAFQWSA-N 1 2 307.419 1.243 20 30 DDEDLO CN(CC1CC1)C(=O)C[N@@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001316978142 870045175 /nfs/dbraw/zinc/04/51/75/870045175.db2.gz QSSGHVBBHWJZKQ-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO CN(CC1CC1)C(=O)C[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001316978142 870045189 /nfs/dbraw/zinc/04/51/89/870045189.db2.gz QSSGHVBBHWJZKQ-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NC(C)(C)C)C1 ZINC001316988443 870075956 /nfs/dbraw/zinc/07/59/56/870075956.db2.gz VFPILWMHDUKYKV-CYBMUJFWSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)NC(C)(C)C)C1 ZINC001316988443 870075966 /nfs/dbraw/zinc/07/59/66/870075966.db2.gz VFPILWMHDUKYKV-CYBMUJFWSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N1CCC(CO)CC1 ZINC001338749233 870148478 /nfs/dbraw/zinc/14/84/78/870148478.db2.gz JVTUQHVSTJRKDQ-OAHLLOKOSA-N 1 2 319.453 1.830 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N1CCC(CO)CC1 ZINC001338749233 870148489 /nfs/dbraw/zinc/14/84/89/870148489.db2.gz JVTUQHVSTJRKDQ-OAHLLOKOSA-N 1 2 319.453 1.830 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCCCO1 ZINC001317043971 870156340 /nfs/dbraw/zinc/15/63/40/870156340.db2.gz FHEUMIHEDPNMTE-BBWFWOEESA-N 1 2 320.433 1.271 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCCCO1 ZINC001317043971 870156347 /nfs/dbraw/zinc/15/63/47/870156347.db2.gz FHEUMIHEDPNMTE-BBWFWOEESA-N 1 2 320.433 1.271 20 30 DDEDLO N#CC[C@H]1CCCN(c2cc(N3CCC[C@H]3C(N)=O)nc[nH+]2)C1 ZINC001166742061 870212087 /nfs/dbraw/zinc/21/20/87/870212087.db2.gz YOWCBTZDETUDOH-OLZOCXBDSA-N 1 2 314.393 1.061 20 30 DDEDLO N#CC[C@H]1CCCN(c2cc(N3CCC[C@H]3C(N)=O)[nH+]cn2)C1 ZINC001166742061 870212095 /nfs/dbraw/zinc/21/20/95/870212095.db2.gz YOWCBTZDETUDOH-OLZOCXBDSA-N 1 2 314.393 1.061 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001298141106 870309040 /nfs/dbraw/zinc/30/90/40/870309040.db2.gz ABURFAHMXJXVHW-GXTWGEPZSA-N 1 2 304.394 1.320 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[N@@H+](CC)Cc1ccncc1Cl ZINC001317478355 870896397 /nfs/dbraw/zinc/89/63/97/870896397.db2.gz HQFRSXINPMQNFY-CYBMUJFWSA-N 1 2 323.824 1.711 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[N@H+](CC)Cc1ccncc1Cl ZINC001317478355 870896405 /nfs/dbraw/zinc/89/64/05/870896405.db2.gz HQFRSXINPMQNFY-CYBMUJFWSA-N 1 2 323.824 1.711 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1nc(C)c(C)o1 ZINC001317504766 870939553 /nfs/dbraw/zinc/93/95/53/870939553.db2.gz JKWDCVPAPHPVRI-HNNXBMFYSA-N 1 2 319.405 1.412 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1nc(C)c(C)o1 ZINC001317504766 870939568 /nfs/dbraw/zinc/93/95/68/870939568.db2.gz JKWDCVPAPHPVRI-HNNXBMFYSA-N 1 2 319.405 1.412 20 30 DDEDLO N#Cc1cccc2[nH]cc(C(=O)N[C@H]3CCn4c[nH+]cc4C3)c21 ZINC001299812374 870940175 /nfs/dbraw/zinc/94/01/75/870940175.db2.gz APHYWADXPMLERW-LBPRGKRZSA-N 1 2 305.341 1.981 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CCC[N@H+]1Cc1cnnn1C ZINC001317510953 870957432 /nfs/dbraw/zinc/95/74/32/870957432.db2.gz OJFOZYZFOFEVMO-CYBMUJFWSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CCC[N@@H+]1Cc1cnnn1C ZINC001317510953 870957443 /nfs/dbraw/zinc/95/74/43/870957443.db2.gz OJFOZYZFOFEVMO-CYBMUJFWSA-N 1 2 305.426 1.498 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3[C@@H]4CCCC[C@@H]43)n2CC)CC1 ZINC001340217075 870965013 /nfs/dbraw/zinc/96/50/13/870965013.db2.gz UQSCXSQVHIQAOI-ZSHCYNCHSA-N 1 2 313.449 1.957 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(C)(C)CC1 ZINC001340251647 870985638 /nfs/dbraw/zinc/98/56/38/870985638.db2.gz OJQIRIPYFYYEHS-KBPBESRZSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(C)(C)CC1 ZINC001340251647 870985649 /nfs/dbraw/zinc/98/56/49/870985649.db2.gz OJQIRIPYFYYEHS-KBPBESRZSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1CC(C)(OC)C1 ZINC001340296770 871016036 /nfs/dbraw/zinc/01/60/36/871016036.db2.gz GWWZHFUYPXQQMY-UHFFFAOYSA-N 1 2 305.426 1.675 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(F)c(C#N)c1N)[NH+]1CCSCC1 ZINC001301821291 871025222 /nfs/dbraw/zinc/02/52/22/871025222.db2.gz MWZBRVXZBCRPKL-VIFPVBQESA-N 1 2 308.382 1.655 20 30 DDEDLO C#CCCN(CCOC)c1nnc([C@@H]2CCCC[N@@H+]2C)n1CC ZINC001340413679 871089831 /nfs/dbraw/zinc/08/98/31/871089831.db2.gz PPBJAQSSSBHCOM-HNNXBMFYSA-N 1 2 319.453 1.931 20 30 DDEDLO C#CCCN(CCOC)c1nnc([C@@H]2CCCC[N@H+]2C)n1CC ZINC001340413679 871089848 /nfs/dbraw/zinc/08/98/48/871089848.db2.gz PPBJAQSSSBHCOM-HNNXBMFYSA-N 1 2 319.453 1.931 20 30 DDEDLO C#CCCCC(=O)NCC[N@@H+](C)CC(=O)N[C@H]1CCCC[C@@H]1C ZINC001317443508 871329510 /nfs/dbraw/zinc/32/95/10/871329510.db2.gz ASRTVJIJGFPVTG-HOTGVXAUSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCCCC(=O)NCC[N@H+](C)CC(=O)N[C@H]1CCCC[C@@H]1C ZINC001317443508 871329526 /nfs/dbraw/zinc/32/95/26/871329526.db2.gz ASRTVJIJGFPVTG-HOTGVXAUSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc4ccccc4[nH]3)n2C)CC1 ZINC001341269397 871584938 /nfs/dbraw/zinc/58/49/38/871584938.db2.gz BUEUZKHMZQEWQQ-UHFFFAOYSA-N 1 2 320.400 1.719 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CCC(CNCC#N)CC1)n1cc[nH+]c1 ZINC001205500327 871588677 /nfs/dbraw/zinc/58/86/77/871588677.db2.gz QSGJJIXOUIVGKJ-INIZCTEOSA-N 1 2 317.437 1.822 20 30 DDEDLO COc1ncc(NC(=O)NCCCn2cc[nH+]c2)cc1C#N ZINC001311194932 871679837 /nfs/dbraw/zinc/67/98/37/871679837.db2.gz MMNKMADJNIHGFA-UHFFFAOYSA-N 1 2 300.322 1.370 20 30 DDEDLO C=CCOCC(=O)NC1C[NH+]([C@@H](C)c2cccc(OC)c2)C1 ZINC001318010435 871685613 /nfs/dbraw/zinc/68/56/13/871685613.db2.gz PSWRYWMLWBOROX-ZDUSSCGKSA-N 1 2 304.390 1.759 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC1CN(CC#Cc2ccccc2)C1 ZINC001318070012 871724891 /nfs/dbraw/zinc/72/48/91/871724891.db2.gz RTXQZCSUBYAMBL-OAHLLOKOSA-N 1 2 322.412 1.440 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC1CN(CC#Cc2ccccc2)C1 ZINC001318070012 871724896 /nfs/dbraw/zinc/72/48/96/871724896.db2.gz RTXQZCSUBYAMBL-OAHLLOKOSA-N 1 2 322.412 1.440 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@@H]2C[C@@H](O)C[N@@H+]2C)n1CC=C ZINC001342061731 871979040 /nfs/dbraw/zinc/97/90/40/871979040.db2.gz KYGUPNHBSVXJQV-CABCVRRESA-N 1 2 319.453 1.994 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@@H]2C[C@@H](O)C[N@H+]2C)n1CC=C ZINC001342061731 871979050 /nfs/dbraw/zinc/97/90/50/871979050.db2.gz KYGUPNHBSVXJQV-CABCVRRESA-N 1 2 319.453 1.994 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)NCC[N@H+]2CCOCC2(C)C)C1 ZINC001342229016 872087871 /nfs/dbraw/zinc/08/78/71/872087871.db2.gz QXMLNNHOAYLUJK-CQSZACIVSA-N 1 2 311.426 1.084 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)NCC[N@@H+]2CCOCC2(C)C)C1 ZINC001342229016 872087891 /nfs/dbraw/zinc/08/78/91/872087891.db2.gz QXMLNNHOAYLUJK-CQSZACIVSA-N 1 2 311.426 1.084 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@](C)(NC(=O)C#CC(C)C)C2CC2)no1 ZINC001318686727 872205327 /nfs/dbraw/zinc/20/53/27/872205327.db2.gz RMNUNCRIDITOJP-SJCJKPOMSA-N 1 2 318.421 1.973 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(F)F)n2CC(=C)C)CC1 ZINC001342483511 872230407 /nfs/dbraw/zinc/23/04/07/872230407.db2.gz HUAYLWZWVKJRRS-UHFFFAOYSA-N 1 2 309.364 1.721 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@H]2CCCN2C(=O)CSCC#N)no1 ZINC001319049098 872413972 /nfs/dbraw/zinc/41/39/72/872413972.db2.gz NKNARICKJXORPF-ZYHUDNBSSA-N 1 2 323.422 1.276 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)C2C=CC=CC=C2)C1 ZINC001319312041 872564623 /nfs/dbraw/zinc/56/46/23/872564623.db2.gz YCMDVUNHKZBSRE-KRWDZBQOSA-N 1 2 318.417 1.304 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)C2C=CC=CC=C2)C1 ZINC001319312041 872564637 /nfs/dbraw/zinc/56/46/37/872564637.db2.gz YCMDVUNHKZBSRE-KRWDZBQOSA-N 1 2 318.417 1.304 20 30 DDEDLO C#CC[NH2+]CC(=O)Nc1ccccc1C[NH+]1CCSCC1 ZINC001343409688 872613571 /nfs/dbraw/zinc/61/35/71/872613571.db2.gz QAIAFCGFEOYGFH-UHFFFAOYSA-N 1 2 303.431 1.397 20 30 DDEDLO C#CCOCC[N@@H+](C)[C@@H](C)C(=O)c1[nH]c(C)c(C(=O)OC)c1C ZINC001345029532 873230571 /nfs/dbraw/zinc/23/05/71/873230571.db2.gz HSAPHHNZHPMVFH-ZDUSSCGKSA-N 1 2 320.389 1.571 20 30 DDEDLO C#CCOCC[N@H+](C)[C@@H](C)C(=O)c1[nH]c(C)c(C(=O)OC)c1C ZINC001345029532 873230586 /nfs/dbraw/zinc/23/05/86/873230586.db2.gz HSAPHHNZHPMVFH-ZDUSSCGKSA-N 1 2 320.389 1.571 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@]2(C)CCCOC2)C1 ZINC001381806208 882708708 /nfs/dbraw/zinc/70/87/08/882708708.db2.gz DHYRCCKIZCAELH-SWLSCSKDSA-N 1 2 300.830 1.992 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)C(C)C)n2CC2CC2)CC1 ZINC001346471555 873766593 /nfs/dbraw/zinc/76/65/93/873766593.db2.gz BLHHEYGCAZFHBL-OAHLLOKOSA-N 1 2 317.437 1.133 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1CCCOCC ZINC001346975859 873958022 /nfs/dbraw/zinc/95/80/22/873958022.db2.gz FYIDOWOQNYKNMY-CABCVRRESA-N 1 2 319.453 1.929 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@@H]2CCC[N@H+]2C)n1CCCOCC ZINC001346975859 873958032 /nfs/dbraw/zinc/95/80/32/873958032.db2.gz FYIDOWOQNYKNMY-CABCVRRESA-N 1 2 319.453 1.929 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1NC(=O)C(C)(C)C(C)(C)C ZINC001208321515 874007462 /nfs/dbraw/zinc/00/74/62/874007462.db2.gz WHNUKQKSLIGPND-CHWSQXEVSA-N 1 2 322.453 1.135 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1NC(=O)C(C)(C)C(C)(C)C ZINC001208321515 874007480 /nfs/dbraw/zinc/00/74/80/874007480.db2.gz WHNUKQKSLIGPND-CHWSQXEVSA-N 1 2 322.453 1.135 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001378103358 874151856 /nfs/dbraw/zinc/15/18/56/874151856.db2.gz UKIVVWQABCPGEH-BXUZGUMPSA-N 1 2 305.353 1.423 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001378103358 874151859 /nfs/dbraw/zinc/15/18/59/874151859.db2.gz UKIVVWQABCPGEH-BXUZGUMPSA-N 1 2 305.353 1.423 20 30 DDEDLO C=C[C@H](C(=O)N(C)C[C@@H](O)C[NH+]1CCOCC1)c1ccccc1 ZINC001348091894 874357192 /nfs/dbraw/zinc/35/71/92/874357192.db2.gz ZLEGEBBCQAFNJT-SJORKVTESA-N 1 2 318.417 1.108 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1C[C@H](C)C(=O)OC ZINC001208714812 874408742 /nfs/dbraw/zinc/40/87/42/874408742.db2.gz WPYQRFZZQNPNTG-JSGCOSHPSA-N 1 2 322.409 1.209 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1C[C@H](C)C(=O)OC ZINC001208714812 874408743 /nfs/dbraw/zinc/40/87/43/874408743.db2.gz WPYQRFZZQNPNTG-JSGCOSHPSA-N 1 2 322.409 1.209 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[N@H+](Cc2cncc(F)c2)CC1(C)C ZINC001381858653 882829251 /nfs/dbraw/zinc/82/92/51/882829251.db2.gz UMDHJOAMGCQBEI-FZMZJTMJSA-N 1 2 304.369 1.707 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[N@@H+](Cc2cncc(F)c2)CC1(C)C ZINC001381858653 882829269 /nfs/dbraw/zinc/82/92/69/882829269.db2.gz UMDHJOAMGCQBEI-FZMZJTMJSA-N 1 2 304.369 1.707 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@@](C)(NC(C)=O)C(C)C ZINC001378238274 874509226 /nfs/dbraw/zinc/50/92/26/874509226.db2.gz SOCJPVJZQWDCJF-DOMZBBRYSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@@](C)(NC(C)=O)C(C)C ZINC001378238274 874509236 /nfs/dbraw/zinc/50/92/36/874509236.db2.gz SOCJPVJZQWDCJF-DOMZBBRYSA-N 1 2 317.861 1.726 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001209111735 874673659 /nfs/dbraw/zinc/67/36/59/874673659.db2.gz PYSZMIYIOJBZBH-AWEZNQCLSA-N 1 2 304.394 1.652 20 30 DDEDLO CCc1nnc([C@H](C)[NH+]2CCC(NC(=O)C#CC(C)C)CC2)o1 ZINC001227266046 882945391 /nfs/dbraw/zinc/94/53/91/882945391.db2.gz SMYUFSNBQKTOLY-ZDUSSCGKSA-N 1 2 318.421 1.933 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001350570391 875762889 /nfs/dbraw/zinc/76/28/89/875762889.db2.gz CHDMNAZZDTWZLQ-GFCCVEGCSA-N 1 2 304.394 1.371 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001211425580 875802543 /nfs/dbraw/zinc/80/25/43/875802543.db2.gz OITIATXTLBMYDF-VNHYZAJKSA-N 1 2 321.421 1.765 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001211425580 875802549 /nfs/dbraw/zinc/80/25/49/875802549.db2.gz OITIATXTLBMYDF-VNHYZAJKSA-N 1 2 321.421 1.765 20 30 DDEDLO CC#CCCCC(=O)N1CCC(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001350919441 875959058 /nfs/dbraw/zinc/95/90/58/875959058.db2.gz YOPXIOUFZKVSHW-UHFFFAOYSA-N 1 2 316.405 1.184 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2cnsn2)C1 ZINC001214466139 876349772 /nfs/dbraw/zinc/34/97/72/876349772.db2.gz GFVTZOKPIQZEKO-TZMCWYRMSA-N 1 2 306.435 1.668 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCCNC(=O)Cn1cc[nH+]c1 ZINC001351653648 876366268 /nfs/dbraw/zinc/36/62/68/876366268.db2.gz CRIXDTFCZGHGIL-UHFFFAOYSA-N 1 2 306.410 1.450 20 30 DDEDLO C#CCC1(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3nc(C)no3)C2)CCC1 ZINC001214830211 876474477 /nfs/dbraw/zinc/47/44/77/876474477.db2.gz VNRVAXYXLUJWBW-TZMCWYRMSA-N 1 2 316.405 1.508 20 30 DDEDLO COCC#CC[NH+]1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC001227474486 883036895 /nfs/dbraw/zinc/03/68/95/883036895.db2.gz SEOXNAJKRHPCJW-LLVKDONJSA-N 1 2 310.291 1.117 20 30 DDEDLO COCC#CC[NH+]1CCC(NC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001227474486 883036911 /nfs/dbraw/zinc/03/69/11/883036911.db2.gz SEOXNAJKRHPCJW-LLVKDONJSA-N 1 2 310.291 1.117 20 30 DDEDLO CNc1cc(-n2c(Br)c(C#N)c(N)cc2=N)cc[nH+]1 ZINC001216331290 876920195 /nfs/dbraw/zinc/92/01/95/876920195.db2.gz OUHYPHMLLQJUSO-UHFFFAOYSA-N 1 2 319.166 1.610 20 30 DDEDLO Cn1cc(C(F)F)c(C[NH2+]Cc2cnc3c(C#N)cnn3c2)n1 ZINC001352877041 876985587 /nfs/dbraw/zinc/98/55/87/876985587.db2.gz MPAMYTLYTPDBOJ-UHFFFAOYSA-N 1 2 317.303 1.562 20 30 DDEDLO C=C/C(C)=C/CC(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001218488698 877499446 /nfs/dbraw/zinc/49/94/46/877499446.db2.gz OWXIWLOOYAKKKZ-NVCKSXSZSA-N 1 2 318.417 1.070 20 30 DDEDLO C=C/C(C)=C/CC(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001218488698 877499449 /nfs/dbraw/zinc/49/94/49/877499449.db2.gz OWXIWLOOYAKKKZ-NVCKSXSZSA-N 1 2 318.417 1.070 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(NC(=O)CCc3cn[nH]n3)CCC[C@@H]12 ZINC001379629531 877577923 /nfs/dbraw/zinc/57/79/23/877577923.db2.gz NSPMIQXGHQZGEC-UKRRQHHQSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(NC(=O)CCc3cn[nH]n3)CCC[C@@H]12 ZINC001379629531 877577938 /nfs/dbraw/zinc/57/79/38/877577938.db2.gz NSPMIQXGHQZGEC-UKRRQHHQSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(NC(=O)CCc3c[nH]nn3)CCC[C@@H]12 ZINC001379629531 877577949 /nfs/dbraw/zinc/57/79/49/877577949.db2.gz NSPMIQXGHQZGEC-UKRRQHHQSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(NC(=O)CCc3c[nH]nn3)CCC[C@@H]12 ZINC001379629531 877577961 /nfs/dbraw/zinc/57/79/61/877577961.db2.gz NSPMIQXGHQZGEC-UKRRQHHQSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@](C)(NC(C)=O)C(C)C ZINC001379794184 878050551 /nfs/dbraw/zinc/05/05/51/878050551.db2.gz FYAXSUFHMMYLNC-IUODEOHRSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@](C)(NC(C)=O)C(C)C ZINC001379794184 878050569 /nfs/dbraw/zinc/05/05/69/878050569.db2.gz FYAXSUFHMMYLNC-IUODEOHRSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C1CCC(C(=O)N[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001354672865 878146446 /nfs/dbraw/zinc/14/64/46/878146446.db2.gz AQXJGDWLCJXLEO-GFCCVEGCSA-N 1 2 304.394 1.320 20 30 DDEDLO Cc1cc(Cl)ccc1C[N@H+]1C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](O)C1 ZINC001219379707 878164475 /nfs/dbraw/zinc/16/44/75/878164475.db2.gz XCJVOYZGUDVKRH-DFBGVHRSSA-N 1 2 321.808 1.469 20 30 DDEDLO Cc1cc(Cl)ccc1C[N@@H+]1C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](O)C1 ZINC001219379707 878164479 /nfs/dbraw/zinc/16/44/79/878164479.db2.gz XCJVOYZGUDVKRH-DFBGVHRSSA-N 1 2 321.808 1.469 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](C)NC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001354706438 878165584 /nfs/dbraw/zinc/16/55/84/878165584.db2.gz NXLBOHMLYLDIBN-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C#CCC1(C(=O)N[C@H](C)CCNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001355325018 878501049 /nfs/dbraw/zinc/50/10/49/878501049.db2.gz YJCQGKOWBZFPBV-CYBMUJFWSA-N 1 2 316.405 1.157 20 30 DDEDLO C=C1CCC(C(=O)N(C)CCNC(=O)Cc2c[nH+]cn2C)CC1 ZINC001355759864 878703750 /nfs/dbraw/zinc/70/37/50/878703750.db2.gz XYGQSMDGNZSPHS-UHFFFAOYSA-N 1 2 318.421 1.284 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCCCCC(C)C)[C@@H](O)C1 ZINC001220488422 879054896 /nfs/dbraw/zinc/05/48/96/879054896.db2.gz ZKMFPDKVWRUMHE-SJORKVTESA-N 1 2 324.465 1.404 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCCCCC(C)C)[C@@H](O)C1 ZINC001220488422 879054909 /nfs/dbraw/zinc/05/49/09/879054909.db2.gz ZKMFPDKVWRUMHE-SJORKVTESA-N 1 2 324.465 1.404 20 30 DDEDLO CCc1nnc([C@H](C)[NH+]2CCC(C)(NC(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC001380300803 879274024 /nfs/dbraw/zinc/27/40/24/879274024.db2.gz UMAQISCWYZWBEB-RYUDHWBXSA-N 1 2 318.425 1.558 20 30 DDEDLO CCc1nnc([C@@H](C)[NH+]2CCC(C)(NC(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC001380300801 879274103 /nfs/dbraw/zinc/27/41/03/879274103.db2.gz UMAQISCWYZWBEB-NWDGAFQWSA-N 1 2 318.425 1.558 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001356781241 879345129 /nfs/dbraw/zinc/34/51/29/879345129.db2.gz CEYQMGRUUYELOP-UHFFFAOYSA-N 1 2 304.394 1.190 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CNC(=O)CCC)[C@@H]2C1 ZINC001221396372 879750536 /nfs/dbraw/zinc/75/05/36/879750536.db2.gz UUGNSHUHVJULBE-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CNC(=O)CCC)[C@@H]2C1 ZINC001221396372 879750550 /nfs/dbraw/zinc/75/05/50/879750550.db2.gz UUGNSHUHVJULBE-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](C)[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001357072344 879756827 /nfs/dbraw/zinc/75/68/27/879756827.db2.gz DITOBLUQOWBGHO-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](Cc3snnc3C)C[C@H]21 ZINC001221429012 879784585 /nfs/dbraw/zinc/78/45/85/879784585.db2.gz DDZMVWTZNXMPSI-CHWSQXEVSA-N 1 2 306.435 1.845 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3snnc3C)C[C@H]21 ZINC001221429012 879784606 /nfs/dbraw/zinc/78/46/06/879784606.db2.gz DDZMVWTZNXMPSI-CHWSQXEVSA-N 1 2 306.435 1.845 20 30 DDEDLO CCc1ocnc1C(=O)N1C[C@H]2CC[N@H+](CC#CCOC)C[C@H]21 ZINC001221458798 879825516 /nfs/dbraw/zinc/82/55/16/879825516.db2.gz TZPKVBNCJARBQV-ZIAGYGMSSA-N 1 2 317.389 1.033 20 30 DDEDLO CCc1ocnc1C(=O)N1C[C@H]2CC[N@@H+](CC#CCOC)C[C@H]21 ZINC001221458798 879825533 /nfs/dbraw/zinc/82/55/33/879825533.db2.gz TZPKVBNCJARBQV-ZIAGYGMSSA-N 1 2 317.389 1.033 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCC)C[C@H]21 ZINC001221583575 879956863 /nfs/dbraw/zinc/95/68/63/879956863.db2.gz PVRZUSLNEFDDEM-HUUCEWRRSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCC)C[C@H]21 ZINC001221583575 879956874 /nfs/dbraw/zinc/95/68/74/879956874.db2.gz PVRZUSLNEFDDEM-HUUCEWRRSA-N 1 2 307.438 1.402 20 30 DDEDLO Cc1cc(C[NH+]2CC(O)(CNC(=O)[C@@H](C)C#N)C2)c(C)s1 ZINC001380580193 879980395 /nfs/dbraw/zinc/98/03/95/879980395.db2.gz GCMCKRNGKMWHKU-JTQLQIEISA-N 1 2 307.419 1.188 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3onc(C)c3C)[C@@H]2C1 ZINC001221636320 879980489 /nfs/dbraw/zinc/98/04/89/879980489.db2.gz NQFMMXYVZBJKBH-HUUCEWRRSA-N 1 2 317.389 1.088 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3onc(C)c3C)[C@@H]2C1 ZINC001221636320 879980492 /nfs/dbraw/zinc/98/04/92/879980492.db2.gz NQFMMXYVZBJKBH-HUUCEWRRSA-N 1 2 317.389 1.088 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)CCC(C)(C)C)[C@@H]2C1 ZINC001221881206 880129099 /nfs/dbraw/zinc/12/90/99/880129099.db2.gz DRDQRTAYECTFDB-HUUCEWRRSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)CCC(C)(C)C)[C@@H]2C1 ZINC001221881206 880129103 /nfs/dbraw/zinc/12/91/03/880129103.db2.gz DRDQRTAYECTFDB-HUUCEWRRSA-N 1 2 319.449 1.095 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ncccc3F)[C@@H]2C1 ZINC001221890753 880136037 /nfs/dbraw/zinc/13/60/37/880136037.db2.gz GLTARRJBZLVPSW-UKRRQHHQSA-N 1 2 317.364 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ncccc3F)[C@@H]2C1 ZINC001221890753 880136042 /nfs/dbraw/zinc/13/60/42/880136042.db2.gz GLTARRJBZLVPSW-UKRRQHHQSA-N 1 2 317.364 1.017 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3cncn3C)C[C@H]21 ZINC001222069198 880213681 /nfs/dbraw/zinc/21/36/81/880213681.db2.gz JJFIRFQHVAIDJY-SQWLQELKSA-N 1 2 318.421 1.044 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3cncn3C)C[C@H]21 ZINC001222069198 880213694 /nfs/dbraw/zinc/21/36/94/880213694.db2.gz JJFIRFQHVAIDJY-SQWLQELKSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C1CC(C)(C(=O)NC/C=C\CNC(=O)Cc2c[nH+]cn2C)C1 ZINC001357974357 880272067 /nfs/dbraw/zinc/27/20/67/880272067.db2.gz STCNROAUHAFHBS-PLNGDYQASA-N 1 2 316.405 1.108 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@@H+]([C@@H](C)C(N)=O)C[C@H]32)CCCC1 ZINC001222142398 880281775 /nfs/dbraw/zinc/28/17/75/880281775.db2.gz JMMZSFOKLXMZFJ-RRFJBIMHSA-N 1 2 319.449 1.529 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@H+]([C@@H](C)C(N)=O)C[C@H]32)CCCC1 ZINC001222142398 880281790 /nfs/dbraw/zinc/28/17/90/880281790.db2.gz JMMZSFOKLXMZFJ-RRFJBIMHSA-N 1 2 319.449 1.529 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)[C@@H]2CC2(C)C)C1 ZINC001380728682 880285827 /nfs/dbraw/zinc/28/58/27/880285827.db2.gz HLIIAVUYTVCCSX-WFASDCNBSA-N 1 2 300.830 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)[C@@H]2CC2(C)C)C1 ZINC001380728682 880285839 /nfs/dbraw/zinc/28/58/39/880285839.db2.gz HLIIAVUYTVCCSX-WFASDCNBSA-N 1 2 300.830 1.728 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)NC(=O)c1c(C)cc(C)[nH+]c1C ZINC001358306345 880395861 /nfs/dbraw/zinc/39/58/61/880395861.db2.gz AYKUVEFOTOWKKI-CYBMUJFWSA-N 1 2 319.405 1.444 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1(CNC(=O)Cc2c[nH+]cn2C)CC1 ZINC001358678390 880745705 /nfs/dbraw/zinc/74/57/05/880745705.db2.gz OXJGYCBVXVFTGT-OLZOCXBDSA-N 1 2 318.421 1.186 20 30 DDEDLO CC#CCCCC(=O)NCC1(NC(=O)CCn2cc[nH+]c2)CC1 ZINC001358685656 880758936 /nfs/dbraw/zinc/75/89/36/880758936.db2.gz UAIFVYVEKXCIID-UHFFFAOYSA-N 1 2 316.405 1.232 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2Cc2sccc2C)CC1 ZINC001359030168 881161815 /nfs/dbraw/zinc/16/18/15/881161815.db2.gz PNBVXECRCUSSFG-UHFFFAOYSA-N 1 2 315.446 1.760 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)C1(c2ccccc2)CC1 ZINC001276963193 881324480 /nfs/dbraw/zinc/32/44/80/881324480.db2.gz FEHMCXIDJBNDQW-KRWDZBQOSA-N 1 2 312.413 1.559 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)C1(c2ccccc2)CC1 ZINC001276963193 881324498 /nfs/dbraw/zinc/32/44/98/881324498.db2.gz FEHMCXIDJBNDQW-KRWDZBQOSA-N 1 2 312.413 1.559 20 30 DDEDLO N#CC1(NC(=O)[C@]23C[C@H]2CC[N@H+]3CCC(F)(F)F)CCC1 ZINC001277275669 883361464 /nfs/dbraw/zinc/36/14/64/883361464.db2.gz OPVOCCZKDCZUBD-MFKMUULPSA-N 1 2 301.312 1.966 20 30 DDEDLO N#CC1(NC(=O)[C@]23C[C@H]2CC[N@@H+]3CCC(F)(F)F)CCC1 ZINC001277275669 883361482 /nfs/dbraw/zinc/36/14/82/883361482.db2.gz OPVOCCZKDCZUBD-MFKMUULPSA-N 1 2 301.312 1.966 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001288056556 912700872 /nfs/dbraw/zinc/70/08/72/912700872.db2.gz PSJYGHPHWUBNAQ-STQMWFEESA-N 1 2 304.394 1.320 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCCN(CC#N)C1CCCCCC1 ZINC001277383015 883955934 /nfs/dbraw/zinc/95/59/34/883955934.db2.gz WFDBLFYIYLSGNS-UHFFFAOYSA-N 1 2 317.437 1.627 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2nocc2C)CC1 ZINC001230414734 884480298 /nfs/dbraw/zinc/48/02/98/884480298.db2.gz FOFMTLVPHNMKJK-CYBMUJFWSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCc1cccc(C[N@H+]2CCc3c([nH]nc3C(N)=O)C2)c1O ZINC001277458205 884533464 /nfs/dbraw/zinc/53/34/64/884533464.db2.gz UIPLUXKUQRVVEG-UHFFFAOYSA-N 1 2 312.373 1.501 20 30 DDEDLO C=CCc1cccc(C[N@@H+]2CCc3c([nH]nc3C(N)=O)C2)c1O ZINC001277458205 884533479 /nfs/dbraw/zinc/53/34/79/884533479.db2.gz UIPLUXKUQRVVEG-UHFFFAOYSA-N 1 2 312.373 1.501 20 30 DDEDLO COCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC001230539682 884555740 /nfs/dbraw/zinc/55/57/40/884555740.db2.gz ZQXISZHJGNXYQW-INIZCTEOSA-N 1 2 304.365 1.356 20 30 DDEDLO COCC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccc(F)cc1 ZINC001230539682 884555745 /nfs/dbraw/zinc/55/57/45/884555745.db2.gz ZQXISZHJGNXYQW-INIZCTEOSA-N 1 2 304.365 1.356 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)C(F)F)cc1C#N ZINC001230574576 884605039 /nfs/dbraw/zinc/60/50/39/884605039.db2.gz GYBDUORAFGHEJL-CYBMUJFWSA-N 1 2 323.343 1.865 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2CN(C)C(=O)C(F)F)cc1C#N ZINC001230574576 884605050 /nfs/dbraw/zinc/60/50/50/884605050.db2.gz GYBDUORAFGHEJL-CYBMUJFWSA-N 1 2 323.343 1.865 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccsc1 ZINC001230696603 884760294 /nfs/dbraw/zinc/76/02/94/884760294.db2.gz CUKLLUUOROVUEV-JSGCOSHPSA-N 1 2 321.446 1.585 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1ccsc1 ZINC001230696603 884760305 /nfs/dbraw/zinc/76/03/05/884760305.db2.gz CUKLLUUOROVUEV-JSGCOSHPSA-N 1 2 321.446 1.585 20 30 DDEDLO N#CCC1CN(C(=O)[C@@]23C[C@@H]2CC[N@H+]3Cc2ccc(F)nc2)C1 ZINC001277463826 884766019 /nfs/dbraw/zinc/76/60/19/884766019.db2.gz PIVLMAVPSXKMLR-WMLDXEAASA-N 1 2 314.364 1.557 20 30 DDEDLO N#CCC1CN(C(=O)[C@@]23C[C@@H]2CC[N@@H+]3Cc2ccc(F)nc2)C1 ZINC001277463826 884766025 /nfs/dbraw/zinc/76/60/25/884766025.db2.gz PIVLMAVPSXKMLR-WMLDXEAASA-N 1 2 314.364 1.557 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)CCC(C)C)C1=O ZINC001230731280 884803977 /nfs/dbraw/zinc/80/39/77/884803977.db2.gz UOBJORUVLSOOTQ-JKSUJKDBSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CCC(C)C)C1=O ZINC001230731280 884803991 /nfs/dbraw/zinc/80/39/91/884803991.db2.gz UOBJORUVLSOOTQ-JKSUJKDBSA-N 1 2 321.465 1.742 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(Cl)o1 ZINC001230759781 884841666 /nfs/dbraw/zinc/84/16/66/884841666.db2.gz WZDMQTXCRUNLJW-LBPRGKRZSA-N 1 2 310.781 1.729 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(Cl)o1 ZINC001230759781 884841688 /nfs/dbraw/zinc/84/16/88/884841688.db2.gz WZDMQTXCRUNLJW-LBPRGKRZSA-N 1 2 310.781 1.729 20 30 DDEDLO Cc1ncsc1C[N@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815004 884917565 /nfs/dbraw/zinc/91/75/65/884917565.db2.gz JODAQHDMNYGWLC-GFCCVEGCSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1ncsc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815004 884917579 /nfs/dbraw/zinc/91/75/79/884917579.db2.gz JODAQHDMNYGWLC-GFCCVEGCSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](C)CCOCCNC(=O)C#CC(C)C)o1 ZINC001277487753 885239884 /nfs/dbraw/zinc/23/98/84/885239884.db2.gz QZGNUMRPFMNZRW-ZDUSSCGKSA-N 1 2 322.409 1.163 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](C)CCOCCNC(=O)C#CC(C)C)o1 ZINC001277487753 885239896 /nfs/dbraw/zinc/23/98/96/885239896.db2.gz QZGNUMRPFMNZRW-ZDUSSCGKSA-N 1 2 322.409 1.163 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)CC1CC1 ZINC001231114581 885250249 /nfs/dbraw/zinc/25/02/49/885250249.db2.gz PBGYTXIBRVHUMF-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)CC1CC1 ZINC001231114581 885250268 /nfs/dbraw/zinc/25/02/68/885250268.db2.gz PBGYTXIBRVHUMF-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC1CC1 ZINC001231343422 885526150 /nfs/dbraw/zinc/52/61/50/885526150.db2.gz VETYRZNBEQEJLR-DZGCQCFKSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCC1CC1 ZINC001231343422 885526155 /nfs/dbraw/zinc/52/61/55/885526155.db2.gz VETYRZNBEQEJLR-DZGCQCFKSA-N 1 2 307.438 1.400 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2cc(C)ncn2)CC1 ZINC001231622531 885764845 /nfs/dbraw/zinc/76/48/45/885764845.db2.gz ZDONDBXXKZRVLI-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1CCC(NC(=O)N2CCCC2)CC1 ZINC001233048939 886757362 /nfs/dbraw/zinc/75/73/62/886757362.db2.gz SMHFUCUAPXYPJE-UHFFFAOYSA-N 1 2 301.394 1.656 20 30 DDEDLO COc1ccc(N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)cn1 ZINC001277776035 886845189 /nfs/dbraw/zinc/84/51/89/886845189.db2.gz PMESHTKWXRDSFA-UHFFFAOYSA-N 1 2 323.400 1.623 20 30 DDEDLO N#CCSc1cccc(C(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)c1 ZINC001363661607 886914768 /nfs/dbraw/zinc/91/47/68/886914768.db2.gz UWDKHJWRWKJZGQ-ZDUSSCGKSA-N 1 2 316.386 1.359 20 30 DDEDLO N#CCSc1cccc(C(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)c1 ZINC001363661607 886914778 /nfs/dbraw/zinc/91/47/78/886914778.db2.gz UWDKHJWRWKJZGQ-ZDUSSCGKSA-N 1 2 316.386 1.359 20 30 DDEDLO COc1cccnc1N1CC[NH+](Cc2ccc(C#N)cc2O)CC1 ZINC001233387129 886968250 /nfs/dbraw/zinc/96/82/50/886968250.db2.gz WALAVOYYHYDEFS-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO C[N@@H+]1CCO[C@H](COc2ncc(C(F)(F)F)cc2C#N)C1 ZINC001233512582 887060760 /nfs/dbraw/zinc/06/07/60/887060760.db2.gz VMBQLCZJWKCFSW-NSHDSACASA-N 1 2 301.268 1.681 20 30 DDEDLO C[N@H+]1CCO[C@H](COc2ncc(C(F)(F)F)cc2C#N)C1 ZINC001233512582 887060774 /nfs/dbraw/zinc/06/07/74/887060774.db2.gz VMBQLCZJWKCFSW-NSHDSACASA-N 1 2 301.268 1.681 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[NH+]2CCC(c3ncccn3)CC2)cc1 ZINC001363832425 887340861 /nfs/dbraw/zinc/34/08/61/887340861.db2.gz GRGHJFRRWZMRBC-INIZCTEOSA-N 1 2 321.384 1.754 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CCOCC(F)(F)F ZINC001233916072 887456056 /nfs/dbraw/zinc/45/60/56/887456056.db2.gz RKTAGLBDBFXOIK-ZDUSSCGKSA-N 1 2 320.355 1.902 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CCOCC(F)(F)F ZINC001233916072 887456064 /nfs/dbraw/zinc/45/60/64/887456064.db2.gz RKTAGLBDBFXOIK-ZDUSSCGKSA-N 1 2 320.355 1.902 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C1CC1 ZINC001234099737 887642207 /nfs/dbraw/zinc/64/22/07/887642207.db2.gz NQZXDYYHQPGLHE-OAHLLOKOSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C1CC1 ZINC001234099737 887642218 /nfs/dbraw/zinc/64/22/18/887642218.db2.gz NQZXDYYHQPGLHE-OAHLLOKOSA-N 1 2 321.465 1.648 20 30 DDEDLO COc1cccc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)n1 ZINC001234179420 887720048 /nfs/dbraw/zinc/72/00/48/887720048.db2.gz UJDDBXJSVBMPBE-MRXNPFEDSA-N 1 2 313.401 1.536 20 30 DDEDLO COc1cccc(C[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)n1 ZINC001234179420 887720056 /nfs/dbraw/zinc/72/00/56/887720056.db2.gz UJDDBXJSVBMPBE-MRXNPFEDSA-N 1 2 313.401 1.536 20 30 DDEDLO CC(C)N(CCN(C)C(=O)[C@H](C)C#N)C(=O)CCn1cc[nH+]c1 ZINC001396107303 913167759 /nfs/dbraw/zinc/16/77/59/913167759.db2.gz FHKAGXCOHLVQPG-CQSZACIVSA-N 1 2 319.409 1.128 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214548 887753864 /nfs/dbraw/zinc/75/38/64/887753864.db2.gz CKPGNCJKSJRSDF-KBPBESRZSA-N 1 2 307.438 1.045 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214548 887753875 /nfs/dbraw/zinc/75/38/75/887753875.db2.gz CKPGNCJKSJRSDF-KBPBESRZSA-N 1 2 307.438 1.045 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)[NH2+]Cc1nc(N(C)C)no1 ZINC001277901322 888228840 /nfs/dbraw/zinc/22/88/40/888228840.db2.gz XGIQFSQVLSOGON-GFCCVEGCSA-N 1 2 323.441 1.674 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)nc1 ZINC001364800545 889441493 /nfs/dbraw/zinc/44/14/93/889441493.db2.gz XXSKISOWHOQOSJ-LBPRGKRZSA-N 1 2 317.374 1.245 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccccc2NC(C)=O)CC1 ZINC001237412490 889622617 /nfs/dbraw/zinc/62/26/17/889622617.db2.gz BDLSKDUVZQPHHJ-UHFFFAOYSA-N 1 2 315.373 1.924 20 30 DDEDLO CC[C@H](C)C[N@@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278133909 889814155 /nfs/dbraw/zinc/81/41/55/889814155.db2.gz GXUAEAHXVYTRRU-LBPRGKRZSA-N 1 2 315.421 1.800 20 30 DDEDLO CC[C@H](C)C[N@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278133909 889814162 /nfs/dbraw/zinc/81/41/62/889814162.db2.gz GXUAEAHXVYTRRU-LBPRGKRZSA-N 1 2 315.421 1.800 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@H+](C[C@H](O)c1cccc(C#N)c1)C1CC1 ZINC001365270620 890472231 /nfs/dbraw/zinc/47/22/31/890472231.db2.gz KISMFCDAKCAAJB-LRDDRELGSA-N 1 2 322.430 1.489 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@@H+](C[C@H](O)c1cccc(C#N)c1)C1CC1 ZINC001365270620 890472242 /nfs/dbraw/zinc/47/22/42/890472242.db2.gz KISMFCDAKCAAJB-LRDDRELGSA-N 1 2 322.430 1.489 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@H](C)CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001366264481 892940411 /nfs/dbraw/zinc/94/04/11/892940411.db2.gz FHZFHKGRBBMZOQ-BDAKNGLRSA-N 1 2 302.338 1.047 20 30 DDEDLO C[C@@H](CNC(=O)c1ccc(C#N)[nH]1)[NH2+]Cc1nn(C)cc1Cl ZINC001366269392 892966172 /nfs/dbraw/zinc/96/61/72/892966172.db2.gz LVHBPUGWSOEYMP-VIFPVBQESA-N 1 2 320.784 1.181 20 30 DDEDLO C=CCCNC(=S)Nc1sc2c(c1C(N)=O)CC[N@@H+](C)C2 ZINC001247595254 893247197 /nfs/dbraw/zinc/24/71/97/893247197.db2.gz PLDSJVKWOOEXFS-UHFFFAOYSA-N 1 2 324.475 1.697 20 30 DDEDLO C=CCCNC(=S)Nc1sc2c(c1C(N)=O)CC[N@H+](C)C2 ZINC001247595254 893247211 /nfs/dbraw/zinc/24/72/11/893247211.db2.gz PLDSJVKWOOEXFS-UHFFFAOYSA-N 1 2 324.475 1.697 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1ccc(=O)n(CC)n1 ZINC001366635555 894379890 /nfs/dbraw/zinc/37/98/90/894379890.db2.gz SKQKALZJXOFVSW-UHFFFAOYSA-N 1 2 312.801 1.067 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1ccc(=O)n(CC)n1 ZINC001366635555 894379905 /nfs/dbraw/zinc/37/99/05/894379905.db2.gz SKQKALZJXOFVSW-UHFFFAOYSA-N 1 2 312.801 1.067 20 30 DDEDLO N#Cc1c(F)c(F)cc(NC[C@H](O)C[NH+]2CCOCC2)c1F ZINC001251034766 894592648 /nfs/dbraw/zinc/59/26/48/894592648.db2.gz TVBQENDKCLICEH-VIFPVBQESA-N 1 2 315.295 1.081 20 30 DDEDLO C#CCOC[C@@H](O)CNc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC001251824320 894826192 /nfs/dbraw/zinc/82/61/92/894826192.db2.gz LYQTWJCGSBNKOL-LZWOXQAQSA-N 1 2 319.405 1.118 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1CCOC[C@@H]1CNC(=O)OC(C)(C)C ZINC001252557239 895278913 /nfs/dbraw/zinc/27/89/13/895278913.db2.gz IQXZPBXJZFBHPV-SWLSCSKDSA-N 1 2 300.399 1.149 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1CCOC[C@@H]1CNC(=O)OC(C)(C)C ZINC001252557239 895278921 /nfs/dbraw/zinc/27/89/21/895278921.db2.gz IQXZPBXJZFBHPV-SWLSCSKDSA-N 1 2 300.399 1.149 20 30 DDEDLO C=C[C@](C)(O)CNc1ccc(N2CC[NH+](C3COC3)CC2)cc1 ZINC001252567342 895284189 /nfs/dbraw/zinc/28/41/89/895284189.db2.gz VTDGSGGPVHXYAM-SFHVURJKSA-N 1 2 317.433 1.556 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1C[C@@H](NC(=O)OC(C)(C)C)[C@@H](F)C1 ZINC001252602424 895309271 /nfs/dbraw/zinc/30/92/71/895309271.db2.gz AMCXEEWYUMWCKW-FRRDWIJNSA-N 1 2 302.390 1.861 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1C[C@@H](NC(=O)OC(C)(C)C)[C@@H](F)C1 ZINC001252602424 895309284 /nfs/dbraw/zinc/30/92/84/895309284.db2.gz AMCXEEWYUMWCKW-FRRDWIJNSA-N 1 2 302.390 1.861 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001292802380 914014889 /nfs/dbraw/zinc/01/48/89/914014889.db2.gz ZWQBSIBFTOHRLW-STQMWFEESA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001292802380 914014904 /nfs/dbraw/zinc/01/49/04/914014904.db2.gz ZWQBSIBFTOHRLW-STQMWFEESA-N 1 2 306.410 1.423 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@]1(O)CC[N@@H+](Cc2ncc(C)cn2)C1 ZINC001278794509 896505895 /nfs/dbraw/zinc/50/58/95/896505895.db2.gz CAJNNAFKYJMAOL-KRWDZBQOSA-N 1 2 318.421 1.050 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@]1(O)CC[N@H+](Cc2ncc(C)cn2)C1 ZINC001278794509 896505903 /nfs/dbraw/zinc/50/59/03/896505903.db2.gz CAJNNAFKYJMAOL-KRWDZBQOSA-N 1 2 318.421 1.050 20 30 DDEDLO CCOC(=O)N1[C@@H]2CC[C@H]1C[C@@H]([NH2+][C@@H](CS)C(=O)OC)C2 ZINC001255184751 896773128 /nfs/dbraw/zinc/77/31/28/896773128.db2.gz ISYUMWCRSSNANK-USZNOCQGSA-N 1 2 316.423 1.199 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@H]2CCCC[C@H]2C2CC2)C1 ZINC001278892147 897135820 /nfs/dbraw/zinc/13/58/20/897135820.db2.gz ZQIWMBOVTVAOLB-HOTGVXAUSA-N 1 2 304.434 1.389 20 30 DDEDLO C=C[C@H]1C[C@]1([NH2+]C1CCN(c2ncccn2)CC1)C(=O)OCC ZINC001256049679 897248629 /nfs/dbraw/zinc/24/86/29/897248629.db2.gz GKSILLXDLHPZBM-SUMWQHHRSA-N 1 2 316.405 1.543 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2c(F)cccc2Cl)C1 ZINC001278922813 897340791 /nfs/dbraw/zinc/34/07/91/897340791.db2.gz MXXJKHYIBKQBLC-UHFFFAOYSA-N 1 2 310.756 1.279 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@]2(C)CCC(C)=C(C)C2)C1 ZINC001278936353 897435260 /nfs/dbraw/zinc/43/52/60/897435260.db2.gz GXKQTMAYMTXIHT-QGZVFWFLSA-N 1 2 304.434 1.699 20 30 DDEDLO CC#CC[NH2+][C@@H](CNC(=O)c1ncn[nH]1)c1ccccc1CC ZINC001278969521 897606873 /nfs/dbraw/zinc/60/68/73/897606873.db2.gz LUVKZRWESWWPPQ-HNNXBMFYSA-N 1 2 311.389 1.451 20 30 DDEDLO CC#CC[NH2+][C@@H](CNC(=O)c1nc[nH]n1)c1ccccc1CC ZINC001278969521 897606887 /nfs/dbraw/zinc/60/68/87/897606887.db2.gz LUVKZRWESWWPPQ-HNNXBMFYSA-N 1 2 311.389 1.451 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cc(C(F)(F)F)nn2C)CC1 ZINC001258301567 898131934 /nfs/dbraw/zinc/13/19/34/898131934.db2.gz BEAOFQIIOMKUOK-UHFFFAOYSA-N 1 2 316.327 1.773 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)CN1CCCCCC1=O ZINC001367915711 898182394 /nfs/dbraw/zinc/18/23/94/898182394.db2.gz UUJRUTFXHDTCEV-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)CN1CCCCCC1=O ZINC001367915711 898182406 /nfs/dbraw/zinc/18/24/06/898182406.db2.gz UUJRUTFXHDTCEV-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO COc1cc(C[C@@H](C)N2CC[NH2+]C[C@H]2C#N)cc(OC)c1OC ZINC001258867270 898342313 /nfs/dbraw/zinc/34/23/13/898342313.db2.gz OIBAXLRAUNYTNM-TZMCWYRMSA-N 1 2 319.405 1.441 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]C2(CNC(=O)c3ccc(C#N)[nH]3)CC2)o1 ZINC001368001188 898452451 /nfs/dbraw/zinc/45/24/51/898452451.db2.gz XXENSCZHZJUHOW-SECBINFHSA-N 1 2 314.349 1.191 20 30 DDEDLO COc1cc(C)ccc1S(=O)(=O)NC1(C#N)CC[NH+](C)CC1 ZINC001259209352 898534236 /nfs/dbraw/zinc/53/42/36/898534236.db2.gz CPGWVFHZOSMKOP-UHFFFAOYSA-N 1 2 323.418 1.270 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]([C@@H](C)NC(=O)Cc2nnc[nH]2)C1 ZINC001390163471 898829330 /nfs/dbraw/zinc/82/93/30/898829330.db2.gz RBUROGZOVYNZFK-VXGBXAGGSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]([C@@H](C)NC(=O)Cc2nnc[nH]2)C1 ZINC001390163471 898829341 /nfs/dbraw/zinc/82/93/41/898829341.db2.gz RBUROGZOVYNZFK-VXGBXAGGSA-N 1 2 311.817 1.316 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC ZINC001263009926 900466496 /nfs/dbraw/zinc/46/64/96/900466496.db2.gz LKGLHNBKZQTGEK-JKIFEVAISA-N 1 2 317.437 1.202 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC ZINC001263009926 900466504 /nfs/dbraw/zinc/46/65/04/900466504.db2.gz LKGLHNBKZQTGEK-JKIFEVAISA-N 1 2 317.437 1.202 20 30 DDEDLO C=CC(C)(C)Cc1nnc(N(C)CC[NH+]2CCOCC2)n1CC ZINC001263009078 900466645 /nfs/dbraw/zinc/46/66/45/900466645.db2.gz PTJIZHSEIKXXQM-UHFFFAOYSA-N 1 2 321.469 1.821 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)CC(F)(F)F)C2 ZINC001264188652 901006358 /nfs/dbraw/zinc/00/63/58/901006358.db2.gz PXLGYDKWKOVGDU-UHFFFAOYSA-N 1 2 317.336 1.703 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)c1cc(C)n[nH]1)C2 ZINC001264188870 901008947 /nfs/dbraw/zinc/00/89/47/901008947.db2.gz ZOTSALKFCXFYNB-UHFFFAOYSA-N 1 2 315.402 1.096 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)c1cc(C)[nH]n1)C2 ZINC001264188870 901008966 /nfs/dbraw/zinc/00/89/66/901008966.db2.gz ZOTSALKFCXFYNB-UHFFFAOYSA-N 1 2 315.402 1.096 20 30 DDEDLO CC(C)c1nc(C[NH2+]C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C)no1 ZINC001369543684 901497267 /nfs/dbraw/zinc/49/72/67/901497267.db2.gz KPVGJGUDXKNNAV-VXGBXAGGSA-N 1 2 321.425 1.973 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CC2(C)CCCC2)C1 ZINC001265212877 901712513 /nfs/dbraw/zinc/71/25/13/901712513.db2.gz HQUPQSJBWBNOPH-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CC2(C)CCCC2)C1 ZINC001265212877 901712527 /nfs/dbraw/zinc/71/25/27/901712527.db2.gz HQUPQSJBWBNOPH-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001265213480 901716081 /nfs/dbraw/zinc/71/60/81/901716081.db2.gz SUTKDXJDTSCCFR-HOTGVXAUSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001265213480 901716092 /nfs/dbraw/zinc/71/60/92/901716092.db2.gz SUTKDXJDTSCCFR-HOTGVXAUSA-N 1 2 321.465 1.387 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001265286173 901826868 /nfs/dbraw/zinc/82/68/68/901826868.db2.gz PCCNZDMXWNKKNF-ZFWWWQNUSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001265286173 901826881 /nfs/dbraw/zinc/82/68/81/901826881.db2.gz PCCNZDMXWNKKNF-ZFWWWQNUSA-N 1 2 307.438 1.448 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)CCc2ccon2)C1 ZINC001391499758 901859667 /nfs/dbraw/zinc/85/96/67/901859667.db2.gz XFZCSHZDTXVFBS-UHFFFAOYSA-N 1 2 324.384 1.727 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)CCC(=O)NCCC)C1 ZINC001391521259 901903554 /nfs/dbraw/zinc/90/35/54/901903554.db2.gz CMKCPZILMYPKQF-UHFFFAOYSA-N 1 2 301.818 1.093 20 30 DDEDLO CC[C@H](CNC(=O)c1cc(C#N)c[nH]1)[NH2+]Cc1csnn1 ZINC001391675927 902320962 /nfs/dbraw/zinc/32/09/62/902320962.db2.gz CYCBXTIFAOQFDR-SNVBAGLBSA-N 1 2 304.379 1.036 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001293877622 914748882 /nfs/dbraw/zinc/74/88/82/914748882.db2.gz DLJWZYUJOSUXPY-BFHYXJOUSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001293877622 914748894 /nfs/dbraw/zinc/74/88/94/914748894.db2.gz DLJWZYUJOSUXPY-BFHYXJOUSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@]1(C)CCCS1(=O)=O ZINC001316605395 903943420 /nfs/dbraw/zinc/94/34/20/903943420.db2.gz XEANVHBTFTXSIP-DZGCQCFKSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@]1(C)CCCS1(=O)=O ZINC001316605395 903943425 /nfs/dbraw/zinc/94/34/25/903943425.db2.gz XEANVHBTFTXSIP-DZGCQCFKSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001280845629 904109581 /nfs/dbraw/zinc/10/95/81/904109581.db2.gz MWQJXSZZEVGKIP-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)Cc1cc(C)on1)O2 ZINC001280918229 904187484 /nfs/dbraw/zinc/18/74/84/904187484.db2.gz HSAOVLVNNXBPOU-AWEZNQCLSA-N 1 2 305.378 1.061 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001281170253 904520326 /nfs/dbraw/zinc/52/03/26/904520326.db2.gz HWPFGIZGPBRMRG-QWHCGFSZSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H](C)N(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001281181628 904538374 /nfs/dbraw/zinc/53/83/74/904538374.db2.gz WNRRQWHPVNPIRK-QWHCGFSZSA-N 1 2 304.394 1.414 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]C2(CNC(=O)c3cc(C#N)c[nH]3)CC2)o1 ZINC001392896461 905629419 /nfs/dbraw/zinc/62/94/19/905629419.db2.gz VOTQSYDMGCYKKG-SECBINFHSA-N 1 2 314.349 1.191 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+]1CCC[C@H]1CNC(=O)[C@@H](C)C#N ZINC001377374755 921166226 /nfs/dbraw/zinc/16/62/26/921166226.db2.gz DMFCIDUPMKQJER-JQWIXIFHSA-N 1 2 323.828 1.622 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H](C)C#N ZINC001377374755 921166232 /nfs/dbraw/zinc/16/62/32/921166232.db2.gz DMFCIDUPMKQJER-JQWIXIFHSA-N 1 2 323.828 1.622 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)[N@@H+](C)Cc2c(C)nnn2CC)C1 ZINC001282454745 905795565 /nfs/dbraw/zinc/79/55/65/905795565.db2.gz HOQXOTIWKHKQPU-CYBMUJFWSA-N 1 2 319.453 1.899 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)[N@H+](C)Cc2c(C)nnn2CC)C1 ZINC001282454745 905795570 /nfs/dbraw/zinc/79/55/70/905795570.db2.gz HOQXOTIWKHKQPU-CYBMUJFWSA-N 1 2 319.453 1.899 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)CCCC2CCOCC2)C1 ZINC001282518660 905845204 /nfs/dbraw/zinc/84/52/04/905845204.db2.gz VPYFRQGCCUSUEF-UHFFFAOYSA-N 1 2 322.449 1.160 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)C[C@H]2CCC[C@H](OC)C2)C1 ZINC001282518778 905846112 /nfs/dbraw/zinc/84/61/12/905846112.db2.gz YIYATMAQDDIYIP-HOTGVXAUSA-N 1 2 324.465 1.711 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)CCCn2cccc2)C1 ZINC001282545127 905863690 /nfs/dbraw/zinc/86/36/90/905863690.db2.gz LWYFCYIESNOJKV-UHFFFAOYSA-N 1 2 305.422 1.397 20 30 DDEDLO C=CCCC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1cc[nH+]c1)C2 ZINC001282767941 906022892 /nfs/dbraw/zinc/02/28/92/906022892.db2.gz RJWQIEIMXIKBBF-KFWWJZLASA-N 1 2 316.405 1.488 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H](NC(=O)c2ccco2)C(C)(C)C1 ZINC001282785729 906036054 /nfs/dbraw/zinc/03/60/54/906036054.db2.gz ASCRUKQFFCGMJA-GXTWGEPZSA-N 1 2 319.405 1.411 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H](NC(=O)c2ccco2)C(C)(C)C1 ZINC001282785729 906036068 /nfs/dbraw/zinc/03/60/68/906036068.db2.gz ASCRUKQFFCGMJA-GXTWGEPZSA-N 1 2 319.405 1.411 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CCCNC(=O)Cc1c[nH+]cn1C ZINC001283599421 907658871 /nfs/dbraw/zinc/65/88/71/907658871.db2.gz SVNQRJFLZZVNLX-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001284251344 908730756 /nfs/dbraw/zinc/73/07/56/908730756.db2.gz QJXKZGRXGDBZEW-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(C)C[N@@H+](C)C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001284493376 909044481 /nfs/dbraw/zinc/04/44/81/909044481.db2.gz SAPAIMKMTZINDX-VHSXEESVSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C(C)C[N@H+](C)C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001284493376 909044502 /nfs/dbraw/zinc/04/45/02/909044502.db2.gz SAPAIMKMTZINDX-VHSXEESVSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C(C)C[N@@H+](C)C[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001284493376 909044519 /nfs/dbraw/zinc/04/45/19/909044519.db2.gz SAPAIMKMTZINDX-VHSXEESVSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C(C)C[N@H+](C)C[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001284493376 909044536 /nfs/dbraw/zinc/04/45/36/909044536.db2.gz SAPAIMKMTZINDX-VHSXEESVSA-N 1 2 300.296 1.214 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H](C)[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001394465919 909706391 /nfs/dbraw/zinc/70/63/91/909706391.db2.gz MNHPTEBZUODXPG-QWRGUYRKSA-N 1 2 317.349 1.426 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)Cc2nnc(C)[nH]2)CC1 ZINC001284909725 909711872 /nfs/dbraw/zinc/71/18/72/909711872.db2.gz MDCDHLHEQHQBLI-UHFFFAOYSA-N 1 2 309.801 1.156 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)Cc2nnc(C)[nH]2)CC1 ZINC001284909725 909711880 /nfs/dbraw/zinc/71/18/80/909711880.db2.gz MDCDHLHEQHQBLI-UHFFFAOYSA-N 1 2 309.801 1.156 20 30 DDEDLO CC#CCCCC(=O)NCC1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001284984719 909882839 /nfs/dbraw/zinc/88/28/39/909882839.db2.gz CXTGCHMOGYCRMB-UHFFFAOYSA-N 1 2 316.405 1.029 20 30 DDEDLO C=CCCC(=O)NC/C=C\CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001285496923 910668313 /nfs/dbraw/zinc/66/83/13/910668313.db2.gz MIORNRPNBDUELU-ZRUQZJFASA-N 1 2 316.405 1.200 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C2CC2)C1 ZINC001294922226 915462671 /nfs/dbraw/zinc/46/26/71/915462671.db2.gz DIYRVMUXBMPFHR-ZDUSSCGKSA-N 1 2 316.405 1.320 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001295206701 915648969 /nfs/dbraw/zinc/64/89/69/915648969.db2.gz JQKCJSICBYZDQU-MCIONIFRSA-N 1 2 318.421 1.708 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001295206701 915648980 /nfs/dbraw/zinc/64/89/80/915648980.db2.gz JQKCJSICBYZDQU-MCIONIFRSA-N 1 2 318.421 1.708 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001295639183 915951059 /nfs/dbraw/zinc/95/10/59/915951059.db2.gz XHHKHQZHXDKBLS-LBPRGKRZSA-N 1 2 304.394 1.320 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CC(NC(=O)C#CC(C)C)C2)c(C)[nH+]1 ZINC001297043974 916685981 /nfs/dbraw/zinc/68/59/81/916685981.db2.gz JGOHATVQFDKRIY-UHFFFAOYSA-N 1 2 313.401 1.607 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@H+](CC(=O)NC2CCCCCC2)C1 ZINC001376116121 917712521 /nfs/dbraw/zinc/71/25/21/917712521.db2.gz NOZITANBHHVLGP-ZFWWWQNUSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@@H+](CC(=O)NC2CCCCCC2)C1 ZINC001376116121 917712530 /nfs/dbraw/zinc/71/25/30/917712530.db2.gz NOZITANBHHVLGP-ZFWWWQNUSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H](CNC(=O)c1cc(C#N)c[nH]1)[NH2+]Cc1nc(C(F)F)no1 ZINC001376702703 919101970 /nfs/dbraw/zinc/10/19/70/919101970.db2.gz KONYUCVPXXBVNM-ZETCQYMHSA-N 1 2 324.291 1.115 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+](C)C[C@H](O)C(F)(F)F ZINC000305774652 231051122 /nfs/dbraw/zinc/05/11/22/231051122.db2.gz MQZPQPPTYFFUKC-SKDRFNHKSA-N 1 2 315.295 1.740 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+](C)C[C@H](O)C(F)(F)F ZINC000305774652 231051125 /nfs/dbraw/zinc/05/11/25/231051125.db2.gz MQZPQPPTYFFUKC-SKDRFNHKSA-N 1 2 315.295 1.740 20 30 DDEDLO CC[C@H](NC([O-])=[NH+][C@@H]1CCn2cc[nH+]c2C1)C1CCOCC1 ZINC000330169845 529454597 /nfs/dbraw/zinc/45/45/97/529454597.db2.gz BPFFHUGMJYUNHU-KGLIPLIRSA-N 1 2 306.410 1.907 20 30 DDEDLO CC[C@H]([NH+]=C([O-])N[C@@H]1CCn2cc[nH+]c2C1)C1CCOCC1 ZINC000330169845 529454598 /nfs/dbraw/zinc/45/45/98/529454598.db2.gz BPFFHUGMJYUNHU-KGLIPLIRSA-N 1 2 306.410 1.907 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000452005533 231267925 /nfs/dbraw/zinc/26/79/25/231267925.db2.gz CQKANYZMOOTUOJ-CQSZACIVSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000452005533 231267926 /nfs/dbraw/zinc/26/79/26/231267926.db2.gz CQKANYZMOOTUOJ-CQSZACIVSA-N 1 2 304.369 1.933 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(NC(C)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000092361242 185313970 /nfs/dbraw/zinc/31/39/70/185313970.db2.gz LMVVROOMSKGGQG-INIZCTEOSA-N 1 2 322.430 1.820 20 30 DDEDLO C=CC[N@H+](Cc1ccc(NC(C)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000092361242 185313971 /nfs/dbraw/zinc/31/39/71/185313971.db2.gz LMVVROOMSKGGQG-INIZCTEOSA-N 1 2 322.430 1.820 20 30 DDEDLO [O-]C(=[NH+]c1ccc2c(c1)OCCO2)N1CCn2cc[nH+]c2C1 ZINC000329421976 539304304 /nfs/dbraw/zinc/30/43/04/539304304.db2.gz HTYJPFOPXORXHK-UHFFFAOYSA-N 1 2 300.318 1.716 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CCC[C@H]2C(C)(C)O)c[nH+]1 ZINC000330870117 529778661 /nfs/dbraw/zinc/77/86/61/529778661.db2.gz XDRCIFJNLNSUBD-ZDUSSCGKSA-N 1 2 306.410 1.797 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)Cn1cnc2cc(Cl)ccc2c1=O ZINC000414115668 529868774 /nfs/dbraw/zinc/86/87/74/529868774.db2.gz VHEIOAMNWNFVLZ-LBPRGKRZSA-N 1 2 320.780 1.256 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)Cn1cnc2cc(Cl)ccc2c1=O ZINC000414115668 529868775 /nfs/dbraw/zinc/86/87/75/529868775.db2.gz VHEIOAMNWNFVLZ-LBPRGKRZSA-N 1 2 320.780 1.256 20 30 DDEDLO CCC(CC)[C@@H](C(=O)N1CC[C@@](F)(C#N)C1)[NH+]1CCOCC1 ZINC000615825052 362454845 /nfs/dbraw/zinc/45/48/45/362454845.db2.gz GVEBEMKYIGQJKT-GOEBONIOSA-N 1 2 311.401 1.588 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)CCc2cccc3c2OCO3)C1 ZINC000329939166 530069979 /nfs/dbraw/zinc/06/99/79/530069979.db2.gz SXAOQWIITDNLNJ-AWEZNQCLSA-N 1 2 319.405 1.550 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)CCc2cccc3c2OCO3)C1 ZINC000329939166 530069980 /nfs/dbraw/zinc/06/99/80/530069980.db2.gz SXAOQWIITDNLNJ-AWEZNQCLSA-N 1 2 319.405 1.550 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000121220913 185767440 /nfs/dbraw/zinc/76/74/40/185767440.db2.gz KTBBQBXTDVOQDI-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO CC1(O)CC[NH+](CCS(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000147446657 186040074 /nfs/dbraw/zinc/04/00/74/186040074.db2.gz GNIPSSPMMRKKKQ-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1cccc(OCC[N@@H+]2CCO[C@H](C(=O)C3CC3)C2)c1 ZINC000564813611 304015208 /nfs/dbraw/zinc/01/52/08/304015208.db2.gz JTGZYKRBMDOBKH-INIZCTEOSA-N 1 2 300.358 1.617 20 30 DDEDLO N#Cc1cccc(OCC[N@H+]2CCO[C@H](C(=O)C3CC3)C2)c1 ZINC000564813611 304015210 /nfs/dbraw/zinc/01/52/10/304015210.db2.gz JTGZYKRBMDOBKH-INIZCTEOSA-N 1 2 300.358 1.617 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000186074139 186238490 /nfs/dbraw/zinc/23/84/90/186238490.db2.gz GDVDYYZGOMFRJS-GDBMZVCRSA-N 1 2 301.390 1.381 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(CC(F)(F)F)CC1 ZINC000042566228 352343876 /nfs/dbraw/zinc/34/38/76/352343876.db2.gz PGYLCIZYUZTJOO-CYBMUJFWSA-N 1 2 321.387 1.979 20 30 DDEDLO CCOC(=O)C1CC[NH+](CC(=O)N[C@](C)(C#N)C2CC2)CC1 ZINC000042978577 352356891 /nfs/dbraw/zinc/35/68/91/352356891.db2.gz CLTHEFFZYIWERB-MRXNPFEDSA-N 1 2 307.394 1.070 20 30 DDEDLO C=CCNC(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000047605569 352503946 /nfs/dbraw/zinc/50/39/46/352503946.db2.gz PZJJCMPFDUSVAA-BETUJISGSA-N 1 2 304.394 1.680 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)CN1CC[NH+](Cc2ccco2)CC1 ZINC000053813765 352667765 /nfs/dbraw/zinc/66/77/65/352667765.db2.gz FRSJACWPJWPTKG-KRWDZBQOSA-N 1 2 318.421 1.452 20 30 DDEDLO CCN(CC)C(=O)C[N@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000052661026 352621856 /nfs/dbraw/zinc/62/18/56/352621856.db2.gz QHGZVPNBWWGZMP-UHFFFAOYSA-N 1 2 322.453 1.471 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000052661026 352621860 /nfs/dbraw/zinc/62/18/60/352621860.db2.gz QHGZVPNBWWGZMP-UHFFFAOYSA-N 1 2 322.453 1.471 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1cccc(F)c1 ZINC000060633773 352878346 /nfs/dbraw/zinc/87/83/46/352878346.db2.gz ZOBROPOXCVJXQF-UHFFFAOYSA-N 1 2 305.353 1.514 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@H+](C)C[C@@H](C)C#N)CC1 ZINC000066469754 352998687 /nfs/dbraw/zinc/99/86/87/352998687.db2.gz MPFFQXJJOYVYTC-QWHCGFSZSA-N 1 2 309.410 1.268 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@@H+](C)C[C@@H](C)C#N)CC1 ZINC000066469754 352998690 /nfs/dbraw/zinc/99/86/90/352998690.db2.gz MPFFQXJJOYVYTC-QWHCGFSZSA-N 1 2 309.410 1.268 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@H]1c1cccc2c1OCCO2 ZINC000066498217 353001279 /nfs/dbraw/zinc/00/12/79/353001279.db2.gz JXLFNHQPKMKVQE-ZDUSSCGKSA-N 1 2 301.346 1.234 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@H]1c1cccc2c1OCCO2 ZINC000066498217 353001281 /nfs/dbraw/zinc/00/12/81/353001281.db2.gz JXLFNHQPKMKVQE-ZDUSSCGKSA-N 1 2 301.346 1.234 20 30 DDEDLO Cn1c[nH+]c2c1CCN(C[C@@H](O)COc1ccc(C#N)cc1)C2 ZINC000069487740 353162776 /nfs/dbraw/zinc/16/27/76/353162776.db2.gz CXARKQZXTUXIPT-CQSZACIVSA-N 1 2 312.373 1.090 20 30 DDEDLO Cn1cnc2c1CC[N@H+](C[C@@H](O)COc1ccc(C#N)cc1)C2 ZINC000069487740 353162779 /nfs/dbraw/zinc/16/27/79/353162779.db2.gz CXARKQZXTUXIPT-CQSZACIVSA-N 1 2 312.373 1.090 20 30 DDEDLO Cn1cnc2c1CC[N@@H+](C[C@@H](O)COc1ccc(C#N)cc1)C2 ZINC000069487740 353162781 /nfs/dbraw/zinc/16/27/81/353162781.db2.gz CXARKQZXTUXIPT-CQSZACIVSA-N 1 2 312.373 1.090 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCc1ccc(COC(C)C)cc1 ZINC000072906597 353225826 /nfs/dbraw/zinc/22/58/26/353225826.db2.gz QFEQGBUJFBGQIU-UHFFFAOYSA-N 1 2 312.413 1.796 20 30 DDEDLO CN(C[C@@H](O)C[NH+]1CCOCC1)c1c(Cl)cccc1C#N ZINC000081015894 353645117 /nfs/dbraw/zinc/64/51/17/353645117.db2.gz ATBTYTJVTFHUHI-CYBMUJFWSA-N 1 2 309.797 1.341 20 30 DDEDLO COC(=O)C1([NH2+]CCC(=O)N(C)CCC#N)CCC(C)CC1 ZINC000092804484 353870652 /nfs/dbraw/zinc/87/06/52/353870652.db2.gz DCUGAPJEROJICK-UHFFFAOYSA-N 1 2 309.410 1.460 20 30 DDEDLO COC[C@@H]1CCN(C(=O)NC[C@H]2CCCn3cc(C)[nH+]c32)C1 ZINC000328731633 222889579 /nfs/dbraw/zinc/88/95/79/222889579.db2.gz XBDLJRGGCHVQBS-ZIAGYGMSSA-N 1 2 306.410 1.951 20 30 DDEDLO Cc1cc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cc2)no1 ZINC000147748119 354167334 /nfs/dbraw/zinc/16/73/34/354167334.db2.gz UYQGFGHIXIOGQU-UHFFFAOYSA-N 1 2 305.359 1.418 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)N(C)C[C@H](O)C[NH+]1CCOCC1 ZINC000181096460 354267626 /nfs/dbraw/zinc/26/76/26/354267626.db2.gz LMWMPDOMOCFRDJ-GJZGRUSLSA-N 1 2 313.442 1.066 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000182331480 354272085 /nfs/dbraw/zinc/27/20/85/354272085.db2.gz PEXREEOEERYWHO-STQMWFEESA-N 1 2 322.434 1.580 20 30 DDEDLO C[C@H](C#N)CNC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000182562286 354272942 /nfs/dbraw/zinc/27/29/42/354272942.db2.gz PZWDVQOLVFMENX-ZBFHGGJFSA-N 1 2 316.405 1.519 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(F)c(C#N)c2)C[C@H]1C ZINC000252415480 354383256 /nfs/dbraw/zinc/38/32/56/354383256.db2.gz KWJVKVFKOPMJPE-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(F)c(C#N)c2)C[C@H]1C ZINC000252415480 354383258 /nfs/dbraw/zinc/38/32/58/354383258.db2.gz KWJVKVFKOPMJPE-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@@H+]1CCN(CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000316751258 354505276 /nfs/dbraw/zinc/50/52/76/354505276.db2.gz UHYZRYPCKMEHAA-AWEZNQCLSA-N 1 2 321.446 1.358 20 30 DDEDLO CC[N@H+]1CCN(CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C ZINC000316751258 354505281 /nfs/dbraw/zinc/50/52/81/354505281.db2.gz UHYZRYPCKMEHAA-AWEZNQCLSA-N 1 2 321.446 1.358 20 30 DDEDLO Cc1cc(S(=O)(=O)NCCCCn2cc[nH+]c2)ccc1C#N ZINC000319991309 354524042 /nfs/dbraw/zinc/52/40/42/354524042.db2.gz MWIGIQZGLUARGR-UHFFFAOYSA-N 1 2 318.402 1.822 20 30 DDEDLO C=CCCn1cc(-c2nc([C@@H]3C[N@H+](C(C)C)CCO3)no2)nn1 ZINC000351289372 354616727 /nfs/dbraw/zinc/61/67/27/354616727.db2.gz IMSJBOBESDDYCM-ZDUSSCGKSA-N 1 2 318.381 1.686 20 30 DDEDLO C=CCCn1cc(-c2nc([C@@H]3C[N@@H+](C(C)C)CCO3)no2)nn1 ZINC000351289372 354616732 /nfs/dbraw/zinc/61/67/32/354616732.db2.gz IMSJBOBESDDYCM-ZDUSSCGKSA-N 1 2 318.381 1.686 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H](n3cc[nH+]c3)C2)o1 ZINC000588114415 354902491 /nfs/dbraw/zinc/90/24/91/354902491.db2.gz WOFIFVIVQZERQR-NSHDSACASA-N 1 2 306.347 1.374 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)CCCCCC#N)CC2)cn1 ZINC000588156932 354905299 /nfs/dbraw/zinc/90/52/99/354905299.db2.gz PAHZISWXEHQJER-UHFFFAOYSA-N 1 2 303.410 1.538 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)c2cc(O)cc(C#N)c2)CCO1 ZINC000589175526 354968436 /nfs/dbraw/zinc/96/84/36/354968436.db2.gz OXHOGOPNIIBOSU-UHFFFAOYSA-N 1 2 303.362 1.104 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)c2cc(O)cc(C#N)c2)CCO1 ZINC000589175526 354968439 /nfs/dbraw/zinc/96/84/39/354968439.db2.gz OXHOGOPNIIBOSU-UHFFFAOYSA-N 1 2 303.362 1.104 20 30 DDEDLO N#CC1(CC(=O)NC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)CC1 ZINC000590133059 355053293 /nfs/dbraw/zinc/05/32/93/355053293.db2.gz CNWZVPKQNKRVQL-MRXNPFEDSA-N 1 2 313.401 1.697 20 30 DDEDLO N#CC1(CC(=O)NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)CC1 ZINC000590133059 355053297 /nfs/dbraw/zinc/05/32/97/355053297.db2.gz CNWZVPKQNKRVQL-MRXNPFEDSA-N 1 2 313.401 1.697 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C(=O)CC2(C#N)CC2)CC1 ZINC000590154670 355053885 /nfs/dbraw/zinc/05/38/85/355053885.db2.gz RHCUWFFUUFKXJS-UHFFFAOYSA-N 1 2 302.378 1.630 20 30 DDEDLO N#Cc1cccc([C@@H]2C[C@H]2C(=O)N[C@@H]2CCc3c[nH+]cn3C2)c1 ZINC000591081027 355244779 /nfs/dbraw/zinc/24/47/79/355244779.db2.gz ARIBGELGSFGANL-HYVNUMGLSA-N 1 2 306.369 1.989 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)c1 ZINC000332631239 235220249 /nfs/dbraw/zinc/22/02/49/235220249.db2.gz TTZPTLXYPQCRHX-CVEARBPZSA-N 1 2 314.389 1.804 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)c1 ZINC000332631239 235220252 /nfs/dbraw/zinc/22/02/52/235220252.db2.gz TTZPTLXYPQCRHX-CVEARBPZSA-N 1 2 314.389 1.804 20 30 DDEDLO COC(=O)[C@H]1C[C@H](O)C[N@H+](Cc2cn3ccccc3c2C#N)C1 ZINC000592197568 355533049 /nfs/dbraw/zinc/53/30/49/355533049.db2.gz DYYQFWJCLQRTGF-JSGCOSHPSA-N 1 2 313.357 1.167 20 30 DDEDLO COC(=O)[C@H]1C[C@H](O)C[N@@H+](Cc2cn3ccccc3c2C#N)C1 ZINC000592197568 355533053 /nfs/dbraw/zinc/53/30/53/355533053.db2.gz DYYQFWJCLQRTGF-JSGCOSHPSA-N 1 2 313.357 1.167 20 30 DDEDLO Cc1cc(C)cc(NC(=O)[C@@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592145898 355511039 /nfs/dbraw/zinc/51/10/39/355511039.db2.gz AXQIYRZEPMWKPA-PBHICJAKSA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1cc(C)cc(NC(=O)[C@@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592145898 355511043 /nfs/dbraw/zinc/51/10/43/355511043.db2.gz AXQIYRZEPMWKPA-PBHICJAKSA-N 1 2 301.390 1.981 20 30 DDEDLO COc1ccc(C)cc1NC(=O)[C@H](C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149047 355515273 /nfs/dbraw/zinc/51/52/73/355515273.db2.gz HGMFXSXNFXPGHC-SUMWQHHRSA-N 1 2 317.389 1.681 20 30 DDEDLO COc1ccc(C)cc1NC(=O)[C@H](C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149047 355515276 /nfs/dbraw/zinc/51/52/76/355515276.db2.gz HGMFXSXNFXPGHC-SUMWQHHRSA-N 1 2 317.389 1.681 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2cn(-c3ccc(Cl)cc3)nn2)C1 ZINC000592150782 355517728 /nfs/dbraw/zinc/51/77/28/355517728.db2.gz IXWRPCIJCSGONS-OAHLLOKOSA-N 1 2 317.780 1.771 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2cn(-c3ccc(Cl)cc3)nn2)C1 ZINC000592150782 355517732 /nfs/dbraw/zinc/51/77/32/355517732.db2.gz IXWRPCIJCSGONS-OAHLLOKOSA-N 1 2 317.780 1.771 20 30 DDEDLO CC1(C)C[N@H+](CCOc2ccccc2C#N)[C@@H]2COC[C@H]2O1 ZINC000593115085 355803755 /nfs/dbraw/zinc/80/37/55/355803755.db2.gz BVSQFYZLTFFPNF-GDBMZVCRSA-N 1 2 302.374 1.815 20 30 DDEDLO CC1(C)C[N@@H+](CCOc2ccccc2C#N)[C@@H]2COC[C@H]2O1 ZINC000593115085 355803757 /nfs/dbraw/zinc/80/37/57/355803757.db2.gz BVSQFYZLTFFPNF-GDBMZVCRSA-N 1 2 302.374 1.815 20 30 DDEDLO Cn1nccc1[C@H]1COCCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000593703265 355971467 /nfs/dbraw/zinc/97/14/67/355971467.db2.gz SDTBJRMXFYSBMP-MRXNPFEDSA-N 1 2 322.372 1.513 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](CC(=O)N1CC[C@@](F)(C#N)C1)C(F)(F)F ZINC000594344160 356178147 /nfs/dbraw/zinc/17/81/47/356178147.db2.gz YTKUUYCFQWPFOH-BXKDBHETSA-N 1 2 318.274 1.920 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)N2CCC[C@H](CC#N)C2)CCO1 ZINC000595547860 356544036 /nfs/dbraw/zinc/54/40/36/356544036.db2.gz SWFQGQRIANOVIX-CQSZACIVSA-N 1 2 308.426 1.432 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)N2CCC[C@H](CC#N)C2)CCO1 ZINC000595547860 356544040 /nfs/dbraw/zinc/54/40/40/356544040.db2.gz SWFQGQRIANOVIX-CQSZACIVSA-N 1 2 308.426 1.432 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595633031 356585763 /nfs/dbraw/zinc/58/57/63/356585763.db2.gz UGJTZQNWNZJSQV-GFCCVEGCSA-N 1 2 304.419 1.432 20 30 DDEDLO CS(=O)(=O)[C@@H]1C[N@@H+]([C@H]2CC[C@@H](C#N)C2)C[C@H]1C(F)(F)F ZINC000595634604 356586005 /nfs/dbraw/zinc/58/60/05/356586005.db2.gz CTTQTIJMTCTXSG-LMLFDSFASA-N 1 2 310.341 1.586 20 30 DDEDLO CS(=O)(=O)[C@@H]1C[N@H+]([C@H]2CC[C@@H](C#N)C2)C[C@H]1C(F)(F)F ZINC000595634604 356586009 /nfs/dbraw/zinc/58/60/09/356586009.db2.gz CTTQTIJMTCTXSG-LMLFDSFASA-N 1 2 310.341 1.586 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CCC3(COC3)C2)c(C#N)c1C ZINC000595834220 356670190 /nfs/dbraw/zinc/67/01/90/356670190.db2.gz MLHDHEVLDJWXDS-NSHDSACASA-N 1 2 303.362 1.817 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CCC3(COC3)C2)c(C#N)c1C ZINC000595834220 356670195 /nfs/dbraw/zinc/67/01/95/356670195.db2.gz MLHDHEVLDJWXDS-NSHDSACASA-N 1 2 303.362 1.817 20 30 DDEDLO C[C@H]([NH2+][C@H](CO)c1ccc(F)cc1F)C(=O)N(C)CCC#N ZINC000595866091 356684172 /nfs/dbraw/zinc/68/41/72/356684172.db2.gz DBIWEXLCMNHTGV-IINYFYTJSA-N 1 2 311.332 1.348 20 30 DDEDLO Cn1ncc(C#N)c1NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000596053507 356754863 /nfs/dbraw/zinc/75/48/63/356754863.db2.gz HMQUTAJIIQWJDA-INIZCTEOSA-N 1 2 311.389 1.605 20 30 DDEDLO Cn1ncc(C#N)c1NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000596053507 356754867 /nfs/dbraw/zinc/75/48/67/356754867.db2.gz HMQUTAJIIQWJDA-INIZCTEOSA-N 1 2 311.389 1.605 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNCC(F)(F)c1ccc(F)cc1 ZINC000596065871 356759431 /nfs/dbraw/zinc/75/94/31/356759431.db2.gz XKMZVFLAPVZQSW-CQSZACIVSA-N 1 2 315.339 1.713 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNCC(F)(F)c1ccc(F)cc1 ZINC000596065871 356759433 /nfs/dbraw/zinc/75/94/33/356759433.db2.gz XKMZVFLAPVZQSW-CQSZACIVSA-N 1 2 315.339 1.713 20 30 DDEDLO CO[C@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)C1CCCC1 ZINC000597024087 357042824 /nfs/dbraw/zinc/04/28/24/357042824.db2.gz FHKDXYBNTQAUHK-INIZCTEOSA-N 1 2 323.437 1.266 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](Cc2cnn3c2CCC3)CC1 ZINC000597129508 357068634 /nfs/dbraw/zinc/06/86/34/357068634.db2.gz LBHVYYAHKOCTQC-UHFFFAOYSA-N 1 2 308.389 1.418 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000597707021 357294955 /nfs/dbraw/zinc/29/49/55/357294955.db2.gz MIEIZYYMRJKIQN-CYBMUJFWSA-N 1 2 303.362 1.397 20 30 DDEDLO CN(C)[C@@H](C(=O)NCCc1ccc(C#N)cc1)c1c[nH+]cn1C ZINC000597889795 357372481 /nfs/dbraw/zinc/37/24/81/357372481.db2.gz MDIOLJFCDURSCX-MRXNPFEDSA-N 1 2 311.389 1.253 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1ccc(C#N)cn1)C(C)(C)CO ZINC000597844707 357354967 /nfs/dbraw/zinc/35/49/67/357354967.db2.gz AWIZZJDRKYBTQM-ZDUSSCGKSA-N 1 2 313.361 1.176 20 30 DDEDLO CN(Cc1c[nH+]c[nH]1)C(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C ZINC000597858342 357361404 /nfs/dbraw/zinc/36/14/04/357361404.db2.gz RYDWSQRRWMHAKH-HNNXBMFYSA-N 1 2 306.366 1.630 20 30 DDEDLO CN(Cc1c[nH]c[nH+]1)C(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C ZINC000597858342 357361407 /nfs/dbraw/zinc/36/14/07/357361407.db2.gz RYDWSQRRWMHAKH-HNNXBMFYSA-N 1 2 306.366 1.630 20 30 DDEDLO CN(Cc1c[nH+]c[nH]1)C(=O)[C@@H]1CCCN1c1ccc(C#N)cc1 ZINC000597866530 357363995 /nfs/dbraw/zinc/36/39/95/357363995.db2.gz XOZCFHILNNKBGD-INIZCTEOSA-N 1 2 309.373 1.909 20 30 DDEDLO CN(Cc1c[nH]c[nH+]1)C(=O)[C@@H]1CCCN1c1ccc(C#N)cc1 ZINC000597866530 357363997 /nfs/dbraw/zinc/36/39/97/357363997.db2.gz XOZCFHILNNKBGD-INIZCTEOSA-N 1 2 309.373 1.909 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2ccc(CC#N)cc2)[C@@H](C)CO1 ZINC000598001307 357417460 /nfs/dbraw/zinc/41/74/60/357417460.db2.gz YZXDPDSCNCRQTE-KBPBESRZSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2ccc(CC#N)cc2)[C@@H](C)CO1 ZINC000598001307 357417464 /nfs/dbraw/zinc/41/74/64/357417464.db2.gz YZXDPDSCNCRQTE-KBPBESRZSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccc(CC#N)cc2)[C@@H](C)CO1 ZINC000598001309 357417482 /nfs/dbraw/zinc/41/74/82/357417482.db2.gz YZXDPDSCNCRQTE-UONOGXRCSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(CC#N)cc2)[C@@H](C)CO1 ZINC000598001309 357417486 /nfs/dbraw/zinc/41/74/86/357417486.db2.gz YZXDPDSCNCRQTE-UONOGXRCSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@@H](CNC(=O)NCC[C@@H]1CCO[C@H](C)C1)[NH+]1CCOCC1 ZINC000329612581 223002302 /nfs/dbraw/zinc/00/23/02/223002302.db2.gz CMRVRNOLFDMBBY-RRFJBIMHSA-N 1 2 313.442 1.416 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)N[C@@H]3CC=CCC3)CC2)cn1 ZINC000329641380 223007755 /nfs/dbraw/zinc/00/77/55/223007755.db2.gz ZTDFBPOCPXTRLV-OAHLLOKOSA-N 1 2 303.410 1.560 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)N[C@@H]3CCC[C@H]3C#N)CC2)cn1 ZINC000329653285 223009006 /nfs/dbraw/zinc/00/90/06/223009006.db2.gz LEKPBTAUYJFBRS-LSDHHAIUSA-N 1 2 316.409 1.144 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[NH+]1CC(Oc2ccc([N+](=O)[O-])cc2)C1 ZINC000598702172 357696076 /nfs/dbraw/zinc/69/60/76/357696076.db2.gz RHIVLLQTNFKAND-CYBMUJFWSA-N 1 2 319.361 1.959 20 30 DDEDLO CC(C)(Oc1ccc(C#N)cc1)C(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000598944572 357769062 /nfs/dbraw/zinc/76/90/62/357769062.db2.gz QCPFHIBZQMWHEL-ZDUSSCGKSA-N 1 2 310.357 1.653 20 30 DDEDLO C[N@@H+](CC(=O)OC(C)(C)C)C[C@@H](O)COc1ccccc1C#N ZINC000599282574 357874324 /nfs/dbraw/zinc/87/43/24/357874324.db2.gz SZJDHODGRVOSRA-CQSZACIVSA-N 1 2 320.389 1.571 20 30 DDEDLO C[N@H+](CC(=O)OC(C)(C)C)C[C@@H](O)COc1ccccc1C#N ZINC000599282574 357874327 /nfs/dbraw/zinc/87/43/27/357874327.db2.gz SZJDHODGRVOSRA-CQSZACIVSA-N 1 2 320.389 1.571 20 30 DDEDLO COC(=O)c1cnc(C[NH2+]C2(c3cccc(C#N)c3)CC2)cn1 ZINC000599356996 357907212 /nfs/dbraw/zinc/90/72/12/357907212.db2.gz QEOSHFQMTMOYQV-UHFFFAOYSA-N 1 2 308.341 1.914 20 30 DDEDLO CC(=O)NCC[N@@H+](C)CC(=O)Nc1sc(C)c(C)c1C#N ZINC000565479392 304070951 /nfs/dbraw/zinc/07/09/51/304070951.db2.gz YCRKGMXAVWIBPH-UHFFFAOYSA-N 1 2 308.407 1.243 20 30 DDEDLO CC(=O)NCC[N@H+](C)CC(=O)Nc1sc(C)c(C)c1C#N ZINC000565479392 304070953 /nfs/dbraw/zinc/07/09/53/304070953.db2.gz YCRKGMXAVWIBPH-UHFFFAOYSA-N 1 2 308.407 1.243 20 30 DDEDLO CCOc1ccc(C#N)cc1NC(=O)NC[C@H]1COCC[N@@H+]1C ZINC000599726223 358040653 /nfs/dbraw/zinc/04/06/53/358040653.db2.gz CIANYMSQVABSLX-ZDUSSCGKSA-N 1 2 318.377 1.409 20 30 DDEDLO CCOc1ccc(C#N)cc1NC(=O)NC[C@H]1COCC[N@H+]1C ZINC000599726223 358040656 /nfs/dbraw/zinc/04/06/56/358040656.db2.gz CIANYMSQVABSLX-ZDUSSCGKSA-N 1 2 318.377 1.409 20 30 DDEDLO COC(=O)c1cc(C#N)c(=O)n(Cc2ccc(N(C)C)[nH+]c2)c1 ZINC000600516297 358246455 /nfs/dbraw/zinc/24/64/55/358246455.db2.gz NIHYGKGVTUVSCX-UHFFFAOYSA-N 1 2 312.329 1.016 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(C)nc2OCC)CC1 ZINC000276644530 213019095 /nfs/dbraw/zinc/01/90/95/213019095.db2.gz SZNLFMFNAMXHEK-UHFFFAOYSA-N 1 2 301.390 1.570 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3cc(C#N)nc(C4CC4)n3)CC2)cn1 ZINC000601114329 358407678 /nfs/dbraw/zinc/40/76/78/358407678.db2.gz XVLPGXKQZSESFM-UHFFFAOYSA-N 1 2 323.404 1.281 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccccc1CC#N ZINC000601431576 358550455 /nfs/dbraw/zinc/55/04/55/358550455.db2.gz QJLAICOIVNHFDJ-HNNXBMFYSA-N 1 2 321.446 1.857 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccccc1CC#N ZINC000601431576 358550457 /nfs/dbraw/zinc/55/04/57/358550457.db2.gz QJLAICOIVNHFDJ-HNNXBMFYSA-N 1 2 321.446 1.857 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)C1(C#N)CC2(CC2)C1 ZINC000601575607 358608208 /nfs/dbraw/zinc/60/82/08/358608208.db2.gz VSQCSHSNXDHMHM-ZIAGYGMSSA-N 1 2 303.406 1.392 20 30 DDEDLO N#Cc1ccc(Br)c(-n2[nH]c3c(c2=O)C[NH2+]CC3)c1 ZINC000601949142 358749708 /nfs/dbraw/zinc/74/97/08/358749708.db2.gz RYUUTTLQVFNESU-SECBINFHSA-N 1 2 319.162 1.633 20 30 DDEDLO CN1CCCC[C@H]([N@H+](C)Cc2ccc(C#N)cc2[N+](=O)[O-])C1=O ZINC000601974339 358761545 /nfs/dbraw/zinc/76/15/45/358761545.db2.gz IGUOPWKIWZCXOT-AWEZNQCLSA-N 1 2 316.361 1.909 20 30 DDEDLO CN1CCCC[C@H]([N@@H+](C)Cc2ccc(C#N)cc2[N+](=O)[O-])C1=O ZINC000601974339 358761550 /nfs/dbraw/zinc/76/15/50/358761550.db2.gz IGUOPWKIWZCXOT-AWEZNQCLSA-N 1 2 316.361 1.909 20 30 DDEDLO CCc1c[nH]c(CC(=O)N2CCN([C@H](C#N)C(C)C)CC2)[nH+]1 ZINC000602088398 358808027 /nfs/dbraw/zinc/80/80/27/358808027.db2.gz KXUKPLGADABRAV-CQSZACIVSA-N 1 2 303.410 1.207 20 30 DDEDLO COCCN(CC#N)C(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000602158154 358852996 /nfs/dbraw/zinc/85/29/96/358852996.db2.gz LDXIPOKYDMMJNO-UHFFFAOYSA-N 1 2 300.318 1.190 20 30 DDEDLO Cc1cn(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)nc1C(F)(F)F ZINC000602336676 358937197 /nfs/dbraw/zinc/93/71/97/358937197.db2.gz ZKIDTJODADJSAV-LBPRGKRZSA-N 1 2 317.315 1.170 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)n1)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000602476294 359004044 /nfs/dbraw/zinc/00/40/44/359004044.db2.gz MFNIEXXWEWYVED-LSDHHAIUSA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)n1)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000602476294 359004045 /nfs/dbraw/zinc/00/40/45/359004045.db2.gz MFNIEXXWEWYVED-LSDHHAIUSA-N 1 2 307.419 1.741 20 30 DDEDLO COCC[N@@H+](Cc1cccc(C#N)n1)C[C@@H](O)C(F)(F)F ZINC000602704681 359136195 /nfs/dbraw/zinc/13/61/95/359136195.db2.gz JJHNORGHFOGUFZ-GFCCVEGCSA-N 1 2 303.284 1.325 20 30 DDEDLO COCC[N@H+](Cc1cccc(C#N)n1)C[C@@H](O)C(F)(F)F ZINC000602704681 359136199 /nfs/dbraw/zinc/13/61/99/359136199.db2.gz JJHNORGHFOGUFZ-GFCCVEGCSA-N 1 2 303.284 1.325 20 30 DDEDLO CCOC(=O)c1cccc(C[NH+]2CCN(CCC#N)CC2)n1 ZINC000602750709 359169432 /nfs/dbraw/zinc/16/94/32/359169432.db2.gz BAAIOINBQZSZSA-UHFFFAOYSA-N 1 2 302.378 1.290 20 30 DDEDLO Cc1n[nH]cc1C[N@@H+](C)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000602937381 359306978 /nfs/dbraw/zinc/30/69/78/359306978.db2.gz JLXBWICFVRJIFN-CYBMUJFWSA-N 1 2 303.410 1.881 20 30 DDEDLO Cc1n[nH]cc1C[N@H+](C)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000602937381 359306979 /nfs/dbraw/zinc/30/69/79/359306979.db2.gz JLXBWICFVRJIFN-CYBMUJFWSA-N 1 2 303.410 1.881 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC=C(c2cnn(C)c2)C1 ZINC000602888263 359272088 /nfs/dbraw/zinc/27/20/88/359272088.db2.gz QICDSNSORAHGQF-QGZVFWFLSA-N 1 2 315.421 1.564 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC=C(c2cnn(C)c2)C1 ZINC000602888263 359272092 /nfs/dbraw/zinc/27/20/92/359272092.db2.gz QICDSNSORAHGQF-QGZVFWFLSA-N 1 2 315.421 1.564 20 30 DDEDLO N#CCC1(C[N@H+]2CCc3c(cccc3S(N)(=O)=O)C2)CC1 ZINC000603023267 359364597 /nfs/dbraw/zinc/36/45/97/359364597.db2.gz BFAHCCSNPLGSSY-UHFFFAOYSA-N 1 2 305.403 1.386 20 30 DDEDLO N#CCC1(C[N@@H+]2CCc3c(cccc3S(N)(=O)=O)C2)CC1 ZINC000603023267 359364600 /nfs/dbraw/zinc/36/46/00/359364600.db2.gz BFAHCCSNPLGSSY-UHFFFAOYSA-N 1 2 305.403 1.386 20 30 DDEDLO C[N@H+](CC(=O)NCCOc1ccccc1)[C@H]1CCC[C@H]1C#N ZINC000602974607 359334288 /nfs/dbraw/zinc/33/42/88/359334288.db2.gz QPGMPPSNEZRSNZ-HOCLYGCPSA-N 1 2 301.390 1.806 20 30 DDEDLO C[N@@H+](CC(=O)NCCOc1ccccc1)[C@H]1CCC[C@H]1C#N ZINC000602974607 359334292 /nfs/dbraw/zinc/33/42/92/359334292.db2.gz QPGMPPSNEZRSNZ-HOCLYGCPSA-N 1 2 301.390 1.806 20 30 DDEDLO COCC[N@H+](C)Cc1cn(Cc2cc(F)ccc2C#N)nn1 ZINC000603218076 359489574 /nfs/dbraw/zinc/48/95/74/359489574.db2.gz OKWHSTDIGYBOPK-UHFFFAOYSA-N 1 2 303.341 1.415 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(Cc2cc(F)ccc2C#N)nn1 ZINC000603218076 359489583 /nfs/dbraw/zinc/48/95/83/359489583.db2.gz OKWHSTDIGYBOPK-UHFFFAOYSA-N 1 2 303.341 1.415 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N2CC[C@](F)(C#N)C2)C1 ZINC000603319667 359567576 /nfs/dbraw/zinc/56/75/76/359567576.db2.gz QVKOBFUXRWHDDM-HNNXBMFYSA-N 1 2 323.393 1.301 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N2CC[C@](F)(C#N)C2)C1 ZINC000603319667 359567581 /nfs/dbraw/zinc/56/75/81/359567581.db2.gz QVKOBFUXRWHDDM-HNNXBMFYSA-N 1 2 323.393 1.301 20 30 DDEDLO C[C@@H](C#N)N(C1CC1)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603386668 359609222 /nfs/dbraw/zinc/60/92/22/359609222.db2.gz IMELGAICTXVNIO-LBPRGKRZSA-N 1 2 319.430 1.740 20 30 DDEDLO C[C@@H](C#N)N(C1CC1)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603386668 359609227 /nfs/dbraw/zinc/60/92/27/359609227.db2.gz IMELGAICTXVNIO-LBPRGKRZSA-N 1 2 319.430 1.740 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(C(=O)CC(C)(C)C)CC1 ZINC000603555073 359657882 /nfs/dbraw/zinc/65/78/82/359657882.db2.gz XRGWQEUBFQJEDZ-AWEZNQCLSA-N 1 2 322.453 1.327 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3oc(C4CC4)nc3C#N)CC2)cn1 ZINC000604550410 359765204 /nfs/dbraw/zinc/76/52/04/359765204.db2.gz MPJSQKOOZZYHHL-UHFFFAOYSA-N 1 2 312.377 1.479 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2c[nH]nc2C1)N1CCC[C@@H]1Cn1cc[nH+]c1 ZINC000329861061 223039808 /nfs/dbraw/zinc/03/98/08/223039808.db2.gz PYMIHKQNCCJVJL-ZIAGYGMSSA-N 1 2 314.393 1.542 20 30 DDEDLO O=C(N[C@@H]1CCc2c[nH]nc2C1)N1CCC[C@@H]1Cn1cc[nH+]c1 ZINC000329861061 223039811 /nfs/dbraw/zinc/03/98/11/223039811.db2.gz PYMIHKQNCCJVJL-ZIAGYGMSSA-N 1 2 314.393 1.542 20 30 DDEDLO C=CC[N@@H+](Cc1cccc(N(C)C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000607724352 360049737 /nfs/dbraw/zinc/04/97/37/360049737.db2.gz JVABRSFYHJFOBL-INIZCTEOSA-N 1 2 308.447 1.928 20 30 DDEDLO C=CC[N@H+](Cc1cccc(N(C)C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000607724352 360049741 /nfs/dbraw/zinc/04/97/41/360049741.db2.gz JVABRSFYHJFOBL-INIZCTEOSA-N 1 2 308.447 1.928 20 30 DDEDLO CCOc1ncccc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329896540 223042394 /nfs/dbraw/zinc/04/23/94/223042394.db2.gz COSSLTYOJMIMJW-OLZOCXBDSA-N 1 2 306.366 1.041 20 30 DDEDLO CCOc1ncccc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329896540 223042397 /nfs/dbraw/zinc/04/23/97/223042397.db2.gz COSSLTYOJMIMJW-OLZOCXBDSA-N 1 2 306.366 1.041 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCCN(CCC)C(=O)C1 ZINC000189413504 200396822 /nfs/dbraw/zinc/39/68/22/200396822.db2.gz ZOOXEANGGHPHEJ-HNNXBMFYSA-N 1 2 307.438 1.520 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCCN(CCC)C(=O)C1 ZINC000189413504 200396824 /nfs/dbraw/zinc/39/68/24/200396824.db2.gz ZOOXEANGGHPHEJ-HNNXBMFYSA-N 1 2 307.438 1.520 20 30 DDEDLO N#CCN1CCC(NC(=O)NCCCNc2cccc[nH+]2)CC1 ZINC000609336715 360296603 /nfs/dbraw/zinc/29/66/03/360296603.db2.gz IBWDIVVAVIPFPD-UHFFFAOYSA-N 1 2 316.409 1.171 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2C(=O)NCCCn2cc[nH+]c2)cc1 ZINC000609113130 360261724 /nfs/dbraw/zinc/26/17/24/360261724.db2.gz XOIBJSCYFNMCIQ-KRWDZBQOSA-N 1 2 323.400 1.930 20 30 DDEDLO COCCN(CCC#N)C(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000609136657 360265393 /nfs/dbraw/zinc/26/53/93/360265393.db2.gz JAZIHTVSFVXVBY-UHFFFAOYSA-N 1 2 312.373 1.934 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NCCC[N@@H+]1CCNC(=O)C1 ZINC000618678930 363718668 /nfs/dbraw/zinc/71/86/68/363718668.db2.gz JRAICIZGSIFBPI-UHFFFAOYSA-N 1 2 323.400 1.071 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NCCC[N@H+]1CCNC(=O)C1 ZINC000618678930 363718675 /nfs/dbraw/zinc/71/86/75/363718675.db2.gz JRAICIZGSIFBPI-UHFFFAOYSA-N 1 2 323.400 1.071 20 30 DDEDLO Cc1[nH+]c(-c2ccncc2)nc(NC2CCN(CC#N)CC2)c1C ZINC000609595519 360330669 /nfs/dbraw/zinc/33/06/69/360330669.db2.gz JPJPSBJWCIVKOA-UHFFFAOYSA-N 1 2 322.416 1.977 20 30 DDEDLO C[C@H]1CCC[C@@](C#N)(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)C1 ZINC000609741663 360347726 /nfs/dbraw/zinc/34/77/26/360347726.db2.gz WLEYUSGHZQFPNB-BLLLJJGKSA-N 1 2 310.361 1.865 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609538235 360321447 /nfs/dbraw/zinc/32/14/47/360321447.db2.gz OXTLPGBSGHGPBK-DLBZAZTESA-N 1 2 318.465 1.741 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[NH+](Cc2ccc3c(c2)OCCO3)CC1 ZINC000609920576 360365890 /nfs/dbraw/zinc/36/58/90/360365890.db2.gz SBXXGRLMBXPHQR-CYBMUJFWSA-N 1 2 315.373 1.262 20 30 DDEDLO C[NH+](C)[C@H](CNC(=O)N[C@@H]1CC[S@](=O)C1)c1ccsc1 ZINC000330009090 223061935 /nfs/dbraw/zinc/06/19/35/223061935.db2.gz FZXACELUCMFYKJ-HTGLOVNISA-N 1 2 315.464 1.375 20 30 DDEDLO CCc1nsc(N2CC[NH+](Cc3ccnc(C#N)c3)CC2)n1 ZINC000610993718 360595092 /nfs/dbraw/zinc/59/50/92/360595092.db2.gz DQGYRKOBEQNPPV-UHFFFAOYSA-N 1 2 314.418 1.689 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCO[C@H](C(F)(F)F)C3)n2c1 ZINC000611272588 360687081 /nfs/dbraw/zinc/68/70/81/360687081.db2.gz IWBQBGQKDDSJAV-LBPRGKRZSA-N 1 2 310.279 1.969 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(c2cc(-n3cc[nH+]c3)ncn2)CC1 ZINC000611413191 360714965 /nfs/dbraw/zinc/71/49/65/360714965.db2.gz XLOYZGGGDUTRGB-CQSZACIVSA-N 1 2 311.393 1.332 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000611552206 360756767 /nfs/dbraw/zinc/75/67/67/360756767.db2.gz RNQSQTZIAJSEDX-MRXNPFEDSA-N 1 2 316.380 1.310 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)N1CCC(C(=O)OC(C)(C)C)CC1 ZINC000330565725 223127701 /nfs/dbraw/zinc/12/77/01/223127701.db2.gz KHTNYLUVXGBECY-UHFFFAOYSA-N 1 2 322.409 1.888 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)CC2(C#N)CCCCC2)C[C@H]1C ZINC000613938120 361636092 /nfs/dbraw/zinc/63/60/92/361636092.db2.gz KHKTYYYPMHRPGJ-CQSZACIVSA-N 1 2 313.467 1.816 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)CC2(C#N)CCCCC2)C[C@H]1C ZINC000613938120 361636099 /nfs/dbraw/zinc/63/60/99/361636099.db2.gz KHKTYYYPMHRPGJ-CQSZACIVSA-N 1 2 313.467 1.816 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@@H](C)C(=O)Nc2cc(C)no2)CC1 ZINC000341979714 223298903 /nfs/dbraw/zinc/29/89/03/223298903.db2.gz BUOFIWMJIIVOTO-LBPRGKRZSA-N 1 2 306.366 1.562 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000341994045 223300092 /nfs/dbraw/zinc/30/00/92/223300092.db2.gz RTTOIEYIDSBPOS-NSHDSACASA-N 1 2 319.327 1.402 20 30 DDEDLO C[C@H](CC#N)N(C)S(=O)(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000619749346 364140327 /nfs/dbraw/zinc/14/03/27/364140327.db2.gz OYLGOFJIHNCGCZ-CYBMUJFWSA-N 1 2 322.434 1.071 20 30 DDEDLO C=C[C@@H]([NH2+]CCCCN1C(=O)c2ccccc2C1=O)C(=O)OC ZINC000619701916 364120138 /nfs/dbraw/zinc/12/01/38/364120138.db2.gz ZVIZNDCCVGNISN-CQSZACIVSA-N 1 2 316.357 1.380 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(NC(=O)c2ccsc2)CC1 ZINC000619716764 364128441 /nfs/dbraw/zinc/12/84/41/364128441.db2.gz DFQVLLKJSZCHTD-ZDUSSCGKSA-N 1 2 308.403 1.670 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCNC(=O)[C@@H]2CC)cc1OC ZINC000093050242 193198175 /nfs/dbraw/zinc/19/81/75/193198175.db2.gz DZOYXIDQSWYLSC-AWEZNQCLSA-N 1 2 304.390 1.970 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCNC(=O)[C@@H]2CC)cc1OC ZINC000093050242 193198176 /nfs/dbraw/zinc/19/81/76/193198176.db2.gz DZOYXIDQSWYLSC-AWEZNQCLSA-N 1 2 304.390 1.970 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)NCc1ccc(C#N)cc1 ZINC000264297727 203826571 /nfs/dbraw/zinc/82/65/71/203826571.db2.gz WCVSXMRFDHAHBE-UHFFFAOYSA-N 1 2 302.378 1.032 20 30 DDEDLO C[C@H](NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C)c1ccc(C#N)cc1 ZINC000264755833 204131311 /nfs/dbraw/zinc/13/13/11/204131311.db2.gz SEHFDZBAHQRQOE-XJKSGUPXSA-N 1 2 315.421 1.164 20 30 DDEDLO C[C@H](NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)c1ccc(C#N)cc1 ZINC000264755833 204131315 /nfs/dbraw/zinc/13/13/15/204131315.db2.gz SEHFDZBAHQRQOE-XJKSGUPXSA-N 1 2 315.421 1.164 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCC[N@@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000579479125 422776411 /nfs/dbraw/zinc/77/64/11/422776411.db2.gz IYZLSZGRFGASRD-ZDUSSCGKSA-N 1 2 302.334 1.519 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCC[N@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000579479125 422776415 /nfs/dbraw/zinc/77/64/15/422776415.db2.gz IYZLSZGRFGASRD-ZDUSSCGKSA-N 1 2 302.334 1.519 20 30 DDEDLO N#Cc1cccc(-n2ccc(C(=O)Nc3cc[nH+]cc3O)n2)c1 ZINC000336928226 249291354 /nfs/dbraw/zinc/29/13/54/249291354.db2.gz NJHCWDYLDBEWJE-UHFFFAOYSA-N 1 2 305.297 1.519 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC[C@H]1CCN(c2ccccc2)C1)C1CC1 ZINC000274117965 211370816 /nfs/dbraw/zinc/37/08/16/211370816.db2.gz GLMMEVVWMWOJEC-MRXNPFEDSA-N 1 2 311.429 1.727 20 30 DDEDLO C#CC[N@H+](CC(=O)NC[C@H]1CCN(c2ccccc2)C1)C1CC1 ZINC000274117965 211370819 /nfs/dbraw/zinc/37/08/19/211370819.db2.gz GLMMEVVWMWOJEC-MRXNPFEDSA-N 1 2 311.429 1.727 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnn(CC(F)(F)F)n1)c1ccc(C#N)cc1 ZINC000338355383 249925004 /nfs/dbraw/zinc/92/50/04/249925004.db2.gz JESMFIOSVPSCNF-SECBINFHSA-N 1 2 310.283 1.958 20 30 DDEDLO N#CC1CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)CC1 ZINC000105788970 194126346 /nfs/dbraw/zinc/12/63/46/194126346.db2.gz YQEAKSXEPFPDCL-AWEZNQCLSA-N 1 2 301.394 1.425 20 30 DDEDLO N#CC1CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)CC1 ZINC000105788970 194126348 /nfs/dbraw/zinc/12/63/48/194126348.db2.gz YQEAKSXEPFPDCL-AWEZNQCLSA-N 1 2 301.394 1.425 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3cnc(C#N)cn3)c[nH+]2)CCO1 ZINC000106464708 194219192 /nfs/dbraw/zinc/21/91/92/194219192.db2.gz HILOCRQXLQCSNM-GFCCVEGCSA-N 1 2 310.361 1.580 20 30 DDEDLO COc1ccc(NC(=O)NC(=O)C[NH+]2CCC(C#N)CC2)cc1 ZINC000057907103 184061975 /nfs/dbraw/zinc/06/19/75/184061975.db2.gz JQHGJDVXXSSIPQ-UHFFFAOYSA-N 1 2 316.361 1.579 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)NCc1cc(C#N)ccc1F ZINC000341124027 251395941 /nfs/dbraw/zinc/39/59/41/251395941.db2.gz MDESIKPCKFCVJP-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)NCc1cc(C#N)ccc1F ZINC000341124027 251395943 /nfs/dbraw/zinc/39/59/43/251395943.db2.gz MDESIKPCKFCVJP-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO COCC(COC)[NH+]1CCN(C(=O)c2ccc(C#N)s2)CC1 ZINC000412436395 224030777 /nfs/dbraw/zinc/03/07/77/224030777.db2.gz OOTDMQUUQDBTIB-UHFFFAOYSA-N 1 2 323.418 1.039 20 30 DDEDLO C=CCCCNC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000633196716 422802149 /nfs/dbraw/zinc/80/21/49/422802149.db2.gz JFKSIXKYWZIRQU-UHFFFAOYSA-N 1 2 303.410 1.313 20 30 DDEDLO COc1ccc(OC[C@H](O)C[N@H+](C)CCC#N)cc1[N+](=O)[O-] ZINC000414121526 533020852 /nfs/dbraw/zinc/02/08/52/533020852.db2.gz HJALFSJPVDFKTM-LLVKDONJSA-N 1 2 309.322 1.189 20 30 DDEDLO COc1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)cc1[N+](=O)[O-] ZINC000414121526 533020858 /nfs/dbraw/zinc/02/08/58/533020858.db2.gz HJALFSJPVDFKTM-LLVKDONJSA-N 1 2 309.322 1.189 20 30 DDEDLO COCC1(C#N)CC[NH+](CCCS(=O)(=O)C(C)C)CC1 ZINC000341996936 533111304 /nfs/dbraw/zinc/11/13/04/533111304.db2.gz TWCJXGIKGJPVDX-UHFFFAOYSA-N 1 2 302.440 1.452 20 30 DDEDLO CC(C)n1nccc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000157559510 197197332 /nfs/dbraw/zinc/19/73/32/197197332.db2.gz NPXXFVOYNBANDX-UHFFFAOYSA-N 1 2 319.409 1.152 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CCn3c[nH+]cc3C2)c1C#N ZINC000289590341 221088743 /nfs/dbraw/zinc/08/87/43/221088743.db2.gz PRGLBZLVROAPIW-UHFFFAOYSA-N 1 2 302.359 1.268 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2C)[C@@H](C)C1 ZINC000285273833 285328201 /nfs/dbraw/zinc/32/82/01/285328201.db2.gz IVYWZCRMRVGJID-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2C)[C@@H](C)C1 ZINC000285273833 285328203 /nfs/dbraw/zinc/32/82/03/285328203.db2.gz IVYWZCRMRVGJID-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(Cc2ccc(C#N)s2)CC1 ZINC000121928894 195376887 /nfs/dbraw/zinc/37/68/87/195376887.db2.gz RCMZKCNXDVWYHZ-UHFFFAOYSA-N 1 2 320.462 1.606 20 30 DDEDLO CCN(CC)C(=O)CN1CC[NH+](Cc2ccc(C#N)s2)CC1 ZINC000121928894 195376890 /nfs/dbraw/zinc/37/68/90/195376890.db2.gz RCMZKCNXDVWYHZ-UHFFFAOYSA-N 1 2 320.462 1.606 20 30 DDEDLO CSC1(CNC(=O)N2CCC(C#N)([NH+](C)C)CC2)CCC1 ZINC000332466175 533491326 /nfs/dbraw/zinc/49/13/26/533491326.db2.gz KTJRXXWPUJLIHZ-UHFFFAOYSA-N 1 2 310.467 1.901 20 30 DDEDLO COC(=O)C[C@@H]1CCC[N@@H+]1Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459364326 533633184 /nfs/dbraw/zinc/63/31/84/533633184.db2.gz KKHDINMOIZJAIU-LBPRGKRZSA-N 1 2 303.318 1.994 20 30 DDEDLO COC(=O)C[C@@H]1CCC[N@H+]1Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459364326 533633190 /nfs/dbraw/zinc/63/31/90/533633190.db2.gz KKHDINMOIZJAIU-LBPRGKRZSA-N 1 2 303.318 1.994 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000070432148 406815040 /nfs/dbraw/zinc/81/50/40/406815040.db2.gz QSAHGSQCJUTRJY-GFCCVEGCSA-N 1 2 304.375 1.430 20 30 DDEDLO CNC(=O)C1CC[NH+](CC(=O)Nc2sccc2C#N)CC1 ZINC000029162360 406921355 /nfs/dbraw/zinc/92/13/55/406921355.db2.gz UAYWLFHFWXOIBX-UHFFFAOYSA-N 1 2 306.391 1.016 20 30 DDEDLO C=CCNC(=O)NCC[NH+]1CCN(C(=O)OC(C)(C)C)CC1 ZINC000044457388 407024065 /nfs/dbraw/zinc/02/40/65/407024065.db2.gz YDWGYUICVVHTBC-UHFFFAOYSA-N 1 2 312.414 1.024 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)Nc1ccc([N+](=O)[O-])cc1C#N ZINC000078543612 407033340 /nfs/dbraw/zinc/03/33/40/407033340.db2.gz MQMUPWINXXXAFH-LBPRGKRZSA-N 1 2 303.366 1.514 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)[C@H](C)Sc2ccccn2)CC1 ZINC000084972438 407096193 /nfs/dbraw/zinc/09/61/93/407096193.db2.gz JVIOGTNIKJNWTP-AWEZNQCLSA-N 1 2 303.431 1.730 20 30 DDEDLO C=C(C)COc1cc(C[N@@H+]2CCO[C@@H](C(N)=O)C2)ccc1OC ZINC000092735885 407190837 /nfs/dbraw/zinc/19/08/37/407190837.db2.gz HPSIDIWHJQMSPK-MRXNPFEDSA-N 1 2 320.389 1.336 20 30 DDEDLO C=C(C)COc1cc(C[N@H+]2CCO[C@@H](C(N)=O)C2)ccc1OC ZINC000092735885 407190838 /nfs/dbraw/zinc/19/08/38/407190838.db2.gz HPSIDIWHJQMSPK-MRXNPFEDSA-N 1 2 320.389 1.336 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](O)C[N@@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000093103121 407193886 /nfs/dbraw/zinc/19/38/86/407193886.db2.gz IZHSDWLZKKYQHV-LNSITVRQSA-N 1 2 304.346 1.086 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](O)C[N@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000093103121 407193890 /nfs/dbraw/zinc/19/38/90/407193890.db2.gz IZHSDWLZKKYQHV-LNSITVRQSA-N 1 2 304.346 1.086 20 30 DDEDLO C=CC[N@@H+](Cc1cccc(OC)c1O)[C@@H]1CCS(=O)(=O)C1 ZINC000092361467 407189046 /nfs/dbraw/zinc/18/90/46/407189046.db2.gz OZORFHAZDHSCBF-CYBMUJFWSA-N 1 2 311.403 1.576 20 30 DDEDLO C=CC[N@H+](Cc1cccc(OC)c1O)[C@@H]1CCS(=O)(=O)C1 ZINC000092361467 407189049 /nfs/dbraw/zinc/18/90/49/407189049.db2.gz OZORFHAZDHSCBF-CYBMUJFWSA-N 1 2 311.403 1.576 20 30 DDEDLO C=CCN(CC=C)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000055120263 407195565 /nfs/dbraw/zinc/19/55/65/407195565.db2.gz HOAQDBSNZIBMLX-UHFFFAOYSA-N 1 2 302.422 1.833 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000126010737 407402951 /nfs/dbraw/zinc/40/29/51/407402951.db2.gz DKLHMTMBOQSFJY-MRXNPFEDSA-N 1 2 313.401 1.804 20 30 DDEDLO C=CCOc1ccccc1C[N@H+](C)CC(=O)N1CCOCC1 ZINC000127377750 407434892 /nfs/dbraw/zinc/43/48/92/407434892.db2.gz ZDFNZRKTRTWVGH-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+](C)CC(=O)N1CCOCC1 ZINC000127377750 407434893 /nfs/dbraw/zinc/43/48/93/407434893.db2.gz ZDFNZRKTRTWVGH-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[S@](=O)[C@@H](C)[C@H]1C ZINC000265810793 407463794 /nfs/dbraw/zinc/46/37/94/407463794.db2.gz FJGGTQUBEPNVPT-IFMYKAFSSA-N 1 2 322.430 1.787 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[S@](=O)[C@@H](C)[C@H]1C ZINC000265810793 407463803 /nfs/dbraw/zinc/46/38/03/407463803.db2.gz FJGGTQUBEPNVPT-IFMYKAFSSA-N 1 2 322.430 1.787 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CC[NH+](C/C=C\c2ccccc2)CC1 ZINC000255514593 407473926 /nfs/dbraw/zinc/47/39/26/407473926.db2.gz QWYWXFZYSOJDBC-JEZWAEDTSA-N 1 2 311.429 1.455 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(C/C=C\c2ccccc2)CC1 ZINC000255514593 407473929 /nfs/dbraw/zinc/47/39/29/407473929.db2.gz QWYWXFZYSOJDBC-JEZWAEDTSA-N 1 2 311.429 1.455 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)N1CC[NH+](C2CC2)CC1 ZINC000178455283 407460561 /nfs/dbraw/zinc/46/05/61/407460561.db2.gz GRHKWNNLPWMHAV-UHFFFAOYSA-N 1 2 312.373 1.112 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000178777913 407598557 /nfs/dbraw/zinc/59/85/57/407598557.db2.gz KIOMMNWMNXCJLR-AWEZNQCLSA-N 1 2 303.381 1.081 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(Cc2cccc(F)c2)CC1 ZINC000178777913 407598565 /nfs/dbraw/zinc/59/85/65/407598565.db2.gz KIOMMNWMNXCJLR-AWEZNQCLSA-N 1 2 303.381 1.081 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)[nH]1 ZINC000130494320 407694998 /nfs/dbraw/zinc/69/49/98/407694998.db2.gz FJNZAWNGDPILIN-CQSZACIVSA-N 1 2 302.378 1.213 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000266965645 407695557 /nfs/dbraw/zinc/69/55/57/407695557.db2.gz YAYFMXRPKIVHLF-UHFFFAOYSA-N 1 2 315.417 1.622 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000266965645 407695561 /nfs/dbraw/zinc/69/55/61/407695561.db2.gz YAYFMXRPKIVHLF-UHFFFAOYSA-N 1 2 315.417 1.622 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)CCO1 ZINC000171550314 407702789 /nfs/dbraw/zinc/70/27/89/407702789.db2.gz HNQZIUDHYJHERK-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)CCO1 ZINC000171550314 407702793 /nfs/dbraw/zinc/70/27/93/407702793.db2.gz HNQZIUDHYJHERK-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO C=CC[N@@H+](CCOCC(F)(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000171749086 407756875 /nfs/dbraw/zinc/75/68/75/407756875.db2.gz MWXBZYOWJLSYLQ-JTQLQIEISA-N 1 2 301.330 1.240 20 30 DDEDLO C=CC[N@H+](CCOCC(F)(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000171749086 407756880 /nfs/dbraw/zinc/75/68/80/407756880.db2.gz MWXBZYOWJLSYLQ-JTQLQIEISA-N 1 2 301.330 1.240 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)N[C@@](C)(C#N)C1CC1)c1nnc2ccccn21 ZINC000172613418 407841539 /nfs/dbraw/zinc/84/15/39/407841539.db2.gz RSZACQGANHEDFK-BZNIZROVSA-N 1 2 312.377 1.188 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N(CCC#N)CC2CC2)c(C)[nH+]1 ZINC000132723460 407801885 /nfs/dbraw/zinc/80/18/85/407801885.db2.gz BGGYSGMHFDATFR-UHFFFAOYSA-N 1 2 300.362 1.789 20 30 DDEDLO CNC(=O)c1ccc(CO[NH+]=C(N)Cc2csc(C)n2)cc1 ZINC000187808479 407893455 /nfs/dbraw/zinc/89/34/55/407893455.db2.gz BTEZGNGFDIMRDY-UHFFFAOYSA-N 1 2 318.402 1.843 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000187805438 407893628 /nfs/dbraw/zinc/89/36/28/407893628.db2.gz HFOYYSAVELOMRV-QGZVFWFLSA-N 1 2 303.406 1.959 20 30 DDEDLO CC(C)N(C)C(=O)[C@@H](C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000175036797 408035759 /nfs/dbraw/zinc/03/57/59/408035759.db2.gz XFSFFFBCUGKWST-CQSZACIVSA-N 1 2 315.421 1.331 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2ccc(OC)c(C#N)c2)C[C@@H](C)O1 ZINC000135150689 407983804 /nfs/dbraw/zinc/98/38/04/407983804.db2.gz FDBJWAQEFLDTQK-IAQYHMDHSA-N 1 2 304.346 1.329 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2ccc(OC)c(C#N)c2)C[C@@H](C)O1 ZINC000135150689 407983807 /nfs/dbraw/zinc/98/38/07/407983807.db2.gz FDBJWAQEFLDTQK-IAQYHMDHSA-N 1 2 304.346 1.329 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C2)[nH]1 ZINC000268506245 408075003 /nfs/dbraw/zinc/07/50/03/408075003.db2.gz SZPMTRSJMCRCHC-YPMHNXCESA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C2)[nH]1 ZINC000268506245 408075006 /nfs/dbraw/zinc/07/50/06/408075006.db2.gz SZPMTRSJMCRCHC-YPMHNXCESA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C2)n1 ZINC000268506245 408075009 /nfs/dbraw/zinc/07/50/09/408075009.db2.gz SZPMTRSJMCRCHC-YPMHNXCESA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C2)n1 ZINC000268506245 408075014 /nfs/dbraw/zinc/07/50/14/408075014.db2.gz SZPMTRSJMCRCHC-YPMHNXCESA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nc([C@H]2CCC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C2)n[nH]1 ZINC000268506245 408075016 /nfs/dbraw/zinc/07/50/16/408075016.db2.gz SZPMTRSJMCRCHC-YPMHNXCESA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nc([C@H]2CCC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C2)n[nH]1 ZINC000268506245 408075020 /nfs/dbraw/zinc/07/50/20/408075020.db2.gz SZPMTRSJMCRCHC-YPMHNXCESA-N 1 2 304.398 1.053 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N(CCC#N)CCC#N)C(C)(C)C ZINC000268567981 408101423 /nfs/dbraw/zinc/10/14/23/408101423.db2.gz OOQZDZVDYIIBRK-UHFFFAOYSA-N 1 2 322.409 1.306 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N(CCC#N)CCC#N)C(C)(C)C ZINC000268567981 408101428 /nfs/dbraw/zinc/10/14/28/408101428.db2.gz OOQZDZVDYIIBRK-UHFFFAOYSA-N 1 2 322.409 1.306 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CC[NH+](CCOCC)CC2)cc1 ZINC000119943842 408114787 /nfs/dbraw/zinc/11/47/87/408114787.db2.gz DNSYMLYIGQMSOJ-UHFFFAOYSA-N 1 2 316.401 1.493 20 30 DDEDLO C#CC[NH+]1CCN(Cc2nc(=O)c3c(C)c(C)sc3[nH]2)CC1 ZINC000120641206 408137868 /nfs/dbraw/zinc/13/78/68/408137868.db2.gz QEMGZTFQPQKGCF-UHFFFAOYSA-N 1 2 316.430 1.352 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000121198958 408161942 /nfs/dbraw/zinc/16/19/42/408161942.db2.gz PTHZCKFVNVRJCV-DOTOQJQBSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000121198958 408161947 /nfs/dbraw/zinc/16/19/47/408161947.db2.gz PTHZCKFVNVRJCV-DOTOQJQBSA-N 1 2 302.374 1.947 20 30 DDEDLO C=CCCC(=O)NCc1cccc(OCC[NH+]2CCOCC2)c1 ZINC000263780131 408251851 /nfs/dbraw/zinc/25/18/51/408251851.db2.gz GOWABOVQDRHARU-UHFFFAOYSA-N 1 2 318.417 1.980 20 30 DDEDLO CCN1CC[N@H+](Cc2cn(C)nc2-c2ccc(C#N)cc2)CC1=O ZINC000273439474 408199407 /nfs/dbraw/zinc/19/94/07/408199407.db2.gz MZCZATGCGYSJAA-UHFFFAOYSA-N 1 2 323.400 1.623 20 30 DDEDLO CCN1CC[N@@H+](Cc2cn(C)nc2-c2ccc(C#N)cc2)CC1=O ZINC000273439474 408199413 /nfs/dbraw/zinc/19/94/13/408199413.db2.gz MZCZATGCGYSJAA-UHFFFAOYSA-N 1 2 323.400 1.623 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)[C@H]2C1 ZINC000157942726 408322920 /nfs/dbraw/zinc/32/29/20/408322920.db2.gz GLQCNEAMKNYPGB-AESZEHBQSA-N 1 2 321.421 1.063 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)[C@H]2C1 ZINC000157942726 408322925 /nfs/dbraw/zinc/32/29/25/408322925.db2.gz GLQCNEAMKNYPGB-AESZEHBQSA-N 1 2 321.421 1.063 20 30 DDEDLO COc1cc2c(cc1OC)C[N@H+](CC(=O)N(C)CC#N)CC2 ZINC000151369189 408301180 /nfs/dbraw/zinc/30/11/80/408301180.db2.gz YIDYDGBBMKNORP-UHFFFAOYSA-N 1 2 303.362 1.044 20 30 DDEDLO COc1cc2c(cc1OC)C[N@@H+](CC(=O)N(C)CC#N)CC2 ZINC000151369189 408301188 /nfs/dbraw/zinc/30/11/88/408301188.db2.gz YIDYDGBBMKNORP-UHFFFAOYSA-N 1 2 303.362 1.044 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)Nc1cc(C#N)ccc1[N+](=O)[O-] ZINC000274260910 408343804 /nfs/dbraw/zinc/34/38/04/408343804.db2.gz NACMUMJTQWSNPM-LBPRGKRZSA-N 1 2 303.366 1.514 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)cc1 ZINC000173773823 162364677 /nfs/dbraw/zinc/36/46/77/162364677.db2.gz FBYINTOSWDFOMN-OAHLLOKOSA-N 1 2 300.362 1.497 20 30 DDEDLO Cn1cc(C[N@@H+]2CCNC(=O)C[C@@H]2c2ccccc2)cc1C#N ZINC000191257273 408394018 /nfs/dbraw/zinc/39/40/18/408394018.db2.gz ATCWGAWZOWOINL-QGZVFWFLSA-N 1 2 308.385 1.960 20 30 DDEDLO Cn1cc(C[N@H+]2CCNC(=O)C[C@@H]2c2ccccc2)cc1C#N ZINC000191257273 408394020 /nfs/dbraw/zinc/39/40/20/408394020.db2.gz ATCWGAWZOWOINL-QGZVFWFLSA-N 1 2 308.385 1.960 20 30 DDEDLO C[C@@H](Nc1ccc([N+](=O)[O-])cc1C#N)[C@@H](C)[NH+]1CCOCC1 ZINC000264303687 408436145 /nfs/dbraw/zinc/43/61/45/408436145.db2.gz RVGVEBIOQIHVBG-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@@H](C)O[C@@H](CO)C2)cc1OC ZINC000191531759 408439166 /nfs/dbraw/zinc/43/91/66/408439166.db2.gz IGPMAQRCWDQILR-UKRRQHHQSA-N 1 2 307.390 1.842 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@@H](C)O[C@@H](CO)C2)cc1OC ZINC000191531759 408439169 /nfs/dbraw/zinc/43/91/69/408439169.db2.gz IGPMAQRCWDQILR-UKRRQHHQSA-N 1 2 307.390 1.842 20 30 DDEDLO CC(C)(CNC(=O)c1ccc(CC#N)cc1)[NH+]1CCOCC1 ZINC000270214483 408486727 /nfs/dbraw/zinc/48/67/27/408486727.db2.gz KHHQUVHNXYTXNI-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(Cc2ccc(F)c(C#N)c2)CC1 ZINC000160557271 408497868 /nfs/dbraw/zinc/49/78/68/408497868.db2.gz GBGQHZHXPSFJHG-UHFFFAOYSA-N 1 2 318.396 1.340 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(C(=O)N[C@@H]2COc3ccccc32)CC1 ZINC000274701289 408501352 /nfs/dbraw/zinc/50/13/52/408501352.db2.gz QILTVBFYPYQMRG-CQSZACIVSA-N 1 2 314.389 1.749 20 30 DDEDLO C#CC[NH+]1CCN(c2cc(CC)nc(-c3ccncc3)n2)CC1 ZINC000264560401 408534357 /nfs/dbraw/zinc/53/43/57/408534357.db2.gz OOFNVLHBVDFUKF-UHFFFAOYSA-N 1 2 307.401 1.856 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2C[N@@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000248771764 408632442 /nfs/dbraw/zinc/63/24/42/408632442.db2.gz AJVXDNPXOCMZOK-JSHWQEIDSA-N 1 2 319.405 1.068 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2C[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000248771764 408632447 /nfs/dbraw/zinc/63/24/47/408632447.db2.gz AJVXDNPXOCMZOK-JSHWQEIDSA-N 1 2 319.405 1.068 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCc2nc([C@H](C)OC)no2)c1 ZINC000177612228 408670224 /nfs/dbraw/zinc/67/02/24/408670224.db2.gz TVGBNXWGIYKYFW-VIFPVBQESA-N 1 2 306.322 1.623 20 30 DDEDLO Cc1nc(C[NH2+]CCS(=O)(=O)c2cccc(C#N)c2)cs1 ZINC000163075857 408757452 /nfs/dbraw/zinc/75/74/52/408757452.db2.gz KDKKZILJRVCSKK-UHFFFAOYSA-N 1 2 321.427 1.887 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(OC)cc2OC)C1=O ZINC000281160552 408873636 /nfs/dbraw/zinc/87/36/36/408873636.db2.gz GDXVAJSYSJASME-OAHLLOKOSA-N 1 2 304.390 1.923 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(OC)cc2OC)C1=O ZINC000281160552 408873638 /nfs/dbraw/zinc/87/36/38/408873638.db2.gz GDXVAJSYSJASME-OAHLLOKOSA-N 1 2 304.390 1.923 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+](C)[C@@H]1CCN(CC=C)C1=O ZINC000281251830 408877672 /nfs/dbraw/zinc/87/76/72/408877672.db2.gz GVPSJOUJPCLZBU-LSDHHAIUSA-N 1 2 305.422 1.294 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+](C)[C@@H]1CCN(CC=C)C1=O ZINC000281251830 408877674 /nfs/dbraw/zinc/87/76/74/408877674.db2.gz GVPSJOUJPCLZBU-LSDHHAIUSA-N 1 2 305.422 1.294 20 30 DDEDLO C[C@@H](c1nnnn1C)[NH+]1CCC(Oc2ccc(C#N)cc2)CC1 ZINC000276130556 408822305 /nfs/dbraw/zinc/82/23/05/408822305.db2.gz AWKBVHUCFFFPOA-LBPRGKRZSA-N 1 2 312.377 1.686 20 30 DDEDLO Cc1ccc2c(c1)C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)CCO2 ZINC000285740115 408854811 /nfs/dbraw/zinc/85/48/11/408854811.db2.gz YDHKINQRPLVITB-GOSISDBHSA-N 1 2 313.401 1.998 20 30 DDEDLO Cc1ccc2c(c1)C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)CCO2 ZINC000285740115 408854813 /nfs/dbraw/zinc/85/48/13/408854813.db2.gz YDHKINQRPLVITB-GOSISDBHSA-N 1 2 313.401 1.998 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000291058411 408857576 /nfs/dbraw/zinc/85/75/76/408857576.db2.gz MVBGMIFOSSDFQF-YJBOKZPZSA-N 1 2 312.413 1.532 20 30 DDEDLO CC[C@@H]1C[N@H+](C[C@H](O)c2ccc(C#N)cc2)C[C@H](C)[S@@]1=O ZINC000285997406 408904951 /nfs/dbraw/zinc/90/49/51/408904951.db2.gz ORFXLQKCWHUSGJ-UFNZFVHCSA-N 1 2 306.431 1.823 20 30 DDEDLO CC[C@@H]1C[N@@H+](C[C@H](O)c2ccc(C#N)cc2)C[C@H](C)[S@@]1=O ZINC000285997406 408904953 /nfs/dbraw/zinc/90/49/53/408904953.db2.gz ORFXLQKCWHUSGJ-UFNZFVHCSA-N 1 2 306.431 1.823 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H](n3cccn3)C2)c(C#N)c1C ZINC000291992354 408957733 /nfs/dbraw/zinc/95/77/33/408957733.db2.gz WYFDRDGTIQRLMR-CYBMUJFWSA-N 1 2 313.361 1.850 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H](n3cccn3)C2)c(C#N)c1C ZINC000291992354 408957736 /nfs/dbraw/zinc/95/77/36/408957736.db2.gz WYFDRDGTIQRLMR-CYBMUJFWSA-N 1 2 313.361 1.850 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3cncc(F)c3)CC2)C1=O ZINC000282110743 408993217 /nfs/dbraw/zinc/99/32/17/408993217.db2.gz WYZRJZPJSUJCSO-OAHLLOKOSA-N 1 2 304.369 1.130 20 30 DDEDLO CC[N@H+](CCOCCO)[C@@H](C(=O)OC)c1cccc(C#N)c1 ZINC000282128060 408996308 /nfs/dbraw/zinc/99/63/08/408996308.db2.gz RDAWHAFKPMPFFZ-OAHLLOKOSA-N 1 2 306.362 1.103 20 30 DDEDLO CC[N@@H+](CCOCCO)[C@@H](C(=O)OC)c1cccc(C#N)c1 ZINC000282128060 408996311 /nfs/dbraw/zinc/99/63/11/408996311.db2.gz RDAWHAFKPMPFFZ-OAHLLOKOSA-N 1 2 306.362 1.103 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc(C)oc3C)n2CC)CC1 ZINC000282854482 409067092 /nfs/dbraw/zinc/06/70/92/409067092.db2.gz SLRHGIYZWLWDGL-UHFFFAOYSA-N 1 2 313.405 1.930 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[C@@H](S(C)(=O)=O)C1 ZINC000282183872 409006405 /nfs/dbraw/zinc/00/64/05/409006405.db2.gz MXOXLNZDJGGAAC-CYBMUJFWSA-N 1 2 324.402 1.065 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[C@@H](S(C)(=O)=O)C1 ZINC000282183872 409006409 /nfs/dbraw/zinc/00/64/09/409006409.db2.gz MXOXLNZDJGGAAC-CYBMUJFWSA-N 1 2 324.402 1.065 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1ncc(CSC)s1 ZINC000293182566 409063564 /nfs/dbraw/zinc/06/35/64/409063564.db2.gz HJBQEJVYDKYMNH-UHFFFAOYSA-N 1 2 306.478 1.697 20 30 DDEDLO CCc1nc(N2CCN(c3nccnc3C#N)C[C@H]2C)cc(C)[nH+]1 ZINC000287778873 409092670 /nfs/dbraw/zinc/09/26/70/409092670.db2.gz IKYDUOITZOXVRC-CYBMUJFWSA-N 1 2 323.404 1.724 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3snc(C)c3C#N)CC2)on1 ZINC000283483560 409164386 /nfs/dbraw/zinc/16/43/86/409164386.db2.gz HGYDZSMUZIQRLB-UHFFFAOYSA-N 1 2 303.391 1.942 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(F)c(C#N)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000279549330 409235970 /nfs/dbraw/zinc/23/59/70/409235970.db2.gz XMOUQFWDRVORFT-VXGBXAGGSA-N 1 2 305.353 1.536 20 30 DDEDLO COc1ccccc1CNC(=O)[C@H](C)O[NH+]=C(N)[C@H]1CCCO1 ZINC000284028232 409260363 /nfs/dbraw/zinc/26/03/63/409260363.db2.gz ILLRNLGAVVHSHA-SMDDNHRTSA-N 1 2 321.377 1.168 20 30 DDEDLO Cc1nsc(N2CC[NH+](C[C@@H](O)COC(C)C)CC2)c1C#N ZINC000289461063 409276649 /nfs/dbraw/zinc/27/66/49/409276649.db2.gz MBAGTMVGGDPZCT-CYBMUJFWSA-N 1 2 324.450 1.231 20 30 DDEDLO C[C@H](CNC(=O)c1ccc(C(C)(C)C#N)cc1)[NH+]1CCOCC1 ZINC000280298609 409287811 /nfs/dbraw/zinc/28/78/11/409287811.db2.gz NXUIILOUBLDHAP-CQSZACIVSA-N 1 2 315.417 1.938 20 30 DDEDLO CCOCC[N@H+](CC)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000290356563 409372625 /nfs/dbraw/zinc/37/26/25/409372625.db2.gz QLGKDNKGBUQCQS-UHFFFAOYSA-N 1 2 311.407 1.085 20 30 DDEDLO CCOCC[N@@H+](CC)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000290356563 409372627 /nfs/dbraw/zinc/37/26/27/409372627.db2.gz QLGKDNKGBUQCQS-UHFFFAOYSA-N 1 2 311.407 1.085 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(C)[C@@H](Cc3ccccc3)C2)C1=O ZINC000284927101 409373279 /nfs/dbraw/zinc/37/32/79/409373279.db2.gz YBBRBYQMCNEECS-ROUUACIJSA-N 1 2 313.445 1.632 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(C)[C@@H](Cc3ccccc3)C2)C1=O ZINC000284927101 409373284 /nfs/dbraw/zinc/37/32/84/409373284.db2.gz YBBRBYQMCNEECS-ROUUACIJSA-N 1 2 313.445 1.632 20 30 DDEDLO C=C[C@H](CO)NC(=O)Nc1cccc([C@@H](C)[NH+]2CCOCC2)c1 ZINC000290414798 409393670 /nfs/dbraw/zinc/39/36/70/409393670.db2.gz NBTVKUISHMJVKU-UKRRQHHQSA-N 1 2 319.405 1.748 20 30 DDEDLO Cc1ccccc1CCNC(=O)[C@@H](C)O[NH+]=C(N)[C@@H]1CCCO1 ZINC000284587269 409351360 /nfs/dbraw/zinc/35/13/60/409351360.db2.gz XSSYWSXWUXDEQS-HIFRSBDPSA-N 1 2 319.405 1.510 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[N@@H+](C)[C@@H](C)[C@H]1C ZINC000408084897 164222711 /nfs/dbraw/zinc/22/27/11/164222711.db2.gz UWAHNQCYWDXTAJ-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[N@H+](C)[C@@H](C)[C@H]1C ZINC000408084897 164222712 /nfs/dbraw/zinc/22/27/12/164222712.db2.gz UWAHNQCYWDXTAJ-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO CN(C)C(=O)N1CC[N@H+](Cc2cc(C#N)n(C)c2)C(C)(C)C1 ZINC000408349689 164304620 /nfs/dbraw/zinc/30/46/20/164304620.db2.gz SVQDMOOXTYAXDS-UHFFFAOYSA-N 1 2 303.410 1.475 20 30 DDEDLO CN(C)C(=O)N1CC[N@@H+](Cc2cc(C#N)n(C)c2)C(C)(C)C1 ZINC000408349689 164304623 /nfs/dbraw/zinc/30/46/23/164304623.db2.gz SVQDMOOXTYAXDS-UHFFFAOYSA-N 1 2 303.410 1.475 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2cnc(C)c(C#N)c2)C[C@H]1C ZINC000408362368 164307329 /nfs/dbraw/zinc/30/73/29/164307329.db2.gz NVLYSQFSLGSANE-VXGBXAGGSA-N 1 2 322.434 1.365 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2cnc(C)c(C#N)c2)C[C@H]1C ZINC000408362368 164307330 /nfs/dbraw/zinc/30/73/30/164307330.db2.gz NVLYSQFSLGSANE-VXGBXAGGSA-N 1 2 322.434 1.365 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)c(C)c2)C[C@H]1C ZINC000408436840 164331502 /nfs/dbraw/zinc/33/15/02/164331502.db2.gz KJBMLAIUKDIWRY-ZIAGYGMSSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)c(C)c2)C[C@H]1C ZINC000408436840 164331503 /nfs/dbraw/zinc/33/15/03/164331503.db2.gz KJBMLAIUKDIWRY-ZIAGYGMSSA-N 1 2 321.446 1.970 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000349088066 409683263 /nfs/dbraw/zinc/68/32/63/409683263.db2.gz XIYGIBZWNASIRJ-UHFFFAOYSA-N 1 2 324.359 1.984 20 30 DDEDLO CCCN(CC#N)C(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000354195183 409732667 /nfs/dbraw/zinc/73/26/67/409732667.db2.gz JRVUKWXBJVMKDF-UHFFFAOYSA-N 1 2 301.390 1.895 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000338250706 409838114 /nfs/dbraw/zinc/83/81/14/409838114.db2.gz HWERVNURHPLOFT-KBXCAEBGSA-N 1 2 319.368 1.631 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000338250706 409838120 /nfs/dbraw/zinc/83/81/20/409838120.db2.gz HWERVNURHPLOFT-KBXCAEBGSA-N 1 2 319.368 1.631 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@H+](C)C[C@H]2C)cc1C#N ZINC000346255252 409883119 /nfs/dbraw/zinc/88/31/19/409883119.db2.gz BZIFRKCOIWVITP-SECBINFHSA-N 1 2 306.391 1.157 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@@H+](C)C[C@H]2C)cc1C#N ZINC000346255252 409883124 /nfs/dbraw/zinc/88/31/24/409883124.db2.gz BZIFRKCOIWVITP-SECBINFHSA-N 1 2 306.391 1.157 20 30 DDEDLO O=C(CCc1c[nH+]c[nH]1)NC[C@@H]1CCC2(CCOCC2)[C@H]1O ZINC000328709418 409958647 /nfs/dbraw/zinc/95/86/47/409958647.db2.gz QSWYRDJXKQCHJH-WFASDCNBSA-N 1 2 307.394 1.867 20 30 DDEDLO O=C(CCc1c[nH]c[nH+]1)NC[C@@H]1CCC2(CCOCC2)[C@H]1O ZINC000328709418 409958655 /nfs/dbraw/zinc/95/86/55/409958655.db2.gz QSWYRDJXKQCHJH-WFASDCNBSA-N 1 2 307.394 1.867 20 30 DDEDLO C=CC[N@H+](Cc1ccccc1F)[C@@H](C)C(=O)NCC(=O)OC ZINC000297736342 409979775 /nfs/dbraw/zinc/97/97/75/409979775.db2.gz CFHYMXARAGIEKS-LBPRGKRZSA-N 1 2 308.353 1.491 20 30 DDEDLO C=CC[N@@H+](Cc1ccccc1F)[C@@H](C)C(=O)NCC(=O)OC ZINC000297736342 409979781 /nfs/dbraw/zinc/97/97/81/409979781.db2.gz CFHYMXARAGIEKS-LBPRGKRZSA-N 1 2 308.353 1.491 20 30 DDEDLO C=CCOCC(=O)NCc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1 ZINC000354639487 409986134 /nfs/dbraw/zinc/98/61/34/409986134.db2.gz CPJTYGNKLRJJDS-ZIAGYGMSSA-N 1 2 319.405 1.514 20 30 DDEDLO C[C@H]1COCC[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000328670400 409950738 /nfs/dbraw/zinc/95/07/38/409950738.db2.gz GLASJYAXVQOVAB-YPMHNXCESA-N 1 2 305.403 1.693 20 30 DDEDLO C[C@H]1COCC[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000328670400 409950742 /nfs/dbraw/zinc/95/07/42/409950742.db2.gz GLASJYAXVQOVAB-YPMHNXCESA-N 1 2 305.403 1.693 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNC(=O)[C@H]1COCCN1C ZINC000328937567 410016879 /nfs/dbraw/zinc/01/68/79/410016879.db2.gz GHOVEHCAAPKPLK-OAHLLOKOSA-N 1 2 302.378 1.632 20 30 DDEDLO CN(C[C@@H]1CCCCO1)C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000328885454 410003079 /nfs/dbraw/zinc/00/30/79/410003079.db2.gz ZCUCRCYVVIIPOB-AWEZNQCLSA-N 1 2 313.442 1.512 20 30 DDEDLO C[C@H]1CCN(S(=O)(=O)CC2(C#N)CCC2)C[C@H]1n1cc[nH+]c1 ZINC000357486489 410063969 /nfs/dbraw/zinc/06/39/69/410063969.db2.gz XTVAKWIVXKHGHF-UONOGXRCSA-N 1 2 322.434 1.790 20 30 DDEDLO Cc1nnc([C@H](C)NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)[nH]1 ZINC000329175129 410144493 /nfs/dbraw/zinc/14/44/93/410144493.db2.gz BJZHEMOVAQHIEF-GWCFXTLKSA-N 1 2 307.398 1.774 20 30 DDEDLO Cc1nnc([C@H](C)NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)[nH]1 ZINC000329175129 410144498 /nfs/dbraw/zinc/14/44/98/410144498.db2.gz BJZHEMOVAQHIEF-GWCFXTLKSA-N 1 2 307.398 1.774 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000298273428 410163217 /nfs/dbraw/zinc/16/32/17/410163217.db2.gz GSWDEDPTCIAURQ-GDBMZVCRSA-N 1 2 301.390 1.820 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000298273428 410163221 /nfs/dbraw/zinc/16/32/21/410163221.db2.gz GSWDEDPTCIAURQ-GDBMZVCRSA-N 1 2 301.390 1.820 20 30 DDEDLO C[C@]1(NC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CCCOC1 ZINC000329205017 410164827 /nfs/dbraw/zinc/16/48/27/410164827.db2.gz ZCMIFSNSZGHUAL-CJNGLKHVSA-N 1 2 304.394 1.733 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000339406974 410167272 /nfs/dbraw/zinc/16/72/72/410167272.db2.gz IRNZIOFXWLPUGL-MRXNPFEDSA-N 1 2 315.352 1.618 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000339406974 410167276 /nfs/dbraw/zinc/16/72/76/410167276.db2.gz IRNZIOFXWLPUGL-MRXNPFEDSA-N 1 2 315.352 1.618 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(c3nc(N)ns3)CC2)c1F ZINC000332451928 410171063 /nfs/dbraw/zinc/17/10/63/410171063.db2.gz MHUBXPVAOJTYOC-UHFFFAOYSA-N 1 2 318.381 1.453 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NC[C@@H]3COc4ccccc43)C[C@@H]21 ZINC000329334630 410238791 /nfs/dbraw/zinc/23/87/91/410238791.db2.gz ODALEFRRVMTLAY-IVMMDQJWSA-N 1 2 317.389 1.091 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NC[C@@H]3COc4ccccc43)C[C@@H]21 ZINC000329334630 410238797 /nfs/dbraw/zinc/23/87/97/410238797.db2.gz ODALEFRRVMTLAY-IVMMDQJWSA-N 1 2 317.389 1.091 20 30 DDEDLO C[C@H](C#N)C(=O)NC1CC[NH+](CC(=O)Nc2ccccc2)CC1 ZINC000357812019 410262842 /nfs/dbraw/zinc/26/28/42/410262842.db2.gz YSPBZTLZGAYRKS-CYBMUJFWSA-N 1 2 314.389 1.365 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)N[C@@H]1[C@@H]2CCCO[C@@H]2C1(C)C ZINC000329410576 410281690 /nfs/dbraw/zinc/28/16/90/410281690.db2.gz XZVLHVGRMHEKHZ-YUTCNCBUSA-N 1 2 306.410 1.970 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCN2CCOC[C@H]2C1 ZINC000329462067 410311704 /nfs/dbraw/zinc/31/17/04/410311704.db2.gz SRJSRMYIAAFYIZ-HUUCEWRRSA-N 1 2 320.437 1.574 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCN2CCOC[C@H]2C1 ZINC000329462067 410311710 /nfs/dbraw/zinc/31/17/10/410311710.db2.gz SRJSRMYIAAFYIZ-HUUCEWRRSA-N 1 2 320.437 1.574 20 30 DDEDLO CCO[C@H]1C[C@@H]1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000298704131 410358107 /nfs/dbraw/zinc/35/81/07/410358107.db2.gz IQRUNCQKCWASFH-IRXDYDNUSA-N 1 2 313.401 1.627 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NCCc1[nH+]ccn1Cc1ccccc1 ZINC000358447031 410473874 /nfs/dbraw/zinc/47/38/74/410473874.db2.gz CTQXTGVNWORMTC-HNNXBMFYSA-N 1 2 314.389 1.320 20 30 DDEDLO CC(C)(C)[C@@H]1CN(C([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)CCO1 ZINC000329741058 410428339 /nfs/dbraw/zinc/42/83/39/410428339.db2.gz VTXAXPXQGVHBQE-RISCZKNCSA-N 1 2 306.410 1.928 20 30 DDEDLO CC(C)(C)[C@@H]1CN(C([O-])=[NH+][C@@H]2CCc3[nH+]c[nH]c3C2)CCO1 ZINC000329741058 410428341 /nfs/dbraw/zinc/42/83/41/410428341.db2.gz VTXAXPXQGVHBQE-RISCZKNCSA-N 1 2 306.410 1.928 20 30 DDEDLO COc1ccc(CNC(=O)[C@@H](C)[N@H+](C)C[C@@H](C)C#N)cc1OC ZINC000299175091 410557051 /nfs/dbraw/zinc/55/70/51/410557051.db2.gz WHBQQHBOBBJZBV-QWHCGFSZSA-N 1 2 319.405 1.800 20 30 DDEDLO COc1ccc(CNC(=O)[C@@H](C)[N@@H+](C)C[C@@H](C)C#N)cc1OC ZINC000299175091 410557054 /nfs/dbraw/zinc/55/70/54/410557054.db2.gz WHBQQHBOBBJZBV-QWHCGFSZSA-N 1 2 319.405 1.800 20 30 DDEDLO C=CCNC(=O)c1ccc(NCc2ccc(N(C)C)[nH+]c2)nc1 ZINC000352782863 410676164 /nfs/dbraw/zinc/67/61/64/410676164.db2.gz JRVBOCBTABANIL-UHFFFAOYSA-N 1 2 311.389 2.071 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[NH+]2CCC(F)(C#N)CC2)cc1 ZINC000352459160 410659439 /nfs/dbraw/zinc/65/94/39/410659439.db2.gz UUEASLQEEUKPPR-UHFFFAOYSA-N 1 2 300.337 1.502 20 30 DDEDLO CCCNC(=O)NC(=O)C[N@@H+]1CCCC[C@H]1c1cnn(C)c1 ZINC000330507828 410736835 /nfs/dbraw/zinc/73/68/35/410736835.db2.gz NLXYNIVTDVILBX-ZDUSSCGKSA-N 1 2 307.398 1.387 20 30 DDEDLO CCCNC(=O)NC(=O)C[N@H+]1CCCC[C@H]1c1cnn(C)c1 ZINC000330507828 410736838 /nfs/dbraw/zinc/73/68/38/410736838.db2.gz NLXYNIVTDVILBX-ZDUSSCGKSA-N 1 2 307.398 1.387 20 30 DDEDLO CN(C(=O)c1cnn(C)c1C#N)[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000352772846 410676714 /nfs/dbraw/zinc/67/67/14/410676714.db2.gz RRWHBFOBHDQONW-OAHLLOKOSA-N 1 2 320.356 1.876 20 30 DDEDLO CCC[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000340222101 410770087 /nfs/dbraw/zinc/77/00/87/410770087.db2.gz UZGRDKHGSLOEIS-MRXNPFEDSA-N 1 2 301.390 1.675 20 30 DDEDLO CCC[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000340222101 410770093 /nfs/dbraw/zinc/77/00/93/410770093.db2.gz UZGRDKHGSLOEIS-MRXNPFEDSA-N 1 2 301.390 1.675 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCOc2cc(O)ccc2C1 ZINC000353164928 410823043 /nfs/dbraw/zinc/82/30/43/410823043.db2.gz HOXMUXGIMYDNCY-LBPRGKRZSA-N 1 2 315.373 1.538 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCOc2cc(O)ccc2C1 ZINC000353164928 410823051 /nfs/dbraw/zinc/82/30/51/410823051.db2.gz HOXMUXGIMYDNCY-LBPRGKRZSA-N 1 2 315.373 1.538 20 30 DDEDLO CN(C)C(=O)NCC[N@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000330614073 410830323 /nfs/dbraw/zinc/83/03/23/410830323.db2.gz APEHOAQAYZDNAF-UHFFFAOYSA-N 1 2 323.441 1.079 20 30 DDEDLO CN(C)C(=O)NCC[N@@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000330614073 410830328 /nfs/dbraw/zinc/83/03/28/410830328.db2.gz APEHOAQAYZDNAF-UHFFFAOYSA-N 1 2 323.441 1.079 20 30 DDEDLO CC#CCN(C)C(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000341037921 410881114 /nfs/dbraw/zinc/88/11/14/410881114.db2.gz HPQFRSGWKNNCIP-HNNXBMFYSA-N 1 2 317.408 1.818 20 30 DDEDLO Cn1cc[nH+]c1C[C@@H]1CCCN(C(=O)c2cnn(C)c2C#N)C1 ZINC000356450901 411038624 /nfs/dbraw/zinc/03/86/24/411038624.db2.gz CGHUQDGPUYLOHF-LBPRGKRZSA-N 1 2 312.377 1.120 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CCCSCC#N)[C@H](C(=O)OC)C1 ZINC000356460607 411044473 /nfs/dbraw/zinc/04/44/73/411044473.db2.gz SFFDQKCMVLFYPW-RYUDHWBXSA-N 1 2 314.407 1.060 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CCCSCC#N)[C@H](C(=O)OC)C1 ZINC000356460607 411044478 /nfs/dbraw/zinc/04/44/78/411044478.db2.gz SFFDQKCMVLFYPW-RYUDHWBXSA-N 1 2 314.407 1.060 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)NCc1ccc[nH+]c1N(C)C ZINC000636537433 422899602 /nfs/dbraw/zinc/89/96/02/422899602.db2.gz JFDUDNMDINCMFL-UHFFFAOYSA-N 1 2 318.421 1.482 20 30 DDEDLO CC1(C)CC[N@H+](Cc2cnc3c(C#N)cnn3c2)CC[S@]1=O ZINC000580193220 422900138 /nfs/dbraw/zinc/90/01/38/422900138.db2.gz OYKCPCWOILISCD-JOCHJYFZSA-N 1 2 317.418 1.334 20 30 DDEDLO CC1(C)CC[N@@H+](Cc2cnc3c(C#N)cnn3c2)CC[S@]1=O ZINC000580193220 422900140 /nfs/dbraw/zinc/90/01/40/422900140.db2.gz OYKCPCWOILISCD-JOCHJYFZSA-N 1 2 317.418 1.334 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)Nc2ccn(CCC#N)n2)CCO1 ZINC000488530766 416560887 /nfs/dbraw/zinc/56/08/87/416560887.db2.gz KLSQZEQCFYHXAL-ZDUSSCGKSA-N 1 2 320.397 1.029 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)Nc2ccn(CCC#N)n2)CCO1 ZINC000488530766 416560889 /nfs/dbraw/zinc/56/08/89/416560889.db2.gz KLSQZEQCFYHXAL-ZDUSSCGKSA-N 1 2 320.397 1.029 20 30 DDEDLO C[C@H](CNc1cc(C#N)nc(C2CC2)n1)[NH+]1CCN(C)CC1 ZINC000601111454 416620449 /nfs/dbraw/zinc/62/04/49/416620449.db2.gz SBPMYZSSNJUCGB-GFCCVEGCSA-N 1 2 300.410 1.273 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@H+]2CCOC[C@H]2C)ncc1C#N ZINC000603119016 416632925 /nfs/dbraw/zinc/63/29/25/416632925.db2.gz UQJUJMZHFQVQQT-GFCCVEGCSA-N 1 2 303.366 1.104 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@@H+]2CCOC[C@H]2C)ncc1C#N ZINC000603119016 416632929 /nfs/dbraw/zinc/63/29/29/416632929.db2.gz UQJUJMZHFQVQQT-GFCCVEGCSA-N 1 2 303.366 1.104 20 30 DDEDLO C=CCCOCCNC(=O)N[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000130077868 221584786 /nfs/dbraw/zinc/58/47/86/221584786.db2.gz DEZSXUZDENFJCA-HNNXBMFYSA-N 1 2 318.421 1.942 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@H](c3nc(C4CC4)n[nH]3)C2)C1=O ZINC000374074485 418486729 /nfs/dbraw/zinc/48/67/29/418486729.db2.gz KXFZYWUCFLGTLA-UONOGXRCSA-N 1 2 315.421 1.648 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@H](c3nc(C4CC4)n[nH]3)C2)C1=O ZINC000374074485 418486735 /nfs/dbraw/zinc/48/67/35/418486735.db2.gz KXFZYWUCFLGTLA-UONOGXRCSA-N 1 2 315.421 1.648 20 30 DDEDLO C(#CC[N@@H+]1CCO[C@H](C2CC2)C1)CN1CCO[C@H](C2CC2)C1 ZINC000367048378 418555669 /nfs/dbraw/zinc/55/56/69/418555669.db2.gz UOOAEOJJGDFBJC-ROUUACIJSA-N 1 2 304.434 1.211 20 30 DDEDLO C(#CC[N@H+]1CCO[C@H](C2CC2)C1)CN1CCO[C@H](C2CC2)C1 ZINC000367048378 418555673 /nfs/dbraw/zinc/55/56/73/418555673.db2.gz UOOAEOJJGDFBJC-ROUUACIJSA-N 1 2 304.434 1.211 20 30 DDEDLO C=CCN(CCOC)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000191974443 222114405 /nfs/dbraw/zinc/11/44/05/222114405.db2.gz YEDJACAMDQOMQT-UHFFFAOYSA-N 1 2 320.437 1.293 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCC(c2nnc(CC)[nH]2)CC1 ZINC000190691900 222086086 /nfs/dbraw/zinc/08/60/86/222086086.db2.gz LGRHXHYFKDIDKF-UHFFFAOYSA-N 1 2 317.437 1.747 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCC(c2nc(CC)n[nH]2)CC1 ZINC000190691900 222086091 /nfs/dbraw/zinc/08/60/91/222086091.db2.gz LGRHXHYFKDIDKF-UHFFFAOYSA-N 1 2 317.437 1.747 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCC(c2n[nH]c(CC)n2)CC1 ZINC000190691900 222086096 /nfs/dbraw/zinc/08/60/96/222086096.db2.gz LGRHXHYFKDIDKF-UHFFFAOYSA-N 1 2 317.437 1.747 20 30 DDEDLO C=CCOC[C@H](NC1CCN(c2cccc[nH+]2)CC1)C(=O)OC ZINC000361106605 418584829 /nfs/dbraw/zinc/58/48/29/418584829.db2.gz FSQDJBVGOOTLTO-HNNXBMFYSA-N 1 2 319.405 1.384 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CCCC(C)(C)C#N)[C@H](C(=O)OC)C1 ZINC000248580426 222235805 /nfs/dbraw/zinc/23/58/05/222235805.db2.gz PZAVVQVVGSBCSH-STQMWFEESA-N 1 2 310.394 1.743 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CCCC(C)(C)C#N)[C@H](C(=O)OC)C1 ZINC000248580426 222235807 /nfs/dbraw/zinc/23/58/07/222235807.db2.gz PZAVVQVVGSBCSH-STQMWFEESA-N 1 2 310.394 1.743 20 30 DDEDLO N#CCCn1ccc(NC(=O)N2CCC(c3[nH]cc[nH+]3)CC2)n1 ZINC000331659130 418614561 /nfs/dbraw/zinc/61/45/61/418614561.db2.gz MWLZMYKRBIIAJO-UHFFFAOYSA-N 1 2 313.365 1.931 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CCO)Cc1ccccc1OC ZINC000264043587 222311039 /nfs/dbraw/zinc/31/10/39/222311039.db2.gz FRUJBIUMAAWBIT-UHFFFAOYSA-N 1 2 319.405 1.252 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CCO)Cc1ccccc1OC ZINC000264043587 222311042 /nfs/dbraw/zinc/31/10/42/222311042.db2.gz FRUJBIUMAAWBIT-UHFFFAOYSA-N 1 2 319.405 1.252 20 30 DDEDLO CN(C)C(=O)[C@H]1CCC[C@H](/[NH+]=C/c2ccc(O)c(O)c2O)C1 ZINC000265115430 222346824 /nfs/dbraw/zinc/34/68/24/222346824.db2.gz FPRHIXWZFXNIJK-ZOUGUFAYSA-N 1 2 306.362 1.869 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)O[NH+]=C(N)[C@H](C)OCC(C)C ZINC000267490555 222387019 /nfs/dbraw/zinc/38/70/19/222387019.db2.gz ZJVCELPTQBITEN-KBPBESRZSA-N 1 2 311.426 1.925 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCC[C@@H]1c1nccs1 ZINC000377387838 418709972 /nfs/dbraw/zinc/70/99/72/418709972.db2.gz BWIZMZOYUNNXGR-GHMZBOCLSA-N 1 2 308.407 1.680 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCC[C@@H]1c1nccs1 ZINC000377387838 418709975 /nfs/dbraw/zinc/70/99/75/418709975.db2.gz BWIZMZOYUNNXGR-GHMZBOCLSA-N 1 2 308.407 1.680 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCOC[C@H]3[C@H]3CCCO3)n2c1 ZINC000377709407 418714192 /nfs/dbraw/zinc/71/41/92/418714192.db2.gz YVZJGBBXYMYQFA-JKSUJKDBSA-N 1 2 312.373 1.586 20 30 DDEDLO CC(C)(C#N)CCS(=O)(=O)NC[C@H]1CCn2cc[nH+]c2C1 ZINC000377868996 418715183 /nfs/dbraw/zinc/71/51/83/418715183.db2.gz NARZUKIBIKOUEC-LBPRGKRZSA-N 1 2 310.423 1.305 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)[nH]n1 ZINC000377845754 418715737 /nfs/dbraw/zinc/71/57/37/418715737.db2.gz VMBMBPZVKIDISE-PBHICJAKSA-N 1 2 317.437 1.952 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)[nH]n1 ZINC000377845754 418715739 /nfs/dbraw/zinc/71/57/39/418715739.db2.gz VMBMBPZVKIDISE-PBHICJAKSA-N 1 2 317.437 1.952 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000377845754 418715742 /nfs/dbraw/zinc/71/57/42/418715742.db2.gz VMBMBPZVKIDISE-PBHICJAKSA-N 1 2 317.437 1.952 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000377845754 418715745 /nfs/dbraw/zinc/71/57/45/418715745.db2.gz VMBMBPZVKIDISE-PBHICJAKSA-N 1 2 317.437 1.952 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000375756749 418682175 /nfs/dbraw/zinc/68/21/75/418682175.db2.gz ILQPLZGHZSSFML-DGCLKSJQSA-N 1 2 305.382 1.318 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000375756749 418682178 /nfs/dbraw/zinc/68/21/78/418682178.db2.gz ILQPLZGHZSSFML-DGCLKSJQSA-N 1 2 305.382 1.318 20 30 DDEDLO C=CCCCCNC(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC000376883210 418702582 /nfs/dbraw/zinc/70/25/82/418702582.db2.gz FNNZEZKRKCQUNV-UHFFFAOYSA-N 1 2 324.469 1.585 20 30 DDEDLO C=CCCCCNC(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC000376883210 418702585 /nfs/dbraw/zinc/70/25/85/418702585.db2.gz FNNZEZKRKCQUNV-UHFFFAOYSA-N 1 2 324.469 1.585 20 30 DDEDLO CC#CCNC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000377090405 418704921 /nfs/dbraw/zinc/70/49/21/418704921.db2.gz AZLJBGHHOOFJDL-CZUORRHYSA-N 1 2 316.405 1.249 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000370232726 418741942 /nfs/dbraw/zinc/74/19/42/418741942.db2.gz YGCKWMCRSTWGSB-HOTGVXAUSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@H+]1CCOc1ccc(C#N)cc1 ZINC000370232726 418741945 /nfs/dbraw/zinc/74/19/45/418741945.db2.gz YGCKWMCRSTWGSB-HOTGVXAUSA-N 1 2 318.373 1.589 20 30 DDEDLO N#C[C@@H]1CN(C(=O)Cc2cn3ccccc3[nH+]2)CCN1C1CC1 ZINC000370974188 418754001 /nfs/dbraw/zinc/75/40/01/418754001.db2.gz SDMQRSZLQCGPLD-OAHLLOKOSA-N 1 2 309.373 1.076 20 30 DDEDLO COc1ccnc(N2CCC[N@H+](C[C@@H](C#N)CCC#N)CC2)n1 ZINC000363021577 418761480 /nfs/dbraw/zinc/76/14/80/418761480.db2.gz UBBTYQAKFOBHAY-CQSZACIVSA-N 1 2 314.393 1.441 20 30 DDEDLO COc1ccnc(N2CCC[N@@H+](C[C@@H](C#N)CCC#N)CC2)n1 ZINC000363021577 418761482 /nfs/dbraw/zinc/76/14/82/418761482.db2.gz UBBTYQAKFOBHAY-CQSZACIVSA-N 1 2 314.393 1.441 20 30 DDEDLO C=CC[N@H+](C[C@H]1Cc2ccccc2O1)[C@H]1CCS(=O)(=O)C1 ZINC000363879159 418771015 /nfs/dbraw/zinc/77/10/15/418771015.db2.gz MOTVQTXRLAQAHO-LSDHHAIUSA-N 1 2 307.415 1.665 20 30 DDEDLO C=CC[N@@H+](C[C@H]1Cc2ccccc2O1)[C@H]1CCS(=O)(=O)C1 ZINC000363879159 418771016 /nfs/dbraw/zinc/77/10/16/418771016.db2.gz MOTVQTXRLAQAHO-LSDHHAIUSA-N 1 2 307.415 1.665 20 30 DDEDLO C#C[C@@H](C)NC(=O)N1CCC[C@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000378491974 418724402 /nfs/dbraw/zinc/72/44/02/418724402.db2.gz SNXGPLIRTWUZKQ-OCCSQVGLSA-N 1 2 319.409 1.396 20 30 DDEDLO C#C[C@@H](C)NC(=O)N1CCC[C@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000378491974 418724403 /nfs/dbraw/zinc/72/44/03/418724403.db2.gz SNXGPLIRTWUZKQ-OCCSQVGLSA-N 1 2 319.409 1.396 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@@H+]1CCOc1ccccc1C#N ZINC000378487111 418724846 /nfs/dbraw/zinc/72/48/46/418724846.db2.gz YKFTXTNELJCAGX-GJZGRUSLSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@H+]1CCOc1ccccc1C#N ZINC000378487111 418724848 /nfs/dbraw/zinc/72/48/48/418724848.db2.gz YKFTXTNELJCAGX-GJZGRUSLSA-N 1 2 318.373 1.589 20 30 DDEDLO C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000408082709 418786055 /nfs/dbraw/zinc/78/60/55/418786055.db2.gz HEGPMBOBFUSDBR-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000408082709 418786057 /nfs/dbraw/zinc/78/60/57/418786057.db2.gz HEGPMBOBFUSDBR-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](OC)C[C@@H]1CC(=O)OCC ZINC000372288673 418852296 /nfs/dbraw/zinc/85/22/96/418852296.db2.gz LXIHPHWLKCMPGB-MNOVXSKESA-N 1 2 306.200 1.938 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](OC)C[C@@H]1CC(=O)OCC ZINC000372288673 418852298 /nfs/dbraw/zinc/85/22/98/418852298.db2.gz LXIHPHWLKCMPGB-MNOVXSKESA-N 1 2 306.200 1.938 20 30 DDEDLO CC[C@]1(O)CC[N@@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000425924994 419368879 /nfs/dbraw/zinc/36/88/79/419368879.db2.gz IEUMVAWXENDKHC-BZNIZROVSA-N 1 2 305.378 1.942 20 30 DDEDLO CC[C@]1(O)CC[N@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000425924994 419368885 /nfs/dbraw/zinc/36/88/85/419368885.db2.gz IEUMVAWXENDKHC-BZNIZROVSA-N 1 2 305.378 1.942 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1Cc1cnn([C@@]2(C)CCS(=O)(=O)C2)c1 ZINC000426567887 419511652 /nfs/dbraw/zinc/51/16/52/419511652.db2.gz MXAXMMIKLULNCR-HOTGVXAUSA-N 1 2 323.462 1.957 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1Cc1cnn([C@@]2(C)CCS(=O)(=O)C2)c1 ZINC000426567887 419511658 /nfs/dbraw/zinc/51/16/58/419511658.db2.gz MXAXMMIKLULNCR-HOTGVXAUSA-N 1 2 323.462 1.957 20 30 DDEDLO C=CC[N@H+](Cc1ncnn1C)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000427011103 419582363 /nfs/dbraw/zinc/58/23/63/419582363.db2.gz BXHLSGBLBRFNNY-ZDUSSCGKSA-N 1 2 324.392 1.540 20 30 DDEDLO C=CC[N@@H+](Cc1ncnn1C)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000427011103 419582370 /nfs/dbraw/zinc/58/23/70/419582370.db2.gz BXHLSGBLBRFNNY-ZDUSSCGKSA-N 1 2 324.392 1.540 20 30 DDEDLO C[C@H](O)CNc1cc(NCCOc2ccc(C#N)cc2)[nH+]cn1 ZINC000413159285 419770215 /nfs/dbraw/zinc/77/02/15/419770215.db2.gz BWMLMYAZFPSWAV-LBPRGKRZSA-N 1 2 313.361 1.632 20 30 DDEDLO C[C@H](O)CNc1cc(NCCOc2ccc(C#N)cc2)nc[nH+]1 ZINC000413159285 419770224 /nfs/dbraw/zinc/77/02/24/419770224.db2.gz BWMLMYAZFPSWAV-LBPRGKRZSA-N 1 2 313.361 1.632 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)[C@@]3(C#N)CC34CCCC4)C[C@H]21 ZINC000428189973 419826778 /nfs/dbraw/zinc/82/67/78/419826778.db2.gz CNVAKRNUSDOTRZ-CPUCHLNUSA-N 1 2 303.406 1.392 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)[C@@]3(C#N)CC34CCCC4)C[C@H]21 ZINC000428189973 419826781 /nfs/dbraw/zinc/82/67/81/419826781.db2.gz CNVAKRNUSDOTRZ-CPUCHLNUSA-N 1 2 303.406 1.392 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+]1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000428233979 419833992 /nfs/dbraw/zinc/83/39/92/419833992.db2.gz WLUOJTYXSGHQBE-LLVKDONJSA-N 1 2 311.382 1.198 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+]1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000428233979 419833997 /nfs/dbraw/zinc/83/39/97/419833997.db2.gz WLUOJTYXSGHQBE-LLVKDONJSA-N 1 2 311.382 1.198 20 30 DDEDLO CC[C@H](C(=O)OC)[NH+]1CCN(Cc2cccc(F)c2C#N)CC1 ZINC000428979310 419955708 /nfs/dbraw/zinc/95/57/08/419955708.db2.gz QXSHDQMBHOHYDP-MRXNPFEDSA-N 1 2 319.380 1.767 20 30 DDEDLO Cc1cc(NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)c(C#N)c[nH+]1 ZINC000425255345 420331670 /nfs/dbraw/zinc/33/16/70/420331670.db2.gz PFZUTMBCQQCTCY-JSGCOSHPSA-N 1 2 322.434 1.204 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(C(=O)OC(C)(C)C)[C@H](C)C2)C1=O ZINC000493355542 420827253 /nfs/dbraw/zinc/82/72/53/420827253.db2.gz FQHNRUZMJMWSNZ-KGLIPLIRSA-N 1 2 323.437 1.715 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(C(=O)OC(C)(C)C)[C@H](C)C2)C1=O ZINC000493355542 420827256 /nfs/dbraw/zinc/82/72/56/420827256.db2.gz FQHNRUZMJMWSNZ-KGLIPLIRSA-N 1 2 323.437 1.715 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+]1C[C@H](CO)[C@H](O)C1 ZINC000459695733 420849427 /nfs/dbraw/zinc/84/94/27/420849427.db2.gz LSYITNXUNJKMLF-TZMCWYRMSA-N 1 2 322.430 1.188 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+]1C[C@H](CO)[C@H](O)C1 ZINC000459695733 420849433 /nfs/dbraw/zinc/84/94/33/420849433.db2.gz LSYITNXUNJKMLF-TZMCWYRMSA-N 1 2 322.430 1.188 20 30 DDEDLO C=CCNC(=O)CN1CCc2c([nH+]cn2-c2ccc(C)cc2)C1 ZINC000487963119 421060927 /nfs/dbraw/zinc/06/09/27/421060927.db2.gz PSUWJCFODIRALU-UHFFFAOYSA-N 1 2 310.401 1.841 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000489499197 421159184 /nfs/dbraw/zinc/15/91/84/421159184.db2.gz SAZKQMJSWMBPHH-DOTOQJQBSA-N 1 2 315.417 1.630 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000489499197 421159189 /nfs/dbraw/zinc/15/91/89/421159189.db2.gz SAZKQMJSWMBPHH-DOTOQJQBSA-N 1 2 315.417 1.630 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@@H](OCC(F)(F)F)C1 ZINC000523442721 421237265 /nfs/dbraw/zinc/23/72/65/421237265.db2.gz MKBCGOAPTMMFDV-SNVBAGLBSA-N 1 2 323.315 1.042 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@@H](OCC(F)(F)F)C1 ZINC000523442721 421237269 /nfs/dbraw/zinc/23/72/69/421237269.db2.gz MKBCGOAPTMMFDV-SNVBAGLBSA-N 1 2 323.315 1.042 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H]2CCN(C3CCCCC3)C2=O)C1=O ZINC000528498229 421496400 /nfs/dbraw/zinc/49/64/00/421496400.db2.gz VKPVCOBTDGYFMX-CVEARBPZSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H]2CCN(C3CCCCC3)C2=O)C1=O ZINC000528498229 421496404 /nfs/dbraw/zinc/49/64/04/421496404.db2.gz VKPVCOBTDGYFMX-CVEARBPZSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCOc1cccc(C[N@@H+]2CCO[C@H](CNC(=O)NC)C2)c1 ZINC000529643412 421549177 /nfs/dbraw/zinc/54/91/77/421549177.db2.gz PEPDXOZRTYUTIL-MRXNPFEDSA-N 1 2 319.405 1.381 20 30 DDEDLO C=CCOc1cccc(C[N@H+]2CCO[C@H](CNC(=O)NC)C2)c1 ZINC000529643412 421549181 /nfs/dbraw/zinc/54/91/81/421549181.db2.gz PEPDXOZRTYUTIL-MRXNPFEDSA-N 1 2 319.405 1.381 20 30 DDEDLO N#CCc1ccnc(NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)c1 ZINC000530288053 421591821 /nfs/dbraw/zinc/59/18/21/421591821.db2.gz SGQFVQXSRJTOSF-OAHLLOKOSA-N 1 2 314.389 1.730 20 30 DDEDLO N#CCc1ccnc(NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)c1 ZINC000530288053 421591823 /nfs/dbraw/zinc/59/18/23/421591823.db2.gz SGQFVQXSRJTOSF-OAHLLOKOSA-N 1 2 314.389 1.730 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1ccc(-n2cccc2)cc1 ZINC000564576241 421592220 /nfs/dbraw/zinc/59/22/20/421592220.db2.gz LOPIVRGAVZBZJP-SFHVURJKSA-N 1 2 310.401 1.980 20 30 DDEDLO C=CC[N@@H+](CCc1ncc(C)cc1C)[C@H]1CCS(=O)(=O)C1 ZINC000564911025 421594822 /nfs/dbraw/zinc/59/48/22/421594822.db2.gz FUPQGQBIFDLXJG-HNNXBMFYSA-N 1 2 308.447 1.916 20 30 DDEDLO C=CC[N@H+](CCc1ncc(C)cc1C)[C@H]1CCS(=O)(=O)C1 ZINC000564911025 421594826 /nfs/dbraw/zinc/59/48/26/421594826.db2.gz FUPQGQBIFDLXJG-HNNXBMFYSA-N 1 2 308.447 1.916 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cccc(NC(=O)C2CC2)c1 ZINC000566309291 421604644 /nfs/dbraw/zinc/60/46/44/421604644.db2.gz NHIKNULFAOZJEU-QGZVFWFLSA-N 1 2 314.389 1.609 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC000567506185 421612169 /nfs/dbraw/zinc/61/21/69/421612169.db2.gz GNPZKEYCUYCNLB-JKSUJKDBSA-N 1 2 305.422 1.497 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000567734945 421614631 /nfs/dbraw/zinc/61/46/31/421614631.db2.gz HDLGIDXJGKXKMK-KBPBESRZSA-N 1 2 304.394 1.890 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C(=O)OCC ZINC000567967786 421616826 /nfs/dbraw/zinc/61/68/26/421616826.db2.gz UCLRAMIACKIITQ-TZMCWYRMSA-N 1 2 305.378 1.460 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C(=O)OCC ZINC000567967784 421617022 /nfs/dbraw/zinc/61/70/22/421617022.db2.gz UCLRAMIACKIITQ-JSGCOSHPSA-N 1 2 305.378 1.460 20 30 DDEDLO N#CCc1cccc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000570410575 421644699 /nfs/dbraw/zinc/64/46/99/421644699.db2.gz XJQHSXPREQNGOA-IYBDPMFKSA-N 1 2 314.389 1.737 20 30 DDEDLO N#CCc1cccc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000570410575 421644702 /nfs/dbraw/zinc/64/47/02/421644702.db2.gz XJQHSXPREQNGOA-IYBDPMFKSA-N 1 2 314.389 1.737 20 30 DDEDLO Cc1nc(N2CC[C@H]([NH+]3CCN(C(C)C)CC3)C2)ccc1C#N ZINC000571949130 421756371 /nfs/dbraw/zinc/75/63/71/421756371.db2.gz FNRMEAIQGKPGMF-KRWDZBQOSA-N 1 2 313.449 1.866 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCOCC3(CC3)C2)c1C#N ZINC000541128601 421787352 /nfs/dbraw/zinc/78/73/52/421787352.db2.gz VMXQKOAMAWKBLY-UHFFFAOYSA-N 1 2 300.358 1.957 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCOCC3(CC3)C2)c1C#N ZINC000541128601 421787357 /nfs/dbraw/zinc/78/73/57/421787357.db2.gz VMXQKOAMAWKBLY-UHFFFAOYSA-N 1 2 300.358 1.957 20 30 DDEDLO C=CCn1cc(CNC(=O)N[C@H]2C[C@H](C)n3cc[nH+]c32)nn1 ZINC000573319102 421945831 /nfs/dbraw/zinc/94/58/31/421945831.db2.gz SFAOYUVQSGDEFF-JQWIXIFHSA-N 1 2 301.354 1.166 20 30 DDEDLO COc1nccc2cc(NC[C@H](O)C[N@H+](C)CCC#N)ccc21 ZINC000573558257 421980097 /nfs/dbraw/zinc/98/00/97/421980097.db2.gz IPYMJZXBPLTKTD-HNNXBMFYSA-N 1 2 314.389 1.862 20 30 DDEDLO COc1nccc2cc(NC[C@H](O)C[N@@H+](C)CCC#N)ccc21 ZINC000573558257 421980102 /nfs/dbraw/zinc/98/01/02/421980102.db2.gz IPYMJZXBPLTKTD-HNNXBMFYSA-N 1 2 314.389 1.862 20 30 DDEDLO CN(CCO)c1ccc(NCc2ccc(C#N)c(F)c2)[nH+]c1 ZINC000591501591 422326204 /nfs/dbraw/zinc/32/62/04/422326204.db2.gz ZMVYFNSPGNQOJP-UHFFFAOYSA-N 1 2 300.337 2.133 20 30 DDEDLO COCCn1cc2c(n1)[C@H]([NH2+]C[C@H](C#N)CCC#N)CCC2 ZINC000577327966 422384538 /nfs/dbraw/zinc/38/45/38/422384538.db2.gz OGLYWJBRCRCNRG-DZGCQCFKSA-N 1 2 301.394 1.940 20 30 DDEDLO Cn1cc([C@H](CO)[NH2+]Cc2ccc(C#N)cc2[N+](=O)[O-])cn1 ZINC000577734722 422417356 /nfs/dbraw/zinc/41/73/56/422417356.db2.gz ICLNHCAYLUOVLG-ZDUSSCGKSA-N 1 2 301.306 1.023 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCCc1cn2ccccc2[nH+]1 ZINC000578167907 422514982 /nfs/dbraw/zinc/51/49/82/422514982.db2.gz VCUZRBVSPKPEKO-UHFFFAOYSA-N 1 2 305.341 1.882 20 30 DDEDLO C=C(C)CN(CC)C(=O)Cn1cc(C[NH+]2CCSCC2)nn1 ZINC000640792611 423190422 /nfs/dbraw/zinc/19/04/22/423190422.db2.gz SXYJTSKWKRPICW-UHFFFAOYSA-N 1 2 323.466 1.252 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ncccc2C(F)(F)F)nn1 ZINC000641156911 423430275 /nfs/dbraw/zinc/43/02/75/423430275.db2.gz IMZSRCLRPHAMGE-UHFFFAOYSA-N 1 2 309.295 1.853 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000644878490 424006906 /nfs/dbraw/zinc/00/69/06/424006906.db2.gz GLGQFGUIWAIKDE-QPSCCSFWSA-N 1 2 318.421 1.687 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000644878490 424006918 /nfs/dbraw/zinc/00/69/18/424006918.db2.gz GLGQFGUIWAIKDE-QPSCCSFWSA-N 1 2 318.421 1.687 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH2+][C@H](CC)c1nnc2n1CCCCC2 ZINC000661938534 424274320 /nfs/dbraw/zinc/27/43/20/424274320.db2.gz RIZGAWGRGQROPE-QWHCGFSZSA-N 1 2 305.426 1.736 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2ccc(NC(C)=O)nc2)nn1 ZINC000657452013 424354143 /nfs/dbraw/zinc/35/41/43/424354143.db2.gz FANVMOUTNWMEOV-UHFFFAOYSA-N 1 2 300.366 1.497 20 30 DDEDLO CC[N@@H+](Cc1cnc2ccc(C#N)cn12)C[C@@H](O)C(F)(F)F ZINC000342770420 266139054 /nfs/dbraw/zinc/13/90/54/266139054.db2.gz XHVYMUPDRNYIIK-GFCCVEGCSA-N 1 2 312.295 1.951 20 30 DDEDLO CC[N@H+](Cc1cnc2ccc(C#N)cn12)C[C@@H](O)C(F)(F)F ZINC000342770420 266139057 /nfs/dbraw/zinc/13/90/57/266139057.db2.gz XHVYMUPDRNYIIK-GFCCVEGCSA-N 1 2 312.295 1.951 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000368044642 267286984 /nfs/dbraw/zinc/28/69/84/267286984.db2.gz LMVRQICLIKELEF-OAHLLOKOSA-N 1 2 314.389 1.851 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000368044642 267286986 /nfs/dbraw/zinc/28/69/86/267286986.db2.gz LMVRQICLIKELEF-OAHLLOKOSA-N 1 2 314.389 1.851 20 30 DDEDLO Cn1c[nH+]c2c1CCN(S(=O)(=O)Cc1ccc(C#N)cc1)C2 ZINC000377341165 267833588 /nfs/dbraw/zinc/83/35/88/267833588.db2.gz CKAFXPUDHZNERO-UHFFFAOYSA-N 1 2 316.386 1.180 20 30 DDEDLO N#Cc1cnc(C(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)c(Cl)c1 ZINC000343357260 268309955 /nfs/dbraw/zinc/30/99/55/268309955.db2.gz RXJUKDBAAJDYEP-GFCCVEGCSA-N 1 2 320.780 1.200 20 30 DDEDLO N#Cc1cnc(C(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)c(Cl)c1 ZINC000343357260 268309959 /nfs/dbraw/zinc/30/99/59/268309959.db2.gz RXJUKDBAAJDYEP-GFCCVEGCSA-N 1 2 320.780 1.200 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)N1CCc2sccc2C1 ZINC000491778117 275386997 /nfs/dbraw/zinc/38/69/97/275386997.db2.gz HQMJRXXTNZHLGG-AWEZNQCLSA-N 1 2 304.415 1.357 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)N1CCc2sccc2C1 ZINC000491778117 275386998 /nfs/dbraw/zinc/38/69/98/275386998.db2.gz HQMJRXXTNZHLGG-AWEZNQCLSA-N 1 2 304.415 1.357 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000110959193 277832675 /nfs/dbraw/zinc/83/26/75/277832675.db2.gz BHFNGICZDWIETN-HZPDHXFCSA-N 1 2 310.438 1.681 20 30 DDEDLO Cc1nnc([C@H]2CC[N@H+](Cc3c(C#N)cccc3[N+](=O)[O-])C2)[nH]1 ZINC000412035450 279108972 /nfs/dbraw/zinc/10/89/72/279108972.db2.gz GBJLZMVXIWZHTG-LBPRGKRZSA-N 1 2 312.333 1.883 20 30 DDEDLO Cc1nnc([C@H]2CC[N@@H+](Cc3c(C#N)cccc3[N+](=O)[O-])C2)[nH]1 ZINC000412035450 279108973 /nfs/dbraw/zinc/10/89/73/279108973.db2.gz GBJLZMVXIWZHTG-LBPRGKRZSA-N 1 2 312.333 1.883 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@H+](Cc3c(C#N)cccc3[N+](=O)[O-])C2)n1 ZINC000412035450 279108974 /nfs/dbraw/zinc/10/89/74/279108974.db2.gz GBJLZMVXIWZHTG-LBPRGKRZSA-N 1 2 312.333 1.883 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@@H+](Cc3c(C#N)cccc3[N+](=O)[O-])C2)n1 ZINC000412035450 279108975 /nfs/dbraw/zinc/10/89/75/279108975.db2.gz GBJLZMVXIWZHTG-LBPRGKRZSA-N 1 2 312.333 1.883 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)N[C@@H]3CCO[C@H](C4CC4)C3)C[C@@H]21 ZINC000328888494 290078838 /nfs/dbraw/zinc/07/88/38/290078838.db2.gz QDOONMPGEKCGBE-QXSJWSMHSA-N 1 2 323.437 1.263 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)N[C@@H]3CCO[C@H](C4CC4)C3)C[C@@H]21 ZINC000328888494 290078840 /nfs/dbraw/zinc/07/88/40/290078840.db2.gz QDOONMPGEKCGBE-QXSJWSMHSA-N 1 2 323.437 1.263 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@@H+]1CCO[C@]2(CCO[C@H]2C)C1 ZINC000329914952 298243615 /nfs/dbraw/zinc/24/36/15/298243615.db2.gz PICYWJNDFYHGEE-JRPNMDOOSA-N 1 2 311.382 1.288 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@H+]1CCO[C@]2(CCO[C@H]2C)C1 ZINC000329914952 298243619 /nfs/dbraw/zinc/24/36/19/298243619.db2.gz PICYWJNDFYHGEE-JRPNMDOOSA-N 1 2 311.382 1.288 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCCSCc1ccccc1C#N ZINC000554213826 303689265 /nfs/dbraw/zinc/68/92/65/303689265.db2.gz ISJPNXZHNIZOPM-UHFFFAOYSA-N 1 2 314.414 1.884 20 30 DDEDLO N#Cc1cccc(C2(C(=O)N[C@H]3CCn4cc[nH+]c4C3)CC2)c1 ZINC000548312053 307764364 /nfs/dbraw/zinc/76/43/64/307764364.db2.gz KIERWYPYAZHONY-HNNXBMFYSA-N 1 2 306.369 1.918 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)[N@@H+]2Cc2ccc(C#N)cn2)O1 ZINC000565160830 308021948 /nfs/dbraw/zinc/02/19/48/308021948.db2.gz DGFZHMDBUITRRJ-FWYOQMDTSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)[N@H+]2Cc2ccc(C#N)cn2)O1 ZINC000565160830 308021950 /nfs/dbraw/zinc/02/19/50/308021950.db2.gz DGFZHMDBUITRRJ-FWYOQMDTSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)c1ccc(N[C@H](C)[C@H](C)[NH+]2CCOCC2)c(C#N)n1 ZINC000566479044 308054532 /nfs/dbraw/zinc/05/45/32/308054532.db2.gz PRMNPQACFNCZKD-NEPJUHHUSA-N 1 2 318.377 1.261 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3cc(C)ncn3)CC2)c1C#N ZINC000567102708 308070948 /nfs/dbraw/zinc/07/09/48/308070948.db2.gz SENOWJUSQMADTN-UHFFFAOYSA-N 1 2 314.418 1.744 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ccc(C(N)=O)cc3)CC2)nc1 ZINC000567517194 308083154 /nfs/dbraw/zinc/08/31/54/308083154.db2.gz UPZJUXPUVONFFN-UHFFFAOYSA-N 1 2 321.384 1.374 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@H](c2nnc[nH]2)C1 ZINC000575918149 308282046 /nfs/dbraw/zinc/28/20/46/308282046.db2.gz LRKGCYZVXIODKJ-JTQLQIEISA-N 1 2 302.363 1.166 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@H](c2nnc[nH]2)C1 ZINC000575918149 308282048 /nfs/dbraw/zinc/28/20/48/308282048.db2.gz LRKGCYZVXIODKJ-JTQLQIEISA-N 1 2 302.363 1.166 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C[C@H]1CCOc2ccccc21 ZINC000570848154 332828040 /nfs/dbraw/zinc/82/80/40/332828040.db2.gz KYQAMGYGZCMHNK-CXAGYDPISA-N 1 2 301.390 1.903 20 30 DDEDLO N#CCCN(Cc1cccnc1)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000533527659 331572602 /nfs/dbraw/zinc/57/26/02/331572602.db2.gz UGYPRSMVXODMQD-HNNXBMFYSA-N 1 2 309.373 1.783 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCc3c([nH+]c(C)n3CCO)C2)CCC1 ZINC000556836391 331739249 /nfs/dbraw/zinc/73/92/49/331739249.db2.gz LURKUPYJODYGIK-AWEZNQCLSA-N 1 2 317.433 1.904 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1N(S(=O)(=O)CC2(CC#N)CC2)CC1(C)C ZINC000565873840 331841262 /nfs/dbraw/zinc/84/12/62/331841262.db2.gz GLPFEIGHCLTTLG-GFCCVEGCSA-N 1 2 322.434 1.827 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](C)c1nc(-c2ccc(F)cc2)no1 ZINC000368322277 332651655 /nfs/dbraw/zinc/65/16/55/332651655.db2.gz AUDJTPPXFPKMCL-SNVBAGLBSA-N 1 2 304.325 1.829 20 30 DDEDLO Cc1noc(C2CC[NH+]([C@H](C)C(=O)NC3(C#N)CCC3)CC2)n1 ZINC000526058578 333294493 /nfs/dbraw/zinc/29/44/93/333294493.db2.gz FVVDWGJJOMAMEP-LLVKDONJSA-N 1 2 317.393 1.508 20 30 DDEDLO Cc1ncc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cc2)o1 ZINC000398020013 337304601 /nfs/dbraw/zinc/30/46/01/337304601.db2.gz UYJZLYJGRPXZHL-UHFFFAOYSA-N 1 2 305.359 1.418 20 30 DDEDLO C=C1CC[NH+](CC(=O)N[C@H](C(=O)NC)c2ccccc2)CC1 ZINC000264423972 337632628 /nfs/dbraw/zinc/63/26/28/337632628.db2.gz AYDWANOSYPOTPH-INIZCTEOSA-N 1 2 301.390 1.242 20 30 DDEDLO C=C1CC[NH+](CC(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000533281939 337839680 /nfs/dbraw/zinc/83/96/80/337839680.db2.gz AKRQPOLOFKLPGJ-UHFFFAOYSA-N 1 2 313.357 1.503 20 30 DDEDLO COC(=O)Nc1ccccc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000496873460 340015047 /nfs/dbraw/zinc/01/50/47/340015047.db2.gz SUGDCERXFJDFAS-LBPRGKRZSA-N 1 2 307.350 1.450 20 30 DDEDLO COC(=O)Nc1ccccc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000496873460 340015048 /nfs/dbraw/zinc/01/50/48/340015048.db2.gz SUGDCERXFJDFAS-LBPRGKRZSA-N 1 2 307.350 1.450 20 30 DDEDLO CC[C@H]1CCCCN1C(=O)[C@@H](C)[NH+]1CCN(C(=O)CC#N)CC1 ZINC000517584480 340472957 /nfs/dbraw/zinc/47/29/57/340472957.db2.gz VKGAKWNPCBMOTE-CABCVRRESA-N 1 2 320.437 1.224 20 30 DDEDLO C[C@H](CC(=O)N(CCC#N)CC[NH+]1CCOCC1)n1cccc1 ZINC000522640337 340606339 /nfs/dbraw/zinc/60/63/39/340606339.db2.gz CIDYNKJBFWFIIH-MRXNPFEDSA-N 1 2 318.421 1.514 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@@H](c2nc(C)no2)C2CCOCC2)C1=O ZINC000540912996 340951286 /nfs/dbraw/zinc/95/12/86/340951286.db2.gz AGHDGXYBRRXONC-UONOGXRCSA-N 1 2 320.393 1.222 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)NCC2(CC#N)CC2)CC1 ZINC000546307837 341162575 /nfs/dbraw/zinc/16/25/75/341162575.db2.gz KQBPFONTAYJONQ-UHFFFAOYSA-N 1 2 313.405 1.916 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCOC2(CCOCC2)C1 ZINC000135597893 341242619 /nfs/dbraw/zinc/24/26/19/341242619.db2.gz NVFWMYKSYSAQFM-ZBFHGGJFSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCOC2(CCOCC2)C1 ZINC000135597893 341242620 /nfs/dbraw/zinc/24/26/20/341242620.db2.gz NVFWMYKSYSAQFM-ZBFHGGJFSA-N 1 2 323.437 1.311 20 30 DDEDLO CCOC(=O)N1CCN(C(=O)[C@@H](C)[N@H+](CC)C[C@@H](C)C#N)CC1 ZINC000245774861 341314449 /nfs/dbraw/zinc/31/44/49/341314449.db2.gz LGBZETZOTJICBV-UONOGXRCSA-N 1 2 324.425 1.157 20 30 DDEDLO CCOC(=O)N1CCN(C(=O)[C@@H](C)[N@@H+](CC)C[C@@H](C)C#N)CC1 ZINC000245774861 341314450 /nfs/dbraw/zinc/31/44/50/341314450.db2.gz LGBZETZOTJICBV-UONOGXRCSA-N 1 2 324.425 1.157 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000666138278 485097781 /nfs/dbraw/zinc/09/77/81/485097781.db2.gz RYLDNAMAAZACBD-OAHLLOKOSA-N 1 2 318.421 1.349 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)Nc2ccc(C)cc2)CC1 ZINC000679823168 486003600 /nfs/dbraw/zinc/00/36/00/486003600.db2.gz YMHAQFYRTWBSJW-UHFFFAOYSA-N 1 2 301.390 1.794 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)Cc2ccc(Cl)cc2)CC1 ZINC000677818162 486548275 /nfs/dbraw/zinc/54/82/75/486548275.db2.gz GQJYOPGHXAVHOY-UHFFFAOYSA-N 1 2 320.820 1.677 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)OC(C)(C)C)CC1 ZINC000264937686 490711465 /nfs/dbraw/zinc/71/14/65/490711465.db2.gz RYHLAQFZWWXAAD-CYBMUJFWSA-N 1 2 309.410 1.067 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)OC(C)(C)C)CC1 ZINC000264937686 490711468 /nfs/dbraw/zinc/71/14/68/490711468.db2.gz RYHLAQFZWWXAAD-CYBMUJFWSA-N 1 2 309.410 1.067 20 30 DDEDLO C[C@@H]1OCC[C@]1(C)NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000329766413 534204085 /nfs/dbraw/zinc/20/40/85/534204085.db2.gz YDNTUSNLLZUZLT-XEZPLFJOSA-N 1 2 304.394 1.731 20 30 DDEDLO COC(=O)c1cccc(NC(=O)C[NH+]2CCC(C)(C#N)CC2)n1 ZINC000346573405 534271215 /nfs/dbraw/zinc/27/12/15/534271215.db2.gz RDYXRSCUCKWXLU-UHFFFAOYSA-N 1 2 316.361 1.432 20 30 DDEDLO COC(=O)[C@@H]([NH2+]CCC(=O)Nc1ccccc1C#N)C1CC1 ZINC000299472320 534277115 /nfs/dbraw/zinc/27/71/15/534277115.db2.gz YPRKFAWKKFOVNY-HNNXBMFYSA-N 1 2 301.346 1.428 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+](CC(=O)NC3(C#N)CCCCC3)C2)[nH]1 ZINC000334052105 534580045 /nfs/dbraw/zinc/58/00/45/534580045.db2.gz SMMKZNXXOZVXSZ-CYBMUJFWSA-N 1 2 316.409 1.245 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+](CC(=O)NC3(C#N)CCCCC3)C2)[nH]1 ZINC000334052105 534580047 /nfs/dbraw/zinc/58/00/47/534580047.db2.gz SMMKZNXXOZVXSZ-CYBMUJFWSA-N 1 2 316.409 1.245 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@H+](CC(=O)NC3(C#N)CCCCC3)C2)n1 ZINC000334052105 534580053 /nfs/dbraw/zinc/58/00/53/534580053.db2.gz SMMKZNXXOZVXSZ-CYBMUJFWSA-N 1 2 316.409 1.245 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@@H+](CC(=O)NC3(C#N)CCCCC3)C2)n1 ZINC000334052105 534580058 /nfs/dbraw/zinc/58/00/58/534580058.db2.gz SMMKZNXXOZVXSZ-CYBMUJFWSA-N 1 2 316.409 1.245 20 30 DDEDLO O=C(N[C@@H]1CCN(c2ccccc2)C1)N1CCn2cc[nH+]c2C1 ZINC000329824740 534762161 /nfs/dbraw/zinc/76/21/61/534762161.db2.gz HVSOZXXMFNHFFV-CQSZACIVSA-N 1 2 311.389 1.892 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCn2cc[nH+]c2C1)N1CCC(n2ccnc2)CC1 ZINC000330268647 534763050 /nfs/dbraw/zinc/76/30/50/534763050.db2.gz IUCYXAHUSVJPDF-CYBMUJFWSA-N 1 2 314.393 1.646 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)c2cc(C#N)cn2C)CCO1 ZINC000331744008 534813943 /nfs/dbraw/zinc/81/39/43/534813943.db2.gz HDABDOQXHYJXNQ-DOMZBBRYSA-N 1 2 313.361 1.280 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000413267103 526305458 /nfs/dbraw/zinc/30/54/58/526305458.db2.gz QCCWIDBXZGRZKE-KBPBESRZSA-N 1 2 306.410 1.323 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000413267103 526305463 /nfs/dbraw/zinc/30/54/63/526305463.db2.gz QCCWIDBXZGRZKE-KBPBESRZSA-N 1 2 306.410 1.323 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](Cc2nnc(-c3ccccc3)s2)C1 ZINC000329844830 526401133 /nfs/dbraw/zinc/40/11/33/526401133.db2.gz QBVKZYQIXPKMKQ-GFCCVEGCSA-N 1 2 317.418 1.913 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](Cc2nnc(-c3ccccc3)s2)C1 ZINC000329844830 526401140 /nfs/dbraw/zinc/40/11/40/526401140.db2.gz QBVKZYQIXPKMKQ-GFCCVEGCSA-N 1 2 317.418 1.913 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccc(C)c(F)c2)C1 ZINC000330955532 526402607 /nfs/dbraw/zinc/40/26/07/526402607.db2.gz UCUOTDDVQDEBPP-LBPRGKRZSA-N 1 2 308.357 1.280 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C)c(F)c2)C1 ZINC000330955532 526402609 /nfs/dbraw/zinc/40/26/09/526402609.db2.gz UCUOTDDVQDEBPP-LBPRGKRZSA-N 1 2 308.357 1.280 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(C(C)C)C2CCCC2)C1=O ZINC000337226148 526466804 /nfs/dbraw/zinc/46/68/04/526466804.db2.gz PDMYNFAAWTZDCX-MRXNPFEDSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(C(C)C)C2CCCC2)C1=O ZINC000337226148 526466808 /nfs/dbraw/zinc/46/68/08/526466808.db2.gz PDMYNFAAWTZDCX-MRXNPFEDSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C(=O)OC)c(CC)o2)C1=O ZINC000338952002 526471036 /nfs/dbraw/zinc/47/10/36/526471036.db2.gz KPCIVUUMBUCOAL-CQSZACIVSA-N 1 2 320.389 1.847 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C(=O)OC)c(CC)o2)C1=O ZINC000338952002 526471040 /nfs/dbraw/zinc/47/10/40/526471040.db2.gz KPCIVUUMBUCOAL-CQSZACIVSA-N 1 2 320.389 1.847 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCCC[C@H]2C(=O)NCC(F)F)C1=O ZINC000451803298 526506749 /nfs/dbraw/zinc/50/67/49/526506749.db2.gz QDFOYMOMJJBBJS-RYUDHWBXSA-N 1 2 315.364 1.009 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCCC[C@H]2C(=O)NCC(F)F)C1=O ZINC000451803298 526506752 /nfs/dbraw/zinc/50/67/52/526506752.db2.gz QDFOYMOMJJBBJS-RYUDHWBXSA-N 1 2 315.364 1.009 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(C(=O)OCC(C)C)CC2)C1=O ZINC000491301462 526508170 /nfs/dbraw/zinc/50/81/70/526508170.db2.gz BQRSBVMAVUBVEK-AWEZNQCLSA-N 1 2 309.410 1.184 20 30 DDEDLO C=CCNC(=O)C[N@H+](Cc1cccs1)[C@@H]1C=C[C@H](CO)C1 ZINC000347336062 526527949 /nfs/dbraw/zinc/52/79/49/526527949.db2.gz KGOYEFVVGDUKAI-UONOGXRCSA-N 1 2 306.431 1.789 20 30 DDEDLO C=CCNC(=O)C[N@@H+](Cc1cccs1)[C@@H]1C=C[C@H](CO)C1 ZINC000347336062 526527954 /nfs/dbraw/zinc/52/79/54/526527954.db2.gz KGOYEFVVGDUKAI-UONOGXRCSA-N 1 2 306.431 1.789 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1cc(F)cc(F)c1 ZINC000444701933 526607265 /nfs/dbraw/zinc/60/72/65/526607265.db2.gz HJSCMWLPFSTANH-JTQLQIEISA-N 1 2 311.332 1.797 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1cc(F)cc(F)c1 ZINC000444701933 526607269 /nfs/dbraw/zinc/60/72/69/526607269.db2.gz HJSCMWLPFSTANH-JTQLQIEISA-N 1 2 311.332 1.797 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](C[C@@H](O)C(F)(F)F)CC2)c(F)c1 ZINC000158203116 526645693 /nfs/dbraw/zinc/64/56/93/526645693.db2.gz ATKZNEUTAYABGB-CYBMUJFWSA-N 1 2 317.286 1.743 20 30 DDEDLO C=C(C)COCCNc1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000413209457 526658168 /nfs/dbraw/zinc/65/81/68/526658168.db2.gz UNIGBMHWVNAUJF-KBPBESRZSA-N 1 2 306.410 1.688 20 30 DDEDLO C=C(C)COCCNc1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000413209457 526658173 /nfs/dbraw/zinc/65/81/73/526658173.db2.gz UNIGBMHWVNAUJF-KBPBESRZSA-N 1 2 306.410 1.688 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1)OCC ZINC000433104249 526743742 /nfs/dbraw/zinc/74/37/42/526743742.db2.gz LHIBYXXYVWFNFQ-LSDHHAIUSA-N 1 2 320.437 1.986 20 30 DDEDLO C=C(C)[C@H](CC(=O)N(CCC#N)CC[NH+]1CCOCC1)OCC ZINC000339392677 526805501 /nfs/dbraw/zinc/80/55/01/526805501.db2.gz JITBIDGVHYARDX-INIZCTEOSA-N 1 2 323.437 1.432 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000348788122 526873724 /nfs/dbraw/zinc/87/37/24/526873724.db2.gz YWNISZCDKHCVCX-GOEBONIOSA-N 1 2 307.415 1.870 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000348788122 526873733 /nfs/dbraw/zinc/87/37/33/526873733.db2.gz YWNISZCDKHCVCX-GOEBONIOSA-N 1 2 307.415 1.870 20 30 DDEDLO CC(=O)N[C@H]1CCCN(C([O-])=[NH+]CCCn2cc[nH+]c2C)C1 ZINC000330407877 526895020 /nfs/dbraw/zinc/89/50/20/526895020.db2.gz ZYDGPNBSYVHFLI-AWEZNQCLSA-N 1 2 307.398 1.096 20 30 DDEDLO C#CC[N@@H+](CCCc1cc(CC)no1)[C@@H]1CCS(=O)(=O)C1 ZINC000491553741 526901063 /nfs/dbraw/zinc/90/10/63/526901063.db2.gz CGUMDUSJSVRDGT-CQSZACIVSA-N 1 2 310.419 1.292 20 30 DDEDLO C#CC[N@H+](CCCc1cc(CC)no1)[C@@H]1CCS(=O)(=O)C1 ZINC000491553741 526901066 /nfs/dbraw/zinc/90/10/66/526901066.db2.gz CGUMDUSJSVRDGT-CQSZACIVSA-N 1 2 310.419 1.292 20 30 DDEDLO CC(C)(CNC(=O)N[C@H]1CCCSC1)[NH+]1CCOCC1 ZINC000330101728 526948863 /nfs/dbraw/zinc/94/88/63/526948863.db2.gz FQSRYIBKPWLPSY-LBPRGKRZSA-N 1 2 301.456 1.496 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(Cl)cc2F)CC1 ZINC000490759319 526951357 /nfs/dbraw/zinc/95/13/57/526951357.db2.gz CGJVHPLDJAZQOR-UHFFFAOYSA-N 1 2 309.772 1.668 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(Cl)ccc2OC)CC1 ZINC000490778728 526952131 /nfs/dbraw/zinc/95/21/31/526952131.db2.gz JXGPTAPOVOWKLP-UHFFFAOYSA-N 1 2 321.808 1.538 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCC(C)C)n2C2CC2)CC1 ZINC000491726274 526955259 /nfs/dbraw/zinc/95/52/59/526955259.db2.gz FZAMVCJKRCZNTC-UHFFFAOYSA-N 1 2 301.438 1.957 20 30 DDEDLO C#CC[NH+]1CCN(c2nc(-c3cccnc3)nc3c2CCC3)CC1 ZINC000491749808 526956350 /nfs/dbraw/zinc/95/63/50/526956350.db2.gz VHRUJACPFVOCGU-UHFFFAOYSA-N 1 2 319.412 1.783 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCOC3)n2CC(=C)C)CC1 ZINC000491069159 526956536 /nfs/dbraw/zinc/95/65/36/526956536.db2.gz UAEZAZIIQFHUTP-OAHLLOKOSA-N 1 2 315.421 1.113 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CCCCCCC)CC1 ZINC000363070049 526989758 /nfs/dbraw/zinc/98/97/58/526989758.db2.gz HOLWLPITYLNSTM-UHFFFAOYSA-N 1 2 321.465 1.631 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CCCCCCC)CC1 ZINC000363070049 526989766 /nfs/dbraw/zinc/98/97/66/526989766.db2.gz HOLWLPITYLNSTM-UHFFFAOYSA-N 1 2 321.465 1.631 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000413594207 527085653 /nfs/dbraw/zinc/08/56/53/527085653.db2.gz WZQACHMTAJARSY-KBPBESRZSA-N 1 2 308.426 1.479 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1)C(C)C ZINC000490961895 527271330 /nfs/dbraw/zinc/27/13/30/527271330.db2.gz JTUYSBKPXZFULD-ZIAGYGMSSA-N 1 2 303.410 1.456 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000491746390 527297766 /nfs/dbraw/zinc/29/77/66/527297766.db2.gz VEVPSSMLMVHCNE-MNOVXSKESA-N 1 2 315.295 1.317 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000491778338 527309920 /nfs/dbraw/zinc/30/99/20/527309920.db2.gz INHFYQVLFVUXEL-CYBMUJFWSA-N 1 2 317.345 1.566 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000491778338 527309923 /nfs/dbraw/zinc/30/99/23/527309923.db2.gz INHFYQVLFVUXEL-CYBMUJFWSA-N 1 2 317.345 1.566 20 30 DDEDLO C=CCCN(C)C(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000342143304 527310862 /nfs/dbraw/zinc/31/08/62/527310862.db2.gz KRRLOBNUBLBQPL-CYBMUJFWSA-N 1 2 319.409 1.129 20 30 DDEDLO C#C[C@H](CC)NC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000491657903 527353141 /nfs/dbraw/zinc/35/31/41/527353141.db2.gz KPZLHBWUBKVNKT-IAGOWNOFSA-N 1 2 315.417 1.771 20 30 DDEDLO C=CCn1cccc1C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000345620431 527378764 /nfs/dbraw/zinc/37/87/64/527378764.db2.gz CDIGNFUTCUKTNY-OAHLLOKOSA-N 1 2 303.406 1.611 20 30 DDEDLO C#C[C@H]([NH2+]Cc1cn(C)c(=O)n(C)c1=O)c1ccc(Cl)cc1 ZINC000491495119 527410936 /nfs/dbraw/zinc/41/09/36/527410936.db2.gz GSSUNQOJYUZEOW-AWEZNQCLSA-N 1 2 317.776 1.202 20 30 DDEDLO CC(C)c1nnc(NC(=O)C[N@@H+]2C[C@@H]3CC[C@@H](O)[C@@H]3C2)s1 ZINC000329610150 528453437 /nfs/dbraw/zinc/45/34/37/528453437.db2.gz BYTXVVCASIFDJA-HBNTYKKESA-N 1 2 310.423 1.952 20 30 DDEDLO CC(C)c1nnc(NC(=O)C[N@H+]2C[C@@H]3CC[C@@H](O)[C@@H]3C2)s1 ZINC000329610150 528453441 /nfs/dbraw/zinc/45/34/41/528453441.db2.gz BYTXVVCASIFDJA-HBNTYKKESA-N 1 2 310.423 1.952 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N(CC)C[C@@H](C)C#N)C(C)(C)C ZINC000431142730 528792670 /nfs/dbraw/zinc/79/26/70/528792670.db2.gz GMOONEDJPAAQCA-ZDUSSCGKSA-N 1 2 311.426 1.658 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N(CC)C[C@@H](C)C#N)C(C)(C)C ZINC000431142730 528792676 /nfs/dbraw/zinc/79/26/76/528792676.db2.gz GMOONEDJPAAQCA-ZDUSSCGKSA-N 1 2 311.426 1.658 20 30 DDEDLO C[C@H](C#N)C[N@H+](C[C@H](O)c1ccc(-c2nn[nH]n2)cc1)C1CC1 ZINC000824034028 607831451 /nfs/dbraw/zinc/83/14/51/607831451.db2.gz FYKSTDSVAJLPMB-ABAIWWIYSA-N 1 2 312.377 1.524 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C[C@H](O)c1ccc(-c2nn[nH]n2)cc1)C1CC1 ZINC000824034028 607831452 /nfs/dbraw/zinc/83/14/52/607831452.db2.gz FYKSTDSVAJLPMB-ABAIWWIYSA-N 1 2 312.377 1.524 20 30 DDEDLO C[C@H](C1CC1)N(Cc1ccccc1)C(=O)CO[NH+]=C(N)CCO ZINC000121311661 696708271 /nfs/dbraw/zinc/70/82/71/696708271.db2.gz YPEKLUQAPHQDRH-CYBMUJFWSA-N 1 2 319.405 1.485 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)/C=C\c3ccco3)C2)C1 ZINC000972229427 695182908 /nfs/dbraw/zinc/18/29/08/695182908.db2.gz SSUQNIFIZLGDDU-OSJSJHBBSA-N 1 2 300.358 1.229 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)/C=C\c3ccco3)C2)C1 ZINC000972229427 695182910 /nfs/dbraw/zinc/18/29/10/695182910.db2.gz SSUQNIFIZLGDDU-OSJSJHBBSA-N 1 2 300.358 1.229 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@]2(C1)C[N@H+](Cc1ccsc1)CCO2 ZINC000972296768 695209859 /nfs/dbraw/zinc/20/98/59/695209859.db2.gz CXXBNQIEJCCZHS-CZUORRHYSA-N 1 2 319.430 1.711 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@]2(C1)C[N@@H+](Cc1ccsc1)CCO2 ZINC000972296768 695209862 /nfs/dbraw/zinc/20/98/62/695209862.db2.gz CXXBNQIEJCCZHS-CZUORRHYSA-N 1 2 319.430 1.711 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3OCC[C@H]3CC)C2)C1 ZINC000972422546 695246015 /nfs/dbraw/zinc/24/60/15/695246015.db2.gz OGPNFVAYSOYUEF-HLLBOEOZSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3OCC[C@H]3CC)C2)C1 ZINC000972422546 695246016 /nfs/dbraw/zinc/24/60/16/695246016.db2.gz OGPNFVAYSOYUEF-HLLBOEOZSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@H]([N@H+](C)Cc2ccon2)C1 ZINC000972520529 695271719 /nfs/dbraw/zinc/27/17/19/695271719.db2.gz CIJVOQFCDWGUFN-PMPSAXMXSA-N 1 2 319.405 1.689 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccon2)C1 ZINC000972520529 695271722 /nfs/dbraw/zinc/27/17/22/695271722.db2.gz CIJVOQFCDWGUFN-PMPSAXMXSA-N 1 2 319.405 1.689 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3CCC)C2)C1 ZINC000972544814 695278269 /nfs/dbraw/zinc/27/82/69/695278269.db2.gz WTSSRSCVXIBXOB-NUJGCVRESA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3CCC)C2)C1 ZINC000972544814 695278270 /nfs/dbraw/zinc/27/82/70/695278270.db2.gz WTSSRSCVXIBXOB-NUJGCVRESA-N 1 2 304.434 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000972601344 695295047 /nfs/dbraw/zinc/29/50/47/695295047.db2.gz HQWPKECGOGEJQH-MRXNPFEDSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000972601344 695295049 /nfs/dbraw/zinc/29/50/49/695295049.db2.gz HQWPKECGOGEJQH-MRXNPFEDSA-N 1 2 304.394 1.129 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(OC)o3)C2)C1 ZINC000972611303 695298377 /nfs/dbraw/zinc/29/83/77/695298377.db2.gz FWUOGRKABLXBKO-QGZVFWFLSA-N 1 2 318.373 1.228 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(OC)o3)C2)C1 ZINC000972611303 695298379 /nfs/dbraw/zinc/29/83/79/695298379.db2.gz FWUOGRKABLXBKO-QGZVFWFLSA-N 1 2 318.373 1.228 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(CC)CCOCC3)C2)C1 ZINC000972615949 695299880 /nfs/dbraw/zinc/29/98/80/695299880.db2.gz UYMXXOWOOUSSRZ-SFHVURJKSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(CC)CCOCC3)C2)C1 ZINC000972615949 695299882 /nfs/dbraw/zinc/29/98/82/695299882.db2.gz UYMXXOWOOUSSRZ-SFHVURJKSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccccc3F)C2)C1 ZINC000972660991 695312356 /nfs/dbraw/zinc/31/23/56/695312356.db2.gz GNRKHMJDFCOEMU-GOSISDBHSA-N 1 2 316.376 1.305 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccccc3F)C2)C1 ZINC000972660991 695312360 /nfs/dbraw/zinc/31/23/60/695312360.db2.gz GNRKHMJDFCOEMU-GOSISDBHSA-N 1 2 316.376 1.305 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccccc3F)C2)C1 ZINC000972661426 695312646 /nfs/dbraw/zinc/31/26/46/695312646.db2.gz HFNFRPRCWIFQRF-SFHVURJKSA-N 1 2 318.392 1.858 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccccc3F)C2)C1 ZINC000972661426 695312649 /nfs/dbraw/zinc/31/26/49/695312649.db2.gz HFNFRPRCWIFQRF-SFHVURJKSA-N 1 2 318.392 1.858 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)s3)C2)C1 ZINC000972696632 695318383 /nfs/dbraw/zinc/31/83/83/695318383.db2.gz NUOMZDNWZTWAPI-HNNXBMFYSA-N 1 2 310.394 1.990 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)s3)C2)C1 ZINC000972696632 695318384 /nfs/dbraw/zinc/31/83/84/695318384.db2.gz NUOMZDNWZTWAPI-HNNXBMFYSA-N 1 2 310.394 1.990 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2cnc([C@H](C)OC)s2)C(C)(C)C1 ZINC000974600628 695694069 /nfs/dbraw/zinc/69/40/69/695694069.db2.gz HMQAFGMNQGAUGU-AAEUAGOBSA-N 1 2 321.446 1.924 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2cnc([C@H](C)OC)s2)C(C)(C)C1 ZINC000974600628 695694071 /nfs/dbraw/zinc/69/40/71/695694071.db2.gz HMQAFGMNQGAUGU-AAEUAGOBSA-N 1 2 321.446 1.924 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCc3cn[nH]c32)C(C)(C)C1 ZINC000974603729 695694678 /nfs/dbraw/zinc/69/46/78/695694678.db2.gz NTKKCXWFZJTAJD-LSDHHAIUSA-N 1 2 314.433 1.680 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](NC(=O)[C@H]2CCCc3cn[nH]c32)C(C)(C)C1 ZINC000974603729 695694681 /nfs/dbraw/zinc/69/46/81/695694681.db2.gz NTKKCXWFZJTAJD-LSDHHAIUSA-N 1 2 314.433 1.680 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1sc2c(c1C(=O)OC)CCC2 ZINC000746457844 700025220 /nfs/dbraw/zinc/02/52/20/700025220.db2.gz RQFPUKBWUKTUFO-UHFFFAOYSA-N 1 2 320.414 1.917 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1sc2c(c1C(=O)OC)CCC2 ZINC000746457844 700025222 /nfs/dbraw/zinc/02/52/22/700025222.db2.gz RQFPUKBWUKTUFO-UHFFFAOYSA-N 1 2 320.414 1.917 20 30 DDEDLO NC(=[NH+]OCC(=O)NCCOc1ccccc1)c1ccccc1 ZINC000042853905 696151567 /nfs/dbraw/zinc/15/15/67/696151567.db2.gz VHYWHYHLWUFOGD-UHFFFAOYSA-N 1 2 313.357 1.519 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(=O)[nH]c2)C(C)(C)C1 ZINC000977587863 696224509 /nfs/dbraw/zinc/22/45/09/696224509.db2.gz QJMXAYDPVRCMQR-GFCCVEGCSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(=O)[nH]c2)C(C)(C)C1 ZINC000977587863 696224511 /nfs/dbraw/zinc/22/45/11/696224511.db2.gz QJMXAYDPVRCMQR-GFCCVEGCSA-N 1 2 309.797 1.980 20 30 DDEDLO CCCCn1nnnc1CO[NH+]=C(N)c1ccc(OC)cc1 ZINC000054230393 696274134 /nfs/dbraw/zinc/27/41/34/696274134.db2.gz BCEXHWLASLACOX-UHFFFAOYSA-N 1 2 304.354 1.319 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCC(=O)NC2CCOCC2)ccc1F ZINC000102766918 696611573 /nfs/dbraw/zinc/61/15/73/696611573.db2.gz HIMWTEOGZHSWSN-UHFFFAOYSA-N 1 2 309.341 1.066 20 30 DDEDLO C#CCOc1ccc(NC(=O)N[C@@H](C)C[NH+]2CCOCC2)cc1 ZINC000108520857 696634004 /nfs/dbraw/zinc/63/40/04/696634004.db2.gz DAJGZGWHKBLRSK-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CC[C@@H](NC(=O)c3cncc4nc[nH]c43)C[C@@H]2C1 ZINC000979727122 696634178 /nfs/dbraw/zinc/63/41/78/696634178.db2.gz HEGSAIHBOYLKGH-BFHYXJOUSA-N 1 2 323.400 1.421 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CC[C@@H](NC(=O)c3cncc4nc[nH]c43)C[C@@H]2C1 ZINC000979727122 696634179 /nfs/dbraw/zinc/63/41/79/696634179.db2.gz HEGSAIHBOYLKGH-BFHYXJOUSA-N 1 2 323.400 1.421 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[NH+]2CCN(C(=O)N(C)C)CC2)cc1 ZINC000133574438 696828105 /nfs/dbraw/zinc/82/81/05/696828105.db2.gz NAEMUZOYBZLVBJ-CQSZACIVSA-N 1 2 316.405 1.777 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000151974301 696893143 /nfs/dbraw/zinc/89/31/43/696893143.db2.gz XZZINPWFJCMGTN-OAHLLOKOSA-N 1 2 301.390 1.327 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000980848122 696916664 /nfs/dbraw/zinc/91/66/64/696916664.db2.gz PZQQLVIVKNLIHG-CYBMUJFWSA-N 1 2 314.364 1.891 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000980848122 696916665 /nfs/dbraw/zinc/91/66/65/696916665.db2.gz PZQQLVIVKNLIHG-CYBMUJFWSA-N 1 2 314.364 1.891 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](CCn2cc(Cl)cn2)CC1 ZINC000980848977 696916868 /nfs/dbraw/zinc/91/68/68/696916868.db2.gz FDLSLPBVSMOTRT-LBPRGKRZSA-N 1 2 309.801 1.230 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](CCn2cc(Cl)cn2)CC1 ZINC000980848977 696916870 /nfs/dbraw/zinc/91/68/70/696916870.db2.gz FDLSLPBVSMOTRT-LBPRGKRZSA-N 1 2 309.801 1.230 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2nc3cccnc3s2)CC1 ZINC000981342545 697071261 /nfs/dbraw/zinc/07/12/61/697071261.db2.gz ARCBNXOJKXKDIO-UHFFFAOYSA-N 1 2 314.414 1.863 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2nc3cccnc3s2)CC1 ZINC000981342545 697071263 /nfs/dbraw/zinc/07/12/63/697071263.db2.gz ARCBNXOJKXKDIO-UHFFFAOYSA-N 1 2 314.414 1.863 20 30 DDEDLO C#CC[N@@H+]1C[C@@]2(C)CN(C(=O)c3ccc4[nH]nnc4c3)C[C@@]2(C)C1 ZINC000982798054 697180533 /nfs/dbraw/zinc/18/05/33/697180533.db2.gz JWLRNJCHGMJAOY-HDICACEKSA-N 1 2 323.400 1.375 20 30 DDEDLO C#CC[N@H+]1C[C@@]2(C)CN(C(=O)c3ccc4[nH]nnc4c3)C[C@@]2(C)C1 ZINC000982798054 697180534 /nfs/dbraw/zinc/18/05/34/697180534.db2.gz JWLRNJCHGMJAOY-HDICACEKSA-N 1 2 323.400 1.375 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cc(-c3ccccn3)no2)CC1 ZINC000982968223 697196736 /nfs/dbraw/zinc/19/67/36/697196736.db2.gz VYGCVTDUQRCDEH-UHFFFAOYSA-N 1 2 310.357 1.518 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cc(-c3ccccn3)no2)CC1 ZINC000982968223 697196737 /nfs/dbraw/zinc/19/67/37/697196737.db2.gz VYGCVTDUQRCDEH-UHFFFAOYSA-N 1 2 310.357 1.518 20 30 DDEDLO Cc1nc([C@@H](C)[N@H+](C)C2CCN(C(=O)C#CC3CC3)CC2)no1 ZINC000985431698 697510203 /nfs/dbraw/zinc/51/02/03/697510203.db2.gz SCWASIIFQNMXEX-GFCCVEGCSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1nc([C@@H](C)[N@@H+](C)C2CCN(C(=O)C#CC3CC3)CC2)no1 ZINC000985431698 697510204 /nfs/dbraw/zinc/51/02/04/697510204.db2.gz SCWASIIFQNMXEX-GFCCVEGCSA-N 1 2 316.405 1.775 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1)[C@H]1CCCO1 ZINC000773240175 697733097 /nfs/dbraw/zinc/73/30/97/697733097.db2.gz KHOSEZBXQHQFOH-IAGOWNOFSA-N 1 2 324.384 1.852 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC(c2[nH]cc[nH+]2)CC1)[C@H]1CCCO1 ZINC000773563690 697781771 /nfs/dbraw/zinc/78/17/71/697781771.db2.gz YANVNSAQYDEKDH-UONOGXRCSA-N 1 2 302.378 1.480 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+]Cc3nc(C)no3)[C@H]2C)cc1 ZINC000986636680 697860570 /nfs/dbraw/zinc/86/05/70/697860570.db2.gz KYEPAQWTLOXAEA-MLGOLLRUSA-N 1 2 324.384 1.752 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)[C@@H]1C ZINC000987503512 698131508 /nfs/dbraw/zinc/13/15/08/698131508.db2.gz FEVCOJVIBPWLIK-PWSUYJOCSA-N 1 2 319.796 1.903 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Cc1ccccc1CC#N ZINC000777305910 698179122 /nfs/dbraw/zinc/17/91/22/698179122.db2.gz WBRBYIXPRCREKI-CQSZACIVSA-N 1 2 301.390 1.132 20 30 DDEDLO Cc1ncc(C(=O)N2C[C@H]([NH2+]Cc3ccccc3C#N)C[C@H]2C)[nH]1 ZINC000988368015 698339324 /nfs/dbraw/zinc/33/93/24/698339324.db2.gz NGHGJNVNFJORGC-MLGOLLRUSA-N 1 2 323.400 1.983 20 30 DDEDLO Cc1ncc(C(=O)N2C[C@@H]([NH2+]Cc3ccccc3C#N)C[C@H]2C)[nH]1 ZINC000988368017 698339610 /nfs/dbraw/zinc/33/96/10/698339610.db2.gz NGHGJNVNFJORGC-WBMJQRKESA-N 1 2 323.400 1.983 20 30 DDEDLO CS(=O)(=O)CC1(CO[NH+]=C(N)c2ccc(F)cc2)CC1 ZINC000778588971 698350109 /nfs/dbraw/zinc/35/01/09/698350109.db2.gz MEIRNQZZMPPUQY-UHFFFAOYSA-N 1 2 300.355 1.287 20 30 DDEDLO C[C@H]1C[C@@H]([NH2+]Cc2csnn2)CN1C(=O)c1cc(C#N)c[nH]1 ZINC000988504916 698393002 /nfs/dbraw/zinc/39/30/02/698393002.db2.gz ZZAWESKQHZARFZ-GXSJLCMTSA-N 1 2 316.390 1.131 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(CNC(=O)C(F)(F)F)CC1 ZINC000800383900 700220331 /nfs/dbraw/zinc/22/03/31/700220331.db2.gz HTQZXVDLTACVDQ-JTQLQIEISA-N 1 2 308.300 1.105 20 30 DDEDLO C[C@H]1C[C@@H]([NH2+]Cc2csnn2)CN1C(=O)c1c[nH]c(C#N)c1 ZINC000988619536 698421088 /nfs/dbraw/zinc/42/10/88/698421088.db2.gz GBMSRGPAGPGGKD-JOYOIKCWSA-N 1 2 316.390 1.131 20 30 DDEDLO CC(=NNC1=[NH+]C[C@H](C)N1)c1ccc(-n2cncn2)cc1Cl ZINC000779805576 698469880 /nfs/dbraw/zinc/46/98/80/698469880.db2.gz PNWDSXJKTTZPLT-VIFPVBQESA-N 1 2 317.784 1.582 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2C[C@H](C)N(C(=O)C#CC3CC3)C2)o1 ZINC000988828866 698478251 /nfs/dbraw/zinc/47/82/51/698478251.db2.gz YFKDVBGETZMHKO-GXTWGEPZSA-N 1 2 316.405 1.685 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3cnc(C)o3)CC2)nc1 ZINC000989512608 698652431 /nfs/dbraw/zinc/65/24/31/698652431.db2.gz PHTKVRBNTIONQE-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3cnc(C)o3)CC2)nc1 ZINC000989512608 698652433 /nfs/dbraw/zinc/65/24/33/698652433.db2.gz PHTKVRBNTIONQE-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO CCc1nnc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)s1 ZINC000784053070 698905838 /nfs/dbraw/zinc/90/58/38/698905838.db2.gz IDLYJDRADDRQFT-UHFFFAOYSA-N 1 2 304.375 1.873 20 30 DDEDLO C#CCOCCNC(=O)[C@@H](c1ccccc1)[NH+]1CCSCC1 ZINC000784603840 699030427 /nfs/dbraw/zinc/03/04/27/699030427.db2.gz MHWKXLUFPJJCRF-MRXNPFEDSA-N 1 2 318.442 1.543 20 30 DDEDLO COc1ccc(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)cc1C#N ZINC000787137001 699193230 /nfs/dbraw/zinc/19/32/30/699193230.db2.gz YGFQZEPSAJKXBO-ZDUSSCGKSA-N 1 2 310.357 1.642 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CCC[C@@H]3COCC[C@@H]32)c1=S ZINC000794632767 699820115 /nfs/dbraw/zinc/82/01/15/699820115.db2.gz RZRDUTLHTKIILT-NEPJUHHUSA-N 1 2 307.423 1.476 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CCC[C@@H]3COCC[C@@H]32)c1=S ZINC000794632767 699820119 /nfs/dbraw/zinc/82/01/19/699820119.db2.gz RZRDUTLHTKIILT-NEPJUHHUSA-N 1 2 307.423 1.476 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=S)Nc2ccccc2C#N)CCO1 ZINC000751014699 700265096 /nfs/dbraw/zinc/26/50/96/700265096.db2.gz LGOMQLACJMQFSQ-LBPRGKRZSA-N 1 2 304.419 1.565 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=S)Nc2ccccc2C#N)CCO1 ZINC000751014699 700265101 /nfs/dbraw/zinc/26/51/01/700265101.db2.gz LGOMQLACJMQFSQ-LBPRGKRZSA-N 1 2 304.419 1.565 20 30 DDEDLO CC(C)[C@@H](C(=O)Nc1cnn(CC#N)c1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000751397126 700285480 /nfs/dbraw/zinc/28/54/80/700285480.db2.gz NYWROYGPZMQPDX-GUTXKFCHSA-N 1 2 319.409 1.479 20 30 DDEDLO CC(C)[C@@H](C(=O)Nc1cnn(CC#N)c1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000751397126 700285482 /nfs/dbraw/zinc/28/54/82/700285482.db2.gz NYWROYGPZMQPDX-GUTXKFCHSA-N 1 2 319.409 1.479 20 30 DDEDLO O=[N+]([O-])c1ccc(O)cc1C=NNC1=[NH+][C@@H]2CCCC[C@H]2N1 ZINC000753937125 700468901 /nfs/dbraw/zinc/46/89/01/700468901.db2.gz YQIORTUQKBQESU-VXGBXAGGSA-N 1 2 303.322 1.494 20 30 DDEDLO CC(=[NH+]NCCS(C)(=O)=O)c1cc(Cl)c(N)c(Cl)c1 ZINC000754704937 700521098 /nfs/dbraw/zinc/52/10/98/700521098.db2.gz JUYVHTQHJORGFS-UHFFFAOYSA-N 1 2 324.233 1.934 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@]2(CCOC2)C1 ZINC000756903181 700648345 /nfs/dbraw/zinc/64/83/45/700648345.db2.gz MKYKGDKLSOEPQF-NVXWUHKLSA-N 1 2 300.358 1.885 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@]2(CCOC2)C1 ZINC000756903181 700648346 /nfs/dbraw/zinc/64/83/46/700648346.db2.gz MKYKGDKLSOEPQF-NVXWUHKLSA-N 1 2 300.358 1.885 20 30 DDEDLO N#Cc1cccn(C[N@H+]2CC[C@@](O)(c3ccc(F)cc3)C2)c1=O ZINC000758246186 700694093 /nfs/dbraw/zinc/69/40/93/700694093.db2.gz CQKOIIKBDAPRPJ-KRWDZBQOSA-N 1 2 313.332 1.410 20 30 DDEDLO N#Cc1cccn(C[N@@H+]2CC[C@@](O)(c3ccc(F)cc3)C2)c1=O ZINC000758246186 700694095 /nfs/dbraw/zinc/69/40/95/700694095.db2.gz CQKOIIKBDAPRPJ-KRWDZBQOSA-N 1 2 313.332 1.410 20 30 DDEDLO COCC#CCON=C(N)c1cccc(C[NH+]2CCOCC2)c1 ZINC000764211366 700964384 /nfs/dbraw/zinc/96/43/84/700964384.db2.gz LZZUKGXZCVOJDD-UHFFFAOYSA-N 1 2 317.389 1.015 20 30 DDEDLO NC(=[NH+]OCC(=O)N1CCOC[C@H]1C1CC1)c1ccc(F)cc1 ZINC000765530056 701018489 /nfs/dbraw/zinc/01/84/89/701018489.db2.gz PDSMWQXEHPHBNE-AWEZNQCLSA-N 1 2 321.352 1.100 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NC1C[C@H](C)[N@H+](C)C1 ZINC000767394873 701103005 /nfs/dbraw/zinc/10/30/05/701103005.db2.gz TWGNTKVYTYFUBR-JTQLQIEISA-N 1 2 311.407 1.591 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NC1C[C@H](C)[N@@H+](C)C1 ZINC000767394873 701103008 /nfs/dbraw/zinc/10/30/08/701103008.db2.gz TWGNTKVYTYFUBR-JTQLQIEISA-N 1 2 311.407 1.591 20 30 DDEDLO C#CCOc1ccc([C@H](C)[NH2+]Cc2nnnn2CC2CC2)cc1 ZINC000769984940 701263295 /nfs/dbraw/zinc/26/32/95/701263295.db2.gz FFJVDAWXSIZIMK-ZDUSSCGKSA-N 1 2 311.389 1.946 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnc(NCC=C)s2)CC1 ZINC000771004498 701303482 /nfs/dbraw/zinc/30/34/82/701303482.db2.gz IFQNHOZCCPRSLQ-UHFFFAOYSA-N 1 2 304.419 1.522 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCc2ccc(OCC#N)cc2)C1 ZINC000805468240 701383176 /nfs/dbraw/zinc/38/31/76/701383176.db2.gz DOLRTLQHQCEBTE-OAHLLOKOSA-N 1 2 304.346 1.353 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCc2ccc(OCC#N)cc2)C1 ZINC000805468240 701383178 /nfs/dbraw/zinc/38/31/78/701383178.db2.gz DOLRTLQHQCEBTE-OAHLLOKOSA-N 1 2 304.346 1.353 20 30 DDEDLO CCOC(C[NH+]1CCN(c2snc(C)c2C#N)CC1)OCC ZINC000810065230 701720431 /nfs/dbraw/zinc/72/04/31/701720431.db2.gz NBENZWYTPQOYGI-UHFFFAOYSA-N 1 2 324.450 1.844 20 30 DDEDLO N#Cc1cccnc1NCCNC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000810232148 701745421 /nfs/dbraw/zinc/74/54/21/701745421.db2.gz VSYZHOMIXFILKQ-UHFFFAOYSA-N 1 2 321.344 1.835 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCC[C@@H](c3nc(C)no3)C2)C1=O ZINC000839974107 701885615 /nfs/dbraw/zinc/88/56/15/701885615.db2.gz JDJQPSHLHJBAOQ-CYBMUJFWSA-N 1 2 305.382 1.439 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCC[C@@H](c3nc(C)no3)C2)C1=O ZINC000839974107 701885617 /nfs/dbraw/zinc/88/56/17/701885617.db2.gz JDJQPSHLHJBAOQ-CYBMUJFWSA-N 1 2 305.382 1.439 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CCC[C@@H]2[C@H]2CCC[C@@H]2O)c1=S ZINC000840117339 701977473 /nfs/dbraw/zinc/97/74/73/701977473.db2.gz AVXMYUHKFURBCW-UPJWGTAASA-N 1 2 309.439 1.578 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CCC[C@@H]2[C@H]2CCC[C@@H]2O)c1=S ZINC000840117339 701977479 /nfs/dbraw/zinc/97/74/79/701977479.db2.gz AVXMYUHKFURBCW-UPJWGTAASA-N 1 2 309.439 1.578 20 30 DDEDLO Cn1ncc(CN(CCC#N)CC[NH+]2CCOCC2)c1Cl ZINC000816477776 702092160 /nfs/dbraw/zinc/09/21/60/702092160.db2.gz JXYJXPFSVPEZMN-UHFFFAOYSA-N 1 2 311.817 1.121 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)[C@H](c1ccccc1)[NH+]1CCSCC1 ZINC000816672060 702141269 /nfs/dbraw/zinc/14/12/69/702141269.db2.gz OUDKUYRIPZFLJW-HOTGVXAUSA-N 1 2 318.442 1.277 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)OC(=O)[C@@H]1C[C@H]1c1cccc(C#N)c1 ZINC000840605171 702170248 /nfs/dbraw/zinc/17/02/48/702170248.db2.gz JRMLXVQSFWRRCF-XOKHGSTOSA-N 1 2 314.385 1.926 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCCN(S(C)(=O)=O)C1)c1cccc(C#N)c1O ZINC000866250043 706657780 /nfs/dbraw/zinc/65/77/80/706657780.db2.gz SPQHFNYLSSAHBC-YPMHNXCESA-N 1 2 323.418 1.338 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])[C@@H]1CCCCNC1=O ZINC000844294245 703006895 /nfs/dbraw/zinc/00/68/95/703006895.db2.gz SPNHPFOXNMHICJ-CYBMUJFWSA-N 1 2 302.334 1.567 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])[C@@H]1CCCCNC1=O ZINC000844294245 703006897 /nfs/dbraw/zinc/00/68/97/703006897.db2.gz SPNHPFOXNMHICJ-CYBMUJFWSA-N 1 2 302.334 1.567 20 30 DDEDLO COC(C[N@H+](CCCO)Cc1ccc(C#N)cc1[N+](=O)[O-])OC ZINC000844317632 703011994 /nfs/dbraw/zinc/01/19/94/703011994.db2.gz UGMZCYLMFYSERG-UHFFFAOYSA-N 1 2 323.349 1.270 20 30 DDEDLO COC(C[N@@H+](CCCO)Cc1ccc(C#N)cc1[N+](=O)[O-])OC ZINC000844317632 703011997 /nfs/dbraw/zinc/01/19/97/703011997.db2.gz UGMZCYLMFYSERG-UHFFFAOYSA-N 1 2 323.349 1.270 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccc(OCC#N)cc2)[C@@H]2COC[C@H]2O1 ZINC000844468964 703035902 /nfs/dbraw/zinc/03/59/02/703035902.db2.gz CBAMEUXYRBAHEK-HZPDHXFCSA-N 1 2 302.374 1.967 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccc(OCC#N)cc2)[C@@H]2COC[C@H]2O1 ZINC000844468964 703035905 /nfs/dbraw/zinc/03/59/05/703035905.db2.gz CBAMEUXYRBAHEK-HZPDHXFCSA-N 1 2 302.374 1.967 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)Cc2ccc(C#N)cc2)CC1 ZINC000845117827 703112271 /nfs/dbraw/zinc/11/22/71/703112271.db2.gz XVDZTBGMMHMOGR-UHFFFAOYSA-N 1 2 324.380 1.558 20 30 DDEDLO C[C@H](CC(=O)O[C@H](C(N)=O)c1ccc(C#N)cc1)n1cc[nH+]c1 ZINC000845280700 703138193 /nfs/dbraw/zinc/13/81/93/703138193.db2.gz CSWANCOCTCXSFE-ABAIWWIYSA-N 1 2 312.329 1.476 20 30 DDEDLO C=CCCOC(=O)[C@@H](C)OC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845589029 703178837 /nfs/dbraw/zinc/17/88/37/703178837.db2.gz HUZGPMHVOSABOM-GFCCVEGCSA-N 1 2 306.362 1.813 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2C[C@H](C)O[C@H](C(=O)OC)C2)cc1 ZINC000846059968 703231620 /nfs/dbraw/zinc/23/16/20/703231620.db2.gz QAWPPPHUASXQRS-YOEHRIQHSA-N 1 2 317.385 1.851 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2C[C@H](C)O[C@H](C(=O)OC)C2)cc1 ZINC000846059968 703231622 /nfs/dbraw/zinc/23/16/22/703231622.db2.gz QAWPPPHUASXQRS-YOEHRIQHSA-N 1 2 317.385 1.851 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000846328359 703265066 /nfs/dbraw/zinc/26/50/66/703265066.db2.gz HJKYNEVKCGKOBL-JKSUJKDBSA-N 1 2 324.384 1.509 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC000846328305 703265083 /nfs/dbraw/zinc/26/50/83/703265083.db2.gz HXQHIIDGUUOGHO-AWEZNQCLSA-N 1 2 312.373 1.751 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[C@H](Nc2cccc[nH+]2)CC1 ZINC000846655621 703309140 /nfs/dbraw/zinc/30/91/40/703309140.db2.gz RAJUFJFKLBBMCX-RBSFLKMASA-N 1 2 301.390 1.959 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2ccc(F)c3cccnc23)CC1 ZINC000846955969 703357119 /nfs/dbraw/zinc/35/71/19/703357119.db2.gz QWQCBCPBRQYWRY-UHFFFAOYSA-N 1 2 312.348 1.932 20 30 DDEDLO C[C@H](CNC(=O)C#Cc1cccs1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000848292461 703536754 /nfs/dbraw/zinc/53/67/54/703536754.db2.gz IIZYLRUAYQVMDG-MCIONIFRSA-N 1 2 306.431 1.714 20 30 DDEDLO C[C@H](CNC(=O)C#Cc1cccs1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000848292461 703536755 /nfs/dbraw/zinc/53/67/55/703536755.db2.gz IIZYLRUAYQVMDG-MCIONIFRSA-N 1 2 306.431 1.714 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)CCc3c[nH]c[nH+]3)CC2)cc1 ZINC000870095487 703915406 /nfs/dbraw/zinc/91/54/06/703915406.db2.gz YJRLAPDTEQECBE-UHFFFAOYSA-N 1 2 309.373 1.563 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)CCc3c[nH+]c[nH]3)CC2)cc1 ZINC000870095487 703915408 /nfs/dbraw/zinc/91/54/08/703915408.db2.gz YJRLAPDTEQECBE-UHFFFAOYSA-N 1 2 309.373 1.563 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000870158612 703938078 /nfs/dbraw/zinc/93/80/78/703938078.db2.gz LUDPVUCYAHIVJJ-QGZVFWFLSA-N 1 2 304.390 1.276 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC000852752042 704114152 /nfs/dbraw/zinc/11/41/52/704114152.db2.gz MIXHXVRSSXUWME-UHFFFAOYSA-N 1 2 319.361 1.813 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC000852752042 704114156 /nfs/dbraw/zinc/11/41/56/704114156.db2.gz MIXHXVRSSXUWME-UHFFFAOYSA-N 1 2 319.361 1.813 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000820020579 704204963 /nfs/dbraw/zinc/20/49/63/704204963.db2.gz CLMUMOFWQKWMSN-CVEARBPZSA-N 1 2 311.385 1.822 20 30 DDEDLO C#CCCN(CCOC)C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000853404308 704238595 /nfs/dbraw/zinc/23/85/95/704238595.db2.gz KJTNINVNZNWQDN-UHFFFAOYSA-N 1 2 318.421 1.443 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C2(CCOCC2)[C@H]1C1CC1)[C@H](C)COC ZINC000853533737 704261205 /nfs/dbraw/zinc/26/12/05/704261205.db2.gz BXUBNVGCAGPDHU-GDBMZVCRSA-N 1 2 322.449 1.884 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C2(CCOCC2)[C@H]1C1CC1)[C@H](C)COC ZINC000853533737 704261206 /nfs/dbraw/zinc/26/12/06/704261206.db2.gz BXUBNVGCAGPDHU-GDBMZVCRSA-N 1 2 322.449 1.884 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)N[C@](C(C)C)(C2CC2)C1=O ZINC000853621144 704288060 /nfs/dbraw/zinc/28/80/60/704288060.db2.gz QZYJTCVAJDZIQK-QGZVFWFLSA-N 1 2 321.421 1.272 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)N[C@](C(C)C)(C2CC2)C1=O ZINC000853621144 704288062 /nfs/dbraw/zinc/28/80/62/704288062.db2.gz QZYJTCVAJDZIQK-QGZVFWFLSA-N 1 2 321.421 1.272 20 30 DDEDLO CCCn1ncnc1CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000853680084 704298313 /nfs/dbraw/zinc/29/83/13/704298313.db2.gz WNVREEMFHDJTLP-UHFFFAOYSA-N 1 2 301.350 1.460 20 30 DDEDLO C=CCN1C(=O)/C(=C/c2c[nH+]c(N(C)C)n2C)SC1=S ZINC000821028147 704361543 /nfs/dbraw/zinc/36/15/43/704361543.db2.gz PJNANHMFSWDYAM-YFHOEESVSA-N 1 2 308.432 1.873 20 30 DDEDLO CN(C)c1[nH+]cc(/C=C2\S/C(=N/C3CCCC3)NC2=O)n1C ZINC000821032381 704362153 /nfs/dbraw/zinc/36/21/53/704362153.db2.gz SXJHWRWJHSLJJP-WQLSENKSSA-N 1 2 319.434 1.989 20 30 DDEDLO C#CCONC(=O)CC[NH+]1CCN(c2cccc(C)c2)CC1 ZINC000855606240 704493279 /nfs/dbraw/zinc/49/32/79/704493279.db2.gz DRCGJEPIXPZTED-UHFFFAOYSA-N 1 2 301.390 1.188 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CO)c1ccc(F)cc1F ZINC000856274332 704521066 /nfs/dbraw/zinc/52/10/66/704521066.db2.gz FOKWVVZYTRTMGW-GJZGRUSLSA-N 1 2 308.328 1.212 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CO)c1ccc(F)cc1F ZINC000856274332 704521067 /nfs/dbraw/zinc/52/10/67/704521067.db2.gz FOKWVVZYTRTMGW-GJZGRUSLSA-N 1 2 308.328 1.212 20 30 DDEDLO Cn1cc(C[NH2+]Cc2ccc(C#N)cn2)c(Br)n1 ZINC000858158998 704671835 /nfs/dbraw/zinc/67/18/35/704671835.db2.gz UWAJOCWKBPBXSH-UHFFFAOYSA-N 1 2 306.167 1.739 20 30 DDEDLO C#CC[C@H](COC)NC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000858265081 704686798 /nfs/dbraw/zinc/68/67/98/704686798.db2.gz KLTGDAAMRZMKIU-OAHLLOKOSA-N 1 2 314.389 1.523 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000858858638 704765076 /nfs/dbraw/zinc/76/50/76/704765076.db2.gz NEQKXMVZOXJQGU-RRFJBIMHSA-N 1 2 318.421 1.524 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000858858638 704765078 /nfs/dbraw/zinc/76/50/78/704765078.db2.gz NEQKXMVZOXJQGU-RRFJBIMHSA-N 1 2 318.421 1.524 20 30 DDEDLO C#CCCOC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000858888763 704768606 /nfs/dbraw/zinc/76/86/06/704768606.db2.gz TWWCETXKHGWDGN-MRXNPFEDSA-N 1 2 302.374 1.637 20 30 DDEDLO C#CCCOC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000858888763 704768607 /nfs/dbraw/zinc/76/86/07/704768607.db2.gz TWWCETXKHGWDGN-MRXNPFEDSA-N 1 2 302.374 1.637 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1cccc(CC#N)c1 ZINC000874701106 705133929 /nfs/dbraw/zinc/13/39/29/705133929.db2.gz QRIXYHKYMRWZCT-MRXNPFEDSA-N 1 2 301.390 1.593 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1cccc(CC#N)c1 ZINC000874701106 705133934 /nfs/dbraw/zinc/13/39/34/705133934.db2.gz QRIXYHKYMRWZCT-MRXNPFEDSA-N 1 2 301.390 1.593 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000875920075 705559822 /nfs/dbraw/zinc/55/98/22/705559822.db2.gz VMHAZFKLJPIESI-ZIAGYGMSSA-N 1 2 304.394 1.727 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000825079917 705596224 /nfs/dbraw/zinc/59/62/24/705596224.db2.gz ALRYOJCPLDTXBV-RDJZCZTQSA-N 1 2 316.401 1.424 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000825079917 705596226 /nfs/dbraw/zinc/59/62/26/705596226.db2.gz ALRYOJCPLDTXBV-RDJZCZTQSA-N 1 2 316.401 1.424 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000826551742 705811989 /nfs/dbraw/zinc/81/19/89/705811989.db2.gz OTZVWTQEDDXJTM-IRXDYDNUSA-N 1 2 315.417 1.862 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000826551742 705811991 /nfs/dbraw/zinc/81/19/91/705811991.db2.gz OTZVWTQEDDXJTM-IRXDYDNUSA-N 1 2 315.417 1.862 20 30 DDEDLO Cc1cc(C[C@H](C)NC(=O)[C@@H]2[NH2+]CCc3cc(C#N)ccc32)n[nH]1 ZINC000876881515 705905911 /nfs/dbraw/zinc/90/59/11/705905911.db2.gz ISGXFZLAYIHPTI-APPDUMDISA-N 1 2 323.400 1.524 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[NH2+]C[C@H]2C(F)F)c(C#N)c1 ZINC000871806693 707211830 /nfs/dbraw/zinc/21/18/30/707211830.db2.gz GBSMYEZVSRECFC-NSHDSACASA-N 1 2 315.345 1.094 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N1CC[NH2+][C@@H](c2cnn(C)c2)C1 ZINC000827911009 706086692 /nfs/dbraw/zinc/08/66/92/706086692.db2.gz OZAYWXDKKHACPV-MRXNPFEDSA-N 1 2 309.373 1.387 20 30 DDEDLO C=C(C)[C@H](CO)[N@H+]1CCO[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000828052836 706109955 /nfs/dbraw/zinc/10/99/55/706109955.db2.gz LRNVZTIPXYSWQY-IHRRRGAJSA-N 1 2 314.426 1.537 20 30 DDEDLO C=C(C)[C@H](CO)[N@@H+]1CCO[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000828052836 706109956 /nfs/dbraw/zinc/10/99/56/706109956.db2.gz LRNVZTIPXYSWQY-IHRRRGAJSA-N 1 2 314.426 1.537 20 30 DDEDLO C=CCOC[C@H]([NH2+]C1CCC(CNC(C)=O)CC1)C(=O)OC ZINC000877494803 706122902 /nfs/dbraw/zinc/12/29/02/706122902.db2.gz OBQIUPBSTSDGRT-NRXISQOPSA-N 1 2 312.410 1.015 20 30 DDEDLO C=CCOC[C@@H](NCc1[nH+]cc(C)c(OC)c1C)C(=O)OC ZINC000877495513 706123112 /nfs/dbraw/zinc/12/31/12/706123112.db2.gz XUHCZGGEDNNUBG-CQSZACIVSA-N 1 2 308.378 1.541 20 30 DDEDLO C#C[C@@H](CO)NC(=O)Nc1nc(C[N@H+]2CCC[C@@H](C)C2)cs1 ZINC000878011975 706263867 /nfs/dbraw/zinc/26/38/67/706263867.db2.gz DTUVKKPEQOFOND-NEPJUHHUSA-N 1 2 322.434 1.491 20 30 DDEDLO C#C[C@@H](CO)NC(=O)Nc1nc(C[N@@H+]2CCC[C@@H](C)C2)cs1 ZINC000878011975 706263871 /nfs/dbraw/zinc/26/38/71/706263871.db2.gz DTUVKKPEQOFOND-NEPJUHHUSA-N 1 2 322.434 1.491 20 30 DDEDLO C=CC[C@@H](C(=O)OC)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000878130264 706302496 /nfs/dbraw/zinc/30/24/96/706302496.db2.gz HBLLWZPXPZYMGC-HOCLYGCPSA-N 1 2 303.406 1.878 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)/C=C\C[NH+]1CCOCC1)C2 ZINC000829485939 706343456 /nfs/dbraw/zinc/34/34/56/706343456.db2.gz NBDDVJXQCJRTRS-NLVOMGJBSA-N 1 2 311.385 1.030 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(=O)c3ccccc3n2C)CC1 ZINC000865085398 706345221 /nfs/dbraw/zinc/34/52/21/706345221.db2.gz MWPASHOAIGBMIS-UHFFFAOYSA-N 1 2 323.396 1.320 20 30 DDEDLO C[C@@H](CC#N)C(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000829908280 706417653 /nfs/dbraw/zinc/41/76/53/706417653.db2.gz NSTKESLPYWPQBD-QWHCGFSZSA-N 1 2 324.425 1.203 20 30 DDEDLO C[C@@H](CC#N)C(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000829908280 706417654 /nfs/dbraw/zinc/41/76/54/706417654.db2.gz NSTKESLPYWPQBD-QWHCGFSZSA-N 1 2 324.425 1.203 20 30 DDEDLO CCOC(=O)c1cccc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)n1 ZINC000878771381 706495401 /nfs/dbraw/zinc/49/54/01/706495401.db2.gz JXOCHNITWCEVFM-ZIAGYGMSSA-N 1 2 316.405 1.676 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000878817104 706507675 /nfs/dbraw/zinc/50/76/75/706507675.db2.gz ABDUPRFHNVHSFC-CYBMUJFWSA-N 1 2 302.378 1.353 20 30 DDEDLO Cc1ccc(CNC(=O)N(C)CC[NH+]2CCOCC2)cc1C#N ZINC000926859783 712913766 /nfs/dbraw/zinc/91/37/66/712913766.db2.gz WXMARUDPOGQHDJ-UHFFFAOYSA-N 1 2 316.405 1.340 20 30 DDEDLO N#Cc1c(C[N@H+]2CCC[C@H]3CCNC(=O)[C@H]32)cn2ccccc12 ZINC000880532259 707007419 /nfs/dbraw/zinc/00/74/19/707007419.db2.gz PEPBSDLPLKVESH-GUYCJALGSA-N 1 2 308.385 1.911 20 30 DDEDLO N#Cc1c(C[N@@H+]2CCC[C@H]3CCNC(=O)[C@H]32)cn2ccccc12 ZINC000880532259 707007420 /nfs/dbraw/zinc/00/74/20/707007420.db2.gz PEPBSDLPLKVESH-GUYCJALGSA-N 1 2 308.385 1.911 20 30 DDEDLO C#CC1CCN(C(=O)C(=O)Nc2ccc(C)[nH+]c2N(C)C)CC1 ZINC000834303624 707025971 /nfs/dbraw/zinc/02/59/71/707025971.db2.gz JNIQKLHDAYGQOQ-UHFFFAOYSA-N 1 2 314.389 1.266 20 30 DDEDLO C#CC1CCN(C(=O)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000834309582 707027551 /nfs/dbraw/zinc/02/75/51/707027551.db2.gz MIJXEACASIUJET-UHFFFAOYSA-N 1 2 322.368 1.683 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2cccc(CC#N)n2)[C@@H](C)CO1 ZINC000881141618 707134747 /nfs/dbraw/zinc/13/47/47/707134747.db2.gz ANSKRBJPYUTIIQ-STQMWFEESA-N 1 2 317.393 1.378 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2cccc(CC#N)n2)[C@@H](C)CO1 ZINC000881141618 707134749 /nfs/dbraw/zinc/13/47/49/707134749.db2.gz ANSKRBJPYUTIIQ-STQMWFEESA-N 1 2 317.393 1.378 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C[C@H](c2ccccc2)[C@@H](C(=O)OC)C1=O ZINC000872601319 707506250 /nfs/dbraw/zinc/50/62/50/707506250.db2.gz OWJBKRGGGRFTEA-NUEKZKHPSA-N 1 2 314.385 1.313 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C[C@H](c2ccccc2)[C@@H](C(=O)OC)C1=O ZINC000872601319 707506252 /nfs/dbraw/zinc/50/62/52/707506252.db2.gz OWJBKRGGGRFTEA-NUEKZKHPSA-N 1 2 314.385 1.313 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1cccc(Cl)c1 ZINC000884054311 708119895 /nfs/dbraw/zinc/11/98/95/708119895.db2.gz ZCYVYAVCKTWUFC-ZDUSSCGKSA-N 1 2 310.781 1.745 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H](C)c1ccc(OC)cc1 ZINC000884067274 708124427 /nfs/dbraw/zinc/12/44/27/708124427.db2.gz BIGFBOWDVBNTSF-DOMZBBRYSA-N 1 2 320.389 1.661 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H](C)c1ccc(C)cc1 ZINC000884076440 708128926 /nfs/dbraw/zinc/12/89/26/708128926.db2.gz DGRKSAZMZRIWNN-HIFRSBDPSA-N 1 2 304.390 1.961 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc(OCC=C)c1 ZINC000884101568 708139698 /nfs/dbraw/zinc/13/96/98/708139698.db2.gz CGTYCSMWVIJCRM-AWEZNQCLSA-N 1 2 304.346 1.637 20 30 DDEDLO C/C(=C\C(=O)NCc1ccc(C#N)c(F)c1)C[NH+]1CCOCC1 ZINC000909392069 713006813 /nfs/dbraw/zinc/00/68/13/713006813.db2.gz YYDICFRCLDBMTH-MDWZMJQESA-N 1 2 317.364 1.592 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC2(CC2)c2ccccc21 ZINC000884143456 708158987 /nfs/dbraw/zinc/15/89/87/708158987.db2.gz ICBIPYQQISWXST-ZDUSSCGKSA-N 1 2 300.358 1.511 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1CCC2(CC2(F)F)CC1 ZINC000884411186 708285987 /nfs/dbraw/zinc/28/59/87/708285987.db2.gz FPQGVTCODVJFEZ-CVZZAPKMSA-N 1 2 316.348 1.517 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)C[C@@H]2CCCC2(F)F)C1 ZINC000885509157 708562104 /nfs/dbraw/zinc/56/21/04/708562104.db2.gz POUDOZIXOGPOMZ-QWHCGFSZSA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)C[C@@H]2CCCC2(F)F)C1 ZINC000885509157 708562106 /nfs/dbraw/zinc/56/21/06/708562106.db2.gz POUDOZIXOGPOMZ-QWHCGFSZSA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)N(CCC)CCC)C1 ZINC000885513883 708563329 /nfs/dbraw/zinc/56/33/29/708563329.db2.gz ITQNQXPJGXUWEF-CQSZACIVSA-N 1 2 301.456 1.040 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)N(CCC)CCC)C1 ZINC000885513883 708563331 /nfs/dbraw/zinc/56/33/31/708563331.db2.gz ITQNQXPJGXUWEF-CQSZACIVSA-N 1 2 301.456 1.040 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(CC)cc2)C1 ZINC000885513838 708563534 /nfs/dbraw/zinc/56/35/34/708563534.db2.gz HWVUYMLGRSXMPU-HNNXBMFYSA-N 1 2 306.431 1.625 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(CC)cc2)C1 ZINC000885513838 708563536 /nfs/dbraw/zinc/56/35/36/708563536.db2.gz HWVUYMLGRSXMPU-HNNXBMFYSA-N 1 2 306.431 1.625 20 30 DDEDLO C#CC1(O)CCN(C(=O)c2ccc(Cn3cc[nH+]c3)cc2)CC1 ZINC000899112665 708988867 /nfs/dbraw/zinc/98/88/67/708988867.db2.gz LKDRYWUZHJMZDA-UHFFFAOYSA-N 1 2 309.369 1.532 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccsc2C)C1 ZINC000891603427 710246014 /nfs/dbraw/zinc/24/60/14/710246014.db2.gz PDSQMERPGKNVSC-LBPRGKRZSA-N 1 2 305.403 1.209 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccsc2C)C1 ZINC000891603427 710246015 /nfs/dbraw/zinc/24/60/15/710246015.db2.gz PDSQMERPGKNVSC-LBPRGKRZSA-N 1 2 305.403 1.209 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccccc2Cl)C1 ZINC000891605289 710246223 /nfs/dbraw/zinc/24/62/23/710246223.db2.gz BKQXGSJDNAUURZ-LBPRGKRZSA-N 1 2 319.792 1.492 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccccc2Cl)C1 ZINC000891605289 710246226 /nfs/dbraw/zinc/24/62/26/710246226.db2.gz BKQXGSJDNAUURZ-LBPRGKRZSA-N 1 2 319.792 1.492 20 30 DDEDLO C=CCCC[C@@H](NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)OC ZINC000928318760 713174509 /nfs/dbraw/zinc/17/45/09/713174509.db2.gz XTHRSWVMIIIREM-CHWSQXEVSA-N 1 2 314.451 1.438 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@H]2CCC3(C[C@@H]2F)OCCO3)n1 ZINC000894109595 710971329 /nfs/dbraw/zinc/97/13/29/710971329.db2.gz RSXXWZHTFYZOMA-HOTGVXAUSA-N 1 2 321.396 1.972 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@H]2CCC3(C[C@@H]2F)OCCO3)n1 ZINC000894109595 710971331 /nfs/dbraw/zinc/97/13/31/710971331.db2.gz RSXXWZHTFYZOMA-HOTGVXAUSA-N 1 2 321.396 1.972 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)c1 ZINC000913452965 713222450 /nfs/dbraw/zinc/22/24/50/713222450.db2.gz HHYASCCFSXXFPP-MRXNPFEDSA-N 1 2 324.388 1.134 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)Cc3ccccc3CC#N)CC[NH2+]2)cn1 ZINC000913463289 713227042 /nfs/dbraw/zinc/22/70/42/713227042.db2.gz CRNGJQVXCBMKNU-KRWDZBQOSA-N 1 2 323.400 1.202 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)c3ccc(CC#N)cc3)CC[NH2+]2)cn1 ZINC000913464356 713227859 /nfs/dbraw/zinc/22/78/59/713227859.db2.gz RLJCCSCBDVSFST-MRXNPFEDSA-N 1 2 309.373 1.273 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)CCc3ccc(C#N)cc3)CC[NH2+]2)cn1 ZINC000913472946 713231080 /nfs/dbraw/zinc/23/10/80/713231080.db2.gz IQRFLNXFUBHIRE-KRWDZBQOSA-N 1 2 323.400 1.397 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)CCc1ccc(C#N)cc1 ZINC000928644586 713248079 /nfs/dbraw/zinc/24/80/79/713248079.db2.gz OYXXMRBPVXMDLW-KRWDZBQOSA-N 1 2 315.417 1.718 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2cc(C(F)(F)F)nn2C)CC1 ZINC000895669637 711573777 /nfs/dbraw/zinc/57/37/77/711573777.db2.gz DPLOYIZRIRVGHV-UHFFFAOYSA-N 1 2 301.312 1.789 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)Nc1ccc(C#N)cc1[N+](=O)[O-] ZINC000895798259 711608809 /nfs/dbraw/zinc/60/88/09/711608809.db2.gz DITIDHNBEGNJJM-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO C=CCN(CC=C)C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000905047070 711919931 /nfs/dbraw/zinc/91/99/31/711919931.db2.gz XUNJKXGJTIVLMX-UHFFFAOYSA-N 1 2 303.406 1.635 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CCCC(C)(F)F)CC1 ZINC000913969716 713326288 /nfs/dbraw/zinc/32/62/88/713326288.db2.gz BDFGSLDTVKGXIL-UHFFFAOYSA-N 1 2 308.394 1.393 20 30 DDEDLO CC1(C#N)CN(C(=O)c2ccc(NCCn3cc[nH+]c3)cc2)C1 ZINC000907928257 712650308 /nfs/dbraw/zinc/65/03/08/712650308.db2.gz ASAROSMFVZLETF-UHFFFAOYSA-N 1 2 309.373 1.981 20 30 DDEDLO C=CCNC(=S)N[NH+]=Cc1ccccc1N1CCO[C@@H](C)C1 ZINC000915943304 713436068 /nfs/dbraw/zinc/43/60/68/713436068.db2.gz YSCWBXYIQXUIEM-ZDUSSCGKSA-N 1 2 318.446 1.896 20 30 DDEDLO CN(CCC#N)c1ccc(C=[NH+]NC(=S)NC2CC2)cc1 ZINC000916281958 713449794 /nfs/dbraw/zinc/44/97/94/713449794.db2.gz COCZZSGTCIDFQL-UHFFFAOYSA-N 1 2 301.419 1.997 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)N[C@@H](CC#N)C(F)(F)F)[nH]n1 ZINC000928799344 713482866 /nfs/dbraw/zinc/48/28/66/713482866.db2.gz AZDVYNWSXJSSBY-QWRGUYRKSA-N 1 2 315.299 1.751 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)N[C@@H](CC#N)C(F)(F)F)[nH]n1 ZINC000928799344 713482867 /nfs/dbraw/zinc/48/28/67/713482867.db2.gz AZDVYNWSXJSSBY-QWRGUYRKSA-N 1 2 315.299 1.751 20 30 DDEDLO N#Cc1cncc(C[N@H+]2CCCNC(=O)[C@H]2Cc2ccccc2)c1 ZINC000930575583 713868990 /nfs/dbraw/zinc/86/89/90/713868990.db2.gz AZWRBUXSHLZLBI-GOSISDBHSA-N 1 2 320.396 1.886 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2CCCNC(=O)[C@H]2Cc2ccccc2)c1 ZINC000930575583 713868993 /nfs/dbraw/zinc/86/89/93/713868993.db2.gz AZWRBUXSHLZLBI-GOSISDBHSA-N 1 2 320.396 1.886 20 30 DDEDLO CC[C@](O)(C[NH+]1CCC(C#N)(C(=O)OC)CC1)C(F)(F)F ZINC000930640202 713884637 /nfs/dbraw/zinc/88/46/37/713884637.db2.gz UAZNVSBFDMXNNN-LBPRGKRZSA-N 1 2 308.300 1.469 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C(=O)NC2CC2)c2ccc(F)cc2)CC1 ZINC000931146079 714015569 /nfs/dbraw/zinc/01/55/69/714015569.db2.gz JVELMQFCBITBJC-INIZCTEOSA-N 1 2 316.376 1.606 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C[NH2+]Cc1nnc2c(=O)[nH]ccn12 ZINC000922341459 714024756 /nfs/dbraw/zinc/02/47/56/714024756.db2.gz QJVAIAOKHAXYLQ-UHFFFAOYSA-N 1 2 308.345 1.196 20 30 DDEDLO CC(C)(C)OC(=O)N[C@@H]1C[N@H+](CC(=O)NCCC#N)CC1(C)C ZINC000931991723 714227128 /nfs/dbraw/zinc/22/71/28/714227128.db2.gz OALJNKNFQXZYJX-GFCCVEGCSA-N 1 2 324.425 1.251 20 30 DDEDLO CC(C)(C)OC(=O)N[C@@H]1C[N@@H+](CC(=O)NCCC#N)CC1(C)C ZINC000931991723 714227132 /nfs/dbraw/zinc/22/71/32/714227132.db2.gz OALJNKNFQXZYJX-GFCCVEGCSA-N 1 2 324.425 1.251 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@](C)(O)[C@H]([N@@H+]2CCC[C@H](C#N)C2)C1 ZINC000932154570 714272921 /nfs/dbraw/zinc/27/29/21/714272921.db2.gz LAXNYQWBKIHUJI-CPUCHLNUSA-N 1 2 323.437 1.982 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@](C)(O)[C@H]([N@H+]2CCC[C@H](C#N)C2)C1 ZINC000932154570 714272923 /nfs/dbraw/zinc/27/29/23/714272923.db2.gz LAXNYQWBKIHUJI-CPUCHLNUSA-N 1 2 323.437 1.982 20 30 DDEDLO CN(CC(C)(C)C#N)C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000924781839 714662793 /nfs/dbraw/zinc/66/27/93/714662793.db2.gz ZKQQMVZKROQNPN-UHFFFAOYSA-N 1 2 309.439 1.896 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCCO1)[N@H+](C)Cc1cc(C#N)cs1 ZINC000933776138 714669336 /nfs/dbraw/zinc/66/93/36/714669336.db2.gz UADZBERIUOKVFG-YPMHNXCESA-N 1 2 307.419 1.735 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCCO1)[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933776138 714669337 /nfs/dbraw/zinc/66/93/37/714669337.db2.gz UADZBERIUOKVFG-YPMHNXCESA-N 1 2 307.419 1.735 20 30 DDEDLO C#Cc1ccc(NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)cc1 ZINC000934925090 714930791 /nfs/dbraw/zinc/93/07/91/714930791.db2.gz HGGXBJARLQRQED-KRWDZBQOSA-N 1 2 313.401 1.996 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000935060888 714966447 /nfs/dbraw/zinc/96/64/47/714966447.db2.gz OEXBHNZWBUIELO-AWEZNQCLSA-N 1 2 322.368 1.971 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000938386350 715822041 /nfs/dbraw/zinc/82/20/41/715822041.db2.gz VSCRBLLUTABSAE-ZDUSSCGKSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc(NC(C)=O)c2C)CC1 ZINC000957330878 715841949 /nfs/dbraw/zinc/84/19/49/715841949.db2.gz NTTLJXPAQCMXQS-UHFFFAOYSA-N 1 2 301.390 1.897 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@H]2COc3ccccc3O2)C1 ZINC000957355693 715856241 /nfs/dbraw/zinc/85/62/41/715856241.db2.gz WPGYTHIDGWLALP-KRWDZBQOSA-N 1 2 314.385 1.382 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)COc2ccccc2OCC)CC1 ZINC000957536545 715944857 /nfs/dbraw/zinc/94/48/57/715944857.db2.gz NFWNYXVVANPUHZ-UHFFFAOYSA-N 1 2 304.390 1.794 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2c(C)noc2Cl)CC1 ZINC000957867905 716207150 /nfs/dbraw/zinc/20/71/50/716207150.db2.gz SKFRQPKMEMCJFH-UHFFFAOYSA-N 1 2 309.797 1.737 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000939514206 716300160 /nfs/dbraw/zinc/30/01/60/716300160.db2.gz KZUVCLATPDVFTF-PBFPGSCMSA-N 1 2 318.421 1.518 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)nc1 ZINC000960627142 716636464 /nfs/dbraw/zinc/63/64/64/716636464.db2.gz OHZDSLOGVMQVOP-MRXNPFEDSA-N 1 2 312.417 1.621 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)nc1 ZINC000960627142 716636468 /nfs/dbraw/zinc/63/64/68/716636468.db2.gz OHZDSLOGVMQVOP-MRXNPFEDSA-N 1 2 312.417 1.621 20 30 DDEDLO C#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC000961192103 716855530 /nfs/dbraw/zinc/85/55/30/716855530.db2.gz SRFMSQGMFNZEAM-JZYVYDRUSA-N 1 2 312.295 1.030 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC000961192103 716855535 /nfs/dbraw/zinc/85/55/35/716855535.db2.gz SRFMSQGMFNZEAM-JZYVYDRUSA-N 1 2 312.295 1.030 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000941510379 717189263 /nfs/dbraw/zinc/18/92/63/717189263.db2.gz QIRCYZJJNQJVNH-QGZVFWFLSA-N 1 2 318.421 1.546 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc4cc[nH]c4c3)CC2)C1 ZINC000941582751 717218738 /nfs/dbraw/zinc/21/87/38/717218738.db2.gz WCDHUPQGLOTMEV-UHFFFAOYSA-N 1 2 322.412 1.243 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc(C)cc(C)c3)CC2)C1 ZINC000941648814 717243456 /nfs/dbraw/zinc/24/34/56/717243456.db2.gz BKWXSXWZGAQCIA-UHFFFAOYSA-N 1 2 311.429 1.379 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@H]2C1 ZINC000962049765 717287885 /nfs/dbraw/zinc/28/78/85/717287885.db2.gz YXWXJMMNHQXCGF-BETUJISGSA-N 1 2 316.405 1.081 20 30 DDEDLO C[C@@H]1C[N@H+](CC#Cc2ccccc2)CC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000942214928 717553442 /nfs/dbraw/zinc/55/34/42/717553442.db2.gz BJQARIRJGNTEQH-ZBFHGGJFSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000942214928 717553445 /nfs/dbraw/zinc/55/34/45/717553445.db2.gz BJQARIRJGNTEQH-ZBFHGGJFSA-N 1 2 323.400 1.297 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC000965927954 717818895 /nfs/dbraw/zinc/81/88/95/717818895.db2.gz ZZFSOBUKPDHJIZ-JOYOIKCWSA-N 1 2 311.817 1.195 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC000965927954 717818897 /nfs/dbraw/zinc/81/88/97/717818897.db2.gz ZZFSOBUKPDHJIZ-JOYOIKCWSA-N 1 2 311.817 1.195 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnnc(C)c2)C1 ZINC000965957502 717834260 /nfs/dbraw/zinc/83/42/60/717834260.db2.gz DEXILILXOBFTLZ-QMTHXVAHSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnnc(C)c2)C1 ZINC000965957502 717834265 /nfs/dbraw/zinc/83/42/65/717834265.db2.gz DEXILILXOBFTLZ-QMTHXVAHSA-N 1 2 308.813 1.978 20 30 DDEDLO C=CCC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000966238987 718479673 /nfs/dbraw/zinc/47/96/73/718479673.db2.gz VXVQDEKXXROSAQ-DOMZBBRYSA-N 1 2 313.405 1.974 20 30 DDEDLO C=CCC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000966238987 718479674 /nfs/dbraw/zinc/47/96/74/718479674.db2.gz VXVQDEKXXROSAQ-DOMZBBRYSA-N 1 2 313.405 1.974 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2nc3cccnc3s2)C1 ZINC000966724698 718641656 /nfs/dbraw/zinc/64/16/56/718641656.db2.gz SFYITHJRHKPGQJ-AAEUAGOBSA-N 1 2 314.414 1.765 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2nc3cccnc3s2)C1 ZINC000966724698 718641659 /nfs/dbraw/zinc/64/16/59/718641659.db2.gz SFYITHJRHKPGQJ-AAEUAGOBSA-N 1 2 314.414 1.765 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(=O)c(OC)co2)C1 ZINC000967397410 718868972 /nfs/dbraw/zinc/86/89/72/718868972.db2.gz OPXVNVWBNLFABZ-OLZOCXBDSA-N 1 2 318.373 1.112 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(=O)c(OC)co2)C1 ZINC000967397410 718868977 /nfs/dbraw/zinc/86/89/77/718868977.db2.gz OPXVNVWBNLFABZ-OLZOCXBDSA-N 1 2 318.373 1.112 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000967409086 718876485 /nfs/dbraw/zinc/87/64/85/718876485.db2.gz QCBFSJAHAHKIDT-FZMZJTMJSA-N 1 2 323.824 1.909 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000967409086 718876492 /nfs/dbraw/zinc/87/64/92/718876492.db2.gz QCBFSJAHAHKIDT-FZMZJTMJSA-N 1 2 323.824 1.909 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1ncccn1 ZINC000947205401 719073489 /nfs/dbraw/zinc/07/34/89/719073489.db2.gz PVXHVHYTUVSUCL-JSGCOSHPSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1ncccn1 ZINC000947205401 719073492 /nfs/dbraw/zinc/07/34/92/719073492.db2.gz PVXHVHYTUVSUCL-JSGCOSHPSA-N 1 2 324.388 1.459 20 30 DDEDLO CC(C)(C)c1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000968626899 719698734 /nfs/dbraw/zinc/69/87/34/719698734.db2.gz HSANDBLKTXUNMF-CYBMUJFWSA-N 1 2 316.405 1.471 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968636202 719706251 /nfs/dbraw/zinc/70/62/51/719706251.db2.gz XMQRSTMZRFHIEL-OCCSQVGLSA-N 1 2 323.824 1.427 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968636202 719706252 /nfs/dbraw/zinc/70/62/52/719706252.db2.gz XMQRSTMZRFHIEL-OCCSQVGLSA-N 1 2 323.824 1.427 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@]2(C3CCCC3)CCNC2=O)CC1 ZINC000948858387 719750662 /nfs/dbraw/zinc/75/06/62/719750662.db2.gz CVOOQDCLGWCWPF-KRWDZBQOSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nn(C)cc1C ZINC000948916448 719784372 /nfs/dbraw/zinc/78/43/72/719784372.db2.gz WLDDLTQRGKBNFL-QGZVFWFLSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nn(C)cc1C ZINC000948916448 719784375 /nfs/dbraw/zinc/78/43/75/719784375.db2.gz WLDDLTQRGKBNFL-QGZVFWFLSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccns3)CC2)C1 ZINC000949379021 720049517 /nfs/dbraw/zinc/04/95/17/720049517.db2.gz KCMSFDFKCQVURL-UHFFFAOYSA-N 1 2 305.403 1.083 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccns3)CC2)C1 ZINC000949379021 720049522 /nfs/dbraw/zinc/04/95/22/720049522.db2.gz KCMSFDFKCQVURL-UHFFFAOYSA-N 1 2 305.403 1.083 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCCOCC2)C1 ZINC000969582174 720199770 /nfs/dbraw/zinc/19/97/70/720199770.db2.gz BCVWSTFEJIHEJV-QWHCGFSZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2c(Cl)cnn2C)C1 ZINC000969679572 720247494 /nfs/dbraw/zinc/24/74/94/720247494.db2.gz GQRSMULNVJOGEW-SECBINFHSA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2OCC[C@H]2CC)C1 ZINC000969881031 720546310 /nfs/dbraw/zinc/54/63/10/720546310.db2.gz LMCLPKATPAVHCL-BZPMIXESSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cn(C(C)(C)C)nn2)C1 ZINC000950480404 720651708 /nfs/dbraw/zinc/65/17/08/720651708.db2.gz HRHVHBFGQJMBGS-UHFFFAOYSA-N 1 2 305.426 1.756 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cncc(COC)c2)C1 ZINC000950537069 720673689 /nfs/dbraw/zinc/67/36/89/720673689.db2.gz QVMWBVJRUJCKQP-UHFFFAOYSA-N 1 2 301.390 1.398 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccnc3[nH]c(C)nc32)C1 ZINC000950936122 720840565 /nfs/dbraw/zinc/84/05/65/720840565.db2.gz HHDGAYJXBAFHMH-UHFFFAOYSA-N 1 2 313.405 1.989 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2nc(C3CC3)no2)CC1 ZINC000951385181 721013575 /nfs/dbraw/zinc/01/35/75/721013575.db2.gz VHHRBUBWPJIULH-UHFFFAOYSA-N 1 2 302.378 1.047 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(C(F)(F)F)n[nH]2)CC1 ZINC000951748586 721164830 /nfs/dbraw/zinc/16/48/30/721164830.db2.gz GHDYNYMORFVABZ-UHFFFAOYSA-N 1 2 300.284 1.210 20 30 DDEDLO CC(C)n1ccc(C[N@H+](C)[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000971211093 721180491 /nfs/dbraw/zinc/18/04/91/721180491.db2.gz NFDOSPFYEQMETB-ZFWWWQNUSA-N 1 2 303.410 1.656 20 30 DDEDLO CC(C)n1ccc(C[N@@H+](C)[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000971211093 721180494 /nfs/dbraw/zinc/18/04/94/721180494.db2.gz NFDOSPFYEQMETB-ZFWWWQNUSA-N 1 2 303.410 1.656 20 30 DDEDLO C=CC[NH+]1CCN(C(F)(F)COc2cncc(Cl)n2)CC1 ZINC001165031874 721870977 /nfs/dbraw/zinc/87/09/77/721870977.db2.gz BZARDAMKSVZCLN-UHFFFAOYSA-N 1 2 318.755 1.905 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccn3C(C)C)C2)C1 ZINC000972676265 735463034 /nfs/dbraw/zinc/46/30/34/735463034.db2.gz IEIOQBXHJPUNPV-GOSISDBHSA-N 1 2 315.417 1.619 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccn3C(C)C)C2)C1 ZINC000972676265 735463038 /nfs/dbraw/zinc/46/30/38/735463038.db2.gz IEIOQBXHJPUNPV-GOSISDBHSA-N 1 2 315.417 1.619 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1C[C@H]1C ZINC001026009893 737074940 /nfs/dbraw/zinc/07/49/40/737074940.db2.gz ZWKPBWWYOURZCG-GQIGJGOHSA-N 1 2 313.239 1.988 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1C[C@H]1C ZINC001026009893 737074944 /nfs/dbraw/zinc/07/49/44/737074944.db2.gz ZWKPBWWYOURZCG-GQIGJGOHSA-N 1 2 313.239 1.988 20 30 DDEDLO N#Cc1c[nH]c2cc[nH+]c(N3CCN(C(=O)C4CCC4)CC3)c12 ZINC001167058273 732372077 /nfs/dbraw/zinc/37/20/77/732372077.db2.gz QBMIQXUTNKBRKC-UHFFFAOYSA-N 1 2 309.373 1.883 20 30 DDEDLO N#Cc1ccc(N2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2c[nH+]c[nH]2)nc1 ZINC001058806508 738611104 /nfs/dbraw/zinc/61/11/04/738611104.db2.gz DHMPOSVGHRXTLC-GASCZTMLSA-N 1 2 322.372 1.099 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H](CCCN2C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001021575678 733332167 /nfs/dbraw/zinc/33/21/67/733332167.db2.gz LXKYTJKKWVSQMW-UONOGXRCSA-N 1 2 301.394 1.179 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067567397 733382866 /nfs/dbraw/zinc/38/28/66/733382866.db2.gz IEJZKOMEBXEVRH-UHFFFAOYSA-N 1 2 306.410 1.615 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@H](C)c3cccnc3)[C@H]2C1 ZINC001083214322 733551725 /nfs/dbraw/zinc/55/17/25/733551725.db2.gz SXAXTKXPFPWFQY-BHYGNILZSA-N 1 2 313.401 1.120 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@H](C)c3cccnc3)[C@H]2C1 ZINC001083214322 733551727 /nfs/dbraw/zinc/55/17/27/733551727.db2.gz SXAXTKXPFPWFQY-BHYGNILZSA-N 1 2 313.401 1.120 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cn(C2CCC2)nn1 ZINC001027887770 738774161 /nfs/dbraw/zinc/77/41/61/738774161.db2.gz XKCQRJRJGZEZNJ-ZDUSSCGKSA-N 1 2 323.828 1.950 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cn(C2CCC2)nn1 ZINC001027887770 738774162 /nfs/dbraw/zinc/77/41/62/738774162.db2.gz XKCQRJRJGZEZNJ-ZDUSSCGKSA-N 1 2 323.828 1.950 20 30 DDEDLO CCc1cc(N(CCNC(=O)[C@H](C)C#N)CCOC)nc(C)[nH+]1 ZINC001126260657 738778978 /nfs/dbraw/zinc/77/89/78/738778978.db2.gz CAFCIOXZHDCGQN-GFCCVEGCSA-N 1 2 319.409 1.076 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cccn2nnnc12 ZINC001027906995 738792732 /nfs/dbraw/zinc/79/27/32/738792732.db2.gz URTDABOOAFULRU-NSHDSACASA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cccn2nnnc12 ZINC001027906995 738792735 /nfs/dbraw/zinc/79/27/35/738792735.db2.gz URTDABOOAFULRU-NSHDSACASA-N 1 2 320.784 1.071 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@H]3c3ccccc3C)[C@H]2C1 ZINC001083221092 734376859 /nfs/dbraw/zinc/37/68/59/734376859.db2.gz KDPCHUFIBKFMPV-ZSYWTGECSA-N 1 2 324.424 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@H]3c3ccccc3C)[C@H]2C1 ZINC001083221092 734376862 /nfs/dbraw/zinc/37/68/62/734376862.db2.gz KDPCHUFIBKFMPV-ZSYWTGECSA-N 1 2 324.424 1.643 20 30 DDEDLO COC(=O)[C@H](CS)Nc1c[nH+]c(N2CCOCC2)cc1C ZINC001167621370 734842758 /nfs/dbraw/zinc/84/27/58/734842758.db2.gz OLBOZBWERGXQGM-LBPRGKRZSA-N 1 2 311.407 1.110 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ncccc3Cl)[C@H]2C1 ZINC001083253526 734892695 /nfs/dbraw/zinc/89/26/95/734892695.db2.gz UPABSWWTYBELGD-UONOGXRCSA-N 1 2 319.792 1.284 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ncccc3Cl)[C@H]2C1 ZINC001083253526 734892699 /nfs/dbraw/zinc/89/26/99/734892699.db2.gz UPABSWWTYBELGD-UONOGXRCSA-N 1 2 319.792 1.284 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1csc([C@@H]2CCCO2)n1 ZINC001038252918 735010555 /nfs/dbraw/zinc/01/05/55/735010555.db2.gz LJPTXBUFGCYOPA-YPMHNXCESA-N 1 2 307.419 1.985 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CNC(=O)c1csc([C@@H]2CCCO2)n1 ZINC001038252918 735010560 /nfs/dbraw/zinc/01/05/60/735010560.db2.gz LJPTXBUFGCYOPA-YPMHNXCESA-N 1 2 307.419 1.985 20 30 DDEDLO C[C@H]1CN(c2ccc(C#N)nc2)CC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067440946 735014813 /nfs/dbraw/zinc/01/48/13/735014813.db2.gz RLULAUSEWWESEL-LRDDRELGSA-N 1 2 324.388 1.250 20 30 DDEDLO Cc1nc(NC[C@H](NC(=O)CSCC#N)C(C)(C)C)cc[nH+]1 ZINC001125778311 735603476 /nfs/dbraw/zinc/60/34/76/735603476.db2.gz ZRRBLWHDTJFYSC-LBPRGKRZSA-N 1 2 321.450 1.985 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1ccnc(OC)n1 ZINC001024547598 735923928 /nfs/dbraw/zinc/92/39/28/735923928.db2.gz MRUOCEVNBIQCRM-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1ccnc(OC)n1 ZINC001024547598 735923930 /nfs/dbraw/zinc/92/39/30/735923930.db2.gz MRUOCEVNBIQCRM-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1COCCN1CC ZINC001027984055 738908626 /nfs/dbraw/zinc/90/86/26/738908626.db2.gz TXAAWCQLBVNYLJ-UONOGXRCSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1COCCN1CC ZINC001027984055 738908628 /nfs/dbraw/zinc/90/86/28/738908628.db2.gz TXAAWCQLBVNYLJ-UONOGXRCSA-N 1 2 315.845 1.040 20 30 DDEDLO C[C@H](CCCNc1[nH+]cnc2c1cnn2C)NC(=O)[C@@H](C)C#N ZINC001114872998 751366485 /nfs/dbraw/zinc/36/64/85/751366485.db2.gz WXWWCVLNCQRBBS-WDEREUQCSA-N 1 2 315.381 1.220 20 30 DDEDLO O=C(C#CC1CC1)N1CCCC[C@@H]1C[NH2+]Cc1cnsn1 ZINC001024960229 736185128 /nfs/dbraw/zinc/18/51/28/736185128.db2.gz QRRSMLVSRMFJJC-CQSZACIVSA-N 1 2 304.419 1.422 20 30 DDEDLO Cc1nc(N(C)C)nc(NC[C@@H](C)N(C)C(=O)C#CC(C)C)[nH+]1 ZINC001104542869 736209566 /nfs/dbraw/zinc/20/95/66/736209566.db2.gz QOENEHDVPGPLCK-GFCCVEGCSA-N 1 2 318.425 1.164 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC)nn1C ZINC001018093385 736345508 /nfs/dbraw/zinc/34/55/08/736345508.db2.gz LYBJBCOZLFICFY-GASCZTMLSA-N 1 2 300.406 1.295 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC)nn1C ZINC001018093385 736345511 /nfs/dbraw/zinc/34/55/11/736345511.db2.gz LYBJBCOZLFICFY-GASCZTMLSA-N 1 2 300.406 1.295 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@H]2CCCN(C(=O)CSCC#N)C2)n1 ZINC001207429160 736374278 /nfs/dbraw/zinc/37/42/78/736374278.db2.gz AQNQKXWQXLXGIU-PWSUYJOCSA-N 1 2 323.422 1.276 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2OCCN(C(=O)c3cnc(CC)s3)[C@H]2C1 ZINC001083289163 737084124 /nfs/dbraw/zinc/08/41/24/737084124.db2.gz VPLRDOIASGCRMA-QWHCGFSZSA-N 1 2 321.446 1.807 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2OCCN(C(=O)c3cnc(CC)s3)[C@H]2C1 ZINC001083289163 737084126 /nfs/dbraw/zinc/08/41/26/737084126.db2.gz VPLRDOIASGCRMA-QWHCGFSZSA-N 1 2 321.446 1.807 20 30 DDEDLO C=CCCCC(=O)N1CC(n2cc(C[NH2+]C/C=C/Cl)nn2)C1 ZINC001105317444 737829706 /nfs/dbraw/zinc/82/97/06/737829706.db2.gz YTZQBKNAICUSBT-FNORWQNLSA-N 1 2 323.828 1.860 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)[C@@H]2CC2(F)F)C1 ZINC001014381983 742145586 /nfs/dbraw/zinc/14/55/86/742145586.db2.gz GFPPVNFNCCXARK-KBPBESRZSA-N 1 2 305.328 1.904 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CC2(F)F)C1 ZINC001014381983 742145589 /nfs/dbraw/zinc/14/55/89/742145589.db2.gz GFPPVNFNCCXARK-KBPBESRZSA-N 1 2 305.328 1.904 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@@H](NC(=O)c3ccoc3)C2)C1=O ZINC001006735099 738327930 /nfs/dbraw/zinc/32/79/30/738327930.db2.gz GIDSPYWOGCDYPG-HUUCEWRRSA-N 1 2 317.389 1.261 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@@H](NC(=O)c3ccoc3)C2)C1=O ZINC001006735099 738327934 /nfs/dbraw/zinc/32/79/34/738327934.db2.gz GIDSPYWOGCDYPG-HUUCEWRRSA-N 1 2 317.389 1.261 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2ncc(C)o2)cn1 ZINC001028272715 739262727 /nfs/dbraw/zinc/26/27/27/739262727.db2.gz WXMINRTUPNCXLF-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2ncc(C)o2)cn1 ZINC001028272715 739262732 /nfs/dbraw/zinc/26/27/32/739262732.db2.gz WXMINRTUPNCXLF-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@H+]2Cc2ncc(C)o2)cn1 ZINC001028272717 739262791 /nfs/dbraw/zinc/26/27/91/739262791.db2.gz WXMINRTUPNCXLF-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2ncc(C)o2)cn1 ZINC001028272717 739262796 /nfs/dbraw/zinc/26/27/96/739262796.db2.gz WXMINRTUPNCXLF-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)nc(C)c2)C1 ZINC001035383466 751452717 /nfs/dbraw/zinc/45/27/17/751452717.db2.gz ILCNKXKQIIHOFR-MRXNPFEDSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C)nc(C)c2)C1 ZINC001035383466 751452723 /nfs/dbraw/zinc/45/27/23/751452723.db2.gz ILCNKXKQIIHOFR-MRXNPFEDSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001035390073 751460547 /nfs/dbraw/zinc/46/05/47/751460547.db2.gz VTPWAYMJXLKLKZ-AWEZNQCLSA-N 1 2 320.437 1.645 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001035390073 751460552 /nfs/dbraw/zinc/46/05/52/751460552.db2.gz VTPWAYMJXLKLKZ-AWEZNQCLSA-N 1 2 320.437 1.645 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)nc2C(C)C)C1 ZINC001077464616 739702931 /nfs/dbraw/zinc/70/29/31/739702931.db2.gz KPDXAURMTFTMBX-HZPDHXFCSA-N 1 2 315.417 1.312 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)nc2C(C)C)C1 ZINC001077464616 739702934 /nfs/dbraw/zinc/70/29/34/739702934.db2.gz KPDXAURMTFTMBX-HZPDHXFCSA-N 1 2 315.417 1.312 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(C)[nH]c2ccccc21 ZINC001032596446 751490474 /nfs/dbraw/zinc/49/04/74/751490474.db2.gz CJVZZGJVWMJTLZ-GJZGRUSLSA-N 1 2 307.397 1.937 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(C)[nH]c2ccccc21 ZINC001032596446 751490477 /nfs/dbraw/zinc/49/04/77/751490477.db2.gz CJVZZGJVWMJTLZ-GJZGRUSLSA-N 1 2 307.397 1.937 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001035436541 751513878 /nfs/dbraw/zinc/51/38/78/751513878.db2.gz QYFMBNLCZJJDHB-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001035436541 751513882 /nfs/dbraw/zinc/51/38/82/751513882.db2.gz QYFMBNLCZJJDHB-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCCc3cccnc32)C1 ZINC001035481094 751535269 /nfs/dbraw/zinc/53/52/69/751535269.db2.gz DTLIGJDHRZRFSX-CVEARBPZSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCCc3cccnc32)C1 ZINC001035481094 751535273 /nfs/dbraw/zinc/53/52/73/751535273.db2.gz DTLIGJDHRZRFSX-CVEARBPZSA-N 1 2 315.417 1.505 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)nn1C ZINC001075780044 740447064 /nfs/dbraw/zinc/44/70/64/740447064.db2.gz AEFCEZGXPGOOMH-DOTOQJQBSA-N 1 2 312.417 1.175 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)nn1C ZINC001075780044 740447067 /nfs/dbraw/zinc/44/70/67/740447067.db2.gz AEFCEZGXPGOOMH-DOTOQJQBSA-N 1 2 312.417 1.175 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)nn(C)c2C)C1 ZINC001035464645 751549745 /nfs/dbraw/zinc/54/97/45/751549745.db2.gz CHNPMMWAUROMHN-CQSZACIVSA-N 1 2 306.410 1.044 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)nn(C)c2C)C1 ZINC001035464645 751549752 /nfs/dbraw/zinc/54/97/52/751549752.db2.gz CHNPMMWAUROMHN-CQSZACIVSA-N 1 2 306.410 1.044 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cnc([C@@H](C)OC)s3)[C@@H]2C1 ZINC001075793853 740520191 /nfs/dbraw/zinc/52/01/91/740520191.db2.gz UVQYLSPDVJWLCR-FRRDWIJNSA-N 1 2 319.430 1.630 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cnc([C@@H](C)OC)s3)[C@@H]2C1 ZINC001075793853 740520194 /nfs/dbraw/zinc/52/01/94/740520194.db2.gz UVQYLSPDVJWLCR-FRRDWIJNSA-N 1 2 319.430 1.630 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3nc(C)no3)CC[C@@H]2C1 ZINC001088066607 740979786 /nfs/dbraw/zinc/97/97/86/740979786.db2.gz RIZRSQZXCWDIFS-KGLIPLIRSA-N 1 2 318.421 1.871 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3nc(C)no3)CC[C@@H]2C1 ZINC001088066607 740979791 /nfs/dbraw/zinc/97/97/91/740979791.db2.gz RIZRSQZXCWDIFS-KGLIPLIRSA-N 1 2 318.421 1.871 20 30 DDEDLO C=CCn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3C/C=C/Cl)nn1 ZINC001029507672 740983839 /nfs/dbraw/zinc/98/38/39/740983839.db2.gz WKDVCQSLCYNUNF-IPBFNNSJSA-N 1 2 321.812 1.505 20 30 DDEDLO C=CCn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3C/C=C/Cl)nn1 ZINC001029507672 740983842 /nfs/dbraw/zinc/98/38/42/740983842.db2.gz WKDVCQSLCYNUNF-IPBFNNSJSA-N 1 2 321.812 1.505 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3c2OCC3)C1 ZINC001035526815 751606349 /nfs/dbraw/zinc/60/63/49/751606349.db2.gz JVGRQVJAPZZWNB-AWEZNQCLSA-N 1 2 302.374 1.238 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3c2OCC3)C1 ZINC001035526815 751606352 /nfs/dbraw/zinc/60/63/52/751606352.db2.gz JVGRQVJAPZZWNB-AWEZNQCLSA-N 1 2 302.374 1.238 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2CCCCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001088281170 741320592 /nfs/dbraw/zinc/32/05/92/741320592.db2.gz ITAIGWMTTGRIOX-STQMWFEESA-N 1 2 319.409 1.652 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@@H](Nc2ncccc2C#N)C1 ZINC001059688367 741546109 /nfs/dbraw/zinc/54/61/09/741546109.db2.gz XHLZRUYKFKJXIB-BETUJISGSA-N 1 2 310.361 1.287 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)C(C)(C)C=C)CC2 ZINC001128027056 751647793 /nfs/dbraw/zinc/64/77/93/751647793.db2.gz IYVIFNHFUUIQDM-CYBMUJFWSA-N 1 2 315.421 1.034 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2coc(CC3CC3)n2)C1 ZINC001035565421 751648062 /nfs/dbraw/zinc/64/80/62/751648062.db2.gz KNVMGUFHRQERHL-AWEZNQCLSA-N 1 2 317.389 1.081 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2coc(CC3CC3)n2)C1 ZINC001035565421 751648065 /nfs/dbraw/zinc/64/80/65/751648065.db2.gz KNVMGUFHRQERHL-AWEZNQCLSA-N 1 2 317.389 1.081 20 30 DDEDLO C[C@H](c1csnn1)[N@@H+](C)CC1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC001029946655 741636361 /nfs/dbraw/zinc/63/63/61/741636361.db2.gz RDMKYAQBHQIIHB-NWDGAFQWSA-N 1 2 321.450 1.929 20 30 DDEDLO C[C@H](c1csnn1)[N@H+](C)CC1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC001029946655 741636363 /nfs/dbraw/zinc/63/63/63/741636363.db2.gz RDMKYAQBHQIIHB-NWDGAFQWSA-N 1 2 321.450 1.929 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001075883587 741668437 /nfs/dbraw/zinc/66/84/37/741668437.db2.gz KSOHCZWHBCNJAR-ZDUSSCGKSA-N 1 2 306.410 1.330 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)C2CCCC2)C1=O ZINC001038008801 751658232 /nfs/dbraw/zinc/65/82/32/751658232.db2.gz LPGOEYHJYBNTNW-LSDHHAIUSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)C2CCCC2)C1=O ZINC001038008801 751658238 /nfs/dbraw/zinc/65/82/38/751658238.db2.gz LPGOEYHJYBNTNW-LSDHHAIUSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)CCCn1cc[nH+]c1 ZINC001075887671 741750458 /nfs/dbraw/zinc/75/04/58/741750458.db2.gz AGXYAFKQPPPVRD-AWEZNQCLSA-N 1 2 306.410 1.641 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2cccn2)n1 ZINC001032619022 751668594 /nfs/dbraw/zinc/66/85/94/751668594.db2.gz JPOHWPPLQMKWKH-GJZGRUSLSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2cccn2)n1 ZINC001032619022 751668596 /nfs/dbraw/zinc/66/85/96/751668596.db2.gz JPOHWPPLQMKWKH-GJZGRUSLSA-N 1 2 321.384 1.189 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3c(cccc3C)O2)C1 ZINC001035579714 751669592 /nfs/dbraw/zinc/66/95/92/751669592.db2.gz LPRXSIVRODJBQH-RHSMWYFYSA-N 1 2 316.401 1.302 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3c(cccc3C)O2)C1 ZINC001035579714 751669595 /nfs/dbraw/zinc/66/95/95/751669595.db2.gz LPRXSIVRODJBQH-RHSMWYFYSA-N 1 2 316.401 1.302 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@H]1OC ZINC001212022099 741944708 /nfs/dbraw/zinc/94/47/08/741944708.db2.gz AJWKKMMFKARAGK-BPLDGKMQSA-N 1 2 304.394 1.319 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@H]1OC ZINC001212022099 741944710 /nfs/dbraw/zinc/94/47/10/741944710.db2.gz AJWKKMMFKARAGK-BPLDGKMQSA-N 1 2 304.394 1.319 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCN(CC(=C)Cl)[C@@H]1C ZINC001088631093 742029389 /nfs/dbraw/zinc/02/93/89/742029389.db2.gz QLOQZEYUNDPFRN-ILXRZTDVSA-N 1 2 309.841 1.416 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CCN(CC(=C)Cl)[C@@H]1C ZINC001088631093 742029391 /nfs/dbraw/zinc/02/93/91/742029391.db2.gz QLOQZEYUNDPFRN-ILXRZTDVSA-N 1 2 309.841 1.416 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncc(OC)cn2)[C@H]1C ZINC001088731364 742263046 /nfs/dbraw/zinc/26/30/46/742263046.db2.gz KGZHXLVEOYNWHH-PWSUYJOCSA-N 1 2 310.785 1.430 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncc(OC)cn2)[C@H]1C ZINC001088731364 742263049 /nfs/dbraw/zinc/26/30/49/742263049.db2.gz KGZHXLVEOYNWHH-PWSUYJOCSA-N 1 2 310.785 1.430 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncc3n2CCCC3)C1 ZINC001035608267 751713258 /nfs/dbraw/zinc/71/32/58/751713258.db2.gz ZPAQZPBSKZVNOM-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncc3n2CCCC3)C1 ZINC001035608267 751713263 /nfs/dbraw/zinc/71/32/63/751713263.db2.gz ZPAQZPBSKZVNOM-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@H]1C ZINC001088757431 742303563 /nfs/dbraw/zinc/30/35/63/742303563.db2.gz YTKJFWJGNAAVKC-UQFQIWMKSA-N 1 2 310.825 1.741 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@H]1C ZINC001088757431 742303567 /nfs/dbraw/zinc/30/35/67/742303567.db2.gz YTKJFWJGNAAVKC-UQFQIWMKSA-N 1 2 310.825 1.741 20 30 DDEDLO O=C(C#CC1CC1)NCC[NH2+]Cc1nc(-c2cccs2)no1 ZINC001126880851 742415618 /nfs/dbraw/zinc/41/56/18/742415618.db2.gz CVKPAASUASLYRP-UHFFFAOYSA-N 1 2 316.386 1.417 20 30 DDEDLO Cn1cccc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038063915 742490782 /nfs/dbraw/zinc/49/07/82/742490782.db2.gz IIQQIHQFJMKJNL-MRXNPFEDSA-N 1 2 308.385 1.901 20 30 DDEDLO Cn1cccc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038063915 742490786 /nfs/dbraw/zinc/49/07/86/742490786.db2.gz IIQQIHQFJMKJNL-MRXNPFEDSA-N 1 2 308.385 1.901 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)c(C)cc2F)[C@@H](O)C1 ZINC001083543514 742597081 /nfs/dbraw/zinc/59/70/81/742597081.db2.gz AZDSXOGRJMTCRT-CABCVRRESA-N 1 2 308.328 1.071 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)c(C)cc2F)[C@@H](O)C1 ZINC001083543514 742597087 /nfs/dbraw/zinc/59/70/87/742597087.db2.gz AZDSXOGRJMTCRT-CABCVRRESA-N 1 2 308.328 1.071 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001076262578 742631648 /nfs/dbraw/zinc/63/16/48/742631648.db2.gz KWMOZGPKOYAFKU-XZDPQHSOSA-N 1 2 317.389 1.298 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001076262578 742631649 /nfs/dbraw/zinc/63/16/49/742631649.db2.gz KWMOZGPKOYAFKU-XZDPQHSOSA-N 1 2 317.389 1.298 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001076412828 742717831 /nfs/dbraw/zinc/71/78/31/742717831.db2.gz WXCGPUZTEMVADQ-KGLIPLIRSA-N 1 2 318.421 1.259 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001076412828 742717834 /nfs/dbraw/zinc/71/78/34/742717834.db2.gz WXCGPUZTEMVADQ-KGLIPLIRSA-N 1 2 318.421 1.259 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2cccc(Cl)c2)C[C@H]1O)C1CC1 ZINC001076663623 742864267 /nfs/dbraw/zinc/86/42/67/742864267.db2.gz QQPPIBMRLSOGGC-HZPDHXFCSA-N 1 2 318.804 1.263 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2cccc(Cl)c2)C[C@H]1O)C1CC1 ZINC001076663623 742864281 /nfs/dbraw/zinc/86/42/81/742864281.db2.gz QQPPIBMRLSOGGC-HZPDHXFCSA-N 1 2 318.804 1.263 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001076711248 742932171 /nfs/dbraw/zinc/93/21/71/742932171.db2.gz CMKMMQLBDIIGDL-KGLIPLIRSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001076711248 742932178 /nfs/dbraw/zinc/93/21/78/742932178.db2.gz CMKMMQLBDIIGDL-KGLIPLIRSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](CC#N)NC(=O)OC(C)(C)C)CC1 ZINC001180782919 742937737 /nfs/dbraw/zinc/93/77/37/742937737.db2.gz KJCCGGAPEKVQDB-ZDUSSCGKSA-N 1 2 322.409 1.124 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2ccncc2)C1 ZINC001108042258 743005148 /nfs/dbraw/zinc/00/51/48/743005148.db2.gz KFINVHNKXAXUHP-KRWDZBQOSA-N 1 2 303.406 1.407 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2ccncc2)C1 ZINC001108042258 743005159 /nfs/dbraw/zinc/00/51/59/743005159.db2.gz KFINVHNKXAXUHP-KRWDZBQOSA-N 1 2 303.406 1.407 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CCC4(CN(CC#N)C4)CC3)ccn12 ZINC001035705058 751791948 /nfs/dbraw/zinc/79/19/48/751791948.db2.gz RPJZWHAWEIEGES-UHFFFAOYSA-N 1 2 323.400 1.704 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001076852660 743049755 /nfs/dbraw/zinc/04/97/55/743049755.db2.gz FLHMZOXVUNDKHB-ZRSRNVLSSA-N 1 2 324.424 1.246 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001076852660 743049766 /nfs/dbraw/zinc/04/97/66/743049766.db2.gz FLHMZOXVUNDKHB-ZRSRNVLSSA-N 1 2 324.424 1.246 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(F)c(C(F)F)c2)C1 ZINC001077007198 743165212 /nfs/dbraw/zinc/16/52/12/743165212.db2.gz CTZAFBZHEWJGBO-CHWSQXEVSA-N 1 2 312.291 1.171 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(F)c(C(F)F)c2)C1 ZINC001077007198 743165216 /nfs/dbraw/zinc/16/52/16/743165216.db2.gz CTZAFBZHEWJGBO-CHWSQXEVSA-N 1 2 312.291 1.171 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(Cl)c2C)C1 ZINC001077040218 743192283 /nfs/dbraw/zinc/19/22/83/743192283.db2.gz JLQKJBHQPPRVMF-HUUCEWRRSA-N 1 2 306.793 1.447 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(Cl)c2C)C1 ZINC001077040218 743192289 /nfs/dbraw/zinc/19/22/89/743192289.db2.gz JLQKJBHQPPRVMF-HUUCEWRRSA-N 1 2 306.793 1.447 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nc([C@H](C)OC)no2)C1 ZINC001181511380 743218289 /nfs/dbraw/zinc/21/82/89/743218289.db2.gz MGJYTMHIFRQPRI-NWDGAFQWSA-N 1 2 308.382 1.434 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)CC)CC2)C1 ZINC001105688287 743230321 /nfs/dbraw/zinc/23/03/21/743230321.db2.gz YGLUUCNQRBHVHS-HNNXBMFYSA-N 1 2 324.465 1.927 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)CCNC(=O)Cn2cc[nH+]c2)cc1 ZINC001077186858 743303399 /nfs/dbraw/zinc/30/33/99/743303399.db2.gz RKNNDSAJTGJZJV-AWEZNQCLSA-N 1 2 324.384 1.189 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H](C)CCNC(=O)Cn1cc[nH+]c1 ZINC001077186993 743304396 /nfs/dbraw/zinc/30/43/96/743304396.db2.gz XHGSGURRIZOMDS-HNNXBMFYSA-N 1 2 318.421 1.478 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[NH2+][C@H](C)c1nc(COC)no1 ZINC001128245590 743363282 /nfs/dbraw/zinc/36/32/82/743363282.db2.gz BQFXHKHFZLUXRX-LLVKDONJSA-N 1 2 310.398 1.585 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001061133335 743369167 /nfs/dbraw/zinc/36/91/67/743369167.db2.gz BKPGTUJGMHOYFM-ZIAGYGMSSA-N 1 2 324.388 1.180 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001061136226 743389471 /nfs/dbraw/zinc/38/94/71/743389471.db2.gz LWGCLFAJYQTXQW-CHWSQXEVSA-N 1 2 324.388 1.758 20 30 DDEDLO C[C@@H](F)CC[N@@H+]1CCn2ncc(CNC(=O)[C@H](C)C#N)c2C1 ZINC001128264162 743403178 /nfs/dbraw/zinc/40/31/78/743403178.db2.gz JTHKOXZMWATDGY-VXGBXAGGSA-N 1 2 307.373 1.223 20 30 DDEDLO C[C@@H](F)CC[N@H+]1CCn2ncc(CNC(=O)[C@H](C)C#N)c2C1 ZINC001128264162 743403180 /nfs/dbraw/zinc/40/31/80/743403180.db2.gz JTHKOXZMWATDGY-VXGBXAGGSA-N 1 2 307.373 1.223 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(CC)no2)C1 ZINC001182220764 743533388 /nfs/dbraw/zinc/53/33/88/743533388.db2.gz QMCUJYNWRKYPFY-QWHCGFSZSA-N 1 2 304.394 1.687 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC001182432377 743616881 /nfs/dbraw/zinc/61/68/81/743616881.db2.gz XLKDWXXNCKIEEV-CQSZACIVSA-N 1 2 316.405 1.372 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](OCC)C3CC3)CC2)C1 ZINC001105708493 743619684 /nfs/dbraw/zinc/61/96/84/743619684.db2.gz KWRIVGQTXOMKIS-QGZVFWFLSA-N 1 2 322.449 1.679 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001105719582 743757850 /nfs/dbraw/zinc/75/78/50/743757850.db2.gz LOHMTQKIGVWHHW-KGLIPLIRSA-N 1 2 310.438 1.129 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)C1)OCC ZINC001182973382 743764466 /nfs/dbraw/zinc/76/44/66/743764466.db2.gz IHCVKQPBYHPGLJ-OCCSQVGLSA-N 1 2 324.450 1.600 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC[C@H]1CNCC#N ZINC001184652540 744078695 /nfs/dbraw/zinc/07/86/95/744078695.db2.gz NDIGFZIWDROPKQ-OLZOCXBDSA-N 1 2 311.389 1.774 20 30 DDEDLO C[NH+]1CCC(C#N)(NS(=O)(=O)c2cccnc2Cl)CC1 ZINC001184909273 744124954 /nfs/dbraw/zinc/12/49/54/744124954.db2.gz KCPJQMLRSIGDHV-UHFFFAOYSA-N 1 2 314.798 1.001 20 30 DDEDLO C=CCn1cccc1C(=O)NC1C[NH+](CCOC2CCC2)C1 ZINC001030448008 744168384 /nfs/dbraw/zinc/16/83/84/744168384.db2.gz WZLUJFHIHGFJTA-UHFFFAOYSA-N 1 2 303.406 1.657 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cc(=O)c3ccccc3o2)[C@H]1C ZINC001089016827 744445109 /nfs/dbraw/zinc/44/51/09/744445109.db2.gz WRMGVKLSCXTZGD-OCCSQVGLSA-N 1 2 310.353 1.619 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cc(=O)c3ccccc3o2)[C@H]1C ZINC001089016827 744445110 /nfs/dbraw/zinc/44/51/10/744445110.db2.gz WRMGVKLSCXTZGD-OCCSQVGLSA-N 1 2 310.353 1.619 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001187569672 744583261 /nfs/dbraw/zinc/58/32/61/744583261.db2.gz VVOUZZNSPIXHOS-DOTOQJQBSA-N 1 2 314.433 1.646 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001187569672 744583263 /nfs/dbraw/zinc/58/32/63/744583263.db2.gz VVOUZZNSPIXHOS-DOTOQJQBSA-N 1 2 314.433 1.646 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C3(F)CCCC3)CC2)C1 ZINC001046040457 744661216 /nfs/dbraw/zinc/66/12/16/744661216.db2.gz JDDLAADEMHZVSO-CQSZACIVSA-N 1 2 308.401 1.011 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ncccc3s2)C1 ZINC001077503853 744686557 /nfs/dbraw/zinc/68/65/57/744686557.db2.gz IONQHBZZEJTHCX-VXGBXAGGSA-N 1 2 303.387 1.257 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ncccc3s2)C1 ZINC001077503853 744686559 /nfs/dbraw/zinc/68/65/59/744686559.db2.gz IONQHBZZEJTHCX-VXGBXAGGSA-N 1 2 303.387 1.257 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001188309828 744687650 /nfs/dbraw/zinc/68/76/50/744687650.db2.gz IPINHQAWWMBODB-CHWSQXEVSA-N 1 2 322.409 1.475 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1ccn(C)n1)CC2 ZINC001035853379 751949979 /nfs/dbraw/zinc/94/99/79/751949979.db2.gz WKAQHTJBFXQCIS-UHFFFAOYSA-N 1 2 308.813 1.711 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2nc(C)no2)C1 ZINC001189370162 744877768 /nfs/dbraw/zinc/87/77/68/744877768.db2.gz IDDPRDOQCCOFJU-AAEUAGOBSA-N 1 2 308.382 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2nc(C)no2)C1 ZINC001189370162 744877771 /nfs/dbraw/zinc/87/77/71/744877771.db2.gz IDDPRDOQCCOFJU-AAEUAGOBSA-N 1 2 308.382 1.174 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NCC1(Nc2ncccc2C#N)CC1 ZINC001110410505 744916605 /nfs/dbraw/zinc/91/66/05/744916605.db2.gz SISQDRHNHCLTLU-UHFFFAOYSA-N 1 2 324.388 1.380 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190053080 745090753 /nfs/dbraw/zinc/09/07/53/745090753.db2.gz DKPNIVMQEDUDHM-OCCSQVGLSA-N 1 2 304.394 1.631 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190053080 745090759 /nfs/dbraw/zinc/09/07/59/745090759.db2.gz DKPNIVMQEDUDHM-OCCSQVGLSA-N 1 2 304.394 1.631 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001190093881 745095992 /nfs/dbraw/zinc/09/59/92/745095992.db2.gz DDTBKPXSGCLTFZ-ZFWWWQNUSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001190093881 745096000 /nfs/dbraw/zinc/09/60/00/745096000.db2.gz DDTBKPXSGCLTFZ-ZFWWWQNUSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)Cc2nccs2)C1 ZINC001190120941 745122261 /nfs/dbraw/zinc/12/22/61/745122261.db2.gz NEEWJIAGACJGJZ-ZDUSSCGKSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)Cc2nccs2)C1 ZINC001190120941 745122262 /nfs/dbraw/zinc/12/22/62/745122262.db2.gz NEEWJIAGACJGJZ-ZDUSSCGKSA-N 1 2 307.419 1.216 20 30 DDEDLO C[C@@]1(C(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@H]2O)C=CCC1 ZINC001077529063 745300651 /nfs/dbraw/zinc/30/06/51/745300651.db2.gz MAYRTOHGOPDUAD-QWFCFKBJSA-N 1 2 324.424 1.556 20 30 DDEDLO C[C@@]1(C(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@H]2O)C=CCC1 ZINC001077529063 745300656 /nfs/dbraw/zinc/30/06/56/745300656.db2.gz MAYRTOHGOPDUAD-QWFCFKBJSA-N 1 2 324.424 1.556 20 30 DDEDLO C#Cc1ccccc1C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001191108827 745425045 /nfs/dbraw/zinc/42/50/45/745425045.db2.gz YLORNCDSMOAORK-UHFFFAOYSA-N 1 2 324.384 1.449 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001191912041 745638076 /nfs/dbraw/zinc/63/80/76/745638076.db2.gz MHVCLQAXBDHCFR-CABCVRRESA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001191912041 745638077 /nfs/dbraw/zinc/63/80/77/745638077.db2.gz MHVCLQAXBDHCFR-CABCVRRESA-N 1 2 319.453 1.933 20 30 DDEDLO C=CCO[C@H](C)C(=O)N(C)CCOCCNc1cc[nH+]c(C)n1 ZINC001127341312 745704916 /nfs/dbraw/zinc/70/49/16/745704916.db2.gz PKXMSDMSFQUSTO-CYBMUJFWSA-N 1 2 322.409 1.263 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)C(C)(C)c2cccc(OC)c2)C1 ZINC001031205013 745761212 /nfs/dbraw/zinc/76/12/12/745761212.db2.gz WKHAXKHYOLZYLO-UHFFFAOYSA-N 1 2 300.402 1.797 20 30 DDEDLO Cc1nc(N2CC[C@]3(C2)CCCN(C(=O)[C@H](C)C#N)C3)cc[nH+]1 ZINC001066857191 752043881 /nfs/dbraw/zinc/04/38/81/752043881.db2.gz QQSILQOKINPCBP-DYVFJYSZSA-N 1 2 313.405 1.764 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)cc2)C[C@H]1O ZINC001192871183 745909511 /nfs/dbraw/zinc/90/95/11/745909511.db2.gz LDLUJRJIDZJVLY-HZPDHXFCSA-N 1 2 318.392 1.537 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)cc2)C[C@H]1O ZINC001192871183 745909513 /nfs/dbraw/zinc/90/95/13/745909513.db2.gz LDLUJRJIDZJVLY-HZPDHXFCSA-N 1 2 318.392 1.537 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1csnc1C)C2 ZINC001110451957 745960373 /nfs/dbraw/zinc/96/03/73/745960373.db2.gz COSCJBZYLYOZPS-YUELXQCFSA-N 1 2 319.430 1.436 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1csnc1C)C2 ZINC001110451957 745960375 /nfs/dbraw/zinc/96/03/75/745960375.db2.gz COSCJBZYLYOZPS-YUELXQCFSA-N 1 2 319.430 1.436 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccnc(OC)n2)[C@H]1C ZINC000993589007 746239919 /nfs/dbraw/zinc/23/99/19/746239919.db2.gz NEKYBFNRWRRXBY-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccnc(OC)n2)[C@H]1C ZINC000993589007 746239920 /nfs/dbraw/zinc/23/99/20/746239920.db2.gz NEKYBFNRWRRXBY-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001194382118 746362578 /nfs/dbraw/zinc/36/25/78/746362578.db2.gz UUXYBGLSAKQBNW-MRXNPFEDSA-N 1 2 320.437 1.354 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001194382118 746362583 /nfs/dbraw/zinc/36/25/83/746362583.db2.gz UUXYBGLSAKQBNW-MRXNPFEDSA-N 1 2 320.437 1.354 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)o2)C1 ZINC001194396434 746366103 /nfs/dbraw/zinc/36/61/03/746366103.db2.gz CMMRYQPZRWXZHI-KGLIPLIRSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)o2)C1 ZINC001194396434 746366109 /nfs/dbraw/zinc/36/61/09/746366109.db2.gz CMMRYQPZRWXZHI-KGLIPLIRSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001194397435 746367351 /nfs/dbraw/zinc/36/73/51/746367351.db2.gz VMKGKQZQMOARGU-KBPBESRZSA-N 1 2 321.421 1.861 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001194397435 746367355 /nfs/dbraw/zinc/36/73/55/746367355.db2.gz VMKGKQZQMOARGU-KBPBESRZSA-N 1 2 321.421 1.861 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ccc(C(N)=O)s2)[C@@H]1C ZINC000993975924 746407624 /nfs/dbraw/zinc/40/76/24/746407624.db2.gz SGUIOEZYJMRUSC-QWRGUYRKSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(N)=O)s2)[C@@H]1C ZINC000993975924 746407627 /nfs/dbraw/zinc/40/76/27/746407627.db2.gz SGUIOEZYJMRUSC-QWRGUYRKSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2CCCCC2)CC1 ZINC001194681924 746437216 /nfs/dbraw/zinc/43/72/16/746437216.db2.gz PYJTVADPKQOIQW-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2CCCCC2)CC1 ZINC001194681924 746437219 /nfs/dbraw/zinc/43/72/19/746437219.db2.gz PYJTVADPKQOIQW-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2snnc2C(C)C)[C@H]1C ZINC000994070193 746441132 /nfs/dbraw/zinc/44/11/32/746441132.db2.gz MPQONBVWZXDMLJ-NEPJUHHUSA-N 1 2 306.435 1.877 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2snnc2C(C)C)[C@H]1C ZINC000994070193 746441134 /nfs/dbraw/zinc/44/11/34/746441134.db2.gz MPQONBVWZXDMLJ-NEPJUHHUSA-N 1 2 306.435 1.877 20 30 DDEDLO CC(C)OCC(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC001195072370 746522072 /nfs/dbraw/zinc/52/20/72/746522072.db2.gz YUZBSRIOLOXXPL-UHFFFAOYSA-N 1 2 314.429 1.997 20 30 DDEDLO CC(C)OCC(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC001195072370 746522076 /nfs/dbraw/zinc/52/20/76/746522076.db2.gz YUZBSRIOLOXXPL-UHFFFAOYSA-N 1 2 314.429 1.997 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)NCC2CCC2)CC1 ZINC001195191070 746541197 /nfs/dbraw/zinc/54/11/97/746541197.db2.gz AHYRQAABVBNHIG-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)NCC2CCC2)CC1 ZINC001195191070 746541198 /nfs/dbraw/zinc/54/11/98/746541198.db2.gz AHYRQAABVBNHIG-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](CCOCC(F)(F)F)CC1 ZINC001195322149 746564604 /nfs/dbraw/zinc/56/46/04/746564604.db2.gz VEDUPUOIJXWMJJ-NSHDSACASA-N 1 2 307.316 1.259 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](CCOCC(F)(F)F)CC1 ZINC001195322149 746564609 /nfs/dbraw/zinc/56/46/09/746564609.db2.gz VEDUPUOIJXWMJJ-NSHDSACASA-N 1 2 307.316 1.259 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+]([C@@H](C)C(=O)NC(C)(C)C)CC1 ZINC001195754230 746686659 /nfs/dbraw/zinc/68/66/59/746686659.db2.gz JVEIABUQZIHVJE-HNNXBMFYSA-N 1 2 321.465 1.483 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+]([C@@H](C)C(=O)NC(C)(C)C)CC1 ZINC001195754230 746686662 /nfs/dbraw/zinc/68/66/62/746686662.db2.gz JVEIABUQZIHVJE-HNNXBMFYSA-N 1 2 321.465 1.483 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1cnc(C#N)cn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089381399 746761362 /nfs/dbraw/zinc/76/13/62/746761362.db2.gz RWEFUPILECFIIK-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO Cn1c[nH+]cc1C1CCN(S(=O)(=O)CCCCC#N)CC1 ZINC001196080569 746773590 /nfs/dbraw/zinc/77/35/90/746773590.db2.gz RVGZCDUFJLUVOO-UHFFFAOYSA-N 1 2 310.423 1.623 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)C[C@@H](C)Nc1cc[nH+]c(C)n1 ZINC001089397127 746785124 /nfs/dbraw/zinc/78/51/24/746785124.db2.gz SKIAWNJIYZCYPQ-OLZOCXBDSA-N 1 2 304.394 1.520 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCC23CC3)CC1 ZINC001196282910 746827361 /nfs/dbraw/zinc/82/73/61/746827361.db2.gz ZHHVZUKMLVTPRP-HNNXBMFYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCCC23CC3)CC1 ZINC001196282910 746827365 /nfs/dbraw/zinc/82/73/65/746827365.db2.gz ZHHVZUKMLVTPRP-HNNXBMFYSA-N 1 2 319.449 1.403 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1ccccn1 ZINC001031506752 746917635 /nfs/dbraw/zinc/91/76/35/746917635.db2.gz ULUMZXPPZBECQG-UHFFFAOYSA-N 1 2 323.371 1.934 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)Cc2cccc(C)c2)C1 ZINC001196710118 746938322 /nfs/dbraw/zinc/93/83/22/746938322.db2.gz RGRKJTWVHLPDMV-RCCFBDPRSA-N 1 2 314.429 1.358 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)Cc2cccc(C)c2)C1 ZINC001196710118 746938325 /nfs/dbraw/zinc/93/83/25/746938325.db2.gz RGRKJTWVHLPDMV-RCCFBDPRSA-N 1 2 314.429 1.358 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(OC)cs2)CC1 ZINC001196718054 746941795 /nfs/dbraw/zinc/94/17/95/746941795.db2.gz NJHZKXYBAAHQPD-UHFFFAOYSA-N 1 2 322.430 1.554 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(OC)cs2)CC1 ZINC001196718054 746941796 /nfs/dbraw/zinc/94/17/96/746941796.db2.gz NJHZKXYBAAHQPD-UHFFFAOYSA-N 1 2 322.430 1.554 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H](CCC)OC)c2C1 ZINC001128431617 747098054 /nfs/dbraw/zinc/09/80/54/747098054.db2.gz KEYLYIIFRMBWJY-INIZCTEOSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H](CCC)OC)c2C1 ZINC001128431617 747098061 /nfs/dbraw/zinc/09/80/61/747098061.db2.gz KEYLYIIFRMBWJY-INIZCTEOSA-N 1 2 320.437 1.706 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC1C[NH+](Cc2ccns2)C1 ZINC001031680841 747371628 /nfs/dbraw/zinc/37/16/28/747371628.db2.gz SMUCEWLMZYBTQD-CABCVRRESA-N 1 2 321.446 1.672 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2snnc2CC)C1 ZINC001108059871 747488058 /nfs/dbraw/zinc/48/80/58/747488058.db2.gz PAGDSTYPBZPYRS-CQSZACIVSA-N 1 2 310.423 1.107 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2snnc2CC)C1 ZINC001108059871 747488062 /nfs/dbraw/zinc/48/80/62/747488062.db2.gz PAGDSTYPBZPYRS-CQSZACIVSA-N 1 2 310.423 1.107 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCCO[C@H]2CC)C1 ZINC001031721811 747530413 /nfs/dbraw/zinc/53/04/13/747530413.db2.gz IAOOWNIXZICJLM-KBPBESRZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC(NC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)C1 ZINC000994827388 747582952 /nfs/dbraw/zinc/58/29/52/747582952.db2.gz MEFLYFDHBYUXRE-CHWSQXEVSA-N 1 2 314.389 1.197 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC(NC(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)C1 ZINC000994827388 747582955 /nfs/dbraw/zinc/58/29/55/747582955.db2.gz MEFLYFDHBYUXRE-CHWSQXEVSA-N 1 2 314.389 1.197 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)C[C@@H]1C ZINC001110520579 747632516 /nfs/dbraw/zinc/63/25/16/747632516.db2.gz QKTRPHKVLAQGLM-ZFWWWQNUSA-N 1 2 316.405 1.616 20 30 DDEDLO C#CC[NH2+][C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@H]1C ZINC001036140937 752202076 /nfs/dbraw/zinc/20/20/76/752202076.db2.gz MFZYWEVPLDSUSF-CJNGLKHVSA-N 1 2 323.400 1.545 20 30 DDEDLO Cc1nc(NC[C@@H]2CCCN2C(=O)CSCC#N)cc[nH+]1 ZINC001110531187 747783901 /nfs/dbraw/zinc/78/39/01/747783901.db2.gz VKUULPOYWKVGJL-LBPRGKRZSA-N 1 2 305.407 1.445 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+][C@H](C)c1nc(C)no1 ZINC001127613207 747801230 /nfs/dbraw/zinc/80/12/30/747801230.db2.gz VERNEKIBVGGQAB-RTXFEEFZSA-N 1 2 308.382 1.126 20 30 DDEDLO CC#CC[NH+]1CC(CNC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001031812862 747923604 /nfs/dbraw/zinc/92/36/04/747923604.db2.gz IGTWFRIIHROCTP-UHFFFAOYSA-N 1 2 306.797 1.625 20 30 DDEDLO Cc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC2CC2)C3)ncn1 ZINC001110540229 747950783 /nfs/dbraw/zinc/95/07/83/747950783.db2.gz JCDYRUMOSJDLAV-ZACQAIPSSA-N 1 2 310.401 1.420 20 30 DDEDLO Cc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC2CC2)C3)ncn1 ZINC001110540229 747950788 /nfs/dbraw/zinc/95/07/88/747950788.db2.gz JCDYRUMOSJDLAV-ZACQAIPSSA-N 1 2 310.401 1.420 20 30 DDEDLO C=CCCC(=O)N1CCC([NH2+]Cc2nsc(N(C)C)n2)CC1 ZINC001199725865 747967923 /nfs/dbraw/zinc/96/79/23/747967923.db2.gz DTKNKSOIPLENKU-UHFFFAOYSA-N 1 2 323.466 1.651 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2c3c[nH]nc3CC[C@@H]2C)C1 ZINC001031857384 748036721 /nfs/dbraw/zinc/03/67/21/748036721.db2.gz UASYRQSAXCHCKC-BONVTDFDSA-N 1 2 322.840 1.876 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@@H](C[NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001200101579 748123447 /nfs/dbraw/zinc/12/34/47/748123447.db2.gz YTOCGFATAIPEST-JSGCOSHPSA-N 1 2 322.409 1.612 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@H](C[NH2+]Cc2csnn2)C1 ZINC001200084050 748129956 /nfs/dbraw/zinc/12/99/56/748129956.db2.gz RZXGERGDSGJNCG-CYBMUJFWSA-N 1 2 310.423 1.211 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@H](C[NH2+]Cc2nnc(CC)o2)C1 ZINC001200083737 748130036 /nfs/dbraw/zinc/13/00/36/748130036.db2.gz NIVADIUPCCPYGB-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cnc(C)nc3)C2)cc1 ZINC001031892148 748135974 /nfs/dbraw/zinc/13/59/74/748135974.db2.gz OJUCOULXGUSGHR-UHFFFAOYSA-N 1 2 320.396 1.628 20 30 DDEDLO C=CCNC(=S)N1CCc2onc(Cn3cc[nH+]c3)c2C1 ZINC001200286959 748189353 /nfs/dbraw/zinc/18/93/53/748189353.db2.gz VTHKLKWZDHRECN-UHFFFAOYSA-N 1 2 303.391 1.338 20 30 DDEDLO C=CCNC(=S)N1CCCn2c(C[N@@H+](C)C(C)C)nnc2C1 ZINC001200288023 748190133 /nfs/dbraw/zinc/19/01/33/748190133.db2.gz QOEGBDBBPMZOFL-UHFFFAOYSA-N 1 2 322.482 1.385 20 30 DDEDLO C=CCNC(=S)N1CCCn2c(C[N@H+](C)C(C)C)nnc2C1 ZINC001200288023 748190136 /nfs/dbraw/zinc/19/01/36/748190136.db2.gz QOEGBDBBPMZOFL-UHFFFAOYSA-N 1 2 322.482 1.385 20 30 DDEDLO Cn1nccc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004367533 748367880 /nfs/dbraw/zinc/36/78/80/748367880.db2.gz CVILLTTUIOOCJX-CQSZACIVSA-N 1 2 301.394 1.260 20 30 DDEDLO Cn1nccc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004367533 748367885 /nfs/dbraw/zinc/36/78/85/748367885.db2.gz CVILLTTUIOOCJX-CQSZACIVSA-N 1 2 301.394 1.260 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2cccc(=O)[nH]2)CC1 ZINC001004391036 748389274 /nfs/dbraw/zinc/38/92/74/748389274.db2.gz IEBTXRGOLNBGPA-OAHLLOKOSA-N 1 2 314.389 1.627 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2cccc(=O)[nH]2)CC1 ZINC001004391036 748389278 /nfs/dbraw/zinc/38/92/78/748389278.db2.gz IEBTXRGOLNBGPA-OAHLLOKOSA-N 1 2 314.389 1.627 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)CO[C@@H]2CCOC2)CC1 ZINC001004429723 748425753 /nfs/dbraw/zinc/42/57/53/748425753.db2.gz OELYAOJJGUNMLK-HZPDHXFCSA-N 1 2 321.421 1.018 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)CO[C@@H]2CCOC2)CC1 ZINC001004429723 748425756 /nfs/dbraw/zinc/42/57/56/748425756.db2.gz OELYAOJJGUNMLK-HZPDHXFCSA-N 1 2 321.421 1.018 20 30 DDEDLO CCN(CCNc1ccc(C#N)cn1)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001106987533 748491083 /nfs/dbraw/zinc/49/10/83/748491083.db2.gz LYKVHLWRYGUMSX-ZIAGYGMSSA-N 1 2 324.388 1.740 20 30 DDEDLO CCN(CCNc1ccc(C#N)cn1)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001106987533 748491087 /nfs/dbraw/zinc/49/10/87/748491087.db2.gz LYKVHLWRYGUMSX-ZIAGYGMSSA-N 1 2 324.388 1.740 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc3ncccc3o2)C1 ZINC001108077875 748603178 /nfs/dbraw/zinc/60/31/78/748603178.db2.gz BFFOBMMWTPPXHE-KRWDZBQOSA-N 1 2 315.373 1.835 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc3ncccc3o2)C1 ZINC001108077875 748603180 /nfs/dbraw/zinc/60/31/80/748603180.db2.gz BFFOBMMWTPPXHE-KRWDZBQOSA-N 1 2 315.373 1.835 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)Cc3ccco3)c2C1 ZINC001128524865 748733509 /nfs/dbraw/zinc/73/35/09/748733509.db2.gz NJOIMJKJAXJOCG-UHFFFAOYSA-N 1 2 314.389 1.727 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)Cc3ccco3)c2C1 ZINC001128524865 748733512 /nfs/dbraw/zinc/73/35/12/748733512.db2.gz NJOIMJKJAXJOCG-UHFFFAOYSA-N 1 2 314.389 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1COC(=O)C1)C2 ZINC001095313808 748909749 /nfs/dbraw/zinc/90/97/49/748909749.db2.gz VGZOTAYFBJSYIA-NDBYEHHHSA-N 1 2 312.797 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1COC(=O)C1)C2 ZINC001095313808 748909752 /nfs/dbraw/zinc/90/97/52/748909752.db2.gz VGZOTAYFBJSYIA-NDBYEHHHSA-N 1 2 312.797 1.414 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C(C)(C)C)C2 ZINC001110720680 748940003 /nfs/dbraw/zinc/94/00/03/748940003.db2.gz PGIFMFLBTOOPCD-TUVASFSCSA-N 1 2 319.449 1.140 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C(C)(C)C)C2 ZINC001110720680 748940008 /nfs/dbraw/zinc/94/00/08/748940008.db2.gz PGIFMFLBTOOPCD-TUVASFSCSA-N 1 2 319.449 1.140 20 30 DDEDLO C[C@@]1(NC(=O)Cc2[nH]cc[nH+]2)CCN(c2ccc(C#N)cn2)C1 ZINC001110778336 749003573 /nfs/dbraw/zinc/00/35/73/749003573.db2.gz FZQGNGQADSVNBL-MRXNPFEDSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnon3)C[C@H]21 ZINC001114336942 749083156 /nfs/dbraw/zinc/08/31/56/749083156.db2.gz YDVWVSNHGANWFZ-GDNZZTSVSA-N 1 2 310.785 1.395 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnon3)C[C@H]21 ZINC001114336942 749083160 /nfs/dbraw/zinc/08/31/60/749083160.db2.gz YDVWVSNHGANWFZ-GDNZZTSVSA-N 1 2 310.785 1.395 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001114348195 749100574 /nfs/dbraw/zinc/10/05/74/749100574.db2.gz JEKZNNMMVOWKQB-HALDLXJZSA-N 1 2 302.422 1.806 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001114348195 749100576 /nfs/dbraw/zinc/10/05/76/749100576.db2.gz JEKZNNMMVOWKQB-HALDLXJZSA-N 1 2 302.422 1.806 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn(CC3CC3)c2)C1 ZINC001108328770 761922515 /nfs/dbraw/zinc/92/25/15/761922515.db2.gz KAXGUOQRAQFGNO-KRWDZBQOSA-N 1 2 318.421 1.300 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn(CC3CC3)c2)C1 ZINC001108328770 761922519 /nfs/dbraw/zinc/92/25/19/761922519.db2.gz KAXGUOQRAQFGNO-KRWDZBQOSA-N 1 2 318.421 1.300 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C=C3CCCCC3)nn2)C1 ZINC001107136781 749307050 /nfs/dbraw/zinc/30/70/50/749307050.db2.gz HFTJBRKNXZFSDP-UHFFFAOYSA-N 1 2 315.421 1.828 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001056886423 761951283 /nfs/dbraw/zinc/95/12/83/761951283.db2.gz NTYZVZILWTYVPQ-CYBMUJFWSA-N 1 2 310.361 1.336 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@H]3CCC[C@H]3C)nn2)C1 ZINC001107174510 749493677 /nfs/dbraw/zinc/49/36/77/749493677.db2.gz TVMQMJDVLBBGKU-ZIAGYGMSSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](OC)c2ccccc2)C1 ZINC001108350841 761969856 /nfs/dbraw/zinc/96/98/56/761969856.db2.gz CLUSINPQMJGQEF-SJLPKXTDSA-N 1 2 318.417 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](OC)c2ccccc2)C1 ZINC001108350841 761969864 /nfs/dbraw/zinc/96/98/64/761969864.db2.gz CLUSINPQMJGQEF-SJLPKXTDSA-N 1 2 318.417 1.767 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)CCCCC)[C@@H](n2ccnn2)C1 ZINC001128716129 749666786 /nfs/dbraw/zinc/66/67/86/749666786.db2.gz KVCZUPFCPAYJJH-CABCVRRESA-N 1 2 303.410 1.223 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)CCCCC)[C@@H](n2ccnn2)C1 ZINC001128716129 749666793 /nfs/dbraw/zinc/66/67/93/749666793.db2.gz KVCZUPFCPAYJJH-CABCVRRESA-N 1 2 303.410 1.223 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)C(C)(F)F)C1 ZINC001108368700 761988854 /nfs/dbraw/zinc/98/88/54/761988854.db2.gz BTGJMFFOKQLFBG-HNNXBMFYSA-N 1 2 316.392 1.898 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)C(C)(F)F)C1 ZINC001108368700 761988859 /nfs/dbraw/zinc/98/88/59/761988859.db2.gz BTGJMFFOKQLFBG-HNNXBMFYSA-N 1 2 316.392 1.898 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001033832431 749954730 /nfs/dbraw/zinc/95/47/30/749954730.db2.gz FJUVSWRTRZYQBE-CQSZACIVSA-N 1 2 302.422 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001033832431 749954736 /nfs/dbraw/zinc/95/47/36/749954736.db2.gz FJUVSWRTRZYQBE-CQSZACIVSA-N 1 2 302.422 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1CC ZINC001039400939 761999957 /nfs/dbraw/zinc/99/99/57/761999957.db2.gz DMLCUPKFYWNFFJ-NEPJUHHUSA-N 1 2 304.419 1.413 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1CC ZINC001039400939 761999962 /nfs/dbraw/zinc/99/99/62/761999962.db2.gz DMLCUPKFYWNFFJ-NEPJUHHUSA-N 1 2 304.419 1.413 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)noc1C(F)F)C2 ZINC001095600620 750069145 /nfs/dbraw/zinc/06/91/45/750069145.db2.gz YTKYSIRELHNYLD-VWYCJHECSA-N 1 2 309.316 1.889 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)noc1C(F)F)C2 ZINC001095600620 750069152 /nfs/dbraw/zinc/06/91/52/750069152.db2.gz YTKYSIRELHNYLD-VWYCJHECSA-N 1 2 309.316 1.889 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn(C)c(=O)c1)C2 ZINC001095635588 750101199 /nfs/dbraw/zinc/10/11/99/750101199.db2.gz IZEKXODTGISWAF-RDBSUJKOSA-N 1 2 321.808 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn(C)c(=O)c1)C2 ZINC001095635588 750101203 /nfs/dbraw/zinc/10/12/03/750101203.db2.gz IZEKXODTGISWAF-RDBSUJKOSA-N 1 2 321.808 1.473 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)Cc2cc[nH]n2)c1 ZINC001032328684 750153631 /nfs/dbraw/zinc/15/36/31/750153631.db2.gz VKCFKBRZSLNHBR-IRXDYDNUSA-N 1 2 321.384 1.309 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)Cc2cc[nH]n2)c1 ZINC001032328684 750153635 /nfs/dbraw/zinc/15/36/35/750153635.db2.gz VKCFKBRZSLNHBR-IRXDYDNUSA-N 1 2 321.384 1.309 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)Cc2ccn[nH]2)c1 ZINC001032328684 750153640 /nfs/dbraw/zinc/15/36/40/750153640.db2.gz VKCFKBRZSLNHBR-IRXDYDNUSA-N 1 2 321.384 1.309 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)Cc2ccn[nH]2)c1 ZINC001032328684 750153644 /nfs/dbraw/zinc/15/36/44/750153644.db2.gz VKCFKBRZSLNHBR-IRXDYDNUSA-N 1 2 321.384 1.309 20 30 DDEDLO CCN(C(=O)c1ccc(-n2cc[nH+]c2)cn1)[C@@H]1CCN(CC#N)C1 ZINC001034016641 750256508 /nfs/dbraw/zinc/25/65/08/750256508.db2.gz VQONJTAOGGFRIG-OAHLLOKOSA-N 1 2 324.388 1.327 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NC)CC1 ZINC001110982063 750278574 /nfs/dbraw/zinc/27/85/74/750278574.db2.gz GEBDHMTWNGEZFB-MCIONIFRSA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NC)CC1 ZINC001110982063 750278582 /nfs/dbraw/zinc/27/85/82/750278582.db2.gz GEBDHMTWNGEZFB-MCIONIFRSA-N 1 2 305.422 1.200 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2CC(F)(F)C2)[C@H](O)C1 ZINC001090183910 750357805 /nfs/dbraw/zinc/35/78/05/750357805.db2.gz YXIOJRRPJNSWOK-WDEREUQCSA-N 1 2 308.756 1.336 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2CC(F)(F)C2)[C@H](O)C1 ZINC001090183910 750357809 /nfs/dbraw/zinc/35/78/09/750357809.db2.gz YXIOJRRPJNSWOK-WDEREUQCSA-N 1 2 308.756 1.336 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CC(C)C)C2 ZINC001111192183 750426827 /nfs/dbraw/zinc/42/68/27/750426827.db2.gz CDYJQERWGCQKOZ-JJXSEGSLSA-N 1 2 319.449 1.140 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CC(C)C)C2 ZINC001111192183 750426830 /nfs/dbraw/zinc/42/68/30/750426830.db2.gz CDYJQERWGCQKOZ-JJXSEGSLSA-N 1 2 319.449 1.140 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@H](NC(=O)c3ncn[nH]3)C2)c1 ZINC001034443227 750439444 /nfs/dbraw/zinc/43/94/44/750439444.db2.gz CJYWMYXQZGZUJK-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@H](NC(=O)c3ncn[nH]3)C2)c1 ZINC001034443227 750439445 /nfs/dbraw/zinc/43/94/45/750439445.db2.gz CJYWMYXQZGZUJK-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@H](NC(=O)c3nc[nH]n3)C2)c1 ZINC001034443227 750439446 /nfs/dbraw/zinc/43/94/46/750439446.db2.gz CJYWMYXQZGZUJK-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@H](NC(=O)c3nc[nH]n3)C2)c1 ZINC001034443227 750439449 /nfs/dbraw/zinc/43/94/49/750439449.db2.gz CJYWMYXQZGZUJK-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#CCN1CCCC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC001034491910 750480309 /nfs/dbraw/zinc/48/03/09/750480309.db2.gz ISULIIHPZHEXRW-CQSZACIVSA-N 1 2 324.388 1.375 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCCC)C2 ZINC001111346947 750498768 /nfs/dbraw/zinc/49/87/68/750498768.db2.gz CDBXPUSYIVQEIZ-OAGGEKHMSA-N 1 2 319.449 1.428 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCCC)C2 ZINC001111346947 750498769 /nfs/dbraw/zinc/49/87/69/750498769.db2.gz CDBXPUSYIVQEIZ-OAGGEKHMSA-N 1 2 319.449 1.428 20 30 DDEDLO CCCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001111347388 750499586 /nfs/dbraw/zinc/49/95/86/750499586.db2.gz YNNHVBDLGMSZBE-KFWWJZLASA-N 1 2 320.437 1.318 20 30 DDEDLO CCCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001111347388 750499588 /nfs/dbraw/zinc/49/95/88/750499588.db2.gz YNNHVBDLGMSZBE-KFWWJZLASA-N 1 2 320.437 1.318 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001108136757 750660796 /nfs/dbraw/zinc/66/07/96/750660796.db2.gz DXHWMLGSVZRYQJ-CHWSQXEVSA-N 1 2 312.377 1.718 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCOCC(C)C)[C@H](O)C1 ZINC001099678973 750688052 /nfs/dbraw/zinc/68/80/52/750688052.db2.gz SBOYZBQDQUMFIY-UONOGXRCSA-N 1 2 318.845 1.353 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCOCC(C)C)[C@H](O)C1 ZINC001099678973 750688054 /nfs/dbraw/zinc/68/80/54/750688054.db2.gz SBOYZBQDQUMFIY-UONOGXRCSA-N 1 2 318.845 1.353 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Oc1ccccc1F ZINC001032418338 750723995 /nfs/dbraw/zinc/72/39/95/750723995.db2.gz PPAVUWQZSNRFCS-RDBSUJKOSA-N 1 2 302.349 1.511 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Oc1ccccc1F ZINC001032418338 750723998 /nfs/dbraw/zinc/72/39/98/750723998.db2.gz PPAVUWQZSNRFCS-RDBSUJKOSA-N 1 2 302.349 1.511 20 30 DDEDLO Cc1nc(N(C)C)nc(N[C@@H](C)CN(C)C(=O)C#CC(C)C)[nH+]1 ZINC001115716031 750753281 /nfs/dbraw/zinc/75/32/81/750753281.db2.gz DBHZQDNXCYUUDT-LBPRGKRZSA-N 1 2 318.425 1.164 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cn1ccc(C)n1 ZINC001032421844 750776919 /nfs/dbraw/zinc/77/69/19/750776919.db2.gz SQSJQOGQNJWOPW-BPUTZDHNSA-N 1 2 300.406 1.136 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cn1ccc(C)n1 ZINC001032421844 750776921 /nfs/dbraw/zinc/77/69/21/750776921.db2.gz SQSJQOGQNJWOPW-BPUTZDHNSA-N 1 2 300.406 1.136 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(c3cc(C)no3)CC2)C1 ZINC001108158718 750877136 /nfs/dbraw/zinc/87/71/36/750877136.db2.gz IRYBLYDRTJEEAJ-INIZCTEOSA-N 1 2 319.405 1.408 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(c3cc(C)no3)CC2)C1 ZINC001108158718 750877142 /nfs/dbraw/zinc/87/71/42/750877142.db2.gz IRYBLYDRTJEEAJ-INIZCTEOSA-N 1 2 319.405 1.408 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001114627648 750881550 /nfs/dbraw/zinc/88/15/50/750881550.db2.gz ZUJJFZYJKAXDPS-HWMZRRJGSA-N 1 2 319.405 1.450 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001114627648 750881560 /nfs/dbraw/zinc/88/15/60/750881560.db2.gz ZUJJFZYJKAXDPS-HWMZRRJGSA-N 1 2 319.405 1.450 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cncc2ccccc21 ZINC001032454427 750882640 /nfs/dbraw/zinc/88/26/40/750882640.db2.gz REXNNLRFAUHOEO-IRXDYDNUSA-N 1 2 305.381 1.696 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cncc2ccccc21 ZINC001032454427 750882648 /nfs/dbraw/zinc/88/26/48/750882648.db2.gz REXNNLRFAUHOEO-IRXDYDNUSA-N 1 2 305.381 1.696 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(CC=C)CC=C)[C@@H](n2ccnn2)C1 ZINC001129014935 750913562 /nfs/dbraw/zinc/91/35/62/750913562.db2.gz ULYUWXLLQIALGF-CVEARBPZSA-N 1 2 313.405 1.021 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(CC=C)CC=C)[C@@H](n2ccnn2)C1 ZINC001129014935 750913565 /nfs/dbraw/zinc/91/35/65/750913565.db2.gz ULYUWXLLQIALGF-CVEARBPZSA-N 1 2 313.405 1.021 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CC(C)C)C1=O ZINC001017307523 750931642 /nfs/dbraw/zinc/93/16/42/750931642.db2.gz BRGLMBLYDNEHHH-XHSDSOJGSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CC(C)C)C1=O ZINC001017307523 750931648 /nfs/dbraw/zinc/93/16/48/750931648.db2.gz BRGLMBLYDNEHHH-XHSDSOJGSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CCC)no3)C[C@H]21 ZINC001114673065 750973682 /nfs/dbraw/zinc/97/36/82/750973682.db2.gz ZWRDYONYHRDZTL-JYAVWHMHSA-N 1 2 318.421 1.781 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CCC)no3)C[C@H]21 ZINC001114673065 750973685 /nfs/dbraw/zinc/97/36/85/750973685.db2.gz ZWRDYONYHRDZTL-JYAVWHMHSA-N 1 2 318.421 1.781 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2CCC=CCC2)C1 ZINC001108402727 762085708 /nfs/dbraw/zinc/08/57/08/762085708.db2.gz LRZKNKOPLXPCGR-GOSISDBHSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2CCC=CCC2)C1 ZINC001108402727 762085711 /nfs/dbraw/zinc/08/57/11/762085711.db2.gz LRZKNKOPLXPCGR-GOSISDBHSA-N 1 2 304.434 1.963 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)n3CC)C[C@H]21 ZINC001114752891 751053072 /nfs/dbraw/zinc/05/30/72/751053072.db2.gz MRPZPTWVOHIDND-HALDLXJZSA-N 1 2 317.437 1.509 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)n3CC)C[C@H]21 ZINC001114752891 751053078 /nfs/dbraw/zinc/05/30/78/751053078.db2.gz MRPZPTWVOHIDND-HALDLXJZSA-N 1 2 317.437 1.509 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1conc1C(F)(F)F ZINC001032523106 751185331 /nfs/dbraw/zinc/18/53/31/751185331.db2.gz MTSMBNZYVSSIOW-IUCAKERBSA-N 1 2 301.268 1.778 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1conc1C(F)(F)F ZINC001032523106 751185338 /nfs/dbraw/zinc/18/53/38/751185338.db2.gz MTSMBNZYVSSIOW-IUCAKERBSA-N 1 2 301.268 1.778 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc(CC(C)C)no2)CCC1 ZINC001129120969 751296973 /nfs/dbraw/zinc/29/69/73/751296973.db2.gz KUSHAULIBCYFCA-UHFFFAOYSA-N 1 2 318.421 1.668 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c[nH]c2c1cccc2C ZINC001032665464 752670895 /nfs/dbraw/zinc/67/08/95/752670895.db2.gz XNZBEFCMYBAABH-HOTGVXAUSA-N 1 2 307.397 1.937 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c[nH]c2c1cccc2C ZINC001032665464 752670904 /nfs/dbraw/zinc/67/09/04/752670904.db2.gz XNZBEFCMYBAABH-HOTGVXAUSA-N 1 2 307.397 1.937 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1CNC(=O)Cc1c[nH+]cn1C ZINC001062058671 752708051 /nfs/dbraw/zinc/70/80/51/752708051.db2.gz YTESKBZRWYWRTG-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccncc1C(F)(F)F ZINC001032712186 752819416 /nfs/dbraw/zinc/81/94/16/752819416.db2.gz MGVRSHIRLQRWIF-QWRGUYRKSA-N 1 2 309.291 1.632 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccncc1C(F)(F)F ZINC001032712186 752819418 /nfs/dbraw/zinc/81/94/18/752819418.db2.gz MGVRSHIRLQRWIF-QWRGUYRKSA-N 1 2 309.291 1.632 20 30 DDEDLO C=C(C)CCC(=O)N1CCCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001062243691 752843233 /nfs/dbraw/zinc/84/32/33/752843233.db2.gz GAVCTIZLHRWFSL-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)ccn1 ZINC001032714120 752877533 /nfs/dbraw/zinc/87/75/33/752877533.db2.gz KBFIRQLZRVSHSB-RYUDHWBXSA-N 1 2 309.291 1.632 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)ccn1 ZINC001032714120 752877542 /nfs/dbraw/zinc/87/75/42/752877542.db2.gz KBFIRQLZRVSHSB-RYUDHWBXSA-N 1 2 309.291 1.632 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](N(C)c2ccc(C#N)cn2)C1 ZINC001056949976 762166682 /nfs/dbraw/zinc/16/66/82/762166682.db2.gz YGLMDVODUGVSES-CQSZACIVSA-N 1 2 324.388 1.265 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc(F)c2F)C1 ZINC001108165577 753166430 /nfs/dbraw/zinc/16/64/30/753166430.db2.gz IZMCIVIKTPRCBN-MRXNPFEDSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc(F)c2F)C1 ZINC001108165577 753166436 /nfs/dbraw/zinc/16/64/36/753166436.db2.gz IZMCIVIKTPRCBN-MRXNPFEDSA-N 1 2 310.344 1.972 20 30 DDEDLO Cc1cc(N(C)[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001056955278 762192008 /nfs/dbraw/zinc/19/20/08/762192008.db2.gz AYLZXKJDHZNBIG-AWEZNQCLSA-N 1 2 324.388 1.265 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H](OCC)C(C)C)C1 ZINC001107993179 753354396 /nfs/dbraw/zinc/35/43/96/753354396.db2.gz JOTFMXQWVSLYQO-WMZOPIPTSA-N 1 2 324.465 1.668 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](OCC)C(C)C)C1 ZINC001107993179 753354401 /nfs/dbraw/zinc/35/44/01/753354401.db2.gz JOTFMXQWVSLYQO-WMZOPIPTSA-N 1 2 324.465 1.668 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001062685944 753376322 /nfs/dbraw/zinc/37/63/22/753376322.db2.gz VBCNTJHQVIWCRX-UHFFFAOYSA-N 1 2 304.394 1.464 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(F)cc1 ZINC001032776427 753592789 /nfs/dbraw/zinc/59/27/89/753592789.db2.gz HRYWKOFXJBBXGU-GJZGRUSLSA-N 1 2 302.349 1.513 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(F)cc1 ZINC001032776427 753592794 /nfs/dbraw/zinc/59/27/94/753592794.db2.gz HRYWKOFXJBBXGU-GJZGRUSLSA-N 1 2 302.349 1.513 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cccc(F)c2O1 ZINC001032793315 753814772 /nfs/dbraw/zinc/81/47/72/753814772.db2.gz ZWIHIEJBEXYGNS-OFQRWUPVSA-N 1 2 314.360 1.438 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cccc(F)c2O1 ZINC001032793315 753814774 /nfs/dbraw/zinc/81/47/74/753814774.db2.gz ZWIHIEJBEXYGNS-OFQRWUPVSA-N 1 2 314.360 1.438 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001078273976 753910829 /nfs/dbraw/zinc/91/08/29/753910829.db2.gz OVDDARHJNHPTDQ-LBPRGKRZSA-N 1 2 304.394 1.320 20 30 DDEDLO N#Cc1cccnc1N1CCCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001063197798 754038257 /nfs/dbraw/zinc/03/82/57/754038257.db2.gz FCOAQUVQEMKONB-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)CCc1[nH+]ccn1C ZINC001066985368 754188155 /nfs/dbraw/zinc/18/81/55/754188155.db2.gz KVOCYDZYWSPTLW-UHFFFAOYSA-N 1 2 320.437 1.626 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)NCC1=CCN(CC#N)CC1)C2 ZINC001000865266 762280536 /nfs/dbraw/zinc/28/05/36/762280536.db2.gz APEDSSMGYADANK-CQSZACIVSA-N 1 2 313.405 1.095 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)NCC1=CCN(CC#N)CC1)CC2 ZINC001000865266 762280543 /nfs/dbraw/zinc/28/05/43/762280543.db2.gz APEDSSMGYADANK-CQSZACIVSA-N 1 2 313.405 1.095 20 30 DDEDLO N#CCN[C@H]1C[C@@H](NC(=O)c2cccc3[nH+]ccn32)C12CCC2 ZINC001078681313 754298441 /nfs/dbraw/zinc/29/84/41/754298441.db2.gz YZKRKMQTTGRXHE-UONOGXRCSA-N 1 2 309.373 1.488 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064069534 754481672 /nfs/dbraw/zinc/48/16/72/754481672.db2.gz WAILMJUNEVYVSB-CHWSQXEVSA-N 1 2 318.421 1.566 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001064141517 754538224 /nfs/dbraw/zinc/53/82/24/754538224.db2.gz VIIVNKFVNBKFSL-DZGCQCFKSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccns2)[C@@H](O)C1 ZINC001090304542 754811739 /nfs/dbraw/zinc/81/17/39/754811739.db2.gz UIJKTDDFFZVPHP-UWVGGRQHSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccns2)[C@@H](O)C1 ZINC001090304542 754811745 /nfs/dbraw/zinc/81/17/45/754811745.db2.gz UIJKTDDFFZVPHP-UWVGGRQHSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C1CCC(C(=O)N2CCN(C(=O)Cc3[nH]cc[nH+]3)CC2)CC1 ZINC001012226544 754942286 /nfs/dbraw/zinc/94/22/86/754942286.db2.gz NVKFURFUBOZZHT-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CCCc2nn(C)cc21 ZINC001038626494 755001914 /nfs/dbraw/zinc/00/19/14/755001914.db2.gz PUXLMRLBCGOGCA-UONOGXRCSA-N 1 2 300.406 1.054 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CCCc2nn(C)cc21 ZINC001038626494 755001919 /nfs/dbraw/zinc/00/19/19/755001919.db2.gz PUXLMRLBCGOGCA-UONOGXRCSA-N 1 2 300.406 1.054 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)Cc2ncccc2OC)C1 ZINC001080334434 755843014 /nfs/dbraw/zinc/84/30/14/755843014.db2.gz FSZVXCJYQKFNQV-BXUZGUMPSA-N 1 2 323.824 1.822 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)Cc2ncccc2OC)C1 ZINC001080334434 755843017 /nfs/dbraw/zinc/84/30/17/755843017.db2.gz FSZVXCJYQKFNQV-BXUZGUMPSA-N 1 2 323.824 1.822 20 30 DDEDLO C#CC[N@@H+](CC)[C@H](C)CNC(=O)CS(=O)(=O)CCCCC ZINC001156319562 762429002 /nfs/dbraw/zinc/42/90/02/762429002.db2.gz WYVBEDACRFICGA-CQSZACIVSA-N 1 2 316.467 1.051 20 30 DDEDLO C#CC[N@H+](CC)[C@H](C)CNC(=O)CS(=O)(=O)CCCCC ZINC001156319562 762429009 /nfs/dbraw/zinc/42/90/09/762429009.db2.gz WYVBEDACRFICGA-CQSZACIVSA-N 1 2 316.467 1.051 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2cnns2)C[C@H]1C ZINC001080472287 755932398 /nfs/dbraw/zinc/93/23/98/755932398.db2.gz NFDHMQKAQBUQSN-ZRJCITRHSA-N 1 2 322.434 1.066 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2cnns2)C[C@H]1C ZINC001080472287 755932400 /nfs/dbraw/zinc/93/24/00/755932400.db2.gz NFDHMQKAQBUQSN-ZRJCITRHSA-N 1 2 322.434 1.066 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080629201 756011606 /nfs/dbraw/zinc/01/16/06/756011606.db2.gz ABTUPZYXMMQBLR-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001014967748 756045085 /nfs/dbraw/zinc/04/50/85/756045085.db2.gz IGSODVFDWWGGEP-ZDUSSCGKSA-N 1 2 307.781 1.342 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001014967748 756045091 /nfs/dbraw/zinc/04/50/91/756045091.db2.gz IGSODVFDWWGGEP-ZDUSSCGKSA-N 1 2 307.781 1.342 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(N(C)C)cn2)C1 ZINC001081015703 756191073 /nfs/dbraw/zinc/19/10/73/756191073.db2.gz SEQYRPWXDMCEMY-IAQYHMDHSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(N(C)C)cn2)C1 ZINC001081015703 756191078 /nfs/dbraw/zinc/19/10/78/756191078.db2.gz SEQYRPWXDMCEMY-IAQYHMDHSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(CC)[nH]c(=O)c2)C1 ZINC001015308247 756234056 /nfs/dbraw/zinc/23/40/56/756234056.db2.gz VIAIYCJCCJZJJT-CYBMUJFWSA-N 1 2 309.797 1.906 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(CC)[nH]c(=O)c2)C1 ZINC001015308247 756234063 /nfs/dbraw/zinc/23/40/63/756234063.db2.gz VIAIYCJCCJZJJT-CYBMUJFWSA-N 1 2 309.797 1.906 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2cccc(Cl)c2)C[C@H]1NC(C)=O ZINC001081238438 756274488 /nfs/dbraw/zinc/27/44/88/756274488.db2.gz IPJHPFMZLHZENY-HZPDHXFCSA-N 1 2 306.793 1.527 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2cccc(Cl)c2)C[C@H]1NC(C)=O ZINC001081238438 756274490 /nfs/dbraw/zinc/27/44/90/756274490.db2.gz IPJHPFMZLHZENY-HZPDHXFCSA-N 1 2 306.793 1.527 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001015490941 756338788 /nfs/dbraw/zinc/33/87/88/756338788.db2.gz BKCYWYHZEXWMPR-HNNXBMFYSA-N 1 2 309.373 1.299 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001015490941 756338793 /nfs/dbraw/zinc/33/87/93/756338793.db2.gz BKCYWYHZEXWMPR-HNNXBMFYSA-N 1 2 309.373 1.299 20 30 DDEDLO C=CCC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)[C@H](OC)C1 ZINC001081670144 756421660 /nfs/dbraw/zinc/42/16/60/756421660.db2.gz HFQBQAOTKNEANA-DAXOMENPSA-N 1 2 318.421 1.221 20 30 DDEDLO C=CCC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)[C@H](OC)C1 ZINC001081670144 756421664 /nfs/dbraw/zinc/42/16/64/756421664.db2.gz HFQBQAOTKNEANA-DAXOMENPSA-N 1 2 318.421 1.221 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(F)CCCC2)[C@H](O)C1 ZINC001090370349 756434638 /nfs/dbraw/zinc/43/46/38/756434638.db2.gz CDENWJBXAREYEO-NWDGAFQWSA-N 1 2 304.793 1.573 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(F)CCCC2)[C@H](O)C1 ZINC001090370349 756434642 /nfs/dbraw/zinc/43/46/42/756434642.db2.gz CDENWJBXAREYEO-NWDGAFQWSA-N 1 2 304.793 1.573 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(F)F)nc2)[C@H](OC)C1 ZINC001081778369 756456448 /nfs/dbraw/zinc/45/64/48/756456448.db2.gz UVRPMFDEZQTJCF-CHWSQXEVSA-N 1 2 309.316 1.081 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(F)F)nc2)[C@H](OC)C1 ZINC001081778369 756456451 /nfs/dbraw/zinc/45/64/51/756456451.db2.gz UVRPMFDEZQTJCF-CHWSQXEVSA-N 1 2 309.316 1.081 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3oc(C)nc3c2)[C@H](OC)C1 ZINC001081835636 756482984 /nfs/dbraw/zinc/48/29/84/756482984.db2.gz CBQYPKVVIYEWJC-GDBMZVCRSA-N 1 2 313.357 1.198 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3oc(C)nc3c2)[C@H](OC)C1 ZINC001081835636 756482986 /nfs/dbraw/zinc/48/29/86/756482986.db2.gz CBQYPKVVIYEWJC-GDBMZVCRSA-N 1 2 313.357 1.198 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)n([C@@H](C)CC)n2)[C@H](OC)C1 ZINC001081877265 756503981 /nfs/dbraw/zinc/50/39/81/756503981.db2.gz GHISLPYSEOUSQE-APHBMKBZSA-N 1 2 318.421 1.225 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)n([C@@H](C)CC)n2)[C@H](OC)C1 ZINC001081877265 756503983 /nfs/dbraw/zinc/50/39/83/756503983.db2.gz GHISLPYSEOUSQE-APHBMKBZSA-N 1 2 318.421 1.225 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(-c3ccccc3)n[nH]2)[C@H](OC)C1 ZINC001081917077 756534246 /nfs/dbraw/zinc/53/42/46/756534246.db2.gz SNQJYABRWNWQNQ-IAGOWNOFSA-N 1 2 324.384 1.139 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(-c3ccccc3)n[nH]2)[C@H](OC)C1 ZINC001081917077 756534250 /nfs/dbraw/zinc/53/42/50/756534250.db2.gz SNQJYABRWNWQNQ-IAGOWNOFSA-N 1 2 324.384 1.139 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2conc2C(F)(F)F)[C@H](OC)C1 ZINC001081949288 756560672 /nfs/dbraw/zinc/56/06/72/756560672.db2.gz IRAUPDFVVVSSHV-NXEZZACHSA-N 1 2 319.283 1.308 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2conc2C(F)(F)F)[C@H](OC)C1 ZINC001081949288 756560676 /nfs/dbraw/zinc/56/06/76/756560676.db2.gz IRAUPDFVVVSSHV-NXEZZACHSA-N 1 2 319.283 1.308 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cnn(C)c3)C[C@H]2OC)C1 ZINC001082103047 756642302 /nfs/dbraw/zinc/64/23/02/756642302.db2.gz QTVASQYQXKJFBO-HUUCEWRRSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cnn(C)c3)C[C@H]2OC)C1 ZINC001082103047 756642305 /nfs/dbraw/zinc/64/23/05/756642305.db2.gz QTVASQYQXKJFBO-HUUCEWRRSA-N 1 2 318.421 1.092 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(OC)c2)[C@H](OC)C1 ZINC001082203819 756681600 /nfs/dbraw/zinc/68/16/00/756681600.db2.gz RADPSZGMYNCCKX-UKRRQHHQSA-N 1 2 322.792 1.411 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(OC)c2)[C@H](OC)C1 ZINC001082203819 756681603 /nfs/dbraw/zinc/68/16/03/756681603.db2.gz RADPSZGMYNCCKX-UKRRQHHQSA-N 1 2 322.792 1.411 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001082251109 756709309 /nfs/dbraw/zinc/70/93/09/756709309.db2.gz BGZXBOMAOVDTRB-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@]2(C)CCc3ccccc32)[C@H](OC)C1 ZINC001082391299 756765743 /nfs/dbraw/zinc/76/57/43/756765743.db2.gz DBLXPBRAJODYQF-ZHALLVOQSA-N 1 2 312.413 1.339 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@]2(C)CCc3ccccc32)[C@H](OC)C1 ZINC001082391299 756765745 /nfs/dbraw/zinc/76/57/45/756765745.db2.gz DBLXPBRAJODYQF-ZHALLVOQSA-N 1 2 312.413 1.339 20 30 DDEDLO CCOc1nnc(C[NH2+][C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)s1 ZINC001082448261 756804514 /nfs/dbraw/zinc/80/45/14/756804514.db2.gz JVWFDDQEEITXLY-GMTAPVOTSA-N 1 2 323.422 1.033 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(C)c3F)[C@H]2C1 ZINC001083088003 757128480 /nfs/dbraw/zinc/12/84/80/757128480.db2.gz NWDRLOZLWPSXLC-JKSUJKDBSA-N 1 2 316.376 1.683 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(C)c3F)[C@H]2C1 ZINC001083088003 757128482 /nfs/dbraw/zinc/12/84/82/757128482.db2.gz NWDRLOZLWPSXLC-JKSUJKDBSA-N 1 2 316.376 1.683 20 30 DDEDLO CCc1cc(N2CCCC[C@H]2CNC(=O)[C@H](C)C#N)nc(C)[nH+]1 ZINC001097416316 757161952 /nfs/dbraw/zinc/16/19/52/757161952.db2.gz BXSMSGCYESLGSO-DOMZBBRYSA-N 1 2 315.421 1.982 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(OCC(C)C)cc2)[C@@H](O)C1 ZINC001084025661 757230702 /nfs/dbraw/zinc/23/07/02/757230702.db2.gz ZSKVUKPFKMHOTJ-SJORKVTESA-N 1 2 316.401 1.130 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(OCC(C)C)cc2)[C@@H](O)C1 ZINC001084025661 757230708 /nfs/dbraw/zinc/23/07/08/757230708.db2.gz ZSKVUKPFKMHOTJ-SJORKVTESA-N 1 2 316.401 1.130 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cnn(CC)c3)[C@@H]2C1 ZINC001084238478 757440679 /nfs/dbraw/zinc/44/06/79/757440679.db2.gz BBOKUSGWVZSBSF-TZMCWYRMSA-N 1 2 308.813 1.802 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cnn(CC)c3)[C@@H]2C1 ZINC001084238478 757440690 /nfs/dbraw/zinc/44/06/90/757440690.db2.gz BBOKUSGWVZSBSF-TZMCWYRMSA-N 1 2 308.813 1.802 20 30 DDEDLO N#CCN1CCC[C@H](NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001052700435 757662819 /nfs/dbraw/zinc/66/28/19/757662819.db2.gz MCNTZZUWYLCFPU-STQMWFEESA-N 1 2 301.394 1.324 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)c3cncnc3)[C@@H]2C1 ZINC001084604333 757695827 /nfs/dbraw/zinc/69/58/27/757695827.db2.gz BRMWADWAZCSSGH-GZBFAFLISA-N 1 2 320.824 1.865 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)c3cncnc3)[C@@H]2C1 ZINC001084604333 757695835 /nfs/dbraw/zinc/69/58/35/757695835.db2.gz BRMWADWAZCSSGH-GZBFAFLISA-N 1 2 320.824 1.865 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001052732799 757699288 /nfs/dbraw/zinc/69/92/88/757699288.db2.gz BTHDYRSUQHDTOD-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC3CC3)C[C@H]21 ZINC001084635387 757725605 /nfs/dbraw/zinc/72/56/05/757725605.db2.gz YITJXWAGKNDBFE-DDUZABMNSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC3CC3)C[C@H]21 ZINC001084635387 757725609 /nfs/dbraw/zinc/72/56/09/757725609.db2.gz YITJXWAGKNDBFE-DDUZABMNSA-N 1 2 319.449 1.400 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1ccccn1 ZINC001017606601 758074611 /nfs/dbraw/zinc/07/46/11/758074611.db2.gz SROYUEVFTAPTTJ-OKILXGFUSA-N 1 2 321.384 1.394 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1ccccn1 ZINC001017606601 758074623 /nfs/dbraw/zinc/07/46/23/758074623.db2.gz SROYUEVFTAPTTJ-OKILXGFUSA-N 1 2 321.384 1.394 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C[C@@H]21 ZINC001084946072 758086837 /nfs/dbraw/zinc/08/68/37/758086837.db2.gz JEFUXUOXSJDEML-GRYCIOLGSA-N 1 2 306.303 1.833 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CCN(C(=O)C(F)C(F)(F)F)C[C@@H]21 ZINC001084946072 758086843 /nfs/dbraw/zinc/08/68/43/758086843.db2.gz JEFUXUOXSJDEML-GRYCIOLGSA-N 1 2 306.303 1.833 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(CCOC)CC2)[C@@H](O)C1 ZINC001099871237 758168367 /nfs/dbraw/zinc/16/83/67/758168367.db2.gz AUEINUSGUWPWHK-OLZOCXBDSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(CCOC)CC2)[C@@H](O)C1 ZINC001099871237 758168375 /nfs/dbraw/zinc/16/83/75/758168375.db2.gz AUEINUSGUWPWHK-OLZOCXBDSA-N 1 2 316.829 1.107 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1CCC ZINC001017704264 758168453 /nfs/dbraw/zinc/16/84/53/758168453.db2.gz FBFCNPHPXVZAFT-OKILXGFUSA-N 1 2 300.406 1.295 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1CCC ZINC001017704264 758168460 /nfs/dbraw/zinc/16/84/60/758168460.db2.gz FBFCNPHPXVZAFT-OKILXGFUSA-N 1 2 300.406 1.295 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1onc(C)c1C)CCO2 ZINC001053301638 758355878 /nfs/dbraw/zinc/35/58/78/758355878.db2.gz SRKYEQNWNJBBMG-UHFFFAOYSA-N 1 2 305.378 1.394 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1occ3c1CCC3)CCO2 ZINC001053357880 758399386 /nfs/dbraw/zinc/39/93/86/758399386.db2.gz BNNFCHFTEXHYGO-UHFFFAOYSA-N 1 2 316.401 1.871 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@@H]1CC[C@H](C)O1)CCO2 ZINC001053408604 758439932 /nfs/dbraw/zinc/43/99/32/758439932.db2.gz LMENYGHJJNHCPR-GJZGRUSLSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1C[C@H]3CC[C@@H]1O3)CCO2 ZINC001053440377 758462261 /nfs/dbraw/zinc/46/22/61/758462261.db2.gz NQFAFJJSTXMLRD-KFWWJZLASA-N 1 2 306.406 1.043 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC[C@H]1CCCCO1)CCO2 ZINC001053452178 758471114 /nfs/dbraw/zinc/47/11/14/758471114.db2.gz OTASCPLDOSTCQU-MRXNPFEDSA-N 1 2 322.449 1.825 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnoc1C(C)C)CCO2 ZINC001053495659 758501429 /nfs/dbraw/zinc/50/14/29/758501429.db2.gz ZXPTXSIJUZMHMM-UHFFFAOYSA-N 1 2 319.405 1.901 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccccc1OC)CCO2 ZINC001053516089 758523226 /nfs/dbraw/zinc/52/32/26/758523226.db2.gz CEWYXNDMHYTMAK-UHFFFAOYSA-N 1 2 316.401 1.798 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1Nc1ncccc1C#N ZINC001067218302 758544882 /nfs/dbraw/zinc/54/48/82/758544882.db2.gz UOUKQLDSSNCCTL-WFASDCNBSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1Nc1ncccc1C#N ZINC001067218302 758544889 /nfs/dbraw/zinc/54/48/89/758544889.db2.gz UOUKQLDSSNCCTL-WFASDCNBSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)CCCC)CC2=O)C1 ZINC001108541130 762640226 /nfs/dbraw/zinc/64/02/26/762640226.db2.gz ONEIMCNGCFLCKU-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CC(C)C)c1 ZINC001018105639 758551433 /nfs/dbraw/zinc/55/14/33/758551433.db2.gz KNCOETUVELPDMT-IYBDPMFKSA-N 1 2 300.406 1.461 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CC(C)C)c1 ZINC001018105639 758551436 /nfs/dbraw/zinc/55/14/36/758551436.db2.gz KNCOETUVELPDMT-IYBDPMFKSA-N 1 2 300.406 1.461 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCCC[C@@H]1OC)CCO2 ZINC001053546464 758552232 /nfs/dbraw/zinc/55/22/32/758552232.db2.gz OMKXDQUAALMHPN-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CC(C)(C)C3CC3)CC2=O)C1 ZINC001108541795 762642808 /nfs/dbraw/zinc/64/28/08/762642808.db2.gz ZRWZVHOCXICWNG-CQSZACIVSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(C)[nH]nc1Cl)O2 ZINC001053607551 758627706 /nfs/dbraw/zinc/62/77/06/758627706.db2.gz ZEYLMKUENDYYOD-NSHDSACASA-N 1 2 324.812 1.521 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1OCC[C@H]1CC)O2 ZINC001053613335 758631128 /nfs/dbraw/zinc/63/11/28/758631128.db2.gz AZXUKXGSGKJRBD-PMPSAXMXSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1CCC1(F)F)O2 ZINC001053615866 758633707 /nfs/dbraw/zinc/63/37/07/758633707.db2.gz UPEWGBUNNKDZDY-CHWSQXEVSA-N 1 2 314.376 1.957 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnn(CCF)c1)O2 ZINC001053619115 758638312 /nfs/dbraw/zinc/63/83/12/758638312.db2.gz LVAQHOCRMKHEMN-CQSZACIVSA-N 1 2 322.384 1.002 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)cc(C)n1)O2 ZINC001053620780 758639942 /nfs/dbraw/zinc/63/99/42/758639942.db2.gz UECZZBGAJZJTPO-OAHLLOKOSA-N 1 2 315.417 1.848 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(COC)o1)O2 ZINC001053626520 758643866 /nfs/dbraw/zinc/64/38/66/758643866.db2.gz YGVBBMYXWMQYFX-CYBMUJFWSA-N 1 2 320.389 1.575 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2c(cccc2C)n1 ZINC001018252698 758668606 /nfs/dbraw/zinc/66/86/06/758668606.db2.gz ZISAUKYERCNCQS-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2c(cccc2C)n1 ZINC001018252698 758668609 /nfs/dbraw/zinc/66/86/09/758668609.db2.gz ZISAUKYERCNCQS-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CCC3(C[NH+](CCO)C3)O2)CC1 ZINC001053658026 758671891 /nfs/dbraw/zinc/67/18/91/758671891.db2.gz PAIXFVGFSAQKFY-OAHLLOKOSA-N 1 2 308.422 1.075 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ocnc1C1CC1)O2 ZINC001053659229 758673217 /nfs/dbraw/zinc/67/32/17/758673217.db2.gz NOYRBOMTXOVXRN-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1oc(CC)nc1C)O2 ZINC001053682823 758692054 /nfs/dbraw/zinc/69/20/54/758692054.db2.gz MHXBRGGFFZDCTL-CYBMUJFWSA-N 1 2 319.405 1.695 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccc2ccccc21 ZINC001018283395 758696676 /nfs/dbraw/zinc/69/66/76/758696676.db2.gz NCBWJVUCQHEQDE-CALCHBBNSA-N 1 2 307.397 1.950 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccc2ccccc21 ZINC001018283395 758696678 /nfs/dbraw/zinc/69/66/78/758696678.db2.gz NCBWJVUCQHEQDE-CALCHBBNSA-N 1 2 307.397 1.950 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC001065677712 758697321 /nfs/dbraw/zinc/69/73/21/758697321.db2.gz WGGKIDIAXFSTLP-HZPDHXFCSA-N 1 2 321.465 1.744 20 30 DDEDLO C[C@H](Nc1ccc(C#N)nc1)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065780638 758795538 /nfs/dbraw/zinc/79/55/38/758795538.db2.gz STBDXPPOWBRBDJ-QWHCGFSZSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)C(CC)CC)CC2=O)C1 ZINC001108553880 762666897 /nfs/dbraw/zinc/66/68/97/762666897.db2.gz XYPBZOSUEVCXMX-DZGCQCFKSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnc(Cl)n1C)CO2 ZINC001053870234 758904028 /nfs/dbraw/zinc/90/40/28/758904028.db2.gz FXHXJIBEVWTHCV-LLVKDONJSA-N 1 2 324.812 1.223 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1Cc3ccccc31)CO2 ZINC001053911866 758946025 /nfs/dbraw/zinc/94/60/25/758946025.db2.gz OOAIKUMCHWQWFR-DOTOQJQBSA-N 1 2 310.397 1.309 20 30 DDEDLO Cc1nc(N2C[C@@H]3CN(C(=O)c4c[nH]c(C#N)c4)C[C@@H]3C2)cc[nH+]1 ZINC001065973176 758968209 /nfs/dbraw/zinc/96/82/09/758968209.db2.gz IXPNNTSGBKLIIT-OKILXGFUSA-N 1 2 322.372 1.193 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1coc(C3CC3)n1)CO2 ZINC001053948975 758987577 /nfs/dbraw/zinc/98/75/77/758987577.db2.gz WIWKGYUMQPMAPT-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1C[C@@H]1c1ccccc1)CO2 ZINC001053950324 758988692 /nfs/dbraw/zinc/98/86/92/758988692.db2.gz ZVXKVNOZIGVBEM-KURKYZTESA-N 1 2 324.424 1.773 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@H]1C1CCC1)CO2 ZINC001053952699 758989758 /nfs/dbraw/zinc/98/97/58/758989758.db2.gz ZXFIIOMCPDQUOK-HRCADAONSA-N 1 2 304.434 1.958 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)c1cccnc1)CO2 ZINC001053985228 759026553 /nfs/dbraw/zinc/02/65/53/759026553.db2.gz LUPIGCRYNAFSSB-ZBFHGGJFSA-N 1 2 313.401 1.168 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(Cl)cc1)CO2 ZINC001053988534 759030223 /nfs/dbraw/zinc/03/02/23/759030223.db2.gz LSBPLXRJPNYTKJ-HNNXBMFYSA-N 1 2 318.804 1.936 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncccc1OC)CO2 ZINC001053990975 759036900 /nfs/dbraw/zinc/03/69/00/759036900.db2.gz MLTZXXWBGOOVTF-CYBMUJFWSA-N 1 2 317.389 1.239 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cncn1C ZINC001054045150 759102302 /nfs/dbraw/zinc/10/23/02/759102302.db2.gz LPFAKPJQVJLNAF-MRXNPFEDSA-N 1 2 308.385 1.210 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cncn1C ZINC001054045150 759102307 /nfs/dbraw/zinc/10/23/07/759102307.db2.gz LPFAKPJQVJLNAF-MRXNPFEDSA-N 1 2 308.385 1.210 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1[nH]cnc1C ZINC001054060741 759113908 /nfs/dbraw/zinc/11/39/08/759113908.db2.gz DKHQEFLIARQPKU-QGZVFWFLSA-N 1 2 322.412 1.898 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1[nH]cnc1C ZINC001054060741 759113913 /nfs/dbraw/zinc/11/39/13/759113913.db2.gz DKHQEFLIARQPKU-QGZVFWFLSA-N 1 2 322.412 1.898 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CCCC3CC3)CC2=O)C1 ZINC001108563132 762688975 /nfs/dbraw/zinc/68/89/75/762688975.db2.gz IVYNLMXKJOWASG-HNNXBMFYSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(C)(C)C(C)(C)C)CC2=O)C1 ZINC001108564317 762691897 /nfs/dbraw/zinc/69/18/97/762691897.db2.gz IWEFUOGERWQVDI-CYBMUJFWSA-N 1 2 321.465 1.646 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CCC[C@@]2(CCN(CC#N)C2)C1 ZINC001054170771 759251633 /nfs/dbraw/zinc/25/16/33/759251633.db2.gz HMJSHYKBQVPVDX-KRWDZBQOSA-N 1 2 315.421 1.191 20 30 DDEDLO N#CCN[C@@H]1CCCC[C@H]1NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001085327472 759267672 /nfs/dbraw/zinc/26/76/72/759267672.db2.gz PBCGOJLWOMCBNQ-JHJVBQTASA-N 1 2 301.394 1.370 20 30 DDEDLO C[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1cccc(F)c1C#N ZINC001098307406 759318472 /nfs/dbraw/zinc/31/84/72/759318472.db2.gz ZMIVFVVSLYSVKB-SNVBAGLBSA-N 1 2 301.325 1.580 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(CC)n[nH]3)cc2C1 ZINC001054255928 759353458 /nfs/dbraw/zinc/35/34/58/759353458.db2.gz GOGMQCVGEZOMSC-UHFFFAOYSA-N 1 2 308.385 1.851 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(CC)n[nH]3)cc2C1 ZINC001054255928 759353469 /nfs/dbraw/zinc/35/34/69/759353469.db2.gz GOGMQCVGEZOMSC-UHFFFAOYSA-N 1 2 308.385 1.851 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131059918 767858403 /nfs/dbraw/zinc/85/84/03/767858403.db2.gz PAYVICKELAOQHG-ZIAGYGMSSA-N 1 2 301.394 1.184 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)[C@@H]1CCCO1 ZINC001085465985 759447503 /nfs/dbraw/zinc/44/75/03/759447503.db2.gz MIHYFYGUWNCCQT-MSOLQXFVSA-N 1 2 312.413 1.750 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)[C@@H]1CCCO1 ZINC001085465985 759447509 /nfs/dbraw/zinc/44/75/09/759447509.db2.gz MIHYFYGUWNCCQT-MSOLQXFVSA-N 1 2 312.413 1.750 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)Cc1c[nH+]cn1C ZINC001069122027 767861046 /nfs/dbraw/zinc/86/10/46/767861046.db2.gz MPLOLHPVBCOSKA-DOMZBBRYSA-N 1 2 324.388 1.331 20 30 DDEDLO C[C@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001069138753 767875231 /nfs/dbraw/zinc/87/52/31/767875231.db2.gz YFIWJVRCOJKHPK-AAEUAGOBSA-N 1 2 310.361 1.320 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1CNc1ccc(C#N)nc1 ZINC001054439061 759647923 /nfs/dbraw/zinc/64/79/23/759647923.db2.gz MNQFNZCKWXSJMT-CHWSQXEVSA-N 1 2 324.388 1.425 20 30 DDEDLO CC#CC[N@@H+]1CC[C@](C)(NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001046744326 767878674 /nfs/dbraw/zinc/87/86/74/767878674.db2.gz WCMDMEZSXOHNPA-ACJLOTCBSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1CC[C@](C)(NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001046744326 767878681 /nfs/dbraw/zinc/87/86/81/767878681.db2.gz WCMDMEZSXOHNPA-ACJLOTCBSA-N 1 2 314.433 1.752 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn([C@@H](C)COC)nc1C ZINC001085596997 759808322 /nfs/dbraw/zinc/80/83/22/759808322.db2.gz NXDWAGZVDQWPAU-ZFWWWQNUSA-N 1 2 318.421 1.178 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn([C@@H](C)COC)nc1C ZINC001085596997 759808332 /nfs/dbraw/zinc/80/83/32/759808332.db2.gz NXDWAGZVDQWPAU-ZFWWWQNUSA-N 1 2 318.421 1.178 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(N(C)C)cc1F ZINC001085639860 759912748 /nfs/dbraw/zinc/91/27/48/759912748.db2.gz XVUBXDHGAHYEGX-CQSZACIVSA-N 1 2 303.381 1.671 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(N(C)C)cc1F ZINC001085639860 759912752 /nfs/dbraw/zinc/91/27/52/759912752.db2.gz XVUBXDHGAHYEGX-CQSZACIVSA-N 1 2 303.381 1.671 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]ncc1C(F)(F)F ZINC001085637775 759930118 /nfs/dbraw/zinc/93/01/18/759930118.db2.gz ZEXBQSLFGPTTDB-SECBINFHSA-N 1 2 300.284 1.208 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]ncc1C(F)(F)F ZINC001085637775 759930122 /nfs/dbraw/zinc/93/01/22/759930122.db2.gz ZEXBQSLFGPTTDB-SECBINFHSA-N 1 2 300.284 1.208 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(CC(F)F)n1 ZINC001085659618 759939872 /nfs/dbraw/zinc/93/98/72/759939872.db2.gz YWRSHLFPAYGRBD-GFCCVEGCSA-N 1 2 310.348 1.318 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(CC(F)F)n1 ZINC001085659618 759939876 /nfs/dbraw/zinc/93/98/76/759939876.db2.gz YWRSHLFPAYGRBD-GFCCVEGCSA-N 1 2 310.348 1.318 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)Oc2cccnc2)C1 ZINC001108205382 760086733 /nfs/dbraw/zinc/08/67/33/760086733.db2.gz NKUOXGRSBWYOFE-WMLDXEAASA-N 1 2 319.405 1.242 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)Oc2cccnc2)C1 ZINC001108205382 760086744 /nfs/dbraw/zinc/08/67/44/760086744.db2.gz NKUOXGRSBWYOFE-WMLDXEAASA-N 1 2 319.405 1.242 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069220651 767924274 /nfs/dbraw/zinc/92/42/74/767924274.db2.gz BAEIMSYPDATJMO-IUODEOHRSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069220651 767924276 /nfs/dbraw/zinc/92/42/76/767924276.db2.gz BAEIMSYPDATJMO-IUODEOHRSA-N 1 2 324.388 1.710 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2cc(C)on2)C1 ZINC001108209645 760267701 /nfs/dbraw/zinc/26/77/01/760267701.db2.gz VDJYQJSMDPEMKF-QGZVFWFLSA-N 1 2 319.405 1.146 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2cc(C)on2)C1 ZINC001108209645 760267704 /nfs/dbraw/zinc/26/77/04/760267704.db2.gz VDJYQJSMDPEMKF-QGZVFWFLSA-N 1 2 319.405 1.146 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc(C)nc1C(C)C ZINC001085854922 760390072 /nfs/dbraw/zinc/39/00/72/760390072.db2.gz KJUXDMFFBPITKF-AWEZNQCLSA-N 1 2 300.406 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc(C)nc1C(C)C ZINC001085854922 760390076 /nfs/dbraw/zinc/39/00/76/760390076.db2.gz KJUXDMFFBPITKF-AWEZNQCLSA-N 1 2 300.406 1.688 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2CN(C(=O)Cc3[nH]c[nH+]c3C)C[C@@H]2C1 ZINC001055233702 760410511 /nfs/dbraw/zinc/41/05/11/760410511.db2.gz UHKLQHLFYQOHLP-OKILXGFUSA-N 1 2 316.405 1.144 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cnc(C)o2)nc1 ZINC001085933551 760564362 /nfs/dbraw/zinc/56/43/62/760564362.db2.gz FAACFPQMNFWSCA-OAHLLOKOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cnc(C)o2)nc1 ZINC001085933551 760564370 /nfs/dbraw/zinc/56/43/70/760564370.db2.gz FAACFPQMNFWSCA-OAHLLOKOSA-N 1 2 324.384 1.706 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(-c2ccccc2)n1 ZINC001085963868 760619867 /nfs/dbraw/zinc/61/98/67/760619867.db2.gz RYBSZXMXUINKRL-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(-c2ccccc2)n1 ZINC001085963868 760619870 /nfs/dbraw/zinc/61/98/70/760619870.db2.gz RYBSZXMXUINKRL-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc2c(cccc2OC)[nH]1 ZINC001085967401 760622497 /nfs/dbraw/zinc/62/24/97/760622497.db2.gz KZQBKYJPPAFCLY-ZDUSSCGKSA-N 1 2 311.385 1.956 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc2c(cccc2OC)[nH]1 ZINC001085967401 760622500 /nfs/dbraw/zinc/62/25/00/760622500.db2.gz KZQBKYJPPAFCLY-ZDUSSCGKSA-N 1 2 311.385 1.956 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C12CC(C(F)(F)F)(C1)C2 ZINC001085988477 760660046 /nfs/dbraw/zinc/66/00/46/760660046.db2.gz KPNKRKPXJGVKEL-LMWSTFAQSA-N 1 2 300.324 1.885 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C12CC(C(F)(F)F)(C1)C2 ZINC001085988477 760660051 /nfs/dbraw/zinc/66/00/51/760660051.db2.gz KPNKRKPXJGVKEL-LMWSTFAQSA-N 1 2 300.324 1.885 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1OCC ZINC001086007668 760708734 /nfs/dbraw/zinc/70/87/34/760708734.db2.gz MAHGSYMBQJLQJX-CQSZACIVSA-N 1 2 301.390 1.650 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1OCC ZINC001086007668 760708743 /nfs/dbraw/zinc/70/87/43/760708743.db2.gz MAHGSYMBQJLQJX-CQSZACIVSA-N 1 2 301.390 1.650 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCN(c2nc(C)ccc2C#N)CC1 ZINC001055870114 760789348 /nfs/dbraw/zinc/78/93/48/760789348.db2.gz PGFMOWBLNDUIRK-UHFFFAOYSA-N 1 2 324.388 1.185 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001038355446 761042350 /nfs/dbraw/zinc/04/23/50/761042350.db2.gz ZXTSMRCNHJUVOZ-HNNXBMFYSA-N 1 2 314.389 1.975 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001038355446 761042360 /nfs/dbraw/zinc/04/23/60/761042360.db2.gz ZXTSMRCNHJUVOZ-HNNXBMFYSA-N 1 2 314.389 1.975 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)C)no2)C1 ZINC001108257768 761234794 /nfs/dbraw/zinc/23/47/94/761234794.db2.gz MQLGFKPJFNJOAG-MRXNPFEDSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)C)no2)C1 ZINC001108257768 761234798 /nfs/dbraw/zinc/23/47/98/761234798.db2.gz MQLGFKPJFNJOAG-MRXNPFEDSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2csc(C)n2)C1 ZINC001108257930 761239860 /nfs/dbraw/zinc/23/98/60/761239860.db2.gz OAVTXUISDQJLIC-MRXNPFEDSA-N 1 2 323.462 1.777 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2csc(C)n2)C1 ZINC001108257930 761239864 /nfs/dbraw/zinc/23/98/64/761239864.db2.gz OAVTXUISDQJLIC-MRXNPFEDSA-N 1 2 323.462 1.777 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2CC(=O)N(C)C2CC2)CC1 ZINC001038661025 761260640 /nfs/dbraw/zinc/26/06/40/761260640.db2.gz JRCSTGAEHJQDMA-MRXNPFEDSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2CC(=O)N(C)C2CC2)CC1 ZINC001038661025 761260642 /nfs/dbraw/zinc/26/06/42/761260642.db2.gz JRCSTGAEHJQDMA-MRXNPFEDSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2Cc2cnn(C)c2)CC1 ZINC001038662018 761261516 /nfs/dbraw/zinc/26/15/16/761261516.db2.gz OCEOLIUTYMBOCQ-INIZCTEOSA-N 1 2 302.422 1.857 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cnn(C)c2)CC1 ZINC001038662018 761261518 /nfs/dbraw/zinc/26/15/18/761261518.db2.gz OCEOLIUTYMBOCQ-INIZCTEOSA-N 1 2 302.422 1.857 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H](C)Nc1cc[nH+]c(C)n1 ZINC001098319778 761264217 /nfs/dbraw/zinc/26/42/17/761264217.db2.gz JSGDFJNEIYTQIR-ZLDLUXBVSA-N 1 2 304.394 1.683 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001098319549 761265782 /nfs/dbraw/zinc/26/57/82/761265782.db2.gz HGQZAIYGGSCHPX-RYUDHWBXSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001098319549 761265786 /nfs/dbraw/zinc/26/57/86/761265786.db2.gz HGQZAIYGGSCHPX-RYUDHWBXSA-N 1 2 312.377 1.472 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)c2n[nH]cc2F)c1 ZINC001038675327 761274862 /nfs/dbraw/zinc/27/48/62/761274862.db2.gz YQCNKHCWYQMEJA-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)c2n[nH]cc2F)c1 ZINC001038675327 761274868 /nfs/dbraw/zinc/27/48/68/761274868.db2.gz YQCNKHCWYQMEJA-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)Cc3c[nH+]cn3C)C2)ccc1C#N ZINC001056670838 761330185 /nfs/dbraw/zinc/33/01/85/761330185.db2.gz WKTAHBIFTKXVFA-CQSZACIVSA-N 1 2 324.388 1.251 20 30 DDEDLO C=CCCC(=O)NCCC[NH2+]Cc1noc(C2CCOCC2)n1 ZINC001155593325 761345015 /nfs/dbraw/zinc/34/50/15/761345015.db2.gz HXXXZGGFUYUUBD-UHFFFAOYSA-N 1 2 322.409 1.526 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn(C)nc1C(F)(F)F ZINC001038749807 761360464 /nfs/dbraw/zinc/36/04/64/761360464.db2.gz OCKAZCAEMWJSEY-JTQLQIEISA-N 1 2 314.311 1.266 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn(C)nc1C(F)(F)F ZINC001038749807 761360474 /nfs/dbraw/zinc/36/04/74/761360474.db2.gz OCKAZCAEMWJSEY-JTQLQIEISA-N 1 2 314.311 1.266 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001108261879 761425977 /nfs/dbraw/zinc/42/59/77/761425977.db2.gz FYVWURLOHRSOQP-QAPCUYQASA-N 1 2 318.417 1.922 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001108261879 761425980 /nfs/dbraw/zinc/42/59/80/761425980.db2.gz FYVWURLOHRSOQP-QAPCUYQASA-N 1 2 318.417 1.922 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001069511245 768040383 /nfs/dbraw/zinc/04/03/83/768040383.db2.gz WTTBALZTNNOFLU-QWHCGFSZSA-N 1 2 324.388 1.616 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1c[nH]nc1-c1ccsc1 ZINC001038868660 761490870 /nfs/dbraw/zinc/49/08/70/761490870.db2.gz SVFXBHSYENGZKN-GFCCVEGCSA-N 1 2 300.387 1.576 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c[nH]nc1-c1ccsc1 ZINC001038868660 761490873 /nfs/dbraw/zinc/49/08/73/761490873.db2.gz SVFXBHSYENGZKN-GFCCVEGCSA-N 1 2 300.387 1.576 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(C(=O)N(C)C)c1 ZINC001038923201 761548819 /nfs/dbraw/zinc/54/88/19/761548819.db2.gz UCMVRJBKTNQTCR-MRXNPFEDSA-N 1 2 313.401 1.216 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(C(=O)N(C)C)c1 ZINC001038923201 761548826 /nfs/dbraw/zinc/54/88/26/761548826.db2.gz UCMVRJBKTNQTCR-MRXNPFEDSA-N 1 2 313.401 1.216 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(OCC)c(OC)c1 ZINC001039020378 761657050 /nfs/dbraw/zinc/65/70/50/761657050.db2.gz YNJNRBDAAMZJDU-CQSZACIVSA-N 1 2 302.374 1.531 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(OCC)c(OC)c1 ZINC001039020378 761657053 /nfs/dbraw/zinc/65/70/53/761657053.db2.gz YNJNRBDAAMZJDU-CQSZACIVSA-N 1 2 302.374 1.531 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(Cl)cc2c1OCC2 ZINC001039057799 761697983 /nfs/dbraw/zinc/69/79/83/761697983.db2.gz XQWASXJEWLLAML-CYBMUJFWSA-N 1 2 304.777 1.712 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(Cl)cc2c1OCC2 ZINC001039057799 761697988 /nfs/dbraw/zinc/69/79/88/761697988.db2.gz XQWASXJEWLLAML-CYBMUJFWSA-N 1 2 304.777 1.712 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(C)CCOCC2)C1 ZINC001108281448 761703509 /nfs/dbraw/zinc/70/35/09/761703509.db2.gz GVYVUWLTVYSQPC-KRWDZBQOSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(C)CCOCC2)C1 ZINC001108281448 761703510 /nfs/dbraw/zinc/70/35/10/761703510.db2.gz GVYVUWLTVYSQPC-KRWDZBQOSA-N 1 2 308.422 1.034 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cn(CCC)nc2C)C1 ZINC001108301663 761871021 /nfs/dbraw/zinc/87/10/21/761871021.db2.gz VQAPYVJIFYRSCD-KRWDZBQOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cn(CCC)nc2C)C1 ZINC001108301663 761871029 /nfs/dbraw/zinc/87/10/29/761871029.db2.gz VQAPYVJIFYRSCD-KRWDZBQOSA-N 1 2 320.437 1.608 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001108582623 762748653 /nfs/dbraw/zinc/74/86/53/762748653.db2.gz FUQALWBZWRTFTF-QWHCGFSZSA-N 1 2 324.388 1.329 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cnn3cc[nH]c23)CC1 ZINC001001398561 762824438 /nfs/dbraw/zinc/82/44/38/762824438.db2.gz HRJBMMLODBILIL-UHFFFAOYSA-N 1 2 319.796 1.777 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cnn3cc[nH]c23)CC1 ZINC001001398561 762824445 /nfs/dbraw/zinc/82/44/45/762824445.db2.gz HRJBMMLODBILIL-UHFFFAOYSA-N 1 2 319.796 1.777 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108682575 762856691 /nfs/dbraw/zinc/85/66/91/762856691.db2.gz UZFMLOUFZZPRHU-NSHDSACASA-N 1 2 302.382 1.636 20 30 DDEDLO CC#CC[N@H+]1CC[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041304084 762947691 /nfs/dbraw/zinc/94/76/91/762947691.db2.gz KVGIUKIQJVWVLQ-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041304084 762947683 /nfs/dbraw/zinc/94/76/83/762947683.db2.gz KVGIUKIQJVWVLQ-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001041304084 762947696 /nfs/dbraw/zinc/94/76/96/762947696.db2.gz KVGIUKIQJVWVLQ-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@H+]1CC[C@@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001041304084 762947700 /nfs/dbraw/zinc/94/77/00/762947700.db2.gz KVGIUKIQJVWVLQ-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO N#CCN1CC[C@@]2(CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C2)C1 ZINC001041408415 763009925 /nfs/dbraw/zinc/00/99/25/763009925.db2.gz VFXYWEVABCDMJJ-QGZVFWFLSA-N 1 2 309.373 1.624 20 30 DDEDLO N#CCN1CC[C@]2(CCN(C(=O)[C@H]3CCCc4[nH+]c[nH]c43)C2)C1 ZINC001041664564 763207615 /nfs/dbraw/zinc/20/76/15/763207615.db2.gz OCPDGEBGYHRSRB-GUYCJALGSA-N 1 2 313.405 1.278 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccc(OC)nn1 ZINC001109045893 763262156 /nfs/dbraw/zinc/26/21/56/763262156.db2.gz DNOOOXWWLABFDY-ILXRZTDVSA-N 1 2 316.405 1.673 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(OC)nn1 ZINC001109045893 763262160 /nfs/dbraw/zinc/26/21/60/763262160.db2.gz DNOOOXWWLABFDY-ILXRZTDVSA-N 1 2 316.405 1.673 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncc(OC)n1 ZINC001109054898 763294603 /nfs/dbraw/zinc/29/46/03/763294603.db2.gz FBNNVLITSMUKNV-ILXRZTDVSA-N 1 2 316.405 1.673 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncc(OC)n1 ZINC001109054898 763294610 /nfs/dbraw/zinc/29/46/10/763294610.db2.gz FBNNVLITSMUKNV-ILXRZTDVSA-N 1 2 316.405 1.673 20 30 DDEDLO Cc1ccc(C#N)c(NC2CC(N(C)C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001069764557 768132583 /nfs/dbraw/zinc/13/25/83/768132583.db2.gz XNYXEHCCNYUJLH-UHFFFAOYSA-N 1 2 324.388 1.629 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CCCC)C2 ZINC001109222277 763482079 /nfs/dbraw/zinc/48/20/79/763482079.db2.gz NSZOCOABWPVHDI-FZKCQIBNSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CCCC)C2 ZINC001109222277 763482082 /nfs/dbraw/zinc/48/20/82/763482082.db2.gz NSZOCOABWPVHDI-FZKCQIBNSA-N 1 2 319.449 1.284 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001096140913 768150415 /nfs/dbraw/zinc/15/04/15/768150415.db2.gz OUGTUYWVMYCURR-LBPRGKRZSA-N 1 2 314.393 1.415 20 30 DDEDLO N#Cc1cccnc1NC1CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001057445662 763723259 /nfs/dbraw/zinc/72/32/59/763723259.db2.gz DVWACIWSOCRHQZ-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1cccnc1NC1CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001057445662 763723265 /nfs/dbraw/zinc/72/32/65/763723265.db2.gz DVWACIWSOCRHQZ-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001109580247 763835594 /nfs/dbraw/zinc/83/55/94/763835594.db2.gz PGWDNAXLHFINFX-UHFFFAOYSA-N 1 2 320.441 1.719 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CC13CCC3)C2 ZINC001109764842 764010104 /nfs/dbraw/zinc/01/01/04/764010104.db2.gz MHFHOGIPJSIIOY-QPSCCSFWSA-N 1 2 317.433 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CC13CCC3)C2 ZINC001109764842 764010113 /nfs/dbraw/zinc/01/01/13/764010113.db2.gz MHFHOGIPJSIIOY-QPSCCSFWSA-N 1 2 317.433 1.200 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(CC)n[nH]1 ZINC001050825293 764138548 /nfs/dbraw/zinc/13/85/48/764138548.db2.gz PIHCYEUWRVJOFU-AWEZNQCLSA-N 1 2 306.410 1.369 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(CC)n[nH]1 ZINC001050825293 764138555 /nfs/dbraw/zinc/13/85/55/764138555.db2.gz PIHCYEUWRVJOFU-AWEZNQCLSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3coc(C)n3)c2C1 ZINC001069858487 768191409 /nfs/dbraw/zinc/19/14/09/768191409.db2.gz XQGVRXJICTYXRF-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3coc(C)n3)c2C1 ZINC001069858487 768191412 /nfs/dbraw/zinc/19/14/12/768191412.db2.gz XQGVRXJICTYXRF-UHFFFAOYSA-N 1 2 315.377 1.501 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCCOC(C)C)[C@@H](O)C1 ZINC001100138059 764327881 /nfs/dbraw/zinc/32/78/81/764327881.db2.gz FFIOTLRJZKNAHR-KBPBESRZSA-N 1 2 318.845 1.496 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCCOC(C)C)[C@@H](O)C1 ZINC001100138059 764327888 /nfs/dbraw/zinc/32/78/88/764327888.db2.gz FFIOTLRJZKNAHR-KBPBESRZSA-N 1 2 318.845 1.496 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)ncn1C ZINC001050967155 764362430 /nfs/dbraw/zinc/36/24/30/764362430.db2.gz UQTCXOVWKDTKTN-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)ncn1C ZINC001050967155 764362437 /nfs/dbraw/zinc/36/24/37/764362437.db2.gz UQTCXOVWKDTKTN-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccn(C3CCOCC3)n2)C1 ZINC001042723550 764388393 /nfs/dbraw/zinc/38/83/93/764388393.db2.gz UPZWDUKCYPLLEI-UHFFFAOYSA-N 1 2 316.405 1.014 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001051053643 764455965 /nfs/dbraw/zinc/45/59/65/764455965.db2.gz DCZFCRNDBLYHGV-HNNXBMFYSA-N 1 2 320.437 1.434 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001051053643 764455972 /nfs/dbraw/zinc/45/59/72/764455972.db2.gz DCZFCRNDBLYHGV-HNNXBMFYSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)s2)[C@@H](n2ccnn2)C1 ZINC001069891302 768216533 /nfs/dbraw/zinc/21/65/33/768216533.db2.gz RAOFCKUORLOKHV-OLZOCXBDSA-N 1 2 317.418 1.489 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)s2)[C@@H](n2ccnn2)C1 ZINC001069891302 768216539 /nfs/dbraw/zinc/21/65/39/768216539.db2.gz RAOFCKUORLOKHV-OLZOCXBDSA-N 1 2 317.418 1.489 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCCc2nc[nH]c21 ZINC001051101685 764507011 /nfs/dbraw/zinc/50/70/11/764507011.db2.gz ZOAIBPJCFDBKGC-ZIAGYGMSSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCCc2nc[nH]c21 ZINC001051101685 764507015 /nfs/dbraw/zinc/50/70/15/764507015.db2.gz ZOAIBPJCFDBKGC-ZIAGYGMSSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCc2nc[nH]c21 ZINC001051101682 764507121 /nfs/dbraw/zinc/50/71/21/764507121.db2.gz ZOAIBPJCFDBKGC-KBPBESRZSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCc2nc[nH]c21 ZINC001051101682 764507124 /nfs/dbraw/zinc/50/71/24/764507124.db2.gz ZOAIBPJCFDBKGC-KBPBESRZSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnc(C2CC2)n1 ZINC001051146239 764551481 /nfs/dbraw/zinc/55/14/81/764551481.db2.gz QHDDKCIUZLJREH-CQSZACIVSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnc(C2CC2)n1 ZINC001051146239 764551489 /nfs/dbraw/zinc/55/14/89/764551489.db2.gz QHDDKCIUZLJREH-CQSZACIVSA-N 1 2 316.405 1.361 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CC)cc1 ZINC001051147828 764554190 /nfs/dbraw/zinc/55/41/90/764554190.db2.gz QCEKTVCFUSARLL-OAHLLOKOSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CC)cc1 ZINC001051147828 764554194 /nfs/dbraw/zinc/55/41/94/764554194.db2.gz QCEKTVCFUSARLL-OAHLLOKOSA-N 1 2 302.374 1.149 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(CC)cn1 ZINC001051210803 764624254 /nfs/dbraw/zinc/62/42/54/764624254.db2.gz NCUKKJXPCIFATR-AWEZNQCLSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(CC)cn1 ZINC001051210803 764624257 /nfs/dbraw/zinc/62/42/57/764624257.db2.gz NCUKKJXPCIFATR-AWEZNQCLSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c[nH]nc2[C@@H]2CCOC2)C1 ZINC001043481805 764928301 /nfs/dbraw/zinc/92/83/01/764928301.db2.gz GTONNJOHKDVIBP-GFCCVEGCSA-N 1 2 304.394 1.246 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)CCCC(C)=O)CC[C@H]1C ZINC001131802243 768379245 /nfs/dbraw/zinc/37/92/45/768379245.db2.gz GFAJEFYCUDJTIB-GDBMZVCRSA-N 1 2 308.422 1.365 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)CCCC(C)=O)CC[C@H]1C ZINC001131802243 768379253 /nfs/dbraw/zinc/37/92/53/768379253.db2.gz GFAJEFYCUDJTIB-GDBMZVCRSA-N 1 2 308.422 1.365 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001112996150 765109768 /nfs/dbraw/zinc/10/97/68/765109768.db2.gz KACSCCZTWHITRH-GHMZBOCLSA-N 1 2 306.414 1.517 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H](C[NH2+][C@H](C)c2nnc(C)o2)C1 ZINC001051756281 765128780 /nfs/dbraw/zinc/12/87/80/765128780.db2.gz PAYQAHMAEOHOMV-DGCLKSJQSA-N 1 2 322.409 1.468 20 30 DDEDLO Cc1nc(N(C)C)nc(N[C@@H](C)[C@@H](C)NC(=O)C#CC(C)C)[nH+]1 ZINC001113034789 765168918 /nfs/dbraw/zinc/16/89/18/765168918.db2.gz YNBWBAYZFCKQLH-NEPJUHHUSA-N 1 2 318.425 1.211 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCO[C@H](C[NH2+]Cc2csnn2)C1 ZINC001051804312 765175938 /nfs/dbraw/zinc/17/59/38/765175938.db2.gz YXSNBNGYISIVTB-HIFRSBDPSA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc3cnccc3o2)CC1 ZINC001113071262 765219244 /nfs/dbraw/zinc/21/92/44/765219244.db2.gz NQWXVCAECGZDCS-UHFFFAOYSA-N 1 2 315.373 1.788 20 30 DDEDLO C[C@@H](NC(=O)Cc1c[nH+]cn1C)[C@H](C)Nc1ncccc1C#N ZINC001113096327 765255503 /nfs/dbraw/zinc/25/55/03/765255503.db2.gz KADIUCRBLMXDKP-NEPJUHHUSA-N 1 2 312.377 1.235 20 30 DDEDLO C[C@@H](NC(=O)Cc1c[nH+]cn1C)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113098554 765263941 /nfs/dbraw/zinc/26/39/41/765263941.db2.gz LFPYVTBXANPARL-NWDGAFQWSA-N 1 2 312.377 1.235 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccncc3)C2)CC1 ZINC001051972232 765330095 /nfs/dbraw/zinc/33/00/95/765330095.db2.gz KDYLLPQVTDEWDJ-INIZCTEOSA-N 1 2 300.406 1.100 20 30 DDEDLO Cc1nsc(N[C@@H](C)[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001113156185 765345955 /nfs/dbraw/zinc/34/59/55/765345955.db2.gz XWFJGGSGXRVQHN-IUCAKERBSA-N 1 2 318.406 1.594 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc(F)nc3)C2)CC1 ZINC001051996663 765362101 /nfs/dbraw/zinc/36/21/01/765362101.db2.gz RAIMRNWHMOMTEI-OAHLLOKOSA-N 1 2 318.396 1.239 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3CCCOC3)C2)CC1 ZINC001051996820 765363520 /nfs/dbraw/zinc/36/35/20/765363520.db2.gz VFGHBZFEHDJQHK-DLBZAZTESA-N 1 2 321.465 1.208 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+](C[C@@H](O)CC(F)(F)F)CC1 ZINC001113190684 765387048 /nfs/dbraw/zinc/38/70/48/765387048.db2.gz SSNVFPUWIFXIHT-NSHDSACASA-N 1 2 308.344 1.656 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)CC3(C)CC3)C2)CC1 ZINC001052052631 765425560 /nfs/dbraw/zinc/42/55/60/765425560.db2.gz RVTURLPWQIUVIU-INIZCTEOSA-N 1 2 303.450 1.418 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C3(C)CCC3)C2)CC1 ZINC001052062419 765433866 /nfs/dbraw/zinc/43/38/66/765433866.db2.gz JMQVWPDRAPMEGP-MRXNPFEDSA-N 1 2 305.466 1.971 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc(NC(C)=O)c2)C1 ZINC001044283730 765449102 /nfs/dbraw/zinc/44/91/02/765449102.db2.gz HJHLWRXZHQWOCA-UHFFFAOYSA-N 1 2 301.390 1.977 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3C[C@H]3CCC)C2)CC1 ZINC001052079749 765452221 /nfs/dbraw/zinc/45/22/21/765452221.db2.gz NELAAIFCSTUKCH-FGTMMUONSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H](C)c3ccco3)C2)CC1 ZINC001052082704 765456096 /nfs/dbraw/zinc/45/60/96/765456096.db2.gz VDSTYHUCOLMTJK-HOTGVXAUSA-N 1 2 315.417 1.235 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@H](C)C(C)C)C2)CC1 ZINC001052094644 765465636 /nfs/dbraw/zinc/46/56/36/765465636.db2.gz WXJKQBVACWUIIC-SJORKVTESA-N 1 2 305.466 1.520 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+](CCc2cnn(C)c2)CC1 ZINC001113243457 765476866 /nfs/dbraw/zinc/47/68/66/765476866.db2.gz IOCMPHFXILIPBZ-HNNXBMFYSA-N 1 2 304.438 1.709 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC001113243821 765478104 /nfs/dbraw/zinc/47/81/04/765478104.db2.gz OICOIQLQVMWNEJ-OAHLLOKOSA-N 1 2 307.438 1.355 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001052187118 765561908 /nfs/dbraw/zinc/56/19/08/765561908.db2.gz GWGPDQIMDHQXOR-KBPBESRZSA-N 1 2 304.394 1.060 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(F)c(OC)c2)CC1 ZINC001113368397 765622515 /nfs/dbraw/zinc/62/25/15/765622515.db2.gz KJAAALNQCJJORQ-UHFFFAOYSA-N 1 2 322.380 1.795 20 30 DDEDLO C#CC[NH+]1CCC(CNC(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)CC1 ZINC001001645809 768314338 /nfs/dbraw/zinc/31/43/38/768314338.db2.gz LZFHLXYYVWRSDZ-CXAGYDPISA-N 1 2 314.433 1.537 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2cccs2)CC[C@H]1C ZINC001131773074 768341623 /nfs/dbraw/zinc/34/16/23/768341623.db2.gz XRVAGPROUADLKL-CHWSQXEVSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2cccs2)CC[C@H]1C ZINC001131773074 768341631 /nfs/dbraw/zinc/34/16/31/768341631.db2.gz XRVAGPROUADLKL-CHWSQXEVSA-N 1 2 319.430 1.080 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[NH+](CCOCCC(C)C)CC1 ZINC001113715418 766054299 /nfs/dbraw/zinc/05/42/99/766054299.db2.gz ZMRVTFAIAHSUEC-MRXNPFEDSA-N 1 2 312.454 1.784 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@H](C)n2nc(C)cc2C)CC1 ZINC001113717452 766058110 /nfs/dbraw/zinc/05/81/10/766058110.db2.gz ZQVQVEKLRPLPDW-INIZCTEOSA-N 1 2 320.437 1.408 20 30 DDEDLO CC1(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCN(CC#N)CC1 ZINC001045607549 766441080 /nfs/dbraw/zinc/44/10/80/766441080.db2.gz GEIBAICIXZNTST-UHFFFAOYSA-N 1 2 324.388 1.375 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nc(CC)no3)C[C@H]21 ZINC001114002539 766517929 /nfs/dbraw/zinc/51/79/29/766517929.db2.gz MKVKMNZJWBFYIO-UUIJZJDISA-N 1 2 304.394 1.706 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nc(CC)no3)C[C@H]21 ZINC001114002539 766517937 /nfs/dbraw/zinc/51/79/37/766517937.db2.gz MKVKMNZJWBFYIO-UUIJZJDISA-N 1 2 304.394 1.706 20 30 DDEDLO C=CCOCC(=O)NC1[C@H]2C[N@@H+](C/C(Cl)=C\Cl)C[C@@H]12 ZINC001114047107 766579638 /nfs/dbraw/zinc/57/96/38/766579638.db2.gz AHOUZCQBSGGNEE-QLJOFYHPSA-N 1 2 305.205 1.554 20 30 DDEDLO C=CCOCC(=O)NC1[C@H]2C[N@H+](C/C(Cl)=C\Cl)C[C@@H]12 ZINC001114047107 766579645 /nfs/dbraw/zinc/57/96/45/766579645.db2.gz AHOUZCQBSGGNEE-QLJOFYHPSA-N 1 2 305.205 1.554 20 30 DDEDLO Cc1ccc(C#N)c(NCC2CC(NC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001067860538 766762183 /nfs/dbraw/zinc/76/21/83/766762183.db2.gz FKVQUMIXIZLWLF-UHFFFAOYSA-N 1 2 324.388 1.534 20 30 DDEDLO CC1(C)C(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)C1(C)C ZINC001046015054 766809465 /nfs/dbraw/zinc/80/94/65/766809465.db2.gz JIUCCCZUOJCRGC-AWEZNQCLSA-N 1 2 318.465 1.411 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)CCC3CCC3)CC2)C1 ZINC001046018220 766815950 /nfs/dbraw/zinc/81/59/50/766815950.db2.gz VNEYDIFJIPOJOJ-MRXNPFEDSA-N 1 2 304.438 1.309 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)n1 ZINC001114257603 766828112 /nfs/dbraw/zinc/82/81/12/766828112.db2.gz CENHOENHYDXRHK-VQFNDLOPSA-N 1 2 312.417 1.424 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)n1 ZINC001114257603 766828122 /nfs/dbraw/zinc/82/81/22/766828122.db2.gz CENHOENHYDXRHK-VQFNDLOPSA-N 1 2 312.417 1.424 20 30 DDEDLO Cc1nc(N2CC[C@@H](C)[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001067944047 766829720 /nfs/dbraw/zinc/82/97/20/766829720.db2.gz HRQMJILZBONVBF-ABAIWWIYSA-N 1 2 324.388 1.630 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1[C@H]2C[N@H+](C/C(Cl)=C/Cl)C[C@@H]12 ZINC001114271707 766839589 /nfs/dbraw/zinc/83/95/89/766839589.db2.gz ZSYDPSQKCYPVEB-XIEBJGFCSA-N 1 2 317.216 1.390 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1[C@H]2C[N@@H+](C/C(Cl)=C/Cl)C[C@@H]12 ZINC001114271707 766839598 /nfs/dbraw/zinc/83/95/98/766839598.db2.gz ZSYDPSQKCYPVEB-XIEBJGFCSA-N 1 2 317.216 1.390 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C3(F)CCCCC3)CC2)C1 ZINC001046078758 766893457 /nfs/dbraw/zinc/89/34/57/766893457.db2.gz NADSHQOIVWQEJA-HNNXBMFYSA-N 1 2 322.428 1.401 20 30 DDEDLO C[C@@H]1C[C@@H](CNc2ccc(C#N)cn2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068346568 767150002 /nfs/dbraw/zinc/15/00/02/767150002.db2.gz HJMXQHLDXHLWQW-OCCSQVGLSA-N 1 2 324.388 1.568 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)co1 ZINC001046223991 767209801 /nfs/dbraw/zinc/20/98/01/767209801.db2.gz OAEYZSOIXLEZPY-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)co1 ZINC001046223991 767209802 /nfs/dbraw/zinc/20/98/02/767209802.db2.gz OAEYZSOIXLEZPY-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1C[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001068588919 767439733 /nfs/dbraw/zinc/43/97/33/767439733.db2.gz NQAWBKDZTOOBEJ-TUVASFSCSA-N 1 2 316.405 1.683 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001046430388 767553292 /nfs/dbraw/zinc/55/32/92/767553292.db2.gz AOWUZWZWJLQDJV-CZUORRHYSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001046430388 767553296 /nfs/dbraw/zinc/55/32/96/767553296.db2.gz AOWUZWZWJLQDJV-CZUORRHYSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnn3c2OCCC3)C1 ZINC001046449240 767584745 /nfs/dbraw/zinc/58/47/45/767584745.db2.gz ZWFHRYRYFIWZGL-HNNXBMFYSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnn3c2OCCC3)C1 ZINC001046449240 767584748 /nfs/dbraw/zinc/58/47/48/767584748.db2.gz ZWFHRYRYFIWZGL-HNNXBMFYSA-N 1 2 324.812 1.612 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001068971394 767736685 /nfs/dbraw/zinc/73/66/85/767736685.db2.gz HZYBGLVIXCHMJN-MLGOLLRUSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001068971394 767736687 /nfs/dbraw/zinc/73/66/87/767736687.db2.gz HZYBGLVIXCHMJN-MLGOLLRUSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CSCC#N)C[N@@H+]1Cc1ccnn1C ZINC001131825938 768393600 /nfs/dbraw/zinc/39/36/00/768393600.db2.gz MNXDBEQZTUBUEH-OLZOCXBDSA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CSCC#N)C[N@H+]1Cc1ccnn1C ZINC001131825938 768393604 /nfs/dbraw/zinc/39/36/04/768393604.db2.gz MNXDBEQZTUBUEH-OLZOCXBDSA-N 1 2 321.450 1.146 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CSCC#N)CC[C@H]1C ZINC001131864641 768440229 /nfs/dbraw/zinc/44/02/29/768440229.db2.gz DYXOLWKBJDFRJP-VXGBXAGGSA-N 1 2 301.843 1.965 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CSCC#N)CC[C@H]1C ZINC001131864641 768440234 /nfs/dbraw/zinc/44/02/34/768440234.db2.gz DYXOLWKBJDFRJP-VXGBXAGGSA-N 1 2 301.843 1.965 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C2(CCC)CC2)CC[C@H]1C ZINC001132274620 768732288 /nfs/dbraw/zinc/73/22/88/768732288.db2.gz XKKWYEHYPBTYKB-HUUCEWRRSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C2(CCC)CC2)CC[C@H]1C ZINC001132274620 768732292 /nfs/dbraw/zinc/73/22/92/768732292.db2.gz XKKWYEHYPBTYKB-HUUCEWRRSA-N 1 2 319.449 1.285 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)Cc2cccs2)CC1 ZINC001070932602 768791441 /nfs/dbraw/zinc/79/14/41/768791441.db2.gz XUJNDJLJWJBWNG-UHFFFAOYSA-N 1 2 321.446 1.127 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)Cc2cccs2)CC1 ZINC001070932602 768791445 /nfs/dbraw/zinc/79/14/45/768791445.db2.gz XUJNDJLJWJBWNG-UHFFFAOYSA-N 1 2 321.446 1.127 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CCC2(C)CC2)C[N@@H+]1CC(=O)NCC#N ZINC001132397032 768803153 /nfs/dbraw/zinc/80/31/53/768803153.db2.gz OUMNFAKUXKCYDK-KBPBESRZSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CCC2(C)CC2)C[N@H+]1CC(=O)NCC#N ZINC001132397032 768803160 /nfs/dbraw/zinc/80/31/60/768803160.db2.gz OUMNFAKUXKCYDK-KBPBESRZSA-N 1 2 320.437 1.176 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2(CC)CCC2)CC1 ZINC001070985696 768837441 /nfs/dbraw/zinc/83/74/41/768837441.db2.gz SFXCHJAVAOAOFW-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2(CC)CCC2)CC1 ZINC001070985696 768837454 /nfs/dbraw/zinc/83/74/54/768837454.db2.gz SFXCHJAVAOAOFW-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[C@H](C)[N@@H+](CC(N)=O)C2)CCCCC1 ZINC001132508534 768938593 /nfs/dbraw/zinc/93/85/93/768938593.db2.gz LOISTMOQHGRREQ-LSDHHAIUSA-N 1 2 321.465 1.967 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[C@H](C)[N@H+](CC(N)=O)C2)CCCCC1 ZINC001132508534 768938598 /nfs/dbraw/zinc/93/85/98/768938598.db2.gz LOISTMOQHGRREQ-LSDHHAIUSA-N 1 2 321.465 1.967 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCOCCOC)CC[C@H]1C ZINC001132529478 768949261 /nfs/dbraw/zinc/94/92/61/768949261.db2.gz NIJTYQCTGPGAAE-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCOCCOC)CC[C@H]1C ZINC001132529478 768949266 /nfs/dbraw/zinc/94/92/66/768949266.db2.gz NIJTYQCTGPGAAE-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO C=CC[N@H+]1C[C@H](NC(=O)C(C)(C)CS(C)(=O)=O)CC[C@@H]1C ZINC001132532755 768962722 /nfs/dbraw/zinc/96/27/22/768962722.db2.gz GIBXREBMLKUGGR-QWHCGFSZSA-N 1 2 316.467 1.212 20 30 DDEDLO C=CC[N@@H+]1C[C@H](NC(=O)C(C)(C)CS(C)(=O)=O)CC[C@@H]1C ZINC001132532755 768962727 /nfs/dbraw/zinc/96/27/27/768962727.db2.gz GIBXREBMLKUGGR-QWHCGFSZSA-N 1 2 316.467 1.212 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001132667602 769075599 /nfs/dbraw/zinc/07/55/99/769075599.db2.gz OPHFHQVWDMKBTO-NEPJUHHUSA-N 1 2 310.398 1.585 20 30 DDEDLO C#CCC[N@H+]1CCN([C@@H]2CCCN(C(=O)CC(C)C)C2)C(=O)C1 ZINC001071251665 769194754 /nfs/dbraw/zinc/19/47/54/769194754.db2.gz FECNKRSUXIJPIY-MRXNPFEDSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@@H]2CCCN(C(=O)CC(C)C)C2)C(=O)C1 ZINC001071251665 769194761 /nfs/dbraw/zinc/19/47/61/769194761.db2.gz FECNKRSUXIJPIY-MRXNPFEDSA-N 1 2 319.449 1.191 20 30 DDEDLO Cc1cc(N2CC[C@H](NC(=O)[C@@H](C)C#N)C2)nc(C(C)C)[nH+]1 ZINC001096339886 769272725 /nfs/dbraw/zinc/27/27/25/769272725.db2.gz XCDYBJQNYKHEAC-AAEUAGOBSA-N 1 2 301.394 1.763 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)CC#Cc3ccccc3)C2)cc[nH+]1 ZINC001096387792 769566393 /nfs/dbraw/zinc/56/63/93/769566393.db2.gz AXAXEAKNLMXFKA-KRWDZBQOSA-N 1 2 320.396 1.922 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@@H]1C ZINC001071593583 769680129 /nfs/dbraw/zinc/68/01/29/769680129.db2.gz DLLSLARECDMXLW-CMPLNLGQSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@@H]1C ZINC001071593583 769680135 /nfs/dbraw/zinc/68/01/35/769680135.db2.gz DLLSLARECDMXLW-CMPLNLGQSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)CCc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001072226160 770681197 /nfs/dbraw/zinc/68/11/97/770681197.db2.gz LPTZVUAGYRRKAY-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)CCc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001072226160 770681199 /nfs/dbraw/zinc/68/11/99/770681199.db2.gz LPTZVUAGYRRKAY-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H]3[C@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049467638 770890329 /nfs/dbraw/zinc/89/03/29/770890329.db2.gz PLFALKMPKPRUCT-CABCVRRESA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H]3[C@H]2CCCN3C(=O)C#CC2CC2)o1 ZINC001049467638 770890344 /nfs/dbraw/zinc/89/03/44/770890344.db2.gz PLFALKMPKPRUCT-CABCVRRESA-N 1 2 314.389 1.357 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001072454564 770922241 /nfs/dbraw/zinc/92/22/41/770922241.db2.gz ZBJSNKDGDVWIGT-UONOGXRCSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1cnon1 ZINC001049648617 771050673 /nfs/dbraw/zinc/05/06/73/771050673.db2.gz XJHFOUSCOMPDAW-KBPBESRZSA-N 1 2 304.394 1.847 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1cnon1 ZINC001049648617 771050678 /nfs/dbraw/zinc/05/06/78/771050678.db2.gz XJHFOUSCOMPDAW-KBPBESRZSA-N 1 2 304.394 1.847 20 30 DDEDLO Cc1nc(N[C@@H](CNC(=O)c2ccc(C#N)[nH]2)C2CC2)cc[nH+]1 ZINC001096631915 771359447 /nfs/dbraw/zinc/35/94/47/771359447.db2.gz RITZKKPFZVNLMK-AWEZNQCLSA-N 1 2 310.361 1.605 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N[C@@H](CNC(=O)C#CC1CC1)C1CC1 ZINC001096654729 771375975 /nfs/dbraw/zinc/37/59/75/771375975.db2.gz UYMRBODJRSVVML-AWEZNQCLSA-N 1 2 324.388 1.083 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)/C=C\c1ccc(F)cc1 ZINC001136226834 771919231 /nfs/dbraw/zinc/91/92/31/771919231.db2.gz OCYVYMQEKHANOO-DJFZKKHWSA-N 1 2 301.321 1.809 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)nc(C)n2)[C@@H](O)C1 ZINC001090644731 772069324 /nfs/dbraw/zinc/06/93/24/772069324.db2.gz QRMAXDDCCIXXSD-JSGCOSHPSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)nc(C)n2)[C@@H](O)C1 ZINC001090644731 772069331 /nfs/dbraw/zinc/06/93/31/772069331.db2.gz QRMAXDDCCIXXSD-JSGCOSHPSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(OC)cc2)[C@@H](O)C1 ZINC001090751950 772167682 /nfs/dbraw/zinc/16/76/82/772167682.db2.gz MPBWSXQPBOMUFO-GJZGRUSLSA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(OC)cc2)[C@@H](O)C1 ZINC001090751950 772167684 /nfs/dbraw/zinc/16/76/84/772167684.db2.gz MPBWSXQPBOMUFO-GJZGRUSLSA-N 1 2 324.808 1.613 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091453755 772773699 /nfs/dbraw/zinc/77/36/99/772773699.db2.gz KATFIYBXSWGPGJ-XJKCOSOUSA-N 1 2 304.394 1.419 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+]Cc1nnc(C2CC2)o1 ZINC001149117741 772806904 /nfs/dbraw/zinc/80/69/04/772806904.db2.gz RHBNIWQCPLJMAR-UHFFFAOYSA-N 1 2 324.384 1.377 20 30 DDEDLO C[C@@H](C[C@H](C)NC(=O)C[N@@H+]1CCc2sccc2C1)NCC#N ZINC001146563925 772895665 /nfs/dbraw/zinc/89/56/65/772895665.db2.gz ZZFFRBRNNNVKGT-STQMWFEESA-N 1 2 320.462 1.503 20 30 DDEDLO C[C@@H](C[C@H](C)NC(=O)C[N@H+]1CCc2sccc2C1)NCC#N ZINC001146563925 772895670 /nfs/dbraw/zinc/89/56/70/772895670.db2.gz ZZFFRBRNNNVKGT-STQMWFEESA-N 1 2 320.462 1.503 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001073805551 773428252 /nfs/dbraw/zinc/42/82/52/773428252.db2.gz JIRXCSGKSPRHBR-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001073972765 773566659 /nfs/dbraw/zinc/56/66/59/773566659.db2.gz DJUPRZRZIBYKMP-DGCLKSJQSA-N 1 2 321.450 1.819 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001073972765 773566662 /nfs/dbraw/zinc/56/66/62/773566662.db2.gz DJUPRZRZIBYKMP-DGCLKSJQSA-N 1 2 321.450 1.819 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cn3cc(C)cn3)C[C@H]21 ZINC001074176929 773698270 /nfs/dbraw/zinc/69/82/70/773698270.db2.gz CTQJJRGEHCVGOU-CVEARBPZSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cn3cc(C)cn3)C[C@H]21 ZINC001074176929 773698272 /nfs/dbraw/zinc/69/82/72/773698272.db2.gz CTQJJRGEHCVGOU-CVEARBPZSA-N 1 2 318.421 1.069 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccnc(F)c3)C[C@H]21 ZINC001074188715 773710112 /nfs/dbraw/zinc/71/01/12/773710112.db2.gz YEFASYILLOKOBT-CABCVRRESA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccnc(F)c3)C[C@H]21 ZINC001074188715 773710123 /nfs/dbraw/zinc/71/01/23/773710123.db2.gz YEFASYILLOKOBT-CABCVRRESA-N 1 2 317.364 1.159 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3coc(CC)n3)C[C@@H]21 ZINC001074219486 773742170 /nfs/dbraw/zinc/74/21/70/773742170.db2.gz QGOZAGBVKFDERP-LSDHHAIUSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3coc(CC)n3)C[C@@H]21 ZINC001074219486 773742175 /nfs/dbraw/zinc/74/21/75/773742175.db2.gz QGOZAGBVKFDERP-LSDHHAIUSA-N 1 2 319.405 1.728 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@H]3OCC[N@@H+](CCCF)[C@H]3C2)[nH]1 ZINC001074219778 773743873 /nfs/dbraw/zinc/74/38/73/773743873.db2.gz WAJWAFVHCWYOTE-LSDHHAIUSA-N 1 2 320.368 1.161 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@H]3OCC[N@H+](CCCF)[C@H]3C2)[nH]1 ZINC001074219778 773743876 /nfs/dbraw/zinc/74/38/76/773743876.db2.gz WAJWAFVHCWYOTE-LSDHHAIUSA-N 1 2 320.368 1.161 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3coc(C)n3)C[C@H]21 ZINC001074222505 773747378 /nfs/dbraw/zinc/74/73/78/773747378.db2.gz IPNHHUBPLWGPCY-HUUCEWRRSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3coc(C)n3)C[C@H]21 ZINC001074222505 773747381 /nfs/dbraw/zinc/74/73/81/773747381.db2.gz IPNHHUBPLWGPCY-HUUCEWRRSA-N 1 2 305.378 1.474 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3(O)CCC3)C[C@H]21 ZINC001074241493 773765105 /nfs/dbraw/zinc/76/51/05/773765105.db2.gz JISAZKAORCXPTM-HUUCEWRRSA-N 1 2 308.422 1.169 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC3(O)CCC3)C[C@H]21 ZINC001074241493 773765109 /nfs/dbraw/zinc/76/51/09/773765109.db2.gz JISAZKAORCXPTM-HUUCEWRRSA-N 1 2 308.422 1.169 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]3OCC[N@@H+](CCO)[C@@H]3C2)CC1 ZINC001074327264 773825743 /nfs/dbraw/zinc/82/57/43/773825743.db2.gz QVIYAQIGJAPZGB-CVEARBPZSA-N 1 2 308.422 1.027 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]3OCC[N@H+](CCO)[C@@H]3C2)CC1 ZINC001074327264 773825747 /nfs/dbraw/zinc/82/57/47/773825747.db2.gz QVIYAQIGJAPZGB-CVEARBPZSA-N 1 2 308.422 1.027 20 30 DDEDLO Cc1nc(NC2CC(CNC(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001092234294 774022800 /nfs/dbraw/zinc/02/28/00/774022800.db2.gz QWHCVUKQBFNJBZ-UHFFFAOYSA-N 1 2 324.388 1.534 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cncnc1C)c1nccn12 ZINC001092347984 774066654 /nfs/dbraw/zinc/06/66/54/774066654.db2.gz LQKJBHBOFGWTHP-CQSZACIVSA-N 1 2 324.388 1.053 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC1(F)F)c1nccn12 ZINC001092367925 774106646 /nfs/dbraw/zinc/10/66/46/774106646.db2.gz DXKAZROJYIMTEM-QWRGUYRKSA-N 1 2 308.332 1.296 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](CNC(=O)c2cncc3nc[nH]c32)[C@H](C)C1 ZINC001092627604 774203840 /nfs/dbraw/zinc/20/38/40/774203840.db2.gz VZHMPOAEPDWDTP-CHWSQXEVSA-N 1 2 311.389 1.279 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](CNC(=O)c2cncc3nc[nH]c32)[C@H](C)C1 ZINC001092627604 774203845 /nfs/dbraw/zinc/20/38/45/774203845.db2.gz VZHMPOAEPDWDTP-CHWSQXEVSA-N 1 2 311.389 1.279 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001074886130 774216481 /nfs/dbraw/zinc/21/64/81/774216481.db2.gz DFSWFPYEZKYPDL-ZIAGYGMSSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001074957317 774265062 /nfs/dbraw/zinc/26/50/62/774265062.db2.gz ANWGBPVIZLMJKJ-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(C)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)c1cnc[nH]1)C2 ZINC001098218135 774515327 /nfs/dbraw/zinc/51/53/27/774515327.db2.gz WUBUIMUMBBWION-MCIONIFRSA-N 1 2 302.422 1.985 20 30 DDEDLO C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)c1cnc[nH]1)C2 ZINC001098218135 774515331 /nfs/dbraw/zinc/51/53/31/774515331.db2.gz WUBUIMUMBBWION-MCIONIFRSA-N 1 2 302.422 1.985 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001093336077 774635215 /nfs/dbraw/zinc/63/52/15/774635215.db2.gz FBJFDPOBRJKNQA-WVZRYYJFSA-N 1 2 300.406 1.938 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3ocnc3C)CC2)C1 ZINC001093522420 774774626 /nfs/dbraw/zinc/77/46/26/774774626.db2.gz UDVGNDZZTXTNKD-UHFFFAOYSA-N 1 2 319.405 1.865 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cnc(C)n3C)CC2)C1 ZINC001093565850 774836490 /nfs/dbraw/zinc/83/64/90/774836490.db2.gz FCTDUSJTDURQGW-UHFFFAOYSA-N 1 2 318.421 1.220 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCNc1cccc(F)c1C#N ZINC001093776370 775100348 /nfs/dbraw/zinc/10/03/48/775100348.db2.gz CEXPQWSCNFQKDK-UHFFFAOYSA-N 1 2 301.325 1.500 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(F)ccc2F)C[C@H]1O ZINC001099726733 775190848 /nfs/dbraw/zinc/19/08/48/775190848.db2.gz JNLKMCUMDASHOH-HZPDHXFCSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(F)ccc2F)C[C@H]1O ZINC001099726733 775190852 /nfs/dbraw/zinc/19/08/52/775190852.db2.gz JNLKMCUMDASHOH-HZPDHXFCSA-N 1 2 324.371 1.982 20 30 DDEDLO C[C@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001099765210 775243046 /nfs/dbraw/zinc/24/30/46/775243046.db2.gz MUYFLXKXEPBQOS-KCPJHIHWSA-N 1 2 324.388 1.787 20 30 DDEDLO C[C@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001099765210 775243054 /nfs/dbraw/zinc/24/30/54/775243054.db2.gz MUYFLXKXEPBQOS-KCPJHIHWSA-N 1 2 324.388 1.787 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC2(OC)CCC2)[C@H](O)C1 ZINC001099794986 775290277 /nfs/dbraw/zinc/29/02/77/775290277.db2.gz VFLYAAXPFQAWIC-QWHCGFSZSA-N 1 2 316.829 1.250 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC2(OC)CCC2)[C@H](O)C1 ZINC001099794986 775290285 /nfs/dbraw/zinc/29/02/85/775290285.db2.gz VFLYAAXPFQAWIC-QWHCGFSZSA-N 1 2 316.829 1.250 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@H+](Cc2cncs2)C[C@@H]1O ZINC001099830336 775337820 /nfs/dbraw/zinc/33/78/20/775337820.db2.gz QBZBUNWNQOTPKZ-KBPBESRZSA-N 1 2 321.446 1.244 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@@H+](Cc2cncs2)C[C@@H]1O ZINC001099830336 775337832 /nfs/dbraw/zinc/33/78/32/775337832.db2.gz QBZBUNWNQOTPKZ-KBPBESRZSA-N 1 2 321.446 1.244 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099857906 775359571 /nfs/dbraw/zinc/35/95/71/775359571.db2.gz DUGCOZFZDFBSOB-KBPBESRZSA-N 1 2 309.435 1.551 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099857906 775359583 /nfs/dbraw/zinc/35/95/83/775359583.db2.gz DUGCOZFZDFBSOB-KBPBESRZSA-N 1 2 309.435 1.551 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001094047027 775370282 /nfs/dbraw/zinc/37/02/82/775370282.db2.gz HPEMQGFMERGQEI-WDEREUQCSA-N 1 2 302.382 1.350 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099976619 775508382 /nfs/dbraw/zinc/50/83/82/775508382.db2.gz PEVOUZQATAPUGO-HUUCEWRRSA-N 1 2 321.421 1.699 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099976619 775508389 /nfs/dbraw/zinc/50/83/89/775508389.db2.gz PEVOUZQATAPUGO-HUUCEWRRSA-N 1 2 321.421 1.699 20 30 DDEDLO Cc1nsc(NCCNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)c1C#N ZINC001094220411 775542061 /nfs/dbraw/zinc/54/20/61/775542061.db2.gz YFEICEKFVXWARK-NXEZZACHSA-N 1 2 316.390 1.378 20 30 DDEDLO Cc1nsc(NCCNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)c1C#N ZINC001094220411 775542068 /nfs/dbraw/zinc/54/20/68/775542068.db2.gz YFEICEKFVXWARK-NXEZZACHSA-N 1 2 316.390 1.378 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCC[C@@H]4C[C@@H]43)nn2)C1 ZINC001094301581 775703989 /nfs/dbraw/zinc/70/39/89/775703989.db2.gz BIRLPRNFFCIHSA-WQVCFCJDSA-N 1 2 315.421 1.373 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3CC34CCC4)nn2)C1 ZINC001094323901 775750494 /nfs/dbraw/zinc/75/04/94/775750494.db2.gz JFHZYQXWTNJXTF-OAHLLOKOSA-N 1 2 315.421 1.517 20 30 DDEDLO N#Cc1nc(Br)cnc1Nc1nccnc1C[NH3+] ZINC001171447351 775958096 /nfs/dbraw/zinc/95/80/96/775958096.db2.gz BERRGAAOVVLCNV-UHFFFAOYSA-N 1 2 306.127 1.103 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@@H]2CCn3c[nH+]cc3C2)nc1 ZINC001094639450 776159359 /nfs/dbraw/zinc/15/93/59/776159359.db2.gz TUSBLGJQQQYZIP-CQSZACIVSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3(C)CCCC3)CC2=O)C1 ZINC001094768079 776256576 /nfs/dbraw/zinc/25/65/76/776256576.db2.gz OEVIUMUMGXBCFI-AWEZNQCLSA-N 1 2 319.449 1.544 20 30 DDEDLO CCN(CCNC(=O)Cn1cc[nH+]c1)c1nc(C)ccc1C#N ZINC001100555311 776301727 /nfs/dbraw/zinc/30/17/27/776301727.db2.gz LSZRZQOZGPNNSR-UHFFFAOYSA-N 1 2 312.377 1.101 20 30 DDEDLO C[C@@H](CCCCNCC#N)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001171578201 776352050 /nfs/dbraw/zinc/35/20/50/776352050.db2.gz ZXJNPKHHDNTMNV-UONOGXRCSA-N 1 2 303.410 1.234 20 30 DDEDLO CCN(CCNC(=O)CCn1cc[nH+]c1)c1ccc(C#N)cn1 ZINC001100673532 776426361 /nfs/dbraw/zinc/42/63/61/776426361.db2.gz IUKYOMFRUVZUSY-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H]([N@@H+]2C[C@@H](O)[C@@H](F)C2)C[C@H]1C#N ZINC001172981481 776950512 /nfs/dbraw/zinc/95/05/12/776950512.db2.gz WVCQTVQTAKSEAX-MROQNXINSA-N 1 2 313.373 1.293 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H]([N@H+]2C[C@@H](O)[C@@H](F)C2)C[C@H]1C#N ZINC001172981481 776950517 /nfs/dbraw/zinc/95/05/17/776950517.db2.gz WVCQTVQTAKSEAX-MROQNXINSA-N 1 2 313.373 1.293 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+](Cc3cnn(C)n3)C[C@@]2(C)C1 ZINC001101167546 776960867 /nfs/dbraw/zinc/96/08/67/776960867.db2.gz JCRIORGSSDBVGX-CJNGLKHVSA-N 1 2 303.410 1.062 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+](Cc3cnn(C)n3)C[C@@]2(C)C1 ZINC001101167546 776960868 /nfs/dbraw/zinc/96/08/68/776960868.db2.gz JCRIORGSSDBVGX-CJNGLKHVSA-N 1 2 303.410 1.062 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2C[N@H+](Cc3nncs3)C[C@@]2(C)C1 ZINC001101172936 776965955 /nfs/dbraw/zinc/96/59/55/776965955.db2.gz CXLBGPMFCMYDML-DOMZBBRYSA-N 1 2 322.434 1.021 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2C[N@@H+](Cc3nncs3)C[C@@]2(C)C1 ZINC001101172936 776965959 /nfs/dbraw/zinc/96/59/59/776965959.db2.gz CXLBGPMFCMYDML-DOMZBBRYSA-N 1 2 322.434 1.021 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCCn3cc[nH+]c3)CC2)nc1 ZINC001110168288 777040662 /nfs/dbraw/zinc/04/06/62/777040662.db2.gz VIZFOFSCPZKBBH-UHFFFAOYSA-N 1 2 324.388 1.691 20 30 DDEDLO N#Cc1cnccc1N(CCNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001101375061 777106731 /nfs/dbraw/zinc/10/67/31/777106731.db2.gz YYQYKUOVJYLKCK-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2ccccn2)[C@H](O)C1 ZINC001099942674 777153969 /nfs/dbraw/zinc/15/39/69/777153969.db2.gz VOENFPWSTFOGTQ-LSDHHAIUSA-N 1 2 323.824 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2ccccn2)[C@H](O)C1 ZINC001099942674 777153977 /nfs/dbraw/zinc/15/39/77/777153977.db2.gz VOENFPWSTFOGTQ-LSDHHAIUSA-N 1 2 323.824 1.318 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001101826649 777573499 /nfs/dbraw/zinc/57/34/99/777573499.db2.gz VGIAVTGLELKBKR-ZDUSSCGKSA-N 1 2 324.388 1.283 20 30 DDEDLO C[C@H](CC(=O)N(C)CCNc1ncccc1C#N)n1cc[nH+]c1 ZINC001101975938 777757262 /nfs/dbraw/zinc/75/72/62/777757262.db2.gz UWSMOQOIZXEYMW-CYBMUJFWSA-N 1 2 312.377 1.671 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@H+](CCn3cccn3)[C@H]2C1 ZINC001176955216 778346803 /nfs/dbraw/zinc/34/68/03/778346803.db2.gz PIALRIQDJOSBQL-HOTGVXAUSA-N 1 2 318.421 1.151 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCn3cccn3)[C@H]2C1 ZINC001176955216 778346808 /nfs/dbraw/zinc/34/68/08/778346808.db2.gz PIALRIQDJOSBQL-HOTGVXAUSA-N 1 2 318.421 1.151 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)COC)C[C@@H]21 ZINC001177047382 778408454 /nfs/dbraw/zinc/40/84/54/778408454.db2.gz DQZAQBJHSILKIW-GJZGRUSLSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)COC)C[C@@H]21 ZINC001177047382 778408455 /nfs/dbraw/zinc/40/84/55/778408455.db2.gz DQZAQBJHSILKIW-GJZGRUSLSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC)[C@H]2C1 ZINC001177062053 778421472 /nfs/dbraw/zinc/42/14/72/778421472.db2.gz RGWIJLRFYPKSBL-HOTGVXAUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCOCC)[C@H]2C1 ZINC001177062053 778421479 /nfs/dbraw/zinc/42/14/79/778421479.db2.gz RGWIJLRFYPKSBL-HOTGVXAUSA-N 1 2 324.465 1.927 20 30 DDEDLO CCN(C(=O)Cc1c[nH+]c[nH]1)[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001102834985 778434339 /nfs/dbraw/zinc/43/43/39/778434339.db2.gz HOWFZBZOZQIOSY-MRXNPFEDSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)[C@@H]1C ZINC001178503628 779090122 /nfs/dbraw/zinc/09/01/22/779090122.db2.gz ITWTXUVCOQLRAN-KBPBESRZSA-N 1 2 318.421 1.905 20 30 DDEDLO C[C@H]1[C@@H]([NH2+]Cc2csnn2)CCN1C(=O)C#CC(C)(C)C ZINC001178672104 779131250 /nfs/dbraw/zinc/13/12/50/779131250.db2.gz ZPQXEDVDQCZWBX-AAEUAGOBSA-N 1 2 306.435 1.667 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H](C)CNc1cc[nH+]c(C)n1 ZINC001103885156 779132526 /nfs/dbraw/zinc/13/25/26/779132526.db2.gz SRMJZDWHLUTLPJ-OLZOCXBDSA-N 1 2 306.410 1.930 20 30 DDEDLO C[C@H](CNC(=O)Cn1cc[nH+]c1)CNc1cccc(F)c1C#N ZINC001104001780 779235551 /nfs/dbraw/zinc/23/55/51/779235551.db2.gz BYQCETIDSWGQEQ-LBPRGKRZSA-N 1 2 315.352 1.758 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+]Cc2cnsn2)[C@@H]1C ZINC001179359621 779359832 /nfs/dbraw/zinc/35/98/32/779359832.db2.gz AXHAYWXEPNXKCS-JSGCOSHPSA-N 1 2 306.435 1.811 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cn(CC)nn1)C2 ZINC001111650039 779417823 /nfs/dbraw/zinc/41/78/23/779417823.db2.gz BOUZOYBTILOYSI-YOEHRIQHSA-N 1 2 317.437 1.735 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cn(CC)nn1)C2 ZINC001111650039 779417826 /nfs/dbraw/zinc/41/78/26/779417826.db2.gz BOUZOYBTILOYSI-YOEHRIQHSA-N 1 2 317.437 1.735 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nonc1C)C2 ZINC001111729739 779451317 /nfs/dbraw/zinc/45/13/17/779451317.db2.gz BOAIGSFXPWTYTB-YOEHRIQHSA-N 1 2 316.405 1.652 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nonc1C)C2 ZINC001111729739 779451321 /nfs/dbraw/zinc/45/13/21/779451321.db2.gz BOAIGSFXPWTYTB-YOEHRIQHSA-N 1 2 316.405 1.652 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ncccn1)C2 ZINC001111729930 779451857 /nfs/dbraw/zinc/45/18/57/779451857.db2.gz MTFDYVYNVXIEBQ-YJBOKZPZSA-N 1 2 312.417 1.751 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ncccn1)C2 ZINC001111729930 779451858 /nfs/dbraw/zinc/45/18/58/779451858.db2.gz MTFDYVYNVXIEBQ-YJBOKZPZSA-N 1 2 312.417 1.751 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nnc(C)[nH]1)C2 ZINC001111765026 779471129 /nfs/dbraw/zinc/47/11/29/779471129.db2.gz QHNRZXOWUHBJQS-YOEHRIQHSA-N 1 2 317.437 1.940 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nnc(C)[nH]1)C2 ZINC001111765026 779471133 /nfs/dbraw/zinc/47/11/33/779471133.db2.gz QHNRZXOWUHBJQS-YOEHRIQHSA-N 1 2 317.437 1.940 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC1(Nc2ccc(C#N)nc2)CCC1 ZINC001111829164 779502328 /nfs/dbraw/zinc/50/23/28/779502328.db2.gz WIECOIZLMAZDPK-UHFFFAOYSA-N 1 2 324.388 1.678 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001115647864 780302647 /nfs/dbraw/zinc/30/26/47/780302647.db2.gz WHTLBNFHGLMGRZ-LLVKDONJSA-N 1 2 302.382 1.588 20 30 DDEDLO C#CCCCC(=O)NCC[N@@H+](C)CC(=O)N1[C@H](C)CCC[C@H]1C ZINC001267192874 837510238 /nfs/dbraw/zinc/51/02/38/837510238.db2.gz HPABRPBZLYPGOW-HZPDHXFCSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)NCC[N@H+](C)CC(=O)N1[C@H](C)CCC[C@H]1C ZINC001267192874 837510245 /nfs/dbraw/zinc/51/02/45/837510245.db2.gz HPABRPBZLYPGOW-HZPDHXFCSA-N 1 2 321.465 1.627 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H]1CNC(=O)c1cc(C)c(C)o1 ZINC001267263448 837695155 /nfs/dbraw/zinc/69/51/55/837695155.db2.gz LOOQBMZQMQTPGG-AWEZNQCLSA-N 1 2 319.405 1.393 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc(C)c(C)o1 ZINC001267263448 837695163 /nfs/dbraw/zinc/69/51/63/837695163.db2.gz LOOQBMZQMQTPGG-AWEZNQCLSA-N 1 2 319.405 1.393 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CCC[N@H+]1Cc1ncc(C)cn1 ZINC001267266389 837701804 /nfs/dbraw/zinc/70/18/04/837701804.db2.gz IORWRGGHKCDOOU-YOEHRIQHSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1ncc(C)cn1 ZINC001267266389 837701814 /nfs/dbraw/zinc/70/18/14/837701814.db2.gz IORWRGGHKCDOOU-YOEHRIQHSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+](Cc2ncnn2CCF)C1 ZINC001267299357 837780290 /nfs/dbraw/zinc/78/02/90/837780290.db2.gz YGGOTZMQZWNCCD-ZDUSSCGKSA-N 1 2 323.416 1.398 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+](Cc2ncnn2CCF)C1 ZINC001267299357 837780296 /nfs/dbraw/zinc/78/02/96/837780296.db2.gz YGGOTZMQZWNCCD-ZDUSSCGKSA-N 1 2 323.416 1.398 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2CCCCCC2)C1 ZINC001266308359 836096092 /nfs/dbraw/zinc/09/60/92/836096092.db2.gz UZUQUWOVANNHQW-HNNXBMFYSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2CCCCCC2)C1 ZINC001266308359 836096103 /nfs/dbraw/zinc/09/61/03/836096103.db2.gz UZUQUWOVANNHQW-HNNXBMFYSA-N 1 2 307.438 1.450 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001266335688 836134599 /nfs/dbraw/zinc/13/45/99/836134599.db2.gz CKMZNPHHAKTNAT-CQSZACIVSA-N 1 2 316.405 1.369 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cncc(OC)n2)C1 ZINC001266335688 836134605 /nfs/dbraw/zinc/13/46/05/836134605.db2.gz CKMZNPHHAKTNAT-CQSZACIVSA-N 1 2 316.405 1.369 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](CC(=O)NCC(C)(C)C)C2)C1 ZINC001266341650 836143347 /nfs/dbraw/zinc/14/33/47/836143347.db2.gz LHXJJVXGDVXDOK-AWEZNQCLSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](CC(=O)NCC(C)(C)C)C2)C1 ZINC001266341650 836143352 /nfs/dbraw/zinc/14/33/52/836143352.db2.gz LHXJJVXGDVXDOK-AWEZNQCLSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@@H+](CC)Cc1ncc(C)s1 ZINC001266388225 836196492 /nfs/dbraw/zinc/19/64/92/836196492.db2.gz VYZMWRVAQLDUTR-OAHLLOKOSA-N 1 2 311.451 1.717 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@H+](CC)Cc1ncc(C)s1 ZINC001266388225 836196495 /nfs/dbraw/zinc/19/64/95/836196495.db2.gz VYZMWRVAQLDUTR-OAHLLOKOSA-N 1 2 311.451 1.717 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COCC1CCCC1 ZINC001266489941 836341031 /nfs/dbraw/zinc/34/10/31/836341031.db2.gz WNHJDDWFLSDCIC-CALCHBBNSA-N 1 2 304.434 1.892 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COCC1CCCC1 ZINC001266489941 836341046 /nfs/dbraw/zinc/34/10/46/836341046.db2.gz WNHJDDWFLSDCIC-CALCHBBNSA-N 1 2 304.434 1.892 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)COCCOC ZINC001266836509 836905138 /nfs/dbraw/zinc/90/51/38/836905138.db2.gz AGFUVGFYHOETBR-ZDUSSCGKSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)COCCOC ZINC001266836509 836905149 /nfs/dbraw/zinc/90/51/49/836905149.db2.gz AGFUVGFYHOETBR-ZDUSSCGKSA-N 1 2 304.818 1.373 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCC[N@H+](Cc2nnc(C)[nH]2)C1 ZINC001266895148 837015825 /nfs/dbraw/zinc/01/58/25/837015825.db2.gz ZCQBFTOMMNLECC-TZMCWYRMSA-N 1 2 305.426 1.796 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCC[N@@H+](Cc2nnc(C)[nH]2)C1 ZINC001266895148 837015837 /nfs/dbraw/zinc/01/58/37/837015837.db2.gz ZCQBFTOMMNLECC-TZMCWYRMSA-N 1 2 305.426 1.796 20 30 DDEDLO CCCCCC#CC(=O)N1CC2(C1)C[NH+](Cc1cn[nH]c1)C2 ZINC001271957132 844239070 /nfs/dbraw/zinc/23/90/70/844239070.db2.gz DEUMLABUJZEURO-UHFFFAOYSA-N 1 2 300.406 1.638 20 30 DDEDLO C=C[C@@H](C(=O)NC[C@H](C)[NH2+]Cc1nnc(C)o1)c1ccccc1 ZINC001266997319 837164311 /nfs/dbraw/zinc/16/43/11/837164311.db2.gz NVBJGZCCOFHJBB-SWLSCSKDSA-N 1 2 314.389 1.942 20 30 DDEDLO CN(CC[N@H+](C)Cc1cc(C2CC2)no1)C(=O)CSCC#N ZINC001271961816 844241939 /nfs/dbraw/zinc/24/19/39/844241939.db2.gz AJORLJNFIALERM-UHFFFAOYSA-N 1 2 322.434 1.699 20 30 DDEDLO CN(CC[N@@H+](C)Cc1cc(C2CC2)no1)C(=O)CSCC#N ZINC001271961816 844241952 /nfs/dbraw/zinc/24/19/52/844241952.db2.gz AJORLJNFIALERM-UHFFFAOYSA-N 1 2 322.434 1.699 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001267103073 837349663 /nfs/dbraw/zinc/34/96/63/837349663.db2.gz RSKOETLGRKOWGL-OAHLLOKOSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001267103073 837349672 /nfs/dbraw/zinc/34/96/72/837349672.db2.gz RSKOETLGRKOWGL-OAHLLOKOSA-N 1 2 307.394 1.608 20 30 DDEDLO C[C@@H](CC(=O)N(C)CC[NH+]1CCN(CC#N)CC1)C(F)(F)F ZINC001267574666 838342432 /nfs/dbraw/zinc/34/24/32/838342432.db2.gz PAEPYVOBCFREFV-LBPRGKRZSA-N 1 2 320.359 1.174 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1C(C)(C)C1(C)C ZINC001267706662 838688294 /nfs/dbraw/zinc/68/82/94/838688294.db2.gz GRPDMSABDCKGGR-KBPBESRZSA-N 1 2 304.434 1.604 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1C(C)(C)C1(C)C ZINC001267706662 838688298 /nfs/dbraw/zinc/68/82/98/838688298.db2.gz GRPDMSABDCKGGR-KBPBESRZSA-N 1 2 304.434 1.604 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C1CC1)C1CC1 ZINC001267713737 838703187 /nfs/dbraw/zinc/70/31/87/838703187.db2.gz OJKLKOUDDHPXCD-HOTGVXAUSA-N 1 2 302.418 1.358 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C1CC1)C1CC1 ZINC001267713737 838703202 /nfs/dbraw/zinc/70/32/02/838703202.db2.gz OJKLKOUDDHPXCD-HOTGVXAUSA-N 1 2 302.418 1.358 20 30 DDEDLO CCn1ccc(C[N@H+](C)CCN(C)C(=O)CSCC#N)n1 ZINC001268234249 839872746 /nfs/dbraw/zinc/87/27/46/839872746.db2.gz WIGCNCVJZSEXAM-UHFFFAOYSA-N 1 2 309.439 1.050 20 30 DDEDLO CCn1ccc(C[N@@H+](C)CCN(C)C(=O)CSCC#N)n1 ZINC001268234249 839872754 /nfs/dbraw/zinc/87/27/54/839872754.db2.gz WIGCNCVJZSEXAM-UHFFFAOYSA-N 1 2 309.439 1.050 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001272071247 844545175 /nfs/dbraw/zinc/54/51/75/844545175.db2.gz CQHLNRRSMWFYKO-GOEBONIOSA-N 1 2 317.389 1.137 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001272071247 844545181 /nfs/dbraw/zinc/54/51/81/844545181.db2.gz CQHLNRRSMWFYKO-GOEBONIOSA-N 1 2 317.389 1.137 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001272072276 844547296 /nfs/dbraw/zinc/54/72/96/844547296.db2.gz SOHSVUMBIBGVGW-LXZKKBNFSA-N 1 2 319.405 1.425 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001272072276 844547301 /nfs/dbraw/zinc/54/73/01/844547301.db2.gz SOHSVUMBIBGVGW-LXZKKBNFSA-N 1 2 319.405 1.425 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H](C)C[N@H+](C)Cc1csc(C)n1 ZINC001268729228 840722395 /nfs/dbraw/zinc/72/23/95/840722395.db2.gz MUCJKTOVTAKPTQ-IAQYHMDHSA-N 1 2 311.451 1.715 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H](C)C[N@@H+](C)Cc1csc(C)n1 ZINC001268729228 840722410 /nfs/dbraw/zinc/72/24/10/840722410.db2.gz MUCJKTOVTAKPTQ-IAQYHMDHSA-N 1 2 311.451 1.715 20 30 DDEDLO C[C@@H](C[N@H+](C)Cc1cc2n(n1)CCC2)NC(=O)C#CC(C)(C)C ZINC001268729613 840723456 /nfs/dbraw/zinc/72/34/56/840723456.db2.gz HQWKYABDJDKCNJ-AWEZNQCLSA-N 1 2 316.449 1.815 20 30 DDEDLO C[C@@H](C[N@@H+](C)Cc1cc2n(n1)CCC2)NC(=O)C#CC(C)(C)C ZINC001268729613 840723461 /nfs/dbraw/zinc/72/34/61/840723461.db2.gz HQWKYABDJDKCNJ-AWEZNQCLSA-N 1 2 316.449 1.815 20 30 DDEDLO C#CC(=O)NC12CC(C(=O)Nc3ccc(-n4cc[nH+]c4)cc3)(C1)C2 ZINC001268851422 840901060 /nfs/dbraw/zinc/90/10/60/840901060.db2.gz MESDUANNZVTZJP-UHFFFAOYSA-N 1 2 320.352 1.483 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2C[NH+](Cc3cncc(OC)n3)C2)C1 ZINC001268879758 840940918 /nfs/dbraw/zinc/94/09/18/840940918.db2.gz VBQNSYVSTGSRDU-UHFFFAOYSA-N 1 2 316.405 1.390 20 30 DDEDLO C#CC(=O)N1C[C@@H]2OCC[N@H+](CCc3c[nH]c4ccccc34)[C@H]2C1 ZINC001268944904 841025564 /nfs/dbraw/zinc/02/55/64/841025564.db2.gz RORUBGNXMIYLBG-ROUUACIJSA-N 1 2 323.396 1.255 20 30 DDEDLO C#CC(=O)N1C[C@@H]2OCC[N@@H+](CCc3c[nH]c4ccccc34)[C@H]2C1 ZINC001268944904 841025572 /nfs/dbraw/zinc/02/55/72/841025572.db2.gz RORUBGNXMIYLBG-ROUUACIJSA-N 1 2 323.396 1.255 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2c(C)noc2C2CC2)C1 ZINC001269147305 841264115 /nfs/dbraw/zinc/26/41/15/841264115.db2.gz PHSVKNNRCBXNCC-CQSZACIVSA-N 1 2 317.389 1.314 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2c(C)noc2C2CC2)C1 ZINC001269147305 841264123 /nfs/dbraw/zinc/26/41/23/841264123.db2.gz PHSVKNNRCBXNCC-CQSZACIVSA-N 1 2 317.389 1.314 20 30 DDEDLO CCN(C(=O)CCCC1CC1)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269338053 841536874 /nfs/dbraw/zinc/53/68/74/841536874.db2.gz BTOYXDCSTVYBKH-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO CCN(C(=O)CCCC1CC1)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269338053 841536883 /nfs/dbraw/zinc/53/68/83/841536883.db2.gz BTOYXDCSTVYBKH-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1([NH2+]Cc2nc(C)c(C)o2)CC1 ZINC001269403249 841606507 /nfs/dbraw/zinc/60/65/07/841606507.db2.gz SDZGXKKRNXQBPD-OAHLLOKOSA-N 1 2 307.394 1.357 20 30 DDEDLO C=CCC[NH+]1CC(N(C)C(=O)COc2c(C)occc2=O)C1 ZINC001269820787 842080996 /nfs/dbraw/zinc/08/09/96/842080996.db2.gz QSVMLQMGZYBKDC-UHFFFAOYSA-N 1 2 306.362 1.046 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C1C[NH+](CCc2cnn(C)c2)C1 ZINC001269855549 842109577 /nfs/dbraw/zinc/10/95/77/842109577.db2.gz JNIREXCSIKOTOV-UHFFFAOYSA-N 1 2 304.438 1.708 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccon1 ZINC001270484594 842613491 /nfs/dbraw/zinc/61/34/91/842613491.db2.gz ZGPOJARMRNWHRF-BMFZPTHFSA-N 1 2 317.389 1.278 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccon1 ZINC001270484594 842613499 /nfs/dbraw/zinc/61/34/99/842613499.db2.gz ZGPOJARMRNWHRF-BMFZPTHFSA-N 1 2 317.389 1.278 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)CC2CCCCC2)C1 ZINC001270619748 842728285 /nfs/dbraw/zinc/72/82/85/842728285.db2.gz HJNWKAZVEFXRFW-KRWDZBQOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)CC2CCCCC2)C1 ZINC001270619748 842728289 /nfs/dbraw/zinc/72/82/89/842728289.db2.gz HJNWKAZVEFXRFW-KRWDZBQOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001271185454 843393518 /nfs/dbraw/zinc/39/35/18/843393518.db2.gz ZWAOVOGVNPQJLG-LRDDRELGSA-N 1 2 322.409 1.348 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001271185454 843393522 /nfs/dbraw/zinc/39/35/22/843393522.db2.gz ZWAOVOGVNPQJLG-LRDDRELGSA-N 1 2 322.409 1.348 20 30 DDEDLO COCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001326632662 861493539 /nfs/dbraw/zinc/49/35/39/861493539.db2.gz IMFSXCSMSDSTEV-INIZCTEOSA-N 1 2 324.465 1.670 20 30 DDEDLO COCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001326632662 861493544 /nfs/dbraw/zinc/49/35/44/861493544.db2.gz IMFSXCSMSDSTEV-INIZCTEOSA-N 1 2 324.465 1.670 20 30 DDEDLO Cc1cccc([C@H](C)[NH+]2CC(CCO)(NC(=O)[C@H](C)C#N)C2)c1 ZINC001409710476 845606889 /nfs/dbraw/zinc/60/68/89/845606889.db2.gz QKDIJSIRBAZERW-CABCVRRESA-N 1 2 315.417 1.769 20 30 DDEDLO CN(CC#N)Cc1ccccc1CNC(=O)Cc1c[nH+]cn1C ZINC001272683518 846607419 /nfs/dbraw/zinc/60/74/19/846607419.db2.gz PXDBPYZGVAZAOP-UHFFFAOYSA-N 1 2 311.389 1.234 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001155067442 861699966 /nfs/dbraw/zinc/69/99/66/861699966.db2.gz ZSGIZSPMDIAOKK-WBMJQRKESA-N 1 2 323.441 1.037 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001155067442 861699974 /nfs/dbraw/zinc/69/99/74/861699974.db2.gz ZSGIZSPMDIAOKK-WBMJQRKESA-N 1 2 323.441 1.037 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC[C@@H]2CCCO2)C1 ZINC001107757651 846959970 /nfs/dbraw/zinc/95/99/70/846959970.db2.gz RRTRJFPASRGOAG-DOTOQJQBSA-N 1 2 308.422 1.176 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC[C@@H]2CCCO2)C1 ZINC001107757651 846959978 /nfs/dbraw/zinc/95/99/78/846959978.db2.gz RRTRJFPASRGOAG-DOTOQJQBSA-N 1 2 308.422 1.176 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]1(C)C[N@H+](CCC(F)(F)F)CCO1 ZINC001107821107 847225083 /nfs/dbraw/zinc/22/50/83/847225083.db2.gz JHKQFWYJSAYWNK-CMPLNLGQSA-N 1 2 307.316 1.306 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]1(C)C[N@@H+](CCC(F)(F)F)CCO1 ZINC001107821107 847225098 /nfs/dbraw/zinc/22/50/98/847225098.db2.gz JHKQFWYJSAYWNK-CMPLNLGQSA-N 1 2 307.316 1.306 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)nc2)C1 ZINC001107821368 847225801 /nfs/dbraw/zinc/22/58/01/847225801.db2.gz NWOMHUZTMVVKHD-MRXNPFEDSA-N 1 2 305.353 1.065 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)nc2)C1 ZINC001107821368 847225814 /nfs/dbraw/zinc/22/58/14/847225814.db2.gz NWOMHUZTMVVKHD-MRXNPFEDSA-N 1 2 305.353 1.065 20 30 DDEDLO Cc1nc(NC[C@@H](C)NC(=O)Cc2c[nH+]cn2C)ccc1C#N ZINC001107852018 847348327 /nfs/dbraw/zinc/34/83/27/847348327.db2.gz VTXFWNDTFULZGA-LLVKDONJSA-N 1 2 312.377 1.155 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1COCC[N@H+]1CC/C=C\CC ZINC001272851751 847550300 /nfs/dbraw/zinc/55/03/00/847550300.db2.gz UYEASALVLCMRGF-UFOWUTGFSA-N 1 2 310.438 1.487 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1COCC[N@@H+]1CC/C=C\CC ZINC001272851751 847550305 /nfs/dbraw/zinc/55/03/05/847550305.db2.gz UYEASALVLCMRGF-UFOWUTGFSA-N 1 2 310.438 1.487 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncnc1 ZINC001272852100 847553931 /nfs/dbraw/zinc/55/39/31/847553931.db2.gz YEOPFKFNNZLLFC-INIZCTEOSA-N 1 2 318.421 1.654 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncnc1 ZINC001272852100 847553940 /nfs/dbraw/zinc/55/39/40/847553940.db2.gz YEOPFKFNNZLLFC-INIZCTEOSA-N 1 2 318.421 1.654 20 30 DDEDLO C#CCN1c2ccccc2C2(CC[NH+](Cc3ncc[nH]3)CC2)C1=O ZINC001272918581 847628008 /nfs/dbraw/zinc/62/80/08/847628008.db2.gz MOQWFHXNARXVKO-UHFFFAOYSA-N 1 2 320.396 1.923 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH+]2CCN(CCOCC)CC2)C1 ZINC001327081045 861860269 /nfs/dbraw/zinc/86/02/69/861860269.db2.gz GIFLPBNMEQYZSS-KRWDZBQOSA-N 1 2 321.465 1.045 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)CCC2CCC2)[C@@H](n2ccnn2)C1 ZINC001128886506 848151689 /nfs/dbraw/zinc/15/16/89/848151689.db2.gz QCQCCXBWQZNINB-CVEARBPZSA-N 1 2 315.421 1.223 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)CCC2CCC2)[C@@H](n2ccnn2)C1 ZINC001128886506 848151695 /nfs/dbraw/zinc/15/16/95/848151695.db2.gz QCQCCXBWQZNINB-CVEARBPZSA-N 1 2 315.421 1.223 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2nncs2)CC1 ZINC001327126940 861912846 /nfs/dbraw/zinc/91/28/46/861912846.db2.gz SYTZUBXDFUZGRD-CHWSQXEVSA-N 1 2 322.434 1.047 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2nncs2)CC1 ZINC001327126940 861912855 /nfs/dbraw/zinc/91/28/55/861912855.db2.gz SYTZUBXDFUZGRD-CHWSQXEVSA-N 1 2 322.434 1.047 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC1([NH2+]Cc2cnon2)CCC1 ZINC001273166748 848617713 /nfs/dbraw/zinc/61/77/13/848617713.db2.gz UGXNSBWPFSIJEB-KBPBESRZSA-N 1 2 320.393 1.179 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(N(C)C)s1)C2 ZINC001273335693 849570942 /nfs/dbraw/zinc/57/09/42/849570942.db2.gz OLFJMMPSYROVIH-UHFFFAOYSA-N 1 2 321.446 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCn2cncn2)[C@@H]1C ZINC001410921860 849965982 /nfs/dbraw/zinc/96/59/82/849965982.db2.gz PBEOPAJPAHOFGM-QWHCGFSZSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCn2cncn2)[C@@H]1C ZINC001410921860 849965990 /nfs/dbraw/zinc/96/59/90/849965990.db2.gz PBEOPAJPAHOFGM-QWHCGFSZSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCn2cncn2)[C@@H]1C ZINC001410921865 849966019 /nfs/dbraw/zinc/96/60/19/849966019.db2.gz PBEOPAJPAHOFGM-STQMWFEESA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCn2cncn2)[C@@H]1C ZINC001410921865 849966025 /nfs/dbraw/zinc/96/60/25/849966025.db2.gz PBEOPAJPAHOFGM-STQMWFEESA-N 1 2 311.817 1.390 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)CCc1cccnc1)O2 ZINC001327359310 862100422 /nfs/dbraw/zinc/10/04/22/862100422.db2.gz MYNDOJNMNIXDHS-INIZCTEOSA-N 1 2 315.417 1.550 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@@H](O)CN(C)C(=O)CC1CC1 ZINC001411121920 850253655 /nfs/dbraw/zinc/25/36/55/850253655.db2.gz CFXSEMUOAMHILL-GFCCVEGCSA-N 1 2 319.243 1.446 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@@H](O)CN(C)C(=O)CC1CC1 ZINC001411121920 850253669 /nfs/dbraw/zinc/25/36/69/850253669.db2.gz CFXSEMUOAMHILL-GFCCVEGCSA-N 1 2 319.243 1.446 20 30 DDEDLO C=C1CCC(C(=O)N2CCOC3(C[NH+](CCCOC)C3)C2)CC1 ZINC001273630133 851165561 /nfs/dbraw/zinc/16/55/61/851165561.db2.gz IVYXYOQGDCLQOG-UHFFFAOYSA-N 1 2 322.449 1.683 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)Cc1ccon1)O2 ZINC001273655785 851192759 /nfs/dbraw/zinc/19/27/59/851192759.db2.gz OQBAGJGTSJAMJL-AWEZNQCLSA-N 1 2 305.378 1.143 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](OC)C1CCCC1)CO2 ZINC001273725140 851276812 /nfs/dbraw/zinc/27/68/12/851276812.db2.gz UVCFKLWYWGURGA-HZPDHXFCSA-N 1 2 322.449 1.727 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](CCc3ccc(O)cc3)C[C@@]2(F)C1=O ZINC001273735161 851287598 /nfs/dbraw/zinc/28/75/98/851287598.db2.gz SAIVYGPZWLHYJS-DLBZAZTESA-N 1 2 322.355 1.695 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](CCc3ccc(O)cc3)C[C@@]2(F)C1=O ZINC001273735161 851287606 /nfs/dbraw/zinc/28/76/06/851287606.db2.gz SAIVYGPZWLHYJS-DLBZAZTESA-N 1 2 322.355 1.695 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](C[C@H](O)C(C)(C)C)C[C@@]2(F)C1=O ZINC001273891323 851469060 /nfs/dbraw/zinc/46/90/60/851469060.db2.gz IOXRZHLWLJPHQH-VBNZEHGJSA-N 1 2 316.392 1.544 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](C[C@H](O)C(C)(C)C)C[C@@]2(F)C1=O ZINC001273891323 851469064 /nfs/dbraw/zinc/46/90/64/851469064.db2.gz IOXRZHLWLJPHQH-VBNZEHGJSA-N 1 2 316.392 1.544 20 30 DDEDLO C[C@@H](C#N)C(=O)NC/C=C\C[NH2+]Cc1nc2c(o1)CCCC2 ZINC001273909614 851493487 /nfs/dbraw/zinc/49/34/87/851493487.db2.gz JBJOLTRIWGACHL-RXNFCKPNSA-N 1 2 302.378 1.475 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@](CO)([NH2+]Cc2ccon2)C1 ZINC001327568057 862281908 /nfs/dbraw/zinc/28/19/08/862281908.db2.gz AYMZRUZQAMSBTQ-KRWDZBQOSA-N 1 2 321.421 1.720 20 30 DDEDLO CCn1ncc(C[NH2+]C/C=C\CNC(=O)C#CC(C)(C)C)n1 ZINC001274015021 851849688 /nfs/dbraw/zinc/84/96/88/851849688.db2.gz GREDGVDJPXYCEH-SREVYHEPSA-N 1 2 303.410 1.110 20 30 DDEDLO CCOC(=O)[C@H](C)NC(=O)[C@H]1CC12CC[NH+](CCC#N)CC2 ZINC001274030760 851869416 /nfs/dbraw/zinc/86/94/16/851869416.db2.gz WVLPDVIHQUTOMP-QWHCGFSZSA-N 1 2 307.394 1.070 20 30 DDEDLO Cc1nc(CN2C(=O)CC[C@@H]3[C@H]2CC[N@@H+]3CCC#N)cs1 ZINC001274032713 851872188 /nfs/dbraw/zinc/87/21/88/851872188.db2.gz VHVLNCKJMVPBAA-ZIAGYGMSSA-N 1 2 304.419 1.931 20 30 DDEDLO Cc1nc(CN2C(=O)CC[C@@H]3[C@H]2CC[N@H+]3CCC#N)cs1 ZINC001274032713 851872197 /nfs/dbraw/zinc/87/21/97/851872197.db2.gz VHVLNCKJMVPBAA-ZIAGYGMSSA-N 1 2 304.419 1.931 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)C4=CC=CC4)C3)C2)cc1C#N ZINC001274146937 851974934 /nfs/dbraw/zinc/97/49/34/851974934.db2.gz QJWFLWIAIDOVEE-UHFFFAOYSA-N 1 2 308.385 1.427 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1cc(C)nc(C)c1)C2 ZINC001274261406 852101642 /nfs/dbraw/zinc/10/16/42/852101642.db2.gz ZVZNDGKUMLILSN-UHFFFAOYSA-N 1 2 315.417 1.688 20 30 DDEDLO CC#CCCCC(=O)NC/C=C\C[NH2+]Cc1ncc(C)cn1 ZINC001274284956 852125004 /nfs/dbraw/zinc/12/50/04/852125004.db2.gz AHEJDSAIRGKUDM-FPLPWBNLSA-N 1 2 300.406 1.741 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cccc(C)c1OC)C2 ZINC001274311095 852146917 /nfs/dbraw/zinc/14/69/17/852146917.db2.gz ILFAKAZEUPJDLO-UHFFFAOYSA-N 1 2 314.385 1.050 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC(N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001299369259 852548433 /nfs/dbraw/zinc/54/84/33/852548433.db2.gz LOGUJHWUVDCGKV-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC(N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001299369259 852548440 /nfs/dbraw/zinc/54/84/40/852548440.db2.gz LOGUJHWUVDCGKV-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1cn(CCC)cn1 ZINC001274730011 852558038 /nfs/dbraw/zinc/55/80/38/852558038.db2.gz UKLRPXXJJAJBGK-GOSISDBHSA-N 1 2 314.433 1.883 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1cn(CCC)cn1 ZINC001274730011 852558045 /nfs/dbraw/zinc/55/80/45/852558045.db2.gz UKLRPXXJJAJBGK-GOSISDBHSA-N 1 2 314.433 1.883 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3[C@H](C2)OCCN3Cc2ccc[nH]2)nc1 ZINC001275032453 852760061 /nfs/dbraw/zinc/76/00/61/852760061.db2.gz QUGLGNMKAGHLAE-MSOLQXFVSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3[C@H](C2)OCCN3Cc2ccc[nH]2)nc1 ZINC001275032453 852760066 /nfs/dbraw/zinc/76/00/66/852760066.db2.gz QUGLGNMKAGHLAE-MSOLQXFVSA-N 1 2 323.400 1.367 20 30 DDEDLO COCCOCN1CC2(C1)C[NH+](Cc1ccc(C#N)s1)C2 ZINC001275588421 853402557 /nfs/dbraw/zinc/40/25/57/853402557.db2.gz DHIONTGBYMZEKQ-UHFFFAOYSA-N 1 2 307.419 1.358 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](C)Cc1ccn(CC)n1 ZINC001275819550 853815441 /nfs/dbraw/zinc/81/54/41/853815441.db2.gz STGUXEVHYNVSND-CJNGLKHVSA-N 1 2 308.426 1.167 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](C)Cc1ccn(CC)n1 ZINC001275819550 853815447 /nfs/dbraw/zinc/81/54/47/853815447.db2.gz STGUXEVHYNVSND-CJNGLKHVSA-N 1 2 308.426 1.167 20 30 DDEDLO COCCOCN1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccccc1C#N ZINC001275965371 854028713 /nfs/dbraw/zinc/02/87/13/854028713.db2.gz CUZZLDAMEOFMJU-HDICACEKSA-N 1 2 315.417 1.827 20 30 DDEDLO COCCOCN1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccccc1C#N ZINC001275965371 854028723 /nfs/dbraw/zinc/02/87/23/854028723.db2.gz CUZZLDAMEOFMJU-HDICACEKSA-N 1 2 315.417 1.827 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@H]2CCO[C@@H](CC)C2)C1 ZINC001276094374 854755453 /nfs/dbraw/zinc/75/54/53/854755453.db2.gz GPKGYCWRNJGRNA-GJZGRUSLSA-N 1 2 310.438 1.321 20 30 DDEDLO C=CCCC(=O)N(C)CCN(C)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001298044266 855041646 /nfs/dbraw/zinc/04/16/46/855041646.db2.gz QDCQCDDSFGBQNT-ZDUSSCGKSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCCC(=O)N(C)CCN(C)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001298044266 855041656 /nfs/dbraw/zinc/04/16/56/855041656.db2.gz QDCQCDDSFGBQNT-ZDUSSCGKSA-N 1 2 306.410 1.471 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC1(C)CC1)C2 ZINC001111222793 855195391 /nfs/dbraw/zinc/19/53/91/855195391.db2.gz MUGKBRQBKVWCNV-KFWWJZLASA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC1(C)CC1)C2 ZINC001111222793 855195396 /nfs/dbraw/zinc/19/53/96/855195396.db2.gz MUGKBRQBKVWCNV-KFWWJZLASA-N 1 2 317.433 1.038 20 30 DDEDLO Cc1ccc(C#N)c(N(C)CCCNC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001095745903 855286684 /nfs/dbraw/zinc/28/66/84/855286684.db2.gz DMLHJKDQDDPVDF-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO CC[N@H+](CCC#N)[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001412950313 855805550 /nfs/dbraw/zinc/80/55/50/855805550.db2.gz PGCDYJLSESGFCR-JKSUJKDBSA-N 1 2 317.389 1.604 20 30 DDEDLO CC[N@@H+](CCC#N)[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001412950313 855805556 /nfs/dbraw/zinc/80/55/56/855805556.db2.gz PGCDYJLSESGFCR-JKSUJKDBSA-N 1 2 317.389 1.604 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072602339 857500931 /nfs/dbraw/zinc/50/09/31/857500931.db2.gz HDQLEAQDGKWMLF-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C2CC(F)(F)C2)C1 ZINC001073564352 858444770 /nfs/dbraw/zinc/44/47/70/858444770.db2.gz XDKJKRAZYHQQBW-LBPRGKRZSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C2CC(F)(F)C2)C1 ZINC001073564352 858444771 /nfs/dbraw/zinc/44/47/71/858444771.db2.gz XDKJKRAZYHQQBW-LBPRGKRZSA-N 1 2 322.783 1.991 20 30 DDEDLO C=CCn1c(N(C)CC(C)C)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121707946 858577628 /nfs/dbraw/zinc/57/76/28/858577628.db2.gz DYODQOUANXOPFL-CJNGLKHVSA-N 1 2 307.442 1.468 20 30 DDEDLO C=CCn1c(N(C)CC(C)C)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121707946 858577630 /nfs/dbraw/zinc/57/76/30/858577630.db2.gz DYODQOUANXOPFL-CJNGLKHVSA-N 1 2 307.442 1.468 20 30 DDEDLO N#CCNC1CC2(C1)CC(NC(=O)CCCn1cc[nH+]c1)C2 ZINC001122284675 858737908 /nfs/dbraw/zinc/73/79/08/858737908.db2.gz AOQNPOWCEINPPD-UHFFFAOYSA-N 1 2 301.394 1.204 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N1CCO[C@@H](C)C1 ZINC001122490785 858848699 /nfs/dbraw/zinc/84/86/99/858848699.db2.gz UQBLLYXATBISRT-ZDUSSCGKSA-N 1 2 316.409 1.404 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)[C@@H]1C ZINC001122949860 859022154 /nfs/dbraw/zinc/02/21/54/859022154.db2.gz FOMDQZMGLJOCNW-WCQYABFASA-N 1 2 318.425 1.614 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001124488618 859719823 /nfs/dbraw/zinc/71/98/23/859719823.db2.gz SDKHODPENXBEDE-ZDUSSCGKSA-N 1 2 321.421 1.740 20 30 DDEDLO NC(=O)[C@@H]1C[N@H+](Cc2ccc(C#Cc3ccccc3)cc2)CCO1 ZINC001138335795 860060871 /nfs/dbraw/zinc/06/08/71/860060871.db2.gz BGNSZBJMZBYFMR-IBGZPJMESA-N 1 2 320.392 1.773 20 30 DDEDLO NC(=O)[C@@H]1C[N@@H+](Cc2ccc(C#Cc3ccccc3)cc2)CCO1 ZINC001138335795 860060881 /nfs/dbraw/zinc/06/08/81/860060881.db2.gz BGNSZBJMZBYFMR-IBGZPJMESA-N 1 2 320.392 1.773 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCN(C(C)=O)[C@H](C)C2)cc1OC ZINC001138347443 860067054 /nfs/dbraw/zinc/06/70/54/860067054.db2.gz XIFOJDXFKZIKOX-CQSZACIVSA-N 1 2 316.401 1.760 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCN(C(C)=O)[C@H](C)C2)cc1OC ZINC001138347443 860067069 /nfs/dbraw/zinc/06/70/69/860067069.db2.gz XIFOJDXFKZIKOX-CQSZACIVSA-N 1 2 316.401 1.760 20 30 DDEDLO CC1(C)CN(Cc2ccc(C#N)c(F)c2)CC[N@@H+]1CC(N)=O ZINC001139528998 860426833 /nfs/dbraw/zinc/42/68/33/860426833.db2.gz BYWROQSZHSXMSP-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC1(C)CN(Cc2ccc(C#N)c(F)c2)CC[N@H+]1CC(N)=O ZINC001139528998 860426835 /nfs/dbraw/zinc/42/68/35/860426835.db2.gz BYWROQSZHSXMSP-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccc(C#N)c(F)c2)CCN1CC(N)=O ZINC001139528998 860426836 /nfs/dbraw/zinc/42/68/36/860426836.db2.gz BYWROQSZHSXMSP-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccc(C#N)c(F)c2)CCN1CC(N)=O ZINC001139528998 860426838 /nfs/dbraw/zinc/42/68/38/860426838.db2.gz BYWROQSZHSXMSP-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO N#Cc1cnn(-c2ccccc2NC(=O)Cc2[nH]cc[nH+]2)c1N ZINC001140317749 860617908 /nfs/dbraw/zinc/61/79/08/860617908.db2.gz KKYQDTZHGAUQKT-UHFFFAOYSA-N 1 2 307.317 1.230 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)c3cccnc3)C2)cn1 ZINC001140546244 860654575 /nfs/dbraw/zinc/65/45/75/860654575.db2.gz AWOMTQSWLPWBGA-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)c3cccnc3)C2)cn1 ZINC001140546244 860654578 /nfs/dbraw/zinc/65/45/78/860654578.db2.gz AWOMTQSWLPWBGA-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1ncc(C)o1 ZINC001328735851 863184106 /nfs/dbraw/zinc/18/41/06/863184106.db2.gz FVGNXSKRWBPVDL-HNNXBMFYSA-N 1 2 319.405 1.446 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1ncc(C)o1 ZINC001328735851 863184111 /nfs/dbraw/zinc/18/41/11/863184111.db2.gz FVGNXSKRWBPVDL-HNNXBMFYSA-N 1 2 319.405 1.446 20 30 DDEDLO C#CCCCC(=O)NC1CC(C[NH2+]Cc2noc(C3CC3)n2)C1 ZINC001328851112 863277011 /nfs/dbraw/zinc/27/70/11/863277011.db2.gz JHSDGDZYNGJPOL-UHFFFAOYSA-N 1 2 316.405 1.735 20 30 DDEDLO N#Cc1c[nH]c2c1cccc2C(=O)N1CCc2[nH+]ccn2CC1 ZINC001157166906 863627302 /nfs/dbraw/zinc/62/73/02/863627302.db2.gz DRXCUDDUFFRQLZ-UHFFFAOYSA-N 1 2 305.341 1.935 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1cc(C)on1 ZINC001153012062 863650473 /nfs/dbraw/zinc/65/04/73/863650473.db2.gz BZRANOMMJAZIAP-WBMJQRKESA-N 1 2 309.410 1.637 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cc(C)on1 ZINC001153012062 863650483 /nfs/dbraw/zinc/65/04/83/863650483.db2.gz BZRANOMMJAZIAP-WBMJQRKESA-N 1 2 309.410 1.637 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1CC ZINC001157213392 863655948 /nfs/dbraw/zinc/65/59/48/863655948.db2.gz JDMBKPKBOYMKPE-HUUCEWRRSA-N 1 2 322.453 1.821 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1CC ZINC001157213392 863655951 /nfs/dbraw/zinc/65/59/51/863655951.db2.gz JDMBKPKBOYMKPE-HUUCEWRRSA-N 1 2 322.453 1.821 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001153091587 863706027 /nfs/dbraw/zinc/70/60/27/863706027.db2.gz UZTVVDYIGMWSOB-LLVKDONJSA-N 1 2 308.382 1.307 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001153135271 863728896 /nfs/dbraw/zinc/72/88/96/863728896.db2.gz OSLDVQZIKLCXHD-LLVKDONJSA-N 1 2 320.349 1.127 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001153161508 863739158 /nfs/dbraw/zinc/73/91/58/863739158.db2.gz ZCQDIHTYCRDGGJ-MNOVXSKESA-N 1 2 308.382 1.305 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1(CCO)C[NH+](CCOC2CCC2)C1 ZINC001329682018 863789274 /nfs/dbraw/zinc/78/92/74/863789274.db2.gz RHNDVBYCEMZIOL-UHFFFAOYSA-N 1 2 324.465 1.711 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)C1 ZINC001329874876 863912270 /nfs/dbraw/zinc/91/22/70/863912270.db2.gz ZTDYKMROEGGMJZ-UONOGXRCSA-N 1 2 316.405 1.161 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C1C[NH+](CC(=O)NC2CCCC2)C1 ZINC001329985326 863997385 /nfs/dbraw/zinc/99/73/85/863997385.db2.gz TZOVELFEPASDGO-AWEZNQCLSA-N 1 2 319.449 1.285 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)C1C[NH+](CC(=O)NCCC)C1 ZINC001330002025 864012589 /nfs/dbraw/zinc/01/25/89/864012589.db2.gz ZPSXKIMRHWGAMR-ZDUSSCGKSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ccon1 ZINC001157687030 864044620 /nfs/dbraw/zinc/04/46/20/864044620.db2.gz QXYTUUFYCLZEDC-OAHLLOKOSA-N 1 2 307.394 1.690 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ccon1 ZINC001157687030 864044630 /nfs/dbraw/zinc/04/46/30/864044630.db2.gz QXYTUUFYCLZEDC-OAHLLOKOSA-N 1 2 307.394 1.690 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H](C)[N@H+](CC)Cc2cn(C)nn2)CCC1 ZINC001153776893 864091451 /nfs/dbraw/zinc/09/14/51/864091451.db2.gz SLKWZIBOCVNDRJ-CQSZACIVSA-N 1 2 317.437 1.335 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2cn(C)nn2)CCC1 ZINC001153776893 864091462 /nfs/dbraw/zinc/09/14/62/864091462.db2.gz SLKWZIBOCVNDRJ-CQSZACIVSA-N 1 2 317.437 1.335 20 30 DDEDLO N#CCc1cc[nH+]c(N2CCC(N3CCCOC3=O)CC2)c1 ZINC001157909202 864249423 /nfs/dbraw/zinc/24/94/23/864249423.db2.gz PDQPPNGWHMAOGR-UHFFFAOYSA-N 1 2 300.362 1.959 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2nc(Cl)cc(Cl)c2C#N)C[NH2+]1 ZINC001158256500 864518166 /nfs/dbraw/zinc/51/81/66/864518166.db2.gz NQBPSEUXBYQVOJ-MUWHJKNJSA-N 1 2 315.160 1.575 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(c3ncnc4ccc(C#N)cc43)C2)C[C@@H](C)O1 ZINC001158720497 864852067 /nfs/dbraw/zinc/85/20/67/864852067.db2.gz UBLZOYMRUAOMEK-CHWSQXEVSA-N 1 2 323.400 1.799 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](O)C[N@@H+](C)[C@@H](C)c1nc(C)no1 ZINC001332617035 865981212 /nfs/dbraw/zinc/98/12/12/865981212.db2.gz CBVYHDJBOFLTDV-AAEUAGOBSA-N 1 2 310.398 1.156 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](O)C[N@H+](C)[C@@H](C)c1nc(C)no1 ZINC001332617035 865981221 /nfs/dbraw/zinc/98/12/21/865981221.db2.gz CBVYHDJBOFLTDV-AAEUAGOBSA-N 1 2 310.398 1.156 20 30 DDEDLO COc1ccnc(N[C@@H]2CCC[C@H]2[NH+]2CCOCC2)c1C#N ZINC001160725978 866078347 /nfs/dbraw/zinc/07/83/47/866078347.db2.gz WPSNUSNRZSWQCD-ZIAGYGMSSA-N 1 2 302.378 1.627 20 30 DDEDLO C#CCCN1CCC[C@H](Nc2ccc(Cn3cncn3)c[nH+]2)C1=O ZINC001160899886 866175771 /nfs/dbraw/zinc/17/57/71/866175771.db2.gz PMJDWJDHAXGFFE-HNNXBMFYSA-N 1 2 324.388 1.148 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)CC[N@H+](C)Cc1ccncc1Cl ZINC001320000040 866425718 /nfs/dbraw/zinc/42/57/18/866425718.db2.gz OSNCCGUMQQSVPI-CYBMUJFWSA-N 1 2 323.824 1.664 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)CC[N@@H+](C)Cc1ccncc1Cl ZINC001320000040 866425720 /nfs/dbraw/zinc/42/57/20/866425720.db2.gz OSNCCGUMQQSVPI-CYBMUJFWSA-N 1 2 323.824 1.664 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001320058889 866450715 /nfs/dbraw/zinc/45/07/15/866450715.db2.gz NGZKKWFAWXBJOJ-LSDHHAIUSA-N 1 2 307.438 1.115 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001320058889 866450721 /nfs/dbraw/zinc/45/07/21/866450721.db2.gz NGZKKWFAWXBJOJ-LSDHHAIUSA-N 1 2 307.438 1.115 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001323271846 866489789 /nfs/dbraw/zinc/48/97/89/866489789.db2.gz AQGIHEYVOUZJAD-VVLHAWIVSA-N 1 2 304.434 1.653 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001323271846 866489801 /nfs/dbraw/zinc/48/98/01/866489801.db2.gz AQGIHEYVOUZJAD-VVLHAWIVSA-N 1 2 304.434 1.653 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001323280406 866496745 /nfs/dbraw/zinc/49/67/45/866496745.db2.gz YGAARJJOZHRNGF-MRXNPFEDSA-N 1 2 319.449 1.383 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001323280406 866496750 /nfs/dbraw/zinc/49/67/50/866496750.db2.gz YGAARJJOZHRNGF-MRXNPFEDSA-N 1 2 319.449 1.383 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)C[C@@H](C)C(F)(F)F ZINC001323292745 866505271 /nfs/dbraw/zinc/50/52/71/866505271.db2.gz HQIQQZPCEGCWGV-NEPJUHHUSA-N 1 2 306.328 1.415 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)C[C@@H](C)C(F)(F)F ZINC001323292745 866505280 /nfs/dbraw/zinc/50/52/80/866505280.db2.gz HQIQQZPCEGCWGV-NEPJUHHUSA-N 1 2 306.328 1.415 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)COC[C@H](C)c1ccccc1 ZINC001323339466 866544732 /nfs/dbraw/zinc/54/47/32/866544732.db2.gz HAULGYFZTSNRGF-DOTOQJQBSA-N 1 2 300.402 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)COC[C@H](C)c1ccccc1 ZINC001323339466 866544736 /nfs/dbraw/zinc/54/47/36/866544736.db2.gz HAULGYFZTSNRGF-DOTOQJQBSA-N 1 2 300.402 1.630 20 30 DDEDLO COC(=O)CC[C@H](Nc1[nH+]ccc2ccc(C#N)cc21)C(N)=O ZINC001161757000 866970900 /nfs/dbraw/zinc/97/09/00/866970900.db2.gz GZLZEOAIIKDEMH-ZDUSSCGKSA-N 1 2 312.329 1.325 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@@]23CCO[C@H]2CCCC3)C1 ZINC001323953400 866972262 /nfs/dbraw/zinc/97/22/62/866972262.db2.gz BZQDPTUSYYSXOV-WMZOPIPTSA-N 1 2 322.449 1.681 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)[C@H](C)NC(=O)CCCn1cc[nH+]c1 ZINC001333902573 867138046 /nfs/dbraw/zinc/13/80/46/867138046.db2.gz LEJWECRVFVNKKF-UONOGXRCSA-N 1 2 316.405 1.086 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001333915675 867150864 /nfs/dbraw/zinc/15/08/64/867150864.db2.gz JIOOENMMNWJHGY-RYUDHWBXSA-N 1 2 306.410 1.626 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@@H](NC(=O)C#CC(C)C)C2CCCC2)o1 ZINC001324468110 867293558 /nfs/dbraw/zinc/29/35/58/867293558.db2.gz JSLSKQBSJFWCFV-OAHLLOKOSA-N 1 2 318.421 1.802 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@]1(C)CC[N@H+](CC(=O)NCC#N)C1 ZINC001324609356 867403084 /nfs/dbraw/zinc/40/30/84/867403084.db2.gz VTBHCKCXHDNGLT-CZUORRHYSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@]1(C)CC[N@@H+](CC(=O)NCC#N)C1 ZINC001324609356 867403091 /nfs/dbraw/zinc/40/30/91/867403091.db2.gz VTBHCKCXHDNGLT-CZUORRHYSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001334351667 867508624 /nfs/dbraw/zinc/50/86/24/867508624.db2.gz ZULLFCFIPGUWCN-ZDUSSCGKSA-N 1 2 306.410 1.662 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001334351667 867508638 /nfs/dbraw/zinc/50/86/38/867508638.db2.gz ZULLFCFIPGUWCN-ZDUSSCGKSA-N 1 2 306.410 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)Cn1ncc2cccnc21 ZINC001381545885 882132713 /nfs/dbraw/zinc/13/27/13/882132713.db2.gz RAGNXSPKOPJLPZ-LBPRGKRZSA-N 1 2 321.812 1.620 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)Cn1ncc2cccnc21 ZINC001381545885 882132720 /nfs/dbraw/zinc/13/27/20/882132720.db2.gz RAGNXSPKOPJLPZ-LBPRGKRZSA-N 1 2 321.812 1.620 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)C[N@H+](C)Cc1nc(C)cs1 ZINC001322156015 868010954 /nfs/dbraw/zinc/01/09/54/868010954.db2.gz INXYWTPRWJCZAB-GFCCVEGCSA-N 1 2 309.435 1.428 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)C[N@@H+](C)Cc1nc(C)cs1 ZINC001322156015 868010964 /nfs/dbraw/zinc/01/09/64/868010964.db2.gz INXYWTPRWJCZAB-GFCCVEGCSA-N 1 2 309.435 1.428 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)C[N@H+](C)CC(=O)Nc1ccon1 ZINC001322170467 868022481 /nfs/dbraw/zinc/02/24/81/868022481.db2.gz XFYRYUNCBSTLEQ-GFCCVEGCSA-N 1 2 308.382 1.406 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)C[N@@H+](C)CC(=O)Nc1ccon1 ZINC001322170467 868022499 /nfs/dbraw/zinc/02/24/99/868022499.db2.gz XFYRYUNCBSTLEQ-GFCCVEGCSA-N 1 2 308.382 1.406 20 30 DDEDLO C=CCN(C(=O)C(=O)NCCc1cn2c([nH+]1)CCCC2)C1CC1 ZINC001322196980 868044557 /nfs/dbraw/zinc/04/45/57/868044557.db2.gz KCMNRXBKJKYRDU-UHFFFAOYSA-N 1 2 316.405 1.055 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC001322821481 868408308 /nfs/dbraw/zinc/40/83/08/868408308.db2.gz WKPIQXOOKIQKLH-UKRRQHHQSA-N 1 2 317.389 1.532 20 30 DDEDLO CC(=O)C=C(C)Nc1ccc(OCC[NH+]2CCOCC2)nc1 ZINC001163742592 868671616 /nfs/dbraw/zinc/67/16/16/868671616.db2.gz MJYYKHQBACBDAS-QBFSEMIESA-N 1 2 305.378 1.697 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]CC2(CCNC(=O)[C@@H](C)C#N)CC2)no1 ZINC001163859600 868760433 /nfs/dbraw/zinc/76/04/33/868760433.db2.gz GQPMHPNQDMGKNM-WDEREUQCSA-N 1 2 305.382 1.475 20 30 DDEDLO N#Cc1cncc(N2CC[NH+](Cc3ccc4c(c3)OCO4)CC2)n1 ZINC001163895959 868778634 /nfs/dbraw/zinc/77/86/34/868778634.db2.gz PDCJFXWUUMHYQX-UHFFFAOYSA-N 1 2 323.356 1.399 20 30 DDEDLO C#CC1CCN(c2nnnn2CCCc2cccc(C)[nH+]2)CC1 ZINC001337170450 869359979 /nfs/dbraw/zinc/35/99/79/869359979.db2.gz GVMYFMXMUQIOTG-UHFFFAOYSA-N 1 2 310.405 1.859 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CN(C)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001337263251 869406632 /nfs/dbraw/zinc/40/66/32/869406632.db2.gz ZJMKFVAESFPPPN-UONOGXRCSA-N 1 2 318.421 1.375 20 30 DDEDLO C=CCCCC(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001165529653 869630286 /nfs/dbraw/zinc/63/02/86/869630286.db2.gz AKMYRQDLMOPMPP-UHFFFAOYSA-N 1 2 308.430 1.380 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(F)c(F)c3)n2C)CC1 ZINC001338185316 869857422 /nfs/dbraw/zinc/85/74/22/869857422.db2.gz DGDYKOFAKHYBSE-UHFFFAOYSA-N 1 2 317.343 1.516 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C1 ZINC001316976298 870037854 /nfs/dbraw/zinc/03/78/54/870037854.db2.gz LOWXQNIWCIEHLU-HOCLYGCPSA-N 1 2 307.369 1.238 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001316976298 870037863 /nfs/dbraw/zinc/03/78/63/870037863.db2.gz LOWXQNIWCIEHLU-HOCLYGCPSA-N 1 2 307.369 1.238 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](CC)NC(=O)Cc1c[nH+]cn1C ZINC001297415549 870040243 /nfs/dbraw/zinc/04/02/43/870040243.db2.gz ATQNMPRSYOBDLF-CYBMUJFWSA-N 1 2 306.410 1.330 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC(=C)C ZINC001317047587 870162028 /nfs/dbraw/zinc/16/20/28/870162028.db2.gz ZIJYLNMSEKPQDQ-OAGGEKHMSA-N 1 2 319.449 1.709 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC(=C)C ZINC001317047587 870162032 /nfs/dbraw/zinc/16/20/32/870162032.db2.gz ZIJYLNMSEKPQDQ-OAGGEKHMSA-N 1 2 319.449 1.709 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC1(C)C ZINC001317051332 870168473 /nfs/dbraw/zinc/16/84/73/870168473.db2.gz WPPRNHPLBIUTSI-XHSDSOJGSA-N 1 2 304.434 1.748 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC1(C)C ZINC001317051332 870168480 /nfs/dbraw/zinc/16/84/80/870168480.db2.gz WPPRNHPLBIUTSI-XHSDSOJGSA-N 1 2 304.434 1.748 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCCC(C)(C)C1 ZINC001338893935 870229543 /nfs/dbraw/zinc/22/95/43/870229543.db2.gz CKXDYGBLFBLPOC-UONOGXRCSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCCC(C)(C)C1 ZINC001338893935 870229549 /nfs/dbraw/zinc/22/95/49/870229549.db2.gz CKXDYGBLFBLPOC-UONOGXRCSA-N 1 2 319.453 1.828 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CCCC[C@@H]1CNC(=O)C#CC1CC1 ZINC001317172163 870412160 /nfs/dbraw/zinc/41/21/60/870412160.db2.gz ZUUFDCBDXLTSMW-CQSZACIVSA-N 1 2 318.446 1.731 20 30 DDEDLO Cc1nnsc1C[N@H+]1CCCC[C@@H]1CNC(=O)C#CC1CC1 ZINC001317172163 870412166 /nfs/dbraw/zinc/41/21/66/870412166.db2.gz ZUUFDCBDXLTSMW-CQSZACIVSA-N 1 2 318.446 1.731 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC(N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001339227585 870412781 /nfs/dbraw/zinc/41/27/81/870412781.db2.gz UAAMEIGIXQQSRH-UHFFFAOYSA-N 1 2 304.394 1.201 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC(N(C)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001339253005 870423844 /nfs/dbraw/zinc/42/38/44/870423844.db2.gz SMVLXVOXCSEISV-UHFFFAOYSA-N 1 2 318.421 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001381640480 882408603 /nfs/dbraw/zinc/40/86/03/882408603.db2.gz SGWQEFYKMONIDM-DGCLKSJQSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001381640480 882408615 /nfs/dbraw/zinc/40/86/15/882408615.db2.gz SGWQEFYKMONIDM-DGCLKSJQSA-N 1 2 317.861 1.726 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)[NH2+]Cc2cnsn2)cc1 ZINC001317255922 870542107 /nfs/dbraw/zinc/54/21/07/870542107.db2.gz RLRRFGLWRZUDMH-NSHDSACASA-N 1 2 300.387 1.428 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001317304396 870610390 /nfs/dbraw/zinc/61/03/90/870610390.db2.gz VKIYVXJINDDGDA-SWLSCSKDSA-N 1 2 324.450 1.457 20 30 DDEDLO C[N@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(Br)ccc1C#N ZINC001276415733 870713828 /nfs/dbraw/zinc/71/38/28/870713828.db2.gz RLFCHQRMBPUTDL-TXEJJXNPSA-N 1 2 320.190 1.849 20 30 DDEDLO C[N@@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(Br)ccc1C#N ZINC001276415733 870713834 /nfs/dbraw/zinc/71/38/34/870713834.db2.gz RLFCHQRMBPUTDL-TXEJJXNPSA-N 1 2 320.190 1.849 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001299088505 870888001 /nfs/dbraw/zinc/88/80/01/870888001.db2.gz NNOVVEBGVLSISJ-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCN(c1nnc([C@H]2C[C@H](O)C[N@H+]2C)n1CC=C)C1CC1 ZINC001340258861 870993222 /nfs/dbraw/zinc/99/32/22/870993222.db2.gz PDJJGVHNZGLVHT-UONOGXRCSA-N 1 2 303.410 1.356 20 30 DDEDLO C=CCN(c1nnc([C@H]2C[C@H](O)C[N@@H+]2C)n1CC=C)C1CC1 ZINC001340258861 870993229 /nfs/dbraw/zinc/99/32/29/870993229.db2.gz PDJJGVHNZGLVHT-UONOGXRCSA-N 1 2 303.410 1.356 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)Cc1cnccn1 ZINC001340732039 871314762 /nfs/dbraw/zinc/31/47/62/871314762.db2.gz AOLVCRZFODKSTN-UHFFFAOYSA-N 1 2 324.392 1.215 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[N@H+](C)Cc1ccc(OC)nn1 ZINC001317460519 871350707 /nfs/dbraw/zinc/35/07/07/871350707.db2.gz YVQDZBRFWMTIJS-INIZCTEOSA-N 1 2 306.410 1.636 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[N@@H+](C)Cc1ccc(OC)nn1 ZINC001317460519 871350726 /nfs/dbraw/zinc/35/07/26/871350726.db2.gz YVQDZBRFWMTIJS-INIZCTEOSA-N 1 2 306.410 1.636 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H](C)c1cccc(F)c1 ZINC001317472363 871450773 /nfs/dbraw/zinc/45/07/73/871450773.db2.gz YLYHZBRCUJGEHI-ZDUSSCGKSA-N 1 2 321.396 1.279 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H](C)c1cccc(F)c1 ZINC001317472363 871450780 /nfs/dbraw/zinc/45/07/80/871450780.db2.gz YLYHZBRCUJGEHI-ZDUSSCGKSA-N 1 2 321.396 1.279 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)CC ZINC001317735857 871454332 /nfs/dbraw/zinc/45/43/32/871454332.db2.gz XJVLPGNQGRKMAO-GASCZTMLSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(C)CC ZINC001317735857 871454344 /nfs/dbraw/zinc/45/43/44/871454344.db2.gz XJVLPGNQGRKMAO-GASCZTMLSA-N 1 2 321.465 1.742 20 30 DDEDLO COCC#CC[NH2+]CC1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001205350263 871506387 /nfs/dbraw/zinc/50/63/87/871506387.db2.gz UFHAFDMANSHXIS-LBPRGKRZSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[NH2+]CC1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001205350263 871506399 /nfs/dbraw/zinc/50/63/99/871506399.db2.gz UFHAFDMANSHXIS-LBPRGKRZSA-N 1 2 324.318 1.365 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)CC2(COC)CC2)CC1 ZINC001317818843 871544976 /nfs/dbraw/zinc/54/49/76/871544976.db2.gz JSHFYINVEHATDE-UHFFFAOYSA-N 1 2 309.454 1.065 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCCC2(C)C)C1 ZINC001317979368 871668019 /nfs/dbraw/zinc/66/80/19/871668019.db2.gz JEOVRWAMNVLNJQ-LSDHHAIUSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCCC2(C)C)C1 ZINC001317979368 871668031 /nfs/dbraw/zinc/66/80/31/871668031.db2.gz JEOVRWAMNVLNJQ-LSDHHAIUSA-N 1 2 319.449 1.143 20 30 DDEDLO C=C(C)[C@H](CC(=O)NC1C[NH+](CCc2cnn(C)c2)C1)OCC ZINC001318029362 871698605 /nfs/dbraw/zinc/69/86/05/871698605.db2.gz VGMQZOSUVWPRGM-INIZCTEOSA-N 1 2 320.437 1.134 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2ccccc2Cl)C1 ZINC001318171117 871795050 /nfs/dbraw/zinc/79/50/50/871795050.db2.gz KBWFMKWECKSSHR-UHFFFAOYSA-N 1 2 321.808 1.304 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)C(C)(F)F ZINC001318214109 871835847 /nfs/dbraw/zinc/83/58/47/871835847.db2.gz CVMRPECELVMTAY-AGIUHOORSA-N 1 2 300.349 1.213 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)C(C)(F)F ZINC001318214109 871835864 /nfs/dbraw/zinc/83/58/64/871835864.db2.gz CVMRPECELVMTAY-AGIUHOORSA-N 1 2 300.349 1.213 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001318248812 871876909 /nfs/dbraw/zinc/87/69/09/871876909.db2.gz YMZZVYYSYSUJRW-GJZGRUSLSA-N 1 2 307.438 1.285 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001318248812 871876931 /nfs/dbraw/zinc/87/69/31/871876931.db2.gz YMZZVYYSYSUJRW-GJZGRUSLSA-N 1 2 307.438 1.285 20 30 DDEDLO C[C@H](CC(=O)N1CCCC[C@H]1CN(C)CC#N)n1cc[nH+]c1 ZINC001316804340 872074521 /nfs/dbraw/zinc/07/45/21/872074521.db2.gz GVVTYBUSRPNSSX-CABCVRRESA-N 1 2 303.410 1.671 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)CC#N)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001342384309 872183567 /nfs/dbraw/zinc/18/35/67/872183567.db2.gz JNYNZLCEKVEPFK-IOASZLSFSA-N 1 2 318.425 1.114 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)CC#N)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001342384309 872183581 /nfs/dbraw/zinc/18/35/81/872183581.db2.gz JNYNZLCEKVEPFK-IOASZLSFSA-N 1 2 318.425 1.114 20 30 DDEDLO C#CCN(CC)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001342520744 872245269 /nfs/dbraw/zinc/24/52/69/872245269.db2.gz BFYGMLHILFCCOY-WMLDXEAASA-N 1 2 317.437 1.225 20 30 DDEDLO C#CCN(CC)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC(=C)C ZINC001342520744 872245284 /nfs/dbraw/zinc/24/52/84/872245284.db2.gz BFYGMLHILFCCOY-WMLDXEAASA-N 1 2 317.437 1.225 20 30 DDEDLO N#Cc1cc(C(=O)NCCC[N@H+](Cc2cnon2)C2CC2)c[nH]1 ZINC001316915733 872347804 /nfs/dbraw/zinc/34/78/04/872347804.db2.gz HHUYEHXHQISCMK-UHFFFAOYSA-N 1 2 314.349 1.054 20 30 DDEDLO N#Cc1cc(C(=O)NCCC[N@@H+](Cc2cnon2)C2CC2)c[nH]1 ZINC001316915733 872347826 /nfs/dbraw/zinc/34/78/26/872347826.db2.gz HHUYEHXHQISCMK-UHFFFAOYSA-N 1 2 314.349 1.054 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccn(C)n2)C1 ZINC001316940086 872422810 /nfs/dbraw/zinc/42/28/10/872422810.db2.gz GQGCWXYCQJHDRP-OAHLLOKOSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@H+](Cc2ccn(C)n2)C1 ZINC001316940086 872422821 /nfs/dbraw/zinc/42/28/21/872422821.db2.gz GQGCWXYCQJHDRP-OAHLLOKOSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnns2)C[C@H]1C ZINC001206886101 872705935 /nfs/dbraw/zinc/70/59/35/872705935.db2.gz SCCYCMOXXYRVNF-TZMCWYRMSA-N 1 2 306.435 1.668 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2cnns2)C[C@H]1C ZINC001206886101 872705940 /nfs/dbraw/zinc/70/59/40/872705940.db2.gz SCCYCMOXXYRVNF-TZMCWYRMSA-N 1 2 306.435 1.668 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)cn2)C[C@H]1C ZINC001206912609 872753718 /nfs/dbraw/zinc/75/37/18/872753718.db2.gz UOZFXXBRKLPMTL-HUUCEWRRSA-N 1 2 300.406 1.525 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ncc(C)cn2)C[C@H]1C ZINC001206912609 872753730 /nfs/dbraw/zinc/75/37/30/872753730.db2.gz UOZFXXBRKLPMTL-HUUCEWRRSA-N 1 2 300.406 1.525 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2nccn2CC)C[C@H]1C ZINC001206914931 872759755 /nfs/dbraw/zinc/75/97/55/872759755.db2.gz XZPJBXUUSXNOPH-CPUCHLNUSA-N 1 2 320.437 1.167 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2nccn2CC)C[C@H]1C ZINC001206914931 872759767 /nfs/dbraw/zinc/75/97/67/872759767.db2.gz XZPJBXUUSXNOPH-CPUCHLNUSA-N 1 2 320.437 1.167 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001206950882 872833554 /nfs/dbraw/zinc/83/35/54/872833554.db2.gz NASRNTVVRLKALF-UKRRQHHQSA-N 1 2 302.422 1.406 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001206950882 872833564 /nfs/dbraw/zinc/83/35/64/872833564.db2.gz NASRNTVVRLKALF-UKRRQHHQSA-N 1 2 302.422 1.406 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001207680489 873474052 /nfs/dbraw/zinc/47/40/52/873474052.db2.gz ORRWRHGODQSGEF-WCQYABFASA-N 1 2 308.382 1.222 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001207950432 873681507 /nfs/dbraw/zinc/68/15/07/873681507.db2.gz KYPXXPNLSOFKOI-WBMJQRKESA-N 1 2 322.409 1.040 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC001346481075 873770714 /nfs/dbraw/zinc/77/07/14/873770714.db2.gz KTRGMOBMONUFRN-CABCVRRESA-N 1 2 316.405 1.212 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+](CCCc2cccc(C#N)c2)CC1 ZINC001208850927 874484541 /nfs/dbraw/zinc/48/45/41/874484541.db2.gz OLVDMHTWBBNQFM-UHFFFAOYSA-N 1 2 321.446 1.458 20 30 DDEDLO CCOc1nnc(C[NH2+][C@H](CC)CNC(=O)[C@@H](C)C#N)s1 ZINC001378250838 874547387 /nfs/dbraw/zinc/54/73/87/874547387.db2.gz PEHMTLOALLZEDT-VHSXEESVSA-N 1 2 311.411 1.081 20 30 DDEDLO C=CCN(CC[N@@H+]1CCc2onc(COC)c2C1)C(=O)OCC ZINC001209018874 874606785 /nfs/dbraw/zinc/60/67/85/874606785.db2.gz PVLYJCOPTXTKCA-UHFFFAOYSA-N 1 2 323.393 1.824 20 30 DDEDLO C=CCN(CC[N@H+]1CCc2onc(COC)c2C1)C(=O)OCC ZINC001209018874 874606790 /nfs/dbraw/zinc/60/67/90/874606790.db2.gz PVLYJCOPTXTKCA-UHFFFAOYSA-N 1 2 323.393 1.824 20 30 DDEDLO Cc1nccc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)n1 ZINC001276629298 874775824 /nfs/dbraw/zinc/77/58/24/874775824.db2.gz BCNCTCZAUDTLND-UHFFFAOYSA-N 1 2 322.416 1.313 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001350532624 875746997 /nfs/dbraw/zinc/74/69/97/875746997.db2.gz LNAXFNMBXDDDBT-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001350532624 875747001 /nfs/dbraw/zinc/74/70/01/875747001.db2.gz LNAXFNMBXDDDBT-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(OC)no2)C[C@H]1C ZINC001211427525 875806022 /nfs/dbraw/zinc/80/60/22/875806022.db2.gz HYSOCCNLQADXIJ-MBNYWOFBSA-N 1 2 323.393 1.211 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(OC)no2)C[C@H]1C ZINC001211427525 875806030 /nfs/dbraw/zinc/80/60/30/875806030.db2.gz HYSOCCNLQADXIJ-MBNYWOFBSA-N 1 2 323.393 1.211 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+](Cc2ccn(CC)n2)CC1 ZINC001227323437 882970249 /nfs/dbraw/zinc/97/02/49/882970249.db2.gz TVLMHEVWBWGEEE-UHFFFAOYSA-N 1 2 318.421 1.024 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213322892 875899296 /nfs/dbraw/zinc/89/92/96/875899296.db2.gz VPGABUPNALSKBF-BZUAXINKSA-N 1 2 308.422 1.054 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213322892 875899308 /nfs/dbraw/zinc/89/93/08/875899308.db2.gz VPGABUPNALSKBF-BZUAXINKSA-N 1 2 308.422 1.054 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001351098828 876056121 /nfs/dbraw/zinc/05/61/21/876056121.db2.gz QUWZOXUAXBDSQK-ZDUSSCGKSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@]1(C)CCNC(=O)C1 ZINC001379070405 876305071 /nfs/dbraw/zinc/30/50/71/876305071.db2.gz AIMHCXJMFMMRBH-AWEZNQCLSA-N 1 2 301.818 1.045 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@]1(C)CCNC(=O)C1 ZINC001379070405 876305075 /nfs/dbraw/zinc/30/50/75/876305075.db2.gz AIMHCXJMFMMRBH-AWEZNQCLSA-N 1 2 301.818 1.045 20 30 DDEDLO C=CCn1c([C@H]2CCC[N@H+]2C)nnc1N1CCC[C@H](C#N)C1 ZINC001351948412 876529319 /nfs/dbraw/zinc/52/93/19/876529319.db2.gz FOZOXTONYQCZFI-ZIAGYGMSSA-N 1 2 300.410 1.971 20 30 DDEDLO C=CCn1c([C@H]2CCC[N@@H+]2C)nnc1N1CCC[C@H](C#N)C1 ZINC001351948412 876529323 /nfs/dbraw/zinc/52/93/23/876529323.db2.gz FOZOXTONYQCZFI-ZIAGYGMSSA-N 1 2 300.410 1.971 20 30 DDEDLO N#Cc1ccc(F)cc1C[NH2+][C@@H](CO)CNC(=O)C1CCCC1 ZINC001379336169 876856064 /nfs/dbraw/zinc/85/60/64/876856064.db2.gz SDFLOXRZUPCYEQ-MRXNPFEDSA-N 1 2 319.380 1.454 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001216936620 877100078 /nfs/dbraw/zinc/10/00/78/877100078.db2.gz QXKMZXWFODLNTR-FRRDWIJNSA-N 1 2 322.409 1.160 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3conc3C)C[C@@H]21 ZINC001217476076 877208599 /nfs/dbraw/zinc/20/85/99/877208599.db2.gz TWWPOBIYYYGRRY-LSDHHAIUSA-N 1 2 305.378 1.361 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3conc3C)C[C@@H]21 ZINC001217476076 877208615 /nfs/dbraw/zinc/20/86/15/877208615.db2.gz TWWPOBIYYYGRRY-LSDHHAIUSA-N 1 2 305.378 1.361 20 30 DDEDLO C=C1CC[NH2+]C[C@@H]1Oc1[nH]c(=O)nc2cc(C(=O)OC)ccc21 ZINC001218207238 877420287 /nfs/dbraw/zinc/42/02/87/877420287.db2.gz HMWQWSXABRDDAX-ZDUSSCGKSA-N 1 2 315.329 1.419 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C=C)c3ccccc3)[C@H]2C1 ZINC001219045337 877885704 /nfs/dbraw/zinc/88/57/04/877885704.db2.gz BCOHBXBQPZLFQL-NZSAHSFTSA-N 1 2 324.424 1.891 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C=C)c3ccccc3)[C@H]2C1 ZINC001219045337 877885717 /nfs/dbraw/zinc/88/57/17/877885717.db2.gz BCOHBXBQPZLFQL-NZSAHSFTSA-N 1 2 324.424 1.891 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](CCCC)C(C)C)[C@H]2C1 ZINC001219057515 877896068 /nfs/dbraw/zinc/89/60/68/877896068.db2.gz BDHHBBBRRPHBIU-IXDOHACOSA-N 1 2 306.450 1.994 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](CCCC)C(C)C)[C@H]2C1 ZINC001219057515 877896093 /nfs/dbraw/zinc/89/60/93/877896093.db2.gz BDHHBBBRRPHBIU-IXDOHACOSA-N 1 2 306.450 1.994 20 30 DDEDLO C[C@H](CCNC(=O)CCCn1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001355200594 878460348 /nfs/dbraw/zinc/46/03/48/878460348.db2.gz XQNMBJOSGILENQ-CQSZACIVSA-N 1 2 316.405 1.088 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@@H]1O ZINC001219715470 878475645 /nfs/dbraw/zinc/47/56/45/878475645.db2.gz BBBITBFPFIYBQL-CVEARBPZSA-N 1 2 306.381 1.843 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@@H]1O ZINC001219715470 878475652 /nfs/dbraw/zinc/47/56/52/878475652.db2.gz BBBITBFPFIYBQL-CVEARBPZSA-N 1 2 306.381 1.843 20 30 DDEDLO C=CCN(c1nnc([C@@H]2C[N@@H+]3CCCC[C@H]3CO2)n1C)C1CC1 ZINC001355733767 878693911 /nfs/dbraw/zinc/69/39/11/878693911.db2.gz BRFSGRBFPUAPJV-GJZGRUSLSA-N 1 2 317.437 1.896 20 30 DDEDLO C=CCN(c1nnc([C@@H]2C[N@H+]3CCCC[C@H]3CO2)n1C)C1CC1 ZINC001355733767 878693917 /nfs/dbraw/zinc/69/39/17/878693917.db2.gz BRFSGRBFPUAPJV-GJZGRUSLSA-N 1 2 317.437 1.896 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@@H]1O ZINC001220146968 878740616 /nfs/dbraw/zinc/74/06/16/878740616.db2.gz VOSGSLBIPVWQDI-CABCVRRESA-N 1 2 321.446 1.388 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@@H]1O ZINC001220146968 878740637 /nfs/dbraw/zinc/74/06/37/878740637.db2.gz VOSGSLBIPVWQDI-CABCVRRESA-N 1 2 321.446 1.388 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C3CC3)no2)C[C@@H]1O ZINC001220247598 878847911 /nfs/dbraw/zinc/84/79/11/878847911.db2.gz KIMMTASTJAEOLK-CVEARBPZSA-N 1 2 319.405 1.570 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C3CC3)no2)C[C@@H]1O ZINC001220247598 878847927 /nfs/dbraw/zinc/84/79/27/878847927.db2.gz KIMMTASTJAEOLK-CVEARBPZSA-N 1 2 319.405 1.570 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)CCCCOC ZINC001356152375 878892788 /nfs/dbraw/zinc/89/27/88/878892788.db2.gz BZATVULTUBTPSJ-UHFFFAOYSA-N 1 2 318.425 1.651 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](CC)CNC(=O)CCn1cc[nH+]c1 ZINC001356906376 879566653 /nfs/dbraw/zinc/56/66/53/879566653.db2.gz MCSOEGJXZFQYNJ-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](CNC(=O)Cn1cc[nH+]c1)C(C)C ZINC001357104129 879793467 /nfs/dbraw/zinc/79/34/67/879793467.db2.gz XIGFEYCAMQVMLW-RHSMWYFYSA-N 1 2 320.437 1.742 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[C@@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001357318033 879907634 /nfs/dbraw/zinc/90/76/34/879907634.db2.gz KDUNLVCBPIEPRT-HUUCEWRRSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC3CC3)C[C@H]21 ZINC001221759010 880060878 /nfs/dbraw/zinc/06/08/78/880060878.db2.gz LOKLIHAOAJSTSY-IIAWOOMASA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC3CC3)C[C@H]21 ZINC001221759010 880060890 /nfs/dbraw/zinc/06/08/90/880060890.db2.gz LOKLIHAOAJSTSY-IIAWOOMASA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001222573609 880569168 /nfs/dbraw/zinc/56/91/68/880569168.db2.gz GXTBTGCDIHFULJ-ZDUSSCGKSA-N 1 2 322.409 1.379 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2CC[N@H+](Cc3ccon3)[C@H]2C1 ZINC001222661993 880625426 /nfs/dbraw/zinc/62/54/26/880625426.db2.gz VBVKJOPRRJNQGP-FMKPAKJESA-N 1 2 317.389 1.136 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3ccon3)[C@H]2C1 ZINC001222661993 880625437 /nfs/dbraw/zinc/62/54/37/880625437.db2.gz VBVKJOPRRJNQGP-FMKPAKJESA-N 1 2 317.389 1.136 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1CC[C@@H]1CNC(=O)C#CC1CC1 ZINC001276841110 880712482 /nfs/dbraw/zinc/71/24/82/880712482.db2.gz ALVZGEGIZDSBMV-CQSZACIVSA-N 1 2 301.390 1.649 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1CC[C@@H]1CNC(=O)C#CC1CC1 ZINC001276841110 880712489 /nfs/dbraw/zinc/71/24/89/880712489.db2.gz ALVZGEGIZDSBMV-CQSZACIVSA-N 1 2 301.390 1.649 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001276851812 880753647 /nfs/dbraw/zinc/75/36/47/880753647.db2.gz FDAKOBYHPGVWIC-KBPBESRZSA-N 1 2 305.378 1.020 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001276851812 880753657 /nfs/dbraw/zinc/75/36/57/880753657.db2.gz FDAKOBYHPGVWIC-KBPBESRZSA-N 1 2 305.378 1.020 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCCC[C@H]1C ZINC001276901470 881010194 /nfs/dbraw/zinc/01/01/94/881010194.db2.gz UDVMUOLEQSFGES-HUUCEWRRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCCC[C@H]1C ZINC001276901470 881010201 /nfs/dbraw/zinc/01/02/01/881010201.db2.gz UDVMUOLEQSFGES-HUUCEWRRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1(CCC)CCC1 ZINC001276909949 881049878 /nfs/dbraw/zinc/04/98/78/881049878.db2.gz WBUFEQDZYDMVPU-HUUCEWRRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1(CCC)CCC1 ZINC001276909949 881049897 /nfs/dbraw/zinc/04/98/97/881049897.db2.gz WBUFEQDZYDMVPU-HUUCEWRRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H]1CCN(C2CCC2)C1=O ZINC001276915571 881079262 /nfs/dbraw/zinc/07/92/62/881079262.db2.gz CRPZLPRCYUYARD-JKSUJKDBSA-N 1 2 319.449 1.687 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@@H]1CCN(C2CCC2)C1=O ZINC001276915571 881079279 /nfs/dbraw/zinc/07/92/79/881079279.db2.gz CRPZLPRCYUYARD-JKSUJKDBSA-N 1 2 319.449 1.687 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@H](O/C(=N\O)c3cc(F)ccc3F)[C@H]1CC(=O)C2 ZINC001223888234 881136102 /nfs/dbraw/zinc/13/61/02/881136102.db2.gz DDXNAPCJPGGXOS-HHEPDCSESA-N 1 2 310.300 1.921 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@H](O/C(=N\O)c3cc(F)ccc3F)[C@H]1CC(=O)C2 ZINC001223888234 881136109 /nfs/dbraw/zinc/13/61/09/881136109.db2.gz DDXNAPCJPGGXOS-HHEPDCSESA-N 1 2 310.300 1.921 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001288045826 912692547 /nfs/dbraw/zinc/69/25/47/912692547.db2.gz SIGGMBCLKGMLIG-KGLIPLIRSA-N 1 2 318.421 1.330 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2ccc(/C=C\C(C)=O)cc2)C[N@@H+]1C ZINC001228494686 883526052 /nfs/dbraw/zinc/52/60/52/883526052.db2.gz QRIVVRNNOLRMGJ-MSNYOWOLSA-N 1 2 303.358 1.913 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2ccc(/C=C\C(C)=O)cc2)C[N@H+]1C ZINC001228494686 883526061 /nfs/dbraw/zinc/52/60/61/883526061.db2.gz QRIVVRNNOLRMGJ-MSNYOWOLSA-N 1 2 303.358 1.913 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2ncc(C)cn2)CC1 ZINC001230407523 884476210 /nfs/dbraw/zinc/47/62/10/884476210.db2.gz SOOBFFQQJGWQEA-CQSZACIVSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1CCCCC1 ZINC001230646606 884697316 /nfs/dbraw/zinc/69/73/16/884697316.db2.gz SEHQYDFPFZPBPH-OAHLLOKOSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CCCCC1 ZINC001230646606 884697320 /nfs/dbraw/zinc/69/73/20/884697320.db2.gz SEHQYDFPFZPBPH-OAHLLOKOSA-N 1 2 307.438 1.402 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]3C[C@]32C(=O)Nc2cn[nH]c2)c1 ZINC001277479223 885136807 /nfs/dbraw/zinc/13/68/07/885136807.db2.gz TYBNGXPXXIYAEM-WMLDXEAASA-N 1 2 307.357 1.884 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]3C[C@]32C(=O)Nc2cn[nH]c2)c1 ZINC001277479223 885136812 /nfs/dbraw/zinc/13/68/12/885136812.db2.gz TYBNGXPXXIYAEM-WMLDXEAASA-N 1 2 307.357 1.884 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001231095611 885218244 /nfs/dbraw/zinc/21/82/44/885218244.db2.gz SJOJUBPVIYNBLU-LSDHHAIUSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001231095611 885218261 /nfs/dbraw/zinc/21/82/61/885218261.db2.gz SJOJUBPVIYNBLU-LSDHHAIUSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)CC[C@@H]1C ZINC001288473105 912919281 /nfs/dbraw/zinc/91/92/81/912919281.db2.gz WQDHPVTXFRVVSR-UONOGXRCSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccccc1C#N ZINC001231233850 885411228 /nfs/dbraw/zinc/41/12/28/885411228.db2.gz NASGJFXFJBPQQC-KRWDZBQOSA-N 1 2 313.401 1.794 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccccc1C#N ZINC001231233850 885411235 /nfs/dbraw/zinc/41/12/35/885411235.db2.gz NASGJFXFJBPQQC-KRWDZBQOSA-N 1 2 313.401 1.794 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001231246354 885427024 /nfs/dbraw/zinc/42/70/24/885427024.db2.gz FYWIBPACPCDTAV-NEPJUHHUSA-N 1 2 302.403 1.812 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001231246354 885427031 /nfs/dbraw/zinc/42/70/31/885427031.db2.gz FYWIBPACPCDTAV-NEPJUHHUSA-N 1 2 302.403 1.812 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1cnccn1 ZINC001231357718 885547378 /nfs/dbraw/zinc/54/73/78/885547378.db2.gz FDSQNFRITCCNFI-UHFFFAOYSA-N 1 2 313.199 1.437 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1cnccn1 ZINC001231357718 885547389 /nfs/dbraw/zinc/54/73/89/885547389.db2.gz FDSQNFRITCCNFI-UHFFFAOYSA-N 1 2 313.199 1.437 20 30 DDEDLO CN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](CCOCC2CCC2)C1 ZINC001277575439 885897875 /nfs/dbraw/zinc/89/78/75/885897875.db2.gz KTGGXYANCWGNFI-UHFFFAOYSA-N 1 2 316.405 1.459 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cccc3nc[nH]c32)C1 ZINC001277676402 886454055 /nfs/dbraw/zinc/45/40/55/886454055.db2.gz XMBMPZWLEWPWCK-UHFFFAOYSA-N 1 2 314.389 1.522 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[NH+]1CCC2(CC1)COCC(=O)N2 ZINC001232606340 886495296 /nfs/dbraw/zinc/49/52/96/886495296.db2.gz OGHBJCYQWXFLGU-UHFFFAOYSA-N 1 2 319.792 1.693 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@H+](Cc2cc(C#N)ccc2O)CC1 ZINC001232676403 886529079 /nfs/dbraw/zinc/52/90/79/886529079.db2.gz JJSCNPPFORAIHE-CQSZACIVSA-N 1 2 316.357 1.608 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@@H+](Cc2cc(C#N)ccc2O)CC1 ZINC001232676403 886529093 /nfs/dbraw/zinc/52/90/93/886529093.db2.gz JJSCNPPFORAIHE-CQSZACIVSA-N 1 2 316.357 1.608 20 30 DDEDLO CN(C)[C@H](C(=O)Nc1n[nH]c(C2CC2)c1C#N)c1c[nH+]cn1C ZINC001363556780 886630517 /nfs/dbraw/zinc/63/05/17/886630517.db2.gz FGCQPIFPZSTWKM-ZDUSSCGKSA-N 1 2 313.365 1.134 20 30 DDEDLO CC1=NC2(CC[NH+](Cc3cc(F)ccc3C#N)CC2)C(=O)N1C ZINC001232892863 886655471 /nfs/dbraw/zinc/65/54/71/886655471.db2.gz ZURNUUGUYMFTEN-UHFFFAOYSA-N 1 2 314.364 1.922 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2cc(O)c(O)c(O)c2)C1 ZINC001233017010 886730236 /nfs/dbraw/zinc/73/02/36/886730236.db2.gz BZXLRBBSEWCKHX-LBPRGKRZSA-N 1 2 322.361 1.680 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2cc(O)c(O)c(O)c2)C1 ZINC001233017010 886730244 /nfs/dbraw/zinc/73/02/44/886730244.db2.gz BZXLRBBSEWCKHX-LBPRGKRZSA-N 1 2 322.361 1.680 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CCN1Cc1cccc(N)[nH+]1 ZINC001233369334 886950914 /nfs/dbraw/zinc/95/09/14/886950914.db2.gz KBLPBIVPOALJME-CQSZACIVSA-N 1 2 314.393 1.094 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)Cc1ccoc1 ZINC001233899720 887443197 /nfs/dbraw/zinc/44/31/97/887443197.db2.gz ZEVRNFYQVQZODF-DZGCQCFKSA-N 1 2 319.405 1.046 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)Cc1ccoc1 ZINC001233899720 887443210 /nfs/dbraw/zinc/44/32/10/887443210.db2.gz ZEVRNFYQVQZODF-DZGCQCFKSA-N 1 2 319.405 1.046 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1c(C)nnn1CC ZINC001233967080 887508580 /nfs/dbraw/zinc/50/85/80/887508580.db2.gz UTHNTUVNQPNUMZ-OAHLLOKOSA-N 1 2 317.437 1.443 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1c(C)nnn1CC ZINC001233967080 887508599 /nfs/dbraw/zinc/50/85/99/887508599.db2.gz UTHNTUVNQPNUMZ-OAHLLOKOSA-N 1 2 317.437 1.443 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234030194 887568800 /nfs/dbraw/zinc/56/88/00/887568800.db2.gz OMASJODEEZEXHX-ZFWWWQNUSA-N 1 2 319.449 1.093 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234030194 887568809 /nfs/dbraw/zinc/56/88/09/887568809.db2.gz OMASJODEEZEXHX-ZFWWWQNUSA-N 1 2 319.449 1.093 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234128955 887672461 /nfs/dbraw/zinc/67/24/61/887672461.db2.gz GELYCMMBUDYTGE-CVEARBPZSA-N 1 2 321.465 1.435 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234128955 887672467 /nfs/dbraw/zinc/67/24/67/887672467.db2.gz GELYCMMBUDYTGE-CVEARBPZSA-N 1 2 321.465 1.435 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178039 887716692 /nfs/dbraw/zinc/71/66/92/887716692.db2.gz HXCUBHQWHUAMMS-HNNXBMFYSA-N 1 2 301.390 1.738 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178039 887716707 /nfs/dbraw/zinc/71/67/07/887716707.db2.gz HXCUBHQWHUAMMS-HNNXBMFYSA-N 1 2 301.390 1.738 20 30 DDEDLO CC[C@H](CC(F)F)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001235226810 888489627 /nfs/dbraw/zinc/48/96/27/888489627.db2.gz SGRGIALBKYPXCB-ZIAGYGMSSA-N 1 2 316.392 1.850 20 30 DDEDLO CC[C@H](CC(F)F)C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001235226810 888489634 /nfs/dbraw/zinc/48/96/34/888489634.db2.gz SGRGIALBKYPXCB-ZIAGYGMSSA-N 1 2 316.392 1.850 20 30 DDEDLO N#CCC[N@H+]1CCC[C@@]2(CN(Cc3cccc(=O)[nH]3)CCO2)C1 ZINC001277939211 888579511 /nfs/dbraw/zinc/57/95/11/888579511.db2.gz PIWVDRJXNCXGJU-KRWDZBQOSA-N 1 2 316.405 1.368 20 30 DDEDLO N#CCC[N@@H+]1CCC[C@@]2(CN(Cc3cccc(=O)[nH]3)CCO2)C1 ZINC001277939211 888579520 /nfs/dbraw/zinc/57/95/20/888579520.db2.gz PIWVDRJXNCXGJU-KRWDZBQOSA-N 1 2 316.405 1.368 20 30 DDEDLO N#CCCN1CCC[C@]2(C1)C[N@H+](Cc1cccc(=O)[nH]1)CCO2 ZINC001277939211 888579529 /nfs/dbraw/zinc/57/95/29/888579529.db2.gz PIWVDRJXNCXGJU-KRWDZBQOSA-N 1 2 316.405 1.368 20 30 DDEDLO N#CCCN1CCC[C@]2(C1)C[N@@H+](Cc1cccc(=O)[nH]1)CCO2 ZINC001277939211 888579536 /nfs/dbraw/zinc/57/95/36/888579536.db2.gz PIWVDRJXNCXGJU-KRWDZBQOSA-N 1 2 316.405 1.368 20 30 DDEDLO CCN(CC[NH+]1CCOCC1)Cc1c(F)cc(C#N)cc1F ZINC001235664755 888861542 /nfs/dbraw/zinc/86/15/42/888861542.db2.gz PYFBUJHSCJTGGR-UHFFFAOYSA-N 1 2 309.360 1.991 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nccn1C(F)F ZINC001235698192 888889720 /nfs/dbraw/zinc/88/97/20/888889720.db2.gz JZNUAJVPSFRZLO-UHFFFAOYSA-N 1 2 316.352 1.419 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nccn1C(F)F ZINC001235698192 888889739 /nfs/dbraw/zinc/88/97/39/888889739.db2.gz JZNUAJVPSFRZLO-UHFFFAOYSA-N 1 2 316.352 1.419 20 30 DDEDLO Cn1cc(C[NH2+]Cc2nc(C#N)cs2)c(C2CCOCC2)n1 ZINC001365494255 890912621 /nfs/dbraw/zinc/91/26/21/890912621.db2.gz WHINPNDIBCVNOE-UHFFFAOYSA-N 1 2 317.418 1.932 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]([NH2+]Cc2nc(C3CC3)no2)C[C@H]1C ZINC001278354343 891542355 /nfs/dbraw/zinc/54/23/55/891542355.db2.gz BJCRJSUUGRPBTB-DGCLKSJQSA-N 1 2 320.393 1.229 20 30 DDEDLO N#Cc1cnccc1-c1ccc(OCC[NH+]2CCOCC2)nc1 ZINC001244338733 891837684 /nfs/dbraw/zinc/83/76/84/891837684.db2.gz XAOKTMVXFJLQIJ-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO CC[C@H](OC)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001365979334 892115613 /nfs/dbraw/zinc/11/56/13/892115613.db2.gz WNTQKLWNAHSXRP-HOTGVXAUSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H](OC)C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001365979334 892115620 /nfs/dbraw/zinc/11/56/20/892115620.db2.gz WNTQKLWNAHSXRP-HOTGVXAUSA-N 1 2 301.390 1.674 20 30 DDEDLO N#Cc1ccc(NC(=S)N2CC[NH+]([C@@H]3CCOC3)CC2)cc1 ZINC001245880052 892256417 /nfs/dbraw/zinc/25/64/17/892256417.db2.gz CGZPGOPWTMGQFK-OAHLLOKOSA-N 1 2 316.430 1.662 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2ccoc2)[C@@H]1C ZINC001278499193 893538434 /nfs/dbraw/zinc/53/84/34/893538434.db2.gz CRDJRNSSVJTSBU-GXTWGEPZSA-N 1 2 305.378 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2ccoc2)[C@@H]1C ZINC001278499193 893538449 /nfs/dbraw/zinc/53/84/49/893538449.db2.gz CRDJRNSSVJTSBU-GXTWGEPZSA-N 1 2 305.378 1.165 20 30 DDEDLO C=CCN1C(=O)CCC[C@@]12CCC[N@@H+](Cc1nonc1C)C2 ZINC001248857422 893737463 /nfs/dbraw/zinc/73/74/63/893737463.db2.gz BVQXGGFUWMLUIM-INIZCTEOSA-N 1 2 304.394 1.911 20 30 DDEDLO C=CCN1C(=O)CCC[C@@]12CCC[N@H+](Cc1nonc1C)C2 ZINC001248857422 893737478 /nfs/dbraw/zinc/73/74/78/893737478.db2.gz BVQXGGFUWMLUIM-INIZCTEOSA-N 1 2 304.394 1.911 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1ccn(CC(F)F)n1 ZINC001366618896 894294960 /nfs/dbraw/zinc/29/49/60/894294960.db2.gz LUJUVURDSPWHEW-UHFFFAOYSA-N 1 2 320.771 1.952 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1ccn(CC(F)F)n1 ZINC001366618896 894294979 /nfs/dbraw/zinc/29/49/79/894294979.db2.gz LUJUVURDSPWHEW-UHFFFAOYSA-N 1 2 320.771 1.952 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@H+](Cc2nnc(C(C)(C)C)o2)C1 ZINC001366684181 894571373 /nfs/dbraw/zinc/57/13/73/894571373.db2.gz CHFAVWMCHDKQTH-RYUDHWBXSA-N 1 2 319.409 1.465 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(C(C)(C)C)o2)C1 ZINC001366684181 894571382 /nfs/dbraw/zinc/57/13/82/894571382.db2.gz CHFAVWMCHDKQTH-RYUDHWBXSA-N 1 2 319.409 1.465 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@H+](Cc2nnc(C(C)(C)C)o2)C1 ZINC001366684186 894571723 /nfs/dbraw/zinc/57/17/23/894571723.db2.gz CHFAVWMCHDKQTH-VXGBXAGGSA-N 1 2 319.409 1.465 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@@H+](Cc2nnc(C(C)(C)C)o2)C1 ZINC001366684186 894571733 /nfs/dbraw/zinc/57/17/33/894571733.db2.gz CHFAVWMCHDKQTH-VXGBXAGGSA-N 1 2 319.409 1.465 20 30 DDEDLO N#Cc1ccccc1C[N@@H+](CCNC(=O)c1ncccn1)C1CC1 ZINC001366756718 894834792 /nfs/dbraw/zinc/83/47/92/894834792.db2.gz UESNYSFFYRGMTL-UHFFFAOYSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@H+](CCNC(=O)c1ncccn1)C1CC1 ZINC001366756718 894834814 /nfs/dbraw/zinc/83/48/14/894834814.db2.gz UESNYSFFYRGMTL-UHFFFAOYSA-N 1 2 321.384 1.743 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@H]([NH2+]Cc2csnn2)C(C)(C)C1 ZINC001278639957 894919436 /nfs/dbraw/zinc/91/94/36/894919436.db2.gz OGPIMRDFUNPDLZ-SWLSCSKDSA-N 1 2 324.450 1.192 20 30 DDEDLO C=CCOC[C@@H](O)C[NH+]1CC(C)(NC(=O)OC(C)(C)C)C1 ZINC001252469030 895181894 /nfs/dbraw/zinc/18/18/94/895181894.db2.gz UGZQRDIYYQGDEQ-LBPRGKRZSA-N 1 2 300.399 1.149 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](C[C@H](O)COCc2ccco2)CC1 ZINC001252642192 895335687 /nfs/dbraw/zinc/33/56/87/895335687.db2.gz CPFQEXCJFNEGCV-AWEZNQCLSA-N 1 2 324.377 1.097 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1C[C@@H](O)CCCCC ZINC001253527266 895884252 /nfs/dbraw/zinc/88/42/52/895884252.db2.gz JHSBRLJVPKUJDQ-HOCLYGCPSA-N 1 2 322.453 1.858 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1C[C@@H](O)CCCCC ZINC001253527266 895884263 /nfs/dbraw/zinc/88/42/63/895884263.db2.gz JHSBRLJVPKUJDQ-HOCLYGCPSA-N 1 2 322.453 1.858 20 30 DDEDLO C#CC[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)CCCC(C)=O)C1 ZINC001278733280 895953417 /nfs/dbraw/zinc/95/34/17/895953417.db2.gz DKLSLMNUFSEWEA-ZDUSSCGKSA-N 1 2 300.349 1.452 20 30 DDEDLO C#CC[N@H+]1CCC(F)(F)[C@@H](CNC(=O)CCCC(C)=O)C1 ZINC001278733280 895953425 /nfs/dbraw/zinc/95/34/25/895953425.db2.gz DKLSLMNUFSEWEA-ZDUSSCGKSA-N 1 2 300.349 1.452 20 30 DDEDLO CC#CCCCC(=O)NC[C@]1(O)CC[N@H+](Cc2nccs2)C1 ZINC001278801265 896583159 /nfs/dbraw/zinc/58/31/59/896583159.db2.gz WLOHBDXMZROYHU-MRXNPFEDSA-N 1 2 321.446 1.390 20 30 DDEDLO CC#CCCCC(=O)NC[C@]1(O)CC[N@@H+](Cc2nccs2)C1 ZINC001278801265 896583170 /nfs/dbraw/zinc/58/31/70/896583170.db2.gz WLOHBDXMZROYHU-MRXNPFEDSA-N 1 2 321.446 1.390 20 30 DDEDLO C=C1CCC(C(=O)NCC2(O)C[NH+](CCCOCC)C2)CC1 ZINC001278903548 897195535 /nfs/dbraw/zinc/19/55/35/897195535.db2.gz NYNONTZLLQNUNM-UHFFFAOYSA-N 1 2 310.438 1.322 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cnc2nccnc2c1 ZINC001367803445 897857682 /nfs/dbraw/zinc/85/76/82/897857682.db2.gz LGEURAKIWMAKST-LLVKDONJSA-N 1 2 319.796 1.827 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cnc2nccnc2c1 ZINC001367803445 897857689 /nfs/dbraw/zinc/85/76/89/897857689.db2.gz LGEURAKIWMAKST-LLVKDONJSA-N 1 2 319.796 1.827 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cn2nccc2nc1C ZINC001367848618 898016311 /nfs/dbraw/zinc/01/63/11/898016311.db2.gz RCRLFPKFHNBMMR-NSHDSACASA-N 1 2 321.812 1.840 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cn2nccc2nc1C ZINC001367848618 898016324 /nfs/dbraw/zinc/01/63/24/898016324.db2.gz RCRLFPKFHNBMMR-NSHDSACASA-N 1 2 321.812 1.840 20 30 DDEDLO C[C@@H]([NH2+]C[C@H](C)NC(=O)c1ccc(C#N)[nH]1)c1csnn1 ZINC001374876889 914212011 /nfs/dbraw/zinc/21/20/11/914212011.db2.gz PEAKKKPDEJDHQM-DTWKUNHWSA-N 1 2 304.379 1.207 20 30 DDEDLO C[C@H](C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)n1cncn1 ZINC001367940008 898253408 /nfs/dbraw/zinc/25/34/08/898253408.db2.gz LBSLLNQAECCDFT-CZUORRHYSA-N 1 2 324.388 1.101 20 30 DDEDLO C[C@H](C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)n1cncn1 ZINC001367940008 898253425 /nfs/dbraw/zinc/25/34/25/898253425.db2.gz LBSLLNQAECCDFT-CZUORRHYSA-N 1 2 324.388 1.101 20 30 DDEDLO CC(C)(C)[NH+]1CC(NS(=O)(=O)Cc2ccc(C#N)cc2)C1 ZINC001260049026 899028023 /nfs/dbraw/zinc/02/80/23/899028023.db2.gz NCAMKLZWABNWFB-UHFFFAOYSA-N 1 2 307.419 1.460 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@H](C)CC ZINC001263806486 900718598 /nfs/dbraw/zinc/71/85/98/900718598.db2.gz BPSXCZVLQGFCJR-CVEARBPZSA-N 1 2 321.465 1.627 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@H](C)CC ZINC001263806486 900718606 /nfs/dbraw/zinc/71/86/06/900718606.db2.gz BPSXCZVLQGFCJR-CVEARBPZSA-N 1 2 321.465 1.627 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2(F)CCCC2)[C@H]1C ZINC001264151204 900980585 /nfs/dbraw/zinc/98/05/85/900980585.db2.gz WUICHTUDCLIARS-OLZOCXBDSA-N 1 2 311.401 1.150 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2(F)CCCC2)[C@H]1C ZINC001264151204 900980599 /nfs/dbraw/zinc/98/05/99/900980599.db2.gz WUICHTUDCLIARS-OLZOCXBDSA-N 1 2 311.401 1.150 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2cscn2)[C@@H]1C ZINC001264698746 901296745 /nfs/dbraw/zinc/29/67/45/901296745.db2.gz PWAPFTQWMMSKSW-ZLDLUXBVSA-N 1 2 309.435 1.549 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2cscn2)[C@@H]1C ZINC001264698746 901296756 /nfs/dbraw/zinc/29/67/56/901296756.db2.gz PWAPFTQWMMSKSW-ZLDLUXBVSA-N 1 2 309.435 1.549 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)C2CCC(F)(F)CC2)CC1 ZINC001265264005 901788106 /nfs/dbraw/zinc/78/81/06/901788106.db2.gz DPJQTIWGKWDAKV-UHFFFAOYSA-N 1 2 314.380 1.069 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]([N@H+](C)Cc2ncc(C)o2)C1 ZINC001266119854 902977666 /nfs/dbraw/zinc/97/76/66/902977666.db2.gz NWRLWAQYDIFGSF-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]([N@@H+](C)Cc2ncc(C)o2)C1 ZINC001266119854 902977678 /nfs/dbraw/zinc/97/76/78/902977678.db2.gz NWRLWAQYDIFGSF-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C=C2CCCCC2)C1 ZINC001266205371 903108745 /nfs/dbraw/zinc/10/87/45/903108745.db2.gz MVDZYLFIVXFDRD-OAHLLOKOSA-N 1 2 318.421 1.097 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C=C2CCCCC2)C1 ZINC001266205371 903108752 /nfs/dbraw/zinc/10/87/52/903108752.db2.gz MVDZYLFIVXFDRD-OAHLLOKOSA-N 1 2 318.421 1.097 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@@H+]1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001266219366 903136212 /nfs/dbraw/zinc/13/62/12/903136212.db2.gz XYSIQVIOEAOPJM-CVEARBPZSA-N 1 2 321.465 1.531 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@H+]1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001266219366 903136217 /nfs/dbraw/zinc/13/62/17/903136217.db2.gz XYSIQVIOEAOPJM-CVEARBPZSA-N 1 2 321.465 1.531 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cccn2c(=O)[nH]nc12 ZINC001392316234 903869671 /nfs/dbraw/zinc/86/96/71/903869671.db2.gz AOFSMZMIFHAXDU-UHFFFAOYSA-N 1 2 323.784 1.191 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cccn2c(=O)[nH]nc12 ZINC001392316234 903869679 /nfs/dbraw/zinc/86/96/79/903869679.db2.gz AOFSMZMIFHAXDU-UHFFFAOYSA-N 1 2 323.784 1.191 20 30 DDEDLO C=CCOCCN1CC[NH+]([C@@H]2CCN(C(=O)C3CCC3)C2)CC1 ZINC001280680583 903916266 /nfs/dbraw/zinc/91/62/66/903916266.db2.gz XRFCRMGXFHRDRH-QGZVFWFLSA-N 1 2 321.465 1.208 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)CCc1ccsc1)CO2 ZINC001280944801 904224005 /nfs/dbraw/zinc/22/40/05/904224005.db2.gz OAWMHGZRIIWYFY-HNNXBMFYSA-N 1 2 318.442 1.664 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1COC2(C[NH+]([C@@H](C)COC)C2)C1 ZINC001280944962 904224887 /nfs/dbraw/zinc/22/48/87/904224887.db2.gz ONXZJMPSJHSSTP-HOCLYGCPSA-N 1 2 322.449 1.749 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1COC2(C[NH+](C[C@H](C)O)C2)C1 ZINC001280946356 904226219 /nfs/dbraw/zinc/22/62/19/904226219.db2.gz ZYSNMJZLAMVWGE-DZGCQCFKSA-N 1 2 308.422 1.095 20 30 DDEDLO C[C@H](CN(C)C(=O)C#CC1CC1)NC(=O)c1cccc2[nH+]ccn21 ZINC001281000797 904303290 /nfs/dbraw/zinc/30/32/90/904303290.db2.gz JPXJXIQJWQJLAI-CYBMUJFWSA-N 1 2 324.384 1.324 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001281141549 904468941 /nfs/dbraw/zinc/46/89/41/904468941.db2.gz XKPRLIQABAEANQ-KGLIPLIRSA-N 1 2 318.421 1.591 20 30 DDEDLO CC#CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC001281969530 905379601 /nfs/dbraw/zinc/37/96/01/905379601.db2.gz QFWMZEJJOFWWNN-AWEZNQCLSA-N 1 2 311.389 1.518 20 30 DDEDLO CC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC001281969530 905379613 /nfs/dbraw/zinc/37/96/13/905379613.db2.gz QFWMZEJJOFWWNN-AWEZNQCLSA-N 1 2 311.389 1.518 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc2cnsn2)cn1 ZINC001282218548 905622065 /nfs/dbraw/zinc/62/20/65/905622065.db2.gz JDTSAOWHNYKYJM-MNOVXSKESA-N 1 2 315.402 1.211 20 30 DDEDLO C=C(C)C[N@H+]1CCCCC[C@@H]1CNC(=O)[C@H](C)S(C)(=O)=O ZINC001282666264 905933286 /nfs/dbraw/zinc/93/32/86/905933286.db2.gz QJXVSPFPALYOOM-UONOGXRCSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)C[N@@H+]1CCCCC[C@@H]1CNC(=O)[C@H](C)S(C)(=O)=O ZINC001282666264 905933290 /nfs/dbraw/zinc/93/32/90/905933290.db2.gz QJXVSPFPALYOOM-UONOGXRCSA-N 1 2 316.467 1.356 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@H+](C)Cc1nc2ccc(F)cc2o1 ZINC001371936877 906043660 /nfs/dbraw/zinc/04/36/60/906043660.db2.gz XZTDSVTYKLXKSC-JTQLQIEISA-N 1 2 304.325 1.675 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@@H+](C)Cc1nc2ccc(F)cc2o1 ZINC001371936877 906043669 /nfs/dbraw/zinc/04/36/69/906043669.db2.gz XZTDSVTYKLXKSC-JTQLQIEISA-N 1 2 304.325 1.675 20 30 DDEDLO C=CCCC(=O)N1CCO[C@H]([C@H](C)[NH2+]Cc2nnc(C)o2)C1 ZINC001282904374 906204399 /nfs/dbraw/zinc/20/43/99/906204399.db2.gz JHIKHULSLPBKJK-AAEUAGOBSA-N 1 2 308.382 1.050 20 30 DDEDLO C#CCCCC(=O)NCCNC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001282988934 906409908 /nfs/dbraw/zinc/40/99/08/906409908.db2.gz ZQJZFBGRNJVBOH-UHFFFAOYSA-N 1 2 324.384 1.522 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001283085105 906598925 /nfs/dbraw/zinc/59/89/25/906598925.db2.gz CYFVNQKSOUDXBG-QGZVFWFLSA-N 1 2 320.437 1.761 20 30 DDEDLO C#CCCCC(=O)N1CCC([N@@H+](C)Cc2ncnn2C)CC1 ZINC001283301312 907083808 /nfs/dbraw/zinc/08/38/08/907083808.db2.gz BFFKXWQZZKNVOM-UHFFFAOYSA-N 1 2 303.410 1.041 20 30 DDEDLO C#CCCCC(=O)N1CCC([N@H+](C)Cc2ncnn2C)CC1 ZINC001283301312 907083825 /nfs/dbraw/zinc/08/38/25/907083825.db2.gz BFFKXWQZZKNVOM-UHFFFAOYSA-N 1 2 303.410 1.041 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001283353781 907200697 /nfs/dbraw/zinc/20/06/97/907200697.db2.gz XNJDLQHWVSPLEN-CYBMUJFWSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCCC(=O)N1CCC(CNC(=O)Cc2c[nH+]cn2C)CC1 ZINC001283440310 907358986 /nfs/dbraw/zinc/35/89/86/907358986.db2.gz LQPGEFNDCSMWIN-UHFFFAOYSA-N 1 2 318.421 1.284 20 30 DDEDLO CC[C@@H](C(N)=O)[N@@H+]1Cc2ccc(CNC(=O)[C@H](C)C#N)cc2C1 ZINC001393720829 907752212 /nfs/dbraw/zinc/75/22/12/907752212.db2.gz SDAOKOSNNFNALB-ABAIWWIYSA-N 1 2 314.389 1.042 20 30 DDEDLO CC[C@@H](C(N)=O)[N@H+]1Cc2ccc(CNC(=O)[C@H](C)C#N)cc2C1 ZINC001393720829 907752220 /nfs/dbraw/zinc/75/22/20/907752220.db2.gz SDAOKOSNNFNALB-ABAIWWIYSA-N 1 2 314.389 1.042 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)[C@H](C)C(C)C)CC1 ZINC001393846426 908064014 /nfs/dbraw/zinc/06/40/14/908064014.db2.gz IDAUJBBOXBFIOQ-CYBMUJFWSA-N 1 2 302.846 1.974 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001283892915 908144242 /nfs/dbraw/zinc/14/42/42/908144242.db2.gz LRBFDQBNLWAMNS-BLLLJJGKSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001284139104 908538075 /nfs/dbraw/zinc/53/80/75/908538075.db2.gz ODSDAHQCAFRMKU-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001284305124 908800482 /nfs/dbraw/zinc/80/04/82/908800482.db2.gz YCXBJOYSVBBDMK-UONOGXRCSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](O)C[N@H+](C)Cc1nc(C)cs1 ZINC001284482864 909059568 /nfs/dbraw/zinc/05/95/68/909059568.db2.gz PGQRLWFBJAPYLP-CYBMUJFWSA-N 1 2 311.451 1.669 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1nc(C)cs1 ZINC001284482864 909059581 /nfs/dbraw/zinc/05/95/81/909059581.db2.gz PGQRLWFBJAPYLP-CYBMUJFWSA-N 1 2 311.451 1.669 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)C[C@@H]2CCCOC2)C1 ZINC001394248190 909180905 /nfs/dbraw/zinc/18/09/05/909180905.db2.gz DSOFEQMGBOEIKY-OLZOCXBDSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCN(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001284582948 909212516 /nfs/dbraw/zinc/21/25/16/909212516.db2.gz QGTZXNNOQXYEKH-INIZCTEOSA-N 1 2 306.410 1.519 20 30 DDEDLO C=CCC(C)(C)C(=O)N(CC)CCNC(=O)Cn1cc[nH+]c1 ZINC001284627512 909273346 /nfs/dbraw/zinc/27/33/46/909273346.db2.gz OHPPUMQUUMHHMS-UHFFFAOYSA-N 1 2 306.410 1.450 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(CC)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001284636222 909280069 /nfs/dbraw/zinc/28/00/69/909280069.db2.gz OFFVTJXYESIAAK-QGZVFWFLSA-N 1 2 320.437 1.828 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]CCOCCN(C)C(=O)C#CC(C)C)n1 ZINC001284862968 909593813 /nfs/dbraw/zinc/59/38/13/909593813.db2.gz DCSZPUMJVGCFCD-CYBMUJFWSA-N 1 2 322.409 1.163 20 30 DDEDLO COc1ccnc(C[N@@H+]2CCC[C@H](NC(=O)[C@@H](C)C#N)C2)c1 ZINC001373393315 909728670 /nfs/dbraw/zinc/72/86/70/909728670.db2.gz OIMRKBXOJVYTDJ-STQMWFEESA-N 1 2 302.378 1.330 20 30 DDEDLO COc1ccnc(C[N@H+]2CCC[C@H](NC(=O)[C@@H](C)C#N)C2)c1 ZINC001373393315 909728683 /nfs/dbraw/zinc/72/86/83/909728683.db2.gz OIMRKBXOJVYTDJ-STQMWFEESA-N 1 2 302.378 1.330 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1CC(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001285244439 910265631 /nfs/dbraw/zinc/26/56/31/910265631.db2.gz MSCKRBXECABAEU-UHFFFAOYSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001285773489 911186463 /nfs/dbraw/zinc/18/64/63/911186463.db2.gz QWEVAQSPDXFJMB-GUYCJALGSA-N 1 2 320.437 1.576 20 30 DDEDLO CC(C)[C@@H](CCNC(=O)CCn1cc[nH+]c1)NC(=O)[C@H](C)C#N ZINC001395470027 912051915 /nfs/dbraw/zinc/05/19/15/912051915.db2.gz QKVMDGUDOBGZPM-ZIAGYGMSSA-N 1 2 319.409 1.080 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001294361190 915080160 /nfs/dbraw/zinc/08/01/60/915080160.db2.gz BGNVAVSPEXZWCA-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CNC(=O)CCCn2cc[nH+]c2)C1 ZINC001295688971 915986670 /nfs/dbraw/zinc/98/66/70/915986670.db2.gz VYLFHIPDMFZNMX-OAHLLOKOSA-N 1 2 318.421 1.594 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001295895159 916114354 /nfs/dbraw/zinc/11/43/54/916114354.db2.gz NDSGECAJSGNAQV-CYBMUJFWSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001297210918 916812882 /nfs/dbraw/zinc/81/28/82/916812882.db2.gz WWOQXJWEMQSQCZ-UHFFFAOYSA-N 1 2 316.405 1.295 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001375992693 917430067 /nfs/dbraw/zinc/43/00/67/917430067.db2.gz PCCMYFXDJPTBTC-NWDGAFQWSA-N 1 2 324.799 1.829 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001375992693 917430075 /nfs/dbraw/zinc/43/00/75/917430075.db2.gz PCCMYFXDJPTBTC-NWDGAFQWSA-N 1 2 324.799 1.829 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)Cn1c(C)c(C)sc1=O ZINC001377270364 920849083 /nfs/dbraw/zinc/84/90/83/920849083.db2.gz CTVOKKROJQJART-UHFFFAOYSA-N 1 2 317.842 1.327 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)Cn1c(C)c(C)sc1=O ZINC001377270364 920849106 /nfs/dbraw/zinc/84/91/06/920849106.db2.gz CTVOKKROJQJART-UHFFFAOYSA-N 1 2 317.842 1.327 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@H+](Cc2nc(C3CC3)no2)C1 ZINC001377433741 921889326 /nfs/dbraw/zinc/88/93/26/921889326.db2.gz CBMQJNVJEOHCLM-MNOVXSKESA-N 1 2 303.366 1.045 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@@H+](Cc2nc(C3CC3)no2)C1 ZINC001377433741 921889335 /nfs/dbraw/zinc/88/93/35/921889335.db2.gz CBMQJNVJEOHCLM-MNOVXSKESA-N 1 2 303.366 1.045 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001378019597 923719995 /nfs/dbraw/zinc/71/99/95/923719995.db2.gz YDBRCDXXEXWNFO-SECBINFHSA-N 1 2 318.406 1.297 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001378019597 923720010 /nfs/dbraw/zinc/72/00/10/923720010.db2.gz YDBRCDXXEXWNFO-SECBINFHSA-N 1 2 318.406 1.297 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H](C)[N@H+](C)Cc1nnc(-c2ccco2)o1 ZINC001378020015 923723214 /nfs/dbraw/zinc/72/32/14/923723214.db2.gz KPOUDFQHCQLHHY-MNOVXSKESA-N 1 2 317.349 1.426 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H](C)[N@@H+](C)Cc1nnc(-c2ccco2)o1 ZINC001378020015 923723224 /nfs/dbraw/zinc/72/32/24/923723224.db2.gz KPOUDFQHCQLHHY-MNOVXSKESA-N 1 2 317.349 1.426 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000278549083 214230033 /nfs/dbraw/zinc/23/00/33/214230033.db2.gz GTUVBISDLMESBV-CJNGLKHVSA-N 1 2 324.446 1.565 20 30 DDEDLO CC[C@@H]1COCCN1C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000330795623 529409524 /nfs/dbraw/zinc/40/95/24/529409524.db2.gz DYMNPYNFXLTGLZ-SNVBAGLBSA-N 1 2 320.315 1.970 20 30 DDEDLO CCc1c(C(=O)NCC[N@@H+]2CCOC[C@H]2C)[nH]c(C)c1C(N)=O ZINC000331256191 529470790 /nfs/dbraw/zinc/47/07/90/529470790.db2.gz BFGSHFPEUFLTJR-SNVBAGLBSA-N 1 2 322.409 1.794 20 30 DDEDLO CCc1c(C(=O)NCC[N@H+]2CCOC[C@H]2C)[nH]c(C)c1C(N)=O ZINC000331256191 529470792 /nfs/dbraw/zinc/47/07/92/529470792.db2.gz BFGSHFPEUFLTJR-SNVBAGLBSA-N 1 2 322.409 1.794 20 30 DDEDLO CC[N@@H+]1CCN(CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H](C)C1 ZINC000286578409 219063430 /nfs/dbraw/zinc/06/34/30/219063430.db2.gz QAGNJYYBGKRWPL-AWEZNQCLSA-N 1 2 321.446 1.358 20 30 DDEDLO CC[N@H+]1CCN(CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H](C)C1 ZINC000286578409 219063431 /nfs/dbraw/zinc/06/34/31/219063431.db2.gz QAGNJYYBGKRWPL-AWEZNQCLSA-N 1 2 321.446 1.358 20 30 DDEDLO CC[C@H](C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1)[C@@H](C)O ZINC000419846075 529597760 /nfs/dbraw/zinc/59/77/60/529597760.db2.gz QZPSJVRWSJBHNS-PBHICJAKSA-N 1 2 315.417 1.609 20 30 DDEDLO O=C(NC[C@@H](O)C[NH+]1CCOCC1)c1sccc1C1CC1 ZINC000328806226 539299095 /nfs/dbraw/zinc/29/90/95/539299095.db2.gz LBRRHHONYILOBF-GFCCVEGCSA-N 1 2 310.419 1.623 20 30 DDEDLO N#C[C@H]1CCC[C@@H]1NC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000332914577 539314149 /nfs/dbraw/zinc/31/41/49/539314149.db2.gz UJTJUCUHDMEPOX-ILXRZTDVSA-N 1 2 306.410 1.185 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccc2c(c1)OCO2)[C@]1(CO)CCOC1 ZINC000329644577 529852977 /nfs/dbraw/zinc/85/29/77/529852977.db2.gz IMEKYIJRQACUTH-HNNXBMFYSA-N 1 2 308.334 1.087 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccc2c(c1)OCO2)[C@]1(CO)CCOC1 ZINC000329644577 529852979 /nfs/dbraw/zinc/85/29/79/529852979.db2.gz IMEKYIJRQACUTH-HNNXBMFYSA-N 1 2 308.334 1.087 20 30 DDEDLO CN1C(=O)CC[C@@H]1C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000330138612 530041741 /nfs/dbraw/zinc/04/17/41/530041741.db2.gz VRBFLXBMKKIIPJ-OAHLLOKOSA-N 1 2 317.389 1.728 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@H](C)C(=O)NCCCOCC)n1 ZINC000279802368 215159118 /nfs/dbraw/zinc/15/91/18/215159118.db2.gz WUYOULAIKJJYAU-OAHLLOKOSA-N 1 2 320.437 1.270 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@H](C)C(=O)NCCCOCC)n1 ZINC000279802368 215159122 /nfs/dbraw/zinc/15/91/22/215159122.db2.gz WUYOULAIKJJYAU-OAHLLOKOSA-N 1 2 320.437 1.270 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCCC[C@@H]2CO)cc1 ZINC000148113787 186043260 /nfs/dbraw/zinc/04/32/60/186043260.db2.gz FJPRKNUNZWJYGZ-CQSZACIVSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCCC[C@@H]2CO)cc1 ZINC000148113787 186043262 /nfs/dbraw/zinc/04/32/62/186043262.db2.gz FJPRKNUNZWJYGZ-CQSZACIVSA-N 1 2 308.403 1.179 20 30 DDEDLO C=CC[NH+]1CCC(NS(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000171536961 186171558 /nfs/dbraw/zinc/17/15/58/186171558.db2.gz QTFAFRFYRZUKIB-UHFFFAOYSA-N 1 2 318.830 1.002 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1ccc(F)cc1C#N)[NH+]1CCOCC1 ZINC000177896743 186201176 /nfs/dbraw/zinc/20/11/76/186201176.db2.gz UTNZAGCDDBHXGK-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000186065908 186237541 /nfs/dbraw/zinc/23/75/41/186237541.db2.gz RHBSLSGCBBZFCU-STQMWFEESA-N 1 2 307.419 1.442 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000253372575 186301512 /nfs/dbraw/zinc/30/15/12/186301512.db2.gz FVEUILVEVWABAB-AWEZNQCLSA-N 1 2 313.405 1.086 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@H+](C)C[C@@H](O)c1ccc(C#N)cc1 ZINC000268739910 186373788 /nfs/dbraw/zinc/37/37/88/186373788.db2.gz ZFBUSOUZCRUKQP-IUODEOHRSA-N 1 2 310.419 1.347 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@@H+](C)C[C@@H](O)c1ccc(C#N)cc1 ZINC000268739910 186373790 /nfs/dbraw/zinc/37/37/90/186373790.db2.gz ZFBUSOUZCRUKQP-IUODEOHRSA-N 1 2 310.419 1.347 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[NH+](Cc3ccc(O)cc3)CC2)c1 ZINC000601245420 358462358 /nfs/dbraw/zinc/46/23/58/358462358.db2.gz HONCXKAAVIKILW-UHFFFAOYSA-N 1 2 310.357 1.550 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2SCC(=O)N[C@@](C)(C#N)C1CC1 ZINC000011681547 352145137 /nfs/dbraw/zinc/14/51/37/352145137.db2.gz MEKUEIRZHHIJPC-AWEZNQCLSA-N 1 2 316.390 1.264 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000029450290 352239407 /nfs/dbraw/zinc/23/94/07/352239407.db2.gz HPUWAMPXTUNATM-CQSZACIVSA-N 1 2 315.421 1.380 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](C)CC(=O)Nc1ccccc1CC ZINC000046560497 352456088 /nfs/dbraw/zinc/45/60/88/352456088.db2.gz UHUIGNPXSYTEFE-CYBMUJFWSA-N 1 2 303.406 1.810 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](C)CC(=O)Nc1ccccc1CC ZINC000046560497 352456090 /nfs/dbraw/zinc/45/60/90/352456090.db2.gz UHUIGNPXSYTEFE-CYBMUJFWSA-N 1 2 303.406 1.810 20 30 DDEDLO N#Cc1nc(NC(=O)c2cccc(Cn3cc[nH+]c3)c2)[nH]c1C#N ZINC000052557147 352617040 /nfs/dbraw/zinc/61/70/40/352617040.db2.gz PGLPKQOPBCAUMD-UHFFFAOYSA-N 1 2 317.312 1.650 20 30 DDEDLO N#CC1CC[NH+](CC(=O)NC[C@@H]2COc3ccccc3O2)CC1 ZINC000057906260 352834346 /nfs/dbraw/zinc/83/43/46/352834346.db2.gz ONEXRLVTQOGGQT-CQSZACIVSA-N 1 2 315.373 1.178 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)C[N@@H+](C)CC(=O)NC(C)C ZINC000061799769 352895290 /nfs/dbraw/zinc/89/52/90/352895290.db2.gz MJZHYIQIBPIGDL-UHFFFAOYSA-N 1 2 323.462 1.719 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)C[N@H+](C)CC(=O)NC(C)C ZINC000061799769 352895291 /nfs/dbraw/zinc/89/52/91/352895291.db2.gz MJZHYIQIBPIGDL-UHFFFAOYSA-N 1 2 323.462 1.719 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CSCc2ccncc2)CC1 ZINC000068444458 353112841 /nfs/dbraw/zinc/11/28/41/353112841.db2.gz QXSCBQRPVWFPFU-UHFFFAOYSA-N 1 2 303.431 1.482 20 30 DDEDLO CSCCC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000069289467 353155159 /nfs/dbraw/zinc/15/51/59/353155159.db2.gz XRRAHEQWUINLEI-UHFFFAOYSA-N 1 2 303.431 1.956 20 30 DDEDLO N#CCOc1ccc(/C=C/C(=O)N2CC(n3cc[nH+]c3)C2)cc1 ZINC000491839754 234309551 /nfs/dbraw/zinc/30/95/51/234309551.db2.gz WIAYVIXXAUSYJB-ZZXKWVIFSA-N 1 2 308.341 1.882 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCCCOc1ccccc1F ZINC000072906213 353226344 /nfs/dbraw/zinc/22/63/44/353226344.db2.gz ZSKSMNHIROTHLF-UHFFFAOYSA-N 1 2 302.349 1.279 20 30 DDEDLO Cc1nc(C(=O)NCCc2cn3c([nH+]2)CCCC3)ccc1C#N ZINC000073745910 353269116 /nfs/dbraw/zinc/26/91/16/353269116.db2.gz SHVDWZWJOWUTBQ-UHFFFAOYSA-N 1 2 309.373 1.767 20 30 DDEDLO CC(=O)CCc1ccc(OC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000078320976 353510435 /nfs/dbraw/zinc/51/04/35/353510435.db2.gz RUIXRCBJKKBVTG-MRXNPFEDSA-N 1 2 304.390 1.793 20 30 DDEDLO CC(=O)CCc1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000078320976 353510436 /nfs/dbraw/zinc/51/04/36/353510436.db2.gz RUIXRCBJKKBVTG-MRXNPFEDSA-N 1 2 304.390 1.793 20 30 DDEDLO N#CCC[N@@H+](CC(=O)N1CCO[C@@H]2CCCC[C@H]21)CC1CC1 ZINC000081063096 353650119 /nfs/dbraw/zinc/65/01/19/353650119.db2.gz ZITINPVOBAIQEW-HZPDHXFCSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CCC[N@H+](CC(=O)N1CCO[C@@H]2CCCC[C@H]21)CC1CC1 ZINC000081063096 353650121 /nfs/dbraw/zinc/65/01/21/353650121.db2.gz ZITINPVOBAIQEW-HZPDHXFCSA-N 1 2 305.422 1.782 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cc(C)c(C)s2)CC1 ZINC000091039769 353806069 /nfs/dbraw/zinc/80/60/69/353806069.db2.gz BXHWYZFTXVCOAP-UHFFFAOYSA-N 1 2 312.460 1.695 20 30 DDEDLO C=CCSCCNC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000194635628 354294247 /nfs/dbraw/zinc/29/42/47/354294247.db2.gz CYAYOFVJWKTVDA-UHFFFAOYSA-N 1 2 306.435 1.832 20 30 DDEDLO C[C@H](C#N)CNC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000182372747 354271917 /nfs/dbraw/zinc/27/19/17/354271917.db2.gz GHQAWYJAKQULKG-CQSZACIVSA-N 1 2 316.405 1.478 20 30 DDEDLO C[C@@H](C#N)CNC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000182378353 354272035 /nfs/dbraw/zinc/27/20/35/354272035.db2.gz ZHTVIOSTGQAJRD-GOEBONIOSA-N 1 2 316.405 1.519 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC000185187678 354281077 /nfs/dbraw/zinc/28/10/77/354281077.db2.gz PURHFGDHADNBTA-SECBINFHSA-N 1 2 309.370 1.030 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2ccc(C#N)[nH]2)C1 ZINC000276332899 283023477 /nfs/dbraw/zinc/02/34/77/283023477.db2.gz GXMFRDQBKZNVSC-AWEZNQCLSA-N 1 2 302.378 1.069 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2ccc(C#N)[nH]2)C1 ZINC000276332899 283023478 /nfs/dbraw/zinc/02/34/78/283023478.db2.gz GXMFRDQBKZNVSC-AWEZNQCLSA-N 1 2 302.378 1.069 20 30 DDEDLO COc1cccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1[N+](=O)[O-] ZINC000578196259 354705904 /nfs/dbraw/zinc/70/59/04/354705904.db2.gz ATLRRBQBKCWFHJ-AWEZNQCLSA-N 1 2 306.322 1.177 20 30 DDEDLO COc1ccc2onc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)c2c1 ZINC000578873451 354713155 /nfs/dbraw/zinc/71/31/55/354713155.db2.gz UUXQZDSZAXSRPQ-INIZCTEOSA-N 1 2 316.361 1.339 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)NCCc1c[nH+]cn1C ZINC000401751284 354666463 /nfs/dbraw/zinc/66/64/63/354666463.db2.gz NYLBBBNFJJSTIX-UHFFFAOYSA-N 1 2 304.375 1.121 20 30 DDEDLO Cn1cc[nH+]c1CNS(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000581605267 354735714 /nfs/dbraw/zinc/73/57/14/354735714.db2.gz VIZPCIMYXHNQOV-UHFFFAOYSA-N 1 2 304.375 1.106 20 30 DDEDLO Cc1cc(C#N)cc(N2CC[NH+](CC(=O)N3CCCC3)CC2)n1 ZINC000585702323 354829420 /nfs/dbraw/zinc/82/94/20/354829420.db2.gz YUZCKJGQKDLUBR-UHFFFAOYSA-N 1 2 313.405 1.006 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000588740015 354932605 /nfs/dbraw/zinc/93/26/05/354932605.db2.gz QLUCVKZMTSMZNK-UHFFFAOYSA-N 1 2 313.361 1.719 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC[C@@H](OCC3CC3)C2)cc1 ZINC000494708789 235076565 /nfs/dbraw/zinc/07/65/65/235076565.db2.gz MCVRVVPLMVPHAC-SJORKVTESA-N 1 2 313.401 1.976 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC[C@@H](OCC3CC3)C2)cc1 ZINC000494708789 235076570 /nfs/dbraw/zinc/07/65/70/235076570.db2.gz MCVRVVPLMVPHAC-SJORKVTESA-N 1 2 313.401 1.976 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N1CCc2[nH]c[nH+]c2C1 ZINC000590552123 355111857 /nfs/dbraw/zinc/11/18/57/355111857.db2.gz HCNSCCZHPXGQND-UHFFFAOYSA-N 1 2 312.329 1.254 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N1CCc2[nH+]c[nH]c2C1 ZINC000590552123 355111859 /nfs/dbraw/zinc/11/18/59/355111859.db2.gz HCNSCCZHPXGQND-UHFFFAOYSA-N 1 2 312.329 1.254 20 30 DDEDLO CN(Cc1ccc(F)cc1)C(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148197 355513724 /nfs/dbraw/zinc/51/37/24/355513724.db2.gz RTOFVYUYHYAZKS-INIZCTEOSA-N 1 2 305.353 1.135 20 30 DDEDLO CN(Cc1ccc(F)cc1)C(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148197 355513727 /nfs/dbraw/zinc/51/37/27/355513727.db2.gz RTOFVYUYHYAZKS-INIZCTEOSA-N 1 2 305.353 1.135 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)Nc2ccc(F)c(Cl)c2)C1 ZINC000592149683 355516196 /nfs/dbraw/zinc/51/61/96/355516196.db2.gz UNDQPKKFFWTFJN-AWEZNQCLSA-N 1 2 311.744 1.768 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)Nc2ccc(F)c(Cl)c2)C1 ZINC000592149683 355516200 /nfs/dbraw/zinc/51/62/00/355516200.db2.gz UNDQPKKFFWTFJN-AWEZNQCLSA-N 1 2 311.744 1.768 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)NCc2ccc(Cl)cc2)C1 ZINC000592149747 355516556 /nfs/dbraw/zinc/51/65/56/355516556.db2.gz VWYISSOUMAYIAP-HNNXBMFYSA-N 1 2 307.781 1.307 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)NCc2ccc(Cl)cc2)C1 ZINC000592149747 355516559 /nfs/dbraw/zinc/51/65/59/355516559.db2.gz VWYISSOUMAYIAP-HNNXBMFYSA-N 1 2 307.781 1.307 20 30 DDEDLO C[C@H](CC#N)[N@H+](C)Cc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000593015037 355770407 /nfs/dbraw/zinc/77/04/07/355770407.db2.gz KTISBBCCTPQLDC-GFCCVEGCSA-N 1 2 307.419 1.861 20 30 DDEDLO C[C@H](CC#N)[N@@H+](C)Cc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000593015037 355770410 /nfs/dbraw/zinc/77/04/10/355770410.db2.gz KTISBBCCTPQLDC-GFCCVEGCSA-N 1 2 307.419 1.861 20 30 DDEDLO COC[C@H]1C[C@@H](O)C[N@@H+]1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000593067160 355785697 /nfs/dbraw/zinc/78/56/97/355785697.db2.gz VACMEMYIWDEARK-VXGBXAGGSA-N 1 2 323.418 1.257 20 30 DDEDLO COC[C@H]1C[C@@H](O)C[N@H+]1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000593067160 355785699 /nfs/dbraw/zinc/78/56/99/355785699.db2.gz VACMEMYIWDEARK-VXGBXAGGSA-N 1 2 323.418 1.257 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1C[C@H](C(=O)OC)[C@@H](c2ccccc2)C1 ZINC000593089793 355792186 /nfs/dbraw/zinc/79/21/86/355792186.db2.gz ARDUZHNINSZQMJ-ILXRZTDVSA-N 1 2 303.358 1.603 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1C[C@H](C(=O)OC)[C@@H](c2ccccc2)C1 ZINC000593089793 355792187 /nfs/dbraw/zinc/79/21/87/355792187.db2.gz ARDUZHNINSZQMJ-ILXRZTDVSA-N 1 2 303.358 1.603 20 30 DDEDLO Cc1nn(C)c2nc(C)cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c12 ZINC000593155782 355813834 /nfs/dbraw/zinc/81/38/34/355813834.db2.gz YZAQJKIENZGMMQ-MRXNPFEDSA-N 1 2 314.393 1.159 20 30 DDEDLO COc1cc(F)c(OC[C@H](O)C[N@H+](C)CCC#N)cc1OC ZINC000593337078 355856629 /nfs/dbraw/zinc/85/66/29/355856629.db2.gz CKZUOKAHHYMUBK-LLVKDONJSA-N 1 2 312.341 1.428 20 30 DDEDLO COc1cc(F)c(OC[C@H](O)C[N@@H+](C)CCC#N)cc1OC ZINC000593337078 355856634 /nfs/dbraw/zinc/85/66/34/355856634.db2.gz CKZUOKAHHYMUBK-LLVKDONJSA-N 1 2 312.341 1.428 20 30 DDEDLO CC[C@H](C#N)C(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000593390548 355870420 /nfs/dbraw/zinc/87/04/20/355870420.db2.gz LERZUOYJIWYDAS-GDBMZVCRSA-N 1 2 301.390 1.726 20 30 DDEDLO N#CC1(CNC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)CCCC1 ZINC000593426481 355881836 /nfs/dbraw/zinc/88/18/36/355881836.db2.gz FEMBJQJQGJLBPT-OAHLLOKOSA-N 1 2 320.437 1.577 20 30 DDEDLO N#CC1(CNC(=O)C(=O)Nc2ccc3[nH+]ccn3c2)CCCC1 ZINC000593673709 355962807 /nfs/dbraw/zinc/96/28/07/355962807.db2.gz JOOUOVJZIUYGQJ-UHFFFAOYSA-N 1 2 311.345 1.473 20 30 DDEDLO COc1ccc(COCC[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000594003354 356084214 /nfs/dbraw/zinc/08/42/14/356084214.db2.gz SNKSALHWMIFMQF-UHFFFAOYSA-N 1 2 317.389 1.270 20 30 DDEDLO CN(C)c1ncc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1Cl ZINC000594024644 356092500 /nfs/dbraw/zinc/09/25/00/356092500.db2.gz RIVKHKHSSOLXDG-CQSZACIVSA-N 1 2 309.801 1.375 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)n1cc(C[C@H]([NH3+])C(=O)OC)nn1 ZINC000594651631 356275098 /nfs/dbraw/zinc/27/50/98/356275098.db2.gz FBOZHCPGBVSKPP-HOTGVXAUSA-N 1 2 314.389 1.681 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CCN(c3nc(N)ns3)CC2)c1 ZINC000267979537 283151529 /nfs/dbraw/zinc/15/15/29/283151529.db2.gz WNYBCRAQUHITTN-UHFFFAOYSA-N 1 2 318.381 1.453 20 30 DDEDLO C[C@@H](NC(=O)C(=O)Nc1cccc(CC#N)c1)c1[nH+]ccn1C ZINC000595219460 356410559 /nfs/dbraw/zinc/41/05/59/356410559.db2.gz FTXXWTKUQOJHNL-LLVKDONJSA-N 1 2 311.345 1.302 20 30 DDEDLO COC(=O)C[C@](C)([NH2+]Cc1ccncc1C#N)c1ccncc1 ZINC000595483835 356512359 /nfs/dbraw/zinc/51/23/59/356512359.db2.gz NKYYCAIERBICTJ-KRWDZBQOSA-N 1 2 310.357 1.916 20 30 DDEDLO CN(CCS(=O)(=O)c1cccc(C#N)c1)Cc1c[nH+]c[nH]1 ZINC000595591424 356566009 /nfs/dbraw/zinc/56/60/09/356566009.db2.gz HGQSRPUOUXHSHZ-UHFFFAOYSA-N 1 2 304.375 1.187 20 30 DDEDLO CN(CCS(=O)(=O)c1cccc(C#N)c1)Cc1c[nH]c[nH+]1 ZINC000595591424 356566014 /nfs/dbraw/zinc/56/60/14/356566014.db2.gz HGQSRPUOUXHSHZ-UHFFFAOYSA-N 1 2 304.375 1.187 20 30 DDEDLO CC(C)NC(=O)NCC[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595638721 356588333 /nfs/dbraw/zinc/58/83/33/356588333.db2.gz SRZLRZJRGIAFRQ-UHFFFAOYSA-N 1 2 321.450 1.449 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCc2nc(-c3ccccc3)sc2C1 ZINC000595714942 356619342 /nfs/dbraw/zinc/61/93/42/356619342.db2.gz WKWAOVRNAQMVNX-UHFFFAOYSA-N 1 2 312.398 1.808 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCc2nc(-c3ccccc3)sc2C1 ZINC000595714942 356619345 /nfs/dbraw/zinc/61/93/45/356619345.db2.gz WKWAOVRNAQMVNX-UHFFFAOYSA-N 1 2 312.398 1.808 20 30 DDEDLO Cc1oc(NC(=O)C[NH+]2C[C@@H](C)C(O)[C@H](C)C2)c(C#N)c1C ZINC000595748326 356635255 /nfs/dbraw/zinc/63/52/55/356635255.db2.gz FPIJMJKJHDWKON-NXEZZACHSA-N 1 2 305.378 1.655 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000595737403 356629501 /nfs/dbraw/zinc/62/95/01/356629501.db2.gz BJKJCJXYUQTNIG-SHUKQUCYSA-N 1 2 302.374 1.721 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000595737403 356629502 /nfs/dbraw/zinc/62/95/02/356629502.db2.gz BJKJCJXYUQTNIG-SHUKQUCYSA-N 1 2 302.374 1.721 20 30 DDEDLO COc1ccc(CN(C)C(=O)C[N@@H+]2CC[C@@](C)(C#N)C2)cc1 ZINC000595832318 356669393 /nfs/dbraw/zinc/66/93/93/356669393.db2.gz ASKBXFRDKMKIMT-KRWDZBQOSA-N 1 2 301.390 1.889 20 30 DDEDLO COc1ccc(CN(C)C(=O)C[N@H+]2CC[C@@](C)(C#N)C2)cc1 ZINC000595832318 356669398 /nfs/dbraw/zinc/66/93/98/356669398.db2.gz ASKBXFRDKMKIMT-KRWDZBQOSA-N 1 2 301.390 1.889 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNc1ccc([N+](=O)[O-])cc1C#N ZINC000596429568 356876974 /nfs/dbraw/zinc/87/69/74/356876974.db2.gz KDWVWWVKRDRJID-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNc1ccc([N+](=O)[O-])cc1C#N ZINC000596429568 356876976 /nfs/dbraw/zinc/87/69/76/356876976.db2.gz KDWVWWVKRDRJID-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cccc(C#N)c2)[C@H](C)CO1 ZINC000596453354 356884816 /nfs/dbraw/zinc/88/48/16/356884816.db2.gz JYBMATHIPIVEKV-CHWSQXEVSA-N 1 2 302.378 1.789 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cccc(C#N)c2)[C@H](C)CO1 ZINC000596453354 356884819 /nfs/dbraw/zinc/88/48/19/356884819.db2.gz JYBMATHIPIVEKV-CHWSQXEVSA-N 1 2 302.378 1.789 20 30 DDEDLO C[C@@H](CC#N)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000596672973 356948947 /nfs/dbraw/zinc/94/89/47/356948947.db2.gz UPDCJLCPJUHIOQ-AWEZNQCLSA-N 1 2 301.390 1.685 20 30 DDEDLO C[C@H](CC#N)C(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000596675756 356949814 /nfs/dbraw/zinc/94/98/14/356949814.db2.gz ZEDOSUSYSFMLAB-OLZOCXBDSA-N 1 2 307.419 1.787 20 30 DDEDLO N#CCCN(Cc1cccnc1)C(=O)[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000596964365 357027822 /nfs/dbraw/zinc/02/78/22/357027822.db2.gz HZJSMCGEWGLFQT-AWEZNQCLSA-N 1 2 309.373 1.852 20 30 DDEDLO N#CCCN(Cc1cccnc1)C(=O)[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000596964365 357027824 /nfs/dbraw/zinc/02/78/24/357027824.db2.gz HZJSMCGEWGLFQT-AWEZNQCLSA-N 1 2 309.373 1.852 20 30 DDEDLO C[C@@H](CC#N)C(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000597209855 357093771 /nfs/dbraw/zinc/09/37/71/357093771.db2.gz DOEXKJSYSCHOTP-RDJZCZTQSA-N 1 2 315.417 1.596 20 30 DDEDLO CC[C@H](C#N)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000597934393 357387738 /nfs/dbraw/zinc/38/77/38/357387738.db2.gz RSJLGKQJHMNSRU-ZACQAIPSSA-N 1 2 313.401 1.648 20 30 DDEDLO CC[C@H](C#N)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000597934393 357387743 /nfs/dbraw/zinc/38/77/43/357387743.db2.gz RSJLGKQJHMNSRU-ZACQAIPSSA-N 1 2 313.401 1.648 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCO[C@H](C(F)(F)F)C2)CCOCC1 ZINC000599298035 357881706 /nfs/dbraw/zinc/88/17/06/357881706.db2.gz OTRZNVZBUOZBQJ-NEPJUHHUSA-N 1 2 322.327 1.321 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCO[C@H](C(F)(F)F)C2)CCOCC1 ZINC000599298035 357881708 /nfs/dbraw/zinc/88/17/08/357881708.db2.gz OTRZNVZBUOZBQJ-NEPJUHHUSA-N 1 2 322.327 1.321 20 30 DDEDLO CCNC(=O)CN1CC[NH+](Cc2ccc(C)c(C#N)c2)CC1 ZINC000599251637 357863404 /nfs/dbraw/zinc/86/34/04/357863404.db2.gz KCOCDRNYMLROPD-UHFFFAOYSA-N 1 2 300.406 1.120 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(Cc2ccc(C)c(C#N)c2)CC1 ZINC000599251637 357863406 /nfs/dbraw/zinc/86/34/06/357863406.db2.gz KCOCDRNYMLROPD-UHFFFAOYSA-N 1 2 300.406 1.120 20 30 DDEDLO CC(C)(C)CNC(=O)C[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000599429841 357931967 /nfs/dbraw/zinc/93/19/67/357931967.db2.gz UHZZIJTVTFXZJD-CQSZACIVSA-N 1 2 316.405 1.569 20 30 DDEDLO CC(C)(C)CNC(=O)C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000599429841 357931970 /nfs/dbraw/zinc/93/19/70/357931970.db2.gz UHZZIJTVTFXZJD-CQSZACIVSA-N 1 2 316.405 1.569 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@@H+]1CCO[C@H](CCF)C1 ZINC000599634387 357999619 /nfs/dbraw/zinc/99/96/19/357999619.db2.gz JOZAUUNZVYGWPT-LSDHHAIUSA-N 1 2 308.353 1.358 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@H+]1CCO[C@H](CCF)C1 ZINC000599634387 357999622 /nfs/dbraw/zinc/99/96/22/357999622.db2.gz JOZAUUNZVYGWPT-LSDHHAIUSA-N 1 2 308.353 1.358 20 30 DDEDLO C[C@]12CCCC[C@H]1C[N@@H+]2CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000599671757 358017952 /nfs/dbraw/zinc/01/79/52/358017952.db2.gz ROTWYANIFIRCQW-HOCLYGCPSA-N 1 2 319.430 1.991 20 30 DDEDLO C[C@]12CCCC[C@H]1C[N@H+]2CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000599671757 358017956 /nfs/dbraw/zinc/01/79/56/358017956.db2.gz ROTWYANIFIRCQW-HOCLYGCPSA-N 1 2 319.430 1.991 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCc2c(CC#N)cccc2C1)C1CC1 ZINC000599685769 358025398 /nfs/dbraw/zinc/02/53/98/358025398.db2.gz KDOVHIQURNARDD-LJQANCHMSA-N 1 2 322.412 1.919 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCc2c(CC#N)cccc2C1)C1CC1 ZINC000599685769 358025399 /nfs/dbraw/zinc/02/53/99/358025399.db2.gz KDOVHIQURNARDD-LJQANCHMSA-N 1 2 322.412 1.919 20 30 DDEDLO CC(=O)NC[C@H]1CCCC[N@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000599700475 358031421 /nfs/dbraw/zinc/03/14/21/358031421.db2.gz GWMXIFDOULSIDZ-ZBFHGGJFSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000599700475 358031425 /nfs/dbraw/zinc/03/14/25/358031425.db2.gz GWMXIFDOULSIDZ-ZBFHGGJFSA-N 1 2 308.426 1.031 20 30 DDEDLO CC[C@@H]1C(=O)NCC[N@H+]1Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000180068392 199113657 /nfs/dbraw/zinc/11/36/57/199113657.db2.gz QARHHWNHMPEZGR-MRXNPFEDSA-N 1 2 323.400 1.669 20 30 DDEDLO CC[C@@H]1C(=O)NCC[N@@H+]1Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000180068392 199113659 /nfs/dbraw/zinc/11/36/59/199113659.db2.gz QARHHWNHMPEZGR-MRXNPFEDSA-N 1 2 323.400 1.669 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCN(Cc2[nH+]ccn2CC)CC1 ZINC000600507718 358243301 /nfs/dbraw/zinc/24/33/01/358243301.db2.gz VZOFPCOFHACACW-HNNXBMFYSA-N 1 2 320.437 1.528 20 30 DDEDLO CC(C)(CNS(=O)(=O)c1cccc(CC#N)c1)n1cc[nH+]c1 ZINC000601525927 358588487 /nfs/dbraw/zinc/58/84/87/358588487.db2.gz HMABJHYNEKXZRR-UHFFFAOYSA-N 1 2 318.402 1.663 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)Cc1cn2cccc(C)c2[nH+]1)C(=O)OC ZINC000601784617 358694281 /nfs/dbraw/zinc/69/42/81/358694281.db2.gz FLXGGPXNMWQRQY-CQSZACIVSA-N 1 2 315.373 1.809 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC000602199761 358879104 /nfs/dbraw/zinc/87/91/04/358879104.db2.gz RDJXEEWLZQXVQX-UHFFFAOYSA-N 1 2 324.384 1.855 20 30 DDEDLO C[C@@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)n1ccc(C(F)(F)F)n1 ZINC000602331950 358933818 /nfs/dbraw/zinc/93/38/18/358933818.db2.gz IOCIZXULFSKVBT-CABZTGNLSA-N 1 2 317.315 1.423 20 30 DDEDLO Cc1cccc(CO[C@@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000602335976 358936267 /nfs/dbraw/zinc/93/62/67/358936267.db2.gz WLFGHBGONRUQNZ-YOEHRIQHSA-N 1 2 303.406 1.860 20 30 DDEDLO CN1CC[N@H+](Cc2cccc(OCCCC#N)c2)[C@@H](CO)C1 ZINC000602716921 359144935 /nfs/dbraw/zinc/14/49/35/359144935.db2.gz VDQYGKDREUSJRF-MRXNPFEDSA-N 1 2 303.406 1.477 20 30 DDEDLO CN1CC[N@@H+](Cc2cccc(OCCCC#N)c2)[C@@H](CO)C1 ZINC000602716921 359144938 /nfs/dbraw/zinc/14/49/38/359144938.db2.gz VDQYGKDREUSJRF-MRXNPFEDSA-N 1 2 303.406 1.477 20 30 DDEDLO CCN(C#N)CC[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000602757473 359171249 /nfs/dbraw/zinc/17/12/49/359171249.db2.gz GCUQFTDTPVXNAT-UHFFFAOYSA-N 1 2 301.369 1.622 20 30 DDEDLO C[C@@H]1C[NH+](Cc2cn(-c3ccccc3)nn2)C[C@@H](C)N1CC#N ZINC000602858197 359244566 /nfs/dbraw/zinc/24/45/66/359244566.db2.gz PYLQONOPKBBKNB-HUUCEWRRSA-N 1 2 310.405 1.685 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)N(C)CC(=O)Nc1ccccc1Cl ZINC000602863740 359248820 /nfs/dbraw/zinc/24/88/20/359248820.db2.gz PPVWAXQQBWMYNB-LLVKDONJSA-N 1 2 322.796 1.629 20 30 DDEDLO COC(=O)[C@@]1(C)C[N@H+](CC(=O)Nc2sccc2C#N)C[C@@H]1C ZINC000602932314 359302392 /nfs/dbraw/zinc/30/23/92/359302392.db2.gz SMGRKEODKNEIGO-BONVTDFDSA-N 1 2 321.402 1.689 20 30 DDEDLO COC(=O)[C@@]1(C)C[N@@H+](CC(=O)Nc2sccc2C#N)C[C@@H]1C ZINC000602932314 359302396 /nfs/dbraw/zinc/30/23/96/359302396.db2.gz SMGRKEODKNEIGO-BONVTDFDSA-N 1 2 321.402 1.689 20 30 DDEDLO N#C[C@H]1CC[C@@H]([N@@H+]2CCc3c(cccc3S(N)(=O)=O)C2)C1 ZINC000603027356 359368430 /nfs/dbraw/zinc/36/84/30/359368430.db2.gz WBEZMMSMYWBOPX-WCQYABFASA-N 1 2 305.403 1.384 20 30 DDEDLO N#C[C@H]1CC[C@@H]([N@H+]2CCc3c(cccc3S(N)(=O)=O)C2)C1 ZINC000603027356 359368431 /nfs/dbraw/zinc/36/84/31/359368431.db2.gz WBEZMMSMYWBOPX-WCQYABFASA-N 1 2 305.403 1.384 20 30 DDEDLO C=CCOCCCNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000618523385 363660044 /nfs/dbraw/zinc/66/00/44/363660044.db2.gz GBUQSNVQHVOVLW-UHFFFAOYSA-N 1 2 318.417 1.841 20 30 DDEDLO C[C@H](NC(=O)Nc1ccnc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000603126730 359419918 /nfs/dbraw/zinc/41/99/18/359419918.db2.gz BBHWSAQLKDXKII-RYUDHWBXSA-N 1 2 303.366 1.184 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)n1cc(CC[NH+]2CCOCC2)nn1 ZINC000603237341 359505652 /nfs/dbraw/zinc/50/56/52/359505652.db2.gz WBCDCRDUQZGXNE-AWEZNQCLSA-N 1 2 311.389 1.634 20 30 DDEDLO N#Cc1ccc(Cn2cc[nH+]c2CN2CCOCC2)cc1F ZINC000603421727 359630363 /nfs/dbraw/zinc/63/03/63/359630363.db2.gz ZMKIOYNTCQPHID-UHFFFAOYSA-N 1 2 300.337 1.774 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)NCC[C@@H]2CCOC2)CCN1C ZINC000329954099 223051801 /nfs/dbraw/zinc/05/18/01/223051801.db2.gz JUQDDKAAMYOKPD-ZIAGYGMSSA-N 1 2 321.425 1.049 20 30 DDEDLO COc1cccc([C@H](C)NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)c1 ZINC000329987612 223058589 /nfs/dbraw/zinc/05/85/89/223058589.db2.gz SSGZULYXGSKQJY-RCBQFDQVSA-N 1 2 319.405 1.685 20 30 DDEDLO COc1cccc([C@H](C)NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)c1 ZINC000329987612 223058590 /nfs/dbraw/zinc/05/85/90/223058590.db2.gz SSGZULYXGSKQJY-RCBQFDQVSA-N 1 2 319.405 1.685 20 30 DDEDLO CCCCN(CCCC)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611175873 360648954 /nfs/dbraw/zinc/64/89/54/360648954.db2.gz OBFXWHQYWWBWRX-UHFFFAOYSA-N 1 2 308.470 1.946 20 30 DDEDLO C=CCSCCNC(=O)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000611361621 360705269 /nfs/dbraw/zinc/70/52/69/360705269.db2.gz HECXDBGBYIMPDW-UHFFFAOYSA-N 1 2 304.375 1.308 20 30 DDEDLO Cc1[nH+]ccn1CCC[NH+]=C([O-])N1CCC[C@@H](CNC(N)=O)C1 ZINC000330390055 223110111 /nfs/dbraw/zinc/11/01/11/223110111.db2.gz FUKMGKVODHXYMN-ZDUSSCGKSA-N 1 2 322.413 1.290 20 30 DDEDLO CCn1cc(C#N)c(=O)n(Cc2ccc(C(C)C)[nH+]c2C)c1=O ZINC000292785719 223246564 /nfs/dbraw/zinc/24/65/64/223246564.db2.gz YXGZUVSCCJRPJX-UHFFFAOYSA-N 1 2 312.373 1.777 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@H+](Cc2ccc(C(C)(C)C#N)cc2)CCO1 ZINC000614168125 361733710 /nfs/dbraw/zinc/73/37/10/361733710.db2.gz SAOWWRZVTPXVEV-QGZVFWFLSA-N 1 2 315.417 1.825 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)CCO1 ZINC000614168125 361733719 /nfs/dbraw/zinc/73/37/19/361733719.db2.gz SAOWWRZVTPXVEV-QGZVFWFLSA-N 1 2 315.417 1.825 20 30 DDEDLO C=C[C@@H](N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1)C(=O)OC ZINC000619701721 364119814 /nfs/dbraw/zinc/11/98/14/364119814.db2.gz SJAPXRHDGJZOSG-DLBZAZTESA-N 1 2 318.417 1.247 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(NC(=O)c2ccccc2C)CC1 ZINC000619719015 364129238 /nfs/dbraw/zinc/12/92/38/364129238.db2.gz NICINDRQAVQJRA-INIZCTEOSA-N 1 2 316.401 1.917 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@@H](C(=O)Nc2ccc(C)cn2)C1 ZINC000619719671 364130956 /nfs/dbraw/zinc/13/09/56/364130956.db2.gz STTPBCXPJOXVKH-ZIAGYGMSSA-N 1 2 317.389 1.768 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@@H](C(=O)Nc2ccc(C)cn2)C1 ZINC000619719671 364130959 /nfs/dbraw/zinc/13/09/59/364130959.db2.gz STTPBCXPJOXVKH-ZIAGYGMSSA-N 1 2 317.389 1.768 20 30 DDEDLO C=CCCOCC(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000620209073 364336583 /nfs/dbraw/zinc/33/65/83/364336583.db2.gz WPSPWNCWOWZAMC-UHFFFAOYSA-N 1 2 317.433 1.965 20 30 DDEDLO CC1(C)C[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC[C@@H]1O ZINC000275494843 212371787 /nfs/dbraw/zinc/37/17/87/212371787.db2.gz QDVXVZZUOQBKOB-OLZOCXBDSA-N 1 2 319.430 1.818 20 30 DDEDLO CC1(C)C[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC[C@@H]1O ZINC000275494843 212371791 /nfs/dbraw/zinc/37/17/91/212371791.db2.gz QDVXVZZUOQBKOB-OLZOCXBDSA-N 1 2 319.430 1.818 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC[C@H](n2ccnn2)C1 ZINC000566141110 304121750 /nfs/dbraw/zinc/12/17/50/304121750.db2.gz IHZNIODOCSVHPH-HIFRSBDPSA-N 1 2 324.388 1.814 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC[C@H](n2ccnn2)C1 ZINC000566141110 304121751 /nfs/dbraw/zinc/12/17/51/304121751.db2.gz IHZNIODOCSVHPH-HIFRSBDPSA-N 1 2 324.388 1.814 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCc2cc(F)ccc2C1)C1CC1 ZINC000091893261 193123725 /nfs/dbraw/zinc/12/37/25/193123725.db2.gz GWPZCSWTBDTMCJ-KRWDZBQOSA-N 1 2 301.365 1.992 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCc2cc(F)ccc2C1)C1CC1 ZINC000091893261 193123727 /nfs/dbraw/zinc/12/37/27/193123727.db2.gz GWPZCSWTBDTMCJ-KRWDZBQOSA-N 1 2 301.365 1.992 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](S(=O)(=O)N3CCCC3)C2)o1 ZINC000092044916 193145474 /nfs/dbraw/zinc/14/54/74/193145474.db2.gz PPGPQDXEEQKFRG-AWEZNQCLSA-N 1 2 309.391 1.151 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](S(=O)(=O)N3CCCC3)C2)o1 ZINC000092044916 193145476 /nfs/dbraw/zinc/14/54/76/193145476.db2.gz PPGPQDXEEQKFRG-AWEZNQCLSA-N 1 2 309.391 1.151 20 30 DDEDLO COCC#CCN(CC[NH+]1CCOCC1)c1ccccc1F ZINC000625940693 367230276 /nfs/dbraw/zinc/23/02/76/367230276.db2.gz QTDRTKVKCHMPIJ-UHFFFAOYSA-N 1 2 306.381 1.614 20 30 DDEDLO N#C[C@H](c1cccc(Cl)c1)N1CC[NH+]([C@H]2CCNC2=O)CC1 ZINC000348325384 223383056 /nfs/dbraw/zinc/38/30/56/223383056.db2.gz HQXMXMQCHGUNHA-LSDHHAIUSA-N 1 2 318.808 1.411 20 30 DDEDLO N#CCC(=O)N1CCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000348586900 223386654 /nfs/dbraw/zinc/38/66/54/223386654.db2.gz HNECNFMKUKOMPN-UHFFFAOYSA-N 1 2 323.356 1.070 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(c2ccc(F)cc2C#N)CC1 ZINC000264644785 204050715 /nfs/dbraw/zinc/05/07/15/204050715.db2.gz NNABBVNWFYYUGH-CYBMUJFWSA-N 1 2 318.396 1.734 20 30 DDEDLO Cc1ncc(C(=O)N2CCC[N@H+](Cc3ccc(C#N)cc3)CC2)[nH]1 ZINC000264717168 204098293 /nfs/dbraw/zinc/09/82/93/204098293.db2.gz OYUANIFVYCQERO-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO Cc1ncc(C(=O)N2CCC[N@@H+](Cc3ccc(C#N)cc3)CC2)[nH]1 ZINC000264717168 204098296 /nfs/dbraw/zinc/09/82/96/204098296.db2.gz OYUANIFVYCQERO-UHFFFAOYSA-N 1 2 323.400 1.938 20 30 DDEDLO Cc1nn(C)cc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000264864407 204220982 /nfs/dbraw/zinc/22/09/82/204220982.db2.gz GKVJPNIRHATZAF-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)[C@@H](C)C1 ZINC000339073569 250282876 /nfs/dbraw/zinc/28/28/76/250282876.db2.gz KLZMKYVGFOLOGA-TXEJJXNPSA-N 1 2 323.418 1.280 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1C[C@@H](C)[N@H+](C)[C@@H](C)C1 ZINC000339073569 250282879 /nfs/dbraw/zinc/28/28/79/250282879.db2.gz KLZMKYVGFOLOGA-TXEJJXNPSA-N 1 2 323.418 1.280 20 30 DDEDLO Cc1cc(N2CCN(c3cnc(C#N)cn3)CC2)nc(C(C)C)[nH+]1 ZINC000106463251 194217402 /nfs/dbraw/zinc/21/74/02/194217402.db2.gz KYQGGLBUOCEXCR-UHFFFAOYSA-N 1 2 323.404 1.897 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3cnc(C#N)cn3)c[nH+]2)CCO1 ZINC000106464710 194219538 /nfs/dbraw/zinc/21/95/38/194219538.db2.gz HILOCRQXLQCSNM-LBPRGKRZSA-N 1 2 310.361 1.580 20 30 DDEDLO CN1C[C@H]2C[N@H+](Cc3cn4ccccc4c3C#N)CCN2C1=O ZINC000373238933 269694788 /nfs/dbraw/zinc/69/47/88/269694788.db2.gz AWRDDVXVZDGUEF-AWEZNQCLSA-N 1 2 309.373 1.363 20 30 DDEDLO CN1C[C@H]2C[N@@H+](Cc3cn4ccccc4c3C#N)CCN2C1=O ZINC000373238933 269694789 /nfs/dbraw/zinc/69/47/89/269694789.db2.gz AWRDDVXVZDGUEF-AWEZNQCLSA-N 1 2 309.373 1.363 20 30 DDEDLO CCS(=O)(=O)N(C)C1CC[NH+](Cc2ccccc2C#N)CC1 ZINC000066879734 184436728 /nfs/dbraw/zinc/43/67/28/184436728.db2.gz XXHKYBOXQVBKEG-UHFFFAOYSA-N 1 2 321.446 1.804 20 30 DDEDLO C[C@H]([C@H](C)S(C)(=O)=O)[N@H+](C)C[C@H](O)c1ccc(C#N)cc1 ZINC000156392885 197109555 /nfs/dbraw/zinc/10/95/55/197109555.db2.gz CCUWNZOYJVCHRS-XUJVJEKNSA-N 1 2 310.419 1.345 20 30 DDEDLO C[C@H]([C@H](C)S(C)(=O)=O)[N@@H+](C)C[C@H](O)c1ccc(C#N)cc1 ZINC000156392885 197109556 /nfs/dbraw/zinc/10/95/56/197109556.db2.gz CCUWNZOYJVCHRS-XUJVJEKNSA-N 1 2 310.419 1.345 20 30 DDEDLO COc1nccnc1C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000294535362 533275685 /nfs/dbraw/zinc/27/56/85/533275685.db2.gz HSNKTFXKMBEUNL-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO C[C@H](CC#N)N(C)C(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000343271434 533598684 /nfs/dbraw/zinc/59/86/84/533598684.db2.gz QVBBSSPIYJOEBL-CYBMUJFWSA-N 1 2 302.378 1.288 20 30 DDEDLO CN1C[C@H]([N@H+](C)CC(=O)Nc2sccc2C#N)CCC1=O ZINC000568468954 304294113 /nfs/dbraw/zinc/29/41/13/304294113.db2.gz GZNBEKXIGOKQTF-LLVKDONJSA-N 1 2 306.391 1.111 20 30 DDEDLO CN1C[C@H]([N@@H+](C)CC(=O)Nc2sccc2C#N)CCC1=O ZINC000568468954 304294115 /nfs/dbraw/zinc/29/41/15/304294115.db2.gz GZNBEKXIGOKQTF-LLVKDONJSA-N 1 2 306.391 1.111 20 30 DDEDLO COC1(c2ccccc2)CN(C[C@@H](O)C[N@H+](C)CCC#N)C1 ZINC000414128486 292150632 /nfs/dbraw/zinc/15/06/32/292150632.db2.gz SGFUKAXISIEJAF-INIZCTEOSA-N 1 2 303.406 1.050 20 30 DDEDLO COC1(c2ccccc2)CN(C[C@@H](O)C[N@@H+](C)CCC#N)C1 ZINC000414128486 292150633 /nfs/dbraw/zinc/15/06/33/292150633.db2.gz SGFUKAXISIEJAF-INIZCTEOSA-N 1 2 303.406 1.050 20 30 DDEDLO COC1(c2ccccc2)C[NH+](C[C@@H](O)CN(C)CCC#N)C1 ZINC000414128486 292150635 /nfs/dbraw/zinc/15/06/35/292150635.db2.gz SGFUKAXISIEJAF-INIZCTEOSA-N 1 2 303.406 1.050 20 30 DDEDLO COCC[N@H+](CC(=O)OC)Cc1ccc(N(C)CCC#N)cc1 ZINC000271191945 407567079 /nfs/dbraw/zinc/56/70/79/407567079.db2.gz PNRJRRRSRGSCKM-UHFFFAOYSA-N 1 2 319.405 1.658 20 30 DDEDLO COCC[N@@H+](CC(=O)OC)Cc1ccc(N(C)CCC#N)cc1 ZINC000271191945 407567083 /nfs/dbraw/zinc/56/70/83/407567083.db2.gz PNRJRRRSRGSCKM-UHFFFAOYSA-N 1 2 319.405 1.658 20 30 DDEDLO N#CCCCCS(=O)(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000068880406 406669684 /nfs/dbraw/zinc/66/96/84/406669684.db2.gz GSFNPYDITUBAMX-UHFFFAOYSA-N 1 2 322.434 1.664 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[NH+](C2CC2)CC1 ZINC000070432077 406814937 /nfs/dbraw/zinc/81/49/37/406814937.db2.gz JYEJRONBPYNLQE-UHFFFAOYSA-N 1 2 305.403 1.335 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)[C@H]1CCN(c2ccccc2Cl)C1=O ZINC000078599557 407035553 /nfs/dbraw/zinc/03/55/53/407035553.db2.gz UIPDUAMBFZZENE-AWEZNQCLSA-N 1 2 321.808 1.679 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)[C@H]1CCN(c2ccccc2Cl)C1=O ZINC000078599557 407035557 /nfs/dbraw/zinc/03/55/57/407035557.db2.gz UIPDUAMBFZZENE-AWEZNQCLSA-N 1 2 321.808 1.679 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2cccs2)CC1 ZINC000078670969 407040196 /nfs/dbraw/zinc/04/01/96/407040196.db2.gz CMYQOBHULYRKBR-UHFFFAOYSA-N 1 2 307.419 1.198 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2cccs2)CC1 ZINC000078670969 407040197 /nfs/dbraw/zinc/04/01/97/407040197.db2.gz CMYQOBHULYRKBR-UHFFFAOYSA-N 1 2 307.419 1.198 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCC(=O)Nc2cc(C)nn2C)c1 ZINC000085264946 407097672 /nfs/dbraw/zinc/09/76/72/407097672.db2.gz RTRWQOASLIERFW-UHFFFAOYSA-N 1 2 317.349 1.013 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2ccccc2C#N)CC1 ZINC000055080235 407193973 /nfs/dbraw/zinc/19/39/73/407193973.db2.gz MKCLVNDZFSOLLU-ZDUSSCGKSA-N 1 2 307.419 1.663 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N1CCN(c2ccccc2C#N)CC1 ZINC000091510212 407181924 /nfs/dbraw/zinc/18/19/24/407181924.db2.gz SOCIWYNETHHPFM-MRXNPFEDSA-N 1 2 314.433 1.401 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2nc(C3CC3)no2)cc1OC ZINC000123333356 407325983 /nfs/dbraw/zinc/32/59/83/407325983.db2.gz IPNPZYWIXMRADD-UHFFFAOYSA-N 1 2 318.333 1.801 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CSc2ccc(C#N)cc2)CC1 ZINC000103079185 407330597 /nfs/dbraw/zinc/33/05/97/407330597.db2.gz PYTDTLISBYQHAP-UHFFFAOYSA-N 1 2 313.426 1.818 20 30 DDEDLO N#Cc1cc(F)c(S(=O)(=O)NCCn2cc[nH+]c2)c(F)c1 ZINC000124034548 407345917 /nfs/dbraw/zinc/34/59/17/407345917.db2.gz XVGPMDNOVAEVGA-UHFFFAOYSA-N 1 2 312.301 1.011 20 30 DDEDLO C=CC1CC[NH+](CC(=O)Nc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000111259164 407403098 /nfs/dbraw/zinc/40/30/98/407403098.db2.gz KXTAGPVAZWHJBT-UHFFFAOYSA-N 1 2 322.430 1.927 20 30 DDEDLO C[C@H]1CCN(C(=O)C2(C#N)CCOCC2)C[C@@H]1n1cc[nH+]c1 ZINC000126050573 407403827 /nfs/dbraw/zinc/40/38/27/407403827.db2.gz QTKVHGDTMNWVSH-KBPBESRZSA-N 1 2 302.378 1.613 20 30 DDEDLO COC(=O)c1cc(C[N@H+](C)C[C@@H](C)C#N)cc(C(=O)OC)c1 ZINC000271076689 407502998 /nfs/dbraw/zinc/50/29/98/407502998.db2.gz XAAZSEAZSBGCLW-NSHDSACASA-N 1 2 304.346 1.851 20 30 DDEDLO COC(=O)c1cc(C[N@@H+](C)C[C@@H](C)C#N)cc(C(=O)OC)c1 ZINC000271076689 407503002 /nfs/dbraw/zinc/50/30/02/407503002.db2.gz XAAZSEAZSBGCLW-NSHDSACASA-N 1 2 304.346 1.851 20 30 DDEDLO C=C[C@@H](C)NC(=O)N1CC[NH+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000128188365 407507655 /nfs/dbraw/zinc/50/76/55/407507655.db2.gz YPBZWFRTDZUEHV-HUUCEWRRSA-N 1 2 322.453 1.289 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1C[C@@H](C)N(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000112997929 407459206 /nfs/dbraw/zinc/45/92/06/407459206.db2.gz KLWMZWLSCFEDLO-MJBXVCDLSA-N 1 2 323.437 1.454 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1C[C@@H](C)N(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000112997929 407459210 /nfs/dbraw/zinc/45/92/10/407459210.db2.gz KLWMZWLSCFEDLO-MJBXVCDLSA-N 1 2 323.437 1.454 20 30 DDEDLO COCCO[NH+]=C(N)c1cccc(Cn2nc(C)cc2C)c1 ZINC000170641663 407460174 /nfs/dbraw/zinc/46/01/74/407460174.db2.gz AHIDWVTVOKCBRK-UHFFFAOYSA-N 1 2 302.378 1.832 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)NCc1ccc(C#N)cc1 ZINC000171099899 407598870 /nfs/dbraw/zinc/59/88/70/407598870.db2.gz FBYSTUKKYCJIHJ-UHFFFAOYSA-N 1 2 316.405 1.468 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)NCc1ccc(C#N)cc1 ZINC000171099899 407598876 /nfs/dbraw/zinc/59/88/76/407598876.db2.gz FBYSTUKKYCJIHJ-UHFFFAOYSA-N 1 2 316.405 1.468 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H](C[NH+]2CCOCC2)c2ccccc2)[nH]1 ZINC000152353055 407618642 /nfs/dbraw/zinc/61/86/42/407618642.db2.gz ARTWUIBSFPJWRT-KRWDZBQOSA-N 1 2 324.384 1.690 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)cc1 ZINC000114856590 407624446 /nfs/dbraw/zinc/62/44/46/407624446.db2.gz DPUWRGVHDKOHAI-QGZVFWFLSA-N 1 2 316.401 1.844 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)cc1 ZINC000114856590 407624452 /nfs/dbraw/zinc/62/44/52/407624452.db2.gz DPUWRGVHDKOHAI-QGZVFWFLSA-N 1 2 316.401 1.844 20 30 DDEDLO C=CC[N@@H+](CC(=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O)C1CC1 ZINC000271327788 407638193 /nfs/dbraw/zinc/63/81/93/407638193.db2.gz AFRZYHGFYMZDPB-UHFFFAOYSA-N 1 2 320.393 1.020 20 30 DDEDLO C=CC[N@H+](CC(=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O)C1CC1 ZINC000271327788 407638197 /nfs/dbraw/zinc/63/81/97/407638197.db2.gz AFRZYHGFYMZDPB-UHFFFAOYSA-N 1 2 320.393 1.020 20 30 DDEDLO C#CCCCCC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000271353608 407651386 /nfs/dbraw/zinc/65/13/86/407651386.db2.gz HEQYXFYMXZFDAE-UHFFFAOYSA-N 1 2 304.394 1.347 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)C[C@@H](CO)O1 ZINC000230012423 407678481 /nfs/dbraw/zinc/67/84/81/407678481.db2.gz OMSFLIXQVACYOI-JQWIXIFHSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)C[C@@H](CO)O1 ZINC000230012423 407678486 /nfs/dbraw/zinc/67/84/86/407678486.db2.gz OMSFLIXQVACYOI-JQWIXIFHSA-N 1 2 309.391 1.030 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+]([C@H](C)c2cccc(C#N)c2)CC1 ZINC000186719954 407755540 /nfs/dbraw/zinc/75/55/40/407755540.db2.gz WFYYKJOVWZKTKN-CYBMUJFWSA-N 1 2 307.419 1.587 20 30 DDEDLO O=C(C#Cc1ccccc1)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000267054842 407730995 /nfs/dbraw/zinc/73/09/95/407730995.db2.gz OLKSQEOUJDHIBL-UHFFFAOYSA-N 1 2 324.384 1.206 20 30 DDEDLO CCOc1cc(C#N)ccc1OC[C@@H](O)C[NH+]1CCOCC1 ZINC000153479649 407832749 /nfs/dbraw/zinc/83/27/49/407832749.db2.gz KCRYLXQWLCNZGI-AWEZNQCLSA-N 1 2 306.362 1.029 20 30 DDEDLO N#CCCCCNC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000173134967 407864578 /nfs/dbraw/zinc/86/45/78/407864578.db2.gz YCKMHMIWUARQTH-UHFFFAOYSA-N 1 2 301.394 1.014 20 30 DDEDLO C#CC[N@@H+](CCCCCC(=O)OCC)[C@@H]1CCS(=O)(=O)C1 ZINC000117879607 407867061 /nfs/dbraw/zinc/86/70/61/407867061.db2.gz VYHLPELDNFEZQQ-CQSZACIVSA-N 1 2 315.435 1.232 20 30 DDEDLO C#CC[N@H+](CCCCCC(=O)OCC)[C@@H]1CCS(=O)(=O)C1 ZINC000117879607 407867067 /nfs/dbraw/zinc/86/70/67/407867067.db2.gz VYHLPELDNFEZQQ-CQSZACIVSA-N 1 2 315.435 1.232 20 30 DDEDLO N#CC1(CS(=O)(=O)NCc2ccccc2-n2cc[nH+]c2)CC1 ZINC000180409869 407886754 /nfs/dbraw/zinc/88/67/54/407886754.db2.gz IEZLMTKAWXRXJX-UHFFFAOYSA-N 1 2 316.386 1.595 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(Cc2ccon2)CC1 ZINC000173934586 407904152 /nfs/dbraw/zinc/90/41/52/407904152.db2.gz UQQOJQJBPCMJDI-UHFFFAOYSA-N 1 2 306.410 1.217 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC000268445385 408047463 /nfs/dbraw/zinc/04/74/63/408047463.db2.gz HULMFJYDBYKAMG-ZDUSSCGKSA-N 1 2 304.398 1.055 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC000268445385 408047470 /nfs/dbraw/zinc/04/74/70/408047470.db2.gz HULMFJYDBYKAMG-ZDUSSCGKSA-N 1 2 304.398 1.055 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000268445385 408047476 /nfs/dbraw/zinc/04/74/76/408047476.db2.gz HULMFJYDBYKAMG-ZDUSSCGKSA-N 1 2 304.398 1.055 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000268445385 408047480 /nfs/dbraw/zinc/04/74/80/408047480.db2.gz HULMFJYDBYKAMG-ZDUSSCGKSA-N 1 2 304.398 1.055 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000268445385 408047482 /nfs/dbraw/zinc/04/74/82/408047482.db2.gz HULMFJYDBYKAMG-ZDUSSCGKSA-N 1 2 304.398 1.055 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000268445385 408047488 /nfs/dbraw/zinc/04/74/88/408047488.db2.gz HULMFJYDBYKAMG-ZDUSSCGKSA-N 1 2 304.398 1.055 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1ccc(O)cc1F ZINC000154842022 408095176 /nfs/dbraw/zinc/09/51/76/408095176.db2.gz DILMGVIODLEUDN-UHFFFAOYSA-N 1 2 321.352 1.219 20 30 DDEDLO C=CCOc1ccccc1C[NH2+]Cc1cn(CC(=O)OC)nn1 ZINC000120041256 408114556 /nfs/dbraw/zinc/11/45/56/408114556.db2.gz BEZRKBCAAALONO-UHFFFAOYSA-N 1 2 316.361 1.306 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@H](S(=O)(=O)NC3CC3)C2)ccc1F ZINC000120503248 408132682 /nfs/dbraw/zinc/13/26/82/408132682.db2.gz UTDVODFKUGGWCO-AWEZNQCLSA-N 1 2 323.393 1.353 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@H](S(=O)(=O)NC3CC3)C2)ccc1F ZINC000120503248 408132689 /nfs/dbraw/zinc/13/26/89/408132689.db2.gz UTDVODFKUGGWCO-AWEZNQCLSA-N 1 2 323.393 1.353 20 30 DDEDLO CC#CC[NH+]1CCN(CC(=O)Nc2ccc(Cl)cc2)CC1 ZINC000120883619 408146662 /nfs/dbraw/zinc/14/66/62/408146662.db2.gz LAUBQLPULJQVTP-UHFFFAOYSA-N 1 2 305.809 1.919 20 30 DDEDLO Cn1cc[nH+]c1C[C@@H]1CCCN(S(=O)(=O)CCCCC#N)C1 ZINC000122076418 408248146 /nfs/dbraw/zinc/24/81/46/408248146.db2.gz BODIVHJRRWAAEF-AWEZNQCLSA-N 1 2 324.450 1.698 20 30 DDEDLO O=C(C#Cc1ccccc1)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000175993436 408258535 /nfs/dbraw/zinc/25/85/35/408258535.db2.gz WMCCTZDQNIXQNA-UHFFFAOYSA-N 1 2 321.380 1.586 20 30 DDEDLO C[C@H](O)[C@H]1CCCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156732076 408274112 /nfs/dbraw/zinc/27/41/12/408274112.db2.gz PVBOZRGBRJGGOC-XJKSGUPXSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@H](O)[C@H]1CCCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156732076 408274120 /nfs/dbraw/zinc/27/41/20/408274120.db2.gz PVBOZRGBRJGGOC-XJKSGUPXSA-N 1 2 322.430 1.567 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)c1 ZINC000176080483 408282728 /nfs/dbraw/zinc/28/27/28/408282728.db2.gz IGMACXHTTVTVBW-AWEZNQCLSA-N 1 2 302.378 1.213 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)[C@H]2C1 ZINC000158289297 408334509 /nfs/dbraw/zinc/33/45/09/408334509.db2.gz WRDINWMIMMTQEL-WBTNSWJXSA-N 1 2 323.437 1.309 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)[C@H]2C1 ZINC000158289297 408334515 /nfs/dbraw/zinc/33/45/15/408334515.db2.gz WRDINWMIMMTQEL-WBTNSWJXSA-N 1 2 323.437 1.309 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@@H]21 ZINC000158232726 408334695 /nfs/dbraw/zinc/33/46/95/408334695.db2.gz CMTQOSGGYYTMLP-QLFBSQMISA-N 1 2 309.410 1.017 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@@H]21 ZINC000158232726 408334696 /nfs/dbraw/zinc/33/46/96/408334696.db2.gz CMTQOSGGYYTMLP-QLFBSQMISA-N 1 2 309.410 1.017 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(F)cc2C#N)CC1 ZINC000269682481 408389743 /nfs/dbraw/zinc/38/97/43/408389743.db2.gz TVCSMGPZSTVZEH-UHFFFAOYSA-N 1 2 321.377 1.027 20 30 DDEDLO C[C@H]1CCC[C@@H](CO)[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000269704351 408396592 /nfs/dbraw/zinc/39/65/92/408396592.db2.gz NEFMYUWAFDWWEY-ZFWWWQNUSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@H]1CCC[C@@H](CO)[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000269704351 408396596 /nfs/dbraw/zinc/39/65/96/408396596.db2.gz NEFMYUWAFDWWEY-ZFWWWQNUSA-N 1 2 322.430 1.567 20 30 DDEDLO COc1c(C)c[nH+]c(CN(C)S(=O)(=O)CC2(C#N)CC2)c1C ZINC000183857105 408500519 /nfs/dbraw/zinc/50/05/19/408500519.db2.gz HLMOOEZTGTZOEA-UHFFFAOYSA-N 1 2 323.418 1.772 20 30 DDEDLO N#CCc1ccc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)cc1 ZINC000270367734 408507657 /nfs/dbraw/zinc/50/76/57/408507657.db2.gz ZWFRZNDHCKYZAJ-QGZVFWFLSA-N 1 2 313.401 1.689 20 30 DDEDLO C=C1CC[NH+]([C@@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000264512723 408517684 /nfs/dbraw/zinc/51/76/84/408517684.db2.gz YFVIPNNTXQCWBN-LBPRGKRZSA-N 1 2 323.418 1.313 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000274873963 408564471 /nfs/dbraw/zinc/56/44/71/408564471.db2.gz ZVVNPJOFYCPVRB-RISCZKNCSA-N 1 2 300.337 1.970 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[NH+]1CCN(C(C)(C)C(N)=O)CC1 ZINC000275618474 408623513 /nfs/dbraw/zinc/62/35/13/408623513.db2.gz MHOCGRUFRRCKBY-CYBMUJFWSA-N 1 2 300.406 1.501 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000275618474 408623519 /nfs/dbraw/zinc/62/35/19/408623519.db2.gz MHOCGRUFRRCKBY-CYBMUJFWSA-N 1 2 300.406 1.501 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+](C)[C@@H]1CCN(C)C1=O ZINC000265653308 408731468 /nfs/dbraw/zinc/73/14/68/408731468.db2.gz OYFLIEHJSYXTJO-SMDDNHRTSA-N 1 2 300.362 1.048 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+](C)[C@@H]1CCN(C)C1=O ZINC000265653308 408731474 /nfs/dbraw/zinc/73/14/74/408731474.db2.gz OYFLIEHJSYXTJO-SMDDNHRTSA-N 1 2 300.362 1.048 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)C[C@H]1C ZINC000249140060 408698551 /nfs/dbraw/zinc/69/85/51/408698551.db2.gz VYGUUKOZJCVQRD-IUODEOHRSA-N 1 2 315.373 1.628 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C[C@H]1C ZINC000249140060 408698555 /nfs/dbraw/zinc/69/85/55/408698555.db2.gz VYGUUKOZJCVQRD-IUODEOHRSA-N 1 2 315.373 1.628 20 30 DDEDLO Cc1nc(N2CCN(C(=O)Cc3ccc(C#N)cc3)CC2)cc[nH+]1 ZINC000185406494 408805976 /nfs/dbraw/zinc/80/59/76/408805976.db2.gz SAOVRBIKOSCCGW-UHFFFAOYSA-N 1 2 321.384 1.548 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3ccc(C#N)c(C)n3)CC2)cc[nH+]1 ZINC000185430301 408807415 /nfs/dbraw/zinc/80/74/15/408807415.db2.gz DGZGGVNVCQGMPY-UHFFFAOYSA-N 1 2 322.372 1.323 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@H]1CCSC1 ZINC000163126410 408762667 /nfs/dbraw/zinc/76/26/67/408762667.db2.gz OOBMLEWUALFOHB-ZDUSSCGKSA-N 1 2 310.444 1.769 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@H]1CCSC1 ZINC000163126410 408762670 /nfs/dbraw/zinc/76/26/70/408762670.db2.gz OOBMLEWUALFOHB-ZDUSSCGKSA-N 1 2 310.444 1.769 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cc(F)ncc1F ZINC000291524200 408879069 /nfs/dbraw/zinc/87/90/69/408879069.db2.gz ZVARJGOPNCUZNK-UHFFFAOYSA-N 1 2 310.348 1.408 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C(=O)OC)c(C)n2C)C1=O ZINC000291060719 408857282 /nfs/dbraw/zinc/85/72/82/408857282.db2.gz PDZTWFZHXJDDTD-HNNXBMFYSA-N 1 2 319.405 1.339 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C(=O)OC)c(C)n2C)C1=O ZINC000291060719 408857286 /nfs/dbraw/zinc/85/72/86/408857286.db2.gz PDZTWFZHXJDDTD-HNNXBMFYSA-N 1 2 319.405 1.339 20 30 DDEDLO COC(=O)[C@@H]1[C@H](O)CCC[N@@H+]1CCCOc1ccc(C#N)cc1 ZINC000189589537 163107307 /nfs/dbraw/zinc/10/73/07/163107307.db2.gz FJNXGBKHUJTSKC-CVEARBPZSA-N 1 2 318.373 1.325 20 30 DDEDLO COC(=O)[C@@H]1[C@H](O)CCC[N@H+]1CCCOc1ccc(C#N)cc1 ZINC000189589537 163107308 /nfs/dbraw/zinc/10/73/08/163107308.db2.gz FJNXGBKHUJTSKC-CVEARBPZSA-N 1 2 318.373 1.325 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCCN(C)C(=O)C1 ZINC000191152336 163174776 /nfs/dbraw/zinc/17/47/76/163174776.db2.gz CVRPIUOIAXRKRE-UHFFFAOYSA-N 1 2 303.362 1.110 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCCN(C)C(=O)C1 ZINC000191152336 163174779 /nfs/dbraw/zinc/17/47/79/163174779.db2.gz CVRPIUOIAXRKRE-UHFFFAOYSA-N 1 2 303.362 1.110 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+](CCO)C2CCC2)cc1 ZINC000221185372 163334277 /nfs/dbraw/zinc/33/42/77/163334277.db2.gz JKZUOXLTZNXWKX-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+](CCO)C2CCC2)cc1 ZINC000221185372 163334279 /nfs/dbraw/zinc/33/42/79/163334279.db2.gz JKZUOXLTZNXWKX-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO NC(Cc1ccc(Cl)cc1)=[NH+]OC[C@@H]1CCS(=O)(=O)C1 ZINC000278632791 409085305 /nfs/dbraw/zinc/08/53/05/409085305.db2.gz KWIXHBHGBXTORJ-NSHDSACASA-N 1 2 316.810 1.606 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@H](C(C)C)[N@H+]2C[C@@H](C)O[C@@H](C)C2)c1C#N ZINC000278768508 409087431 /nfs/dbraw/zinc/08/74/31/409087431.db2.gz XZBMTISMRUEEOC-WDMOLILDSA-N 1 2 319.409 1.662 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@H](C(C)C)[N@@H+]2C[C@@H](C)O[C@@H](C)C2)c1C#N ZINC000278768508 409087434 /nfs/dbraw/zinc/08/74/34/409087434.db2.gz XZBMTISMRUEEOC-WDMOLILDSA-N 1 2 319.409 1.662 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)c1nccc(C#N)c1[N+](=O)[O-] ZINC000278824928 409096876 /nfs/dbraw/zinc/09/68/76/409096876.db2.gz JMWWKOHCAQRKEQ-UHFFFAOYSA-N 1 2 319.365 1.408 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)c1nccc(C#N)c1[N+](=O)[O-] ZINC000278824928 409096879 /nfs/dbraw/zinc/09/68/79/409096879.db2.gz JMWWKOHCAQRKEQ-UHFFFAOYSA-N 1 2 319.365 1.408 20 30 DDEDLO C#Cc1ccc(C[N@H+]2CC[C@@H](C(=O)OC)C[C@@H]2C(=O)OC)cc1 ZINC000289071797 409215391 /nfs/dbraw/zinc/21/53/91/409215391.db2.gz AIJSVTLHTIBXLG-HZPDHXFCSA-N 1 2 315.369 1.595 20 30 DDEDLO C#Cc1ccc(C[N@@H+]2CC[C@@H](C(=O)OC)C[C@@H]2C(=O)OC)cc1 ZINC000289071797 409215393 /nfs/dbraw/zinc/21/53/93/409215393.db2.gz AIJSVTLHTIBXLG-HZPDHXFCSA-N 1 2 315.369 1.595 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)NCCc1cccs1 ZINC000283845433 409226482 /nfs/dbraw/zinc/22/64/82/409226482.db2.gz BVYGZUMXNHAOTD-CMPLNLGQSA-N 1 2 311.407 1.263 20 30 DDEDLO C#CCO[C@H](C)C(=O)Nc1ccccc1OCCn1cc[nH+]c1 ZINC000294460254 409291398 /nfs/dbraw/zinc/29/13/98/409291398.db2.gz IAXXJOQXDSEJJC-CQSZACIVSA-N 1 2 313.357 1.939 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(C)[C@H](Cc3ccccc3)C2)C1=O ZINC000284927096 409372494 /nfs/dbraw/zinc/37/24/94/409372494.db2.gz YBBRBYQMCNEECS-QZTJIDSGSA-N 1 2 313.445 1.632 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(C)[C@H](Cc3ccccc3)C2)C1=O ZINC000284927096 409372499 /nfs/dbraw/zinc/37/24/99/409372499.db2.gz YBBRBYQMCNEECS-QZTJIDSGSA-N 1 2 313.445 1.632 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)N1CCc2sccc2C1 ZINC000284549705 409349364 /nfs/dbraw/zinc/34/93/64/409349364.db2.gz VWKZFGSLGCQHCQ-JQWIXIFHSA-N 1 2 323.418 1.489 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[N@H+]1CCOCC1(C)C ZINC000290522506 409430951 /nfs/dbraw/zinc/43/09/51/409430951.db2.gz QMNJMVWGORREOZ-UHFFFAOYSA-N 1 2 300.402 1.437 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000290522506 409430956 /nfs/dbraw/zinc/43/09/56/409430956.db2.gz QMNJMVWGORREOZ-UHFFFAOYSA-N 1 2 300.402 1.437 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNc2ncc(C#N)cc2Cl)C1 ZINC000353875169 409531850 /nfs/dbraw/zinc/53/18/50/409531850.db2.gz MMQGSGPQFVCKOC-LLVKDONJSA-N 1 2 322.796 1.904 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNc2ncc(C#N)cc2Cl)C1 ZINC000353875169 409531852 /nfs/dbraw/zinc/53/18/52/409531852.db2.gz MMQGSGPQFVCKOC-LLVKDONJSA-N 1 2 322.796 1.904 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000331473807 409549101 /nfs/dbraw/zinc/54/91/01/409549101.db2.gz SDCLDVCYOYFPBX-CQSZACIVSA-N 1 2 302.378 1.132 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000342277467 409569765 /nfs/dbraw/zinc/56/97/65/409569765.db2.gz DMRBHKGZPMCZRX-UGUYLWEFSA-N 1 2 308.422 1.289 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cccc(F)c1C#N ZINC000345410328 409680029 /nfs/dbraw/zinc/68/00/29/409680029.db2.gz KLNXPMYKMHPXIG-UHFFFAOYSA-N 1 2 319.380 1.880 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cccc(F)c1C#N ZINC000345410328 409680036 /nfs/dbraw/zinc/68/00/36/409680036.db2.gz KLNXPMYKMHPXIG-UHFFFAOYSA-N 1 2 319.380 1.880 20 30 DDEDLO C[N@@H+](CC(=O)NC1(C#N)CCCCC1)C[C@@H](O)C(F)(F)F ZINC000305770012 409765479 /nfs/dbraw/zinc/76/54/79/409765479.db2.gz JLQVZUKPHVMCGH-SNVBAGLBSA-N 1 2 307.316 1.184 20 30 DDEDLO C[N@H+](CC(=O)NC1(C#N)CCCCC1)C[C@@H](O)C(F)(F)F ZINC000305770012 409765482 /nfs/dbraw/zinc/76/54/82/409765482.db2.gz JLQVZUKPHVMCGH-SNVBAGLBSA-N 1 2 307.316 1.184 20 30 DDEDLO C=CC[C@@H](C)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000354435154 409851321 /nfs/dbraw/zinc/85/13/21/409851321.db2.gz RAIBRKMWUGLTAD-TZMCWYRMSA-N 1 2 306.410 1.564 20 30 DDEDLO C=CC[C@@H](C)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000354435154 409851325 /nfs/dbraw/zinc/85/13/25/409851325.db2.gz RAIBRKMWUGLTAD-TZMCWYRMSA-N 1 2 306.410 1.564 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)NCCNc2cccc[nH+]2)c1 ZINC000342772283 409894579 /nfs/dbraw/zinc/89/45/79/409894579.db2.gz BDQMLIMDJVIZGG-UHFFFAOYSA-N 1 2 302.359 1.344 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](C)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000342777814 409896044 /nfs/dbraw/zinc/89/60/44/409896044.db2.gz MDVFUKDPVWABDL-WFASDCNBSA-N 1 2 312.373 1.979 20 30 DDEDLO CC(C)(C)n1ncnc1CN1CC[NH+](CC(=O)NC2CC2)CC1 ZINC000328673793 409951704 /nfs/dbraw/zinc/95/17/04/409951704.db2.gz JJCRLXWSXBVRJT-UHFFFAOYSA-N 1 2 320.441 1.270 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NC[C@@H]1CCC[C@@H]1O)CCC2 ZINC000328690727 409956916 /nfs/dbraw/zinc/95/69/16/409956916.db2.gz DOLSOEHPPUFGKE-IHRRRGAJSA-N 1 2 306.410 1.734 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)N[C@@H]2CCCC23CCOCC3)C1 ZINC000328692522 409957121 /nfs/dbraw/zinc/95/71/21/409957121.db2.gz CGJOSTVIFMDKHN-HUUCEWRRSA-N 1 2 324.469 1.085 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)N[C@@H]2CCCC23CCOCC3)C1 ZINC000328692522 409957127 /nfs/dbraw/zinc/95/71/27/409957127.db2.gz CGJOSTVIFMDKHN-HUUCEWRRSA-N 1 2 324.469 1.085 20 30 DDEDLO C=CCCCCCN(C)C(=O)C(=O)N(C)Cc1[nH+]ccn1C ZINC000297688466 409957915 /nfs/dbraw/zinc/95/79/15/409957915.db2.gz DSUBPMYBVHEFGW-UHFFFAOYSA-N 1 2 306.410 1.583 20 30 DDEDLO C=CCSCCNc1nc2cc(OC)c(OC)cc2c(N)[nH+]1 ZINC000357294390 409960587 /nfs/dbraw/zinc/96/05/87/409960587.db2.gz YNMYAUVPPDPMBS-UHFFFAOYSA-N 1 2 320.418 1.982 20 30 DDEDLO O=C(NCc1cn2ccccc2[nH+]1)N[C@@H]1CCO[C@@H]1C1CC1 ZINC000328834315 409988701 /nfs/dbraw/zinc/98/87/01/409988701.db2.gz LQLVRKFAIBHJLL-UKRRQHHQSA-N 1 2 300.362 1.905 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N[C@H]1CCCn2ncnc21 ZINC000328612334 409936375 /nfs/dbraw/zinc/93/63/75/409936375.db2.gz PGUQQQWPNZAKCS-RYUDHWBXSA-N 1 2 315.381 1.391 20 30 DDEDLO Cc1c([C@H]2OCC[C@@H]2NC(=O)Nc2cc[nH+]c(C)c2)cnn1C ZINC000328633031 409941221 /nfs/dbraw/zinc/94/12/21/409941221.db2.gz SHEVCYIIHYZXCI-LSDHHAIUSA-N 1 2 315.377 1.710 20 30 DDEDLO C[C@]1(n2cc(C[NH2+][C@H]3CCC[C@@H]3C#N)cn2)CCS(=O)(=O)C1 ZINC000350463768 409948622 /nfs/dbraw/zinc/94/86/22/409948622.db2.gz VXFIBVBQYDEAOW-ILXRZTDVSA-N 1 2 322.434 1.199 20 30 DDEDLO CCc1nc2n(n1)CCC[C@H]2NC(=O)CCc1c[nH+]cn1C ZINC000328862351 409995250 /nfs/dbraw/zinc/99/52/50/409995250.db2.gz VRZJGEKHZLWHKT-GFCCVEGCSA-N 1 2 302.382 1.998 20 30 DDEDLO N#Cc1cc(F)ccc1CS(=O)(=O)NCCn1cc[nH+]c1 ZINC000357367557 409996743 /nfs/dbraw/zinc/99/67/43/409996743.db2.gz GJWIMRJECSHKIL-UHFFFAOYSA-N 1 2 308.338 1.013 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000328882365 409998347 /nfs/dbraw/zinc/99/83/47/409998347.db2.gz XCKYIVDMGHSWNB-CHWSQXEVSA-N 1 2 314.393 1.924 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1)C1CCOCC1 ZINC000328875529 409999108 /nfs/dbraw/zinc/99/91/08/409999108.db2.gz JVXZZPFXINXKMK-CFVMTHIKSA-N 1 2 311.426 1.120 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1)C1CCOCC1 ZINC000328875529 409999114 /nfs/dbraw/zinc/99/91/14/409999114.db2.gz JVXZZPFXINXKMK-CFVMTHIKSA-N 1 2 311.426 1.120 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000354665690 410001585 /nfs/dbraw/zinc/00/15/85/410001585.db2.gz LXOYPCXNJCOAQS-UHFFFAOYSA-N 1 2 317.736 1.200 20 30 DDEDLO O=C(NC1CC1)[C@@H]1CCC[N@@H+]1C[C@H]1CCCS(=O)(=O)C1 ZINC000328908078 410006705 /nfs/dbraw/zinc/00/67/05/410006705.db2.gz LXOXZCJBHHIQBR-YPMHNXCESA-N 1 2 300.424 1.395 20 30 DDEDLO O=C(NC1CC1)[C@@H]1CCC[N@H+]1C[C@H]1CCCS(=O)(=O)C1 ZINC000328908078 410006709 /nfs/dbraw/zinc/00/67/09/410006709.db2.gz LXOXZCJBHHIQBR-YPMHNXCESA-N 1 2 300.424 1.395 20 30 DDEDLO C#CC[N@H+](Cc1ccc(F)cc1)[C@@H](C)C(=O)NC(=O)NC1CC1 ZINC000298038375 410088408 /nfs/dbraw/zinc/08/84/08/410088408.db2.gz GLJZZPRDYMTVJP-LBPRGKRZSA-N 1 2 317.364 1.638 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(F)cc1)[C@@H](C)C(=O)NC(=O)NC1CC1 ZINC000298038375 410088413 /nfs/dbraw/zinc/08/84/13/410088413.db2.gz GLJZZPRDYMTVJP-LBPRGKRZSA-N 1 2 317.364 1.638 20 30 DDEDLO Cc1[nH+]ccn1CCNC([O-])=[NH+][C@@H]1CCO[C@]2(CCOC2)C1 ZINC000329128312 410119460 /nfs/dbraw/zinc/11/94/60/410119460.db2.gz VHYRUXMJGWSKPC-UKRRQHHQSA-N 1 2 308.382 1.033 20 30 DDEDLO Cc1[nH+]ccn1CC[NH+]=C([O-])N[C@@H]1CCO[C@]2(CCOC2)C1 ZINC000329128312 410119463 /nfs/dbraw/zinc/11/94/63/410119463.db2.gz VHYRUXMJGWSKPC-UKRRQHHQSA-N 1 2 308.382 1.033 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000351732560 410193930 /nfs/dbraw/zinc/19/39/30/410193930.db2.gz ZKGZZGAGTTVNMD-HNNXBMFYSA-N 1 2 320.437 1.908 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000351732560 410193940 /nfs/dbraw/zinc/19/39/40/410193940.db2.gz ZKGZZGAGTTVNMD-HNNXBMFYSA-N 1 2 320.437 1.908 20 30 DDEDLO Cc1[nH+]ccn1C[C@@H](C)C[NH+]=C([O-])N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000329375025 410262398 /nfs/dbraw/zinc/26/23/98/410262398.db2.gz KOTIHJGKNAAWTJ-IACUBPJLSA-N 1 2 319.409 1.792 20 30 DDEDLO C=CCOc1ccccc1C[N@H+](CCOC)CC(=O)N(C)C ZINC000351825230 410266674 /nfs/dbraw/zinc/26/66/74/410266674.db2.gz WRGSYYRFHFTSMU-UHFFFAOYSA-N 1 2 306.406 1.788 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+](CCOC)CC(=O)N(C)C ZINC000351825230 410266682 /nfs/dbraw/zinc/26/66/82/410266682.db2.gz WRGSYYRFHFTSMU-UHFFFAOYSA-N 1 2 306.406 1.788 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@H]1CC(=O)N(C(C)(C)C)C1 ZINC000329320914 410229478 /nfs/dbraw/zinc/22/94/78/410229478.db2.gz RJRAIULAMBMJAG-KGLIPLIRSA-N 1 2 324.469 1.226 20 30 DDEDLO CC(C)N1C[C@H](NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)CC1=O ZINC000329428448 410291940 /nfs/dbraw/zinc/29/19/40/410291940.db2.gz JOISEJKXJZQUHL-UKRRQHHQSA-N 1 2 323.437 1.596 20 30 DDEDLO CC(C)N1C[C@H](NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)CC1=O ZINC000329428448 410291947 /nfs/dbraw/zinc/29/19/47/410291947.db2.gz JOISEJKXJZQUHL-UKRRQHHQSA-N 1 2 323.437 1.596 20 30 DDEDLO COc1ccccc1NC(=O)[C@@H](C)[N@@H+]1CCN2CCOC[C@@H]2C1 ZINC000329426975 410293253 /nfs/dbraw/zinc/29/32/53/410293253.db2.gz JJGUTKLIEPJGEX-KGLIPLIRSA-N 1 2 319.405 1.688 20 30 DDEDLO COc1ccccc1NC(=O)[C@@H](C)[N@H+]1CCN2CCOC[C@@H]2C1 ZINC000329426975 410293257 /nfs/dbraw/zinc/29/32/57/410293257.db2.gz JJGUTKLIEPJGEX-KGLIPLIRSA-N 1 2 319.405 1.688 20 30 DDEDLO [O-]C(=[NH+][C@H]1CCc2ncnn2C1)N1CCC(n2cc[nH+]c2)CC1 ZINC000329456050 410308153 /nfs/dbraw/zinc/30/81/53/410308153.db2.gz PWWHTBLQQWBPHU-LBPRGKRZSA-N 1 2 315.381 1.041 20 30 DDEDLO O=C(N[C@H]1CCc2ncnn2C1)N1CCC(n2cc[nH+]c2)CC1 ZINC000329456050 410308159 /nfs/dbraw/zinc/30/81/59/410308159.db2.gz PWWHTBLQQWBPHU-LBPRGKRZSA-N 1 2 315.381 1.041 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000358463947 410476455 /nfs/dbraw/zinc/47/64/55/410476455.db2.gz IWYRADKIFHGMDW-KGLIPLIRSA-N 1 2 318.352 1.495 20 30 DDEDLO CCc1n[nH]cc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000298883172 410434875 /nfs/dbraw/zinc/43/48/75/410434875.db2.gz PJYKXUKQMBOATF-UHFFFAOYSA-N 1 2 323.400 1.802 20 30 DDEDLO COC1CC([N@H+](C)CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000352194928 410536004 /nfs/dbraw/zinc/53/60/04/410536004.db2.gz MPMVMXKGONNSPS-UHFFFAOYSA-N 1 2 308.403 1.441 20 30 DDEDLO COC1CC([N@@H+](C)CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000352194928 410536013 /nfs/dbraw/zinc/53/60/13/410536013.db2.gz MPMVMXKGONNSPS-UHFFFAOYSA-N 1 2 308.403 1.441 20 30 DDEDLO CC(C)[N@@H+]1CCC[C@H](CNC(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000330156614 410565757 /nfs/dbraw/zinc/56/57/57/410565757.db2.gz OWLVCZWURCZEDC-SNVBAGLBSA-N 1 2 313.427 1.679 20 30 DDEDLO CC(C)[N@H+]1CCC[C@H](CNC(=O)CSc2n[nH]c(=O)[nH]2)C1 ZINC000330156614 410565759 /nfs/dbraw/zinc/56/57/59/410565759.db2.gz OWLVCZWURCZEDC-SNVBAGLBSA-N 1 2 313.427 1.679 20 30 DDEDLO Cc1cccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000299485427 410595939 /nfs/dbraw/zinc/59/59/39/410595939.db2.gz AUZXWIPNHPGDGS-UHFFFAOYSA-N 1 2 302.378 1.078 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCOc2ccccc2Cl)CC1 ZINC000355487006 410540186 /nfs/dbraw/zinc/54/01/86/410540186.db2.gz VLUXJRMTAJUURQ-UHFFFAOYSA-N 1 2 307.781 1.777 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)CCO1 ZINC000347614580 410629426 /nfs/dbraw/zinc/62/94/26/410629426.db2.gz SHEMTHZQPBPBQC-LBPRGKRZSA-N 1 2 323.343 1.677 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)CCO1 ZINC000347614580 410629433 /nfs/dbraw/zinc/62/94/33/410629433.db2.gz SHEMTHZQPBPBQC-LBPRGKRZSA-N 1 2 323.343 1.677 20 30 DDEDLO C=CCN(CC(F)(F)F)C(=O)C[N@@H+](C)CC(=O)NC(C)C ZINC000355675717 410636870 /nfs/dbraw/zinc/63/68/70/410636870.db2.gz ARESLHJCQNMWSN-UHFFFAOYSA-N 1 2 309.332 1.020 20 30 DDEDLO C=CCN(CC(F)(F)F)C(=O)C[N@H+](C)CC(=O)NC(C)C ZINC000355675717 410636877 /nfs/dbraw/zinc/63/68/77/410636877.db2.gz ARESLHJCQNMWSN-UHFFFAOYSA-N 1 2 309.332 1.020 20 30 DDEDLO C[C@H]1CCCC[C@H]1NC(=O)NC(=O)C[NH+]1CCC(F)(C#N)CC1 ZINC000352480499 410658444 /nfs/dbraw/zinc/65/84/44/410658444.db2.gz CPEDLVLBBLSSAX-QWHCGFSZSA-N 1 2 324.400 1.719 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001120541849 782014894 /nfs/dbraw/zinc/01/48/94/782014894.db2.gz ZVXWGDNNGKRNLY-GFCCVEGCSA-N 1 2 320.441 1.861 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)Nc1cc(C)on1)Cc1ccc(CO)o1 ZINC000352789600 410677673 /nfs/dbraw/zinc/67/76/73/410677673.db2.gz CGRIAQLFVFDJRF-UHFFFAOYSA-N 1 2 319.361 2.085 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)Nc1cc(C)on1)Cc1ccc(CO)o1 ZINC000352789600 410677681 /nfs/dbraw/zinc/67/76/81/410677681.db2.gz CGRIAQLFVFDJRF-UHFFFAOYSA-N 1 2 319.361 2.085 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000352757474 410678082 /nfs/dbraw/zinc/67/80/82/410678082.db2.gz JSGSIZIZUPHZOP-AWEZNQCLSA-N 1 2 315.377 1.633 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@@H+]1Cc1cccc(C#N)c1F ZINC000330634999 410841927 /nfs/dbraw/zinc/84/19/27/410841927.db2.gz CKFNHEURVRCLSM-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@H+]1Cc1cccc(C#N)c1F ZINC000330634999 410841932 /nfs/dbraw/zinc/84/19/32/410841932.db2.gz CKFNHEURVRCLSM-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO C[N@H+](CC(=O)Nc1cc(Cl)ccc1C#N)[C@]1(CO)CCOC1 ZINC000359806754 410890690 /nfs/dbraw/zinc/89/06/90/410890690.db2.gz KJPVRIWAABDXGS-HNNXBMFYSA-N 1 2 323.780 1.233 20 30 DDEDLO C[N@@H+](CC(=O)Nc1cc(Cl)ccc1C#N)[C@]1(CO)CCOC1 ZINC000359806754 410890694 /nfs/dbraw/zinc/89/06/94/410890694.db2.gz KJPVRIWAABDXGS-HNNXBMFYSA-N 1 2 323.780 1.233 20 30 DDEDLO N#Cc1ccc(C(=O)CNC(=O)[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000356231922 410909317 /nfs/dbraw/zinc/90/93/17/410909317.db2.gz TYTOYTDXYZDMNJ-AWEZNQCLSA-N 1 2 308.341 1.316 20 30 DDEDLO CN(C)CC[N@H+](C)CCCN(C)C(=O)c1ccc(C#N)c(O)c1 ZINC000356512681 411063971 /nfs/dbraw/zinc/06/39/71/411063971.db2.gz ZZSFQXVEVTZPQT-UHFFFAOYSA-N 1 2 318.421 1.219 20 30 DDEDLO CN(C)CC[N@@H+](C)CCCN(C)C(=O)c1ccc(C#N)c(O)c1 ZINC000356512681 411063975 /nfs/dbraw/zinc/06/39/75/411063975.db2.gz ZZSFQXVEVTZPQT-UHFFFAOYSA-N 1 2 318.421 1.219 20 30 DDEDLO CN(CCCN(C)C(=O)c1ccc(C#N)c(O)c1)CC[NH+](C)C ZINC000356512681 411063979 /nfs/dbraw/zinc/06/39/79/411063979.db2.gz ZZSFQXVEVTZPQT-UHFFFAOYSA-N 1 2 318.421 1.219 20 30 DDEDLO C[C@H](C#N)C(=O)N(Cc1ccccc1)[C@H](C)C[NH+]1CCOCC1 ZINC000360135517 411068819 /nfs/dbraw/zinc/06/88/19/411068819.db2.gz JQRRNSVSNYKMQS-HZPDHXFCSA-N 1 2 315.417 1.896 20 30 DDEDLO COc1ccc(C#N)cc1CN1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000331251383 411043111 /nfs/dbraw/zinc/04/31/11/411043111.db2.gz PCHMAQPAVMBKLJ-UHFFFAOYSA-N 1 2 316.405 1.998 20 30 DDEDLO N#CCN1CCN(C(=O)c2ccc(Cn3cc[nH+]c3)cc2)CC1 ZINC000353633060 411090867 /nfs/dbraw/zinc/09/08/67/411090867.db2.gz VTAKDGJCESKGOU-UHFFFAOYSA-N 1 2 309.373 1.213 20 30 DDEDLO CN(C)c1cc(C#N)cc(-c2nc(Cc3c[nH+]cn3C)no2)c1 ZINC000349681331 306747209 /nfs/dbraw/zinc/74/72/09/306747209.db2.gz SRFARIMBKWZBSZ-UHFFFAOYSA-N 1 2 308.345 1.999 20 30 DDEDLO N#Cc1cc(C[NH+]2CCN(c3ccc(=O)[nH]n3)CC2)ccc1F ZINC000366100804 418429853 /nfs/dbraw/zinc/42/98/53/418429853.db2.gz ATSMVYKYDJGWSF-UHFFFAOYSA-N 1 2 313.336 1.515 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)C(=O)Nc2cccc(C#N)c2)C1 ZINC000374332468 418518566 /nfs/dbraw/zinc/51/85/66/418518566.db2.gz NNGRHILBRNMKBP-ZDUSSCGKSA-N 1 2 323.356 1.246 20 30 DDEDLO Cn1c2ccc(C[NH+]3CCN(CC#N)CC3)cc2sc1=O ZINC000192622382 222131063 /nfs/dbraw/zinc/13/10/63/222131063.db2.gz GMLQHCAUMTVNNV-UHFFFAOYSA-N 1 2 302.403 1.241 20 30 DDEDLO COc1ccnc(NC2CC[NH+](C[C@@H](C#N)CCC#N)CC2)n1 ZINC000192854830 222138054 /nfs/dbraw/zinc/13/80/54/222138054.db2.gz HFJBEMMBLCKWLR-CYBMUJFWSA-N 1 2 314.393 1.805 20 30 DDEDLO CC#CCCCC(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000195187803 222193373 /nfs/dbraw/zinc/19/33/73/222193373.db2.gz YOLUFEJGBDHABF-UHFFFAOYSA-N 1 2 322.449 1.566 20 30 DDEDLO CC(C)CC[N@H+](CCC#N)CC(=O)N(CCC#N)CCC#N ZINC000266713851 222362487 /nfs/dbraw/zinc/36/24/87/222362487.db2.gz FLGKAWYXGKORHS-UHFFFAOYSA-N 1 2 303.410 1.904 20 30 DDEDLO CC(C)CC[N@@H+](CCC#N)CC(=O)N(CCC#N)CCC#N ZINC000266713851 222362491 /nfs/dbraw/zinc/36/24/91/222362491.db2.gz FLGKAWYXGKORHS-UHFFFAOYSA-N 1 2 303.410 1.904 20 30 DDEDLO CCOC(=O)c1cnn2c1[nH]c(C[N@H+](CC)CCC#N)cc2=O ZINC000266888456 222364741 /nfs/dbraw/zinc/36/47/41/222364741.db2.gz BXZJGJRKNVRTIY-UHFFFAOYSA-N 1 2 317.349 1.347 20 30 DDEDLO CCOC(=O)c1cnn2c1[nH]c(C[N@@H+](CC)CCC#N)cc2=O ZINC000266888456 222364742 /nfs/dbraw/zinc/36/47/42/222364742.db2.gz BXZJGJRKNVRTIY-UHFFFAOYSA-N 1 2 317.349 1.347 20 30 DDEDLO N#Cc1ccnc(C(=O)NCCNc2ccc3ccccc3[nH+]2)c1 ZINC000353813462 418646340 /nfs/dbraw/zinc/64/63/40/418646340.db2.gz JXVSIYHIXHJGSG-UHFFFAOYSA-N 1 2 317.352 2.343 20 30 DDEDLO CO/N=C/C(=O)N[C@@H]1CC[N@H+](C2CC2)[C@@H]1c1ccc(F)c(F)c1 ZINC000377927272 418717652 /nfs/dbraw/zinc/71/76/52/418717652.db2.gz ZLLVGHIXLJNNQP-WUIYKIHHSA-N 1 2 323.343 1.991 20 30 DDEDLO CO/N=C/C(=O)N[C@@H]1CC[N@@H+](C2CC2)[C@@H]1c1ccc(F)c(F)c1 ZINC000377927272 418717654 /nfs/dbraw/zinc/71/76/54/418717654.db2.gz ZLLVGHIXLJNNQP-WUIYKIHHSA-N 1 2 323.343 1.991 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCO[C@H](COCC2CC2)C1 ZINC000362594286 418756960 /nfs/dbraw/zinc/75/69/60/418756960.db2.gz ZXJVKXUVMQEYDQ-INIZCTEOSA-N 1 2 310.438 1.538 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCO[C@H](COCC2CC2)C1 ZINC000362594286 418756962 /nfs/dbraw/zinc/75/69/62/418756962.db2.gz ZXJVKXUVMQEYDQ-INIZCTEOSA-N 1 2 310.438 1.538 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCC(C(=O)c2cccs2)CC1 ZINC000363643014 418768216 /nfs/dbraw/zinc/76/82/16/418768216.db2.gz IXJMBAONNDCFGW-GFCCVEGCSA-N 1 2 304.415 1.781 20 30 DDEDLO CC(C)[C@@H](C(=O)N[C@@H]1CCC[C@@H]1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000408331346 418798594 /nfs/dbraw/zinc/79/85/94/418798594.db2.gz QYIZNQRSTRZCKZ-JKJDWNRSSA-N 1 2 307.438 1.929 20 30 DDEDLO CC(C)[C@@H](C(=O)N[C@@H]1CCC[C@@H]1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000408331346 418798597 /nfs/dbraw/zinc/79/85/97/418798597.db2.gz QYIZNQRSTRZCKZ-JKJDWNRSSA-N 1 2 307.438 1.929 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)CN(C)Cc1cn2c([nH+]1)CCCC2 ZINC000372995078 418919835 /nfs/dbraw/zinc/91/98/35/418919835.db2.gz HLRWLDLSBGCKJE-CQSZACIVSA-N 1 2 317.437 1.659 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN1CC(=O)NC1(C#N)CCCCC1 ZINC000373176990 418930196 /nfs/dbraw/zinc/93/01/96/418930196.db2.gz NLFKGHMECWXCKR-CQSZACIVSA-N 1 2 315.421 1.900 20 30 DDEDLO N#CCC1(O)CN(c2[nH+]cnc3c2cnn3-c2ccccc2)C1 ZINC000430519624 420127309 /nfs/dbraw/zinc/12/73/09/420127309.db2.gz KRIATOYWCKMSBR-UHFFFAOYSA-N 1 2 306.329 1.280 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1nc(NC(C)(C)C)nc(NCC)[nH+]1 ZINC000425262209 420332800 /nfs/dbraw/zinc/33/28/00/420332800.db2.gz GOJDGBNELJBQAV-RYUDHWBXSA-N 1 2 320.441 1.361 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1nc(NCC)nc(NC(C)(C)C)[nH+]1 ZINC000425262209 420332807 /nfs/dbraw/zinc/33/28/07/420332807.db2.gz GOJDGBNELJBQAV-RYUDHWBXSA-N 1 2 320.441 1.361 20 30 DDEDLO COC(=O)c1cnc([C@H](C)[NH2+][C@@H](C)C(=O)N(C)CCC#N)s1 ZINC000425493288 420398493 /nfs/dbraw/zinc/39/84/93/420398493.db2.gz VCYHJVJVXFASDX-UWVGGRQHSA-N 1 2 324.406 1.341 20 30 DDEDLO C=CCC[C@@H](NC(=O)c1ccc2[nH+]ccn2c1)C(=O)OCC ZINC000456625380 420517910 /nfs/dbraw/zinc/51/79/10/420517910.db2.gz JYEPRVGZRBHOFD-CYBMUJFWSA-N 1 2 301.346 1.962 20 30 DDEDLO Cc1cc(C#N)nc(NCc2ccc(N3CCO[C@@H](C)C3)[nH+]c2)n1 ZINC000439099092 420479029 /nfs/dbraw/zinc/47/90/29/420479029.db2.gz KLLZPVVTJLEUIW-ZDUSSCGKSA-N 1 2 324.388 1.889 20 30 DDEDLO CCCC[N@@H+]1CCOC[C@H]1C(=O)NCc1ccc(C#N)c(F)c1 ZINC000456848312 420553408 /nfs/dbraw/zinc/55/34/08/420553408.db2.gz WKIJIFXMFAIOQZ-INIZCTEOSA-N 1 2 319.380 1.814 20 30 DDEDLO CCCC[N@H+]1CCOC[C@H]1C(=O)NCc1ccc(C#N)c(F)c1 ZINC000456848312 420553411 /nfs/dbraw/zinc/55/34/11/420553411.db2.gz WKIJIFXMFAIOQZ-INIZCTEOSA-N 1 2 319.380 1.814 20 30 DDEDLO C=C(C)CCNC(=O)NC[C@H]1CCCN1c1cc(NC)[nH+]cn1 ZINC000458499218 420673776 /nfs/dbraw/zinc/67/37/76/420673776.db2.gz ZKUSVWHBZJHTNS-CYBMUJFWSA-N 1 2 318.425 1.753 20 30 DDEDLO C=C(C)CCNC(=O)NC[C@H]1CCCN1c1cc(NC)nc[nH+]1 ZINC000458499218 420673781 /nfs/dbraw/zinc/67/37/81/420673781.db2.gz ZKUSVWHBZJHTNS-CYBMUJFWSA-N 1 2 318.425 1.753 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000458987596 420775775 /nfs/dbraw/zinc/77/57/75/420775775.db2.gz MNHOXILHDFBGBU-UHFFFAOYSA-N 1 2 305.426 1.460 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)/C=C/c2ccc(C#N)cc2)CCO1 ZINC000493323100 420819615 /nfs/dbraw/zinc/81/96/15/420819615.db2.gz OFTLQAPKACJWFX-IWAYRVGRSA-N 1 2 313.401 1.798 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)/C=C/c2ccc(C#N)cc2)CCO1 ZINC000493323100 420819620 /nfs/dbraw/zinc/81/96/20/420819620.db2.gz OFTLQAPKACJWFX-IWAYRVGRSA-N 1 2 313.401 1.798 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C(=O)[C@]2(C#N)C[C@@H]2C)CC1 ZINC000448708814 420885360 /nfs/dbraw/zinc/88/53/60/420885360.db2.gz OUVPYYWZJONTCK-ZBEGNZNMSA-N 1 2 302.378 1.485 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000493826591 420951629 /nfs/dbraw/zinc/95/16/29/420951629.db2.gz RMYHYYLEYKJCCF-XVJNWHFHSA-N 1 2 302.334 1.568 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N2CCCC2)CC1 ZINC000448973513 420927332 /nfs/dbraw/zinc/92/73/32/420927332.db2.gz BVEDMOXOUTWMFU-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N2CCCC2)CC1 ZINC000448973513 420927334 /nfs/dbraw/zinc/92/73/34/420927334.db2.gz BVEDMOXOUTWMFU-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C[C@@H](CNC(=O)Nc1cnc(C#N)c(Cl)c1)[NH+]1CCOCC1 ZINC000455756377 421058397 /nfs/dbraw/zinc/05/83/97/421058397.db2.gz NUIWNQOUGWJMSK-JTQLQIEISA-N 1 2 323.784 1.449 20 30 DDEDLO N#Cc1cnc(NCC2([NH+]3CCOCC3)CC2)c([N+](=O)[O-])c1 ZINC000450486653 421203327 /nfs/dbraw/zinc/20/33/27/421203327.db2.gz QNYVZWWXYQRUDC-UHFFFAOYSA-N 1 2 303.322 1.138 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCc2nc(C(C)(C)C)ncc2C1 ZINC000528594522 421500490 /nfs/dbraw/zinc/50/04/90/421500490.db2.gz QNFZGGGMHZKGRS-LBPRGKRZSA-N 1 2 302.422 1.823 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCc2nc(C(C)(C)C)ncc2C1 ZINC000528594522 421500494 /nfs/dbraw/zinc/50/04/94/421500494.db2.gz QNFZGGGMHZKGRS-LBPRGKRZSA-N 1 2 302.422 1.823 20 30 DDEDLO COc1cccc(N2C[C@@H]([NH2+]C[C@H](C#N)CCC#N)CC2=O)c1 ZINC000552861618 421595391 /nfs/dbraw/zinc/59/53/91/421595391.db2.gz PHXZJFXUUKYXHX-KBPBESRZSA-N 1 2 312.373 1.834 20 30 DDEDLO COc1ccccc1[C@@H]1C[C@H]1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000569106933 421624791 /nfs/dbraw/zinc/62/47/91/421624791.db2.gz SCGXPMAZUVOHRU-VBQJREDUSA-N 1 2 301.390 1.759 20 30 DDEDLO N#CC1(CCC[N@@H+]2CCO[C@@H](C(=O)C3CC3)C2)CCOCC1 ZINC000570346231 421640659 /nfs/dbraw/zinc/64/06/59/421640659.db2.gz GTUOXFCGFBVEGB-OAHLLOKOSA-N 1 2 306.406 1.767 20 30 DDEDLO N#CC1(CCC[N@H+]2CCO[C@@H](C(=O)C3CC3)C2)CCOCC1 ZINC000570346231 421640662 /nfs/dbraw/zinc/64/06/62/421640662.db2.gz GTUOXFCGFBVEGB-OAHLLOKOSA-N 1 2 306.406 1.767 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCc3ccc(O)cc3C2)cc1 ZINC000533072735 421667479 /nfs/dbraw/zinc/66/74/79/421667479.db2.gz FYETWIINFJWQOB-UHFFFAOYSA-N 1 2 321.380 1.938 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCc3ccc(O)cc3C2)cc1 ZINC000533072735 421667481 /nfs/dbraw/zinc/66/74/81/421667481.db2.gz FYETWIINFJWQOB-UHFFFAOYSA-N 1 2 321.380 1.938 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)NC2CCCCC2)C1=O ZINC000532205586 421652152 /nfs/dbraw/zinc/65/21/52/421652152.db2.gz NVEWSJHZUVJREE-UKRRQHHQSA-N 1 2 307.438 1.543 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)NC2CCCCC2)C1=O ZINC000532205586 421652155 /nfs/dbraw/zinc/65/21/55/421652155.db2.gz NVEWSJHZUVJREE-UKRRQHHQSA-N 1 2 307.438 1.543 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCSC[C@H]2CCO)c1C#N ZINC000535963706 421715857 /nfs/dbraw/zinc/71/58/57/421715857.db2.gz LSVNAFRMYKJTNV-CYBMUJFWSA-N 1 2 320.414 1.645 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCSC[C@H]2CCO)c1C#N ZINC000535963706 421715859 /nfs/dbraw/zinc/71/58/59/421715859.db2.gz LSVNAFRMYKJTNV-CYBMUJFWSA-N 1 2 320.414 1.645 20 30 DDEDLO COC(=O)c1cccc(CN(C)CC[NH+]2CCOCC2)c1C#N ZINC000535964653 421716514 /nfs/dbraw/zinc/71/65/14/421716514.db2.gz UOPYRLUDFQALOH-UHFFFAOYSA-N 1 2 317.389 1.109 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)CCN2CCOCC2)c1C#N ZINC000535964653 421716517 /nfs/dbraw/zinc/71/65/17/421716517.db2.gz UOPYRLUDFQALOH-UHFFFAOYSA-N 1 2 317.389 1.109 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)CCN2CCOCC2)c1C#N ZINC000535964653 421716518 /nfs/dbraw/zinc/71/65/18/421716518.db2.gz UOPYRLUDFQALOH-UHFFFAOYSA-N 1 2 317.389 1.109 20 30 DDEDLO CN1CCC[C@H]([NH+]2CCN(c3cccc(F)c3C#N)CC2)C1=O ZINC000558442030 421806670 /nfs/dbraw/zinc/80/66/70/421806670.db2.gz KOKUNICTOPPDCJ-INIZCTEOSA-N 1 2 316.380 1.440 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]CCS(=O)(=O)c2ccc(C#N)cc2)o1 ZINC000542611721 421825768 /nfs/dbraw/zinc/82/57/68/421825768.db2.gz KZRNARUFRNOZMD-SNVBAGLBSA-N 1 2 320.374 1.374 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@@H]1[C@H](C(=O)OC(C)(C)C)C1(C)C ZINC000573687528 421998392 /nfs/dbraw/zinc/99/83/92/421998392.db2.gz AOYWORXZEFNRTR-XWCIJXRUSA-N 1 2 323.437 1.560 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)NC[C@@H]1COCC[N@H+]1C ZINC000637441573 422213915 /nfs/dbraw/zinc/21/39/15/422213915.db2.gz DPEGOOSGJIJRHQ-CQSZACIVSA-N 1 2 307.394 1.350 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000637441573 422213917 /nfs/dbraw/zinc/21/39/17/422213917.db2.gz DPEGOOSGJIJRHQ-CQSZACIVSA-N 1 2 307.394 1.350 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1csc(-c2ccoc2)n1 ZINC000576403522 422374955 /nfs/dbraw/zinc/37/49/55/422374955.db2.gz VOXARMATUUMYQB-OAHLLOKOSA-N 1 2 318.402 1.906 20 30 DDEDLO C[C@H](O)[C@H]1CCN(c2cc(NCC3(CC#N)CC3)[nH+]cn2)C1 ZINC000575773924 422325279 /nfs/dbraw/zinc/32/52/79/422325279.db2.gz HFKXGOFJCZCLKD-STQMWFEESA-N 1 2 301.394 1.789 20 30 DDEDLO C[C@H](O)[C@H]1CCN(c2cc(NCC3(CC#N)CC3)nc[nH+]2)C1 ZINC000575773924 422325284 /nfs/dbraw/zinc/32/52/84/422325284.db2.gz HFKXGOFJCZCLKD-STQMWFEESA-N 1 2 301.394 1.789 20 30 DDEDLO N#Cc1ccc(C2CC[NH+](CC(=O)Nc3ccon3)CC2)nc1 ZINC000602914029 422439089 /nfs/dbraw/zinc/43/90/89/422439089.db2.gz WURUVWKKXRZKDB-UHFFFAOYSA-N 1 2 311.345 1.759 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635990806 422542706 /nfs/dbraw/zinc/54/27/06/422542706.db2.gz CGCPXFUIEAXYBD-ZACQAIPSSA-N 1 2 316.401 1.729 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635990806 422542712 /nfs/dbraw/zinc/54/27/12/422542712.db2.gz CGCPXFUIEAXYBD-ZACQAIPSSA-N 1 2 316.401 1.729 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N[C@@H]2CCC[C@H](C)[C@@H]2C)nn1 ZINC000640765029 423173125 /nfs/dbraw/zinc/17/31/25/423173125.db2.gz PDXQLNKVFHSDIM-OFQRWUPVSA-N 1 2 317.437 1.332 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N2C[C@@H](C)C[C@H](C)C2)nn1 ZINC000640813767 423206642 /nfs/dbraw/zinc/20/66/42/423206642.db2.gz XJTPPYDHLUUEHG-SOUVJXGZSA-N 1 2 317.437 1.457 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H](CNC(=O)CCC)C2)nn1 ZINC000653536521 423518245 /nfs/dbraw/zinc/51/82/45/423518245.db2.gz YLYAOHJSRMQYOJ-HNNXBMFYSA-N 1 2 319.453 1.983 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H](CNC(=O)CCC)C2)nn1 ZINC000653536521 423518249 /nfs/dbraw/zinc/51/82/49/423518249.db2.gz YLYAOHJSRMQYOJ-HNNXBMFYSA-N 1 2 319.453 1.983 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(OC[C@@H]3CCOC3)CC2)nn1 ZINC000653593488 423541513 /nfs/dbraw/zinc/54/15/13/423541513.db2.gz CSRPBSDMNINLDF-OAHLLOKOSA-N 1 2 320.437 1.872 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)NS(=O)(=O)CCn1cc[nH+]c1 ZINC000641537555 423704669 /nfs/dbraw/zinc/70/46/69/423704669.db2.gz ZRLDYSRGNGVNDR-MRXNPFEDSA-N 1 2 319.430 1.990 20 30 DDEDLO N#Cc1cc(F)ccc1C[NH+]1CCC(c2n[nH]c(=O)[nH]2)CC1 ZINC000377529048 269993353 /nfs/dbraw/zinc/99/33/53/269993353.db2.gz YHXZELBHUXOEIX-UHFFFAOYSA-N 1 2 301.325 1.901 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCO[C@](C)(C(F)F)C1 ZINC000662373970 424593054 /nfs/dbraw/zinc/59/30/54/424593054.db2.gz LNIQSTPQKKLOEW-DOMZBBRYSA-N 1 2 302.365 1.932 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCO[C@](C)(C(F)F)C1 ZINC000662373970 424593057 /nfs/dbraw/zinc/59/30/57/424593057.db2.gz LNIQSTPQKKLOEW-DOMZBBRYSA-N 1 2 302.365 1.932 20 30 DDEDLO C=CCOCCCNc1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000664387799 424570919 /nfs/dbraw/zinc/57/09/19/424570919.db2.gz IDMNWTBDPUGQBA-UONOGXRCSA-N 1 2 306.410 1.688 20 30 DDEDLO C=CCOCCCNc1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000664387799 424570927 /nfs/dbraw/zinc/57/09/27/424570927.db2.gz IDMNWTBDPUGQBA-UONOGXRCSA-N 1 2 306.410 1.688 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@H+](C)CC(=O)Nc1ccc(C#N)cc1 ZINC000362583300 267130767 /nfs/dbraw/zinc/13/07/67/267130767.db2.gz IJXKMEYLAWPBLE-GFCCVEGCSA-N 1 2 316.405 1.732 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@@H+](C)CC(=O)Nc1ccc(C#N)cc1 ZINC000362583300 267130769 /nfs/dbraw/zinc/13/07/69/267130769.db2.gz IJXKMEYLAWPBLE-GFCCVEGCSA-N 1 2 316.405 1.732 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000356103796 267275865 /nfs/dbraw/zinc/27/58/65/267275865.db2.gz LXGJBDYZJMYMTK-CZUORRHYSA-N 1 2 313.401 1.802 20 30 DDEDLO C[N@@H+]1CCc2nc(NC(=O)Cc3ccc(C#N)nc3)sc2C1 ZINC000530261600 267341805 /nfs/dbraw/zinc/34/18/05/267341805.db2.gz NTIQQYAVHLUONI-UHFFFAOYSA-N 1 2 313.386 1.579 20 30 DDEDLO C[N@H+]1CCc2nc(NC(=O)Cc3ccc(C#N)nc3)sc2C1 ZINC000530261600 267341807 /nfs/dbraw/zinc/34/18/07/267341807.db2.gz NTIQQYAVHLUONI-UHFFFAOYSA-N 1 2 313.386 1.579 20 30 DDEDLO Cc1ccc([C@H](C[NH+]2CCOCC2)Nc2ccnc(C#N)n2)cc1 ZINC000519181554 268045848 /nfs/dbraw/zinc/04/58/48/268045848.db2.gz GPZKIZLIDFONHD-INIZCTEOSA-N 1 2 323.400 1.564 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@@H+]2CCC[C@H]2c2ncon2)cc1 ZINC000377498304 268178249 /nfs/dbraw/zinc/17/82/49/268178249.db2.gz PHUCDMATCQUHDL-HIFRSBDPSA-N 1 2 314.345 1.518 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@H+]2CCC[C@H]2c2ncon2)cc1 ZINC000377498304 268178251 /nfs/dbraw/zinc/17/82/51/268178251.db2.gz PHUCDMATCQUHDL-HIFRSBDPSA-N 1 2 314.345 1.518 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[C@H]([NH+]3CC=CC3)C2)s1 ZINC000377062194 268196102 /nfs/dbraw/zinc/19/61/02/268196102.db2.gz PLRRJFYYMAHWEA-NSHDSACASA-N 1 2 309.416 1.255 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@@H](c3nnc[nH]3)C2)c1 ZINC000374053301 268266267 /nfs/dbraw/zinc/26/62/67/268266267.db2.gz XWEMMZBWOQYLJU-CYBMUJFWSA-N 1 2 310.361 1.494 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCC[C@@H](c3nnc[nH]3)C2)c1 ZINC000374053301 268266270 /nfs/dbraw/zinc/26/62/70/268266270.db2.gz XWEMMZBWOQYLJU-CYBMUJFWSA-N 1 2 310.361 1.494 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N1CC[NH2+][C@@H](c2cccnc2)C1 ZINC000374347918 268280009 /nfs/dbraw/zinc/28/00/09/268280009.db2.gz FIILOWUAHUBZEA-MRXNPFEDSA-N 1 2 322.368 1.505 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@@H+]2C[C@@H](O)C3(CC3)C2)C1=O ZINC000364437452 268304572 /nfs/dbraw/zinc/30/45/72/268304572.db2.gz ANGVYJONXNJBCI-NWDGAFQWSA-N 1 2 303.387 1.182 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@H+]2C[C@@H](O)C3(CC3)C2)C1=O ZINC000364437452 268304575 /nfs/dbraw/zinc/30/45/75/268304575.db2.gz ANGVYJONXNJBCI-NWDGAFQWSA-N 1 2 303.387 1.182 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(F)cc3C#N)C[C@@H]21 ZINC000135152591 277130418 /nfs/dbraw/zinc/13/04/18/277130418.db2.gz HTUUKNCZYDCDBG-KBPBESRZSA-N 1 2 304.325 1.244 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(F)cc3C#N)C[C@@H]21 ZINC000135152591 277130419 /nfs/dbraw/zinc/13/04/19/277130419.db2.gz HTUUKNCZYDCDBG-KBPBESRZSA-N 1 2 304.325 1.244 20 30 DDEDLO C[N@@H+]1CCc2nc(NC(=O)[C@@H]3CCc4nncn4C3)sc2C1 ZINC000330321447 277188247 /nfs/dbraw/zinc/18/82/47/277188247.db2.gz FYNCNVGPWLQRTH-SECBINFHSA-N 1 2 318.406 1.573 20 30 DDEDLO C[N@H+]1CCc2nc(NC(=O)[C@@H]3CCc4nncn4C3)sc2C1 ZINC000330321447 277188248 /nfs/dbraw/zinc/18/82/48/277188248.db2.gz FYNCNVGPWLQRTH-SECBINFHSA-N 1 2 318.406 1.573 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@@H]1C ZINC000459429408 277353171 /nfs/dbraw/zinc/35/31/71/277353171.db2.gz KJNOPFGLOYXUBT-ZYHUDNBSSA-N 1 2 303.318 1.850 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@@H]1C ZINC000459429408 277353172 /nfs/dbraw/zinc/35/31/72/277353172.db2.gz KJNOPFGLOYXUBT-ZYHUDNBSSA-N 1 2 303.318 1.850 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000121396030 277367515 /nfs/dbraw/zinc/36/75/15/277367515.db2.gz SHVHZVNAPJLZFU-OAHLLOKOSA-N 1 2 320.414 1.718 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000121396030 277367516 /nfs/dbraw/zinc/36/75/16/277367516.db2.gz SHVHZVNAPJLZFU-OAHLLOKOSA-N 1 2 320.414 1.718 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@H](O)C[N@@H+](C)C[C@@H](C)C#N)cc1 ZINC000299172545 278201408 /nfs/dbraw/zinc/20/14/08/278201408.db2.gz TZHIZJPLUVRRIW-SWLSCSKDSA-N 1 2 305.378 1.476 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@H](O)C[N@H+](C)C[C@@H](C)C#N)cc1 ZINC000299172545 278201409 /nfs/dbraw/zinc/20/14/09/278201409.db2.gz TZHIZJPLUVRRIW-SWLSCSKDSA-N 1 2 305.378 1.476 20 30 DDEDLO CC[C@@H](C(N)=O)[NH+]1CCN([C@@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348331216 280090487 /nfs/dbraw/zinc/09/04/87/280090487.db2.gz QPQVISZFMSYAFG-GJZGRUSLSA-N 1 2 320.824 1.786 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)CC2(C#N)CC2)[C@@H](c2ccccc2)C1 ZINC000248405766 290049275 /nfs/dbraw/zinc/04/92/75/290049275.db2.gz AVCDYWUSLBORHA-OAHLLOKOSA-N 1 2 319.430 1.609 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)CC2(C#N)CC2)[C@@H](c2ccccc2)C1 ZINC000248405766 290049276 /nfs/dbraw/zinc/04/92/76/290049276.db2.gz AVCDYWUSLBORHA-OAHLLOKOSA-N 1 2 319.430 1.609 20 30 DDEDLO COc1ccc(/C=C\C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1OC ZINC000493805676 295061342 /nfs/dbraw/zinc/06/13/42/295061342.db2.gz BHXBIIZRTFMTLW-KMDWOBIYSA-N 1 2 317.389 1.677 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[N@@H+](C)[C@@H](C)[C@@H]1C ZINC000408084898 301075536 /nfs/dbraw/zinc/07/55/36/301075536.db2.gz UWAHNQCYWDXTAJ-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[N@H+](C)[C@@H](C)[C@@H]1C ZINC000408084898 301075540 /nfs/dbraw/zinc/07/55/40/301075540.db2.gz UWAHNQCYWDXTAJ-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)c(=O)n(C)c1 ZINC000330019891 301345379 /nfs/dbraw/zinc/34/53/79/301345379.db2.gz VUHIFDGOCPNFQM-OAHLLOKOSA-N 1 2 319.405 1.925 20 30 DDEDLO Cc1cc(NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)c(=O)n(C)c1 ZINC000330019891 301345383 /nfs/dbraw/zinc/34/53/83/301345383.db2.gz VUHIFDGOCPNFQM-OAHLLOKOSA-N 1 2 319.405 1.925 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[NH+]1CCN(CCCF)CC1 ZINC000402117805 307297291 /nfs/dbraw/zinc/29/72/91/307297291.db2.gz AXSCUSBHHJXDIJ-MRXNPFEDSA-N 1 2 321.396 1.275 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000548610065 307779325 /nfs/dbraw/zinc/77/93/25/307779325.db2.gz KEWHIYUUWANAGU-OAHLLOKOSA-N 1 2 309.373 1.911 20 30 DDEDLO N#CCCC1CCN(C(=O)C2([NH+]3CCOCC3)CCC2)CC1 ZINC000567738124 333048605 /nfs/dbraw/zinc/04/86/05/333048605.db2.gz AKAMMFJAGFYZBG-UHFFFAOYSA-N 1 2 305.422 1.784 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[NH+]1CCN(c2cccs2)CC1 ZINC000526056868 333107756 /nfs/dbraw/zinc/10/77/56/333107756.db2.gz YOFYGYGSTRQXSP-CYBMUJFWSA-N 1 2 318.446 1.821 20 30 DDEDLO COc1ccc(C[C@@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000518778925 333166128 /nfs/dbraw/zinc/16/61/28/333166128.db2.gz WDQZEWYOHFLWBW-DYVFJYSZSA-N 1 2 303.406 1.834 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCO[C@@]2(CCSC2)C1 ZINC000563073574 333325045 /nfs/dbraw/zinc/32/50/45/333325045.db2.gz WWLRBJTWTZBTIS-ZBFHGGJFSA-N 1 2 310.463 1.783 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCO[C@@]2(CCSC2)C1 ZINC000563073574 333325046 /nfs/dbraw/zinc/32/50/46/333325046.db2.gz WWLRBJTWTZBTIS-ZBFHGGJFSA-N 1 2 310.463 1.783 20 30 DDEDLO N#CCCCC[NH+]1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000198732279 333333781 /nfs/dbraw/zinc/33/37/81/333333781.db2.gz RILPHRQJSLMVOE-UHFFFAOYSA-N 1 2 321.446 1.828 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)N(CC#N)C2CC2)CC1 ZINC000531368121 333346601 /nfs/dbraw/zinc/34/66/01/333346601.db2.gz NERCUNFMJRMYEG-UHFFFAOYSA-N 1 2 301.394 1.147 20 30 DDEDLO COc1cc2c(cc1OC)C[N@H+](CC(=O)NCCCC#N)CC2 ZINC000345155344 335302238 /nfs/dbraw/zinc/30/22/38/335302238.db2.gz UMFKQENTWAQZPD-UHFFFAOYSA-N 1 2 317.389 1.482 20 30 DDEDLO COc1cc2c(cc1OC)C[N@@H+](CC(=O)NCCCC#N)CC2 ZINC000345155344 335302240 /nfs/dbraw/zinc/30/22/40/335302240.db2.gz UMFKQENTWAQZPD-UHFFFAOYSA-N 1 2 317.389 1.482 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000582364436 336945630 /nfs/dbraw/zinc/94/56/30/336945630.db2.gz HCMWPAZPWOQTEC-MLGOLLRUSA-N 1 2 304.394 1.097 20 30 DDEDLO N#Cc1nccc(NC[C@@H](c2cccs2)[NH+]2CCOCC2)n1 ZINC000583842072 337340767 /nfs/dbraw/zinc/34/07/67/337340767.db2.gz AUOPMSZTAUTQSH-LBPRGKRZSA-N 1 2 315.402 1.317 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000515032678 337977295 /nfs/dbraw/zinc/97/72/95/337977295.db2.gz MYMXHMPJBIJCFK-NFAWXSAZSA-N 1 2 304.394 1.478 20 30 DDEDLO CC[N@H+](Cc1cc(Cl)cc(S(N)(=O)=O)c1)C[C@H](C)C#N ZINC000516297572 338010130 /nfs/dbraw/zinc/01/01/30/338010130.db2.gz GMTZIUHIEKMRHK-SNVBAGLBSA-N 1 2 315.826 1.969 20 30 DDEDLO CC[N@@H+](Cc1cc(Cl)cc(S(N)(=O)=O)c1)C[C@H](C)C#N ZINC000516297572 338010129 /nfs/dbraw/zinc/01/01/29/338010129.db2.gz GMTZIUHIEKMRHK-SNVBAGLBSA-N 1 2 315.826 1.969 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc([N+](=O)[O-])cc2C)CC1 ZINC000134061026 341205703 /nfs/dbraw/zinc/20/57/03/341205703.db2.gz ULEKFLWOUYMBBS-UHFFFAOYSA-N 1 2 317.345 1.059 20 30 DDEDLO CCC(=O)Nc1cccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000568038444 341647242 /nfs/dbraw/zinc/64/72/42/341647242.db2.gz NFYJPNLWHMYXCS-INIZCTEOSA-N 1 2 302.378 1.609 20 30 DDEDLO C=C[C@H](O)C(=O)Nc1ccccc1C[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000666647225 485311052 /nfs/dbraw/zinc/31/10/52/485311052.db2.gz FMGAQBFOVWLJMK-ZENOOKHLSA-N 1 2 304.390 1.781 20 30 DDEDLO C=C[C@H](O)C(=O)Nc1ccccc1C[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000666647225 485311058 /nfs/dbraw/zinc/31/10/58/485311058.db2.gz FMGAQBFOVWLJMK-ZENOOKHLSA-N 1 2 304.390 1.781 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)N1CCn2cc(C)[nH+]c2C1 ZINC000672783691 485347357 /nfs/dbraw/zinc/34/73/57/485347357.db2.gz YNJZBLVYDOCYOE-LBPRGKRZSA-N 1 2 304.394 1.395 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC000673288495 485404931 /nfs/dbraw/zinc/40/49/31/485404931.db2.gz LQTYBBSBCSAVLW-HNNXBMFYSA-N 1 2 301.390 1.324 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC000673288495 485404934 /nfs/dbraw/zinc/40/49/34/485404934.db2.gz LQTYBBSBCSAVLW-HNNXBMFYSA-N 1 2 301.390 1.324 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[NH+](CCO)CCO ZINC000153861263 500578557 /nfs/dbraw/zinc/57/85/57/500578557.db2.gz DWHILALFQIYQBL-UHFFFAOYSA-N 1 2 310.419 1.190 20 30 DDEDLO C[C@H](NC(=O)NCc1ccc(N(C)C)[nH+]c1)C1CCOCC1 ZINC000331031674 534187676 /nfs/dbraw/zinc/18/76/76/534187676.db2.gz TXVACDYPJNZTQN-LBPRGKRZSA-N 1 2 306.410 1.966 20 30 DDEDLO Cc1nc(NCCC[N@@H+]2CCNC(=O)C2)c(Cl)cc1C#N ZINC000292651856 534489128 /nfs/dbraw/zinc/48/91/28/534489128.db2.gz PIPHZHPHNOUQGO-UHFFFAOYSA-N 1 2 307.785 1.149 20 30 DDEDLO Cc1nc(NCCC[N@H+]2CCNC(=O)C2)c(Cl)cc1C#N ZINC000292651856 534489131 /nfs/dbraw/zinc/48/91/31/534489131.db2.gz PIPHZHPHNOUQGO-UHFFFAOYSA-N 1 2 307.785 1.149 20 30 DDEDLO N#CCCN(C(=O)C[N@H+]1CCSC[C@@H]1CO)c1ccccc1 ZINC000343715148 534631914 /nfs/dbraw/zinc/63/19/14/534631914.db2.gz ICNTVSOJHLIYOM-HNNXBMFYSA-N 1 2 319.430 1.343 20 30 DDEDLO N#CCCN(C(=O)C[N@@H+]1CCSC[C@@H]1CO)c1ccccc1 ZINC000343715148 534631921 /nfs/dbraw/zinc/63/19/21/534631921.db2.gz ICNTVSOJHLIYOM-HNNXBMFYSA-N 1 2 319.430 1.343 20 30 DDEDLO N#CCNC(=O)C[N@H+](Cc1cccs1)[C@H]1C=C[C@@H](CO)C1 ZINC000347325185 534672647 /nfs/dbraw/zinc/67/26/47/534672647.db2.gz AGWVVQWJQIWCKJ-OLZOCXBDSA-N 1 2 305.403 1.127 20 30 DDEDLO N#CCNC(=O)C[N@@H+](Cc1cccs1)[C@H]1C=C[C@@H](CO)C1 ZINC000347325185 534672655 /nfs/dbraw/zinc/67/26/55/534672655.db2.gz AGWVVQWJQIWCKJ-OLZOCXBDSA-N 1 2 305.403 1.127 20 30 DDEDLO Cn1cc[nH+]c1CN1C[C@@H](F)C[C@H]1CNC(=O)C[C@H]1CCOC1 ZINC000329893405 534787706 /nfs/dbraw/zinc/78/77/06/534787706.db2.gz AOUFMCNNHVWMRA-RDBSUJKOSA-N 1 2 324.400 1.716 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](Cc2cncc(Br)c2)C1 ZINC000330954105 526400121 /nfs/dbraw/zinc/40/01/21/526400121.db2.gz SFDOTJIENDXLAR-LLVKDONJSA-N 1 2 313.199 1.552 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](Cc2cncc(Br)c2)C1 ZINC000330954105 526400126 /nfs/dbraw/zinc/40/01/26/526400126.db2.gz SFDOTJIENDXLAR-LLVKDONJSA-N 1 2 313.199 1.552 20 30 DDEDLO CNC(=O)c1cccc(C[N@H+](C)C[C@H]2CCS(=O)(=O)C2)c1 ZINC000330877395 526410318 /nfs/dbraw/zinc/41/03/18/526410318.db2.gz WIVOGXHPHMZCKO-CYBMUJFWSA-N 1 2 310.419 1.488 20 30 DDEDLO CNC(=O)c1cccc(C[N@@H+](C)C[C@H]2CCS(=O)(=O)C2)c1 ZINC000330877395 526410322 /nfs/dbraw/zinc/41/03/22/526410322.db2.gz WIVOGXHPHMZCKO-CYBMUJFWSA-N 1 2 310.419 1.488 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc([N+](=O)[O-])ccc2OC)C1=O ZINC000337281021 526471247 /nfs/dbraw/zinc/47/12/47/526471247.db2.gz VUJNYEWJTJGLDA-CQSZACIVSA-N 1 2 319.361 1.822 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc([N+](=O)[O-])ccc2OC)C1=O ZINC000337281021 526471249 /nfs/dbraw/zinc/47/12/49/526471249.db2.gz VUJNYEWJTJGLDA-CQSZACIVSA-N 1 2 319.361 1.822 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C1=O ZINC000337218900 526503799 /nfs/dbraw/zinc/50/37/99/526503799.db2.gz JJFLVCNSHXJNLN-KEYYUXOJSA-N 1 2 320.437 1.148 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C1=O ZINC000337218900 526503804 /nfs/dbraw/zinc/50/38/04/526503804.db2.gz JJFLVCNSHXJNLN-KEYYUXOJSA-N 1 2 320.437 1.148 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[NH2+][C@H](c2ccncc2)C1)OCC ZINC000337667004 526746142 /nfs/dbraw/zinc/74/61/42/526746142.db2.gz TWGJNBGEVMOYRB-JKSUJKDBSA-N 1 2 303.406 1.926 20 30 DDEDLO C#CCN(C)C(=O)N[C@H](C[NH+]1CCOCC1)c1ccc(C)cc1 ZINC000491745126 526795533 /nfs/dbraw/zinc/79/55/33/526795533.db2.gz OGSRAYBKSFWQJW-QGZVFWFLSA-N 1 2 315.417 1.643 20 30 DDEDLO C#CCN(C)CC(=O)Nc1nc(C[NH+]2CCC(C)CC2)cs1 ZINC000491339638 526800686 /nfs/dbraw/zinc/80/06/86/526800686.db2.gz RGIXJFKGEQXPCH-UHFFFAOYSA-N 1 2 320.462 1.879 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCCOc1ccc(OCC)cc1)C1CC1 ZINC000491616251 526883382 /nfs/dbraw/zinc/88/33/82/526883382.db2.gz IJZWTBLOBIZWSG-UHFFFAOYSA-N 1 2 316.401 1.678 20 30 DDEDLO C#CC[N@H+](CC(=O)NCCOc1ccc(OCC)cc1)C1CC1 ZINC000491616251 526883386 /nfs/dbraw/zinc/88/33/86/526883386.db2.gz IJZWTBLOBIZWSG-UHFFFAOYSA-N 1 2 316.401 1.678 20 30 DDEDLO CC(C)(C)n1nnnc1C[NH+]1CCC(NC(=O)C2CC2)CC1 ZINC000329802299 526945233 /nfs/dbraw/zinc/94/52/33/526945233.db2.gz JDKIXIYCGJFTPM-UHFFFAOYSA-N 1 2 306.414 1.759 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(F)cc(F)c2OC)CC1 ZINC000490829960 526951773 /nfs/dbraw/zinc/95/17/73/526951773.db2.gz HUQLLMPQDPLVDE-UHFFFAOYSA-N 1 2 323.343 1.163 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C#N)c(Cl)c2)CC1 ZINC000490712615 526952094 /nfs/dbraw/zinc/95/20/94/526952094.db2.gz GASIZDGSIBOZIG-UHFFFAOYSA-N 1 2 317.776 1.368 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccoc3)n2CC(=C)C)CC1 ZINC000491741178 526955301 /nfs/dbraw/zinc/95/53/01/526955301.db2.gz KQJGXAFOKLYEPU-UHFFFAOYSA-N 1 2 311.389 1.869 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000341705393 527062691 /nfs/dbraw/zinc/06/26/91/527062691.db2.gz NXWNMIQSINTMDR-CQSZACIVSA-N 1 2 316.405 1.829 20 30 DDEDLO C=CCC1(NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)CCCCC1 ZINC000458376241 527063786 /nfs/dbraw/zinc/06/37/86/527063786.db2.gz VNGVTORQOIEKFM-HNNXBMFYSA-N 1 2 308.470 1.810 20 30 DDEDLO C=CCC1(NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)CCCCC1 ZINC000458376241 527063791 /nfs/dbraw/zinc/06/37/91/527063791.db2.gz VNGVTORQOIEKFM-HNNXBMFYSA-N 1 2 308.470 1.810 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Cc1c(F)cccc1C#N ZINC000413954863 527082198 /nfs/dbraw/zinc/08/21/98/527082198.db2.gz JHMXZNJVUODEFH-UHFFFAOYSA-N 1 2 319.380 1.467 20 30 DDEDLO C=CC[C@@H](CO)CNc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000340874349 527084317 /nfs/dbraw/zinc/08/43/17/527084317.db2.gz FDWSLUZMOIOZTG-ZIAGYGMSSA-N 1 2 306.410 1.282 20 30 DDEDLO C=CC[C@@H](CO)CNc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000340874349 527084321 /nfs/dbraw/zinc/08/43/21/527084321.db2.gz FDWSLUZMOIOZTG-ZIAGYGMSSA-N 1 2 306.410 1.282 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000341332643 527111202 /nfs/dbraw/zinc/11/12/02/527111202.db2.gz WJONLIBNQAVQNI-ZDUSSCGKSA-N 1 2 304.394 1.384 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)[C@@H]2CCn3c[nH+]cc3C2)n1 ZINC000491730819 527181011 /nfs/dbraw/zinc/18/10/11/527181011.db2.gz FHLBKRVGHGKDKX-CQSZACIVSA-N 1 2 311.389 1.324 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CCN(c2c[nH+]ccc2C)CC1 ZINC000342483898 527203828 /nfs/dbraw/zinc/20/38/28/527203828.db2.gz RMINCLIRAUGUFR-UHFFFAOYSA-N 1 2 316.405 1.121 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000342558864 527206971 /nfs/dbraw/zinc/20/69/71/527206971.db2.gz BBGMHQWJKBZUJO-UHFFFAOYSA-N 1 2 318.299 1.144 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1c(C#N)cnn1-c1ccccc1 ZINC000491816155 527212090 /nfs/dbraw/zinc/21/20/90/527212090.db2.gz MJWLSHQWSDDFLA-CYBMUJFWSA-N 1 2 307.357 1.636 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1c(C#N)cnn1-c1ccccc1 ZINC000491816155 527212093 /nfs/dbraw/zinc/21/20/93/527212093.db2.gz MJWLSHQWSDDFLA-CYBMUJFWSA-N 1 2 307.357 1.636 20 30 DDEDLO C#C[C@H](CC)NC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000491751392 527354396 /nfs/dbraw/zinc/35/43/96/527354396.db2.gz UIOQFVBDGGYXBD-SJORKVTESA-N 1 2 315.417 1.771 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000333166994 527471612 /nfs/dbraw/zinc/47/16/12/527471612.db2.gz INPHNJUVWQZSDA-MJBXVCDLSA-N 1 2 304.394 1.653 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CC(C)(C)[C@H]1c1[nH+]ccn1C ZINC000333182535 527501999 /nfs/dbraw/zinc/50/19/99/527501999.db2.gz MCLUXMPTLYTUEA-FRRDWIJNSA-N 1 2 304.394 1.856 20 30 DDEDLO C=CC[N@@H+](C)CC(=O)Nc1cc(S(N)(=O)=O)ccc1Cl ZINC000494690222 527572132 /nfs/dbraw/zinc/57/21/32/527572132.db2.gz JYWASUFDBZZQOS-UHFFFAOYSA-N 1 2 317.798 1.044 20 30 DDEDLO C=CC[N@H+](C)CC(=O)Nc1cc(S(N)(=O)=O)ccc1Cl ZINC000494690222 527572136 /nfs/dbraw/zinc/57/21/36/527572136.db2.gz JYWASUFDBZZQOS-UHFFFAOYSA-N 1 2 317.798 1.044 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[NH+]1CCC(O)(C(F)F)CC1 ZINC000331130651 527929031 /nfs/dbraw/zinc/92/90/31/527929031.db2.gz GLRJFGKEQBGLKT-ZDUSSCGKSA-N 1 2 303.353 1.133 20 30 DDEDLO CC1CC[NH+](CCNC(=O)[C@@H]2CCCCS2(=O)=O)CC1 ZINC000329665137 528232627 /nfs/dbraw/zinc/23/26/27/528232627.db2.gz KGRKUEVVKZJEGJ-ZDUSSCGKSA-N 1 2 302.440 1.642 20 30 DDEDLO CC(C)c1nnc(CN2CC[NH+]([C@H](C)C(=O)NC3CC3)CC2)[nH]1 ZINC000330336214 528248027 /nfs/dbraw/zinc/24/80/27/528248027.db2.gz ZISPEWMGVBIODJ-GFCCVEGCSA-N 1 2 320.441 1.553 20 30 DDEDLO CC(C)c1[nH+]c2c(n1C)CCN(C(=O)N[C@@H]1CC[S@@](=O)C1)C2 ZINC000330005363 528324143 /nfs/dbraw/zinc/32/41/43/528324143.db2.gz BVMLIQQLVORROI-RKFFSXRUSA-N 1 2 324.450 1.337 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000330850252 528693013 /nfs/dbraw/zinc/69/30/13/528693013.db2.gz DUGOGBMYOZDORM-DGCLKSJQSA-N 1 2 321.343 1.384 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000330850252 528693017 /nfs/dbraw/zinc/69/30/17/528693017.db2.gz DUGOGBMYOZDORM-DGCLKSJQSA-N 1 2 321.343 1.384 20 30 DDEDLO CCNC(=O)c1ccc(C)c(NC(=O)[C@@H]2C[N@H+](C)CCO2)c1 ZINC000330991336 528828817 /nfs/dbraw/zinc/82/88/17/528828817.db2.gz NDLFAEQZBICOKP-AWEZNQCLSA-N 1 2 305.378 1.589 20 30 DDEDLO CCNC(=O)c1ccc(C)c(NC(=O)[C@@H]2C[N@@H+](C)CCO2)c1 ZINC000330991336 528828820 /nfs/dbraw/zinc/82/88/20/528828820.db2.gz NDLFAEQZBICOKP-AWEZNQCLSA-N 1 2 305.378 1.589 20 30 DDEDLO CCN1CCN(C(=O)N[C@H](C)CCOC)C[C@H]1c1[nH]cc[nH+]1 ZINC000331007994 529107708 /nfs/dbraw/zinc/10/77/08/529107708.db2.gz CNKWRECUPKYYLD-OLZOCXBDSA-N 1 2 309.414 1.427 20 30 DDEDLO CCN1CC[N@H+](CCC(=O)Nc2ccccc2C#N)[C@@H](C)C1=O ZINC000495224141 529141900 /nfs/dbraw/zinc/14/19/00/529141900.db2.gz LYTWTLWGGLDHHY-ZDUSSCGKSA-N 1 2 314.389 1.439 20 30 DDEDLO CCN1CC[N@@H+](CCC(=O)Nc2ccccc2C#N)[C@@H](C)C1=O ZINC000495224141 529141901 /nfs/dbraw/zinc/14/19/01/529141901.db2.gz LYTWTLWGGLDHHY-ZDUSSCGKSA-N 1 2 314.389 1.439 20 30 DDEDLO CCN1CC[N@H+](CCOc2ccc(C#N)cc2OC)[C@H](C)C1=O ZINC000495262130 529146342 /nfs/dbraw/zinc/14/63/42/529146342.db2.gz NIDGOBYUKSKTDQ-CYBMUJFWSA-N 1 2 317.389 1.498 20 30 DDEDLO CCN1CC[N@@H+](CCOc2ccc(C#N)cc2OC)[C@H](C)C1=O ZINC000495262130 529146343 /nfs/dbraw/zinc/14/63/43/529146343.db2.gz NIDGOBYUKSKTDQ-CYBMUJFWSA-N 1 2 317.389 1.498 20 30 DDEDLO C=C1CC[NH+]([C@@H]2CCN(c3ccc(-c4nn[nH]n4)cc3)C2=O)CC1 ZINC000735402532 598504766 /nfs/dbraw/zinc/50/47/66/598504766.db2.gz DYHHBNVHFRWWPW-OAHLLOKOSA-N 1 2 324.388 1.624 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(Cl)o3)C2)C1 ZINC000972256975 695192747 /nfs/dbraw/zinc/19/27/47/695192747.db2.gz QCVFVKZJTDPLOF-INIZCTEOSA-N 1 2 322.792 1.873 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(Cl)o3)C2)C1 ZINC000972256975 695192749 /nfs/dbraw/zinc/19/27/49/695192749.db2.gz QCVFVKZJTDPLOF-INIZCTEOSA-N 1 2 322.792 1.873 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3=COCCC3)C2)C1 ZINC000972288630 695205941 /nfs/dbraw/zinc/20/59/41/695205941.db2.gz HLIBEUKXXSZDPH-QGZVFWFLSA-N 1 2 304.390 1.007 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3=COCCC3)C2)C1 ZINC000972288630 695205942 /nfs/dbraw/zinc/20/59/42/695205942.db2.gz HLIBEUKXXSZDPH-QGZVFWFLSA-N 1 2 304.390 1.007 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccncc3F)C2)C1 ZINC000972311954 695213698 /nfs/dbraw/zinc/21/36/98/695213698.db2.gz QENPPBXGDMHQGK-INIZCTEOSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccncc3F)C2)C1 ZINC000972311954 695213699 /nfs/dbraw/zinc/21/36/99/695213699.db2.gz QENPPBXGDMHQGK-INIZCTEOSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)n3cccc3)C2)C1 ZINC000972345451 695222254 /nfs/dbraw/zinc/22/22/54/695222254.db2.gz CUAZAQWVGARWIO-WBVHZDCISA-N 1 2 303.406 1.538 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)n3cccc3)C2)C1 ZINC000972345451 695222256 /nfs/dbraw/zinc/22/22/56/695222256.db2.gz CUAZAQWVGARWIO-WBVHZDCISA-N 1 2 303.406 1.538 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC(C)(C)C3CC3)C2)C1 ZINC000972374691 695233550 /nfs/dbraw/zinc/23/35/50/695233550.db2.gz ROTSNOFNCDJBFS-SFHVURJKSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC(C)(C)C3CC3)C2)C1 ZINC000972374691 695233552 /nfs/dbraw/zinc/23/35/52/695233552.db2.gz ROTSNOFNCDJBFS-SFHVURJKSA-N 1 2 304.434 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3ccnn3C)C2)C1 ZINC000972387286 695237343 /nfs/dbraw/zinc/23/73/43/695237343.db2.gz OBKYKDRMKATMHN-PBHICJAKSA-N 1 2 318.421 1.013 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3ccnn3C)C2)C1 ZINC000972387286 695237345 /nfs/dbraw/zinc/23/73/45/695237345.db2.gz OBKYKDRMKATMHN-PBHICJAKSA-N 1 2 318.421 1.013 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCC(F)(F)C3)C2)C1 ZINC000972410364 695242600 /nfs/dbraw/zinc/24/26/00/695242600.db2.gz VVIVKNPUSSRPNE-HIFRSBDPSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCC(F)(F)C3)C2)C1 ZINC000972410364 695242602 /nfs/dbraw/zinc/24/26/02/695242602.db2.gz VVIVKNPUSSRPNE-HIFRSBDPSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(Cl)cn3C)C2)C1 ZINC000972458835 695255933 /nfs/dbraw/zinc/25/59/33/695255933.db2.gz ODNFSROIMOLDAD-INIZCTEOSA-N 1 2 321.808 1.229 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(Cl)cn3C)C2)C1 ZINC000972458835 695255934 /nfs/dbraw/zinc/25/59/34/695255934.db2.gz ODNFSROIMOLDAD-INIZCTEOSA-N 1 2 321.808 1.229 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@@H]4CCCC[C@H]34)C2)C1 ZINC000972481013 695260600 /nfs/dbraw/zinc/26/06/00/695260600.db2.gz WVENNNFTUIYSGY-IMBTUZDBSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@@H]4CCCC[C@H]34)C2)C1 ZINC000972481013 695260603 /nfs/dbraw/zinc/26/06/03/695260603.db2.gz WVENNNFTUIYSGY-IMBTUZDBSA-N 1 2 316.445 1.749 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)c2cnon2)C1 ZINC000972580839 695288863 /nfs/dbraw/zinc/28/88/63/695288863.db2.gz GARFOPSWVDFWHR-SECBINFHSA-N 1 2 315.171 1.125 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)c2cnon2)C1 ZINC000972580839 695288866 /nfs/dbraw/zinc/28/88/66/695288866.db2.gz GARFOPSWVDFWHR-SECBINFHSA-N 1 2 315.171 1.125 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnoc3CC)C2)C1 ZINC000972581405 695289171 /nfs/dbraw/zinc/28/91/71/695289171.db2.gz IUHCRANGFRLZNS-MRXNPFEDSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnoc3CC)C2)C1 ZINC000972581405 695289173 /nfs/dbraw/zinc/28/91/73/695289173.db2.gz IUHCRANGFRLZNS-MRXNPFEDSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c[nH]cc3C3CC3)C2)C1 ZINC000972621683 695301656 /nfs/dbraw/zinc/30/16/56/695301656.db2.gz NWGISXJOKQOKBZ-GOSISDBHSA-N 1 2 315.417 1.995 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c[nH]cc3C3CC3)C2)C1 ZINC000972621683 695301658 /nfs/dbraw/zinc/30/16/58/695301658.db2.gz NWGISXJOKQOKBZ-GOSISDBHSA-N 1 2 315.417 1.995 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C2CCOCC2)C(C)(C)C1 ZINC000972736781 695326228 /nfs/dbraw/zinc/32/62/28/695326228.db2.gz DOIYVSJSJOIWHC-CYBMUJFWSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C2CCOCC2)C(C)(C)C1 ZINC000972736781 695326230 /nfs/dbraw/zinc/32/62/30/695326230.db2.gz DOIYVSJSJOIWHC-CYBMUJFWSA-N 1 2 300.830 1.992 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CCC[C@@H](CC(N)=O)C1 ZINC000746675952 700036582 /nfs/dbraw/zinc/03/65/82/700036582.db2.gz RPVMJHFNOUJWMW-BBRMVZONSA-N 1 2 315.373 1.360 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CCC[C@@H](CC(N)=O)C1 ZINC000746675952 700036584 /nfs/dbraw/zinc/03/65/84/700036584.db2.gz RPVMJHFNOUJWMW-BBRMVZONSA-N 1 2 315.373 1.360 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)Cc1cc(Br)cs1 ZINC000047751734 696203004 /nfs/dbraw/zinc/20/30/04/696203004.db2.gz CQJKMOAQEHRRHQ-UHFFFAOYSA-N 1 2 301.209 1.692 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)Cc1cc(Br)cs1 ZINC000047751734 696203006 /nfs/dbraw/zinc/20/30/06/696203006.db2.gz CQJKMOAQEHRRHQ-UHFFFAOYSA-N 1 2 301.209 1.692 20 30 DDEDLO NC(Cc1ccccc1)=[NH+]OCC(=O)NC(C1CC1)C1CC1 ZINC000072001866 696392935 /nfs/dbraw/zinc/39/29/35/696392935.db2.gz ODCCGYSEQZYEPA-UHFFFAOYSA-N 1 2 301.390 1.823 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc3c(C)nn(C)c3s2)CC1 ZINC000128449367 696779470 /nfs/dbraw/zinc/77/94/70/696779470.db2.gz WXCUULHLTBYPKV-UHFFFAOYSA-N 1 2 316.430 1.724 20 30 DDEDLO C[C@H]1CCC[C@H](C)N1C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000137649609 696858994 /nfs/dbraw/zinc/85/89/94/696858994.db2.gz WIUHVKYHVVJZNG-STQMWFEESA-N 1 2 304.394 1.702 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CCC[N@H+](Cc1nccs1)C2 ZINC000981672543 696869482 /nfs/dbraw/zinc/86/94/82/696869482.db2.gz WUOWRNQRLOIYRF-LBPRGKRZSA-N 1 2 304.419 1.727 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CCC[N@@H+](Cc1nccs1)C2 ZINC000981672543 696869483 /nfs/dbraw/zinc/86/94/83/696869483.db2.gz WUOWRNQRLOIYRF-LBPRGKRZSA-N 1 2 304.419 1.727 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000980992013 696973861 /nfs/dbraw/zinc/97/38/61/696973861.db2.gz YBKZPDCGQVDPCK-CYBMUJFWSA-N 1 2 324.388 1.585 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000980992013 696973864 /nfs/dbraw/zinc/97/38/64/696973864.db2.gz YBKZPDCGQVDPCK-CYBMUJFWSA-N 1 2 324.388 1.585 20 30 DDEDLO C#CC[NH2+][C@@H]1CCC[C@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000981261746 697049836 /nfs/dbraw/zinc/04/98/36/697049836.db2.gz IKJXQIMPEJOAQS-CVEARBPZSA-N 1 2 323.400 1.736 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2cc(-c3ccccn3)no2)CC1 ZINC000982944323 697194252 /nfs/dbraw/zinc/19/42/52/697194252.db2.gz IBSBCMIXJLTGPJ-UHFFFAOYSA-N 1 2 324.384 1.908 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2cc(-c3ccccn3)no2)CC1 ZINC000982944323 697194253 /nfs/dbraw/zinc/19/42/53/697194253.db2.gz IBSBCMIXJLTGPJ-UHFFFAOYSA-N 1 2 324.384 1.908 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCCOc1cccc([N+](=O)[O-])c1 ZINC000181719173 697464007 /nfs/dbraw/zinc/46/40/07/697464007.db2.gz LYMMBBDAFRHLLI-UHFFFAOYSA-N 1 2 316.317 1.900 20 30 DDEDLO C#C[C@H](NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)[C@@H]1CCCO1 ZINC000773421714 697757621 /nfs/dbraw/zinc/75/76/21/697757621.db2.gz GRFOPZNHTUZDMA-ILXRZTDVSA-N 1 2 314.389 1.140 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)Nc1nnc(C(C)C)s1)[C@@H]1CCCO1 ZINC000775793681 698035325 /nfs/dbraw/zinc/03/53/25/698035325.db2.gz MRRDQNSYZZYPFV-MNOVXSKESA-N 1 2 308.407 1.370 20 30 DDEDLO CCN(CC)c1ccc(/C=[NH+]\[C@@H](COC)C(=O)OC)c(O)c1 ZINC000777868913 698229330 /nfs/dbraw/zinc/22/93/30/698229330.db2.gz BBOLCNDAFPPHIL-XGHPXPSOSA-N 1 2 308.378 1.845 20 30 DDEDLO COC[C@@H](C)NN=Cc1ccc(C=[NH+]N[C@H](C)COC)cc1 ZINC000777901949 698230979 /nfs/dbraw/zinc/23/09/79/698230979.db2.gz GBVJHNKPZSVNTN-ZIAGYGMSSA-N 1 2 306.410 1.603 20 30 DDEDLO CC(=O)NC[C@H]1C[N@H+](Cc2ccc(O[C@H](C)C#N)cc2)CCO1 ZINC000245796755 698339152 /nfs/dbraw/zinc/33/91/52/698339152.db2.gz XCTKBKZJXKPPGH-DYVFJYSZSA-N 1 2 317.389 1.314 20 30 DDEDLO CC(=O)NC[C@H]1C[N@@H+](Cc2ccc(O[C@H](C)C#N)cc2)CCO1 ZINC000245796755 698339157 /nfs/dbraw/zinc/33/91/57/698339157.db2.gz XCTKBKZJXKPPGH-DYVFJYSZSA-N 1 2 317.389 1.314 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CCOC)c1ccc(C)o1 ZINC000778489565 698340953 /nfs/dbraw/zinc/34/09/53/698340953.db2.gz RZUNDTNPMLPWEH-GJZGRUSLSA-N 1 2 304.390 1.879 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CCOC)c1ccc(C)o1 ZINC000778489565 698340958 /nfs/dbraw/zinc/34/09/58/698340958.db2.gz RZUNDTNPMLPWEH-GJZGRUSLSA-N 1 2 304.390 1.879 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@H](C(=O)Nc2ccccn2)C1 ZINC000800356029 700217755 /nfs/dbraw/zinc/21/77/55/700217755.db2.gz UISWDTUAUZMKRB-STQMWFEESA-N 1 2 303.362 1.460 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@H](C(=O)Nc2ccccn2)C1 ZINC000800356029 700217758 /nfs/dbraw/zinc/21/77/58/700217758.db2.gz UISWDTUAUZMKRB-STQMWFEESA-N 1 2 303.362 1.460 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)cc1 ZINC000780919999 698571806 /nfs/dbraw/zinc/57/18/06/698571806.db2.gz GHLKIVXMBBHVFX-HNNXBMFYSA-N 1 2 310.357 1.721 20 30 DDEDLO CC(C)OC[C@@H](O)CO[NH+]=C(N)Cc1ccc(Cl)cc1 ZINC000305731850 698652377 /nfs/dbraw/zinc/65/23/77/698652377.db2.gz MZQDRRNDPDETPE-CYBMUJFWSA-N 1 2 300.786 1.957 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2c(F)ccc(OC)c2F)CC1 ZINC000989527766 698657500 /nfs/dbraw/zinc/65/75/00/698657500.db2.gz ZMYYOMADKWLFOS-UHFFFAOYSA-N 1 2 308.328 1.755 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2c(F)ccc(OC)c2F)CC1 ZINC000989527766 698657503 /nfs/dbraw/zinc/65/75/03/698657503.db2.gz ZMYYOMADKWLFOS-UHFFFAOYSA-N 1 2 308.328 1.755 20 30 DDEDLO CN(C)S(=O)(=O)c1ccc(C[NH+]2CCC(CC#N)CC2)o1 ZINC000782088770 698682748 /nfs/dbraw/zinc/68/27/48/698682748.db2.gz QTHHANWMRSCXSU-UHFFFAOYSA-N 1 2 311.407 1.656 20 30 DDEDLO Cn1cc(N2CC[C@H](O[NH+]=C(N)c3ccc(F)cc3)C2=O)cn1 ZINC000733304558 699587970 /nfs/dbraw/zinc/58/79/70/699587970.db2.gz JRLMMFPBENHMOD-ZDUSSCGKSA-N 1 2 317.324 1.002 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2cc(C)[nH]c2C)CC1 ZINC000735940587 699712301 /nfs/dbraw/zinc/71/23/01/699712301.db2.gz SEAXMBVOBIDMTC-UHFFFAOYSA-N 1 2 302.374 1.703 20 30 DDEDLO CC[C@@H]1CC(=O)N(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793588746 699766014 /nfs/dbraw/zinc/76/60/14/699766014.db2.gz DKSBUQMFNXHSHQ-UKRRQHHQSA-N 1 2 314.389 1.622 20 30 DDEDLO CC[C@@H]1CC(=O)N(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793588746 699766016 /nfs/dbraw/zinc/76/60/16/699766016.db2.gz DKSBUQMFNXHSHQ-UKRRQHHQSA-N 1 2 314.389 1.622 20 30 DDEDLO Cc1ccn(C[N@@H+]2CCOC[C@@H](C3CCC3)C2)c(=O)c1C#N ZINC000793745695 699776140 /nfs/dbraw/zinc/77/61/40/699776140.db2.gz LXNFBPVYRDPTDU-HNNXBMFYSA-N 1 2 301.390 1.734 20 30 DDEDLO Cc1ccn(C[N@H+]2CCOC[C@@H](C3CCC3)C2)c(=O)c1C#N ZINC000793745695 699776142 /nfs/dbraw/zinc/77/61/42/699776142.db2.gz LXNFBPVYRDPTDU-HNNXBMFYSA-N 1 2 301.390 1.734 20 30 DDEDLO COC[C@@H]1CCC[N@@H+]1Cc1nc2ccccc2c(=O)n1CC#N ZINC000752324488 700356989 /nfs/dbraw/zinc/35/69/89/700356989.db2.gz FSYIFDAYQGRDRV-ZDUSSCGKSA-N 1 2 312.373 1.531 20 30 DDEDLO COC[C@@H]1CCC[N@H+]1Cc1nc2ccccc2c(=O)n1CC#N ZINC000752324488 700356994 /nfs/dbraw/zinc/35/69/94/700356994.db2.gz FSYIFDAYQGRDRV-ZDUSSCGKSA-N 1 2 312.373 1.531 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000801935865 700357202 /nfs/dbraw/zinc/35/72/02/700357202.db2.gz LVHYZEYVAFNDGM-CYBMUJFWSA-N 1 2 323.418 1.197 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000801935865 700357206 /nfs/dbraw/zinc/35/72/06/700357206.db2.gz LVHYZEYVAFNDGM-CYBMUJFWSA-N 1 2 323.418 1.197 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)O[C@H]1CC[N@H+](CCF)C1 ZINC000802239817 700388115 /nfs/dbraw/zinc/38/81/15/700388115.db2.gz MYYHSOAHAVEAAX-OCCSQVGLSA-N 1 2 306.337 1.913 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)O[C@H]1CC[N@@H+](CCF)C1 ZINC000802239817 700388118 /nfs/dbraw/zinc/38/81/18/700388118.db2.gz MYYHSOAHAVEAAX-OCCSQVGLSA-N 1 2 306.337 1.913 20 30 DDEDLO CC1=CC[N@H+](CN2C(=O)N[C@](C)(c3cccc(C#N)c3)C2=O)CC1 ZINC000755768720 700582920 /nfs/dbraw/zinc/58/29/20/700582920.db2.gz QWWZBQOTZKCOJY-GOSISDBHSA-N 1 2 324.384 1.935 20 30 DDEDLO CC1=CC[N@@H+](CN2C(=O)N[C@](C)(c3cccc(C#N)c3)C2=O)CC1 ZINC000755768720 700582923 /nfs/dbraw/zinc/58/29/23/700582923.db2.gz QWWZBQOTZKCOJY-GOSISDBHSA-N 1 2 324.384 1.935 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](Cc1[nH+]ccn1C)c1ccccc1 ZINC000755939859 700594063 /nfs/dbraw/zinc/59/40/63/700594063.db2.gz LLGKLHJPYQVJST-INIZCTEOSA-N 1 2 311.385 1.860 20 30 DDEDLO CC1(C)NC(=O)N(CCCO[NH+]=C(N)c2ccc(F)cc2)C1=O ZINC000758407668 700704119 /nfs/dbraw/zinc/70/41/19/700704119.db2.gz JYHBZQRSEUCMMA-UHFFFAOYSA-N 1 2 322.340 1.183 20 30 DDEDLO N#Cc1cc(Nc2ccc([NH+]3CCC(C(N)=O)CC3)cc2)ncn1 ZINC000764803590 700987240 /nfs/dbraw/zinc/98/72/40/700987240.db2.gz AHVZANNOXYFSBR-UHFFFAOYSA-N 1 2 322.372 1.794 20 30 DDEDLO Cc1nonc1C[NH2+]Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000809744167 701684802 /nfs/dbraw/zinc/68/48/02/701684802.db2.gz YNLJPZOYVRDQRA-UHFFFAOYSA-N 1 2 308.345 1.940 20 30 DDEDLO C=CCOC(=O)CCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000766281346 701045183 /nfs/dbraw/zinc/04/51/83/701045183.db2.gz ZXPSHHALFSVMAO-UHFFFAOYSA-N 1 2 303.362 1.240 20 30 DDEDLO Cc1nn2c([nH]c3ccccc3c2=O)c1C=[NH+]NC[C@@H](C)C#N ZINC000771108563 701310238 /nfs/dbraw/zinc/31/02/38/701310238.db2.gz UOZJSVKMNCFULJ-JTQLQIEISA-N 1 2 308.345 1.567 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C(=O)N(Cc2ccccc2)C1=O)C1CC1 ZINC000771642415 701326694 /nfs/dbraw/zinc/32/66/94/701326694.db2.gz QWBNZBBNOJZFPD-UHFFFAOYSA-N 1 2 313.357 1.585 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C(=O)N(Cc2ccccc2)C1=O)C1CC1 ZINC000771642415 701326695 /nfs/dbraw/zinc/32/66/95/701326695.db2.gz QWBNZBBNOJZFPD-UHFFFAOYSA-N 1 2 313.357 1.585 20 30 DDEDLO C=C(C)CN(CC)C(=O)COC(=O)C[N@H+](C)[C@@H]1CCSC1 ZINC000772046046 701341409 /nfs/dbraw/zinc/34/14/09/701341409.db2.gz FYRRYOQBGXIGTD-CYBMUJFWSA-N 1 2 314.451 1.392 20 30 DDEDLO C=C(C)CN(CC)C(=O)COC(=O)C[N@@H+](C)[C@@H]1CCSC1 ZINC000772046046 701341410 /nfs/dbraw/zinc/34/14/10/701341410.db2.gz FYRRYOQBGXIGTD-CYBMUJFWSA-N 1 2 314.451 1.392 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCC#Cc2ccc(Cl)cc2)C1 ZINC000805479173 701384930 /nfs/dbraw/zinc/38/49/30/701384930.db2.gz LHHVVIAGTAQQHI-OAHLLOKOSA-N 1 2 307.777 1.955 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCC#Cc2ccc(Cl)cc2)C1 ZINC000805479173 701384931 /nfs/dbraw/zinc/38/49/31/701384931.db2.gz LHHVVIAGTAQQHI-OAHLLOKOSA-N 1 2 307.777 1.955 20 30 DDEDLO CN(C)c1ncc(C=[NH+]Nc2ccc3c(cc[nH]c3=O)c2)cn1 ZINC000814923929 701771652 /nfs/dbraw/zinc/77/16/52/701771652.db2.gz CTKIJXNJJHRSJA-UHFFFAOYSA-N 1 2 308.345 1.830 20 30 DDEDLO CC(C)(C)[N@@H+]1CC[C@@](F)(C(=O)[C@H](C#N)C(=O)NC2CCCC2)C1 ZINC000810537606 701785906 /nfs/dbraw/zinc/78/59/06/701785906.db2.gz YUZVTFQFUKQZGD-GUYCJALGSA-N 1 2 323.412 1.967 20 30 DDEDLO CC(C)(C)[N@H+]1CC[C@@](F)(C(=O)[C@H](C#N)C(=O)NC2CCCC2)C1 ZINC000810537606 701785909 /nfs/dbraw/zinc/78/59/09/701785909.db2.gz YUZVTFQFUKQZGD-GUYCJALGSA-N 1 2 323.412 1.967 20 30 DDEDLO N#CC1(COC(=O)[C@H]2CCC[N@@H+]2Cc2ccccc2)COC1 ZINC000811047786 701902927 /nfs/dbraw/zinc/90/29/27/701902927.db2.gz VYZUVPSWXWMLPE-OAHLLOKOSA-N 1 2 300.358 1.734 20 30 DDEDLO N#CC1(COC(=O)[C@H]2CCC[N@H+]2Cc2ccccc2)COC1 ZINC000811047786 701902932 /nfs/dbraw/zinc/90/29/32/701902932.db2.gz VYZUVPSWXWMLPE-OAHLLOKOSA-N 1 2 300.358 1.734 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@H](C)O[C@]3(CCO[C@H](C)C3)C2)C1=O ZINC000840065199 701948080 /nfs/dbraw/zinc/94/80/80/701948080.db2.gz QRCTUDYBJNVHHJ-VYDXJSESSA-N 1 2 323.437 1.526 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@H](C)O[C@]3(CCO[C@H](C)C3)C2)C1=O ZINC000840065199 701948082 /nfs/dbraw/zinc/94/80/82/701948082.db2.gz QRCTUDYBJNVHHJ-VYDXJSESSA-N 1 2 323.437 1.526 20 30 DDEDLO COC[C@]1(C)CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000840103099 701969696 /nfs/dbraw/zinc/96/96/96/701969696.db2.gz AETWBJSBWHTSIV-QGZVFWFLSA-N 1 2 301.390 1.525 20 30 DDEDLO COC[C@]1(C)CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000840103099 701969701 /nfs/dbraw/zinc/96/97/01/701969701.db2.gz AETWBJSBWHTSIV-QGZVFWFLSA-N 1 2 301.390 1.525 20 30 DDEDLO CO[C@@H]1CC[C@H](C)[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000879321918 706649539 /nfs/dbraw/zinc/64/95/39/706649539.db2.gz GTBSPKHJRLGCBU-DZGCQCFKSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@@H]1CC[C@H](C)[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000879321918 706649540 /nfs/dbraw/zinc/64/95/40/706649540.db2.gz GTBSPKHJRLGCBU-DZGCQCFKSA-N 1 2 322.430 1.831 20 30 DDEDLO Cc1cc(CNC(=O)NCCNc2ncccc2C#N)cc(C)[nH+]1 ZINC000817171543 702298273 /nfs/dbraw/zinc/29/82/73/702298273.db2.gz XTVANUDQMWSQFK-UHFFFAOYSA-N 1 2 324.388 1.876 20 30 DDEDLO C#CCN1C(=O)C[C@H]([N@@H+]2CCS[C@H](c3ccccc3)C2)C1=O ZINC000844273114 703002740 /nfs/dbraw/zinc/00/27/40/703002740.db2.gz NOGQGUDRJUILCF-GJZGRUSLSA-N 1 2 314.410 1.537 20 30 DDEDLO C#CCN1C(=O)C[C@H]([N@H+]2CCS[C@H](c3ccccc3)C2)C1=O ZINC000844273114 703002743 /nfs/dbraw/zinc/00/27/43/703002743.db2.gz NOGQGUDRJUILCF-GJZGRUSLSA-N 1 2 314.410 1.537 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3cccc(F)n3)CC2)C1=O ZINC000831485368 706717009 /nfs/dbraw/zinc/71/70/09/706717009.db2.gz BQJUGFCTLGVUMF-ZDUSSCGKSA-N 1 2 304.369 1.130 20 30 DDEDLO C[C@@H](CC(=O)OCCOC1(C#N)CCOCC1)n1cc[nH+]c1 ZINC000845570968 703176338 /nfs/dbraw/zinc/17/63/38/703176338.db2.gz AUTVQHDXAOVACF-ZDUSSCGKSA-N 1 2 307.350 1.467 20 30 DDEDLO Cn1cc(CN(CCn2cc[nH+]c2)C(=O)c2ccc(C#N)o2)cn1 ZINC000846098082 703237613 /nfs/dbraw/zinc/23/76/13/703237613.db2.gz YRCVSQYTWGSBHH-UHFFFAOYSA-N 1 2 324.344 1.424 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCN(c2cccc(Cl)c2)C[C@@H]1C ZINC000846922583 703352417 /nfs/dbraw/zinc/35/24/17/703352417.db2.gz GWROCMOHZNRKGO-ZDUSSCGKSA-N 1 2 305.809 1.600 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCN(c2cccc(Cl)c2)C[C@@H]1C ZINC000846922583 703352419 /nfs/dbraw/zinc/35/24/19/703352419.db2.gz GWROCMOHZNRKGO-ZDUSSCGKSA-N 1 2 305.809 1.600 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)C[C@H]2COC(C)(C)O2)c1 ZINC000851729530 703839312 /nfs/dbraw/zinc/83/93/12/703839312.db2.gz CJVBXPWLYZVHRV-HNNXBMFYSA-N 1 2 302.374 1.690 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)C[C@H]2COC(C)(C)O2)c1 ZINC000851729530 703839315 /nfs/dbraw/zinc/83/93/15/703839315.db2.gz CJVBXPWLYZVHRV-HNNXBMFYSA-N 1 2 302.374 1.690 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+]([C@@H]2C[C@H]3CCCC[C@@H]3NC2=O)CC1 ZINC000852136427 703940213 /nfs/dbraw/zinc/94/02/13/703940213.db2.gz LNIVICZRSJUMMT-HZSPNIEDSA-N 1 2 319.405 1.212 20 30 DDEDLO C[C@H](C#N)N(CC[NH+]1CCN(C(=O)OC(C)(C)C)CC1)C1CC1 ZINC000852482049 704058618 /nfs/dbraw/zinc/05/86/18/704058618.db2.gz SEECFQGPPBNRKA-CQSZACIVSA-N 1 2 322.453 1.916 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@@H](CO)c1cccc(Cl)c1Cl ZINC000852674472 704097753 /nfs/dbraw/zinc/09/77/53/704097753.db2.gz BYGLNBIIIYCYOW-SKDRFNHKSA-N 1 2 315.200 1.754 20 30 DDEDLO CO[C@H]1CCOc2c(NC[C@@H](O)C[N@H+](C)CCC#N)cccc21 ZINC000819481207 704132050 /nfs/dbraw/zinc/13/20/50/704132050.db2.gz MIJAWQJPMYLZLH-CJNGLKHVSA-N 1 2 319.405 1.775 20 30 DDEDLO CO[C@H]1CCOc2c(NC[C@@H](O)C[N@@H+](C)CCC#N)cccc21 ZINC000819481207 704132051 /nfs/dbraw/zinc/13/20/51/704132051.db2.gz MIJAWQJPMYLZLH-CJNGLKHVSA-N 1 2 319.405 1.775 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCCC(=O)N1CCOCC1 ZINC000853133867 704202546 /nfs/dbraw/zinc/20/25/46/704202546.db2.gz ORLCCWAIRARTHA-UHFFFAOYSA-N 1 2 317.389 1.535 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)[N@H+]2Cn1nc(C(=O)OC)cc1C(=O)OC ZINC000853598211 704281745 /nfs/dbraw/zinc/28/17/45/704281745.db2.gz OPDMQUAWQYKSBG-TXEJJXNPSA-N 1 2 319.361 1.597 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2Cn1nc(C(=O)OC)cc1C(=O)OC ZINC000853598211 704281748 /nfs/dbraw/zinc/28/17/48/704281748.db2.gz OPDMQUAWQYKSBG-TXEJJXNPSA-N 1 2 319.361 1.597 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C2(CCOCC2)[C@@H]1C1CC1 ZINC000853620707 704287265 /nfs/dbraw/zinc/28/72/65/704287265.db2.gz ARQUWGKRVUBZOW-INIZCTEOSA-N 1 2 320.433 1.333 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C2(CCOCC2)[C@@H]1C1CC1 ZINC000853620707 704287266 /nfs/dbraw/zinc/28/72/66/704287266.db2.gz ARQUWGKRVUBZOW-INIZCTEOSA-N 1 2 320.433 1.333 20 30 DDEDLO C#CCC[N@@H+](CCOC)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000853620933 704287852 /nfs/dbraw/zinc/28/78/52/704287852.db2.gz KDZSBXBREQBTBN-UHFFFAOYSA-N 1 2 313.401 1.528 20 30 DDEDLO C#CCC[N@H+](CCOC)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000853620933 704287855 /nfs/dbraw/zinc/28/78/55/704287855.db2.gz KDZSBXBREQBTBN-UHFFFAOYSA-N 1 2 313.401 1.528 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000855496074 704488126 /nfs/dbraw/zinc/48/81/26/704488126.db2.gz SAMOHEDSHMHLAG-CYBMUJFWSA-N 1 2 317.389 1.249 20 30 DDEDLO Cc1ccc(O[C@H]2CC[N@H+](CC(=O)NC3(C#N)CCC3)C2)nc1 ZINC000858040432 704661021 /nfs/dbraw/zinc/66/10/21/704661021.db2.gz NFAZFHBHGIXKJA-AWEZNQCLSA-N 1 2 314.389 1.406 20 30 DDEDLO Cc1ccc(O[C@H]2CC[N@@H+](CC(=O)NC3(C#N)CCC3)C2)nc1 ZINC000858040432 704661022 /nfs/dbraw/zinc/66/10/22/704661022.db2.gz NFAZFHBHGIXKJA-AWEZNQCLSA-N 1 2 314.389 1.406 20 30 DDEDLO C#C[C@H](NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F)[C@H]1CCCO1 ZINC000822072225 704871419 /nfs/dbraw/zinc/87/14/19/704871419.db2.gz GCVIUTOMMSLCIE-TUAOUCFPSA-N 1 2 315.295 1.674 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C#N)c(O)c1 ZINC000874706447 705139094 /nfs/dbraw/zinc/13/90/94/705139094.db2.gz PXFZXGILFFQCRD-CQSZACIVSA-N 1 2 303.362 1.104 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(C#N)c(O)c1 ZINC000874706447 705139098 /nfs/dbraw/zinc/13/90/98/705139098.db2.gz PXFZXGILFFQCRD-CQSZACIVSA-N 1 2 303.362 1.104 20 30 DDEDLO C#CC[C@H](NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F)C(=O)OC ZINC000823520406 705260016 /nfs/dbraw/zinc/26/00/16/705260016.db2.gz WCSWGZYMQJHEAF-VHSXEESVSA-N 1 2 317.267 1.058 20 30 DDEDLO CCOC(=O)C[N@H+](CCO[C@H](C)C#N)Cc1ccccc1O ZINC000823576160 705270135 /nfs/dbraw/zinc/27/01/35/705270135.db2.gz IOJPZZKPCPKZFW-CYBMUJFWSA-N 1 2 306.362 1.686 20 30 DDEDLO CCOC(=O)C[N@@H+](CCO[C@H](C)C#N)Cc1ccccc1O ZINC000823576160 705270138 /nfs/dbraw/zinc/27/01/38/705270138.db2.gz IOJPZZKPCPKZFW-CYBMUJFWSA-N 1 2 306.362 1.686 20 30 DDEDLO C#CCCN(CCOC)C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000824748697 705522989 /nfs/dbraw/zinc/52/29/89/705522989.db2.gz NWJHLLJYFQIFHZ-GFCCVEGCSA-N 1 2 317.311 1.875 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000875920175 705559777 /nfs/dbraw/zinc/55/97/77/705559777.db2.gz WWSVRMSWBXHIKQ-HUUCEWRRSA-N 1 2 304.394 1.658 20 30 DDEDLO COCCCNC(=O)C[N@H+](C)Cc1cc(C#N)ccc1N(C)C ZINC000876551364 705755039 /nfs/dbraw/zinc/75/50/39/705755039.db2.gz PNZDFXVLSOAVPY-UHFFFAOYSA-N 1 2 318.421 1.209 20 30 DDEDLO COCCCNC(=O)C[N@@H+](C)Cc1cc(C#N)ccc1N(C)C ZINC000876551364 705755042 /nfs/dbraw/zinc/75/50/42/705755042.db2.gz PNZDFXVLSOAVPY-UHFFFAOYSA-N 1 2 318.421 1.209 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000826590933 705820942 /nfs/dbraw/zinc/82/09/42/705820942.db2.gz WKEIJVNISNCAHC-FUHWJXTLSA-N 1 2 318.417 1.249 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000826590933 705820944 /nfs/dbraw/zinc/82/09/44/705820944.db2.gz WKEIJVNISNCAHC-FUHWJXTLSA-N 1 2 318.417 1.249 20 30 DDEDLO CC(=[NH+]N[C@H]1CCCS(=O)(=O)C1)c1cc(F)c(N)c(F)c1 ZINC000863136109 705868664 /nfs/dbraw/zinc/86/86/64/705868664.db2.gz WKRTXUWJGHTYJQ-JTQLQIEISA-N 1 2 317.361 1.438 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CCC(N2CC[NH+](C)CC2)CC1 ZINC000827435804 706000550 /nfs/dbraw/zinc/00/05/50/706000550.db2.gz XDFPLGUBUUKMPK-UHFFFAOYSA-N 1 2 301.381 1.436 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+]1CC[C@@H](S(C)(=O)=O)C1 ZINC000877499615 706124135 /nfs/dbraw/zinc/12/41/35/706124135.db2.gz JXJGWOYIACDHEZ-CQSZACIVSA-N 1 2 307.419 1.243 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+]1CC[C@@H](S(C)(=O)=O)C1 ZINC000877499615 706124138 /nfs/dbraw/zinc/12/41/38/706124138.db2.gz JXJGWOYIACDHEZ-CQSZACIVSA-N 1 2 307.419 1.243 20 30 DDEDLO CN(C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)[C@@H]1CCC[C@H]1C#N ZINC000828142243 706126799 /nfs/dbraw/zinc/12/67/99/706126799.db2.gz SMECLBRQQMKXKU-JJXSEGSLSA-N 1 2 321.421 1.017 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000828335558 706162409 /nfs/dbraw/zinc/16/24/09/706162409.db2.gz DGOGJGXHPMHYFK-OAHLLOKOSA-N 1 2 302.422 1.766 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[NH+]2CC(C(F)(F)F)C2)cc1 ZINC000828398639 706172579 /nfs/dbraw/zinc/17/25/79/706172579.db2.gz DHLRTCIUHJUWQY-CYBMUJFWSA-N 1 2 314.307 1.986 20 30 DDEDLO S=C(NN=C1CCC[N@@H+]2CCSC[C@@H]12)Nc1ccccn1 ZINC000872358169 707389027 /nfs/dbraw/zinc/38/90/27/707389027.db2.gz PWPNWENJFYVTPD-LBPRGKRZSA-N 1 2 321.475 1.935 20 30 DDEDLO S=C(NN=C1CCC[N@H+]2CCSC[C@@H]12)Nc1ccccn1 ZINC000872358169 707389032 /nfs/dbraw/zinc/38/90/32/707389032.db2.gz PWPNWENJFYVTPD-LBPRGKRZSA-N 1 2 321.475 1.935 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000828750039 706225173 /nfs/dbraw/zinc/22/51/73/706225173.db2.gz MYQVOHNDZXANST-BTYIYWSLSA-N 1 2 309.410 1.386 20 30 DDEDLO C#Cc1cccc(CNC(=O)N[C@H](C)C[NH+]2CCOCC2)c1 ZINC000836221868 707419455 /nfs/dbraw/zinc/41/94/55/707419455.db2.gz PHTPRHBIZMIUNP-CQSZACIVSA-N 1 2 301.390 1.188 20 30 DDEDLO CC[N@H+](CC[C@@](C#N)(C(C)=O)c1ccccc1)CC(=O)NC ZINC000877986284 706258072 /nfs/dbraw/zinc/25/80/72/706258072.db2.gz IPYAORWTWTYWDZ-QGZVFWFLSA-N 1 2 301.390 1.495 20 30 DDEDLO CC[N@@H+](CC[C@@](C#N)(C(C)=O)c1ccccc1)CC(=O)NC ZINC000877986284 706258074 /nfs/dbraw/zinc/25/80/74/706258074.db2.gz IPYAORWTWTYWDZ-QGZVFWFLSA-N 1 2 301.390 1.495 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC000829581593 706360431 /nfs/dbraw/zinc/36/04/31/706360431.db2.gz AZVXIRAJSRIAPR-OAHLLOKOSA-N 1 2 318.357 1.762 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC000829581593 706360434 /nfs/dbraw/zinc/36/04/34/706360434.db2.gz AZVXIRAJSRIAPR-OAHLLOKOSA-N 1 2 318.357 1.762 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCC[C@@H](c2nnc(C3CC3)[nH]2)C1 ZINC000878327237 706360798 /nfs/dbraw/zinc/36/07/98/706360798.db2.gz FKRRTAFNHXGUIM-OLZOCXBDSA-N 1 2 304.394 1.979 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCC[C@@H](c2nnc(C3CC3)[nH]2)C1 ZINC000878327237 706360802 /nfs/dbraw/zinc/36/08/02/706360802.db2.gz FKRRTAFNHXGUIM-OLZOCXBDSA-N 1 2 304.394 1.979 20 30 DDEDLO C#CC[C@@H]1CCC[N@@H+](Cc2noc(CCCC(=O)OC)n2)C1 ZINC000829784749 706395558 /nfs/dbraw/zinc/39/55/58/706395558.db2.gz MVRMZTJCWCTHAP-CYBMUJFWSA-N 1 2 305.378 1.801 20 30 DDEDLO C#CC[C@@H]1CCC[N@H+](Cc2noc(CCCC(=O)OC)n2)C1 ZINC000829784749 706395560 /nfs/dbraw/zinc/39/55/60/706395560.db2.gz MVRMZTJCWCTHAP-CYBMUJFWSA-N 1 2 305.378 1.801 20 30 DDEDLO C[C@@H](CC#N)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000829908281 706417758 /nfs/dbraw/zinc/41/77/58/706417758.db2.gz NSTKESLPYWPQBD-STQMWFEESA-N 1 2 324.425 1.203 20 30 DDEDLO C[C@@H](CC#N)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000829908281 706417760 /nfs/dbraw/zinc/41/77/60/706417760.db2.gz NSTKESLPYWPQBD-STQMWFEESA-N 1 2 324.425 1.203 20 30 DDEDLO C#CCOc1cc(F)ccc1NC(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000878800929 706502818 /nfs/dbraw/zinc/50/28/18/706502818.db2.gz JXWAEDAULVHQLP-GFCCVEGCSA-N 1 2 314.320 1.781 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+](C)C[C@@H](C)NC(=O)OC(C)(C)C ZINC000879063398 706577085 /nfs/dbraw/zinc/57/70/85/706577085.db2.gz MTTAYPDMHKZEEU-VXGBXAGGSA-N 1 2 300.399 1.949 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+](C)C[C@@H](C)NC(=O)OC(C)(C)C ZINC000879063398 706577088 /nfs/dbraw/zinc/57/70/88/706577088.db2.gz MTTAYPDMHKZEEU-VXGBXAGGSA-N 1 2 300.399 1.949 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000879929026 706822316 /nfs/dbraw/zinc/82/23/16/706822316.db2.gz FQGNSBJLPRQXES-GJZGRUSLSA-N 1 2 320.414 1.304 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000879929026 706822318 /nfs/dbraw/zinc/82/23/18/706822318.db2.gz FQGNSBJLPRQXES-GJZGRUSLSA-N 1 2 320.414 1.304 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCO[C@@H](C(F)(F)F)CC1 ZINC000880043089 706862104 /nfs/dbraw/zinc/86/21/04/706862104.db2.gz COWUITRHIVYOFA-GFCCVEGCSA-N 1 2 306.328 1.840 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCO[C@@H](C(F)(F)F)CC1 ZINC000880043089 706862105 /nfs/dbraw/zinc/86/21/05/706862105.db2.gz COWUITRHIVYOFA-GFCCVEGCSA-N 1 2 306.328 1.840 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)C[N@@H+]2CC=C[C@H]2CO)cc1C ZINC000880485910 706990629 /nfs/dbraw/zinc/99/06/29/706990629.db2.gz LVCMCTMTKWEJEH-KRWDZBQOSA-N 1 2 313.401 1.783 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)C[N@H+]2CC=C[C@H]2CO)cc1C ZINC000880485910 706990630 /nfs/dbraw/zinc/99/06/30/706990630.db2.gz LVCMCTMTKWEJEH-KRWDZBQOSA-N 1 2 313.401 1.783 20 30 DDEDLO N#Cc1cc(F)cc(S(=O)(=O)N[C@H]2CCn3c[nH+]cc3C2)c1 ZINC000872007401 707278532 /nfs/dbraw/zinc/27/85/32/707278532.db2.gz GJCAECOKNPKJCZ-LBPRGKRZSA-N 1 2 320.349 1.187 20 30 DDEDLO C=C(C)CNN=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872374222 707394277 /nfs/dbraw/zinc/39/42/77/707394277.db2.gz UXYCRFMFNQXMGT-UHFFFAOYSA-N 1 2 303.406 1.897 20 30 DDEDLO CC#CC[C@@H](CO)[NH2+]Cc1csc(N(C(C)=O)C2CC2)n1 ZINC000883157214 707943175 /nfs/dbraw/zinc/94/31/75/707943175.db2.gz RBHZSRUXJYOAFT-LBPRGKRZSA-N 1 2 307.419 1.522 20 30 DDEDLO CCn1ncc(C[NH2+][C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)n1 ZINC000839048456 708020152 /nfs/dbraw/zinc/02/01/52/708020152.db2.gz DMNKHOMZRBKXLA-INIZCTEOSA-N 1 2 324.388 1.060 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(OC)c(OCC)c1 ZINC000884076606 708128695 /nfs/dbraw/zinc/12/86/95/708128695.db2.gz IVZRYVDMBFBJKT-LBPRGKRZSA-N 1 2 322.361 1.479 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)COc1ccccc1 ZINC000884095812 708136861 /nfs/dbraw/zinc/13/68/61/708136861.db2.gz AHLUMBBSNPYYEC-OCCSQVGLSA-N 1 2 306.362 1.017 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@H](c2ccccc2)C[C@H]1C ZINC000884142706 708158405 /nfs/dbraw/zinc/15/84/05/708158405.db2.gz IMXBQIDKSGJYRL-BMFZPTHFSA-N 1 2 316.401 1.838 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@H]1Cc1ccccc1 ZINC000884155280 708163559 /nfs/dbraw/zinc/16/35/59/708163559.db2.gz YWPXLALNKGJKLQ-QLFBSQMISA-N 1 2 302.374 1.180 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCO[C@@H](CC(C)C)C1 ZINC000884320800 708243313 /nfs/dbraw/zinc/24/33/13/708243313.db2.gz YCFOCBHITPFRCU-IHRRRGAJSA-N 1 2 312.410 1.143 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc(F)c1SC ZINC000884398812 708280480 /nfs/dbraw/zinc/28/04/80/708280480.db2.gz OKVCQUHPZNMHLI-JTQLQIEISA-N 1 2 312.366 1.933 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(C)CCC(F)(F)CC1 ZINC000884432073 708294772 /nfs/dbraw/zinc/29/47/72/708294772.db2.gz RFLITXGNXHMPRH-JTQLQIEISA-N 1 2 304.337 1.517 20 30 DDEDLO N#Cc1cccc(OCC(=O)N[C@@H]2CCC[N@@H+](CCF)C2)c1 ZINC000898489386 708654826 /nfs/dbraw/zinc/65/48/26/708654826.db2.gz NOCRCPHNSDFPMF-CQSZACIVSA-N 1 2 305.353 1.487 20 30 DDEDLO N#Cc1cccc(OCC(=O)N[C@@H]2CCC[N@H+](CCF)C2)c1 ZINC000898489386 708654827 /nfs/dbraw/zinc/65/48/27/708654827.db2.gz NOCRCPHNSDFPMF-CQSZACIVSA-N 1 2 305.353 1.487 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)c2cnccc2C#N)n1 ZINC000927763161 713049551 /nfs/dbraw/zinc/04/95/51/713049551.db2.gz BNFZIIFTPAPJJQ-UHFFFAOYSA-N 1 2 301.375 1.401 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000886571796 708778624 /nfs/dbraw/zinc/77/86/24/708778624.db2.gz JAHARKFZRLSXCF-XUWVNRHRSA-N 1 2 300.358 1.475 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000886571796 708778625 /nfs/dbraw/zinc/77/86/25/708778625.db2.gz JAHARKFZRLSXCF-XUWVNRHRSA-N 1 2 300.358 1.475 20 30 DDEDLO C#CC1(O)CCN(C(=O)Cc2c[nH+]cn2Cc2ccccc2)CC1 ZINC000899119375 708991740 /nfs/dbraw/zinc/99/17/40/708991740.db2.gz CJGYLXGZGFOGMZ-UHFFFAOYSA-N 1 2 323.396 1.461 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)NC[C@H](c2ccco2)N(C)C)C1 ZINC000887606634 709081199 /nfs/dbraw/zinc/08/11/99/709081199.db2.gz GTTCZEBSUMWETC-HUUCEWRRSA-N 1 2 318.421 1.279 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)NC[C@H](c2ccco2)N(C)C)C1 ZINC000887606634 709081201 /nfs/dbraw/zinc/08/12/01/709081201.db2.gz GTTCZEBSUMWETC-HUUCEWRRSA-N 1 2 318.421 1.279 20 30 DDEDLO CCC(CC)[C@H](C(=O)N1CCO[C@](C)(C#N)C1)[NH+]1CCOCC1 ZINC000887676772 709099222 /nfs/dbraw/zinc/09/92/22/709099222.db2.gz KAKIEFPQFJFJQP-NVXWUHKLSA-N 1 2 323.437 1.264 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1cccc(-c2ccon2)c1 ZINC000899900409 709231239 /nfs/dbraw/zinc/23/12/39/709231239.db2.gz PSPASCUOTDDRPI-HNNXBMFYSA-N 1 2 300.362 1.960 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1cccc(-c2ccon2)c1 ZINC000899900409 709231240 /nfs/dbraw/zinc/23/12/40/709231240.db2.gz PSPASCUOTDDRPI-HNNXBMFYSA-N 1 2 300.362 1.960 20 30 DDEDLO C#CCSCC(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000888417693 709305517 /nfs/dbraw/zinc/30/55/17/709305517.db2.gz LXHUJLDGVPSUEK-UHFFFAOYSA-N 1 2 317.458 1.739 20 30 DDEDLO C=CC[C@@H]1CCN1C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000890554914 709914149 /nfs/dbraw/zinc/91/41/49/709914149.db2.gz ZGLUKYBERWVLRW-HUUCEWRRSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CC[C@@H]1CCN1C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000890554914 709914150 /nfs/dbraw/zinc/91/41/50/709914150.db2.gz ZGLUKYBERWVLRW-HUUCEWRRSA-N 1 2 318.421 1.660 20 30 DDEDLO CCC(CC)[C@@H](C(=O)N1CCC[C@@H](C#N)C1)[NH+]1CCOCC1 ZINC000928220155 713154249 /nfs/dbraw/zinc/15/42/49/713154249.db2.gz FTICHMACZOHBHI-HOCLYGCPSA-N 1 2 307.438 1.886 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](CN2Cc3ccccc3C2=O)CC1 ZINC000902093414 710612609 /nfs/dbraw/zinc/61/26/09/710612609.db2.gz UNPGIOYHJHYIHR-UHFFFAOYSA-N 1 2 311.385 1.061 20 30 DDEDLO N#CC1(c2ccc(C(=O)N3CC[NH2+][C@@H](c4cn[nH]c4)C3)cc2)CC1 ZINC000913449922 713220730 /nfs/dbraw/zinc/22/07/30/713220730.db2.gz SIYOGPWRYSSULG-MRXNPFEDSA-N 1 2 321.384 1.752 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)c1 ZINC000913452964 713222263 /nfs/dbraw/zinc/22/22/63/713222263.db2.gz HHYASCCFSXXFPP-INIZCTEOSA-N 1 2 324.388 1.134 20 30 DDEDLO C#CC[C@H]([NH2+][C@@H]1CC[C@H](CNC(=O)OC(C)(C)C)C1)C(=O)OC ZINC000894858522 711299034 /nfs/dbraw/zinc/29/90/34/711299034.db2.gz LBVGHXDMGAHVRJ-MJBXVCDLSA-N 1 2 324.421 1.834 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C=NOC)cc1 ZINC000928637352 713245007 /nfs/dbraw/zinc/24/50/07/713245007.db2.gz XRQFLFWXDSMZNY-INIZCTEOSA-N 1 2 319.405 1.508 20 30 DDEDLO COCCOc1cccc(C[NH+]2CCN(C(=O)CC#N)CC2)c1 ZINC000895067936 711395453 /nfs/dbraw/zinc/39/54/53/711395453.db2.gz ARLQWJCIKDAFKK-UHFFFAOYSA-N 1 2 317.389 1.270 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2cc(F)ccc2OC(F)F)CCCN1O ZINC000895158048 711436167 /nfs/dbraw/zinc/43/61/67/711436167.db2.gz VXQGDNIFUREROB-JTQLQIEISA-N 1 2 304.268 1.897 20 30 DDEDLO Cc1nn(C)c2nc(Cl)c(C[NH2+][C@H]3CCCN(O)C3=O)cc12 ZINC000895167652 711440591 /nfs/dbraw/zinc/44/05/91/711440591.db2.gz ZRFAJQNXVJCCNO-NSHDSACASA-N 1 2 323.784 1.400 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C(=O)N(C)[C@@H](C)C[NH+]1CCOCC1 ZINC000904946662 711905579 /nfs/dbraw/zinc/90/55/79/711905579.db2.gz HIVZPYHOAKWMSW-HNNXBMFYSA-N 1 2 315.417 1.968 20 30 DDEDLO C=CCN(C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(C)(C)C ZINC000906033035 712225178 /nfs/dbraw/zinc/22/51/78/712225178.db2.gz BOSLORVHIVOZBO-CABCVRRESA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)CN(CC)C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000906077651 712239163 /nfs/dbraw/zinc/23/91/63/712239163.db2.gz RIPSYSODLVNDIR-UHFFFAOYSA-N 1 2 305.422 1.859 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cn2)C[C@H]1C(C)(C)C ZINC000907356849 712551778 /nfs/dbraw/zinc/55/17/78/712551778.db2.gz XBDOORMILJKCIM-ZDUSSCGKSA-N 1 2 322.434 1.304 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cn2)C[C@H]1C(C)(C)C ZINC000907356849 712551779 /nfs/dbraw/zinc/55/17/79/712551779.db2.gz XBDOORMILJKCIM-ZDUSSCGKSA-N 1 2 322.434 1.304 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC000907362056 712552526 /nfs/dbraw/zinc/55/25/26/712552526.db2.gz IKPZGBJIZOIUAU-INIZCTEOSA-N 1 2 314.389 1.662 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000908118085 712691993 /nfs/dbraw/zinc/69/19/93/712691993.db2.gz KQOCSDCQFFFDCK-ZDUSSCGKSA-N 1 2 305.422 1.760 20 30 DDEDLO CN(CC(C)(C)C#N)C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000908400141 712761096 /nfs/dbraw/zinc/76/10/96/712761096.db2.gz GNYXYGOXSFJNSO-UHFFFAOYSA-N 1 2 318.421 1.442 20 30 DDEDLO CC(=[NH+]NC(=S)NC1CC1)c1ccc(N2CCOCC2)cc1 ZINC000916281948 713449808 /nfs/dbraw/zinc/44/98/08/713449808.db2.gz CIHILRXZIFAACH-UHFFFAOYSA-N 1 2 318.446 1.874 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)C/C(C)=C/C(=O)OC)c1 ZINC000929290091 713583631 /nfs/dbraw/zinc/58/36/31/713583631.db2.gz MDNAYCTWOOCVEH-UKTHLTGXSA-N 1 2 300.358 1.658 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)C/C(C)=C/C(=O)OC)c1 ZINC000929290091 713583633 /nfs/dbraw/zinc/58/36/33/713583633.db2.gz MDNAYCTWOOCVEH-UKTHLTGXSA-N 1 2 300.358 1.658 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCC(Nc3cccc[nH+]3)CC2)CC1 ZINC000920615098 713683005 /nfs/dbraw/zinc/68/30/05/713683005.db2.gz JWYIIOBNSJHUKM-UHFFFAOYSA-N 1 2 320.418 1.591 20 30 DDEDLO Cc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)c(C)nn1 ZINC000929823026 713697806 /nfs/dbraw/zinc/69/78/06/713697806.db2.gz DPJOLAWQSCATHX-CYBMUJFWSA-N 1 2 323.404 1.466 20 30 DDEDLO Cc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)c(C)nn1 ZINC000929823026 713697807 /nfs/dbraw/zinc/69/78/07/713697807.db2.gz DPJOLAWQSCATHX-CYBMUJFWSA-N 1 2 323.404 1.466 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(F)cc1C#N ZINC000930288064 713793993 /nfs/dbraw/zinc/79/39/93/713793993.db2.gz QZVUXPLKUUMDBD-CQSZACIVSA-N 1 2 320.368 1.930 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@H+](C[C@@H]2CCC3(COC3)O2)C1 ZINC000930924967 713961512 /nfs/dbraw/zinc/96/15/12/713961512.db2.gz XSYLQPDNAOCIRW-RDJZCZTQSA-N 1 2 313.401 1.887 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@@H+](C[C@@H]2CCC3(COC3)O2)C1 ZINC000930924967 713961513 /nfs/dbraw/zinc/96/15/13/713961513.db2.gz XSYLQPDNAOCIRW-RDJZCZTQSA-N 1 2 313.401 1.887 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[NH+]2CCC(c3ncco3)CC2)cc1 ZINC000931446098 714100252 /nfs/dbraw/zinc/10/02/52/714100252.db2.gz UAEIDBVSJPVEOY-HNNXBMFYSA-N 1 2 310.357 1.952 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000931844529 714195571 /nfs/dbraw/zinc/19/55/71/714195571.db2.gz AKBULNJARSMARL-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000931844529 714195572 /nfs/dbraw/zinc/19/55/72/714195572.db2.gz AKBULNJARSMARL-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@@H+]2CCn3cccc3C2)cc1 ZINC000932524259 714355100 /nfs/dbraw/zinc/35/51/00/714355100.db2.gz JQQFRUNHPHLAOU-KRWDZBQOSA-N 1 2 311.385 1.810 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@H+]2CCn3cccc3C2)cc1 ZINC000932524259 714355103 /nfs/dbraw/zinc/35/51/03/714355103.db2.gz JQQFRUNHPHLAOU-KRWDZBQOSA-N 1 2 311.385 1.810 20 30 DDEDLO Cn1nccc1[C@H]1C[N@H+](C[C@@H](O)c2ccc(C#N)cc2)CCO1 ZINC000933533840 714611019 /nfs/dbraw/zinc/61/10/19/714611019.db2.gz LWEOHKHQTHXCOK-IAGOWNOFSA-N 1 2 312.373 1.399 20 30 DDEDLO Cn1nccc1[C@H]1C[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)CCO1 ZINC000933533840 714611021 /nfs/dbraw/zinc/61/10/21/714611021.db2.gz LWEOHKHQTHXCOK-IAGOWNOFSA-N 1 2 312.373 1.399 20 30 DDEDLO N#Cc1csc(C[NH+]2CCC(CN3CCNC3=O)CC2)n1 ZINC000933579691 714623794 /nfs/dbraw/zinc/62/37/94/714623794.db2.gz PDUVFYXGCWTTEO-UHFFFAOYSA-N 1 2 305.407 1.252 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccc(F)cc1)[N@@H+]1CC[C@@H]1CO ZINC000934022865 714724050 /nfs/dbraw/zinc/72/40/50/714724050.db2.gz ANHOGVRWBUQNTH-IUODEOHRSA-N 1 2 305.353 1.527 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccc(F)cc1)[N@H+]1CC[C@@H]1CO ZINC000934022865 714724054 /nfs/dbraw/zinc/72/40/54/714724054.db2.gz ANHOGVRWBUQNTH-IUODEOHRSA-N 1 2 305.353 1.527 20 30 DDEDLO CO[C@@H]1CC[C@H]1[N@H+](C)Cc1nc2ccccc2c(=O)n1CC#N ZINC000934117071 714745529 /nfs/dbraw/zinc/74/55/29/714745529.db2.gz JTPHKCMRCWMUQO-HUUCEWRRSA-N 1 2 312.373 1.529 20 30 DDEDLO CO[C@@H]1CC[C@H]1[N@@H+](C)Cc1nc2ccccc2c(=O)n1CC#N ZINC000934117071 714745531 /nfs/dbraw/zinc/74/55/31/714745531.db2.gz JTPHKCMRCWMUQO-HUUCEWRRSA-N 1 2 312.373 1.529 20 30 DDEDLO C=CC[C@H]1CCN1CCS(=O)(=O)CC[N@@H+]1CC[C@@H]1CC=C ZINC000934467647 714827571 /nfs/dbraw/zinc/82/75/71/714827571.db2.gz PLARYIIJTCRVAL-HOTGVXAUSA-N 1 2 312.479 1.702 20 30 DDEDLO C=CC[C@H]1CCN1CCS(=O)(=O)CC[N@H+]1CC[C@@H]1CC=C ZINC000934467647 714827573 /nfs/dbraw/zinc/82/75/73/714827573.db2.gz PLARYIIJTCRVAL-HOTGVXAUSA-N 1 2 312.479 1.702 20 30 DDEDLO C=CC[C@@H]1CC[N@H+]1Cc1nnc2n1c1ccccc1c(=O)n2C ZINC000934472999 714828605 /nfs/dbraw/zinc/82/86/05/714828605.db2.gz TVTMVESIUFVJTC-GFCCVEGCSA-N 1 2 309.373 1.732 20 30 DDEDLO C=CC[C@@H]1CC[N@@H+]1Cc1nnc2n1c1ccccc1c(=O)n2C ZINC000934472999 714828606 /nfs/dbraw/zinc/82/86/06/714828606.db2.gz TVTMVESIUFVJTC-GFCCVEGCSA-N 1 2 309.373 1.732 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000934513028 714839551 /nfs/dbraw/zinc/83/95/51/714839551.db2.gz FKWJMXPHJNMNLJ-CQSZACIVSA-N 1 2 313.357 1.972 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000934513028 714839553 /nfs/dbraw/zinc/83/95/53/714839553.db2.gz FKWJMXPHJNMNLJ-CQSZACIVSA-N 1 2 313.357 1.972 20 30 DDEDLO CC1(C#N)CCN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)CC1 ZINC000935295653 715022186 /nfs/dbraw/zinc/02/21/86/715022186.db2.gz RGECFGKBOOZSFB-CABCVRRESA-N 1 2 321.421 1.018 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000935912122 715137372 /nfs/dbraw/zinc/13/73/72/715137372.db2.gz QLWOKQRWJLAQKV-ZIAGYGMSSA-N 1 2 305.422 1.760 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C(C)C)C2)CC1 ZINC000956599717 715484112 /nfs/dbraw/zinc/48/41/12/715484112.db2.gz QOLQGINQBVBINA-OAHLLOKOSA-N 1 2 321.465 1.600 20 30 DDEDLO C=CCC[NH+]1CC(N(CC)C(=O)c2ccc(C(N)=O)s2)C1 ZINC000956953762 715680614 /nfs/dbraw/zinc/68/06/14/715680614.db2.gz YMWIQTHTIMZJSX-UHFFFAOYSA-N 1 2 307.419 1.569 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccnc(NC(C)=O)c2)C1 ZINC000956985669 715695664 /nfs/dbraw/zinc/69/56/64/715695664.db2.gz SIFOUUUIUCTSKY-UHFFFAOYSA-N 1 2 314.389 1.210 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@H]2CCO[C@@H](C(C)C)C2)CC1 ZINC000957102393 715746777 /nfs/dbraw/zinc/74/67/77/715746777.db2.gz CLOJBLPVWUSGHW-DLBZAZTESA-N 1 2 306.450 1.995 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)C2C[NH+]([C@H](C)COC)C2)nc1 ZINC000957294905 715820738 /nfs/dbraw/zinc/82/07/38/715820738.db2.gz WVKIOKYJKUWMSR-CYBMUJFWSA-N 1 2 301.390 1.244 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(OC)c(OC)c2)C1 ZINC000957343266 715848632 /nfs/dbraw/zinc/84/86/32/715848632.db2.gz DERJWYIDMQGUOP-UHFFFAOYSA-N 1 2 316.401 1.873 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc(NC(C)=O)c2)C1 ZINC000957383134 715872851 /nfs/dbraw/zinc/87/28/51/715872851.db2.gz JRCPBFFLCWUIIL-UHFFFAOYSA-N 1 2 313.401 1.815 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC000939007172 716082903 /nfs/dbraw/zinc/08/29/03/716082903.db2.gz VQXJBXXMNJJXGP-CYBMUJFWSA-N 1 2 304.394 1.155 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2scnc2C)[C@H](O)C1 ZINC000957884102 716216500 /nfs/dbraw/zinc/21/65/00/716216500.db2.gz ZLALDKYDOMDNRM-GHMZBOCLSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2scnc2C)[C@H](O)C1 ZINC000957884102 716216504 /nfs/dbraw/zinc/21/65/04/716216504.db2.gz ZLALDKYDOMDNRM-GHMZBOCLSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2coc(CC)n2)[C@H](O)C1 ZINC000957997531 716268144 /nfs/dbraw/zinc/26/81/44/716268144.db2.gz ZLFCQHIJFFQAPB-ZYHUDNBSSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2coc(CC)n2)[C@H](O)C1 ZINC000957997531 716268148 /nfs/dbraw/zinc/26/81/48/716268148.db2.gz ZLFCQHIJFFQAPB-ZYHUDNBSSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](N(C)C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000939548918 716317043 /nfs/dbraw/zinc/31/70/43/716317043.db2.gz VJYBRYLQXYRQHU-CYBMUJFWSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](N(C)C(=O)Cn3cc[nH+]c3)C2)C1 ZINC000939560554 716324781 /nfs/dbraw/zinc/32/47/81/716324781.db2.gz QOJJRWVMMVQSMJ-CQSZACIVSA-N 1 2 316.405 1.299 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC000941266311 717143009 /nfs/dbraw/zinc/14/30/09/717143009.db2.gz HJNKJKZDGLOCAQ-JHJVBQTASA-N 1 2 316.405 1.443 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC000941266311 717143013 /nfs/dbraw/zinc/14/30/13/717143013.db2.gz HJNKJKZDGLOCAQ-JHJVBQTASA-N 1 2 316.405 1.443 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@]34C[C@H]3CCCC4)CC2)C1 ZINC000941559089 717210030 /nfs/dbraw/zinc/21/00/30/717210030.db2.gz LNIGPRYNFHXCOB-VQIMIIECSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3csc(Cl)c3)CC2)C1 ZINC000941592332 717222228 /nfs/dbraw/zinc/22/22/28/717222228.db2.gz HTEKLEXXKOARCV-UHFFFAOYSA-N 1 2 323.849 1.477 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@]3(C)C(C)C)CC2)C1 ZINC000941623722 717233824 /nfs/dbraw/zinc/23/38/24/717233824.db2.gz ZYNNFTPECFJEIS-PKOBYXMFSA-N 1 2 317.477 1.520 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H](C)c3ccccc3)CC2)C1 ZINC000941641465 717240455 /nfs/dbraw/zinc/24/04/55/717240455.db2.gz BUDPFULMJWZFTC-MRXNPFEDSA-N 1 2 311.429 1.252 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@H]2C1 ZINC000962053317 717288677 /nfs/dbraw/zinc/28/86/77/717288677.db2.gz IJFXVLQGDMMWHX-BETUJISGSA-N 1 2 316.405 1.081 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ncccn2)CC[C@@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC000941930764 717393510 /nfs/dbraw/zinc/39/35/10/717393510.db2.gz VDTQPKMDKUHOSB-OCCSQVGLSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ncccn2)CC[C@@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC000941930764 717393512 /nfs/dbraw/zinc/39/35/12/717393512.db2.gz VDTQPKMDKUHOSB-OCCSQVGLSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ncccn2)CC[C@@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC000941968894 717410925 /nfs/dbraw/zinc/41/09/25/717410925.db2.gz ZBJFSAJYGPFHNS-OCCSQVGLSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ncccn2)CC[C@@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC000941968894 717410928 /nfs/dbraw/zinc/41/09/28/717410928.db2.gz ZBJFSAJYGPFHNS-OCCSQVGLSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(C)ncn2)C1 ZINC000965916386 717813923 /nfs/dbraw/zinc/81/39/23/717813923.db2.gz HNKHGARDWFXXQE-IINYFYTJSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(C)ncn2)C1 ZINC000965916386 717813927 /nfs/dbraw/zinc/81/39/27/717813927.db2.gz HNKHGARDWFXXQE-IINYFYTJSA-N 1 2 308.813 1.978 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ncc[nH]1 ZINC000966000415 717856266 /nfs/dbraw/zinc/85/62/66/717856266.db2.gz OONAXJNBEHQVLC-CZUORRHYSA-N 1 2 323.400 1.922 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ncc[nH]1 ZINC000966000415 717856269 /nfs/dbraw/zinc/85/62/69/717856269.db2.gz OONAXJNBEHQVLC-CZUORRHYSA-N 1 2 323.400 1.922 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC000967943527 719097533 /nfs/dbraw/zinc/09/75/33/719097533.db2.gz JVDHAPREQIUPQS-JOYOIKCWSA-N 1 2 324.812 1.683 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CCN1CC#N ZINC000947879107 719296894 /nfs/dbraw/zinc/29/68/94/719296894.db2.gz WFKURNOGFZRRGH-ZBFHGGJFSA-N 1 2 323.400 1.979 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000948871870 719757346 /nfs/dbraw/zinc/75/73/46/719757346.db2.gz VJMYNXDQAVUUBC-UHFFFAOYSA-N 1 2 315.373 1.351 20 30 DDEDLO N#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000968920015 719869585 /nfs/dbraw/zinc/86/95/85/719869585.db2.gz NPIOUYARYXMSIU-CABCVRRESA-N 1 2 315.421 1.330 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)C2(n3cnnn3)CCCCC2)CC1 ZINC000949610147 720208247 /nfs/dbraw/zinc/20/82/47/720208247.db2.gz WRMDDKHZVVSDGJ-UHFFFAOYSA-N 1 2 318.425 1.053 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccnc3[nH]cnc32)C1 ZINC000969769830 720284634 /nfs/dbraw/zinc/28/46/34/720284634.db2.gz UMUNOFMKECEDKH-JTQLQIEISA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccc(C(N)=O)o2)C1 ZINC000970103318 720627095 /nfs/dbraw/zinc/62/70/95/720627095.db2.gz BZDAAGZKAUVBKD-SECBINFHSA-N 1 2 311.769 1.181 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc3c2COCC3)C1 ZINC000950497479 720658043 /nfs/dbraw/zinc/65/80/43/720658043.db2.gz SOVDJMHOVLDHSH-UHFFFAOYSA-N 1 2 312.413 1.929 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC000970252211 720679342 /nfs/dbraw/zinc/67/93/42/720679342.db2.gz WWHCRXKPCJDOQL-LLVKDONJSA-N 1 2 324.812 1.602 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC000950639991 720716853 /nfs/dbraw/zinc/71/68/53/720716853.db2.gz ZETWYKNRWUQPHC-CQSZACIVSA-N 1 2 300.406 1.002 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnc(OCC)cn2)C1 ZINC000970985730 721035873 /nfs/dbraw/zinc/03/58/73/721035873.db2.gz LZNSTWLCCSHBLP-NSHDSACASA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc3nonc3c2)C1 ZINC000951596303 721091421 /nfs/dbraw/zinc/09/14/21/721091421.db2.gz ZZZOWWCAJCUARK-UHFFFAOYSA-N 1 2 300.362 1.945 20 30 DDEDLO C[N@H+](Cc1ccccc1C#N)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC000971125835 721122241 /nfs/dbraw/zinc/12/22/41/721122241.db2.gz PLZHPECYPBOZJV-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO C[N@@H+](Cc1ccccc1C#N)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC000971125835 721122244 /nfs/dbraw/zinc/12/22/44/721122244.db2.gz PLZHPECYPBOZJV-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C3CC3)o2)C1 ZINC000971219047 721185662 /nfs/dbraw/zinc/18/56/62/721185662.db2.gz VNRROXUIKNMMBA-AAEUAGOBSA-N 1 2 302.378 1.744 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C3CC3)o2)C1 ZINC000971219047 721185665 /nfs/dbraw/zinc/18/56/65/721185665.db2.gz VNRROXUIKNMMBA-AAEUAGOBSA-N 1 2 302.378 1.744 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971504374 721326046 /nfs/dbraw/zinc/32/60/46/721326046.db2.gz KYKNMGKRGNBYSH-SMDDNHRTSA-N 1 2 302.378 1.385 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971504374 721326051 /nfs/dbraw/zinc/32/60/51/721326051.db2.gz KYKNMGKRGNBYSH-SMDDNHRTSA-N 1 2 302.378 1.385 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc(C3CC3)[nH]c2=O)C1 ZINC000952423165 721444336 /nfs/dbraw/zinc/44/43/36/721444336.db2.gz UNBCEJIKPPZSKC-UHFFFAOYSA-N 1 2 316.405 1.782 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2CCN(C(=O)C(F)F)[C@@H]2C1 ZINC001075471948 735336547 /nfs/dbraw/zinc/33/65/47/735336547.db2.gz FDQVHTVIDQCHOU-UONOGXRCSA-N 1 2 305.328 1.856 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2CCN(C(=O)C(F)F)[C@@H]2C1 ZINC001075471948 735336552 /nfs/dbraw/zinc/33/65/52/735336552.db2.gz FDQVHTVIDQCHOU-UONOGXRCSA-N 1 2 305.328 1.856 20 30 DDEDLO O=C(NC[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1ncn[nH]1 ZINC001023656850 735377494 /nfs/dbraw/zinc/37/74/94/735377494.db2.gz INSWRXXJENCGNS-INIZCTEOSA-N 1 2 323.400 1.298 20 30 DDEDLO O=C(NC[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1ncn[nH]1 ZINC001023656850 735377497 /nfs/dbraw/zinc/37/74/97/735377497.db2.gz INSWRXXJENCGNS-INIZCTEOSA-N 1 2 323.400 1.298 20 30 DDEDLO O=C(NC[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1nc[nH]n1 ZINC001023656850 735377500 /nfs/dbraw/zinc/37/75/00/735377500.db2.gz INSWRXXJENCGNS-INIZCTEOSA-N 1 2 323.400 1.298 20 30 DDEDLO O=C(NC[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1nc[nH]n1 ZINC001023656850 735377503 /nfs/dbraw/zinc/37/75/03/735377503.db2.gz INSWRXXJENCGNS-INIZCTEOSA-N 1 2 323.400 1.298 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)cc3C)[C@H]2C1 ZINC001083263687 735423510 /nfs/dbraw/zinc/42/35/10/735423510.db2.gz KHGRICNOLPXGIX-ZWKOTPCHSA-N 1 2 312.413 1.852 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)cc3C)[C@H]2C1 ZINC001083263687 735423512 /nfs/dbraw/zinc/42/35/12/735423512.db2.gz KHGRICNOLPXGIX-ZWKOTPCHSA-N 1 2 312.413 1.852 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(F)cccc1NC(N)=O ZINC001038750400 738411453 /nfs/dbraw/zinc/41/14/53/738411453.db2.gz DHUGDRBBAPWSEN-NSHDSACASA-N 1 2 318.352 1.144 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(F)cccc1NC(N)=O ZINC001038750400 738411455 /nfs/dbraw/zinc/41/14/55/738411455.db2.gz DHUGDRBBAPWSEN-NSHDSACASA-N 1 2 318.352 1.144 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]c3C3CCC3)[C@H]2C1 ZINC001083192860 732567601 /nfs/dbraw/zinc/56/76/01/732567601.db2.gz RXJBCBGMXHFIBV-JKSUJKDBSA-N 1 2 313.401 1.441 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]c3C3CCC3)[C@H]2C1 ZINC001083192860 732567605 /nfs/dbraw/zinc/56/76/05/732567605.db2.gz RXJBCBGMXHFIBV-JKSUJKDBSA-N 1 2 313.401 1.441 20 30 DDEDLO COC(=O)[C@@H]1COCCC12CN(c1cc(CC#N)cc[nH+]1)C2 ZINC001167162146 732791252 /nfs/dbraw/zinc/79/12/52/732791252.db2.gz JQEDSHVNFJJIJC-ZDUSSCGKSA-N 1 2 301.346 1.164 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3cccc(F)c3F)[C@H]2C1 ZINC001083206472 733261082 /nfs/dbraw/zinc/26/10/82/733261082.db2.gz BNEJYMOAIZNXPG-LSDHHAIUSA-N 1 2 320.339 1.052 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3cccc(F)c3F)[C@H]2C1 ZINC001083206472 733261084 /nfs/dbraw/zinc/26/10/84/733261084.db2.gz BNEJYMOAIZNXPG-LSDHHAIUSA-N 1 2 320.339 1.052 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]([C@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001039255455 733379915 /nfs/dbraw/zinc/37/99/15/733379915.db2.gz AKNGCTCYDYYDPI-LSDHHAIUSA-N 1 2 315.421 1.074 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]([C@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001039255455 733379921 /nfs/dbraw/zinc/37/99/21/733379921.db2.gz AKNGCTCYDYYDPI-LSDHHAIUSA-N 1 2 315.421 1.074 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc[n+]([O-])cc1 ZINC001039326044 733495822 /nfs/dbraw/zinc/49/58/22/733495822.db2.gz KMVJVRZZKHNKST-CABCVRRESA-N 1 2 321.808 1.751 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc[n+]([O-])cc1 ZINC001039326044 733495824 /nfs/dbraw/zinc/49/58/24/733495824.db2.gz KMVJVRZZKHNKST-CABCVRRESA-N 1 2 321.808 1.751 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(C(C)C)c3)[C@H]2C1 ZINC001083240090 734681284 /nfs/dbraw/zinc/68/12/84/734681284.db2.gz QEESLDKYZNFNOY-ZWKOTPCHSA-N 1 2 312.413 1.968 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(C(C)C)c3)[C@H]2C1 ZINC001083240090 734681287 /nfs/dbraw/zinc/68/12/87/734681287.db2.gz QEESLDKYZNFNOY-ZWKOTPCHSA-N 1 2 312.413 1.968 20 30 DDEDLO C#CCC1(C(=O)N(CCO)CCNc2cc[nH+]c(C)n2)CCC1 ZINC001111515591 734735920 /nfs/dbraw/zinc/73/59/20/734735920.db2.gz DMTABGIZNUSJEV-UHFFFAOYSA-N 1 2 316.405 1.211 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cnn(C(C)C)c3)[C@H]2C1 ZINC001083245943 734766921 /nfs/dbraw/zinc/76/69/21/734766921.db2.gz QMHXNJKSIJZTSC-JKSUJKDBSA-N 1 2 316.405 1.013 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cnn(C(C)C)c3)[C@H]2C1 ZINC001083245943 734766923 /nfs/dbraw/zinc/76/69/23/734766923.db2.gz QMHXNJKSIJZTSC-JKSUJKDBSA-N 1 2 316.405 1.013 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](OC)C3CCCC3)n2C)CC1 ZINC001121304573 782451122 /nfs/dbraw/zinc/45/11/22/782451122.db2.gz HINMKEHIGJXUJD-OAHLLOKOSA-N 1 2 317.437 1.448 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cn(C)nc1CC ZINC001027956699 738863371 /nfs/dbraw/zinc/86/33/71/738863371.db2.gz TZRLCQMWJYMLQN-LBPRGKRZSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cn(C)nc1CC ZINC001027956699 738863376 /nfs/dbraw/zinc/86/33/76/738863376.db2.gz TZRLCQMWJYMLQN-LBPRGKRZSA-N 1 2 310.829 1.929 20 30 DDEDLO Cc1cn(C)nc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038249077 734969804 /nfs/dbraw/zinc/96/98/04/734969804.db2.gz LYAYZEWZCWQFOW-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cn(C)nc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038249077 734969808 /nfs/dbraw/zinc/96/98/08/734969808.db2.gz LYAYZEWZCWQFOW-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2C[C@@H]3CCN(C(C)=O)[C@@H]3C2)c1 ZINC001075467210 735226982 /nfs/dbraw/zinc/22/69/82/735226982.db2.gz ARBQUAAGZIOJLA-DOTOQJQBSA-N 1 2 311.385 1.159 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2C[C@@H]3CCN(C(C)=O)[C@@H]3C2)c1 ZINC001075467210 735226985 /nfs/dbraw/zinc/22/69/85/735226985.db2.gz ARBQUAAGZIOJLA-DOTOQJQBSA-N 1 2 311.385 1.159 20 30 DDEDLO C#CCC[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCCc2cn[nH]c21 ZINC001024440128 735828987 /nfs/dbraw/zinc/82/89/87/735828987.db2.gz KOVRNUJNEOTMFM-CVEARBPZSA-N 1 2 314.433 1.824 20 30 DDEDLO C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCCc2cn[nH]c21 ZINC001024440128 735828990 /nfs/dbraw/zinc/82/89/90/735828990.db2.gz KOVRNUJNEOTMFM-CVEARBPZSA-N 1 2 314.433 1.824 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001024631069 735992681 /nfs/dbraw/zinc/99/26/81/735992681.db2.gz DDFBYJRWAPPBEI-LLVKDONJSA-N 1 2 312.801 1.463 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001024631069 735992682 /nfs/dbraw/zinc/99/26/82/735992682.db2.gz DDFBYJRWAPPBEI-LLVKDONJSA-N 1 2 312.801 1.463 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc2n(n1)CCCO2 ZINC001028017375 738938794 /nfs/dbraw/zinc/93/87/94/738938794.db2.gz TUTASSXFMHNBDB-GFCCVEGCSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc2n(n1)CCCO2 ZINC001028017375 738938795 /nfs/dbraw/zinc/93/87/95/738938795.db2.gz TUTASSXFMHNBDB-GFCCVEGCSA-N 1 2 324.812 1.612 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@@H]4CC[C@H](NCC#N)[C@H]4C3)ccn12 ZINC001026266695 737271071 /nfs/dbraw/zinc/27/10/71/737271071.db2.gz HYRZTKBWFCBYOQ-JYJNAYRXSA-N 1 2 323.400 1.607 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H](n2cc(C[NH2+]C/C=C/Cl)nn2)C1 ZINC001098634599 738954209 /nfs/dbraw/zinc/95/42/09/738954209.db2.gz UCMVYCQDRLHVPR-JRHXIVCJSA-N 1 2 322.800 1.053 20 30 DDEDLO C#CCOCCC(=O)N[C@H](CNc1cc[nH+]c(C)n1)CC(C)C ZINC001104968103 737424249 /nfs/dbraw/zinc/42/42/49/737424249.db2.gz UKVGUXSCIDQIIO-HNNXBMFYSA-N 1 2 318.421 1.768 20 30 DDEDLO Cc1nc(N[C@@H](CNC(=O)CSCC#N)C(C)C)cc[nH+]1 ZINC001105133884 737564757 /nfs/dbraw/zinc/56/47/57/737564757.db2.gz MXHPYGWXGUEBIX-LBPRGKRZSA-N 1 2 307.423 1.595 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3cscc3C)C2)nn1 ZINC001105207189 737613252 /nfs/dbraw/zinc/61/32/52/737613252.db2.gz IOZHPWQYLZJGTG-UHFFFAOYSA-N 1 2 315.402 1.068 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@]3(C)CCC[C@@H]3C)C2)nn1 ZINC001105319009 737838204 /nfs/dbraw/zinc/83/82/04/737838204.db2.gz WJIZIIFJJPYFNB-SUMWQHHRSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C1CCC(C(=O)N2CC(n3cc(C[NH2+]CCF)nn3)C2)CC1 ZINC001105322686 737852024 /nfs/dbraw/zinc/85/20/24/737852024.db2.gz ZRYMQEWBBPNWRD-UHFFFAOYSA-N 1 2 321.400 1.467 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(F)c(COC)c1 ZINC001038686646 738049323 /nfs/dbraw/zinc/04/93/23/738049323.db2.gz HXLGZSOCPFQEJG-OAHLLOKOSA-N 1 2 304.365 1.800 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(F)c(COC)c1 ZINC001038686646 738049324 /nfs/dbraw/zinc/04/93/24/738049324.db2.gz HXLGZSOCPFQEJG-OAHLLOKOSA-N 1 2 304.365 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1nccn2ccnc12 ZINC001028144853 739088673 /nfs/dbraw/zinc/08/86/73/739088673.db2.gz LWDSXHZFGJQAIE-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1nccn2ccnc12 ZINC001028144853 739088676 /nfs/dbraw/zinc/08/86/76/739088676.db2.gz LWDSXHZFGJQAIE-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H](Nc3cc[nH+]c(C)n3)C2)cn1 ZINC001058932041 739224545 /nfs/dbraw/zinc/22/45/45/739224545.db2.gz MUSAIIQCHALHRP-HNNXBMFYSA-N 1 2 307.357 1.488 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3cccnc3n2)C1 ZINC001035373022 751437263 /nfs/dbraw/zinc/43/72/63/751437263.db2.gz SJOMMADEEWUDFY-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc3cccnc3n2)C1 ZINC001035373022 751437265 /nfs/dbraw/zinc/43/72/65/751437265.db2.gz SJOMMADEEWUDFY-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cncnc1CC ZINC001028321722 739353699 /nfs/dbraw/zinc/35/36/99/739353699.db2.gz PEMAOUZMGRZRID-GFCCVEGCSA-N 1 2 308.813 1.986 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cncnc1CC ZINC001028321722 739353704 /nfs/dbraw/zinc/35/37/04/739353704.db2.gz PEMAOUZMGRZRID-GFCCVEGCSA-N 1 2 308.813 1.986 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)cc(C)n2)C1 ZINC001035422418 751480269 /nfs/dbraw/zinc/48/02/69/751480269.db2.gz BMIURIWEBCKUNT-OAHLLOKOSA-N 1 2 301.390 1.152 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)cc(C)n2)C1 ZINC001035422418 751480273 /nfs/dbraw/zinc/48/02/73/751480273.db2.gz BMIURIWEBCKUNT-OAHLLOKOSA-N 1 2 301.390 1.152 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccccc2COC)C1 ZINC001035427520 751484992 /nfs/dbraw/zinc/48/49/92/751484992.db2.gz KVRDDDGZMVJZKN-OAHLLOKOSA-N 1 2 304.390 1.450 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccccc2COC)C1 ZINC001035427520 751484997 /nfs/dbraw/zinc/48/49/97/751484997.db2.gz KVRDDDGZMVJZKN-OAHLLOKOSA-N 1 2 304.390 1.450 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001035418338 751499124 /nfs/dbraw/zinc/49/91/24/751499124.db2.gz WWTKQZLSDVIRQP-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001035418338 751499127 /nfs/dbraw/zinc/49/91/27/751499127.db2.gz WWTKQZLSDVIRQP-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)cc2F)C1 ZINC001035430461 751507439 /nfs/dbraw/zinc/50/74/39/751507439.db2.gz DFZUAELIBJEZDW-AWEZNQCLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)cc2F)C1 ZINC001035430461 751507447 /nfs/dbraw/zinc/50/74/47/751507447.db2.gz DFZUAELIBJEZDW-AWEZNQCLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2ncc(CC)o2)CCOCC1 ZINC001149306208 740132369 /nfs/dbraw/zinc/13/23/69/740132369.db2.gz VQDPWORMVLHEOP-UHFFFAOYSA-N 1 2 321.421 1.816 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2nc(Cl)cs2)C1 ZINC001035481029 751535314 /nfs/dbraw/zinc/53/53/14/751535314.db2.gz CZIICOYOIUGKGX-JTQLQIEISA-N 1 2 315.826 1.803 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2nc(Cl)cs2)C1 ZINC001035481029 751535317 /nfs/dbraw/zinc/53/53/17/751535317.db2.gz CZIICOYOIUGKGX-JTQLQIEISA-N 1 2 315.826 1.803 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(F)c(F)cc2F)C1 ZINC001035488585 751542696 /nfs/dbraw/zinc/54/26/96/751542696.db2.gz TYWBWUCTJYMCTA-SNVBAGLBSA-N 1 2 314.307 1.721 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(F)c(F)cc2F)C1 ZINC001035488585 751542699 /nfs/dbraw/zinc/54/26/99/751542699.db2.gz TYWBWUCTJYMCTA-SNVBAGLBSA-N 1 2 314.307 1.721 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H]3CCC3(C)C)C2)nn1 ZINC001098703507 740644975 /nfs/dbraw/zinc/64/49/75/740644975.db2.gz UEBYHMTVDXSXLV-HUUCEWRRSA-N 1 2 315.421 1.211 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCc3ccccc3C2)C1 ZINC001035526512 751606154 /nfs/dbraw/zinc/60/61/54/751606154.db2.gz RGXYRFIWGYWWPS-QZTJIDSGSA-N 1 2 314.429 1.795 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCc3ccccc3C2)C1 ZINC001035526512 751606158 /nfs/dbraw/zinc/60/61/58/751606158.db2.gz RGXYRFIWGYWWPS-QZTJIDSGSA-N 1 2 314.429 1.795 20 30 DDEDLO CN(CCCN(C)c1ccc(C#N)cn1)C(=O)Cc1c[nH+]c[nH]1 ZINC001112184254 741169777 /nfs/dbraw/zinc/16/97/77/741169777.db2.gz ZGXASTCZFUUYEF-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2coc(C3CCC3)n2)C1 ZINC001035572832 751626269 /nfs/dbraw/zinc/62/62/69/751626269.db2.gz DWCOQKQVWITZRH-CQSZACIVSA-N 1 2 317.389 1.396 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2coc(C3CCC3)n2)C1 ZINC001035572832 751626273 /nfs/dbraw/zinc/62/62/73/751626273.db2.gz DWCOQKQVWITZRH-CQSZACIVSA-N 1 2 317.389 1.396 20 30 DDEDLO N#CCN[C@H]1CCCCCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001088329795 741393865 /nfs/dbraw/zinc/39/38/65/741393865.db2.gz HKECPEQYKFDLFK-BFHYXJOUSA-N 1 2 301.394 1.398 20 30 DDEDLO N#CCN[C@H]1CCCCCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001088329795 741393866 /nfs/dbraw/zinc/39/38/66/741393866.db2.gz HKECPEQYKFDLFK-BFHYXJOUSA-N 1 2 301.394 1.398 20 30 DDEDLO N#CCNC[C@@]1(c2ccccc2)C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001105449053 741725689 /nfs/dbraw/zinc/72/56/89/741725689.db2.gz BUYHFYZYWIDPSJ-MAEOIBBWSA-N 1 2 323.400 1.213 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C(C)=C2CCCC2)[C@H](OC)C1 ZINC001212095028 741990130 /nfs/dbraw/zinc/99/01/30/741990130.db2.gz PHXRREILDAOFHH-IAGOWNOFSA-N 1 2 320.433 1.342 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C(C)=C2CCCC2)[C@H](OC)C1 ZINC001212095028 741990132 /nfs/dbraw/zinc/99/01/32/741990132.db2.gz PHXRREILDAOFHH-IAGOWNOFSA-N 1 2 320.433 1.342 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CCN(C)c1cc[nH+]c(C)n1 ZINC001105569762 742121521 /nfs/dbraw/zinc/12/15/21/742121521.db2.gz SIPIVPMESVUJDY-KGLIPLIRSA-N 1 2 304.394 1.271 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCc3nn[nH]c32)[C@H]1C ZINC001088823907 742465101 /nfs/dbraw/zinc/46/51/01/742465101.db2.gz JFHVAYUXBRGCIG-WOPDTQHZSA-N 1 2 323.828 1.556 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCc3nn[nH]c32)[C@H]1C ZINC001088823907 742465103 /nfs/dbraw/zinc/46/51/03/742465103.db2.gz JFHVAYUXBRGCIG-WOPDTQHZSA-N 1 2 323.828 1.556 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001126916292 742486323 /nfs/dbraw/zinc/48/63/23/742486323.db2.gz RZZWTKYKODPROU-UHFFFAOYSA-N 1 2 316.361 1.585 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)C3CCC3)C2)s1 ZINC001076664224 742874747 /nfs/dbraw/zinc/87/47/47/742874747.db2.gz LDKZVZGOWUPNJC-ZIAGYGMSSA-N 1 2 305.403 1.081 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)C3CCC3)C2)s1 ZINC001076664224 742874756 /nfs/dbraw/zinc/87/47/56/742874756.db2.gz LDKZVZGOWUPNJC-ZIAGYGMSSA-N 1 2 305.403 1.081 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)CC3CCC3)C2)s1 ZINC001076839678 743029892 /nfs/dbraw/zinc/02/98/92/743029892.db2.gz BWFYVTJATVANPI-HUUCEWRRSA-N 1 2 319.430 1.471 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)CC3CCC3)C2)s1 ZINC001076839678 743029902 /nfs/dbraw/zinc/02/99/02/743029902.db2.gz BWFYVTJATVANPI-HUUCEWRRSA-N 1 2 319.430 1.471 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@@H]2CCOC2)CC1 ZINC001002418202 743128752 /nfs/dbraw/zinc/12/87/52/743128752.db2.gz RRLRUFQFIRLYNN-LLVKDONJSA-N 1 2 317.227 1.512 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CCCC(C)=O)CC2)C1 ZINC001105688013 743234101 /nfs/dbraw/zinc/23/41/01/743234101.db2.gz SQIALBIGVGKDFY-UHFFFAOYSA-N 1 2 308.422 1.624 20 30 DDEDLO Cc1ccnc(C[NH+]2CCC(NC(=O)c3ccc(C#N)[nH]3)CC2)n1 ZINC001002625243 743292706 /nfs/dbraw/zinc/29/27/06/743292706.db2.gz GOIQBMHDRUAOCU-UHFFFAOYSA-N 1 2 324.388 1.379 20 30 DDEDLO CCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C[C@H]1O ZINC001089981139 743374796 /nfs/dbraw/zinc/37/47/96/743374796.db2.gz OYBMWTHXMPKKBB-HUUCEWRRSA-N 1 2 305.353 1.159 20 30 DDEDLO CCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C[C@H]1O ZINC001089981139 743374805 /nfs/dbraw/zinc/37/48/05/743374805.db2.gz OYBMWTHXMPKKBB-HUUCEWRRSA-N 1 2 305.353 1.159 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@H+](CCCCOC)CC2 ZINC001128251223 743374981 /nfs/dbraw/zinc/37/49/81/743374981.db2.gz VTCAPIRBLUQYRL-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@@H+](CCCCOC)CC2 ZINC001128251223 743374995 /nfs/dbraw/zinc/37/49/95/743374995.db2.gz VTCAPIRBLUQYRL-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](C)CSC)c2C1 ZINC001128277196 743452724 /nfs/dbraw/zinc/45/27/24/743452724.db2.gz GETALAFQHQTLBO-ZDUSSCGKSA-N 1 2 322.478 1.890 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H](C)CSC)c2C1 ZINC001128277196 743452728 /nfs/dbraw/zinc/45/27/28/743452728.db2.gz GETALAFQHQTLBO-ZDUSSCGKSA-N 1 2 322.478 1.890 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1occc1C)C2 ZINC001110281328 743579900 /nfs/dbraw/zinc/57/99/00/743579900.db2.gz HUCRWKYQSFFDIS-MCIONIFRSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1occc1C)C2 ZINC001110281328 743579903 /nfs/dbraw/zinc/57/99/03/743579903.db2.gz HUCRWKYQSFFDIS-MCIONIFRSA-N 1 2 317.389 1.225 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCC)C2 ZINC001110285238 743596139 /nfs/dbraw/zinc/59/61/39/743596139.db2.gz XPICMXDAKAIRON-KFWWJZLASA-N 1 2 305.422 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCC)C2 ZINC001110285238 743596142 /nfs/dbraw/zinc/59/61/42/743596142.db2.gz XPICMXDAKAIRON-KFWWJZLASA-N 1 2 305.422 1.038 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC3(OC)CCC3)CC2)C1 ZINC001105707218 743605740 /nfs/dbraw/zinc/60/57/40/743605740.db2.gz ROYBEXKMDXLYST-UHFFFAOYSA-N 1 2 322.449 1.823 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CC2CCC3(CC2)OCCO3)CC1 ZINC001183318874 743819025 /nfs/dbraw/zinc/81/90/25/743819025.db2.gz SRZMUWWZEJXDCS-UHFFFAOYSA-N 1 2 308.422 1.640 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(C(=O)OC)cc2C)CC1 ZINC001185760051 744281897 /nfs/dbraw/zinc/28/18/97/744281897.db2.gz YYFGDVDDTQIYCI-UHFFFAOYSA-N 1 2 302.374 1.725 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2ncc(C)o2)[C@@H]1C ZINC001088868139 744294722 /nfs/dbraw/zinc/29/47/22/744294722.db2.gz MNPKBWOBPORSAQ-KBXIAJHMSA-N 1 2 319.405 1.653 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)o2)[C@@H]1C ZINC001088868139 744294724 /nfs/dbraw/zinc/29/47/24/744294724.db2.gz MNPKBWOBPORSAQ-KBXIAJHMSA-N 1 2 319.405 1.653 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(=O)n(CC)n2)[C@H]1C ZINC001088928262 744376617 /nfs/dbraw/zinc/37/66/17/744376617.db2.gz VROJGROZHZKFLD-NEPJUHHUSA-N 1 2 324.812 1.208 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(=O)n(CC)n2)[C@H]1C ZINC001088928262 744376619 /nfs/dbraw/zinc/37/66/19/744376619.db2.gz VROJGROZHZKFLD-NEPJUHHUSA-N 1 2 324.812 1.208 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001188080835 744657354 /nfs/dbraw/zinc/65/73/54/744657354.db2.gz MNVHPTKSUQQLKH-DZGCQCFKSA-N 1 2 303.410 1.204 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001188080835 744657356 /nfs/dbraw/zinc/65/73/56/744657356.db2.gz MNVHPTKSUQQLKH-DZGCQCFKSA-N 1 2 303.410 1.204 20 30 DDEDLO Cc1nc(N[C@@H](C)C[C@H](C)NC(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001089250795 744787018 /nfs/dbraw/zinc/78/70/18/744787018.db2.gz BKXMQKQILIKHIC-QWRGUYRKSA-N 1 2 312.377 1.994 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2nc(C)no2)C1 ZINC001189925587 745037434 /nfs/dbraw/zinc/03/74/34/745037434.db2.gz CJXRBSBMMHWBJZ-GXTWGEPZSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2nc(C)no2)C1 ZINC001189925587 745037441 /nfs/dbraw/zinc/03/74/41/745037441.db2.gz CJXRBSBMMHWBJZ-GXTWGEPZSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCOc1ccc(C(=O)NC2CN(Cc3c[nH+]cn3C)C2)cc1 ZINC001031065069 745281977 /nfs/dbraw/zinc/28/19/77/745281977.db2.gz JHXCGYVFUNYTHE-UHFFFAOYSA-N 1 2 324.384 1.046 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2csc(C(C)(C)C)n2)C1 ZINC001031105139 745384255 /nfs/dbraw/zinc/38/42/55/745384255.db2.gz GJWPQEPYJXFJJZ-UHFFFAOYSA-N 1 2 305.447 1.807 20 30 DDEDLO CC/C=C(\C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191482111 745518778 /nfs/dbraw/zinc/51/87/78/745518778.db2.gz HGMYGKCNAYOCMV-ZGOKVMRMSA-N 1 2 312.413 1.556 20 30 DDEDLO CC/C=C(\C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191482111 745518780 /nfs/dbraw/zinc/51/87/80/745518780.db2.gz HGMYGKCNAYOCMV-ZGOKVMRMSA-N 1 2 312.413 1.556 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](C[C@H](F)CC)C[C@@H]1n1ccnn1 ZINC001129209479 752014254 /nfs/dbraw/zinc/01/42/54/752014254.db2.gz GNGHGZQDRZSVIA-KFWWJZLASA-N 1 2 323.416 1.724 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](C[C@H](F)CC)C[C@@H]1n1ccnn1 ZINC001129209479 752014258 /nfs/dbraw/zinc/01/42/58/752014258.db2.gz GNGHGZQDRZSVIA-KFWWJZLASA-N 1 2 323.416 1.724 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cn(C(C)C)nc2C)C[C@H]1O ZINC001191724824 745583811 /nfs/dbraw/zinc/58/38/11/745583811.db2.gz SBUHTFWNOZXTMI-HZPDHXFCSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cn(C(C)C)nc2C)C[C@H]1O ZINC001191724824 745583814 /nfs/dbraw/zinc/58/38/14/745583814.db2.gz SBUHTFWNOZXTMI-HZPDHXFCSA-N 1 2 320.437 1.400 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)cn1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106310160 745592661 /nfs/dbraw/zinc/59/26/61/745592661.db2.gz OSLHMFGZJUVXDK-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001192119366 745694497 /nfs/dbraw/zinc/69/44/97/745694497.db2.gz JAWZNDZUQQVNIT-WCLXKMDUSA-N 1 2 316.376 1.305 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001192119366 745694500 /nfs/dbraw/zinc/69/45/00/745694500.db2.gz JAWZNDZUQQVNIT-WCLXKMDUSA-N 1 2 316.376 1.305 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cc(C)n[nH]2)[C@H]1C ZINC000993206919 745823345 /nfs/dbraw/zinc/82/33/45/745823345.db2.gz ULMIODPXBIUKBL-TZMCWYRMSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cc(C)n[nH]2)[C@H]1C ZINC000993206919 745823351 /nfs/dbraw/zinc/82/33/51/745823351.db2.gz ULMIODPXBIUKBL-TZMCWYRMSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cc(C)[nH]n2)[C@H]1C ZINC000993206919 745823355 /nfs/dbraw/zinc/82/33/55/745823355.db2.gz ULMIODPXBIUKBL-TZMCWYRMSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cc(C)[nH]n2)[C@H]1C ZINC000993206919 745823365 /nfs/dbraw/zinc/82/33/65/745823365.db2.gz ULMIODPXBIUKBL-TZMCWYRMSA-N 1 2 310.829 1.982 20 30 DDEDLO C[C@@H](CCNc1ncccc1C#N)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106621016 745840286 /nfs/dbraw/zinc/84/02/86/745840286.db2.gz SGAFQXYVTSAJEY-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@@H](CCNc1ncccc1C#N)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106621016 745840292 /nfs/dbraw/zinc/84/02/92/745840292.db2.gz SGAFQXYVTSAJEY-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)cc2OC)[C@@H](O)C1 ZINC001083734164 745883414 /nfs/dbraw/zinc/88/34/14/745883414.db2.gz SRVCOAFKJMTSKC-KGLIPLIRSA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)cc2OC)[C@@H](O)C1 ZINC001083734164 745883420 /nfs/dbraw/zinc/88/34/20/745883420.db2.gz SRVCOAFKJMTSKC-KGLIPLIRSA-N 1 2 322.792 1.147 20 30 DDEDLO Cc1[nH+]c[nH]c1CSCCNC(=O)c1cnccc1C#N ZINC001192979311 745954439 /nfs/dbraw/zinc/95/44/39/745954439.db2.gz FCYUYYQSEPOVRR-UHFFFAOYSA-N 1 2 301.375 1.648 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001007152042 745990203 /nfs/dbraw/zinc/99/02/03/745990203.db2.gz MQYXHRVWSUKYRH-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1ncc(C[N@H+]2CCC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001007152042 745990208 /nfs/dbraw/zinc/99/02/08/745990208.db2.gz MQYXHRVWSUKYRH-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2c(F)ccc(F)c2F)[C@@H](O)C1 ZINC001083739259 746068466 /nfs/dbraw/zinc/06/84/66/746068466.db2.gz WUOICZJRPZGDSG-MNOVXSKESA-N 1 2 300.280 1.065 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2c(F)ccc(F)c2F)[C@@H](O)C1 ZINC001083739259 746068470 /nfs/dbraw/zinc/06/84/70/746068470.db2.gz WUOICZJRPZGDSG-MNOVXSKESA-N 1 2 300.280 1.065 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1O)OCC ZINC001193422333 746103604 /nfs/dbraw/zinc/10/36/04/746103604.db2.gz PFGDWRQSSNBQRO-BKQJUZCRSA-N 1 2 316.829 1.272 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1O)OCC ZINC001193422333 746103607 /nfs/dbraw/zinc/10/36/07/746103607.db2.gz PFGDWRQSSNBQRO-BKQJUZCRSA-N 1 2 316.829 1.272 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2c(C)nc[nH]c2=O)[C@H]1C ZINC000993717757 746285852 /nfs/dbraw/zinc/28/58/52/746285852.db2.gz IDGWPDFQGXSEKE-NEPJUHHUSA-N 1 2 324.812 1.826 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2c(C)nc[nH]c2=O)[C@H]1C ZINC000993717757 746285856 /nfs/dbraw/zinc/28/58/56/746285856.db2.gz IDGWPDFQGXSEKE-NEPJUHHUSA-N 1 2 324.812 1.826 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2CCCCCCC2)C1 ZINC001194576672 746412997 /nfs/dbraw/zinc/41/29/97/746412997.db2.gz VHXHOIHWIRVBMZ-IAGOWNOFSA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2CCCCCCC2)C1 ZINC001194576672 746413000 /nfs/dbraw/zinc/41/30/00/746413000.db2.gz VHXHOIHWIRVBMZ-IAGOWNOFSA-N 1 2 322.449 1.158 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1O ZINC001195030569 746512670 /nfs/dbraw/zinc/51/26/70/746512670.db2.gz RZJHCGQUVRKFNE-PNAFRVTLSA-N 1 2 316.829 1.129 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1O ZINC001195030569 746512672 /nfs/dbraw/zinc/51/26/72/746512672.db2.gz RZJHCGQUVRKFNE-PNAFRVTLSA-N 1 2 316.829 1.129 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC1CN(CC#Cc2ccccc2)C1 ZINC001031313392 746527353 /nfs/dbraw/zinc/52/73/53/746527353.db2.gz LHCKRIWOJFGPBZ-UHFFFAOYSA-N 1 2 308.385 1.113 20 30 DDEDLO CCc1nc(C(=O)N2CCC[N@H+](CC#CCOC)CC2)co1 ZINC001195556205 746631580 /nfs/dbraw/zinc/63/15/80/746631580.db2.gz SLNSWGWCLVBBRT-UHFFFAOYSA-N 1 2 305.378 1.035 20 30 DDEDLO CCc1nc(C(=O)N2CCC[N@@H+](CC#CCOC)CC2)co1 ZINC001195556205 746631581 /nfs/dbraw/zinc/63/15/81/746631581.db2.gz SLNSWGWCLVBBRT-UHFFFAOYSA-N 1 2 305.378 1.035 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)NCC2CCC2)CC1 ZINC001195644862 746663199 /nfs/dbraw/zinc/66/31/99/746663199.db2.gz ATYKSIJUMRWHBP-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)NCC2CCC2)CC1 ZINC001195644862 746663203 /nfs/dbraw/zinc/66/32/03/746663203.db2.gz ATYKSIJUMRWHBP-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195754667 746687374 /nfs/dbraw/zinc/68/73/74/746687374.db2.gz RNNOSYWJYYYDTB-CVEARBPZSA-N 1 2 321.465 1.483 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195754667 746687376 /nfs/dbraw/zinc/68/73/76/746687376.db2.gz RNNOSYWJYYYDTB-CVEARBPZSA-N 1 2 321.465 1.483 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3conc3C)C[C@@H]2O)CC1 ZINC001083754590 746696116 /nfs/dbraw/zinc/69/61/16/746696116.db2.gz YMDOGNYZVIISRG-CVEARBPZSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3conc3C)C[C@@H]2O)CC1 ZINC001083754590 746696119 /nfs/dbraw/zinc/69/61/19/746696119.db2.gz YMDOGNYZVIISRG-CVEARBPZSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3c(C)noc3C)C[C@@H]2O)C1 ZINC001083756165 746714582 /nfs/dbraw/zinc/71/45/82/746714582.db2.gz GJFVFHPYPWHYNM-CABCVRRESA-N 1 2 319.405 1.309 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3c(C)noc3C)C[C@@H]2O)C1 ZINC001083756165 746714588 /nfs/dbraw/zinc/71/45/88/746714588.db2.gz GJFVFHPYPWHYNM-CABCVRRESA-N 1 2 319.405 1.309 20 30 DDEDLO CCN(CC[NH+]1CCOCC1)C(=S)Nc1ccccc1C#N ZINC001196312054 746822720 /nfs/dbraw/zinc/82/27/20/746822720.db2.gz NXPFGBOJOCASES-UHFFFAOYSA-N 1 2 318.446 1.909 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC[C@H]3C[C@H]32)CC1 ZINC001196349511 746839868 /nfs/dbraw/zinc/83/98/68/746839868.db2.gz KRWWLDBJYMEVDT-ARFHVFGLSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC[C@H]3C[C@H]32)CC1 ZINC001196349511 746839875 /nfs/dbraw/zinc/83/98/75/746839875.db2.gz KRWWLDBJYMEVDT-ARFHVFGLSA-N 1 2 319.449 1.259 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1CCC(C)(C)CN1CC#N ZINC001099323807 746840671 /nfs/dbraw/zinc/84/06/71/746840671.db2.gz BAZPHMITIJCRCG-CYBMUJFWSA-N 1 2 303.410 1.391 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(C)CCC2)CC1 ZINC001196774416 746969766 /nfs/dbraw/zinc/96/97/66/746969766.db2.gz FFNUZBKKDZFVHT-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(C)CCC2)CC1 ZINC001196774416 746969767 /nfs/dbraw/zinc/96/97/67/746969767.db2.gz FFNUZBKKDZFVHT-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)N(C)CC)CC1 ZINC001196852821 746990731 /nfs/dbraw/zinc/99/07/31/746990731.db2.gz DRSQYXBQXHLNFB-LSDHHAIUSA-N 1 2 309.454 1.457 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)N(C)CC)CC1 ZINC001196852821 746990735 /nfs/dbraw/zinc/99/07/35/746990735.db2.gz DRSQYXBQXHLNFB-LSDHHAIUSA-N 1 2 309.454 1.457 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC001196853077 746990918 /nfs/dbraw/zinc/99/09/18/746990918.db2.gz GRKBINYTJQDSTR-HUUCEWRRSA-N 1 2 309.454 1.504 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC001196853077 746990919 /nfs/dbraw/zinc/99/09/19/746990919.db2.gz GRKBINYTJQDSTR-HUUCEWRRSA-N 1 2 309.454 1.504 20 30 DDEDLO CCc1cc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)[nH]n1 ZINC001031552169 747012363 /nfs/dbraw/zinc/01/23/63/747012363.db2.gz MVNRCOWQSYIPTM-UHFFFAOYSA-N 1 2 322.412 1.685 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)CCC)CC1 ZINC001197014730 747032788 /nfs/dbraw/zinc/03/27/88/747032788.db2.gz PWSNYROSPZHPTG-OAHLLOKOSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C(C)(C)CCC)CC1 ZINC001197014730 747032798 /nfs/dbraw/zinc/03/27/98/747032798.db2.gz PWSNYROSPZHPTG-OAHLLOKOSA-N 1 2 321.465 1.485 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)C3CC3)[C@H](O)C2)c(F)c1 ZINC001089993676 747041101 /nfs/dbraw/zinc/04/11/01/747041101.db2.gz RQSFRKZYRYUSJH-JKSUJKDBSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)C3CC3)[C@H](O)C2)c(F)c1 ZINC001089993676 747041107 /nfs/dbraw/zinc/04/11/07/747041107.db2.gz RQSFRKZYRYUSJH-JKSUJKDBSA-N 1 2 317.364 1.159 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@](C)(C=C)CC)CC1 ZINC001197062587 747051198 /nfs/dbraw/zinc/05/11/98/747051198.db2.gz UKEDMJVWMSGCBO-KRWDZBQOSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@](C)(C=C)CC)CC1 ZINC001197062587 747051204 /nfs/dbraw/zinc/05/12/04/747051204.db2.gz UKEDMJVWMSGCBO-KRWDZBQOSA-N 1 2 307.438 1.425 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1cocn1 ZINC001031579155 747091969 /nfs/dbraw/zinc/09/19/69/747091969.db2.gz BRAJFQSNTKJNFJ-UHFFFAOYSA-N 1 2 313.332 1.527 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H](CC)CCC)CC1 ZINC001197579598 747194606 /nfs/dbraw/zinc/19/46/06/747194606.db2.gz ZSRORAYBPJOPET-CVEARBPZSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@H](CC)CCC)CC1 ZINC001197579598 747194609 /nfs/dbraw/zinc/19/46/09/747194609.db2.gz ZSRORAYBPJOPET-CVEARBPZSA-N 1 2 321.465 1.485 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc(COC)c2)C1 ZINC001108057296 747291903 /nfs/dbraw/zinc/29/19/03/747291903.db2.gz FTBIVJYBHKLUKF-SFHVURJKSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc(COC)c2)C1 ZINC001108057296 747291907 /nfs/dbraw/zinc/29/19/07/747291907.db2.gz FTBIVJYBHKLUKF-SFHVURJKSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H](C)C(C)(C)C)CC1 ZINC001197942934 747317768 /nfs/dbraw/zinc/31/77/68/747317768.db2.gz IMRPYYVLSYKCFK-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H](C)C(C)(C)C)CC1 ZINC001197942934 747317772 /nfs/dbraw/zinc/31/77/72/747317772.db2.gz IMRPYYVLSYKCFK-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccns3)C2)s1 ZINC001031706927 747462717 /nfs/dbraw/zinc/46/27/17/747462717.db2.gz LMDXWCAHNRTESR-UHFFFAOYSA-N 1 2 318.427 1.938 20 30 DDEDLO C=CCCn1cc(C(=O)NC2CC[NH+](CC(=C)Cl)CC2)nn1 ZINC001003766508 747467655 /nfs/dbraw/zinc/46/76/55/747467655.db2.gz LQBWRQXOPSQLNJ-UHFFFAOYSA-N 1 2 323.828 1.801 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC[C@H]1NCC#N ZINC001036126411 752198583 /nfs/dbraw/zinc/19/85/83/752198583.db2.gz QBJRFOAUVAPHOW-RHSMWYFYSA-N 1 2 323.400 1.836 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(Cl)cn2C)C1 ZINC001108061838 747764463 /nfs/dbraw/zinc/76/44/63/747764463.db2.gz YBRRXHLIDZADJA-HNNXBMFYSA-N 1 2 311.813 1.685 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(Cl)cn2C)C1 ZINC001108061838 747764466 /nfs/dbraw/zinc/76/44/66/747764466.db2.gz YBRRXHLIDZADJA-HNNXBMFYSA-N 1 2 311.813 1.685 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)Oc2cccc(F)c2)[C@H](OC)C1 ZINC001212319093 747844179 /nfs/dbraw/zinc/84/41/79/747844179.db2.gz YYHYLBHVJYVZLK-APHBMKBZSA-N 1 2 320.364 1.042 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)Oc2cccc(F)c2)[C@H](OC)C1 ZINC001212319093 747844184 /nfs/dbraw/zinc/84/41/84/747844184.db2.gz YYHYLBHVJYVZLK-APHBMKBZSA-N 1 2 320.364 1.042 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001033012660 747906885 /nfs/dbraw/zinc/90/68/85/747906885.db2.gz XYBYAKXSMFBLSI-GXTWGEPZSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001033012660 747906887 /nfs/dbraw/zinc/90/68/87/747906887.db2.gz XYBYAKXSMFBLSI-GXTWGEPZSA-N 1 2 324.388 1.584 20 30 DDEDLO CC(C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)(C)C)C2 ZINC001110542999 748026555 /nfs/dbraw/zinc/02/65/55/748026555.db2.gz FWFWICWWZDDVPM-KFWWJZLASA-N 1 2 319.449 1.282 20 30 DDEDLO CC(C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)(C)C)C2 ZINC001110542999 748026563 /nfs/dbraw/zinc/02/65/63/748026563.db2.gz FWFWICWWZDDVPM-KFWWJZLASA-N 1 2 319.449 1.282 20 30 DDEDLO C#CCCCC(=O)N1CCCO[C@@H](C[NH2+]Cc2cnsn2)C1 ZINC001200405015 748222715 /nfs/dbraw/zinc/22/27/15/748222715.db2.gz RCRJAEXOYGWDDD-AWEZNQCLSA-N 1 2 322.434 1.049 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+][C@@H](C)c2nnc(C)o2)CCOCC1 ZINC001149324758 748229845 /nfs/dbraw/zinc/22/98/45/748229845.db2.gz AAYJYTQWJINTPR-LBPRGKRZSA-N 1 2 322.409 1.518 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077574724 748270326 /nfs/dbraw/zinc/27/03/26/748270326.db2.gz PTSCEIGBLIBOOT-AWEZNQCLSA-N 1 2 320.437 1.887 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccn3ccnc3c2)C1 ZINC001108070303 748309735 /nfs/dbraw/zinc/30/97/35/748309735.db2.gz QMPGXVZDZAQEAG-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccn3ccnc3c2)C1 ZINC001108070303 748309744 /nfs/dbraw/zinc/30/97/44/748309744.db2.gz QMPGXVZDZAQEAG-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO Cc1c[nH]c(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004577639 748561680 /nfs/dbraw/zinc/56/16/80/748561680.db2.gz IKYINVJJJALULY-AWEZNQCLSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1c[nH]c(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004577639 748561683 /nfs/dbraw/zinc/56/16/83/748561683.db2.gz IKYINVJJJALULY-AWEZNQCLSA-N 1 2 301.394 1.558 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCC[C@@H]([NH2+]Cc3cnsn3)C2)c1 ZINC000998848067 752286093 /nfs/dbraw/zinc/28/60/93/752286093.db2.gz DSZVIUVJEZNCJE-LLVKDONJSA-N 1 2 316.390 1.132 20 30 DDEDLO C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001007709437 752287199 /nfs/dbraw/zinc/28/71/99/752287199.db2.gz YZYWASJKJSTCOI-UONOGXRCSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ncc3n2CCCC3)C1 ZINC001032197161 748811020 /nfs/dbraw/zinc/81/10/20/748811020.db2.gz VYRMCZLHUQHRKP-UHFFFAOYSA-N 1 2 308.813 1.634 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCc3nccn3C2)C1 ZINC001033205132 748915985 /nfs/dbraw/zinc/91/59/85/748915985.db2.gz DVENOTGSZSMETP-UONOGXRCSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCc3nccn3C2)C1 ZINC001033205132 748915987 /nfs/dbraw/zinc/91/59/87/748915987.db2.gz DVENOTGSZSMETP-UONOGXRCSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110770684 748997778 /nfs/dbraw/zinc/99/77/78/748997778.db2.gz ZQGZKMPBBICRIE-INIZCTEOSA-N 1 2 304.394 1.463 20 30 DDEDLO C[C@]1(NC(=O)CCn2cc[nH+]c2)CCN(c2ccc(C#N)cn2)C1 ZINC001110802923 749023296 /nfs/dbraw/zinc/02/32/96/749023296.db2.gz XYMWVEHJPPKWKI-KRWDZBQOSA-N 1 2 324.388 1.325 20 30 DDEDLO C[C@]1(NC(=O)CCn2cc[nH+]c2)CCN(c2ncccc2C#N)C1 ZINC001110802567 749024063 /nfs/dbraw/zinc/02/40/63/749024063.db2.gz QQPPQYGWBZQCMY-KRWDZBQOSA-N 1 2 324.388 1.325 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnn(C)n3)C[C@H]21 ZINC001114326573 749058519 /nfs/dbraw/zinc/05/85/19/749058519.db2.gz URXQKTDGPNSWGZ-ZSHCYNCHSA-N 1 2 315.421 1.130 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnn(C)n3)C[C@H]21 ZINC001114326573 749058529 /nfs/dbraw/zinc/05/85/29/749058529.db2.gz URXQKTDGPNSWGZ-ZSHCYNCHSA-N 1 2 315.421 1.130 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CC[N@H+](Cc2nccs2)C1 ZINC001033432956 749331165 /nfs/dbraw/zinc/33/11/65/749331165.db2.gz SJJCYTKIXORYPT-MCIONIFRSA-N 1 2 321.446 1.767 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2nccs2)C1 ZINC001033432956 749331168 /nfs/dbraw/zinc/33/11/68/749331168.db2.gz SJJCYTKIXORYPT-MCIONIFRSA-N 1 2 321.446 1.767 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001108338814 761941352 /nfs/dbraw/zinc/94/13/52/761941352.db2.gz MURNBOQSVRBKLW-GOSISDBHSA-N 1 2 315.417 1.347 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001108338814 761941356 /nfs/dbraw/zinc/94/13/56/761941356.db2.gz MURNBOQSVRBKLW-GOSISDBHSA-N 1 2 315.417 1.347 20 30 DDEDLO Cc1cnoc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015937894 749347230 /nfs/dbraw/zinc/34/72/30/749347230.db2.gz IERZVYNNTGXKOX-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1cnoc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015937894 749347235 /nfs/dbraw/zinc/34/72/35/749347235.db2.gz IERZVYNNTGXKOX-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C#N)[nH]1)C2 ZINC001095327671 749459995 /nfs/dbraw/zinc/45/99/95/749459995.db2.gz ZNMRHSOAXCVACE-XBFCOCLRSA-N 1 2 304.781 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C#N)[nH]1)C2 ZINC001095327671 749460000 /nfs/dbraw/zinc/46/00/00/749460000.db2.gz ZNMRHSOAXCVACE-XBFCOCLRSA-N 1 2 304.781 1.974 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)on1 ZINC001039348851 761954165 /nfs/dbraw/zinc/95/41/65/761954165.db2.gz GWKPOCWIOIOESJ-UPJWGTAASA-N 1 2 318.377 1.408 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)on1 ZINC001039348851 761954169 /nfs/dbraw/zinc/95/41/69/761954169.db2.gz GWKPOCWIOIOESJ-UPJWGTAASA-N 1 2 318.377 1.408 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H](C)C3CCC3)nn2)C1 ZINC001107182404 749498678 /nfs/dbraw/zinc/49/86/78/749498678.db2.gz UBRBSDTXSJMJAG-ZDUSSCGKSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CCC(C)(F)F)nn2)C1 ZINC001107183684 749516092 /nfs/dbraw/zinc/51/60/92/749516092.db2.gz QZEFEFCWFULOPY-UHFFFAOYSA-N 1 2 313.352 1.372 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@H]3CC[C@@H](C)C3)nn2)C1 ZINC001107192288 749533450 /nfs/dbraw/zinc/53/34/50/749533450.db2.gz FIZMRQSXGITLBB-KGLIPLIRSA-N 1 2 317.437 1.763 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3(CC)CCC3)nn2)C1 ZINC001107197191 749558911 /nfs/dbraw/zinc/55/89/11/749558911.db2.gz CGTNQDQMUSJADG-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(Cl)cn2)C1 ZINC001108353901 761973515 /nfs/dbraw/zinc/97/35/15/761973515.db2.gz RIFYFFULFPXKCN-HNNXBMFYSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(Cl)cn2)C1 ZINC001108353901 761973518 /nfs/dbraw/zinc/97/35/18/761973518.db2.gz RIFYFFULFPXKCN-HNNXBMFYSA-N 1 2 309.797 1.742 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC/C=C/CNc1ccc(C#N)cn1 ZINC001107263352 749701939 /nfs/dbraw/zinc/70/19/39/749701939.db2.gz ZCUVPHWFLHMZOF-NSCUHMNNSA-N 1 2 310.361 1.014 20 30 DDEDLO Cc1nc(N2CCC(NC(=O)[C@H](C)C#N)CC2)c(C)c(C)[nH+]1 ZINC001095398834 749714339 /nfs/dbraw/zinc/71/43/39/749714339.db2.gz FGZGUNSTUCIVAM-SNVBAGLBSA-N 1 2 301.394 1.647 20 30 DDEDLO Cc1nn(C(C)C)cc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)C#N ZINC001032318182 749936654 /nfs/dbraw/zinc/93/66/54/749936654.db2.gz ISYDFLVUXIBKRG-RCBQFDQVSA-N 1 2 315.421 1.717 20 30 DDEDLO Cc1nn(C(C)C)cc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)C#N ZINC001032318182 749936659 /nfs/dbraw/zinc/93/66/59/749936659.db2.gz ISYDFLVUXIBKRG-RCBQFDQVSA-N 1 2 315.421 1.717 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(N(C)C)cc2)C1 ZINC001108364010 761994643 /nfs/dbraw/zinc/99/46/43/761994643.db2.gz KDMYVXZPQXWRCW-SFHVURJKSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(N(C)C)cc2)C1 ZINC001108364010 761994649 /nfs/dbraw/zinc/99/46/49/761994649.db2.gz KDMYVXZPQXWRCW-SFHVURJKSA-N 1 2 317.433 1.759 20 30 DDEDLO CCN(C(=O)c1ccn2c(C)c[nH+]c2c1)[C@@H]1CCN(CC#N)C1 ZINC001033832009 749953766 /nfs/dbraw/zinc/95/37/66/749953766.db2.gz HLNIYDALDRPPSL-OAHLLOKOSA-N 1 2 311.389 1.703 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CC)C(=O)c2cn3cc(C)nc3s2)C1 ZINC001033856683 749995387 /nfs/dbraw/zinc/99/53/87/749995387.db2.gz VMRIYHLWVZOHNA-ZDUSSCGKSA-N 1 2 316.430 1.874 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cn3cc(C)nc3s2)C1 ZINC001033856683 749995391 /nfs/dbraw/zinc/99/53/91/749995391.db2.gz VMRIYHLWVZOHNA-ZDUSSCGKSA-N 1 2 316.430 1.874 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cccc(F)c2)C1 ZINC001108380558 762002497 /nfs/dbraw/zinc/00/24/97/762002497.db2.gz SXGFZMLDZMXRGV-KRWDZBQOSA-N 1 2 306.381 1.761 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cccc(F)c2)C1 ZINC001108380558 762002506 /nfs/dbraw/zinc/00/25/06/762002506.db2.gz SXGFZMLDZMXRGV-KRWDZBQOSA-N 1 2 306.381 1.761 20 30 DDEDLO CN(CCCNC(=O)Cc1[nH]cc[nH+]1)c1cccc(F)c1C#N ZINC001095617328 750084949 /nfs/dbraw/zinc/08/49/49/750084949.db2.gz CSRKPMSUCUXCKW-UHFFFAOYSA-N 1 2 315.352 1.606 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC001077611628 750088649 /nfs/dbraw/zinc/08/86/49/750088649.db2.gz QDTSIJCYVKSHGJ-CHWSQXEVSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC001077611628 750088660 /nfs/dbraw/zinc/08/86/60/750088660.db2.gz QDTSIJCYVKSHGJ-CHWSQXEVSA-N 1 2 310.756 1.277 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001077614699 750101025 /nfs/dbraw/zinc/10/10/25/750101025.db2.gz RHUCMLOBCNBOTC-NILFDRSVSA-N 1 2 306.793 1.238 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001077614699 750101031 /nfs/dbraw/zinc/10/10/31/750101031.db2.gz RHUCMLOBCNBOTC-NILFDRSVSA-N 1 2 306.793 1.238 20 30 DDEDLO C[C@H](CC(=O)NC/C=C/CNc1ccc(C#N)cn1)n1cc[nH+]c1 ZINC001107546080 750110370 /nfs/dbraw/zinc/11/03/70/750110370.db2.gz TUUAIHQXRZWZSK-BAABZTOOSA-N 1 2 324.388 1.885 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111539746 750165824 /nfs/dbraw/zinc/16/58/24/750165824.db2.gz RCHHZLSHJPWJNT-STQMWFEESA-N 1 2 306.410 1.476 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001039421295 762017976 /nfs/dbraw/zinc/01/79/76/762017976.db2.gz YRAAMHMFGNQZGR-SEBNEYGDSA-N 1 2 310.825 1.697 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001039421295 762017984 /nfs/dbraw/zinc/01/79/84/762017984.db2.gz YRAAMHMFGNQZGR-SEBNEYGDSA-N 1 2 310.825 1.697 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001110925001 750229341 /nfs/dbraw/zinc/22/93/41/750229341.db2.gz CHIKBSUHBRVWOT-BMFZPTHFSA-N 1 2 315.421 1.230 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001110925001 750229345 /nfs/dbraw/zinc/22/93/45/750229345.db2.gz CHIKBSUHBRVWOT-BMFZPTHFSA-N 1 2 315.421 1.230 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cc(C)ns3)C[C@H]2O)C1 ZINC001077645443 750287048 /nfs/dbraw/zinc/28/70/48/750287048.db2.gz HADGXKUPDBIQLY-ZIAGYGMSSA-N 1 2 321.446 1.469 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cc(C)ns3)C[C@H]2O)C1 ZINC001077645443 750287055 /nfs/dbraw/zinc/28/70/55/750287055.db2.gz HADGXKUPDBIQLY-ZIAGYGMSSA-N 1 2 321.446 1.469 20 30 DDEDLO CN(c1ccc(C#N)cn1)[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001056907006 762031406 /nfs/dbraw/zinc/03/14/06/762031406.db2.gz VRDCTGFDEOKDGX-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc(C)c2)C1 ZINC001107711799 750419278 /nfs/dbraw/zinc/41/92/78/750419278.db2.gz LLPSYQHVQLAODG-GOSISDBHSA-N 1 2 300.402 1.839 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc(C)c2)C1 ZINC001107711799 750419283 /nfs/dbraw/zinc/41/92/83/750419283.db2.gz LLPSYQHVQLAODG-GOSISDBHSA-N 1 2 300.402 1.839 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H](C)[C@](C)(CNc2cc[nH+]c(C)n2)C1 ZINC001090248559 750759146 /nfs/dbraw/zinc/75/91/46/750759146.db2.gz ZOMTUVQUMFDAFW-CXAGYDPISA-N 1 2 318.421 1.884 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)C(CC)CC)CC2 ZINC001127938908 750795305 /nfs/dbraw/zinc/79/53/05/750795305.db2.gz RBECVTPWPKKPBJ-CQSZACIVSA-N 1 2 317.437 1.258 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(OC)ns2)C1 ZINC001107953069 750851556 /nfs/dbraw/zinc/85/15/56/750851556.db2.gz QHJBAACYSJSHKH-CQSZACIVSA-N 1 2 311.407 1.158 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(OC)ns2)C1 ZINC001107953069 750851560 /nfs/dbraw/zinc/85/15/60/750851560.db2.gz QHJBAACYSJSHKH-CQSZACIVSA-N 1 2 311.407 1.158 20 30 DDEDLO Cc1nc(N[C@H](C)[C@H]2CN(C(=O)C#CC(C)C)CCO2)cc[nH+]1 ZINC001114637362 750908531 /nfs/dbraw/zinc/90/85/31/750908531.db2.gz MWEOLZFHOPXKDM-UKRRQHHQSA-N 1 2 316.405 1.472 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ncccc2OC)C1 ZINC001108162327 750910801 /nfs/dbraw/zinc/91/08/01/750910801.db2.gz SDTDHZZFTVHQAK-QGZVFWFLSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ncccc2OC)C1 ZINC001108162327 750910804 /nfs/dbraw/zinc/91/08/04/750910804.db2.gz SDTDHZZFTVHQAK-QGZVFWFLSA-N 1 2 319.405 1.026 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(C2CCC2)no1 ZINC001034887618 750985814 /nfs/dbraw/zinc/98/58/14/750985814.db2.gz YKQNJZWWQINTQN-DGCLKSJQSA-N 1 2 317.393 1.577 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H](CNc2cc[nH+]c(C)n2)C[C@@H]1C ZINC001114691932 750995266 /nfs/dbraw/zinc/99/52/66/750995266.db2.gz YEENQCIUMYQYIQ-ZFWWWQNUSA-N 1 2 316.405 1.474 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-c2nc[nH]n2)c1 ZINC001032556952 751299133 /nfs/dbraw/zinc/29/91/33/751299133.db2.gz FCBAHPYPRMGCGM-GJZGRUSLSA-N 1 2 307.357 1.004 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-c2nc[nH]n2)c1 ZINC001032556952 751299139 /nfs/dbraw/zinc/29/91/39/751299139.db2.gz FCBAHPYPRMGCGM-GJZGRUSLSA-N 1 2 307.357 1.004 20 30 DDEDLO N#CCN1CCC2(C1)CCN(C(=O)CCn1cc[nH+]c1)CC2 ZINC001035210496 751310066 /nfs/dbraw/zinc/31/00/66/751310066.db2.gz LWRHNWGLRCBZAS-UHFFFAOYSA-N 1 2 301.394 1.111 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001099615896 752578096 /nfs/dbraw/zinc/57/80/96/752578096.db2.gz BJHXDDUBXVLTAM-NSHDSACASA-N 1 2 320.441 1.765 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(CCOC)CCCC2)C1 ZINC001108428845 762146013 /nfs/dbraw/zinc/14/60/13/762146013.db2.gz AFBZYODYMZJECR-QGZVFWFLSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(CCOC)CCCC2)C1 ZINC001108428845 762146017 /nfs/dbraw/zinc/14/60/17/762146017.db2.gz AFBZYODYMZJECR-QGZVFWFLSA-N 1 2 324.465 1.976 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](CC)CC(F)F)C1 ZINC001107988418 752790354 /nfs/dbraw/zinc/79/03/54/752790354.db2.gz CMCMNRJJNTWIKL-XJKSGUPXSA-N 1 2 316.392 1.898 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](CC)CC(F)F)C1 ZINC001107988418 752790356 /nfs/dbraw/zinc/79/03/56/752790356.db2.gz CMCMNRJJNTWIKL-XJKSGUPXSA-N 1 2 316.392 1.898 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1cccnn1 ZINC001008953847 753005824 /nfs/dbraw/zinc/00/58/24/753005824.db2.gz DJHWUBHUOZHFHU-KRWDZBQOSA-N 1 2 320.396 1.723 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1cccnn1 ZINC001008953847 753005828 /nfs/dbraw/zinc/00/58/28/753005828.db2.gz DJHWUBHUOZHFHU-KRWDZBQOSA-N 1 2 320.396 1.723 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(OCC2CC2)cn1 ZINC001032728148 753373004 /nfs/dbraw/zinc/37/30/04/753373004.db2.gz ODOSTZWDCCTIMT-KBPBESRZSA-N 1 2 314.389 1.350 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(OCC2CC2)cn1 ZINC001032728148 753373010 /nfs/dbraw/zinc/37/30/10/753373010.db2.gz ODOSTZWDCCTIMT-KBPBESRZSA-N 1 2 314.389 1.350 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H](CNc2cc[nH+]c(C)n2)[C@@H](C)C1 ZINC001090270020 753408138 /nfs/dbraw/zinc/40/81/38/753408138.db2.gz FPHROUZMZWTUFG-DZGCQCFKSA-N 1 2 318.421 1.884 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccccn2)nc1 ZINC001032738355 753410387 /nfs/dbraw/zinc/41/03/87/753410387.db2.gz YCBUTNXAGVSGFK-IRXDYDNUSA-N 1 2 318.380 1.557 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccccn2)nc1 ZINC001032738355 753410389 /nfs/dbraw/zinc/41/03/89/753410389.db2.gz YCBUTNXAGVSGFK-IRXDYDNUSA-N 1 2 318.380 1.557 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ncsc2C(C)C)C1 ZINC001077986001 753576554 /nfs/dbraw/zinc/57/65/54/753576554.db2.gz KVQVKDBRWXISMS-VXGBXAGGSA-N 1 2 307.419 1.065 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ncsc2C(C)C)C1 ZINC001077986001 753576556 /nfs/dbraw/zinc/57/65/56/753576556.db2.gz KVQVKDBRWXISMS-VXGBXAGGSA-N 1 2 307.419 1.065 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)ccc1OC ZINC001032775185 753588708 /nfs/dbraw/zinc/58/87/08/753588708.db2.gz APYYYAGGYRIEML-STQMWFEESA-N 1 2 300.358 1.236 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)ccc1OC ZINC001032775185 753588713 /nfs/dbraw/zinc/58/87/13/753588713.db2.gz APYYYAGGYRIEML-STQMWFEESA-N 1 2 300.358 1.236 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001078052632 753677745 /nfs/dbraw/zinc/67/77/45/753677745.db2.gz QMCJEFAKEDNWDG-HUUCEWRRSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001078052632 753677747 /nfs/dbraw/zinc/67/77/47/753677747.db2.gz QMCJEFAKEDNWDG-HUUCEWRRSA-N 1 2 319.380 1.405 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)C2CCOCC2)C1 ZINC001108446896 762233219 /nfs/dbraw/zinc/23/32/19/762233219.db2.gz MTGHZUYVIZIFEI-QAPCUYQASA-N 1 2 322.449 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)C2CCOCC2)C1 ZINC001108446896 762233223 /nfs/dbraw/zinc/23/32/23/762233223.db2.gz MTGHZUYVIZIFEI-QAPCUYQASA-N 1 2 322.449 1.280 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)cc2Cl)C1 ZINC001078062454 753695424 /nfs/dbraw/zinc/69/54/24/753695424.db2.gz CHJOCQDAQREYNR-CHWSQXEVSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)cc2Cl)C1 ZINC001078062454 753695430 /nfs/dbraw/zinc/69/54/30/753695430.db2.gz CHJOCQDAQREYNR-CHWSQXEVSA-N 1 2 313.184 1.402 20 30 DDEDLO CCc1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001010297966 753745021 /nfs/dbraw/zinc/74/50/21/753745021.db2.gz ZEOJJPBQPVUTPI-INIZCTEOSA-N 1 2 323.400 1.848 20 30 DDEDLO CCc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001010297966 753745022 /nfs/dbraw/zinc/74/50/22/753745022.db2.gz ZEOJJPBQPVUTPI-INIZCTEOSA-N 1 2 323.400 1.848 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CCC)C(C)C)[C@@H](n2ccnn2)C1 ZINC001129288407 753749874 /nfs/dbraw/zinc/74/98/74/753749874.db2.gz AESOHUVURIFFQV-XHSDSOJGSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CCC)C(C)C)[C@@H](n2ccnn2)C1 ZINC001129288407 753749876 /nfs/dbraw/zinc/74/98/76/753749876.db2.gz AESOHUVURIFFQV-XHSDSOJGSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CCCCC(=O)NCC1(NC(=O)CCn2cc[nH+]c2)CCC1 ZINC001062863594 753769487 /nfs/dbraw/zinc/76/94/87/753769487.db2.gz PQDSJWXQSJGBSX-UHFFFAOYSA-N 1 2 316.405 1.232 20 30 DDEDLO Cc1ncoc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001010444508 753923451 /nfs/dbraw/zinc/92/34/51/753923451.db2.gz ZGZBGRTYKUJFDL-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1ncoc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001010444508 753923455 /nfs/dbraw/zinc/92/34/55/753923455.db2.gz ZGZBGRTYKUJFDL-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001063060614 753944519 /nfs/dbraw/zinc/94/45/19/753944519.db2.gz BXKXXZMNCOPKFI-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)NC ZINC001039897364 762257041 /nfs/dbraw/zinc/25/70/41/762257041.db2.gz ZQAWKFKZUYRLCJ-AFIMGQEJSA-N 1 2 321.465 1.789 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H](C)C(=O)NC ZINC001039897364 762257046 /nfs/dbraw/zinc/25/70/46/762257046.db2.gz ZQAWKFKZUYRLCJ-AFIMGQEJSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)CCc1c[nH+]cn1C ZINC001066986308 754209838 /nfs/dbraw/zinc/20/98/38/754209838.db2.gz ZOWJUFXAOKHMDQ-UHFFFAOYSA-N 1 2 320.437 1.626 20 30 DDEDLO Cc1nonc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032816780 754432775 /nfs/dbraw/zinc/43/27/75/754432775.db2.gz CWMDOIOMJXXOMN-GJZGRUSLSA-N 1 2 323.356 1.349 20 30 DDEDLO Cc1nonc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032816780 754432779 /nfs/dbraw/zinc/43/27/79/754432779.db2.gz CWMDOIOMJXXOMN-GJZGRUSLSA-N 1 2 323.356 1.349 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(C)C)oc2C)[C@@H](O)C1 ZINC001083908623 754771733 /nfs/dbraw/zinc/77/17/33/754771733.db2.gz NUGHGHJUJRLIGR-CABCVRRESA-N 1 2 304.390 1.510 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(C)C)oc2C)[C@@H](O)C1 ZINC001083908623 754771734 /nfs/dbraw/zinc/77/17/34/754771734.db2.gz NUGHGHJUJRLIGR-CABCVRRESA-N 1 2 304.390 1.510 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001090306866 754821888 /nfs/dbraw/zinc/82/18/88/754821888.db2.gz AYFWRPZSNYEIFM-VBQJREDUSA-N 1 2 316.405 1.149 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H]2CCCN2C(=O)Cc2[nH]cc[nH+]2)n1 ZINC001064944797 754971808 /nfs/dbraw/zinc/97/18/08/754971808.db2.gz BSJVHFSZLCMXBU-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc[nH]c2)C1 ZINC001079740036 755559984 /nfs/dbraw/zinc/55/99/84/755559984.db2.gz VCCDVFDCGJRBQB-BXKDBHETSA-N 1 2 312.211 1.973 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc[nH]c2)C1 ZINC001079740036 755559990 /nfs/dbraw/zinc/55/99/90/755559990.db2.gz VCCDVFDCGJRBQB-BXKDBHETSA-N 1 2 312.211 1.973 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001014366373 755699027 /nfs/dbraw/zinc/69/90/27/755699027.db2.gz BQPSQXFQWLSBOH-INIZCTEOSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001014366373 755699031 /nfs/dbraw/zinc/69/90/31/755699031.db2.gz BQPSQXFQWLSBOH-INIZCTEOSA-N 1 2 308.385 1.574 20 30 DDEDLO COc1ccc2nc(Cl)cc(N3CC[NH2+]C[C@@H]3C#N)c2n1 ZINC001156320376 762431415 /nfs/dbraw/zinc/43/14/15/762431415.db2.gz ZRWONIMVYDPZFS-VIFPVBQESA-N 1 2 303.753 1.594 20 30 DDEDLO C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001080947787 756157134 /nfs/dbraw/zinc/15/71/34/756157134.db2.gz MOGRUSLILSTWQB-IUODEOHRSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001080947787 756157136 /nfs/dbraw/zinc/15/71/36/756157136.db2.gz MOGRUSLILSTWQB-IUODEOHRSA-N 1 2 300.406 1.362 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001015242635 756185264 /nfs/dbraw/zinc/18/52/64/756185264.db2.gz KDZBWBRYLBXYCA-SOUVJXGZSA-N 1 2 312.841 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001015242635 756185268 /nfs/dbraw/zinc/18/52/68/756185268.db2.gz KDZBWBRYLBXYCA-SOUVJXGZSA-N 1 2 312.841 1.992 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)CC1CC1 ZINC001081266173 756261117 /nfs/dbraw/zinc/26/11/17/756261117.db2.gz WQBPABAOZRDWNI-IAGOWNOFSA-N 1 2 313.401 1.674 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)CC1CC1 ZINC001081266173 756261119 /nfs/dbraw/zinc/26/11/19/756261119.db2.gz WQBPABAOZRDWNI-IAGOWNOFSA-N 1 2 313.401 1.674 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3csnn3)C2)s1 ZINC001015425631 756295195 /nfs/dbraw/zinc/29/51/95/756295195.db2.gz YSWQBPVOFVRIHI-SECBINFHSA-N 1 2 319.415 1.476 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3csnn3)C2)s1 ZINC001015425631 756295199 /nfs/dbraw/zinc/29/51/99/756295199.db2.gz YSWQBPVOFVRIHI-SECBINFHSA-N 1 2 319.415 1.476 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001015479915 756331658 /nfs/dbraw/zinc/33/16/58/756331658.db2.gz PPKQETQNYOGSQN-SNVBAGLBSA-N 1 2 316.390 1.051 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001015479915 756331661 /nfs/dbraw/zinc/33/16/61/756331661.db2.gz PPKQETQNYOGSQN-SNVBAGLBSA-N 1 2 316.390 1.051 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)[C@@]1(F)CCOC1 ZINC001015607808 756408494 /nfs/dbraw/zinc/40/84/94/756408494.db2.gz MVMNDSQRJUMUFG-FUHWJXTLSA-N 1 2 316.376 1.357 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)[C@@]1(F)CCOC1 ZINC001015607808 756408498 /nfs/dbraw/zinc/40/84/98/756408498.db2.gz MVMNDSQRJUMUFG-FUHWJXTLSA-N 1 2 316.376 1.357 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1ccnnc1 ZINC001015747812 756515573 /nfs/dbraw/zinc/51/55/73/756515573.db2.gz HIRLEDVQZPLXRF-QGZVFWFLSA-N 1 2 324.359 1.472 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1ccnnc1 ZINC001015747812 756515575 /nfs/dbraw/zinc/51/55/75/756515575.db2.gz HIRLEDVQZPLXRF-QGZVFWFLSA-N 1 2 324.359 1.472 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)ccc2OC)[C@H](OC)C1 ZINC001081911863 756531850 /nfs/dbraw/zinc/53/18/50/756531850.db2.gz DUSYIEUYSZPYNZ-GDBMZVCRSA-N 1 2 302.374 1.066 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)ccc2OC)[C@H](OC)C1 ZINC001081911863 756531858 /nfs/dbraw/zinc/53/18/58/756531858.db2.gz DUSYIEUYSZPYNZ-GDBMZVCRSA-N 1 2 302.374 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnn3cc(C)cnc23)C1 ZINC001015776726 756540777 /nfs/dbraw/zinc/54/07/77/756540777.db2.gz ALZDSIHNEYFVOS-GFCCVEGCSA-N 1 2 319.796 1.594 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnn3cc(C)cnc23)C1 ZINC001015776726 756540783 /nfs/dbraw/zinc/54/07/83/756540783.db2.gz ALZDSIHNEYFVOS-GFCCVEGCSA-N 1 2 319.796 1.594 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(CCC)cc2)[C@H](OC)C1 ZINC001082079235 756630697 /nfs/dbraw/zinc/63/06/97/756630697.db2.gz MCQNWXZDBMXTME-IAGOWNOFSA-N 1 2 300.402 1.701 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(CCC)cc2)[C@H](OC)C1 ZINC001082079235 756630703 /nfs/dbraw/zinc/63/07/03/756630703.db2.gz MCQNWXZDBMXTME-IAGOWNOFSA-N 1 2 300.402 1.701 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C3CC3)s2)[C@H](OC)C1 ZINC001082325544 756739241 /nfs/dbraw/zinc/73/92/41/756739241.db2.gz OOSRMNJNUNQITA-CHWSQXEVSA-N 1 2 304.415 1.688 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C3CC3)s2)[C@H](OC)C1 ZINC001082325544 756739244 /nfs/dbraw/zinc/73/92/44/756739244.db2.gz OOSRMNJNUNQITA-CHWSQXEVSA-N 1 2 304.415 1.688 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(CC(C)C)cc2)[C@H](OC)C1 ZINC001082364304 756754421 /nfs/dbraw/zinc/75/44/21/756754421.db2.gz XOXQWYXOIZCLHU-QZTJIDSGSA-N 1 2 314.429 1.947 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(CC(C)C)cc2)[C@H](OC)C1 ZINC001082364304 756754424 /nfs/dbraw/zinc/75/44/24/756754424.db2.gz XOXQWYXOIZCLHU-QZTJIDSGSA-N 1 2 314.429 1.947 20 30 DDEDLO CN(C)c1cc(C(F)(F)N2CC[NH2+]C[C@H]2C#N)nc(Cl)n1 ZINC001164661435 756859553 /nfs/dbraw/zinc/85/95/53/756859553.db2.gz LXUZLPPSTMCWBB-MRVPVSSYSA-N 1 2 316.743 1.043 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cnc(C4CC4)o3)[C@H]2C1 ZINC001083108956 757142509 /nfs/dbraw/zinc/14/25/09/757142509.db2.gz YWINHVRUSRTYHX-DZGCQCFKSA-N 1 2 315.373 1.101 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cnc(C4CC4)o3)[C@H]2C1 ZINC001083108956 757142511 /nfs/dbraw/zinc/14/25/11/757142511.db2.gz YWINHVRUSRTYHX-DZGCQCFKSA-N 1 2 315.373 1.101 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4c[nH]nc43)[C@H]2C1 ZINC001083159485 757168984 /nfs/dbraw/zinc/16/89/84/757168984.db2.gz CZUOHIXPRCPRKA-LSDHHAIUSA-N 1 2 312.373 1.274 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4c[nH]nc43)[C@H]2C1 ZINC001083159485 757168986 /nfs/dbraw/zinc/16/89/86/757168986.db2.gz CZUOHIXPRCPRKA-LSDHHAIUSA-N 1 2 312.373 1.274 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)cnn1C)C2 ZINC001097669379 757336031 /nfs/dbraw/zinc/33/60/31/757336031.db2.gz WJZPJWYRNASYNG-UPJWGTAASA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)cnn1C)C2 ZINC001097669379 757336041 /nfs/dbraw/zinc/33/60/41/757336041.db2.gz WJZPJWYRNASYNG-UPJWGTAASA-N 1 2 308.813 1.816 20 30 DDEDLO N#CCN1CCC2(C[C@H]2NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001016823903 757383890 /nfs/dbraw/zinc/38/38/90/757383890.db2.gz PSBPHIQZXYNTBE-GXTWGEPZSA-N 1 2 313.405 1.324 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](Cc3cnon3)CC2)C1 ZINC001052693692 757654130 /nfs/dbraw/zinc/65/41/30/757654130.db2.gz XPHOBHAKOPRJHF-CYBMUJFWSA-N 1 2 304.394 1.897 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnon3)CC2)C1 ZINC001052693692 757654133 /nfs/dbraw/zinc/65/41/33/757654133.db2.gz XPHOBHAKOPRJHF-CYBMUJFWSA-N 1 2 304.394 1.897 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001052729884 757697245 /nfs/dbraw/zinc/69/72/45/757697245.db2.gz RARRJMKHGVNZIQ-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CCOc1ncccc1C(=O)N1C[C@H]2CC[N@@H+](CCF)C[C@H]21 ZINC001084783241 757913640 /nfs/dbraw/zinc/91/36/40/757913640.db2.gz HQMXDLUCVPKWIL-UKRRQHHQSA-N 1 2 317.364 1.209 20 30 DDEDLO C#CCOc1ncccc1C(=O)N1C[C@H]2CC[N@H+](CCF)C[C@H]21 ZINC001084783241 757913643 /nfs/dbraw/zinc/91/36/43/757913643.db2.gz HQMXDLUCVPKWIL-UKRRQHHQSA-N 1 2 317.364 1.209 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H](C)C(C)C)CC2=O)C1 ZINC001108518543 762591829 /nfs/dbraw/zinc/59/18/29/762591829.db2.gz ZHQRDFKCMDYKAR-CABCVRRESA-N 1 2 321.465 1.646 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCO[C@H]1CC ZINC001017608033 758074967 /nfs/dbraw/zinc/07/49/67/758074967.db2.gz GUQWLAWBMSVOQW-YVSFHVDLSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCO[C@H]1CC ZINC001017608033 758074978 /nfs/dbraw/zinc/07/49/78/758074978.db2.gz GUQWLAWBMSVOQW-YVSFHVDLSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCN1c1ccccc1 ZINC001017609392 758076793 /nfs/dbraw/zinc/07/67/93/758076793.db2.gz YNMGEVXFBYIQMN-CEXWTWQISA-N 1 2 323.440 1.964 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCN1c1ccccc1 ZINC001017609392 758076804 /nfs/dbraw/zinc/07/68/04/758076804.db2.gz YNMGEVXFBYIQMN-CEXWTWQISA-N 1 2 323.440 1.964 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCN1c1ccccc1 ZINC001017609401 758077149 /nfs/dbraw/zinc/07/71/49/758077149.db2.gz YNMGEVXFBYIQMN-OTWHNJEPSA-N 1 2 323.440 1.964 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCN1c1ccccc1 ZINC001017609401 758077156 /nfs/dbraw/zinc/07/71/56/758077156.db2.gz YNMGEVXFBYIQMN-OTWHNJEPSA-N 1 2 323.440 1.964 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnccc1OC ZINC001017653445 758122670 /nfs/dbraw/zinc/12/26/70/758122670.db2.gz SCDNLMQWQRPMFF-IYBDPMFKSA-N 1 2 313.401 1.331 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnccc1OC ZINC001017653445 758122675 /nfs/dbraw/zinc/12/26/75/758122675.db2.gz SCDNLMQWQRPMFF-IYBDPMFKSA-N 1 2 313.401 1.331 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]([C@@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001053101567 758153548 /nfs/dbraw/zinc/15/35/48/758153548.db2.gz OOULYALQJGNYKX-GJZGRUSLSA-N 1 2 315.421 1.074 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]([C@@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001053101567 758153551 /nfs/dbraw/zinc/15/35/51/758153551.db2.gz OOULYALQJGNYKX-GJZGRUSLSA-N 1 2 315.421 1.074 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)CCOC2 ZINC001017726667 758186003 /nfs/dbraw/zinc/18/60/03/758186003.db2.gz PCCFQHBKYVEQND-HDICACEKSA-N 1 2 310.397 1.681 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)CCOC2 ZINC001017726667 758186007 /nfs/dbraw/zinc/18/60/07/758186007.db2.gz PCCFQHBKYVEQND-HDICACEKSA-N 1 2 310.397 1.681 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1oc(C)cc1C)CCO2 ZINC001053208758 758266134 /nfs/dbraw/zinc/26/61/34/758266134.db2.gz UNZYVSHWLRNQDD-UHFFFAOYSA-N 1 2 304.390 1.999 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1ccncc1C)CCO2 ZINC001053256343 758313820 /nfs/dbraw/zinc/31/38/20/758313820.db2.gz ZIJKZGDLYIZDJV-UHFFFAOYSA-N 1 2 315.417 1.422 20 30 DDEDLO CC#CCN1CC2(C1)CN(C(=O)c1cc3c[nH+]ccc3[nH]1)CCO2 ZINC001053308698 758361485 /nfs/dbraw/zinc/36/14/85/758361485.db2.gz NNVHATUOANZAMT-UHFFFAOYSA-N 1 2 324.384 1.113 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1snnc1CC)CCO2 ZINC001053331430 758380808 /nfs/dbraw/zinc/38/08/08/758380808.db2.gz UIEWDYLPUJCATH-UHFFFAOYSA-N 1 2 322.434 1.203 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCO[C@H]1CC)CCO2 ZINC001053351538 758394740 /nfs/dbraw/zinc/39/47/40/758394740.db2.gz BUJRFMJAPBHXST-CABCVRRESA-N 1 2 308.422 1.291 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)CCO2 ZINC001017987936 758444142 /nfs/dbraw/zinc/44/41/42/758444142.db2.gz RYVPCGGJBWIRCP-CALCHBBNSA-N 1 2 310.397 1.934 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)CCO2 ZINC001017987936 758444146 /nfs/dbraw/zinc/44/41/46/758444146.db2.gz RYVPCGGJBWIRCP-CALCHBBNSA-N 1 2 310.397 1.934 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1noc2c1CCCC2 ZINC001017991965 758448072 /nfs/dbraw/zinc/44/80/72/758448072.db2.gz ZIRIWRQYWDHWOZ-OKILXGFUSA-N 1 2 313.401 1.866 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1noc2c1CCCC2 ZINC001017991965 758448083 /nfs/dbraw/zinc/44/80/83/758448083.db2.gz ZIRIWRQYWDHWOZ-OKILXGFUSA-N 1 2 313.401 1.866 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c[nH]nc1C1CC1)CCO2 ZINC001053495354 758499903 /nfs/dbraw/zinc/49/99/03/758499903.db2.gz GPIYIXITYVUHGY-UHFFFAOYSA-N 1 2 316.405 1.390 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CCC)nn1 ZINC001018116518 758559888 /nfs/dbraw/zinc/55/98/88/758559888.db2.gz MJWUDWBHYGVPCM-OKILXGFUSA-N 1 2 301.394 1.000 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CCC)nn1 ZINC001018116518 758559893 /nfs/dbraw/zinc/55/98/93/758559893.db2.gz MJWUDWBHYGVPCM-OKILXGFUSA-N 1 2 301.394 1.000 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cccc(C)n1)O2 ZINC001053575545 758586313 /nfs/dbraw/zinc/58/63/13/758586313.db2.gz YOFBXYQCGKICAZ-OAHLLOKOSA-N 1 2 315.417 1.929 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)n[nH]1)O2 ZINC001053577723 758588751 /nfs/dbraw/zinc/58/87/51/758588751.db2.gz PDKIJAIAYVPVQR-ZDUSSCGKSA-N 1 2 304.394 1.257 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cccc(CC)n1)O2 ZINC001053610925 758630437 /nfs/dbraw/zinc/63/04/37/758630437.db2.gz GBHAXXJPMSSDRF-OAHLLOKOSA-N 1 2 315.417 1.793 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cncn1C ZINC001018228140 758651225 /nfs/dbraw/zinc/65/12/25/758651225.db2.gz UUTAJAIBONCVMZ-IYBDPMFKSA-N 1 2 300.406 1.051 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cncn1C ZINC001018228140 758651232 /nfs/dbraw/zinc/65/12/32/758651232.db2.gz UUTAJAIBONCVMZ-IYBDPMFKSA-N 1 2 300.406 1.051 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ocnc1C1CC1)O2 ZINC001053659230 758673204 /nfs/dbraw/zinc/67/32/04/758673204.db2.gz NOYRBOMTXOVXRN-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cccc(F)c1 ZINC001018264615 758679202 /nfs/dbraw/zinc/67/92/02/758679202.db2.gz XAUMZLCZBHFENM-GASCZTMLSA-N 1 2 302.349 1.513 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cccc(F)c1 ZINC001018264615 758679204 /nfs/dbraw/zinc/67/92/04/758679204.db2.gz XAUMZLCZBHFENM-GASCZTMLSA-N 1 2 302.349 1.513 20 30 DDEDLO Cc1nc(N2CCCN(C(=O)c3c[nH]c(C#N)c3)CC2)cc[nH+]1 ZINC001057114378 762652255 /nfs/dbraw/zinc/65/22/55/762652255.db2.gz SDKJKMFNBBRAQH-UHFFFAOYSA-N 1 2 310.361 1.337 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065711011 758728785 /nfs/dbraw/zinc/72/87/85/758728785.db2.gz HQDYIHRXDWFLQW-CVEARBPZSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1c(C)nn(C)c1C ZINC001018346271 758746413 /nfs/dbraw/zinc/74/64/13/758746413.db2.gz IGAXECBHFQURLC-VBNZEHGJSA-N 1 2 314.433 1.449 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1c(C)nn(C)c1C ZINC001018346271 758746420 /nfs/dbraw/zinc/74/64/20/758746420.db2.gz IGAXECBHFQURLC-VBNZEHGJSA-N 1 2 314.433 1.449 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(=O)CC3CC3)C2)CC1 ZINC001018359528 758758459 /nfs/dbraw/zinc/75/84/59/758758459.db2.gz IRAFXJRLYPMPAG-MRXNPFEDSA-N 1 2 319.449 1.355 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CCC1(F)F)CO2 ZINC001053839841 758870811 /nfs/dbraw/zinc/87/08/11/758870811.db2.gz PZFCGESDFUMIQT-NWDGAFQWSA-N 1 2 300.349 1.567 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccoc1CCC)CO2 ZINC001053980749 759019850 /nfs/dbraw/zinc/01/98/50/759019850.db2.gz CQUNRURGYPKHOM-AWEZNQCLSA-N 1 2 316.401 1.829 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc[nH]c(=O)c1 ZINC001054036654 759094246 /nfs/dbraw/zinc/09/42/46/759094246.db2.gz PWARFTRBISYQGT-KRWDZBQOSA-N 1 2 321.380 1.577 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc[nH]c(=O)c1 ZINC001054036654 759094250 /nfs/dbraw/zinc/09/42/50/759094250.db2.gz PWARFTRBISYQGT-KRWDZBQOSA-N 1 2 321.380 1.577 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1CCCCO1 ZINC001054036854 759094364 /nfs/dbraw/zinc/09/43/64/759094364.db2.gz SFHNYYGVMIXQRM-ZWKOTPCHSA-N 1 2 312.413 1.732 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1CCCCO1 ZINC001054036854 759094374 /nfs/dbraw/zinc/09/43/74/759094374.db2.gz SFHNYYGVMIXQRM-ZWKOTPCHSA-N 1 2 312.413 1.732 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001054039344 759096781 /nfs/dbraw/zinc/09/67/81/759096781.db2.gz YZARGEIRSZVQCX-QGZVFWFLSA-N 1 2 318.380 1.676 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001054039344 759096783 /nfs/dbraw/zinc/09/67/83/759096783.db2.gz YZARGEIRSZVQCX-QGZVFWFLSA-N 1 2 318.380 1.676 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001054041009 759098754 /nfs/dbraw/zinc/09/87/54/759098754.db2.gz DAVORORLVDEVGQ-SCTDSRPQSA-N 1 2 310.397 1.199 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001054041009 759098761 /nfs/dbraw/zinc/09/87/61/759098761.db2.gz DAVORORLVDEVGQ-SCTDSRPQSA-N 1 2 310.397 1.199 20 30 DDEDLO C=CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn(C)n1 ZINC001054056308 759109764 /nfs/dbraw/zinc/10/97/64/759109764.db2.gz DDVUGDBPGKNPIA-OAHLLOKOSA-N 1 2 311.389 1.158 20 30 DDEDLO C=CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn(C)n1 ZINC001054056308 759109766 /nfs/dbraw/zinc/10/97/66/759109766.db2.gz DDVUGDBPGKNPIA-OAHLLOKOSA-N 1 2 311.389 1.158 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC2(CN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001019156663 759634596 /nfs/dbraw/zinc/63/45/96/759634596.db2.gz NHBOLSLUXOWOTN-UHFFFAOYSA-N 1 2 316.405 1.156 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(C(=O)c2cccc(C#CCO)c2)C1 ZINC000828644401 759730461 /nfs/dbraw/zinc/73/04/61/759730461.db2.gz RIEISYGGNPTPOT-KRWDZBQOSA-N 1 2 323.396 1.784 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2CN(C(=O)C#CC3CC3)C[C@H]2C)o1 ZINC001054639289 759931539 /nfs/dbraw/zinc/93/15/39/759931539.db2.gz SPSJJRFCDCLFAT-OCCSQVGLSA-N 1 2 316.405 1.543 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001046768276 767906172 /nfs/dbraw/zinc/90/61/72/767906172.db2.gz WEXULXORXMMPLY-WBMJQRKESA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001046768276 767906173 /nfs/dbraw/zinc/90/61/73/767906173.db2.gz WEXULXORXMMPLY-WBMJQRKESA-N 1 2 322.840 1.848 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)n([C@@H](C)CC)n1 ZINC001085685241 760010965 /nfs/dbraw/zinc/01/09/65/760010965.db2.gz MPKIXHCSDMSMQR-DZGCQCFKSA-N 1 2 302.422 1.942 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)n([C@@H](C)CC)n1 ZINC001085685241 760010976 /nfs/dbraw/zinc/01/09/76/760010976.db2.gz MPKIXHCSDMSMQR-DZGCQCFKSA-N 1 2 302.422 1.942 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(-c2ccccc2)nn1 ZINC001085692140 760041631 /nfs/dbraw/zinc/04/16/31/760041631.db2.gz ZXHBMYWUFBGZKR-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(-c2ccccc2)nn1 ZINC001085692140 760041641 /nfs/dbraw/zinc/04/16/41/760041641.db2.gz ZXHBMYWUFBGZKR-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+]Cc3ncc(CC)o3)C2)cn1 ZINC001019674063 760157917 /nfs/dbraw/zinc/15/79/17/760157917.db2.gz ZOEIQQFMULQOPK-HNNXBMFYSA-N 1 2 324.384 1.618 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2CC(=O)N2CCC2)CC1 ZINC001085798445 760251192 /nfs/dbraw/zinc/25/11/92/760251192.db2.gz RUAILBJWYAUEOU-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2CC(=O)N2CCC2)CC1 ZINC001085798445 760251198 /nfs/dbraw/zinc/25/11/98/760251198.db2.gz RUAILBJWYAUEOU-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]nc(C(F)(F)F)c1C ZINC001085855495 760390742 /nfs/dbraw/zinc/39/07/42/760390742.db2.gz ZLLJSTIVKTVLPX-SNVBAGLBSA-N 1 2 314.311 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]nc(C(F)(F)F)c1C ZINC001085855495 760390748 /nfs/dbraw/zinc/39/07/48/760390748.db2.gz ZLLJSTIVKTVLPX-SNVBAGLBSA-N 1 2 314.311 1.516 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1ncccn1 ZINC001085884480 760461830 /nfs/dbraw/zinc/46/18/30/760461830.db2.gz COMUVRXESRAUAU-INIZCTEOSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1ncccn1 ZINC001085884480 760461835 /nfs/dbraw/zinc/46/18/35/760461835.db2.gz COMUVRXESRAUAU-INIZCTEOSA-N 1 2 321.384 1.695 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(CCCC)nc1C ZINC001085918155 760527263 /nfs/dbraw/zinc/52/72/63/760527263.db2.gz WALNSLLQTYCOJH-HNNXBMFYSA-N 1 2 302.422 1.771 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(CCCC)nc1C ZINC001085918155 760527267 /nfs/dbraw/zinc/52/72/67/760527267.db2.gz WALNSLLQTYCOJH-HNNXBMFYSA-N 1 2 302.422 1.771 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(OC)ccc1OC ZINC001085965296 760616536 /nfs/dbraw/zinc/61/65/36/760616536.db2.gz FCKNUKQHAMDPIO-CYBMUJFWSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(OC)ccc1OC ZINC001085965296 760616539 /nfs/dbraw/zinc/61/65/39/760616539.db2.gz FCKNUKQHAMDPIO-CYBMUJFWSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)c(NC(C)=O)c1 ZINC001085994673 760677025 /nfs/dbraw/zinc/67/70/25/760677025.db2.gz RLOULAZOBWYJEI-CQSZACIVSA-N 1 2 317.364 1.564 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)c(NC(C)=O)c1 ZINC001085994673 760677027 /nfs/dbraw/zinc/67/70/27/760677027.db2.gz RLOULAZOBWYJEI-CQSZACIVSA-N 1 2 317.364 1.564 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(NC(C)=O)ccc1C ZINC001086004457 760691711 /nfs/dbraw/zinc/69/17/11/760691711.db2.gz GQJDOTFFSKOMAQ-INIZCTEOSA-N 1 2 313.401 1.733 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(NC(C)=O)ccc1C ZINC001086004457 760691715 /nfs/dbraw/zinc/69/17/15/760691715.db2.gz GQJDOTFFSKOMAQ-INIZCTEOSA-N 1 2 313.401 1.733 20 30 DDEDLO C#CCCCC(=O)NCC1CC([NH2+]Cc2noc(CC)n2)C1 ZINC001100282637 760816752 /nfs/dbraw/zinc/81/67/52/760816752.db2.gz RKZBQKJPEJVURD-UHFFFAOYSA-N 1 2 304.394 1.420 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn([C@H](C)COC)nc1C ZINC001038263634 760956713 /nfs/dbraw/zinc/95/67/13/760956713.db2.gz RUQSWIKATGVURN-HIFRSBDPSA-N 1 2 318.421 1.226 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn([C@H](C)COC)nc1C ZINC001038263634 760956719 /nfs/dbraw/zinc/95/67/19/760956719.db2.gz RUQSWIKATGVURN-HIFRSBDPSA-N 1 2 318.421 1.226 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn(C)nc1C1CCC1 ZINC001038423392 761104693 /nfs/dbraw/zinc/10/46/93/761104693.db2.gz YAEUBMQHZNEJIF-CQSZACIVSA-N 1 2 300.406 1.515 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn(C)nc1C1CCC1 ZINC001038423392 761104696 /nfs/dbraw/zinc/10/46/96/761104696.db2.gz YAEUBMQHZNEJIF-CQSZACIVSA-N 1 2 300.406 1.515 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001038703955 761304846 /nfs/dbraw/zinc/30/48/46/761304846.db2.gz BGELHVUQYMTIEK-OTWHNJEPSA-N 1 2 324.424 1.820 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001038703955 761304856 /nfs/dbraw/zinc/30/48/56/761304856.db2.gz BGELHVUQYMTIEK-OTWHNJEPSA-N 1 2 324.424 1.820 20 30 DDEDLO C[C@@H]1CCO[C@@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038705726 761307813 /nfs/dbraw/zinc/30/78/13/761307813.db2.gz QXEYIVHWBAVUNI-XYPHTWIQSA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@@H]1CCO[C@@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038705726 761307823 /nfs/dbraw/zinc/30/78/23/761307823.db2.gz QXEYIVHWBAVUNI-XYPHTWIQSA-N 1 2 313.401 1.674 20 30 DDEDLO Cc1c[nH]c(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038791832 761413221 /nfs/dbraw/zinc/41/32/21/761413221.db2.gz HJYIWXKJDZRGEK-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1c[nH]c(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038791832 761413223 /nfs/dbraw/zinc/41/32/23/761413223.db2.gz HJYIWXKJDZRGEK-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(Cl)nn(C)c1Cl ZINC001038801860 761419495 /nfs/dbraw/zinc/41/94/95/761419495.db2.gz PCJYSXOKTAVPBB-SECBINFHSA-N 1 2 315.204 1.554 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(Cl)nn(C)c1Cl ZINC001038801860 761419499 /nfs/dbraw/zinc/41/94/99/761419499.db2.gz PCJYSXOKTAVPBB-SECBINFHSA-N 1 2 315.204 1.554 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCO[C@H]2C(=C)C)C1 ZINC001108272565 761577789 /nfs/dbraw/zinc/57/77/89/761577789.db2.gz KEOJRCDMYWBQTH-ZOBUZTSGSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCO[C@H]2C(=C)C)C1 ZINC001108272565 761577796 /nfs/dbraw/zinc/57/77/96/761577796.db2.gz KEOJRCDMYWBQTH-ZOBUZTSGSA-N 1 2 308.422 1.361 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C[C@@H](C)C3CC3)CC2=O)C1 ZINC001108594227 762768016 /nfs/dbraw/zinc/76/80/16/762768016.db2.gz MNTAEALMTINLHW-UKRRQHHQSA-N 1 2 319.449 1.400 20 30 DDEDLO C[C@@H](CNc1ccncc1C#N)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001108777756 762919570 /nfs/dbraw/zinc/91/95/70/762919570.db2.gz GUYJEKDGLZRZLU-NSHDSACASA-N 1 2 320.356 1.482 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001050000573 763003599 /nfs/dbraw/zinc/00/35/99/763003599.db2.gz ZGLQGLOTYZCBNX-NTZNESFSSA-N 1 2 305.407 1.121 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001050000573 763003604 /nfs/dbraw/zinc/00/36/04/763003604.db2.gz ZGLQGLOTYZCBNX-NTZNESFSSA-N 1 2 305.407 1.121 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CN(C)c1ncnc2c1C[N@H+](C)CC2 ZINC001108859246 763021033 /nfs/dbraw/zinc/02/10/33/763021033.db2.gz ISRLIGAZYMDJQE-CYBMUJFWSA-N 1 2 317.437 1.372 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CN(C)c1ncnc2c1C[N@@H+](C)CC2 ZINC001108859246 763021041 /nfs/dbraw/zinc/02/10/41/763021041.db2.gz ISRLIGAZYMDJQE-CYBMUJFWSA-N 1 2 317.437 1.372 20 30 DDEDLO Cc1nc(N(C)C[C@H](C)NC(=O)CSCC#N)c(C)c(C)[nH+]1 ZINC001108868856 763045492 /nfs/dbraw/zinc/04/54/92/763045492.db2.gz GPFXGOHTXMYCSV-JTQLQIEISA-N 1 2 321.450 1.600 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CC=CCC1)C2 ZINC001108918818 763102867 /nfs/dbraw/zinc/10/28/67/763102867.db2.gz KWXCDCQEAFCTNJ-FZKCQIBNSA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CC=CCC1)C2 ZINC001108918818 763102870 /nfs/dbraw/zinc/10/28/70/763102870.db2.gz KWXCDCQEAFCTNJ-FZKCQIBNSA-N 1 2 317.433 1.366 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001108922822 763109547 /nfs/dbraw/zinc/10/95/47/763109547.db2.gz DNJKYTZOVMDEDQ-DIFFPNOSSA-N 1 2 320.437 1.670 20 30 DDEDLO N#CCN1C[C@@H]2CCCN(C(=O)[C@@H]3CCCc4[nH+]c[nH]c43)[C@@H]2C1 ZINC001050136525 763194633 /nfs/dbraw/zinc/19/46/33/763194633.db2.gz CTRAHVOYSYIMDZ-GZBFAFLISA-N 1 2 313.405 1.276 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCCN(C)C(=O)CCn1cc[nH+]c1 ZINC001067363363 763200331 /nfs/dbraw/zinc/20/03/31/763200331.db2.gz NEBPTNYMLNJASV-UHFFFAOYSA-N 1 2 318.421 1.240 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001109044207 763260381 /nfs/dbraw/zinc/26/03/81/763260381.db2.gz PGZKHAAXBZNWRV-AWEZNQCLSA-N 1 2 322.412 1.950 20 30 DDEDLO Cc1nsc(N(C)C[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001109056490 763296786 /nfs/dbraw/zinc/29/67/86/763296786.db2.gz GEMAHUXWSDQRFD-VIFPVBQESA-N 1 2 318.406 1.230 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CCC)C2 ZINC001109094669 763341714 /nfs/dbraw/zinc/34/17/14/763341714.db2.gz GBNLIWKDXOZAFO-KBXIAJHMSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CCC)C2 ZINC001109094669 763341726 /nfs/dbraw/zinc/34/17/26/763341726.db2.gz GBNLIWKDXOZAFO-KBXIAJHMSA-N 1 2 307.438 1.446 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@@H]21 ZINC001041991387 763544258 /nfs/dbraw/zinc/54/42/58/763544258.db2.gz BZUFQLBJPROHSF-OCCSQVGLSA-N 1 2 308.813 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@@H]21 ZINC001041991387 763544262 /nfs/dbraw/zinc/54/42/62/763544262.db2.gz BZUFQLBJPROHSF-OCCSQVGLSA-N 1 2 308.813 1.628 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)C1CC(Nc2ccc(C#N)cn2)C1 ZINC001069797812 768147820 /nfs/dbraw/zinc/14/78/20/768147820.db2.gz LLWZWEJWMCNQAE-UHFFFAOYSA-N 1 2 310.361 1.320 20 30 DDEDLO CCc1ccc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC#CCOC)o1 ZINC001109453256 763722909 /nfs/dbraw/zinc/72/29/09/763722909.db2.gz XRLGEWVBPCQCNG-KBMXLJTQSA-N 1 2 316.401 1.827 20 30 DDEDLO CCc1ccc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC#CCOC)o1 ZINC001109453256 763722917 /nfs/dbraw/zinc/72/29/17/763722917.db2.gz XRLGEWVBPCQCNG-KBMXLJTQSA-N 1 2 316.401 1.827 20 30 DDEDLO N#CCN1CCC2(CN(C(=O)[C@H]3CCCc4[nH+]c[nH]c43)C2)CC1 ZINC001050660670 763882373 /nfs/dbraw/zinc/88/23/73/763882373.db2.gz WOUNFSGJIFCXMU-ZDUSSCGKSA-N 1 2 313.405 1.278 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccsc1COC ZINC001050979990 764377192 /nfs/dbraw/zinc/37/71/92/764377192.db2.gz HBSSQOBTKQJISW-CYBMUJFWSA-N 1 2 324.446 1.901 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccsc1COC ZINC001050979990 764377199 /nfs/dbraw/zinc/37/71/99/764377199.db2.gz HBSSQOBTKQJISW-CYBMUJFWSA-N 1 2 324.446 1.901 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)cc(C)n1 ZINC001050993075 764394059 /nfs/dbraw/zinc/39/40/59/764394059.db2.gz QTSRQHKGMDBCGI-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)cc(C)n1 ZINC001050993075 764394064 /nfs/dbraw/zinc/39/40/64/764394064.db2.gz QTSRQHKGMDBCGI-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(OC)c1 ZINC001050999818 764403067 /nfs/dbraw/zinc/40/30/67/764403067.db2.gz PVPMLVGWHSYYAW-HNNXBMFYSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(OC)c1 ZINC001050999818 764403071 /nfs/dbraw/zinc/40/30/71/764403071.db2.gz PVPMLVGWHSYYAW-HNNXBMFYSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)c2[nH]nc3c2cccc3OC)C1 ZINC001042801570 764455861 /nfs/dbraw/zinc/45/58/61/764455861.db2.gz XIXOXLPVXCADPA-UHFFFAOYSA-N 1 2 300.362 1.514 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn(CC)nc2C2CC2)C1 ZINC001042807125 764460604 /nfs/dbraw/zinc/46/06/04/764460604.db2.gz LENUHFSGDOEGEW-UHFFFAOYSA-N 1 2 300.406 1.560 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc[nH]c1CCC ZINC001051075842 764480784 /nfs/dbraw/zinc/48/07/84/764480784.db2.gz NIRRFKDERKZULY-CQSZACIVSA-N 1 2 305.422 1.974 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc[nH]c1CCC ZINC001051075842 764480792 /nfs/dbraw/zinc/48/07/92/764480792.db2.gz NIRRFKDERKZULY-CQSZACIVSA-N 1 2 305.422 1.974 20 30 DDEDLO Cc1cc(N2CCC[C@@H](NC(=O)[C@@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001096151279 768218486 /nfs/dbraw/zinc/21/84/86/768218486.db2.gz INMMMFJTAUBWTF-SMDDNHRTSA-N 1 2 313.405 1.907 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCCO[C@H]2c2cnn(C)c2)C1 ZINC001042963398 764555497 /nfs/dbraw/zinc/55/54/97/764555497.db2.gz PBPUDYZRBJJFRQ-CVEARBPZSA-N 1 2 318.421 1.216 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn3c2ccc(C)c3C)C1 ZINC001042974582 764563109 /nfs/dbraw/zinc/56/31/09/764563109.db2.gz YEWQWHVNFJTSLP-UHFFFAOYSA-N 1 2 310.401 1.731 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC[C@H]1COCC[N@H+]1CCC ZINC001051203033 764615006 /nfs/dbraw/zinc/61/50/06/764615006.db2.gz MWBNWMOZOGBZRH-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC[C@H]1COCC[N@@H+]1CCC ZINC001051203033 764615016 /nfs/dbraw/zinc/61/50/16/764615016.db2.gz MWBNWMOZOGBZRH-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn3c(n2)CC[C@@H](C)C3)C1 ZINC001043077932 764642150 /nfs/dbraw/zinc/64/21/50/764642150.db2.gz ADDNUAIXYMDYHE-CYBMUJFWSA-N 1 2 300.406 1.245 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(F)c[nH]2)[C@H](O)C1 ZINC001090443096 764697089 /nfs/dbraw/zinc/69/70/89/764697089.db2.gz VSEUWLHQCXEEBD-CMPLNLGQSA-N 1 2 301.749 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(F)c[nH]2)[C@H](O)C1 ZINC001090443096 764697094 /nfs/dbraw/zinc/69/70/94/764697094.db2.gz VSEUWLHQCXEEBD-CMPLNLGQSA-N 1 2 301.749 1.071 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2ccc(NC(C)=O)cc2)C1 ZINC001043245541 764789693 /nfs/dbraw/zinc/78/96/93/764789693.db2.gz VLHXDKDNDUHNQI-UHFFFAOYSA-N 1 2 315.417 1.906 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C[C@@H]2CCOC[C@@H]2OCC)C1 ZINC001043374320 764867854 /nfs/dbraw/zinc/86/78/54/764867854.db2.gz BGHZKMIKWQUTKO-HOCLYGCPSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cn2nc(C)c3cccnc32)C1 ZINC001043425015 764897157 /nfs/dbraw/zinc/89/71/57/764897157.db2.gz XNQMBEGXCHVTGZ-UHFFFAOYSA-N 1 2 313.405 1.458 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCCN2c2nccs2)C1 ZINC001043459970 764919572 /nfs/dbraw/zinc/91/95/72/764919572.db2.gz ZRCKJBBHOOQNOW-ZDUSSCGKSA-N 1 2 306.435 1.441 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(-c3nc[nH]n3)c2)C1 ZINC001043514868 764955759 /nfs/dbraw/zinc/95/57/59/764955759.db2.gz BXOBUSOEUVBCON-UHFFFAOYSA-N 1 2 309.373 1.251 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn(C)nc2C2CCCC2)C1 ZINC001043820238 765115568 /nfs/dbraw/zinc/11/55/68/765115568.db2.gz LWLDPYSIVQMLEU-UHFFFAOYSA-N 1 2 314.433 1.857 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn(CCC(C)C)nn2)C1 ZINC001043850122 765129027 /nfs/dbraw/zinc/12/90/27/765129027.db2.gz CWFUTNGJYDPIFF-UHFFFAOYSA-N 1 2 305.426 1.657 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113031199 765163584 /nfs/dbraw/zinc/16/35/84/765163584.db2.gz MJYXYGRCGOBLPK-VXGBXAGGSA-N 1 2 314.393 1.328 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn(C)nc2C(F)(F)F)C1 ZINC001043975882 765198718 /nfs/dbraw/zinc/19/87/18/765198718.db2.gz IZOMKBYZUVKUMV-UHFFFAOYSA-N 1 2 316.327 1.771 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113141090 765317124 /nfs/dbraw/zinc/31/71/24/765317124.db2.gz UNCXOOWGKSIQOC-QWRGUYRKSA-N 1 2 312.377 1.533 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131721177 768284961 /nfs/dbraw/zinc/28/49/61/768284961.db2.gz RRWFCSRMJHQCEC-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131721177 768284965 /nfs/dbraw/zinc/28/49/65/768284965.db2.gz RRWFCSRMJHQCEC-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3CC3(C)C)C2)CC1 ZINC001052013224 765382370 /nfs/dbraw/zinc/38/23/70/765382370.db2.gz TWJBTKJBJCQEMZ-JKSUJKDBSA-N 1 2 303.450 1.274 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](CCOC3CCC3)C2)cn1 ZINC001044205682 765394404 /nfs/dbraw/zinc/39/44/04/765394404.db2.gz FBKIPMWNHCIMNE-UHFFFAOYSA-N 1 2 313.401 1.388 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H](C)C(C)(C)C)C2)CC1 ZINC001052043217 765415935 /nfs/dbraw/zinc/41/59/35/765415935.db2.gz RQQVNRNJZHBFII-HOTGVXAUSA-N 1 2 305.466 1.520 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3CCC)C2)CC1 ZINC001052079750 765452510 /nfs/dbraw/zinc/45/25/10/765452510.db2.gz NELAAIFCSTUKCH-KZNAEPCWSA-N 1 2 317.477 1.664 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)C3(F)CCCCC3)C2)CC1 ZINC001052104978 765474925 /nfs/dbraw/zinc/47/49/25/765474925.db2.gz CMFHEVUGWLWTPG-HNNXBMFYSA-N 1 2 322.428 1.401 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](CNC(=O)Cc2c[nH+]cn2C)C1 ZINC001052180680 765552038 /nfs/dbraw/zinc/55/20/38/765552038.db2.gz GATUABCBOGMIGR-UONOGXRCSA-N 1 2 318.421 1.140 20 30 DDEDLO C=CCCCCC[NH+]1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC001113357944 765608696 /nfs/dbraw/zinc/60/86/96/765608696.db2.gz VXTWWKMLFDBWHX-UHFFFAOYSA-N 1 2 305.426 1.628 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@@H](C)Nc1nccnc1C#N ZINC001113351573 765615739 /nfs/dbraw/zinc/61/57/39/765615739.db2.gz CPYVEGLVHLAMBB-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@@H](C)Nc1nccnc1C#N ZINC001113351573 765615745 /nfs/dbraw/zinc/61/57/45/765615745.db2.gz CPYVEGLVHLAMBB-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2csc3cncn32)CC1 ZINC001113393707 765649092 /nfs/dbraw/zinc/64/90/92/765649092.db2.gz QCDLVTXJXQWOKK-UHFFFAOYSA-N 1 2 320.418 1.356 20 30 DDEDLO C[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)N(C)c1ccc(C#N)nc1 ZINC001113591383 765920452 /nfs/dbraw/zinc/92/04/52/765920452.db2.gz VPRJCSONFIAGHF-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccoc2C)CC[C@H]1C ZINC001131771269 768338664 /nfs/dbraw/zinc/33/86/64/768338664.db2.gz GYZCWJVRZWHSLG-OCCSQVGLSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccoc2C)CC[C@H]1C ZINC001131771269 768338670 /nfs/dbraw/zinc/33/86/70/768338670.db2.gz GYZCWJVRZWHSLG-OCCSQVGLSA-N 1 2 319.405 1.473 20 30 DDEDLO O=C(C#CC1CC1)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001157682364 766122087 /nfs/dbraw/zinc/12/20/87/766122087.db2.gz DDGLNTUTRDIJIC-UHFFFAOYSA-N 1 2 302.378 1.346 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@H]1CCCN(c2ccc(C#N)nc2)C1 ZINC001058176814 766288690 /nfs/dbraw/zinc/28/86/90/766288690.db2.gz HQAIUNSKSQJDCM-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO CC1(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCN(CC#N)CC1 ZINC001045514680 766368559 /nfs/dbraw/zinc/36/85/59/766368559.db2.gz SUGCHUMKHFYMLR-UHFFFAOYSA-N 1 2 323.400 1.980 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)c(C)c(C)[nH+]1 ZINC001058330099 766417543 /nfs/dbraw/zinc/41/75/43/766417543.db2.gz KLOLRMLZDJZJFK-AWEZNQCLSA-N 1 2 324.388 1.610 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001113952238 766454975 /nfs/dbraw/zinc/45/49/75/766454975.db2.gz AWFSFRCDNUHGKB-MZORAGNBSA-N 1 2 317.364 1.279 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001113952238 766454976 /nfs/dbraw/zinc/45/49/76/766454976.db2.gz AWFSFRCDNUHGKB-MZORAGNBSA-N 1 2 317.364 1.279 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)[C@@H]3CC=CCC3)CC2)C1 ZINC001046003131 766790383 /nfs/dbraw/zinc/79/03/83/766790383.db2.gz WJRROBGEDOGUAM-CVEARBPZSA-N 1 2 302.422 1.085 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C[C@H]3C=CCCC3)CC2)C1 ZINC001046038812 766845275 /nfs/dbraw/zinc/84/52/75/766845275.db2.gz JRSMSNUMXXHRDB-DLBZAZTESA-N 1 2 316.449 1.475 20 30 DDEDLO C[C@@H](C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C(C)(C)C ZINC001046039294 766847244 /nfs/dbraw/zinc/84/72/44/766847244.db2.gz VLSPMRUZCBUMEK-GJZGRUSLSA-N 1 2 306.454 1.411 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067972679 766849125 /nfs/dbraw/zinc/84/91/25/766849125.db2.gz GNUYNDFJEWQXSC-KBPBESRZSA-N 1 2 320.437 1.717 20 30 DDEDLO CC(C)(C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C1CC1 ZINC001046051691 766859350 /nfs/dbraw/zinc/85/93/50/766859350.db2.gz NCLPUXRQKWXSNG-HNNXBMFYSA-N 1 2 304.438 1.165 20 30 DDEDLO C[C@@H](C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C1CCCC1 ZINC001046078214 766892689 /nfs/dbraw/zinc/89/26/89/766892689.db2.gz VHSMZKZSYHQWEB-NVXWUHKLSA-N 1 2 318.465 1.555 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)[C@H](C)CC ZINC001121610142 782590879 /nfs/dbraw/zinc/59/08/79/782590879.db2.gz QGNPOQTWXWRESM-RDBSUJKOSA-N 1 2 307.442 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)[C@H](C)CC ZINC001121610142 782590887 /nfs/dbraw/zinc/59/08/87/782590887.db2.gz QGNPOQTWXWRESM-RDBSUJKOSA-N 1 2 307.442 1.826 20 30 DDEDLO Cn1nncc1C(=O)N[C@]1(C)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046286269 767364331 /nfs/dbraw/zinc/36/43/31/767364331.db2.gz USRISUYEUIHTQY-QGZVFWFLSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1nncc1C(=O)N[C@]1(C)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046286269 767364339 /nfs/dbraw/zinc/36/43/39/767364339.db2.gz USRISUYEUIHTQY-QGZVFWFLSA-N 1 2 324.388 1.081 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[NH2+]Cc2nnc(C)o2)cc1 ZINC001130371285 767471968 /nfs/dbraw/zinc/47/19/68/767471968.db2.gz KPAXSNHMMKILJG-UHFFFAOYSA-N 1 2 316.361 1.462 20 30 DDEDLO C[C@@]1(NC(=O)c2cn[nH]n2)CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001046439552 767570910 /nfs/dbraw/zinc/57/09/10/767570910.db2.gz VNSDSAHXDPROSW-CQSZACIVSA-N 1 2 316.390 1.132 20 30 DDEDLO C[C@@]1(NC(=O)c2cnn[nH]2)CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001046439552 767570917 /nfs/dbraw/zinc/57/09/17/767570917.db2.gz VNSDSAHXDPROSW-CQSZACIVSA-N 1 2 316.390 1.132 20 30 DDEDLO C[C@@]1(NC(=O)c2cnn[nH]2)CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001046439552 767570922 /nfs/dbraw/zinc/57/09/22/767570922.db2.gz VNSDSAHXDPROSW-CQSZACIVSA-N 1 2 316.390 1.132 20 30 DDEDLO C[C@]1(NC(=O)c2cnn[nH]2)CC[N@H+](Cc2ccc(C#N)cc2)C1 ZINC001046439389 767571803 /nfs/dbraw/zinc/57/18/03/767571803.db2.gz RKIZZFHGNZTECO-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@]1(NC(=O)c2cnn[nH]2)CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001046439389 767571809 /nfs/dbraw/zinc/57/18/09/767571809.db2.gz RKIZZFHGNZTECO-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001068828593 767644666 /nfs/dbraw/zinc/64/46/66/767644666.db2.gz GGOWZKYEKLCOND-TZMCWYRMSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001068829389 767645573 /nfs/dbraw/zinc/64/55/73/767645573.db2.gz JPVNJCJFOQHXEL-OCCSQVGLSA-N 1 2 324.388 1.710 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047308876 768393299 /nfs/dbraw/zinc/39/32/99/768393299.db2.gz UCBGHTJNERAKDG-IRXDYDNUSA-N 1 2 300.402 1.198 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047308876 768393306 /nfs/dbraw/zinc/39/33/06/768393306.db2.gz UCBGHTJNERAKDG-IRXDYDNUSA-N 1 2 300.402 1.198 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2snnc2C)C1 ZINC001131947331 768505800 /nfs/dbraw/zinc/50/58/00/768505800.db2.gz SRMJXGYVZDUNSP-YPMHNXCESA-N 1 2 324.450 1.518 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2snnc2C)C1 ZINC001131947331 768505806 /nfs/dbraw/zinc/50/58/06/768505806.db2.gz SRMJXGYVZDUNSP-YPMHNXCESA-N 1 2 324.450 1.518 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(F)ccc2Cl)C1 ZINC001047541740 768555529 /nfs/dbraw/zinc/55/55/29/768555529.db2.gz YEPWMFVFNCJRAX-KBPBESRZSA-N 1 2 310.756 1.229 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(F)ccc2Cl)C1 ZINC001047541740 768555532 /nfs/dbraw/zinc/55/55/32/768555532.db2.gz YEPWMFVFNCJRAX-KBPBESRZSA-N 1 2 310.756 1.229 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(OC)cc2Cl)C1 ZINC001047568243 768579589 /nfs/dbraw/zinc/57/95/89/768579589.db2.gz NRMVBDHDEQLHBQ-GJZGRUSLSA-N 1 2 322.792 1.099 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(OC)cc2Cl)C1 ZINC001047568243 768579594 /nfs/dbraw/zinc/57/95/94/768579594.db2.gz NRMVBDHDEQLHBQ-GJZGRUSLSA-N 1 2 322.792 1.099 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2nc(C3CC3)oc2C)C1 ZINC001047581821 768588190 /nfs/dbraw/zinc/58/81/90/768588190.db2.gz QAZZCTMYJVJLCX-KBPBESRZSA-N 1 2 317.389 1.001 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2nc(C3CC3)oc2C)C1 ZINC001047581821 768588196 /nfs/dbraw/zinc/58/81/96/768588196.db2.gz QAZZCTMYJVJLCX-KBPBESRZSA-N 1 2 317.389 1.001 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132068534 768604056 /nfs/dbraw/zinc/60/40/56/768604056.db2.gz GWEWBALICIFBCD-ZIAGYGMSSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132068534 768604059 /nfs/dbraw/zinc/60/40/59/768604059.db2.gz GWEWBALICIFBCD-ZIAGYGMSSA-N 1 2 320.437 1.198 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)[C@]2(C)C=CCC2)C[C@H]1NCC#N ZINC001070679928 768666097 /nfs/dbraw/zinc/66/60/97/768666097.db2.gz VHJVVYFTVIPQLW-CKEIUWERSA-N 1 2 313.405 1.184 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC2CC2)C1 ZINC001132217810 768689252 /nfs/dbraw/zinc/68/92/52/768689252.db2.gz HEEVEVYQQOIFLG-HIFRSBDPSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC2CC2)C1 ZINC001132217810 768689255 /nfs/dbraw/zinc/68/92/55/768689255.db2.gz HEEVEVYQQOIFLG-HIFRSBDPSA-N 1 2 321.465 1.694 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+]Cc1nnc(C(C)C)o1 ZINC001132398614 768805016 /nfs/dbraw/zinc/80/50/16/768805016.db2.gz HEBQBBQNKSYAIX-UHFFFAOYSA-N 1 2 306.410 1.983 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(c2ccncc2C#N)CC1 ZINC001096272371 768903373 /nfs/dbraw/zinc/90/33/73/768903373.db2.gz PPLOVEAXHXHVLU-UHFFFAOYSA-N 1 2 313.405 1.158 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(c2cc(F)ncn2)CC1 ZINC001096288145 768994800 /nfs/dbraw/zinc/99/48/00/768994800.db2.gz ABYQTGBSPHPBTG-UHFFFAOYSA-N 1 2 321.400 1.210 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001132591001 769012100 /nfs/dbraw/zinc/01/21/00/769012100.db2.gz CBYLXXKLRJCXLT-LBPRGKRZSA-N 1 2 308.382 1.176 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001096337943 769257439 /nfs/dbraw/zinc/25/74/39/769257439.db2.gz GZLOCUVJFHINDG-OCCSQVGLSA-N 1 2 304.394 1.461 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCN(c2cc(C)[nH+]c(C3CC3)n2)C1 ZINC001096334439 769261505 /nfs/dbraw/zinc/26/15/05/769261505.db2.gz KHMZVCLWRXBZRI-AWEZNQCLSA-N 1 2 316.405 1.560 20 30 DDEDLO Cc1cc(N2CC[C@H](NC(=O)[C@H](C)C#N)C2)nc(C(C)C)[nH+]1 ZINC001096339889 769274405 /nfs/dbraw/zinc/27/44/05/769274405.db2.gz XCDYBJQNYKHEAC-YPMHNXCESA-N 1 2 301.394 1.763 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@@H]1C ZINC001071326677 769288905 /nfs/dbraw/zinc/28/89/05/769288905.db2.gz GYPLEWHITPALFM-WDEREUQCSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@@H]1C ZINC001071326677 769288907 /nfs/dbraw/zinc/28/89/07/769288907.db2.gz GYPLEWHITPALFM-WDEREUQCSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071417919 769404280 /nfs/dbraw/zinc/40/42/80/769404280.db2.gz BPXUAEKCDOJGHE-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C1CCC(C(=O)NCC[NH2+]Cc2nnc(C3CC3)o2)CC1 ZINC001133368778 769753185 /nfs/dbraw/zinc/75/31/85/769753185.db2.gz GUHLOVJCLGXBSW-UHFFFAOYSA-N 1 2 304.394 1.899 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001133378646 769758688 /nfs/dbraw/zinc/75/86/88/769758688.db2.gz JIQFELPQQJFQCC-XHDPSFHLSA-N 1 2 310.398 1.585 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3ncnn3CC)C[C@@H]2C1 ZINC001048979328 770200665 /nfs/dbraw/zinc/20/06/65/770200665.db2.gz SQNLJLWUFYUJMQ-OKILXGFUSA-N 1 2 317.437 1.400 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3ncnn3CC)C[C@@H]2C1 ZINC001048979328 770200673 /nfs/dbraw/zinc/20/06/73/770200673.db2.gz SQNLJLWUFYUJMQ-OKILXGFUSA-N 1 2 317.437 1.400 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071929553 770303163 /nfs/dbraw/zinc/30/31/63/770303163.db2.gz RDFBNVNLXBOEDQ-UONOGXRCSA-N 1 2 316.405 1.109 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1CC([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001100197808 770353638 /nfs/dbraw/zinc/35/36/38/770353638.db2.gz DQXMNFSUNRZYDD-GRZMOONWSA-N 1 2 303.366 1.091 20 30 DDEDLO N#Cc1cnccc1N1CC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001096494487 770491329 /nfs/dbraw/zinc/49/13/29/770491329.db2.gz BXMYJNSGRCPLFW-CQSZACIVSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1cnccc1N1CC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001096494487 770491332 /nfs/dbraw/zinc/49/13/32/770491332.db2.gz BXMYJNSGRCPLFW-CQSZACIVSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnsn1 ZINC001049341397 770710163 /nfs/dbraw/zinc/71/01/63/770710163.db2.gz NCOQWIBTKGBLFR-NWDGAFQWSA-N 1 2 312.826 1.970 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnsn1 ZINC001049341397 770710171 /nfs/dbraw/zinc/71/01/71/770710171.db2.gz NCOQWIBTKGBLFR-NWDGAFQWSA-N 1 2 312.826 1.970 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)C#N ZINC001049383674 770763343 /nfs/dbraw/zinc/76/33/43/770763343.db2.gz STAFDPMKMZFIFE-DDTOSNHZSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)C#N ZINC001049383674 770763350 /nfs/dbraw/zinc/76/33/50/770763350.db2.gz STAFDPMKMZFIFE-DDTOSNHZSA-N 1 2 303.366 1.103 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C[C@H]1C ZINC001072429523 770882645 /nfs/dbraw/zinc/88/26/45/770882645.db2.gz HLZOCKPWMLJONJ-CHWSQXEVSA-N 1 2 318.421 1.617 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC001049895563 771240597 /nfs/dbraw/zinc/24/05/97/771240597.db2.gz IZIIMYROBDNTNU-IAGOWNOFSA-N 1 2 324.384 1.982 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC001049895563 771240600 /nfs/dbraw/zinc/24/06/00/771240600.db2.gz IZIIMYROBDNTNU-IAGOWNOFSA-N 1 2 324.384 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc(C)on1)C2 ZINC001096893195 771500361 /nfs/dbraw/zinc/50/03/61/771500361.db2.gz XTGXGNNUAZTZLB-MCIONIFRSA-N 1 2 309.797 2.000 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc(C)on1)C2 ZINC001096893195 771500364 /nfs/dbraw/zinc/50/03/64/771500364.db2.gz XTGXGNNUAZTZLB-MCIONIFRSA-N 1 2 309.797 2.000 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001097087437 771618386 /nfs/dbraw/zinc/61/83/86/771618386.db2.gz HUTXTDBTRROVIO-XYPYZODXSA-N 1 2 314.393 1.635 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)CCC(=O)c2cccc(F)c2)CC1 ZINC001136863091 772178035 /nfs/dbraw/zinc/17/80/35/772178035.db2.gz OKYFJHGNZVTTFP-UHFFFAOYSA-N 1 2 316.376 1.956 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)CCC(=O)c2cccc(F)c2)CC1 ZINC001136863091 772178038 /nfs/dbraw/zinc/17/80/38/772178038.db2.gz OKYFJHGNZVTTFP-UHFFFAOYSA-N 1 2 316.376 1.956 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2n[nH]c3ccccc32)[C@H](O)C1 ZINC001090773255 772189703 /nfs/dbraw/zinc/18/97/03/772189703.db2.gz BSJULIKAXQPWAR-LSDHHAIUSA-N 1 2 314.389 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](NC(=O)c2n[nH]c3ccccc32)[C@H](O)C1 ZINC001090773255 772189706 /nfs/dbraw/zinc/18/97/06/772189706.db2.gz BSJULIKAXQPWAR-LSDHHAIUSA-N 1 2 314.389 1.304 20 30 DDEDLO COC(=O)C[C@H]1C[N@@H+]([C@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171225281 772628341 /nfs/dbraw/zinc/62/83/41/772628341.db2.gz ZNZXTVRDUWKPAJ-CJNGLKHVSA-N 1 2 302.374 1.753 20 30 DDEDLO COC(=O)C[C@H]1C[N@H+]([C@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171225281 772628343 /nfs/dbraw/zinc/62/83/43/772628343.db2.gz ZNZXTVRDUWKPAJ-CJNGLKHVSA-N 1 2 302.374 1.753 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC2(CN(C(=O)CCOC)C2)C1 ZINC001146867200 772957916 /nfs/dbraw/zinc/95/79/16/772957916.db2.gz FPHJIVWEYJSUBT-UHFFFAOYSA-N 1 2 317.227 1.466 20 30 DDEDLO C=C(Br)C[N@H+]1CCC2(CN(C(=O)CCOC)C2)C1 ZINC001146867200 772957914 /nfs/dbraw/zinc/95/79/14/772957914.db2.gz FPHJIVWEYJSUBT-UHFFFAOYSA-N 1 2 317.227 1.466 20 30 DDEDLO Cc1nc(C[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)co1 ZINC001147514439 773157743 /nfs/dbraw/zinc/15/77/43/773157743.db2.gz MZHGMGNDGPMUSL-UHFFFAOYSA-N 1 2 301.390 1.677 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)co1 ZINC001147514439 773157745 /nfs/dbraw/zinc/15/77/45/773157745.db2.gz MZHGMGNDGPMUSL-UHFFFAOYSA-N 1 2 301.390 1.677 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC2(C1)CC[N@H+](Cc1ccon1)C2 ZINC001147523545 773168628 /nfs/dbraw/zinc/16/86/28/773168628.db2.gz WDPCJUVDFRAJKG-UHFFFAOYSA-N 1 2 301.390 1.758 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC2(C1)CC[N@@H+](Cc1ccon1)C2 ZINC001147523545 773168631 /nfs/dbraw/zinc/16/86/31/773168631.db2.gz WDPCJUVDFRAJKG-UHFFFAOYSA-N 1 2 301.390 1.758 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nonc1C)C2 ZINC001148089106 773360623 /nfs/dbraw/zinc/36/06/23/773360623.db2.gz SWNVEGYOJQUNCX-OLZOCXBDSA-N 1 2 318.421 1.871 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nonc1C)C2 ZINC001148089106 773360627 /nfs/dbraw/zinc/36/06/27/773360627.db2.gz SWNVEGYOJQUNCX-OLZOCXBDSA-N 1 2 318.421 1.871 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001073806140 773430100 /nfs/dbraw/zinc/43/01/00/773430100.db2.gz QQXUVCRPIFEKML-TZMCWYRMSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001073999491 773578949 /nfs/dbraw/zinc/57/89/49/773578949.db2.gz NAZPFOYYTJLZBM-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(Cl)c[nH]3)C[C@@H]21 ZINC001074159918 773682765 /nfs/dbraw/zinc/68/27/65/773682765.db2.gz MGQRHRKUGJNVOQ-GJZGRUSLSA-N 1 2 321.808 1.607 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(Cl)c[nH]3)C[C@@H]21 ZINC001074159918 773682768 /nfs/dbraw/zinc/68/27/68/773682768.db2.gz MGQRHRKUGJNVOQ-GJZGRUSLSA-N 1 2 321.808 1.607 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3C)C[C@H]21 ZINC001074208325 773731509 /nfs/dbraw/zinc/73/15/09/773731509.db2.gz GWCPUXIZIBXTAC-HZPDHXFCSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3C)C[C@H]21 ZINC001074208325 773731512 /nfs/dbraw/zinc/73/15/12/773731512.db2.gz GWCPUXIZIBXTAC-HZPDHXFCSA-N 1 2 303.406 1.815 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCF)[C@@H]3C2)[nH]1 ZINC001074219775 773743907 /nfs/dbraw/zinc/74/39/07/773743907.db2.gz WAJWAFVHCWYOTE-CABCVRRESA-N 1 2 320.368 1.161 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@@H]3OCC[N@H+](CCCF)[C@@H]3C2)[nH]1 ZINC001074219775 773743911 /nfs/dbraw/zinc/74/39/11/773743911.db2.gz WAJWAFVHCWYOTE-CABCVRRESA-N 1 2 320.368 1.161 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3CC)C[C@@H]21 ZINC001074233417 773756710 /nfs/dbraw/zinc/75/67/10/773756710.db2.gz PLHAJHYOJSQUSK-IRXDYDNUSA-N 1 2 315.417 1.516 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3CC)C[C@@H]21 ZINC001074233417 773756714 /nfs/dbraw/zinc/75/67/14/773756714.db2.gz PLHAJHYOJSQUSK-IRXDYDNUSA-N 1 2 315.417 1.516 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001074263684 773777559 /nfs/dbraw/zinc/77/75/59/773777559.db2.gz NTEWVCNJDFUFHC-ZFWWWQNUSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cnc(C)o3)C[C@@H]21 ZINC001074266181 773778273 /nfs/dbraw/zinc/77/82/73/773778273.db2.gz GHYLJBBSKPJMRQ-HOTGVXAUSA-N 1 2 319.405 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cnc(C)o3)C[C@@H]21 ZINC001074266181 773778278 /nfs/dbraw/zinc/77/82/78/773778278.db2.gz GHYLJBBSKPJMRQ-HOTGVXAUSA-N 1 2 319.405 1.403 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccnc(C)c3)C[C@H]21 ZINC001074366638 773859454 /nfs/dbraw/zinc/85/94/54/773859454.db2.gz XLRRUMBMYPQSSD-IAGOWNOFSA-N 1 2 315.417 1.881 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccnc(C)c3)C[C@H]21 ZINC001074366638 773859459 /nfs/dbraw/zinc/85/94/59/773859459.db2.gz XLRRUMBMYPQSSD-IAGOWNOFSA-N 1 2 315.417 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2cccnc2)[C@H](O)C1 ZINC001099908775 773941497 /nfs/dbraw/zinc/94/14/97/773941497.db2.gz JBWWDBIXZDAZPK-LSDHHAIUSA-N 1 2 323.824 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2cccnc2)[C@H](O)C1 ZINC001099908775 773941501 /nfs/dbraw/zinc/94/15/01/773941501.db2.gz JBWWDBIXZDAZPK-LSDHHAIUSA-N 1 2 323.824 1.318 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccccn1)c1nccn12 ZINC001092342525 774092478 /nfs/dbraw/zinc/09/24/78/774092478.db2.gz OQJMDPMRJCQILE-HNNXBMFYSA-N 1 2 321.384 1.187 20 30 DDEDLO Cc1nc(N2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H](C)C#N)C3)c(C)c(C)[nH+]1 ZINC001092811475 774276747 /nfs/dbraw/zinc/27/67/47/774276747.db2.gz IMYQBMFTHMZOJI-FLCCKXIQSA-N 1 2 313.405 1.787 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001075128170 774372246 /nfs/dbraw/zinc/37/22/46/774372246.db2.gz VWZABVRMQOEXKP-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@H]4[C@H](CCN4CC#N)C3)ccn12 ZINC001036717627 774392353 /nfs/dbraw/zinc/39/23/53/774392353.db2.gz YUUJPLVTXIHLBC-CVEARBPZSA-N 1 2 323.400 1.703 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@@H]1C ZINC001075211518 774425396 /nfs/dbraw/zinc/42/53/96/774425396.db2.gz XDPKLJTXPRMDSD-STQMWFEESA-N 1 2 304.394 1.414 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CCF ZINC001098240203 774518796 /nfs/dbraw/zinc/51/87/96/774518796.db2.gz KXGPXBLTVKXFEP-YUELXQCFSA-N 1 2 317.364 1.398 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CCF ZINC001098240203 774518799 /nfs/dbraw/zinc/51/87/99/774518799.db2.gz KXGPXBLTVKXFEP-YUELXQCFSA-N 1 2 317.364 1.398 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C(C)=C/C)C2)nn1 ZINC001098649258 774631286 /nfs/dbraw/zinc/63/12/86/774631286.db2.gz NMLDKCDYGOFNNC-DTHCKZEYSA-N 1 2 301.394 1.131 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C(C)(CC)CC)C2)nn1 ZINC001098784565 774672893 /nfs/dbraw/zinc/67/28/93/774672893.db2.gz HVHGMBJANYJNNH-HNNXBMFYSA-N 1 2 317.437 1.601 20 30 DDEDLO N#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cccc3[nH+]ccn32)C1 ZINC001098940467 774730607 /nfs/dbraw/zinc/73/06/07/774730607.db2.gz SIWFLGPUPJBKHB-GUYCJALGSA-N 1 2 309.373 1.442 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCCOC3)CC2)C1 ZINC001093514543 774764715 /nfs/dbraw/zinc/76/47/15/774764715.db2.gz BPIWDYBJGWYYJG-CQSZACIVSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cc(C#N)c[nH]3)CC2)C1 ZINC001093522852 774774430 /nfs/dbraw/zinc/77/44/30/774774430.db2.gz GHGJQSOYRVHVDG-UHFFFAOYSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3ccn(C)c3)CC2)C1 ZINC001093525636 774777430 /nfs/dbraw/zinc/77/74/30/774777430.db2.gz DZDUVGFSIVVJHN-UHFFFAOYSA-N 1 2 317.433 1.907 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3CO[C@@H](C)C3)CC2)C1 ZINC001093549322 774826764 /nfs/dbraw/zinc/82/67/64/774826764.db2.gz VEWDQZPCMMNCDU-LSDHHAIUSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3n[nH]cc3F)CC2)C1 ZINC001093568260 774839233 /nfs/dbraw/zinc/83/92/33/774839233.db2.gz HZQJTTPATAYRNI-UHFFFAOYSA-N 1 2 308.357 1.040 20 30 DDEDLO C[C@@H](CCNC(=O)CCn1cc[nH+]c1)Nc1ccc(C#N)nc1 ZINC001099626216 775075116 /nfs/dbraw/zinc/07/51/16/775075116.db2.gz RWOKZIQJFVDNHY-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099728028 775195188 /nfs/dbraw/zinc/19/51/88/775195188.db2.gz UUFXKRNGICSSBN-HUUCEWRRSA-N 1 2 307.369 1.238 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099728028 775195192 /nfs/dbraw/zinc/19/51/92/775195192.db2.gz UUFXKRNGICSSBN-HUUCEWRRSA-N 1 2 307.369 1.238 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001099827562 775335297 /nfs/dbraw/zinc/33/52/97/775335297.db2.gz XKPSWNYHAZRBLC-ROUUACIJSA-N 1 2 323.396 1.023 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001099827562 775335306 /nfs/dbraw/zinc/33/53/06/775335306.db2.gz XKPSWNYHAZRBLC-ROUUACIJSA-N 1 2 323.396 1.023 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)CCCF)[C@@H](O)C1 ZINC001099955639 775475120 /nfs/dbraw/zinc/47/51/20/775475120.db2.gz XKANHUKPHSVRAT-CVEARBPZSA-N 1 2 319.380 1.359 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)CCCF)[C@@H](O)C1 ZINC001099955639 775475131 /nfs/dbraw/zinc/47/51/31/775475131.db2.gz XKANHUKPHSVRAT-CVEARBPZSA-N 1 2 319.380 1.359 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(OC)no2)C[C@@H]1O ZINC001099975661 775506333 /nfs/dbraw/zinc/50/63/33/775506333.db2.gz FVIRCZBOYNGCJS-KBPBESRZSA-N 1 2 323.393 1.091 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cc(OC)no2)C[C@@H]1O ZINC001099975661 775506341 /nfs/dbraw/zinc/50/63/41/775506341.db2.gz FVIRCZBOYNGCJS-KBPBESRZSA-N 1 2 323.393 1.091 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099976180 775508585 /nfs/dbraw/zinc/50/85/85/775508585.db2.gz LCQJEONSDHNICN-ZIAGYGMSSA-N 1 2 309.435 1.551 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099976180 775508592 /nfs/dbraw/zinc/50/85/92/775508592.db2.gz LCQJEONSDHNICN-ZIAGYGMSSA-N 1 2 309.435 1.551 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001100020585 775583182 /nfs/dbraw/zinc/58/31/82/775583182.db2.gz PYRFQHNZEUVCHC-KBPBESRZSA-N 1 2 323.462 1.797 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001100020585 775583205 /nfs/dbraw/zinc/58/32/05/775583205.db2.gz PYRFQHNZEUVCHC-KBPBESRZSA-N 1 2 323.462 1.797 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccc(C)s3)nn2)C1 ZINC001094268886 775610801 /nfs/dbraw/zinc/61/08/01/775610801.db2.gz IELIUFCPPUEBIB-UHFFFAOYSA-N 1 2 317.418 1.621 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cc(C)co3)nn2)C1 ZINC001094312640 775667117 /nfs/dbraw/zinc/66/71/17/775667117.db2.gz KEEZYIHVBGHESO-UHFFFAOYSA-N 1 2 301.350 1.152 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H]3CC[C@H](C)C3)nn2)C1 ZINC001094325387 775753151 /nfs/dbraw/zinc/75/31/51/775753151.db2.gz MDXQYPYUSJPNPD-KBPBESRZSA-N 1 2 315.421 1.211 20 30 DDEDLO C[C@H](CC(=O)NCCN(C)c1ccc(C#N)cn1)n1cc[nH+]c1 ZINC001100350784 776037810 /nfs/dbraw/zinc/03/78/10/776037810.db2.gz XSVWAPCVBCHKOI-CYBMUJFWSA-N 1 2 312.377 1.353 20 30 DDEDLO CN(CCNC(=O)Cc1c[nH]c[nH+]1)c1nc(Cl)c(C#N)s1 ZINC001100353970 776042226 /nfs/dbraw/zinc/04/22/26/776042226.db2.gz ATFWFPAGBGQRIP-UHFFFAOYSA-N 1 2 324.797 1.186 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCCN(C)c1ccc(C#N)cn1 ZINC001100368280 776062465 /nfs/dbraw/zinc/06/24/65/776062465.db2.gz WDGVJDRLUMAWML-GFCCVEGCSA-N 1 2 312.377 1.108 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCCN(C)c1ccc(C#N)cn1 ZINC001100368280 776062469 /nfs/dbraw/zinc/06/24/69/776062469.db2.gz WDGVJDRLUMAWML-GFCCVEGCSA-N 1 2 312.377 1.108 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C(C)(C)C3CC3)CC2=O)C1 ZINC001094778181 776268214 /nfs/dbraw/zinc/26/82/14/776268214.db2.gz LGGGUSIUIHQNHF-CQSZACIVSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@H]3C[C@H]4C[C@H]4C3)CC2=O)C1 ZINC001094777097 776268228 /nfs/dbraw/zinc/26/82/28/776268228.db2.gz NQNOTISEGDFNOY-YJNKXOJESA-N 1 2 317.433 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3CCC(C)(C)C3)CC2=O)C1 ZINC001094786611 776278209 /nfs/dbraw/zinc/27/82/09/776278209.db2.gz XFVBQHWTAWIROW-ZIAGYGMSSA-N 1 2 319.449 1.400 20 30 DDEDLO CCN(CCNC(=O)CCn1cc[nH+]c1)c1ncccc1C#N ZINC001100673786 776426299 /nfs/dbraw/zinc/42/62/99/776426299.db2.gz YGSLKVAHZXLFOF-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001101002165 776810507 /nfs/dbraw/zinc/81/05/07/776810507.db2.gz JPCHNUUOMCJMHJ-AWEZNQCLSA-N 1 2 324.388 1.170 20 30 DDEDLO N#Cc1cnccc1N1CC[C@H](CNC(=O)CCn2cc[nH+]c2)C1 ZINC001101034527 776842252 /nfs/dbraw/zinc/84/22/52/776842252.db2.gz YHXPLHAVWKKAFX-CQSZACIVSA-N 1 2 324.388 1.183 20 30 DDEDLO CCc1cc(N(CCNC(=O)[C@@H](C)C#N)C2CC2)nc(C)[nH+]1 ZINC001101319704 777065228 /nfs/dbraw/zinc/06/52/28/777065228.db2.gz DJAIFOONWRAGSH-NSHDSACASA-N 1 2 301.394 1.592 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CCOC(C)C)c1nccn12 ZINC001101608677 777299696 /nfs/dbraw/zinc/29/96/96/777299696.db2.gz IPSQQWMWMSSSIE-AWEZNQCLSA-N 1 2 318.421 1.456 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC2(C[NH+](CC=C)C2)n2ccnc21 ZINC001101624469 777319000 /nfs/dbraw/zinc/31/90/00/777319000.db2.gz VYTOAVWUFRCKQR-HNNXBMFYSA-N 1 2 312.417 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(CF)CCC1)c1nccn12 ZINC001101645037 777342089 /nfs/dbraw/zinc/34/20/89/777342089.db2.gz VWWAUCRVJFIOJH-CYBMUJFWSA-N 1 2 318.396 1.781 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001101695283 777402774 /nfs/dbraw/zinc/40/27/74/777402774.db2.gz WCGKJMUKICECAY-UHFFFAOYSA-N 1 2 312.377 1.524 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001110181472 777708139 /nfs/dbraw/zinc/70/81/39/777708139.db2.gz FULFVSYOXRTKPJ-SNPRPXQTSA-N 1 2 321.446 1.957 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001110181472 777708149 /nfs/dbraw/zinc/70/81/49/777708149.db2.gz FULFVSYOXRTKPJ-SNPRPXQTSA-N 1 2 321.446 1.957 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(F)s1)C2 ZINC001110187894 777859959 /nfs/dbraw/zinc/85/99/59/777859959.db2.gz WMLFNYITRFGXLY-AGIUHOORSA-N 1 2 322.405 1.872 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(F)s1)C2 ZINC001110187894 777859965 /nfs/dbraw/zinc/85/99/65/777859965.db2.gz WMLFNYITRFGXLY-AGIUHOORSA-N 1 2 322.405 1.872 20 30 DDEDLO C=CCO[C@H](C)C(=O)N(C)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102715738 778339328 /nfs/dbraw/zinc/33/93/28/778339328.db2.gz HOYJTDNOKCZPKH-OCCSQVGLSA-N 1 2 304.394 1.413 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)COCCCC)C[C@H]21 ZINC001176978137 778362824 /nfs/dbraw/zinc/36/28/24/778362824.db2.gz RCQUPVHMSVFPOA-CVEARBPZSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)COCCCC)C[C@H]21 ZINC001176978137 778362825 /nfs/dbraw/zinc/36/28/25/778362825.db2.gz RCQUPVHMSVFPOA-CVEARBPZSA-N 1 2 310.438 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC(F)(F)F)C[C@@H]21 ZINC001176989820 778376302 /nfs/dbraw/zinc/37/63/02/778376302.db2.gz XVXBEUUBHADTQO-STQMWFEESA-N 1 2 318.339 1.654 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC(F)(F)F)C[C@@H]21 ZINC001176989820 778376307 /nfs/dbraw/zinc/37/63/07/778376307.db2.gz XVXBEUUBHADTQO-STQMWFEESA-N 1 2 318.339 1.654 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC3(OC)CCC3)C[C@H]21 ZINC001177005752 778386309 /nfs/dbraw/zinc/38/63/09/778386309.db2.gz JUHMOMHZLUBLBQ-CVEARBPZSA-N 1 2 322.449 1.823 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC3(OC)CCC3)C[C@H]21 ZINC001177005752 778386319 /nfs/dbraw/zinc/38/63/19/778386319.db2.gz JUHMOMHZLUBLBQ-CVEARBPZSA-N 1 2 322.449 1.823 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)(C)C)C[C@H]21 ZINC001177007063 778386694 /nfs/dbraw/zinc/38/66/94/778386694.db2.gz WPLYQAZASBPROM-CVEARBPZSA-N 1 2 304.434 1.914 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)(C)C)C[C@H]21 ZINC001177007063 778386699 /nfs/dbraw/zinc/38/66/99/778386699.db2.gz WPLYQAZASBPROM-CVEARBPZSA-N 1 2 304.434 1.914 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N(CC)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102812679 778421288 /nfs/dbraw/zinc/42/12/88/778421288.db2.gz IUQYANQMVJAOKD-DZGCQCFKSA-N 1 2 318.421 1.803 20 30 DDEDLO Cc1nc(N2CCCC[C@@H](NC(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001102865453 778460839 /nfs/dbraw/zinc/46/08/39/778460839.db2.gz NIYWPVDNUIDSDV-OAHLLOKOSA-N 1 2 300.406 1.920 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@](C)(CNc1cc[nH+]c(C)n1)C1CC1 ZINC001103547229 778938031 /nfs/dbraw/zinc/93/80/31/778938031.db2.gz GJKGNFXREWQOHU-PXAZEXFGSA-N 1 2 316.405 1.520 20 30 DDEDLO COCC#CC[NH2+][C@H]1CCN(C(=O)C(F)C(F)(F)F)[C@H]1C ZINC001178746151 779160821 /nfs/dbraw/zinc/16/08/21/779160821.db2.gz ABGYZRHVHIXXDA-GARJFASQSA-N 1 2 310.291 1.116 20 30 DDEDLO COCC#CC[NH2+][C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)[C@H]1C ZINC001178746151 779160825 /nfs/dbraw/zinc/16/08/25/779160825.db2.gz ABGYZRHVHIXXDA-GARJFASQSA-N 1 2 310.291 1.116 20 30 DDEDLO C#CCOCCC(=O)N(C)CCCN(C)c1cc[nH+]c(C)n1 ZINC001112125402 779631145 /nfs/dbraw/zinc/63/11/45/779631145.db2.gz CIXDLSQMVXKMLN-UHFFFAOYSA-N 1 2 304.394 1.110 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001115674982 780331554 /nfs/dbraw/zinc/33/15/54/780331554.db2.gz VYUFYAHTAKISJU-LBPRGKRZSA-N 1 2 318.425 1.308 20 30 DDEDLO C#CCOCCNC(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC001116572031 780526145 /nfs/dbraw/zinc/52/61/45/780526145.db2.gz HIEZPNNEKMLZKD-CQSZACIVSA-N 1 2 304.394 1.034 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(OC)cc3)n2C)CC1 ZINC001116923167 780577951 /nfs/dbraw/zinc/57/79/51/780577951.db2.gz OAISKYDMOPSPHG-UHFFFAOYSA-N 1 2 311.389 1.246 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1c2ccccc2CC[C@H]1F ZINC001119377417 781428641 /nfs/dbraw/zinc/42/86/41/781428641.db2.gz GGUDTWSXGXURSX-YCPHGPKFSA-N 1 2 320.364 1.575 20 30 DDEDLO CC(C(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC#N)=C1CCCC1 ZINC001267263927 837695844 /nfs/dbraw/zinc/69/58/44/837695844.db2.gz POFKMJWGHLHNMY-OAHLLOKOSA-N 1 2 318.421 1.097 20 30 DDEDLO CC(C(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC#N)=C1CCCC1 ZINC001267263927 837695852 /nfs/dbraw/zinc/69/58/52/837695852.db2.gz POFKMJWGHLHNMY-OAHLLOKOSA-N 1 2 318.421 1.097 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(C)ncn2)C1 ZINC001266252248 835990091 /nfs/dbraw/zinc/99/00/91/835990091.db2.gz QAOWEPRDEPPFTH-HNNXBMFYSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@H+](Cc2cc(C)ncn2)C1 ZINC001266252248 835990096 /nfs/dbraw/zinc/99/00/96/835990096.db2.gz QAOWEPRDEPPFTH-HNNXBMFYSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001266321555 836116149 /nfs/dbraw/zinc/11/61/49/836116149.db2.gz RBXIVCPVOCWKAT-YOEHRIQHSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001266321555 836116155 /nfs/dbraw/zinc/11/61/55/836116155.db2.gz RBXIVCPVOCWKAT-YOEHRIQHSA-N 1 2 305.422 1.226 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2onc3c2CCCC3)C1 ZINC001266330776 836128631 /nfs/dbraw/zinc/12/86/31/836128631.db2.gz IBAXIUFBRKEDRD-ZDUSSCGKSA-N 1 2 317.389 1.007 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2onc3c2CCCC3)C1 ZINC001266330776 836128636 /nfs/dbraw/zinc/12/86/36/836128636.db2.gz IBAXIUFBRKEDRD-ZDUSSCGKSA-N 1 2 317.389 1.007 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC12CC[NH+](Cc1cnn(CC)n1)CC2 ZINC001266366460 836178689 /nfs/dbraw/zinc/17/86/89/836178689.db2.gz SUZBBUVOSHJYQB-HNNXBMFYSA-N 1 2 317.437 1.735 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CCC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001266460653 836292849 /nfs/dbraw/zinc/29/28/49/836292849.db2.gz ALAQMOMCJCIBBZ-CYBMUJFWSA-N 1 2 320.462 1.977 20 30 DDEDLO Cc1nnsc1C[N@H+]1CCC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001266460653 836292857 /nfs/dbraw/zinc/29/28/57/836292857.db2.gz ALAQMOMCJCIBBZ-CYBMUJFWSA-N 1 2 320.462 1.977 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCC[N@H+]1[C@@H](C)c1nncn1C ZINC001266465123 836299555 /nfs/dbraw/zinc/29/95/55/836299555.db2.gz OVOJAGIYKUTQCZ-UONOGXRCSA-N 1 2 305.426 1.813 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCC[N@@H+]1[C@@H](C)c1nncn1C ZINC001266465123 836299561 /nfs/dbraw/zinc/29/95/61/836299561.db2.gz OVOJAGIYKUTQCZ-UONOGXRCSA-N 1 2 305.426 1.813 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]([NH2+]Cc2noc(C3CCC3)n2)C1 ZINC001266583012 836496087 /nfs/dbraw/zinc/49/60/87/836496087.db2.gz JTMQWUSFUIBFSP-JOCQHMNTSA-N 1 2 320.393 1.277 20 30 DDEDLO CC(=O)Nc1nc(C[NH2+]CCN(C)C(=O)C#CC(C)C)cs1 ZINC001266694703 836681930 /nfs/dbraw/zinc/68/19/30/836681930.db2.gz XJHJXOBRMUKWET-UHFFFAOYSA-N 1 2 322.434 1.309 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001266752928 836782210 /nfs/dbraw/zinc/78/22/10/836782210.db2.gz XXGFKDCIRBRUHJ-HNNXBMFYSA-N 1 2 319.453 1.983 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCC[N@H+](Cc2cnn(CC)n2)C1 ZINC001266752928 836782217 /nfs/dbraw/zinc/78/22/17/836782217.db2.gz XXGFKDCIRBRUHJ-HNNXBMFYSA-N 1 2 319.453 1.983 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C)no1 ZINC001267405630 838002456 /nfs/dbraw/zinc/00/24/56/838002456.db2.gz NXTXACSGIBKPEW-GASCZTMLSA-N 1 2 317.389 1.198 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C)no1 ZINC001267405630 838002463 /nfs/dbraw/zinc/00/24/63/838002463.db2.gz NXTXACSGIBKPEW-GASCZTMLSA-N 1 2 317.389 1.198 20 30 DDEDLO C=C(Cl)C[N@@H+](CCCNC(=O)C1CS(=O)(=O)C1)C(C)C ZINC001267502938 838198450 /nfs/dbraw/zinc/19/84/50/838198450.db2.gz IWGQCGLEYTYXJB-UHFFFAOYSA-N 1 2 322.858 1.000 20 30 DDEDLO C=C(Cl)C[N@H+](CCCNC(=O)C1CS(=O)(=O)C1)C(C)C ZINC001267502938 838198455 /nfs/dbraw/zinc/19/84/55/838198455.db2.gz IWGQCGLEYTYXJB-UHFFFAOYSA-N 1 2 322.858 1.000 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[C@H]1CC[N@H+](Cc2csnn2)C1 ZINC001267524034 838245712 /nfs/dbraw/zinc/24/57/12/838245712.db2.gz NSYNCIVCKXDCCU-SWLSCSKDSA-N 1 2 324.450 1.193 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[C@H]1CC[N@@H+](Cc2csnn2)C1 ZINC001267524034 838245717 /nfs/dbraw/zinc/24/57/17/838245717.db2.gz NSYNCIVCKXDCCU-SWLSCSKDSA-N 1 2 324.450 1.193 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)Cc2ccccc2C)CC1 ZINC001267576230 838345751 /nfs/dbraw/zinc/34/57/51/838345751.db2.gz UJPLXENQNRJFSB-UHFFFAOYSA-N 1 2 315.461 1.800 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001267636760 838547640 /nfs/dbraw/zinc/54/76/40/838547640.db2.gz ASSCPAJBIJJWEV-OAHLLOKOSA-N 1 2 317.437 1.587 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001267636760 838547647 /nfs/dbraw/zinc/54/76/47/838547647.db2.gz ASSCPAJBIJJWEV-OAHLLOKOSA-N 1 2 317.437 1.587 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)c3cccc(C)c3)C2)nn1 ZINC001105144715 839119089 /nfs/dbraw/zinc/11/90/89/839119089.db2.gz JLMJBOADVWDVGR-UHFFFAOYSA-N 1 2 323.400 1.397 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H](C)C[NH2+]Cc2nnc(C)o2)cn1 ZINC001268092772 839676590 /nfs/dbraw/zinc/67/65/90/839676590.db2.gz FEJQGRFZLYQWPT-LLVKDONJSA-N 1 2 313.361 1.005 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](NC(=O)c2ccsn2)C1 ZINC001268202034 839832646 /nfs/dbraw/zinc/83/26/46/839832646.db2.gz LPTWMZBMUJBDGW-NEPJUHHUSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](NC(=O)c2ccsn2)C1 ZINC001268202034 839832654 /nfs/dbraw/zinc/83/26/54/839832654.db2.gz LPTWMZBMUJBDGW-NEPJUHHUSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2(C)CCCCC2)C1 ZINC001268215599 839848624 /nfs/dbraw/zinc/84/86/24/839848624.db2.gz QLLAGIMNCVNCBB-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2(C)CCCCC2)C1 ZINC001268215599 839848629 /nfs/dbraw/zinc/84/86/29/839848629.db2.gz QLLAGIMNCVNCBB-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NC(C)C)C1 ZINC001268216305 839850536 /nfs/dbraw/zinc/85/05/36/839850536.db2.gz DWGZOVFLWUOJJS-AWEZNQCLSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NC(C)C)C1 ZINC001268216305 839850546 /nfs/dbraw/zinc/85/05/46/839850546.db2.gz DWGZOVFLWUOJJS-AWEZNQCLSA-N 1 2 309.454 1.694 20 30 DDEDLO CC(C)(C)C#CC(=O)NC1C[NH+](CCCO[C@@H]2CCOC2)C1 ZINC001268300374 839965212 /nfs/dbraw/zinc/96/52/12/839965212.db2.gz NWRBCSPJQRSQQU-OAHLLOKOSA-N 1 2 308.422 1.032 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1C[NH+](Cc2cc(C)cc(C)c2)C1 ZINC001268301653 839968038 /nfs/dbraw/zinc/96/80/38/839968038.db2.gz ZMGXZKUIGYQSES-OAHLLOKOSA-N 1 2 300.402 1.642 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)C[N@H+](C)CC(=O)Nc1nccs1 ZINC001268732176 840730911 /nfs/dbraw/zinc/73/09/11/840730911.db2.gz GYJLIYZNKLJMIL-LBPRGKRZSA-N 1 2 324.450 1.874 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)C[N@@H+](C)CC(=O)Nc1nccs1 ZINC001268732176 840730918 /nfs/dbraw/zinc/73/09/18/840730918.db2.gz GYJLIYZNKLJMIL-LBPRGKRZSA-N 1 2 324.450 1.874 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)CCC[NH2+][C@@H](C)c1noc(C)n1 ZINC001268789005 840808800 /nfs/dbraw/zinc/80/88/00/840808800.db2.gz GAIJPSYWFBXRLX-NHYWBVRUSA-N 1 2 310.398 1.204 20 30 DDEDLO O=C(C#CC1CC1)NCC1C[NH+](Cc2nc3ccccc3o2)C1 ZINC001268824328 840871880 /nfs/dbraw/zinc/87/18/80/840871880.db2.gz CIZAZXLAXSXPAL-UHFFFAOYSA-N 1 2 309.369 1.789 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1C[NH+](CC(=O)NC(CC)CC)C1 ZINC001268858458 840908790 /nfs/dbraw/zinc/90/87/90/840908790.db2.gz LJNWQNMGRSIEED-UHFFFAOYSA-N 1 2 323.481 1.942 20 30 DDEDLO CC#CCCCC(=O)NCC1C[NH+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001268862782 840914419 /nfs/dbraw/zinc/91/44/19/840914419.db2.gz ONZHSJBHBKBCKK-HNNXBMFYSA-N 1 2 321.465 1.389 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2occ3c2CCC3)C1 ZINC001269046688 841145110 /nfs/dbraw/zinc/14/51/10/841145110.db2.gz YEQYYTOXALYJDA-AWEZNQCLSA-N 1 2 302.374 1.222 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2occ3c2CCC3)C1 ZINC001269046688 841145113 /nfs/dbraw/zinc/14/51/13/841145113.db2.gz YEQYYTOXALYJDA-AWEZNQCLSA-N 1 2 302.374 1.222 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2nc(C)cs2)C1 ZINC001269126443 841237855 /nfs/dbraw/zinc/23/78/55/841237855.db2.gz MPLQXCOENAHWLQ-CHWSQXEVSA-N 1 2 307.419 1.180 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)cs2)C1 ZINC001269126443 841237866 /nfs/dbraw/zinc/23/78/66/841237866.db2.gz MPLQXCOENAHWLQ-CHWSQXEVSA-N 1 2 307.419 1.180 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@H]1CCN(C2CCC2)C1=O ZINC001269223209 841375200 /nfs/dbraw/zinc/37/52/00/841375200.db2.gz MHTXGWRJHVPQLY-HOTGVXAUSA-N 1 2 317.433 1.134 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@H]1CCN(C2CCC2)C1=O ZINC001269223209 841375206 /nfs/dbraw/zinc/37/52/06/841375206.db2.gz MHTXGWRJHVPQLY-HOTGVXAUSA-N 1 2 317.433 1.134 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]1CC[N@H+]1CCn1cc(Cl)cn1 ZINC001269229799 841385334 /nfs/dbraw/zinc/38/53/34/841385334.db2.gz QBFKDYWIIJLKDE-AWEZNQCLSA-N 1 2 306.797 1.140 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]1CC[N@@H+]1CCn1cc(Cl)cn1 ZINC001269229799 841385348 /nfs/dbraw/zinc/38/53/48/841385348.db2.gz QBFKDYWIIJLKDE-AWEZNQCLSA-N 1 2 306.797 1.140 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@H](N(C)C(=O)C#CC(C)(C)C)C2)cn1 ZINC001269239558 841404787 /nfs/dbraw/zinc/40/47/87/841404787.db2.gz BOVOVXYLVMKTEU-INIZCTEOSA-N 1 2 314.433 1.867 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@H](N(C)C(=O)C#CC(C)(C)C)C2)cn1 ZINC001269239558 841404790 /nfs/dbraw/zinc/40/47/90/841404790.db2.gz BOVOVXYLVMKTEU-INIZCTEOSA-N 1 2 314.433 1.867 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1(CF)CCC1 ZINC001269290738 841474880 /nfs/dbraw/zinc/47/48/80/841474880.db2.gz DLJAAQVFHHFTQE-STQMWFEESA-N 1 2 311.401 1.007 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C1(CF)CCC1 ZINC001269290738 841474888 /nfs/dbraw/zinc/47/48/88/841474888.db2.gz DLJAAQVFHHFTQE-STQMWFEESA-N 1 2 311.401 1.007 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001269301468 841491027 /nfs/dbraw/zinc/49/10/27/841491027.db2.gz KXOYQDBJHJZRIP-CQSZACIVSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001269301468 841491031 /nfs/dbraw/zinc/49/10/31/841491031.db2.gz KXOYQDBJHJZRIP-CQSZACIVSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccn(CC)n2)C1 ZINC001269302985 841492298 /nfs/dbraw/zinc/49/22/98/841492298.db2.gz XGCNLNMXIJGELW-MRXNPFEDSA-N 1 2 320.437 1.528 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@@H]1CC[N@H+](Cc2ccn(CC)n2)C1 ZINC001269302985 841492303 /nfs/dbraw/zinc/49/23/03/841492303.db2.gz XGCNLNMXIJGELW-MRXNPFEDSA-N 1 2 320.437 1.528 20 30 DDEDLO C=CCCCC(=O)N1CC[C@]2(CC[N@@H+](Cc3nncn3C)C2)C1 ZINC001269737302 841987943 /nfs/dbraw/zinc/98/79/43/841987943.db2.gz PUVBSUVPSKNQAO-KRWDZBQOSA-N 1 2 317.437 1.596 20 30 DDEDLO C=CCCCC(=O)N1CC[C@]2(CC[N@H+](Cc3nncn3C)C2)C1 ZINC001269737302 841987951 /nfs/dbraw/zinc/98/79/51/841987951.db2.gz PUVBSUVPSKNQAO-KRWDZBQOSA-N 1 2 317.437 1.596 20 30 DDEDLO Cc1ccc(C(=O)N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)o1 ZINC001270135772 842325815 /nfs/dbraw/zinc/32/58/15/842325815.db2.gz PPKOCMQXAAWNRY-UHFFFAOYSA-N 1 2 324.384 1.756 20 30 DDEDLO C#CCN(C(=O)[C@H](F)CC)C1CC[NH+](Cc2nccn2C)CC1 ZINC001270331577 842494533 /nfs/dbraw/zinc/49/45/33/842494533.db2.gz IVKBUDHSKNVAAX-OAHLLOKOSA-N 1 2 320.412 1.594 20 30 DDEDLO CC1(CCC(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)CC1 ZINC001270528562 842644494 /nfs/dbraw/zinc/64/44/94/842644494.db2.gz DOAKVHMSSQJVQJ-OAHLLOKOSA-N 1 2 304.438 1.309 20 30 DDEDLO C#CCOCCC(=O)N[C@@]1(C)CC[N@@H+]([C@H](C)c2nc(C)no2)C1 ZINC001270582966 842692792 /nfs/dbraw/zinc/69/27/92/842692792.db2.gz LHLSQMPFHMVNDF-WBMJQRKESA-N 1 2 320.393 1.060 20 30 DDEDLO C#CCOCCC(=O)N[C@@]1(C)CC[N@H+]([C@H](C)c2nc(C)no2)C1 ZINC001270582966 842692794 /nfs/dbraw/zinc/69/27/94/842692794.db2.gz LHLSQMPFHMVNDF-WBMJQRKESA-N 1 2 320.393 1.060 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2C[N@H+](CCOC)CCO2)CCCC1 ZINC001270706643 842836864 /nfs/dbraw/zinc/83/68/64/842836864.db2.gz FPHSYOMJIWRLOO-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2C[N@@H+](CCOC)CCO2)CCCC1 ZINC001270706643 842836873 /nfs/dbraw/zinc/83/68/73/842836873.db2.gz FPHSYOMJIWRLOO-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)NC(=O)C#CC(C)(C)C ZINC001280292853 842906162 /nfs/dbraw/zinc/90/61/62/842906162.db2.gz HHMBIUIRRFGJOI-OLZOCXBDSA-N 1 2 318.421 1.401 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)NC(=O)C#CC(C)(C)C ZINC001280292853 842906166 /nfs/dbraw/zinc/90/61/66/842906166.db2.gz HHMBIUIRRFGJOI-OLZOCXBDSA-N 1 2 318.421 1.401 20 30 DDEDLO CO[C@@H](C[NH+]1CCN(C(=O)C2(C#N)CC2)CC1)c1ccccc1 ZINC001143176624 861399208 /nfs/dbraw/zinc/39/92/08/861399208.db2.gz KKXJTAOGARJRBA-INIZCTEOSA-N 1 2 313.401 1.822 20 30 DDEDLO CC(C)C[C@H](CNCC#N)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001271278923 843464705 /nfs/dbraw/zinc/46/47/05/843464705.db2.gz UIOWFHFTHOTCDS-ZIAGYGMSSA-N 1 2 303.410 1.090 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC[C@H](C)NC(=O)C#CC(C)(C)C ZINC001077677525 846933176 /nfs/dbraw/zinc/93/31/76/846933176.db2.gz QSSXAAYKBKINRN-LBPRGKRZSA-N 1 2 318.421 1.321 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077678793 846941184 /nfs/dbraw/zinc/94/11/84/846941184.db2.gz WWEDHVZFCXDPNM-LBPRGKRZSA-N 1 2 320.437 1.874 20 30 DDEDLO Cc1ccncc1C[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107818469 847195207 /nfs/dbraw/zinc/19/52/07/847195207.db2.gz AFEAEVHLHFZFKQ-PBHICJAKSA-N 1 2 316.405 1.257 20 30 DDEDLO Cc1ccncc1C[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107818469 847195216 /nfs/dbraw/zinc/19/52/16/847195216.db2.gz AFEAEVHLHFZFKQ-PBHICJAKSA-N 1 2 316.405 1.257 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@@](C)(CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001107828008 847231244 /nfs/dbraw/zinc/23/12/44/847231244.db2.gz DZUZRHREAYZXCJ-KRWDZBQOSA-N 1 2 320.437 1.813 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@@](C)(CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001107828008 847231252 /nfs/dbraw/zinc/23/12/52/847231252.db2.gz DZUZRHREAYZXCJ-KRWDZBQOSA-N 1 2 320.437 1.813 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H](C)[N@H+](CC)Cc2ncccn2)c1 ZINC001155148761 861772880 /nfs/dbraw/zinc/77/28/80/861772880.db2.gz BTBDYWBAPWKLDO-CQSZACIVSA-N 1 2 323.400 1.493 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2ncccn2)c1 ZINC001155148761 861772882 /nfs/dbraw/zinc/77/28/82/861772882.db2.gz BTBDYWBAPWKLDO-CQSZACIVSA-N 1 2 323.400 1.493 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@]3(C2)CN(CCCC#N)C(=O)CO3)no1 ZINC001272773355 847408706 /nfs/dbraw/zinc/40/87/06/847408706.db2.gz STBSKJVFRQKKIY-INIZCTEOSA-N 1 2 318.377 1.090 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@]3(C2)CN(CCCC#N)C(=O)CO3)no1 ZINC001272773355 847408710 /nfs/dbraw/zinc/40/87/10/847408710.db2.gz STBSKJVFRQKKIY-INIZCTEOSA-N 1 2 318.377 1.090 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cccc(F)c1C)C2 ZINC001273006786 847771744 /nfs/dbraw/zinc/77/17/44/847771744.db2.gz WLWVQJRPBQMIBF-UHFFFAOYSA-N 1 2 304.365 1.733 20 30 DDEDLO CC(C)[C@@H]([NH2+]Cc1cnc2c(C#N)cnn2c1)c1nncn1C ZINC001327103871 861888825 /nfs/dbraw/zinc/88/88/25/861888825.db2.gz AOOBQWPWKUQLCC-CYBMUJFWSA-N 1 2 310.365 1.216 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@@H+](Cc2nncn2C)CC1 ZINC001327125091 861910310 /nfs/dbraw/zinc/91/03/10/861910310.db2.gz GZVZDTKERADKMA-CQSZACIVSA-N 1 2 305.426 1.642 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@H+](Cc2nncn2C)CC1 ZINC001327125091 861910316 /nfs/dbraw/zinc/91/03/16/861910316.db2.gz GZVZDTKERADKMA-CQSZACIVSA-N 1 2 305.426 1.642 20 30 DDEDLO CSc1nc(C[N@@H+]2CCC[C@]23CCN(C)C3=O)ccc1C#N ZINC001273330016 849553632 /nfs/dbraw/zinc/55/36/32/849553632.db2.gz CCBLMFCSRNZSFX-MRXNPFEDSA-N 1 2 316.430 1.872 20 30 DDEDLO CSc1nc(C[N@H+]2CCC[C@]23CCN(C)C3=O)ccc1C#N ZINC001273330016 849553636 /nfs/dbraw/zinc/55/36/36/849553636.db2.gz CCBLMFCSRNZSFX-MRXNPFEDSA-N 1 2 316.430 1.872 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC2(C[NH+](CCn3cccn3)C2)O1 ZINC001327343490 862081316 /nfs/dbraw/zinc/08/13/16/862081316.db2.gz IHNXXLNYZTXMQI-OAHLLOKOSA-N 1 2 318.421 1.199 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2nc(C)no2)CC1 ZINC001273410208 850031033 /nfs/dbraw/zinc/03/10/33/850031033.db2.gz LCEPLQUXDPMYMB-AWEZNQCLSA-N 1 2 304.394 1.652 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2nc(C)no2)CC1 ZINC001273410208 850031042 /nfs/dbraw/zinc/03/10/42/850031042.db2.gz LCEPLQUXDPMYMB-AWEZNQCLSA-N 1 2 304.394 1.652 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)CCc1ccccn1)CO2 ZINC001327408570 862148271 /nfs/dbraw/zinc/14/82/71/862148271.db2.gz TVTQVWAFKJXJDR-INIZCTEOSA-N 1 2 315.417 1.550 20 30 DDEDLO C=CCCCC[N@H+]1C[C@]2(F)CN(CCOCC)C(=O)[C@]2(F)C1 ZINC001273494880 851026838 /nfs/dbraw/zinc/02/68/38/851026838.db2.gz IHXNBAQFAYSEAF-JKSUJKDBSA-N 1 2 316.392 1.954 20 30 DDEDLO C=CCCCC[N@@H+]1C[C@]2(F)CN(CCOCC)C(=O)[C@]2(F)C1 ZINC001273494880 851026849 /nfs/dbraw/zinc/02/68/49/851026849.db2.gz IHXNBAQFAYSEAF-JKSUJKDBSA-N 1 2 316.392 1.954 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCOCC1CC1)CCO2 ZINC001273617643 851155999 /nfs/dbraw/zinc/15/59/99/851155999.db2.gz AEZSUEGOOAQWNS-UHFFFAOYSA-N 1 2 308.422 1.292 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](C)COC ZINC001327505724 862220225 /nfs/dbraw/zinc/22/02/25/862220225.db2.gz DPZQWQMTFWJACL-RHSMWYFYSA-N 1 2 300.402 1.445 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](C)COC ZINC001327505724 862220211 /nfs/dbraw/zinc/22/02/11/862220211.db2.gz DPZQWQMTFWJACL-RHSMWYFYSA-N 1 2 300.402 1.445 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)CN(CCn3cc[nH+]c3)C[C@@]2(F)C1=O ZINC001273784819 851342635 /nfs/dbraw/zinc/34/26/35/851342635.db2.gz JTKZYQIQLKFLFT-LSDHHAIUSA-N 1 2 310.348 1.034 20 30 DDEDLO Cc1nnc(C[NH+]2CCC3(C[C@@H]3C(=O)NCCCC#N)CC2)o1 ZINC001273791818 851353377 /nfs/dbraw/zinc/35/33/77/851353377.db2.gz AAWMTMVCSZWCDH-CYBMUJFWSA-N 1 2 317.393 1.400 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H]3[C@H]2CCC(=O)N3CCCC#N)n1 ZINC001273816218 851374234 /nfs/dbraw/zinc/37/42/34/851374234.db2.gz LTYPFBCSNMOMHE-CHWSQXEVSA-N 1 2 303.366 1.247 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H]3[C@H]2CCC(=O)N3CCCC#N)n1 ZINC001273816218 851374240 /nfs/dbraw/zinc/37/42/40/851374240.db2.gz LTYPFBCSNMOMHE-CHWSQXEVSA-N 1 2 303.366 1.247 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C\C[NH2+]Cc1nn(C)cc1Cl ZINC001274009768 851843464 /nfs/dbraw/zinc/84/34/64/851843464.db2.gz MBKLMFANTPXYGT-PLNGDYQASA-N 1 2 308.813 1.495 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]([NH2+]Cc2nnc(C(C)C)o2)[C@@H](C)C1 ZINC001274200311 852026683 /nfs/dbraw/zinc/02/66/83/852026683.db2.gz YJFDXVKGNSBJKQ-KBPBESRZSA-N 1 2 318.421 1.789 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cc3ccccn3n2)C1=O ZINC001274263864 852107067 /nfs/dbraw/zinc/10/70/67/852107067.db2.gz KLTLTJIUQCDTBX-GOSISDBHSA-N 1 2 308.385 1.534 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cc3ccccn3n2)C1=O ZINC001274263864 852107069 /nfs/dbraw/zinc/10/70/69/852107069.db2.gz KLTLTJIUQCDTBX-GOSISDBHSA-N 1 2 308.385 1.534 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cccc(C(C)C)c1)C2 ZINC001274270987 852111851 /nfs/dbraw/zinc/11/18/51/852111851.db2.gz XXVOJNMXURJTHR-UHFFFAOYSA-N 1 2 312.413 1.856 20 30 DDEDLO C=C1CCC(C(=O)NC/C=C\C[NH2+]Cc2ncnn2CC)CC1 ZINC001274337966 852163812 /nfs/dbraw/zinc/16/38/12/852163812.db2.gz DBXTWBVHOCLJAF-PLNGDYQASA-N 1 2 317.437 1.806 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nncn2C)[C@@H](C)C1 ZINC001274623274 852455122 /nfs/dbraw/zinc/45/51/22/852455122.db2.gz KRWZKRNTDGLUQG-UONOGXRCSA-N 1 2 303.410 1.088 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nncn2C)[C@@H](C)C1 ZINC001274623274 852455126 /nfs/dbraw/zinc/45/51/26/852455126.db2.gz KRWZKRNTDGLUQG-UONOGXRCSA-N 1 2 303.410 1.088 20 30 DDEDLO N#Cc1ccc(CN2CC3(C2)C[NH+](Cc2cccc(=O)[nH]2)C3)nc1 ZINC001275031820 852760570 /nfs/dbraw/zinc/76/05/70/852760570.db2.gz BBCHLLQIXSHRSW-UHFFFAOYSA-N 1 2 321.384 1.372 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2CC[C@H]3CN(Cc4cn[nH]c4)C[C@H]32)c1 ZINC001275547634 853315951 /nfs/dbraw/zinc/31/59/51/853315951.db2.gz NKVQWJOJUHEVCF-DOTOQJQBSA-N 1 2 323.400 1.693 20 30 DDEDLO N#Cc1ccc(O)c(C[N@H+]2CC[C@H]3CN(Cc4cn[nH]c4)C[C@H]32)c1 ZINC001275547634 853315957 /nfs/dbraw/zinc/31/59/57/853315957.db2.gz NKVQWJOJUHEVCF-DOTOQJQBSA-N 1 2 323.400 1.693 20 30 DDEDLO COCCOCN1CCC12C[NH+](Cc1cc(C#N)ccc1O)C2 ZINC001275550779 853321227 /nfs/dbraw/zinc/32/12/27/853321227.db2.gz OSAUOUKRTMOZCB-UHFFFAOYSA-N 1 2 317.389 1.144 20 30 DDEDLO CCn1nnc(C)c1C[N@H+](C)C[C@H](C)NC(=O)C#CC(C)(C)C ZINC001275552029 853323579 /nfs/dbraw/zinc/32/35/79/853323579.db2.gz NALYNZPULJDBKF-ZDUSSCGKSA-N 1 2 319.453 1.592 20 30 DDEDLO CCn1nnc(C)c1C[N@@H+](C)C[C@H](C)NC(=O)C#CC(C)(C)C ZINC001275552029 853323587 /nfs/dbraw/zinc/32/35/87/853323587.db2.gz NALYNZPULJDBKF-ZDUSSCGKSA-N 1 2 319.453 1.592 20 30 DDEDLO N#Cc1cccc(CN2CCCC3(C[NH+](Cc4cn[nH]c4)C3)C2)n1 ZINC001275604947 853424617 /nfs/dbraw/zinc/42/46/17/853424617.db2.gz AGBNOHXBQIMBDQ-UHFFFAOYSA-N 1 2 322.416 1.774 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001280730943 853518875 /nfs/dbraw/zinc/51/88/75/853518875.db2.gz PGTXJBGZLZOULO-QWHCGFSZSA-N 1 2 304.394 1.462 20 30 DDEDLO CN(CC(C)(C)CNCC#N)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001275685886 853569215 /nfs/dbraw/zinc/56/92/15/853569215.db2.gz YHLGQAWKVJJZFH-ZDUSSCGKSA-N 1 2 303.410 1.043 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](C)Cc1nc(C)c(C)o1 ZINC001275820133 853815277 /nfs/dbraw/zinc/81/52/77/853815277.db2.gz YGQXOSOUACGWAY-BZNIZROVSA-N 1 2 309.410 1.555 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001275820133 853815279 /nfs/dbraw/zinc/81/52/79/853815279.db2.gz YGQXOSOUACGWAY-BZNIZROVSA-N 1 2 309.410 1.555 20 30 DDEDLO Cc1[nH]c(CNC(=O)[C@@H](C#N)Cc2ccc(C#N)cc2)[nH+]c1C ZINC001411896671 853940172 /nfs/dbraw/zinc/94/01/72/853940172.db2.gz YERKDLKYZWSSMC-OAHLLOKOSA-N 1 2 307.357 1.897 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H](C)CN(C)C(=O)[C@@H](C)C#N)c[nH+]1 ZINC001412670011 854915622 /nfs/dbraw/zinc/91/56/22/854915622.db2.gz GBUCTPOXZZNVHL-AAEUAGOBSA-N 1 2 319.409 1.049 20 30 DDEDLO CC(C)c1ocnc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001206659243 862619838 /nfs/dbraw/zinc/61/98/38/862619838.db2.gz GYKCYTYISOPKKW-YNEHKIRRSA-N 1 2 304.394 1.894 20 30 DDEDLO CC(C)c1ocnc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001206659243 862619848 /nfs/dbraw/zinc/61/98/48/862619848.db2.gz GYKCYTYISOPKKW-YNEHKIRRSA-N 1 2 304.394 1.894 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC001412787883 855267666 /nfs/dbraw/zinc/26/76/66/855267666.db2.gz KIOUVSZLAYAWCG-MRXNPFEDSA-N 1 2 310.357 1.732 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]2C1 ZINC001111291381 855447087 /nfs/dbraw/zinc/44/70/87/855447087.db2.gz GNMWIXFVUKGBKX-IYBDPMFKSA-N 1 2 312.417 1.873 20 30 DDEDLO C[C@H]([NH2+]Cc1nc(C#N)cs1)c1cccc(S(N)(=O)=O)c1 ZINC001412983921 855864093 /nfs/dbraw/zinc/86/40/93/855864093.db2.gz URRJPXQJDPYZEV-VIFPVBQESA-N 1 2 322.415 1.513 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cc(C#N)ccc1C ZINC001413678729 857325506 /nfs/dbraw/zinc/32/55/06/857325506.db2.gz ZMEPGDPQCHQZQV-CQSZACIVSA-N 1 2 312.329 1.104 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cc(C#N)ccc1C ZINC001413678729 857325510 /nfs/dbraw/zinc/32/55/10/857325510.db2.gz ZMEPGDPQCHQZQV-CQSZACIVSA-N 1 2 312.329 1.104 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072603203 857502523 /nfs/dbraw/zinc/50/25/23/857502523.db2.gz ORIAXRHDMMLTCP-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001072632865 857537912 /nfs/dbraw/zinc/53/79/12/857537912.db2.gz PIHWTSRXKYUMMZ-IUODEOHRSA-N 1 2 318.421 1.580 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)c1cnc2c(Cl)ncnc2c1 ZINC001156327620 862939289 /nfs/dbraw/zinc/93/92/89/862939289.db2.gz IDKCUJNYWYIXHY-VIFPVBQESA-N 1 2 324.722 1.525 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072800994 857716922 /nfs/dbraw/zinc/71/69/22/857716922.db2.gz JIMCDUGLWJQLAX-AAEUAGOBSA-N 1 2 304.394 1.128 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H](C)OCC ZINC001328463085 862987891 /nfs/dbraw/zinc/98/78/91/862987891.db2.gz BTEOYLOLDDREHL-YOEHRIQHSA-N 1 2 300.402 1.760 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H](C)OCC ZINC001328463085 862987898 /nfs/dbraw/zinc/98/78/98/862987898.db2.gz BTEOYLOLDDREHL-YOEHRIQHSA-N 1 2 300.402 1.760 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@](F)(C#N)C1 ZINC001121714737 858579573 /nfs/dbraw/zinc/57/95/73/858579573.db2.gz CSTMWFYWLWMOHC-HNNXBMFYSA-N 1 2 315.356 1.230 20 30 DDEDLO C#CCN(CC)c1nnc(C2CC[NH+](CCC#N)CC2)n1CC ZINC001121712221 858580214 /nfs/dbraw/zinc/58/02/14/858580214.db2.gz JHHAPPJUPMWQPL-UHFFFAOYSA-N 1 2 314.437 1.851 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N(C)CCOCC ZINC001122490669 858849757 /nfs/dbraw/zinc/84/97/57/858849757.db2.gz PUHWDDLZROFOAS-UHFFFAOYSA-N 1 2 318.425 1.651 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C[NH+]2CCCC2)n1C[C@@H]1CCCO1 ZINC001122773398 858965275 /nfs/dbraw/zinc/96/52/75/858965275.db2.gz LSZSRBSHXQQVFJ-GJZGRUSLSA-N 1 2 317.437 1.511 20 30 DDEDLO C=CCN(C)c1nnc(C[NH+]2CCCC2)n1C[C@H]1CCCO1 ZINC001122867370 858990280 /nfs/dbraw/zinc/99/02/80/858990280.db2.gz QZPLRWMYQUCCJZ-CQSZACIVSA-N 1 2 305.426 1.675 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@H]1C ZINC001123066196 859077567 /nfs/dbraw/zinc/07/75/67/859077567.db2.gz AYDULUSCDTZRNF-OCCSQVGLSA-N 1 2 302.378 1.226 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)C1 ZINC001123708633 859371183 /nfs/dbraw/zinc/37/11/83/859371183.db2.gz XACHOSZSPKRTFY-CQSZACIVSA-N 1 2 309.410 1.043 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)C1 ZINC001123708633 859371189 /nfs/dbraw/zinc/37/11/89/859371189.db2.gz XACHOSZSPKRTFY-CQSZACIVSA-N 1 2 309.410 1.043 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)C1 ZINC001123708631 859371547 /nfs/dbraw/zinc/37/15/47/859371547.db2.gz XACHOSZSPKRTFY-AWEZNQCLSA-N 1 2 309.410 1.043 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)C1 ZINC001123708631 859371557 /nfs/dbraw/zinc/37/15/57/859371557.db2.gz XACHOSZSPKRTFY-AWEZNQCLSA-N 1 2 309.410 1.043 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H](O)CNc2cc[nH+]c(C)n2)C1 ZINC001124775465 859806830 /nfs/dbraw/zinc/80/68/30/859806830.db2.gz NYDDIXOZAZAGOF-ZDUSSCGKSA-N 1 2 304.394 1.373 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@@H+]1Cc1c[nH]c2ccc(C#N)cc12 ZINC001140116423 860577958 /nfs/dbraw/zinc/57/79/58/860577958.db2.gz YQNAEDYHYATQEO-AWEZNQCLSA-N 1 2 313.357 1.803 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@H+]1Cc1c[nH]c2ccc(C#N)cc12 ZINC001140116423 860577963 /nfs/dbraw/zinc/57/79/63/860577963.db2.gz YQNAEDYHYATQEO-AWEZNQCLSA-N 1 2 313.357 1.803 20 30 DDEDLO C=C[C@H](CC(=O)NCC[NH2+]Cc1nonc1C)c1ccccc1 ZINC001151943146 863070894 /nfs/dbraw/zinc/07/08/94/863070894.db2.gz RRSUXKLKVGROQR-CQSZACIVSA-N 1 2 314.389 1.944 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)N(C)CCCn2cc[nH+]c2)C1 ZINC001328847567 863272888 /nfs/dbraw/zinc/27/28/88/863272888.db2.gz GGMHGQJVUNQVFG-CQSZACIVSA-N 1 2 304.394 1.156 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]CCCNC(=O)c2c[nH]c(C#N)c2)no1 ZINC001156841844 863389075 /nfs/dbraw/zinc/38/90/75/863389075.db2.gz SHKNTZBBWJXOEI-VIFPVBQESA-N 1 2 302.338 1.049 20 30 DDEDLO N#Cc1cc(C(=O)NCCC[NH2+]Cc2noc(C3CC3)n2)c[nH]1 ZINC001156852941 863398035 /nfs/dbraw/zinc/39/80/35/863398035.db2.gz RIUHLUMPPCDXFN-UHFFFAOYSA-N 1 2 314.349 1.056 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001157202871 863650898 /nfs/dbraw/zinc/65/08/98/863650898.db2.gz YWGWZZQMTBAJMO-MRXNPFEDSA-N 1 2 322.409 1.260 20 30 DDEDLO C=CCOCC[NH+]1CC(CCO)(NC(=O)C2(C)CC=CC2)C1 ZINC001329692326 863793952 /nfs/dbraw/zinc/79/39/52/863793952.db2.gz DQVYBNXDXHLYNS-UHFFFAOYSA-N 1 2 308.422 1.098 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1CCCCC[N@@H+]1Cc1cnns1 ZINC001329919170 863943256 /nfs/dbraw/zinc/94/32/56/863943256.db2.gz BYMHWBNDNSEXKZ-CQSZACIVSA-N 1 2 318.446 1.812 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1CCCCC[N@H+]1Cc1cnns1 ZINC001329919170 863943267 /nfs/dbraw/zinc/94/32/67/863943267.db2.gz BYMHWBNDNSEXKZ-CQSZACIVSA-N 1 2 318.446 1.812 20 30 DDEDLO CC(C)(C)C#CC(=O)NCCC[NH2+]Cc1noc(C2CC2)n1 ZINC001157737337 864086538 /nfs/dbraw/zinc/08/65/38/864086538.db2.gz YKUIUXLQYSSDAE-UHFFFAOYSA-N 1 2 304.394 1.592 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nc(Cl)cc(Cl)n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227946177 883245569 /nfs/dbraw/zinc/24/55/69/883245569.db2.gz RLBQQZCPRNZORL-QBEQFSANSA-N 1 2 302.161 1.775 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nc(Cl)cc(Cl)n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227946177 883245585 /nfs/dbraw/zinc/24/55/85/883245585.db2.gz RLBQQZCPRNZORL-QBEQFSANSA-N 1 2 302.161 1.775 20 30 DDEDLO C[C@@H]1C[NH+](Cc2ccc(C(=O)N(C)C)[nH]2)C[C@@H](C)N1CC#N ZINC001332021149 865509759 /nfs/dbraw/zinc/50/97/59/865509759.db2.gz HKBYLYTTYCNFCO-CHWSQXEVSA-N 1 2 303.410 1.135 20 30 DDEDLO NC(=O)c1ccc(C(=[NH2+])Nc2cc(Cl)nc3nc[nH]c32)cc1 ZINC001160079629 865670175 /nfs/dbraw/zinc/67/01/75/865670175.db2.gz OCGHGRJAFLAUSK-UHFFFAOYSA-N 1 2 314.736 1.747 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1cc(C)on1 ZINC001332663970 866039237 /nfs/dbraw/zinc/03/92/37/866039237.db2.gz RCIICSJVOMJSQS-DOTOQJQBSA-N 1 2 323.437 1.836 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1cc(C)on1 ZINC001332663970 866039253 /nfs/dbraw/zinc/03/92/53/866039253.db2.gz RCIICSJVOMJSQS-DOTOQJQBSA-N 1 2 323.437 1.836 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC1CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001225630199 881945639 /nfs/dbraw/zinc/94/56/39/881945639.db2.gz AHABNKAKZDBMOB-GFCCVEGCSA-N 1 2 321.425 1.032 20 30 DDEDLO CCc1nc(C[NH2+]C2CC(N(C)C(=O)C#CC3CC3)C2)no1 ZINC001333061831 866383784 /nfs/dbraw/zinc/38/37/84/866383784.db2.gz PPDLVKUSPZXAMJ-UHFFFAOYSA-N 1 2 302.378 1.124 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)c1cc(Cl)c[nH]1 ZINC001323183386 866410521 /nfs/dbraw/zinc/41/05/21/866410521.db2.gz SAWHSDRBUHLMIY-PWSUYJOCSA-N 1 2 324.812 1.163 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)c1cc(Cl)c[nH]1 ZINC001323183386 866410523 /nfs/dbraw/zinc/41/05/23/866410523.db2.gz SAWHSDRBUHLMIY-PWSUYJOCSA-N 1 2 324.812 1.163 20 30 DDEDLO N#CCN1CCC(C2(NC(=O)CCc3c[nH]c[nH+]3)CC2)CC1 ZINC001333182386 866495184 /nfs/dbraw/zinc/49/51/84/866495184.db2.gz ZYZHTWCKFYURLD-UHFFFAOYSA-N 1 2 301.394 1.227 20 30 DDEDLO N#CCN1CCC(C2(NC(=O)CCc3c[nH+]c[nH]3)CC2)CC1 ZINC001333182386 866495192 /nfs/dbraw/zinc/49/51/92/866495192.db2.gz ZYZHTWCKFYURLD-UHFFFAOYSA-N 1 2 301.394 1.227 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@]3(CCN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001323846779 866889407 /nfs/dbraw/zinc/88/94/07/866889407.db2.gz IXBMBDBLOJHNRI-KRWDZBQOSA-N 1 2 316.405 1.462 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@]3(CCN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001323846779 866889427 /nfs/dbraw/zinc/88/94/27/866889427.db2.gz IXBMBDBLOJHNRI-KRWDZBQOSA-N 1 2 316.405 1.462 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+]([C@H]2CC(=O)N(c3ccccc3F)C2=O)C1 ZINC001320885234 867100869 /nfs/dbraw/zinc/10/08/69/867100869.db2.gz IOUMGCKFTAXWAS-DOMZBBRYSA-N 1 2 318.348 1.735 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+]([C@H]2CC(=O)N(c3ccccc3F)C2=O)C1 ZINC001320885234 867100872 /nfs/dbraw/zinc/10/08/72/867100872.db2.gz IOUMGCKFTAXWAS-DOMZBBRYSA-N 1 2 318.348 1.735 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)[C@@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001333899950 867133251 /nfs/dbraw/zinc/13/32/51/867133251.db2.gz OZZHYDUMNGXSQS-QWHCGFSZSA-N 1 2 304.394 1.155 20 30 DDEDLO C#CCN(C(C)=O)C1CC[NH+](Cc2cc(=O)c(OC)co2)CC1 ZINC001324353746 867224038 /nfs/dbraw/zinc/22/40/38/867224038.db2.gz VBMQQIHNEVTIAA-UHFFFAOYSA-N 1 2 318.373 1.095 20 30 DDEDLO CC[C@H](CC(C)C)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001324559092 867353530 /nfs/dbraw/zinc/35/35/30/867353530.db2.gz AHWNBYHNHAJDTE-IAGOWNOFSA-N 1 2 320.481 1.801 20 30 DDEDLO CCC[C@H](C)CC(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001324559982 867355823 /nfs/dbraw/zinc/35/58/23/867355823.db2.gz KPYUXBGVNKXPJT-HOTGVXAUSA-N 1 2 306.454 1.555 20 30 DDEDLO C=CC[C@@H]1NC(=O)N(Cc2cc[nH+]c(N3CCCC3)c2)C1=O ZINC001324607807 867400704 /nfs/dbraw/zinc/40/07/04/867400704.db2.gz KWUZXRMIPZTSDO-ZDUSSCGKSA-N 1 2 300.362 1.678 20 30 DDEDLO C#Cc1cncc(C(=O)NC/C=C/C[NH2+]Cc2coc(C)n2)c1 ZINC001321246489 867433541 /nfs/dbraw/zinc/43/35/41/867433541.db2.gz QFQUOTQXFDJJDL-SNAWJCMRSA-N 1 2 310.357 1.435 20 30 DDEDLO C=CCOCC(=O)NC[C@]1(C)CCC[N@H+](Cc2ccon2)C1 ZINC001324922344 867630475 /nfs/dbraw/zinc/63/04/75/867630475.db2.gz QWYSVVPCAFZDNI-INIZCTEOSA-N 1 2 307.394 1.596 20 30 DDEDLO C=CCOCC(=O)NC[C@]1(C)CCC[N@@H+](Cc2ccon2)C1 ZINC001324922344 867630481 /nfs/dbraw/zinc/63/04/81/867630481.db2.gz QWYSVVPCAFZDNI-INIZCTEOSA-N 1 2 307.394 1.596 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C[NH2+]Cc2nc(CC)no2)cc1 ZINC001321608775 867692931 /nfs/dbraw/zinc/69/29/31/867692931.db2.gz GZICIFRNINGMFG-GFCCVEGCSA-N 1 2 312.373 1.521 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](O)(CNC(=O)/C(C)=C/C)C1 ZINC001325087423 867759605 /nfs/dbraw/zinc/75/96/05/867759605.db2.gz VBCFFXOHEMSPIH-MQSIBISMSA-N 1 2 317.227 1.414 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](O)(CNC(=O)/C(C)=C/C)C1 ZINC001325087423 867759599 /nfs/dbraw/zinc/75/95/99/867759599.db2.gz VBCFFXOHEMSPIH-MQSIBISMSA-N 1 2 317.227 1.414 20 30 DDEDLO C=CCOCC(=O)NCC1(O)C[NH+](Cc2cc(C)ccc2C)C1 ZINC001325190234 867852021 /nfs/dbraw/zinc/85/20/21/867852021.db2.gz QLMJRTTURXRIEM-UHFFFAOYSA-N 1 2 318.417 1.169 20 30 DDEDLO Cc1ccc(C)c(C[NH+]2CC(O)(CNC(=O)C#CC3CC3)C2)c1 ZINC001325208919 867863774 /nfs/dbraw/zinc/86/37/74/867863774.db2.gz WDJSLLHWSSUZOC-UHFFFAOYSA-N 1 2 312.413 1.380 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1CN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001334958429 867948313 /nfs/dbraw/zinc/94/83/13/867948313.db2.gz NNXHWGAZOGDUJV-UONOGXRCSA-N 1 2 318.421 1.764 20 30 DDEDLO C=CC[C@H](NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)OCC ZINC001325357786 867968027 /nfs/dbraw/zinc/96/80/27/867968027.db2.gz XSAFIPUUJAMTDT-NEPJUHHUSA-N 1 2 300.424 1.048 20 30 DDEDLO C=CCCCN(CC)C(=O)C(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC001335191679 868124944 /nfs/dbraw/zinc/12/49/44/868124944.db2.gz SLYWEXNBYIDSGT-CQSZACIVSA-N 1 2 318.421 1.551 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC001325619127 868188712 /nfs/dbraw/zinc/18/87/12/868188712.db2.gz PPIDUNLEKQJGTG-ZDUSSCGKSA-N 1 2 304.394 1.209 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(C)c2C#N)C1 ZINC001337894074 869672769 /nfs/dbraw/zinc/67/27/69/869672769.db2.gz LWSVNWZHALEJKF-AWEZNQCLSA-N 1 2 324.384 1.019 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(C)c2C#N)C1 ZINC001337894074 869672779 /nfs/dbraw/zinc/67/27/79/869672779.db2.gz LWSVNWZHALEJKF-AWEZNQCLSA-N 1 2 324.384 1.019 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[C@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001338117521 869815278 /nfs/dbraw/zinc/81/52/78/869815278.db2.gz QMUZQXUNRZOFPC-LSDHHAIUSA-N 1 2 316.405 1.182 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1C[C@@H](C)N(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001338135515 869823679 /nfs/dbraw/zinc/82/36/79/869823679.db2.gz PANVAVRRUVGATC-OLZOCXBDSA-N 1 2 318.421 1.660 20 30 DDEDLO C=C1CCN(c2nnc(C[NH+]3CCC(CO)CC3)n2C)CC1 ZINC001338521304 870028695 /nfs/dbraw/zinc/02/86/95/870028695.db2.gz VDNGTGQUKZLXDZ-UHFFFAOYSA-N 1 2 305.426 1.176 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)NC(=O)C#CC(C)(C)C ZINC001297474092 870066009 /nfs/dbraw/zinc/06/60/09/870066009.db2.gz RTGPNXKACSAGKA-LBPRGKRZSA-N 1 2 304.394 1.013 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC[N@H+](CCCS(C)(=O)=O)C1 ZINC001316986956 870073278 /nfs/dbraw/zinc/07/32/78/870073278.db2.gz UMDFSWUEBAHYFX-KGLIPLIRSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC[N@@H+](CCCS(C)(=O)=O)C1 ZINC001316986956 870073292 /nfs/dbraw/zinc/07/32/92/870073292.db2.gz UMDFSWUEBAHYFX-KGLIPLIRSA-N 1 2 316.467 1.214 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC001317054594 870173608 /nfs/dbraw/zinc/17/36/08/870173608.db2.gz HVDMESADOIQFGK-ZDUSSCGKSA-N 1 2 318.421 1.861 20 30 DDEDLO C=CCn1c(N2CC[C@H](CC)C2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001339068453 870330101 /nfs/dbraw/zinc/33/01/01/870330101.db2.gz HWNLDKNMHUWNQJ-VBQJREDUSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N2CC[C@H](CC)C2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001339068453 870330116 /nfs/dbraw/zinc/33/01/16/870330116.db2.gz HWNLDKNMHUWNQJ-VBQJREDUSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C[C@H](C)O)C1CC1 ZINC001339090985 870340112 /nfs/dbraw/zinc/34/01/12/870340112.db2.gz JMRLNHUSKDDMJC-LBPRGKRZSA-N 1 2 316.409 1.138 20 30 DDEDLO C=CCn1c(N2CC[C@H](CF)C2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001339195580 870398618 /nfs/dbraw/zinc/39/86/18/870398618.db2.gz HNADKXHNTAULRU-DVOMOZLQSA-N 1 2 323.416 1.172 20 30 DDEDLO C=CCn1c(N2CC[C@H](CF)C2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001339195580 870398634 /nfs/dbraw/zinc/39/86/34/870398634.db2.gz HNADKXHNTAULRU-DVOMOZLQSA-N 1 2 323.416 1.172 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1CC=C ZINC001339486061 870520884 /nfs/dbraw/zinc/52/08/84/870520884.db2.gz PYEJUROOEADAIM-HUUCEWRRSA-N 1 2 317.437 1.704 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1CC=C ZINC001339486061 870520891 /nfs/dbraw/zinc/52/08/91/870520891.db2.gz PYEJUROOEADAIM-HUUCEWRRSA-N 1 2 317.437 1.704 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001317297647 870595696 /nfs/dbraw/zinc/59/56/96/870595696.db2.gz FKBGCHHVKHMXBG-BLLLJJGKSA-N 1 2 322.409 1.211 20 30 DDEDLO C[N@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc(Br)c(C#N)c1 ZINC001276411894 870596454 /nfs/dbraw/zinc/59/64/54/870596454.db2.gz OATDMQJMRRHUBK-TXEJJXNPSA-N 1 2 320.190 1.849 20 30 DDEDLO C[N@@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc(Br)c(C#N)c1 ZINC001276411894 870596464 /nfs/dbraw/zinc/59/64/64/870596464.db2.gz OATDMQJMRRHUBK-TXEJJXNPSA-N 1 2 320.190 1.849 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001298806561 870736014 /nfs/dbraw/zinc/73/60/14/870736014.db2.gz FOPDILYXKWKMTC-QWHCGFSZSA-N 1 2 318.421 1.279 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@@H]([N@@H+](C)Cc2cn(C)nn2)C1 ZINC001317376937 870736184 /nfs/dbraw/zinc/73/61/84/870736184.db2.gz QTQCNMLQSWRDAY-OAHLLOKOSA-N 1 2 319.453 1.840 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@@H]([N@H+](C)Cc2cn(C)nn2)C1 ZINC001317376937 870736210 /nfs/dbraw/zinc/73/62/10/870736210.db2.gz QTQCNMLQSWRDAY-OAHLLOKOSA-N 1 2 319.453 1.840 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001226456666 882440930 /nfs/dbraw/zinc/44/09/30/882440930.db2.gz SAQNLQLCPXELLT-CQSZACIVSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@]1(C)CCC[C@H]1CC ZINC001317451742 870862805 /nfs/dbraw/zinc/86/28/05/870862805.db2.gz PUXIPNRFIUUHNM-PBHICJAKSA-N 1 2 309.454 1.553 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@@]1(C)CCC[C@H]1CC ZINC001317451742 870862819 /nfs/dbraw/zinc/86/28/19/870862819.db2.gz PUXIPNRFIUUHNM-PBHICJAKSA-N 1 2 309.454 1.553 20 30 DDEDLO CC[N@H+](CCNC(=O)C#CC1CC1)Cc1cc2n(n1)CCC2 ZINC001317480396 870898914 /nfs/dbraw/zinc/89/89/14/870898914.db2.gz UEDVATHTQQGKOZ-UHFFFAOYSA-N 1 2 300.406 1.181 20 30 DDEDLO CC[N@@H+](CCNC(=O)C#CC1CC1)Cc1cc2n(n1)CCC2 ZINC001317480396 870898927 /nfs/dbraw/zinc/89/89/27/870898927.db2.gz UEDVATHTQQGKOZ-UHFFFAOYSA-N 1 2 300.406 1.181 20 30 DDEDLO C#CCC[N@H+](CC)CCNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001317483769 870903048 /nfs/dbraw/zinc/90/30/48/870903048.db2.gz KCIRPQQXGIJFHF-UHFFFAOYSA-N 1 2 311.389 1.547 20 30 DDEDLO C#CCC[N@@H+](CC)CCNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001317483769 870903054 /nfs/dbraw/zinc/90/30/54/870903054.db2.gz KCIRPQQXGIJFHF-UHFFFAOYSA-N 1 2 311.389 1.547 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC=C ZINC001340569688 871200826 /nfs/dbraw/zinc/20/08/26/871200826.db2.gz DDYRUHMMWJZRFV-YOEHRIQHSA-N 1 2 317.437 1.554 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC=C ZINC001340569688 871200850 /nfs/dbraw/zinc/20/08/50/871200850.db2.gz DDYRUHMMWJZRFV-YOEHRIQHSA-N 1 2 317.437 1.554 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CCOCC(F)(F)F ZINC001317732874 871450478 /nfs/dbraw/zinc/45/04/78/871450478.db2.gz IKRHDKFXBSWGPK-SDDRHHMPSA-N 1 2 319.327 1.400 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CCOCC(F)(F)F ZINC001317732874 871450495 /nfs/dbraw/zinc/45/04/95/871450495.db2.gz IKRHDKFXBSWGPK-SDDRHHMPSA-N 1 2 319.327 1.400 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+](Cc2ccn(CC)n2)CC1 ZINC001226599502 882531326 /nfs/dbraw/zinc/53/13/26/882531326.db2.gz XRRNYAPMLPRVCJ-UHFFFAOYSA-N 1 2 306.410 1.186 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CSCCC)C1 ZINC001317962653 871653171 /nfs/dbraw/zinc/65/31/71/871653171.db2.gz PBYOHMYWOCYJOY-ZDUSSCGKSA-N 1 2 313.467 1.012 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CSCCC)C1 ZINC001317962653 871653179 /nfs/dbraw/zinc/65/31/79/871653179.db2.gz PBYOHMYWOCYJOY-ZDUSSCGKSA-N 1 2 313.467 1.012 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1C[NH+](CC(=O)NC2CCCC2)C1 ZINC001318127882 871768749 /nfs/dbraw/zinc/76/87/49/871768749.db2.gz ZDTKYFWUHNWOFS-UHFFFAOYSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCCC[N@@H+](CCO)[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001316745100 871790003 /nfs/dbraw/zinc/79/00/03/871790003.db2.gz CXECSBNLYHVUTE-MRXNPFEDSA-N 1 2 320.437 1.204 20 30 DDEDLO C=CCCC[N@H+](CCO)[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001316745100 871790013 /nfs/dbraw/zinc/79/00/13/871790013.db2.gz CXECSBNLYHVUTE-MRXNPFEDSA-N 1 2 320.437 1.204 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C1 ZINC001318239561 871864980 /nfs/dbraw/zinc/86/49/80/871864980.db2.gz VNWLWHKTGZARKI-CJNGLKHVSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001318239561 871865000 /nfs/dbraw/zinc/86/50/00/871865000.db2.gz VNWLWHKTGZARKI-CJNGLKHVSA-N 1 2 321.396 1.892 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2CCCC[N@@H+]2C)n1CCOC ZINC001341899644 871882671 /nfs/dbraw/zinc/88/26/71/871882671.db2.gz UTLWJTZLUXTJKJ-KBPBESRZSA-N 1 2 305.426 1.539 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2CCCC[N@H+]2C)n1CCOC ZINC001341899644 871882691 /nfs/dbraw/zinc/88/26/91/871882691.db2.gz UTLWJTZLUXTJKJ-KBPBESRZSA-N 1 2 305.426 1.539 20 30 DDEDLO CC(C)CCCCC(=O)N(C)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318436112 872038662 /nfs/dbraw/zinc/03/86/62/872038662.db2.gz FGBLEWFBLDJUFB-HNNXBMFYSA-N 1 2 322.453 1.375 20 30 DDEDLO CC(C)CCCCC(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318436112 872038682 /nfs/dbraw/zinc/03/86/82/872038682.db2.gz FGBLEWFBLDJUFB-HNNXBMFYSA-N 1 2 322.453 1.375 20 30 DDEDLO C=CCn1c(N(C)C[C@H](C)CC)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001342386515 872186786 /nfs/dbraw/zinc/18/67/86/872186786.db2.gz VLPBPRLYDFWWKL-JKIFEVAISA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(C)C[C@H](C)CC)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001342386515 872186794 /nfs/dbraw/zinc/18/67/94/872186794.db2.gz VLPBPRLYDFWWKL-JKIFEVAISA-N 1 2 321.469 1.858 20 30 DDEDLO Cc1noc(C[NH+]2CCC(N(C)C(=O)CSCC#N)CC2)n1 ZINC001316929112 872400730 /nfs/dbraw/zinc/40/07/30/872400730.db2.gz MKBHCLOHJHJZBY-UHFFFAOYSA-N 1 2 323.422 1.058 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nnc(CC)o2)C[C@H]1C ZINC001206619754 872480308 /nfs/dbraw/zinc/48/03/08/872480308.db2.gz GICZBVVJWUZEGT-FRRDWIJNSA-N 1 2 322.409 1.332 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nnc(CC)o2)C[C@H]1C ZINC001206619754 872480318 /nfs/dbraw/zinc/48/03/18/872480318.db2.gz GICZBVVJWUZEGT-FRRDWIJNSA-N 1 2 322.409 1.332 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]1CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001344175039 872911307 /nfs/dbraw/zinc/91/13/07/872911307.db2.gz YOFMSJIQIMKANN-CQSZACIVSA-N 1 2 318.421 1.676 20 30 DDEDLO C=CCCn1cc(C(=O)OCCc2cn3c([nH+]2)CCCC3)nn1 ZINC001344798057 873121194 /nfs/dbraw/zinc/12/11/94/873121194.db2.gz BRJAYUNOMHHCSL-UHFFFAOYSA-N 1 2 315.377 1.787 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C2(CCOC)CC2)C1 ZINC001207454092 873287167 /nfs/dbraw/zinc/28/71/67/873287167.db2.gz SPCOHJKAHYQIMG-DGCLKSJQSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C2(CCOC)CC2)C1 ZINC001207454092 873287174 /nfs/dbraw/zinc/28/71/74/873287174.db2.gz SPCOHJKAHYQIMG-DGCLKSJQSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CCN(C)c1nnc(C[NH+]2CCCCC2)n1C[C@@H]1CCOC1 ZINC001345340823 873366399 /nfs/dbraw/zinc/36/63/99/873366399.db2.gz HQOMYGZUCRGZSG-HNNXBMFYSA-N 1 2 319.453 1.923 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@@H]1CCOC1 ZINC001345625323 873458647 /nfs/dbraw/zinc/45/86/47/873458647.db2.gz FQFZQDMYNSYZJG-SOUVJXGZSA-N 1 2 317.437 1.539 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@@H]1CCOC1 ZINC001345625323 873458653 /nfs/dbraw/zinc/45/86/53/873458653.db2.gz FQFZQDMYNSYZJG-SOUVJXGZSA-N 1 2 317.437 1.539 20 30 DDEDLO C=CCC1(O)C[NH+](Cc2ccc(O[C@H](C)C(=O)OC)cc2)C1 ZINC001207798389 873576316 /nfs/dbraw/zinc/57/63/16/873576316.db2.gz KXMZECVWRLSEKC-CYBMUJFWSA-N 1 2 305.374 1.750 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@]2(COC)CCOC2)C1 ZINC001208188209 873900547 /nfs/dbraw/zinc/90/05/47/873900547.db2.gz GXEKQDFFUDEZDL-UXIGCNINSA-N 1 2 316.829 1.229 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@]2(COC)CCOC2)C1 ZINC001208188209 873900555 /nfs/dbraw/zinc/90/05/55/873900555.db2.gz GXEKQDFFUDEZDL-UXIGCNINSA-N 1 2 316.829 1.229 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)cn1 ZINC001378643536 875306115 /nfs/dbraw/zinc/30/61/15/875306115.db2.gz OEKPLVBBUCNGBO-FZMZJTMJSA-N 1 2 301.394 1.271 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)cn1 ZINC001378643536 875306123 /nfs/dbraw/zinc/30/61/23/875306123.db2.gz OEKPLVBBUCNGBO-FZMZJTMJSA-N 1 2 301.394 1.271 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)CS(=O)(=O)CCCC)C1 ZINC001211391046 875789852 /nfs/dbraw/zinc/78/98/52/875789852.db2.gz IDIYRQFGFOVCAP-ZIAGYGMSSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](C)[C@H](NC(=O)CS(=O)(=O)CCCC)C1 ZINC001211391046 875789859 /nfs/dbraw/zinc/78/98/59/875789859.db2.gz IDIYRQFGFOVCAP-ZIAGYGMSSA-N 1 2 316.467 1.214 20 30 DDEDLO Cc1nc(Nc2ccc(C#N)cc2N)cc(N2CCOCC2)[nH+]1 ZINC001213351121 875903404 /nfs/dbraw/zinc/90/34/04/875903404.db2.gz FRJREFZXSAGBAG-UHFFFAOYSA-N 1 2 310.361 1.819 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C[C@@H](C)CC(C)C)[C@H](OC)C1 ZINC001213710665 876027093 /nfs/dbraw/zinc/02/70/93/876027093.db2.gz AVQMOFAPHLYEMV-GVDBMIGSSA-N 1 2 324.465 1.524 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C[C@@H](C)CC(C)C)[C@H](OC)C1 ZINC001213710665 876027108 /nfs/dbraw/zinc/02/71/08/876027108.db2.gz AVQMOFAPHLYEMV-GVDBMIGSSA-N 1 2 324.465 1.524 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)c1cnccn1 ZINC001379005645 876122333 /nfs/dbraw/zinc/12/23/33/876122333.db2.gz WAAWVDPLUPIWCO-UHFFFAOYSA-N 1 2 309.373 1.552 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)c1cnccn1 ZINC001379005645 876122335 /nfs/dbraw/zinc/12/23/35/876122335.db2.gz WAAWVDPLUPIWCO-UHFFFAOYSA-N 1 2 309.373 1.552 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N1CCC[C@@H]1C ZINC001351723612 876403701 /nfs/dbraw/zinc/40/37/01/876403701.db2.gz VUHZZSJIXRGFNT-AWEZNQCLSA-N 1 2 319.453 1.657 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)NCCCCn2cc[nH+]c2)C1 ZINC001352441394 876747836 /nfs/dbraw/zinc/74/78/36/876747836.db2.gz XNTKIHNTSVZPSL-AWEZNQCLSA-N 1 2 304.394 1.204 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](CCNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001287464571 912249424 /nfs/dbraw/zinc/24/94/24/912249424.db2.gz DUSUSKZZPTUWRP-OAHLLOKOSA-N 1 2 318.421 1.259 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(OC)c(C#N)c2)C[C@@H]1O ZINC001219103097 877919076 /nfs/dbraw/zinc/91/90/76/877919076.db2.gz PMQOIENPYBGBSA-CABCVRRESA-N 1 2 317.389 1.028 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(OC)c(C#N)c2)C[C@@H]1O ZINC001219103097 877919093 /nfs/dbraw/zinc/91/90/93/877919093.db2.gz PMQOIENPYBGBSA-CABCVRRESA-N 1 2 317.389 1.028 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C2)s1 ZINC001219596218 878374652 /nfs/dbraw/zinc/37/46/52/878374652.db2.gz KRRNJRWNHHNAAW-KGLIPLIRSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C2)s1 ZINC001219596218 878374666 /nfs/dbraw/zinc/37/46/66/878374666.db2.gz KRRNJRWNHHNAAW-KGLIPLIRSA-N 1 2 321.446 1.162 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001219689801 878451336 /nfs/dbraw/zinc/45/13/36/878451336.db2.gz JPBUSECFTFVFGI-CVEARBPZSA-N 1 2 319.405 1.413 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001219689801 878451344 /nfs/dbraw/zinc/45/13/44/878451344.db2.gz JPBUSECFTFVFGI-CVEARBPZSA-N 1 2 319.405 1.413 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220176993 878783191 /nfs/dbraw/zinc/78/31/91/878783191.db2.gz YEVZGXBVUGFFKL-MOPGFXCFSA-N 1 2 324.424 1.393 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220176993 878783202 /nfs/dbraw/zinc/78/32/02/878783202.db2.gz YEVZGXBVUGFFKL-MOPGFXCFSA-N 1 2 324.424 1.393 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2oc(CC)nc2C)C[C@@H]1O ZINC001220247448 878848696 /nfs/dbraw/zinc/84/86/96/878848696.db2.gz BYWXDDWBOYUVAY-KGLIPLIRSA-N 1 2 321.421 1.563 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(CC)nc2C)C[C@@H]1O ZINC001220247448 878848704 /nfs/dbraw/zinc/84/87/04/878848704.db2.gz BYWXDDWBOYUVAY-KGLIPLIRSA-N 1 2 321.421 1.563 20 30 DDEDLO CC(F)(F)CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220303484 878898158 /nfs/dbraw/zinc/89/81/58/878898158.db2.gz PIWUXKHDYOFFQX-CABCVRRESA-N 1 2 322.355 1.245 20 30 DDEDLO CC(F)(F)CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220303484 878898163 /nfs/dbraw/zinc/89/81/63/878898163.db2.gz PIWUXKHDYOFFQX-CABCVRRESA-N 1 2 322.355 1.245 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001356386140 879030029 /nfs/dbraw/zinc/03/00/29/879030029.db2.gz QFAUBYCVTILFOJ-GUYCJALGSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N(CCC)CCNC(=O)Cc1c[nH+]cn1C ZINC001356502144 879080816 /nfs/dbraw/zinc/08/08/16/879080816.db2.gz LAGYMJJOTBHBJW-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO C#CCCCC(=O)N(CCNC(=O)Cc1[nH]c[nH+]c1C)C1CC1 ZINC001356637811 879146135 /nfs/dbraw/zinc/14/61/35/879146135.db2.gz RDZMYCAEJBHKMQ-UHFFFAOYSA-N 1 2 316.405 1.171 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+](CCN(C)C(=O)CCC)CC1 ZINC001356698481 879201863 /nfs/dbraw/zinc/20/18/63/879201863.db2.gz YZKQQVCZTBWIBD-UHFFFAOYSA-N 1 2 323.481 1.991 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001356826318 879435491 /nfs/dbraw/zinc/43/54/91/879435491.db2.gz YEWPWTLLCXSZNK-UHFFFAOYSA-N 1 2 318.421 1.474 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@@H]1O ZINC001221141253 879529306 /nfs/dbraw/zinc/52/93/06/879529306.db2.gz NTQCNSQZBSFUJC-WQVCFCJDSA-N 1 2 322.380 1.078 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@@H]1O ZINC001221141253 879529318 /nfs/dbraw/zinc/52/93/18/879529318.db2.gz NTQCNSQZBSFUJC-WQVCFCJDSA-N 1 2 322.380 1.078 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CCC=C)c2ccccc2)[C@@H](O)C1 ZINC001221199563 879563512 /nfs/dbraw/zinc/56/35/12/879563512.db2.gz ZKSSLTZXPWZAPC-KURKYZTESA-N 1 2 312.413 1.531 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CCC=C)c2ccccc2)[C@@H](O)C1 ZINC001221199563 879563520 /nfs/dbraw/zinc/56/35/20/879563520.db2.gz ZKSSLTZXPWZAPC-KURKYZTESA-N 1 2 312.413 1.531 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]2CN(C(=O)c3ccco3)[C@@H]2C1 ZINC001221232101 879594976 /nfs/dbraw/zinc/59/49/76/879594976.db2.gz GCLLMQLINAUMRE-MGPQQGTHSA-N 1 2 317.389 1.117 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]2CN(C(=O)c3ccco3)[C@@H]2C1 ZINC001221232101 879594996 /nfs/dbraw/zinc/59/49/96/879594996.db2.gz GCLLMQLINAUMRE-MGPQQGTHSA-N 1 2 317.389 1.117 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C=C(CC)CC)[C@@H]2C1 ZINC001221480206 879860658 /nfs/dbraw/zinc/86/06/58/879860658.db2.gz BJZLCSLFEPBCOU-HZPDHXFCSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C=C(CC)CC)[C@@H]2C1 ZINC001221480206 879860672 /nfs/dbraw/zinc/86/06/72/879860672.db2.gz BJZLCSLFEPBCOU-HZPDHXFCSA-N 1 2 317.433 1.015 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)CCCC)[C@@H]2C1 ZINC001221521146 879914138 /nfs/dbraw/zinc/91/41/38/879914138.db2.gz CYGHOWMMBCVWAS-ARFHVFGLSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)CCCC)[C@@H]2C1 ZINC001221521146 879914145 /nfs/dbraw/zinc/91/41/45/879914145.db2.gz CYGHOWMMBCVWAS-ARFHVFGLSA-N 1 2 321.465 1.648 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H]3CN(C(=O)C#CC(C)C)[C@@H]3C2)o1 ZINC001221535275 879931591 /nfs/dbraw/zinc/93/15/91/879931591.db2.gz VDOLLNNSXJTNRB-GDBMZVCRSA-N 1 2 301.390 1.675 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H]3CN(C(=O)C#CC(C)C)[C@@H]3C2)o1 ZINC001221535275 879931603 /nfs/dbraw/zinc/93/16/03/879931603.db2.gz VDOLLNNSXJTNRB-GDBMZVCRSA-N 1 2 301.390 1.675 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nc(C)no3)[C@H]2C1 ZINC001222402320 880441066 /nfs/dbraw/zinc/44/10/66/880441066.db2.gz UKIKLBMHUJXXEK-KGLIPLIRSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@H+](Cc3nc(C)no3)[C@H]2C1 ZINC001222402320 880441070 /nfs/dbraw/zinc/44/10/70/880441070.db2.gz UKIKLBMHUJXXEK-KGLIPLIRSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](Cc2nc(N(C)C)no2)CC1 ZINC001222579624 880573818 /nfs/dbraw/zinc/57/38/18/880573818.db2.gz UTRPTTSTIGEMLF-UHFFFAOYSA-N 1 2 321.425 1.430 20 30 DDEDLO Cn1c[nH+]cc1CO[C@@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC001222595289 880585591 /nfs/dbraw/zinc/58/55/91/880585591.db2.gz VZPFKPALQCHEKL-WCQYABFASA-N 1 2 306.366 1.696 20 30 DDEDLO C[C@H](c1nc(C2CC2)no1)[N@@H+](C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001380946761 880716173 /nfs/dbraw/zinc/71/61/73/880716173.db2.gz GFODIGFJLVHSDU-NWDGAFQWSA-N 1 2 319.409 1.948 20 30 DDEDLO C[C@H](c1nc(C2CC2)no1)[N@H+](C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001380946761 880716179 /nfs/dbraw/zinc/71/61/79/880716179.db2.gz GFODIGFJLVHSDU-NWDGAFQWSA-N 1 2 319.409 1.948 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1(CNC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001358670422 880734738 /nfs/dbraw/zinc/73/47/38/880734738.db2.gz CJJLCVIJDUUTFJ-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1(CNC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001358670422 880734743 /nfs/dbraw/zinc/73/47/43/880734743.db2.gz CJJLCVIJDUUTFJ-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(F)c1 ZINC001276854419 880765813 /nfs/dbraw/zinc/76/58/13/880765813.db2.gz WCYCZEURDYUWAR-BBRMVZONSA-N 1 2 304.365 1.555 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(F)c1 ZINC001276854419 880765817 /nfs/dbraw/zinc/76/58/17/880765817.db2.gz WCYCZEURDYUWAR-BBRMVZONSA-N 1 2 304.365 1.555 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1[nH]cc[nH+]1 ZINC001358704468 880781611 /nfs/dbraw/zinc/78/16/11/880781611.db2.gz LUASZTANZVCQCT-KGLIPLIRSA-N 1 2 316.405 1.510 20 30 DDEDLO CN1CCn2c(C[N@H+](C)CCCC(C)(C)C#N)nnc2C1=O ZINC001414034967 881154558 /nfs/dbraw/zinc/15/45/58/881154558.db2.gz NQSNTCZBNLPREC-UHFFFAOYSA-N 1 2 304.398 1.125 20 30 DDEDLO CN1CCn2c(C[N@@H+](C)CCCC(C)(C)C#N)nnc2C1=O ZINC001414034967 881154573 /nfs/dbraw/zinc/15/45/73/881154573.db2.gz NQSNTCZBNLPREC-UHFFFAOYSA-N 1 2 304.398 1.125 20 30 DDEDLO CCCCNC(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001277262121 883293078 /nfs/dbraw/zinc/29/30/78/883293078.db2.gz OHNQNWWOOPLKMI-UHFFFAOYSA-N 1 2 315.421 1.524 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc([N+](=O)[O-])ccc3C#N)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228925792 883732706 /nfs/dbraw/zinc/73/27/06/883732706.db2.gz AGYBDPPAOOXOMZ-KYFMZXIUSA-N 1 2 301.302 1.458 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc([N+](=O)[O-])ccc3C#N)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228925792 883732712 /nfs/dbraw/zinc/73/27/12/883732712.db2.gz AGYBDPPAOOXOMZ-KYFMZXIUSA-N 1 2 301.302 1.458 20 30 DDEDLO Cc1nn(C)cc1C(=O)N1CCC([N@H+](CC#N)CC2CC2)CC1 ZINC001277388503 883990050 /nfs/dbraw/zinc/99/00/50/883990050.db2.gz NXHUQLCEBJCLKC-UHFFFAOYSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1nn(C)cc1C(=O)N1CCC([N@@H+](CC#N)CC2CC2)CC1 ZINC001277388503 883990068 /nfs/dbraw/zinc/99/00/68/883990068.db2.gz NXHUQLCEBJCLKC-UHFFFAOYSA-N 1 2 315.421 1.569 20 30 DDEDLO C=CCCNC(=S)N1CC[C@H]2[C@@H]1CC[N@H+]2COCCOC ZINC001277401214 884060849 /nfs/dbraw/zinc/06/08/49/884060849.db2.gz BCEJBYXEZVNCCL-KBPBESRZSA-N 1 2 313.467 1.206 20 30 DDEDLO C=CCCNC(=S)N1CC[C@H]2[C@@H]1CC[N@@H+]2COCCOC ZINC001277401214 884060866 /nfs/dbraw/zinc/06/08/66/884060866.db2.gz BCEJBYXEZVNCCL-KBPBESRZSA-N 1 2 313.467 1.206 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C(CC)CC)C1=O ZINC001230834672 884933619 /nfs/dbraw/zinc/93/36/19/884933619.db2.gz JTOCINANDIFCHU-HZPDHXFCSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C(CC)CC)C1=O ZINC001230834672 884933637 /nfs/dbraw/zinc/93/36/37/884933637.db2.gz JTOCINANDIFCHU-HZPDHXFCSA-N 1 2 321.465 1.742 20 30 DDEDLO C[N@@H+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)C[C@@H]1CCC[NH+](C)C1 ZINC001363206216 885712047 /nfs/dbraw/zinc/71/20/47/885712047.db2.gz HYPBALJYNVWOGC-GFCCVEGCSA-N 1 2 314.393 1.080 20 30 DDEDLO C[N@H+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)C[C@@H]1CCC[NH+](C)C1 ZINC001363206216 885712053 /nfs/dbraw/zinc/71/20/53/885712053.db2.gz HYPBALJYNVWOGC-GFCCVEGCSA-N 1 2 314.393 1.080 20 30 DDEDLO C[NH+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)C[C@@H]1CCC[N@H+](C)C1 ZINC001363206216 885712065 /nfs/dbraw/zinc/71/20/65/885712065.db2.gz HYPBALJYNVWOGC-GFCCVEGCSA-N 1 2 314.393 1.080 20 30 DDEDLO C[NH+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)C[C@@H]1CCC[N@@H+](C)C1 ZINC001363206216 885712074 /nfs/dbraw/zinc/71/20/74/885712074.db2.gz HYPBALJYNVWOGC-GFCCVEGCSA-N 1 2 314.393 1.080 20 30 DDEDLO CC(=O)[C@@H]1C[N@H+](Cc2ccc(N(C)CCC#N)cc2)CCO1 ZINC001231821654 885892364 /nfs/dbraw/zinc/89/23/64/885892364.db2.gz HVCGVTAJTBZQNE-KRWDZBQOSA-N 1 2 301.390 1.826 20 30 DDEDLO CC(=O)[C@@H]1C[N@@H+](Cc2ccc(N(C)CCC#N)cc2)CCO1 ZINC001231821654 885892371 /nfs/dbraw/zinc/89/23/71/885892371.db2.gz HVCGVTAJTBZQNE-KRWDZBQOSA-N 1 2 301.390 1.826 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CC[C@H]2C[C@]21C(=O)NC1(C#N)CCC1 ZINC001277602307 886034119 /nfs/dbraw/zinc/03/41/19/886034119.db2.gz XKIGQRHHWWZEIY-BLLLJJGKSA-N 1 2 300.362 1.510 20 30 DDEDLO Cc1ncoc1C[N@H+]1CC[C@H]2C[C@]21C(=O)NC1(C#N)CCC1 ZINC001277602307 886034146 /nfs/dbraw/zinc/03/41/46/886034146.db2.gz XKIGQRHHWWZEIY-BLLLJJGKSA-N 1 2 300.362 1.510 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3nccnc3C#N)CC2)c(C2CC2)n1 ZINC001232170475 886136249 /nfs/dbraw/zinc/13/62/49/886136249.db2.gz WIFPYRWGZIFKSA-UHFFFAOYSA-N 1 2 323.404 1.281 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C2C[NH+](CCOC(C)C)C2)nn1 ZINC001277671068 886422174 /nfs/dbraw/zinc/42/21/74/886422174.db2.gz NQHNEMUFJAFGBC-UHFFFAOYSA-N 1 2 321.425 1.035 20 30 DDEDLO C=CCC1(C(=O)N(C)C2C[NH+](CCOCCO)C2)CCCCC1 ZINC001277699692 886535601 /nfs/dbraw/zinc/53/56/01/886535601.db2.gz NTLMCILWZLTMCX-UHFFFAOYSA-N 1 2 324.465 1.665 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cccc([N+](=O)[O-])c3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001233575342 887123051 /nfs/dbraw/zinc/12/30/51/887123051.db2.gz QYHNYSWOCQZMOH-LEGMNPEXSA-N 1 2 321.289 1.494 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cccc([N+](=O)[O-])c3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001233575342 887123054 /nfs/dbraw/zinc/12/30/54/887123054.db2.gz QYHNYSWOCQZMOH-LEGMNPEXSA-N 1 2 321.289 1.494 20 30 DDEDLO CC(C)(C)[NH+]1CC(Oc2ccc([N+](=O)[O-])cc2C(=O)NO)C1 ZINC001233845022 887382456 /nfs/dbraw/zinc/38/24/56/887382456.db2.gz GDUYYICOAVLXCD-UHFFFAOYSA-N 1 2 309.322 1.575 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234151060 887688839 /nfs/dbraw/zinc/68/88/39/887688839.db2.gz MAPXJEWHHMSHAE-HNNXBMFYSA-N 1 2 305.422 1.322 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234151060 887688855 /nfs/dbraw/zinc/68/88/55/887688855.db2.gz MAPXJEWHHMSHAE-HNNXBMFYSA-N 1 2 305.422 1.322 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001234216099 887758025 /nfs/dbraw/zinc/75/80/25/887758025.db2.gz SKZARRAPWUWNKL-ZIAGYGMSSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001234216099 887758035 /nfs/dbraw/zinc/75/80/35/887758035.db2.gz SKZARRAPWUWNKL-ZIAGYGMSSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)ns1 ZINC001234267110 887804560 /nfs/dbraw/zinc/80/45/60/887804560.db2.gz WKEVESHPBITVTK-KBPBESRZSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)ns1 ZINC001234267110 887804566 /nfs/dbraw/zinc/80/45/66/887804566.db2.gz WKEVESHPBITVTK-KBPBESRZSA-N 1 2 321.446 1.523 20 30 DDEDLO COC(=O)c1cccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1C ZINC001234402178 887939096 /nfs/dbraw/zinc/93/90/96/887939096.db2.gz SFHYIMHUNTUCBL-UCHAVSLVSA-N 1 2 303.358 1.773 20 30 DDEDLO COC(=O)c1cccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1C ZINC001234402178 887939113 /nfs/dbraw/zinc/93/91/13/887939113.db2.gz SFHYIMHUNTUCBL-UCHAVSLVSA-N 1 2 303.358 1.773 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCCC ZINC001234626627 888153320 /nfs/dbraw/zinc/15/33/20/888153320.db2.gz VRUUECTZVRDBDD-LSDHHAIUSA-N 1 2 309.454 1.790 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCC ZINC001234626627 888153332 /nfs/dbraw/zinc/15/33/32/888153332.db2.gz VRUUECTZVRDBDD-LSDHHAIUSA-N 1 2 309.454 1.790 20 30 DDEDLO CC[N@H+](C[C@@H](O)c1cccc(C#N)c1)[C@@H](C)CS(=O)(=O)CC ZINC001364353389 888441636 /nfs/dbraw/zinc/44/16/36/888441636.db2.gz SUAWJCXVDHAXHF-XJKSGUPXSA-N 1 2 324.446 1.737 20 30 DDEDLO CC[N@@H+](C[C@@H](O)c1cccc(C#N)c1)[C@@H](C)CS(=O)(=O)CC ZINC001364353389 888441647 /nfs/dbraw/zinc/44/16/47/888441647.db2.gz SUAWJCXVDHAXHF-XJKSGUPXSA-N 1 2 324.446 1.737 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1ncc(C)cn1 ZINC001235246725 888503208 /nfs/dbraw/zinc/50/32/08/888503208.db2.gz SHBUEEBTDYOMLR-HNNXBMFYSA-N 1 2 320.437 1.704 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1ncc(C)cn1 ZINC001235246725 888503214 /nfs/dbraw/zinc/50/32/14/888503214.db2.gz SHBUEEBTDYOMLR-HNNXBMFYSA-N 1 2 320.437 1.704 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C1CCC1 ZINC001235723428 888915675 /nfs/dbraw/zinc/91/56/75/888915675.db2.gz POUPDJVVOBMMIG-ZFWWWQNUSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C1CCC1 ZINC001235723428 888915692 /nfs/dbraw/zinc/91/56/92/888915692.db2.gz POUPDJVVOBMMIG-ZFWWWQNUSA-N 1 2 307.438 1.258 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001364758119 889349977 /nfs/dbraw/zinc/34/99/77/889349977.db2.gz RREGHWREFJRAMB-RDJZCZTQSA-N 1 2 318.373 1.246 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001364758119 889349993 /nfs/dbraw/zinc/34/99/93/889349993.db2.gz RREGHWREFJRAMB-RDJZCZTQSA-N 1 2 318.373 1.246 20 30 DDEDLO CCOC[C@@H]1C[N@H+](Cc2ccnc(C#N)c2)Cc2c1cnn2C ZINC001237464310 889648875 /nfs/dbraw/zinc/64/88/75/889648875.db2.gz SDMQBDGSRLWNAH-AWEZNQCLSA-N 1 2 311.389 1.823 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](Cc2ccnc(C#N)c2)Cc2c1cnn2C ZINC001237464310 889648879 /nfs/dbraw/zinc/64/88/79/889648879.db2.gz SDMQBDGSRLWNAH-AWEZNQCLSA-N 1 2 311.389 1.823 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CCC[C@@H](n4ccnn4)C3)cn2c1 ZINC001237617184 889763085 /nfs/dbraw/zinc/76/30/85/889763085.db2.gz JXILPRLRFOTQDG-OAHLLOKOSA-N 1 2 307.361 1.635 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CCC[C@@H](n4ccnn4)C3)cn2c1 ZINC001237617184 889763099 /nfs/dbraw/zinc/76/30/99/889763099.db2.gz JXILPRLRFOTQDG-OAHLLOKOSA-N 1 2 307.361 1.635 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2ccc(C#N)c(F)c2)c[nH+]1 ZINC001364968626 889781320 /nfs/dbraw/zinc/78/13/20/889781320.db2.gz SLNYZEJLXLZCKC-UHFFFAOYSA-N 1 2 308.338 1.461 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)c2ccc(C#N)c(F)c2)[nH+]1 ZINC001364968626 889781327 /nfs/dbraw/zinc/78/13/27/889781327.db2.gz SLNYZEJLXLZCKC-UHFFFAOYSA-N 1 2 308.338 1.461 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1CCc2n[nH]c(C(N)=O)c2C1 ZINC001278198533 890119001 /nfs/dbraw/zinc/11/90/01/890119001.db2.gz FCYUTYAKFVRDNI-UHFFFAOYSA-N 1 2 312.373 1.632 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1CCc2n[nH]c(C(N)=O)c2C1 ZINC001278198533 890119008 /nfs/dbraw/zinc/11/90/08/890119008.db2.gz FCYUTYAKFVRDNI-UHFFFAOYSA-N 1 2 312.373 1.632 20 30 DDEDLO CCc1cc(C[N@@H+]2CCN(C)[C@@H](C(=O)OC)C2)ccc1C#N ZINC001238769866 890336946 /nfs/dbraw/zinc/33/69/46/890336946.db2.gz VUECHCXVNDZDDP-MRXNPFEDSA-N 1 2 301.390 1.410 20 30 DDEDLO CCc1cc(C[N@H+]2CCN(C)[C@@H](C(=O)OC)C2)ccc1C#N ZINC001238769866 890336967 /nfs/dbraw/zinc/33/69/67/890336967.db2.gz VUECHCXVNDZDDP-MRXNPFEDSA-N 1 2 301.390 1.410 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@@H+]2CCO[C@H]([C@@H]3CCCO3)C2)c1 ZINC001365277489 890488785 /nfs/dbraw/zinc/48/87/85/890488785.db2.gz ONQLTCQZGUJEEF-IKGGRYGDSA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@H+]2CCO[C@H]([C@@H]3CCCO3)C2)c1 ZINC001365277489 890488792 /nfs/dbraw/zinc/48/87/92/890488792.db2.gz ONQLTCQZGUJEEF-IKGGRYGDSA-N 1 2 302.374 1.471 20 30 DDEDLO CN(C)c1cccc(C[NH+]2CCN(c3cnccc3C#N)CC2)n1 ZINC001365303519 890547794 /nfs/dbraw/zinc/54/77/94/890547794.db2.gz SCKQUPHFDQRXLD-UHFFFAOYSA-N 1 2 322.416 1.736 20 30 DDEDLO Cc1cn(C)nc1C[NH2+][C@@H]1CCN(Cc2ccc(C#N)cc2)C1=O ZINC001365425194 890777258 /nfs/dbraw/zinc/77/72/58/890777258.db2.gz KZSLKZZHMCAHSG-MRXNPFEDSA-N 1 2 323.400 1.491 20 30 DDEDLO N#Cc1cnc(-c2ccc(OCC[NH+]3CCOCC3)cc2)cn1 ZINC001240447568 890930527 /nfs/dbraw/zinc/93/05/27/890930527.db2.gz IVTNFBYBLVBEAJ-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+](Cc2nc(C)cs2)C1 ZINC001278368998 891709522 /nfs/dbraw/zinc/70/95/22/891709522.db2.gz KHILVUVSGNCLKF-HNNXBMFYSA-N 1 2 309.435 1.735 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+](Cc2nc(C)cs2)C1 ZINC001278368998 891709529 /nfs/dbraw/zinc/70/95/29/891709529.db2.gz KHILVUVSGNCLKF-HNNXBMFYSA-N 1 2 309.435 1.735 20 30 DDEDLO N#Cc1ccc(NC(=S)NC2CC[NH+](C3COC3)CC2)cc1 ZINC001245940744 892279018 /nfs/dbraw/zinc/27/90/18/892279018.db2.gz ASWTZWUIUTUYGH-UHFFFAOYSA-N 1 2 316.430 1.708 20 30 DDEDLO C[N@H+](CCNC(=O)Cn1cccn1)Cc1ccc(C#N)cc1F ZINC001366506973 893774798 /nfs/dbraw/zinc/77/47/98/893774798.db2.gz NSOZXNXVXGOTQU-UHFFFAOYSA-N 1 2 315.352 1.142 20 30 DDEDLO C[N@@H+](CCNC(=O)Cn1cccn1)Cc1ccc(C#N)cc1F ZINC001366506973 893774804 /nfs/dbraw/zinc/77/48/04/893774804.db2.gz NSOZXNXVXGOTQU-UHFFFAOYSA-N 1 2 315.352 1.142 20 30 DDEDLO C#CC[N@@H+](C)Cc1cnc2n1CCN(C(=O)OC(C)(C)C)C2 ZINC001249435797 893987157 /nfs/dbraw/zinc/98/71/57/893987157.db2.gz VKBHESXCXMHQQF-UHFFFAOYSA-N 1 2 304.394 1.699 20 30 DDEDLO C#CC[N@H+](C)Cc1cnc2n1CCN(C(=O)OC(C)(C)C)C2 ZINC001249435797 893987179 /nfs/dbraw/zinc/98/71/79/893987179.db2.gz VKBHESXCXMHQQF-UHFFFAOYSA-N 1 2 304.394 1.699 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CNC(=O)C(C)(C)C)C1 ZINC001366892898 895253475 /nfs/dbraw/zinc/25/34/75/895253475.db2.gz BYFKDGQXCSCQSZ-GFCCVEGCSA-N 1 2 315.845 1.482 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CNC(=O)C(C)(C)C)C1 ZINC001366892898 895253491 /nfs/dbraw/zinc/25/34/91/895253491.db2.gz BYFKDGQXCSCQSZ-GFCCVEGCSA-N 1 2 315.845 1.482 20 30 DDEDLO CC[N@H+](CCC#N)C[C@@H](O)COc1ccc(CCOC)cc1 ZINC001253048073 895620120 /nfs/dbraw/zinc/62/01/20/895620120.db2.gz BHIXTJYZQNWUJH-MRXNPFEDSA-N 1 2 306.406 1.851 20 30 DDEDLO CC[N@@H+](CCC#N)C[C@@H](O)COc1ccc(CCOC)cc1 ZINC001253048073 895620128 /nfs/dbraw/zinc/62/01/28/895620128.db2.gz BHIXTJYZQNWUJH-MRXNPFEDSA-N 1 2 306.406 1.851 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C ZINC001388655210 895631587 /nfs/dbraw/zinc/63/15/87/895631587.db2.gz ZNVXQLGWQWCEJS-CQSZACIVSA-N 1 2 322.453 1.327 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C ZINC001388655210 895631594 /nfs/dbraw/zinc/63/15/94/895631594.db2.gz ZNVXQLGWQWCEJS-CQSZACIVSA-N 1 2 322.453 1.327 20 30 DDEDLO C=CCC[NH2+]C1(CNC(=O)C(F)C(F)(F)F)CCOCC1 ZINC001278707373 895747791 /nfs/dbraw/zinc/74/77/91/895747791.db2.gz JMLZUBPNGQPMAU-SNVBAGLBSA-N 1 2 312.307 1.718 20 30 DDEDLO C=CCC[NH2+]C1(CNC(=O)[C@@H](F)C(F)(F)F)CCOCC1 ZINC001278707373 895747806 /nfs/dbraw/zinc/74/78/06/895747806.db2.gz JMLZUBPNGQPMAU-SNVBAGLBSA-N 1 2 312.307 1.718 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001367147771 896005084 /nfs/dbraw/zinc/00/50/84/896005084.db2.gz WZGGHYIQRNSFFV-SWLSCSKDSA-N 1 2 303.410 1.311 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001367147771 896005094 /nfs/dbraw/zinc/00/50/94/896005094.db2.gz WZGGHYIQRNSFFV-SWLSCSKDSA-N 1 2 303.410 1.311 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@]1(O)CC[N@H+](Cc2nccn2C)C1 ZINC001278805984 896583950 /nfs/dbraw/zinc/58/39/50/896583950.db2.gz UJYWKJUMKNQPIN-IAGOWNOFSA-N 1 2 320.437 1.075 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@]1(O)CC[N@@H+](Cc2nccn2C)C1 ZINC001278805984 896583967 /nfs/dbraw/zinc/58/39/67/896583967.db2.gz UJYWKJUMKNQPIN-IAGOWNOFSA-N 1 2 320.437 1.075 20 30 DDEDLO CC(C)C[C@@H](C[NH2+]Cc1nc(C(C)C)no1)NC(=O)[C@@H](C)C#N ZINC001367418222 896718670 /nfs/dbraw/zinc/71/86/70/896718670.db2.gz LEPMLUBDMSRFAN-STQMWFEESA-N 1 2 321.425 1.973 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1ncc(C)o1 ZINC001278868339 896947979 /nfs/dbraw/zinc/94/79/79/896947979.db2.gz ADWSSMSLBAYNQR-HNNXBMFYSA-N 1 2 321.421 1.428 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1ncc(C)o1 ZINC001278868339 896947985 /nfs/dbraw/zinc/94/79/85/896947985.db2.gz ADWSSMSLBAYNQR-HNNXBMFYSA-N 1 2 321.421 1.428 20 30 DDEDLO Cc1cc(C(=O)N(C)CC[N@H+](C)Cc2ccccc2C#N)cnn1 ZINC001367510920 896984819 /nfs/dbraw/zinc/98/48/19/896984819.db2.gz GEKYNXITCFILJX-UHFFFAOYSA-N 1 2 323.400 1.861 20 30 DDEDLO Cc1cc(C(=O)N(C)CC[N@@H+](C)Cc2ccccc2C#N)cnn1 ZINC001367510920 896984827 /nfs/dbraw/zinc/98/48/27/896984827.db2.gz GEKYNXITCFILJX-UHFFFAOYSA-N 1 2 323.400 1.861 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H](C)CNC(=O)[C@@H](C)C#N)c(C)[nH+]1 ZINC001389455184 897248029 /nfs/dbraw/zinc/24/80/29/897248029.db2.gz IBPHTGNDKYTRAS-JQWIXIFHSA-N 1 2 302.378 1.401 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)n1cncn1 ZINC001367940003 898250366 /nfs/dbraw/zinc/25/03/66/898250366.db2.gz LBSLLNQAECCDFT-CJNGLKHVSA-N 1 2 324.388 1.101 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)n1cncn1 ZINC001367940003 898250379 /nfs/dbraw/zinc/25/03/79/898250379.db2.gz LBSLLNQAECCDFT-CJNGLKHVSA-N 1 2 324.388 1.101 20 30 DDEDLO C=CCS(=O)(=O)NC1CC[NH+](Cc2ccccc2C#N)CC1 ZINC001259935706 898952678 /nfs/dbraw/zinc/95/26/78/898952678.db2.gz QBBMZWROXWLCHO-UHFFFAOYSA-N 1 2 319.430 1.628 20 30 DDEDLO C=C[C@@H](CC(=O)N1CC[NH2+]C[C@H]1C(=O)OCC)c1ccccc1 ZINC001261501471 899608103 /nfs/dbraw/zinc/60/81/03/899608103.db2.gz BXSIZMRVSFDXAU-HOCLYGCPSA-N 1 2 316.401 1.710 20 30 DDEDLO Cc1cc(CNC(=O)C(=O)N2CCC[C@@H](CC#N)C2)cc(C)[nH+]1 ZINC001261719895 899731517 /nfs/dbraw/zinc/73/15/17/899731517.db2.gz QJHVOOUVQWZFRU-AWEZNQCLSA-N 1 2 314.389 1.467 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@H+](C)Cc1cc(C(F)(F)F)nn1C ZINC001390741072 900069622 /nfs/dbraw/zinc/06/96/22/900069622.db2.gz HWNRGHCSSRJOAE-SECBINFHSA-N 1 2 317.315 1.147 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@@H+](C)Cc1cc(C(F)(F)F)nn1C ZINC001390741072 900069629 /nfs/dbraw/zinc/06/96/29/900069629.db2.gz HWNRGHCSSRJOAE-SECBINFHSA-N 1 2 317.315 1.147 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2C[N@@H+]3CCCC[C@H]3CO2)n1CC=C ZINC001262939404 900427549 /nfs/dbraw/zinc/42/75/49/900427549.db2.gz FJKMBGUCYLQKRA-LSDHHAIUSA-N 1 2 315.421 1.459 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2C[N@H+]3CCCC[C@H]3CO2)n1CC=C ZINC001262939404 900427555 /nfs/dbraw/zinc/42/75/55/900427555.db2.gz FJKMBGUCYLQKRA-LSDHHAIUSA-N 1 2 315.421 1.459 20 30 DDEDLO C=CCN(C)c1nnc(C[NH+]2CCCC2)n1C[C@@H]1CCOC1 ZINC001263572967 900643079 /nfs/dbraw/zinc/64/30/79/900643079.db2.gz ATZJZIPKQFNWCI-AWEZNQCLSA-N 1 2 305.426 1.533 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CCCF)C1=O ZINC001263807746 900721293 /nfs/dbraw/zinc/72/12/93/900721293.db2.gz QJUYGIFKAYDAAN-ZIAGYGMSSA-N 1 2 311.401 1.056 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CCCF)C1=O ZINC001263807746 900721299 /nfs/dbraw/zinc/72/12/99/900721299.db2.gz QJUYGIFKAYDAAN-ZIAGYGMSSA-N 1 2 311.401 1.056 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1C[N@@H+](C)Cc1nocc1C ZINC001264180353 900996796 /nfs/dbraw/zinc/99/67/96/900996796.db2.gz AVOPQYNDOCYATJ-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1C[N@H+](C)Cc1nocc1C ZINC001264180353 900996810 /nfs/dbraw/zinc/99/68/10/900996810.db2.gz AVOPQYNDOCYATJ-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1[C@H](C)[NH2+]Cc1cnsn1 ZINC001265080260 901531582 /nfs/dbraw/zinc/53/15/82/901531582.db2.gz PHGRPAQEJLMPMP-JSGCOSHPSA-N 1 2 324.450 1.600 20 30 DDEDLO C=CCCC(=O)N(C)C1CC[NH+]([C@H](C)c2nncn2C)CC1 ZINC001265189768 901684639 /nfs/dbraw/zinc/68/46/39/901684639.db2.gz WCAICQWUZOMGHQ-CYBMUJFWSA-N 1 2 305.426 1.765 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C1CC[NH+](Cc2cc(C)on2)CC1 ZINC001265191975 901685889 /nfs/dbraw/zinc/68/58/89/901685889.db2.gz KJCFEDGGTUBDEQ-CQSZACIVSA-N 1 2 319.405 1.444 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(OC)cs2)C1 ZINC001265220172 901731179 /nfs/dbraw/zinc/73/11/79/901731179.db2.gz HVZSDAZAUCMKSP-ZDUSSCGKSA-N 1 2 322.430 1.601 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2cc(OC)cs2)C1 ZINC001265220172 901731197 /nfs/dbraw/zinc/73/11/97/901731197.db2.gz HVZSDAZAUCMKSP-ZDUSSCGKSA-N 1 2 322.430 1.601 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2cc3n(n2)CCC3)C1 ZINC001265297789 901847120 /nfs/dbraw/zinc/84/71/20/901847120.db2.gz XWNWKQJVXMGSTK-CYBMUJFWSA-N 1 2 302.422 1.732 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc3n(n2)CCC3)C1 ZINC001265297789 901847134 /nfs/dbraw/zinc/84/71/34/901847134.db2.gz XWNWKQJVXMGSTK-CYBMUJFWSA-N 1 2 302.422 1.732 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@@H+](CC(=O)NCCC)C2)CCC1 ZINC001265301299 901852705 /nfs/dbraw/zinc/85/27/05/901852705.db2.gz HYTJXOQYLJZKJI-AWEZNQCLSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@H+](CC(=O)NCCC)C2)CCC1 ZINC001265301299 901852713 /nfs/dbraw/zinc/85/27/13/901852713.db2.gz HYTJXOQYLJZKJI-AWEZNQCLSA-N 1 2 307.438 1.450 20 30 DDEDLO CO[C@H](C)CC(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391550780 901979207 /nfs/dbraw/zinc/97/92/07/901979207.db2.gz VFBLPYWRJZYEOE-DOMZBBRYSA-N 1 2 319.380 1.813 20 30 DDEDLO CO[C@H](C)CC(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391550780 901979218 /nfs/dbraw/zinc/97/92/18/901979218.db2.gz VFBLPYWRJZYEOE-DOMZBBRYSA-N 1 2 319.380 1.813 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001391642768 902226281 /nfs/dbraw/zinc/22/62/81/902226281.db2.gz YTOYYUXALAQCSW-UKRRQHHQSA-N 1 2 320.437 1.128 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001391642768 902226293 /nfs/dbraw/zinc/22/62/93/902226293.db2.gz YTOYYUXALAQCSW-UKRRQHHQSA-N 1 2 320.437 1.128 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@H]([C@H](C)NC(=O)C#CC(C)C)C2)o1 ZINC001265703351 902318682 /nfs/dbraw/zinc/31/86/82/902318682.db2.gz OOXNDJPGVQLUAX-DZGCQCFKSA-N 1 2 318.421 1.754 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@H]([C@H](C)NC(=O)C#CC(C)C)C2)o1 ZINC001265703351 902318690 /nfs/dbraw/zinc/31/86/90/902318690.db2.gz OOXNDJPGVQLUAX-DZGCQCFKSA-N 1 2 318.421 1.754 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@@H](C)[NH2+]Cc1noc(CC(C)C)n1 ZINC001266143903 903015951 /nfs/dbraw/zinc/01/59/51/903015951.db2.gz JENOVVGHRSNYCJ-CQSZACIVSA-N 1 2 320.437 1.912 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(CCC)CCC)C1 ZINC001266236258 903169937 /nfs/dbraw/zinc/16/99/37/903169937.db2.gz GBYOQGXXELOTCN-MRXNPFEDSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(CCC)CCC)C1 ZINC001266236258 903169952 /nfs/dbraw/zinc/16/99/52/903169952.db2.gz GBYOQGXXELOTCN-MRXNPFEDSA-N 1 2 321.465 1.533 20 30 DDEDLO CC1(C)CC[C@H](C(=O)N[C@@H]2CCC[N@H+](CC(=O)NCC#N)C2)C1 ZINC001266236231 903170583 /nfs/dbraw/zinc/17/05/83/903170583.db2.gz FVPNIEIBFOJQII-UONOGXRCSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CC[C@H](C(=O)N[C@@H]2CCC[N@@H+](CC(=O)NCC#N)C2)C1 ZINC001266236231 903170588 /nfs/dbraw/zinc/17/05/88/903170588.db2.gz FVPNIEIBFOJQII-UONOGXRCSA-N 1 2 320.437 1.033 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CCC[N@@H+](CC(=O)NCC)C2)CC1 ZINC001266237471 903173324 /nfs/dbraw/zinc/17/33/24/903173324.db2.gz XBFGMMVVPVQOLJ-HNNXBMFYSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CCC[N@H+](CC(=O)NCC)C2)CC1 ZINC001266237471 903173333 /nfs/dbraw/zinc/17/33/33/903173333.db2.gz XBFGMMVVPVQOLJ-HNNXBMFYSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001279605630 903348397 /nfs/dbraw/zinc/34/83/97/903348397.db2.gz JQQRXQZDZNGBEU-UONOGXRCSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001279605630 903348409 /nfs/dbraw/zinc/34/84/09/903348409.db2.gz JQQRXQZDZNGBEU-UONOGXRCSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CCCOCC(=O)NCC1=CC[N@H+](Cc2coc(C)n2)CC1 ZINC001279696189 903388548 /nfs/dbraw/zinc/38/85/48/903388548.db2.gz UBVGXYQJIIRUFD-UHFFFAOYSA-N 1 2 319.405 1.824 20 30 DDEDLO C=CCCOCC(=O)NCC1=CC[N@@H+](Cc2coc(C)n2)CC1 ZINC001279696189 903388557 /nfs/dbraw/zinc/38/85/57/903388557.db2.gz UBVGXYQJIIRUFD-UHFFFAOYSA-N 1 2 319.405 1.824 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@@H](CCNCC#N)C3)ccn12 ZINC001280052783 903501199 /nfs/dbraw/zinc/50/11/99/903501199.db2.gz NOASBIZEZAJNMN-CQSZACIVSA-N 1 2 311.389 1.608 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001280765386 904005240 /nfs/dbraw/zinc/00/52/40/904005240.db2.gz AGNHAPFZKVYCAF-HDJSIYSDSA-N 1 2 318.421 1.496 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCC[N@@H+](C)[C@@H](C)c1nnnn1C ZINC001280865434 904132718 /nfs/dbraw/zinc/13/27/18/904132718.db2.gz JMVODWNWOXHMIA-ZDUSSCGKSA-N 1 2 322.457 1.654 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCC[N@H+](C)[C@@H](C)c1nnnn1C ZINC001280865434 904132721 /nfs/dbraw/zinc/13/27/21/904132721.db2.gz JMVODWNWOXHMIA-ZDUSSCGKSA-N 1 2 322.457 1.654 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@H](CNC(=O)C#CC(C)C)O2 ZINC001280917516 904186746 /nfs/dbraw/zinc/18/67/46/904186746.db2.gz CPKIMAGAUUQJCW-MRXNPFEDSA-N 1 2 320.433 1.198 20 30 DDEDLO C[C@H](C[NH2+][C@@H](C)c1csnn1)NC(=O)c1cc(C#N)c[nH]1 ZINC001392470097 904196239 /nfs/dbraw/zinc/19/62/39/904196239.db2.gz DJHYORCEJAHQMN-BDAKNGLRSA-N 1 2 304.379 1.207 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)Cc1c(C)n[nH]c1C)O2 ZINC001280937995 904216188 /nfs/dbraw/zinc/21/61/88/904216188.db2.gz YSPWTSSNVIZKIS-AWEZNQCLSA-N 1 2 318.421 1.105 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1COC2(C[NH+](Cc3ccccc3)C2)C1 ZINC001280947371 904229536 /nfs/dbraw/zinc/22/95/36/904229536.db2.gz LDQWXWBMECPAIF-KRWDZBQOSA-N 1 2 310.397 1.560 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C(=O)Nc1ccc(C)cc1 ZINC001316607834 904251325 /nfs/dbraw/zinc/25/13/25/904251325.db2.gz DMVOKZUKRHEFIW-INIZCTEOSA-N 1 2 313.401 1.490 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)C(=O)Nc1ccc(C)cc1 ZINC001316607834 904251335 /nfs/dbraw/zinc/25/13/35/904251335.db2.gz DMVOKZUKRHEFIW-INIZCTEOSA-N 1 2 313.401 1.490 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001281048014 904354602 /nfs/dbraw/zinc/35/46/02/904354602.db2.gz SFBZQINEJCFHLX-GUYCJALGSA-N 1 2 320.437 1.908 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H](CCC)OC ZINC001281072736 904375038 /nfs/dbraw/zinc/37/50/38/904375038.db2.gz QFFCWTAVBMWYAF-ROUUACIJSA-N 1 2 314.429 1.978 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H](CCC)OC ZINC001281072736 904375052 /nfs/dbraw/zinc/37/50/52/904375052.db2.gz QFFCWTAVBMWYAF-ROUUACIJSA-N 1 2 314.429 1.978 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)[C@H]1C ZINC001281150788 904479443 /nfs/dbraw/zinc/47/94/43/904479443.db2.gz VDPIMPLRBVCBNQ-QWHCGFSZSA-N 1 2 318.421 1.578 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC001281387184 904772009 /nfs/dbraw/zinc/77/20/09/904772009.db2.gz HIOYEOMJTAIBSY-GXTWGEPZSA-N 1 2 318.421 1.925 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnn(C)n2)[C@@H](C)C1 ZINC001281660436 905136747 /nfs/dbraw/zinc/13/67/47/905136747.db2.gz XHEJDYQCVLBKBO-LSDHHAIUSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnn(C)n2)[C@@H](C)C1 ZINC001281660436 905136757 /nfs/dbraw/zinc/13/67/57/905136757.db2.gz XHEJDYQCVLBKBO-LSDHHAIUSA-N 1 2 317.437 1.478 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2occc2C)C(C)(C)C1 ZINC001282786198 906035335 /nfs/dbraw/zinc/03/53/35/906035335.db2.gz DNPOPWSHECJITH-ZDUSSCGKSA-N 1 2 319.405 1.330 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2occc2C)C(C)(C)C1 ZINC001282786198 906035362 /nfs/dbraw/zinc/03/53/62/906035362.db2.gz DNPOPWSHECJITH-ZDUSSCGKSA-N 1 2 319.405 1.330 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3cnon3)C[C@H]21 ZINC001282861893 906116424 /nfs/dbraw/zinc/11/64/24/906116424.db2.gz SPIXKPOQLDEFEF-PHZGNYQRSA-N 1 2 316.405 1.632 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3cnon3)C[C@H]21 ZINC001282861893 906116436 /nfs/dbraw/zinc/11/64/36/906116436.db2.gz SPIXKPOQLDEFEF-PHZGNYQRSA-N 1 2 316.405 1.632 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](N(C)C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001283391702 907279082 /nfs/dbraw/zinc/27/90/82/907279082.db2.gz NUKZTRCWEHOKHR-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)[C@@H](C)CSC)CC1 ZINC001393844907 908062720 /nfs/dbraw/zinc/06/27/20/908062720.db2.gz OPLWGIYJUKINOO-NSHDSACASA-N 1 2 320.886 1.681 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001283864976 908094619 /nfs/dbraw/zinc/09/46/19/908094619.db2.gz WTXVQAGJRKKAID-KGLIPLIRSA-N 1 2 318.421 1.764 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001283863579 908094936 /nfs/dbraw/zinc/09/49/36/908094936.db2.gz JUXSNEVBDOHDJP-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001283863579 908094954 /nfs/dbraw/zinc/09/49/54/908094954.db2.gz JUXSNEVBDOHDJP-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]([NH2+]Cc2nnc(CC)o2)C[C@H]1C ZINC001284003979 908295049 /nfs/dbraw/zinc/29/50/49/908295049.db2.gz NGSIBWVITHLBBM-ZIAGYGMSSA-N 1 2 318.421 1.905 20 30 DDEDLO C[C@H](CCNC(=O)Cc1c[nH+]cn1C)NC(=O)C#CC(C)(C)C ZINC001284443856 909008042 /nfs/dbraw/zinc/00/80/42/909008042.db2.gz IHSPVOQDUIKRKZ-CYBMUJFWSA-N 1 2 318.421 1.023 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)[C@H]1CC12CCC2)C(C)C ZINC001284545678 909166814 /nfs/dbraw/zinc/16/68/14/909166814.db2.gz ZKQXJNSJPSCMCP-OAHLLOKOSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)[C@H]1CC12CCC2)C(C)C ZINC001284545678 909166819 /nfs/dbraw/zinc/16/68/19/909166819.db2.gz ZKQXJNSJPSCMCP-OAHLLOKOSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CC[N@@H+](C)CCN(C(=O)C1CCS(=O)(=O)CC1)C(C)C ZINC001284545245 909167289 /nfs/dbraw/zinc/16/72/89/909167289.db2.gz SPZAJJRANQUKIT-UHFFFAOYSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CC[N@H+](C)CCN(C(=O)C1CCS(=O)(=O)CC1)C(C)C ZINC001284545245 909167297 /nfs/dbraw/zinc/16/72/97/909167297.db2.gz SPZAJJRANQUKIT-UHFFFAOYSA-N 1 2 316.467 1.166 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)[C@H](C)NC(=O)C#CC(C)(C)C ZINC001285370295 910433856 /nfs/dbraw/zinc/43/38/56/910433856.db2.gz UMQFCDCQPIBYEB-NWDGAFQWSA-N 1 2 318.421 1.320 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[C@H]1NC(=O)CCn1cc[nH+]c1 ZINC001285413802 910489958 /nfs/dbraw/zinc/48/99/58/910489958.db2.gz XTJPEUZDRSXIOG-HUUCEWRRSA-N 1 2 318.421 1.641 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@@H]1CC[N@H+](Cc2ccncc2Cl)C1 ZINC001373672678 910575624 /nfs/dbraw/zinc/57/56/24/910575624.db2.gz ZBFIKBFTGCATNN-WCQYABFASA-N 1 2 306.797 1.927 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccncc2Cl)C1 ZINC001373672678 910575630 /nfs/dbraw/zinc/57/56/30/910575630.db2.gz ZBFIKBFTGCATNN-WCQYABFASA-N 1 2 306.797 1.927 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001285674844 911015958 /nfs/dbraw/zinc/01/59/58/911015958.db2.gz RHULDGDIPNIFAC-CYBMUJFWSA-N 1 2 306.410 1.496 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001285765396 911172116 /nfs/dbraw/zinc/17/21/16/911172116.db2.gz FJZPRWLOLKDXFA-KBPBESRZSA-N 1 2 320.437 1.576 20 30 DDEDLO C#CCC1(C(=O)N(C)[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001285814150 911226776 /nfs/dbraw/zinc/22/67/76/911226776.db2.gz UFSLWLFAWOQAAS-ZDUSSCGKSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](C)N(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001285841129 911272201 /nfs/dbraw/zinc/27/22/01/911272201.db2.gz AXORFKLNIQEPRO-YVEFUNNKSA-N 1 2 320.437 1.826 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC([C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286209895 911835551 /nfs/dbraw/zinc/83/55/51/911835551.db2.gz ZMLSWJVQQVNGIJ-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CC(C)(C)OCC)CC1 ZINC001286472799 911990703 /nfs/dbraw/zinc/99/07/03/911990703.db2.gz LJLOYHOYUURZBW-UHFFFAOYSA-N 1 2 305.426 1.157 20 30 DDEDLO CC(C)c1nc(C[NH2+]C2(CNC(=O)[C@@H](C)C#N)CCCC2)no1 ZINC001374152028 912022978 /nfs/dbraw/zinc/02/29/78/912022978.db2.gz NJXCYJGLKUPTOJ-LBPRGKRZSA-N 1 2 319.409 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@H](C)Cc1cnn(C)c1 ZINC001375135862 915075202 /nfs/dbraw/zinc/07/52/02/915075202.db2.gz SUCGPTNLASUBSV-DGCLKSJQSA-N 1 2 312.845 1.788 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@H](C)Cc1cnn(C)c1 ZINC001375135862 915075220 /nfs/dbraw/zinc/07/52/20/915075220.db2.gz SUCGPTNLASUBSV-DGCLKSJQSA-N 1 2 312.845 1.788 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc2c(c1)OCCO2 ZINC001375298816 915520506 /nfs/dbraw/zinc/52/05/06/915520506.db2.gz YKDQZHDWAYEJEO-GXTWGEPZSA-N 1 2 315.373 1.308 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@H+]1Cc1ccc2c(c1)OCCO2 ZINC001375298816 915520522 /nfs/dbraw/zinc/52/05/22/915520522.db2.gz YKDQZHDWAYEJEO-GXTWGEPZSA-N 1 2 315.373 1.308 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)CCNC(=O)c1occc1C ZINC001377116926 920369804 /nfs/dbraw/zinc/36/98/04/920369804.db2.gz JDYHVXYKKFCJTF-UHFFFAOYSA-N 1 2 320.393 1.012 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)CCNC(=O)c1occc1C ZINC001377116926 920369821 /nfs/dbraw/zinc/36/98/21/920369821.db2.gz JDYHVXYKKFCJTF-UHFFFAOYSA-N 1 2 320.393 1.012 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)CCc2c(C)n[nH]c2C)C1 ZINC001378016509 923705967 /nfs/dbraw/zinc/70/59/67/923705967.db2.gz RMMPIUAZEHLLFN-UHFFFAOYSA-N 1 2 310.829 1.760 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CCC[C@@H](c2[nH+]cc3n2CCCC3)C1 ZINC000614481854 361879712 /nfs/dbraw/zinc/87/97/12/361879712.db2.gz ZCRUOYVXISKKAR-DYVFJYSZSA-N 1 2 303.406 1.862 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]Cc1cnn([C@@]2(C)CCS(=O)(=O)C2)c1 ZINC000332406007 529448082 /nfs/dbraw/zinc/44/80/82/529448082.db2.gz LUJJRTKMUFROMC-KBPBESRZSA-N 1 2 310.423 1.199 20 30 DDEDLO COC(=O)[C@@H](Cc1ccc(F)cc1)[NH2+]C1CCN(CC#N)CC1 ZINC000615117752 362160087 /nfs/dbraw/zinc/16/00/87/362160087.db2.gz VULDLMKLEVHQAB-MRXNPFEDSA-N 1 2 319.380 1.487 20 30 DDEDLO CNC(=O)CCCNC(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000330371476 529847278 /nfs/dbraw/zinc/84/72/78/529847278.db2.gz AHIRKMVAMBAOSD-LBPRGKRZSA-N 1 2 307.398 1.099 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc(Cl)cc1C(N)=O ZINC000414149880 529868396 /nfs/dbraw/zinc/86/83/96/529868396.db2.gz VEYAOWNGQOAWOJ-LLVKDONJSA-N 1 2 311.769 1.024 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc(Cl)cc1C(N)=O ZINC000414149880 529868397 /nfs/dbraw/zinc/86/83/97/529868397.db2.gz VEYAOWNGQOAWOJ-LLVKDONJSA-N 1 2 311.769 1.024 20 30 DDEDLO C[C@@H]1C[N@H+](CCO)CCN1C(=O)CSc1ccc(C#N)cc1 ZINC000457138123 232001249 /nfs/dbraw/zinc/00/12/49/232001249.db2.gz WIFSUNIYIZAOOA-CYBMUJFWSA-N 1 2 319.430 1.175 20 30 DDEDLO C[C@@H]1C[N@@H+](CCO)CCN1C(=O)CSc1ccc(C#N)cc1 ZINC000457138123 232001252 /nfs/dbraw/zinc/00/12/52/232001252.db2.gz WIFSUNIYIZAOOA-CYBMUJFWSA-N 1 2 319.430 1.175 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)/C=C\c1ccc(OCC#N)cc1 ZINC000490764853 530027227 /nfs/dbraw/zinc/02/72/27/530027227.db2.gz WCWMHERSQHLNDC-YVMONPNESA-N 1 2 310.357 1.994 20 30 DDEDLO C=CCNC(=O)CN1CCc2c([nH+]cn2-c2ccc(F)cc2)C1 ZINC000272638745 210041876 /nfs/dbraw/zinc/04/18/76/210041876.db2.gz AYLZXUSQVAKCAA-UHFFFAOYSA-N 1 2 314.364 1.672 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@@H](C)C(=O)NC2CCCC2)n1 ZINC000279711769 215082489 /nfs/dbraw/zinc/08/24/89/215082489.db2.gz KJLFQKOVKIMCMF-AWEZNQCLSA-N 1 2 302.422 1.786 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@@H](C)C(=O)NC2CCCC2)n1 ZINC000279711769 215082492 /nfs/dbraw/zinc/08/24/92/215082492.db2.gz KJLFQKOVKIMCMF-AWEZNQCLSA-N 1 2 302.422 1.786 20 30 DDEDLO N#Cc1c(NCC[NH+]2CCOCC2)nc2cc(Cl)ccn21 ZINC000286935503 219232522 /nfs/dbraw/zinc/23/25/22/219232522.db2.gz LTGGWDKGULPJGB-UHFFFAOYSA-N 1 2 305.769 1.603 20 30 DDEDLO C[C@H]1OCC[C@H]1[N@H+](CCO)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459451039 233209401 /nfs/dbraw/zinc/20/94/01/233209401.db2.gz VEKVVKFPCIKRAY-BXUZGUMPSA-N 1 2 305.334 1.438 20 30 DDEDLO C[C@H]1OCC[C@H]1[N@@H+](CCO)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459451039 233209409 /nfs/dbraw/zinc/20/94/09/233209409.db2.gz VEKVVKFPCIKRAY-BXUZGUMPSA-N 1 2 305.334 1.438 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)cc1 ZINC000601226170 358455329 /nfs/dbraw/zinc/45/53/29/358455329.db2.gz RDHOBMMECLWXDL-INIZCTEOSA-N 1 2 314.389 1.887 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@@H](OCCO)C1 ZINC000172295934 198072726 /nfs/dbraw/zinc/07/27/26/198072726.db2.gz PRZLVBMVZWZWNO-GFCCVEGCSA-N 1 2 309.391 1.032 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@@H](OCCO)C1 ZINC000172295934 198072727 /nfs/dbraw/zinc/07/27/27/198072727.db2.gz PRZLVBMVZWZWNO-GFCCVEGCSA-N 1 2 309.391 1.032 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@H](OCCO)C1 ZINC000172295931 198072791 /nfs/dbraw/zinc/07/27/91/198072791.db2.gz PRZLVBMVZWZWNO-LBPRGKRZSA-N 1 2 309.391 1.032 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@H](OCCO)C1 ZINC000172295931 198072793 /nfs/dbraw/zinc/07/27/93/198072793.db2.gz PRZLVBMVZWZWNO-LBPRGKRZSA-N 1 2 309.391 1.032 20 30 DDEDLO CCNC(=O)C[N@H+](CC)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000007584780 352124776 /nfs/dbraw/zinc/12/47/76/352124776.db2.gz RBFDQKPSDRPTSV-UHFFFAOYSA-N 1 2 308.426 1.129 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000007584780 352124777 /nfs/dbraw/zinc/12/47/77/352124777.db2.gz RBFDQKPSDRPTSV-UHFFFAOYSA-N 1 2 308.426 1.129 20 30 DDEDLO CS(=O)(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC000041622272 352310795 /nfs/dbraw/zinc/31/07/95/352310795.db2.gz WZWIXGNXOWPUJA-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CS(=O)(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC000041622272 352310798 /nfs/dbraw/zinc/31/07/98/352310798.db2.gz WZWIXGNXOWPUJA-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N[C@@H]1CCO[C@@H](c2c[nH+]cn2C)C1 ZINC000331756074 234085377 /nfs/dbraw/zinc/08/53/77/234085377.db2.gz CEBFLZJEXYUGLE-IAQYHMDHSA-N 1 2 314.345 1.843 20 30 DDEDLO CCCNC(=O)[C@@H]1CCC[N@H+](CC(=O)Nc2nncs2)C1 ZINC000050995515 352575019 /nfs/dbraw/zinc/57/50/19/352575019.db2.gz HRUIMLJSFYYPHO-SNVBAGLBSA-N 1 2 311.411 1.364 20 30 DDEDLO CCCNC(=O)[C@@H]1CCC[N@@H+](CC(=O)Nc2nncs2)C1 ZINC000050995515 352575021 /nfs/dbraw/zinc/57/50/21/352575021.db2.gz HRUIMLJSFYYPHO-SNVBAGLBSA-N 1 2 311.411 1.364 20 30 DDEDLO CCC[N@H+](CC(=O)N(C)C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000056510484 352776520 /nfs/dbraw/zinc/77/65/20/352776520.db2.gz FWHNOCUDYHYMQN-UHFFFAOYSA-N 1 2 322.453 1.471 20 30 DDEDLO CCC[N@@H+](CC(=O)N(C)C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000056510484 352776525 /nfs/dbraw/zinc/77/65/25/352776525.db2.gz FWHNOCUDYHYMQN-UHFFFAOYSA-N 1 2 322.453 1.471 20 30 DDEDLO C=CCNC(=O)[C@H](C)N(C)Cc1[nH+]ccn1CC(F)(F)F ZINC000057845104 352830669 /nfs/dbraw/zinc/83/06/69/352830669.db2.gz WKLMGWQEFPPVSH-JTQLQIEISA-N 1 2 304.316 1.568 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cn4ccccc4n3)CC2)nc1 ZINC000058489146 352845035 /nfs/dbraw/zinc/84/50/35/352845035.db2.gz DXPJHWZTJVKLBK-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO C[C@H](C#N)C[N@H+](C)[C@@H](C)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000064795663 352944080 /nfs/dbraw/zinc/94/40/80/352944080.db2.gz NCZHYBHJUWVMHJ-HZSPNIEDSA-N 1 2 317.389 1.423 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C)[C@@H](C)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000064795663 352944082 /nfs/dbraw/zinc/94/40/82/352944082.db2.gz NCZHYBHJUWVMHJ-HZSPNIEDSA-N 1 2 317.389 1.423 20 30 DDEDLO C=CCNC(=O)[C@@H](C)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000067410949 353047574 /nfs/dbraw/zinc/04/75/74/353047574.db2.gz GZCWDGQHTNEDCS-CYBMUJFWSA-N 1 2 306.410 1.100 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C/c1ccsc1 ZINC000070920455 353185913 /nfs/dbraw/zinc/18/59/13/353185913.db2.gz UNCDHEXWHKSDHW-NSCUHMNNSA-N 1 2 319.430 1.836 20 30 DDEDLO CC[NH+]1CCN(S(=O)(=O)c2c(C)cc(F)cc2C#N)CC1 ZINC000601281480 358476809 /nfs/dbraw/zinc/47/68/09/358476809.db2.gz OJRMUYDJCDFNLE-UHFFFAOYSA-N 1 2 311.382 1.332 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)NCCCn1cc[nH+]c1 ZINC000601282848 358477061 /nfs/dbraw/zinc/47/70/61/358477061.db2.gz GGFYUNYLDJQALI-UHFFFAOYSA-N 1 2 322.365 1.571 20 30 DDEDLO N#C[C@@H](c1ccccc1)[C@@H]1CCC[N@H+]1CCN1C(=O)CNC1=O ZINC000076153403 353402040 /nfs/dbraw/zinc/40/20/40/353402040.db2.gz HENDCXOTADRQAH-GJZGRUSLSA-N 1 2 312.373 1.310 20 30 DDEDLO N#C[C@@H](c1ccccc1)[C@@H]1CCC[N@@H+]1CCN1C(=O)CNC1=O ZINC000076153403 353402041 /nfs/dbraw/zinc/40/20/41/353402041.db2.gz HENDCXOTADRQAH-GJZGRUSLSA-N 1 2 312.373 1.310 20 30 DDEDLO C[C@@H]1CC[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C[C@@H]1O ZINC000093344872 353898046 /nfs/dbraw/zinc/89/80/46/353898046.db2.gz GLEPZXXBNLUVAE-RTXFEEFZSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@@H]1CC[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C[C@@H]1O ZINC000093344872 353898048 /nfs/dbraw/zinc/89/80/48/353898048.db2.gz GLEPZXXBNLUVAE-RTXFEEFZSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@H](NC(=O)N[C@@H]1CCO[C@@H]1C1CC1)[C@H](C)[NH+]1CCOCC1 ZINC000328841702 222902714 /nfs/dbraw/zinc/90/27/14/222902714.db2.gz PQAIAOVGAVCRLU-DDHJSBNISA-N 1 2 311.426 1.167 20 30 DDEDLO C[C@@H](C#N)CNC(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000182826145 354276326 /nfs/dbraw/zinc/27/63/26/354276326.db2.gz DXJADVUNNZHEID-KBPBESRZSA-N 1 2 301.394 1.629 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)N[C@@](C)(C#N)C1CC1)c1nc(C(C)(C)C)no1 ZINC000185165589 354280876 /nfs/dbraw/zinc/28/08/76/354280876.db2.gz JYDSZLGGVRXSMQ-HWPZZCPQSA-N 1 2 319.409 1.826 20 30 DDEDLO C=CCCn1cc(-c2nc([C@@H]3C[N@@H+](C4CC4)CCO3)no2)nn1 ZINC000351347068 354617839 /nfs/dbraw/zinc/61/78/39/354617839.db2.gz MAONYRVBZDZSJT-ZDUSSCGKSA-N 1 2 316.365 1.440 20 30 DDEDLO C=CCCn1cc(-c2nc([C@@H]3C[N@H+](C4CC4)CCO3)no2)nn1 ZINC000351347068 354617842 /nfs/dbraw/zinc/61/78/42/354617842.db2.gz MAONYRVBZDZSJT-ZDUSSCGKSA-N 1 2 316.365 1.440 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCOCCOc2ccccc2)CC1 ZINC000581139870 354730977 /nfs/dbraw/zinc/73/09/77/354730977.db2.gz IFIALPQEDIUNOX-UHFFFAOYSA-N 1 2 317.389 1.140 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc(NC(=O)C2CC2)cc1 ZINC000578759732 354711818 /nfs/dbraw/zinc/71/18/18/354711818.db2.gz HKDFFRMKICSWRQ-MRXNPFEDSA-N 1 2 316.405 1.653 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc(NC(=O)C2CC2)cc1 ZINC000578759732 354711822 /nfs/dbraw/zinc/71/18/22/354711822.db2.gz HKDFFRMKICSWRQ-MRXNPFEDSA-N 1 2 316.405 1.653 20 30 DDEDLO COC(C)(C)c1nc(C)c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)s1 ZINC000578776192 354712570 /nfs/dbraw/zinc/71/25/70/354712570.db2.gz YGPYZDDOBCONBZ-HNNXBMFYSA-N 1 2 324.450 1.907 20 30 DDEDLO COc1cc(CCC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc(OC)c1 ZINC000580927759 354727968 /nfs/dbraw/zinc/72/79/68/354727968.db2.gz KAMMCSSWDFLSOL-KRWDZBQOSA-N 1 2 319.405 1.596 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000581734734 354737271 /nfs/dbraw/zinc/73/72/71/354737271.db2.gz VFTSJXSELUFMMQ-CHWSQXEVSA-N 1 2 303.362 1.224 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N[C@@H]2CCc3c[nH+]cn3C2)cc1 ZINC000587051155 354878961 /nfs/dbraw/zinc/87/89/61/354878961.db2.gz HNYJRJXJKLJWDZ-JKSUJKDBSA-N 1 2 319.368 1.568 20 30 DDEDLO Cc1cc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)cs1 ZINC000586761401 354860144 /nfs/dbraw/zinc/86/01/44/354860144.db2.gz KQJFGRWXQWBPKW-UHFFFAOYSA-N 1 2 307.419 1.745 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2cc(C#N)cc(C)n2)CC1 ZINC000587061990 354880500 /nfs/dbraw/zinc/88/05/00/354880500.db2.gz NXYHJLVYMGQFPF-UHFFFAOYSA-N 1 2 315.421 1.252 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CC[C@@H](C(F)F)C2)nc1 ZINC000588963148 354951577 /nfs/dbraw/zinc/95/15/77/354951577.db2.gz GLCOHJCVMPNMRU-LLVKDONJSA-N 1 2 315.345 1.314 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CC[C@@H](C(F)F)C2)nc1 ZINC000588963148 354951580 /nfs/dbraw/zinc/95/15/80/354951580.db2.gz GLCOHJCVMPNMRU-LLVKDONJSA-N 1 2 315.345 1.314 20 30 DDEDLO C=C[C@@H]([NH2+]CCc1c[nH]c2ccc([N+](=O)[O-])cc12)C(=O)OC ZINC000589054647 354957408 /nfs/dbraw/zinc/95/74/08/354957408.db2.gz QSCQYHYVXJDDDL-CYBMUJFWSA-N 1 2 303.318 1.936 20 30 DDEDLO N#Cc1cccc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)n1 ZINC000589436022 354990516 /nfs/dbraw/zinc/99/05/16/354990516.db2.gz WEHQOIHOQXSIGM-HNNXBMFYSA-N 1 2 314.389 1.280 20 30 DDEDLO Cc1nc(N2CC[NH+]([C@@H]3CCOC3)CC2)c(Cl)cc1C#N ZINC000589689328 355012388 /nfs/dbraw/zinc/01/23/88/355012388.db2.gz HNKOQHDKPYZTQN-CYBMUJFWSA-N 1 2 306.797 1.826 20 30 DDEDLO N#Cc1cccc(-n2ccc(C(=O)N3CCc4[nH]c[nH+]c4C3)n2)c1 ZINC000590378027 355078287 /nfs/dbraw/zinc/07/82/87/355078287.db2.gz CIRVZFSFOGTWIA-UHFFFAOYSA-N 1 2 318.340 1.666 20 30 DDEDLO N#Cc1cccc(-n2ccc(C(=O)N3CCc4[nH+]c[nH]c4C3)n2)c1 ZINC000590378027 355078289 /nfs/dbraw/zinc/07/82/89/355078289.db2.gz CIRVZFSFOGTWIA-UHFFFAOYSA-N 1 2 318.340 1.666 20 30 DDEDLO COCC[N@H+](Cc1c(C)nn(C)c1C)Cc1ccnc(C#N)c1 ZINC000590967091 355225678 /nfs/dbraw/zinc/22/56/78/355225678.db2.gz UYGJQCBLVMEARH-UHFFFAOYSA-N 1 2 313.405 1.952 20 30 DDEDLO COCC[N@@H+](Cc1c(C)nn(C)c1C)Cc1ccnc(C#N)c1 ZINC000590967091 355225679 /nfs/dbraw/zinc/22/56/79/355225679.db2.gz UYGJQCBLVMEARH-UHFFFAOYSA-N 1 2 313.405 1.952 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(CC#N)cc1 ZINC000285656759 291065929 /nfs/dbraw/zinc/06/59/29/291065929.db2.gz FAIMYDGQUKKRAF-WMLDXEAASA-N 1 2 313.401 1.688 20 30 DDEDLO C[C@H](C(=O)Nc1ccc2c(c1)OCO2)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146593 355511321 /nfs/dbraw/zinc/51/13/21/355511321.db2.gz DBOVGZBFDBGKHU-BZNIZROVSA-N 1 2 317.345 1.093 20 30 DDEDLO C[C@H](C(=O)Nc1ccc2c(c1)OCO2)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146593 355511327 /nfs/dbraw/zinc/51/13/27/355511327.db2.gz DBOVGZBFDBGKHU-BZNIZROVSA-N 1 2 317.345 1.093 20 30 DDEDLO CCc1ccc([C@@H](C)NC(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592148309 355515304 /nfs/dbraw/zinc/51/53/04/355515304.db2.gz UBQLJANWWYHZQP-KDOFPFPSSA-N 1 2 315.417 1.777 20 30 DDEDLO CCc1ccc([C@@H](C)NC(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592148309 355515307 /nfs/dbraw/zinc/51/53/07/355515307.db2.gz UBQLJANWWYHZQP-KDOFPFPSSA-N 1 2 315.417 1.777 20 30 DDEDLO Cc1cccc(S(=O)(=O)CCC[N@@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592150201 355517705 /nfs/dbraw/zinc/51/77/05/355517705.db2.gz AVDIJOVHLNNNAU-INIZCTEOSA-N 1 2 322.430 1.509 20 30 DDEDLO Cc1cccc(S(=O)(=O)CCC[N@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592150201 355517708 /nfs/dbraw/zinc/51/77/08/355517708.db2.gz AVDIJOVHLNNNAU-INIZCTEOSA-N 1 2 322.430 1.509 20 30 DDEDLO COc1ccccc1N1CC[C@@H]([N@@H+]2CC[C@@](O)(CC#N)C2)C1=O ZINC000592151957 355518852 /nfs/dbraw/zinc/51/88/52/355518852.db2.gz SMECKYYXQQMLEE-PBHICJAKSA-N 1 2 315.373 1.151 20 30 DDEDLO COc1ccccc1N1CC[C@@H]([N@H+]2CC[C@@](O)(CC#N)C2)C1=O ZINC000592151957 355518856 /nfs/dbraw/zinc/51/88/56/355518856.db2.gz SMECKYYXQQMLEE-PBHICJAKSA-N 1 2 315.373 1.151 20 30 DDEDLO CC(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1Br ZINC000592154471 355519784 /nfs/dbraw/zinc/51/97/84/355519784.db2.gz WXHGMBVAFDQJBT-UHFFFAOYSA-N 1 2 310.195 1.889 20 30 DDEDLO CC(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1Br ZINC000592154471 355519786 /nfs/dbraw/zinc/51/97/86/355519786.db2.gz WXHGMBVAFDQJBT-UHFFFAOYSA-N 1 2 310.195 1.889 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1nc2c(cc1C#N)CCC2 ZINC000286464612 291067898 /nfs/dbraw/zinc/06/78/98/291067898.db2.gz VGKOFJRBIHPVOC-XJKSGUPXSA-N 1 2 312.417 1.741 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000593065190 355784636 /nfs/dbraw/zinc/78/46/36/355784636.db2.gz ZDROONFKTBMKHX-BBRMVZONSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000593065190 355784641 /nfs/dbraw/zinc/78/46/41/355784641.db2.gz ZDROONFKTBMKHX-BBRMVZONSA-N 1 2 301.390 1.674 20 30 DDEDLO N#Cc1cccc2c1C[N@H+](C[C@@H](O)COC[C@@H]1CCCO1)C2 ZINC000593121656 355806447 /nfs/dbraw/zinc/80/64/47/355806447.db2.gz CTIBYLLABMALNT-CVEARBPZSA-N 1 2 302.374 1.430 20 30 DDEDLO N#Cc1cccc2c1C[N@@H+](C[C@@H](O)COC[C@@H]1CCCO1)C2 ZINC000593121656 355806451 /nfs/dbraw/zinc/80/64/51/355806451.db2.gz CTIBYLLABMALNT-CVEARBPZSA-N 1 2 302.374 1.430 20 30 DDEDLO Cc1cc2c(cc1C)[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)CO2 ZINC000593154813 355813958 /nfs/dbraw/zinc/81/39/58/355813958.db2.gz GPIJSEPOQGZKGU-RHSMWYFYSA-N 1 2 301.390 1.740 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2ccsc2C#N)CC1 ZINC000593610225 355942139 /nfs/dbraw/zinc/94/21/39/355942139.db2.gz SVZGRFSIJFBHRQ-LLVKDONJSA-N 1 2 313.448 1.725 20 30 DDEDLO N#CC1(CNC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)CCCC1 ZINC000593773965 355991873 /nfs/dbraw/zinc/99/18/73/355991873.db2.gz RSEWOETWGRTYNJ-OAHLLOKOSA-N 1 2 305.422 1.830 20 30 DDEDLO N#CC1(CNC(=O)[C@H]2COCC[N@H+]2C2CCCC2)CCCC1 ZINC000593773965 355991878 /nfs/dbraw/zinc/99/18/78/355991878.db2.gz RSEWOETWGRTYNJ-OAHLLOKOSA-N 1 2 305.422 1.830 20 30 DDEDLO Cc1c[nH]c(C[NH2+][C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)n1 ZINC000594935576 356358678 /nfs/dbraw/zinc/35/86/78/356358678.db2.gz BCVBDGKKRGEBTR-HNNXBMFYSA-N 1 2 309.373 1.481 20 30 DDEDLO C[N@@H+](Cc1ncc[nH]1)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000595402417 356479774 /nfs/dbraw/zinc/47/97/74/356479774.db2.gz ZCQQTFPIJWKAJG-CQSZACIVSA-N 1 2 300.362 1.347 20 30 DDEDLO C[N@H+](Cc1ncc[nH]1)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000595402417 356479776 /nfs/dbraw/zinc/47/97/76/356479776.db2.gz ZCQQTFPIJWKAJG-CQSZACIVSA-N 1 2 300.362 1.347 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000595402417 356479778 /nfs/dbraw/zinc/47/97/78/356479778.db2.gz ZCQQTFPIJWKAJG-CQSZACIVSA-N 1 2 300.362 1.347 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595631608 356585644 /nfs/dbraw/zinc/58/56/44/356585644.db2.gz FTCYJHSDPXGRRC-NSHDSACASA-N 1 2 304.419 1.409 20 30 DDEDLO CN1CC[N@H+](Cc2nnc(-c3ccc(C#N)cc3)o2)CCC1=O ZINC000595783711 356650420 /nfs/dbraw/zinc/65/04/20/356650420.db2.gz QGSUPIFBKQMUGY-UHFFFAOYSA-N 1 2 311.345 1.272 20 30 DDEDLO CN1CC[N@@H+](Cc2nnc(-c3ccc(C#N)cc3)o2)CCC1=O ZINC000595783711 356650423 /nfs/dbraw/zinc/65/04/23/356650423.db2.gz QGSUPIFBKQMUGY-UHFFFAOYSA-N 1 2 311.345 1.272 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@@H+]2CC[C@](C)(C#N)C2)C1 ZINC000595832365 356669449 /nfs/dbraw/zinc/66/94/49/356669449.db2.gz BKBHGOOJFKYUEQ-XJKSGUPXSA-N 1 2 307.394 1.024 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@H+]2CC[C@](C)(C#N)C2)C1 ZINC000595832365 356669450 /nfs/dbraw/zinc/66/94/50/356669450.db2.gz BKBHGOOJFKYUEQ-XJKSGUPXSA-N 1 2 307.394 1.024 20 30 DDEDLO CC1(O)CCN(c2cc(N3CCC(CC#N)CC3)[nH+]cn2)CC1 ZINC000596189660 356807156 /nfs/dbraw/zinc/80/71/56/356807156.db2.gz LCDNGLLFKKKLBL-UHFFFAOYSA-N 1 2 315.421 1.958 20 30 DDEDLO CC1(O)CCN(c2cc(N3CCC(CC#N)CC3)nc[nH+]2)CC1 ZINC000596189660 356807158 /nfs/dbraw/zinc/80/71/58/356807158.db2.gz LCDNGLLFKKKLBL-UHFFFAOYSA-N 1 2 315.421 1.958 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2cccc(C#N)c2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596427966 356876264 /nfs/dbraw/zinc/87/62/64/356876264.db2.gz GHHVNSGWCNBFLN-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2cccc(C#N)c2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596427966 356876268 /nfs/dbraw/zinc/87/62/68/356876268.db2.gz GHHVNSGWCNBFLN-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO CN1CC[N@H+](Cc2ccccc2)[C@H](CNc2cncc(C#N)n2)C1 ZINC000596553600 356914847 /nfs/dbraw/zinc/91/48/47/356914847.db2.gz ZUZZBNDBZHKKDW-QGZVFWFLSA-N 1 2 322.416 1.576 20 30 DDEDLO CN1CC[N@@H+](Cc2ccccc2)[C@H](CNc2cncc(C#N)n2)C1 ZINC000596553600 356914849 /nfs/dbraw/zinc/91/48/49/356914849.db2.gz ZUZZBNDBZHKKDW-QGZVFWFLSA-N 1 2 322.416 1.576 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CCN1Cc1c[nH+]c2n1CCC2 ZINC000597025830 357043137 /nfs/dbraw/zinc/04/31/37/357043137.db2.gz DSZWHJWQZPHUOW-CYBMUJFWSA-N 1 2 323.404 1.202 20 30 DDEDLO N#Cc1sccc1N1CC[NH+](Cc2cnc3cnccn23)CC1 ZINC000597183557 357087273 /nfs/dbraw/zinc/08/72/73/357087273.db2.gz KYXFJPGIZKQONF-UHFFFAOYSA-N 1 2 324.413 1.985 20 30 DDEDLO C=CCNC(=O)N1CCNC(=O)[C@H]1c1c(C)[nH+]c2ccccn21 ZINC000597367354 357151336 /nfs/dbraw/zinc/15/13/36/357151336.db2.gz UEEDSFHTVKZKAE-CQSZACIVSA-N 1 2 313.361 1.011 20 30 DDEDLO C[C@@H](CC#N)C(=O)N(CC[NH+]1CCOCC1)Cc1ccco1 ZINC000597755792 357318262 /nfs/dbraw/zinc/31/82/62/357318262.db2.gz SZXLVMPLEAZVFB-AWEZNQCLSA-N 1 2 305.378 1.490 20 30 DDEDLO C[C@]1(C#N)CCN(C(=O)c2ccccc2C[NH+]2CCOCC2)C1 ZINC000598409880 357569115 /nfs/dbraw/zinc/56/91/15/357569115.db2.gz RSIXJTPKRUQZMK-GOSISDBHSA-N 1 2 313.401 1.895 20 30 DDEDLO CN1CC[N@H+](Cc2ccccc2)[C@@H](CNC(=O)CCCC#N)C1 ZINC000598503231 357607824 /nfs/dbraw/zinc/60/78/24/357607824.db2.gz YGMQCHJIJAZMAU-KRWDZBQOSA-N 1 2 314.433 1.613 20 30 DDEDLO CN1CC[N@@H+](Cc2ccccc2)[C@@H](CNC(=O)CCCC#N)C1 ZINC000598503231 357607827 /nfs/dbraw/zinc/60/78/27/357607827.db2.gz YGMQCHJIJAZMAU-KRWDZBQOSA-N 1 2 314.433 1.613 20 30 DDEDLO CCO[C@H](C)c1noc(C[N@H+](C)C[C@@H](O)CC(C)(C)C#N)n1 ZINC000598596815 357646475 /nfs/dbraw/zinc/64/64/75/357646475.db2.gz UCZGYAUTIPRGPB-NEPJUHHUSA-N 1 2 310.398 1.900 20 30 DDEDLO CCO[C@H](C)c1noc(C[N@@H+](C)C[C@@H](O)CC(C)(C)C#N)n1 ZINC000598596815 357646478 /nfs/dbraw/zinc/64/64/78/357646478.db2.gz UCZGYAUTIPRGPB-NEPJUHHUSA-N 1 2 310.398 1.900 20 30 DDEDLO O=C(NCc1c[nH+]c[nH]1)[C@@H]1CSCN1C(=O)C1CCCC1 ZINC000329694802 223015562 /nfs/dbraw/zinc/01/55/62/223015562.db2.gz BDTIICVICLVVLV-LBPRGKRZSA-N 1 2 308.407 1.958 20 30 DDEDLO O=C(NCc1c[nH]c[nH+]1)[C@@H]1CSCN1C(=O)C1CCCC1 ZINC000329694802 223015565 /nfs/dbraw/zinc/01/55/65/223015565.db2.gz BDTIICVICLVVLV-LBPRGKRZSA-N 1 2 308.407 1.958 20 30 DDEDLO N#Cc1ccc(CSCC(=O)N[C@H]2Cc3c[nH+]cn3C2)cc1 ZINC000598944953 357768660 /nfs/dbraw/zinc/76/86/60/357768660.db2.gz VZYBXXBVERRTSS-AWEZNQCLSA-N 1 2 312.398 1.729 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CCn2c[nH+]cc2C1 ZINC000598950835 357774228 /nfs/dbraw/zinc/77/42/28/357774228.db2.gz UEUHOJJDRWLRPP-TZMCWYRMSA-N 1 2 310.357 1.653 20 30 DDEDLO Cc1cc(C)c2ncc(C#N)c(NC[C@@H]3COCC[N@H+]3C)c2c1 ZINC000599160457 357833526 /nfs/dbraw/zinc/83/35/26/357833526.db2.gz JTNMBTFESVHPMG-OAHLLOKOSA-N 1 2 310.401 1.888 20 30 DDEDLO Cc1cc(C)c2ncc(C#N)c(NC[C@@H]3COCC[N@@H+]3C)c2c1 ZINC000599160457 357833529 /nfs/dbraw/zinc/83/35/29/357833529.db2.gz JTNMBTFESVHPMG-OAHLLOKOSA-N 1 2 310.401 1.888 20 30 DDEDLO N#Cc1cc(NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)ccn1 ZINC000599183131 357841968 /nfs/dbraw/zinc/84/19/68/357841968.db2.gz HUVMZAJPVHFBPI-KRWDZBQOSA-N 1 2 309.373 1.083 20 30 DDEDLO N#Cc1cc(NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)ccn1 ZINC000599183131 357841971 /nfs/dbraw/zinc/84/19/71/357841971.db2.gz HUVMZAJPVHFBPI-KRWDZBQOSA-N 1 2 309.373 1.083 20 30 DDEDLO C[C@H](O)CNc1cc(N2CCc3c(CC#N)cccc3C2)nc[nH+]1 ZINC000599194493 357846255 /nfs/dbraw/zinc/84/62/55/357846255.db2.gz JBXRTKMLDONQAZ-ZDUSSCGKSA-N 1 2 323.400 1.898 20 30 DDEDLO C[C@H](O)CNc1cc(N2CCc3c(CC#N)cccc3C2)[nH+]cn1 ZINC000599194493 357846258 /nfs/dbraw/zinc/84/62/58/357846258.db2.gz JBXRTKMLDONQAZ-ZDUSSCGKSA-N 1 2 323.400 1.898 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)C(=O)NC[C@H]1COCC[N@@H+]1C ZINC000599196155 357847086 /nfs/dbraw/zinc/84/70/86/357847086.db2.gz YMHBSICSDIGJLM-HNNXBMFYSA-N 1 2 302.378 1.030 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)C(=O)NC[C@H]1COCC[N@H+]1C ZINC000599196155 357847090 /nfs/dbraw/zinc/84/70/90/357847090.db2.gz YMHBSICSDIGJLM-HNNXBMFYSA-N 1 2 302.378 1.030 20 30 DDEDLO Cc1ccc(CN(CCC#N)CC[NH+]2CCOCC2)cc1C#N ZINC000599308616 357886884 /nfs/dbraw/zinc/88/68/84/357886884.db2.gz VEPFVJGLXRBBSM-UHFFFAOYSA-N 1 2 312.417 1.915 20 30 DDEDLO CCC(C#N)(CC)C(=O)NCC1([NH+]2CCOCC2)CCOCC1 ZINC000600001745 358100961 /nfs/dbraw/zinc/10/09/61/358100961.db2.gz QPUFKOPCCLUSGB-UHFFFAOYSA-N 1 2 323.437 1.314 20 30 DDEDLO CC(C)(CNC(=O)c1ccccc1CC#N)[NH+]1CCOCC1 ZINC000600943242 358350471 /nfs/dbraw/zinc/35/04/71/358350471.db2.gz VZTXWPSWYVSCIC-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO N#CC1(NC(=O)Cc2nnc[nH]2)CC[NH+](Cc2ccccc2)CC1 ZINC000601411998 358541708 /nfs/dbraw/zinc/54/17/08/358541708.db2.gz CVGWGWNFFVGNPE-UHFFFAOYSA-N 1 2 324.388 1.022 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccccc2CC#N)CC[N@H+]1C ZINC000601385662 358529413 /nfs/dbraw/zinc/52/94/13/358529413.db2.gz RHCSATRBUVPAER-OLZOCXBDSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccccc2CC#N)CC[N@@H+]1C ZINC000601385662 358529414 /nfs/dbraw/zinc/52/94/14/358529414.db2.gz RHCSATRBUVPAER-OLZOCXBDSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCO[C@@H](C(F)F)CC1)C1CC1 ZINC000601978096 358763660 /nfs/dbraw/zinc/76/36/60/358763660.db2.gz XICPOQFYPSTISR-RISCZKNCSA-N 1 2 301.337 1.151 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCO[C@@H](C(F)F)CC1)C1CC1 ZINC000601978096 358763663 /nfs/dbraw/zinc/76/36/63/358763663.db2.gz XICPOQFYPSTISR-RISCZKNCSA-N 1 2 301.337 1.151 20 30 DDEDLO N#Cc1c(F)cccc1C[NH2+][C@@H]1CCN(CC(F)(F)F)C1=O ZINC000602009567 358775247 /nfs/dbraw/zinc/77/52/47/358775247.db2.gz YCOXKHVSLPEKRW-GFCCVEGCSA-N 1 2 315.270 1.950 20 30 DDEDLO C[C@H](C#N)C[N@H+](C)Cc1ccc(OCCN2CCOCC2)cc1 ZINC000602446746 358982416 /nfs/dbraw/zinc/98/24/16/358982416.db2.gz IWVPADIVSRCLOP-MRXNPFEDSA-N 1 2 317.433 1.989 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C)Cc1ccc(OCCN2CCOCC2)cc1 ZINC000602446746 358982420 /nfs/dbraw/zinc/98/24/20/358982420.db2.gz IWVPADIVSRCLOP-MRXNPFEDSA-N 1 2 317.433 1.989 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000602860546 359246130 /nfs/dbraw/zinc/24/61/30/359246130.db2.gz DJWLRWKSGPRSQM-SWLSCSKDSA-N 1 2 305.353 1.617 20 30 DDEDLO N#Cc1ccc(C2CC[NH+](Cc3nnnn3C3CC3)CC2)nc1 ZINC000602913478 359290205 /nfs/dbraw/zinc/29/02/05/359290205.db2.gz VFOMRMASMFQOCQ-UHFFFAOYSA-N 1 2 309.377 1.654 20 30 DDEDLO CCn1nc(C)c(C[N@H+](C)CC(=O)N[C@@](C)(C#N)C2CC2)c1C ZINC000602924166 359297627 /nfs/dbraw/zinc/29/76/27/359297627.db2.gz DUSDVCZQXJWOCX-KRWDZBQOSA-N 1 2 317.437 1.760 20 30 DDEDLO CCn1nc(C)c(C[N@@H+](C)CC(=O)N[C@@](C)(C#N)C2CC2)c1C ZINC000602924166 359297630 /nfs/dbraw/zinc/29/76/30/359297630.db2.gz DUSDVCZQXJWOCX-KRWDZBQOSA-N 1 2 317.437 1.760 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@H+](C)[C@H]2CCC[C@@H]2C#N)CC1 ZINC000602974585 359333478 /nfs/dbraw/zinc/33/34/78/359333478.db2.gz QJKUKCRWLOFCGG-CABCVRRESA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@@H+](C)[C@H]2CCC[C@@H]2C#N)CC1 ZINC000602974585 359333481 /nfs/dbraw/zinc/33/34/81/359333481.db2.gz QJKUKCRWLOFCGG-CABCVRRESA-N 1 2 321.421 1.412 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Nc1ccnc(C#N)c1 ZINC000603124859 359420368 /nfs/dbraw/zinc/42/03/68/359420368.db2.gz DXOQMHMHIXJQFM-IUODEOHRSA-N 1 2 315.377 1.280 20 30 DDEDLO N#Cc1cc(NC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)ccn1 ZINC000603128822 359421319 /nfs/dbraw/zinc/42/13/19/359421319.db2.gz ABVMPJQMPHVMGM-ZDUSSCGKSA-N 1 2 308.345 1.749 20 30 DDEDLO COCC[N@H+](C)Cc1cn(Cc2ccc(F)c(C#N)c2)nn1 ZINC000603199798 359475007 /nfs/dbraw/zinc/47/50/07/359475007.db2.gz JYLHVTZTJXYJAA-UHFFFAOYSA-N 1 2 303.341 1.415 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(Cc2ccc(F)c(C#N)c2)nn1 ZINC000603199798 359475010 /nfs/dbraw/zinc/47/50/10/359475010.db2.gz JYLHVTZTJXYJAA-UHFFFAOYSA-N 1 2 303.341 1.415 20 30 DDEDLO C[C@H](Cn1cncn1)[NH+]=C([O-])N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000329946753 223051203 /nfs/dbraw/zinc/05/12/03/223051203.db2.gz JTPAHDWPBRVBMG-BFHYXJOUSA-N 1 2 317.397 1.360 20 30 DDEDLO C[C@H](Cn1cncn1)NC(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000329946753 223051206 /nfs/dbraw/zinc/05/12/06/223051206.db2.gz JTPAHDWPBRVBMG-BFHYXJOUSA-N 1 2 317.397 1.360 20 30 DDEDLO COCCC1(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)CCC1 ZINC000329954077 223052756 /nfs/dbraw/zinc/05/27/56/223052756.db2.gz OEGHIKWCUOIMDY-KGLIPLIRSA-N 1 2 311.426 1.122 20 30 DDEDLO COCCC1(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)CCC1 ZINC000329954077 223052757 /nfs/dbraw/zinc/05/27/57/223052757.db2.gz OEGHIKWCUOIMDY-KGLIPLIRSA-N 1 2 311.426 1.122 20 30 DDEDLO [O-]C(NC[C@@H]1CCc2[nH+]ccn2C1)=[NH+][C@@H]1CCO[C@@H](C2CC2)C1 ZINC000329959510 223054338 /nfs/dbraw/zinc/05/43/38/223054338.db2.gz QQKRXNTZPPOACG-NWANDNLSSA-N 1 2 318.421 1.907 20 30 DDEDLO [O-]C(N[C@@H]1CCO[C@@H](C2CC2)C1)=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000329959510 223054341 /nfs/dbraw/zinc/05/43/41/223054341.db2.gz QQKRXNTZPPOACG-NWANDNLSSA-N 1 2 318.421 1.907 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)NCCC(C)(C)C)CC1 ZINC000609538961 360321332 /nfs/dbraw/zinc/32/13/32/360321332.db2.gz PMDIEKVRIMTLDF-OAHLLOKOSA-N 1 2 308.470 1.705 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000609538058 360321892 /nfs/dbraw/zinc/32/18/92/360321892.db2.gz KRHAPVQQKLOLML-IXDOHACOSA-N 1 2 320.481 1.657 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[NH+]2CC3(C2)CC(F)(F)C3)c1 ZINC000611180429 360651874 /nfs/dbraw/zinc/65/18/74/360651874.db2.gz HKFOOTYDDBWEDY-UHFFFAOYSA-N 1 2 305.328 1.906 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1cccc(C#N)c1)CC2 ZINC000611790743 360826727 /nfs/dbraw/zinc/82/67/27/360826727.db2.gz ZGSOOIUKYGVTBK-UHFFFAOYSA-N 1 2 302.359 1.268 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NC(=O)C(=O)NCCc1cn(C)c[nH+]1 ZINC000611950589 360867877 /nfs/dbraw/zinc/86/78/77/360867877.db2.gz WFFIVBPWYAVMQR-HIFRSBDPSA-N 1 2 318.421 1.330 20 30 DDEDLO CSC1(CNC([O-])=[NH+][C@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC000330199647 223086060 /nfs/dbraw/zinc/08/60/60/223086060.db2.gz VRRNDFBDRPEBOL-LBPRGKRZSA-N 1 2 324.450 1.614 20 30 DDEDLO CSC1(C[NH+]=C([O-])N[C@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC000330199647 223086063 /nfs/dbraw/zinc/08/60/63/223086063.db2.gz VRRNDFBDRPEBOL-LBPRGKRZSA-N 1 2 324.450 1.614 20 30 DDEDLO C[C@H](CNC(=O)N[C@@H]1CCOC2(CCC2)C1)[NH+]1CCOCC1 ZINC000330224004 223090522 /nfs/dbraw/zinc/09/05/22/223090522.db2.gz SNNVYGBZCHRYFV-ZIAGYGMSSA-N 1 2 311.426 1.312 20 30 DDEDLO C[C@H]1OCC[C@H]1NC(=O)N(CC1CC1)C[C@@H]1C[N@H+](C)CCO1 ZINC000330254896 223095700 /nfs/dbraw/zinc/09/57/00/223095700.db2.gz JPKXHWBQHFXNEM-VHDGCEQUSA-N 1 2 311.426 1.120 20 30 DDEDLO C[C@H]1OCC[C@H]1NC(=O)N(CC1CC1)C[C@@H]1C[N@@H+](C)CCO1 ZINC000330254896 223095703 /nfs/dbraw/zinc/09/57/03/223095703.db2.gz JPKXHWBQHFXNEM-VHDGCEQUSA-N 1 2 311.426 1.120 20 30 DDEDLO C[C@H](C[S@](C)=O)NC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000330831957 223148300 /nfs/dbraw/zinc/14/83/00/223148300.db2.gz JJFTUXYLDAUCAP-FTFVXWMISA-N 1 2 311.407 1.216 20 30 DDEDLO CCOC[C@H](O)CN1CC[NH+]([C@H](C)c2cccc(C#N)c2)CC1 ZINC000612544484 361061193 /nfs/dbraw/zinc/06/11/93/361061193.db2.gz KBSUQKRWZAPPJY-CRAIPNDOSA-N 1 2 317.433 1.634 20 30 DDEDLO C[C@@H]1[N@H+](CC(=O)NC(=O)Nc2ccccc2)CCOC1(C)C ZINC000331061615 223183801 /nfs/dbraw/zinc/18/38/01/223183801.db2.gz UMLZPIDGXBFJQP-LBPRGKRZSA-N 1 2 305.378 1.848 20 30 DDEDLO C[C@@H]1[N@@H+](CC(=O)NC(=O)Nc2ccccc2)CCOC1(C)C ZINC000331061615 223183803 /nfs/dbraw/zinc/18/38/03/223183803.db2.gz UMLZPIDGXBFJQP-LBPRGKRZSA-N 1 2 305.378 1.848 20 30 DDEDLO COc1cc(C(=O)NC(C)(C)C[NH+]2CCOCC2)cc(C)n1 ZINC000331301730 223201160 /nfs/dbraw/zinc/20/11/60/223201160.db2.gz AEJDGZKHHTWMEA-UHFFFAOYSA-N 1 2 307.394 1.814 20 30 DDEDLO N#CC[C@@H]1CC[C@H](NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000333065222 223217103 /nfs/dbraw/zinc/21/71/03/223217103.db2.gz JBGULURQJCXOSH-OFQRWUPVSA-N 1 2 305.422 1.828 20 30 DDEDLO N#CC[C@@H]1CC[C@H](NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000333065222 223217105 /nfs/dbraw/zinc/21/71/05/223217105.db2.gz JBGULURQJCXOSH-OFQRWUPVSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)c2ccnc(C#N)c2)CC1 ZINC000193272192 201018190 /nfs/dbraw/zinc/01/81/90/201018190.db2.gz CHBMJPBWMKWMCL-AWEZNQCLSA-N 1 2 321.384 1.867 20 30 DDEDLO Cc1c(-c2cc(C[NH+]3CCN(CCC#N)CC3)on2)cnn1C ZINC000613449893 361424777 /nfs/dbraw/zinc/42/47/77/361424777.db2.gz HKXTYTUXYBHRFZ-UHFFFAOYSA-N 1 2 314.393 1.415 20 30 DDEDLO C=C[C@@H]([NH2+]C1CCC(Oc2ncccc2C#N)CC1)C(=O)OC ZINC000619702562 364121482 /nfs/dbraw/zinc/12/14/82/364121482.db2.gz HKPSKYOFSMWOQN-YMAMQOFZSA-N 1 2 315.373 1.960 20 30 DDEDLO C=C[C@H]([NH2+]C[C@@H]1CC(=O)N(Cc2ccccc2)C1)C(=O)OC ZINC000619703241 364121649 /nfs/dbraw/zinc/12/16/49/364121649.db2.gz IGTRPYUZJHOMKQ-GJZGRUSLSA-N 1 2 302.374 1.352 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@@H](C)[N@@H+]2Cc2ccnc(C#N)c2)O1 ZINC000619785086 364150351 /nfs/dbraw/zinc/15/03/51/364150351.db2.gz WLZOFIWRJQEKPQ-MPESAESLSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@@H](C)[N@H+]2Cc2ccnc(C#N)c2)O1 ZINC000619785086 364150357 /nfs/dbraw/zinc/15/03/57/364150357.db2.gz WLZOFIWRJQEKPQ-MPESAESLSA-N 1 2 315.373 1.637 20 30 DDEDLO Cc1noc([C@H]2C[C@@H](O)C[N@@H+]2CCOc2ccc(C#N)cc2)n1 ZINC000275303693 212260083 /nfs/dbraw/zinc/26/00/83/212260083.db2.gz NMOPZOXPKKKGJV-UKRRQHHQSA-N 1 2 314.345 1.436 20 30 DDEDLO Cc1noc([C@H]2C[C@@H](O)C[N@H+]2CCOc2ccc(C#N)cc2)n1 ZINC000275303693 212260087 /nfs/dbraw/zinc/26/00/87/212260087.db2.gz NMOPZOXPKKKGJV-UKRRQHHQSA-N 1 2 314.345 1.436 20 30 DDEDLO Cc1ccc([C@@H](C[NH+]2CCOCC2)NC(=O)[C@H](C)C#N)cc1 ZINC000347061194 223365679 /nfs/dbraw/zinc/36/56/79/223365679.db2.gz DLPNFQNJDWTGTE-GDBMZVCRSA-N 1 2 301.390 1.644 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@H](C)C(=O)NC2(C#N)CCCCC2)n1 ZINC000348100498 223379553 /nfs/dbraw/zinc/37/95/53/223379553.db2.gz ZRBSWBSIFHQTEF-GHMZBOCLSA-N 1 2 305.382 1.760 20 30 DDEDLO C#CCCNC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1F ZINC000265029619 204354829 /nfs/dbraw/zinc/35/48/29/204354829.db2.gz FZFIRACVLNYDJL-CQSZACIVSA-N 1 2 300.337 1.971 20 30 DDEDLO N#C[C@H]1CN(C(=O)Cc2cn3ccccc3[nH+]2)CCN1C1CC1 ZINC000370974187 223637078 /nfs/dbraw/zinc/63/70/78/223637078.db2.gz SDMQRSZLQCGPLD-HNNXBMFYSA-N 1 2 309.373 1.076 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2c(Cl)cccc2C#N)CC1 ZINC000266470971 205370548 /nfs/dbraw/zinc/37/05/48/205370548.db2.gz ZWZNCZRYQPGUJC-UHFFFAOYSA-N 1 2 306.797 1.788 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)Nc2ccc(F)cc2C#N)C1 ZINC000267689075 206219384 /nfs/dbraw/zinc/21/93/84/206219384.db2.gz YAZXOAIDVNMFGI-CYBMUJFWSA-N 1 2 305.357 1.065 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)Nc2ccc(F)cc2C#N)C1 ZINC000267689075 206219387 /nfs/dbraw/zinc/21/93/87/206219387.db2.gz YAZXOAIDVNMFGI-CYBMUJFWSA-N 1 2 305.357 1.065 20 30 DDEDLO CC(C)c1[nH+]c2c(n1C)CCN([C@@H](C)C(=O)N(C)CCC#N)C2 ZINC000106371715 194202110 /nfs/dbraw/zinc/20/21/10/194202110.db2.gz NLLCJFPJXNLXCT-ZDUSSCGKSA-N 1 2 317.437 1.662 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)nc1 ZINC000110953976 194373015 /nfs/dbraw/zinc/37/30/15/194373015.db2.gz NYDBOGGPJDYJCQ-OAHLLOKOSA-N 1 2 314.389 1.280 20 30 DDEDLO N#Cc1cccc(CC[NH2+][C@H](c2nnc[nH]2)c2cccnc2)c1 ZINC000340534925 251100812 /nfs/dbraw/zinc/10/08/12/251100812.db2.gz TXTPFBBDFVGRSM-INIZCTEOSA-N 1 2 304.357 1.993 20 30 DDEDLO COC1(CNC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)CCCCC1 ZINC000330095349 532991280 /nfs/dbraw/zinc/99/12/80/532991280.db2.gz VHZMAKJWUAYLCM-AWEZNQCLSA-N 1 2 312.458 1.085 20 30 DDEDLO COC1(CNC(=O)NC[C@H]2CN(C)CC[N@H+]2C)CCCCC1 ZINC000330095349 532991282 /nfs/dbraw/zinc/99/12/82/532991282.db2.gz VHZMAKJWUAYLCM-AWEZNQCLSA-N 1 2 312.458 1.085 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@H](C)O[C@@]2(CCCOC2)C1 ZINC000366581867 285040068 /nfs/dbraw/zinc/04/00/68/285040068.db2.gz UUVZBXTYAVFNSW-VYDXJSESSA-N 1 2 323.437 1.264 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@H](C)O[C@@]2(CCCOC2)C1 ZINC000366581867 285040069 /nfs/dbraw/zinc/04/00/69/285040069.db2.gz UUVZBXTYAVFNSW-VYDXJSESSA-N 1 2 323.437 1.264 20 30 DDEDLO C[C@@H](CC(=O)N1CCN(c2ccc(C#N)cn2)CC1)n1cc[nH+]c1 ZINC000567998700 304261090 /nfs/dbraw/zinc/26/10/90/304261090.db2.gz XUDGZYRFODVRNC-AWEZNQCLSA-N 1 2 324.388 1.450 20 30 DDEDLO COc1ccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cn1 ZINC000155553221 197065380 /nfs/dbraw/zinc/06/53/80/197065380.db2.gz VQDOLSJHTLNDJS-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO COc1ccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cn1 ZINC000155553221 197065381 /nfs/dbraw/zinc/06/53/81/197065381.db2.gz VQDOLSJHTLNDJS-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(Cc2cscn2)CC1 ZINC000330418487 533173817 /nfs/dbraw/zinc/17/38/17/533173817.db2.gz DODLBEORIDHRHB-ZDUSSCGKSA-N 1 2 321.450 1.021 20 30 DDEDLO Cc1cc(N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c(C#N)c[nH+]1 ZINC000521395864 257241185 /nfs/dbraw/zinc/24/11/85/257241185.db2.gz KLODMLZKIGBRBL-CYBMUJFWSA-N 1 2 308.407 1.122 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(CC#N)cc1)[C@H](C)[NH+]1CCOCC1 ZINC000330491540 533287351 /nfs/dbraw/zinc/28/73/51/533287351.db2.gz PDOQSANDZMGORM-KGLIPLIRSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@@H](CC#N)[N@H+](C)C[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419235153 533395694 /nfs/dbraw/zinc/39/56/94/533395694.db2.gz MSFGWDBMAQHZPG-GXTWGEPZSA-N 1 2 317.389 1.545 20 30 DDEDLO C[C@@H](CC#N)[N@@H+](C)C[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419235153 533395700 /nfs/dbraw/zinc/39/57/00/533395700.db2.gz MSFGWDBMAQHZPG-GXTWGEPZSA-N 1 2 317.389 1.545 20 30 DDEDLO CCc1nocc1C[NH+]1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000569600010 304367762 /nfs/dbraw/zinc/36/77/62/304367762.db2.gz SQAAZXGJEUJRBI-UHFFFAOYSA-N 1 2 314.393 1.473 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)NCc1ccc(C#N)cc1F ZINC000170936118 407561306 /nfs/dbraw/zinc/56/13/06/407561306.db2.gz BZJWHTZQDSKPLQ-UHFFFAOYSA-N 1 2 320.368 1.171 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000007437004 406759336 /nfs/dbraw/zinc/75/93/36/406759336.db2.gz CRCYJBOSZIKCFF-CQSZACIVSA-N 1 2 315.373 1.772 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000007437004 406759341 /nfs/dbraw/zinc/75/93/41/406759341.db2.gz CRCYJBOSZIKCFF-CQSZACIVSA-N 1 2 315.373 1.772 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N2CC[NH+](C3CC3)CC2)ccc1F ZINC000071140765 406832583 /nfs/dbraw/zinc/83/25/83/406832583.db2.gz BQLLLLJQGXZPKT-UHFFFAOYSA-N 1 2 309.366 1.166 20 30 DDEDLO CN1CC[NH+](Cc2cccc(NC(=O)CSCC#N)c2)CC1 ZINC000057055613 407212280 /nfs/dbraw/zinc/21/22/80/407212280.db2.gz PJAJNRRPVJKZJL-UHFFFAOYSA-N 1 2 318.446 1.629 20 30 DDEDLO CC[N@@H+](CC(=O)NCCCN1CCCCCC1=O)C[C@@H](C)C#N ZINC000064249006 407237198 /nfs/dbraw/zinc/23/71/98/407237198.db2.gz UXZGZTZSMYAMRE-HNNXBMFYSA-N 1 2 322.453 1.377 20 30 DDEDLO CC[N@H+](CC(=O)NCCCN1CCCCCC1=O)C[C@@H](C)C#N ZINC000064249006 407237199 /nfs/dbraw/zinc/23/71/99/407237199.db2.gz UXZGZTZSMYAMRE-HNNXBMFYSA-N 1 2 322.453 1.377 20 30 DDEDLO N#CCNC(=O)C[NH+]1CCC(c2ncc(C(F)(F)F)[nH]2)CC1 ZINC000125545103 407390914 /nfs/dbraw/zinc/39/09/14/407390914.db2.gz VHZVVUSKEFUEHW-UHFFFAOYSA-N 1 2 315.299 1.248 20 30 DDEDLO CCN(CCC#N)C(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000271004464 407464741 /nfs/dbraw/zinc/46/47/41/407464741.db2.gz HXSSJSKEYOOBLP-UHFFFAOYSA-N 1 2 301.390 1.895 20 30 DDEDLO N#CC1(C(=O)NC[C@H]2C[N@H+](Cc3ccccc3)CCO2)CCC1 ZINC000128222103 407509370 /nfs/dbraw/zinc/50/93/70/407509370.db2.gz DEVUMXYNXWGODX-INIZCTEOSA-N 1 2 313.401 1.697 20 30 DDEDLO N#CC1(C(=O)NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)CCC1 ZINC000128222103 407509376 /nfs/dbraw/zinc/50/93/76/407509376.db2.gz DEVUMXYNXWGODX-INIZCTEOSA-N 1 2 313.401 1.697 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)CSCc1cn2cc(C)ccc2[nH+]1 ZINC000185905247 407536664 /nfs/dbraw/zinc/53/66/64/407536664.db2.gz BLVZAAHQIRNWMA-ZDUSSCGKSA-N 1 2 319.430 1.929 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CC[C@@H](CN2CCOCC2)C1 ZINC000129409646 407609887 /nfs/dbraw/zinc/60/98/87/407609887.db2.gz WSZNHEJKNXXTQE-AWEZNQCLSA-N 1 2 303.381 1.851 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CC[C@@H](CN2CCOCC2)C1 ZINC000129409646 407609894 /nfs/dbraw/zinc/60/98/94/407609894.db2.gz WSZNHEJKNXXTQE-AWEZNQCLSA-N 1 2 303.381 1.851 20 30 DDEDLO C=CCOc1ccccc1C(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000115164698 407655769 /nfs/dbraw/zinc/65/57/69/407655769.db2.gz JPJQVFHSLSMUGX-HNNXBMFYSA-N 1 2 316.401 1.844 20 30 DDEDLO C=CCOc1ccccc1C(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000115164698 407655774 /nfs/dbraw/zinc/65/57/74/407655774.db2.gz JPJQVFHSLSMUGX-HNNXBMFYSA-N 1 2 316.401 1.844 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCc2c(F)cccc2C1)C1CC1 ZINC000152899119 407712893 /nfs/dbraw/zinc/71/28/93/407712893.db2.gz BEYAXSVHOQABPZ-KRWDZBQOSA-N 1 2 301.365 1.992 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCc2c(F)cccc2C1)C1CC1 ZINC000152899119 407712900 /nfs/dbraw/zinc/71/29/00/407712900.db2.gz BEYAXSVHOQABPZ-KRWDZBQOSA-N 1 2 301.365 1.992 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3cccs3)CC2)cn1 ZINC000115319426 407664918 /nfs/dbraw/zinc/66/49/18/407664918.db2.gz QUIKYUIGPGFMNU-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@@H]1CCCCO1 ZINC000267101411 407750706 /nfs/dbraw/zinc/75/07/06/407750706.db2.gz UIKRIOGCMMJUMR-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@@H]1CCCCO1 ZINC000267101411 407750711 /nfs/dbraw/zinc/75/07/11/407750711.db2.gz UIKRIOGCMMJUMR-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO N#CCN1CC[NH+](Cc2c(C3CC3)nc3sccn23)CC1 ZINC000180009592 407843937 /nfs/dbraw/zinc/84/39/37/407843937.db2.gz IXFDBMVCBRAHGL-UHFFFAOYSA-N 1 2 301.419 1.914 20 30 DDEDLO CCNC(=O)C[N@H+](CC)[C@@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000133468890 407847326 /nfs/dbraw/zinc/84/73/26/407847326.db2.gz RMYZGJBNBXBIBI-NSHDSACASA-N 1 2 320.393 1.553 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)[C@@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000133468890 407847332 /nfs/dbraw/zinc/84/73/32/407847332.db2.gz RMYZGJBNBXBIBI-NSHDSACASA-N 1 2 320.393 1.553 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)NC(=O)NCC(F)(F)F)CC1 ZINC000272488955 407945225 /nfs/dbraw/zinc/94/52/25/407945225.db2.gz BXEKKHABUFUDJC-UHFFFAOYSA-N 1 2 306.288 1.000 20 30 DDEDLO CN(C(=O)C[NH+]1CCN([C@H](C#N)c2ccccc2)CC1)C1CC1 ZINC000174574061 407966627 /nfs/dbraw/zinc/96/66/27/407966627.db2.gz HLZFAOYUYSFQCL-QGZVFWFLSA-N 1 2 312.417 1.490 20 30 DDEDLO C#CCSCCNC(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000153835830 407914675 /nfs/dbraw/zinc/91/46/75/407914675.db2.gz HOTABULVRFTKNO-UONOGXRCSA-N 1 2 306.435 1.842 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@@H+]3CCOC4(CCC4)C3)C2=O)cc1 ZINC000154282363 408012950 /nfs/dbraw/zinc/01/29/50/408012950.db2.gz NMNBXJUAOQCQEJ-MRXNPFEDSA-N 1 2 311.385 1.918 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@H+]3CCOC4(CCC4)C3)C2=O)cc1 ZINC000154282363 408012957 /nfs/dbraw/zinc/01/29/57/408012957.db2.gz NMNBXJUAOQCQEJ-MRXNPFEDSA-N 1 2 311.385 1.918 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000268477457 408061657 /nfs/dbraw/zinc/06/16/57/408061657.db2.gz NLSJEWKUCNHUCH-ZFWWWQNUSA-N 1 2 317.437 1.882 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000268477457 408061663 /nfs/dbraw/zinc/06/16/63/408061663.db2.gz NLSJEWKUCNHUCH-ZFWWWQNUSA-N 1 2 317.437 1.882 20 30 DDEDLO C=CCCOCC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000144365918 408183602 /nfs/dbraw/zinc/18/36/02/408183602.db2.gz BIGYUKDOZDXOGH-UHFFFAOYSA-N 1 2 305.378 1.127 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)[C@H]2C1 ZINC000157942576 408320994 /nfs/dbraw/zinc/32/09/94/408320994.db2.gz GLQCNEAMKNYPGB-WBTNSWJXSA-N 1 2 321.421 1.063 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)[C@H]2C1 ZINC000157942576 408320998 /nfs/dbraw/zinc/32/09/98/408320998.db2.gz GLQCNEAMKNYPGB-WBTNSWJXSA-N 1 2 321.421 1.063 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@H]1CCCO1 ZINC000176245580 408321197 /nfs/dbraw/zinc/32/11/97/408321197.db2.gz MTMPIGUVPPAPMQ-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@H]1CCCO1 ZINC000176245580 408321201 /nfs/dbraw/zinc/32/12/01/408321201.db2.gz MTMPIGUVPPAPMQ-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCC[N@H+]1CC(=O)N(CC)C[C@H](C)C#N ZINC000246768386 408335679 /nfs/dbraw/zinc/33/56/79/408335679.db2.gz OKGAGQWMECTMAK-CABCVRRESA-N 1 2 322.453 1.327 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCC[N@@H+]1CC(=O)N(CC)C[C@H](C)C#N ZINC000246768386 408335681 /nfs/dbraw/zinc/33/56/81/408335681.db2.gz OKGAGQWMECTMAK-CABCVRRESA-N 1 2 322.453 1.327 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)COc1ccc(C#N)cc1 ZINC000263990231 408337747 /nfs/dbraw/zinc/33/77/47/408337747.db2.gz JQMWMZQPNZSZFN-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)COc1ccc(C#N)cc1 ZINC000263990231 408337751 /nfs/dbraw/zinc/33/77/51/408337751.db2.gz JQMWMZQPNZSZFN-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO COC[C@@H](C)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000264045033 408351237 /nfs/dbraw/zinc/35/12/37/408351237.db2.gz TZTXEYOKHKHZGP-CQSZACIVSA-N 1 2 301.390 1.485 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(Cc3nccc(N)n3)CC2)cc1 ZINC000269615942 408362012 /nfs/dbraw/zinc/36/20/12/408362012.db2.gz CRBQDBVWYAMSQV-UHFFFAOYSA-N 1 2 308.389 1.248 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)OC ZINC000191183490 408383004 /nfs/dbraw/zinc/38/30/04/408383004.db2.gz OWKUYQSQESXGMN-OFQRWUPVSA-N 1 2 308.422 1.685 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)OC ZINC000191183490 408383007 /nfs/dbraw/zinc/38/30/07/408383007.db2.gz OWKUYQSQESXGMN-OFQRWUPVSA-N 1 2 308.422 1.685 20 30 DDEDLO Cc1ncsc1C[N@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000247281646 408444991 /nfs/dbraw/zinc/44/49/91/408444991.db2.gz YPEJBGJRYCRJMN-LLVKDONJSA-N 1 2 314.418 1.824 20 30 DDEDLO Cc1ncsc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000247281646 408444995 /nfs/dbraw/zinc/44/49/95/408444995.db2.gz YPEJBGJRYCRJMN-LLVKDONJSA-N 1 2 314.418 1.824 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2C[N@@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000248806973 408638778 /nfs/dbraw/zinc/63/87/78/408638778.db2.gz GZZLYEIKABZPIF-LGWLGOQQSA-N 1 2 321.421 1.314 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2C[N@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000248806973 408638782 /nfs/dbraw/zinc/63/87/82/408638782.db2.gz GZZLYEIKABZPIF-LGWLGOQQSA-N 1 2 321.421 1.314 20 30 DDEDLO CO[C@H](C)c1noc(CO[NH+]=C(N)Cc2csc(C)n2)n1 ZINC000177560382 408656303 /nfs/dbraw/zinc/65/63/03/408656303.db2.gz ATVBXUAILSVIJN-SSDOTTSWSA-N 1 2 311.367 1.573 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@@H]1C ZINC000249062796 408682157 /nfs/dbraw/zinc/68/21/57/408682157.db2.gz JTIAUAIJKDJWBC-SWLSCSKDSA-N 1 2 315.373 1.628 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@@H]1C ZINC000249062796 408682163 /nfs/dbraw/zinc/68/21/63/408682163.db2.gz JTIAUAIJKDJWBC-SWLSCSKDSA-N 1 2 315.373 1.628 20 30 DDEDLO CC(C)CO[C@H](C)C(N)=[NH+]OCC(=O)N(C)Cc1ccco1 ZINC000178186914 408784016 /nfs/dbraw/zinc/78/40/16/408784016.db2.gz WTPVEVIVXHQALC-GFCCVEGCSA-N 1 2 311.382 1.588 20 30 DDEDLO Cn1ncnc1CO[NH+]=C(N)c1ccc(N2CCCCC2)nc1 ZINC000178308781 408803440 /nfs/dbraw/zinc/80/34/40/408803440.db2.gz DXWVSPRWCUWOJK-UHFFFAOYSA-N 1 2 315.381 1.038 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+](C)[C@@H]1CCN(CC=C)C1=O ZINC000281251826 408877349 /nfs/dbraw/zinc/87/73/49/408877349.db2.gz GVPSJOUJPCLZBU-HUUCEWRRSA-N 1 2 305.422 1.294 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+](C)[C@@H]1CCN(CC=C)C1=O ZINC000281251826 408877352 /nfs/dbraw/zinc/87/73/52/408877352.db2.gz GVPSJOUJPCLZBU-HUUCEWRRSA-N 1 2 305.422 1.294 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+](C)[C@H]1CCN(CC=C)C1=O ZINC000281251823 408877487 /nfs/dbraw/zinc/87/74/87/408877487.db2.gz GVPSJOUJPCLZBU-GJZGRUSLSA-N 1 2 305.422 1.294 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+](C)[C@H]1CCN(CC=C)C1=O ZINC000281251823 408877490 /nfs/dbraw/zinc/87/74/90/408877490.db2.gz GVPSJOUJPCLZBU-GJZGRUSLSA-N 1 2 305.422 1.294 20 30 DDEDLO C=C[C@](C)(CC)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000276223581 408826300 /nfs/dbraw/zinc/82/63/00/408826300.db2.gz YARLJDYQOWIACL-MRXNPFEDSA-N 1 2 306.410 1.756 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000291058406 408857648 /nfs/dbraw/zinc/85/76/48/408857648.db2.gz MVBGMIFOSSDFQF-QAPCUYQASA-N 1 2 312.413 1.532 20 30 DDEDLO C=CCN1CC[C@H](N(C)c2nc(C)[nH+]c3c2CCCC3)C1=O ZINC000281925526 408955301 /nfs/dbraw/zinc/95/53/01/408955301.db2.gz QPJYWHWVFPXGMR-HNNXBMFYSA-N 1 2 300.406 1.887 20 30 DDEDLO COc1cc(C[N@H+](CCS(C)(=O)=O)C2CC2)ccc1C#N ZINC000282277032 409025112 /nfs/dbraw/zinc/02/51/12/409025112.db2.gz KURWFJGIQHASQY-UHFFFAOYSA-N 1 2 308.403 1.576 20 30 DDEDLO COc1cc(C[N@@H+](CCS(C)(=O)=O)C2CC2)ccc1C#N ZINC000282277032 409025114 /nfs/dbraw/zinc/02/51/14/409025114.db2.gz KURWFJGIQHASQY-UHFFFAOYSA-N 1 2 308.403 1.576 20 30 DDEDLO CCOC(=O)N1CC[NH+](Cc2ccc(C#N)c(OC)c2)CC1 ZINC000282350170 409039151 /nfs/dbraw/zinc/03/91/51/409039151.db2.gz PHFRZJNKLLPGBX-UHFFFAOYSA-N 1 2 303.362 1.841 20 30 DDEDLO C=CCC(F)(F)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000292672577 409039590 /nfs/dbraw/zinc/03/95/90/409039590.db2.gz DCHLVQFODJMIME-UHFFFAOYSA-N 1 2 311.332 1.746 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc([N+](=O)[O-])c(F)c2)C1=O ZINC000282589352 409058410 /nfs/dbraw/zinc/05/84/10/409058410.db2.gz WVVWLWDGQMYAJZ-CQSZACIVSA-N 1 2 307.325 1.953 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc([N+](=O)[O-])c(F)c2)C1=O ZINC000282589352 409058413 /nfs/dbraw/zinc/05/84/13/409058413.db2.gz WVVWLWDGQMYAJZ-CQSZACIVSA-N 1 2 307.325 1.953 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2CCC[C@H](O)CC2)c(C#N)c1C ZINC000293325077 409090395 /nfs/dbraw/zinc/09/03/95/409090395.db2.gz XQPWJYOWXIOXRE-YPMHNXCESA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2CCC[C@H](O)CC2)c(C#N)c1C ZINC000293325077 409090396 /nfs/dbraw/zinc/09/03/96/409090396.db2.gz XQPWJYOWXIOXRE-YPMHNXCESA-N 1 2 305.378 1.942 20 30 DDEDLO COc1ccccc1N1CC[C@@H](O[NH+]=C(N)[C@H]2CCCO2)C1=O ZINC000283760998 409211346 /nfs/dbraw/zinc/21/13/46/409211346.db2.gz AZJOZGDNRNOOEN-ZIAGYGMSSA-N 1 2 319.361 1.268 20 30 DDEDLO CCOc1ccccc1C[N@@H+]1CCN(C(=O)/C=N/OC)[C@H](C)C1 ZINC000289573162 409282941 /nfs/dbraw/zinc/28/29/41/409282941.db2.gz ULRDQKPBOLIYCA-XWAFYUISSA-N 1 2 319.405 1.750 20 30 DDEDLO CCOc1ccccc1C[N@H+]1CCN(C(=O)/C=N/OC)[C@H](C)C1 ZINC000289573162 409282945 /nfs/dbraw/zinc/28/29/45/409282945.db2.gz ULRDQKPBOLIYCA-XWAFYUISSA-N 1 2 319.405 1.750 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000290019154 409291990 /nfs/dbraw/zinc/29/19/90/409291990.db2.gz VASIPVFZPPBGCS-DZGCQCFKSA-N 1 2 323.828 1.838 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000290019154 409291992 /nfs/dbraw/zinc/29/19/92/409291992.db2.gz VASIPVFZPPBGCS-DZGCQCFKSA-N 1 2 323.828 1.838 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCO3)n2CC(=C)C)CC1 ZINC000295841884 409373654 /nfs/dbraw/zinc/37/36/54/409373654.db2.gz BQSYLISHGODQNI-HNNXBMFYSA-N 1 2 315.421 1.461 20 30 DDEDLO NC(=[NH+]O[C@H]1CCN(c2cccc(Cl)c2)C1=O)[C@H]1CCCO1 ZINC000284487022 409346118 /nfs/dbraw/zinc/34/61/18/409346118.db2.gz SEUODNFFIUPSDB-OLZOCXBDSA-N 1 2 323.780 1.913 20 30 DDEDLO C[NH+](C)CCn1nccc1NS(=O)(=O)c1cccc(C#N)c1 ZINC000295159073 409348534 /nfs/dbraw/zinc/34/85/34/409348534.db2.gz DBSALBGPFULSCD-UHFFFAOYSA-N 1 2 319.390 1.117 20 30 DDEDLO C=CCc1ccc(OC[C@@H](O)C[NH+]2CC(OCC)C2)c(OC)c1 ZINC000296166280 409451153 /nfs/dbraw/zinc/45/11/53/409451153.db2.gz NIROSJWDCSVEPF-HNNXBMFYSA-N 1 2 321.417 1.884 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCC(n3cc[nH+]c3)CC2)CCCC1 ZINC000354080679 409635503 /nfs/dbraw/zinc/63/55/03/409635503.db2.gz WTCIVTDAXRFAPT-UHFFFAOYSA-N 1 2 322.434 1.934 20 30 DDEDLO C[N@@H+]1CCN(CCS(=O)(=O)c2cccc(C#N)c2)CC1(C)C ZINC000316762914 409856133 /nfs/dbraw/zinc/85/61/33/409856133.db2.gz VTADVYKYVOGVKP-UHFFFAOYSA-N 1 2 321.446 1.358 20 30 DDEDLO C[N@H+]1CCN(CCS(=O)(=O)c2cccc(C#N)c2)CC1(C)C ZINC000316762914 409856143 /nfs/dbraw/zinc/85/61/43/409856143.db2.gz VTADVYKYVOGVKP-UHFFFAOYSA-N 1 2 321.446 1.358 20 30 DDEDLO Cc1onc(CC(=O)N2CCN(c3cccc[nH+]3)CC2)c1C#N ZINC000332143244 409924043 /nfs/dbraw/zinc/92/40/43/409924043.db2.gz NXRQBZKYVHREMI-UHFFFAOYSA-N 1 2 311.345 1.141 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)c2ncoc2C2CC2)CC1 ZINC000328706037 409960462 /nfs/dbraw/zinc/96/04/62/409960462.db2.gz AGISLYXKNKSTOX-UHFFFAOYSA-N 1 2 306.366 1.021 20 30 DDEDLO CC[C@H](C(=O)N[C@@H]1CCN(C(N)=O)C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000328745364 409969531 /nfs/dbraw/zinc/96/95/31/409969531.db2.gz ACUOTDOSVSUDSU-JHJVBQTASA-N 1 2 312.414 1.399 20 30 DDEDLO CC[C@H](C(=O)N[C@@H]1CCN(C(N)=O)C1)[N@H+]1CCO[C@H](CC)C1 ZINC000328745364 409969536 /nfs/dbraw/zinc/96/95/36/409969536.db2.gz ACUOTDOSVSUDSU-JHJVBQTASA-N 1 2 312.414 1.399 20 30 DDEDLO C=CCN(C)C(=O)[C@H]1CCC(=O)N(C2CC2)[C@@H]1c1c[nH+]cn1C ZINC000346495046 409990063 /nfs/dbraw/zinc/99/00/63/409990063.db2.gz SYSWWAURXUTSJX-BBRMVZONSA-N 1 2 316.405 1.507 20 30 DDEDLO CSC[C@H](C)NC(=O)N(CC1CC1)C[C@H]1C[N@H+](C)CCO1 ZINC000328630932 409942234 /nfs/dbraw/zinc/94/22/34/409942234.db2.gz JXHHVVVYZFXKDR-GXTWGEPZSA-N 1 2 315.483 1.695 20 30 DDEDLO CSC[C@H](C)NC(=O)N(CC1CC1)C[C@H]1C[N@@H+](C)CCO1 ZINC000328630932 409942241 /nfs/dbraw/zinc/94/22/41/409942241.db2.gz JXHHVVVYZFXKDR-GXTWGEPZSA-N 1 2 315.483 1.695 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)[C@@H]1CCc2n[nH]nc2C1 ZINC000329001902 410046245 /nfs/dbraw/zinc/04/62/45/410046245.db2.gz WDGMMILBDFKUPA-LLVKDONJSA-N 1 2 314.393 1.642 20 30 DDEDLO CC(=O)NC1(C(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)CCCCC1 ZINC000328892479 410002380 /nfs/dbraw/zinc/00/23/80/410002380.db2.gz TYGJISJPXZIBOK-CABCVRRESA-N 1 2 323.437 1.597 20 30 DDEDLO CC(=O)NC1(C(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)CCCCC1 ZINC000328892479 410002388 /nfs/dbraw/zinc/00/23/88/410002388.db2.gz TYGJISJPXZIBOK-CABCVRRESA-N 1 2 323.437 1.597 20 30 DDEDLO C=CCOCC(=O)N(CC[NH+]1CCOCC1)c1ccccc1 ZINC000354772701 410074889 /nfs/dbraw/zinc/07/48/89/410074889.db2.gz NYGPRHCHKRNAIE-UHFFFAOYSA-N 1 2 304.390 1.554 20 30 DDEDLO C[C@@H]1CCN(C([O-])=[NH+]Cc2ccn(C)n2)C[C@H]1n1cc[nH+]c1 ZINC000329055115 410076782 /nfs/dbraw/zinc/07/67/82/410076782.db2.gz SHEQWZWTBRJGOS-TZMCWYRMSA-N 1 2 302.382 1.614 20 30 DDEDLO C[C@@H]1CCN(C(=O)NCc2ccn(C)n2)C[C@H]1n1cc[nH+]c1 ZINC000329055115 410076793 /nfs/dbraw/zinc/07/67/93/410076793.db2.gz SHEQWZWTBRJGOS-TZMCWYRMSA-N 1 2 302.382 1.614 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)[C@@H]3CCC(=O)N3)c[nH+]2)CCO1 ZINC000329164509 410139297 /nfs/dbraw/zinc/13/92/97/410139297.db2.gz GVMIMWMTROSSEK-YPMHNXCESA-N 1 2 318.377 1.882 20 30 DDEDLO CC[C@H]1CN(C(=O)NCC(C)(C)[NH+]2CCOCC2)CCCO1 ZINC000329198029 410162303 /nfs/dbraw/zinc/16/23/03/410162303.db2.gz AGDAUAHWCJTZOT-AWEZNQCLSA-N 1 2 313.442 1.512 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)N1CCC[C@H]1CO)C1CCOCC1 ZINC000329336887 410239483 /nfs/dbraw/zinc/23/94/83/410239483.db2.gz MEPHWWQQNQBCDC-KBPBESRZSA-N 1 2 322.409 1.259 20 30 DDEDLO O=C(Cc1cn2ccccc2[nH+]1)N[C@H]1CCCS(=O)(=O)C1 ZINC000329352058 410249211 /nfs/dbraw/zinc/24/92/11/410249211.db2.gz UKRHSHLRCFKLEO-NSHDSACASA-N 1 2 307.375 1.411 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1CC[NH+](CC(=O)Nc2ccccc2)CC1 ZINC000357812022 410262308 /nfs/dbraw/zinc/26/23/08/410262308.db2.gz YSPBZTLZGAYRKS-ZDUSSCGKSA-N 1 2 314.389 1.365 20 30 DDEDLO CC(=O)N1CC[C@H](NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000329387573 410273188 /nfs/dbraw/zinc/27/31/88/410273188.db2.gz RAEDOGCRZKVMTK-ZFWWWQNUSA-N 1 2 309.410 1.207 20 30 DDEDLO CC(=O)N1CC[C@H](NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000329387573 410273191 /nfs/dbraw/zinc/27/31/91/410273191.db2.gz RAEDOGCRZKVMTK-ZFWWWQNUSA-N 1 2 309.410 1.207 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)CCNC(=O)N(C)C ZINC000355059211 410277313 /nfs/dbraw/zinc/27/73/13/410277313.db2.gz DEPZBKQQMPKXPD-LBPRGKRZSA-N 1 2 317.393 1.088 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)CCNC(=O)N(C)C ZINC000355059211 410277316 /nfs/dbraw/zinc/27/73/16/410277316.db2.gz DEPZBKQQMPKXPD-LBPRGKRZSA-N 1 2 317.393 1.088 20 30 DDEDLO CN1CN(C(=O)Nc2nc(C[NH+]3CCCCC3)cs2)CC1=O ZINC000329306040 410223422 /nfs/dbraw/zinc/22/34/22/410223422.db2.gz PBNWPDZTPOYPFE-UHFFFAOYSA-N 1 2 323.422 1.406 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)Cc2ccc(C#N)cc2)CC1 ZINC000357759851 410232342 /nfs/dbraw/zinc/23/23/42/410232342.db2.gz XSVQTEKEZAUWBL-UHFFFAOYSA-N 1 2 323.400 1.179 20 30 DDEDLO Cc1ccc(NC(=O)NC[C@H]2CCCCS2(=O)=O)c(C)[nH+]1 ZINC000329503480 410333583 /nfs/dbraw/zinc/33/35/83/410333583.db2.gz GUGVWBIYKADLDM-GFCCVEGCSA-N 1 2 311.407 1.992 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)CSCc1ccc(C#N)cc1 ZINC000343314559 410343550 /nfs/dbraw/zinc/34/35/50/410343550.db2.gz WLWDBROZZSMKOE-UHFFFAOYSA-N 1 2 300.387 1.841 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CCC[C@@H]2C2CCOCC2)C1 ZINC000329418930 410288984 /nfs/dbraw/zinc/28/89/84/410288984.db2.gz DUOBXABGUXPRNN-HUUCEWRRSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CCC[C@@H]2C2CCOCC2)C1 ZINC000329418930 410288991 /nfs/dbraw/zinc/28/89/91/410288991.db2.gz DUOBXABGUXPRNN-HUUCEWRRSA-N 1 2 311.426 1.122 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](N3CCn4cc[nH+]c4C3)C2=O)cc1 ZINC000329421964 410289983 /nfs/dbraw/zinc/28/99/83/410289983.db2.gz HHTMHGFJHYDQHZ-INIZCTEOSA-N 1 2 321.384 1.766 20 30 DDEDLO COc1c(O)cccc1C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355437513 410514550 /nfs/dbraw/zinc/51/45/50/410514550.db2.gz CDMKHYZHVXSYII-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO COC1CC([N@H+](C)CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000352229895 410566589 /nfs/dbraw/zinc/56/65/89/410566589.db2.gz GXFYKBVZGLMJBT-UHFFFAOYSA-N 1 2 308.403 1.441 20 30 DDEDLO COC1CC([N@@H+](C)CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000352229895 410566593 /nfs/dbraw/zinc/56/65/93/410566593.db2.gz GXFYKBVZGLMJBT-UHFFFAOYSA-N 1 2 308.403 1.441 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)NCCNc2cccc[nH+]2)cc1 ZINC000352238572 410573575 /nfs/dbraw/zinc/57/35/75/410573575.db2.gz VBDMVXYFUPRFSD-INIZCTEOSA-N 1 2 319.368 1.864 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCc1ccccc1)[N@@H+](C)C[C@H](C)C#N ZINC000299145999 410543743 /nfs/dbraw/zinc/54/37/43/410543743.db2.gz BXBQEUFWIBJHMP-CHWSQXEVSA-N 1 2 302.378 1.492 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCc1ccccc1)[N@H+](C)C[C@H](C)C#N ZINC000299145999 410543750 /nfs/dbraw/zinc/54/37/50/410543750.db2.gz BXBQEUFWIBJHMP-CHWSQXEVSA-N 1 2 302.378 1.492 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@H](O)C[N@@H+](C)C[C@H](C)C#N)cc1 ZINC000299172543 410555611 /nfs/dbraw/zinc/55/56/11/410555611.db2.gz TZHIZJPLUVRRIW-IUODEOHRSA-N 1 2 305.378 1.476 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@H](O)C[N@H+](C)C[C@H](C)C#N)cc1 ZINC000299172543 410555617 /nfs/dbraw/zinc/55/56/17/410555617.db2.gz TZHIZJPLUVRRIW-IUODEOHRSA-N 1 2 305.378 1.476 20 30 DDEDLO C=CCN(CCC#N)C(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000359445948 410664715 /nfs/dbraw/zinc/66/47/15/410664715.db2.gz LYFYHSBXMJWELV-UHFFFAOYSA-N 1 2 314.389 1.456 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)N1CCNC1=O)Cc1cnn(C(C)C)c1 ZINC000352899887 410686676 /nfs/dbraw/zinc/68/66/76/410686676.db2.gz GBABSBYHELDCOX-UHFFFAOYSA-N 1 2 319.409 1.394 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)N1CCNC1=O)Cc1cnn(C(C)C)c1 ZINC000352899887 410686685 /nfs/dbraw/zinc/68/66/85/410686685.db2.gz GBABSBYHELDCOX-UHFFFAOYSA-N 1 2 319.409 1.394 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@H+](Cc2cccc(C#N)c2F)C1 ZINC000330658699 410855622 /nfs/dbraw/zinc/85/56/22/410855622.db2.gz XCXIWDRSGPZXKT-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@@H+](Cc2cccc(C#N)c2F)C1 ZINC000330658699 410855628 /nfs/dbraw/zinc/85/56/28/410855628.db2.gz XCXIWDRSGPZXKT-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)c2nccc(C#N)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000302859623 410876034 /nfs/dbraw/zinc/87/60/34/410876034.db2.gz OIRYDHVRMJIYPC-TXEJJXNPSA-N 1 2 319.365 1.407 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)c2nccc(C#N)c2[N+](=O)[O-])C[C@H](C)O1 ZINC000302859623 410876040 /nfs/dbraw/zinc/87/60/40/410876040.db2.gz OIRYDHVRMJIYPC-TXEJJXNPSA-N 1 2 319.365 1.407 20 30 DDEDLO Cc1cc(NC(=O)Nc2ccn3cc[nH+]c3c2)nn1CCC#N ZINC000353340048 410930262 /nfs/dbraw/zinc/93/02/62/410930262.db2.gz UGEAHDVMNCMFBZ-UHFFFAOYSA-N 1 2 309.333 2.397 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@H+](C)C[C@@H](C)C#N)C1 ZINC000353453855 410994939 /nfs/dbraw/zinc/99/49/39/410994939.db2.gz GTHXCRHEXKDEOF-MELADBBJSA-N 1 2 309.410 1.268 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@@H+](C)C[C@@H](C)C#N)C1 ZINC000353453855 410994944 /nfs/dbraw/zinc/99/49/44/410994944.db2.gz GTHXCRHEXKDEOF-MELADBBJSA-N 1 2 309.410 1.268 20 30 DDEDLO CC[C@]1(CO)CCCN1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000331270975 411049943 /nfs/dbraw/zinc/04/99/43/411049943.db2.gz UREHPTWCTVPPLF-MRXNPFEDSA-N 1 2 313.442 1.248 20 30 DDEDLO CC[C@]1(CO)CCCN1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000331270975 411049946 /nfs/dbraw/zinc/04/99/46/411049946.db2.gz UREHPTWCTVPPLF-MRXNPFEDSA-N 1 2 313.442 1.248 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NC(C)(C)C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000331343970 411071491 /nfs/dbraw/zinc/07/14/91/411071491.db2.gz PEPNYTPVPXTTGU-UHFFFAOYSA-N 1 2 323.441 1.968 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NC(C)(C)C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000331343970 411071495 /nfs/dbraw/zinc/07/14/95/411071495.db2.gz PEPNYTPVPXTTGU-UHFFFAOYSA-N 1 2 323.441 1.968 20 30 DDEDLO COC(=O)c1ccc(C[NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)o1 ZINC000360068407 411028557 /nfs/dbraw/zinc/02/85/57/411028557.db2.gz ISQZVJTVGZYXCD-AWEZNQCLSA-N 1 2 313.313 1.254 20 30 DDEDLO CNC(=O)c1cccc(C[NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)c1 ZINC000360068423 411028636 /nfs/dbraw/zinc/02/86/36/411028636.db2.gz JBEBKZDDTCFHMA-INIZCTEOSA-N 1 2 322.368 1.234 20 30 DDEDLO Cc1nc(N2CCO[C@@H](c3cccc(C#N)c3)C2)nc(N(C)C)[nH+]1 ZINC000341393525 411035816 /nfs/dbraw/zinc/03/58/16/411035816.db2.gz MJNXFRNNOJEENA-OAHLLOKOSA-N 1 2 324.388 1.696 20 30 DDEDLO Cc1nc(N(C)C)nc(N2CCO[C@@H](c3cccc(C#N)c3)C2)[nH+]1 ZINC000341393525 411035822 /nfs/dbraw/zinc/03/58/22/411035822.db2.gz MJNXFRNNOJEENA-OAHLLOKOSA-N 1 2 324.388 1.696 20 30 DDEDLO C[C@H](CC#N)N(C)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000360246536 411111324 /nfs/dbraw/zinc/11/13/24/411111324.db2.gz NXFIEPUZTSOTAP-CYBMUJFWSA-N 1 2 303.410 1.393 20 30 DDEDLO C=CCOc1ccccc1CNC(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000636767666 422956343 /nfs/dbraw/zinc/95/63/43/422956343.db2.gz SQKIVGXJLWNFGM-AWEZNQCLSA-N 1 2 312.373 1.872 20 30 DDEDLO CC(C)[C@@H](C(=O)Nc1n[nH]cc1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000601640640 416623970 /nfs/dbraw/zinc/62/39/70/416623970.db2.gz JWNXWYCVKAHTPC-LOWVWBTDSA-N 1 2 305.382 1.354 20 30 DDEDLO CC(C)[C@@H](C(=O)Nc1n[nH]cc1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000601640640 416623973 /nfs/dbraw/zinc/62/39/73/416623973.db2.gz JWNXWYCVKAHTPC-LOWVWBTDSA-N 1 2 305.382 1.354 20 30 DDEDLO N#Cc1cccc(OCCn2c3ccccc3[nH+]c2NCCO)c1 ZINC000360286778 418414344 /nfs/dbraw/zinc/41/43/44/418414344.db2.gz QCHQNAKVPUVAFL-UHFFFAOYSA-N 1 2 322.368 2.391 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[N@@H+](CC(=O)OCC)CC1 ZINC000360491706 418450066 /nfs/dbraw/zinc/45/00/66/418450066.db2.gz YYNGUOQTSJGBEU-UHFFFAOYSA-N 1 2 312.410 1.067 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[N@H+](CC(=O)OCC)CC1 ZINC000360491706 418450069 /nfs/dbraw/zinc/45/00/69/418450069.db2.gz YYNGUOQTSJGBEU-UHFFFAOYSA-N 1 2 312.410 1.067 20 30 DDEDLO COc1cc(C[N@@H+]2CCO[C@@H]([C@@H]3CCCO3)C2)ccc1C#N ZINC000374207956 418505772 /nfs/dbraw/zinc/50/57/72/418505772.db2.gz YGOZOOVRAJOSED-DOTOQJQBSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C[N@H+]2CCO[C@@H]([C@@H]3CCCO3)C2)ccc1C#N ZINC000374207956 418505775 /nfs/dbraw/zinc/50/57/75/418505775.db2.gz YGOZOOVRAJOSED-DOTOQJQBSA-N 1 2 302.374 1.947 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)C1([NH+]2CCOCC2)CCC1 ZINC000366732704 418509608 /nfs/dbraw/zinc/50/96/08/418509608.db2.gz HQYDCSVBPJUYBP-LSDHHAIUSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CCC[NH+]1CCC(NC(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC000367209269 418570569 /nfs/dbraw/zinc/57/05/69/418570569.db2.gz OELWBPHJALPMJC-UHFFFAOYSA-N 1 2 323.400 1.689 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1nnc(CC(C)C)s1 ZINC000191102548 222097236 /nfs/dbraw/zinc/09/72/36/222097236.db2.gz ZWOKACLSXQOIDI-UHFFFAOYSA-N 1 2 312.439 1.810 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1nnc(CC(C)C)s1 ZINC000191102548 222097240 /nfs/dbraw/zinc/09/72/40/222097240.db2.gz ZWOKACLSXQOIDI-UHFFFAOYSA-N 1 2 312.439 1.810 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc(C#N)c(F)c1 ZINC000192054516 222117388 /nfs/dbraw/zinc/11/73/88/222117388.db2.gz CLEFXMCUKPBPDC-UHFFFAOYSA-N 1 2 316.380 1.745 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N(CC)Cc1ccc(OC)c(OC)c1 ZINC000192222175 222122976 /nfs/dbraw/zinc/12/29/76/222122976.db2.gz WIJDHPKCDFKBJI-UHFFFAOYSA-N 1 2 304.390 1.617 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N(CC)Cc1ccc(OC)c(OC)c1 ZINC000192222175 222122980 /nfs/dbraw/zinc/12/29/80/222122980.db2.gz WIJDHPKCDFKBJI-UHFFFAOYSA-N 1 2 304.390 1.617 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1ccccc1C(=O)NCC(C)C ZINC000192231184 222124043 /nfs/dbraw/zinc/12/40/43/222124043.db2.gz FVCAVTFWLJSGCA-UHFFFAOYSA-N 1 2 301.390 1.576 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1ccccc1C(=O)NCC(C)C ZINC000192231184 222124045 /nfs/dbraw/zinc/12/40/45/222124045.db2.gz FVCAVTFWLJSGCA-UHFFFAOYSA-N 1 2 301.390 1.576 20 30 DDEDLO C=CCOC[C@H](NCc1c[nH+]c2cccc(C)n12)C(=O)OC ZINC000361106602 418583920 /nfs/dbraw/zinc/58/39/20/418583920.db2.gz FSKHJRGKLKMQMM-AWEZNQCLSA-N 1 2 303.362 1.477 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@H](O)CN3CCO[C@@H](C#N)C3)c2cc1C ZINC000247814360 222232481 /nfs/dbraw/zinc/23/24/81/222232481.db2.gz VVGLBESYEOAGTH-CABCVRRESA-N 1 2 314.389 1.238 20 30 DDEDLO CC#CCCCC(=O)NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000375124435 418608022 /nfs/dbraw/zinc/60/80/22/418608022.db2.gz KSWXAECVFTUYQY-KRWDZBQOSA-N 1 2 308.422 1.178 20 30 DDEDLO Cc1[nH+]c2n(c1C)CCN(S(=O)(=O)CCC(C)(C)C#N)C2 ZINC000377854040 418716282 /nfs/dbraw/zinc/71/62/82/418716282.db2.gz DRPQPHPPBJXHHH-UHFFFAOYSA-N 1 2 310.423 1.585 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)c1C#N ZINC000377958338 418717250 /nfs/dbraw/zinc/71/72/50/418717250.db2.gz DLURTXIRIUUBJC-NSHDSACASA-N 1 2 323.356 1.202 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)c1C#N ZINC000377958338 418717251 /nfs/dbraw/zinc/71/72/51/418717251.db2.gz DLURTXIRIUUBJC-NSHDSACASA-N 1 2 323.356 1.202 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N[C@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000375564332 418657509 /nfs/dbraw/zinc/65/75/09/418657509.db2.gz KHZLYBZJZQYLQB-OCCSQVGLSA-N 1 2 304.394 1.599 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000376170049 418693163 /nfs/dbraw/zinc/69/31/63/418693163.db2.gz DMRBHKGZPMCZRX-ZJIFWQFVSA-N 1 2 308.422 1.289 20 30 DDEDLO C#CCCCS(=O)(=O)N(C)C1CCN(c2cccc[nH+]2)CC1 ZINC000376277235 418694895 /nfs/dbraw/zinc/69/48/95/418694895.db2.gz CAXXOXIGUPWKIE-UHFFFAOYSA-N 1 2 321.446 1.725 20 30 DDEDLO N#Cc1ccccc1N1CCC[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC000363397290 418765531 /nfs/dbraw/zinc/76/55/31/418765531.db2.gz KRPWFVHPLVWBMS-INIZCTEOSA-N 1 2 323.400 1.930 20 30 DDEDLO CN(C(=O)C[N@H+](C)[C@@H]1CCCCNC1=O)C1(C#N)CCCCC1 ZINC000368894562 418726790 /nfs/dbraw/zinc/72/67/90/418726790.db2.gz WADKPUVWMVFUJB-CQSZACIVSA-N 1 2 320.437 1.272 20 30 DDEDLO CN(C(=O)C[N@@H+](C)[C@@H]1CCCCNC1=O)C1(C#N)CCCCC1 ZINC000368894562 418726793 /nfs/dbraw/zinc/72/67/93/418726793.db2.gz WADKPUVWMVFUJB-CQSZACIVSA-N 1 2 320.437 1.272 20 30 DDEDLO CC#CCCn1nnc([C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000364614418 418793219 /nfs/dbraw/zinc/79/32/19/418793219.db2.gz PRVIQSYEMPDZGR-MRXNPFEDSA-N 1 2 311.389 1.660 20 30 DDEDLO CC#CCCn1nnc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000364614418 418793222 /nfs/dbraw/zinc/79/32/22/418793222.db2.gz PRVIQSYEMPDZGR-MRXNPFEDSA-N 1 2 311.389 1.660 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)N(C)Cc1cn2c([nH+]1)CCCC2 ZINC000365400963 418857923 /nfs/dbraw/zinc/85/79/23/418857923.db2.gz BXRSGVILPXYSNC-GFCCVEGCSA-N 1 2 319.409 1.052 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCO[C@H](COC(C)C)C1 ZINC000372119588 418837616 /nfs/dbraw/zinc/83/76/16/418837616.db2.gz DFLDQJINWAQCPD-CVEARBPZSA-N 1 2 312.454 1.925 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCO[C@H](COC(C)C)C1 ZINC000372119588 418837619 /nfs/dbraw/zinc/83/76/19/418837619.db2.gz DFLDQJINWAQCPD-CVEARBPZSA-N 1 2 312.454 1.925 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@@H]2CCN(c3ccc(C#N)cc3)C2=O)n1 ZINC000411522155 418911969 /nfs/dbraw/zinc/91/19/69/418911969.db2.gz VNTWPWDCBHGDFA-IINYFYTJSA-N 1 2 311.345 1.706 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)CN1CCC[C@@H]1c1[nH+]ccn1C ZINC000373175183 418930153 /nfs/dbraw/zinc/93/01/53/418930153.db2.gz FNZLIPSVUIGWMU-CJNGLKHVSA-N 1 2 303.410 1.611 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@]2([NH+]3CCOCC3)CCSC2)o1 ZINC000428059856 419802154 /nfs/dbraw/zinc/80/21/54/419802154.db2.gz CIMQLSUGNCFFCW-HNNXBMFYSA-N 1 2 321.402 1.089 20 30 DDEDLO C=CCNC(=O)CNC(=O)N(C)CCCc1[nH+]ccn1CCC ZINC000429093199 419967845 /nfs/dbraw/zinc/96/78/45/419967845.db2.gz ADIFAHFUWPUZBO-UHFFFAOYSA-N 1 2 321.425 1.169 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NCc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000416480066 420387109 /nfs/dbraw/zinc/38/71/09/420387109.db2.gz OKIPXEXDRLETJZ-SNVBAGLBSA-N 1 2 318.402 1.782 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)[C@@H](C)[C@H](C)O1 ZINC000446068825 230225093 /nfs/dbraw/zinc/22/50/93/230225093.db2.gz DMYJGSGPNMCCGE-RDBSUJKOSA-N 1 2 301.390 1.672 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)[C@@H](C)[C@H](C)O1 ZINC000446068825 230225098 /nfs/dbraw/zinc/22/50/98/230225098.db2.gz DMYJGSGPNMCCGE-RDBSUJKOSA-N 1 2 301.390 1.672 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(Cc2ccc(C#N)cc2Cl)CC1 ZINC000439436690 420513451 /nfs/dbraw/zinc/51/34/51/420513451.db2.gz HXJAGTAWABKQID-UHFFFAOYSA-N 1 2 320.824 1.465 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000456775983 420542560 /nfs/dbraw/zinc/54/25/60/420542560.db2.gz LKZMOFCNSVTICZ-INIZCTEOSA-N 1 2 318.417 1.576 20 30 DDEDLO CC(C)c1ncc(C[N@H+](C)[C@@H](C)C(=O)N(C)CCC#N)cn1 ZINC000440481087 420578659 /nfs/dbraw/zinc/57/86/59/420578659.db2.gz UBYADYQVLQWHFM-ZDUSSCGKSA-N 1 2 303.410 1.792 20 30 DDEDLO CC(C)c1ncc(C[N@@H+](C)[C@@H](C)C(=O)N(C)CCC#N)cn1 ZINC000440481087 420578661 /nfs/dbraw/zinc/57/86/61/420578661.db2.gz UBYADYQVLQWHFM-ZDUSSCGKSA-N 1 2 303.410 1.792 20 30 DDEDLO COCCN(Cc1ccc(C#N)cc1)C(=O)C=Cc1c[nH]c[nH+]1 ZINC000492853713 420686449 /nfs/dbraw/zinc/68/64/49/420686449.db2.gz CHAHAOBLKXANQR-VOTSOKGWSA-N 1 2 310.357 1.970 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000458994319 420777091 /nfs/dbraw/zinc/77/70/91/420777091.db2.gz YZIGEQVJLMKQED-UHFFFAOYSA-N 1 2 303.410 1.829 20 30 DDEDLO C=CC[N@H+](CCN1CCCS1(=O)=O)Cc1cccs1 ZINC000448376360 420851964 /nfs/dbraw/zinc/85/19/64/420851964.db2.gz PJKYJFYMSUNJSY-UHFFFAOYSA-N 1 2 300.449 1.772 20 30 DDEDLO C=CC[N@@H+](CCN1CCCS1(=O)=O)Cc1cccs1 ZINC000448376360 420851965 /nfs/dbraw/zinc/85/19/65/420851965.db2.gz PJKYJFYMSUNJSY-UHFFFAOYSA-N 1 2 300.449 1.772 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N[C@H](C)C[NH+]2CCOCC2)c1 ZINC000456000975 421086971 /nfs/dbraw/zinc/08/69/71/421086971.db2.gz LQQQFIUWSNDGJX-CYBMUJFWSA-N 1 2 302.378 1.709 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[NH+]2C[C@H](OC)[C@@H](OC)C2)c1C ZINC000488059849 421066782 /nfs/dbraw/zinc/06/67/82/421066782.db2.gz GUFUWTCIHYSNRG-IRXDYDNUSA-N 1 2 306.406 1.819 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)Nc2cccc(CC#N)c2)CCO1 ZINC000455911227 421076005 /nfs/dbraw/zinc/07/60/05/421076005.db2.gz VNFZMMAHOHVSCJ-INIZCTEOSA-N 1 2 316.405 1.985 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)Nc2cccc(CC#N)c2)CCO1 ZINC000455911227 421076009 /nfs/dbraw/zinc/07/60/09/421076009.db2.gz VNFZMMAHOHVSCJ-INIZCTEOSA-N 1 2 316.405 1.985 20 30 DDEDLO C[C@@H](NC(=O)Nc1cccc(CC#N)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000455922017 421077952 /nfs/dbraw/zinc/07/79/52/421077952.db2.gz QIBIBTZXQYKTEC-ZIAGYGMSSA-N 1 2 316.405 1.983 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H](OC(=O)N(C)C)C1 ZINC000495869748 421078502 /nfs/dbraw/zinc/07/85/02/421078502.db2.gz QZJRIUUKSZQVKI-XJKSGUPXSA-N 1 2 324.425 1.203 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H](OC(=O)N(C)C)C1 ZINC000495869748 421078505 /nfs/dbraw/zinc/07/85/05/421078505.db2.gz QZJRIUUKSZQVKI-XJKSGUPXSA-N 1 2 324.425 1.203 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cccc(C(C)=O)c2)CC1 ZINC000450213250 421164165 /nfs/dbraw/zinc/16/41/65/421164165.db2.gz FHTGEKURBVIOIP-UHFFFAOYSA-N 1 2 315.417 1.309 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000489607645 421167426 /nfs/dbraw/zinc/16/74/26/421167426.db2.gz GLRPRJMXBIDEKN-AWEZNQCLSA-N 1 2 302.440 1.079 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNc1cccc(C#N)c1[N+](=O)[O-] ZINC000450309643 421179932 /nfs/dbraw/zinc/17/99/32/421179932.db2.gz UZKTWYFGMHWQGR-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNc1cccc(C#N)c1[N+](=O)[O-] ZINC000450309643 421179934 /nfs/dbraw/zinc/17/99/34/421179934.db2.gz UZKTWYFGMHWQGR-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO COCC[N@H+](CC(=O)Nc1ccc(C#N)cc1)[C@@H]1CCOC1 ZINC000490081763 421189515 /nfs/dbraw/zinc/18/95/15/421189515.db2.gz UMWNAZILFQEZPH-OAHLLOKOSA-N 1 2 303.362 1.234 20 30 DDEDLO COCC[N@@H+](CC(=O)Nc1ccc(C#N)cc1)[C@@H]1CCOC1 ZINC000490081763 421189516 /nfs/dbraw/zinc/18/95/16/421189516.db2.gz UMWNAZILFQEZPH-OAHLLOKOSA-N 1 2 303.362 1.234 20 30 DDEDLO C[N@H+](CC(=O)NC1(C#N)CCC1)Cc1cnn(-c2ccccc2)c1 ZINC000527377524 421383898 /nfs/dbraw/zinc/38/38/98/421383898.db2.gz HHGCSKVGXPSSND-UHFFFAOYSA-N 1 2 323.400 1.867 20 30 DDEDLO C[N@@H+](CC(=O)NC1(C#N)CCC1)Cc1cnn(-c2ccccc2)c1 ZINC000527377524 421383901 /nfs/dbraw/zinc/38/39/01/421383901.db2.gz HHGCSKVGXPSSND-UHFFFAOYSA-N 1 2 323.400 1.867 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000563263146 421478287 /nfs/dbraw/zinc/47/82/87/421478287.db2.gz UUWCPTLNCUJGMX-GUYCJALGSA-N 1 2 305.422 1.760 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H]2CCN(C3CCCCC3)C2=O)C1=O ZINC000528498230 421496559 /nfs/dbraw/zinc/49/65/59/421496559.db2.gz VKPVCOBTDGYFMX-HOTGVXAUSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H]2CCN(C3CCCCC3)C2=O)C1=O ZINC000528498230 421496564 /nfs/dbraw/zinc/49/65/64/421496564.db2.gz VKPVCOBTDGYFMX-HOTGVXAUSA-N 1 2 319.449 1.639 20 30 DDEDLO C[C@@H]1C[NH+](C[C@H](O)COc2ccccc2C#N)C[C@@H](C)C1=O ZINC000528548496 421497797 /nfs/dbraw/zinc/49/77/97/421497797.db2.gz BCTKTSUKTTWZGV-NFAWXSAZSA-N 1 2 302.374 1.455 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3c(F)cccc3C#N)C[C@H]21 ZINC000552219416 421580652 /nfs/dbraw/zinc/58/06/52/421580652.db2.gz SGKPCVQZXGEGOZ-HZPDHXFCSA-N 1 2 317.364 1.171 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3c(F)cccc3C#N)C[C@H]21 ZINC000552219416 421580656 /nfs/dbraw/zinc/58/06/56/421580656.db2.gz SGKPCVQZXGEGOZ-HZPDHXFCSA-N 1 2 317.364 1.171 20 30 DDEDLO CCOc1nnc(C[N@H+](C)CCCc2[nH]nc(N)c2C#N)s1 ZINC000534097172 421684982 /nfs/dbraw/zinc/68/49/82/421684982.db2.gz UAHFYOHXYLJQIC-UHFFFAOYSA-N 1 2 321.410 1.178 20 30 DDEDLO CCOc1nnc(C[N@@H+](C)CCCc2[nH]nc(N)c2C#N)s1 ZINC000534097172 421684986 /nfs/dbraw/zinc/68/49/86/421684986.db2.gz UAHFYOHXYLJQIC-UHFFFAOYSA-N 1 2 321.410 1.178 20 30 DDEDLO COc1cc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)ccc1Cl ZINC000573210502 421931057 /nfs/dbraw/zinc/93/10/57/421931057.db2.gz LMAQKYHBCNOPMX-HNNXBMFYSA-N 1 2 309.797 1.851 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[NH+]1CC(C)(C(N)=O)C1 ZINC000573441944 421966308 /nfs/dbraw/zinc/96/63/08/421966308.db2.gz FJBKFFYIFKRZMH-UHFFFAOYSA-N 1 2 319.430 1.710 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000576366052 422373103 /nfs/dbraw/zinc/37/31/03/422373103.db2.gz IPERLLDGSXITAO-SYQHCUMBSA-N 1 2 304.394 1.439 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000576366052 422373110 /nfs/dbraw/zinc/37/31/10/422373110.db2.gz IPERLLDGSXITAO-SYQHCUMBSA-N 1 2 304.394 1.439 20 30 DDEDLO C=CCNc1nnc(SCC[NH+]2C[C@H](C)O[C@@H](C)C2)s1 ZINC000577295402 422383931 /nfs/dbraw/zinc/38/39/31/422383931.db2.gz WEKOULBJDQPDRD-QWRGUYRKSA-N 1 2 314.480 2.337 20 30 DDEDLO C=CCN(CC=C)C(=O)Cn1cc(C[NH+]2CCSCC2)nn1 ZINC000640791470 423189239 /nfs/dbraw/zinc/18/92/39/423189239.db2.gz HXFHTARGIFSTRC-UHFFFAOYSA-N 1 2 321.450 1.028 20 30 DDEDLO C=CCNC(=O)[C@H](C)n1cc(C[NH2+]Cc2ccc(F)cc2)nn1 ZINC000640883755 423253922 /nfs/dbraw/zinc/25/39/22/423253922.db2.gz NFAMKDZQEJRRGN-LBPRGKRZSA-N 1 2 317.368 1.570 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N([C@H](C)C2CC2)C2CC2)nn1 ZINC000640971403 423303465 /nfs/dbraw/zinc/30/34/65/423303465.db2.gz KPJGXGURLRIIQG-CYBMUJFWSA-N 1 2 315.421 1.181 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2C[C@H]3CCCC[C@H]3NC2=O)nn1 ZINC000641096397 423382912 /nfs/dbraw/zinc/38/29/12/423382912.db2.gz PPGVEUWFRXFYKP-BPLDGKMQSA-N 1 2 301.394 1.011 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2csc([C@H](C)OC)n2)nn1 ZINC000641126482 423406749 /nfs/dbraw/zinc/40/67/49/423406749.db2.gz ZXSMRMAIAHNRRZ-NSHDSACASA-N 1 2 305.407 1.603 20 30 DDEDLO C=CCn1cc(C(=O)N(C)Cc2[nH+]cc(C)c(OC)c2C)nn1 ZINC000648755546 423444500 /nfs/dbraw/zinc/44/45/00/423444500.db2.gz JWWLQBCDUQZHMY-UHFFFAOYSA-N 1 2 315.377 1.757 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(C(=O)N3CCCC3)CC2)nn1 ZINC000653476671 423490995 /nfs/dbraw/zinc/49/09/95/423490995.db2.gz PDVDEOULDNRFLZ-UHFFFAOYSA-N 1 2 317.437 1.689 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(NC(=O)CCC)CC2)nn1 ZINC000653494848 423499612 /nfs/dbraw/zinc/49/96/12/423499612.db2.gz NPVUGMJTKZZICM-UHFFFAOYSA-N 1 2 305.426 1.735 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH2+][C@@H](c1nccn1C)C(C)(C)CO ZINC000639771380 423724004 /nfs/dbraw/zinc/72/40/04/423724004.db2.gz BXDFHWDNWWCRGR-HNNXBMFYSA-N 1 2 322.453 1.494 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000644878488 424005864 /nfs/dbraw/zinc/00/58/64/424005864.db2.gz GLGQFGUIWAIKDE-AJNGGQMLSA-N 1 2 318.421 1.687 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000644878488 424005875 /nfs/dbraw/zinc/00/58/75/424005875.db2.gz GLGQFGUIWAIKDE-AJNGGQMLSA-N 1 2 318.421 1.687 20 30 DDEDLO CC(C)[C@H]([NH2+]CC(=O)Nc1ccc(C#N)cc1)c1nncn1C ZINC000352441672 265904616 /nfs/dbraw/zinc/90/46/16/265904616.db2.gz ARZHIZQDOJNRFU-HNNXBMFYSA-N 1 2 312.377 1.612 20 30 DDEDLO CCC[C@@H](C(=O)OCC)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000348051170 266064584 /nfs/dbraw/zinc/06/45/84/266064584.db2.gz RVEFMIJIJSJYRE-HNNXBMFYSA-N 1 2 316.405 1.807 20 30 DDEDLO C[C@@H]1C[N@@H+]2CCCC[C@@H]2CN1S(=O)(=O)c1cccc(C#N)c1 ZINC000316401660 267065349 /nfs/dbraw/zinc/06/53/49/267065349.db2.gz HFLSWJVRCHHXDN-UKRRQHHQSA-N 1 2 319.430 1.806 20 30 DDEDLO C[C@@H]1C[N@H+]2CCCC[C@@H]2CN1S(=O)(=O)c1cccc(C#N)c1 ZINC000316401660 267065352 /nfs/dbraw/zinc/06/53/52/267065352.db2.gz HFLSWJVRCHHXDN-UKRRQHHQSA-N 1 2 319.430 1.806 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@]2(CCOC2)O1 ZINC000369537994 267229171 /nfs/dbraw/zinc/22/91/71/267229171.db2.gz WXPIWOIMIJCNHS-GUYCJALGSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@]2(CCOC2)O1 ZINC000369537994 267229176 /nfs/dbraw/zinc/22/91/76/267229176.db2.gz WXPIWOIMIJCNHS-GUYCJALGSA-N 1 2 315.373 1.377 20 30 DDEDLO CCn1ccc(C[NH2+]CCS(=O)(=O)c2cccc(C#N)c2)n1 ZINC000515234377 267254225 /nfs/dbraw/zinc/25/42/25/267254225.db2.gz ITLNFFDJXULYFX-UHFFFAOYSA-N 1 2 318.402 1.338 20 30 DDEDLO N#Cc1ccc(NC[C@@]2([NH+]3CCOCC3)CCCOC2)c(F)c1 ZINC000372006193 268156447 /nfs/dbraw/zinc/15/64/47/268156447.db2.gz IBWRPBXXLAECQG-KRWDZBQOSA-N 1 2 319.380 1.991 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CC([C@H]3CCOC3)C2)cc1 ZINC000373635620 268187318 /nfs/dbraw/zinc/18/73/18/268187318.db2.gz HMPFYXLTEXMKNZ-AWEZNQCLSA-N 1 2 320.414 1.300 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000375741843 268282353 /nfs/dbraw/zinc/28/23/53/268282353.db2.gz JLWYIKWIUATJEQ-WBVHZDCISA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000375741843 268282355 /nfs/dbraw/zinc/28/23/55/268282355.db2.gz JLWYIKWIUATJEQ-WBVHZDCISA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccnc(NC[C@@]2([NH+]3CCOCC3)CCSC2)c1 ZINC000376089289 268298263 /nfs/dbraw/zinc/29/82/63/268298263.db2.gz RQWHIUOESHENSJ-HNNXBMFYSA-N 1 2 304.419 1.573 20 30 DDEDLO N#Cc1cnccc1NC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000342034381 271279297 /nfs/dbraw/zinc/27/92/97/271279297.db2.gz CDKGQKIHEUXSCC-UHFFFAOYSA-N 1 2 303.325 1.872 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1C[C@H]([NH+]2CCOCC2)[C@@H](C)C1 ZINC000373264764 277802468 /nfs/dbraw/zinc/80/24/68/277802468.db2.gz RCGONVXIEHOIBJ-VVLHAWIVSA-N 1 2 322.449 1.537 20 30 DDEDLO CC1(C)C[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C[C@H]1O ZINC000279959731 278341161 /nfs/dbraw/zinc/34/11/61/278341161.db2.gz YHGVDPHXDHYSCR-NWDGAFQWSA-N 1 2 305.403 1.428 20 30 DDEDLO CC1(C)C[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C[C@H]1O ZINC000279959731 278341162 /nfs/dbraw/zinc/34/11/62/278341162.db2.gz YHGVDPHXDHYSCR-NWDGAFQWSA-N 1 2 305.403 1.428 20 30 DDEDLO CC(C)[C@@H](C(=O)N[C@@H]1CCC[C@@H]1C#N)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000408331349 279794164 /nfs/dbraw/zinc/79/41/64/279794164.db2.gz QYIZNQRSTRZCKZ-QCODTGAPSA-N 1 2 307.438 1.929 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1ncc(C#N)cc1Cl ZINC000286436377 288718927 /nfs/dbraw/zinc/71/89/27/288718927.db2.gz SFINIBMHCXZMND-YPMHNXCESA-N 1 2 306.797 1.906 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000493636299 289204011 /nfs/dbraw/zinc/20/40/11/289204011.db2.gz YARDSFXGBKGNOK-WUKHSURWSA-N 1 2 302.334 1.568 20 30 DDEDLO Cn1ccc(N2CCC[C@H]([NH+]3CCC(C)(C#N)CC3)C2=O)n1 ZINC000272597881 294347949 /nfs/dbraw/zinc/34/79/49/294347949.db2.gz QMFXDMTXAAFUCF-ZDUSSCGKSA-N 1 2 301.394 1.541 20 30 DDEDLO C[C@]([NH2+]CC(=O)Nc1ccc(C#N)cc1)(C(N)=O)c1ccccc1 ZINC000170645168 298220121 /nfs/dbraw/zinc/22/01/21/298220121.db2.gz NYJLCINBKJMLLF-GOSISDBHSA-N 1 2 322.368 1.487 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+]CC(=O)NC2CCCCC2)C(N)=O)cc1 ZINC000115598669 303199976 /nfs/dbraw/zinc/19/99/76/303199976.db2.gz NYTLJWXFHMOVNP-MRXNPFEDSA-N 1 2 314.389 1.123 20 30 DDEDLO Cc1c(C(=O)NCC[NH+]2CCOCC2)nnn1CC1CCCC1 ZINC000329852444 533697795 /nfs/dbraw/zinc/69/77/95/533697795.db2.gz USGSDTRGEMMIIB-UHFFFAOYSA-N 1 2 321.425 1.414 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)[C@H]2CCC(=O)c3ccccc32)CC1 ZINC000559570916 332271683 /nfs/dbraw/zinc/27/16/83/332271683.db2.gz QCKZLCROMFBZNE-HNNXBMFYSA-N 1 2 311.385 1.851 20 30 DDEDLO CCCCc1nc(CO[NH+]=C(N)CN2CCOCC2)cs1 ZINC000111182294 332301979 /nfs/dbraw/zinc/30/19/79/332301979.db2.gz ACFGLXJBYVMKRY-UHFFFAOYSA-N 1 2 312.439 1.607 20 30 DDEDLO CC(=O)Nc1cccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1C ZINC000565051654 332499690 /nfs/dbraw/zinc/49/96/90/332499690.db2.gz OPDJGPVZCLKYFF-MRXNPFEDSA-N 1 2 302.378 1.527 20 30 DDEDLO Cc1cnn(-c2ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc2)c1 ZINC000569698268 332663437 /nfs/dbraw/zinc/66/34/37/332663437.db2.gz OBZKFUOFQXIFGO-QGZVFWFLSA-N 1 2 311.389 1.754 20 30 DDEDLO COCc1noc([C@@H](C)O[NH+]=C(N)c2ccc(OC)cc2)n1 ZINC000182911100 333180970 /nfs/dbraw/zinc/18/09/70/333180970.db2.gz LWKZVCQZNYXUGY-SECBINFHSA-N 1 2 306.322 1.623 20 30 DDEDLO COc1cccc(OC)c1OC[C@H](O)C[N@H+](C)[C@H](C)CC#N ZINC000345875013 335357405 /nfs/dbraw/zinc/35/74/05/335357405.db2.gz UYDJLPVXWZXDAB-CHWSQXEVSA-N 1 2 308.378 1.677 20 30 DDEDLO COc1cccc(OC)c1OC[C@H](O)C[N@@H+](C)[C@H](C)CC#N ZINC000345875013 335357407 /nfs/dbraw/zinc/35/74/07/335357407.db2.gz UYDJLPVXWZXDAB-CHWSQXEVSA-N 1 2 308.378 1.677 20 30 DDEDLO Cc1nsc(N[C@@H](C)CN(C)C(=O)Cc2c[nH+]c[nH]2)c1C#N ZINC001120712782 782103258 /nfs/dbraw/zinc/10/32/58/782103258.db2.gz RTUBMKSFZSYBNL-VIFPVBQESA-N 1 2 318.406 1.548 20 30 DDEDLO CCc1ncc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cc2)o1 ZINC000582415758 336969133 /nfs/dbraw/zinc/96/91/33/336969133.db2.gz IVYXLQPBSOKKAN-UHFFFAOYSA-N 1 2 319.386 1.672 20 30 DDEDLO C=CCOc1ccc(CC(=O)NCC[N@@H+]2CCO[C@@H](C)C2)cc1 ZINC000582765651 337139460 /nfs/dbraw/zinc/13/94/60/337139460.db2.gz LWTLTGXZOGAKHY-HNNXBMFYSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CCOc1ccc(CC(=O)NCC[N@H+]2CCO[C@@H](C)C2)cc1 ZINC000582765651 337139461 /nfs/dbraw/zinc/13/94/61/337139461.db2.gz LWTLTGXZOGAKHY-HNNXBMFYSA-N 1 2 318.417 1.631 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(Cl)c(F)c2)CC1 ZINC000122085858 337906231 /nfs/dbraw/zinc/90/62/31/337906231.db2.gz FVAXEHLQXSGCLF-UHFFFAOYSA-N 1 2 310.756 1.635 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccncc1Br ZINC000566772921 341567657 /nfs/dbraw/zinc/56/76/57/341567657.db2.gz LPFCHKZKMSHQNI-GFCCVEGCSA-N 1 2 311.183 1.418 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)C(=O)N(C)CCCn2cc[nH+]c2)C1 ZINC000658678526 484442452 /nfs/dbraw/zinc/44/24/52/484442452.db2.gz JLVONPAQMURIEC-OAHLLOKOSA-N 1 2 318.421 1.546 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+]([C@H](C)c2cccc(F)c2)CC1 ZINC000668182814 485010861 /nfs/dbraw/zinc/01/08/61/485010861.db2.gz OWEONNAUHVZXDB-CXAGYDPISA-N 1 2 306.381 1.968 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1cn2cc(C)ccc2[nH+]1 ZINC000667136218 485412405 /nfs/dbraw/zinc/41/24/05/485412405.db2.gz YYDCBRYYCZUYIP-OAHLLOKOSA-N 1 2 314.389 1.730 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@H+](Cc1ccc(OCC#N)cc1)C1CC1 ZINC000264938090 490711492 /nfs/dbraw/zinc/71/14/92/490711492.db2.gz HFCWDHQWNYINBV-ZDUSSCGKSA-N 1 2 322.430 1.986 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@@H+](Cc1ccc(OCC#N)cc1)C1CC1 ZINC000264938090 490711497 /nfs/dbraw/zinc/71/14/97/490711497.db2.gz HFCWDHQWNYINBV-ZDUSSCGKSA-N 1 2 322.430 1.986 20 30 DDEDLO C[C@@H](CNC(=O)NCC#Cc1cccc(F)c1)[NH+]1CCOCC1 ZINC000338006905 533942978 /nfs/dbraw/zinc/94/29/78/533942978.db2.gz YYBXAEDEMBZWNJ-AWEZNQCLSA-N 1 2 319.380 1.197 20 30 DDEDLO C[C@@H]1OCC[C@]12CN(C([O-])=[NH+][C@@H]1CCc3[nH]c[nH+]c3C1)CCO2 ZINC000329638800 534209587 /nfs/dbraw/zinc/20/95/87/534209587.db2.gz ZAKNEIRTRBNYCF-OZVIIMIRSA-N 1 2 320.393 1.061 20 30 DDEDLO C[C@@H]1OCC[C@]12CN(C([O-])=[NH+][C@@H]1CCc3[nH+]c[nH]c3C1)CCO2 ZINC000329638800 534209591 /nfs/dbraw/zinc/20/95/91/534209591.db2.gz ZAKNEIRTRBNYCF-OZVIIMIRSA-N 1 2 320.393 1.061 20 30 DDEDLO C[C@@H]1c2nncn2CCN1C(=O)NC[C@@H]1CCCn2cc[nH+]c21 ZINC000330042781 534258767 /nfs/dbraw/zinc/25/87/67/534258767.db2.gz GYVGRZMCJNFORD-NEPJUHHUSA-N 1 2 315.381 1.343 20 30 DDEDLO COC(=O)c1n[nH]c2c1C[N@H+](Cc1ccc(CC#N)cc1)CC2 ZINC000451743391 534341420 /nfs/dbraw/zinc/34/14/20/534341420.db2.gz JCWGDOPONBKKHL-UHFFFAOYSA-N 1 2 310.357 1.821 20 30 DDEDLO COC(=O)c1n[nH]c2c1C[N@@H+](Cc1ccc(CC#N)cc1)CC2 ZINC000451743391 534341427 /nfs/dbraw/zinc/34/14/27/534341427.db2.gz JCWGDOPONBKKHL-UHFFFAOYSA-N 1 2 310.357 1.821 20 30 DDEDLO Cc1sc2ncn(C[C@H](O)C[N@H+](C)CCC#N)c(=O)c2c1C ZINC000414101377 534616411 /nfs/dbraw/zinc/61/64/11/534616411.db2.gz BYAKVQPXOROWHJ-GFCCVEGCSA-N 1 2 320.418 1.281 20 30 DDEDLO Cc1sc2ncn(C[C@H](O)C[N@@H+](C)CCC#N)c(=O)c2c1C ZINC000414101377 534616419 /nfs/dbraw/zinc/61/64/19/534616419.db2.gz BYAKVQPXOROWHJ-GFCCVEGCSA-N 1 2 320.418 1.281 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000330938011 526400148 /nfs/dbraw/zinc/40/01/48/526400148.db2.gz BEXXMXXNZBPIGR-LLVKDONJSA-N 1 2 312.757 1.956 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(Cl)cc2[N+](=O)[O-])C1 ZINC000330938011 526400155 /nfs/dbraw/zinc/40/01/55/526400155.db2.gz BEXXMXXNZBPIGR-LLVKDONJSA-N 1 2 312.757 1.956 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2cccc(C)c2C)C1 ZINC000330954946 526403016 /nfs/dbraw/zinc/40/30/16/526403016.db2.gz TUMOKHDJEXZNIP-ZIAGYGMSSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2cccc(C)c2C)C1 ZINC000330954946 526403017 /nfs/dbraw/zinc/40/30/17/526403017.db2.gz TUMOKHDJEXZNIP-ZIAGYGMSSA-N 1 2 318.421 1.838 20 30 DDEDLO C=CCN1CC[C@@H](N(C)Cc2[nH+]ccc(OC)c2OC)C1=O ZINC000337201919 526471237 /nfs/dbraw/zinc/47/12/37/526471237.db2.gz DMQNREKLVQOQKI-CYBMUJFWSA-N 1 2 305.378 1.318 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)NCC2CCCCC2)C1=O ZINC000337218842 526472263 /nfs/dbraw/zinc/47/22/63/526472263.db2.gz JHRFAURRUSUCBY-GOEBONIOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)NCC2CCCCC2)C1=O ZINC000337218842 526472269 /nfs/dbraw/zinc/47/22/69/526472269.db2.gz JHRFAURRUSUCBY-GOEBONIOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(CC(C)C)C(C)C)C1=O ZINC000337222419 526499363 /nfs/dbraw/zinc/49/93/63/526499363.db2.gz LZVFAHZCKVKUPS-HNNXBMFYSA-N 1 2 309.454 1.598 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(CC(C)C)C(C)C)C1=O ZINC000337222419 526499366 /nfs/dbraw/zinc/49/93/66/526499366.db2.gz LZVFAHZCKVKUPS-HNNXBMFYSA-N 1 2 309.454 1.598 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](CC(N)=O)[C@@H](C)c1ccccc1 ZINC000342609264 526519562 /nfs/dbraw/zinc/51/95/62/526519562.db2.gz UTGCELHBTNFIKW-HNNXBMFYSA-N 1 2 317.433 1.960 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](CC(N)=O)[C@@H](C)c1ccccc1 ZINC000342609264 526519565 /nfs/dbraw/zinc/51/95/65/526519565.db2.gz UTGCELHBTNFIKW-HNNXBMFYSA-N 1 2 317.433 1.960 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH2+][C@@H](CO)c1cccc(Cl)c1F ZINC000337230119 526628193 /nfs/dbraw/zinc/62/81/93/526628193.db2.gz SNKWNECGFCEMFM-SKDRFNHKSA-N 1 2 300.761 1.793 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1)OCC ZINC000339365094 526744379 /nfs/dbraw/zinc/74/43/79/526744379.db2.gz XKGBTUKTIMVOGK-MRXNPFEDSA-N 1 2 320.437 1.436 20 30 DDEDLO C#CCN(C1CC1)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000491729723 526836775 /nfs/dbraw/zinc/83/67/75/526836775.db2.gz GGFRERZBMUPUHC-UHFFFAOYSA-N 1 2 315.398 1.718 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000491978268 526838385 /nfs/dbraw/zinc/83/83/85/526838385.db2.gz JEPXOSSOHWQHPT-IAGOWNOFSA-N 1 2 315.417 1.707 20 30 DDEDLO CC(C)(C)c1nnc(CN(CCC#N)CC[NH+]2CCOCC2)[nH]1 ZINC000432831157 526839162 /nfs/dbraw/zinc/83/91/62/526839162.db2.gz XFBMEJJOPKAMHF-UHFFFAOYSA-N 1 2 320.441 1.150 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC2([NH+]3CCOCC3)CC2)cc1 ZINC000433739604 526844311 /nfs/dbraw/zinc/84/43/11/526844311.db2.gz KRCROHREGNFHJH-UHFFFAOYSA-N 1 2 316.401 1.846 20 30 DDEDLO C#CC[N@@H+](CCc1ccccc1CC)[C@@H]1CCS(=O)(=O)C1 ZINC000491666824 526901893 /nfs/dbraw/zinc/90/18/93/526901893.db2.gz XJQSHSVYCUWFMH-QGZVFWFLSA-N 1 2 305.443 1.914 20 30 DDEDLO C#CC[N@H+](CCc1ccccc1CC)[C@@H]1CCS(=O)(=O)C1 ZINC000491666824 526901899 /nfs/dbraw/zinc/90/18/99/526901899.db2.gz XJQSHSVYCUWFMH-QGZVFWFLSA-N 1 2 305.443 1.914 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(C)c2cccc3cccnc32)CC1 ZINC000491680997 526948159 /nfs/dbraw/zinc/94/81/59/526948159.db2.gz LFHKBZBWPVGDEY-UHFFFAOYSA-N 1 2 322.412 1.448 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccccc2OCC)CC1 ZINC000490778694 526951520 /nfs/dbraw/zinc/95/15/20/526951520.db2.gz JCFQUXAGHNMVCY-UHFFFAOYSA-N 1 2 301.390 1.275 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@H](C)c2ccccc2F)CC1 ZINC000491071731 527003370 /nfs/dbraw/zinc/00/33/70/527003370.db2.gz NAMYOFJGMJLLQF-CQSZACIVSA-N 1 2 303.381 1.254 20 30 DDEDLO C=CCC1(C(=O)N(C)[C@H](C)C[NH+]2CCOCC2)CCOCC1 ZINC000424861493 527039001 /nfs/dbraw/zinc/03/90/01/527039001.db2.gz XFQALVZCGCEQDH-OAHLLOKOSA-N 1 2 310.438 1.538 20 30 DDEDLO CC(C)(CNc1c(C#N)cccc1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000425202192 527180612 /nfs/dbraw/zinc/18/06/12/527180612.db2.gz OXZZWDYEDNCHIX-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000433766448 527189889 /nfs/dbraw/zinc/18/98/89/527189889.db2.gz PNKUWFGBHVCJKY-KBPBESRZSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000433766448 527189898 /nfs/dbraw/zinc/18/98/98/527189898.db2.gz PNKUWFGBHVCJKY-KBPBESRZSA-N 1 2 306.410 1.424 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000491667906 527299173 /nfs/dbraw/zinc/29/91/73/527299173.db2.gz SBWYXRZIOPMRPJ-CQSZACIVSA-N 1 2 312.373 1.388 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000491753056 527316881 /nfs/dbraw/zinc/31/68/81/527316881.db2.gz YABCVIULVQYUQT-NSHDSACASA-N 1 2 324.384 1.869 20 30 DDEDLO C=CCn1c(CCCC)nnc1N(C)CC[NH+]1CCOCC1 ZINC000337830990 527317064 /nfs/dbraw/zinc/31/70/64/527317064.db2.gz ZCMNFNXCLOXMMK-UHFFFAOYSA-N 1 2 307.442 1.575 20 30 DDEDLO C#C[C@H](C)N(C)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000491707752 527334835 /nfs/dbraw/zinc/33/48/35/527334835.db2.gz NVWOBUMKBWSIPH-ZDUSSCGKSA-N 1 2 303.387 1.574 20 30 DDEDLO C#C[C@H](CC)NC(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000491439179 527351280 /nfs/dbraw/zinc/35/12/80/527351280.db2.gz IAMFAGCBLXENBQ-GOEBONIOSA-N 1 2 300.406 1.882 20 30 DDEDLO C=C[C@@H](C)NC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000348025398 527412981 /nfs/dbraw/zinc/41/29/81/527412981.db2.gz YHWUFOIQVUDQBS-GFCCVEGCSA-N 1 2 310.419 1.326 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000334104160 527504027 /nfs/dbraw/zinc/50/40/27/527504027.db2.gz XJMQCFGOQGPPBH-TUVASFSCSA-N 1 2 304.394 1.819 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+]([C@H](C)C(=O)NC2CC2)CC1 ZINC000343621046 527520472 /nfs/dbraw/zinc/52/04/72/527520472.db2.gz BGIANJSMEGMNKV-DYVFJYSZSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC[N@@H+](CC(=O)OCC)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000341887522 527585975 /nfs/dbraw/zinc/58/59/75/527585975.db2.gz YRVUUKAEJAIQJZ-CYBMUJFWSA-N 1 2 315.377 1.774 20 30 DDEDLO C=CC[N@H+](CC(=O)OCC)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000341887522 527585979 /nfs/dbraw/zinc/58/59/79/527585979.db2.gz YRVUUKAEJAIQJZ-CYBMUJFWSA-N 1 2 315.377 1.774 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000451756748 528624039 /nfs/dbraw/zinc/62/40/39/528624039.db2.gz NCQUYMZJARHKHM-ZSEKCTLFSA-N 1 2 313.467 1.228 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000451756748 528624045 /nfs/dbraw/zinc/62/40/45/528624045.db2.gz NCQUYMZJARHKHM-ZSEKCTLFSA-N 1 2 313.467 1.228 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)N[C@@H](C)c1[nH+]ccn1CC ZINC000451923732 528645418 /nfs/dbraw/zinc/64/54/18/528645418.db2.gz AXOFDHWGSDOHCL-RYUDHWBXSA-N 1 2 313.427 1.280 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)N[C@H](c1[nH]cc[nH+]1)C(C)C ZINC000331314555 528646360 /nfs/dbraw/zinc/64/63/60/528646360.db2.gz IQHPZXQLTQTSSZ-RYUDHWBXSA-N 1 2 313.427 1.423 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](CCO)Cc1cccc(F)c1 ZINC000494260185 528691234 /nfs/dbraw/zinc/69/12/34/528691234.db2.gz SGFWVLPCSUWTQR-CQSZACIVSA-N 1 2 321.396 1.628 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CCO)Cc1cccc(F)c1 ZINC000494260185 528691238 /nfs/dbraw/zinc/69/12/38/528691238.db2.gz SGFWVLPCSUWTQR-CQSZACIVSA-N 1 2 321.396 1.628 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000451756746 528695522 /nfs/dbraw/zinc/69/55/22/528695522.db2.gz NCQUYMZJARHKHM-LRTDBIEQSA-N 1 2 313.467 1.228 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000451756746 528695527 /nfs/dbraw/zinc/69/55/27/528695527.db2.gz NCQUYMZJARHKHM-LRTDBIEQSA-N 1 2 313.467 1.228 20 30 DDEDLO CCCc1nc(C)c(CNC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)o1 ZINC000330273037 528717696 /nfs/dbraw/zinc/71/76/96/528717696.db2.gz YOPCQAGYUNZJQY-GXTWGEPZSA-N 1 2 322.409 1.364 20 30 DDEDLO CCCc1nc(C)c(CNC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)o1 ZINC000330273037 528717702 /nfs/dbraw/zinc/71/77/02/528717702.db2.gz YOPCQAGYUNZJQY-GXTWGEPZSA-N 1 2 322.409 1.364 20 30 DDEDLO CCCn1c2ccccc2n(C[C@@H](O)C[N@H+](C)CCC#N)c1=O ZINC000414116898 528825616 /nfs/dbraw/zinc/82/56/16/528825616.db2.gz YAAJMSXXJDYXMU-AWEZNQCLSA-N 1 2 316.405 1.419 20 30 DDEDLO CCCn1c2ccccc2n(C[C@@H](O)C[N@@H+](C)CCC#N)c1=O ZINC000414116898 528825624 /nfs/dbraw/zinc/82/56/24/528825624.db2.gz YAAJMSXXJDYXMU-AWEZNQCLSA-N 1 2 316.405 1.419 20 30 DDEDLO CC(C)[C@H](C)NC(=O)N1CC[C@H]([N@H+](C)Cc2ncccn2)C1 ZINC000331083000 528931954 /nfs/dbraw/zinc/93/19/54/528931954.db2.gz OZQIBNPLTIBOHQ-KBPBESRZSA-N 1 2 305.426 1.941 20 30 DDEDLO CC(C)[C@H](C)NC(=O)N1CC[C@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000331083000 528931958 /nfs/dbraw/zinc/93/19/58/528931958.db2.gz OZQIBNPLTIBOHQ-KBPBESRZSA-N 1 2 305.426 1.941 20 30 DDEDLO CC(C)[C@H](C)CNC(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000331030185 528938461 /nfs/dbraw/zinc/93/84/61/528938461.db2.gz FLQKANCKNYRJOX-ZIAGYGMSSA-N 1 2 307.442 1.915 20 30 DDEDLO CCN1CCN(C(=O)NCc2ncoc2C)C[C@H]1c1[nH]cc[nH+]1 ZINC000330000414 529107945 /nfs/dbraw/zinc/10/79/45/529107945.db2.gz WJMJADPLVVTDJH-ZDUSSCGKSA-N 1 2 318.381 1.499 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)NC2(C#N)CCC2)CCN1CC(F)F ZINC000346988151 529286026 /nfs/dbraw/zinc/28/60/26/529286026.db2.gz RJEMMYQEWAYFGX-LBPRGKRZSA-N 1 2 314.380 1.210 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)CCN1CC(F)F ZINC000346988151 529286028 /nfs/dbraw/zinc/28/60/28/529286028.db2.gz RJEMMYQEWAYFGX-LBPRGKRZSA-N 1 2 314.380 1.210 20 30 DDEDLO COC(=O)C[C@@H](C)[NH+]1CCN(C(=O)c2sccc2C#N)CC1 ZINC000174381994 536302776 /nfs/dbraw/zinc/30/27/76/536302776.db2.gz JMCOXQQPLADQEJ-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO C[C@@H](C1CC1)N(Cc1ccccc1)C(=O)CO[NH+]=C(N)CCO ZINC000121311492 696708182 /nfs/dbraw/zinc/70/81/82/696708182.db2.gz YPEKLUQAPHQDRH-ZDUSSCGKSA-N 1 2 319.405 1.485 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CC[C@@H]2CN(CC#N)C[C@@H]2C1 ZINC000980212689 696709454 /nfs/dbraw/zinc/70/94/54/696709454.db2.gz FGHXBPHCOCANBP-HZSPNIEDSA-N 1 2 301.394 1.001 20 30 DDEDLO CC(C)C[C@@H](NC(=O)CO[NH+]=C(N)CCO)c1ccccc1 ZINC000121419139 696709495 /nfs/dbraw/zinc/70/94/95/696709495.db2.gz QZWNQJHVOVJEOY-CQSZACIVSA-N 1 2 307.394 1.561 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3=CCCCC3)C2)C1 ZINC000972302409 695211312 /nfs/dbraw/zinc/21/13/12/695211312.db2.gz SPWALZWNUUYAPZ-GOSISDBHSA-N 1 2 302.418 1.813 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3=CCCCC3)C2)C1 ZINC000972302409 695211316 /nfs/dbraw/zinc/21/13/16/695211316.db2.gz SPWALZWNUUYAPZ-GOSISDBHSA-N 1 2 302.418 1.813 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(CC)n3)C2)C1 ZINC000972413280 695243011 /nfs/dbraw/zinc/24/30/11/695243011.db2.gz HJNPNHFFWDUXHA-SFHVURJKSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(CC)n3)C2)C1 ZINC000972413280 695243013 /nfs/dbraw/zinc/24/30/13/695243013.db2.gz HJNPNHFFWDUXHA-SFHVURJKSA-N 1 2 315.417 1.747 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C4CC4)CC3)C2)C1 ZINC000972424245 695246474 /nfs/dbraw/zinc/24/64/74/695246474.db2.gz HVCJZYODIYVWBQ-KRWDZBQOSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C4CC4)CC3)C2)C1 ZINC000972424245 695246476 /nfs/dbraw/zinc/24/64/76/695246476.db2.gz HVCJZYODIYVWBQ-KRWDZBQOSA-N 1 2 302.418 1.503 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@]34CCC[C@H]3OCC4)C2)C1 ZINC000972461233 695256575 /nfs/dbraw/zinc/25/65/75/695256575.db2.gz PMBBHFLCYCVAJK-NJAFHUGGSA-N 1 2 320.433 1.435 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@]34CCC[C@H]3OCC4)C2)C1 ZINC000972461233 695256576 /nfs/dbraw/zinc/25/65/76/695256576.db2.gz PMBBHFLCYCVAJK-NJAFHUGGSA-N 1 2 320.433 1.435 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(Cl)[nH]3)C2)C1 ZINC000972537056 695275721 /nfs/dbraw/zinc/27/57/21/695275721.db2.gz DCOJNBPXXZBCFW-OAHLLOKOSA-N 1 2 307.781 1.218 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(Cl)[nH]3)C2)C1 ZINC000972537056 695275724 /nfs/dbraw/zinc/27/57/24/695275724.db2.gz DCOJNBPXXZBCFW-OAHLLOKOSA-N 1 2 307.781 1.218 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ocnc3C3CC3)C2)C1 ZINC000972552597 695280029 /nfs/dbraw/zinc/28/00/29/695280029.db2.gz PQZDOZVDMZQEFD-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ocnc3C3CC3)C2)C1 ZINC000972552597 695280032 /nfs/dbraw/zinc/28/00/32/695280032.db2.gz PQZDOZVDMZQEFD-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCO[C@H]3C=C)C2)C1 ZINC000972561372 695283932 /nfs/dbraw/zinc/28/39/32/695283932.db2.gz PQKTZZJLOWJOLK-HLLBOEOZSA-N 1 2 306.406 1.067 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCO[C@H]3C=C)C2)C1 ZINC000972561372 695283936 /nfs/dbraw/zinc/28/39/36/695283936.db2.gz PQKTZZJLOWJOLK-HLLBOEOZSA-N 1 2 306.406 1.067 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3CCCOC3)C2)C1 ZINC000972677604 695316017 /nfs/dbraw/zinc/31/60/17/695316017.db2.gz RULIYWBAMYSJEM-SJLPKXTDSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3CCCOC3)C2)C1 ZINC000972677604 695316021 /nfs/dbraw/zinc/31/60/21/695316021.db2.gz RULIYWBAMYSJEM-SJLPKXTDSA-N 1 2 320.433 1.130 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3cnn(C)c3)C2)C1 ZINC000972702818 695319892 /nfs/dbraw/zinc/31/98/92/695319892.db2.gz MYLSZWYCBZFEBL-YOEHRIQHSA-N 1 2 318.421 1.013 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3cnn(C)c3)C2)C1 ZINC000972702818 695319894 /nfs/dbraw/zinc/31/98/94/695319894.db2.gz MYLSZWYCBZFEBL-YOEHRIQHSA-N 1 2 318.421 1.013 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C(C)(C)C1 ZINC000977390887 696141359 /nfs/dbraw/zinc/14/13/59/696141359.db2.gz ROFWJNHDAOZDEZ-LBPRGKRZSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C(C)(C)C1 ZINC000977390887 696141361 /nfs/dbraw/zinc/14/13/61/696141361.db2.gz ROFWJNHDAOZDEZ-LBPRGKRZSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnn3cc[nH]c23)C(C)(C)C1 ZINC000977518652 696197366 /nfs/dbraw/zinc/19/73/66/696197366.db2.gz JFMPMJOYJOIAJV-LBPRGKRZSA-N 1 2 321.812 1.855 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnn3cc[nH]c23)C(C)(C)C1 ZINC000977518652 696197367 /nfs/dbraw/zinc/19/73/67/696197367.db2.gz JFMPMJOYJOIAJV-LBPRGKRZSA-N 1 2 321.812 1.855 20 30 DDEDLO C#Cc1cccc(NC(=O)CNc2[nH+]cnc3c2cnn3C)c1 ZINC000052473238 696258001 /nfs/dbraw/zinc/25/80/01/696258001.db2.gz GQQDJFNWOWPMFK-UHFFFAOYSA-N 1 2 306.329 1.395 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2C[C@@H](C)O[C@H](C(=O)OC)C2)cc1 ZINC000092013759 696592064 /nfs/dbraw/zinc/59/20/64/696592064.db2.gz XISMWHCMFAPZBI-CJNGLKHVSA-N 1 2 303.358 1.461 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2C[C@@H](C)O[C@H](C(=O)OC)C2)cc1 ZINC000092013759 696592067 /nfs/dbraw/zinc/59/20/67/696592067.db2.gz XISMWHCMFAPZBI-CJNGLKHVSA-N 1 2 303.358 1.461 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCCN(C(=O)C(C)(C)F)CC2)C1=O ZINC000980608137 696813378 /nfs/dbraw/zinc/81/33/78/696813378.db2.gz KJSLYGVPEXIPNM-ZDUSSCGKSA-N 1 2 311.401 1.056 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCCN(C(=O)C(C)(C)F)CC2)C1=O ZINC000980608137 696813380 /nfs/dbraw/zinc/81/33/80/696813380.db2.gz KJSLYGVPEXIPNM-ZDUSSCGKSA-N 1 2 311.401 1.056 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2coc(-c3cccnc3)n2)CC1 ZINC000981549518 696813508 /nfs/dbraw/zinc/81/35/08/696813508.db2.gz IHAXIILIYMGPQL-UHFFFAOYSA-N 1 2 310.357 1.518 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2coc(-c3cccnc3)n2)CC1 ZINC000981549518 696813512 /nfs/dbraw/zinc/81/35/12/696813512.db2.gz IHAXIILIYMGPQL-UHFFFAOYSA-N 1 2 310.357 1.518 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2noc3c2CC(C)(C)CC3)CC1 ZINC000981679561 696872085 /nfs/dbraw/zinc/87/20/85/696872085.db2.gz RTBBICOGFSBKMY-UHFFFAOYSA-N 1 2 315.417 1.971 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2noc3c2CC(C)(C)CC3)CC1 ZINC000981679561 696872089 /nfs/dbraw/zinc/87/20/89/696872089.db2.gz RTBBICOGFSBKMY-UHFFFAOYSA-N 1 2 315.417 1.971 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@@H+]([C@@H]3CCNC3=O)CC2)CC1 ZINC000981885387 696955479 /nfs/dbraw/zinc/95/54/79/696955479.db2.gz OKPWFDUUMXVOHX-OAHLLOKOSA-N 1 2 305.422 1.156 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@H+]([C@@H]3CCNC3=O)CC2)CC1 ZINC000981885387 696955480 /nfs/dbraw/zinc/95/54/80/696955480.db2.gz OKPWFDUUMXVOHX-OAHLLOKOSA-N 1 2 305.422 1.156 20 30 DDEDLO N#CCN1CCCC2(CN(C(=O)[C@H]3CCCc4[nH+]c[nH]c43)C2)C1 ZINC000982005535 697000230 /nfs/dbraw/zinc/00/02/30/697000230.db2.gz RMCOQHHVDHYNRG-ZDUSSCGKSA-N 1 2 313.405 1.278 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@@H+]([C@@H](C)C(=O)NC)CC1 ZINC000981242874 697044573 /nfs/dbraw/zinc/04/45/73/697044573.db2.gz VJAQUMAZJUMOCW-NSHDSACASA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC[N@H+]([C@@H](C)C(=O)NC)CC1 ZINC000981242874 697044575 /nfs/dbraw/zinc/04/45/75/697044575.db2.gz VJAQUMAZJUMOCW-NSHDSACASA-N 1 2 315.845 1.434 20 30 DDEDLO N#CC1(C(=O)NCc2ccc(-n3cc[nH+]c3)cc2)CCOCC1 ZINC000171763732 697361614 /nfs/dbraw/zinc/36/16/14/697361614.db2.gz WZIVYKNVNOIWKB-UHFFFAOYSA-N 1 2 310.357 1.809 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@H+](Cc2ccc(O[C@@H](C)C#N)cc2)CCO1 ZINC000179711164 697440990 /nfs/dbraw/zinc/44/09/90/697440990.db2.gz XCTKBKZJXKPPGH-SUMWQHHRSA-N 1 2 317.389 1.314 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(O[C@@H](C)C#N)cc2)CCO1 ZINC000179711164 697440991 /nfs/dbraw/zinc/44/09/91/697440991.db2.gz XCTKBKZJXKPPGH-SUMWQHHRSA-N 1 2 317.389 1.314 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC(n2cc[nH+]c2)CC1)[C@H]1CCCO1 ZINC000776225744 698083480 /nfs/dbraw/zinc/08/34/80/698083480.db2.gz CIFSWZXWQSXYKD-HUUCEWRRSA-N 1 2 302.378 1.410 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC(c2c[nH]c[nH+]2)CC1)[C@H]1CCCO1 ZINC000776227950 698084314 /nfs/dbraw/zinc/08/43/14/698084314.db2.gz MQQJEWHHQVVBID-DZGCQCFKSA-N 1 2 302.378 1.480 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC(c2c[nH+]c[nH]2)CC1)[C@H]1CCCO1 ZINC000776227950 698084315 /nfs/dbraw/zinc/08/43/15/698084315.db2.gz MQQJEWHHQVVBID-DZGCQCFKSA-N 1 2 302.378 1.480 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)[C@@H]1C ZINC000987503513 698131599 /nfs/dbraw/zinc/13/15/99/698131599.db2.gz FEVCOJVIBPWLIK-ZYHUDNBSSA-N 1 2 319.796 1.903 20 30 DDEDLO N#Cc1c(NC(=O)c2cccc3[nH+]ccn32)nc2n1CCS2 ZINC000776899072 698146004 /nfs/dbraw/zinc/14/60/04/698146004.db2.gz FNILGQNIRXUVPU-UHFFFAOYSA-N 1 2 310.342 1.760 20 30 DDEDLO NC(=[NH+]OCC1(CO)COC1)c1ccc(Br)cc1 ZINC000782950492 698788345 /nfs/dbraw/zinc/78/83/45/698788345.db2.gz ZPRSKHDTCXWHAP-UHFFFAOYSA-N 1 2 315.167 1.095 20 30 DDEDLO C=CCNC(=O)Cc1noc(Cc2cn3c([nH+]2)CCCC3)n1 ZINC000351437804 698878771 /nfs/dbraw/zinc/87/87/71/698878771.db2.gz WJTDRKAOIILKGU-UHFFFAOYSA-N 1 2 301.350 1.038 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)/C=C/c2ccc(Br)o2)CC1 ZINC000700603538 699221978 /nfs/dbraw/zinc/22/19/78/699221978.db2.gz YLMFYYKPBFXMAF-GQCTYLIASA-N 1 2 323.190 1.833 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2ccc(=O)n(C)c2c1 ZINC000787619373 699223833 /nfs/dbraw/zinc/22/38/33/699223833.db2.gz XSKBIHHMJGFJQP-HNNXBMFYSA-N 1 2 309.369 1.575 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2ccc(=O)n(C)c2c1 ZINC000787619373 699223836 /nfs/dbraw/zinc/22/38/36/699223836.db2.gz XSKBIHHMJGFJQP-HNNXBMFYSA-N 1 2 309.369 1.575 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)c3cccc4[nH+]ccn43)CCC[C@@H]12 ZINC000991845091 699420041 /nfs/dbraw/zinc/42/00/41/699420041.db2.gz YIVNCNBOJCOZRJ-RHSMWYFYSA-N 1 2 309.373 1.585 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CCN(c3cccc[nH+]3)CC2)cn1 ZINC000728812675 699456856 /nfs/dbraw/zinc/45/68/56/699456856.db2.gz KANLWMWGAAYTSX-UHFFFAOYSA-N 1 2 306.369 1.857 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cnn(Cc2ccccc2)c1 ZINC000730105653 699501738 /nfs/dbraw/zinc/50/17/38/699501738.db2.gz LBGMAIBDTHGYKS-KRWDZBQOSA-N 1 2 308.385 1.968 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cnn(Cc2ccccc2)c1 ZINC000730105653 699501739 /nfs/dbraw/zinc/50/17/39/699501739.db2.gz LBGMAIBDTHGYKS-KRWDZBQOSA-N 1 2 308.385 1.968 20 30 DDEDLO N#CCOc1ccc(CC(=O)NCCNc2cccc[nH+]2)cc1 ZINC000732266379 699552214 /nfs/dbraw/zinc/55/22/14/699552214.db2.gz AMAUDUZRLSJDMS-UHFFFAOYSA-N 1 2 310.357 1.755 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@@H](O)COCCOC(C)C ZINC000734851355 699664526 /nfs/dbraw/zinc/66/45/26/699664526.db2.gz VBQSRIMULPUHQK-GFCCVEGCSA-N 1 2 310.232 1.629 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@@H](O)COCCOC(C)C ZINC000734851355 699664527 /nfs/dbraw/zinc/66/45/27/699664527.db2.gz VBQSRIMULPUHQK-GFCCVEGCSA-N 1 2 310.232 1.629 20 30 DDEDLO N#Cc1cc(C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)ccc1F ZINC000792305803 699691822 /nfs/dbraw/zinc/69/18/22/699691822.db2.gz FDANGIQCPKAHMV-OKILXGFUSA-N 1 2 304.321 1.717 20 30 DDEDLO N#Cc1cc(C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)ccc1F ZINC000792305803 699691824 /nfs/dbraw/zinc/69/18/24/699691824.db2.gz FDANGIQCPKAHMV-OKILXGFUSA-N 1 2 304.321 1.717 20 30 DDEDLO COC(=O)c1cc(N[NH+]=Cc2c(N)ncnc2OC)ccc1C ZINC000793098672 699732930 /nfs/dbraw/zinc/73/29/30/699732930.db2.gz VDSVBZZMOXHJDA-UHFFFAOYSA-N 1 2 315.333 1.608 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CCC[C@H]3COCC[C@H]32)c1=S ZINC000794632770 699820342 /nfs/dbraw/zinc/82/03/42/699820342.db2.gz RZRDUTLHTKIILT-NWDGAFQWSA-N 1 2 307.423 1.476 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CCC[C@H]3COCC[C@H]32)c1=S ZINC000794632770 699820344 /nfs/dbraw/zinc/82/03/44/699820344.db2.gz RZRDUTLHTKIILT-NWDGAFQWSA-N 1 2 307.423 1.476 20 30 DDEDLO Cc1nn(-c2ccccn2)c(Cl)c1C=NNC1=[NH+]C[C@H](C)N1 ZINC000794927146 699836290 /nfs/dbraw/zinc/83/62/90/699836290.db2.gz JFUPMYFKYNGWCG-VIFPVBQESA-N 1 2 317.784 1.500 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CC(C)(C)CC)CC1 ZINC000744503680 699952130 /nfs/dbraw/zinc/95/21/30/699952130.db2.gz GSAWCAYSYOOODK-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CC(C)(C)CC)CC1 ZINC000744503680 699952132 /nfs/dbraw/zinc/95/21/32/699952132.db2.gz GSAWCAYSYOOODK-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO Cc1cc(N[C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]2C#N)nc(N)[nH+]1 ZINC000754128218 700485559 /nfs/dbraw/zinc/48/55/59/700485559.db2.gz OESIYMLLLGHROX-WDEREUQCSA-N 1 2 318.381 1.538 20 30 DDEDLO Cn1c[nH+]cc1CN=Nc1nc(Br)cn2ccnc12 ZINC000755758389 700582047 /nfs/dbraw/zinc/58/20/47/700582047.db2.gz PJRAOWSEEWTOGS-UHFFFAOYSA-N 1 2 320.154 1.671 20 30 DDEDLO CCC[N@@H+](CC(=O)Nc1ccccc1OC)C[C@H](O)CC#N ZINC000809570967 701670208 /nfs/dbraw/zinc/67/02/08/701670208.db2.gz WGHWWIIKEXHPCJ-CYBMUJFWSA-N 1 2 305.378 1.620 20 30 DDEDLO CCC[N@H+](CC(=O)Nc1ccccc1OC)C[C@H](O)CC#N ZINC000809570967 701670211 /nfs/dbraw/zinc/67/02/11/701670211.db2.gz WGHWWIIKEXHPCJ-CYBMUJFWSA-N 1 2 305.378 1.620 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCCOc1ccc(Cl)cc1)C1CC1 ZINC000763950613 700954688 /nfs/dbraw/zinc/95/46/88/700954688.db2.gz NAIPFWOFOYATMQ-UHFFFAOYSA-N 1 2 306.793 1.933 20 30 DDEDLO C#CC[N@H+](CC(=O)NCCOc1ccc(Cl)cc1)C1CC1 ZINC000763950613 700954689 /nfs/dbraw/zinc/95/46/89/700954689.db2.gz NAIPFWOFOYATMQ-UHFFFAOYSA-N 1 2 306.793 1.933 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1noc(-c2ccccc2C)n1 ZINC000764857634 700988629 /nfs/dbraw/zinc/98/86/29/700988629.db2.gz TULUWNKNCPRLNS-HNNXBMFYSA-N 1 2 324.384 1.759 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1noc(-c2ccccc2C)n1 ZINC000764857634 700988631 /nfs/dbraw/zinc/98/86/31/700988631.db2.gz TULUWNKNCPRLNS-HNNXBMFYSA-N 1 2 324.384 1.759 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(C)ccnc2OCC)CC1 ZINC000765282096 701005620 /nfs/dbraw/zinc/00/56/20/701005620.db2.gz HWMPZMKNXLXIQR-UHFFFAOYSA-N 1 2 301.390 1.570 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)CC[N@@H+]2CCOC(C)(C)C2)c1 ZINC000807435965 701476839 /nfs/dbraw/zinc/47/68/39/701476839.db2.gz JFPXBBWMEYVARF-UHFFFAOYSA-N 1 2 301.390 1.246 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)CC[N@H+]2CCOC(C)(C)C2)c1 ZINC000807435965 701476841 /nfs/dbraw/zinc/47/68/41/701476841.db2.gz JFPXBBWMEYVARF-UHFFFAOYSA-N 1 2 301.390 1.246 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(C(=O)[C@@]2(C#N)CCCOC2)C1 ZINC000867844011 701789114 /nfs/dbraw/zinc/78/91/14/701789114.db2.gz OFUPVIDEGMWKND-XJKSGUPXSA-N 1 2 302.378 1.446 20 30 DDEDLO C#CCNC(=O)CC[NH2+]C1(C(=O)OC(C)(C)C)CCCCC1 ZINC000815076024 701794822 /nfs/dbraw/zinc/79/48/22/701794822.db2.gz URCSFFGWARSGJD-UHFFFAOYSA-N 1 2 308.422 1.760 20 30 DDEDLO C[C@@H](C(=O)OCCOCCC#N)[N@@H+]1CCc2ccccc2C1 ZINC000815212707 701825867 /nfs/dbraw/zinc/82/58/67/701825867.db2.gz YMJZWRIKVAZVBP-AWEZNQCLSA-N 1 2 302.374 1.907 20 30 DDEDLO C[C@@H](C(=O)OCCOCCC#N)[N@H+]1CCc2ccccc2C1 ZINC000815212707 701825875 /nfs/dbraw/zinc/82/58/75/701825875.db2.gz YMJZWRIKVAZVBP-AWEZNQCLSA-N 1 2 302.374 1.907 20 30 DDEDLO Cn1ccnc1[C@H](O)[C@@H]1CCC[N@H+](Cc2nc(C#N)cs2)C1 ZINC000811467526 701991991 /nfs/dbraw/zinc/99/19/91/701991991.db2.gz NVYYNXKMDVDALH-BXUZGUMPSA-N 1 2 317.418 1.694 20 30 DDEDLO Cn1ccnc1[C@H](O)[C@@H]1CCC[N@@H+](Cc2nc(C#N)cs2)C1 ZINC000811467526 701991994 /nfs/dbraw/zinc/99/19/94/701991994.db2.gz NVYYNXKMDVDALH-BXUZGUMPSA-N 1 2 317.418 1.694 20 30 DDEDLO C#CCCNC(=O)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000868253625 702024483 /nfs/dbraw/zinc/02/44/83/702024483.db2.gz WLIQRDIFFKJEOY-KRWDZBQOSA-N 1 2 315.417 1.518 20 30 DDEDLO C#CCCNC(=O)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000868253625 702024491 /nfs/dbraw/zinc/02/44/91/702024491.db2.gz WLIQRDIFFKJEOY-KRWDZBQOSA-N 1 2 315.417 1.518 20 30 DDEDLO C=C(Br)CNC(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000840272297 702050828 /nfs/dbraw/zinc/05/08/28/702050828.db2.gz MTBVMNZAQQRLLU-UHFFFAOYSA-N 1 2 320.231 1.305 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@H](CNc3ccc(C#N)nn3)C2)cc1 ZINC000866190239 706643791 /nfs/dbraw/zinc/64/37/91/706643791.db2.gz JBILIFXGVBMGHL-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@H](CNc3ccc(C#N)nn3)C2)cc1 ZINC000866190239 706643793 /nfs/dbraw/zinc/64/37/93/706643793.db2.gz JBILIFXGVBMGHL-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@@H](CNc3ccnc(C#N)n3)C2)c1 ZINC000866189850 706643942 /nfs/dbraw/zinc/64/39/42/706643942.db2.gz FDZAPHMGPFWXIZ-INIZCTEOSA-N 1 2 323.400 1.392 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@@H](CNc3ccnc(C#N)n3)C2)c1 ZINC000866189850 706643947 /nfs/dbraw/zinc/64/39/47/706643947.db2.gz FDZAPHMGPFWXIZ-INIZCTEOSA-N 1 2 323.400 1.392 20 30 DDEDLO CCCCCCCS(=O)(=O)N1C[C@@H](C)[N@H+](CCO)C[C@@H]1C ZINC000841574517 702502674 /nfs/dbraw/zinc/50/26/74/702502674.db2.gz XQOHQUWSBSWNAE-CABCVRRESA-N 1 2 320.499 1.674 20 30 DDEDLO CCCCCCCS(=O)(=O)N1C[C@@H](C)[N@@H+](CCO)C[C@@H]1C ZINC000841574517 702502675 /nfs/dbraw/zinc/50/26/75/702502675.db2.gz XQOHQUWSBSWNAE-CABCVRRESA-N 1 2 320.499 1.674 20 30 DDEDLO CC(=[NH+]N(C)C[C@@H](C)O)c1c(F)cccc1N1CCOCC1 ZINC000841652892 702518150 /nfs/dbraw/zinc/51/81/50/702518150.db2.gz OUDPYDRZONRYAF-GFCCVEGCSA-N 1 2 309.385 1.699 20 30 DDEDLO Cc1nn2c([nH]c3ccccc3c2=O)c1C=[NH+]N(C)[C@@H](C)CO ZINC000842545020 702733444 /nfs/dbraw/zinc/73/34/44/702733444.db2.gz YSGSYQCILLERLF-JTQLQIEISA-N 1 2 313.361 1.131 20 30 DDEDLO CC[C@H](C#N)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000845756807 703197576 /nfs/dbraw/zinc/19/75/76/703197576.db2.gz MOMQVXJLMZFPTO-OLZOCXBDSA-N 1 2 324.425 1.203 20 30 DDEDLO CC[C@H](C#N)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000845756807 703197577 /nfs/dbraw/zinc/19/75/77/703197577.db2.gz MOMQVXJLMZFPTO-OLZOCXBDSA-N 1 2 324.425 1.203 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](Cc2cc(Cl)cc(S(N)(=O)=O)c2)C1 ZINC000847017777 703366141 /nfs/dbraw/zinc/36/61/41/703366141.db2.gz DRALPPRKQHXJEX-LLVKDONJSA-N 1 2 312.822 1.833 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](Cc2cc(Cl)cc(S(N)(=O)=O)c2)C1 ZINC000847017777 703366143 /nfs/dbraw/zinc/36/61/43/703366143.db2.gz DRALPPRKQHXJEX-LLVKDONJSA-N 1 2 312.822 1.833 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](Cc2ccc(S(=O)(=O)N(C)C)s2)C1 ZINC000847035996 703369996 /nfs/dbraw/zinc/36/99/96/703369996.db2.gz OCSPHGIQOLDXHW-GFCCVEGCSA-N 1 2 312.460 1.844 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](Cc2ccc(S(=O)(=O)N(C)C)s2)C1 ZINC000847035996 703369997 /nfs/dbraw/zinc/36/99/97/703369997.db2.gz OCSPHGIQOLDXHW-GFCCVEGCSA-N 1 2 312.460 1.844 20 30 DDEDLO C#CCOCC[N@H+]1C[C@@H](F)C[C@H]1CN(C)C(=O)OC(C)(C)C ZINC000852024007 703906393 /nfs/dbraw/zinc/90/63/93/703906393.db2.gz RGXKUVUPKVMGCG-KBPBESRZSA-N 1 2 314.401 1.916 20 30 DDEDLO C#CCOCC[N@@H+]1C[C@@H](F)C[C@H]1CN(C)C(=O)OC(C)(C)C ZINC000852024007 703906395 /nfs/dbraw/zinc/90/63/95/703906395.db2.gz RGXKUVUPKVMGCG-KBPBESRZSA-N 1 2 314.401 1.916 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CC(=O)Nc2ccccc2C(N)=O)C1 ZINC000852319058 704011521 /nfs/dbraw/zinc/01/15/21/704011521.db2.gz FYMZAJRUIRNBMI-LBPRGKRZSA-N 1 2 300.362 1.350 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CC(=O)Nc2ccccc2C(N)=O)C1 ZINC000852319058 704011522 /nfs/dbraw/zinc/01/15/22/704011522.db2.gz FYMZAJRUIRNBMI-LBPRGKRZSA-N 1 2 300.362 1.350 20 30 DDEDLO C[C@]1(NC(=O)C(F)(F)F)CC[N@H+](CCCSCC#N)C1 ZINC000879764774 706785306 /nfs/dbraw/zinc/78/53/06/706785306.db2.gz IMHRCEGQSRWGAF-NSHDSACASA-N 1 2 309.357 1.776 20 30 DDEDLO C[C@]1(NC(=O)C(F)(F)F)CC[N@@H+](CCCSCC#N)C1 ZINC000879764774 706785308 /nfs/dbraw/zinc/78/53/08/706785308.db2.gz IMHRCEGQSRWGAF-NSHDSACASA-N 1 2 309.357 1.776 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[C@H](c2[nH+]ccn2C)C1)C1CCOCC1 ZINC000852643699 704091259 /nfs/dbraw/zinc/09/12/59/704091259.db2.gz YFSLLRGMQCPGQC-LSDHHAIUSA-N 1 2 316.405 1.347 20 30 DDEDLO Cc1ccc(N2CC[NH+](CC(=O)NCCC#N)CC2)c(C)c1 ZINC000852742164 704111033 /nfs/dbraw/zinc/11/10/33/704111033.db2.gz DPQOREUNVYATQN-UHFFFAOYSA-N 1 2 300.406 1.455 20 30 DDEDLO C#CCC[N@@H+](CCOC)Cc1cccc(C(=O)OC)c1C#N ZINC000852751248 704113438 /nfs/dbraw/zinc/11/34/38/704113438.db2.gz UTMAFMYEDSJLDX-UHFFFAOYSA-N 1 2 300.358 1.817 20 30 DDEDLO C#CCC[N@H+](CCOC)Cc1cccc(C(=O)OC)c1C#N ZINC000852751248 704113441 /nfs/dbraw/zinc/11/34/41/704113441.db2.gz UTMAFMYEDSJLDX-UHFFFAOYSA-N 1 2 300.358 1.817 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)Nc1cccc2nsnc21 ZINC000852751870 704114664 /nfs/dbraw/zinc/11/46/64/704114664.db2.gz JBQVGLURNLKYJC-UHFFFAOYSA-N 1 2 318.402 1.602 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)Nc1cccc2nsnc21 ZINC000852751870 704114668 /nfs/dbraw/zinc/11/46/68/704114668.db2.gz JBQVGLURNLKYJC-UHFFFAOYSA-N 1 2 318.402 1.602 20 30 DDEDLO C#CCOc1ccc(F)cc1NC[C@H](O)C[N@H+](C)CCC#N ZINC000819448763 704126855 /nfs/dbraw/zinc/12/68/55/704126855.db2.gz DUKUHNWNENYLQP-AWEZNQCLSA-N 1 2 305.353 1.456 20 30 DDEDLO C#CCOc1ccc(F)cc1NC[C@H](O)C[N@@H+](C)CCC#N ZINC000819448763 704126856 /nfs/dbraw/zinc/12/68/56/704126856.db2.gz DUKUHNWNENYLQP-AWEZNQCLSA-N 1 2 305.353 1.456 20 30 DDEDLO N#Cc1ccc2[nH]c(NC(=O)[C@H]3CCc4[nH+]ccn4C3)nc2c1 ZINC000871072377 704213385 /nfs/dbraw/zinc/21/33/85/704213385.db2.gz KKAJZONCWYVXHP-NSHDSACASA-N 1 2 306.329 1.832 20 30 DDEDLO CC1(C)C(=O)N(C[N@@H+]2CCC[C@H](CC#N)C2)[C@H]1[C@@H]1CCCO1 ZINC000853525099 704259564 /nfs/dbraw/zinc/25/95/64/704259564.db2.gz SMFFKQNOFLZQDO-ILXRZTDVSA-N 1 2 305.422 1.986 20 30 DDEDLO CC1(C)C(=O)N(C[N@H+]2CCC[C@H](CC#N)C2)[C@H]1[C@@H]1CCCO1 ZINC000853525099 704259565 /nfs/dbraw/zinc/25/95/65/704259565.db2.gz SMFFKQNOFLZQDO-ILXRZTDVSA-N 1 2 305.422 1.986 20 30 DDEDLO C=CC[C@@H]1CCC[N@@H+](Cn2nc(C(=O)OC)cc2C(=O)OC)C1 ZINC000853537283 704262442 /nfs/dbraw/zinc/26/24/42/704262442.db2.gz UCHFTCOZDJITOO-GFCCVEGCSA-N 1 2 321.377 1.702 20 30 DDEDLO C=CC[C@@H]1CCC[N@H+](Cn2nc(C(=O)OC)cc2C(=O)OC)C1 ZINC000853537283 704262443 /nfs/dbraw/zinc/26/24/43/704262443.db2.gz UCHFTCOZDJITOO-GFCCVEGCSA-N 1 2 321.377 1.702 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)[C@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1=O ZINC000853621066 704288092 /nfs/dbraw/zinc/28/80/92/704288092.db2.gz OVJTZFGUUUBPKE-FSZRXZPDSA-N 1 2 318.417 1.337 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)[C@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1=O ZINC000853621066 704288093 /nfs/dbraw/zinc/28/80/93/704288093.db2.gz OVJTZFGUUUBPKE-FSZRXZPDSA-N 1 2 318.417 1.337 20 30 DDEDLO C#CCCCOc1cccnc1N(C)CC[NH+]1CCOCC1 ZINC000820652740 704315003 /nfs/dbraw/zinc/31/50/03/704315003.db2.gz WAZCKTCUZMQVJO-UHFFFAOYSA-N 1 2 303.406 1.642 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)COc1ccccc1C#N ZINC000874698538 705131389 /nfs/dbraw/zinc/13/13/89/705131389.db2.gz GWPXRFPBTQFWEL-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)COc1ccccc1C#N ZINC000874698538 705131392 /nfs/dbraw/zinc/13/13/92/705131392.db2.gz GWPXRFPBTQFWEL-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ccccc1CC#N ZINC000874711182 705145926 /nfs/dbraw/zinc/14/59/26/705145926.db2.gz HVKJXJWBMIDJNP-HNNXBMFYSA-N 1 2 301.390 1.593 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1ccccc1CC#N ZINC000874711182 705145928 /nfs/dbraw/zinc/14/59/28/705145928.db2.gz HVKJXJWBMIDJNP-HNNXBMFYSA-N 1 2 301.390 1.593 20 30 DDEDLO COc1ccc(CNC(=O)N[C@H]2Cc3c[nH+]cn3C2)cc1C#N ZINC000875404888 705376873 /nfs/dbraw/zinc/37/68/73/705376873.db2.gz JOSHWUOPNXBEDP-ZDUSSCGKSA-N 1 2 311.345 1.187 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000875635456 705457839 /nfs/dbraw/zinc/45/78/39/705457839.db2.gz CIUYQMFMMHJGGB-SOUVJXGZSA-N 1 2 308.426 1.479 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000875635456 705457842 /nfs/dbraw/zinc/45/78/42/705457842.db2.gz CIUYQMFMMHJGGB-SOUVJXGZSA-N 1 2 308.426 1.479 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)NCC(C)(C)[NH+]2CCOCC2)C1 ZINC000825526975 705687900 /nfs/dbraw/zinc/68/79/00/705687900.db2.gz XKWAVDWEVOCHHU-HNNXBMFYSA-N 1 2 307.438 1.542 20 30 DDEDLO C#CCCCCCC[NH+]1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000876549108 705754325 /nfs/dbraw/zinc/75/43/25/705754325.db2.gz GGTYNFSWQYWTDY-MRXNPFEDSA-N 1 2 312.479 1.375 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)[C@H](O)c1ccc(C#N)cc1 ZINC000826704686 705852490 /nfs/dbraw/zinc/85/24/90/705852490.db2.gz VZVWEIZWJXTHQF-ZWKOTPCHSA-N 1 2 321.380 1.895 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)[C@H](O)c1ccc(C#N)cc1 ZINC000826704686 705852493 /nfs/dbraw/zinc/85/24/93/705852493.db2.gz VZVWEIZWJXTHQF-ZWKOTPCHSA-N 1 2 321.380 1.895 20 30 DDEDLO C[C@@H]([NH2+]Cc1cc(C#N)cnc1Cl)C(=O)NCc1ccco1 ZINC000877149992 705998063 /nfs/dbraw/zinc/99/80/63/705998063.db2.gz JCAGMCGQVUJWMS-SNVBAGLBSA-N 1 2 318.764 1.994 20 30 DDEDLO C#C[C@@H](NS(=O)(=O)CCC[NH+]1CCOCC1)c1ccccc1 ZINC000827906698 706085153 /nfs/dbraw/zinc/08/51/53/706085153.db2.gz SEPUEVYCGXUCKI-MRXNPFEDSA-N 1 2 322.430 1.003 20 30 DDEDLO Cn1ccnc1[C@H](O)C1CC[NH+](Cc2ccncc2C#N)CC1 ZINC000828087191 706116024 /nfs/dbraw/zinc/11/60/24/706116024.db2.gz ASPAFZGJKFCWQF-MRXNPFEDSA-N 1 2 311.389 1.632 20 30 DDEDLO Cn1nnnc1C1CC[NH+](Cc2cc(C#N)cnc2Cl)CC1 ZINC000877780751 706208569 /nfs/dbraw/zinc/20/85/69/706208569.db2.gz BKSUWCHCUFRWLX-UHFFFAOYSA-N 1 2 317.784 1.510 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000878159806 706310170 /nfs/dbraw/zinc/31/01/70/706310170.db2.gz WRMHJCVXMXECSL-IKGGRYGDSA-N 1 2 307.438 1.492 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)[C@H]1CCc3c[nH+]cn3C1)C2 ZINC000829485835 706343175 /nfs/dbraw/zinc/34/31/75/706343175.db2.gz KTIHPEUYRUCFLN-GOEBONIOSA-N 1 2 306.369 1.601 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(F)cccc2[N+](=O)[O-])CC1 ZINC000865086424 706345705 /nfs/dbraw/zinc/34/57/05/706345705.db2.gz VMCRVAWUVKADAN-UHFFFAOYSA-N 1 2 305.309 1.515 20 30 DDEDLO CC(C)(O)C[C@H](O)C[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000878321850 706359183 /nfs/dbraw/zinc/35/91/83/706359183.db2.gz CNWKEWBQIMCZDE-JKSUJKDBSA-N 1 2 304.390 1.453 20 30 DDEDLO CC(C)(O)C[C@H](O)C[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000878321850 706359186 /nfs/dbraw/zinc/35/91/86/706359186.db2.gz CNWKEWBQIMCZDE-JKSUJKDBSA-N 1 2 304.390 1.453 20 30 DDEDLO C=C(C)CNC(=S)N1CC[NH+](CCc2cnccn2)CC1 ZINC000865258946 706390099 /nfs/dbraw/zinc/39/00/99/706390099.db2.gz TWHQYVCLKSTLNL-UHFFFAOYSA-N 1 2 305.451 1.087 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)CC1 ZINC000878750702 706488251 /nfs/dbraw/zinc/48/82/51/706488251.db2.gz LEHYGLVGLMJKLS-BLLLJJGKSA-N 1 2 302.422 1.842 20 30 DDEDLO C#CCOc1ccc(F)cc1NC(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000878803554 706503245 /nfs/dbraw/zinc/50/32/45/706503245.db2.gz COVPDBVAHPEDJA-LBPRGKRZSA-N 1 2 314.320 1.781 20 30 DDEDLO C#CC[N@@H+](C[C@H](O)c1cc(Br)no1)C1CSC1 ZINC000878909239 706530241 /nfs/dbraw/zinc/53/02/41/706530241.db2.gz PDEMEBVAICIZNP-VIFPVBQESA-N 1 2 317.208 1.521 20 30 DDEDLO C#CC[N@H+](C[C@H](O)c1cc(Br)no1)C1CSC1 ZINC000878909239 706530245 /nfs/dbraw/zinc/53/02/45/706530245.db2.gz PDEMEBVAICIZNP-VIFPVBQESA-N 1 2 317.208 1.521 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@H](C(C)(C)O)C1 ZINC000880146647 706892096 /nfs/dbraw/zinc/89/20/96/706892096.db2.gz NOVWVXYLWQYOGK-GJZGRUSLSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@H](C(C)(C)O)C1 ZINC000880146647 706892099 /nfs/dbraw/zinc/89/20/99/706892099.db2.gz NOVWVXYLWQYOGK-GJZGRUSLSA-N 1 2 302.374 1.865 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)C(=O)NCCCCn2cc[nH+]c2)C1 ZINC000834608636 707093124 /nfs/dbraw/zinc/09/31/24/707093124.db2.gz JBUNGXBJWJZXHE-OAHLLOKOSA-N 1 2 316.405 1.041 20 30 DDEDLO C#CCn1cc(CNC(=O)[C@H](CC)[N@@H+]2CCO[C@H](CC)C2)cn1 ZINC000834615036 707093904 /nfs/dbraw/zinc/09/39/04/707093904.db2.gz ZLMNIVOUWKOGAW-CVEARBPZSA-N 1 2 318.421 1.022 20 30 DDEDLO C#CCn1cc(CNC(=O)[C@H](CC)[N@H+]2CCO[C@H](CC)C2)cn1 ZINC000834615036 707093909 /nfs/dbraw/zinc/09/39/09/707093909.db2.gz ZLMNIVOUWKOGAW-CVEARBPZSA-N 1 2 318.421 1.022 20 30 DDEDLO C#CCOc1ccc(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)cc1 ZINC000836868727 707535703 /nfs/dbraw/zinc/53/57/03/707535703.db2.gz DVNFDKQBUQJLBP-OAHLLOKOSA-N 1 2 309.369 1.774 20 30 DDEDLO C=C(C)C[C@@H](CO)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000882844846 707815022 /nfs/dbraw/zinc/81/50/22/707815022.db2.gz BSPWECVBBHDFRL-AWEZNQCLSA-N 1 2 324.446 1.280 20 30 DDEDLO C=C(C)C[C@@H](CO)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000882844846 707815027 /nfs/dbraw/zinc/81/50/27/707815027.db2.gz BSPWECVBBHDFRL-AWEZNQCLSA-N 1 2 324.446 1.280 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(OC(F)F)cc1 ZINC000884048534 708116981 /nfs/dbraw/zinc/11/69/81/708116981.db2.gz NYLNBOQWWCTZAC-NSHDSACASA-N 1 2 314.288 1.673 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)CCOc1cccc(C)c1 ZINC000884061364 708122015 /nfs/dbraw/zinc/12/20/15/708122015.db2.gz FSJXRZIPJBWHQJ-HNNXBMFYSA-N 1 2 320.389 1.279 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](c1cccs1)C1CC1 ZINC000884063731 708123026 /nfs/dbraw/zinc/12/30/26/708123026.db2.gz KCAJVCFMSPGTKI-FZMZJTMJSA-N 1 2 308.403 1.762 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC(C)(C)c1ccccc1 ZINC000884082500 708131102 /nfs/dbraw/zinc/13/11/02/708131102.db2.gz JWAPMDKTXFUNAJ-AWEZNQCLSA-N 1 2 304.390 1.527 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(F)c(OC)c(F)c1 ZINC000884083142 708131563 /nfs/dbraw/zinc/13/15/63/708131563.db2.gz FXSCMLSUZYTROM-NSHDSACASA-N 1 2 314.288 1.359 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC(C)(C)c1ccc(C)cc1 ZINC000884126300 708150706 /nfs/dbraw/zinc/15/07/06/708150706.db2.gz VVGGBWVAVIULDU-HNNXBMFYSA-N 1 2 318.417 1.835 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCCc2sccc2C1 ZINC000884130399 708152982 /nfs/dbraw/zinc/15/29/82/708152982.db2.gz JFWCPMOFGSWBGY-LBPRGKRZSA-N 1 2 308.403 1.470 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(Cl)c(C)c1 ZINC000884314919 708240435 /nfs/dbraw/zinc/24/04/35/708240435.db2.gz AREFCUYVRIPVGQ-ZDUSSCGKSA-N 1 2 310.781 1.711 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCc3cc(Cl)nnc3C2)CCC1 ZINC000886263613 708731472 /nfs/dbraw/zinc/73/14/72/708731472.db2.gz BADXJARFLCAXSB-GFCCVEGCSA-N 1 2 306.797 1.933 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCc3cc(Cl)nnc3C2)CCC1 ZINC000886263613 708731474 /nfs/dbraw/zinc/73/14/74/708731474.db2.gz BADXJARFLCAXSB-GFCCVEGCSA-N 1 2 306.797 1.933 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@]2(CO)COCC3(CCC3)[N@H+]2C)CC1 ZINC000899129761 708994266 /nfs/dbraw/zinc/99/42/66/708994266.db2.gz IKHXPBMHTCPKHZ-GOSISDBHSA-N 1 2 322.449 1.465 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@]2(CO)COCC3(CCC3)[N@@H+]2C)CC1 ZINC000899129761 708994267 /nfs/dbraw/zinc/99/42/67/708994267.db2.gz IKHXPBMHTCPKHZ-GOSISDBHSA-N 1 2 322.449 1.465 20 30 DDEDLO Cc1cc(=O)[nH]c(N[NH+]=Cc2ccccc2N2CCOCC2)n1 ZINC000912773388 713089650 /nfs/dbraw/zinc/08/96/50/713089650.db2.gz OCLVZYPDCQYIGY-UHFFFAOYSA-N 1 2 313.361 1.773 20 30 DDEDLO N#Cc1ccc(OC2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)cc1 ZINC000900571952 709656246 /nfs/dbraw/zinc/65/62/46/709656246.db2.gz KBEGGLYNNHZVRN-UHFFFAOYSA-N 1 2 310.357 1.894 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000890243306 709798321 /nfs/dbraw/zinc/79/83/21/709798321.db2.gz ICUFDPUGOVVGIJ-HUUCEWRRSA-N 1 2 300.362 1.471 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NC2(C#N)CCSCC2)[nH]n1 ZINC000901209239 709969099 /nfs/dbraw/zinc/96/90/99/709969099.db2.gz UZBRCFQBTFXVRO-CYBMUJFWSA-N 1 2 319.434 1.696 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)NC2(C#N)CCSCC2)[nH]n1 ZINC000901209239 709969101 /nfs/dbraw/zinc/96/91/01/709969101.db2.gz UZBRCFQBTFXVRO-CYBMUJFWSA-N 1 2 319.434 1.696 20 30 DDEDLO C[C@@]12C(=O)N(C[N@@H+]3CCC[C@H](CC#N)C3)C(=O)[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000902312880 710711360 /nfs/dbraw/zinc/71/13/60/710711360.db2.gz VHSGACJONWYYQY-AZSHCRGASA-N 1 2 313.401 1.767 20 30 DDEDLO C[C@@]12C(=O)N(C[N@H+]3CCC[C@H](CC#N)C3)C(=O)[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000902312880 710711365 /nfs/dbraw/zinc/71/13/65/710711365.db2.gz VHSGACJONWYYQY-AZSHCRGASA-N 1 2 313.401 1.767 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)cc(C)c1C#N ZINC000913441776 713217615 /nfs/dbraw/zinc/21/76/15/713217615.db2.gz QYENFSWKGDRHJE-MRXNPFEDSA-N 1 2 309.373 1.685 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H](Nc2ncccn2)C1 ZINC000903031648 711008094 /nfs/dbraw/zinc/00/80/94/711008094.db2.gz SRHCZTPGLUYKBF-BBRMVZONSA-N 1 2 316.409 1.017 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@H](Nc2ncccn2)C1 ZINC000903031648 711008098 /nfs/dbraw/zinc/00/80/98/711008098.db2.gz SRHCZTPGLUYKBF-BBRMVZONSA-N 1 2 316.409 1.017 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)c3cc(C#N)cs3)CC[NH2+]2)cn1 ZINC000913469411 713229720 /nfs/dbraw/zinc/22/97/20/713229720.db2.gz ZDGUARXMNWOWPE-GFCCVEGCSA-N 1 2 301.375 1.140 20 30 DDEDLO C#C[C@H]1CCCN(C(=O)c2cccn2CC[NH+]2CCOCC2)C1 ZINC000912047947 711144419 /nfs/dbraw/zinc/14/44/19/711144419.db2.gz OGXDDLDZRMDSBO-INIZCTEOSA-N 1 2 315.417 1.306 20 30 DDEDLO C=C(C[NH+](C)C)C(=O)N=[S@](C)(=O)c1ccc(N(C)C)cc1 ZINC000913502939 713240027 /nfs/dbraw/zinc/24/00/27/713240027.db2.gz PHZXTVDDLUFASF-OAQYLSRUSA-N 1 2 309.435 1.854 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2cscc2Br)CCCN1O ZINC000895159671 711437038 /nfs/dbraw/zinc/43/70/38/711437038.db2.gz WTGPFISBMVLADF-VIFPVBQESA-N 1 2 305.197 1.980 20 30 DDEDLO C[C@@H](NC(=O)c1cccc(CC#N)c1)[C@H]1CN(C)CC[N@@H+]1C ZINC000896568897 711754656 /nfs/dbraw/zinc/75/46/56/711754656.db2.gz BXLNNHASSWSSRQ-CZUORRHYSA-N 1 2 300.406 1.117 20 30 DDEDLO C[C@@H](NC(=O)c1cccc(CC#N)c1)[C@H]1CN(C)CC[N@H+]1C ZINC000896568897 711754658 /nfs/dbraw/zinc/75/46/58/711754658.db2.gz BXLNNHASSWSSRQ-CZUORRHYSA-N 1 2 300.406 1.117 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)cc1 ZINC000906945616 712445495 /nfs/dbraw/zinc/44/54/95/712445495.db2.gz UTCOKGFMEQLTLL-UHFFFAOYSA-N 1 2 304.415 1.527 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)Cc1csc(C#N)c1 ZINC000914317204 713377408 /nfs/dbraw/zinc/37/74/08/713377408.db2.gz QKCDPDBUYUKEIU-GHMZBOCLSA-N 1 2 313.448 1.474 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)Cc1csc(C#N)c1 ZINC000914317204 713377410 /nfs/dbraw/zinc/37/74/10/713377410.db2.gz QKCDPDBUYUKEIU-GHMZBOCLSA-N 1 2 313.448 1.474 20 30 DDEDLO C/C(=C/C(=O)N[C@@H](CC#N)C(F)(F)F)C[NH+]1CCOCC1 ZINC000928800614 713483124 /nfs/dbraw/zinc/48/31/24/713483124.db2.gz NNRDEKYDVKNIEK-IEHMKBBKSA-N 1 2 305.300 1.226 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000929704416 713673021 /nfs/dbraw/zinc/67/30/21/713673021.db2.gz XZCCZLDOXMZZFB-INIZCTEOSA-N 1 2 302.374 1.939 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000929704416 713673023 /nfs/dbraw/zinc/67/30/23/713673023.db2.gz XZCCZLDOXMZZFB-INIZCTEOSA-N 1 2 302.374 1.939 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2ccnn2C2CCCC2)CC1 ZINC000931146406 714015492 /nfs/dbraw/zinc/01/54/92/714015492.db2.gz MHSREJKRBCLKIM-UHFFFAOYSA-N 1 2 316.405 1.397 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccnc(-c3ccccn3)n2)CC1 ZINC000931344897 714073621 /nfs/dbraw/zinc/07/36/21/714073621.db2.gz TVMYJYKFSGETIG-UHFFFAOYSA-N 1 2 321.384 1.320 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[NH+]1CCC(c2ncco2)CC1 ZINC000931451031 714101138 /nfs/dbraw/zinc/10/11/38/714101138.db2.gz NFRYELRPBIDBHC-INIZCTEOSA-N 1 2 304.394 1.908 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Nc1sccc1C#N ZINC000931839707 714194738 /nfs/dbraw/zinc/19/47/38/714194738.db2.gz UUOJEKPBLWVQFU-WCQYABFASA-N 1 2 320.418 1.947 20 30 DDEDLO CC(C)(C)OC(=O)N[C@H]1C[N@H+](CC(=O)NCCC#N)CC1(C)C ZINC000931991724 714226913 /nfs/dbraw/zinc/22/69/13/714226913.db2.gz OALJNKNFQXZYJX-LBPRGKRZSA-N 1 2 324.425 1.251 20 30 DDEDLO CC(C)(C)OC(=O)N[C@H]1C[N@@H+](CC(=O)NCCC#N)CC1(C)C ZINC000931991724 714226914 /nfs/dbraw/zinc/22/69/14/714226914.db2.gz OALJNKNFQXZYJX-LBPRGKRZSA-N 1 2 324.425 1.251 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CCCC[C@H]1C#N ZINC000923253176 714285465 /nfs/dbraw/zinc/28/54/65/714285465.db2.gz TXCIWPBDHFQZSO-ZNMIVQPWSA-N 1 2 308.426 1.479 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2ccc(C#N)cc2OC)CC1 ZINC000932487043 714343701 /nfs/dbraw/zinc/34/37/01/714343701.db2.gz USAXMYMFRSJVIQ-UHFFFAOYSA-N 1 2 317.389 1.361 20 30 DDEDLO C[C@@H](c1ccsc1)[NH+]1CCN(C(=O)NCC#CCO)CC1 ZINC000923772305 714467636 /nfs/dbraw/zinc/46/76/36/714467636.db2.gz RHAARVAWHIBRPX-ZDUSSCGKSA-N 1 2 307.419 1.132 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)[C@H](C)[N@@H+]2CC[C@H]2CO)cc1 ZINC000934024387 714724563 /nfs/dbraw/zinc/72/45/63/714724563.db2.gz RCNFXUHAJCBUSQ-HOCLYGCPSA-N 1 2 301.390 1.697 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)[C@H](C)[N@H+]2CC[C@H]2CO)cc1 ZINC000934024387 714724564 /nfs/dbraw/zinc/72/45/64/714724564.db2.gz RCNFXUHAJCBUSQ-HOCLYGCPSA-N 1 2 301.390 1.697 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)C2(C#N)CCSCC2)C1 ZINC000935091986 714973794 /nfs/dbraw/zinc/97/37/94/714973794.db2.gz SUFPYAMFVIUCMZ-LBPRGKRZSA-N 1 2 304.419 1.773 20 30 DDEDLO CN(C(=O)c1cc2c[nH+]ccc2[nH]1)C1CN(C(=O)C#CC2CC2)C1 ZINC000954702582 715474070 /nfs/dbraw/zinc/47/40/70/715474070.db2.gz WMZUDOKSCAGSNX-UHFFFAOYSA-N 1 2 322.368 1.259 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC)C2)CC1 ZINC000956604693 715485466 /nfs/dbraw/zinc/48/54/66/715485466.db2.gz GATZGJLCGHSHII-MAUKXSAKSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(-c3ccccn3)no2)C1 ZINC000957049869 715723139 /nfs/dbraw/zinc/72/31/39/715723139.db2.gz SVXHPZQPPLSBKS-UHFFFAOYSA-N 1 2 324.384 1.906 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@H]2Cc3c(cccc3C)O2)C1 ZINC000957280643 715814068 /nfs/dbraw/zinc/81/40/68/715814068.db2.gz NGOODVVHEQVXHM-GOSISDBHSA-N 1 2 312.413 1.855 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)C2C[NH+](CCn3cccn3)C2)cn1 ZINC000957290357 715818811 /nfs/dbraw/zinc/81/88/11/715818811.db2.gz VDWSPQKGHBQWJS-UHFFFAOYSA-N 1 2 323.400 1.106 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCC2CCN(C(C)=O)CC2)CC1 ZINC000957727372 716019410 /nfs/dbraw/zinc/01/94/10/716019410.db2.gz HCIRTKUQCRKUQB-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(N(C)C(C)=O)cc2)CC1 ZINC000957846356 716085208 /nfs/dbraw/zinc/08/52/08/716085208.db2.gz WUFKLGXFJUCSKD-UHFFFAOYSA-N 1 2 301.390 1.613 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccc3[nH]c(=O)oc32)CC1 ZINC000957963895 716252249 /nfs/dbraw/zinc/25/22/49/716252249.db2.gz PYKHJICKSNYPMW-UHFFFAOYSA-N 1 2 301.346 1.867 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2onc(C)c2C)C1 ZINC000958172625 716374449 /nfs/dbraw/zinc/37/44/49/716374449.db2.gz WVCMZWVQIHEASO-NEPJUHHUSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2onc(C)c2C)C1 ZINC000958172625 716374453 /nfs/dbraw/zinc/37/44/53/716374453.db2.gz WVCMZWVQIHEASO-NEPJUHHUSA-N 1 2 313.785 1.066 20 30 DDEDLO O=C(C#CC1CC1)N1CCCC[C@H]1C[NH2+]Cc1cnsn1 ZINC000960003855 716383961 /nfs/dbraw/zinc/38/39/61/716383961.db2.gz QRRSMLVSRMFJJC-AWEZNQCLSA-N 1 2 304.419 1.422 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccn1C ZINC000958260264 716433429 /nfs/dbraw/zinc/43/34/29/716433429.db2.gz ZHXQIIFPBDNISO-PJXYFTJBSA-N 1 2 324.222 1.594 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccn1C ZINC000958260264 716433432 /nfs/dbraw/zinc/43/34/32/716433432.db2.gz ZHXQIIFPBDNISO-PJXYFTJBSA-N 1 2 324.222 1.594 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2csc(C)n2)C1 ZINC000958341261 716483423 /nfs/dbraw/zinc/48/34/23/716483423.db2.gz VMOTVXIOONHNGQ-JQWIXIFHSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2csc(C)n2)C1 ZINC000958341261 716483427 /nfs/dbraw/zinc/48/34/27/716483427.db2.gz VMOTVXIOONHNGQ-JQWIXIFHSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC000960828138 716705085 /nfs/dbraw/zinc/70/50/85/716705085.db2.gz ROWKLKMYNAJEGJ-DOTOQJQBSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC000960828138 716705090 /nfs/dbraw/zinc/70/50/90/716705090.db2.gz ROWKLKMYNAJEGJ-DOTOQJQBSA-N 1 2 319.453 1.840 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccn4C)C[C@H]32)cc1 ZINC000961441628 716948142 /nfs/dbraw/zinc/94/81/42/716948142.db2.gz MTYCDBYGXOXOHC-VQFNDLOPSA-N 1 2 320.396 1.262 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccn4C)C[C@H]32)cc1 ZINC000961441628 716948146 /nfs/dbraw/zinc/94/81/46/716948146.db2.gz MTYCDBYGXOXOHC-VQFNDLOPSA-N 1 2 320.396 1.262 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000941022897 717077573 /nfs/dbraw/zinc/07/75/73/717077573.db2.gz XAQFYRKJMVSZLM-GXTWGEPZSA-N 1 2 315.421 1.570 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccsc3)CC2)C1 ZINC000941304933 717150866 /nfs/dbraw/zinc/15/08/66/717150866.db2.gz SJSIKIXOBSLZRZ-UHFFFAOYSA-N 1 2 303.431 1.213 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC[C@H]4C[C@H]43)CC2)C1 ZINC000941465475 717182157 /nfs/dbraw/zinc/18/21/57/717182157.db2.gz QAWHBXFUJMNWPL-CGTJXYLNSA-N 1 2 315.461 1.274 20 30 DDEDLO C=C1CCC(C(=O)N2CCN(C3C[NH+](CC#CC)C3)CC2)CC1 ZINC000941545285 717202346 /nfs/dbraw/zinc/20/23/46/717202346.db2.gz WASLKBDPUXHKGT-UHFFFAOYSA-N 1 2 315.461 1.585 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H](C)C3CCCC3)CC2)C1 ZINC000941636096 717238942 /nfs/dbraw/zinc/23/89/42/717238942.db2.gz AIKZWFHIYPOSHC-INIZCTEOSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(C)cc3C)CC2)C1 ZINC000941656877 717245611 /nfs/dbraw/zinc/24/56/11/717245611.db2.gz MDWZTZZNUABAFD-UHFFFAOYSA-N 1 2 311.429 1.379 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@H](C)C3CC3)CC2)C1 ZINC000941681918 717255344 /nfs/dbraw/zinc/25/53/44/717255344.db2.gz YTZPKFKVJIHQIG-HNNXBMFYSA-N 1 2 303.450 1.274 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)C2CCOCC2)C1 ZINC000964628952 717337040 /nfs/dbraw/zinc/33/70/40/717337040.db2.gz VTGWIDVAZPPZEF-RISCZKNCSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)C2CCOCC2)C1 ZINC000964628952 717337042 /nfs/dbraw/zinc/33/70/42/717337042.db2.gz VTGWIDVAZPPZEF-RISCZKNCSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC000942345563 717626482 /nfs/dbraw/zinc/62/64/82/717626482.db2.gz YQPIWOWYQQABKF-CQSZACIVSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2c(C)cnn2C)C1 ZINC000967894141 719076062 /nfs/dbraw/zinc/07/60/62/719076062.db2.gz HOZBAORJNHMYGC-GXFFZTMASA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2c(C)cnn2C)C1 ZINC000967894141 719076067 /nfs/dbraw/zinc/07/60/67/719076067.db2.gz HOZBAORJNHMYGC-GXFFZTMASA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cccc(=O)n2C)C1 ZINC000968318543 719502424 /nfs/dbraw/zinc/50/24/24/719502424.db2.gz WZKUGOGMVNADHA-DGCLKSJQSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cccc(=O)n2C)C1 ZINC000968318543 719502427 /nfs/dbraw/zinc/50/24/27/719502427.db2.gz WZKUGOGMVNADHA-DGCLKSJQSA-N 1 2 323.824 1.578 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1)c1csnn1 ZINC000968344117 719521320 /nfs/dbraw/zinc/52/13/20/719521320.db2.gz NEFBCUYAFSFCKS-MWLCHTKSSA-N 1 2 316.390 1.303 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](N(C)C(=O)c2[nH]nnc2C)CC1 ZINC000948669402 719631555 /nfs/dbraw/zinc/63/15/55/719631555.db2.gz HFBPGVKNQGBMCC-LBPRGKRZSA-N 1 2 311.817 1.792 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](N(C)C(=O)c2[nH]nnc2C)CC1 ZINC000948669402 719631560 /nfs/dbraw/zinc/63/15/60/719631560.db2.gz HFBPGVKNQGBMCC-LBPRGKRZSA-N 1 2 311.817 1.792 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000968626872 719698859 /nfs/dbraw/zinc/69/88/59/719698859.db2.gz GUUCRKPMSZPPQS-CYBMUJFWSA-N 1 2 302.378 1.297 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC000948902593 719775718 /nfs/dbraw/zinc/77/57/18/719775718.db2.gz RUKSKWJZIBXZFU-SFHVURJKSA-N 1 2 318.380 1.849 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC000948902593 719775719 /nfs/dbraw/zinc/77/57/19/719775719.db2.gz RUKSKWJZIBXZFU-SFHVURJKSA-N 1 2 318.380 1.849 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CCCCO1 ZINC000948910171 719780956 /nfs/dbraw/zinc/78/09/56/719780956.db2.gz KXFSCNHXDPAPEP-ROUUACIJSA-N 1 2 312.413 1.904 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CCCCO1 ZINC000948910171 719780958 /nfs/dbraw/zinc/78/09/58/719780958.db2.gz KXFSCNHXDPAPEP-ROUUACIJSA-N 1 2 312.413 1.904 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1n[nH]cc1C ZINC000948915817 719783942 /nfs/dbraw/zinc/78/39/42/719783942.db2.gz FRKMQGAWKLDVMM-INIZCTEOSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1n[nH]cc1C ZINC000948915817 719783947 /nfs/dbraw/zinc/78/39/47/719783947.db2.gz FRKMQGAWKLDVMM-INIZCTEOSA-N 1 2 308.385 1.681 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cncn1C ZINC000948934975 719792656 /nfs/dbraw/zinc/79/26/56/719792656.db2.gz ATCMSVHECNQYRU-KRWDZBQOSA-N 1 2 322.412 1.773 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cncn1C ZINC000948934975 719792659 /nfs/dbraw/zinc/79/26/59/719792659.db2.gz ATCMSVHECNQYRU-KRWDZBQOSA-N 1 2 322.412 1.773 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cncn1C ZINC000948936221 719793126 /nfs/dbraw/zinc/79/31/26/719793126.db2.gz MYRRGZXNSDTTGJ-MRXNPFEDSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cncn1C ZINC000948936221 719793127 /nfs/dbraw/zinc/79/31/27/719793127.db2.gz MYRRGZXNSDTTGJ-MRXNPFEDSA-N 1 2 308.385 1.383 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnc3ccc(OC)nn32)CC1 ZINC000948935694 719793228 /nfs/dbraw/zinc/79/32/28/719793228.db2.gz SANZDXCADRQLBK-UHFFFAOYSA-N 1 2 315.377 1.072 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CC[C@@H](C)O1 ZINC000948960623 719804907 /nfs/dbraw/zinc/80/49/07/719804907.db2.gz FSGPHHZGQSGPSH-FHLIZLRMSA-N 1 2 312.413 1.903 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CC[C@@H](C)O1 ZINC000948960623 719804914 /nfs/dbraw/zinc/80/49/14/719804914.db2.gz FSGPHHZGQSGPSH-FHLIZLRMSA-N 1 2 312.413 1.903 20 30 DDEDLO N#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000968913098 719863350 /nfs/dbraw/zinc/86/33/50/719863350.db2.gz BMQHCRHWNNLDKN-CABCVRRESA-N 1 2 315.421 1.330 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CCC3(F)F)CC2)C1 ZINC000949395611 720060191 /nfs/dbraw/zinc/06/01/91/720060191.db2.gz WPPPATFNGHKLAR-CYBMUJFWSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CCC3(F)F)CC2)C1 ZINC000949395611 720060196 /nfs/dbraw/zinc/06/01/96/720060196.db2.gz WPPPATFNGHKLAR-CYBMUJFWSA-N 1 2 312.360 1.358 20 30 DDEDLO C[C@@H](NC(=O)c1cn[nH]c1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969342184 720070166 /nfs/dbraw/zinc/07/01/66/720070166.db2.gz BCVCCTFNTKRHCF-GFCCVEGCSA-N 1 2 309.373 1.532 20 30 DDEDLO C[C@H](NC(=O)c1ncc[nH]1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969641913 720229143 /nfs/dbraw/zinc/22/91/43/720229143.db2.gz OKJSSHJCGGIFSK-LBPRGKRZSA-N 1 2 309.373 1.532 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2nn(C)cc2Cl)C1 ZINC000969740376 720272512 /nfs/dbraw/zinc/27/25/12/720272512.db2.gz NUMDZGPYNUPGMF-SECBINFHSA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccnc3[nH]cnc32)C1 ZINC000969769831 720284431 /nfs/dbraw/zinc/28/44/31/720284431.db2.gz UMUNOFMKECEDKH-SNVBAGLBSA-N 1 2 319.796 1.761 20 30 DDEDLO C#CCC[NH+]1CC([C@H](C)NC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC000969818529 720308079 /nfs/dbraw/zinc/30/80/79/720308079.db2.gz OURHLVBUEGTFJA-ZDUSSCGKSA-N 1 2 323.400 1.545 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000949869633 720392521 /nfs/dbraw/zinc/39/25/21/720392521.db2.gz SEDVJMWWHKSGPK-GFCCVEGCSA-N 1 2 305.344 1.201 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+](CCn3nc(C)nc3C)CC2)C1 ZINC000950383463 720607214 /nfs/dbraw/zinc/60/72/14/720607214.db2.gz ZDLKWFGKDZHPGJ-UHFFFAOYSA-N 1 2 317.437 1.395 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cn3cccnc3n2)C1 ZINC000970047630 720611144 /nfs/dbraw/zinc/61/11/44/720611144.db2.gz NYDRLPOJFIWZMJ-LLVKDONJSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccc(-n3cccn3)n2)CC1 ZINC000950427137 720627945 /nfs/dbraw/zinc/62/79/45/720627945.db2.gz NTIYUZSKYBSHSD-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)CC1 ZINC000950581449 720693620 /nfs/dbraw/zinc/69/36/20/720693620.db2.gz LSPDDEXJJJGUGW-CHWSQXEVSA-N 1 2 308.813 1.420 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCCn3nccc32)C1 ZINC000950649061 720721036 /nfs/dbraw/zinc/72/10/36/720721036.db2.gz DZMORAZKKNPJCG-HNNXBMFYSA-N 1 2 302.422 1.869 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2coc(-c3cccnc3)n2)C1 ZINC000950763454 720768631 /nfs/dbraw/zinc/76/86/31/720768631.db2.gz WQPNOXPUGTUCNI-UHFFFAOYSA-N 1 2 324.384 1.906 20 30 DDEDLO C[C@@H](NC(=O)c1ccnnc1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970488660 720781862 /nfs/dbraw/zinc/78/18/62/720781862.db2.gz QYRCHPCQUTWDDS-CYBMUJFWSA-N 1 2 321.384 1.599 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2c(C)cc(=O)oc2C)C1 ZINC000950820631 720784776 /nfs/dbraw/zinc/78/47/76/720784776.db2.gz HHRNTPGEAKWHBF-UHFFFAOYSA-N 1 2 304.390 1.979 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccn(CCOC)n2)C1 ZINC000950844932 720793474 /nfs/dbraw/zinc/79/34/74/720793474.db2.gz QSHBBHZFXVXBHJ-UHFFFAOYSA-N 1 2 306.410 1.252 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3onc(C)c3c2)C1 ZINC000951105582 720919317 /nfs/dbraw/zinc/91/93/17/720919317.db2.gz XRKAIRJTUXFJBD-UHFFFAOYSA-N 1 2 312.373 1.701 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncoc2[C@H]2CCCO2)C1 ZINC000951121795 720925634 /nfs/dbraw/zinc/92/56/34/720925634.db2.gz MHZONAQKCQYDDR-CQSZACIVSA-N 1 2 317.389 1.696 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2C[C@H](C)Cc3cn[nH]c32)C1 ZINC000951371612 721006090 /nfs/dbraw/zinc/00/60/90/721006090.db2.gz ASHSGQUNIDMNAH-IUODEOHRSA-N 1 2 302.422 1.794 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971510103 721329420 /nfs/dbraw/zinc/32/94/20/721329420.db2.gz BBQNKSXLNWCABW-TZMCWYRMSA-N 1 2 316.405 1.639 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971510103 721329424 /nfs/dbraw/zinc/32/94/24/721329424.db2.gz BBQNKSXLNWCABW-TZMCWYRMSA-N 1 2 316.405 1.639 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971511631 721331736 /nfs/dbraw/zinc/33/17/36/721331736.db2.gz NGLHMSTZIVSZTF-BXUZGUMPSA-N 1 2 302.378 1.385 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971511631 721331739 /nfs/dbraw/zinc/33/17/39/721331739.db2.gz NGLHMSTZIVSZTF-BXUZGUMPSA-N 1 2 302.378 1.385 20 30 DDEDLO C[C@H](CC(=O)N(C)CCCN(C)C(=O)[C@@H](C)C#N)n1cc[nH+]c1 ZINC001067448685 735481940 /nfs/dbraw/zinc/48/19/40/735481940.db2.gz HVYOAKYLWVQLFZ-UONOGXRCSA-N 1 2 319.409 1.301 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCCN(C(=O)CCc2cn[nH]n2)C1 ZINC001027583904 738432736 /nfs/dbraw/zinc/43/27/36/738432736.db2.gz CMJZKCWCPDKMRM-ZDUSSCGKSA-N 1 2 311.817 1.413 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCCN(C(=O)CCc2cn[nH]n2)C1 ZINC001027583904 738432738 /nfs/dbraw/zinc/43/27/38/738432738.db2.gz CMJZKCWCPDKMRM-ZDUSSCGKSA-N 1 2 311.817 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001027583904 738432741 /nfs/dbraw/zinc/43/27/41/738432741.db2.gz CMJZKCWCPDKMRM-ZDUSSCGKSA-N 1 2 311.817 1.413 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001027583904 738432744 /nfs/dbraw/zinc/43/27/44/738432744.db2.gz CMJZKCWCPDKMRM-ZDUSSCGKSA-N 1 2 311.817 1.413 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C(C)=C\CC)C2)nn1 ZINC001098629750 738657001 /nfs/dbraw/zinc/65/70/01/738657001.db2.gz NBALCGHRQKAERC-IIEJUSTOSA-N 1 2 301.394 1.131 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H]1CNC(=O)c1cocn1 ZINC001027789236 738663085 /nfs/dbraw/zinc/66/30/85/738663085.db2.gz GNVAAPFRLJMBDS-OAHLLOKOSA-N 1 2 310.357 1.941 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H]1CNC(=O)c1cocn1 ZINC001027789236 738663087 /nfs/dbraw/zinc/66/30/87/738663087.db2.gz GNVAAPFRLJMBDS-OAHLLOKOSA-N 1 2 310.357 1.941 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4cc[nH]c43)[C@H]2C1 ZINC001083201889 733124150 /nfs/dbraw/zinc/12/41/50/733124150.db2.gz NIYALHMMILGPCU-JKSUJKDBSA-N 1 2 309.369 1.326 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4cc[nH]c43)[C@H]2C1 ZINC001083201889 733124154 /nfs/dbraw/zinc/12/41/54/733124154.db2.gz NIYALHMMILGPCU-JKSUJKDBSA-N 1 2 309.369 1.326 20 30 DDEDLO Cn1cnc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2ccccc2C#N)n1 ZINC001027867640 738754834 /nfs/dbraw/zinc/75/48/34/738754834.db2.gz WVALTSGMXISSOJ-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cnc(C(=O)NC[C@H]2CCC[N@H+]2Cc2ccccc2C#N)n1 ZINC001027867640 738754836 /nfs/dbraw/zinc/75/48/36/738754836.db2.gz WVALTSGMXISSOJ-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1CNC(=O)c1cnc([C@@H](C)OC)s1 ZINC001027885480 738773734 /nfs/dbraw/zinc/77/37/34/738773734.db2.gz FKUZMULZMPCZCE-VXGBXAGGSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc([C@@H](C)OC)s1 ZINC001027885480 738773738 /nfs/dbraw/zinc/77/37/38/738773738.db2.gz FKUZMULZMPCZCE-VXGBXAGGSA-N 1 2 307.419 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cn(CC)nn1 ZINC001024732586 736054479 /nfs/dbraw/zinc/05/44/79/736054479.db2.gz CTRUZTAHEZTGDW-GFCCVEGCSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cn(CC)nn1 ZINC001024732586 736054482 /nfs/dbraw/zinc/05/44/82/736054482.db2.gz CTRUZTAHEZTGDW-GFCCVEGCSA-N 1 2 311.817 1.635 20 30 DDEDLO N#CCN1CCCC[C@H]1CNC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001024740177 736058114 /nfs/dbraw/zinc/05/81/14/736058114.db2.gz PGBTXEJZERDGQD-HNNXBMFYSA-N 1 2 324.388 1.375 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001111987116 736347815 /nfs/dbraw/zinc/34/78/15/736347815.db2.gz ACQPJBOZWRJUNI-WMLDXEAASA-N 1 2 318.421 1.587 20 30 DDEDLO N#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)CCn1cc[nH+]c1 ZINC001025255614 736395987 /nfs/dbraw/zinc/39/59/87/736395987.db2.gz RDESGOIVKZLCEO-JKSUJKDBSA-N 1 2 315.421 1.642 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001025273691 736401693 /nfs/dbraw/zinc/40/16/93/736401693.db2.gz GTOLAJCPCWNQNY-CABCVRRESA-N 1 2 301.394 1.321 20 30 DDEDLO C[C@H](NC(=O)c1cc2c[nH+]ccc2[nH]1)[C@@H]1CCCN(CC#N)C1 ZINC001025458934 736685772 /nfs/dbraw/zinc/68/57/72/736685772.db2.gz KFUZCIWCDNFZDE-QWHCGFSZSA-N 1 2 311.389 1.917 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]([C@H](C)NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001025616609 736788853 /nfs/dbraw/zinc/78/88/53/736788853.db2.gz BIBLZOGEGMBTHT-JSGCOSHPSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]([C@H](C)NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001025616609 736788857 /nfs/dbraw/zinc/78/88/57/736788857.db2.gz BIBLZOGEGMBTHT-JSGCOSHPSA-N 1 2 311.389 1.421 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccnc(OC)n1 ZINC001028019884 738940979 /nfs/dbraw/zinc/94/09/79/738940979.db2.gz IISOCBMKBIVTPR-LLVKDONJSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccnc(OC)n1 ZINC001028019884 738940982 /nfs/dbraw/zinc/94/09/82/738940982.db2.gz IISOCBMKBIVTPR-LLVKDONJSA-N 1 2 310.785 1.432 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)cc[nH+]1 ZINC001058675925 737065717 /nfs/dbraw/zinc/06/57/17/737065717.db2.gz CXMAFHWSCWGXCJ-OCCSQVGLSA-N 1 2 301.394 1.620 20 30 DDEDLO Cc1nc(N[C@@H](CNC(=O)c2c[nH]c(C#N)c2)C(C)C)cc[nH+]1 ZINC001105158586 737587830 /nfs/dbraw/zinc/58/78/30/737587830.db2.gz VYFIPEKKOKNIPQ-AWEZNQCLSA-N 1 2 312.377 1.851 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)[C@@H]1CCCO1 ZINC001006672086 737921970 /nfs/dbraw/zinc/92/19/70/737921970.db2.gz OZEQNMWWIGYARY-MSOLQXFVSA-N 1 2 312.413 1.798 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)[C@@H]1CCCO1 ZINC001006672086 737921975 /nfs/dbraw/zinc/92/19/75/737921975.db2.gz OZEQNMWWIGYARY-MSOLQXFVSA-N 1 2 312.413 1.798 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001038683726 738049336 /nfs/dbraw/zinc/04/93/36/738049336.db2.gz JXKZOLBOJUKRID-VXGBXAGGSA-N 1 2 308.813 1.773 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)c(C)o1 ZINC001027336059 738208290 /nfs/dbraw/zinc/20/82/90/738208290.db2.gz MUFAJUXPALIBFK-FZMZJTMJSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)c(C)o1 ZINC001027336059 738208292 /nfs/dbraw/zinc/20/82/92/738208292.db2.gz MUFAJUXPALIBFK-FZMZJTMJSA-N 1 2 304.394 1.874 20 30 DDEDLO C[N@H+](Cc1ccon1)[C@H]1CCCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC001027355431 738229934 /nfs/dbraw/zinc/22/99/34/738229934.db2.gz VZRVAXOCRWQGOS-AWEZNQCLSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@@H+](Cc1ccon1)[C@H]1CCCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC001027355431 738229935 /nfs/dbraw/zinc/22/99/35/738229935.db2.gz VZRVAXOCRWQGOS-AWEZNQCLSA-N 1 2 313.361 1.611 20 30 DDEDLO Cc1cc(N[C@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001058884740 739027485 /nfs/dbraw/zinc/02/74/85/739027485.db2.gz JQPVPGAHVFJMMQ-AWEZNQCLSA-N 1 2 324.388 1.052 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@H](C)CC(C)C)C2)nn1 ZINC001105359468 739138194 /nfs/dbraw/zinc/13/81/94/739138194.db2.gz IXTCUWUREHNHDB-CQSZACIVSA-N 1 2 317.437 1.457 20 30 DDEDLO CC(C)=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001035333013 751417964 /nfs/dbraw/zinc/41/79/64/751417964.db2.gz YVAVSXJAVXYBIV-AWEZNQCLSA-N 1 2 302.378 1.283 20 30 DDEDLO CC(C)=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001035333013 751417968 /nfs/dbraw/zinc/41/79/68/751417968.db2.gz YVAVSXJAVXYBIV-AWEZNQCLSA-N 1 2 302.378 1.283 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1noc(-c2ccccc2)n1 ZINC001126356356 739358137 /nfs/dbraw/zinc/35/81/37/739358137.db2.gz NBDTZTDQEPKTBO-UHFFFAOYSA-N 1 2 312.373 1.746 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccnn2CC(C)C)C1 ZINC001035386322 751455837 /nfs/dbraw/zinc/45/58/37/751455837.db2.gz LRUCHDFZUYJUEM-HNNXBMFYSA-N 1 2 320.437 1.546 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccnn2CC(C)C)C1 ZINC001035386322 751455840 /nfs/dbraw/zinc/45/58/40/751455840.db2.gz LRUCHDFZUYJUEM-HNNXBMFYSA-N 1 2 320.437 1.546 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C(C)(C)C(C)C)C2)nn1 ZINC001098679118 739570934 /nfs/dbraw/zinc/57/09/34/739570934.db2.gz RPMUEVVYBFQHMQ-HNNXBMFYSA-N 1 2 317.437 1.457 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001035389089 751458886 /nfs/dbraw/zinc/45/88/86/751458886.db2.gz LSNDZXJIEQJKPM-ZDUSSCGKSA-N 1 2 306.410 1.255 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001035389089 751458891 /nfs/dbraw/zinc/45/88/91/751458891.db2.gz LSNDZXJIEQJKPM-ZDUSSCGKSA-N 1 2 306.410 1.255 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(=O)[nH]c(C3CC3)c2)C1 ZINC001035394883 751465122 /nfs/dbraw/zinc/46/51/22/751465122.db2.gz SDGWAGOZWZFLKS-CQSZACIVSA-N 1 2 317.389 1.281 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(=O)[nH]c(C3CC3)c2)C1 ZINC001035394883 751465123 /nfs/dbraw/zinc/46/51/23/751465123.db2.gz SDGWAGOZWZFLKS-CQSZACIVSA-N 1 2 317.389 1.281 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)C1CC=CC1 ZINC001083379278 739747257 /nfs/dbraw/zinc/74/72/57/739747257.db2.gz CWPDEDIDAOWXLG-MSOLQXFVSA-N 1 2 310.397 1.166 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)C1CC=CC1 ZINC001083379278 739747258 /nfs/dbraw/zinc/74/72/58/739747258.db2.gz CWPDEDIDAOWXLG-MSOLQXFVSA-N 1 2 310.397 1.166 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc3cccn3cn2)C1 ZINC001035411673 751494139 /nfs/dbraw/zinc/49/41/39/751494139.db2.gz OUEBEQXSLGBPGP-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc3cccn3cn2)C1 ZINC001035411673 751494141 /nfs/dbraw/zinc/49/41/41/751494141.db2.gz OUEBEQXSLGBPGP-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](CNC(=O)c2ccc3oc(=O)nc-3[nH]2)C1 ZINC001028925949 740178219 /nfs/dbraw/zinc/17/82/19/740178219.db2.gz HUGMJVVHOJBQNT-LLVKDONJSA-N 1 2 314.345 1.003 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](CNC(=O)c2ccc3oc(=O)nc-3[nH]2)C1 ZINC001028925949 740178221 /nfs/dbraw/zinc/17/82/21/740178221.db2.gz HUGMJVVHOJBQNT-LLVKDONJSA-N 1 2 314.345 1.003 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)oc(C)c2C)C1 ZINC001035439824 751517615 /nfs/dbraw/zinc/51/76/15/751517615.db2.gz QZSZDPGWOHHGDT-OAHLLOKOSA-N 1 2 304.390 1.659 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(C)oc(C)c2C)C1 ZINC001035439824 751517621 /nfs/dbraw/zinc/51/76/21/751517621.db2.gz QZSZDPGWOHHGDT-OAHLLOKOSA-N 1 2 304.390 1.659 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3ccc(F)cc32)C1 ZINC001035486316 751537969 /nfs/dbraw/zinc/53/79/69/751537969.db2.gz AEDOBWHUTOWHGE-RDJZCZTQSA-N 1 2 318.392 1.859 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3ccc(F)cc32)C1 ZINC001035486316 751537972 /nfs/dbraw/zinc/53/79/72/751537972.db2.gz AEDOBWHUTOWHGE-RDJZCZTQSA-N 1 2 318.392 1.859 20 30 DDEDLO Cc1nc(NCCC[C@@H](C)NC(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001114900072 751542833 /nfs/dbraw/zinc/54/28/33/751542833.db2.gz GIOXQHJSQMURLG-LLVKDONJSA-N 1 2 312.377 1.995 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)on1 ZINC001075780360 740448969 /nfs/dbraw/zinc/44/89/69/740448969.db2.gz JDHPLWBZVHTOFQ-DZGCQCFKSA-N 1 2 315.373 1.129 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)on1 ZINC001075780360 740448971 /nfs/dbraw/zinc/44/89/71/740448971.db2.gz JDHPLWBZVHTOFQ-DZGCQCFKSA-N 1 2 315.373 1.129 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc3ccncc32)C1 ZINC001035460533 751547084 /nfs/dbraw/zinc/54/70/84/751547084.db2.gz JXQZLEZZHZHPKR-ZDUSSCGKSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccnc3ccncc32)C1 ZINC001035460533 751547085 /nfs/dbraw/zinc/54/70/85/751547085.db2.gz JXQZLEZZHZHPKR-ZDUSSCGKSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nnc(CC)o2)CCOCC1 ZINC001149317172 740471539 /nfs/dbraw/zinc/47/15/39/740471539.db2.gz GLKYUAZHNBARFI-UHFFFAOYSA-N 1 2 322.409 1.211 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(OC)cs2)C1 ZINC001035466122 751550797 /nfs/dbraw/zinc/55/07/97/751550797.db2.gz FUOFXOFAVOJSMR-CYBMUJFWSA-N 1 2 310.419 1.763 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(OC)cs2)C1 ZINC001035466122 751550803 /nfs/dbraw/zinc/55/08/03/751550803.db2.gz FUOFXOFAVOJSMR-CYBMUJFWSA-N 1 2 310.419 1.763 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)(C)C)nn1C ZINC001032603422 751551752 /nfs/dbraw/zinc/55/17/52/751551752.db2.gz BLHKMYPLFULIGO-KBPBESRZSA-N 1 2 314.433 1.640 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)(C)C)nn1C ZINC001032603422 751551758 /nfs/dbraw/zinc/55/17/58/751551758.db2.gz BLHKMYPLFULIGO-KBPBESRZSA-N 1 2 314.433 1.640 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H]3CCC3(C)C)C2)nn1 ZINC001098703505 740644822 /nfs/dbraw/zinc/64/48/22/740644822.db2.gz UEBYHMTVDXSXLV-CABCVRRESA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098703768 740654997 /nfs/dbraw/zinc/65/49/97/740654997.db2.gz AXKJQMWUCRYOAV-HIFRSBDPSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnn(C)n3)[C@@H]2CC)C1 ZINC001087715278 740719010 /nfs/dbraw/zinc/71/90/10/740719010.db2.gz ZSWMYLGBENCAHM-LSDHHAIUSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnn(C)n3)[C@@H]2CC)C1 ZINC001087715278 740719013 /nfs/dbraw/zinc/71/90/13/740719013.db2.gz ZSWMYLGBENCAHM-LSDHHAIUSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3[C@H](C)C(=O)NC)CC1 ZINC001029457260 740837395 /nfs/dbraw/zinc/83/73/95/740837395.db2.gz JOZCKHMYBSPCMI-BMFZPTHFSA-N 1 2 319.449 1.543 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3[C@H](C)C(=O)NC)CC1 ZINC001029457260 740837402 /nfs/dbraw/zinc/83/74/02/740837402.db2.gz JOZCKHMYBSPCMI-BMFZPTHFSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3nnc(C)[nH]3)CC[C@@H]2C1 ZINC001088067028 740980158 /nfs/dbraw/zinc/98/01/58/740980158.db2.gz KTOBIBSPEJEGKN-KGLIPLIRSA-N 1 2 317.437 1.606 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3nnc(C)[nH]3)CC[C@@H]2C1 ZINC001088067028 740980163 /nfs/dbraw/zinc/98/01/63/740980163.db2.gz KTOBIBSPEJEGKN-KGLIPLIRSA-N 1 2 317.437 1.606 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)C2Cc3ccccc3C2)C1 ZINC001035529697 751609337 /nfs/dbraw/zinc/60/93/37/751609337.db2.gz OEIIMWVQCIKCHD-GOSISDBHSA-N 1 2 314.429 1.795 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)C2Cc3ccccc3C2)C1 ZINC001035529697 751609341 /nfs/dbraw/zinc/60/93/41/751609341.db2.gz OEIIMWVQCIKCHD-GOSISDBHSA-N 1 2 314.429 1.795 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(CCC)[nH]c(=O)c2)C1 ZINC001035535204 751617604 /nfs/dbraw/zinc/61/76/04/751617604.db2.gz GVAMBTJIHLVAJE-HNNXBMFYSA-N 1 2 319.405 1.356 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(CCC)[nH]c(=O)c2)C1 ZINC001035535204 751617605 /nfs/dbraw/zinc/61/76/05/751617605.db2.gz GVAMBTJIHLVAJE-HNNXBMFYSA-N 1 2 319.405 1.356 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ncoc2C2CC2)C1 ZINC001035569799 751623264 /nfs/dbraw/zinc/62/32/64/751623264.db2.gz KPSPAWVREBLEMR-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ncoc2C2CC2)C1 ZINC001035569799 751623266 /nfs/dbraw/zinc/62/32/66/751623266.db2.gz KPSPAWVREBLEMR-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCCC[C@H]([NH2+]Cc2nnn(C)n2)C1 ZINC001088360274 741436301 /nfs/dbraw/zinc/43/63/01/741436301.db2.gz UYTVQZLBWFKUAV-ZDUSSCGKSA-N 1 2 320.441 1.283 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3[C@@H](C)C(=O)N(C)C)C1 ZINC001032614426 751638691 /nfs/dbraw/zinc/63/86/91/751638691.db2.gz PLLMEYCEOSSJMN-IHRRRGAJSA-N 1 2 305.422 1.105 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3[C@@H](C)C(=O)N(C)C)C1 ZINC001032614426 751638697 /nfs/dbraw/zinc/63/86/97/751638697.db2.gz PLLMEYCEOSSJMN-IHRRRGAJSA-N 1 2 305.422 1.105 20 30 DDEDLO C[C@@H](CC(=O)N1CCCCC[C@@H](NCC#N)C1)n1cc[nH+]c1 ZINC001088391442 741457531 /nfs/dbraw/zinc/45/75/31/741457531.db2.gz LAUOPARLFIGMFQ-LSDHHAIUSA-N 1 2 303.410 1.719 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnoc2C2CC2)C1 ZINC001035564621 751647166 /nfs/dbraw/zinc/64/71/66/751647166.db2.gz YDRNYQNUPRFKCW-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnoc2C2CC2)C1 ZINC001035564621 751647172 /nfs/dbraw/zinc/64/71/72/751647172.db2.gz YDRNYQNUPRFKCW-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001035580190 751671009 /nfs/dbraw/zinc/67/10/09/751671009.db2.gz JVWPIXUZCNKQJE-IXDOHACOSA-N 1 2 300.402 1.793 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001035580190 751671010 /nfs/dbraw/zinc/67/10/10/751671010.db2.gz JVWPIXUZCNKQJE-IXDOHACOSA-N 1 2 300.402 1.793 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1C[C@@H](NC(=O)[C@H](C)C#N)[C@H](OC)C1 ZINC001212039841 741950289 /nfs/dbraw/zinc/95/02/89/741950289.db2.gz VELCJOXYJUVLIZ-VCTAVGKDSA-N 1 2 320.393 1.021 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1C[C@@H](NC(=O)[C@H](C)C#N)[C@H](OC)C1 ZINC001212039841 741950291 /nfs/dbraw/zinc/95/02/91/741950291.db2.gz VELCJOXYJUVLIZ-VCTAVGKDSA-N 1 2 320.393 1.021 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N(C)CCN(C)c1cc[nH+]c(C)n1 ZINC001105578824 742126021 /nfs/dbraw/zinc/12/60/21/742126021.db2.gz GVDJYROSGLKARS-CABCVRRESA-N 1 2 318.421 1.661 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)C1(C2CC2)CC1 ZINC001083550668 742811369 /nfs/dbraw/zinc/81/13/69/742811369.db2.gz UNRYAWSADSIZSF-MSOLQXFVSA-N 1 2 324.424 1.390 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)C1(C2CC2)CC1 ZINC001083550668 742811375 /nfs/dbraw/zinc/81/13/75/742811375.db2.gz UNRYAWSADSIZSF-MSOLQXFVSA-N 1 2 324.424 1.390 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1O)C1CCC1 ZINC001076664251 742874883 /nfs/dbraw/zinc/87/48/83/742874883.db2.gz MJLBSQUIUZCFRV-IAGOWNOFSA-N 1 2 316.376 1.139 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1O)C1CCC1 ZINC001076664251 742874896 /nfs/dbraw/zinc/87/48/96/742874896.db2.gz MJLBSQUIUZCFRV-IAGOWNOFSA-N 1 2 316.376 1.139 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C[C@@H](C)CC)C2)nn1 ZINC001098665477 742879792 /nfs/dbraw/zinc/87/97/92/742879792.db2.gz ZBOPBMGWQGDPRB-ZFWWWQNUSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N1CC[C@H](OC)C1 ZINC001121509230 782541544 /nfs/dbraw/zinc/54/15/44/782541544.db2.gz FYQXWAFMADBCIF-UONOGXRCSA-N 1 2 305.426 1.846 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CCOc2c(F)cccc21 ZINC001038507914 743108483 /nfs/dbraw/zinc/10/84/83/743108483.db2.gz RZNVPLAZNYLZNE-GXTWGEPZSA-N 1 2 302.349 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CCOc2c(F)cccc21 ZINC001038507914 743108487 /nfs/dbraw/zinc/10/84/87/743108487.db2.gz RZNVPLAZNYLZNE-GXTWGEPZSA-N 1 2 302.349 1.516 20 30 DDEDLO Cc1cc[nH]c1C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076950339 743119777 /nfs/dbraw/zinc/11/97/77/743119777.db2.gz PUVPCKCOISQTJE-IAGOWNOFSA-N 1 2 323.396 1.150 20 30 DDEDLO Cc1cc[nH]c1C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076950339 743119778 /nfs/dbraw/zinc/11/97/78/743119778.db2.gz PUVPCKCOISQTJE-IAGOWNOFSA-N 1 2 323.396 1.150 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)C=C(C)C)CC2)C1 ZINC001105685222 743144279 /nfs/dbraw/zinc/14/42/79/743144279.db2.gz XKEHRVDMBYYXJT-UHFFFAOYSA-N 1 2 322.449 1.847 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cscc2C(F)F)C1 ZINC001077007365 743165410 /nfs/dbraw/zinc/16/54/10/743165410.db2.gz KEEBKHIJPRHUHC-GHMZBOCLSA-N 1 2 300.330 1.094 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cscc2C(F)F)C1 ZINC001077007365 743165413 /nfs/dbraw/zinc/16/54/13/743165413.db2.gz KEEBKHIJPRHUHC-GHMZBOCLSA-N 1 2 300.330 1.094 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N1CC[C@H](OC)C1 ZINC001121509230 782541535 /nfs/dbraw/zinc/54/15/35/782541535.db2.gz FYQXWAFMADBCIF-UONOGXRCSA-N 1 2 305.426 1.846 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@H+](Cc3ccc(C#N)s3)C[C@H]2O)CC1 ZINC001077037116 743185435 /nfs/dbraw/zinc/18/54/35/743185435.db2.gz YMOYSPKAZKWUEB-CHWSQXEVSA-N 1 2 305.403 1.081 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccc(C#N)s3)C[C@H]2O)CC1 ZINC001077037116 743185440 /nfs/dbraw/zinc/18/54/40/743185440.db2.gz YMOYSPKAZKWUEB-CHWSQXEVSA-N 1 2 305.403 1.081 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)C(C)C)CC2)C1 ZINC001105688228 743230378 /nfs/dbraw/zinc/23/03/78/743230378.db2.gz WFBSCTRWVQIBPR-UHFFFAOYSA-N 1 2 310.438 1.537 20 30 DDEDLO Cc1conc1C[NH+]1CCC(NC(=O)c2cc(C#N)c[nH]2)CC1 ZINC001002550128 743232068 /nfs/dbraw/zinc/23/20/68/743232068.db2.gz BBOGHWCPKKDXEM-UHFFFAOYSA-N 1 2 313.361 1.577 20 30 DDEDLO C[C@@H](CCNC(=O)CCCn1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001077170123 743291884 /nfs/dbraw/zinc/29/18/84/743291884.db2.gz XQNMBJOSGILENQ-AWEZNQCLSA-N 1 2 316.405 1.088 20 30 DDEDLO C=C(Cl)CN1C[C@@H](O)[C@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001077231483 743335097 /nfs/dbraw/zinc/33/50/97/743335097.db2.gz SQTBDSNCXFOPRC-ZIAGYGMSSA-N 1 2 320.780 1.090 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](CC)OCC)CC2)C1 ZINC001105694150 743477371 /nfs/dbraw/zinc/47/73/71/743477371.db2.gz LXTZFZLDWIQYTJ-INIZCTEOSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCCCC(=O)NCC[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060233516 743704240 /nfs/dbraw/zinc/70/42/40/743704240.db2.gz WYBZAUDAZMRXCF-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO C[C@@H](CC(=O)N1C[C@@H](C)[C@](C)(CNCC#N)C1)n1cc[nH+]c1 ZINC001182767752 743721267 /nfs/dbraw/zinc/72/12/67/743721267.db2.gz JSTIIENTLGGNMQ-IJEWVQPXSA-N 1 2 303.410 1.432 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001182932831 743758463 /nfs/dbraw/zinc/75/84/63/743758463.db2.gz LTBRNJILGKHICC-GFCCVEGCSA-N 1 2 308.382 1.263 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)C1)OCC ZINC001182973383 743764375 /nfs/dbraw/zinc/76/43/75/743764375.db2.gz IHCVKQPBYHPGLJ-TZMCWYRMSA-N 1 2 324.450 1.600 20 30 DDEDLO N#Cc1cc(C(=O)NC2C[NH+](Cc3ccc4cc[nH]c4c3)C2)c[nH]1 ZINC001030235517 743969542 /nfs/dbraw/zinc/96/95/42/743969542.db2.gz ZRRHLOQRRQHWEE-UHFFFAOYSA-N 1 2 319.368 1.982 20 30 DDEDLO C=C(CC(=O)OCCCC)C(=O)NCc1c[nH+]cn1CCOC ZINC001184313432 744012804 /nfs/dbraw/zinc/01/28/04/744012804.db2.gz MPPNROONFYJTGS-UHFFFAOYSA-N 1 2 323.393 1.435 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc(C)s1)C2 ZINC001110358188 744173831 /nfs/dbraw/zinc/17/38/31/744173831.db2.gz HDSAHIXGBPQTMI-MCIONIFRSA-N 1 2 319.430 1.436 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc(C)s1)C2 ZINC001110358188 744173833 /nfs/dbraw/zinc/17/38/33/744173833.db2.gz HDSAHIXGBPQTMI-MCIONIFRSA-N 1 2 319.430 1.436 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C3CCC3)C2)nn1 ZINC001185834332 744293232 /nfs/dbraw/zinc/29/32/32/744293232.db2.gz PKBULFLDVJQYJK-INIZCTEOSA-N 1 2 315.421 1.355 20 30 DDEDLO CCC[C@@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001110374342 744335001 /nfs/dbraw/zinc/33/50/01/744335001.db2.gz ABJNDPKNJFCLBI-TUVASFSCSA-N 1 2 320.437 1.174 20 30 DDEDLO CCC[C@@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001110374342 744335005 /nfs/dbraw/zinc/33/50/05/744335005.db2.gz ABJNDPKNJFCLBI-TUVASFSCSA-N 1 2 320.437 1.174 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](O)CNc2cc[nH+]c(C)n2)CC1 ZINC001106080425 744430583 /nfs/dbraw/zinc/43/05/83/744430583.db2.gz MQAJONVHAVEDNX-AWEZNQCLSA-N 1 2 304.394 1.420 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001187706545 744594797 /nfs/dbraw/zinc/59/47/97/744594797.db2.gz LDCIWSNUXKTMEU-HRCADAONSA-N 1 2 312.417 1.874 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001187706545 744594800 /nfs/dbraw/zinc/59/48/00/744594800.db2.gz LDCIWSNUXKTMEU-HRCADAONSA-N 1 2 312.417 1.874 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001187763317 744607420 /nfs/dbraw/zinc/60/74/20/744607420.db2.gz PHGRQOKVRYIAJU-LXZKKBNFSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001187763317 744607423 /nfs/dbraw/zinc/60/74/23/744607423.db2.gz PHGRQOKVRYIAJU-LXZKKBNFSA-N 1 2 319.405 1.343 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2oc3ccccc3c2OC)C1 ZINC001077501695 744668522 /nfs/dbraw/zinc/66/85/22/744668522.db2.gz JPENSYFSUODGOV-CHWSQXEVSA-N 1 2 316.357 1.402 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2oc3ccccc3c2OC)C1 ZINC001077501695 744668524 /nfs/dbraw/zinc/66/85/24/744668524.db2.gz JPENSYFSUODGOV-CHWSQXEVSA-N 1 2 316.357 1.402 20 30 DDEDLO CC1(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)CCCC1 ZINC001046049782 744679031 /nfs/dbraw/zinc/67/90/31/744679031.db2.gz XVLQYLJXVAYIQV-HNNXBMFYSA-N 1 2 304.438 1.309 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001188287087 744687233 /nfs/dbraw/zinc/68/72/33/744687233.db2.gz DREZTOGYYUDVMM-CYBMUJFWSA-N 1 2 320.393 1.230 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CCN(CC(F)(F)F)C2)C1 ZINC001030847707 744718137 /nfs/dbraw/zinc/71/81/37/744718137.db2.gz ZJGUUMCRWITGOA-NSHDSACASA-N 1 2 305.344 1.247 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)c(C)o1 ZINC001188959826 744799814 /nfs/dbraw/zinc/79/98/14/744799814.db2.gz YXRMIICTXHYBII-CYBMUJFWSA-N 1 2 322.434 1.581 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)c(C)o1 ZINC001188959826 744799816 /nfs/dbraw/zinc/79/98/16/744799816.db2.gz YXRMIICTXHYBII-CYBMUJFWSA-N 1 2 322.434 1.581 20 30 DDEDLO Cc1nc(N[C@H](C)C[C@H](C)NC(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001089281505 744905441 /nfs/dbraw/zinc/90/54/41/744905441.db2.gz UEYCUVDRLCJZRM-MNOVXSKESA-N 1 2 312.377 1.994 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)nn1C ZINC001190076881 745105694 /nfs/dbraw/zinc/10/56/94/745105694.db2.gz WSUYQTZIARPVQM-MRXNPFEDSA-N 1 2 316.449 1.811 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)nn1C ZINC001190076881 745105696 /nfs/dbraw/zinc/10/56/96/745105696.db2.gz WSUYQTZIARPVQM-MRXNPFEDSA-N 1 2 316.449 1.811 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2ncc(C)o2)C1 ZINC001190128627 745125198 /nfs/dbraw/zinc/12/51/98/745125198.db2.gz MXAWYMNLBFPJJV-LSDHHAIUSA-N 1 2 319.405 1.617 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2ncc(C)o2)C1 ZINC001190128627 745125200 /nfs/dbraw/zinc/12/52/00/745125200.db2.gz MXAWYMNLBFPJJV-LSDHHAIUSA-N 1 2 319.405 1.617 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]([N@H+](C)Cc3ccon3)C2)CCC1 ZINC001190595789 745268011 /nfs/dbraw/zinc/26/80/11/745268011.db2.gz TYQKFEJMDJIECU-HNNXBMFYSA-N 1 2 301.390 1.901 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]([N@@H+](C)Cc3ccon3)C2)CCC1 ZINC001190595789 745268014 /nfs/dbraw/zinc/26/80/14/745268014.db2.gz TYQKFEJMDJIECU-HNNXBMFYSA-N 1 2 301.390 1.901 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CCC[C@H](NC(C)=O)[C@H]2C)c1 ZINC000992916688 745291140 /nfs/dbraw/zinc/29/11/40/745291140.db2.gz UMNAZJQDCDCLTG-DYVFJYSZSA-N 1 2 313.401 1.595 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@H](NC(C)=O)[C@H]2C)c1 ZINC000992916688 745291141 /nfs/dbraw/zinc/29/11/41/745291141.db2.gz UMNAZJQDCDCLTG-DYVFJYSZSA-N 1 2 313.401 1.595 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001191622221 745556507 /nfs/dbraw/zinc/55/65/07/745556507.db2.gz FJJCNJGZWBCIHV-IAGOWNOFSA-N 1 2 316.376 1.305 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001191622221 745556511 /nfs/dbraw/zinc/55/65/11/745556511.db2.gz FJJCNJGZWBCIHV-IAGOWNOFSA-N 1 2 316.376 1.305 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](C[C@@H](F)CC)C[C@@H]1n1ccnn1 ZINC001129209480 752014969 /nfs/dbraw/zinc/01/49/69/752014969.db2.gz GNGHGZQDRZSVIA-ZNMIVQPWSA-N 1 2 323.416 1.724 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](C[C@@H](F)CC)C[C@@H]1n1ccnn1 ZINC001129209480 752014972 /nfs/dbraw/zinc/01/49/72/752014972.db2.gz GNGHGZQDRZSVIA-ZNMIVQPWSA-N 1 2 323.416 1.724 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001191868290 745634181 /nfs/dbraw/zinc/63/41/81/745634181.db2.gz RAJVKUSEFOPLPU-HUUCEWRRSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001191868290 745634184 /nfs/dbraw/zinc/63/41/84/745634184.db2.gz RAJVKUSEFOPLPU-HUUCEWRRSA-N 1 2 319.453 1.933 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)cn1 ZINC001007168733 752045833 /nfs/dbraw/zinc/04/58/33/752045833.db2.gz YZMMPNAUIMQSKZ-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)cn1 ZINC001007168733 752045834 /nfs/dbraw/zinc/04/58/34/752045834.db2.gz YZMMPNAUIMQSKZ-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2nnc(C)o2)[C@@H]1C ZINC000993331254 746003791 /nfs/dbraw/zinc/00/37/91/746003791.db2.gz PYSLLSCZHSIWJK-CMPLNLGQSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2nnc(C)o2)[C@@H]1C ZINC000993331254 746003794 /nfs/dbraw/zinc/00/37/94/746003794.db2.gz PYSLLSCZHSIWJK-CMPLNLGQSA-N 1 2 312.801 1.642 20 30 DDEDLO N#CCN1CCC2(CCN(C(=O)CCn3cc[nH+]c3)C2)CC1 ZINC001040793078 746068515 /nfs/dbraw/zinc/06/85/15/746068515.db2.gz QSHLHBRPGKKRIN-UHFFFAOYSA-N 1 2 301.394 1.111 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](CCc3ccccc3)C2)nc1 ZINC001031278816 746121807 /nfs/dbraw/zinc/12/18/07/746121807.db2.gz YYPZELBAGMKJDW-UHFFFAOYSA-N 1 2 305.381 1.720 20 30 DDEDLO COc1ccc(C#N)c(S(=O)(=O)NCCCn2cc[nH+]c2)c1 ZINC001193636593 746157084 /nfs/dbraw/zinc/15/70/84/746157084.db2.gz VSSBDJHRFGWMDT-UHFFFAOYSA-N 1 2 320.374 1.132 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)C2CCCC2)C1 ZINC001193821722 746208363 /nfs/dbraw/zinc/20/83/63/746208363.db2.gz QTBNCVWAZTXNLU-HZPDHXFCSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)C2CCCC2)C1 ZINC001193821722 746208364 /nfs/dbraw/zinc/20/83/64/746208364.db2.gz QTBNCVWAZTXNLU-HZPDHXFCSA-N 1 2 322.449 1.014 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2nc3cnccc3s2)[C@H]1C ZINC000993571636 746210268 /nfs/dbraw/zinc/21/02/68/746210268.db2.gz KJASCWXARXSSHV-VXGBXAGGSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2nc3cnccc3s2)[C@H]1C ZINC000993571636 746210271 /nfs/dbraw/zinc/21/02/71/746210271.db2.gz KJASCWXARXSSHV-VXGBXAGGSA-N 1 2 314.414 1.907 20 30 DDEDLO Cn1cnc(C(=O)N[C@@H]2CCC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001007271638 752077777 /nfs/dbraw/zinc/07/77/77/752077777.db2.gz GNBNZBAXMBAFSU-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cnc(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001007271638 752077782 /nfs/dbraw/zinc/07/77/82/752077782.db2.gz GNBNZBAXMBAFSU-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2c[nH]c(=O)cn2)[C@@H]1C ZINC000993733654 746315393 /nfs/dbraw/zinc/31/53/93/746315393.db2.gz YJCRWGBWJPNGBJ-WDEREUQCSA-N 1 2 310.785 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(=O)cn2)[C@@H]1C ZINC000993733654 746315399 /nfs/dbraw/zinc/31/53/99/746315399.db2.gz YJCRWGBWJPNGBJ-WDEREUQCSA-N 1 2 310.785 1.105 20 30 DDEDLO C#CCN1CCC[C@@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)[C@H]1C ZINC000994119339 746453395 /nfs/dbraw/zinc/45/33/95/746453395.db2.gz WASYNXUCDBIOFS-RHSMWYFYSA-N 1 2 323.400 1.483 20 30 DDEDLO C=C(C)C(=O)OCCNC(=O)c1cccc(-c2c[nH+]cn2C)c1 ZINC001194918447 746486470 /nfs/dbraw/zinc/48/64/70/746486470.db2.gz VXRYATVNEAURPR-UHFFFAOYSA-N 1 2 313.357 1.936 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C(/C)C2CC2)CC1 ZINC001195016944 746506204 /nfs/dbraw/zinc/50/62/04/746506204.db2.gz NDWVMKADTRRJNA-OWBHPGMISA-N 1 2 305.422 1.179 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C(/C)C2CC2)CC1 ZINC001195016944 746506206 /nfs/dbraw/zinc/50/62/06/746506206.db2.gz NDWVMKADTRRJNA-OWBHPGMISA-N 1 2 305.422 1.179 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H](C)CCC)CC1 ZINC001195210126 746550037 /nfs/dbraw/zinc/55/00/37/746550037.db2.gz LWUSNAZDPIWXLQ-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H](C)CCC)CC1 ZINC001195210126 746550042 /nfs/dbraw/zinc/55/00/42/746550042.db2.gz LWUSNAZDPIWXLQ-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO CCc1ocnc1C(=O)N1CCC[N@H+](CC#CCOC)CC1 ZINC001195382727 746596695 /nfs/dbraw/zinc/59/66/95/746596695.db2.gz HRYZWVOPMFHOFC-UHFFFAOYSA-N 1 2 305.378 1.035 20 30 DDEDLO CCc1ocnc1C(=O)N1CCC[N@@H+](CC#CCOC)CC1 ZINC001195382727 746596696 /nfs/dbraw/zinc/59/66/96/746596696.db2.gz HRYZWVOPMFHOFC-UHFFFAOYSA-N 1 2 305.378 1.035 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1)C1CC1 ZINC001195780812 746702507 /nfs/dbraw/zinc/70/25/07/746702507.db2.gz KQZOTIBGJGFXHJ-UHFFFAOYSA-N 1 2 319.449 1.191 20 30 DDEDLO CN(C(=O)C[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1)C1CC1 ZINC001195780812 746702509 /nfs/dbraw/zinc/70/25/09/746702509.db2.gz KQZOTIBGJGFXHJ-UHFFFAOYSA-N 1 2 319.449 1.191 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](CC(=O)NCC2CCC2)CC1 ZINC001196011080 746755472 /nfs/dbraw/zinc/75/54/72/746755472.db2.gz XTQHGJZTOHITFE-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](CC(=O)NCC2CCC2)CC1 ZINC001196011080 746755475 /nfs/dbraw/zinc/75/54/75/746755475.db2.gz XTQHGJZTOHITFE-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2nccnc2C)cc1 ZINC001038581632 746841766 /nfs/dbraw/zinc/84/17/66/746841766.db2.gz LHQWZCMQQVPLNF-QGZVFWFLSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2nccnc2C)cc1 ZINC001038581632 746841769 /nfs/dbraw/zinc/84/17/69/746841769.db2.gz LHQWZCMQQVPLNF-QGZVFWFLSA-N 1 2 320.396 1.771 20 30 DDEDLO C=CCOCC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001114310976 746928197 /nfs/dbraw/zinc/92/81/97/746928197.db2.gz GAGRXYNKFDJDFX-VPOLOUISSA-N 1 2 310.291 1.136 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001114310976 746928203 /nfs/dbraw/zinc/92/82/03/746928203.db2.gz GAGRXYNKFDJDFX-VPOLOUISSA-N 1 2 310.291 1.136 20 30 DDEDLO C=CCOCC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)C(F)(F)F ZINC001114310976 746928206 /nfs/dbraw/zinc/92/82/06/746928206.db2.gz GAGRXYNKFDJDFX-VPOLOUISSA-N 1 2 310.291 1.136 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)C(F)(F)F ZINC001114310976 746928213 /nfs/dbraw/zinc/92/82/13/746928213.db2.gz GAGRXYNKFDJDFX-VPOLOUISSA-N 1 2 310.291 1.136 20 30 DDEDLO C[N@@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1cc(Cl)ccc1C#N ZINC001196670446 746930337 /nfs/dbraw/zinc/93/03/37/746930337.db2.gz BSZUHYHMJMIUQX-RYUDHWBXSA-N 1 2 311.794 1.289 20 30 DDEDLO C[N@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1cc(Cl)ccc1C#N ZINC001196670446 746930341 /nfs/dbraw/zinc/93/03/41/746930341.db2.gz BSZUHYHMJMIUQX-RYUDHWBXSA-N 1 2 311.794 1.289 20 30 DDEDLO CCN(C(=O)c1cc(-c2cccc(C#N)c2)on1)C1C[NH+](C)C1 ZINC001196764440 746950874 /nfs/dbraw/zinc/95/08/74/746950874.db2.gz CMCJECRFXCUBJO-UHFFFAOYSA-N 1 2 310.357 1.989 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NCCCC)CC1 ZINC001196732493 746952907 /nfs/dbraw/zinc/95/29/07/746952907.db2.gz QTLUPKAPGHOJQQ-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)NCCCC)CC1 ZINC001196732493 746952910 /nfs/dbraw/zinc/95/29/10/746952910.db2.gz QTLUPKAPGHOJQQ-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)CC(=C)C)CC1 ZINC001196881836 746988831 /nfs/dbraw/zinc/98/88/31/746988831.db2.gz YOTXLCGNKQLZGI-OAHLLOKOSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)CC(=C)C)CC1 ZINC001196881836 746988837 /nfs/dbraw/zinc/98/88/37/746988837.db2.gz YOTXLCGNKQLZGI-OAHLLOKOSA-N 1 2 307.438 1.425 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[N@H+](Cc2cnnn2CC)CC1 ZINC001196900710 747005499 /nfs/dbraw/zinc/00/54/99/747005499.db2.gz HQHOZFZRCRQACU-OAHLLOKOSA-N 1 2 319.453 1.935 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[N@@H+](Cc2cnnn2CC)CC1 ZINC001196900710 747005505 /nfs/dbraw/zinc/00/55/05/747005505.db2.gz HQHOZFZRCRQACU-OAHLLOKOSA-N 1 2 319.453 1.935 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C)CC1 ZINC001196943906 747017250 /nfs/dbraw/zinc/01/72/50/747017250.db2.gz XFDXFSYIKNRGJR-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C)CC1 ZINC001196943906 747017257 /nfs/dbraw/zinc/01/72/57/747017257.db2.gz XFDXFSYIKNRGJR-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCC[C@H]2CC)CC1 ZINC001197055188 747038759 /nfs/dbraw/zinc/03/87/59/747038759.db2.gz LJWOTZAMZPVISW-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCC[C@H]2CC)CC1 ZINC001197055188 747038766 /nfs/dbraw/zinc/03/87/66/747038766.db2.gz LJWOTZAMZPVISW-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@](C)(C=C)CC)CC1 ZINC001197036218 747043432 /nfs/dbraw/zinc/04/34/32/747043432.db2.gz CBNHBHUKTJSJQX-MAUKXSAKSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@](C)(C=C)CC)CC1 ZINC001197036218 747043439 /nfs/dbraw/zinc/04/34/39/747043439.db2.gz CBNHBHUKTJSJQX-MAUKXSAKSA-N 1 2 319.449 1.261 20 30 DDEDLO Cn1nccc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031575762 747087784 /nfs/dbraw/zinc/08/77/84/747087784.db2.gz HGYBOZWAADYJSV-UHFFFAOYSA-N 1 2 309.373 1.154 20 30 DDEDLO CO[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@H]1NC(=O)C#CC(C)C ZINC001212240803 747113228 /nfs/dbraw/zinc/11/32/28/747113228.db2.gz DIWLDBCAQCLURR-FVQBIDKESA-N 1 2 316.405 1.012 20 30 DDEDLO CO[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@H]1NC(=O)C#CC(C)C ZINC001212240803 747113233 /nfs/dbraw/zinc/11/32/33/747113233.db2.gz DIWLDBCAQCLURR-FVQBIDKESA-N 1 2 316.405 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncn[nH]2)CC(C)(C)C1 ZINC001089518193 747148520 /nfs/dbraw/zinc/14/85/20/747148520.db2.gz BQRDTRFINIJARK-NSHDSACASA-N 1 2 311.817 1.778 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2nc[nH]n2)CC(C)(C)C1 ZINC001089518193 747148526 /nfs/dbraw/zinc/14/85/26/747148526.db2.gz BQRDTRFINIJARK-NSHDSACASA-N 1 2 311.817 1.778 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2nc[nH]n2)CC(C)(C)C1 ZINC001089518193 747148531 /nfs/dbraw/zinc/14/85/31/747148531.db2.gz BQRDTRFINIJARK-NSHDSACASA-N 1 2 311.817 1.778 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)CCCO1 ZINC001089531331 747162897 /nfs/dbraw/zinc/16/28/97/747162897.db2.gz FELPYTYJHNJSKL-CQSZACIVSA-N 1 2 304.394 1.463 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)CC2CC2)[C@@H](O)C1 ZINC001090009716 747224010 /nfs/dbraw/zinc/22/40/10/747224010.db2.gz MBGGNFZACHUZKR-SJORKVTESA-N 1 2 313.401 1.410 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)CC2CC2)[C@@H](O)C1 ZINC001090009716 747224014 /nfs/dbraw/zinc/22/40/14/747224014.db2.gz MBGGNFZACHUZKR-SJORKVTESA-N 1 2 313.401 1.410 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cc[nH]c3)C2)cc1F ZINC001031690925 747385580 /nfs/dbraw/zinc/38/55/80/747385580.db2.gz LEPBFVSSCGBSRC-UHFFFAOYSA-N 1 2 312.348 1.887 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(CC)CC)CC1 ZINC001198166967 747396923 /nfs/dbraw/zinc/39/69/23/747396923.db2.gz NNEQJLPLIQQBTB-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(CC)CC)CC1 ZINC001198166967 747396932 /nfs/dbraw/zinc/39/69/32/747396932.db2.gz NNEQJLPLIQQBTB-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CC[C@@H](N(C)C(=O)CC)C2)c1 ZINC001032849392 747443705 /nfs/dbraw/zinc/44/37/05/747443705.db2.gz HQGYORSRJQFAAQ-MRXNPFEDSA-N 1 2 313.401 1.549 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CC[C@@H](N(C)C(=O)CC)C2)c1 ZINC001032849392 747443708 /nfs/dbraw/zinc/44/37/08/747443708.db2.gz HQGYORSRJQFAAQ-MRXNPFEDSA-N 1 2 313.401 1.549 20 30 DDEDLO C=C(Cl)CN1CCCN(C(=O)CCCn2cc[nH+]c2)CC1 ZINC001198368425 747466544 /nfs/dbraw/zinc/46/65/44/747466544.db2.gz WFMAVERZUSBUCZ-UHFFFAOYSA-N 1 2 310.829 1.950 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC000998756036 752208432 /nfs/dbraw/zinc/20/84/32/752208432.db2.gz SYMWHBBGFAVVDF-YPMHNXCESA-N 1 2 317.393 1.577 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCc3cnn(C)c3C2)C1 ZINC001031923421 748221104 /nfs/dbraw/zinc/22/11/04/748221104.db2.gz IOYZVIZDTUQBDX-CYBMUJFWSA-N 1 2 322.840 1.326 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2ccn(CC)n2)C1 ZINC001033100220 748283089 /nfs/dbraw/zinc/28/30/89/748283089.db2.gz VRAATKDVBQZBNL-AWEZNQCLSA-N 1 2 310.829 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2ccn(CC)n2)C1 ZINC001033100220 748283093 /nfs/dbraw/zinc/28/30/93/748283093.db2.gz VRAATKDVBQZBNL-AWEZNQCLSA-N 1 2 310.829 1.731 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001007674613 752268322 /nfs/dbraw/zinc/26/83/22/752268322.db2.gz QEVMPAAIWPYFHX-CYBMUJFWSA-N 1 2 309.797 1.332 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001007674613 752268327 /nfs/dbraw/zinc/26/83/27/752268327.db2.gz QEVMPAAIWPYFHX-CYBMUJFWSA-N 1 2 309.797 1.332 20 30 DDEDLO C=CCCCC(=O)N1CCCO[C@H](C[NH2+]Cc2csnn2)C1 ZINC001201283716 748452797 /nfs/dbraw/zinc/45/27/97/748452797.db2.gz JRKAJWUIYXNBBZ-CQSZACIVSA-N 1 2 324.450 1.602 20 30 DDEDLO C=CCOCC(=O)NCC[NH2+][C@H](C)c1nnc(CC(C)C)o1 ZINC001124773593 748477715 /nfs/dbraw/zinc/47/77/15/748477715.db2.gz UGUDCMWRTZLHFK-GFCCVEGCSA-N 1 2 310.398 1.238 20 30 DDEDLO Cc1cnn(C)c1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004526276 748514965 /nfs/dbraw/zinc/51/49/65/748514965.db2.gz ILMLHUZPZABDGX-HNNXBMFYSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1cnn(C)c1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004526276 748514968 /nfs/dbraw/zinc/51/49/68/748514968.db2.gz ILMLHUZPZABDGX-HNNXBMFYSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1conc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004594021 748574282 /nfs/dbraw/zinc/57/42/82/748574282.db2.gz WTUIQRAIWIYRJR-AWEZNQCLSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1conc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004594021 748574286 /nfs/dbraw/zinc/57/42/86/748574286.db2.gz WTUIQRAIWIYRJR-AWEZNQCLSA-N 1 2 302.378 1.823 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)onc2C2CC2)C1 ZINC001108077946 748607040 /nfs/dbraw/zinc/60/70/40/748607040.db2.gz CZTYBOPKINYPLW-QGZVFWFLSA-N 1 2 319.405 1.867 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)onc2C2CC2)C1 ZINC001108077946 748607045 /nfs/dbraw/zinc/60/70/45/748607045.db2.gz CZTYBOPKINYPLW-QGZVFWFLSA-N 1 2 319.405 1.867 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2nc[nH]c2C(F)(F)F)C1 ZINC001033150865 748616104 /nfs/dbraw/zinc/61/61/04/748616104.db2.gz AGAXGIQQIUKENG-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2nc[nH]c2C(F)(F)F)C1 ZINC001033150865 748616107 /nfs/dbraw/zinc/61/61/07/748616107.db2.gz AGAXGIQQIUKENG-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[NH2+]Cc1nc(-c2ccsc2)no1 ZINC001124904196 748631077 /nfs/dbraw/zinc/63/10/77/748631077.db2.gz XRPIUSRMOJMWCH-VIFPVBQESA-N 1 2 305.363 1.164 20 30 DDEDLO C[C@@]1(NC(=O)Cc2[nH]cc[nH+]2)CCN(c2ccncc2C#N)C1 ZINC001110778484 749003709 /nfs/dbraw/zinc/00/37/09/749003709.db2.gz HIVARYDQUMPWQK-MRXNPFEDSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125216458 749030052 /nfs/dbraw/zinc/03/00/52/749030052.db2.gz OCVFRZFFSCMIMC-ZDUSSCGKSA-N 1 2 306.410 1.253 20 30 DDEDLO CN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)[C@H]1CCN(CC#N)C1 ZINC001033308056 749167845 /nfs/dbraw/zinc/16/78/45/749167845.db2.gz CKOFSNICKXBGDY-INIZCTEOSA-N 1 2 309.373 1.542 20 30 DDEDLO Cc1nn[nH]c1C(=O)N(C)[C@H]1CC[N@H+](Cc2ccc(C#N)cc2)C1 ZINC001033320055 749180457 /nfs/dbraw/zinc/18/04/57/749180457.db2.gz YNVWXWPGPQBSTJ-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1nn[nH]c1C(=O)N(C)[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001033320055 749180460 /nfs/dbraw/zinc/18/04/60/749180460.db2.gz YNVWXWPGPQBSTJ-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114473099 749256475 /nfs/dbraw/zinc/25/64/75/749256475.db2.gz SYAWTSYSKUARGW-OCCSQVGLSA-N 1 2 316.405 1.154 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)c2cccnc2)C1 ZINC001108348331 761953430 /nfs/dbraw/zinc/95/34/30/761953430.db2.gz YVZWMMQLHJSMBQ-QAPCUYQASA-N 1 2 315.417 1.416 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)c2cccnc2)C1 ZINC001108348331 761953437 /nfs/dbraw/zinc/95/34/37/761953437.db2.gz YVZWMMQLHJSMBQ-QAPCUYQASA-N 1 2 315.417 1.416 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C(C)(C)C3CC3)nn2)C1 ZINC001107198892 749567230 /nfs/dbraw/zinc/56/72/30/749567230.db2.gz WRLYVHYQXDYRGX-UHFFFAOYSA-N 1 2 317.437 1.763 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C[C@@H]3C[C@@H]3C)nn2)C1 ZINC001107205243 749588784 /nfs/dbraw/zinc/58/87/84/749588784.db2.gz PZYOEZKLFFYCDV-STQMWFEESA-N 1 2 303.410 1.373 20 30 DDEDLO N#Cc1ccc(N2CCC(CNC(=O)Cn3cc[nH+]c3)CC2)cn1 ZINC001095359063 749597817 /nfs/dbraw/zinc/59/78/17/749597817.db2.gz ACWALHAUBHXGLY-UHFFFAOYSA-N 1 2 324.388 1.183 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sc3nccn3c1C)C2 ZINC001095549947 750034520 /nfs/dbraw/zinc/03/45/20/750034520.db2.gz YMHNNEWCCNTJAN-AGIUHOORSA-N 1 2 314.414 1.673 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sc3nccn3c1C)C2 ZINC001095549947 750034528 /nfs/dbraw/zinc/03/45/28/750034528.db2.gz YMHNNEWCCNTJAN-AGIUHOORSA-N 1 2 314.414 1.673 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2c(C)noc2C)C1 ZINC001108390042 762011610 /nfs/dbraw/zinc/01/16/10/762011610.db2.gz RODSCQZUTLJUAA-KRWDZBQOSA-N 1 2 321.421 1.617 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2c(C)noc2C)C1 ZINC001108390042 762011618 /nfs/dbraw/zinc/01/16/18/762011618.db2.gz RODSCQZUTLJUAA-KRWDZBQOSA-N 1 2 321.421 1.617 20 30 DDEDLO Cc1nsc(N(C)CCCNC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001095617641 750084919 /nfs/dbraw/zinc/08/49/19/750084919.db2.gz NFYYTEFURORWPY-UHFFFAOYSA-N 1 2 318.406 1.232 20 30 DDEDLO Cc1nsc(NC/C=C/CNC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001107539884 750102894 /nfs/dbraw/zinc/10/28/94/750102894.db2.gz VRIAKUWTXBVLGL-NSCUHMNNSA-N 1 2 316.390 1.373 20 30 DDEDLO CC#CC[NH+]1CCC(N(C)C(=O)c2cccc3nn[nH]c32)CC1 ZINC001005448041 750104059 /nfs/dbraw/zinc/10/40/59/750104059.db2.gz MZNUJHSIUCHSIS-UHFFFAOYSA-N 1 2 311.389 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(F)CCOC1 ZINC001039424880 762022139 /nfs/dbraw/zinc/02/21/39/762022139.db2.gz KIXOTNBFOPDKCQ-VNHYZAJKSA-N 1 2 316.804 1.933 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(F)CCOC1 ZINC001039424880 762022148 /nfs/dbraw/zinc/02/21/48/762022148.db2.gz KIXOTNBFOPDKCQ-VNHYZAJKSA-N 1 2 316.804 1.933 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)C1CC2(CC2)C1 ZINC001077646925 750290119 /nfs/dbraw/zinc/29/01/19/750290119.db2.gz WURBLSNWFYCREK-QZTJIDSGSA-N 1 2 324.424 1.390 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)C1CC2(CC2)C1 ZINC001077646925 750290121 /nfs/dbraw/zinc/29/01/21/750290121.db2.gz WURBLSNWFYCREK-QZTJIDSGSA-N 1 2 324.424 1.390 20 30 DDEDLO Cc1nc(N2CCC(F)(F)[C@H](CNC(=O)[C@H](C)C#N)C2)cc[nH+]1 ZINC001111010260 750301081 /nfs/dbraw/zinc/30/10/81/750301081.db2.gz ADXWBIHQEWHXJC-ZYHUDNBSSA-N 1 2 323.347 1.523 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)COc2cccc(F)c2)C1 ZINC001108392997 762032371 /nfs/dbraw/zinc/03/23/71/762032371.db2.gz FXALWJDCTOMMST-KRWDZBQOSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)COc2cccc(F)c2)C1 ZINC001108392997 762032380 /nfs/dbraw/zinc/03/23/80/762032380.db2.gz FXALWJDCTOMMST-KRWDZBQOSA-N 1 2 322.380 1.598 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cc1cnc[nH]1 ZINC001039449941 762042014 /nfs/dbraw/zinc/04/20/14/762042014.db2.gz WWEBKQWUZREPKT-BMFZPTHFSA-N 1 2 300.406 1.287 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cc1cnc[nH]1 ZINC001039449941 762042022 /nfs/dbraw/zinc/04/20/22/762042022.db2.gz WWEBKQWUZREPKT-BMFZPTHFSA-N 1 2 300.406 1.287 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)n2cccc2)C1 ZINC001107874761 750518289 /nfs/dbraw/zinc/51/82/89/750518289.db2.gz RAXABKCIEJNJHU-NVXWUHKLSA-N 1 2 303.406 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)n2cccc2)C1 ZINC001107874761 750518290 /nfs/dbraw/zinc/51/82/90/750518290.db2.gz RAXABKCIEJNJHU-NVXWUHKLSA-N 1 2 303.406 1.280 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H]2CC=CCC2)C1 ZINC001108130244 750544987 /nfs/dbraw/zinc/54/49/87/750544987.db2.gz UVFJNZDKYJKUGU-FUHWJXTLSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H]2CC=CCC2)C1 ZINC001108130244 750544989 /nfs/dbraw/zinc/54/49/89/750544989.db2.gz UVFJNZDKYJKUGU-FUHWJXTLSA-N 1 2 304.434 1.963 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001039458807 762048819 /nfs/dbraw/zinc/04/88/19/762048819.db2.gz PEZSPHMLCTXMKL-MJBXVCDLSA-N 1 2 315.421 1.161 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001039458807 762048830 /nfs/dbraw/zinc/04/88/30/762048830.db2.gz PEZSPHMLCTXMKL-MJBXVCDLSA-N 1 2 315.421 1.161 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001039458807 762048841 /nfs/dbraw/zinc/04/88/41/762048841.db2.gz PEZSPHMLCTXMKL-MJBXVCDLSA-N 1 2 315.421 1.161 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001039458807 762048852 /nfs/dbraw/zinc/04/88/52/762048852.db2.gz PEZSPHMLCTXMKL-MJBXVCDLSA-N 1 2 315.421 1.161 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@@H]1C ZINC001090231640 750654076 /nfs/dbraw/zinc/65/40/76/750654076.db2.gz TYWHKDCVAXWXDX-OBJOEFQTSA-N 1 2 302.378 1.225 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccoc2CC)[C@@H](O)C1 ZINC001090232051 750655785 /nfs/dbraw/zinc/65/57/85/750655785.db2.gz RMXIQMLZWVDHHY-OLZOCXBDSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccoc2CC)[C@@H](O)C1 ZINC001090232051 750655789 /nfs/dbraw/zinc/65/57/89/750655789.db2.gz RMXIQMLZWVDHHY-OLZOCXBDSA-N 1 2 312.797 1.760 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](OCC)C(C)C)C1 ZINC001107937897 750685175 /nfs/dbraw/zinc/68/51/75/750685175.db2.gz DEEWLIIIYPHGJL-RDJZCZTQSA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](OCC)C(C)C)C1 ZINC001107937897 750685178 /nfs/dbraw/zinc/68/51/78/750685178.db2.gz DEEWLIIIYPHGJL-RDJZCZTQSA-N 1 2 310.438 1.278 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCc2nnc(C[NH2+]CC#CC)n2CC1 ZINC001127958963 750854434 /nfs/dbraw/zinc/85/44/34/750854434.db2.gz PEOMPQFYAVNIIO-CQSZACIVSA-N 1 2 315.421 1.178 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(OC)c(C)c1 ZINC001032444255 750858576 /nfs/dbraw/zinc/85/85/76/750858576.db2.gz SFXBUUCXJKFCRC-GJZGRUSLSA-N 1 2 314.385 1.301 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(OC)c(C)c1 ZINC001032444255 750858586 /nfs/dbraw/zinc/85/85/86/750858586.db2.gz SFXBUUCXJKFCRC-GJZGRUSLSA-N 1 2 314.385 1.301 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)[C@@H](C)CCC)CC2 ZINC001127965460 750868555 /nfs/dbraw/zinc/86/85/55/750868555.db2.gz UXDVZGDKOMIIDC-UONOGXRCSA-N 1 2 317.437 1.258 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)[nH]cc1NC(C)=O ZINC001032486972 751012711 /nfs/dbraw/zinc/01/27/11/751012711.db2.gz BWBCMDHRWKYNPI-KBPBESRZSA-N 1 2 314.389 1.204 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)[nH]cc1NC(C)=O ZINC001032486972 751012719 /nfs/dbraw/zinc/01/27/19/751012719.db2.gz BWBCMDHRWKYNPI-KBPBESRZSA-N 1 2 314.389 1.204 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1C[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001114793356 751085173 /nfs/dbraw/zinc/08/51/73/751085173.db2.gz SPGCIEJHACEQMC-HDJSIYSDSA-N 1 2 302.378 1.132 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCCn2cccc2)C1 ZINC001108416048 762108980 /nfs/dbraw/zinc/10/89/80/762108980.db2.gz PUYMUFKZJAWQGP-QGZVFWFLSA-N 1 2 305.422 1.661 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCCn2cccc2)C1 ZINC001108416048 762108985 /nfs/dbraw/zinc/10/89/85/762108985.db2.gz PUYMUFKZJAWQGP-QGZVFWFLSA-N 1 2 305.422 1.661 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nn(C)cc2Cl)CCC1 ZINC001129125617 751300698 /nfs/dbraw/zinc/30/06/98/751300698.db2.gz YBZLCEFDSXOVQI-UHFFFAOYSA-N 1 2 308.813 1.473 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001007785199 752348996 /nfs/dbraw/zinc/34/89/96/752348996.db2.gz IHVISHCUNSHPKL-LLVKDONJSA-N 1 2 319.796 1.856 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001007785199 752349005 /nfs/dbraw/zinc/34/90/05/752349005.db2.gz IHVISHCUNSHPKL-LLVKDONJSA-N 1 2 319.796 1.856 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1cccc(OC)c1 ZINC001032672141 752685194 /nfs/dbraw/zinc/68/51/94/752685194.db2.gz MVIMSHIPKFOZGP-UOVPBQLFSA-N 1 2 310.397 1.717 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1cccc(OC)c1 ZINC001032672141 752685198 /nfs/dbraw/zinc/68/51/98/752685198.db2.gz MVIMSHIPKFOZGP-UOVPBQLFSA-N 1 2 310.397 1.717 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc2ccccn2c1F ZINC001032717258 752978281 /nfs/dbraw/zinc/97/82/81/752978281.db2.gz YPLQVKQLNQJAMT-RYUDHWBXSA-N 1 2 300.337 1.558 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc2ccccn2c1F ZINC001032717258 752978286 /nfs/dbraw/zinc/97/82/86/752978286.db2.gz YPLQVKQLNQJAMT-RYUDHWBXSA-N 1 2 300.337 1.558 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001009185720 753101892 /nfs/dbraw/zinc/10/18/92/753101892.db2.gz DTXHAYHQPRBAJT-STQMWFEESA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001009185720 753101893 /nfs/dbraw/zinc/10/18/93/753101893.db2.gz DTXHAYHQPRBAJT-STQMWFEESA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001009185720 753101898 /nfs/dbraw/zinc/10/18/98/753101898.db2.gz DTXHAYHQPRBAJT-STQMWFEESA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001009185720 753101903 /nfs/dbraw/zinc/10/19/03/753101903.db2.gz DTXHAYHQPRBAJT-STQMWFEESA-N 1 2 303.410 1.066 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc3c(=O)ccoc3c2)C1 ZINC001009225660 753118019 /nfs/dbraw/zinc/11/80/19/753118019.db2.gz OCVYGLSQVRRQNE-CQSZACIVSA-N 1 2 310.353 1.620 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc3c(=O)ccoc3c2)C1 ZINC001009225660 753118023 /nfs/dbraw/zinc/11/80/23/753118023.db2.gz OCVYGLSQVRRQNE-CQSZACIVSA-N 1 2 310.353 1.620 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(C)CN(Cc2c[nH+]cn2C)CCO1 ZINC001108169625 753316414 /nfs/dbraw/zinc/31/64/14/753316414.db2.gz SLCKWMMHTQDLET-KRWDZBQOSA-N 1 2 320.437 1.484 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2cncc(F)c2)C1 ZINC001108443132 762205311 /nfs/dbraw/zinc/20/53/11/762205311.db2.gz JABJTHAYLPFMQC-KRWDZBQOSA-N 1 2 321.396 1.546 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2cncc(F)c2)C1 ZINC001108443132 762205317 /nfs/dbraw/zinc/20/53/17/762205317.db2.gz JABJTHAYLPFMQC-KRWDZBQOSA-N 1 2 321.396 1.546 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](CNc2ccc(C#N)nc2)C1 ZINC001060902843 753366205 /nfs/dbraw/zinc/36/62/05/753366205.db2.gz OYQQDTMXNKGOPS-CYBMUJFWSA-N 1 2 324.388 1.488 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(OC)cccc1OC ZINC001032752012 753466250 /nfs/dbraw/zinc/46/62/50/753466250.db2.gz XXJKDDNUZNVBFJ-STQMWFEESA-N 1 2 300.358 1.236 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(OC)cccc1OC ZINC001032752012 753466254 /nfs/dbraw/zinc/46/62/54/753466254.db2.gz XXJKDDNUZNVBFJ-STQMWFEESA-N 1 2 300.358 1.236 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cccc(C)c2)C1 ZINC001108176774 753479746 /nfs/dbraw/zinc/47/97/46/753479746.db2.gz FEDMJYZDQBBVSP-LJQANCHMSA-N 1 2 314.429 1.768 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cccc(C)c2)C1 ZINC001108176774 753479748 /nfs/dbraw/zinc/47/97/48/753479748.db2.gz FEDMJYZDQBBVSP-LJQANCHMSA-N 1 2 314.429 1.768 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCC2(F)F)C1 ZINC001108016999 753520358 /nfs/dbraw/zinc/52/03/58/753520358.db2.gz LUHUKZMROFMGMI-TZMCWYRMSA-N 1 2 300.349 1.262 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCC2(F)F)C1 ZINC001108016999 753520362 /nfs/dbraw/zinc/52/03/62/753520362.db2.gz LUHUKZMROFMGMI-TZMCWYRMSA-N 1 2 300.349 1.262 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001108177965 753522340 /nfs/dbraw/zinc/52/23/40/753522340.db2.gz CKXJCQJTYDRAFA-WCQYABFASA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001108177965 753522343 /nfs/dbraw/zinc/52/23/43/753522343.db2.gz CKXJCQJTYDRAFA-WCQYABFASA-N 1 2 308.344 1.968 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)no1 ZINC001010017494 753546352 /nfs/dbraw/zinc/54/63/52/753546352.db2.gz ADTWFZYXDKRWGF-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)no1 ZINC001010017494 753546358 /nfs/dbraw/zinc/54/63/58/753546358.db2.gz ADTWFZYXDKRWGF-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1ncncc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010325289 753763331 /nfs/dbraw/zinc/76/33/31/753763331.db2.gz NUXGTGPIFKCYRH-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ncncc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010325289 753763336 /nfs/dbraw/zinc/76/33/36/753763336.db2.gz NUXGTGPIFKCYRH-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H](C)CCNC(=O)[C@H](C)C#N)c(C)[nH+]1 ZINC001078132061 753770788 /nfs/dbraw/zinc/77/07/88/753770788.db2.gz IMJUCNHPLSDFOA-NEPJUHHUSA-N 1 2 316.405 1.791 20 30 DDEDLO Cc1cc(CC(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)no1 ZINC001010399481 753868317 /nfs/dbraw/zinc/86/83/17/753868317.db2.gz VRMZIRJICICWRS-MRXNPFEDSA-N 1 2 324.384 1.788 20 30 DDEDLO Cc1cc(CC(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)no1 ZINC001010399481 753868320 /nfs/dbraw/zinc/86/83/20/753868320.db2.gz VRMZIRJICICWRS-MRXNPFEDSA-N 1 2 324.384 1.788 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCCN1C(=O)CCC ZINC001032798366 754025299 /nfs/dbraw/zinc/02/52/99/754025299.db2.gz AHLVPIXPYZNEHC-HRCADAONSA-N 1 2 317.433 1.086 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCCN1C(=O)CCC ZINC001032798366 754025304 /nfs/dbraw/zinc/02/53/04/754025304.db2.gz AHLVPIXPYZNEHC-HRCADAONSA-N 1 2 317.433 1.086 20 30 DDEDLO Cc1nc(NCC[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)cc[nH+]1 ZINC001063266052 754066540 /nfs/dbraw/zinc/06/65/40/754066540.db2.gz VGERDHXTFJOGPE-TZMCWYRMSA-N 1 2 301.394 1.985 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001010644867 754076501 /nfs/dbraw/zinc/07/65/01/754076501.db2.gz QQJTXVKNYDYWFP-DGCLKSJQSA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001010644867 754076505 /nfs/dbraw/zinc/07/65/05/754076505.db2.gz QQJTXVKNYDYWFP-DGCLKSJQSA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001010644864 754076579 /nfs/dbraw/zinc/07/65/79/754076579.db2.gz QQJTXVKNYDYWFP-AAEUAGOBSA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001010644864 754076583 /nfs/dbraw/zinc/07/65/83/754076583.db2.gz QQJTXVKNYDYWFP-AAEUAGOBSA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C[C@H]2C)cc[nH+]1 ZINC001063716263 754313514 /nfs/dbraw/zinc/31/35/14/754313514.db2.gz OGCHQZIDQOXPAI-BXUZGUMPSA-N 1 2 324.388 1.948 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(-c3ccccc3)o2)[C@@H](O)C1 ZINC001083798865 754430593 /nfs/dbraw/zinc/43/05/93/754430593.db2.gz YZEYOMVNWUAEAR-CVEARBPZSA-N 1 2 324.380 1.745 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(-c3ccccc3)o2)[C@@H](O)C1 ZINC001083798865 754430596 /nfs/dbraw/zinc/43/05/96/754430596.db2.gz YZEYOMVNWUAEAR-CVEARBPZSA-N 1 2 324.380 1.745 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2C=CC=CC=C2)[C@H](O)C1 ZINC001090300801 754786781 /nfs/dbraw/zinc/78/67/81/754786781.db2.gz MMZFGTTUTGBFTI-HUUCEWRRSA-N 1 2 308.809 1.589 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2C=CC=CC=C2)[C@H](O)C1 ZINC001090300801 754786783 /nfs/dbraw/zinc/78/67/83/754786783.db2.gz MMZFGTTUTGBFTI-HUUCEWRRSA-N 1 2 308.809 1.589 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H]2CNc2cc[nH+]c(C)n2)nc1 ZINC001064933912 754960986 /nfs/dbraw/zinc/96/09/86/754960986.db2.gz BCLBYBJSUCNGCP-HNNXBMFYSA-N 1 2 321.384 1.878 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001065015533 755019809 /nfs/dbraw/zinc/01/98/09/755019809.db2.gz UGACMYXPJBVURD-ZNMIVQPWSA-N 1 2 316.405 1.779 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN2C(=O)Cc2c[nH+]c[nH]2)cn1 ZINC001065017887 755021792 /nfs/dbraw/zinc/02/17/92/755021792.db2.gz ANMDLMHOKUGTSR-HNNXBMFYSA-N 1 2 310.361 1.322 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@@H](C)N(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001013048967 755308677 /nfs/dbraw/zinc/30/86/77/755308677.db2.gz LEPMAALPJQAWTM-ZIAGYGMSSA-N 1 2 316.405 1.345 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2Cl)C1 ZINC001079965219 755657418 /nfs/dbraw/zinc/65/74/18/755657418.db2.gz ADGZHHOMPRTANH-LDYMZIIASA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2Cl)C1 ZINC001079965219 755657422 /nfs/dbraw/zinc/65/74/22/755657422.db2.gz ADGZHHOMPRTANH-LDYMZIIASA-N 1 2 317.220 1.876 20 30 DDEDLO CCn1ncc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001014358389 755695834 /nfs/dbraw/zinc/69/58/34/755695834.db2.gz RYSQQOIGFDHDGZ-MRXNPFEDSA-N 1 2 323.400 1.154 20 30 DDEDLO CCn1ncc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001014358389 755695837 /nfs/dbraw/zinc/69/58/37/755695837.db2.gz RYSQQOIGFDHDGZ-MRXNPFEDSA-N 1 2 323.400 1.154 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@H](NC(=O)c3ncc[nH]3)C2)ccc1F ZINC001014370206 755701456 /nfs/dbraw/zinc/70/14/56/755701456.db2.gz GIMQNDVAXROJTC-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3ncc[nH]3)C2)ccc1F ZINC001014370206 755701460 /nfs/dbraw/zinc/70/14/60/755701460.db2.gz GIMQNDVAXROJTC-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO Cn1ccc(CC(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001014406090 755722163 /nfs/dbraw/zinc/72/21/63/755722163.db2.gz JSSOAFFMOQJRFR-KRWDZBQOSA-N 1 2 323.400 1.225 20 30 DDEDLO Cn1ccc(CC(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001014406090 755722167 /nfs/dbraw/zinc/72/21/67/755722167.db2.gz JSSOAFFMOQJRFR-KRWDZBQOSA-N 1 2 323.400 1.225 20 30 DDEDLO Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)nn1 ZINC001014410853 755725110 /nfs/dbraw/zinc/72/51/10/755725110.db2.gz WJXUCSQGSLSTIF-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)nn1 ZINC001014410853 755725113 /nfs/dbraw/zinc/72/51/13/755725113.db2.gz WJXUCSQGSLSTIF-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO C=CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC001080143527 755734155 /nfs/dbraw/zinc/73/41/55/755734155.db2.gz NXZQYVSGRCVYGX-PSASIEDQSA-N 1 2 316.327 1.973 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC001080143527 755734156 /nfs/dbraw/zinc/73/41/56/755734156.db2.gz NXZQYVSGRCVYGX-PSASIEDQSA-N 1 2 316.327 1.973 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080310183 755824745 /nfs/dbraw/zinc/82/47/45/755824745.db2.gz NXUYVYSVSIJGRD-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C[C@@H](CNc1ncc(C#N)cc1Cl)NC(=O)Cc1[nH]cc[nH+]1 ZINC001108485976 762436982 /nfs/dbraw/zinc/43/69/82/762436982.db2.gz WMMLLFSNXIDEEU-VIFPVBQESA-N 1 2 318.768 1.489 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001015353315 756257060 /nfs/dbraw/zinc/25/70/60/756257060.db2.gz AFMLZCOEUBIHOR-ZDUSSCGKSA-N 1 2 310.829 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001015353315 756257062 /nfs/dbraw/zinc/25/70/62/756257062.db2.gz AFMLZCOEUBIHOR-ZDUSSCGKSA-N 1 2 310.829 1.468 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001015726795 756499612 /nfs/dbraw/zinc/49/96/12/756499612.db2.gz SIWAZJFRDWOWFC-UPJWGTAASA-N 1 2 322.434 1.128 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001015726795 756499616 /nfs/dbraw/zinc/49/96/16/756499616.db2.gz SIWAZJFRDWOWFC-UPJWGTAASA-N 1 2 322.434 1.128 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2nc3c(s2)CCC3)[C@H](OC)C1 ZINC001081946235 756558183 /nfs/dbraw/zinc/55/81/83/756558183.db2.gz VJLNAVDHJMASOC-CHWSQXEVSA-N 1 2 319.430 1.084 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2nc3c(s2)CCC3)[C@H](OC)C1 ZINC001081946235 756558187 /nfs/dbraw/zinc/55/81/87/756558187.db2.gz VJLNAVDHJMASOC-CHWSQXEVSA-N 1 2 319.430 1.084 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H](C)[C@H](Nc3cc[nH+]c(C)n3)C2)nc1 ZINC001067123123 756590784 /nfs/dbraw/zinc/59/07/84/756590784.db2.gz QFEHQRZXLDAOEZ-BLLLJJGKSA-N 1 2 321.384 1.734 20 30 DDEDLO CCn1cc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)nn1 ZINC001015916793 756649484 /nfs/dbraw/zinc/64/94/84/756649484.db2.gz QLOFUSNIJWSCHJ-MRXNPFEDSA-N 1 2 323.400 1.154 20 30 DDEDLO CCn1cc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)nn1 ZINC001015916793 756649486 /nfs/dbraw/zinc/64/94/86/756649486.db2.gz QLOFUSNIJWSCHJ-MRXNPFEDSA-N 1 2 323.400 1.154 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2coc(C3CCCC3)n2)[C@H](OC)C1 ZINC001082284344 756723133 /nfs/dbraw/zinc/72/31/33/756723133.db2.gz AGBDOMAOKXDKQR-UKRRQHHQSA-N 1 2 317.389 1.394 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2coc(C3CCCC3)n2)[C@H](OC)C1 ZINC001082284344 756723135 /nfs/dbraw/zinc/72/31/35/756723135.db2.gz AGBDOMAOKXDKQR-UKRRQHHQSA-N 1 2 317.389 1.394 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(F)cc2F)[C@H](OC)C1 ZINC001082352605 756750468 /nfs/dbraw/zinc/75/04/68/756750468.db2.gz FVDAJVWKHYFMMY-HUUCEWRRSA-N 1 2 308.328 1.417 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(F)cc2F)[C@H](OC)C1 ZINC001082352605 756750471 /nfs/dbraw/zinc/75/04/71/756750471.db2.gz FVDAJVWKHYFMMY-HUUCEWRRSA-N 1 2 308.328 1.417 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cn(C)nc2OC)CC1 ZINC001001038399 762491987 /nfs/dbraw/zinc/49/19/87/762491987.db2.gz ZJIGFOMAEJYKAV-UHFFFAOYSA-N 1 2 324.812 1.543 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cn(C)nc2OC)CC1 ZINC001001038399 762491991 /nfs/dbraw/zinc/49/19/91/762491991.db2.gz ZJIGFOMAEJYKAV-UHFFFAOYSA-N 1 2 324.812 1.543 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@@H]2C)ccc1C#N ZINC001067140570 756812619 /nfs/dbraw/zinc/81/26/19/756812619.db2.gz WJUPAIGJSLWRBD-SMDDNHRTSA-N 1 2 324.388 1.486 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCO[C@@H]2C[N@H+](Cc3ccc(F)cc3)C[C@@H]21 ZINC001083026315 757066256 /nfs/dbraw/zinc/06/62/56/757066256.db2.gz WEMGXCWUESEDFV-VBNZEHGJSA-N 1 2 317.364 1.397 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccc(F)cc3)C[C@@H]21 ZINC001083026315 757066269 /nfs/dbraw/zinc/06/62/69/757066269.db2.gz WEMGXCWUESEDFV-VBNZEHGJSA-N 1 2 317.364 1.397 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3ccc(F)cc3F)[C@H]2C1 ZINC001083090157 757130762 /nfs/dbraw/zinc/13/07/62/757130762.db2.gz KBEIHHKTRLRPCB-JKSUJKDBSA-N 1 2 320.339 1.052 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3ccc(F)cc3F)[C@H]2C1 ZINC001083090157 757130765 /nfs/dbraw/zinc/13/07/65/757130765.db2.gz KBEIHHKTRLRPCB-JKSUJKDBSA-N 1 2 320.339 1.052 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3n[nH]c4ccc(C)cc43)[C@H]2C1 ZINC001083129803 757145369 /nfs/dbraw/zinc/14/53/69/757145369.db2.gz GABJGRHUJPPSQI-JKSUJKDBSA-N 1 2 324.384 1.030 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3n[nH]c4ccc(C)cc43)[C@H]2C1 ZINC001083129803 757145372 /nfs/dbraw/zinc/14/53/72/757145372.db2.gz GABJGRHUJPPSQI-JKSUJKDBSA-N 1 2 324.384 1.030 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@H]3CCC(C)(C)CO3)[C@H]2C1 ZINC001083148105 757155878 /nfs/dbraw/zinc/15/58/78/757155878.db2.gz UXECDCMCWSUAEF-OWCLPIDISA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@H]3CCC(C)(C)CO3)[C@H]2C1 ZINC001083148105 757155879 /nfs/dbraw/zinc/15/58/79/757155879.db2.gz UXECDCMCWSUAEF-OWCLPIDISA-N 1 2 320.433 1.127 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2CC[C@H](Nc3cc[nH+]c(C)n3)[C@@H]2C1 ZINC001097597759 757271086 /nfs/dbraw/zinc/27/10/86/757271086.db2.gz APGPAZOQCHXQDM-ZNMIVQPWSA-N 1 2 316.405 1.637 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cnc(C)n2C)CC1 ZINC001001085522 762538085 /nfs/dbraw/zinc/53/80/85/762538085.db2.gz GKEZJMXZFGMIFI-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cnc(C)n2C)CC1 ZINC001001085522 762538091 /nfs/dbraw/zinc/53/80/91/762538091.db2.gz GKEZJMXZFGMIFI-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H](C)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001097793828 757529695 /nfs/dbraw/zinc/52/96/95/757529695.db2.gz GCGPESOZHFYUJA-SJCJKPOMSA-N 1 2 318.421 1.906 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001097822337 757589742 /nfs/dbraw/zinc/58/97/42/757589742.db2.gz FCBSIQCPTXCNSA-CYBMUJFWSA-N 1 2 315.421 1.041 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001097822337 757589749 /nfs/dbraw/zinc/58/97/49/757589749.db2.gz FCBSIQCPTXCNSA-CYBMUJFWSA-N 1 2 315.421 1.041 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3nc4c(s3)CCC4)[C@@H]2C1 ZINC001084520070 757634008 /nfs/dbraw/zinc/63/40/08/757634008.db2.gz FMPDULBORXIAPH-TZMCWYRMSA-N 1 2 315.442 1.801 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3nc4c(s3)CCC4)[C@@H]2C1 ZINC001084520070 757634015 /nfs/dbraw/zinc/63/40/15/757634015.db2.gz FMPDULBORXIAPH-TZMCWYRMSA-N 1 2 315.442 1.801 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3nc(C)no3)[C@@H]2C1 ZINC001084563142 757677232 /nfs/dbraw/zinc/67/72/32/757677232.db2.gz WLHCEGVPOIFSGT-CHWSQXEVSA-N 1 2 324.812 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3nc(C)no3)[C@@H]2C1 ZINC001084563142 757677241 /nfs/dbraw/zinc/67/72/41/757677241.db2.gz WLHCEGVPOIFSGT-CHWSQXEVSA-N 1 2 324.812 1.596 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(-c4nnc[nH]4)cc3)[C@@H]2C1 ZINC001084755394 757871555 /nfs/dbraw/zinc/87/15/55/757871555.db2.gz OMHPRYZRLCCFKG-HZPDHXFCSA-N 1 2 323.400 1.804 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(-c4nnc[nH]4)cc3)[C@@H]2C1 ZINC001084755394 757871570 /nfs/dbraw/zinc/87/15/70/757871570.db2.gz OMHPRYZRLCCFKG-HZPDHXFCSA-N 1 2 323.400 1.804 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(Br)n[nH]1 ZINC001017597055 758064259 /nfs/dbraw/zinc/06/42/59/758064259.db2.gz QPCBXOUUUCQNLY-AOOOYVTPSA-N 1 2 323.194 1.094 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(Br)n[nH]1 ZINC001017597055 758064268 /nfs/dbraw/zinc/06/42/68/758064268.db2.gz QPCBXOUUUCQNLY-AOOOYVTPSA-N 1 2 323.194 1.094 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCC[C@H](OC)C1 ZINC001017607477 758075621 /nfs/dbraw/zinc/07/56/21/758075621.db2.gz YRDHMBDZYPSBHD-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCC[C@H](OC)C1 ZINC001017607477 758075631 /nfs/dbraw/zinc/07/56/31/758075631.db2.gz YRDHMBDZYPSBHD-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncnc2[nH]ccc21 ZINC001017706983 758171427 /nfs/dbraw/zinc/17/14/27/758171427.db2.gz ZGIHGCWBPWSRSD-BETUJISGSA-N 1 2 309.373 1.222 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncnc2[nH]ccc21 ZINC001017706983 758171431 /nfs/dbraw/zinc/17/14/31/758171431.db2.gz ZGIHGCWBPWSRSD-BETUJISGSA-N 1 2 309.373 1.222 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1O[C@H](C)C2 ZINC001017742667 758200110 /nfs/dbraw/zinc/20/01/10/758200110.db2.gz SQDJOCFDCJASQT-BMFZPTHFSA-N 1 2 310.397 1.932 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1O[C@H](C)C2 ZINC001017742667 758200115 /nfs/dbraw/zinc/20/01/15/758200115.db2.gz SQDJOCFDCJASQT-BMFZPTHFSA-N 1 2 310.397 1.932 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2[nH]c(C)nc21 ZINC001017756263 758210001 /nfs/dbraw/zinc/21/00/01/758210001.db2.gz IVZUHACYCRTOCC-OKILXGFUSA-N 1 2 323.400 1.578 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2[nH]c(C)nc21 ZINC001017756263 758210008 /nfs/dbraw/zinc/21/00/08/758210008.db2.gz IVZUHACYCRTOCC-OKILXGFUSA-N 1 2 323.400 1.578 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC(=O)Nc2ccccc21 ZINC001017780466 758232614 /nfs/dbraw/zinc/23/26/14/758232614.db2.gz NAINVTPUQHYSMM-LZWOXQAQSA-N 1 2 323.396 1.421 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC(=O)Nc2ccccc21 ZINC001017780466 758232620 /nfs/dbraw/zinc/23/26/20/758232620.db2.gz NAINVTPUQHYSMM-LZWOXQAQSA-N 1 2 323.396 1.421 20 30 DDEDLO O=C(C#CC1CC1)N1CCOC2(C[NH+](CCc3ccccc3)C2)C1 ZINC001053244798 758302556 /nfs/dbraw/zinc/30/25/56/758302556.db2.gz ODNXTUZBBKWIKG-UHFFFAOYSA-N 1 2 324.424 1.556 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1cnc(C)o1)CCO2 ZINC001053286295 758341409 /nfs/dbraw/zinc/34/14/09/758341409.db2.gz YMGSWAFBWLSGQR-UHFFFAOYSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)Cc1ccco1)CCO2 ZINC001053466951 758483131 /nfs/dbraw/zinc/48/31/31/758483131.db2.gz AAVNOKGWPBSWFD-OAHLLOKOSA-N 1 2 318.417 1.948 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1c(C)noc1C ZINC001018059398 758514098 /nfs/dbraw/zinc/51/40/98/758514098.db2.gz CXQVEGZLLDURQJ-DFBGVHRSSA-N 1 2 301.390 1.703 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1c(C)noc1C ZINC001018059398 758514105 /nfs/dbraw/zinc/51/41/05/758514105.db2.gz CXQVEGZLLDURQJ-DFBGVHRSSA-N 1 2 301.390 1.703 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1c(C)n[nH]c1C ZINC001018070555 758522014 /nfs/dbraw/zinc/52/20/14/758522014.db2.gz KACOUBUOTRXBTF-VBNZEHGJSA-N 1 2 314.433 1.829 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1c(C)n[nH]c1C ZINC001018070555 758522018 /nfs/dbraw/zinc/52/20/18/758522018.db2.gz KACOUBUOTRXBTF-VBNZEHGJSA-N 1 2 314.433 1.829 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)c1cnn(C)c1)CCO2 ZINC001053551140 758555729 /nfs/dbraw/zinc/55/57/29/758555729.db2.gz OXYNSAJBEXVVCN-AWEZNQCLSA-N 1 2 318.421 1.013 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cccc(C)n1)O2 ZINC001053574616 758584613 /nfs/dbraw/zinc/58/46/13/758584613.db2.gz GCUIJBKPDURAMQ-CQSZACIVSA-N 1 2 301.390 1.539 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cncc(C)c1)O2 ZINC001053586244 758601112 /nfs/dbraw/zinc/60/11/12/758601112.db2.gz PAEJOHAHSKLQMS-OAHLLOKOSA-N 1 2 301.390 1.539 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1occ3c1CCC3)O2 ZINC001053638569 758653985 /nfs/dbraw/zinc/65/39/85/758653985.db2.gz PQKRBLYWLVGWJW-CQSZACIVSA-N 1 2 316.401 1.918 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccn(C)c1CC)O2 ZINC001053659879 758672635 /nfs/dbraw/zinc/67/26/35/758672635.db2.gz BCNGRQNVLFHULF-CQSZACIVSA-N 1 2 317.433 1.737 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(N)=O)cc1 ZINC001018258762 758674830 /nfs/dbraw/zinc/67/48/30/758674830.db2.gz OVIPOIATPIFARP-IYBDPMFKSA-N 1 2 311.385 1.098 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(N)=O)cc1 ZINC001018258762 758674833 /nfs/dbraw/zinc/67/48/33/758674833.db2.gz OVIPOIATPIFARP-IYBDPMFKSA-N 1 2 311.385 1.098 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1nccs1)O2 ZINC001053679754 758690890 /nfs/dbraw/zinc/69/08/90/758690890.db2.gz NADNCJYELBDSIN-LBPRGKRZSA-N 1 2 307.419 1.682 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(C)noc1CC)O2 ZINC001053695330 758704318 /nfs/dbraw/zinc/70/43/18/758704318.db2.gz DNHDOSHMOSXHHB-CYBMUJFWSA-N 1 2 319.405 1.695 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3C[C@H]3C)C2)CC1 ZINC001065708562 758728583 /nfs/dbraw/zinc/72/85/83/758728583.db2.gz FEACBXFPDBLZDG-OWCLPIDISA-N 1 2 319.449 1.354 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CN(C)c1ccccc1 ZINC001018330247 758735539 /nfs/dbraw/zinc/73/55/39/758735539.db2.gz MVSVVPPYQITKNS-HDICACEKSA-N 1 2 311.429 1.821 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CN(C)c1ccccc1 ZINC001018330247 758735542 /nfs/dbraw/zinc/73/55/42/758735542.db2.gz MVSVVPPYQITKNS-HDICACEKSA-N 1 2 311.429 1.821 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1scnc1C)CO2 ZINC001053747848 758764746 /nfs/dbraw/zinc/76/47/46/758764746.db2.gz IGLMUQKEAMWIIR-GFCCVEGCSA-N 1 2 307.419 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(Cl)cn1C)CO2 ZINC001053863858 758896296 /nfs/dbraw/zinc/89/62/96/758896296.db2.gz RVUZICKMKSXOTP-CYBMUJFWSA-N 1 2 323.824 1.828 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccn(CC)c1C)CO2 ZINC001053905244 758937710 /nfs/dbraw/zinc/93/77/10/758937710.db2.gz ZSMGLHCHWMPVBS-OAHLLOKOSA-N 1 2 315.417 1.413 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2COC3(C[NH+](C[C@@H](C)O)C3)C2)CC1 ZINC001053913933 758947533 /nfs/dbraw/zinc/94/75/33/758947533.db2.gz AVVRRZPEKKJCFF-UKRRQHHQSA-N 1 2 308.422 1.073 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)c1ccsc1)CO2 ZINC001053923285 758959347 /nfs/dbraw/zinc/95/93/47/758959347.db2.gz RPTHURSYWMXUOL-DZGCQCFKSA-N 1 2 318.442 1.834 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001065972574 758967010 /nfs/dbraw/zinc/96/70/10/758967010.db2.gz RFRRQXWFCCUBOY-ZDUSSCGKSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@]1(C)CCCOC1)CO2 ZINC001053969503 759004769 /nfs/dbraw/zinc/00/47/69/759004769.db2.gz PAWKCQJSUIBIQN-ZBFHGGJFSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ncccc1Cl)CO2 ZINC001053988992 759032249 /nfs/dbraw/zinc/03/22/49/759032249.db2.gz VTCKYVQUIGGMJN-LBPRGKRZSA-N 1 2 319.792 1.331 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(F)cc1F)CO2 ZINC001053997623 759043094 /nfs/dbraw/zinc/04/30/94/759043094.db2.gz SAVBDQKOYHCZET-CYBMUJFWSA-N 1 2 320.339 1.561 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cccnc1 ZINC001054028202 759079429 /nfs/dbraw/zinc/07/94/29/759079429.db2.gz KLNOEYFJHDXLJC-GOSISDBHSA-N 1 2 305.381 1.872 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cccnc1 ZINC001054028202 759079434 /nfs/dbraw/zinc/07/94/34/759079434.db2.gz KLNOEYFJHDXLJC-GOSISDBHSA-N 1 2 305.381 1.872 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn(C)c1 ZINC001054032437 759086055 /nfs/dbraw/zinc/08/60/55/759086055.db2.gz ZAWGECITBCEOBG-KRWDZBQOSA-N 1 2 308.385 1.210 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn(C)c1 ZINC001054032437 759086065 /nfs/dbraw/zinc/08/60/65/759086065.db2.gz ZAWGECITBCEOBG-KRWDZBQOSA-N 1 2 308.385 1.210 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cncn1C ZINC001054046185 759102926 /nfs/dbraw/zinc/10/29/26/759102926.db2.gz DWFLLGDVHGHMNG-KRWDZBQOSA-N 1 2 322.412 1.600 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cncn1C ZINC001054046185 759102929 /nfs/dbraw/zinc/10/29/29/759102929.db2.gz DWFLLGDVHGHMNG-KRWDZBQOSA-N 1 2 322.412 1.600 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1c[nH]nc1C ZINC001054048456 759104200 /nfs/dbraw/zinc/10/42/00/759104200.db2.gz BXFYNWSSKJLKEW-INIZCTEOSA-N 1 2 308.385 1.508 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1c[nH]nc1C ZINC001054048456 759104202 /nfs/dbraw/zinc/10/42/02/759104202.db2.gz BXFYNWSSKJLKEW-INIZCTEOSA-N 1 2 308.385 1.508 20 30 DDEDLO C=CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1n[nH]cc1F ZINC001054051994 759108061 /nfs/dbraw/zinc/10/80/61/759108061.db2.gz MEIWEGJAQAVLOM-AWEZNQCLSA-N 1 2 314.364 1.892 20 30 DDEDLO C=CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1n[nH]cc1F ZINC001054051994 759108066 /nfs/dbraw/zinc/10/80/66/759108066.db2.gz MEIWEGJAQAVLOM-AWEZNQCLSA-N 1 2 314.364 1.892 20 30 DDEDLO C=C/C(C)=C/CC(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108563829 762690260 /nfs/dbraw/zinc/69/02/60/762690260.db2.gz VCOCXAOGALJGDD-SXSSENBOSA-N 1 2 317.433 1.486 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000826670983 759298163 /nfs/dbraw/zinc/29/81/63/759298163.db2.gz QQPIUNYGYBAJAV-CQSZACIVSA-N 1 2 304.394 1.052 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnn4cc[nH]c34)cc2C1 ZINC001054291133 759397342 /nfs/dbraw/zinc/39/73/42/759397342.db2.gz WQYISIVVUUNIFC-UHFFFAOYSA-N 1 2 319.368 1.541 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnn4cc[nH]c34)cc2C1 ZINC001054291133 759397352 /nfs/dbraw/zinc/39/73/52/759397352.db2.gz WQYISIVVUUNIFC-UHFFFAOYSA-N 1 2 319.368 1.541 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cn(CC3CC3)nn2)C1 ZINC001046731110 767861514 /nfs/dbraw/zinc/86/15/14/767861514.db2.gz HLTUDPCJGQFCFW-OAHLLOKOSA-N 1 2 323.828 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cn(CC3CC3)nn2)C1 ZINC001046731110 767861522 /nfs/dbraw/zinc/86/15/22/767861522.db2.gz HLTUDPCJGQFCFW-OAHLLOKOSA-N 1 2 323.828 1.635 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)C1=CCOCC1 ZINC001085561783 759699386 /nfs/dbraw/zinc/69/93/86/759699386.db2.gz UYDBMANCRGQMTQ-LJQANCHMSA-N 1 2 324.424 1.918 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)C1=CCOCC1 ZINC001085561783 759699390 /nfs/dbraw/zinc/69/93/90/759699390.db2.gz UYDBMANCRGQMTQ-LJQANCHMSA-N 1 2 324.424 1.918 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001085596450 759806181 /nfs/dbraw/zinc/80/61/81/759806181.db2.gz BNCIQXTUEAZAAK-UONOGXRCSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001085596450 759806192 /nfs/dbraw/zinc/80/61/92/759806192.db2.gz BNCIQXTUEAZAAK-UONOGXRCSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccn(C2CCOCC2)n1 ZINC001085597222 759809831 /nfs/dbraw/zinc/80/98/31/759809831.db2.gz SWOPHRPSSWZHBV-HNNXBMFYSA-N 1 2 316.405 1.014 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccn(C2CCOCC2)n1 ZINC001085597222 759809840 /nfs/dbraw/zinc/80/98/40/759809840.db2.gz SWOPHRPSSWZHBV-HNNXBMFYSA-N 1 2 316.405 1.014 20 30 DDEDLO Cc1nsc(N[C@H](C)CNC(=O)Cc2c[nH+]cn2C)c1C#N ZINC001097955583 759984364 /nfs/dbraw/zinc/98/43/64/759984364.db2.gz PTDKVPLWMMDWNO-SECBINFHSA-N 1 2 318.406 1.216 20 30 DDEDLO C[C@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069220653 767924245 /nfs/dbraw/zinc/92/42/45/767924245.db2.gz BAEIMSYPDATJMO-WFASDCNBSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069220653 767924248 /nfs/dbraw/zinc/92/42/48/767924248.db2.gz BAEIMSYPDATJMO-WFASDCNBSA-N 1 2 324.388 1.710 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@H+]2C[C@@H](F)CC)c1 ZINC001085809499 760277474 /nfs/dbraw/zinc/27/74/74/760277474.db2.gz GCGBMLSVCZTCCF-JKSUJKDBSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@@H+]2C[C@@H](F)CC)c1 ZINC001085809499 760277479 /nfs/dbraw/zinc/27/74/79/760277479.db2.gz GCGBMLSVCZTCCF-JKSUJKDBSA-N 1 2 303.381 1.957 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(F)cccc1NC(N)=O ZINC001085845847 760359177 /nfs/dbraw/zinc/35/91/77/760359177.db2.gz XAGOAFNCLYJHPL-NSHDSACASA-N 1 2 318.352 1.096 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(F)cccc1NC(N)=O ZINC001085845847 760359190 /nfs/dbraw/zinc/35/91/90/760359190.db2.gz XAGOAFNCLYJHPL-NSHDSACASA-N 1 2 318.352 1.096 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)Cc2c[nH+]cn2C)CC1 ZINC001066336488 760466980 /nfs/dbraw/zinc/46/69/80/760466980.db2.gz KPUDEYZGIWAVHS-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2conc2C)cn1 ZINC001085932764 760561589 /nfs/dbraw/zinc/56/15/89/760561589.db2.gz IGDFXPLXCDQYIF-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2conc2C)cn1 ZINC001085932764 760561591 /nfs/dbraw/zinc/56/15/91/760561591.db2.gz IGDFXPLXCDQYIF-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccncc2Cl)C1 ZINC001108239300 760860802 /nfs/dbraw/zinc/86/08/02/760860802.db2.gz ZWOGCJADZMZBRX-HNNXBMFYSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccncc2Cl)C1 ZINC001108239300 760860808 /nfs/dbraw/zinc/86/08/08/760860808.db2.gz ZWOGCJADZMZBRX-HNNXBMFYSA-N 1 2 309.797 1.742 20 30 DDEDLO Cc1nc(N2CCN(C(=O)CCn3cc[nH+]c3)CC2)ccc1C#N ZINC001055967578 760868089 /nfs/dbraw/zinc/86/80/89/760868089.db2.gz BHXRBPBMARAUHE-UHFFFAOYSA-N 1 2 324.388 1.197 20 30 DDEDLO N#CCN[C@H]1C[C@@H](CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001086160105 761085705 /nfs/dbraw/zinc/08/57/05/761085705.db2.gz YHNUOUXACBKOSM-OTVXOJSOSA-N 1 2 309.373 1.494 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CCN2C(=O)Cc1c[nH+]c[nH]1 ZINC001056299892 761102440 /nfs/dbraw/zinc/10/24/40/761102440.db2.gz GVJFHFARKYBATJ-CABCVRRESA-N 1 2 316.405 1.510 20 30 DDEDLO C#CCCCCC(=O)N1CCC(=O)NCC[N@@H+](CC=C)CC1 ZINC001131351273 768008427 /nfs/dbraw/zinc/00/84/27/768008427.db2.gz GZLBSEUXVVEYCA-UHFFFAOYSA-N 1 2 305.422 1.017 20 30 DDEDLO C#CCCCCC(=O)N1CCC(=O)NCC[N@H+](CC=C)CC1 ZINC001131351273 768008433 /nfs/dbraw/zinc/00/84/33/768008433.db2.gz GZLBSEUXVVEYCA-UHFFFAOYSA-N 1 2 305.422 1.017 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C)n([C@H](C)C2CC2)n1 ZINC001038444177 761123314 /nfs/dbraw/zinc/12/33/14/761123314.db2.gz YUGQKAZUVWNQAX-HIFRSBDPSA-N 1 2 300.406 1.600 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)n([C@H](C)C2CC2)n1 ZINC001038444177 761123316 /nfs/dbraw/zinc/12/33/16/761123316.db2.gz YUGQKAZUVWNQAX-HIFRSBDPSA-N 1 2 300.406 1.600 20 30 DDEDLO C[C@H]1[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ccncc1C#N ZINC001069414967 768015937 /nfs/dbraw/zinc/01/59/37/768015937.db2.gz IZFLIGPSKZLVEB-SWLSCSKDSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1csc(-c2ccco2)n1 ZINC001038652905 761251737 /nfs/dbraw/zinc/25/17/37/761251737.db2.gz VMQOVOKOGLUPCN-NSHDSACASA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1csc(-c2ccco2)n1 ZINC001038652905 761251740 /nfs/dbraw/zinc/25/17/40/761251740.db2.gz VMQOVOKOGLUPCN-NSHDSACASA-N 1 2 301.371 1.840 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccccn2)c1 ZINC001038685752 761287254 /nfs/dbraw/zinc/28/72/54/761287254.db2.gz XCGSNUVLTAZKCM-KRWDZBQOSA-N 1 2 306.369 1.462 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccccn2)c1 ZINC001038685752 761287257 /nfs/dbraw/zinc/28/72/57/761287257.db2.gz XCGSNUVLTAZKCM-KRWDZBQOSA-N 1 2 306.369 1.462 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1conc1C ZINC001038713696 761317482 /nfs/dbraw/zinc/31/74/82/761317482.db2.gz DGHAEUFOHQAVJU-KFWWJZLASA-N 1 2 305.378 1.265 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1conc1C ZINC001038713696 761317489 /nfs/dbraw/zinc/31/74/89/761317489.db2.gz DGHAEUFOHQAVJU-KFWWJZLASA-N 1 2 305.378 1.265 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccnc2)cn1 ZINC001038942303 761575800 /nfs/dbraw/zinc/57/58/00/761575800.db2.gz TWMMZSYVPTXCKM-KRWDZBQOSA-N 1 2 306.369 1.462 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccnc2)cn1 ZINC001038942303 761575804 /nfs/dbraw/zinc/57/58/04/761575804.db2.gz TWMMZSYVPTXCKM-KRWDZBQOSA-N 1 2 306.369 1.462 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(NC(N)=O)c1 ZINC001039001129 761630338 /nfs/dbraw/zinc/63/03/38/761630338.db2.gz ASUDVZGLMKVPKV-CQSZACIVSA-N 1 2 300.362 1.005 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(NC(N)=O)c1 ZINC001039001129 761630341 /nfs/dbraw/zinc/63/03/41/761630341.db2.gz ASUDVZGLMKVPKV-CQSZACIVSA-N 1 2 300.362 1.005 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(N(C)C(C)=O)cc1 ZINC001039065132 761704523 /nfs/dbraw/zinc/70/45/23/761704523.db2.gz ZFCJBMKGTUCTFN-QGZVFWFLSA-N 1 2 313.401 1.497 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(N(C)C(C)=O)cc1 ZINC001039065132 761704525 /nfs/dbraw/zinc/70/45/25/761704525.db2.gz ZFCJBMKGTUCTFN-QGZVFWFLSA-N 1 2 313.401 1.497 20 30 DDEDLO Cc1nonc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001039076605 761715125 /nfs/dbraw/zinc/71/51/25/761715125.db2.gz LTFYKEWWADUSNA-AWEZNQCLSA-N 1 2 311.345 1.254 20 30 DDEDLO Cc1nonc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001039076605 761715128 /nfs/dbraw/zinc/71/51/28/761715128.db2.gz LTFYKEWWADUSNA-AWEZNQCLSA-N 1 2 311.345 1.254 20 30 DDEDLO CC1(C)CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001069574078 768065492 /nfs/dbraw/zinc/06/54/92/768065492.db2.gz MLJRHZNCGOLAFY-AWEZNQCLSA-N 1 2 324.388 1.499 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001046888248 768094635 /nfs/dbraw/zinc/09/46/35/768094635.db2.gz HVHNDWYWCIUMTM-OAHLLOKOSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001046888248 768094642 /nfs/dbraw/zinc/09/46/42/768094642.db2.gz HVHNDWYWCIUMTM-OAHLLOKOSA-N 1 2 322.796 1.127 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C(C)(C)CC(C)C)CC1 ZINC001131392820 768111156 /nfs/dbraw/zinc/11/11/56/768111156.db2.gz FCAJOXJALNOCQX-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C(C)(C)CC(C)C)CC1 ZINC001131392820 768111161 /nfs/dbraw/zinc/11/11/61/768111161.db2.gz FCAJOXJALNOCQX-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)=C(C)C)C2 ZINC001109143144 763397646 /nfs/dbraw/zinc/39/76/46/763397646.db2.gz CWJQDRJQZLZADG-AGIUHOORSA-N 1 2 309.385 1.274 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)=C(C)C)C2 ZINC001109143144 763397651 /nfs/dbraw/zinc/39/76/51/763397651.db2.gz CWJQDRJQZLZADG-AGIUHOORSA-N 1 2 309.385 1.274 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3cc(C(C)C)[nH]n3)C[C@H]21 ZINC001042241482 763807189 /nfs/dbraw/zinc/80/71/89/763807189.db2.gz YOSMZLYZEXPBMX-XJKSGUPXSA-N 1 2 300.406 1.703 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3cc(C(C)C)[nH]n3)C[C@H]21 ZINC001042241482 763807196 /nfs/dbraw/zinc/80/71/96/763807196.db2.gz YOSMZLYZEXPBMX-XJKSGUPXSA-N 1 2 300.406 1.703 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001109682198 763941180 /nfs/dbraw/zinc/94/11/80/763941180.db2.gz XCTFZYHXCFIFRZ-VWNJHIHFSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001109682198 763941189 /nfs/dbraw/zinc/94/11/89/763941189.db2.gz XCTFZYHXCFIFRZ-VWNJHIHFSA-N 1 2 317.437 1.639 20 30 DDEDLO C=CCCC(=O)NC[C@H]1COCCN1c1cc(CC)[nH+]c(C)n1 ZINC001111673268 763973443 /nfs/dbraw/zinc/97/34/43/763973443.db2.gz CFGRIDZYXFDIHQ-HNNXBMFYSA-N 1 2 318.421 1.635 20 30 DDEDLO Cc1nc(NC[C@@H](NC(=O)CSCC#N)C2CC2)cc[nH+]1 ZINC001109763528 764007713 /nfs/dbraw/zinc/00/77/13/764007713.db2.gz MKAXMJVXAGVTCN-GFCCVEGCSA-N 1 2 305.407 1.349 20 30 DDEDLO C=CCCC(=O)N[C@H](CNc1nc(N(C)C)nc(C)[nH+]1)C1CC1 ZINC001109789911 764058601 /nfs/dbraw/zinc/05/86/01/764058601.db2.gz JPSOJOAQVOALBC-CYBMUJFWSA-N 1 2 318.425 1.519 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H](CNc2cc[nH+]c(C)n2)C2CC2)c1 ZINC001109971212 764243087 /nfs/dbraw/zinc/24/30/87/764243087.db2.gz QJZHHAFAXSVSNN-MRXNPFEDSA-N 1 2 321.384 1.782 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCO[C@H]2c2ccncc2)C1 ZINC001042738765 764402658 /nfs/dbraw/zinc/40/26/58/764402658.db2.gz LKNMSABIBLRHCE-CVEARBPZSA-N 1 2 301.390 1.488 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCN(C(=O)CC)C2)C1 ZINC001042771016 764432279 /nfs/dbraw/zinc/43/22/79/764432279.db2.gz MCODIYWRULTNAU-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051060483 764463145 /nfs/dbraw/zinc/46/31/45/764463145.db2.gz OPFSSDXGVACZON-KGLIPLIRSA-N 1 2 304.394 1.203 20 30 DDEDLO Cc1cc(N2CC[C@H](NC(=O)Cc3c[nH]c[nH+]3)[C@H]2C)c(C#N)cn1 ZINC001051069482 764473673 /nfs/dbraw/zinc/47/36/73/764473673.db2.gz YPQUESYLQSEWFI-DOMZBBRYSA-N 1 2 324.388 1.311 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc[nH]c1C1CC1 ZINC001051086131 764491979 /nfs/dbraw/zinc/49/19/79/764491979.db2.gz JEHBQERDFWNFAY-CQSZACIVSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc[nH]c1C1CC1 ZINC001051086131 764491986 /nfs/dbraw/zinc/49/19/86/764491986.db2.gz JEHBQERDFWNFAY-CQSZACIVSA-N 1 2 303.406 1.899 20 30 DDEDLO CC1(C)CO[C@@H](C[NH+]2CCN(C(=O)CSCC#N)CC2)C1 ZINC001112630364 764497373 /nfs/dbraw/zinc/49/73/73/764497373.db2.gz YHUKKXXNNAWPHA-CYBMUJFWSA-N 1 2 311.451 1.202 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1oc(CC)nc1C ZINC001051199628 764609728 /nfs/dbraw/zinc/60/97/28/764609728.db2.gz GWKRMUXRAXGQMB-AWEZNQCLSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1oc(CC)nc1C ZINC001051199628 764609732 /nfs/dbraw/zinc/60/97/32/764609732.db2.gz GWKRMUXRAXGQMB-AWEZNQCLSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncccc1OC ZINC001051219975 764636855 /nfs/dbraw/zinc/63/68/55/764636855.db2.gz AUVRRFLJOLJUIL-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncccc1OC ZINC001051219975 764636862 /nfs/dbraw/zinc/63/68/62/764636862.db2.gz AUVRRFLJOLJUIL-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112794691 764775357 /nfs/dbraw/zinc/77/53/57/764775357.db2.gz OQUXHWMGMLBHIH-PBHICJAKSA-N 1 2 318.421 1.539 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCO[C@@H](C[NH2+]Cc2csnn2)C1 ZINC001051752521 765125346 /nfs/dbraw/zinc/12/53/46/765125346.db2.gz LMFCMWMGALDZNR-ZDUSSCGKSA-N 1 2 324.450 1.457 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(F)cc(OC)cc2F)C1 ZINC001043951537 765183020 /nfs/dbraw/zinc/18/30/20/765183020.db2.gz VOTWAUQQFAYYOS-UHFFFAOYSA-N 1 2 308.328 1.753 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)C1C[NH+](CCn2cccn2)C1 ZINC001044037148 765248129 /nfs/dbraw/zinc/24/81/29/765248129.db2.gz CBUDRUNPDIWCSG-HOTGVXAUSA-N 1 2 318.421 1.007 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)CC1 ZINC001052005367 765371620 /nfs/dbraw/zinc/37/16/20/765371620.db2.gz ABSZOIFKXQZDLW-BDXSIMOUSA-N 1 2 315.461 1.274 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H](C)C3CC3)C2)CC1 ZINC001052006182 765372849 /nfs/dbraw/zinc/37/28/49/765372849.db2.gz NBVSYIQDCAVYBF-DOTOQJQBSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc3c(s2)CCOC3)C1 ZINC001044201900 765390906 /nfs/dbraw/zinc/39/09/06/765390906.db2.gz ISTFQIQMGXQMBA-UHFFFAOYSA-N 1 2 304.415 1.600 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccncc3C)C2)CC1 ZINC001052025100 765401182 /nfs/dbraw/zinc/40/11/82/765401182.db2.gz XBFVSRVXLLCLQD-MRXNPFEDSA-N 1 2 314.433 1.408 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2n[nH]c(=O)c3ccccc32)C1 ZINC001044217561 765403179 /nfs/dbraw/zinc/40/31/79/765403179.db2.gz AXIHVBFSOYLRKL-UHFFFAOYSA-N 1 2 312.373 1.668 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)CC(C)(F)F)C2)CC1 ZINC001052077442 765448979 /nfs/dbraw/zinc/44/89/79/765448979.db2.gz RACGXWAQQNNOED-AWEZNQCLSA-N 1 2 313.392 1.274 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H](C)[C@@H](C)Nc2cc[nH+]c(C)n2)c1 ZINC001113288960 765529622 /nfs/dbraw/zinc/52/96/22/765529622.db2.gz QJOLWKFDZZBOMS-VXGBXAGGSA-N 1 2 309.373 1.780 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C(F)F)n[nH]2)CC1 ZINC001113335991 765578870 /nfs/dbraw/zinc/57/88/70/765578870.db2.gz ZJIIDOCXZCKLCY-UHFFFAOYSA-N 1 2 314.336 1.308 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2nccc3[nH]ccc32)CC1 ZINC001113355873 765603994 /nfs/dbraw/zinc/60/39/94/765603994.db2.gz UMDOFHMZBFQJTN-UHFFFAOYSA-N 1 2 314.389 1.523 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@@H](C)Nc1ccc(C#N)nc1 ZINC001113352216 765616959 /nfs/dbraw/zinc/61/69/59/765616959.db2.gz OTDULRZMUIKIME-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@@H](C)Nc1ccc(C#N)nc1 ZINC001113352216 765616960 /nfs/dbraw/zinc/61/69/60/765616960.db2.gz OTDULRZMUIKIME-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccnc(N(C)C)c2)CC1 ZINC001113392699 765647867 /nfs/dbraw/zinc/64/78/67/765647867.db2.gz PZNBSHILUXVAAK-UHFFFAOYSA-N 1 2 318.421 1.108 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCCc2nc(CCC)no2)CC1 ZINC001113629650 765958867 /nfs/dbraw/zinc/95/88/67/765958867.db2.gz RNSBMNGPMAEMFR-UHFFFAOYSA-N 1 2 306.410 1.675 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113639529 765963662 /nfs/dbraw/zinc/96/36/62/765963662.db2.gz FTDSAHYDWKXHPO-FZMZJTMJSA-N 1 2 320.437 1.934 20 30 DDEDLO Cc1nc(N(C)[C@@H](C)CNC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001113644840 765968992 /nfs/dbraw/zinc/96/89/92/765968992.db2.gz LBMBFQCRYJQDEY-LBPRGKRZSA-N 1 2 312.377 1.099 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3cnon3)CC2)cc1 ZINC001052616039 766001147 /nfs/dbraw/zinc/00/11/47/766001147.db2.gz TWNLNLRDYAYRBH-INIZCTEOSA-N 1 2 324.384 1.835 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3cnon3)CC2)cc1 ZINC001052616039 766001155 /nfs/dbraw/zinc/00/11/55/766001155.db2.gz TWNLNLRDYAYRBH-INIZCTEOSA-N 1 2 324.384 1.835 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@@H](OC)C2CCCCC2)CC1 ZINC001113747871 766088281 /nfs/dbraw/zinc/08/82/81/766088281.db2.gz ZRJCBAGEALNGNL-KRWDZBQOSA-N 1 2 324.465 1.929 20 30 DDEDLO C#Cc1cncc(C(=O)NC2CCN(c3cc[nH+]c(C)n3)CC2)c1 ZINC001057943195 766149898 /nfs/dbraw/zinc/14/98/98/766149898.db2.gz PXJPGNISHURHAN-UHFFFAOYSA-N 1 2 321.384 1.560 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)OC ZINC001114016655 766536949 /nfs/dbraw/zinc/53/69/49/766536949.db2.gz BXQQYMOMODLSKP-MEWQQHAOSA-N 1 2 317.227 1.366 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)OC ZINC001114016655 766536956 /nfs/dbraw/zinc/53/69/56/766536956.db2.gz BXQQYMOMODLSKP-MEWQQHAOSA-N 1 2 317.227 1.366 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C(C)(C)C)o3)C[C@H]21 ZINC001114072853 766606996 /nfs/dbraw/zinc/60/69/96/766606996.db2.gz ZZJAIHCGRSWMHG-FJJYHAOUSA-N 1 2 316.405 1.678 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C(C)(C)C)o3)C[C@H]21 ZINC001114072853 766607002 /nfs/dbraw/zinc/60/70/02/766607002.db2.gz ZZJAIHCGRSWMHG-FJJYHAOUSA-N 1 2 316.405 1.678 20 30 DDEDLO CN(C[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1)c1ncccc1C#N ZINC001067772040 766694635 /nfs/dbraw/zinc/69/46/35/766694635.db2.gz LSUXOHKRTFGATD-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCC[C@@]2(CCN(CC#N)C2)C1 ZINC001045981847 766758743 /nfs/dbraw/zinc/75/87/43/766758743.db2.gz HRALSYQRSCLHOK-KRWDZBQOSA-N 1 2 315.421 1.489 20 30 DDEDLO C[C@@H]1CCN(c2ccncc2C#N)C[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067965216 766842189 /nfs/dbraw/zinc/84/21/89/766842189.db2.gz LSJBYTDLNFYLPJ-UKRRQHHQSA-N 1 2 324.388 1.181 20 30 DDEDLO C[C@@H]1CCN(c2ccc(C#N)nc2)C[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067966600 766844078 /nfs/dbraw/zinc/84/40/78/766844078.db2.gz DCKFJNPILPRTFU-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H]1CCN(c2ccc(C#N)cn2)C[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067968295 766845856 /nfs/dbraw/zinc/84/58/56/766845856.db2.gz ZOOCCIXUHXBWBL-GXTWGEPZSA-N 1 2 324.388 1.250 20 30 DDEDLO CC1(C)CC(CC(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)C1 ZINC001046056010 766865112 /nfs/dbraw/zinc/86/51/12/766865112.db2.gz MZMOBWHSDQSHTD-MRXNPFEDSA-N 1 2 318.465 1.555 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@H](C)N(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001068316838 767092659 /nfs/dbraw/zinc/09/26/59/767092659.db2.gz JQQHZRUKLZODAJ-WCQYABFASA-N 1 2 324.388 1.948 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)CCn1cc[nH+]c1 ZINC001068928765 767705458 /nfs/dbraw/zinc/70/54/58/767705458.db2.gz RFBIHCFUXZMEKG-HIFRSBDPSA-N 1 2 324.388 1.641 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccsc2)CC[C@H]1C ZINC001131798164 768375318 /nfs/dbraw/zinc/37/53/18/768375318.db2.gz ROZRDCJPCMKNLL-OCCSQVGLSA-N 1 2 321.446 1.633 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccsc2)CC[C@H]1C ZINC001131798164 768375324 /nfs/dbraw/zinc/37/53/24/768375324.db2.gz ROZRDCJPCMKNLL-OCCSQVGLSA-N 1 2 321.446 1.633 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)C#CC(C)(C)C)C2)cc[nH+]1 ZINC001096178713 768394111 /nfs/dbraw/zinc/39/41/11/768394111.db2.gz IPYCFJRIXWUYHI-AWEZNQCLSA-N 1 2 300.406 1.920 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCc2c[nH+]cn2C1)Nc1ccc(C#N)nc1 ZINC001098125374 768647719 /nfs/dbraw/zinc/64/77/19/768647719.db2.gz DQCOXMFKMHAAEM-CHWSQXEVSA-N 1 2 324.388 1.329 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H](c3c[nH+]cn3C)[C@H](NCC#N)C2)C1 ZINC001070687899 768671177 /nfs/dbraw/zinc/67/11/77/768671177.db2.gz DJRLPQUHBOBZJY-ZIAGYGMSSA-N 1 2 313.405 1.184 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CC(=O)N(C)C)CC[C@H]1C ZINC001132346075 768775997 /nfs/dbraw/zinc/77/59/97/768775997.db2.gz UKMHZPWPJMHVSB-VXGBXAGGSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CC(=O)N(C)C)CC[C@H]1C ZINC001132346075 768776002 /nfs/dbraw/zinc/77/60/02/768776002.db2.gz UKMHZPWPJMHVSB-VXGBXAGGSA-N 1 2 301.818 1.186 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC[C@H]3C[C@H]3C2)CC1 ZINC001070975168 768827597 /nfs/dbraw/zinc/82/75/97/768827597.db2.gz GIGZBGZQZPUZQW-XHSDSOJGSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC[C@H]3C[C@H]3C2)CC1 ZINC001070975168 768827603 /nfs/dbraw/zinc/82/76/03/768827603.db2.gz GIGZBGZQZPUZQW-XHSDSOJGSA-N 1 2 319.449 1.259 20 30 DDEDLO CCCC(=O)NCC[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC001096268045 768845452 /nfs/dbraw/zinc/84/54/52/768845452.db2.gz UKMYNKVSMAXEIM-UHFFFAOYSA-N 1 2 318.396 1.736 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnn(CC)c1)C2 ZINC001096316030 769165612 /nfs/dbraw/zinc/16/56/12/769165612.db2.gz KDSPGXVCLGYWKO-ILXRZTDVSA-N 1 2 322.840 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnn(CC)c1)C2 ZINC001096316030 769165617 /nfs/dbraw/zinc/16/56/17/769165617.db2.gz KDSPGXVCLGYWKO-ILXRZTDVSA-N 1 2 322.840 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@@H]1C ZINC001071593584 769680200 /nfs/dbraw/zinc/68/02/00/769680200.db2.gz DLLSLARECDMXLW-JQWIXIFHSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@@H]1C ZINC001071593584 769680210 /nfs/dbraw/zinc/68/02/10/769680210.db2.gz DLLSLARECDMXLW-JQWIXIFHSA-N 1 2 310.785 1.517 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2cn[nH]n2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071634936 769762251 /nfs/dbraw/zinc/76/22/51/769762251.db2.gz KWBUUJDMACGLRN-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2cn[nH]n2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071634936 769762259 /nfs/dbraw/zinc/76/22/59/769762259.db2.gz KWBUUJDMACGLRN-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2cnn[nH]2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071634936 769762268 /nfs/dbraw/zinc/76/22/68/769762268.db2.gz KWBUUJDMACGLRN-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2cnn[nH]2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071634936 769762277 /nfs/dbraw/zinc/76/22/77/769762277.db2.gz KWBUUJDMACGLRN-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cc(C)nn2C)CC[C@@H]1C ZINC001071682579 769868437 /nfs/dbraw/zinc/86/84/37/769868437.db2.gz NWOUCNDROAWJNV-KBPBESRZSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cc(C)nn2C)CC[C@@H]1C ZINC001071682579 769868449 /nfs/dbraw/zinc/86/84/49/769868449.db2.gz NWOUCNDROAWJNV-KBPBESRZSA-N 1 2 324.856 1.993 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001071775273 770048043 /nfs/dbraw/zinc/04/80/43/770048043.db2.gz ZGURYICSRKHRDF-OCCSQVGLSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001071775273 770048056 /nfs/dbraw/zinc/04/80/56/770048056.db2.gz ZGURYICSRKHRDF-OCCSQVGLSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2CC[C@@H](C)[N@@H+](CCF)C2)nn1 ZINC001071890871 770236593 /nfs/dbraw/zinc/23/65/93/770236593.db2.gz SXOPXBFAJDTXDR-CHWSQXEVSA-N 1 2 309.389 1.406 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2CC[C@@H](C)[N@H+](CCF)C2)nn1 ZINC001071890871 770236597 /nfs/dbraw/zinc/23/65/97/770236597.db2.gz SXOPXBFAJDTXDR-CHWSQXEVSA-N 1 2 309.389 1.406 20 30 DDEDLO C#CCN1C[C@@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)CC[C@H]1C ZINC001071940063 770315304 /nfs/dbraw/zinc/31/53/04/770315304.db2.gz VLBONANGHOCDLF-ZBFHGGJFSA-N 1 2 323.400 1.483 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001072317575 770754654 /nfs/dbraw/zinc/75/46/54/770754654.db2.gz RIAPMHWLTVTYSD-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001072317575 770754655 /nfs/dbraw/zinc/75/46/55/770754655.db2.gz RIAPMHWLTVTYSD-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001072453723 770920192 /nfs/dbraw/zinc/92/01/92/770920192.db2.gz NYNJLEPJIGWROT-GJZGRUSLSA-N 1 2 316.405 1.040 20 30 DDEDLO CC(C)(C(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#N)c1c[nH+]c[nH]1 ZINC001049848803 771206537 /nfs/dbraw/zinc/20/65/37/771206537.db2.gz MFEPBWRMOVAHKJ-OLZOCXBDSA-N 1 2 301.394 1.276 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[NH2+]Cc2nncs2)cc1 ZINC001134950133 771252512 /nfs/dbraw/zinc/25/25/12/771252512.db2.gz DQEWVZOBJKHPMF-UHFFFAOYSA-N 1 2 316.386 1.070 20 30 DDEDLO Cc1nc(N[C@H](CNC(=O)c2ccc(C#N)[nH]2)C2CC2)cc[nH+]1 ZINC001096631916 771359603 /nfs/dbraw/zinc/35/96/03/771359603.db2.gz RITZKKPFZVNLMK-CQSZACIVSA-N 1 2 310.361 1.605 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccn[nH]1)C2 ZINC001096829301 771474907 /nfs/dbraw/zinc/47/49/07/771474907.db2.gz OPHRSJSXNXSOIW-OIISXLGYSA-N 1 2 321.384 1.817 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccn[nH]1)C2 ZINC001096829301 771474910 /nfs/dbraw/zinc/47/49/10/771474910.db2.gz OPHRSJSXNXSOIW-OIISXLGYSA-N 1 2 321.384 1.817 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001097074351 771608640 /nfs/dbraw/zinc/60/86/40/771608640.db2.gz ZBJAUACSYCVLLK-HAQNSBGRSA-N 1 2 314.393 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(OC)o2)[C@@H](O)C1 ZINC001090674624 772095207 /nfs/dbraw/zinc/09/52/07/772095207.db2.gz XUZYCIFRBWRXEG-QWRGUYRKSA-N 1 2 314.769 1.206 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(OC)o2)[C@@H](O)C1 ZINC001090674624 772095213 /nfs/dbraw/zinc/09/52/13/772095213.db2.gz XUZYCIFRBWRXEG-QWRGUYRKSA-N 1 2 314.769 1.206 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccc(C)nc2)[C@@H](O)C1 ZINC001090812688 772233393 /nfs/dbraw/zinc/23/33/93/772233393.db2.gz IHKTXVHROKBUCX-CABCVRRESA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccc(C)nc2)[C@@H](O)C1 ZINC001090812688 772233395 /nfs/dbraw/zinc/23/33/95/772233395.db2.gz IHKTXVHROKBUCX-CABCVRRESA-N 1 2 323.824 1.236 20 30 DDEDLO N#Cc1cncc(CN2CCc3onc(Cn4cc[nH+]c4)c3C2)c1 ZINC001144074697 772392802 /nfs/dbraw/zinc/39/28/02/772392802.db2.gz AOXIOLIOPFYLQM-UHFFFAOYSA-N 1 2 320.356 1.744 20 30 DDEDLO N#CCNC1CC(CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001091166930 772570453 /nfs/dbraw/zinc/57/04/53/772570453.db2.gz YHNUOUXACBKOSM-UHFFFAOYSA-N 1 2 309.373 1.494 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nonc1C)C2 ZINC001147374248 773123568 /nfs/dbraw/zinc/12/35/68/773123568.db2.gz JYQUMWWXSJPZKM-UHFFFAOYSA-N 1 2 316.405 1.606 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nonc1C)C2 ZINC001147374248 773123571 /nfs/dbraw/zinc/12/35/71/773123571.db2.gz JYQUMWWXSJPZKM-UHFFFAOYSA-N 1 2 316.405 1.606 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nc(CC)no1)C2 ZINC001147428317 773131162 /nfs/dbraw/zinc/13/11/62/773131162.db2.gz PTJXYHZBBBQGNW-UHFFFAOYSA-N 1 2 316.405 1.470 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nc(CC)no1)C2 ZINC001147428317 773131165 /nfs/dbraw/zinc/13/11/65/773131165.db2.gz PTJXYHZBBBQGNW-UHFFFAOYSA-N 1 2 316.405 1.470 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C)o1)C2 ZINC001147531107 773169709 /nfs/dbraw/zinc/16/97/09/773169709.db2.gz YJVNZADIOVTPKS-CQSZACIVSA-N 1 2 317.389 1.056 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C)o1)C2 ZINC001147531107 773169711 /nfs/dbraw/zinc/16/97/11/773169711.db2.gz YJVNZADIOVTPKS-CQSZACIVSA-N 1 2 317.389 1.056 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001148094753 773344796 /nfs/dbraw/zinc/34/47/96/773344796.db2.gz AOSMIZRXJSCLCW-STQMWFEESA-N 1 2 304.394 1.562 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001148094753 773344804 /nfs/dbraw/zinc/34/48/04/773344804.db2.gz AOSMIZRXJSCLCW-STQMWFEESA-N 1 2 304.394 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H]2C[C@H]2C2CC2)C1 ZINC001073812739 773437399 /nfs/dbraw/zinc/43/73/99/773437399.db2.gz BXQSQQFRTWQQQJ-SOUVJXGZSA-N 1 2 312.841 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H]2C[C@H]2C2CC2)C1 ZINC001073812739 773437403 /nfs/dbraw/zinc/43/74/03/773437403.db2.gz BXQSQQFRTWQQQJ-SOUVJXGZSA-N 1 2 312.841 1.992 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ccn(C)n1 ZINC001073972919 773566145 /nfs/dbraw/zinc/56/61/45/773566145.db2.gz JZRFDHVGPYYPHW-DZGCQCFKSA-N 1 2 303.410 1.393 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ccn(C)n1 ZINC001073972919 773566150 /nfs/dbraw/zinc/56/61/50/773566150.db2.gz JZRFDHVGPYYPHW-DZGCQCFKSA-N 1 2 303.410 1.393 20 30 DDEDLO CC(C)Oc1ccc(C(=O)NC[C@H]2C[N@H+](C)CCO2)cc1C#N ZINC001148774788 773598454 /nfs/dbraw/zinc/59/84/54/773598454.db2.gz KYSBSQSGRHJTTN-HNNXBMFYSA-N 1 2 317.389 1.406 20 30 DDEDLO CC(C)Oc1ccc(C(=O)NC[C@H]2C[N@@H+](C)CCO2)cc1C#N ZINC001148774788 773598456 /nfs/dbraw/zinc/59/84/56/773598456.db2.gz KYSBSQSGRHJTTN-HNNXBMFYSA-N 1 2 317.389 1.406 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)no3)C[C@H]21 ZINC001074170418 773692267 /nfs/dbraw/zinc/69/22/67/773692267.db2.gz NFRQRSXUPWAJGT-KGLIPLIRSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)no3)C[C@H]21 ZINC001074170418 773692271 /nfs/dbraw/zinc/69/22/71/773692271.db2.gz NFRQRSXUPWAJGT-KGLIPLIRSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cscn3)C[C@H]21 ZINC001074184388 773706277 /nfs/dbraw/zinc/70/62/77/773706277.db2.gz LVLJQEMFOBYHTG-ZIAGYGMSSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cscn3)C[C@H]21 ZINC001074184388 773706280 /nfs/dbraw/zinc/70/62/80/773706280.db2.gz LVLJQEMFOBYHTG-ZIAGYGMSSA-N 1 2 307.419 1.635 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccoc3)C[C@@H]21 ZINC001074216046 773738938 /nfs/dbraw/zinc/73/89/38/773738938.db2.gz SEUNPZMKOMZGBU-JKSUJKDBSA-N 1 2 304.390 1.700 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccoc3)C[C@@H]21 ZINC001074216046 773738941 /nfs/dbraw/zinc/73/89/41/773738941.db2.gz SEUNPZMKOMZGBU-JKSUJKDBSA-N 1 2 304.390 1.700 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)=C3CCC3)C[C@H]21 ZINC001074238622 773762040 /nfs/dbraw/zinc/76/20/40/773762040.db2.gz QQZKLXCVNIEKCS-SJORKVTESA-N 1 2 302.418 1.812 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)=C3CCC3)C[C@H]21 ZINC001074238622 773762043 /nfs/dbraw/zinc/76/20/43/773762043.db2.gz QQZKLXCVNIEKCS-SJORKVTESA-N 1 2 302.418 1.812 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC3(O)CCC3)C[C@@H]21 ZINC001074241492 773765459 /nfs/dbraw/zinc/76/54/59/773765459.db2.gz JISAZKAORCXPTM-GJZGRUSLSA-N 1 2 308.422 1.169 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC3(O)CCC3)C[C@@H]21 ZINC001074241492 773765462 /nfs/dbraw/zinc/76/54/62/773765462.db2.gz JISAZKAORCXPTM-GJZGRUSLSA-N 1 2 308.422 1.169 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3coc(OC)n3)C[C@@H]21 ZINC001074304663 773809106 /nfs/dbraw/zinc/80/91/06/773809106.db2.gz OLUVXOGMVPJGIW-UONOGXRCSA-N 1 2 321.377 1.175 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3coc(OC)n3)C[C@@H]21 ZINC001074304663 773809112 /nfs/dbraw/zinc/80/91/12/773809112.db2.gz OLUVXOGMVPJGIW-UONOGXRCSA-N 1 2 321.377 1.175 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001091980384 773912438 /nfs/dbraw/zinc/91/24/38/773912438.db2.gz BUSBKGKAGNLAKW-XYPHTWIQSA-N 1 2 318.421 1.952 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001091980384 773912442 /nfs/dbraw/zinc/91/24/42/773912442.db2.gz BUSBKGKAGNLAKW-XYPHTWIQSA-N 1 2 318.421 1.952 20 30 DDEDLO N#Cc1ccc(NC2CC(CNC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001092227371 774018021 /nfs/dbraw/zinc/01/80/21/774018021.db2.gz JUULMPNORKVZDP-UHFFFAOYSA-N 1 2 310.361 1.157 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC1(C)C)c1nccn12 ZINC001092362744 774077095 /nfs/dbraw/zinc/07/70/95/774077095.db2.gz XRKVEWRBUQBQEH-UONOGXRCSA-N 1 2 312.417 1.524 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccnc(C)n1)c1nccn12 ZINC001092409702 774124439 /nfs/dbraw/zinc/12/44/39/774124439.db2.gz AJETWIJGDCDPNK-AWEZNQCLSA-N 1 2 324.388 1.053 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@H]1C ZINC001075211189 774425117 /nfs/dbraw/zinc/42/51/17/774425117.db2.gz OSIPYNREEHZDGN-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CCF ZINC001098185532 774510226 /nfs/dbraw/zinc/51/02/26/774510226.db2.gz VYNPKUNDZHWTNV-MCIONIFRSA-N 1 2 319.380 1.951 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CCF ZINC001098185532 774510230 /nfs/dbraw/zinc/51/02/30/774510230.db2.gz VYNPKUNDZHWTNV-MCIONIFRSA-N 1 2 319.380 1.951 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CC3CCCC3)C2)nn1 ZINC001098601581 774619566 /nfs/dbraw/zinc/61/95/66/774619566.db2.gz SEWLYDVJLXWEKF-INIZCTEOSA-N 1 2 315.421 1.355 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccccn3)CC2)C1 ZINC001093497407 774720307 /nfs/dbraw/zinc/72/03/07/774720307.db2.gz FATHTPJKZILFQO-UHFFFAOYSA-N 1 2 301.390 1.573 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](C)n3cccn3)CC2)C1 ZINC001093512808 774761204 /nfs/dbraw/zinc/76/12/04/774761204.db2.gz WEKIHBYYLFQBQC-AWEZNQCLSA-N 1 2 318.421 1.322 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cncc(F)c3)CC2)C1 ZINC001093524737 774776459 /nfs/dbraw/zinc/77/64/59/774776459.db2.gz IRHYVWYYMSTODX-UHFFFAOYSA-N 1 2 319.380 1.712 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccc(C)nn3)CC2)C1 ZINC001093536623 774792538 /nfs/dbraw/zinc/79/25/38/774792538.db2.gz MMGDYGYTJPSDAO-UHFFFAOYSA-N 1 2 316.405 1.276 20 30 DDEDLO C[C@@H](CCNC(=O)Cc1c[nH+]cn1C)Nc1ncccc1C#N ZINC001099314767 774943951 /nfs/dbraw/zinc/94/39/51/774943951.db2.gz SDIYUYZZUJKUAA-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C[NH+](C)[C@H](C(=O)NCCNc1ccc(C#N)nc1)c1cccnc1 ZINC001093683439 774976333 /nfs/dbraw/zinc/97/63/33/774976333.db2.gz ZDAAKZWZZWVRCT-INIZCTEOSA-N 1 2 324.388 1.179 20 30 DDEDLO CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001099626947 775076925 /nfs/dbraw/zinc/07/69/25/775076925.db2.gz HEFAFZLRNWHBGZ-CVEARBPZSA-N 1 2 319.380 1.549 20 30 DDEDLO CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001099626947 775076930 /nfs/dbraw/zinc/07/69/30/775076930.db2.gz HEFAFZLRNWHBGZ-CVEARBPZSA-N 1 2 319.380 1.549 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cc2F)C[C@H]1O ZINC001099727133 775192469 /nfs/dbraw/zinc/19/24/69/775192469.db2.gz MUERTAVEMVUJAS-JKSUJKDBSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cc2F)C[C@H]1O ZINC001099727133 775192476 /nfs/dbraw/zinc/19/24/76/775192476.db2.gz MUERTAVEMVUJAS-JKSUJKDBSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099856301 775358610 /nfs/dbraw/zinc/35/86/10/775358610.db2.gz VGGWYTXCOJYCFZ-HOTGVXAUSA-N 1 2 321.396 1.628 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099856301 775358622 /nfs/dbraw/zinc/35/86/22/775358622.db2.gz VGGWYTXCOJYCFZ-HOTGVXAUSA-N 1 2 321.396 1.628 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[N@@H+](CC(=C)Cl)C[C@H]2O)CCC1 ZINC001099874738 775375322 /nfs/dbraw/zinc/37/53/22/775375322.db2.gz PVPNZOHNGRBBGX-UONOGXRCSA-N 1 2 310.825 1.484 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[N@H+](CC(=C)Cl)C[C@H]2O)CCC1 ZINC001099874738 775375335 /nfs/dbraw/zinc/37/53/35/775375335.db2.gz PVPNZOHNGRBBGX-UONOGXRCSA-N 1 2 310.825 1.484 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001099960365 775483590 /nfs/dbraw/zinc/48/35/90/775483590.db2.gz SYIXQESRDNDHDF-OCCSQVGLSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001099960365 775483595 /nfs/dbraw/zinc/48/35/95/775483595.db2.gz SYIXQESRDNDHDF-OCCSQVGLSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(OC)no2)C[C@H]1O ZINC001099975663 775507633 /nfs/dbraw/zinc/50/76/33/775507633.db2.gz FVIRCZBOYNGCJS-UONOGXRCSA-N 1 2 323.393 1.091 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cc(OC)no2)C[C@H]1O ZINC001099975663 775507641 /nfs/dbraw/zinc/50/76/41/775507641.db2.gz FVIRCZBOYNGCJS-UONOGXRCSA-N 1 2 323.393 1.091 20 30 DDEDLO C#CCCCC(=O)NCC1CC([NH2+][C@H](C)c2noc(C)n2)C1 ZINC001100283483 775933928 /nfs/dbraw/zinc/93/39/28/775933928.db2.gz NHSKGPKAHOGXCQ-LMWSTFAQSA-N 1 2 304.394 1.727 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(F)=C3CCCC3)CC2=O)C1 ZINC001094721566 776188769 /nfs/dbraw/zinc/18/87/69/776188769.db2.gz FQOHIXBDLSKMBC-CYBMUJFWSA-N 1 2 321.396 1.371 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H]3C=CCC3)CC2=O)C1 ZINC001094701052 776210976 /nfs/dbraw/zinc/21/09/76/776210976.db2.gz NBSAJKQKABIZEV-CABCVRRESA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3csc(C)c3)CC2=O)C1 ZINC001094795500 776287925 /nfs/dbraw/zinc/28/79/25/776287925.db2.gz QYGNYJSBPYJAGU-CYBMUJFWSA-N 1 2 319.430 1.257 20 30 DDEDLO CCN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)c1ccc(C#N)cn1 ZINC001100755224 776502973 /nfs/dbraw/zinc/50/29/73/776502973.db2.gz GSASMNTWPRNVJJ-ZIAGYGMSSA-N 1 2 324.388 1.423 20 30 DDEDLO CCN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)c1ccc(C#N)cn1 ZINC001100755224 776502975 /nfs/dbraw/zinc/50/29/75/776502975.db2.gz GSASMNTWPRNVJJ-ZIAGYGMSSA-N 1 2 324.388 1.423 20 30 DDEDLO Cc1cc(N2CCC[C@@H]2CNC(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001100810545 776577922 /nfs/dbraw/zinc/57/79/22/776577922.db2.gz WIFXHFYBQQMHLS-BXUZGUMPSA-N 1 2 313.405 1.907 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@@H]1CCCN1c1ncccc1C#N ZINC001100849756 776629886 /nfs/dbraw/zinc/62/98/86/776629886.db2.gz YBMJBCVAUZGWKY-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100969819 776776517 /nfs/dbraw/zinc/77/65/17/776776517.db2.gz DRSONJLOZJKQRQ-HNNXBMFYSA-N 1 2 300.406 1.921 20 30 DDEDLO Cc1nnc(C[NH2+][C@H]2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)o1 ZINC001172973143 776948139 /nfs/dbraw/zinc/94/81/39/776948139.db2.gz FJQVDPBWUOXOIQ-RYUDHWBXSA-N 1 2 321.381 1.759 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2C[N@@H+](Cc3nncn3C)C[C@@]2(C)C1 ZINC001101253056 777013792 /nfs/dbraw/zinc/01/37/92/777013792.db2.gz BTGZTEWSQVECPM-PBHICJAKSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2C[N@H+](Cc3nncn3C)C[C@@]2(C)C1 ZINC001101253056 777013795 /nfs/dbraw/zinc/01/37/95/777013795.db2.gz BTGZTEWSQVECPM-PBHICJAKSA-N 1 2 317.437 1.452 20 30 DDEDLO CCOC(=O)c1nc2n(n1)CC[N@@H+](C1CCC(CC#N)CC1)C2 ZINC001173336218 777117495 /nfs/dbraw/zinc/11/74/95/777117495.db2.gz RZGBNDCTSLPMFZ-UHFFFAOYSA-N 1 2 317.393 1.743 20 30 DDEDLO CCOC(=O)c1nc2n(n1)CC[N@H+](C1CCC(CC#N)CC1)C2 ZINC001173336218 777117496 /nfs/dbraw/zinc/11/74/96/777117496.db2.gz RZGBNDCTSLPMFZ-UHFFFAOYSA-N 1 2 317.393 1.743 20 30 DDEDLO C=CCOC1CCC(N[C@H](Cc2c[nH+]cn2C)C(=O)OC)CC1 ZINC001173315878 777126139 /nfs/dbraw/zinc/12/61/39/777126139.db2.gz AUOVJKLPSUSVRM-AVVWSFFYSA-N 1 2 321.421 1.608 20 30 DDEDLO C=CCOC1CCC([NH2+][C@H](Cc2cncn2C)C(=O)OC)CC1 ZINC001173315878 777126142 /nfs/dbraw/zinc/12/61/42/777126142.db2.gz AUOVJKLPSUSVRM-AVVWSFFYSA-N 1 2 321.421 1.608 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](F)CC)c1nccn12 ZINC001101638539 777335482 /nfs/dbraw/zinc/33/54/82/777335482.db2.gz YNIZBKXPCVGSPV-OLZOCXBDSA-N 1 2 304.369 1.226 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)C(C)C)c1nccn12 ZINC001101645517 777342876 /nfs/dbraw/zinc/34/28/76/777342876.db2.gz CRYNXUGDQWCEIR-CABCVRRESA-N 1 2 314.433 1.770 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cc(C)n[nH]1)C2 ZINC001095300191 777478207 /nfs/dbraw/zinc/47/82/07/777478207.db2.gz CGEIRPXTJQSSBU-RDBSUJKOSA-N 1 2 308.813 1.735 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cc(C)n[nH]1)C2 ZINC001095300191 777478211 /nfs/dbraw/zinc/47/82/11/777478211.db2.gz CGEIRPXTJQSSBU-RDBSUJKOSA-N 1 2 308.813 1.735 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cc(C)[nH]n1)C2 ZINC001095300191 777478215 /nfs/dbraw/zinc/47/82/15/777478215.db2.gz CGEIRPXTJQSSBU-RDBSUJKOSA-N 1 2 308.813 1.735 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cc(C)[nH]n1)C2 ZINC001095300191 777478218 /nfs/dbraw/zinc/47/82/18/777478218.db2.gz CGEIRPXTJQSSBU-RDBSUJKOSA-N 1 2 308.813 1.735 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095300536 777478254 /nfs/dbraw/zinc/47/82/54/777478254.db2.gz CFSWHBGVESNCRJ-TZMCWYRMSA-N 1 2 304.394 1.779 20 30 DDEDLO N#Cc1cccc(N)c1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001174493606 777487362 /nfs/dbraw/zinc/48/73/62/777487362.db2.gz WGAAQJZUGPSVJG-UHFFFAOYSA-N 1 2 312.377 1.413 20 30 DDEDLO C=C1CC=C(F)C=C1NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(C)=O ZINC001176385240 778129468 /nfs/dbraw/zinc/12/94/68/778129468.db2.gz KKSOZXLLTLZHFM-CQSZACIVSA-N 1 2 304.325 1.270 20 30 DDEDLO C=C1CC=C(F)C=C1NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(C)=O ZINC001176385240 778129470 /nfs/dbraw/zinc/12/94/70/778129470.db2.gz KKSOZXLLTLZHFM-CQSZACIVSA-N 1 2 304.325 1.270 20 30 DDEDLO COCC#CC[NH2+]CCCC[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001176351322 778131867 /nfs/dbraw/zinc/13/18/67/778131867.db2.gz SBGUXJITESXMPP-CQSZACIVSA-N 1 2 320.437 1.175 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCC(C)=O)C[C@@H]21 ZINC001176936004 778323127 /nfs/dbraw/zinc/32/31/27/778323127.db2.gz AUWULKFGLPHLNT-JKSUJKDBSA-N 1 2 308.422 1.624 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCC(C)=O)C[C@@H]21 ZINC001176936004 778323136 /nfs/dbraw/zinc/32/31/36/778323136.db2.gz AUWULKFGLPHLNT-JKSUJKDBSA-N 1 2 308.422 1.624 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2OCC[N@H+](CCC#C)[C@@H]2C1 ZINC001176990058 778376328 /nfs/dbraw/zinc/37/63/28/778376328.db2.gz YSDSQVRXLBFIAB-IAGOWNOFSA-N 1 2 302.418 1.505 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2OCC[N@@H+](CCC#C)[C@@H]2C1 ZINC001176990058 778376334 /nfs/dbraw/zinc/37/63/34/778376334.db2.gz YSDSQVRXLBFIAB-IAGOWNOFSA-N 1 2 302.418 1.505 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2OCC[N@@H+](CC=C(C)C)[C@@H]2C1 ZINC001177013188 778392128 /nfs/dbraw/zinc/39/21/28/778392128.db2.gz PFTUTYJQICWLNW-IAGOWNOFSA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]2OCC[N@H+](CC=C(C)C)[C@@H]2C1 ZINC001177013188 778392134 /nfs/dbraw/zinc/39/21/34/778392134.db2.gz PFTUTYJQICWLNW-IAGOWNOFSA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102817451 778424995 /nfs/dbraw/zinc/42/49/95/778424995.db2.gz FKXQIKCIXCLGEZ-ZFWWWQNUSA-N 1 2 316.405 1.251 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001102955779 778502037 /nfs/dbraw/zinc/50/20/37/778502037.db2.gz GQIZCHYBPOZTLZ-ZIAGYGMSSA-N 1 2 320.462 1.772 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001102955779 778502038 /nfs/dbraw/zinc/50/20/38/778502038.db2.gz GQIZCHYBPOZTLZ-ZIAGYGMSSA-N 1 2 320.462 1.772 20 30 DDEDLO CO/N=C(\C(=O)N1CCC(c2c[nH]c[nH+]2)CC1)c1ccco1 ZINC001177350876 778562850 /nfs/dbraw/zinc/56/28/50/778562850.db2.gz YMZZYFMECMOPOU-JXAWBTAJSA-N 1 2 302.334 1.759 20 30 DDEDLO CO/N=C(\C(=O)N1CCC(c2c[nH+]c[nH]2)CC1)c1ccco1 ZINC001177350876 778562852 /nfs/dbraw/zinc/56/28/52/778562852.db2.gz YMZZYFMECMOPOU-JXAWBTAJSA-N 1 2 302.334 1.759 20 30 DDEDLO Cc1[nH+]c[nH]c1CSCCNC(=O)Cc1ccnc(C#N)c1 ZINC001177673075 778690000 /nfs/dbraw/zinc/69/00/00/778690000.db2.gz ZDMYKKDZBXDQSN-UHFFFAOYSA-N 1 2 315.402 1.577 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc(C3CC3)no2)[C@@H]1C ZINC001178323901 779004472 /nfs/dbraw/zinc/00/44/72/779004472.db2.gz ASBKLHMLDWRPCN-AAEUAGOBSA-N 1 2 304.394 1.992 20 30 DDEDLO CC#CC(=O)N1Cc2c[nH+]cn2C[C@@H](COc2cccnc2)C1 ZINC001179751096 779479736 /nfs/dbraw/zinc/47/97/36/779479736.db2.gz ZSHPOOYQWJPVJO-AWEZNQCLSA-N 1 2 310.357 1.339 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@](CO)(Nc2cc[nH+]c(C)n2)C1 ZINC001112229890 779656280 /nfs/dbraw/zinc/65/62/80/779656280.db2.gz MQELZCSWIGTCMI-KRWDZBQOSA-N 1 2 318.421 1.907 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)[C@@H]1C ZINC001180269092 779686723 /nfs/dbraw/zinc/68/67/23/779686723.db2.gz MEZKMGRXCCCJKM-GVXVVHGQSA-N 1 2 308.382 1.048 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)CSCC#N)C[C@@H]2C)cc[nH+]1 ZINC001112298338 779699082 /nfs/dbraw/zinc/69/90/82/779699082.db2.gz SMEBCCJNBLDTRR-JQWIXIFHSA-N 1 2 305.407 1.301 20 30 DDEDLO COC(=O)c1scc(C#N)c1NC(=O)[C@H](C)[NH+]1CCCC1 ZINC001180575461 779813418 /nfs/dbraw/zinc/81/34/18/779813418.db2.gz JUTFMWSVJSJHCC-VIFPVBQESA-N 1 2 307.375 1.829 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115228492 779960658 /nfs/dbraw/zinc/96/06/58/779960658.db2.gz CPZRSYVWDPGURG-UHFFFAOYSA-N 1 2 320.437 1.956 20 30 DDEDLO C[C@@H](CN(C)C(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)nc1 ZINC001115688442 780344576 /nfs/dbraw/zinc/34/45/76/780344576.db2.gz BOPIMNMPIMLKAM-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)C[C@@H](C)Nc1ncccc1C#N ZINC001115802229 780445638 /nfs/dbraw/zinc/44/56/38/780445638.db2.gz XDMLFCHTOKCOAK-LLVKDONJSA-N 1 2 312.377 1.486 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)CN(C)C(=O)Cc2[nH]cc[nH+]2)n1 ZINC001119977675 781703738 /nfs/dbraw/zinc/70/37/38/781703738.db2.gz BHJYDDXPMAJODX-GFCCVEGCSA-N 1 2 312.377 1.486 20 30 DDEDLO CC1(C(=O)NC[C@@H]2CCC[N@@H+]2CC(=O)NCC#N)CCCCC1 ZINC001267274260 837720304 /nfs/dbraw/zinc/72/03/04/837720304.db2.gz MSHBBKFBPQDASD-AWEZNQCLSA-N 1 2 320.437 1.177 20 30 DDEDLO CC1(C(=O)NC[C@@H]2CCC[N@H+]2CC(=O)NCC#N)CCCCC1 ZINC001267274260 837720316 /nfs/dbraw/zinc/72/03/16/837720316.db2.gz MSHBBKFBPQDASD-AWEZNQCLSA-N 1 2 320.437 1.177 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@@H+](Cc2cnc(C)cn2)C1 ZINC001266252262 835990473 /nfs/dbraw/zinc/99/04/73/835990473.db2.gz QEHKLRDCPRGGQJ-HNNXBMFYSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCC[N@H+](Cc2cnc(C)cn2)C1 ZINC001266252262 835990481 /nfs/dbraw/zinc/99/04/81/835990481.db2.gz QEHKLRDCPRGGQJ-HNNXBMFYSA-N 1 2 318.421 1.458 20 30 DDEDLO CC#CC[NH+]1CCN(CCCNC(=O)c2cocc2C)CC1 ZINC001266269364 836014613 /nfs/dbraw/zinc/01/46/13/836014613.db2.gz GJBWWTKCWZYKFK-UHFFFAOYSA-N 1 2 303.406 1.349 20 30 DDEDLO C#CCOCCC(=O)NCC[N@@H+](C)Cc1ccc(F)cc1F ZINC001266274819 836025945 /nfs/dbraw/zinc/02/59/45/836025945.db2.gz CDUNGAORYQQFNH-UHFFFAOYSA-N 1 2 310.344 1.553 20 30 DDEDLO C#CCOCCC(=O)NCC[N@H+](C)Cc1ccc(F)cc1F ZINC001266274819 836025956 /nfs/dbraw/zinc/02/59/56/836025956.db2.gz CDUNGAORYQQFNH-UHFFFAOYSA-N 1 2 310.344 1.553 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NCc2cccs2)C1 ZINC001266307391 836092228 /nfs/dbraw/zinc/09/22/28/836092228.db2.gz CEVAMFMPLMNDGF-CYBMUJFWSA-N 1 2 321.446 1.521 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCc2cccs2)C1 ZINC001266307391 836092236 /nfs/dbraw/zinc/09/22/36/836092236.db2.gz CEVAMFMPLMNDGF-CYBMUJFWSA-N 1 2 321.446 1.521 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CC[N@@H+](Cc2nnc(CC)o2)C1 ZINC001266509095 836377408 /nfs/dbraw/zinc/37/74/08/836377408.db2.gz MCSPLRMFTGFFAT-CQSZACIVSA-N 1 2 318.421 1.764 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CC[N@H+](Cc2nnc(CC)o2)C1 ZINC001266509095 836377421 /nfs/dbraw/zinc/37/74/21/836377421.db2.gz MCSPLRMFTGFFAT-CQSZACIVSA-N 1 2 318.421 1.764 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H](CNC(=O)C#CC3CC3)C2)s1 ZINC001266510366 836382294 /nfs/dbraw/zinc/38/22/94/836382294.db2.gz ASNIDZXSCPYCJE-ZDUSSCGKSA-N 1 2 304.419 1.198 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H](CNC(=O)C#CC3CC3)C2)s1 ZINC001266510366 836382304 /nfs/dbraw/zinc/38/23/04/836382304.db2.gz ASNIDZXSCPYCJE-ZDUSSCGKSA-N 1 2 304.419 1.198 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+](Cc2nc(COC)no2)C1 ZINC001266526371 836415815 /nfs/dbraw/zinc/41/58/15/836415815.db2.gz UPRLNGZWKRBMMA-GFCCVEGCSA-N 1 2 322.409 1.366 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+](Cc2nc(COC)no2)C1 ZINC001266526371 836415817 /nfs/dbraw/zinc/41/58/17/836415817.db2.gz UPRLNGZWKRBMMA-GFCCVEGCSA-N 1 2 322.409 1.366 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1C[C@@H]([NH2+][C@@H](C)c2noc(C)n2)C1 ZINC001266672038 836634404 /nfs/dbraw/zinc/63/44/04/836634404.db2.gz KVKHSLSHRMRAMM-UZGDPCLZSA-N 1 2 322.409 1.657 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001266894160 837016189 /nfs/dbraw/zinc/01/61/89/837016189.db2.gz GYKMSHGMNMGVCY-HUUCEWRRSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001266894160 837016201 /nfs/dbraw/zinc/01/62/01/837016201.db2.gz GYKMSHGMNMGVCY-HUUCEWRRSA-N 1 2 319.453 1.888 20 30 DDEDLO CN(CC[NH2+]Cc1nn(C)cc1Cl)C(=O)c1cc(C#N)c[nH]1 ZINC001267360931 837909685 /nfs/dbraw/zinc/90/96/85/837909685.db2.gz RUELSUQTBCNDJH-UHFFFAOYSA-N 1 2 320.784 1.135 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(Cc2ocnc2C)CC1 ZINC001267573470 838338515 /nfs/dbraw/zinc/33/85/15/838338515.db2.gz GLXIVBPCKNRUQI-UHFFFAOYSA-N 1 2 320.437 1.525 20 30 DDEDLO C=CCCC(=O)N(C)CCN1CC[NH+](Cc2ocnc2C)CC1 ZINC001267573470 838338519 /nfs/dbraw/zinc/33/85/19/838338519.db2.gz GLXIVBPCKNRUQI-UHFFFAOYSA-N 1 2 320.437 1.525 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)C1(C2CCC2)CCC1 ZINC001267609068 838447309 /nfs/dbraw/zinc/44/73/09/838447309.db2.gz NRGHGQZYAJNDQS-UHFFFAOYSA-N 1 2 318.465 1.556 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](NC(=O)c2cc(F)c[nH]2)C1 ZINC001267629341 838530459 /nfs/dbraw/zinc/53/04/59/838530459.db2.gz VFPQGEYGEBLKGT-AAEUAGOBSA-N 1 2 322.384 1.039 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](NC(=O)c2cc(F)c[nH]2)C1 ZINC001267629341 838530466 /nfs/dbraw/zinc/53/04/66/838530466.db2.gz VFPQGEYGEBLKGT-AAEUAGOBSA-N 1 2 322.384 1.039 20 30 DDEDLO C[C@H](C#N)C(=O)NC1C[NH+](CCCOCc2ccccc2)C1 ZINC001267642428 838559995 /nfs/dbraw/zinc/55/99/95/838559995.db2.gz DAZAILRHRLPZLJ-CQSZACIVSA-N 1 2 301.390 1.553 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)CC[C@H]2CC(C)(C)CO2)C1 ZINC001267667693 838608665 /nfs/dbraw/zinc/60/86/65/838608665.db2.gz HTKQKFGCUSRUQD-HNNXBMFYSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC2(CC2)C1 ZINC001267712388 838698211 /nfs/dbraw/zinc/69/82/11/838698211.db2.gz PKTWVYDNLRJLDX-QEJZJMRPSA-N 1 2 317.433 1.152 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC2(CC2)C1 ZINC001267712388 838698218 /nfs/dbraw/zinc/69/82/18/838698218.db2.gz PKTWVYDNLRJLDX-QEJZJMRPSA-N 1 2 317.433 1.152 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)c2ccoc2C)C1 ZINC001267736427 838790120 /nfs/dbraw/zinc/79/01/20/838790120.db2.gz BMAICBNXEWWISC-DGCLKSJQSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(=O)c2ccoc2C)C1 ZINC001267736427 838790126 /nfs/dbraw/zinc/79/01/26/838790126.db2.gz BMAICBNXEWWISC-DGCLKSJQSA-N 1 2 305.378 1.083 20 30 DDEDLO N#CCc1ccc(S(=O)(=O)NCCn2cc[nH+]c2)c(Cl)c1 ZINC001414187724 839080052 /nfs/dbraw/zinc/08/00/52/839080052.db2.gz LOIMPKXKBOMMKL-UHFFFAOYSA-N 1 2 324.793 1.581 20 30 DDEDLO C=C1CC(C)(C(=O)N(CCO)CC[NH2+][C@H](C)c2csnn2)C1 ZINC001279820466 839310520 /nfs/dbraw/zinc/31/05/20/839310520.db2.gz LGDVBRTWILNTBL-GFCCVEGCSA-N 1 2 324.450 1.366 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)Cc2sc(C)nc2C)C1 ZINC001268023265 839402995 /nfs/dbraw/zinc/40/29/95/839402995.db2.gz HRYFXOFQEXCEMO-ZDUSSCGKSA-N 1 2 309.435 1.305 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)Cc2sc(C)nc2C)C1 ZINC001268023265 839403003 /nfs/dbraw/zinc/40/30/03/839403003.db2.gz HRYFXOFQEXCEMO-ZDUSSCGKSA-N 1 2 309.435 1.305 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H](C)Oc2cccnc2)C1 ZINC001268024604 839413411 /nfs/dbraw/zinc/41/34/11/839413411.db2.gz ADXDEEIJLYBWRG-HOCLYGCPSA-N 1 2 319.405 1.242 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H](C)Oc2cccnc2)C1 ZINC001268024604 839413419 /nfs/dbraw/zinc/41/34/19/839413419.db2.gz ADXDEEIJLYBWRG-HOCLYGCPSA-N 1 2 319.405 1.242 20 30 DDEDLO Cc1nnc(C[NH2+]C/C=C/CNC(=O)C#CC(C)(C)C)s1 ZINC001268536692 840410724 /nfs/dbraw/zinc/41/07/24/840410724.db2.gz MLZGFHZAHLVVGM-AATRIKPKSA-N 1 2 306.435 1.658 20 30 DDEDLO COCCCC(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001268547604 840427387 /nfs/dbraw/zinc/42/73/87/840427387.db2.gz SZLXURGQXRNGRC-UHFFFAOYSA-N 1 2 301.390 1.533 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)C[NH2+][C@H](C)c2nc(COC)no2)C1 ZINC001268661005 840645464 /nfs/dbraw/zinc/64/54/64/840645464.db2.gz FFQFWQMORYKTTO-VXGBXAGGSA-N 1 2 322.409 1.728 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H](C)C[NH2+]Cc2nc(COC)no2)CC1 ZINC001268703929 840691487 /nfs/dbraw/zinc/69/14/87/840691487.db2.gz UKNCPYYUFHIWHR-LBPRGKRZSA-N 1 2 322.409 1.557 20 30 DDEDLO CC#CCCCC(=O)NCC1C[NH+](CC(=O)NCC(C)(C)C)C1 ZINC001268863144 840915823 /nfs/dbraw/zinc/91/58/23/840915823.db2.gz SWAFNDFAGRFPHX-UHFFFAOYSA-N 1 2 321.465 1.390 20 30 DDEDLO CCC#CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C)nn1C ZINC001268954578 841037301 /nfs/dbraw/zinc/03/73/01/841037301.db2.gz ZWODPZHFINLPPR-GASCZTMLSA-N 1 2 300.406 1.317 20 30 DDEDLO CCC#CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C)nn1C ZINC001268954578 841037309 /nfs/dbraw/zinc/03/73/09/841037309.db2.gz ZWODPZHFINLPPR-GASCZTMLSA-N 1 2 300.406 1.317 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](C)C(=O)NC(C)(C)C ZINC001268960258 841056493 /nfs/dbraw/zinc/05/64/93/841056493.db2.gz KLBCCFXDOSGWES-IHRRRGAJSA-N 1 2 321.465 1.787 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](C)C(=O)NC(C)(C)C ZINC001268960258 841056506 /nfs/dbraw/zinc/05/65/06/841056506.db2.gz KLBCCFXDOSGWES-IHRRRGAJSA-N 1 2 321.465 1.787 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001269029680 841128538 /nfs/dbraw/zinc/12/85/38/841128538.db2.gz FRUWZMSMPFRPLL-HNNXBMFYSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001269029680 841128545 /nfs/dbraw/zinc/12/85/45/841128545.db2.gz FRUWZMSMPFRPLL-HNNXBMFYSA-N 1 2 321.465 1.838 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H](CCCC)C(C)C)C1 ZINC001269097026 841203623 /nfs/dbraw/zinc/20/36/23/841203623.db2.gz NHHFRAJKQKOWPB-JKSUJKDBSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H](CCCC)C(C)C)C1 ZINC001269097026 841203633 /nfs/dbraw/zinc/20/36/33/841203633.db2.gz NHHFRAJKQKOWPB-JKSUJKDBSA-N 1 2 321.465 1.389 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2coc(C3CC3)n2)C1 ZINC001269177423 841300041 /nfs/dbraw/zinc/30/00/41/841300041.db2.gz GDJXQVGWZXOORV-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2coc(C3CC3)n2)C1 ZINC001269177423 841300052 /nfs/dbraw/zinc/30/00/52/841300052.db2.gz GDJXQVGWZXOORV-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO O=C(COCC1CC1)NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001269201385 841334734 /nfs/dbraw/zinc/33/47/34/841334734.db2.gz XVHQUAUSTLBYNE-GOSISDBHSA-N 1 2 312.413 1.655 20 30 DDEDLO O=C(COCC1CC1)NC[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001269201385 841334744 /nfs/dbraw/zinc/33/47/44/841334744.db2.gz XVHQUAUSTLBYNE-GOSISDBHSA-N 1 2 312.413 1.655 20 30 DDEDLO O=C(C#Cc1ccccc1)N1CC[NH+](CCc2ccccn2)CC1 ZINC001142858934 861288052 /nfs/dbraw/zinc/28/80/52/861288052.db2.gz JHGSHLZKMFHWLI-UHFFFAOYSA-N 1 2 319.408 1.820 20 30 DDEDLO C=CCN1CC[C@]2(CCCN2C(=O)c2c[nH]c3cc[nH+]cc23)C1=O ZINC001270139032 842325016 /nfs/dbraw/zinc/32/50/16/842325016.db2.gz PPNRJXYBWFXLMQ-GOSISDBHSA-N 1 2 324.384 1.956 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@@H+](Cc2nocc2C)C1 ZINC001270666842 842796314 /nfs/dbraw/zinc/79/63/14/842796314.db2.gz ZNNHKJMIIPBEBE-MRXNPFEDSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@H+](Cc2nocc2C)C1 ZINC001270666842 842796317 /nfs/dbraw/zinc/79/63/17/842796317.db2.gz ZNNHKJMIIPBEBE-MRXNPFEDSA-N 1 2 307.394 1.656 20 30 DDEDLO N#CCCCC(=O)N1CC2(C1)C[NH+](Cc1cccc(=O)[nH]1)C2 ZINC001271252715 843446162 /nfs/dbraw/zinc/44/61/62/843446162.db2.gz OFXBWTGALBIYTP-UHFFFAOYSA-N 1 2 300.362 1.125 20 30 DDEDLO N#CCSCC(=O)NCCC[NH2+]Cc1nnsc1Cl ZINC001154802355 861467887 /nfs/dbraw/zinc/46/78/87/861467887.db2.gz DDNHXUQEGYTHGW-UHFFFAOYSA-N 1 2 319.843 1.044 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)Cc1ccccc1F ZINC001272164993 844671572 /nfs/dbraw/zinc/67/15/72/844671572.db2.gz KOBABZOVBMMUFU-UHFFFAOYSA-N 1 2 321.396 1.061 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)Cc1ccccc1F ZINC001272164993 844671580 /nfs/dbraw/zinc/67/15/80/844671580.db2.gz KOBABZOVBMMUFU-UHFFFAOYSA-N 1 2 321.396 1.061 20 30 DDEDLO CCCCCCCCOC(=O)N1CC[NH2+]C[C@H]1C(=O)OC ZINC001239329162 890601999 /nfs/dbraw/zinc/60/19/99/890601999.db2.gz SDMBFKFPHOBIKE-ZDUSSCGKSA-N 1 2 300.399 1.930 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1COCC[N@H+]1CCC[C@H](C)O ZINC001326657837 861517660 /nfs/dbraw/zinc/51/76/60/861517660.db2.gz AITFPFSSNRHCQT-GJZGRUSLSA-N 1 2 312.454 1.567 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1COCC[N@@H+]1CCC[C@H](C)O ZINC001326657837 861517678 /nfs/dbraw/zinc/51/76/78/861517678.db2.gz AITFPFSSNRHCQT-GJZGRUSLSA-N 1 2 312.454 1.567 20 30 DDEDLO CCOCC(=O)N[C@H](C)C1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001409846816 845894010 /nfs/dbraw/zinc/89/40/10/845894010.db2.gz HKYSSXPZRITZDZ-GFCCVEGCSA-N 1 2 319.380 1.670 20 30 DDEDLO CCc1nnc(C[N@@H+]2CCCCC[C@@H]2CNC(=O)[C@@H](C)C#N)o1 ZINC001409875026 845970000 /nfs/dbraw/zinc/97/00/00/845970000.db2.gz WJOKCIHNKKHDAZ-QWHCGFSZSA-N 1 2 319.409 1.652 20 30 DDEDLO CCc1nnc(C[N@H+]2CCCCC[C@@H]2CNC(=O)[C@@H](C)C#N)o1 ZINC001409875026 845970009 /nfs/dbraw/zinc/97/00/09/845970009.db2.gz WJOKCIHNKKHDAZ-QWHCGFSZSA-N 1 2 319.409 1.652 20 30 DDEDLO CN1C[C@@]2(CC[N@H+](Cc3ccc(F)cc3C#N)C2)OCC1=O ZINC001272388505 846094170 /nfs/dbraw/zinc/09/41/70/846094170.db2.gz LFIDRMIKFOFTHX-MRXNPFEDSA-N 1 2 303.337 1.130 20 30 DDEDLO CN1C[C@@]2(CC[N@@H+](Cc3ccc(F)cc3C#N)C2)OCC1=O ZINC001272388505 846094174 /nfs/dbraw/zinc/09/41/74/846094174.db2.gz LFIDRMIKFOFTHX-MRXNPFEDSA-N 1 2 303.337 1.130 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H](C)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001107731182 846891565 /nfs/dbraw/zinc/89/15/65/846891565.db2.gz MZYQDVPDMKLXGN-PXAZEXFGSA-N 1 2 318.421 1.906 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)OCC(C)C)C1 ZINC001107783007 847059984 /nfs/dbraw/zinc/05/99/84/847059984.db2.gz CDWKFTQXXDAJOG-WBVHZDCISA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)OCC(C)C)C1 ZINC001107783007 847059987 /nfs/dbraw/zinc/05/99/87/847059987.db2.gz CDWKFTQXXDAJOG-WBVHZDCISA-N 1 2 310.438 1.278 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001077719741 847249661 /nfs/dbraw/zinc/24/96/61/847249661.db2.gz VJPQYCZOGQCWHV-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001077719741 847249668 /nfs/dbraw/zinc/24/96/68/847249668.db2.gz VJPQYCZOGQCWHV-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C#CCN1C[C@]2(CC[N@@H+](Cc3cc(F)ccc3F)C2)OCC1=O ZINC001272975582 847727262 /nfs/dbraw/zinc/72/72/62/847727262.db2.gz ITKFANPMKLRQTI-QGZVFWFLSA-N 1 2 320.339 1.401 20 30 DDEDLO C#CCN1C[C@]2(CC[N@H+](Cc3cc(F)ccc3F)C2)OCC1=O ZINC001272975582 847727266 /nfs/dbraw/zinc/72/72/66/847727266.db2.gz ITKFANPMKLRQTI-QGZVFWFLSA-N 1 2 320.339 1.401 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3cccc4c3ccn4C)C2)OCC1=O ZINC001273008633 847772797 /nfs/dbraw/zinc/77/27/97/847772797.db2.gz PDFNORNOQUJLSP-UHFFFAOYSA-N 1 2 323.396 1.225 20 30 DDEDLO CCOC(=O)c1cc(C)nc(NC[C@H]2C[N@H+](C)CCO2)c1C#N ZINC001155197360 861828368 /nfs/dbraw/zinc/82/83/68/861828368.db2.gz SZAMOMLVDCSBSK-LBPRGKRZSA-N 1 2 318.377 1.181 20 30 DDEDLO CCOC(=O)c1cc(C)nc(NC[C@H]2C[N@@H+](C)CCO2)c1C#N ZINC001155197360 861828382 /nfs/dbraw/zinc/82/83/82/861828382.db2.gz SZAMOMLVDCSBSK-LBPRGKRZSA-N 1 2 318.377 1.181 20 30 DDEDLO N#CCCCN1C[C@@]2(CC[N@H+](Cc3nccs3)C2)OCC1=O ZINC001273013641 847781324 /nfs/dbraw/zinc/78/13/24/847781324.db2.gz DFLPBXPCQXIHBP-HNNXBMFYSA-N 1 2 320.418 1.250 20 30 DDEDLO N#CCCCN1C[C@@]2(CC[N@@H+](Cc3nccs3)C2)OCC1=O ZINC001273013641 847781328 /nfs/dbraw/zinc/78/13/28/847781328.db2.gz DFLPBXPCQXIHBP-HNNXBMFYSA-N 1 2 320.418 1.250 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC001327052573 861836069 /nfs/dbraw/zinc/83/60/69/861836069.db2.gz JENWTHUOQWGKRL-CYBMUJFWSA-N 1 2 314.345 1.076 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2csnc2C)[C@H](O)C1 ZINC001090203848 848234202 /nfs/dbraw/zinc/23/42/02/848234202.db2.gz KIAMKGQDBRHNMP-VXGBXAGGSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2csnc2C)[C@H](O)C1 ZINC001090203848 848234215 /nfs/dbraw/zinc/23/42/15/848234215.db2.gz KIAMKGQDBRHNMP-VXGBXAGGSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccc(C)o2)[C@@H](O)C1 ZINC001090205124 848269887 /nfs/dbraw/zinc/26/98/87/848269887.db2.gz ZUZGEQWYBYXSIT-KBPBESRZSA-N 1 2 312.797 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccc(C)o2)[C@@H](O)C1 ZINC001090205124 848269896 /nfs/dbraw/zinc/26/98/96/848269896.db2.gz ZUZGEQWYBYXSIT-KBPBESRZSA-N 1 2 312.797 1.434 20 30 DDEDLO Cc1[nH]ccc1C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001273179390 848641081 /nfs/dbraw/zinc/64/10/81/848641081.db2.gz XJLSSJSOWBFDNZ-UHFFFAOYSA-N 1 2 323.400 1.491 20 30 DDEDLO C=C(C)CC[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001273261158 849235502 /nfs/dbraw/zinc/23/55/02/849235502.db2.gz QGDXLGUMLVJGNS-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)CC[NH2+]C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCO1 ZINC001273261158 849235506 /nfs/dbraw/zinc/23/55/06/849235506.db2.gz QGDXLGUMLVJGNS-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3cccc4cnccc43)C2)OCC1=O ZINC001273303441 849372575 /nfs/dbraw/zinc/37/25/75/849372575.db2.gz BDGOQWSOCPHBIM-UHFFFAOYSA-N 1 2 321.380 1.281 20 30 DDEDLO CCCC1(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)CCC1 ZINC001273376661 849742426 /nfs/dbraw/zinc/74/24/26/849742426.db2.gz XHWXCQAJPUGNDH-MRXNPFEDSA-N 1 2 318.465 1.699 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(CC)n1 ZINC001155426616 862070958 /nfs/dbraw/zinc/07/09/58/862070958.db2.gz HHYLMMQFJYEZQO-LBPRGKRZSA-N 1 2 310.398 1.209 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](CCNC(=O)[C@H](C)S(C)(=O)=O)C1 ZINC001437940624 850120627 /nfs/dbraw/zinc/12/06/27/850120627.db2.gz WKFBRTMTBYOFIF-RYUDHWBXSA-N 1 2 322.858 1.000 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](CCNC(=O)[C@H](C)S(C)(=O)=O)C1 ZINC001437940624 850120633 /nfs/dbraw/zinc/12/06/33/850120633.db2.gz WKFBRTMTBYOFIF-RYUDHWBXSA-N 1 2 322.858 1.000 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](CC(C)(C)OCC)C[C@@]2(F)C1=O ZINC001273476963 851004074 /nfs/dbraw/zinc/00/40/74/851004074.db2.gz GFSKEJVVQJFRRJ-JKSUJKDBSA-N 1 2 316.392 1.952 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](CC(C)(C)OCC)C[C@@]2(F)C1=O ZINC001273476963 851004077 /nfs/dbraw/zinc/00/40/77/851004077.db2.gz GFSKEJVVQJFRRJ-JKSUJKDBSA-N 1 2 316.392 1.952 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C[C@H]1CCCCO1)O2 ZINC001273655761 851192603 /nfs/dbraw/zinc/19/26/03/851192603.db2.gz OEYQYQPQSZBAHW-CABCVRRESA-N 1 2 308.422 1.481 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)COCCCC ZINC001327506821 862224510 /nfs/dbraw/zinc/22/45/10/862224510.db2.gz IAHURFVCRAQEAM-SFHVURJKSA-N 1 2 314.429 1.979 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)COCCCC ZINC001327506821 862224526 /nfs/dbraw/zinc/22/45/26/862224526.db2.gz IAHURFVCRAQEAM-SFHVURJKSA-N 1 2 314.429 1.979 20 30 DDEDLO C[C@@H](C#N)C(=O)NC/C=C/C[NH2+]Cc1nnc(-c2ccco2)o1 ZINC001273911637 851493388 /nfs/dbraw/zinc/49/33/88/851493388.db2.gz UVUPDIDDGNDFQD-VUDBWIFFSA-N 1 2 315.333 1.251 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@]1(C)CCN(c2ccc(C#N)nc2)C1 ZINC001110773756 851610908 /nfs/dbraw/zinc/61/09/08/851610908.db2.gz QKLCHHAKQYFVMZ-QGZVFWFLSA-N 1 2 324.388 1.313 20 30 DDEDLO CC(C)(C)C(=O)OCN1C[C@]2(CC1=O)CCCC[N@@H+]2CCC#N ZINC001274030876 851870513 /nfs/dbraw/zinc/87/05/13/851870513.db2.gz ACGOACBRQAIBSU-QGZVFWFLSA-N 1 2 321.421 1.904 20 30 DDEDLO CC(C)(C)C(=O)OCN1C[C@]2(CC1=O)CCCC[N@H+]2CCC#N ZINC001274030876 851870522 /nfs/dbraw/zinc/87/05/22/851870522.db2.gz ACGOACBRQAIBSU-QGZVFWFLSA-N 1 2 321.421 1.904 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1ncc(C)cn1 ZINC001274442643 852270966 /nfs/dbraw/zinc/27/09/66/852270966.db2.gz WTDANMXYYPGVRG-KRWDZBQOSA-N 1 2 300.406 1.928 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1ncc(C)cn1 ZINC001274442643 852270980 /nfs/dbraw/zinc/27/09/80/852270980.db2.gz WTDANMXYYPGVRG-KRWDZBQOSA-N 1 2 300.406 1.928 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@H](C)n3cccn3)cc2C1 ZINC001327683142 862384028 /nfs/dbraw/zinc/38/40/28/862384028.db2.gz VIDZKXGUWXIZAS-AWEZNQCLSA-N 1 2 308.385 1.709 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@H](C)n3cccn3)cc2C1 ZINC001327683142 862384045 /nfs/dbraw/zinc/38/40/45/862384045.db2.gz VIDZKXGUWXIZAS-AWEZNQCLSA-N 1 2 308.385 1.709 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccncn2)cc1C#N ZINC001275223839 852909204 /nfs/dbraw/zinc/90/92/04/852909204.db2.gz IFVIUXATEPFZRN-CALCHBBNSA-N 1 2 322.416 1.536 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccncn2)cc1C#N ZINC001275223839 852909212 /nfs/dbraw/zinc/90/92/12/852909212.db2.gz IFVIUXATEPFZRN-CALCHBBNSA-N 1 2 322.416 1.536 20 30 DDEDLO COCCOC[N@@H+]1CCC2(CN(Cc3cccc(C#N)c3)C2)C1 ZINC001275290244 852955536 /nfs/dbraw/zinc/95/55/36/852955536.db2.gz BDXQDNGLRXMJIU-UHFFFAOYSA-N 1 2 315.417 1.686 20 30 DDEDLO COCCOC[N@H+]1CCC2(CN(Cc3cccc(C#N)c3)C2)C1 ZINC001275290244 852955542 /nfs/dbraw/zinc/95/55/42/852955542.db2.gz BDXQDNGLRXMJIU-UHFFFAOYSA-N 1 2 315.417 1.686 20 30 DDEDLO Cc1ccc(C[NH+]2CC3(CN(Cc4cc(C#N)n(C)c4)C3)C2)nc1 ZINC001275401301 853070374 /nfs/dbraw/zinc/07/03/74/853070374.db2.gz LJOYGSOASFPYLD-UHFFFAOYSA-N 1 2 321.428 1.918 20 30 DDEDLO Cc1ccc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)nc1 ZINC001275401301 853070383 /nfs/dbraw/zinc/07/03/83/853070383.db2.gz LJOYGSOASFPYLD-UHFFFAOYSA-N 1 2 321.428 1.918 20 30 DDEDLO C=CCC[NH+]1CC(CCO)(NC(=O)c2conc2C(C)C)C1 ZINC001276057101 854686221 /nfs/dbraw/zinc/68/62/21/854686221.db2.gz RVRRPJPOZHLWHJ-UHFFFAOYSA-N 1 2 307.394 1.541 20 30 DDEDLO C=C(C)CC[NH+]1CC(CCO)(NC(=O)c2snnc2CC)C1 ZINC001276062630 854689883 /nfs/dbraw/zinc/68/98/83/854689883.db2.gz QZLDIFTZHYBWJM-UHFFFAOYSA-N 1 2 324.450 1.233 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098186467 855252463 /nfs/dbraw/zinc/25/24/63/855252463.db2.gz DNWYJEDRTLYLLN-NSHDSACASA-N 1 2 316.409 1.882 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H](NC(=O)CSCC#N)C[C@H]2C)n1 ZINC001328220700 862784486 /nfs/dbraw/zinc/78/44/86/862784486.db2.gz CBBYSHOTETXQNE-ZYHUDNBSSA-N 1 2 323.422 1.104 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H](NC(=O)CSCC#N)C[C@H]2C)n1 ZINC001328220700 862784493 /nfs/dbraw/zinc/78/44/93/862784493.db2.gz CBBYSHOTETXQNE-ZYHUDNBSSA-N 1 2 323.422 1.104 20 30 DDEDLO Cn1c[nH+]cc1C1CCN(S(=O)(=O)CC2(CC#N)CC2)CC1 ZINC001413483218 856872634 /nfs/dbraw/zinc/87/26/34/856872634.db2.gz HZLGXNSABKRLMG-UHFFFAOYSA-N 1 2 322.434 1.623 20 30 DDEDLO O=C([C@@H]1CCn2cc[nH+]c2C1)N1CCC2(CC1)CC(=O)C=CO2 ZINC001413709597 857381601 /nfs/dbraw/zinc/38/16/01/857381601.db2.gz AIJMFFOBSZAECK-CYBMUJFWSA-N 1 2 315.373 1.310 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001072544239 857426320 /nfs/dbraw/zinc/42/63/20/857426320.db2.gz FUCNWZCZJZOCAJ-MNOVXSKESA-N 1 2 303.366 1.133 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001072544239 857426326 /nfs/dbraw/zinc/42/63/26/857426326.db2.gz FUCNWZCZJZOCAJ-MNOVXSKESA-N 1 2 303.366 1.133 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)[nH]1 ZINC001072721471 857640461 /nfs/dbraw/zinc/64/04/61/857640461.db2.gz SZGTWVJEDOVRNE-GFCCVEGCSA-N 1 2 313.405 1.122 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)[nH]1 ZINC001072721471 857640467 /nfs/dbraw/zinc/64/04/67/857640467.db2.gz SZGTWVJEDOVRNE-GFCCVEGCSA-N 1 2 313.405 1.122 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C[NH+]2CCCC2)n1C[C@H]1CCOC1 ZINC001123236442 859139405 /nfs/dbraw/zinc/13/94/05/859139405.db2.gz MCSWSPNEDAFRCG-LSDHHAIUSA-N 1 2 317.437 1.368 20 30 DDEDLO C#CC1(O)CCN(C(=O)NCCCNc2cccc[nH+]2)CC1 ZINC001123583216 859310982 /nfs/dbraw/zinc/31/09/82/859310982.db2.gz RWIDAOSWYYMVEW-UHFFFAOYSA-N 1 2 302.378 1.053 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cnc3cccnc3c2)CC1 ZINC001139746132 860470269 /nfs/dbraw/zinc/47/02/69/860470269.db2.gz RYHGUTZUKJMKGZ-UHFFFAOYSA-N 1 2 310.357 1.909 20 30 DDEDLO C=CCn1cc(C[N@H+]2CCC(O)=C(C(=O)OCC)CC2)cn1 ZINC001139770341 860476850 /nfs/dbraw/zinc/47/68/50/860476850.db2.gz MXJOFQTUYIGZDN-CQSZACIVSA-N 1 2 305.378 1.413 20 30 DDEDLO C=CCn1cc(C[N@@H+]2CCC(O)=C(C(=O)OCC)CC2)cn1 ZINC001139770341 860476857 /nfs/dbraw/zinc/47/68/57/860476857.db2.gz MXJOFQTUYIGZDN-CQSZACIVSA-N 1 2 305.378 1.413 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](Cc2cccc(C#N)c2Cl)C1 ZINC001140814803 860703160 /nfs/dbraw/zinc/70/31/60/860703160.db2.gz QDMPKYBYBXTERL-CQSZACIVSA-N 1 2 321.808 1.939 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](Cc2cccc(C#N)c2Cl)C1 ZINC001140814803 860703165 /nfs/dbraw/zinc/70/31/65/860703165.db2.gz QDMPKYBYBXTERL-CQSZACIVSA-N 1 2 321.808 1.939 20 30 DDEDLO CSc1nc(C[N@@H+]2CCC[C@@]3(CNC(=O)O3)C2)ccc1C#N ZINC001141941713 860974815 /nfs/dbraw/zinc/97/48/15/860974815.db2.gz UTYPJQRSJOLUFI-OAHLLOKOSA-N 1 2 318.402 1.750 20 30 DDEDLO CSc1nc(C[N@H+]2CCC[C@@]3(CNC(=O)O3)C2)ccc1C#N ZINC001141941713 860974826 /nfs/dbraw/zinc/97/48/26/860974826.db2.gz UTYPJQRSJOLUFI-OAHLLOKOSA-N 1 2 318.402 1.750 20 30 DDEDLO CC#CC[NH2+][C@H](C)[C@H](C)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001329090393 863469957 /nfs/dbraw/zinc/46/99/57/863469957.db2.gz LFVVYVXJGQERFC-OLZOCXBDSA-N 1 2 311.389 1.591 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nocc1C ZINC001157217350 863660547 /nfs/dbraw/zinc/66/05/47/863660547.db2.gz ODHHUOWJLQYPEW-KGLIPLIRSA-N 1 2 309.410 1.901 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nocc1C ZINC001157217350 863660550 /nfs/dbraw/zinc/66/05/50/863660550.db2.gz ODHHUOWJLQYPEW-KGLIPLIRSA-N 1 2 309.410 1.901 20 30 DDEDLO N#Cc1c[nH]c2cc[nH+]c(N3CCN(c4ncc(O)cn4)CC3)c12 ZINC001157240821 863674969 /nfs/dbraw/zinc/67/49/69/863674969.db2.gz VKENKOAJPNXNON-UHFFFAOYSA-N 1 2 321.344 1.257 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+][C@H](C)c1nnc(CC(C)C)o1 ZINC001153149617 863735255 /nfs/dbraw/zinc/73/52/55/863735255.db2.gz ZZIYEDJOLVVLOB-OLZOCXBDSA-N 1 2 324.425 1.626 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2cccc(N(C)C)c2)C1 ZINC001329708184 863803754 /nfs/dbraw/zinc/80/37/54/863803754.db2.gz WDRWQHYNHJGKNW-UHFFFAOYSA-N 1 2 317.433 1.495 20 30 DDEDLO N#CCOc1cccc(CNC(=O)/C=C\C[NH+]2CCOCC2)c1 ZINC001329733056 863818469 /nfs/dbraw/zinc/81/84/69/863818469.db2.gz PEOKBBFDDDXSDC-DJWKRKHSSA-N 1 2 315.373 1.094 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)C1C[NH+](Cc2cnnn2C)C1 ZINC001330001544 864010975 /nfs/dbraw/zinc/01/09/75/864010975.db2.gz WPLYBTUEQUJOTJ-GFCCVEGCSA-N 1 2 305.426 1.354 20 30 DDEDLO C=CCCOCC(=O)N[C@H](C)C1C[NH+](Cc2ccns2)C1 ZINC001330085176 864072843 /nfs/dbraw/zinc/07/28/43/864072843.db2.gz JYCUXOJLKKPUKK-GFCCVEGCSA-N 1 2 309.435 1.672 20 30 DDEDLO C#CCN(CC1CC1)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC001331246172 864963933 /nfs/dbraw/zinc/96/39/33/864963933.db2.gz YSLOMGIXPLOODK-UHFFFAOYSA-N 1 2 314.433 1.504 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@@H](C2CC2)N(C)C(=O)C#CC2CC2)no1 ZINC001331282678 864987230 /nfs/dbraw/zinc/98/72/30/864987230.db2.gz OUGLYPAMDXZGRL-ABAIWWIYSA-N 1 2 316.405 1.679 20 30 DDEDLO C=CCCC[NH2+]C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001332519121 865902426 /nfs/dbraw/zinc/90/24/26/865902426.db2.gz YXBRDBVESJFOSX-UWVGGRQHSA-N 1 2 300.296 1.262 20 30 DDEDLO C=CCCC[NH2+]C[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001332519121 865902431 /nfs/dbraw/zinc/90/24/31/865902431.db2.gz YXBRDBVESJFOSX-UWVGGRQHSA-N 1 2 300.296 1.262 20 30 DDEDLO N#Cc1ncc(Cl)nc1N[C@H]1CCC[C@H]1[NH+]1CCOCC1 ZINC001160723319 866068653 /nfs/dbraw/zinc/06/86/53/866068653.db2.gz KYJAPJWCBBRTTJ-CMPLNLGQSA-N 1 2 307.785 1.667 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCC[C@@H]1[NH+]1CCOCC1 ZINC001160724132 866071596 /nfs/dbraw/zinc/07/15/96/866071596.db2.gz OQIDFPOTJJYRTM-KGLIPLIRSA-N 1 2 317.349 1.527 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C(=O)OC)c(CC)[nH]2)C1=O ZINC001332707182 866083505 /nfs/dbraw/zinc/08/35/05/866083505.db2.gz IQXFDQBTJTZZFY-OAHLLOKOSA-N 1 2 319.405 1.582 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C(=O)OC)c(CC)[nH]2)C1=O ZINC001332707182 866083522 /nfs/dbraw/zinc/08/35/22/866083522.db2.gz IQXFDQBTJTZZFY-OAHLLOKOSA-N 1 2 319.405 1.582 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cc3c(cn2)OCCO3)C[C@H](C)N1CC#N ZINC001332724910 866100109 /nfs/dbraw/zinc/10/01/09/866100109.db2.gz OMMDMZGLJFOBOG-BETUJISGSA-N 1 2 302.378 1.271 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cc3c(cn2)OCCO3)C[C@H](C)N1CC#N ZINC001332724910 866100118 /nfs/dbraw/zinc/10/01/18/866100118.db2.gz OMMDMZGLJFOBOG-BETUJISGSA-N 1 2 302.378 1.271 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1(C2CC2)CC1 ZINC001323258739 866477253 /nfs/dbraw/zinc/47/72/53/866477253.db2.gz AXDCNACKOQKOQR-GXTWGEPZSA-N 1 2 305.422 1.058 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1(C2CC2)CC1 ZINC001323258739 866477257 /nfs/dbraw/zinc/47/72/57/866477257.db2.gz AXDCNACKOQKOQR-GXTWGEPZSA-N 1 2 305.422 1.058 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)CCC(C)(F)F ZINC001323261831 866482795 /nfs/dbraw/zinc/48/27/95/866482795.db2.gz KWQXQIDQPSCVKT-RYUDHWBXSA-N 1 2 317.380 1.303 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)CCC(C)(F)F ZINC001323261831 866482805 /nfs/dbraw/zinc/48/28/05/866482805.db2.gz KWQXQIDQPSCVKT-RYUDHWBXSA-N 1 2 317.380 1.303 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc2ccsc2[nH]1 ZINC001323277711 866494551 /nfs/dbraw/zinc/49/45/51/866494551.db2.gz MPHLYAGONOJFDW-CYBMUJFWSA-N 1 2 317.414 1.683 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc2ccsc2[nH]1 ZINC001323277711 866494556 /nfs/dbraw/zinc/49/45/56/866494556.db2.gz MPHLYAGONOJFDW-CYBMUJFWSA-N 1 2 317.414 1.683 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001323292456 866505322 /nfs/dbraw/zinc/50/53/22/866505322.db2.gz FIAPNZCLDBMRFP-GJZGRUSLSA-N 1 2 307.438 1.543 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001323292456 866505332 /nfs/dbraw/zinc/50/53/32/866505332.db2.gz FIAPNZCLDBMRFP-GJZGRUSLSA-N 1 2 307.438 1.543 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001323372591 866571231 /nfs/dbraw/zinc/57/12/31/866571231.db2.gz ZXXCXJSYIQPICT-NEXGVSGLSA-N 1 2 302.418 1.119 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001323372591 866571238 /nfs/dbraw/zinc/57/12/38/866571238.db2.gz ZXXCXJSYIQPICT-NEXGVSGLSA-N 1 2 302.418 1.119 20 30 DDEDLO CCC[C@@H](C)CC(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001324559983 867356526 /nfs/dbraw/zinc/35/65/26/867356526.db2.gz KPYUXBGVNKXPJT-HZPDHXFCSA-N 1 2 306.454 1.555 20 30 DDEDLO C#Cc1cncc(C(=O)NC/C=C/C[NH2+]Cc2nccc(C)n2)c1 ZINC001321244978 867432833 /nfs/dbraw/zinc/43/28/33/867432833.db2.gz HPRGZEFSMKNSIG-SNAWJCMRSA-N 1 2 321.384 1.237 20 30 DDEDLO C[C@H](CNC(=O)C#CC(C)(C)C)N(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001334264247 867436558 /nfs/dbraw/zinc/43/65/58/867436558.db2.gz LJHIRZNADTWKLP-CYBMUJFWSA-N 1 2 318.421 1.355 20 30 DDEDLO C=CCC1(C(=O)N(C)[C@H](C)CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001334565114 867671875 /nfs/dbraw/zinc/67/18/75/867671875.db2.gz AICNKYKFUMRFIR-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)[C@@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001334565680 867672030 /nfs/dbraw/zinc/67/20/30/867672030.db2.gz GDTRDBGBXJNMSR-STQMWFEESA-N 1 2 306.410 1.518 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)C[NH2+]Cc1nc(C(F)F)no1 ZINC001321861016 867837811 /nfs/dbraw/zinc/83/78/11/867837811.db2.gz TWQGAJWGIQTFNE-VIFPVBQESA-N 1 2 302.325 1.958 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CC(=O)NCC(=O)c2ccccc2)C1 ZINC001325226817 867875836 /nfs/dbraw/zinc/87/58/36/867875836.db2.gz ADKWKTLBJXICEN-HNNXBMFYSA-N 1 2 302.374 1.262 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CC(=O)NCC(=O)c2ccccc2)C1 ZINC001325226817 867875845 /nfs/dbraw/zinc/87/58/45/867875845.db2.gz ADKWKTLBJXICEN-HNNXBMFYSA-N 1 2 302.374 1.262 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]([NH3+])CNc1nc2c(cc1C#N)COCC2 ZINC001162855821 867877686 /nfs/dbraw/zinc/87/76/86/867877686.db2.gz PEQZCCLUKJGREH-LBPRGKRZSA-N 1 2 318.377 1.107 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@H](C)OC2CCCCCC2)C1 ZINC001325271817 867903351 /nfs/dbraw/zinc/90/33/51/867903351.db2.gz AHYZOXOHONBJSK-HNNXBMFYSA-N 1 2 322.449 1.301 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)Cc2ccc(C)c(C)c2)C1 ZINC001325312342 867937399 /nfs/dbraw/zinc/93/73/99/867937399.db2.gz TVSLPYDIBLQZTO-UHFFFAOYSA-N 1 2 302.418 1.585 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001325318539 867941547 /nfs/dbraw/zinc/94/15/47/867941547.db2.gz NIHBLHJUNFXSBT-OAHLLOKOSA-N 1 2 306.450 1.779 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)C[N@H+](C)CC(=O)Nc1ccon1 ZINC001322170468 868022287 /nfs/dbraw/zinc/02/22/87/868022287.db2.gz XFYRYUNCBSTLEQ-LBPRGKRZSA-N 1 2 308.382 1.406 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)C[N@@H+](C)CC(=O)Nc1ccon1 ZINC001322170468 868022300 /nfs/dbraw/zinc/02/23/00/868022300.db2.gz XFYRYUNCBSTLEQ-LBPRGKRZSA-N 1 2 308.382 1.406 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)C1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001335050710 868028231 /nfs/dbraw/zinc/02/82/31/868028231.db2.gz FASXWKNJGDHERQ-LBPRGKRZSA-N 1 2 318.421 1.580 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1NCC[N@@H+]1CCC2(C1)OCCO2 ZINC001164191022 869000443 /nfs/dbraw/zinc/00/04/43/869000443.db2.gz VHKIAHWERDRSLG-UHFFFAOYSA-N 1 2 318.333 1.327 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1NCC[N@H+]1CCC2(C1)OCCO2 ZINC001164191022 869000455 /nfs/dbraw/zinc/00/04/55/869000455.db2.gz VHKIAHWERDRSLG-UHFFFAOYSA-N 1 2 318.333 1.327 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)c1ccc([N+](=O)[O-])c(Cl)n1 ZINC001164641182 869336813 /nfs/dbraw/zinc/33/68/13/869336813.db2.gz GPOHHTUJFGFPRE-SSDOTTSWSA-N 1 2 317.683 1.490 20 30 DDEDLO COc1ccc(C(F)(F)N2CC[NH2+]C[C@H]2C#N)nc1Cl ZINC001164651939 869340893 /nfs/dbraw/zinc/34/08/93/869340893.db2.gz NAMKPXCNWVTTLW-MRVPVSSYSA-N 1 2 302.712 1.590 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1c1nc2ccccc2nc1N1CCCC1 ZINC001164660455 869344729 /nfs/dbraw/zinc/34/47/29/869344729.db2.gz DEGLISFWDZPKPZ-CYBMUJFWSA-N 1 2 308.389 1.532 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)CN(c3ccccc3)C2=O)C1 ZINC001337636142 869575983 /nfs/dbraw/zinc/57/59/83/869575983.db2.gz KNNIMGYCPIMVOG-HNNXBMFYSA-N 1 2 315.373 1.690 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)CN(c3ccccc3)C2=O)C1 ZINC001337636142 869575988 /nfs/dbraw/zinc/57/59/88/869575988.db2.gz KNNIMGYCPIMVOG-HNNXBMFYSA-N 1 2 315.373 1.690 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)[C@@H]1C ZINC001337903137 869679036 /nfs/dbraw/zinc/67/90/36/869679036.db2.gz ALVASCPBOBDGRZ-GXTWGEPZSA-N 1 2 304.394 1.034 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H]1C ZINC001338017326 869746648 /nfs/dbraw/zinc/74/66/48/869746648.db2.gz MJIGZNUVXHTFRM-GXTWGEPZSA-N 1 2 318.421 1.660 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)c2cccc3cc[nH]c32)CC1 ZINC001316968369 870011871 /nfs/dbraw/zinc/01/18/71/870011871.db2.gz NPNMUTKJBZWOME-UHFFFAOYSA-N 1 2 324.428 1.539 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001316992163 870084673 /nfs/dbraw/zinc/08/46/73/870084673.db2.gz OHYVOANNBXCZSF-CABCVRRESA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001316992163 870084678 /nfs/dbraw/zinc/08/46/78/870084678.db2.gz OHYVOANNBXCZSF-CABCVRRESA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001298141107 870307920 /nfs/dbraw/zinc/30/79/20/870307920.db2.gz ABURFAHMXJXVHW-JSGCOSHPSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCC(OC)CC1 ZINC001339091518 870343016 /nfs/dbraw/zinc/34/30/16/870343016.db2.gz WBLFGBACAPRZNO-UHFFFAOYSA-N 1 2 316.409 1.404 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@@H](CCC)C(C)C)C1 ZINC001276439470 870928262 /nfs/dbraw/zinc/92/82/62/870928262.db2.gz DTIRDUSSZQEJPR-HOCLYGCPSA-N 1 2 321.465 1.245 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCC[C@H](O)C3)n2CC)CC1 ZINC001340274273 871001498 /nfs/dbraw/zinc/00/14/98/871001498.db2.gz MYXXLAXRFCPEED-CABCVRRESA-N 1 2 317.437 1.072 20 30 DDEDLO C=C(C)C[NH+]1CC([C@@H](C)NC(=O)CS(=O)(=O)C(C)(C)C)C1 ZINC001276454737 871035528 /nfs/dbraw/zinc/03/55/28/871035528.db2.gz ZMMBHMCACDRQTB-GFCCVEGCSA-N 1 2 316.467 1.212 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC2(CCC2)C1 ZINC001340375461 871068258 /nfs/dbraw/zinc/06/82/58/871068258.db2.gz SIXAVMMZGBZQTC-ZIAGYGMSSA-N 1 2 317.437 1.582 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC2(CCC2)C1 ZINC001340375461 871068271 /nfs/dbraw/zinc/06/82/71/871068271.db2.gz SIXAVMMZGBZQTC-ZIAGYGMSSA-N 1 2 317.437 1.582 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)C(C)(F)F)C1 ZINC001205067184 871347739 /nfs/dbraw/zinc/34/77/39/871347739.db2.gz NLZWSWZEWMKWHL-HUUCEWRRSA-N 1 2 322.355 1.349 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)C(C)(F)F)C1 ZINC001205067184 871347761 /nfs/dbraw/zinc/34/77/61/871347761.db2.gz NLZWSWZEWMKWHL-HUUCEWRRSA-N 1 2 322.355 1.349 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[N@H+](C)Cc1ccc(OC)nn1 ZINC001317460520 871349220 /nfs/dbraw/zinc/34/92/20/871349220.db2.gz YVQDZBRFWMTIJS-MRXNPFEDSA-N 1 2 306.410 1.636 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[N@@H+](C)Cc1ccc(OC)nn1 ZINC001317460520 871349236 /nfs/dbraw/zinc/34/92/36/871349236.db2.gz YVQDZBRFWMTIJS-MRXNPFEDSA-N 1 2 306.410 1.636 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CCC(c2c[nH+]cn2C)CC1 ZINC001341078633 871494062 /nfs/dbraw/zinc/49/40/62/871494062.db2.gz FVZWNDRQXXBMBQ-HNNXBMFYSA-N 1 2 318.421 1.597 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001317818505 871543724 /nfs/dbraw/zinc/54/37/24/871543724.db2.gz COTRJQFCOHRYOX-JKSUJKDBSA-N 1 2 320.481 1.658 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001205502220 871591638 /nfs/dbraw/zinc/59/16/38/871591638.db2.gz LFNDNFIRACGDME-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001205502220 871591645 /nfs/dbraw/zinc/59/16/45/871591645.db2.gz LFNDNFIRACGDME-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H]2CC=CCC2)C1 ZINC001317979394 871668289 /nfs/dbraw/zinc/66/82/89/871668289.db2.gz KCLCSVBETUUVKJ-CVEARBPZSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@@H]2CC=CCC2)C1 ZINC001317979394 871668294 /nfs/dbraw/zinc/66/82/94/871668294.db2.gz KCLCSVBETUUVKJ-CVEARBPZSA-N 1 2 319.449 1.616 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1C[NH+](CCOc2ccc(C)cc2)C1 ZINC001318018886 871692921 /nfs/dbraw/zinc/69/29/21/871692921.db2.gz UCSZHGJXNXKQKO-GOSISDBHSA-N 1 2 318.417 1.501 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N(C)[C@H](C)CC#N ZINC001341868464 871867776 /nfs/dbraw/zinc/86/77/76/871867776.db2.gz OMJOOZYJHNZISU-CYBMUJFWSA-N 1 2 313.409 1.917 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001318309034 871920978 /nfs/dbraw/zinc/92/09/78/871920978.db2.gz DZOYKHGRMAFKLC-AWEZNQCLSA-N 1 2 307.394 1.575 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001318309034 871920982 /nfs/dbraw/zinc/92/09/82/871920982.db2.gz DZOYKHGRMAFKLC-AWEZNQCLSA-N 1 2 307.394 1.575 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCCCC)C1 ZINC001318334303 871942611 /nfs/dbraw/zinc/94/26/11/871942611.db2.gz VZZCXIQYTQBOEN-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@H+](CC(=O)NCCCC)C1 ZINC001318334303 871942620 /nfs/dbraw/zinc/94/26/20/871942620.db2.gz VZZCXIQYTQBOEN-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@]13C[C@H]1CCC3)C2 ZINC001316806811 872075946 /nfs/dbraw/zinc/07/59/46/872075946.db2.gz ZZNMUNKHJWJVNS-SJKOYZFVSA-N 1 2 315.442 1.941 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C[C@@H](C)OC)C1 ZINC001206517987 872404999 /nfs/dbraw/zinc/40/49/99/872404999.db2.gz JZFUAABXHXQVSK-YUSALJHKSA-N 1 2 319.243 1.757 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C[C@@H](C)OC)C1 ZINC001206517987 872405006 /nfs/dbraw/zinc/40/50/06/872405006.db2.gz JZFUAABXHXQVSK-YUSALJHKSA-N 1 2 319.243 1.757 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@@H+](Cc2cnn(C)n2)C2CC2)C1 ZINC001316923550 872379031 /nfs/dbraw/zinc/37/90/31/872379031.db2.gz SNBLVHZVDVQHON-UHFFFAOYSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@H+](Cc2cnn(C)n2)C2CC2)C1 ZINC001316923550 872379044 /nfs/dbraw/zinc/37/90/44/872379044.db2.gz SNBLVHZVDVQHON-UHFFFAOYSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC2CC2)C1 ZINC001316949095 872445335 /nfs/dbraw/zinc/44/53/35/872445335.db2.gz LAAFXGOWDYPYRZ-GDBMZVCRSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001316949095 872445341 /nfs/dbraw/zinc/44/53/41/872445341.db2.gz LAAFXGOWDYPYRZ-GDBMZVCRSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)Cc2ccc3c(c2)COC3)C1 ZINC001319330220 872580216 /nfs/dbraw/zinc/58/02/16/872580216.db2.gz PWTUNQKGSMQXEQ-KRWDZBQOSA-N 1 2 316.401 1.262 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)Cc2ccc3c(c2)COC3)C1 ZINC001319330220 872580228 /nfs/dbraw/zinc/58/02/28/872580228.db2.gz PWTUNQKGSMQXEQ-KRWDZBQOSA-N 1 2 316.401 1.262 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001206963416 872854046 /nfs/dbraw/zinc/85/40/46/872854046.db2.gz DGAQQLGGCFRDHQ-BYCMXARLSA-N 1 2 319.405 1.266 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001206963416 872854051 /nfs/dbraw/zinc/85/40/51/872854051.db2.gz DGAQQLGGCFRDHQ-BYCMXARLSA-N 1 2 319.405 1.266 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001344145633 872878659 /nfs/dbraw/zinc/87/86/59/872878659.db2.gz FMMKGMGIVPENJN-AWEZNQCLSA-N 1 2 304.394 1.368 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001344145633 872878667 /nfs/dbraw/zinc/87/86/67/872878667.db2.gz FMMKGMGIVPENJN-AWEZNQCLSA-N 1 2 304.394 1.368 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001344548547 873035162 /nfs/dbraw/zinc/03/51/62/873035162.db2.gz HIYSNBMHKIMPHD-IAGOWNOFSA-N 1 2 315.417 1.327 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001344548547 873035176 /nfs/dbraw/zinc/03/51/76/873035176.db2.gz HIYSNBMHKIMPHD-IAGOWNOFSA-N 1 2 315.417 1.327 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@]2(CC)CCNC2=O)C1 ZINC001207611743 873416946 /nfs/dbraw/zinc/41/69/46/873416946.db2.gz XLKAQZYNRZWFIP-IXPVHAAZSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@]2(CC)CCNC2=O)C1 ZINC001207611743 873416949 /nfs/dbraw/zinc/41/69/49/873416949.db2.gz XLKAQZYNRZWFIP-IXPVHAAZSA-N 1 2 313.829 1.092 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001207943515 873674599 /nfs/dbraw/zinc/67/45/99/873674599.db2.gz ADBLSWHZUILLKA-JSGCOSHPSA-N 1 2 304.394 1.823 20 30 DDEDLO C=CCOCC[NH2+][C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001208083332 873821429 /nfs/dbraw/zinc/82/14/29/873821429.db2.gz CMMPJURQQJRLAM-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCOCC[NH2+][C@@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001208083332 873821435 /nfs/dbraw/zinc/82/14/35/873821435.db2.gz CMMPJURQQJRLAM-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C#CCN(C)c1nnc(C[NH+]2CCCCC2)n1C[C@H]1CCOC1 ZINC001346623620 873828175 /nfs/dbraw/zinc/82/81/75/873828175.db2.gz TVBWXLGVCYYFPI-OAHLLOKOSA-N 1 2 317.437 1.370 20 30 DDEDLO C=CCNC(=O)N1CC[C@H]2[C@@H]1CC[N@H+]2Cc1nccn1CC ZINC001277173164 882769062 /nfs/dbraw/zinc/76/90/62/882769062.db2.gz XBLHSQHVKDLHGO-KBPBESRZSA-N 1 2 303.410 1.447 20 30 DDEDLO C=CCNC(=O)N1CC[C@H]2[C@@H]1CC[N@@H+]2Cc1nccn1CC ZINC001277173164 882769068 /nfs/dbraw/zinc/76/90/68/882769068.db2.gz XBLHSQHVKDLHGO-KBPBESRZSA-N 1 2 303.410 1.447 20 30 DDEDLO C=C(CC(C)C)C(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC001346941434 873944450 /nfs/dbraw/zinc/94/44/50/873944450.db2.gz POPJCAXRKXNEKO-UHFFFAOYSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCCCCN1CCn2cc(CN3CC[NH+](C)CC3)nc2C1 ZINC001209202369 874725579 /nfs/dbraw/zinc/72/55/79/874725579.db2.gz BSKYKBZTTUNADO-UHFFFAOYSA-N 1 2 317.481 1.802 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1CCCC1OCCO1 ZINC001209301411 874787601 /nfs/dbraw/zinc/78/76/01/874787601.db2.gz MVDWTLYOBOGPAJ-AWEZNQCLSA-N 1 2 322.409 1.070 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1CCCC1OCCO1 ZINC001209301411 874787612 /nfs/dbraw/zinc/78/76/12/874787612.db2.gz MVDWTLYOBOGPAJ-AWEZNQCLSA-N 1 2 322.409 1.070 20 30 DDEDLO COc1cc(C[NH+]2CCC(NC(=O)C#CC(C)(C)C)CC2)on1 ZINC001227280591 882951144 /nfs/dbraw/zinc/95/11/44/882951144.db2.gz IWHWFUQOFYCFRV-UHFFFAOYSA-N 1 2 319.405 1.813 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+]([C@@H](C)c2nc(C)no2)CC1 ZINC001227299619 882963104 /nfs/dbraw/zinc/96/31/04/882963104.db2.gz VZGXAZRSSDAJDD-LBPRGKRZSA-N 1 2 320.393 1.060 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001350711151 875850109 /nfs/dbraw/zinc/85/01/09/875850109.db2.gz JKXXRDNQZJLTMB-RHSMWYFYSA-N 1 2 318.421 1.545 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+](Cc2nc(C)c(C)o2)CC1 ZINC001227332363 882972706 /nfs/dbraw/zinc/97/27/06/882972706.db2.gz OCERIXQJBKMBFL-UHFFFAOYSA-N 1 2 319.405 1.412 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001214528954 876378159 /nfs/dbraw/zinc/37/81/59/876378159.db2.gz FSIVILVJMZJHLG-TZMCWYRMSA-N 1 2 316.405 1.687 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001215365248 876678503 /nfs/dbraw/zinc/67/85/03/876678503.db2.gz GRFQYSAAWNCLJI-YRGRVCCFSA-N 1 2 306.410 1.917 20 30 DDEDLO CCC[C@H](CC)C(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001218852084 877766470 /nfs/dbraw/zinc/76/64/70/877766470.db2.gz PUERVHHIRSDSNU-YESZJQIVSA-N 1 2 322.449 1.374 20 30 DDEDLO CCC[C@H](CC)C(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001218852084 877766477 /nfs/dbraw/zinc/76/64/77/877766477.db2.gz PUERVHHIRSDSNU-YESZJQIVSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC1(C(=O)N[C@H](C)CNC(=O)CCn2cc[nH+]c2)CCC1 ZINC001354581863 878086174 /nfs/dbraw/zinc/08/61/74/878086174.db2.gz QDVSIEMWMVCQQN-CQSZACIVSA-N 1 2 316.405 1.088 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@H]2CCCS2)CC1 ZINC001300685089 878137529 /nfs/dbraw/zinc/13/75/29/878137529.db2.gz FYTSBZFSAGLORC-CQSZACIVSA-N 1 2 305.451 1.237 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@@H]1O ZINC001219379442 878160992 /nfs/dbraw/zinc/16/09/92/878160992.db2.gz TVHCDUTZCCWHGL-GDLCADMTSA-N 1 2 307.781 1.161 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@@H]1O ZINC001219379442 878160998 /nfs/dbraw/zinc/16/09/98/878160998.db2.gz TVHCDUTZCCWHGL-GDLCADMTSA-N 1 2 307.781 1.161 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001354861411 878281471 /nfs/dbraw/zinc/28/14/71/878281471.db2.gz OFAIRRVTUFGCAQ-AWEZNQCLSA-N 1 2 318.421 1.450 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@@H]1O ZINC001219689625 878451550 /nfs/dbraw/zinc/45/15/50/878451550.db2.gz AUWIDKJJLRPPGP-CVEARBPZSA-N 1 2 319.405 1.413 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@@H]1O ZINC001219689625 878451555 /nfs/dbraw/zinc/45/15/55/878451555.db2.gz AUWIDKJJLRPPGP-CVEARBPZSA-N 1 2 319.405 1.413 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@]3(C)CCC=CO3)n2C)CC1 ZINC001355451127 878560808 /nfs/dbraw/zinc/56/08/08/878560808.db2.gz IIFWWJIMMRPCMS-INIZCTEOSA-N 1 2 301.394 1.110 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220133481 878723831 /nfs/dbraw/zinc/72/38/31/878723831.db2.gz BKHVPEWFDFNAAA-KGLIPLIRSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220133481 878723843 /nfs/dbraw/zinc/72/38/43/878723843.db2.gz BKHVPEWFDFNAAA-KGLIPLIRSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)nsc2C)C[C@@H]1O ZINC001220141464 878727861 /nfs/dbraw/zinc/72/78/61/878727861.db2.gz SNNFIMHXDYVJSE-KGLIPLIRSA-N 1 2 323.462 1.633 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)nsc2C)C[C@@H]1O ZINC001220141464 878727872 /nfs/dbraw/zinc/72/78/72/878727872.db2.gz SNNFIMHXDYVJSE-KGLIPLIRSA-N 1 2 323.462 1.633 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001287710957 912411880 /nfs/dbraw/zinc/41/18/80/912411880.db2.gz UIODAOLCZCPOIF-GUYCJALGSA-N 1 2 320.437 1.908 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001287710957 912411888 /nfs/dbraw/zinc/41/18/88/912411888.db2.gz UIODAOLCZCPOIF-GUYCJALGSA-N 1 2 320.437 1.908 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC)Cc2cccc(C)c2)[C@@H](O)C1 ZINC001220496942 879063163 /nfs/dbraw/zinc/06/31/63/879063163.db2.gz RGRKJTWVHLPDMV-KSZLIROESA-N 1 2 314.429 1.358 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)Cc2cccc(C)c2)[C@@H](O)C1 ZINC001220496942 879063177 /nfs/dbraw/zinc/06/31/77/879063177.db2.gz RGRKJTWVHLPDMV-KSZLIROESA-N 1 2 314.429 1.358 20 30 DDEDLO C#CCCCC(=O)NC[C@H](NC(=O)Cc1[nH]c[nH+]c1C)C(C)C ZINC001357093672 879782059 /nfs/dbraw/zinc/78/20/59/879782059.db2.gz SLURHZSUQMVUGK-HNNXBMFYSA-N 1 2 318.421 1.321 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@@H+](Cc3cnc(C)nc3)C[C@H]21 ZINC001221441738 879809164 /nfs/dbraw/zinc/80/91/64/879809164.db2.gz RYAXESMLVAWDBP-HZPDHXFCSA-N 1 2 316.405 1.020 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@H+](Cc3cnc(C)nc3)C[C@H]21 ZINC001221441738 879809180 /nfs/dbraw/zinc/80/91/80/879809180.db2.gz RYAXESMLVAWDBP-HZPDHXFCSA-N 1 2 316.405 1.020 20 30 DDEDLO C=CCC(CC=C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC)C[C@H]21 ZINC001221573360 879950089 /nfs/dbraw/zinc/95/00/89/879950089.db2.gz BTPLWNYDWUQJKY-HZPDHXFCSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCC(CC=C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC)C[C@H]21 ZINC001221573360 879950092 /nfs/dbraw/zinc/95/00/92/879950092.db2.gz BTPLWNYDWUQJKY-HZPDHXFCSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C(C)(C)C=C)[C@@H]2C1 ZINC001221731664 880030878 /nfs/dbraw/zinc/03/08/78/880030878.db2.gz NGKPTOHNPGMNOP-ZIAGYGMSSA-N 1 2 305.422 1.034 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(C)C=C)[C@@H]2C1 ZINC001221731664 880030889 /nfs/dbraw/zinc/03/08/89/880030889.db2.gz NGKPTOHNPGMNOP-ZIAGYGMSSA-N 1 2 305.422 1.034 20 30 DDEDLO C=CCCC(=O)NC/C=C/CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001357654897 880119020 /nfs/dbraw/zinc/11/90/20/880119020.db2.gz QDDNCAQIXZPPHX-IJWDBEHRSA-N 1 2 302.378 1.268 20 30 DDEDLO C=CCCC(=O)NC/C=C/CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001357654897 880119026 /nfs/dbraw/zinc/11/90/26/880119026.db2.gz QDDNCAQIXZPPHX-IJWDBEHRSA-N 1 2 302.378 1.268 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3ccns3)C[C@H]21 ZINC001222071452 880216918 /nfs/dbraw/zinc/21/69/18/880216918.db2.gz ACUKRLDFDZIABZ-GZBFAFLISA-N 1 2 321.446 1.767 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccns3)C[C@H]21 ZINC001222071452 880216933 /nfs/dbraw/zinc/21/69/33/880216933.db2.gz ACUKRLDFDZIABZ-GZBFAFLISA-N 1 2 321.446 1.767 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)[C@H]2CC2(C)C)C1 ZINC001380728680 880286987 /nfs/dbraw/zinc/28/69/87/880286987.db2.gz HLIIAVUYTVCCSX-IUODEOHRSA-N 1 2 300.830 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)[C@H]2CC2(C)C)C1 ZINC001380728680 880287004 /nfs/dbraw/zinc/28/70/04/880287004.db2.gz HLIIAVUYTVCCSX-IUODEOHRSA-N 1 2 300.830 1.728 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3ncnn3C)[C@H]2C1 ZINC001222613500 880600619 /nfs/dbraw/zinc/60/06/19/880600619.db2.gz AYUIYXLUEPLEPM-CABCVRRESA-N 1 2 315.421 1.041 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3ncnn3C)[C@H]2C1 ZINC001222613500 880600623 /nfs/dbraw/zinc/60/06/23/880600623.db2.gz AYUIYXLUEPLEPM-CABCVRRESA-N 1 2 315.421 1.041 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]1CNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001358618024 880630357 /nfs/dbraw/zinc/63/03/57/880630357.db2.gz CFTSEGRNNYIPPL-KGLIPLIRSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001358627282 880640568 /nfs/dbraw/zinc/64/05/68/880640568.db2.gz FSAQEOHTBNICBM-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001358627282 880640576 /nfs/dbraw/zinc/64/05/76/880640576.db2.gz FSAQEOHTBNICBM-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCC(=O)NCC1(NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC001358658162 880710424 /nfs/dbraw/zinc/71/04/24/880710424.db2.gz JBQKOWAUABBKGB-ZDUSSCGKSA-N 1 2 316.405 1.157 20 30 DDEDLO C#CCCCC(=O)NCC1(NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC001358658162 880710434 /nfs/dbraw/zinc/71/04/34/880710434.db2.gz JBQKOWAUABBKGB-ZDUSSCGKSA-N 1 2 316.405 1.157 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001276900893 881007495 /nfs/dbraw/zinc/00/74/95/881007495.db2.gz SMPKQRCJDFMPSU-HUUCEWRRSA-N 1 2 321.465 1.789 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001276900893 881007514 /nfs/dbraw/zinc/00/75/14/881007514.db2.gz SMPKQRCJDFMPSU-HUUCEWRRSA-N 1 2 321.465 1.789 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)C)n2C[C@@H](C)OC)CC1 ZINC001359028836 881158922 /nfs/dbraw/zinc/15/89/22/881158922.db2.gz FXJCHCNMLNBAOJ-CQSZACIVSA-N 1 2 319.453 1.366 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CCC3)n2CC(C)(C)O)CC1 ZINC001359035057 881163328 /nfs/dbraw/zinc/16/33/28/881163328.db2.gz JVUJJSGPOSMSQY-UHFFFAOYSA-N 1 2 317.437 1.072 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2CC(C)(C)OC)CC1 ZINC001359036150 881165404 /nfs/dbraw/zinc/16/54/04/881165404.db2.gz OMXDCLSAKYVBAK-UHFFFAOYSA-N 1 2 305.426 1.021 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(F)cc(F)c1 ZINC001276963730 881325921 /nfs/dbraw/zinc/32/59/21/881325921.db2.gz LTLCAJNUOGACCF-HNNXBMFYSA-N 1 2 308.328 1.419 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(F)cc(F)c1 ZINC001276963730 881325941 /nfs/dbraw/zinc/32/59/41/881325941.db2.gz LTLCAJNUOGACCF-HNNXBMFYSA-N 1 2 308.328 1.419 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001288048008 912693354 /nfs/dbraw/zinc/69/33/54/912693354.db2.gz SWHMYYVZTBHBCB-KBPBESRZSA-N 1 2 318.421 1.496 20 30 DDEDLO C#CC(C#C)Oc1nc(=O)[nH]c(CN2CCCC2=[NH2+])c1Cl ZINC001230093316 884314453 /nfs/dbraw/zinc/31/44/53/884314453.db2.gz RJAOOCQLTWBKFG-UHFFFAOYSA-N 1 2 304.737 1.422 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230629461 884669281 /nfs/dbraw/zinc/66/92/81/884669281.db2.gz OVFTVBSVXNPYTC-GDBMZVCRSA-N 1 2 305.422 1.804 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230629461 884669287 /nfs/dbraw/zinc/66/92/87/884669287.db2.gz OVFTVBSVXNPYTC-GDBMZVCRSA-N 1 2 305.422 1.804 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccccc1C#N)C(=O)Cn1cccn1 ZINC001230772603 884861426 /nfs/dbraw/zinc/86/14/26/884861426.db2.gz IFPPVDXEYHPWHM-QGZVFWFLSA-N 1 2 323.400 1.488 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccccc1C#N)C(=O)Cn1cccn1 ZINC001230772603 884861443 /nfs/dbraw/zinc/86/14/43/884861443.db2.gz IFPPVDXEYHPWHM-QGZVFWFLSA-N 1 2 323.400 1.488 20 30 DDEDLO COc1cc(C#N)ccc1CN1CC([NH+]2C[C@H](C)O[C@@H](C)C2)C1 ZINC001231621876 885766873 /nfs/dbraw/zinc/76/68/73/885766873.db2.gz KYOJIIIGHGZHDL-KBPBESRZSA-N 1 2 315.417 1.860 20 30 DDEDLO C[C@H](CC(=O)NC[C@@H]1C[C@@H](NC(=O)[C@@H](C)C#N)C1)n1cc[nH+]c1 ZINC001383504878 885910824 /nfs/dbraw/zinc/91/08/24/885910824.db2.gz ZKIIRCJNIGEEOO-CRWXNKLISA-N 1 2 317.393 1.005 20 30 DDEDLO CCOC(=O)C1(C#N)CC[NH+](Cc2ccc(N)nc2C)CC1 ZINC001232341899 886259341 /nfs/dbraw/zinc/25/93/41/886259341.db2.gz GGZZYUGLBVIQAG-UHFFFAOYSA-N 1 2 302.378 1.641 20 30 DDEDLO N#Cc1cccc(CN2CCc3onc(Cn4cc[nH+]c4)c3C2)n1 ZINC001232923303 886674147 /nfs/dbraw/zinc/67/41/47/886674147.db2.gz SYJDTHYHWBYIKA-UHFFFAOYSA-N 1 2 320.356 1.744 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(O)c(O)c(O)c2)C1 ZINC001233017009 886732056 /nfs/dbraw/zinc/73/20/56/886732056.db2.gz BZXLRBBSEWCKHX-GFCCVEGCSA-N 1 2 322.361 1.680 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2cc(O)c(O)c(O)c2)C1 ZINC001233017009 886732064 /nfs/dbraw/zinc/73/20/64/886732064.db2.gz BZXLRBBSEWCKHX-GFCCVEGCSA-N 1 2 322.361 1.680 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1CCC(NC(=O)c2ccccn2)CC1 ZINC001233048591 886757988 /nfs/dbraw/zinc/75/79/88/886757988.db2.gz IQGMACJWKPJPKY-UHFFFAOYSA-N 1 2 309.373 1.676 20 30 DDEDLO C[N@@H+]1CCO[C@@H](COc2ncc(C(F)(F)F)cc2C#N)C1 ZINC001233512581 887060585 /nfs/dbraw/zinc/06/05/85/887060585.db2.gz VMBQLCZJWKCFSW-LLVKDONJSA-N 1 2 301.268 1.681 20 30 DDEDLO C[N@H+]1CCO[C@@H](COc2ncc(C(F)(F)F)cc2C#N)C1 ZINC001233512581 887060599 /nfs/dbraw/zinc/06/05/99/887060599.db2.gz VMBQLCZJWKCFSW-LLVKDONJSA-N 1 2 301.268 1.681 20 30 DDEDLO C/C=C(\C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CCC#N ZINC001233548800 887098675 /nfs/dbraw/zinc/09/86/75/887098675.db2.gz HSISKJAMBFLSAT-JVDKPVQESA-N 1 2 320.437 1.247 20 30 DDEDLO C/C=C(\C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CCC#N ZINC001233548800 887098681 /nfs/dbraw/zinc/09/86/81/887098681.db2.gz HSISKJAMBFLSAT-JVDKPVQESA-N 1 2 320.437 1.247 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C=C(CC)CC ZINC001233612827 887153229 /nfs/dbraw/zinc/15/32/29/887153229.db2.gz ZFAUVNZHLSKZFH-GDBMZVCRSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C=C(CC)CC ZINC001233612827 887153239 /nfs/dbraw/zinc/15/32/39/887153239.db2.gz ZFAUVNZHLSKZFH-GDBMZVCRSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCC=C ZINC001233801002 887335162 /nfs/dbraw/zinc/33/51/62/887335162.db2.gz LOHWSPZYQVBVBF-CVEARBPZSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCC=C ZINC001233801002 887335178 /nfs/dbraw/zinc/33/51/78/887335178.db2.gz LOHWSPZYQVBVBF-CVEARBPZSA-N 1 2 319.449 1.403 20 30 DDEDLO CC(F)(F)CN1CC[NH+](C[C@H](O)c2ccc(C#N)cc2)CC1 ZINC001363838716 887359962 /nfs/dbraw/zinc/35/99/62/887359962.db2.gz XLBZFDUNBVTZNX-HNNXBMFYSA-N 1 2 309.360 1.864 20 30 DDEDLO CCCC[C@@H](C(N)=O)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234169399 887706631 /nfs/dbraw/zinc/70/66/31/887706631.db2.gz FFZMKESAOOGITR-CABCVRRESA-N 1 2 307.438 1.223 20 30 DDEDLO CCCC[C@@H](C(N)=O)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234169399 887706646 /nfs/dbraw/zinc/70/66/46/887706646.db2.gz FFZMKESAOOGITR-CABCVRRESA-N 1 2 307.438 1.223 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001234516966 888047191 /nfs/dbraw/zinc/04/71/91/888047191.db2.gz HPBHZQGFQPVVOC-KRWDZBQOSA-N 1 2 304.434 1.937 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001234516966 888047201 /nfs/dbraw/zinc/04/72/01/888047201.db2.gz HPBHZQGFQPVVOC-KRWDZBQOSA-N 1 2 304.434 1.937 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](CC)C(N)=O ZINC001234555940 888090351 /nfs/dbraw/zinc/09/03/51/888090351.db2.gz ZLRQCHYEUMOZOB-RYUDHWBXSA-N 1 2 315.845 1.562 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](CC)C(N)=O ZINC001234555940 888090367 /nfs/dbraw/zinc/09/03/67/888090367.db2.gz ZLRQCHYEUMOZOB-RYUDHWBXSA-N 1 2 315.845 1.562 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)[nH]nc1Cl ZINC001235103436 888409413 /nfs/dbraw/zinc/40/94/13/888409413.db2.gz BHQKKLYKIBPSRW-GFCCVEGCSA-N 1 2 324.812 1.168 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)[nH]nc1Cl ZINC001235103436 888409419 /nfs/dbraw/zinc/40/94/19/888409419.db2.gz BHQKKLYKIBPSRW-GFCCVEGCSA-N 1 2 324.812 1.168 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@@H](C)C(=O)N(C)C)CCC1 ZINC001235227485 888490985 /nfs/dbraw/zinc/49/09/85/888490985.db2.gz URUJNRMLUBXIFE-GJZGRUSLSA-N 1 2 319.449 1.189 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@@H](C)C(=O)N(C)C)CCC1 ZINC001235227485 888490994 /nfs/dbraw/zinc/49/09/94/888490994.db2.gz URUJNRMLUBXIFE-GJZGRUSLSA-N 1 2 319.449 1.189 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nnc(C(C)C)[nH]1 ZINC001235698948 888897144 /nfs/dbraw/zinc/89/71/44/888897144.db2.gz QGQJOJDRGVYCKF-UHFFFAOYSA-N 1 2 309.414 1.069 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nnc(C(C)C)[nH]1 ZINC001235698948 888897159 /nfs/dbraw/zinc/89/71/59/888897159.db2.gz QGQJOJDRGVYCKF-UHFFFAOYSA-N 1 2 309.414 1.069 20 30 DDEDLO CC[N@H+](Cc1cn(CC(N)=O)nn1)[C@@H](C)c1ccc(C#N)cc1 ZINC001364682296 889186085 /nfs/dbraw/zinc/18/60/85/889186085.db2.gz PHRHDOPTFFPBST-LBPRGKRZSA-N 1 2 312.377 1.218 20 30 DDEDLO CC[N@@H+](Cc1cn(CC(N)=O)nn1)[C@@H](C)c1ccc(C#N)cc1 ZINC001364682296 889186090 /nfs/dbraw/zinc/18/60/90/889186090.db2.gz PHRHDOPTFFPBST-LBPRGKRZSA-N 1 2 312.377 1.218 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](CO)[NH2+]Cc1ncc(Cl)s1 ZINC001278072626 889561212 /nfs/dbraw/zinc/56/12/12/889561212.db2.gz UMFLHIQUKYTIHI-JTQLQIEISA-N 1 2 315.826 1.167 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+](Cc2cn3cc(C#N)ccc3n2)C1 ZINC001237611245 889754980 /nfs/dbraw/zinc/75/49/80/889754980.db2.gz OPZSUWWCHFUOLV-CQSZACIVSA-N 1 2 311.389 1.697 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+](Cc2cn3cc(C#N)ccc3n2)C1 ZINC001237611245 889754989 /nfs/dbraw/zinc/75/49/89/889754989.db2.gz OPZSUWWCHFUOLV-CQSZACIVSA-N 1 2 311.389 1.697 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@@H+](Cc2nccc(C)n2)C1 ZINC001278450016 892649893 /nfs/dbraw/zinc/64/98/93/892649893.db2.gz LHQQOQUBFLGVMG-KRWDZBQOSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@H+](Cc2nccc(C)n2)C1 ZINC001278450016 892649900 /nfs/dbraw/zinc/64/99/00/892649900.db2.gz LHQQOQUBFLGVMG-KRWDZBQOSA-N 1 2 318.421 1.458 20 30 DDEDLO COC(=O)N1CCC[N@H+](Cc2cnn3ccc(C#N)cc23)CC1 ZINC001249086508 893813235 /nfs/dbraw/zinc/81/32/35/893813235.db2.gz GBVJUMOMAFYRQY-UHFFFAOYSA-N 1 2 313.361 1.480 20 30 DDEDLO COC(=O)N1CCC[N@@H+](Cc2cnn3ccc(C#N)cc23)CC1 ZINC001249086508 893813258 /nfs/dbraw/zinc/81/32/58/893813258.db2.gz GBVJUMOMAFYRQY-UHFFFAOYSA-N 1 2 313.361 1.480 20 30 DDEDLO C[C@H]1C[C@H](C(=O)NCC[N@H+](C)Cc2ccc(C#N)cc2F)CO1 ZINC001366544411 893958231 /nfs/dbraw/zinc/95/82/31/893958231.db2.gz HEZLTTXHKJTYDX-WFASDCNBSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@H]1C[C@H](C(=O)NCC[N@@H+](C)Cc2ccc(C#N)cc2F)CO1 ZINC001366544411 893958239 /nfs/dbraw/zinc/95/82/39/893958239.db2.gz HEZLTTXHKJTYDX-WFASDCNBSA-N 1 2 319.380 1.670 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001366638086 894396023 /nfs/dbraw/zinc/39/60/23/894396023.db2.gz HCLBYCURISCRGE-GFCCVEGCSA-N 1 2 310.829 1.705 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001366638086 894396038 /nfs/dbraw/zinc/39/60/38/894396038.db2.gz HCLBYCURISCRGE-GFCCVEGCSA-N 1 2 310.829 1.705 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCn2cnc(COCC3CC3)c2C1 ZINC001252596543 895301530 /nfs/dbraw/zinc/30/15/30/895301530.db2.gz QKXWLOONXLIJOK-OAHLLOKOSA-N 1 2 305.422 1.952 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCn2cnc(COCC3CC3)c2C1 ZINC001252596543 895301545 /nfs/dbraw/zinc/30/15/45/895301545.db2.gz QKXWLOONXLIJOK-OAHLLOKOSA-N 1 2 305.422 1.952 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@@H+]([C@@H]2CC[C@@H](C#N)C2)C[C@@H]1CO ZINC001254667256 896566570 /nfs/dbraw/zinc/56/65/70/896566570.db2.gz BPFOIQQUIXHIBW-MGPQQGTHSA-N 1 2 309.410 1.592 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@H+]([C@@H]2CC[C@@H](C#N)C2)C[C@@H]1CO ZINC001254667256 896566576 /nfs/dbraw/zinc/56/65/76/896566576.db2.gz BPFOIQQUIXHIBW-MGPQQGTHSA-N 1 2 309.410 1.592 20 30 DDEDLO C=CCOC[C@@H]1C[N@@H+]([C@@H](C)COC)Cc2nnn(CC3CC3)c21 ZINC001255574427 897019486 /nfs/dbraw/zinc/01/94/86/897019486.db2.gz ZGKAABNAYHGHBF-ZFWWWQNUSA-N 1 2 320.437 1.825 20 30 DDEDLO C=CCOC[C@@H]1C[N@H+]([C@@H](C)COC)Cc2nnn(CC3CC3)c21 ZINC001255574427 897019516 /nfs/dbraw/zinc/01/95/16/897019516.db2.gz ZGKAABNAYHGHBF-ZFWWWQNUSA-N 1 2 320.437 1.825 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@H]2CCCc3ccccc32)C1 ZINC001278884091 897108746 /nfs/dbraw/zinc/10/87/46/897108746.db2.gz YYMRJMXMOTXYEB-KRWDZBQOSA-N 1 2 314.429 1.846 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)CC(F)(F)F)C(C)C ZINC001278892923 897144980 /nfs/dbraw/zinc/14/49/80/897144980.db2.gz BOYWOKVSTSOSFZ-UHFFFAOYSA-N 1 2 323.359 1.410 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)CC(F)(F)F)C(C)C ZINC001278892923 897144984 /nfs/dbraw/zinc/14/49/84/897144984.db2.gz BOYWOKVSTSOSFZ-UHFFFAOYSA-N 1 2 323.359 1.410 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(O)C[NH+](CCc2cccs2)C1 ZINC001278896465 897163828 /nfs/dbraw/zinc/16/38/28/897163828.db2.gz YVGKDXNGCGGNLB-UHFFFAOYSA-N 1 2 308.447 1.666 20 30 DDEDLO C=CC[C@@H]([NH2+]C1CCN(C(=O)C(F)(F)F)CC1)C(=O)OC ZINC001255975439 897178101 /nfs/dbraw/zinc/17/81/01/897178101.db2.gz SIRBNGUAOOEVMM-SNVBAGLBSA-N 1 2 308.300 1.247 20 30 DDEDLO C=C[C@@H]1C[C@@]1([NH2+]C1CCN(C(=O)OCC)CC1)C(=O)OCC ZINC001256235164 897329597 /nfs/dbraw/zinc/32/95/97/897329597.db2.gz VMJXAHUOEWASPI-WBMJQRKESA-N 1 2 310.394 1.705 20 30 DDEDLO CN(C(=O)OC(C)(C)C)C1CCC(N2CC[NH2+]C[C@@H]2C#N)CC1 ZINC001256668740 897526503 /nfs/dbraw/zinc/52/65/03/897526503.db2.gz BYVNLZZLVZSADZ-NRXISQOPSA-N 1 2 322.453 1.962 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[N@@H+]3CC[C@H]3C2)c(Cl)c1 ZINC001259112296 898473250 /nfs/dbraw/zinc/47/32/50/898473250.db2.gz YGPXODZJKYWHSH-NSHDSACASA-N 1 2 311.794 1.290 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[N@H+]3CC[C@H]3C2)c(Cl)c1 ZINC001259112296 898473259 /nfs/dbraw/zinc/47/32/59/898473259.db2.gz YGPXODZJKYWHSH-NSHDSACASA-N 1 2 311.794 1.290 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)C1=COCCO1 ZINC001261847575 899787373 /nfs/dbraw/zinc/78/73/73/899787373.db2.gz JOYDPZJRGKPUPA-MRXNPFEDSA-N 1 2 312.369 1.223 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)C1=COCCO1 ZINC001261847575 899787383 /nfs/dbraw/zinc/78/73/83/899787383.db2.gz JOYDPZJRGKPUPA-MRXNPFEDSA-N 1 2 312.369 1.223 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001263814691 900727140 /nfs/dbraw/zinc/72/71/40/900727140.db2.gz KCSYHHMNXRHDNF-HRCADAONSA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001263814691 900727146 /nfs/dbraw/zinc/72/71/46/900727146.db2.gz KCSYHHMNXRHDNF-HRCADAONSA-N 1 2 319.449 1.258 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)[C@@H]1C ZINC001264140803 900975102 /nfs/dbraw/zinc/97/51/02/900975102.db2.gz SXDWOGTZOQHCDR-WWGRRREGSA-N 1 2 319.380 1.338 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)[C@@H]1C ZINC001264140803 900975115 /nfs/dbraw/zinc/97/51/15/900975115.db2.gz SXDWOGTZOQHCDR-WWGRRREGSA-N 1 2 319.380 1.338 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@H]2CCNC2=O)CCC1 ZINC001264371172 901051857 /nfs/dbraw/zinc/05/18/57/901051857.db2.gz RITCRVRLMJPXOD-KGLIPLIRSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2[C@H]2CCNC2=O)CCC1 ZINC001264371172 901051863 /nfs/dbraw/zinc/05/18/63/901051863.db2.gz RITCRVRLMJPXOD-KGLIPLIRSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@@H]2CCNC2=O)CCC1 ZINC001264371174 901052531 /nfs/dbraw/zinc/05/25/31/901052531.db2.gz RITCRVRLMJPXOD-ZIAGYGMSSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2[C@@H]2CCNC2=O)CCC1 ZINC001264371174 901052540 /nfs/dbraw/zinc/05/25/40/901052540.db2.gz RITCRVRLMJPXOD-ZIAGYGMSSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)Cn1ccc(C)cc1=O)C1CC1 ZINC001391184471 901161644 /nfs/dbraw/zinc/16/16/44/901161644.db2.gz AEKCCKKWJXCEBU-UHFFFAOYSA-N 1 2 323.824 1.490 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)Cn1ccc(C)cc1=O)C1CC1 ZINC001391184471 901161651 /nfs/dbraw/zinc/16/16/51/901161651.db2.gz AEKCCKKWJXCEBU-UHFFFAOYSA-N 1 2 323.824 1.490 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@@H](C)C#N)[C@H](C)c1cnc(C)cn1 ZINC001369550492 901515123 /nfs/dbraw/zinc/51/51/23/901515123.db2.gz PBACBFIJTPFMPY-GXTWGEPZSA-N 1 2 319.409 1.070 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@@H](C)C#N)[C@H](C)c1cnc(C)cn1 ZINC001369550492 901515132 /nfs/dbraw/zinc/51/51/32/901515132.db2.gz PBACBFIJTPFMPY-GXTWGEPZSA-N 1 2 319.409 1.070 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001265222996 901733110 /nfs/dbraw/zinc/73/31/10/901733110.db2.gz OSOGLPVIPMSDTF-HOTGVXAUSA-N 1 2 321.465 1.675 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001265222996 901733119 /nfs/dbraw/zinc/73/31/19/901733119.db2.gz OSOGLPVIPMSDTF-HOTGVXAUSA-N 1 2 321.465 1.675 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](Cc2noc3c2CCCC3)C1 ZINC001265274981 901806165 /nfs/dbraw/zinc/80/61/65/901806165.db2.gz KOJCPSIQKMIUBS-CYBMUJFWSA-N 1 2 319.405 1.447 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2noc3c2CCCC3)C1 ZINC001265274981 901806180 /nfs/dbraw/zinc/80/61/80/901806180.db2.gz KOJCPSIQKMIUBS-CYBMUJFWSA-N 1 2 319.405 1.447 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)COc2cccnc2)C1 ZINC001369856592 902104006 /nfs/dbraw/zinc/10/40/06/902104006.db2.gz QVSOOUYLKIDKFF-CYBMUJFWSA-N 1 2 309.797 1.794 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)COc2cccnc2)C1 ZINC001369856592 902104014 /nfs/dbraw/zinc/10/40/14/902104014.db2.gz QVSOOUYLKIDKFF-CYBMUJFWSA-N 1 2 309.797 1.794 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1ccc(OC)nn1 ZINC001265641245 902236353 /nfs/dbraw/zinc/23/63/53/902236353.db2.gz SKQAJXBOIHLULA-HNNXBMFYSA-N 1 2 318.421 1.922 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1ccc(OC)nn1 ZINC001265641245 902236368 /nfs/dbraw/zinc/23/63/68/902236368.db2.gz SKQAJXBOIHLULA-HNNXBMFYSA-N 1 2 318.421 1.922 20 30 DDEDLO CCOCC(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001369938717 902241764 /nfs/dbraw/zinc/24/17/64/902241764.db2.gz INKSOEQBUOUTII-AWEZNQCLSA-N 1 2 305.353 1.424 20 30 DDEDLO CCOCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001369938717 902241772 /nfs/dbraw/zinc/24/17/72/902241772.db2.gz INKSOEQBUOUTII-AWEZNQCLSA-N 1 2 305.353 1.424 20 30 DDEDLO CC[C@@H](CNC(=O)c1cc(C#N)c[nH]1)[NH2+]Cc1cnsn1 ZINC001391682216 902341638 /nfs/dbraw/zinc/34/16/38/902341638.db2.gz LIGSLCSKIJWXKY-JTQLQIEISA-N 1 2 304.379 1.036 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H](C)[NH2+]Cc1nnc(C(F)F)s1 ZINC001265773463 902393895 /nfs/dbraw/zinc/39/38/95/902393895.db2.gz WRKTZWUTMNCBOY-SECBINFHSA-N 1 2 316.377 1.729 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001293675012 914628483 /nfs/dbraw/zinc/62/84/83/914628483.db2.gz CNPOUZJRLHSPPW-MGPQQGTHSA-N 1 2 316.405 1.539 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001293675012 914628494 /nfs/dbraw/zinc/62/84/94/914628494.db2.gz CNPOUZJRLHSPPW-MGPQQGTHSA-N 1 2 316.405 1.539 20 30 DDEDLO CC#CC[N@H+](C)[C@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001266100032 902939900 /nfs/dbraw/zinc/93/99/00/902939900.db2.gz RCUKEAIIZCJREG-LSDHHAIUSA-N 1 2 314.433 1.776 20 30 DDEDLO CC#CC[N@@H+](C)[C@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001266100032 902939912 /nfs/dbraw/zinc/93/99/12/902939912.db2.gz RCUKEAIIZCJREG-LSDHHAIUSA-N 1 2 314.433 1.776 20 30 DDEDLO C=CCOCC(=O)N(C)C1CC[NH+](Cc2nc(C)no2)CC1 ZINC001266177648 903067451 /nfs/dbraw/zinc/06/74/51/903067451.db2.gz JSVJFDBBZCHXMU-UHFFFAOYSA-N 1 2 308.382 1.003 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(CC=C)CC=C)C1 ZINC001266221877 903137622 /nfs/dbraw/zinc/13/76/22/903137622.db2.gz JXMPZPLYFDYCAD-INIZCTEOSA-N 1 2 317.433 1.085 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(CC=C)CC=C)C1 ZINC001266221877 903137629 /nfs/dbraw/zinc/13/76/29/903137629.db2.gz JXMPZPLYFDYCAD-INIZCTEOSA-N 1 2 317.433 1.085 20 30 DDEDLO Cc1nc(C(=O)N(C)CC[N@H+](C)Cc2ccccc2C#N)c[nH]1 ZINC001392266936 903704187 /nfs/dbraw/zinc/70/41/87/903704187.db2.gz WSVQSRRRWOZTRT-UHFFFAOYSA-N 1 2 311.389 1.794 20 30 DDEDLO Cc1nc(C(=O)N(C)CC[N@@H+](C)Cc2ccccc2C#N)c[nH]1 ZINC001392266936 903704194 /nfs/dbraw/zinc/70/41/94/903704194.db2.gz WSVQSRRRWOZTRT-UHFFFAOYSA-N 1 2 311.389 1.794 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001280518738 903756865 /nfs/dbraw/zinc/75/68/65/903756865.db2.gz PIRULVFROFARCT-CYBMUJFWSA-N 1 2 314.389 1.877 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H](C[NH2+][C@@H](C)c2csnn2)C1 ZINC001280632662 903866272 /nfs/dbraw/zinc/86/62/72/903866272.db2.gz ZAGBENVAXSFKLI-STQMWFEESA-N 1 2 324.450 1.772 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)C1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001280635806 903870693 /nfs/dbraw/zinc/87/06/93/903870693.db2.gz MIETVIGGCTTZII-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2ncnn2C)CC1 ZINC001280733437 903967017 /nfs/dbraw/zinc/96/70/17/903967017.db2.gz OKJJSCTWTVLXMF-AWEZNQCLSA-N 1 2 303.410 1.089 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2ncnn2C)CC1 ZINC001280733437 903967035 /nfs/dbraw/zinc/96/70/35/903967035.db2.gz OKJJSCTWTVLXMF-AWEZNQCLSA-N 1 2 303.410 1.089 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[C@H](NC(=O)CCc2[nH+]ccn2C)C1 ZINC001280766753 904006767 /nfs/dbraw/zinc/00/67/67/904006767.db2.gz BOKNERPCFYIYKB-HDJSIYSDSA-N 1 2 318.421 1.330 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@H](CNC(=O)/C=C(/C)CC)O2 ZINC001280920474 904189774 /nfs/dbraw/zinc/18/97/74/904189774.db2.gz SBYRHNDNWKSEKT-UVQBRAAOSA-N 1 2 322.449 1.895 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)COCC1CCCC1)O2 ZINC001280929585 904200900 /nfs/dbraw/zinc/20/09/00/904200900.db2.gz FTURWALBTGWSDI-MRXNPFEDSA-N 1 2 322.449 1.729 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)CCC1CCOCC1)O2 ZINC001280932541 904206729 /nfs/dbraw/zinc/20/67/29/904206729.db2.gz YXQKJMZHLGBPHA-INIZCTEOSA-N 1 2 322.449 1.729 20 30 DDEDLO C=CC[N@@H+]1Cc2ccc(CNC(=O)CCc3nnc[nH]3)cc2C1 ZINC001281293557 904660053 /nfs/dbraw/zinc/66/00/53/904660053.db2.gz TZKCDJUEWUCLFX-UHFFFAOYSA-N 1 2 311.389 1.555 20 30 DDEDLO C=CC[N@H+]1Cc2ccc(CNC(=O)CCc3nnc[nH]3)cc2C1 ZINC001281293557 904660064 /nfs/dbraw/zinc/66/00/64/904660064.db2.gz TZKCDJUEWUCLFX-UHFFFAOYSA-N 1 2 311.389 1.555 20 30 DDEDLO C=CC[N@@H+]1Cc2ccc(CNC(=O)CCc3nc[nH]n3)cc2C1 ZINC001281293557 904660076 /nfs/dbraw/zinc/66/00/76/904660076.db2.gz TZKCDJUEWUCLFX-UHFFFAOYSA-N 1 2 311.389 1.555 20 30 DDEDLO C=CC[N@H+]1Cc2ccc(CNC(=O)CCc3nc[nH]n3)cc2C1 ZINC001281293557 904660086 /nfs/dbraw/zinc/66/00/86/904660086.db2.gz TZKCDJUEWUCLFX-UHFFFAOYSA-N 1 2 311.389 1.555 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCC1(C)CC1 ZINC001316610155 904712302 /nfs/dbraw/zinc/71/23/02/904712302.db2.gz ZYXAJMSHOPIFBN-GJZGRUSLSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCC1(C)CC1 ZINC001316610155 904712314 /nfs/dbraw/zinc/71/23/14/904712314.db2.gz ZYXAJMSHOPIFBN-GJZGRUSLSA-N 1 2 319.449 1.237 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1ccc2[nH]c(=O)[nH]c2n1 ZINC001392697385 904948682 /nfs/dbraw/zinc/94/86/82/904948682.db2.gz VVAAENJRLUJBCS-SECBINFHSA-N 1 2 323.784 1.466 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1ccc2[nH]c(=O)[nH]c2n1 ZINC001392697385 904948703 /nfs/dbraw/zinc/94/87/03/904948703.db2.gz VVAAENJRLUJBCS-SECBINFHSA-N 1 2 323.784 1.466 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@H](NC(C)=O)C(C)C ZINC001392714650 905020832 /nfs/dbraw/zinc/02/08/32/905020832.db2.gz BSGTWZXDVHUSOM-DGCLKSJQSA-N 1 2 303.834 1.336 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@H](NC(C)=O)C(C)C ZINC001392714650 905020850 /nfs/dbraw/zinc/02/08/50/905020850.db2.gz BSGTWZXDVHUSOM-DGCLKSJQSA-N 1 2 303.834 1.336 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H](C)[C@@H](C)NC(=O)C#CC2CC2)o1 ZINC001282130326 905565863 /nfs/dbraw/zinc/56/58/63/905565863.db2.gz XEEFEPVMUWGBGQ-VXGBXAGGSA-N 1 2 304.394 1.589 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@H](C)[N@H+](C)Cc1ccn(C)n1 ZINC001282486989 905823749 /nfs/dbraw/zinc/82/37/49/905823749.db2.gz SQZHOTMSDOWLOE-HNNXBMFYSA-N 1 2 324.428 1.581 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@H](C)[N@@H+](C)Cc1ccn(C)n1 ZINC001282486989 905823755 /nfs/dbraw/zinc/82/37/55/905823755.db2.gz SQZHOTMSDOWLOE-HNNXBMFYSA-N 1 2 324.428 1.581 20 30 DDEDLO C=CCCC(=O)NC1(CCO)C[NH+](CCc2ccccc2F)C1 ZINC001282510304 905840028 /nfs/dbraw/zinc/84/00/28/905840028.db2.gz SAEBBTHWJBFGFN-UHFFFAOYSA-N 1 2 320.408 1.887 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H](C)C1C[NH+](Cc2ccn(C)n2)C1 ZINC001282733612 905992797 /nfs/dbraw/zinc/99/27/97/905992797.db2.gz GUZAKAAMLFAWGV-ZIAGYGMSSA-N 1 2 304.438 1.959 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)OCCCC)C2)C1 ZINC001282774784 906028438 /nfs/dbraw/zinc/02/84/38/906028438.db2.gz KPQYCIDEEOVOFR-NVXWUHKLSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)OCCCC)C2)C1 ZINC001282774784 906028449 /nfs/dbraw/zinc/02/84/49/906028449.db2.gz KPQYCIDEEOVOFR-NVXWUHKLSA-N 1 2 310.438 1.681 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(CCOC)CCC3)C2)C1 ZINC001282783389 906034534 /nfs/dbraw/zinc/03/45/34/906034534.db2.gz ZQNMXSWDQCCNKZ-SFHVURJKSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(CCOC)CCC3)C2)C1 ZINC001282783389 906034548 /nfs/dbraw/zinc/03/45/48/906034548.db2.gz ZQNMXSWDQCCNKZ-SFHVURJKSA-N 1 2 320.433 1.130 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCCNC(=O)CCc1c[nH+]cn1C ZINC001283310568 907104055 /nfs/dbraw/zinc/10/40/55/907104055.db2.gz OHPQOINJTWYQTH-UHFFFAOYSA-N 1 2 320.437 1.578 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001283345623 907185335 /nfs/dbraw/zinc/18/53/35/907185335.db2.gz MNBNKOLKSCFMGL-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001283345623 907185345 /nfs/dbraw/zinc/18/53/45/907185345.db2.gz MNBNKOLKSCFMGL-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)CCOCCNC(=O)C#CC(C)C)o1 ZINC001283374877 907246149 /nfs/dbraw/zinc/24/61/49/907246149.db2.gz NJWJUMOWGCXCHA-OAHLLOKOSA-N 1 2 321.421 1.768 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)CCOCCNC(=O)C#CC(C)C)o1 ZINC001283374877 907246166 /nfs/dbraw/zinc/24/61/66/907246166.db2.gz NJWJUMOWGCXCHA-OAHLLOKOSA-N 1 2 321.421 1.768 20 30 DDEDLO CC(C)C#CC(=O)N1CCCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001283410488 907311756 /nfs/dbraw/zinc/31/17/56/907311756.db2.gz YSCJBJOJTKVKLT-UHFFFAOYSA-N 1 2 316.405 1.063 20 30 DDEDLO CC(C)C#CC(=O)N1CCCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001283410488 907311773 /nfs/dbraw/zinc/31/17/73/907311773.db2.gz YSCJBJOJTKVKLT-UHFFFAOYSA-N 1 2 316.405 1.063 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001284010411 908309760 /nfs/dbraw/zinc/30/97/60/908309760.db2.gz LAPHVBVCSFWWBK-GJZGRUSLSA-N 1 2 316.405 1.355 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001284296080 908789728 /nfs/dbraw/zinc/78/97/28/908789728.db2.gz JVFZFHMHEREXNT-LBPRGKRZSA-N 1 2 306.410 1.186 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)C1CC[NH+](Cc2nncn2C)CC1 ZINC001284712529 909384613 /nfs/dbraw/zinc/38/46/13/909384613.db2.gz NXINUERSAFKXMZ-AWEZNQCLSA-N 1 2 319.453 1.888 20 30 DDEDLO CCc1noc(C[NH2+][C@@H](C)CN(C)C(=O)c2c[nH]c(C#N)c2)n1 ZINC001394471797 909732281 /nfs/dbraw/zinc/73/22/81/909732281.db2.gz OVHHNZZGFVDFNF-JTQLQIEISA-N 1 2 316.365 1.082 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(CNC(=O)CCCn2cc[nH+]c2)C1 ZINC001284978634 909872343 /nfs/dbraw/zinc/87/23/43/909872343.db2.gz CXHVNQLMPFLRTM-UHFFFAOYSA-N 1 2 318.421 1.450 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001284999298 909916444 /nfs/dbraw/zinc/91/64/44/909916444.db2.gz NOFFGHDEZOGTEA-ILXRZTDVSA-N 1 2 316.405 1.612 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001285193069 910186000 /nfs/dbraw/zinc/18/60/00/910186000.db2.gz HNGNLAAZYJVMNC-RHSMWYFYSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@@H](C)NC(=O)CC)C(C)C ZINC001394798058 910586932 /nfs/dbraw/zinc/58/69/32/910586932.db2.gz HJYRXPRALBCZQM-CYBMUJFWSA-N 1 2 317.861 1.822 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@@H](C)NC(=O)CC)C(C)C ZINC001394798058 910586942 /nfs/dbraw/zinc/58/69/42/910586942.db2.gz HJYRXPRALBCZQM-CYBMUJFWSA-N 1 2 317.861 1.822 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001285871951 911341354 /nfs/dbraw/zinc/34/13/54/911341354.db2.gz HDICTOWHDJZSPJ-BFHYXJOUSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001285871951 911341376 /nfs/dbraw/zinc/34/13/76/911341376.db2.gz HDICTOWHDJZSPJ-BFHYXJOUSA-N 1 2 316.405 1.587 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001285875418 911349202 /nfs/dbraw/zinc/34/92/02/911349202.db2.gz KRYQGRIHOJWFQF-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)C1(NC(C)=O)CCCC1 ZINC001375124086 915027569 /nfs/dbraw/zinc/02/75/69/915027569.db2.gz KPRMBMGWUBBKOV-GFCCVEGCSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)C1(NC(C)=O)CCCC1 ZINC001375124086 915027585 /nfs/dbraw/zinc/02/75/85/915027585.db2.gz KPRMBMGWUBBKOV-GFCCVEGCSA-N 1 2 315.845 1.624 20 30 DDEDLO CCN(CCCNC(=O)[C@@H](C)C#N)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001294527390 915195287 /nfs/dbraw/zinc/19/52/87/915195287.db2.gz LEWYAQXNBXXCCQ-YNEHKIRRSA-N 1 2 317.393 1.028 20 30 DDEDLO CCN(CCCNC(=O)[C@@H](C)C#N)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001294527390 915195299 /nfs/dbraw/zinc/19/52/99/915195299.db2.gz LEWYAQXNBXXCCQ-YNEHKIRRSA-N 1 2 317.393 1.028 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001294774129 915356274 /nfs/dbraw/zinc/35/62/74/915356274.db2.gz SSKNPIIVMXSRFS-KBPBESRZSA-N 1 2 318.421 1.282 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@]2(F)CCOC2)cc1 ZINC001375333166 915630513 /nfs/dbraw/zinc/63/05/13/915630513.db2.gz HHPQHAMTRKTREY-WBVHZDCISA-N 1 2 317.364 1.377 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@]2(F)CCOC2)cc1 ZINC001375333166 915630529 /nfs/dbraw/zinc/63/05/29/915630529.db2.gz HHPQHAMTRKTREY-WBVHZDCISA-N 1 2 317.364 1.377 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001295509962 915863724 /nfs/dbraw/zinc/86/37/24/915863724.db2.gz IZSAIJJUQBBMOM-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001295509962 915863742 /nfs/dbraw/zinc/86/37/42/915863742.db2.gz IZSAIJJUQBBMOM-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001295858936 916099778 /nfs/dbraw/zinc/09/97/78/916099778.db2.gz OFNAVAQXXASYAX-GFCCVEGCSA-N 1 2 320.437 1.874 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@H+](Cc2cc3n(n2)CCC3)[C@@H]1C ZINC001375644450 916576082 /nfs/dbraw/zinc/57/60/82/916576082.db2.gz GUZGWHVNQROFAX-ZOWXZIJZSA-N 1 2 301.394 1.068 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@@H+](Cc2cc3n(n2)CCC3)[C@@H]1C ZINC001375644450 916576105 /nfs/dbraw/zinc/57/61/05/916576105.db2.gz GUZGWHVNQROFAX-ZOWXZIJZSA-N 1 2 301.394 1.068 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)Cc1[nH]cc[nH+]1 ZINC001297294984 916872754 /nfs/dbraw/zinc/87/27/54/916872754.db2.gz HHHBHCBIUYFQTI-STQMWFEESA-N 1 2 316.405 1.366 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001376033121 917523137 /nfs/dbraw/zinc/52/31/37/917523137.db2.gz RCUPCPZRHSAIIF-IUODEOHRSA-N 1 2 319.380 1.813 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001376033121 917523154 /nfs/dbraw/zinc/52/31/54/917523154.db2.gz RCUPCPZRHSAIIF-IUODEOHRSA-N 1 2 319.380 1.813 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)c2ccc(C)nn2)CC1 ZINC001377560678 922336844 /nfs/dbraw/zinc/33/68/44/922336844.db2.gz QHBODYWZEWFXPG-UHFFFAOYSA-N 1 2 324.812 1.094 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001377835985 923179048 /nfs/dbraw/zinc/17/90/48/923179048.db2.gz NCLBELONLTWBGN-NEPJUHHUSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001377835985 923179056 /nfs/dbraw/zinc/17/90/56/923179056.db2.gz NCLBELONLTWBGN-NEPJUHHUSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CC[N@@H+](Cc2ccccc2OCC)C[C@H]1C ZINC000614452403 361863333 /nfs/dbraw/zinc/86/33/33/361863333.db2.gz CKUOBRFMXVLSHP-GDBMZVCRSA-N 1 2 318.417 1.665 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CC[N@H+](Cc2ccccc2OCC)C[C@H]1C ZINC000614452403 361863339 /nfs/dbraw/zinc/86/33/39/361863339.db2.gz CKUOBRFMXVLSHP-GDBMZVCRSA-N 1 2 318.417 1.665 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C[C@@]2(CCOC2)O1 ZINC000331569485 529386161 /nfs/dbraw/zinc/38/61/61/529386161.db2.gz HEMAUTWMYYHVJS-DJIMGWMZSA-N 1 2 323.437 1.311 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C[C@@]2(CCOC2)O1 ZINC000331569485 529386163 /nfs/dbraw/zinc/38/61/63/529386163.db2.gz HEMAUTWMYYHVJS-DJIMGWMZSA-N 1 2 323.437 1.311 20 30 DDEDLO CC[C@@H]1CO[C@@H](C)C[N@@H+]1CC(=O)NC(=O)NCC(F)(F)F ZINC000330625552 529413455 /nfs/dbraw/zinc/41/34/55/529413455.db2.gz HRTRNUUUARKXBA-DTWKUNHWSA-N 1 2 311.304 1.078 20 30 DDEDLO CC[C@@H]1CO[C@@H](C)C[N@H+]1CC(=O)NC(=O)NCC(F)(F)F ZINC000330625552 529413458 /nfs/dbraw/zinc/41/34/58/529413458.db2.gz HRTRNUUUARKXBA-DTWKUNHWSA-N 1 2 311.304 1.078 20 30 DDEDLO Cc1nccc(NC(=O)N2C[C@H]([NH+]3CCOCC3)C[C@H]2C)n1 ZINC000329249392 282189480 /nfs/dbraw/zinc/18/94/80/282189480.db2.gz TTXKNUUIXYARNI-DGCLKSJQSA-N 1 2 305.382 1.126 20 30 DDEDLO CCOC(=O)[C@@H](Cc1ccccc1)[NH2+]C1CCN(CC#N)CC1 ZINC000615117702 362160139 /nfs/dbraw/zinc/16/01/39/362160139.db2.gz HBPYJNOVVPVYST-QGZVFWFLSA-N 1 2 315.417 1.738 20 30 DDEDLO C[C@@H](C(=O)Nc1ncccn1)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000329176102 539301600 /nfs/dbraw/zinc/30/16/00/539301600.db2.gz MJLTXKWRZVYVBH-RDBSUJKOSA-N 1 2 319.409 1.248 20 30 DDEDLO COc1ccc(OC(F)F)c(C[NH+]2CCN(CC#N)CC2)c1 ZINC000092936280 185349864 /nfs/dbraw/zinc/34/98/64/185349864.db2.gz KIFAFYXGDFONSU-UHFFFAOYSA-N 1 2 311.332 1.938 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)Nc2cccc(C(=O)N3CCCC3)c2)C1 ZINC000329736589 529788065 /nfs/dbraw/zinc/78/80/65/529788065.db2.gz LNBYXARQYAVBET-HNNXBMFYSA-N 1 2 317.389 1.841 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)Nc2cccc(C(=O)N3CCCC3)c2)C1 ZINC000329736589 529788067 /nfs/dbraw/zinc/78/80/67/529788067.db2.gz LNBYXARQYAVBET-HNNXBMFYSA-N 1 2 317.389 1.841 20 30 DDEDLO CN(CC(C)(C)C)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000337796035 529844029 /nfs/dbraw/zinc/84/40/29/529844029.db2.gz DGPWOJRINQWPBM-UHFFFAOYSA-N 1 2 310.442 1.632 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)c1ccc(C#N)s1 ZINC000435428509 529899447 /nfs/dbraw/zinc/89/94/47/529899447.db2.gz SJWRQRPWXREWSW-UHFFFAOYSA-N 1 2 310.404 1.527 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[NH+](Cc2ccccn2)CC1)C(C)(C)C ZINC000289025738 222678440 /nfs/dbraw/zinc/67/84/40/222678440.db2.gz BTAWVWXFHGJZJK-INIZCTEOSA-N 1 2 314.433 1.957 20 30 DDEDLO CN(Cc1[nH+]ccn1CC(F)(F)F)C(=O)NCCCC#N ZINC000345822736 530027783 /nfs/dbraw/zinc/02/77/83/530027783.db2.gz XNTNEJOKANUMDL-UHFFFAOYSA-N 1 2 303.288 1.891 20 30 DDEDLO C=C[C@](C)(O)CC(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC000353456948 539438599 /nfs/dbraw/zinc/43/85/99/539438599.db2.gz FOVJHJFWTVYOSG-KRWDZBQOSA-N 1 2 304.390 1.784 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)NCc1c[nH+]cn1C ZINC000356752591 539469635 /nfs/dbraw/zinc/46/96/35/539469635.db2.gz CXQNTRVCULJBLS-UHFFFAOYSA-N 1 2 301.346 1.924 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@H+](C)C[C@H](C)C#N)cc1 ZINC000293160048 222754508 /nfs/dbraw/zinc/75/45/08/222754508.db2.gz RLACPHKZOXDBBG-ZBFHGGJFSA-N 1 2 306.406 1.707 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@@H+](C)C[C@H](C)C#N)cc1 ZINC000293160048 222754509 /nfs/dbraw/zinc/75/45/09/222754509.db2.gz RLACPHKZOXDBBG-ZBFHGGJFSA-N 1 2 306.406 1.707 20 30 DDEDLO C=CCCn1cc(C[NH2+]C[C@H](n2cccn2)C(F)(F)F)nn1 ZINC000623594981 365950209 /nfs/dbraw/zinc/95/02/09/365950209.db2.gz RJBXINPRINAXQR-LBPRGKRZSA-N 1 2 314.315 1.944 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000616868567 362854922 /nfs/dbraw/zinc/85/49/22/362854922.db2.gz QGTRGBXVNXSSPF-QWHCGFSZSA-N 1 2 309.410 1.577 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cccc(F)c1C#N ZINC000070398080 190887232 /nfs/dbraw/zinc/88/72/32/190887232.db2.gz SEEPDBBRECXKAM-JTQLQIEISA-N 1 2 308.338 1.261 20 30 DDEDLO N#Cc1cncc(NCc2cc[nH+]c(N3CCSCC3)c2)n1 ZINC000601241151 358460903 /nfs/dbraw/zinc/46/09/03/358460903.db2.gz ZXWLVCRNOCVHQS-UHFFFAOYSA-N 1 2 312.402 1.909 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000623746331 366032847 /nfs/dbraw/zinc/03/28/47/366032847.db2.gz CADMILDFRWPMDB-ZFWWWQNUSA-N 1 2 304.394 1.156 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000623746331 366032856 /nfs/dbraw/zinc/03/28/56/366032856.db2.gz CADMILDFRWPMDB-ZFWWWQNUSA-N 1 2 304.394 1.156 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000623746330 366033216 /nfs/dbraw/zinc/03/32/16/366033216.db2.gz CADMILDFRWPMDB-UKRRQHHQSA-N 1 2 304.394 1.156 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000623746330 366033217 /nfs/dbraw/zinc/03/32/17/366033217.db2.gz CADMILDFRWPMDB-UKRRQHHQSA-N 1 2 304.394 1.156 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000623746328 366033272 /nfs/dbraw/zinc/03/32/72/366033272.db2.gz CADMILDFRWPMDB-DZGCQCFKSA-N 1 2 304.394 1.156 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000623746328 366033277 /nfs/dbraw/zinc/03/32/77/366033277.db2.gz CADMILDFRWPMDB-DZGCQCFKSA-N 1 2 304.394 1.156 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3ccccn3)CC2)cc1 ZINC000017843244 352165947 /nfs/dbraw/zinc/16/59/47/352165947.db2.gz AJAPWFFATUNJET-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)CSCC#N)c1ccccc1 ZINC000032928151 352285168 /nfs/dbraw/zinc/28/51/68/352285168.db2.gz YLOCCOKNRYWRQE-AWEZNQCLSA-N 1 2 300.387 1.882 20 30 DDEDLO C[N@@H+](Cc1cscn1)C[C@@H](O)COc1ccccc1C#N ZINC000042865320 352353446 /nfs/dbraw/zinc/35/34/46/352353446.db2.gz FPKJWTNAMZEHPQ-CQSZACIVSA-N 1 2 303.387 1.886 20 30 DDEDLO C[N@H+](Cc1cscn1)C[C@@H](O)COc1ccccc1C#N ZINC000042865320 352353448 /nfs/dbraw/zinc/35/34/48/352353448.db2.gz FPKJWTNAMZEHPQ-CQSZACIVSA-N 1 2 303.387 1.886 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000045491029 352413870 /nfs/dbraw/zinc/41/38/70/352413870.db2.gz BYOWBGFQIAHOOJ-ZDUSSCGKSA-N 1 2 301.394 1.983 20 30 DDEDLO CNC(=O)C[NH+]1CCC(Nc2snc(Cl)c2C#N)CC1 ZINC000051923989 352596621 /nfs/dbraw/zinc/59/66/21/352596621.db2.gz KQDZOJOCEJDTOF-UHFFFAOYSA-N 1 2 313.814 1.290 20 30 DDEDLO N#Cc1cccc(NC(=O)CN2CC[NH+](Cc3ccco3)CC2)c1 ZINC000053813829 352668029 /nfs/dbraw/zinc/66/80/29/352668029.db2.gz JDMXTAONJXFHPR-UHFFFAOYSA-N 1 2 324.384 1.908 20 30 DDEDLO CC[N@H+](CCOc1ccccc1C#N)[C@@H]1CCS(=O)(=O)C1 ZINC000060379800 352870040 /nfs/dbraw/zinc/87/00/40/352870040.db2.gz HTRKYMGBBKPMLO-CQSZACIVSA-N 1 2 308.403 1.446 20 30 DDEDLO CC[N@@H+](CCOc1ccccc1C#N)[C@@H]1CCS(=O)(=O)C1 ZINC000060379800 352870041 /nfs/dbraw/zinc/87/00/41/352870041.db2.gz HTRKYMGBBKPMLO-CQSZACIVSA-N 1 2 308.403 1.446 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000063589811 352916441 /nfs/dbraw/zinc/91/64/41/352916441.db2.gz NBFVWLXGTPDPCJ-UHFFFAOYSA-N 1 2 314.357 1.294 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCc1cc(Cl)ccc1OC ZINC000174047534 198285384 /nfs/dbraw/zinc/28/53/84/198285384.db2.gz HPCAEOFBGNSCRI-UHFFFAOYSA-N 1 2 304.777 1.533 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000073647698 353264297 /nfs/dbraw/zinc/26/42/97/353264297.db2.gz LGTCPTYAXYIKPE-CQSZACIVSA-N 1 2 315.421 1.380 20 30 DDEDLO CN(C)S(=O)(=O)c1ccccc1C[NH2+]Cc1ccc(C#N)o1 ZINC000174260596 198312142 /nfs/dbraw/zinc/31/21/42/198312142.db2.gz SQRAGTKCCKWTLJ-UHFFFAOYSA-N 1 2 319.386 1.691 20 30 DDEDLO N#Cc1c(C[N@H+]2CCC[C@@H]2CS(N)(=O)=O)cn2ccccc12 ZINC000074316600 353306627 /nfs/dbraw/zinc/30/66/27/353306627.db2.gz YFJHRYMFZSHHTP-CYBMUJFWSA-N 1 2 318.402 1.064 20 30 DDEDLO N#Cc1c(C[N@@H+]2CCC[C@@H]2CS(N)(=O)=O)cn2ccccc12 ZINC000074316600 353306630 /nfs/dbraw/zinc/30/66/30/353306630.db2.gz YFJHRYMFZSHHTP-CYBMUJFWSA-N 1 2 318.402 1.064 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(Cc2ccon2)CC1 ZINC000075857246 353382623 /nfs/dbraw/zinc/38/26/23/353382623.db2.gz KZCFHXWAIRPSFN-OAHLLOKOSA-N 1 2 318.421 1.381 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N(C)Cc1cccc(OC)c1OC ZINC000076185459 353403314 /nfs/dbraw/zinc/40/33/14/353403314.db2.gz SWGTYBYJUHTMPP-UHFFFAOYSA-N 1 2 314.385 1.231 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N1CCC[C@@H]1c1cccc(OC)c1 ZINC000076185288 353403814 /nfs/dbraw/zinc/40/38/14/353403814.db2.gz XDNBRKLQMWJGPZ-GOSISDBHSA-N 1 2 310.397 1.927 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[C@H](CN2CC[NH+](C)CC2)C1 ZINC000077451456 353469488 /nfs/dbraw/zinc/46/94/88/353469488.db2.gz RAOJMTIRLWNVTA-IAGOWNOFSA-N 1 2 323.481 1.454 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)C(F)(F)F ZINC000079844538 353578558 /nfs/dbraw/zinc/57/85/58/353578558.db2.gz URUWYBVNXDCDLS-LLVKDONJSA-N 1 2 307.316 1.259 20 30 DDEDLO CC[N@H+](CCC#N)[C@@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000081054884 353649473 /nfs/dbraw/zinc/64/94/73/353649473.db2.gz PESQGCXTCYLUGM-ZDUSSCGKSA-N 1 2 302.378 1.636 20 30 DDEDLO CC[N@@H+](CCC#N)[C@@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000081054884 353649475 /nfs/dbraw/zinc/64/94/75/353649475.db2.gz PESQGCXTCYLUGM-ZDUSSCGKSA-N 1 2 302.378 1.636 20 30 DDEDLO COC(=O)[C@@](C)([NH2+]CCOc1ccc(C#N)cc1OC)C1CC1 ZINC000081153034 353654512 /nfs/dbraw/zinc/65/45/12/353654512.db2.gz VDAZCOZJUKXBFR-KRWDZBQOSA-N 1 2 318.373 1.877 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1C[C@H](C)OC[C@@H]1C ZINC000617727783 363263025 /nfs/dbraw/zinc/26/30/25/363263025.db2.gz NRMRFJKWCYKVBM-KBPBESRZSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1C[C@H](C)OC[C@@H]1C ZINC000617727783 363263031 /nfs/dbraw/zinc/26/30/31/363263031.db2.gz NRMRFJKWCYKVBM-KBPBESRZSA-N 1 2 319.405 1.485 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)c1nc(C(C)(C)C)no1)C(=O)N(C)CCC#N ZINC000185189598 354280724 /nfs/dbraw/zinc/28/07/24/354280724.db2.gz FGTJZOKMGLSDTJ-WDEREUQCSA-N 1 2 307.398 1.778 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3OCC#N)C[C@H]21 ZINC000225464596 354317826 /nfs/dbraw/zinc/31/78/26/354317826.db2.gz ZMEIXLNAPXWBFB-ZBFHGGJFSA-N 1 2 315.373 1.134 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3OCC#N)C[C@H]21 ZINC000225464596 354317832 /nfs/dbraw/zinc/31/78/32/354317832.db2.gz ZMEIXLNAPXWBFB-ZBFHGGJFSA-N 1 2 315.373 1.134 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000578642915 354710601 /nfs/dbraw/zinc/71/06/01/354710601.db2.gz GSKDWEMPFLPVGO-AWEZNQCLSA-N 1 2 318.421 1.978 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@H]1CCCOC1 ZINC000402673175 354668957 /nfs/dbraw/zinc/66/89/57/354668957.db2.gz DOYVDHXMVHDKSB-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@H]1CCCOC1 ZINC000402673175 354668959 /nfs/dbraw/zinc/66/89/59/354668959.db2.gz DOYVDHXMVHDKSB-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ncsc1Br ZINC000581887295 354738503 /nfs/dbraw/zinc/73/85/03/354738503.db2.gz LRUSGQFQWTVBQP-JTQLQIEISA-N 1 2 317.212 1.479 20 30 DDEDLO COC(=O)[C@H]([NH2+]C[C@@H](O)COc1ccc(CC#N)cc1)C1CC1 ZINC000585816415 354853405 /nfs/dbraw/zinc/85/34/05/354853405.db2.gz DYVQNLVNCMIJMN-GDBMZVCRSA-N 1 2 318.373 1.034 20 30 DDEDLO C=CCCC(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000588021905 354894614 /nfs/dbraw/zinc/89/46/14/354894614.db2.gz PJCKNAJXOHFAON-UHFFFAOYSA-N 1 2 301.390 1.979 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](Cc3ccccn3)CC2)n1 ZINC000589259622 354979822 /nfs/dbraw/zinc/97/98/22/354979822.db2.gz AYTQGGPDYYCRKS-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO COc1cc(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)ccc1C#N ZINC000589648859 355009893 /nfs/dbraw/zinc/00/98/93/355009893.db2.gz PLVXJEGQKGXQKG-CQSZACIVSA-N 1 2 310.357 1.642 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000590052021 355044805 /nfs/dbraw/zinc/04/48/05/355044805.db2.gz ZADIOHMUEQXOPG-ZDUSSCGKSA-N 1 2 311.370 1.935 20 30 DDEDLO N#Cc1csc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000590052021 355044808 /nfs/dbraw/zinc/04/48/08/355044808.db2.gz ZADIOHMUEQXOPG-ZDUSSCGKSA-N 1 2 311.370 1.935 20 30 DDEDLO N#CCN1CCC([NH2+][C@@H](c2nnc[nH]2)c2ccc(F)cc2)CC1 ZINC000590243015 355060960 /nfs/dbraw/zinc/06/09/60/355060960.db2.gz DGTUIMAXXRVUJD-OAHLLOKOSA-N 1 2 314.368 1.611 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)OCCCO2 ZINC000591241731 355270569 /nfs/dbraw/zinc/27/05/69/355270569.db2.gz VBMMTBIXXUQUMD-ZDUSSCGKSA-N 1 2 306.362 1.433 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)OCCCO2 ZINC000591241731 355270572 /nfs/dbraw/zinc/27/05/72/355270572.db2.gz VBMMTBIXXUQUMD-ZDUSSCGKSA-N 1 2 306.362 1.433 20 30 DDEDLO COC[C@@]1(C(N)=O)CCC[N@@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000591627319 355343579 /nfs/dbraw/zinc/34/35/79/355343579.db2.gz CSBMLPMWIURWJF-MRXNPFEDSA-N 1 2 303.362 1.033 20 30 DDEDLO COC[C@@]1(C(N)=O)CCC[N@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000591627319 355343580 /nfs/dbraw/zinc/34/35/80/355343580.db2.gz CSBMLPMWIURWJF-MRXNPFEDSA-N 1 2 303.362 1.033 20 30 DDEDLO C[C@@H]1CCCC[C@H]1OCCNC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149512 355515968 /nfs/dbraw/zinc/51/59/68/355515968.db2.gz QIYXFGLTUQCFDD-INMHGKMJSA-N 1 2 323.437 1.048 20 30 DDEDLO C[C@@H]1CCCC[C@H]1OCCNC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149512 355515970 /nfs/dbraw/zinc/51/59/70/355515970.db2.gz QIYXFGLTUQCFDD-INMHGKMJSA-N 1 2 323.437 1.048 20 30 DDEDLO CCn1cc(C[N@H+](CC(=O)NC2(C#N)CCC2)C(C)C)cn1 ZINC000593081564 355789577 /nfs/dbraw/zinc/78/95/77/355789577.db2.gz SKXITBWTVHKSPV-UHFFFAOYSA-N 1 2 303.410 1.676 20 30 DDEDLO CCn1cc(C[N@@H+](CC(=O)NC2(C#N)CCC2)C(C)C)cn1 ZINC000593081564 355789579 /nfs/dbraw/zinc/78/95/79/355789579.db2.gz SKXITBWTVHKSPV-UHFFFAOYSA-N 1 2 303.410 1.676 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](CCOc2ccc(C#N)cc2)C[C@H](C)O1 ZINC000593084389 355790319 /nfs/dbraw/zinc/79/03/19/355790319.db2.gz JJTQIKRXDYSKNC-WFASDCNBSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](CCOc2ccc(C#N)cc2)C[C@H](C)O1 ZINC000593084389 355790322 /nfs/dbraw/zinc/79/03/22/355790322.db2.gz JJTQIKRXDYSKNC-WFASDCNBSA-N 1 2 304.346 1.199 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)NCC1(C#N)CCCC1 ZINC000593433020 355884764 /nfs/dbraw/zinc/88/47/64/355884764.db2.gz YAZXVDPIWONIDD-AWEZNQCLSA-N 1 2 308.426 1.432 20 30 DDEDLO N#CCCCCC(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000594314224 356171612 /nfs/dbraw/zinc/17/16/12/356171612.db2.gz IFGKNRCWAQEUPS-HNNXBMFYSA-N 1 2 309.410 1.020 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC[C@@H]1CCOC1 ZINC000329253653 222952834 /nfs/dbraw/zinc/95/28/34/222952834.db2.gz ZGZWHNITTJVDFB-KFWWJZLASA-N 1 2 311.426 1.122 20 30 DDEDLO Cc1cn2cc(NC(=O)C(=O)N3CC[C@@](C)(C#N)C3)ccc2[nH+]1 ZINC000595208998 356408099 /nfs/dbraw/zinc/40/80/99/356408099.db2.gz ZETXJBMZAPICEW-INIZCTEOSA-N 1 2 311.345 1.343 20 30 DDEDLO CC(C)(CNC(=O)N1CCC[C@H](CC#N)C1)[NH+]1CCOCC1 ZINC000595425460 356487803 /nfs/dbraw/zinc/48/78/03/356487803.db2.gz SBJMIHRAXKBDBR-CQSZACIVSA-N 1 2 308.426 1.432 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N1CCC[C@H](CC#N)C1)[NH+]1CCOCC1 ZINC000595514747 356527161 /nfs/dbraw/zinc/52/71/61/356527161.db2.gz FEONNGLEIDTOIX-RRFJBIMHSA-N 1 2 308.426 1.431 20 30 DDEDLO N#Cc1ccc(OCC[NH+]2CCN(c3ncns3)CC2)cc1 ZINC000595515611 356527997 /nfs/dbraw/zinc/52/79/97/356527997.db2.gz LJAVABMTXHPCIG-UHFFFAOYSA-N 1 2 315.402 1.611 20 30 DDEDLO C=C[C@H](C(=O)OC)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000595626088 356583581 /nfs/dbraw/zinc/58/35/81/356583581.db2.gz LKLYNUNIGVNCHG-ZACQAIPSSA-N 1 2 316.401 1.299 20 30 DDEDLO C=C[C@H](C(=O)OC)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000595626088 356583586 /nfs/dbraw/zinc/58/35/86/356583586.db2.gz LKLYNUNIGVNCHG-ZACQAIPSSA-N 1 2 316.401 1.299 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CC(=O)OC)C1CCCCC1 ZINC000595668316 356601804 /nfs/dbraw/zinc/60/18/04/356601804.db2.gz NUOLMXDJGHGZRL-AWEZNQCLSA-N 1 2 323.437 1.802 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CC(=O)OC)C1CCCCC1 ZINC000595668316 356601806 /nfs/dbraw/zinc/60/18/06/356601806.db2.gz NUOLMXDJGHGZRL-AWEZNQCLSA-N 1 2 323.437 1.802 20 30 DDEDLO CN1CC[N@H+](Cc2ccc(C#N)cc2Br)CCC1=O ZINC000595774572 356647394 /nfs/dbraw/zinc/64/73/94/356647394.db2.gz VSVMUTMMFWYEHH-UHFFFAOYSA-N 1 2 322.206 1.985 20 30 DDEDLO CN1CC[N@@H+](Cc2ccc(C#N)cc2Br)CCC1=O ZINC000595774572 356647397 /nfs/dbraw/zinc/64/73/97/356647397.db2.gz VSVMUTMMFWYEHH-UHFFFAOYSA-N 1 2 322.206 1.985 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCOC[C@H]1c1cccc(F)c1 ZINC000595760401 356641248 /nfs/dbraw/zinc/64/12/48/356641248.db2.gz QYLHVMODUZKEIC-INIZCTEOSA-N 1 2 319.380 1.961 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCOC[C@H]1c1cccc(F)c1 ZINC000595760401 356641250 /nfs/dbraw/zinc/64/12/50/356641250.db2.gz QYLHVMODUZKEIC-INIZCTEOSA-N 1 2 319.380 1.961 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@@H+]1CCOc1ccccc1C#N ZINC000595803483 356657848 /nfs/dbraw/zinc/65/78/48/356657848.db2.gz VOQIPGMWBFWESL-QGZVFWFLSA-N 1 2 318.373 1.591 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@H+]1CCOc1ccccc1C#N ZINC000595803483 356657850 /nfs/dbraw/zinc/65/78/50/356657850.db2.gz VOQIPGMWBFWESL-QGZVFWFLSA-N 1 2 318.373 1.591 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@@H+]1Cc1ccc(C#N)cc1OC ZINC000595807170 356658794 /nfs/dbraw/zinc/65/87/94/356658794.db2.gz NLUFDKQQLBYFQR-QGZVFWFLSA-N 1 2 318.373 1.721 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@H+]1Cc1ccc(C#N)cc1OC ZINC000595807170 356658796 /nfs/dbraw/zinc/65/87/96/356658796.db2.gz NLUFDKQQLBYFQR-QGZVFWFLSA-N 1 2 318.373 1.721 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@H](C)[N@@H+]2CC[C@](C)(C#N)C2)CC1 ZINC000595840261 356673264 /nfs/dbraw/zinc/67/32/64/356673264.db2.gz MNRRBYQBJKCFFO-BLLLJJGKSA-N 1 2 307.394 1.022 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@H](C)[N@H+]2CC[C@](C)(C#N)C2)CC1 ZINC000595840261 356673267 /nfs/dbraw/zinc/67/32/67/356673267.db2.gz MNRRBYQBJKCFFO-BLLLJJGKSA-N 1 2 307.394 1.022 20 30 DDEDLO N#Cc1cc2cccnc2nc1N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000596200373 356812152 /nfs/dbraw/zinc/81/21/52/356812152.db2.gz NHFRHIHOFKXRID-MRXNPFEDSA-N 1 2 323.400 1.802 20 30 DDEDLO CC(C)(C)n1ncc2c1nc(N1CC[C@](O)(CC#N)C1)[nH+]c2N ZINC000596288709 356845843 /nfs/dbraw/zinc/84/58/43/356845843.db2.gz NQGFWAYDTKQLJU-OAHLLOKOSA-N 1 2 315.381 1.018 20 30 DDEDLO CC1(C)Cc2n[nH]cc2C[N@@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC000596597835 356930244 /nfs/dbraw/zinc/93/02/44/356930244.db2.gz YTPQCMPMUDHHGQ-UHFFFAOYSA-N 1 2 301.394 1.356 20 30 DDEDLO CC1(C)Cc2n[nH]cc2C[N@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC000596597835 356930249 /nfs/dbraw/zinc/93/02/49/356930249.db2.gz YTPQCMPMUDHHGQ-UHFFFAOYSA-N 1 2 301.394 1.356 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)c1cc(F)c(C#N)c(F)c1)CC2 ZINC000597492611 357195636 /nfs/dbraw/zinc/19/56/36/357195636.db2.gz SYDHONUSUATNCI-UHFFFAOYSA-N 1 2 302.284 1.997 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@@H](NC(=O)N[C@H]2CCCOC2)CCO1 ZINC000329708196 223016411 /nfs/dbraw/zinc/01/64/11/223016411.db2.gz MBBJWXYVFXPLKU-OBJOEFQTSA-N 1 2 308.382 1.323 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N[C@H]2CCn3c[nH+]cc3C2)c1 ZINC000598947211 357770753 /nfs/dbraw/zinc/77/07/53/357770753.db2.gz KEFRVEXIZFOCQY-AWEZNQCLSA-N 1 2 309.373 1.566 20 30 DDEDLO N#Cc1cnc(NC2CC([NH+]3CCOCC3)C2)c([N+](=O)[O-])c1 ZINC000599094286 357814486 /nfs/dbraw/zinc/81/44/86/357814486.db2.gz SRJZRXINSLLPPQ-UHFFFAOYSA-N 1 2 303.322 1.137 20 30 DDEDLO C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000599229212 357856195 /nfs/dbraw/zinc/85/61/95/357856195.db2.gz DVAUMQCSOMUKSB-CAOSSQGBSA-N 1 2 323.437 1.120 20 30 DDEDLO C[C@H](O)C[N@H+](CC(F)F)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000599435650 357935448 /nfs/dbraw/zinc/93/54/48/357935448.db2.gz YGCKSRWFASHAAF-RYUDHWBXSA-N 1 2 306.353 1.006 20 30 DDEDLO C[C@H](O)C[N@@H+](CC(F)F)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000599435650 357935450 /nfs/dbraw/zinc/93/54/50/357935450.db2.gz YGCKSRWFASHAAF-RYUDHWBXSA-N 1 2 306.353 1.006 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@H]1CCn2cc(C)[nH+]c2C1)C(=O)OCC ZINC000599997046 358099454 /nfs/dbraw/zinc/09/94/54/358099454.db2.gz RFJSXXOYKDILGQ-KBPBESRZSA-N 1 2 319.405 1.768 20 30 DDEDLO N#Cc1cc(C(=O)Nc2ccccc2C[NH+]2CCOCC2)c[nH]1 ZINC000180812699 199212896 /nfs/dbraw/zinc/21/28/96/199212896.db2.gz HOTITABNZIJBSL-UHFFFAOYSA-N 1 2 310.357 1.971 20 30 DDEDLO CCn1cc[nH+]c1CNS(=O)(=O)Cc1ccc(C#N)cc1F ZINC000601471192 358568475 /nfs/dbraw/zinc/56/84/75/358568475.db2.gz UMUAQDQOXLISEL-UHFFFAOYSA-N 1 2 322.365 1.533 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N[C@@H]1CCn2c[nH+]cc2C1 ZINC000601967271 358756978 /nfs/dbraw/zinc/75/69/78/358756978.db2.gz FSSXYWKSKYCGJY-CYBMUJFWSA-N 1 2 316.386 1.357 20 30 DDEDLO CCc1c[nH]c(CC(=O)N2CCN(c3cc(C#N)ccn3)CC2)[nH+]1 ZINC000602054431 358794389 /nfs/dbraw/zinc/79/43/89/358794389.db2.gz FMOJDSZJLYYVCA-UHFFFAOYSA-N 1 2 324.388 1.130 20 30 DDEDLO N#Cc1ccnc(N2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)CC2)c1 ZINC000602055765 358795147 /nfs/dbraw/zinc/79/51/47/358795147.db2.gz SLPZPFNBSQXZIQ-ZIAGYGMSSA-N 1 2 322.372 1.129 20 30 DDEDLO N#Cc1ccnc(N2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)CC2)c1 ZINC000602055765 358795151 /nfs/dbraw/zinc/79/51/51/358795151.db2.gz SLPZPFNBSQXZIQ-ZIAGYGMSSA-N 1 2 322.372 1.129 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)NCC(C)(C)C#N)cc1 ZINC000602129277 358832956 /nfs/dbraw/zinc/83/29/56/358832956.db2.gz FPGVMEDNHLEQMG-OAHLLOKOSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2C(=O)NCC(C)(C)C#N)cc1 ZINC000602129277 358832959 /nfs/dbraw/zinc/83/29/59/358832959.db2.gz FPGVMEDNHLEQMG-OAHLLOKOSA-N 1 2 301.390 1.935 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CCN(CCC#N)CC1)n1cc[nH+]c1 ZINC000602198134 358878566 /nfs/dbraw/zinc/87/85/66/358878566.db2.gz FOGPUAJBMBIBIO-OAHLLOKOSA-N 1 2 303.410 1.528 20 30 DDEDLO Cc1ccc(-c2nn(C)cc2C(=O)N[C@](C)(C#N)C[NH+](C)C)o1 ZINC000602336085 358936242 /nfs/dbraw/zinc/93/62/42/358936242.db2.gz XXCYPIVMIXUNBK-MRXNPFEDSA-N 1 2 315.377 1.562 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](C[C@@H]2COc3ccccc3O2)CC1 ZINC000602793601 359196069 /nfs/dbraw/zinc/19/60/69/359196069.db2.gz AYUYOCPSFMTSQV-HZPDHXFCSA-N 1 2 315.417 1.992 20 30 DDEDLO C[C@H](CC1(C#N)CCOCC1)[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC000602708127 359139065 /nfs/dbraw/zinc/13/90/65/359139065.db2.gz WLPPXNUVHBLERG-GFCCVEGCSA-N 1 2 306.414 1.617 20 30 DDEDLO COc1cccc(NC(=O)C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000602855735 359242020 /nfs/dbraw/zinc/24/20/20/359242020.db2.gz IJLKWUSKZGLVRF-OKILXGFUSA-N 1 2 316.405 1.552 20 30 DDEDLO COc1cccc(NC(=O)C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000602855735 359242024 /nfs/dbraw/zinc/24/20/24/359242024.db2.gz IJLKWUSKZGLVRF-OKILXGFUSA-N 1 2 316.405 1.552 20 30 DDEDLO COC(=O)c1sccc1C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602864952 359249262 /nfs/dbraw/zinc/24/92/62/359249262.db2.gz RETCBUXTVHPFSV-VXGBXAGGSA-N 1 2 307.419 1.953 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2cccs2)C[C@H](C)N1CC#N ZINC000602866441 359250409 /nfs/dbraw/zinc/25/04/09/359250409.db2.gz UHUFCLUVDAEXPJ-BETUJISGSA-N 1 2 306.435 1.282 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2cccs2)C[C@H](C)N1CC#N ZINC000602866441 359250413 /nfs/dbraw/zinc/25/04/13/359250413.db2.gz UHUFCLUVDAEXPJ-BETUJISGSA-N 1 2 306.435 1.282 20 30 DDEDLO Cc1[nH]ncc1C[N@H+](C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000602941022 359310036 /nfs/dbraw/zinc/31/00/36/359310036.db2.gz ZPHFZJBYZIZYGL-OAHLLOKOSA-N 1 2 300.362 1.462 20 30 DDEDLO Cc1[nH]ncc1C[N@@H+](C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000602941022 359310041 /nfs/dbraw/zinc/31/00/41/359310041.db2.gz ZPHFZJBYZIZYGL-OAHLLOKOSA-N 1 2 300.362 1.462 20 30 DDEDLO CS(=O)(=O)[C@@H]1C[N@H+](CC2(CC#N)CC2)C[C@H]1C(F)(F)F ZINC000602885280 359269224 /nfs/dbraw/zinc/26/92/24/359269224.db2.gz SIDPCULYIBLGMN-NXEZZACHSA-N 1 2 310.341 1.588 20 30 DDEDLO CS(=O)(=O)[C@@H]1C[N@@H+](CC2(CC#N)CC2)C[C@H]1C(F)(F)F ZINC000602885280 359269226 /nfs/dbraw/zinc/26/92/26/359269226.db2.gz SIDPCULYIBLGMN-NXEZZACHSA-N 1 2 310.341 1.588 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NCc1cccs1)[C@@H]1CCC[C@@H]1C#N ZINC000602976165 359335848 /nfs/dbraw/zinc/33/58/48/359335848.db2.gz MCSMHBRBHMNYLD-DGCLKSJQSA-N 1 2 320.418 1.698 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NCc1cccs1)[C@@H]1CCC[C@@H]1C#N ZINC000602976165 359335853 /nfs/dbraw/zinc/33/58/53/359335853.db2.gz MCSMHBRBHMNYLD-DGCLKSJQSA-N 1 2 320.418 1.698 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)nn1 ZINC000603177067 359459719 /nfs/dbraw/zinc/45/97/19/359459719.db2.gz QGPBKYXDEHYADN-XJKSGUPXSA-N 1 2 316.409 1.006 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCOc2cccc([N+](=O)[O-])c2)nn1 ZINC000603202136 359476678 /nfs/dbraw/zinc/47/66/78/359476678.db2.gz VJGPZQMJXKJXAH-UHFFFAOYSA-N 1 2 315.333 1.378 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@@H](O)Cn3cc(CCC#N)nn3)c2cc1C ZINC000603263325 359525496 /nfs/dbraw/zinc/52/54/96/359525496.db2.gz GJOLKLMLOGQKJY-OAHLLOKOSA-N 1 2 324.388 1.762 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)CC(=O)N(C)C1CCCCC1 ZINC000603876573 359691437 /nfs/dbraw/zinc/69/14/37/359691437.db2.gz GBWIKVIFSSDBAW-UHFFFAOYSA-N 1 2 322.453 1.471 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)CC(=O)N(C)C1CCCCC1 ZINC000603876573 359691443 /nfs/dbraw/zinc/69/14/43/359691443.db2.gz GBWIKVIFSSDBAW-UHFFFAOYSA-N 1 2 322.453 1.471 20 30 DDEDLO C#CCOc1ccccc1NC(=O)N(C)CC[NH+]1CCOCC1 ZINC000188106282 200194972 /nfs/dbraw/zinc/19/49/72/200194972.db2.gz FAEKRPHQXXLJNM-UHFFFAOYSA-N 1 2 317.389 1.495 20 30 DDEDLO C=CCOCCCC(=O)N1CCN(Cc2[nH+]ccn2CC)CC1 ZINC000608132549 360129003 /nfs/dbraw/zinc/12/90/03/360129003.db2.gz ANRZMRMDOJDMSW-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000608814022 360246582 /nfs/dbraw/zinc/24/65/82/360246582.db2.gz MWWNOHZYYPAUEL-UHFFFAOYSA-N 1 2 320.312 1.795 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609537997 360321334 /nfs/dbraw/zinc/32/13/34/360321334.db2.gz GXXSMRJLBPRAHG-DLBZAZTESA-N 1 2 320.481 1.965 20 30 DDEDLO CCN(C)C(=O)C[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000611552469 360756702 /nfs/dbraw/zinc/75/67/02/360756702.db2.gz BKDCPRKKMLNYDN-MRXNPFEDSA-N 1 2 318.396 1.486 20 30 DDEDLO Cn1ncc2c1CCC[C@@H]2NC([O-])=[NH+][C@H]1CCn2cc[nH+]c2C1 ZINC000330127412 223078020 /nfs/dbraw/zinc/07/80/20/223078020.db2.gz CPVICDRQELVRFK-AAEUAGOBSA-N 1 2 314.393 1.513 20 30 DDEDLO COc1ccc(C[NH2+]Cc2cn(C)nn2)cc1OCCCC#N ZINC000611931030 360860644 /nfs/dbraw/zinc/86/06/44/360860644.db2.gz BCTZWRGWMSMYRX-UHFFFAOYSA-N 1 2 315.377 1.796 20 30 DDEDLO COC(=O)[C@@H](CC(C)C)NC(=O)C[NH+]1CCC(F)(C#N)CC1 ZINC000352479103 291246809 /nfs/dbraw/zinc/24/68/09/291246809.db2.gz AOOLQQNKUJAKHG-GFCCVEGCSA-N 1 2 313.373 1.018 20 30 DDEDLO CN(C)C(=O)CCCNC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000330592748 223128556 /nfs/dbraw/zinc/12/85/56/223128556.db2.gz RWRQJJLKKJBSJB-UHFFFAOYSA-N 1 2 307.398 1.302 20 30 DDEDLO CN(C)C(=O)CCC[NH+]=C([O-])N1CCC(n2cc[nH+]c2)CC1 ZINC000330592748 223128559 /nfs/dbraw/zinc/12/85/59/223128559.db2.gz RWRQJJLKKJBSJB-UHFFFAOYSA-N 1 2 307.398 1.302 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[NH+]1CCN(S(=O)(=O)N(C)C)CC1 ZINC000612641721 361090083 /nfs/dbraw/zinc/09/00/83/361090083.db2.gz ARKIQJCAJLMWIC-CYBMUJFWSA-N 1 2 322.434 1.043 20 30 DDEDLO CSc1c(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)cnn1C ZINC000331093244 223185337 /nfs/dbraw/zinc/18/53/37/223185337.db2.gz JQLDGBOOLRFZJL-GHMZBOCLSA-N 1 2 312.439 1.556 20 30 DDEDLO N#C[C@@H]1CCC[C@@H]1[NH2+]CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000331686854 223208447 /nfs/dbraw/zinc/20/84/47/223208447.db2.gz SWQCIBXZHVEILF-AAEUAGOBSA-N 1 2 301.346 1.678 20 30 DDEDLO C[C@H](C#N)C[N@H+](CCOc1ccc(S(N)(=O)=O)cc1)C1CC1 ZINC000338354641 223259284 /nfs/dbraw/zinc/25/92/84/223259284.db2.gz ZSIOVUVQSAYTPC-GFCCVEGCSA-N 1 2 323.418 1.337 20 30 DDEDLO C[C@H](C#N)C[N@@H+](CCOc1ccc(S(N)(=O)=O)cc1)C1CC1 ZINC000338354641 223259286 /nfs/dbraw/zinc/25/92/86/223259286.db2.gz ZSIOVUVQSAYTPC-GFCCVEGCSA-N 1 2 323.418 1.337 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)NCC#Cc1cccc(F)c1 ZINC000337886912 223252455 /nfs/dbraw/zinc/25/24/55/223252455.db2.gz DTTMPJKXNVSJQY-CYBMUJFWSA-N 1 2 300.337 1.762 20 30 DDEDLO O=C(NCC#Cc1cccc(F)c1)NCCCn1cc[nH+]c1 ZINC000337910437 223252919 /nfs/dbraw/zinc/25/29/19/223252919.db2.gz XSYJRVSIEJDJGD-UHFFFAOYSA-N 1 2 300.337 1.763 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@H](c1ccc(Cl)cc1)[C@H]1C[N@H+](C)CCO1 ZINC000613153912 361296497 /nfs/dbraw/zinc/29/64/97/361296497.db2.gz HTVPLHANYVRKID-RRFJBIMHSA-N 1 2 324.808 1.375 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@H](c1ccc(Cl)cc1)[C@H]1C[N@@H+](C)CCO1 ZINC000613153912 361296500 /nfs/dbraw/zinc/29/65/00/361296500.db2.gz HTVPLHANYVRKID-RRFJBIMHSA-N 1 2 324.808 1.375 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)c1 ZINC000619141350 363912443 /nfs/dbraw/zinc/91/24/43/363912443.db2.gz ATHQDZLIOJZNJB-MRXNPFEDSA-N 1 2 309.325 1.952 20 30 DDEDLO COCC[N@H+](CCC#N)Cc1ccc2c(c1)sc(=O)n2C ZINC000619424213 364003635 /nfs/dbraw/zinc/00/36/35/364003635.db2.gz NJHGLSQQVHQLMN-UHFFFAOYSA-N 1 2 305.403 1.962 20 30 DDEDLO COCC[N@@H+](CCC#N)Cc1ccc2c(c1)sc(=O)n2C ZINC000619424213 364003639 /nfs/dbraw/zinc/00/36/39/364003639.db2.gz NJHGLSQQVHQLMN-UHFFFAOYSA-N 1 2 305.403 1.962 20 30 DDEDLO Cc1c[nH]nc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000619667806 364108963 /nfs/dbraw/zinc/10/89/63/364108963.db2.gz SXGQLUFCNKFAQS-UHFFFAOYSA-N 1 2 309.373 1.548 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@@H+]1CCOC[C@@H]1CC(=O)c1ccco1 ZINC000621284599 364773007 /nfs/dbraw/zinc/77/30/07/364773007.db2.gz XSFZOHDNIXULLI-UONOGXRCSA-N 1 2 320.389 1.854 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@H+]1CCOC[C@@H]1CC(=O)c1ccco1 ZINC000621284599 364773011 /nfs/dbraw/zinc/77/30/11/364773011.db2.gz XSFZOHDNIXULLI-UONOGXRCSA-N 1 2 320.389 1.854 20 30 DDEDLO C=C(C)C[N@@H+](CCS(=O)(=O)NC)Cc1cnn(C(C)C)c1 ZINC000621295027 364778138 /nfs/dbraw/zinc/77/81/38/364778138.db2.gz WHZFTGQEFRQRRG-UHFFFAOYSA-N 1 2 314.455 1.391 20 30 DDEDLO C=C(C)C[N@H+](CCS(=O)(=O)NC)Cc1cnn(C(C)C)c1 ZINC000621295027 364778145 /nfs/dbraw/zinc/77/81/45/364778145.db2.gz WHZFTGQEFRQRRG-UHFFFAOYSA-N 1 2 314.455 1.391 20 30 DDEDLO CC[N@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)[C@@H]1CCNC1=O ZINC000282268330 216871197 /nfs/dbraw/zinc/87/11/97/216871197.db2.gz HMAFYXKOPUYYBP-CYBMUJFWSA-N 1 2 320.780 1.361 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1ccc(C#N)c(Cl)c1)[C@@H]1CCNC1=O ZINC000282268330 216871199 /nfs/dbraw/zinc/87/11/99/216871199.db2.gz HMAFYXKOPUYYBP-CYBMUJFWSA-N 1 2 320.780 1.361 20 30 DDEDLO C#CCCNC(=O)N[C@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000265045852 204363046 /nfs/dbraw/zinc/36/30/46/204363046.db2.gz JCBOKVSOMUSZOL-AWEZNQCLSA-N 1 2 300.337 1.971 20 30 DDEDLO N#Cc1ccc(N2CC[NH+]([C@H](C(N)=O)c3ccccc3)CC2)cn1 ZINC000267399663 206037518 /nfs/dbraw/zinc/03/75/18/206037518.db2.gz PDLKNMCURBLXNJ-KRWDZBQOSA-N 1 2 321.384 1.302 20 30 DDEDLO C[C@](O)(C[NH+]1CCN(c2cccc(C#N)n2)CC1)C(F)(F)F ZINC000337205692 249389524 /nfs/dbraw/zinc/38/95/24/249389524.db2.gz LBRZTDSBQIOHRQ-ZDUSSCGKSA-N 1 2 314.311 1.389 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCC[N@H+](CCOc2ccc(C#N)cc2)C1 ZINC000274160439 211390357 /nfs/dbraw/zinc/39/03/57/211390357.db2.gz MCKZLGUETCUANA-OAHLLOKOSA-N 1 2 308.403 1.446 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCC[N@@H+](CCOc2ccc(C#N)cc2)C1 ZINC000274160439 211390360 /nfs/dbraw/zinc/39/03/60/211390360.db2.gz MCKZLGUETCUANA-OAHLLOKOSA-N 1 2 308.403 1.446 20 30 DDEDLO N#C[C@@H]1CN(C(=O)NCc2cn3cc(Cl)ccc3[nH+]2)CCO1 ZINC000106330254 194196857 /nfs/dbraw/zinc/19/68/57/194196857.db2.gz BHQNZRPRGROHSO-GFCCVEGCSA-N 1 2 319.752 1.422 20 30 DDEDLO CN(Cc1nnc(-c2cccc(C#N)c2)o1)Cc1[nH+]ccn1C ZINC000348290731 269690447 /nfs/dbraw/zinc/69/04/47/269690447.db2.gz FUBAJBQKBMTKMA-UHFFFAOYSA-N 1 2 308.345 1.974 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)NCc1cc(C#N)ccc1F ZINC000341124045 251395449 /nfs/dbraw/zinc/39/54/49/251395449.db2.gz MDESIKPCKFCVJP-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)NCc1cc(C#N)ccc1F ZINC000341124045 251395453 /nfs/dbraw/zinc/39/54/53/251395453.db2.gz MDESIKPCKFCVJP-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO COC[C@H](C)CNC(=O)N[C@@H]1CCO[C@@H](c2c[nH+]cn2C)C1 ZINC000331207699 532960259 /nfs/dbraw/zinc/96/02/59/532960259.db2.gz VERAGIMVZYYDTM-YRGRVCCFSA-N 1 2 310.398 1.426 20 30 DDEDLO C#CCCCCNC(=O)N1CCN(C2=[NH+]CC(C)(C)S2)CC1 ZINC000270013889 208067240 /nfs/dbraw/zinc/06/72/40/208067240.db2.gz SMMHVBJKGYRPPH-UHFFFAOYSA-N 1 2 322.478 1.999 20 30 DDEDLO C[C@@H](C(=O)NCCc1cn2c(n1)SCC2)[NH+]1CCOCC1 ZINC000329795795 533097580 /nfs/dbraw/zinc/09/75/80/533097580.db2.gz DGFUGIHMLNXPJD-NSHDSACASA-N 1 2 310.423 1.209 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)N(C)CC(F)(F)F)CC1 ZINC000341992546 533110314 /nfs/dbraw/zinc/11/03/14/533110314.db2.gz NEVFBKBUJOOQAE-UHFFFAOYSA-N 1 2 307.316 1.259 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000155489598 197059138 /nfs/dbraw/zinc/05/91/38/197059138.db2.gz RMADTMHSJPMGSP-NSHDSACASA-N 1 2 312.377 1.664 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000155489598 197059140 /nfs/dbraw/zinc/05/91/40/197059140.db2.gz RMADTMHSJPMGSP-NSHDSACASA-N 1 2 312.377 1.664 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[NH+]1CCC(O)(C(F)(F)F)CC1 ZINC000346504008 533238473 /nfs/dbraw/zinc/23/84/73/533238473.db2.gz QNBLKCQJPIPCMM-JTQLQIEISA-N 1 2 319.327 1.327 20 30 DDEDLO C[C@@H](CNC(=O)c1c(F)ccc(O)c1F)[NH+]1CCOCC1 ZINC000330647767 533363151 /nfs/dbraw/zinc/36/31/51/533363151.db2.gz NKGINTMIGZIBKG-VIFPVBQESA-N 1 2 300.305 1.696 20 30 DDEDLO Cc1c(C[N@H+](C)CC(=O)Nc2sccc2C#N)cnn1C ZINC000014192369 406873022 /nfs/dbraw/zinc/87/30/22/406873022.db2.gz HZPGSJWXPLQHIR-UHFFFAOYSA-N 1 2 303.391 1.732 20 30 DDEDLO Cc1c(C[N@@H+](C)CC(=O)Nc2sccc2C#N)cnn1C ZINC000014192369 406873023 /nfs/dbraw/zinc/87/30/23/406873023.db2.gz HZPGSJWXPLQHIR-UHFFFAOYSA-N 1 2 303.391 1.732 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000078321018 407025354 /nfs/dbraw/zinc/02/53/54/407025354.db2.gz DOFQIBSLJROTET-CQSZACIVSA-N 1 2 306.362 1.483 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000078321018 407025356 /nfs/dbraw/zinc/02/53/56/407025356.db2.gz DOFQIBSLJROTET-CQSZACIVSA-N 1 2 306.362 1.483 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1ccc(Cl)nc1 ZINC000077510580 406994234 /nfs/dbraw/zinc/99/42/34/406994234.db2.gz JJDDLTMWLDRPMG-UHFFFAOYSA-N 1 2 322.796 1.423 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000046599800 407061579 /nfs/dbraw/zinc/06/15/79/407061579.db2.gz BBPJIZIDVMYEGH-NSHDSACASA-N 1 2 320.349 1.427 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000046599800 407061581 /nfs/dbraw/zinc/06/15/81/407061581.db2.gz BBPJIZIDVMYEGH-NSHDSACASA-N 1 2 320.349 1.427 20 30 DDEDLO C=CCNC(=O)[C@H](C)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000081018882 407073335 /nfs/dbraw/zinc/07/33/35/407073335.db2.gz DBPWYUUCXYVDOS-AWEZNQCLSA-N 1 2 303.406 1.201 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(Cc2cccc(O)c2)CC1 ZINC000081018882 407073338 /nfs/dbraw/zinc/07/33/38/407073338.db2.gz DBPWYUUCXYVDOS-AWEZNQCLSA-N 1 2 303.406 1.201 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+]1CCNC(=O)CC1 ZINC000054867909 407189564 /nfs/dbraw/zinc/18/95/64/407189564.db2.gz UADLEBBJHCVNHG-CQSZACIVSA-N 1 2 314.389 1.144 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@H+]1CCNC(=O)CC1 ZINC000054867909 407189566 /nfs/dbraw/zinc/18/95/66/407189566.db2.gz UADLEBBJHCVNHG-CQSZACIVSA-N 1 2 314.389 1.144 20 30 DDEDLO C#CC[N@@H+](CCCOc1ccccc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000066537964 407254216 /nfs/dbraw/zinc/25/42/16/407254216.db2.gz CAUWHMLCMKIGKQ-MRXNPFEDSA-N 1 2 321.442 1.886 20 30 DDEDLO C#CC[N@H+](CCCOc1ccccc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000066537964 407254218 /nfs/dbraw/zinc/25/42/18/407254218.db2.gz CAUWHMLCMKIGKQ-MRXNPFEDSA-N 1 2 321.442 1.886 20 30 DDEDLO CN(CCOc1ccccc1F)C(=O)C[NH+]1CCC(C#N)CC1 ZINC000057873411 407218265 /nfs/dbraw/zinc/21/82/65/407218265.db2.gz LYNGBZRTSRAKEC-UHFFFAOYSA-N 1 2 319.380 1.898 20 30 DDEDLO C=CCCC(=O)NCc1ccc[nH+]c1N1CCC(C(N)=O)CC1 ZINC000104418916 407351179 /nfs/dbraw/zinc/35/11/79/407351179.db2.gz CQGQYZFHMZMDII-UHFFFAOYSA-N 1 2 316.405 1.366 20 30 DDEDLO COC(=O)c1cccc(C[NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)c1 ZINC000124599937 407363603 /nfs/dbraw/zinc/36/36/03/407363603.db2.gz VHPZKUKUANZSPX-INIZCTEOSA-N 1 2 323.352 1.661 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCC(c2ncc(C(F)(F)F)[nH]2)CC1 ZINC000125536239 407391317 /nfs/dbraw/zinc/39/13/17/407391317.db2.gz JJFJZUKJHYLGAJ-UHFFFAOYSA-N 1 2 316.327 1.910 20 30 DDEDLO CC1(C)C(=O)NCC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000108862351 407391397 /nfs/dbraw/zinc/39/13/97/407391397.db2.gz YRKVYHVBHYOZPW-UHFFFAOYSA-N 1 2 306.391 1.159 20 30 DDEDLO CC1(C)C(=O)NCC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000108862351 407391398 /nfs/dbraw/zinc/39/13/98/407391398.db2.gz YRKVYHVBHYOZPW-UHFFFAOYSA-N 1 2 306.391 1.159 20 30 DDEDLO CCC[N@H+](CC(=O)NCC#N)CC(=O)Nc1ccc(Cl)cc1 ZINC000112350095 407427079 /nfs/dbraw/zinc/42/70/79/407427079.db2.gz RTUWUYDIAILMRS-UHFFFAOYSA-N 1 2 322.796 1.630 20 30 DDEDLO CCC[N@@H+](CC(=O)NCC#N)CC(=O)Nc1ccc(Cl)cc1 ZINC000112350095 407427080 /nfs/dbraw/zinc/42/70/80/407427080.db2.gz RTUWUYDIAILMRS-UHFFFAOYSA-N 1 2 322.796 1.630 20 30 DDEDLO CC(C)c1[nH+]c2c(n1C)CCN(S(=O)(=O)CCCC#N)C2 ZINC000113299261 407494207 /nfs/dbraw/zinc/49/42/07/407494207.db2.gz NPYUDFKQOCZQBX-UHFFFAOYSA-N 1 2 310.423 1.535 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[N@@H+](C)CC1(C)C ZINC000271068384 407497004 /nfs/dbraw/zinc/49/70/04/407497004.db2.gz VBIROAODXREJBB-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[N@H+](C)CC1(C)C ZINC000271068384 407497009 /nfs/dbraw/zinc/49/70/09/407497009.db2.gz VBIROAODXREJBB-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[NH+]1CCC(CCC(N)=O)CC1 ZINC000271091145 407508585 /nfs/dbraw/zinc/50/85/85/407508585.db2.gz HLEKPDCCACAVJN-UHFFFAOYSA-N 1 2 320.418 1.536 20 30 DDEDLO C[C@@]1(C(N)=O)CCCC[N@@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000185937834 407544047 /nfs/dbraw/zinc/54/40/47/407544047.db2.gz KGPVRMBGZJOBNM-INIZCTEOSA-N 1 2 300.362 1.227 20 30 DDEDLO C[C@@]1(C(N)=O)CCCC[N@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000185937834 407544051 /nfs/dbraw/zinc/54/40/51/407544051.db2.gz KGPVRMBGZJOBNM-INIZCTEOSA-N 1 2 300.362 1.227 20 30 DDEDLO C[N@H+](CCc1cnccn1)CC(=O)Nc1sccc1C#N ZINC000271292892 407618735 /nfs/dbraw/zinc/61/87/35/407618735.db2.gz CPDDCXKJVUQLBR-UHFFFAOYSA-N 1 2 301.375 1.523 20 30 DDEDLO C[N@@H+](CCc1cnccn1)CC(=O)Nc1sccc1C#N ZINC000271292892 407618741 /nfs/dbraw/zinc/61/87/41/407618741.db2.gz CPDDCXKJVUQLBR-UHFFFAOYSA-N 1 2 301.375 1.523 20 30 DDEDLO Cc1cc(C#N)ccc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000186248985 407619462 /nfs/dbraw/zinc/61/94/62/407619462.db2.gz CSTHSOJJTGTKLP-UHFFFAOYSA-N 1 2 312.417 1.915 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1ccc(F)c(C#N)c1 ZINC000171085520 407594954 /nfs/dbraw/zinc/59/49/54/407594954.db2.gz CBWQKIRGQXVEFE-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1ccc(F)c(C#N)c1 ZINC000171085520 407594960 /nfs/dbraw/zinc/59/49/60/407594960.db2.gz CBWQKIRGQXVEFE-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+]Cc2cccc3c2OCO3)C(N)=O)cc1 ZINC000115597942 407699793 /nfs/dbraw/zinc/69/97/93/407699793.db2.gz OEZFCQWSQVYLOR-HNNXBMFYSA-N 1 2 309.325 1.603 20 30 DDEDLO N#CCCCCNC(=O)N1CCCN(c2cccc[nH+]2)CC1 ZINC000186428801 407661336 /nfs/dbraw/zinc/66/13/36/407661336.db2.gz WLDDQILZODRBQB-UHFFFAOYSA-N 1 2 301.394 1.997 20 30 DDEDLO C[C@@H](CC#N)N(C)C(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000131958676 407763855 /nfs/dbraw/zinc/76/38/55/407763855.db2.gz IVDZHLCPWNNIPA-LBPRGKRZSA-N 1 2 311.345 1.571 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2cccc(CC#N)c2)CC1 ZINC000171861236 407784003 /nfs/dbraw/zinc/78/40/03/407784003.db2.gz ZCUBHLREGNXGCA-UHFFFAOYSA-N 1 2 301.390 1.547 20 30 DDEDLO C#CCN(CC)CC(=O)Nc1nc(C[NH+]2CCCC2)cs1 ZINC000267280983 407814968 /nfs/dbraw/zinc/81/49/68/407814968.db2.gz HSNJVUJRWDQNQK-UHFFFAOYSA-N 1 2 306.435 1.633 20 30 DDEDLO C=C1CC[NH+](C[C@](C)(O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000272299412 407855439 /nfs/dbraw/zinc/85/54/39/407855439.db2.gz QBAQRTAMKKBIAT-INIZCTEOSA-N 1 2 309.431 1.950 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000134975180 407958559 /nfs/dbraw/zinc/95/85/59/407958559.db2.gz SYPOOONUUVJMMN-JKSUJKDBSA-N 1 2 312.479 1.913 20 30 DDEDLO COCC[N@H+](CC(=O)N(C)C)Cc1ccc(OC)c(C#N)c1 ZINC000134991799 407962649 /nfs/dbraw/zinc/96/26/49/407962649.db2.gz LFRGHAMGVHMOBF-UHFFFAOYSA-N 1 2 305.378 1.103 20 30 DDEDLO COCC[N@@H+](CC(=O)N(C)C)Cc1ccc(OC)c(C#N)c1 ZINC000134991799 407962655 /nfs/dbraw/zinc/96/26/55/407962655.db2.gz LFRGHAMGVHMOBF-UHFFFAOYSA-N 1 2 305.378 1.103 20 30 DDEDLO C=C(C)C[N@@H+](C)[C@H](C)C(=O)N(CCC)[C@@H]1CCS(=O)(=O)C1 ZINC000181270487 407973927 /nfs/dbraw/zinc/97/39/27/407973927.db2.gz UMSSTHAXGPFXTP-ZIAGYGMSSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)C[N@H+](C)[C@H](C)C(=O)N(CCC)[C@@H]1CCS(=O)(=O)C1 ZINC000181270487 407973934 /nfs/dbraw/zinc/97/39/34/407973934.db2.gz UMSSTHAXGPFXTP-ZIAGYGMSSA-N 1 2 316.467 1.309 20 30 DDEDLO C#CCSCCNC(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000153835526 407914059 /nfs/dbraw/zinc/91/40/59/407914059.db2.gz HOTABULVRFTKNO-KGLIPLIRSA-N 1 2 306.435 1.842 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)[NH+]1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000118390758 407914624 /nfs/dbraw/zinc/91/46/24/407914624.db2.gz CUCJFQLLAJLRDR-RHSMWYFYSA-N 1 2 313.401 1.942 20 30 DDEDLO N#Cc1nc(-c2ccco2)oc1N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000268167292 407926874 /nfs/dbraw/zinc/92/68/74/407926874.db2.gz ULRDMWVCVDAOSI-GFCCVEGCSA-N 1 2 314.345 1.717 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC000268415333 408035179 /nfs/dbraw/zinc/03/51/79/408035179.db2.gz BOPXBGBTUVKVEL-AWEZNQCLSA-N 1 2 303.410 1.493 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC000268415333 408035188 /nfs/dbraw/zinc/03/51/88/408035188.db2.gz BOPXBGBTUVKVEL-AWEZNQCLSA-N 1 2 303.410 1.493 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000268415333 408035196 /nfs/dbraw/zinc/03/51/96/408035196.db2.gz BOPXBGBTUVKVEL-AWEZNQCLSA-N 1 2 303.410 1.493 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000268415333 408035202 /nfs/dbraw/zinc/03/52/02/408035202.db2.gz BOPXBGBTUVKVEL-AWEZNQCLSA-N 1 2 303.410 1.493 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000268415333 408035212 /nfs/dbraw/zinc/03/52/12/408035212.db2.gz BOPXBGBTUVKVEL-AWEZNQCLSA-N 1 2 303.410 1.493 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000268415333 408035221 /nfs/dbraw/zinc/03/52/21/408035221.db2.gz BOPXBGBTUVKVEL-AWEZNQCLSA-N 1 2 303.410 1.493 20 30 DDEDLO C=C(Br)CN1CC[N@@H+](CCC(=O)OC)C[C@H]1C ZINC000182108902 408074079 /nfs/dbraw/zinc/07/40/79/408074079.db2.gz VZUBKILGUXDKKC-LLVKDONJSA-N 1 2 305.216 1.464 20 30 DDEDLO C=C(Br)CN1CC[N@H+](CCC(=O)OC)C[C@H]1C ZINC000182108902 408074086 /nfs/dbraw/zinc/07/40/86/408074086.db2.gz VZUBKILGUXDKKC-LLVKDONJSA-N 1 2 305.216 1.464 20 30 DDEDLO C=CCc1cc(C[NH2+]Cc2cc(C(N)=O)no2)cc(OC)c1O ZINC000273386145 408175985 /nfs/dbraw/zinc/17/59/85/408175985.db2.gz IRLLFQNEYYKEND-UHFFFAOYSA-N 1 2 317.345 1.506 20 30 DDEDLO C#CCN(CC)C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000269260344 408238116 /nfs/dbraw/zinc/23/81/16/408238116.db2.gz HWHXPXINPLVPHA-UHFFFAOYSA-N 1 2 302.378 1.714 20 30 DDEDLO N#CC1(C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)CCOCC1 ZINC000121428187 408209591 /nfs/dbraw/zinc/20/95/91/408209591.db2.gz JYZLKUKWHKZTSC-CQSZACIVSA-N 1 2 301.346 1.382 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cc(F)cc(F)c2)CC1 ZINC000122206843 408267563 /nfs/dbraw/zinc/26/75/63/408267563.db2.gz FBRVWPAIBLXCBM-UHFFFAOYSA-N 1 2 309.360 1.385 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@@H]1CCCCO1 ZINC000156675873 408269449 /nfs/dbraw/zinc/26/94/49/408269449.db2.gz PAWJWPFXZFSMNH-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@@H]1CCCCO1 ZINC000156675873 408269451 /nfs/dbraw/zinc/26/94/51/408269451.db2.gz PAWJWPFXZFSMNH-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO C[C@@H]1CCN(S(=O)(=O)CCCCC#N)C[C@@H]1n1cc[nH+]c1 ZINC000151257760 408286431 /nfs/dbraw/zinc/28/64/31/408286431.db2.gz VKLRMPXZGAFGFF-KGLIPLIRSA-N 1 2 310.423 1.790 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCO[C@@H]2CC[C@H](OC)C[C@H]21 ZINC000158383862 408335853 /nfs/dbraw/zinc/33/58/53/408335853.db2.gz SNQOQVICQDGCLG-ARFHVFGLSA-N 1 2 308.422 1.455 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@H](OC)C[C@H]21 ZINC000158383862 408335857 /nfs/dbraw/zinc/33/58/57/408335857.db2.gz SNQOQVICQDGCLG-ARFHVFGLSA-N 1 2 308.422 1.455 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(F)c(C)c2)CC1 ZINC000264443464 408492312 /nfs/dbraw/zinc/49/23/12/408492312.db2.gz ZFHJBCBFRUVLDH-UHFFFAOYSA-N 1 2 310.394 1.464 20 30 DDEDLO C=C1CC[NH+]([C@@H](C)C(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000264520247 408519674 /nfs/dbraw/zinc/51/96/74/408519674.db2.gz ZVLRPNVLVRUVKR-NSHDSACASA-N 1 2 300.362 1.835 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCN([C@@H](C#N)c2cccs2)CC1 ZINC000192229197 408561202 /nfs/dbraw/zinc/56/12/02/408561202.db2.gz FXZMLRTYOJFLFB-AWEZNQCLSA-N 1 2 316.430 1.022 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCN([C@@H](C#N)c2cccs2)CC1 ZINC000192229197 408561208 /nfs/dbraw/zinc/56/12/08/408561208.db2.gz FXZMLRTYOJFLFB-AWEZNQCLSA-N 1 2 316.430 1.022 20 30 DDEDLO N#CC1CCN(C(=O)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000177442155 408617834 /nfs/dbraw/zinc/61/78/34/408617834.db2.gz KSUFESHYSCKTIZ-UHFFFAOYSA-N 1 2 323.356 1.573 20 30 DDEDLO N#Cc1csc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)c1 ZINC000177501098 408639265 /nfs/dbraw/zinc/63/92/65/408639265.db2.gz BJYSTANWTFFVPZ-ZDUSSCGKSA-N 1 2 305.403 1.557 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CC[NH+](Cc3ccncc3)CC2)cc1 ZINC000194839433 408801204 /nfs/dbraw/zinc/80/12/04/408801204.db2.gz KJDFMDBWKAACOI-UHFFFAOYSA-N 1 2 320.396 1.840 20 30 DDEDLO C=CCOCCCC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000185409188 408804796 /nfs/dbraw/zinc/80/47/96/408804796.db2.gz RTGPGJLVWSLPSY-UHFFFAOYSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)C(=O)Nc1ccc(C)[nH+]c1C ZINC000281743698 408922837 /nfs/dbraw/zinc/92/28/37/408922837.db2.gz KLEPYCGVVZMBTM-DZGCQCFKSA-N 1 2 317.389 1.734 20 30 DDEDLO N#Cc1ccnc(NCC2([NH+]3CCOCC3)CC2)c1[N+](=O)[O-] ZINC000277338497 408923131 /nfs/dbraw/zinc/92/31/31/408923131.db2.gz FHKCIYMSRXWHIE-UHFFFAOYSA-N 1 2 303.322 1.138 20 30 DDEDLO C[C@@H]1C[N@H+](CC(C)(C)O)CCN1C(=O)c1ccc(C#N)c(O)c1 ZINC000188839174 163073466 /nfs/dbraw/zinc/07/34/66/163073466.db2.gz ZGARVXPBDKYHHP-GFCCVEGCSA-N 1 2 317.389 1.181 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(C)(C)O)CCN1C(=O)c1ccc(C#N)c(O)c1 ZINC000188839174 163073470 /nfs/dbraw/zinc/07/34/70/163073470.db2.gz ZGARVXPBDKYHHP-GFCCVEGCSA-N 1 2 317.389 1.181 20 30 DDEDLO C=CCNC(=O)C[NH2+]Cc1c(C)nn(-c2ccccn2)c1Cl ZINC000286171455 408935297 /nfs/dbraw/zinc/93/52/97/408935297.db2.gz WVCHGDJBSPLJNB-UHFFFAOYSA-N 1 2 319.796 1.621 20 30 DDEDLO C=CC[N@H+](CCC#N)CCC(=O)NC(=O)NCc1ccccc1 ZINC000292214770 409002269 /nfs/dbraw/zinc/00/22/69/409002269.db2.gz OPPXFXKOCTYQLG-UHFFFAOYSA-N 1 2 314.389 1.804 20 30 DDEDLO C=CC[N@@H+](CCC#N)CCC(=O)NC(=O)NCc1ccccc1 ZINC000292214770 409002273 /nfs/dbraw/zinc/00/22/73/409002273.db2.gz OPPXFXKOCTYQLG-UHFFFAOYSA-N 1 2 314.389 1.804 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@@H](n2cccn2)C1 ZINC000291979690 408956783 /nfs/dbraw/zinc/95/67/83/408956783.db2.gz WEWDSKPPCFGZBU-GFCCVEGCSA-N 1 2 301.375 1.702 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@@H](n2cccn2)C1 ZINC000291979690 408956785 /nfs/dbraw/zinc/95/67/85/408956785.db2.gz WEWDSKPPCFGZBU-GFCCVEGCSA-N 1 2 301.375 1.702 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3ccccc3O)CC2)C1=O ZINC000282060995 408982558 /nfs/dbraw/zinc/98/25/58/408982558.db2.gz SDTFCJWSJBIDSQ-HNNXBMFYSA-N 1 2 301.390 1.301 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](Cc3cn4cccnc4n3)C2)nc1 ZINC000292145892 408990115 /nfs/dbraw/zinc/99/01/15/408990115.db2.gz JVXAOWKAGQTOOE-OAHLLOKOSA-N 1 2 320.356 1.649 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](Cc3cn4cccnc4n3)C2)nc1 ZINC000292145892 408990117 /nfs/dbraw/zinc/99/01/17/408990117.db2.gz JVXAOWKAGQTOOE-OAHLLOKOSA-N 1 2 320.356 1.649 20 30 DDEDLO C=CCNC(=O)CNc1nc(NC(C)(C)C)nc(NCC)[nH+]1 ZINC000286608413 408993144 /nfs/dbraw/zinc/99/31/44/408993144.db2.gz VEURXEQKTKDLNP-UHFFFAOYSA-N 1 2 307.402 1.228 20 30 DDEDLO C=CCNC(=O)CNc1nc(NCC)[nH+]c(NC(C)(C)C)n1 ZINC000286608413 408993146 /nfs/dbraw/zinc/99/31/46/408993146.db2.gz VEURXEQKTKDLNP-UHFFFAOYSA-N 1 2 307.402 1.228 20 30 DDEDLO C=CCNC(=O)CNc1nc(NCC)nc(NC(C)(C)C)[nH+]1 ZINC000286608413 408993148 /nfs/dbraw/zinc/99/31/48/408993148.db2.gz VEURXEQKTKDLNP-UHFFFAOYSA-N 1 2 307.402 1.228 20 30 DDEDLO CC#CC(=O)NCc1cccc(OCC[NH+]2CCOCC2)c1 ZINC000277784467 409011301 /nfs/dbraw/zinc/01/13/01/409011301.db2.gz UNGWCCDRUZZFAO-UHFFFAOYSA-N 1 2 302.374 1.037 20 30 DDEDLO C#CC[C@H](Cc1ccccc1)NC(=O)[C@H](c1c[nH+]cn1C)N(C)C ZINC000287364708 409026444 /nfs/dbraw/zinc/02/64/44/409026444.db2.gz NVADVWLREYIIMG-AEFFLSMTSA-N 1 2 324.428 1.774 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCc1ccc(N2CCCC2=O)cc1 ZINC000292983173 409047375 /nfs/dbraw/zinc/04/73/75/409047375.db2.gz BOIAPNFQPLHZPW-UHFFFAOYSA-N 1 2 313.401 1.385 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCc1ccc(N2CCCC2=O)cc1 ZINC000292983173 409047379 /nfs/dbraw/zinc/04/73/79/409047379.db2.gz BOIAPNFQPLHZPW-UHFFFAOYSA-N 1 2 313.401 1.385 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc([N+](=O)[O-])c(F)c2)C1=O ZINC000282589346 409058548 /nfs/dbraw/zinc/05/85/48/409058548.db2.gz WVVWLWDGQMYAJZ-AWEZNQCLSA-N 1 2 307.325 1.953 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc([N+](=O)[O-])c(F)c2)C1=O ZINC000282589346 409058552 /nfs/dbraw/zinc/05/85/52/409058552.db2.gz WVVWLWDGQMYAJZ-AWEZNQCLSA-N 1 2 307.325 1.953 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)N[C@@H](C)Cn2cc[nH+]c2)n1 ZINC000278890873 409106693 /nfs/dbraw/zinc/10/66/93/409106693.db2.gz XGCNHXKEZQEODT-AWEZNQCLSA-N 1 2 314.393 1.333 20 30 DDEDLO N#C[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)CCN1Cc1ccccc1 ZINC000279311121 409190159 /nfs/dbraw/zinc/19/01/59/409190159.db2.gz ATCFCSDCJKXCSC-INIZCTEOSA-N 1 2 323.400 1.579 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)Cc2cccc(C#N)c2)C[C@H](C)[N@H+]1C ZINC000293868674 409197906 /nfs/dbraw/zinc/19/79/06/409197906.db2.gz SKKVPGUVKMICJM-BETUJISGSA-N 1 2 307.419 1.412 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)Cc2cccc(C#N)c2)C[C@H](C)[N@@H+]1C ZINC000293868674 409197907 /nfs/dbraw/zinc/19/79/07/409197907.db2.gz SKKVPGUVKMICJM-BETUJISGSA-N 1 2 307.419 1.412 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)ccc1F ZINC000279415040 409209706 /nfs/dbraw/zinc/20/97/06/409209706.db2.gz KVGMOOBQRBPFLX-OAHLLOKOSA-N 1 2 317.364 1.634 20 30 DDEDLO COc1ccc(NC(=O)[C@@H](C)O[NH+]=C(N)[C@H]2CCCO2)cc1 ZINC000283872727 409232549 /nfs/dbraw/zinc/23/25/49/409232549.db2.gz CPBZTCDIKICFPW-ZWNOBZJWSA-N 1 2 307.350 1.490 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC[N@@H+](C)[C@@H](Cc2ccccc2)C1 ZINC000283959327 409247445 /nfs/dbraw/zinc/24/74/45/409247445.db2.gz MXQVFLDBLLMNPT-INIZCTEOSA-N 1 2 308.447 1.751 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC[N@H+](C)[C@@H](Cc2ccccc2)C1 ZINC000283959327 409247448 /nfs/dbraw/zinc/24/74/48/409247448.db2.gz MXQVFLDBLLMNPT-INIZCTEOSA-N 1 2 308.447 1.751 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCCc1cn2ccccc2[nH+]1 ZINC000283976309 409250813 /nfs/dbraw/zinc/25/08/13/409250813.db2.gz MJCOZKFQRJPFJO-UHFFFAOYSA-N 1 2 300.362 1.075 20 30 DDEDLO CCc1ccc(C#CC(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)cc1 ZINC000294472500 409292115 /nfs/dbraw/zinc/29/21/15/409292115.db2.gz ZPBDREMULOGPNP-INIZCTEOSA-N 1 2 314.429 1.780 20 30 DDEDLO C=CC[N@@H+](Cc1cn(CC(=O)OC)nn1)Cc1ccccc1 ZINC000295000043 409306993 /nfs/dbraw/zinc/30/69/93/409306993.db2.gz YOVLFEDCYPZBRZ-UHFFFAOYSA-N 1 2 300.362 1.639 20 30 DDEDLO C=CC[N@H+](Cc1cn(CC(=O)OC)nn1)Cc1ccccc1 ZINC000295000043 409306997 /nfs/dbraw/zinc/30/69/97/409306997.db2.gz YOVLFEDCYPZBRZ-UHFFFAOYSA-N 1 2 300.362 1.639 20 30 DDEDLO CC(C)(C(=O)NCC[NH+]1CCOCC1)c1cc(F)cc(C#N)c1 ZINC000284961856 409379555 /nfs/dbraw/zinc/37/95/55/409379555.db2.gz DXPWBMXSKDNSOG-UHFFFAOYSA-N 1 2 319.380 1.423 20 30 DDEDLO NC(=[NH+]OCC(=O)N1CCc2sccc2C1)[C@@H]1CCCO1 ZINC000284570164 409351303 /nfs/dbraw/zinc/35/13/03/409351303.db2.gz WWACPTUODIRQQU-NSHDSACASA-N 1 2 309.391 1.101 20 30 DDEDLO CSc1cccc(NC(=O)CO[NH+]=C(N)[C@@H]2CCCO2)c1 ZINC000284579868 409352031 /nfs/dbraw/zinc/35/20/31/409352031.db2.gz XHWDLTOEMWADIY-LBPRGKRZSA-N 1 2 309.391 1.815 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@H](c2[nH+]cc3n2CCCC3)C1 ZINC000296161444 409449238 /nfs/dbraw/zinc/44/92/38/409449238.db2.gz KOMUYOHOVIWJKN-GJZGRUSLSA-N 1 2 315.417 1.964 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCC[C@@H]2c2cc[nH]n2)cc1 ZINC000285585756 409498961 /nfs/dbraw/zinc/49/89/61/409498961.db2.gz BHAPERDPWYCMPK-MRXNPFEDSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCC[C@@H]2c2cc[nH]n2)cc1 ZINC000285585756 409498963 /nfs/dbraw/zinc/49/89/63/409498963.db2.gz BHAPERDPWYCMPK-MRXNPFEDSA-N 1 2 309.373 1.735 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+]([C@H](C)c2ccsc2)CC1 ZINC000296413608 409536078 /nfs/dbraw/zinc/53/60/78/409536078.db2.gz WVKHHOCAWLFKGI-KGLIPLIRSA-N 1 2 306.431 1.992 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@H](O)CNC(=O)OC(C)(C)C ZINC000296684426 409629540 /nfs/dbraw/zinc/62/95/40/409629540.db2.gz LPGYBKIXLZFGOG-SNVBAGLBSA-N 1 2 323.231 1.712 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@H](O)CNC(=O)OC(C)(C)C ZINC000296684426 409629548 /nfs/dbraw/zinc/62/95/48/409629548.db2.gz LPGYBKIXLZFGOG-SNVBAGLBSA-N 1 2 323.231 1.712 20 30 DDEDLO C[C@@H]1C[C@H](O)C[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000331558653 409634003 /nfs/dbraw/zinc/63/40/03/409634003.db2.gz KCGFCGHCQUNORV-WXHSDQCUSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@@H]1C[C@H](O)C[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000331558653 409634010 /nfs/dbraw/zinc/63/40/10/409634010.db2.gz KCGFCGHCQUNORV-WXHSDQCUSA-N 1 2 305.403 1.428 20 30 DDEDLO Cc1c(C[N@@H+]2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cnn1C ZINC000338185200 409786913 /nfs/dbraw/zinc/78/69/13/409786913.db2.gz LLSYHXVWMYHAOO-RDTXWAMCSA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1c(C[N@H+]2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cnn1C ZINC000338185200 409786919 /nfs/dbraw/zinc/78/69/19/409786919.db2.gz LLSYHXVWMYHAOO-RDTXWAMCSA-N 1 2 321.384 1.574 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)CN1CCn2cc[nH+]c2C1 ZINC000357031720 409805496 /nfs/dbraw/zinc/80/54/96/409805496.db2.gz BTGJTGUDGWRDPX-INIZCTEOSA-N 1 2 313.401 1.867 20 30 DDEDLO C=CC[NH+](CC=C)C[C@](C)(O)c1ccc(S(C)(=O)=O)cc1 ZINC000297813422 410008337 /nfs/dbraw/zinc/00/83/37/410008337.db2.gz IPOYLLGQHGTDKH-INIZCTEOSA-N 1 2 309.431 1.972 20 30 DDEDLO CCn1ccnc1[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000328953185 410021235 /nfs/dbraw/zinc/02/12/35/410021235.db2.gz OAOWDWBKDVDGRW-MGPQQGTHSA-N 1 2 322.409 1.268 20 30 DDEDLO CCn1ccnc1[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000328953185 410021241 /nfs/dbraw/zinc/02/12/41/410021241.db2.gz OAOWDWBKDVDGRW-MGPQQGTHSA-N 1 2 322.409 1.268 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@@H]1[C@H]1CCCO1 ZINC000328901150 410005618 /nfs/dbraw/zinc/00/56/18/410005618.db2.gz YSMGQIIWVUWVAZ-RBSFLKMASA-N 1 2 311.426 1.265 20 30 DDEDLO Cc1c[nH+]ccc1NC(=O)NC1CCN(C(=O)C2CC2)CC1 ZINC000329017073 410055110 /nfs/dbraw/zinc/05/51/10/410055110.db2.gz QXQIVVQWGPUGPW-UHFFFAOYSA-N 1 2 302.378 1.539 20 30 DDEDLO O=C(NCC[NH+]1CCCCCC1)[C@@H]1CCCCS1(=O)=O ZINC000329027251 410058483 /nfs/dbraw/zinc/05/84/83/410058483.db2.gz OMAKVFDJSSGXER-ZDUSSCGKSA-N 1 2 302.440 1.786 20 30 DDEDLO Cc1n[nH]c(CCNC(=O)[C@@H]2CCC[N@@H+]2C2CCOCC2)n1 ZINC000329089544 410094256 /nfs/dbraw/zinc/09/42/56/410094256.db2.gz INHIJXVSPUOZIC-ZDUSSCGKSA-N 1 2 307.398 1.256 20 30 DDEDLO Cc1n[nH]c(CCNC(=O)[C@@H]2CCC[N@H+]2C2CCOCC2)n1 ZINC000329089544 410094260 /nfs/dbraw/zinc/09/42/60/410094260.db2.gz INHIJXVSPUOZIC-ZDUSSCGKSA-N 1 2 307.398 1.256 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)N[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000329105340 410103808 /nfs/dbraw/zinc/10/38/08/410103808.db2.gz CXSWEFMGANJIDK-LBPRGKRZSA-N 1 2 319.409 1.357 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[NH+]([C@@H](C)c2ccsc2)CC1 ZINC000298109385 410118216 /nfs/dbraw/zinc/11/82/16/410118216.db2.gz OLXKEVYNDYRKMC-ZDUSSCGKSA-N 1 2 321.446 1.598 20 30 DDEDLO Cn1[nH]c(C[NH+]2CCC(C(=O)Nc3ccccc3)CC2)nc1=O ZINC000329291026 410218590 /nfs/dbraw/zinc/21/85/90/410218590.db2.gz QQKQWHJOBSHTNV-UHFFFAOYSA-N 1 2 315.377 1.609 20 30 DDEDLO Cc1nccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)n1 ZINC000351775257 410224546 /nfs/dbraw/zinc/22/45/46/410224546.db2.gz SKFPMTDYRSWUSR-LBPRGKRZSA-N 1 2 309.377 1.157 20 30 DDEDLO Cc1nccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)n1 ZINC000351775257 410224554 /nfs/dbraw/zinc/22/45/54/410224554.db2.gz SKFPMTDYRSWUSR-LBPRGKRZSA-N 1 2 309.377 1.157 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)CCNC(=O)c2cccs2)C1 ZINC000329491136 410327287 /nfs/dbraw/zinc/32/72/87/410327287.db2.gz SSCHQYWAWKWQHX-GFCCVEGCSA-N 1 2 324.450 1.070 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)CCNC(=O)c2cccs2)C1 ZINC000329491136 410327291 /nfs/dbraw/zinc/32/72/91/410327291.db2.gz SSCHQYWAWKWQHX-GFCCVEGCSA-N 1 2 324.450 1.070 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@@H+]([C@@H](C)CCC#N)C2 ZINC000298579209 410299468 /nfs/dbraw/zinc/29/94/68/410299468.db2.gz QXDIMZHHWSHUQH-LBPRGKRZSA-N 1 2 307.419 1.645 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@H+]([C@@H](C)CCC#N)C2 ZINC000298579209 410299476 /nfs/dbraw/zinc/29/94/76/410299476.db2.gz QXDIMZHHWSHUQH-LBPRGKRZSA-N 1 2 307.419 1.645 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1)NCCC1CCCCC1 ZINC000329458865 410307932 /nfs/dbraw/zinc/30/79/32/410307932.db2.gz RIZSISVRRWIWAB-ZDUSSCGKSA-N 1 2 322.409 1.990 20 30 DDEDLO Cc1[nH+]ccn1C[C@H](C)C[NH+]=C([O-])N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000329578181 410369573 /nfs/dbraw/zinc/36/95/73/410369573.db2.gz SZYLQHMGDQBJOB-UNQGMJICSA-N 1 2 306.410 1.444 20 30 DDEDLO Cc1[nH+]ccn1C[C@@H]1CCCN1C(=O)N[C@@H]1CC[S@@](=O)C1 ZINC000330038376 410524149 /nfs/dbraw/zinc/52/41/49/410524149.db2.gz ZXZQHDKWEYRVJM-RRMDADRESA-N 1 2 310.423 1.091 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000299334573 410589158 /nfs/dbraw/zinc/58/91/58/410589158.db2.gz RWRJXTHQQKVLGD-CQSZACIVSA-N 1 2 319.405 1.516 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCn2cc[nH+]c2C1)N1CCOC[C@@H](C2CCC2)C1 ZINC000330229867 410594691 /nfs/dbraw/zinc/59/46/91/410594691.db2.gz WDRYYLURMYMXOP-LSDHHAIUSA-N 1 2 318.421 1.860 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@]2(CCCOC2)O1 ZINC000330140045 410558498 /nfs/dbraw/zinc/55/84/98/410558498.db2.gz WPVRXNACHVANPC-XYPHTWIQSA-N 1 2 321.421 1.905 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@]2(CCCOC2)O1 ZINC000330140045 410558504 /nfs/dbraw/zinc/55/85/04/410558504.db2.gz WPVRXNACHVANPC-XYPHTWIQSA-N 1 2 321.421 1.905 20 30 DDEDLO COC(=O)COc1ccc(C[N@H+](C)[C@@H](C)CC#N)cc1[N+](=O)[O-] ZINC000355727371 410663728 /nfs/dbraw/zinc/66/37/28/410663728.db2.gz AZUWWCJLWPIDRT-NSHDSACASA-N 1 2 321.333 1.881 20 30 DDEDLO COC(=O)COc1ccc(C[N@@H+](C)[C@@H](C)CC#N)cc1[N+](=O)[O-] ZINC000355727371 410663734 /nfs/dbraw/zinc/66/37/34/410663734.db2.gz AZUWWCJLWPIDRT-NSHDSACASA-N 1 2 321.333 1.881 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3nccnc3C#N)c[nH+]2)C[C@H](C)O1 ZINC000301145209 410664150 /nfs/dbraw/zinc/66/41/50/410664150.db2.gz AKIVPGWZOJMLAH-BETUJISGSA-N 1 2 324.388 1.969 20 30 DDEDLO CC#CCNC(=O)[C@H]1CCC(=O)N(C2CC2)[C@H]1c1c[nH+]cn1C ZINC000343678351 410613808 /nfs/dbraw/zinc/61/38/08/410613808.db2.gz SKAOQUCZKCIDDV-XJKSGUPXSA-N 1 2 314.389 1.002 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H]2CCCC[C@H]2S(C)(=O)=O)C1=O ZINC000337176192 410658589 /nfs/dbraw/zinc/65/85/89/410658589.db2.gz IUVMLOAKKNVMPW-MELADBBJSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H]2CCCC[C@H]2S(C)(=O)=O)C1=O ZINC000337176192 410658594 /nfs/dbraw/zinc/65/85/94/410658594.db2.gz IUVMLOAKKNVMPW-MELADBBJSA-N 1 2 314.451 1.061 20 30 DDEDLO C=C(C)C[N@@H+](CCS(=O)(=O)N(C)C)Cc1ccc(CO)o1 ZINC000352813342 410680102 /nfs/dbraw/zinc/68/01/02/410680102.db2.gz CRGRLQRKPLUIRF-UHFFFAOYSA-N 1 2 316.423 1.041 20 30 DDEDLO C=C(C)C[N@H+](CCS(=O)(=O)N(C)C)Cc1ccc(CO)o1 ZINC000352813342 410680108 /nfs/dbraw/zinc/68/01/08/410680108.db2.gz CRGRLQRKPLUIRF-UHFFFAOYSA-N 1 2 316.423 1.041 20 30 DDEDLO CNS(=O)(=O)c1cccc(C[NH2+]Cc2nc(C#N)cs2)c1 ZINC000352823150 410680772 /nfs/dbraw/zinc/68/07/72/410680772.db2.gz MCGXYJKMNOWQBB-UHFFFAOYSA-N 1 2 322.415 1.213 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](C)C[C@H]1CCS(=O)(=O)C1 ZINC000330562772 410777948 /nfs/dbraw/zinc/77/79/48/410777948.db2.gz JDZBYVOPBIGACY-CYBMUJFWSA-N 1 2 308.403 1.433 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](C)C[C@H]1CCS(=O)(=O)C1 ZINC000330562772 410777956 /nfs/dbraw/zinc/77/79/56/410777956.db2.gz JDZBYVOPBIGACY-CYBMUJFWSA-N 1 2 308.403 1.433 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CC[C@@](O)(C(F)(F)F)C1 ZINC000331014448 410910632 /nfs/dbraw/zinc/91/06/32/410910632.db2.gz XLHMXHRXXGXCEQ-WXHSDQCUSA-N 1 2 321.343 1.428 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CC[C@@](O)(C(F)(F)F)C1 ZINC000331014448 410910639 /nfs/dbraw/zinc/91/06/39/410910639.db2.gz XLHMXHRXXGXCEQ-WXHSDQCUSA-N 1 2 321.343 1.428 20 30 DDEDLO N#Cc1ccc(NCC(=O)N2CC[NH2+][C@@H](c3ccncc3)C2)cc1 ZINC000337662017 410928334 /nfs/dbraw/zinc/92/83/34/410928334.db2.gz QKFJETNWQAJDLQ-QGZVFWFLSA-N 1 2 321.384 1.538 20 30 DDEDLO C=CC[C@H](CC)NC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000356358545 410985611 /nfs/dbraw/zinc/98/56/11/410985611.db2.gz FGCIBNPNIJSHBD-HNNXBMFYSA-N 1 2 303.406 1.998 20 30 DDEDLO C=CCSCCNC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000353487205 411024688 /nfs/dbraw/zinc/02/46/88/411024688.db2.gz DANYZNUCFJWFNQ-UHFFFAOYSA-N 1 2 321.446 1.563 20 30 DDEDLO CNC(=O)c1cccc(C[NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)c1 ZINC000360068424 411027837 /nfs/dbraw/zinc/02/78/37/411027837.db2.gz JBEBKZDDTCFHMA-MRXNPFEDSA-N 1 2 322.368 1.234 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]CC(=O)NCc2cccc(C#N)c2)s1 ZINC000353665707 411103546 /nfs/dbraw/zinc/10/35/46/411103546.db2.gz PGDYCJKWWDVZRZ-JTQLQIEISA-N 1 2 315.402 1.685 20 30 DDEDLO C[C@@H](CC(=O)N1CCN(c2cccc(C#N)n2)CC1)n1cc[nH+]c1 ZINC000571686852 304480012 /nfs/dbraw/zinc/48/00/12/304480012.db2.gz FMBJFEWWEKDHOJ-AWEZNQCLSA-N 1 2 324.388 1.450 20 30 DDEDLO C#CCCOc1ccc(C[NH+]2CCN(C(=O)NCC)CC2)cc1 ZINC000121590065 221543663 /nfs/dbraw/zinc/54/36/63/221543663.db2.gz MUXCSJPFYVWWBO-UHFFFAOYSA-N 1 2 315.417 1.936 20 30 DDEDLO C=CCCOCCNC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000122680412 221548752 /nfs/dbraw/zinc/54/87/52/221548752.db2.gz BXKATTQTBSCZJS-UHFFFAOYSA-N 1 2 318.421 1.502 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@@H](C)C[NH+]2CCOCC2)cc1 ZINC000160593570 221706804 /nfs/dbraw/zinc/70/68/04/221706804.db2.gz OHJHAVIJCQKLMO-AWEZNQCLSA-N 1 2 302.374 1.149 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1C[C@@H](C)[C@@H]([NH+]2CCOCC2)C1 ZINC000373264765 418416294 /nfs/dbraw/zinc/41/62/94/418416294.db2.gz RCGONVXIEHOIBJ-WCXIOVBPSA-N 1 2 322.449 1.537 20 30 DDEDLO C[N@@H+]1CCc2nc(NC(=O)c3sccc3C#N)sc2C1 ZINC000052036518 418480525 /nfs/dbraw/zinc/48/05/25/418480525.db2.gz SHPJWQGSWDTLNA-UHFFFAOYSA-N 1 2 304.400 2.316 20 30 DDEDLO C[N@H+]1CCc2nc(NC(=O)c3sccc3C#N)sc2C1 ZINC000052036518 418480529 /nfs/dbraw/zinc/48/05/29/418480529.db2.gz SHPJWQGSWDTLNA-UHFFFAOYSA-N 1 2 304.400 2.316 20 30 DDEDLO CCN1CC[NH+]([C@@H]2CCN(C(=O)NCC3(CC#N)CC3)C2)CC1 ZINC000366773575 418516509 /nfs/dbraw/zinc/51/65/09/418516509.db2.gz VJFODIVCUJUKDB-OAHLLOKOSA-N 1 2 319.453 1.102 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1Cc2ccccc2[C@H](C(=O)OCC)C1 ZINC000374395891 418526903 /nfs/dbraw/zinc/52/69/03/418526903.db2.gz WGKNVLPHUZLNGE-XJKSGUPXSA-N 1 2 314.385 1.287 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1Cc2ccccc2[C@H](C(=O)OCC)C1 ZINC000374395891 418526905 /nfs/dbraw/zinc/52/69/05/418526905.db2.gz WGKNVLPHUZLNGE-XJKSGUPXSA-N 1 2 314.385 1.287 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1Cc2ccccc2[C@@H](C(=O)OCC)C1 ZINC000374398359 418527318 /nfs/dbraw/zinc/52/73/18/418527318.db2.gz JASDXHMJXPXTTM-HNNXBMFYSA-N 1 2 302.374 1.451 20 30 DDEDLO C=CCNC(=O)C[N@H+]1Cc2ccccc2[C@@H](C(=O)OCC)C1 ZINC000374398359 418527321 /nfs/dbraw/zinc/52/73/21/418527321.db2.gz JASDXHMJXPXTTM-HNNXBMFYSA-N 1 2 302.374 1.451 20 30 DDEDLO N#Cc1cccc([C@H]2CN(C(=O)CCn3cc[nH+]c3)CCO2)c1 ZINC000367182691 418567879 /nfs/dbraw/zinc/56/78/79/418567879.db2.gz CWCMWPGJDGWDCJ-MRXNPFEDSA-N 1 2 310.357 1.745 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2nccnc2C)CC1 ZINC000366927880 418538313 /nfs/dbraw/zinc/53/83/13/418538313.db2.gz NKZGQOHDGKVUTF-UHFFFAOYSA-N 1 2 315.421 1.108 20 30 DDEDLO CN(C)c1noc([C@H]2CCC[N@H+](C[C@@H](C#N)CCC#N)C2)n1 ZINC000374635195 418548614 /nfs/dbraw/zinc/54/86/14/418548614.db2.gz GQWIGJZYEFTAKY-OLZOCXBDSA-N 1 2 302.382 1.759 20 30 DDEDLO CN(C)c1noc([C@H]2CCC[N@@H+](C[C@@H](C#N)CCC#N)C2)n1 ZINC000374635195 418548618 /nfs/dbraw/zinc/54/86/18/418548618.db2.gz GQWIGJZYEFTAKY-OLZOCXBDSA-N 1 2 302.382 1.759 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)c1n[nH]c2c1C[NH2+]CC2 ZINC000188258781 222010747 /nfs/dbraw/zinc/01/07/47/222010747.db2.gz SHLZZQDSCSJGJY-UHFFFAOYSA-N 1 2 302.403 1.945 20 30 DDEDLO C=CCN(CCOC)C(=O)NCCc1cn2ccccc2[nH+]1 ZINC000190738399 222087844 /nfs/dbraw/zinc/08/78/44/222087844.db2.gz GUSDBROWGLFJKJ-UHFFFAOYSA-N 1 2 302.378 1.721 20 30 DDEDLO C=CCCCCC[NH+]1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000193302475 222149076 /nfs/dbraw/zinc/14/90/76/222149076.db2.gz VQQUPJQXKGZKAY-HNNXBMFYSA-N 1 2 300.468 1.538 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)Nc2ccc(CC#N)cc2)C1 ZINC000264641888 222334691 /nfs/dbraw/zinc/33/46/91/222334691.db2.gz MWYNTWMKJVORTN-OAHLLOKOSA-N 1 2 301.394 1.120 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)Nc2ccc(CC#N)cc2)C1 ZINC000264641888 222334695 /nfs/dbraw/zinc/33/46/95/222334695.db2.gz MWYNTWMKJVORTN-OAHLLOKOSA-N 1 2 301.394 1.120 20 30 DDEDLO CCc1cc(N)nc(S[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)[nH+]1 ZINC000331308512 418615248 /nfs/dbraw/zinc/61/52/48/418615248.db2.gz FUVKLJHSOAXVLE-BMIGLBTASA-N 1 2 321.450 2.156 20 30 DDEDLO Cc1ccnc(N[C@@H]2CCC(=O)N[C@H]2c2c[nH+]cn2C)c1C#N ZINC000375385110 418632669 /nfs/dbraw/zinc/63/26/69/418632669.db2.gz VVJSUCMQDYBPFN-IUODEOHRSA-N 1 2 310.361 1.427 20 30 DDEDLO COc1cc(C[N@@H+]2CCOC[C@@H]2[C@H]2CCCO2)ccc1C#N ZINC000377703048 418713383 /nfs/dbraw/zinc/71/33/83/418713383.db2.gz QCBZXHIHMXDIOZ-HZPDHXFCSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C[N@H+]2CCOC[C@@H]2[C@H]2CCCO2)ccc1C#N ZINC000377703048 418713384 /nfs/dbraw/zinc/71/33/84/418713384.db2.gz QCBZXHIHMXDIOZ-HZPDHXFCSA-N 1 2 302.374 1.947 20 30 DDEDLO CO/N=C/C(=O)N[C@H]1CC[N@H+](C2CC2)[C@H]1c1ccc(F)c(F)c1 ZINC000377927269 418717619 /nfs/dbraw/zinc/71/76/19/418717619.db2.gz ZLLVGHIXLJNNQP-IKKOKKJKSA-N 1 2 323.343 1.991 20 30 DDEDLO CO/N=C/C(=O)N[C@H]1CC[N@@H+](C2CC2)[C@H]1c1ccc(F)c(F)c1 ZINC000377927269 418717621 /nfs/dbraw/zinc/71/76/21/418717621.db2.gz ZLLVGHIXLJNNQP-IKKOKKJKSA-N 1 2 323.343 1.991 20 30 DDEDLO CCOCCNC(=O)C[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000368514055 418721183 /nfs/dbraw/zinc/72/11/83/418721183.db2.gz KHJZSTUVJVJMAQ-UHFFFAOYSA-N 1 2 316.405 1.091 20 30 DDEDLO N#CCOc1cccc(CC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)c1 ZINC000371359744 418782516 /nfs/dbraw/zinc/78/25/16/418782516.db2.gz LNZBLTLYMXPJDV-ZDUSSCGKSA-N 1 2 310.357 1.528 20 30 DDEDLO N#CCOc1cccc(CC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)c1 ZINC000371359744 418782517 /nfs/dbraw/zinc/78/25/17/418782517.db2.gz LNZBLTLYMXPJDV-ZDUSSCGKSA-N 1 2 310.357 1.528 20 30 DDEDLO N#C[C@H]1CN(CCn2cc[nH+]c2)C[C@@]12C(=O)Nc1ccccc12 ZINC000362397910 418753836 /nfs/dbraw/zinc/75/38/36/418753836.db2.gz VFOPAVOAMKEIDN-SUMWQHHRSA-N 1 2 307.357 1.229 20 30 DDEDLO N#C[C@H]1C[N@@H+](CCn2ccnc2)C[C@@]12C(=O)Nc1ccccc12 ZINC000362397910 418753839 /nfs/dbraw/zinc/75/38/39/418753839.db2.gz VFOPAVOAMKEIDN-SUMWQHHRSA-N 1 2 307.357 1.229 20 30 DDEDLO N#C[C@H]1C[N@H+](CCn2ccnc2)C[C@@]12C(=O)Nc1ccccc12 ZINC000362397910 418753841 /nfs/dbraw/zinc/75/38/41/418753841.db2.gz VFOPAVOAMKEIDN-SUMWQHHRSA-N 1 2 307.357 1.229 20 30 DDEDLO N#C[C@@H]1CN(CCn2cc[nH+]c2)C[C@@]12C(=O)Nc1ccccc12 ZINC000362397907 418754068 /nfs/dbraw/zinc/75/40/68/418754068.db2.gz VFOPAVOAMKEIDN-CXAGYDPISA-N 1 2 307.357 1.229 20 30 DDEDLO N#C[C@@H]1C[N@@H+](CCn2ccnc2)C[C@@]12C(=O)Nc1ccccc12 ZINC000362397907 418754069 /nfs/dbraw/zinc/75/40/69/418754069.db2.gz VFOPAVOAMKEIDN-CXAGYDPISA-N 1 2 307.357 1.229 20 30 DDEDLO N#C[C@@H]1C[N@H+](CCn2ccnc2)C[C@@]12C(=O)Nc1ccccc12 ZINC000362397907 418754072 /nfs/dbraw/zinc/75/40/72/418754072.db2.gz VFOPAVOAMKEIDN-CXAGYDPISA-N 1 2 307.357 1.229 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](C)[C@H](C)C(=O)NC(C)(C)C ZINC000362577850 418756843 /nfs/dbraw/zinc/75/68/43/418756843.db2.gz BWXWNLHCJUFBTJ-CHWSQXEVSA-N 1 2 310.442 1.230 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)[C@H](C)C(=O)NC(C)(C)C ZINC000362577850 418756845 /nfs/dbraw/zinc/75/68/45/418756845.db2.gz BWXWNLHCJUFBTJ-CHWSQXEVSA-N 1 2 310.442 1.230 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNc2ncc(Cl)c(C)c2C#N)CCO1 ZINC000362678249 418758140 /nfs/dbraw/zinc/75/81/40/418758140.db2.gz AJNHGXRETSQJFS-GFCCVEGCSA-N 1 2 308.813 2.438 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNc2ncc(Cl)c(C)c2C#N)CCO1 ZINC000362678249 418758143 /nfs/dbraw/zinc/75/81/43/418758143.db2.gz AJNHGXRETSQJFS-GFCCVEGCSA-N 1 2 308.813 2.438 20 30 DDEDLO C=C[C@H](CC(=O)N1CCC[N@@H+](CC(N)=O)CC1)c1ccccc1 ZINC000371157409 418766028 /nfs/dbraw/zinc/76/60/28/418766028.db2.gz ZUWPTFSUPVXNJH-OAHLLOKOSA-N 1 2 315.417 1.366 20 30 DDEDLO C=C[C@H](CC(=O)N1CCC[N@H+](CC(N)=O)CC1)c1ccccc1 ZINC000371157409 418766029 /nfs/dbraw/zinc/76/60/29/418766029.db2.gz ZUWPTFSUPVXNJH-OAHLLOKOSA-N 1 2 315.417 1.366 20 30 DDEDLO N#Cc1cc(F)ccc1S(=O)(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000363978761 418772466 /nfs/dbraw/zinc/77/24/66/418772466.db2.gz QHWVVOUGTTWXSS-LBPRGKRZSA-N 1 2 320.349 1.946 20 30 DDEDLO Cc1cc(C#N)cc(NC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000368601072 418723438 /nfs/dbraw/zinc/72/34/38/418723438.db2.gz DXSNVZNJLIMELE-GOSISDBHSA-N 1 2 322.412 2.575 20 30 DDEDLO Cc1cc(C#N)cc(NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000368601072 418723440 /nfs/dbraw/zinc/72/34/40/418723440.db2.gz DXSNVZNJLIMELE-GOSISDBHSA-N 1 2 322.412 2.575 20 30 DDEDLO Cc1nsc(NC(=O)N[C@@H](C)C[NH+]2CCN(C)CC2)c1C#N ZINC000297468202 227207844 /nfs/dbraw/zinc/20/78/44/227207844.db2.gz QYUNMRVYHFSNQU-JTQLQIEISA-N 1 2 322.438 1.081 20 30 DDEDLO Cc1nn(C)cc1[C@H]1CCC[N@@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000371944733 418826203 /nfs/dbraw/zinc/82/62/03/418826203.db2.gz XOHBOJHBAQMNFJ-NVXWUHKLSA-N 1 2 315.421 1.674 20 30 DDEDLO Cc1nn(C)cc1[C@H]1CCC[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000371944733 418826206 /nfs/dbraw/zinc/82/62/06/418826206.db2.gz XOHBOJHBAQMNFJ-NVXWUHKLSA-N 1 2 315.421 1.674 20 30 DDEDLO N#Cc1c(F)ccc(C[N@@H+]2CC[C@]3(C2)NC(=O)NC3=O)c1Cl ZINC000365456854 418862739 /nfs/dbraw/zinc/86/27/39/418862739.db2.gz INFXCQJIPOTSNI-CQSZACIVSA-N 1 2 322.727 1.135 20 30 DDEDLO N#Cc1c(F)ccc(C[N@H+]2CC[C@]3(C2)NC(=O)NC3=O)c1Cl ZINC000365456854 418862741 /nfs/dbraw/zinc/86/27/41/418862741.db2.gz INFXCQJIPOTSNI-CQSZACIVSA-N 1 2 322.727 1.135 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@@H](C(=O)N1CCOC[C@H]1C#N)C2 ZINC000372400095 418865130 /nfs/dbraw/zinc/86/51/30/418865130.db2.gz AVWDIEJADDXFHP-VXGBXAGGSA-N 1 2 302.378 1.389 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@H](C(=O)N1CCOC[C@H]1C#N)CC2 ZINC000372400095 418865132 /nfs/dbraw/zinc/86/51/32/418865132.db2.gz AVWDIEJADDXFHP-VXGBXAGGSA-N 1 2 302.378 1.389 20 30 DDEDLO C[C@@H](CCC#N)[NH+]1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000411334429 418893603 /nfs/dbraw/zinc/89/36/03/418893603.db2.gz DOBNXLLYVVIFPI-ZDUSSCGKSA-N 1 2 308.407 1.080 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)N(C)Cc1cn2c([nH+]1)CCCC2 ZINC000372988339 418918834 /nfs/dbraw/zinc/91/88/34/418918834.db2.gz AWMMFPGOIXAPBM-CYBMUJFWSA-N 1 2 303.410 1.412 20 30 DDEDLO CC(C)CN1C[C@H]2C[N@H+](Cc3cc(C#N)n(C)c3)CCN2C1=O ZINC000425970105 419373754 /nfs/dbraw/zinc/37/37/54/419373754.db2.gz DCFTUROQCLZBER-MRXNPFEDSA-N 1 2 315.421 1.475 20 30 DDEDLO CC(C)CN1C[C@H]2C[N@@H+](Cc3cc(C#N)n(C)c3)CCN2C1=O ZINC000425970105 419373759 /nfs/dbraw/zinc/37/37/59/419373759.db2.gz DCFTUROQCLZBER-MRXNPFEDSA-N 1 2 315.421 1.475 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CCOCc1ccc(C#N)cc1 ZINC000426015513 419380094 /nfs/dbraw/zinc/38/00/94/419380094.db2.gz USZQEAHCSRHTCW-UHFFFAOYSA-N 1 2 312.373 1.808 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CCO[C@H]([C@@H](C)O)C1 ZINC000412090667 419648809 /nfs/dbraw/zinc/64/88/09/419648809.db2.gz YWTILHWJUZVVDM-KYJSFNMBSA-N 1 2 321.417 1.236 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CCO[C@H]([C@@H](C)O)C1 ZINC000412090667 419648815 /nfs/dbraw/zinc/64/88/15/419648815.db2.gz YWTILHWJUZVVDM-KYJSFNMBSA-N 1 2 321.417 1.236 20 30 DDEDLO C[C@H](O)CNc1cc(NCc2ccc(C#N)c(F)c2)nc[nH+]1 ZINC000413316145 419777931 /nfs/dbraw/zinc/77/79/31/419777931.db2.gz LATFTZCXOYLLPA-JTQLQIEISA-N 1 2 301.325 1.892 20 30 DDEDLO C[C@H](O)CNc1cc(NCc2ccc(C#N)c(F)c2)[nH+]cn1 ZINC000413316145 419777938 /nfs/dbraw/zinc/77/79/38/419777938.db2.gz LATFTZCXOYLLPA-JTQLQIEISA-N 1 2 301.325 1.892 20 30 DDEDLO CCn1nccc1C[N@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000429719303 420039802 /nfs/dbraw/zinc/03/98/02/420039802.db2.gz OQMCJMZBBDQECP-UHFFFAOYSA-N 1 2 303.410 1.677 20 30 DDEDLO CCn1nccc1C[N@@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000429719303 420039806 /nfs/dbraw/zinc/03/98/06/420039806.db2.gz OQMCJMZBBDQECP-UHFFFAOYSA-N 1 2 303.410 1.677 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000416370163 420345984 /nfs/dbraw/zinc/34/59/84/420345984.db2.gz JMFKWJRFUCVRJX-LBPRGKRZSA-N 1 2 308.407 1.403 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+][C@@H](C)c1ncc(C(=O)OC)s1 ZINC000425478046 420396039 /nfs/dbraw/zinc/39/60/39/420396039.db2.gz BORKPCISIYMSMN-NSHDSACASA-N 1 2 323.418 1.781 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCCc1ccc(C)c[nH+]1 ZINC000416509061 420400337 /nfs/dbraw/zinc/40/03/37/420400337.db2.gz SXUFAHLQYJGANL-CYBMUJFWSA-N 1 2 310.423 1.249 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)/C=C/c3[nH]cc[nH+]3)CC2)nc1 ZINC000493192421 420781737 /nfs/dbraw/zinc/78/17/37/420781737.db2.gz QWMAMBOZPBQCEG-DUXPYHPUSA-N 1 2 308.345 1.038 20 30 DDEDLO Cc1ccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c(C)n1 ZINC000448836649 420904167 /nfs/dbraw/zinc/90/41/67/420904167.db2.gz PPHCSKQPPUCFQF-UHFFFAOYSA-N 1 2 316.405 1.387 20 30 DDEDLO C[N@@H+]1CCN(C(=O)OC(C)(C)C)C[C@H]1CNC(=O)C#CC1CC1 ZINC000495934186 421097788 /nfs/dbraw/zinc/09/77/88/421097788.db2.gz ZEQDGLBEEQRTHT-CQSZACIVSA-N 1 2 321.421 1.067 20 30 DDEDLO C[N@H+]1CCN(C(=O)OC(C)(C)C)C[C@H]1CNC(=O)C#CC1CC1 ZINC000495934186 421097790 /nfs/dbraw/zinc/09/77/90/421097790.db2.gz ZEQDGLBEEQRTHT-CQSZACIVSA-N 1 2 321.421 1.067 20 30 DDEDLO Cc1cc(NCC2(O)CN(C(=O)OC(C)(C)C)C2)c(C#N)c[nH+]1 ZINC000496080321 421129313 /nfs/dbraw/zinc/12/93/13/421129313.db2.gz HJKMIFISFKUGFD-UHFFFAOYSA-N 1 2 318.377 1.077 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CC[C@H](OC(=O)N(C)C)C1 ZINC000495862598 421076757 /nfs/dbraw/zinc/07/67/57/421076757.db2.gz AILGYEVSYHAQBC-WWGRRREGSA-N 1 2 324.425 1.202 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CC[C@H](OC(=O)N(C)C)C1 ZINC000495862598 421076760 /nfs/dbraw/zinc/07/67/60/421076760.db2.gz AILGYEVSYHAQBC-WWGRRREGSA-N 1 2 324.425 1.202 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000489499200 421159104 /nfs/dbraw/zinc/15/91/04/421159104.db2.gz SAZKQMJSWMBPHH-RDJZCZTQSA-N 1 2 315.417 1.630 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000489499200 421159108 /nfs/dbraw/zinc/15/91/08/421159108.db2.gz SAZKQMJSWMBPHH-RDJZCZTQSA-N 1 2 315.417 1.630 20 30 DDEDLO N#Cc1cnccc1N1CC[NH+]([C@H](C(N)=O)c2ccccc2)CC1 ZINC000545239738 421244954 /nfs/dbraw/zinc/24/49/54/421244954.db2.gz IYHPGTCQQCGNJE-KRWDZBQOSA-N 1 2 321.384 1.302 20 30 DDEDLO Cc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)ccn1 ZINC000527532962 421394987 /nfs/dbraw/zinc/39/49/87/421394987.db2.gz FUMZNHMIDAKZFR-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)ccn1 ZINC000527532962 421394990 /nfs/dbraw/zinc/39/49/90/421394990.db2.gz FUMZNHMIDAKZFR-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)ccc1F ZINC000548295736 421418805 /nfs/dbraw/zinc/41/88/05/421418805.db2.gz GKENQGYJBNGOOK-NSHDSACASA-N 1 2 320.349 1.187 20 30 DDEDLO N#Cc1ccc(CC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)cn1 ZINC000528890138 421523056 /nfs/dbraw/zinc/52/30/56/421523056.db2.gz JZHFPEXMOGVTDB-OAHLLOKOSA-N 1 2 307.357 1.286 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1ccc([N+](=O)[O-])cc1Cl ZINC000563890949 421553704 /nfs/dbraw/zinc/55/37/04/421553704.db2.gz UWYKURDMYUEQMO-CQSZACIVSA-N 1 2 324.768 1.751 20 30 DDEDLO N#CCCOCC[N@H+]1C[C@H]2Oc3c(F)ccc(F)c3O[C@H]2C1 ZINC000566969303 421609818 /nfs/dbraw/zinc/60/98/18/421609818.db2.gz CRRCUEGVKUGCCU-BETUJISGSA-N 1 2 310.300 1.719 20 30 DDEDLO N#CCCOCC[N@@H+]1C[C@H]2Oc3c(F)ccc(F)c3O[C@H]2C1 ZINC000566969303 421609821 /nfs/dbraw/zinc/60/98/21/421609821.db2.gz CRRCUEGVKUGCCU-BETUJISGSA-N 1 2 310.300 1.719 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cccc(C(=O)OC)n2)C1=O ZINC000531694129 421644470 /nfs/dbraw/zinc/64/44/70/421644470.db2.gz PUXOWKVZQBWCIB-AWEZNQCLSA-N 1 2 303.362 1.087 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cccc(C(=O)OC)n2)C1=O ZINC000531694129 421644472 /nfs/dbraw/zinc/64/44/72/421644472.db2.gz PUXOWKVZQBWCIB-AWEZNQCLSA-N 1 2 303.362 1.087 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc(-c2cncnc2)cc1 ZINC000566125627 421603239 /nfs/dbraw/zinc/60/32/39/421603239.db2.gz PLPPJHWKGFIRRD-KRWDZBQOSA-N 1 2 311.389 1.762 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc(-c2cncnc2)cc1 ZINC000566125627 421603242 /nfs/dbraw/zinc/60/32/42/421603242.db2.gz PLPPJHWKGFIRRD-KRWDZBQOSA-N 1 2 311.389 1.762 20 30 DDEDLO Cc1cnccc1CCN(CCC#N)CC[NH+]1CCOCC1 ZINC000571399750 421712957 /nfs/dbraw/zinc/71/29/57/421712957.db2.gz ZCWMPGVOJYZUKA-UHFFFAOYSA-N 1 2 302.422 1.480 20 30 DDEDLO CCN(CC)S(=O)(=O)c1ccc(C[NH2+]CCC#N)s1 ZINC000571432356 421714964 /nfs/dbraw/zinc/71/49/64/421714964.db2.gz BWVCWVCWIXGVML-UHFFFAOYSA-N 1 2 301.437 1.782 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CC[C@H](OC(F)F)C1 ZINC000555075161 421669249 /nfs/dbraw/zinc/66/92/49/421669249.db2.gz OXMURKQAOCBQBM-SUNKGSAMSA-N 1 2 303.353 1.743 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CC[C@H](OC(F)F)C1 ZINC000555075161 421669251 /nfs/dbraw/zinc/66/92/51/421669251.db2.gz OXMURKQAOCBQBM-SUNKGSAMSA-N 1 2 303.353 1.743 20 30 DDEDLO C[C@@H](NC(=O)c1ccn(-c2cccc(C#N)c2)n1)c1[nH]cc[nH+]1 ZINC000557047255 421757497 /nfs/dbraw/zinc/75/74/97/421757497.db2.gz GHZSADPMOBQGTI-LLVKDONJSA-N 1 2 306.329 1.958 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(S(=O)(=O)CC2(C#N)CCC2)C1 ZINC000520894663 421767021 /nfs/dbraw/zinc/76/70/21/421767021.db2.gz KPKIIKZTCRBDEZ-LBPRGKRZSA-N 1 2 308.407 1.233 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)N(C)Cc1cn2c([nH+]1)CCCC2 ZINC000573157224 421929612 /nfs/dbraw/zinc/92/96/12/421929612.db2.gz MUERICQQHBTVIQ-CYBMUJFWSA-N 1 2 315.421 1.602 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@H+]3CCC[C@@]34CCNC4=O)o2)c1 ZINC000582428757 422152473 /nfs/dbraw/zinc/15/24/73/422152473.db2.gz YTOMBAQRRSRANO-KRWDZBQOSA-N 1 2 323.356 1.463 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@@H+]3CCC[C@@]34CCNC4=O)o2)c1 ZINC000582428757 422152479 /nfs/dbraw/zinc/15/24/79/422152479.db2.gz YTOMBAQRRSRANO-KRWDZBQOSA-N 1 2 323.356 1.463 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NC(=O)Nc1ccc(OC)cc1 ZINC000583449881 422168745 /nfs/dbraw/zinc/16/87/45/422168745.db2.gz ZFZTYEQEVNLWAC-NSHDSACASA-N 1 2 304.350 1.625 20 30 DDEDLO N#C[C@H]1CN(C(=O)C[C@@H](c2[nH]cc[nH+]2)c2ccccc2)CCO1 ZINC000582177635 422124696 /nfs/dbraw/zinc/12/46/96/422124696.db2.gz RZSRIZILJYSJJJ-LSDHHAIUSA-N 1 2 310.357 1.683 20 30 DDEDLO CC[C@H](Oc1ccccc1F)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000575062867 422248849 /nfs/dbraw/zinc/24/88/49/422248849.db2.gz BJPZZPPCOUGWFL-XJKSGUPXSA-N 1 2 307.369 1.943 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)c2ccc(C[NH+]3CCOCC3)cn2)C1 ZINC000628492083 422194843 /nfs/dbraw/zinc/19/48/43/422194843.db2.gz NOEPIFRRYXQAQJ-OAHLLOKOSA-N 1 2 315.417 1.952 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)C2C[C@H]3CCCC[C@@H](C2)C3=O)CC1 ZINC000630948043 422435937 /nfs/dbraw/zinc/43/59/37/422435937.db2.gz XRMFIXHENOPFPY-YIONKMFJSA-N 1 2 317.433 1.876 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)[C@H](CC(C)C)n2cc[nH+]c2)C1=O ZINC000635892286 422447053 /nfs/dbraw/zinc/44/70/53/422447053.db2.gz WNLCAKHZMVYIGW-CABCVRRESA-N 1 2 318.421 1.716 20 30 DDEDLO N#C[C@H](C1CC1)n1nnc([C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000601077626 422416320 /nfs/dbraw/zinc/41/63/20/422416320.db2.gz XJIFVINOZICIQP-HZPDHXFCSA-N 1 2 324.388 1.721 20 30 DDEDLO N#C[C@H](C1CC1)n1nnc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000601077626 422416324 /nfs/dbraw/zinc/41/63/24/422416324.db2.gz XJIFVINOZICIQP-HZPDHXFCSA-N 1 2 324.388 1.721 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@@H]2CCC[N@@H+]2Cc2ccccn2)c1C#N ZINC000601679646 422425621 /nfs/dbraw/zinc/42/56/21/422425621.db2.gz MJSDSMGWYPFIJR-AWEZNQCLSA-N 1 2 310.361 1.588 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@@H]2CCC[N@H+]2Cc2ccccn2)c1C#N ZINC000601679646 422425625 /nfs/dbraw/zinc/42/56/25/422425625.db2.gz MJSDSMGWYPFIJR-AWEZNQCLSA-N 1 2 310.361 1.588 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)Nc2cccc(F)c2)nn1 ZINC000640881326 423252236 /nfs/dbraw/zinc/25/22/36/423252236.db2.gz KSCDUMLSQSEOOA-LBPRGKRZSA-N 1 2 315.352 1.730 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)n1cc(C[NH2+]CC#CC)nn1 ZINC000640924671 423279358 /nfs/dbraw/zinc/27/93/58/423279358.db2.gz XSWKQDQFWXGWCV-CQSZACIVSA-N 1 2 303.410 1.377 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H](C)[N@H+]2C[C@@H](C)O[C@@H](C)C2)nn1 ZINC000654051559 423682447 /nfs/dbraw/zinc/68/24/47/423682447.db2.gz JEWQKYDIJFFVPP-MCIONIFRSA-N 1 2 321.425 1.082 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H](C)[N@@H+]2C[C@@H](C)O[C@@H](C)C2)nn1 ZINC000654051559 423682451 /nfs/dbraw/zinc/68/24/51/423682451.db2.gz JEWQKYDIJFFVPP-MCIONIFRSA-N 1 2 321.425 1.082 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2ccc3c(c2)OCCO3)nn1 ZINC000657290914 424267709 /nfs/dbraw/zinc/26/77/09/424267709.db2.gz UUEWCTKGWKPYHR-UHFFFAOYSA-N 1 2 300.362 1.915 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCc2c(cccc2C(N)=O)C1 ZINC000662302553 424556225 /nfs/dbraw/zinc/55/62/25/424556225.db2.gz YMUFRZBMVANHFF-UHFFFAOYSA-N 1 2 315.417 1.568 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCc2c(cccc2C(N)=O)C1 ZINC000662302553 424556230 /nfs/dbraw/zinc/55/62/30/424556230.db2.gz YMUFRZBMVANHFF-UHFFFAOYSA-N 1 2 315.417 1.568 20 30 DDEDLO C[C@@H]1CC[C@@H](O)C[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000399213438 267027438 /nfs/dbraw/zinc/02/74/38/267027438.db2.gz SEHWRJSCCMJLOF-TZMCWYRMSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@@H]1CC[C@@H](O)C[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000399213438 267027441 /nfs/dbraw/zinc/02/74/41/267027441.db2.gz SEHWRJSCCMJLOF-TZMCWYRMSA-N 1 2 308.403 1.177 20 30 DDEDLO CCS(=O)(=O)NC1CCN(c2cc(C)[nH+]cc2C#N)CC1 ZINC000425208477 267178016 /nfs/dbraw/zinc/17/80/16/267178016.db2.gz OYYPYANSZIGDLX-UHFFFAOYSA-N 1 2 308.407 1.170 20 30 DDEDLO Cc1cc(N(C)C[C@H](O)COCCOC(C)C)c(C#N)c[nH+]1 ZINC000425217052 268004521 /nfs/dbraw/zinc/00/45/21/268004521.db2.gz TXIPAQIIMFADND-HNNXBMFYSA-N 1 2 307.394 1.500 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@@H+]2CCC[C@H]2c2ncon2)cc1 ZINC000377498306 268177411 /nfs/dbraw/zinc/17/74/11/268177411.db2.gz PHUCDMATCQUHDL-ZFWWWQNUSA-N 1 2 314.345 1.518 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCC[C@H]2c2ncon2)cc1 ZINC000377498306 268177412 /nfs/dbraw/zinc/17/74/12/268177412.db2.gz PHUCDMATCQUHDL-ZFWWWQNUSA-N 1 2 314.345 1.518 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CC(C3CCCC3)C2)nc1 ZINC000377447973 268187089 /nfs/dbraw/zinc/18/70/89/268187089.db2.gz UZDOPTCKVCYQDB-UHFFFAOYSA-N 1 2 319.430 1.849 20 30 DDEDLO N#Cc1ccc([C@H](O)C[NH+]2CC(O)(c3ccc(F)cc3)C2)cc1 ZINC000375353431 268213999 /nfs/dbraw/zinc/21/39/99/268213999.db2.gz LKGWPUKQLHXLOK-QGZVFWFLSA-N 1 2 312.344 1.934 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H](NC(=O)NC3CC3)C2)c1F ZINC000355097981 268247182 /nfs/dbraw/zinc/24/71/82/268247182.db2.gz DPMGTVNUIQBOAC-CQSZACIVSA-N 1 2 302.353 1.733 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H](NC(=O)NC3CC3)C2)c1F ZINC000355097981 268247184 /nfs/dbraw/zinc/24/71/84/268247184.db2.gz DPMGTVNUIQBOAC-CQSZACIVSA-N 1 2 302.353 1.733 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H]2CCC[N@H+](C)[C@@H]2C)cc1C#N ZINC000345292435 272211150 /nfs/dbraw/zinc/21/11/50/272211150.db2.gz NHYDNUCGEMPAFN-BXKDBHETSA-N 1 2 320.418 1.593 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H]2CCC[N@@H+](C)[C@@H]2C)cc1C#N ZINC000345292435 272211152 /nfs/dbraw/zinc/21/11/52/272211152.db2.gz NHYDNUCGEMPAFN-BXKDBHETSA-N 1 2 320.418 1.593 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cc(C#N)ccc2C)[C@@H](C)C1 ZINC000285342585 276197230 /nfs/dbraw/zinc/19/72/30/276197230.db2.gz OYYNAPFWBLGZFP-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cc(C#N)ccc2C)[C@@H](C)C1 ZINC000285342585 276197231 /nfs/dbraw/zinc/19/72/31/276197231.db2.gz OYYNAPFWBLGZFP-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO C=C(C)COCCNC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000162307072 277778033 /nfs/dbraw/zinc/77/80/33/277778033.db2.gz WRYCQUQWRMVCFD-MRXNPFEDSA-N 1 2 312.454 1.832 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000456766366 277836772 /nfs/dbraw/zinc/83/67/72/277836772.db2.gz IJTPULMDOZKXSB-JKSUJKDBSA-N 1 2 312.454 1.567 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000375065438 279728347 /nfs/dbraw/zinc/72/83/47/279728347.db2.gz MLWMTSSOVMPKRN-ZDUSSCGKSA-N 1 2 309.325 1.854 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000373812582 280102096 /nfs/dbraw/zinc/10/20/96/280102096.db2.gz QHTVVRJNMRFOMA-DJIMGWMZSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000373812582 280102100 /nfs/dbraw/zinc/10/21/00/280102100.db2.gz QHTVVRJNMRFOMA-DJIMGWMZSA-N 1 2 323.437 1.311 20 30 DDEDLO O=S1(=O)CC[C@H]2C[N@@H+](CC#Cc3cccc(Cl)c3)C[C@H]21 ZINC000375767344 280351274 /nfs/dbraw/zinc/35/12/74/280351274.db2.gz DCTFBPWWPIQXDL-DZGCQCFKSA-N 1 2 309.818 1.810 20 30 DDEDLO O=S1(=O)CC[C@H]2C[N@H+](CC#Cc3cccc(Cl)c3)C[C@H]21 ZINC000375767344 280351276 /nfs/dbraw/zinc/35/12/76/280351276.db2.gz DCTFBPWWPIQXDL-DZGCQCFKSA-N 1 2 309.818 1.810 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1cc(C#N)ccc1Cl ZINC000228884021 300214798 /nfs/dbraw/zinc/21/47/98/300214798.db2.gz FCKGSIWWXYQYSE-JTQLQIEISA-N 1 2 313.810 1.536 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cc(C#N)ccc1Cl ZINC000228884021 300214803 /nfs/dbraw/zinc/21/48/03/300214803.db2.gz FCKGSIWWXYQYSE-JTQLQIEISA-N 1 2 313.810 1.536 20 30 DDEDLO CN1CCC[C@@H](N2CC[NH+](Cc3ccc(C#N)cc3)CC2)C1=O ZINC000538703547 303388776 /nfs/dbraw/zinc/38/87/76/303388776.db2.gz IEJVJKLWINJBSG-QGZVFWFLSA-N 1 2 312.417 1.297 20 30 DDEDLO N#Cc1cccc(CS(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000548295706 307762822 /nfs/dbraw/zinc/76/28/22/307762822.db2.gz WHGIFKCKJONZAU-AWEZNQCLSA-N 1 2 316.386 1.189 20 30 DDEDLO Cc1cc(N[C@H]2CCN(Cc3ccccc3)C2=O)c(C#N)c[nH+]1 ZINC000568503539 308120546 /nfs/dbraw/zinc/12/05/46/308120546.db2.gz JYSBYFXYVKXTFK-INIZCTEOSA-N 1 2 306.369 1.897 20 30 DDEDLO COCCOc1ccc(C#N)cc1NC(=O)[C@@H](C)[NH+]1CC(C)C1 ZINC000579241521 308517872 /nfs/dbraw/zinc/51/78/72/308517872.db2.gz GLSQKTGZENHZSX-CYBMUJFWSA-N 1 2 317.389 1.862 20 30 DDEDLO N#C[C@@H](NC(=O)c1ccc(-n2cc[nH+]c2)nn1)C1CCCCC1 ZINC000530654292 331615821 /nfs/dbraw/zinc/61/58/21/331615821.db2.gz FDIHTQNLOIPTIX-CQSZACIVSA-N 1 2 310.361 1.865 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C[C@@H]1COc2ccccc2O1 ZINC000569581949 332144564 /nfs/dbraw/zinc/14/45/64/332144564.db2.gz ODFHAKVBDGLFGA-MLGOLLRUSA-N 1 2 303.362 1.177 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[NH+]1CCN(c2cccs2)CC1 ZINC000526056869 333107786 /nfs/dbraw/zinc/10/77/86/333107786.db2.gz YOFYGYGSTRQXSP-ZDUSSCGKSA-N 1 2 318.446 1.821 20 30 DDEDLO CCS(=O)(=O)N1CCC(CNc2cc(C)[nH+]cc2C#N)CC1 ZINC000583627227 336000135 /nfs/dbraw/zinc/00/01/35/336000135.db2.gz ZZKUJEZYZGJMQI-UHFFFAOYSA-N 1 2 322.434 1.157 20 30 DDEDLO COc1cccc(C(=O)N2CC[NH+](CCCC#N)CC2)c1OC ZINC000058523292 337458575 /nfs/dbraw/zinc/45/85/75/337458575.db2.gz VLYGLQLKMUWXTI-UHFFFAOYSA-N 1 2 317.389 1.765 20 30 DDEDLO C=CCCn1cc(C(=O)N2CC[C@H](C)[C@H](n3cc[nH+]c3)C2)nn1 ZINC000516052178 338003151 /nfs/dbraw/zinc/00/31/51/338003151.db2.gz ZGDLNUWUKPIVNY-DZGCQCFKSA-N 1 2 314.393 1.774 20 30 DDEDLO CCC(C#N)(CC)C(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000528834398 340736307 /nfs/dbraw/zinc/73/63/07/340736307.db2.gz VEXYUVDELRSQRF-OAHLLOKOSA-N 1 2 323.437 1.266 20 30 DDEDLO CCN1CCN(C(=O)C2(C#N)CC(C)C2)C[C@H]1c1[nH]cc[nH+]1 ZINC000548675384 341269110 /nfs/dbraw/zinc/26/91/10/341269110.db2.gz YJJOHTRMHDUISS-UYJPIKCFSA-N 1 2 301.394 1.555 20 30 DDEDLO CN(C)c1ccccc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000081158415 341280521 /nfs/dbraw/zinc/28/05/21/341280521.db2.gz SLSMSQOZSXRUHW-UHFFFAOYSA-N 1 2 316.449 1.800 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@H](OC(F)F)C2)CCCCC1 ZINC000549081709 341285704 /nfs/dbraw/zinc/28/57/04/341285704.db2.gz BWVHZHLBIPDYBN-NSHDSACASA-N 1 2 301.337 1.643 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@H](OC(F)F)C2)CCCCC1 ZINC000549081709 341285705 /nfs/dbraw/zinc/28/57/05/341285705.db2.gz BWVHZHLBIPDYBN-NSHDSACASA-N 1 2 301.337 1.643 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)C(=O)c2ccc(Cl)cc2)CC1 ZINC000550531436 341318262 /nfs/dbraw/zinc/31/82/62/341318262.db2.gz AVAZHQBRFLFMCV-UHFFFAOYSA-N 1 2 305.765 1.627 20 30 DDEDLO C[C@H](C#N)CNC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000248465180 341378414 /nfs/dbraw/zinc/37/84/14/341378414.db2.gz ZXFPRWBFDWYZEG-CHWSQXEVSA-N 1 2 317.393 1.266 20 30 DDEDLO C=C[C@H](O)C(=O)N(Cc1ccccc1)[C@@H](C)C[NH+]1CCOCC1 ZINC000668142902 484983160 /nfs/dbraw/zinc/98/31/60/484983160.db2.gz HUQYXLGSAXDCJT-RDJZCZTQSA-N 1 2 318.417 1.283 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N[C@@H]1CCC[N@H+](Cc2c(F)cccc2F)C1 ZINC000668574430 485221089 /nfs/dbraw/zinc/22/10/89/485221089.db2.gz WGGCXJFTUMHFNT-SJKOYZFVSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N[C@@H]1CCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC000668574430 485221094 /nfs/dbraw/zinc/22/10/94/485221094.db2.gz WGGCXJFTUMHFNT-SJKOYZFVSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@H](O)C(=O)NC1(c2noc(C[NH+](CC)CC)n2)CCCC1 ZINC000667160355 485417104 /nfs/dbraw/zinc/41/71/04/485417104.db2.gz WIBXTPZXNQRZFD-LBPRGKRZSA-N 1 2 322.409 1.344 20 30 DDEDLO COCC#CC[NH+]1CCN(c2ccc(Br)cn2)CC1 ZINC000677817563 486547313 /nfs/dbraw/zinc/54/73/13/486547313.db2.gz QJPYWIJJZSTLPT-UHFFFAOYSA-N 1 2 324.222 1.616 20 30 DDEDLO Cn1c(=O)[nH]c(NCCC[N@H+](C)C2CCCCC2)c(C#N)c1=O ZINC001120798691 782149327 /nfs/dbraw/zinc/14/93/27/782149327.db2.gz OSLOPQFRGMTGBV-UHFFFAOYSA-N 1 2 319.409 1.012 20 30 DDEDLO Cn1c(=O)[nH]c(NCCC[N@@H+](C)C2CCCCC2)c(C#N)c1=O ZINC001120798691 782149331 /nfs/dbraw/zinc/14/93/31/782149331.db2.gz OSLOPQFRGMTGBV-UHFFFAOYSA-N 1 2 319.409 1.012 20 30 DDEDLO C[C@@H]1CCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)C[C@H]1O ZINC000330651593 533909049 /nfs/dbraw/zinc/90/90/49/533909049.db2.gz KCFRGRLSJQFIHC-NXEZZACHSA-N 1 2 320.315 1.562 20 30 DDEDLO Cc1ccn2cc(CNS(=O)(=O)CC3(CC#N)CC3)[nH+]c2c1 ZINC000451091745 534364686 /nfs/dbraw/zinc/36/46/86/534364686.db2.gz BGKRDRCCZPSAQM-UHFFFAOYSA-N 1 2 318.402 1.756 20 30 DDEDLO Cc1nc([C@](C)([NH2+]CCC(=O)N(C)CCC#N)C2CC2)no1 ZINC000433320779 534615742 /nfs/dbraw/zinc/61/57/42/534615742.db2.gz VRPJNMLVMCZCEL-OAHLLOKOSA-N 1 2 305.382 1.355 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CC(=O)N[C@@H]1CCCS(=O)(=O)C1 ZINC000329710603 534673091 /nfs/dbraw/zinc/67/30/91/534673091.db2.gz NTMWIXXDKNUMDE-GFCCVEGCSA-N 1 2 321.402 1.878 20 30 DDEDLO Cn1cc(NC(=O)NC[C@@H]2C[N@H+](C)CCO2)c(C(F)(F)F)n1 ZINC000331318078 534737345 /nfs/dbraw/zinc/73/73/45/534737345.db2.gz GXQNXLZCXGMEGU-MRVPVSSYSA-N 1 2 321.303 1.095 20 30 DDEDLO Cn1cc(NC(=O)NC[C@@H]2C[N@@H+](C)CCO2)c(C(F)(F)F)n1 ZINC000331318078 534737347 /nfs/dbraw/zinc/73/73/47/534737347.db2.gz GXQNXLZCXGMEGU-MRVPVSSYSA-N 1 2 321.303 1.095 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cc(F)c[nH]3)CC2)cc1 ZINC000292615384 534779846 /nfs/dbraw/zinc/77/98/46/534779846.db2.gz JEWRNSSCYMHBSW-UHFFFAOYSA-N 1 2 312.348 1.983 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000424709881 526430788 /nfs/dbraw/zinc/43/07/88/526430788.db2.gz HELQUUIBOYJHMW-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(CC(C)C)C(C)C)C1=O ZINC000337222420 526467823 /nfs/dbraw/zinc/46/78/23/526467823.db2.gz LZVFAHZCKVKUPS-OAHLLOKOSA-N 1 2 309.454 1.598 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(CC(C)C)C(C)C)C1=O ZINC000337222420 526467825 /nfs/dbraw/zinc/46/78/25/526467825.db2.gz LZVFAHZCKVKUPS-OAHLLOKOSA-N 1 2 309.454 1.598 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(C)CC2CCCCC2)C1=O ZINC000337203065 526499766 /nfs/dbraw/zinc/49/97/66/526499766.db2.gz FXWUGTQFNAVTBW-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(C)CC2CCCCC2)C1=O ZINC000337203065 526499768 /nfs/dbraw/zinc/49/97/68/526499768.db2.gz FXWUGTQFNAVTBW-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N2C[C@@H](C)C[C@H](C)C2)C1=O ZINC000337219015 526504046 /nfs/dbraw/zinc/50/40/46/526504046.db2.gz JMTWSYQNBYIPOY-JONQDZQNSA-N 1 2 321.465 1.598 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N2C[C@@H](C)C[C@H](C)C2)C1=O ZINC000337219015 526504049 /nfs/dbraw/zinc/50/40/49/526504049.db2.gz JMTWSYQNBYIPOY-JONQDZQNSA-N 1 2 321.465 1.598 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@H](c1ccccc1OC)[NH+](C)C ZINC000435438220 526683524 /nfs/dbraw/zinc/68/35/24/526683524.db2.gz NGZSTUFEFYYDBF-CQSZACIVSA-N 1 2 312.435 1.793 20 30 DDEDLO C=CCOCCCC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000433100492 526733386 /nfs/dbraw/zinc/73/33/86/526733386.db2.gz BMSDUKPOHBQZPD-CQSZACIVSA-N 1 2 306.410 1.598 20 30 DDEDLO C=CCOCCCS[C@H](C)C(=O)N1CC[NH+](CC)CC1 ZINC000342021725 526763566 /nfs/dbraw/zinc/76/35/66/526763566.db2.gz MCOOKZWNEUBMMX-CQSZACIVSA-N 1 2 300.468 1.865 20 30 DDEDLO C#CCN(C)C(=O)NCC[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000491340047 526791869 /nfs/dbraw/zinc/79/18/69/526791869.db2.gz VBMKDDDVRVJKFZ-UHFFFAOYSA-N 1 2 305.809 1.973 20 30 DDEDLO C#CCN(C)C(=O)NCC[N@H+]1CCc2c(Cl)cccc2C1 ZINC000491340047 526791871 /nfs/dbraw/zinc/79/18/71/526791871.db2.gz VBMKDDDVRVJKFZ-UHFFFAOYSA-N 1 2 305.809 1.973 20 30 DDEDLO C#CCN(C)C(=O)N[C@@H]1CC[N@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000491441091 526794154 /nfs/dbraw/zinc/79/41/54/526794154.db2.gz UATVEICOGQPYRW-HUUCEWRRSA-N 1 2 307.344 1.985 20 30 DDEDLO C#CCN(C)C(=O)N[C@@H]1CC[N@@H+](C)[C@@H]1c1ccc(F)c(F)c1 ZINC000491441091 526794159 /nfs/dbraw/zinc/79/41/59/526794159.db2.gz UATVEICOGQPYRW-HUUCEWRRSA-N 1 2 307.344 1.985 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCC(OCc2ccccc2)CC1 ZINC000491803925 526801350 /nfs/dbraw/zinc/80/13/50/526801350.db2.gz KQEBFYTUMCQQKT-UHFFFAOYSA-N 1 2 300.402 1.759 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCC(OCc2ccccc2)CC1 ZINC000491803925 526801352 /nfs/dbraw/zinc/80/13/52/526801352.db2.gz KQEBFYTUMCQQKT-UHFFFAOYSA-N 1 2 300.402 1.759 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(F)cc(F)c2F)CC1 ZINC000491663754 526951699 /nfs/dbraw/zinc/95/16/99/526951699.db2.gz RWQSXICVGMURQI-UHFFFAOYSA-N 1 2 311.307 1.293 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cc(Cl)ccc2F)CC1 ZINC000490897305 526951740 /nfs/dbraw/zinc/95/17/40/526951740.db2.gz BECLVCWOGRBPDQ-UHFFFAOYSA-N 1 2 310.756 1.635 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(F)c(F)c2F)CC1 ZINC000490761786 526951943 /nfs/dbraw/zinc/95/19/43/526951943.db2.gz WMDBJWSRUCYIGB-UHFFFAOYSA-N 1 2 311.307 1.293 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@@H](c3ccc(C)cc3)CC2=O)CC1 ZINC000490951251 526953318 /nfs/dbraw/zinc/95/33/18/526953318.db2.gz WNNUDPMOFGVJAJ-SFHVURJKSA-N 1 2 311.429 1.519 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](C)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC000491101927 527024638 /nfs/dbraw/zinc/02/46/38/527024638.db2.gz LCFJLEGKAWGXTN-CABCVRRESA-N 1 2 315.417 1.276 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](C)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC000491101927 527024643 /nfs/dbraw/zinc/02/46/43/527024643.db2.gz LCFJLEGKAWGXTN-CABCVRRESA-N 1 2 315.417 1.276 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@H](O)COc1ccc(SC)cc1 ZINC000491700305 527310776 /nfs/dbraw/zinc/31/07/76/527310776.db2.gz GACFANQDYDQOJC-ZIAGYGMSSA-N 1 2 307.415 1.482 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@H](O)COc1ccc(SC)cc1 ZINC000491700305 527310778 /nfs/dbraw/zinc/31/07/78/527310778.db2.gz GACFANQDYDQOJC-ZIAGYGMSSA-N 1 2 307.415 1.482 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@H](O)Cc1ccccc1C(F)(F)F ZINC000491689409 527311401 /nfs/dbraw/zinc/31/14/01/527311401.db2.gz ILHGTRLFRXDGKW-KGLIPLIRSA-N 1 2 313.319 1.943 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@H](O)Cc1ccccc1C(F)(F)F ZINC000491689409 527311405 /nfs/dbraw/zinc/31/14/05/527311405.db2.gz ILHGTRLFRXDGKW-KGLIPLIRSA-N 1 2 313.319 1.943 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)C(=O)N([C@H](C)c2ccccc2)C1=O ZINC000491752924 527333032 /nfs/dbraw/zinc/33/30/32/527333032.db2.gz ZSCLMBBVLUIBKW-QWHCGFSZSA-N 1 2 313.357 1.449 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)C(=O)N([C@H](C)c2ccccc2)C1=O ZINC000491752924 527333036 /nfs/dbraw/zinc/33/30/36/527333036.db2.gz ZSCLMBBVLUIBKW-QWHCGFSZSA-N 1 2 313.357 1.449 20 30 DDEDLO C#C[C@H](CC)NC(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000491761286 527349167 /nfs/dbraw/zinc/34/91/67/527349167.db2.gz VIDXRCNDMUVGCE-OAHLLOKOSA-N 1 2 316.401 1.590 20 30 DDEDLO C#C[C@H](CC)NC(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000491761286 527349175 /nfs/dbraw/zinc/34/91/75/527349175.db2.gz VIDXRCNDMUVGCE-OAHLLOKOSA-N 1 2 316.401 1.590 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccccc2OCCOC)nn1 ZINC000424170826 527372046 /nfs/dbraw/zinc/37/20/46/527372046.db2.gz KUKYXXVCIOSCJV-UHFFFAOYSA-N 1 2 302.378 1.779 20 30 DDEDLO C#C[C@H](NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1)C(C)C ZINC000491663646 527413051 /nfs/dbraw/zinc/41/30/51/527413051.db2.gz SJHJDZQNCHQFLA-HNNXBMFYSA-N 1 2 317.414 1.868 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@H](CC)[N@@H+]1CCO[C@H](CC)C1 ZINC000424923832 527497164 /nfs/dbraw/zinc/49/71/64/527497164.db2.gz KNDWLDVYIMPPCG-JJXSEGSLSA-N 1 2 310.438 1.583 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@H](CC)[N@H+]1CCO[C@H](CC)C1 ZINC000424923832 527497166 /nfs/dbraw/zinc/49/71/66/527497166.db2.gz KNDWLDVYIMPPCG-JJXSEGSLSA-N 1 2 310.438 1.583 20 30 DDEDLO C=C[C@H](CO)NC(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000350011637 527589050 /nfs/dbraw/zinc/58/90/50/527589050.db2.gz MQHRNPMGYOKINN-CQSZACIVSA-N 1 2 305.378 1.187 20 30 DDEDLO CCCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000330437479 528420892 /nfs/dbraw/zinc/42/08/92/528420892.db2.gz ZDXDPJQFBBKQOP-CYBMUJFWSA-N 1 2 318.396 1.734 20 30 DDEDLO CC(C)c1nnc(NC(=O)C[N@@H+]2C[C@@H]3CC[C@H](O)[C@@H]3C2)s1 ZINC000329610147 528453127 /nfs/dbraw/zinc/45/31/27/528453127.db2.gz BYTXVVCASIFDJA-AXFHLTTASA-N 1 2 310.423 1.952 20 30 DDEDLO CC(C)c1nnc(NC(=O)C[N@H+]2C[C@@H]3CC[C@H](O)[C@@H]3C2)s1 ZINC000329610147 528453134 /nfs/dbraw/zinc/45/31/34/528453134.db2.gz BYTXVVCASIFDJA-AXFHLTTASA-N 1 2 310.423 1.952 20 30 DDEDLO CC(C)n1cc(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)nn1 ZINC000292392873 528562364 /nfs/dbraw/zinc/56/23/64/528562364.db2.gz ZWAVQOHWPHEBFO-OAHLLOKOSA-N 1 2 312.377 1.779 20 30 DDEDLO CC(C)n1cc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)nn1 ZINC000292392873 528562366 /nfs/dbraw/zinc/56/23/66/528562366.db2.gz ZWAVQOHWPHEBFO-OAHLLOKOSA-N 1 2 312.377 1.779 20 30 DDEDLO CC(C)[C@@H]([NH2+]CCC(=O)N(C)CCC#N)C(=O)OC(C)(C)C ZINC000343402565 528585544 /nfs/dbraw/zinc/58/55/44/528585544.db2.gz MAEOLHPZRISRND-CQSZACIVSA-N 1 2 311.426 1.704 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)N[C@H]1CCCSC1 ZINC000330158051 529131075 /nfs/dbraw/zinc/13/10/75/529131075.db2.gz PTDUIHMGXBFPAW-LBPRGKRZSA-N 1 2 301.456 1.496 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)N[C@H]1CCCSC1 ZINC000330158051 529131076 /nfs/dbraw/zinc/13/10/76/529131076.db2.gz PTDUIHMGXBFPAW-LBPRGKRZSA-N 1 2 301.456 1.496 20 30 DDEDLO CCN1CCN(C(=O)c2ccc(C#N)c(C)n2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000433122681 529134273 /nfs/dbraw/zinc/13/42/73/529134273.db2.gz RJEIPIXUSCDNTA-OAHLLOKOSA-N 1 2 324.388 1.504 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(c2ccc(C)c(C#N)n2)CC1 ZINC000302622698 529158456 /nfs/dbraw/zinc/15/84/56/529158456.db2.gz FRXHWZRECNFFCP-AWEZNQCLSA-N 1 2 315.421 1.298 20 30 DDEDLO N#CCCN1CC[NH+](Cc2ccc(F)cc2-c2nn[nH]n2)CC1 ZINC000826382064 608038387 /nfs/dbraw/zinc/03/83/87/608038387.db2.gz MVUABBORCVMEJN-UHFFFAOYSA-N 1 2 315.356 1.037 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccccc3F)C2)C1 ZINC000972231536 695183708 /nfs/dbraw/zinc/18/37/08/695183708.db2.gz TYDHHOVBFIUZGQ-KRWDZBQOSA-N 1 2 304.365 1.929 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccccc3F)C2)C1 ZINC000972231536 695183710 /nfs/dbraw/zinc/18/37/10/695183710.db2.gz TYDHHOVBFIUZGQ-KRWDZBQOSA-N 1 2 304.365 1.929 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccccc3O)C2)C1 ZINC000972269815 695198528 /nfs/dbraw/zinc/19/85/28/695198528.db2.gz SYEPHSIBVLQAGK-QGZVFWFLSA-N 1 2 302.374 1.495 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccccc3O)C2)C1 ZINC000972269815 695198530 /nfs/dbraw/zinc/19/85/30/695198530.db2.gz SYEPHSIBVLQAGK-QGZVFWFLSA-N 1 2 302.374 1.495 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCCO[C@H]3C=C)C2)C1 ZINC000972387041 695237328 /nfs/dbraw/zinc/23/73/28/695237328.db2.gz KIWHMMPIKMKMGD-SOLBZPMBSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCCO[C@H]3C=C)C2)C1 ZINC000972387041 695237329 /nfs/dbraw/zinc/23/73/29/695237329.db2.gz KIWHMMPIKMKMGD-SOLBZPMBSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cncc(C)c3)C2)C1 ZINC000972482578 695260742 /nfs/dbraw/zinc/26/07/42/695260742.db2.gz CLYPJBYLPNHHOK-GOSISDBHSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cncc(C)c3)C2)C1 ZINC000972482578 695260743 /nfs/dbraw/zinc/26/07/43/695260743.db2.gz CLYPJBYLPNHHOK-GOSISDBHSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(F)F)C2)C1 ZINC000972542361 695277455 /nfs/dbraw/zinc/27/74/55/695277455.db2.gz KQQFTZKKNSPJAK-HNNXBMFYSA-N 1 2 302.365 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(F)F)C2)C1 ZINC000972542361 695277457 /nfs/dbraw/zinc/27/74/57/695277457.db2.gz KQQFTZKKNSPJAK-HNNXBMFYSA-N 1 2 302.365 1.767 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@]3(C2)C[N@H+](CC#CC)CCO3)C1 ZINC000972541979 695277556 /nfs/dbraw/zinc/27/75/56/695277556.db2.gz DDSSNSAQGAWNCM-SFHVURJKSA-N 1 2 302.418 1.669 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@]3(C2)C[N@@H+](CC#CC)CCO3)C1 ZINC000972541979 695277557 /nfs/dbraw/zinc/27/75/57/695277557.db2.gz DDSSNSAQGAWNCM-SFHVURJKSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H](C)n3cccc3)C2)C1 ZINC000972642253 695307302 /nfs/dbraw/zinc/30/73/02/695307302.db2.gz GBNQVVFWFHERDV-AEFFLSMTSA-N 1 2 315.417 1.376 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H](C)n3cccc3)C2)C1 ZINC000972642253 695307305 /nfs/dbraw/zinc/30/73/05/695307305.db2.gz GBNQVVFWFHERDV-AEFFLSMTSA-N 1 2 315.417 1.376 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)COc3ccccc3)C2)C1 ZINC000972653074 695309985 /nfs/dbraw/zinc/30/99/85/695309985.db2.gz DIQCWOAMOPCAAE-GOSISDBHSA-N 1 2 314.385 1.002 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)COc3ccccc3)C2)C1 ZINC000972653074 695309986 /nfs/dbraw/zinc/30/99/86/695309986.db2.gz DIQCWOAMOPCAAE-GOSISDBHSA-N 1 2 314.385 1.002 20 30 DDEDLO C#Cc1cncc(C(=O)NC2CCN(c3cccc[nH+]3)CC2)c1 ZINC000798161132 700045526 /nfs/dbraw/zinc/04/55/26/700045526.db2.gz YQDQDWDPOAWZPE-UHFFFAOYSA-N 1 2 306.369 1.857 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2scnc2COC)C(C)(C)C1 ZINC000977282142 696104342 /nfs/dbraw/zinc/10/43/42/696104342.db2.gz BKDNWDSNAXGKSX-ZDUSSCGKSA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2scnc2COC)C(C)(C)C1 ZINC000977282142 696104345 /nfs/dbraw/zinc/10/43/45/696104345.db2.gz BKDNWDSNAXGKSX-ZDUSSCGKSA-N 1 2 321.446 1.753 20 30 DDEDLO COc1cccc(NC(=O)CO[NH+]=C(N)Cc2cccnc2)c1 ZINC000137653686 696859102 /nfs/dbraw/zinc/85/91/02/696859102.db2.gz CXNBJTNOAAOERT-UHFFFAOYSA-N 1 2 314.345 1.560 20 30 DDEDLO CCn1ccc(C[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC000981671681 696868404 /nfs/dbraw/zinc/86/84/04/696868404.db2.gz DTLVMXBHBYAVMB-AWEZNQCLSA-N 1 2 315.421 1.487 20 30 DDEDLO CCn1ccc(C[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC000981671681 696868406 /nfs/dbraw/zinc/86/84/06/696868406.db2.gz DTLVMXBHBYAVMB-AWEZNQCLSA-N 1 2 315.421 1.487 20 30 DDEDLO CC#CC[N@@H+]1CCCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000981786628 696915400 /nfs/dbraw/zinc/91/54/00/696915400.db2.gz SEYISSXNLLAKLD-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@H+]1CCCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000981786628 696915402 /nfs/dbraw/zinc/91/54/02/696915402.db2.gz SEYISSXNLLAKLD-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@@H+]1CCCC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC000981786628 696915404 /nfs/dbraw/zinc/91/54/04/696915404.db2.gz SEYISSXNLLAKLD-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@H+]1CCCC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC000981786628 696915406 /nfs/dbraw/zinc/91/54/06/696915406.db2.gz SEYISSXNLLAKLD-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)Cc2cnoc2)CC1 ZINC000981810337 696925976 /nfs/dbraw/zinc/92/59/76/696925976.db2.gz YPGXWYCBYNQUFQ-UHFFFAOYSA-N 1 2 324.384 1.823 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)Cc2cnoc2)CC1 ZINC000981810337 696925978 /nfs/dbraw/zinc/92/59/78/696925978.db2.gz YPGXWYCBYNQUFQ-UHFFFAOYSA-N 1 2 324.384 1.823 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CCC[C@@H](NCC#N)C3)ccn12 ZINC000981091126 697001936 /nfs/dbraw/zinc/00/19/36/697001936.db2.gz KTKACQXGXGQTRS-HUUCEWRRSA-N 1 2 311.389 1.797 20 30 DDEDLO C[C@]12CN(CC#N)C[C@@]1(C)CN(C(=O)CCc1[nH]cc[nH+]1)C2 ZINC000982269422 697078551 /nfs/dbraw/zinc/07/85/51/697078551.db2.gz YJWMBBGLTHIFHQ-IYBDPMFKSA-N 1 2 301.394 1.036 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2nnnn2-c2ccccc2)cc1 ZINC000177555757 697413460 /nfs/dbraw/zinc/41/34/60/697413460.db2.gz QTTYBAGVSVDNGV-UHFFFAOYSA-N 1 2 324.344 1.508 20 30 DDEDLO COC(=O)c1oc(CO[NH+]=C(N)Cc2cccnc2)cc1C ZINC000178919666 697430976 /nfs/dbraw/zinc/43/09/76/697430976.db2.gz ZDTZSGIZLVJUTB-UHFFFAOYSA-N 1 2 303.318 1.801 20 30 DDEDLO C=CCNC(=O)c1ccccc1NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000749352000 700157922 /nfs/dbraw/zinc/15/79/22/700157922.db2.gz KUPOQDHOLYNPMB-CYBMUJFWSA-N 1 2 324.384 2.000 20 30 DDEDLO C[C@@H]1[C@@H]([NH2+]Cc2csnn2)CCN1C(=O)c1c[nH]c(C#N)c1 ZINC000986183852 697723689 /nfs/dbraw/zinc/72/36/89/697723689.db2.gz LPTZRMDZKCYOJP-RNCFNFMXSA-N 1 2 316.390 1.131 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cc(C)cc(OCCO)c1 ZINC000773733555 697798727 /nfs/dbraw/zinc/79/87/27/697798727.db2.gz XPONTGJPSMCAMY-INIZCTEOSA-N 1 2 302.374 1.402 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cc(C)cc(OCCO)c1 ZINC000773733555 697798730 /nfs/dbraw/zinc/79/87/30/697798730.db2.gz XPONTGJPSMCAMY-INIZCTEOSA-N 1 2 302.374 1.402 20 30 DDEDLO C#CC[C@@H]([NH2+]Cc1nc2c(cnn2C)c(=O)[nH]1)c1ccccc1 ZINC000775405789 697993128 /nfs/dbraw/zinc/99/31/28/697993128.db2.gz YGSJYJZCXAZLHI-CQSZACIVSA-N 1 2 307.357 1.923 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=Cc2cn(-c3ccc(F)cc3Cl)nn2)N1 ZINC000779805976 698469887 /nfs/dbraw/zinc/46/98/87/698469887.db2.gz XGYVHYXUCHAYIM-QMMMGPOBSA-N 1 2 321.747 1.331 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2C[C@H](C)N(C(=O)C#CC3CC3)C2)n1 ZINC000988823741 698477259 /nfs/dbraw/zinc/47/72/59/698477259.db2.gz DJRMPUJWLFUJMT-JSGCOSHPSA-N 1 2 316.405 1.515 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CCC[C@H](C(=O)OCC)C2)c1 ZINC000780290216 698503723 /nfs/dbraw/zinc/50/37/23/698503723.db2.gz UHKCDOLGLIXUKW-HNNXBMFYSA-N 1 2 314.385 1.882 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@H](C(=O)OCC)C2)c1 ZINC000780290216 698503725 /nfs/dbraw/zinc/50/37/25/698503725.db2.gz UHKCDOLGLIXUKW-HNNXBMFYSA-N 1 2 314.385 1.882 20 30 DDEDLO Cc1ccn(C[N@H+]2CCC[C@H](c3ccnn3C)C2)c(=O)c1C#N ZINC000800859041 700250029 /nfs/dbraw/zinc/25/00/29/700250029.db2.gz GBIZJAUHTWJZIR-AWEZNQCLSA-N 1 2 311.389 1.599 20 30 DDEDLO Cc1ccn(C[N@@H+]2CCC[C@H](c3ccnn3C)C2)c(=O)c1C#N ZINC000800859041 700250031 /nfs/dbraw/zinc/25/00/31/700250031.db2.gz GBIZJAUHTWJZIR-AWEZNQCLSA-N 1 2 311.389 1.599 20 30 DDEDLO CC(=O)N1CC[C@H](NC(=O)[C@@H]2CN(c3cccc[nH+]3)C[C@H]2C)C1 ZINC000328944392 698749684 /nfs/dbraw/zinc/74/96/84/698749684.db2.gz JFKUGSXFDVZOCC-VHDGCEQUSA-N 1 2 316.405 1.731 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC([NH2+]Cc2noc(C3CC3)n2)CC1 ZINC000990254471 699011405 /nfs/dbraw/zinc/01/14/05/699011405.db2.gz RXEWKCDPNARMQD-JTQLQIEISA-N 1 2 303.366 1.187 20 30 DDEDLO CCCN1C(=O)CC[C@@H](C(=O)NCCC#N)[C@@H]1c1c[nH+]cn1C ZINC000357108143 699039386 /nfs/dbraw/zinc/03/93/86/699039386.db2.gz LZHONRTUTHXJKE-IUODEOHRSA-N 1 2 317.393 1.140 20 30 DDEDLO COC[C@@H](C#N)OC(=O)C[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000785335945 699068766 /nfs/dbraw/zinc/06/87/66/699068766.db2.gz CWERZJKKGAMRLH-HZPDHXFCSA-N 1 2 318.373 1.359 20 30 DDEDLO COC[C@@H](C#N)OC(=O)C[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000785335945 699068769 /nfs/dbraw/zinc/06/87/69/699068769.db2.gz CWERZJKKGAMRLH-HZPDHXFCSA-N 1 2 318.373 1.359 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1CC1=C(C(=O)OCC)[C@@H](C)NC(=O)N1 ZINC000785998178 699116272 /nfs/dbraw/zinc/11/62/72/699116272.db2.gz YBZJMTNLRJBFHD-NEPJUHHUSA-N 1 2 307.394 1.545 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1CC1=C(C(=O)OCC)[C@@H](C)NC(=O)N1 ZINC000785998178 699116275 /nfs/dbraw/zinc/11/62/75/699116275.db2.gz YBZJMTNLRJBFHD-NEPJUHHUSA-N 1 2 307.394 1.545 20 30 DDEDLO N#CCCNC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000426437106 699154862 /nfs/dbraw/zinc/15/48/62/699154862.db2.gz UTTABTQRXXJHLF-OAHLLOKOSA-N 1 2 302.378 1.100 20 30 DDEDLO N#CCCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000426437106 699154863 /nfs/dbraw/zinc/15/48/63/699154863.db2.gz UTTABTQRXXJHLF-OAHLLOKOSA-N 1 2 302.378 1.100 20 30 DDEDLO COc1cccc([C@H](C#N)NC(=O)CCn2cc[nH+]c2)c1OC ZINC000729434024 699479048 /nfs/dbraw/zinc/47/90/48/699479048.db2.gz PELOBKPGUYJWKO-ZDUSSCGKSA-N 1 2 314.345 1.671 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000730272815 699505460 /nfs/dbraw/zinc/50/54/60/699505460.db2.gz RFNTUUDLTXKABV-KRWDZBQOSA-N 1 2 313.401 1.559 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000730272815 699505461 /nfs/dbraw/zinc/50/54/61/699505461.db2.gz RFNTUUDLTXKABV-KRWDZBQOSA-N 1 2 313.401 1.559 20 30 DDEDLO C=CC1CC[NH+](Cn2nc(C)n(CC(=O)N(C)C)c2=S)CC1 ZINC000732181786 699548959 /nfs/dbraw/zinc/54/89/59/699548959.db2.gz NCNYRAIOLUJOJR-UHFFFAOYSA-N 1 2 323.466 1.666 20 30 DDEDLO Cn1nncc1CO[NH+]=C(N)c1ccc(Br)cc1 ZINC000809998131 701710882 /nfs/dbraw/zinc/71/08/82/701710882.db2.gz NGOWIMUEWWJCKO-UHFFFAOYSA-N 1 2 310.155 1.415 20 30 DDEDLO COC[C@H]1CCC[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000793498217 699761391 /nfs/dbraw/zinc/76/13/91/699761391.db2.gz LIBRQMYIFDPTDA-AWEZNQCLSA-N 1 2 315.417 1.915 20 30 DDEDLO COC[C@H]1CCC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000793498217 699761392 /nfs/dbraw/zinc/76/13/92/699761392.db2.gz LIBRQMYIFDPTDA-AWEZNQCLSA-N 1 2 315.417 1.915 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@]12CCCN(C(=O)OC(C)(C)C)C2 ZINC000794575710 699817460 /nfs/dbraw/zinc/81/74/60/699817460.db2.gz NEKOJJFCIJFRTN-QGZVFWFLSA-N 1 2 321.421 1.211 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@]12CCCN(C(=O)OC(C)(C)C)C2 ZINC000794575710 699817463 /nfs/dbraw/zinc/81/74/63/699817463.db2.gz NEKOJJFCIJFRTN-QGZVFWFLSA-N 1 2 321.421 1.211 20 30 DDEDLO CO[C@]1(C)CCC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000794648686 699821167 /nfs/dbraw/zinc/82/11/67/699821167.db2.gz CWPUFUNKAJIQPT-QGZVFWFLSA-N 1 2 301.390 1.667 20 30 DDEDLO CO[C@]1(C)CCC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000794648686 699821170 /nfs/dbraw/zinc/82/11/70/699821170.db2.gz CWPUFUNKAJIQPT-QGZVFWFLSA-N 1 2 301.390 1.667 20 30 DDEDLO N#Cc1cc(S(N)(=O)=O)ccc1NCCNc1cccc[nH+]1 ZINC000742175449 699863029 /nfs/dbraw/zinc/86/30/29/699863029.db2.gz SWRFKLJYWZZHPJ-UHFFFAOYSA-N 1 2 317.374 1.125 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1CCOC[C@@H]1C[C@H](O)c1cccs1 ZINC000801926913 700355652 /nfs/dbraw/zinc/35/56/52/700355652.db2.gz YLJNNDAXXZIDHS-RDBSUJKOSA-N 1 2 310.419 1.801 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1CCOC[C@@H]1C[C@H](O)c1cccs1 ZINC000801926913 700355655 /nfs/dbraw/zinc/35/56/55/700355655.db2.gz YLJNNDAXXZIDHS-RDBSUJKOSA-N 1 2 310.419 1.801 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CC[C@H](C3CCOCC3)C2)c1=S ZINC000754781107 700526981 /nfs/dbraw/zinc/52/69/81/700526981.db2.gz BKMRVDLRWXIZLJ-ZDUSSCGKSA-N 1 2 309.439 1.701 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CC[C@H](C3CCOCC3)C2)c1=S ZINC000754781107 700526985 /nfs/dbraw/zinc/52/69/85/700526985.db2.gz BKMRVDLRWXIZLJ-ZDUSSCGKSA-N 1 2 309.439 1.701 20 30 DDEDLO COC(=O)c1cccc(COC(=O)[C@@H]2CCCC[N@@H+]2C)c1C#N ZINC000756194744 700607540 /nfs/dbraw/zinc/60/75/40/700607540.db2.gz GGIRZGKAWLCIFY-HNNXBMFYSA-N 1 2 316.357 1.872 20 30 DDEDLO COC(=O)c1cccc(COC(=O)[C@@H]2CCCC[N@H+]2C)c1C#N ZINC000756194744 700607542 /nfs/dbraw/zinc/60/75/42/700607542.db2.gz GGIRZGKAWLCIFY-HNNXBMFYSA-N 1 2 316.357 1.872 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)CCCCCC)[C@@H]1CCS(=O)(=O)C1 ZINC000759390223 700749597 /nfs/dbraw/zinc/74/95/97/700749597.db2.gz RXTKIKBGYLVSOP-CABCVRRESA-N 1 2 303.468 1.993 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)CCCCCC)[C@@H]1CCS(=O)(=O)C1 ZINC000759390223 700749599 /nfs/dbraw/zinc/74/95/99/700749599.db2.gz RXTKIKBGYLVSOP-CABCVRRESA-N 1 2 303.468 1.993 20 30 DDEDLO COCCCCON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000760350421 700804021 /nfs/dbraw/zinc/80/40/21/700804021.db2.gz JTJAGQQRBVWYNN-UHFFFAOYSA-N 1 2 321.421 1.582 20 30 DDEDLO C#CCOc1cccc(N2C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C2=O)c1 ZINC000804205462 701171988 /nfs/dbraw/zinc/17/19/88/701171988.db2.gz GQGXTGLLGRHCPD-AWEZNQCLSA-N 1 2 310.313 1.089 20 30 DDEDLO C#CCOc1ccc(CN2CC[NH+](CC[S@@](C)=O)CC2)cc1 ZINC000769479371 701246643 /nfs/dbraw/zinc/24/66/43/701246643.db2.gz HZWLAFJBPRZMKA-JOCHJYFZSA-N 1 2 320.458 1.195 20 30 DDEDLO C#Cc1cccc(NC(=S)N[C@H](C)[C@@H]2C[N@H+](C)CCO2)c1 ZINC000769796966 701256451 /nfs/dbraw/zinc/25/64/51/701256451.db2.gz WYMHHGQDROGFEB-DOMZBBRYSA-N 1 2 303.431 1.673 20 30 DDEDLO C#Cc1cccc(NC(=S)N[C@H](C)[C@@H]2C[N@@H+](C)CCO2)c1 ZINC000769796966 701256452 /nfs/dbraw/zinc/25/64/52/701256452.db2.gz WYMHHGQDROGFEB-DOMZBBRYSA-N 1 2 303.431 1.673 20 30 DDEDLO CCNc1nc(N=NCc2ccc(N)[nH+]c2)nc(NCC)n1 ZINC000807949306 701492107 /nfs/dbraw/zinc/49/21/07/701492107.db2.gz GVNVFUCQBHGCPK-UHFFFAOYSA-N 1 2 301.358 1.158 20 30 DDEDLO N#Cc1ccc(NCCNC(=O)Nc2ccn3cc[nH+]c3c2)nc1 ZINC000810231957 701745753 /nfs/dbraw/zinc/74/57/53/701745753.db2.gz SCUAKIJAYUBIIA-UHFFFAOYSA-N 1 2 321.344 1.835 20 30 DDEDLO C=CCN1CCN(CN2CCN(c3cc(C)cc[nH+]3)CC2)C1=O ZINC000840028289 701922691 /nfs/dbraw/zinc/92/26/91/701922691.db2.gz QQSBKATYCJAJCC-UHFFFAOYSA-N 1 2 315.421 1.393 20 30 DDEDLO CC(C)[C@H]1N(C[NH+]2CCC(C)(C#N)CC2)C(=O)[C@@]12CCCO2 ZINC000840043655 701933551 /nfs/dbraw/zinc/93/35/51/701933551.db2.gz VARIIBUOQXQDNX-RHSMWYFYSA-N 1 2 305.422 1.986 20 30 DDEDLO C=C(C)CN(Cc1cnn(C(C)C)c1)C(=O)[C@H](O)c1c[nH+]c[nH]1 ZINC000868284167 702041755 /nfs/dbraw/zinc/04/17/55/702041755.db2.gz QKVULPQFELKPGF-OAHLLOKOSA-N 1 2 317.393 1.825 20 30 DDEDLO C#Cc1cnc(NC2CC[NH+](CC(=O)OC(C)(C)C)CC2)nc1 ZINC000866169522 706638985 /nfs/dbraw/zinc/63/89/85/706638985.db2.gz KRQJAZJABSNGMI-UHFFFAOYSA-N 1 2 316.405 1.676 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000868513568 702170942 /nfs/dbraw/zinc/17/09/42/702170942.db2.gz HFIAUAMBTCUHPM-GFCCVEGCSA-N 1 2 309.410 1.113 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000840768744 702233203 /nfs/dbraw/zinc/23/32/03/702233203.db2.gz PZUZYPWBWIUBLB-JTQLQIEISA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000840768744 702233207 /nfs/dbraw/zinc/23/32/07/702233207.db2.gz PZUZYPWBWIUBLB-JTQLQIEISA-N 1 2 313.741 1.833 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1ccc(C(=O)OC)s1 ZINC000817674712 702447045 /nfs/dbraw/zinc/44/70/45/702447045.db2.gz DHTWPKUWINXSFM-LBPRGKRZSA-N 1 2 306.387 1.249 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1ccc(C(=O)OC)s1 ZINC000817674712 702447047 /nfs/dbraw/zinc/44/70/47/702447047.db2.gz DHTWPKUWINXSFM-LBPRGKRZSA-N 1 2 306.387 1.249 20 30 DDEDLO CCN(CCO)[NH+]=Cc1c(C)nn2c1[nH]c1ccccc1c2=O ZINC000842535212 702732790 /nfs/dbraw/zinc/73/27/90/702732790.db2.gz LMQRKSTVLDCSER-UHFFFAOYSA-N 1 2 313.361 1.132 20 30 DDEDLO CC(C)O[C@@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000842609557 702741427 /nfs/dbraw/zinc/74/14/27/702741427.db2.gz GKOBJXSYVSKURO-CQSZACIVSA-N 1 2 301.390 1.665 20 30 DDEDLO CC(C)O[C@@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000842609557 702741429 /nfs/dbraw/zinc/74/14/29/702741429.db2.gz GKOBJXSYVSKURO-CQSZACIVSA-N 1 2 301.390 1.665 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585046 706728187 /nfs/dbraw/zinc/72/81/87/706728187.db2.gz QFRGRKMZQZSSBA-HUUCEWRRSA-N 1 2 314.433 1.983 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000846332066 703265915 /nfs/dbraw/zinc/26/59/15/703265915.db2.gz DYKOKLRAYLAFGM-RDJZCZTQSA-N 1 2 324.384 1.509 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CC(=O)Nc2cc(OC)ccc2OC)C1 ZINC000847028562 703368298 /nfs/dbraw/zinc/36/82/98/703368298.db2.gz ZOLXIZXPNSCXAZ-ZDUSSCGKSA-N 1 2 302.374 1.988 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CC(=O)Nc2cc(OC)ccc2OC)C1 ZINC000847028562 703368299 /nfs/dbraw/zinc/36/82/99/703368299.db2.gz ZOLXIZXPNSCXAZ-ZDUSSCGKSA-N 1 2 302.374 1.988 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC000847028002 703368331 /nfs/dbraw/zinc/36/83/31/703368331.db2.gz FKCPSJJUTNHMOJ-CYBMUJFWSA-N 1 2 300.358 1.297 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC000847028002 703368332 /nfs/dbraw/zinc/36/83/32/703368332.db2.gz FKCPSJJUTNHMOJ-CYBMUJFWSA-N 1 2 300.358 1.297 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCC(C#N)(C(=O)OC)CC1 ZINC000831692021 706754641 /nfs/dbraw/zinc/75/46/41/706754641.db2.gz ZXESOXJUHZIGOO-AWEZNQCLSA-N 1 2 319.405 1.354 20 30 DDEDLO Cc1nn(-c2ccccc2F)cc1C=NNC1=[NH+]C[C@@H](C)N1 ZINC000848408335 703547309 /nfs/dbraw/zinc/54/73/09/703547309.db2.gz JYMGJGVRRZJOSZ-SNVBAGLBSA-N 1 2 300.341 1.591 20 30 DDEDLO C(=NNCCCn1cc[nH+]c1)c1cnc(C2OCCO2)s1 ZINC000848417205 703548107 /nfs/dbraw/zinc/54/81/07/703548107.db2.gz PDAVOKYDVMCVGD-UHFFFAOYSA-N 1 2 307.379 1.399 20 30 DDEDLO C#Cc1ccc(CNC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cc1 ZINC000852365004 704025066 /nfs/dbraw/zinc/02/50/66/704025066.db2.gz LHCILQDXFYUDJK-QGZVFWFLSA-N 1 2 313.401 1.284 20 30 DDEDLO C#Cc1cccc(CNC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC000852375022 704027669 /nfs/dbraw/zinc/02/76/69/704027669.db2.gz TWXJHCWRUDPEMX-KRWDZBQOSA-N 1 2 313.401 1.284 20 30 DDEDLO COC(=O)NC1CC[NH+](CC(=O)NC2(C#N)CCCCC2)CC1 ZINC000852770970 704120232 /nfs/dbraw/zinc/12/02/32/704120232.db2.gz HMULVOBCAYJBJN-UHFFFAOYSA-N 1 2 322.409 1.149 20 30 DDEDLO C=CC[NH+](CC=C)[C@@H](C)C(=O)Nc1ccccc1-c1nn[nH]n1 ZINC000819740410 704166699 /nfs/dbraw/zinc/16/66/99/704166699.db2.gz ZEKZTOUKYYTBPW-LBPRGKRZSA-N 1 2 312.377 1.868 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C(C)(C)[C@H]1c1cnn(C)c1)[C@@H](C)COC ZINC000853535635 704262003 /nfs/dbraw/zinc/26/20/03/704262003.db2.gz YHAUBPRNSNTWQV-DZGCQCFKSA-N 1 2 320.437 1.810 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C(C)(C)[C@H]1c1cnn(C)c1)[C@@H](C)COC ZINC000853535635 704262006 /nfs/dbraw/zinc/26/20/06/704262006.db2.gz YHAUBPRNSNTWQV-DZGCQCFKSA-N 1 2 320.437 1.810 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCCc2ccc(C#N)cc2)CCO1 ZINC000853604610 704283483 /nfs/dbraw/zinc/28/34/83/704283483.db2.gz GLIHXTXYVMUIMH-AWEZNQCLSA-N 1 2 316.405 1.121 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCCc2ccc(C#N)cc2)CCO1 ZINC000853604610 704283485 /nfs/dbraw/zinc/28/34/85/704283485.db2.gz GLIHXTXYVMUIMH-AWEZNQCLSA-N 1 2 316.405 1.121 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)N[C@](C)(C(C)(C)C)C1=O ZINC000853621256 704287935 /nfs/dbraw/zinc/28/79/35/704287935.db2.gz WGSGMLBZIRZWSU-INIZCTEOSA-N 1 2 309.410 1.272 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)N[C@](C)(C(C)(C)C)C1=O ZINC000853621256 704287938 /nfs/dbraw/zinc/28/79/38/704287938.db2.gz WGSGMLBZIRZWSU-INIZCTEOSA-N 1 2 309.410 1.272 20 30 DDEDLO COC[C@H](CO[NH+]=C(N)c1ccc(Br)cc1)OC ZINC000853684763 704299118 /nfs/dbraw/zinc/29/91/18/704299118.db2.gz IEFOUEVDPXQLBT-LLVKDONJSA-N 1 2 317.183 1.747 20 30 DDEDLO CCn1ncnc1CO[NH+]=C(N)c1ccc(Br)cc1 ZINC000853684605 704299035 /nfs/dbraw/zinc/29/90/35/704299035.db2.gz FJPSRYGGKAOLPK-UHFFFAOYSA-N 1 2 324.182 1.898 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CCn1cc[nH+]c1)C1CCSCC1 ZINC000854412650 704393271 /nfs/dbraw/zinc/39/32/71/704393271.db2.gz RPRQDZUETWYPSQ-AWEZNQCLSA-N 1 2 321.446 1.646 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2cc(C#N)ccc2F)[C@H](C)CO1 ZINC000855408670 704483127 /nfs/dbraw/zinc/48/31/27/704483127.db2.gz XGPCVWQIBOGRGI-VXGBXAGGSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2cc(C#N)ccc2F)[C@H](C)CO1 ZINC000855408670 704483128 /nfs/dbraw/zinc/48/31/28/704483128.db2.gz XGPCVWQIBOGRGI-VXGBXAGGSA-N 1 2 305.353 1.536 20 30 DDEDLO CCCCCCCNS(=O)(=O)CCC[NH+]1CCOCC1 ZINC000859247371 704833861 /nfs/dbraw/zinc/83/38/61/704833861.db2.gz UPYFAZFPVXIWGM-UHFFFAOYSA-N 1 2 306.472 1.599 20 30 DDEDLO N#Cc1ccncc1N1CCN(c2nccn3c[nH+]cc23)CC1 ZINC000893954032 710899291 /nfs/dbraw/zinc/89/92/91/710899291.db2.gz CFZMOOCFGGAMBL-UHFFFAOYSA-N 1 2 305.345 1.323 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2nn(C)c3c2CCCC3)CC1 ZINC000825548525 705691127 /nfs/dbraw/zinc/69/11/27/705691127.db2.gz BXUFLNWAFRGIAS-UHFFFAOYSA-N 1 2 300.406 1.080 20 30 DDEDLO Cc1[nH]c(CN[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]2C#N)[nH+]c1C ZINC000862324126 705696605 /nfs/dbraw/zinc/69/66/05/705696605.db2.gz DAZBAUHNDBMCKW-CHWSQXEVSA-N 1 2 319.409 1.875 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@@H](CNC(=O)[C@H](C)CC#N)C2)c1 ZINC000826551961 705812130 /nfs/dbraw/zinc/81/21/30/705812130.db2.gz TZXAIZDIEYTHSG-WBVHZDCISA-N 1 2 315.417 1.862 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@@H](CNC(=O)[C@H](C)CC#N)C2)c1 ZINC000826551961 705812134 /nfs/dbraw/zinc/81/21/34/705812134.db2.gz TZXAIZDIEYTHSG-WBVHZDCISA-N 1 2 315.417 1.862 20 30 DDEDLO Cc1c(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)cnn1CCC#N ZINC000826719396 705857116 /nfs/dbraw/zinc/85/71/16/705857116.db2.gz ZAIYCQBSAUGBAS-CQSZACIVSA-N 1 2 324.388 1.509 20 30 DDEDLO CON=CC(=O)N1CC[NH+](Cc2cc3ccccc3[nH]2)CC1 ZINC000863482123 705937888 /nfs/dbraw/zinc/93/78/88/705937888.db2.gz UGDPQDPABJPOGD-UHFFFAOYSA-N 1 2 300.362 1.444 20 30 DDEDLO COC[C@H]1C[N@H+](Cc2cc(C#N)ccc2N(C)C)C[C@H](C)O1 ZINC000877463683 706114288 /nfs/dbraw/zinc/11/42/88/706114288.db2.gz IXURJOHLXHTHEZ-XJKSGUPXSA-N 1 2 303.406 1.860 20 30 DDEDLO COC[C@H]1C[N@@H+](Cc2cc(C#N)ccc2N(C)C)C[C@H](C)O1 ZINC000877463683 706114289 /nfs/dbraw/zinc/11/42/89/706114289.db2.gz IXURJOHLXHTHEZ-XJKSGUPXSA-N 1 2 303.406 1.860 20 30 DDEDLO COC[C@@]1(C)C[N@H+](Cc2cc(C#N)ccc2N(C)C)CCO1 ZINC000877661975 706173378 /nfs/dbraw/zinc/17/33/78/706173378.db2.gz KVBFQPUGZPIZFJ-QGZVFWFLSA-N 1 2 303.406 1.862 20 30 DDEDLO COC[C@@]1(C)C[N@@H+](Cc2cc(C#N)ccc2N(C)C)CCO1 ZINC000877661975 706173381 /nfs/dbraw/zinc/17/33/81/706173381.db2.gz KVBFQPUGZPIZFJ-QGZVFWFLSA-N 1 2 303.406 1.862 20 30 DDEDLO N#CCc1ccsc1C(=O)N1CC[NH+](C[C@H]2CCCO2)CC1 ZINC000864536689 706189680 /nfs/dbraw/zinc/18/96/80/706189680.db2.gz MBARDAGUQJNPIU-CQSZACIVSA-N 1 2 319.430 1.751 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1cc(C#N)ccc1C ZINC000828928889 706257423 /nfs/dbraw/zinc/25/74/23/706257423.db2.gz QXVVMTVCPBOCMX-OAHLLOKOSA-N 1 2 301.390 1.707 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1cc(C#N)ccc1C ZINC000828928889 706257425 /nfs/dbraw/zinc/25/74/25/706257425.db2.gz QXVVMTVCPBOCMX-OAHLLOKOSA-N 1 2 301.390 1.707 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H](C(=O)OCC)C2(COC2)C1 ZINC000830269195 706488194 /nfs/dbraw/zinc/48/81/94/706488194.db2.gz NOMCHWFPBHDGQT-SNVBAGLBSA-N 1 2 304.184 1.407 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H](C(=O)OCC)C2(COC2)C1 ZINC000830269195 706488196 /nfs/dbraw/zinc/48/81/96/706488196.db2.gz NOMCHWFPBHDGQT-SNVBAGLBSA-N 1 2 304.184 1.407 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)C)n2CC2CC2)CC1 ZINC000830491672 706525696 /nfs/dbraw/zinc/52/56/96/706525696.db2.gz KGLWWSUUWLGLNS-UHFFFAOYSA-N 1 2 301.438 1.741 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)/C=C\C[NH+]1CCOCC1 ZINC000865886603 706552882 /nfs/dbraw/zinc/55/28/82/706552882.db2.gz CEIJAEVGDLOWNU-YVMONPNESA-N 1 2 314.385 1.203 20 30 DDEDLO COc1cc2c(cc1OC)[C@H](C)[N@H+](CC(=O)NCCC#N)CC2 ZINC000880091487 706876950 /nfs/dbraw/zinc/87/69/50/706876950.db2.gz XUIJCXLFLLABNS-LBPRGKRZSA-N 1 2 317.389 1.653 20 30 DDEDLO COc1cc2c(cc1OC)[C@H](C)[N@@H+](CC(=O)NCCC#N)CC2 ZINC000880091487 706876954 /nfs/dbraw/zinc/87/69/54/706876954.db2.gz XUIJCXLFLLABNS-LBPRGKRZSA-N 1 2 317.389 1.653 20 30 DDEDLO N#CCc1cccc(NC(=O)N2CCN(c3cccc[nH+]3)CC2)n1 ZINC000881155920 707139532 /nfs/dbraw/zinc/13/95/32/707139532.db2.gz KSIRKBUGFFDQEL-UHFFFAOYSA-N 1 2 322.372 1.897 20 30 DDEDLO Nc1ccc2c([nH+]1)CCCC2=NNC(=S)NCC1CCC1 ZINC000834954326 707152053 /nfs/dbraw/zinc/15/20/53/707152053.db2.gz VZVMLQUMHIHLJC-UHFFFAOYSA-N 1 2 303.435 1.968 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc(F)ccc2[N+](=O)[O-])nn1 ZINC000881226248 707158094 /nfs/dbraw/zinc/15/80/94/707158094.db2.gz NBRZBCXWPZPPDV-UHFFFAOYSA-N 1 2 303.297 1.487 20 30 DDEDLO N#CCc1ccnc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000871733260 707180778 /nfs/dbraw/zinc/18/07/78/707180778.db2.gz FHBWDTBPXZLLDE-OKILXGFUSA-N 1 2 315.377 1.132 20 30 DDEDLO N#CCc1ccnc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000871733260 707180781 /nfs/dbraw/zinc/18/07/81/707180781.db2.gz FHBWDTBPXZLLDE-OKILXGFUSA-N 1 2 315.377 1.132 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N(C)CCCn1cc[nH+]c1 ZINC000872462039 707425390 /nfs/dbraw/zinc/42/53/90/707425390.db2.gz CPNHGIFLJUARMU-UHFFFAOYSA-N 1 2 318.402 1.774 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)NCCCCn1cc[nH+]c1 ZINC000872469277 707430083 /nfs/dbraw/zinc/43/00/83/707430083.db2.gz PKPVXTZQAROFJY-UHFFFAOYSA-N 1 2 318.402 1.822 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNc1ccc(C(=O)OC)nc1C#N ZINC000872773637 707594244 /nfs/dbraw/zinc/59/42/44/707594244.db2.gz QHMFMEZPQRPCJC-LBPRGKRZSA-N 1 2 318.377 1.263 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNc1ccc(C(=O)OC)nc1C#N ZINC000872773637 707594248 /nfs/dbraw/zinc/59/42/48/707594248.db2.gz QHMFMEZPQRPCJC-LBPRGKRZSA-N 1 2 318.377 1.263 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2cc(F)cc(C#N)c2)CC1(C)C ZINC000882351267 707597222 /nfs/dbraw/zinc/59/72/22/707597222.db2.gz XLCWQEVXZMZZAR-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2cc(F)cc(C#N)c2)CC1(C)C ZINC000882351267 707597227 /nfs/dbraw/zinc/59/72/27/707597227.db2.gz XLCWQEVXZMZZAR-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C#CCC1(O)CCN(C(=O)NCCCNc2cccc[nH+]2)CC1 ZINC000883134778 707937047 /nfs/dbraw/zinc/93/70/47/707937047.db2.gz IWRQWKFPZNRPMN-UHFFFAOYSA-N 1 2 316.405 1.443 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H]1c1cccs1 ZINC000884056202 708120246 /nfs/dbraw/zinc/12/02/46/708120246.db2.gz OPVPCSNPXHCBTA-NWDGAFQWSA-N 1 2 308.403 1.858 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@H](C)Oc2ccccc21 ZINC000884134819 708155219 /nfs/dbraw/zinc/15/52/19/708155219.db2.gz STPMLUMCSCOYNT-RYUDHWBXSA-N 1 2 304.346 1.247 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)Oc1cccc(F)c1 ZINC000884155456 708163586 /nfs/dbraw/zinc/16/35/86/708163586.db2.gz CEJPIGRHVCKFGK-RISCZKNCSA-N 1 2 324.352 1.156 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@@]1(F)c1ccccc1 ZINC000884369509 708267069 /nfs/dbraw/zinc/26/70/69/708267069.db2.gz GPCDNTVCOOYTBA-WOSRLPQWSA-N 1 2 306.337 1.187 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)C1CCC(F)(F)CC1 ZINC000884392725 708277952 /nfs/dbraw/zinc/27/79/52/708277952.db2.gz KZOBWQLTMPOREU-PWSUYJOCSA-N 1 2 318.364 1.763 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cc(C)cc(C(=O)OC)c1 ZINC000885559550 708575105 /nfs/dbraw/zinc/57/51/05/708575105.db2.gz VANATFFOZNTJFT-HNNXBMFYSA-N 1 2 300.358 1.818 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cc(C)cc(C(=O)OC)c1 ZINC000885559550 708575107 /nfs/dbraw/zinc/57/51/07/708575107.db2.gz VANATFFOZNTJFT-HNNXBMFYSA-N 1 2 300.358 1.818 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)Cc2cccc(C#N)c2)n1 ZINC000927767922 713051356 /nfs/dbraw/zinc/05/13/56/713051356.db2.gz FITUXBWLXXXFSM-UHFFFAOYSA-N 1 2 314.414 1.935 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@H+](C[C@@H](O)CC2(C#N)CCC2)CCO1 ZINC000886309107 708739938 /nfs/dbraw/zinc/73/99/38/708739938.db2.gz UBLICKLVFQVWEN-ZFWWWQNUSA-N 1 2 310.394 1.085 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@@H+](C[C@@H](O)CC2(C#N)CCC2)CCO1 ZINC000886309107 708739939 /nfs/dbraw/zinc/73/99/39/708739939.db2.gz UBLICKLVFQVWEN-ZFWWWQNUSA-N 1 2 310.394 1.085 20 30 DDEDLO CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1C ZINC000886470515 708766003 /nfs/dbraw/zinc/76/60/03/708766003.db2.gz PULGBGJVOOMAQH-AWEZNQCLSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1C ZINC000886470515 708766004 /nfs/dbraw/zinc/76/60/04/708766004.db2.gz PULGBGJVOOMAQH-AWEZNQCLSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1cc(=O)[nH]c(N2CC[NH+](CC#Cc3ccccc3)CC2)n1 ZINC000886656797 708807706 /nfs/dbraw/zinc/80/77/06/708807706.db2.gz RYCJJWNGJFQVAU-UHFFFAOYSA-N 1 2 308.385 1.664 20 30 DDEDLO C#CC1(O)CCN(C(=O)C[C@@H](c2[nH]cc[nH+]2)c2ccccc2)CC1 ZINC000899119847 708991584 /nfs/dbraw/zinc/99/15/84/708991584.db2.gz SYGFOGVMYZORFA-MRXNPFEDSA-N 1 2 323.396 1.918 20 30 DDEDLO C[C@]1(C#N)CN(C(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)CCO1 ZINC000887690837 709104496 /nfs/dbraw/zinc/10/44/96/709104496.db2.gz UZWYNZKZTTYEJG-JQWIXIFHSA-N 1 2 316.283 1.518 20 30 DDEDLO C#CC[C@H]1CCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000887760324 709119286 /nfs/dbraw/zinc/11/92/86/709119286.db2.gz XLUQFRANGKGRFC-AWEZNQCLSA-N 1 2 300.406 1.817 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000890245938 709799554 /nfs/dbraw/zinc/79/95/54/709799554.db2.gz CTMBVFUQUQARPO-DLBZAZTESA-N 1 2 324.384 1.668 20 30 DDEDLO COc1ccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)o1 ZINC000892223122 710398266 /nfs/dbraw/zinc/39/82/66/710398266.db2.gz FKZIUQQEXBYBAT-GFCCVEGCSA-N 1 2 313.361 1.661 20 30 DDEDLO COc1ccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)o1 ZINC000892223122 710398270 /nfs/dbraw/zinc/39/82/70/710398270.db2.gz FKZIUQQEXBYBAT-GFCCVEGCSA-N 1 2 313.361 1.661 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2nnc(-c3ccns3)o2)C1 ZINC000902968176 710978869 /nfs/dbraw/zinc/97/88/69/710978869.db2.gz YUFXHQAAMFPKCJ-SNVBAGLBSA-N 1 2 317.374 1.021 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2nnc(-c3ccns3)o2)C1 ZINC000902968176 710978871 /nfs/dbraw/zinc/97/88/71/710978871.db2.gz YUFXHQAAMFPKCJ-SNVBAGLBSA-N 1 2 317.374 1.021 20 30 DDEDLO C=CCCOCCCCC(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC000913449770 713220721 /nfs/dbraw/zinc/22/07/21/713220721.db2.gz PJDVZMNDWITKQA-OAHLLOKOSA-N 1 2 306.410 1.646 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC000913458538 713225066 /nfs/dbraw/zinc/22/50/66/713225066.db2.gz PRTSRKLSOJCOCY-SUMWQHHRSA-N 1 2 323.400 1.633 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)C#Cc3cccs3)CC[NH2+]2)cn1 ZINC000913460101 713225838 /nfs/dbraw/zinc/22/58/38/713225838.db2.gz LKVIVDSGOJKJBX-CQSZACIVSA-N 1 2 300.387 1.006 20 30 DDEDLO CN(C[C@H]1C[N@H+](C)CCO1)C(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000913508349 713240939 /nfs/dbraw/zinc/24/09/39/713240939.db2.gz YAYBOLJFOYYAHH-OCUYMYNFSA-N 1 2 305.422 1.495 20 30 DDEDLO CN(C[C@H]1C[N@@H+](C)CCO1)C(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000913508349 713240940 /nfs/dbraw/zinc/24/09/40/713240940.db2.gz YAYBOLJFOYYAHH-OCUYMYNFSA-N 1 2 305.422 1.495 20 30 DDEDLO C=CCC1(O)CC[NH+](Cc2cn(Cc3cccnc3)nn2)CC1 ZINC000895836178 711620920 /nfs/dbraw/zinc/62/09/20/711620920.db2.gz YGKSFYXTHKJFLO-UHFFFAOYSA-N 1 2 313.405 1.624 20 30 DDEDLO C=CC[C@@H]([NH2+]C1CCN(C(=O)c2ccoc2)CC1)C(=O)OC ZINC000905750230 712138680 /nfs/dbraw/zinc/13/86/80/712138680.db2.gz JPADJOGSBVDMMM-CQSZACIVSA-N 1 2 306.362 1.592 20 30 DDEDLO C=CC[C@@H]([NH2+]CCCn1cc(Br)cn1)C(=O)OC ZINC000905767257 712142199 /nfs/dbraw/zinc/14/21/99/712142199.db2.gz JSELUOUNJGYINC-LLVKDONJSA-N 1 2 316.199 1.743 20 30 DDEDLO N#C[C@]1(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CC2CCC1CC2 ZINC000906975004 712454108 /nfs/dbraw/zinc/45/41/08/712454108.db2.gz JEGXMVSJPIGTNZ-AJTLQJAISA-N 1 2 317.433 1.640 20 30 DDEDLO C=C(C)COCCNC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000907016831 712465214 /nfs/dbraw/zinc/46/52/14/712465214.db2.gz BIVMECSYNAKEKM-UHFFFAOYSA-N 1 2 321.421 1.143 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)cc1 ZINC000907038788 712472202 /nfs/dbraw/zinc/47/22/02/712472202.db2.gz MHXZJTLIIUSQRL-AWEZNQCLSA-N 1 2 315.398 1.959 20 30 DDEDLO Cc1ccc(C(=O)/C=C\C(=O)N2CC[N@H+](CCO)[C@H](C)C2)cc1 ZINC000907542901 712592194 /nfs/dbraw/zinc/59/21/94/712592194.db2.gz FISJEXDDDIKXPT-ZPIQOJFGSA-N 1 2 316.401 1.259 20 30 DDEDLO Cc1ccc(C(=O)/C=C\C(=O)N2CC[N@@H+](CCO)[C@H](C)C2)cc1 ZINC000907542901 712592195 /nfs/dbraw/zinc/59/21/95/712592195.db2.gz FISJEXDDDIKXPT-ZPIQOJFGSA-N 1 2 316.401 1.259 20 30 DDEDLO C=C1CN(C(=O)Nc2ccccc2C(=O)N2CC[NH+](C)CC2)C1 ZINC000929501002 713625343 /nfs/dbraw/zinc/62/53/43/713625343.db2.gz YRONWUVNQFGFKE-UHFFFAOYSA-N 1 2 314.389 1.478 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2ccc(F)cc2C#N)CCO1 ZINC000930287116 713793996 /nfs/dbraw/zinc/79/39/96/713793996.db2.gz GWJKECFTOAOEDM-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(F)cc2C#N)CCO1 ZINC000930287116 713793997 /nfs/dbraw/zinc/79/39/97/713793997.db2.gz GWJKECFTOAOEDM-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)N[C@@H]2CCC[C@@H]2C#N)n1 ZINC000921982662 713928141 /nfs/dbraw/zinc/92/81/41/713928141.db2.gz ZXJOESNVGMHMFD-ZYHUDNBSSA-N 1 2 307.423 1.696 20 30 DDEDLO C=CCCn1cc(CN[C@@H]2CCC[N@@H+]3CCSC[C@H]23)nn1 ZINC000922522763 714077797 /nfs/dbraw/zinc/07/77/97/714077797.db2.gz FLMINYDGPWACQS-HUUCEWRRSA-N 1 2 307.467 1.524 20 30 DDEDLO C=CCCn1cc(CN[C@@H]2CCC[N@H+]3CCSC[C@H]23)nn1 ZINC000922522763 714077799 /nfs/dbraw/zinc/07/77/99/714077799.db2.gz FLMINYDGPWACQS-HUUCEWRRSA-N 1 2 307.467 1.524 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2cc(F)cc(C#N)c2)CCO1 ZINC000932102557 714259409 /nfs/dbraw/zinc/25/94/09/714259409.db2.gz GMRHYMVDZUOLAZ-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2cc(F)cc(C#N)c2)CCO1 ZINC000932102557 714259411 /nfs/dbraw/zinc/25/94/11/714259411.db2.gz GMRHYMVDZUOLAZ-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC000923552864 714398466 /nfs/dbraw/zinc/39/84/66/714398466.db2.gz DWFBSBDTNPINDS-GFCCVEGCSA-N 1 2 315.398 1.417 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC000923552864 714398468 /nfs/dbraw/zinc/39/84/68/714398468.db2.gz DWFBSBDTNPINDS-GFCCVEGCSA-N 1 2 315.398 1.417 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(F)(F)c2ncccc2C)C1 ZINC000923560722 714404278 /nfs/dbraw/zinc/40/42/78/714404278.db2.gz FUMWYPDUXLDOCM-CYBMUJFWSA-N 1 2 307.344 1.696 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(F)(F)c2ncccc2C)C1 ZINC000923560722 714404281 /nfs/dbraw/zinc/40/42/81/714404281.db2.gz FUMWYPDUXLDOCM-CYBMUJFWSA-N 1 2 307.344 1.696 20 30 DDEDLO CCn1c[nH+]c2c1CCN(CC(=O)Nc1ccc(C#N)cc1)C2 ZINC000933259765 714548830 /nfs/dbraw/zinc/54/88/30/714548830.db2.gz GKQIRIPCUSWRBD-UHFFFAOYSA-N 1 2 309.373 1.771 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOc2ccccc2[C@H]1CO ZINC000933383836 714577727 /nfs/dbraw/zinc/57/77/27/714577727.db2.gz UZPPGSSQHSCFEM-MRXNPFEDSA-N 1 2 316.401 1.615 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOc2ccccc2[C@H]1CO ZINC000933383836 714577728 /nfs/dbraw/zinc/57/77/28/714577728.db2.gz UZPPGSSQHSCFEM-MRXNPFEDSA-N 1 2 316.401 1.615 20 30 DDEDLO COC(=O)[C@@H]([NH+]1CCC2(CC1)CC(=O)C=CO2)C1(OC)CCC1 ZINC000933646022 714637869 /nfs/dbraw/zinc/63/78/69/714637869.db2.gz BHYDLDZDSUVXAF-CQSZACIVSA-N 1 2 323.389 1.435 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3ccccc3O2)C1 ZINC000956972014 715688086 /nfs/dbraw/zinc/68/80/86/715688086.db2.gz GMPRAHJVCUQYFF-SFHVURJKSA-N 1 2 312.413 1.936 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc3c(c2)[nH]c(=O)n3C)CC1 ZINC000957799238 716055944 /nfs/dbraw/zinc/05/59/44/716055944.db2.gz MASIHGZZUMNBKC-UHFFFAOYSA-N 1 2 300.362 1.223 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc3[nH]c(=O)n(C)c3c2)CC1 ZINC000957957499 716249908 /nfs/dbraw/zinc/24/99/08/716249908.db2.gz MUHPZVFIIYPTMG-UHFFFAOYSA-N 1 2 314.389 1.613 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC000960790087 716690682 /nfs/dbraw/zinc/69/06/82/716690682.db2.gz KJOAIHVBQMOZIZ-AWEZNQCLSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC000960790087 716690683 /nfs/dbraw/zinc/69/06/83/716690683.db2.gz KJOAIHVBQMOZIZ-AWEZNQCLSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cnn(C)n4)C[C@H]32)CC1 ZINC000961669641 717052923 /nfs/dbraw/zinc/05/29/23/717052923.db2.gz RETWVJOWZYMXGQ-ZSHCYNCHSA-N 1 2 315.421 1.108 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cnn(C)n4)C[C@H]32)CC1 ZINC000961669641 717052925 /nfs/dbraw/zinc/05/29/25/717052925.db2.gz RETWVJOWZYMXGQ-ZSHCYNCHSA-N 1 2 315.421 1.108 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC[C@H](F)C3)CC2)C1 ZINC000941407551 717172086 /nfs/dbraw/zinc/17/20/86/717172086.db2.gz SKOXBTORDTWROA-CVEARBPZSA-N 1 2 321.440 1.366 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CC[C@@H]4C[C@@H]4CC3)CC2)C1 ZINC000941498876 717187529 /nfs/dbraw/zinc/18/75/29/717187529.db2.gz JHEKCYUXCQJEKX-OSYLJGHBSA-N 1 2 315.461 1.274 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3CC4(CCC4)C3)CC2)C1 ZINC000941523274 717192840 /nfs/dbraw/zinc/19/28/40/717192840.db2.gz APEXXRMMIJKFMD-UHFFFAOYSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3CC[C@@H](C)C3)CC2)C1 ZINC000941524699 717193519 /nfs/dbraw/zinc/19/35/19/717193519.db2.gz UGWMJYBDKDTEAZ-CVEARBPZSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC3(C)C)CC2)C1 ZINC000941527910 717194834 /nfs/dbraw/zinc/19/48/34/717194834.db2.gz CYCBTOQDOJSFHU-MRXNPFEDSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cccc4cc[nH]c43)CC2)C1 ZINC000941578744 717216851 /nfs/dbraw/zinc/21/68/51/717216851.db2.gz PWYSKCHMDPXTDL-UHFFFAOYSA-N 1 2 322.412 1.243 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@@H](C)c3ccco3)CC2)C1 ZINC000941641073 717240198 /nfs/dbraw/zinc/24/01/98/717240198.db2.gz RTTHUVUGKVMVQC-OAHLLOKOSA-N 1 2 315.417 1.235 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CC4CCC3CC4)CC2)C1 ZINC000941677755 717252300 /nfs/dbraw/zinc/25/23/00/717252300.db2.gz VMNHBOPSWDWFLA-HTWSVDAQSA-N 1 2 315.461 1.274 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncccc2O)[C@@H](C)C1 ZINC000941990481 717421215 /nfs/dbraw/zinc/42/12/15/717421215.db2.gz ZFRYERBIMALGAA-JQWIXIFHSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncccc2O)[C@@H](C)C1 ZINC000941990481 717421220 /nfs/dbraw/zinc/42/12/20/717421220.db2.gz ZFRYERBIMALGAA-JQWIXIFHSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cn2cc(C)cn2)C1 ZINC000965480173 717660470 /nfs/dbraw/zinc/66/04/70/717660470.db2.gz YADMGKATQDTUAG-GXTWGEPZSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cn2cc(C)cn2)C1 ZINC000965480173 717660471 /nfs/dbraw/zinc/66/04/71/717660471.db2.gz YADMGKATQDTUAG-GXTWGEPZSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)Cn2c[nH+]cc2C)[C@H](C)C1 ZINC000942517904 717713846 /nfs/dbraw/zinc/71/38/46/717713846.db2.gz ISADXSQESLLFSW-BXUZGUMPSA-N 1 2 310.829 1.771 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H]1[C@@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC000963382068 717768792 /nfs/dbraw/zinc/76/87/92/717768792.db2.gz AKSRMJXCVMMZIK-HUUCEWRRSA-N 1 2 315.421 1.074 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1[C@@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC000963382068 717768795 /nfs/dbraw/zinc/76/87/95/717768795.db2.gz AKSRMJXCVMMZIK-HUUCEWRRSA-N 1 2 315.421 1.074 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC000945440806 718462651 /nfs/dbraw/zinc/46/26/51/718462651.db2.gz RTFKOEVAFPDBQI-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000966661585 718619790 /nfs/dbraw/zinc/61/97/90/718619790.db2.gz FUGKYGJKXRYDRJ-WCQYABFASA-N 1 2 304.394 1.128 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2nnc3ccccc3c2O)C[C@@H]1C ZINC000947771094 719265541 /nfs/dbraw/zinc/26/55/41/719265541.db2.gz GBAKTTCQTVONEY-QWHCGFSZSA-N 1 2 324.384 1.551 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2ccc3oc(=O)nc-3[nH]2)C[C@H]1C ZINC000947924377 719308512 /nfs/dbraw/zinc/30/85/12/719308512.db2.gz CEGXFOWBGCNOKF-GHMZBOCLSA-N 1 2 314.345 1.144 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc3oc(=O)nc-3[nH]2)C[C@H]1C ZINC000947924377 719308513 /nfs/dbraw/zinc/30/85/13/719308513.db2.gz CEGXFOWBGCNOKF-GHMZBOCLSA-N 1 2 314.345 1.144 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](N(C)C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000948378573 719474509 /nfs/dbraw/zinc/47/45/09/719474509.db2.gz YHFQCYBJWVVNFZ-GJZGRUSLSA-N 1 2 314.433 1.776 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](N(C)C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000948378573 719474511 /nfs/dbraw/zinc/47/45/11/719474511.db2.gz YHFQCYBJWVVNFZ-GJZGRUSLSA-N 1 2 314.433 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000968660633 719721846 /nfs/dbraw/zinc/72/18/46/719721846.db2.gz LVXLOOYHSQDMNA-ZANVPECISA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000968660633 719721847 /nfs/dbraw/zinc/72/18/47/719721847.db2.gz LVXLOOYHSQDMNA-ZANVPECISA-N 1 2 324.812 1.306 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccnnc1C ZINC000948936693 719793290 /nfs/dbraw/zinc/79/32/90/719793290.db2.gz XVUOSWWEKOTGHS-SFHVURJKSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccnnc1C ZINC000948936693 719793293 /nfs/dbraw/zinc/79/32/93/719793293.db2.gz XVUOSWWEKOTGHS-SFHVURJKSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC000948953751 719799786 /nfs/dbraw/zinc/79/97/86/719799786.db2.gz GMXCHYFDLSIOGF-INIZCTEOSA-N 1 2 324.384 1.088 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC000948953751 719799788 /nfs/dbraw/zinc/79/97/88/719799788.db2.gz GMXCHYFDLSIOGF-INIZCTEOSA-N 1 2 324.384 1.088 20 30 DDEDLO N#Cc1ccccc1C[NH2+][C@@H]1CCN(C(=O)CCc2cnc[nH]2)C1 ZINC000968877615 719837728 /nfs/dbraw/zinc/83/77/28/719837728.db2.gz VPOKRGKJHRUFKE-QGZVFWFLSA-N 1 2 323.400 1.605 20 30 DDEDLO N#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000968925509 719875659 /nfs/dbraw/zinc/87/56/59/719875659.db2.gz FWWYEXKYHJWHRL-HUUCEWRRSA-N 1 2 315.421 1.330 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCc2ccc(C(N)=O)cc2)CC1 ZINC000949284055 719993473 /nfs/dbraw/zinc/99/34/73/719993473.db2.gz NSMOWXKSUGQFJE-UHFFFAOYSA-N 1 2 301.390 1.048 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)CC2)C1 ZINC000949493595 720121030 /nfs/dbraw/zinc/12/10/30/720121030.db2.gz XLXUORDQMNOTLI-DLBZAZTESA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)CC2)C1 ZINC000949493595 720121033 /nfs/dbraw/zinc/12/10/33/720121033.db2.gz XLXUORDQMNOTLI-DLBZAZTESA-N 1 2 316.445 1.749 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+](CCc3cnn(CC)c3)CC2)C1 ZINC000950382676 720606907 /nfs/dbraw/zinc/60/69/07/720606907.db2.gz IVBFRNPKALUXGP-UHFFFAOYSA-N 1 2 316.449 1.946 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnn3c2OCCC3)C1 ZINC000970054918 720612831 /nfs/dbraw/zinc/61/28/31/720612831.db2.gz QNQJZQXOXFDOMR-LLVKDONJSA-N 1 2 324.812 1.468 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2n[nH]c(CC)c2Cl)C1 ZINC000950524816 720670145 /nfs/dbraw/zinc/67/01/45/720670145.db2.gz NWYOJBDBJVCGPU-UHFFFAOYSA-N 1 2 308.813 1.795 20 30 DDEDLO C#CCC[NH+]1CC([C@H](C)NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000970262700 720682454 /nfs/dbraw/zinc/68/24/54/720682454.db2.gz UCJROQWJJLSKDU-ZDUSSCGKSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cccc(C(N)=O)c2)C1 ZINC000950642035 720717986 /nfs/dbraw/zinc/71/79/86/720717986.db2.gz JWIFCGBXYHCZBX-UHFFFAOYSA-N 1 2 301.390 1.508 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@H]2CCc3[nH+]ccn3C2)C1 ZINC000950658328 720723385 /nfs/dbraw/zinc/72/33/85/720723385.db2.gz JOKCCFWIHRYHSY-AWEZNQCLSA-N 1 2 300.406 1.002 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)N[C@@H](CC(C)C)C2)C1 ZINC000950687081 720735713 /nfs/dbraw/zinc/73/57/13/720735713.db2.gz JWPMOWFRMWCINI-GJZGRUSLSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cccc(OC)n2)C1 ZINC000970672356 720881188 /nfs/dbraw/zinc/88/11/88/720881188.db2.gz KRZVUJDSXYRGJL-LLVKDONJSA-N 1 2 309.797 1.893 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000951545924 721066045 /nfs/dbraw/zinc/06/60/45/721066045.db2.gz UFCDKAYIUQRJIR-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2nnn(C)c2C(F)(F)F)CC1 ZINC000951563269 721075834 /nfs/dbraw/zinc/07/58/34/721075834.db2.gz NJASKVVCIBFHMO-UHFFFAOYSA-N 1 2 317.315 1.168 20 30 DDEDLO Cc1c[nH+]c2n1CCN(C)C21CCN(c2ccc(C#N)cn2)CC1 ZINC001164916233 721811754 /nfs/dbraw/zinc/81/17/54/721811754.db2.gz GBBFHXDUEGGIGA-UHFFFAOYSA-N 1 2 322.416 1.899 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)cc3F)[C@H]2C1 ZINC001083263285 735397950 /nfs/dbraw/zinc/39/79/50/735397950.db2.gz PAUDBIDHNYORQP-LSDHHAIUSA-N 1 2 306.312 1.123 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)cc3F)[C@H]2C1 ZINC001083263285 735397952 /nfs/dbraw/zinc/39/79/52/735397952.db2.gz PAUDBIDHNYORQP-LSDHHAIUSA-N 1 2 306.312 1.123 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2CC[N@H+]2CCO[C@H]2CC2(F)F)c[nH]1 ZINC001038173592 732639153 /nfs/dbraw/zinc/63/91/53/732639153.db2.gz AUTJXSKAGSYGNJ-OLZOCXBDSA-N 1 2 324.331 1.115 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2CCO[C@H]2CC2(F)F)c[nH]1 ZINC001038173592 732639154 /nfs/dbraw/zinc/63/91/54/732639154.db2.gz AUTJXSKAGSYGNJ-OLZOCXBDSA-N 1 2 324.331 1.115 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NC3C[C@H]4CC[C@@H](C3)N4CC#N)ccn12 ZINC001021258324 733093601 /nfs/dbraw/zinc/09/36/01/733093601.db2.gz RFHNFXQNSCSYGH-MQVJKMGUSA-N 1 2 323.400 1.892 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)co1 ZINC001027813146 738689230 /nfs/dbraw/zinc/68/92/30/738689230.db2.gz MRYCRVYVKOBHIF-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(C[N@H+]2CCC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)co1 ZINC001027813146 738689233 /nfs/dbraw/zinc/68/92/33/738689233.db2.gz MRYCRVYVKOBHIF-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)s1 ZINC001038139864 734744002 /nfs/dbraw/zinc/74/40/02/734744002.db2.gz LTTWDITXVKHNSQ-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)s1 ZINC001038139864 734744005 /nfs/dbraw/zinc/74/40/05/734744005.db2.gz LTTWDITXVKHNSQ-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3cccnc3)[C@H]2C1 ZINC001083251389 734840312 /nfs/dbraw/zinc/84/03/12/734840312.db2.gz OCJPUTPGQVVISY-HYVNUMGLSA-N 1 2 313.401 1.120 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3cccnc3)[C@H]2C1 ZINC001083251389 734840317 /nfs/dbraw/zinc/84/03/17/734840317.db2.gz OCJPUTPGQVVISY-HYVNUMGLSA-N 1 2 313.401 1.120 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCn2cncc2C1 ZINC001027976522 738896281 /nfs/dbraw/zinc/89/62/81/738896281.db2.gz CGCKBXRBBZORPG-UONOGXRCSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCn2cncc2C1 ZINC001027976522 738896283 /nfs/dbraw/zinc/89/62/83/738896283.db2.gz CGCKBXRBBZORPG-UONOGXRCSA-N 1 2 322.840 1.779 20 30 DDEDLO Cn1nccc1C(=O)N[C@@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001006887846 735669792 /nfs/dbraw/zinc/66/97/92/735669792.db2.gz AYYPYVDQQUCZJK-MRXNPFEDSA-N 1 2 323.400 1.686 20 30 DDEDLO Cn1nccc1C(=O)N[C@@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001006887846 735669794 /nfs/dbraw/zinc/66/97/94/735669794.db2.gz AYYPYVDQQUCZJK-MRXNPFEDSA-N 1 2 323.400 1.686 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001024631070 735992517 /nfs/dbraw/zinc/99/25/17/735992517.db2.gz DDFBYJRWAPPBEI-NSHDSACASA-N 1 2 312.801 1.463 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001024631070 735992518 /nfs/dbraw/zinc/99/25/18/735992518.db2.gz DDFBYJRWAPPBEI-NSHDSACASA-N 1 2 312.801 1.463 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@@H]2CCCN(CC#N)[C@H]2C1(C)C ZINC001087315356 736063332 /nfs/dbraw/zinc/06/33/32/736063332.db2.gz ADRIVSMFSDZSSO-APHBMKBZSA-N 1 2 315.421 1.389 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1[C@H]2CCCN(CC#N)[C@@H]2C1(C)C ZINC001087315359 736063841 /nfs/dbraw/zinc/06/38/41/736063841.db2.gz ADRIVSMFSDZSSO-WQVCFCJDSA-N 1 2 315.421 1.389 20 30 DDEDLO Cc1nc(NCC[C@H]2CCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001111593651 736135552 /nfs/dbraw/zinc/13/55/52/736135552.db2.gz LNMGFRKACSLKGH-ZDUSSCGKSA-N 1 2 319.434 1.692 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)nc3C)[C@H]2C1 ZINC001083282512 736720439 /nfs/dbraw/zinc/72/04/39/736720439.db2.gz HHDGJVGWDLDZDX-DLBZAZTESA-N 1 2 313.401 1.247 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)nc3C)[C@H]2C1 ZINC001083282512 736720441 /nfs/dbraw/zinc/72/04/41/736720441.db2.gz HHDGJVGWDLDZDX-DLBZAZTESA-N 1 2 313.401 1.247 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)cc[nH+]1 ZINC001058675923 737065695 /nfs/dbraw/zinc/06/56/95/737065695.db2.gz CXMAFHWSCWGXCJ-GXTWGEPZSA-N 1 2 301.394 1.620 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CCC[N@H+](CC(=O)NC3CC3)C2)CC1 ZINC001008409804 737581219 /nfs/dbraw/zinc/58/12/19/737581219.db2.gz HIZXJSAEAUGWLA-MRXNPFEDSA-N 1 2 319.449 1.592 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CCC[N@@H+](CC(=O)NC3CC3)C2)CC1 ZINC001008409804 737581223 /nfs/dbraw/zinc/58/12/23/737581223.db2.gz HIZXJSAEAUGWLA-MRXNPFEDSA-N 1 2 319.449 1.592 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@H]3CCC[C@@H]3C)C2)nn1 ZINC001105247121 737655499 /nfs/dbraw/zinc/65/54/99/737655499.db2.gz QFWVVRRJELNVNN-UONOGXRCSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001028060782 738977130 /nfs/dbraw/zinc/97/71/30/738977130.db2.gz IENJAPVDIHDAII-ZDUSSCGKSA-N 1 2 310.829 1.984 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@](C)(F)CCCC)C2)nn1 ZINC001105272861 737700313 /nfs/dbraw/zinc/70/03/13/737700313.db2.gz RUGALTRSTVBTOV-MRXNPFEDSA-N 1 2 321.400 1.303 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3CCC[C@@H]3CC)C2)nn1 ZINC001105320649 737847022 /nfs/dbraw/zinc/84/70/22/737847022.db2.gz DWBCJDZZZKGBQG-XJKSGUPXSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001125950865 737906715 /nfs/dbraw/zinc/90/67/15/737906715.db2.gz BKTZCJIORKISDY-UHFFFAOYSA-N 1 2 306.410 1.767 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001114881159 751401319 /nfs/dbraw/zinc/40/13/19/751401319.db2.gz FKWQJVZBXPTFMN-LBPRGKRZSA-N 1 2 320.441 1.909 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001028060782 738977129 /nfs/dbraw/zinc/97/71/29/738977129.db2.gz IENJAPVDIHDAII-ZDUSSCGKSA-N 1 2 310.829 1.984 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H]1CNC(=O)c1cccnn1 ZINC001028217200 739181390 /nfs/dbraw/zinc/18/13/90/739181390.db2.gz PMUZDFLTBVDBHN-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H]1CNC(=O)c1cccnn1 ZINC001028217200 739181392 /nfs/dbraw/zinc/18/13/92/739181392.db2.gz PMUZDFLTBVDBHN-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnn2C2CCC2)C1 ZINC001035367680 751432101 /nfs/dbraw/zinc/43/21/01/751432101.db2.gz JRZMJCVXKXRQFV-AWEZNQCLSA-N 1 2 304.394 1.225 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccnn2C2CCC2)C1 ZINC001035367680 751432106 /nfs/dbraw/zinc/43/21/06/751432106.db2.gz JRZMJCVXKXRQFV-AWEZNQCLSA-N 1 2 304.394 1.225 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnn2CC2CCC2)C1 ZINC001035372320 751436543 /nfs/dbraw/zinc/43/65/43/751436543.db2.gz AJZYXHOLWOJZQA-HNNXBMFYSA-N 1 2 318.421 1.300 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccnn2CC2CCC2)C1 ZINC001035372320 751436545 /nfs/dbraw/zinc/43/65/45/751436545.db2.gz AJZYXHOLWOJZQA-HNNXBMFYSA-N 1 2 318.421 1.300 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3n2[C@H](C)CCC3)C1 ZINC001035374766 751439193 /nfs/dbraw/zinc/43/91/93/751439193.db2.gz IXCCFCOAUKCRPG-ZIAGYGMSSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc3n2[C@H](C)CCC3)C1 ZINC001035374766 751439197 /nfs/dbraw/zinc/43/91/97/751439197.db2.gz IXCCFCOAUKCRPG-ZIAGYGMSSA-N 1 2 318.421 1.397 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc(CC)n(C)n1 ZINC001028350478 739387229 /nfs/dbraw/zinc/38/72/29/739387229.db2.gz IMRUPYZYPKIQPR-CYBMUJFWSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(CC)n(C)n1 ZINC001028350478 739387233 /nfs/dbraw/zinc/38/72/33/739387233.db2.gz IMRUPYZYPKIQPR-CYBMUJFWSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cn3c(n2)CCCC3)C1 ZINC001035389552 751459311 /nfs/dbraw/zinc/45/93/11/751459311.db2.gz NULRULLWWFGJRY-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cn3c(n2)CCCC3)C1 ZINC001035389552 751459314 /nfs/dbraw/zinc/45/93/14/751459314.db2.gz NULRULLWWFGJRY-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OCC)nc2)C1 ZINC001035389652 751460393 /nfs/dbraw/zinc/46/03/93/751460393.db2.gz DCDOLDDXBUWRCN-HNNXBMFYSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OCC)nc2)C1 ZINC001035389652 751460394 /nfs/dbraw/zinc/46/03/94/751460394.db2.gz DCDOLDDXBUWRCN-HNNXBMFYSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2nc(C)sc2C)C1 ZINC001035407992 751489607 /nfs/dbraw/zinc/48/96/07/751489607.db2.gz OPWJUHOXTDXGSY-ZDUSSCGKSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2nc(C)sc2C)C1 ZINC001035407992 751489614 /nfs/dbraw/zinc/48/96/14/751489614.db2.gz OPWJUHOXTDXGSY-ZDUSSCGKSA-N 1 2 307.419 1.214 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001059093141 739913122 /nfs/dbraw/zinc/91/31/22/739913122.db2.gz YANHMGGAUHQTCL-DZKIICNBSA-N 1 2 316.405 1.779 20 30 DDEDLO CC[C@H]1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC[C@H]1NCC#N ZINC001037884257 751532762 /nfs/dbraw/zinc/53/27/62/751532762.db2.gz NTZCDYZHHSUBKT-GXTWGEPZSA-N 1 2 311.389 1.917 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn(CC(C)C)c2)C1 ZINC001108304053 761884595 /nfs/dbraw/zinc/88/45/95/761884595.db2.gz BDQYMVWHYPSNCO-KRWDZBQOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn(CC(C)C)c2)C1 ZINC001108304053 761884605 /nfs/dbraw/zinc/88/46/05/761884605.db2.gz BDQYMVWHYPSNCO-KRWDZBQOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001035519638 751595857 /nfs/dbraw/zinc/59/58/57/751595857.db2.gz RBSONIPRWBOMGS-HRCADAONSA-N 1 2 301.390 1.188 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001035519638 751595858 /nfs/dbraw/zinc/59/58/58/751595858.db2.gz RBSONIPRWBOMGS-HRCADAONSA-N 1 2 301.390 1.188 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)no2)C1 ZINC001035525848 751604583 /nfs/dbraw/zinc/60/45/83/751604583.db2.gz CBVGFWTWNJYLIC-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)no2)C1 ZINC001035525848 751604589 /nfs/dbraw/zinc/60/45/89/751604589.db2.gz CBVGFWTWNJYLIC-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(F)c(OC)c2)C1 ZINC001035525692 751604973 /nfs/dbraw/zinc/60/49/73/751604973.db2.gz DLCSVXJCOITJOB-AWEZNQCLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(F)c(OC)c2)C1 ZINC001035525692 751604979 /nfs/dbraw/zinc/60/49/79/751604979.db2.gz DLCSVXJCOITJOB-AWEZNQCLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(OC)n2)C1 ZINC001035567059 751619326 /nfs/dbraw/zinc/61/93/26/751619326.db2.gz DAIGAPAJXLEOID-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccc(OC)n2)C1 ZINC001035567059 751619327 /nfs/dbraw/zinc/61/93/27/751619327.db2.gz DAIGAPAJXLEOID-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001035572105 751625784 /nfs/dbraw/zinc/62/57/84/751625784.db2.gz QTAQQHWCXAWKQB-AWEZNQCLSA-N 1 2 306.410 1.389 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001035572105 751625790 /nfs/dbraw/zinc/62/57/90/751625790.db2.gz QTAQQHWCXAWKQB-AWEZNQCLSA-N 1 2 306.410 1.389 20 30 DDEDLO N#CCN[C@@H]1CCCCCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC001088338076 741411425 /nfs/dbraw/zinc/41/14/25/741411425.db2.gz UTMFOYGLYMACBB-LSDHHAIUSA-N 1 2 315.421 1.330 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(F)cc2F)C1 ZINC001035594675 751651329 /nfs/dbraw/zinc/65/13/29/751651329.db2.gz FWRYQIRXWVIZIQ-CYBMUJFWSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(F)cc2F)C1 ZINC001035594675 751651332 /nfs/dbraw/zinc/65/13/32/751651332.db2.gz FWRYQIRXWVIZIQ-CYBMUJFWSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3ccc(Cl)cc32)C1 ZINC001035596643 751654078 /nfs/dbraw/zinc/65/40/78/751654078.db2.gz SRRWZMDMHYHHOS-HOCLYGCPSA-N 1 2 320.820 1.983 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3ccc(Cl)cc32)C1 ZINC001035596643 751654084 /nfs/dbraw/zinc/65/40/84/751654084.db2.gz SRRWZMDMHYHHOS-HOCLYGCPSA-N 1 2 320.820 1.983 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)[C@H]1C ZINC001088569227 741842035 /nfs/dbraw/zinc/84/20/35/741842035.db2.gz LGEHLNMNJNHAFQ-YUELXQCFSA-N 1 2 300.406 1.432 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)[C@H]1C ZINC001088569227 741842040 /nfs/dbraw/zinc/84/20/40/741842040.db2.gz LGEHLNMNJNHAFQ-YUELXQCFSA-N 1 2 300.406 1.432 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cnccc2OC)[C@H]1C ZINC001088658115 742055824 /nfs/dbraw/zinc/05/58/24/742055824.db2.gz JLDLLTYXMWJVJC-OCCSQVGLSA-N 1 2 323.824 1.964 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cnccc2OC)[C@H]1C ZINC001088658115 742055828 /nfs/dbraw/zinc/05/58/28/742055828.db2.gz JLDLLTYXMWJVJC-OCCSQVGLSA-N 1 2 323.824 1.964 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C(F)F)c2F)[C@@H](O)C1 ZINC001083467027 742230256 /nfs/dbraw/zinc/23/02/56/742230256.db2.gz AJARVPDRCHTUHH-NEPJUHHUSA-N 1 2 312.291 1.171 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C(F)F)c2F)[C@@H](O)C1 ZINC001083467027 742230259 /nfs/dbraw/zinc/23/02/59/742230259.db2.gz AJARVPDRCHTUHH-NEPJUHHUSA-N 1 2 312.291 1.171 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001035614673 751720060 /nfs/dbraw/zinc/72/00/60/751720060.db2.gz SYMUWPWQMTZSCB-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001035614673 751720063 /nfs/dbraw/zinc/72/00/63/751720063.db2.gz SYMUWPWQMTZSCB-CYBMUJFWSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccoc2C(C)C)C1 ZINC001035617668 751725026 /nfs/dbraw/zinc/72/50/26/751725026.db2.gz BDMRAFFYGQVORV-AWEZNQCLSA-N 1 2 304.390 1.857 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccoc2C(C)C)C1 ZINC001035617668 751725033 /nfs/dbraw/zinc/72/50/33/751725033.db2.gz BDMRAFFYGQVORV-AWEZNQCLSA-N 1 2 304.390 1.857 20 30 DDEDLO C[NH+]1CC(C(=O)NC2=C(Cl)C(=O)c3ccccc3C2=O)C1 ZINC001142600284 742430325 /nfs/dbraw/zinc/43/03/25/742430325.db2.gz OHJFGCDAKXCMDN-UHFFFAOYSA-N 1 2 304.733 1.194 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2conc2C2CCCC2)[C@@H](O)C1 ZINC001083516702 742439788 /nfs/dbraw/zinc/43/97/88/742439788.db2.gz SAAORPUPNTZHBK-KGLIPLIRSA-N 1 2 305.378 1.293 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2conc2C2CCCC2)[C@@H](O)C1 ZINC001083516702 742439791 /nfs/dbraw/zinc/43/97/91/742439791.db2.gz SAAORPUPNTZHBK-KGLIPLIRSA-N 1 2 305.378 1.293 20 30 DDEDLO C[NH+](C)[C@@H](C(=O)NCCC1(CNCC#N)CC1)c1cccnc1 ZINC001168365998 742475389 /nfs/dbraw/zinc/47/53/89/742475389.db2.gz AFBYMBCUGFPNEC-OAHLLOKOSA-N 1 2 315.421 1.084 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(OC)n(C)n3)[C@@H]2C1 ZINC001076020500 742494852 /nfs/dbraw/zinc/49/48/52/742494852.db2.gz ILFFSCGIIBJBSE-WCQYABFASA-N 1 2 324.812 1.328 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(OC)n(C)n3)[C@@H]2C1 ZINC001076020500 742494856 /nfs/dbraw/zinc/49/48/56/742494856.db2.gz ILFFSCGIIBJBSE-WCQYABFASA-N 1 2 324.812 1.328 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC(C)(C)C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001076434219 742732994 /nfs/dbraw/zinc/73/29/94/742732994.db2.gz KYRCKIKUSGVJED-LBPRGKRZSA-N 1 2 304.394 1.013 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3c[nH]c(C(N)=O)c3)[C@@H]2C1 ZINC001076578509 742795081 /nfs/dbraw/zinc/79/50/81/742795081.db2.gz GMIVTPXKSGURBE-GXFFZTMASA-N 1 2 322.796 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3c[nH]c(C(N)=O)c3)[C@@H]2C1 ZINC001076578509 742795087 /nfs/dbraw/zinc/79/50/87/742795087.db2.gz GMIVTPXKSGURBE-GXFFZTMASA-N 1 2 322.796 1.012 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3CC(C)(C)C3)C2)nn1 ZINC001098667119 743051468 /nfs/dbraw/zinc/05/14/68/743051468.db2.gz QZVDCMYIBLDBTI-HNNXBMFYSA-N 1 2 315.421 1.211 20 30 DDEDLO Cc1conc1C[NH+]1CCC(NC(=O)c2ccc(C#N)[nH]2)CC1 ZINC001002620636 743283416 /nfs/dbraw/zinc/28/34/16/743283416.db2.gz NVJDFZYAEJZXBC-UHFFFAOYSA-N 1 2 313.361 1.577 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2CCCc3nn(C)cc32)C1 ZINC001043652279 743304247 /nfs/dbraw/zinc/30/42/47/743304247.db2.gz AXJGEKVTDYNMAQ-AWEZNQCLSA-N 1 2 300.406 1.006 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001181834398 743357800 /nfs/dbraw/zinc/35/78/00/743357800.db2.gz ZSAYMPNXQUWLNE-GXTWGEPZSA-N 1 2 322.409 1.612 20 30 DDEDLO C#Cc1cc(Nc2cccc(S(=O)(=O)N(C)C)c2)cc[nH+]1 ZINC001203263106 743358059 /nfs/dbraw/zinc/35/80/59/743358059.db2.gz BJAVYSXERDUYMM-UHFFFAOYSA-N 1 2 301.371 1.479 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C[C@@H]3CCOC3)c2C1 ZINC001128278691 743458790 /nfs/dbraw/zinc/45/87/90/743458790.db2.gz QXCZEWYXBXVFFY-AWEZNQCLSA-N 1 2 318.421 1.318 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C[C@@H]3CCOC3)c2C1 ZINC001128278691 743458794 /nfs/dbraw/zinc/45/87/94/743458794.db2.gz QXCZEWYXBXVFFY-AWEZNQCLSA-N 1 2 318.421 1.318 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@H+](Cc3cnnn3C)[C@@H]2C)CC1 ZINC001088838745 743483428 /nfs/dbraw/zinc/48/34/28/743483428.db2.gz ZNNWCBLGDPYPRT-CJNGLKHVSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@@H+](Cc3cnnn3C)[C@@H]2C)CC1 ZINC001088838745 743483434 /nfs/dbraw/zinc/48/34/34/743483434.db2.gz ZNNWCBLGDPYPRT-CJNGLKHVSA-N 1 2 317.437 1.641 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(C)(C)C)oc2C)[C@@H](O)C1 ZINC001083814819 743510020 /nfs/dbraw/zinc/51/00/20/743510020.db2.gz WECZPVTXYGOAQD-KGLIPLIRSA-N 1 2 304.390 1.294 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(C)(C)C)oc2C)[C@@H](O)C1 ZINC001083814819 743510016 /nfs/dbraw/zinc/51/00/16/743510016.db2.gz WECZPVTXYGOAQD-KGLIPLIRSA-N 1 2 304.390 1.294 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(COC)cs2)C1 ZINC001108052737 743540218 /nfs/dbraw/zinc/54/02/18/743540218.db2.gz ICPPQSMQSYKIRE-INIZCTEOSA-N 1 2 324.446 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(COC)cs2)C1 ZINC001108052737 743540220 /nfs/dbraw/zinc/54/02/20/743540220.db2.gz ICPPQSMQSYKIRE-INIZCTEOSA-N 1 2 324.446 1.901 20 30 DDEDLO Cc1ncncc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038085811 743598656 /nfs/dbraw/zinc/59/86/56/743598656.db2.gz IKQUSZXRPARNLL-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ncncc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038085811 743598662 /nfs/dbraw/zinc/59/86/62/743598662.db2.gz IKQUSZXRPARNLL-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2ccc[nH]2)s1 ZINC001038050936 743606729 /nfs/dbraw/zinc/60/67/29/743606729.db2.gz GXMQZTLNFYJSDO-NSHDSACASA-N 1 2 300.387 1.952 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2ccc[nH]2)s1 ZINC001038050936 743606731 /nfs/dbraw/zinc/60/67/31/743606731.db2.gz GXMQZTLNFYJSDO-NSHDSACASA-N 1 2 300.387 1.952 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[NH2+][C@@H](C)c1nnc(CC(C)C)o1 ZINC001127051827 743676763 /nfs/dbraw/zinc/67/67/63/743676763.db2.gz NFXUGOARHAFKJO-QWHCGFSZSA-N 1 2 322.409 1.073 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[NH2+][C@@H](C)c1nnc(CC(C)C)o1 ZINC001127051828 743676836 /nfs/dbraw/zinc/67/68/36/743676836.db2.gz NFXUGOARHAFKJO-STQMWFEESA-N 1 2 322.409 1.073 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001060265423 743756913 /nfs/dbraw/zinc/75/69/13/743756913.db2.gz NVJPMGFDXIHBAI-HNNXBMFYSA-N 1 2 318.421 1.594 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001105721132 743759370 /nfs/dbraw/zinc/75/93/70/743759370.db2.gz QOSZXIXEQXIEIS-CQSZACIVSA-N 1 2 310.438 1.273 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([NH2+]Cc3nncs3)C2)CCC1 ZINC001183193345 743794356 /nfs/dbraw/zinc/79/43/56/743794356.db2.gz IUSAHKQUFRFTJL-GFCCVEGCSA-N 1 2 304.419 1.422 20 30 DDEDLO N#Cc1ccccc1C1(C(=O)N2CCc3[nH+]ccn3CC2)CC1 ZINC001183292868 743814836 /nfs/dbraw/zinc/81/48/36/743814836.db2.gz HURPGRLQISKHNT-UHFFFAOYSA-N 1 2 306.369 1.871 20 30 DDEDLO N#Cc1cc(C(=O)NC2C[NH+](Cc3ccc4c(c3)CCC4)C2)c[nH]1 ZINC001030231619 743968109 /nfs/dbraw/zinc/96/81/09/743968109.db2.gz ZFJYDSGYUXOQMP-UHFFFAOYSA-N 1 2 320.396 1.989 20 30 DDEDLO CN(C)c1ccccc1C[NH+]1CC(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001030231426 743968213 /nfs/dbraw/zinc/96/82/13/743968213.db2.gz VAZBGKPWGCTUTC-UHFFFAOYSA-N 1 2 323.400 1.567 20 30 DDEDLO C=CCn1cccc1C(=O)NC1C[NH+](C[C@H]2CC(C)(C)CO2)C1 ZINC001030447924 744168292 /nfs/dbraw/zinc/16/82/92/744168292.db2.gz RVXGSTMBYFHHSH-OAHLLOKOSA-N 1 2 317.433 1.903 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(=O)n2C)[C@H]1C ZINC001088847911 744201428 /nfs/dbraw/zinc/20/14/28/744201428.db2.gz UELYTYGHILJWQU-NEPJUHHUSA-N 1 2 309.797 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(=O)n2C)[C@H]1C ZINC001088847911 744201431 /nfs/dbraw/zinc/20/14/31/744201431.db2.gz UELYTYGHILJWQU-NEPJUHHUSA-N 1 2 309.797 1.330 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cc(C)nn2C(CC)CC)C1 ZINC001030590184 744298061 /nfs/dbraw/zinc/29/80/61/744298061.db2.gz GZEDCNAVWNDCCA-UHFFFAOYSA-N 1 2 302.422 1.990 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncc(OC)n1 ZINC001110378736 744376011 /nfs/dbraw/zinc/37/60/11/744376011.db2.gz FBNNVLITSMUKNV-KFWWJZLASA-N 1 2 316.405 1.673 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncc(OC)n1 ZINC001110378736 744376014 /nfs/dbraw/zinc/37/60/14/744376014.db2.gz FBNNVLITSMUKNV-KFWWJZLASA-N 1 2 316.405 1.673 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(OC)nn2)[C@H]1C ZINC001088959977 744405908 /nfs/dbraw/zinc/40/59/08/744405908.db2.gz HPVVASGXKWVBOZ-MNOVXSKESA-N 1 2 310.785 1.430 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(OC)nn2)[C@H]1C ZINC001088959977 744405910 /nfs/dbraw/zinc/40/59/10/744405910.db2.gz HPVVASGXKWVBOZ-MNOVXSKESA-N 1 2 310.785 1.430 20 30 DDEDLO C=CCCC1(C(=O)NC[C@@H](O)CNc2cc[nH+]c(C)n2)CC1 ZINC001106080452 744430575 /nfs/dbraw/zinc/43/05/75/744430575.db2.gz NFGNIMWQTLWMII-ZDUSSCGKSA-N 1 2 304.394 1.420 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)c2cnn(C)c2)[C@H]1C ZINC001089098096 744527174 /nfs/dbraw/zinc/52/71/74/744527174.db2.gz BCOVDDMBVJZPCO-SCRDCRAPSA-N 1 2 310.829 1.855 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)c2cnn(C)c2)[C@H]1C ZINC001089098096 744527178 /nfs/dbraw/zinc/52/71/78/744527178.db2.gz BCOVDDMBVJZPCO-SCRDCRAPSA-N 1 2 310.829 1.855 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001187319900 744548399 /nfs/dbraw/zinc/54/83/99/744548399.db2.gz FPUHWOGKNHWRRP-KWCYVHTRSA-N 1 2 320.393 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001187319900 744548401 /nfs/dbraw/zinc/54/84/01/744548401.db2.gz FPUHWOGKNHWRRP-KWCYVHTRSA-N 1 2 320.393 1.174 20 30 DDEDLO C=CC[NH+]1CC2(C1)CCN(C(=O)[C@H]1CCc3[nH]nnc3C1)CC2 ZINC001035834594 751929729 /nfs/dbraw/zinc/92/97/29/751929729.db2.gz MVCLIDHAXCHRAM-ZDUSSCGKSA-N 1 2 315.421 1.020 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC001030761789 744585940 /nfs/dbraw/zinc/58/59/40/744585940.db2.gz MIUZWKKJTLIZOY-UHFFFAOYSA-N 1 2 304.419 1.933 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001077498672 744646221 /nfs/dbraw/zinc/64/62/21/744646221.db2.gz UPWOLKWRMJQANK-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001188309920 744687496 /nfs/dbraw/zinc/68/74/96/744687496.db2.gz LFWZQBWGEMAPTI-CHWSQXEVSA-N 1 2 322.409 1.475 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ncccc3s2)C1 ZINC001077506795 744701252 /nfs/dbraw/zinc/70/12/52/744701252.db2.gz KVGNIXCGCRPADF-CHWSQXEVSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ncccc3s2)C1 ZINC001077506795 744701255 /nfs/dbraw/zinc/70/12/55/744701255.db2.gz KVGNIXCGCRPADF-CHWSQXEVSA-N 1 2 315.398 1.095 20 30 DDEDLO Cc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H](C)C#N)C3)sn1 ZINC001110401740 744761402 /nfs/dbraw/zinc/76/14/02/744761402.db2.gz MVSOGWRONAYBON-FRJFDASCSA-N 1 2 304.419 1.833 20 30 DDEDLO Cc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H](C)C#N)C3)sn1 ZINC001110401740 744761405 /nfs/dbraw/zinc/76/14/05/744761405.db2.gz MVSOGWRONAYBON-FRJFDASCSA-N 1 2 304.419 1.833 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001189243631 744852379 /nfs/dbraw/zinc/85/23/79/744852379.db2.gz XCBBKXZGWRPGCC-GOEBONIOSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001189243631 744852381 /nfs/dbraw/zinc/85/23/81/744852381.db2.gz XCBBKXZGWRPGCC-GOEBONIOSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2nnc(CC)o2)C1 ZINC001189363085 744886489 /nfs/dbraw/zinc/88/64/89/744886489.db2.gz LGIKKTSUWKRXPF-QWHCGFSZSA-N 1 2 322.409 1.428 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2nnc(CC)o2)C1 ZINC001189363085 744886490 /nfs/dbraw/zinc/88/64/90/744886490.db2.gz LGIKKTSUWKRXPF-QWHCGFSZSA-N 1 2 322.409 1.428 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([N@H+](C)Cc2ccon2)C1 ZINC001189565673 744924986 /nfs/dbraw/zinc/92/49/86/744924986.db2.gz USUKIDRTCBICRG-HNNXBMFYSA-N 1 2 307.394 1.690 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2ccon2)C1 ZINC001189565673 744924990 /nfs/dbraw/zinc/92/49/90/744924990.db2.gz USUKIDRTCBICRG-HNNXBMFYSA-N 1 2 307.394 1.690 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1C[NH+](CCOc2ccccc2)C1 ZINC001030979480 745013056 /nfs/dbraw/zinc/01/30/56/745013056.db2.gz NYMHOSDLUGZBSS-SJORKVTESA-N 1 2 316.401 1.457 20 30 DDEDLO Cn1ccc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001190076563 745105763 /nfs/dbraw/zinc/10/57/63/745105763.db2.gz RWYILXGXGBQZCU-HNNXBMFYSA-N 1 2 302.422 1.502 20 30 DDEDLO Cn1ccc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001190076563 745105764 /nfs/dbraw/zinc/10/57/64/745105764.db2.gz RWYILXGXGBQZCU-HNNXBMFYSA-N 1 2 302.422 1.502 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001191622054 745556206 /nfs/dbraw/zinc/55/62/06/745556206.db2.gz AVVKGESYPPPZGV-HZPDHXFCSA-N 1 2 317.364 1.325 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001191622054 745556211 /nfs/dbraw/zinc/55/62/11/745556211.db2.gz AVVKGESYPPPZGV-HZPDHXFCSA-N 1 2 317.364 1.325 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)cc[nH+]1 ZINC001112368697 745690594 /nfs/dbraw/zinc/69/05/94/745690594.db2.gz ACGZTPHPGJHHQJ-AAEUAGOBSA-N 1 2 301.394 1.666 20 30 DDEDLO Cc1[nH+]c[nH]c1CSCCNC(=O)c1cnc(C)c(C#N)c1 ZINC001192300063 745735821 /nfs/dbraw/zinc/73/58/21/745735821.db2.gz JNTVKQJOYHDHPL-UHFFFAOYSA-N 1 2 315.402 1.956 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1O ZINC001192288018 745745329 /nfs/dbraw/zinc/74/53/29/745745329.db2.gz FNTWZPCAICBYSE-HZPDHXFCSA-N 1 2 319.405 1.092 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1O ZINC001192288018 745745334 /nfs/dbraw/zinc/74/53/34/745745334.db2.gz FNTWZPCAICBYSE-HZPDHXFCSA-N 1 2 319.405 1.092 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1O ZINC001192287837 745745753 /nfs/dbraw/zinc/74/57/53/745745753.db2.gz BFOATQWRHDBYFD-IAGOWNOFSA-N 1 2 318.392 1.681 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1O ZINC001192287837 745745755 /nfs/dbraw/zinc/74/57/55/745745755.db2.gz BFOATQWRHDBYFD-IAGOWNOFSA-N 1 2 318.392 1.681 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2nnc(C)o2)[C@H]1C ZINC000993331285 746003741 /nfs/dbraw/zinc/00/37/41/746003741.db2.gz PYSLLSCZHSIWJK-PWSUYJOCSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2nnc(C)o2)[C@H]1C ZINC000993331285 746003744 /nfs/dbraw/zinc/00/37/44/746003744.db2.gz PYSLLSCZHSIWJK-PWSUYJOCSA-N 1 2 312.801 1.642 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2nc3cccnc3s2)[C@H]1C ZINC000993428240 746126118 /nfs/dbraw/zinc/12/61/18/746126118.db2.gz VGTSVYVTMRBOIE-VXGBXAGGSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2nc3cccnc3s2)[C@H]1C ZINC000993428240 746126121 /nfs/dbraw/zinc/12/61/21/746126121.db2.gz VGTSVYVTMRBOIE-VXGBXAGGSA-N 1 2 314.414 1.907 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCCN1CC#N ZINC000993618596 746236214 /nfs/dbraw/zinc/23/62/14/746236214.db2.gz AGKWGNBUMOGUNJ-PBHICJAKSA-N 1 2 323.400 1.979 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCCN1CC#N ZINC000993618630 746236627 /nfs/dbraw/zinc/23/66/27/746236627.db2.gz AGKWGNBUMOGUNJ-WMLDXEAASA-N 1 2 323.400 1.979 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001194381879 746361153 /nfs/dbraw/zinc/36/11/53/746361153.db2.gz PDIUSCKBCSTZCP-HNNXBMFYSA-N 1 2 306.410 1.046 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001194381879 746361160 /nfs/dbraw/zinc/36/11/60/746361160.db2.gz PDIUSCKBCSTZCP-HNNXBMFYSA-N 1 2 306.410 1.046 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2cnon2)CCC[N@@H+]1CC#Cc1ccccc1 ZINC000994037347 746429738 /nfs/dbraw/zinc/42/97/38/746429738.db2.gz JCDIRDMGPRXLSW-HOCLYGCPSA-N 1 2 324.384 1.704 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2cnon2)CCC[N@H+]1CC#Cc1ccccc1 ZINC000994037347 746429739 /nfs/dbraw/zinc/42/97/39/746429739.db2.gz JCDIRDMGPRXLSW-HOCLYGCPSA-N 1 2 324.384 1.704 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2nonc2C)[C@@H]1C ZINC000994123616 746454679 /nfs/dbraw/zinc/45/46/79/746454679.db2.gz LHLJOHVQUNUFPN-RYUDHWBXSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2nonc2C)[C@@H]1C ZINC000994123616 746454681 /nfs/dbraw/zinc/45/46/81/746454681.db2.gz LHLJOHVQUNUFPN-RYUDHWBXSA-N 1 2 312.801 1.642 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2cnc(C)cn2)CC1 ZINC001195284470 746568465 /nfs/dbraw/zinc/56/84/65/746568465.db2.gz VVEVYEXYHXLCTP-UHFFFAOYSA-N 1 2 304.394 1.022 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2cnc(C)cn2)CC1 ZINC001195284470 746568469 /nfs/dbraw/zinc/56/84/69/746568469.db2.gz VVEVYEXYHXLCTP-UHFFFAOYSA-N 1 2 304.394 1.022 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2cc(C)ncn2)CC1 ZINC001195284336 746568694 /nfs/dbraw/zinc/56/86/94/746568694.db2.gz UYQBLFVYTLQLSN-UHFFFAOYSA-N 1 2 304.394 1.022 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2cc(C)ncn2)CC1 ZINC001195284336 746568697 /nfs/dbraw/zinc/56/86/97/746568697.db2.gz UYQBLFVYTLQLSN-UHFFFAOYSA-N 1 2 304.394 1.022 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195549451 746643245 /nfs/dbraw/zinc/64/32/45/746643245.db2.gz YZCNKHGQIJQPLU-KCPJHIHWSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195549451 746643247 /nfs/dbraw/zinc/64/32/47/746643247.db2.gz YZCNKHGQIJQPLU-KCPJHIHWSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+]([C@@H](C)c2ncc(C)o2)CC1 ZINC001195651881 746673218 /nfs/dbraw/zinc/67/32/18/746673218.db2.gz CSUQCZSJAPVCDP-YOEHRIQHSA-N 1 2 321.421 1.905 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+]([C@@H](C)c2ncc(C)o2)CC1 ZINC001195651881 746673220 /nfs/dbraw/zinc/67/32/20/746673220.db2.gz CSUQCZSJAPVCDP-YOEHRIQHSA-N 1 2 321.421 1.905 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(C(N)=O)cs2)[C@H]1C ZINC000994471845 746678052 /nfs/dbraw/zinc/67/80/52/746678052.db2.gz BMIDHMGGRVQBTK-PWSUYJOCSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C(N)=O)cs2)[C@H]1C ZINC000994471845 746678058 /nfs/dbraw/zinc/67/80/58/746678058.db2.gz BMIDHMGGRVQBTK-PWSUYJOCSA-N 1 2 305.403 1.063 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+](CCn2cc(Cl)cn2)CC1 ZINC001195775286 746702148 /nfs/dbraw/zinc/70/21/48/746702148.db2.gz UHBYIJHOHHJRJH-UHFFFAOYSA-N 1 2 322.840 1.730 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+](CCn2cc(Cl)cn2)CC1 ZINC001195775286 746702150 /nfs/dbraw/zinc/70/21/50/746702150.db2.gz UHBYIJHOHHJRJH-UHFFFAOYSA-N 1 2 322.840 1.730 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001195924504 746738471 /nfs/dbraw/zinc/73/84/71/746738471.db2.gz WFQNLRXFDZLAAU-INMHGKMJSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@H]1O ZINC001195924504 746738473 /nfs/dbraw/zinc/73/84/73/746738473.db2.gz WFQNLRXFDZLAAU-INMHGKMJSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195924370 746738480 /nfs/dbraw/zinc/73/84/80/746738480.db2.gz UTXWSSMMWDBOMA-IIAWOOMASA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195924370 746738481 /nfs/dbraw/zinc/73/84/81/746738481.db2.gz UTXWSSMMWDBOMA-IIAWOOMASA-N 1 2 323.462 1.715 20 30 DDEDLO C=CCCCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001195932375 746739953 /nfs/dbraw/zinc/73/99/53/746739953.db2.gz OIDTWWYWWZZQEP-CHWSQXEVSA-N 1 2 310.373 1.070 20 30 DDEDLO C=CCCCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001195932375 746739956 /nfs/dbraw/zinc/73/99/56/746739956.db2.gz OIDTWWYWWZZQEP-CHWSQXEVSA-N 1 2 310.373 1.070 20 30 DDEDLO C=CCCOCC(=O)N1CCC(N(C)c2cc[nH+]c(C)n2)CC1 ZINC001115141086 746775571 /nfs/dbraw/zinc/77/55/71/746775571.db2.gz GBHTTXPPUPWPEN-UHFFFAOYSA-N 1 2 318.421 1.805 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)Cc2ccccc2Cl)C1 ZINC001196519976 746883692 /nfs/dbraw/zinc/88/36/92/746883692.db2.gz YJWUYCPBXVHLNM-APHBMKBZSA-N 1 2 320.820 1.313 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)Cc2ccccc2Cl)C1 ZINC001196519976 746883697 /nfs/dbraw/zinc/88/36/97/746883697.db2.gz YJWUYCPBXVHLNM-APHBMKBZSA-N 1 2 320.820 1.313 20 30 DDEDLO Cc1[nH+]c[nH]c1CSCCNC(=O)c1ncccc1C#N ZINC001196561149 746907258 /nfs/dbraw/zinc/90/72/58/746907258.db2.gz IFBYSUMBHLQABF-UHFFFAOYSA-N 1 2 301.375 1.648 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cnccn3)C2)s1 ZINC001031522632 746953285 /nfs/dbraw/zinc/95/32/85/746953285.db2.gz AIDYAIDJNGZNOP-UHFFFAOYSA-N 1 2 313.386 1.272 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@H+](Cc2cncn2C)CC1 ZINC001196852879 746990766 /nfs/dbraw/zinc/99/07/66/746990766.db2.gz DYSFFXARSWYSKH-CABCVRRESA-N 1 2 304.438 1.913 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@@H+](Cc2cncn2C)CC1 ZINC001196852879 746990769 /nfs/dbraw/zinc/99/07/69/746990769.db2.gz DYSFFXARSWYSKH-CABCVRRESA-N 1 2 304.438 1.913 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](CCCS(C)(=O)=O)CC1 ZINC001197000770 747030689 /nfs/dbraw/zinc/03/06/89/747030689.db2.gz ZNIBHRABORIBJS-UHFFFAOYSA-N 1 2 316.467 1.312 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](CCCS(C)(=O)=O)CC1 ZINC001197000770 747030697 /nfs/dbraw/zinc/03/06/97/747030697.db2.gz ZNIBHRABORIBJS-UHFFFAOYSA-N 1 2 316.467 1.312 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H](CC)C(C)C)CC1 ZINC001197879696 747295050 /nfs/dbraw/zinc/29/50/50/747295050.db2.gz MBQAAJVPYOENAG-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H](CC)C(C)C)CC1 ZINC001197879696 747295055 /nfs/dbraw/zinc/29/50/55/747295055.db2.gz MBQAAJVPYOENAG-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+]([C@H]3CCNC3=O)CC2)CCCC1 ZINC001198417664 747485964 /nfs/dbraw/zinc/48/59/64/747485964.db2.gz NRWFPOFGBYSJCY-HNNXBMFYSA-N 1 2 319.449 1.546 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+]([C@H]3CCNC3=O)CC2)CCCC1 ZINC001198417664 747485968 /nfs/dbraw/zinc/48/59/68/747485968.db2.gz NRWFPOFGBYSJCY-HNNXBMFYSA-N 1 2 319.449 1.546 20 30 DDEDLO C=C(C)C[NH2+][C@@H](CNC(=O)c1cnn[nH]1)c1ccccc1OC ZINC001198786689 747597302 /nfs/dbraw/zinc/59/73/02/747597302.db2.gz XNTDGELAMYMDFR-ZDUSSCGKSA-N 1 2 315.377 1.450 20 30 DDEDLO Cc1nnsc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110540283 747950530 /nfs/dbraw/zinc/95/05/30/747950530.db2.gz LOTFCZJGUQAFTH-MCIONIFRSA-N 1 2 316.430 1.481 20 30 DDEDLO Cc1nnsc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110540283 747950536 /nfs/dbraw/zinc/95/05/36/747950536.db2.gz LOTFCZJGUQAFTH-MCIONIFRSA-N 1 2 316.430 1.481 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC1CC[NH+](Cc2ccon2)CC1 ZINC001004056972 747951003 /nfs/dbraw/zinc/95/10/03/747951003.db2.gz ZFSBLGJTXMQWPX-UHFFFAOYSA-N 1 2 323.396 1.979 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2nc(Cl)cs2)C1 ZINC001077568665 747968409 /nfs/dbraw/zinc/96/84/09/747968409.db2.gz KBQBTKGPHTYSTN-RKDXNWHRSA-N 1 2 301.799 1.148 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2nc(Cl)cs2)C1 ZINC001077568665 747968414 /nfs/dbraw/zinc/96/84/14/747968414.db2.gz KBQBTKGPHTYSTN-RKDXNWHRSA-N 1 2 301.799 1.148 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCc3nncn3CC2)C1 ZINC001031856658 748032867 /nfs/dbraw/zinc/03/28/67/748032867.db2.gz FJMIBZJPMNYQGS-CYBMUJFWSA-N 1 2 323.828 1.031 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@@H](C[NH2+]Cc2nnc(CC)o2)C1 ZINC001200083738 748130295 /nfs/dbraw/zinc/13/02/95/748130295.db2.gz NIVADIUPCCPYGB-ZDUSSCGKSA-N 1 2 322.409 1.305 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H](C)c2ccnn2C)C1 ZINC001033090116 748240074 /nfs/dbraw/zinc/24/00/74/748240074.db2.gz FRYICMKGSSLSRK-OLZOCXBDSA-N 1 2 310.829 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H](C)c2ccnn2C)C1 ZINC001033090116 748240078 /nfs/dbraw/zinc/24/00/78/748240078.db2.gz FRYICMKGSSLSRK-OLZOCXBDSA-N 1 2 310.829 1.809 20 30 DDEDLO Cc1nocc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031945733 748271135 /nfs/dbraw/zinc/27/11/35/748271135.db2.gz SPEKOKBXUAMSAV-UHFFFAOYSA-N 1 2 309.369 1.696 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)on1 ZINC001004354704 748350074 /nfs/dbraw/zinc/35/00/74/748350074.db2.gz RTTLDUMZNXJGMT-CQSZACIVSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)on1 ZINC001004354704 748350076 /nfs/dbraw/zinc/35/00/76/748350076.db2.gz RTTLDUMZNXJGMT-CQSZACIVSA-N 1 2 302.378 1.823 20 30 DDEDLO CCc1oncc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001032018574 748436690 /nfs/dbraw/zinc/43/66/90/748436690.db2.gz MDPPOSVGMQBSKG-UHFFFAOYSA-N 1 2 324.384 1.970 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2ccsn2)CC1 ZINC001004471482 748461486 /nfs/dbraw/zinc/46/14/86/748461486.db2.gz XAYLPRHJSWRSLI-AWEZNQCLSA-N 1 2 304.419 1.983 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2ccsn2)CC1 ZINC001004471482 748461488 /nfs/dbraw/zinc/46/14/88/748461488.db2.gz XAYLPRHJSWRSLI-AWEZNQCLSA-N 1 2 304.419 1.983 20 30 DDEDLO CC(C)CO[C@H](C)c1noc(C[NH2+]CCNC(=O)[C@@H](C)C#N)n1 ZINC001124902224 748623515 /nfs/dbraw/zinc/62/35/15/748623515.db2.gz HQIMGUMYBHVDLA-NWDGAFQWSA-N 1 2 323.397 1.169 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(CC)CCOCC2)C1 ZINC001108310423 761901926 /nfs/dbraw/zinc/90/19/26/761901926.db2.gz NXEUNMVGMIEXGZ-QGZVFWFLSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2(CC)CCOCC2)C1 ZINC001108310423 761901930 /nfs/dbraw/zinc/90/19/30/761901930.db2.gz NXEUNMVGMIEXGZ-QGZVFWFLSA-N 1 2 322.449 1.424 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2CN(C(=O)[C@H](C)C#N)CC2(C)C)n1 ZINC000995556652 748930844 /nfs/dbraw/zinc/93/08/44/748930844.db2.gz FHXBMVINBOPVEM-MNOVXSKESA-N 1 2 305.382 1.118 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C(C)(C)C)C2 ZINC001110719837 748939353 /nfs/dbraw/zinc/93/93/53/748939353.db2.gz AJRSDLSNQDSMFY-TUVASFSCSA-N 1 2 321.465 1.692 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C(C)(C)C)C2 ZINC001110719837 748939355 /nfs/dbraw/zinc/93/93/55/748939355.db2.gz AJRSDLSNQDSMFY-TUVASFSCSA-N 1 2 321.465 1.692 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C(C)(C)C)C2 ZINC001110720681 748939917 /nfs/dbraw/zinc/93/99/17/748939917.db2.gz PGIFMFLBTOOPCD-YJNKXOJESA-N 1 2 319.449 1.140 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C(C)(C)C)C2 ZINC001110720681 748939923 /nfs/dbraw/zinc/93/99/23/748939923.db2.gz PGIFMFLBTOOPCD-YJNKXOJESA-N 1 2 319.449 1.140 20 30 DDEDLO C=CCCC(=O)NC/C=C/CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001107077365 748945009 /nfs/dbraw/zinc/94/50/09/748945009.db2.gz XNTBUHMEEYYBGH-BQYQJAHWSA-N 1 2 304.398 1.297 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001004991898 748989689 /nfs/dbraw/zinc/98/96/89/748989689.db2.gz OYBVWBOURIHWMV-UONOGXRCSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2nc(C3CC3)oc2C)C1 ZINC001108313059 761908624 /nfs/dbraw/zinc/90/86/24/761908624.db2.gz XCRGDVLHCWAFLZ-KRWDZBQOSA-N 1 2 319.405 1.867 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2nc(C3CC3)oc2C)C1 ZINC001108313059 761908631 /nfs/dbraw/zinc/90/86/31/761908631.db2.gz XCRGDVLHCWAFLZ-KRWDZBQOSA-N 1 2 319.405 1.867 20 30 DDEDLO C[C@@]1(NC(=O)CCc2c[nH]c[nH+]2)CCN(c2ccc(C#N)nc2)C1 ZINC001110819620 749042685 /nfs/dbraw/zinc/04/26/85/749042685.db2.gz RHRCCQZCBSFPME-QGZVFWFLSA-N 1 2 324.388 1.394 20 30 DDEDLO C[C@@]1(NC(=O)CCc2c[nH+]c[nH]2)CCN(c2ccc(C#N)nc2)C1 ZINC001110819620 749042691 /nfs/dbraw/zinc/04/26/91/749042691.db2.gz RHRCCQZCBSFPME-QGZVFWFLSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncoc2C(C)C)C1 ZINC001108327653 761921113 /nfs/dbraw/zinc/92/11/13/761921113.db2.gz PGGNMJCBUUDYEE-INIZCTEOSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncoc2C(C)C)C1 ZINC001108327653 761921119 /nfs/dbraw/zinc/92/11/19/761921119.db2.gz PGGNMJCBUUDYEE-INIZCTEOSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(F)nc2)[C@H](O)C1 ZINC001090137127 749269109 /nfs/dbraw/zinc/26/91/09/749269109.db2.gz LOGWDXKXZCDHNH-NWDGAFQWSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(F)nc2)[C@H](O)C1 ZINC001090137127 749269116 /nfs/dbraw/zinc/26/91/16/749269116.db2.gz LOGWDXKXZCDHNH-NWDGAFQWSA-N 1 2 313.760 1.138 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H]3C[C@H]3C3CC3)nn2)C1 ZINC001107187266 749514766 /nfs/dbraw/zinc/51/47/66/749514766.db2.gz VHNAXUBLNDLHAU-BBRMVZONSA-N 1 2 315.421 1.373 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC)CCCC)[C@@H](n2ccnn2)C1 ZINC001128736973 749863898 /nfs/dbraw/zinc/86/38/98/749863898.db2.gz UFPJBCKHEDSIBF-XHSDSOJGSA-N 1 2 317.437 1.469 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)CCCC)[C@@H](n2ccnn2)C1 ZINC001128736973 749863904 /nfs/dbraw/zinc/86/39/04/749863904.db2.gz UFPJBCKHEDSIBF-XHSDSOJGSA-N 1 2 317.437 1.469 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2nccnc2N)C1 ZINC001033782717 749879350 /nfs/dbraw/zinc/87/93/50/749879350.db2.gz ZIHFEXLXFGLCHN-LLVKDONJSA-N 1 2 309.801 1.348 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2nccnc2N)C1 ZINC001033782717 749879357 /nfs/dbraw/zinc/87/93/57/749879357.db2.gz ZIHFEXLXFGLCHN-LLVKDONJSA-N 1 2 309.801 1.348 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001033865413 749992563 /nfs/dbraw/zinc/99/25/63/749992563.db2.gz AGVLDIPKFGMYRY-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001033865413 749992568 /nfs/dbraw/zinc/99/25/68/749992568.db2.gz AGVLDIPKFGMYRY-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO CCN(C(=O)c1cn[nH]n1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033888917 750036975 /nfs/dbraw/zinc/03/69/75/750036975.db2.gz SDGNUVNESHLRKJ-OAHLLOKOSA-N 1 2 324.388 1.413 20 30 DDEDLO CCN(C(=O)c1cn[nH]n1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033888917 750036983 /nfs/dbraw/zinc/03/69/83/750036983.db2.gz SDGNUVNESHLRKJ-OAHLLOKOSA-N 1 2 324.388 1.413 20 30 DDEDLO C[C@@H](CC(=O)NC/C=C\CNc1ccncc1C#N)n1cc[nH+]c1 ZINC001107545852 750110683 /nfs/dbraw/zinc/11/06/83/750110683.db2.gz OTXXVFINTXIJMI-SFAKSCPVSA-N 1 2 324.388 1.307 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cn[nH]n2)C3)cc1 ZINC001095675850 750133668 /nfs/dbraw/zinc/13/36/68/750133668.db2.gz QUURVLGUXLIRMC-YCPHGPKFSA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1ccc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cn[nH]n2)C3)cc1 ZINC001095675850 750133672 /nfs/dbraw/zinc/13/36/72/750133672.db2.gz QUURVLGUXLIRMC-YCPHGPKFSA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cnn[nH]2)C3)cc1 ZINC001095675850 750133674 /nfs/dbraw/zinc/13/36/74/750133674.db2.gz QUURVLGUXLIRMC-YCPHGPKFSA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1ccc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cnn[nH]2)C3)cc1 ZINC001095675850 750133676 /nfs/dbraw/zinc/13/36/76/750133676.db2.gz QUURVLGUXLIRMC-YCPHGPKFSA-N 1 2 322.372 1.212 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1CC ZINC001110897743 750186319 /nfs/dbraw/zinc/18/63/19/750186319.db2.gz VAGKMBNLZVZYQC-YUELXQCFSA-N 1 2 317.437 1.732 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1CC ZINC001110897743 750186328 /nfs/dbraw/zinc/18/63/28/750186328.db2.gz VAGKMBNLZVZYQC-YUELXQCFSA-N 1 2 317.437 1.732 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H](N(CC)C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001034036973 750285824 /nfs/dbraw/zinc/28/58/24/750285824.db2.gz GRQMYMYBYBLCID-CQSZACIVSA-N 1 2 311.389 1.518 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001034036973 750285827 /nfs/dbraw/zinc/28/58/27/750285827.db2.gz GRQMYMYBYBLCID-CQSZACIVSA-N 1 2 311.389 1.518 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C2CCC2)cnn1C ZINC001032414079 750671146 /nfs/dbraw/zinc/67/11/46/750671146.db2.gz HRRCFZQFOKDJLY-GJZGRUSLSA-N 1 2 312.417 1.610 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C2CCC2)cnn1C ZINC001032414079 750671152 /nfs/dbraw/zinc/67/11/52/750671152.db2.gz HRRCFZQFOKDJLY-GJZGRUSLSA-N 1 2 312.417 1.610 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001114600612 750814477 /nfs/dbraw/zinc/81/44/77/750814477.db2.gz BCAUFEHOBJDHPD-PHZGNYQRSA-N 1 2 312.417 1.777 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001107952057 750824838 /nfs/dbraw/zinc/82/48/38/750824838.db2.gz YBNMMWGTUKQVPP-XYJFISCASA-N 1 2 322.449 1.278 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001107952057 750824847 /nfs/dbraw/zinc/82/48/47/750824847.db2.gz YBNMMWGTUKQVPP-XYJFISCASA-N 1 2 322.449 1.278 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001107958052 750905593 /nfs/dbraw/zinc/90/55/93/750905593.db2.gz UDJHYQVRJHJXAZ-NTDBWNAOSA-N 1 2 316.445 1.819 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001107958052 750905596 /nfs/dbraw/zinc/90/55/96/750905596.db2.gz UDJHYQVRJHJXAZ-NTDBWNAOSA-N 1 2 316.445 1.819 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2CCCOC2)C1 ZINC001108402639 762083388 /nfs/dbraw/zinc/08/33/88/762083388.db2.gz JAKMOHLSOODCQR-RDJZCZTQSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2CCCOC2)C1 ZINC001108402639 762083394 /nfs/dbraw/zinc/08/33/94/762083394.db2.gz JAKMOHLSOODCQR-RDJZCZTQSA-N 1 2 308.422 1.034 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114679303 750981269 /nfs/dbraw/zinc/98/12/69/750981269.db2.gz VZCONMUTHOHIHM-IMRBUKKESA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114679303 750981272 /nfs/dbraw/zinc/98/12/72/750981272.db2.gz VZCONMUTHOHIHM-IMRBUKKESA-N 1 2 304.394 1.527 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114686120 750986758 /nfs/dbraw/zinc/98/67/58/750986758.db2.gz GRYYQRJPKRBREJ-WDNDVIMCSA-N 1 2 303.410 1.057 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114686120 750986760 /nfs/dbraw/zinc/98/67/60/750986760.db2.gz GRYYQRJPKRBREJ-WDNDVIMCSA-N 1 2 303.410 1.057 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1noc2ccccc21 ZINC001032506987 751114947 /nfs/dbraw/zinc/11/49/47/751114947.db2.gz PKNUXCQWLZTFGJ-KBPBESRZSA-N 1 2 309.369 1.679 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1noc2ccccc21 ZINC001032506987 751114949 /nfs/dbraw/zinc/11/49/49/751114949.db2.gz PKNUXCQWLZTFGJ-KBPBESRZSA-N 1 2 309.369 1.679 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc2onc(C)c21 ZINC001032547471 751264596 /nfs/dbraw/zinc/26/45/96/751264596.db2.gz DOKMSZKKLBTIIF-KBPBESRZSA-N 1 2 324.384 1.762 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc2onc(C)c21 ZINC001032547471 751264600 /nfs/dbraw/zinc/26/46/00/751264600.db2.gz DOKMSZKKLBTIIF-KBPBESRZSA-N 1 2 324.384 1.762 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cnco2)cc1 ZINC001032548662 751271334 /nfs/dbraw/zinc/27/13/34/751271334.db2.gz ZIRUUEHGUQNZDC-GJZGRUSLSA-N 1 2 308.341 1.645 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cnco2)cc1 ZINC001032548662 751271336 /nfs/dbraw/zinc/27/13/36/751271336.db2.gz ZIRUUEHGUQNZDC-GJZGRUSLSA-N 1 2 308.341 1.645 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H]1CCCN(C)C1=O ZINC001032557572 751300811 /nfs/dbraw/zinc/30/08/11/751300811.db2.gz NESLVVVKNYKWNE-SOUVJXGZSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H]1CCCN(C)C1=O ZINC001032557572 751300813 /nfs/dbraw/zinc/30/08/13/751300813.db2.gz NESLVVVKNYKWNE-SOUVJXGZSA-N 1 2 319.449 1.495 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)C(C)(C)CC)CC2 ZINC001128040562 752417088 /nfs/dbraw/zinc/41/70/88/752417088.db2.gz ROPQTQUSCGAXOI-CYBMUJFWSA-N 1 2 317.437 1.258 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2conc2C(F)(F)F)C1 ZINC001007952417 752459664 /nfs/dbraw/zinc/45/96/64/752459664.db2.gz MELQBERJKAQAEC-JTQLQIEISA-N 1 2 315.295 1.911 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2conc2C(F)(F)F)C1 ZINC001007952417 752459668 /nfs/dbraw/zinc/45/96/68/752459668.db2.gz MELQBERJKAQAEC-JTQLQIEISA-N 1 2 315.295 1.911 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC000999130685 752566095 /nfs/dbraw/zinc/56/60/95/752566095.db2.gz WZYCEFXBWAPAOR-CQSZACIVSA-N 1 2 314.389 1.441 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2cccc(OC)c21 ZINC001032670586 752666739 /nfs/dbraw/zinc/66/67/39/752666739.db2.gz GLDUDLKABRIAQR-JYJNAYRXSA-N 1 2 310.397 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2cccc(OC)c21 ZINC001032670586 752666743 /nfs/dbraw/zinc/66/67/43/752666743.db2.gz GLDUDLKABRIAQR-JYJNAYRXSA-N 1 2 310.397 1.643 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccccc1OC ZINC001032672407 752685332 /nfs/dbraw/zinc/68/53/32/752685332.db2.gz XRMWBJFZUDCODN-NXNVCVFFSA-N 1 2 310.397 1.717 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccccc1OC ZINC001032672407 752685337 /nfs/dbraw/zinc/68/53/37/752685337.db2.gz XRMWBJFZUDCODN-NXNVCVFFSA-N 1 2 310.397 1.717 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCOc2ccccc21 ZINC001032686556 752725420 /nfs/dbraw/zinc/72/54/20/752725420.db2.gz YIKKNMJTQLZBHY-ZOBUZTSGSA-N 1 2 310.397 1.861 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCOc2ccccc21 ZINC001032686556 752725422 /nfs/dbraw/zinc/72/54/22/752725422.db2.gz YIKKNMJTQLZBHY-ZOBUZTSGSA-N 1 2 310.397 1.861 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CCC[N@@H+](Cc3cc(C)no3)C2)c1 ZINC001008471440 752749492 /nfs/dbraw/zinc/74/94/92/752749492.db2.gz ZGQXFBCZVUONHB-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CCC[N@H+](Cc3cc(C)no3)C2)c1 ZINC001008471440 752749498 /nfs/dbraw/zinc/74/94/98/752749498.db2.gz ZGQXFBCZVUONHB-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ncccn2)cc1 ZINC001032693638 752750065 /nfs/dbraw/zinc/75/00/65/752750065.db2.gz LFKFYKBZGHOJOO-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ncccn2)cc1 ZINC001032693638 752750069 /nfs/dbraw/zinc/75/00/69/752750069.db2.gz LFKFYKBZGHOJOO-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](CC)CC(F)F)C1 ZINC001107988416 752790001 /nfs/dbraw/zinc/79/00/01/752790001.db2.gz CMCMNRJJNTWIKL-CJNGLKHVSA-N 1 2 316.392 1.898 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](CC)CC(F)F)C1 ZINC001107988416 752790006 /nfs/dbraw/zinc/79/00/06/752790006.db2.gz CMCMNRJJNTWIKL-CJNGLKHVSA-N 1 2 316.392 1.898 20 30 DDEDLO CN(C[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1)c1ccc(C#N)nc1 ZINC001062129290 752791039 /nfs/dbraw/zinc/79/10/39/752791039.db2.gz LCJXFPUBYXBNGY-AWEZNQCLSA-N 1 2 324.388 1.135 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001062324845 752893052 /nfs/dbraw/zinc/89/30/52/752893052.db2.gz NYIAIOHEXAVJRN-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2sc(N(C)C)nc2C)C1 ZINC001008773205 752911434 /nfs/dbraw/zinc/91/14/34/752911434.db2.gz KDBQIEVDJUFKFP-GFCCVEGCSA-N 1 2 306.435 1.345 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc(N(C)C)nc2C)C1 ZINC001008773205 752911436 /nfs/dbraw/zinc/91/14/36/752911436.db2.gz KDBQIEVDJUFKFP-GFCCVEGCSA-N 1 2 306.435 1.345 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001009368187 753171784 /nfs/dbraw/zinc/17/17/84/753171784.db2.gz AFLXKYSQZIJBSK-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001009368187 753171787 /nfs/dbraw/zinc/17/17/87/753171787.db2.gz AFLXKYSQZIJBSK-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cn2cccn2)on1 ZINC001032721180 753250994 /nfs/dbraw/zinc/25/09/94/753250994.db2.gz VXXWKAHCGDOABU-STQMWFEESA-N 1 2 313.361 1.004 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cn2cccn2)on1 ZINC001032721180 753251004 /nfs/dbraw/zinc/25/10/04/753251004.db2.gz VXXWKAHCGDOABU-STQMWFEESA-N 1 2 313.361 1.004 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)CN1c1ccc(C#N)cn1 ZINC001039757543 762203660 /nfs/dbraw/zinc/20/36/60/762203660.db2.gz NPECBTNSBCYOJV-ZFWWWQNUSA-N 1 2 324.388 1.323 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1OCCc2ccccc21 ZINC001032740651 753422521 /nfs/dbraw/zinc/42/25/21/753422521.db2.gz AQBFJRVJDCYWLQ-XYJFISCASA-N 1 2 310.397 1.609 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1OCCc2ccccc21 ZINC001032740651 753422526 /nfs/dbraw/zinc/42/25/26/753422526.db2.gz AQBFJRVJDCYWLQ-XYJFISCASA-N 1 2 310.397 1.609 20 30 DDEDLO CC#CCCCC(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111540817 753560256 /nfs/dbraw/zinc/56/02/56/753560256.db2.gz CHOVJMGJPGUESX-UHFFFAOYSA-N 1 2 304.394 1.211 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](C)NC(=O)CCc2c[nH]c[nH+]2)n1 ZINC001108186137 753651430 /nfs/dbraw/zinc/65/14/30/753651430.db2.gz KPIYDVANMNRSOK-GFCCVEGCSA-N 1 2 312.377 1.534 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](C)NC(=O)CCc2c[nH+]c[nH]2)n1 ZINC001108186137 753651435 /nfs/dbraw/zinc/65/14/35/753651435.db2.gz KPIYDVANMNRSOK-GFCCVEGCSA-N 1 2 312.377 1.534 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001078037102 753668842 /nfs/dbraw/zinc/66/88/42/753668842.db2.gz GOSZUCDEQPCJMX-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO Cc1cnn(CC(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)c1 ZINC001010334496 753774492 /nfs/dbraw/zinc/77/44/92/753774492.db2.gz NDOKQRQLEVSOFI-QGZVFWFLSA-N 1 2 323.400 1.454 20 30 DDEDLO Cc1cnn(CC(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001010334496 753774500 /nfs/dbraw/zinc/77/45/00/753774500.db2.gz NDOKQRQLEVSOFI-QGZVFWFLSA-N 1 2 323.400 1.454 20 30 DDEDLO C#CCCCC(=O)NC1(CNC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001062878008 753792536 /nfs/dbraw/zinc/79/25/36/753792536.db2.gz RJMUENSQEIXUGU-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO C=CCC1(C(=O)NCC[C@H](C)NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001078226263 753878155 /nfs/dbraw/zinc/87/81/55/753878155.db2.gz SQEYBAFNVRENQV-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(CC(C)C)s2)C1 ZINC001078265938 753923544 /nfs/dbraw/zinc/92/35/44/753923544.db2.gz MELKQEOFYSHYEC-CHWSQXEVSA-N 1 2 323.462 1.693 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(CC(C)C)s2)C1 ZINC001078265938 753923549 /nfs/dbraw/zinc/92/35/49/753923549.db2.gz MELKQEOFYSHYEC-CHWSQXEVSA-N 1 2 323.462 1.693 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H](CC)C(N)=O ZINC001039897319 762257069 /nfs/dbraw/zinc/25/70/69/762257069.db2.gz YAISOXDDRYJPAC-JTOWHCCKSA-N 1 2 321.465 1.918 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H](CC)C(N)=O ZINC001039897319 762257072 /nfs/dbraw/zinc/25/70/72/762257072.db2.gz YAISOXDDRYJPAC-JTOWHCCKSA-N 1 2 321.465 1.918 20 30 DDEDLO N#Cc1cnccc1N1CCCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001063197771 754038235 /nfs/dbraw/zinc/03/82/35/754038235.db2.gz DHAHUCUGZANGPV-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@H]1Nc1ncccc1C#N ZINC001063802060 754354506 /nfs/dbraw/zinc/35/45/06/754354506.db2.gz GTKNDMYXHCOQBC-SWLSCSKDSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001063896665 754393285 /nfs/dbraw/zinc/39/32/85/754393285.db2.gz TXODSAVGXFXRFD-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(NC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001063917827 754406669 /nfs/dbraw/zinc/40/66/69/754406669.db2.gz VEWATPBMUMEHPY-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001064108696 754518085 /nfs/dbraw/zinc/51/80/85/754518085.db2.gz BSSMKTRLKUCLRH-CQSZACIVSA-N 1 2 318.421 1.641 20 30 DDEDLO C#CCCCC(=O)N1CC(N(CC)C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001079691390 755529859 /nfs/dbraw/zinc/52/98/59/755529859.db2.gz NFNHUESJEISRMC-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001080990952 756178320 /nfs/dbraw/zinc/17/83/20/756178320.db2.gz NVXRLSISIUUPAY-KGYLQXTDSA-N 1 2 323.828 1.030 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001080990952 756178324 /nfs/dbraw/zinc/17/83/24/756178324.db2.gz NVXRLSISIUUPAY-KGYLQXTDSA-N 1 2 323.828 1.030 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1csnn1 ZINC001015424809 756294198 /nfs/dbraw/zinc/29/41/98/756294198.db2.gz ORVJMZINAGIPJL-CQSZACIVSA-N 1 2 312.398 1.394 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1csnn1 ZINC001015424809 756294202 /nfs/dbraw/zinc/29/42/02/756294202.db2.gz ORVJMZINAGIPJL-CQSZACIVSA-N 1 2 312.398 1.394 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(CC)n2)[C@H](OC)C1 ZINC001081805445 756486468 /nfs/dbraw/zinc/48/64/68/756486468.db2.gz XWOYVPIMWBYLJB-HZPDHXFCSA-N 1 2 301.390 1.096 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(CC)n2)[C@H](OC)C1 ZINC001081805445 756486472 /nfs/dbraw/zinc/48/64/72/756486472.db2.gz XWOYVPIMWBYLJB-HZPDHXFCSA-N 1 2 301.390 1.096 20 30 DDEDLO C[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015727014 756499383 /nfs/dbraw/zinc/49/93/83/756499383.db2.gz NSUCUIQRWHLXCG-XYPHTWIQSA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015727014 756499386 /nfs/dbraw/zinc/49/93/86/756499386.db2.gz NSUCUIQRWHLXCG-XYPHTWIQSA-N 1 2 313.401 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001015775797 756537920 /nfs/dbraw/zinc/53/79/20/756537920.db2.gz SJTRLRIOCMCTSX-NSHDSACASA-N 1 2 303.193 1.480 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001015775797 756537921 /nfs/dbraw/zinc/53/79/21/756537921.db2.gz SJTRLRIOCMCTSX-NSHDSACASA-N 1 2 303.193 1.480 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)[C@@H]1C[C@H]1C ZINC001082028098 756609687 /nfs/dbraw/zinc/60/96/87/756609687.db2.gz PBHWEHXMYQRCJK-VDHUWJSZSA-N 1 2 312.413 1.510 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)[C@@H]1C[C@H]1C ZINC001082028098 756609691 /nfs/dbraw/zinc/60/96/91/756609691.db2.gz PBHWEHXMYQRCJK-VDHUWJSZSA-N 1 2 312.413 1.510 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cncc(Cl)c2)[C@H](OC)C1 ZINC001082237933 756695821 /nfs/dbraw/zinc/69/58/21/756695821.db2.gz XRUCBFOTMWFLDV-ZIAGYGMSSA-N 1 2 307.781 1.187 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cncc(Cl)c2)[C@H](OC)C1 ZINC001082237933 756695823 /nfs/dbraw/zinc/69/58/23/756695823.db2.gz XRUCBFOTMWFLDV-ZIAGYGMSSA-N 1 2 307.781 1.187 20 30 DDEDLO CCCCc1noc(C[NH2+][C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)n1 ZINC001082451233 756791350 /nfs/dbraw/zinc/79/13/50/756791350.db2.gz UHEAPYVXGAIVSJ-JHJVBQTASA-N 1 2 319.409 1.508 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2csnn2)C1 ZINC001082751849 756912020 /nfs/dbraw/zinc/91/20/20/756912020.db2.gz BZXZWEWPMGMFHC-ZRJCITRHSA-N 1 2 322.434 1.066 20 30 DDEDLO C=CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1snnc1C1CC1)C2 ZINC001097265572 757031156 /nfs/dbraw/zinc/03/11/56/757031156.db2.gz LTHRLZRGRKHPJS-UTUOFQBUSA-N 1 2 304.419 1.937 20 30 DDEDLO C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1snnc1C1CC1)C2 ZINC001097265572 757031163 /nfs/dbraw/zinc/03/11/63/757031163.db2.gz LTHRLZRGRKHPJS-UTUOFQBUSA-N 1 2 304.419 1.937 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cscc3Cl)[C@H]2C1 ZINC001083114163 757118194 /nfs/dbraw/zinc/11/81/94/757118194.db2.gz PUMPUPPLSBXTBP-UONOGXRCSA-N 1 2 324.833 1.950 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cscc3Cl)[C@H]2C1 ZINC001083114163 757118199 /nfs/dbraw/zinc/11/81/99/757118199.db2.gz PUMPUPPLSBXTBP-UONOGXRCSA-N 1 2 324.833 1.950 20 30 DDEDLO Cc1nc(N2CCC[C@H]([C@H](C)NC(=O)C#CC3CC3)C2)cc[nH+]1 ZINC001097528909 757239926 /nfs/dbraw/zinc/23/99/26/757239926.db2.gz GRLDAZDFOHCSOY-BBRMVZONSA-N 1 2 312.417 1.920 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001097625124 757291260 /nfs/dbraw/zinc/29/12/60/757291260.db2.gz ZCSCIEWBBGFSTC-KVULBXGLSA-N 1 2 318.421 1.951 20 30 DDEDLO COc1ccnc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)c1 ZINC001084236019 757437590 /nfs/dbraw/zinc/43/75/90/757437590.db2.gz XIJVODZFMZRLOR-WOSRLPQWSA-N 1 2 314.389 1.283 20 30 DDEDLO COc1ccnc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)c1 ZINC001084236019 757437599 /nfs/dbraw/zinc/43/75/99/757437599.db2.gz XIJVODZFMZRLOR-WOSRLPQWSA-N 1 2 314.389 1.283 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)[C@@H]3C2)cn1 ZINC001084333810 757494789 /nfs/dbraw/zinc/49/47/89/757494789.db2.gz AFBJNRBZUFBUAG-IAGOWNOFSA-N 1 2 310.401 1.231 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)[C@@H]3C2)cn1 ZINC001084333810 757494796 /nfs/dbraw/zinc/49/47/96/757494796.db2.gz AFBJNRBZUFBUAG-IAGOWNOFSA-N 1 2 310.401 1.231 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@H]2CC[N@H+](Cc3ccns3)C[C@H]21 ZINC001084334110 757496359 /nfs/dbraw/zinc/49/63/59/757496359.db2.gz OXJBHFBKGZGQSI-UKRRQHHQSA-N 1 2 301.415 1.589 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@H]2CC[N@@H+](Cc3ccns3)C[C@H]21 ZINC001084334110 757496362 /nfs/dbraw/zinc/49/63/62/757496362.db2.gz OXJBHFBKGZGQSI-UKRRQHHQSA-N 1 2 301.415 1.589 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCCN1C(=O)CC ZINC001017582169 758048714 /nfs/dbraw/zinc/04/87/14/758048714.db2.gz IRJAJGRNJYZDDG-OWCLPIDISA-N 1 2 317.433 1.086 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCCN1C(=O)CC ZINC001017582169 758048721 /nfs/dbraw/zinc/04/87/21/758048721.db2.gz IRJAJGRNJYZDDG-OWCLPIDISA-N 1 2 317.433 1.086 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2oc(=O)[nH]c2c1 ZINC001017637646 758106245 /nfs/dbraw/zinc/10/62/45/758106245.db2.gz HBEPPBVEZGCIGU-BETUJISGSA-N 1 2 311.341 1.455 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2oc(=O)[nH]c2c1 ZINC001017637646 758106248 /nfs/dbraw/zinc/10/62/48/758106248.db2.gz HBEPPBVEZGCIGU-BETUJISGSA-N 1 2 311.341 1.455 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2cccn2)nc1 ZINC001017782775 758235672 /nfs/dbraw/zinc/23/56/72/758235672.db2.gz KNKXKGVTAXRSFM-IYBDPMFKSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2cccn2)nc1 ZINC001017782775 758235682 /nfs/dbraw/zinc/23/56/82/758235682.db2.gz KNKXKGVTAXRSFM-IYBDPMFKSA-N 1 2 321.384 1.189 20 30 DDEDLO O=C(C#CC1CC1)N1CCOC2(C[NH+](CC3=CCCCC3)C2)C1 ZINC001053250226 758306139 /nfs/dbraw/zinc/30/61/39/758306139.db2.gz KYKCHGAEGDFWFF-UHFFFAOYSA-N 1 2 314.429 1.813 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCCO[C@H]1CC)CCO2 ZINC001053285346 758339690 /nfs/dbraw/zinc/33/96/90/758339690.db2.gz SFSZFMBMCUPWNM-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Oc1cccnc1 ZINC001017933486 758403942 /nfs/dbraw/zinc/40/39/42/758403942.db2.gz VEPRTDGGQGOAAD-HRCADAONSA-N 1 2 313.401 1.547 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Oc1cccnc1 ZINC001017933486 758403949 /nfs/dbraw/zinc/40/39/49/758403949.db2.gz VEPRTDGGQGOAAD-HRCADAONSA-N 1 2 313.401 1.547 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nncn2c1 ZINC001018064294 758517372 /nfs/dbraw/zinc/51/73/72/758517372.db2.gz XZNRDBXBSCYEOL-GASCZTMLSA-N 1 2 309.373 1.041 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nncn2c1 ZINC001018064294 758517374 /nfs/dbraw/zinc/51/73/74/758517374.db2.gz XZNRDBXBSCYEOL-GASCZTMLSA-N 1 2 309.373 1.041 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@H](CC)C1 ZINC001018156307 758586846 /nfs/dbraw/zinc/58/68/46/758586846.db2.gz LCHMICZCRMBZKV-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@H](CC)C1 ZINC001018156307 758586853 /nfs/dbraw/zinc/58/68/53/758586853.db2.gz LCHMICZCRMBZKV-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)n[nH]1)O2 ZINC001053577722 758589219 /nfs/dbraw/zinc/58/92/19/758589219.db2.gz PDKIJAIAYVPVQR-CYBMUJFWSA-N 1 2 304.394 1.257 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1coc(CCC)n1 ZINC001018170137 758598853 /nfs/dbraw/zinc/59/88/53/758598853.db2.gz PEXYCCJKAIOWAC-OKILXGFUSA-N 1 2 301.390 1.939 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1coc(CCC)n1 ZINC001018170137 758598857 /nfs/dbraw/zinc/59/88/57/758598857.db2.gz PEXYCCJKAIOWAC-OKILXGFUSA-N 1 2 301.390 1.939 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCCCO1)O2 ZINC001053590863 758608126 /nfs/dbraw/zinc/60/81/26/758608126.db2.gz RJBBUMVFXLFYJX-GJZGRUSLSA-N 1 2 308.422 1.481 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)n(C)nc1Cl ZINC001018222435 758645224 /nfs/dbraw/zinc/64/52/24/758645224.db2.gz BRCAGOMSFOGXCH-TXEJJXNPSA-N 1 2 308.813 1.857 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)n(C)nc1Cl ZINC001018222435 758645228 /nfs/dbraw/zinc/64/52/28/758645228.db2.gz BRCAGOMSFOGXCH-TXEJJXNPSA-N 1 2 308.813 1.857 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1OC[C@@H]3CCC[C@@H]31)O2 ZINC001053628085 758646021 /nfs/dbraw/zinc/64/60/21/758646021.db2.gz CVLRSDKKELZYSM-YHUYYLMFSA-N 1 2 320.433 1.337 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108548151 762649173 /nfs/dbraw/zinc/64/91/73/762649173.db2.gz GKOCXLCNZQVKEI-CQSZACIVSA-N 1 2 305.422 1.320 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccnnc1)O2 ZINC001053667644 758679511 /nfs/dbraw/zinc/67/95/11/758679511.db2.gz CBLNNWDTRCTEJA-CQSZACIVSA-N 1 2 302.378 1.016 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(OC)o1)O2 ZINC001053679897 758690740 /nfs/dbraw/zinc/69/07/40/758690740.db2.gz RHRKQKOPGVRBMC-GFCCVEGCSA-N 1 2 306.362 1.437 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(CCC)on1)O2 ZINC001053685221 758694595 /nfs/dbraw/zinc/69/45/95/758694595.db2.gz KOCYIROTOVAVDP-AWEZNQCLSA-N 1 2 319.405 1.776 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cn(CC)cn1)O2 ZINC001053690974 758700303 /nfs/dbraw/zinc/70/03/03/758700303.db2.gz QHZVPYWVJGPDOK-CYBMUJFWSA-N 1 2 304.394 1.052 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C3CCC3)CC2)C1 ZINC001065690346 758708390 /nfs/dbraw/zinc/70/83/90/758708390.db2.gz CEQDVQDVSPPHTP-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO C[C@H](Nc1ccc(C#N)cn1)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065722204 758724550 /nfs/dbraw/zinc/72/45/50/758724550.db2.gz IPOJFJMDJGWEHP-ZFWWWQNUSA-N 1 2 324.388 1.499 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCC[C@@H]1OC ZINC001018331941 758736493 /nfs/dbraw/zinc/73/64/93/758736493.db2.gz ZMHMTBVYPVFCPM-YVSFHVDLSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCC[C@@H]1OC ZINC001018331941 758736498 /nfs/dbraw/zinc/73/64/98/758736498.db2.gz ZMHMTBVYPVFCPM-YVSFHVDLSA-N 1 2 304.434 1.890 20 30 DDEDLO Cc1nc(NC[C@@H]2CN(C(=O)c3c[nH]c(C#N)c3)C[C@@H]2C)cc[nH+]1 ZINC001065831376 758824887 /nfs/dbraw/zinc/82/48/87/758824887.db2.gz JZUSVJMFAANECD-SMDDNHRTSA-N 1 2 324.388 1.805 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CCC(F)(F)C1)CO2 ZINC001053825424 758856385 /nfs/dbraw/zinc/85/63/85/758856385.db2.gz QGEAEUUVRLCTGL-QWHCGFSZSA-N 1 2 312.360 1.405 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H]1C=CCCC1)CO2 ZINC001053846095 758876571 /nfs/dbraw/zinc/87/65/71/758876571.db2.gz XSGBJKZQCUUOFB-HZPDHXFCSA-N 1 2 302.418 1.716 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(F)ccc1C)CO2 ZINC001053884377 758918338 /nfs/dbraw/zinc/91/83/38/758918338.db2.gz ONTNSMPQGINMRZ-OAHLLOKOSA-N 1 2 316.376 1.731 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1Cc3ccccc31)CO2 ZINC001053911869 758946670 /nfs/dbraw/zinc/94/66/70/758946670.db2.gz OOAIKUMCHWQWFR-WBVHZDCISA-N 1 2 310.397 1.309 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)CC1=CCOCC1)CO2 ZINC001053917161 758951835 /nfs/dbraw/zinc/95/18/35/758951835.db2.gz BRKWFBJTEHRERW-OAHLLOKOSA-N 1 2 306.406 1.259 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)c1ccco1)CO2 ZINC001053922705 758957531 /nfs/dbraw/zinc/95/75/31/758957531.db2.gz GQYAOCAPUODQGY-ZIAGYGMSSA-N 1 2 304.390 1.919 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccn(C)c1CC)CO2 ZINC001053927190 758964422 /nfs/dbraw/zinc/96/44/22/758964422.db2.gz VFQRFEXSNRZGGH-AWEZNQCLSA-N 1 2 317.433 1.737 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001065972573 758966639 /nfs/dbraw/zinc/96/66/39/758966639.db2.gz RFRRQXWFCCUBOY-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(CCC)on1)CO2 ZINC001053972121 759009484 /nfs/dbraw/zinc/00/94/84/759009484.db2.gz WYOLWHVPDVUMKX-CYBMUJFWSA-N 1 2 317.389 1.224 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(Cl)cn1)CO2 ZINC001053988567 759031642 /nfs/dbraw/zinc/03/16/42/759031642.db2.gz MMEKMNBAZLOHJI-ZDUSSCGKSA-N 1 2 319.792 1.331 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C)n[nH]1 ZINC001054033255 759087032 /nfs/dbraw/zinc/08/70/32/759087032.db2.gz PCGBZAWPKPXJIQ-MRXNPFEDSA-N 1 2 308.385 1.508 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C)n[nH]1 ZINC001054033255 759087040 /nfs/dbraw/zinc/08/70/40/759087040.db2.gz PCGBZAWPKPXJIQ-MRXNPFEDSA-N 1 2 308.385 1.508 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(C1)CCCN(C(=O)C(F)C(F)(F)F)C2 ZINC001054135739 759209278 /nfs/dbraw/zinc/20/92/78/759209278.db2.gz JCIIFBVWBGTTIP-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(C1)CCCN(C(=O)C(F)C(F)(F)F)C2 ZINC001054135739 759209284 /nfs/dbraw/zinc/20/92/84/759209284.db2.gz JCIIFBVWBGTTIP-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(C1)CCCN(C(=O)[C@@H](F)C(F)(F)F)C2 ZINC001054135739 759209291 /nfs/dbraw/zinc/20/92/91/759209291.db2.gz JCIIFBVWBGTTIP-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(C1)CCCN(C(=O)[C@@H](F)C(F)(F)F)C2 ZINC001054135739 759209298 /nfs/dbraw/zinc/20/92/98/759209298.db2.gz JCIIFBVWBGTTIP-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1)C(=O)c1ccn[nH]1 ZINC001085495787 759534411 /nfs/dbraw/zinc/53/44/11/759534411.db2.gz OVWZTRKNSKNTQO-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1)C(=O)c1ccn[nH]1 ZINC001085495787 759534417 /nfs/dbraw/zinc/53/44/17/759534417.db2.gz OVWZTRKNSKNTQO-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO Cc1nc(NC[C@@H]2CN(C(=O)c3cc(C#N)c[nH]3)C[C@H]2C)cc[nH+]1 ZINC001054530758 759776372 /nfs/dbraw/zinc/77/63/72/759776372.db2.gz JCPXJYINDWAEDQ-BXUZGUMPSA-N 1 2 324.388 1.805 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)[C@]12C[C@H]1COC2 ZINC001085608011 759844951 /nfs/dbraw/zinc/84/49/51/759844951.db2.gz GJMBBWRAZWUNRO-NSHGMRRFSA-N 1 2 324.424 1.607 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)[C@]12C[C@H]1COC2 ZINC001085608011 759844954 /nfs/dbraw/zinc/84/49/54/759844954.db2.gz GJMBBWRAZWUNRO-NSHGMRRFSA-N 1 2 324.424 1.607 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)oc2nc[nH]c(=O)c21 ZINC001085640966 759917253 /nfs/dbraw/zinc/91/72/53/759917253.db2.gz ZVXRDDQLEAFJFS-NSHDSACASA-N 1 2 314.345 1.016 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)oc2nc[nH]c(=O)c21 ZINC001085640966 759917256 /nfs/dbraw/zinc/91/72/56/759917256.db2.gz ZVXRDDQLEAFJFS-NSHDSACASA-N 1 2 314.345 1.016 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]cnc1C(F)(F)F ZINC001085643757 759921992 /nfs/dbraw/zinc/92/19/92/759921992.db2.gz XDESSBZDDOLHHJ-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]cnc1C(F)(F)F ZINC001085643757 759921996 /nfs/dbraw/zinc/92/19/96/759921996.db2.gz XDESSBZDDOLHHJ-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nc[nH]c1C(F)(F)F ZINC001085643757 759922004 /nfs/dbraw/zinc/92/20/04/759922004.db2.gz XDESSBZDDOLHHJ-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nc[nH]c1C(F)(F)F ZINC001085643757 759922010 /nfs/dbraw/zinc/92/20/10/759922010.db2.gz XDESSBZDDOLHHJ-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)F)ncn1 ZINC001085671204 759980572 /nfs/dbraw/zinc/98/05/72/759980572.db2.gz QTRHQBYYGQAUEN-LLVKDONJSA-N 1 2 308.332 1.584 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)F)ncn1 ZINC001085671204 759980576 /nfs/dbraw/zinc/98/05/76/759980576.db2.gz QTRHQBYYGQAUEN-LLVKDONJSA-N 1 2 308.332 1.584 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001085683841 760027095 /nfs/dbraw/zinc/02/70/95/760027095.db2.gz BQBBCDAUQQADDH-GFCCVEGCSA-N 1 2 317.414 1.922 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001085683841 760027107 /nfs/dbraw/zinc/02/71/07/760027107.db2.gz BQBBCDAUQQADDH-GFCCVEGCSA-N 1 2 317.414 1.922 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)[nH]nc1C(F)(F)F ZINC001085726489 760111450 /nfs/dbraw/zinc/11/14/50/760111450.db2.gz ZMKMCMJISXKXRC-JTQLQIEISA-N 1 2 314.311 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)[nH]nc1C(F)(F)F ZINC001085726489 760111453 /nfs/dbraw/zinc/11/14/53/760111453.db2.gz ZMKMCMJISXKXRC-JTQLQIEISA-N 1 2 314.311 1.516 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2ccncc2n1 ZINC001085727423 760112771 /nfs/dbraw/zinc/11/27/71/760112771.db2.gz WZGOSTWWBWRZKV-HNNXBMFYSA-N 1 2 308.385 1.799 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc2ccncc2n1 ZINC001085727423 760112774 /nfs/dbraw/zinc/11/27/74/760112774.db2.gz WZGOSTWWBWRZKV-HNNXBMFYSA-N 1 2 308.385 1.799 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc2cc(OC)ccn21 ZINC001085722712 760120059 /nfs/dbraw/zinc/12/00/59/760120059.db2.gz FPGOAAHQTSNENJ-ZDUSSCGKSA-N 1 2 312.373 1.122 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc2cc(OC)ccn21 ZINC001085722712 760120064 /nfs/dbraw/zinc/12/00/64/760120064.db2.gz FPGOAAHQTSNENJ-ZDUSSCGKSA-N 1 2 312.373 1.122 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1)C(=O)c1cnco1 ZINC001085749426 760163824 /nfs/dbraw/zinc/16/38/24/760163824.db2.gz HIALWECOESTRHW-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1)C(=O)c1cnco1 ZINC001085749426 760163832 /nfs/dbraw/zinc/16/38/32/760163832.db2.gz HIALWECOESTRHW-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C(C)C)CC2)[C@H](O)C1 ZINC001099996790 760283671 /nfs/dbraw/zinc/28/36/71/760283671.db2.gz OYXQUWZIEKEGTN-QWHCGFSZSA-N 1 2 300.830 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C(C)C)CC2)[C@H](O)C1 ZINC001099996790 760283676 /nfs/dbraw/zinc/28/36/76/760283676.db2.gz OYXQUWZIEKEGTN-QWHCGFSZSA-N 1 2 300.830 1.727 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)Nc1cccc(F)c1C#N ZINC001097975007 760324749 /nfs/dbraw/zinc/32/47/49/760324749.db2.gz GIJALPAAHFBQMF-SNVBAGLBSA-N 1 2 315.352 1.888 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001046810229 767943534 /nfs/dbraw/zinc/94/35/34/767943534.db2.gz IQZGWPKGISRIAE-WFASDCNBSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001046810229 767943540 /nfs/dbraw/zinc/94/35/40/767943540.db2.gz IQZGWPKGISRIAE-WFASDCNBSA-N 1 2 313.829 1.330 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC001085969810 760629493 /nfs/dbraw/zinc/62/94/93/760629493.db2.gz LNXWWUZKNHNHAH-CYBMUJFWSA-N 1 2 312.373 1.758 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC001085969810 760629495 /nfs/dbraw/zinc/62/94/95/760629495.db2.gz LNXWWUZKNHNHAH-CYBMUJFWSA-N 1 2 312.373 1.758 20 30 DDEDLO Cc1cc(N2CCN(C(=O)CCc3[nH]cc[nH+]3)CC2)c(C#N)cn1 ZINC001055790388 760732772 /nfs/dbraw/zinc/73/27/72/760732772.db2.gz NVKGPCVAWIWNOL-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](N2C(=O)O[C@H]3C[N@@H+](CC=C)C[C@H]32)C1 ZINC001131304746 767980420 /nfs/dbraw/zinc/98/04/20/767980420.db2.gz RRWARTPGIWPMDL-ZNMIVQPWSA-N 1 2 319.405 1.245 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](N2C(=O)O[C@H]3C[N@H+](CC=C)C[C@H]32)C1 ZINC001131304746 767980422 /nfs/dbraw/zinc/98/04/22/767980422.db2.gz RRWARTPGIWPMDL-ZNMIVQPWSA-N 1 2 319.405 1.245 20 30 DDEDLO C#CC[NH+]1CCN(Cc2c(Cl)n(C)nc2C(F)(F)F)CC1 ZINC001137949882 767993475 /nfs/dbraw/zinc/99/34/75/767993475.db2.gz NQQWQIXEHIOSLY-UHFFFAOYSA-N 1 2 320.746 1.843 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CCF)nn1 ZINC001096132220 767996256 /nfs/dbraw/zinc/99/62/56/767996256.db2.gz BCYGCYNIPUBBGO-DYEKYZERSA-N 1 2 307.373 1.159 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CCF)nn1 ZINC001096132220 767996260 /nfs/dbraw/zinc/99/62/60/767996260.db2.gz BCYGCYNIPUBBGO-DYEKYZERSA-N 1 2 307.373 1.159 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cn(C)nc2C)cc1 ZINC001038589838 761220428 /nfs/dbraw/zinc/22/04/28/761220428.db2.gz SVVWNHOBANEPOX-GOSISDBHSA-N 1 2 322.412 1.714 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cn(C)nc2C)cc1 ZINC001038589838 761220435 /nfs/dbraw/zinc/22/04/35/761220435.db2.gz SVVWNHOBANEPOX-GOSISDBHSA-N 1 2 322.412 1.714 20 30 DDEDLO Cc1nc(NC[C@H]2CC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001069457625 768027629 /nfs/dbraw/zinc/02/76/29/768027629.db2.gz DFKWIFPBSIUXHO-GXTWGEPZSA-N 1 2 324.388 1.995 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)noc1C(F)(F)F ZINC001038755856 761370180 /nfs/dbraw/zinc/37/01/80/761370180.db2.gz OTHDPRUKEUMVOW-VIFPVBQESA-N 1 2 303.284 1.992 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)noc1C(F)(F)F ZINC001038755856 761370189 /nfs/dbraw/zinc/37/01/89/761370189.db2.gz OTHDPRUKEUMVOW-VIFPVBQESA-N 1 2 303.284 1.992 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1occc1Br ZINC001038814770 761432062 /nfs/dbraw/zinc/43/20/62/761432062.db2.gz MCCKJQKQZDKWDB-JTQLQIEISA-N 1 2 311.179 1.870 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1occc1Br ZINC001038814770 761432064 /nfs/dbraw/zinc/43/20/64/761432064.db2.gz MCCKJQKQZDKWDB-JTQLQIEISA-N 1 2 311.179 1.870 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(NC(=O)NC)c1 ZINC001038917228 761542189 /nfs/dbraw/zinc/54/21/89/761542189.db2.gz OEZGERGUCKEGHR-HNNXBMFYSA-N 1 2 314.389 1.265 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(NC(=O)NC)c1 ZINC001038917228 761542194 /nfs/dbraw/zinc/54/21/94/761542194.db2.gz OEZGERGUCKEGHR-HNNXBMFYSA-N 1 2 314.389 1.265 20 30 DDEDLO CCn1cnc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)c1 ZINC001038920776 761545881 /nfs/dbraw/zinc/54/58/81/761545881.db2.gz GSAZYRWVXRFSJP-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1cnc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)c1 ZINC001038920776 761545888 /nfs/dbraw/zinc/54/58/88/761545888.db2.gz GSAZYRWVXRFSJP-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO C=CCOCC(=O)NCCC[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001155725322 761549181 /nfs/dbraw/zinc/54/91/81/761549181.db2.gz NNRBXTNLMWHMRH-UHFFFAOYSA-N 1 2 310.398 1.166 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cc(C)nn2C)CC1 ZINC001000486144 761797277 /nfs/dbraw/zinc/79/72/77/761797277.db2.gz IPBMWCVWCWBNPN-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cc(C)nn2C)CC1 ZINC001000486144 761797282 /nfs/dbraw/zinc/79/72/82/761797282.db2.gz IPBMWCVWCWBNPN-UHFFFAOYSA-N 1 2 308.813 1.843 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc(OC)c2F)C1 ZINC001108299035 761850321 /nfs/dbraw/zinc/85/03/21/761850321.db2.gz FOXALJYEVZADQM-KRWDZBQOSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc(OC)c2F)C1 ZINC001108299035 761850331 /nfs/dbraw/zinc/85/03/31/761850331.db2.gz FOXALJYEVZADQM-KRWDZBQOSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3(CCC)CC3)CC2=O)C1 ZINC001108573101 762713965 /nfs/dbraw/zinc/71/39/65/762713965.db2.gz MWVMBJISNUWWRZ-AWEZNQCLSA-N 1 2 319.449 1.544 20 30 DDEDLO CC1(C)CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001069619965 768077814 /nfs/dbraw/zinc/07/78/14/768077814.db2.gz TYEJCWVWZRHTQN-OAHLLOKOSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCCCCCC(=O)N1CCC(=O)NCC[N@@H+](CC=C)CC1 ZINC001131371583 768091672 /nfs/dbraw/zinc/09/16/72/768091672.db2.gz KHEYQESMCAZDHK-UHFFFAOYSA-N 1 2 319.449 1.407 20 30 DDEDLO C#CCCCCCC(=O)N1CCC(=O)NCC[N@H+](CC=C)CC1 ZINC001131371583 768091680 /nfs/dbraw/zinc/09/16/80/768091680.db2.gz KHEYQESMCAZDHK-UHFFFAOYSA-N 1 2 319.449 1.407 20 30 DDEDLO C#CCC[N@H+]1CC[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041303223 762946327 /nfs/dbraw/zinc/94/63/27/762946327.db2.gz DAEKNKKJZWSSDK-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001041303223 762946332 /nfs/dbraw/zinc/94/63/32/762946332.db2.gz DAEKNKKJZWSSDK-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@H+]1CC[C@@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001041303223 762946338 /nfs/dbraw/zinc/94/63/38/762946338.db2.gz DAEKNKKJZWSSDK-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001041303223 762946346 /nfs/dbraw/zinc/94/63/46/762946346.db2.gz DAEKNKKJZWSSDK-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO Cc1nc(N(C)C[C@H](C)NC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001109015074 763231321 /nfs/dbraw/zinc/23/13/21/763231321.db2.gz UETFSPBVZQDCME-LBPRGKRZSA-N 1 2 312.377 1.099 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCCN(C(=O)c3cc(-c4ccoc4)[nH]n3)[C@@H]2C1 ZINC001050202943 763315366 /nfs/dbraw/zinc/31/53/66/763315366.db2.gz YDRUKVJFSORBGR-SUMWQHHRSA-N 1 2 324.384 1.839 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCCN(C(=O)c3cc(-c4ccoc4)[nH]n3)[C@@H]2C1 ZINC001050202943 763315380 /nfs/dbraw/zinc/31/53/80/763315380.db2.gz YDRUKVJFSORBGR-SUMWQHHRSA-N 1 2 324.384 1.839 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2C[N@H+](CCF)CCC2(F)F)[nH]1 ZINC001046930867 768127406 /nfs/dbraw/zinc/12/74/06/768127406.db2.gz KTKVIISMLIXMQE-SNVBAGLBSA-N 1 2 314.311 1.543 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2C[N@@H+](CCF)CCC2(F)F)[nH]1 ZINC001046930867 768127408 /nfs/dbraw/zinc/12/74/08/768127408.db2.gz KTKVIISMLIXMQE-SNVBAGLBSA-N 1 2 314.311 1.543 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001050276926 763403690 /nfs/dbraw/zinc/40/36/90/763403690.db2.gz RSCFGBAFCPRLKF-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC(Nc2ncccc2C#N)CC1 ZINC001057346349 763485079 /nfs/dbraw/zinc/48/50/79/763485079.db2.gz PCPVVMKDOUEQOE-UHFFFAOYSA-N 1 2 324.388 1.332 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2c[nH+]cn2C)CCN1c1ccc(C#N)nc1 ZINC001050454664 763616270 /nfs/dbraw/zinc/61/62/70/763616270.db2.gz MSPDSIZFGKGSEC-WBMJQRKESA-N 1 2 324.388 1.013 20 30 DDEDLO C=CC(Nc1cc(=O)n(CCC)c(=O)n1CCC)=[NH+]COC ZINC001170007733 763760124 /nfs/dbraw/zinc/76/01/24/763760124.db2.gz VNJOUKBZUUXXBY-UHFFFAOYSA-N 1 2 308.382 1.430 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3cccc4[nH]cnc43)C[C@H]21 ZINC001042282796 763857395 /nfs/dbraw/zinc/85/73/95/763857395.db2.gz GPNSZPKLHNPBBA-CZUORRHYSA-N 1 2 308.385 1.733 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cccc4[nH]cnc43)C[C@H]21 ZINC001042282796 763857403 /nfs/dbraw/zinc/85/74/03/763857403.db2.gz GPNSZPKLHNPBBA-CZUORRHYSA-N 1 2 308.385 1.733 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001109656387 763917387 /nfs/dbraw/zinc/91/73/87/763917387.db2.gz RLGZOQLEMIXRNM-SNPRPXQTSA-N 1 2 303.410 1.393 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001109656387 763917394 /nfs/dbraw/zinc/91/73/94/763917394.db2.gz RLGZOQLEMIXRNM-SNPRPXQTSA-N 1 2 303.410 1.393 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)c3nonc3C)C[C@H]21 ZINC001042380541 763982722 /nfs/dbraw/zinc/98/27/22/763982722.db2.gz UPSOKKIOQCZQNJ-VXGBXAGGSA-N 1 2 310.785 1.667 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)c3nonc3C)C[C@H]21 ZINC001042380541 763982729 /nfs/dbraw/zinc/98/27/29/763982729.db2.gz UPSOKKIOQCZQNJ-VXGBXAGGSA-N 1 2 310.785 1.667 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(OC)o1)C2 ZINC001109876348 764160796 /nfs/dbraw/zinc/16/07/96/764160796.db2.gz HJWQWEXHKCITGQ-RDBSUJKOSA-N 1 2 318.373 1.273 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(OC)o1)C2 ZINC001109876348 764160802 /nfs/dbraw/zinc/16/08/02/764160802.db2.gz HJWQWEXHKCITGQ-RDBSUJKOSA-N 1 2 318.373 1.273 20 30 DDEDLO N#Cc1ccc(NC[C@H](NC(=O)CCn2cc[nH+]c2)C2CC2)cn1 ZINC001109954060 764227023 /nfs/dbraw/zinc/22/70/23/764227023.db2.gz HSIKZUFSVKWICI-INIZCTEOSA-N 1 2 324.388 1.547 20 30 DDEDLO CN(C(=O)c1ccc(C#N)[nH]1)C1C[NH+](C[C@@H]2CCCCO2)C1 ZINC001042628550 764291515 /nfs/dbraw/zinc/29/15/15/764291515.db2.gz LAGYZIBEAMEZMG-AWEZNQCLSA-N 1 2 302.378 1.212 20 30 DDEDLO C#Cc1cncc(C(=O)NCc2cnn3c2C[N@H+](C(C)C)CC3)c1 ZINC001069872915 768203289 /nfs/dbraw/zinc/20/32/89/768203289.db2.gz ITEMFGHBYUYLCS-UHFFFAOYSA-N 1 2 323.400 1.413 20 30 DDEDLO C#Cc1cncc(C(=O)NCc2cnn3c2C[N@@H+](C(C)C)CC3)c1 ZINC001069872915 768203292 /nfs/dbraw/zinc/20/32/92/768203292.db2.gz ITEMFGHBYUYLCS-UHFFFAOYSA-N 1 2 323.400 1.413 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn(CCOC)nc2C)C1 ZINC001042718061 764383538 /nfs/dbraw/zinc/38/35/38/764383538.db2.gz FSFGTNZROOMCSN-UHFFFAOYSA-N 1 2 306.410 1.170 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccccc1COC ZINC001051001696 764404931 /nfs/dbraw/zinc/40/49/31/764404931.db2.gz VXOWLERLWDBZQB-MRXNPFEDSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccccc1COC ZINC001051001696 764404935 /nfs/dbraw/zinc/40/49/35/764404935.db2.gz VXOWLERLWDBZQB-MRXNPFEDSA-N 1 2 318.417 1.840 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(C(F)(F)F)n(C)n2)C1 ZINC001042787896 764442466 /nfs/dbraw/zinc/44/24/66/764442466.db2.gz PARPMIQJIRQAJU-UHFFFAOYSA-N 1 2 316.327 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CC[C@H](C)F)cc1 ZINC001051059774 764463348 /nfs/dbraw/zinc/46/33/48/764463348.db2.gz CXTQUOHALGQTAB-WMLDXEAASA-N 1 2 318.392 1.847 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CC[C@H](C)F)cc1 ZINC001051059774 764463350 /nfs/dbraw/zinc/46/33/50/764463350.db2.gz CXTQUOHALGQTAB-WMLDXEAASA-N 1 2 318.392 1.847 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCc2cccnc21 ZINC001051084410 764489905 /nfs/dbraw/zinc/48/99/05/764489905.db2.gz QXUAUDCCLOFYBT-JKSUJKDBSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCc2cccnc21 ZINC001051084410 764489908 /nfs/dbraw/zinc/48/99/08/764489908.db2.gz QXUAUDCCLOFYBT-JKSUJKDBSA-N 1 2 315.417 1.505 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ocnc1C1CC1 ZINC001051110822 764515397 /nfs/dbraw/zinc/51/53/97/764515397.db2.gz KULJNGXDIOLZSV-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ocnc1C1CC1 ZINC001051110822 764515404 /nfs/dbraw/zinc/51/54/04/764515404.db2.gz KULJNGXDIOLZSV-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](CCc2ccns2)CC1 ZINC001112671508 764567773 /nfs/dbraw/zinc/56/77/73/764567773.db2.gz KCPHPLAWBKDOCI-CQSZACIVSA-N 1 2 323.462 1.811 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](CCn2cccn2)CC1 ZINC001112671780 764568850 /nfs/dbraw/zinc/56/88/50/764568850.db2.gz QYZUTAZPTNHVHP-OAHLLOKOSA-N 1 2 306.410 1.009 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(C)nc1Cl ZINC001051201792 764612917 /nfs/dbraw/zinc/61/29/17/764612917.db2.gz UWBNLYIKAXJSPR-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(C)nc1Cl ZINC001051201792 764612926 /nfs/dbraw/zinc/61/29/26/764612926.db2.gz UWBNLYIKAXJSPR-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccnc(N3CCCC3)n2)C1 ZINC001043126121 764689759 /nfs/dbraw/zinc/68/97/59/764689759.db2.gz OJNMFNNEBMXNBI-UHFFFAOYSA-N 1 2 315.421 1.409 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1COc2ccccc21 ZINC001051271598 764694589 /nfs/dbraw/zinc/69/45/89/764694589.db2.gz MUUZCVIGVADNMG-GDBMZVCRSA-N 1 2 316.401 1.556 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1COc2ccccc21 ZINC001051271598 764694593 /nfs/dbraw/zinc/69/45/93/764694593.db2.gz MUUZCVIGVADNMG-GDBMZVCRSA-N 1 2 316.401 1.556 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2coc(C(F)(F)F)n2)C1 ZINC001043228464 764779534 /nfs/dbraw/zinc/77/95/34/764779534.db2.gz GUNJJUMQDUFUJZ-UHFFFAOYSA-N 1 2 301.268 1.473 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC(CNc2cc[nH+]c(C)n2)C1 ZINC001112845428 764859251 /nfs/dbraw/zinc/85/92/51/764859251.db2.gz HPDTWICRPUEJPR-LMWSTFAQSA-N 1 2 304.394 1.683 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2cn3cc(F)ccc3n2)C1 ZINC001043486630 764932530 /nfs/dbraw/zinc/93/25/30/764932530.db2.gz JZXLHOBRGXEEPW-UHFFFAOYSA-N 1 2 316.380 1.735 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2c(C)cc(C)[nH]c2=O)C1 ZINC001043614459 765006239 /nfs/dbraw/zinc/00/62/39/765006239.db2.gz XRMUYHQJCYQHKA-UHFFFAOYSA-N 1 2 303.406 1.665 20 30 DDEDLO C=CCC[NH+]1CC(N(C)C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)C1 ZINC001043623286 765011321 /nfs/dbraw/zinc/01/13/21/765011321.db2.gz UCCDTFLZSLPZCX-SWLSCSKDSA-N 1 2 302.422 1.794 20 30 DDEDLO C#CCC1(C(=O)N2CC[NH+](CCOC3CCC3)CC2)CCC1 ZINC001112953379 765040060 /nfs/dbraw/zinc/04/00/60/765040060.db2.gz TYQPCCBWAQEOAT-UHFFFAOYSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc(C)co2)[C@@H](n2ccnn2)C1 ZINC001070004873 768275689 /nfs/dbraw/zinc/27/56/89/768275689.db2.gz YKFGQBRMTFVQRZ-OLZOCXBDSA-N 1 2 301.350 1.021 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)co2)[C@@H](n2ccnn2)C1 ZINC001070004873 768275696 /nfs/dbraw/zinc/27/56/96/768275696.db2.gz YKFGQBRMTFVQRZ-OLZOCXBDSA-N 1 2 301.350 1.021 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@@]23CCO[C@@H]2CCCC3)CC1 ZINC001113092729 765251291 /nfs/dbraw/zinc/25/12/91/765251291.db2.gz ILIYICOHJUELKT-AEFFLSMTSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(OC)ccn2)CC1 ZINC001113181969 765377535 /nfs/dbraw/zinc/37/75/35/765377535.db2.gz QOPLZDAKMGGMRR-UHFFFAOYSA-N 1 2 305.378 1.051 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H](C)C(C)(C)C)C2)CC1 ZINC001052043121 765416367 /nfs/dbraw/zinc/41/63/67/765416367.db2.gz PFXFDPOITDQTJV-SJORKVTESA-N 1 2 319.493 1.910 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)C34CCC(CC3)C4)C2)CC1 ZINC001052044474 765416816 /nfs/dbraw/zinc/41/68/16/765416816.db2.gz HVFYHDFZKZWOGX-PQUAAJSLSA-N 1 2 316.449 1.309 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)C3(F)CCCC3)C2)CC1 ZINC001052045141 765418153 /nfs/dbraw/zinc/41/81/53/765418153.db2.gz QGWKJFSBIJVKKN-CQSZACIVSA-N 1 2 308.401 1.011 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(C)CCC3)C2)CC1 ZINC001052063598 765435686 /nfs/dbraw/zinc/43/56/86/765435686.db2.gz WVNYLUNRSQZTCD-MRXNPFEDSA-N 1 2 303.450 1.418 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(CNC(N)=O)cc2)C1 ZINC001044282472 765447937 /nfs/dbraw/zinc/44/79/37/765447937.db2.gz WSGCYAHDXRSMMD-UHFFFAOYSA-N 1 2 316.405 1.187 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)CC1 ZINC001052096808 765468243 /nfs/dbraw/zinc/46/82/43/765468243.db2.gz PMVJRNHOZHPSDD-OKZBNKHCSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccc(C)cc3)C2)CC1 ZINC001052112203 765480380 /nfs/dbraw/zinc/48/03/80/765480380.db2.gz PALXCZAGOJMLQA-SFHVURJKSA-N 1 2 311.429 1.460 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ncsc2C2CC2)CC1 ZINC001113343371 765592201 /nfs/dbraw/zinc/59/22/01/765592201.db2.gz HIPUQNDEKJUVQB-UHFFFAOYSA-N 1 2 321.446 1.981 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2oc(C3CC3)nc2C)CC1 ZINC001113414962 765678787 /nfs/dbraw/zinc/67/87/87/765678787.db2.gz BAMRLWYAWJLLPS-UHFFFAOYSA-N 1 2 319.405 1.821 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(OCCOC)cc2)CC1 ZINC001113504238 765778043 /nfs/dbraw/zinc/77/80/43/765778043.db2.gz DRWVJUHRXATLNA-UHFFFAOYSA-N 1 2 304.390 1.656 20 30 DDEDLO Cc1nc(N[C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001057765018 765842582 /nfs/dbraw/zinc/84/25/82/765842582.db2.gz LAHZVNGHLJCADD-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@H](C)Oc2ccccc2)CC1 ZINC001113601027 765930512 /nfs/dbraw/zinc/93/05/12/765930512.db2.gz RWQKCUJSUQHRKF-INIZCTEOSA-N 1 2 318.417 1.801 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)n1 ZINC001044962757 765972958 /nfs/dbraw/zinc/97/29/58/765972958.db2.gz PWZJAEMEAIYRCA-RYUDHWBXSA-N 1 2 305.382 1.262 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@@H]2CCCN2C(=O)[C@H](C)C#N)o1 ZINC001044963041 765973071 /nfs/dbraw/zinc/97/30/71/765973071.db2.gz VODSWXQVTPJLOR-NEPJUHHUSA-N 1 2 305.382 1.433 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCC[C@H]2C[NH2+]Cc2cnsn2)c1 ZINC001044978644 765991195 /nfs/dbraw/zinc/99/11/95/765991195.db2.gz JOHYMWZTPOSTEK-LBPRGKRZSA-N 1 2 316.390 1.132 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCN(CCC#N)CC2)c(OC)c1 ZINC001137452863 766243300 /nfs/dbraw/zinc/24/33/00/766243300.db2.gz FPIDZXWHSFVPIA-UHFFFAOYSA-N 1 2 317.389 1.513 20 30 DDEDLO CCOC(=O)[C@@H](C#N)Nc1c(Br)ccc2[nH+]ccn21 ZINC001170377183 766286698 /nfs/dbraw/zinc/28/66/98/766286698.db2.gz GQRIFFAIQIHMKC-SECBINFHSA-N 1 2 323.150 1.964 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001058189444 766297530 /nfs/dbraw/zinc/29/75/30/766297530.db2.gz IEDDSDQZDVPTRQ-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113932745 766422729 /nfs/dbraw/zinc/42/27/29/766422729.db2.gz JMIPOESLAKSNGS-YUELXQCFSA-N 1 2 318.421 1.707 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001113995648 766497036 /nfs/dbraw/zinc/49/70/36/766497036.db2.gz HHZBEAFPCBJNLQ-OJLVUWQFSA-N 1 2 319.405 1.511 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001113995648 766497042 /nfs/dbraw/zinc/49/70/42/766497042.db2.gz HHZBEAFPCBJNLQ-OJLVUWQFSA-N 1 2 319.405 1.511 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001058422445 766514702 /nfs/dbraw/zinc/51/47/02/766514702.db2.gz FBIWAAKTAWXUQU-NSHDSACASA-N 1 2 313.336 1.358 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnon1 ZINC001045827651 766618785 /nfs/dbraw/zinc/61/87/85/766618785.db2.gz QWHICYCALPROCN-KGLIPLIRSA-N 1 2 304.394 1.847 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnon1 ZINC001045827651 766618788 /nfs/dbraw/zinc/61/87/88/766618788.db2.gz QWHICYCALPROCN-KGLIPLIRSA-N 1 2 304.394 1.847 20 30 DDEDLO CN(C[C@@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1)c1ccc(C#N)nc1 ZINC001067802741 766711412 /nfs/dbraw/zinc/71/14/12/766711412.db2.gz MFIHGSJLZNTDHF-INIZCTEOSA-N 1 2 324.388 1.346 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)o1 ZINC001114248117 766815652 /nfs/dbraw/zinc/81/56/52/766815652.db2.gz TZFBTVCURBNGJE-MUYACECFSA-N 1 2 302.378 1.145 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)o1 ZINC001114248117 766815660 /nfs/dbraw/zinc/81/56/60/766815660.db2.gz TZFBTVCURBNGJE-MUYACECFSA-N 1 2 302.378 1.145 20 30 DDEDLO C[C@@H]1CCN(c2ccc(C#N)nc2)C[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067966599 766844461 /nfs/dbraw/zinc/84/44/61/766844461.db2.gz DCKFJNPILPRTFU-DOMZBBRYSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NC[C@H](C)Nc1cc[nH+]c(C)n1 ZINC001098058357 766968632 /nfs/dbraw/zinc/96/86/32/766968632.db2.gz ZJXTYCUFZNKDKG-SMDDNHRTSA-N 1 2 319.409 1.173 20 30 DDEDLO Cc1nc(N2C[C@]3(C)CN(C(=O)[C@H](C)C#N)C[C@]3(C)C2)cc[nH+]1 ZINC001068419296 767282839 /nfs/dbraw/zinc/28/28/39/767282839.db2.gz YQLOGYKGGNBUQN-JLZZUVOBSA-N 1 2 313.405 1.620 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001046429878 767552207 /nfs/dbraw/zinc/55/22/07/767552207.db2.gz VVQXKIXAGZTLMG-WFASDCNBSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001046429878 767552213 /nfs/dbraw/zinc/55/22/13/767552213.db2.gz VVQXKIXAGZTLMG-WFASDCNBSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001046430384 767553694 /nfs/dbraw/zinc/55/36/94/767553694.db2.gz AOWUZWZWJLQDJV-BBRMVZONSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001046430384 767553697 /nfs/dbraw/zinc/55/36/97/767553697.db2.gz AOWUZWZWJLQDJV-BBRMVZONSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ncc(OC)cn2)C1 ZINC001046470538 767605093 /nfs/dbraw/zinc/60/50/93/767605093.db2.gz LHLCYNPUNMWRNE-CQSZACIVSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ncc(OC)cn2)C1 ZINC001046470538 767605098 /nfs/dbraw/zinc/60/50/98/767605098.db2.gz LHLCYNPUNMWRNE-CQSZACIVSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001046498086 767630288 /nfs/dbraw/zinc/63/02/88/767630288.db2.gz KPEHQYDNRQCYTO-XJKCOSOUSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001046498086 767630290 /nfs/dbraw/zinc/63/02/90/767630290.db2.gz KPEHQYDNRQCYTO-XJKCOSOUSA-N 1 2 322.840 1.857 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCn1cc[nH+]c1 ZINC001068929205 767705989 /nfs/dbraw/zinc/70/59/89/767705989.db2.gz ZEPMEEYRZCNTHD-XJKSGUPXSA-N 1 2 324.388 1.641 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2cnns2)C1 ZINC001046629636 767753929 /nfs/dbraw/zinc/75/39/29/767753929.db2.gz FZOHCQKMGZNOHL-VNHYZAJKSA-N 1 2 322.434 1.210 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2cnns2)C1 ZINC001046629636 767753936 /nfs/dbraw/zinc/75/39/36/767753936.db2.gz FZOHCQKMGZNOHL-VNHYZAJKSA-N 1 2 322.434 1.210 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cc(COC)on2)C1 ZINC001046677495 767795628 /nfs/dbraw/zinc/79/56/28/767795628.db2.gz BEVCNSGHXBFQDF-AWEZNQCLSA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cc(COC)on2)C1 ZINC001046677495 767795634 /nfs/dbraw/zinc/79/56/34/767795634.db2.gz BEVCNSGHXBFQDF-AWEZNQCLSA-N 1 2 313.785 1.768 20 30 DDEDLO CCC(=CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1)CC ZINC001130964605 767825850 /nfs/dbraw/zinc/82/58/50/767825850.db2.gz ULTIMLWPBKYGHS-HUUCEWRRSA-N 1 2 315.421 1.574 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCn2cncn2)CC[C@H]1C ZINC001131814113 768397833 /nfs/dbraw/zinc/39/78/33/768397833.db2.gz SUUYIJXRVBGILK-OLZOCXBDSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCn2cncn2)CC[C@H]1C ZINC001131814113 768397841 /nfs/dbraw/zinc/39/78/41/768397841.db2.gz SUUYIJXRVBGILK-OLZOCXBDSA-N 1 2 311.817 1.390 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@H]2C)cn1 ZINC001132021901 768559015 /nfs/dbraw/zinc/55/90/15/768559015.db2.gz RLNICZUDFRNCKU-CVEARBPZSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@H]2C)cn1 ZINC001132021901 768559019 /nfs/dbraw/zinc/55/90/19/768559019.db2.gz RLNICZUDFRNCKU-CVEARBPZSA-N 1 2 314.433 1.914 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C(C)(C)C(N)=O)CC[C@@H]1C ZINC001132120790 768630557 /nfs/dbraw/zinc/63/05/57/768630557.db2.gz XMSDZRDRSICMPK-WDEREUQCSA-N 1 2 301.818 1.220 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C(C)(C)C(N)=O)CC[C@@H]1C ZINC001132120790 768630560 /nfs/dbraw/zinc/63/05/60/768630560.db2.gz XMSDZRDRSICMPK-WDEREUQCSA-N 1 2 301.818 1.220 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(CC(C)C)s2)C1 ZINC001047647868 768636640 /nfs/dbraw/zinc/63/66/40/768636640.db2.gz MKZVZXHNEXADDO-STQMWFEESA-N 1 2 323.462 1.645 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(CC(C)C)s2)C1 ZINC001047647868 768636642 /nfs/dbraw/zinc/63/66/42/768636642.db2.gz MKZVZXHNEXADDO-STQMWFEESA-N 1 2 323.462 1.645 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001070888625 768772197 /nfs/dbraw/zinc/77/21/97/768772197.db2.gz ZHCOCAUHDJEHIN-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001070888625 768772202 /nfs/dbraw/zinc/77/22/02/768772202.db2.gz ZHCOCAUHDJEHIN-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)CC2CC2)C1 ZINC001070902258 768777639 /nfs/dbraw/zinc/77/76/39/768777639.db2.gz ZNWUTYMSOKHUKN-KFWWJZLASA-N 1 2 319.405 1.078 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)CC2CC2)C1 ZINC001070902258 768777643 /nfs/dbraw/zinc/77/76/43/768777643.db2.gz ZNWUTYMSOKHUKN-KFWWJZLASA-N 1 2 319.405 1.078 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)CC(C)C)C1 ZINC001070901938 768777782 /nfs/dbraw/zinc/77/77/82/768777782.db2.gz OTLVYTCVDDMTLO-KFWWJZLASA-N 1 2 321.421 1.324 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)CC(C)C)C1 ZINC001070901938 768777783 /nfs/dbraw/zinc/77/77/83/768777783.db2.gz OTLVYTCVDDMTLO-KFWWJZLASA-N 1 2 321.421 1.324 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CCC2(C)CC2)C[N@@H+]1CC(=O)NCC#N ZINC001132397034 768803283 /nfs/dbraw/zinc/80/32/83/768803283.db2.gz OUMNFAKUXKCYDK-UONOGXRCSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CCC2(C)CC2)C[N@H+]1CC(=O)NCC#N ZINC001132397034 768803290 /nfs/dbraw/zinc/80/32/90/768803290.db2.gz OUMNFAKUXKCYDK-UONOGXRCSA-N 1 2 320.437 1.176 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@H]2CCC[C@@H]2C)CC1 ZINC001070966853 768821165 /nfs/dbraw/zinc/82/11/65/768821165.db2.gz QQOVOYQUWKKWAU-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@H]2CCC[C@@H]2C)CC1 ZINC001070966853 768821176 /nfs/dbraw/zinc/82/11/76/768821176.db2.gz QQOVOYQUWKKWAU-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)COCCOCC)CC[C@@H]1C ZINC001132518940 768933232 /nfs/dbraw/zinc/93/32/32/768933232.db2.gz QKDLYXJXCYNQGV-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)COCCOCC)CC[C@@H]1C ZINC001132518940 768933226 /nfs/dbraw/zinc/93/32/26/768933226.db2.gz QKDLYXJXCYNQGV-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001132569832 768993485 /nfs/dbraw/zinc/99/34/85/768993485.db2.gz ZHEYWYYCIUYXCM-RKDXNWHRSA-N 1 2 302.325 1.671 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(c2cnc(F)cn2)CC1 ZINC001096288518 768998214 /nfs/dbraw/zinc/99/82/14/768998214.db2.gz NHSXUWLCGFTDDU-UHFFFAOYSA-N 1 2 321.400 1.210 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001132593172 769014765 /nfs/dbraw/zinc/01/47/65/769014765.db2.gz ULDRMAIRDMBUDL-ZDUSSCGKSA-N 1 2 322.409 1.567 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001132668065 769077214 /nfs/dbraw/zinc/07/72/14/769077214.db2.gz ZXSZWSXKDZTYIA-VIFPVBQESA-N 1 2 302.325 1.815 20 30 DDEDLO N#Cc1cnccc1N1CC[C@@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001096395383 769649197 /nfs/dbraw/zinc/64/91/97/769649197.db2.gz MPVGZXNJWQVDMN-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001133383375 769762860 /nfs/dbraw/zinc/76/28/60/769762860.db2.gz OSMRFZOYIPIIQJ-CQSZACIVSA-N 1 2 312.439 1.745 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cn2nccc2C)CC[C@@H]1C ZINC001071864386 770193520 /nfs/dbraw/zinc/19/35/20/770193520.db2.gz CBBWEQPDNIJYHE-JSGCOSHPSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cn2nccc2C)CC[C@@H]1C ZINC001071864386 770193525 /nfs/dbraw/zinc/19/35/25/770193525.db2.gz CBBWEQPDNIJYHE-JSGCOSHPSA-N 1 2 310.829 1.913 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2CC[C@H](C)[N@@H+](CC(=C)Cl)C2)nn1 ZINC001071881165 770218909 /nfs/dbraw/zinc/21/89/09/770218909.db2.gz WFDACOBKJGICSI-QWHCGFSZSA-N 1 2 323.828 1.799 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2CC[C@H](C)[N@H+](CC(=C)Cl)C2)nn1 ZINC001071881165 770218917 /nfs/dbraw/zinc/21/89/17/770218917.db2.gz WFDACOBKJGICSI-QWHCGFSZSA-N 1 2 323.828 1.799 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001072000530 770415100 /nfs/dbraw/zinc/41/51/00/770415100.db2.gz VYNPKEPANQCWKA-ZIAGYGMSSA-N 1 2 316.405 1.109 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1cnns1 ZINC001049374325 770752543 /nfs/dbraw/zinc/75/25/43/770752543.db2.gz YTKKPNOSONPBOE-RTXFEEFZSA-N 1 2 305.407 1.263 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1cnns1 ZINC001049374325 770752547 /nfs/dbraw/zinc/75/25/47/770752547.db2.gz YTKKPNOSONPBOE-RTXFEEFZSA-N 1 2 305.407 1.263 20 30 DDEDLO Cc1ccnc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)n1 ZINC001049381417 770761101 /nfs/dbraw/zinc/76/11/01/770761101.db2.gz KLLVCNWRACLGIU-AEGPPILISA-N 1 2 313.405 1.510 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)n1 ZINC001049381417 770761107 /nfs/dbraw/zinc/76/11/07/770761107.db2.gz KLLVCNWRACLGIU-AEGPPILISA-N 1 2 313.405 1.510 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)n1 ZINC001049466723 770888510 /nfs/dbraw/zinc/88/85/10/770888510.db2.gz JBACOPXOYWPNSB-GJZGRUSLSA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)n1 ZINC001049466723 770888519 /nfs/dbraw/zinc/88/85/19/770888519.db2.gz JBACOPXOYWPNSB-GJZGRUSLSA-N 1 2 314.389 1.357 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cccc2[nH]cnc21 ZINC001049807320 771175822 /nfs/dbraw/zinc/17/58/22/771175822.db2.gz LQSJHABOFXZPSM-CVEARBPZSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cccc2[nH]cnc21 ZINC001049807320 771175824 /nfs/dbraw/zinc/17/58/24/771175824.db2.gz LQSJHABOFXZPSM-CVEARBPZSA-N 1 2 308.385 1.875 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+]Cc1ncc(C)o1 ZINC001135058019 771296872 /nfs/dbraw/zinc/29/68/72/771296872.db2.gz AQLXZNDRAWYLSW-ZFWWWQNUSA-N 1 2 307.394 1.560 20 30 DDEDLO N#Cc1cccnc1N[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)C1CC1 ZINC001096645846 771369359 /nfs/dbraw/zinc/36/93/59/771369359.db2.gz FAGDGMMNMYNZRM-AWEZNQCLSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001097088991 771619065 /nfs/dbraw/zinc/61/90/65/771619065.db2.gz XUYNVFJNZGPUBP-HAQNSBGRSA-N 1 2 318.425 1.517 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@@H](CNC(=O)CCn2cc[nH+]c2)C1 ZINC001091387307 772723332 /nfs/dbraw/zinc/72/33/32/772723332.db2.gz NWUVGQXDGNHUIL-OTVXOJSOSA-N 1 2 324.388 1.547 20 30 DDEDLO Cc1nonc1C[N@@H+]1C[C@@H]2CN(C(=O)C#CC3CC3)C[C@]2(C)C1 ZINC001091659481 773235042 /nfs/dbraw/zinc/23/50/42/773235042.db2.gz KMANSYRXEDJBTF-PBHICJAKSA-N 1 2 314.389 1.072 20 30 DDEDLO Cc1nonc1C[N@H+]1C[C@@H]2CN(C(=O)C#CC3CC3)C[C@]2(C)C1 ZINC001091659481 773235057 /nfs/dbraw/zinc/23/50/57/773235057.db2.gz KMANSYRXEDJBTF-PBHICJAKSA-N 1 2 314.389 1.072 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2nc(C)c[nH]2)C1 ZINC001073810356 773433877 /nfs/dbraw/zinc/43/38/77/773433877.db2.gz QLJPIGRVXWDRHQ-GFCCVEGCSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2nc(C)c[nH]2)C1 ZINC001073810356 773433881 /nfs/dbraw/zinc/43/38/81/773433881.db2.gz QLJPIGRVXWDRHQ-GFCCVEGCSA-N 1 2 312.801 1.291 20 30 DDEDLO C=CC[N@@H+]1CCCO[C@H](CNC(=O)c2cccc3nc[nH]c32)C1 ZINC001073829974 773450928 /nfs/dbraw/zinc/45/09/28/773450928.db2.gz DQUSEKMASYOSKE-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCCO[C@H](CNC(=O)c2cccc3nc[nH]c32)C1 ZINC001073829974 773450930 /nfs/dbraw/zinc/45/09/30/773450930.db2.gz DQUSEKMASYOSKE-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C[C@@H](C#N)c1cccc(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)c1 ZINC001148621794 773553739 /nfs/dbraw/zinc/55/37/39/773553739.db2.gz FXKVSMOHSXFXCK-KBPBESRZSA-N 1 2 301.390 1.764 20 30 DDEDLO C[C@@H](C#N)c1cccc(C(=O)NCC[N@H+]2CCOC[C@@H]2C)c1 ZINC001148621794 773553744 /nfs/dbraw/zinc/55/37/44/773553744.db2.gz FXKVSMOHSXFXCK-KBPBESRZSA-N 1 2 301.390 1.764 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cncc(F)c3)C[C@@H]21 ZINC001074208408 773731642 /nfs/dbraw/zinc/73/16/42/773731642.db2.gz HRVYKHCVNFXLTF-HOTGVXAUSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cncc(F)c3)C[C@@H]21 ZINC001074208408 773731644 /nfs/dbraw/zinc/73/16/44/773731644.db2.gz HRVYKHCVNFXLTF-HOTGVXAUSA-N 1 2 317.364 1.159 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3(O)CCC3)C[C@@H]21 ZINC001074241494 773765196 /nfs/dbraw/zinc/76/51/96/773765196.db2.gz JISAZKAORCXPTM-LSDHHAIUSA-N 1 2 308.422 1.169 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC3(O)CCC3)C[C@@H]21 ZINC001074241494 773765202 /nfs/dbraw/zinc/76/52/02/773765202.db2.gz JISAZKAORCXPTM-LSDHHAIUSA-N 1 2 308.422 1.169 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001074262796 773776269 /nfs/dbraw/zinc/77/62/69/773776269.db2.gz AZAOHCYFHZQJCY-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]c3C)C[C@H]21 ZINC001074346279 773843451 /nfs/dbraw/zinc/84/34/51/773843451.db2.gz LVLASSGVTRPBCF-IAGOWNOFSA-N 1 2 315.417 1.570 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]c3C)C[C@H]21 ZINC001074346279 773843456 /nfs/dbraw/zinc/84/34/56/773843456.db2.gz LVLASSGVTRPBCF-IAGOWNOFSA-N 1 2 315.417 1.570 20 30 DDEDLO Cc1cc(N2CC[C@H](NC(=O)[C@H](C)C#N)[C@H]2C)nc(C2CC2)[nH+]1 ZINC001091945651 773886400 /nfs/dbraw/zinc/88/64/00/773886400.db2.gz URKVMHMCNVUDDX-QKCSRTOESA-N 1 2 313.405 1.906 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(C)CCC1)c1nccn12 ZINC001092386691 774096667 /nfs/dbraw/zinc/09/66/67/774096667.db2.gz NDKOCVXRYBFPNL-AWEZNQCLSA-N 1 2 312.417 1.669 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccco1)c1nccn12 ZINC001092404630 774104334 /nfs/dbraw/zinc/10/43/34/774104334.db2.gz ZZQVKUMZAKHADH-AWEZNQCLSA-N 1 2 312.373 1.477 20 30 DDEDLO C[C@H](CC(=O)NC[C@H](C)Nc1ccncc1C#N)n1cc[nH+]c1 ZINC001098303362 774340659 /nfs/dbraw/zinc/34/06/59/774340659.db2.gz RMNZUGCTLHUEAY-QWHCGFSZSA-N 1 2 312.377 1.140 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001075142658 774379388 /nfs/dbraw/zinc/37/93/88/774379388.db2.gz VDEQJJRELMHKGL-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001075142656 774379740 /nfs/dbraw/zinc/37/97/40/774379740.db2.gz VDEQJJRELMHKGL-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCN(c2nc(C)[nH+]c(C)c2C)CC1 ZINC001093179344 774474235 /nfs/dbraw/zinc/47/42/35/774474235.db2.gz ZPSKZTYIROMYAL-CQSZACIVSA-N 1 2 316.405 1.089 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098702805 774647132 /nfs/dbraw/zinc/64/71/32/774647132.db2.gz BKKWSWOMKVYVEK-HNNXBMFYSA-N 1 2 321.400 1.304 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)Cn3cc(C)cn3)CC2)C1 ZINC001093512653 774761051 /nfs/dbraw/zinc/76/10/51/774761051.db2.gz RDIDXBOSCSGGIS-UHFFFAOYSA-N 1 2 318.421 1.069 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)CC2)C1 ZINC001093521973 774773486 /nfs/dbraw/zinc/77/34/86/774773486.db2.gz LSANXIKNHFTRLI-FVQHAEBGSA-N 1 2 304.434 1.910 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C3(C)CCOCC3)CC2)C1 ZINC001093584606 774861886 /nfs/dbraw/zinc/86/18/86/774861886.db2.gz XMZWPXOKYJYUAW-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)CC2)C1 ZINC001093561712 774887621 /nfs/dbraw/zinc/88/76/21/774887621.db2.gz VUHFEHPMIKIGIO-FVQHAEBGSA-N 1 2 320.433 1.147 20 30 DDEDLO C#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)/C(C)=C/C)c2)nn1 ZINC001099603442 775060807 /nfs/dbraw/zinc/06/08/07/775060807.db2.gz GPUQBZVQGGBTKB-LHHJGKSTSA-N 1 2 323.400 1.573 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)sc2C)C[C@H]1O ZINC001099726041 775189133 /nfs/dbraw/zinc/18/91/33/775189133.db2.gz CVGBJFDFEKRFEC-DZGCQCFKSA-N 1 2 323.462 1.778 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)sc2C)C[C@H]1O ZINC001099726041 775189140 /nfs/dbraw/zinc/18/91/40/775189140.db2.gz CVGBJFDFEKRFEC-DZGCQCFKSA-N 1 2 323.462 1.778 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2ccoc2)[C@H](O)C1 ZINC001099777639 775269408 /nfs/dbraw/zinc/26/94/08/775269408.db2.gz BLZRJJWGTYQRIR-ZIAGYGMSSA-N 1 2 312.797 1.516 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2ccoc2)[C@H](O)C1 ZINC001099777639 775269413 /nfs/dbraw/zinc/26/94/13/775269413.db2.gz BLZRJJWGTYQRIR-ZIAGYGMSSA-N 1 2 312.797 1.516 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001094051500 775375302 /nfs/dbraw/zinc/37/53/02/775375302.db2.gz XRSCSOGNKSKDPH-LLVKDONJSA-N 1 2 302.382 1.494 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccc(C)cc3)nn2)C1 ZINC001094336038 775722014 /nfs/dbraw/zinc/72/20/14/775722014.db2.gz UTULTSOXNLLSOF-UHFFFAOYSA-N 1 2 311.389 1.559 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3CCCCC3)CC2=O)C1 ZINC001094697049 776207612 /nfs/dbraw/zinc/20/76/12/776207612.db2.gz FJDCNUFAOBQWFW-OAHLLOKOSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@]3(C)C=CCC3)CC2=O)C1 ZINC001094770982 776259451 /nfs/dbraw/zinc/25/94/51/776259451.db2.gz GBMLIJPHQZSCQR-KBXCAEBGSA-N 1 2 317.433 1.320 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@]3(C)C=CCC3)CC2=O)C1 ZINC001094770985 776259859 /nfs/dbraw/zinc/25/98/59/776259859.db2.gz GBMLIJPHQZSCQR-RDTXWAMCSA-N 1 2 317.433 1.320 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCCN1c1ccc(C#N)cn1 ZINC001100855798 776637535 /nfs/dbraw/zinc/63/75/35/776637535.db2.gz MRPRTPFGPPWZOA-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CNC(=O)CCc2c[nH]c[nH+]2)nc1 ZINC001100918910 776702117 /nfs/dbraw/zinc/70/21/17/776702117.db2.gz KWAUCORXLOALIE-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CNC(=O)CCc2c[nH+]c[nH]2)nc1 ZINC001100918910 776702126 /nfs/dbraw/zinc/70/21/26/776702126.db2.gz KWAUCORXLOALIE-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1CCN(c2ccncc2C#N)C1 ZINC001101002029 776809643 /nfs/dbraw/zinc/80/96/43/776809643.db2.gz GINBGDHMRKZZHE-CYBMUJFWSA-N 1 2 324.388 1.170 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H]([N@@H+]2CCCNC(=O)C2)C[C@H]1C#N ZINC001172981261 776950991 /nfs/dbraw/zinc/95/09/91/776950991.db2.gz UWWMVFPZKUPXNZ-STQMWFEESA-N 1 2 322.409 1.100 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H]([N@H+]2CCCNC(=O)C2)C[C@H]1C#N ZINC001172981261 776950993 /nfs/dbraw/zinc/95/09/93/776950993.db2.gz UWWMVFPZKUPXNZ-STQMWFEESA-N 1 2 322.409 1.100 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001095016719 776992776 /nfs/dbraw/zinc/99/27/76/776992776.db2.gz ACPPTBYEOIUNLJ-LLVKDONJSA-N 1 2 300.366 1.342 20 30 DDEDLO C#Cc1cncc(C(=O)NCCN(CCC)c2cc[nH+]c(C)n2)c1 ZINC001101236193 777003624 /nfs/dbraw/zinc/00/36/24/777003624.db2.gz QSLQDNNWEPKNKI-UHFFFAOYSA-N 1 2 323.400 1.808 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H](N(C)c2nc(C)[nH+]c(C)c2C)C1 ZINC001095094720 777090554 /nfs/dbraw/zinc/09/05/54/777090554.db2.gz DTWMSRDPRRVBMM-HNNXBMFYSA-N 1 2 318.421 1.642 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCN(c1ccc(C#N)cn1)C1CC1 ZINC001101373648 777103773 /nfs/dbraw/zinc/10/37/73/777103773.db2.gz WZAUSWNJMPCHIM-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCOCC)c1nccn12 ZINC001101615189 777306961 /nfs/dbraw/zinc/30/69/61/777306961.db2.gz BVHZPDYHSHAPMG-CYBMUJFWSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](OC)C1CC1)c1nccn12 ZINC001101625344 777320062 /nfs/dbraw/zinc/32/00/62/777320062.db2.gz DMBAEYPREOWIPD-UONOGXRCSA-N 1 2 316.405 1.066 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC2(C[NH+](CC#CC)C2)n2ccnc21 ZINC001101639961 777336749 /nfs/dbraw/zinc/33/67/49/777336749.db2.gz RRWMZBUFOFBXPH-INIZCTEOSA-N 1 2 324.428 1.672 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H](C)COC)c1nccn12 ZINC001101649568 777345196 /nfs/dbraw/zinc/34/51/96/777345196.db2.gz PXWIEVDAOSBOSD-UONOGXRCSA-N 1 2 318.421 1.314 20 30 DDEDLO C#Cc1cc(Nc2ccc(S(=O)(=O)NC(C)C)cc2)cc[nH+]1 ZINC001174285198 777440797 /nfs/dbraw/zinc/44/07/97/777440797.db2.gz RSNYSZDIOJCLMD-UHFFFAOYSA-N 1 2 315.398 1.915 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)CCNc1cc(C)[nH+]c(C(C)C)n1 ZINC001101781538 777510999 /nfs/dbraw/zinc/51/09/99/777510999.db2.gz VNSGUAALUQXGEY-AWEZNQCLSA-N 1 2 318.421 1.817 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNc1ncnc2c1C[N@H+](C)CC2 ZINC001101802409 777537526 /nfs/dbraw/zinc/53/75/26/777537526.db2.gz STWLTXNPNWAURP-UHFFFAOYSA-N 1 2 317.437 1.691 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001101802409 777537533 /nfs/dbraw/zinc/53/75/33/777537533.db2.gz STWLTXNPNWAURP-UHFFFAOYSA-N 1 2 317.437 1.691 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001101848917 777600951 /nfs/dbraw/zinc/60/09/51/777600951.db2.gz NZWUZYYLBZWKLA-CQSZACIVSA-N 1 2 324.388 1.283 20 30 DDEDLO CNc1ccc(C#N)cc1NC(=O)c1cc2n(n1)CCC[N@H+](C)C2 ZINC001175088058 777688341 /nfs/dbraw/zinc/68/83/41/777688341.db2.gz GFZARYQHYPQVED-UHFFFAOYSA-N 1 2 324.388 1.884 20 30 DDEDLO CNc1ccc(C#N)cc1NC(=O)c1cc2n(n1)CCC[N@@H+](C)C2 ZINC001175088058 777688343 /nfs/dbraw/zinc/68/83/43/777688343.db2.gz GFZARYQHYPQVED-UHFFFAOYSA-N 1 2 324.388 1.884 20 30 DDEDLO Cc1nc([C@H](C)[N@@H+]2C[C@@H](CNC(=O)C#CC(C)C)[C@H](C)C2)no1 ZINC001101947756 777717239 /nfs/dbraw/zinc/71/72/39/777717239.db2.gz GLTFTFICWKPNJS-VNHYZAJKSA-N 1 2 318.421 1.783 20 30 DDEDLO Cc1nc([C@H](C)[N@H+]2C[C@@H](CNC(=O)C#CC(C)C)[C@H](C)C2)no1 ZINC001101947756 777717249 /nfs/dbraw/zinc/71/72/49/777717249.db2.gz GLTFTFICWKPNJS-VNHYZAJKSA-N 1 2 318.421 1.783 20 30 DDEDLO N#Cc1ccn2ncc(C[N@H+]3CC[C@@](N)(C(F)(F)F)C3)c2c1 ZINC001206252052 777894962 /nfs/dbraw/zinc/89/49/62/777894962.db2.gz VKZRVLKNOHANCX-ZDUSSCGKSA-N 1 2 309.295 1.671 20 30 DDEDLO N#Cc1ccn2ncc(C[N@@H+]3CC[C@@](N)(C(F)(F)F)C3)c2c1 ZINC001206252052 777894973 /nfs/dbraw/zinc/89/49/73/777894973.db2.gz VKZRVLKNOHANCX-ZDUSSCGKSA-N 1 2 309.295 1.671 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102439465 778141496 /nfs/dbraw/zinc/14/14/96/778141496.db2.gz DQWYDXGUORSVTR-OAHLLOKOSA-N 1 2 300.406 1.873 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccco3)C[C@@H]21 ZINC001176889033 778296771 /nfs/dbraw/zinc/29/67/71/778296771.db2.gz ZCWJHYHSDOLLFO-LSDHHAIUSA-N 1 2 320.389 1.398 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccco3)C[C@@H]21 ZINC001176889033 778296773 /nfs/dbraw/zinc/29/67/73/778296773.db2.gz ZCWJHYHSDOLLFO-LSDHHAIUSA-N 1 2 320.389 1.398 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N(C)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102715737 778338821 /nfs/dbraw/zinc/33/88/21/778338821.db2.gz HOYJTDNOKCZPKH-JSGCOSHPSA-N 1 2 304.394 1.413 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/C(C)(C)C)C[C@H]21 ZINC001176955035 778345880 /nfs/dbraw/zinc/34/58/80/778345880.db2.gz MGBOCBVHUWEBHD-JDFMTEHDSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/C(C)(C)C)C[C@H]21 ZINC001176955035 778345887 /nfs/dbraw/zinc/34/58/87/778345887.db2.gz MGBOCBVHUWEBHD-JDFMTEHDSA-N 1 2 304.434 1.914 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/C3CC3)C[C@H]21 ZINC001176976842 778363273 /nfs/dbraw/zinc/36/32/73/778363273.db2.gz LYFXHFUXVMZAIJ-SDKBWNRFSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/C3CC3)C[C@H]21 ZINC001176976842 778363279 /nfs/dbraw/zinc/36/32/79/778363279.db2.gz LYFXHFUXVMZAIJ-SDKBWNRFSA-N 1 2 320.433 1.457 20 30 DDEDLO CN(C(=O)CCc1c[nH]c[nH+]1)[C@H]1CCN(c2ncccc2C#N)C1 ZINC001102766710 778381427 /nfs/dbraw/zinc/38/14/27/778381427.db2.gz HUBASKRMZCWWFJ-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(C(=O)CCc1c[nH+]c[nH]1)[C@H]1CCN(c2ncccc2C#N)C1 ZINC001102766710 778381431 /nfs/dbraw/zinc/38/14/31/778381431.db2.gz HUBASKRMZCWWFJ-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCOCC3CC3)C[C@@H]21 ZINC001177011560 778390327 /nfs/dbraw/zinc/39/03/27/778390327.db2.gz CXAMFKVFLSDYTQ-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCOCC3CC3)C[C@@H]21 ZINC001177011560 778390332 /nfs/dbraw/zinc/39/03/32/778390332.db2.gz CXAMFKVFLSDYTQ-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCCOCC(=O)N(CC)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102810780 778420223 /nfs/dbraw/zinc/42/02/23/778420223.db2.gz HGAFEAVPQXMJIT-OAHLLOKOSA-N 1 2 318.421 1.805 20 30 DDEDLO CCN(C(=O)Cc1[nH]cc[nH+]1)[C@H]1CCN(c2ccncc2C#N)C1 ZINC001102815846 778423683 /nfs/dbraw/zinc/42/36/83/778423683.db2.gz KQSQYMKWFJDEDF-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CC)C[C@H]21 ZINC001177074428 778429228 /nfs/dbraw/zinc/42/92/28/778429228.db2.gz WNGMBUAWOJGMFW-CVEARBPZSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CC)C[C@H]21 ZINC001177074428 778429231 /nfs/dbraw/zinc/42/92/31/778429231.db2.gz WNGMBUAWOJGMFW-CVEARBPZSA-N 1 2 324.465 1.927 20 30 DDEDLO C[C@@H](C#N)N(C)C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)OC(C)(C)C ZINC001177086258 778440539 /nfs/dbraw/zinc/44/05/39/778440539.db2.gz GYXYKRJIQTYARE-JQWIXIFHSA-N 1 2 321.381 1.216 20 30 DDEDLO C[C@@H](C#N)N(C)C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)OC(C)(C)C ZINC001177086258 778440543 /nfs/dbraw/zinc/44/05/43/778440543.db2.gz GYXYKRJIQTYARE-JQWIXIFHSA-N 1 2 321.381 1.216 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102878057 778467204 /nfs/dbraw/zinc/46/72/04/778467204.db2.gz OMFQTYLPROKWJQ-DZGCQCFKSA-N 1 2 316.405 1.298 20 30 DDEDLO CC#CC[NH2+]CCCN(CC1CCCC1)C(=O)Cc1ncn[nH]1 ZINC001177463035 778598217 /nfs/dbraw/zinc/59/82/17/778598217.db2.gz LGNXNDCGRUEPLZ-UHFFFAOYSA-N 1 2 317.437 1.369 20 30 DDEDLO CC[C@@H](CNC(=O)Cn1cc[nH+]c1)Nc1snc(C)c1C#N ZINC001103117249 778648860 /nfs/dbraw/zinc/64/88/60/778648860.db2.gz FFYPIVIACDOSDH-NSHDSACASA-N 1 2 318.406 1.527 20 30 DDEDLO CC[C@H](CNC(=O)Cc1c[nH+]cn1C)Nc1ccc(C#N)cn1 ZINC001103150791 778669916 /nfs/dbraw/zinc/66/99/16/778669916.db2.gz DSFKVZCKYKQULH-CYBMUJFWSA-N 1 2 312.377 1.236 20 30 DDEDLO C=C[C@@H]1C[C@]1(NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C(=O)OCC ZINC001178118690 778881392 /nfs/dbraw/zinc/88/13/92/778881392.db2.gz DAZCZDZIORFGTR-XHBSWPGZSA-N 1 2 303.362 1.070 20 30 DDEDLO C[C@@H](CNC(=O)Cn1cc[nH+]c1)CNc1ncc(C#N)cc1F ZINC001104001846 779235294 /nfs/dbraw/zinc/23/52/94/779235294.db2.gz FAHVOFSXQNTMCE-NSHDSACASA-N 1 2 316.340 1.153 20 30 DDEDLO N#Cc1cnc(NC(=O)[C@H]2C[N@H+](Cc3ccccc3)CCO2)cn1 ZINC001178987030 779252326 /nfs/dbraw/zinc/25/23/26/779252326.db2.gz VJMPBDGVQYHTGX-OAHLLOKOSA-N 1 2 323.356 1.188 20 30 DDEDLO N#Cc1cnc(NC(=O)[C@H]2C[N@@H+](Cc3ccccc3)CCO2)cn1 ZINC001178987030 779252332 /nfs/dbraw/zinc/25/23/32/779252332.db2.gz VJMPBDGVQYHTGX-OAHLLOKOSA-N 1 2 323.356 1.188 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104176748 779353262 /nfs/dbraw/zinc/35/32/62/779353262.db2.gz BZXBYWRZEUHVNO-LLVKDONJSA-N 1 2 316.409 1.740 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111954533 779577191 /nfs/dbraw/zinc/57/71/91/779577191.db2.gz CXGQUXULNSDLBC-AWEZNQCLSA-N 1 2 304.394 1.781 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([NH2+]Cc2csnn2)[C@@H]1C ZINC001180268489 779685717 /nfs/dbraw/zinc/68/57/17/779685717.db2.gz CRRUNJHRKXNEGY-GVXVVHGQSA-N 1 2 310.423 1.208 20 30 DDEDLO C#CCCCCC(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115191521 779935875 /nfs/dbraw/zinc/93/58/75/779935875.db2.gz HQZYNILNUUTPKN-UHFFFAOYSA-N 1 2 318.421 1.548 20 30 DDEDLO C#CC1(F)CN(C(=O)[C@@H]2CCCC[N@@H+]2Cc2cccnc2)C1 ZINC001119284656 781391987 /nfs/dbraw/zinc/39/19/87/781391987.db2.gz IWUSSQHRIGOVTL-HNNXBMFYSA-N 1 2 301.365 1.620 20 30 DDEDLO C#CC1(F)CN(C(=O)[C@@H]2CCCC[N@H+]2Cc2cccnc2)C1 ZINC001119284656 781391992 /nfs/dbraw/zinc/39/19/92/781391992.db2.gz IWUSSQHRIGOVTL-HNNXBMFYSA-N 1 2 301.365 1.620 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCNC(=O)[C@H]2C[C@@H]2C)c1 ZINC001267183498 837487185 /nfs/dbraw/zinc/48/71/85/837487185.db2.gz RYPYMHOVJPCAAE-BBRMVZONSA-N 1 2 313.401 1.310 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCNC(=O)[C@H]2C[C@@H]2C)c1 ZINC001267183498 837487192 /nfs/dbraw/zinc/48/71/92/837487192.db2.gz RYPYMHOVJPCAAE-BBRMVZONSA-N 1 2 313.401 1.310 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H]2CNC(=O)C#CC(C)C)on1 ZINC001267267303 837704506 /nfs/dbraw/zinc/70/45/06/837704506.db2.gz PQQRUEVHVOUMJU-CYBMUJFWSA-N 1 2 305.378 1.423 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H]2CNC(=O)C#CC(C)C)on1 ZINC001267267303 837704514 /nfs/dbraw/zinc/70/45/14/837704514.db2.gz PQQRUEVHVOUMJU-CYBMUJFWSA-N 1 2 305.378 1.423 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CC[N@@H+](Cc2ncnn2CC)C1 ZINC001267302259 837784600 /nfs/dbraw/zinc/78/46/00/837784600.db2.gz OBJIIJGJPHSMSC-RHSMWYFYSA-N 1 2 319.453 1.838 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CC[N@H+](Cc2ncnn2CC)C1 ZINC001267302259 837784609 /nfs/dbraw/zinc/78/46/09/837784609.db2.gz OBJIIJGJPHSMSC-RHSMWYFYSA-N 1 2 319.453 1.838 20 30 DDEDLO CCn1ccc(C[N@H+](CCNC(=O)C#CC(C)C)C2CC2)n1 ZINC001267326159 837832911 /nfs/dbraw/zinc/83/29/11/837832911.db2.gz QDCQBYCHLQUGHJ-UHFFFAOYSA-N 1 2 302.422 1.643 20 30 DDEDLO CCn1ccc(C[N@@H+](CCNC(=O)C#CC(C)C)C2CC2)n1 ZINC001267326159 837832922 /nfs/dbraw/zinc/83/29/22/837832922.db2.gz QDCQBYCHLQUGHJ-UHFFFAOYSA-N 1 2 302.422 1.643 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2cccc(Cl)n2)C1 ZINC001266320101 836114154 /nfs/dbraw/zinc/11/41/54/836114154.db2.gz YRQZBDOTEXHZHF-TZMCWYRMSA-N 1 2 321.808 1.464 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2cccc(Cl)n2)C1 ZINC001266320101 836114157 /nfs/dbraw/zinc/11/41/57/836114157.db2.gz YRQZBDOTEXHZHF-TZMCWYRMSA-N 1 2 321.808 1.464 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CC[N@@H+](Cc2nc(CC)no2)C1 ZINC001266508203 836374719 /nfs/dbraw/zinc/37/47/19/836374719.db2.gz HACDVHHADMJBKC-AWEZNQCLSA-N 1 2 318.421 1.764 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CC[N@H+](Cc2nc(CC)no2)C1 ZINC001266508203 836374732 /nfs/dbraw/zinc/37/47/32/836374732.db2.gz HACDVHHADMJBKC-AWEZNQCLSA-N 1 2 318.421 1.764 20 30 DDEDLO CN(CC[NH2+]Cc1nnc(C2CC2)o1)C(=O)c1cc(C#N)c[nH]1 ZINC001266669033 836625452 /nfs/dbraw/zinc/62/54/52/836625452.db2.gz LPUNWJIODJGMSU-UHFFFAOYSA-N 1 2 314.349 1.009 20 30 DDEDLO C=C(C)C[N@H+]1CCCC[C@@H]1CNC(=O)CS(=O)(=O)C(C)C ZINC001266859947 836951674 /nfs/dbraw/zinc/95/16/74/836951674.db2.gz TXACZXGKAIDJPC-CQSZACIVSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)C[N@@H+]1CCCC[C@@H]1CNC(=O)CS(=O)(=O)C(C)C ZINC001266859947 836951685 /nfs/dbraw/zinc/95/16/85/836951685.db2.gz TXACZXGKAIDJPC-CQSZACIVSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)[NH2+]Cc1ncc(CC)o1 ZINC001266973556 837134223 /nfs/dbraw/zinc/13/42/23/837134223.db2.gz NBVMPLHGZFVHLG-YUTCNCBUSA-N 1 2 307.394 1.422 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccns1 ZINC001267529488 838255731 /nfs/dbraw/zinc/25/57/31/838255731.db2.gz AXPLLLNSGQGOFH-OKILXGFUSA-N 1 2 319.430 1.358 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccns1 ZINC001267529488 838255735 /nfs/dbraw/zinc/25/57/35/838255735.db2.gz AXPLLLNSGQGOFH-OKILXGFUSA-N 1 2 319.430 1.358 20 30 DDEDLO CCC[C@@H](C)C(=O)N(CCC)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267540486 838271194 /nfs/dbraw/zinc/27/11/94/838271194.db2.gz ZSAFNCQBYSWNGO-HUUCEWRRSA-N 1 2 322.453 1.375 20 30 DDEDLO CCC[C@@H](C)C(=O)N(CCC)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267540486 838271199 /nfs/dbraw/zinc/27/11/99/838271199.db2.gz ZSAFNCQBYSWNGO-HUUCEWRRSA-N 1 2 322.453 1.375 20 30 DDEDLO C#CCOc1ccc(C(=O)NC2C[NH+](CCOCC)C2)cc1 ZINC001267661266 838602349 /nfs/dbraw/zinc/60/23/49/838602349.db2.gz DDMCAYSCRRLBAK-UHFFFAOYSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(CC)CC ZINC001267713834 838703554 /nfs/dbraw/zinc/70/35/54/838703554.db2.gz RFTRSWMDYWZHKI-KBMXLJTQSA-N 1 2 319.449 1.236 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(CC)CC ZINC001267713834 838703557 /nfs/dbraw/zinc/70/35/57/838703557.db2.gz RFTRSWMDYWZHKI-KBMXLJTQSA-N 1 2 319.449 1.236 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(Cl)[nH]2)C1 ZINC001267966312 839284418 /nfs/dbraw/zinc/28/44/18/839284418.db2.gz JLORAMCCFHASQS-LLVKDONJSA-N 1 2 324.812 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(Cl)[nH]2)C1 ZINC001267966312 839284427 /nfs/dbraw/zinc/28/44/27/839284427.db2.gz JLORAMCCFHASQS-LLVKDONJSA-N 1 2 324.812 1.165 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C2(c3ccccc3)CC2)C1 ZINC001268028660 839440876 /nfs/dbraw/zinc/44/08/76/839440876.db2.gz LGWKFXRJFKSOQV-INIZCTEOSA-N 1 2 300.402 1.721 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C2(c3ccccc3)CC2)C1 ZINC001268028660 839440885 /nfs/dbraw/zinc/44/08/85/839440885.db2.gz LGWKFXRJFKSOQV-INIZCTEOSA-N 1 2 300.402 1.721 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC[N@H+](C)CC(=O)NC1CCCCC1 ZINC001268235302 839870857 /nfs/dbraw/zinc/87/08/57/839870857.db2.gz HLRNRIYOUMKPQR-UHFFFAOYSA-N 1 2 321.465 1.485 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC[N@@H+](C)CC(=O)NC1CCCCC1 ZINC001268235302 839870861 /nfs/dbraw/zinc/87/08/61/839870861.db2.gz HLRNRIYOUMKPQR-UHFFFAOYSA-N 1 2 321.465 1.485 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1ncoc1C(C)C ZINC001268253982 839899776 /nfs/dbraw/zinc/89/97/76/839899776.db2.gz GYKLUUBMZVAYDJ-UHFFFAOYSA-N 1 2 322.409 1.104 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1ncoc1C(C)C ZINC001268253982 839899784 /nfs/dbraw/zinc/89/97/84/839899784.db2.gz GYKLUUBMZVAYDJ-UHFFFAOYSA-N 1 2 322.409 1.104 20 30 DDEDLO O=C(CC#Cc1ccccc1)NC1C[NH+](C[C@H]2CCCCO2)C1 ZINC001268483902 840280537 /nfs/dbraw/zinc/28/05/37/840280537.db2.gz UPFHWCPXFJCOTH-GOSISDBHSA-N 1 2 312.413 1.798 20 30 DDEDLO CC#CC[N@H+](C)C[C@@H](C)NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001268751952 840755314 /nfs/dbraw/zinc/75/53/14/840755314.db2.gz FXHZIUJOQMRMQK-CYBMUJFWSA-N 1 2 311.389 1.545 20 30 DDEDLO CC#CC[N@@H+](C)C[C@@H](C)NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001268751952 840755321 /nfs/dbraw/zinc/75/53/21/840755321.db2.gz FXHZIUJOQMRMQK-CYBMUJFWSA-N 1 2 311.389 1.545 20 30 DDEDLO C=CC[C@@H](C)C(=O)N1C[C@@H]2[C@H](C1)OCCN2CCn1cc[nH+]c1 ZINC001268968653 841064530 /nfs/dbraw/zinc/06/45/30/841064530.db2.gz VYVQAKJXBWTSSN-OAGGEKHMSA-N 1 2 318.421 1.007 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+]1[C@@H](C)C(=O)N1CCCCCC1 ZINC001269204405 841338636 /nfs/dbraw/zinc/33/86/36/841338636.db2.gz CMMBCDAFNPQETO-JKSUJKDBSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)C(=O)N1CCCCCC1 ZINC001269204405 841338645 /nfs/dbraw/zinc/33/86/45/841338645.db2.gz CMMBCDAFNPQETO-JKSUJKDBSA-N 1 2 321.465 1.934 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(C(C)C)nc1 ZINC001269249836 841422688 /nfs/dbraw/zinc/42/26/88/841422688.db2.gz VGPHTSIRHPLROU-INIZCTEOSA-N 1 2 315.417 1.659 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(C(C)C)nc1 ZINC001269249836 841422690 /nfs/dbraw/zinc/42/26/90/841422690.db2.gz VGPHTSIRHPLROU-INIZCTEOSA-N 1 2 315.417 1.659 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)COCCOC)C1 ZINC001269312889 841502260 /nfs/dbraw/zinc/50/22/60/841502260.db2.gz HMLWBOURESEVNE-ZDUSSCGKSA-N 1 2 304.818 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)COCCOC)C1 ZINC001269312889 841502271 /nfs/dbraw/zinc/50/22/71/841502271.db2.gz HMLWBOURESEVNE-ZDUSSCGKSA-N 1 2 304.818 1.325 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc2c1OCC2 ZINC001269311482 841503148 /nfs/dbraw/zinc/50/31/48/841503148.db2.gz SUOCWUXOBFHJOF-HNNXBMFYSA-N 1 2 314.385 1.075 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc2c1OCC2 ZINC001269311482 841503157 /nfs/dbraw/zinc/50/31/57/841503157.db2.gz SUOCWUXOBFHJOF-HNNXBMFYSA-N 1 2 314.385 1.075 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001269314822 841508684 /nfs/dbraw/zinc/50/86/84/841508684.db2.gz YTXPNEVSOYWKKH-ZDUSSCGKSA-N 1 2 324.450 1.472 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001269314822 841508689 /nfs/dbraw/zinc/50/86/89/841508689.db2.gz YTXPNEVSOYWKKH-ZDUSSCGKSA-N 1 2 324.450 1.472 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@@H]1CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001269323991 841516536 /nfs/dbraw/zinc/51/65/36/841516536.db2.gz FRTUWUWZSUJJQE-GOEBONIOSA-N 1 2 312.417 1.874 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@@H]1CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001269323991 841516546 /nfs/dbraw/zinc/51/65/46/841516546.db2.gz FRTUWUWZSUJJQE-GOEBONIOSA-N 1 2 312.417 1.874 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H](C)n1cnc2ccccc21 ZINC001269327062 841522535 /nfs/dbraw/zinc/52/25/35/841522535.db2.gz ROJNRUKRMDVRNX-LSDHHAIUSA-N 1 2 310.401 1.811 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H](C)n1cnc2ccccc21 ZINC001269327062 841522537 /nfs/dbraw/zinc/52/25/37/841522537.db2.gz ROJNRUKRMDVRNX-LSDHHAIUSA-N 1 2 310.401 1.811 20 30 DDEDLO CCn1cc(Cl)cc1C(=O)NC[C@H]1CC[N@@H+]1CC#CCOC ZINC001269343012 841542331 /nfs/dbraw/zinc/54/23/31/841542331.db2.gz GXDRELZHNZUHGA-CQSZACIVSA-N 1 2 323.824 1.615 20 30 DDEDLO CCn1cc(Cl)cc1C(=O)NC[C@H]1CC[N@H+]1CC#CCOC ZINC001269343012 841542345 /nfs/dbraw/zinc/54/23/45/841542345.db2.gz GXDRELZHNZUHGA-CQSZACIVSA-N 1 2 323.824 1.615 20 30 DDEDLO C=C(C)CCC(=O)NCC1([NH2+]Cc2nnnn2C(C)(C)C)CC1 ZINC001269419556 841624902 /nfs/dbraw/zinc/62/49/02/841624902.db2.gz FCWTUNKSWYTWIS-UHFFFAOYSA-N 1 2 320.441 1.523 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCCN2C(=O)Cc1c[nH+]c[nH]1 ZINC001269568761 841774919 /nfs/dbraw/zinc/77/49/19/841774919.db2.gz DBZQIPWRKXUXSB-QGZVFWFLSA-N 1 2 316.405 1.512 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001270016495 842229443 /nfs/dbraw/zinc/22/94/43/842229443.db2.gz UEBUZLBRZVDQDK-QWHCGFSZSA-N 1 2 322.409 1.824 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(C)no1 ZINC001270034795 842243840 /nfs/dbraw/zinc/24/38/40/842243840.db2.gz RIMGGAIVWNEKKB-CQSZACIVSA-N 1 2 304.394 1.652 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+](Cc2c[nH]c3cc(C#N)ccc23)CCO1 ZINC001142921818 861310807 /nfs/dbraw/zinc/31/08/07/861310807.db2.gz WGIFJVXFMZMJKJ-CQSZACIVSA-N 1 2 313.357 1.803 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+](Cc2c[nH]c3cc(C#N)ccc23)CCO1 ZINC001142921818 861310823 /nfs/dbraw/zinc/31/08/23/861310823.db2.gz WGIFJVXFMZMJKJ-CQSZACIVSA-N 1 2 313.357 1.803 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)Cc2ccc(C)s2)C1 ZINC001270701213 842830606 /nfs/dbraw/zinc/83/06/06/842830606.db2.gz QIBFNQZXQDZKGN-CQSZACIVSA-N 1 2 308.447 1.992 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)Cc2ccc(C)s2)C1 ZINC001270701213 842830617 /nfs/dbraw/zinc/83/06/17/842830617.db2.gz QIBFNQZXQDZKGN-CQSZACIVSA-N 1 2 308.447 1.992 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C[C@@H]2CCO[C@@H](C(C)C)C2)C1 ZINC001271373733 843532642 /nfs/dbraw/zinc/53/26/42/843532642.db2.gz FLPCQLUIXVKLBA-HZPDHXFCSA-N 1 2 322.449 1.014 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C1C[C@@H](C)C[C@H](C)C1 ZINC001272178772 844680126 /nfs/dbraw/zinc/68/01/26/844680126.db2.gz QDZPSEXKUKPRSW-GJZGRUSLSA-N 1 2 323.481 1.751 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)C1C[C@@H](C)C[C@H](C)C1 ZINC001272178772 844680129 /nfs/dbraw/zinc/68/01/29/844680129.db2.gz QDZPSEXKUKPRSW-GJZGRUSLSA-N 1 2 323.481 1.751 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1COCC[N@@H+]1CCC[C@H]1CCOC1 ZINC001326631199 861493899 /nfs/dbraw/zinc/49/38/99/861493899.db2.gz BIPWKQHGAQQTMZ-IRXDYDNUSA-N 1 2 322.449 1.280 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1COCC[N@H+]1CCC[C@H]1CCOC1 ZINC001326631199 861493908 /nfs/dbraw/zinc/49/39/08/861493908.db2.gz BIPWKQHGAQQTMZ-IRXDYDNUSA-N 1 2 322.449 1.280 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@H]1CCc2cnn(C)c2C1 ZINC001409614123 845423458 /nfs/dbraw/zinc/42/34/58/845423458.db2.gz NQXUGRJPCFGBBY-STQMWFEESA-N 1 2 324.856 1.714 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@H]1CCc2cnn(C)c2C1 ZINC001409614123 845423460 /nfs/dbraw/zinc/42/34/60/845423460.db2.gz NQXUGRJPCFGBBY-STQMWFEESA-N 1 2 324.856 1.714 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)C1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001409852221 845907053 /nfs/dbraw/zinc/90/70/53/845907053.db2.gz SBDWOESTRZHAAC-RYUDHWBXSA-N 1 2 314.364 1.794 20 30 DDEDLO N#CCCCN1CC[C@]2(CCC[N@H+](Cc3cnn[nH]3)CC2)C1=O ZINC001272571101 846326639 /nfs/dbraw/zinc/32/66/39/846326639.db2.gz YHKYTMOVURPFCA-MRXNPFEDSA-N 1 2 316.409 1.313 20 30 DDEDLO N#CCCCN1CC[C@]2(CCC[N@@H+](Cc3cnn[nH]3)CC2)C1=O ZINC001272571101 846326648 /nfs/dbraw/zinc/32/66/48/846326648.db2.gz YHKYTMOVURPFCA-MRXNPFEDSA-N 1 2 316.409 1.313 20 30 DDEDLO N#CCCCN1CC[C@]2(CCC[N@H+](Cc3c[nH]nn3)CC2)C1=O ZINC001272571101 846326656 /nfs/dbraw/zinc/32/66/56/846326656.db2.gz YHKYTMOVURPFCA-MRXNPFEDSA-N 1 2 316.409 1.313 20 30 DDEDLO N#CCCCN1CC[C@]2(CCC[N@@H+](Cc3c[nH]nn3)CC2)C1=O ZINC001272571101 846326667 /nfs/dbraw/zinc/32/66/67/846326667.db2.gz YHKYTMOVURPFCA-MRXNPFEDSA-N 1 2 316.409 1.313 20 30 DDEDLO N#CCCCN1CC[C@]2(CCC[N@H+](Cc3cn[nH]n3)CC2)C1=O ZINC001272571101 846326679 /nfs/dbraw/zinc/32/66/79/846326679.db2.gz YHKYTMOVURPFCA-MRXNPFEDSA-N 1 2 316.409 1.313 20 30 DDEDLO N#CCCCN1CC[C@]2(CCC[N@@H+](Cc3cn[nH]n3)CC2)C1=O ZINC001272571101 846326692 /nfs/dbraw/zinc/32/66/92/846326692.db2.gz YHKYTMOVURPFCA-MRXNPFEDSA-N 1 2 316.409 1.313 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](Cc3ccccc3C)C2)OCC1=O ZINC001272637899 846438715 /nfs/dbraw/zinc/43/87/15/846438715.db2.gz MQFAPICUOKGBTH-UHFFFAOYSA-N 1 2 300.402 1.984 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001155066115 861696631 /nfs/dbraw/zinc/69/66/31/861696631.db2.gz DAALMLWZJGGXRX-CZUORRHYSA-N 1 2 307.442 1.744 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001155066115 861696640 /nfs/dbraw/zinc/69/66/40/861696640.db2.gz DAALMLWZJGGXRX-CZUORRHYSA-N 1 2 307.442 1.744 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1C[N@H+](CC(=C)Cl)CCCO1 ZINC001149622536 861757816 /nfs/dbraw/zinc/75/78/16/861757816.db2.gz BFOBTWLSVLGYFB-HIFRSBDPSA-N 1 2 316.829 1.273 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1C[N@@H+](CC(=C)Cl)CCCO1 ZINC001149622536 861757821 /nfs/dbraw/zinc/75/78/21/861757821.db2.gz BFOBTWLSVLGYFB-HIFRSBDPSA-N 1 2 316.829 1.273 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1COCCN1Cc1cc(OC)cc[nH+]1 ZINC001272842502 847543719 /nfs/dbraw/zinc/54/37/19/847543719.db2.gz GKDJJEQXQZCSTO-OAHLLOKOSA-N 1 2 319.405 1.373 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1nc(C)cs1)C2 ZINC001272991285 847753037 /nfs/dbraw/zinc/75/30/37/847753037.db2.gz QRDGYHQAYCEHJE-INIZCTEOSA-N 1 2 321.446 1.688 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1nc(C)cs1)C2 ZINC001272991285 847753044 /nfs/dbraw/zinc/75/30/44/847753044.db2.gz QRDGYHQAYCEHJE-INIZCTEOSA-N 1 2 321.446 1.688 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc3cccnc3c1)C2 ZINC001273008960 847773684 /nfs/dbraw/zinc/77/36/84/847773684.db2.gz WJQUPQUFOIRNOR-UHFFFAOYSA-N 1 2 323.396 1.834 20 30 DDEDLO C=CCn1cc(C[N@H+]2CCC[C@@]23CCN(CC(F)F)C3=O)cn1 ZINC001273222980 848808603 /nfs/dbraw/zinc/80/86/03/848808603.db2.gz QJGVLVPIVDQHEK-INIZCTEOSA-N 1 2 324.375 1.901 20 30 DDEDLO C=CCn1cc(C[N@@H+]2CCC[C@@]23CCN(CC(F)F)C3=O)cn1 ZINC001273222980 848808611 /nfs/dbraw/zinc/80/86/11/848808611.db2.gz QJGVLVPIVDQHEK-INIZCTEOSA-N 1 2 324.375 1.901 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001273383644 849774303 /nfs/dbraw/zinc/77/43/03/849774303.db2.gz IWLZKRLNVMMPNG-OAHLLOKOSA-N 1 2 304.438 1.331 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H](O)C[N@H+](C)CC=C(Cl)Cl ZINC001411162294 850302234 /nfs/dbraw/zinc/30/22/34/850302234.db2.gz ICXXITGPWRYPFA-VHSXEESVSA-N 1 2 308.209 1.216 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H](O)C[N@@H+](C)CC=C(Cl)Cl ZINC001411162294 850302241 /nfs/dbraw/zinc/30/22/41/850302241.db2.gz ICXXITGPWRYPFA-VHSXEESVSA-N 1 2 308.209 1.216 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@]2(F)CCOC2)C1 ZINC001150172173 862123854 /nfs/dbraw/zinc/12/38/54/862123854.db2.gz QZWJGZMHQKIBRL-JSGCOSHPSA-N 1 2 320.792 1.075 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@]2(F)CCOC2)C1 ZINC001150172173 862123859 /nfs/dbraw/zinc/12/38/59/862123859.db2.gz QZWJGZMHQKIBRL-JSGCOSHPSA-N 1 2 320.792 1.075 20 30 DDEDLO C=C(C)CN1C[C@]2(CCN(c3cc[nH+]c(OC)c3)C2)OCC1=O ZINC001273585960 851117662 /nfs/dbraw/zinc/11/76/62/851117662.db2.gz VVSIRECWJHGWJQ-QGZVFWFLSA-N 1 2 317.389 1.474 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCOC2(C[NH+](CCCCOC)C2)C1 ZINC001273624754 851161933 /nfs/dbraw/zinc/16/19/33/851161933.db2.gz ZSJIVMLADSCTRO-UHFFFAOYSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1(C(F)F)CC1)O2 ZINC001273661226 851197169 /nfs/dbraw/zinc/19/71/69/851197169.db2.gz FXMWJMGFHLYSNV-NSHDSACASA-N 1 2 300.349 1.567 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1[nH+]cn2ccccc12 ZINC001273674871 851212987 /nfs/dbraw/zinc/21/29/87/851212987.db2.gz ZYGMGTQCCZEJFP-IAGOWNOFSA-N 1 2 308.385 1.533 20 30 DDEDLO C=CCOC(=O)NCC[NH+]1CCN(c2ccccc2OC)CC1 ZINC001194337909 851214683 /nfs/dbraw/zinc/21/46/83/851214683.db2.gz DDRCZLILPFGXNA-UHFFFAOYSA-N 1 2 319.405 1.729 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@@H+](Cc3ccc(Cl)o3)C2)OCC1=O ZINC001274138784 851967905 /nfs/dbraw/zinc/96/79/05/851967905.db2.gz SVJNQQDTDVSNBJ-HNNXBMFYSA-N 1 2 308.765 1.370 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@H+](Cc3ccc(Cl)o3)C2)OCC1=O ZINC001274138784 851967911 /nfs/dbraw/zinc/96/79/11/851967911.db2.gz SVJNQQDTDVSNBJ-HNNXBMFYSA-N 1 2 308.765 1.370 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)CO[C@H](C)CC)C2)CC1 ZINC001280676829 852194400 /nfs/dbraw/zinc/19/44/00/852194400.db2.gz CPTMOWFPMHHDDF-SJORKVTESA-N 1 2 323.481 1.596 20 30 DDEDLO C=CCNC(=O)[C@@H]1CC12CC[NH+](Cc1cn[nH]c1CC)CC2 ZINC001274381267 852216191 /nfs/dbraw/zinc/21/61/91/852216191.db2.gz NODLSKXYFCFLNU-AWEZNQCLSA-N 1 2 302.422 1.876 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H](CC)CC(F)F)CC2)C1 ZINC001274474176 852307868 /nfs/dbraw/zinc/30/78/68/852307868.db2.gz FFBVXLWTJJKSRH-CYBMUJFWSA-N 1 2 313.392 1.129 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1C[C@]2(F)CN(CC(C)C)C(=O)[C@]2(F)C1 ZINC001274616551 852447490 /nfs/dbraw/zinc/44/74/90/852447490.db2.gz KGCKUEJISJCHDQ-SOUVJXGZSA-N 1 2 302.365 1.154 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1C[C@]2(F)CN(CC(C)C)C(=O)[C@]2(F)C1 ZINC001274616551 852447496 /nfs/dbraw/zinc/44/74/96/852447496.db2.gz KGCKUEJISJCHDQ-SOUVJXGZSA-N 1 2 302.365 1.154 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccc[nH]2)ccn1 ZINC001274660252 852492483 /nfs/dbraw/zinc/49/24/83/852492483.db2.gz GOWFASLPYGDBMZ-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccc[nH]2)ccn1 ZINC001274660252 852492487 /nfs/dbraw/zinc/49/24/87/852492487.db2.gz GOWFASLPYGDBMZ-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)c(F)c1 ZINC001274856238 852643986 /nfs/dbraw/zinc/64/39/86/852643986.db2.gz ZWJCGNJYGDUKQG-UHFFFAOYSA-N 1 2 324.359 1.983 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@@H](C)NC(=O)CSCC#N)o1 ZINC001321464028 852709209 /nfs/dbraw/zinc/70/92/09/852709209.db2.gz LDRNLCYOCBNTEK-SNVBAGLBSA-N 1 2 311.411 1.044 20 30 DDEDLO CN1CCO[C@]2(CCC[N@H+](Cc3cc(C#N)ccc3O)C2)C1 ZINC001275547361 853315558 /nfs/dbraw/zinc/31/55/58/853315558.db2.gz JZTWKCUOTMZKLM-QGZVFWFLSA-N 1 2 301.390 1.560 20 30 DDEDLO CN1CCO[C@]2(CCC[N@@H+](Cc3cc(C#N)ccc3O)C2)C1 ZINC001275547361 853315567 /nfs/dbraw/zinc/31/55/67/853315567.db2.gz JZTWKCUOTMZKLM-QGZVFWFLSA-N 1 2 301.390 1.560 20 30 DDEDLO C=CCOCCCNC(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC001327786939 862461229 /nfs/dbraw/zinc/46/12/29/862461229.db2.gz GDZJDAKQEQTZAI-OAHLLOKOSA-N 1 2 320.437 1.977 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2cc(C)cc(F)c2)C1 ZINC001276088325 854734233 /nfs/dbraw/zinc/73/42/33/854734233.db2.gz LAXBIDAASPPEJD-UHFFFAOYSA-N 1 2 306.381 1.877 20 30 DDEDLO CS(=O)(=O)c1ccc(-c2noc([C@H]([NH3+])CCCC#N)n2)o1 ZINC001328045019 862656040 /nfs/dbraw/zinc/65/60/40/862656040.db2.gz DUKLEIJIWKVNBD-MRVPVSSYSA-N 1 2 310.335 1.427 20 30 DDEDLO COc1ccc(CN2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)c(C#N)c1 ZINC001276314190 855652296 /nfs/dbraw/zinc/65/22/96/855652296.db2.gz BOPOIIIUEPVTOT-UHFFFAOYSA-N 1 2 323.400 1.608 20 30 DDEDLO CCC[C@@]1(C)C(=O)NCC[N@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001412978851 855859946 /nfs/dbraw/zinc/85/99/46/855859946.db2.gz JYBIDFIPYRUGEC-WBVHZDCISA-N 1 2 301.390 1.582 20 30 DDEDLO CCC[C@@]1(C)C(=O)NCC[N@@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001412978851 855859953 /nfs/dbraw/zinc/85/99/53/855859953.db2.gz JYBIDFIPYRUGEC-WBVHZDCISA-N 1 2 301.390 1.582 20 30 DDEDLO COCC[N@H+](Cc1c(C)nn(C)c1C)Cc1cc(C#N)ccn1 ZINC001413011219 855924386 /nfs/dbraw/zinc/92/43/86/855924386.db2.gz SQCXRETYQBPICW-UHFFFAOYSA-N 1 2 313.405 1.952 20 30 DDEDLO COCC[N@@H+](Cc1c(C)nn(C)c1C)Cc1cc(C#N)ccn1 ZINC001413011219 855924394 /nfs/dbraw/zinc/92/43/94/855924394.db2.gz SQCXRETYQBPICW-UHFFFAOYSA-N 1 2 313.405 1.952 20 30 DDEDLO CC#CC[N@@H+](CC)[C@H](C)CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001156120451 862737730 /nfs/dbraw/zinc/73/77/30/862737730.db2.gz SRXGGGVJLXZSKP-UONOGXRCSA-N 1 2 316.449 1.998 20 30 DDEDLO CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001156120451 862737734 /nfs/dbraw/zinc/73/77/34/862737734.db2.gz SRXGGGVJLXZSKP-UONOGXRCSA-N 1 2 316.449 1.998 20 30 DDEDLO CC1(C)CNC(=O)[C@@H]1[NH2+]Cc1nnc(-c2cccc(C#N)c2)o1 ZINC001413045096 856048455 /nfs/dbraw/zinc/04/84/55/856048455.db2.gz DWTOPKLDZYFANA-ZDUSSCGKSA-N 1 2 311.345 1.222 20 30 DDEDLO C=CCOCCCC(=O)NCCC[NH2+]Cc1noc(C(C)C)n1 ZINC001156160696 862763298 /nfs/dbraw/zinc/76/32/98/862763298.db2.gz XTGKHXTZDRHXJL-UHFFFAOYSA-N 1 2 324.425 1.772 20 30 DDEDLO CC(C)N(CCN(C)C(=O)[C@H](C)C#N)C(=O)CCc1c[nH]c[nH+]1 ZINC001413408783 856777124 /nfs/dbraw/zinc/77/71/24/856777124.db2.gz ZIJXRGZSWCRBCY-CYBMUJFWSA-N 1 2 319.409 1.197 20 30 DDEDLO CC(C)N(CCN(C)C(=O)[C@H](C)C#N)C(=O)CCc1c[nH+]c[nH]1 ZINC001413408783 856777125 /nfs/dbraw/zinc/77/71/25/856777125.db2.gz ZIJXRGZSWCRBCY-CYBMUJFWSA-N 1 2 319.409 1.197 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072602902 857502049 /nfs/dbraw/zinc/50/20/49/857502049.db2.gz MWLWMMJDUNQMTC-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)Cc1cc[nH]n1 ZINC001328465403 862991241 /nfs/dbraw/zinc/99/12/41/862991241.db2.gz VUBJCRIGKHQOJA-KRWDZBQOSA-N 1 2 308.385 1.301 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)Cc1cc[nH]n1 ZINC001328465403 862991250 /nfs/dbraw/zinc/99/12/50/862991250.db2.gz VUBJCRIGKHQOJA-KRWDZBQOSA-N 1 2 308.385 1.301 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2n[nH]cc2C)C1 ZINC001073597826 858472003 /nfs/dbraw/zinc/47/20/03/858472003.db2.gz JKICNURIQTYFAF-LBPRGKRZSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2n[nH]cc2C)C1 ZINC001073597826 858472012 /nfs/dbraw/zinc/47/20/12/858472012.db2.gz JKICNURIQTYFAF-LBPRGKRZSA-N 1 2 312.801 1.291 20 30 DDEDLO C=CCn1c(N2CC=CCC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121707633 858577846 /nfs/dbraw/zinc/57/78/46/858577846.db2.gz AJKHXFOKCPKXNN-CZUORRHYSA-N 1 2 303.410 1.142 20 30 DDEDLO C=CCn1c(N2CC=CCC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121707633 858577848 /nfs/dbraw/zinc/57/78/48/858577848.db2.gz AJKHXFOKCPKXNN-CZUORRHYSA-N 1 2 303.410 1.142 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NC[C@H](CO)Nc1cc[nH+]c(C)n1)OCC ZINC001122126185 858691896 /nfs/dbraw/zinc/69/18/96/858691896.db2.gz GZHJDDCCDCARKC-ZIAGYGMSSA-N 1 2 322.409 1.045 20 30 DDEDLO C=CCN(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001123419583 859229078 /nfs/dbraw/zinc/22/90/78/859229078.db2.gz DCURMWGYOUHJEY-CZUORRHYSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCN(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC1CC1 ZINC001123419583 859229085 /nfs/dbraw/zinc/22/90/85/859229085.db2.gz DCURMWGYOUHJEY-CZUORRHYSA-N 1 2 305.426 1.222 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc(O)cc2OC)CC1 ZINC001139144207 860306121 /nfs/dbraw/zinc/30/61/21/860306121.db2.gz NNTHEYXXIAKPQA-UHFFFAOYSA-N 1 2 304.346 1.680 20 30 DDEDLO C[C@H]1C(=O)NCC[N@H+]1Cc1ccc(-c2cc(C#N)ccn2)cc1 ZINC001139406658 860387971 /nfs/dbraw/zinc/38/79/71/860387971.db2.gz ZAHLJFUEILDJRU-ZDUSSCGKSA-N 1 2 306.369 1.941 20 30 DDEDLO C[C@H]1C(=O)NCC[N@@H+]1Cc1ccc(-c2cc(C#N)ccn2)cc1 ZINC001139406658 860387977 /nfs/dbraw/zinc/38/79/77/860387977.db2.gz ZAHLJFUEILDJRU-ZDUSSCGKSA-N 1 2 306.369 1.941 20 30 DDEDLO CS(=O)(=O)[C@@H]1CC[N@H+](Cc2c[nH]c3ccc(C#N)cc23)C1 ZINC001140114954 860576462 /nfs/dbraw/zinc/57/64/62/860576462.db2.gz HJBABNYAMWZLRH-CYBMUJFWSA-N 1 2 303.387 1.658 20 30 DDEDLO CS(=O)(=O)[C@@H]1CC[N@@H+](Cc2c[nH]c3ccc(C#N)cc23)C1 ZINC001140114954 860576467 /nfs/dbraw/zinc/57/64/67/860576467.db2.gz HJBABNYAMWZLRH-CYBMUJFWSA-N 1 2 303.387 1.658 20 30 DDEDLO CCOC[C@@H]1C[N@H+](Cc2ccc(C#N)nc2)Cc2ncn(C)c21 ZINC001140541545 860653161 /nfs/dbraw/zinc/65/31/61/860653161.db2.gz KYHLLMDFMHSBDU-AWEZNQCLSA-N 1 2 311.389 1.823 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](Cc2ccc(C#N)nc2)Cc2ncn(C)c21 ZINC001140541545 860653162 /nfs/dbraw/zinc/65/31/62/860653162.db2.gz KYHLLMDFMHSBDU-AWEZNQCLSA-N 1 2 311.389 1.823 20 30 DDEDLO CC1(C)CN(Cc2cc(F)c(C#N)c(F)c2)CC[N@@H+]1CC(N)=O ZINC001140754923 860692887 /nfs/dbraw/zinc/69/28/87/860692887.db2.gz OMBWNVNXKMODMI-UHFFFAOYSA-N 1 2 322.359 1.218 20 30 DDEDLO CC1(C)CN(Cc2cc(F)c(C#N)c(F)c2)CC[N@H+]1CC(N)=O ZINC001140754923 860692889 /nfs/dbraw/zinc/69/28/89/860692889.db2.gz OMBWNVNXKMODMI-UHFFFAOYSA-N 1 2 322.359 1.218 20 30 DDEDLO C#CCOCC[N@@H+](C)Cc1ccc(S(=O)(=O)N(C)C)s1 ZINC001325932913 860965805 /nfs/dbraw/zinc/96/58/05/860965805.db2.gz ATQRZMPJZZUKQW-UHFFFAOYSA-N 1 2 316.448 1.080 20 30 DDEDLO C#CCOCC[N@H+](C)Cc1ccc(S(=O)(=O)N(C)C)s1 ZINC001325932913 860965813 /nfs/dbraw/zinc/96/58/13/860965813.db2.gz ATQRZMPJZZUKQW-UHFFFAOYSA-N 1 2 316.448 1.080 20 30 DDEDLO C#CCCCCCC(=O)NC1CC[NH+]([C@H]2CCOC2=O)CC1 ZINC001151971764 863086298 /nfs/dbraw/zinc/08/62/98/863086298.db2.gz ZIULEIMNERPLIT-HNNXBMFYSA-N 1 2 306.406 1.466 20 30 DDEDLO COCCOCC[NH+]1CCN(C(=O)c2csc(C#N)c2)CC1 ZINC001328833745 863261200 /nfs/dbraw/zinc/26/12/00/863261200.db2.gz YPUPDDCXOJWQNQ-UHFFFAOYSA-N 1 2 323.418 1.041 20 30 DDEDLO N#Cc1cc(C(=O)NCCC[NH2+]CC(F)(F)C(F)F)c[nH]1 ZINC001156847906 863392856 /nfs/dbraw/zinc/39/28/56/863392856.db2.gz JXOJAOUKSUOGFK-UHFFFAOYSA-N 1 2 306.263 1.496 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2c[nH]c3nc(OC)ccc23)CC1 ZINC001157098294 863574516 /nfs/dbraw/zinc/57/45/16/863574516.db2.gz YZZYDFBFYOZAGE-UHFFFAOYSA-N 1 2 300.362 1.515 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)[C@H](c1cccnc1)[NH+](C)C ZINC001157153900 863616560 /nfs/dbraw/zinc/61/65/60/863616560.db2.gz SKHVVYDAURQPGE-HIFRSBDPSA-N 1 2 303.410 1.034 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(c2cnn(C)c2)C1)c1cccc(C#N)c1O ZINC001329362464 863619267 /nfs/dbraw/zinc/61/92/67/863619267.db2.gz CXSMZFYMCGSTBM-JSGCOSHPSA-N 1 2 311.389 1.927 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nncs2)CCCCC1 ZINC001153009552 863649413 /nfs/dbraw/zinc/64/94/13/863649413.db2.gz OGKQKTJWLFZDGK-UHFFFAOYSA-N 1 2 306.435 1.718 20 30 DDEDLO C=CCCC[NH+]1CC(CCO)(NC(=O)c2snnc2CC)C1 ZINC001329679172 863785605 /nfs/dbraw/zinc/78/56/05/863785605.db2.gz KNZXGVDJJWUUKF-UHFFFAOYSA-N 1 2 324.450 1.233 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C(C)=C2CCCC2)C1 ZINC001329986683 863998849 /nfs/dbraw/zinc/99/88/49/863998849.db2.gz WMEWWHXIFBIJJX-AWEZNQCLSA-N 1 2 317.433 1.063 20 30 DDEDLO C[C@H](NC(=O)C#CC(C)(C)C)C1C[NH+](Cc2cncn2C)C1 ZINC001329997442 864004468 /nfs/dbraw/zinc/00/44/68/864004468.db2.gz MMBHDHIZLDDRAD-ZDUSSCGKSA-N 1 2 302.422 1.406 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)C1C[NH+](CC(=O)NCCCC)C1 ZINC001330030033 864030579 /nfs/dbraw/zinc/03/05/79/864030579.db2.gz CNDIYPWXOHMMGI-AWEZNQCLSA-N 1 2 323.481 1.942 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001158147647 864457516 /nfs/dbraw/zinc/45/75/16/864457516.db2.gz DVVFOZLMLQRDLH-GDBMZVCRSA-N 1 2 315.421 1.282 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCCC[C@H]1[NH2+][C@H](C)c1noc(C)n1 ZINC001225361786 881799074 /nfs/dbraw/zinc/79/90/74/881799074.db2.gz RBOOTLOTTMYLJT-MRVWCRGKSA-N 1 2 322.409 1.659 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(c3ccc4c(C#N)c[nH]c4n3)C2)C[C@H](C)O1 ZINC001158716215 864846355 /nfs/dbraw/zinc/84/63/55/864846355.db2.gz ITKNIYYPLNEZHU-TXEJJXNPSA-N 1 2 311.389 1.732 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(c3ccc4c(C#N)c[nH]c4n3)C2)C[C@H](C)O1 ZINC001158716215 864846370 /nfs/dbraw/zinc/84/63/70/864846370.db2.gz ITKNIYYPLNEZHU-TXEJJXNPSA-N 1 2 311.389 1.732 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2Cc2cccc(O)c2)CC1 ZINC001331485486 865112388 /nfs/dbraw/zinc/11/23/88/865112388.db2.gz PLXTVJPCHZUYSN-UHFFFAOYSA-N 1 2 311.389 1.096 20 30 DDEDLO C[C@@H]1C[NH+](Cc2cc3c(cc[nH]c3=O)o2)C[C@@H](C)N1CC#N ZINC001331566192 865158280 /nfs/dbraw/zinc/15/82/80/865158280.db2.gz OBZYEOWBSRJJNT-VXGBXAGGSA-N 1 2 300.362 1.952 20 30 DDEDLO CC(C)C#CC(=O)NCCC1=CC[N@H+](Cc2cnn(C)n2)CC1 ZINC001160054665 865657184 /nfs/dbraw/zinc/65/71/84/865657184.db2.gz GEKPDNIJPFYAJL-UHFFFAOYSA-N 1 2 315.421 1.113 20 30 DDEDLO CC(C)C#CC(=O)NCCC1=CC[N@@H+](Cc2cnn(C)n2)CC1 ZINC001160054665 865657188 /nfs/dbraw/zinc/65/71/88/865657188.db2.gz GEKPDNIJPFYAJL-UHFFFAOYSA-N 1 2 315.421 1.113 20 30 DDEDLO N#Cc1ncc(Cl)nc1N[C@H]1CCC[C@@H]1[NH+]1CCOCC1 ZINC001160723320 866068266 /nfs/dbraw/zinc/06/82/66/866068266.db2.gz KYJAPJWCBBRTTJ-JQWIXIFHSA-N 1 2 307.785 1.667 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CC[N@H+]1Cc1c(C)noc1CC ZINC001323231909 866455855 /nfs/dbraw/zinc/45/58/55/866455855.db2.gz MFDLDLWIMUTQSO-CXAGYDPISA-N 1 2 321.421 1.563 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CC[N@@H+]1Cc1c(C)noc1CC ZINC001323231909 866455857 /nfs/dbraw/zinc/45/58/57/866455857.db2.gz MFDLDLWIMUTQSO-CXAGYDPISA-N 1 2 321.421 1.563 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C12CCC(CC1)CC2 ZINC001320085462 866459754 /nfs/dbraw/zinc/45/97/54/866459754.db2.gz RFWUMSHRCJDVHY-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)C12CCC(CC1)CC2 ZINC001320085462 866459762 /nfs/dbraw/zinc/45/97/62/866459762.db2.gz RFWUMSHRCJDVHY-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C=CC[C@@H](C(=O)N(C)CC[N@@H+](C)CC(=O)NC)c1ccccc1 ZINC001320086099 866461000 /nfs/dbraw/zinc/46/10/00/866461000.db2.gz WPCHCERFNKSJQE-MRXNPFEDSA-N 1 2 317.433 1.483 20 30 DDEDLO C=CC[C@@H](C(=O)N(C)CC[N@H+](C)CC(=O)NC)c1ccccc1 ZINC001320086099 866461014 /nfs/dbraw/zinc/46/10/14/866461014.db2.gz WPCHCERFNKSJQE-MRXNPFEDSA-N 1 2 317.433 1.483 20 30 DDEDLO N#CCSCC(=O)N1CCC([N@H+](CC#N)CC2CC2)CC1 ZINC001323719955 866793804 /nfs/dbraw/zinc/79/38/04/866793804.db2.gz HCESRKHLRBCZAV-UHFFFAOYSA-N 1 2 306.435 1.470 20 30 DDEDLO N#CCSCC(=O)N1CCC([N@@H+](CC#N)CC2CC2)CC1 ZINC001323719955 866793818 /nfs/dbraw/zinc/79/38/18/866793818.db2.gz HCESRKHLRBCZAV-UHFFFAOYSA-N 1 2 306.435 1.470 20 30 DDEDLO N#CCN(CCNC(=O)Cc1[nH]cc[nH+]1)C1CCCCCC1 ZINC001323721361 866796659 /nfs/dbraw/zinc/79/66/59/866796659.db2.gz FDNBTCXOMSXUHU-UHFFFAOYSA-N 1 2 303.410 1.617 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)Cc2csc(CC)n2)C1 ZINC001324029824 867020768 /nfs/dbraw/zinc/02/07/68/867020768.db2.gz FJMLPSAXUBJBLA-UHFFFAOYSA-N 1 2 323.462 1.593 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)N[C@](C)(C(C)(C)C)C2=O)C1 ZINC001320849285 867076360 /nfs/dbraw/zinc/07/63/60/867076360.db2.gz RWVRJOSVVZIVRH-LRDDRELGSA-N 1 2 309.410 1.577 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)N[C@](C)(C(C)(C)C)C2=O)C1 ZINC001320849285 867076375 /nfs/dbraw/zinc/07/63/75/867076375.db2.gz RWVRJOSVVZIVRH-LRDDRELGSA-N 1 2 309.410 1.577 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]C/C=C/CNC(=O)C#CC(C)C)s1 ZINC001321054525 867270016 /nfs/dbraw/zinc/27/00/16/867270016.db2.gz NBDDCKJXXCCBGX-BTDICHCPSA-N 1 2 306.435 1.829 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@](C)(NC(=O)c2ccoc2C)C1 ZINC001324572619 867363283 /nfs/dbraw/zinc/36/32/83/867363283.db2.gz IIQYEPXJIAKSEJ-MRXNPFEDSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccoc2C)C1 ZINC001324572619 867363296 /nfs/dbraw/zinc/36/32/96/867363296.db2.gz IIQYEPXJIAKSEJ-MRXNPFEDSA-N 1 2 305.378 1.084 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@@]1(C)CC[N@H+](CC(=O)NCC#N)C1 ZINC001324609355 867402423 /nfs/dbraw/zinc/40/24/23/867402423.db2.gz VTBHCKCXHDNGLT-CJNGLKHVSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@@]1(C)CC[N@@H+](CC(=O)NCC#N)C1 ZINC001324609355 867402435 /nfs/dbraw/zinc/40/24/35/867402435.db2.gz VTBHCKCXHDNGLT-CJNGLKHVSA-N 1 2 308.426 1.033 20 30 DDEDLO C[C@@H](CNC(=O)C#CC1CC1)N(C)C(=O)c1cccc2[nH+]ccn21 ZINC001334263030 867434499 /nfs/dbraw/zinc/43/44/99/867434499.db2.gz DFRCPHDQWVHWCX-ZDUSSCGKSA-N 1 2 324.384 1.324 20 30 DDEDLO C#CCCCC(=O)NCC1([NH2+]Cc2csnn2)CCOCC1 ZINC001324994532 867685292 /nfs/dbraw/zinc/68/52/92/867685292.db2.gz VLQVCUSKKCRZMB-UHFFFAOYSA-N 1 2 322.434 1.097 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001335107630 868069608 /nfs/dbraw/zinc/06/96/08/868069608.db2.gz RVUHOXOCFAKTGI-DLBZAZTESA-N 1 2 317.433 1.879 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001335107630 868069623 /nfs/dbraw/zinc/06/96/23/868069623.db2.gz RVUHOXOCFAKTGI-DLBZAZTESA-N 1 2 317.433 1.879 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H](C)C[N@H+](C)CC(=C)Cl)nn1 ZINC001322263228 868086570 /nfs/dbraw/zinc/08/65/70/868086570.db2.gz WTPWABDKOIEQPE-GFCCVEGCSA-N 1 2 311.817 1.657 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H](C)C[N@@H+](C)CC(=C)Cl)nn1 ZINC001322263228 868086575 /nfs/dbraw/zinc/08/65/75/868086575.db2.gz WTPWABDKOIEQPE-GFCCVEGCSA-N 1 2 311.817 1.657 20 30 DDEDLO C#C[C@H]([NH2+]C[C@H](O)CN(C)CCC#N)c1ccc(Cl)cc1F ZINC001335448763 868307059 /nfs/dbraw/zinc/30/70/59/868307059.db2.gz BXMXPQFAVJPJPK-BBRMVZONSA-N 1 2 323.799 1.949 20 30 DDEDLO C#C[C@H](NC[C@H](O)C[N@H+](C)CCC#N)c1ccc(Cl)cc1F ZINC001335448763 868307078 /nfs/dbraw/zinc/30/70/78/868307078.db2.gz BXMXPQFAVJPJPK-BBRMVZONSA-N 1 2 323.799 1.949 20 30 DDEDLO C#C[C@H](NC[C@H](O)C[N@@H+](C)CCC#N)c1ccc(Cl)cc1F ZINC001335448763 868307089 /nfs/dbraw/zinc/30/70/89/868307089.db2.gz BXMXPQFAVJPJPK-BBRMVZONSA-N 1 2 323.799 1.949 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCOC[C@@H]1Nc1cc[nH+]c(C)n1 ZINC001336311207 868861059 /nfs/dbraw/zinc/86/10/59/868861059.db2.gz RQJMGADRHLOWSO-CABCVRRESA-N 1 2 316.405 1.664 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)COc1ccnc(Cl)c1 ZINC001164640497 869337136 /nfs/dbraw/zinc/33/71/36/869337136.db2.gz AUGKXYXUFWKKJD-SECBINFHSA-N 1 2 302.712 1.504 20 30 DDEDLO Cc1cnc(N2CCC3(CC(N(C)C)=[NH+]O3)CC2)c(/C=N/O)c1 ZINC001166034695 869811117 /nfs/dbraw/zinc/81/11/17/869811117.db2.gz JBJOIXDKKSQICW-WOJGMQOQSA-N 1 2 317.393 1.833 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[NH2+]Cc2nnn(C(C)(C)C)n2)C1 ZINC001166245495 869920791 /nfs/dbraw/zinc/92/07/91/869920791.db2.gz FNUIDXITGPCPBN-UHFFFAOYSA-N 1 2 320.441 1.380 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2sc(C)cc2C)C1 ZINC001316982275 870058747 /nfs/dbraw/zinc/05/87/47/870058747.db2.gz XPRLACIKPPBKTM-ZDUSSCGKSA-N 1 2 321.446 1.471 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2sc(C)cc2C)C1 ZINC001316982275 870058762 /nfs/dbraw/zinc/05/87/62/870058762.db2.gz XPRLACIKPPBKTM-ZDUSSCGKSA-N 1 2 321.446 1.471 20 30 DDEDLO C=CCCC(=O)N[C@@](C)(CNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001297554566 870098735 /nfs/dbraw/zinc/09/87/35/870098735.db2.gz PNWKXLJKUSGBLR-INIZCTEOSA-N 1 2 304.394 1.250 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001297675609 870135154 /nfs/dbraw/zinc/13/51/54/870135154.db2.gz RHQMJHZAJYMJEG-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001297675609 870135159 /nfs/dbraw/zinc/13/51/59/870135159.db2.gz RHQMJHZAJYMJEG-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc[nH]c1C ZINC001317046054 870160032 /nfs/dbraw/zinc/16/00/32/870160032.db2.gz UFESIICUEGGATH-GASCZTMLSA-N 1 2 301.390 1.262 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc[nH]c1C ZINC001317046054 870160040 /nfs/dbraw/zinc/16/00/40/870160040.db2.gz UFESIICUEGGATH-GASCZTMLSA-N 1 2 301.390 1.262 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001298698290 870680123 /nfs/dbraw/zinc/68/01/23/870680123.db2.gz CQQQJSQWOPLNDT-MLGOLLRUSA-N 1 2 306.410 1.186 20 30 DDEDLO C=C[C@@H](COC)[NH2+]Cc1cn(C)nc1I ZINC001339779490 870686023 /nfs/dbraw/zinc/68/60/23/870686023.db2.gz KYPPMWYVSMKTIG-VIFPVBQESA-N 1 2 321.162 1.315 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)[C@@H]2C)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001339858248 870738309 /nfs/dbraw/zinc/73/83/09/870738309.db2.gz ZGJVUCNTKHIRHQ-AYMQEEERSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)[C@@H]2C)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001339858248 870738321 /nfs/dbraw/zinc/73/83/21/870738321.db2.gz ZGJVUCNTKHIRHQ-AYMQEEERSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCN1C(=O)CCc1c[nH+]cn1C ZINC001298989853 870810125 /nfs/dbraw/zinc/81/01/25/870810125.db2.gz SDXLRVQNOZEATM-CQSZACIVSA-N 1 2 304.394 1.036 20 30 DDEDLO C=C(C)CCC(=O)NCC[N@@H+](C)CC(=O)N1C[C@H](C)C[C@H](C)C1 ZINC001317446491 870850032 /nfs/dbraw/zinc/85/00/32/870850032.db2.gz GVNXTXRGQYKDJX-IYBDPMFKSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)CCC(=O)NCC[N@H+](C)CC(=O)N1C[C@H](C)C[C@H](C)C1 ZINC001317446491 870850039 /nfs/dbraw/zinc/85/00/39/870850039.db2.gz GVNXTXRGQYKDJX-IYBDPMFKSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](C)CC(=O)N(C)Cc1ccco1 ZINC001317459470 870878503 /nfs/dbraw/zinc/87/85/03/870878503.db2.gz QJTLGPPVCMJLBU-UHFFFAOYSA-N 1 2 321.421 1.498 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](C)CC(=O)N(C)Cc1ccco1 ZINC001317459470 870878519 /nfs/dbraw/zinc/87/85/19/870878519.db2.gz QJTLGPPVCMJLBU-UHFFFAOYSA-N 1 2 321.421 1.498 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H](C)c1ccccc1 ZINC001317468275 870887315 /nfs/dbraw/zinc/88/73/15/870887315.db2.gz UUOYMWWLZXCAJP-CQSZACIVSA-N 1 2 303.406 1.140 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@H](C)c1ccccc1 ZINC001317468275 870887328 /nfs/dbraw/zinc/88/73/28/870887328.db2.gz UUOYMWWLZXCAJP-CQSZACIVSA-N 1 2 303.406 1.140 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CCC[N@@H+]1CC=C(Cl)Cl ZINC001317505792 870941475 /nfs/dbraw/zinc/94/14/75/870941475.db2.gz SZOVDSMIVDXKPC-VXGBXAGGSA-N 1 2 319.232 1.924 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CCC[N@H+]1CC=C(Cl)Cl ZINC001317505792 870941488 /nfs/dbraw/zinc/94/14/88/870941488.db2.gz SZOVDSMIVDXKPC-VXGBXAGGSA-N 1 2 319.232 1.924 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ncc(C)o2)[C@@H]1CC ZINC001316734562 871236378 /nfs/dbraw/zinc/23/63/78/871236378.db2.gz OPUBQVPXQGECND-SOUVJXGZSA-N 1 2 319.405 1.490 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)o2)[C@@H]1CC ZINC001316734562 871236393 /nfs/dbraw/zinc/23/63/93/871236393.db2.gz OPUBQVPXQGECND-SOUVJXGZSA-N 1 2 319.405 1.490 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2sccc2C)C1 ZINC001205759684 871788319 /nfs/dbraw/zinc/78/83/19/871788319.db2.gz IRPUTJSBUSUYNM-CHWSQXEVSA-N 1 2 321.446 1.409 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2sccc2C)C1 ZINC001205759684 871788335 /nfs/dbraw/zinc/78/83/35/871788335.db2.gz IRPUTJSBUSUYNM-CHWSQXEVSA-N 1 2 321.446 1.409 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC(=O)N1CCCC1 ZINC001318216627 871842128 /nfs/dbraw/zinc/84/21/28/871842128.db2.gz RDJHRLARQHVWEF-GJZGRUSLSA-N 1 2 305.422 1.250 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC(=O)N1CCCC1 ZINC001318216627 871842147 /nfs/dbraw/zinc/84/21/47/871842147.db2.gz RDJHRLARQHVWEF-GJZGRUSLSA-N 1 2 305.422 1.250 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(F)s2)C1 ZINC001316952940 871857234 /nfs/dbraw/zinc/85/72/34/871857234.db2.gz HKUYFVNCXDIBDV-GFCCVEGCSA-N 1 2 310.394 1.731 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(F)s2)C1 ZINC001316952940 871857249 /nfs/dbraw/zinc/85/72/49/871857249.db2.gz HKUYFVNCXDIBDV-GFCCVEGCSA-N 1 2 310.394 1.731 20 30 DDEDLO CCc1noc([C@H](C)[NH+]2CCC(NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001226648016 882564271 /nfs/dbraw/zinc/56/42/71/882564271.db2.gz GIVKBVLUWKJIIP-QWRGUYRKSA-N 1 2 305.382 1.433 20 30 DDEDLO C=CCCOCC(=O)N(CC)[C@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001318492173 872109164 /nfs/dbraw/zinc/10/91/64/872109164.db2.gz OHNQRARHGQUNTF-INIZCTEOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCCOCC(=O)N(CC)[C@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001318492173 872109172 /nfs/dbraw/zinc/10/91/72/872109172.db2.gz OHNQRARHGQUNTF-INIZCTEOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)CC#N)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001342384299 872184140 /nfs/dbraw/zinc/18/41/40/872184140.db2.gz JNYNZLCEKVEPFK-DVOMOZLQSA-N 1 2 318.425 1.114 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)CC#N)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001342384299 872184145 /nfs/dbraw/zinc/18/41/45/872184145.db2.gz JNYNZLCEKVEPFK-DVOMOZLQSA-N 1 2 318.425 1.114 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(F)ccc2C#N)C[C@H]1C ZINC001206294378 872189555 /nfs/dbraw/zinc/18/95/55/872189555.db2.gz HMBZEZHJIAQOKZ-BFQNTYOBSA-N 1 2 319.380 1.669 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(F)ccc2C#N)C[C@H]1C ZINC001206294378 872189562 /nfs/dbraw/zinc/18/95/62/872189562.db2.gz HMBZEZHJIAQOKZ-BFQNTYOBSA-N 1 2 319.380 1.669 20 30 DDEDLO C[C@@H](NCC#N)[C@H]1CCCCN1C(=O)CCc1[nH+]ccn1C ZINC001316882511 872201287 /nfs/dbraw/zinc/20/12/87/872201287.db2.gz ZJBMQXAFWNTJEL-ZIAGYGMSSA-N 1 2 303.410 1.235 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1C[N@H+](CCOC2CCC2)CCO1 ZINC001319318446 872566840 /nfs/dbraw/zinc/56/68/40/872566840.db2.gz JZOVZFRPQRARAI-WMZOPIPTSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1C[N@@H+](CCOC2CCC2)CCO1 ZINC001319318446 872566846 /nfs/dbraw/zinc/56/68/46/872566846.db2.gz JZOVZFRPQRARAI-WMZOPIPTSA-N 1 2 324.465 1.975 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001206884810 872703856 /nfs/dbraw/zinc/70/38/56/872703856.db2.gz GVGPROIPOSJRNA-GDBMZVCRSA-N 1 2 302.422 1.550 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001206884810 872703864 /nfs/dbraw/zinc/70/38/64/872703864.db2.gz GVGPROIPOSJRNA-GDBMZVCRSA-N 1 2 302.422 1.550 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2ccn(CC)n2)C[C@H]1C ZINC001206916929 872764747 /nfs/dbraw/zinc/76/47/47/872764747.db2.gz KFYURAPAKXLZCH-UNEWFSDZSA-N 1 2 320.437 1.167 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2ccn(CC)n2)C[C@H]1C ZINC001206916929 872764755 /nfs/dbraw/zinc/76/47/55/872764755.db2.gz KFYURAPAKXLZCH-UNEWFSDZSA-N 1 2 320.437 1.167 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001344115448 872858813 /nfs/dbraw/zinc/85/88/13/872858813.db2.gz DLRQWMQKUDNGQJ-ZIAGYGMSSA-N 1 2 318.421 1.852 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]1CN(C)C(=O)CCc1c[nH+]cn1C ZINC001344177540 872912343 /nfs/dbraw/zinc/91/23/43/872912343.db2.gz YAJWRXMCCQCLKG-HNNXBMFYSA-N 1 2 318.421 1.378 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001344236430 872961369 /nfs/dbraw/zinc/96/13/69/872961369.db2.gz OIEYHTGEPFGOAG-IIYDPXPESA-N 1 2 318.421 1.566 20 30 DDEDLO C=CCN(CC[NH+]1CC(C(=O)OCC)C1)C(=O)OC(C)(C)C ZINC001207205567 873121511 /nfs/dbraw/zinc/12/15/11/873121511.db2.gz LQIPEEGBJMWZCB-UHFFFAOYSA-N 1 2 312.410 1.904 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@@H]1CCOC1 ZINC001345414696 873395137 /nfs/dbraw/zinc/39/51/37/873395137.db2.gz SHURCTILAFOXDN-KBPBESRZSA-N 1 2 305.426 1.704 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@@H]1CCOC1 ZINC001345414696 873395148 /nfs/dbraw/zinc/39/51/48/873395148.db2.gz SHURCTILAFOXDN-KBPBESRZSA-N 1 2 305.426 1.704 20 30 DDEDLO C#CCCCCC(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)[nH]2)CC1 ZINC001226951466 882740626 /nfs/dbraw/zinc/74/06/26/882740626.db2.gz AWCFSZGNQOZYEI-ZDUSSCGKSA-N 1 2 317.437 1.948 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CCCN(C(=O)C#CC(C)C)C2)n1 ZINC001207995599 873726757 /nfs/dbraw/zinc/72/67/57/873726757.db2.gz LVMJJMYTWKKTKZ-CQSZACIVSA-N 1 2 318.421 1.762 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC001346402559 873736018 /nfs/dbraw/zinc/73/60/18/873736018.db2.gz RWDCPUBCMDGOEI-ZDUSSCGKSA-N 1 2 300.362 1.421 20 30 DDEDLO C#CCN(C)c1nnc(C[NH+]2CCCCC2)n1C[C@@H]1CCOC1 ZINC001346623618 873828423 /nfs/dbraw/zinc/82/84/23/873828423.db2.gz TVBWXLGVCYYFPI-HNNXBMFYSA-N 1 2 317.437 1.370 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nncn2C)C[C@H]1C ZINC001208374552 874080587 /nfs/dbraw/zinc/08/05/87/874080587.db2.gz MNLLVFXOFUUHHW-QLFBSQMISA-N 1 2 317.437 1.506 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nncn2C)C[C@H]1C ZINC001208374552 874080604 /nfs/dbraw/zinc/08/06/04/874080604.db2.gz MNLLVFXOFUUHHW-QLFBSQMISA-N 1 2 317.437 1.506 20 30 DDEDLO CCCCC1(C(=O)N[C@@H]2C[N@H+](CC(=O)NCC#N)C[C@H]2C)CC1 ZINC001208506844 874204141 /nfs/dbraw/zinc/20/41/41/874204141.db2.gz LYTFKCAQADVFOD-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO CCCCC1(C(=O)N[C@@H]2C[N@@H+](CC(=O)NCC#N)C[C@H]2C)CC1 ZINC001208506844 874204154 /nfs/dbraw/zinc/20/41/54/874204154.db2.gz LYTFKCAQADVFOD-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+](Cc2nccc(C)n2)CC1 ZINC001227113286 882844598 /nfs/dbraw/zinc/84/45/98/882844598.db2.gz CTGQRNQYOLWKET-QGZVFWFLSA-N 1 2 318.421 1.193 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)oc1C ZINC001378649673 875324178 /nfs/dbraw/zinc/32/41/78/875324178.db2.gz WMDSLWBMKKBZLV-GXFFZTMASA-N 1 2 304.394 1.778 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)oc1C ZINC001378649673 875324197 /nfs/dbraw/zinc/32/41/97/875324197.db2.gz WMDSLWBMKKBZLV-GXFFZTMASA-N 1 2 304.394 1.778 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001211420870 875799581 /nfs/dbraw/zinc/79/95/81/875799581.db2.gz UWWSOUCGNPWKCU-UKRRQHHQSA-N 1 2 321.421 1.766 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001211420870 875799584 /nfs/dbraw/zinc/79/95/84/875799584.db2.gz UWWSOUCGNPWKCU-UKRRQHHQSA-N 1 2 321.421 1.766 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2csc(C)n2)CC1 ZINC001227308402 882963984 /nfs/dbraw/zinc/96/39/84/882963984.db2.gz VFZYSJAFXQCVOI-LBPRGKRZSA-N 1 2 321.446 1.570 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001350713788 875851895 /nfs/dbraw/zinc/85/18/95/875851895.db2.gz ZPOBIROGXQBRDX-ZDUSSCGKSA-N 1 2 304.394 1.155 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@@H]1CCC[C@@H]1NC(=O)c1[nH]ncc1F ZINC001379181760 876580156 /nfs/dbraw/zinc/58/01/56/876580156.db2.gz VJFOZRACUCNFSW-ONGXEEELSA-N 1 2 300.765 1.789 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)OCCCC)[C@H]2C1 ZINC001217469547 877207406 /nfs/dbraw/zinc/20/74/06/877207406.db2.gz QMWKWMFGUJFMIC-HRCADAONSA-N 1 2 308.422 1.127 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)OCCCC)[C@H]2C1 ZINC001217469547 877207415 /nfs/dbraw/zinc/20/74/15/877207415.db2.gz QMWKWMFGUJFMIC-HRCADAONSA-N 1 2 308.422 1.127 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(C)c2F)C1 ZINC001353464321 877351191 /nfs/dbraw/zinc/35/11/91/877351191.db2.gz HUQLXUYUDZBYIX-ZDUSSCGKSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(C)c2F)C1 ZINC001353464321 877351207 /nfs/dbraw/zinc/35/12/07/877351207.db2.gz HUQLXUYUDZBYIX-ZDUSSCGKSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CCN(C)c1nnc([C@@H](C)CC)n1C[C@H](C)[NH+]1CCOCC1 ZINC001300431261 877617197 /nfs/dbraw/zinc/61/71/97/877617197.db2.gz VXDLMRDHXBULDY-GJZGRUSLSA-N 1 2 319.453 1.582 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219102837 877918836 /nfs/dbraw/zinc/91/88/36/877918836.db2.gz BYWPOZDGWPVNHK-CVEARBPZSA-N 1 2 320.820 1.653 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219102837 877918851 /nfs/dbraw/zinc/91/88/51/877918851.db2.gz BYWPOZDGWPVNHK-CVEARBPZSA-N 1 2 320.820 1.653 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)CNC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001354488482 878015977 /nfs/dbraw/zinc/01/59/77/878015977.db2.gz GDVVLFITJXLLGM-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)CNC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001354488482 878015993 /nfs/dbraw/zinc/01/59/93/878015993.db2.gz GDVVLFITJXLLGM-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219209281 878017806 /nfs/dbraw/zinc/01/78/06/878017806.db2.gz YYAYOOSXAHZKLZ-XZJROXQQSA-N 1 2 319.380 1.405 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219209281 878017825 /nfs/dbraw/zinc/01/78/25/878017825.db2.gz YYAYOOSXAHZKLZ-XZJROXQQSA-N 1 2 319.380 1.405 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219208940 878018300 /nfs/dbraw/zinc/01/83/00/878018300.db2.gz UWYZDFSEHOKJDA-UAGQMJEPSA-N 1 2 300.402 1.246 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219208940 878018325 /nfs/dbraw/zinc/01/83/25/878018325.db2.gz UWYZDFSEHOKJDA-UAGQMJEPSA-N 1 2 300.402 1.246 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@](C)(NC(C)=O)C(C)C ZINC001379794188 878048408 /nfs/dbraw/zinc/04/84/08/878048408.db2.gz FYAXSUFHMMYLNC-SWLSCSKDSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@](C)(NC(C)=O)C(C)C ZINC001379794188 878048421 /nfs/dbraw/zinc/04/84/21/878048421.db2.gz FYAXSUFHMMYLNC-SWLSCSKDSA-N 1 2 317.861 1.726 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)NC(=O)Cc2[nH]c[nH+]c2C)cc1 ZINC001354609266 878103926 /nfs/dbraw/zinc/10/39/26/878103926.db2.gz JMNOQYQDIFISOS-GFCCVEGCSA-N 1 2 324.384 1.177 20 30 DDEDLO CC(C)=C(F)C(=O)N[C@@H]1C[N@H+](Cc2cccc(C#N)c2)C[C@@H]1O ZINC001219461465 878246540 /nfs/dbraw/zinc/24/65/40/878246540.db2.gz HMGMNFFVLUGFDI-CABCVRRESA-N 1 2 317.364 1.483 20 30 DDEDLO CC(C)=C(F)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1O ZINC001219461465 878246552 /nfs/dbraw/zinc/24/65/52/878246552.db2.gz HMGMNFFVLUGFDI-CABCVRRESA-N 1 2 317.364 1.483 20 30 DDEDLO CC[C@@H](C)CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219515893 878287585 /nfs/dbraw/zinc/28/75/85/878287585.db2.gz XMQYEBWDKDEZMP-NXHRZFHOSA-N 1 2 314.429 1.636 20 30 DDEDLO CC[C@@H](C)CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219515893 878287603 /nfs/dbraw/zinc/28/76/03/878287603.db2.gz XMQYEBWDKDEZMP-NXHRZFHOSA-N 1 2 314.429 1.636 20 30 DDEDLO C=CCO[C@@H]1CCN(c2nnc(C[NH+]3CCCCC3)n2C)C1 ZINC001355661374 878665120 /nfs/dbraw/zinc/66/51/20/878665120.db2.gz BTVNTODRQWGZFH-CQSZACIVSA-N 1 2 305.426 1.582 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)CCc2ccccc2F)[C@@H](O)C1 ZINC001220345202 878940774 /nfs/dbraw/zinc/94/07/74/878940774.db2.gz RFLJKETYIHBTBZ-XKQJLSEDSA-N 1 2 318.392 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)CCc2ccccc2F)[C@@H](O)C1 ZINC001220345202 878940782 /nfs/dbraw/zinc/94/07/82/878940782.db2.gz RFLJKETYIHBTBZ-XKQJLSEDSA-N 1 2 318.392 1.189 20 30 DDEDLO COC(=O)n1ncc(C#N)c1NC(=O)c1cnc([C@@H](C)[NH3+])s1 ZINC001220415581 878994738 /nfs/dbraw/zinc/99/47/38/878994738.db2.gz PHAOGIRBIDCYRU-ZCFIWIBFSA-N 1 2 320.334 1.098 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCN(C)C(=O)[C@H]2C[C@@H]2C)CC1 ZINC001356698281 879202111 /nfs/dbraw/zinc/20/21/11/879202111.db2.gz JJKGBOCHXYBEHN-HOTGVXAUSA-N 1 2 319.449 1.049 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](CC)NC(=O)Cc1c[nH+]cn1C ZINC001356921746 879591285 /nfs/dbraw/zinc/59/12/85/879591285.db2.gz SZSQLOQXJQFMRK-SUMWQHHRSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@@H+](Cc3cnc(C)cn3)C[C@H]21 ZINC001221442958 879807573 /nfs/dbraw/zinc/80/75/73/879807573.db2.gz DIQFICQTQMOYTR-GDBMZVCRSA-N 1 2 316.405 1.020 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@H+](Cc3cnc(C)cn3)C[C@H]21 ZINC001221442958 879807590 /nfs/dbraw/zinc/80/75/90/879807590.db2.gz DIQFICQTQMOYTR-GDBMZVCRSA-N 1 2 316.405 1.020 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001221514833 879906648 /nfs/dbraw/zinc/90/66/48/879906648.db2.gz NFRBXHRTSLELMD-BZUAXINKSA-N 1 2 312.417 1.874 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001221514833 879906653 /nfs/dbraw/zinc/90/66/53/879906653.db2.gz NFRBXHRTSLELMD-BZUAXINKSA-N 1 2 312.417 1.874 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)[C@@H]1C ZINC001287885456 912546487 /nfs/dbraw/zinc/54/64/87/912546487.db2.gz FPOQKHTYKWCTIO-OCCSQVGLSA-N 1 2 304.394 1.034 20 30 DDEDLO C=CCCC1(C(=O)NC[C@H](C)NC(=O)Cn2cc[nH+]c2)CC1 ZINC001358522988 880487427 /nfs/dbraw/zinc/48/74/27/880487427.db2.gz DRQFFXLZEBIIIE-ZDUSSCGKSA-N 1 2 304.394 1.250 20 30 DDEDLO C[C@@H](CNC(=O)C#CC(C)(C)C)NC(=O)CCCn1cc[nH+]c1 ZINC001358523092 880487538 /nfs/dbraw/zinc/48/75/38/880487538.db2.gz LDCZITNEAREQRF-AWEZNQCLSA-N 1 2 318.421 1.334 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1(NC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001358690987 880766141 /nfs/dbraw/zinc/76/61/41/880766141.db2.gz RBUUAONRKYNCNS-INIZCTEOSA-N 1 2 318.421 1.628 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(CNC(=O)C#CC(C)C)CC2)o1 ZINC001223096122 880827964 /nfs/dbraw/zinc/82/79/64/880827964.db2.gz BOGKZKXBUDAKNW-UHFFFAOYSA-N 1 2 304.394 1.366 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCCC(C)C ZINC001276898810 880998890 /nfs/dbraw/zinc/99/88/90/880998890.db2.gz LQPXQVBPRGKIAL-GJZGRUSLSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCC(C)C ZINC001276898810 880998894 /nfs/dbraw/zinc/99/88/94/880998894.db2.gz LQPXQVBPRGKIAL-GJZGRUSLSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)CC1CC(C)(C)C1 ZINC001276908455 881044683 /nfs/dbraw/zinc/04/46/83/881044683.db2.gz IPVHOKIMMVUBQY-DZGCQCFKSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)CC1CC(C)(C)C1 ZINC001276908455 881044701 /nfs/dbraw/zinc/04/47/01/881044701.db2.gz IPVHOKIMMVUBQY-DZGCQCFKSA-N 1 2 321.465 1.694 20 30 DDEDLO COc1ccc(OC)c(/C(=N/O)O[C@H]2C[NH+]3CCC2CC3)c1 ZINC001223892929 881136419 /nfs/dbraw/zinc/13/64/19/881136419.db2.gz NWBLDLKMIJNNJM-DZHJMQLISA-N 1 2 306.362 1.950 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224165513 881252707 /nfs/dbraw/zinc/25/27/07/881252707.db2.gz NXEFLJHCSVWGGU-UONOGXRCSA-N 1 2 319.453 1.602 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224187111 881261747 /nfs/dbraw/zinc/26/17/47/881261747.db2.gz LVJHDISYRCPLGX-CQSZACIVSA-N 1 2 319.453 1.746 20 30 DDEDLO CC#CCCCC(=O)NC1CC[NH+](Cc2nccn2C)CC1 ZINC001228718590 883640129 /nfs/dbraw/zinc/64/01/29/883640129.db2.gz QQFSAQHEINMLEZ-UHFFFAOYSA-N 1 2 302.422 1.694 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1CC[NH+](Cc2nonc2C)CC1 ZINC001228747697 883651339 /nfs/dbraw/zinc/65/13/39/883651339.db2.gz DHYVIAPQTAWNSX-VXGBXAGGSA-N 1 2 306.410 1.917 20 30 DDEDLO Cc1[nH]c2ccccc2c(=O)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001228963770 883749907 /nfs/dbraw/zinc/74/99/07/883749907.db2.gz REAKHJVOJRMBBX-ZJARZTPLSA-N 1 2 312.369 1.828 20 30 DDEDLO Cc1[nH]c2ccccc2c(=O)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001228963770 883749912 /nfs/dbraw/zinc/74/99/12/883749912.db2.gz REAKHJVOJRMBBX-ZJARZTPLSA-N 1 2 312.369 1.828 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)s1 ZINC001230594686 884631403 /nfs/dbraw/zinc/63/14/03/884631403.db2.gz KIFKTFILVVGXAY-AWEZNQCLSA-N 1 2 306.431 1.853 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)s1 ZINC001230594686 884631411 /nfs/dbraw/zinc/63/14/11/884631411.db2.gz KIFKTFILVVGXAY-AWEZNQCLSA-N 1 2 306.431 1.853 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)CCCCC)C1=O ZINC001230776056 884863963 /nfs/dbraw/zinc/86/39/63/884863963.db2.gz PAMFIJKKFLMLJQ-JKSUJKDBSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CCCCC)C1=O ZINC001230776056 884863984 /nfs/dbraw/zinc/86/39/84/884863984.db2.gz PAMFIJKKFLMLJQ-JKSUJKDBSA-N 1 2 321.465 1.886 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)CC ZINC001230784434 884871571 /nfs/dbraw/zinc/87/15/71/884871571.db2.gz FCKNNZBRQKTLKK-JKSUJKDBSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)CC ZINC001230784434 884871586 /nfs/dbraw/zinc/87/15/86/884871586.db2.gz FCKNNZBRQKTLKK-JKSUJKDBSA-N 1 2 323.481 1.990 20 30 DDEDLO N#Cc1cnn2ccc(OC3C[NH+](Cc4ccccc4)C3)nc12 ZINC001230961459 885091308 /nfs/dbraw/zinc/09/13/08/885091308.db2.gz DWEOGQQHNWLXRH-UHFFFAOYSA-N 1 2 305.341 1.864 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C\CC ZINC001231006291 885130876 /nfs/dbraw/zinc/13/08/76/885130876.db2.gz SQTPMDBUTQYYGJ-QUWCCNNGSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C\CC ZINC001231006291 885130882 /nfs/dbraw/zinc/13/08/82/885130882.db2.gz SQTPMDBUTQYYGJ-QUWCCNNGSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001288522267 912954393 /nfs/dbraw/zinc/95/43/93/912954393.db2.gz VEOBIKNKMFCVNU-ZIAGYGMSSA-N 1 2 318.421 1.166 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C1C[NH+](CCOc2ccccc2)C1 ZINC001277586270 885943941 /nfs/dbraw/zinc/94/39/41/885943941.db2.gz NWVAXEZBQDGIKD-GOSISDBHSA-N 1 2 318.417 1.535 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@@H]2Cc3ccccc3O2)C1 ZINC001277625040 886139931 /nfs/dbraw/zinc/13/99/31/886139931.db2.gz BFUSWQJELZLUDW-KRWDZBQOSA-N 1 2 316.401 1.335 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H](CC)c2c(C)noc2C)C1 ZINC001277671363 886425175 /nfs/dbraw/zinc/42/51/75/886425175.db2.gz SIQLPWRRQPDEOV-HNNXBMFYSA-N 1 2 303.406 1.951 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cncn1C ZINC001233490453 887033371 /nfs/dbraw/zinc/03/33/71/887033371.db2.gz RFQGJZJWNOSORT-HNNXBMFYSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cncn1C ZINC001233490453 887033381 /nfs/dbraw/zinc/03/33/81/887033381.db2.gz RFQGJZJWNOSORT-HNNXBMFYSA-N 1 2 320.437 1.436 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234118121 887663292 /nfs/dbraw/zinc/66/32/92/887663292.db2.gz FNLNRXBCYXXWQP-HNNXBMFYSA-N 1 2 307.438 1.047 20 30 DDEDLO CCN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234118121 887663300 /nfs/dbraw/zinc/66/33/00/887663300.db2.gz FNLNRXBCYXXWQP-HNNXBMFYSA-N 1 2 307.438 1.047 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)C)ccn1 ZINC001234118725 887663964 /nfs/dbraw/zinc/66/39/64/887663964.db2.gz KEEXMMZBIKGXAC-INIZCTEOSA-N 1 2 315.417 1.782 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)C)ccn1 ZINC001234118725 887663970 /nfs/dbraw/zinc/66/39/70/887663970.db2.gz KEEXMMZBIKGXAC-INIZCTEOSA-N 1 2 315.417 1.782 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)c1cnccn1 ZINC001234162191 887701119 /nfs/dbraw/zinc/70/11/19/887701119.db2.gz QBHZPQCJFQTNTH-CABCVRRESA-N 1 2 300.406 1.730 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)c1cnccn1 ZINC001234162191 887701127 /nfs/dbraw/zinc/70/11/27/887701127.db2.gz QBHZPQCJFQTNTH-CABCVRRESA-N 1 2 300.406 1.730 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CCOCC(F)(F)F)C(=O)C#CC1CC1 ZINC001234195469 887737460 /nfs/dbraw/zinc/73/74/60/887737460.db2.gz LFIATYHVHMMKJE-ZDUSSCGKSA-N 1 2 318.339 1.511 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CCOCC(F)(F)F)C(=O)C#CC1CC1 ZINC001234195469 887737477 /nfs/dbraw/zinc/73/74/77/887737477.db2.gz LFIATYHVHMMKJE-ZDUSSCGKSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001234216358 887757130 /nfs/dbraw/zinc/75/71/30/887757130.db2.gz WJJOZWZEHJEVKX-HUUCEWRRSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001234216358 887757142 /nfs/dbraw/zinc/75/71/42/887757142.db2.gz WJJOZWZEHJEVKX-HUUCEWRRSA-N 1 2 319.405 1.362 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NCC ZINC001234555542 888087328 /nfs/dbraw/zinc/08/73/28/888087328.db2.gz WFANWVLYGNCYFE-GFCCVEGCSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NCC ZINC001234555542 888087344 /nfs/dbraw/zinc/08/73/44/888087344.db2.gz WFANWVLYGNCYFE-GFCCVEGCSA-N 1 2 315.845 1.434 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@H]1C=CCCC1 ZINC001235777610 888939080 /nfs/dbraw/zinc/93/90/80/888939080.db2.gz LLWRNKYXAYLTBF-JKSUJKDBSA-N 1 2 319.449 1.568 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@H]1C=CCCC1 ZINC001235777610 888939087 /nfs/dbraw/zinc/93/90/87/888939087.db2.gz LLWRNKYXAYLTBF-JKSUJKDBSA-N 1 2 319.449 1.568 20 30 DDEDLO C#CCCCC(=O)NC1(C)CC[NH+](Cc2cnn(C)n2)CC1 ZINC001278046927 889483551 /nfs/dbraw/zinc/48/35/51/889483551.db2.gz XFFKWQZXPSVUSD-UHFFFAOYSA-N 1 2 303.410 1.089 20 30 DDEDLO N#Cc1cncc(CNC(=O)[C@@H]2CCC[N@@H+]2Cc2ccccn2)c1 ZINC001364900228 889634958 /nfs/dbraw/zinc/63/49/58/889634958.db2.gz QTGSQJDTNAWARI-KRWDZBQOSA-N 1 2 321.384 1.629 20 30 DDEDLO N#Cc1cncc(CNC(=O)[C@@H]2CCC[N@H+]2Cc2ccccn2)c1 ZINC001364900228 889634969 /nfs/dbraw/zinc/63/49/69/889634969.db2.gz QTGSQJDTNAWARI-KRWDZBQOSA-N 1 2 321.384 1.629 20 30 DDEDLO Cn1cc(C[N@@H+]2CC[C@@H](S(C)(=O)=O)C2)c2cc(C#N)ccc21 ZINC001237471156 889653681 /nfs/dbraw/zinc/65/36/81/889653681.db2.gz PPJKKNRJKNLRQV-CQSZACIVSA-N 1 2 317.414 1.669 20 30 DDEDLO Cn1cc(C[N@H+]2CC[C@@H](S(C)(=O)=O)C2)c2cc(C#N)ccc21 ZINC001237471156 889653686 /nfs/dbraw/zinc/65/36/86/889653686.db2.gz PPJKKNRJKNLRQV-CQSZACIVSA-N 1 2 317.414 1.669 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](Cc3nc(CO)cs3)C2)nc1 ZINC001364968492 889781654 /nfs/dbraw/zinc/78/16/54/889781654.db2.gz NIOUHPJUAXFVGX-CYBMUJFWSA-N 1 2 316.386 1.555 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](Cc3nc(CO)cs3)C2)nc1 ZINC001364968492 889781665 /nfs/dbraw/zinc/78/16/65/889781665.db2.gz NIOUHPJUAXFVGX-CYBMUJFWSA-N 1 2 316.386 1.555 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](CO)[NH2+]Cc1ncoc1C(C)C ZINC001278128263 889791450 /nfs/dbraw/zinc/79/14/50/889791450.db2.gz HRMVKOJEERKBEX-LBPRGKRZSA-N 1 2 309.410 1.577 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](CO)[NH2+]Cc2nnc(C)s2)CC1 ZINC001278146943 889848930 /nfs/dbraw/zinc/84/89/30/889848930.db2.gz IQWWCVNICQATMZ-ZDUSSCGKSA-N 1 2 324.450 1.160 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(C(=O)CC3(O)CCC3)CC2)c1 ZINC001365693850 891344694 /nfs/dbraw/zinc/34/46/94/891344694.db2.gz IQPGLFMDTQSTPK-UHFFFAOYSA-N 1 2 313.401 1.508 20 30 DDEDLO Cn1c[nH+]c(CCNS(=O)(=O)Cc2ccc(F)cc2C#N)c1 ZINC001365711864 891379350 /nfs/dbraw/zinc/37/93/50/891379350.db2.gz GADIYILKIDOBFR-UHFFFAOYSA-N 1 2 322.365 1.093 20 30 DDEDLO N#Cc1ncccc1-c1ccc(OCC[NH+]2CCOCC2)nc1 ZINC001242313451 891387096 /nfs/dbraw/zinc/38/70/96/891387096.db2.gz CVNNGWNAZPJYHO-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO C#CCOC[C@@H](O)C[N@@H+]1CCc2[nH]c3ccc(F)cc3c2C1 ZINC001251851420 894841390 /nfs/dbraw/zinc/84/13/90/894841390.db2.gz KTLQLIJAPVMQSS-ZDUSSCGKSA-N 1 2 302.349 1.676 20 30 DDEDLO C#CCOC[C@@H](O)C[N@H+]1CCc2[nH]c3ccc(F)cc3c2C1 ZINC001251851420 894841402 /nfs/dbraw/zinc/84/14/02/894841402.db2.gz KTLQLIJAPVMQSS-ZDUSSCGKSA-N 1 2 302.349 1.676 20 30 DDEDLO C=CCOC[C@@H]1C[N@@H+](C[C@H](C)O)Cc2nnn(CC3CC3)c21 ZINC001251960011 894915745 /nfs/dbraw/zinc/91/57/45/894915745.db2.gz KQBHOWAGNBJNOH-JSGCOSHPSA-N 1 2 306.410 1.171 20 30 DDEDLO C=CCOC[C@@H]1C[N@H+](C[C@H](C)O)Cc2nnn(CC3CC3)c21 ZINC001251960011 894915750 /nfs/dbraw/zinc/91/57/50/894915750.db2.gz KQBHOWAGNBJNOH-JSGCOSHPSA-N 1 2 306.410 1.171 20 30 DDEDLO C=CCOC[C@H](O)C[NH+]1CC(N(C)C(=O)OC(C)(C)C)C1 ZINC001252454933 895164496 /nfs/dbraw/zinc/16/44/96/895164496.db2.gz WOPUNGXDRHZILM-CYBMUJFWSA-N 1 2 300.399 1.101 20 30 DDEDLO C=CCC[C@@H](O)CN1CC[N@H+](Cc2ccccc2)[C@H](CO)C1 ZINC001252588732 895291753 /nfs/dbraw/zinc/29/17/53/895291753.db2.gz DZPJNNMOEOVEOY-ZWKOTPCHSA-N 1 2 304.434 1.492 20 30 DDEDLO C=CCC[C@@H](O)CN1CC[N@@H+](Cc2ccccc2)[C@H](CO)C1 ZINC001252588732 895291762 /nfs/dbraw/zinc/29/17/62/895291762.db2.gz DZPJNNMOEOVEOY-ZWKOTPCHSA-N 1 2 304.434 1.492 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCCn2c(Cn3cccn3)nnc2C1 ZINC001252599301 895309768 /nfs/dbraw/zinc/30/97/68/895309768.db2.gz ZTTWYVRYFMTWGF-CQSZACIVSA-N 1 2 316.409 1.056 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCCn2c(Cn3cccn3)nnc2C1 ZINC001252599301 895309786 /nfs/dbraw/zinc/30/97/86/895309786.db2.gz ZTTWYVRYFMTWGF-CQSZACIVSA-N 1 2 316.409 1.056 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001367071311 895750208 /nfs/dbraw/zinc/75/02/08/895750208.db2.gz MFJIURINZJEDMZ-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001367071311 895750193 /nfs/dbraw/zinc/75/01/93/895750193.db2.gz MFJIURINZJEDMZ-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1[C@H]1Cc2ccc(Br)cc2C1 ZINC001254278438 896333187 /nfs/dbraw/zinc/33/31/87/896333187.db2.gz KIDPEALKRALRPI-UONOGXRCSA-N 1 2 306.207 1.714 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@]1(O)CC[N@H+](Cc2cscn2)C1 ZINC001278777056 896365016 /nfs/dbraw/zinc/36/50/16/896365016.db2.gz SEEWJONJQBOLOC-MRXNPFEDSA-N 1 2 321.446 1.246 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@]1(O)CC[N@@H+](Cc2cscn2)C1 ZINC001278777056 896365031 /nfs/dbraw/zinc/36/50/31/896365031.db2.gz SEEWJONJQBOLOC-MRXNPFEDSA-N 1 2 321.446 1.246 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C[C@H](C)N(C)C(=O)c2cc(C#N)c[nH]2)n1 ZINC001367356860 896545781 /nfs/dbraw/zinc/54/57/81/896545781.db2.gz QOROGXSSFKYFIM-VHSXEESVSA-N 1 2 316.365 1.389 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]2C[C@H](N3CC[NH2+]C[C@@H]3C#N)C[C@@H]2C1 ZINC001254901993 896653105 /nfs/dbraw/zinc/65/31/05/896653105.db2.gz AQUJVXAGMTVXDR-XGUBFFRZSA-N 1 2 320.437 1.429 20 30 DDEDLO CC[C@@H](CC#N)[N@@H+]1C[C@H](NC(=O)OC(C)(C)C)C2(COC2)C1 ZINC001255177524 896770999 /nfs/dbraw/zinc/77/09/99/896770999.db2.gz UBTMLHSBTBGFTQ-STQMWFEESA-N 1 2 309.410 1.904 20 30 DDEDLO CC[C@@H](CC#N)[N@H+]1C[C@H](NC(=O)OC(C)(C)C)C2(COC2)C1 ZINC001255177524 896771005 /nfs/dbraw/zinc/77/10/05/896771005.db2.gz UBTMLHSBTBGFTQ-STQMWFEESA-N 1 2 309.410 1.904 20 30 DDEDLO CC(C)(C)[C@@H](CNCC#N)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001278972607 897618825 /nfs/dbraw/zinc/61/88/25/897618825.db2.gz FMDHOQNPPLVARP-GXTWGEPZSA-N 1 2 303.410 1.090 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)Cc2cc[nH]n2)s1 ZINC001367936818 898239235 /nfs/dbraw/zinc/23/92/35/898239235.db2.gz OLKGMXSRKJZVJF-GFCCVEGCSA-N 1 2 315.402 1.276 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)Cc2cc[nH]n2)s1 ZINC001367936818 898239247 /nfs/dbraw/zinc/23/92/47/898239247.db2.gz OLKGMXSRKJZVJF-GFCCVEGCSA-N 1 2 315.402 1.276 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H](N2CC[NH2+]C[C@H]2C#N)CC1(C)C ZINC001258557869 898243244 /nfs/dbraw/zinc/24/32/44/898243244.db2.gz XGUYHIVQGUHXES-ZIAGYGMSSA-N 1 2 322.453 1.962 20 30 DDEDLO Cc1cccc(NC(=O)[C@@H](C)[N@@H+](C)CCNC(=O)[C@@H](C)C#N)c1 ZINC001390740242 900066666 /nfs/dbraw/zinc/06/66/66/900066666.db2.gz DGCUEOVSFJQYOL-UONOGXRCSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1cccc(NC(=O)[C@@H](C)[N@H+](C)CCNC(=O)[C@@H](C)C#N)c1 ZINC001390740242 900066677 /nfs/dbraw/zinc/06/66/77/900066677.db2.gz DGCUEOVSFJQYOL-UONOGXRCSA-N 1 2 316.405 1.530 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cnc2n[nH]c(C)c2c1 ZINC001390857324 900372752 /nfs/dbraw/zinc/37/27/52/900372752.db2.gz GOUWGDRGFHFYDM-UHFFFAOYSA-N 1 2 307.785 1.680 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cnc2n[nH]c(C)c2c1 ZINC001390857324 900372763 /nfs/dbraw/zinc/37/27/63/900372763.db2.gz GOUWGDRGFHFYDM-UHFFFAOYSA-N 1 2 307.785 1.680 20 30 DDEDLO C=CCN(CC=C)c1nnc(C[NH+]2CCC(CO)CC2)n1C ZINC001262898565 900411656 /nfs/dbraw/zinc/41/16/56/900411656.db2.gz OIPPBMGTXOLZPT-UHFFFAOYSA-N 1 2 305.426 1.198 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@@H]3C[C@H](O)C[N@H+]3C)n2CC=C)C1 ZINC001262947746 900431968 /nfs/dbraw/zinc/43/19/68/900431968.db2.gz SOLRQPFHBVVEDA-ILXRZTDVSA-N 1 2 315.421 1.051 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@@H]3C[C@H](O)C[N@@H+]3C)n2CC=C)C1 ZINC001262947746 900431977 /nfs/dbraw/zinc/43/19/77/900431977.db2.gz SOLRQPFHBVVEDA-ILXRZTDVSA-N 1 2 315.421 1.051 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)C(C)C)n2CCOC)CC1 ZINC001263276008 900553802 /nfs/dbraw/zinc/55/38/02/900553802.db2.gz SCCFGGCCYUXKRI-OAHLLOKOSA-N 1 2 319.453 1.439 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@@]1(C)CCNC(=O)C1)C1CC1 ZINC001391177422 901146261 /nfs/dbraw/zinc/14/62/61/901146261.db2.gz XUHLHFBPFZUYJA-HNNXBMFYSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@@]1(C)CCNC(=O)C1)C1CC1 ZINC001391177422 901146266 /nfs/dbraw/zinc/14/62/66/901146266.db2.gz XUHLHFBPFZUYJA-HNNXBMFYSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)Cn1cc(Cl)cn1)C1CC1 ZINC001391187855 901171729 /nfs/dbraw/zinc/17/17/29/901171729.db2.gz IGLBAXMFVLDJNV-UHFFFAOYSA-N 1 2 317.220 1.870 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)Cn1cc(Cl)cn1)C1CC1 ZINC001391187855 901171735 /nfs/dbraw/zinc/17/17/35/901171735.db2.gz IGLBAXMFVLDJNV-UHFFFAOYSA-N 1 2 317.220 1.870 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2cc(Cl)c[nH]2)C1 ZINC001265203159 901695685 /nfs/dbraw/zinc/69/56/85/901695685.db2.gz DKTVBEXVMAADBB-GFCCVEGCSA-N 1 2 324.812 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2cc(Cl)c[nH]2)C1 ZINC001265203159 901695695 /nfs/dbraw/zinc/69/56/95/901695695.db2.gz DKTVBEXVMAADBB-GFCCVEGCSA-N 1 2 324.812 1.165 20 30 DDEDLO CC#CC[NH+]1CCN(CCCNC(=O)c2ccoc2CC)CC1 ZINC001265243394 901768153 /nfs/dbraw/zinc/76/81/53/901768153.db2.gz QIGCURWIXRNUMF-UHFFFAOYSA-N 1 2 317.433 1.603 20 30 DDEDLO CC[C@H](F)C[NH+]1CCN(CCCNC(=O)C#CC2CC2)CC1 ZINC001265243330 901768826 /nfs/dbraw/zinc/76/88/26/901768826.db2.gz NTIGVYQMRUOOQY-INIZCTEOSA-N 1 2 309.429 1.272 20 30 DDEDLO COCC#CCN1CC[NH+](CCNC(=O)CCCC(C)C)CC1 ZINC001265264412 901786713 /nfs/dbraw/zinc/78/67/13/901786713.db2.gz OZNABWRKUPCOED-UHFFFAOYSA-N 1 2 323.481 1.196 20 30 DDEDLO COCC#CC[NH+]1CCN(CCNC(=O)CCCC(C)C)CC1 ZINC001265264412 901786723 /nfs/dbraw/zinc/78/67/23/901786723.db2.gz OZNABWRKUPCOED-UHFFFAOYSA-N 1 2 323.481 1.196 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001265286171 901827253 /nfs/dbraw/zinc/82/72/53/901827253.db2.gz PCCNZDMXWNKKNF-HIFRSBDPSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001265286171 901827262 /nfs/dbraw/zinc/82/72/62/901827262.db2.gz PCCNZDMXWNKKNF-HIFRSBDPSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1CC(C)C1 ZINC001265403847 901977906 /nfs/dbraw/zinc/97/79/06/901977906.db2.gz WKOGERUPAYJCKB-YLZDHBLKSA-N 1 2 319.449 1.398 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1CC(C)C1 ZINC001265403847 901977917 /nfs/dbraw/zinc/97/79/17/901977917.db2.gz WKOGERUPAYJCKB-YLZDHBLKSA-N 1 2 319.449 1.398 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCC(=O)NCCC)C1 ZINC001369848750 902070926 /nfs/dbraw/zinc/07/09/26/902070926.db2.gz UASUPQMRILGPHH-CYBMUJFWSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCC(=O)NCCC)C1 ZINC001369848750 902070942 /nfs/dbraw/zinc/07/09/42/902070942.db2.gz UASUPQMRILGPHH-CYBMUJFWSA-N 1 2 315.845 1.626 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[N@@H+]([C@H](C)c2nnnn2C)C1 ZINC001265577574 902149591 /nfs/dbraw/zinc/14/95/91/902149591.db2.gz KEMWJYGMIPKLCG-OLZOCXBDSA-N 1 2 306.414 1.066 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[N@H+]([C@H](C)c2nnnn2C)C1 ZINC001265577574 902149598 /nfs/dbraw/zinc/14/95/98/902149598.db2.gz KEMWJYGMIPKLCG-OLZOCXBDSA-N 1 2 306.414 1.066 20 30 DDEDLO CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001369961381 902287259 /nfs/dbraw/zinc/28/72/59/902287259.db2.gz UUCDOZFREHMLDR-WFASDCNBSA-N 1 2 319.380 1.813 20 30 DDEDLO CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001369961381 902287271 /nfs/dbraw/zinc/28/72/71/902287271.db2.gz UUCDOZFREHMLDR-WFASDCNBSA-N 1 2 319.380 1.813 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)[NH2+]Cc2ncc(C3CC3)o2)nc1 ZINC001265850442 902487531 /nfs/dbraw/zinc/48/75/31/902487531.db2.gz GSKSWPGJYQVBID-LBPRGKRZSA-N 1 2 324.384 1.836 20 30 DDEDLO CCCN(C(=O)[C@H](C)C#N)C1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001370088253 902522846 /nfs/dbraw/zinc/52/28/46/902522846.db2.gz LWHHOSGBMNIKFP-GFCCVEGCSA-N 1 2 319.409 1.741 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)[NH2+]Cc1noc(CC(C)C)n1 ZINC001266143901 903014424 /nfs/dbraw/zinc/01/44/24/903014424.db2.gz JENOVVGHRSNYCJ-AWEZNQCLSA-N 1 2 320.437 1.912 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C[C@@H]2C=CCC2)C1 ZINC001266200812 903095099 /nfs/dbraw/zinc/09/50/99/903095099.db2.gz RBKZLUHDFQKOGG-HUUCEWRRSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C[C@@H]2C=CCC2)C1 ZINC001266200812 903095107 /nfs/dbraw/zinc/09/51/07/903095107.db2.gz RBKZLUHDFQKOGG-HUUCEWRRSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001266239880 903174994 /nfs/dbraw/zinc/17/49/94/903174994.db2.gz KQKZRLONLYULLM-BZUAXINKSA-N 1 2 321.465 1.551 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001266239880 903175001 /nfs/dbraw/zinc/17/50/01/903175001.db2.gz KQKZRLONLYULLM-BZUAXINKSA-N 1 2 321.465 1.551 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)C1(CC)CCOCC1 ZINC001280417941 903654247 /nfs/dbraw/zinc/65/42/47/903654247.db2.gz JVFXPYLXVGTMRG-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)C1(CC)CCOCC1 ZINC001280417941 903654250 /nfs/dbraw/zinc/65/42/50/903654250.db2.gz JVFXPYLXVGTMRG-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)C(C)(C)c1c[nH]cn1 ZINC001280421563 903658046 /nfs/dbraw/zinc/65/80/46/903658046.db2.gz OPUNFXJXUBMLTJ-ZDUSSCGKSA-N 1 2 306.410 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)C(C)(C)c1c[nH]cn1 ZINC001280421563 903658051 /nfs/dbraw/zinc/65/80/51/903658051.db2.gz OPUNFXJXUBMLTJ-ZDUSSCGKSA-N 1 2 306.410 1.080 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)OCCc1ccccc1 ZINC001316604994 903850991 /nfs/dbraw/zinc/85/09/91/903850991.db2.gz HSLIHOLUOWBTON-AEFFLSMTSA-N 1 2 314.429 1.800 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)OCCc1ccccc1 ZINC001316604994 903850999 /nfs/dbraw/zinc/85/09/99/903850999.db2.gz HSLIHOLUOWBTON-AEFFLSMTSA-N 1 2 314.429 1.800 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)CC(C)(C)COC)CO2 ZINC001280945039 904224674 /nfs/dbraw/zinc/22/46/74/904224674.db2.gz PGOGKVGJWRVYDE-AWEZNQCLSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)CN(C)C(=O)CCn2cc[nH+]c2)C1 ZINC001281030794 904335090 /nfs/dbraw/zinc/33/50/90/904335090.db2.gz ZZVANJWWQDJXHY-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO CC1(NCC#N)CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC001281507682 904954042 /nfs/dbraw/zinc/95/40/42/904954042.db2.gz GLVUYVLJTLSCDC-UHFFFAOYSA-N 1 2 323.400 1.980 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](Cc2cnn(C)n2)[C@@H](C)C1 ZINC001281660433 905137274 /nfs/dbraw/zinc/13/72/74/905137274.db2.gz XHEJDYQCVLBKBO-GJZGRUSLSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnn(C)n2)[C@@H](C)C1 ZINC001281660433 905137293 /nfs/dbraw/zinc/13/72/93/905137293.db2.gz XHEJDYQCVLBKBO-GJZGRUSLSA-N 1 2 317.437 1.478 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@H]2C[C@@H](CNC(=O)C#CC(C)(C)C)C2)no1 ZINC001316616577 905407469 /nfs/dbraw/zinc/40/74/69/905407469.db2.gz WXRQNIUWTSIDKK-FPMFFAJLSA-N 1 2 318.421 1.973 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001282092280 905539535 /nfs/dbraw/zinc/53/95/35/905539535.db2.gz CAPBWYMYTGNNID-BETUJISGSA-N 1 2 318.421 1.566 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)[C@@H](C)[NH2+]Cc1nnc(C(C)C)o1 ZINC001282121356 905560833 /nfs/dbraw/zinc/56/08/33/905560833.db2.gz CIBGSPKGYUXTLF-CHWSQXEVSA-N 1 2 306.410 1.979 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](C)Cc1nc(C)c(C)o1 ZINC001282391108 905740639 /nfs/dbraw/zinc/74/06/39/905740639.db2.gz LWLOJMYLWIXWRN-BXUZGUMPSA-N 1 2 307.394 1.266 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001282391108 905740652 /nfs/dbraw/zinc/74/06/52/905740652.db2.gz LWLOJMYLWIXWRN-BXUZGUMPSA-N 1 2 307.394 1.266 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)[N@H+](C)CC(=O)Nc1nccs1 ZINC001282442636 905790041 /nfs/dbraw/zinc/79/00/41/905790041.db2.gz CIZLHJUEQBPULT-NSHDSACASA-N 1 2 324.450 1.730 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)[N@@H+](C)CC(=O)Nc1nccs1 ZINC001282442636 905790052 /nfs/dbraw/zinc/79/00/52/905790052.db2.gz CIZLHJUEQBPULT-NSHDSACASA-N 1 2 324.450 1.730 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2ccc(C3CC3)cn2)C1 ZINC001282540104 905860077 /nfs/dbraw/zinc/86/00/77/905860077.db2.gz VZBWEDPOVQIWGW-UHFFFAOYSA-N 1 2 313.401 1.149 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)c2sccc2C)C1 ZINC001282680486 905945400 /nfs/dbraw/zinc/94/54/00/905945400.db2.gz BPQCDMVKBGNTNF-GFCCVEGCSA-N 1 2 321.446 1.409 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(C)(CNC(=O)Cc2nnc[nH]2)CC1 ZINC001393181612 906397869 /nfs/dbraw/zinc/39/78/69/906397869.db2.gz BVZBQEWLWFVLOE-UHFFFAOYSA-N 1 2 311.817 1.318 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001283036929 906510847 /nfs/dbraw/zinc/51/08/47/906510847.db2.gz SSKOKBOMBMXHNN-ZDUSSCGKSA-N 1 2 318.421 1.280 20 30 DDEDLO CC[C@H](NC(C)=O)C(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001372122974 906515519 /nfs/dbraw/zinc/51/55/19/906515519.db2.gz LAWJILQARJSUCY-INIZCTEOSA-N 1 2 316.405 1.021 20 30 DDEDLO CC[C@H](NC(C)=O)C(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001372122974 906515535 /nfs/dbraw/zinc/51/55/35/906515535.db2.gz LAWJILQARJSUCY-INIZCTEOSA-N 1 2 316.405 1.021 20 30 DDEDLO CC(C)CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001393512617 907185452 /nfs/dbraw/zinc/18/54/52/907185452.db2.gz RBQJHDXNVLOLMR-OAHLLOKOSA-N 1 2 318.421 1.753 20 30 DDEDLO CC(C)CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001393512617 907185461 /nfs/dbraw/zinc/18/54/61/907185461.db2.gz RBQJHDXNVLOLMR-OAHLLOKOSA-N 1 2 318.421 1.753 20 30 DDEDLO Cc1ccc(C[NH+]2CC3(C2)C[C@H](NC(=O)[C@@H](C)C#N)CO3)cc1 ZINC001393663109 907641653 /nfs/dbraw/zinc/64/16/53/907641653.db2.gz KENXKTTVQLAJDG-HOCLYGCPSA-N 1 2 313.401 1.614 20 30 DDEDLO CC(C)[C@@H](C)C(=O)N(CC[N@H+](C)CC(=O)NCC#N)C(C)C ZINC001332732022 907964800 /nfs/dbraw/zinc/96/48/00/907964800.db2.gz GPWQHNNNDABIHC-CQSZACIVSA-N 1 2 310.442 1.087 20 30 DDEDLO CC(C)[C@@H](C)C(=O)N(CC[N@@H+](C)CC(=O)NCC#N)C(C)C ZINC001332732022 907964805 /nfs/dbraw/zinc/96/48/05/907964805.db2.gz GPWQHNNNDABIHC-CQSZACIVSA-N 1 2 310.442 1.087 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001283881718 908125286 /nfs/dbraw/zinc/12/52/86/908125286.db2.gz ZPXSFYKWYSGCEV-JSGCOSHPSA-N 1 2 318.421 1.580 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)CCCC(C)=O)[C@H]1C ZINC001284102304 908479116 /nfs/dbraw/zinc/47/91/16/908479116.db2.gz NMSKYPQVRDBKSE-CVEARBPZSA-N 1 2 308.422 1.365 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)CCCC(C)=O)[C@H]1C ZINC001284102304 908479120 /nfs/dbraw/zinc/47/91/20/908479120.db2.gz NMSKYPQVRDBKSE-CVEARBPZSA-N 1 2 308.422 1.365 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CC[C@@H](CNCC#N)C3)ccn12 ZINC001284173955 908592253 /nfs/dbraw/zinc/59/22/53/908592253.db2.gz MGRDCESOARWWLQ-UKRRQHHQSA-N 1 2 311.389 1.654 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)CCc1cc(OC)no1 ZINC001394070359 908701886 /nfs/dbraw/zinc/70/18/86/908701886.db2.gz QIOSWEDHHHWIDK-NSHDSACASA-N 1 2 315.801 1.805 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)CCc1cc(OC)no1 ZINC001394070359 908701897 /nfs/dbraw/zinc/70/18/97/908701897.db2.gz QIOSWEDHHHWIDK-NSHDSACASA-N 1 2 315.801 1.805 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001284305122 908800829 /nfs/dbraw/zinc/80/08/29/908800829.db2.gz YCXBJOYSVBBDMK-KGLIPLIRSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001284376790 908910508 /nfs/dbraw/zinc/91/05/08/908910508.db2.gz XHNBODBDDHVHAC-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C)C(=O)Cc1c[nH+]ccc1OC ZINC001284567597 909192731 /nfs/dbraw/zinc/19/27/31/909192731.db2.gz FQEYQCHWJJCFAX-UHFFFAOYSA-N 1 2 319.405 1.420 20 30 DDEDLO C=CC(C)(C)CC(=O)N(CC)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001284640388 909286186 /nfs/dbraw/zinc/28/61/86/909286186.db2.gz AFAIJEQMTMWCCC-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](CNC(=O)C#CC(C)C)C(C)C ZINC001285316278 910342866 /nfs/dbraw/zinc/34/28/66/910342866.db2.gz OLQGZSVBFDWEKW-HNNXBMFYSA-N 1 2 318.421 1.177 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)[C@@H](C)NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001285372286 910436480 /nfs/dbraw/zinc/43/64/80/910436480.db2.gz AUSULDCGOGINHQ-KGLIPLIRSA-N 1 2 318.421 1.639 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC=CCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001285589056 910835677 /nfs/dbraw/zinc/83/56/77/910835677.db2.gz PWTKLTYWCMJFPE-VUDBWIFFSA-N 1 2 311.345 1.125 20 30 DDEDLO CCc1noc(C[NH2+][C@H](CC)CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001373746123 910836116 /nfs/dbraw/zinc/83/61/16/910836116.db2.gz ZQFMUQIEVHUJMD-SNVBAGLBSA-N 1 2 316.365 1.130 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)N(C)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001285624216 910901933 /nfs/dbraw/zinc/90/19/33/910901933.db2.gz AAUUKBCYZJKQCJ-KBPBESRZSA-N 1 2 318.421 1.375 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001285683436 911031914 /nfs/dbraw/zinc/03/19/14/911031914.db2.gz KWFRZEMZILEJRD-UONOGXRCSA-N 1 2 318.421 1.403 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001285683436 911031941 /nfs/dbraw/zinc/03/19/41/911031941.db2.gz KWFRZEMZILEJRD-UONOGXRCSA-N 1 2 318.421 1.403 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001285722903 911100963 /nfs/dbraw/zinc/10/09/63/911100963.db2.gz GXKNUDPLAAMROK-LBPRGKRZSA-N 1 2 304.394 1.075 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@](C)(C[NH2+]Cc1noc(C2CC2)n1)C1CC1 ZINC001373873406 911245370 /nfs/dbraw/zinc/24/53/70/911245370.db2.gz IXWDLQKYHBIMHI-MGPLVRAMSA-N 1 2 317.393 1.481 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001285875419 911347860 /nfs/dbraw/zinc/34/78/60/911347860.db2.gz KRYQGRIHOJWFQF-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[NH+](Cc2ccc(-n3cccn3)cc2)CC1 ZINC001374052427 911744428 /nfs/dbraw/zinc/74/44/28/911744428.db2.gz IAZOKVIATKBOKA-OAHLLOKOSA-N 1 2 323.400 1.676 20 30 DDEDLO C=C1CCC(C(=O)N[C@H](C)CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001295548219 915889595 /nfs/dbraw/zinc/88/95/95/915889595.db2.gz IBQYMSKVFLMJCE-CYBMUJFWSA-N 1 2 304.394 1.250 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)CCCC)CC1 ZINC001296825723 916582826 /nfs/dbraw/zinc/58/28/26/916582826.db2.gz YZUVSMDNFXRPDI-UHFFFAOYSA-N 1 2 309.454 1.745 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1CN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001297112548 916734585 /nfs/dbraw/zinc/73/45/85/916734585.db2.gz RCKLGBYFOLCYFC-UHFFFAOYSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(C)CCC(=O)N(CC)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001297324776 916890486 /nfs/dbraw/zinc/89/04/86/916890486.db2.gz CFLFGBSJSZWSAJ-HNNXBMFYSA-N 1 2 318.421 1.689 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC[N@H+](Cc2nc(C3CC3)no2)C1 ZINC001376532690 918706394 /nfs/dbraw/zinc/70/63/94/918706394.db2.gz LYYGUEPMSRYVTJ-NEPJUHHUSA-N 1 2 317.393 1.435 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC[N@@H+](Cc2nc(C3CC3)no2)C1 ZINC001376532690 918706399 /nfs/dbraw/zinc/70/63/99/918706399.db2.gz LYYGUEPMSRYVTJ-NEPJUHHUSA-N 1 2 317.393 1.435 20 30 DDEDLO COc1cc(C[N@@H+]2CCCC[C@@H]2CNC(=O)[C@H](C)C#N)sn1 ZINC001376581361 918806740 /nfs/dbraw/zinc/80/67/40/918806740.db2.gz UBGIYVOWPNRIFD-VXGBXAGGSA-N 1 2 322.434 1.782 20 30 DDEDLO COc1cc(C[N@H+]2CCCC[C@@H]2CNC(=O)[C@H](C)C#N)sn1 ZINC001376581361 918806749 /nfs/dbraw/zinc/80/67/49/918806749.db2.gz UBGIYVOWPNRIFD-VXGBXAGGSA-N 1 2 322.434 1.782 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cc(C)nc2n[nH]c(C)c21 ZINC001377232763 920716433 /nfs/dbraw/zinc/71/64/33/920716433.db2.gz NNSWNMQLOPNEDT-UHFFFAOYSA-N 1 2 321.812 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cc(C)nc2n[nH]c(C)c21 ZINC001377232763 920716446 /nfs/dbraw/zinc/71/64/46/920716446.db2.gz NNSWNMQLOPNEDT-UHFFFAOYSA-N 1 2 321.812 1.989 20 30 DDEDLO CCn1c[nH+]cc1CN1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000563583832 303960979 /nfs/dbraw/zinc/96/09/79/303960979.db2.gz CGGXWDDZVYZKJL-UHFFFAOYSA-N 1 2 313.409 1.139 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N(CC)C[C@H](C)C#N)C[C@@]2(CCOC2)O1 ZINC000331487065 529385574 /nfs/dbraw/zinc/38/55/74/529385574.db2.gz AKJHZGABKRUXMV-BFYDXBDKSA-N 1 2 323.437 1.264 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N(CC)C[C@H](C)C#N)C[C@@]2(CCOC2)O1 ZINC000331487065 529385575 /nfs/dbraw/zinc/38/55/75/529385575.db2.gz AKJHZGABKRUXMV-BFYDXBDKSA-N 1 2 323.437 1.264 20 30 DDEDLO CC[C@]1(C)COCC[N@@H+]1CC(=O)NC(=O)Nc1ccccc1 ZINC000330502589 529408217 /nfs/dbraw/zinc/40/82/17/529408217.db2.gz BRSASPLHWFOVCU-MRXNPFEDSA-N 1 2 305.378 1.849 20 30 DDEDLO CC[C@]1(C)COCC[N@H+]1CC(=O)NC(=O)Nc1ccccc1 ZINC000330502589 529408222 /nfs/dbraw/zinc/40/82/22/529408222.db2.gz BRSASPLHWFOVCU-MRXNPFEDSA-N 1 2 305.378 1.849 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)N2CCCC2)[N@H+](Cc2cc(C#N)cs2)C1 ZINC000328949420 231396698 /nfs/dbraw/zinc/39/66/98/231396698.db2.gz NDVCDMVLFDHTHH-ZFWWWQNUSA-N 1 2 319.430 1.831 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)N2CCCC2)[N@@H+](Cc2cc(C#N)cs2)C1 ZINC000328949420 231396700 /nfs/dbraw/zinc/39/67/00/231396700.db2.gz NDVCDMVLFDHTHH-ZFWWWQNUSA-N 1 2 319.430 1.831 20 30 DDEDLO CCOC(=O)[C@H](Cc1ccccc1)[NH2+]C1CCN(CC#N)CC1 ZINC000615117701 362160344 /nfs/dbraw/zinc/16/03/44/362160344.db2.gz HBPYJNOVVPVYST-KRWDZBQOSA-N 1 2 315.417 1.738 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)C[C@H]2Oc3ccccc3NC2=O)C1 ZINC000328662840 539298425 /nfs/dbraw/zinc/29/84/25/539298425.db2.gz AVRKJDGPKFRJQL-BXUZGUMPSA-N 1 2 319.361 1.713 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)C[C@H]2Oc3ccccc3NC2=O)C1 ZINC000328662840 539298426 /nfs/dbraw/zinc/29/84/26/539298426.db2.gz AVRKJDGPKFRJQL-BXUZGUMPSA-N 1 2 319.361 1.713 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)CSCC(=O)N1CCCCC1 ZINC000328894652 539299384 /nfs/dbraw/zinc/29/93/84/539299384.db2.gz AYXTZQOUJRWWDQ-UHFFFAOYSA-N 1 2 310.423 1.622 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CC(=O)N[C@@H]4CCCC[C@@H]43)n2c1 ZINC000092703152 185334370 /nfs/dbraw/zinc/33/43/70/185334370.db2.gz UHTFNESLVAADJM-CABCVRRESA-N 1 2 309.373 1.449 20 30 DDEDLO CN1CN(C(=O)NCc2ccc(N3CCCCC3)[nH+]c2)CC1=O ZINC000329754522 529815234 /nfs/dbraw/zinc/81/52/34/529815234.db2.gz XJTMMCBCCZSSII-UHFFFAOYSA-N 1 2 317.393 1.217 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)N[C@H]1CCC(=O)NC1(C)C ZINC000330433805 529813975 /nfs/dbraw/zinc/81/39/75/529813975.db2.gz LEDWUMMGNQAXHA-LBPRGKRZSA-N 1 2 319.409 1.208 20 30 DDEDLO CNC(=O)CC1CCN(C(=O)NCCCn2cc[nH+]c2)CC1 ZINC000330986304 529845201 /nfs/dbraw/zinc/84/52/01/529845201.db2.gz LLMBZENWPHZPOC-UHFFFAOYSA-N 1 2 307.398 1.035 20 30 DDEDLO CNC(=O)CC1CCN(C([O-])=[NH+]CCCn2cc[nH+]c2)CC1 ZINC000330986304 529845202 /nfs/dbraw/zinc/84/52/02/529845202.db2.gz LLMBZENWPHZPOC-UHFFFAOYSA-N 1 2 307.398 1.035 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cccc2c1CCCC(=O)N2 ZINC000414130975 529868314 /nfs/dbraw/zinc/86/83/14/529868314.db2.gz YARYLOGMLUKUJI-ZDUSSCGKSA-N 1 2 317.389 1.547 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cccc2c1CCCC(=O)N2 ZINC000414130975 529868316 /nfs/dbraw/zinc/86/83/16/529868316.db2.gz YARYLOGMLUKUJI-ZDUSSCGKSA-N 1 2 317.389 1.547 20 30 DDEDLO N#CC1CC[NH+](CC(=O)NC(=O)NCc2ccccc2)CC1 ZINC000057907897 334954259 /nfs/dbraw/zinc/95/42/59/334954259.db2.gz GUOYVECWCNNHPV-UHFFFAOYSA-N 1 2 300.362 1.248 20 30 DDEDLO CCN1OC[C@H]([N@@H+]2CCCN(c3ccccc3C#N)CC2)C1=O ZINC000616015882 362521373 /nfs/dbraw/zinc/52/13/73/362521373.db2.gz NMDWFQFFSAAMTC-INIZCTEOSA-N 1 2 314.389 1.233 20 30 DDEDLO CCN1OC[C@H]([N@H+]2CCCN(c3ccccc3C#N)CC2)C1=O ZINC000616015882 362521379 /nfs/dbraw/zinc/52/13/79/362521379.db2.gz NMDWFQFFSAAMTC-INIZCTEOSA-N 1 2 314.389 1.233 20 30 DDEDLO C=CC[C@@H](C)NC(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000623623245 365964117 /nfs/dbraw/zinc/96/41/17/365964117.db2.gz FICKIMRKZFQSOC-GDBMZVCRSA-N 1 2 318.421 1.546 20 30 DDEDLO C=CC[C@@H](C)NC(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000623623245 365964120 /nfs/dbraw/zinc/96/41/20/365964120.db2.gz FICKIMRKZFQSOC-GDBMZVCRSA-N 1 2 318.421 1.546 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCO[C@H](CCc2ccccc2)C1 ZINC000186067348 186238084 /nfs/dbraw/zinc/23/80/84/186238084.db2.gz PIISSFHBKJTBSA-DOTOQJQBSA-N 1 2 300.402 1.458 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCO[C@H](CCc2ccccc2)C1 ZINC000186067348 186238086 /nfs/dbraw/zinc/23/80/86/186238086.db2.gz PIISSFHBKJTBSA-DOTOQJQBSA-N 1 2 300.402 1.458 20 30 DDEDLO CN(C(=O)C[N@H+](C)CC(=O)NC(C)(C)C)C1(C#N)CCCCC1 ZINC000007660285 352126703 /nfs/dbraw/zinc/12/67/03/352126703.db2.gz CFVCJBHNHWCVOB-UHFFFAOYSA-N 1 2 322.453 1.518 20 30 DDEDLO CN(C(=O)C[N@@H+](C)CC(=O)NC(C)(C)C)C1(C#N)CCCCC1 ZINC000007660285 352126706 /nfs/dbraw/zinc/12/67/06/352126706.db2.gz CFVCJBHNHWCVOB-UHFFFAOYSA-N 1 2 322.453 1.518 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000029858361 352246253 /nfs/dbraw/zinc/24/62/53/352246253.db2.gz JRDKKLSDZMXSHA-CYBMUJFWSA-N 1 2 316.380 1.510 20 30 DDEDLO CCCS(=O)(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000030137413 352249815 /nfs/dbraw/zinc/24/98/15/352249815.db2.gz UJQTXVALMPDBNG-UHFFFAOYSA-N 1 2 307.419 1.416 20 30 DDEDLO Cc1cc(N)nc(SCc2cc(=O)n3ncc(C#N)c3[nH]2)[nH+]1 ZINC000042153340 352331717 /nfs/dbraw/zinc/33/17/17/352331717.db2.gz PFCOEGDEUQJBKG-UHFFFAOYSA-N 1 2 313.346 1.280 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000044714725 352392878 /nfs/dbraw/zinc/39/28/78/352392878.db2.gz XDVBXUJRESFKFK-CQSZACIVSA-N 1 2 315.421 1.236 20 30 DDEDLO N#Cc1ccc(OCC[NH+]2CCN(C[C@@H]3CCCO3)CC2)cc1 ZINC000057884764 352832110 /nfs/dbraw/zinc/83/21/10/352832110.db2.gz IHGDPXLZYGTEKX-SFHVURJKSA-N 1 2 315.417 1.734 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1C(=O)N1CCSCC1 ZINC000069246643 353152406 /nfs/dbraw/zinc/15/24/06/353152406.db2.gz XMNSANRRKOWSJL-NSHDSACASA-N 1 2 319.268 1.935 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1C(=O)N1CCSCC1 ZINC000069246643 353152407 /nfs/dbraw/zinc/15/24/07/353152407.db2.gz XMNSANRRKOWSJL-NSHDSACASA-N 1 2 319.268 1.935 20 30 DDEDLO CN(C(=O)C[NH+]1CCN(c2ccccn2)CC1)C1(C#N)CCC1 ZINC000073051969 191273087 /nfs/dbraw/zinc/27/30/87/191273087.db2.gz VUOHOCXLYIMJSL-UHFFFAOYSA-N 1 2 313.405 1.108 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000174334809 198321018 /nfs/dbraw/zinc/32/10/18/198321018.db2.gz MGLJBSMUCNWZER-MNOVXSKESA-N 1 2 316.336 1.664 20 30 DDEDLO N#CCC[N@@H+](CC(=O)N1CCO[C@@H]2CCCC[C@@H]21)CC1CC1 ZINC000081063110 353650051 /nfs/dbraw/zinc/65/00/51/353650051.db2.gz ZITINPVOBAIQEW-JKSUJKDBSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CCC[N@H+](CC(=O)N1CCO[C@@H]2CCCC[C@@H]21)CC1CC1 ZINC000081063110 353650052 /nfs/dbraw/zinc/65/00/52/353650052.db2.gz ZITINPVOBAIQEW-JKSUJKDBSA-N 1 2 305.422 1.782 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[NH+]1CCC(c2nc[nH]n2)CC1 ZINC000083445482 353710958 /nfs/dbraw/zinc/71/09/58/353710958.db2.gz LJZYEHNPNZHLDH-LBPRGKRZSA-N 1 2 324.388 1.883 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ccc(C(N)=O)cc3)CC2)o1 ZINC000093533973 353902357 /nfs/dbraw/zinc/90/23/57/353902357.db2.gz FEPXVWHFFDOLKR-UHFFFAOYSA-N 1 2 310.357 1.572 20 30 DDEDLO CCc1ccnc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000180129658 354264667 /nfs/dbraw/zinc/26/46/67/354264667.db2.gz ZNVUTJXSLMAZIF-UHFFFAOYSA-N 1 2 316.405 1.332 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)N1CC[NH+](CC(=O)N2CCC2)CC1 ZINC000329017021 222921280 /nfs/dbraw/zinc/92/12/80/222921280.db2.gz QWPCXBDRYBULOK-CQSZACIVSA-N 1 2 322.453 1.124 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[NH+]1CCN(CC(=O)N2CCC2)CC1 ZINC000329017021 222921281 /nfs/dbraw/zinc/92/12/81/222921281.db2.gz QWPCXBDRYBULOK-CQSZACIVSA-N 1 2 322.453 1.124 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC[C@@H]1Cn1cc[nH+]c1 ZINC000580890095 354727899 /nfs/dbraw/zinc/72/78/99/354727899.db2.gz ZAKBCBWZNZTUHU-ZNMIVQPWSA-N 1 2 304.394 1.648 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000581556762 354735694 /nfs/dbraw/zinc/73/56/94/354735694.db2.gz QMTPCLHUZKJSLN-LBPRGKRZSA-N 1 2 302.334 1.766 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N[C@@H]2CCc3c[nH+]cn3C2)c(F)c1 ZINC000587051744 354879179 /nfs/dbraw/zinc/87/91/79/354879179.db2.gz RWDVKFYICUTMBX-SNVBAGLBSA-N 1 2 302.284 1.778 20 30 DDEDLO N#Cc1ccc(C2(C(=O)N[C@H]3CCc4c[nH+]cn4C3)CC2)cc1 ZINC000587062365 354880474 /nfs/dbraw/zinc/88/04/74/354880474.db2.gz SRFADBCFGXXKIV-HNNXBMFYSA-N 1 2 306.369 1.918 20 30 DDEDLO Cc1cc(N2CCO[C@@H](C)C2)c(C#N)c(N2CCO[C@H](C)C2)[nH+]1 ZINC000589104293 354959314 /nfs/dbraw/zinc/95/93/14/354959314.db2.gz IGOWGPZKRMGFMV-UONOGXRCSA-N 1 2 316.405 1.712 20 30 DDEDLO N#CCc1cccc(S(=O)(=O)N2CCn3c[nH+]cc3C2)c1 ZINC000589300146 354982894 /nfs/dbraw/zinc/98/28/94/354982894.db2.gz HFRUYKUNSPRENZ-UHFFFAOYSA-N 1 2 302.359 1.154 20 30 DDEDLO N#C[C@@]1(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CC12CCCC2 ZINC000590677624 355145243 /nfs/dbraw/zinc/14/52/43/355145243.db2.gz IFPYOXFAACEIOY-WMLDXEAASA-N 1 2 303.406 1.394 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@@H+]1Cc1cccc(C#N)c1F ZINC000591646898 355351442 /nfs/dbraw/zinc/35/14/42/355351442.db2.gz NPJNADRKBBXDPD-INIZCTEOSA-N 1 2 305.353 1.424 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@H+]1Cc1cccc(C#N)c1F ZINC000591646898 355351448 /nfs/dbraw/zinc/35/14/48/355351448.db2.gz NPJNADRKBBXDPD-INIZCTEOSA-N 1 2 305.353 1.424 20 30 DDEDLO CCc1cc(N2CC[C@](O)(CC#N)C2)nc(-c2ccncc2)[nH+]1 ZINC000591976212 355451719 /nfs/dbraw/zinc/45/17/19/355451719.db2.gz MQPSGKLMOFUMGZ-QGZVFWFLSA-N 1 2 309.373 1.956 20 30 DDEDLO Cc1ccc(F)cc1NC(=O)[C@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592145931 355510878 /nfs/dbraw/zinc/51/08/78/355510878.db2.gz BLXYFXPVJOLEHV-LRDDRELGSA-N 1 2 305.353 1.812 20 30 DDEDLO Cc1ccc(F)cc1NC(=O)[C@H](C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592145931 355510881 /nfs/dbraw/zinc/51/08/81/355510881.db2.gz BLXYFXPVJOLEHV-LRDDRELGSA-N 1 2 305.353 1.812 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@@H](C(=O)NCc2ccccc2)C1 ZINC000592155551 355521012 /nfs/dbraw/zinc/52/10/12/355521012.db2.gz ORVSTMHYBXKVLW-HUUCEWRRSA-N 1 2 302.374 1.352 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@@H](C(=O)NCc2ccccc2)C1 ZINC000592155551 355521016 /nfs/dbraw/zinc/52/10/16/355521016.db2.gz ORVSTMHYBXKVLW-HUUCEWRRSA-N 1 2 302.374 1.352 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCC[C@H](c4ccn[nH]4)C3)cnc12 ZINC000592497872 355601607 /nfs/dbraw/zinc/60/16/07/355601607.db2.gz DUCMEJPOSBKJOP-ZDUSSCGKSA-N 1 2 307.361 1.704 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCC[C@H](c4ccn[nH]4)C3)cnc12 ZINC000592497872 355601612 /nfs/dbraw/zinc/60/16/12/355601612.db2.gz DUCMEJPOSBKJOP-ZDUSSCGKSA-N 1 2 307.361 1.704 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](Cc3cc4n(n3)CCCC4)CC2)n1 ZINC000593023837 355772646 /nfs/dbraw/zinc/77/26/46/355772646.db2.gz CHBDZDDTJAZSQN-UHFFFAOYSA-N 1 2 322.416 1.808 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](Cc3cc4n(n3)CCC4)CC2)n1 ZINC000593024966 355772799 /nfs/dbraw/zinc/77/27/99/355772799.db2.gz QDFWQVLWJKPTCT-UHFFFAOYSA-N 1 2 308.389 1.418 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cn1ccc2ccc(F)cc21 ZINC000593153248 355812973 /nfs/dbraw/zinc/81/29/73/355812973.db2.gz HFMPOGQYMXLECY-MRXNPFEDSA-N 1 2 302.353 1.740 20 30 DDEDLO C[C@@H](CNC(=O)c1ccc(C#N)s1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594100301 356113299 /nfs/dbraw/zinc/11/32/99/356113299.db2.gz WUMSUSSXPQWGFX-SDDRHHMPSA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@@H](CNC(=O)c1ccc(C#N)s1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594100301 356113303 /nfs/dbraw/zinc/11/33/03/356113303.db2.gz WUMSUSSXPQWGFX-SDDRHHMPSA-N 1 2 307.419 1.847 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCc3ncc([N+](=O)[O-])cc3C2)nn1 ZINC000593969689 356076028 /nfs/dbraw/zinc/07/60/28/356076028.db2.gz CLFVTYZJJXKVJC-UHFFFAOYSA-N 1 2 314.349 1.716 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCc3ncc([N+](=O)[O-])cc3C2)nn1 ZINC000593969689 356076029 /nfs/dbraw/zinc/07/60/29/356076029.db2.gz CLFVTYZJJXKVJC-UHFFFAOYSA-N 1 2 314.349 1.716 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+]([C@H]2CC(=O)N(CC(F)(F)F)C2=O)C1 ZINC000594661403 356279578 /nfs/dbraw/zinc/27/95/78/356279578.db2.gz YCFPAFDYONKISC-ZJUUUORDSA-N 1 2 303.284 1.302 20 30 DDEDLO N#CC[C@H]1CCC[N@H+]([C@H]2CC(=O)N(CC(F)(F)F)C2=O)C1 ZINC000594661403 356279584 /nfs/dbraw/zinc/27/95/84/356279584.db2.gz YCFPAFDYONKISC-ZJUUUORDSA-N 1 2 303.284 1.302 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2nc([C@@H]3CCCO3)n[nH]2)cc1F ZINC000594512608 356240340 /nfs/dbraw/zinc/24/03/40/356240340.db2.gz VDYVVFRWNDZMMX-ZDUSSCGKSA-N 1 2 301.325 1.957 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)N[C@@H](C)c2[nH+]ccn2C)c1C#N ZINC000595219848 356410489 /nfs/dbraw/zinc/41/04/89/356410489.db2.gz SWCIHWQLRVMVKY-NSHDSACASA-N 1 2 311.345 1.416 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)N1CCC[C@@H](CC#N)C1 ZINC000595447961 356496754 /nfs/dbraw/zinc/49/67/54/356496754.db2.gz IGCVLAHWKVJJNY-ZDUSSCGKSA-N 1 2 301.394 1.983 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CCS1 ZINC000081513192 192275913 /nfs/dbraw/zinc/27/59/13/192275913.db2.gz KCFCFZLQWSXIDV-GFCCVEGCSA-N 1 2 310.444 1.769 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CCS1 ZINC000081513192 192275915 /nfs/dbraw/zinc/27/59/15/192275915.db2.gz KCFCFZLQWSXIDV-GFCCVEGCSA-N 1 2 310.444 1.769 20 30 DDEDLO C[N@H+](CCC(=O)Nc1ccccc1C#N)Cc1n[nH]c(=O)o1 ZINC000595546831 356543693 /nfs/dbraw/zinc/54/36/93/356543693.db2.gz IQSCLBUYJKCOTO-UHFFFAOYSA-N 1 2 301.306 1.107 20 30 DDEDLO C[N@@H+](CCC(=O)Nc1ccccc1C#N)Cc1n[nH]c(=O)o1 ZINC000595546831 356543698 /nfs/dbraw/zinc/54/36/98/356543698.db2.gz IQSCLBUYJKCOTO-UHFFFAOYSA-N 1 2 301.306 1.107 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595631607 356585659 /nfs/dbraw/zinc/58/56/59/356585659.db2.gz FTCYJHSDPXGRRC-LLVKDONJSA-N 1 2 304.419 1.409 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCc2cc(OC)ccc2C1 ZINC000595758759 356640984 /nfs/dbraw/zinc/64/09/84/356640984.db2.gz FVGVHCFZPRWZBZ-UHFFFAOYSA-N 1 2 301.390 1.815 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCc2cc(OC)ccc2C1 ZINC000595758759 356640986 /nfs/dbraw/zinc/64/09/86/356640986.db2.gz FVGVHCFZPRWZBZ-UHFFFAOYSA-N 1 2 301.390 1.815 20 30 DDEDLO C=CCCNC(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000624346801 366314973 /nfs/dbraw/zinc/31/49/73/366314973.db2.gz ZYKUODDVRKPDLU-OAHLLOKOSA-N 1 2 304.394 1.158 20 30 DDEDLO C=CCCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000624346801 366314981 /nfs/dbraw/zinc/31/49/81/366314981.db2.gz ZYKUODDVRKPDLU-OAHLLOKOSA-N 1 2 304.394 1.158 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)c1nc(C#N)c(Cl)s1 ZINC000596214786 356817874 /nfs/dbraw/zinc/81/78/74/356817874.db2.gz LOCBHSVZSOXXFH-SECBINFHSA-N 1 2 300.815 1.825 20 30 DDEDLO Cc1nc(N2CC[C@](O)(CC#N)C2)c(Br)c(C)[nH+]1 ZINC000596288347 356845988 /nfs/dbraw/zinc/84/59/88/356845988.db2.gz ICZSDXOUOWIJPZ-GFCCVEGCSA-N 1 2 311.183 1.711 20 30 DDEDLO N#CC[C@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000596330927 356859163 /nfs/dbraw/zinc/85/91/63/356859163.db2.gz PKIKKSFFPNEHMN-HUUCEWRRSA-N 1 2 315.421 1.815 20 30 DDEDLO N#CC[C@H]1CCCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000596330927 356859166 /nfs/dbraw/zinc/85/91/66/356859166.db2.gz PKIKKSFFPNEHMN-HUUCEWRRSA-N 1 2 315.421 1.815 20 30 DDEDLO C[C@H](CC#N)C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000596813344 356982999 /nfs/dbraw/zinc/98/29/99/356982999.db2.gz LHMXEFNMPVWDAW-CHWSQXEVSA-N 1 2 302.378 1.473 20 30 DDEDLO C[C@@H](CC#N)C(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000596675757 356949708 /nfs/dbraw/zinc/94/97/08/356949708.db2.gz ZEDOSUSYSFMLAB-QWHCGFSZSA-N 1 2 307.419 1.787 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccc[nH+]c2N2CCCC2)nc1 ZINC000597941930 357391874 /nfs/dbraw/zinc/39/18/74/357391874.db2.gz SSUJBHXSPJXSBJ-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO CN1CC[N@H+](Cc2ccccc2)[C@H](CNC(=O)CCCC#N)C1 ZINC000598503233 357608094 /nfs/dbraw/zinc/60/80/94/357608094.db2.gz YGMQCHJIJAZMAU-QGZVFWFLSA-N 1 2 314.433 1.613 20 30 DDEDLO CN1CC[N@@H+](Cc2ccccc2)[C@H](CNC(=O)CCCC#N)C1 ZINC000598503233 357608097 /nfs/dbraw/zinc/60/80/97/357608097.db2.gz YGMQCHJIJAZMAU-QGZVFWFLSA-N 1 2 314.433 1.613 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@@H+]1CCNC(=O)[C@H]1c1cccs1 ZINC000598598755 357648575 /nfs/dbraw/zinc/64/85/75/357648575.db2.gz UUNXGUUWYGTHNK-WCQYABFASA-N 1 2 307.419 1.522 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@H+]1CCNC(=O)[C@H]1c1cccs1 ZINC000598598755 357648576 /nfs/dbraw/zinc/64/85/76/357648576.db2.gz UUNXGUUWYGTHNK-WCQYABFASA-N 1 2 307.419 1.522 20 30 DDEDLO COCC1(C)CCN(C([O-])=[NH+][C@H]2CCc3[nH]c[nH+]c3C2)CC1 ZINC000329708345 223016277 /nfs/dbraw/zinc/01/62/77/223016277.db2.gz LAKKFQSSTVEMIU-LBPRGKRZSA-N 1 2 306.410 1.930 20 30 DDEDLO COCC1(C)CCN(C([O-])=[NH+][C@H]2CCc3[nH+]c[nH]c3C2)CC1 ZINC000329708345 223016278 /nfs/dbraw/zinc/01/62/78/223016278.db2.gz LAKKFQSSTVEMIU-LBPRGKRZSA-N 1 2 306.410 1.930 20 30 DDEDLO Cn1cc(NC(=O)[C@@H](c2ccccc2)[NH+]2CCC(O)CC2)nn1 ZINC000329757180 223024550 /nfs/dbraw/zinc/02/45/50/223024550.db2.gz ZEOOCVNBEYYQFX-OAHLLOKOSA-N 1 2 315.377 1.601 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)N[C@H]1CCc2cc(C#N)ccc21 ZINC000599195352 357846969 /nfs/dbraw/zinc/84/69/69/357846969.db2.gz VJZFUMHPYZAVPS-HOCLYGCPSA-N 1 2 314.389 1.175 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)N[C@H]1CCc2cc(C#N)ccc21 ZINC000599195352 357846972 /nfs/dbraw/zinc/84/69/72/357846972.db2.gz VJZFUMHPYZAVPS-HOCLYGCPSA-N 1 2 314.389 1.175 20 30 DDEDLO N#Cc1ccc(NC(=O)CN2CC[NH+](Cc3cn[nH]c3)CC2)cc1 ZINC000599439602 357936785 /nfs/dbraw/zinc/93/67/85/357936785.db2.gz YGRKLXVXPYADSW-UHFFFAOYSA-N 1 2 324.388 1.038 20 30 DDEDLO Cn1nccc1[C@H]1c2[nH]c[nH+]c2CCN1Cc1ccc(C#N)cn1 ZINC000599444286 357939145 /nfs/dbraw/zinc/93/91/45/357939145.db2.gz ILQAZCHONSFGRB-KRWDZBQOSA-N 1 2 319.372 1.558 20 30 DDEDLO CC(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NC1(C#N)CCCCC1 ZINC000599699219 358031505 /nfs/dbraw/zinc/03/15/05/358031505.db2.gz DRIFQSWWDMOQTE-OAHLLOKOSA-N 1 2 320.437 1.320 20 30 DDEDLO CC(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NC1(C#N)CCCCC1 ZINC000599699219 358031509 /nfs/dbraw/zinc/03/15/09/358031509.db2.gz DRIFQSWWDMOQTE-OAHLLOKOSA-N 1 2 320.437 1.320 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000600135504 358134291 /nfs/dbraw/zinc/13/42/91/358134291.db2.gz YEMRWRICCINZQJ-LBPRGKRZSA-N 1 2 324.388 1.924 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cnc(C2CC2)o1 ZINC000600135504 358134294 /nfs/dbraw/zinc/13/42/94/358134294.db2.gz YEMRWRICCINZQJ-LBPRGKRZSA-N 1 2 324.388 1.924 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3cc(C#N)nc(C4CC4)n3)CC2)on1 ZINC000601101170 358401712 /nfs/dbraw/zinc/40/17/12/358401712.db2.gz OGZNFZQFIDNBSA-UHFFFAOYSA-N 1 2 324.388 1.844 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)[C@H]3CCn4c[nH+]cc4C3)C2)c1 ZINC000601675683 358649381 /nfs/dbraw/zinc/64/93/81/358649381.db2.gz AAJPLATWAWYMSG-AWEZNQCLSA-N 1 2 321.384 1.640 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCO[C@H](C(F)F)CC2)cc1 ZINC000601973703 358760580 /nfs/dbraw/zinc/76/05/80/358760580.db2.gz IWBLAHCIMLAEAI-QWHCGFSZSA-N 1 2 309.316 1.441 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCO[C@H](C(F)F)CC2)cc1 ZINC000601973703 358760583 /nfs/dbraw/zinc/76/05/83/358760583.db2.gz IWBLAHCIMLAEAI-QWHCGFSZSA-N 1 2 309.316 1.441 20 30 DDEDLO CC[C@H](C#N)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000601854420 358716875 /nfs/dbraw/zinc/71/68/75/358716875.db2.gz HHMFWEQLUVJPSG-CYBMUJFWSA-N 1 2 304.419 1.801 20 30 DDEDLO C[C@H](C(=O)N(C)c1ccccc1)[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602856576 359242629 /nfs/dbraw/zinc/24/26/29/359242629.db2.gz KXJJYSORVOFFED-BZUAXINKSA-N 1 2 314.433 1.956 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@@H](C)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000602870077 359254104 /nfs/dbraw/zinc/25/41/04/359254104.db2.gz SJISLQRCNZIIGS-KGLIPLIRSA-N 1 2 316.405 1.321 20 30 DDEDLO C[N@H+](CC(=O)NCCOc1cccc(F)c1)[C@H]1CCC[C@H]1C#N ZINC000602978281 359336649 /nfs/dbraw/zinc/33/66/49/359336649.db2.gz OETKBBMAMPDDFV-BBRMVZONSA-N 1 2 319.380 1.945 20 30 DDEDLO C[N@@H+](CC(=O)NCCOc1cccc(F)c1)[C@H]1CCC[C@H]1C#N ZINC000602978281 359336650 /nfs/dbraw/zinc/33/66/50/359336650.db2.gz OETKBBMAMPDDFV-BBRMVZONSA-N 1 2 319.380 1.945 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc(C(=O)OCC)cn2)nn1 ZINC000603251447 359515750 /nfs/dbraw/zinc/51/57/50/359515750.db2.gz KHAYBKWZLRHKSE-UHFFFAOYSA-N 1 2 313.361 1.011 20 30 DDEDLO C[C@H](NS(=O)(=O)CCn1cc[nH+]c1)c1ccc(C#N)cc1 ZINC000603270792 359529891 /nfs/dbraw/zinc/52/98/91/359529891.db2.gz LTENXUFYDXOUFK-LBPRGKRZSA-N 1 2 304.375 1.435 20 30 DDEDLO Cc1nn(C)c(C)c1OCC(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000329801797 223031812 /nfs/dbraw/zinc/03/18/12/223031812.db2.gz JYENDADFVAJGDF-LLVKDONJSA-N 1 2 310.398 1.093 20 30 DDEDLO Cc1nn(C)c(C)c1OCC(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000329801797 223031818 /nfs/dbraw/zinc/03/18/18/223031818.db2.gz JYENDADFVAJGDF-LLVKDONJSA-N 1 2 310.398 1.093 20 30 DDEDLO COC(=O)c1cccc(C[NH+]2CCN([C@@H](C#N)C(C)C)CC2)n1 ZINC000618540595 363665120 /nfs/dbraw/zinc/66/51/20/363665120.db2.gz OBXHAJAWYXAYFJ-INIZCTEOSA-N 1 2 316.405 1.534 20 30 DDEDLO Cc1nnc(CNC(=O)C(C)(C)[NH+]2CCOCC2)n1C1CC1 ZINC000329911022 223045699 /nfs/dbraw/zinc/04/56/99/223045699.db2.gz NOGNHAKDQMFWLQ-UHFFFAOYSA-N 1 2 307.398 1.489 20 30 DDEDLO C[C@@H]1CN(C([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)C[C@@H](C2CC2)O1 ZINC000329966390 223055150 /nfs/dbraw/zinc/05/51/50/223055150.db2.gz VNQUKTPINRODIR-BNOWGMLFSA-N 1 2 304.394 1.611 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000609130990 360262437 /nfs/dbraw/zinc/26/24/37/360262437.db2.gz FJCSICOKEIQKDL-HNNXBMFYSA-N 1 2 310.357 1.997 20 30 DDEDLO Cc1nc2c(C#N)cnn2c(C)c1CCC(=O)NCc1c[nH+]c[nH]1 ZINC000610246668 360396769 /nfs/dbraw/zinc/39/67/69/360396769.db2.gz UDHRYEZTXAOISX-UHFFFAOYSA-N 1 2 323.360 1.190 20 30 DDEDLO Cc1nc2c(C#N)cnn2c(C)c1CCC(=O)NCc1c[nH]c[nH+]1 ZINC000610246668 360396774 /nfs/dbraw/zinc/39/67/74/360396774.db2.gz UDHRYEZTXAOISX-UHFFFAOYSA-N 1 2 323.360 1.190 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@@H]2CCCN(C(C)C)C2=O)CC1 ZINC000610504503 360456039 /nfs/dbraw/zinc/45/60/39/360456039.db2.gz PPJWQKJDCOTSGZ-HZPDHXFCSA-N 1 2 306.454 1.552 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@H](O)COc1cc(C)cc(C)c1 ZINC000610511693 360457446 /nfs/dbraw/zinc/45/74/46/360457446.db2.gz HZOPPQGVBICIER-INIZCTEOSA-N 1 2 306.406 1.905 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@H](O)COc1cc(C)cc(C)c1 ZINC000610511693 360457453 /nfs/dbraw/zinc/45/74/53/360457453.db2.gz HZOPPQGVBICIER-INIZCTEOSA-N 1 2 306.406 1.905 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CCC[C@@H](C)[C@@H]1C)[NH+]1CCN(CCC#N)CC1 ZINC000611174529 360647513 /nfs/dbraw/zinc/64/75/13/360647513.db2.gz GRAHQJPHHBNYLV-QZWWFDLISA-N 1 2 320.481 1.847 20 30 DDEDLO COc1ccc(C[N@H+](C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cn1 ZINC000611209126 360660419 /nfs/dbraw/zinc/66/04/19/360660419.db2.gz GOKOQCGPDSXMQH-GUYCJALGSA-N 1 2 318.421 1.965 20 30 DDEDLO COc1ccc(C[N@@H+](C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cn1 ZINC000611209126 360660423 /nfs/dbraw/zinc/66/04/23/360660423.db2.gz GOKOQCGPDSXMQH-GUYCJALGSA-N 1 2 318.421 1.965 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(c2cc(-n3cc[nH+]c3)ncn2)CC1 ZINC000611413188 360714707 /nfs/dbraw/zinc/71/47/07/360714707.db2.gz XLOYZGGGDUTRGB-AWEZNQCLSA-N 1 2 311.393 1.332 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000330852851 223149229 /nfs/dbraw/zinc/14/92/29/223149229.db2.gz GEPAZTZXADLHFM-ZYHUDNBSSA-N 1 2 307.316 1.136 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000330852851 223149230 /nfs/dbraw/zinc/14/92/30/223149230.db2.gz GEPAZTZXADLHFM-ZYHUDNBSSA-N 1 2 307.316 1.136 20 30 DDEDLO C[C@@H]1OCCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)[C@H]1C ZINC000331139812 223188975 /nfs/dbraw/zinc/18/89/75/223188975.db2.gz WPMNGAQGKVYDAH-UWVGGRQHSA-N 1 2 320.315 1.969 20 30 DDEDLO N#C[C@H]1CCC[C@H]1NC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000331903827 223209046 /nfs/dbraw/zinc/20/90/46/223209046.db2.gz ZNJNWQSNGUCLAV-UKRRQHHQSA-N 1 2 302.378 1.799 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)NCC#Cc1cccc(F)c1 ZINC000338049950 223254269 /nfs/dbraw/zinc/25/42/69/223254269.db2.gz YVOREPPLWAILOU-AWEZNQCLSA-N 1 2 319.380 1.197 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)NCC#Cc1cccc(F)c1 ZINC000338049950 223254270 /nfs/dbraw/zinc/25/42/70/223254270.db2.gz YVOREPPLWAILOU-AWEZNQCLSA-N 1 2 319.380 1.197 20 30 DDEDLO Cn1cc[nH+]c1C[C@H]1CCCN(C(=O)c2ccnc(C#N)c2)C1 ZINC000193571426 201077358 /nfs/dbraw/zinc/07/73/58/201077358.db2.gz WJZHQYPDSXIBRG-CYBMUJFWSA-N 1 2 309.373 1.782 20 30 DDEDLO COCC(=O)N1CC[NH+](Cc2ccc(C(C)(C)C#N)cc2)CC1 ZINC000614160917 361729720 /nfs/dbraw/zinc/72/97/20/361729720.db2.gz UEQBGKUDYVDORI-UHFFFAOYSA-N 1 2 315.417 1.778 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@@H+]2CCOCC23CC3)cc1 ZINC000619814383 364159244 /nfs/dbraw/zinc/15/92/44/364159244.db2.gz NHDORSCRIMUHDF-OAHLLOKOSA-N 1 2 302.374 1.357 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@H+]2CCOCC23CC3)cc1 ZINC000619814383 364159251 /nfs/dbraw/zinc/15/92/51/364159251.db2.gz NHDORSCRIMUHDF-OAHLLOKOSA-N 1 2 302.374 1.357 20 30 DDEDLO COCC[C@](C)(C#N)NC(=O)[C@H]1CN(c2cccc[nH+]2)C[C@@H]1C ZINC000344903902 223338185 /nfs/dbraw/zinc/33/81/85/223338185.db2.gz JGELGJNNQLDNON-GRDNDAEWSA-N 1 2 316.405 1.589 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(CCC(C)(C)C#N)CC1 ZINC000345708313 223349424 /nfs/dbraw/zinc/34/94/24/223349424.db2.gz IJESAGOCHZISDH-OAHLLOKOSA-N 1 2 306.454 1.555 20 30 DDEDLO C[C@H](C#N)C(=O)NCc1ccccc1OCC[NH+]1CCOCC1 ZINC000347029256 223365009 /nfs/dbraw/zinc/36/50/09/223365009.db2.gz AKKMGRODHONLIS-CQSZACIVSA-N 1 2 317.389 1.173 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](C)[C@H]1CCN(c2ccccc2F)C1=O ZINC000264899230 204251386 /nfs/dbraw/zinc/25/13/86/204251386.db2.gz YQTLWOUMGZOPKD-DOMZBBRYSA-N 1 2 317.364 1.001 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](C)[C@H]1CCN(c2ccccc2F)C1=O ZINC000264899230 204251390 /nfs/dbraw/zinc/25/13/90/204251390.db2.gz YQTLWOUMGZOPKD-DOMZBBRYSA-N 1 2 317.364 1.001 20 30 DDEDLO Cc1nnsc1C[NH+]1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000566740144 304178160 /nfs/dbraw/zinc/17/81/60/304178160.db2.gz GPFUWKUPMCJXGO-UHFFFAOYSA-N 1 2 317.422 1.082 20 30 DDEDLO Cc1nsc(N2CCN(C3=[NH+]C[C@@H](C)S3)CC2)c1C#N ZINC000287266110 248773954 /nfs/dbraw/zinc/77/39/54/248773954.db2.gz KZYPKNXZPWTKII-SECBINFHSA-N 1 2 307.448 1.937 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1CCC[C@H](O)C1 ZINC000042286985 183249641 /nfs/dbraw/zinc/24/96/41/183249641.db2.gz FMKPSLBTVHLUFG-QWRGUYRKSA-N 1 2 319.430 1.892 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1CCC[C@H](O)C1 ZINC000042286985 183249642 /nfs/dbraw/zinc/24/96/42/183249642.db2.gz FMKPSLBTVHLUFG-QWRGUYRKSA-N 1 2 319.430 1.892 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(c2cnccn2)CC1 ZINC000042633355 183292731 /nfs/dbraw/zinc/29/27/31/183292731.db2.gz AZNGJHANESKPFM-HNNXBMFYSA-N 1 2 315.421 1.188 20 30 DDEDLO C[C@@H](NC(=O)CNc1ccc(C#N)cc1)[C@H](C)[NH+]1CCOCC1 ZINC000104598557 194018467 /nfs/dbraw/zinc/01/84/67/194018467.db2.gz CGINJMHESMKZBH-KGLIPLIRSA-N 1 2 316.405 1.196 20 30 DDEDLO C[C@H](NC(=O)CNc1ccc(C#N)cc1)[C@H](C)[NH+]1CCOCC1 ZINC000104598551 194019311 /nfs/dbraw/zinc/01/93/11/194019311.db2.gz CGINJMHESMKZBH-KBPBESRZSA-N 1 2 316.405 1.196 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccc(C#N)cc1)[C@H](C)[NH+]1CCOCC1 ZINC000104774689 194036629 /nfs/dbraw/zinc/03/66/29/194036629.db2.gz PKGZUCXWPLSLNS-OLZOCXBDSA-N 1 2 302.378 1.789 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000110959195 194374444 /nfs/dbraw/zinc/37/44/44/194374444.db2.gz BHFNGICZDWIETN-HOTGVXAUSA-N 1 2 310.438 1.681 20 30 DDEDLO COCC[N@H+](CC(=O)N(C)CC1CC1)Cc1ccc(C#N)cc1 ZINC000063753647 184287548 /nfs/dbraw/zinc/28/75/48/184287548.db2.gz IRNSTKOVBGLGQN-UHFFFAOYSA-N 1 2 315.417 1.875 20 30 DDEDLO COCC[N@@H+](CC(=O)N(C)CC1CC1)Cc1ccc(C#N)cc1 ZINC000063753647 184287549 /nfs/dbraw/zinc/28/75/49/184287549.db2.gz IRNSTKOVBGLGQN-UHFFFAOYSA-N 1 2 315.417 1.875 20 30 DDEDLO COc1cc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)cc(OC)c1 ZINC000329923004 532962405 /nfs/dbraw/zinc/96/24/05/532962405.db2.gz IGWITKKRYKQNSG-LSDHHAIUSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1cc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)cc(OC)c1 ZINC000329923004 532962409 /nfs/dbraw/zinc/96/24/09/532962409.db2.gz IGWITKKRYKQNSG-LSDHHAIUSA-N 1 2 321.377 1.264 20 30 DDEDLO C#CC[C@H](NC(=O)NCC[NH+]1CCOCC1)c1ccccc1 ZINC000270115545 208176183 /nfs/dbraw/zinc/17/61/83/208176183.db2.gz HZBMQNNWKRJTBN-INIZCTEOSA-N 1 2 301.390 1.382 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)Nc2cccc(C#N)c2)CC1 ZINC000342000491 533110400 /nfs/dbraw/zinc/11/04/00/533110400.db2.gz WSGVOGQDSNDPKA-UHFFFAOYSA-N 1 2 312.373 1.749 20 30 DDEDLO COC[C@H]1CCCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000452036311 533130903 /nfs/dbraw/zinc/13/09/03/533130903.db2.gz IQDDJKIIOVSXNX-CQSZACIVSA-N 1 2 323.418 1.228 20 30 DDEDLO COC[C@H]1CCCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000452036311 533130906 /nfs/dbraw/zinc/13/09/06/533130906.db2.gz IQDDJKIIOVSXNX-CQSZACIVSA-N 1 2 323.418 1.228 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000330708036 533138732 /nfs/dbraw/zinc/13/87/32/533138732.db2.gz MRGFQVLQSQAXBY-AWEZNQCLSA-N 1 2 301.390 1.750 20 30 DDEDLO CC#CCC[NH+]1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000155019448 197014026 /nfs/dbraw/zinc/01/40/26/197014026.db2.gz BCRZBVLCRBZTJV-UHFFFAOYSA-N 1 2 311.407 1.011 20 30 DDEDLO COc1nccc(C[NH+]2CCN(c3ccccc3C#N)CC2)n1 ZINC000294538101 533229372 /nfs/dbraw/zinc/22/93/72/533229372.db2.gz IBENEQQQJWOOGB-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@H+](CCC#N)CC(C)(C)C)CC1 ZINC000346266424 533285313 /nfs/dbraw/zinc/28/53/13/533285313.db2.gz HDVZWYXYOCIDLK-UHFFFAOYSA-N 1 2 323.437 1.660 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@@H+](CCC#N)CC(C)(C)C)CC1 ZINC000346266424 533285316 /nfs/dbraw/zinc/28/53/16/533285316.db2.gz HDVZWYXYOCIDLK-UHFFFAOYSA-N 1 2 323.437 1.660 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+](C)C[C@@H](O)C(F)(F)F ZINC000305774651 533338974 /nfs/dbraw/zinc/33/89/74/533338974.db2.gz MQZPQPPTYFFUKC-JOYOIKCWSA-N 1 2 315.295 1.740 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+](C)C[C@@H](O)C(F)(F)F ZINC000305774651 533338985 /nfs/dbraw/zinc/33/89/85/533338985.db2.gz MQZPQPPTYFFUKC-JOYOIKCWSA-N 1 2 315.295 1.740 20 30 DDEDLO C[C@@H](CNC(=O)Cc1csc2nccn12)[NH+]1CCN(C)CC1 ZINC000330082284 533360688 /nfs/dbraw/zinc/36/06/88/533360688.db2.gz QAZHZVKYMNNPMT-LBPRGKRZSA-N 1 2 321.450 1.531 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2sccc2C#N)C[C@H]1C ZINC000330737363 533426989 /nfs/dbraw/zinc/42/69/89/533426989.db2.gz NMUNKZDHXDVLJQ-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2sccc2C#N)C[C@H]1C ZINC000330737363 533426993 /nfs/dbraw/zinc/42/69/93/533426993.db2.gz NMUNKZDHXDVLJQ-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)[C@H]([NH2+]Cc1cc(C#N)n(C)c1)[C@@H]1CCC[C@@H](OC)C1 ZINC000568642166 304303377 /nfs/dbraw/zinc/30/33/77/304303377.db2.gz YGSJHLAUPRJRCD-FVQBIDKESA-N 1 2 319.405 1.733 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000341994228 292208639 /nfs/dbraw/zinc/20/86/39/292208639.db2.gz SEPMOZCNALZTAQ-CYBMUJFWSA-N 1 2 304.394 1.190 20 30 DDEDLO CO[C@]1(C)C[C@H]([NH+]=C([O-])N[C@H]2CCn3cc[nH+]c3C2)C1(C)C ZINC000330186482 286167286 /nfs/dbraw/zinc/16/72/86/286167286.db2.gz JURXIRARDLJKMW-MQIPJXDCSA-N 1 2 306.410 1.905 20 30 DDEDLO CO[C@]1(C)C[C@H](NC([O-])=[NH+][C@H]2CCn3cc[nH+]c3C2)C1(C)C ZINC000330186482 286167289 /nfs/dbraw/zinc/16/72/89/286167289.db2.gz JURXIRARDLJKMW-MQIPJXDCSA-N 1 2 306.410 1.905 20 30 DDEDLO COCC[N@H+](Cc1cccc(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000071113389 406831921 /nfs/dbraw/zinc/83/19/21/406831921.db2.gz KGTAUGFWWSRUDL-HNNXBMFYSA-N 1 2 308.403 1.194 20 30 DDEDLO COCC[N@@H+](Cc1cccc(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000071113389 406831925 /nfs/dbraw/zinc/83/19/25/406831925.db2.gz KGTAUGFWWSRUDL-HNNXBMFYSA-N 1 2 308.403 1.194 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)C[C@@](C)(CC(C)C)C2=O)CC1 ZINC000077164403 406978964 /nfs/dbraw/zinc/97/89/64/406978964.db2.gz IQLICKHDQRGEQQ-QGZVFWFLSA-N 1 2 305.422 1.006 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)N[C@@](C)(C#N)C(C)C)cc1O ZINC000080964029 407074024 /nfs/dbraw/zinc/07/40/24/407074024.db2.gz HTUPJTOBSAQJJK-KRWDZBQOSA-N 1 2 319.405 1.887 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)N[C@@](C)(C#N)C(C)C)cc1O ZINC000080964029 407074026 /nfs/dbraw/zinc/07/40/26/407074026.db2.gz HTUPJTOBSAQJJK-KRWDZBQOSA-N 1 2 319.405 1.887 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N(C)CC[NH+]1CCOCC1 ZINC000067550601 407276504 /nfs/dbraw/zinc/27/65/04/407276504.db2.gz PVXOBQUMPPQCHP-UHFFFAOYSA-N 1 2 302.378 1.663 20 30 DDEDLO CC(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000101553307 407310644 /nfs/dbraw/zinc/31/06/44/407310644.db2.gz JQQBPFXJXSCGTK-YOEHRIQHSA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000101553307 407310645 /nfs/dbraw/zinc/31/06/45/407310645.db2.gz JQQBPFXJXSCGTK-YOEHRIQHSA-N 1 2 320.437 1.031 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2C[C@@H](OC)C[C@@H]2C(=O)OC)cc1 ZINC000125809426 407398773 /nfs/dbraw/zinc/39/87/73/407398773.db2.gz DSIOAXZWYMBSPI-JKSUJKDBSA-N 1 2 303.358 1.461 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2C[C@@H](OC)C[C@@H]2C(=O)OC)cc1 ZINC000125809426 407398774 /nfs/dbraw/zinc/39/87/74/407398774.db2.gz DSIOAXZWYMBSPI-JKSUJKDBSA-N 1 2 303.358 1.461 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000110959190 407399308 /nfs/dbraw/zinc/39/93/08/407399308.db2.gz BHFNGICZDWIETN-JKSUJKDBSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2ccccc2F)CC1 ZINC000126097381 407405095 /nfs/dbraw/zinc/40/50/95/407405095.db2.gz QDKCZTNCYRNZLG-UHFFFAOYSA-N 1 2 319.380 1.276 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2ccccc2F)CC1 ZINC000126097381 407405097 /nfs/dbraw/zinc/40/50/97/407405097.db2.gz QDKCZTNCYRNZLG-UHFFFAOYSA-N 1 2 319.380 1.276 20 30 DDEDLO COCCN(c1cc[nH+]cc1)S(=O)(=O)c1cccc(C#N)c1 ZINC000127479910 407438156 /nfs/dbraw/zinc/43/81/56/407438156.db2.gz MAYNMAZJZDUOAE-UHFFFAOYSA-N 1 2 317.370 1.795 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(c2cccnn2)CC1 ZINC000170649415 407462836 /nfs/dbraw/zinc/46/28/36/407462836.db2.gz GUJAXGRYHGUORK-OAHLLOKOSA-N 1 2 317.437 1.412 20 30 DDEDLO Cc1cc(C)c2ncc(C#N)c(N3CC[NH+](CC(N)=O)CC3)c2c1 ZINC000266084531 407528425 /nfs/dbraw/zinc/52/84/25/407528425.db2.gz LTYQUSYWQJKICL-UHFFFAOYSA-N 1 2 323.400 1.331 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)C[C@@H](CO)O1 ZINC000230012419 407678029 /nfs/dbraw/zinc/67/80/29/407678029.db2.gz OMSFLIXQVACYOI-PWSUYJOCSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)C[C@@H](CO)O1 ZINC000230012419 407678034 /nfs/dbraw/zinc/67/80/34/407678034.db2.gz OMSFLIXQVACYOI-PWSUYJOCSA-N 1 2 309.391 1.030 20 30 DDEDLO COCCO[C@H]1CCC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000179332938 407772058 /nfs/dbraw/zinc/77/20/58/407772058.db2.gz OFEPBFULTCUJDB-INIZCTEOSA-N 1 2 317.389 1.624 20 30 DDEDLO COCCO[C@H]1CCC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000179332938 407772062 /nfs/dbraw/zinc/77/20/62/407772062.db2.gz OFEPBFULTCUJDB-INIZCTEOSA-N 1 2 317.389 1.624 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC1(CO)CC1 ZINC000186815742 407776154 /nfs/dbraw/zinc/77/61/54/407776154.db2.gz IPDHQBHJVCRQTE-UHFFFAOYSA-N 1 2 308.403 1.036 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC1(CO)CC1 ZINC000186815742 407776159 /nfs/dbraw/zinc/77/61/59/407776159.db2.gz IPDHQBHJVCRQTE-UHFFFAOYSA-N 1 2 308.403 1.036 20 30 DDEDLO C[C@H]1C(=O)N(C)CC[N@H+]1CCC(=O)Nc1ccccc1C#N ZINC000186943246 407801616 /nfs/dbraw/zinc/80/16/16/407801616.db2.gz GMHHMJSNKSXJEO-LBPRGKRZSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@H]1C(=O)N(C)CC[N@@H+]1CCC(=O)Nc1ccccc1C#N ZINC000186943246 407801626 /nfs/dbraw/zinc/80/16/26/407801626.db2.gz GMHHMJSNKSXJEO-LBPRGKRZSA-N 1 2 300.362 1.049 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCC1CCOCC1 ZINC000172937452 407854878 /nfs/dbraw/zinc/85/48/78/407854878.db2.gz LKYMFTPDWHLYJY-UHFFFAOYSA-N 1 2 323.437 1.268 20 30 DDEDLO C#CCNC(=O)c1ccc(NCCCNc2cccc[nH+]2)nc1 ZINC000180408227 407886201 /nfs/dbraw/zinc/88/62/01/407886201.db2.gz PRVXCHNTSHOJPU-UHFFFAOYSA-N 1 2 309.373 1.754 20 30 DDEDLO CC1(C#N)CCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CC1 ZINC000272532283 407969093 /nfs/dbraw/zinc/96/90/93/407969093.db2.gz LCCOXHQTMVWPDR-CQSZACIVSA-N 1 2 313.405 1.996 20 30 DDEDLO CC[N@H+](Cc1ccc(OC)c(C#N)c1)[C@@H](C)CS(C)(=O)=O ZINC000135075920 407970413 /nfs/dbraw/zinc/97/04/13/407970413.db2.gz NOFOFWCJFKWTEC-LBPRGKRZSA-N 1 2 310.419 1.822 20 30 DDEDLO CC[N@@H+](Cc1ccc(OC)c(C#N)c1)[C@@H](C)CS(C)(=O)=O ZINC000135075920 407970418 /nfs/dbraw/zinc/97/04/18/407970418.db2.gz NOFOFWCJFKWTEC-LBPRGKRZSA-N 1 2 310.419 1.822 20 30 DDEDLO Cc1cc(CO[NH+]=C(N)CN2CCOCC2)c2ccccc2n1 ZINC000174150195 407917054 /nfs/dbraw/zinc/91/70/54/407917054.db2.gz FBISINAQNZCQOP-UHFFFAOYSA-N 1 2 314.389 1.664 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(c2cnn(C)c2)CC1 ZINC000174940751 408013499 /nfs/dbraw/zinc/01/34/99/408013499.db2.gz HKDIHENSFGZPQA-HNNXBMFYSA-N 1 2 317.437 1.131 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCOc1cccc([N+](=O)[O-])c1 ZINC000272888421 408014251 /nfs/dbraw/zinc/01/42/51/408014251.db2.gz IZSWBCPGMHBTMJ-UHFFFAOYSA-N 1 2 324.337 1.177 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[N@@H+]2CCNC(=O)[C@@H]2c2ccccc2)cc1 ZINC000268638322 408133679 /nfs/dbraw/zinc/13/36/79/408133679.db2.gz GOPLPWZODPJHMR-ROUUACIJSA-N 1 2 321.380 1.765 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[N@H+]2CCNC(=O)[C@@H]2c2ccccc2)cc1 ZINC000268638322 408133685 /nfs/dbraw/zinc/13/36/85/408133685.db2.gz GOPLPWZODPJHMR-ROUUACIJSA-N 1 2 321.380 1.765 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCOC2(CCOCC2)C1 ZINC000121261633 408174591 /nfs/dbraw/zinc/17/45/91/408174591.db2.gz BHIKAWILONRTNB-UHFFFAOYSA-N 1 2 302.374 1.948 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCOC2(CCOCC2)C1 ZINC000121261633 408174597 /nfs/dbraw/zinc/17/45/97/408174597.db2.gz BHIKAWILONRTNB-UHFFFAOYSA-N 1 2 302.374 1.948 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CC[N@H+](CC#Cc2cccc(Cl)c2)C1 ZINC000156115974 408242119 /nfs/dbraw/zinc/24/21/19/408242119.db2.gz UFHGJKZXOIUJNN-CQSZACIVSA-N 1 2 312.822 1.315 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC000156115974 408242121 /nfs/dbraw/zinc/24/21/21/408242121.db2.gz UFHGJKZXOIUJNN-CQSZACIVSA-N 1 2 312.822 1.315 20 30 DDEDLO C[C@@H](NC(=O)[C@H](C)O[NH+]=C(N)CCO)c1ccc(Cl)cc1 ZINC000121420589 408207058 /nfs/dbraw/zinc/20/70/58/408207058.db2.gz GZIPZGQPIUNTLG-ZJUUUORDSA-N 1 2 313.785 1.577 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)NCCC(C)(C)C)cc1 ZINC000151168833 408268374 /nfs/dbraw/zinc/26/83/74/408268374.db2.gz LTNTWRGFVHVFHE-UHFFFAOYSA-N 1 2 307.394 1.885 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH2+][C@@](C)(C(N)=O)c1ccccc1 ZINC000264185383 408394804 /nfs/dbraw/zinc/39/48/04/408394804.db2.gz LFORLZAHMUGVMT-QGZVFWFLSA-N 1 2 303.406 1.401 20 30 DDEDLO N#Cc1cccc(C[NH2+]Cc2cn(Cc3cccnc3)nn2)c1 ZINC000183446141 408412551 /nfs/dbraw/zinc/41/25/51/408412551.db2.gz SSFMIPWRUKNJCI-UHFFFAOYSA-N 1 2 304.357 1.883 20 30 DDEDLO CCc1nsc(N2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)n1 ZINC000191398191 408420979 /nfs/dbraw/zinc/42/09/79/408420979.db2.gz JZHZNIJCKSTRCA-UHFFFAOYSA-N 1 2 316.434 1.633 20 30 DDEDLO C[C@@H](N1CC[NH+](Cc2cc(C#N)n(C)c2)CC1)C(F)(F)F ZINC000191434938 408425551 /nfs/dbraw/zinc/42/55/51/408425551.db2.gz HALGNPLHYQNZMS-LLVKDONJSA-N 1 2 300.328 1.965 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1ncn(C)n1 ZINC000275601453 408616253 /nfs/dbraw/zinc/61/62/53/408616253.db2.gz JGNCUGCBKDMGAJ-DOMZBBRYSA-N 1 2 324.388 1.533 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000185283646 408791356 /nfs/dbraw/zinc/79/13/56/408791356.db2.gz OVJCMYOGLRABPT-GDBMZVCRSA-N 1 2 312.479 1.913 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C(=O)OC)oc2CC)C1=O ZINC000281189660 408874518 /nfs/dbraw/zinc/87/45/18/408874518.db2.gz BORJGVLSPOVZQZ-ZDUSSCGKSA-N 1 2 320.389 1.847 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C(=O)OC)oc2CC)C1=O ZINC000281189660 408874521 /nfs/dbraw/zinc/87/45/21/408874521.db2.gz BORJGVLSPOVZQZ-ZDUSSCGKSA-N 1 2 320.389 1.847 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccc(C)cc2C)C1=O ZINC000281187955 408874577 /nfs/dbraw/zinc/87/45/77/408874577.db2.gz BLUPIEOZJFDJBJ-INIZCTEOSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccc(C)cc2C)C1=O ZINC000281187955 408874579 /nfs/dbraw/zinc/87/45/79/408874579.db2.gz BLUPIEOZJFDJBJ-INIZCTEOSA-N 1 2 315.417 1.961 20 30 DDEDLO CNC(=O)c1ccc(CO[NH+]=C(N)[C@@H](C)OCC(C)C)cc1 ZINC000276206046 408825295 /nfs/dbraw/zinc/82/52/95/408825295.db2.gz ZHKNQPYCMYNKMY-GFCCVEGCSA-N 1 2 307.394 1.896 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN([C@@H](C#N)c3ccccc3)CC2)C1=O ZINC000281420823 408885055 /nfs/dbraw/zinc/88/50/55/408885055.db2.gz BSGPNTKNODHYHU-ROUUACIJSA-N 1 2 324.428 1.656 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC=C(c2ccnn2C)CC1 ZINC000285727373 408852612 /nfs/dbraw/zinc/85/26/12/408852612.db2.gz VAFPGVMKNDKKBK-KRWDZBQOSA-N 1 2 315.421 1.564 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC=C(c2ccnn2C)CC1 ZINC000285727373 408852616 /nfs/dbraw/zinc/85/26/16/408852616.db2.gz VAFPGVMKNDKKBK-KRWDZBQOSA-N 1 2 315.421 1.564 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000291135419 408860113 /nfs/dbraw/zinc/86/01/13/408860113.db2.gz YZYLORMFKRMSEN-DOTOQJQBSA-N 1 2 315.417 1.251 20 30 DDEDLO CC1(C#N)CCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)CC1 ZINC000277273954 408910342 /nfs/dbraw/zinc/91/03/42/408910342.db2.gz ADDDIOBDQATPPF-AWEZNQCLSA-N 1 2 315.421 1.958 20 30 DDEDLO CC1(C#N)CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)CC1 ZINC000277273954 408910344 /nfs/dbraw/zinc/91/03/44/408910344.db2.gz ADDDIOBDQATPPF-AWEZNQCLSA-N 1 2 315.421 1.958 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)Nc2nccs2)C1=O ZINC000281432653 408886537 /nfs/dbraw/zinc/88/65/37/408886537.db2.gz SOZOVGPEJNWVNB-QWRGUYRKSA-N 1 2 308.407 1.189 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)Nc2nccs2)C1=O ZINC000281432653 408886538 /nfs/dbraw/zinc/88/65/38/408886538.db2.gz SOZOVGPEJNWVNB-QWRGUYRKSA-N 1 2 308.407 1.189 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCC[C@H]2C(=O)NC2CC2)cc1 ZINC000193962709 163272964 /nfs/dbraw/zinc/27/29/64/163272964.db2.gz IUAUFFIUOVIVMV-HNNXBMFYSA-N 1 2 312.373 1.240 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCC[C@H]2C(=O)NC2CC2)cc1 ZINC000193962709 163272967 /nfs/dbraw/zinc/27/29/67/163272967.db2.gz IUAUFFIUOVIVMV-HNNXBMFYSA-N 1 2 312.373 1.240 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)s2)CC1 ZINC000230339574 163347676 /nfs/dbraw/zinc/34/76/76/163347676.db2.gz NERMNYNFWDGRKS-NSHDSACASA-N 1 2 313.448 1.725 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3nc(C)cc(C)n3)CC2)C1=O ZINC000281971127 408963205 /nfs/dbraw/zinc/96/32/05/408963205.db2.gz LDWXAMGPWUOWBQ-HNNXBMFYSA-N 1 2 315.421 1.002 20 30 DDEDLO COc1ccc(C[NH+]2CCC(CS(C)(=O)=O)CC2)cc1C#N ZINC000292039042 408968172 /nfs/dbraw/zinc/96/81/72/408968172.db2.gz AGHYFENRNVGEHI-UHFFFAOYSA-N 1 2 322.430 1.823 20 30 DDEDLO CC[C@H](C(N)=O)[NH+]1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC000277823144 409018659 /nfs/dbraw/zinc/01/86/59/409018659.db2.gz QYEGXCZCFXPIRC-CYBMUJFWSA-N 1 2 306.797 1.598 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(C#N)c(C)c2)CC1 ZINC000277974126 409043590 /nfs/dbraw/zinc/04/35/90/409043590.db2.gz LQKKNAKTJMFRBA-UHFFFAOYSA-N 1 2 317.414 1.196 20 30 DDEDLO N#CCCOCC[N@H+]1CCOC[C@H]1C[C@H](O)c1cccs1 ZINC000292928874 409046454 /nfs/dbraw/zinc/04/64/54/409046454.db2.gz YTTAIALPDKLYML-KGLIPLIRSA-N 1 2 310.419 1.803 20 30 DDEDLO N#CCCOCC[N@@H+]1CCOC[C@H]1C[C@H](O)c1cccs1 ZINC000292928874 409046457 /nfs/dbraw/zinc/04/64/57/409046457.db2.gz YTTAIALPDKLYML-KGLIPLIRSA-N 1 2 310.419 1.803 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC(C)(C)[NH+]2CCOCC2)cc1 ZINC000292996023 409047353 /nfs/dbraw/zinc/04/73/53/409047353.db2.gz IVGPIEHQBKHCDD-UHFFFAOYSA-N 1 2 314.429 1.828 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)N[C@H](C)Cn2cc[nH+]c2)n1 ZINC000278890876 409106716 /nfs/dbraw/zinc/10/67/16/409106716.db2.gz XGCNHXKEZQEODT-CQSZACIVSA-N 1 2 314.393 1.333 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)Nc1cc(N)c([N+](=O)[O-])c(C#N)c1 ZINC000283499627 409167480 /nfs/dbraw/zinc/16/74/80/409167480.db2.gz INBLLNSUPLVGHA-JTQLQIEISA-N 1 2 305.338 1.181 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCOC[C@H]1CC(=O)c1ccccc1 ZINC000293921049 409206881 /nfs/dbraw/zinc/20/68/81/409206881.db2.gz XYQTVYPILLCADM-MRXNPFEDSA-N 1 2 314.385 1.100 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCOC[C@H]1CC(=O)c1ccccc1 ZINC000293921049 409206884 /nfs/dbraw/zinc/20/68/84/409206884.db2.gz XYQTVYPILLCADM-MRXNPFEDSA-N 1 2 314.385 1.100 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000289459569 409276529 /nfs/dbraw/zinc/27/65/29/409276529.db2.gz LVCMUTRKWMVMSP-QWRGUYRKSA-N 1 2 321.343 1.068 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000289459569 409276532 /nfs/dbraw/zinc/27/65/32/409276532.db2.gz LVCMUTRKWMVMSP-QWRGUYRKSA-N 1 2 321.343 1.068 20 30 DDEDLO CO[C@]1(C)CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000289461567 409276996 /nfs/dbraw/zinc/27/69/96/409276996.db2.gz QDIAIADEDCJYKE-OAHLLOKOSA-N 1 2 323.418 1.228 20 30 DDEDLO CO[C@]1(C)CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000289461567 409277000 /nfs/dbraw/zinc/27/70/00/409277000.db2.gz QDIAIADEDCJYKE-OAHLLOKOSA-N 1 2 323.418 1.228 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)/C=C/c3cccc(C#N)c3)C[C@@H]21 ZINC000284130248 409281632 /nfs/dbraw/zinc/28/16/32/409281632.db2.gz PEHDCRCQQKWTGP-CSLAMCDYSA-N 1 2 311.385 1.503 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)/C=C/c3cccc(C#N)c3)C[C@@H]21 ZINC000284130248 409281634 /nfs/dbraw/zinc/28/16/34/409281634.db2.gz PEHDCRCQQKWTGP-CSLAMCDYSA-N 1 2 311.385 1.503 20 30 DDEDLO C=CCOc1ccc(CC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)cc1 ZINC000294470794 409291944 /nfs/dbraw/zinc/29/19/44/409291944.db2.gz CTDGWIRCMIWCHW-INIZCTEOSA-N 1 2 317.433 1.156 20 30 DDEDLO C=CCOc1ccc(CC(=O)NC[C@H]2CN(C)CC[N@H+]2C)cc1 ZINC000294470794 409291945 /nfs/dbraw/zinc/29/19/45/409291945.db2.gz CTDGWIRCMIWCHW-INIZCTEOSA-N 1 2 317.433 1.156 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+](C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000320166553 164040159 /nfs/dbraw/zinc/04/01/59/164040159.db2.gz NQZHIUGFBIRPDY-AWEZNQCLSA-N 1 2 323.418 1.033 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+](C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000320166553 164040160 /nfs/dbraw/zinc/04/01/60/164040160.db2.gz NQZHIUGFBIRPDY-AWEZNQCLSA-N 1 2 323.418 1.033 20 30 DDEDLO CC(C)[C@@H]([NH2+]Cc1ccc(C#N)o1)c1nc(-c2nc[nH]n2)no1 ZINC000344850370 409528892 /nfs/dbraw/zinc/52/88/92/409528892.db2.gz SYZJRHFEBGMTKV-LLVKDONJSA-N 1 2 313.321 1.806 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)C(=O)Nc1cccc(C#N)c1)C1CC1 ZINC000356776544 409593882 /nfs/dbraw/zinc/59/38/82/409593882.db2.gz GDHKHRJACFPQLO-CQSZACIVSA-N 1 2 323.356 1.498 20 30 DDEDLO C=CCN1C(=O)N(CCn2cc[nH+]c2)C(=O)[C@@H]1Cc1ccccc1 ZINC000349274272 409775705 /nfs/dbraw/zinc/77/57/05/409775705.db2.gz MCGMUYWYBZDZCD-INIZCTEOSA-N 1 2 324.384 1.945 20 30 DDEDLO N#Cc1cc(Br)ccc1C[N@H+]1C[C@H](CO)[C@H](O)C1 ZINC000317750472 409869165 /nfs/dbraw/zinc/86/91/65/409869165.db2.gz YQQFRJJPNAVKJR-DGCLKSJQSA-N 1 2 311.179 1.106 20 30 DDEDLO N#Cc1cc(Br)ccc1C[N@@H+]1C[C@H](CO)[C@H](O)C1 ZINC000317750472 409869170 /nfs/dbraw/zinc/86/91/70/409869170.db2.gz YQQFRJJPNAVKJR-DGCLKSJQSA-N 1 2 311.179 1.106 20 30 DDEDLO N#Cc1ccsc1C(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000332088394 409871109 /nfs/dbraw/zinc/87/11/09/409871109.db2.gz JPWFKPNDIMYHDO-UHFFFAOYSA-N 1 2 301.375 1.301 20 30 DDEDLO Cn1cnnc1-c1cncc(NC(=O)[C@@H]2CCn3c[nH+]cc3C2)c1 ZINC000328673996 409953991 /nfs/dbraw/zinc/95/39/91/409953991.db2.gz JNLQPUBIRBMDES-LLVKDONJSA-N 1 2 323.360 1.924 20 30 DDEDLO C[C@@H]1CCC[C@@H]1NC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000328693592 409956623 /nfs/dbraw/zinc/95/66/23/409956623.db2.gz FRUSUZRZDODQLY-HIFRSBDPSA-N 1 2 305.426 1.640 20 30 DDEDLO CCN1CCN(C(=O)NCCn2cccc2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328625274 409941027 /nfs/dbraw/zinc/94/10/27/409941027.db2.gz KOTOZIIZMZUTQV-CQSZACIVSA-N 1 2 316.409 1.504 20 30 DDEDLO C[C@@H](C(=O)N1CCC(NC(=O)C2CC2)CC1)[NH+]1CCOCC1 ZINC000328874468 409999244 /nfs/dbraw/zinc/99/92/44/409999244.db2.gz ROZUKLSLDKSHJO-LBPRGKRZSA-N 1 2 309.410 1.065 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2C[C@@H](C)[N@@H+](C)C[C@@H]2C)c1C#N ZINC000350872701 410006599 /nfs/dbraw/zinc/00/65/99/410006599.db2.gz WHKPPFBYTRTNDY-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2C[C@@H](C)[N@H+](C)C[C@@H]2C)c1C#N ZINC000350872701 410006605 /nfs/dbraw/zinc/00/66/05/410006605.db2.gz WHKPPFBYTRTNDY-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO CCc1nc(C)c(NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)s1 ZINC000329062497 410078782 /nfs/dbraw/zinc/07/87/82/410078782.db2.gz VCTIGLLANDCCMF-NEPJUHHUSA-N 1 2 324.450 1.964 20 30 DDEDLO CCc1nc(C)c(NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)s1 ZINC000329062497 410078786 /nfs/dbraw/zinc/07/87/86/410078786.db2.gz VCTIGLLANDCCMF-NEPJUHHUSA-N 1 2 324.450 1.964 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)N2CC[NH+](Cc3ccncc3)CC2)CCO1 ZINC000329115696 410110418 /nfs/dbraw/zinc/11/04/18/410110418.db2.gz SXLCGFLDMHFFLX-GDBMZVCRSA-N 1 2 318.421 1.681 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)NC[C@]1(O)CCO[C@H]1C ZINC000329116706 410113836 /nfs/dbraw/zinc/11/38/36/410113836.db2.gz IRUDPVOIOWMADI-UMPJEAMMSA-N 1 2 319.405 1.650 20 30 DDEDLO CCc1cnccc1[C@H](C)NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329188573 410155816 /nfs/dbraw/zinc/15/58/16/410155816.db2.gz RBPSZAWSLRPYHQ-RCBQFDQVSA-N 1 2 318.421 1.634 20 30 DDEDLO CCc1cnccc1[C@H](C)NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329188573 410155818 /nfs/dbraw/zinc/15/58/18/410155818.db2.gz RBPSZAWSLRPYHQ-RCBQFDQVSA-N 1 2 318.421 1.634 20 30 DDEDLO C[C@@H](C(=O)NC1CCCC1)[N@@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000329199844 410163370 /nfs/dbraw/zinc/16/33/70/410163370.db2.gz VYRJJORBVXPALV-GMXVVIOVSA-N 1 2 300.424 1.393 20 30 DDEDLO C[C@@H](C(=O)NC1CCCC1)[N@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000329199844 410163377 /nfs/dbraw/zinc/16/33/77/410163377.db2.gz VYRJJORBVXPALV-GMXVVIOVSA-N 1 2 300.424 1.393 20 30 DDEDLO CN(C(=O)N[C@@H](c1[nH+]ccn1C)C1CCOCC1)C1CC(O)C1 ZINC000329309327 410222903 /nfs/dbraw/zinc/22/29/03/410222903.db2.gz FLUZVSXIHYOKRS-JXQTWKCFSA-N 1 2 322.409 1.257 20 30 DDEDLO CC(=O)N1CCC(NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)CC1 ZINC000329427508 410292906 /nfs/dbraw/zinc/29/29/06/410292906.db2.gz HIRJQXUPLAOUCS-MRXNPFEDSA-N 1 2 323.437 1.597 20 30 DDEDLO CC(=O)N1CCC(NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)CC1 ZINC000329427508 410292912 /nfs/dbraw/zinc/29/29/12/410292912.db2.gz HIRJQXUPLAOUCS-MRXNPFEDSA-N 1 2 323.437 1.597 20 30 DDEDLO O=C(C[N@@H+]1CCC[C@@H]1c1cccnc1)NCC(=O)N1CCCC1 ZINC000329427735 410293167 /nfs/dbraw/zinc/29/31/67/410293167.db2.gz GXEZMCCQLGZZIA-OAHLLOKOSA-N 1 2 316.405 1.797 20 30 DDEDLO O=C(C[N@H+]1CCC[C@@H]1c1cccnc1)NCC(=O)N1CCCC1 ZINC000329427735 410293173 /nfs/dbraw/zinc/29/31/73/410293173.db2.gz GXEZMCCQLGZZIA-OAHLLOKOSA-N 1 2 316.405 1.797 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000355088471 410307866 /nfs/dbraw/zinc/30/78/66/410307866.db2.gz OLRLIJVMOHYHDQ-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000355088471 410307870 /nfs/dbraw/zinc/30/78/70/410307870.db2.gz OLRLIJVMOHYHDQ-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CCN1C([O-])=[NH+][C@H]1CCc2[nH]c[nH+]c2C1 ZINC000329606046 410381284 /nfs/dbraw/zinc/38/12/84/410381284.db2.gz MIFYFCAWLUHUSB-ZMDVIYJKSA-N 1 2 310.423 1.022 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CCN1C([O-])=[NH+][C@H]1CCc2[nH+]c[nH]c2C1 ZINC000329606046 410381288 /nfs/dbraw/zinc/38/12/88/410381288.db2.gz MIFYFCAWLUHUSB-ZMDVIYJKSA-N 1 2 310.423 1.022 20 30 DDEDLO Cc1ccnc(NCC[NH+]2CCN(c3ncccn3)CC2)c1C#N ZINC000343478467 410484002 /nfs/dbraw/zinc/48/40/02/410484002.db2.gz QVSAGYURBKZCJG-UHFFFAOYSA-N 1 2 323.404 1.286 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CCC[C@H](n2cncn2)C1 ZINC000329740315 410428091 /nfs/dbraw/zinc/42/80/91/410428091.db2.gz NXWNEVPATYOGMV-NEPJUHHUSA-N 1 2 315.381 1.110 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CCC[C@H](n2cncn2)C1 ZINC000329740315 410428096 /nfs/dbraw/zinc/42/80/96/410428096.db2.gz NXWNEVPATYOGMV-NEPJUHHUSA-N 1 2 315.381 1.110 20 30 DDEDLO C=CCN(CCOC)C(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000359255283 410583018 /nfs/dbraw/zinc/58/30/18/410583018.db2.gz XPCLJAVNOWFANE-CQSZACIVSA-N 1 2 306.410 1.913 20 30 DDEDLO Cc1cc(C#N)cc(NCc2cc[nH+]c(N3CCOCC3)c2)n1 ZINC000339970437 410585944 /nfs/dbraw/zinc/58/59/44/410585944.db2.gz HPRMLCJZUMHLMT-UHFFFAOYSA-N 1 2 309.373 2.105 20 30 DDEDLO Cc1cnn([C@@H]2CC[N@@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C2)c1 ZINC000352417374 410654605 /nfs/dbraw/zinc/65/46/05/410654605.db2.gz ZMKRJCFFVBTFMP-CVEARBPZSA-N 1 2 309.373 1.537 20 30 DDEDLO Cc1cnn([C@@H]2CC[N@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C2)c1 ZINC000352417374 410654609 /nfs/dbraw/zinc/65/46/09/410654609.db2.gz ZMKRJCFFVBTFMP-CVEARBPZSA-N 1 2 309.373 1.537 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(Cl)c(C#N)c1 ZINC000340177423 410731072 /nfs/dbraw/zinc/73/10/72/410731072.db2.gz GODWBPGTVQPDRB-JTQLQIEISA-N 1 2 324.793 1.775 20 30 DDEDLO Cc1ccc(N2CC[NH+]([C@H](C)C(=O)NC3CC3)CC2)nc1C#N ZINC000302716983 410850229 /nfs/dbraw/zinc/85/02/29/410850229.db2.gz NLFRZHXMPDQEQG-CYBMUJFWSA-N 1 2 313.405 1.051 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H](OCC[NH+]3CCOCC3)C2)CC1 ZINC000356443297 411033834 /nfs/dbraw/zinc/03/38/34/411033834.db2.gz ZSRLUJMTQXDEMO-MRXNPFEDSA-N 1 2 322.449 1.683 20 30 DDEDLO CCN(CC)C(=O)CN1CCC[N@H+](Cc2ccc(C#N)o2)CC1 ZINC000129231188 196031048 /nfs/dbraw/zinc/03/10/48/196031048.db2.gz XDSODEWACZXLNV-UHFFFAOYSA-N 1 2 318.421 1.527 20 30 DDEDLO CCN(CC)C(=O)CN1CCC[N@@H+](Cc2ccc(C#N)o2)CC1 ZINC000129231188 196031050 /nfs/dbraw/zinc/03/10/50/196031050.db2.gz XDSODEWACZXLNV-UHFFFAOYSA-N 1 2 318.421 1.527 20 30 DDEDLO CN(C)C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000580717070 422950438 /nfs/dbraw/zinc/95/04/38/422950438.db2.gz CIMUVWAIUDLIJD-UHFFFAOYSA-N 1 2 305.338 1.169 20 30 DDEDLO CN(C)C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000580717070 422950439 /nfs/dbraw/zinc/95/04/39/422950439.db2.gz CIMUVWAIUDLIJD-UHFFFAOYSA-N 1 2 305.338 1.169 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NCCc1cn2c(cccc2C)[nH+]1 ZINC000645437386 423034823 /nfs/dbraw/zinc/03/48/23/423034823.db2.gz YTRVHYXPUVVFPM-CABCVRRESA-N 1 2 314.389 1.828 20 30 DDEDLO CC1(C)CCN(Cc2c[nH+]c3ccc(C#N)cn23)CC[S@]1=O ZINC000571612157 304474390 /nfs/dbraw/zinc/47/43/90/304474390.db2.gz CJVFQDAVSJHFFD-JOCHJYFZSA-N 1 2 316.430 1.939 20 30 DDEDLO CC(C)[C@H](C(=O)Nc1n[nH]cc1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000601640642 416623810 /nfs/dbraw/zinc/62/38/10/416623810.db2.gz JWNXWYCVKAHTPC-NTZNESFSSA-N 1 2 305.382 1.354 20 30 DDEDLO CC(C)[C@H](C(=O)Nc1n[nH]cc1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000601640642 416623816 /nfs/dbraw/zinc/62/38/16/416623816.db2.gz JWNXWYCVKAHTPC-NTZNESFSSA-N 1 2 305.382 1.354 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)NCC[NH+]2Cc3ccccc3C2)n1 ZINC000610560894 416658222 /nfs/dbraw/zinc/65/82/22/416658222.db2.gz AZGBUNLJKYSDGY-UHFFFAOYSA-N 1 2 310.361 1.429 20 30 DDEDLO N#CC1(CNC(=O)NCCc2cn3c([nH+]2)CCCC3)CCC1 ZINC000419427924 226143641 /nfs/dbraw/zinc/14/36/41/226143641.db2.gz HSUWOHTYQAFGJW-UHFFFAOYSA-N 1 2 301.394 1.755 20 30 DDEDLO CCc1cc(=O)[nH]c(C[NH+]2CCC(n3cnc(C#N)n3)CC2)n1 ZINC000373403070 418424416 /nfs/dbraw/zinc/42/44/16/418424416.db2.gz MNSBFJLQBADJHM-UHFFFAOYSA-N 1 2 313.365 1.045 20 30 DDEDLO C[NH+]1CCN(C(=O)c2cc(NC(=O)CCCC#N)ccn2)CC1 ZINC000360766611 418512183 /nfs/dbraw/zinc/51/21/83/418512183.db2.gz JBLDVEOHNFZJIW-UHFFFAOYSA-N 1 2 315.377 1.102 20 30 DDEDLO N#C[C@H]1C[N@@H+](Cc2ccncc2)C[C@]12c1ccccc1NC2=O ZINC000374364602 418522926 /nfs/dbraw/zinc/52/29/26/418522926.db2.gz HFYGGNXVGCDXAR-KBXCAEBGSA-N 1 2 304.353 1.927 20 30 DDEDLO N#C[C@H]1C[N@H+](Cc2ccncc2)C[C@]12c1ccccc1NC2=O ZINC000374364602 418522928 /nfs/dbraw/zinc/52/29/28/418522928.db2.gz HFYGGNXVGCDXAR-KBXCAEBGSA-N 1 2 304.353 1.927 20 30 DDEDLO N#Cc1cc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)ccn1 ZINC000193220621 418530438 /nfs/dbraw/zinc/53/04/38/418530438.db2.gz BBDAXWRMLQFWGO-INIZCTEOSA-N 1 2 314.389 1.280 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)C3(C#N)CCC3)CC2)cc1 ZINC000265440631 222355086 /nfs/dbraw/zinc/35/50/86/222355086.db2.gz KILRKVCFAKXICT-UHFFFAOYSA-N 1 2 308.385 1.896 20 30 DDEDLO Cc1cc(C#N)nc(NCc2ccc(Cn3cc[nH+]c3)cc2)n1 ZINC000341724716 418626318 /nfs/dbraw/zinc/62/63/18/418626318.db2.gz BKUWPWCTIDWWNP-UHFFFAOYSA-N 1 2 304.357 2.514 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCC[C@@](F)(CO)C1 ZINC000367801537 418637213 /nfs/dbraw/zinc/63/72/13/418637213.db2.gz BBKBIOZFKBVGKV-INIZCTEOSA-N 1 2 308.353 1.742 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCC[C@@](F)(CO)C1 ZINC000367801537 418637215 /nfs/dbraw/zinc/63/72/15/418637215.db2.gz BBKBIOZFKBVGKV-INIZCTEOSA-N 1 2 308.353 1.742 20 30 DDEDLO COCC[C@@](C)(C#N)NC(=O)[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000377373368 418709055 /nfs/dbraw/zinc/70/90/55/418709055.db2.gz NXVUASAEZADKTB-YOEHRIQHSA-N 1 2 316.405 1.733 20 30 DDEDLO CO/N=C/C(=O)N[C@H]1CC[N@H+](C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000377692619 418713463 /nfs/dbraw/zinc/71/34/63/418713463.db2.gz JMIZKQMBLSUWNM-HSIXBOSDSA-N 1 2 313.760 1.973 20 30 DDEDLO CO/N=C/C(=O)N[C@H]1CC[N@@H+](C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000377692619 418713466 /nfs/dbraw/zinc/71/34/66/418713466.db2.gz JMIZKQMBLSUWNM-HSIXBOSDSA-N 1 2 313.760 1.973 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000377835470 418716119 /nfs/dbraw/zinc/71/61/19/418716119.db2.gz UGIHLJUDYCJDNX-ZFWWWQNUSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000377835470 418716122 /nfs/dbraw/zinc/71/61/22/418716122.db2.gz UGIHLJUDYCJDNX-ZFWWWQNUSA-N 1 2 318.373 1.741 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC000377883452 418716971 /nfs/dbraw/zinc/71/69/71/418716971.db2.gz IZJHJQQUOGTRCO-AWEZNQCLSA-N 1 2 322.453 1.030 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC000377883452 418716972 /nfs/dbraw/zinc/71/69/72/418716972.db2.gz IZJHJQQUOGTRCO-AWEZNQCLSA-N 1 2 322.453 1.030 20 30 DDEDLO CO/N=C/C(=O)N[C@H]1CC[N@H+](C2CC2)[C@@H]1c1ccc(F)c(F)c1 ZINC000377927270 418717473 /nfs/dbraw/zinc/71/74/73/418717473.db2.gz ZLLVGHIXLJNNQP-SYYUJBFQSA-N 1 2 323.343 1.991 20 30 DDEDLO CO/N=C/C(=O)N[C@H]1CC[N@@H+](C2CC2)[C@@H]1c1ccc(F)c(F)c1 ZINC000377927270 418717477 /nfs/dbraw/zinc/71/74/77/418717477.db2.gz ZLLVGHIXLJNNQP-SYYUJBFQSA-N 1 2 323.343 1.991 20 30 DDEDLO CCc1nnc(C[N@@H+]2CCCN(c3ccc(C#N)cc3)CC2)[nH]1 ZINC000368355554 418719638 /nfs/dbraw/zinc/71/96/38/418719638.db2.gz XQOLBDYMKPNSCS-UHFFFAOYSA-N 1 2 310.405 1.951 20 30 DDEDLO CCc1nnc(C[N@H+]2CCCN(c3ccc(C#N)cc3)CC2)[nH]1 ZINC000368355554 418719640 /nfs/dbraw/zinc/71/96/40/418719640.db2.gz XQOLBDYMKPNSCS-UHFFFAOYSA-N 1 2 310.405 1.951 20 30 DDEDLO N#CCCN(CCCC1CCOCC1)CC[NH+]1CCOCC1 ZINC000361460192 418656602 /nfs/dbraw/zinc/65/66/02/418656602.db2.gz SKRTVPOFYYEDMC-UHFFFAOYSA-N 1 2 309.454 1.741 20 30 DDEDLO CC#CCCNC(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000361655752 418697549 /nfs/dbraw/zinc/69/75/49/418697549.db2.gz QYSHAEVYPHJDQJ-UHFFFAOYSA-N 1 2 315.417 1.936 20 30 DDEDLO C=C[C@H]([NH2+]CCCn1cc(Br)cn1)C(=O)OC ZINC000383541240 418733698 /nfs/dbraw/zinc/73/36/98/418733698.db2.gz UKRNWOJLOYOYHJ-JTQLQIEISA-N 1 2 302.172 1.353 20 30 DDEDLO C#CC[C@@H](C)NC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000369896548 418738996 /nfs/dbraw/zinc/73/89/96/418738996.db2.gz RWFOWLDBIHKHAQ-WBVHZDCISA-N 1 2 315.417 1.598 20 30 DDEDLO C#CC[C@@H](C)NC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000369896548 418738998 /nfs/dbraw/zinc/73/89/98/418738998.db2.gz RWFOWLDBIHKHAQ-WBVHZDCISA-N 1 2 315.417 1.598 20 30 DDEDLO C=CCCS(=O)(=O)N[C@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000370679177 418746666 /nfs/dbraw/zinc/74/66/66/418746666.db2.gz LYUFADHHZWAAAU-KBPBESRZSA-N 1 2 302.440 1.125 20 30 DDEDLO CCc1nnc(NCC[N@@H+]2CCO[C@H](CC)C2)c(C#N)c1CC ZINC000362679548 418758128 /nfs/dbraw/zinc/75/81/28/418758128.db2.gz CFXSSVLQHBVETF-CYBMUJFWSA-N 1 2 317.437 1.996 20 30 DDEDLO CCc1nnc(NCC[N@H+]2CCO[C@H](CC)C2)c(C#N)c1CC ZINC000362679548 418758131 /nfs/dbraw/zinc/75/81/31/418758131.db2.gz CFXSSVLQHBVETF-CYBMUJFWSA-N 1 2 317.437 1.996 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H](C)CC(C)C)CC1 ZINC000363535674 418767140 /nfs/dbraw/zinc/76/71/40/418767140.db2.gz JQJCAGCXODGPTC-MRXNPFEDSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H](C)CC(C)C)CC1 ZINC000363535674 418767142 /nfs/dbraw/zinc/76/71/42/418767142.db2.gz JQJCAGCXODGPTC-MRXNPFEDSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](CCC)C(C)C)CC1 ZINC000371225295 418769822 /nfs/dbraw/zinc/76/98/22/418769822.db2.gz IAGBRVJYCIHHIH-MRXNPFEDSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](CCC)C(C)C)CC1 ZINC000371225295 418769824 /nfs/dbraw/zinc/76/98/24/418769824.db2.gz IAGBRVJYCIHHIH-MRXNPFEDSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCN(CC#N)C(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000281487179 222555604 /nfs/dbraw/zinc/55/56/04/222555604.db2.gz IHQHSQKKDLSJNC-UHFFFAOYSA-N 1 2 302.284 1.608 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CCN3CCOC[C@@H]3C2)c1C ZINC000368813383 418726158 /nfs/dbraw/zinc/72/61/58/418726158.db2.gz GAUAYNWMGJRSEL-INIZCTEOSA-N 1 2 317.433 1.490 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CCN3CCOC[C@@H]3C2)c1C ZINC000368813383 418726160 /nfs/dbraw/zinc/72/61/60/418726160.db2.gz GAUAYNWMGJRSEL-INIZCTEOSA-N 1 2 317.433 1.490 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000368911287 418727012 /nfs/dbraw/zinc/72/70/12/418727012.db2.gz PFKBGAOKCAVOIA-KRWDZBQOSA-N 1 2 313.401 1.772 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000368911287 418727015 /nfs/dbraw/zinc/72/70/15/418727015.db2.gz PFKBGAOKCAVOIA-KRWDZBQOSA-N 1 2 313.401 1.772 20 30 DDEDLO CC(C)[NH+]1CCN(S(=O)(=O)CC2(C#N)CCCCC2)CC1 ZINC000408228109 418793872 /nfs/dbraw/zinc/79/38/72/418793872.db2.gz FXQINPSHEOOBGU-UHFFFAOYSA-N 1 2 313.467 1.816 20 30 DDEDLO C[C@H]1C[C@H](C)CN(C(=O)C[NH+]2CCN(CC3(C#N)CC3)CC2)C1 ZINC000408110261 418788622 /nfs/dbraw/zinc/78/86/22/418788622.db2.gz MZQNYRCIKTWONN-HOTGVXAUSA-N 1 2 318.465 1.412 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CC[C@@H](O)c2ccccc2C1)C1CC1 ZINC000364735522 418806339 /nfs/dbraw/zinc/80/63/39/418806339.db2.gz BTQQKVLOTLCMFX-SJLPKXTDSA-N 1 2 313.401 1.734 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CC[C@@H](O)c2ccccc2C1)C1CC1 ZINC000364735522 418806342 /nfs/dbraw/zinc/80/63/42/418806342.db2.gz BTQQKVLOTLCMFX-SJLPKXTDSA-N 1 2 313.401 1.734 20 30 DDEDLO C=CC[C@@H]1N(C(=O)C(=O)N2CC[NH+](CC)CC2)CCCC1(C)C ZINC000411006445 418863468 /nfs/dbraw/zinc/86/34/68/418863468.db2.gz XVKKOSVDRPNADD-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C[C@@H](CCC#N)[N@@H+]1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000411416999 418902069 /nfs/dbraw/zinc/90/20/69/418902069.db2.gz PAOFOALVSJMQJJ-ZDUSSCGKSA-N 1 2 307.419 1.960 20 30 DDEDLO C[C@@H](CCC#N)[N@H+]1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000411416999 418902071 /nfs/dbraw/zinc/90/20/71/418902071.db2.gz PAOFOALVSJMQJJ-ZDUSSCGKSA-N 1 2 307.419 1.960 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@@H+](Cc1ccc(C#N)c(F)c1)CC2 ZINC000365233503 418844182 /nfs/dbraw/zinc/84/41/82/418844182.db2.gz JHPGWLLTDSWMAI-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@H+](Cc1ccc(C#N)c(F)c1)CC2 ZINC000365233503 418844183 /nfs/dbraw/zinc/84/41/83/418844183.db2.gz JHPGWLLTDSWMAI-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@H](CO)[C@@H]2C)c(C#N)c1C ZINC000412088197 419644545 /nfs/dbraw/zinc/64/45/45/419644545.db2.gz PEYFFNJHMJOEES-WCQYABFASA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@H](CO)[C@@H]2C)c(C#N)c1C ZINC000412088197 419644551 /nfs/dbraw/zinc/64/45/51/419644551.db2.gz PEYFFNJHMJOEES-WCQYABFASA-N 1 2 305.378 1.799 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCO[C@@H]([C@@H](C)O)C1 ZINC000412099391 419657398 /nfs/dbraw/zinc/65/73/98/419657398.db2.gz VBWWNUPVESZUPQ-MLGOLLRUSA-N 1 2 306.362 1.027 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCO[C@@H]([C@@H](C)O)C1 ZINC000412099391 419657413 /nfs/dbraw/zinc/65/74/13/419657413.db2.gz VBWWNUPVESZUPQ-MLGOLLRUSA-N 1 2 306.362 1.027 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@H+](C)CC(C)(C)C#N)C1 ZINC000433300644 420251354 /nfs/dbraw/zinc/25/13/54/420251354.db2.gz TYNZGRVHOOJURP-UONOGXRCSA-N 1 2 323.437 1.658 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@@H+](C)CC(C)(C)C#N)C1 ZINC000433300644 420251360 /nfs/dbraw/zinc/25/13/60/420251360.db2.gz TYNZGRVHOOJURP-UONOGXRCSA-N 1 2 323.437 1.658 20 30 DDEDLO CC(C)[C@H](C(=O)NCC1(C#N)CCC1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000416352541 420341004 /nfs/dbraw/zinc/34/10/04/420341004.db2.gz CXHOCTYVHZAXDD-QLFBSQMISA-N 1 2 307.438 1.930 20 30 DDEDLO CC(C)[C@H](C(=O)NCC1(C#N)CCC1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000416352541 420341009 /nfs/dbraw/zinc/34/10/09/420341009.db2.gz CXHOCTYVHZAXDD-QLFBSQMISA-N 1 2 307.438 1.930 20 30 DDEDLO N#Cc1c(N2CC[NH+](Cc3cccnc3)CC2)nc2ccccn12 ZINC000302117809 230103227 /nfs/dbraw/zinc/10/32/27/230103227.db2.gz WTMGQACTSMUSGY-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)C1CC(F)(F)C1 ZINC000440584209 420588842 /nfs/dbraw/zinc/58/88/42/420588842.db2.gz VMKSDFOLVZYWSB-UHFFFAOYSA-N 1 2 301.337 1.106 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)NCc1ccc(C#N)c(F)c1 ZINC000454345853 420842192 /nfs/dbraw/zinc/84/21/92/420842192.db2.gz WPTGAOITIFEVNW-LLVKDONJSA-N 1 2 301.325 1.782 20 30 DDEDLO N#C[C@@H]1CN(C(=O)/C=C/c2[nH]cc[nH+]2)CCN1Cc1ccccc1 ZINC000493249718 420797888 /nfs/dbraw/zinc/79/78/88/420797888.db2.gz HEJKGWSXKHIIHL-FYCOFBDGSA-N 1 2 321.384 1.659 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)/C=C\c1ccc(C#N)cc1 ZINC000493437673 420851259 /nfs/dbraw/zinc/85/12/59/420851259.db2.gz WJXYZWYNJBLMKF-ZPIQOJFGSA-N 1 2 313.401 1.751 20 30 DDEDLO C=CCC[C@H]([NH2+][C@@H]1CCC[C@@H](S(C)(=O)=O)C1)C(=O)OCC ZINC000449655236 421047330 /nfs/dbraw/zinc/04/73/30/421047330.db2.gz GWLXGCOGYUDAKS-MCIONIFRSA-N 1 2 317.451 1.830 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494131549 420997431 /nfs/dbraw/zinc/99/74/31/420997431.db2.gz GCSYAHUATVISDH-ZIAGYGMSSA-N 1 2 307.394 1.102 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494131549 420997433 /nfs/dbraw/zinc/99/74/33/420997433.db2.gz GCSYAHUATVISDH-ZIAGYGMSSA-N 1 2 307.394 1.102 20 30 DDEDLO COc1cc(C[N@@H+]2CCCC[C@H]2CS(C)(=O)=O)ccc1C#N ZINC000449537644 421023344 /nfs/dbraw/zinc/02/33/44/421023344.db2.gz GKQHDWIQYCFJRW-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1cc(C[N@H+]2CCCC[C@H]2CS(C)(=O)=O)ccc1C#N ZINC000449537644 421023347 /nfs/dbraw/zinc/02/33/47/421023347.db2.gz GKQHDWIQYCFJRW-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](C(C)(C)C(N)=O)CC2)CCCC1 ZINC000449996512 421124841 /nfs/dbraw/zinc/12/48/41/421124841.db2.gz POMDIYYIBCOMTH-UHFFFAOYSA-N 1 2 307.438 1.531 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC000450141311 421151396 /nfs/dbraw/zinc/15/13/96/421151396.db2.gz IQASZYFAWUYYFX-KFWWJZLASA-N 1 2 303.406 1.792 20 30 DDEDLO C=CCCCS(=O)(=O)NC[C@H](CC(C)C)[NH+]1CCOCC1 ZINC000489079582 421134900 /nfs/dbraw/zinc/13/49/00/421134900.db2.gz SPDVAAODNNNTQM-HNNXBMFYSA-N 1 2 318.483 1.619 20 30 DDEDLO Cc1c(C#N)c(NCC[N@H+]2CCOC[C@@H]2C)nc2ccccc12 ZINC000450312744 421180688 /nfs/dbraw/zinc/18/06/88/421180688.db2.gz IQMICZGVQHSXCR-ZDUSSCGKSA-N 1 2 310.401 2.548 20 30 DDEDLO Cc1c(C#N)c(NCC[N@@H+]2CCOC[C@@H]2C)nc2ccccc12 ZINC000450312744 421180689 /nfs/dbraw/zinc/18/06/89/421180689.db2.gz IQMICZGVQHSXCR-ZDUSSCGKSA-N 1 2 310.401 2.548 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000523056722 421231968 /nfs/dbraw/zinc/23/19/68/421231968.db2.gz ZXJUHUKQNQBQFY-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000523056722 421231972 /nfs/dbraw/zinc/23/19/72/421231972.db2.gz ZXJUHUKQNQBQFY-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N[C@H]2CCn3cc[nH+]c3C2)c(F)c1 ZINC000548310782 421421517 /nfs/dbraw/zinc/42/15/17/421421517.db2.gz KBBKTTUDFPSKMM-JTQLQIEISA-N 1 2 302.284 1.778 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NCc1cn2cc(C)ccc2[nH+]1 ZINC000562898954 421424863 /nfs/dbraw/zinc/42/48/63/421424863.db2.gz BILQXDFJAKWDQL-KGLIPLIRSA-N 1 2 300.362 1.785 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@@H](O)CC2(O)CCC2)C1 ZINC000528555014 421498765 /nfs/dbraw/zinc/49/87/65/421498765.db2.gz UGROUMLBTATJLR-DOTOQJQBSA-N 1 2 315.417 1.605 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@@H](O)CC2(O)CCC2)C1 ZINC000528555014 421498768 /nfs/dbraw/zinc/49/87/68/421498768.db2.gz UGROUMLBTATJLR-DOTOQJQBSA-N 1 2 315.417 1.605 20 30 DDEDLO Cn1cc[nH+]c1[C@H](CC(=O)N(CC#N)C1CC1)C(F)(F)F ZINC000568189414 421618999 /nfs/dbraw/zinc/61/89/99/421618999.db2.gz HMCAPAZDLDAXGS-JTQLQIEISA-N 1 2 300.284 1.971 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2c(C)nsc2C)CC1 ZINC000519555751 421701008 /nfs/dbraw/zinc/70/10/08/421701008.db2.gz ZJNYWLIGLXQZAF-UHFFFAOYSA-N 1 2 308.451 1.180 20 30 DDEDLO COC(=O)c1ccc(C)c(OC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000556881830 421748888 /nfs/dbraw/zinc/74/88/88/421748888.db2.gz PIZWFJLWLPANIF-CQSZACIVSA-N 1 2 306.362 1.367 20 30 DDEDLO COC(=O)c1ccc(C)c(OC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000556881830 421748892 /nfs/dbraw/zinc/74/88/92/421748892.db2.gz PIZWFJLWLPANIF-CQSZACIVSA-N 1 2 306.362 1.367 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC[C@@H](Nc2cccc(C#N)n2)C1=O ZINC000543048035 421833212 /nfs/dbraw/zinc/83/32/12/421833212.db2.gz OAODAPOHIMDFKM-CYBMUJFWSA-N 1 2 310.361 1.290 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000541944703 421815667 /nfs/dbraw/zinc/81/56/67/421815667.db2.gz CXIUVXUOEFIAJY-GOEBONIOSA-N 1 2 316.405 1.346 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000541944703 421815670 /nfs/dbraw/zinc/81/56/70/421815670.db2.gz CXIUVXUOEFIAJY-GOEBONIOSA-N 1 2 316.405 1.346 20 30 DDEDLO C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000520926895 421766611 /nfs/dbraw/zinc/76/66/11/421766611.db2.gz PVQLEBVWNQEHPL-PJODQICGSA-N 1 2 303.362 1.175 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](CC(=O)N1CC(C)(C#N)C1)C(F)(F)F ZINC000573385214 421957095 /nfs/dbraw/zinc/95/70/95/421957095.db2.gz RRCIZYZQUPAVOX-SECBINFHSA-N 1 2 300.284 1.828 20 30 DDEDLO Cn1ncc2c1nc(N)[nH+]c2N[C@@H]1CCc2cc(C#N)ccc21 ZINC000594576232 422350238 /nfs/dbraw/zinc/35/02/38/422350238.db2.gz YARQVXQYKUNLMA-CYBMUJFWSA-N 1 2 305.345 1.917 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)nc1 ZINC000599183737 422404671 /nfs/dbraw/zinc/40/46/71/422404671.db2.gz SLVPSVCJIVBZGF-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)nc1 ZINC000599183737 422404678 /nfs/dbraw/zinc/40/46/78/422404678.db2.gz SLVPSVCJIVBZGF-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO C=CCCC(C)(C)CNC(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC000632599987 422466154 /nfs/dbraw/zinc/46/61/54/422466154.db2.gz GTYCNQLYXLPGTM-UHFFFAOYSA-N 1 2 320.437 1.840 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)c2ccc(-c3c[nH]c[nH+]3)cc2)C1=O ZINC000632848777 422623912 /nfs/dbraw/zinc/62/39/12/422623912.db2.gz KMMLSLSVUGDNHG-INIZCTEOSA-N 1 2 324.384 1.936 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)NCc2ccccc2)nn1 ZINC000640800645 423196815 /nfs/dbraw/zinc/19/68/15/423196815.db2.gz GYFZFYLVTHAXHO-CQSZACIVSA-N 1 2 311.389 1.268 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc(F)cc3c2OCOC3)nn1 ZINC000640890809 423258976 /nfs/dbraw/zinc/25/89/76/423258976.db2.gz FXVZQIQTAUNGHW-UHFFFAOYSA-N 1 2 316.336 1.445 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)c2nc3ccccc3c(=O)[nH]2)nn1 ZINC000640844536 423225712 /nfs/dbraw/zinc/22/57/12/423225712.db2.gz IVBCSYSACZRQIU-LBPRGKRZSA-N 1 2 322.372 1.649 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC3(CC2)[C@H](O)C[C@@H]3OCC)nn1 ZINC000653559185 423529045 /nfs/dbraw/zinc/52/90/45/423529045.db2.gz NZHVSGSFDSKPCT-CVEARBPZSA-N 1 2 320.437 1.606 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000645154931 424199918 /nfs/dbraw/zinc/19/99/18/424199918.db2.gz MSTJPMAOYDOWQS-OAHLLOKOSA-N 1 2 316.405 1.255 20 30 DDEDLO C=C(C)COCCNC(=O)N[C@@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000664443536 424591451 /nfs/dbraw/zinc/59/14/51/424591451.db2.gz JHBMAUMJLNTBER-ZDUSSCGKSA-N 1 2 324.425 1.372 20 30 DDEDLO C=CCOCCCNc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000664385989 424569902 /nfs/dbraw/zinc/56/99/02/424569902.db2.gz MURCOWFXFNVVBV-CQSZACIVSA-N 1 2 306.410 1.690 20 30 DDEDLO C=CCOCCCNc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000664385989 424569908 /nfs/dbraw/zinc/56/99/08/424569908.db2.gz MURCOWFXFNVVBV-CQSZACIVSA-N 1 2 306.410 1.690 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)Nc1cnccn1)Cc1ccc(CO)o1 ZINC000660539702 424713086 /nfs/dbraw/zinc/71/30/86/424713086.db2.gz VYIBOGSJLXKISK-UHFFFAOYSA-N 1 2 316.361 1.579 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)Nc1cnccn1)Cc1ccc(CO)o1 ZINC000660539702 424713087 /nfs/dbraw/zinc/71/30/87/424713087.db2.gz VYIBOGSJLXKISK-UHFFFAOYSA-N 1 2 316.361 1.579 20 30 DDEDLO CCN(CCC[NH+](C)C)C(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000338908716 266123990 /nfs/dbraw/zinc/12/39/90/266123990.db2.gz ZKQGUNXBYJGJGO-UHFFFAOYSA-N 1 2 322.434 1.795 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000342612666 267046390 /nfs/dbraw/zinc/04/63/90/267046390.db2.gz YKVNXCBSXHAMOV-SECBINFHSA-N 1 2 315.345 1.161 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000342612666 267046392 /nfs/dbraw/zinc/04/63/92/267046392.db2.gz YKVNXCBSXHAMOV-SECBINFHSA-N 1 2 315.345 1.161 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)NCc1cccc(C#N)c1 ZINC000356239912 267069125 /nfs/dbraw/zinc/06/91/25/267069125.db2.gz YVFVLDGABJVIGW-CYBMUJFWSA-N 1 2 302.378 1.078 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)NCc1cccc(C#N)c1 ZINC000356239912 267069129 /nfs/dbraw/zinc/06/91/29/267069129.db2.gz YVFVLDGABJVIGW-CYBMUJFWSA-N 1 2 302.378 1.078 20 30 DDEDLO C[C@H]([NH2+]CCS(=O)(=O)c1cccc(C#N)c1)c1ccon1 ZINC000349238129 267183911 /nfs/dbraw/zinc/18/39/11/267183911.db2.gz GKZJJLPKFCFTDO-NSHDSACASA-N 1 2 305.359 1.671 20 30 DDEDLO C[C@H](O)COC(=O)CC[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000369714146 267191598 /nfs/dbraw/zinc/19/15/98/267191598.db2.gz HGACHMIXVZYLNA-BBRMVZONSA-N 1 2 318.373 1.246 20 30 DDEDLO C[C@H](O)COC(=O)CC[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000369714146 267191604 /nfs/dbraw/zinc/19/16/04/267191604.db2.gz HGACHMIXVZYLNA-BBRMVZONSA-N 1 2 318.373 1.246 20 30 DDEDLO C[N@@H+]1C2(CCC2)COC[C@@]1(CO)CNc1ccc(F)cc1C#N ZINC000528130998 267330496 /nfs/dbraw/zinc/33/04/96/267330496.db2.gz KLOCHELCJRYACF-KRWDZBQOSA-N 1 2 319.380 1.725 20 30 DDEDLO C[N@H+]1C2(CCC2)COC[C@@]1(CO)CNc1ccc(F)cc1C#N ZINC000528130998 267330499 /nfs/dbraw/zinc/33/04/99/267330499.db2.gz KLOCHELCJRYACF-KRWDZBQOSA-N 1 2 319.380 1.725 20 30 DDEDLO Cn1cc(C[NH+]2CCN(Cc3cc(C#N)ccc3F)CC2)cn1 ZINC000357769127 267796422 /nfs/dbraw/zinc/79/64/22/267796422.db2.gz QBOFWDPRMYJKIW-UHFFFAOYSA-N 1 2 313.380 1.749 20 30 DDEDLO N#Cc1ccc(CCC(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)cc1 ZINC000354437828 268059435 /nfs/dbraw/zinc/05/94/35/268059435.db2.gz FCHSFDBEDNTGNU-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCc3n[nH]c(=O)cc3C2)cc1 ZINC000360430580 268141231 /nfs/dbraw/zinc/14/12/31/268141231.db2.gz YBSNMNBPWOQSQF-UHFFFAOYSA-N 1 2 309.329 1.051 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCc3n[nH]c(=O)cc3C2)cc1 ZINC000360430580 268141235 /nfs/dbraw/zinc/14/12/35/268141235.db2.gz YBSNMNBPWOQSQF-UHFFFAOYSA-N 1 2 309.329 1.051 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCC(F)(F)[C@H](CO)C2)c1 ZINC000361403727 268250279 /nfs/dbraw/zinc/25/02/79/268250279.db2.gz PGTQXYAIHMVCSQ-AWEZNQCLSA-N 1 2 323.343 1.124 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCC(F)(F)[C@H](CO)C2)c1 ZINC000361403727 268250280 /nfs/dbraw/zinc/25/02/80/268250280.db2.gz PGTQXYAIHMVCSQ-AWEZNQCLSA-N 1 2 323.343 1.124 20 30 DDEDLO CCN1CCN(C(=O)Nc2ccnc(C)n2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000330279942 276193496 /nfs/dbraw/zinc/19/34/96/276193496.db2.gz RUKPOGXVAYCHSM-GFCCVEGCSA-N 1 2 315.381 1.432 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000291135420 276791806 /nfs/dbraw/zinc/79/18/06/276791806.db2.gz YZYLORMFKRMSEN-NVXWUHKLSA-N 1 2 315.417 1.251 20 30 DDEDLO C[C@H](C(=O)Nc1ncccn1)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000329176100 279241043 /nfs/dbraw/zinc/24/10/43/279241043.db2.gz MJLTXKWRZVYVBH-MCIONIFRSA-N 1 2 319.409 1.248 20 30 DDEDLO O=C1NC(=O)N2CC[N@@H+](CC#Cc3ccc(Cl)cc3)C[C@H]12 ZINC000329415988 280277125 /nfs/dbraw/zinc/27/71/25/280277125.db2.gz FNFDTWWCSOABMY-CYBMUJFWSA-N 1 2 303.749 1.768 20 30 DDEDLO O=C1NC(=O)N2CC[N@H+](CC#Cc3ccc(Cl)cc3)C[C@H]12 ZINC000329415988 280277126 /nfs/dbraw/zinc/27/71/26/280277126.db2.gz FNFDTWWCSOABMY-CYBMUJFWSA-N 1 2 303.749 1.768 20 30 DDEDLO CCc1noc(CC)c1C[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000088550600 281018635 /nfs/dbraw/zinc/01/86/35/281018635.db2.gz SDTCBTNBPOJRKU-MRXNPFEDSA-N 1 2 312.373 1.987 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC(C)(C)CC#N ZINC000459226986 288712903 /nfs/dbraw/zinc/71/29/03/288712903.db2.gz AXPWCTNEUNDTPA-KGLIPLIRSA-N 1 2 308.426 1.431 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C\c1ccc2c(c1)OCO2 ZINC000493057499 289219424 /nfs/dbraw/zinc/21/94/24/289219424.db2.gz VGPUYAOXYVDBMG-WRLNGNIRSA-N 1 2 301.346 1.389 20 30 DDEDLO C[C@H](CC#N)N(C)S(=O)(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000282356708 298274851 /nfs/dbraw/zinc/27/48/51/298274851.db2.gz SWFMABNXEDEZTP-NEPJUHHUSA-N 1 2 311.411 1.415 20 30 DDEDLO CN(Cc1c[nH+]c2ccc(C#N)cn12)Cc1nnc2n1CCC2 ZINC000536633254 303366850 /nfs/dbraw/zinc/36/68/50/303366850.db2.gz IWIRAZZSGDAAKH-UHFFFAOYSA-N 1 2 307.361 1.376 20 30 DDEDLO COC(=O)c1ccc(N[C@H](C)[C@@H](C)[NH+]2CCOCC2)c(C#N)n1 ZINC000566479261 308054610 /nfs/dbraw/zinc/05/46/10/308054610.db2.gz PRMNPQACFNCZKD-VXGBXAGGSA-N 1 2 318.377 1.261 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)CC2(CCC2)O1 ZINC000571878667 308205095 /nfs/dbraw/zinc/20/50/95/308205095.db2.gz LAZGMFCWRYJCDQ-CQSZACIVSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CC2(CCC2)O1 ZINC000571878667 308205096 /nfs/dbraw/zinc/20/50/96/308205096.db2.gz LAZGMFCWRYJCDQ-CQSZACIVSA-N 1 2 313.401 1.818 20 30 DDEDLO N#C[C@H]1COCCN1C(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000557632443 331804436 /nfs/dbraw/zinc/80/44/36/331804436.db2.gz UCMFLCIDYRKRLI-GJZGRUSLSA-N 1 2 310.357 1.683 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1 ZINC000559526514 332268423 /nfs/dbraw/zinc/26/84/23/332268423.db2.gz KCGYZDGMCKKUDS-AWEZNQCLSA-N 1 2 312.373 1.270 20 30 DDEDLO N#C[C@@H]1C[N@H+]([C@H]2CCCCNC2=O)C[C@@]12C(=O)Nc1ccccc12 ZINC000340724784 334383437 /nfs/dbraw/zinc/38/34/37/334383437.db2.gz BOJFUVLWPLTNKU-HNJNHCNJSA-N 1 2 324.384 1.001 20 30 DDEDLO N#C[C@@H]1C[N@@H+]([C@H]2CCCCNC2=O)C[C@@]12C(=O)Nc1ccccc12 ZINC000340724784 334383439 /nfs/dbraw/zinc/38/34/39/334383439.db2.gz BOJFUVLWPLTNKU-HNJNHCNJSA-N 1 2 324.384 1.001 20 30 DDEDLO CCOC(=O)Nc1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000496434342 340005426 /nfs/dbraw/zinc/00/54/26/340005426.db2.gz VGQHUSWBDFGSNA-AWEZNQCLSA-N 1 2 321.377 1.840 20 30 DDEDLO CCOC(=O)Nc1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000496434342 340005427 /nfs/dbraw/zinc/00/54/27/340005427.db2.gz VGQHUSWBDFGSNA-AWEZNQCLSA-N 1 2 321.377 1.840 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H](OC(=O)N(C)C)C1 ZINC000497064442 340019366 /nfs/dbraw/zinc/01/93/66/340019366.db2.gz OIHJAQWCBHHASS-KBPBESRZSA-N 1 2 324.425 1.157 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@H](OC(=O)N(C)C)C1 ZINC000497064442 340019367 /nfs/dbraw/zinc/01/93/67/340019367.db2.gz OIHJAQWCBHHASS-KBPBESRZSA-N 1 2 324.425 1.157 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000498733246 340022285 /nfs/dbraw/zinc/02/22/85/340022285.db2.gz HPMMBZQJHRRANZ-RBSFLKMASA-N 1 2 303.406 1.455 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(CC#Cc2cccc(Cl)c2)CC1 ZINC000130883393 341052658 /nfs/dbraw/zinc/05/26/58/341052658.db2.gz BLYHWVRNAQBUGG-UHFFFAOYSA-N 1 2 319.836 1.445 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)c1cccc(SCC#N)c1 ZINC000079531358 341260782 /nfs/dbraw/zinc/26/07/82/341260782.db2.gz XHYXMEKYUIXYJH-ZDUSSCGKSA-N 1 2 319.430 1.753 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)c2cc(O)cc([N+](=O)[O-])c2)CC1 ZINC000548450015 341261353 /nfs/dbraw/zinc/26/13/53/341261353.db2.gz HZUXJDRPOHPGQX-UHFFFAOYSA-N 1 2 304.306 1.018 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cccc([C@H]2CCOC2)c1 ZINC000566563248 341561902 /nfs/dbraw/zinc/56/19/02/341561902.db2.gz AZEXKPQMYUCILK-DOTOQJQBSA-N 1 2 301.390 1.764 20 30 DDEDLO C=CCOc1cccc(C[NH2+]Cc2nnc3n2CCOC3)c1 ZINC000682952792 485836868 /nfs/dbraw/zinc/83/68/68/485836868.db2.gz GYMFQDFOBHWKGN-UHFFFAOYSA-N 1 2 300.362 1.663 20 30 DDEDLO C=CCOCCNC(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000679480090 485898509 /nfs/dbraw/zinc/89/85/09/485898509.db2.gz OYKNXEWUNSKTJH-UHFFFAOYSA-N 1 2 314.389 1.933 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)c2ccc(CC)cc2)CC1 ZINC000677475531 486475692 /nfs/dbraw/zinc/47/56/92/486475692.db2.gz ANYSEYSSYJKWSE-KRWDZBQOSA-N 1 2 302.418 1.944 20 30 DDEDLO C=CCn1c([C@@H]2OCC[C@@H]2C)nnc1N1CCn2cc[nH+]c2C1 ZINC000685545278 486559269 /nfs/dbraw/zinc/55/92/69/486559269.db2.gz FRASJTSUKFHUFM-GXTWGEPZSA-N 1 2 314.393 1.778 20 30 DDEDLO Cc1cc(NC[C@@H]2CCO[C@H]2c2ccnn2C)c(C#N)c(C)[nH+]1 ZINC000328616576 534034742 /nfs/dbraw/zinc/03/47/42/534034742.db2.gz BTVGCAPPMRHAHE-SUMWQHHRSA-N 1 2 311.389 1.915 20 30 DDEDLO Cc1csc(C(=O)NC[C@@H](O)C[NH+]2CCOCC2)c1Cl ZINC000331073851 534766473 /nfs/dbraw/zinc/76/64/73/534766473.db2.gz GEQCNWZHPZRFEE-SNVBAGLBSA-N 1 2 318.826 1.708 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CCC[C@](O)(Cn2ccnn2)C1 ZINC000331718480 534773298 /nfs/dbraw/zinc/77/32/98/534773298.db2.gz JCQVQPDIXYBTLC-MRXNPFEDSA-N 1 2 315.352 1.316 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CCC[C@](O)(Cn2ccnn2)C1 ZINC000331718480 534773304 /nfs/dbraw/zinc/77/33/04/534773304.db2.gz JCQVQPDIXYBTLC-MRXNPFEDSA-N 1 2 315.352 1.316 20 30 DDEDLO C=CC[N@@H+](CCOc1ccccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000343073654 526306967 /nfs/dbraw/zinc/30/69/67/526306967.db2.gz USZQYGSEVNFVHW-CYBMUJFWSA-N 1 2 313.394 1.880 20 30 DDEDLO C=CC[N@H+](CCOc1ccccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000343073654 526306972 /nfs/dbraw/zinc/30/69/72/526306972.db2.gz USZQYGSEVNFVHW-CYBMUJFWSA-N 1 2 313.394 1.880 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2cc(C)cc(C)c2)C1 ZINC000330939706 526401196 /nfs/dbraw/zinc/40/11/96/526401196.db2.gz CYZIVAHRFSIHRU-UONOGXRCSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2cc(C)cc(C)c2)C1 ZINC000330939706 526401201 /nfs/dbraw/zinc/40/12/01/526401201.db2.gz CYZIVAHRFSIHRU-UONOGXRCSA-N 1 2 318.421 1.838 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000339734904 526476102 /nfs/dbraw/zinc/47/61/02/526476102.db2.gz AEWYHEJXOCQYGF-UHFFFAOYSA-N 1 2 314.389 1.495 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(CC(F)F)[C@@H](CC)C2)C1=O ZINC000337184982 526507869 /nfs/dbraw/zinc/50/78/69/526507869.db2.gz ONZDFZIZWPVDGC-STQMWFEESA-N 1 2 301.381 1.435 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(CC(F)F)[C@@H](CC)C2)C1=O ZINC000337184982 526507871 /nfs/dbraw/zinc/50/78/71/526507871.db2.gz ONZDFZIZWPVDGC-STQMWFEESA-N 1 2 301.381 1.435 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)c2cccc(C)c2F)CC1 ZINC000330987372 526623752 /nfs/dbraw/zinc/62/37/52/526623752.db2.gz QIVINZBRHPNQQH-UHFFFAOYSA-N 1 2 307.369 1.603 20 30 DDEDLO CC(=O)N1CC[NH+](Cc2cccc(NC(=O)[C@@H](C)C#N)c2)CC1 ZINC000444416829 526630677 /nfs/dbraw/zinc/63/06/77/526630677.db2.gz OLYVLRSBKWTABC-ZDUSSCGKSA-N 1 2 314.389 1.449 20 30 DDEDLO C=C(C)COCCNC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000345319145 526638085 /nfs/dbraw/zinc/63/80/85/526638085.db2.gz OWFZPDBTEBLBBR-GJZGRUSLSA-N 1 2 311.426 1.084 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N(CCC#N)CC[NH+]1CCOCC1)OCC ZINC000339392686 526740857 /nfs/dbraw/zinc/74/08/57/526740857.db2.gz JITBIDGVHYARDX-MRXNPFEDSA-N 1 2 323.437 1.432 20 30 DDEDLO C#CCN(C(=O)C1CC[NH+](Cc2nccn2C)CC1)C1CC1 ZINC000491370676 526762776 /nfs/dbraw/zinc/76/27/76/526762776.db2.gz AGRQJGBYXGFVIA-UHFFFAOYSA-N 1 2 300.406 1.256 20 30 DDEDLO C=CCOCC[N@H+]1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000339057841 526772921 /nfs/dbraw/zinc/77/29/21/526772921.db2.gz LBFNEXXCBITFMO-UHFFFAOYSA-N 1 2 310.419 1.471 20 30 DDEDLO C=CCOCC[N@@H+]1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000339057841 526772924 /nfs/dbraw/zinc/77/29/24/526772924.db2.gz LBFNEXXCBITFMO-UHFFFAOYSA-N 1 2 310.419 1.471 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@H]3[C@@H](CCS3(=O)=O)C2)cc1 ZINC000348788123 526875399 /nfs/dbraw/zinc/87/53/99/526875399.db2.gz YWNISZCDKHCVCX-HOCLYGCPSA-N 1 2 307.415 1.870 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@H]3[C@@H](CCS3(=O)=O)C2)cc1 ZINC000348788123 526875404 /nfs/dbraw/zinc/87/54/04/526875404.db2.gz YWNISZCDKHCVCX-HOCLYGCPSA-N 1 2 307.415 1.870 20 30 DDEDLO C#CC[N@@H+](CC(=O)N(C)Cc1ccc(OC)c(OC)c1)C1CC1 ZINC000491741582 526882722 /nfs/dbraw/zinc/88/27/22/526882722.db2.gz PHPYKHWDIVCIHT-UHFFFAOYSA-N 1 2 316.401 1.760 20 30 DDEDLO C#CC[N@H+](CC(=O)N(C)Cc1ccc(OC)c(OC)c1)C1CC1 ZINC000491741582 526882727 /nfs/dbraw/zinc/88/27/27/526882727.db2.gz PHPYKHWDIVCIHT-UHFFFAOYSA-N 1 2 316.401 1.760 20 30 DDEDLO C#CC[N@H+](Cc1ccc(F)cc1)[C@@H](C)C(=O)NCCCOC ZINC000491546318 526906483 /nfs/dbraw/zinc/90/64/83/526906483.db2.gz VKTQJTOKTHUWGV-AWEZNQCLSA-N 1 2 306.381 1.802 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(F)cc1)[C@@H](C)C(=O)NCCCOC ZINC000491546318 526906487 /nfs/dbraw/zinc/90/64/87/526906487.db2.gz VKTQJTOKTHUWGV-AWEZNQCLSA-N 1 2 306.381 1.802 20 30 DDEDLO C#CC[N@H+](Cc1nnnn1C1CCOCC1)C1CCCCC1 ZINC000491288575 526912806 /nfs/dbraw/zinc/91/28/06/526912806.db2.gz SRZFRFQNPZLWFF-UHFFFAOYSA-N 1 2 303.410 1.793 20 30 DDEDLO C#CC[N@@H+](Cc1nnnn1C1CCOCC1)C1CCCCC1 ZINC000491288575 526912811 /nfs/dbraw/zinc/91/28/11/526912811.db2.gz SRZFRFQNPZLWFF-UHFFFAOYSA-N 1 2 303.410 1.793 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)C(=O)c1cnn(C(C)(C)C)c1 ZINC000490900425 526942624 /nfs/dbraw/zinc/94/26/24/526942624.db2.gz VNNVIJLIDSKVLC-AWEZNQCLSA-N 1 2 317.389 1.462 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)C(=O)c1cnn(C(C)(C)C)c1 ZINC000490900425 526942625 /nfs/dbraw/zinc/94/26/25/526942625.db2.gz VNNVIJLIDSKVLC-AWEZNQCLSA-N 1 2 317.389 1.462 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)C)n2C[C@H]2CCCO2)CC1 ZINC000491067248 526955832 /nfs/dbraw/zinc/95/58/32/526955832.db2.gz HAADWDRNKIYAFX-OAHLLOKOSA-N 1 2 317.437 1.336 20 30 DDEDLO C#CCNC(=O)c1cccc(-c2noc(Cc3c[nH+]cn3C)n2)c1 ZINC000491711278 527040757 /nfs/dbraw/zinc/04/07/57/527040757.db2.gz UCYHHGQNZFSHLZ-UHFFFAOYSA-N 1 2 321.340 1.424 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CC[C@@H]([NH+]2CCN(CC)CC2)C1 ZINC000341430145 527041753 /nfs/dbraw/zinc/04/17/53/527041753.db2.gz IXQZICCXZSBDGQ-HZPDHXFCSA-N 1 2 308.470 1.763 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1ccc(C#N)cc1 ZINC000414419379 527086124 /nfs/dbraw/zinc/08/61/24/527086124.db2.gz ZYWXRNXVFSGOCK-UHFFFAOYSA-N 1 2 302.378 1.791 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1ccc(C#N)c(F)c1 ZINC000417140791 527086735 /nfs/dbraw/zinc/08/67/35/527086735.db2.gz PICSMWFFTLZURY-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO C#C[C@@H](CC)NC(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000491439180 527234640 /nfs/dbraw/zinc/23/46/40/527234640.db2.gz IAMFAGCBLXENBQ-HOCLYGCPSA-N 1 2 300.406 1.882 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1Cc1ccc(OCC(=O)OCC)cc1 ZINC000491554055 527312357 /nfs/dbraw/zinc/31/23/57/527312357.db2.gz FZQAFWJXJCGKLD-OAHLLOKOSA-N 1 2 303.358 1.463 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1Cc1ccc(OCC(=O)OCC)cc1 ZINC000491554055 527312361 /nfs/dbraw/zinc/31/23/61/527312361.db2.gz FZQAFWJXJCGKLD-OAHLLOKOSA-N 1 2 303.358 1.463 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000491483218 527330895 /nfs/dbraw/zinc/33/08/95/527330895.db2.gz ACIVIAQKPMNKOW-VIFPVBQESA-N 1 2 305.290 1.216 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000491483218 527330898 /nfs/dbraw/zinc/33/08/98/527330898.db2.gz ACIVIAQKPMNKOW-VIFPVBQESA-N 1 2 305.290 1.216 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000491254376 527418261 /nfs/dbraw/zinc/41/82/61/527418261.db2.gz SLZOKWYHBSZQNZ-NSHDSACASA-N 1 2 319.311 1.484 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NCc1ccc(N(CC)CC)[nH+]c1 ZINC000491709571 527420148 /nfs/dbraw/zinc/42/01/48/527420148.db2.gz RRPVSYXYSCOGAB-HNNXBMFYSA-N 1 2 316.405 1.471 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000457589265 527429684 /nfs/dbraw/zinc/42/96/84/527429684.db2.gz CUAXSTANWJYYEA-HNNXBMFYSA-N 1 2 318.421 1.808 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCCc1cn2ccccc2[nH+]1 ZINC000457588190 527430713 /nfs/dbraw/zinc/43/07/13/527430713.db2.gz FQFGHJULMHSLAU-AWEZNQCLSA-N 1 2 302.378 1.503 20 30 DDEDLO CC(C)C(=O)NC1CCN(C(=O)NCCCn2cc[nH+]c2)CC1 ZINC000330468042 527484292 /nfs/dbraw/zinc/48/42/92/527484292.db2.gz YQLXXWCCGHYFSX-UHFFFAOYSA-N 1 2 321.425 1.424 20 30 DDEDLO CC(C)C(=O)NC1CCN(C([O-])=[NH+]CCCn2cc[nH+]c2)CC1 ZINC000330468042 527484298 /nfs/dbraw/zinc/48/42/98/527484298.db2.gz YQLXXWCCGHYFSX-UHFFFAOYSA-N 1 2 321.425 1.424 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000334104156 527504441 /nfs/dbraw/zinc/50/44/41/527504441.db2.gz XJMQCFGOQGPPBH-KBXIAJHMSA-N 1 2 304.394 1.819 20 30 DDEDLO CC1(CNC(=O)NCC2([NH+]3CCOCC3)CC2)CCOCC1 ZINC000330245602 527901001 /nfs/dbraw/zinc/90/10/01/527901001.db2.gz KTKASRNPKNWKFX-UHFFFAOYSA-N 1 2 311.426 1.172 20 30 DDEDLO CCCCNC(=O)NC(=O)CN1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000330963368 528020873 /nfs/dbraw/zinc/02/08/73/528020873.db2.gz CQHQXMVNRRNNCQ-GFCCVEGCSA-N 1 2 307.398 1.419 20 30 DDEDLO CC(C)C[C@@H]1CCC(=O)N(C[C@@H](O)C[N@H+](C)CCC#N)C1=O ZINC000414137462 528369317 /nfs/dbraw/zinc/36/93/17/528369317.db2.gz IFQXRWOIIUGKRE-KBPBESRZSA-N 1 2 309.410 1.004 20 30 DDEDLO CC(C)C[C@@H]1CCC(=O)N(C[C@@H](O)C[N@@H+](C)CCC#N)C1=O ZINC000414137462 528369325 /nfs/dbraw/zinc/36/93/25/528369325.db2.gz IFQXRWOIIUGKRE-KBPBESRZSA-N 1 2 309.410 1.004 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@@H+]1CCc2ncsc2C1 ZINC000445853675 528855699 /nfs/dbraw/zinc/85/56/99/528855699.db2.gz KLQHCRDTEHMCIL-XHDPSFHLSA-N 1 2 306.435 1.944 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@H+]1CCc2ncsc2C1 ZINC000445853675 528855706 /nfs/dbraw/zinc/85/57/06/528855706.db2.gz KLQHCRDTEHMCIL-XHDPSFHLSA-N 1 2 306.435 1.944 20 30 DDEDLO CCN1CCN(C(=O)NC[C@H](C)COC)C[C@H]1c1[nH]cc[nH+]1 ZINC000331031955 529108194 /nfs/dbraw/zinc/10/81/94/529108194.db2.gz UHIFDPBTECQIOI-STQMWFEESA-N 1 2 309.414 1.285 20 30 DDEDLO CC[C@H](CC#N)[NH2+]Cc1cnn([C@]2(C)CCS(=O)(=O)C2)c1 ZINC000332406010 529300455 /nfs/dbraw/zinc/30/04/55/529300455.db2.gz LUJJRTKMUFROMC-ZIAGYGMSSA-N 1 2 310.423 1.199 20 30 DDEDLO C[C@@H](CN(C)C(=O)CCc1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001120839272 782176469 /nfs/dbraw/zinc/17/64/69/782176469.db2.gz WEFXWYHCHFGVSO-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO C[C@@H](CN(C)C(=O)CCc1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001120839272 782176473 /nfs/dbraw/zinc/17/64/73/782176473.db2.gz WEFXWYHCHFGVSO-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)cc3)C2)C1 ZINC000972226238 695182066 /nfs/dbraw/zinc/18/20/66/695182066.db2.gz XPVZKEQKUHOVFN-QGZVFWFLSA-N 1 2 304.365 1.929 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)cc3)C2)C1 ZINC000972226238 695182068 /nfs/dbraw/zinc/18/20/68/695182068.db2.gz XPVZKEQKUHOVFN-QGZVFWFLSA-N 1 2 304.365 1.929 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2C)C1 ZINC000972245456 695188135 /nfs/dbraw/zinc/18/81/35/695188135.db2.gz LAGFSQGHKDTGAQ-BBRMVZONSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC000972245456 695188138 /nfs/dbraw/zinc/18/81/38/695188138.db2.gz LAGFSQGHKDTGAQ-BBRMVZONSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)COC3CCCC3)C2)C1 ZINC000972260765 695194368 /nfs/dbraw/zinc/19/43/68/695194368.db2.gz DEFCRKVTRGDLOC-KRWDZBQOSA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)COC3CCCC3)C2)C1 ZINC000972260765 695194370 /nfs/dbraw/zinc/19/43/70/695194370.db2.gz DEFCRKVTRGDLOC-KRWDZBQOSA-N 1 2 308.422 1.435 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@]2(C1)C[N@H+](CCC(F)(F)F)CCO2 ZINC000972297504 695209732 /nfs/dbraw/zinc/20/97/32/695209732.db2.gz KCZZSFCPUVJHPU-DGCLKSJQSA-N 1 2 319.327 1.402 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@]2(C1)C[N@@H+](CCC(F)(F)F)CCO2 ZINC000972297504 695209734 /nfs/dbraw/zinc/20/97/34/695209734.db2.gz KCZZSFCPUVJHPU-DGCLKSJQSA-N 1 2 319.327 1.402 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@]2(C1)C[N@H+](Cc1cccnc1)CCO2 ZINC000972299045 695210370 /nfs/dbraw/zinc/21/03/70/695210370.db2.gz XKNDEKIIOLMSPJ-RHSMWYFYSA-N 1 2 314.389 1.045 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@]2(C1)C[N@@H+](Cc1cccnc1)CCO2 ZINC000972299045 695210371 /nfs/dbraw/zinc/21/03/71/695210371.db2.gz XKNDEKIIOLMSPJ-RHSMWYFYSA-N 1 2 314.389 1.045 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC000972372588 695232926 /nfs/dbraw/zinc/23/29/26/695232926.db2.gz FTEPATMVOOZWGL-XHSDSOJGSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC000972372588 695232929 /nfs/dbraw/zinc/23/29/29/695232929.db2.gz FTEPATMVOOZWGL-XHSDSOJGSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC000972376162 695234434 /nfs/dbraw/zinc/23/44/34/695234434.db2.gz YGEPMSLTYRLCBT-MGPQQGTHSA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC000972376162 695234435 /nfs/dbraw/zinc/23/44/35/695234435.db2.gz YGEPMSLTYRLCBT-MGPQQGTHSA-N 1 2 322.840 1.809 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ncc(F)cc3F)C2)C1 ZINC000972436521 695250731 /nfs/dbraw/zinc/25/07/31/695250731.db2.gz XRPCDXMOUPMYFF-MRXNPFEDSA-N 1 2 323.343 1.463 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ncc(F)cc3F)C2)C1 ZINC000972436521 695250732 /nfs/dbraw/zinc/25/07/32/695250732.db2.gz XRPCDXMOUPMYFF-MRXNPFEDSA-N 1 2 323.343 1.463 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3CC(F)(F)C3)C2)C1 ZINC000972441259 695252040 /nfs/dbraw/zinc/25/20/40/695252040.db2.gz QCVYRFZJIOBVFR-HNNXBMFYSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3CC(F)(F)C3)C2)C1 ZINC000972441259 695252041 /nfs/dbraw/zinc/25/20/41/695252041.db2.gz QCVYRFZJIOBVFR-HNNXBMFYSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnn(C)c3CC)C2)C1 ZINC000972450062 695254410 /nfs/dbraw/zinc/25/44/10/695254410.db2.gz QIRIKBMWKWAWTN-KRWDZBQOSA-N 1 2 318.421 1.085 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnn(C)c3CC)C2)C1 ZINC000972450062 695254413 /nfs/dbraw/zinc/25/44/13/695254413.db2.gz QIRIKBMWKWAWTN-KRWDZBQOSA-N 1 2 318.421 1.085 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ocnc3CC)C2)C1 ZINC000972544198 695277751 /nfs/dbraw/zinc/27/77/51/695277751.db2.gz STONWCMEHDWXIP-INIZCTEOSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ocnc3CC)C2)C1 ZINC000972544198 695277754 /nfs/dbraw/zinc/27/77/54/695277754.db2.gz STONWCMEHDWXIP-INIZCTEOSA-N 1 2 305.378 1.340 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3csc(C)c3)C2)C1 ZINC000972599465 695294786 /nfs/dbraw/zinc/29/47/86/695294786.db2.gz QORKIPRTOUZJMO-KRWDZBQOSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3csc(C)c3)C2)C1 ZINC000972599465 695294788 /nfs/dbraw/zinc/29/47/88/695294788.db2.gz QORKIPRTOUZJMO-KRWDZBQOSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccco3)C2)C1 ZINC000972607396 695297281 /nfs/dbraw/zinc/29/72/81/695297281.db2.gz GBRWKQHWYXGHIY-KRWDZBQOSA-N 1 2 302.374 1.149 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccco3)C2)C1 ZINC000972607396 695297282 /nfs/dbraw/zinc/29/72/82/695297282.db2.gz GBRWKQHWYXGHIY-KRWDZBQOSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3ccccc3)C2)C1 ZINC000972644600 695308214 /nfs/dbraw/zinc/30/82/14/695308214.db2.gz ZYXGGUXVOUNOFP-VQIMIIECSA-N 1 2 312.413 1.727 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3ccccc3)C2)C1 ZINC000972644600 695308216 /nfs/dbraw/zinc/30/82/16/695308216.db2.gz ZYXGGUXVOUNOFP-VQIMIIECSA-N 1 2 312.413 1.727 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(OC)cc3)C2)C1 ZINC000972650147 695309185 /nfs/dbraw/zinc/30/91/85/695309185.db2.gz NDEMUANRESCFGV-GOSISDBHSA-N 1 2 316.401 1.798 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(OC)cc3)C2)C1 ZINC000972650147 695309187 /nfs/dbraw/zinc/30/91/87/695309187.db2.gz NDEMUANRESCFGV-GOSISDBHSA-N 1 2 316.401 1.798 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2cc(OC)ns2)C(C)(C)C1 ZINC000974599076 695693771 /nfs/dbraw/zinc/69/37/71/695693771.db2.gz WYNYKNNKCCVDLH-LBPRGKRZSA-N 1 2 307.419 1.615 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2cc(OC)ns2)C(C)(C)C1 ZINC000974599076 695693772 /nfs/dbraw/zinc/69/37/72/695693772.db2.gz WYNYKNNKCCVDLH-LBPRGKRZSA-N 1 2 307.419 1.615 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCNC2=O)C(C)(C)C1 ZINC000974696401 695713745 /nfs/dbraw/zinc/71/37/45/695713745.db2.gz YCUZTKHKVPSTAB-NWDGAFQWSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@H]2CCCNC2=O)C(C)(C)C1 ZINC000974696401 695713746 /nfs/dbraw/zinc/71/37/46/695713746.db2.gz YCUZTKHKVPSTAB-NWDGAFQWSA-N 1 2 313.829 1.092 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CN(CC#N)CC2(C)C)c[nH+]1 ZINC000974726496 695719587 /nfs/dbraw/zinc/71/95/87/695719587.db2.gz BFKBWMMCINYHIF-ZDUSSCGKSA-N 1 2 303.410 1.277 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCC3)C(C)(C)C1 ZINC000974770422 695731371 /nfs/dbraw/zinc/73/13/71/695731371.db2.gz CQBBHWILCLZMDJ-CQSZACIVSA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCC3)C(C)(C)C1 ZINC000974770422 695731373 /nfs/dbraw/zinc/73/13/73/695731373.db2.gz CQBBHWILCLZMDJ-CQSZACIVSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000798357325 700058838 /nfs/dbraw/zinc/05/88/38/700058838.db2.gz JTLIIUZYGDJFJG-OAHLLOKOSA-N 1 2 316.405 1.255 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)CN1CCOC1=S ZINC000063730171 696330659 /nfs/dbraw/zinc/33/06/59/696330659.db2.gz IBSOUOZJOLNQKO-UHFFFAOYSA-N 1 2 305.403 1.581 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)CN1CCOC1=S ZINC000063730171 696330662 /nfs/dbraw/zinc/33/06/62/696330662.db2.gz IBSOUOZJOLNQKO-UHFFFAOYSA-N 1 2 305.403 1.581 20 30 DDEDLO NC(=[NH+]OCc1nnnn1-c1ccccc1)c1ccc(F)cc1 ZINC000747826193 700088282 /nfs/dbraw/zinc/08/82/82/700088282.db2.gz DZIFBMSFGDHVGU-UHFFFAOYSA-N 1 2 312.308 1.639 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H]([C@H](C)[NH2+]Cc2nnc(C)o2)C1 ZINC000979378034 696540385 /nfs/dbraw/zinc/54/03/85/696540385.db2.gz QGDJNAHOMFYBEM-WCQYABFASA-N 1 2 322.409 1.296 20 30 DDEDLO Cn1cc(N2CC[C@H](O[NH+]=C(N)Cc3ccccc3)C2=O)cn1 ZINC000111176814 696642691 /nfs/dbraw/zinc/64/26/91/696642691.db2.gz MBWJKLWYIQCAJG-AWEZNQCLSA-N 1 2 313.361 1.057 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000799074753 700114338 /nfs/dbraw/zinc/11/43/38/700114338.db2.gz NXCSOIOHPNPPQS-MRXNPFEDSA-N 1 2 316.401 1.023 20 30 DDEDLO Cc1nc(C[N@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)oc1C ZINC000981670452 696868168 /nfs/dbraw/zinc/86/81/68/696868168.db2.gz XTEREMBOVBMVTI-GFCCVEGCSA-N 1 2 316.405 1.876 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)oc1C ZINC000981670452 696868171 /nfs/dbraw/zinc/86/81/71/696868171.db2.gz XTEREMBOVBMVTI-GFCCVEGCSA-N 1 2 316.405 1.876 20 30 DDEDLO Cc1cc(C(=O)N2CCC[N@H+](CC#Cc3ccccc3)CC2)[nH]n1 ZINC000980773149 696885277 /nfs/dbraw/zinc/88/52/77/696885277.db2.gz JVKKAXPTHXPRCE-UHFFFAOYSA-N 1 2 322.412 1.918 20 30 DDEDLO Cc1cc(C(=O)N2CCC[N@@H+](CC#Cc3ccccc3)CC2)[nH]n1 ZINC000980773149 696885280 /nfs/dbraw/zinc/88/52/80/696885280.db2.gz JVKKAXPTHXPRCE-UHFFFAOYSA-N 1 2 322.412 1.918 20 30 DDEDLO O=C([C@H]1CCOC1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980803665 696895207 /nfs/dbraw/zinc/89/52/07/696895207.db2.gz YTWGJPXTBIJEDL-SFHVURJKSA-N 1 2 312.413 1.609 20 30 DDEDLO O=C([C@H]1CCOC1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980803665 696895210 /nfs/dbraw/zinc/89/52/10/696895210.db2.gz YTWGJPXTBIJEDL-SFHVURJKSA-N 1 2 312.413 1.609 20 30 DDEDLO O=C(N1CCC[N@H+](CC#Cc2ccccc2)CC1)[C@]12C[C@H]1COC2 ZINC000981204039 697033529 /nfs/dbraw/zinc/03/35/29/697033529.db2.gz BLSGPKNGMSKONN-ICSRJNTNSA-N 1 2 324.424 1.609 20 30 DDEDLO O=C(N1CCC[N@@H+](CC#Cc2ccccc2)CC1)[C@]12C[C@H]1COC2 ZINC000981204039 697033531 /nfs/dbraw/zinc/03/35/31/697033531.db2.gz BLSGPKNGMSKONN-ICSRJNTNSA-N 1 2 324.424 1.609 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)C[C@H]2CCN(C)C2=O)CC1 ZINC000981407250 697089304 /nfs/dbraw/zinc/08/93/04/697089304.db2.gz YRXWGUMDOSKDOD-CYBMUJFWSA-N 1 2 313.829 1.142 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)C[C@H]2CCN(C)C2=O)CC1 ZINC000981407250 697089306 /nfs/dbraw/zinc/08/93/06/697089306.db2.gz YRXWGUMDOSKDOD-CYBMUJFWSA-N 1 2 313.829 1.142 20 30 DDEDLO CS(=O)(=O)c1ccc(N[NH2+]C2=CC(=O)N3CCC[C@@H]23)cc1 ZINC000799476058 700143603 /nfs/dbraw/zinc/14/36/03/700143603.db2.gz TWJUNLRMXCKXDQ-ZDUSSCGKSA-N 1 2 307.375 1.253 20 30 DDEDLO N#Cc1c(Cl)nsc1N1CC[NH+](C[C@H]2CCCO2)CC1 ZINC000749257031 700153740 /nfs/dbraw/zinc/15/37/40/700153740.db2.gz ARRZWVONZTZCMF-SNVBAGLBSA-N 1 2 312.826 1.969 20 30 DDEDLO COC[C@H](C)ON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181843397 697465839 /nfs/dbraw/zinc/46/58/39/697465839.db2.gz KUZVYPDPXOSANA-DZGCQCFKSA-N 1 2 307.394 1.211 20 30 DDEDLO COC[C@H](C)ON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181843397 697465842 /nfs/dbraw/zinc/46/58/42/697465842.db2.gz KUZVYPDPXOSANA-DZGCQCFKSA-N 1 2 307.394 1.211 20 30 DDEDLO CN(CC#N)C1CCN(C(=O)c2ccc(-n3cc[nH+]c3)cn2)CC1 ZINC000985749441 697567725 /nfs/dbraw/zinc/56/77/25/697567725.db2.gz PFKTXRXUJBMGRW-UHFFFAOYSA-N 1 2 324.388 1.327 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)[C@H]2C)n1 ZINC000986274805 697763177 /nfs/dbraw/zinc/76/31/77/697763177.db2.gz FIPRFSFXUBWHFZ-GXTWGEPZSA-N 1 2 316.405 1.515 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Cc1ccccc1CC#N ZINC000777305909 698179005 /nfs/dbraw/zinc/17/90/05/698179005.db2.gz WBRBYIXPRCREKI-AWEZNQCLSA-N 1 2 301.390 1.132 20 30 DDEDLO COC(=O)c1cccc(N[NH+]=Cc2c(N)ncnc2OC)c1 ZINC000779828586 698473230 /nfs/dbraw/zinc/47/32/30/698473230.db2.gz YLHZRUASRHQJGG-UHFFFAOYSA-N 1 2 301.306 1.300 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000780336670 698507807 /nfs/dbraw/zinc/50/78/07/698507807.db2.gz RXXVZKFHZXFFIB-UHFFFAOYSA-N 1 2 313.361 1.833 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(Cc2ccc(CC#N)cc2)CC1 ZINC000784190788 698914917 /nfs/dbraw/zinc/91/49/17/698914917.db2.gz FTAUCIMFRCBWTF-OAQYLSRUSA-N 1 2 305.447 1.249 20 30 DDEDLO S=C(NCCc1ccccn1)NN=C1C[NH+]2CCC1CC2 ZINC000726424272 699362704 /nfs/dbraw/zinc/36/27/04/699362704.db2.gz YNNAWFZABKWSCF-UHFFFAOYSA-N 1 2 303.435 1.170 20 30 DDEDLO CN(C)c1ccc(C=[NH+]NC(=S)NC[C@H]2CCCO2)cc1F ZINC000727648455 699420680 /nfs/dbraw/zinc/42/06/80/699420680.db2.gz FZOJBMGWZKFEKL-GFCCVEGCSA-N 1 2 324.425 1.869 20 30 DDEDLO N#C[C@H]1CN(C(=O)NCCCCn2cc[nH+]c2)CCN1C1CC1 ZINC000732675481 699566368 /nfs/dbraw/zinc/56/63/68/699566368.db2.gz ZCLUNKPYVOUNHF-HNNXBMFYSA-N 1 2 316.409 1.045 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2ccc(F)cc2)CC1 ZINC000735941262 699712294 /nfs/dbraw/zinc/71/22/94/699712294.db2.gz ZWKPUPBFFXPMIS-UHFFFAOYSA-N 1 2 303.333 1.897 20 30 DDEDLO CCNC(=O)C[N@H+](C)Cn1c(C)nnc1-c1cccc(C#N)c1 ZINC000739839729 699780284 /nfs/dbraw/zinc/78/02/84/699780284.db2.gz FIWZTDWHLOZYMZ-UHFFFAOYSA-N 1 2 312.377 1.151 20 30 DDEDLO CCNC(=O)C[N@@H+](C)Cn1c(C)nnc1-c1cccc(C#N)c1 ZINC000739839729 699780287 /nfs/dbraw/zinc/78/02/87/699780287.db2.gz FIWZTDWHLOZYMZ-UHFFFAOYSA-N 1 2 312.377 1.151 20 30 DDEDLO COCC[N@H+](Cn1nc(CC#N)n(C)c1=S)C1CCCC1 ZINC000794622613 699819663 /nfs/dbraw/zinc/81/96/63/699819663.db2.gz FCJWUQWWYYBGEV-UHFFFAOYSA-N 1 2 309.439 1.866 20 30 DDEDLO COCC[N@@H+](Cn1nc(CC#N)n(C)c1=S)C1CCCC1 ZINC000794622613 699819666 /nfs/dbraw/zinc/81/96/66/699819666.db2.gz FCJWUQWWYYBGEV-UHFFFAOYSA-N 1 2 309.439 1.866 20 30 DDEDLO C=CCOC[C@@H]([NH2+]CC1CCC2(CC1)OCCO2)C(=O)OC ZINC000796016030 699907245 /nfs/dbraw/zinc/90/72/45/699907245.db2.gz URWUTUKDXNOSLB-CQSZACIVSA-N 1 2 313.394 1.254 20 30 DDEDLO Cc1ccc(CC(=O)N2CC[NH+](CCO[C@@H](C)C#N)CC2)cc1 ZINC000801917197 700353539 /nfs/dbraw/zinc/35/35/39/700353539.db2.gz UESPWFUXBOWKRH-INIZCTEOSA-N 1 2 315.417 1.610 20 30 DDEDLO C[N@H+](C[C@H](O)CC#N)[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC000809574809 701670892 /nfs/dbraw/zinc/67/08/92/701670892.db2.gz QSJCRLPFFCCISN-BXUZGUMPSA-N 1 2 307.781 1.652 20 30 DDEDLO C[N@@H+](C[C@H](O)CC#N)[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC000809574809 701670895 /nfs/dbraw/zinc/67/08/95/701670895.db2.gz QSJCRLPFFCCISN-BXUZGUMPSA-N 1 2 307.781 1.652 20 30 DDEDLO c1cn2c(cccc2CN=Nc2nccc(N3CCOCC3)n2)[nH+]1 ZINC000771095303 701309931 /nfs/dbraw/zinc/30/99/31/701309931.db2.gz AADFICGSKNMPEY-UHFFFAOYSA-N 1 2 323.360 1.407 20 30 DDEDLO CN(C)c1ncc(C=[NH+]NC(=S)NCc2ccccc2)n1C ZINC000811630479 702018453 /nfs/dbraw/zinc/01/84/53/702018453.db2.gz KOZFYGOTFBWEEL-UHFFFAOYSA-N 1 2 316.434 1.484 20 30 DDEDLO COc1cc(CNC(=O)/C=C/C[NH+]2CCOCC2)ccc1C#N ZINC000868441310 702129280 /nfs/dbraw/zinc/12/92/80/702129280.db2.gz UMYXVMVBFYZZMH-NSCUHMNNSA-N 1 2 315.373 1.071 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000868537478 702185095 /nfs/dbraw/zinc/18/50/95/702185095.db2.gz CBRLGDRDJXGHSK-AWEZNQCLSA-N 1 2 318.446 1.846 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)C[C@H](CC(C)C)OC)CC1 ZINC000817128126 702279875 /nfs/dbraw/zinc/27/98/75/702279875.db2.gz AIRKKMKDYQIDCU-HNNXBMFYSA-N 1 2 316.467 1.018 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@](COC)(C(=O)OC(C)(C)C)C1 ZINC000879359904 706660275 /nfs/dbraw/zinc/66/02/75/706660275.db2.gz WTTMXUIJMQTNGJ-MRXNPFEDSA-N 1 2 315.410 1.248 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@](COC)(C(=O)OC(C)(C)C)C1 ZINC000879359904 706660278 /nfs/dbraw/zinc/66/02/78/706660278.db2.gz WTTMXUIJMQTNGJ-MRXNPFEDSA-N 1 2 315.410 1.248 20 30 DDEDLO C[C@@H]([NH2+]CC1(N2CCOCC2)CC1)c1cccc(C#N)c1O ZINC000866347858 706678645 /nfs/dbraw/zinc/67/86/45/706678645.db2.gz LHUAUBAYKLAGGC-CYBMUJFWSA-N 1 2 301.390 1.779 20 30 DDEDLO C=CCNC(=O)C[NH2+]Cc1cc(Br)cnc1Cl ZINC000842699258 702758845 /nfs/dbraw/zinc/75/88/45/702758845.db2.gz OPOXYGQXAFGMQX-UHFFFAOYSA-N 1 2 318.602 1.889 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(C)CP(=O)(OCC)OCC ZINC000843915834 702941449 /nfs/dbraw/zinc/94/14/49/702941449.db2.gz ULXLPFFKXVEEGC-ZDUSSCGKSA-N 1 2 316.338 1.766 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)CP(=O)(OCC)OCC ZINC000843915834 702941451 /nfs/dbraw/zinc/94/14/51/702941451.db2.gz ULXLPFFKXVEEGC-ZDUSSCGKSA-N 1 2 316.338 1.766 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879584715 706728433 /nfs/dbraw/zinc/72/84/33/706728433.db2.gz HFMKUTDTVMMVPG-UHFFFAOYSA-N 1 2 324.428 1.881 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+]([C@H]2CCCNC2=O)CC1 ZINC000879590163 706730063 /nfs/dbraw/zinc/73/00/63/706730063.db2.gz SNHSOPVEWCGYMC-HNNXBMFYSA-N 1 2 302.353 1.098 20 30 DDEDLO COc1cc2c(cc1F)C(=NNCCCn1cc[nH+]c1)C(=O)N2 ZINC000848416283 703547966 /nfs/dbraw/zinc/54/79/66/703547966.db2.gz FTFOZUJJJQTVFW-UHFFFAOYSA-N 1 2 317.324 1.367 20 30 DDEDLO C#C[C@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000848751430 703584040 /nfs/dbraw/zinc/58/40/40/703584040.db2.gz ZSCRXRKXNHCTDE-GJZGRUSLSA-N 1 2 300.406 1.535 20 30 DDEDLO C#C[C@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000848751430 703584042 /nfs/dbraw/zinc/58/40/42/703584042.db2.gz ZSCRXRKXNHCTDE-GJZGRUSLSA-N 1 2 300.406 1.535 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CC[NH+](C[C@@H](O)c2ccccn2)CC1 ZINC000851846265 703869454 /nfs/dbraw/zinc/86/94/54/703869454.db2.gz RLUCETAUPXKRCL-RBUKOAKNSA-N 1 2 322.412 1.997 20 30 DDEDLO C=CCC1(C(=O)N2CCO[C@H](C[NH+]3CCOCC3)C2)CCCC1 ZINC000870058033 703905491 /nfs/dbraw/zinc/90/54/91/703905491.db2.gz LJRKZDOECLQRSS-MRXNPFEDSA-N 1 2 322.449 1.683 20 30 DDEDLO COc1cccc([C@@H]2CN(C(=O)CSCC#N)CC[NH2+]2)c1 ZINC000870147654 703930969 /nfs/dbraw/zinc/93/09/69/703930969.db2.gz GYPOISLYUQBVOG-AWEZNQCLSA-N 1 2 305.403 1.425 20 30 DDEDLO C=CCOCCCNC(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000852564767 704076107 /nfs/dbraw/zinc/07/61/07/704076107.db2.gz RQDAWPQNKOMWQL-AWEZNQCLSA-N 1 2 306.410 1.902 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000852633797 704088433 /nfs/dbraw/zinc/08/84/33/704088433.db2.gz UYHXMFAFYFCRGS-KRWDZBQOSA-N 1 2 318.373 1.743 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000852633797 704088435 /nfs/dbraw/zinc/08/84/35/704088435.db2.gz UYHXMFAFYFCRGS-KRWDZBQOSA-N 1 2 318.373 1.743 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C(C)(C)[C@@H]1c1ccncc1 ZINC000853621291 704287715 /nfs/dbraw/zinc/28/77/15/704287715.db2.gz XITBWJQURFJLNL-INIZCTEOSA-N 1 2 315.417 1.920 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C(C)(C)[C@@H]1c1ccncc1 ZINC000853621291 704287717 /nfs/dbraw/zinc/28/77/17/704287717.db2.gz XITBWJQURFJLNL-INIZCTEOSA-N 1 2 315.417 1.920 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000854726277 704444584 /nfs/dbraw/zinc/44/45/84/704444584.db2.gz AXOGSGOCDVHHIW-RDJZCZTQSA-N 1 2 310.438 1.538 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)cc1 ZINC000855408672 704483182 /nfs/dbraw/zinc/48/31/82/704483182.db2.gz XKWPMGBSUWMGBJ-HOTGVXAUSA-N 1 2 314.429 1.826 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)cc1 ZINC000855408672 704483183 /nfs/dbraw/zinc/48/31/83/704483183.db2.gz XKWPMGBSUWMGBJ-HOTGVXAUSA-N 1 2 314.429 1.826 20 30 DDEDLO C=CC[N@@H+](CC(=O)OCC)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000859093816 704796594 /nfs/dbraw/zinc/79/65/94/704796594.db2.gz WCQWACDQBIYADD-AWEZNQCLSA-N 1 2 310.394 1.109 20 30 DDEDLO C=CC[N@H+](CC(=O)OCC)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000859093816 704796597 /nfs/dbraw/zinc/79/65/97/704796597.db2.gz WCQWACDQBIYADD-AWEZNQCLSA-N 1 2 310.394 1.109 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)Nc1cc(C)[nH+]cc1C ZINC000822281045 704904783 /nfs/dbraw/zinc/90/47/83/704904783.db2.gz HAXAGSZWEZLGRR-UHFFFAOYSA-N 1 2 317.389 1.929 20 30 DDEDLO C#CCN1CCN(C(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)CC1 ZINC000874468989 705080996 /nfs/dbraw/zinc/08/09/96/705080996.db2.gz XQBQXAIMZJGZQK-LBPRGKRZSA-N 1 2 314.311 1.154 20 30 DDEDLO C#CC[C@@H](NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F)C(=O)OC ZINC000823520403 705259947 /nfs/dbraw/zinc/25/99/47/705259947.db2.gz WCSWGZYMQJHEAF-NXEZZACHSA-N 1 2 317.267 1.058 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@@H](CNC(=O)NCCC#N)C2)cc1 ZINC000875541092 705423692 /nfs/dbraw/zinc/42/36/92/705423692.db2.gz TYKVEWYWUMYLIL-INIZCTEOSA-N 1 2 316.405 1.409 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@@H](CNC(=O)NCCC#N)C2)cc1 ZINC000875541092 705423695 /nfs/dbraw/zinc/42/36/95/705423695.db2.gz TYKVEWYWUMYLIL-INIZCTEOSA-N 1 2 316.405 1.409 20 30 DDEDLO C#C[C@H](NC(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1)c1ccccc1 ZINC000826046077 705763368 /nfs/dbraw/zinc/76/33/68/705763368.db2.gz HZSGQBZAISLUTD-UXLLHSPISA-N 1 2 315.417 1.769 20 30 DDEDLO CN(C)c1c(C=[NH+]N[C@@H]2CCS(=O)(=O)C2)ccc(F)c1F ZINC000863062989 705858053 /nfs/dbraw/zinc/85/80/53/705858053.db2.gz KVGVAGYJVZJESW-SNVBAGLBSA-N 1 2 317.361 1.141 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[nH]2)cc1 ZINC000863474650 705936164 /nfs/dbraw/zinc/93/61/64/705936164.db2.gz DOHIJJGSMGQBMO-HNNXBMFYSA-N 1 2 310.357 1.614 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[nH]2)cc1 ZINC000863474650 705936166 /nfs/dbraw/zinc/93/61/66/705936166.db2.gz DOHIJJGSMGQBMO-HNNXBMFYSA-N 1 2 310.357 1.614 20 30 DDEDLO C#CCNC(=O)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000864421574 706163498 /nfs/dbraw/zinc/16/34/98/706163498.db2.gz IEEXHUSRULWYCT-MRXNPFEDSA-N 1 2 301.390 1.128 20 30 DDEDLO C#CCNC(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000864421574 706163500 /nfs/dbraw/zinc/16/35/00/706163500.db2.gz IEEXHUSRULWYCT-MRXNPFEDSA-N 1 2 301.390 1.128 20 30 DDEDLO N#C[C@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1ccc(Cl)cc1 ZINC000865199670 706379933 /nfs/dbraw/zinc/37/99/33/706379933.db2.gz URHWBZMNSUPLSY-OYADATRGSA-N 1 2 319.792 1.909 20 30 DDEDLO C=CCNC(=S)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000865265979 706392206 /nfs/dbraw/zinc/39/22/06/706392206.db2.gz DHBUZJASZWIXCC-INIZCTEOSA-N 1 2 319.474 1.846 20 30 DDEDLO C=CCNC(=S)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000865265979 706392210 /nfs/dbraw/zinc/39/22/10/706392210.db2.gz DHBUZJASZWIXCC-INIZCTEOSA-N 1 2 319.474 1.846 20 30 DDEDLO C#CC[C@@H]1CCC[N@@H+](CC(=O)N=c2cc[nH]n2[C@H](C)C2CC2)C1 ZINC000829803644 706399382 /nfs/dbraw/zinc/39/93/82/706399382.db2.gz AGGUGSKXCAWWGZ-HUUCEWRRSA-N 1 2 314.433 1.950 20 30 DDEDLO C#CC[C@@H]1CCC[N@H+](CC(=O)N=c2cc[nH]n2[C@H](C)C2CC2)C1 ZINC000829803644 706399385 /nfs/dbraw/zinc/39/93/85/706399385.db2.gz AGGUGSKXCAWWGZ-HUUCEWRRSA-N 1 2 314.433 1.950 20 30 DDEDLO C#CCN1CCN(C(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000865796704 706529020 /nfs/dbraw/zinc/52/90/20/706529020.db2.gz ZXFLJQKSLWZRLM-UHFFFAOYSA-N 1 2 308.385 1.192 20 30 DDEDLO C#CC[N@@H+](CC#CCN1C(=O)c2ccccc2C1=O)C1CSC1 ZINC000878910783 706530103 /nfs/dbraw/zinc/53/01/03/706530103.db2.gz URLXDWWJJVYWAU-UHFFFAOYSA-N 1 2 324.405 1.337 20 30 DDEDLO C#CC[N@H+](CC#CCN1C(=O)c2ccccc2C1=O)C1CSC1 ZINC000878910783 706530111 /nfs/dbraw/zinc/53/01/11/706530111.db2.gz URLXDWWJJVYWAU-UHFFFAOYSA-N 1 2 324.405 1.337 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H](C(=O)OCC)[C@H](C(F)(F)F)C1 ZINC000880153795 706894358 /nfs/dbraw/zinc/89/43/58/706894358.db2.gz CCADGNSLLVFUOY-HBNTYKKESA-N 1 2 322.327 1.351 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H](C(=O)OCC)[C@H](C(F)(F)F)C1 ZINC000880153795 706894360 /nfs/dbraw/zinc/89/43/60/706894360.db2.gz CCADGNSLLVFUOY-HBNTYKKESA-N 1 2 322.327 1.351 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1C[C@@H]2C[C@H]1CN2c1ccccc1)C1CC1 ZINC000880191879 706906778 /nfs/dbraw/zinc/90/67/78/706906778.db2.gz SHFNWDYRGDVBRI-JENIJYKNSA-N 1 2 324.428 1.758 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1C[C@@H]2C[C@H]1CN2c1ccccc1)C1CC1 ZINC000880191879 706906781 /nfs/dbraw/zinc/90/67/81/706906781.db2.gz SHFNWDYRGDVBRI-JENIJYKNSA-N 1 2 324.428 1.758 20 30 DDEDLO COC(=O)CC[N@H+](CC(=O)OC(C)(C)C)[C@H]1CC[C@H](C#N)C1 ZINC000880573505 707023109 /nfs/dbraw/zinc/02/31/09/707023109.db2.gz IGTUWSSIHVILFZ-STQMWFEESA-N 1 2 310.394 1.885 20 30 DDEDLO COC(=O)CC[N@@H+](CC(=O)OC(C)(C)C)[C@H]1CC[C@H](C#N)C1 ZINC000880573505 707023112 /nfs/dbraw/zinc/02/31/12/707023112.db2.gz IGTUWSSIHVILFZ-STQMWFEESA-N 1 2 310.394 1.885 20 30 DDEDLO Nc1ccc2c(c1)CCC2=[NH+]NC(=S)NC[C@@H]1CCCO1 ZINC000834925381 707149413 /nfs/dbraw/zinc/14/94/13/707149413.db2.gz MOUOVDQIOYZQHW-LBPRGKRZSA-N 1 2 304.419 1.562 20 30 DDEDLO N#Cc1cc(F)cc(S(=O)(=O)N[C@@H]2CCn3c[nH+]cc3C2)c1 ZINC000872007399 707278556 /nfs/dbraw/zinc/27/85/56/707278556.db2.gz GJCAECOKNPKJCZ-GFCCVEGCSA-N 1 2 320.349 1.187 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C)cc2C#N)C[C@H]1C ZINC000872466219 707427921 /nfs/dbraw/zinc/42/79/21/707427921.db2.gz ADNFSPPVXFLLBB-ZIAGYGMSSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C)cc2C#N)C[C@H]1C ZINC000872466219 707427924 /nfs/dbraw/zinc/42/79/24/707427924.db2.gz ADNFSPPVXFLLBB-ZIAGYGMSSA-N 1 2 321.446 1.970 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1CC2(CC1=O)CCC1(CC2)OCCO1 ZINC000872601501 707506464 /nfs/dbraw/zinc/50/64/64/707506464.db2.gz QJGGCCRQBWUXQN-AWEZNQCLSA-N 1 2 306.406 1.433 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1CC2(CC1=O)CCC1(CC2)OCCO1 ZINC000872601501 707506470 /nfs/dbraw/zinc/50/64/70/707506470.db2.gz QJGGCCRQBWUXQN-AWEZNQCLSA-N 1 2 306.406 1.433 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNc1ccc([N+](=O)[O-])cc1C#N ZINC000872771029 707592430 /nfs/dbraw/zinc/59/24/30/707592430.db2.gz CSRVTQFUYPAGLH-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNc1ccc([N+](=O)[O-])cc1C#N ZINC000872771029 707592431 /nfs/dbraw/zinc/59/24/31/707592431.db2.gz CSRVTQFUYPAGLH-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000838635352 707957318 /nfs/dbraw/zinc/95/73/18/707957318.db2.gz AWUFWJZXPGDMIE-CALCHBBNSA-N 1 2 313.401 1.330 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000838635352 707957319 /nfs/dbraw/zinc/95/73/19/707957319.db2.gz AWUFWJZXPGDMIE-CALCHBBNSA-N 1 2 313.401 1.330 20 30 DDEDLO CCn1ncc(C[N@@H+]2CCCN(c3ccccc3C#N)CC2)n1 ZINC000838797218 707980148 /nfs/dbraw/zinc/98/01/48/707980148.db2.gz LJKDOWWTGZEUPI-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO CCn1ncc(C[N@H+]2CCCN(c3ccccc3C#N)CC2)n1 ZINC000838797218 707980154 /nfs/dbraw/zinc/98/01/54/707980154.db2.gz LJKDOWWTGZEUPI-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H]1CCCc2ccccc21 ZINC000884057015 708120720 /nfs/dbraw/zinc/12/07/20/708120720.db2.gz SAMHKPVVXAXBQT-JKSUJKDBSA-N 1 2 316.401 1.969 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCO[C@@H](c2ccccc2)C1 ZINC000884067002 708124564 /nfs/dbraw/zinc/12/45/64/708124564.db2.gz QQWXJFBCDZBHJD-LSDHHAIUSA-N 1 2 318.373 1.033 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CC)Cc1ccc(OC)cc1 ZINC000884095193 708136703 /nfs/dbraw/zinc/13/67/03/708136703.db2.gz HYSUFWRMCOFHJJ-HNNXBMFYSA-N 1 2 320.389 1.490 20 30 DDEDLO N#Cc1csc(CNc2cc(N3CCOCC3)nc[nH+]2)n1 ZINC000896929971 708185932 /nfs/dbraw/zinc/18/59/32/708185932.db2.gz IPSNLQHJPQLWMH-UHFFFAOYSA-N 1 2 302.363 1.253 20 30 DDEDLO N#Cc1csc(CNc2cc(N3CCOCC3)[nH+]cn2)n1 ZINC000896929971 708185935 /nfs/dbraw/zinc/18/59/35/708185935.db2.gz IPSNLQHJPQLWMH-UHFFFAOYSA-N 1 2 302.363 1.253 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(Cl)c(OC)c1 ZINC000884307047 708236278 /nfs/dbraw/zinc/23/62/78/708236278.db2.gz WSNDMRZUAUEGSW-NSHDSACASA-N 1 2 312.753 1.734 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC[C@@H]1CNc2ccccc21 ZINC000884453921 708303419 /nfs/dbraw/zinc/30/34/19/708303419.db2.gz BCVLTUAHHBBTOV-OCCSQVGLSA-N 1 2 317.389 1.149 20 30 DDEDLO C/C(=C\C(=O)NCC1(C#N)CCCCC1)C[NH+]1CCOCC1 ZINC000912528909 713031058 /nfs/dbraw/zinc/03/10/58/713031058.db2.gz UQYIKERSWYQMLM-RVDMUPIBSA-N 1 2 305.422 1.855 20 30 DDEDLO COc1cc(C(=O)N[C@@H]2CCC[N@H+](CCF)C2)ccc1C#N ZINC000898503081 708661986 /nfs/dbraw/zinc/66/19/86/708661986.db2.gz HBZQTDCTRVPRQW-CQSZACIVSA-N 1 2 305.353 1.731 20 30 DDEDLO COc1cc(C(=O)N[C@@H]2CCC[N@@H+](CCF)C2)ccc1C#N ZINC000898503081 708661988 /nfs/dbraw/zinc/66/19/88/708661988.db2.gz HBZQTDCTRVPRQW-CQSZACIVSA-N 1 2 305.353 1.731 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)CC1(C#N)CCC1)[C@H]1CCS(=O)(=O)C1 ZINC000886064787 708691091 /nfs/dbraw/zinc/69/10/91/708691091.db2.gz ILKXRKLDXLBUFE-UONOGXRCSA-N 1 2 312.435 1.106 20 30 DDEDLO C=CC[N@H+](C[C@H](O)CC1(C#N)CCC1)[C@H]1CCS(=O)(=O)C1 ZINC000886064787 708691092 /nfs/dbraw/zinc/69/10/92/708691092.db2.gz ILKXRKLDXLBUFE-UONOGXRCSA-N 1 2 312.435 1.106 20 30 DDEDLO CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(C)c1 ZINC000886470229 708765600 /nfs/dbraw/zinc/76/56/00/708765600.db2.gz FMIVIDNQFNXUBP-AWEZNQCLSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(C)c1 ZINC000886470229 708765602 /nfs/dbraw/zinc/76/56/02/708765602.db2.gz FMIVIDNQFNXUBP-AWEZNQCLSA-N 1 2 307.419 1.581 20 30 DDEDLO CC(C)(CNC(=O)C#Cc1ccc2c(c1)OCO2)n1cc[nH+]c1 ZINC000912615345 713051916 /nfs/dbraw/zinc/05/19/16/713051916.db2.gz MFECNYBACJFPDG-UHFFFAOYSA-N 1 2 311.341 1.515 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)N[C@H](CO)c1c[nH+]cn1C ZINC000898892327 708910731 /nfs/dbraw/zinc/91/07/31/708910731.db2.gz PAYBFMGKLBSLRP-IUODEOHRSA-N 1 2 312.373 1.320 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000887732664 709113611 /nfs/dbraw/zinc/11/36/11/709113611.db2.gz BEFYZZGJPCEMHF-INIZCTEOSA-N 1 2 310.357 1.434 20 30 DDEDLO CC(C)OC1C[NH+](C[C@H](O)COc2ccc(CC#N)cc2)C1 ZINC000899901654 709231481 /nfs/dbraw/zinc/23/14/81/709231481.db2.gz RTUYHGIQDJYYTF-HNNXBMFYSA-N 1 2 304.390 1.602 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cc(C#N)ccc1F ZINC000888377364 709292104 /nfs/dbraw/zinc/29/21/04/709292104.db2.gz UTCCYNVXFNJTFZ-CYBMUJFWSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cc(C#N)ccc1F ZINC000888377364 709292105 /nfs/dbraw/zinc/29/21/05/709292105.db2.gz UTCCYNVXFNJTFZ-CYBMUJFWSA-N 1 2 320.368 1.930 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)cn2)[C@H](C)CO1 ZINC000889241026 709466558 /nfs/dbraw/zinc/46/65/58/709466558.db2.gz WMAYONBLJAZCBO-VXGBXAGGSA-N 1 2 303.366 1.184 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)cn2)[C@H](C)CO1 ZINC000889241026 709466560 /nfs/dbraw/zinc/46/65/60/709466560.db2.gz WMAYONBLJAZCBO-VXGBXAGGSA-N 1 2 303.366 1.184 20 30 DDEDLO N#C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CCN1Cc1ccccc1 ZINC000900716888 709725409 /nfs/dbraw/zinc/72/54/09/709725409.db2.gz AGHQKZNNUFTZAO-MRXNPFEDSA-N 1 2 309.373 1.189 20 30 DDEDLO Cn1ncc(CN(CCC#N)CC[NH+]2CCOCC2)c1C1CC1 ZINC000891394161 710183122 /nfs/dbraw/zinc/18/31/22/710183122.db2.gz OWNLSRQGSNKAPI-UHFFFAOYSA-N 1 2 317.437 1.345 20 30 DDEDLO C=C1CCC(C(=O)N2CC[NH+](C(COC)COC)CC2)CC1 ZINC000891592188 710242991 /nfs/dbraw/zinc/24/29/91/710242991.db2.gz BRLDGADRZXZIAN-UHFFFAOYSA-N 1 2 310.438 1.538 20 30 DDEDLO CCc1ccc(C#CC(=O)N2CC[NH2+][C@H](c3cnn(C)c3)C2)cc1 ZINC000913461629 713226672 /nfs/dbraw/zinc/22/66/72/713226672.db2.gz XSHWVQOGUUKQTA-SFHVURJKSA-N 1 2 322.412 1.507 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2cc(-n3ccnc3)cs2)CC1 ZINC000895066151 711394413 /nfs/dbraw/zinc/39/44/13/711394413.db2.gz OIUCIVYSLSVNQF-UHFFFAOYSA-N 1 2 315.402 1.492 20 30 DDEDLO C#CC[NH+]1CCC(Nc2ccc(S(C)(=O)=O)cc2F)CC1 ZINC000895808897 711612326 /nfs/dbraw/zinc/61/23/26/711612326.db2.gz WPJXJRSQBVVVQK-UHFFFAOYSA-N 1 2 310.394 1.739 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H]2CC[C@@H](C(F)(F)F)O2)nn1 ZINC000905831611 712159288 /nfs/dbraw/zinc/15/92/88/712159288.db2.gz BXWPQMYHLKQUCS-RYUDHWBXSA-N 1 2 302.300 1.501 20 30 DDEDLO N#CC1(C[C@@H](O)Cn2c3ccccc3[nH+]c2NCCO)CCC1 ZINC000905873983 712171611 /nfs/dbraw/zinc/17/16/11/712171611.db2.gz HSZNIGVTEHYPTL-CYBMUJFWSA-N 1 2 314.389 1.885 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)NC[C@@H](c2ccco2)[NH+](C)C)cc1 ZINC000906802536 712414405 /nfs/dbraw/zinc/41/44/05/712414405.db2.gz DLYDDAGNWLCYBA-HNNXBMFYSA-N 1 2 318.398 1.842 20 30 DDEDLO N#Cc1ccncc1N1CCN(C(=O)CNc2cccc[nH+]2)CC1 ZINC000908350701 712749259 /nfs/dbraw/zinc/74/92/59/712749259.db2.gz HIDBXPDARKFEAE-UHFFFAOYSA-N 1 2 322.372 1.109 20 30 DDEDLO N#Cc1cc(CS(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)cs1 ZINC000914398066 713389694 /nfs/dbraw/zinc/38/96/94/713389694.db2.gz WXVCBJXTLKJPFF-LLVKDONJSA-N 1 2 322.415 1.251 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC([C@]3(C)COC(=O)N3)CC2)nn1 ZINC000932099025 714258258 /nfs/dbraw/zinc/25/82/58/714258258.db2.gz IYOLJPZYMBHHLD-INIZCTEOSA-N 1 2 319.409 1.565 20 30 DDEDLO C#C[C@H](NC(=O)N[C@H](CC)C[NH+]1CCOCC1)c1ccccc1 ZINC000923221053 714276550 /nfs/dbraw/zinc/27/65/50/714276550.db2.gz VZUZQFAOTSRVAT-SJORKVTESA-N 1 2 315.417 1.771 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[NH2+][C@H](c2ncccn2)C2CC2)cc1 ZINC000932359618 714317680 /nfs/dbraw/zinc/31/76/80/714317680.db2.gz GXXLTCJXVZSBAJ-KRWDZBQOSA-N 1 2 321.384 1.705 20 30 DDEDLO CCOC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000932644367 714373585 /nfs/dbraw/zinc/37/35/85/714373585.db2.gz ORRLPECMBLGXTL-CYBMUJFWSA-N 1 2 301.346 1.382 20 30 DDEDLO CCOC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000932644367 714373588 /nfs/dbraw/zinc/37/35/88/714373588.db2.gz ORRLPECMBLGXTL-CYBMUJFWSA-N 1 2 301.346 1.382 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC000923490169 714375048 /nfs/dbraw/zinc/37/50/48/714375048.db2.gz SSUMFKHTBFAWLR-HNNXBMFYSA-N 1 2 316.405 1.706 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932891093 714431576 /nfs/dbraw/zinc/43/15/76/714431576.db2.gz RMOHGNDJVKGVLF-QWRGUYRKSA-N 1 2 322.331 1.241 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932891093 714431578 /nfs/dbraw/zinc/43/15/78/714431578.db2.gz RMOHGNDJVKGVLF-QWRGUYRKSA-N 1 2 322.331 1.241 20 30 DDEDLO COC(=O)[C@]12C[C@H]1CCC[N@@H+]2C[C@@H](O)c1ccc(C#N)cc1 ZINC000933156956 714524931 /nfs/dbraw/zinc/52/49/31/714524931.db2.gz BVRBUAZUNZJNSS-INMHGKMJSA-N 1 2 300.358 1.619 20 30 DDEDLO COC(=O)[C@]12C[C@H]1CCC[N@H+]2C[C@@H](O)c1ccc(C#N)cc1 ZINC000933156956 714524933 /nfs/dbraw/zinc/52/49/33/714524933.db2.gz BVRBUAZUNZJNSS-INMHGKMJSA-N 1 2 300.358 1.619 20 30 DDEDLO Cn1nccc1[C@@H]1C[N@H+](C[C@@H](O)c2ccc(C#N)cc2)CCO1 ZINC000933533842 714610992 /nfs/dbraw/zinc/61/09/92/714610992.db2.gz LWEOHKHQTHXCOK-SJORKVTESA-N 1 2 312.373 1.399 20 30 DDEDLO Cn1nccc1[C@@H]1C[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)CCO1 ZINC000933533842 714610996 /nfs/dbraw/zinc/61/09/96/714610996.db2.gz LWEOHKHQTHXCOK-SJORKVTESA-N 1 2 312.373 1.399 20 30 DDEDLO COC(=O)[C@H]([NH+]1CCC2(CC1)CC(=O)C=CO2)C1(OC)CCC1 ZINC000933646021 714637993 /nfs/dbraw/zinc/63/79/93/714637993.db2.gz BHYDLDZDSUVXAF-AWEZNQCLSA-N 1 2 323.389 1.435 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCOC[C@H]1C[C@@H]1CCCO1 ZINC000933674973 714645390 /nfs/dbraw/zinc/64/53/90/714645390.db2.gz FPUUFXITMWYLBS-CABCVRRESA-N 1 2 309.410 1.018 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCOC[C@H]1C[C@@H]1CCCO1 ZINC000933674973 714645393 /nfs/dbraw/zinc/64/53/93/714645393.db2.gz FPUUFXITMWYLBS-CABCVRRESA-N 1 2 309.410 1.018 20 30 DDEDLO C[C@@](Cn1cccn1)([NH2+]Cc1ccc(C#N)cc1Cl)C(N)=O ZINC000934223253 714770344 /nfs/dbraw/zinc/77/03/44/714770344.db2.gz SCHHZICTBGTZLY-HNNXBMFYSA-N 1 2 317.780 1.442 20 30 DDEDLO C#C[C@@H](NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(C)(C)C ZINC000936001880 715143187 /nfs/dbraw/zinc/14/31/87/715143187.db2.gz PYTXLEBNCDSVOQ-QLFBSQMISA-N 1 2 308.422 1.030 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC000957130222 715761286 /nfs/dbraw/zinc/76/12/86/715761286.db2.gz OFQXHNMYGLREGY-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1CC[NH+]([C@H](C)COC)CC1 ZINC000957134912 715763799 /nfs/dbraw/zinc/76/37/99/715763799.db2.gz NVGLTTIHLWSVIV-CQSZACIVSA-N 1 2 319.405 1.439 20 30 DDEDLO CC#CCN1CCN(C(=O)c2ccc(-n3cc[nH+]c3)cn2)CC1 ZINC000957304250 715825083 /nfs/dbraw/zinc/82/50/83/715825083.db2.gz JRFSJTPUNOHEDB-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@@H]2Oc3ccccc3O[C@H]2C)CC1 ZINC000957621423 715971567 /nfs/dbraw/zinc/97/15/67/715971567.db2.gz GHVQSHVPXCHUAF-WMLDXEAASA-N 1 2 316.401 1.935 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccc(NC(C)=O)c2)CC1 ZINC000957626181 715973615 /nfs/dbraw/zinc/97/36/15/715973615.db2.gz YIWNLIQQEYYVCG-UHFFFAOYSA-N 1 2 301.390 1.979 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)nn1C ZINC000960488283 716576349 /nfs/dbraw/zinc/57/63/49/716576349.db2.gz AJCROLNFKLSFLM-WFASDCNBSA-N 1 2 303.410 1.311 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)nn1C ZINC000960488283 716576355 /nfs/dbraw/zinc/57/63/55/716576355.db2.gz AJCROLNFKLSFLM-WFASDCNBSA-N 1 2 303.410 1.311 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2nc(C)oc2C)[C@H](O)C1 ZINC000958516447 716605900 /nfs/dbraw/zinc/60/59/00/716605900.db2.gz SBKSJIDOAUCPLQ-VXGBXAGGSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2nc(C)oc2C)[C@H](O)C1 ZINC000958516447 716605901 /nfs/dbraw/zinc/60/59/01/716605901.db2.gz SBKSJIDOAUCPLQ-VXGBXAGGSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC1(C)C ZINC000958595382 716650781 /nfs/dbraw/zinc/65/07/81/716650781.db2.gz WOGRXQAWYPRWNV-WHOHXGKFSA-N 1 2 313.239 1.988 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC1(C)C ZINC000958595382 716650782 /nfs/dbraw/zinc/65/07/82/716650782.db2.gz WOGRXQAWYPRWNV-WHOHXGKFSA-N 1 2 313.239 1.988 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nnc(CC)o4)C[C@H]32)C1 ZINC000961691596 717060978 /nfs/dbraw/zinc/06/09/78/717060978.db2.gz PWUSSKVGECIHND-JYAVWHMHSA-N 1 2 316.405 1.535 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nnc(CC)o4)C[C@H]32)C1 ZINC000961691596 717060980 /nfs/dbraw/zinc/06/09/80/717060980.db2.gz PWUSSKVGECIHND-JYAVWHMHSA-N 1 2 316.405 1.535 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nonc4C)C[C@H]32)C1 ZINC000961692618 717061293 /nfs/dbraw/zinc/06/12/93/717061293.db2.gz ZQMZTDTUPPTTAI-IMRBUKKESA-N 1 2 302.378 1.281 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nonc4C)C[C@H]32)C1 ZINC000961692618 717061296 /nfs/dbraw/zinc/06/12/96/717061296.db2.gz ZQMZTDTUPPTTAI-IMRBUKKESA-N 1 2 302.378 1.281 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C(C)(C)C(C)(C)C)CC2)C1 ZINC000941512439 717189849 /nfs/dbraw/zinc/18/98/49/717189849.db2.gz HWAIPYYLVXBHGD-UHFFFAOYSA-N 1 2 305.466 1.520 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@@H](C)C3CC3)CC2)C1 ZINC000941681919 717255045 /nfs/dbraw/zinc/25/50/45/717255045.db2.gz YTZPKFKVJIHQIG-OAHLLOKOSA-N 1 2 303.450 1.274 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnc(C)cn2)C1 ZINC000964807089 717434988 /nfs/dbraw/zinc/43/49/88/717434988.db2.gz OZVCXQLETTWDRU-HZMBPMFUSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnc(C)cn2)C1 ZINC000964807089 717434991 /nfs/dbraw/zinc/43/49/91/717434991.db2.gz OZVCXQLETTWDRU-HZMBPMFUSA-N 1 2 308.813 1.978 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ccn[nH]1 ZINC000965322604 717618719 /nfs/dbraw/zinc/61/87/19/717618719.db2.gz HSORWABQYFYRPA-SUMWQHHRSA-N 1 2 323.400 1.922 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ccn[nH]1 ZINC000965322604 717618723 /nfs/dbraw/zinc/61/87/23/717618723.db2.gz HSORWABQYFYRPA-SUMWQHHRSA-N 1 2 323.400 1.922 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnn(C)n3)C[C@H]2C)C1 ZINC000942601996 717772601 /nfs/dbraw/zinc/77/26/01/717772601.db2.gz BRJUVAUPLADWGG-HIFRSBDPSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnn(C)n3)C[C@H]2C)C1 ZINC000942601996 717772604 /nfs/dbraw/zinc/77/26/04/717772604.db2.gz BRJUVAUPLADWGG-HIFRSBDPSA-N 1 2 317.437 1.498 20 30 DDEDLO N#CCN1CCC[C@H]1[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC000963443844 717791446 /nfs/dbraw/zinc/79/14/46/717791446.db2.gz UECZZEQNBHZIIA-GJZGRUSLSA-N 1 2 301.394 1.110 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965953907 717832778 /nfs/dbraw/zinc/83/27/78/717832778.db2.gz UYLZIEGBNCZZDU-YGRLFVJLSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965953907 717832781 /nfs/dbraw/zinc/83/27/81/717832781.db2.gz UYLZIEGBNCZZDU-YGRLFVJLSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000310 717856208 /nfs/dbraw/zinc/85/62/08/717856208.db2.gz NIZMROMPAKPLAJ-CABZTGNLSA-N 1 2 311.817 1.235 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000310 717856211 /nfs/dbraw/zinc/85/62/11/717856211.db2.gz NIZMROMPAKPLAJ-CABZTGNLSA-N 1 2 311.817 1.235 20 30 DDEDLO C[C@H]1CN(CC#N)CC[C@@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000943879850 718214431 /nfs/dbraw/zinc/21/44/31/718214431.db2.gz WJNYZKDENYCARH-YOEHRIQHSA-N 1 2 323.400 1.836 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)[C@H](C)C1 ZINC000943965694 718233635 /nfs/dbraw/zinc/23/36/35/718233635.db2.gz KIEPLRGASGGPKK-CZUORRHYSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)[C@H](C)C1 ZINC000943965694 718233636 /nfs/dbraw/zinc/23/36/36/718233636.db2.gz KIEPLRGASGGPKK-CZUORRHYSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ncc(OC)cn2)C1 ZINC000967294165 718827146 /nfs/dbraw/zinc/82/71/46/718827146.db2.gz YKTZYXMLKFJACR-GWCFXTLKSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ncc(OC)cn2)C1 ZINC000967294165 718827149 /nfs/dbraw/zinc/82/71/49/718827149.db2.gz YKTZYXMLKFJACR-GWCFXTLKSA-N 1 2 324.812 1.678 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H]2CN(CC#N)CC[C@@H]2C)c(C)[nH+]1 ZINC000967414434 718881617 /nfs/dbraw/zinc/88/16/17/718881617.db2.gz KMZSDGOMSBODNV-XHDPSFHLSA-N 1 2 300.406 1.971 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1nnc[nH]1 ZINC000968426367 719577720 /nfs/dbraw/zinc/57/77/20/719577720.db2.gz FTNNHYMIYFHDLR-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1nnc[nH]1 ZINC000968426367 719577725 /nfs/dbraw/zinc/57/77/25/719577725.db2.gz FTNNHYMIYFHDLR-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1ncn[nH]1 ZINC000968426367 719577727 /nfs/dbraw/zinc/57/77/27/719577727.db2.gz FTNNHYMIYFHDLR-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@H]1NC(=O)c1ncn[nH]1 ZINC000968426367 719577729 /nfs/dbraw/zinc/57/77/29/719577729.db2.gz FTNNHYMIYFHDLR-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000968627309 719699497 /nfs/dbraw/zinc/69/94/97/719699497.db2.gz SQHDZBCLWLYEDA-CYBMUJFWSA-N 1 2 302.378 1.126 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CCCOC1 ZINC000948898872 719773231 /nfs/dbraw/zinc/77/32/31/719773231.db2.gz OIZBNQHYMGEXSX-AEFFLSMTSA-N 1 2 312.413 1.762 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CCCOC1 ZINC000948898872 719773233 /nfs/dbraw/zinc/77/32/33/719773233.db2.gz OIZBNQHYMGEXSX-AEFFLSMTSA-N 1 2 312.413 1.762 20 30 DDEDLO C#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC000968931612 719882497 /nfs/dbraw/zinc/88/24/97/719882497.db2.gz SNMFBLLNFWARDM-AWEZNQCLSA-N 1 2 314.433 1.896 20 30 DDEDLO C#CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC000968931612 719882502 /nfs/dbraw/zinc/88/25/02/719882502.db2.gz SNMFBLLNFWARDM-AWEZNQCLSA-N 1 2 314.433 1.896 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cnc(C)s3)CC2)C1 ZINC000949316974 720012646 /nfs/dbraw/zinc/01/26/46/720012646.db2.gz BOBRHXLTZBWEBP-UHFFFAOYSA-N 1 2 319.430 1.392 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cnc(C)s3)CC2)C1 ZINC000949316974 720012648 /nfs/dbraw/zinc/01/26/48/720012648.db2.gz BOBRHXLTZBWEBP-UHFFFAOYSA-N 1 2 319.430 1.392 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3onc(C)c3C)CC2)C1 ZINC000949391523 720056932 /nfs/dbraw/zinc/05/69/32/720056932.db2.gz GUCNHIKSEVADHT-UHFFFAOYSA-N 1 2 317.389 1.232 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3onc(C)c3C)CC2)C1 ZINC000949391523 720056934 /nfs/dbraw/zinc/05/69/34/720056934.db2.gz GUCNHIKSEVADHT-UHFFFAOYSA-N 1 2 317.389 1.232 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC[C@H]3OC)CC2)C1 ZINC000949401376 720062973 /nfs/dbraw/zinc/06/29/73/720062973.db2.gz ASWYTOMQRGFGPK-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC[C@H]3OC)CC2)C1 ZINC000949401376 720062980 /nfs/dbraw/zinc/06/29/80/720062980.db2.gz ASWYTOMQRGFGPK-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@H](OC)C3)CC2)C1 ZINC000949401366 720063348 /nfs/dbraw/zinc/06/33/48/720063348.db2.gz ALHAYVVNFUGQHA-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@H](OC)C3)CC2)C1 ZINC000949401366 720063354 /nfs/dbraw/zinc/06/33/54/720063354.db2.gz ALHAYVVNFUGQHA-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C[C@H](NC(=O)c1cn[nH]c1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969342185 720070238 /nfs/dbraw/zinc/07/02/38/720070238.db2.gz BCVCCTFNTKRHCF-LBPRGKRZSA-N 1 2 309.373 1.532 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(OC)o3)CC2)C1 ZINC000949499592 720125032 /nfs/dbraw/zinc/12/50/32/720125032.db2.gz VNMPZHGHRJKMFB-UHFFFAOYSA-N 1 2 318.373 1.228 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(OC)o3)CC2)C1 ZINC000949499592 720125033 /nfs/dbraw/zinc/12/50/33/720125033.db2.gz VNMPZHGHRJKMFB-UHFFFAOYSA-N 1 2 318.373 1.228 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)Cc3cnoc3)CC2)cc1 ZINC000949804624 720352981 /nfs/dbraw/zinc/35/29/81/720352981.db2.gz WFOFSXNMXDZIDW-UHFFFAOYSA-N 1 2 310.357 1.433 20 30 DDEDLO CCN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](C[C@H]2CC[C@H](C)O2)C1 ZINC000949854281 720382584 /nfs/dbraw/zinc/38/25/84/720382584.db2.gz LBPJYWDIEADFOI-SWLSCSKDSA-N 1 2 316.405 1.600 20 30 DDEDLO C=C1CCC(C(=O)N2CC[NH+](CCn3cccn3)CC2)CC1 ZINC000950046545 720466922 /nfs/dbraw/zinc/46/69/22/720466922.db2.gz DPSWMWOGIXOPKF-UHFFFAOYSA-N 1 2 302.422 1.774 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(-n3cncn3)cc2)C1 ZINC000950547110 720678315 /nfs/dbraw/zinc/67/83/15/720678315.db2.gz NZPQGPVYNNBDQV-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc3n(n2)CCCC3)C1 ZINC000950581492 720693551 /nfs/dbraw/zinc/69/35/51/720693551.db2.gz KTMKBXRFAKLDGE-UHFFFAOYSA-N 1 2 300.406 1.389 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000950639990 720716914 /nfs/dbraw/zinc/71/69/14/720716914.db2.gz ZETWYKNRWUQPHC-AWEZNQCLSA-N 1 2 300.406 1.002 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2c[nH]nc2[C@H]2CCOC2)C1 ZINC000950997705 720870214 /nfs/dbraw/zinc/87/02/14/720870214.db2.gz VITYSUZVIIHBRW-ZDUSSCGKSA-N 1 2 318.421 1.636 20 30 DDEDLO CC#CC[NH+]1CC([C@@H](C)NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000970823595 720948819 /nfs/dbraw/zinc/94/88/19/720948819.db2.gz BKBZXOLMBKGOAG-CYBMUJFWSA-N 1 2 324.380 1.866 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCOC2)C1 ZINC000971178355 721157915 /nfs/dbraw/zinc/15/79/15/721157915.db2.gz VLNULKDXQZYYJJ-NWDGAFQWSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCOC2)C1 ZINC000971178355 721157914 /nfs/dbraw/zinc/15/79/14/721157914.db2.gz VLNULKDXQZYYJJ-NWDGAFQWSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2c[nH]c(=O)cc2OC)C1 ZINC000951776256 721178010 /nfs/dbraw/zinc/17/80/10/721178010.db2.gz BTBMOTVFIZNADQ-UHFFFAOYSA-N 1 2 305.378 1.518 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)co1 ZINC000971288983 721220803 /nfs/dbraw/zinc/22/08/03/721220803.db2.gz MYPXPTKYGOLRFY-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)co1 ZINC000971288983 721220805 /nfs/dbraw/zinc/22/08/05/721220805.db2.gz MYPXPTKYGOLRFY-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971511389 721331591 /nfs/dbraw/zinc/33/15/91/721331591.db2.gz LGPZMQHKHNBTFO-INIZCTEOSA-N 1 2 300.406 1.349 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971511389 721331595 /nfs/dbraw/zinc/33/15/95/721331595.db2.gz LGPZMQHKHNBTFO-INIZCTEOSA-N 1 2 300.406 1.349 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@@H]2NC(=O)c3ccccc32)CC1 ZINC000952356580 721419296 /nfs/dbraw/zinc/41/92/96/721419296.db2.gz DVBWIRKCXDKPON-INIZCTEOSA-N 1 2 311.385 1.029 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(N(C)C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000954198747 721745491 /nfs/dbraw/zinc/74/54/91/721745491.db2.gz KOFHGNBRLLWDFS-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCN(CC[N@@H+]1Cc2ccnn2CC[C@@H]1CO)C(=O)OCC ZINC001209024683 732563553 /nfs/dbraw/zinc/56/35/53/732563553.db2.gz WGIJTMGNBHWRBM-OAHLLOKOSA-N 1 2 322.409 1.094 20 30 DDEDLO C=CCN(CC[N@H+]1Cc2ccnn2CC[C@@H]1CO)C(=O)OCC ZINC001209024683 732563558 /nfs/dbraw/zinc/56/35/58/732563558.db2.gz WGIJTMGNBHWRBM-OAHLLOKOSA-N 1 2 322.409 1.094 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)s1 ZINC001038175758 732661360 /nfs/dbraw/zinc/66/13/60/732661360.db2.gz SZJLCOAUCVNCGN-ZDUSSCGKSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)s1 ZINC001038175758 732661364 /nfs/dbraw/zinc/66/13/64/732661364.db2.gz SZJLCOAUCVNCGN-ZDUSSCGKSA-N 1 2 315.402 1.656 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001027831582 738708529 /nfs/dbraw/zinc/70/85/29/738708529.db2.gz RTDYIHVSIWRZQE-OCCSQVGLSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CCC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001027831582 738708530 /nfs/dbraw/zinc/70/85/30/738708530.db2.gz RTDYIHVSIWRZQE-OCCSQVGLSA-N 1 2 324.388 1.632 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(C)cc2C)C1 ZINC000891607964 734422079 /nfs/dbraw/zinc/42/20/79/734422079.db2.gz RUYSPBJLBPDYPO-HNNXBMFYSA-N 1 2 313.401 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(C)cc2C)C1 ZINC000891607964 734422081 /nfs/dbraw/zinc/42/20/81/734422081.db2.gz RUYSPBJLBPDYPO-HNNXBMFYSA-N 1 2 313.401 1.456 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](n2cc(C[NH2+]C/C=C/Cl)nn2)C1 ZINC001098633284 738851993 /nfs/dbraw/zinc/85/19/93/738851993.db2.gz KWPIEQFMFONRDZ-BTKRWWFXSA-N 1 2 323.828 1.860 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](OC)C3CCCC3)n2C)CC1 ZINC001121304572 782451253 /nfs/dbraw/zinc/45/12/53/782451253.db2.gz HINMKEHIGJXUJD-HNNXBMFYSA-N 1 2 317.437 1.448 20 30 DDEDLO C=C(C)C(=O)NCCCNc1nc(NC2CC2)c(OC)c[nH+]1 ZINC001167665721 735006792 /nfs/dbraw/zinc/00/67/92/735006792.db2.gz QOIXPAGELTXJIZ-UHFFFAOYSA-N 1 2 305.382 1.554 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCn2cncc2C1 ZINC001027976520 738896180 /nfs/dbraw/zinc/89/61/80/738896180.db2.gz CGCKBXRBBZORPG-KBPBESRZSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCn2cncc2C1 ZINC001027976520 738896184 /nfs/dbraw/zinc/89/61/84/738896184.db2.gz CGCKBXRBBZORPG-KBPBESRZSA-N 1 2 322.840 1.779 20 30 DDEDLO CC(C)c1cnc(C[NH2+]CCNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001125813548 736202964 /nfs/dbraw/zinc/20/29/64/736202964.db2.gz DVORLXBQQZZMAR-UHFFFAOYSA-N 1 2 301.350 1.517 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001025225547 736374626 /nfs/dbraw/zinc/37/46/26/736374626.db2.gz FTFBSHYGDBXXJD-HUUCEWRRSA-N 1 2 315.421 1.711 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)OCCCO2 ZINC001038350097 737103483 /nfs/dbraw/zinc/10/34/83/737103483.db2.gz NLVPDQPPKHTPDD-AWEZNQCLSA-N 1 2 300.358 1.285 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)OCCCO2 ZINC001038350097 737103485 /nfs/dbraw/zinc/10/34/85/737103485.db2.gz NLVPDQPPKHTPDD-AWEZNQCLSA-N 1 2 300.358 1.285 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC001007848214 737249029 /nfs/dbraw/zinc/24/90/29/737249029.db2.gz YSMLBUBBHPBCIS-CQSZACIVSA-N 1 2 310.829 1.833 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC001007848214 737249032 /nfs/dbraw/zinc/24/90/32/737249032.db2.gz YSMLBUBBHPBCIS-CQSZACIVSA-N 1 2 310.829 1.833 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H]3CC=CCC3)C2)nn1 ZINC001098608590 737249628 /nfs/dbraw/zinc/24/96/28/737249628.db2.gz ZTOPEWHXSBYEFI-HOCLYGCPSA-N 1 2 313.405 1.131 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1cnco1 ZINC001008104950 737401211 /nfs/dbraw/zinc/40/12/11/737401211.db2.gz XTOBCZKCHNFOHB-INIZCTEOSA-N 1 2 309.369 1.921 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1cnco1 ZINC001008104950 737401213 /nfs/dbraw/zinc/40/12/13/737401213.db2.gz XTOBCZKCHNFOHB-INIZCTEOSA-N 1 2 309.369 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001028049223 738966675 /nfs/dbraw/zinc/96/66/75/738966675.db2.gz FPADVWWLAJMBCH-OLZOCXBDSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001028049223 738966678 /nfs/dbraw/zinc/96/66/78/738966678.db2.gz FPADVWWLAJMBCH-OLZOCXBDSA-N 1 2 313.829 1.236 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C3C=CC=CC=C3)C2)nn1 ZINC001105252502 737663443 /nfs/dbraw/zinc/66/34/43/737663443.db2.gz UTKGYZOBPDGUIS-UHFFFAOYSA-N 1 2 323.400 1.073 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001105662094 742269838 /nfs/dbraw/zinc/26/98/38/742269838.db2.gz BFVDKVFTPKPIHM-KGLIPLIRSA-N 1 2 304.394 1.684 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3C[C@@]3(C)CC)C2)nn1 ZINC001105354302 738990205 /nfs/dbraw/zinc/99/02/05/738990205.db2.gz HOWXQSMWKQCKLA-NVXWUHKLSA-N 1 2 315.421 1.211 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3C[C@@]3(C)CC)C2)nn1 ZINC001105354301 738990234 /nfs/dbraw/zinc/99/02/34/738990234.db2.gz HOWXQSMWKQCKLA-DOTOQJQBSA-N 1 2 315.421 1.211 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@H](NC(=O)[C@@H]3CCOC3)C2)c1 ZINC001010358274 739088102 /nfs/dbraw/zinc/08/81/02/739088102.db2.gz IFZJEJWRXFSHPG-HIFRSBDPSA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@H](NC(=O)[C@@H]3CCOC3)C2)c1 ZINC001010358274 739088106 /nfs/dbraw/zinc/08/81/06/739088106.db2.gz IFZJEJWRXFSHPG-HIFRSBDPSA-N 1 2 317.364 1.424 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C(F)(F)F)cnn1C ZINC001038970389 739235486 /nfs/dbraw/zinc/23/54/86/739235486.db2.gz RKHRWLUOQCBLEQ-JTQLQIEISA-N 1 2 314.311 1.266 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C(F)(F)F)cnn1C ZINC001038970389 739235490 /nfs/dbraw/zinc/23/54/90/739235490.db2.gz RKHRWLUOQCBLEQ-JTQLQIEISA-N 1 2 314.311 1.266 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(OC)c(C)c(OC)c1 ZINC001038993567 739260398 /nfs/dbraw/zinc/26/03/98/739260398.db2.gz JDLGVCWGGORCJL-AWEZNQCLSA-N 1 2 302.374 1.450 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(OC)c(C)c(OC)c1 ZINC001038993567 739260400 /nfs/dbraw/zinc/26/04/00/739260400.db2.gz JDLGVCWGGORCJL-AWEZNQCLSA-N 1 2 302.374 1.450 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H]1CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001028317272 739349409 /nfs/dbraw/zinc/34/94/09/739349409.db2.gz ZIDZREWFTSOKHU-AWEZNQCLSA-N 1 2 314.433 1.896 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001028317272 739349415 /nfs/dbraw/zinc/34/94/15/739349415.db2.gz ZIDZREWFTSOKHU-AWEZNQCLSA-N 1 2 314.433 1.896 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCc2nncn2C1 ZINC001028333614 739368461 /nfs/dbraw/zinc/36/84/61/739368461.db2.gz PAQMJXZXHSYZEE-OLZOCXBDSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCc2nncn2C1 ZINC001028333614 739368467 /nfs/dbraw/zinc/36/84/67/739368467.db2.gz PAQMJXZXHSYZEE-OLZOCXBDSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+][C@@H](C)c1nc(CCCC)no1 ZINC001126382752 739661627 /nfs/dbraw/zinc/66/16/27/739661627.db2.gz BGGAIRPTGRTPJA-BLLLJJGKSA-N 1 2 324.425 1.506 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c3c[nH]nc3ccc2C)C1 ZINC001035420489 751479893 /nfs/dbraw/zinc/47/98/93/751479893.db2.gz ZHTPKNHXZYJGOU-CYBMUJFWSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c3c[nH]nc3ccc2C)C1 ZINC001035420489 751479894 /nfs/dbraw/zinc/47/98/94/751479894.db2.gz ZHTPKNHXZYJGOU-CYBMUJFWSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)(C)C)n[nH]2)C1 ZINC001035428786 751486471 /nfs/dbraw/zinc/48/64/71/751486471.db2.gz HJSRLGXZZQQLID-LBPRGKRZSA-N 1 2 306.410 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)(C)C)n[nH]2)C1 ZINC001035428786 751486474 /nfs/dbraw/zinc/48/64/74/751486474.db2.gz HJSRLGXZZQQLID-LBPRGKRZSA-N 1 2 306.410 1.324 20 30 DDEDLO C=CCN1CCO[C@@H](CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001035416958 751498299 /nfs/dbraw/zinc/49/82/99/751498299.db2.gz OQGASJATZXTCTA-ZDUSSCGKSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001035416958 751498302 /nfs/dbraw/zinc/49/83/02/751498302.db2.gz OQGASJATZXTCTA-ZDUSSCGKSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001035416958 751498304 /nfs/dbraw/zinc/49/83/04/751498304.db2.gz OQGASJATZXTCTA-ZDUSSCGKSA-N 1 2 300.362 1.180 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccncc2CC)C1 ZINC001035417590 751499712 /nfs/dbraw/zinc/49/97/12/751499712.db2.gz CJQHCYYCEGBVQP-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccncc2CC)C1 ZINC001035417590 751499716 /nfs/dbraw/zinc/49/97/16/751499716.db2.gz CJQHCYYCEGBVQP-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO Cc1nc(NCCC[C@H](C)NC(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001114897884 751500882 /nfs/dbraw/zinc/50/08/82/751500882.db2.gz QPYDQZKZQWKZLT-NSHDSACASA-N 1 2 312.377 1.995 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC)cc2F)C1 ZINC001035430462 751507300 /nfs/dbraw/zinc/50/73/00/751507300.db2.gz DFZUAELIBJEZDW-CQSZACIVSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC)cc2F)C1 ZINC001035430462 751507304 /nfs/dbraw/zinc/50/73/04/751507304.db2.gz DFZUAELIBJEZDW-CQSZACIVSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001035436035 751512646 /nfs/dbraw/zinc/51/26/46/751512646.db2.gz VQNXWOFQLPRNKU-HNNXBMFYSA-N 1 2 301.390 1.576 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001035436035 751512647 /nfs/dbraw/zinc/51/26/47/751512647.db2.gz VQNXWOFQLPRNKU-HNNXBMFYSA-N 1 2 301.390 1.576 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)oc1C ZINC001075774973 740422742 /nfs/dbraw/zinc/42/27/42/740422742.db2.gz YWTBNRVMGJTYSD-JKSUJKDBSA-N 1 2 313.401 1.738 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)oc1C ZINC001075774973 740422745 /nfs/dbraw/zinc/42/27/45/740422745.db2.gz YWTBNRVMGJTYSD-JKSUJKDBSA-N 1 2 313.401 1.738 20 30 DDEDLO N#Cc1c(F)cccc1N[C@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001059283711 740559545 /nfs/dbraw/zinc/55/95/45/740559545.db2.gz LUFMMWJSQUCJIC-XYPYZODXSA-N 1 2 313.336 1.722 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnon3)[C@@H]2CC)C1 ZINC001087717473 740719822 /nfs/dbraw/zinc/71/98/22/740719822.db2.gz DZISGKYCBGFYTK-UONOGXRCSA-N 1 2 304.394 1.895 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnon3)[C@@H]2CC)C1 ZINC001087717473 740719823 /nfs/dbraw/zinc/71/98/23/740719823.db2.gz DZISGKYCBGFYTK-UONOGXRCSA-N 1 2 304.394 1.895 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NC1CC1 ZINC001029422755 740758004 /nfs/dbraw/zinc/75/80/04/740758004.db2.gz ZTFCIKNQXLJFQZ-OKILXGFUSA-N 1 2 305.422 1.152 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NC1CC1 ZINC001029422755 740758005 /nfs/dbraw/zinc/75/80/05/740758005.db2.gz ZTFCIKNQXLJFQZ-OKILXGFUSA-N 1 2 305.422 1.152 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N1CCC1 ZINC001029453030 740825608 /nfs/dbraw/zinc/82/56/08/740825608.db2.gz HDFUDRHTHLAITR-RVKKMQEKSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N1CCC1 ZINC001029453030 740825616 /nfs/dbraw/zinc/82/56/16/740825616.db2.gz HDFUDRHTHLAITR-RVKKMQEKSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(OC)c2C)C1 ZINC001035526915 751606281 /nfs/dbraw/zinc/60/62/81/751606281.db2.gz UIYXRNLHFSRCCJ-CQSZACIVSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc(OC)c2C)C1 ZINC001035526915 751606286 /nfs/dbraw/zinc/60/62/86/751606286.db2.gz UIYXRNLHFSRCCJ-CQSZACIVSA-N 1 2 304.390 1.620 20 30 DDEDLO C=C(C)C(=O)OCCNc1[nH+]cnc2[nH]cc([S@](C)=O)c21 ZINC001168070436 741230780 /nfs/dbraw/zinc/23/07/80/741230780.db2.gz MOFIGBFBSXVWQV-NRFANRHFSA-N 1 2 308.363 1.178 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(CCC)on2)C1 ZINC001035569368 751622335 /nfs/dbraw/zinc/62/23/35/751622335.db2.gz KSXLYZYRZXADJU-AWEZNQCLSA-N 1 2 305.378 1.081 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(CCC)on2)C1 ZINC001035569368 751622337 /nfs/dbraw/zinc/62/23/37/751622337.db2.gz KSXLYZYRZXADJU-AWEZNQCLSA-N 1 2 305.378 1.081 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(CCC)c2C)C1 ZINC001035555767 751637081 /nfs/dbraw/zinc/63/70/81/751637081.db2.gz KMMOENCCFMFQIJ-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnn(CCC)c2C)C1 ZINC001035555767 751637084 /nfs/dbraw/zinc/63/70/84/751637084.db2.gz KMMOENCCFMFQIJ-AWEZNQCLSA-N 1 2 306.410 1.218 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cn(CCC)nc2C)C1 ZINC001035563567 751645944 /nfs/dbraw/zinc/64/59/44/751645944.db2.gz PUDAUPSPQRMTOI-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cn(CCC)nc2C)C1 ZINC001035563567 751645947 /nfs/dbraw/zinc/64/59/47/751645947.db2.gz PUDAUPSPQRMTOI-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(COC)cc2)C1 ZINC001035600351 751657612 /nfs/dbraw/zinc/65/76/12/751657612.db2.gz OOFGECZNRHWAMH-QGZVFWFLSA-N 1 2 318.417 1.840 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(COC)cc2)C1 ZINC001035600351 751657615 /nfs/dbraw/zinc/65/76/15/751657615.db2.gz OOFGECZNRHWAMH-QGZVFWFLSA-N 1 2 318.417 1.840 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C[C@H](C)CCC)C2)nn1 ZINC001098637331 741685129 /nfs/dbraw/zinc/68/51/29/741685129.db2.gz KZPGKPCGAJQLKP-ZBFHGGJFSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)[C@H]1C ZINC001088568454 741843681 /nfs/dbraw/zinc/84/36/81/741843681.db2.gz IQIALMASUSREAY-YUELXQCFSA-N 1 2 302.422 1.985 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)[C@H]1C ZINC001088568454 741843685 /nfs/dbraw/zinc/84/36/85/741843685.db2.gz IQIALMASUSREAY-YUELXQCFSA-N 1 2 302.422 1.985 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC)cc2OC)C1 ZINC001035591951 751685740 /nfs/dbraw/zinc/68/57/40/751685740.db2.gz ZDYCORZXJMDBPM-CQSZACIVSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC)cc2OC)C1 ZINC001035591951 751685747 /nfs/dbraw/zinc/68/57/47/751685747.db2.gz ZDYCORZXJMDBPM-CQSZACIVSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CCCC(=O)NCC[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001060002540 742192799 /nfs/dbraw/zinc/19/27/99/742192799.db2.gz WUKWQRDLZZEZAH-OAHLLOKOSA-N 1 2 318.421 1.594 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2nc(C)cc2C)[C@H]1C ZINC001088722832 742257219 /nfs/dbraw/zinc/25/72/19/742257219.db2.gz LRCYWSVMSQUJGT-KGLIPLIRSA-N 1 2 310.829 1.831 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2nc(C)cc2C)[C@H]1C ZINC001088722832 742257226 /nfs/dbraw/zinc/25/72/26/742257226.db2.gz LRCYWSVMSQUJGT-KGLIPLIRSA-N 1 2 310.829 1.831 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(N(C)C)c(F)c2)C1 ZINC001035615860 751722071 /nfs/dbraw/zinc/72/20/71/751722071.db2.gz ARXZQNDUUVELPW-CQSZACIVSA-N 1 2 321.396 1.508 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(N(C)C)c(F)c2)C1 ZINC001035615860 751722076 /nfs/dbraw/zinc/72/20/76/751722076.db2.gz ARXZQNDUUVELPW-CQSZACIVSA-N 1 2 321.396 1.508 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001105679210 742475451 /nfs/dbraw/zinc/47/54/51/742475451.db2.gz VKQXMQDVDIHMIS-GJZGRUSLSA-N 1 2 316.405 1.522 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(C(=O)CSCC#N)C1)c1csnn1 ZINC001181107212 743062087 /nfs/dbraw/zinc/06/20/87/743062087.db2.gz SPRIHLSMIPPBFN-NXEZZACHSA-N 1 2 311.436 1.046 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c[nH]nc2CCC)C1 ZINC001108045919 743192922 /nfs/dbraw/zinc/19/29/22/743192922.db2.gz FKQVPHHFRZXICS-MRXNPFEDSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c[nH]nc2CCC)C1 ZINC001108045919 743192924 /nfs/dbraw/zinc/19/29/24/743192924.db2.gz FKQVPHHFRZXICS-MRXNPFEDSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@H+](C[C@H](F)CC)C[C@H]1O ZINC001077139002 743266527 /nfs/dbraw/zinc/26/65/27/743266527.db2.gz TVNNGYBHVMRGPB-UMVBOHGHSA-N 1 2 309.385 1.197 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@@H+](C[C@H](F)CC)C[C@H]1O ZINC001077139002 743266534 /nfs/dbraw/zinc/26/65/34/743266534.db2.gz TVNNGYBHVMRGPB-UMVBOHGHSA-N 1 2 309.385 1.197 20 30 DDEDLO C[C@H](c1ncccn1)[NH+]1CCC(NC(=O)c2ccc(C#N)[nH]2)CC1 ZINC001002619224 743282553 /nfs/dbraw/zinc/28/25/53/743282553.db2.gz FONXRLFRCQWSBU-GFCCVEGCSA-N 1 2 324.388 1.632 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2CCCN(CC(F)F)C2)C1 ZINC001043652277 743304289 /nfs/dbraw/zinc/30/42/89/743304289.db2.gz AWPFXKGCKCZMKN-CYBMUJFWSA-N 1 2 313.392 1.129 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001128242353 743367941 /nfs/dbraw/zinc/36/79/41/743367941.db2.gz NSBBZQMWMAPBPS-LLVKDONJSA-N 1 2 310.398 1.585 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(F)cc(C)cc2F)C1 ZINC001077356533 743423061 /nfs/dbraw/zinc/42/30/61/743423061.db2.gz AIZXSNRIDPZBIP-ZIAGYGMSSA-N 1 2 308.328 1.071 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(F)cc(C)cc2F)C1 ZINC001077356533 743423065 /nfs/dbraw/zinc/42/30/65/743423065.db2.gz AIZXSNRIDPZBIP-ZIAGYGMSSA-N 1 2 308.328 1.071 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cnc3ccccc3c2)[C@@H](O)C1 ZINC001083814172 743477125 /nfs/dbraw/zinc/47/71/25/743477125.db2.gz RLGFYQHRUDMSIE-SJORKVTESA-N 1 2 309.369 1.033 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cnc3ccccc3c2)[C@@H](O)C1 ZINC001083814172 743477129 /nfs/dbraw/zinc/47/71/29/743477129.db2.gz RLGFYQHRUDMSIE-SJORKVTESA-N 1 2 309.369 1.033 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001108052097 743510549 /nfs/dbraw/zinc/51/05/49/743510549.db2.gz XKYWLGQWAOHXQO-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001108052097 743510555 /nfs/dbraw/zinc/51/05/55/743510555.db2.gz XKYWLGQWAOHXQO-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc3cc(C)ccc3n2)[C@@H](O)C1 ZINC001083627400 743619651 /nfs/dbraw/zinc/61/96/51/743619651.db2.gz VJWNMQQOFSXJIR-MSOLQXFVSA-N 1 2 323.396 1.341 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3cc(C)ccc3n2)[C@@H](O)C1 ZINC001083627400 743619654 /nfs/dbraw/zinc/61/96/54/743619654.db2.gz VJWNMQQOFSXJIR-MSOLQXFVSA-N 1 2 323.396 1.341 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2c[nH]c3cccc(C#N)c23)CC1 ZINC001182581629 743669889 /nfs/dbraw/zinc/66/98/89/743669889.db2.gz QRFWEUDQWQJOQD-UHFFFAOYSA-N 1 2 308.385 1.912 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([NH2+][C@H](C)c3csnn3)C2)CCC1 ZINC001183185691 743792510 /nfs/dbraw/zinc/79/25/10/743792510.db2.gz PYDPYODTGDTQPU-CHWSQXEVSA-N 1 2 318.446 1.983 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCN(CCC)c1cc[nH+]c(C)n1 ZINC001101184774 743940849 /nfs/dbraw/zinc/94/08/49/743940849.db2.gz GQQUQQUUCYGDPY-CYBMUJFWSA-N 1 2 304.394 1.156 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CC(=O)N(C(CC)CC)C2)C1 ZINC001030389792 744101814 /nfs/dbraw/zinc/10/18/14/744101814.db2.gz PQBZEKBFPXPHKU-ZDUSSCGKSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2CCCO[C@H]2c2ccccc2)C1 ZINC001030463335 744177373 /nfs/dbraw/zinc/17/73/73/744177373.db2.gz JXFYVAXWAAHSRB-MSOLQXFVSA-N 1 2 312.413 1.978 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)/C(C)=C\CC)C2)nn1 ZINC001185882148 744308333 /nfs/dbraw/zinc/30/83/33/744308333.db2.gz CPOJWSXSKMZYQA-ACQAZONXSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(COC)on2)[C@H]1C ZINC001088904345 744356883 /nfs/dbraw/zinc/35/68/83/744356883.db2.gz ISVOPPMLVLRWIP-PWSUYJOCSA-N 1 2 313.785 1.766 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(COC)on2)[C@H]1C ZINC001088904345 744356885 /nfs/dbraw/zinc/35/68/85/744356885.db2.gz ISVOPPMLVLRWIP-PWSUYJOCSA-N 1 2 313.785 1.766 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncnc2CC)[C@H]1C ZINC001089043840 744469079 /nfs/dbraw/zinc/46/90/79/744469079.db2.gz AAOMTQRDNFQFMI-RISCZKNCSA-N 1 2 308.813 1.984 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncnc2CC)[C@H]1C ZINC001089043840 744469081 /nfs/dbraw/zinc/46/90/81/744469081.db2.gz AAOMTQRDNFQFMI-RISCZKNCSA-N 1 2 308.813 1.984 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001188080314 744657225 /nfs/dbraw/zinc/65/72/25/744657225.db2.gz BLOPPWAMXRXFOD-GOEBONIOSA-N 1 2 302.422 1.809 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001188080314 744657227 /nfs/dbraw/zinc/65/72/27/744657227.db2.gz BLOPPWAMXRXFOD-GOEBONIOSA-N 1 2 302.422 1.809 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@]12CCC[C@H]1N(CC#N)CC2 ZINC000992224446 744705419 /nfs/dbraw/zinc/70/54/19/744705419.db2.gz WLINBPWUSMEWMI-GKLJAUDBSA-N 1 2 313.405 1.160 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001189243633 744852166 /nfs/dbraw/zinc/85/21/66/744852166.db2.gz XCBBKXZGWRPGCC-ZBFHGGJFSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001189243633 744852169 /nfs/dbraw/zinc/85/21/69/744852169.db2.gz XCBBKXZGWRPGCC-ZBFHGGJFSA-N 1 2 321.421 1.997 20 30 DDEDLO N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@@H]21 ZINC000992755862 745179520 /nfs/dbraw/zinc/17/95/20/745179520.db2.gz XJHYWKZRBLMTLV-IVMMDQJWSA-N 1 2 323.400 1.917 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1cccc(C(C)(C)C#N)c1 ZINC001190955672 745399897 /nfs/dbraw/zinc/39/98/97/745399897.db2.gz BUEZKYCJXBRSFP-AWEZNQCLSA-N 1 2 315.417 1.938 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1cccc(C(C)(C)C#N)c1 ZINC001190955672 745399903 /nfs/dbraw/zinc/39/99/03/745399903.db2.gz BUEZKYCJXBRSFP-AWEZNQCLSA-N 1 2 315.417 1.938 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001191859533 745618868 /nfs/dbraw/zinc/61/88/68/745618868.db2.gz MYFFOKXBYAGIPP-AWEZNQCLSA-N 1 2 319.405 1.910 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001191859533 745618871 /nfs/dbraw/zinc/61/88/71/745618871.db2.gz MYFFOKXBYAGIPP-AWEZNQCLSA-N 1 2 319.405 1.910 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([N@H+](C)CC(=O)Nc2ccon2)C1 ZINC001192093226 745686456 /nfs/dbraw/zinc/68/64/56/745686456.db2.gz IAPAYWLVHGDKSD-ZDUSSCGKSA-N 1 2 320.393 1.502 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]([N@@H+](C)CC(=O)Nc2ccon2)C1 ZINC001192093226 745686458 /nfs/dbraw/zinc/68/64/58/745686458.db2.gz IAPAYWLVHGDKSD-ZDUSSCGKSA-N 1 2 320.393 1.502 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001192503767 745798910 /nfs/dbraw/zinc/79/89/10/745798910.db2.gz KSVDCYPJQVDDQO-HUUCEWRRSA-N 1 2 317.414 1.085 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001192503767 745798913 /nfs/dbraw/zinc/79/89/13/745798913.db2.gz KSVDCYPJQVDDQO-HUUCEWRRSA-N 1 2 317.414 1.085 20 30 DDEDLO COc1cccc(CC[NH+]2CCCC2)c1NS(=O)(=O)CC#N ZINC001192972367 745952028 /nfs/dbraw/zinc/95/20/28/745952028.db2.gz UTEKCDCQLBCGKO-UHFFFAOYSA-N 1 2 323.418 1.599 20 30 DDEDLO N#Cc1ccncc1C(=O)N1CC[C@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001192973958 745952476 /nfs/dbraw/zinc/95/24/76/745952476.db2.gz KDCSXBXYOBVTJT-AWEZNQCLSA-N 1 2 320.343 1.899 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001007152040 745990764 /nfs/dbraw/zinc/99/07/64/745990764.db2.gz MQYXHRVWSUKYRH-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1ncc(C[N@H+]2CCC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001007152040 745990769 /nfs/dbraw/zinc/99/07/69/745990769.db2.gz MQYXHRVWSUKYRH-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncc(OC)cc2F)C1 ZINC001107987136 752051936 /nfs/dbraw/zinc/05/19/36/752051936.db2.gz IQVMLHOLSFJRNL-MRXNPFEDSA-N 1 2 323.368 1.236 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncc(OC)cc2F)C1 ZINC001107987136 752051944 /nfs/dbraw/zinc/05/19/44/752051944.db2.gz IQVMLHOLSFJRNL-MRXNPFEDSA-N 1 2 323.368 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cc(C)nn2C)[C@H]1C ZINC000993603601 746227534 /nfs/dbraw/zinc/22/75/34/746227534.db2.gz QCQHYWKKVPQYKB-UKRRQHHQSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cc(C)nn2C)[C@H]1C ZINC000993603601 746227538 /nfs/dbraw/zinc/22/75/38/746227538.db2.gz QCQHYWKKVPQYKB-UKRRQHHQSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cc(C)nn2C)[C@H]1C ZINC000993603600 746227568 /nfs/dbraw/zinc/22/75/68/746227568.db2.gz QCQHYWKKVPQYKB-HIFRSBDPSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cc(C)nn2C)[C@H]1C ZINC000993603600 746227570 /nfs/dbraw/zinc/22/75/70/746227570.db2.gz QCQHYWKKVPQYKB-HIFRSBDPSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2c[nH]c(=O)n2C)[C@@H]1C ZINC000993696499 746293350 /nfs/dbraw/zinc/29/33/50/746293350.db2.gz CAYNMPFLCVOANR-WDEREUQCSA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(=O)n2C)[C@@H]1C ZINC000993696499 746293355 /nfs/dbraw/zinc/29/33/55/746293355.db2.gz CAYNMPFLCVOANR-WDEREUQCSA-N 1 2 312.801 1.461 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2nccs2)C1 ZINC001194381643 746361395 /nfs/dbraw/zinc/36/13/95/746361395.db2.gz KTHUVNIMJKQSIT-CYBMUJFWSA-N 1 2 309.435 1.769 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nccs2)C1 ZINC001194381643 746361399 /nfs/dbraw/zinc/36/13/99/746361399.db2.gz KTHUVNIMJKQSIT-CYBMUJFWSA-N 1 2 309.435 1.769 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2nocc2C)C1 ZINC001194397222 746366933 /nfs/dbraw/zinc/36/69/33/746366933.db2.gz RDLOFZPXEDUDSR-CQSZACIVSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001194397222 746366936 /nfs/dbraw/zinc/36/69/36/746366936.db2.gz RDLOFZPXEDUDSR-CQSZACIVSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nocc2C)C1 ZINC001194407062 746370020 /nfs/dbraw/zinc/37/00/20/746370020.db2.gz GRQOEKBBFGDDKP-KBPBESRZSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001194407062 746370025 /nfs/dbraw/zinc/37/00/25/746370025.db2.gz GRQOEKBBFGDDKP-KBPBESRZSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001194409067 746370341 /nfs/dbraw/zinc/37/03/41/746370341.db2.gz PLIWLNKXSPAHTB-WFASDCNBSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001194409067 746370348 /nfs/dbraw/zinc/37/03/48/746370348.db2.gz PLIWLNKXSPAHTB-WFASDCNBSA-N 1 2 307.394 1.607 20 30 DDEDLO N#Cc1ccc(NC2CCC(NC(=O)Cc3c[nH]c[nH+]3)CC2)cn1 ZINC001060625053 746384977 /nfs/dbraw/zinc/38/49/77/746384977.db2.gz VXAOLUDYGIAULU-UHFFFAOYSA-N 1 2 324.388 1.758 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)[C@H]2C[C@H]2C)CC1 ZINC001194700127 746429457 /nfs/dbraw/zinc/42/94/57/746429457.db2.gz ZJCFGLUAPLVWNN-CVEARBPZSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)[C@H]2C[C@H]2C)CC1 ZINC001194700127 746429459 /nfs/dbraw/zinc/42/94/59/746429459.db2.gz ZJCFGLUAPLVWNN-CVEARBPZSA-N 1 2 321.465 1.601 20 30 DDEDLO Cn1c[nH+]cc1-c1cccc(C(=O)N2CCN(CCC#N)CC2)c1 ZINC001194895095 746481511 /nfs/dbraw/zinc/48/15/11/746481511.db2.gz NURBFVWJRFKEHP-UHFFFAOYSA-N 1 2 323.400 1.759 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cnc(C)s2)CC1 ZINC001195080151 746523977 /nfs/dbraw/zinc/52/39/77/746523977.db2.gz ZKCIMKDSKRWRRH-UHFFFAOYSA-N 1 2 307.419 1.249 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cnc(C)s2)CC1 ZINC001195080151 746523979 /nfs/dbraw/zinc/52/39/79/746523979.db2.gz ZKCIMKDSKRWRRH-UHFFFAOYSA-N 1 2 307.419 1.249 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CCCS(=O)(=O)CC)CC1 ZINC001195233338 746547245 /nfs/dbraw/zinc/54/72/45/746547245.db2.gz SUVIRWHNSOFABM-UHFFFAOYSA-N 1 2 316.467 1.312 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CCCS(=O)(=O)CC)CC1 ZINC001195233338 746547247 /nfs/dbraw/zinc/54/72/47/746547247.db2.gz SUVIRWHNSOFABM-UHFFFAOYSA-N 1 2 316.467 1.312 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@H]1O ZINC001195254855 746557648 /nfs/dbraw/zinc/55/76/48/746557648.db2.gz JFZZUPFSSVZCGM-NWANDNLSSA-N 1 2 318.421 1.301 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@H]1O ZINC001195254855 746557653 /nfs/dbraw/zinc/55/76/53/746557653.db2.gz JFZZUPFSSVZCGM-NWANDNLSSA-N 1 2 318.421 1.301 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](CCOCC(F)(F)F)CC1 ZINC001195322148 746564914 /nfs/dbraw/zinc/56/49/14/746564914.db2.gz VEDUPUOIJXWMJJ-LLVKDONJSA-N 1 2 307.316 1.259 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](CCOCC(F)(F)F)CC1 ZINC001195322148 746564916 /nfs/dbraw/zinc/56/49/16/746564916.db2.gz VEDUPUOIJXWMJJ-LLVKDONJSA-N 1 2 307.316 1.259 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)ncn1 ZINC001007159452 746569321 /nfs/dbraw/zinc/56/93/21/746569321.db2.gz ZUAMXFHPBFVXPX-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)ncn1 ZINC001007159452 746569324 /nfs/dbraw/zinc/56/93/24/746569324.db2.gz ZUAMXFHPBFVXPX-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnc(C)cn2)C[C@H]1O ZINC001195312337 746573483 /nfs/dbraw/zinc/57/34/83/746573483.db2.gz MTRJDFBTHXLLAU-BPLDGKMQSA-N 1 2 318.421 1.220 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnc(C)cn2)C[C@H]1O ZINC001195312337 746573485 /nfs/dbraw/zinc/57/34/85/746573485.db2.gz MTRJDFBTHXLLAU-BPLDGKMQSA-N 1 2 318.421 1.220 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)CCC)CC1 ZINC001195364618 746586065 /nfs/dbraw/zinc/58/60/65/746586065.db2.gz XORCAVYXFXFYEW-GJZGRUSLSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)CCC)CC1 ZINC001195364618 746586069 /nfs/dbraw/zinc/58/60/69/746586069.db2.gz XORCAVYXFXFYEW-GJZGRUSLSA-N 1 2 307.438 1.095 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(C)c(C)o2)CC1 ZINC001195487029 746622943 /nfs/dbraw/zinc/62/29/43/746622943.db2.gz CAZMWRBSSONDET-UHFFFAOYSA-N 1 2 304.390 1.694 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(C)c(C)o2)CC1 ZINC001195487029 746622946 /nfs/dbraw/zinc/62/29/46/746622946.db2.gz CAZMWRBSSONDET-UHFFFAOYSA-N 1 2 304.390 1.694 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ocnc1C ZINC001032638457 752110323 /nfs/dbraw/zinc/11/03/23/752110323.db2.gz ZXFOPNDRISFCMZ-XQLPTFJDSA-N 1 2 317.389 1.359 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ocnc1C ZINC001032638457 752110325 /nfs/dbraw/zinc/11/03/25/752110325.db2.gz ZXFOPNDRISFCMZ-XQLPTFJDSA-N 1 2 317.389 1.359 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785383 746704314 /nfs/dbraw/zinc/70/43/14/746704314.db2.gz KMKVFXZYPKMZGY-OAHLLOKOSA-N 1 2 321.465 1.485 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785383 746704318 /nfs/dbraw/zinc/70/43/18/746704318.db2.gz KMKVFXZYPKMZGY-OAHLLOKOSA-N 1 2 321.465 1.485 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2cn3c([nH+]2)CCCC3)CC[C@@H]1NCC#N ZINC001036042473 752119132 /nfs/dbraw/zinc/11/91/32/752119132.db2.gz PLUAVRJXWONRDX-HIFRSBDPSA-N 1 2 315.421 1.112 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001196481670 746872377 /nfs/dbraw/zinc/87/23/77/746872377.db2.gz FCTLKDAXOUGVLE-ZIAGYGMSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001196481670 746872382 /nfs/dbraw/zinc/87/23/82/746872382.db2.gz FCTLKDAXOUGVLE-ZIAGYGMSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc(NC(C)=O)c(F)c2)C1 ZINC001031490169 746891463 /nfs/dbraw/zinc/89/14/63/746891463.db2.gz KOZHUWZNFLGHNO-UHFFFAOYSA-N 1 2 305.353 1.774 20 30 DDEDLO CCC[N@@H+]1CCn2ncc(CNC(=O)C#CC(C)(C)C)c2C1 ZINC001128350503 746895592 /nfs/dbraw/zinc/89/55/92/746895592.db2.gz AVRLQRWQMAOEKK-UHFFFAOYSA-N 1 2 302.422 1.774 20 30 DDEDLO CCC[N@H+]1CCn2ncc(CNC(=O)C#CC(C)(C)C)c2C1 ZINC001128350503 746895598 /nfs/dbraw/zinc/89/55/98/746895598.db2.gz AVRLQRWQMAOEKK-UHFFFAOYSA-N 1 2 302.422 1.774 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2CC=CCC2)CC1 ZINC001196762014 746950137 /nfs/dbraw/zinc/95/01/37/746950137.db2.gz FGRLEHQTOLZOPU-MRXNPFEDSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2CC=CCC2)CC1 ZINC001196762014 746950138 /nfs/dbraw/zinc/95/01/38/746950138.db2.gz FGRLEHQTOLZOPU-MRXNPFEDSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)c2cc(C)co2)CC1 ZINC001196838979 746976587 /nfs/dbraw/zinc/97/65/87/746976587.db2.gz NVNBXLQWXAUAON-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)c2cc(C)co2)CC1 ZINC001196838979 746976593 /nfs/dbraw/zinc/97/65/93/746976593.db2.gz NVNBXLQWXAUAON-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](Cc3ccc(C#N)s3)C2)on1 ZINC001031539078 746982886 /nfs/dbraw/zinc/98/28/86/746982886.db2.gz VJHLTLIKLSTRKJ-UHFFFAOYSA-N 1 2 316.386 1.778 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)C2CC2)CC1 ZINC001196999895 747029694 /nfs/dbraw/zinc/02/96/94/747029694.db2.gz BWPYRUKYYLNLJX-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)C2CC2)CC1 ZINC001196999895 747029703 /nfs/dbraw/zinc/02/97/03/747029703.db2.gz BWPYRUKYYLNLJX-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cn[nH]c3)C2)cc1F ZINC001031559510 747032856 /nfs/dbraw/zinc/03/28/56/747032856.db2.gz OWLPRCTYTFEKOG-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)C3CC3)[C@@H](O)C2)c(F)c1 ZINC001089993674 747036449 /nfs/dbraw/zinc/03/64/49/747036449.db2.gz RQSFRKZYRYUSJH-HOTGVXAUSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)C3CC3)[C@@H](O)C2)c(F)c1 ZINC001089993674 747036454 /nfs/dbraw/zinc/03/64/54/747036454.db2.gz RQSFRKZYRYUSJH-HOTGVXAUSA-N 1 2 317.364 1.159 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1cnsn1 ZINC001031563022 747049881 /nfs/dbraw/zinc/04/98/81/747049881.db2.gz UYRGZZMZHBTQPN-UHFFFAOYSA-N 1 2 312.398 1.251 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)NCC(C)C)CC2)C1 ZINC001197100458 747066278 /nfs/dbraw/zinc/06/62/78/747066278.db2.gz CGOUHXNLJFVBIH-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)NCC(C)C)CC2)C1 ZINC001197100458 747066286 /nfs/dbraw/zinc/06/62/86/747066286.db2.gz CGOUHXNLJFVBIH-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1ncccc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089490225 747088073 /nfs/dbraw/zinc/08/80/73/747088073.db2.gz OSEBKORJJZSKCK-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2cccnc2)C1 ZINC001108055526 747151789 /nfs/dbraw/zinc/15/17/89/747151789.db2.gz QFADSTIPFFNGIR-GOSISDBHSA-N 1 2 315.417 1.245 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2cccnc2)C1 ZINC001108055526 747151794 /nfs/dbraw/zinc/15/17/94/747151794.db2.gz QFADSTIPFFNGIR-GOSISDBHSA-N 1 2 315.417 1.245 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2cnc(C)nc2)CC1 ZINC001198331036 747453345 /nfs/dbraw/zinc/45/33/45/747453345.db2.gz VDSUYNHGBDZITO-UHFFFAOYSA-N 1 2 318.421 1.412 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2cnc(C)nc2)CC1 ZINC001198331036 747453349 /nfs/dbraw/zinc/45/33/49/747453349.db2.gz VDSUYNHGBDZITO-UHFFFAOYSA-N 1 2 318.421 1.412 20 30 DDEDLO CCN(c1nc(C)[nH+]c(C)c1C)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001089623674 747486664 /nfs/dbraw/zinc/48/66/64/747486664.db2.gz ULDZPRFGSPZOFK-GHMZBOCLSA-N 1 2 303.410 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2Cc3ccccc3O2)C1 ZINC001108059939 747502361 /nfs/dbraw/zinc/50/23/61/747502361.db2.gz RFVDEFZXPANJOD-WMZOPIPTSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2Cc3ccccc3O2)C1 ZINC001108059939 747502363 /nfs/dbraw/zinc/50/23/63/747502363.db2.gz RFVDEFZXPANJOD-WMZOPIPTSA-N 1 2 316.401 1.383 20 30 DDEDLO CCN(c1ccc(C#N)nc1)[C@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001089678507 747642398 /nfs/dbraw/zinc/64/23/98/747642398.db2.gz KVEIPWSMLOBTBC-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C=CCCCC(=O)NC[C@H]([NH2+]CC(N)=O)c1ccccc1OC ZINC001198875895 747642993 /nfs/dbraw/zinc/64/29/93/747642993.db2.gz LIPMESYSYWUQBC-AWEZNQCLSA-N 1 2 319.405 1.284 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2coc(COC)n2)CC1 ZINC001003868829 747652866 /nfs/dbraw/zinc/65/28/66/747652866.db2.gz FUDUMNSOPUVINX-UHFFFAOYSA-N 1 2 313.785 1.768 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(COC)o2)C1 ZINC001108061727 747732074 /nfs/dbraw/zinc/73/20/74/747732074.db2.gz VAYVALUQAOGXCJ-MRXNPFEDSA-N 1 2 308.378 1.433 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(COC)o2)C1 ZINC001108061727 747732075 /nfs/dbraw/zinc/73/20/75/747732075.db2.gz VAYVALUQAOGXCJ-MRXNPFEDSA-N 1 2 308.378 1.433 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3csnn3)C2)s1 ZINC001031882381 748115054 /nfs/dbraw/zinc/11/50/54/748115054.db2.gz QHIGIOHAYIRXAE-UHFFFAOYSA-N 1 2 319.415 1.333 20 30 DDEDLO C=C(C)C[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200555569 748278040 /nfs/dbraw/zinc/27/80/40/748278040.db2.gz RZPSZLNFUYGUNK-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[NH2+]C[C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCCO1 ZINC001200555569 748278042 /nfs/dbraw/zinc/27/80/42/748278042.db2.gz RZPSZLNFUYGUNK-GHMZBOCLSA-N 1 2 312.307 1.670 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)no1 ZINC001004319397 748305650 /nfs/dbraw/zinc/30/56/50/748305650.db2.gz CVPANBOGSOCAIS-HNNXBMFYSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)no1 ZINC001004319397 748305655 /nfs/dbraw/zinc/30/56/55/748305655.db2.gz CVPANBOGSOCAIS-HNNXBMFYSA-N 1 2 302.378 1.823 20 30 DDEDLO C[C@@H]1CCO[C@@H]1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031985902 748360070 /nfs/dbraw/zinc/36/00/70/748360070.db2.gz YDUIDRMRJNRYFU-DYVFJYSZSA-N 1 2 313.401 1.531 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)C2(F)CCOCC2)CC1 ZINC001004454879 748446357 /nfs/dbraw/zinc/44/63/57/748446357.db2.gz ATFVCQDNLLPQHT-HNNXBMFYSA-N 1 2 323.412 1.732 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)C2(F)CCOCC2)CC1 ZINC001004454879 748446359 /nfs/dbraw/zinc/44/63/59/748446359.db2.gz ATFVCQDNLLPQHT-HNNXBMFYSA-N 1 2 323.412 1.732 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2cnc[nH]c2=O)CC1 ZINC001004465279 748454031 /nfs/dbraw/zinc/45/40/31/748454031.db2.gz CBEAMSOAQLDHAM-CQSZACIVSA-N 1 2 315.377 1.022 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2cnc[nH]c2=O)CC1 ZINC001004465279 748454036 /nfs/dbraw/zinc/45/40/36/748454036.db2.gz CBEAMSOAQLDHAM-CQSZACIVSA-N 1 2 315.377 1.022 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cncs3)C2)cn1 ZINC001032138469 748730836 /nfs/dbraw/zinc/73/08/36/748730836.db2.gz ZWLXEPQXWOAQAT-UHFFFAOYSA-N 1 2 312.398 1.381 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2CN(C(=O)[C@@H](C)C#N)CC2(C)C)o1 ZINC000995577556 748955231 /nfs/dbraw/zinc/95/52/31/748955231.db2.gz CSZWOJQMKRIFFB-WDEREUQCSA-N 1 2 305.382 1.118 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2CN(C(=O)[C@@H](C)C#N)CC2(C)C)n1 ZINC000995581591 748958810 /nfs/dbraw/zinc/95/88/10/748958810.db2.gz NIEQPUZJLGJJCY-RYUDHWBXSA-N 1 2 319.409 1.508 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2coc(CC3CC3)n2)C1 ZINC001108312695 761906791 /nfs/dbraw/zinc/90/67/91/761906791.db2.gz NWOWWXYBYPDKGH-KRWDZBQOSA-N 1 2 319.405 1.634 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2coc(CC3CC3)n2)C1 ZINC001108312695 761906794 /nfs/dbraw/zinc/90/67/94/761906794.db2.gz NWOWWXYBYPDKGH-KRWDZBQOSA-N 1 2 319.405 1.634 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001056872046 761906926 /nfs/dbraw/zinc/90/69/26/761906926.db2.gz VUTBHRXHPWJBHD-QMTHXVAHSA-N 1 2 301.394 1.599 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(CC)c[nH]c2=O)C1 ZINC001108313346 761908563 /nfs/dbraw/zinc/90/85/63/761908563.db2.gz BVEFFLRDXAEOIU-KRWDZBQOSA-N 1 2 319.405 1.356 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(CC)c[nH]c2=O)C1 ZINC001108313346 761908568 /nfs/dbraw/zinc/90/85/68/761908568.db2.gz BVEFFLRDXAEOIU-KRWDZBQOSA-N 1 2 319.405 1.356 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2occ3c2CCC3)C1 ZINC001108097067 749001044 /nfs/dbraw/zinc/00/10/44/749001044.db2.gz AEJWAFYUKJMDCJ-KRWDZBQOSA-N 1 2 304.390 1.775 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2occ3c2CCC3)C1 ZINC001108097067 749001049 /nfs/dbraw/zinc/00/10/49/749001049.db2.gz AEJWAFYUKJMDCJ-KRWDZBQOSA-N 1 2 304.390 1.775 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2coc(C3CCC3)n2)C1 ZINC001108331844 761926236 /nfs/dbraw/zinc/92/62/36/761926236.db2.gz AKMAHVQKZCWQIN-KRWDZBQOSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2coc(C3CCC3)n2)C1 ZINC001108331844 761926241 /nfs/dbraw/zinc/92/62/41/761926241.db2.gz AKMAHVQKZCWQIN-KRWDZBQOSA-N 1 2 319.405 1.949 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)n2cccn2)C1 ZINC001108332925 761928684 /nfs/dbraw/zinc/92/86/84/761928684.db2.gz JUAZGQLPMQHVAQ-RDJZCZTQSA-N 1 2 318.421 1.065 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)n2cccn2)C1 ZINC001108332925 761928688 /nfs/dbraw/zinc/92/86/88/761928688.db2.gz JUAZGQLPMQHVAQ-RDJZCZTQSA-N 1 2 318.421 1.065 20 30 DDEDLO CC1(C)CN(C(=O)C#CC2CC2)C[C@@H]1[NH2+]Cc1csnn1 ZINC000995791450 749246474 /nfs/dbraw/zinc/24/64/74/749246474.db2.gz HKBSRGMAUCGMBA-ZDUSSCGKSA-N 1 2 304.419 1.278 20 30 DDEDLO C[C@@H](CNc1cccc(F)c1C#N)NC(=O)CCc1[nH]cc[nH+]1 ZINC001108337489 761937685 /nfs/dbraw/zinc/93/76/85/761937685.db2.gz BUIJXMAAPVGLNU-NSHDSACASA-N 1 2 315.352 1.970 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)[C@@H](C)C=C)nn2)C1 ZINC001107198093 749563049 /nfs/dbraw/zinc/56/30/49/749563049.db2.gz RTKDYHUOYHBIDD-STQMWFEESA-N 1 2 303.410 1.395 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H](C)[C@H](C)C=C)nn2)C1 ZINC001107198420 749564123 /nfs/dbraw/zinc/56/41/23/749564123.db2.gz GPODLKOWDJXJIM-ZIAGYGMSSA-N 1 2 315.421 1.233 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107206891 749604063 /nfs/dbraw/zinc/60/40/63/749604063.db2.gz RRVFJHPTAKSMKG-KRWDZBQOSA-N 1 2 317.437 1.929 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)n2cccc2)C1 ZINC001108351684 761971256 /nfs/dbraw/zinc/97/12/56/761971256.db2.gz NOXUKNRORHGJEU-DOTOQJQBSA-N 1 2 305.422 1.832 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)n2cccc2)C1 ZINC001108351684 761971260 /nfs/dbraw/zinc/97/12/60/761971260.db2.gz NOXUKNRORHGJEU-DOTOQJQBSA-N 1 2 305.422 1.832 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C(C)(CC)CC)nn2)C1 ZINC001107238119 749680091 /nfs/dbraw/zinc/68/00/91/749680091.db2.gz IEVCQDDNQGXMLT-UHFFFAOYSA-N 1 2 305.426 1.763 20 30 DDEDLO N#Cc1ccc(N2CCC(CNC(=O)Cc3c[nH]c[nH+]3)CC2)cn1 ZINC001095383088 749683393 /nfs/dbraw/zinc/68/33/93/749683393.db2.gz MMTCMEIMRODROR-UHFFFAOYSA-N 1 2 324.388 1.252 20 30 DDEDLO O=C(N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccccc1)c1ncc[nH]1 ZINC001095393128 749692826 /nfs/dbraw/zinc/69/28/26/749692826.db2.gz UXINECBUVCKVIX-IKGGRYGDSA-N 1 2 320.396 1.797 20 30 DDEDLO O=C(N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccccc1)c1ncc[nH]1 ZINC001095393128 749692829 /nfs/dbraw/zinc/69/28/29/749692829.db2.gz UXINECBUVCKVIX-IKGGRYGDSA-N 1 2 320.396 1.797 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001033723939 749776726 /nfs/dbraw/zinc/77/67/26/749776726.db2.gz REWUXZQZVQWQPR-GXTWGEPZSA-N 1 2 310.829 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001033723939 749776730 /nfs/dbraw/zinc/77/67/30/749776730.db2.gz REWUXZQZVQWQPR-GXTWGEPZSA-N 1 2 310.829 1.809 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)CC2)cn1 ZINC001066757833 749950919 /nfs/dbraw/zinc/95/09/19/749950919.db2.gz ARMJXHQEPXLMOG-ZDUSSCGKSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCCCC(=O)NC/C=C\CNc1[nH+]cnc2c1cnn2C ZINC001107477291 750016293 /nfs/dbraw/zinc/01/62/93/750016293.db2.gz HQAIWUAIZUHMMP-SREVYHEPSA-N 1 2 314.393 1.804 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001033887191 750059611 /nfs/dbraw/zinc/05/96/11/750059611.db2.gz HZYCWEWSNNWWTK-CQSZACIVSA-N 1 2 323.396 1.698 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001033887191 750059619 /nfs/dbraw/zinc/05/96/19/750059619.db2.gz HZYCWEWSNNWWTK-CQSZACIVSA-N 1 2 323.396 1.698 20 30 DDEDLO C[C@H](CC(=O)NC/C=C/CNc1ccncc1C#N)n1cc[nH+]c1 ZINC001107545849 750110768 /nfs/dbraw/zinc/11/07/68/750110768.db2.gz OTXXVFINTXIJMI-BAABZTOOSA-N 1 2 324.388 1.307 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCCC[N@H+](Cc3ccon3)C2)cn1 ZINC001034492621 750480370 /nfs/dbraw/zinc/48/03/70/750480370.db2.gz GUVCTYMKWDSAEX-MRXNPFEDSA-N 1 2 324.384 1.835 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCCC[N@@H+](Cc3ccon3)C2)cn1 ZINC001034492621 750480373 /nfs/dbraw/zinc/48/03/73/750480373.db2.gz GUVCTYMKWDSAEX-MRXNPFEDSA-N 1 2 324.384 1.835 20 30 DDEDLO CC1(Nc2ccc(C#N)nc2)CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001067297952 762045785 /nfs/dbraw/zinc/04/57/85/762045785.db2.gz GNWDYNIVWLBTOQ-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2CC2(F)F)c1 ZINC001032391669 750523837 /nfs/dbraw/zinc/52/38/37/750523837.db2.gz HHXNSAVWOFPUPN-KKUMJFAQSA-N 1 2 317.339 1.999 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2CC2(F)F)c1 ZINC001032391669 750523839 /nfs/dbraw/zinc/52/38/39/750523839.db2.gz HHXNSAVWOFPUPN-KKUMJFAQSA-N 1 2 317.339 1.999 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)CC1CC1)CC2 ZINC001127917272 750613845 /nfs/dbraw/zinc/61/38/45/750613845.db2.gz BXDGFXPRMANMRJ-CQSZACIVSA-N 1 2 315.421 1.012 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(C)nc2)C1 ZINC001108401304 762054181 /nfs/dbraw/zinc/05/41/81/762054181.db2.gz PTAVHAUSORQSKZ-QGZVFWFLSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(C)nc2)C1 ZINC001108401304 762054191 /nfs/dbraw/zinc/05/41/91/762054191.db2.gz PTAVHAUSORQSKZ-QGZVFWFLSA-N 1 2 303.406 1.326 20 30 DDEDLO C[C@]1(CNC(=O)C#CC2CC2)C[N@H+](CCOCC2CC2)CCO1 ZINC001107933156 750668072 /nfs/dbraw/zinc/66/80/72/750668072.db2.gz ZNAYVZORYIVOAJ-SFHVURJKSA-N 1 2 320.433 1.034 20 30 DDEDLO C[C@]1(CNC(=O)C#CC2CC2)C[N@@H+](CCOCC2CC2)CCO1 ZINC001107933156 750668077 /nfs/dbraw/zinc/66/80/77/750668077.db2.gz ZNAYVZORYIVOAJ-SFHVURJKSA-N 1 2 320.433 1.034 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc[nH]c2CC)[C@H](O)C1 ZINC001090247918 750753656 /nfs/dbraw/zinc/75/36/56/750753656.db2.gz YHPSFGFKEYPSJK-UONOGXRCSA-N 1 2 311.813 1.495 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc[nH]c2CC)[C@H](O)C1 ZINC001090247918 750753658 /nfs/dbraw/zinc/75/36/58/750753658.db2.gz YHPSFGFKEYPSJK-UONOGXRCSA-N 1 2 311.813 1.495 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC2CC(OCC)C2)C1 ZINC001108156057 750858337 /nfs/dbraw/zinc/85/83/37/750858337.db2.gz WWMUEQWPVLYETJ-LEOMRAHMSA-N 1 2 322.449 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC2CC(OCC)C2)C1 ZINC001108156057 750858347 /nfs/dbraw/zinc/85/83/47/750858347.db2.gz WWMUEQWPVLYETJ-LEOMRAHMSA-N 1 2 322.449 1.422 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(CC)nn(C)c1CC ZINC001032451375 750868575 /nfs/dbraw/zinc/86/85/75/750868575.db2.gz DZXAOKYOFLMHOC-KBPBESRZSA-N 1 2 314.433 1.006 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(CC)nn(C)c1CC ZINC001032451375 750868586 /nfs/dbraw/zinc/86/85/86/750868586.db2.gz DZXAOKYOFLMHOC-KBPBESRZSA-N 1 2 314.433 1.006 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(C(F)F)CCC2)C1 ZINC001108158244 750876088 /nfs/dbraw/zinc/87/60/88/750876088.db2.gz CYYVXWXTOUVBNG-AWEZNQCLSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(C(F)F)CCC2)C1 ZINC001108158244 750876096 /nfs/dbraw/zinc/87/60/96/750876096.db2.gz CYYVXWXTOUVBNG-AWEZNQCLSA-N 1 2 302.365 1.815 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2c1ccc(C)c2C ZINC001032454297 750882565 /nfs/dbraw/zinc/88/25/65/750882565.db2.gz LQWUZMCPNAELKE-GJZGRUSLSA-N 1 2 308.385 1.483 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2c1ccc(C)c2C ZINC001032454297 750882573 /nfs/dbraw/zinc/88/25/73/750882573.db2.gz LQWUZMCPNAELKE-GJZGRUSLSA-N 1 2 308.385 1.483 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ncccc2OC)C1 ZINC001108162326 750911193 /nfs/dbraw/zinc/91/11/93/750911193.db2.gz SDTDHZZFTVHQAK-KRWDZBQOSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ncccc2OC)C1 ZINC001108162326 750911196 /nfs/dbraw/zinc/91/11/96/750911196.db2.gz SDTDHZZFTVHQAK-KRWDZBQOSA-N 1 2 319.405 1.026 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(C(F)F)no1 ZINC001034886675 750984018 /nfs/dbraw/zinc/98/40/18/750984018.db2.gz NGADOVCYVSDQBV-RKDXNWHRSA-N 1 2 313.308 1.247 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@H]2CCCN2C(=O)[C@@H](C)C#N)n1 ZINC001034886956 750985021 /nfs/dbraw/zinc/98/50/21/750985021.db2.gz PWZJAEMEAIYRCA-NWDGAFQWSA-N 1 2 305.382 1.262 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncn2ccc(C)cc12 ZINC001032487253 751018465 /nfs/dbraw/zinc/01/84/65/751018465.db2.gz JFKZTAUZZJOQQK-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncn2ccc(C)cc12 ZINC001032487253 751018469 /nfs/dbraw/zinc/01/84/69/751018469.db2.gz JFKZTAUZZJOQQK-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114718826 751020429 /nfs/dbraw/zinc/02/04/29/751020429.db2.gz VNWRNLKDASMTCQ-YYWXWVFPSA-N 1 2 315.421 1.414 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114718826 751020436 /nfs/dbraw/zinc/02/04/36/751020436.db2.gz VNWRNLKDASMTCQ-YYWXWVFPSA-N 1 2 315.421 1.414 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114723268 751022240 /nfs/dbraw/zinc/02/22/40/751022240.db2.gz VMZYFXGYXSKDDL-BCUIYNNISA-N 1 2 318.421 1.781 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114723268 751022245 /nfs/dbraw/zinc/02/22/45/751022245.db2.gz VMZYFXGYXSKDDL-BCUIYNNISA-N 1 2 318.421 1.781 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[C@@H]2C[NH2+]Cc2cnsn2)[nH]1 ZINC001034910025 751029922 /nfs/dbraw/zinc/02/99/22/751029922.db2.gz VRLZVDYKCIMJAQ-GFCCVEGCSA-N 1 2 316.390 1.132 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(CC)ccn2)C1 ZINC001107965413 751089630 /nfs/dbraw/zinc/08/96/30/751089630.db2.gz XKNCVISUZIRVQL-KRWDZBQOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(CC)ccn2)C1 ZINC001107965413 751089636 /nfs/dbraw/zinc/08/96/36/751089636.db2.gz XKNCVISUZIRVQL-KRWDZBQOSA-N 1 2 303.406 1.651 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(C)nc(CC)nc1C ZINC001032528146 751199051 /nfs/dbraw/zinc/19/90/51/751199051.db2.gz GONDBAXGHPGNAM-GJZGRUSLSA-N 1 2 312.417 1.117 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(C)nc(CC)nc1C ZINC001032528146 751199057 /nfs/dbraw/zinc/19/90/57/751199057.db2.gz GONDBAXGHPGNAM-GJZGRUSLSA-N 1 2 312.417 1.117 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H]1CCN(C)C1=O ZINC001032560309 751334144 /nfs/dbraw/zinc/33/41/44/751334144.db2.gz UWJPXYNEBDZLNV-IHRRRGAJSA-N 1 2 305.422 1.105 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H]1CCN(C)C1=O ZINC001032560309 751334150 /nfs/dbraw/zinc/33/41/50/751334150.db2.gz UWJPXYNEBDZLNV-IHRRRGAJSA-N 1 2 305.422 1.105 20 30 DDEDLO Cc1cc(N(C)[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001056936293 762134160 /nfs/dbraw/zinc/13/41/60/762134160.db2.gz YSCYELGKRRUMFR-OAHLLOKOSA-N 1 2 324.388 1.196 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2cccc(OC)c12 ZINC001032686572 752725377 /nfs/dbraw/zinc/72/53/77/752725377.db2.gz ZIMOOMRHAZMJIP-KBPBESRZSA-N 1 2 324.384 1.265 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2cccc(OC)c12 ZINC001032686572 752725378 /nfs/dbraw/zinc/72/53/78/752725378.db2.gz ZIMOOMRHAZMJIP-KBPBESRZSA-N 1 2 324.384 1.265 20 30 DDEDLO N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001032712027 752815399 /nfs/dbraw/zinc/81/53/99/752815399.db2.gz CXRKWRIPFVQPKV-HOTGVXAUSA-N 1 2 307.357 1.295 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001009189017 753103275 /nfs/dbraw/zinc/10/32/75/753103275.db2.gz NZAUIQLSCVTOEJ-OCCSQVGLSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001009189017 753103280 /nfs/dbraw/zinc/10/32/80/753103280.db2.gz NZAUIQLSCVTOEJ-OCCSQVGLSA-N 1 2 322.840 1.848 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC2(C1)CCOCC2 ZINC001032731623 753381511 /nfs/dbraw/zinc/38/15/11/753381511.db2.gz MWWVSJYCGBDYTG-HOTGVXAUSA-N 1 2 302.418 1.502 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC2(C1)CCOCC2 ZINC001032731623 753381513 /nfs/dbraw/zinc/38/15/13/753381513.db2.gz MWWVSJYCGBDYTG-HOTGVXAUSA-N 1 2 302.418 1.502 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001077928775 753437229 /nfs/dbraw/zinc/43/72/29/753437229.db2.gz QGYJKZYMEZJWRB-KGLIPLIRSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001077928775 753437231 /nfs/dbraw/zinc/43/72/31/753437231.db2.gz QGYJKZYMEZJWRB-KGLIPLIRSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001108177967 753521997 /nfs/dbraw/zinc/52/19/97/753521997.db2.gz CKXJCQJTYDRAFA-YPMHNXCESA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001108177967 753522012 /nfs/dbraw/zinc/52/20/12/753522012.db2.gz CKXJCQJTYDRAFA-YPMHNXCESA-N 1 2 308.344 1.968 20 30 DDEDLO Cn1nccc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001010362548 753810709 /nfs/dbraw/zinc/81/07/09/753810709.db2.gz SYPLRCHSRDSCIA-INIZCTEOSA-N 1 2 308.385 1.276 20 30 DDEDLO Cn1nccc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001010362548 753810715 /nfs/dbraw/zinc/81/07/15/753810715.db2.gz SYPLRCHSRDSCIA-INIZCTEOSA-N 1 2 308.385 1.276 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(C(C)(C)C)s2)C1 ZINC001078235398 753870962 /nfs/dbraw/zinc/87/09/62/753870962.db2.gz QQVORLUDTQGJES-GHMZBOCLSA-N 1 2 309.435 1.402 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(C(C)(C)C)s2)C1 ZINC001078235398 753870968 /nfs/dbraw/zinc/87/09/68/753870968.db2.gz QQVORLUDTQGJES-GHMZBOCLSA-N 1 2 309.435 1.402 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)c[nH]1 ZINC001010685773 754098398 /nfs/dbraw/zinc/09/83/98/754098398.db2.gz AQEKZEZOOGELAL-MRXNPFEDSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c[nH]1 ZINC001010685773 754098405 /nfs/dbraw/zinc/09/84/05/754098405.db2.gz AQEKZEZOOGELAL-MRXNPFEDSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1cnccc1N[C@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001063376594 754124244 /nfs/dbraw/zinc/12/42/44/754124244.db2.gz PBMDOWRWEKHKNZ-SHTZXODSSA-N 1 2 324.388 1.111 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001063496500 754193103 /nfs/dbraw/zinc/19/31/03/754193103.db2.gz CHXOHAODLBNPIS-CQSZACIVSA-N 1 2 310.361 1.253 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H]2CNc2cc[nH+]c(C)n2)cn1 ZINC001063503967 754196862 /nfs/dbraw/zinc/19/68/62/754196862.db2.gz XMJOPZAUYWBGNX-MRXNPFEDSA-N 1 2 321.384 1.878 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)CC[C@@H]1Nc1ccc(C#N)nc1 ZINC001063761167 754357768 /nfs/dbraw/zinc/35/77/68/754357768.db2.gz VUWKGLKLEIOZNH-WFASDCNBSA-N 1 2 324.388 1.568 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCC[C@H]2NC(=O)Cc2c[nH]c[nH+]2)nc1 ZINC001064140993 754537461 /nfs/dbraw/zinc/53/74/61/754537461.db2.gz PVMQBUUNNFWFBP-UKRRQHHQSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cnccc2C)[C@H](O)C1 ZINC001090332678 754955284 /nfs/dbraw/zinc/95/52/84/754955284.db2.gz CGHMKCPGTCNUMS-HUUCEWRRSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cnccc2C)[C@H](O)C1 ZINC001090332678 754955288 /nfs/dbraw/zinc/95/52/88/754955288.db2.gz CGHMKCPGTCNUMS-HUUCEWRRSA-N 1 2 323.824 1.236 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001065018791 755022844 /nfs/dbraw/zinc/02/28/44/755022844.db2.gz XHADNENXBDGXFQ-AWEZNQCLSA-N 1 2 310.361 1.322 20 30 DDEDLO Cc1nc(NC[C@@H]2CCCN2C(=O)Cc2c[nH+]c[nH]2)ccc1C#N ZINC001065018286 755022930 /nfs/dbraw/zinc/02/29/30/755022930.db2.gz HSXZJNXRCOVYST-HNNXBMFYSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C2CCC(O)CC2)C1 ZINC001079632190 755486426 /nfs/dbraw/zinc/48/64/26/755486426.db2.gz QKTZHZHLDOOSCP-WKIYYKSKSA-N 1 2 300.830 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C2CCC(O)CC2)C1 ZINC001079632190 755486428 /nfs/dbraw/zinc/48/64/28/755486428.db2.gz QKTZHZHLDOOSCP-WKIYYKSKSA-N 1 2 300.830 1.727 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080032393 755692275 /nfs/dbraw/zinc/69/22/75/755692275.db2.gz ZYHAAJJWQOJAIC-KGLIPLIRSA-N 1 2 318.421 1.401 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2[nH]nc3c2CCCC3)C1 ZINC001014446613 755739767 /nfs/dbraw/zinc/73/97/67/755739767.db2.gz IJAFDACACPGIIF-LBPRGKRZSA-N 1 2 322.840 1.774 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2[nH]nc3c2CCCC3)C1 ZINC001014446613 755739770 /nfs/dbraw/zinc/73/97/70/755739770.db2.gz IJAFDACACPGIIF-LBPRGKRZSA-N 1 2 322.840 1.774 20 30 DDEDLO C=CC(C)(C)C(=O)N(CC)C1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001080220630 755771022 /nfs/dbraw/zinc/77/10/22/755771022.db2.gz OUALCTOIJQVRHR-UHFFFAOYSA-N 1 2 318.421 1.532 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080291814 755830258 /nfs/dbraw/zinc/83/02/58/755830258.db2.gz UMHUKCXJKGQPLY-QWHCGFSZSA-N 1 2 318.421 1.470 20 30 DDEDLO C[C@@H](CNc1nc(Cl)c(C#N)s1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001108485559 762435270 /nfs/dbraw/zinc/43/52/70/762435270.db2.gz BYVVDIPGQJSCBB-ZETCQYMHSA-N 1 2 324.797 1.551 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001080698621 756058110 /nfs/dbraw/zinc/05/81/10/756058110.db2.gz BHZDAKWMIOQNDO-WOSRLPQWSA-N 1 2 314.433 1.608 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001080698621 756058115 /nfs/dbraw/zinc/05/81/15/756058115.db2.gz BHZDAKWMIOQNDO-WOSRLPQWSA-N 1 2 314.433 1.608 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001080869724 756132305 /nfs/dbraw/zinc/13/23/05/756132305.db2.gz WFPVNAUVZLMJJY-RTXFEEFZSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001080869724 756132311 /nfs/dbraw/zinc/13/23/11/756132311.db2.gz WFPVNAUVZLMJJY-RTXFEEFZSA-N 1 2 313.829 1.186 20 30 DDEDLO Cn1cncc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015136001 756140246 /nfs/dbraw/zinc/14/02/46/756140246.db2.gz KRCIKSZEUAVFJK-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cncc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015136001 756140247 /nfs/dbraw/zinc/14/02/47/756140247.db2.gz KRCIKSZEUAVFJK-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO N#CCN1CCC[C@@]2(CCN(C(=O)CCc3c[nH]c[nH+]3)C2)C1 ZINC001040236490 762451804 /nfs/dbraw/zinc/45/18/04/762451804.db2.gz NLTMWPFTUMZNSP-MRXNPFEDSA-N 1 2 301.394 1.180 20 30 DDEDLO N#CCN1CCC[C@@]2(CCN(C(=O)CCc3c[nH+]c[nH]3)C2)C1 ZINC001040236490 762451806 /nfs/dbraw/zinc/45/18/06/762451806.db2.gz NLTMWPFTUMZNSP-MRXNPFEDSA-N 1 2 301.394 1.180 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)CC1CC1 ZINC001081266086 756260997 /nfs/dbraw/zinc/26/09/97/756260997.db2.gz RYMROWGXYLXGOC-HUUCEWRRSA-N 1 2 319.430 1.735 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)CC1CC1 ZINC001081266086 756261001 /nfs/dbraw/zinc/26/10/01/756261001.db2.gz RYMROWGXYLXGOC-HUUCEWRRSA-N 1 2 319.430 1.735 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3nc(C)nn3C2)C1 ZINC001015364327 756265555 /nfs/dbraw/zinc/26/55/55/756265555.db2.gz FAMABJQNDHDEOE-CHWSQXEVSA-N 1 2 323.828 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3nc(C)nn3C2)C1 ZINC001015364327 756265557 /nfs/dbraw/zinc/26/55/57/756265557.db2.gz FAMABJQNDHDEOE-CHWSQXEVSA-N 1 2 323.828 1.092 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1OC ZINC001081242045 756277851 /nfs/dbraw/zinc/27/78/51/756277851.db2.gz WKVVPLUEWGLALB-HUUCEWRRSA-N 1 2 305.353 1.423 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1OC ZINC001081242045 756277855 /nfs/dbraw/zinc/27/78/55/756277855.db2.gz WKVVPLUEWGLALB-HUUCEWRRSA-N 1 2 305.353 1.423 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2F)[C@H](OC)C1 ZINC001081916962 756534497 /nfs/dbraw/zinc/53/44/97/756534497.db2.gz MNKKTGFRSRLCMH-ZIAGYGMSSA-N 1 2 310.756 1.541 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2F)[C@H](OC)C1 ZINC001081916962 756534500 /nfs/dbraw/zinc/53/45/00/756534500.db2.gz MNKKTGFRSRLCMH-ZIAGYGMSSA-N 1 2 310.756 1.541 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1CNC(=O)Cc1[nH]c[nH+]c1C ZINC001081946382 756557969 /nfs/dbraw/zinc/55/79/69/756557969.db2.gz CBGFYUKGHZPVNW-AWEZNQCLSA-N 1 2 318.421 1.724 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001082148382 756653468 /nfs/dbraw/zinc/65/34/68/756653468.db2.gz SYJBXTQGGANGEQ-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCCN1C(=O)Cc1c[nH+]cn1C ZINC001082178546 756663992 /nfs/dbraw/zinc/66/39/92/756663992.db2.gz JTODELDXQMUKSL-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001015983713 756697483 /nfs/dbraw/zinc/69/74/83/756697483.db2.gz FSBGKJDWNUOMRQ-LLVKDONJSA-N 1 2 308.813 1.465 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001015983713 756697488 /nfs/dbraw/zinc/69/74/88/756697488.db2.gz FSBGKJDWNUOMRQ-LLVKDONJSA-N 1 2 308.813 1.465 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@]2(C)CCC(C)=C(C)C2)[C@H](OC)C1 ZINC001082390287 756765574 /nfs/dbraw/zinc/76/55/74/756765574.db2.gz HZELQCOXNGTPPV-JFIYKMOQSA-N 1 2 304.434 1.962 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@]2(C)CCC(C)=C(C)C2)[C@H](OC)C1 ZINC001082390287 756765576 /nfs/dbraw/zinc/76/55/76/756765576.db2.gz HZELQCOXNGTPPV-JFIYKMOQSA-N 1 2 304.434 1.962 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2csc(CC(C)C)n2)[C@H](OC)C1 ZINC001082395761 756768528 /nfs/dbraw/zinc/76/85/28/756768528.db2.gz QJYUNDWBVXXXRZ-TZMCWYRMSA-N 1 2 321.446 1.404 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2csc(CC(C)C)n2)[C@H](OC)C1 ZINC001082395761 756768531 /nfs/dbraw/zinc/76/85/31/756768531.db2.gz QJYUNDWBVXXXRZ-TZMCWYRMSA-N 1 2 321.446 1.404 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001067140080 756807428 /nfs/dbraw/zinc/80/74/28/756807428.db2.gz QOXWFJLBWWQTJN-WCQYABFASA-N 1 2 310.361 1.178 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3OCCN(C(=O)C4CC4)[C@H]3C2)s1 ZINC001082973221 756997920 /nfs/dbraw/zinc/99/79/20/756997920.db2.gz NQWFBUMCPGOFQF-LSDHHAIUSA-N 1 2 317.414 1.441 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3OCCN(C(=O)C4CC4)[C@H]3C2)s1 ZINC001082973221 756997931 /nfs/dbraw/zinc/99/79/31/756997931.db2.gz NQWFBUMCPGOFQF-LSDHHAIUSA-N 1 2 317.414 1.441 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001097316559 757086346 /nfs/dbraw/zinc/08/63/46/757086346.db2.gz OESJTEJTTDKFAD-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3CC[C@@H]4C[C@@H]4CC3)[C@H]2C1 ZINC001083151045 757160472 /nfs/dbraw/zinc/16/04/72/757160472.db2.gz FQQJDYOJEFEIKW-BQJWPVKWSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3CC[C@@H]4C[C@@H]4CC3)[C@H]2C1 ZINC001083151045 757160474 /nfs/dbraw/zinc/16/04/74/757160474.db2.gz FQQJDYOJEFEIKW-BQJWPVKWSA-N 1 2 302.418 1.358 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3nc(C)ccc3o2)[C@@H](O)C1 ZINC001083976657 757225872 /nfs/dbraw/zinc/22/58/72/757225872.db2.gz AJAJSLHOYJTUJS-OLZOCXBDSA-N 1 2 301.346 1.097 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3nc(C)ccc3o2)[C@@H](O)C1 ZINC001083976657 757225880 /nfs/dbraw/zinc/22/58/80/757225880.db2.gz AJAJSLHOYJTUJS-OLZOCXBDSA-N 1 2 301.346 1.097 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)C[C@](C)(O)C3CC3)[C@@H]2C1 ZINC001084290124 757444520 /nfs/dbraw/zinc/44/45/20/757444520.db2.gz LBRMNANZIUBFBO-XPKDYRNWSA-N 1 2 312.841 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)C[C@](C)(O)C3CC3)[C@@H]2C1 ZINC001084290124 757444522 /nfs/dbraw/zinc/44/45/22/757444522.db2.gz LBRMNANZIUBFBO-XPKDYRNWSA-N 1 2 312.841 1.823 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(C[C@@H]2NC(=O)Cn2ccnc2C)CC1 ZINC001016973898 757522649 /nfs/dbraw/zinc/52/26/49/757522649.db2.gz GYLJNIUUIAYAHB-AWEZNQCLSA-N 1 2 322.840 1.915 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3ccnn3C)[C@@H]2C1 ZINC001084381936 757529998 /nfs/dbraw/zinc/52/99/98/757529998.db2.gz GJTXDVTVFWDEQS-UKRRQHHQSA-N 1 2 322.840 1.638 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3ccnn3C)[C@@H]2C1 ZINC001084381936 757530012 /nfs/dbraw/zinc/53/00/12/757530012.db2.gz GJTXDVTVFWDEQS-UKRRQHHQSA-N 1 2 322.840 1.638 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](Cc3nnc(C)[nH]3)CC2)C1 ZINC001052692423 757652137 /nfs/dbraw/zinc/65/21/37/757652137.db2.gz BUNFGRKXJPMWGS-CQSZACIVSA-N 1 2 317.437 1.940 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](Cc3nnc(C)[nH]3)CC2)C1 ZINC001052692423 757652142 /nfs/dbraw/zinc/65/21/42/757652142.db2.gz BUNFGRKXJPMWGS-CQSZACIVSA-N 1 2 317.437 1.940 20 30 DDEDLO CCC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](Nc2ccc(C#N)cn2)C1 ZINC001052711667 757675938 /nfs/dbraw/zinc/67/59/38/757675938.db2.gz WGCKFFJYPRLPQX-ZIAGYGMSSA-N 1 2 324.388 1.503 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CC2CC2)C1=O ZINC001017289939 757792871 /nfs/dbraw/zinc/79/28/71/757792871.db2.gz ISXSUERKYGECDF-XHSDSOJGSA-N 1 2 317.433 1.249 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CC2CC2)C1=O ZINC001017289939 757792879 /nfs/dbraw/zinc/79/28/79/757792879.db2.gz ISXSUERKYGECDF-XHSDSOJGSA-N 1 2 317.433 1.249 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COC[C@H]1c1ccccc1 ZINC001017675101 758144738 /nfs/dbraw/zinc/14/47/38/758144738.db2.gz HPWJGPURQSVEAL-ZSYWTGECSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COC[C@H]1c1ccccc1 ZINC001017675101 758144740 /nfs/dbraw/zinc/14/47/40/758144740.db2.gz HPWJGPURQSVEAL-ZSYWTGECSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nc2ccnn2c1C ZINC001017686033 758152578 /nfs/dbraw/zinc/15/25/78/758152578.db2.gz IHLDIZGGELKDAB-GASCZTMLSA-N 1 2 323.400 1.268 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nc2ccnn2c1C ZINC001017686033 758152583 /nfs/dbraw/zinc/15/25/83/758152583.db2.gz IHLDIZGGELKDAB-GASCZTMLSA-N 1 2 323.400 1.268 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1ccncc1 ZINC001017705767 758169495 /nfs/dbraw/zinc/16/94/95/758169495.db2.gz GFFQDSLKHADDBC-GASCZTMLSA-N 1 2 321.384 1.394 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1ccncc1 ZINC001017705767 758169498 /nfs/dbraw/zinc/16/94/98/758169498.db2.gz GFFQDSLKHADDBC-GASCZTMLSA-N 1 2 321.384 1.394 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(OC)ccnc1OC ZINC001017728238 758187611 /nfs/dbraw/zinc/18/76/11/758187611.db2.gz HQOPLOYKEDUYFB-BETUJISGSA-N 1 2 317.389 1.574 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(OC)ccnc1OC ZINC001017728238 758187614 /nfs/dbraw/zinc/18/76/14/758187614.db2.gz HQOPLOYKEDUYFB-BETUJISGSA-N 1 2 317.389 1.574 20 30 DDEDLO N#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001017777082 758228023 /nfs/dbraw/zinc/22/80/23/758228023.db2.gz OWAYQSPYZQACDE-CALCHBBNSA-N 1 2 321.384 1.685 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2ccnc2)nc1 ZINC001017783258 758236684 /nfs/dbraw/zinc/23/66/84/758236684.db2.gz JJWLIBLLHLBBGL-IYBDPMFKSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2ccnc2)nc1 ZINC001017783258 758236688 /nfs/dbraw/zinc/23/66/88/758236688.db2.gz JJWLIBLLHLBBGL-IYBDPMFKSA-N 1 2 321.384 1.189 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1Cc3ccccc31)CCO2 ZINC001053402755 758434469 /nfs/dbraw/zinc/43/44/69/758434469.db2.gz MOVMAWIGIYENIB-KRWDZBQOSA-N 1 2 312.413 1.816 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1conc1C1CC1)CCO2 ZINC001053424914 758451448 /nfs/dbraw/zinc/45/14/48/758451448.db2.gz QXYQVFVUWGNGPY-UHFFFAOYSA-N 1 2 317.389 1.655 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC001018036107 758494827 /nfs/dbraw/zinc/49/48/27/758494827.db2.gz FIBSKKHPCHNGPR-BSWVEEBUSA-N 1 2 306.409 1.881 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC001018036107 758494830 /nfs/dbraw/zinc/49/48/30/758494830.db2.gz FIBSKKHPCHNGPR-BSWVEEBUSA-N 1 2 306.409 1.881 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nc[nH]c21 ZINC001018090369 758540469 /nfs/dbraw/zinc/54/04/69/758540469.db2.gz QVTHQNVSPFBLCF-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nc[nH]c21 ZINC001018090369 758540477 /nfs/dbraw/zinc/54/04/77/758540477.db2.gz QVTHQNVSPFBLCF-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)CCCC)CC2=O)C1 ZINC001108541255 762642028 /nfs/dbraw/zinc/64/20/28/762642028.db2.gz RPECPAPYLLAYGN-LSDHHAIUSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)on1)O2 ZINC001053565013 758570730 /nfs/dbraw/zinc/57/07/30/758570730.db2.gz KMDRJGYZAGNLLQ-CYBMUJFWSA-N 1 2 305.378 1.522 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1Cl ZINC001018166109 758594965 /nfs/dbraw/zinc/59/49/65/758594965.db2.gz DGNULPMSFSMWHW-TXEJJXNPSA-N 1 2 306.797 1.386 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1Cl ZINC001018166109 758594973 /nfs/dbraw/zinc/59/49/73/758594973.db2.gz DGNULPMSFSMWHW-TXEJJXNPSA-N 1 2 306.797 1.386 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccnc(F)c1)O2 ZINC001053582879 758595780 /nfs/dbraw/zinc/59/57/80/758595780.db2.gz OJEKLQLWUXIKSZ-CYBMUJFWSA-N 1 2 305.353 1.370 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1coc(OC)n1)O2 ZINC001053638840 758653691 /nfs/dbraw/zinc/65/36/91/758653691.db2.gz XYKXNSLNRKGBAP-GFCCVEGCSA-N 1 2 321.377 1.223 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC3CC3)C2)CC1 ZINC001065681930 758700199 /nfs/dbraw/zinc/70/01/99/758700199.db2.gz ZPLLRCHAEWKUPL-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO CCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)CC1 ZINC001065703455 758704099 /nfs/dbraw/zinc/70/40/99/758704099.db2.gz CPVBFDOFIGDILP-OAHLLOKOSA-N 1 2 319.449 1.191 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(CC)n(C)n1)O2 ZINC001053705043 758713476 /nfs/dbraw/zinc/71/34/76/758713476.db2.gz VAXSTUXIEAVJMA-CQSZACIVSA-N 1 2 318.421 1.132 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1cccs1)CO2 ZINC001053723423 758740056 /nfs/dbraw/zinc/74/00/56/758740056.db2.gz LEPHWPLVKAKIEK-CYBMUJFWSA-N 1 2 306.431 1.826 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)nc1)CO2 ZINC001053742438 758757437 /nfs/dbraw/zinc/75/74/37/758757437.db2.gz WATOLOWIFMNATG-HNNXBMFYSA-N 1 2 301.390 1.539 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnc(C)s1)CO2 ZINC001053757831 758776381 /nfs/dbraw/zinc/77/63/81/758776381.db2.gz FKDASFVKMXZZBK-GFCCVEGCSA-N 1 2 307.419 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)[nH]nc1Cl)CO2 ZINC001053824229 758854906 /nfs/dbraw/zinc/85/49/06/758854906.db2.gz XBWAQLUQOCAIDS-NSHDSACASA-N 1 2 324.812 1.521 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC[C@@H]3C[C@@H]3CC1)CO2 ZINC001053879318 758913296 /nfs/dbraw/zinc/91/32/96/758913296.db2.gz NLPSVQNHRUIDPM-YYIAUSFCSA-N 1 2 316.445 1.796 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2COC3(C[NH+](C[C@H](C)OC)C3)C2)CC1 ZINC001053914031 758947691 /nfs/dbraw/zinc/94/76/91/758947691.db2.gz DTZOOBWIAQFOMD-HOCLYGCPSA-N 1 2 322.449 1.727 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCC(C)(C)C1)CO2 ZINC001053921014 758956120 /nfs/dbraw/zinc/95/61/20/758956120.db2.gz WXTWRKHPJJOEQV-HUUCEWRRSA-N 1 2 304.434 1.796 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]c3ncccc13)CO2 ZINC001054009821 759057507 /nfs/dbraw/zinc/05/75/07/759057507.db2.gz FNMSLPFDEADQHD-CYBMUJFWSA-N 1 2 324.384 1.159 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn(C)c1C ZINC001054032729 759086515 /nfs/dbraw/zinc/08/65/15/759086515.db2.gz HUAZSQOJFRVKIH-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn(C)c1C ZINC001054032729 759086523 /nfs/dbraw/zinc/08/65/23/759086523.db2.gz HUAZSQOJFRVKIH-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1nc(Cl)c(C#N)s1 ZINC001098306484 759270287 /nfs/dbraw/zinc/27/02/87/759270287.db2.gz GQVFTBQUAHKNLJ-ZETCQYMHSA-N 1 2 324.797 1.551 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccn(C)c(=O)c3)cc2C1 ZINC001054271263 759375962 /nfs/dbraw/zinc/37/59/62/759375962.db2.gz JWBBGPQGJRWPAK-UHFFFAOYSA-N 1 2 321.380 1.264 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccn(C)c(=O)c3)cc2C1 ZINC001054271263 759375973 /nfs/dbraw/zinc/37/59/73/759375973.db2.gz JWBBGPQGJRWPAK-UHFFFAOYSA-N 1 2 321.380 1.264 20 30 DDEDLO C#CCC[N@H+]1Cc2ccc(CNC(=O)C3=NC(=O)N(C)C3)cc2C1 ZINC001054279843 759387662 /nfs/dbraw/zinc/38/76/62/759387662.db2.gz PNBMDZZYNYAHIX-UHFFFAOYSA-N 1 2 324.384 1.395 20 30 DDEDLO C#CCC[N@@H+]1Cc2ccc(CNC(=O)C3=NC(=O)N(C)C3)cc2C1 ZINC001054279843 759387674 /nfs/dbraw/zinc/38/76/74/759387674.db2.gz PNBMDZZYNYAHIX-UHFFFAOYSA-N 1 2 324.384 1.395 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001069138764 767875255 /nfs/dbraw/zinc/87/52/55/767875255.db2.gz YFIWJVRCOJKHPK-YPMHNXCESA-N 1 2 310.361 1.320 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccccn1)C(=O)c1c[nH]c(C#N)c1 ZINC001085552133 759691364 /nfs/dbraw/zinc/69/13/64/759691364.db2.gz VMDUGVKMHBGDGN-MRXNPFEDSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccccn1)C(=O)c1c[nH]c(C#N)c1 ZINC001085552133 759691371 /nfs/dbraw/zinc/69/13/71/759691371.db2.gz VMDUGVKMHBGDGN-MRXNPFEDSA-N 1 2 309.373 1.628 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001085596820 759805885 /nfs/dbraw/zinc/80/58/85/759805885.db2.gz JZHKNEMGGPMKCI-HUUCEWRRSA-N 1 2 302.422 1.938 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001085596820 759805896 /nfs/dbraw/zinc/80/58/96/759805896.db2.gz JZHKNEMGGPMKCI-HUUCEWRRSA-N 1 2 302.422 1.938 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001019332721 759813201 /nfs/dbraw/zinc/81/32/01/759813201.db2.gz WNEUUQUSHFHFBI-LRDDRELGSA-N 1 2 306.410 1.925 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1NC(C)=O ZINC001085634525 759900373 /nfs/dbraw/zinc/90/03/73/759900373.db2.gz SEOFKCRGNKAFNQ-AWEZNQCLSA-N 1 2 314.389 1.210 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1NC(C)=O ZINC001085634525 759900380 /nfs/dbraw/zinc/90/03/80/759900380.db2.gz SEOFKCRGNKAFNQ-AWEZNQCLSA-N 1 2 314.389 1.210 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)[nH]nc1C(F)(F)F ZINC001085726490 760111374 /nfs/dbraw/zinc/11/13/74/760111374.db2.gz ZMKMCMJISXKXRC-SNVBAGLBSA-N 1 2 314.311 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)[nH]nc1C(F)(F)F ZINC001085726490 760111377 /nfs/dbraw/zinc/11/13/77/760111377.db2.gz ZMKMCMJISXKXRC-SNVBAGLBSA-N 1 2 314.311 1.516 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)F)n(C)n1 ZINC001085723933 760123410 /nfs/dbraw/zinc/12/34/10/760123410.db2.gz IVABJXWWZIZHQB-LLVKDONJSA-N 1 2 310.348 1.527 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)F)n(C)n1 ZINC001085723933 760123412 /nfs/dbraw/zinc/12/34/12/760123412.db2.gz IVABJXWWZIZHQB-LLVKDONJSA-N 1 2 310.348 1.527 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)ccnc1OCC ZINC001085741649 760140116 /nfs/dbraw/zinc/14/01/16/760140116.db2.gz VSUJDINRRQCMDX-HNNXBMFYSA-N 1 2 315.417 1.958 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)ccnc1OCC ZINC001085741649 760140119 /nfs/dbraw/zinc/14/01/19/760140119.db2.gz VSUJDINRRQCMDX-HNNXBMFYSA-N 1 2 315.417 1.958 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1cnco1 ZINC001085748471 760151739 /nfs/dbraw/zinc/15/17/39/760151739.db2.gz IWDYZWWDHAWCJB-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1cnco1 ZINC001085748471 760151747 /nfs/dbraw/zinc/15/17/47/760151747.db2.gz IWDYZWWDHAWCJB-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO C#CCCN1CC[C@@H]1CN(C)C(=O)c1occc1C[NH+](C)C ZINC001085788175 760242071 /nfs/dbraw/zinc/24/20/71/760242071.db2.gz FRDGNQFHJJFOGZ-OAHLLOKOSA-N 1 2 303.406 1.511 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@@H]2CCNC2=O)CC1 ZINC001085801060 760272059 /nfs/dbraw/zinc/27/20/59/760272059.db2.gz IXAMAAOYCBXCFK-HUUCEWRRSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2[C@@H]2CCNC2=O)CC1 ZINC001085801060 760272067 /nfs/dbraw/zinc/27/20/67/760272067.db2.gz IXAMAAOYCBXCFK-HUUCEWRRSA-N 1 2 305.422 1.154 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(F)cc(OC)cc1F ZINC001085833265 760334109 /nfs/dbraw/zinc/33/41/09/760334109.db2.gz FADUEYXNLCNNLJ-NSHDSACASA-N 1 2 308.328 1.753 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(F)cc(OC)cc1F ZINC001085833265 760334120 /nfs/dbraw/zinc/33/41/20/760334120.db2.gz FADUEYXNLCNNLJ-NSHDSACASA-N 1 2 308.328 1.753 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1cnn(C)n1 ZINC001085870638 760418838 /nfs/dbraw/zinc/41/88/38/760418838.db2.gz ZHBFQGIAUMPQOG-HNNXBMFYSA-N 1 2 324.388 1.033 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1cnn(C)n1 ZINC001085870638 760418845 /nfs/dbraw/zinc/41/88/45/760418845.db2.gz ZHBFQGIAUMPQOG-HNNXBMFYSA-N 1 2 324.388 1.033 20 30 DDEDLO Cc1nc(N2CCN(C(=O)[C@H](C)C#N)CC2)c2c([nH+]1)CCCC2 ZINC001055742340 760677111 /nfs/dbraw/zinc/67/71/11/760677111.db2.gz QIRLDFPLCZCIDR-GFCCVEGCSA-N 1 2 313.405 1.472 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc2[nH]c(=O)oc21 ZINC001086006386 760704184 /nfs/dbraw/zinc/70/41/84/760704184.db2.gz DDLKOHFIGWECIV-GFCCVEGCSA-N 1 2 313.357 1.703 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc2[nH]c(=O)oc21 ZINC001086006386 760704190 /nfs/dbraw/zinc/70/41/90/760704190.db2.gz DDLKOHFIGWECIV-GFCCVEGCSA-N 1 2 313.357 1.703 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc3c2OCC3)C1 ZINC001108236360 760791405 /nfs/dbraw/zinc/79/14/05/760791405.db2.gz KHYLQSSPYQMGOC-GOSISDBHSA-N 1 2 316.401 1.628 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc3c2OCC3)C1 ZINC001108236360 760791410 /nfs/dbraw/zinc/79/14/10/760791410.db2.gz KHYLQSSPYQMGOC-GOSISDBHSA-N 1 2 316.401 1.628 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCCC(F)(F)F)C1 ZINC001108237511 760840092 /nfs/dbraw/zinc/84/00/92/760840092.db2.gz ZDULXHUHTMJSMB-CQSZACIVSA-N 1 2 320.355 1.949 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCCC(F)(F)F)C1 ZINC001108237511 760840102 /nfs/dbraw/zinc/84/01/02/760840102.db2.gz ZDULXHUHTMJSMB-CQSZACIVSA-N 1 2 320.355 1.949 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001038175547 760890877 /nfs/dbraw/zinc/89/08/77/760890877.db2.gz PPTYHGKUCMHVIJ-CQSZACIVSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001038175547 760890892 /nfs/dbraw/zinc/89/08/92/760890892.db2.gz PPTYHGKUCMHVIJ-CQSZACIVSA-N 1 2 313.361 1.496 20 30 DDEDLO N#Cc1ccc(NCC[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)nc1 ZINC001066388862 760956254 /nfs/dbraw/zinc/95/62/54/760956254.db2.gz DGPXGXFWRUHNJH-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO C[C@H]1[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ccncc1C#N ZINC001069384170 768004604 /nfs/dbraw/zinc/00/46/04/768004604.db2.gz TZGVTRPHZQSUMK-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)c1cn[nH]c(=O)c1 ZINC001038376268 761062241 /nfs/dbraw/zinc/06/22/41/761062241.db2.gz BPOFHFKFWYDREG-MRXNPFEDSA-N 1 2 322.368 1.038 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)c1cn[nH]c(=O)c1 ZINC001038376268 761062245 /nfs/dbraw/zinc/06/22/45/761062245.db2.gz BPOFHFKFWYDREG-MRXNPFEDSA-N 1 2 322.368 1.038 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001038423809 761106437 /nfs/dbraw/zinc/10/64/37/761106437.db2.gz FTUWOZPKYKICRR-OLZOCXBDSA-N 1 2 308.813 1.389 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C(CC)CC)CC1 ZINC001131369413 768014840 /nfs/dbraw/zinc/01/48/40/768014840.db2.gz FXUREJYVGCHYCK-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C(CC)CC)CC1 ZINC001131369413 768014848 /nfs/dbraw/zinc/01/48/48/768014848.db2.gz FXUREJYVGCHYCK-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccncc1OC(C)C ZINC001038553986 761193492 /nfs/dbraw/zinc/19/34/92/761193492.db2.gz FRMRVSBIECQLCT-AWEZNQCLSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccncc1OC(C)C ZINC001038553986 761193495 /nfs/dbraw/zinc/19/34/95/761193495.db2.gz FRMRVSBIECQLCT-AWEZNQCLSA-N 1 2 301.390 1.696 20 30 DDEDLO Cc1n[nH]cc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038576385 761209521 /nfs/dbraw/zinc/20/95/21/761209521.db2.gz SCZHKAIKAOTZCM-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1n[nH]cc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038576385 761209525 /nfs/dbraw/zinc/20/95/25/761209525.db2.gz SCZHKAIKAOTZCM-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001056657186 761320643 /nfs/dbraw/zinc/32/06/43/761320643.db2.gz ILFYYZVCMIPADY-CQSZACIVSA-N 1 2 310.361 1.171 20 30 DDEDLO Cc1conc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038885936 761510582 /nfs/dbraw/zinc/51/05/82/761510582.db2.gz GMJMNMQTKGRILY-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1conc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038885936 761510590 /nfs/dbraw/zinc/51/05/90/761510590.db2.gz GMJMNMQTKGRILY-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc(OCC2CC2)cn1 ZINC001038909406 761535377 /nfs/dbraw/zinc/53/53/77/761535377.db2.gz FLHZIWRLGUWLQR-CQSZACIVSA-N 1 2 314.389 1.093 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc(OCC2CC2)cn1 ZINC001038909406 761535381 /nfs/dbraw/zinc/53/53/81/761535381.db2.gz FLHZIWRLGUWLQR-CQSZACIVSA-N 1 2 314.389 1.093 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)OCCO2 ZINC001039010660 761644527 /nfs/dbraw/zinc/64/45/27/761644527.db2.gz JSXBVWNXJYIDFF-CQSZACIVSA-N 1 2 300.358 1.285 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)OCCO2 ZINC001039010660 761644531 /nfs/dbraw/zinc/64/45/31/761644531.db2.gz JSXBVWNXJYIDFF-CQSZACIVSA-N 1 2 300.358 1.285 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(CNC(C)=O)c1 ZINC001039028887 761666849 /nfs/dbraw/zinc/66/68/49/761666849.db2.gz MBLALDBFSRYRAL-QGZVFWFLSA-N 1 2 313.401 1.150 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(CNC(C)=O)c1 ZINC001039028887 761666851 /nfs/dbraw/zinc/66/68/51/761666851.db2.gz MBLALDBFSRYRAL-QGZVFWFLSA-N 1 2 313.401 1.150 20 30 DDEDLO Cc1nccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)n1 ZINC001039032075 761671532 /nfs/dbraw/zinc/67/15/32/761671532.db2.gz IVXSEYNSVGJOIN-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)n1 ZINC001039032075 761671534 /nfs/dbraw/zinc/67/15/34/761671534.db2.gz IVXSEYNSVGJOIN-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)C12CC(C(F)(F)F)(C1)C2 ZINC001039055213 761694523 /nfs/dbraw/zinc/69/45/23/761694523.db2.gz SGTDLQDQRRWQEA-XGNXJENSSA-N 1 2 300.324 1.933 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)C12CC(C(F)(F)F)(C1)C2 ZINC001039055213 761694524 /nfs/dbraw/zinc/69/45/24/761694524.db2.gz SGTDLQDQRRWQEA-XGNXJENSSA-N 1 2 300.324 1.933 20 30 DDEDLO CC1(C)CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1Nc1ncccc1C#N ZINC001069584169 768070470 /nfs/dbraw/zinc/07/04/70/768070470.db2.gz ZBCUAVOJXDRDAM-CYBMUJFWSA-N 1 2 324.388 1.568 20 30 DDEDLO CCCCCCC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncn[nH]2)C1 ZINC001108287141 761775445 /nfs/dbraw/zinc/77/54/45/761775445.db2.gz MEMNGHHCWOMKQC-MRXNPFEDSA-N 1 2 323.441 1.596 20 30 DDEDLO CCCCCCC[N@H+]1CCO[C@](C)(CNC(=O)c2ncn[nH]2)C1 ZINC001108287141 761775453 /nfs/dbraw/zinc/77/54/53/761775453.db2.gz MEMNGHHCWOMKQC-MRXNPFEDSA-N 1 2 323.441 1.596 20 30 DDEDLO CCCCCCC[N@@H+]1CCO[C@](C)(CNC(=O)c2nc[nH]n2)C1 ZINC001108287141 761775462 /nfs/dbraw/zinc/77/54/62/761775462.db2.gz MEMNGHHCWOMKQC-MRXNPFEDSA-N 1 2 323.441 1.596 20 30 DDEDLO CCCCCCC[N@H+]1CCO[C@](C)(CNC(=O)c2nc[nH]n2)C1 ZINC001108287141 761775463 /nfs/dbraw/zinc/77/54/63/761775463.db2.gz MEMNGHHCWOMKQC-MRXNPFEDSA-N 1 2 323.441 1.596 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CCCCC(C)C)CC2=O)C1 ZINC001108581289 762743725 /nfs/dbraw/zinc/74/37/25/762743725.db2.gz NCDRLYCSHVZBJC-OAHLLOKOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC(=O)NCC[N@@H+](CC=C)CC1 ZINC001131362325 768094468 /nfs/dbraw/zinc/09/44/68/768094468.db2.gz WACBEFJYMBZGRR-UHFFFAOYSA-N 1 2 319.449 1.591 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC(=O)NCC[N@H+](CC=C)CC1 ZINC001131362325 768094477 /nfs/dbraw/zinc/09/44/77/768094477.db2.gz WACBEFJYMBZGRR-UHFFFAOYSA-N 1 2 319.449 1.591 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C/C=C(/C)C=C)CC1 ZINC001131374373 768100349 /nfs/dbraw/zinc/10/03/49/768100349.db2.gz XCLUKBYBLYQJGL-UUASQNMZSA-N 1 2 305.422 1.345 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C/C=C(/C)C=C)CC1 ZINC001131374373 768100352 /nfs/dbraw/zinc/10/03/52/768100352.db2.gz XCLUKBYBLYQJGL-UUASQNMZSA-N 1 2 305.422 1.345 20 30 DDEDLO Cc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)CSCC#N)C3)on1 ZINC001108947425 763159599 /nfs/dbraw/zinc/15/95/99/763159599.db2.gz SFFVMSOSLWQWQU-XBFCOCLRSA-N 1 2 320.418 1.461 20 30 DDEDLO Cc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)CSCC#N)C3)on1 ZINC001108947425 763159606 /nfs/dbraw/zinc/15/96/06/763159606.db2.gz SFFVMSOSLWQWQU-XBFCOCLRSA-N 1 2 320.418 1.461 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC(Nc3cc[nH+]c(C)n3)CC2)cn1 ZINC001057348753 763487086 /nfs/dbraw/zinc/48/70/86/763487086.db2.gz XSWRSQFFHPKKOX-UHFFFAOYSA-N 1 2 321.384 1.878 20 30 DDEDLO CN(CCCNc1ncccc1C#N)C(=O)CCc1[nH]cc[nH+]1 ZINC001109432693 763698889 /nfs/dbraw/zinc/69/88/89/763698889.db2.gz DFRZIMZZTVMPMB-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C1CCC1)C2 ZINC001109439124 763709816 /nfs/dbraw/zinc/70/98/16/763709816.db2.gz QYSLFEBETSVSMU-OJLVUWQFSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C1CCC1)C2 ZINC001109439124 763709821 /nfs/dbraw/zinc/70/98/21/763709821.db2.gz QYSLFEBETSVSMU-OJLVUWQFSA-N 1 2 319.449 1.446 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]2CCN(C(=O)Cn3cc[nH+]c3C)C[C@@H]21 ZINC001042355480 763957503 /nfs/dbraw/zinc/95/75/03/763957503.db2.gz MXMIVYLCMIEJAS-CABCVRRESA-N 1 2 322.840 1.867 20 30 DDEDLO CC(C)(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109863254 764132503 /nfs/dbraw/zinc/13/25/03/764132503.db2.gz LVDNOLPFDPXOKB-RDBSUJKOSA-N 1 2 320.437 1.174 20 30 DDEDLO CC(C)(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109863254 764132506 /nfs/dbraw/zinc/13/25/06/764132506.db2.gz LVDNOLPFDPXOKB-RDBSUJKOSA-N 1 2 320.437 1.174 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001057629577 764177719 /nfs/dbraw/zinc/17/77/19/764177719.db2.gz CNSZZJPQIXFPMU-CQSZACIVSA-N 1 2 324.388 1.712 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)sn1 ZINC001050889177 764237115 /nfs/dbraw/zinc/23/71/15/764237115.db2.gz MNTPZBQTENTEBW-ZDUSSCGKSA-N 1 2 309.435 1.848 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)sn1 ZINC001050889177 764237119 /nfs/dbraw/zinc/23/71/19/764237119.db2.gz MNTPZBQTENTEBW-ZDUSSCGKSA-N 1 2 309.435 1.848 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H](Nc2ccncc2C#N)C1 ZINC001057674108 764297752 /nfs/dbraw/zinc/29/77/52/764297752.db2.gz BXDDACKFDBFCPZ-CQSZACIVSA-N 1 2 324.388 1.052 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001057683879 764328498 /nfs/dbraw/zinc/32/84/98/764328498.db2.gz KGHVTPZPIIJTNL-CQSZACIVSA-N 1 2 310.361 1.322 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cnn3c2C[N@H+](CCC)CC3)nc1 ZINC001069880709 768210027 /nfs/dbraw/zinc/21/00/27/768210027.db2.gz PRABQJXYMCIGPS-UHFFFAOYSA-N 1 2 323.400 1.415 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cnn3c2C[N@@H+](CCC)CC3)nc1 ZINC001069880709 768210032 /nfs/dbraw/zinc/21/00/32/768210032.db2.gz PRABQJXYMCIGPS-UHFFFAOYSA-N 1 2 323.400 1.415 20 30 DDEDLO CC(C)(C(=O)N1C[C@@H]2CCC[C@]2(CNCC#N)C1)c1c[nH+]c[nH]1 ZINC001112563291 764405208 /nfs/dbraw/zinc/40/52/08/764405208.db2.gz OZMWAJVYOUDMBG-GUYCJALGSA-N 1 2 315.421 1.429 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc2cnccc2o1 ZINC001051003361 764406092 /nfs/dbraw/zinc/40/60/92/764406092.db2.gz MXLHWJOCOCUFFN-AWEZNQCLSA-N 1 2 315.373 1.835 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc2cnccc2o1 ZINC001051003361 764406097 /nfs/dbraw/zinc/40/60/97/764406097.db2.gz MXLHWJOCOCUFFN-AWEZNQCLSA-N 1 2 315.373 1.835 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001051032463 764437465 /nfs/dbraw/zinc/43/74/65/764437465.db2.gz WWJSGBOFOPRILV-VVLHAWIVSA-N 1 2 322.449 1.585 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001051032463 764437472 /nfs/dbraw/zinc/43/74/72/764437472.db2.gz WWJSGBOFOPRILV-VVLHAWIVSA-N 1 2 322.449 1.585 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(OC)ccn1 ZINC001051061093 764464095 /nfs/dbraw/zinc/46/40/95/764464095.db2.gz NKRJVZZOODDSRI-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(OC)ccn1 ZINC001051061093 764464104 /nfs/dbraw/zinc/46/41/04/764464104.db2.gz NKRJVZZOODDSRI-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051060543 764464586 /nfs/dbraw/zinc/46/45/86/764464586.db2.gz OYRCDKANFVGIJE-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)N(C(CC)CC)C2)C1 ZINC001042835909 764476130 /nfs/dbraw/zinc/47/61/30/764476130.db2.gz WGWOLEDUFTZOEV-CQSZACIVSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCc2cccnc21 ZINC001051084402 764489649 /nfs/dbraw/zinc/48/96/49/764489649.db2.gz QXUAUDCCLOFYBT-HOTGVXAUSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCc2cccnc21 ZINC001051084402 764489655 /nfs/dbraw/zinc/48/96/55/764489655.db2.gz QXUAUDCCLOFYBT-HOTGVXAUSA-N 1 2 315.417 1.505 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccn(C(C)C)n1 ZINC001051144259 764548040 /nfs/dbraw/zinc/54/80/40/764548040.db2.gz AQUDJPSVNBBGPX-HNNXBMFYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccn(C(C)C)n1 ZINC001051144259 764548043 /nfs/dbraw/zinc/54/80/43/764548043.db2.gz AQUDJPSVNBBGPX-HNNXBMFYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](C[C@H](O)c2ccc(F)cc2)CC1 ZINC001112688423 764597841 /nfs/dbraw/zinc/59/78/41/764597841.db2.gz OYBKTZXMWZSMPE-INIZCTEOSA-N 1 2 306.381 1.970 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(C)nc1Cl ZINC001051201793 764613150 /nfs/dbraw/zinc/61/31/50/764613150.db2.gz UWBNLYIKAXJSPR-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(C)nc1Cl ZINC001051201793 764613158 /nfs/dbraw/zinc/61/31/58/764613158.db2.gz UWBNLYIKAXJSPR-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(CC)on1 ZINC001051212681 764627676 /nfs/dbraw/zinc/62/76/76/764627676.db2.gz RATRCWIJGWTTST-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(CC)on1 ZINC001051212681 764627683 /nfs/dbraw/zinc/62/76/83/764627683.db2.gz RATRCWIJGWTTST-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2ccc(C)c(OC)c2)CC1 ZINC001112708454 764628061 /nfs/dbraw/zinc/62/80/61/764628061.db2.gz HMCGEQNLQKIMOI-UHFFFAOYSA-N 1 2 318.417 1.850 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncc2n1CCCC2 ZINC001051253601 764676044 /nfs/dbraw/zinc/67/60/44/764676044.db2.gz UVBIXGRRAWIFBR-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncc2n1CCCC2 ZINC001051253601 764676049 /nfs/dbraw/zinc/67/60/49/764676049.db2.gz UVBIXGRRAWIFBR-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C)nc1C ZINC001051257535 764681548 /nfs/dbraw/zinc/68/15/48/764681548.db2.gz HHFJNHPBVTUIMX-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C)nc1C ZINC001051257535 764681552 /nfs/dbraw/zinc/68/15/52/764681552.db2.gz HHFJNHPBVTUIMX-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CC12CCOCC2 ZINC001051270141 764693246 /nfs/dbraw/zinc/69/32/46/764693246.db2.gz DKNSRPQJTHVYCY-LSDHHAIUSA-N 1 2 308.422 1.196 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CC12CCOCC2 ZINC001051270141 764693249 /nfs/dbraw/zinc/69/32/49/764693249.db2.gz DKNSRPQJTHVYCY-LSDHHAIUSA-N 1 2 308.422 1.196 20 30 DDEDLO CCn1cc(CC[NH+]2CCN(C(=O)C#CC(C)C)CC2)cn1 ZINC001112833467 764828476 /nfs/dbraw/zinc/82/84/76/764828476.db2.gz ZWULLIDAQUCNMB-UHFFFAOYSA-N 1 2 302.422 1.249 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2NCC1CC(NC(=O)C#CC2CC2)C1 ZINC001112838881 764850387 /nfs/dbraw/zinc/85/03/87/764850387.db2.gz PMLXSISHZWFISZ-UHFFFAOYSA-N 1 2 324.388 1.083 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC001112894642 764936472 /nfs/dbraw/zinc/93/64/72/764936472.db2.gz IICWGTYTJBVWHB-UHFFFAOYSA-N 1 2 319.453 1.641 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc3occc3[nH]2)CC1 ZINC001112902790 764942864 /nfs/dbraw/zinc/94/28/64/764942864.db2.gz ZVQNBZAHIUFFNJ-UHFFFAOYSA-N 1 2 303.362 1.721 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cncc(OC(C)C)c2)C1 ZINC001043526211 764959858 /nfs/dbraw/zinc/95/98/58/764959858.db2.gz VSLAMJBUVSMZEK-UHFFFAOYSA-N 1 2 301.390 1.648 20 30 DDEDLO C=C(C)CN1CC(N(C)C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC001043619251 765008667 /nfs/dbraw/zinc/00/86/67/765008667.db2.gz LXGPZWAKADLXJD-QGZVFWFLSA-N 1 2 321.465 1.349 20 30 DDEDLO C=C(C)CN1CC(N(C)C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC001043619251 765008673 /nfs/dbraw/zinc/00/86/73/765008673.db2.gz LXGPZWAKADLXJD-QGZVFWFLSA-N 1 2 321.465 1.349 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051685109 765071812 /nfs/dbraw/zinc/07/18/12/765071812.db2.gz HNISXYVLSHKTLC-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccsc3)C2)CC1 ZINC001051974983 765335724 /nfs/dbraw/zinc/33/57/24/765335724.db2.gz MKYXXFPVOURSKJ-HNNXBMFYSA-N 1 2 305.447 1.766 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)CC(F)(F)F)C2)CC1 ZINC001051992565 765358451 /nfs/dbraw/zinc/35/84/51/765358451.db2.gz AWEVUPYOJSGZRR-ZDUSSCGKSA-N 1 2 319.371 1.733 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C3=CCCC3)C2)CC1 ZINC001052013137 765381662 /nfs/dbraw/zinc/38/16/62/765381662.db2.gz RRDJFNGNGCJHNJ-KRWDZBQOSA-N 1 2 301.434 1.339 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)(C)C(C)C)C2)CC1 ZINC001052028054 765404423 /nfs/dbraw/zinc/40/44/23/765404423.db2.gz NJFYXVBVCRNHFI-MRXNPFEDSA-N 1 2 305.466 1.520 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)CC(C)(F)F)C2)CC1 ZINC001052073675 765445284 /nfs/dbraw/zinc/44/52/84/765445284.db2.gz LOVKAMSDPNFKEK-CQSZACIVSA-N 1 2 313.392 1.274 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3CC34CC4)C2)CC1 ZINC001052090056 765462120 /nfs/dbraw/zinc/46/21/20/765462120.db2.gz WRWISUKSYNVWAX-LSDHHAIUSA-N 1 2 323.868 1.758 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3CC34CC4)C2)CC1 ZINC001052091738 765463219 /nfs/dbraw/zinc/46/32/19/765463219.db2.gz KYKBUNRXBNFEMK-CVEARBPZSA-N 1 2 301.434 1.028 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[NH+]([C@H]2CCCN(C)C2=O)CC1 ZINC001113246173 765467291 /nfs/dbraw/zinc/46/72/91/765467291.db2.gz IOGNVTUFXNMFSK-CABCVRRESA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@]3(C)CCOC3)C2)CC1 ZINC001052103311 765473657 /nfs/dbraw/zinc/47/36/57/765473657.db2.gz PBRDIYGLLNYNLC-FUHWJXTLSA-N 1 2 321.465 1.208 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)C2CCN(C(=O)CCC)CC2)C1 ZINC001044325643 765483097 /nfs/dbraw/zinc/48/30/97/765483097.db2.gz KICDCOPOTTYYRH-UHFFFAOYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001052187454 765562973 /nfs/dbraw/zinc/56/29/73/765562973.db2.gz JDZYEVGIWUDSAI-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001052187454 765562978 /nfs/dbraw/zinc/56/29/78/765562978.db2.gz JDZYEVGIWUDSAI-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CC[NH+]1CCC(CNC(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)CC1 ZINC001001645814 768313739 /nfs/dbraw/zinc/31/37/39/768313739.db2.gz LZFHLXYYVWRSDZ-SUMWQHHRSA-N 1 2 314.433 1.537 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCCN(C(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001057728374 765705759 /nfs/dbraw/zinc/70/57/59/765705759.db2.gz NFYWNNVKIWOZQU-INIZCTEOSA-N 1 2 324.388 1.643 20 30 DDEDLO C[C@@H]1C[C@H](NCC#N)CCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001044802653 765823245 /nfs/dbraw/zinc/82/32/45/765823245.db2.gz XHUWBTKCLITCOF-GDBMZVCRSA-N 1 2 323.400 1.979 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001057765120 765843197 /nfs/dbraw/zinc/84/31/97/765843197.db2.gz NPAQUIFBAFUTKP-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO CCC(=O)N(C)[C@H]1C[N@H+](CC#Cc2cccc(Cl)c2)C[C@@H]1O ZINC001047267715 768349233 /nfs/dbraw/zinc/34/92/33/768349233.db2.gz IPAIXHDPSAEZIB-HOTGVXAUSA-N 1 2 320.820 1.605 20 30 DDEDLO CCC(=O)N(C)[C@H]1C[N@@H+](CC#Cc2cccc(Cl)c2)C[C@@H]1O ZINC001047267715 768349239 /nfs/dbraw/zinc/34/92/39/768349239.db2.gz IPAIXHDPSAEZIB-HOTGVXAUSA-N 1 2 320.820 1.605 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001058246746 766323036 /nfs/dbraw/zinc/32/30/36/766323036.db2.gz ALSWLLYOGITQLR-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)N(C)c1ccc(C#N)cn1 ZINC001113958159 766447436 /nfs/dbraw/zinc/44/74/36/766447436.db2.gz UXFTYDYWYLXKAX-IACUBPJLSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)N(C)c1ccc(C#N)cn1 ZINC001113958159 766447440 /nfs/dbraw/zinc/44/74/40/766447440.db2.gz UXFTYDYWYLXKAX-IACUBPJLSA-N 1 2 324.388 1.421 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)o1 ZINC001114258597 766829074 /nfs/dbraw/zinc/82/90/74/766829074.db2.gz VZWWXCIBYWRUQT-KBNOKHGBSA-N 1 2 314.389 1.153 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)o1 ZINC001114258597 766829080 /nfs/dbraw/zinc/82/90/80/766829080.db2.gz VZWWXCIBYWRUQT-KBNOKHGBSA-N 1 2 314.389 1.153 20 30 DDEDLO CC(C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)=C1CCC1 ZINC001046027786 766832183 /nfs/dbraw/zinc/83/21/83/766832183.db2.gz ROPRRYXNNCHXSL-INIZCTEOSA-N 1 2 302.422 1.229 20 30 DDEDLO CC1(CC(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)CCCC1 ZINC001046029473 766836883 /nfs/dbraw/zinc/83/68/83/766836883.db2.gz UMVOMLVINHARBK-INIZCTEOSA-N 1 2 318.465 1.699 20 30 DDEDLO C[C@@H]1CCN(c2ccc(C#N)cn2)C[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067965719 766842308 /nfs/dbraw/zinc/84/23/08/766842308.db2.gz QHNAGCYUFOBXJP-UKRRQHHQSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCn1c(N2CC3CC2(C)C3)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001121607663 782589734 /nfs/dbraw/zinc/58/97/34/782589734.db2.gz PCJCUYMTXSVZBX-YHVOSOIQSA-N 1 2 317.437 1.364 20 30 DDEDLO C=CCn1c(N2CC3CC2(C)C3)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121607663 782589740 /nfs/dbraw/zinc/58/97/40/782589740.db2.gz PCJCUYMTXSVZBX-YHVOSOIQSA-N 1 2 317.437 1.364 20 30 DDEDLO C=CCn1c(N(CC)C(C)C)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121607309 782589811 /nfs/dbraw/zinc/58/98/11/782589811.db2.gz LTEGYXLALKKUPI-CZUORRHYSA-N 1 2 307.442 1.610 20 30 DDEDLO C=CCn1c(N(CC)C(C)C)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121607309 782589815 /nfs/dbraw/zinc/58/98/15/782589815.db2.gz LTEGYXLALKKUPI-CZUORRHYSA-N 1 2 307.442 1.610 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001098061794 766991938 /nfs/dbraw/zinc/99/19/38/766991938.db2.gz VEAABERSGWMXQM-OLZOCXBDSA-N 1 2 316.405 1.617 20 30 DDEDLO C[C@H]1C[C@@H](CNc2ccc(C#N)nc2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068348556 767161551 /nfs/dbraw/zinc/16/15/51/767161551.db2.gz SAWCGRBXZZKTBT-STQMWFEESA-N 1 2 324.388 1.568 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001068471301 767345877 /nfs/dbraw/zinc/34/58/77/767345877.db2.gz BEZDZGRCSMVVOA-AULYBMBSSA-N 1 2 310.361 1.605 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068887295 767682648 /nfs/dbraw/zinc/68/26/48/767682648.db2.gz LAUXQIIBJAJNKD-SMDDNHRTSA-N 1 2 310.361 1.320 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCn2cccn2)CC[C@H]1C ZINC001131820947 768389205 /nfs/dbraw/zinc/38/92/05/768389205.db2.gz LGUVLAZSLINCHC-ZIAGYGMSSA-N 1 2 310.829 1.995 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCn2cccn2)CC[C@H]1C ZINC001131820947 768389212 /nfs/dbraw/zinc/38/92/12/768389212.db2.gz LGUVLAZSLINCHC-ZIAGYGMSSA-N 1 2 310.829 1.995 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cncc(F)c2)C1 ZINC001131947434 768506240 /nfs/dbraw/zinc/50/62/40/768506240.db2.gz UIWSHBFCPVVXPY-CZUORRHYSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cncc(F)c2)C1 ZINC001131947434 768506242 /nfs/dbraw/zinc/50/62/42/768506242.db2.gz UIWSHBFCPVVXPY-CZUORRHYSA-N 1 2 321.396 1.892 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001131973124 768518875 /nfs/dbraw/zinc/51/88/75/768518875.db2.gz YINWPUICOOXMCY-LSDHHAIUSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001131973124 768518879 /nfs/dbraw/zinc/51/88/79/768518879.db2.gz YINWPUICOOXMCY-LSDHHAIUSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2ncc(C)o2)C1 ZINC001132041338 768583172 /nfs/dbraw/zinc/58/31/72/768583172.db2.gz CCWRYDNSOAHXMG-ZFWWWQNUSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2ncc(C)o2)C1 ZINC001132041338 768583176 /nfs/dbraw/zinc/58/31/76/768583176.db2.gz CCWRYDNSOAHXMG-ZFWWWQNUSA-N 1 2 319.405 1.492 20 30 DDEDLO CCC(C)(C)CC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132055119 768592913 /nfs/dbraw/zinc/59/29/13/768592913.db2.gz XLQTVTYNHUSPTL-ZIAGYGMSSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(C)(C)CC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132055119 768592916 /nfs/dbraw/zinc/59/29/16/768592916.db2.gz XLQTVTYNHUSPTL-ZIAGYGMSSA-N 1 2 322.453 1.422 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)c2ccsc2)C[C@H]1NCC#N ZINC001070618240 768622660 /nfs/dbraw/zinc/62/26/60/768622660.db2.gz WVJTWSHHMWDMJL-CHWSQXEVSA-N 1 2 315.402 1.203 20 30 DDEDLO CC(C)C1(C(=O)N2C[C@@H](c3c[nH+]cn3C)[C@H](NCC#N)C2)CC1 ZINC001070687937 768670967 /nfs/dbraw/zinc/67/09/67/768670967.db2.gz FZKCFIWFDIWIKA-ZIAGYGMSSA-N 1 2 315.421 1.264 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCn2cc[nH+]c2C1)Nc1ncccc1C#N ZINC001098126347 768674981 /nfs/dbraw/zinc/67/49/81/768674981.db2.gz ZYKGEJNZXJNWQN-OLZOCXBDSA-N 1 2 324.388 1.329 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nc(C3CC3)no2)cc1 ZINC001132215765 768688524 /nfs/dbraw/zinc/68/85/24/768688524.db2.gz KCSAIQJGBPMNFZ-UHFFFAOYSA-N 1 2 310.357 1.448 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001070799012 768737353 /nfs/dbraw/zinc/73/73/53/768737353.db2.gz ITWWRDPPXYRSBG-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001070799012 768737356 /nfs/dbraw/zinc/73/73/56/768737356.db2.gz ITWWRDPPXYRSBG-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+](CCNC(=O)C2CC2)CC1 ZINC001096260854 768805340 /nfs/dbraw/zinc/80/53/40/768805340.db2.gz QHCICXOTGYMFCO-UHFFFAOYSA-N 1 2 316.380 1.346 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2C=CC=CC=C2)CC1 ZINC001070969190 768823385 /nfs/dbraw/zinc/82/33/85/768823385.db2.gz FIJFFIJAMBLRAI-UHFFFAOYSA-N 1 2 315.417 1.121 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2C=CC=CC=C2)CC1 ZINC001070969190 768823399 /nfs/dbraw/zinc/82/33/99/768823399.db2.gz FIJFFIJAMBLRAI-UHFFFAOYSA-N 1 2 315.417 1.121 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001070973602 768825148 /nfs/dbraw/zinc/82/51/48/768825148.db2.gz RSKIPQTXHDDYFX-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001070973602 768825157 /nfs/dbraw/zinc/82/51/57/768825157.db2.gz RSKIPQTXHDDYFX-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCOCCOC)CC[C@@H]1C ZINC001132529477 768949457 /nfs/dbraw/zinc/94/94/57/768949457.db2.gz NIJTYQCTGPGAAE-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCOCCOC)CC[C@@H]1C ZINC001132529477 768949459 /nfs/dbraw/zinc/94/94/59/768949459.db2.gz NIJTYQCTGPGAAE-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001132576440 768997008 /nfs/dbraw/zinc/99/70/08/768997008.db2.gz KLBCTVZQNGKRJO-NEPJUHHUSA-N 1 2 318.377 1.994 20 30 DDEDLO C=CCOCC(=O)NCC1CC([NH2+]Cc2noc(CCC)n2)C1 ZINC001100187049 769015666 /nfs/dbraw/zinc/01/56/66/769015666.db2.gz XPETUWDWEWVRTE-UHFFFAOYSA-N 1 2 322.409 1.209 20 30 DDEDLO C=CCCC1(C(=O)NCC[NH2+]Cc2nnc(OCC)s2)CC1 ZINC001133378824 769760033 /nfs/dbraw/zinc/76/00/33/769760033.db2.gz OBLZEJJLFMNUAB-UHFFFAOYSA-N 1 2 324.450 1.889 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nc(CCOC)no2)CCC1 ZINC001133392220 769773167 /nfs/dbraw/zinc/77/31/67/769773167.db2.gz MTXMBRCGVFAIRA-UHFFFAOYSA-N 1 2 322.409 1.211 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[N@H+]([C@@H](C)c3csnn3)C[C@@H]2C1 ZINC001048724737 769773768 /nfs/dbraw/zinc/77/37/68/769773768.db2.gz FOKWMZDCQUPIDG-KXNHARMFSA-N 1 2 305.407 1.149 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[N@@H+]([C@@H](C)c3csnn3)C[C@@H]2C1 ZINC001048724737 769773773 /nfs/dbraw/zinc/77/37/73/769773773.db2.gz FOKWMZDCQUPIDG-KXNHARMFSA-N 1 2 305.407 1.149 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2ncn[nH]2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071912174 770272209 /nfs/dbraw/zinc/27/22/09/770272209.db2.gz XFOGWEOIPYUADZ-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2ncn[nH]2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071912174 770272217 /nfs/dbraw/zinc/27/22/17/770272217.db2.gz XFOGWEOIPYUADZ-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2nc[nH]n2)C[N@@H+]1Cc1ccc(C#N)cc1 ZINC001071912174 770272221 /nfs/dbraw/zinc/27/22/21/770272221.db2.gz XFOGWEOIPYUADZ-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2nc[nH]n2)C[N@H+]1Cc1ccc(C#N)cc1 ZINC001071912174 770272223 /nfs/dbraw/zinc/27/22/23/770272223.db2.gz XFOGWEOIPYUADZ-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001071929483 770303406 /nfs/dbraw/zinc/30/34/06/770303406.db2.gz QHAUTWFQFCRJOV-CABCVRRESA-N 1 2 316.405 1.040 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001071987228 770391583 /nfs/dbraw/zinc/39/15/83/770391583.db2.gz DKYWGALVGKCQKZ-DOMZBBRYSA-N 1 2 316.405 1.027 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001096491251 770462647 /nfs/dbraw/zinc/46/26/47/770462647.db2.gz JAAGWERDTSIYGM-JSGCOSHPSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001096491251 770462649 /nfs/dbraw/zinc/46/26/49/770462649.db2.gz JAAGWERDTSIYGM-JSGCOSHPSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001096491250 770462984 /nfs/dbraw/zinc/46/29/84/770462984.db2.gz JAAGWERDTSIYGM-GXTWGEPZSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001096491250 770462993 /nfs/dbraw/zinc/46/29/93/770462993.db2.gz JAAGWERDTSIYGM-GXTWGEPZSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072221773 770676060 /nfs/dbraw/zinc/67/60/60/770676060.db2.gz BZSPITSZSMXPKU-GXTWGEPZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1ccccc1=O)C2 ZINC001096519027 770822901 /nfs/dbraw/zinc/82/29/01/770822901.db2.gz GKHNJRGAXBQOBP-RDBSUJKOSA-N 1 2 321.808 1.322 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1ccccc1=O)C2 ZINC001096519027 770822911 /nfs/dbraw/zinc/82/29/11/770822911.db2.gz GKHNJRGAXBQOBP-RDBSUJKOSA-N 1 2 321.808 1.322 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001134428297 771008793 /nfs/dbraw/zinc/00/87/93/771008793.db2.gz HRDURTJGXFWDPN-LBPRGKRZSA-N 1 2 324.425 1.975 20 30 DDEDLO N#Cc1ccc(N[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C2CC2)cn1 ZINC001096712293 771410285 /nfs/dbraw/zinc/41/02/85/771410285.db2.gz PYUIBSRGJOSKFW-AWEZNQCLSA-N 1 2 310.361 1.226 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(C)c1N)C2 ZINC001096985081 771553941 /nfs/dbraw/zinc/55/39/41/771553941.db2.gz AIQJAWGHSZDTAR-JLLWLGSASA-N 1 2 309.801 1.090 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(C)c1N)C2 ZINC001096985081 771553948 /nfs/dbraw/zinc/55/39/48/771553948.db2.gz AIQJAWGHSZDTAR-JLLWLGSASA-N 1 2 309.801 1.090 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@H](O)C1 ZINC001090696720 772121776 /nfs/dbraw/zinc/12/17/76/772121776.db2.gz XGHGVNZHMPRQGG-UKRRQHHQSA-N 1 2 314.389 1.222 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@H](O)C1 ZINC001090696720 772121779 /nfs/dbraw/zinc/12/17/79/772121779.db2.gz XGHGVNZHMPRQGG-UKRRQHHQSA-N 1 2 314.389 1.222 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(Cl)no2)[C@@H](O)C1 ZINC001090745089 772155113 /nfs/dbraw/zinc/15/51/13/772155113.db2.gz ZDYXYNOMEWTHHT-IUCAKERBSA-N 1 2 320.176 1.246 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(Cl)no2)[C@@H](O)C1 ZINC001090745089 772155118 /nfs/dbraw/zinc/15/51/18/772155118.db2.gz ZDYXYNOMEWTHHT-IUCAKERBSA-N 1 2 320.176 1.246 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccn2CC)[C@@H](O)C1 ZINC001090812845 772233460 /nfs/dbraw/zinc/23/34/60/772233460.db2.gz INOHVHFLJLIHQJ-OCCSQVGLSA-N 1 2 311.813 1.426 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccn2CC)[C@@H](O)C1 ZINC001090812845 772233462 /nfs/dbraw/zinc/23/34/62/772233462.db2.gz INOHVHFLJLIHQJ-OCCSQVGLSA-N 1 2 311.813 1.426 20 30 DDEDLO COC(=O)C1([NH2+][C@H](C)Cc2cccc(C#N)c2)CCOCC1 ZINC001171191820 772543113 /nfs/dbraw/zinc/54/31/13/772543113.db2.gz GGGQSDMIMRKXDD-CYBMUJFWSA-N 1 2 302.374 1.801 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@H](CNC(=O)CCn2cc[nH+]c2)C1 ZINC001091495864 772785916 /nfs/dbraw/zinc/78/59/16/772785916.db2.gz NWUVGQXDGNHUIL-CTYIDZIISA-N 1 2 324.388 1.547 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C)no1)C2 ZINC001147374235 773123875 /nfs/dbraw/zinc/12/38/75/773123875.db2.gz JQIFFLNZTXBRCD-UHFFFAOYSA-N 1 2 316.405 1.606 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nc(C)no1)C2 ZINC001147374235 773123878 /nfs/dbraw/zinc/12/38/78/773123878.db2.gz JQIFFLNZTXBRCD-UHFFFAOYSA-N 1 2 316.405 1.606 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cscn1)C2 ZINC001147541381 773163321 /nfs/dbraw/zinc/16/33/21/773163321.db2.gz OSIZHMGAEKITFL-CYBMUJFWSA-N 1 2 319.430 1.216 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cscn1)C2 ZINC001147541381 773163323 /nfs/dbraw/zinc/16/33/23/773163323.db2.gz OSIZHMGAEKITFL-CYBMUJFWSA-N 1 2 319.430 1.216 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001073803315 773425699 /nfs/dbraw/zinc/42/56/99/773425699.db2.gz RKPUOZUGWOYFFA-KBPBESRZSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)on3)C[C@@H]21 ZINC001074151382 773677012 /nfs/dbraw/zinc/67/70/12/773677012.db2.gz LQEPTCVOFTXODF-GJZGRUSLSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)on3)C[C@@H]21 ZINC001074151382 773677018 /nfs/dbraw/zinc/67/70/18/773677018.db2.gz LQEPTCVOFTXODF-GJZGRUSLSA-N 1 2 305.378 1.474 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(COCC)CC2)[C@@H](O)C1 ZINC001099895853 773683147 /nfs/dbraw/zinc/68/31/47/773683147.db2.gz ABPPAKRGXBSRMK-STQMWFEESA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(COCC)CC2)[C@@H](O)C1 ZINC001099895853 773683151 /nfs/dbraw/zinc/68/31/51/773683151.db2.gz ABPPAKRGXBSRMK-STQMWFEESA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@H]21 ZINC001074208460 773731148 /nfs/dbraw/zinc/73/11/48/773731148.db2.gz IZBOUGXFTLOHKP-KGLIPLIRSA-N 1 2 314.376 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@H]21 ZINC001074208460 773731152 /nfs/dbraw/zinc/73/11/52/773731152.db2.gz IZBOUGXFTLOHKP-KGLIPLIRSA-N 1 2 314.376 1.910 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3c(C)coc3C)C[C@@H]21 ZINC001074233712 773757812 /nfs/dbraw/zinc/75/78/12/773757812.db2.gz VIBJFWVUINXVEF-HOTGVXAUSA-N 1 2 316.401 1.835 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3c(C)coc3C)C[C@@H]21 ZINC001074233712 773757815 /nfs/dbraw/zinc/75/78/15/773757815.db2.gz VIBJFWVUINXVEF-HOTGVXAUSA-N 1 2 316.401 1.835 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C3CC3)C[C@H]21 ZINC001074321300 773821906 /nfs/dbraw/zinc/82/19/06/773821906.db2.gz HQTGLFXVEJNXOL-CVEARBPZSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C3CC3)C[C@H]21 ZINC001074321300 773821911 /nfs/dbraw/zinc/82/19/11/773821911.db2.gz HQTGLFXVEJNXOL-CVEARBPZSA-N 1 2 304.434 1.748 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092165256 773969467 /nfs/dbraw/zinc/96/94/67/773969467.db2.gz VRCLBNSSMWJYPY-KGLIPLIRSA-N 1 2 318.421 1.443 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccn(C)c1)c1nccn12 ZINC001092363366 774077880 /nfs/dbraw/zinc/07/78/80/774077880.db2.gz MDJWYQKCNFMOJR-AWEZNQCLSA-N 1 2 311.389 1.293 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@H]1C1CC1)c1nccn12 ZINC001092402401 774103389 /nfs/dbraw/zinc/10/33/89/774103389.db2.gz OVMRUOIRQHGAAH-RRFJBIMHSA-N 1 2 312.417 1.687 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc[nH]c1)c1nccn12 ZINC001092370045 774107305 /nfs/dbraw/zinc/10/73/05/774107305.db2.gz LUISRDWUAXJNEW-CQSZACIVSA-N 1 2 309.373 1.120 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)(C)C)c1nccn12 ZINC001092408824 774123991 /nfs/dbraw/zinc/12/39/91/774123991.db2.gz BHWVBUDUERIQDX-ZDUSSCGKSA-N 1 2 300.406 1.524 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001075017202 774300933 /nfs/dbraw/zinc/30/09/33/774300933.db2.gz LMFJGXXCYLIMPJ-CABCVRRESA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001075045453 774318750 /nfs/dbraw/zinc/31/87/50/774318750.db2.gz JATDABNDUIYMLU-UONOGXRCSA-N 1 2 304.394 1.345 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H](C)CCC)C2)nn1 ZINC001098644940 774629586 /nfs/dbraw/zinc/62/95/86/774629586.db2.gz FSBJPWDQRLCGIN-GDBMZVCRSA-N 1 2 317.437 1.601 20 30 DDEDLO Cc1nc(N[C@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001099405567 774982514 /nfs/dbraw/zinc/98/25/14/774982514.db2.gz QAQJIKIZOJLZLA-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCNc1nc(C)[nH+]c2c1CCCC2 ZINC001093694013 775003085 /nfs/dbraw/zinc/00/30/85/775003085.db2.gz UAJJCDSKBDMCKT-LBPRGKRZSA-N 1 2 318.421 1.783 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(CC)CCC2)[C@H](O)C1 ZINC001099965270 775491320 /nfs/dbraw/zinc/49/13/20/775491320.db2.gz WHJBINWOAFFNDQ-QWHCGFSZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(CC)CCC2)[C@H](O)C1 ZINC001099965270 775491323 /nfs/dbraw/zinc/49/13/23/775491323.db2.gz WHJBINWOAFFNDQ-QWHCGFSZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cccc(F)c3)nn2)C1 ZINC001094270375 775614269 /nfs/dbraw/zinc/61/42/69/775614269.db2.gz UXBXOPZUWMRFFP-UHFFFAOYSA-N 1 2 315.352 1.390 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCC3(C)C)nn2)C1 ZINC001094310733 775663546 /nfs/dbraw/zinc/66/35/46/775663546.db2.gz OSVMTWSQRLRAIR-HNNXBMFYSA-N 1 2 315.421 1.211 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CCCC3(C)C)nn2)C1 ZINC001094310822 775664361 /nfs/dbraw/zinc/66/43/61/775664361.db2.gz UOKRWGFZSPQNKX-OAHLLOKOSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3occc3CC)nn2)C1 ZINC001094315464 775671550 /nfs/dbraw/zinc/67/15/50/775671550.db2.gz OORJLVWXDJELJB-UHFFFAOYSA-N 1 2 315.377 1.406 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@@]3(C)C(C)C)nn2)C1 ZINC001094332317 775714846 /nfs/dbraw/zinc/71/48/46/775714846.db2.gz QZZGQNSUYHCNCL-RDJZCZTQSA-N 1 2 317.437 1.619 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)ccc1C#N ZINC001100392171 776089430 /nfs/dbraw/zinc/08/94/30/776089430.db2.gz VIGPZRWNFSTABW-ZIAGYGMSSA-N 1 2 324.388 1.341 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)ccc1C#N ZINC001100392171 776089436 /nfs/dbraw/zinc/08/94/36/776089436.db2.gz VIGPZRWNFSTABW-ZIAGYGMSSA-N 1 2 324.388 1.341 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@H]3CCC3(C)C)CC2=O)C1 ZINC001094770996 776259912 /nfs/dbraw/zinc/25/99/12/776259912.db2.gz GGXWEXIVKZVJTL-DZGCQCFKSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3(C)CC(=C)C3)CC2=O)C1 ZINC001094781884 776273200 /nfs/dbraw/zinc/27/32/00/776273200.db2.gz CDOIXLMRJRKVLR-CQSZACIVSA-N 1 2 317.433 1.320 20 30 DDEDLO N#Cc1ccc(OCCNc2cc3c(c[nH+]2)OCC(=O)N3)cc1 ZINC001171481401 776315628 /nfs/dbraw/zinc/31/56/28/776315628.db2.gz YSCWLOXAUAIDOQ-UHFFFAOYSA-N 1 2 310.313 1.775 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)nc1 ZINC001094866812 776615387 /nfs/dbraw/zinc/61/53/87/776615387.db2.gz BOTLDZZHRGUEKK-CHWSQXEVSA-N 1 2 310.361 1.398 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)nc1 ZINC001094866812 776615393 /nfs/dbraw/zinc/61/53/93/776615393.db2.gz BOTLDZZHRGUEKK-CHWSQXEVSA-N 1 2 310.361 1.398 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H](N2CC[NH2+]C[C@@H]2C#N)C[C@H]1C#N ZINC001172979448 776949406 /nfs/dbraw/zinc/94/94/06/776949406.db2.gz DMKNJARRFOTDNF-IHRRRGAJSA-N 1 2 319.409 1.075 20 30 DDEDLO N#Cc1ccc(N(CCNC(=O)CCn2cc[nH+]c2)C2CC2)nc1 ZINC001101416695 777127924 /nfs/dbraw/zinc/12/79/24/777127924.db2.gz CRVKRPMIDOVPBR-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC2(C[NH+](CC#CC)C2)n2ccnc21 ZINC001101624513 777318831 /nfs/dbraw/zinc/31/88/31/777318831.db2.gz XHBLQTVZVYQMRO-INIZCTEOSA-N 1 2 324.428 1.672 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(C(F)F)CC1)c1nccn12 ZINC001101627783 777323539 /nfs/dbraw/zinc/32/35/39/777323539.db2.gz MXUWOTQUTPNJLI-NSHDSACASA-N 1 2 322.359 1.686 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC2(C[NH+](CC#CC)C2)n2ccnc21 ZINC001101630257 777325666 /nfs/dbraw/zinc/32/56/66/777325666.db2.gz CBNDKNGUTSYZRS-OAHLLOKOSA-N 1 2 312.417 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)(C)COC)c1nccn12 ZINC001101634030 777329885 /nfs/dbraw/zinc/32/98/85/777329885.db2.gz LIOZXFFGXSIUAI-ZDUSSCGKSA-N 1 2 318.421 1.314 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001101847891 777599524 /nfs/dbraw/zinc/59/95/24/777599524.db2.gz PZAUXIZAQACTEY-CYBMUJFWSA-N 1 2 324.388 1.283 20 30 DDEDLO Cc1nsc(NCCN(C)C(=O)CCc2c[nH]c[nH+]2)c1C#N ZINC001102021672 777803935 /nfs/dbraw/zinc/80/39/35/777803935.db2.gz PPVBURDOBSPEAN-UHFFFAOYSA-N 1 2 318.406 1.549 20 30 DDEDLO Cc1nsc(NCCN(C)C(=O)CCc2c[nH+]c[nH]2)c1C#N ZINC001102021672 777803946 /nfs/dbraw/zinc/80/39/46/777803946.db2.gz PPVBURDOBSPEAN-UHFFFAOYSA-N 1 2 318.406 1.549 20 30 DDEDLO N#Cc1ccn2ncc(C[N@H+]3CC[C@](N)(C(F)(F)F)C3)c2c1 ZINC001206252049 777893515 /nfs/dbraw/zinc/89/35/15/777893515.db2.gz VKZRVLKNOHANCX-CYBMUJFWSA-N 1 2 309.295 1.671 20 30 DDEDLO N#Cc1ccn2ncc(C[N@@H+]3CC[C@](N)(C(F)(F)F)C3)c2c1 ZINC001206252049 777893523 /nfs/dbraw/zinc/89/35/23/777893523.db2.gz VKZRVLKNOHANCX-CYBMUJFWSA-N 1 2 309.295 1.671 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102321221 778054773 /nfs/dbraw/zinc/05/47/73/778054773.db2.gz IFXGHUFCGPQRPJ-RHSMWYFYSA-N 1 2 318.421 1.445 20 30 DDEDLO N#Cc1cccnc1N1CC[C@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001102344225 778081412 /nfs/dbraw/zinc/08/14/12/778081412.db2.gz UOXWXMMKAFEKOH-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO N#Cc1cccnc1N1CC[C@H](CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001102398319 778116631 /nfs/dbraw/zinc/11/66/31/778116631.db2.gz OQHFBBLVHYBAAG-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](CCNC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001102398240 778116847 /nfs/dbraw/zinc/11/68/47/778116847.db2.gz MSLVINDZHFJLPI-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCOCC)C[C@H]21 ZINC001176929831 778317312 /nfs/dbraw/zinc/31/73/12/778317312.db2.gz NDCHEFQDPGPVDC-HZPDHXFCSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCOCC)C[C@H]21 ZINC001176929831 778317318 /nfs/dbraw/zinc/31/73/18/778317318.db2.gz NDCHEFQDPGPVDC-HZPDHXFCSA-N 1 2 308.422 1.128 20 30 DDEDLO CN(C(=O)CCc1[nH]cc[nH+]1)[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001102695851 778322422 /nfs/dbraw/zinc/32/24/22/778322422.db2.gz OJNFWESAWLTZTN-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]2OCC[N@@H+](CC=C(C)C)[C@H]2C1 ZINC001176948659 778340999 /nfs/dbraw/zinc/34/09/99/778340999.db2.gz CGUNNAYXNGNWFD-HOTGVXAUSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]2OCC[N@H+](CC=C(C)C)[C@H]2C1 ZINC001176948659 778341005 /nfs/dbraw/zinc/34/10/05/778341005.db2.gz CGUNNAYXNGNWFD-HOTGVXAUSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3CCC3)C[C@H]21 ZINC001176968441 778354853 /nfs/dbraw/zinc/35/48/53/778354853.db2.gz FKGQKBASGKPWGI-IAGOWNOFSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3CCC3)C[C@H]21 ZINC001176968441 778354861 /nfs/dbraw/zinc/35/48/61/778354861.db2.gz FKGQKBASGKPWGI-IAGOWNOFSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCO)[C@@H]2C1 ZINC001177018086 778394758 /nfs/dbraw/zinc/39/47/58/778394758.db2.gz ARFAADQHNRNQCB-CVEARBPZSA-N 1 2 308.422 1.049 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]2OCC[N@H+](CCO)[C@@H]2C1 ZINC001177018086 778394761 /nfs/dbraw/zinc/39/47/61/778394761.db2.gz ARFAADQHNRNQCB-CVEARBPZSA-N 1 2 308.422 1.049 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)C=C)C[C@@H]21 ZINC001177020066 778395705 /nfs/dbraw/zinc/39/57/05/778395705.db2.gz VROVBQUKKRXDTE-HOTGVXAUSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)C=C)C[C@@H]21 ZINC001177020066 778395710 /nfs/dbraw/zinc/39/57/10/778395710.db2.gz VROVBQUKKRXDTE-HOTGVXAUSA-N 1 2 304.434 1.914 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3(COC)CCC3)C[C@@H]21 ZINC001177050165 778412915 /nfs/dbraw/zinc/41/29/15/778412915.db2.gz YENQPKYTCFGWQB-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(COC)CCC3)C[C@@H]21 ZINC001177050165 778412922 /nfs/dbraw/zinc/41/29/22/778412922.db2.gz YENQPKYTCFGWQB-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3(COC)CC3)C[C@@H]21 ZINC001177054939 778415900 /nfs/dbraw/zinc/41/59/00/778415900.db2.gz FASRAVASOKSCCX-LSDHHAIUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(COC)CC3)C[C@@H]21 ZINC001177054939 778415905 /nfs/dbraw/zinc/41/59/05/778415905.db2.gz FASRAVASOKSCCX-LSDHHAIUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCC[NH2+]Cc1noc(CC(C)C)n1 ZINC001177277984 778529263 /nfs/dbraw/zinc/52/92/63/778529263.db2.gz ZERJXHUVFPRIEW-CYBMUJFWSA-N 1 2 324.425 1.455 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1ncccc1C#N ZINC001103056905 778604793 /nfs/dbraw/zinc/60/47/93/778604793.db2.gz XWVFXIIOZIORGG-ZDUSSCGKSA-N 1 2 312.377 1.616 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(c3ccncn3)C2)CC1 ZINC001178128333 778918442 /nfs/dbraw/zinc/91/84/42/778918442.db2.gz BQJNAEDVHIFGLY-OAHLLOKOSA-N 1 2 315.421 1.023 20 30 DDEDLO Cc1nc(NC[C@@](C)(NC(=O)c2ccc(C#N)[nH]2)C2CC2)cc[nH+]1 ZINC001103525783 778921740 /nfs/dbraw/zinc/92/17/40/778921740.db2.gz AXXYUWUKRUXMNS-QGZVFWFLSA-N 1 2 324.388 1.995 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001103815748 779068569 /nfs/dbraw/zinc/06/85/69/779068569.db2.gz JDIVNQQTBBBDFE-TZMCWYRMSA-N 1 2 302.378 1.226 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+]Cc2cnsn2)[C@@H]1C ZINC001178503777 779090631 /nfs/dbraw/zinc/09/06/31/779090631.db2.gz PTALPOILICLTQY-JSGCOSHPSA-N 1 2 306.435 1.811 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)[C@@H]1C ZINC001179359711 779360094 /nfs/dbraw/zinc/36/00/94/779360094.db2.gz DJWLRHRGQPDSBJ-KBPBESRZSA-N 1 2 318.421 1.905 20 30 DDEDLO Cc1nonc1C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](C)C#N)C1 ZINC001111667025 779426840 /nfs/dbraw/zinc/42/68/40/779426840.db2.gz RLQWKDPIWWIMEQ-WBIUFABUSA-N 1 2 303.366 1.008 20 30 DDEDLO Cc1nonc1C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](C)C#N)C1 ZINC001111667025 779426844 /nfs/dbraw/zinc/42/68/44/779426844.db2.gz RLQWKDPIWWIMEQ-WBIUFABUSA-N 1 2 303.366 1.008 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(CC1)CN(c1cccc[nH+]1)CCO2 ZINC001179893653 779519544 /nfs/dbraw/zinc/51/95/44/779519544.db2.gz ATCMMICMKPXMKE-CQSZACIVSA-N 1 2 314.389 1.439 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+]Cc2cnsn2)[C@@H]1C ZINC001180253673 779677234 /nfs/dbraw/zinc/67/72/34/779677234.db2.gz HFGMUMGIHBKCHG-AAEUAGOBSA-N 1 2 310.423 1.210 20 30 DDEDLO C[C@@H](CCCNc1ncccc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115089607 779869625 /nfs/dbraw/zinc/86/96/25/779869625.db2.gz XYDBAPFGUIICIE-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO Cn1cc(C[NH2+]Cc2nncn2C)c(-c2ccc(C#N)cc2)n1 ZINC001116505471 780515787 /nfs/dbraw/zinc/51/57/87/780515787.db2.gz HRJIZQUTEOSROO-UHFFFAOYSA-N 1 2 307.361 1.377 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)NCc2ccco2)C1=O ZINC001116916791 780577633 /nfs/dbraw/zinc/57/76/33/780577633.db2.gz FZDDFMOZJGBBNZ-OCCSQVGLSA-N 1 2 305.378 1.003 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)NCc2ccco2)C1=O ZINC001116916791 780577640 /nfs/dbraw/zinc/57/76/40/780577640.db2.gz FZDDFMOZJGBBNZ-OCCSQVGLSA-N 1 2 305.378 1.003 20 30 DDEDLO C#CCN(C)c1cnc(-c2noc([C@H](C)n3cc[nH+]c3)n2)cn1 ZINC001117985754 780975597 /nfs/dbraw/zinc/97/55/97/780975597.db2.gz WBZNKWDXOINAQO-NSHDSACASA-N 1 2 309.333 1.402 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCNC(=O)/C(C)=C\C)c1 ZINC001266266201 836010479 /nfs/dbraw/zinc/01/04/79/836010479.db2.gz VNBRAWQQFLSTOB-RZNTYIFUSA-N 1 2 313.401 1.621 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCNC(=O)/C(C)=C\C)c1 ZINC001266266201 836010484 /nfs/dbraw/zinc/01/04/84/836010484.db2.gz VNBRAWQQFLSTOB-RZNTYIFUSA-N 1 2 313.401 1.621 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2occc2C(C)C)C1 ZINC001266336183 836136492 /nfs/dbraw/zinc/13/64/92/836136492.db2.gz LGEXNEWXMSRURH-AWEZNQCLSA-N 1 2 304.390 1.857 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2occc2C(C)C)C1 ZINC001266336183 836136497 /nfs/dbraw/zinc/13/64/97/836136497.db2.gz LGEXNEWXMSRURH-AWEZNQCLSA-N 1 2 304.390 1.857 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cc3n(n2)CCC3)C1 ZINC001266339184 836140665 /nfs/dbraw/zinc/14/06/65/836140665.db2.gz LFVGHJHDUCWEAA-AWEZNQCLSA-N 1 2 302.422 1.876 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cc3n(n2)CCC3)C1 ZINC001266339184 836140672 /nfs/dbraw/zinc/14/06/72/836140672.db2.gz LFVGHJHDUCWEAA-AWEZNQCLSA-N 1 2 302.422 1.876 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H]1CC[N@H+](Cc2nncs2)C1 ZINC001266502537 836359650 /nfs/dbraw/zinc/35/96/50/836359650.db2.gz GCQGTRRCJHQSEJ-OLZOCXBDSA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H]1CC[N@@H+](Cc2nncs2)C1 ZINC001266502537 836359660 /nfs/dbraw/zinc/35/96/60/836359660.db2.gz GCQGTRRCJHQSEJ-OLZOCXBDSA-N 1 2 324.450 1.457 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@@H+](Cc2nnc(CC)o2)C1 ZINC001266747856 836770150 /nfs/dbraw/zinc/77/01/50/836770150.db2.gz YEZXWOSZKDMMGP-CQSZACIVSA-N 1 2 318.421 1.764 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@H+](Cc2nnc(CC)o2)C1 ZINC001266747856 836770157 /nfs/dbraw/zinc/77/01/57/836770157.db2.gz YEZXWOSZKDMMGP-CQSZACIVSA-N 1 2 318.421 1.764 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001266903539 837034095 /nfs/dbraw/zinc/03/40/95/837034095.db2.gz CRKHXWPTXLIXBV-DOCVPZNWSA-N 1 2 317.437 1.823 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001266903539 837034104 /nfs/dbraw/zinc/03/41/04/837034104.db2.gz CRKHXWPTXLIXBV-DOCVPZNWSA-N 1 2 317.437 1.823 20 30 DDEDLO C[C@H](CNC(=O)CSCC#N)[NH2+]Cc1nc(C2CCC2)no1 ZINC001266923729 837064658 /nfs/dbraw/zinc/06/46/58/837064658.db2.gz OGTKAAZOTCWSAN-SNVBAGLBSA-N 1 2 323.422 1.188 20 30 DDEDLO C[C@H](CNC(=O)C#CC1CC1)[NH2+]Cc1noc(-c2ccccc2)n1 ZINC001266937912 837084441 /nfs/dbraw/zinc/08/44/41/837084441.db2.gz QBNIRRKGOMLNMW-CYBMUJFWSA-N 1 2 324.384 1.744 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@H](C)CNC(=O)C#CC(C)(C)C)n1 ZINC001266938220 837086810 /nfs/dbraw/zinc/08/68/10/837086810.db2.gz UZQFPLQSWSPQSN-CYBMUJFWSA-N 1 2 320.437 1.912 20 30 DDEDLO C#CCC[NH2+][C@@H](CNC(=O)c1[nH]ncc1F)c1ccccc1 ZINC001267370281 837932458 /nfs/dbraw/zinc/93/24/58/837932458.db2.gz NGOZHYBOCODXDY-AWEZNQCLSA-N 1 2 300.337 1.633 20 30 DDEDLO C=C[C@@H](C(=O)N(C)CC[NH2+]Cc1ncccn1)c1ccccc1 ZINC001267472490 838129874 /nfs/dbraw/zinc/12/98/74/838129874.db2.gz OLKGEJHTEITJAO-MRXNPFEDSA-N 1 2 310.401 1.994 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(CCC)C(=O)CCCS(C)(=O)=O)C1 ZINC001267489076 838168281 /nfs/dbraw/zinc/16/82/81/838168281.db2.gz TYVRFKJASGXHKP-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(CCC)C(=O)CCCS(C)(=O)=O)C1 ZINC001267489076 838168286 /nfs/dbraw/zinc/16/82/86/838168286.db2.gz TYVRFKJASGXHKP-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO CC#CC[NH2+][C@H](CNC(=O)CCc1nc[nH]n1)c1ccccc1 ZINC001267517290 838233050 /nfs/dbraw/zinc/23/30/50/838233050.db2.gz OBCZHSZJUJYVOC-OAHLLOKOSA-N 1 2 311.389 1.208 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)C1CCC=CCC1 ZINC001267576508 838346378 /nfs/dbraw/zinc/34/63/78/838346378.db2.gz ZFSMPMPFKXBGGS-UHFFFAOYSA-N 1 2 304.438 1.332 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)[C@]2(C)CCC[C@@H]2C)CC1 ZINC001267612241 838462117 /nfs/dbraw/zinc/46/21/17/838462117.db2.gz CJZBTUCNACWELR-FUHWJXTLSA-N 1 2 305.466 1.522 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CSC(C)C)C1 ZINC001267621604 838511891 /nfs/dbraw/zinc/51/18/91/838511891.db2.gz UMIXCJZLRAMUAS-ZDUSSCGKSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CSC(C)C)C1 ZINC001267621604 838511893 /nfs/dbraw/zinc/51/18/93/838511893.db2.gz UMIXCJZLRAMUAS-ZDUSSCGKSA-N 1 2 313.467 1.011 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CCC[N@@H+](CC(=O)NC(C)C)C2)CC1 ZINC001267629759 838531302 /nfs/dbraw/zinc/53/13/02/838531302.db2.gz JELBYKNANXSDDX-INIZCTEOSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CCC[N@H+](CC(=O)NC(C)C)C2)CC1 ZINC001267629759 838531307 /nfs/dbraw/zinc/53/13/07/838531307.db2.gz JELBYKNANXSDDX-INIZCTEOSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001267649392 838574821 /nfs/dbraw/zinc/57/48/21/838574821.db2.gz OLAUXNQOBFYUIX-UHFFFAOYSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CC(CNC(=O)c2ccoc2)C1 ZINC001267675287 838624794 /nfs/dbraw/zinc/62/47/94/838624794.db2.gz UBUDMGFPGNPECV-UHFFFAOYSA-N 1 2 317.389 1.142 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1C[NH+](Cc2ccc(F)cc2F)C1 ZINC001267679519 838632052 /nfs/dbraw/zinc/63/20/52/838632052.db2.gz QDEZPHUVVWGUBE-QGZVFWFLSA-N 1 2 324.371 1.840 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC(CNC(=O)C[C@H]2CCCC[C@H]2C)C1 ZINC001267700410 838676340 /nfs/dbraw/zinc/67/63/40/838676340.db2.gz CINANSAUCWVWDG-GDBMZVCRSA-N 1 2 319.449 1.000 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@H](N(C)C(=O)C#CC3CC3)C2)n1 ZINC001267763422 838896560 /nfs/dbraw/zinc/89/65/60/838896560.db2.gz XAMLGQYADGUMQO-AWEZNQCLSA-N 1 2 303.431 1.898 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@H](N(C)C(=O)C#CC3CC3)C2)n1 ZINC001267763422 838896573 /nfs/dbraw/zinc/89/65/73/838896573.db2.gz XAMLGQYADGUMQO-AWEZNQCLSA-N 1 2 303.431 1.898 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@]1(CC)CCNC1=O ZINC001272041757 844412824 /nfs/dbraw/zinc/41/28/24/844412824.db2.gz ZZTMHQPLYWDAEF-AWEZNQCLSA-N 1 2 301.818 1.045 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@]1(CC)CCNC1=O ZINC001272041757 844412830 /nfs/dbraw/zinc/41/28/30/844412830.db2.gz ZZTMHQPLYWDAEF-AWEZNQCLSA-N 1 2 301.818 1.045 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H](c2cccnc2)C(C)C)C1 ZINC001268029953 839446330 /nfs/dbraw/zinc/44/63/30/839446330.db2.gz JPRKIMCKXUNTRP-SJORKVTESA-N 1 2 317.433 1.824 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H](c2cccnc2)C(C)C)C1 ZINC001268029953 839446338 /nfs/dbraw/zinc/44/63/38/839446338.db2.gz JPRKIMCKXUNTRP-SJORKVTESA-N 1 2 317.433 1.824 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1sccc1C ZINC001268229219 839862087 /nfs/dbraw/zinc/86/20/87/839862087.db2.gz KQENKTDOUXJJPO-UHFFFAOYSA-N 1 2 309.435 1.363 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1sccc1C ZINC001268229219 839862092 /nfs/dbraw/zinc/86/20/92/839862092.db2.gz KQENKTDOUXJJPO-UHFFFAOYSA-N 1 2 309.435 1.363 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2[C@@H](CC[N@@H+]2Cc2cnsn2)C1 ZINC001268261819 839912396 /nfs/dbraw/zinc/91/23/96/839912396.db2.gz FSHDSCCDQHINBT-ZFWWWQNUSA-N 1 2 318.446 1.764 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2[C@@H](CC[N@H+]2Cc2cnsn2)C1 ZINC001268261819 839912405 /nfs/dbraw/zinc/91/24/05/839912405.db2.gz FSHDSCCDQHINBT-ZFWWWQNUSA-N 1 2 318.446 1.764 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)CCc2ccccc2C)C1 ZINC001268455198 840225477 /nfs/dbraw/zinc/22/54/77/840225477.db2.gz CTFGTGKBPROMGE-UHFFFAOYSA-N 1 2 302.418 1.931 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)[C@](C)(OC)c2ccccc2)C1 ZINC001268474005 840258751 /nfs/dbraw/zinc/25/87/51/840258751.db2.gz GUGKNPQAWOHFKU-GOSISDBHSA-N 1 2 318.417 1.551 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H](C)C[NH2+][C@@H](C)c2nnc(C)o2)c1 ZINC001268702328 840689311 /nfs/dbraw/zinc/68/93/11/840689311.db2.gz CVQGLZOPXFOLCN-QWRGUYRKSA-N 1 2 313.361 1.223 20 30 DDEDLO CCCOCC(=O)NCC1C[NH+](CC#Cc2ccc(F)cc2)C1 ZINC001268703645 840691097 /nfs/dbraw/zinc/69/10/97/840691097.db2.gz PHWAUASMCDIPAW-UHFFFAOYSA-N 1 2 318.392 1.652 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC(CNC(=O)C2CCCCCCC2)C1 ZINC001268849791 840900182 /nfs/dbraw/zinc/90/01/82/840900182.db2.gz ICULYZFQMKADBF-UHFFFAOYSA-N 1 2 319.449 1.144 20 30 DDEDLO C=CC[C@H](C)C(=O)N1C[C@@H](C(=O)N(C)C)[C@H](c2cn(C)c[nH+]2)C1 ZINC001268909350 840979896 /nfs/dbraw/zinc/97/98/96/840979896.db2.gz JMYSTJHTUOJLSR-BFHYXJOUSA-N 1 2 318.421 1.263 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCN(C(=O)[C@@H](C)n3cc[nH+]c3)C2)C1=O ZINC001269158146 841278485 /nfs/dbraw/zinc/27/84/85/841278485.db2.gz OKJBKCYJUBWRJF-RHSMWYFYSA-N 1 2 316.405 1.471 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)C#CC(C)(C)C)C1=O ZINC001269235963 841400694 /nfs/dbraw/zinc/40/06/94/841400694.db2.gz SIILMRYOFZIKRR-HUUCEWRRSA-N 1 2 317.433 1.013 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)C#CC(C)(C)C)C1=O ZINC001269235963 841400700 /nfs/dbraw/zinc/40/07/00/841400700.db2.gz SIILMRYOFZIKRR-HUUCEWRRSA-N 1 2 317.433 1.013 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1CC2(CCC2)C1 ZINC001269279323 841463163 /nfs/dbraw/zinc/46/31/63/841463163.db2.gz ZEBRVNUHFYCXGX-DZGCQCFKSA-N 1 2 319.449 1.448 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1CC2(CCC2)C1 ZINC001269279323 841463170 /nfs/dbraw/zinc/46/31/70/841463170.db2.gz ZEBRVNUHFYCXGX-DZGCQCFKSA-N 1 2 319.449 1.448 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CC[N@@H+]1CCNC(=O)NC(C)C ZINC001269285156 841466999 /nfs/dbraw/zinc/46/69/99/841466999.db2.gz IEKSXSIWELPCKT-WMLDXEAASA-N 1 2 324.469 1.487 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CC[N@H+]1CCNC(=O)NC(C)C ZINC001269285156 841467005 /nfs/dbraw/zinc/46/70/05/841467005.db2.gz IEKSXSIWELPCKT-WMLDXEAASA-N 1 2 324.469 1.487 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H](C)Oc1ccccc1 ZINC001269336580 841535384 /nfs/dbraw/zinc/53/53/84/841535384.db2.gz DDICTPZALBDHMS-JKSUJKDBSA-N 1 2 316.401 1.294 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H](C)Oc1ccccc1 ZINC001269336580 841535392 /nfs/dbraw/zinc/53/53/92/841535392.db2.gz DDICTPZALBDHMS-JKSUJKDBSA-N 1 2 316.401 1.294 20 30 DDEDLO CC[C@@H](CNC(=O)C#CC1CC1)[NH2+][C@@H](C)c1nc(COC)no1 ZINC001269402162 841609228 /nfs/dbraw/zinc/60/92/28/841609228.db2.gz JIRFCCVQPVVXST-AAEUAGOBSA-N 1 2 320.393 1.175 20 30 DDEDLO C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)Cc1c[nH+]c[nH]1 ZINC001269569361 841775298 /nfs/dbraw/zinc/77/52/98/841775298.db2.gz QSIFWZAOFKXRFZ-ZIAGYGMSSA-N 1 2 302.378 1.120 20 30 DDEDLO C=C(C)CN1CCC2(CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)C1=O ZINC001269569508 841776610 /nfs/dbraw/zinc/77/66/10/841776610.db2.gz VOYNIWLUYLSIKG-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CCCC(=O)NCc1ccc(C[NH2+]Cc2cnn(C)n2)cc1 ZINC001270054689 842257686 /nfs/dbraw/zinc/25/76/86/842257686.db2.gz HZHFVRSBLBSDEZ-UHFFFAOYSA-N 1 2 313.405 1.687 20 30 DDEDLO C#CCN(C(=O)[C@@H](C)C#N)C1CC[NH+](Cc2coc(C)n2)CC1 ZINC001270296980 842464140 /nfs/dbraw/zinc/46/41/40/842464140.db2.gz YAUXORIMTXASJT-ZDUSSCGKSA-N 1 2 314.389 1.569 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@](C)(NC(=O)c2cccs2)C1 ZINC001270528565 842643184 /nfs/dbraw/zinc/64/31/84/842643184.db2.gz DRNYKLXYTDAOFL-BLLLJJGKSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@](C)(NC(=O)c2cccs2)C1 ZINC001270528565 842643188 /nfs/dbraw/zinc/64/31/88/842643188.db2.gz DRNYKLXYTDAOFL-BLLLJJGKSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCOCCC(=O)N[C@@]1(C)CC[N@@H+]([C@@H](C)c2nc(C)no2)C1 ZINC001270582963 842692324 /nfs/dbraw/zinc/69/23/24/842692324.db2.gz LHLSQMPFHMVNDF-LRDDRELGSA-N 1 2 320.393 1.060 20 30 DDEDLO C#CCOCCC(=O)N[C@@]1(C)CC[N@H+]([C@@H](C)c2nc(C)no2)C1 ZINC001270582963 842692336 /nfs/dbraw/zinc/69/23/36/842692336.db2.gz LHLSQMPFHMVNDF-LRDDRELGSA-N 1 2 320.393 1.060 20 30 DDEDLO C[C@H](NC(=O)C#CC(C)(C)C)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280292857 842906677 /nfs/dbraw/zinc/90/66/77/842906677.db2.gz HHMBIUIRRFGJOI-STQMWFEESA-N 1 2 318.421 1.401 20 30 DDEDLO C[C@H](NC(=O)C#CC(C)(C)C)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280292857 842906690 /nfs/dbraw/zinc/90/66/90/842906690.db2.gz HHMBIUIRRFGJOI-STQMWFEESA-N 1 2 318.421 1.401 20 30 DDEDLO CC#CCN1CCC(F)(F)[C@H](CNC(=O)Cn2cc[nH+]c2C)C1 ZINC001271108369 843218802 /nfs/dbraw/zinc/21/88/02/843218802.db2.gz BRVSNNLVHKNXTA-CQSZACIVSA-N 1 2 324.375 1.288 20 30 DDEDLO C=C(C)CCC(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001299207214 844797606 /nfs/dbraw/zinc/79/76/06/844797606.db2.gz AWMALYKWLXLZSQ-UHFFFAOYSA-N 1 2 304.394 1.382 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[NH+](CCOC2CC(C#N)C2)CC1 ZINC001224734136 845147291 /nfs/dbraw/zinc/14/72/91/845147291.db2.gz LLDTZWASAJZASY-UHFFFAOYSA-N 1 2 309.410 1.858 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](CC)OC)C1 ZINC001149350368 861559473 /nfs/dbraw/zinc/55/94/73/861559473.db2.gz YQIAHQLOEJAUFK-CHWSQXEVSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H](CC)OC)C1 ZINC001149350368 861559487 /nfs/dbraw/zinc/55/94/87/861559487.db2.gz YQIAHQLOEJAUFK-CHWSQXEVSA-N 1 2 304.818 1.371 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](Cc2cc(C)n(C)n2)CCCO1 ZINC001149363675 861572614 /nfs/dbraw/zinc/57/26/14/861572614.db2.gz ZOEMFRORLCELOX-INIZCTEOSA-N 1 2 320.437 1.402 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](Cc2cc(C)n(C)n2)CCCO1 ZINC001149363675 861572623 /nfs/dbraw/zinc/57/26/23/861572623.db2.gz ZOEMFRORLCELOX-INIZCTEOSA-N 1 2 320.437 1.402 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1ccccc1OC)C2 ZINC001272603367 846386883 /nfs/dbraw/zinc/38/68/83/846386883.db2.gz SZWCAEHCSGRCHR-UHFFFAOYSA-N 1 2 316.401 1.685 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](Cc3ccccc3O)C[C@@]2(F)C1=O ZINC001272619277 846417256 /nfs/dbraw/zinc/41/72/56/846417256.db2.gz UDRZBOPALLGYEI-JKSUJKDBSA-N 1 2 306.312 1.100 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](Cc3ccccc3O)C[C@@]2(F)C1=O ZINC001272619277 846417259 /nfs/dbraw/zinc/41/72/59/846417259.db2.gz UDRZBOPALLGYEI-JKSUJKDBSA-N 1 2 306.312 1.100 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[NH+](Cc1cnn(C)n1)CC2 ZINC001272810053 847467861 /nfs/dbraw/zinc/46/78/61/847467861.db2.gz BEZWCMPTPDPVHN-UHFFFAOYSA-N 1 2 317.437 1.596 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1C[N@H+](Cc2ccns2)CCCO1 ZINC001149714682 861840824 /nfs/dbraw/zinc/84/08/24/861840824.db2.gz IOMFJBRSGNTYDU-CQSZACIVSA-N 1 2 321.446 1.510 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1C[N@@H+](Cc2ccns2)CCCO1 ZINC001149714682 861840840 /nfs/dbraw/zinc/84/08/40/861840840.db2.gz IOMFJBRSGNTYDU-CQSZACIVSA-N 1 2 321.446 1.510 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001034281667 848247952 /nfs/dbraw/zinc/24/79/52/848247952.db2.gz DEWUADMROSMSFS-AWEZNQCLSA-N 1 2 314.433 1.896 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001034281667 848247959 /nfs/dbraw/zinc/24/79/59/848247959.db2.gz DEWUADMROSMSFS-AWEZNQCLSA-N 1 2 314.433 1.896 20 30 DDEDLO C=CCCC(=O)N1CCO[C@H](C[NH2+][C@H](C)c2csnn2)C1 ZINC001273237598 848970588 /nfs/dbraw/zinc/97/05/88/848970588.db2.gz RXBFMLRERDQIJG-VXGBXAGGSA-N 1 2 310.423 1.382 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3c(C)cccc3C)C2)OCC1=O ZINC001273265134 849265082 /nfs/dbraw/zinc/26/50/82/849265082.db2.gz CRCSVOQXIWXKLB-UHFFFAOYSA-N 1 2 300.402 1.903 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCOC2(C[NH+](CC/C=C\CC)C2)C1 ZINC001327320117 862059210 /nfs/dbraw/zinc/05/92/10/862059210.db2.gz PJTXPTJLURQDTB-WLMCBFPDSA-N 1 2 320.433 1.294 20 30 DDEDLO COCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C#CC(C)(C)C)O2 ZINC001327349447 862088824 /nfs/dbraw/zinc/08/88/24/862088824.db2.gz JNIJSLHRPRORCA-AWEZNQCLSA-N 1 2 308.422 1.032 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1COC2(C[NH+](CCOC)C2)C1 ZINC001327405234 862143540 /nfs/dbraw/zinc/14/35/40/862143540.db2.gz HKTDGPYOAUREJI-OAHLLOKOSA-N 1 2 308.422 1.176 20 30 DDEDLO C=CCCC[N@@H+]1C[C@]2(F)CN(Cc3ncccn3)C(=O)[C@]2(F)C1 ZINC001273487274 851017794 /nfs/dbraw/zinc/01/77/94/851017794.db2.gz GPTFSRXPVHAAKP-JKSUJKDBSA-N 1 2 322.359 1.517 20 30 DDEDLO C=CCCC[N@H+]1C[C@]2(F)CN(Cc3ncccn3)C(=O)[C@]2(F)C1 ZINC001273487274 851017798 /nfs/dbraw/zinc/01/77/98/851017798.db2.gz GPTFSRXPVHAAKP-JKSUJKDBSA-N 1 2 322.359 1.517 20 30 DDEDLO C=CCCCC[NH+]1CC2(C1)COCC(=O)N2CC(F)(F)F ZINC001273494971 851029647 /nfs/dbraw/zinc/02/96/47/851029647.db2.gz KNFXTBDDYUEWFS-UHFFFAOYSA-N 1 2 306.328 1.818 20 30 DDEDLO COCCCC[N@@H+]1C[C@]2(F)CN(CCCC#N)C(=O)[C@]2(F)C1 ZINC001273526095 851058607 /nfs/dbraw/zinc/05/86/07/851058607.db2.gz UURYJBUEJUEYRS-LSDHHAIUSA-N 1 2 315.364 1.291 20 30 DDEDLO COCCCC[N@H+]1C[C@]2(F)CN(CCCC#N)C(=O)[C@]2(F)C1 ZINC001273526095 851058612 /nfs/dbraw/zinc/05/86/12/851058612.db2.gz UURYJBUEJUEYRS-LSDHHAIUSA-N 1 2 315.364 1.291 20 30 DDEDLO CC(C)(C)C(=O)OCN1C[C@@]2(CC1=O)CCCC[N@@H+]2CCC#N ZINC001274030875 851869183 /nfs/dbraw/zinc/86/91/83/851869183.db2.gz ACGOACBRQAIBSU-KRWDZBQOSA-N 1 2 321.421 1.904 20 30 DDEDLO CC(C)(C)C(=O)OCN1C[C@@]2(CC1=O)CCCC[N@H+]2CCC#N ZINC001274030875 851869189 /nfs/dbraw/zinc/86/91/89/851869189.db2.gz ACGOACBRQAIBSU-KRWDZBQOSA-N 1 2 321.421 1.904 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1c(N)ccnc1F ZINC001274117337 851946479 /nfs/dbraw/zinc/94/64/79/851946479.db2.gz KUFDMRQDIGZFJX-KRWDZBQOSA-N 1 2 318.396 1.946 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1c(N)ccnc1F ZINC001274117337 851946485 /nfs/dbraw/zinc/94/64/85/851946485.db2.gz KUFDMRQDIGZFJX-KRWDZBQOSA-N 1 2 318.396 1.946 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001274200313 852027601 /nfs/dbraw/zinc/02/76/01/852027601.db2.gz YJFDXVKGNSBJKQ-KGLIPLIRSA-N 1 2 318.421 1.789 20 30 DDEDLO C=CCN1CC[C@@]2(CC[N@H+](Cc3n[nH]c4c3CCC4)C2)C1=O ZINC001274569706 852403319 /nfs/dbraw/zinc/40/33/19/852403319.db2.gz KFGLJRIQFWNWJY-QGZVFWFLSA-N 1 2 300.406 1.509 20 30 DDEDLO C=CCN1CC[C@@]2(CC[N@@H+](Cc3n[nH]c4c3CCC4)C2)C1=O ZINC001274569706 852403325 /nfs/dbraw/zinc/40/33/25/852403325.db2.gz KFGLJRIQFWNWJY-QGZVFWFLSA-N 1 2 300.406 1.509 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)COCCN(c1cccc[nH+]1)C2 ZINC001274739317 852566511 /nfs/dbraw/zinc/56/65/11/852566511.db2.gz FWOYNKZIVAVMPK-KRWDZBQOSA-N 1 2 314.389 1.441 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1cc(C)cc(O)c1)C2 ZINC001274759633 852582076 /nfs/dbraw/zinc/58/20/76/852582076.db2.gz LHKFRMQILQOBOF-UHFFFAOYSA-N 1 2 316.401 1.690 20 30 DDEDLO COCCCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1[nH]ccc1C#N ZINC001274855695 852644173 /nfs/dbraw/zinc/64/41/73/852644173.db2.gz QJNXNQBCGGDIEJ-HZPDHXFCSA-N 1 2 316.405 1.488 20 30 DDEDLO COCCCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1[nH]ccc1C#N ZINC001274855695 852644177 /nfs/dbraw/zinc/64/41/77/852644177.db2.gz QJNXNQBCGGDIEJ-HZPDHXFCSA-N 1 2 316.405 1.488 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]3CN(Cc4cc(C#N)n(C)c4)C[C@H]32)[nH]n1 ZINC001275208315 852890010 /nfs/dbraw/zinc/89/00/10/852890010.db2.gz JISYEJJHNFXMAQ-MAUKXSAKSA-N 1 2 324.432 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](C)CCOC)C1 ZINC001150738354 862444137 /nfs/dbraw/zinc/44/41/37/862444137.db2.gz PIGGMBVLWDWPFR-OCCSQVGLSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H](C)CCOC)C1 ZINC001150738354 862444142 /nfs/dbraw/zinc/44/41/42/862444142.db2.gz PIGGMBVLWDWPFR-OCCSQVGLSA-N 1 2 318.845 1.619 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)C[N@H+](C)Cc1cc2n(n1)CCCC2 ZINC001275521079 853275182 /nfs/dbraw/zinc/27/51/82/853275182.db2.gz MHQZAPBBCTWGQD-CQSZACIVSA-N 1 2 320.437 1.359 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)C[N@@H+](C)Cc1cc2n(n1)CCCC2 ZINC001275521079 853275184 /nfs/dbraw/zinc/27/51/84/853275184.db2.gz MHQZAPBBCTWGQD-CQSZACIVSA-N 1 2 320.437 1.359 20 30 DDEDLO COc1cc(C[N@H+](C)C[C@@H](C)NC(=O)C#CC(C)(C)C)on1 ZINC001275552938 853326368 /nfs/dbraw/zinc/32/63/68/853326368.db2.gz VSMGCHVGOPHHSI-GFCCVEGCSA-N 1 2 307.394 1.669 20 30 DDEDLO COc1cc(C[N@@H+](C)C[C@@H](C)NC(=O)C#CC(C)(C)C)on1 ZINC001275552938 853326375 /nfs/dbraw/zinc/32/63/75/853326375.db2.gz VSMGCHVGOPHHSI-GFCCVEGCSA-N 1 2 307.394 1.669 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NC[C@H](C)N(C)C(=O)[C@@H](C)C#N)c[nH+]1 ZINC001411587738 853417139 /nfs/dbraw/zinc/41/71/39/853417139.db2.gz ASISTONLSBQSFQ-AAEUAGOBSA-N 1 2 319.409 1.049 20 30 DDEDLO Cc1nn(C)c(N)c1C=[NH+]Nc1cncc(Br)c1 ZINC001327799894 862474346 /nfs/dbraw/zinc/47/43/46/862474346.db2.gz CSHDKOVGZTVBGO-UHFFFAOYSA-N 1 2 309.171 1.914 20 30 DDEDLO CN(C)C(=O)CN1C[C@H]2C[C@@H](C1)[N@H+](Cc1ccccc1C#N)C2 ZINC001275965655 854030332 /nfs/dbraw/zinc/03/03/32/854030332.db2.gz LLAVXGPYPCGNSA-PBHICJAKSA-N 1 2 312.417 1.153 20 30 DDEDLO CN(C)C(=O)CN1C[C@H]2C[C@@H](C1)[N@@H+](Cc1ccccc1C#N)C2 ZINC001275965655 854030335 /nfs/dbraw/zinc/03/03/35/854030335.db2.gz LLAVXGPYPCGNSA-PBHICJAKSA-N 1 2 312.417 1.153 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCC[C@@H]3[C@@H]2CCN3CC#N)c[nH+]1 ZINC001049544357 856954058 /nfs/dbraw/zinc/95/40/58/856954058.db2.gz CAZXVQDRTSUNRE-CABCVRRESA-N 1 2 315.421 1.516 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072801162 857718975 /nfs/dbraw/zinc/71/89/75/857718975.db2.gz MUOZKLLSCKOPRW-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)CC ZINC001328464120 862991318 /nfs/dbraw/zinc/99/13/18/862991318.db2.gz LTIYZYTZAPKMDA-INIZCTEOSA-N 1 2 315.417 1.414 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)CC ZINC001328464120 862991329 /nfs/dbraw/zinc/99/13/29/862991329.db2.gz LTIYZYTZAPKMDA-INIZCTEOSA-N 1 2 315.417 1.414 20 30 DDEDLO CC(C)C#CC(=O)NC1(C)CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001073277228 858232773 /nfs/dbraw/zinc/23/27/73/858232773.db2.gz PBCPZPLFKUKYLE-UHFFFAOYSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](CO)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001122157989 858699030 /nfs/dbraw/zinc/69/90/30/858699030.db2.gz DHSKCPMFCGRXOA-AWEZNQCLSA-N 1 2 318.421 1.908 20 30 DDEDLO C=CCN(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001122396202 858791357 /nfs/dbraw/zinc/79/13/57/858791357.db2.gz YAXYRSWZCWIFHF-CZUORRHYSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCN(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC(=C)C ZINC001122396202 858791361 /nfs/dbraw/zinc/79/13/61/858791361.db2.gz YAXYRSWZCWIFHF-CZUORRHYSA-N 1 2 305.426 1.388 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)CCC2CC2)CC1 ZINC001381247123 881364397 /nfs/dbraw/zinc/36/43/97/881364397.db2.gz NCSKZYJXOAFDRH-UHFFFAOYSA-N 1 2 300.830 1.872 20 30 DDEDLO C#CCN(C(=O)N[C@H](C)[C@@H]1CN(C)CC[N@@H+]1C)C1CCCCC1 ZINC001123693640 859361930 /nfs/dbraw/zinc/36/19/30/859361930.db2.gz BSUAYBHUTVIOLU-WBVHZDCISA-N 1 2 320.481 1.598 20 30 DDEDLO C#CCN(C(=O)N[C@H](C)[C@@H]1CN(C)CC[N@H+]1C)C1CCCCC1 ZINC001123693640 859361941 /nfs/dbraw/zinc/36/19/41/859361941.db2.gz BSUAYBHUTVIOLU-WBVHZDCISA-N 1 2 320.481 1.598 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224665290 881490179 /nfs/dbraw/zinc/49/01/79/881490179.db2.gz FTJXWLVTLKALNP-UHFFFAOYSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CCc1cccc(C[N@@H+]2CCO[C@H](C(=O)OCC)C2)c1O ZINC001138628499 860147535 /nfs/dbraw/zinc/14/75/35/860147535.db2.gz VTNKIHNUKPOEMZ-HNNXBMFYSA-N 1 2 305.374 1.885 20 30 DDEDLO C=CCc1cccc(C[N@H+]2CCO[C@H](C(=O)OCC)C2)c1O ZINC001138628499 860147543 /nfs/dbraw/zinc/14/75/43/860147543.db2.gz VTNKIHNUKPOEMZ-HNNXBMFYSA-N 1 2 305.374 1.885 20 30 DDEDLO N#Cc1ccc(Cl)c(C[N@@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c1 ZINC001140603033 860659903 /nfs/dbraw/zinc/65/99/03/860659903.db2.gz XGFIIUZTVSOWDQ-UHFFFAOYSA-N 1 2 316.748 1.971 20 30 DDEDLO N#Cc1ccc(Cl)c(C[N@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c1 ZINC001140603033 860659906 /nfs/dbraw/zinc/65/99/06/860659906.db2.gz XGFIIUZTVSOWDQ-UHFFFAOYSA-N 1 2 316.748 1.971 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(Cc2cc(F)c(C#N)c(F)c2)CC1 ZINC001140754119 860692248 /nfs/dbraw/zinc/69/22/48/860692248.db2.gz PEDYIAMRQXCGPB-UHFFFAOYSA-N 1 2 322.359 1.042 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1ccon1 ZINC001325896837 860930207 /nfs/dbraw/zinc/93/02/07/860930207.db2.gz DVMFZZOCHMQTEE-ZOBUZTSGSA-N 1 2 319.405 1.567 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1ccon1 ZINC001325896837 860930212 /nfs/dbraw/zinc/93/02/12/860930212.db2.gz DVMFZZOCHMQTEE-ZOBUZTSGSA-N 1 2 319.405 1.567 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)[C@H](C)OC ZINC001152154099 863191232 /nfs/dbraw/zinc/19/12/32/863191232.db2.gz UJDAGPAVMQQHSL-MNOVXSKESA-N 1 2 307.232 1.757 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)[C@H](C)OC ZINC001152154099 863191239 /nfs/dbraw/zinc/19/12/39/863191239.db2.gz UJDAGPAVMQQHSL-MNOVXSKESA-N 1 2 307.232 1.757 20 30 DDEDLO CC[N@H+](Cc1nocc1C)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152641339 863470782 /nfs/dbraw/zinc/47/07/82/863470782.db2.gz GSKBGFXENJFBBR-GFCCVEGCSA-N 1 2 315.377 1.823 20 30 DDEDLO CC[N@@H+](Cc1nocc1C)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152641339 863470787 /nfs/dbraw/zinc/47/07/87/863470787.db2.gz GSKBGFXENJFBBR-GFCCVEGCSA-N 1 2 315.377 1.823 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)[C@H](O)C(C)C ZINC001153108270 863714119 /nfs/dbraw/zinc/71/41/19/863714119.db2.gz AQCOWRBYXWCYBY-VXGBXAGGSA-N 1 2 321.259 1.739 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)[C@H](O)C(C)C ZINC001153108270 863714128 /nfs/dbraw/zinc/71/41/28/863714128.db2.gz AQCOWRBYXWCYBY-VXGBXAGGSA-N 1 2 321.259 1.739 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@H+](C)Cc1nc(C)c(C)o1 ZINC001329550116 863724489 /nfs/dbraw/zinc/72/44/89/863724489.db2.gz PAYCXEBLYLDJNA-DFBGVHRSSA-N 1 2 321.421 1.819 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001329550116 863724496 /nfs/dbraw/zinc/72/44/96/863724496.db2.gz PAYCXEBLYLDJNA-DFBGVHRSSA-N 1 2 321.421 1.819 20 30 DDEDLO CC(C)C#CC(=O)NCCC[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001157632745 863995374 /nfs/dbraw/zinc/99/53/74/863995374.db2.gz JQSUZXKTAMKUDB-UHFFFAOYSA-N 1 2 306.410 1.622 20 30 DDEDLO N#Cc1cc(C#N)c(NCC2([NH+]3CCCC3)COC2)nc1Cl ZINC001157655324 864019718 /nfs/dbraw/zinc/01/97/18/864019718.db2.gz PAPUJIUKMNINIB-UHFFFAOYSA-N 1 2 317.780 1.755 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)CC1 ZINC001331174076 864921552 /nfs/dbraw/zinc/92/15/52/864921552.db2.gz KXDARSIMAVOYKV-CQSZACIVSA-N 1 2 316.405 1.161 20 30 DDEDLO C[C@H]1C[NH+](Cc2cnc3c(C#N)cnn3c2)C[C@H](C)N1CC#N ZINC001331564808 865158132 /nfs/dbraw/zinc/15/81/32/865158132.db2.gz CTDHGCMNQSKZJR-STQMWFEESA-N 1 2 309.377 1.019 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](CO)[NH2+]Cc1nnc(C(C)(C)C)[nH]1 ZINC001331724684 865274962 /nfs/dbraw/zinc/27/49/62/865274962.db2.gz KMDOTLKQIXPMEL-GFCCVEGCSA-N 1 2 323.441 1.025 20 30 DDEDLO Cc1cnc(C[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)nc1 ZINC001159587245 865412838 /nfs/dbraw/zinc/41/28/38/865412838.db2.gz LMSYDEUXLKOWRN-AWEZNQCLSA-N 1 2 313.405 1.583 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)nc1 ZINC001159587245 865412844 /nfs/dbraw/zinc/41/28/44/865412844.db2.gz LMSYDEUXLKOWRN-AWEZNQCLSA-N 1 2 313.405 1.583 20 30 DDEDLO Cc1cc(CNC(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)cc(C)[nH+]1 ZINC001332045530 865527478 /nfs/dbraw/zinc/52/74/78/865527478.db2.gz UNIQLPPTKGZTFE-GXTWGEPZSA-N 1 2 300.362 1.123 20 30 DDEDLO CC#CC[N@H+]1CC=C(CCNC(=O)c2[nH]nc3c2CCC3)CC1 ZINC001160580645 865956722 /nfs/dbraw/zinc/95/67/22/865956722.db2.gz VJNRDWYAXDZIII-UHFFFAOYSA-N 1 2 312.417 1.674 20 30 DDEDLO CC#CC[N@@H+]1CC=C(CCNC(=O)c2[nH]nc3c2CCC3)CC1 ZINC001160580645 865956730 /nfs/dbraw/zinc/95/67/30/865956730.db2.gz VJNRDWYAXDZIII-UHFFFAOYSA-N 1 2 312.417 1.674 20 30 DDEDLO C=CCCOCC(=O)NCC1CC[NH+](Cc2nc(C)no2)CC1 ZINC001225611311 881935134 /nfs/dbraw/zinc/93/51/34/881935134.db2.gz OSACNQSDGOLUFV-UHFFFAOYSA-N 1 2 322.409 1.299 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)c1cc(Cl)c[nH]1 ZINC001323183387 866409933 /nfs/dbraw/zinc/40/99/33/866409933.db2.gz SAWHSDRBUHLMIY-ZYHUDNBSSA-N 1 2 324.812 1.163 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1cc(Cl)c[nH]1 ZINC001323183387 866409941 /nfs/dbraw/zinc/40/99/41/866409941.db2.gz SAWHSDRBUHLMIY-ZYHUDNBSSA-N 1 2 324.812 1.163 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC001320072536 866456400 /nfs/dbraw/zinc/45/64/00/866456400.db2.gz SFSAPZQUIHJXCB-HUUCEWRRSA-N 1 2 316.405 1.647 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@H+]1CC(=O)N(CC)C1CC1 ZINC001323245340 866468756 /nfs/dbraw/zinc/46/87/56/866468756.db2.gz ZRGDBRRGYZTVIF-HNNXBMFYSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N(CC)C1CC1 ZINC001323245340 866468763 /nfs/dbraw/zinc/46/87/63/866468763.db2.gz ZRGDBRRGYZTVIF-HNNXBMFYSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1c2ccccc2NC1(C)C ZINC001333279300 866584716 /nfs/dbraw/zinc/58/47/16/866584716.db2.gz RMUBVFABMLIORX-SWLSCSKDSA-N 1 2 317.389 1.495 20 30 DDEDLO C[C@H]1C[NH+](Cc2cnc3ccc(C#N)cn23)C[C@H](C)N1CC#N ZINC001333718556 866959752 /nfs/dbraw/zinc/95/97/52/866959752.db2.gz IUALPNIICHDHEE-KBPBESRZSA-N 1 2 308.389 1.624 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNc1ncccc1C#C[Si](C)(C)C ZINC001161950553 867132661 /nfs/dbraw/zinc/13/26/61/867132661.db2.gz PWSBRNZZOSYIAV-CYBMUJFWSA-N 1 2 305.454 1.613 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1(C)CC[NH+](Cc2nc(C)no2)CC1 ZINC001324308696 867195175 /nfs/dbraw/zinc/19/51/75/867195175.db2.gz PYWOCAHVABOGCL-INIZCTEOSA-N 1 2 322.409 1.176 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(C)(NC(=O)C#CC(C)C)CC2)o1 ZINC001324310062 867196008 /nfs/dbraw/zinc/19/60/08/867196008.db2.gz RNLQJCGPWKXZND-UHFFFAOYSA-N 1 2 304.394 1.508 20 30 DDEDLO COc1cccc(CC#N)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001225841758 882063864 /nfs/dbraw/zinc/06/38/64/882063864.db2.gz JWCBNBGQDNNSDT-DZZGSBJMSA-N 1 2 300.358 1.752 20 30 DDEDLO COc1cccc(CC#N)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001225841758 882063882 /nfs/dbraw/zinc/06/38/82/882063882.db2.gz JWCBNBGQDNNSDT-DZZGSBJMSA-N 1 2 300.358 1.752 20 30 DDEDLO C#CC1(Nc2cc(N3CCC[C@H]3C(N)=O)nc[nH+]2)CCCCC1 ZINC001162480200 867564466 /nfs/dbraw/zinc/56/44/66/867564466.db2.gz YICYEQPUEICJGM-ZDUSSCGKSA-N 1 2 313.405 1.679 20 30 DDEDLO C#CC1(Nc2cc(N3CCC[C@H]3C(N)=O)[nH+]cn2)CCCCC1 ZINC001162480200 867564481 /nfs/dbraw/zinc/56/44/81/867564481.db2.gz YICYEQPUEICJGM-ZDUSSCGKSA-N 1 2 313.405 1.679 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNc2c(F)c(F)c(C#N)c(F)c2F)C1 ZINC001162689610 867737832 /nfs/dbraw/zinc/73/78/32/867737832.db2.gz KSGHICFFKNWAFK-SSDOTTSWSA-N 1 2 303.259 1.857 20 30 DDEDLO C[N@H+]1CCO[C@H](CNc2c(F)c(F)c(C#N)c(F)c2F)C1 ZINC001162689610 867737835 /nfs/dbraw/zinc/73/78/35/867737835.db2.gz KSGHICFFKNWAFK-SSDOTTSWSA-N 1 2 303.259 1.857 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]([NH3+])CNc1[nH]ccc2ncc(C#N)c1-2 ZINC001162854971 867879003 /nfs/dbraw/zinc/87/90/03/867879003.db2.gz WCRULMJSEVRZMB-JTQLQIEISA-N 1 2 301.350 1.515 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001325318571 867940519 /nfs/dbraw/zinc/94/05/19/867940519.db2.gz OASMYRLAEGUERU-UHFFFAOYSA-N 1 2 323.396 1.482 20 30 DDEDLO COC(=O)c1cc(O)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001225972573 882139234 /nfs/dbraw/zinc/13/92/34/882139234.db2.gz BTQFOENZQNFQED-HJTUNCCVSA-N 1 2 305.330 1.170 20 30 DDEDLO COC(=O)c1cc(O)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001225972573 882139239 /nfs/dbraw/zinc/13/92/39/882139239.db2.gz BTQFOENZQNFQED-HJTUNCCVSA-N 1 2 305.330 1.170 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCC(NC(=O)CCCC(C)=O)CC1 ZINC001226053090 882179482 /nfs/dbraw/zinc/17/94/82/882179482.db2.gz ROMCWJLVVMVYLO-AWEZNQCLSA-N 1 2 323.437 1.017 20 30 DDEDLO COc1c[nH+]c(N[C@@H](CC(C)C)C(=O)NO)nc1NC(C)C ZINC001163415506 868434904 /nfs/dbraw/zinc/43/49/04/868434904.db2.gz AFDCVICXTIEDHU-JTQLQIEISA-N 1 2 311.386 1.638 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](NC(=O)C#CC(C)C)[C@@H]1C ZINC001337983724 869728667 /nfs/dbraw/zinc/72/86/67/869728667.db2.gz JRCDIWSCKIUKDO-UONOGXRCSA-N 1 2 316.405 1.026 20 30 DDEDLO C=C[C@@H](COC)NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001338164761 869844257 /nfs/dbraw/zinc/84/42/57/869844257.db2.gz YCNMNEPZGHSFQM-JKSUJKDBSA-N 1 2 303.406 1.753 20 30 DDEDLO C=C[C@@H](COC)NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001338164761 869844261 /nfs/dbraw/zinc/84/42/61/869844261.db2.gz YCNMNEPZGHSFQM-JKSUJKDBSA-N 1 2 303.406 1.753 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@H](C)C1 ZINC001317050136 870165906 /nfs/dbraw/zinc/16/59/06/870165906.db2.gz NZBMUPZETWHUHD-TWMKSMIVSA-N 1 2 320.433 1.127 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@H](C)C1 ZINC001317050136 870165911 /nfs/dbraw/zinc/16/59/11/870165911.db2.gz NZBMUPZETWHUHD-TWMKSMIVSA-N 1 2 320.433 1.127 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[N@@H+](Cc2nnc(CC)o2)C1 ZINC001317128280 870305764 /nfs/dbraw/zinc/30/57/64/870305764.db2.gz NCWUIUKXUHSKHO-CYBMUJFWSA-N 1 2 306.410 1.926 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[N@H+](Cc2nnc(CC)o2)C1 ZINC001317128280 870305774 /nfs/dbraw/zinc/30/57/74/870305774.db2.gz NCWUIUKXUHSKHO-CYBMUJFWSA-N 1 2 306.410 1.926 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCC[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001298136162 870306568 /nfs/dbraw/zinc/30/65/68/870306568.db2.gz NMWYTBPXRNPGKE-OLZOCXBDSA-N 1 2 318.421 1.566 20 30 DDEDLO CCCc1cc(N2CCN(c3nccnc3C#N)CC2)nc(C)[nH+]1 ZINC001166919966 870374812 /nfs/dbraw/zinc/37/48/12/870374812.db2.gz LQEFBDZVFWMUGX-UHFFFAOYSA-N 1 2 323.404 1.726 20 30 DDEDLO CN(C(=O)C[N@H+](C)CCNC(=O)C#CC1CC1)C1CCCCC1 ZINC001317448262 870860222 /nfs/dbraw/zinc/86/02/22/870860222.db2.gz QUQBSXUFDIKDKP-UHFFFAOYSA-N 1 2 319.449 1.239 20 30 DDEDLO CN(C(=O)C[N@@H+](C)CCNC(=O)C#CC1CC1)C1CCCCC1 ZINC001317448262 870860231 /nfs/dbraw/zinc/86/02/31/870860231.db2.gz QUQBSXUFDIKDKP-UHFFFAOYSA-N 1 2 319.449 1.239 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN1C(=O)Cc1c[nH+]cn1C ZINC001299096684 870897310 /nfs/dbraw/zinc/89/73/10/870897310.db2.gz WDKCXGDMMMRGCN-SUMWQHHRSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCC[N@@H+](C)[C@@H](C)c1nncn1C ZINC001316839781 871233780 /nfs/dbraw/zinc/23/37/80/871233780.db2.gz HYMGQZAVNXBVMC-ZDUSSCGKSA-N 1 2 307.442 1.917 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCC[N@H+](C)[C@@H](C)c1nncn1C ZINC001316839781 871233791 /nfs/dbraw/zinc/23/37/91/871233791.db2.gz HYMGQZAVNXBVMC-ZDUSSCGKSA-N 1 2 307.442 1.917 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1C[NH+](Cc2ccc(CC)cc2)C1 ZINC001318019036 871691788 /nfs/dbraw/zinc/69/17/88/871691788.db2.gz VVJVRJQDJDHIDS-GOSISDBHSA-N 1 2 302.418 1.877 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)c(C)c1 ZINC001318224226 871847211 /nfs/dbraw/zinc/84/72/11/871847211.db2.gz KDICAIJWQYEKTM-ROUUACIJSA-N 1 2 312.413 1.852 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)c(C)c1 ZINC001318224226 871847220 /nfs/dbraw/zinc/84/72/20/871847220.db2.gz KDICAIJWQYEKTM-ROUUACIJSA-N 1 2 312.413 1.852 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@H+](CC(=O)NCC2CCC2)[C@@H]1C ZINC001316781021 871896307 /nfs/dbraw/zinc/89/63/07/871896307.db2.gz GLZUFKXQKUBKQE-ZBFHGGJFSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC2CCC2)[C@@H]1C ZINC001316781021 871896329 /nfs/dbraw/zinc/89/63/29/871896329.db2.gz GLZUFKXQKUBKQE-ZBFHGGJFSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001318329388 871935122 /nfs/dbraw/zinc/93/51/22/871935122.db2.gz JYDAPVJLZRGHDT-HUUCEWRRSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001318329388 871935135 /nfs/dbraw/zinc/93/51/35/871935135.db2.gz JYDAPVJLZRGHDT-HUUCEWRRSA-N 1 2 307.438 1.141 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(C)CC1 ZINC001342060930 871978320 /nfs/dbraw/zinc/97/83/20/871978320.db2.gz BASCYGRJURZSJC-KBPBESRZSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(C)CC1 ZINC001342060930 871978343 /nfs/dbraw/zinc/97/83/43/871978343.db2.gz BASCYGRJURZSJC-KBPBESRZSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@H]1CC[N@H+](Cc2cnnn2C)C1 ZINC001318406083 872005740 /nfs/dbraw/zinc/00/57/40/872005740.db2.gz CVNDFOBRHUNTQH-ZDUSSCGKSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@H]1CC[N@@H+](Cc2cnnn2C)C1 ZINC001318406083 872005764 /nfs/dbraw/zinc/00/57/64/872005764.db2.gz CVNDFOBRHUNTQH-ZDUSSCGKSA-N 1 2 305.426 1.450 20 30 DDEDLO CCC[C@@H](C)CC(=O)N(CC)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318456942 872071930 /nfs/dbraw/zinc/07/19/30/872071930.db2.gz FQKXYUFSFGBQJF-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO CCC[C@@H](C)CC(=O)N(CC)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318456942 872071953 /nfs/dbraw/zinc/07/19/53/872071953.db2.gz FQKXYUFSFGBQJF-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@@H]1C[C@@H](C)CO1)C2 ZINC001316806213 872077857 /nfs/dbraw/zinc/07/78/57/872077857.db2.gz QJEKBEOOPKBPDY-YPMHNXCESA-N 1 2 319.430 1.176 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)NC(C)(C)C)C3)C2)cc1C#N ZINC001277146011 882581731 /nfs/dbraw/zinc/58/17/31/882581731.db2.gz QMJQFMNKOYRTGZ-UHFFFAOYSA-N 1 2 315.421 1.522 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001206485314 872372805 /nfs/dbraw/zinc/37/28/05/872372805.db2.gz HXBCCJKVWXHQNR-IIAWOOMASA-N 1 2 320.437 1.338 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001206485314 872372824 /nfs/dbraw/zinc/37/28/24/872372824.db2.gz HXBCCJKVWXHQNR-IIAWOOMASA-N 1 2 320.437 1.338 20 30 DDEDLO C#CCOCCC(=O)N(C)C1CC[NH+](Cc2coc(C)n2)CC1 ZINC001316930201 872403198 /nfs/dbraw/zinc/40/31/98/872403198.db2.gz ACYMXSYZQUPNPD-UHFFFAOYSA-N 1 2 319.405 1.446 20 30 DDEDLO CC(C)C[C@H](C(=O)N[C@H]1CCCN(CC#N)C1)n1cc[nH+]c1 ZINC001316942789 872427327 /nfs/dbraw/zinc/42/73/27/872427327.db2.gz FUPWPOSDOCGYEP-LSDHHAIUSA-N 1 2 303.410 1.574 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)CCC)C1 ZINC001316949126 872449698 /nfs/dbraw/zinc/44/96/98/872449698.db2.gz YUPPWWWLOFAWNN-HUUCEWRRSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](NC(=O)C(C)(C)CCC)C1 ZINC001316949126 872449702 /nfs/dbraw/zinc/44/97/02/872449702.db2.gz YUPPWWWLOFAWNN-HUUCEWRRSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](NC(=O)C(C)(CC)CC)C1 ZINC001316951248 872451999 /nfs/dbraw/zinc/45/19/99/872451999.db2.gz IAWWVJWIODAXOP-CABCVRRESA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](NC(=O)C(C)(CC)CC)C1 ZINC001316951248 872452005 /nfs/dbraw/zinc/45/20/05/872452005.db2.gz IAWWVJWIODAXOP-CABCVRRESA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](NC(=O)C(C)(CC)CC)C1 ZINC001316951252 872452309 /nfs/dbraw/zinc/45/23/09/872452309.db2.gz IAWWVJWIODAXOP-LSDHHAIUSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](NC(=O)C(C)(CC)CC)C1 ZINC001316951252 872452320 /nfs/dbraw/zinc/45/23/20/872452320.db2.gz IAWWVJWIODAXOP-LSDHHAIUSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CC[C@H](C)CC)C1 ZINC001316955022 872464296 /nfs/dbraw/zinc/46/42/96/872464296.db2.gz HXAIUDSWNQSVAU-CABCVRRESA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CC[C@H](C)CC)C1 ZINC001316955022 872464303 /nfs/dbraw/zinc/46/43/03/872464303.db2.gz HXAIUDSWNQSVAU-CABCVRRESA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C3CC3)o2)C[C@H]1C ZINC001206607718 872472729 /nfs/dbraw/zinc/47/27/29/872472729.db2.gz XVHFINNHNQQWOH-TZMCWYRMSA-N 1 2 319.405 1.691 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ncc(C3CC3)o2)C[C@H]1C ZINC001206607718 872472738 /nfs/dbraw/zinc/47/27/38/872472738.db2.gz XVHFINNHNQQWOH-TZMCWYRMSA-N 1 2 319.405 1.691 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ccn(C(C)C)n2)C[C@H]1C ZINC001206620307 872480204 /nfs/dbraw/zinc/48/02/04/872480204.db2.gz OEBKGQVPVZOUSI-GDBMZVCRSA-N 1 2 320.437 1.603 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ccn(C(C)C)n2)C[C@H]1C ZINC001206620307 872480212 /nfs/dbraw/zinc/48/02/12/872480212.db2.gz OEBKGQVPVZOUSI-GDBMZVCRSA-N 1 2 320.437 1.603 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N1CC(Oc2cc[nH+]cc2)C1 ZINC001361911351 882626857 /nfs/dbraw/zinc/62/68/57/882626857.db2.gz DGHCMUGSBHUMDP-UHFFFAOYSA-N 1 2 309.325 1.865 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)C[C@H](C)n2cccn2)C1 ZINC001319331283 872582980 /nfs/dbraw/zinc/58/29/80/872582980.db2.gz XLYCBYIHZMPPHY-GJZGRUSLSA-N 1 2 306.410 1.227 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)C[C@H](C)n2cccn2)C1 ZINC001319331283 872582999 /nfs/dbraw/zinc/58/29/99/872582999.db2.gz XLYCBYIHZMPPHY-GJZGRUSLSA-N 1 2 306.410 1.227 20 30 DDEDLO C=C(C)Cn1c(N2CCC[C@@H]2C)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001343403750 872610104 /nfs/dbraw/zinc/61/01/04/872610104.db2.gz HGXCCVMLCOFUCY-GRDNDAEWSA-N 1 2 319.453 1.755 20 30 DDEDLO C=C(C)Cn1c(N2CCC[C@@H]2C)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001343403750 872610110 /nfs/dbraw/zinc/61/01/10/872610110.db2.gz HGXCCVMLCOFUCY-GRDNDAEWSA-N 1 2 319.453 1.755 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2cn(C)nn2)C[C@H]1C ZINC001207107440 873023685 /nfs/dbraw/zinc/02/36/85/873023685.db2.gz IZTIMUMOGQJERR-CZUORRHYSA-N 1 2 317.437 1.520 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2cn(C)nn2)C[C@H]1C ZINC001207107440 873023706 /nfs/dbraw/zinc/02/37/06/873023706.db2.gz IZTIMUMOGQJERR-CZUORRHYSA-N 1 2 317.437 1.520 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)CC1 ZINC001344751462 873102499 /nfs/dbraw/zinc/10/24/99/873102499.db2.gz NWZBKMNBNHYVHK-OAHLLOKOSA-N 1 2 316.405 1.471 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001207621860 873421176 /nfs/dbraw/zinc/42/11/76/873421176.db2.gz AHKZOGGPOQYKKS-JSGCOSHPSA-N 1 2 322.409 1.440 20 30 DDEDLO C#CCN(C)c1nnc(C[NH+]2CCCC2)n1C[C@@H]1CCCCO1 ZINC001345756838 873502582 /nfs/dbraw/zinc/50/25/82/873502582.db2.gz AVKQLPFOCKAOPP-HNNXBMFYSA-N 1 2 317.437 1.512 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NCc1cc[nH+]c(NC)c1 ZINC001346439726 873754975 /nfs/dbraw/zinc/75/49/75/873754975.db2.gz OCQAIWUYOLVABY-HIFRSBDPSA-N 1 2 304.394 1.904 20 30 DDEDLO C=CCN(CC[N@@H+]1CC[C@@H]2SC(=O)C=C2C1)C(=O)OCC ZINC001209019870 874606655 /nfs/dbraw/zinc/60/66/55/874606655.db2.gz UTBHHHDCNBQBHX-ZDUSSCGKSA-N 1 2 310.419 1.905 20 30 DDEDLO C=CCN(CC[N@H+]1CC[C@@H]2SC(=O)C=C2C1)C(=O)OCC ZINC001209019870 874606662 /nfs/dbraw/zinc/60/66/62/874606662.db2.gz UTBHHHDCNBQBHX-ZDUSSCGKSA-N 1 2 310.419 1.905 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1CCCCOC ZINC001209216490 874742523 /nfs/dbraw/zinc/74/25/23/874742523.db2.gz XFAABQUOPFSPEJ-HNNXBMFYSA-N 1 2 308.426 1.826 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1CCCCOC ZINC001209216490 874742531 /nfs/dbraw/zinc/74/25/31/874742531.db2.gz XFAABQUOPFSPEJ-HNNXBMFYSA-N 1 2 308.426 1.826 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CN(C)C(C)=O)C(C)(C)C1 ZINC001378649600 875324245 /nfs/dbraw/zinc/32/42/45/875324245.db2.gz PMQJLOUGAPWJDM-GFCCVEGCSA-N 1 2 301.818 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CN(C)C(C)=O)C(C)(C)C1 ZINC001378649600 875324233 /nfs/dbraw/zinc/32/42/33/875324233.db2.gz PMQJLOUGAPWJDM-GFCCVEGCSA-N 1 2 301.818 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CN(C)C(C)=O)C(C)(C)C1 ZINC001378649604 875324598 /nfs/dbraw/zinc/32/45/98/875324598.db2.gz PMQJLOUGAPWJDM-LBPRGKRZSA-N 1 2 301.818 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CN(C)C(C)=O)C(C)(C)C1 ZINC001378649604 875324613 /nfs/dbraw/zinc/32/46/13/875324613.db2.gz PMQJLOUGAPWJDM-LBPRGKRZSA-N 1 2 301.818 1.044 20 30 DDEDLO N#Cc1ccnc(CN2CC3(C2)C[NH+](Cc2cccc(=O)[nH]2)C3)c1 ZINC001276688481 875493973 /nfs/dbraw/zinc/49/39/73/875493973.db2.gz YSYGVTZXPFGQRY-UHFFFAOYSA-N 1 2 321.384 1.372 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)CCc2cncn2C)C1 ZINC001210717202 875496189 /nfs/dbraw/zinc/49/61/89/875496189.db2.gz BVUGLGHWYZESOT-BXUZGUMPSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)CCc2cncn2C)C1 ZINC001210717202 875496199 /nfs/dbraw/zinc/49/61/99/875496199.db2.gz BVUGLGHWYZESOT-BXUZGUMPSA-N 1 2 310.829 1.542 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nc(C)cs2)C[C@H]1C ZINC001211425714 875803045 /nfs/dbraw/zinc/80/30/45/875803045.db2.gz PWSKDYNAPYTTBI-KWCYVHTRSA-N 1 2 323.462 1.979 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nc(C)cs2)C[C@H]1C ZINC001211425714 875803056 /nfs/dbraw/zinc/80/30/56/875803056.db2.gz PWSKDYNAPYTTBI-KWCYVHTRSA-N 1 2 323.462 1.979 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+](Cc2coc(C)n2)CC1 ZINC001227322814 882969792 /nfs/dbraw/zinc/96/97/92/882969792.db2.gz DMYKXPIVBUYZTA-UHFFFAOYSA-N 1 2 305.378 1.104 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001227322768 882970668 /nfs/dbraw/zinc/97/06/68/882970668.db2.gz BYLHTQDFFULEJP-AWEZNQCLSA-N 1 2 316.405 1.158 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213319915 875896296 /nfs/dbraw/zinc/89/62/96/875896296.db2.gz GSVOSSZZNTZVNE-FVQBIDKESA-N 1 2 320.437 1.338 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213319915 875896310 /nfs/dbraw/zinc/89/63/10/875896310.db2.gz GSVOSSZZNTZVNE-FVQBIDKESA-N 1 2 320.437 1.338 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2CC(C)C)[C@H](OC)C1 ZINC001213462852 875944777 /nfs/dbraw/zinc/94/47/77/875944777.db2.gz UCLPWDCICMSFNW-QBPKDAKJSA-N 1 2 322.449 1.134 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2CC(C)C)[C@H](OC)C1 ZINC001213462852 875944782 /nfs/dbraw/zinc/94/47/82/875944782.db2.gz UCLPWDCICMSFNW-QBPKDAKJSA-N 1 2 322.449 1.134 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)Oc2ccc(F)cc2)[C@H](OC)C1 ZINC001213833304 876069940 /nfs/dbraw/zinc/06/99/40/876069940.db2.gz HIHFLNIOUKINEL-DAXOMENPSA-N 1 2 320.364 1.042 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)Oc2ccc(F)cc2)[C@H](OC)C1 ZINC001213833304 876069959 /nfs/dbraw/zinc/06/99/59/876069959.db2.gz HIHFLNIOUKINEL-DAXOMENPSA-N 1 2 320.364 1.042 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nncs3)C[C@H]21 ZINC001276707795 876150507 /nfs/dbraw/zinc/15/05/07/876150507.db2.gz JWLQQHHLYNXSJP-XDQVBPFNSA-N 1 2 320.462 1.934 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nncs3)C[C@H]21 ZINC001276707795 876150514 /nfs/dbraw/zinc/15/05/14/876150514.db2.gz JWLQQHHLYNXSJP-XDQVBPFNSA-N 1 2 320.462 1.934 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnn(C(C)(C)C)n2)C1 ZINC001214304359 876274699 /nfs/dbraw/zinc/27/46/99/876274699.db2.gz PEBHZMVVAZERHO-CHWSQXEVSA-N 1 2 320.441 1.331 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001352946312 877030284 /nfs/dbraw/zinc/03/02/84/877030284.db2.gz YCNRKRBYVVVUII-BLLLJJGKSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001216929822 877095036 /nfs/dbraw/zinc/09/50/36/877095036.db2.gz CZHWATOVQNRATH-FRRDWIJNSA-N 1 2 322.409 1.160 20 30 DDEDLO C[N@H+](CCCF)CN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC001353215029 877193293 /nfs/dbraw/zinc/19/32/93/877193293.db2.gz CNDNZSDDYDOBJZ-MRXNPFEDSA-N 1 2 318.352 1.574 20 30 DDEDLO C[N@@H+](CCCF)CN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC001353215029 877193300 /nfs/dbraw/zinc/19/33/00/877193300.db2.gz CNDNZSDDYDOBJZ-MRXNPFEDSA-N 1 2 318.352 1.574 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC001353454059 877344641 /nfs/dbraw/zinc/34/46/41/877344641.db2.gz MDWBSPRBZVWFLO-KGLIPLIRSA-N 1 2 316.405 1.129 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCO[C@H](C)CC(C)C)[C@H]2C1 ZINC001217867588 877344835 /nfs/dbraw/zinc/34/48/35/877344835.db2.gz CNZJGOQKOIORRR-IXDOHACOSA-N 1 2 322.449 1.373 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCO[C@H](C)CC(C)C)[C@H]2C1 ZINC001217867588 877344850 /nfs/dbraw/zinc/34/48/50/877344850.db2.gz CNZJGOQKOIORRR-IXDOHACOSA-N 1 2 322.449 1.373 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H]([C@H](C)[NH2+]Cc2nc(C)no2)C1 ZINC001276786795 877492684 /nfs/dbraw/zinc/49/26/84/877492684.db2.gz GLJBHXFGTRVQCQ-GXTWGEPZSA-N 1 2 322.409 1.440 20 30 DDEDLO C#CCN(C)c1nnc(C(C)C)n1CC[N@@H+]1CCOC[C@@H]1C ZINC001300432936 877620453 /nfs/dbraw/zinc/62/04/53/877620453.db2.gz SIOTXSDYTSIHKD-AWEZNQCLSA-N 1 2 305.426 1.192 20 30 DDEDLO C#CCN(C)c1nnc(C(C)C)n1CC[N@H+]1CCOC[C@@H]1C ZINC001300432936 877620467 /nfs/dbraw/zinc/62/04/67/877620467.db2.gz SIOTXSDYTSIHKD-AWEZNQCLSA-N 1 2 305.426 1.192 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@@H]1O ZINC001219707032 878469356 /nfs/dbraw/zinc/46/93/56/878469356.db2.gz ZZPWULIOPQPAMS-OLZOCXBDSA-N 1 2 309.435 1.407 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@@H]1O ZINC001219707032 878469371 /nfs/dbraw/zinc/46/93/71/878469371.db2.gz ZZPWULIOPQPAMS-OLZOCXBDSA-N 1 2 309.435 1.407 20 30 DDEDLO CC(C)[C@@H](F)C(=O)N[C@@H]1C[N@H+](Cc2cccc(C#N)c2)C[C@@H]1O ZINC001220174551 878779648 /nfs/dbraw/zinc/77/96/48/878779648.db2.gz KDGFRLFKHWUPBE-OWCLPIDISA-N 1 2 319.380 1.214 20 30 DDEDLO CC(C)[C@@H](F)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1O ZINC001220174551 878779656 /nfs/dbraw/zinc/77/96/56/878779656.db2.gz KDGFRLFKHWUPBE-OWCLPIDISA-N 1 2 319.380 1.214 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220206058 878820596 /nfs/dbraw/zinc/82/05/96/878820596.db2.gz WPJOLUXWPRFLEZ-CFVMTHIKSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220206058 878820605 /nfs/dbraw/zinc/82/06/05/878820605.db2.gz WPJOLUXWPRFLEZ-CFVMTHIKSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@@H]1O ZINC001220291749 878887082 /nfs/dbraw/zinc/88/70/82/878887082.db2.gz GFZYFEXVOBBGQT-HLLBOEOZSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@@H]1O ZINC001220291749 878887091 /nfs/dbraw/zinc/88/70/91/878887091.db2.gz GFZYFEXVOBBGQT-HLLBOEOZSA-N 1 2 303.406 1.345 20 30 DDEDLO CCCN(CCNC(=O)C#CC1CC1)C(=O)CCc1[nH]cc[nH+]1 ZINC001356460382 879063679 /nfs/dbraw/zinc/06/36/79/879063679.db2.gz DENPKKXUWCJXFB-UHFFFAOYSA-N 1 2 316.405 1.111 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001356825505 879432878 /nfs/dbraw/zinc/43/28/78/879432878.db2.gz XDORPDQQRLUNMT-UHFFFAOYSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001356825505 879432889 /nfs/dbraw/zinc/43/28/89/879432889.db2.gz XDORPDQQRLUNMT-UHFFFAOYSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@H](C)CC)C[C@H]21 ZINC001221425014 879779787 /nfs/dbraw/zinc/77/97/87/879779787.db2.gz VRFFGZKVSCEIAJ-RBSFLKMASA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@H](C)CC)C[C@H]21 ZINC001221425014 879779798 /nfs/dbraw/zinc/77/97/98/879779798.db2.gz VRFFGZKVSCEIAJ-RBSFLKMASA-N 1 2 307.438 1.400 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3snnc3C)C[C@H]21 ZINC001221515069 879905943 /nfs/dbraw/zinc/90/59/43/879905943.db2.gz UFDNSZXDPVVUET-ZIAGYGMSSA-N 1 2 318.446 1.683 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+](Cc3snnc3C)C[C@H]21 ZINC001221515069 879905955 /nfs/dbraw/zinc/90/59/55/879905955.db2.gz UFDNSZXDPVVUET-ZIAGYGMSSA-N 1 2 318.446 1.683 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC)C[C@H]21 ZINC001221790787 880083928 /nfs/dbraw/zinc/08/39/28/880083928.db2.gz YZJWKNHEAWKUEH-CPUCHLNUSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC)C[C@H]21 ZINC001221790787 880083941 /nfs/dbraw/zinc/08/39/41/880083941.db2.gz YZJWKNHEAWKUEH-CPUCHLNUSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001358347182 880408038 /nfs/dbraw/zinc/40/80/38/880408038.db2.gz AOASAXLTCPJGFV-OLZOCXBDSA-N 1 2 318.421 1.807 20 30 DDEDLO CCCCc1noc(C[NH2+]C2(CNC(=O)[C@@H](C)C#N)CCC2)n1 ZINC001380852840 880530971 /nfs/dbraw/zinc/53/09/71/880530971.db2.gz OTDPAUQWLVYCEH-LBPRGKRZSA-N 1 2 319.409 1.700 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001287932531 912603368 /nfs/dbraw/zinc/60/33/68/912603368.db2.gz DYDOOZHSGYRKSZ-QWHCGFSZSA-N 1 2 304.394 1.414 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1CC[NH+](Cc2nc(C)no2)CC1 ZINC001222975568 880768422 /nfs/dbraw/zinc/76/84/22/880768422.db2.gz REQLHLMXHXCWJF-MRXNPFEDSA-N 1 2 322.409 1.033 20 30 DDEDLO C=C(C)CCC(=O)N1CC(N(C)C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001358732616 880826610 /nfs/dbraw/zinc/82/66/10/880826610.db2.gz AOOWCLRFUMMSFZ-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)CCC(=O)N1CC(N(C)C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001358732616 880826622 /nfs/dbraw/zinc/82/66/22/880826622.db2.gz AOOWCLRFUMMSFZ-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO CCn1ncc(C[NH+]2CCC(CNC(=O)C#CC(C)C)CC2)n1 ZINC001223097319 880828390 /nfs/dbraw/zinc/82/83/90/880828390.db2.gz XYLLMSIWRSABSS-UHFFFAOYSA-N 1 2 317.437 1.286 20 30 DDEDLO Cc1nnc([C@@H](C)[NH+]2CCC(CNC(=O)C#CC3CC3)CC2)[nH]1 ZINC001223134821 880845331 /nfs/dbraw/zinc/84/53/31/880845331.db2.gz RYLWLCHRQNSTOY-GFCCVEGCSA-N 1 2 315.421 1.416 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]3C[C@]32C(=O)NCC(F)F)cc1 ZINC001276930226 881142595 /nfs/dbraw/zinc/14/25/95/881142595.db2.gz KTRHKFPSESQFFT-XJKSGUPXSA-N 1 2 305.328 1.904 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]3C[C@]32C(=O)NCC(F)F)cc1 ZINC001276930226 881142605 /nfs/dbraw/zinc/14/26/05/881142605.db2.gz KTRHKFPSESQFFT-XJKSGUPXSA-N 1 2 305.328 1.904 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001288010424 912663805 /nfs/dbraw/zinc/66/38/05/912663805.db2.gz PQXXIUNXYJCCHG-LSDHHAIUSA-N 1 2 316.405 1.038 20 30 DDEDLO C=CCCCC(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224273023 881306040 /nfs/dbraw/zinc/30/60/40/881306040.db2.gz LMHGBGRVUPRZBM-UHFFFAOYSA-N 1 2 305.426 1.500 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OCCOc2ccc(C#N)cc2)C[N@@H+]1C ZINC001224286009 881309844 /nfs/dbraw/zinc/30/98/44/881309844.db2.gz HXKAZJCSLTWFFH-CABCVRRESA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OCCOc2ccc(C#N)cc2)C[N@H+]1C ZINC001224286009 881309854 /nfs/dbraw/zinc/30/98/54/881309854.db2.gz HXKAZJCSLTWFFH-CABCVRRESA-N 1 2 304.346 1.199 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001288056553 912702049 /nfs/dbraw/zinc/70/20/49/912702049.db2.gz PSJYGHPHWUBNAQ-CHWSQXEVSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001228748519 883652300 /nfs/dbraw/zinc/65/23/00/883652300.db2.gz LOOLERIAHYOCSL-KGLIPLIRSA-N 1 2 319.453 1.837 20 30 DDEDLO N#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001316645597 912825153 /nfs/dbraw/zinc/82/51/53/912825153.db2.gz XPPCFVCYDUKTIB-DLBZAZTESA-N 1 2 323.400 1.381 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2nccs2)CC1 ZINC001230406653 884473253 /nfs/dbraw/zinc/47/32/53/884473253.db2.gz AKKUQVFFQUJMPJ-GFCCVEGCSA-N 1 2 309.435 1.815 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2nccn2CC)CC1 ZINC001230411290 884477666 /nfs/dbraw/zinc/47/76/66/884477666.db2.gz CGVNSDJALKCWCX-UHFFFAOYSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CC1 ZINC001230532094 884547543 /nfs/dbraw/zinc/54/75/43/884547543.db2.gz DBDQAFLSSVZPHW-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1CC1 ZINC001230532094 884547555 /nfs/dbraw/zinc/54/75/55/884547555.db2.gz DBDQAFLSSVZPHW-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230658535 884710086 /nfs/dbraw/zinc/71/00/86/884710086.db2.gz BLWJYJAVDSIDDN-HNNXBMFYSA-N 1 2 319.380 1.767 20 30 DDEDLO CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230658535 884710103 /nfs/dbraw/zinc/71/01/03/884710103.db2.gz BLWJYJAVDSIDDN-HNNXBMFYSA-N 1 2 319.380 1.767 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ocnc1C ZINC001231103615 885230101 /nfs/dbraw/zinc/23/01/01/885230101.db2.gz QTGQNRKBHNGULC-HUUCEWRRSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ocnc1C ZINC001231103615 885230119 /nfs/dbraw/zinc/23/01/19/885230119.db2.gz QTGQNRKBHNGULC-HUUCEWRRSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCCCCC1 ZINC001231122675 885256166 /nfs/dbraw/zinc/25/61/66/885256166.db2.gz MTGJWPYAWKEDGY-MRXNPFEDSA-N 1 2 321.465 1.888 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCCCCC1 ZINC001231122675 885256183 /nfs/dbraw/zinc/25/61/83/885256183.db2.gz MTGJWPYAWKEDGY-MRXNPFEDSA-N 1 2 321.465 1.888 20 30 DDEDLO Cc1nsc(C)c1C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231245772 885424312 /nfs/dbraw/zinc/42/43/12/885424312.db2.gz AZZILFKNVTZFIE-ZWNOBZJWSA-N 1 2 306.435 1.952 20 30 DDEDLO Cc1nsc(C)c1C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231245772 885424332 /nfs/dbraw/zinc/42/43/32/885424332.db2.gz AZZILFKNVTZFIE-ZWNOBZJWSA-N 1 2 306.435 1.952 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@@H]2C[C@@H](CO)C[C@H]21 ZINC001231617334 885763046 /nfs/dbraw/zinc/76/30/46/885763046.db2.gz TTXROWPHBWQUNI-YSVLISHTSA-N 1 2 302.374 1.539 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@@H]2C[C@@H](CO)C[C@H]21 ZINC001231617334 885763059 /nfs/dbraw/zinc/76/30/59/885763059.db2.gz TTXROWPHBWQUNI-YSVLISHTSA-N 1 2 302.374 1.539 20 30 DDEDLO N#Cc1ccccc1OCC[NH+]1CC2(CCN2Cc2cn[nH]c2)C1 ZINC001277595947 885993977 /nfs/dbraw/zinc/99/39/77/885993977.db2.gz KZUBZLCOPDONTC-UHFFFAOYSA-N 1 2 323.400 1.620 20 30 DDEDLO C[C@@H]1Cc2n[nH]cc2C[N@@H+](CC(=O)N(CCC#N)CCC#N)C1 ZINC001363320257 886011910 /nfs/dbraw/zinc/01/19/10/886011910.db2.gz BGJGAEMOGJAPHG-CYBMUJFWSA-N 1 2 314.393 1.060 20 30 DDEDLO C[C@@H]1Cc2n[nH]cc2C[N@H+](CC(=O)N(CCC#N)CCC#N)C1 ZINC001363320257 886011919 /nfs/dbraw/zinc/01/19/19/886011919.db2.gz BGJGAEMOGJAPHG-CYBMUJFWSA-N 1 2 314.393 1.060 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3ccco3)CC2)c(O)c1 ZINC001233383445 886964918 /nfs/dbraw/zinc/96/49/18/886964918.db2.gz IHEXZNARSLDKCE-UHFFFAOYSA-N 1 2 311.341 1.815 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C=C(CC)CC ZINC001233610568 887150329 /nfs/dbraw/zinc/15/03/29/887150329.db2.gz JVBSUIIWCILBOS-GOEBONIOSA-N 1 2 321.465 1.956 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C=C(CC)CC ZINC001233610568 887150346 /nfs/dbraw/zinc/15/03/46/887150346.db2.gz JVBSUIIWCILBOS-GOEBONIOSA-N 1 2 321.465 1.956 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1cc(C)on1 ZINC001233666322 887206114 /nfs/dbraw/zinc/20/61/14/887206114.db2.gz VOIAPHPBECCTPG-UHFFFAOYSA-N 1 2 316.199 1.943 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1cc(C)on1 ZINC001233666322 887206127 /nfs/dbraw/zinc/20/61/27/887206127.db2.gz VOIAPHPBECCTPG-UHFFFAOYSA-N 1 2 316.199 1.943 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cncn1C ZINC001233760997 887291705 /nfs/dbraw/zinc/29/17/05/887291705.db2.gz GQVCSWDLFSUJTJ-OAHLLOKOSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cncn1C ZINC001233760997 887291719 /nfs/dbraw/zinc/29/17/19/887291719.db2.gz GQVCSWDLFSUJTJ-OAHLLOKOSA-N 1 2 302.422 1.646 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)N(C)C(C)C ZINC001234170986 887710005 /nfs/dbraw/zinc/71/00/05/887710005.db2.gz NFIDYOYLAXYDOM-HOTGVXAUSA-N 1 2 321.465 1.434 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)N(C)C(C)C ZINC001234170986 887710018 /nfs/dbraw/zinc/71/00/18/887710018.db2.gz NFIDYOYLAXYDOM-HOTGVXAUSA-N 1 2 321.465 1.434 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1ccon1 ZINC001234512652 888012987 /nfs/dbraw/zinc/01/29/87/888012987.db2.gz KZTYOZXMUXITJB-UHFFFAOYSA-N 1 2 302.172 1.635 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1ccon1 ZINC001234512652 888012998 /nfs/dbraw/zinc/01/29/98/888012998.db2.gz KZTYOZXMUXITJB-UHFFFAOYSA-N 1 2 302.172 1.635 20 30 DDEDLO Cc1ccccc1[C@H]1CN(S(=O)(=O)N(C)CCC#N)CC[NH2+]1 ZINC001364182446 888097146 /nfs/dbraw/zinc/09/71/46/888097146.db2.gz WRDOTWCAHGJYFM-OAHLLOKOSA-N 1 2 322.434 1.032 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC1CCCC1 ZINC001234604729 888134984 /nfs/dbraw/zinc/13/49/84/888134984.db2.gz UPBWKLRCRKPOBW-MRXNPFEDSA-N 1 2 321.465 1.934 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC1CCCC1 ZINC001234604729 888134990 /nfs/dbraw/zinc/13/49/90/888134990.db2.gz UPBWKLRCRKPOBW-MRXNPFEDSA-N 1 2 321.465 1.934 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)[NH2+]Cc1nc(N(C)C)no1 ZINC001277901323 888228988 /nfs/dbraw/zinc/22/89/88/888228988.db2.gz XGIQFSQVLSOGON-LBPRGKRZSA-N 1 2 323.441 1.674 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)nc1 ZINC001364800543 889441213 /nfs/dbraw/zinc/44/12/13/889441213.db2.gz XXSKISOWHOQOSJ-GFCCVEGCSA-N 1 2 317.374 1.245 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CCn3c[nH+]cc3C2)c1Cl ZINC001364853795 889552236 /nfs/dbraw/zinc/55/22/36/889552236.db2.gz WIKIUCAZHOQJMG-UHFFFAOYSA-N 1 2 322.777 1.613 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CCC[C@@H](n4cncn4)C3)cn2c1 ZINC001237607927 889748368 /nfs/dbraw/zinc/74/83/68/889748368.db2.gz ANLSFWQAHJVTSN-OAHLLOKOSA-N 1 2 307.361 1.635 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CCC[C@@H](n4cncn4)C3)cn2c1 ZINC001237607927 889748376 /nfs/dbraw/zinc/74/83/76/889748376.db2.gz ANLSFWQAHJVTSN-OAHLLOKOSA-N 1 2 307.361 1.635 20 30 DDEDLO N#CCNC[C@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C1CCCC1 ZINC001278253053 890277009 /nfs/dbraw/zinc/27/70/09/890277009.db2.gz UFYSJRKZOLEZQB-CABCVRRESA-N 1 2 315.421 1.234 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)Cn1cc[nH+]c1 ZINC001291815595 913583586 /nfs/dbraw/zinc/58/35/86/913583586.db2.gz GRGLWIFBAHBKTB-RDBSUJKOSA-N 1 2 302.378 1.097 20 30 DDEDLO CO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)[C@@H]1C ZINC001365890079 891813780 /nfs/dbraw/zinc/81/37/80/891813780.db2.gz OLRKSCUDGRTLIQ-HSMVNMDESA-N 1 2 319.380 1.811 20 30 DDEDLO CO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)[C@@H]1C ZINC001365890079 891813795 /nfs/dbraw/zinc/81/37/95/891813795.db2.gz OLRKSCUDGRTLIQ-HSMVNMDESA-N 1 2 319.380 1.811 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@@H](CNC(=O)[C@@H](C)C#N)C2CC2)n1 ZINC001366034902 892287353 /nfs/dbraw/zinc/28/73/53/892287353.db2.gz ZGWLYRBNYONMGG-AAEUAGOBSA-N 1 2 319.409 1.412 20 30 DDEDLO C[C@H]1[C@H](NC(=O)CC(C)(C)C)CCC[N@@H+]1CC(=O)NCC#N ZINC001278498775 893535133 /nfs/dbraw/zinc/53/51/33/893535133.db2.gz AIWHXDDZTWHURU-QWHCGFSZSA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@H]1[C@H](NC(=O)CC(C)(C)C)CCC[N@H+]1CC(=O)NCC#N ZINC001278498775 893535145 /nfs/dbraw/zinc/53/51/45/893535145.db2.gz AIWHXDDZTWHURU-QWHCGFSZSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(C)OCCC(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001366515728 893808828 /nfs/dbraw/zinc/80/88/28/893808828.db2.gz UPVNUQJOFKIHHP-UHFFFAOYSA-N 1 2 303.406 1.921 20 30 DDEDLO CC(C)OCCC(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001366515728 893808847 /nfs/dbraw/zinc/80/88/47/893808847.db2.gz UPVNUQJOFKIHHP-UHFFFAOYSA-N 1 2 303.406 1.921 20 30 DDEDLO Cc1cccc(C)c1NC(=O)C[N@@H+](C)CCNC(=O)[C@H](C)C#N ZINC001366517378 893820441 /nfs/dbraw/zinc/82/04/41/893820441.db2.gz FDYRHLVRXKFXAC-CQSZACIVSA-N 1 2 316.405 1.450 20 30 DDEDLO Cc1cccc(C)c1NC(=O)C[N@H+](C)CCNC(=O)[C@H](C)C#N ZINC001366517378 893820460 /nfs/dbraw/zinc/82/04/60/893820460.db2.gz FDYRHLVRXKFXAC-CQSZACIVSA-N 1 2 316.405 1.450 20 30 DDEDLO CC(C)CCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001278522515 894069098 /nfs/dbraw/zinc/06/90/98/894069098.db2.gz YYYOWTZXGUNNMO-CABCVRRESA-N 1 2 322.453 1.422 20 30 DDEDLO CC(C)CCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001278522515 894069115 /nfs/dbraw/zinc/06/91/15/894069115.db2.gz YYYOWTZXGUNNMO-CABCVRRESA-N 1 2 322.453 1.422 20 30 DDEDLO C#CCOC[C@H](O)CNc1cc[nH+]c2c(C(=O)OC)cccc12 ZINC001251829088 894829900 /nfs/dbraw/zinc/82/99/00/894829900.db2.gz MGXYKWGHDAKCOC-GFCCVEGCSA-N 1 2 314.341 1.444 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@@H]2CN(C(=O)C#CC(C)C)CC2(C)C)n1 ZINC001278640558 894935949 /nfs/dbraw/zinc/93/59/49/894935949.db2.gz SWNMNGGGQKZQJR-TZMCWYRMSA-N 1 2 318.421 1.925 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1C[C@@](C)(O)C=C ZINC001252547163 895258970 /nfs/dbraw/zinc/25/89/70/895258970.db2.gz DSCKTSHRHJTTHG-HOCLYGCPSA-N 1 2 306.410 1.337 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1C[C@@](C)(O)C=C ZINC001252547163 895258983 /nfs/dbraw/zinc/25/89/83/895258983.db2.gz DSCKTSHRHJTTHG-HOCLYGCPSA-N 1 2 306.410 1.337 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1CCCn2c(CN(C)C(C)C)nnc2C1 ZINC001252552678 895272019 /nfs/dbraw/zinc/27/20/19/895272019.db2.gz YIPIUCWIRKXBHU-MRXNPFEDSA-N 1 2 307.442 1.261 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1CCCn2c(CN(C)C(C)C)nnc2C1 ZINC001252552678 895272033 /nfs/dbraw/zinc/27/20/33/895272033.db2.gz YIPIUCWIRKXBHU-MRXNPFEDSA-N 1 2 307.442 1.261 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCc2cnn(C)n2)C1 ZINC001366905365 895299426 /nfs/dbraw/zinc/29/94/26/895299426.db2.gz PCFDPESPUQFIDR-ZDUSSCGKSA-N 1 2 311.817 1.081 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCc2cnn(C)n2)C1 ZINC001366905365 895299434 /nfs/dbraw/zinc/29/94/34/895299434.db2.gz PCFDPESPUQFIDR-ZDUSSCGKSA-N 1 2 311.817 1.081 20 30 DDEDLO CC(C)OCCC(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001366959055 895431269 /nfs/dbraw/zinc/43/12/69/895431269.db2.gz WIEHQIHXFWVRNY-UHFFFAOYSA-N 1 2 315.417 1.921 20 30 DDEDLO C=CCOCC(=O)NCC1(O)C[NH+](Cc2cc(C)cc(C)c2)C1 ZINC001278848209 896775200 /nfs/dbraw/zinc/77/52/00/896775200.db2.gz DLIZRKNZMGBXFR-UHFFFAOYSA-N 1 2 318.417 1.169 20 30 DDEDLO C#CCCCC(=O)NCC1(O)C[NH+](CCCc2ccsc2)C1 ZINC001278858332 896841512 /nfs/dbraw/zinc/84/15/12/896841512.db2.gz ZVGDZTGCXINKTK-UHFFFAOYSA-N 1 2 320.458 1.647 20 30 DDEDLO C=C(Cl)CN(C)CCN(C)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001367517320 897013638 /nfs/dbraw/zinc/01/36/38/897013638.db2.gz FHYAHCJFQUUDLP-ZDUSSCGKSA-N 1 2 310.829 1.588 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](CF)c1ccc(F)cc1 ZINC001262036564 899906388 /nfs/dbraw/zinc/90/63/88/899906388.db2.gz SKSLELNGBHJKHZ-STQMWFEESA-N 1 2 312.316 1.399 20 30 DDEDLO C#CC1CCN(c2nnc([C@H]3C[C@H](O)C[N@H+]3C)n2CC=C)CC1 ZINC001262947614 900431719 /nfs/dbraw/zinc/43/17/19/900431719.db2.gz PGHWXGNINOCWST-LSDHHAIUSA-N 1 2 315.421 1.051 20 30 DDEDLO C#CC1CCN(c2nnc([C@H]3C[C@H](O)C[N@@H+]3C)n2CC=C)CC1 ZINC001262947614 900431724 /nfs/dbraw/zinc/43/17/24/900431724.db2.gz PGHWXGNINOCWST-LSDHHAIUSA-N 1 2 315.421 1.051 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nnc(C)o2)[C@@H]1CC ZINC001264606549 901212690 /nfs/dbraw/zinc/21/26/90/901212690.db2.gz UMXVTOBLTKKPAN-UONOGXRCSA-N 1 2 304.394 1.651 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nnc(C)o2)[C@@H]1CC ZINC001264606549 901212701 /nfs/dbraw/zinc/21/27/01/901212701.db2.gz UMXVTOBLTKKPAN-UONOGXRCSA-N 1 2 304.394 1.651 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@H+](Cc1ncccn1)C1CC1 ZINC001265161620 901647331 /nfs/dbraw/zinc/64/73/31/901647331.db2.gz UMJGUALRYWDAIX-UHFFFAOYSA-N 1 2 300.406 1.607 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@@H+](Cc1ncccn1)C1CC1 ZINC001265161620 901647338 /nfs/dbraw/zinc/64/73/38/901647338.db2.gz UMJGUALRYWDAIX-UHFFFAOYSA-N 1 2 300.406 1.607 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCCC)C1 ZINC001265214439 901719623 /nfs/dbraw/zinc/71/96/23/901719623.db2.gz JUAHOTCHKVLQBD-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCCC)C1 ZINC001265214439 901719634 /nfs/dbraw/zinc/71/96/34/901719634.db2.gz JUAHOTCHKVLQBD-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO CCOCC(=O)NCC1C[NH+](Cc2ccc(F)c(C#N)c2)C1 ZINC001391465767 901790813 /nfs/dbraw/zinc/79/08/13/901790813.db2.gz UTWPPKQKCTTZMG-UHFFFAOYSA-N 1 2 305.353 1.282 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001265301408 901852073 /nfs/dbraw/zinc/85/20/73/901852073.db2.gz JZSOZYBAYJGQEY-OAHLLOKOSA-N 1 2 307.438 1.592 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001265301408 901852083 /nfs/dbraw/zinc/85/20/83/901852083.db2.gz JZSOZYBAYJGQEY-OAHLLOKOSA-N 1 2 307.438 1.592 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCCC(=O)N(C)C)C1 ZINC001391592590 902089235 /nfs/dbraw/zinc/08/92/35/902089235.db2.gz IWAXRAVWRGCPSK-GFCCVEGCSA-N 1 2 301.818 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCCC(=O)N(C)C)C1 ZINC001391592590 902089243 /nfs/dbraw/zinc/08/92/43/902089243.db2.gz IWAXRAVWRGCPSK-GFCCVEGCSA-N 1 2 301.818 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)COC[C@H]2CCCO2)C1 ZINC001391633161 902195910 /nfs/dbraw/zinc/19/59/10/902195910.db2.gz KIEXMRNFNVOAGA-UONOGXRCSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)COC[C@H]2CCCO2)C1 ZINC001391633161 902195923 /nfs/dbraw/zinc/19/59/23/902195923.db2.gz KIEXMRNFNVOAGA-UONOGXRCSA-N 1 2 316.829 1.467 20 30 DDEDLO CCCCc1noc([C@@H](C)[NH2+][C@@H](C)CNC(=O)C#CC2CC2)n1 ZINC001265773027 902392668 /nfs/dbraw/zinc/39/26/68/902392668.db2.gz NVDGKPIRIOWBCD-QWHCGFSZSA-N 1 2 318.421 1.981 20 30 DDEDLO C=CCCC(=O)N(C)[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001293675010 914627769 /nfs/dbraw/zinc/62/77/69/914627769.db2.gz CNPOUZJRLHSPPW-BFHYXJOUSA-N 1 2 316.405 1.539 20 30 DDEDLO C=CCCC(=O)N(C)[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001293675010 914627778 /nfs/dbraw/zinc/62/77/78/914627778.db2.gz CNPOUZJRLHSPPW-BFHYXJOUSA-N 1 2 316.405 1.539 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[NH2+]Cc2ncc(C3CC3)o2)nc1 ZINC001265850441 902486818 /nfs/dbraw/zinc/48/68/18/902486818.db2.gz GSKSWPGJYQVBID-GFCCVEGCSA-N 1 2 324.384 1.836 20 30 DDEDLO Cc1cnc(C[NH+]2CCC(N(C)C(=O)C#CC3CC3)CC2)o1 ZINC001266181415 903071681 /nfs/dbraw/zinc/07/16/81/903071681.db2.gz DFOFJBBXDSFRRL-UHFFFAOYSA-N 1 2 301.390 1.819 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@H+](Cc2ccc(F)cn2)C1 ZINC001266214345 903127173 /nfs/dbraw/zinc/12/71/73/903127173.db2.gz DASJEPXUQHMQPF-INIZCTEOSA-N 1 2 303.381 1.961 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001266214345 903127177 /nfs/dbraw/zinc/12/71/77/903127177.db2.gz DASJEPXUQHMQPF-INIZCTEOSA-N 1 2 303.381 1.961 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)C1CCOCC1 ZINC001392255183 903663957 /nfs/dbraw/zinc/66/39/57/903663957.db2.gz XSHOTGWPFVXWBA-UHFFFAOYSA-N 1 2 315.417 1.875 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)C1CCOCC1 ZINC001392255183 903663968 /nfs/dbraw/zinc/66/39/68/903663968.db2.gz XSHOTGWPFVXWBA-UHFFFAOYSA-N 1 2 315.417 1.875 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)C[C@@H]1CCOC[C@H]1OC ZINC001392281239 903752148 /nfs/dbraw/zinc/75/21/48/903752148.db2.gz JTMRAFOAVPWQQP-UONOGXRCSA-N 1 2 318.845 1.571 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)C[C@@H]1CCOC[C@H]1OC ZINC001392281239 903752154 /nfs/dbraw/zinc/75/21/54/903752154.db2.gz JTMRAFOAVPWQQP-UONOGXRCSA-N 1 2 318.845 1.571 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001280644298 903879720 /nfs/dbraw/zinc/87/97/20/903879720.db2.gz SUAGGFXPKQKHEZ-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccco1)O2 ZINC001280921017 904192146 /nfs/dbraw/zinc/19/21/46/904192146.db2.gz PPFOOLKEMXWNIB-CQSZACIVSA-N 1 2 320.389 1.445 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H](OCC)C(C)C)CO2 ZINC001280945988 904227293 /nfs/dbraw/zinc/22/72/93/904227293.db2.gz XCVHQXDMLLCZTO-HOTGVXAUSA-N 1 2 322.449 1.420 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)[C@H]1C ZINC001281206921 904562656 /nfs/dbraw/zinc/56/26/56/904562656.db2.gz FLGKMIJBJZCQCG-ZFWWWQNUSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)[C@H]1C ZINC001281206921 904562670 /nfs/dbraw/zinc/56/26/70/904562670.db2.gz FLGKMIJBJZCQCG-ZFWWWQNUSA-N 1 2 318.421 1.804 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001281224943 904586412 /nfs/dbraw/zinc/58/64/12/904586412.db2.gz FWBYBLAUMBZITO-CABCVRRESA-N 1 2 316.405 1.088 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)[C@H](C)OC ZINC001377386589 921196480 /nfs/dbraw/zinc/19/64/80/921196480.db2.gz RVYFFXNPKKXJPM-QWRGUYRKSA-N 1 2 305.216 1.511 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H](C)OC ZINC001377386589 921196486 /nfs/dbraw/zinc/19/64/86/921196486.db2.gz RVYFFXNPKKXJPM-QWRGUYRKSA-N 1 2 305.216 1.511 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H](C)C2C[NH+](CC(=O)NCC)C2)CC1 ZINC001282734987 905996674 /nfs/dbraw/zinc/99/66/74/905996674.db2.gz ILSZKNIAMMKYQO-ZDUSSCGKSA-N 1 2 307.438 1.305 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001282849800 906093006 /nfs/dbraw/zinc/09/30/06/906093006.db2.gz RBDHMSQCFSPOIW-ZSOGYDGISA-N 1 2 316.405 1.230 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001282849800 906093012 /nfs/dbraw/zinc/09/30/12/906093012.db2.gz RBDHMSQCFSPOIW-ZSOGYDGISA-N 1 2 316.405 1.230 20 30 DDEDLO CC[C@@H](NC(C)=O)C(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001372122975 906516388 /nfs/dbraw/zinc/51/63/88/906516388.db2.gz LAWJILQARJSUCY-MRXNPFEDSA-N 1 2 316.405 1.021 20 30 DDEDLO CC[C@@H](NC(C)=O)C(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001372122975 906516399 /nfs/dbraw/zinc/51/63/99/906516399.db2.gz LAWJILQARJSUCY-MRXNPFEDSA-N 1 2 316.405 1.021 20 30 DDEDLO CC(C)c1nnc(C[N@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)[nH]1 ZINC001393613996 907488639 /nfs/dbraw/zinc/48/86/39/907488639.db2.gz SGEPAZXROOGKRJ-LBPRGKRZSA-N 1 2 306.414 1.368 20 30 DDEDLO CC(C)c1nnc(C[N@@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)[nH]1 ZINC001393613996 907488649 /nfs/dbraw/zinc/48/86/49/907488649.db2.gz SGEPAZXROOGKRJ-LBPRGKRZSA-N 1 2 306.414 1.368 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001284139100 908536157 /nfs/dbraw/zinc/53/61/57/908536157.db2.gz ODSDAHQCAFRMKU-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CCNC(=O)Cn1cc[nH+]c1 ZINC001284442254 909008311 /nfs/dbraw/zinc/00/83/11/909008311.db2.gz XXNUIYMPDYYKOU-CYBMUJFWSA-N 1 2 306.410 1.496 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc2ncc(C)o2)C1 ZINC001284509756 909111962 /nfs/dbraw/zinc/11/19/62/909111962.db2.gz PSUACNVIMFYHDV-AWEZNQCLSA-N 1 2 321.421 1.590 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc2ncc(C)o2)C1 ZINC001284509756 909111971 /nfs/dbraw/zinc/11/19/71/909111971.db2.gz PSUACNVIMFYHDV-AWEZNQCLSA-N 1 2 321.421 1.590 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(CCNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001284753216 909446001 /nfs/dbraw/zinc/44/60/01/909446001.db2.gz LVIMRRMEDNPVGD-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001284935069 909766391 /nfs/dbraw/zinc/76/63/91/909766391.db2.gz MZERYOCIHMGEOX-GFCCVEGCSA-N 1 2 318.421 1.617 20 30 DDEDLO C#CCCCC(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCCC1 ZINC001285113884 910083662 /nfs/dbraw/zinc/08/36/62/910083662.db2.gz VZSTWVKVBFTDKJ-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO CO[C@@H](C)CC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001373623768 910392585 /nfs/dbraw/zinc/39/25/85/910392585.db2.gz GKKUHMBPXVNKKU-SWLSCSKDSA-N 1 2 319.380 1.813 20 30 DDEDLO CO[C@@H](C)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001373623768 910392591 /nfs/dbraw/zinc/39/25/91/910392591.db2.gz GKKUHMBPXVNKKU-SWLSCSKDSA-N 1 2 319.380 1.813 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[C@H]1CNC(=O)CCc1[nH]cc[nH+]1 ZINC001285401426 910468713 /nfs/dbraw/zinc/46/87/13/910468713.db2.gz PEZRNAUNBHPSLD-KBPBESRZSA-N 1 2 318.421 1.710 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001285889051 911369934 /nfs/dbraw/zinc/36/99/34/911369934.db2.gz ZUUKXWQPYQQFGL-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(C)CCC(=O)N[C@]1(C)CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001286092297 911682562 /nfs/dbraw/zinc/68/25/62/911682562.db2.gz RXLMKBVMRAXYAP-QGZVFWFLSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001286186160 911787863 /nfs/dbraw/zinc/78/78/63/911787863.db2.gz LXLCAMQFMTYVQY-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001286186160 911787873 /nfs/dbraw/zinc/78/78/73/911787873.db2.gz LXLCAMQFMTYVQY-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C(C)C)n1C[C@@H](C)[NH+]1CCOCC1 ZINC001286275508 911905895 /nfs/dbraw/zinc/90/58/95/911905895.db2.gz SUBZCINHZZEJHI-HUUCEWRRSA-N 1 2 319.453 1.580 20 30 DDEDLO C=CCCCC(=O)N1CCC(N(C)C(=O)Cn2cc[nH+]c2)CC1 ZINC001287370343 912209439 /nfs/dbraw/zinc/20/94/39/912209439.db2.gz RNYJQWKLBPMDMX-UHFFFAOYSA-N 1 2 318.421 1.689 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)C1(NC(C)=O)CCCC1 ZINC001375124092 915027081 /nfs/dbraw/zinc/02/70/81/915027081.db2.gz KPRMBMGWUBBKOV-LBPRGKRZSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)C1(NC(C)=O)CCCC1 ZINC001375124092 915027098 /nfs/dbraw/zinc/02/70/98/915027098.db2.gz KPRMBMGWUBBKOV-LBPRGKRZSA-N 1 2 315.845 1.624 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C1C[NH+](C[C@@H](O)Cc2ccc(F)cc2)C1 ZINC001375671617 916660364 /nfs/dbraw/zinc/66/03/64/916660364.db2.gz XYGYKNFMNFQOCJ-LRDDRELGSA-N 1 2 319.380 1.031 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001297123789 916743735 /nfs/dbraw/zinc/74/37/35/916743735.db2.gz HMPPPBRSUIOTNP-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001297123789 916743744 /nfs/dbraw/zinc/74/37/44/916743744.db2.gz HMPPPBRSUIOTNP-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@]1(C)CCC[N@H+](Cc2ncccn2)C1 ZINC001375939214 917311206 /nfs/dbraw/zinc/31/12/06/917311206.db2.gz YRJYQCFPISLCLT-XJKSGUPXSA-N 1 2 301.394 1.355 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@]1(C)CCC[N@@H+](Cc2ncccn2)C1 ZINC001375939214 917311218 /nfs/dbraw/zinc/31/12/18/917311218.db2.gz YRJYQCFPISLCLT-XJKSGUPXSA-N 1 2 301.394 1.355 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H](CNC(=O)[C@@H](C)C#N)C2CC2)n1 ZINC001376197110 917931881 /nfs/dbraw/zinc/93/18/81/917931881.db2.gz KEKSRWVAAZQACK-JQWIXIFHSA-N 1 2 305.382 1.166 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@](CO)(NC(=O)[C@H](C)C#N)C2)sn1 ZINC001376456291 918535119 /nfs/dbraw/zinc/53/51/19/918535119.db2.gz QFOMCKVIAZGGBI-IAQYHMDHSA-N 1 2 322.434 1.054 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@](CO)(NC(=O)[C@H](C)C#N)C2)sn1 ZINC001376456291 918535131 /nfs/dbraw/zinc/53/51/31/918535131.db2.gz QFOMCKVIAZGGBI-IAQYHMDHSA-N 1 2 322.434 1.054 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)Cn1ccc(C(F)F)n1 ZINC001377170269 920530768 /nfs/dbraw/zinc/53/07/68/920530768.db2.gz WWAHLYWESZITEP-UHFFFAOYSA-N 1 2 306.744 1.621 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)Cn1ccc(C(F)F)n1 ZINC001377170269 920530782 /nfs/dbraw/zinc/53/07/82/920530782.db2.gz WWAHLYWESZITEP-UHFFFAOYSA-N 1 2 306.744 1.621 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H](C)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001378021098 923725557 /nfs/dbraw/zinc/72/55/57/923725557.db2.gz NTCYLPBPUZAHDM-VXGBXAGGSA-N 1 2 302.353 1.794 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H](C)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001378021098 923725561 /nfs/dbraw/zinc/72/55/61/923725561.db2.gz NTCYLPBPUZAHDM-VXGBXAGGSA-N 1 2 302.353 1.794 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H](C)CNC(=O)c2c[nH]c(C#N)c2)oc1C ZINC001378024871 923738863 /nfs/dbraw/zinc/73/88/63/923738863.db2.gz SCOYETQOXBJECA-SNVBAGLBSA-N 1 2 315.377 1.742 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H](C)CNC(=O)c2c[nH]c(C#N)c2)oc1C ZINC001378024871 923738877 /nfs/dbraw/zinc/73/88/77/923738877.db2.gz SCOYETQOXBJECA-SNVBAGLBSA-N 1 2 315.377 1.742 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@@](OC)(C(F)(F)F)C1 ZINC000614923469 362080429 /nfs/dbraw/zinc/08/04/29/362080429.db2.gz AOXHJXCHVROSPZ-LBPRGKRZSA-N 1 2 323.315 1.042 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@@](OC)(C(F)(F)F)C1 ZINC000614923469 362080434 /nfs/dbraw/zinc/08/04/34/362080434.db2.gz AOXHJXCHVROSPZ-LBPRGKRZSA-N 1 2 323.315 1.042 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2OC)C1 ZINC000092843019 185345294 /nfs/dbraw/zinc/34/52/94/185345294.db2.gz WVORVTHTNCNIGV-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2OC)C1 ZINC000092843019 185345295 /nfs/dbraw/zinc/34/52/95/185345295.db2.gz WVORVTHTNCNIGV-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)N[C@H]2CCCOC2)C1 ZINC000329711510 529788384 /nfs/dbraw/zinc/78/83/84/529788384.db2.gz OOZOHRMFYCUMKZ-GJZGRUSLSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)N[C@H]2CCCOC2)C1 ZINC000329711510 529788385 /nfs/dbraw/zinc/78/83/85/529788385.db2.gz OOZOHRMFYCUMKZ-GJZGRUSLSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C([O-])=[NH+]c3nc4ccsc4s3)C[C@@H]21 ZINC000329932303 529790787 /nfs/dbraw/zinc/79/07/87/529790787.db2.gz PKLFJRDPTXUPPL-UWVGGRQHSA-N 1 2 324.431 1.918 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C([O-])=[NH+]c3nc4ccsc4s3)C[C@@H]21 ZINC000329932303 529790788 /nfs/dbraw/zinc/79/07/88/529790788.db2.gz PKLFJRDPTXUPPL-UWVGGRQHSA-N 1 2 324.431 1.918 20 30 DDEDLO C[N@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)CC(C)(C)C#N ZINC000433318448 529834935 /nfs/dbraw/zinc/83/49/35/529834935.db2.gz GNOIDWIXFDEHJL-AWEZNQCLSA-N 1 2 301.390 1.588 20 30 DDEDLO C[N@@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)CC(C)(C)C#N ZINC000433318448 529834936 /nfs/dbraw/zinc/83/49/36/529834936.db2.gz GNOIDWIXFDEHJL-AWEZNQCLSA-N 1 2 301.390 1.588 20 30 DDEDLO CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000330545978 529903864 /nfs/dbraw/zinc/90/38/64/529903864.db2.gz BXPNAITXTPLJJR-OLZOCXBDSA-N 1 2 319.409 1.066 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@@H+]1Cc1cccc(F)c1C#N ZINC000428374361 530020198 /nfs/dbraw/zinc/02/01/98/530020198.db2.gz OIHCIBXLRWNTTH-GFCCVEGCSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@H+]1Cc1cccc(F)c1C#N ZINC000428374361 530020199 /nfs/dbraw/zinc/02/01/99/530020199.db2.gz OIHCIBXLRWNTTH-GFCCVEGCSA-N 1 2 311.382 1.211 20 30 DDEDLO CC[C@@H](C(N)=O)[NH+]1CCN(c2c(C#N)cnc3ccccc32)CC1 ZINC000171081064 197876439 /nfs/dbraw/zinc/87/64/39/197876439.db2.gz HSGRWMHABVQAIA-INIZCTEOSA-N 1 2 323.400 1.492 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CCO[C@H](CNC(C)=O)C1 ZINC000179715167 186209599 /nfs/dbraw/zinc/20/95/99/186209599.db2.gz OOUBPVMMBWCHOQ-MRXNPFEDSA-N 1 2 302.374 1.036 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CCO[C@H](CNC(C)=O)C1 ZINC000179715167 186209601 /nfs/dbraw/zinc/20/96/01/186209601.db2.gz OOUBPVMMBWCHOQ-MRXNPFEDSA-N 1 2 302.374 1.036 20 30 DDEDLO N#Cc1c2c(cnc1N1CCC[C@H]1C[NH+]1CCOCC1)CCC2 ZINC000564878863 304022795 /nfs/dbraw/zinc/02/27/95/304022795.db2.gz ULGITUGPHNRZGD-HNNXBMFYSA-N 1 2 312.417 1.743 20 30 DDEDLO N#Cc1ccc(OCC(=O)NCCc2cn3ccccc3[nH+]2)cc1 ZINC000012666664 352148256 /nfs/dbraw/zinc/14/82/56/352148256.db2.gz ILDSHEKBAILGIB-UHFFFAOYSA-N 1 2 320.352 1.944 20 30 DDEDLO C#CCNC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccc(C)cc1 ZINC000028799298 352231713 /nfs/dbraw/zinc/23/17/13/352231713.db2.gz QOYSZWZONDVHIX-INIZCTEOSA-N 1 2 301.390 1.301 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000029857672 352246711 /nfs/dbraw/zinc/24/67/11/352246711.db2.gz NJPXCQQPIZTJTA-GFCCVEGCSA-N 1 2 316.380 1.486 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccccn3)CC2)cc1 ZINC000033236827 352290795 /nfs/dbraw/zinc/29/07/95/352290795.db2.gz GUBNLJIHWJCOPG-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@@H](C)c2ccccc2Cl)CC1 ZINC000044741631 352393465 /nfs/dbraw/zinc/39/34/65/352393465.db2.gz VWJCNMHVIPXXQE-AWEZNQCLSA-N 1 2 319.836 1.768 20 30 DDEDLO Cc1cc([NH+](C)C)ccc1Nc1c(C#N)c(=O)n(C)c(=O)n1C ZINC000052242689 352605752 /nfs/dbraw/zinc/60/57/52/352605752.db2.gz WBFZKJZZERJPPI-UHFFFAOYSA-N 1 2 313.361 1.074 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C/c1cscn1 ZINC000491349682 234141480 /nfs/dbraw/zinc/14/14/80/234141480.db2.gz IFNPAVRUCATFBK-NSCUHMNNSA-N 1 2 320.418 1.231 20 30 DDEDLO Cc1cc(C)cc(N(CCC#N)C(=O)[C@@H](C)[N@H+](C)CC(N)=O)c1 ZINC000052831511 352627402 /nfs/dbraw/zinc/62/74/02/352627402.db2.gz CQGUDUBJMVEBCO-CQSZACIVSA-N 1 2 316.405 1.356 20 30 DDEDLO Cc1cc(C)cc(N(CCC#N)C(=O)[C@@H](C)[N@@H+](C)CC(N)=O)c1 ZINC000052831511 352627404 /nfs/dbraw/zinc/62/74/04/352627404.db2.gz CQGUDUBJMVEBCO-CQSZACIVSA-N 1 2 316.405 1.356 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+]1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000055014198 352711781 /nfs/dbraw/zinc/71/17/81/352711781.db2.gz WUVGANLCRONXLT-CYBMUJFWSA-N 1 2 320.780 1.361 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+]1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000055014198 352711784 /nfs/dbraw/zinc/71/17/84/352711784.db2.gz WUVGANLCRONXLT-CYBMUJFWSA-N 1 2 320.780 1.361 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)C(C)(C)C#N)c[nH+]2)C[C@H](C)O1 ZINC000055380032 352731249 /nfs/dbraw/zinc/73/12/49/352731249.db2.gz MMAXXZADBYUHHO-BETUJISGSA-N 1 2 316.405 1.861 20 30 DDEDLO C#CCN(CC)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000056620009 352779250 /nfs/dbraw/zinc/77/92/50/352779250.db2.gz IYQRHJIFNJONQY-AWEZNQCLSA-N 1 2 316.405 1.471 20 30 DDEDLO CN(C)C(=O)N1CC[NH+](CCOc2ccccc2C#N)CC1 ZINC000060468305 352873017 /nfs/dbraw/zinc/87/30/17/352873017.db2.gz HLIQJJDGAUDFEL-UHFFFAOYSA-N 1 2 302.378 1.236 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)c2ccc3[nH+]ccn3c2)CC1 ZINC000068328202 353104329 /nfs/dbraw/zinc/10/43/29/353104329.db2.gz YGHVRJALVFSPEE-HNNXBMFYSA-N 1 2 311.389 1.640 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1cccnc1Cl ZINC000072679838 353207956 /nfs/dbraw/zinc/20/79/56/353207956.db2.gz PMZOKZHRYAZJDA-UHFFFAOYSA-N 1 2 322.796 1.423 20 30 DDEDLO C#CC[NH+](CC#C)Cc1cc(=O)n2c3ccccc3[nH]c2c1C#N ZINC000072906673 353225994 /nfs/dbraw/zinc/22/59/94/353225994.db2.gz ZDSGPHQHOUDMNG-OAHLLOKOSA-N 1 2 314.348 1.792 20 30 DDEDLO Cc1ccc(-n2cc[nH+]c2)c(C(=O)N2CCN(CC#N)CC2)c1 ZINC000073851857 353274460 /nfs/dbraw/zinc/27/44/60/353274460.db2.gz YFJTXZBLTYFXPN-UHFFFAOYSA-N 1 2 309.373 1.462 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCCC[C@H]2CCO)cc1 ZINC000081513332 353680349 /nfs/dbraw/zinc/68/03/49/353680349.db2.gz JUISMGYPFLIRIS-HNNXBMFYSA-N 1 2 322.430 1.569 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCCC[C@H]2CCO)cc1 ZINC000081513332 353680351 /nfs/dbraw/zinc/68/03/51/353680351.db2.gz JUISMGYPFLIRIS-HNNXBMFYSA-N 1 2 322.430 1.569 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCC(O)(C(F)(F)F)CC1 ZINC000089970826 353786229 /nfs/dbraw/zinc/78/62/29/353786229.db2.gz KWRAMFZCQJNVIP-UHFFFAOYSA-N 1 2 308.344 1.800 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2ncccc2F)CC1 ZINC000090631244 353796515 /nfs/dbraw/zinc/79/65/15/353796515.db2.gz WXFHZEUDYXZCSY-UHFFFAOYSA-N 1 2 320.412 1.767 20 30 DDEDLO CCO[C@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000128544164 354075520 /nfs/dbraw/zinc/07/55/20/354075520.db2.gz ZFZZCWXZRHHCRY-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO CCO[C@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000128544164 354075524 /nfs/dbraw/zinc/07/55/24/354075524.db2.gz ZFZZCWXZRHHCRY-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)NC[C@H](C)C#N)CC1 ZINC000182826164 354276041 /nfs/dbraw/zinc/27/60/41/354276041.db2.gz DXJADVUNNZHEID-ZIAGYGMSSA-N 1 2 301.394 1.629 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCOc2ccccc2[N+](=O)[O-])CC1 ZINC000578519267 354709587 /nfs/dbraw/zinc/70/95/87/354709587.db2.gz UPLBBSOVRAZPQQ-UHFFFAOYSA-N 1 2 318.333 1.031 20 30 DDEDLO N#CCC1(CNC(=O)N2CC[NH+](Cc3cccnc3)CC2)CC1 ZINC000579789704 354723590 /nfs/dbraw/zinc/72/35/90/354723590.db2.gz BPNHUZLVUGFUQU-UHFFFAOYSA-N 1 2 313.405 1.603 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000580830357 354727208 /nfs/dbraw/zinc/72/72/08/354727208.db2.gz VYCFCTBCMDNPKL-ZFWWWQNUSA-N 1 2 304.394 1.821 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000581556761 354735753 /nfs/dbraw/zinc/73/57/53/354735753.db2.gz QMTPCLHUZKJSLN-GFCCVEGCSA-N 1 2 302.334 1.766 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)N1CC(Oc2cc[nH+]cc2)C1 ZINC000586771990 354863060 /nfs/dbraw/zinc/86/30/60/354863060.db2.gz GUIYBOIARNGWIJ-UHFFFAOYSA-N 1 2 311.316 1.925 20 30 DDEDLO N#CCC1(CS(=O)(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)CC1 ZINC000590746443 355161632 /nfs/dbraw/zinc/16/16/32/355161632.db2.gz CHESBXHIDDCOJN-CYBMUJFWSA-N 1 2 308.407 1.544 20 30 DDEDLO CCc1ncc(C[NH+]2CCN(c3cnccc3C#N)CC2)cn1 ZINC000591260841 355271978 /nfs/dbraw/zinc/27/19/78/355271978.db2.gz JFNJPWKFNOFBLV-UHFFFAOYSA-N 1 2 308.389 1.628 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+](C)CCCN1C(=O)c2ccccc2C1=O ZINC000591855492 355395412 /nfs/dbraw/zinc/39/54/12/355395412.db2.gz SZUSAQYPCSWBKK-AWEZNQCLSA-N 1 2 316.357 1.332 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+](C)CCCN1C(=O)c2ccccc2C1=O ZINC000591855492 355395415 /nfs/dbraw/zinc/39/54/15/355395415.db2.gz SZUSAQYPCSWBKK-AWEZNQCLSA-N 1 2 316.357 1.332 20 30 DDEDLO CC(C)[C@@H](NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1)c1ccccc1 ZINC000592146334 355511957 /nfs/dbraw/zinc/51/19/57/355511957.db2.gz BQZSKXMUMCLKHV-QZTJIDSGSA-N 1 2 315.417 1.850 20 30 DDEDLO CC(C)[C@@H](NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1)c1ccccc1 ZINC000592146334 355511961 /nfs/dbraw/zinc/51/19/61/355511961.db2.gz BQZSKXMUMCLKHV-QZTJIDSGSA-N 1 2 315.417 1.850 20 30 DDEDLO CCOc1cccc(CNC(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592149875 355516425 /nfs/dbraw/zinc/51/64/25/355516425.db2.gz YODYHOHRLOXITH-KRWDZBQOSA-N 1 2 317.389 1.052 20 30 DDEDLO CCOc1cccc(CNC(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592149875 355516431 /nfs/dbraw/zinc/51/64/31/355516431.db2.gz YODYHOHRLOXITH-KRWDZBQOSA-N 1 2 317.389 1.052 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000592149833 355516636 /nfs/dbraw/zinc/51/66/36/355516636.db2.gz XPCJXGJAHRDRRY-HNNXBMFYSA-N 1 2 318.764 1.501 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000592149833 355516639 /nfs/dbraw/zinc/51/66/39/355516639.db2.gz XPCJXGJAHRDRRY-HNNXBMFYSA-N 1 2 318.764 1.501 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC000592152618 355518667 /nfs/dbraw/zinc/51/86/67/355518667.db2.gz YWEDDNYAZOIMKH-MRXNPFEDSA-N 1 2 300.337 1.862 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC000592152618 355518669 /nfs/dbraw/zinc/51/86/69/355518669.db2.gz YWEDDNYAZOIMKH-MRXNPFEDSA-N 1 2 300.337 1.862 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCO[C@H]([C@H]3CCCO3)C2)c([N+](=O)[O-])c1 ZINC000593015360 355770428 /nfs/dbraw/zinc/77/04/28/355770428.db2.gz ULXYUMFSGWUDBE-CVEARBPZSA-N 1 2 317.345 1.846 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCO[C@H]([C@H]3CCCO3)C2)c([N+](=O)[O-])c1 ZINC000593015360 355770431 /nfs/dbraw/zinc/77/04/31/355770431.db2.gz ULXYUMFSGWUDBE-CVEARBPZSA-N 1 2 317.345 1.846 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc2ccccc2n1CC#N ZINC000593154369 355812869 /nfs/dbraw/zinc/81/28/69/355812869.db2.gz IJGIHTMLINVIMT-QGZVFWFLSA-N 1 2 309.373 1.739 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ncccc1Br ZINC000593153044 355812880 /nfs/dbraw/zinc/81/28/80/355812880.db2.gz FNKZSVHQIMWEHU-GFCCVEGCSA-N 1 2 311.183 1.418 20 30 DDEDLO N#Cc1cccc2c1CN(c1cc[nH+]c(N3CCOCC3)n1)C2 ZINC000593521463 355912517 /nfs/dbraw/zinc/91/25/17/355912517.db2.gz ZOOPMAHTDPSHHP-UHFFFAOYSA-N 1 2 307.357 1.705 20 30 DDEDLO Cc1cc(NC(=O)Cc2cn3c([nH+]2)CCCC3)n(CCC#N)n1 ZINC000593416846 355879034 /nfs/dbraw/zinc/87/90/34/355879034.db2.gz BJSNISPMHBDIMV-UHFFFAOYSA-N 1 2 312.377 1.819 20 30 DDEDLO COCCOCC[NH+]1CCN(C(=O)C2(C#N)CCCCC2)CC1 ZINC000593885877 356041913 /nfs/dbraw/zinc/04/19/13/356041913.db2.gz DNALDVYSMTUBNE-UHFFFAOYSA-N 1 2 323.437 1.268 20 30 DDEDLO CC[C@H](C#N)N(C)C(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000594087617 356109544 /nfs/dbraw/zinc/10/95/44/356109544.db2.gz BGTMAZUNIALPLL-CVEARBPZSA-N 1 2 301.390 1.648 20 30 DDEDLO CC[C@H](C#N)N(C)C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000594087617 356109549 /nfs/dbraw/zinc/10/95/49/356109549.db2.gz BGTMAZUNIALPLL-CVEARBPZSA-N 1 2 301.390 1.648 20 30 DDEDLO C[C@@H](CNC(=O)c1ccnc(C#N)c1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594098021 356112330 /nfs/dbraw/zinc/11/23/30/356112330.db2.gz CUWOOZCAKDLHOZ-RWMBFGLXSA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@@H](CNC(=O)c1ccnc(C#N)c1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594098021 356112331 /nfs/dbraw/zinc/11/23/31/356112331.db2.gz CUWOOZCAKDLHOZ-RWMBFGLXSA-N 1 2 302.378 1.181 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCSC[C@@H]2CC(=O)OC)nn1 ZINC000593962867 356073696 /nfs/dbraw/zinc/07/36/96/356073696.db2.gz ZYFUKAPFKUJOQN-ZDUSSCGKSA-N 1 2 310.423 1.335 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCSC[C@@H]2CC(=O)OC)nn1 ZINC000593962867 356073701 /nfs/dbraw/zinc/07/37/01/356073701.db2.gz ZYFUKAPFKUJOQN-ZDUSSCGKSA-N 1 2 310.423 1.335 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)N(C)CC[NH+]1CCOCC1 ZINC000594271711 356160607 /nfs/dbraw/zinc/16/06/07/356160607.db2.gz OTIRGGHJDQRXGA-HNNXBMFYSA-N 1 2 315.417 1.527 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3ccnc(C#N)n3)c[nH+]2)C[C@H](C)O1 ZINC000594544728 356246373 /nfs/dbraw/zinc/24/63/73/356246373.db2.gz DGSUPSUCUHQCFR-STQMWFEESA-N 1 2 324.388 1.391 20 30 DDEDLO CC(C)(C)[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(C#N)s1 ZINC000594790656 356317628 /nfs/dbraw/zinc/31/76/28/356317628.db2.gz VTVZYGZMYVNFHR-SNVBAGLBSA-N 1 2 313.448 1.771 20 30 DDEDLO CC(C)(C)[N@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(C#N)s1 ZINC000594790656 356317631 /nfs/dbraw/zinc/31/76/31/356317631.db2.gz VTVZYGZMYVNFHR-SNVBAGLBSA-N 1 2 313.448 1.771 20 30 DDEDLO C[N@H+](CC(C)(C)C#N)[C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595500848 356520795 /nfs/dbraw/zinc/52/07/95/356520795.db2.gz MLOUORAPEHGWGM-GFCCVEGCSA-N 1 2 309.410 1.411 20 30 DDEDLO C[N@@H+](CC(C)(C)C#N)[C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595500848 356520797 /nfs/dbraw/zinc/52/07/97/356520797.db2.gz MLOUORAPEHGWGM-GFCCVEGCSA-N 1 2 309.410 1.411 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)CN2CCn3c[nH+]cc3C2)cc1 ZINC000595493724 356516719 /nfs/dbraw/zinc/51/67/19/356516719.db2.gz IJRLRFSPHTWUQE-INIZCTEOSA-N 1 2 312.373 1.205 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@@H+]2CC[C@](C)(C#N)C2)CC1 ZINC000595836175 356671763 /nfs/dbraw/zinc/67/17/63/356671763.db2.gz XCDZDBKJCUDYKM-CXAGYDPISA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@H+]2CC[C@](C)(C#N)C2)CC1 ZINC000595836175 356671768 /nfs/dbraw/zinc/67/17/68/356671768.db2.gz XCDZDBKJCUDYKM-CXAGYDPISA-N 1 2 321.421 1.412 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1nc(C#N)c(Cl)s1 ZINC000596232655 356826083 /nfs/dbraw/zinc/82/60/83/356826083.db2.gz RGMXMRQSXHMSMX-VHSXEESVSA-N 1 2 312.826 1.968 20 30 DDEDLO CC1(O)CCN(c2cc(N[C@@H]3CC[C@H](C#N)C3)[nH+]cn2)CC1 ZINC000596280597 356842781 /nfs/dbraw/zinc/84/27/81/356842781.db2.gz IHQGBRLEZVHTHU-QWHCGFSZSA-N 1 2 301.394 1.932 20 30 DDEDLO CC1(O)CCN(c2cc(N[C@@H]3CC[C@H](C#N)C3)nc[nH+]2)CC1 ZINC000596280597 356842783 /nfs/dbraw/zinc/84/27/83/356842783.db2.gz IHQGBRLEZVHTHU-QWHCGFSZSA-N 1 2 301.394 1.932 20 30 DDEDLO N#CCC1(Cn2cc(C[NH+]3CCOCC3)cc(C#N)c2=O)CC1 ZINC000596284793 356844510 /nfs/dbraw/zinc/84/45/10/356844510.db2.gz DYBCYIUULHRSMW-UHFFFAOYSA-N 1 2 312.373 1.246 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)NCC1(C#N)CC1)C(C)(C)CO ZINC000596587383 356925355 /nfs/dbraw/zinc/92/53/55/356925355.db2.gz JSPOONLPYCDDDT-LLVKDONJSA-N 1 2 305.382 1.083 20 30 DDEDLO N#CC1(CNC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CCCC1 ZINC000596643916 356940031 /nfs/dbraw/zinc/94/00/31/356940031.db2.gz OSEYGRDKMCKDCG-CQSZACIVSA-N 1 2 306.410 1.186 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2ccc(CC#N)cc2)[C@@H](C)CO1 ZINC000596659529 356944854 /nfs/dbraw/zinc/94/48/54/356944854.db2.gz LEVPMAQRNJCQJB-KBPBESRZSA-N 1 2 316.405 1.983 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(CC#N)cc2)[C@@H](C)CO1 ZINC000596659529 356944858 /nfs/dbraw/zinc/94/48/58/356944858.db2.gz LEVPMAQRNJCQJB-KBPBESRZSA-N 1 2 316.405 1.983 20 30 DDEDLO CCCn1nccc1C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000597086980 357058134 /nfs/dbraw/zinc/05/81/34/357058134.db2.gz QFNGERAFHVQLMI-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO C[C@@H](NC(=O)c1cc(F)c(C#N)c(F)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000597162128 357077495 /nfs/dbraw/zinc/07/74/95/357077495.db2.gz RRSPUKQBARNGSV-GHMZBOCLSA-N 1 2 323.343 1.675 20 30 DDEDLO COCCOc1cc2c(cc1C[NH+]1CC(CC#N)C1)OCO2 ZINC000597194747 357089490 /nfs/dbraw/zinc/08/94/90/357089490.db2.gz ZZWMVQULUBZOAR-UHFFFAOYSA-N 1 2 304.346 1.786 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1cc(F)c(C#N)c(F)c1 ZINC000597577693 357235819 /nfs/dbraw/zinc/23/58/19/357235819.db2.gz FNXIBZQPBQNWDF-LLVKDONJSA-N 1 2 323.343 1.629 20 30 DDEDLO CC[C@@H](C#N)C(=O)N(CC[NH+]1CCOCC1)[C@H]1CCSC1 ZINC000597678560 357281363 /nfs/dbraw/zinc/28/13/63/357281363.db2.gz LDKRBXWFHKVSAZ-KBPBESRZSA-N 1 2 311.451 1.202 20 30 DDEDLO CCCc1[nH+]c2ccccc2n1CCC(=O)N[C@@H](C#N)CO ZINC000597743326 357313591 /nfs/dbraw/zinc/31/35/91/357313591.db2.gz ZEBUNSGSGQNLOZ-LBPRGKRZSA-N 1 2 300.362 1.380 20 30 DDEDLO N#Cc1cccc(C2(NC(=O)Cn3cc[nH+]c3)CCOCC2)c1 ZINC000598246865 357507194 /nfs/dbraw/zinc/50/71/94/357507194.db2.gz UIGUGVQADTVHCB-UHFFFAOYSA-N 1 2 310.357 1.577 20 30 DDEDLO N#Cc1ccncc1C(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000598342560 357545654 /nfs/dbraw/zinc/54/56/54/357545654.db2.gz KOWHBHLFKWXUOI-UHFFFAOYSA-N 1 2 314.389 1.138 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+][C@@H](C(F)F)C1CCCCC1 ZINC000598650947 357671761 /nfs/dbraw/zinc/67/17/61/357671761.db2.gz UDDLYFNGQISSOX-GFCCVEGCSA-N 1 2 303.353 1.802 20 30 DDEDLO CN1c2ccccc2C[N@H+](C[C@H](O)CC(C)(C)C#N)CC1=O ZINC000598944553 357768799 /nfs/dbraw/zinc/76/87/99/357768799.db2.gz PSADGKASOXEAJQ-CQSZACIVSA-N 1 2 301.390 1.766 20 30 DDEDLO CN1c2ccccc2C[N@@H+](C[C@H](O)CC(C)(C)C#N)CC1=O ZINC000598944553 357768802 /nfs/dbraw/zinc/76/88/02/357768802.db2.gz PSADGKASOXEAJQ-CQSZACIVSA-N 1 2 301.390 1.766 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H]1CCn2c[nH+]cc2C1 ZINC000598950535 357773592 /nfs/dbraw/zinc/77/35/92/357773592.db2.gz QRBNUPULLUMBEF-TZMCWYRMSA-N 1 2 310.357 1.653 20 30 DDEDLO CC#CCNC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000179302005 199011927 /nfs/dbraw/zinc/01/19/27/199011927.db2.gz VQGROHLPRQDYHQ-MRXNPFEDSA-N 1 2 301.390 1.382 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCOC[C@@H]2c2ccco2)CCOCC1 ZINC000599294855 357880418 /nfs/dbraw/zinc/88/04/18/357880418.db2.gz RKQJKPSLLJVGSR-HUUCEWRRSA-N 1 2 320.389 1.724 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCOC[C@@H]2c2ccco2)CCOCC1 ZINC000599294855 357880419 /nfs/dbraw/zinc/88/04/19/357880419.db2.gz RKQJKPSLLJVGSR-HUUCEWRRSA-N 1 2 320.389 1.724 20 30 DDEDLO COC(=O)[C@H]([NH2+]C[C@@H](O)CC1(C#N)CCOCC1)C(C)(C)C ZINC000599341620 357901732 /nfs/dbraw/zinc/90/17/32/357901732.db2.gz LYYXKTAYTIEHCQ-STQMWFEESA-N 1 2 312.410 1.235 20 30 DDEDLO N#Cc1ccc(CC(=O)NCCCCNc2cccc[nH+]2)cn1 ZINC000599354936 357906149 /nfs/dbraw/zinc/90/61/49/357906149.db2.gz YYNPHMZBDXZNKD-UHFFFAOYSA-N 1 2 309.373 1.899 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C[C@H](O)COc2ccccc2C#N)n1 ZINC000599359664 357908296 /nfs/dbraw/zinc/90/82/96/357908296.db2.gz RXUCRDOZHWFTPT-GWCFXTLKSA-N 1 2 302.334 1.340 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H](N2CCc3[nH+]c[nH]c3C2)C1=O ZINC000599421134 357928819 /nfs/dbraw/zinc/92/88/19/357928819.db2.gz GVOABOUUAFNVTJ-ZDUSSCGKSA-N 1 2 313.386 1.506 20 30 DDEDLO CCCN1C(=O)CC[C@H](C(=O)N[C@H](C)C#N)[C@H]1c1c[nH+]cn1C ZINC000355281682 283312992 /nfs/dbraw/zinc/31/29/92/283312992.db2.gz XASISXMYIYSOOJ-XUJVJEKNSA-N 1 2 317.393 1.138 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)CC2(CC2)C1 ZINC000600946599 358351988 /nfs/dbraw/zinc/35/19/88/358351988.db2.gz VDFNCBJXWYBALJ-AWEZNQCLSA-N 1 2 303.406 1.394 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1ccccc1CC#N ZINC000601383003 358527848 /nfs/dbraw/zinc/52/78/48/358527848.db2.gz BQEKUGWXYQUGCP-CHWSQXEVSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1ccccc1CC#N ZINC000601383003 358527850 /nfs/dbraw/zinc/52/78/50/358527850.db2.gz BQEKUGWXYQUGCP-CHWSQXEVSA-N 1 2 307.419 1.466 20 30 DDEDLO C=CCOC[C@@H]([NH2+][C@H]1[C@@H]2CCO[C@@H]2C12CCCC2)C(=O)OC ZINC000602644349 359095052 /nfs/dbraw/zinc/09/50/52/359095052.db2.gz MRBQHLMZHDDYMA-XGUBFFRZSA-N 1 2 309.406 1.668 20 30 DDEDLO COC(=O)c1oc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)cc1C ZINC000602854546 359241307 /nfs/dbraw/zinc/24/13/07/359241307.db2.gz CPBWZCLEUGTSIW-BETUJISGSA-N 1 2 305.378 1.793 20 30 DDEDLO COC(=O)c1oc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)cc1C ZINC000602854546 359241309 /nfs/dbraw/zinc/24/13/09/359241309.db2.gz CPBWZCLEUGTSIW-BETUJISGSA-N 1 2 305.378 1.793 20 30 DDEDLO CC1CCC(NC(=O)C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)CC1 ZINC000602855291 359241490 /nfs/dbraw/zinc/24/14/90/359241490.db2.gz GAMZANJISNBABI-IRHLINNNSA-N 1 2 306.454 1.599 20 30 DDEDLO CC1CCC(NC(=O)C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)CC1 ZINC000602855291 359241493 /nfs/dbraw/zinc/24/14/93/359241493.db2.gz GAMZANJISNBABI-IRHLINNNSA-N 1 2 306.454 1.599 20 30 DDEDLO C[C@@H]1C[C@@H](C)CN(C(=O)C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)C1 ZINC000602856278 359241841 /nfs/dbraw/zinc/24/18/41/359241841.db2.gz JQQRCBCLZHKJMY-FPCVCCKLSA-N 1 2 306.454 1.409 20 30 DDEDLO C[C@@H]1C[C@@H](C)CN(C(=O)C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)C1 ZINC000602856278 359241844 /nfs/dbraw/zinc/24/18/44/359241844.db2.gz JQQRCBCLZHKJMY-FPCVCCKLSA-N 1 2 306.454 1.409 20 30 DDEDLO C[C@H](NC(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1)c1cccs1 ZINC000602856431 359242112 /nfs/dbraw/zinc/24/21/12/359242112.db2.gz JRLMJWONJXXNGH-MCIONIFRSA-N 1 2 320.462 1.843 20 30 DDEDLO C[C@@H]1CCC[C@H](NC(=O)C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)[C@H]1C ZINC000602858060 359244653 /nfs/dbraw/zinc/24/46/53/359244653.db2.gz YGWZNTLUPQFAAN-NRKLIOEPSA-N 1 2 320.481 1.845 20 30 DDEDLO C[C@@H]1CCC[C@H](NC(=O)C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)[C@H]1C ZINC000602858060 359244654 /nfs/dbraw/zinc/24/46/54/359244654.db2.gz YGWZNTLUPQFAAN-NRKLIOEPSA-N 1 2 320.481 1.845 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3nc[nH]n3)CC2)c(Cl)c1 ZINC000602866789 359251907 /nfs/dbraw/zinc/25/19/07/359251907.db2.gz BWBMKLDFMDFOGB-UHFFFAOYSA-N 1 2 302.769 1.652 20 30 DDEDLO C[C@@H](CC#N)[NH2+]Cc1cc(=O)n2cc(Br)ccc2n1 ZINC000602869257 359252721 /nfs/dbraw/zinc/25/27/21/359252721.db2.gz NCHMKRXZFPHJKC-VIFPVBQESA-N 1 2 321.178 1.849 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)N1CCN(Cc2ccsc2)CC1 ZINC000602870635 359254281 /nfs/dbraw/zinc/25/42/81/359254281.db2.gz VASOFGXHKRZIMZ-ZDUSSCGKSA-N 1 2 306.435 1.284 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(CC(F)(F)CO)CC2)cc1F ZINC000602876420 359260155 /nfs/dbraw/zinc/26/01/55/359260155.db2.gz ZMPSWLYRYSYSPU-UHFFFAOYSA-N 1 2 313.323 1.443 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@@H](OC)C[N@@H+]1CCOc1cccc(C#N)c1 ZINC000602956712 359320378 /nfs/dbraw/zinc/32/03/78/359320378.db2.gz VCKIWWMGSUMESH-NVXWUHKLSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@@H](OC)C[N@H+]1CCOc1cccc(C#N)c1 ZINC000602956712 359320382 /nfs/dbraw/zinc/32/03/82/359320382.db2.gz VCKIWWMGSUMESH-NVXWUHKLSA-N 1 2 318.373 1.589 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@H+](CCOc2cccc(C#N)c2)CCO1 ZINC000603002422 359348122 /nfs/dbraw/zinc/34/81/22/359348122.db2.gz GEZCMDUYINMWAG-QGZVFWFLSA-N 1 2 318.373 1.591 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@@H+](CCOc2cccc(C#N)c2)CCO1 ZINC000603002422 359348126 /nfs/dbraw/zinc/34/81/26/359348126.db2.gz GEZCMDUYINMWAG-QGZVFWFLSA-N 1 2 318.373 1.591 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Nc1ccnc(C#N)c1 ZINC000603124861 359419657 /nfs/dbraw/zinc/41/96/57/359419657.db2.gz DXOQMHMHIXJQFM-SWLSCSKDSA-N 1 2 315.377 1.280 20 30 DDEDLO CC(C)C[C@H](C(=O)N1C[C@@H](C)N(CC#N)[C@H](C)C1)n1cc[nH+]c1 ZINC000603090031 359396101 /nfs/dbraw/zinc/39/61/01/359396101.db2.gz JBWZLOPGHPFKMC-BZUAXINKSA-N 1 2 317.437 1.915 20 30 DDEDLO COC1CC[NH+](Cc2cn(C[C@@H](O)CC(C)(C)C#N)nn2)CC1 ZINC000603268049 359528022 /nfs/dbraw/zinc/52/80/22/359528022.db2.gz RMWKPGOANQHOHO-AWEZNQCLSA-N 1 2 321.425 1.190 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N(C)S(=O)(=O)CCn1cc[nH+]c1 ZINC000603363644 359594174 /nfs/dbraw/zinc/59/41/74/359594174.db2.gz NDJHDMBVAXEUER-CYBMUJFWSA-N 1 2 318.402 1.778 20 30 DDEDLO N#Cc1cc(N2CCn3c[nH+]cc3C2)ncc1Br ZINC000603380717 359604939 /nfs/dbraw/zinc/60/49/39/359604939.db2.gz HLWBLXSEHGRNKA-UHFFFAOYSA-N 1 2 304.151 1.932 20 30 DDEDLO CCNC(=O)C[N@H+](C)[C@H](C)C(=O)N(CCC#N)c1ccccc1 ZINC000603934436 359705949 /nfs/dbraw/zinc/70/59/49/359705949.db2.gz ZFECTLXYWRHGKN-CQSZACIVSA-N 1 2 316.405 1.390 20 30 DDEDLO CCNC(=O)C[N@@H+](C)[C@H](C)C(=O)N(CCC#N)c1ccccc1 ZINC000603934436 359705952 /nfs/dbraw/zinc/70/59/52/359705952.db2.gz ZFECTLXYWRHGKN-CQSZACIVSA-N 1 2 316.405 1.390 20 30 DDEDLO Cc1cc(C[NH+]2CCN(CC(=O)N(C)C)CC2)ccc1C#N ZINC000618590281 363685504 /nfs/dbraw/zinc/68/55/04/363685504.db2.gz MRPAPMXZHGVZMM-UHFFFAOYSA-N 1 2 300.406 1.073 20 30 DDEDLO Cc1cc(CN2CC[NH+](CC(=O)N(C)C)CC2)ccc1C#N ZINC000618590281 363685510 /nfs/dbraw/zinc/68/55/10/363685510.db2.gz MRPAPMXZHGVZMM-UHFFFAOYSA-N 1 2 300.406 1.073 20 30 DDEDLO C[C@H](NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1)c1cccc(O)c1 ZINC000329952518 223052941 /nfs/dbraw/zinc/05/29/41/223052941.db2.gz IWFUKQBEKKVFMH-CQDKDKBSSA-N 1 2 305.378 1.382 20 30 DDEDLO C[C@H](NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1)c1cccc(O)c1 ZINC000329952518 223052944 /nfs/dbraw/zinc/05/29/44/223052944.db2.gz IWFUKQBEKKVFMH-CQDKDKBSSA-N 1 2 305.378 1.382 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N[C@@H]2CCCC[C@@H]2C)CC1 ZINC000609485630 360312687 /nfs/dbraw/zinc/31/26/87/360312687.db2.gz UETMCMMBZVVCAI-BBWFWOEESA-N 1 2 320.481 1.847 20 30 DDEDLO CC(C)CCNC(=O)[C@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609484707 360312767 /nfs/dbraw/zinc/31/27/67/360312767.db2.gz HWOJITAMKRQXCL-JKSUJKDBSA-N 1 2 308.470 1.703 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)NC2CCCCC2)CC1 ZINC000609485942 360313540 /nfs/dbraw/zinc/31/35/40/360313540.db2.gz AMSAROWMISSGBC-INIZCTEOSA-N 1 2 306.454 1.601 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)OCO2 ZINC000610391984 360425202 /nfs/dbraw/zinc/42/52/02/360425202.db2.gz RSDGVWGAZNDGOE-ZDUSSCGKSA-N 1 2 322.361 1.017 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)OCO2 ZINC000610391984 360425206 /nfs/dbraw/zinc/42/52/06/360425206.db2.gz RSDGVWGAZNDGOE-ZDUSSCGKSA-N 1 2 322.361 1.017 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@H]1CCN(C(=O)c2cccc(C#N)c2)C1 ZINC000276013190 212629646 /nfs/dbraw/zinc/62/96/46/212629646.db2.gz QGRXCKQEBKBOEW-INIZCTEOSA-N 1 2 321.384 1.695 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@H]1CCN(C(=O)c2cccc(C#N)c2)C1 ZINC000276013190 212629650 /nfs/dbraw/zinc/62/96/50/212629650.db2.gz QGRXCKQEBKBOEW-INIZCTEOSA-N 1 2 321.384 1.695 20 30 DDEDLO N#CCCN1CC[NH+]([C@@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000611175797 360649225 /nfs/dbraw/zinc/64/92/25/360649225.db2.gz MJDJZELVUPBRMA-QGZVFWFLSA-N 1 2 312.417 1.713 20 30 DDEDLO N#CCCN1CC[NH+]([C@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000611175795 360649519 /nfs/dbraw/zinc/64/95/19/360649519.db2.gz MJDJZELVUPBRMA-KRWDZBQOSA-N 1 2 312.417 1.713 20 30 DDEDLO C[C@@H]1CC(=O)NCC[N@@H+]1Cc1ccc(C#N)cc1OC(F)F ZINC000611177497 360650525 /nfs/dbraw/zinc/65/05/25/360650525.db2.gz XWKDFCDFICIHMZ-SNVBAGLBSA-N 1 2 309.316 1.870 20 30 DDEDLO C[C@@H]1CC(=O)NCC[N@H+]1Cc1ccc(C#N)cc1OC(F)F ZINC000611177497 360650528 /nfs/dbraw/zinc/65/05/28/360650528.db2.gz XWKDFCDFICIHMZ-SNVBAGLBSA-N 1 2 309.316 1.870 20 30 DDEDLO [O-]C(N[C@@H]1CCO[C@@H](C2CC2)C1)=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330200149 223086516 /nfs/dbraw/zinc/08/65/16/223086516.db2.gz WJCVUCJHIVNSST-MGPQQGTHSA-N 1 2 304.394 1.659 20 30 DDEDLO [O-]C(N[C@@H]1CCn2cc[nH+]c2C1)=[NH+][C@@H]1CCO[C@@H](C2CC2)C1 ZINC000330200149 223086518 /nfs/dbraw/zinc/08/65/18/223086518.db2.gz WJCVUCJHIVNSST-MGPQQGTHSA-N 1 2 304.394 1.659 20 30 DDEDLO Cc1[nH+]ccn1CCC[NH+]=C([O-])N1CCC[C@H](CNC(N)=O)C1 ZINC000330390054 223109451 /nfs/dbraw/zinc/10/94/51/223109451.db2.gz FUKMGKVODHXYMN-CYBMUJFWSA-N 1 2 322.413 1.290 20 30 DDEDLO Cc1nccc(NC(=O)N[C@@H]2CCO[C@H](c3c[nH+]cn3C)C2)n1 ZINC000330279623 223098710 /nfs/dbraw/zinc/09/87/10/223098710.db2.gz RIXSOLDNHANMQS-YPMHNXCESA-N 1 2 316.365 1.765 20 30 DDEDLO CN(C(=O)NC[C@@H]1CCCn2cc[nH+]c21)[C@@H]1CCCC[C@H]1O ZINC000330278950 223098877 /nfs/dbraw/zinc/09/88/77/223098877.db2.gz QHRZKCHFAQPVAI-BFHYXJOUSA-N 1 2 306.410 1.910 20 30 DDEDLO C[C@@H]1COCCN1C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000330543410 223125282 /nfs/dbraw/zinc/12/52/82/223125282.db2.gz TUNLCTZXDFICMD-SECBINFHSA-N 1 2 306.288 1.580 20 30 DDEDLO CSCCN(C)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000331353191 223204268 /nfs/dbraw/zinc/20/42/68/223204268.db2.gz XYMZNDDTMVKLNS-UHFFFAOYSA-N 1 2 324.450 1.627 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)N[C@@H]2CC[C@H](C#N)C2)CCN1C ZINC000331795669 223209271 /nfs/dbraw/zinc/20/92/71/223209271.db2.gz VYXOMWOODTYZSA-MJBXVCDLSA-N 1 2 316.409 1.110 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000193768903 201120235 /nfs/dbraw/zinc/12/02/35/201120235.db2.gz DWPDSNQOFITTOC-AWEZNQCLSA-N 1 2 315.421 1.332 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000619708123 364123301 /nfs/dbraw/zinc/12/33/01/364123301.db2.gz COYCZXOVNZRTMI-UHFFFAOYSA-N 1 2 322.434 1.072 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC2(C[C@@H]2C(=O)N2CCCCC2)CC1 ZINC000619720306 364130743 /nfs/dbraw/zinc/13/07/43/364130743.db2.gz ZCQKQCKZRWLREJ-CABCVRRESA-N 1 2 320.433 1.829 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000625405034 366902197 /nfs/dbraw/zinc/90/21/97/366902197.db2.gz AGXGGYRRJZVABG-HNNXBMFYSA-N 1 2 324.446 1.765 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000625405034 366902204 /nfs/dbraw/zinc/90/22/04/366902204.db2.gz AGXGGYRRJZVABG-HNNXBMFYSA-N 1 2 324.446 1.765 20 30 DDEDLO COCC[N@H+](CCC(=O)Nc1ccc(C#N)cc1)CC(=O)OC ZINC000265057522 204370095 /nfs/dbraw/zinc/37/00/95/204370095.db2.gz RPRHJDLKYFXNHJ-UHFFFAOYSA-N 1 2 319.361 1.008 20 30 DDEDLO COCC[N@@H+](CCC(=O)Nc1ccc(C#N)cc1)CC(=O)OC ZINC000265057522 204370098 /nfs/dbraw/zinc/37/00/98/204370098.db2.gz RPRHJDLKYFXNHJ-UHFFFAOYSA-N 1 2 319.361 1.008 20 30 DDEDLO CC(C)C[C@@H]1COCC[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000265084407 204385834 /nfs/dbraw/zinc/38/58/34/204385834.db2.gz SHHGYTFPPKEHJO-QGZVFWFLSA-N 1 2 315.417 1.921 20 30 DDEDLO CC(C)C[C@@H]1COCC[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000265084407 204385837 /nfs/dbraw/zinc/38/58/37/204385837.db2.gz SHHGYTFPPKEHJO-QGZVFWFLSA-N 1 2 315.417 1.921 20 30 DDEDLO CO[C@H](C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1)C1CC1 ZINC000329958377 533037400 /nfs/dbraw/zinc/03/74/00/533037400.db2.gz QOLLYCBYROTZJX-ZFWWWQNUSA-N 1 2 314.451 1.576 20 30 DDEDLO CCn1nc(C)c(CN2CC[NH+](Cc3ccc(C#N)cc3)CC2)n1 ZINC000294620327 224124591 /nfs/dbraw/zinc/12/45/91/224124591.db2.gz YBQQUIOTWUAPTI-UHFFFAOYSA-N 1 2 324.432 1.796 20 30 DDEDLO C[C@@H](NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1)c1ccc(C#N)cc1 ZINC000329722009 533102472 /nfs/dbraw/zinc/10/24/72/533102472.db2.gz AVVTUIGJHWHPMH-UHOFOFEASA-N 1 2 314.389 1.548 20 30 DDEDLO C[C@@H](NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1)c1ccc(C#N)cc1 ZINC000329722009 533102476 /nfs/dbraw/zinc/10/24/76/533102476.db2.gz AVVTUIGJHWHPMH-UHOFOFEASA-N 1 2 314.389 1.548 20 30 DDEDLO CC#CC[NH+]1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000157972486 197232334 /nfs/dbraw/zinc/23/23/34/197232334.db2.gz CDDNHYHEMCFTBE-UHFFFAOYSA-N 1 2 311.429 1.607 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2nc(C)c(C)s2)CC1 ZINC000157545138 197196228 /nfs/dbraw/zinc/19/62/28/197196228.db2.gz CWHOTHIOESRGLO-LBPRGKRZSA-N 1 2 306.435 1.020 20 30 DDEDLO COC(=O)[C@@]1(C)CC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459378128 533140492 /nfs/dbraw/zinc/14/04/92/533140492.db2.gz YTHQLWUTZNWFQP-HNNXBMFYSA-N 1 2 303.318 1.851 20 30 DDEDLO COC(=O)[C@@]1(C)CC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459378128 533140501 /nfs/dbraw/zinc/14/05/01/533140501.db2.gz YTHQLWUTZNWFQP-HNNXBMFYSA-N 1 2 303.318 1.851 20 30 DDEDLO Cc1cc(OC[C@H](O)C[N@H+](C)CCC#N)c(F)cc1[N+](=O)[O-] ZINC000414120251 224301949 /nfs/dbraw/zinc/30/19/49/224301949.db2.gz BNFUHTPKHZEUGT-LLVKDONJSA-N 1 2 311.313 1.628 20 30 DDEDLO Cc1cc(OC[C@H](O)C[N@@H+](C)CCC#N)c(F)cc1[N+](=O)[O-] ZINC000414120251 224301951 /nfs/dbraw/zinc/30/19/51/224301951.db2.gz BNFUHTPKHZEUGT-LLVKDONJSA-N 1 2 311.313 1.628 20 30 DDEDLO COc1nccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)n1 ZINC000294530198 533228568 /nfs/dbraw/zinc/22/85/68/533228568.db2.gz HCZFBGMZLQMQGB-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO COc1ccc([N+](=O)[O-])cc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000414145623 533229712 /nfs/dbraw/zinc/22/97/12/533229712.db2.gz PLWFUQOSTGYXDB-GFCCVEGCSA-N 1 2 309.322 1.189 20 30 DDEDLO COc1ccc([N+](=O)[O-])cc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000414145623 533229717 /nfs/dbraw/zinc/22/97/17/533229717.db2.gz PLWFUQOSTGYXDB-GFCCVEGCSA-N 1 2 309.322 1.189 20 30 DDEDLO C[C@@H](CNC(=O)Cc1ccc2n[nH]cc2c1)[NH+]1CCOCC1 ZINC000329993249 533360019 /nfs/dbraw/zinc/36/00/19/533360019.db2.gz LEXQHZZDMAXMTP-LBPRGKRZSA-N 1 2 302.378 1.783 20 30 DDEDLO COC(=O)c1ccccc1N(C)C(=O)C[NH2+][C@@H]1CCC[C@@H]1C#N ZINC000459474102 292025108 /nfs/dbraw/zinc/02/51/08/292025108.db2.gz YNCXUPDKLMMTRG-TZMCWYRMSA-N 1 2 315.373 1.718 20 30 DDEDLO CCOc1ccc(O)c(/C=[NH+]/CCCNS(=O)(=O)CC)c1 ZINC000050234216 407129157 /nfs/dbraw/zinc/12/91/57/407129157.db2.gz IDVKGEIWSWBYBP-RVDMUPIBSA-N 1 2 314.407 1.539 20 30 DDEDLO N#Cc1c(F)cccc1N1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000052357192 407150333 /nfs/dbraw/zinc/15/03/33/407150333.db2.gz SPVYZDRCAHXWMV-UHFFFAOYSA-N 1 2 313.336 1.243 20 30 DDEDLO CCOc1cc2c(cc1OCC)C[N@H+](CC(=O)NCC#N)CC2 ZINC000066496817 407252107 /nfs/dbraw/zinc/25/21/07/407252107.db2.gz NAVMYLSWWSJJDE-UHFFFAOYSA-N 1 2 317.389 1.482 20 30 DDEDLO CCOc1cc2c(cc1OCC)C[N@@H+](CC(=O)NCC#N)CC2 ZINC000066496817 407252109 /nfs/dbraw/zinc/25/21/09/407252109.db2.gz NAVMYLSWWSJJDE-UHFFFAOYSA-N 1 2 317.389 1.482 20 30 DDEDLO Cc1ccc(C(N)=[NH+]OCCCS(=O)(=O)C(C)C)cc1F ZINC000122964788 407315975 /nfs/dbraw/zinc/31/59/75/407315975.db2.gz MIBMPHAVCWUSHK-UHFFFAOYSA-N 1 2 316.398 1.984 20 30 DDEDLO CN(C(=O)CN1CC[NH+](CCCC#N)CC1)C1CCCCC1 ZINC000103149936 407333099 /nfs/dbraw/zinc/33/30/99/407333099.db2.gz NUZUIUPZTZVXGM-UHFFFAOYSA-N 1 2 306.454 1.699 20 30 DDEDLO CN(C(=O)C[NH+]1CCN(CCCC#N)CC1)C1CCCCC1 ZINC000103149936 407333100 /nfs/dbraw/zinc/33/31/00/407333100.db2.gz NUZUIUPZTZVXGM-UHFFFAOYSA-N 1 2 306.454 1.699 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)Nc1nc2c(cc1C#N)CCCC2 ZINC000271024794 407475288 /nfs/dbraw/zinc/47/52/88/407475288.db2.gz ZZCJSCVQDWZGPO-AWEZNQCLSA-N 1 2 313.449 1.880 20 30 DDEDLO C=CCSCCNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000178754651 407587434 /nfs/dbraw/zinc/58/74/34/407587434.db2.gz YOHHLUWLWUHXKE-CYBMUJFWSA-N 1 2 306.435 1.879 20 30 DDEDLO CC(C)[NH+]1CCN(CCS(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000128442695 407535916 /nfs/dbraw/zinc/53/59/16/407535916.db2.gz LTWRIKBSZQYBFS-UHFFFAOYSA-N 1 2 321.446 1.358 20 30 DDEDLO C[C@H]1CCC[C@@H]1CC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000185909058 407535907 /nfs/dbraw/zinc/53/59/07/407535907.db2.gz ZMDHTNGTKKXYRP-JKSUJKDBSA-N 1 2 307.438 1.887 20 30 DDEDLO C=CCc1ccc(OCC(=O)NCc2[nH]cc[nH+]2)c(OC)c1 ZINC000114124712 407541920 /nfs/dbraw/zinc/54/19/20/407541920.db2.gz IUZJFULMPIQAIS-UHFFFAOYSA-N 1 2 301.346 1.842 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+](C)Cc1ccc([S@](C)=O)cc1 ZINC000178804664 407606260 /nfs/dbraw/zinc/60/62/60/407606260.db2.gz ULUDJLVTGXFGJM-DMZKTXOQSA-N 1 2 321.446 1.616 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+](C)Cc1ccc([S@](C)=O)cc1 ZINC000178804664 407606266 /nfs/dbraw/zinc/60/62/66/407606266.db2.gz ULUDJLVTGXFGJM-DMZKTXOQSA-N 1 2 321.446 1.616 20 30 DDEDLO COC(=O)[C@]1(C)CCC[N@@H+]1CCOc1ccc(C#N)cc1OC ZINC000186312623 407635931 /nfs/dbraw/zinc/63/59/31/407635931.db2.gz CJEDHLKGXJSTBY-KRWDZBQOSA-N 1 2 318.373 1.973 20 30 DDEDLO COC(=O)[C@]1(C)CCC[N@H+]1CCOc1ccc(C#N)cc1OC ZINC000186312623 407635936 /nfs/dbraw/zinc/63/59/36/407635936.db2.gz CJEDHLKGXJSTBY-KRWDZBQOSA-N 1 2 318.373 1.973 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)c2ccc(C#N)nc2)CC1 ZINC000115387255 407678289 /nfs/dbraw/zinc/67/82/89/407678289.db2.gz JDZOKVWNEKHGAZ-AWEZNQCLSA-N 1 2 321.384 1.867 20 30 DDEDLO C#CCC[NH+]1CCN(CCCS(=O)(=O)c2ccccc2)CC1 ZINC000272047734 407754088 /nfs/dbraw/zinc/75/40/88/407754088.db2.gz SLFTTZJCHBPWEC-UHFFFAOYSA-N 1 2 320.458 1.491 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCN(C(=O)OC(C)(C)C)[C@@H](C)[C@@H]1C ZINC000272037865 407750766 /nfs/dbraw/zinc/75/07/66/407750766.db2.gz QNBZJOWOZFYDJP-STQMWFEESA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCN(C(=O)OC(C)(C)C)[C@@H](C)[C@@H]1C ZINC000272037865 407750772 /nfs/dbraw/zinc/75/07/72/407750772.db2.gz QNBZJOWOZFYDJP-STQMWFEESA-N 1 2 311.426 1.618 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](CC)CC(=O)Nc1ccccc1OC ZINC000179186195 407751065 /nfs/dbraw/zinc/75/10/65/407751065.db2.gz FXUZDXHCNNHMCQ-CYBMUJFWSA-N 1 2 317.389 1.094 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](CC)CC(=O)Nc1ccccc1OC ZINC000179186195 407751070 /nfs/dbraw/zinc/75/10/70/407751070.db2.gz FXUZDXHCNNHMCQ-CYBMUJFWSA-N 1 2 317.389 1.094 20 30 DDEDLO C=CC[N@@H+](Cc1cc(OC)ccc1O)[C@@H]1CCS(=O)(=O)C1 ZINC000179819448 407835084 /nfs/dbraw/zinc/83/50/84/407835084.db2.gz VAWVNBPVUNSBPL-CYBMUJFWSA-N 1 2 311.403 1.576 20 30 DDEDLO C=CC[N@H+](Cc1cc(OC)ccc1O)[C@@H]1CCS(=O)(=O)C1 ZINC000179819448 407835089 /nfs/dbraw/zinc/83/50/89/407835089.db2.gz VAWVNBPVUNSBPL-CYBMUJFWSA-N 1 2 311.403 1.576 20 30 DDEDLO C=CCN(C)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000153580982 407853325 /nfs/dbraw/zinc/85/33/25/407853325.db2.gz CUIAAWYBIYTMPV-UHFFFAOYSA-N 1 2 303.406 1.846 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000134544605 407906317 /nfs/dbraw/zinc/90/63/17/407906317.db2.gz NMXIQPJSAXZPMJ-UHFFFAOYSA-N 1 2 322.365 1.605 20 30 DDEDLO C[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)cc2)[C@H](C(N)=O)C1 ZINC000187403132 407851783 /nfs/dbraw/zinc/85/17/83/407851783.db2.gz OOIAVUXLDSGUSM-RISCZKNCSA-N 1 2 300.362 1.083 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)[C@H](C(N)=O)C1 ZINC000187403132 407851787 /nfs/dbraw/zinc/85/17/87/407851787.db2.gz OOIAVUXLDSGUSM-RISCZKNCSA-N 1 2 300.362 1.083 20 30 DDEDLO Cc1nc(CC(N)=[NH+]O[C@H](C)C(=O)N2CCC(C)CC2)cs1 ZINC000174114228 407918251 /nfs/dbraw/zinc/91/82/51/407918251.db2.gz GGDQRDFVDYQPLA-LLVKDONJSA-N 1 2 324.450 1.930 20 30 DDEDLO CC[N@@H+](CC(=O)NC1(C#N)CCCCC1)C[C@H](O)C(F)(F)F ZINC000189527132 408042742 /nfs/dbraw/zinc/04/27/42/408042742.db2.gz BKFNNVHEPOSVAT-NSHDSACASA-N 1 2 321.343 1.574 20 30 DDEDLO CC[N@H+](CC(=O)NC1(C#N)CCCCC1)C[C@H](O)C(F)(F)F ZINC000189527132 408042747 /nfs/dbraw/zinc/04/27/47/408042747.db2.gz BKFNNVHEPOSVAT-NSHDSACASA-N 1 2 321.343 1.574 20 30 DDEDLO C=CCN(C)C(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000119096190 407993664 /nfs/dbraw/zinc/99/36/64/407993664.db2.gz JSIYETNNMLMMGQ-KRWDZBQOSA-N 1 2 317.433 1.757 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)N(C)CCC#N ZINC000119221790 408019592 /nfs/dbraw/zinc/01/95/92/408019592.db2.gz KVTHNZJWJOIIGK-UHFFFAOYSA-N 1 2 303.366 1.604 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000175227245 408084534 /nfs/dbraw/zinc/08/45/34/408084534.db2.gz UURVSFSNQQTUPA-INIZCTEOSA-N 1 2 321.465 1.888 20 30 DDEDLO COC[C@H]([NH2+]CC(=O)NCc1ccc(C#N)cc1)c1ccco1 ZINC000273226444 408114232 /nfs/dbraw/zinc/11/42/32/408114232.db2.gz BZLGXKMKSLJMAQ-HNNXBMFYSA-N 1 2 313.357 1.745 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@@H](S(=O)(=O)NC3CC3)C2)c1 ZINC000120640368 408138394 /nfs/dbraw/zinc/13/83/94/408138394.db2.gz CBUJQBOMXZMICQ-CQSZACIVSA-N 1 2 323.393 1.353 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@@H](S(=O)(=O)NC3CC3)C2)c1 ZINC000120640368 408138403 /nfs/dbraw/zinc/13/84/03/408138403.db2.gz CBUJQBOMXZMICQ-CQSZACIVSA-N 1 2 323.393 1.353 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCC[C@@H]3C(=O)NC3CC3)n2c1 ZINC000121464702 408216086 /nfs/dbraw/zinc/21/60/86/408216086.db2.gz SFUKKDFQAWWYQI-OAHLLOKOSA-N 1 2 309.373 1.449 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCC[C@@H]3C(=O)NC3CC3)n2c1 ZINC000121464702 408216093 /nfs/dbraw/zinc/21/60/93/408216093.db2.gz SFUKKDFQAWWYQI-OAHLLOKOSA-N 1 2 309.373 1.449 20 30 DDEDLO C=CC[N@@H+](C)Cc1nc2c(c(=O)[nH]c(=O)n2CCCC)n1CC ZINC000121538035 408233362 /nfs/dbraw/zinc/23/33/62/408233362.db2.gz DDSMPVRZZKIZOR-UHFFFAOYSA-N 1 2 319.409 1.324 20 30 DDEDLO C=CC[N@H+](C)Cc1nc2c(c(=O)[nH]c(=O)n2CCCC)n1CC ZINC000121538035 408233369 /nfs/dbraw/zinc/23/33/69/408233369.db2.gz DDSMPVRZZKIZOR-UHFFFAOYSA-N 1 2 319.409 1.324 20 30 DDEDLO N#Cc1ccc(C(F)(F)F)c(N2CC[NH+](CC(N)=O)CC2)c1 ZINC000121565789 408235055 /nfs/dbraw/zinc/23/50/55/408235055.db2.gz RNCBNRMYWJJOCH-UHFFFAOYSA-N 1 2 312.295 1.184 20 30 DDEDLO C[C@H](O)[C@@H]1CCCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156731789 408271635 /nfs/dbraw/zinc/27/16/35/408271635.db2.gz PVBOZRGBRJGGOC-BBRMVZONSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@H](O)[C@@H]1CCCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156731789 408271641 /nfs/dbraw/zinc/27/16/41/408271641.db2.gz PVBOZRGBRJGGOC-BBRMVZONSA-N 1 2 322.430 1.567 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3ccc(CC#N)cc3)CC2)cc[nH+]1 ZINC000274080604 408303369 /nfs/dbraw/zinc/30/33/69/408303369.db2.gz WZTQUJSIAFHYCI-UHFFFAOYSA-N 1 2 321.384 1.814 20 30 DDEDLO Cc1ccc(C#N)cc1OS(=O)(=O)CCC[NH+]1CCOCC1 ZINC000274242400 408337363 /nfs/dbraw/zinc/33/73/63/408337363.db2.gz UNZNWTUJLDTCAM-UHFFFAOYSA-N 1 2 324.402 1.298 20 30 DDEDLO C[C@H]1CC(O)C[C@H](C)[NH+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000191262755 408395267 /nfs/dbraw/zinc/39/52/67/408395267.db2.gz IXNCXSRSWZGQRG-STQMWFEESA-N 1 2 322.430 1.566 20 30 DDEDLO Cn1cc(C[NH+]2CCN(Cc3cccc(O)c3)CC2)cc1C#N ZINC000191302565 408400712 /nfs/dbraw/zinc/40/07/12/408400712.db2.gz KRAQAPRMUMTEQQ-UHFFFAOYSA-N 1 2 310.401 1.920 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2cccc(OC)c2OC)CC1 ZINC000159800201 408436337 /nfs/dbraw/zinc/43/63/37/408436337.db2.gz WQOIJGMITKKEON-UHFFFAOYSA-N 1 2 302.374 1.485 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2nc3ccccc3o2)CC1 ZINC000264309321 408436803 /nfs/dbraw/zinc/43/68/03/408436803.db2.gz ZZAGWLUHZIUMCO-CYBMUJFWSA-N 1 2 312.373 1.088 20 30 DDEDLO Cc1ccc(C#N)c(NCC[NH+]2CCN(c3ncccn3)CC2)n1 ZINC000160689286 408514012 /nfs/dbraw/zinc/51/40/12/408514012.db2.gz XCXSYWYHUUKVTC-UHFFFAOYSA-N 1 2 323.404 1.286 20 30 DDEDLO CC[C@H](C)[C@H]([NH2+]C[C@H](O)COc1ccc(C#N)cc1)C(=O)OC ZINC000183683194 408469538 /nfs/dbraw/zinc/46/95/38/408469538.db2.gz LGMGLCCARZUUNN-NOLJZWGESA-N 1 2 320.389 1.475 20 30 DDEDLO CNC(=O)[C@H](C)C[N@H+](C)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000177208196 408552071 /nfs/dbraw/zinc/55/20/71/408552071.db2.gz QSEULIPFXPQGFU-RISCZKNCSA-N 1 2 303.362 1.086 20 30 DDEDLO CNC(=O)[C@H](C)C[N@@H+](C)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000177208196 408552076 /nfs/dbraw/zinc/55/20/76/408552076.db2.gz QSEULIPFXPQGFU-RISCZKNCSA-N 1 2 303.362 1.086 20 30 DDEDLO C=CCN(C)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000161144575 408563941 /nfs/dbraw/zinc/56/39/41/408563941.db2.gz JZJGAMKYIKAHJR-MRXNPFEDSA-N 1 2 303.406 1.715 20 30 DDEDLO C=CCN(C)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000161144575 408563945 /nfs/dbraw/zinc/56/39/45/408563945.db2.gz JZJGAMKYIKAHJR-MRXNPFEDSA-N 1 2 303.406 1.715 20 30 DDEDLO CCCC[N@H+](CC(N)=O)CC(=O)Nc1sc(C)c(C)c1C#N ZINC000177255746 408565452 /nfs/dbraw/zinc/56/54/52/408565452.db2.gz DKYODUWOLXMLGT-UHFFFAOYSA-N 1 2 322.434 1.763 20 30 DDEDLO CCCC[N@@H+](CC(N)=O)CC(=O)Nc1sc(C)c(C)c1C#N ZINC000177255746 408565458 /nfs/dbraw/zinc/56/54/58/408565458.db2.gz DKYODUWOLXMLGT-UHFFFAOYSA-N 1 2 322.434 1.763 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2cccc(F)c2F)CC1 ZINC000265260095 408594685 /nfs/dbraw/zinc/59/46/85/408594685.db2.gz YBFKRIISKMZXOD-LBPRGKRZSA-N 1 2 309.360 1.778 20 30 DDEDLO Cc1nonc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000265602188 408708166 /nfs/dbraw/zinc/70/81/66/408708166.db2.gz MASZCZDDKJYVNP-UHFFFAOYSA-N 1 2 311.345 1.208 20 30 DDEDLO N#Cc1nccc(N[C@@H](C[NH+]2CCOCC2)c2ccccc2)n1 ZINC000571212704 304444634 /nfs/dbraw/zinc/44/46/34/304444634.db2.gz RFDUFRNZOSMTLW-HNNXBMFYSA-N 1 2 309.373 1.256 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCO[C@]2(CCOC2)C1 ZINC000185213505 408781340 /nfs/dbraw/zinc/78/13/40/408781340.db2.gz FOCAUMIGYFUFSD-CXAGYDPISA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCO[C@]2(CCOC2)C1 ZINC000185213505 408781342 /nfs/dbraw/zinc/78/13/42/408781342.db2.gz FOCAUMIGYFUFSD-CXAGYDPISA-N 1 2 315.373 1.377 20 30 DDEDLO N#C[C@@H]1CC[C@@H]([NH+]2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000281149807 408873571 /nfs/dbraw/zinc/87/35/71/408873571.db2.gz ZTVSCLDEQHJXEI-HUUCEWRRSA-N 1 2 319.430 1.685 20 30 DDEDLO Cn1nccc1[C@H]1CCCC[N@@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000281239009 408876844 /nfs/dbraw/zinc/87/68/44/408876844.db2.gz CXDAVRDNTWHAIZ-NVXWUHKLSA-N 1 2 315.421 1.756 20 30 DDEDLO Cn1nccc1[C@H]1CCCC[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000281239009 408876845 /nfs/dbraw/zinc/87/68/45/408876845.db2.gz CXDAVRDNTWHAIZ-NVXWUHKLSA-N 1 2 315.421 1.756 20 30 DDEDLO CCOC(=O)C[N@H+](CC)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000195204375 408815622 /nfs/dbraw/zinc/81/56/22/408815622.db2.gz BVFGBVYQCRGRNN-CQSZACIVSA-N 1 2 306.362 1.183 20 30 DDEDLO CCOC(=O)C[N@@H+](CC)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000195204375 408815624 /nfs/dbraw/zinc/81/56/24/408815624.db2.gz BVFGBVYQCRGRNN-CQSZACIVSA-N 1 2 306.362 1.183 20 30 DDEDLO CCn1cc(C#N)c(=O)n(Cc2ccc(-n3cc[nH+]c3)cc2)c1=O ZINC000187834651 163029682 /nfs/dbraw/zinc/02/96/82/163029682.db2.gz CEQJQCITUVLJKZ-UHFFFAOYSA-N 1 2 321.340 1.136 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(Cc3ccccc3)C[C@@H]2C)C1=O ZINC000282039606 408977261 /nfs/dbraw/zinc/97/72/61/408977261.db2.gz QVUGLUFZSSNXJD-WMZOPIPTSA-N 1 2 313.445 1.980 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(Cc3ccccc3)C[C@@H]2C)C1=O ZINC000282039606 408977262 /nfs/dbraw/zinc/97/72/62/408977262.db2.gz QVUGLUFZSSNXJD-WMZOPIPTSA-N 1 2 313.445 1.980 20 30 DDEDLO C[C@H]1C[C@@H](O)CC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000292125147 408984688 /nfs/dbraw/zinc/98/46/88/408984688.db2.gz AUVGARJOYLHQMD-JSGCOSHPSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@H]1C[C@@H](O)CC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000292125147 408984690 /nfs/dbraw/zinc/98/46/90/408984690.db2.gz AUVGARJOYLHQMD-JSGCOSHPSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1ncc(C#N)cc1Cl ZINC000286436373 408985282 /nfs/dbraw/zinc/98/52/82/408985282.db2.gz SFINIBMHCXZMND-WCQYABFASA-N 1 2 306.797 1.906 20 30 DDEDLO C=CC[C@H]1N(C(=O)C(=O)NCc2[nH+]ccn2C)CCCC1(C)C ZINC000286722485 408998295 /nfs/dbraw/zinc/99/82/95/408998295.db2.gz FDNDRXFWKXYJRJ-CYBMUJFWSA-N 1 2 318.421 1.630 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NCc1ccc(N2CCC(C)CC2)[nH+]c1 ZINC000292641771 409038557 /nfs/dbraw/zinc/03/85/57/409038557.db2.gz YEPNJMXDBWMMJX-HNNXBMFYSA-N 1 2 318.421 1.664 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CCC(CS(C)(=O)=O)CC2)cc1 ZINC000292821547 409043957 /nfs/dbraw/zinc/04/39/57/409043957.db2.gz QAFXFVCCOPGULA-UHFFFAOYSA-N 1 2 321.442 1.955 20 30 DDEDLO C=CCn1c(C)nnc1N1CC[NH+](Cc2cc(C)no2)CC1 ZINC000282523618 409057508 /nfs/dbraw/zinc/05/75/08/409057508.db2.gz GSILIMUWRTYIKV-UHFFFAOYSA-N 1 2 302.382 1.391 20 30 DDEDLO Cc1ccn2cc(CNS(=O)(=O)N(C)C[C@H](C)C#N)[nH+]c2c1 ZINC000282647236 409060153 /nfs/dbraw/zinc/06/01/53/409060153.db2.gz DJTVEWUEUOBVCZ-GFCCVEGCSA-N 1 2 321.406 1.069 20 30 DDEDLO CC#CC[N@@H+](C)[C@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000293193825 409065877 /nfs/dbraw/zinc/06/58/77/409065877.db2.gz QIUDVDZYWSIDBK-LBPRGKRZSA-N 1 2 306.387 1.595 20 30 DDEDLO CC#CC[N@H+](C)[C@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000293193825 409065879 /nfs/dbraw/zinc/06/58/79/409065879.db2.gz QIUDVDZYWSIDBK-LBPRGKRZSA-N 1 2 306.387 1.595 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCc1ccc(OCCOC)cc1 ZINC000293269487 409079386 /nfs/dbraw/zinc/07/93/86/409079386.db2.gz UUXQKUBOHLOEDX-UHFFFAOYSA-N 1 2 304.390 1.283 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCc1ccc(OCCOC)cc1 ZINC000293269487 409079389 /nfs/dbraw/zinc/07/93/89/409079389.db2.gz UUXQKUBOHLOEDX-UHFFFAOYSA-N 1 2 304.390 1.283 20 30 DDEDLO CC(C)(C#N)CC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000278789446 409089341 /nfs/dbraw/zinc/08/93/41/409089341.db2.gz VWYHHNAQLBEVBH-UHFFFAOYSA-N 1 2 305.382 1.094 20 30 DDEDLO C=CCCCCCN(C)C(=O)C(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000283774043 409214180 /nfs/dbraw/zinc/21/41/80/409214180.db2.gz DHNPMGSNQJRDPP-CQSZACIVSA-N 1 2 306.410 1.593 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1cncc(Cl)c1 ZINC000294856143 409304548 /nfs/dbraw/zinc/30/45/48/409304548.db2.gz NUTFXARZMBSAKO-UHFFFAOYSA-N 1 2 322.796 1.423 20 30 DDEDLO COc1cncc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)c1 ZINC000290152815 409309802 /nfs/dbraw/zinc/30/98/02/409309802.db2.gz CTFYHZBXLGSMGV-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO COc1cncc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)c1 ZINC000290152815 409309804 /nfs/dbraw/zinc/30/98/04/409309804.db2.gz CTFYHZBXLGSMGV-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO C=CCOc1ccc(CNC(=O)NCCn2cc[nH+]c2)cc1 ZINC000285046620 409394529 /nfs/dbraw/zinc/39/45/29/409394529.db2.gz KWYQDOLSNXDPCG-UHFFFAOYSA-N 1 2 300.362 1.947 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)N1CCc2sccc2C1 ZINC000284549714 409347757 /nfs/dbraw/zinc/34/77/57/409347757.db2.gz VWKZFGSLGCQHCQ-ZYHUDNBSSA-N 1 2 323.418 1.489 20 30 DDEDLO CCOc1ccc(NC(=O)CO[NH+]=C(N)[C@H]2CCCO2)cc1 ZINC000284589349 409352691 /nfs/dbraw/zinc/35/26/91/409352691.db2.gz XVVUMSBJJZBWHY-CYBMUJFWSA-N 1 2 307.350 1.492 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)c(C#N)c3)C[C@H]21 ZINC000284609669 409356445 /nfs/dbraw/zinc/35/64/45/409356445.db2.gz TXFFYKPNRVRCIK-HUUCEWRRSA-N 1 2 303.337 1.242 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)c(C#N)c3)C[C@H]21 ZINC000284609669 409356453 /nfs/dbraw/zinc/35/64/53/409356453.db2.gz TXFFYKPNRVRCIK-HUUCEWRRSA-N 1 2 303.337 1.242 20 30 DDEDLO C[N@H+](Cc1ccccc1)C1CN(S(=O)(=O)CCCCC#N)C1 ZINC000285157728 409403009 /nfs/dbraw/zinc/40/30/09/409403009.db2.gz AJMCCKPKQMNENT-UHFFFAOYSA-N 1 2 321.446 1.826 20 30 DDEDLO C[N@@H+](Cc1ccccc1)C1CN(S(=O)(=O)CCCCC#N)C1 ZINC000285157728 409403013 /nfs/dbraw/zinc/40/30/13/409403013.db2.gz AJMCCKPKQMNENT-UHFFFAOYSA-N 1 2 321.446 1.826 20 30 DDEDLO N#Cc1ccc(OCC(=O)N2CC[NH2+][C@@H](c3cccnc3)C2)cc1 ZINC000374377041 164172605 /nfs/dbraw/zinc/17/26/05/164172605.db2.gz IASGGFAUPNPDDW-QGZVFWFLSA-N 1 2 322.368 1.505 20 30 DDEDLO N#Cc1ccc(OCC(=O)N2CC[NH2+][C@H](c3cccnc3)C2)cc1 ZINC000374377040 164172647 /nfs/dbraw/zinc/17/26/47/164172647.db2.gz IASGGFAUPNPDDW-KRWDZBQOSA-N 1 2 322.368 1.505 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)Nc2ccc(C#N)c(Cl)c2)CC(=O)N1 ZINC000296278128 409487689 /nfs/dbraw/zinc/48/76/89/409487689.db2.gz QVWUAHFCJBKPKL-JTQLQIEISA-N 1 2 320.780 1.361 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)Nc2ccc(C#N)c(Cl)c2)CC(=O)N1 ZINC000296278128 409487693 /nfs/dbraw/zinc/48/76/93/409487693.db2.gz QVWUAHFCJBKPKL-JTQLQIEISA-N 1 2 320.780 1.361 20 30 DDEDLO C#CCOc1ccc(CNC(=O)NCCCn2cc[nH+]c2)cc1 ZINC000296874113 409679830 /nfs/dbraw/zinc/67/98/30/409679830.db2.gz AKPGNLDOJVCXMF-UHFFFAOYSA-N 1 2 312.373 1.785 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[NH+](Cc3cccs3)CC2)c1 ZINC000332102657 409884465 /nfs/dbraw/zinc/88/44/65/409884465.db2.gz RYXPORPODNCQAU-UHFFFAOYSA-N 1 2 300.387 1.906 20 30 DDEDLO COC(=O)[C@H]1C[C@H](C)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000342777810 409896278 /nfs/dbraw/zinc/89/62/78/409896278.db2.gz MDVFUKDPVWABDL-IUODEOHRSA-N 1 2 312.373 1.979 20 30 DDEDLO O=C(Nc1nccs1)[C@H]1CCC[N@@H+]1CCN1CCOCC1 ZINC000328814308 409986234 /nfs/dbraw/zinc/98/62/34/409986234.db2.gz BYXZJKUUKXMWLZ-GFCCVEGCSA-N 1 2 310.423 1.528 20 30 DDEDLO O=C(Nc1nccs1)[C@H]1CCC[N@H+]1CCN1CCOCC1 ZINC000328814308 409986240 /nfs/dbraw/zinc/98/62/40/409986240.db2.gz BYXZJKUUKXMWLZ-GFCCVEGCSA-N 1 2 310.423 1.528 20 30 DDEDLO O=C(Nc1nccs1)[C@H]1CCCN1CC[NH+]1CCOCC1 ZINC000328814308 409986244 /nfs/dbraw/zinc/98/62/44/409986244.db2.gz BYXZJKUUKXMWLZ-GFCCVEGCSA-N 1 2 310.423 1.528 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@H](N3CCCC3=O)C2)CCCCC1 ZINC000328834348 409989417 /nfs/dbraw/zinc/98/94/17/409989417.db2.gz LRWWMQPXPGCGKW-AWEZNQCLSA-N 1 2 318.421 1.866 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@H](N3CCCC3=O)C2)CCCCC1 ZINC000328834348 409989423 /nfs/dbraw/zinc/98/94/23/409989423.db2.gz LRWWMQPXPGCGKW-AWEZNQCLSA-N 1 2 318.421 1.866 20 30 DDEDLO Cc1nc(CNC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)sc1C ZINC000328855270 409991185 /nfs/dbraw/zinc/99/11/85/409991185.db2.gz COUSTHPPYPRBRX-STQMWFEESA-N 1 2 324.450 1.579 20 30 DDEDLO Cc1nc(CNC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)sc1C ZINC000328855270 409991196 /nfs/dbraw/zinc/99/11/96/409991196.db2.gz COUSTHPPYPRBRX-STQMWFEESA-N 1 2 324.450 1.579 20 30 DDEDLO Cc1ccc(NC(=O)N2CCOC[C@@H]2c2ncnn2C)c(C)[nH+]1 ZINC000328942390 410015546 /nfs/dbraw/zinc/01/55/46/410015546.db2.gz FMKHGPPOIVLUKS-CYBMUJFWSA-N 1 2 316.365 1.446 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3scnc3C3CC3)C[C@H]21 ZINC000328961407 410022555 /nfs/dbraw/zinc/02/25/55/410022555.db2.gz KRPYVASPWLYDEB-NEPJUHHUSA-N 1 2 322.434 1.971 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3scnc3C3CC3)C[C@H]21 ZINC000328961407 410022560 /nfs/dbraw/zinc/02/25/60/410022560.db2.gz KRPYVASPWLYDEB-NEPJUHHUSA-N 1 2 322.434 1.971 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCOC[C@@H]1[C@@H]1CCC[C@H]1O)C1CC1 ZINC000328973003 410030464 /nfs/dbraw/zinc/03/04/64/410030464.db2.gz PEGWYIABMGRSQX-KLZNWCGWSA-N 1 2 321.421 1.497 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCOC[C@@H]1[C@@H]1CCC[C@H]1O)C1CC1 ZINC000328973003 410030472 /nfs/dbraw/zinc/03/04/72/410030472.db2.gz PEGWYIABMGRSQX-KLZNWCGWSA-N 1 2 321.421 1.497 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)N[C@@H]1CCO[C@@H]1C1CC1 ZINC000328887154 410002969 /nfs/dbraw/zinc/00/29/69/410002969.db2.gz OKCIJIZWSXPPGK-IIAWOOMASA-N 1 2 316.405 1.731 20 30 DDEDLO C[C@@H]1CCN(S(=O)(=O)CC2(C#N)CCC2)C[C@H]1n1cc[nH+]c1 ZINC000357486490 410062922 /nfs/dbraw/zinc/06/29/22/410062922.db2.gz XTVAKWIVXKHGHF-ZIAGYGMSSA-N 1 2 322.434 1.790 20 30 DDEDLO CC[C@H](C)n1nc(NC(=O)NC[C@@H]2C[N@H+](C)CCO2)cc1C ZINC000329176755 410148716 /nfs/dbraw/zinc/14/87/16/410148716.db2.gz CBBXZNLRJYOETB-WCQYABFASA-N 1 2 309.414 1.819 20 30 DDEDLO CC[C@H](C)n1nc(NC(=O)NC[C@@H]2C[N@@H+](C)CCO2)cc1C ZINC000329176755 410148728 /nfs/dbraw/zinc/14/87/28/410148728.db2.gz CBBXZNLRJYOETB-WCQYABFASA-N 1 2 309.414 1.819 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)N(C)Cc1nccn1C)CCC2 ZINC000329154936 410134248 /nfs/dbraw/zinc/13/42/48/410134248.db2.gz AQBXLNGIQIRENA-CYBMUJFWSA-N 1 2 316.409 1.848 20 30 DDEDLO CC(C)(CNC(=O)NC[C@H]1CCCSC1)[NH+]1CCOCC1 ZINC000329218523 410172071 /nfs/dbraw/zinc/17/20/71/410172071.db2.gz AGSINAFXDSOVOW-CYBMUJFWSA-N 1 2 315.483 1.744 20 30 DDEDLO Cc1nnccc1NC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000329240963 410186523 /nfs/dbraw/zinc/18/65/23/410186523.db2.gz NCQLWRYNMYBEJM-AAEUAGOBSA-N 1 2 305.382 1.126 20 30 DDEDLO Cn1cc(C[NH+]2CCN(Cc3ccc(F)cc3C#N)CC2)cn1 ZINC000357765050 410237899 /nfs/dbraw/zinc/23/78/99/410237899.db2.gz YEBUYOHRDCKQPV-UHFFFAOYSA-N 1 2 313.380 1.749 20 30 DDEDLO CCc1nc(CCNC(=O)C2([NH+]3CCOCC3)CCC2)no1 ZINC000329425198 410292452 /nfs/dbraw/zinc/29/24/52/410292452.db2.gz FUXDESVHYQROBR-UHFFFAOYSA-N 1 2 308.382 1.386 20 30 DDEDLO C[C@H](Cc1cccc(O)c1)NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329543715 410354493 /nfs/dbraw/zinc/35/44/93/410354493.db2.gz FOHRCNMCFJYLCO-UHOFOFEASA-N 1 2 319.405 1.252 20 30 DDEDLO C[C@H](Cc1cccc(O)c1)NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329543715 410354496 /nfs/dbraw/zinc/35/44/96/410354496.db2.gz FOHRCNMCFJYLCO-UHOFOFEASA-N 1 2 319.405 1.252 20 30 DDEDLO C=CCN(C(=O)Nc1c(C)cc[nH+]c1C)[C@@H]1CCS(=O)(=O)C1 ZINC000351955094 410368922 /nfs/dbraw/zinc/36/89/22/410368922.db2.gz GGVPIRLBTFWGTE-CYBMUJFWSA-N 1 2 323.418 1.905 20 30 DDEDLO C=C[C@H](CO)NC(=O)NCc1ccc(Cn2cc[nH+]c2)cc1 ZINC000358557144 410481842 /nfs/dbraw/zinc/48/18/42/410481842.db2.gz LONVVEIJDADXNJ-OAHLLOKOSA-N 1 2 300.362 1.278 20 30 DDEDLO C=C(CC)C[NH+]1CCN(S(=O)(=O)c2ccccc2C#N)CC1 ZINC000358627293 410498935 /nfs/dbraw/zinc/49/89/35/410498935.db2.gz VQTMKGRGLUTHPX-UHFFFAOYSA-N 1 2 319.430 1.831 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)NCC(C)(C)C#N)CC1 ZINC000358627966 410500752 /nfs/dbraw/zinc/50/07/52/410500752.db2.gz OWHZXASCVMOVHP-UHFFFAOYSA-N 1 2 301.394 1.771 20 30 DDEDLO CO[C@]1(C)C[C@H](NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)C1(C)C ZINC000329978822 410503760 /nfs/dbraw/zinc/50/37/60/410503760.db2.gz IHHGKSKYCBDBQX-WFGGJUAMSA-N 1 2 311.426 1.119 20 30 DDEDLO CO[C@]1(C)C[C@H](NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)C1(C)C ZINC000329978822 410503764 /nfs/dbraw/zinc/50/37/64/410503764.db2.gz IHHGKSKYCBDBQX-WFGGJUAMSA-N 1 2 311.426 1.119 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N(CC)C[C@H](C)C#N)C1CCCC1 ZINC000347533458 410600399 /nfs/dbraw/zinc/60/03/99/410600399.db2.gz WTRPXBNLJLORAK-CQSZACIVSA-N 1 2 323.437 1.802 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N(CC)C[C@H](C)C#N)C1CCCC1 ZINC000347533458 410600402 /nfs/dbraw/zinc/60/04/02/410600402.db2.gz WTRPXBNLJLORAK-CQSZACIVSA-N 1 2 323.437 1.802 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000355484644 410541108 /nfs/dbraw/zinc/54/11/08/410541108.db2.gz WBNRIZNGYSFLEA-UHFFFAOYSA-N 1 2 308.426 1.480 20 30 DDEDLO CCn1cc(C[N@H+](CCO)Cc2ccc(C#N)cc2F)cn1 ZINC000355511047 410556989 /nfs/dbraw/zinc/55/69/89/410556989.db2.gz IPGSQCYQYWPIRD-UHFFFAOYSA-N 1 2 302.353 1.908 20 30 DDEDLO CCn1cc(C[N@@H+](CCO)Cc2ccc(C#N)cc2F)cn1 ZINC000355511047 410556993 /nfs/dbraw/zinc/55/69/93/410556993.db2.gz IPGSQCYQYWPIRD-UHFFFAOYSA-N 1 2 302.353 1.908 20 30 DDEDLO C=CCNC(=O)c1ccc(NCc2ccc[nH+]c2N(C)C)nc1 ZINC000352783393 410676256 /nfs/dbraw/zinc/67/62/56/410676256.db2.gz JWGJUURSXPDGJF-UHFFFAOYSA-N 1 2 311.389 2.071 20 30 DDEDLO COCCC(=O)N1CC[NH+](Cc2ccc(C#N)cc2OC)CC1 ZINC000355657326 410627281 /nfs/dbraw/zinc/62/72/81/410627281.db2.gz JNXQHOGYBNDZDR-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](CC)CC(=O)N2CCCCCC2)C1=O ZINC000353178623 410832984 /nfs/dbraw/zinc/83/29/84/410832984.db2.gz YBAYGUBCDLGEPW-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](CC)CC(=O)N2CCCCCC2)C1=O ZINC000353178623 410832990 /nfs/dbraw/zinc/83/29/90/410832990.db2.gz YBAYGUBCDLGEPW-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)NCC#Cc1ccccc1 ZINC000356181868 410880318 /nfs/dbraw/zinc/88/03/18/410880318.db2.gz SUZOVZVXSSILKA-HNNXBMFYSA-N 1 2 301.390 1.058 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)NCC#Cc1ccccc1 ZINC000356181868 410880325 /nfs/dbraw/zinc/88/03/25/410880325.db2.gz SUZOVZVXSSILKA-HNNXBMFYSA-N 1 2 301.390 1.058 20 30 DDEDLO C[C@@H](CN1CC[NH+](C)CC1)NC(=O)c1cc(O)ccc1[N+](=O)[O-] ZINC000330691750 410882041 /nfs/dbraw/zinc/88/20/41/410882041.db2.gz BFLMXIYSFTWPCI-NSHDSACASA-N 1 2 322.365 1.241 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@H+](CC)C[C@@H](C)C#N ZINC000353285067 410899778 /nfs/dbraw/zinc/89/97/78/410899778.db2.gz YFEXJAIUIULXGC-KBPBESRZSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@@H+](CC)C[C@@H](C)C#N ZINC000353285067 410899785 /nfs/dbraw/zinc/89/97/85/410899785.db2.gz YFEXJAIUIULXGC-KBPBESRZSA-N 1 2 309.410 1.412 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1C[C@@H](C)[S@](=O)[C@@H](C)C1 ZINC000331169668 410993550 /nfs/dbraw/zinc/99/35/50/410993550.db2.gz NQJUXAKTZASIMU-ZPHSUKBBSA-N 1 2 322.430 1.787 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1C[C@@H](C)[S@](=O)[C@@H](C)C1 ZINC000331169668 410993553 /nfs/dbraw/zinc/99/35/53/410993553.db2.gz NQJUXAKTZASIMU-ZPHSUKBBSA-N 1 2 322.430 1.787 20 30 DDEDLO CN1CCN(S(=O)(=O)c2ccc(C#N)o2)c2ccc[nH+]c21 ZINC000344227512 411040784 /nfs/dbraw/zinc/04/07/84/411040784.db2.gz UWIVTLCTRKFZMT-UHFFFAOYSA-N 1 2 304.331 1.191 20 30 DDEDLO CNC(=O)[C@@H]1Cc2ccccc2C[N@@H+]1Cc1cc(C#N)n(C)c1 ZINC000373813526 418462936 /nfs/dbraw/zinc/46/29/36/418462936.db2.gz VJGGQMUSHNMCHN-KRWDZBQOSA-N 1 2 308.385 1.570 20 30 DDEDLO CNC(=O)[C@@H]1Cc2ccccc2C[N@H+]1Cc1cc(C#N)n(C)c1 ZINC000373813526 418462938 /nfs/dbraw/zinc/46/29/38/418462938.db2.gz VJGGQMUSHNMCHN-KRWDZBQOSA-N 1 2 308.385 1.570 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC#N)CC2)C1 ZINC000366527861 418485098 /nfs/dbraw/zinc/48/50/98/418485098.db2.gz PGETTWUBNGGFCI-CYBMUJFWSA-N 1 2 322.409 1.054 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)C[C@]2(CCOC2)O1 ZINC000366578666 418491623 /nfs/dbraw/zinc/49/16/23/418491623.db2.gz VWIYPTZVDOUBLC-PBHICJAKSA-N 1 2 321.421 1.209 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C[C@]2(CCOC2)O1 ZINC000366578666 418491626 /nfs/dbraw/zinc/49/16/26/418491626.db2.gz VWIYPTZVDOUBLC-PBHICJAKSA-N 1 2 321.421 1.209 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)c1cccc(F)c1C#N ZINC000189105009 222036458 /nfs/dbraw/zinc/03/64/58/222036458.db2.gz GSUVBDURHNOCCP-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)c1cccc(F)c1C#N ZINC000189105009 222036460 /nfs/dbraw/zinc/03/64/60/222036460.db2.gz GSUVBDURHNOCCP-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@H](O)c2ccccc2C1 ZINC000374823020 418566300 /nfs/dbraw/zinc/56/63/00/418566300.db2.gz VDMUVFTUFNVAQH-SJLPKXTDSA-N 1 2 315.417 1.980 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@@H](O)c2ccccc2C1 ZINC000374823020 418566307 /nfs/dbraw/zinc/56/63/07/418566307.db2.gz VDMUVFTUFNVAQH-SJLPKXTDSA-N 1 2 315.417 1.980 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)C#Cc1ccc3c(c1)OCO3)CC2 ZINC000374667167 418552919 /nfs/dbraw/zinc/55/29/19/418552919.db2.gz ZOBUEKOTFAXQEE-UHFFFAOYSA-N 1 2 309.325 1.314 20 30 DDEDLO C(#CC[N@@H+]1CCO[C@@H](C2CC2)C1)CN1CCO[C@@H](C2CC2)C1 ZINC000367048377 418555144 /nfs/dbraw/zinc/55/51/44/418555144.db2.gz UOOAEOJJGDFBJC-QZTJIDSGSA-N 1 2 304.434 1.211 20 30 DDEDLO C(#CC[N@H+]1CCO[C@@H](C2CC2)C1)CN1CCO[C@@H](C2CC2)C1 ZINC000367048377 418555147 /nfs/dbraw/zinc/55/51/47/418555147.db2.gz UOOAEOJJGDFBJC-QZTJIDSGSA-N 1 2 304.434 1.211 20 30 DDEDLO C[C@@H](C#N)CSc1ccccc1NC(=O)C[N@@H+]1CC[C@@H](O)C1 ZINC000245535652 222216737 /nfs/dbraw/zinc/21/67/37/222216737.db2.gz ZCABLVOOBHOBRX-QWHCGFSZSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@@H](C#N)CSc1ccccc1NC(=O)C[N@H+]1CC[C@@H](O)C1 ZINC000245535652 222216740 /nfs/dbraw/zinc/21/67/40/222216740.db2.gz ZCABLVOOBHOBRX-QWHCGFSZSA-N 1 2 319.430 1.943 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)C[N@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000245771643 222218101 /nfs/dbraw/zinc/21/81/01/222218101.db2.gz RYIZELBVMNAGMD-WQVCFCJDSA-N 1 2 318.373 1.740 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)C[N@@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000245771643 222218104 /nfs/dbraw/zinc/21/81/04/222218104.db2.gz RYIZELBVMNAGMD-WQVCFCJDSA-N 1 2 318.373 1.740 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@H+](C)[C@@H](C)CC#N)cc1 ZINC000247431166 222228832 /nfs/dbraw/zinc/22/88/32/222228832.db2.gz IIPHUSAETYSQQD-GXTWGEPZSA-N 1 2 306.362 1.447 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@@H+](C)[C@@H](C)CC#N)cc1 ZINC000247431166 222228836 /nfs/dbraw/zinc/22/88/36/222228836.db2.gz IIPHUSAETYSQQD-GXTWGEPZSA-N 1 2 306.362 1.447 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)cc1 ZINC000264323263 222330647 /nfs/dbraw/zinc/33/06/47/222330647.db2.gz VUZWQPGWXFLYCU-YKJWAUKPSA-N 1 2 319.405 1.506 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000367542089 418610484 /nfs/dbraw/zinc/61/04/84/418610484.db2.gz XIJXBYAQIBNCMG-KRWDZBQOSA-N 1 2 302.374 1.948 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000367542089 418610486 /nfs/dbraw/zinc/61/04/86/418610486.db2.gz XIJXBYAQIBNCMG-KRWDZBQOSA-N 1 2 302.374 1.948 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](CCNS(C)(=O)=O)C1CC1 ZINC000361397130 418643821 /nfs/dbraw/zinc/64/38/21/418643821.db2.gz WZVZZZRJSAHYGI-UHFFFAOYSA-N 1 2 323.418 1.080 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](CCNS(C)(=O)=O)C1CC1 ZINC000361397130 418643823 /nfs/dbraw/zinc/64/38/23/418643823.db2.gz WZVZZZRJSAHYGI-UHFFFAOYSA-N 1 2 323.418 1.080 20 30 DDEDLO CCn1nccc1C[N@@H+](CC#Cc1ccc(F)cc1)CCO ZINC000361370657 418636882 /nfs/dbraw/zinc/63/68/82/418636882.db2.gz UWFUYUMXCGWMLC-UHFFFAOYSA-N 1 2 301.365 1.888 20 30 DDEDLO CCn1nccc1C[N@H+](CC#Cc1ccc(F)cc1)CCO ZINC000361370657 418636884 /nfs/dbraw/zinc/63/68/84/418636884.db2.gz UWFUYUMXCGWMLC-UHFFFAOYSA-N 1 2 301.365 1.888 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCC2(C1)Oc1ccccc1O2 ZINC000377600239 418712314 /nfs/dbraw/zinc/71/23/14/418712314.db2.gz WUYRTKDOTAWJMP-CYBMUJFWSA-N 1 2 315.373 1.620 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCC2(C1)Oc1ccccc1O2 ZINC000377600239 418712315 /nfs/dbraw/zinc/71/23/15/418712315.db2.gz WUYRTKDOTAWJMP-CYBMUJFWSA-N 1 2 315.373 1.620 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)NC(C)(C)C)CC2)cc1C#N ZINC000361599168 418690451 /nfs/dbraw/zinc/69/04/51/418690451.db2.gz BXHCMSXEPJIQCG-UHFFFAOYSA-N 1 2 303.410 1.522 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH2+][C@@H](c3cccnc3)C2)ccc1F ZINC000375908661 418690626 /nfs/dbraw/zinc/69/06/26/418690626.db2.gz CIZASYJQXJKFGM-MRXNPFEDSA-N 1 2 310.332 1.879 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@@H+]1CCOc1cccc(C#N)c1 ZINC000376879237 418702268 /nfs/dbraw/zinc/70/22/68/418702268.db2.gz CGIPAMRLFLAYBG-HOCLYGCPSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@H+]1CCOc1cccc(C#N)c1 ZINC000376879237 418702270 /nfs/dbraw/zinc/70/22/70/418702270.db2.gz CGIPAMRLFLAYBG-HOCLYGCPSA-N 1 2 318.373 1.589 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[N@H+](Cc2ccccc2)C(C)(C)C1 ZINC000383068346 418731964 /nfs/dbraw/zinc/73/19/64/418731964.db2.gz IHCMVCOHLUQGRF-CQSZACIVSA-N 1 2 321.446 1.825 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[N@@H+](Cc2ccccc2)C(C)(C)C1 ZINC000383068346 418731967 /nfs/dbraw/zinc/73/19/67/418731967.db2.gz IHCMVCOHLUQGRF-CQSZACIVSA-N 1 2 321.446 1.825 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)C[C@H](C2CC2)O1 ZINC000369389509 418732901 /nfs/dbraw/zinc/73/29/01/418732901.db2.gz PSARKOIGDZJWHW-UKRRQHHQSA-N 1 2 304.394 1.142 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)C[C@H](C2CC2)O1 ZINC000369389509 418732903 /nfs/dbraw/zinc/73/29/03/418732903.db2.gz PSARKOIGDZJWHW-UKRRQHHQSA-N 1 2 304.394 1.142 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1Cc2ccccc2[C@H](n2cccn2)C1 ZINC000369448991 418734016 /nfs/dbraw/zinc/73/40/16/418734016.db2.gz WXBXYPGOHDOSME-RHSMWYFYSA-N 1 2 310.401 1.979 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1Cc2ccccc2[C@H](n2cccn2)C1 ZINC000369448991 418734019 /nfs/dbraw/zinc/73/40/19/418734019.db2.gz WXBXYPGOHDOSME-RHSMWYFYSA-N 1 2 310.401 1.979 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@](O)(C2CC2)C1 ZINC000370079903 418741029 /nfs/dbraw/zinc/74/10/29/418741029.db2.gz CBOHSKGYIYWYCF-NVXWUHKLSA-N 1 2 300.358 1.619 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@](O)(C2CC2)C1 ZINC000370079903 418741033 /nfs/dbraw/zinc/74/10/33/418741033.db2.gz CBOHSKGYIYWYCF-NVXWUHKLSA-N 1 2 300.358 1.619 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)NCc1cccnc1-n1cc[nH+]c1 ZINC000370463446 418744690 /nfs/dbraw/zinc/74/46/90/418744690.db2.gz SRICDKYEXGKKFA-UHFFFAOYSA-N 1 2 307.313 1.970 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@]12CCCN(C(=O)OC(C)(C)C)C2 ZINC000370607086 418746953 /nfs/dbraw/zinc/74/69/53/418746953.db2.gz SWJBKLQYJLCADB-KRWDZBQOSA-N 1 2 323.437 1.764 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@]12CCCN(C(=O)OC(C)(C)C)C2 ZINC000370607086 418746954 /nfs/dbraw/zinc/74/69/54/418746954.db2.gz SWJBKLQYJLCADB-KRWDZBQOSA-N 1 2 323.437 1.764 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](CCCN3CCOCC3)CCO2)c1 ZINC000362065063 418747391 /nfs/dbraw/zinc/74/73/91/418747391.db2.gz RWPJYTUPVQBEFP-GOSISDBHSA-N 1 2 315.417 1.654 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](CCCN3CCOCC3)CCO2)c1 ZINC000362065063 418747393 /nfs/dbraw/zinc/74/73/93/418747393.db2.gz RWPJYTUPVQBEFP-GOSISDBHSA-N 1 2 315.417 1.654 20 30 DDEDLO O=C1CO[C@H]2CC[N@@H+](CC#Cc3cccc(Cl)c3)C[C@@H]2N1 ZINC000370712218 418747442 /nfs/dbraw/zinc/74/74/42/418747442.db2.gz ZUBWNXRZSZFASL-GJZGRUSLSA-N 1 2 304.777 1.281 20 30 DDEDLO O=C1CO[C@H]2CC[N@H+](CC#Cc3cccc(Cl)c3)C[C@@H]2N1 ZINC000370712218 418747445 /nfs/dbraw/zinc/74/74/45/418747445.db2.gz ZUBWNXRZSZFASL-GJZGRUSLSA-N 1 2 304.777 1.281 20 30 DDEDLO C=C[C@H](C)NC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000362381612 418753048 /nfs/dbraw/zinc/75/30/48/418753048.db2.gz ZVIZUWNFAJIZRI-QWHCGFSZSA-N 1 2 304.394 1.680 20 30 DDEDLO N#CCCn1ccc(NC(=O)[C@@H]2CCC[N@@H+]2Cc2ccccn2)n1 ZINC000363301494 418764239 /nfs/dbraw/zinc/76/42/39/418764239.db2.gz KBZDSHJXDKVWTM-HNNXBMFYSA-N 1 2 324.388 1.795 20 30 DDEDLO N#CCCn1ccc(NC(=O)[C@@H]2CCC[N@H+]2Cc2ccccn2)n1 ZINC000363301494 418764241 /nfs/dbraw/zinc/76/42/41/418764241.db2.gz KBZDSHJXDKVWTM-HNNXBMFYSA-N 1 2 324.388 1.795 20 30 DDEDLO N#CCOc1ccc(CC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000371308071 418777633 /nfs/dbraw/zinc/77/76/33/418777633.db2.gz KQFSEGQSGPDMGU-CYBMUJFWSA-N 1 2 310.357 1.528 20 30 DDEDLO N#CCOc1ccc(CC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000371308071 418777634 /nfs/dbraw/zinc/77/76/34/418777634.db2.gz KQFSEGQSGPDMGU-CYBMUJFWSA-N 1 2 310.357 1.528 20 30 DDEDLO Cc1ccnc(N2CC[C@H]([N@H+](C)Cc3nccc(N)n3)C2)c1C#N ZINC000372519241 418879046 /nfs/dbraw/zinc/87/90/46/418879046.db2.gz ALZLZOAILVMHJI-ZDUSSCGKSA-N 1 2 323.404 1.345 20 30 DDEDLO Cc1ccnc(N2CC[C@H]([N@@H+](C)Cc3nccc(N)n3)C2)c1C#N ZINC000372519241 418879049 /nfs/dbraw/zinc/87/90/49/418879049.db2.gz ALZLZOAILVMHJI-ZDUSSCGKSA-N 1 2 323.404 1.345 20 30 DDEDLO N#CCC[C@H](C#N)C[N@H+]1CCC[C@@H](n2cc([N+](=O)[O-])cn2)C1 ZINC000372289348 418851956 /nfs/dbraw/zinc/85/19/56/418851956.db2.gz OUHPNJBANPQPMX-CHWSQXEVSA-N 1 2 302.338 1.872 20 30 DDEDLO N#CCC[C@H](C#N)C[N@@H+]1CCC[C@@H](n2cc([N+](=O)[O-])cn2)C1 ZINC000372289348 418851958 /nfs/dbraw/zinc/85/19/58/418851958.db2.gz OUHPNJBANPQPMX-CHWSQXEVSA-N 1 2 302.338 1.872 20 30 DDEDLO CN1C[C@@H]2C[N@H+](Cc3cn4ccccc4c3C#N)CCN2C1=O ZINC000373238934 418933145 /nfs/dbraw/zinc/93/31/45/418933145.db2.gz AWRDDVXVZDGUEF-CQSZACIVSA-N 1 2 309.373 1.363 20 30 DDEDLO CN1C[C@@H]2C[N@@H+](Cc3cn4ccccc4c3C#N)CCN2C1=O ZINC000373238934 418933146 /nfs/dbraw/zinc/93/31/46/418933146.db2.gz AWRDDVXVZDGUEF-CQSZACIVSA-N 1 2 309.373 1.363 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000425796436 419339762 /nfs/dbraw/zinc/33/97/62/419339762.db2.gz PXRIUCXDHPWFDE-KBPBESRZSA-N 1 2 317.389 1.653 20 30 DDEDLO CCCc1nc(C)c(CN(CCC#N)CC[NH+]2CCOCC2)o1 ZINC000428236639 419835732 /nfs/dbraw/zinc/83/57/32/419835732.db2.gz IDYGICGIYGNPLF-UHFFFAOYSA-N 1 2 320.437 1.983 20 30 DDEDLO CC(C)[C@@H](CNc1nccc(C#N)c1Cl)[NH+]1CCOCC1 ZINC000429204059 419985621 /nfs/dbraw/zinc/98/56/21/419985621.db2.gz XIEDGXVFESNDPY-CYBMUJFWSA-N 1 2 308.813 2.375 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[NH+]([C@@H](C)c2ccsc2)CC1 ZINC000416466530 420382665 /nfs/dbraw/zinc/38/26/65/420382665.db2.gz QNSXFUCSIGCQDP-NEPJUHHUSA-N 1 2 313.448 1.669 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+][C@H](C)c1ncc(C(=O)OC)s1 ZINC000425478177 420394918 /nfs/dbraw/zinc/39/49/18/420394918.db2.gz CABSCCACGYBSLP-SNVBAGLBSA-N 1 2 324.406 1.342 20 30 DDEDLO N#Cc1cccc(NCC(=O)NC[C@H]2CCCn3cc[nH+]c32)c1 ZINC000438190574 420445111 /nfs/dbraw/zinc/44/51/11/420445111.db2.gz OIWGZEGNQGHOJV-CQSZACIVSA-N 1 2 309.373 1.860 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C(=O)OCC ZINC000456609357 420514525 /nfs/dbraw/zinc/51/45/25/420514525.db2.gz AKMCWDVVRVCEEO-QWHCGFSZSA-N 1 2 305.378 1.460 20 30 DDEDLO CCN1CCN(C(=O)c2cc(C#N)[nH]c2C)C[C@@H]1c1[nH]cc[nH+]1 ZINC000450868115 420547636 /nfs/dbraw/zinc/54/76/36/420547636.db2.gz MCPZDTUYQVDRJX-CQSZACIVSA-N 1 2 312.377 1.437 20 30 DDEDLO C[C@@H](NC(=O)NCC1CCC(C#N)CC1)[C@@H](C)[NH+]1CCOCC1 ZINC000440982252 420623822 /nfs/dbraw/zinc/62/38/22/420623822.db2.gz APCSJNSGMBQDKK-WXLSXGNJSA-N 1 2 322.453 1.725 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc(C#N)cc1[N+](=O)[O-] ZINC000441171014 420637568 /nfs/dbraw/zinc/63/75/68/420637568.db2.gz FFSWXPUTJNYBCF-GFCCVEGCSA-N 1 2 304.306 1.052 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc(C#N)cc1[N+](=O)[O-] ZINC000441171014 420637570 /nfs/dbraw/zinc/63/75/70/420637570.db2.gz FFSWXPUTJNYBCF-GFCCVEGCSA-N 1 2 304.306 1.052 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1C/C=C\c1ccncc1 ZINC000494994047 421006235 /nfs/dbraw/zinc/00/62/35/421006235.db2.gz JGHZVXCHTWWYAZ-MPNWYJEXSA-N 1 2 320.400 1.967 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1C/C=C\c1ccncc1 ZINC000494994047 421006238 /nfs/dbraw/zinc/00/62/38/421006238.db2.gz JGHZVXCHTWWYAZ-MPNWYJEXSA-N 1 2 320.400 1.967 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)OC(C)(C)C)CC[N@H+]1C ZINC000496095809 421132829 /nfs/dbraw/zinc/13/28/29/421132829.db2.gz VQCPQJGYFDQPAE-CYBMUJFWSA-N 1 2 311.426 1.620 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)OC(C)(C)C)CC[N@@H+]1C ZINC000496095809 421132831 /nfs/dbraw/zinc/13/28/31/421132831.db2.gz VQCPQJGYFDQPAE-CYBMUJFWSA-N 1 2 311.426 1.620 20 30 DDEDLO C[C@H](CNC(=O)Nc1cccc(CC#N)c1)[NH+]1CCOCC1 ZINC000455948322 421078961 /nfs/dbraw/zinc/07/89/61/421078961.db2.gz OYQZMLDWKMDLJE-CYBMUJFWSA-N 1 2 302.378 1.595 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)/C=C\c1ccc(-n2cc[nH+]c2)cc1 ZINC000492231200 421217318 /nfs/dbraw/zinc/21/73/18/421217318.db2.gz IHVLVDHXRXNQTL-AFCDSYGPSA-N 1 2 310.357 1.930 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C(=O)N[C@@]2(CCc3ccccc3C2)C1=O ZINC000491325892 421196089 /nfs/dbraw/zinc/19/60/89/421196089.db2.gz HZBFUSPYISZZGU-FZKQIMNGSA-N 1 2 311.385 1.377 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C(=O)N[C@@]2(CCc3ccccc3C2)C1=O ZINC000491325892 421196090 /nfs/dbraw/zinc/19/60/90/421196090.db2.gz HZBFUSPYISZZGU-FZKQIMNGSA-N 1 2 311.385 1.377 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[NH+](CCn2ccnc2C#N)CC1 ZINC000496452449 421255870 /nfs/dbraw/zinc/25/58/70/421255870.db2.gz ODIHMOPBBJHQAY-UHFFFAOYSA-N 1 2 305.382 1.307 20 30 DDEDLO Cc1noc([C@H]2CCC[N@H+](CC(=O)NC3(C#N)CCC3)C2)n1 ZINC000527377688 421384296 /nfs/dbraw/zinc/38/42/96/421384296.db2.gz BAFSBWQOBPSMIP-LBPRGKRZSA-N 1 2 303.366 1.120 20 30 DDEDLO Cc1noc([C@H]2CCC[N@@H+](CC(=O)NC3(C#N)CCC3)C2)n1 ZINC000527377688 421384298 /nfs/dbraw/zinc/38/42/98/421384298.db2.gz BAFSBWQOBPSMIP-LBPRGKRZSA-N 1 2 303.366 1.120 20 30 DDEDLO N#CC1(C[C@@H](O)C[NH+]2CCN(C(=O)c3cccs3)CC2)CC1 ZINC000528337002 421480253 /nfs/dbraw/zinc/48/02/53/421480253.db2.gz JHVXCXXRRQMEIW-CYBMUJFWSA-N 1 2 319.430 1.561 20 30 DDEDLO CC1(C)C[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)CCO1 ZINC000528335090 421481136 /nfs/dbraw/zinc/48/11/36/421481136.db2.gz CHEHSTLTFVOKCB-UHFFFAOYSA-N 1 2 312.369 1.397 20 30 DDEDLO CC1(C)C[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)CCO1 ZINC000528335090 421481138 /nfs/dbraw/zinc/48/11/38/421481138.db2.gz CHEHSTLTFVOKCB-UHFFFAOYSA-N 1 2 312.369 1.397 20 30 DDEDLO Cn1cnnc1C[NH+]1CCC(C(=O)Nc2cccc(C#N)c2)CC1 ZINC000551057274 421527597 /nfs/dbraw/zinc/52/75/97/421527597.db2.gz WSOWFSSOBNZNPM-UHFFFAOYSA-N 1 2 324.388 1.537 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)C[C@H](O)COc2ccc(C#N)cc2)n1 ZINC000563821187 421546880 /nfs/dbraw/zinc/54/68/80/421546880.db2.gz OMWKKGPFLNAICO-RISCZKNCSA-N 1 2 316.361 1.682 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)C[C@H](O)COc2ccc(C#N)cc2)n1 ZINC000563821187 421546883 /nfs/dbraw/zinc/54/68/83/421546883.db2.gz OMWKKGPFLNAICO-RISCZKNCSA-N 1 2 316.361 1.682 20 30 DDEDLO CCc1[nH]c(C(=O)N[C@](C)(C#N)C[NH+](C)C)c(C)c1C(=O)OC ZINC000564089895 421567952 /nfs/dbraw/zinc/56/79/52/421567952.db2.gz JUXORHBPOSRJQV-MRXNPFEDSA-N 1 2 320.393 1.246 20 30 DDEDLO COc1ccc2c(c1)OC[C@H]2CC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000566308468 421604480 /nfs/dbraw/zinc/60/44/80/421604480.db2.gz HHODLNYAQSTQOU-SJKOYZFVSA-N 1 2 317.389 1.521 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000566441944 421605061 /nfs/dbraw/zinc/60/50/61/421605061.db2.gz AKUKNKXPGVEYHT-SKDRFNHKSA-N 1 2 318.299 1.501 20 30 DDEDLO Cc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)nc(C(F)(F)F)n1 ZINC000568096211 421617520 /nfs/dbraw/zinc/61/75/20/421617520.db2.gz TVZPXFGVIPDASM-GFCCVEGCSA-N 1 2 315.299 1.378 20 30 DDEDLO CC(C)(Oc1ccc(C#N)cc1)C(=O)N1CC(n2cc[nH+]c2)C1 ZINC000532220499 421651971 /nfs/dbraw/zinc/65/19/71/421651971.db2.gz POMRQXWCZJOVMI-UHFFFAOYSA-N 1 2 310.357 1.996 20 30 DDEDLO COc1ccc2nc(C(=O)N[C@](C)(C#N)C[NH+](C)C)ccc2c1 ZINC000565837682 421600233 /nfs/dbraw/zinc/60/02/33/421600233.db2.gz RWXMXUYSMRJWBW-QGZVFWFLSA-N 1 2 312.373 1.817 20 30 DDEDLO CC(C)OC1CC[NH+]([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000533338715 421673386 /nfs/dbraw/zinc/67/33/86/421673386.db2.gz AZDLTUKMZZYQCN-INIZCTEOSA-N 1 2 301.390 1.974 20 30 DDEDLO Cc1cc(NC(=O)C2CC[NH+](CC(F)F)CC2)ncc1C#N ZINC000572659732 421808956 /nfs/dbraw/zinc/80/89/56/421808956.db2.gz OICWGKPJITYCQB-UHFFFAOYSA-N 1 2 308.332 2.177 20 30 DDEDLO C[C@H]1CN(c2ccc(Nc3ncncc3C#N)c[nH+]2)C[C@H](C)O1 ZINC000581069386 421893624 /nfs/dbraw/zinc/89/36/24/421893624.db2.gz RNOOOKWMBCFYST-RYUDHWBXSA-N 1 2 310.361 1.522 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)[C@@H]2CCC(=O)N(C)C2)c(C#N)c1C ZINC000581079713 421895902 /nfs/dbraw/zinc/89/59/02/421895902.db2.gz GSRQYFIMPQKTLD-GFCCVEGCSA-N 1 2 318.377 1.259 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)[C@@H]2CCC(=O)N(C)C2)c(C#N)c1C ZINC000581079713 421895906 /nfs/dbraw/zinc/89/59/06/421895906.db2.gz GSRQYFIMPQKTLD-GFCCVEGCSA-N 1 2 318.377 1.259 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NCc1ccc(OC)c(OC)c1 ZINC000573016450 421900962 /nfs/dbraw/zinc/90/09/62/421900962.db2.gz RSTQLITZYAMJOZ-CYBMUJFWSA-N 1 2 305.378 1.602 20 30 DDEDLO Cc1cc(N2CCC[C@H](CN(C)S(C)(=O)=O)C2)c(C#N)c[nH+]1 ZINC000581128208 421906636 /nfs/dbraw/zinc/90/66/36/421906636.db2.gz QDKFXNUZIFWNBQ-CYBMUJFWSA-N 1 2 322.434 1.370 20 30 DDEDLO Cc1nc2ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc2nc1C ZINC000584116950 422265952 /nfs/dbraw/zinc/26/59/52/422265952.db2.gz PRGLAHFCRFDPKO-QGZVFWFLSA-N 1 2 311.389 1.820 20 30 DDEDLO C[C@@H](CNc1nccc(C#N)c1[N+](=O)[O-])[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594590755 422351538 /nfs/dbraw/zinc/35/15/38/422351538.db2.gz ACWPHGWXBZSZJG-SDDRHHMPSA-N 1 2 319.365 1.771 20 30 DDEDLO C[C@@H](CNc1nccc(C#N)c1[N+](=O)[O-])[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594590755 422351543 /nfs/dbraw/zinc/35/15/43/422351543.db2.gz ACWPHGWXBZSZJG-SDDRHHMPSA-N 1 2 319.365 1.771 20 30 DDEDLO N#Cc1cccc(C(=O)NCCNc2ccc3ccccc3[nH+]2)n1 ZINC000589425154 422317668 /nfs/dbraw/zinc/31/76/68/422317668.db2.gz RYTUGIZBLUUNEY-UHFFFAOYSA-N 1 2 317.352 2.343 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc(C(F)(F)F)cs2)nn1 ZINC000641129328 423408921 /nfs/dbraw/zinc/40/89/21/423408921.db2.gz KMDOAOIACDJFOB-UHFFFAOYSA-N 1 2 315.324 1.915 20 30 DDEDLO N#Cc1ccc(C(=O)NCC(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)cc1 ZINC000364904261 269959193 /nfs/dbraw/zinc/95/91/93/269959193.db2.gz IDNZRMPLYSKCSO-CQSZACIVSA-N 1 2 323.356 1.375 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H]2C(=O)N(CC)CC)nn1 ZINC000653509395 423504654 /nfs/dbraw/zinc/50/46/54/423504654.db2.gz FAAXNCDUXBTRCC-OAHLLOKOSA-N 1 2 305.426 1.687 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H]2C(=O)N(CC)CC)nn1 ZINC000653509395 423504658 /nfs/dbraw/zinc/50/46/58/423504658.db2.gz FAAXNCDUXBTRCC-OAHLLOKOSA-N 1 2 305.426 1.687 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H]2CCN(C3CCCCC3)C2=O)nn1 ZINC000657399557 424327656 /nfs/dbraw/zinc/32/76/56/424327656.db2.gz LBVRHHTUHQREBF-INIZCTEOSA-N 1 2 317.437 1.877 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+](C/C=C/c2ccccc2)CC1 ZINC000665150622 424750584 /nfs/dbraw/zinc/75/05/84/424750584.db2.gz HPFQFZNDYSSCLU-RUJXFNLJSA-N 1 2 300.402 1.781 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000660880718 424801257 /nfs/dbraw/zinc/80/12/57/424801257.db2.gz BQGBSLDGZACCLW-DOTOQJQBSA-N 1 2 316.449 1.676 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000660880718 424801258 /nfs/dbraw/zinc/80/12/58/424801258.db2.gz BQGBSLDGZACCLW-DOTOQJQBSA-N 1 2 316.449 1.676 20 30 DDEDLO CC[N@H+](CC(=O)NCc1cccc(C#N)c1)Cc1cnn(C)c1 ZINC000338258102 266107000 /nfs/dbraw/zinc/10/70/00/266107000.db2.gz VXYVGTRZZLPQMG-UHFFFAOYSA-N 1 2 311.389 1.430 20 30 DDEDLO CC[N@@H+](CC(=O)NCc1cccc(C#N)c1)Cc1cnn(C)c1 ZINC000338258102 266107004 /nfs/dbraw/zinc/10/70/04/266107004.db2.gz VXYVGTRZZLPQMG-UHFFFAOYSA-N 1 2 311.389 1.430 20 30 DDEDLO CCS(=O)(=O)N1CC[N@H+](Cc2ccc(C#N)c(C)c2)[C@@H](C)C1 ZINC000347295914 266338774 /nfs/dbraw/zinc/33/87/74/266338774.db2.gz TXFPUAGTPFHISQ-AWEZNQCLSA-N 1 2 321.446 1.723 20 30 DDEDLO CCS(=O)(=O)N1CC[N@@H+](Cc2ccc(C#N)c(C)c2)[C@@H](C)C1 ZINC000347295914 266338777 /nfs/dbraw/zinc/33/87/77/266338777.db2.gz TXFPUAGTPFHISQ-AWEZNQCLSA-N 1 2 321.446 1.723 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)c2cnn(C)c2C#N)CC1 ZINC000356161528 267002841 /nfs/dbraw/zinc/00/28/41/267002841.db2.gz ULLOKJVJEFPKTN-ZDUSSCGKSA-N 1 2 324.388 1.206 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@H+](Cc1cnc3ccc(C#N)cn13)CCO2 ZINC000373465098 267102184 /nfs/dbraw/zinc/10/21/84/267102184.db2.gz JCFMHZAVADSCPL-GUYCJALGSA-N 1 2 312.373 1.586 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@@H+](Cc1cnc3ccc(C#N)cn13)CCO2 ZINC000373465098 267102185 /nfs/dbraw/zinc/10/21/85/267102185.db2.gz JCFMHZAVADSCPL-GUYCJALGSA-N 1 2 312.373 1.586 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(S(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000378264687 267826831 /nfs/dbraw/zinc/82/68/31/267826831.db2.gz CQKKALXKKQDOSK-CYBMUJFWSA-N 1 2 316.386 1.470 20 30 DDEDLO Cc1[nH+]c[nH]c1CNC(=O)CCc1c(C)[nH]c(=O)c(C#N)c1C ZINC000531096381 268082136 /nfs/dbraw/zinc/08/21/36/268082136.db2.gz RYGLIAJADMBPKK-UHFFFAOYSA-N 1 2 313.361 1.556 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCN3C(=O)CC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000520234820 268220570 /nfs/dbraw/zinc/22/05/70/268220570.db2.gz FVAAWXBHVQFTME-CYBMUJFWSA-N 1 2 300.318 1.273 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCN3C(=O)CC[C@@H]3C2)c([N+](=O)[O-])c1 ZINC000520234820 268220574 /nfs/dbraw/zinc/22/05/74/268220574.db2.gz FVAAWXBHVQFTME-CYBMUJFWSA-N 1 2 300.318 1.273 20 30 DDEDLO N#Cc1cccc(-c2cc(C(=O)NCCn3cc[nH+]c3)on2)c1 ZINC000344344975 268226493 /nfs/dbraw/zinc/22/64/93/268226493.db2.gz ZUODRIGBCWQPME-UHFFFAOYSA-N 1 2 307.313 1.840 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)/C=C/c3cccc(C#N)c3)C[C@H]21 ZINC000284130258 277128551 /nfs/dbraw/zinc/12/85/51/277128551.db2.gz PEHDCRCQQKWTGP-WBXGCANISA-N 1 2 311.385 1.503 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)/C=C/c3cccc(C#N)c3)C[C@H]21 ZINC000284130258 277128553 /nfs/dbraw/zinc/12/85/53/277128553.db2.gz PEHDCRCQQKWTGP-WBXGCANISA-N 1 2 311.385 1.503 20 30 DDEDLO CC(C)c1scnc1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000329586395 278117641 /nfs/dbraw/zinc/11/76/41/278117641.db2.gz WTGLVEMGHXGHKG-GHMZBOCLSA-N 1 2 310.423 1.827 20 30 DDEDLO CC(C)c1scnc1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000329586395 278117642 /nfs/dbraw/zinc/11/76/42/278117642.db2.gz WTGLVEMGHXGHKG-GHMZBOCLSA-N 1 2 310.423 1.827 20 30 DDEDLO CC(C)(C)NC(=O)C[N@@H+]1CCCN(C(=O)CC(C)(C)C#N)CC1 ZINC000377635498 278357721 /nfs/dbraw/zinc/35/77/21/278357721.db2.gz AZTKSKWLJCJHSL-UHFFFAOYSA-N 1 2 322.453 1.375 20 30 DDEDLO CC(C)(C)NC(=O)C[N@H+]1CCCN(C(=O)CC(C)(C)C#N)CC1 ZINC000377635498 278357724 /nfs/dbraw/zinc/35/77/24/278357724.db2.gz AZTKSKWLJCJHSL-UHFFFAOYSA-N 1 2 322.453 1.375 20 30 DDEDLO O=C1CO[C@@H]2CC[N@@H+](CC#Cc3ccc(Cl)cc3)C[C@@H]2N1 ZINC000366795648 280266209 /nfs/dbraw/zinc/26/62/09/280266209.db2.gz IBYQCHSDCDIXIO-LSDHHAIUSA-N 1 2 304.777 1.281 20 30 DDEDLO O=C1CO[C@@H]2CC[N@H+](CC#Cc3ccc(Cl)cc3)C[C@@H]2N1 ZINC000366795648 280266210 /nfs/dbraw/zinc/26/62/10/280266210.db2.gz IBYQCHSDCDIXIO-LSDHHAIUSA-N 1 2 304.777 1.281 20 30 DDEDLO CN(C)C(=O)N1CCN(Cc2c[nH+]c3ccc(C#N)cn23)CC1 ZINC000133576446 281504353 /nfs/dbraw/zinc/50/43/53/281504353.db2.gz DMRBBLFRIPTWLY-UHFFFAOYSA-N 1 2 312.377 1.005 20 30 DDEDLO Cn1ccc(N2CCC[C@@H]([NH+]3CCC(C)(C#N)CC3)C2=O)n1 ZINC000272597879 294345824 /nfs/dbraw/zinc/34/58/24/294345824.db2.gz QMFXDMTXAAFUCF-CYBMUJFWSA-N 1 2 301.394 1.541 20 30 DDEDLO C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000538854287 303391395 /nfs/dbraw/zinc/39/13/95/303391395.db2.gz ZWSUGFCPRQNGAK-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000538854287 303391398 /nfs/dbraw/zinc/39/13/98/303391398.db2.gz ZWSUGFCPRQNGAK-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)ccc1Cl ZINC000542051056 307697608 /nfs/dbraw/zinc/69/76/08/307697608.db2.gz JTOJYVPTINKBLH-UHFFFAOYSA-N 1 2 322.777 1.654 20 30 DDEDLO Cc1cnc([C@H](C)[NH+]2CCN(c3cnccc3C#N)CC2)cn1 ZINC000565575244 308033861 /nfs/dbraw/zinc/03/38/61/308033861.db2.gz KNJAYJCTMDQFLS-AWEZNQCLSA-N 1 2 308.389 1.935 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cccc(C)n3)CC2)c1C#N ZINC000567416687 308080102 /nfs/dbraw/zinc/08/01/02/308080102.db2.gz WDABGGQTMHENMP-UHFFFAOYSA-N 1 2 310.405 1.626 20 30 DDEDLO CC(C)(C)N1CC[C@@H]([NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)C1=O ZINC000571882120 308205405 /nfs/dbraw/zinc/20/54/05/308205405.db2.gz OVNCAGFSFHKOKU-KGLIPLIRSA-N 1 2 314.389 1.074 20 30 DDEDLO C[C@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@H](C)S1=O ZINC000577125072 308365120 /nfs/dbraw/zinc/36/51/20/308365120.db2.gz ZJHAFGALTSHHHY-RYUDHWBXSA-N 1 2 302.403 1.547 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000517755065 331680834 /nfs/dbraw/zinc/68/08/34/331680834.db2.gz MGLTXIWOAWGZDC-SNVBAGLBSA-N 1 2 324.331 1.301 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)CCC1 ZINC000558428041 331906649 /nfs/dbraw/zinc/90/66/49/331906649.db2.gz RPOVICADJZTTKC-GFCCVEGCSA-N 1 2 308.407 1.960 20 30 DDEDLO CC(C)Oc1ccccc1CC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000565051657 332499693 /nfs/dbraw/zinc/49/96/93/332499693.db2.gz ORRWWCPREWYTHW-KRWDZBQOSA-N 1 2 303.406 1.976 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](CC(=O)N[C@H](C#N)C1CC1)C(F)(F)F ZINC000575428335 332670189 /nfs/dbraw/zinc/67/01/89/332670189.db2.gz RDQROHWTKKNMMH-NXEZZACHSA-N 1 2 300.284 1.874 20 30 DDEDLO C=CCCCCCNC(=O)N(C)C[C@@H](O)C[NH+]1CCOCC1 ZINC000582190131 335750415 /nfs/dbraw/zinc/75/04/15/335750415.db2.gz LJWULFZCFQRDSO-OAHLLOKOSA-N 1 2 313.442 1.067 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH2+]C(C)(C)c1cc(C(=O)OC)on1 ZINC000352008608 336188276 /nfs/dbraw/zinc/18/82/76/336188276.db2.gz QLOXAKDKARZTTI-UHFFFAOYSA-N 1 2 323.393 1.711 20 30 DDEDLO C[C@@H](CN(C)C(=O)Cc1c[nH+]c[nH]1)Nc1cccc(F)c1C#N ZINC001120712275 782103101 /nfs/dbraw/zinc/10/31/01/782103101.db2.gz LDFMUKGTAYVQPN-NSHDSACASA-N 1 2 315.352 1.922 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2cc(C3(C)CC3)[nH]n2)CC1 ZINC000375396876 337010228 /nfs/dbraw/zinc/01/02/28/337010228.db2.gz YFCNQSXQAQWQPQ-CYBMUJFWSA-N 1 2 317.437 1.274 20 30 DDEDLO C#CCN(C(=O)N[C@H](C)[C@H](C)[NH+]1CCOCC1)C1CCCC1 ZINC000120580333 337877855 /nfs/dbraw/zinc/87/78/55/337877855.db2.gz JMSWFUGLDCDRGJ-CABCVRRESA-N 1 2 307.438 1.683 20 30 DDEDLO CC(C)C(=O)Nc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000564334160 341238802 /nfs/dbraw/zinc/23/88/02/341238802.db2.gz HLVXEZQWQCAWNC-KRWDZBQOSA-N 1 2 316.405 1.855 20 30 DDEDLO CC[C@H]1C[N@@H+]([C@H](C)C(=O)N(C)CCC#N)CCN1CC(F)F ZINC000245788412 341314932 /nfs/dbraw/zinc/31/49/32/341314932.db2.gz WRBFJTWRDHZBLR-OLZOCXBDSA-N 1 2 316.396 1.408 20 30 DDEDLO CC[C@H]1C[N@H+]([C@H](C)C(=O)N(C)CCC#N)CCN1CC(F)F ZINC000245788412 341314933 /nfs/dbraw/zinc/31/49/33/341314933.db2.gz WRBFJTWRDHZBLR-OLZOCXBDSA-N 1 2 316.396 1.408 20 30 DDEDLO COc1cccc(N2C[C@H]([NH2+]C[C@@H](C#N)CCC#N)CC2=O)c1 ZINC000552861621 341406631 /nfs/dbraw/zinc/40/66/31/341406631.db2.gz PHXZJFXUUKYXHX-ZIAGYGMSSA-N 1 2 312.373 1.834 20 30 DDEDLO C[C@H]1CCN(c2cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)ccn2)C1 ZINC000574576081 341762719 /nfs/dbraw/zinc/76/27/19/341762719.db2.gz GUEKBERLZSUGLC-GUYCJALGSA-N 1 2 315.421 1.501 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C1 ZINC000661185945 484963474 /nfs/dbraw/zinc/96/34/74/484963474.db2.gz FNOZQDKMKUGPPQ-GMTAPVOTSA-N 1 2 315.357 1.221 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C1 ZINC000661185945 484963479 /nfs/dbraw/zinc/96/34/79/484963479.db2.gz FNOZQDKMKUGPPQ-GMTAPVOTSA-N 1 2 315.357 1.221 20 30 DDEDLO C=CC[C@H]1CCCC[C@H]1NC(=O)C(=O)NCCn1cc[nH+]c1 ZINC000668375677 485138058 /nfs/dbraw/zinc/13/80/58/485138058.db2.gz NZLFZQYAILIMMA-UONOGXRCSA-N 1 2 304.394 1.250 20 30 DDEDLO C=C(C)C[N@@H+](C)CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000679219177 485812035 /nfs/dbraw/zinc/81/20/35/485812035.db2.gz PZIUNKGZIXFDAN-UHFFFAOYSA-N 1 2 304.350 1.992 20 30 DDEDLO C=C(C)C[N@H+](C)CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000679219177 485812042 /nfs/dbraw/zinc/81/20/42/485812042.db2.gz PZIUNKGZIXFDAN-UHFFFAOYSA-N 1 2 304.350 1.992 20 30 DDEDLO Cc1cc(N2CCN(C(=O)[C@@H](C)C#N)CC2)nc(C(C)C)[nH+]1 ZINC000347066682 533897611 /nfs/dbraw/zinc/89/76/11/533897611.db2.gz KLUSXAQZYABHJN-LBPRGKRZSA-N 1 2 301.394 1.717 20 30 DDEDLO C[C@@H]1CN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)C[C@H]1CO ZINC000331085564 534273238 /nfs/dbraw/zinc/27/32/38/534273238.db2.gz ONWMKGOJTRSLKX-ZJUUUORDSA-N 1 2 320.315 1.420 20 30 DDEDLO COC(=O)c1cccc(OC)c1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000414145618 534278798 /nfs/dbraw/zinc/27/87/98/534278798.db2.gz PLLBTCFUCCDPNM-LBPRGKRZSA-N 1 2 322.361 1.067 20 30 DDEDLO COC(=O)c1cccc(OC)c1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414145618 534278800 /nfs/dbraw/zinc/27/88/00/534278800.db2.gz PLLBTCFUCCDPNM-LBPRGKRZSA-N 1 2 322.361 1.067 20 30 DDEDLO C=CCN(CCOC)C(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000362029475 526283835 /nfs/dbraw/zinc/28/38/35/526283835.db2.gz SEQVVAKENDEQOH-UHFFFAOYSA-N 1 2 316.361 1.242 20 30 DDEDLO CC#CCN(C)C(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000341091147 526356425 /nfs/dbraw/zinc/35/64/25/526356425.db2.gz RWRWUOLUDGNMST-UHFFFAOYSA-N 1 2 322.430 1.117 20 30 DDEDLO CC(=O)N1CC(NC(=O)Cn2c(C)[nH+]c3cc(F)c(F)cc32)C1 ZINC000330007172 526510841 /nfs/dbraw/zinc/51/08/41/526510841.db2.gz FRFQPIZQPJHLOZ-UHFFFAOYSA-N 1 2 322.315 1.810 20 30 DDEDLO C=CCNC(=O)CNc1c(C(=O)OCC)c[nH+]c2ccccc21 ZINC000346264677 526541673 /nfs/dbraw/zinc/54/16/73/526541673.db2.gz QKOPTZUXCIJROI-UHFFFAOYSA-N 1 2 313.357 1.548 20 30 DDEDLO C=CCNC(=O)Cc1noc([C@H](c2ccccc2)[N@@H+](C)CC)n1 ZINC000346986707 526581584 /nfs/dbraw/zinc/58/15/84/526581584.db2.gz KWAWKBVQPWKEIE-INIZCTEOSA-N 1 2 314.389 1.955 20 30 DDEDLO C=CCNC(=O)Cc1noc([C@H](c2ccccc2)[N@H+](C)CC)n1 ZINC000346986707 526581588 /nfs/dbraw/zinc/58/15/88/526581588.db2.gz KWAWKBVQPWKEIE-INIZCTEOSA-N 1 2 314.389 1.955 20 30 DDEDLO C=CCOC[C@@H]1NC(=O)N(Cc2cn3c(cccc3C)[nH+]2)C1=O ZINC000348447867 526799140 /nfs/dbraw/zinc/79/91/40/526799140.db2.gz QRYJEEXNKSSKBJ-ZDUSSCGKSA-N 1 2 314.345 1.266 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)c1cccc2c1CC(=O)CC2 ZINC000491816252 526942679 /nfs/dbraw/zinc/94/26/79/526942679.db2.gz MVEBMUIBGZKHJI-OAHLLOKOSA-N 1 2 311.381 1.999 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1cccc2c1CC(=O)CC2 ZINC000491816252 526942684 /nfs/dbraw/zinc/94/26/84/526942684.db2.gz MVEBMUIBGZKHJI-OAHLLOKOSA-N 1 2 311.381 1.999 20 30 DDEDLO CC(C)(CC#N)CNC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000459227287 526954027 /nfs/dbraw/zinc/95/40/27/526954027.db2.gz FZHZYUMZZUIWOX-UHFFFAOYSA-N 1 2 301.394 1.853 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1CCO[C@@H](CC)C1 ZINC000347648059 526961780 /nfs/dbraw/zinc/96/17/80/526961780.db2.gz GCBSSRVPAAZSGO-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1CCO[C@@H](CC)C1 ZINC000347648059 526961785 /nfs/dbraw/zinc/96/17/85/526961785.db2.gz GCBSSRVPAAZSGO-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nncn2-c2ccccc2)CC1 ZINC000491744447 526972218 /nfs/dbraw/zinc/97/22/18/526972218.db2.gz ZHYOVFUDQFXQDI-UHFFFAOYSA-N 1 2 323.400 1.229 20 30 DDEDLO C#CCNC(=O)CC[NH2+][C@@H](C)c1noc(Cc2ccccc2)n1 ZINC000491202982 526986101 /nfs/dbraw/zinc/98/61/01/526986101.db2.gz RNMRMYLTRWJSEP-ZDUSSCGKSA-N 1 2 312.373 1.451 20 30 DDEDLO C#CCNC(=O)CC[NH2+][C@H](C)c1nc(C2CCCCC2)no1 ZINC000491753984 526987486 /nfs/dbraw/zinc/98/74/86/526987486.db2.gz QVFJNZFITNLOIH-GFCCVEGCSA-N 1 2 304.394 1.907 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2cc(C(=O)OC)n(C)c2)n1 ZINC000491694178 527182907 /nfs/dbraw/zinc/18/29/07/527182907.db2.gz VNJRKGYSKBIXJF-UHFFFAOYSA-N 1 2 314.389 1.664 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2cc(C(=O)OC)n(C)c2)n1 ZINC000491694178 527182913 /nfs/dbraw/zinc/18/29/13/527182913.db2.gz VNJRKGYSKBIXJF-UHFFFAOYSA-N 1 2 314.389 1.664 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000491625459 527204482 /nfs/dbraw/zinc/20/44/82/527204482.db2.gz HWZSPSJMVQTOGP-WBVHZDCISA-N 1 2 315.417 1.723 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1ccnn1Cc1cccs1 ZINC000491711803 527212487 /nfs/dbraw/zinc/21/24/87/527212487.db2.gz WMPZRWYCOQLLMB-GFCCVEGCSA-N 1 2 302.403 1.885 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1ccnn1Cc1cccs1 ZINC000491711803 527212488 /nfs/dbraw/zinc/21/24/88/527212488.db2.gz WMPZRWYCOQLLMB-GFCCVEGCSA-N 1 2 302.403 1.885 20 30 DDEDLO C=CCn1c(C2CCC2)nnc1N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000337818598 527309109 /nfs/dbraw/zinc/30/91/09/527309109.db2.gz QEJFKFBKPITWJL-OAHLLOKOSA-N 1 2 317.437 1.643 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1Cc1cc(C)c(OCC(=O)OC)c(C)c1 ZINC000491296368 527310931 /nfs/dbraw/zinc/31/09/31/527310931.db2.gz LLIBXWLBOVHCPR-MRXNPFEDSA-N 1 2 317.385 1.689 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1Cc1cc(C)c(OCC(=O)OC)c(C)c1 ZINC000491296368 527310935 /nfs/dbraw/zinc/31/09/35/527310935.db2.gz LLIBXWLBOVHCPR-MRXNPFEDSA-N 1 2 317.385 1.689 20 30 DDEDLO C#C[C@H](CC)NC(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000491439178 527351839 /nfs/dbraw/zinc/35/18/39/527351839.db2.gz IAMFAGCBLXENBQ-GDBMZVCRSA-N 1 2 300.406 1.882 20 30 DDEDLO CC(C)NC(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000330738362 527386761 /nfs/dbraw/zinc/38/67/61/527386761.db2.gz OZUVNCLGZWQRCP-UHFFFAOYSA-N 1 2 305.382 1.204 20 30 DDEDLO C#Cc1cccc(NC(=O)c2cnn(CC[NH+]3CCOCC3)c2)c1 ZINC000377645620 527459002 /nfs/dbraw/zinc/45/90/02/527459002.db2.gz JGYQCLPAOQZLBF-UHFFFAOYSA-N 1 2 324.384 1.449 20 30 DDEDLO CC(C)[C@H]1CN(CC#CC[N@@H+]2CCO[C@@H](C(C)C)C2)CCO1 ZINC000341906056 527851448 /nfs/dbraw/zinc/85/14/48/527851448.db2.gz UHIKRQMXKVBFLQ-QZTJIDSGSA-N 1 2 308.466 1.703 20 30 DDEDLO CC(C)[C@H]1CN(CC#CC[N@H+]2CCO[C@@H](C(C)C)C2)CCO1 ZINC000341906056 527851455 /nfs/dbraw/zinc/85/14/55/527851455.db2.gz UHIKRQMXKVBFLQ-QZTJIDSGSA-N 1 2 308.466 1.703 20 30 DDEDLO CCN1C[C@H](C(=O)N[C@@H]2CCO[C@@H](c3c[nH+]cn3C)C2)CC1=O ZINC000330334608 527952993 /nfs/dbraw/zinc/95/29/93/527952993.db2.gz QTFKKJFNJGYZOZ-YRGRVCCFSA-N 1 2 320.393 1.465 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@H+](C)CC(C)(C)C#N)CC1 ZINC000433322845 528638803 /nfs/dbraw/zinc/63/88/03/528638803.db2.gz ZEHRLNICSCGTCZ-ZDUSSCGKSA-N 1 2 323.437 1.658 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@@H+](C)CC(C)(C)C#N)CC1 ZINC000433322845 528638811 /nfs/dbraw/zinc/63/88/11/528638811.db2.gz ZEHRLNICSCGTCZ-ZDUSSCGKSA-N 1 2 323.437 1.658 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNS(=O)(=O)CC1(CC#N)CC1 ZINC000451382051 528732494 /nfs/dbraw/zinc/73/24/94/528732494.db2.gz ZRRUARMSMKETIS-UHFFFAOYSA-N 1 2 310.423 1.652 20 30 DDEDLO CC[N@H+](C)[C@H](C(=O)OCC(=O)NCCC#N)c1ccccc1 ZINC000745098178 699971708 /nfs/dbraw/zinc/97/17/08/699971708.db2.gz AVMQZKFSYDVNOA-HNNXBMFYSA-N 1 2 303.362 1.252 20 30 DDEDLO CC[N@@H+](C)[C@H](C(=O)OCC(=O)NCCC#N)c1ccccc1 ZINC000745098178 699971710 /nfs/dbraw/zinc/97/17/10/699971710.db2.gz AVMQZKFSYDVNOA-HNNXBMFYSA-N 1 2 303.362 1.252 20 30 DDEDLO CC(=O)N1CC[C@@]2(C1)C[N@H+](Cc1cc(C#N)ccc1F)CCO2 ZINC000972196958 695173166 /nfs/dbraw/zinc/17/31/66/695173166.db2.gz LXPPXKZKVDUFKS-KRWDZBQOSA-N 1 2 317.364 1.521 20 30 DDEDLO CC(=O)N1CC[C@@]2(C1)C[N@@H+](Cc1cc(C#N)ccc1F)CCO2 ZINC000972196958 695173168 /nfs/dbraw/zinc/17/31/68/695173168.db2.gz LXPPXKZKVDUFKS-KRWDZBQOSA-N 1 2 317.364 1.521 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2C)C1 ZINC000972245459 695188346 /nfs/dbraw/zinc/18/83/46/695188346.db2.gz LAGFSQGHKDTGAQ-XJKSGUPXSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC000972245459 695188347 /nfs/dbraw/zinc/18/83/47/695188347.db2.gz LAGFSQGHKDTGAQ-XJKSGUPXSA-N 1 2 305.426 1.450 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C(C)(C)C)C2)C1 ZINC000972435355 695250357 /nfs/dbraw/zinc/25/03/57/695250357.db2.gz NVDNGNIGUWCWHK-YJBOKZPZSA-N 1 2 306.450 1.995 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C(C)(C)C)C2)C1 ZINC000972435355 695250360 /nfs/dbraw/zinc/25/03/60/695250360.db2.gz NVDNGNIGUWCWHK-YJBOKZPZSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(C)cc3)C2)C1 ZINC000972455482 695255230 /nfs/dbraw/zinc/25/52/30/695255230.db2.gz DHGLPHXIJLUHRE-IBGZPJMESA-N 1 2 312.413 1.474 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(C)cc3)C2)C1 ZINC000972455482 695255232 /nfs/dbraw/zinc/25/52/32/695255232.db2.gz DHGLPHXIJLUHRE-IBGZPJMESA-N 1 2 312.413 1.474 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC[C@@H]4C[C@@H]4CC3)C2)C1 ZINC000972479742 695260513 /nfs/dbraw/zinc/26/05/13/695260513.db2.gz ZGDIZEITVXVRAI-SFNKJDCFSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC[C@@H]4C[C@@H]4CC3)C2)C1 ZINC000972479742 695260515 /nfs/dbraw/zinc/26/05/15/695260515.db2.gz ZGDIZEITVXVRAI-SFNKJDCFSA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccn(C)c3C)C2)C1 ZINC000972537585 695276038 /nfs/dbraw/zinc/27/60/38/695276038.db2.gz JDHWTGFQLWJGEP-GOSISDBHSA-N 1 2 315.417 1.274 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccn(C)c3C)C2)C1 ZINC000972537585 695276040 /nfs/dbraw/zinc/27/60/40/695276040.db2.gz JDHWTGFQLWJGEP-GOSISDBHSA-N 1 2 315.417 1.274 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccnc(C)c3)C2)C1 ZINC000972605005 695296151 /nfs/dbraw/zinc/29/61/51/695296151.db2.gz KMFGWXWKOFJCRR-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccnc(C)c3)C2)C1 ZINC000972605005 695296152 /nfs/dbraw/zinc/29/61/52/695296152.db2.gz KMFGWXWKOFJCRR-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c[nH]nc3C3CC3)C2)C1 ZINC000972623543 695301740 /nfs/dbraw/zinc/30/17/40/695301740.db2.gz AFSBYEXEMPDMMA-KRWDZBQOSA-N 1 2 316.405 1.390 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c[nH]nc3C3CC3)C2)C1 ZINC000972623543 695301743 /nfs/dbraw/zinc/30/17/43/695301743.db2.gz AFSBYEXEMPDMMA-KRWDZBQOSA-N 1 2 316.405 1.390 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3cccnc3)C2)C1 ZINC000972638591 695305738 /nfs/dbraw/zinc/30/57/38/695305738.db2.gz MEROFRCJSZIJAI-QAPCUYQASA-N 1 2 315.417 1.674 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3cccnc3)C2)C1 ZINC000972638591 695305739 /nfs/dbraw/zinc/30/57/39/695305739.db2.gz MEROFRCJSZIJAI-QAPCUYQASA-N 1 2 315.417 1.674 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3CCCOC3)C2)C1 ZINC000972677602 695315960 /nfs/dbraw/zinc/31/59/60/695315960.db2.gz RULIYWBAMYSJEM-FUHWJXTLSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3CCCOC3)C2)C1 ZINC000972677602 695315963 /nfs/dbraw/zinc/31/59/63/695315963.db2.gz RULIYWBAMYSJEM-FUHWJXTLSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C)C(C)(C)C3(C)C)C2)C1 ZINC000972699830 695318892 /nfs/dbraw/zinc/31/88/92/695318892.db2.gz PKXNNUSOWCUZQO-LJQANCHMSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C)C(C)(C)C3(C)C)C2)C1 ZINC000972699830 695318894 /nfs/dbraw/zinc/31/88/94/695318894.db2.gz PKXNNUSOWCUZQO-LJQANCHMSA-N 1 2 318.461 1.995 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC([N@H+](C)CC(F)F)CC2)nc1 ZINC000746322402 700018626 /nfs/dbraw/zinc/01/86/26/700018626.db2.gz KFTZYSJEHQKNAJ-UHFFFAOYSA-N 1 2 307.344 1.864 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC([N@@H+](C)CC(F)F)CC2)nc1 ZINC000746322402 700018628 /nfs/dbraw/zinc/01/86/28/700018628.db2.gz KFTZYSJEHQKNAJ-UHFFFAOYSA-N 1 2 307.344 1.864 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnn(C)c2N)C(C)(C)C1 ZINC000974475643 695677553 /nfs/dbraw/zinc/67/75/53/695677553.db2.gz FEMDXTDFHLJGDO-NSHDSACASA-N 1 2 311.817 1.195 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnn(C)c2N)C(C)(C)C1 ZINC000974475643 695677555 /nfs/dbraw/zinc/67/75/55/695677555.db2.gz FEMDXTDFHLJGDO-NSHDSACASA-N 1 2 311.817 1.195 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cnc([C@H](C)OC)s2)C(C)(C)C1 ZINC000974600635 695694228 /nfs/dbraw/zinc/69/42/28/695694228.db2.gz HMQAFGMNQGAUGU-WCQYABFASA-N 1 2 321.446 1.924 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cnc([C@H](C)OC)s2)C(C)(C)C1 ZINC000974600635 695694230 /nfs/dbraw/zinc/69/42/30/695694230.db2.gz HMQAFGMNQGAUGU-WCQYABFASA-N 1 2 321.446 1.924 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2snnc2C2CC2)C(C)(C)C1 ZINC000974709192 695716359 /nfs/dbraw/zinc/71/63/59/695716359.db2.gz HHFFKGURGSHHJL-LBPRGKRZSA-N 1 2 318.446 1.879 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2snnc2C2CC2)C(C)(C)C1 ZINC000974709192 695716361 /nfs/dbraw/zinc/71/63/61/695716361.db2.gz HHFFKGURGSHHJL-LBPRGKRZSA-N 1 2 318.446 1.879 20 30 DDEDLO COc1ccc(C[NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)cc1C#N ZINC000746575410 700031494 /nfs/dbraw/zinc/03/14/94/700031494.db2.gz CLOVILOWEUOQRF-QGZVFWFLSA-N 1 2 320.352 1.755 20 30 DDEDLO CC1(C)CN(CC#N)C[C@@H]1NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000975028742 695780199 /nfs/dbraw/zinc/78/01/99/695780199.db2.gz MQYZHJJEFOLPCY-YPMHNXCESA-N 1 2 301.394 1.180 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCC(C(=O)c2ccc(OC)cc2)CC1 ZINC000014326803 696054770 /nfs/dbraw/zinc/05/47/70/696054770.db2.gz UTOFPYWSQUJTKU-UHFFFAOYSA-N 1 2 314.385 1.339 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cncc(OC)n2)C(C)(C)C1 ZINC000977214091 696075612 /nfs/dbraw/zinc/07/56/12/696075612.db2.gz YKTRNMZCUBOOAI-GFCCVEGCSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cncc(OC)n2)C(C)(C)C1 ZINC000977214091 696075617 /nfs/dbraw/zinc/07/56/17/696075617.db2.gz YKTRNMZCUBOOAI-GFCCVEGCSA-N 1 2 324.812 1.678 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2scnc2COC)C(C)(C)C1 ZINC000977282140 696104361 /nfs/dbraw/zinc/10/43/61/696104361.db2.gz BKDNWDSNAXGKSX-CYBMUJFWSA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2scnc2COC)C(C)(C)C1 ZINC000977282140 696104363 /nfs/dbraw/zinc/10/43/63/696104363.db2.gz BKDNWDSNAXGKSX-CYBMUJFWSA-N 1 2 321.446 1.753 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CCC[C@@H]([C@H]3CCOC3)C2)c1=S ZINC000747826957 700088191 /nfs/dbraw/zinc/08/81/91/700088191.db2.gz FGQDWDBNYIPBHK-OLZOCXBDSA-N 1 2 309.439 1.701 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CCC[C@@H]([C@H]3CCOC3)C2)c1=S ZINC000747826957 700088193 /nfs/dbraw/zinc/08/81/93/700088193.db2.gz FGQDWDBNYIPBHK-OLZOCXBDSA-N 1 2 309.439 1.701 20 30 DDEDLO CC(C)C[C@@H](C)N(C)C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000092915094 696597850 /nfs/dbraw/zinc/59/78/50/696597850.db2.gz GIKOJRGSYRDGSW-CYBMUJFWSA-N 1 2 306.410 1.806 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)CC1 ZINC000981644760 696856088 /nfs/dbraw/zinc/85/60/88/696856088.db2.gz VMBSSIXLVXIYHF-SEBNEYGDSA-N 1 2 310.825 1.697 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)CC1 ZINC000981644760 696856092 /nfs/dbraw/zinc/85/60/92/696856092.db2.gz VMBSSIXLVXIYHF-SEBNEYGDSA-N 1 2 310.825 1.697 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980785073 696888292 /nfs/dbraw/zinc/88/82/92/696888292.db2.gz DTPJEWPMRGNNKU-MRXNPFEDSA-N 1 2 300.402 1.607 20 30 DDEDLO CO[C@H](C)C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980785073 696888295 /nfs/dbraw/zinc/88/82/95/696888295.db2.gz DTPJEWPMRGNNKU-MRXNPFEDSA-N 1 2 300.402 1.607 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000984465887 697388228 /nfs/dbraw/zinc/38/82/28/697388228.db2.gz NHWDYUWNRVVDAY-AWEZNQCLSA-N 1 2 318.421 1.614 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1)CN1CC2(CC1=O)CCOCC2 ZINC000176712926 697405222 /nfs/dbraw/zinc/40/52/22/697405222.db2.gz MRGAIKWZTFKUHA-UHFFFAOYSA-N 1 2 313.401 1.977 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1)CN1CC2(CC1=O)CCOCC2 ZINC000176712926 697405225 /nfs/dbraw/zinc/40/52/25/697405225.db2.gz MRGAIKWZTFKUHA-UHFFFAOYSA-N 1 2 313.401 1.977 20 30 DDEDLO CCCOc1ccc2c(c1)CC[N@@H+](CC(=O)NCCC#N)C2 ZINC000749464382 700165747 /nfs/dbraw/zinc/16/57/47/700165747.db2.gz UWAXPDCCAHLSEF-UHFFFAOYSA-N 1 2 301.390 1.863 20 30 DDEDLO CCCOc1ccc2c(c1)CC[N@H+](CC(=O)NCCC#N)C2 ZINC000749464382 700165748 /nfs/dbraw/zinc/16/57/48/700165748.db2.gz UWAXPDCCAHLSEF-UHFFFAOYSA-N 1 2 301.390 1.863 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1[nH+]cc(C)c(OC)c1C)[C@H]1CCCO1 ZINC000773261479 697735675 /nfs/dbraw/zinc/73/56/75/697735675.db2.gz YPDNIJKNUVIKMB-UKRRQHHQSA-N 1 2 317.389 1.687 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C)n1 ZINC000986274809 697763072 /nfs/dbraw/zinc/76/30/72/697763072.db2.gz FIPRFSFXUBWHFZ-OCCSQVGLSA-N 1 2 316.405 1.515 20 30 DDEDLO N#Cc1ccccc1/C=C/C(=O)NC[C@H]1CN2CC[N@@H+]1CCC2 ZINC000773531706 697774029 /nfs/dbraw/zinc/77/40/29/697774029.db2.gz NXUVZTAJIYGJDK-LXXRFIIISA-N 1 2 310.401 1.078 20 30 DDEDLO N#Cc1ccccc1/C=C/C(=O)NC[C@H]1CN2CC[N@H+]1CCC2 ZINC000773531706 697774032 /nfs/dbraw/zinc/77/40/32/697774032.db2.gz NXUVZTAJIYGJDK-LXXRFIIISA-N 1 2 310.401 1.078 20 30 DDEDLO N#C[C@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CCN1C1CC1 ZINC000778732877 698363235 /nfs/dbraw/zinc/36/32/35/698363235.db2.gz MRVFJQLETGLAHZ-HNNXBMFYSA-N 1 2 322.372 1.080 20 30 DDEDLO CC[N@H+](CC(=O)NC)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000778941029 698384143 /nfs/dbraw/zinc/38/41/43/698384143.db2.gz RDRFMFNNGYSEMX-OAHLLOKOSA-N 1 2 314.389 1.122 20 30 DDEDLO CC[N@@H+](CC(=O)NC)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000778941029 698384147 /nfs/dbraw/zinc/38/41/47/698384147.db2.gz RDRFMFNNGYSEMX-OAHLLOKOSA-N 1 2 314.389 1.122 20 30 DDEDLO C[C@H]1C[C@H]([NH2+]Cc2cnsn2)CN1C(=O)c1ccc(C#N)[nH]1 ZINC000988642682 698425933 /nfs/dbraw/zinc/42/59/33/698425933.db2.gz AUCDHZNNYNMUAY-ONGXEEELSA-N 1 2 316.390 1.131 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)N[C@H](Cn2cc[nH+]c2)C(C)(C)C)n1 ZINC000750612331 700234819 /nfs/dbraw/zinc/23/48/19/700234819.db2.gz CZIBZLAEGJTWSM-GFCCVEGCSA-N 1 2 315.381 1.725 20 30 DDEDLO C[C@@H]1CCN(C(=O)[C@H](O)c2ccc(C#N)cc2)C[C@H]1n1cc[nH+]c1 ZINC000780970102 698578673 /nfs/dbraw/zinc/57/86/73/698578673.db2.gz UNRMMSUGCRNZCJ-KBRIMQKVSA-N 1 2 324.384 1.898 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000780995772 698581145 /nfs/dbraw/zinc/58/11/45/698581145.db2.gz QCWBKUIQJLLVNR-GJZGRUSLSA-N 1 2 310.357 1.341 20 30 DDEDLO C[C@@H]1C[C@H](NCC#N)CN1C(=O)C[N@@H+]1CCc2sccc2C1 ZINC000989826060 698783649 /nfs/dbraw/zinc/78/36/49/698783649.db2.gz LRHWQDNTOQQGSN-OCCSQVGLSA-N 1 2 318.446 1.209 20 30 DDEDLO C[C@@H]1C[C@H](NCC#N)CN1C(=O)C[N@H+]1CCc2sccc2C1 ZINC000989826060 698783651 /nfs/dbraw/zinc/78/36/51/698783651.db2.gz LRHWQDNTOQQGSN-OCCSQVGLSA-N 1 2 318.446 1.209 20 30 DDEDLO Cn1ccnc(NN=Cc2cc(-n3cc[nH+]c3)cs2)c1=O ZINC000783849577 698887417 /nfs/dbraw/zinc/88/74/17/698887417.db2.gz OWHMDPAAQXNPRT-UHFFFAOYSA-N 1 2 300.347 1.474 20 30 DDEDLO C[NH+](C)Cc1ccc(S(=O)(=O)Nc2ncc(C#N)s2)cc1 ZINC000585729744 699215602 /nfs/dbraw/zinc/21/56/02/699215602.db2.gz UBQHVTRJJXZKDC-UHFFFAOYSA-N 1 2 322.415 1.877 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@@H+]1CCO[C@H](C)C1)c1ccc(C#N)cc1 ZINC000726255282 699356523 /nfs/dbraw/zinc/35/65/23/699356523.db2.gz SVNWFBOQSIYYIC-ZIAGYGMSSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@@H](NC(=O)NCC[N@H+]1CCO[C@H](C)C1)c1ccc(C#N)cc1 ZINC000726255282 699356526 /nfs/dbraw/zinc/35/65/26/699356526.db2.gz SVNWFBOQSIYYIC-ZIAGYGMSSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)c1ccc(C#N)cc1 ZINC000726255279 699356883 /nfs/dbraw/zinc/35/68/83/699356883.db2.gz SVNWFBOQSIYYIC-KBPBESRZSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCO[C@@H](C)C1)c1ccc(C#N)cc1 ZINC000726255279 699356885 /nfs/dbraw/zinc/35/68/85/699356885.db2.gz SVNWFBOQSIYYIC-KBPBESRZSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)/C=C/c1ccccc1C#N ZINC000790131776 699444531 /nfs/dbraw/zinc/44/45/31/699444531.db2.gz KQIOGYMSESIKTN-KIUWMYQTSA-N 1 2 313.401 1.751 20 30 DDEDLO CN(C)S(=O)(=O)c1ccc(C(=O)/C=C/c2[nH]cc[nH+]2)cc1 ZINC000731156744 699523160 /nfs/dbraw/zinc/52/31/60/699523160.db2.gz KRXAPCKZUXRTTH-BQYQJAHWSA-N 1 2 305.359 1.556 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cnc4ccccn34)CC2)cn1 ZINC000791051811 699608928 /nfs/dbraw/zinc/60/89/28/699608928.db2.gz INAMZZXSFPEMTL-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO C#CCCCNC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000743690560 699923800 /nfs/dbraw/zinc/92/38/00/699923800.db2.gz OIAYPBLEZDNMOP-UHFFFAOYSA-N 1 2 310.401 1.799 20 30 DDEDLO C=CCN(CC=C)C(=O)COC(=O)C[N@@H+](C)[C@H]1CCSC1 ZINC000772050380 701341948 /nfs/dbraw/zinc/34/19/48/701341948.db2.gz QNKNNHVJTXHLIW-ZDUSSCGKSA-N 1 2 312.435 1.168 20 30 DDEDLO C=CCN(CC=C)C(=O)COC(=O)C[N@H+](C)[C@H]1CCSC1 ZINC000772050380 701341949 /nfs/dbraw/zinc/34/19/49/701341949.db2.gz QNKNNHVJTXHLIW-ZDUSSCGKSA-N 1 2 312.435 1.168 20 30 DDEDLO CN(C)c1nc(C=[NH+]NCCO)c(Cl)n1-c1ccccc1 ZINC000814942687 701774096 /nfs/dbraw/zinc/77/40/96/701774096.db2.gz QMSXJYSOGJMHPL-UHFFFAOYSA-N 1 2 307.785 1.508 20 30 DDEDLO C#CCCCCNC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000810525924 701783114 /nfs/dbraw/zinc/78/31/14/701783114.db2.gz ZZEMQCDMQOOCFD-OAHLLOKOSA-N 1 2 318.421 1.403 20 30 DDEDLO C#CCCCCNC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000810525924 701783115 /nfs/dbraw/zinc/78/31/15/701783115.db2.gz ZZEMQCDMQOOCFD-OAHLLOKOSA-N 1 2 318.421 1.403 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000815062347 701790917 /nfs/dbraw/zinc/79/09/17/701790917.db2.gz JVWJQUBVLAIHMN-KGLIPLIRSA-N 1 2 314.426 1.803 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000815062347 701790921 /nfs/dbraw/zinc/79/09/21/701790921.db2.gz JVWJQUBVLAIHMN-KGLIPLIRSA-N 1 2 314.426 1.803 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CC[C@@]3(C2)OCc2ccccc23)C1=O ZINC000840048650 701937523 /nfs/dbraw/zinc/93/75/23/701937523.db2.gz JGHLILHSNQEDIE-SFHVURJKSA-N 1 2 313.401 1.999 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CC[C@@]3(C2)OCc2ccccc23)C1=O ZINC000840048650 701937528 /nfs/dbraw/zinc/93/75/28/701937528.db2.gz JGHLILHSNQEDIE-SFHVURJKSA-N 1 2 313.401 1.999 20 30 DDEDLO CC1(C)C(=O)N(C[NH+]2CCC(C)(C#N)CC2)[C@H]1[C@@H]1CCCO1 ZINC000811759406 702046550 /nfs/dbraw/zinc/04/65/50/702046550.db2.gz AHPFTQICEYAROH-KBPBESRZSA-N 1 2 305.422 1.986 20 30 DDEDLO CC#CCNC(=O)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000868454366 702138864 /nfs/dbraw/zinc/13/88/64/702138864.db2.gz CQMWWMSGWPWJSP-QGZVFWFLSA-N 1 2 315.417 1.518 20 30 DDEDLO CC#CCNC(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000868454366 702138866 /nfs/dbraw/zinc/13/88/66/702138866.db2.gz CQMWWMSGWPWJSP-QGZVFWFLSA-N 1 2 315.417 1.518 20 30 DDEDLO Cc1cc(CNC(=O)N2CCN(C3CC3)[C@H](C#N)C2)cc(C)[nH+]1 ZINC000817170850 702298175 /nfs/dbraw/zinc/29/81/75/702298175.db2.gz LMVKAIJIDAAMJO-MRXNPFEDSA-N 1 2 313.405 1.580 20 30 DDEDLO N#Cc1c(=O)n(CC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc2c1CCCC2 ZINC000842649533 702750038 /nfs/dbraw/zinc/75/00/38/702750038.db2.gz DDXWONCGFBAJED-GASCZTMLSA-N 1 2 313.401 1.462 20 30 DDEDLO N#Cc1c(=O)n(CC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc2c1CCCC2 ZINC000842649533 702750039 /nfs/dbraw/zinc/75/00/39/702750039.db2.gz DDXWONCGFBAJED-GASCZTMLSA-N 1 2 313.401 1.462 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000879502682 706701431 /nfs/dbraw/zinc/70/14/31/706701431.db2.gz KQNQJLYFWGYCNN-HUUCEWRRSA-N 1 2 301.390 1.984 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000879502682 706701434 /nfs/dbraw/zinc/70/14/34/706701434.db2.gz KQNQJLYFWGYCNN-HUUCEWRRSA-N 1 2 301.390 1.984 20 30 DDEDLO CC1(C)C[N@H+](C[C@H](O)c2ccc(C#N)cc2)[C@@H]2COC[C@H]2O1 ZINC000844470682 703036413 /nfs/dbraw/zinc/03/64/13/703036413.db2.gz QCJMVAXSRZTOMA-OWCLPIDISA-N 1 2 302.374 1.470 20 30 DDEDLO CC1(C)C[N@@H+](C[C@H](O)c2ccc(C#N)cc2)[C@@H]2COC[C@H]2O1 ZINC000844470682 703036415 /nfs/dbraw/zinc/03/64/15/703036415.db2.gz QCJMVAXSRZTOMA-OWCLPIDISA-N 1 2 302.374 1.470 20 30 DDEDLO N#Cc1c[nH]c2cc(C(=O)N3CC[NH+]([C@@H]4CCOC4)CC3)ccc12 ZINC000866545463 706726306 /nfs/dbraw/zinc/72/63/06/706726306.db2.gz MDIGEJCAERLKOC-OAHLLOKOSA-N 1 2 324.384 1.586 20 30 DDEDLO CCN1C[C@H](C[NH+]2CCN(c3ccccc3C#N)CC2)OC1=O ZINC000846793962 703332178 /nfs/dbraw/zinc/33/21/78/703332178.db2.gz WQDMKSQHENUNEV-HNNXBMFYSA-N 1 2 314.389 1.521 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(OC)c2)C1 ZINC000847026447 703367889 /nfs/dbraw/zinc/36/78/89/703367889.db2.gz ZGUKUKFCVWHHHB-AWEZNQCLSA-N 1 2 307.415 1.814 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(OC)c2)C1 ZINC000847026447 703367891 /nfs/dbraw/zinc/36/78/91/703367891.db2.gz ZGUKUKFCVWHHHB-AWEZNQCLSA-N 1 2 307.415 1.814 20 30 DDEDLO O=C(NC1CC1)c1ccc(C=NNCCCn2cc[nH+]c2)cc1 ZINC000848417951 703548288 /nfs/dbraw/zinc/54/82/88/703548288.db2.gz HHPOFGSTYXCZIF-UHFFFAOYSA-N 1 2 311.389 1.789 20 30 DDEDLO COc1ccc(C=NNCCCn2cc[nH+]c2)c(OC)c1OC ZINC000848419497 703548347 /nfs/dbraw/zinc/54/83/47/703548347.db2.gz VQIZQPFCNFOTSA-UHFFFAOYSA-N 1 2 318.377 1.923 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CN2Cc3ccc(C(=O)OC)cc3C2=O)C1 ZINC000848494416 703557734 /nfs/dbraw/zinc/55/77/34/703557734.db2.gz PPNOBDFJKXXDCQ-ZDUSSCGKSA-N 1 2 312.369 1.732 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CN2Cc3ccc(C(=O)OC)cc3C2=O)C1 ZINC000848494416 703557735 /nfs/dbraw/zinc/55/77/35/703557735.db2.gz PPNOBDFJKXXDCQ-ZDUSSCGKSA-N 1 2 312.369 1.732 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(/C=C\C(=O)OC)o2)C1=O ZINC000848907538 703601572 /nfs/dbraw/zinc/60/15/72/703601572.db2.gz FPLLOHHBOZGTET-LZNQSLFOSA-N 1 2 318.373 1.685 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(/C=C\C(=O)OC)o2)C1=O ZINC000848907538 703601574 /nfs/dbraw/zinc/60/15/74/703601574.db2.gz FPLLOHHBOZGTET-LZNQSLFOSA-N 1 2 318.373 1.685 20 30 DDEDLO N#Cc1csc(C[NH2+]CCS(=O)(=O)CC(F)(F)F)n1 ZINC000850364791 703727587 /nfs/dbraw/zinc/72/75/87/703727587.db2.gz SVKICBQNONTGGV-UHFFFAOYSA-N 1 2 313.326 1.081 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)C[C@@H]2COC(C)(C)O2)c1 ZINC000851729531 703839443 /nfs/dbraw/zinc/83/94/43/703839443.db2.gz CJVBXPWLYZVHRV-OAHLLOKOSA-N 1 2 302.374 1.690 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)C[C@@H]2COC(C)(C)O2)c1 ZINC000851729531 703839446 /nfs/dbraw/zinc/83/94/46/703839446.db2.gz CJVBXPWLYZVHRV-OAHLLOKOSA-N 1 2 302.374 1.690 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000870133819 703923198 /nfs/dbraw/zinc/92/31/98/703923198.db2.gz FUVYKAZRRMKKAF-AWEZNQCLSA-N 1 2 302.374 1.075 20 30 DDEDLO COc1cccc([C@@H]2CN(C(=O)c3cc(C#N)c[nH]3)CC[NH2+]2)c1 ZINC000870150576 703932566 /nfs/dbraw/zinc/93/25/66/703932566.db2.gz NFFRUVUEIBCLPZ-INIZCTEOSA-N 1 2 310.357 1.682 20 30 DDEDLO Cc1noc(C[N@H+](C)C[C@H](O)c2cccc(C#N)c2)c1[N+](=O)[O-] ZINC000852211343 703969862 /nfs/dbraw/zinc/96/98/62/703969862.db2.gz RSTNKWGRHXOIBU-ZDUSSCGKSA-N 1 2 316.317 1.928 20 30 DDEDLO Cc1noc(C[N@@H+](C)C[C@H](O)c2cccc(C#N)c2)c1[N+](=O)[O-] ZINC000852211343 703969864 /nfs/dbraw/zinc/96/98/64/703969864.db2.gz RSTNKWGRHXOIBU-ZDUSSCGKSA-N 1 2 316.317 1.928 20 30 DDEDLO COc1cc(OC)c(NC[C@@H](O)C[N@H+](C)CCC#N)cc1F ZINC000819457155 704129055 /nfs/dbraw/zinc/12/90/55/704129055.db2.gz UHMPPJKKYUOYQN-LLVKDONJSA-N 1 2 311.357 1.461 20 30 DDEDLO COc1cc(OC)c(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1F ZINC000819457155 704129057 /nfs/dbraw/zinc/12/90/57/704129057.db2.gz UHMPPJKKYUOYQN-LLVKDONJSA-N 1 2 311.357 1.461 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cn2ncc(Cl)c2C2CC2)CC1 ZINC000853492590 704249498 /nfs/dbraw/zinc/24/94/98/704249498.db2.gz VTPFUGVSEVBVPM-UHFFFAOYSA-N 1 2 320.824 1.833 20 30 DDEDLO C#Cc1cnc(N2C[C@@H]3[C@H](C2)OCC[N@@H+]3Cc2ccccc2)nc1 ZINC000853769346 704313569 /nfs/dbraw/zinc/31/35/69/704313569.db2.gz RQGXSAPLVHMIPO-MSOLQXFVSA-N 1 2 320.396 1.548 20 30 DDEDLO C#Cc1cnc(N2C[C@@H]3[C@H](C2)OCC[N@H+]3Cc2ccccc2)nc1 ZINC000853769346 704313570 /nfs/dbraw/zinc/31/35/70/704313570.db2.gz RQGXSAPLVHMIPO-MSOLQXFVSA-N 1 2 320.396 1.548 20 30 DDEDLO C#C[C@H](CO)NC(=O)c1c2ccccc2nc2c1C[N@H+](CC)CC2 ZINC000854766841 704449440 /nfs/dbraw/zinc/44/94/40/704449440.db2.gz GZGZKYUJYQVTGI-CYBMUJFWSA-N 1 2 323.396 1.337 20 30 DDEDLO C#C[C@H](CO)NC(=O)c1c2ccccc2nc2c1C[N@@H+](CC)CC2 ZINC000854766841 704449442 /nfs/dbraw/zinc/44/94/42/704449442.db2.gz GZGZKYUJYQVTGI-CYBMUJFWSA-N 1 2 323.396 1.337 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)cc1 ZINC000855412296 704483958 /nfs/dbraw/zinc/48/39/58/704483958.db2.gz SNNYQWQXWORQQH-CABCVRRESA-N 1 2 316.401 1.538 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)cc1 ZINC000855412296 704483959 /nfs/dbraw/zinc/48/39/59/704483959.db2.gz SNNYQWQXWORQQH-CABCVRRESA-N 1 2 316.401 1.538 20 30 DDEDLO C#CC[C@H](COC)NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000858133584 704669320 /nfs/dbraw/zinc/66/93/20/704669320.db2.gz YKFZEQYIPLREEQ-CQSZACIVSA-N 1 2 304.394 1.099 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000858860110 704765259 /nfs/dbraw/zinc/76/52/59/704765259.db2.gz YYSVWCRSWZMPCA-UONOGXRCSA-N 1 2 304.394 1.136 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000858860110 704765260 /nfs/dbraw/zinc/76/52/60/704765260.db2.gz YYSVWCRSWZMPCA-UONOGXRCSA-N 1 2 304.394 1.136 20 30 DDEDLO O=C(OCC[N@H+]1C[C@H]2CC[C@@H](C1)O2)c1cccc(C#CCO)c1 ZINC000860076040 705090000 /nfs/dbraw/zinc/09/00/00/705090000.db2.gz NYCKVRYCTMYGNO-CALCHBBNSA-N 1 2 315.369 1.050 20 30 DDEDLO O=C(OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2)c1cccc(C#CCO)c1 ZINC000860076040 705090004 /nfs/dbraw/zinc/09/00/04/705090004.db2.gz NYCKVRYCTMYGNO-CALCHBBNSA-N 1 2 315.369 1.050 20 30 DDEDLO C[C@@H]1CN(C(=O)C[N@@H+]2CCc3c(CC#N)cccc3C2)CCO1 ZINC000860105400 705101687 /nfs/dbraw/zinc/10/16/87/705101687.db2.gz QYXFTNHFSIUVMD-CQSZACIVSA-N 1 2 313.401 1.358 20 30 DDEDLO C[C@@H]1CN(C(=O)C[N@H+]2CCc3c(CC#N)cccc3C2)CCO1 ZINC000860105400 705101689 /nfs/dbraw/zinc/10/16/89/705101689.db2.gz QYXFTNHFSIUVMD-CQSZACIVSA-N 1 2 313.401 1.358 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C#N)c(OC)c1 ZINC000874709653 705144045 /nfs/dbraw/zinc/14/40/45/705144045.db2.gz IEHBYXVFDMTADU-HNNXBMFYSA-N 1 2 317.389 1.407 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(C#N)c(OC)c1 ZINC000874709653 705144046 /nfs/dbraw/zinc/14/40/46/705144046.db2.gz IEHBYXVFDMTADU-HNNXBMFYSA-N 1 2 317.389 1.407 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@H+]1CCOC[C@@H]1CC ZINC000875174954 705304117 /nfs/dbraw/zinc/30/41/17/705304117.db2.gz GGIGSWCRNSVDJT-ZDUSSCGKSA-N 1 2 301.456 1.316 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@@H+]1CCOC[C@@H]1CC ZINC000875174954 705304123 /nfs/dbraw/zinc/30/41/23/705304123.db2.gz GGIGSWCRNSVDJT-ZDUSSCGKSA-N 1 2 301.456 1.316 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)c(N(C)C)[nH+]1 ZINC000824631622 705496954 /nfs/dbraw/zinc/49/69/54/705496954.db2.gz OQKHYURUGBEONB-NWDGAFQWSA-N 1 2 315.377 1.203 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC[N@H+]1C[C@@H](C)OC[C@@H]1C ZINC000824948106 705563777 /nfs/dbraw/zinc/56/37/77/705563777.db2.gz FAAJDAJENGVJLZ-UONOGXRCSA-N 1 2 301.390 1.706 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC[N@@H+]1C[C@@H](C)OC[C@@H]1C ZINC000824948106 705563779 /nfs/dbraw/zinc/56/37/79/705563779.db2.gz FAAJDAJENGVJLZ-UONOGXRCSA-N 1 2 301.390 1.706 20 30 DDEDLO COC(=O)[C@]1(C(F)(F)F)CC[N@H+](Cc2cccc(C#N)n2)C1 ZINC000877515504 706129552 /nfs/dbraw/zinc/12/95/52/706129552.db2.gz HDFUFVKDVYUHLU-ZDUSSCGKSA-N 1 2 313.279 1.881 20 30 DDEDLO COC(=O)[C@]1(C(F)(F)F)CC[N@@H+](Cc2cccc(C#N)n2)C1 ZINC000877515504 706129553 /nfs/dbraw/zinc/12/95/53/706129553.db2.gz HDFUFVKDVYUHLU-ZDUSSCGKSA-N 1 2 313.279 1.881 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC(CCCn2cc[nH+]c2)CC1 ZINC000828248723 706146371 /nfs/dbraw/zinc/14/63/71/706146371.db2.gz NOHOSBVXMSOYAJ-OAHLLOKOSA-N 1 2 303.406 1.940 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2[nH]ncc2C(F)(F)F)CC1 ZINC000865084440 706344795 /nfs/dbraw/zinc/34/47/95/706344795.db2.gz AMOIBRNOUJGXMD-UHFFFAOYSA-N 1 2 300.284 1.210 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(Cl)n[nH]c2C2CC2)CC1 ZINC000865086595 706345769 /nfs/dbraw/zinc/34/57/69/706345769.db2.gz XTBCKWJINREZRF-UHFFFAOYSA-N 1 2 306.797 1.722 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2csc(-c3ncc[nH]3)n2)CC1 ZINC000878424468 706383477 /nfs/dbraw/zinc/38/34/77/706383477.db2.gz RHGQLVYAGKQFSL-UHFFFAOYSA-N 1 2 315.402 1.314 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@@H]2C[C@@H]3CCC[C@H]3O2)n1 ZINC000878525961 706416945 /nfs/dbraw/zinc/41/69/45/706416945.db2.gz HFPBNPOMYGZWMO-RYQLBKOJSA-N 1 2 317.433 1.922 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@@H]2C[C@@H]3CCC[C@H]3O2)n1 ZINC000878525961 706416947 /nfs/dbraw/zinc/41/69/47/706416947.db2.gz HFPBNPOMYGZWMO-RYQLBKOJSA-N 1 2 317.433 1.922 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)C2(C#N)CCSCC2)n1 ZINC000829970609 706428045 /nfs/dbraw/zinc/42/80/45/706428045.db2.gz KCXWYZXETXFLFC-UHFFFAOYSA-N 1 2 324.475 1.858 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2cccnc2Cl)nn1 ZINC000880980109 707102463 /nfs/dbraw/zinc/10/24/63/707102463.db2.gz MZKNKPGYDCAEAX-UHFFFAOYSA-N 1 2 318.768 1.078 20 30 DDEDLO COC[C@@H](C)NC(=S)N[NH+]=C1CCCc2cc(N)ccc21 ZINC000834924337 707148233 /nfs/dbraw/zinc/14/82/33/707148233.db2.gz OBUKTFNEGNAZLC-SNVBAGLBSA-N 1 2 306.435 1.808 20 30 DDEDLO CC(C)(C)C#CC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000837393900 707636101 /nfs/dbraw/zinc/63/61/01/707636101.db2.gz APBRQRMEYQEPST-UHFFFAOYSA-N 1 2 304.394 1.203 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCc2ccc(C#N)c(F)c2)CCO1 ZINC000838114079 707845246 /nfs/dbraw/zinc/84/52/46/707845246.db2.gz IPRYPQLDLHWLLV-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCc2ccc(C#N)c(F)c2)CCO1 ZINC000838114079 707845249 /nfs/dbraw/zinc/84/52/49/707845249.db2.gz IPRYPQLDLHWLLV-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H](C(F)(F)F)C1 ZINC000884052850 708118806 /nfs/dbraw/zinc/11/88/06/708118806.db2.gz CYBSUEDUBOIHNK-ZJUUUORDSA-N 1 2 308.300 1.234 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(F)cc1OCCC ZINC000884166492 708168803 /nfs/dbraw/zinc/16/88/03/708168803.db2.gz DHXIBDAVDACSIK-LBPRGKRZSA-N 1 2 324.352 2.000 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H]1CC[C@@H](SCC)C1 ZINC000884169137 708169803 /nfs/dbraw/zinc/16/98/03/708169803.db2.gz WVOLDSKKSZOVSP-UPJWGTAASA-N 1 2 314.451 1.566 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)N[C@@H](C)Cc2cc(C)[nH]n2)C1 ZINC000884228197 708200171 /nfs/dbraw/zinc/20/01/71/708200171.db2.gz KJPFPSIAUPMDHG-GXTWGEPZSA-N 1 2 303.410 1.046 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)N[C@@H](C)Cc2cc(C)[nH]n2)C1 ZINC000884228197 708200172 /nfs/dbraw/zinc/20/01/72/708200172.db2.gz KJPFPSIAUPMDHG-GXTWGEPZSA-N 1 2 303.410 1.046 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)N[C@H](C)Cc2cc(C)[nH]n2)C1 ZINC000884228199 708200221 /nfs/dbraw/zinc/20/02/21/708200221.db2.gz KJPFPSIAUPMDHG-OCCSQVGLSA-N 1 2 303.410 1.046 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)N[C@H](C)Cc2cc(C)[nH]n2)C1 ZINC000884228199 708200223 /nfs/dbraw/zinc/20/02/23/708200223.db2.gz KJPFPSIAUPMDHG-OCCSQVGLSA-N 1 2 303.410 1.046 20 30 DDEDLO N#Cc1cnn(-c2ccccc2)c1NC(=O)C[N@@H+]1C[C@@H]2C[C@@H]2C1 ZINC000886345234 708746287 /nfs/dbraw/zinc/74/62/87/708746287.db2.gz VFMZQXKUGZNDSR-BETUJISGSA-N 1 2 307.357 1.634 20 30 DDEDLO N#Cc1cnn(-c2ccccc2)c1NC(=O)C[N@H+]1C[C@@H]2C[C@@H]2C1 ZINC000886345234 708746289 /nfs/dbraw/zinc/74/62/89/708746289.db2.gz VFMZQXKUGZNDSR-BETUJISGSA-N 1 2 307.357 1.634 20 30 DDEDLO C#CC[C@@H]1CC[N@@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC000886359461 708748812 /nfs/dbraw/zinc/74/88/12/708748812.db2.gz CLLANAZCYWZVTJ-CYBMUJFWSA-N 1 2 300.358 1.297 20 30 DDEDLO C#CC[C@@H]1CC[N@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC000886359461 708748814 /nfs/dbraw/zinc/74/88/14/708748814.db2.gz CLLANAZCYWZVTJ-CYBMUJFWSA-N 1 2 300.358 1.297 20 30 DDEDLO N#Cc1ccccc1N1CCC(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC000900845084 709788608 /nfs/dbraw/zinc/78/86/08/709788608.db2.gz KGSBFYJAJMRXFT-UHFFFAOYSA-N 1 2 309.373 1.609 20 30 DDEDLO C/C(=C/C(=O)N[C@@H](C#N)C1CCCCC1)C[NH+]1CCOCC1 ZINC000900950307 709844636 /nfs/dbraw/zinc/84/46/36/709844636.db2.gz BFWFAXGUCPQRTC-QBOMHBFWSA-N 1 2 305.422 1.854 20 30 DDEDLO C=CCCC[C@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C(=O)OC ZINC000928319148 713174400 /nfs/dbraw/zinc/17/44/00/713174400.db2.gz HVWLCZGCSYGFLU-JSGCOSHPSA-N 1 2 305.378 1.460 20 30 DDEDLO Cc1cc(C#N)nc(NC2CC[NH+](CCS(C)(=O)=O)CC2)c1 ZINC000892440516 710437298 /nfs/dbraw/zinc/43/72/98/710437298.db2.gz DDJGOQRKVCWKNF-UHFFFAOYSA-N 1 2 322.434 1.183 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2nc3cccnc3s2)C1 ZINC000902731176 710881832 /nfs/dbraw/zinc/88/18/32/710881832.db2.gz VZIOSTGNBHCWRP-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2nc3cccnc3s2)C1 ZINC000902731176 710881836 /nfs/dbraw/zinc/88/18/36/710881836.db2.gz VZIOSTGNBHCWRP-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2c(C)cc(C#N)cc2C)CC1 ZINC000894593576 711200209 /nfs/dbraw/zinc/20/02/09/711200209.db2.gz UXHQPHPLZYWANC-UHFFFAOYSA-N 1 2 315.417 1.969 20 30 DDEDLO C[NH+](C)Cc1csc(C/N=C/c2ccc(O)c(O)c2O)n1 ZINC000905000967 711908472 /nfs/dbraw/zinc/90/84/72/711908472.db2.gz BNUZGDQZLOLBPL-PJQLUOCWSA-N 1 2 307.375 1.941 20 30 DDEDLO C#CCNC(=O)CC[NH2+]Cc1nc(Cc2ccccc2F)no1 ZINC000905480321 712049482 /nfs/dbraw/zinc/04/94/82/712049482.db2.gz ZAFAUIJBQAOVDR-UHFFFAOYSA-N 1 2 316.336 1.029 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)[C@]2(C#N)CC3CCC2CC3)CC1 ZINC000906641991 712377275 /nfs/dbraw/zinc/37/72/75/712377275.db2.gz ZPVIOJCWTMLNOH-HTWSVDAQSA-N 1 2 319.449 1.887 20 30 DDEDLO C[C@H]1CN(C(=O)C(F)(F)c2ccccc2C#N)CC[N@@H+]1CCO ZINC000907545897 712592460 /nfs/dbraw/zinc/59/24/60/712592460.db2.gz YZJOSSUUDQBZEQ-LBPRGKRZSA-N 1 2 323.343 1.175 20 30 DDEDLO C[C@H]1CN(C(=O)C(F)(F)c2ccccc2C#N)CC[N@H+]1CCO ZINC000907545897 712592461 /nfs/dbraw/zinc/59/24/61/712592461.db2.gz YZJOSSUUDQBZEQ-LBPRGKRZSA-N 1 2 323.343 1.175 20 30 DDEDLO C#CCC1(NC(=O)NC[C@@H](C)[NH+]2CCOCC2)CCCCC1 ZINC000914066751 713337307 /nfs/dbraw/zinc/33/73/07/713337307.db2.gz DFTQYAHRTVLLHX-OAHLLOKOSA-N 1 2 307.438 1.733 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC000928719959 713471198 /nfs/dbraw/zinc/47/11/98/713471198.db2.gz HBAOFTNRKVWSRB-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO N#CCCCS(=O)(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC000920624360 713683315 /nfs/dbraw/zinc/68/33/15/713683315.db2.gz DXHVGPGJNCWXMH-UHFFFAOYSA-N 1 2 308.407 1.591 20 30 DDEDLO C[N@H+](Cc1cncc(C#N)c1)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000929998027 713731902 /nfs/dbraw/zinc/73/19/02/713731902.db2.gz AVFGPXQRPBOEGN-GJZGRUSLSA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1cncc(C#N)c1)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000929998027 713731904 /nfs/dbraw/zinc/73/19/04/713731904.db2.gz AVFGPXQRPBOEGN-GJZGRUSLSA-N 1 2 307.419 1.741 20 30 DDEDLO C[C@](O)(C[NH+]1CCN(CCC#N)CC1)C(F)(F)C(F)(F)F ZINC000930116158 713759678 /nfs/dbraw/zinc/75/96/78/713759678.db2.gz HOIBVIXUASWGDU-JTQLQIEISA-N 1 2 315.286 1.466 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C[NH+]1CCN(C(=O)[C@@H](C)O)CC1 ZINC000930142392 713766368 /nfs/dbraw/zinc/76/63/68/713766368.db2.gz HYILSXYSENNAEC-CQSZACIVSA-N 1 2 301.390 1.200 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccc(F)c(C#N)c1 ZINC000930190836 713775111 /nfs/dbraw/zinc/77/51/11/713775111.db2.gz YEJWJXHYHPKPPR-GFCCVEGCSA-N 1 2 320.368 1.882 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000930866871 713945606 /nfs/dbraw/zinc/94/56/06/713945606.db2.gz ZVBRZUAAUBXCDZ-LBPRGKRZSA-N 1 2 318.377 1.409 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000930866871 713945608 /nfs/dbraw/zinc/94/56/08/713945608.db2.gz ZVBRZUAAUBXCDZ-LBPRGKRZSA-N 1 2 318.377 1.409 20 30 DDEDLO C#CCOc1ccccc1NC(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000930940055 713964725 /nfs/dbraw/zinc/96/47/25/713964725.db2.gz RTJRVPUASSNWPH-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CCOc1ccccc1NC(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000930940055 713964726 /nfs/dbraw/zinc/96/47/26/713964726.db2.gz RTJRVPUASSNWPH-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C)C(=O)Nc2ccccc2OCC)CC1 ZINC000931141394 714013538 /nfs/dbraw/zinc/01/35/38/714013538.db2.gz ALNCFCBUDTXQBJ-CQSZACIVSA-N 1 2 316.401 1.872 20 30 DDEDLO C#CC1(O)CC[NH+](CCCS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000931150345 714016900 /nfs/dbraw/zinc/01/69/00/714016900.db2.gz BMZUOXZLGQLFDQ-UHFFFAOYSA-N 1 2 321.442 1.619 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@@H](O)C[N@@H+]2CCC[C@H](C#N)C2)cc1 ZINC000932160586 714274722 /nfs/dbraw/zinc/27/47/22/714274722.db2.gz YFYYHWIDQLPPKT-ZBFHGGJFSA-N 1 2 317.389 1.620 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@@H](O)C[N@H+]2CCC[C@H](C#N)C2)cc1 ZINC000932160586 714274724 /nfs/dbraw/zinc/27/47/24/714274724.db2.gz YFYYHWIDQLPPKT-ZBFHGGJFSA-N 1 2 317.389 1.620 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)/C=C\C(=O)c2ccc(C)cc2)C1 ZINC000923551165 714398119 /nfs/dbraw/zinc/39/81/19/714398119.db2.gz KCLMGDRZUNMJKC-MQNTZWLQSA-N 1 2 310.397 1.948 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)/C=C\C(=O)c2ccc(C)cc2)C1 ZINC000923551165 714398122 /nfs/dbraw/zinc/39/81/22/714398122.db2.gz KCLMGDRZUNMJKC-MQNTZWLQSA-N 1 2 310.397 1.948 20 30 DDEDLO CCO[C@H]1CC[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000932974797 714447159 /nfs/dbraw/zinc/44/71/59/714447159.db2.gz XRTSEGDHBSJYJW-STQMWFEESA-N 1 2 305.403 1.836 20 30 DDEDLO CCO[C@H]1CC[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000932974797 714447160 /nfs/dbraw/zinc/44/71/60/714447160.db2.gz XRTSEGDHBSJYJW-STQMWFEESA-N 1 2 305.403 1.836 20 30 DDEDLO C=CCCC[C@@H]1NC(=O)N([C@@H](C)[C@@H](C)[NH+]2CCOCC2)C1=O ZINC000924596389 714615291 /nfs/dbraw/zinc/61/52/91/714615291.db2.gz ZEHQKTCZIHKCNT-RDBSUJKOSA-N 1 2 309.410 1.372 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000924776679 714661814 /nfs/dbraw/zinc/66/18/14/714661814.db2.gz LMPDHMKXPWHSQK-LLVKDONJSA-N 1 2 312.439 1.189 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000924791700 714665253 /nfs/dbraw/zinc/66/52/53/714665253.db2.gz PXUXUCARPFXYIG-LLVKDONJSA-N 1 2 312.439 1.331 20 30 DDEDLO CCCCOCCNC(=O)C[N@H+](C)Cc1cc(C#N)cs1 ZINC000933777192 714669607 /nfs/dbraw/zinc/66/96/07/714669607.db2.gz HXEIDEKSGRSCAE-UHFFFAOYSA-N 1 2 309.435 1.984 20 30 DDEDLO CCCCOCCNC(=O)C[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933777192 714669610 /nfs/dbraw/zinc/66/96/10/714669610.db2.gz HXEIDEKSGRSCAE-UHFFFAOYSA-N 1 2 309.435 1.984 20 30 DDEDLO CCOc1ccc(N(CCC#N)C(=O)C[N@@H+]2CC[C@@H]2CO)cc1 ZINC000934024712 714724610 /nfs/dbraw/zinc/72/46/10/714724610.db2.gz AMKUVDAOVSNYKP-OAHLLOKOSA-N 1 2 317.389 1.399 20 30 DDEDLO CCOc1ccc(N(CCC#N)C(=O)C[N@H+]2CC[C@@H]2CO)cc1 ZINC000934024712 714724612 /nfs/dbraw/zinc/72/46/12/714724612.db2.gz AMKUVDAOVSNYKP-OAHLLOKOSA-N 1 2 317.389 1.399 20 30 DDEDLO CC[C@H]([NH2+][C@@H]1CCN(S(C)(=O)=O)C1)c1cccc(C#N)c1O ZINC000926646625 715105277 /nfs/dbraw/zinc/10/52/77/715105277.db2.gz BMEWYVMNOPPCSV-OCCSQVGLSA-N 1 2 323.418 1.338 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000935934981 715138875 /nfs/dbraw/zinc/13/88/75/715138875.db2.gz SWLDABCVOROGLY-GDBMZVCRSA-N 1 2 313.401 1.802 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2CCC(C(=O)N(C)C)CC2)CC1 ZINC000957299475 715823184 /nfs/dbraw/zinc/82/31/84/715823184.db2.gz BEMDVODBPRQVKC-UHFFFAOYSA-N 1 2 307.438 1.211 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc3nnn(C)c3c2)C1 ZINC000957326937 715837816 /nfs/dbraw/zinc/83/78/16/715837816.db2.gz WVPOEVUAWCVLEI-UHFFFAOYSA-N 1 2 313.405 1.691 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)nn1C ZINC000960627395 716636853 /nfs/dbraw/zinc/63/68/53/716636853.db2.gz PKCXXNIJMARSNI-QGZVFWFLSA-N 1 2 314.433 1.565 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)nn1C ZINC000960627395 716636854 /nfs/dbraw/zinc/63/68/54/716636854.db2.gz PKCXXNIJMARSNI-QGZVFWFLSA-N 1 2 314.433 1.565 20 30 DDEDLO N#CCN1CC[C@H]([C@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000961263272 716887887 /nfs/dbraw/zinc/88/78/87/716887887.db2.gz QRESJTIKMYMHGS-KBPBESRZSA-N 1 2 301.394 1.036 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H]([C@@H]2CCN(CC#N)C2)C1 ZINC000961274275 716891199 /nfs/dbraw/zinc/89/11/99/716891199.db2.gz QOJCQBDWLUMFDA-HUUCEWRRSA-N 1 2 315.421 1.345 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nocc4C)C[C@H]32)cc1 ZINC000961431475 716944582 /nfs/dbraw/zinc/94/45/82/716944582.db2.gz JJNMLIXLABLORF-VQFNDLOPSA-N 1 2 321.380 1.825 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nocc4C)C[C@H]32)cc1 ZINC000961431475 716944584 /nfs/dbraw/zinc/94/45/84/716944584.db2.gz JJNMLIXLABLORF-VQFNDLOPSA-N 1 2 321.380 1.825 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3sccc3C)CC2)C1 ZINC000941285173 717146483 /nfs/dbraw/zinc/14/64/83/717146483.db2.gz ICYZZCIVYPQLHL-UHFFFAOYSA-N 1 2 317.458 1.522 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCN(C2C[NH+](Cc3ccsc3)C2)CC1 ZINC000941348893 717159403 /nfs/dbraw/zinc/15/94/03/717159403.db2.gz SGXAIQIGNBGMPY-ZDUSSCGKSA-N 1 2 318.446 1.236 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC(C)=C(C)C3)CC2)C1 ZINC000941419860 717173892 /nfs/dbraw/zinc/17/38/92/717173892.db2.gz IFMIVYUBCKTBLR-QGZVFWFLSA-N 1 2 315.461 1.585 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3CC[C@@H]4C[C@@H]43)CC2)C1 ZINC000941468227 717182961 /nfs/dbraw/zinc/18/29/61/717182961.db2.gz PGIAIBLDKQANSX-RYRKJORJSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc(C)ccc3F)CC2)C1 ZINC000941582564 717218693 /nfs/dbraw/zinc/21/86/93/717218693.db2.gz RMZUZKBJVLKVAQ-UHFFFAOYSA-N 1 2 315.392 1.209 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CC(C3CC3)C3CC3)CC2)C1 ZINC000941675047 717251733 /nfs/dbraw/zinc/25/17/33/717251733.db2.gz RBADZEMWXGKETK-UHFFFAOYSA-N 1 2 315.461 1.274 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)c(C)[nH+]1 ZINC000942068066 717462602 /nfs/dbraw/zinc/46/26/02/717462602.db2.gz QYOXREINNOKSQK-BXUZGUMPSA-N 1 2 314.389 1.497 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cn(C)nc2C)C1 ZINC000965596824 717704868 /nfs/dbraw/zinc/70/48/68/717704868.db2.gz MIAMHONCQKWWHU-IINYFYTJSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cn(C)nc2C)C1 ZINC000965596824 717704870 /nfs/dbraw/zinc/70/48/70/717704870.db2.gz MIAMHONCQKWWHU-IINYFYTJSA-N 1 2 310.829 1.921 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1ncccn1 ZINC000947175607 719061050 /nfs/dbraw/zinc/06/10/50/719061050.db2.gz UFBILDATCBVCRS-GXTWGEPZSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1ncccn1 ZINC000947175607 719061054 /nfs/dbraw/zinc/06/10/54/719061054.db2.gz UFBILDATCBVCRS-GXTWGEPZSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2cn[nH]n2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947484023 719180277 /nfs/dbraw/zinc/18/02/77/719180277.db2.gz GTLGFALTPSLKKC-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2cn[nH]n2)CC[N@H+]1Cc1ccccc1C#N ZINC000947484023 719180279 /nfs/dbraw/zinc/18/02/79/719180279.db2.gz GTLGFALTPSLKKC-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2cnn[nH]2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947484023 719180282 /nfs/dbraw/zinc/18/02/82/719180282.db2.gz GTLGFALTPSLKKC-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2cnn[nH]2)CC[N@H+]1Cc1ccccc1C#N ZINC000947484023 719180284 /nfs/dbraw/zinc/18/02/84/719180284.db2.gz GTLGFALTPSLKKC-IUODEOHRSA-N 1 2 324.388 1.459 20 30 DDEDLO CN(C(=O)[C@@H]1CCc2c[nH+]cn2C1)[C@@H]1CCCN(CC#N)CC1 ZINC000948565673 719552111 /nfs/dbraw/zinc/55/21/11/719552111.db2.gz HBBBDKZLIGOILJ-HUUCEWRRSA-N 1 2 315.421 1.282 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1ncn[nH]1 ZINC000968439565 719588174 /nfs/dbraw/zinc/58/81/74/719588174.db2.gz HVUCFYGDQRXGOQ-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1ncn[nH]1 ZINC000968439565 719588179 /nfs/dbraw/zinc/58/81/79/719588179.db2.gz HVUCFYGDQRXGOQ-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2cccc(OC)c2F)CC1 ZINC000948645717 719614530 /nfs/dbraw/zinc/61/45/30/719614530.db2.gz UPYAQMUFHYXTIC-UHFFFAOYSA-N 1 2 318.392 1.934 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1c[nH]nc1CC ZINC000948933066 719791940 /nfs/dbraw/zinc/79/19/40/719791940.db2.gz UBOPQCHQXLAFMM-SFHVURJKSA-N 1 2 322.412 1.935 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1c[nH]nc1CC ZINC000948933066 719791942 /nfs/dbraw/zinc/79/19/42/719791942.db2.gz UBOPQCHQXLAFMM-SFHVURJKSA-N 1 2 322.412 1.935 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccncn1 ZINC000948974758 719819296 /nfs/dbraw/zinc/81/92/96/719819296.db2.gz KNJRXHKCAQSVRT-GOSISDBHSA-N 1 2 320.396 1.829 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccncn1 ZINC000948974758 719819301 /nfs/dbraw/zinc/81/93/01/719819301.db2.gz KNJRXHKCAQSVRT-GOSISDBHSA-N 1 2 320.396 1.829 20 30 DDEDLO C#CC[NH+]1CCC2(CC1)CN(C(=O)C(F)C(F)(F)F)CCO2 ZINC000949095215 719906002 /nfs/dbraw/zinc/90/60/02/719906002.db2.gz BCJWGQSBWUWSOA-NSHDSACASA-N 1 2 322.302 1.213 20 30 DDEDLO C#CC[NH+]1CCC2(CC1)CN(C(=O)[C@H](F)C(F)(F)F)CCO2 ZINC000949095215 719906005 /nfs/dbraw/zinc/90/60/05/719906005.db2.gz BCJWGQSBWUWSOA-NSHDSACASA-N 1 2 322.302 1.213 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCCOCC3)CC2)C1 ZINC000949352057 720035425 /nfs/dbraw/zinc/03/54/25/720035425.db2.gz NCNWKXYCHSSIHV-MRXNPFEDSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCCOCC3)CC2)C1 ZINC000949352057 720035426 /nfs/dbraw/zinc/03/54/26/720035426.db2.gz NCNWKXYCHSSIHV-MRXNPFEDSA-N 1 2 320.433 1.130 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+][C@H](C)c3nc(C)no3)C2)cc1 ZINC000969548772 720185609 /nfs/dbraw/zinc/18/56/09/720185609.db2.gz YOZLQRPOBLJZJC-MLGOLLRUSA-N 1 2 324.384 1.925 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ncoc2[C@H]2CCCO2)CC1 ZINC000949649521 720239568 /nfs/dbraw/zinc/23/95/68/720239568.db2.gz WKUDPZNFAJUYIS-CYBMUJFWSA-N 1 2 305.378 1.860 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(C)(C)C)C2=O)CC1 ZINC000949793171 720342782 /nfs/dbraw/zinc/34/27/82/720342782.db2.gz NNOALWWKVITPER-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCCn3nccc32)C1 ZINC000969985845 720586338 /nfs/dbraw/zinc/58/63/38/720586338.db2.gz TYJSNXLOYBMKIJ-GXTWGEPZSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccc(N(C)C)nc2)C1 ZINC000970034884 720606391 /nfs/dbraw/zinc/60/63/91/720606391.db2.gz JZWMBBLXKZGWOY-GFCCVEGCSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cc(-n3cccn3)ccn2)CC1 ZINC000950444823 720635981 /nfs/dbraw/zinc/63/59/81/720635981.db2.gz WJHATBBCBGECJM-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cnc3ccccn3c2=O)C1 ZINC000950635681 720715054 /nfs/dbraw/zinc/71/50/54/720715054.db2.gz TWBPFTWGIDJWJH-UHFFFAOYSA-N 1 2 312.373 1.027 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCCn3nccc32)C1 ZINC000950649062 720721105 /nfs/dbraw/zinc/72/11/05/720721105.db2.gz DZMORAZKKNPJCG-OAHLLOKOSA-N 1 2 302.422 1.869 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@@H]2CCc3[nH+]ccn3C2)C1 ZINC000950658329 720723507 /nfs/dbraw/zinc/72/35/07/720723507.db2.gz JOKCCFWIHRYHSY-CQSZACIVSA-N 1 2 300.406 1.002 20 30 DDEDLO C=CC[NH+]1CC([C@@H](C)NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC000970666664 720877141 /nfs/dbraw/zinc/87/71/41/720877141.db2.gz AAICZQQMYOLGJB-VXGBXAGGSA-N 1 2 302.422 1.771 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2C[C@@H](C)Cc3cn[nH]c32)C1 ZINC000951371614 721005931 /nfs/dbraw/zinc/00/59/31/721005931.db2.gz ASHSGQUNIDMNAH-WFASDCNBSA-N 1 2 302.422 1.794 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCOC2)C1 ZINC000971178354 721157694 /nfs/dbraw/zinc/15/76/94/721157694.db2.gz VLNULKDXQZYYJJ-NEPJUHHUSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCOC2)C1 ZINC000971178354 721157696 /nfs/dbraw/zinc/15/76/96/721157696.db2.gz VLNULKDXQZYYJJ-NEPJUHHUSA-N 1 2 317.227 1.464 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2cocn2)C1 ZINC001087422893 738414093 /nfs/dbraw/zinc/41/40/93/738414093.db2.gz AMWVCNBIOKFBJX-HZPDHXFCSA-N 1 2 309.369 1.896 20 30 DDEDLO C#CC[N@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2cocn2)C1 ZINC001087422893 738414097 /nfs/dbraw/zinc/41/40/97/738414097.db2.gz AMWVCNBIOKFBJX-HZPDHXFCSA-N 1 2 309.369 1.896 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H]1CCN(CC)C1=O ZINC001032561835 751341063 /nfs/dbraw/zinc/34/10/63/751341063.db2.gz CXLVRLHMGBAVPQ-MELADBBJSA-N 1 2 305.422 1.105 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H]1CCN(CC)C1=O ZINC001032561835 751341071 /nfs/dbraw/zinc/34/10/71/751341071.db2.gz CXLVRLHMGBAVPQ-MELADBBJSA-N 1 2 305.422 1.105 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)c3ccco3)[C@@H](O)C2)cc1 ZINC001083311505 738605776 /nfs/dbraw/zinc/60/57/76/738605776.db2.gz SCKVABWTKDWQHN-CABCVRRESA-N 1 2 311.341 1.126 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)c3ccco3)[C@@H](O)C2)cc1 ZINC001083311505 738605777 /nfs/dbraw/zinc/60/57/77/738605777.db2.gz SCKVABWTKDWQHN-CABCVRRESA-N 1 2 311.341 1.126 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CCC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001027814280 738688886 /nfs/dbraw/zinc/68/88/86/738688886.db2.gz LCVLKIKPDGMEPU-OCCSQVGLSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CCC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001027814280 738688887 /nfs/dbraw/zinc/68/88/87/738688887.db2.gz LCVLKIKPDGMEPU-OCCSQVGLSA-N 1 2 324.388 1.632 20 30 DDEDLO Cn1ccc(C[N@@H+]2CCC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001027832932 738709418 /nfs/dbraw/zinc/70/94/18/738709418.db2.gz IWBFCQLJLLLAMJ-AWEZNQCLSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1ccc(C[N@H+]2CCC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001027832932 738709422 /nfs/dbraw/zinc/70/94/22/738709422.db2.gz IWBFCQLJLLLAMJ-AWEZNQCLSA-N 1 2 312.377 1.014 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001027920060 738809823 /nfs/dbraw/zinc/80/98/23/738809823.db2.gz FOQXGJOVZGWQOR-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001027920060 738809829 /nfs/dbraw/zinc/80/98/29/738809829.db2.gz FOQXGJOVZGWQOR-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)C)oc3C)[C@H]2C1 ZINC001083238210 734646541 /nfs/dbraw/zinc/64/65/41/734646541.db2.gz RFLMXCPTOMWFCH-DOTOQJQBSA-N 1 2 316.401 1.870 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)C)oc3C)[C@H]2C1 ZINC001083238210 734646542 /nfs/dbraw/zinc/64/65/42/734646542.db2.gz RFLMXCPTOMWFCH-DOTOQJQBSA-N 1 2 316.401 1.870 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)[C@@H]2CC2(F)F)s1 ZINC001038242719 734842884 /nfs/dbraw/zinc/84/28/84/734842884.db2.gz QEXJZACNPLKYBG-CABZTGNLSA-N 1 2 311.357 1.965 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@@H]2CC2(F)F)s1 ZINC001038242719 734842887 /nfs/dbraw/zinc/84/28/87/734842887.db2.gz QEXJZACNPLKYBG-CABZTGNLSA-N 1 2 311.357 1.965 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)Cc3c[nH]c[nH+]3)CCCC2)cn1 ZINC001104434110 734870752 /nfs/dbraw/zinc/87/07/52/734870752.db2.gz PCHFEERPGMSZPT-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO C[C@@H]1CN(C(=O)C(=O)C(C)(C)C)CC2(CC[NH+](CC#N)CC2)O1 ZINC001098574736 735014290 /nfs/dbraw/zinc/01/42/90/735014290.db2.gz RYYFCBBLPWIWAO-CYBMUJFWSA-N 1 2 321.421 1.207 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C(F)F)o3)[C@H]2C1 ZINC001083261043 735268640 /nfs/dbraw/zinc/26/86/40/735268640.db2.gz AMKHJLVKOKSQRF-SMDDNHRTSA-N 1 2 324.327 1.766 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C(F)F)o3)[C@H]2C1 ZINC001083261043 735268645 /nfs/dbraw/zinc/26/86/45/735268645.db2.gz AMKHJLVKOKSQRF-SMDDNHRTSA-N 1 2 324.327 1.766 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@H]1[C@@H]2CCCN(CC#N)[C@@H]2C1(C)C ZINC001087313656 736054524 /nfs/dbraw/zinc/05/45/24/736054524.db2.gz YMLBDSHZYGJCQC-IMJJTQAJSA-N 1 2 315.421 1.091 20 30 DDEDLO C#CCCCCC(=O)N1CCO[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111940150 736064693 /nfs/dbraw/zinc/06/46/93/736064693.db2.gz FWZQEIUDDMAGQA-OAHLLOKOSA-N 1 2 316.405 1.618 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCc3ccccc3F)[C@H]2C1 ZINC001083272570 736099026 /nfs/dbraw/zinc/09/90/26/736099026.db2.gz VLQHYAXIMMKPMB-DLBZAZTESA-N 1 2 316.376 1.303 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCc3ccccc3F)[C@H]2C1 ZINC001083272570 736099029 /nfs/dbraw/zinc/09/90/29/736099029.db2.gz VLQHYAXIMMKPMB-DLBZAZTESA-N 1 2 316.376 1.303 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1cc(C(N)=O)cs1 ZINC001024818393 736111471 /nfs/dbraw/zinc/11/14/71/736111471.db2.gz UXVKTOQQDYMQKO-GFCCVEGCSA-N 1 2 305.403 1.065 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1cc(C(N)=O)cs1 ZINC001024818393 736111473 /nfs/dbraw/zinc/11/14/73/736111473.db2.gz UXVKTOQQDYMQKO-GFCCVEGCSA-N 1 2 305.403 1.065 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+][C@@H](C)c1nc(C(C)C)no1 ZINC001126382766 739662084 /nfs/dbraw/zinc/66/20/84/739662084.db2.gz BLSJUBOCHGMUDI-XHDPSFHLSA-N 1 2 310.398 1.287 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC(C)C1 ZINC001025731387 736837592 /nfs/dbraw/zinc/83/75/92/736837592.db2.gz AVTWYFMJPSXBOL-ZHWLPXKBSA-N 1 2 313.239 1.988 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC(C)C1 ZINC001025731387 736837593 /nfs/dbraw/zinc/83/75/93/736837593.db2.gz AVTWYFMJPSXBOL-ZHWLPXKBSA-N 1 2 313.239 1.988 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CCCCC)C2)nn1 ZINC001098615305 737423609 /nfs/dbraw/zinc/42/36/09/737423609.db2.gz OWCXOGXCBZJRFC-MRXNPFEDSA-N 1 2 317.437 1.745 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001105050505 737486647 /nfs/dbraw/zinc/48/66/47/737486647.db2.gz JYNMTKRLWKKTRS-HIFRSBDPSA-N 1 2 316.405 1.474 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](Nc1cc[nH+]c(C)n1)C(C)C ZINC001105225298 737632355 /nfs/dbraw/zinc/63/23/55/737632355.db2.gz OKSAIXZUWZOZMQ-GXTWGEPZSA-N 1 2 304.394 1.376 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@H]3CC=CCC3)C2)nn1 ZINC001105299466 737770013 /nfs/dbraw/zinc/77/00/13/737770013.db2.gz ZQTPMQKZUZBOEF-AWEZNQCLSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105305636 737795375 /nfs/dbraw/zinc/79/53/75/737795375.db2.gz KPEIDISKMUKAHL-KGLIPLIRSA-N 1 2 315.421 1.233 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C3(C)CC=CC3)C2)nn1 ZINC001105317323 737828170 /nfs/dbraw/zinc/82/81/70/737828170.db2.gz SFGUHAJWPHLHPN-UHFFFAOYSA-N 1 2 313.405 1.131 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](CNc1cc[nH+]c(C)n1)C(C)(C)C ZINC001125985748 738082640 /nfs/dbraw/zinc/08/26/40/738082640.db2.gz HNGKJTQVRGAHNE-AWEZNQCLSA-N 1 2 318.421 1.768 20 30 DDEDLO CC(C)(C)c1noc(C[NH2+]CCNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001125988092 738090374 /nfs/dbraw/zinc/09/03/74/738090374.db2.gz LGZDWMRMMBJRGB-UHFFFAOYSA-N 1 2 316.365 1.086 20 30 DDEDLO C[N@H+](Cc1cscn1)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027408069 738271871 /nfs/dbraw/zinc/27/18/71/738271871.db2.gz HXKOOTIOPLFEAZ-OAHLLOKOSA-N 1 2 303.431 1.979 20 30 DDEDLO C[N@@H+](Cc1cscn1)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027408069 738271874 /nfs/dbraw/zinc/27/18/74/738271874.db2.gz HXKOOTIOPLFEAZ-OAHLLOKOSA-N 1 2 303.431 1.979 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C=C(CC)CC)C2)nn1 ZINC001098655779 742354378 /nfs/dbraw/zinc/35/43/78/742354378.db2.gz JSUXSJXTYKOYPP-MRXNPFEDSA-N 1 2 315.421 1.521 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(NC(C)=O)c1C ZINC001038911932 739130441 /nfs/dbraw/zinc/13/04/41/739130441.db2.gz AEVGBJISXFIILK-OAHLLOKOSA-N 1 2 313.401 1.781 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(NC(C)=O)c1C ZINC001038911932 739130443 /nfs/dbraw/zinc/13/04/43/739130443.db2.gz AEVGBJISXFIILK-OAHLLOKOSA-N 1 2 313.401 1.781 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001028318282 739351400 /nfs/dbraw/zinc/35/14/00/739351400.db2.gz SPRITQTXUOJANI-NSHDSACASA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001028318282 739351403 /nfs/dbraw/zinc/35/14/03/739351403.db2.gz SPRITQTXUOJANI-NSHDSACASA-N 1 2 310.785 1.060 20 30 DDEDLO Cc1nonc1C(=O)NC[C@H]1CCC[N@@H+]1CC#Cc1ccccc1 ZINC001028348620 739385259 /nfs/dbraw/zinc/38/52/59/739385259.db2.gz SDULHZCYQPGBEF-MRXNPFEDSA-N 1 2 324.384 1.624 20 30 DDEDLO Cc1nonc1C(=O)NC[C@H]1CCC[N@H+]1CC#Cc1ccccc1 ZINC001028348620 739385262 /nfs/dbraw/zinc/38/52/62/739385262.db2.gz SDULHZCYQPGBEF-MRXNPFEDSA-N 1 2 324.384 1.624 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3ccc(C)cc3C)C2)nn1 ZINC001105369483 739606610 /nfs/dbraw/zinc/60/66/10/739606610.db2.gz DNFYICUESOOJHC-UHFFFAOYSA-N 1 2 323.400 1.315 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001126384455 739666342 /nfs/dbraw/zinc/66/63/42/739666342.db2.gz OCUYCQJFGHJFKP-BMIGLBTASA-N 1 2 308.382 1.041 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C3CCC=CCC3)C2)nn1 ZINC001105374275 739750044 /nfs/dbraw/zinc/75/00/44/739750044.db2.gz SEMJLYXCNYDAJM-UHFFFAOYSA-N 1 2 313.405 1.131 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O)C1CC1 ZINC001083389772 739757122 /nfs/dbraw/zinc/75/71/22/739757122.db2.gz PUADFDQGLWYYOC-VQISRLSMSA-N 1 2 319.430 1.327 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O)C1CC1 ZINC001083389772 739757123 /nfs/dbraw/zinc/75/71/23/739757123.db2.gz PUADFDQGLWYYOC-VQISRLSMSA-N 1 2 319.430 1.327 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn3c(n2)C[C@@H](C)CC3)C1 ZINC001035419476 751478052 /nfs/dbraw/zinc/47/80/52/751478052.db2.gz GVXQNVDXNZYBBK-UONOGXRCSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn3c(n2)C[C@@H](C)CC3)C1 ZINC001035419476 751478057 /nfs/dbraw/zinc/47/80/57/751478057.db2.gz GVXQNVDXNZYBBK-UONOGXRCSA-N 1 2 318.421 1.082 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3ccccc3O2)C1 ZINC001035435751 751512848 /nfs/dbraw/zinc/51/28/48/751512848.db2.gz WAFAFFKXAJEUPD-DOTOQJQBSA-N 1 2 316.401 1.383 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3ccccc3O2)C1 ZINC001035435751 751512852 /nfs/dbraw/zinc/51/28/52/751512852.db2.gz WAFAFFKXAJEUPD-DOTOQJQBSA-N 1 2 316.401 1.383 20 30 DDEDLO O=C([C@H]1CCOC1)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#Cc1ccccc1 ZINC001029237266 740478041 /nfs/dbraw/zinc/47/80/41/740478041.db2.gz ZIFALPOOOCAQAX-GBESFXJTSA-N 1 2 324.424 1.750 20 30 DDEDLO O=C([C@H]1CCOC1)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#Cc1ccccc1 ZINC001029237266 740478046 /nfs/dbraw/zinc/47/80/46/740478046.db2.gz ZIFALPOOOCAQAX-GBESFXJTSA-N 1 2 324.424 1.750 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccn(C)c2CC)C1 ZINC001035507699 751565135 /nfs/dbraw/zinc/56/51/35/751565135.db2.gz MGMRMCJVIJKKKF-CQSZACIVSA-N 1 2 305.422 1.594 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccn(C)c2CC)C1 ZINC001035507699 751565138 /nfs/dbraw/zinc/56/51/38/751565138.db2.gz MGMRMCJVIJKKKF-CQSZACIVSA-N 1 2 305.422 1.594 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3[C@H](C)C(=O)N(C)C)CC1 ZINC001032605696 751566741 /nfs/dbraw/zinc/56/67/41/751566741.db2.gz GNJZTSJUAONSCW-KBMXLJTQSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3[C@H](C)C(=O)N(C)C)CC1 ZINC001032605696 751566745 /nfs/dbraw/zinc/56/67/45/751566745.db2.gz GNJZTSJUAONSCW-KBMXLJTQSA-N 1 2 319.449 1.495 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3occc3s2)C1 ZINC001035511232 751568476 /nfs/dbraw/zinc/56/84/76/751568476.db2.gz JRVGEZQZMUISCE-LBPRGKRZSA-N 1 2 318.398 1.948 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc3occc3s2)C1 ZINC001035511232 751568480 /nfs/dbraw/zinc/56/84/80/751568480.db2.gz JRVGEZQZMUISCE-LBPRGKRZSA-N 1 2 318.398 1.948 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@@H](CC)C(N)=O ZINC001029419707 740751414 /nfs/dbraw/zinc/75/14/14/740751414.db2.gz JGGMQJPHALSSJV-MJBXVCDLSA-N 1 2 307.438 1.528 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@@H](CC)C(N)=O ZINC001029419707 740751417 /nfs/dbraw/zinc/75/14/17/740751417.db2.gz JGGMQJPHALSSJV-MJBXVCDLSA-N 1 2 307.438 1.528 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc(C)[nH]3)C[C@@H]2C1 ZINC001087910176 740848447 /nfs/dbraw/zinc/84/84/47/740848447.db2.gz RWKCESVPEKUXRW-OLZOCXBDSA-N 1 2 308.813 1.865 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccsc2Cl)C1 ZINC001035537406 751583139 /nfs/dbraw/zinc/58/31/39/751583139.db2.gz POBHLXNUTQDFRD-NSHDSACASA-N 1 2 312.822 1.855 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccsc2Cl)C1 ZINC001035537406 751583143 /nfs/dbraw/zinc/58/31/43/751583143.db2.gz POBHLXNUTQDFRD-NSHDSACASA-N 1 2 312.822 1.855 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCc4[nH]ncc43)C[C@@H]2C1 ZINC001087967148 740894730 /nfs/dbraw/zinc/89/47/30/740894730.db2.gz LAFOFBLZHRUAKM-ILXRZTDVSA-N 1 2 312.417 1.243 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCc4[nH]ncc43)C[C@@H]2C1 ZINC001087967148 740894738 /nfs/dbraw/zinc/89/47/38/740894738.db2.gz LAFOFBLZHRUAKM-ILXRZTDVSA-N 1 2 312.417 1.243 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCO[C@H]2C(=C)C)C1 ZINC001035540478 751587245 /nfs/dbraw/zinc/58/72/45/751587245.db2.gz BIIPDONLQJVKTG-PMPSAXMXSA-N 1 2 308.422 1.361 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCO[C@H]2C(=C)C)C1 ZINC001035540478 751587252 /nfs/dbraw/zinc/58/72/52/751587252.db2.gz BIIPDONLQJVKTG-PMPSAXMXSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CCCn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CC#CC)nn1 ZINC001029509044 740986177 /nfs/dbraw/zinc/98/61/77/740986177.db2.gz YGIUKYLLLRKBKH-GASCZTMLSA-N 1 2 313.405 1.166 20 30 DDEDLO C=CCCn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CC#CC)nn1 ZINC001029509044 740986179 /nfs/dbraw/zinc/98/61/79/740986179.db2.gz YGIUKYLLLRKBKH-GASCZTMLSA-N 1 2 313.405 1.166 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3ccccc3c2)C1 ZINC001035522192 751599893 /nfs/dbraw/zinc/59/98/93/751599893.db2.gz HXHWWGRGMLKUNA-MRXNPFEDSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc3ccccc3c2)C1 ZINC001035522192 751599896 /nfs/dbraw/zinc/59/98/96/751599896.db2.gz HXHWWGRGMLKUNA-MRXNPFEDSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001035572106 751626121 /nfs/dbraw/zinc/62/61/21/751626121.db2.gz QTAQQHWCXAWKQB-CQSZACIVSA-N 1 2 306.410 1.389 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001035572106 751626124 /nfs/dbraw/zinc/62/61/24/751626124.db2.gz QTAQQHWCXAWKQB-CQSZACIVSA-N 1 2 306.410 1.389 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCc3ccccc3O2)C1 ZINC001035550898 751635004 /nfs/dbraw/zinc/63/50/04/751635004.db2.gz SBOGQKYEUFJBRU-DOTOQJQBSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCc3ccccc3O2)C1 ZINC001035550898 751635006 /nfs/dbraw/zinc/63/50/06/751635006.db2.gz SBOGQKYEUFJBRU-DOTOQJQBSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N(C)CCN(C)c1nc(C)[nH+]c(C)c1C ZINC001105421810 741441897 /nfs/dbraw/zinc/44/18/97/741441897.db2.gz CYQJHYPYPKWPBG-AWEZNQCLSA-N 1 2 320.437 1.888 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C(F)F)c2)C1 ZINC001035557975 751640089 /nfs/dbraw/zinc/64/00/89/751640089.db2.gz LFKHHDSNTDTAMN-GFCCVEGCSA-N 1 2 314.336 1.285 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn(C(F)F)c2)C1 ZINC001035557975 751640092 /nfs/dbraw/zinc/64/00/92/751640092.db2.gz LFKHHDSNTDTAMN-GFCCVEGCSA-N 1 2 314.336 1.285 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3ccncc32)C1 ZINC001035562978 751645051 /nfs/dbraw/zinc/64/50/51/751645051.db2.gz PBQCGYLYAGIKMK-INIZCTEOSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3ccncc32)C1 ZINC001035562978 751645052 /nfs/dbraw/zinc/64/50/52/751645052.db2.gz PBQCGYLYAGIKMK-INIZCTEOSA-N 1 2 323.396 1.689 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001059747304 741673863 /nfs/dbraw/zinc/67/38/63/741673863.db2.gz GOHOFADRWMMYBG-OAHLLOKOSA-N 1 2 318.421 1.594 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3c(s2)CCC3)C1 ZINC001035603523 751661881 /nfs/dbraw/zinc/66/18/81/751661881.db2.gz SKPYRVVKWVBPQP-AWEZNQCLSA-N 1 2 318.442 1.691 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc3c(s2)CCC3)C1 ZINC001035603523 751661886 /nfs/dbraw/zinc/66/18/86/751661886.db2.gz SKPYRVVKWVBPQP-AWEZNQCLSA-N 1 2 318.442 1.691 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ncoc2C(C)C)C1 ZINC001035578713 751668396 /nfs/dbraw/zinc/66/83/96/751668396.db2.gz UJFAAMDDZZKDSP-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ncoc2C(C)C)C1 ZINC001035578713 751668401 /nfs/dbraw/zinc/66/84/01/751668401.db2.gz UJFAAMDDZZKDSP-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccc(OC)c2)C1 ZINC001035584392 751677483 /nfs/dbraw/zinc/67/74/83/751677483.db2.gz RQJJQBYOUOTNSU-MRXNPFEDSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccc(OC)c2)C1 ZINC001035584392 751677486 /nfs/dbraw/zinc/67/74/86/751677486.db2.gz RQJJQBYOUOTNSU-MRXNPFEDSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC001035582926 751675112 /nfs/dbraw/zinc/67/51/12/751675112.db2.gz LMHPALBZAGTXBA-HNNXBMFYSA-N 1 2 305.422 1.830 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC001035582926 751675116 /nfs/dbraw/zinc/67/51/16/751675116.db2.gz LMHPALBZAGTXBA-HNNXBMFYSA-N 1 2 305.422 1.830 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccccc2N(C)C)C1 ZINC001035619193 751690121 /nfs/dbraw/zinc/69/01/21/751690121.db2.gz WXFJVSWQLRIBOG-OAHLLOKOSA-N 1 2 317.433 1.759 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccccc2N(C)C)C1 ZINC001035619193 751690125 /nfs/dbraw/zinc/69/01/25/751690125.db2.gz WXFJVSWQLRIBOG-OAHLLOKOSA-N 1 2 317.433 1.759 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc3nnnn3c2)[C@H]1C ZINC001088744309 742269182 /nfs/dbraw/zinc/26/91/82/742269182.db2.gz SDOHZRWTRZSODI-PWSUYJOCSA-N 1 2 320.784 1.069 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc3nnnn3c2)[C@H]1C ZINC001088744309 742269186 /nfs/dbraw/zinc/26/91/86/742269186.db2.gz SDOHZRWTRZSODI-PWSUYJOCSA-N 1 2 320.784 1.069 20 30 DDEDLO CCO[C@@H](C)c1noc(C[NH2+]CCNC(=O)C#CC(C)C)n1 ZINC001126823538 742306509 /nfs/dbraw/zinc/30/65/09/742306509.db2.gz VKQVQBSYGXACEW-LBPRGKRZSA-N 1 2 308.382 1.032 20 30 DDEDLO C#CCCCCC(=O)NCC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001076210450 742599422 /nfs/dbraw/zinc/59/94/22/742599422.db2.gz QVDHMNCQRSPVEQ-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)[C@@H](O)C1 ZINC001083547228 742708498 /nfs/dbraw/zinc/70/84/98/742708498.db2.gz ATMHCHAPRHUKSM-NEPJUHHUSA-N 1 2 318.402 1.099 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)[C@@H](O)C1 ZINC001083547228 742708499 /nfs/dbraw/zinc/70/84/99/742708499.db2.gz ATMHCHAPRHUKSM-NEPJUHHUSA-N 1 2 318.402 1.099 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnn4cc[nH]c34)[C@@H]2C1 ZINC001076503791 742759387 /nfs/dbraw/zinc/75/93/87/742759387.db2.gz WSWKMZSQKSXIRY-WCQYABFASA-N 1 2 319.796 1.561 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnn4cc[nH]c34)[C@@H]2C1 ZINC001076503791 742759390 /nfs/dbraw/zinc/75/93/90/742759390.db2.gz WSWKMZSQKSXIRY-WCQYABFASA-N 1 2 319.796 1.561 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C(F)(F)F)ncn1 ZINC001038506212 742986874 /nfs/dbraw/zinc/98/68/74/742986874.db2.gz UCCFWVGUEXCZCK-SNVBAGLBSA-N 1 2 312.295 1.323 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C(F)(F)F)ncn1 ZINC001038506212 742986880 /nfs/dbraw/zinc/98/68/80/742986880.db2.gz UCCFWVGUEXCZCK-SNVBAGLBSA-N 1 2 312.295 1.323 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nc([C@H](C)OCC)no2)C1 ZINC001181534907 743229463 /nfs/dbraw/zinc/22/94/63/743229463.db2.gz IUJFFTYSZHVWLN-QWHCGFSZSA-N 1 2 322.409 1.824 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC2CC[NH+](Cc3nccs3)CC2)c1 ZINC001002550556 743231665 /nfs/dbraw/zinc/23/16/65/743231665.db2.gz FCPZARIWOQOWIR-UHFFFAOYSA-N 1 2 315.402 1.737 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001077100186 743236459 /nfs/dbraw/zinc/23/64/59/743236459.db2.gz RYNFPDMYOWEHSA-IAGOWNOFSA-N 1 2 312.344 1.387 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001077100186 743236465 /nfs/dbraw/zinc/23/64/65/743236465.db2.gz RYNFPDMYOWEHSA-IAGOWNOFSA-N 1 2 312.344 1.387 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001128246280 743364013 /nfs/dbraw/zinc/36/40/13/743364013.db2.gz VFONMOHGUIBHRL-UHFFFAOYSA-N 1 2 306.410 1.830 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H](C)OCC)CC2)C1 ZINC001105694537 743480223 /nfs/dbraw/zinc/48/02/23/743480223.db2.gz SOOROENZLIGMKK-CQSZACIVSA-N 1 2 310.438 1.679 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CCc2ccc(F)cc2O1 ZINC001038513241 743487966 /nfs/dbraw/zinc/48/79/66/743487966.db2.gz HJWBDMQJJFGWMP-JKSUJKDBSA-N 1 2 316.376 1.733 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1CCc2ccc(F)cc2O1 ZINC001038513241 743487971 /nfs/dbraw/zinc/48/79/71/743487971.db2.gz HJWBDMQJJFGWMP-JKSUJKDBSA-N 1 2 316.376 1.733 20 30 DDEDLO Cc1nocc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CSCC#N)C2 ZINC001110300589 743628722 /nfs/dbraw/zinc/62/87/22/743628722.db2.gz FXGRRDFAHPASIS-MCIONIFRSA-N 1 2 320.418 1.461 20 30 DDEDLO Cc1nocc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CSCC#N)C2 ZINC001110300589 743628728 /nfs/dbraw/zinc/62/87/28/743628728.db2.gz FXGRRDFAHPASIS-MCIONIFRSA-N 1 2 320.418 1.461 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2scnc2C(F)F)[C@@H](O)C1 ZINC001083633873 743638528 /nfs/dbraw/zinc/63/85/28/743638528.db2.gz QUSXYIYSRBEDSR-SFYZADRCSA-N 1 2 303.334 1.042 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2scnc2C(F)F)[C@@H](O)C1 ZINC001083633873 743638533 /nfs/dbraw/zinc/63/85/33/743638533.db2.gz QUSXYIYSRBEDSR-SFYZADRCSA-N 1 2 303.334 1.042 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](C)[C@@H](C)COC)CC2)C1 ZINC001105713634 743745772 /nfs/dbraw/zinc/74/57/72/743745772.db2.gz NIKSAHSSECATDW-LSDHHAIUSA-N 1 2 324.465 1.783 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C3(COC)CCC3)CC2)C1 ZINC001105717364 743756033 /nfs/dbraw/zinc/75/60/33/743756033.db2.gz LNFUZMFKHNEUOS-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H](C)CCOC)CC2)C1 ZINC001105734244 743768549 /nfs/dbraw/zinc/76/85/49/743768549.db2.gz HNTWWGNQUSZSAG-OAHLLOKOSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(CC(=O)OCCCC)C(=O)NCCOc1cc[nH+]cc1 ZINC001184315609 744013141 /nfs/dbraw/zinc/01/31/41/744013141.db2.gz HWSTYJHQXURWCN-UHFFFAOYSA-N 1 2 306.362 1.866 20 30 DDEDLO N#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCc3[nH+]c[nH]c31)CC2 ZINC001035794161 751880576 /nfs/dbraw/zinc/88/05/76/751880576.db2.gz ZHVGZTYWRRYTGQ-CYBMUJFWSA-N 1 2 313.405 1.278 20 30 DDEDLO C=CCOc1ccccc1C(=O)NC1C[NH+]([C@H](C)COC)C1 ZINC001030542153 744253309 /nfs/dbraw/zinc/25/33/09/744253309.db2.gz PZWQTPMJFRKYJV-CYBMUJFWSA-N 1 2 304.390 1.700 20 30 DDEDLO Cc1cccn2cc(CCNc3cnc(C#N)c(C#N)n3)[nH+]c12 ZINC001185592928 744254307 /nfs/dbraw/zinc/25/43/07/744254307.db2.gz KULILKRLKYEFKH-UHFFFAOYSA-N 1 2 303.329 1.831 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC1(Nc2cc[nH+]c(C)n2)CC1 ZINC001110369348 744290511 /nfs/dbraw/zinc/29/05/11/744290511.db2.gz JIWGGDFGVIHRMP-KGLIPLIRSA-N 1 2 316.405 1.827 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C(C)(C)CC)C2)nn1 ZINC001185993403 744318698 /nfs/dbraw/zinc/31/86/98/744318698.db2.gz XIEKBIKCSXRQIC-OAHLLOKOSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C3(C)CCC3)C2)nn1 ZINC001185975144 744323199 /nfs/dbraw/zinc/32/31/99/744323199.db2.gz UHGHBKMTFYBUMC-OAHLLOKOSA-N 1 2 315.421 1.355 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C(C)(C)C)C2)nn1 ZINC001186018513 744327205 /nfs/dbraw/zinc/32/72/05/744327205.db2.gz HZQUJEBGAPTTON-CQSZACIVSA-N 1 2 303.410 1.211 20 30 DDEDLO CC1(C)CC[C@H](CNC(=O)CCc2[nH]cc[nH+]2)N(CC#N)C1 ZINC001099034008 744339496 /nfs/dbraw/zinc/33/94/96/744339496.db2.gz ROMJQJYRBLCJNP-CYBMUJFWSA-N 1 2 303.410 1.473 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ncccn2)CC[N@@H+]1Cc1ccccc1C#N ZINC001088934394 744382815 /nfs/dbraw/zinc/38/28/15/744382815.db2.gz AFMBHXOMIVNZHO-CJNGLKHVSA-N 1 2 321.384 1.741 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ncccn2)CC[N@H+]1Cc1ccccc1C#N ZINC001088934394 744382817 /nfs/dbraw/zinc/38/28/17/744382817.db2.gz AFMBHXOMIVNZHO-CJNGLKHVSA-N 1 2 321.384 1.741 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](O)CNc2cc[nH+]c(C)n2)CCC1 ZINC001106082398 744448550 /nfs/dbraw/zinc/44/85/50/744448550.db2.gz VGRJFARZNYVZFU-CYBMUJFWSA-N 1 2 304.394 1.420 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnc(C)nc1 ZINC001110390673 744512006 /nfs/dbraw/zinc/51/20/06/744512006.db2.gz YGJGHTCSOBYOIU-OAGGEKHMSA-N 1 2 316.405 1.209 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnc(C)nc1 ZINC001110390673 744512009 /nfs/dbraw/zinc/51/20/09/744512009.db2.gz YGJGHTCSOBYOIU-OAGGEKHMSA-N 1 2 316.405 1.209 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001187239663 744522974 /nfs/dbraw/zinc/52/29/74/744522974.db2.gz HEHRZKXSOHHTIO-FPMFFAJLSA-N 1 2 304.394 1.938 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001187239663 744522976 /nfs/dbraw/zinc/52/29/76/744522976.db2.gz HEHRZKXSOHHTIO-FPMFFAJLSA-N 1 2 304.394 1.938 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)no1 ZINC001089139740 744583900 /nfs/dbraw/zinc/58/39/00/744583900.db2.gz LLMHQCNVOWOPDU-WFASDCNBSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)no1 ZINC001089139740 744583901 /nfs/dbraw/zinc/58/39/01/744583901.db2.gz LLMHQCNVOWOPDU-WFASDCNBSA-N 1 2 304.394 1.956 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C[C@@H](F)CC)C[C@H]2O)cc1 ZINC001077493873 744620272 /nfs/dbraw/zinc/62/02/72/744620272.db2.gz OQAKSPWTLGMAHN-ARFHVFGLSA-N 1 2 304.365 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C[C@@H](F)CC)C[C@H]2O)cc1 ZINC001077493873 744620273 /nfs/dbraw/zinc/62/02/73/744620273.db2.gz OQAKSPWTLGMAHN-ARFHVFGLSA-N 1 2 304.365 1.191 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)nn1C ZINC001188958577 744798962 /nfs/dbraw/zinc/79/89/62/744798962.db2.gz HZBTUSKXQTUXAV-CQSZACIVSA-N 1 2 321.450 1.018 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)nn1C ZINC001188958577 744798965 /nfs/dbraw/zinc/79/89/65/744798965.db2.gz HZBTUSKXQTUXAV-CQSZACIVSA-N 1 2 321.450 1.018 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2nc(Cl)sc2Cl)[C@@H](O)C1 ZINC001083695190 744863228 /nfs/dbraw/zinc/86/32/28/744863228.db2.gz OAWSLFMVQYTKKO-RQJHMYQMSA-N 1 2 322.217 1.411 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2nc(Cl)sc2Cl)[C@@H](O)C1 ZINC001083695190 744863229 /nfs/dbraw/zinc/86/32/29/744863229.db2.gz OAWSLFMVQYTKKO-RQJHMYQMSA-N 1 2 322.217 1.411 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001189365393 744887329 /nfs/dbraw/zinc/88/73/29/744887329.db2.gz XFFQSQSWNOSCQH-KBPBESRZSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2ncccn2)C1 ZINC001189365393 744887332 /nfs/dbraw/zinc/88/73/32/744887332.db2.gz XFFQSQSWNOSCQH-KBPBESRZSA-N 1 2 304.394 1.273 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001189743334 744965668 /nfs/dbraw/zinc/96/56/68/744965668.db2.gz BDXWKKCPLZHTOW-AWEZNQCLSA-N 1 2 303.410 1.041 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001189743334 744965671 /nfs/dbraw/zinc/96/56/71/744965671.db2.gz BDXWKKCPLZHTOW-AWEZNQCLSA-N 1 2 303.410 1.041 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)cs2)C1 ZINC001190094275 745097971 /nfs/dbraw/zinc/09/79/71/745097971.db2.gz IUSGKEISZSGHHR-KGLIPLIRSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)cs2)C1 ZINC001190094275 745097978 /nfs/dbraw/zinc/09/79/78/745097978.db2.gz IUSGKEISZSGHHR-KGLIPLIRSA-N 1 2 321.446 1.523 20 30 DDEDLO CC#CC[N@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001190178179 745141245 /nfs/dbraw/zinc/14/12/45/745141245.db2.gz UWPXVXOOOHEDRY-ZIAGYGMSSA-N 1 2 300.406 1.386 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001190178179 745141248 /nfs/dbraw/zinc/14/12/48/745141248.db2.gz UWPXVXOOOHEDRY-ZIAGYGMSSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CC[C@@H]([NH+](C)C/C=C/Cl)C1 ZINC001190724971 745306851 /nfs/dbraw/zinc/30/68/51/745306851.db2.gz OIGYCMXLSPENQD-ZRJDUIELSA-N 1 2 309.841 1.369 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H]([NH+](C)C/C=C/Cl)C1 ZINC001190724971 745306855 /nfs/dbraw/zinc/30/68/55/745306855.db2.gz OIGYCMXLSPENQD-ZRJDUIELSA-N 1 2 309.841 1.369 20 30 DDEDLO C#CCN1CCC[C@H]1C(=O)N1CC[C@@H]([N@@H+](C)C/C=C/Cl)C1 ZINC001190724971 745306867 /nfs/dbraw/zinc/30/68/67/745306867.db2.gz OIGYCMXLSPENQD-ZRJDUIELSA-N 1 2 309.841 1.369 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CCC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007031651 751991762 /nfs/dbraw/zinc/99/17/62/751991762.db2.gz XCOIWCAAMWTHRV-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1nccnc1C[N@H+]1CCC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007031651 751991768 /nfs/dbraw/zinc/99/17/68/751991768.db2.gz XCOIWCAAMWTHRV-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO CCCCCCCNC(=O)N[C@H](Cc1c[nH+]cn1C)C(=O)OC ZINC001191118462 745428911 /nfs/dbraw/zinc/42/89/11/745428911.db2.gz HLFCGWMWZVZVSZ-CQSZACIVSA-N 1 2 324.425 1.774 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001191867484 745634434 /nfs/dbraw/zinc/63/44/34/745634434.db2.gz BYBQGGZRAKUQLD-UONOGXRCSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001191867484 745634437 /nfs/dbraw/zinc/63/44/37/745634437.db2.gz BYBQGGZRAKUQLD-UONOGXRCSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cnn(C)c2N)[C@@H]1C ZINC000993235974 745924468 /nfs/dbraw/zinc/92/44/68/745924468.db2.gz SWXOYWILTAHUST-JQWIXIFHSA-N 1 2 311.817 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cnn(C)c2N)[C@@H]1C ZINC000993235974 745924472 /nfs/dbraw/zinc/92/44/72/745924472.db2.gz SWXOYWILTAHUST-JQWIXIFHSA-N 1 2 311.817 1.338 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C2CN(C(C)=O)C2)[C@H]1C ZINC000993246713 745928737 /nfs/dbraw/zinc/92/87/37/745928737.db2.gz JFEKFBCILBKFKG-BXUZGUMPSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C2CN(C(C)=O)C2)[C@H]1C ZINC000993246713 745928743 /nfs/dbraw/zinc/92/87/43/745928743.db2.gz JFEKFBCILBKFKG-BXUZGUMPSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CC2OCCCO2)[C@@H]1C ZINC000993298535 745944564 /nfs/dbraw/zinc/94/45/64/745944564.db2.gz GZCXGFJBPQFWPL-STQMWFEESA-N 1 2 316.829 1.861 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CC2OCCCO2)[C@@H]1C ZINC000993298535 745944566 /nfs/dbraw/zinc/94/45/66/745944566.db2.gz GZCXGFJBPQFWPL-STQMWFEESA-N 1 2 316.829 1.861 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001193337532 746067817 /nfs/dbraw/zinc/06/78/17/746067817.db2.gz UIVRQEQJTQXELC-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001193337532 746067819 /nfs/dbraw/zinc/06/78/19/746067819.db2.gz UIVRQEQJTQXELC-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2oc(CC)nc2C)C[C@H]1O ZINC001193400648 746092557 /nfs/dbraw/zinc/09/25/57/746092557.db2.gz VIORYMRKMDUCQB-ZIAGYGMSSA-N 1 2 321.421 1.563 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2oc(CC)nc2C)C[C@H]1O ZINC001193400648 746092563 /nfs/dbraw/zinc/09/25/63/746092563.db2.gz VIORYMRKMDUCQB-ZIAGYGMSSA-N 1 2 321.421 1.563 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cn(C)c(=O)cn2)[C@H]1C ZINC000993615700 746234127 /nfs/dbraw/zinc/23/41/27/746234127.db2.gz VVZANTXYJGJZMD-NEPJUHHUSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cn(C)c(=O)cn2)[C@H]1C ZINC000993615700 746234132 /nfs/dbraw/zinc/23/41/32/746234132.db2.gz VVZANTXYJGJZMD-NEPJUHHUSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cnoc1C ZINC001032638081 752091977 /nfs/dbraw/zinc/09/19/77/752091977.db2.gz IMRXGCRNYLSWJK-JONQDZQNSA-N 1 2 317.389 1.359 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cnoc1C ZINC001032638081 752091987 /nfs/dbraw/zinc/09/19/87/752091987.db2.gz IMRXGCRNYLSWJK-JONQDZQNSA-N 1 2 317.389 1.359 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)c2ccn[nH]2)C1 ZINC001046917026 746454414 /nfs/dbraw/zinc/45/44/14/746454414.db2.gz LRVHDUDCTMMWIC-SNVBAGLBSA-N 1 2 318.755 1.849 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@H](CNC(=O)c2ccn[nH]2)C1 ZINC001046917026 746454420 /nfs/dbraw/zinc/45/44/20/746454420.db2.gz LRVHDUDCTMMWIC-SNVBAGLBSA-N 1 2 318.755 1.849 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)c2ccn[nH]2)C1 ZINC001046917025 746454690 /nfs/dbraw/zinc/45/46/90/746454690.db2.gz LRVHDUDCTMMWIC-JTQLQIEISA-N 1 2 318.755 1.849 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)c2ccn[nH]2)C1 ZINC001046917025 746454691 /nfs/dbraw/zinc/45/46/91/746454691.db2.gz LRVHDUDCTMMWIC-JTQLQIEISA-N 1 2 318.755 1.849 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCCN1CC#N ZINC000994166139 746470236 /nfs/dbraw/zinc/47/02/36/746470236.db2.gz YPXOMULLGBKYAA-DZGCQCFKSA-N 1 2 324.388 1.374 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCCN(C(=O)CSCC#N)CC2)cn1 ZINC001194919635 746487346 /nfs/dbraw/zinc/48/73/46/746487346.db2.gz WYMOGYCSSQKWLX-UHFFFAOYSA-N 1 2 319.434 1.076 20 30 DDEDLO Cc1ncc(C[N@H+]2CCCN(C(=O)CSCC#N)CC2)cn1 ZINC001194919635 746487347 /nfs/dbraw/zinc/48/73/47/746487347.db2.gz WYMOGYCSSQKWLX-UHFFFAOYSA-N 1 2 319.434 1.076 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cncn2C)[C@H]1C ZINC000994208338 746487475 /nfs/dbraw/zinc/48/74/75/746487475.db2.gz MEOFBBSMOHTHTP-TZMCWYRMSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cncn2C)[C@H]1C ZINC000994208338 746487476 /nfs/dbraw/zinc/48/74/76/746487476.db2.gz MEOFBBSMOHTHTP-TZMCWYRMSA-N 1 2 310.829 1.684 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195277870 746562548 /nfs/dbraw/zinc/56/25/48/746562548.db2.gz LAGWCHCVSHTXMB-ZIAGYGMSSA-N 1 2 307.394 1.165 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195277870 746562551 /nfs/dbraw/zinc/56/25/51/746562551.db2.gz LAGWCHCVSHTXMB-ZIAGYGMSSA-N 1 2 307.394 1.165 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1O ZINC001195307395 746572612 /nfs/dbraw/zinc/57/26/12/746572612.db2.gz VOSGSLBIPVWQDI-HUUCEWRRSA-N 1 2 321.446 1.388 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1O ZINC001195307395 746572614 /nfs/dbraw/zinc/57/26/14/746572614.db2.gz VOSGSLBIPVWQDI-HUUCEWRRSA-N 1 2 321.446 1.388 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](Cc2cc(C)n(C)n2)CC1 ZINC001195674644 746667913 /nfs/dbraw/zinc/66/79/13/746667913.db2.gz IZVUELNKXGIINS-UHFFFAOYSA-N 1 2 302.422 1.566 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](Cc2cc(C)n(C)n2)CC1 ZINC001195674644 746667916 /nfs/dbraw/zinc/66/79/16/746667916.db2.gz IZVUELNKXGIINS-UHFFFAOYSA-N 1 2 302.422 1.566 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001195997469 746750622 /nfs/dbraw/zinc/75/06/22/746750622.db2.gz VLLLQRDQVKCDMW-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001195997469 746750625 /nfs/dbraw/zinc/75/06/25/746750625.db2.gz VLLLQRDQVKCDMW-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001195990736 746761860 /nfs/dbraw/zinc/76/18/60/746761860.db2.gz ZPMNVMITIFFJOH-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001195990736 746761863 /nfs/dbraw/zinc/76/18/63/746761863.db2.gz ZPMNVMITIFFJOH-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CC(=O)N([C@@H](C)CCC)C2)C1 ZINC001031435328 746803421 /nfs/dbraw/zinc/80/34/21/746803421.db2.gz XVWLHISWAFAWGJ-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)C2CCC2)CC1 ZINC001196260387 746809565 /nfs/dbraw/zinc/80/95/65/746809565.db2.gz WUEQOQNLVUQBPU-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)C2CCC2)CC1 ZINC001196260387 746809573 /nfs/dbraw/zinc/80/95/73/746809573.db2.gz WUEQOQNLVUQBPU-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)C(CC)CC)CC1 ZINC001196376353 746847512 /nfs/dbraw/zinc/84/75/12/746847512.db2.gz SVYNMDAXGBPQLB-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)C(CC)CC)CC1 ZINC001196376353 746847517 /nfs/dbraw/zinc/84/75/17/746847517.db2.gz SVYNMDAXGBPQLB-HNNXBMFYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc(F)c(NC(C)=O)c2)C1 ZINC001031464411 746850407 /nfs/dbraw/zinc/85/04/07/746850407.db2.gz QWRUYTHPQZLKMX-UHFFFAOYSA-N 1 2 305.353 1.774 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCc1cnn2c1C[N@H+](CC)CC2)OCC ZINC001128376257 746935392 /nfs/dbraw/zinc/93/53/92/746935392.db2.gz FNDSSVXCZOBAAR-MRXNPFEDSA-N 1 2 320.437 1.706 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCc1cnn2c1C[N@@H+](CC)CC2)OCC ZINC001128376257 746935394 /nfs/dbraw/zinc/93/53/94/746935394.db2.gz FNDSSVXCZOBAAR-MRXNPFEDSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)C(F)(F)F)CC1 ZINC001196813518 746980067 /nfs/dbraw/zinc/98/00/67/746980067.db2.gz HRUCPGRATWPRGZ-NSHDSACASA-N 1 2 321.343 1.021 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)C(F)(F)F)CC1 ZINC001196813518 746980070 /nfs/dbraw/zinc/98/00/70/746980070.db2.gz HRUCPGRATWPRGZ-NSHDSACASA-N 1 2 321.343 1.021 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[N@H+](CC(=O)N2CCC2)CC1 ZINC001196881881 746988964 /nfs/dbraw/zinc/98/89/64/746988964.db2.gz ZCWIFEXPNHZTIU-HNNXBMFYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)N2CCC2)CC1 ZINC001196881881 746988970 /nfs/dbraw/zinc/98/89/70/746988970.db2.gz ZCWIFEXPNHZTIU-HNNXBMFYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH2+]Cc1nnc([C@@H]2CCCOC2)o1 ZINC001128409107 747019947 /nfs/dbraw/zinc/01/99/47/747019947.db2.gz STXZDLCXNKPDHP-CYBMUJFWSA-N 1 2 322.409 1.526 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@H](CC)CCC)CC1 ZINC001197579602 747194725 /nfs/dbraw/zinc/19/47/25/747194725.db2.gz ZSRORAYBPJOPET-JKSUJKDBSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@H](CC)CCC)CC1 ZINC001197579602 747194728 /nfs/dbraw/zinc/19/47/28/747194728.db2.gz ZSRORAYBPJOPET-JKSUJKDBSA-N 1 2 321.465 1.485 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2C[C@@]2(C)C(C)C)CC1 ZINC001197630705 747230556 /nfs/dbraw/zinc/23/05/56/747230556.db2.gz ONBWBKRAYRQHJT-QAPCUYQASA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2C[C@@]2(C)C(C)C)CC1 ZINC001197630705 747230562 /nfs/dbraw/zinc/23/05/62/747230562.db2.gz ONBWBKRAYRQHJT-QAPCUYQASA-N 1 2 321.465 1.505 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)oc2C(F)(F)F)[C@@H](O)C1 ZINC001083818645 747239699 /nfs/dbraw/zinc/23/96/99/747239699.db2.gz FZIJZZQGAGALER-MNOVXSKESA-N 1 2 316.279 1.015 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)oc2C(F)(F)F)[C@@H](O)C1 ZINC001083818645 747239701 /nfs/dbraw/zinc/23/97/01/747239701.db2.gz FZIJZZQGAGALER-MNOVXSKESA-N 1 2 316.279 1.015 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccccc2F)[C@H](O)C1 ZINC001090018341 747314374 /nfs/dbraw/zinc/31/43/74/747314374.db2.gz ZUZIGKPDILYUID-UONOGXRCSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccccc2F)[C@H](O)C1 ZINC001090018341 747314377 /nfs/dbraw/zinc/31/43/77/747314377.db2.gz ZUZIGKPDILYUID-UONOGXRCSA-N 1 2 312.772 1.743 20 30 DDEDLO C=CCC[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCCCS2(=O)=O)C1 ZINC001007492384 752171130 /nfs/dbraw/zinc/17/11/30/752171130.db2.gz MQJQEXRGFIHKSW-UONOGXRCSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCC[N@H+]1CCC[C@H](NC(=O)[C@H]2CCCCS2(=O)=O)C1 ZINC001007492384 752171134 /nfs/dbraw/zinc/17/11/34/752171134.db2.gz MQJQEXRGFIHKSW-UONOGXRCSA-N 1 2 314.451 1.110 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC001007496734 752174432 /nfs/dbraw/zinc/17/44/32/752174432.db2.gz TUBRPNIVKDJWMD-CYBMUJFWSA-N 1 2 316.430 1.922 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC001007496734 752174434 /nfs/dbraw/zinc/17/44/34/752174434.db2.gz TUBRPNIVKDJWMD-CYBMUJFWSA-N 1 2 316.430 1.922 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001110502664 747373421 /nfs/dbraw/zinc/37/34/21/747373421.db2.gz QKYZYJWASSHOFQ-UYHMYPTGSA-N 1 2 321.446 1.692 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001110502664 747373430 /nfs/dbraw/zinc/37/34/30/747373430.db2.gz QKYZYJWASSHOFQ-UYHMYPTGSA-N 1 2 321.446 1.692 20 30 DDEDLO CCc1ncc(C(=O)N2CCC[N@H+](CC#CCOC)CC2)s1 ZINC001198316135 747449730 /nfs/dbraw/zinc/44/97/30/747449730.db2.gz UTXFVLWCTMSAQO-UHFFFAOYSA-N 1 2 321.446 1.503 20 30 DDEDLO CCc1ncc(C(=O)N2CCC[N@@H+](CC#CCOC)CC2)s1 ZINC001198316135 747449737 /nfs/dbraw/zinc/44/97/37/747449737.db2.gz UTXFVLWCTMSAQO-UHFFFAOYSA-N 1 2 321.446 1.503 20 30 DDEDLO C=C(C)C[N@@H+]1CCCN(C(=O)C(C)(C)CS(C)(=O)=O)CC1 ZINC001198324665 747452137 /nfs/dbraw/zinc/45/21/37/747452137.db2.gz WFKGHBSYWAJHJO-UHFFFAOYSA-N 1 2 316.467 1.168 20 30 DDEDLO C=C(C)C[N@H+]1CCCN(C(=O)C(C)(C)CS(C)(=O)=O)CC1 ZINC001198324665 747452143 /nfs/dbraw/zinc/45/21/43/747452143.db2.gz WFKGHBSYWAJHJO-UHFFFAOYSA-N 1 2 316.467 1.168 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cncn2C)CC1 ZINC001198336846 747456309 /nfs/dbraw/zinc/45/63/09/747456309.db2.gz HVGWDZHLJAQYJC-AWEZNQCLSA-N 1 2 306.410 1.046 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cncn2C)CC1 ZINC001198336846 747456316 /nfs/dbraw/zinc/45/63/16/747456316.db2.gz HVGWDZHLJAQYJC-AWEZNQCLSA-N 1 2 306.410 1.046 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCOCCOC)CC1 ZINC001198350367 747473866 /nfs/dbraw/zinc/47/38/66/747473866.db2.gz FNPBTBWTDDFOKE-UHFFFAOYSA-N 1 2 304.818 1.326 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCOCCOC)CC1 ZINC001198350367 747473869 /nfs/dbraw/zinc/47/38/69/747473869.db2.gz FNPBTBWTDDFOKE-UHFFFAOYSA-N 1 2 304.818 1.326 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2snnc2C2CC2)C1 ZINC001007536943 752194142 /nfs/dbraw/zinc/19/41/42/752194142.db2.gz BSJFBVWLGWHYRH-GFCCVEGCSA-N 1 2 304.419 1.633 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2snnc2C2CC2)C1 ZINC001007536943 752194144 /nfs/dbraw/zinc/19/41/44/752194144.db2.gz BSJFBVWLGWHYRH-GFCCVEGCSA-N 1 2 304.419 1.633 20 30 DDEDLO C=CCCOCCCCC(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1OC ZINC001212275137 747657252 /nfs/dbraw/zinc/65/72/52/747657252.db2.gz DQDWKMLZWBPYTJ-HUUCEWRRSA-N 1 2 316.417 1.534 20 30 DDEDLO C=CCCOCCCCC(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1OC ZINC001212275137 747657256 /nfs/dbraw/zinc/65/72/56/747657256.db2.gz DQDWKMLZWBPYTJ-HUUCEWRRSA-N 1 2 316.417 1.534 20 30 DDEDLO Cc1ncc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC(C)C)C3)cn1 ZINC001110534056 747871352 /nfs/dbraw/zinc/87/13/52/747871352.db2.gz POROCZTULJMQER-ZACQAIPSSA-N 1 2 312.417 1.666 20 30 DDEDLO Cc1ncc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC(C)C)C3)cn1 ZINC001110534056 747871359 /nfs/dbraw/zinc/87/13/59/747871359.db2.gz POROCZTULJMQER-ZACQAIPSSA-N 1 2 312.417 1.666 20 30 DDEDLO C[N@@H+]1CCN(C(=O)c2cnc(C#N)cn2)[C@@H](c2ccccc2)C1 ZINC001199662236 747940504 /nfs/dbraw/zinc/94/05/04/747940504.db2.gz RZZYPGOXBVYKBX-MRXNPFEDSA-N 1 2 307.357 1.477 20 30 DDEDLO C[N@H+]1CCN(C(=O)c2cnc(C#N)cn2)[C@@H](c2ccccc2)C1 ZINC001199662236 747940507 /nfs/dbraw/zinc/94/05/07/747940507.db2.gz RZZYPGOXBVYKBX-MRXNPFEDSA-N 1 2 307.357 1.477 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ncc3ccccn32)C1 ZINC001031845027 748004356 /nfs/dbraw/zinc/00/43/56/748004356.db2.gz GLUOUJMXJLSBJI-UHFFFAOYSA-N 1 2 304.781 1.748 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001212346809 748045383 /nfs/dbraw/zinc/04/53/83/748045383.db2.gz BAGYXKNZULWPET-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001212346809 748045391 /nfs/dbraw/zinc/04/53/91/748045391.db2.gz BAGYXKNZULWPET-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO CN(C(=O)c1ncc[nH]1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033065887 748167540 /nfs/dbraw/zinc/16/75/40/748167540.db2.gz RATVUOLIWFOCID-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C(=O)c1ncc[nH]1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033065887 748167542 /nfs/dbraw/zinc/16/75/42/748167542.db2.gz RATVUOLIWFOCID-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(C2CCC2)no1 ZINC001124487918 748279617 /nfs/dbraw/zinc/27/96/17/748279617.db2.gz JBXRCQHHBYCZMX-LBPRGKRZSA-N 1 2 322.409 1.524 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001108069457 748302224 /nfs/dbraw/zinc/30/22/24/748302224.db2.gz DGHNQNTXZWTVMW-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001108069457 748302232 /nfs/dbraw/zinc/30/22/32/748302232.db2.gz DGHNQNTXZWTVMW-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2C[NH+](Cc3cncc(F)c3)C2)c1 ZINC001031965965 748317089 /nfs/dbraw/zinc/31/70/89/748317089.db2.gz ZRBVCHSFCXHAOH-UHFFFAOYSA-N 1 2 324.359 1.459 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001124561191 748326430 /nfs/dbraw/zinc/32/64/30/748326430.db2.gz BGOLGUVBPKPKEV-ZDUSSCGKSA-N 1 2 306.410 1.619 20 30 DDEDLO Cn1ccc(CC(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004436164 748422566 /nfs/dbraw/zinc/42/25/66/748422566.db2.gz DROYPGXSXJORBE-INIZCTEOSA-N 1 2 315.421 1.189 20 30 DDEDLO Cn1ccc(CC(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004436164 748422572 /nfs/dbraw/zinc/42/25/72/748422572.db2.gz DROYPGXSXJORBE-INIZCTEOSA-N 1 2 315.421 1.189 20 30 DDEDLO CCn1ncc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004429051 748425151 /nfs/dbraw/zinc/42/51/51/748425151.db2.gz KHJDYQBUKWFCLE-OAHLLOKOSA-N 1 2 316.409 1.138 20 30 DDEDLO CCn1ncc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004429051 748425154 /nfs/dbraw/zinc/42/51/54/748425154.db2.gz KHJDYQBUKWFCLE-OAHLLOKOSA-N 1 2 316.409 1.138 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC1C[NH+](Cc2ccns2)C1 ZINC001032048091 748501487 /nfs/dbraw/zinc/50/14/87/748501487.db2.gz ULIFZKNFIURGAU-GJZGRUSLSA-N 1 2 321.446 1.672 20 30 DDEDLO C[C@@]1(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)CCOC1 ZINC001004589346 748571198 /nfs/dbraw/zinc/57/11/98/748571198.db2.gz CGLNLJBEGDBQTI-DOTOQJQBSA-N 1 2 305.422 1.640 20 30 DDEDLO C[C@@]1(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)CCOC1 ZINC001004589346 748571201 /nfs/dbraw/zinc/57/12/01/748571201.db2.gz CGLNLJBEGDBQTI-DOTOQJQBSA-N 1 2 305.422 1.640 20 30 DDEDLO Cc1ccc(-c2noc(C[NH2+]CCNC(=O)[C@@H](C)C#N)n2)cc1 ZINC001124901656 748627658 /nfs/dbraw/zinc/62/76/58/748627658.db2.gz BHQXUOHDBGKSOC-LBPRGKRZSA-N 1 2 313.361 1.411 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108085788 748753447 /nfs/dbraw/zinc/75/34/47/748753447.db2.gz RZGZPAXVNOCTJV-BDJLRTHQSA-N 1 2 316.409 1.882 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1COC(=O)C1)C2 ZINC001095313809 748909676 /nfs/dbraw/zinc/90/96/76/748909676.db2.gz VGZOTAYFBJSYIA-RNJOBUHISA-N 1 2 312.797 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1COC(=O)C1)C2 ZINC001095313809 748909680 /nfs/dbraw/zinc/90/96/80/748909680.db2.gz VGZOTAYFBJSYIA-RNJOBUHISA-N 1 2 312.797 1.414 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001033243592 749042735 /nfs/dbraw/zinc/04/27/35/749042735.db2.gz HPOBMUCHCHKAKA-ZDUSSCGKSA-N 1 2 309.369 1.308 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001033243592 749042738 /nfs/dbraw/zinc/04/27/38/749042738.db2.gz HPOBMUCHCHKAKA-ZDUSSCGKSA-N 1 2 309.369 1.308 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](OCC)C2CCCC2)C1 ZINC001108099013 749093466 /nfs/dbraw/zinc/09/34/66/749093466.db2.gz DXJGKJXJZPFVBK-WMZOPIPTSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](OCC)C2CCCC2)C1 ZINC001108099013 749093469 /nfs/dbraw/zinc/09/34/69/749093469.db2.gz DXJGKJXJZPFVBK-WMZOPIPTSA-N 1 2 324.465 1.975 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](OC)C2CCC2)C1 ZINC001108100976 749112391 /nfs/dbraw/zinc/11/23/91/749112391.db2.gz BURCLCOJOMPYEP-RDJZCZTQSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](OC)C2CCC2)C1 ZINC001108100976 749112395 /nfs/dbraw/zinc/11/23/95/749112395.db2.gz BURCLCOJOMPYEP-RDJZCZTQSA-N 1 2 308.422 1.032 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCN(c3cc[nH+]c(C)n3)C2)cn1 ZINC001061627716 749125647 /nfs/dbraw/zinc/12/56/47/749125647.db2.gz XOGFHAMBYPMIES-AWEZNQCLSA-N 1 2 321.384 1.418 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ncc(C)o4)C[C@H]32)CCC1 ZINC001114395739 749137329 /nfs/dbraw/zinc/13/73/29/749137329.db2.gz XCBYSJFQSYHNKQ-FOLVSLTJSA-N 1 2 313.401 1.723 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ncc(C)o4)C[C@H]32)CCC1 ZINC001114395739 749137335 /nfs/dbraw/zinc/13/73/35/749137335.db2.gz XCBYSJFQSYHNKQ-FOLVSLTJSA-N 1 2 313.401 1.723 20 30 DDEDLO Cc1nc(N2C[C@H](NC(=O)CSCC#N)C(C)(C)C2)cc[nH+]1 ZINC001114425762 749193949 /nfs/dbraw/zinc/19/39/49/749193949.db2.gz RCRKBMSKJUUPOF-LBPRGKRZSA-N 1 2 319.434 1.373 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114454863 749236620 /nfs/dbraw/zinc/23/66/20/749236620.db2.gz NVXXVLDMERSGBP-CXAGYDPISA-N 1 2 318.421 1.443 20 30 DDEDLO CCOC(=O)c1ccc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)o1 ZINC000878772144 749355462 /nfs/dbraw/zinc/35/54/62/749355462.db2.gz GPOJRWDQUBJLEL-CHWSQXEVSA-N 1 2 305.378 1.874 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cn2cc(Cl)cn2)C1 ZINC001033476853 749400140 /nfs/dbraw/zinc/40/01/40/749400140.db2.gz WLDNZLZBDTVHFL-GFCCVEGCSA-N 1 2 317.220 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cn2cc(Cl)cn2)C1 ZINC001033476853 749400141 /nfs/dbraw/zinc/40/01/41/749400141.db2.gz WLDNZLZBDTVHFL-GFCCVEGCSA-N 1 2 317.220 1.822 20 30 DDEDLO CN(C(=O)c1cccc(-n2cc[nH+]c2)c1)[C@H]1CCN(CC#N)C1 ZINC001033552382 749528567 /nfs/dbraw/zinc/52/85/67/749528567.db2.gz BGQAYCHCIVUQAW-INIZCTEOSA-N 1 2 309.373 1.542 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)CC(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001128705818 749638322 /nfs/dbraw/zinc/63/83/22/749638322.db2.gz USEIWOJVYRPYGE-KGLIPLIRSA-N 1 2 303.410 1.079 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)CC(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001128705818 749638323 /nfs/dbraw/zinc/63/83/23/749638323.db2.gz USEIWOJVYRPYGE-KGLIPLIRSA-N 1 2 303.410 1.079 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CCC3(C)CC3)nn2)C1 ZINC001107226576 749641553 /nfs/dbraw/zinc/64/15/53/749641553.db2.gz XYMPWRAFCUWCOL-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)[C@H](C)CC)nn2)C1 ZINC001107224902 749650925 /nfs/dbraw/zinc/65/09/25/749650925.db2.gz GWBSZTOCVRQWPQ-CHWSQXEVSA-N 1 2 305.426 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001033697917 749727413 /nfs/dbraw/zinc/72/74/13/749727413.db2.gz ZVURUYRSIZPJTB-ZIAGYGMSSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001033697917 749727416 /nfs/dbraw/zinc/72/74/16/749727416.db2.gz ZVURUYRSIZPJTB-ZIAGYGMSSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2COCCO2)C1 ZINC001033761143 749836666 /nfs/dbraw/zinc/83/66/66/749836666.db2.gz GLAQBSLFPIBIBR-QWHCGFSZSA-N 1 2 302.802 1.077 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2COCCO2)C1 ZINC001033761143 749836671 /nfs/dbraw/zinc/83/66/71/749836671.db2.gz GLAQBSLFPIBIBR-QWHCGFSZSA-N 1 2 302.802 1.077 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)c3c[nH]c(C#N)c3)CC2)cc[nH+]1 ZINC001066737027 749853804 /nfs/dbraw/zinc/85/38/04/749853804.db2.gz YSGDVTWKQKPXNQ-AWEZNQCLSA-N 1 2 324.388 1.774 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(CC=C)CCOCC2)C1 ZINC001108368945 761988401 /nfs/dbraw/zinc/98/84/01/761988401.db2.gz HKRHDPGFCKQQDC-QGZVFWFLSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(CC=C)CCOCC2)C1 ZINC001108368945 761988406 /nfs/dbraw/zinc/98/84/06/761988406.db2.gz HKRHDPGFCKQQDC-QGZVFWFLSA-N 1 2 322.449 1.752 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033808724 749917476 /nfs/dbraw/zinc/91/74/76/749917476.db2.gz FPXBAXIHGMIBGS-NSHDSACASA-N 1 2 313.785 1.267 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033808724 749917481 /nfs/dbraw/zinc/91/74/81/749917481.db2.gz FPXBAXIHGMIBGS-NSHDSACASA-N 1 2 313.785 1.267 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cc3n(n2)CCO3)C1 ZINC001033858650 749998737 /nfs/dbraw/zinc/99/87/37/749998737.db2.gz JFOQSDSWYAMQMN-LBPRGKRZSA-N 1 2 324.812 1.564 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cc3n(n2)CCO3)C1 ZINC001033858650 749998741 /nfs/dbraw/zinc/99/87/41/749998741.db2.gz JFOQSDSWYAMQMN-LBPRGKRZSA-N 1 2 324.812 1.564 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccncc2F)[C@@H](O)C1 ZINC001090157963 750131383 /nfs/dbraw/zinc/13/13/83/750131383.db2.gz CZIRFNAUKWYJRM-STQMWFEESA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccncc2F)[C@@H](O)C1 ZINC001090157963 750131388 /nfs/dbraw/zinc/13/13/88/750131388.db2.gz CZIRFNAUKWYJRM-STQMWFEESA-N 1 2 313.760 1.138 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111539744 750165621 /nfs/dbraw/zinc/16/56/21/750165621.db2.gz RCHHZLSHJPWJNT-OLZOCXBDSA-N 1 2 306.410 1.476 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)C=C)C2 ZINC001110897308 750185674 /nfs/dbraw/zinc/18/56/74/750185674.db2.gz HQHAOSRQEINMSR-MCIONIFRSA-N 1 2 305.422 1.222 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)C=C)C2 ZINC001110897308 750185677 /nfs/dbraw/zinc/18/56/77/750185677.db2.gz HQHAOSRQEINMSR-MCIONIFRSA-N 1 2 305.422 1.222 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1occc1Cl)C2 ZINC001110984339 750280837 /nfs/dbraw/zinc/28/08/37/750280837.db2.gz DNHVXQSKWFOZFG-BNOWGMLFSA-N 1 2 322.792 1.918 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1occc1Cl)C2 ZINC001110984339 750280841 /nfs/dbraw/zinc/28/08/41/750280841.db2.gz DNHVXQSKWFOZFG-BNOWGMLFSA-N 1 2 322.792 1.918 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001110989824 750284783 /nfs/dbraw/zinc/28/47/83/750284783.db2.gz XCTFZYHXCFIFRZ-JJAZEVLHSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001110989824 750284786 /nfs/dbraw/zinc/28/47/86/750284786.db2.gz XCTFZYHXCFIFRZ-JJAZEVLHSA-N 1 2 317.437 1.639 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)ccn2C)C1 ZINC001107898786 750591517 /nfs/dbraw/zinc/59/15/17/750591517.db2.gz LFHQXKZGXZBVOQ-QGZVFWFLSA-N 1 2 303.406 1.178 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)ccn2C)C1 ZINC001107898786 750591521 /nfs/dbraw/zinc/59/15/21/750591521.db2.gz LFHQXKZGXZBVOQ-QGZVFWFLSA-N 1 2 303.406 1.178 20 30 DDEDLO C[C@H](CNc1cccc(F)c1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001108136333 750659558 /nfs/dbraw/zinc/65/95/58/750659558.db2.gz RWCPLUXEPWHEJR-SNVBAGLBSA-N 1 2 301.325 1.580 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCOCC(C)C)[C@@H](O)C1 ZINC001099678971 750688012 /nfs/dbraw/zinc/68/80/12/750688012.db2.gz SBOYZBQDQUMFIY-KBPBESRZSA-N 1 2 318.845 1.353 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCOCC(C)C)[C@@H](O)C1 ZINC001099678971 750688013 /nfs/dbraw/zinc/68/80/13/750688013.db2.gz SBOYZBQDQUMFIY-KBPBESRZSA-N 1 2 318.845 1.353 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)CC(C)(C)C)CC2 ZINC001127944227 750806834 /nfs/dbraw/zinc/80/68/34/750806834.db2.gz MXOHOBPZQXLBGN-CYBMUJFWSA-N 1 2 317.437 1.258 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114680801 750983134 /nfs/dbraw/zinc/98/31/34/750983134.db2.gz MROJXICZDLUKTE-IGQOVBAYSA-N 1 2 317.437 1.525 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114680801 750983136 /nfs/dbraw/zinc/98/31/36/750983136.db2.gz MROJXICZDLUKTE-IGQOVBAYSA-N 1 2 317.437 1.525 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]2C[NH2+]Cc2nnc(C)o2)C1 ZINC001035045023 751148829 /nfs/dbraw/zinc/14/88/29/751148829.db2.gz WSAQUMSAEFTMFD-CYBMUJFWSA-N 1 2 304.394 1.815 20 30 DDEDLO Cn1cncc1C(=O)N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001007805010 752364988 /nfs/dbraw/zinc/36/49/88/752364988.db2.gz OGZLCZVAPYEYAO-QGZVFWFLSA-N 1 2 322.412 1.666 20 30 DDEDLO Cn1cncc1C(=O)N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001007805010 752364994 /nfs/dbraw/zinc/36/49/94/752364994.db2.gz OGZLCZVAPYEYAO-QGZVFWFLSA-N 1 2 322.412 1.666 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC001008062285 752526926 /nfs/dbraw/zinc/52/69/26/752526926.db2.gz HHSIJERGUWLETF-JTQLQIEISA-N 1 2 315.295 1.911 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC001008062285 752526932 /nfs/dbraw/zinc/52/69/32/752526932.db2.gz HHSIJERGUWLETF-JTQLQIEISA-N 1 2 315.295 1.911 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn2cccc(C)c2n1 ZINC001032682144 752709249 /nfs/dbraw/zinc/70/92/49/752709249.db2.gz ABGCQVUDVJTBQC-IRXDYDNUSA-N 1 2 322.412 1.494 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn2cccc(C)c2n1 ZINC001032682144 752709253 /nfs/dbraw/zinc/70/92/53/752709253.db2.gz ABGCQVUDVJTBQC-IRXDYDNUSA-N 1 2 322.412 1.494 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CCC)nc1C ZINC001032700406 752741591 /nfs/dbraw/zinc/74/15/91/752741591.db2.gz NNEPAUQPWTYTPZ-GJZGRUSLSA-N 1 2 300.406 1.524 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CCC)nc1C ZINC001032700406 752741597 /nfs/dbraw/zinc/74/15/97/752741597.db2.gz NNEPAUQPWTYTPZ-GJZGRUSLSA-N 1 2 300.406 1.524 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(C2CCCC2)n1 ZINC001032708943 752787527 /nfs/dbraw/zinc/78/75/27/752787527.db2.gz JIJFLIHTRYTEBO-GJZGRUSLSA-N 1 2 310.401 1.666 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(C2CCCC2)n1 ZINC001032708943 752787534 /nfs/dbraw/zinc/78/75/34/752787534.db2.gz JIJFLIHTRYTEBO-GJZGRUSLSA-N 1 2 310.401 1.666 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)n1cnc2ccccc21 ZINC001032712586 752828588 /nfs/dbraw/zinc/82/85/88/752828588.db2.gz CMWDZPWOPVZXMA-PMPSAXMXSA-N 1 2 322.412 1.906 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)n1cnc2ccccc21 ZINC001032712586 752828597 /nfs/dbraw/zinc/82/85/97/752828597.db2.gz CMWDZPWOPVZXMA-PMPSAXMXSA-N 1 2 322.412 1.906 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(-c3ccccc3)o2)[C@@H](O)C1 ZINC001083794756 752890782 /nfs/dbraw/zinc/89/07/82/752890782.db2.gz LLWKTOKMWOAYOP-CABCVRRESA-N 1 2 310.353 1.355 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(-c3ccccc3)o2)[C@@H](O)C1 ZINC001083794756 752890787 /nfs/dbraw/zinc/89/07/87/752890787.db2.gz LLWKTOKMWOAYOP-CABCVRRESA-N 1 2 310.353 1.355 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[NH2+]Cc1nc(N(C)C)no1 ZINC001128084799 753254399 /nfs/dbraw/zinc/25/43/99/753254399.db2.gz DDKORZPVFVCTAG-UHFFFAOYSA-N 1 2 307.398 1.110 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ccncc1C#N ZINC001039767866 762208422 /nfs/dbraw/zinc/20/84/22/762208422.db2.gz RNGSMTRQAKNPMR-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CC)Oc1ccccc1F ZINC001032731395 753382105 /nfs/dbraw/zinc/38/21/05/753382105.db2.gz JHJOEFHXQDPBNL-OFQRWUPVSA-N 1 2 316.376 1.901 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CC)Oc1ccccc1F ZINC001032731395 753382109 /nfs/dbraw/zinc/38/21/09/753382109.db2.gz JHJOEFHXQDPBNL-OFQRWUPVSA-N 1 2 316.376 1.901 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@@H](NC(=O)C(C)(F)F)C1 ZINC001009845782 753406580 /nfs/dbraw/zinc/40/65/80/753406580.db2.gz ATPNLCQKJAUEIL-CYBMUJFWSA-N 1 2 323.343 1.913 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@@H](NC(=O)C(C)(F)F)C1 ZINC001009845782 753406585 /nfs/dbraw/zinc/40/65/85/753406585.db2.gz ATPNLCQKJAUEIL-CYBMUJFWSA-N 1 2 323.343 1.913 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncc(C)cc2OC)C1 ZINC001107999861 753439325 /nfs/dbraw/zinc/43/93/25/753439325.db2.gz JOXLUFPKYUUJJG-QGZVFWFLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncc(C)cc2OC)C1 ZINC001107999861 753439329 /nfs/dbraw/zinc/43/93/29/753439329.db2.gz JOXLUFPKYUUJJG-QGZVFWFLSA-N 1 2 319.405 1.405 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(F)ccc1F ZINC001032744170 753441359 /nfs/dbraw/zinc/44/13/59/753441359.db2.gz YXQONYOLVGXQPX-KBPBESRZSA-N 1 2 320.339 1.652 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(F)ccc1F ZINC001032744170 753441361 /nfs/dbraw/zinc/44/13/61/753441361.db2.gz YXQONYOLVGXQPX-KBPBESRZSA-N 1 2 320.339 1.652 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC2CCC(OC)CC2)C1 ZINC001108445890 762215961 /nfs/dbraw/zinc/21/59/61/762215961.db2.gz VKZMXTUZTUNGBU-HTWSVDAQSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CC2CCC(OC)CC2)C1 ZINC001108445890 762215967 /nfs/dbraw/zinc/21/59/67/762215967.db2.gz VKZMXTUZTUNGBU-HTWSVDAQSA-N 1 2 324.465 1.975 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001108002533 753478982 /nfs/dbraw/zinc/47/89/82/753478982.db2.gz JPJDMMOWLKASTG-CHWSQXEVSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc3n(n2)CCCC3)C1 ZINC001108017755 753521798 /nfs/dbraw/zinc/52/17/98/753521798.db2.gz XIPGTSPOSOAGGT-KRWDZBQOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc3n(n2)CCCC3)C1 ZINC001108017755 753521800 /nfs/dbraw/zinc/52/18/00/753521800.db2.gz XIPGTSPOSOAGGT-KRWDZBQOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001078036174 753668439 /nfs/dbraw/zinc/66/84/39/753668439.db2.gz JVZZUWYJDDTKLE-ZDUSSCGKSA-N 1 2 318.421 1.732 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001078121256 753767584 /nfs/dbraw/zinc/76/75/84/753767584.db2.gz YCMNGOMBRYFUAC-QDIHITRGSA-N 1 2 306.450 1.633 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001078121256 753767587 /nfs/dbraw/zinc/76/75/87/753767587.db2.gz YCMNGOMBRYFUAC-QDIHITRGSA-N 1 2 306.450 1.633 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3ccccc3)CCCC2)C1 ZINC001078132910 753773031 /nfs/dbraw/zinc/77/30/31/753773031.db2.gz PWKYPENPDNRKTP-IAGOWNOFSA-N 1 2 312.413 1.293 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3ccccc3)CCCC2)C1 ZINC001078132910 753773033 /nfs/dbraw/zinc/77/30/33/753773033.db2.gz PWKYPENPDNRKTP-IAGOWNOFSA-N 1 2 312.413 1.293 20 30 DDEDLO Cc1nc(N2CC[C@H](N(C)C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001062908616 753828682 /nfs/dbraw/zinc/82/86/82/753828682.db2.gz UKQQGAVFPFUYBX-ZDUSSCGKSA-N 1 2 310.361 1.336 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2cc(C#N)cc(C(F)(F)F)n2)C[NH2+]1 ZINC001169130439 762246536 /nfs/dbraw/zinc/24/65/36/762246536.db2.gz VJAKERUOSZIWNK-BDAKNGLRSA-N 1 2 314.267 1.287 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@H]2CCc3[nH+]ccn3C2)C1 ZINC001043132301 753980011 /nfs/dbraw/zinc/98/00/11/753980011.db2.gz HGEQNGJQXDCZJF-LBPRGKRZSA-N 1 2 308.813 1.341 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001000904436 754017883 /nfs/dbraw/zinc/01/78/83/754017883.db2.gz MEHCNOBSOYGJGF-GFCCVEGCSA-N 1 2 319.796 1.905 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(OC)c(Cl)c1 ZINC001032798309 754021266 /nfs/dbraw/zinc/02/12/66/754021266.db2.gz XXGFMYXXRQLPBS-RYUDHWBXSA-N 1 2 305.765 1.276 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(OC)c(Cl)c1 ZINC001032798309 754021270 /nfs/dbraw/zinc/02/12/70/754021270.db2.gz XXGFMYXXRQLPBS-RYUDHWBXSA-N 1 2 305.765 1.276 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001010687585 754099869 /nfs/dbraw/zinc/09/98/69/754099869.db2.gz GNKZPDWZBGVQFO-YPMHNXCESA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001010687585 754099875 /nfs/dbraw/zinc/09/98/75/754099875.db2.gz GNKZPDWZBGVQFO-YPMHNXCESA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1nc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)co1 ZINC001010693978 754104677 /nfs/dbraw/zinc/10/46/77/754104677.db2.gz YDBUVYKUFZQVAB-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1nc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)co1 ZINC001010693978 754104682 /nfs/dbraw/zinc/10/46/82/754104682.db2.gz YDBUVYKUFZQVAB-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1nc(NC[C@@H](C)NC(=O)CCn2cc[nH+]c2)ccc1C#N ZINC001108036128 754251561 /nfs/dbraw/zinc/25/15/61/754251561.db2.gz YMRCVUHRPBIUBN-GFCCVEGCSA-N 1 2 312.377 1.465 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H]3CCC[C@@H](C2)[N@H+]3CC#CC)cn1 ZINC001039979372 762296914 /nfs/dbraw/zinc/29/69/14/762296914.db2.gz SBEOGOWUIPQVQU-HDICACEKSA-N 1 2 307.397 1.765 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H]3CCC[C@@H](C2)[N@@H+]3CC#CC)cn1 ZINC001039979372 762296920 /nfs/dbraw/zinc/29/69/20/762296920.db2.gz SBEOGOWUIPQVQU-HDICACEKSA-N 1 2 307.397 1.765 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001064107906 754517261 /nfs/dbraw/zinc/51/72/61/754517261.db2.gz VLBJDTFRSYJTGD-CQSZACIVSA-N 1 2 318.421 1.641 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1(NC(=O)C[C@@H](C)n2cc[nH+]c2)CCC1 ZINC001064309434 754606899 /nfs/dbraw/zinc/60/68/99/754606899.db2.gz WIWNSFKRWMOMQW-CHWSQXEVSA-N 1 2 317.393 1.149 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2(NC(=O)Cc3c[nH]c[nH+]3)CCC2)C1 ZINC001064459572 754683369 /nfs/dbraw/zinc/68/33/69/754683369.db2.gz NEBYHILLKWGUFO-UHFFFAOYSA-N 1 2 316.405 1.464 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3sccc3[nH]2)[C@@H](O)C1 ZINC001083916286 754866421 /nfs/dbraw/zinc/86/64/21/754866421.db2.gz CQHNVUMQYZUZOF-OLZOCXBDSA-N 1 2 303.387 1.028 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3sccc3[nH]2)[C@@H](O)C1 ZINC001083916286 754866423 /nfs/dbraw/zinc/86/64/23/754866423.db2.gz CQHNVUMQYZUZOF-OLZOCXBDSA-N 1 2 303.387 1.028 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001065033905 755037003 /nfs/dbraw/zinc/03/70/03/755037003.db2.gz BZEUVSXLKXVUGZ-HNNXBMFYSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001065033905 755037006 /nfs/dbraw/zinc/03/70/06/755037006.db2.gz BZEUVSXLKXVUGZ-HNNXBMFYSA-N 1 2 324.388 1.712 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cnccn1 ZINC001079372857 755267860 /nfs/dbraw/zinc/26/78/60/755267860.db2.gz AOUPFKZFQRLKMM-CXAGYDPISA-N 1 2 321.384 1.599 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cnccn1 ZINC001079372857 755267863 /nfs/dbraw/zinc/26/78/63/755267863.db2.gz AOUPFKZFQRLKMM-CXAGYDPISA-N 1 2 321.384 1.599 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@]2(C1)CCC[N@H+](Cc1ncccn1)C2 ZINC001040120674 762394443 /nfs/dbraw/zinc/39/44/43/762394443.db2.gz ONNLSMHURMMEHO-YOEHRIQHSA-N 1 2 313.405 1.451 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@]2(C1)CCC[N@@H+](Cc1ncccn1)C2 ZINC001040120674 762394448 /nfs/dbraw/zinc/39/44/48/762394448.db2.gz ONNLSMHURMMEHO-YOEHRIQHSA-N 1 2 313.405 1.451 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001079977113 755676148 /nfs/dbraw/zinc/67/61/48/755676148.db2.gz IATNZUFTKZLQAD-RAIGVLPGSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001079977113 755676152 /nfs/dbraw/zinc/67/61/52/755676152.db2.gz IATNZUFTKZLQAD-RAIGVLPGSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001080311495 755844056 /nfs/dbraw/zinc/84/40/56/755844056.db2.gz GFOCNYSRXSOOMU-AWEZNQCLSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCn3cc(C)nc3C2)C1 ZINC001014936329 756024827 /nfs/dbraw/zinc/02/48/27/756024827.db2.gz RIGJFIUNJTWEAC-KGLIPLIRSA-N 1 2 322.840 1.697 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCn3cc(C)nc3C2)C1 ZINC001014936329 756024831 /nfs/dbraw/zinc/02/48/31/756024831.db2.gz RIGJFIUNJTWEAC-KGLIPLIRSA-N 1 2 322.840 1.697 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2OC)C1 ZINC001080857492 756124439 /nfs/dbraw/zinc/12/44/39/756124439.db2.gz WHNFXWXLXZSHLK-BXKDBHETSA-N 1 2 312.801 1.231 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2OC)C1 ZINC001080857492 756124443 /nfs/dbraw/zinc/12/44/43/756124443.db2.gz WHNFXWXLXZSHLK-BXKDBHETSA-N 1 2 312.801 1.231 20 30 DDEDLO Cn1cncc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015136004 756140085 /nfs/dbraw/zinc/14/00/85/756140085.db2.gz KRCIKSZEUAVFJK-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cncc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015136004 756140087 /nfs/dbraw/zinc/14/00/87/756140087.db2.gz KRCIKSZEUAVFJK-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001015161936 756152980 /nfs/dbraw/zinc/15/29/80/756152980.db2.gz QKBRNPNYHFBKSL-ZDUSSCGKSA-N 1 2 309.369 1.356 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001015161936 756152982 /nfs/dbraw/zinc/15/29/82/756152982.db2.gz QKBRNPNYHFBKSL-ZDUSSCGKSA-N 1 2 309.369 1.356 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001015766300 756531609 /nfs/dbraw/zinc/53/16/09/756531609.db2.gz WSQDTDBZDYBTPM-OAHLLOKOSA-N 1 2 309.373 1.215 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001015766300 756531612 /nfs/dbraw/zinc/53/16/12/756531612.db2.gz WSQDTDBZDYBTPM-OAHLLOKOSA-N 1 2 309.373 1.215 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001015775796 756537601 /nfs/dbraw/zinc/53/76/01/756537601.db2.gz SJTRLRIOCMCTSX-LLVKDONJSA-N 1 2 303.193 1.480 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001015775796 756537606 /nfs/dbraw/zinc/53/76/06/756537606.db2.gz SJTRLRIOCMCTSX-LLVKDONJSA-N 1 2 303.193 1.480 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2cccs2)[C@H](OC)C1 ZINC001082021097 756598423 /nfs/dbraw/zinc/59/84/23/756598423.db2.gz SLDMUAQVNKOQJA-CHWSQXEVSA-N 1 2 306.431 1.474 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2cccs2)[C@H](OC)C1 ZINC001082021097 756598430 /nfs/dbraw/zinc/59/84/30/756598430.db2.gz SLDMUAQVNKOQJA-CHWSQXEVSA-N 1 2 306.431 1.474 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cnon3)C2)s1 ZINC001015876693 756610687 /nfs/dbraw/zinc/61/06/87/756610687.db2.gz NMILXMLZXSQIFG-SECBINFHSA-N 1 2 303.347 1.007 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cnon3)C2)s1 ZINC001015876693 756610688 /nfs/dbraw/zinc/61/06/88/756610688.db2.gz NMILXMLZXSQIFG-SECBINFHSA-N 1 2 303.347 1.007 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001015920774 756651697 /nfs/dbraw/zinc/65/16/97/756651697.db2.gz YHIWAOWZVNOJCD-CYBMUJFWSA-N 1 2 310.829 1.641 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001015920774 756651700 /nfs/dbraw/zinc/65/17/00/756651700.db2.gz YHIWAOWZVNOJCD-CYBMUJFWSA-N 1 2 310.829 1.641 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001015984977 756698255 /nfs/dbraw/zinc/69/82/55/756698255.db2.gz PYYUVQQLABUMCE-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001015984977 756698259 /nfs/dbraw/zinc/69/82/59/756698259.db2.gz PYYUVQQLABUMCE-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3ccncc3c2)[C@H](OC)C1 ZINC001082304855 756728537 /nfs/dbraw/zinc/72/85/37/756728537.db2.gz VAUJZSVHYZHQJU-IAGOWNOFSA-N 1 2 309.369 1.297 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3ccncc3c2)[C@H](OC)C1 ZINC001082304855 756728538 /nfs/dbraw/zinc/72/85/38/756728538.db2.gz VAUJZSVHYZHQJU-IAGOWNOFSA-N 1 2 309.369 1.297 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C[C@H](F)CC)C[C@H]2OC)cn1 ZINC001082333560 756735866 /nfs/dbraw/zinc/73/58/66/756735866.db2.gz NUGBQCODDJHPJB-FVQBIDKESA-N 1 2 319.380 1.240 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C[C@H](F)CC)C[C@H]2OC)cn1 ZINC001082333560 756735869 /nfs/dbraw/zinc/73/58/69/756735869.db2.gz NUGBQCODDJHPJB-FVQBIDKESA-N 1 2 319.380 1.240 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CN2CCc3ccccc32)C1 ZINC001016291691 756909419 /nfs/dbraw/zinc/90/94/19/756909419.db2.gz NJYGATHJKPMAEL-HNNXBMFYSA-N 1 2 319.836 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CN2CCc3ccccc32)C1 ZINC001016291691 756909420 /nfs/dbraw/zinc/90/94/20/756909420.db2.gz NJYGATHJKPMAEL-HNNXBMFYSA-N 1 2 319.836 1.992 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CCF)c1 ZINC001050997754 756951694 /nfs/dbraw/zinc/95/16/94/756951694.db2.gz ZXKHKLIUYSQDIC-HNNXBMFYSA-N 1 2 324.400 1.249 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CCF)c1 ZINC001050997754 756951697 /nfs/dbraw/zinc/95/16/97/756951697.db2.gz ZXKHKLIUYSQDIC-HNNXBMFYSA-N 1 2 324.400 1.249 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001097235770 757008335 /nfs/dbraw/zinc/00/83/35/757008335.db2.gz CVAMJQPDMUJUCS-OKILXGFUSA-N 1 2 310.361 1.299 20 30 DDEDLO Cc1nc[nH]c1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001016424028 757027835 /nfs/dbraw/zinc/02/78/35/757027835.db2.gz HWQSRPWAWOXXRE-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1nc[nH]c1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001016424028 757027845 /nfs/dbraw/zinc/02/78/45/757027845.db2.gz HWQSRPWAWOXXRE-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001097357517 757108133 /nfs/dbraw/zinc/10/81/33/757108133.db2.gz QYPQOZOZVBUZMD-CQSZACIVSA-N 1 2 304.394 1.638 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@H]3CCCC3(F)F)[C@H]2C1 ZINC001083174556 757177898 /nfs/dbraw/zinc/17/78/98/757177898.db2.gz NVBGPHIAKTWLAS-HZSPNIEDSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@H]3CCCC3(F)F)[C@H]2C1 ZINC001083174556 757177901 /nfs/dbraw/zinc/17/79/01/757177901.db2.gz NVBGPHIAKTWLAS-HZSPNIEDSA-N 1 2 312.360 1.357 20 30 DDEDLO N#Cc1cccnc1N1CCCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001097438586 757184604 /nfs/dbraw/zinc/18/46/04/757184604.db2.gz XXHXQWKTKPFICG-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3cc(C)cc(C)c3)[C@H]2C1 ZINC001083183143 757191778 /nfs/dbraw/zinc/19/17/78/757191778.db2.gz PNOZYNYLQHSRSE-ZWKOTPCHSA-N 1 2 312.413 1.391 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3cc(C)cc(C)c3)[C@H]2C1 ZINC001083183143 757191780 /nfs/dbraw/zinc/19/17/80/757191780.db2.gz PNOZYNYLQHSRSE-ZWKOTPCHSA-N 1 2 312.413 1.391 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(OCC(C)C)c2)[C@@H](O)C1 ZINC001084041119 757238878 /nfs/dbraw/zinc/23/88/78/757238878.db2.gz NKOVWFMALGBLEP-SJORKVTESA-N 1 2 316.401 1.130 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(OCC(C)C)c2)[C@@H](O)C1 ZINC001084041119 757238885 /nfs/dbraw/zinc/23/88/85/757238885.db2.gz NKOVWFMALGBLEP-SJORKVTESA-N 1 2 316.401 1.130 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H]2CN(C(=O)c3ncc[nH]3)[C@@H]2C1 ZINC001084330988 757492125 /nfs/dbraw/zinc/49/21/25/757492125.db2.gz DWMXIDVXCIJGPB-HZPDHXFCSA-N 1 2 321.384 1.628 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H]2CN(C(=O)c3ncc[nH]3)[C@@H]2C1 ZINC001084330988 757492132 /nfs/dbraw/zinc/49/21/32/757492132.db2.gz DWMXIDVXCIJGPB-HZPDHXFCSA-N 1 2 321.384 1.628 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)[C@@H]3C2)cn1 ZINC001084334320 757495917 /nfs/dbraw/zinc/49/59/17/757495917.db2.gz ZSYUSUXTPJFKCR-QGPMSJSTSA-N 1 2 324.428 1.792 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)[C@@H]3C2)cn1 ZINC001084334320 757495923 /nfs/dbraw/zinc/49/59/23/757495923.db2.gz ZSYUSUXTPJFKCR-QGPMSJSTSA-N 1 2 324.428 1.792 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001084345914 757502934 /nfs/dbraw/zinc/50/29/34/757502934.db2.gz UIPGXMSEUHDXLK-JKIFEVAISA-N 1 2 312.417 1.386 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001084345914 757502937 /nfs/dbraw/zinc/50/29/37/757502937.db2.gz UIPGXMSEUHDXLK-JKIFEVAISA-N 1 2 312.417 1.386 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1ccc(Br)c(C#N)n1 ZINC001169658493 762581367 /nfs/dbraw/zinc/58/13/67/762581367.db2.gz XLIACGMCSAKWGL-VIFPVBQESA-N 1 2 311.183 1.458 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1ccc(Br)c(C#N)n1 ZINC001169658493 762581370 /nfs/dbraw/zinc/58/13/70/762581370.db2.gz XLIACGMCSAKWGL-VIFPVBQESA-N 1 2 311.183 1.458 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H](C)CCC)CC2=O)C1 ZINC001108521822 762581598 /nfs/dbraw/zinc/58/15/98/762581598.db2.gz JSWNNHRRTQTEPH-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C[C@@H](C)CCC)CC2=O)C1 ZINC001108522515 762583537 /nfs/dbraw/zinc/58/35/37/762583537.db2.gz UCZJFZAQQDJASR-LSDHHAIUSA-N 1 2 321.465 1.790 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001017577594 758045492 /nfs/dbraw/zinc/04/54/92/758045492.db2.gz KUUGPUGOMZVPTJ-BMFZPTHFSA-N 1 2 316.376 1.901 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001017577594 758045498 /nfs/dbraw/zinc/04/54/98/758045498.db2.gz KUUGPUGOMZVPTJ-BMFZPTHFSA-N 1 2 316.376 1.901 20 30 DDEDLO CCn1ccc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)n1 ZINC001084906895 758047049 /nfs/dbraw/zinc/04/70/49/758047049.db2.gz LSKRGEZKRKMSPB-FMKPAKJESA-N 1 2 315.421 1.485 20 30 DDEDLO CCn1ccc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)n1 ZINC001084906895 758047052 /nfs/dbraw/zinc/04/70/52/758047052.db2.gz LSKRGEZKRKMSPB-FMKPAKJESA-N 1 2 315.421 1.485 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)ncn2C ZINC001017677694 758146202 /nfs/dbraw/zinc/14/62/02/758146202.db2.gz SSRZWAXZNFQFJL-GASCZTMLSA-N 1 2 308.385 1.495 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)ncn2C ZINC001017677694 758146208 /nfs/dbraw/zinc/14/62/08/758146208.db2.gz SSRZWAXZNFQFJL-GASCZTMLSA-N 1 2 308.385 1.495 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001017676791 758146520 /nfs/dbraw/zinc/14/65/20/758146520.db2.gz PNSTZYOYECTPRW-BETUJISGSA-N 1 2 324.384 1.810 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001017676791 758146525 /nfs/dbraw/zinc/14/65/25/758146525.db2.gz PNSTZYOYECTPRW-BETUJISGSA-N 1 2 324.384 1.810 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCn2ccnc2C1 ZINC001017681798 758150306 /nfs/dbraw/zinc/15/03/06/758150306.db2.gz SCTYNKLEWJDUAR-HRCADAONSA-N 1 2 312.417 1.144 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCn2ccnc2C1 ZINC001017681798 758150311 /nfs/dbraw/zinc/15/03/11/758150311.db2.gz SCTYNKLEWJDUAR-HRCADAONSA-N 1 2 312.417 1.144 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2cc(C)ccc2O1 ZINC001017733151 758191932 /nfs/dbraw/zinc/19/19/32/758191932.db2.gz HIFDDDLKPQQLPB-ZIFCJYIRSA-N 1 2 324.424 1.997 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2cc(C)ccc2O1 ZINC001017733151 758191936 /nfs/dbraw/zinc/19/19/36/758191936.db2.gz HIFDDDLKPQQLPB-ZIFCJYIRSA-N 1 2 324.424 1.997 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ncccc1C)CCO2 ZINC001053208749 758265847 /nfs/dbraw/zinc/26/58/47/758265847.db2.gz UEYPIXPKWDZQLE-UHFFFAOYSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)n1cccc1)CCO2 ZINC001053221626 758278727 /nfs/dbraw/zinc/27/87/27/758278727.db2.gz QBQQVJLRRHVLDW-HNNXBMFYSA-N 1 2 303.406 1.538 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCCOCC1)CCO2 ZINC001053237424 758294834 /nfs/dbraw/zinc/29/48/34/758294834.db2.gz GOBXRARLAITDCT-HNNXBMFYSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001053273112 758327134 /nfs/dbraw/zinc/32/71/34/758327134.db2.gz LLBSOBOPDPGGEW-STQMWFEESA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccn(C)c1CC)CCO2 ZINC001053429323 758455788 /nfs/dbraw/zinc/45/57/88/758455788.db2.gz MDGUBEKCJBZRBR-UHFFFAOYSA-N 1 2 317.433 1.690 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C[C@H](C)CC)CC2=O)C1 ZINC001108541185 762641976 /nfs/dbraw/zinc/64/19/76/762641976.db2.gz PKUAFRVQGDDQGU-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nsnc21 ZINC001018128800 758567170 /nfs/dbraw/zinc/56/71/70/758567170.db2.gz SWGKVUZDAGRTIL-TXEJJXNPSA-N 1 2 312.398 1.613 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nsnc21 ZINC001018128800 758567175 /nfs/dbraw/zinc/56/71/75/758567175.db2.gz SWGKVUZDAGRTIL-TXEJJXNPSA-N 1 2 312.398 1.613 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(C)nc1)O2 ZINC001053573810 758583421 /nfs/dbraw/zinc/58/34/21/758583421.db2.gz MULIGUQQDALZTA-INIZCTEOSA-N 1 2 315.417 1.929 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1CC(F)(F)C1)O2 ZINC001053585142 758600369 /nfs/dbraw/zinc/60/03/69/758600369.db2.gz CBGIFMXCLWJYQR-LBPRGKRZSA-N 1 2 300.349 1.567 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1[nH]ccc1C)O2 ZINC001053597958 758619276 /nfs/dbraw/zinc/61/92/76/758619276.db2.gz LYUKTDVCBMNXNP-CQSZACIVSA-N 1 2 303.406 1.862 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1csc(C)n1)O2 ZINC001053649839 758663065 /nfs/dbraw/zinc/66/30/65/758663065.db2.gz YEGJUUCWZOUWTK-LBPRGKRZSA-N 1 2 307.419 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c[nH]cc1C)O2 ZINC001053695305 758705150 /nfs/dbraw/zinc/70/51/50/758705150.db2.gz CNOFIBCVUPUCHR-CQSZACIVSA-N 1 2 303.406 1.862 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C(C)C)CC2)C1 ZINC001065704125 758705614 /nfs/dbraw/zinc/70/56/14/758705614.db2.gz IVWBALOEWOJTOA-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CC13CCOCC3)O2 ZINC001053705328 758713107 /nfs/dbraw/zinc/71/31/07/758713107.db2.gz ZNYNYPGLQTUOGJ-LSDHHAIUSA-N 1 2 320.433 1.339 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001018312536 758721359 /nfs/dbraw/zinc/72/13/59/758721359.db2.gz GMSACGRHFHWPBP-BETUJISGSA-N 1 2 311.341 1.455 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001018312536 758721366 /nfs/dbraw/zinc/72/13/66/758721366.db2.gz GMSACGRHFHWPBP-BETUJISGSA-N 1 2 311.341 1.455 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccnc(F)c1)CO2 ZINC001053756175 758772909 /nfs/dbraw/zinc/77/29/09/758772909.db2.gz QHJKHDOOSNGJSW-ZDUSSCGKSA-N 1 2 305.353 1.370 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@H]1COC2(C[NH+](CCCF)C2)C1 ZINC001053830379 758860581 /nfs/dbraw/zinc/86/05/81/758860581.db2.gz ZBJQYZKFHWBOSZ-CQSZACIVSA-N 1 2 321.396 1.607 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(CC)s1)CO2 ZINC001053864085 758896274 /nfs/dbraw/zinc/89/62/74/758896274.db2.gz VMUONUUJLBJSPE-ZDUSSCGKSA-N 1 2 318.442 1.907 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cn(C(C)C)cn1)CO2 ZINC001053882089 758916150 /nfs/dbraw/zinc/91/61/50/758916150.db2.gz SJXZKWHDBVHVJG-AWEZNQCLSA-N 1 2 316.405 1.060 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc[nH]c1CCC)CO2 ZINC001053901708 758933504 /nfs/dbraw/zinc/93/35/04/758933504.db2.gz NIQOSKWEURFDBG-AWEZNQCLSA-N 1 2 315.417 1.564 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)C(CC)CC)CC2=O)C1 ZINC001108553881 762667078 /nfs/dbraw/zinc/66/70/78/762667078.db2.gz XYPBZOSUEVCXMX-HIFRSBDPSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001065971841 758966431 /nfs/dbraw/zinc/96/64/31/758966431.db2.gz BVTXHUBDMPSTCB-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001065971841 758966439 /nfs/dbraw/zinc/96/64/39/758966439.db2.gz BVTXHUBDMPSTCB-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cncc(Cl)c1)CO2 ZINC001053956038 758993357 /nfs/dbraw/zinc/99/33/57/758993357.db2.gz XXZCIKXBVSJTEM-AWEZNQCLSA-N 1 2 321.808 1.884 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc3c([nH]1)CCC3)CO2 ZINC001053979887 759018846 /nfs/dbraw/zinc/01/88/46/759018846.db2.gz ZNCNBQWQRGZVQK-AWEZNQCLSA-N 1 2 315.417 1.653 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1cccc(F)c1)CO2 ZINC001054001106 759047594 /nfs/dbraw/zinc/04/75/94/759047594.db2.gz PVWFTJCKPKUZKK-INIZCTEOSA-N 1 2 318.392 1.904 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc3c(c1)CCC3)CO2 ZINC001054004877 759051935 /nfs/dbraw/zinc/05/19/35/759051935.db2.gz ZCUAREMTEXXQQS-SFHVURJKSA-N 1 2 324.424 1.772 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1CC1(F)F ZINC001054040022 759098702 /nfs/dbraw/zinc/09/87/02/759098702.db2.gz QFKAKWNJPXXEOT-HUUCEWRRSA-N 1 2 304.340 1.818 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1CC1(F)F ZINC001054040022 759098708 /nfs/dbraw/zinc/09/87/08/759098708.db2.gz QFKAKWNJPXXEOT-HUUCEWRRSA-N 1 2 304.340 1.818 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1c(C)ncn1C ZINC001054044137 759101644 /nfs/dbraw/zinc/10/16/44/759101644.db2.gz SEWDDSQUZZHYQK-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1c(C)ncn1C ZINC001054044137 759101650 /nfs/dbraw/zinc/10/16/50/759101650.db2.gz SEWDDSQUZZHYQK-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C1CC(OC)C1 ZINC001054043706 759102261 /nfs/dbraw/zinc/10/22/61/759102261.db2.gz HARRQXWOENSWKZ-LXPRWKDFSA-N 1 2 312.413 1.588 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C1CC(OC)C1 ZINC001054043706 759102266 /nfs/dbraw/zinc/10/22/66/759102266.db2.gz HARRQXWOENSWKZ-LXPRWKDFSA-N 1 2 312.413 1.588 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnco1 ZINC001054049277 759104519 /nfs/dbraw/zinc/10/45/19/759104519.db2.gz UEDARWBQQOKCNF-INIZCTEOSA-N 1 2 309.369 1.855 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnco1 ZINC001054049277 759104524 /nfs/dbraw/zinc/10/45/24/759104524.db2.gz UEDARWBQQOKCNF-INIZCTEOSA-N 1 2 309.369 1.855 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccn(CC)n1 ZINC001054055198 759109754 /nfs/dbraw/zinc/10/97/54/759109754.db2.gz GUHOUTUVCJOMSI-QGZVFWFLSA-N 1 2 322.412 1.693 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccn(CC)n1 ZINC001054055198 759109758 /nfs/dbraw/zinc/10/97/58/759109758.db2.gz GUHOUTUVCJOMSI-QGZVFWFLSA-N 1 2 322.412 1.693 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(C)n(C)n3)cc2C1 ZINC001054257330 759354528 /nfs/dbraw/zinc/35/45/28/759354528.db2.gz GWBZSUTYZSAZPU-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(C)n(C)n3)cc2C1 ZINC001054257330 759354536 /nfs/dbraw/zinc/35/45/36/759354536.db2.gz GWBZSUTYZSAZPU-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085555854 759699083 /nfs/dbraw/zinc/69/90/83/759699083.db2.gz BSQKGGKDSQGELI-SWLSCSKDSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085555854 759699088 /nfs/dbraw/zinc/69/90/88/759699088.db2.gz BSQKGGKDSQGELI-SWLSCSKDSA-N 1 2 324.388 1.584 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001019232017 759703018 /nfs/dbraw/zinc/70/30/18/759703018.db2.gz FCUORMIKVZCWHT-LBPRGKRZSA-N 1 2 306.410 1.925 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001066285015 759856003 /nfs/dbraw/zinc/85/60/03/759856003.db2.gz JMOCNDPQHISOFL-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)n([C@H](C)C2CC2)n1 ZINC001085684761 760009045 /nfs/dbraw/zinc/00/90/45/760009045.db2.gz ARNXIXBGTBXHSH-GDBMZVCRSA-N 1 2 314.433 1.942 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)n([C@H](C)C2CC2)n1 ZINC001085684761 760009054 /nfs/dbraw/zinc/00/90/54/760009054.db2.gz ARNXIXBGTBXHSH-GDBMZVCRSA-N 1 2 314.433 1.942 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001069215015 767920662 /nfs/dbraw/zinc/92/06/62/767920662.db2.gz NIAXMOFBKUCIOC-XHDPSFHLSA-N 1 2 324.388 1.629 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-n2ccnc2)cc1 ZINC001085746973 760148345 /nfs/dbraw/zinc/14/83/45/760148345.db2.gz VJWKYEHNJNQCGS-QGZVFWFLSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-n2ccnc2)cc1 ZINC001085746973 760148347 /nfs/dbraw/zinc/14/83/47/760148347.db2.gz VJWKYEHNJNQCGS-QGZVFWFLSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[NH2+][C@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@@H]1C ZINC001054967778 760242637 /nfs/dbraw/zinc/24/26/37/760242637.db2.gz ZJTDBGUXRBPQHY-WFASDCNBSA-N 1 2 309.373 1.155 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001054975605 760249606 /nfs/dbraw/zinc/24/96/06/760249606.db2.gz DQYLSHMWKVJPRO-NWDGAFQWSA-N 1 2 306.410 1.781 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(C(C)C)nc1C ZINC001085857985 760379275 /nfs/dbraw/zinc/37/92/75/760379275.db2.gz LYZZFIMARFBRAI-CQSZACIVSA-N 1 2 300.406 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(C(C)C)nc1C ZINC001085857985 760379287 /nfs/dbraw/zinc/37/92/87/760379287.db2.gz LYZZFIMARFBRAI-CQSZACIVSA-N 1 2 300.406 1.688 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001108215353 760399019 /nfs/dbraw/zinc/39/90/19/760399019.db2.gz DTPZBZYYWRBMAW-YJBOKZPZSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001108215353 760399026 /nfs/dbraw/zinc/39/90/26/760399026.db2.gz DTPZBZYYWRBMAW-YJBOKZPZSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(CF)CCOCC2)C1 ZINC001108215767 760416111 /nfs/dbraw/zinc/41/61/11/760416111.db2.gz IOCCXLYULVLUQJ-HNNXBMFYSA-N 1 2 314.401 1.146 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(CF)CCOCC2)C1 ZINC001108215767 760416115 /nfs/dbraw/zinc/41/61/15/760416115.db2.gz IOCCXLYULVLUQJ-HNNXBMFYSA-N 1 2 314.401 1.146 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH+]cn1C)Nc1ncccc1C#N ZINC001097985634 760471093 /nfs/dbraw/zinc/47/10/93/760471093.db2.gz VFPVFQAADSTPSW-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1scc2c1OCCO2 ZINC001085906462 760500508 /nfs/dbraw/zinc/50/05/08/760500508.db2.gz JCLXFMHDKOXEOL-LBPRGKRZSA-N 1 2 320.414 1.689 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1scc2c1OCCO2 ZINC001085906462 760500515 /nfs/dbraw/zinc/50/05/15/760500515.db2.gz JCLXFMHDKOXEOL-LBPRGKRZSA-N 1 2 320.414 1.689 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn([C@H](C)CC)c1C ZINC001085918216 760527215 /nfs/dbraw/zinc/52/72/15/760527215.db2.gz XILYQWWWFWAGOZ-HIFRSBDPSA-N 1 2 302.422 1.942 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn([C@H](C)CC)c1C ZINC001085918216 760527222 /nfs/dbraw/zinc/52/72/22/760527222.db2.gz XILYQWWWFWAGOZ-HIFRSBDPSA-N 1 2 302.422 1.942 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2ccccn2)nc1 ZINC001085929816 760556420 /nfs/dbraw/zinc/55/64/20/760556420.db2.gz HGRQHKZESJPTQT-KRWDZBQOSA-N 1 2 320.396 1.804 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2ccccn2)nc1 ZINC001085929816 760556427 /nfs/dbraw/zinc/55/64/27/760556427.db2.gz HGRQHKZESJPTQT-KRWDZBQOSA-N 1 2 320.396 1.804 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1sc(COC)nc1C ZINC001085946884 760583258 /nfs/dbraw/zinc/58/32/58/760583258.db2.gz UTUFCRIIVBPNON-GFCCVEGCSA-N 1 2 309.435 1.930 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1sc(COC)nc1C ZINC001085946884 760583265 /nfs/dbraw/zinc/58/32/65/760583265.db2.gz UTUFCRIIVBPNON-GFCCVEGCSA-N 1 2 309.435 1.930 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(C)nn(C)c2n1 ZINC001085986862 760656848 /nfs/dbraw/zinc/65/68/48/760656848.db2.gz OMCBTSSSKVAIFN-ZDUSSCGKSA-N 1 2 311.389 1.056 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(C)nn(C)c2n1 ZINC001085986862 760656855 /nfs/dbraw/zinc/65/68/55/760656855.db2.gz OMCBTSSSKVAIFN-ZDUSSCGKSA-N 1 2 311.389 1.056 20 30 DDEDLO C[C@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1cccc(F)c1C#N ZINC001098007170 760756084 /nfs/dbraw/zinc/75/60/84/760756084.db2.gz VDKCOJSLBDOYKQ-SNVBAGLBSA-N 1 2 301.325 1.580 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)CCCC)C1 ZINC001131304778 767980357 /nfs/dbraw/zinc/98/03/57/767980357.db2.gz SULCLBIJIIIYNU-ZNMIVQPWSA-N 1 2 321.421 1.469 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)CCCC)C1 ZINC001131304778 767980358 /nfs/dbraw/zinc/98/03/58/767980358.db2.gz SULCLBIJIIIYNU-ZNMIVQPWSA-N 1 2 321.421 1.469 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1n[nH]c(C2CC2)c1Cl ZINC001038464698 761141648 /nfs/dbraw/zinc/14/16/48/761141648.db2.gz HWTOTYQBKHLTSX-NSHDSACASA-N 1 2 306.797 1.768 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1n[nH]c(C2CC2)c1Cl ZINC001038464698 761141655 /nfs/dbraw/zinc/14/16/55/761141655.db2.gz HWTOTYQBKHLTSX-NSHDSACASA-N 1 2 306.797 1.768 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)c2n[nH]cc2F)c1 ZINC001038675326 761275169 /nfs/dbraw/zinc/27/51/69/761275169.db2.gz YQCNKHCWYQMEJA-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2n[nH]cc2F)c1 ZINC001038675326 761275173 /nfs/dbraw/zinc/27/51/73/761275173.db2.gz YQCNKHCWYQMEJA-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ocnc2C)c1 ZINC001038684507 761285371 /nfs/dbraw/zinc/28/53/71/761285371.db2.gz KDUOUWBQWRNSGC-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ocnc2C)c1 ZINC001038684507 761285376 /nfs/dbraw/zinc/28/53/76/761285376.db2.gz KDUOUWBQWRNSGC-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cn(C)nc1C ZINC001038714353 761316857 /nfs/dbraw/zinc/31/68/57/761316857.db2.gz NVYNBWQNHVWOEZ-OAGGEKHMSA-N 1 2 318.421 1.010 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cn(C)nc1C ZINC001038714353 761316865 /nfs/dbraw/zinc/31/68/65/761316865.db2.gz NVYNBWQNHVWOEZ-OAGGEKHMSA-N 1 2 318.421 1.010 20 30 DDEDLO Cc1nc(NC[C@H]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001069452885 768026906 /nfs/dbraw/zinc/02/69/06/768026906.db2.gz BLBPERDKYMHPIU-JSGCOSHPSA-N 1 2 324.388 1.995 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001038752984 761365644 /nfs/dbraw/zinc/36/56/44/761365644.db2.gz JNYXMAFTKGUZEQ-ZBFHGGJFSA-N 1 2 302.349 1.343 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001038752984 761365651 /nfs/dbraw/zinc/36/56/51/761365651.db2.gz JNYXMAFTKGUZEQ-ZBFHGGJFSA-N 1 2 302.349 1.343 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(OC(C)C)n1 ZINC001038859086 761482068 /nfs/dbraw/zinc/48/20/68/761482068.db2.gz WRAGZGXJFMYDNR-AWEZNQCLSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(OC(C)C)n1 ZINC001038859086 761482074 /nfs/dbraw/zinc/48/20/74/761482074.db2.gz WRAGZGXJFMYDNR-AWEZNQCLSA-N 1 2 301.390 1.696 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001038859320 761483970 /nfs/dbraw/zinc/48/39/70/761483970.db2.gz DGFDFOKHDQKVAG-QWHCGFSZSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001038859320 761483972 /nfs/dbraw/zinc/48/39/72/761483972.db2.gz DGFDFOKHDQKVAG-QWHCGFSZSA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(CCC)c1C1CC1 ZINC001038898802 761523840 /nfs/dbraw/zinc/52/38/40/761523840.db2.gz DBNMXBBSBAOMCY-OAHLLOKOSA-N 1 2 314.433 1.998 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(CCC)c1C1CC1 ZINC001038898802 761523845 /nfs/dbraw/zinc/52/38/45/761523845.db2.gz DBNMXBBSBAOMCY-OAHLLOKOSA-N 1 2 314.433 1.998 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cnccc2C)cn1 ZINC001038942601 761575024 /nfs/dbraw/zinc/57/50/24/761575024.db2.gz XTZCWVBRYMZQAZ-SFHVURJKSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cnccc2C)cn1 ZINC001038942601 761575033 /nfs/dbraw/zinc/57/50/33/761575033.db2.gz XTZCWVBRYMZQAZ-SFHVURJKSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccnc2)nc1 ZINC001038943673 761577008 /nfs/dbraw/zinc/57/70/08/761577008.db2.gz UCXMACTXMXIJQW-MRXNPFEDSA-N 1 2 306.369 1.462 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccnc2)nc1 ZINC001038943673 761577011 /nfs/dbraw/zinc/57/70/11/761577011.db2.gz UCXMACTXMXIJQW-MRXNPFEDSA-N 1 2 306.369 1.462 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(OC)c1OC ZINC001038953253 761586688 /nfs/dbraw/zinc/58/66/88/761586688.db2.gz LWWHQIDCKYNTMN-ZDUSSCGKSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(OC)c1OC ZINC001038953253 761586693 /nfs/dbraw/zinc/58/66/93/761586693.db2.gz LWWHQIDCKYNTMN-ZDUSSCGKSA-N 1 2 302.374 1.531 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)c2c(C)noc2C)C1 ZINC001108279600 761680935 /nfs/dbraw/zinc/68/09/35/761680935.db2.gz GPBGWLFULBOYJN-SJCJKPOMSA-N 1 2 321.421 1.788 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)c2c(C)noc2C)C1 ZINC001108279600 761680937 /nfs/dbraw/zinc/68/09/37/761680937.db2.gz GPBGWLFULBOYJN-SJCJKPOMSA-N 1 2 321.421 1.788 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc(OC)c(Cl)c1 ZINC001039046056 761685852 /nfs/dbraw/zinc/68/58/52/761685852.db2.gz VWDRDPSVKSLZDJ-GFCCVEGCSA-N 1 2 307.781 1.571 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc(OC)c(Cl)c1 ZINC001039046056 761685856 /nfs/dbraw/zinc/68/58/56/761685856.db2.gz VWDRDPSVKSLZDJ-GFCCVEGCSA-N 1 2 307.781 1.571 20 30 DDEDLO N#Cc1ccc(N2CCCN(C(=O)CCc3[nH]cc[nH+]3)CC2)nc1 ZINC001057134078 762717567 /nfs/dbraw/zinc/71/75/67/762717567.db2.gz BJRLFCHHYDNWAD-UHFFFAOYSA-N 1 2 324.388 1.348 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@@H]2C[C@H]2C(=O)OCC)n1 ZINC001169916868 762753427 /nfs/dbraw/zinc/75/34/27/762753427.db2.gz CCBLEWINJRIWOP-GOEBONIOSA-N 1 2 319.405 1.164 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@@H]2C[C@H]2C(=O)OCC)n1 ZINC001169916868 762753434 /nfs/dbraw/zinc/75/34/34/762753434.db2.gz CCBLEWINJRIWOP-GOEBONIOSA-N 1 2 319.405 1.164 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001108588026 762773113 /nfs/dbraw/zinc/77/31/13/762773113.db2.gz DNPXBNDGDOBVAY-GXTWGEPZSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001108589163 762773901 /nfs/dbraw/zinc/77/39/01/762773901.db2.gz XFHGDGZYKPUTPX-JSGCOSHPSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@H](CC(=O)N[C@@H](C)CNc1cnc(C#N)cn1)n1cc[nH+]c1 ZINC001108721410 762892184 /nfs/dbraw/zinc/89/21/84/762892184.db2.gz GESYSRGEBQLDEK-NWDGAFQWSA-N 1 2 313.365 1.113 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001108773983 762920256 /nfs/dbraw/zinc/92/02/56/762920256.db2.gz ZKDAWARCWRKWGI-CYZMBNFOSA-N 1 2 310.361 1.397 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001108773983 762920259 /nfs/dbraw/zinc/92/02/59/762920259.db2.gz ZKDAWARCWRKWGI-CYZMBNFOSA-N 1 2 310.361 1.397 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001108855511 763013563 /nfs/dbraw/zinc/01/35/63/763013563.db2.gz SFFOKRCKJRURGH-STQMWFEESA-N 1 2 306.410 1.707 20 30 DDEDLO Cc1cc(N(C)C[C@H](C)NC(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001108881046 763037104 /nfs/dbraw/zinc/03/71/04/763037104.db2.gz WCRJCMWXSFQHPD-PWSUYJOCSA-N 1 2 301.394 1.763 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2CCCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001050053517 763067506 /nfs/dbraw/zinc/06/75/06/763067506.db2.gz NOEBYKZKJXKJPW-QJPTWQEYSA-N 1 2 306.303 1.833 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2CCCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001050053517 763067508 /nfs/dbraw/zinc/06/75/08/763067508.db2.gz NOEBYKZKJXKJPW-QJPTWQEYSA-N 1 2 306.303 1.833 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1occc1C)C2 ZINC001108925883 763114979 /nfs/dbraw/zinc/11/49/79/763114979.db2.gz HUCRWKYQSFFDIS-RDBSUJKOSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1occc1C)C2 ZINC001108925883 763114989 /nfs/dbraw/zinc/11/49/89/763114989.db2.gz HUCRWKYQSFFDIS-RDBSUJKOSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@]2(CC[N@@H+](Cc3ncnn3C)C2)C1 ZINC001041543534 763121168 /nfs/dbraw/zinc/12/11/68/763121168.db2.gz JQTBJJFWXPKSBW-KRWDZBQOSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@]2(CC[N@H+](Cc3ncnn3C)C2)C1 ZINC001041543534 763121170 /nfs/dbraw/zinc/12/11/70/763121170.db2.gz JQTBJJFWXPKSBW-KRWDZBQOSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@H](CC)C(C)C)CC1 ZINC001131394906 768112328 /nfs/dbraw/zinc/11/23/28/768112328.db2.gz KGZVEWRJJJDVMN-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@H](CC)C(C)C)CC1 ZINC001131394906 768112332 /nfs/dbraw/zinc/11/23/32/768112332.db2.gz KGZVEWRJJJDVMN-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C#N)C2 ZINC001109080470 763317582 /nfs/dbraw/zinc/31/75/82/763317582.db2.gz VRXGSDHOLSVZPJ-CRWXNKLISA-N 1 2 320.437 1.172 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C#N)C2 ZINC001109080470 763317587 /nfs/dbraw/zinc/31/75/87/763317587.db2.gz VRXGSDHOLSVZPJ-CRWXNKLISA-N 1 2 320.437 1.172 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001109102292 763332129 /nfs/dbraw/zinc/33/21/29/763332129.db2.gz PPFBNAMHRCEIBK-LBPRGKRZSA-N 1 2 318.421 1.383 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)C1CC(Nc2ccc(C#N)nc2)C1 ZINC001069764280 768132115 /nfs/dbraw/zinc/13/21/15/768132115.db2.gz LGWHGCSHRVKIAO-UHFFFAOYSA-N 1 2 310.361 1.320 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccc(F)cn1 ZINC001109251686 763516423 /nfs/dbraw/zinc/51/64/23/763516423.db2.gz GWAMHAIVOXWVJM-IKGGRYGDSA-N 1 2 313.376 1.856 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(F)cn1 ZINC001109251686 763516429 /nfs/dbraw/zinc/51/64/29/763516429.db2.gz GWAMHAIVOXWVJM-IKGGRYGDSA-N 1 2 313.376 1.856 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)C ZINC001109605888 763867530 /nfs/dbraw/zinc/86/75/30/763867530.db2.gz WKGIIIOYYHQPKF-ILXRZTDVSA-N 1 2 321.465 1.692 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)C ZINC001109605888 763867538 /nfs/dbraw/zinc/86/75/38/763867538.db2.gz WKGIIIOYYHQPKF-ILXRZTDVSA-N 1 2 321.465 1.692 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C)cncc2C)[C@@H](O)C1 ZINC001090428746 764083299 /nfs/dbraw/zinc/08/32/99/764083299.db2.gz XBWVVQDWQYZTAU-KGLIPLIRSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)cncc2C)[C@@H](O)C1 ZINC001090428746 764083311 /nfs/dbraw/zinc/08/33/11/764083311.db2.gz XBWVVQDWQYZTAU-KGLIPLIRSA-N 1 2 323.824 1.616 20 30 DDEDLO Cc1nc(NC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C2CC2)cc[nH+]1 ZINC001109830687 764115177 /nfs/dbraw/zinc/11/51/77/764115177.db2.gz IHTBKGIJTLFUOV-CQSZACIVSA-N 1 2 310.361 1.605 20 30 DDEDLO N#Cc1ccc(NC[C@@H](NC(=O)CCn2cc[nH+]c2)C2CC2)nc1 ZINC001109954181 764235927 /nfs/dbraw/zinc/23/59/27/764235927.db2.gz KCLXPICHDPYUPB-OAHLLOKOSA-N 1 2 324.388 1.547 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H](Nc3cc[nH+]c(C)n3)C2)cn1 ZINC001057673076 764294314 /nfs/dbraw/zinc/29/43/14/764294314.db2.gz RWOUUOBPPMSFLZ-MRXNPFEDSA-N 1 2 321.384 1.878 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn([C@@H]3CCOC3)nc2C)C1 ZINC001042722758 764388216 /nfs/dbraw/zinc/38/82/16/764388216.db2.gz PLSFGJBLZFKPII-CQSZACIVSA-N 1 2 318.421 1.485 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cnn3c2C[N@H+](CC)CC3)cn1 ZINC001069880529 768210170 /nfs/dbraw/zinc/21/01/70/768210170.db2.gz DKAAFKCJLHQKTO-UHFFFAOYSA-N 1 2 309.373 1.025 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cnn3c2C[N@@H+](CC)CC3)cn1 ZINC001069880529 768210173 /nfs/dbraw/zinc/21/01/73/768210173.db2.gz DKAAFKCJLHQKTO-UHFFFAOYSA-N 1 2 309.373 1.025 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnc(OC)c1 ZINC001050999825 764403418 /nfs/dbraw/zinc/40/34/18/764403418.db2.gz PZUYKCAZHAVETA-CQSZACIVSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnc(OC)c1 ZINC001050999825 764403425 /nfs/dbraw/zinc/40/34/25/764403425.db2.gz PZUYKCAZHAVETA-CQSZACIVSA-N 1 2 305.378 1.097 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)nc2)CC[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067408204 764430886 /nfs/dbraw/zinc/43/08/86/764430886.db2.gz QHBJQBZERZKIFP-DOMZBBRYSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(OC)ccn1 ZINC001051059612 764462916 /nfs/dbraw/zinc/46/29/16/764462916.db2.gz GNVKSGMKSVSFSG-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(OC)ccn1 ZINC001051059612 764462924 /nfs/dbraw/zinc/46/29/24/764462924.db2.gz GNVKSGMKSVSFSG-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051060546 764464660 /nfs/dbraw/zinc/46/46/60/764464660.db2.gz OYRCDKANFVGIJE-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccc(C#N)cn1 ZINC001051069168 764473076 /nfs/dbraw/zinc/47/30/76/764473076.db2.gz VPPHSRWTFKLUBM-RISCZKNCSA-N 1 2 310.361 1.002 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001051260536 764683963 /nfs/dbraw/zinc/68/39/63/764683963.db2.gz PMIGJTZIDRILKQ-ZIAGYGMSSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccccc1N(C)C ZINC001051268281 764691842 /nfs/dbraw/zinc/69/18/42/764691842.db2.gz IBHZCHRBOSZZDO-OAHLLOKOSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccccc1N(C)C ZINC001051268281 764691846 /nfs/dbraw/zinc/69/18/46/764691846.db2.gz IBHZCHRBOSZZDO-OAHLLOKOSA-N 1 2 317.433 1.759 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2ccc(C)nc2C)CC1 ZINC001112800990 764789933 /nfs/dbraw/zinc/78/99/33/764789933.db2.gz AWCJZBIAZVUABB-SFHVURJKSA-N 1 2 317.433 1.670 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C(C)C)n(C)n2)CC1 ZINC001112837648 764846868 /nfs/dbraw/zinc/84/68/68/764846868.db2.gz PYSLWSIPEUYDJT-UHFFFAOYSA-N 1 2 320.437 1.504 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(c3ccccn3)CC2)CC1 ZINC001112852050 764875496 /nfs/dbraw/zinc/87/54/96/764875496.db2.gz NPOYHZNNJIOHIF-UHFFFAOYSA-N 1 2 315.417 1.460 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c[nH]nc2-c2cccnc2)C1 ZINC001044063515 765265615 /nfs/dbraw/zinc/26/56/15/765265615.db2.gz JVIZHNLSPSNMEV-UHFFFAOYSA-N 1 2 311.389 1.804 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CCC(C)(C)C2)[C@@H](n2ccnn2)C1 ZINC001070017799 768278778 /nfs/dbraw/zinc/27/87/78/768278778.db2.gz BEWGMXRUDFIJNA-ZNMIVQPWSA-N 1 2 315.421 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCC(C)(C)C2)[C@@H](n2ccnn2)C1 ZINC001070017799 768278780 /nfs/dbraw/zinc/27/87/80/768278780.db2.gz BEWGMXRUDFIJNA-ZNMIVQPWSA-N 1 2 315.421 1.079 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C[C@@H]3C=CCC3)C2)CC1 ZINC001051977816 765338027 /nfs/dbraw/zinc/33/80/27/765338027.db2.gz HBUMSZDENMEKCV-MSOLQXFVSA-N 1 2 315.461 1.585 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(CC)nnc2C)CC1 ZINC001113146735 765339028 /nfs/dbraw/zinc/33/90/28/765339028.db2.gz OYSDVFAHYBYHGH-UHFFFAOYSA-N 1 2 318.421 1.308 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3n[nH]c(C)c3C)C2)CC1 ZINC001052003693 765370770 /nfs/dbraw/zinc/37/07/70/765370770.db2.gz ZHQKMWHDNJZLIH-OAHLLOKOSA-N 1 2 317.437 1.045 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cc(F)c[nH]3)C2)CC1 ZINC001052052137 765424093 /nfs/dbraw/zinc/42/40/93/765424093.db2.gz IZHPEBLRTDWNGR-AWEZNQCLSA-N 1 2 306.385 1.172 20 30 DDEDLO Cc1cc(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)cs1 ZINC001052098212 765469117 /nfs/dbraw/zinc/46/91/17/765469117.db2.gz FNJOPQYYAGOWIL-OAHLLOKOSA-N 1 2 318.446 1.412 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCOc2ccc(F)cc2)CC1 ZINC001113289848 765530679 /nfs/dbraw/zinc/53/06/79/765530679.db2.gz JERNEXAOQZDOTM-UHFFFAOYSA-N 1 2 304.365 1.762 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1nccnc1C#N ZINC001113351575 765616108 /nfs/dbraw/zinc/61/61/08/765616108.db2.gz CPYVEGLVHLAMBB-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1nccnc1C#N ZINC001113351575 765616114 /nfs/dbraw/zinc/61/61/14/765616114.db2.gz CPYVEGLVHLAMBB-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC001113384966 765636671 /nfs/dbraw/zinc/63/66/71/765636671.db2.gz TXTRBVKVZGFURW-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]1C[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001044962963 765972894 /nfs/dbraw/zinc/97/28/94/765972894.db2.gz SZCGXXQRDVUGKL-NEPJUHHUSA-N 1 2 319.409 1.607 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]2C[NH2+]Cc2nc(C)no2)C1 ZINC001045191227 766146750 /nfs/dbraw/zinc/14/67/50/766146750.db2.gz SCQOSEAFGZYNPA-ZDUSSCGKSA-N 1 2 304.394 1.815 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CCN(c2ccc(C#N)c(C)n2)C1 ZINC001058413523 766504617 /nfs/dbraw/zinc/50/46/17/766504617.db2.gz XBBFPOBMGILDDB-AWEZNQCLSA-N 1 2 324.388 1.231 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC[C@H]1C ZINC001114030092 766562146 /nfs/dbraw/zinc/56/21/46/766562146.db2.gz IOSQFVBUMINSCK-HIFRSBDPSA-N 1 2 318.421 1.709 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001114196465 766759585 /nfs/dbraw/zinc/75/95/85/766759585.db2.gz GWAWJDIIHUIABV-HALDLXJZSA-N 1 2 300.406 1.253 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001114196465 766759594 /nfs/dbraw/zinc/75/95/94/766759594.db2.gz GWAWJDIIHUIABV-HALDLXJZSA-N 1 2 300.406 1.253 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)s1 ZINC001114248136 766815567 /nfs/dbraw/zinc/81/55/67/766815567.db2.gz UIUOFIBDXJMTPP-VIKVFOODSA-N 1 2 303.431 1.657 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)s1 ZINC001114248136 766815577 /nfs/dbraw/zinc/81/55/77/766815577.db2.gz UIUOFIBDXJMTPP-VIKVFOODSA-N 1 2 303.431 1.657 20 30 DDEDLO Cc1nc(N2CC[C@@H](C)[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001067942504 766828832 /nfs/dbraw/zinc/82/88/32/766828832.db2.gz UJAYDAYEAKKXCJ-IAQYHMDHSA-N 1 2 324.388 1.630 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C[C@H]3CC=CCC3)CC2)C1 ZINC001046051959 766860635 /nfs/dbraw/zinc/86/06/35/766860635.db2.gz SSYBZDXNFMCPRF-DLBZAZTESA-N 1 2 316.449 1.475 20 30 DDEDLO C=CCn1c(N2CC3CC2(C)C3)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121607656 782589552 /nfs/dbraw/zinc/58/95/52/782589552.db2.gz PCJCUYMTXSVZBX-FZLDSSPASA-N 1 2 317.437 1.364 20 30 DDEDLO C=CCn1c(N2CC3CC2(C)C3)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121607656 782589560 /nfs/dbraw/zinc/58/95/60/782589560.db2.gz PCJCUYMTXSVZBX-FZLDSSPASA-N 1 2 317.437 1.364 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)co1 ZINC001046249649 767293082 /nfs/dbraw/zinc/29/30/82/767293082.db2.gz ZKBLGQLWUAJEJV-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)co1 ZINC001046249649 767293083 /nfs/dbraw/zinc/29/30/83/767293083.db2.gz ZKBLGQLWUAJEJV-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2nc3ncccn3n2)C1 ZINC001046715487 767839636 /nfs/dbraw/zinc/83/96/36/767839636.db2.gz PHCSMUMCBIIXKP-AWEZNQCLSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2nc3ncccn3n2)C1 ZINC001046715487 767839644 /nfs/dbraw/zinc/83/96/44/767839644.db2.gz PHCSMUMCBIIXKP-AWEZNQCLSA-N 1 2 320.784 1.071 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2occc2C)CC[C@H]1C ZINC001131808885 768383863 /nfs/dbraw/zinc/38/38/63/768383863.db2.gz PPIQNQNVPLOWDZ-KGLIPLIRSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2occc2C)CC[C@H]1C ZINC001131808885 768383871 /nfs/dbraw/zinc/38/38/71/768383871.db2.gz PPIQNQNVPLOWDZ-KGLIPLIRSA-N 1 2 319.405 1.473 20 30 DDEDLO CCCCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001131824351 768390567 /nfs/dbraw/zinc/39/05/67/768390567.db2.gz AMAZHXUTFQVKRQ-UONOGXRCSA-N 1 2 308.426 1.176 20 30 DDEDLO CCCCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001131824351 768390572 /nfs/dbraw/zinc/39/05/72/768390572.db2.gz AMAZHXUTFQVKRQ-UONOGXRCSA-N 1 2 308.426 1.176 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CNC(=O)OC)CC[C@H]1C ZINC001131834233 768413500 /nfs/dbraw/zinc/41/35/00/768413500.db2.gz LMOLSZVHXPTVNN-MNOVXSKESA-N 1 2 303.790 1.064 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CNC(=O)OC)CC[C@H]1C ZINC001131834233 768413505 /nfs/dbraw/zinc/41/35/05/768413505.db2.gz LMOLSZVHXPTVNN-MNOVXSKESA-N 1 2 303.790 1.064 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+][C@H](C)c1nc(CC)no1 ZINC001131869947 768431425 /nfs/dbraw/zinc/43/14/25/768431425.db2.gz LPKDLUZSNSCMRT-WBMJQRKESA-N 1 2 324.425 1.628 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)Cc1[nH]cc[nH+]1)C2 ZINC001047391464 768445442 /nfs/dbraw/zinc/44/54/42/768445442.db2.gz SOSVICRHKDWGRP-MJBXVCDLSA-N 1 2 316.405 1.414 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001070534392 768558116 /nfs/dbraw/zinc/55/81/16/768558116.db2.gz SLCMKCYLGZLARS-HUUCEWRRSA-N 1 2 318.421 1.908 20 30 DDEDLO O=C(N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccccc1)c1cnon1 ZINC001096207117 768564451 /nfs/dbraw/zinc/56/44/51/768564451.db2.gz RZDSBPKNFGLOLG-VYDXJSESSA-N 1 2 322.368 1.456 20 30 DDEDLO O=C(N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccccc1)c1cnon1 ZINC001096207117 768564455 /nfs/dbraw/zinc/56/44/55/768564455.db2.gz RZDSBPKNFGLOLG-VYDXJSESSA-N 1 2 322.368 1.456 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC(C)(C)C)CC[C@H]1C ZINC001132033528 768579347 /nfs/dbraw/zinc/57/93/47/768579347.db2.gz HOBXAAWXFPVJPQ-HUUCEWRRSA-N 1 2 319.449 1.307 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC(C)(C)C)CC[C@H]1C ZINC001132033528 768579352 /nfs/dbraw/zinc/57/93/52/768579352.db2.gz HOBXAAWXFPVJPQ-HUUCEWRRSA-N 1 2 319.449 1.307 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2ccns2)CC[C@@H]1C ZINC001132069561 768604957 /nfs/dbraw/zinc/60/49/57/768604957.db2.gz VEMIXACHISNVMT-STQMWFEESA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2ccns2)CC[C@@H]1C ZINC001132069561 768604961 /nfs/dbraw/zinc/60/49/61/768604961.db2.gz VEMIXACHISNVMT-STQMWFEESA-N 1 2 307.419 1.376 20 30 DDEDLO Cc1coc(C(=O)N2C[C@@H](c3c[nH+]cn3C)[C@H](NCC#N)C2)c1 ZINC001070679481 768665938 /nfs/dbraw/zinc/66/59/38/768665938.db2.gz AAJCTMKEJDGFGU-CHWSQXEVSA-N 1 2 313.361 1.043 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)COCC(F)F)CC[C@@H]1C ZINC001132201966 768684474 /nfs/dbraw/zinc/68/44/74/768684474.db2.gz AAMBTGHWRDSZEN-QWRGUYRKSA-N 1 2 310.772 1.990 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)COCC(F)F)CC[C@@H]1C ZINC001132201966 768684477 /nfs/dbraw/zinc/68/44/77/768684477.db2.gz AAMBTGHWRDSZEN-QWRGUYRKSA-N 1 2 310.772 1.990 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2(C(C)C)CC2)CC1 ZINC001070997562 768859933 /nfs/dbraw/zinc/85/99/33/768859933.db2.gz POGLGRYEECORMZ-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2(C(C)C)CC2)CC1 ZINC001070997562 768859946 /nfs/dbraw/zinc/85/99/46/768859946.db2.gz POGLGRYEECORMZ-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC001096271788 768883013 /nfs/dbraw/zinc/88/30/13/768883013.db2.gz AITJUZVDGCWWBC-UHFFFAOYSA-N 1 2 313.405 1.158 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001132593209 769014884 /nfs/dbraw/zinc/01/48/84/769014884.db2.gz WEJGIUPNBIJJLD-UHFFFAOYSA-N 1 2 316.361 1.729 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001132662531 769073252 /nfs/dbraw/zinc/07/32/52/769073252.db2.gz FHJJTRSXCSDDMP-STQMWFEESA-N 1 2 324.425 1.975 20 30 DDEDLO C#CCC[N@H+]1CCN([C@H]2CCCN(C(=O)C=C(C)C)C2)C(=O)C1 ZINC001071250494 769193822 /nfs/dbraw/zinc/19/38/22/769193822.db2.gz MZJTYFBIIJBCFM-INIZCTEOSA-N 1 2 317.433 1.111 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@H]2CCCN(C(=O)C=C(C)C)C2)C(=O)C1 ZINC001071250494 769193829 /nfs/dbraw/zinc/19/38/29/769193829.db2.gz MZJTYFBIIJBCFM-INIZCTEOSA-N 1 2 317.433 1.111 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)C#CC3CC3)C2)c2c([nH+]1)CCCC2 ZINC001096365151 769433710 /nfs/dbraw/zinc/43/37/10/769433710.db2.gz UUVSIUZBHQQMDH-HNNXBMFYSA-N 1 2 324.428 1.772 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnn(C)c2N)CC[C@@H]1C ZINC001071447262 769445817 /nfs/dbraw/zinc/44/58/17/769445817.db2.gz KSZTUKGOTZJCHM-WDEREUQCSA-N 1 2 311.817 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnn(C)c2N)CC[C@@H]1C ZINC001071447262 769445818 /nfs/dbraw/zinc/44/58/18/769445818.db2.gz KSZTUKGOTZJCHM-WDEREUQCSA-N 1 2 311.817 1.338 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2ccn(C)n2)CC[C@@H]1C ZINC001071504320 769527825 /nfs/dbraw/zinc/52/78/25/769527825.db2.gz CWIDOWXJZXWETH-JSGCOSHPSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2ccn(C)n2)CC[C@@H]1C ZINC001071504320 769527836 /nfs/dbraw/zinc/52/78/36/769527836.db2.gz CWIDOWXJZXWETH-JSGCOSHPSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001133378643 769758194 /nfs/dbraw/zinc/75/81/94/769758194.db2.gz JIQFELPQQJFQCC-ABAIWWIYSA-N 1 2 310.398 1.585 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[N@@H+](Cc3nn(C)cc3Cl)C[C@@H]2C1 ZINC001048725785 769775104 /nfs/dbraw/zinc/77/51/04/769775104.db2.gz VWYMBZPOZAWIPN-SDDRHHMPSA-N 1 2 321.812 1.123 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[N@H+](Cc3nn(C)cc3Cl)C[C@@H]2C1 ZINC001048725785 769775110 /nfs/dbraw/zinc/77/51/10/769775110.db2.gz VWYMBZPOZAWIPN-SDDRHHMPSA-N 1 2 321.812 1.123 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[NH2+][C@@H](C)c2nnc(CC)o2)c1 ZINC001133597620 770048308 /nfs/dbraw/zinc/04/83/08/770048308.db2.gz WKGNHMSCPQPDPP-NSHDSACASA-N 1 2 313.361 1.089 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001071787457 770071441 /nfs/dbraw/zinc/07/14/41/770071441.db2.gz OPSMUMLEBQRPOC-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnn3cc[nH]c23)CC[C@@H]1C ZINC001072023481 770438104 /nfs/dbraw/zinc/43/81/04/770438104.db2.gz RTFYEPJWKCQKMR-RYUDHWBXSA-N 1 2 321.812 1.998 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnn3cc[nH]c23)CC[C@@H]1C ZINC001072023481 770438112 /nfs/dbraw/zinc/43/81/12/770438112.db2.gz RTFYEPJWKCQKMR-RYUDHWBXSA-N 1 2 321.812 1.998 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072111011 770555381 /nfs/dbraw/zinc/55/53/81/770555381.db2.gz GRGFBLGBAOSKSH-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466608 770889764 /nfs/dbraw/zinc/88/97/64/770889764.db2.gz HINDRSGOWNGNNN-HZPDHXFCSA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466608 770889777 /nfs/dbraw/zinc/88/97/77/770889777.db2.gz HINDRSGOWNGNNN-HZPDHXFCSA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@H]4[C@@H]3CCN4CC#N)ccn12 ZINC001049477374 770906076 /nfs/dbraw/zinc/90/60/76/770906076.db2.gz GMFKWUOYRGUHBK-HOTGVXAUSA-N 1 2 323.400 1.845 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)CCc1cn[nH]n1 ZINC001049740313 771124362 /nfs/dbraw/zinc/12/43/62/771124362.db2.gz KGTOCJRITRFVCR-KBPBESRZSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)CCc1cn[nH]n1 ZINC001049740313 771124363 /nfs/dbraw/zinc/12/43/63/771124363.db2.gz KGTOCJRITRFVCR-KBPBESRZSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)CCc1c[nH]nn1 ZINC001049740313 771124365 /nfs/dbraw/zinc/12/43/65/771124365.db2.gz KGTOCJRITRFVCR-KBPBESRZSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)CCc1c[nH]nn1 ZINC001049740313 771124368 /nfs/dbraw/zinc/12/43/68/771124368.db2.gz KGTOCJRITRFVCR-KBPBESRZSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049782954 771156463 /nfs/dbraw/zinc/15/64/63/771156463.db2.gz NLIGLXYSLPFYIG-CHWSQXEVSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049782954 771156464 /nfs/dbraw/zinc/15/64/64/771156464.db2.gz NLIGLXYSLPFYIG-CHWSQXEVSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+]Cc1nnc(C(C)C)o1 ZINC001135145535 771350671 /nfs/dbraw/zinc/35/06/71/771350671.db2.gz NFYQIDKPIDPEPX-JSGCOSHPSA-N 1 2 322.409 1.380 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N[C@H](CNC(=O)C#CC1CC1)C1CC1 ZINC001096654730 771375993 /nfs/dbraw/zinc/37/59/93/771375993.db2.gz UYMRBODJRSVVML-CQSZACIVSA-N 1 2 324.388 1.083 20 30 DDEDLO N#Cc1ccc(N[C@@H](CNC(=O)CCc2c[nH]c[nH+]2)C2CC2)nc1 ZINC001096840184 771480028 /nfs/dbraw/zinc/48/00/28/771480028.db2.gz SBMCYPWERMCRTM-HNNXBMFYSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(N[C@@H](CNC(=O)CCc2c[nH+]c[nH]2)C2CC2)nc1 ZINC001096840184 771480031 /nfs/dbraw/zinc/48/00/31/771480031.db2.gz SBMCYPWERMCRTM-HNNXBMFYSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@H](CC(=O)N[C@H]1C[C@H](Nc2ccc(C#N)cn2)C1)n1cc[nH+]c1 ZINC001097105039 771624779 /nfs/dbraw/zinc/62/47/79/771624779.db2.gz LISIMOKBEHBYSI-BPLDGKMQSA-N 1 2 324.388 1.860 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H](Nc2cc[nH+]c(C)n2)C12CCC2 ZINC001090598743 772027336 /nfs/dbraw/zinc/02/73/36/772027336.db2.gz HQBCIXHJLKRNFX-UONOGXRCSA-N 1 2 316.405 1.827 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(F)s2)[C@H](O)C1 ZINC001090841462 772259457 /nfs/dbraw/zinc/25/94/57/772259457.db2.gz PMJYBIJSQRXCBD-VHSXEESVSA-N 1 2 318.801 1.805 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(F)s2)[C@H](O)C1 ZINC001090841462 772259461 /nfs/dbraw/zinc/25/94/61/772259461.db2.gz PMJYBIJSQRXCBD-VHSXEESVSA-N 1 2 318.801 1.805 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCN(C(=O)CCS)CC2)cc1 ZINC001143979429 772367727 /nfs/dbraw/zinc/36/77/27/772367727.db2.gz UHCQUSDKSLOWIO-UHFFFAOYSA-N 1 2 322.430 1.437 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@@H](C)[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001090986607 772410043 /nfs/dbraw/zinc/41/00/43/772410043.db2.gz FLVHFCPMXNZCOL-YRGRVCCFSA-N 1 2 304.394 1.635 20 30 DDEDLO C=C(Cc1ccccc1)C(=O)N1CC[N@@H+](C)C[C@@H]1C(=O)OC ZINC001144395544 772509107 /nfs/dbraw/zinc/50/91/07/772509107.db2.gz DYEHPTDSOXGZQY-OAHLLOKOSA-N 1 2 302.374 1.101 20 30 DDEDLO C=C(Cc1ccccc1)C(=O)N1CC[N@H+](C)C[C@@H]1C(=O)OC ZINC001144395544 772509110 /nfs/dbraw/zinc/50/91/10/772509110.db2.gz DYEHPTDSOXGZQY-OAHLLOKOSA-N 1 2 302.374 1.101 20 30 DDEDLO C[C@@H](Cc1cccc(C#N)c1)[NH+]1CCN(S(C)(=O)=O)CC1 ZINC001171221272 772618924 /nfs/dbraw/zinc/61/89/24/772618924.db2.gz JWHDQBIAHFLMJW-ZDUSSCGKSA-N 1 2 307.419 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](C)COC)C1 ZINC001149391785 772715353 /nfs/dbraw/zinc/71/53/53/772715353.db2.gz QMFMVAQIMKOTIO-WCQYABFASA-N 1 2 304.818 1.229 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H](C)COC)C1 ZINC001149391785 772715354 /nfs/dbraw/zinc/71/53/54/772715354.db2.gz QMFMVAQIMKOTIO-WCQYABFASA-N 1 2 304.818 1.229 20 30 DDEDLO Cc1csc(C[N@@H+]2CCCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001149398302 772764634 /nfs/dbraw/zinc/76/46/34/772764634.db2.gz KZXRHLQLHPVWCG-AAEUAGOBSA-N 1 2 322.434 1.318 20 30 DDEDLO Cc1csc(C[N@H+]2CCCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001149398302 772764637 /nfs/dbraw/zinc/76/46/37/772764637.db2.gz KZXRHLQLHPVWCG-AAEUAGOBSA-N 1 2 322.434 1.318 20 30 DDEDLO N#Cc1cnccc1N[C@H]1C[C@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001091521494 772804470 /nfs/dbraw/zinc/80/44/70/772804470.db2.gz NQVCDMBRXCRMJO-NNUKFRKNSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1cnccc1N[C@H]1C[C@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001091521494 772804473 /nfs/dbraw/zinc/80/44/73/772804473.db2.gz NQVCDMBRXCRMJO-NNUKFRKNSA-N 1 2 324.388 1.038 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001147139975 773060900 /nfs/dbraw/zinc/06/09/00/773060900.db2.gz NBPQYWNTXOQZEU-ZDUSSCGKSA-N 1 2 320.393 1.085 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001147139975 773060902 /nfs/dbraw/zinc/06/09/02/773060902.db2.gz NBPQYWNTXOQZEU-ZDUSSCGKSA-N 1 2 320.393 1.085 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nonc1C)C2 ZINC001148193750 773373558 /nfs/dbraw/zinc/37/35/58/773373558.db2.gz HMFXPAJVKSEFOQ-UHFFFAOYSA-N 1 2 304.394 1.769 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nonc1C)C2 ZINC001148193750 773373561 /nfs/dbraw/zinc/37/35/61/773373561.db2.gz HMFXPAJVKSEFOQ-UHFFFAOYSA-N 1 2 304.394 1.769 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)C[C@H]1C ZINC001073806454 773429996 /nfs/dbraw/zinc/42/99/96/773429996.db2.gz VFRLPPNZZUBXDQ-HIFRSBDPSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001074035386 773598116 /nfs/dbraw/zinc/59/81/16/773598116.db2.gz CJYWMSJFTZDWHO-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)o1)C2 ZINC001148874364 773610441 /nfs/dbraw/zinc/61/04/41/773610441.db2.gz RZIGLMVJJTTZKT-LBPRGKRZSA-N 1 2 320.393 1.003 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)o1)C2 ZINC001148874364 773610444 /nfs/dbraw/zinc/61/04/44/773610444.db2.gz RZIGLMVJJTTZKT-LBPRGKRZSA-N 1 2 320.393 1.003 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nonc1C)C2 ZINC001148874216 773610789 /nfs/dbraw/zinc/61/07/89/773610789.db2.gz NDHWMQOSEBLFHO-ZDUSSCGKSA-N 1 2 320.393 1.003 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nonc1C)C2 ZINC001148874216 773610793 /nfs/dbraw/zinc/61/07/93/773610793.db2.gz NDHWMQOSEBLFHO-ZDUSSCGKSA-N 1 2 320.393 1.003 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cnccc3C)C[C@@H]21 ZINC001074200131 773724446 /nfs/dbraw/zinc/72/44/46/773724446.db2.gz OMSPVKDALUNEDE-DLBZAZTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cnccc3C)C[C@@H]21 ZINC001074200131 773724449 /nfs/dbraw/zinc/72/44/49/773724449.db2.gz OMSPVKDALUNEDE-DLBZAZTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ccc(Br)o2)C1 ZINC000586060892 773751972 /nfs/dbraw/zinc/75/19/72/773751972.db2.gz RPGFVVRKDZGUEF-JTQLQIEISA-N 1 2 311.179 1.870 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc(Br)o2)C1 ZINC000586060892 773751975 /nfs/dbraw/zinc/75/19/75/773751975.db2.gz RPGFVVRKDZGUEF-JTQLQIEISA-N 1 2 311.179 1.870 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cocc3C)C[C@@H]21 ZINC001074313227 773815419 /nfs/dbraw/zinc/81/54/19/773815419.db2.gz YTXLESWGWHPONC-HOTGVXAUSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cocc3C)C[C@@H]21 ZINC001074313227 773815429 /nfs/dbraw/zinc/81/54/29/773815429.db2.gz YTXLESWGWHPONC-HOTGVXAUSA-N 1 2 302.374 1.527 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCc3cn[nH]c3)C[C@H]21 ZINC001074353215 773848532 /nfs/dbraw/zinc/84/85/32/773848532.db2.gz CBPYTDUTUVONMX-CVEARBPZSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCc3cn[nH]c3)C[C@H]21 ZINC001074353215 773848535 /nfs/dbraw/zinc/84/85/35/773848535.db2.gz CBPYTDUTUVONMX-CVEARBPZSA-N 1 2 318.421 1.220 20 30 DDEDLO CCCCc1noc(C[NH2+][C@H]2CCN(C(=O)[C@@H](C)C#N)[C@H]2C)n1 ZINC001074570200 774002069 /nfs/dbraw/zinc/00/20/69/774002069.db2.gz VMNNXCWTPLERQD-AVGNSLFASA-N 1 2 319.409 1.651 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1occc1C)c1nccn12 ZINC001092344758 774064444 /nfs/dbraw/zinc/06/44/44/774064444.db2.gz UGVDDUKHPWYSGN-AWEZNQCLSA-N 1 2 324.384 1.694 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1C[C@H]3C[C@H]3C1)c1nccn12 ZINC001092389065 774097642 /nfs/dbraw/zinc/09/76/42/774097642.db2.gz PGLSJYLPXMIMLO-JJXSEGSLSA-N 1 2 324.428 1.524 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001075016686 774300264 /nfs/dbraw/zinc/30/02/64/774300264.db2.gz FBZJLEREOAWSLV-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001075128525 774372374 /nfs/dbraw/zinc/37/23/74/774372374.db2.gz ZQJUXBJNEYSJJY-KGLIPLIRSA-N 1 2 316.405 1.107 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nnc1-c1ccccc1)C2 ZINC001098469717 774588398 /nfs/dbraw/zinc/58/83/98/774588398.db2.gz UHZCWDFOULZDDJ-KFWWJZLASA-N 1 2 321.384 1.440 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nnc1-c1ccccc1)C2 ZINC001098469717 774588400 /nfs/dbraw/zinc/58/84/00/774588400.db2.gz UHZCWDFOULZDDJ-KFWWJZLASA-N 1 2 321.384 1.440 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H](C)C3CC3)C2)nn1 ZINC001098649067 774631297 /nfs/dbraw/zinc/63/12/97/774631297.db2.gz KIAZPRCPAPFGPN-XJKSGUPXSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)C3=NC(=O)N(C)C3)CC[C@H]21 ZINC001036809456 774694463 /nfs/dbraw/zinc/69/44/63/774694463.db2.gz LJGMAZQDOLUPEH-DGCLKSJQSA-N 1 2 324.812 1.415 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)C3=NC(=O)N(C)C3)CC[C@H]21 ZINC001036809456 774694466 /nfs/dbraw/zinc/69/44/66/774694466.db2.gz LJGMAZQDOLUPEH-DGCLKSJQSA-N 1 2 324.812 1.415 20 30 DDEDLO CC(C)=CC[NH+]1CC(OC2CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC001093515233 774765626 /nfs/dbraw/zinc/76/56/26/774765626.db2.gz RTXCNQYIZDAKQH-CQSZACIVSA-N 1 2 305.422 1.804 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CCCCO3)CC2)C1 ZINC001093528580 774780450 /nfs/dbraw/zinc/78/04/50/774780450.db2.gz KOBPCSDIDSCRSV-MRXNPFEDSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CC[C@H](OC)C3)CC2)C1 ZINC001093548879 774825093 /nfs/dbraw/zinc/82/50/93/774825093.db2.gz MSSIZISXALSIBH-HOCLYGCPSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CO[C@@H](C)C3)CC2)C1 ZINC001093549489 774827947 /nfs/dbraw/zinc/82/79/47/774827947.db2.gz XHLRXWSWJYEMEZ-UONOGXRCSA-N 1 2 308.422 1.289 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCNc1nc(C)[nH+]c2c1CCCC2 ZINC001093878548 775175261 /nfs/dbraw/zinc/17/52/61/775175261.db2.gz SLEXBJPECYVAQW-GFCCVEGCSA-N 1 2 316.405 1.230 20 30 DDEDLO C#CCOCCC(=O)NCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001093893332 775228226 /nfs/dbraw/zinc/22/82/26/775228226.db2.gz WEKYOHGFEIWYLB-UHFFFAOYSA-N 1 2 302.378 1.230 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1cnc(C#N)cn1 ZINC001099765631 775245106 /nfs/dbraw/zinc/24/51/06/775245106.db2.gz YNBCQSHSYSWKQE-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1cnc(C#N)cn1 ZINC001099765631 775245116 /nfs/dbraw/zinc/24/51/16/775245116.db2.gz YNBCQSHSYSWKQE-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO Cc1nsc(NCCNC(=O)CCn2cc[nH+]c2)c1C#N ZINC001094024432 775350108 /nfs/dbraw/zinc/35/01/08/775350108.db2.gz FRLCUDUALMPMDT-UHFFFAOYSA-N 1 2 304.379 1.138 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099959575 775481511 /nfs/dbraw/zinc/48/15/11/775481511.db2.gz HVHPEJIMMLOCLK-ZFWWWQNUSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099959575 775481517 /nfs/dbraw/zinc/48/15/17/775481517.db2.gz HVHPEJIMMLOCLK-ZFWWWQNUSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3occc3C)nn2)C1 ZINC001094274640 775623281 /nfs/dbraw/zinc/62/32/81/775623281.db2.gz QMRBNCDPHHUVMV-UHFFFAOYSA-N 1 2 301.350 1.152 20 30 DDEDLO CN(CCNC(=O)CCn1cc[nH+]c1)c1cccc(F)c1C#N ZINC001100250873 775892004 /nfs/dbraw/zinc/89/20/04/775892004.db2.gz RTCRLDUWEKUEBQ-UHFFFAOYSA-N 1 2 315.352 1.537 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)C3CC3)CC2=O)C1 ZINC001094719187 776185809 /nfs/dbraw/zinc/18/58/09/776185809.db2.gz BCIBHWQTGIBLQU-JSGCOSHPSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)C3CCC3)CC2=O)C1 ZINC001094751101 776246662 /nfs/dbraw/zinc/24/66/62/776246662.db2.gz OEJSQCKDQFCLGW-OCCSQVGLSA-N 1 2 305.422 1.010 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCCCNc1ccc(C#N)cn1 ZINC001094827330 776382713 /nfs/dbraw/zinc/38/27/13/776382713.db2.gz CWFAVSZBSCVDBL-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCCCNc1ccc(C#N)cn1 ZINC001094827330 776382724 /nfs/dbraw/zinc/38/27/24/776382724.db2.gz CWFAVSZBSCVDBL-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095099478 777099646 /nfs/dbraw/zinc/09/96/46/777099646.db2.gz LCOFXXGQSSUGIN-HNNXBMFYSA-N 1 2 318.421 1.805 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)(C)C(F)F)c1nccn12 ZINC001101643246 777340000 /nfs/dbraw/zinc/34/00/00/777340000.db2.gz ZGOHKRAEPRDFFH-LLVKDONJSA-N 1 2 324.375 1.932 20 30 DDEDLO CN(CCNc1nc(C#N)c(Cl)s1)C(=O)Cc1[nH]cc[nH+]1 ZINC001101728854 777444776 /nfs/dbraw/zinc/44/47/76/777444776.db2.gz OCTCPMLTJPPCIS-UHFFFAOYSA-N 1 2 324.797 1.504 20 30 DDEDLO Cc1ncc(C#N)cc1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001174489473 777482109 /nfs/dbraw/zinc/48/21/09/777482109.db2.gz BGBXOONJDSSSDX-UHFFFAOYSA-N 1 2 312.377 1.534 20 30 DDEDLO Cc1noc(Nc2cnn(CC[NH+]3CCOCC3)c2)c1C#N ZINC001174490103 777483466 /nfs/dbraw/zinc/48/34/66/777483466.db2.gz WLIHMVNSNVRCGM-UHFFFAOYSA-N 1 2 302.338 1.127 20 30 DDEDLO Cc1ccnc(C#N)c1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001174491505 777485094 /nfs/dbraw/zinc/48/50/94/777485094.db2.gz RTTJVCSRWOYLGX-UHFFFAOYSA-N 1 2 312.377 1.534 20 30 DDEDLO Cc1cc(Nc2cnn(CC[NH+]3CCOCC3)c2)cnc1C#N ZINC001174491253 777485285 /nfs/dbraw/zinc/48/52/85/777485285.db2.gz LWYJNJOKLIXNCV-UHFFFAOYSA-N 1 2 312.377 1.534 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(C)n1 ZINC001110179106 777669613 /nfs/dbraw/zinc/66/96/13/777669613.db2.gz VDPQGBYNTZTCMW-PMPSAXMXSA-N 1 2 318.421 1.234 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(C)n1 ZINC001110179106 777669615 /nfs/dbraw/zinc/66/96/15/777669615.db2.gz VDPQGBYNTZTCMW-PMPSAXMXSA-N 1 2 318.421 1.234 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1C[N@@H+]([C@H](C)c2csnn2)C[C@H]1C ZINC001101949053 777718770 /nfs/dbraw/zinc/71/87/70/777718770.db2.gz RASPVYBSDZFLQM-MGPQQGTHSA-N 1 2 320.462 1.943 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1C[N@H+]([C@H](C)c2csnn2)C[C@H]1C ZINC001101949053 777718778 /nfs/dbraw/zinc/71/87/78/777718778.db2.gz RASPVYBSDZFLQM-MGPQQGTHSA-N 1 2 320.462 1.943 20 30 DDEDLO C=CCN1C(=O)CC[C@]12CCCN(C(=O)Cc1c[nH+]c[nH]1)CC2 ZINC001176828985 778261027 /nfs/dbraw/zinc/26/10/27/778261027.db2.gz IKTKNQDEEUHMHH-KRWDZBQOSA-N 1 2 316.405 1.512 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCOCC(C)C)C[C@H]21 ZINC001176930711 778318782 /nfs/dbraw/zinc/31/87/82/778318782.db2.gz SYKBAGNQZMJFKP-SJORKVTESA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCOCC(C)C)C[C@H]21 ZINC001176930711 778318789 /nfs/dbraw/zinc/31/87/89/778318789.db2.gz SYKBAGNQZMJFKP-SJORKVTESA-N 1 2 322.449 1.374 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cn2nc(C(F)(F)F)cc2C)CC1 ZINC001176947890 778340562 /nfs/dbraw/zinc/34/05/62/778340562.db2.gz WDGHSKHDTXSREY-UHFFFAOYSA-N 1 2 316.327 1.541 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCF)C[C@H]21 ZINC001177067054 778425029 /nfs/dbraw/zinc/42/50/29/778425029.db2.gz JVCZBGPBWUGPNV-HUUCEWRRSA-N 1 2 314.401 1.240 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCF)C[C@H]21 ZINC001177067054 778425032 /nfs/dbraw/zinc/42/50/32/778425032.db2.gz JVCZBGPBWUGPNV-HUUCEWRRSA-N 1 2 314.401 1.240 20 30 DDEDLO CCN(C(=O)Cc1c[nH+]c[nH]1)[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001102834984 778434119 /nfs/dbraw/zinc/43/41/19/778434119.db2.gz HOWFZBZOZQIOSY-INIZCTEOSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCCCC(=O)NCC[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1C ZINC001102917160 778487531 /nfs/dbraw/zinc/48/75/31/778487531.db2.gz PUPPCJGCTHKECC-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO C#CCCCC(=O)NCC[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1C ZINC001102917160 778487533 /nfs/dbraw/zinc/48/75/33/778487533.db2.gz PUPPCJGCTHKECC-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1ncc(C#N)cc1F ZINC001103161821 778680224 /nfs/dbraw/zinc/68/02/24/778680224.db2.gz KZTFINNWVQPFJF-NSHDSACASA-N 1 2 316.340 1.365 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3[C@H](C)C(N)=O)CCCC1 ZINC001110212802 778693726 /nfs/dbraw/zinc/69/37/26/778693726.db2.gz PKBDPXSWJHCEFL-KBXIAJHMSA-N 1 2 319.449 1.718 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3[C@H](C)C(N)=O)CCCC1 ZINC001110212802 778693728 /nfs/dbraw/zinc/69/37/28/778693728.db2.gz PKBDPXSWJHCEFL-KBXIAJHMSA-N 1 2 319.449 1.718 20 30 DDEDLO COC(=O)c1scc(C#N)c1NC(=O)[C@@H](C)n1cc[nH+]c1 ZINC001177921736 778785694 /nfs/dbraw/zinc/78/56/94/778785694.db2.gz KDKWKFFTIHTDBF-MRVPVSSYSA-N 1 2 304.331 1.803 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)Cc3[nH]cc[nH+]3)CCC2)cn1 ZINC001111839822 779509162 /nfs/dbraw/zinc/50/91/62/779509162.db2.gz JUFMWSLTGVGXST-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO C[C@H](C#N)C(=O)N1Cc2c[nH+]cn2C[C@H](COCC2CC2)C1 ZINC001179889595 779516471 /nfs/dbraw/zinc/51/64/71/779516471.db2.gz KNWULQVQFDFXNL-TZMCWYRMSA-N 1 2 302.378 1.428 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001115333541 780047911 /nfs/dbraw/zinc/04/79/11/780047911.db2.gz YAXYNHDMYQMUGJ-SCUASFONSA-N 1 2 307.419 1.281 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001115333541 780047916 /nfs/dbraw/zinc/04/79/16/780047916.db2.gz YAXYNHDMYQMUGJ-SCUASFONSA-N 1 2 307.419 1.281 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)NCc2ccco2)C1=O ZINC001116916790 780577483 /nfs/dbraw/zinc/57/74/83/780577483.db2.gz FZDDFMOZJGBBNZ-JSGCOSHPSA-N 1 2 305.378 1.003 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)NCc2ccco2)C1=O ZINC001116916790 780577489 /nfs/dbraw/zinc/57/74/89/780577489.db2.gz FZDDFMOZJGBBNZ-JSGCOSHPSA-N 1 2 305.378 1.003 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@H+](C)CC(=O)NC1CCCCC1 ZINC001267194624 837513080 /nfs/dbraw/zinc/51/30/80/837513080.db2.gz AIAMQFHVZXJMPE-UHFFFAOYSA-N 1 2 307.438 1.143 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@@H+](C)CC(=O)NC1CCCCC1 ZINC001267194624 837513089 /nfs/dbraw/zinc/51/30/89/837513089.db2.gz AIAMQFHVZXJMPE-UHFFFAOYSA-N 1 2 307.438 1.143 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@H+](C)CC(=O)N1[C@H](C)CCC[C@H]1C ZINC001267195319 837515373 /nfs/dbraw/zinc/51/53/73/837515373.db2.gz VSRFGGUCVDCEKS-HZPDHXFCSA-N 1 2 321.465 1.483 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@@H+](C)CC(=O)N1[C@H](C)CCC[C@H]1C ZINC001267195319 837515381 /nfs/dbraw/zinc/51/53/81/837515381.db2.gz VSRFGGUCVDCEKS-HZPDHXFCSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)CCC1CCCCC1 ZINC001267229406 837567340 /nfs/dbraw/zinc/56/73/40/837567340.db2.gz IJECMNWCASZICL-UHFFFAOYSA-N 1 2 307.438 1.144 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)CCC1CCCCC1 ZINC001267229406 837567346 /nfs/dbraw/zinc/56/73/46/837567346.db2.gz IJECMNWCASZICL-UHFFFAOYSA-N 1 2 307.438 1.144 20 30 DDEDLO C#CCC1(C(=O)NCC[N@@H+](C)CC(=O)NC2CC2)CCCCC1 ZINC001267232637 837575129 /nfs/dbraw/zinc/57/51/29/837575129.db2.gz ADELKNMLCIEAON-UHFFFAOYSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCC1(C(=O)NCC[N@H+](C)CC(=O)NC2CC2)CCCCC1 ZINC001267232637 837575131 /nfs/dbraw/zinc/57/51/31/837575131.db2.gz ADELKNMLCIEAON-UHFFFAOYSA-N 1 2 319.449 1.287 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@@H+](CC)Cc2cc(C)n(C)n2)cc1 ZINC001267247442 837666765 /nfs/dbraw/zinc/66/67/65/837666765.db2.gz MUBUWUSDDMREDU-UHFFFAOYSA-N 1 2 324.428 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@H+](CC)Cc2cc(C)n(C)n2)cc1 ZINC001267247442 837666770 /nfs/dbraw/zinc/66/67/70/837666770.db2.gz MUBUWUSDDMREDU-UHFFFAOYSA-N 1 2 324.428 1.962 20 30 DDEDLO CCC[N@H+](CCNC(=O)C#CC1CC1)[C@H](C)c1ncccn1 ZINC001267312791 837803421 /nfs/dbraw/zinc/80/34/21/837803421.db2.gz OBRFJRUQJATOSP-CQSZACIVSA-N 1 2 300.406 1.779 20 30 DDEDLO CCC[N@@H+](CCNC(=O)C#CC1CC1)[C@H](C)c1ncccn1 ZINC001267312791 837803425 /nfs/dbraw/zinc/80/34/25/837803425.db2.gz OBRFJRUQJATOSP-CQSZACIVSA-N 1 2 300.406 1.779 20 30 DDEDLO Cc1cc(NC(=O)[C@H](C)[N@@H+](C)CCNC(=O)C#CC(C)C)on1 ZINC001266272762 836022434 /nfs/dbraw/zinc/02/24/34/836022434.db2.gz BDKFSGVZPOBQPD-ZDUSSCGKSA-N 1 2 320.393 1.017 20 30 DDEDLO Cc1cc(NC(=O)[C@H](C)[N@H+](C)CCNC(=O)C#CC(C)C)on1 ZINC001266272762 836022450 /nfs/dbraw/zinc/02/24/50/836022450.db2.gz BDKFSGVZPOBQPD-ZDUSSCGKSA-N 1 2 320.393 1.017 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001266307774 836092942 /nfs/dbraw/zinc/09/29/42/836092942.db2.gz KOJAWZHDIWJFCA-OLZOCXBDSA-N 1 2 323.393 1.355 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001266307774 836092948 /nfs/dbraw/zinc/09/29/48/836092948.db2.gz KOJAWZHDIWJFCA-OLZOCXBDSA-N 1 2 323.393 1.355 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)C2CCCC2)C1 ZINC001266353477 836161752 /nfs/dbraw/zinc/16/17/52/836161752.db2.gz WADGQTTWPUKJAW-ZFWWWQNUSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)C2CCCC2)C1 ZINC001266353477 836161754 /nfs/dbraw/zinc/16/17/54/836161754.db2.gz WADGQTTWPUKJAW-ZFWWWQNUSA-N 1 2 307.438 1.305 20 30 DDEDLO CCC[N@H+](CCNC(=O)C#CC(C)C)[C@H](C)c1nc(C)no1 ZINC001266560171 836469223 /nfs/dbraw/zinc/46/92/23/836469223.db2.gz CCIHZPXNMHQXMY-CYBMUJFWSA-N 1 2 306.410 1.927 20 30 DDEDLO CCC[N@@H+](CCNC(=O)C#CC(C)C)[C@H](C)c1nc(C)no1 ZINC001266560171 836469228 /nfs/dbraw/zinc/46/92/28/836469228.db2.gz CCIHZPXNMHQXMY-CYBMUJFWSA-N 1 2 306.410 1.927 20 30 DDEDLO C=CCCC(=O)N[C@@]1(CO)CCC[N@@H+](Cc2snnc2C)C1 ZINC001279472747 836607026 /nfs/dbraw/zinc/60/70/26/836607026.db2.gz ZYCMDCFZQLETEX-HNNXBMFYSA-N 1 2 324.450 1.256 20 30 DDEDLO C=CCCC(=O)N[C@@]1(CO)CCC[N@H+](Cc2snnc2C)C1 ZINC001279472747 836607033 /nfs/dbraw/zinc/60/70/33/836607033.db2.gz ZYCMDCFZQLETEX-HNNXBMFYSA-N 1 2 324.450 1.256 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2C[N@H+](Cc3cnon3)CC[C@H]21 ZINC001266660313 836609592 /nfs/dbraw/zinc/60/95/92/836609592.db2.gz WGNHQRCCDADNNF-UKRRQHHQSA-N 1 2 304.394 1.849 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2C[N@@H+](Cc3cnon3)CC[C@H]21 ZINC001266660313 836609596 /nfs/dbraw/zinc/60/95/96/836609596.db2.gz WGNHQRCCDADNNF-UKRRQHHQSA-N 1 2 304.394 1.849 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]([NH2+]Cc2nnn(C)n2)C(C)C)CC1 ZINC001271891882 844187160 /nfs/dbraw/zinc/18/71/60/844187160.db2.gz HIMRACZQNMBMJT-CQSZACIVSA-N 1 2 320.441 1.187 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)[C@H](C)c2ccco2)CC1 ZINC001267574587 838341416 /nfs/dbraw/zinc/34/14/16/838341416.db2.gz MLLXKDAEABYAMV-MRXNPFEDSA-N 1 2 317.433 1.482 20 30 DDEDLO C#CCCOc1ccc(C(=O)NC2C[NH+]([C@H](C)COC)C2)cc1 ZINC001267645322 838566826 /nfs/dbraw/zinc/56/68/26/838566826.db2.gz SZPURHDHFCGAGR-CQSZACIVSA-N 1 2 316.401 1.538 20 30 DDEDLO C=CCC(CC=C)C(=O)NC1C[NH+](CCc2cnn(C)c2)C1 ZINC001267648086 838572261 /nfs/dbraw/zinc/57/22/61/838572261.db2.gz OSVGPLZANCFRJF-UHFFFAOYSA-N 1 2 302.422 1.531 20 30 DDEDLO C=CCCC(=O)NCC1C[NH+](Cc2cn(C)nc2C(F)F)C1 ZINC001267677040 838626724 /nfs/dbraw/zinc/62/67/24/838626724.db2.gz UVEXOCPPVNUEAU-UHFFFAOYSA-N 1 2 312.364 1.872 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)CCCC(F)(F)F)C1 ZINC001267693917 838661068 /nfs/dbraw/zinc/66/10/68/838661068.db2.gz VEZVLPHTPRKREC-UHFFFAOYSA-N 1 2 321.343 1.069 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2csc(C)c2C)C1 ZINC001267723531 838734167 /nfs/dbraw/zinc/73/41/67/838734167.db2.gz CYCICJRUZASBEP-ZDUSSCGKSA-N 1 2 321.446 1.471 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2csc(C)c2C)C1 ZINC001267723531 838734177 /nfs/dbraw/zinc/73/41/77/838734177.db2.gz CYCICJRUZASBEP-ZDUSSCGKSA-N 1 2 321.446 1.471 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001267769662 838927571 /nfs/dbraw/zinc/92/75/71/838927571.db2.gz YVNFEMQPAQYATL-CYBMUJFWSA-N 1 2 305.426 1.543 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001267769662 838927575 /nfs/dbraw/zinc/92/75/75/838927575.db2.gz YVNFEMQPAQYATL-CYBMUJFWSA-N 1 2 305.426 1.543 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)CC[C@@H]2CC(C)(C)CO2)C1 ZINC001268029235 839444439 /nfs/dbraw/zinc/44/44/39/839444439.db2.gz DDYRPFWSTQEHHU-HZPDHXFCSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)CC[C@@H]2CC(C)(C)CO2)C1 ZINC001268029235 839444445 /nfs/dbraw/zinc/44/44/45/839444445.db2.gz DDYRPFWSTQEHHU-HZPDHXFCSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001268029832 839445903 /nfs/dbraw/zinc/44/59/03/839445903.db2.gz ZTIQQQHEAPXOFK-CZUORRHYSA-N 1 2 306.381 1.932 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001268029832 839445911 /nfs/dbraw/zinc/44/59/11/839445911.db2.gz ZTIQQQHEAPXOFK-CZUORRHYSA-N 1 2 306.381 1.932 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@@H](C)n2nc(C)cc2C)C1 ZINC001268484685 840284761 /nfs/dbraw/zinc/28/47/61/840284761.db2.gz LHOAQBMRLBOXMP-OAHLLOKOSA-N 1 2 320.437 1.454 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)C[N@H+](C)Cc1nc(C)c(C)o1 ZINC001268729396 840723914 /nfs/dbraw/zinc/72/39/14/840723914.db2.gz GFTRKQFYHURWNS-FZMZJTMJSA-N 1 2 307.394 1.266 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001268729396 840723922 /nfs/dbraw/zinc/72/39/22/840723922.db2.gz GFTRKQFYHURWNS-FZMZJTMJSA-N 1 2 307.394 1.266 20 30 DDEDLO Cc1nccnc1C[NH+]1CC(CNC(=O)C#CC(C)(C)C)C1 ZINC001268825502 840871442 /nfs/dbraw/zinc/87/14/42/840871442.db2.gz RYLBXWSAMTYGLW-UHFFFAOYSA-N 1 2 300.406 1.383 20 30 DDEDLO CC#CCCCC(=O)NCC1C[NH+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001268862783 840914323 /nfs/dbraw/zinc/91/43/23/840914323.db2.gz ONZHSJBHBKBCKK-OAHLLOKOSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CC(CNC(=O)C2(CCC)CCC2)C1 ZINC001268863292 840915627 /nfs/dbraw/zinc/91/56/27/840915627.db2.gz XDUIXEKRJVMTQL-AWEZNQCLSA-N 1 2 319.449 1.143 20 30 DDEDLO Cc1cc(C[NH+]2CC3(C2)CCCN(C(=O)CCS)C3)n(C)n1 ZINC001268960922 841058675 /nfs/dbraw/zinc/05/86/75/841058675.db2.gz IFDVGFJVGNVCIU-UHFFFAOYSA-N 1 2 322.478 1.473 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2(C)CCCCCC2)C1 ZINC001269033554 841129733 /nfs/dbraw/zinc/12/97/33/841129733.db2.gz DJVYJAKMCXEREA-HNNXBMFYSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2(C)CCCCCC2)C1 ZINC001269033554 841129737 /nfs/dbraw/zinc/12/97/37/841129737.db2.gz DJVYJAKMCXEREA-HNNXBMFYSA-N 1 2 319.449 1.287 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](CC(=O)N[C@H](C)CC)C2)C1 ZINC001269165927 841286867 /nfs/dbraw/zinc/28/68/67/841286867.db2.gz OZZAVXZQRUFUKP-ZIAGYGMSSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](CC(=O)N[C@H](C)CC)C2)C1 ZINC001269165927 841286875 /nfs/dbraw/zinc/28/68/75/841286875.db2.gz OZZAVXZQRUFUKP-ZIAGYGMSSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001269223569 841368441 /nfs/dbraw/zinc/36/84/41/841368441.db2.gz PZAYJAFORLZRKM-BLLLJJGKSA-N 1 2 319.430 1.637 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001269223569 841368450 /nfs/dbraw/zinc/36/84/50/841368450.db2.gz PZAYJAFORLZRKM-BLLLJJGKSA-N 1 2 319.430 1.637 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@H+]1[C@@H]1CCN(CC)C1=O ZINC001269273002 841450446 /nfs/dbraw/zinc/45/04/46/841450446.db2.gz QFXBGMHPMBAZNV-HZPDHXFCSA-N 1 2 319.449 1.381 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@@H+]1[C@@H]1CCN(CC)C1=O ZINC001269273002 841450454 /nfs/dbraw/zinc/45/04/54/841450454.db2.gz QFXBGMHPMBAZNV-HZPDHXFCSA-N 1 2 319.449 1.381 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@H+]1[C@H](C)C(=O)N(C)C ZINC001269271773 841451775 /nfs/dbraw/zinc/45/17/75/841451775.db2.gz CYBQRPMGBYVXDC-CABCVRRESA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)C(=O)N(C)C ZINC001269271773 841451782 /nfs/dbraw/zinc/45/17/82/841451782.db2.gz CYBQRPMGBYVXDC-CABCVRRESA-N 1 2 307.438 1.237 20 30 DDEDLO N#Cc1cc2cccnc2nc1NC(=O)[C@@H]1CC2CC[NH+]1CC2 ZINC001142626675 861203331 /nfs/dbraw/zinc/20/33/31/861203331.db2.gz RXFWSPLWATTXRJ-AWEZNQCLSA-N 1 2 307.357 1.924 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCCN2C(=O)Cn2cc[nH+]c2)C1=O ZINC001269299152 841488306 /nfs/dbraw/zinc/48/83/06/841488306.db2.gz YGPPDTDYZWPULC-MRXNPFEDSA-N 1 2 302.378 1.053 20 30 DDEDLO COC[C@H](C)CC(=O)NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001269325792 841523989 /nfs/dbraw/zinc/52/39/89/841523989.db2.gz BWWCYEOZSZFUPO-SJLPKXTDSA-N 1 2 314.429 1.901 20 30 DDEDLO COC[C@H](C)CC(=O)NC[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001269325792 841523996 /nfs/dbraw/zinc/52/39/96/841523996.db2.gz BWWCYEOZSZFUPO-SJLPKXTDSA-N 1 2 314.429 1.901 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(CC)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269345701 841549403 /nfs/dbraw/zinc/54/94/03/841549403.db2.gz UMOSKRPQMZFGPA-LSDHHAIUSA-N 1 2 320.437 1.151 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(CC)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269345701 841549416 /nfs/dbraw/zinc/54/94/16/841549416.db2.gz UMOSKRPQMZFGPA-LSDHHAIUSA-N 1 2 320.437 1.151 20 30 DDEDLO CCC[C@@H](CC)C(=O)N(CC)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269349061 841551239 /nfs/dbraw/zinc/55/12/39/841551239.db2.gz QCCOMNKABXPZQZ-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO CCC[C@@H](CC)C(=O)N(CC)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269349061 841551246 /nfs/dbraw/zinc/55/12/46/841551246.db2.gz QCCOMNKABXPZQZ-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCN(C(=O)CCn3cc[nH+]c3)C2)C1=O ZINC001269581886 841788158 /nfs/dbraw/zinc/78/81/58/841788158.db2.gz WULAKVQLLHQURA-KRWDZBQOSA-N 1 2 316.405 1.300 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)[C@]2(F)CCOC2)CC1 ZINC001269632778 841877158 /nfs/dbraw/zinc/87/71/58/841877158.db2.gz MVPOSZFQCGSLQP-INIZCTEOSA-N 1 2 309.385 1.342 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)[C@]2(F)CCOC2)CC1 ZINC001269632778 841877173 /nfs/dbraw/zinc/87/71/73/841877173.db2.gz MVPOSZFQCGSLQP-INIZCTEOSA-N 1 2 309.385 1.342 20 30 DDEDLO Cc1nnc(C[NH2+]Cc2ccc(CNC(=O)C#CC3CC3)cc2)o1 ZINC001270060986 842263579 /nfs/dbraw/zinc/26/35/79/842263579.db2.gz SMRCPAPURJBDPT-UHFFFAOYSA-N 1 2 324.384 1.697 20 30 DDEDLO CC(C)[N@H+](C)Cc1nnc2n1CCCN(C(=O)CCC#N)C2 ZINC001143196702 861409164 /nfs/dbraw/zinc/40/91/64/861409164.db2.gz FNPJZXAIOZIFRY-UHFFFAOYSA-N 1 2 304.398 1.154 20 30 DDEDLO CC(C)[N@@H+](C)Cc1nnc2n1CCCN(C(=O)CCC#N)C2 ZINC001143196702 861409173 /nfs/dbraw/zinc/40/91/73/861409173.db2.gz FNPJZXAIOZIFRY-UHFFFAOYSA-N 1 2 304.398 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)C2(COC)CCCCC2)C1 ZINC001271297536 843475223 /nfs/dbraw/zinc/47/52/23/843475223.db2.gz HMCCTSNKQGGTBV-UHFFFAOYSA-N 1 2 310.438 1.322 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1n[nH]c2c1CCC2 ZINC001280409322 843728277 /nfs/dbraw/zinc/72/82/77/843728277.db2.gz UQLXSMWYYRBBQZ-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1n[nH]c2c1CCC2 ZINC001280409322 843728283 /nfs/dbraw/zinc/72/82/83/843728283.db2.gz UQLXSMWYYRBBQZ-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1COCC[N@@H+]1CCC[C@@H]1CCOC1 ZINC001326631198 861493678 /nfs/dbraw/zinc/49/36/78/861493678.db2.gz BIPWKQHGAQQTMZ-IAGOWNOFSA-N 1 2 322.449 1.280 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1COCC[N@H+]1CCC[C@@H]1CCOC1 ZINC001326631198 861493681 /nfs/dbraw/zinc/49/36/81/861493681.db2.gz BIPWKQHGAQQTMZ-IAGOWNOFSA-N 1 2 322.449 1.280 20 30 DDEDLO Cc1cc(C[NH+]2CCC3(C[C@@H]3C(=O)NCCCC#N)CC2)n[nH]1 ZINC001272545009 846301340 /nfs/dbraw/zinc/30/13/40/846301340.db2.gz VNOYQDKWPQXFFB-OAHLLOKOSA-N 1 2 315.421 1.740 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@]1(C)C[N@H+](CC#CC)CCO1 ZINC001107792081 847089483 /nfs/dbraw/zinc/08/94/83/847089483.db2.gz GFJJGIDTPTWIFI-WBVHZDCISA-N 1 2 308.422 1.198 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001107792081 847089485 /nfs/dbraw/zinc/08/94/85/847089485.db2.gz GFJJGIDTPTWIFI-WBVHZDCISA-N 1 2 308.422 1.198 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](Cc3ccc(C)cc3O)C[C@@]2(F)C1=O ZINC001272766665 847399097 /nfs/dbraw/zinc/39/90/97/847399097.db2.gz UENAYVUOFVQMEQ-DLBZAZTESA-N 1 2 320.339 1.408 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](Cc3ccc(C)cc3O)C[C@@]2(F)C1=O ZINC001272766665 847399110 /nfs/dbraw/zinc/39/91/10/847399110.db2.gz UENAYVUOFVQMEQ-DLBZAZTESA-N 1 2 320.339 1.408 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@H](C[NH2+]Cc2nnc(CC)o2)C1 ZINC001327019304 861801916 /nfs/dbraw/zinc/80/19/16/861801916.db2.gz KBTOZSFZTFPFGW-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3ccc(Cl)cn3)C2)OCC1=O ZINC001272846693 847544926 /nfs/dbraw/zinc/54/49/26/847544926.db2.gz IKQBDOMMQVMBIA-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3ccc(Cl)cn3)C2)OCC1=O ZINC001272846693 847544930 /nfs/dbraw/zinc/54/49/30/847544930.db2.gz IKQBDOMMQVMBIA-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO Cc1c[nH]c(C[NH+]2CCC3(C[C@@H]3C(=O)NCCCC#N)CC2)n1 ZINC001272908092 847620530 /nfs/dbraw/zinc/62/05/30/847620530.db2.gz PEQVRPZMKIXKTF-CQSZACIVSA-N 1 2 315.421 1.740 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1nc(C)cs1)C2 ZINC001272991461 847752594 /nfs/dbraw/zinc/75/25/94/847752594.db2.gz UEJZKCSQTWEHLO-MRXNPFEDSA-N 1 2 319.430 1.136 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1nc(C)cs1)C2 ZINC001272991461 847752597 /nfs/dbraw/zinc/75/25/97/847752597.db2.gz UEJZKCSQTWEHLO-MRXNPFEDSA-N 1 2 319.430 1.136 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cn[nH]c2)cc1C#N ZINC001273081118 847881209 /nfs/dbraw/zinc/88/12/09/847881209.db2.gz ZXPDNJZVNDQKDW-GASCZTMLSA-N 1 2 324.388 1.109 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cn[nH]c2)cc1C#N ZINC001273081118 847881219 /nfs/dbraw/zinc/88/12/19/847881219.db2.gz ZXPDNJZVNDQKDW-GASCZTMLSA-N 1 2 324.388 1.109 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)CSCCC)C2)CC1 ZINC001327083251 861865547 /nfs/dbraw/zinc/86/55/47/861865547.db2.gz RWNFGASPYQCJBN-HNNXBMFYSA-N 1 2 311.495 1.534 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CCCC[N@@H+](CC(=C)Cl)C2)nn1 ZINC001034431024 848515786 /nfs/dbraw/zinc/51/57/86/848515786.db2.gz DNZYXQQYCVKURN-ZDUSSCGKSA-N 1 2 323.828 1.801 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CCCC[N@H+](CC(=C)Cl)C2)nn1 ZINC001034431024 848515788 /nfs/dbraw/zinc/51/57/88/848515788.db2.gz DNZYXQQYCVKURN-ZDUSSCGKSA-N 1 2 323.828 1.801 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCC[N@@H+](Cc2ncnn2C)CC1 ZINC001327142322 861921477 /nfs/dbraw/zinc/92/14/77/861921477.db2.gz ITZHLMCSVHRCLR-AWEZNQCLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCC[N@H+](Cc2ncnn2C)CC1 ZINC001327142322 861921494 /nfs/dbraw/zinc/92/14/94/861921494.db2.gz ITZHLMCSVHRCLR-AWEZNQCLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H](C[NH2+][C@H](C)c2nc(C)no2)C1 ZINC001273235804 848962382 /nfs/dbraw/zinc/96/23/82/848962382.db2.gz CRQQEFDVUAHNOL-YPMHNXCESA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCN1CCN(C[N@@H+](C)Cc2ccc(C(N)=O)cc2)C1=O ZINC001327340164 862075329 /nfs/dbraw/zinc/07/53/29/862075329.db2.gz DIEUQIZBBLNAES-UHFFFAOYSA-N 1 2 302.378 1.098 20 30 DDEDLO C=CCN1CCN(C[N@H+](C)Cc2ccc(C(N)=O)cc2)C1=O ZINC001327340164 862075345 /nfs/dbraw/zinc/07/53/45/862075345.db2.gz DIEUQIZBBLNAES-UHFFFAOYSA-N 1 2 302.378 1.098 20 30 DDEDLO CC(C)=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)CSCC#N)O2 ZINC001327345141 862082208 /nfs/dbraw/zinc/08/22/08/862082208.db2.gz SBZFOJFWUVBUCO-AWEZNQCLSA-N 1 2 323.462 1.559 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1COC2(C[NH+](CCOCC)C2)C1 ZINC001327405271 862144291 /nfs/dbraw/zinc/14/42/91/862144291.db2.gz HNDUGWOAKMNASP-ZBFHGGJFSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](CCC)OCC)CO2 ZINC001327407498 862148067 /nfs/dbraw/zinc/14/80/67/862148067.db2.gz PHHRNHBIGVLDBO-LSDHHAIUSA-N 1 2 310.438 1.727 20 30 DDEDLO C=CCC1(C(=O)N2CCOC3(C[NH+](C(C)C)C3)C2)CCOCC1 ZINC001273636911 851172285 /nfs/dbraw/zinc/17/22/85/851172285.db2.gz KXKVYILNXUNSFB-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cnc(OC)c(F)c2)C1=O ZINC001273664385 851199210 /nfs/dbraw/zinc/19/92/10/851199210.db2.gz JIKZGZJNWPZYOG-QGZVFWFLSA-N 1 2 317.364 1.429 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cnc(OC)c(F)c2)C1=O ZINC001273664385 851199216 /nfs/dbraw/zinc/19/92/16/851199216.db2.gz JIKZGZJNWPZYOG-QGZVFWFLSA-N 1 2 317.364 1.429 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(CC)CCOCC1)CO2 ZINC001273724981 851276592 /nfs/dbraw/zinc/27/65/92/851276592.db2.gz UAVXNJYRWVWLNU-OAHLLOKOSA-N 1 2 320.433 1.176 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(CC)no2)[C@H](C)C1 ZINC001274623923 852457992 /nfs/dbraw/zinc/45/79/92/852457992.db2.gz NZQLKUTVEQOVMZ-ZIAGYGMSSA-N 1 2 318.421 1.905 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(CC)no2)[C@H](C)C1 ZINC001274623923 852457996 /nfs/dbraw/zinc/45/79/96/852457996.db2.gz NZQLKUTVEQOVMZ-ZIAGYGMSSA-N 1 2 318.421 1.905 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001296174904 852497251 /nfs/dbraw/zinc/49/72/51/852497251.db2.gz PDFIDVFOCFNDCE-UHFFFAOYSA-N 1 2 306.410 1.438 20 30 DDEDLO CN1C(=O)C[C@@]2(CCC[N@H+](Cc3cc(C#N)ccc3O)C2)C1=O ZINC001274666386 852501618 /nfs/dbraw/zinc/50/16/18/852501618.db2.gz GAQMQSIWEJQFQM-QGZVFWFLSA-N 1 2 313.357 1.235 20 30 DDEDLO CN1C(=O)C[C@@]2(CCC[N@@H+](Cc3cc(C#N)ccc3O)C2)C1=O ZINC001274666386 852501626 /nfs/dbraw/zinc/50/16/26/852501626.db2.gz GAQMQSIWEJQFQM-QGZVFWFLSA-N 1 2 313.357 1.235 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1coc(C)n1 ZINC001275107831 852811106 /nfs/dbraw/zinc/81/11/06/852811106.db2.gz GJZLWGAYKINOGC-CZUORRHYSA-N 1 2 319.405 1.444 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1coc(C)n1 ZINC001275107831 852811109 /nfs/dbraw/zinc/81/11/09/852811109.db2.gz GJZLWGAYKINOGC-CZUORRHYSA-N 1 2 319.405 1.444 20 30 DDEDLO N#Cc1ccc(O)c(C[NH+]2CC3(CN(Cc4ccc[nH]4)C3)C2)c1 ZINC001275546972 853314448 /nfs/dbraw/zinc/31/44/48/853314448.db2.gz DKXPVXKFTUQWFL-UHFFFAOYSA-N 1 2 308.385 1.910 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cccnn2)cc1C#N ZINC001275878179 853898414 /nfs/dbraw/zinc/89/84/14/853898414.db2.gz OBZIBQGCIQKKTC-CALCHBBNSA-N 1 2 322.416 1.536 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cccnn2)cc1C#N ZINC001275878179 853898416 /nfs/dbraw/zinc/89/84/16/853898416.db2.gz OBZIBQGCIQKKTC-CALCHBBNSA-N 1 2 322.416 1.536 20 30 DDEDLO Cc1nccnc1CN1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001276163681 854859296 /nfs/dbraw/zinc/85/92/96/854859296.db2.gz GKHOMJLPOTYYIU-UHFFFAOYSA-N 1 2 322.416 1.313 20 30 DDEDLO Cn1ccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3Cc2cc(C#N)n(C)c2)n1 ZINC001276274626 855082265 /nfs/dbraw/zinc/08/22/65/855082265.db2.gz ZJYRXUOAIYAGDC-CALCHBBNSA-N 1 2 324.432 1.479 20 30 DDEDLO Cn1ccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3Cc2cc(C#N)n(C)c2)n1 ZINC001276274626 855082270 /nfs/dbraw/zinc/08/22/70/855082270.db2.gz ZJYRXUOAIYAGDC-CALCHBBNSA-N 1 2 324.432 1.479 20 30 DDEDLO CC#CC[N@@H+](CC)[C@H](C)CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001156120454 862737114 /nfs/dbraw/zinc/73/71/14/862737114.db2.gz SRXGGGVJLXZSKP-ZIAGYGMSSA-N 1 2 316.449 1.998 20 30 DDEDLO CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001156120454 862737124 /nfs/dbraw/zinc/73/71/24/862737124.db2.gz SRXGGGVJLXZSKP-ZIAGYGMSSA-N 1 2 316.449 1.998 20 30 DDEDLO C=CCN(C(=O)C(=O)NCc1c[nH+]c(C)cc1C)[C@@H](C)COC ZINC001328179987 862751065 /nfs/dbraw/zinc/75/10/65/862751065.db2.gz IODAPBHAKYVRAU-AWEZNQCLSA-N 1 2 319.405 1.364 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001049552504 856964492 /nfs/dbraw/zinc/96/44/92/856964492.db2.gz NWRDUXJAWNIHBH-HZPDHXFCSA-N 1 2 309.373 1.765 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2nc3c(cc2C#N)CCCC3)C[NH2+]1 ZINC001156249028 862863797 /nfs/dbraw/zinc/86/37/97/862863797.db2.gz VGQMPHOJRZJCFN-JSGCOSHPSA-N 1 2 300.362 1.147 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C3CC3)o1)C2 ZINC001072551618 857435091 /nfs/dbraw/zinc/43/50/91/857435091.db2.gz IGKYXRQDCAUENU-LBPRGKRZSA-N 1 2 314.389 1.746 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C3CC3)o1)C2 ZINC001072551618 857435099 /nfs/dbraw/zinc/43/50/99/857435099.db2.gz IGKYXRQDCAUENU-LBPRGKRZSA-N 1 2 314.389 1.746 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001072632867 857537667 /nfs/dbraw/zinc/53/76/67/857537667.db2.gz PIHWTSRXKYUMMZ-SWLSCSKDSA-N 1 2 318.421 1.580 20 30 DDEDLO CCc1cnc(C[N@@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)o1 ZINC001072716335 857635655 /nfs/dbraw/zinc/63/56/55/857635655.db2.gz PHQMZPKQMXGSAK-UHFFFAOYSA-N 1 2 313.401 1.685 20 30 DDEDLO CCc1cnc(C[N@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)o1 ZINC001072716335 857635657 /nfs/dbraw/zinc/63/56/57/857635657.db2.gz PHQMZPKQMXGSAK-UHFFFAOYSA-N 1 2 313.401 1.685 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072801274 857720162 /nfs/dbraw/zinc/72/01/62/857720162.db2.gz OZXTYBWLCIGFGZ-JSGCOSHPSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccn3c2nnc3C2CC2)CC1 ZINC001156364573 862975513 /nfs/dbraw/zinc/97/55/13/862975513.db2.gz MFCJXMBQZKONLT-UHFFFAOYSA-N 1 2 311.389 1.551 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C(C)(C)C1 ZINC001073473087 858364130 /nfs/dbraw/zinc/36/41/30/858364130.db2.gz UIICVSRAMJAJFW-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cnc(C)cn2)C1 ZINC001073524684 858411991 /nfs/dbraw/zinc/41/19/91/858411991.db2.gz MLURIUCFQIWAHP-ZDUSSCGKSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cnc(C)cn2)C1 ZINC001073524684 858411993 /nfs/dbraw/zinc/41/19/93/858411993.db2.gz MLURIUCFQIWAHP-ZDUSSCGKSA-N 1 2 324.812 1.358 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@H](OC)C1 ZINC001121714856 858580971 /nfs/dbraw/zinc/58/09/71/858580971.db2.gz GYFHARRAYDJJOS-LBPRGKRZSA-N 1 2 302.382 1.014 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@]3(C)CCC=CO3)n2CC)CC1 ZINC001121782122 858596124 /nfs/dbraw/zinc/59/61/24/858596124.db2.gz BIUBAYHCVKLPSM-QGZVFWFLSA-N 1 2 315.421 1.593 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1CC ZINC001121803483 858600247 /nfs/dbraw/zinc/60/02/47/858600247.db2.gz LYQRSCZXGPUPDX-KBPBESRZSA-N 1 2 305.426 1.538 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1CC ZINC001121803483 858600248 /nfs/dbraw/zinc/60/02/48/858600248.db2.gz LYQRSCZXGPUPDX-KBPBESRZSA-N 1 2 305.426 1.538 20 30 DDEDLO C[C@@H](CC(=O)NC1CC2(CC(NCC#N)C2)C1)n1cc[nH+]c1 ZINC001121848192 858611772 /nfs/dbraw/zinc/61/17/72/858611772.db2.gz IOBFCYSZFZOTHI-BAYAOMGESA-N 1 2 301.394 1.375 20 30 DDEDLO C=CCN(CC=C)c1nnc(C[NH+]2CCCC2)n1CCOC ZINC001122357197 858775843 /nfs/dbraw/zinc/77/58/43/858775843.db2.gz DXQQUXMSLWUTLC-UHFFFAOYSA-N 1 2 305.426 1.699 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C[NH+]2CCCC2)n1C[C@@H]1CCCO1 ZINC001122773396 858965480 /nfs/dbraw/zinc/96/54/80/858965480.db2.gz LSZSRBSHXQQVFJ-CABCVRRESA-N 1 2 317.437 1.511 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001124487475 859720333 /nfs/dbraw/zinc/72/03/33/859720333.db2.gz CIPWMZYOOFTSRJ-LBPRGKRZSA-N 1 2 322.409 1.209 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)C[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001124648969 859764785 /nfs/dbraw/zinc/76/47/85/859764785.db2.gz KUKQWPQRVSFIRQ-UONOGXRCSA-N 1 2 306.410 1.619 20 30 DDEDLO C=C(C)COc1ccccc1C[N@@H+]1CCN2C(=O)COC[C@H]2C1 ZINC001138665061 860156066 /nfs/dbraw/zinc/15/60/66/860156066.db2.gz FNVFZHXRJFNKDR-MRXNPFEDSA-N 1 2 316.401 1.685 20 30 DDEDLO C=C(C)COc1ccccc1C[N@H+]1CCN2C(=O)COC[C@H]2C1 ZINC001138665061 860156070 /nfs/dbraw/zinc/15/60/70/860156070.db2.gz FNVFZHXRJFNKDR-MRXNPFEDSA-N 1 2 316.401 1.685 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCOC[C@@](O)(C(F)(F)F)C2)cc1C#N ZINC001139723308 860462738 /nfs/dbraw/zinc/46/27/38/860462738.db2.gz ZWVOELLQTVSJER-CQSZACIVSA-N 1 2 314.307 1.992 20 30 DDEDLO Cc1ccc(C[N@H+]2CCOC[C@@](O)(C(F)(F)F)C2)cc1C#N ZINC001139723308 860462739 /nfs/dbraw/zinc/46/27/39/860462739.db2.gz ZWVOELLQTVSJER-CQSZACIVSA-N 1 2 314.307 1.992 20 30 DDEDLO CNc1nccc(C[NH+]2CCN(c3ccc(C#N)cc3)CC2)n1 ZINC001140042896 860560426 /nfs/dbraw/zinc/56/04/26/860560426.db2.gz JSFIGGDSXFRHKR-UHFFFAOYSA-N 1 2 308.389 1.712 20 30 DDEDLO N#C[C@H]1COCCN1C(=O)/C=C/c1ccc(Cn2cc[nH+]c2)cc1 ZINC001142368194 861137072 /nfs/dbraw/zinc/13/70/72/861137072.db2.gz IOWMGFPOITUWMB-RTRPANQVSA-N 1 2 322.368 1.696 20 30 DDEDLO C#CC[NH2+][C@@H]1c2ccccc2C[C@H]1NC(=O)CCc1cnc[nH]1 ZINC001328686704 863150264 /nfs/dbraw/zinc/15/02/64/863150264.db2.gz MYFJEHZOCHSKLP-SJLPKXTDSA-N 1 2 308.385 1.347 20 30 DDEDLO C=CC[N@@H+](C)CCN(CC)C(=O)c1ccc(S(C)(=O)=O)cc1 ZINC001156667863 863245086 /nfs/dbraw/zinc/24/50/86/863245086.db2.gz HZBKPXHTSNFJEB-UHFFFAOYSA-N 1 2 324.446 1.670 20 30 DDEDLO C=CC[N@H+](C)CCN(CC)C(=O)c1ccc(S(C)(=O)=O)cc1 ZINC001156667863 863245099 /nfs/dbraw/zinc/24/50/99/863245099.db2.gz HZBKPXHTSNFJEB-UHFFFAOYSA-N 1 2 324.446 1.670 20 30 DDEDLO CC#CC[NH2+][C@@H](C)[C@H](C)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001329090395 863469886 /nfs/dbraw/zinc/46/98/86/863469886.db2.gz LFVVYVXJGQERFC-STQMWFEESA-N 1 2 311.389 1.591 20 30 DDEDLO C#Cc1cnc(NC(=O)c2ccc3[nH+]c(N)[nH]c3c2)c(C#C)n1 ZINC001156964724 863480075 /nfs/dbraw/zinc/48/00/75/863480075.db2.gz ROBLJXWJXAMQAG-UHFFFAOYSA-N 1 2 302.297 1.150 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+]Cc1nc(C2CCCC2)no1 ZINC001153086469 863701763 /nfs/dbraw/zinc/70/17/63/863701763.db2.gz YTLOPTRPHGJYML-UHFFFAOYSA-N 1 2 322.409 1.526 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@@H]2CCO[C@@H](C(C)C)C2)C1 ZINC001329707771 863803734 /nfs/dbraw/zinc/80/37/34/863803734.db2.gz PEHBLPDNEUPCML-HZPDHXFCSA-N 1 2 324.465 1.567 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccc(C)n1 ZINC001153326670 863827235 /nfs/dbraw/zinc/82/72/35/863827235.db2.gz CQBFOPQOKBBGKJ-CABCVRRESA-N 1 2 318.421 1.150 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccc(C)n1 ZINC001153326670 863827244 /nfs/dbraw/zinc/82/72/44/863827244.db2.gz CQBFOPQOKBBGKJ-CABCVRRESA-N 1 2 318.421 1.150 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(CC)nn1 ZINC001153625620 863980840 /nfs/dbraw/zinc/98/08/40/863980840.db2.gz ANKHNXWVSUIOSO-CQSZACIVSA-N 1 2 307.442 1.981 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(CC)nn1 ZINC001153625620 863980847 /nfs/dbraw/zinc/98/08/47/863980847.db2.gz ANKHNXWVSUIOSO-CQSZACIVSA-N 1 2 307.442 1.981 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C=C2CCCCC2)C1 ZINC001329971638 863985101 /nfs/dbraw/zinc/98/51/01/863985101.db2.gz VYSNXZROAZRIMU-AWEZNQCLSA-N 1 2 317.433 1.063 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)C1C[NH+](Cc2ccc(F)cn2)C1 ZINC001329997932 864004875 /nfs/dbraw/zinc/00/48/75/864004875.db2.gz PEWLQVQCDQHCMW-OLZOCXBDSA-N 1 2 319.380 1.196 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)C1C[NH+](Cc2cnnn2C)C1 ZINC001330030007 864031527 /nfs/dbraw/zinc/03/15/27/864031527.db2.gz BZNPGPCDAJYBFL-LBPRGKRZSA-N 1 2 305.426 1.354 20 30 DDEDLO C#CC[C@H](CC(=O)NCC[NH2+]Cc1ncccn1)c1ccccc1 ZINC001153714531 864034406 /nfs/dbraw/zinc/03/44/06/864034406.db2.gz PJIPYVHQTSTOBM-QGZVFWFLSA-N 1 2 322.412 1.880 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](CCC)C(C)C)C2)C1 ZINC001330161583 864114280 /nfs/dbraw/zinc/11/42/80/864114280.db2.gz XNGLVAAZRMXRKE-FUHWJXTLSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](CCC)C(C)C)C2)C1 ZINC001330161583 864114287 /nfs/dbraw/zinc/11/42/87/864114287.db2.gz XNGLVAAZRMXRKE-FUHWJXTLSA-N 1 2 306.450 1.995 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC001330472731 864380788 /nfs/dbraw/zinc/38/07/88/864380788.db2.gz QKVSNVRBTINHKD-HNNXBMFYSA-N 1 2 301.390 1.324 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC001330472731 864380807 /nfs/dbraw/zinc/38/08/07/864380807.db2.gz QKVSNVRBTINHKD-HNNXBMFYSA-N 1 2 301.390 1.324 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2cc(F)c(F)cc2C1 ZINC001330986710 864755829 /nfs/dbraw/zinc/75/58/29/864755829.db2.gz AJWUCUFQBWMMFP-AWEZNQCLSA-N 1 2 324.327 1.296 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@H+](Cc2ncccn2)CC1 ZINC001159957714 865614158 /nfs/dbraw/zinc/61/41/58/865614158.db2.gz KUYYYFGZTYXISY-UHFFFAOYSA-N 1 2 312.417 1.919 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@@H+](Cc2ncccn2)CC1 ZINC001159957714 865614165 /nfs/dbraw/zinc/61/41/65/865614165.db2.gz KUYYYFGZTYXISY-UHFFFAOYSA-N 1 2 312.417 1.919 20 30 DDEDLO Cn1cc(Cl)c(C[NH2+]C2(CNC(=O)C#CC3CC3)CC2)n1 ZINC001277055919 881915592 /nfs/dbraw/zinc/91/55/92/881915592.db2.gz XKMUGFLFYYZCJK-UHFFFAOYSA-N 1 2 306.797 1.225 20 30 DDEDLO C[NH+](C)[C@H](C(=O)NCC1CCN(CC#N)CC1)c1cccnc1 ZINC001225591609 881924491 /nfs/dbraw/zinc/92/44/91/881924491.db2.gz WDOPLJRVYSCQKZ-INIZCTEOSA-N 1 2 315.421 1.036 20 30 DDEDLO N#Cc1nc(Cl)cnc1N[C@@H]1CCC[C@@H]1[NH+]1CCOCC1 ZINC001160721958 866064622 /nfs/dbraw/zinc/06/46/22/866064622.db2.gz DOIFCBLSVCNJSD-PWSUYJOCSA-N 1 2 307.785 1.667 20 30 DDEDLO C#CCOCC[N@@H+](C)Cc1nnc(-c2ccccc2OC)o1 ZINC001319774463 866284836 /nfs/dbraw/zinc/28/48/36/866284836.db2.gz ZATQCYIFLHKWSU-UHFFFAOYSA-N 1 2 301.346 1.827 20 30 DDEDLO C#CCOCC[N@H+](C)Cc1nnc(-c2ccccc2OC)o1 ZINC001319774463 866284852 /nfs/dbraw/zinc/28/48/52/866284852.db2.gz ZATQCYIFLHKWSU-UHFFFAOYSA-N 1 2 301.346 1.827 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)CCc2ccns2)n1 ZINC001333018765 866342975 /nfs/dbraw/zinc/34/29/75/866342975.db2.gz YIZCZSNSFLKDCT-UHFFFAOYSA-N 1 2 304.419 1.664 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)CCc2ccns2)n1 ZINC001333018765 866342989 /nfs/dbraw/zinc/34/29/89/866342989.db2.gz YIZCZSNSFLKDCT-UHFFFAOYSA-N 1 2 304.419 1.664 20 30 DDEDLO CCOC(=O)CN1CCC(Nc2cc(CC#N)cc[nH+]2)CC1 ZINC001161085899 866363027 /nfs/dbraw/zinc/36/30/27/866363027.db2.gz OGPYAVIULRWHMH-UHFFFAOYSA-N 1 2 302.378 1.587 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@H+]1CC(=O)N(CC)C1CC1 ZINC001323243754 866466331 /nfs/dbraw/zinc/46/63/31/866466331.db2.gz SMSASIZTPREKLP-OAHLLOKOSA-N 1 2 307.438 1.544 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@@H+]1CC(=O)N(CC)C1CC1 ZINC001323243754 866466339 /nfs/dbraw/zinc/46/63/39/866466339.db2.gz SMSASIZTPREKLP-OAHLLOKOSA-N 1 2 307.438 1.544 20 30 DDEDLO C#CCOCCC(=O)NCC1=CC[N@H+](Cc2coc(C)n2)CC1 ZINC001333336114 866645029 /nfs/dbraw/zinc/64/50/29/866645029.db2.gz AZELEFZVSJIECZ-UHFFFAOYSA-N 1 2 317.389 1.271 20 30 DDEDLO C#CCOCCC(=O)NCC1=CC[N@@H+](Cc2coc(C)n2)CC1 ZINC001333336114 866645038 /nfs/dbraw/zinc/64/50/38/866645038.db2.gz AZELEFZVSJIECZ-UHFFFAOYSA-N 1 2 317.389 1.271 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1([NH2+]Cc2nccc(C)n2)CC1 ZINC001323542612 866676458 /nfs/dbraw/zinc/67/64/58/866676458.db2.gz QMRJRQHJVUGVFT-KGLIPLIRSA-N 1 2 316.405 1.115 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C1C[NH+](CCOCC2CCC2)C1 ZINC001323920819 866948696 /nfs/dbraw/zinc/94/86/96/866948696.db2.gz GSQGGIWXTJZLID-KRWDZBQOSA-N 1 2 310.438 1.273 20 30 DDEDLO C=CCC1(O)CC[NH+](Cc2cn(C)nc2C(=O)OCC)CC1 ZINC001333734770 866973295 /nfs/dbraw/zinc/97/32/95/866973295.db2.gz BCJRILKHISYPBF-UHFFFAOYSA-N 1 2 307.394 1.500 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2ccc(C)[nH]c2=O)C1 ZINC001323981971 866993302 /nfs/dbraw/zinc/99/33/02/866993302.db2.gz SZLMUHJWFRRHLJ-UHFFFAOYSA-N 1 2 305.378 1.054 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@H](C)c2c(C)noc2C)C1 ZINC001323994426 866998810 /nfs/dbraw/zinc/99/88/10/866998810.db2.gz GYVMNHFOCGHGLE-GFCCVEGCSA-N 1 2 321.421 1.740 20 30 DDEDLO C#CCN(C(=O)c1ccc2[nH]nnc2c1)C1CC[NH+](CC=C)CC1 ZINC001324439937 867279465 /nfs/dbraw/zinc/27/94/65/867279465.db2.gz MPSOLBBQUPSHLF-UHFFFAOYSA-N 1 2 323.400 1.684 20 30 DDEDLO C#CCCCC(=O)N[C@H](C[NH2+]Cc1nnc(C)o1)C1CCCC1 ZINC001324467799 867293462 /nfs/dbraw/zinc/29/34/62/867293462.db2.gz VCDIODJJXOBDDG-OAHLLOKOSA-N 1 2 318.421 1.946 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2c(C)cccc2F)C1 ZINC001334180706 867359355 /nfs/dbraw/zinc/35/93/55/867359355.db2.gz SKRQBJJKCDAJKF-CYBMUJFWSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2c(C)cccc2F)C1 ZINC001334180706 867359370 /nfs/dbraw/zinc/35/93/70/867359370.db2.gz SKRQBJJKCDAJKF-CYBMUJFWSA-N 1 2 317.364 1.286 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+](Cc2snnc2C)C1 ZINC001324590716 867385680 /nfs/dbraw/zinc/38/56/80/867385680.db2.gz YTQGPVFGVOBMEU-CQSZACIVSA-N 1 2 310.423 1.130 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+](Cc2snnc2C)C1 ZINC001324590716 867385697 /nfs/dbraw/zinc/38/56/97/867385697.db2.gz YTQGPVFGVOBMEU-CQSZACIVSA-N 1 2 310.423 1.130 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1CN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001334958431 867948362 /nfs/dbraw/zinc/94/83/62/867948362.db2.gz NNXHWGAZOGDUJV-ZIAGYGMSSA-N 1 2 318.421 1.764 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCc1nccc(C(F)(F)F)n1 ZINC001335263109 868186070 /nfs/dbraw/zinc/18/60/70/868186070.db2.gz PVIQQCBKJAAJCQ-UHFFFAOYSA-N 1 2 302.300 1.272 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCc1nccc(C(F)(F)F)n1 ZINC001335263109 868186079 /nfs/dbraw/zinc/18/60/79/868186079.db2.gz PVIQQCBKJAAJCQ-UHFFFAOYSA-N 1 2 302.300 1.272 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(-c2nccc(Cl)c2C#N)cn1 ZINC001163411186 868428949 /nfs/dbraw/zinc/42/89/49/868428949.db2.gz DRBMVBXYTODOGG-LBPRGKRZSA-N 1 2 319.752 1.225 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(-c2ncc(C#N)cc2Cl)cn1 ZINC001163412154 868429841 /nfs/dbraw/zinc/42/98/41/868429841.db2.gz NHVXRDSDEZYGIV-LBPRGKRZSA-N 1 2 319.752 1.225 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]CC2(CCNC(=O)[C@@H](C)C#N)CC2)no1 ZINC001163859599 868760746 /nfs/dbraw/zinc/76/07/46/868760746.db2.gz GQPMHPNQDMGKNM-QWRGUYRKSA-N 1 2 305.382 1.475 20 30 DDEDLO N#CC1(c2cc[nH+]c(NCCNC(=O)c3ccncc3)c2)CC1 ZINC001163966929 868831937 /nfs/dbraw/zinc/83/19/37/868831937.db2.gz UTOKSUHAHXSGMD-UHFFFAOYSA-N 1 2 307.357 1.874 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001164223493 869035314 /nfs/dbraw/zinc/03/53/14/869035314.db2.gz SZONERXECJILCG-STQMWFEESA-N 1 2 324.425 1.313 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]CC2(CCNC(=O)C#CC(C)C)CC2)no1 ZINC001164463742 869209606 /nfs/dbraw/zinc/20/96/06/869209606.db2.gz BPJMZFCXQAYFPO-CYBMUJFWSA-N 1 2 318.421 1.975 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]CC2(CCNC(=O)C#CC3CC3)CC2)no1 ZINC001164479471 869222178 /nfs/dbraw/zinc/22/21/78/869222178.db2.gz NRQAUWJMIHYACK-LBPRGKRZSA-N 1 2 316.405 1.729 20 30 DDEDLO CSc1nc(Cl)cc(C(F)(F)N2CC[NH2+]C[C@H]2C#N)n1 ZINC001164642779 869339552 /nfs/dbraw/zinc/33/95/52/869339552.db2.gz YOPPCZVPLXDYQA-SSDOTTSWSA-N 1 2 319.768 1.699 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001338017753 869747048 /nfs/dbraw/zinc/74/70/48/869747048.db2.gz MXLKIRSNVFTVTP-TZMCWYRMSA-N 1 2 304.394 1.414 20 30 DDEDLO C#CCN(C(=O)[C@@H](CC)[N@@H+]1CCO[C@H](CC)C1)C1CSC1 ZINC001166131569 869844889 /nfs/dbraw/zinc/84/48/89/869844889.db2.gz KFJQHJHNFKXPJA-HUUCEWRRSA-N 1 2 310.463 1.453 20 30 DDEDLO C#CCN(C(=O)[C@@H](CC)[N@H+]1CCO[C@H](CC)C1)C1CSC1 ZINC001166131569 869844904 /nfs/dbraw/zinc/84/49/04/869844904.db2.gz KFJQHJHNFKXPJA-HUUCEWRRSA-N 1 2 310.463 1.453 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)c2cccs2)C1 ZINC001316970012 870016401 /nfs/dbraw/zinc/01/64/01/870016401.db2.gz VFXSQEYEICAQPF-RYUDHWBXSA-N 1 2 307.419 1.243 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)c2cccs2)C1 ZINC001316970012 870016414 /nfs/dbraw/zinc/01/64/14/870016414.db2.gz VFXSQEYEICAQPF-RYUDHWBXSA-N 1 2 307.419 1.243 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)CSC ZINC001317044036 870155967 /nfs/dbraw/zinc/15/59/67/870155967.db2.gz FZAWOVOKMHNHNL-SOUVJXGZSA-N 1 2 310.463 1.311 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)CSC ZINC001317044036 870155973 /nfs/dbraw/zinc/15/59/73/870155973.db2.gz FZAWOVOKMHNHNL-SOUVJXGZSA-N 1 2 310.463 1.311 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H](NC(=O)CCc1c[nH]c[nH+]1)C(C)C ZINC001297966585 870220587 /nfs/dbraw/zinc/22/05/87/870220587.db2.gz BCIPNWFTNRDCNQ-HNNXBMFYSA-N 1 2 318.421 1.259 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H](NC(=O)CCc1c[nH+]c[nH]1)C(C)C ZINC001297966585 870220595 /nfs/dbraw/zinc/22/05/95/870220595.db2.gz BCIPNWFTNRDCNQ-HNNXBMFYSA-N 1 2 318.421 1.259 20 30 DDEDLO Cc1cc(C[NH+]2CC(CCO)(NC(=O)[C@@H](C)C#N)C2)c(C)s1 ZINC001381659293 882436545 /nfs/dbraw/zinc/43/65/45/882436545.db2.gz DRMFSDMDGFVTRF-NSHDSACASA-N 1 2 321.446 1.578 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001298866464 870759763 /nfs/dbraw/zinc/75/97/63/870759763.db2.gz CQQQJSQWOPLNDT-LRDDRELGSA-N 1 2 306.410 1.186 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3ccc(O)c(O)c3)CC2)c1 ZINC001203882522 870815484 /nfs/dbraw/zinc/81/54/84/870815484.db2.gz KHNSNUZPAKUFPK-UHFFFAOYSA-N 1 2 310.357 1.687 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@@H+](C)CC(=O)N[C@@H](C)C2CC2)C1 ZINC001317461397 870881257 /nfs/dbraw/zinc/88/12/57/870881257.db2.gz BDXSBGDSSNODON-ZDUSSCGKSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@H+](C)CC(=O)N[C@@H](C)C2CC2)C1 ZINC001317461397 870881270 /nfs/dbraw/zinc/88/12/70/870881270.db2.gz BDXSBGDSSNODON-ZDUSSCGKSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCn1c([C@H]2C[N@@H+]3CCCC[C@H]3CO2)nnc1N1CCCC1 ZINC001340195776 870950541 /nfs/dbraw/zinc/95/05/41/870950541.db2.gz OTRAIXHWSMMYCM-LSDHHAIUSA-N 1 2 317.437 1.990 20 30 DDEDLO C=CCn1c([C@H]2C[N@H+]3CCCC[C@H]3CO2)nnc1N1CCCC1 ZINC001340195776 870950546 /nfs/dbraw/zinc/95/05/46/870950546.db2.gz OTRAIXHWSMMYCM-LSDHHAIUSA-N 1 2 317.437 1.990 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)CCOCC)CC1 ZINC001226494600 882465259 /nfs/dbraw/zinc/46/52/59/882465259.db2.gz XBLORGPZJIITQB-UHFFFAOYSA-N 1 2 319.243 1.902 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccoc3)n2CC=C)CC1 ZINC001340376244 871068718 /nfs/dbraw/zinc/06/87/18/871068718.db2.gz UTCUZUAJQWYRHC-UHFFFAOYSA-N 1 2 311.389 1.403 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@@]1(F)CCOC1 ZINC001316844936 871267853 /nfs/dbraw/zinc/26/78/53/871267853.db2.gz HDEVFLDDPULVQC-GFCCVEGCSA-N 1 2 323.206 1.462 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@@]1(F)CCOC1 ZINC001316844936 871267864 /nfs/dbraw/zinc/26/78/64/871267864.db2.gz HDEVFLDDPULVQC-GFCCVEGCSA-N 1 2 323.206 1.462 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)CC2(C)CCCC2)CC1 ZINC001317817005 871544450 /nfs/dbraw/zinc/54/44/50/871544450.db2.gz RZTCDVMHWRPYHQ-UHFFFAOYSA-N 1 2 305.466 1.666 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)c1nnc(Cc2[nH+]ccn2C)n1C ZINC001341250643 871577088 /nfs/dbraw/zinc/57/70/88/871577088.db2.gz ZAWQKOALEBIKFJ-UHFFFAOYSA-N 1 2 321.388 1.647 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[N@@H+](CC)Cc1ncc(C)s1 ZINC001317478679 871577250 /nfs/dbraw/zinc/57/72/50/871577250.db2.gz SRBZATKXXSUASK-CYBMUJFWSA-N 1 2 309.435 1.428 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[N@H+](CC)Cc1ncc(C)s1 ZINC001317478679 871577268 /nfs/dbraw/zinc/57/72/68/871577268.db2.gz SRBZATKXXSUASK-CYBMUJFWSA-N 1 2 309.435 1.428 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](CC(=O)NCCC)C2)C1 ZINC001317944813 871640409 /nfs/dbraw/zinc/64/04/09/871640409.db2.gz RJCTXPTZXKTXMD-CQSZACIVSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](CC(=O)NCCC)C2)C1 ZINC001317944813 871640430 /nfs/dbraw/zinc/64/04/30/871640430.db2.gz RJCTXPTZXKTXMD-CQSZACIVSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1C[NH+]([C@H](C)c2cccc(OC)c2)C1 ZINC001318018909 871692662 /nfs/dbraw/zinc/69/26/62/871692662.db2.gz UOBJINZXEWTPKK-FZKQIMNGSA-N 1 2 318.417 1.884 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CC(CNC(=O)c2cccs2)C1 ZINC001318097261 871743112 /nfs/dbraw/zinc/74/31/12/871743112.db2.gz SQNOFJKQFVFFIE-LLVKDONJSA-N 1 2 307.419 1.100 20 30 DDEDLO C=CCCC(=O)NCC1C[NH+](CC(=O)N2CCCCCC2)C1 ZINC001318108322 871750580 /nfs/dbraw/zinc/75/05/80/871750580.db2.gz TUWUAQHBUMFSOO-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C(C)CN(C)c1nnc(Cc2[nH+]ccn2C)n1CCOC ZINC001341696385 871780012 /nfs/dbraw/zinc/78/00/12/871780012.db2.gz PJGVVTIGXQYCFN-UHFFFAOYSA-N 1 2 304.398 1.261 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@H]2C[C@]2(C)C(C)C)C1 ZINC001318163816 871789589 /nfs/dbraw/zinc/78/95/89/871789589.db2.gz FPELDFFCZNBBKV-RHSMWYFYSA-N 1 2 307.438 1.019 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)C(F)F)C1 ZINC001316968825 871875078 /nfs/dbraw/zinc/87/50/78/871875078.db2.gz ZUYFVKOZTPVKBL-VXGBXAGGSA-N 1 2 317.380 1.255 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(=O)C(F)F)C1 ZINC001316968825 871875100 /nfs/dbraw/zinc/87/51/00/871875100.db2.gz ZUYFVKOZTPVKBL-VXGBXAGGSA-N 1 2 317.380 1.255 20 30 DDEDLO CCOc1ccc(C[NH+]2CCN(C(=O)[C@@H]3C[C@@H]3C#N)CC2)cc1 ZINC001361877846 882558850 /nfs/dbraw/zinc/55/88/50/882558850.db2.gz OICQINQVVVTGMC-NVXWUHKLSA-N 1 2 313.401 1.889 20 30 DDEDLO CCc1nnc([C@H](C)[NH+]2CCC(NC(=O)[C@H](C)C#N)CC2)o1 ZINC001226639431 882559748 /nfs/dbraw/zinc/55/97/48/882559748.db2.gz CCWIQWDRAWTAAN-MNOVXSKESA-N 1 2 305.382 1.433 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)sn1)O2 ZINC001315479893 871915512 /nfs/dbraw/zinc/91/55/12/871915512.db2.gz QLQWDUDXXDBLLF-GFCCVEGCSA-N 1 2 307.419 1.601 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)CCC1CC1 ZINC001342061528 871979302 /nfs/dbraw/zinc/97/93/02/871979302.db2.gz JOKKWCZXSPLVJY-HUUCEWRRSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)CCC1CC1 ZINC001342061528 871979308 /nfs/dbraw/zinc/97/93/08/871979308.db2.gz JOKKWCZXSPLVJY-HUUCEWRRSA-N 1 2 319.453 1.828 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CC[C@@H](N(C)C(=O)C#CC(C)C)C1 ZINC001318404128 872006327 /nfs/dbraw/zinc/00/63/27/872006327.db2.gz XZICNBGCDZTFKZ-MRXNPFEDSA-N 1 2 321.465 1.483 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CC[C@@H](N(C)C(=O)C#CC(C)C)C1 ZINC001318404128 872006351 /nfs/dbraw/zinc/00/63/51/872006351.db2.gz XZICNBGCDZTFKZ-MRXNPFEDSA-N 1 2 321.465 1.483 20 30 DDEDLO CN(C(=O)C#CC1CC1)C1CC[NH+](Cc2cscn2)CC1 ZINC001316931693 872406133 /nfs/dbraw/zinc/40/61/33/872406133.db2.gz XRAAXNPZEGDMPC-UHFFFAOYSA-N 1 2 303.431 1.979 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NC(C)C)C1 ZINC001316945852 872440043 /nfs/dbraw/zinc/44/00/43/872440043.db2.gz VVKNRNZBQBOMPI-INIZCTEOSA-N 1 2 321.465 1.860 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NC(C)C)C1 ZINC001316945852 872440050 /nfs/dbraw/zinc/44/00/50/872440050.db2.gz VVKNRNZBQBOMPI-INIZCTEOSA-N 1 2 321.465 1.860 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC2CC2)C1 ZINC001316949096 872447511 /nfs/dbraw/zinc/44/75/11/872447511.db2.gz LAAFXGOWDYPYRZ-GOEBONIOSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001316949096 872447522 /nfs/dbraw/zinc/44/75/22/872447522.db2.gz LAAFXGOWDYPYRZ-GOEBONIOSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2ncc(C)o2)C[C@H]1C ZINC001206614614 872477694 /nfs/dbraw/zinc/47/76/94/872477694.db2.gz XMAGGFQFHPQROP-MRVWCRGKSA-N 1 2 307.394 1.683 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2ncc(C)o2)C[C@H]1C ZINC001206614614 872477697 /nfs/dbraw/zinc/47/76/97/872477697.db2.gz XMAGGFQFHPQROP-MRVWCRGKSA-N 1 2 307.394 1.683 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)C2(CC)CCC2)C1 ZINC001319320629 872569041 /nfs/dbraw/zinc/56/90/41/872569041.db2.gz XSTYMPFFHCZRRA-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)C2(CC)CCC2)C1 ZINC001319320629 872569049 /nfs/dbraw/zinc/56/90/49/872569049.db2.gz XSTYMPFFHCZRRA-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2nccc(C)n2)C[C@H]1C ZINC001206914466 872757812 /nfs/dbraw/zinc/75/78/12/872757812.db2.gz GMUFELGCNMJMND-SUYBPPKGSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2nccc(C)n2)C[C@H]1C ZINC001206914466 872757823 /nfs/dbraw/zinc/75/78/23/872757823.db2.gz GMUFELGCNMJMND-SUYBPPKGSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001381788206 882668856 /nfs/dbraw/zinc/66/88/56/882668856.db2.gz OYFGALRBKSWXJY-VXGBXAGGSA-N 1 2 313.829 1.092 20 30 DDEDLO C#CC[NH+]1CCC(OC(=O)c2[nH]nc3c2C[C@H](C)CC3)CC1 ZINC001345672862 873472266 /nfs/dbraw/zinc/47/22/66/873472266.db2.gz CKYJDPSESGUXRX-GFCCVEGCSA-N 1 2 301.390 1.789 20 30 DDEDLO C=CCC1(S(=O)(=O)N2CCC[C@]3(C2)C[N@H+](C)CCO3)CC1 ZINC001277169691 882744337 /nfs/dbraw/zinc/74/43/37/882744337.db2.gz FGFXWTCOVWQIMR-CQSZACIVSA-N 1 2 314.451 1.222 20 30 DDEDLO C=CCC1(S(=O)(=O)N2CCC[C@]3(C2)C[N@@H+](C)CCO3)CC1 ZINC001277169691 882744348 /nfs/dbraw/zinc/74/43/48/882744348.db2.gz FGFXWTCOVWQIMR-CQSZACIVSA-N 1 2 314.451 1.222 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001208010730 873746881 /nfs/dbraw/zinc/74/68/81/873746881.db2.gz OGCSXSROVGZSPZ-GFCCVEGCSA-N 1 2 306.435 1.668 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncnc(Cl)c3Cl)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227041964 882791503 /nfs/dbraw/zinc/79/15/03/882791503.db2.gz MVZSUNUOUFKGGV-WQDSJKFHSA-N 1 2 302.161 1.775 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncnc(Cl)c3Cl)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227041964 882791511 /nfs/dbraw/zinc/79/15/11/882791511.db2.gz MVZSUNUOUFKGGV-WQDSJKFHSA-N 1 2 302.161 1.775 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2nccn2C)C[C@H]1C ZINC001208508866 874202953 /nfs/dbraw/zinc/20/29/53/874202953.db2.gz JWXFMYMOZOVJPO-CPUCHLNUSA-N 1 2 304.438 1.959 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2nccn2C)C[C@H]1C ZINC001208508866 874202962 /nfs/dbraw/zinc/20/29/62/874202962.db2.gz JWXFMYMOZOVJPO-CPUCHLNUSA-N 1 2 304.438 1.959 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1C[C@@H](C)C(=O)OC ZINC001208714818 874409569 /nfs/dbraw/zinc/40/95/69/874409569.db2.gz WPYQRFZZQNPNTG-TZMCWYRMSA-N 1 2 322.409 1.209 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1C[C@@H](C)C(=O)OC ZINC001208714818 874409577 /nfs/dbraw/zinc/40/95/77/874409577.db2.gz WPYQRFZZQNPNTG-TZMCWYRMSA-N 1 2 322.409 1.209 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001348454048 874570387 /nfs/dbraw/zinc/57/03/87/874570387.db2.gz KUOYURZWKUHFCD-IRXDYDNUSA-N 1 2 317.433 1.879 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001348454048 874570391 /nfs/dbraw/zinc/57/03/91/874570391.db2.gz KUOYURZWKUHFCD-IRXDYDNUSA-N 1 2 317.433 1.879 20 30 DDEDLO C#Cc1ccc(C(=O)NCCNC(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC001349001199 874918973 /nfs/dbraw/zinc/91/89/73/874918973.db2.gz NDIJTDJBPHEUMG-CQSZACIVSA-N 1 2 324.384 1.362 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc2c1OCCCO2 ZINC001349818640 875391313 /nfs/dbraw/zinc/39/13/13/875391313.db2.gz UZDSJVHWZQTECG-NSHDSACASA-N 1 2 320.345 1.233 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001350711994 875850317 /nfs/dbraw/zinc/85/03/17/875850317.db2.gz NNKFSJSYFLBSHP-CABCVRRESA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H](CNC(=O)c1[nH]nc2c1CCC2)C(C)C ZINC001378984475 876071727 /nfs/dbraw/zinc/07/17/27/876071727.db2.gz ZZGRPHLUSNQPNP-ZDUSSCGKSA-N 1 2 310.829 1.995 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)CC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001379024104 876159173 /nfs/dbraw/zinc/15/91/73/876159173.db2.gz PSKJUNILSYEGQL-LBPRGKRZSA-N 1 2 307.369 1.622 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)CC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001379024104 876159175 /nfs/dbraw/zinc/15/91/75/876159175.db2.gz PSKJUNILSYEGQL-LBPRGKRZSA-N 1 2 307.369 1.622 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+][C@@H](C)c2noc(C)n2)C1 ZINC001214524557 876376688 /nfs/dbraw/zinc/37/66/88/876376688.db2.gz GFYCDJZGJMRYPV-MBNYWOFBSA-N 1 2 304.394 1.679 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CN(C(=O)C#CC(C)C)C[C@H]2C)n1 ZINC001214582605 876403991 /nfs/dbraw/zinc/40/39/91/876403991.db2.gz YLAYPZALAUQRCY-ZIAGYGMSSA-N 1 2 318.421 1.618 20 30 DDEDLO C[C@@H]1CN(C(=O)C#CC(C)(C)C)C[C@H]1[NH2+]Cc1csnn1 ZINC001214588908 876405901 /nfs/dbraw/zinc/40/59/01/876405901.db2.gz AERKZSGYYVYWKD-DGCLKSJQSA-N 1 2 306.435 1.524 20 30 DDEDLO Cc1c[nH]c(C(=O)N(C)CC[N@H+](C)Cc2ccccc2C#N)n1 ZINC001379106630 876418468 /nfs/dbraw/zinc/41/84/68/876418468.db2.gz BOMVEPYYGCPWCO-UHFFFAOYSA-N 1 2 311.389 1.794 20 30 DDEDLO Cc1c[nH]c(C(=O)N(C)CC[N@@H+](C)Cc2ccccc2C#N)n1 ZINC001379106630 876418470 /nfs/dbraw/zinc/41/84/70/876418470.db2.gz BOMVEPYYGCPWCO-UHFFFAOYSA-N 1 2 311.389 1.794 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](CC)OCC)n2CC)CC1 ZINC001352809965 876945505 /nfs/dbraw/zinc/94/55/05/876945505.db2.gz MNULFPKIZGGLSY-AWEZNQCLSA-N 1 2 305.426 1.541 20 30 DDEDLO C=C(C)CCC(=O)NC1CC[NH+]([C@H](C)c2nncn2C)CC1 ZINC001227597406 883094806 /nfs/dbraw/zinc/09/48/06/883094806.db2.gz YLFMZSMXOSUUIA-CYBMUJFWSA-N 1 2 305.426 1.813 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2csnn2)C1 ZINC001216929701 877095297 /nfs/dbraw/zinc/09/52/97/877095297.db2.gz CEOOOIRWXGMPCC-NTZNESFSSA-N 1 2 310.423 1.066 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001353780962 877559484 /nfs/dbraw/zinc/55/94/84/877559484.db2.gz HTDKPYPIHMKGAB-SOUVJXGZSA-N 1 2 318.421 1.954 20 30 DDEDLO N#Cc1cc(NC(=O)[C@@H]2COCC[NH2+]2)ccc1N1CCCCC1 ZINC001218945281 877824185 /nfs/dbraw/zinc/82/41/85/877824185.db2.gz VSKNBJNDWYXYNU-HNNXBMFYSA-N 1 2 314.389 1.475 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@H](C)NC(=O)C#CC2CC2)c(C)[nH+]1 ZINC001354630244 878117371 /nfs/dbraw/zinc/11/73/71/878117371.db2.gz XYGHOCMZVCTDIX-ZDUSSCGKSA-N 1 2 313.401 1.655 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001355684444 878675849 /nfs/dbraw/zinc/67/58/49/878675849.db2.gz FMWFASFALGPLSB-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001355684444 878675862 /nfs/dbraw/zinc/67/58/62/878675862.db2.gz FMWFASFALGPLSB-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCCCCCC1 ZINC001355919979 878778399 /nfs/dbraw/zinc/77/83/99/878778399.db2.gz MBYDDNQCRBCLPN-CABCVRRESA-N 1 2 319.453 1.972 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCCCCCC1 ZINC001355919979 878778409 /nfs/dbraw/zinc/77/84/09/878778409.db2.gz MBYDDNQCRBCLPN-CABCVRRESA-N 1 2 319.453 1.972 20 30 DDEDLO C=CCCC(=O)NCCN(CC)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001356000496 878818348 /nfs/dbraw/zinc/81/83/48/878818348.db2.gz OASIEDKDUMKRNJ-AWEZNQCLSA-N 1 2 318.421 1.376 20 30 DDEDLO CC(F)(F)CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001220305712 878898761 /nfs/dbraw/zinc/89/87/61/878898761.db2.gz MPUSBGJLODXPRV-KGLIPLIRSA-N 1 2 323.343 1.265 20 30 DDEDLO CC(F)(F)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001220305712 878898766 /nfs/dbraw/zinc/89/87/66/878898766.db2.gz MPUSBGJLODXPRV-KGLIPLIRSA-N 1 2 323.343 1.265 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001287700951 912404548 /nfs/dbraw/zinc/40/45/48/912404548.db2.gz MEWXFDOWGZZNJS-LBPRGKRZSA-N 1 2 306.410 1.580 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC(C)C)c2ccccc2)[C@@H](O)C1 ZINC001220504789 879070443 /nfs/dbraw/zinc/07/04/43/879070443.db2.gz RTWXHWYTFMQORP-KSZLIROESA-N 1 2 314.429 1.611 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC(C)C)c2ccccc2)[C@@H](O)C1 ZINC001220504789 879070458 /nfs/dbraw/zinc/07/04/58/879070458.db2.gz RTWXHWYTFMQORP-KSZLIROESA-N 1 2 314.429 1.611 20 30 DDEDLO C=C(C)CCC(=O)N[C@@](C)(CNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001356957231 879628313 /nfs/dbraw/zinc/62/83/13/879628313.db2.gz YNSBLCMJHDPGFO-KRWDZBQOSA-N 1 2 318.421 1.641 20 30 DDEDLO N#CCSCC(=O)N1C[C@H]2CC[N@H+](Cc3cncs3)C[C@H]21 ZINC001221291333 879654790 /nfs/dbraw/zinc/65/47/90/879654790.db2.gz CEBLGCCXRMQCJP-DGCLKSJQSA-N 1 2 322.459 1.433 20 30 DDEDLO N#CCSCC(=O)N1C[C@H]2CC[N@@H+](Cc3cncs3)C[C@H]21 ZINC001221291333 879654803 /nfs/dbraw/zinc/65/48/03/879654803.db2.gz CEBLGCCXRMQCJP-DGCLKSJQSA-N 1 2 322.459 1.433 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@](C)(CNC(=O)[C@H](C)C#N)C2)n1 ZINC001380464622 879707065 /nfs/dbraw/zinc/70/70/65/879707065.db2.gz UPCRPJPKIPWGQW-IAQYHMDHSA-N 1 2 305.382 1.256 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@](C)(CNC(=O)[C@H](C)C#N)C2)n1 ZINC001380464622 879707079 /nfs/dbraw/zinc/70/70/79/879707079.db2.gz UPCRPJPKIPWGQW-IAQYHMDHSA-N 1 2 305.382 1.256 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)C(C)C ZINC001357114959 879802262 /nfs/dbraw/zinc/80/22/62/879802262.db2.gz BRZCVJMXKPLRFW-AWEZNQCLSA-N 1 2 318.421 1.403 20 30 DDEDLO C#CCN(CC#CC)c1nnc(C[NH+]2CCCC2)n1CC(C)C ZINC001358119899 880337928 /nfs/dbraw/zinc/33/79/28/880337928.db2.gz RTIVFAUBFZVQSI-UHFFFAOYSA-N 1 2 313.449 1.993 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001358298246 880393695 /nfs/dbraw/zinc/39/36/95/880393695.db2.gz NXJPHJVPELFPKR-DGCLKSJQSA-N 1 2 306.410 1.484 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](Cc2cnn(CC)n2)CC1 ZINC001222579134 880573479 /nfs/dbraw/zinc/57/34/79/880573479.db2.gz PPMKVJLDOZKZRT-UHFFFAOYSA-N 1 2 305.426 1.592 20 30 DDEDLO C=CCOCCCC(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001222721742 880668458 /nfs/dbraw/zinc/66/84/58/880668458.db2.gz NAJGAFCHMFQTJC-UHFFFAOYSA-N 1 2 322.409 1.381 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1C ZINC001276899257 880998913 /nfs/dbraw/zinc/99/89/13/880998913.db2.gz OACMHFAIZPBCCL-QLFBSQMISA-N 1 2 307.438 1.258 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1C ZINC001276899257 880998921 /nfs/dbraw/zinc/99/89/21/880998921.db2.gz OACMHFAIZPBCCL-QLFBSQMISA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001287997784 912651741 /nfs/dbraw/zinc/65/17/41/912651741.db2.gz FFWDFXNJGXZQDL-CHWSQXEVSA-N 1 2 304.394 1.414 20 30 DDEDLO CC#CCCCC(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001224156888 881246208 /nfs/dbraw/zinc/24/62/08/881246208.db2.gz AWHDYVHPUVGMGV-UHFFFAOYSA-N 1 2 304.394 1.591 20 30 DDEDLO N#Cc1cccc2c(C[N@@H+]3CCc4n[nH]c(C(N)=O)c4C3)c[nH]c21 ZINC001276953594 881262139 /nfs/dbraw/zinc/26/21/39/881262139.db2.gz MORHKLNMUORUBJ-UHFFFAOYSA-N 1 2 320.356 1.420 20 30 DDEDLO N#Cc1cccc2c(C[N@H+]3CCc4n[nH]c(C(N)=O)c4C3)c[nH]c21 ZINC001276953594 881262149 /nfs/dbraw/zinc/26/21/49/881262149.db2.gz MORHKLNMUORUBJ-UHFFFAOYSA-N 1 2 320.356 1.420 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC1CC[NH+](Cc2nnnn2C)CC1 ZINC001224187718 881264419 /nfs/dbraw/zinc/26/44/19/881264419.db2.gz YMFVBKHXZUQOCJ-CYBMUJFWSA-N 1 2 320.441 1.141 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288054213 912698552 /nfs/dbraw/zinc/69/85/52/912698552.db2.gz ZOJJTMWYZRPFCA-KGLIPLIRSA-N 1 2 318.421 1.710 20 30 DDEDLO CC(=O)c1c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cc(C)oc1=O ZINC001229187019 883863771 /nfs/dbraw/zinc/86/37/71/883863771.db2.gz NSVKMLBHJRSDCQ-RBRDRRMGSA-N 1 2 305.330 1.142 20 30 DDEDLO CC(=O)c1c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cc(C)oc1=O ZINC001229187019 883863789 /nfs/dbraw/zinc/86/37/89/883863789.db2.gz NSVKMLBHJRSDCQ-RBRDRRMGSA-N 1 2 305.330 1.142 20 30 DDEDLO CC(C)N(CC[N@H+](C)CC(=O)NCC1CCC1)C(=O)[C@H](C)C#N ZINC001382491881 884120752 /nfs/dbraw/zinc/12/07/52/884120752.db2.gz RSYWWNOGXPAIAC-CQSZACIVSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)N(CC[N@@H+](C)CC(=O)NCC1CCC1)C(=O)[C@H](C)C#N ZINC001382491881 884120760 /nfs/dbraw/zinc/12/07/60/884120760.db2.gz RSYWWNOGXPAIAC-CQSZACIVSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H](NC(=O)Cc1[nH]cc[nH+]1)C(C)(C)C ZINC001288230109 912790289 /nfs/dbraw/zinc/79/02/89/912790289.db2.gz VYOTYNBVLFABDF-ZDUSSCGKSA-N 1 2 318.421 1.259 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nccn2C)CC1 ZINC001230407142 884472350 /nfs/dbraw/zinc/47/23/50/884472350.db2.gz JNIMYWBMDVTTGN-ZDUSSCGKSA-N 1 2 306.410 1.092 20 30 DDEDLO COC[C@H](C)CC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230612448 884649777 /nfs/dbraw/zinc/64/97/77/884649777.db2.gz ADFLARPAIGSUDD-ZBFHGGJFSA-N 1 2 307.438 1.886 20 30 DDEDLO COC[C@H](C)CC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230612448 884649778 /nfs/dbraw/zinc/64/97/78/884649778.db2.gz ADFLARPAIGSUDD-ZBFHGGJFSA-N 1 2 307.438 1.886 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)N[C@H](CO)Cc1c[nH]c[nH+]1 ZINC001362794369 884656704 /nfs/dbraw/zinc/65/67/04/884656704.db2.gz GTGUDWBYLRRJDR-HNNXBMFYSA-N 1 2 312.373 1.161 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)N[C@H](CO)Cc1c[nH+]c[nH]1 ZINC001362794369 884656708 /nfs/dbraw/zinc/65/67/08/884656708.db2.gz GTGUDWBYLRRJDR-HNNXBMFYSA-N 1 2 312.373 1.161 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)Cc1cccs1 ZINC001230617867 884657194 /nfs/dbraw/zinc/65/71/94/884657194.db2.gz AMSCUSHDBWNSRZ-CYBMUJFWSA-N 1 2 321.446 1.126 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)Cc1cccs1 ZINC001230617867 884657203 /nfs/dbraw/zinc/65/72/03/884657203.db2.gz AMSCUSHDBWNSRZ-CYBMUJFWSA-N 1 2 321.446 1.126 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665643 884718852 /nfs/dbraw/zinc/71/88/52/884718852.db2.gz WCNUGSIRPPCWCY-ZBFHGGJFSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665643 884718861 /nfs/dbraw/zinc/71/88/61/884718861.db2.gz WCNUGSIRPPCWCY-ZBFHGGJFSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)CCCCC)C1=O ZINC001230776053 884864884 /nfs/dbraw/zinc/86/48/84/884864884.db2.gz PAMFIJKKFLMLJQ-HOTGVXAUSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CCCCC)C1=O ZINC001230776053 884864892 /nfs/dbraw/zinc/86/48/92/884864892.db2.gz PAMFIJKKFLMLJQ-HOTGVXAUSA-N 1 2 321.465 1.886 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001230938239 885063447 /nfs/dbraw/zinc/06/34/47/885063447.db2.gz OBNILWKUNVAKMX-RDJZCZTQSA-N 1 2 300.402 1.606 20 30 DDEDLO CO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001230938239 885063457 /nfs/dbraw/zinc/06/34/57/885063457.db2.gz OBNILWKUNVAKMX-RDJZCZTQSA-N 1 2 300.402 1.606 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001288454812 912908513 /nfs/dbraw/zinc/90/85/13/912908513.db2.gz CDTIORJOOMPHRI-UONOGXRCSA-N 1 2 304.394 1.345 20 30 DDEDLO CCOc1nc(O[C@H]2C[C@@H]3CC(=O)C[C@H]2[N@@H+]3C)c(C#N)s1 ZINC001231092016 885210595 /nfs/dbraw/zinc/21/05/95/885210595.db2.gz ZYVNKBVEAWPPLS-GDPRMGEGSA-N 1 2 307.375 1.596 20 30 DDEDLO CCOc1nc(O[C@H]2C[C@@H]3CC(=O)C[C@H]2[N@H+]3C)c(C#N)s1 ZINC001231092016 885210611 /nfs/dbraw/zinc/21/06/11/885210611.db2.gz ZYVNKBVEAWPPLS-GDPRMGEGSA-N 1 2 307.375 1.596 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnn(C(C)(C)C)c1 ZINC001231293859 885477667 /nfs/dbraw/zinc/47/76/67/885477667.db2.gz RZRSCINTEPAXGK-ZFWWWQNUSA-N 1 2 317.437 1.830 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnn(C(C)(C)C)c1 ZINC001231293859 885477676 /nfs/dbraw/zinc/47/76/76/885477676.db2.gz RZRSCINTEPAXGK-ZFWWWQNUSA-N 1 2 317.437 1.830 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1C(C)(C)C1(C)C ZINC001231405976 885615467 /nfs/dbraw/zinc/61/54/67/885615467.db2.gz UITSSYKSXDZDPI-CYBMUJFWSA-N 1 2 321.465 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1C(C)(C)C1(C)C ZINC001231405976 885615482 /nfs/dbraw/zinc/61/54/82/885615482.db2.gz UITSSYKSXDZDPI-CYBMUJFWSA-N 1 2 321.465 1.504 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCN2C[C@@H](F)C[C@H]2C1 ZINC001363335887 886056826 /nfs/dbraw/zinc/05/68/26/886056826.db2.gz TZMZOFJAJJBQSB-GJZGRUSLSA-N 1 2 319.380 1.674 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCN2C[C@@H](F)C[C@H]2C1 ZINC001363335887 886056839 /nfs/dbraw/zinc/05/68/39/886056839.db2.gz TZMZOFJAJJBQSB-GJZGRUSLSA-N 1 2 319.380 1.674 20 30 DDEDLO COC(=O)C1(O)CC[NH+](Cc2ccc(C#N)c(Cl)c2)CC1 ZINC001232118126 886106766 /nfs/dbraw/zinc/10/67/66/886106766.db2.gz JBQWWOJBSKZFEH-UHFFFAOYSA-N 1 2 308.765 1.712 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)N(CC)C(=O)Cc1c[nH+]c[nH]1 ZINC001288623769 913010483 /nfs/dbraw/zinc/01/04/83/913010483.db2.gz LQVFWJQNBZJUGB-CYBMUJFWSA-N 1 2 320.437 1.908 20 30 DDEDLO CN(C)C(=O)C[N@H+](C)Cc1ccc(Br)c(C#N)c1 ZINC001232895730 886656117 /nfs/dbraw/zinc/65/61/17/886656117.db2.gz RVGWJLHRIBMUMV-UHFFFAOYSA-N 1 2 310.195 1.841 20 30 DDEDLO CN(C)C(=O)C[N@@H+](C)Cc1ccc(Br)c(C#N)c1 ZINC001232895730 886656128 /nfs/dbraw/zinc/65/61/28/886656128.db2.gz RVGWJLHRIBMUMV-UHFFFAOYSA-N 1 2 310.195 1.841 20 30 DDEDLO Cc1ncsc1C[N@@H+]1CCC12CN(c1ncc(C#N)cn1)C2 ZINC001277736813 886681866 /nfs/dbraw/zinc/68/18/66/886681866.db2.gz SSECXOULJRKUMV-UHFFFAOYSA-N 1 2 312.402 1.578 20 30 DDEDLO Cc1ncsc1C[N@H+]1CCC12CN(c1ncc(C#N)cn1)C2 ZINC001277736813 886681869 /nfs/dbraw/zinc/68/18/69/886681869.db2.gz SSECXOULJRKUMV-UHFFFAOYSA-N 1 2 312.402 1.578 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCC1CCC1 ZINC001233549368 887103012 /nfs/dbraw/zinc/10/30/12/887103012.db2.gz LSQCCNPEVVAUMZ-ZBFHGGJFSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCC1CCC1 ZINC001233549368 887103019 /nfs/dbraw/zinc/10/30/19/887103019.db2.gz LSQCCNPEVVAUMZ-ZBFHGGJFSA-N 1 2 319.449 1.237 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C(F)=C1CCCC1 ZINC001233683533 887223423 /nfs/dbraw/zinc/22/34/23/887223423.db2.gz RJHXOMYRCKJRDX-OAHLLOKOSA-N 1 2 308.397 1.967 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C(F)=C1CCCC1 ZINC001233683533 887223433 /nfs/dbraw/zinc/22/34/33/887223433.db2.gz RJHXOMYRCKJRDX-OAHLLOKOSA-N 1 2 308.397 1.967 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NCCCC ZINC001233760622 887292942 /nfs/dbraw/zinc/29/29/42/887292942.db2.gz BMHXEFIGTQIWKF-MRXNPFEDSA-N 1 2 321.465 1.629 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NCCCC ZINC001233760622 887292951 /nfs/dbraw/zinc/29/29/51/887292951.db2.gz BMHXEFIGTQIWKF-MRXNPFEDSA-N 1 2 321.465 1.629 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@@H](C)C1CC1 ZINC001233948431 887491065 /nfs/dbraw/zinc/49/10/65/887491065.db2.gz VSJNBUMFHXZXJQ-HOCLYGCPSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@@H](C)C1CC1 ZINC001233948431 887491072 /nfs/dbraw/zinc/49/10/72/887491072.db2.gz VSJNBUMFHXZXJQ-HOCLYGCPSA-N 1 2 319.449 1.237 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cc(F)cc(C#N)c1 ZINC001363952967 887602716 /nfs/dbraw/zinc/60/27/16/887602716.db2.gz LQELIXKJICTGIT-JTQLQIEISA-N 1 2 308.338 1.261 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC1(C)CCCC1 ZINC001234077814 887623209 /nfs/dbraw/zinc/62/32/09/887623209.db2.gz FSHBMPIZBTVKEN-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1(C)CCCC1 ZINC001234077814 887623215 /nfs/dbraw/zinc/62/32/15/887623215.db2.gz FSHBMPIZBTVKEN-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC1(C)CCCC1 ZINC001234078333 887624854 /nfs/dbraw/zinc/62/48/54/887624854.db2.gz MYNYUVHAHLXUNT-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1(C)CCCC1 ZINC001234078333 887624862 /nfs/dbraw/zinc/62/48/62/887624862.db2.gz MYNYUVHAHLXUNT-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO COc1cccc(C[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)C)n1 ZINC001234119118 887663842 /nfs/dbraw/zinc/66/38/42/887663842.db2.gz OHZAAMIRTXSTCD-INIZCTEOSA-N 1 2 315.417 1.782 20 30 DDEDLO COc1cccc(C[N@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)C)n1 ZINC001234119118 887663845 /nfs/dbraw/zinc/66/38/45/887663845.db2.gz OHZAAMIRTXSTCD-INIZCTEOSA-N 1 2 315.417 1.782 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccsc1C ZINC001234129253 887671311 /nfs/dbraw/zinc/67/13/11/887671311.db2.gz ICHDJCSTPPQQEY-AWEZNQCLSA-N 1 2 306.431 1.853 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccsc1C ZINC001234129253 887671319 /nfs/dbraw/zinc/67/13/19/887671319.db2.gz ICHDJCSTPPQQEY-AWEZNQCLSA-N 1 2 306.431 1.853 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234128958 887671330 /nfs/dbraw/zinc/67/13/30/887671330.db2.gz GELYCMMBUDYTGE-HOTGVXAUSA-N 1 2 321.465 1.435 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234128958 887671335 /nfs/dbraw/zinc/67/13/35/887671335.db2.gz GELYCMMBUDYTGE-HOTGVXAUSA-N 1 2 321.465 1.435 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186858 887725828 /nfs/dbraw/zinc/72/58/28/887725828.db2.gz OWMMVXLMDIBACE-GOEBONIOSA-N 1 2 317.433 1.157 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186858 887725839 /nfs/dbraw/zinc/72/58/39/887725839.db2.gz OWMMVXLMDIBACE-GOEBONIOSA-N 1 2 317.433 1.157 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234215917 887755228 /nfs/dbraw/zinc/75/52/28/887755228.db2.gz QYQUXWCLNKTFOZ-LSDHHAIUSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234215917 887755239 /nfs/dbraw/zinc/75/52/39/887755239.db2.gz QYQUXWCLNKTFOZ-LSDHHAIUSA-N 1 2 319.449 1.259 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@H](C)CNC(=O)CCCn1cc[nH+]c1 ZINC001384614612 887772700 /nfs/dbraw/zinc/77/27/00/887772700.db2.gz UOXBSNZOGVPORC-UONOGXRCSA-N 1 2 319.409 1.176 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1(C)CC1 ZINC001234454034 887983177 /nfs/dbraw/zinc/98/31/77/887983177.db2.gz GGUOHIRTSVPOML-AWEZNQCLSA-N 1 2 320.437 1.081 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1(C)CC1 ZINC001234454034 887983181 /nfs/dbraw/zinc/98/31/81/887983181.db2.gz GGUOHIRTSVPOML-AWEZNQCLSA-N 1 2 320.437 1.081 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@H]1C[C@@H]1OCC ZINC001234462674 887988461 /nfs/dbraw/zinc/98/84/61/887988461.db2.gz ABDFSBZKYAAGLR-RYUDHWBXSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@H]1C[C@@H]1OCC ZINC001234462674 887988466 /nfs/dbraw/zinc/98/84/66/887988466.db2.gz ABDFSBZKYAAGLR-RYUDHWBXSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(N)=O ZINC001234509821 888037058 /nfs/dbraw/zinc/03/70/58/888037058.db2.gz QKTYHERSCCVEFT-KOLCDFICSA-N 1 2 301.818 1.172 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(N)=O ZINC001234509821 888037066 /nfs/dbraw/zinc/03/70/66/888037066.db2.gz QKTYHERSCCVEFT-KOLCDFICSA-N 1 2 301.818 1.172 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001234516971 888046567 /nfs/dbraw/zinc/04/65/67/888046567.db2.gz HPBHZQGFQPVVOC-QGZVFWFLSA-N 1 2 304.434 1.937 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001234516971 888046579 /nfs/dbraw/zinc/04/65/79/888046579.db2.gz HPBHZQGFQPVVOC-QGZVFWFLSA-N 1 2 304.434 1.937 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC(C)C ZINC001234538218 888068961 /nfs/dbraw/zinc/06/89/61/888068961.db2.gz VSVLXMMATHOSME-AWEZNQCLSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC(C)C ZINC001234538218 888068973 /nfs/dbraw/zinc/06/89/73/888068973.db2.gz VSVLXMMATHOSME-AWEZNQCLSA-N 1 2 309.454 1.646 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](CC)C(N)=O ZINC001234555933 888091043 /nfs/dbraw/zinc/09/10/43/888091043.db2.gz ZLRQCHYEUMOZOB-NEPJUHHUSA-N 1 2 315.845 1.562 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](CC)C(N)=O ZINC001234555933 888091056 /nfs/dbraw/zinc/09/10/56/888091056.db2.gz ZLRQCHYEUMOZOB-NEPJUHHUSA-N 1 2 315.845 1.562 20 30 DDEDLO COC[C@@H]1C[N@H+](Cc2ccccc2CC#N)Cc2nnn(C)c21 ZINC001235513804 888700163 /nfs/dbraw/zinc/70/01/63/888700163.db2.gz FIZQDVHPGOJSQA-HNNXBMFYSA-N 1 2 311.389 1.627 20 30 DDEDLO COC[C@@H]1C[N@@H+](Cc2ccccc2CC#N)Cc2nnn(C)c21 ZINC001235513804 888700170 /nfs/dbraw/zinc/70/01/70/888700170.db2.gz FIZQDVHPGOJSQA-HNNXBMFYSA-N 1 2 311.389 1.627 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1onc(C)c1C ZINC001235653669 888849248 /nfs/dbraw/zinc/84/92/48/888849248.db2.gz SNCCSBLMNVUPDW-CQSZACIVSA-N 1 2 305.378 1.088 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1onc(C)c1C ZINC001235653669 888849269 /nfs/dbraw/zinc/84/92/69/888849269.db2.gz SNCCSBLMNVUPDW-CQSZACIVSA-N 1 2 305.378 1.088 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H]1CC12CCOCC2 ZINC001374560185 913291230 /nfs/dbraw/zinc/29/12/30/913291230.db2.gz YDHAATIAWLJLLJ-CYBMUJFWSA-N 1 2 300.830 1.946 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H]1CC12CCOCC2 ZINC001374560185 913291248 /nfs/dbraw/zinc/29/12/48/913291248.db2.gz YDHAATIAWLJLLJ-CYBMUJFWSA-N 1 2 300.830 1.946 20 30 DDEDLO O=C1C=C2C[N@H+](Cc3ccccc3OCCO)CC[C@H]2S1 ZINC001237196013 889536742 /nfs/dbraw/zinc/53/67/42/889536742.db2.gz ZCOOMJSTMCXTBL-OAHLLOKOSA-N 1 2 305.399 1.832 20 30 DDEDLO O=C1C=C2C[N@@H+](Cc3ccccc3OCCO)CC[C@H]2S1 ZINC001237196013 889536750 /nfs/dbraw/zinc/53/67/50/889536750.db2.gz ZCOOMJSTMCXTBL-OAHLLOKOSA-N 1 2 305.399 1.832 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+](Cc3cn[nH]c3CC)C2)C1=O ZINC001237647767 889780771 /nfs/dbraw/zinc/78/07/71/889780771.db2.gz UWUYUCQTLDGWDP-KRWDZBQOSA-N 1 2 302.422 1.973 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+](Cc3cn[nH]c3CC)C2)C1=O ZINC001237647767 889780788 /nfs/dbraw/zinc/78/07/88/889780788.db2.gz UWUYUCQTLDGWDP-KRWDZBQOSA-N 1 2 302.422 1.973 20 30 DDEDLO CC1(C)CN(Cc2ccc(Cl)c(C#N)c2)CC[N@@H+]1CC(N)=O ZINC001238190527 890128131 /nfs/dbraw/zinc/12/81/31/890128131.db2.gz DHYDQFCKMQGOCN-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO CC1(C)CN(Cc2ccc(Cl)c(C#N)c2)CC[N@H+]1CC(N)=O ZINC001238190527 890128138 /nfs/dbraw/zinc/12/81/38/890128138.db2.gz DHYDQFCKMQGOCN-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H]1CC ZINC001290461971 913433796 /nfs/dbraw/zinc/43/37/96/913433796.db2.gz CGRMMQMOUJHUOA-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO CCCCCCCCNC(=S)N[C@H]1C[NH2+][C@H](C(=O)OC)C1 ZINC001239369885 890618439 /nfs/dbraw/zinc/61/84/39/890618439.db2.gz YXVGRDVFFRMLBS-OLZOCXBDSA-N 1 2 315.483 1.715 20 30 DDEDLO N#CCn1cc(NC(=O)Cc2c[nH+]cn2Cc2ccccc2)cn1 ZINC001292206103 913623499 /nfs/dbraw/zinc/62/34/99/913623499.db2.gz NMHXBYILKYWENI-UHFFFAOYSA-N 1 2 320.356 1.833 20 30 DDEDLO C[N@H+](CCNC(=O)c1cnns1)Cc1ccccc1C#N ZINC001366517365 893820664 /nfs/dbraw/zinc/82/06/64/893820664.db2.gz IQOCPFHQNJGOCU-UHFFFAOYSA-N 1 2 301.375 1.272 20 30 DDEDLO C[N@@H+](CCNC(=O)c1cnns1)Cc1ccccc1C#N ZINC001366517365 893820678 /nfs/dbraw/zinc/82/06/78/893820678.db2.gz IQOCPFHQNJGOCU-UHFFFAOYSA-N 1 2 301.375 1.272 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2cc3ccc(C#N)cc3[nH]2)CCN1C ZINC001249430496 893981526 /nfs/dbraw/zinc/98/15/26/893981526.db2.gz UXBHQOYDDRNRBS-INIZCTEOSA-N 1 2 312.373 1.329 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2cc3ccc(C#N)cc3[nH]2)CCN1C ZINC001249430496 893981535 /nfs/dbraw/zinc/98/15/35/893981535.db2.gz UXBHQOYDDRNRBS-INIZCTEOSA-N 1 2 312.373 1.329 20 30 DDEDLO C[NH+]1CCN(c2ncc(CC(=O)c3ccc(C#N)cc3)cn2)CC1 ZINC001250208557 894212783 /nfs/dbraw/zinc/21/27/83/894212783.db2.gz SQSVWHGTIALYLK-UHFFFAOYSA-N 1 2 321.384 1.525 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@](C)(F)CCCC)CO2 ZINC001280945117 894429414 /nfs/dbraw/zinc/42/94/14/894429414.db2.gz QLOSHOHSXVAQCX-ZBFHGGJFSA-N 1 2 310.413 1.888 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H](CNC(=O)[C@@H](C)C#N)C2)n1C1CC1 ZINC001366690138 894600965 /nfs/dbraw/zinc/60/09/65/894600965.db2.gz OVQDERVISMKLBN-AAEUAGOBSA-N 1 2 316.409 1.019 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H](CNC(=O)[C@@H](C)C#N)C2)n1C1CC1 ZINC001366690138 894600971 /nfs/dbraw/zinc/60/09/71/894600971.db2.gz OVQDERVISMKLBN-AAEUAGOBSA-N 1 2 316.409 1.019 20 30 DDEDLO C=CCOC[C@H](O)CN1CC[C@@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001252472167 895190267 /nfs/dbraw/zinc/19/02/67/895190267.db2.gz OSGHNDOLHYSPNW-ZIAGYGMSSA-N 1 2 304.381 1.355 20 30 DDEDLO C=CCOC[C@H](O)CNc1ccc([NH+]2CCC(O)CC2)cc1 ZINC001252477180 895195929 /nfs/dbraw/zinc/19/59/29/895195929.db2.gz SWPBECXFOXLLFS-QGZVFWFLSA-N 1 2 306.406 1.623 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CCC[N@H+](CC(=O)N2CCCCCC2)C1 ZINC001366890014 895246933 /nfs/dbraw/zinc/24/69/33/895246933.db2.gz PNKNLVVDGPCMAO-LSDHHAIUSA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)N2CCCCCC2)C1 ZINC001366890014 895246947 /nfs/dbraw/zinc/24/69/47/895246947.db2.gz PNKNLVVDGPCMAO-LSDHHAIUSA-N 1 2 320.437 1.129 20 30 DDEDLO C=CCC[C@H](O)CN1CCCn2c(C[N@@H+](C)C(C)C)nnc2C1 ZINC001252597704 895302180 /nfs/dbraw/zinc/30/21/80/895302180.db2.gz CFUMGRXJLLTNOX-HNNXBMFYSA-N 1 2 321.469 1.651 20 30 DDEDLO C=CCC[C@H](O)CN1CCCn2c(C[N@H+](C)C(C)C)nnc2C1 ZINC001252597704 895302199 /nfs/dbraw/zinc/30/21/99/895302199.db2.gz CFUMGRXJLLTNOX-HNNXBMFYSA-N 1 2 321.469 1.651 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)CCc2c(C)nc[nH]c2=O)C1 ZINC001366967179 895452973 /nfs/dbraw/zinc/45/29/73/895452973.db2.gz IJCNFDOQPQDXJU-UHFFFAOYSA-N 1 2 324.812 1.224 20 30 DDEDLO CC[C@H](F)C(=O)NCC1C[NH+](Cc2ccc(C#N)cc2F)C1 ZINC001366975673 895471623 /nfs/dbraw/zinc/47/16/23/895471623.db2.gz RQOMQYGOSTXTKF-AWEZNQCLSA-N 1 2 307.344 1.993 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001367075608 895771833 /nfs/dbraw/zinc/77/18/33/895771833.db2.gz QTDPHIMTXFEHIN-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001367075608 895771850 /nfs/dbraw/zinc/77/18/50/895771850.db2.gz QTDPHIMTXFEHIN-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1[C@H]1CCSC1 ZINC001255285099 896827657 /nfs/dbraw/zinc/82/76/57/896827657.db2.gz LROQCTBJCDJZGH-JSGCOSHPSA-N 1 2 308.451 1.905 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1[C@H]1CCSC1 ZINC001255285099 896827665 /nfs/dbraw/zinc/82/76/65/896827665.db2.gz LROQCTBJCDJZGH-JSGCOSHPSA-N 1 2 308.451 1.905 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@H+](CC(=O)N2CCCCCC2)C1 ZINC001389366074 897043358 /nfs/dbraw/zinc/04/33/58/897043358.db2.gz PNKNLVVDGPCMAO-GJZGRUSLSA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@@H+](CC(=O)N2CCCCCC2)C1 ZINC001389366074 897043368 /nfs/dbraw/zinc/04/33/68/897043368.db2.gz PNKNLVVDGPCMAO-GJZGRUSLSA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@@H]1C[C@@H](N2CC[NH2+]C[C@H]2C#N)CCN1C(=O)OC(C)(C)C ZINC001256474332 897448711 /nfs/dbraw/zinc/44/87/11/897448711.db2.gz WMKKIMTXYWDFFU-HZSPNIEDSA-N 1 2 308.426 1.572 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc(Cn3cccn3)o2)CC1 ZINC001258297446 898129610 /nfs/dbraw/zinc/12/96/10/898129610.db2.gz OYKAEVUQCTWWOS-UHFFFAOYSA-N 1 2 314.389 1.858 20 30 DDEDLO C=CCCC(=O)N1CC[C@@](C)(NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001299562336 898516119 /nfs/dbraw/zinc/51/61/19/898516119.db2.gz FTQIRQJFFDPBAX-MRXNPFEDSA-N 1 2 304.394 1.334 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CN(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001281058447 898734737 /nfs/dbraw/zinc/73/47/37/898734737.db2.gz MTKONRTVOPDSFY-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCN(C(=O)C(=O)N1CC[NH+](C2CC2)CC1)C1CCCCC1 ZINC001261317187 899472615 /nfs/dbraw/zinc/47/26/15/899472615.db2.gz VNFHBIDJVMFHEV-UHFFFAOYSA-N 1 2 317.433 1.088 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@H+](C)[C@@H](C)c1nc(-c2ccco2)no1 ZINC001390739601 900064232 /nfs/dbraw/zinc/06/42/32/900064232.db2.gz BWQQLQKGVINSFY-MNOVXSKESA-N 1 2 317.349 1.598 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@@H+](C)[C@@H](C)c1nc(-c2ccco2)no1 ZINC001390739601 900064239 /nfs/dbraw/zinc/06/42/39/900064239.db2.gz BWQQLQKGVINSFY-MNOVXSKESA-N 1 2 317.349 1.598 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C)[C@@H]1C ZINC001262947584 900431021 /nfs/dbraw/zinc/43/10/21/900431021.db2.gz OOQBIYYWNUFBBS-RFGFWPKPSA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C)[C@@H]1C ZINC001262947584 900431030 /nfs/dbraw/zinc/43/10/30/900431030.db2.gz OOQBIYYWNUFBBS-RFGFWPKPSA-N 1 2 305.426 1.436 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCOCC[N@H+](C)Cc1cscn1 ZINC001264105853 900937369 /nfs/dbraw/zinc/93/73/69/900937369.db2.gz CTGDKMAZHLTZPJ-UHFFFAOYSA-N 1 2 323.462 1.709 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCOCC[N@@H+](C)Cc1cscn1 ZINC001264105853 900937378 /nfs/dbraw/zinc/93/73/78/900937378.db2.gz CTGDKMAZHLTZPJ-UHFFFAOYSA-N 1 2 323.462 1.709 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001264139216 900972497 /nfs/dbraw/zinc/97/24/97/900972497.db2.gz GZJNPJSNGSJGQJ-MJBXVCDLSA-N 1 2 308.426 1.031 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001264139216 900972512 /nfs/dbraw/zinc/97/25/12/900972512.db2.gz GZJNPJSNGSJGQJ-MJBXVCDLSA-N 1 2 308.426 1.031 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)(C)C)[C@@H]1C ZINC001264155869 900983274 /nfs/dbraw/zinc/98/32/74/900983274.db2.gz CTXMJPVLKFUMIA-KGLIPLIRSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)[C@@H]1C ZINC001264155869 900983282 /nfs/dbraw/zinc/98/32/82/900983282.db2.gz CTXMJPVLKFUMIA-KGLIPLIRSA-N 1 2 323.481 1.940 20 30 DDEDLO N#Cc1ccc(F)cc1C[NH2+][C@@H](CO)CNC(=O)c1ccoc1 ZINC001369304995 901022371 /nfs/dbraw/zinc/02/23/71/901022371.db2.gz FDFRPZLIBXBLIM-OAHLLOKOSA-N 1 2 317.320 1.171 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)CCc1cnn(C)n1)C1CC1 ZINC001391190492 901133637 /nfs/dbraw/zinc/13/36/37/901133637.db2.gz IQDSAJXODVGBGZ-UHFFFAOYSA-N 1 2 311.817 1.081 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)CCc1cnn(C)n1)C1CC1 ZINC001391190492 901133644 /nfs/dbraw/zinc/13/36/44/901133644.db2.gz IQDSAJXODVGBGZ-UHFFFAOYSA-N 1 2 311.817 1.081 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001265278066 901812587 /nfs/dbraw/zinc/81/25/87/901812587.db2.gz ZIBRHIYNQZOYBF-INIZCTEOSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@H+](Cc2cnc(C)nc2)C1 ZINC001265278066 901812603 /nfs/dbraw/zinc/81/26/03/901812603.db2.gz ZIBRHIYNQZOYBF-INIZCTEOSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccoc2CCC)C1 ZINC001265312427 901870346 /nfs/dbraw/zinc/87/03/46/901870346.db2.gz NJBPSEPQVBYEGA-ZDUSSCGKSA-N 1 2 319.405 1.338 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccoc2CCC)C1 ZINC001265312427 901870351 /nfs/dbraw/zinc/87/03/51/901870351.db2.gz NJBPSEPQVBYEGA-ZDUSSCGKSA-N 1 2 319.405 1.338 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCCc1nc(CC)no1 ZINC001265415804 901988588 /nfs/dbraw/zinc/98/85/88/901988588.db2.gz AVVCJJHZNMLISX-OKILXGFUSA-N 1 2 316.405 1.263 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCCc1nc(CC)no1 ZINC001265415804 901988596 /nfs/dbraw/zinc/98/85/96/901988596.db2.gz AVVCJJHZNMLISX-OKILXGFUSA-N 1 2 316.405 1.263 20 30 DDEDLO O=C(C#CC1CC1)NC1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1nncs1 ZINC001265514469 902085788 /nfs/dbraw/zinc/08/57/88/902085788.db2.gz OECFQVXGITYFPN-AGUYFDCRSA-N 1 2 316.430 1.563 20 30 DDEDLO O=C(C#CC1CC1)NC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1nncs1 ZINC001265514469 902085795 /nfs/dbraw/zinc/08/57/95/902085795.db2.gz OECFQVXGITYFPN-AGUYFDCRSA-N 1 2 316.430 1.563 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)[NH2+][C@H](C)c1nc(CC)no1 ZINC001265810755 902428714 /nfs/dbraw/zinc/42/87/14/902428714.db2.gz FPMOGPNSTQCWNH-QWHCGFSZSA-N 1 2 306.410 1.981 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001293677598 914631500 /nfs/dbraw/zinc/63/15/00/914631500.db2.gz TUWYBRDHGPUPGU-AWEZNQCLSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001266209209 903114512 /nfs/dbraw/zinc/11/45/12/903114512.db2.gz LCAPOQCIAFNVJN-GJZGRUSLSA-N 1 2 320.437 1.151 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001266209209 903114518 /nfs/dbraw/zinc/11/45/18/903114518.db2.gz LCAPOQCIAFNVJN-GJZGRUSLSA-N 1 2 320.437 1.151 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001266233657 903160201 /nfs/dbraw/zinc/16/02/01/903160201.db2.gz OMXWEDSGXIYDQW-AWEZNQCLSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001266233657 903160210 /nfs/dbraw/zinc/16/02/10/903160210.db2.gz OMXWEDSGXIYDQW-AWEZNQCLSA-N 1 2 309.454 1.551 20 30 DDEDLO C#CC[N@H+]1CCC[C@@](CO)(NC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001279478850 903274884 /nfs/dbraw/zinc/27/48/84/903274884.db2.gz DHAWGMOWTNPSIX-QGZVFWFLSA-N 1 2 319.405 1.162 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@](CO)(NC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001279478850 903274900 /nfs/dbraw/zinc/27/49/00/903274900.db2.gz DHAWGMOWTNPSIX-QGZVFWFLSA-N 1 2 319.405 1.162 20 30 DDEDLO C=CCCC(=O)NC1CC(CNC(=O)CCn2cc[nH+]c2)C1 ZINC001279956415 903460996 /nfs/dbraw/zinc/46/09/96/903460996.db2.gz IFUYVDWKBHGXLS-UHFFFAOYSA-N 1 2 304.394 1.250 20 30 DDEDLO C[C@H](NCC#N)c1ccc(CNC(=O)CCn2cc[nH+]c2)cc1 ZINC001280354448 903604872 /nfs/dbraw/zinc/60/48/72/903604872.db2.gz POWPJZMEBHVDGX-AWEZNQCLSA-N 1 2 311.389 1.764 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H](OCC)C(C)C ZINC001280405912 903640840 /nfs/dbraw/zinc/64/08/40/903640840.db2.gz HGDWQYWIVWBCDE-CVEARBPZSA-N 1 2 312.454 1.831 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H](OCC)C(C)C ZINC001280405912 903640850 /nfs/dbraw/zinc/64/08/50/903640850.db2.gz HGDWQYWIVWBCDE-CVEARBPZSA-N 1 2 312.454 1.831 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)N(C)C(=O)Cn1cc[nH+]c1 ZINC001280529283 903769605 /nfs/dbraw/zinc/76/96/05/903769605.db2.gz PYCHVFQYOFZCDL-AWEZNQCLSA-N 1 2 304.394 1.040 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCC[N@H+](Cc2nnnn2C)CC1 ZINC001280756852 903998789 /nfs/dbraw/zinc/99/87/89/903998789.db2.gz PBPDUUCPCYUBDR-UONOGXRCSA-N 1 2 320.441 1.283 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCC[N@@H+](Cc2nnnn2C)CC1 ZINC001280756852 903998815 /nfs/dbraw/zinc/99/88/15/903998815.db2.gz PBPDUUCPCYUBDR-UONOGXRCSA-N 1 2 320.441 1.283 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)/C=C(/C)CC)O2 ZINC001280920471 904189820 /nfs/dbraw/zinc/18/98/20/904189820.db2.gz SBYRHNDNWKSEKT-QZFKAFNDSA-N 1 2 322.449 1.895 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1COC2(C[NH+](C[C@@H](C)O)C2)C1 ZINC001280946358 904227041 /nfs/dbraw/zinc/22/70/41/904227041.db2.gz ZYSNMJZLAMVWGE-UKRRQHHQSA-N 1 2 308.422 1.095 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)[C@@H]1C ZINC001281206920 904562996 /nfs/dbraw/zinc/56/29/96/904562996.db2.gz FLGKMIJBJZCQCG-UKRRQHHQSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)[C@@H]1C ZINC001281206920 904563002 /nfs/dbraw/zinc/56/30/02/904563002.db2.gz FLGKMIJBJZCQCG-UKRRQHHQSA-N 1 2 318.421 1.804 20 30 DDEDLO C[C@H](C[N@H+](C)Cc1ccc(C#N)cc1F)NC(=O)c1cn[nH]n1 ZINC001392682483 904878983 /nfs/dbraw/zinc/87/89/83/904878983.db2.gz BHUHTPDANMQAER-SNVBAGLBSA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@H](C[N@@H+](C)Cc1ccc(C#N)cc1F)NC(=O)c1cn[nH]n1 ZINC001392682483 904878999 /nfs/dbraw/zinc/87/89/99/904878999.db2.gz BHUHTPDANMQAER-SNVBAGLBSA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@H](C[N@H+](C)Cc1ccc(C#N)cc1F)NC(=O)c1cnn[nH]1 ZINC001392682483 904879024 /nfs/dbraw/zinc/87/90/24/904879024.db2.gz BHUHTPDANMQAER-SNVBAGLBSA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@H](C[N@@H+](C)Cc1ccc(C#N)cc1F)NC(=O)c1cnn[nH]1 ZINC001392682483 904879041 /nfs/dbraw/zinc/87/90/41/904879041.db2.gz BHUHTPDANMQAER-SNVBAGLBSA-N 1 2 316.340 1.066 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2nonc2C)CC1(C)C ZINC001281541487 904994558 /nfs/dbraw/zinc/99/45/58/904994558.db2.gz PNDINJCPXPANGW-CQSZACIVSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](Cc2nonc2C)CC1(C)C ZINC001281541487 904994563 /nfs/dbraw/zinc/99/45/63/904994563.db2.gz PNDINJCPXPANGW-CQSZACIVSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)[C@@H]1C ZINC001282381724 905730323 /nfs/dbraw/zinc/73/03/23/905730323.db2.gz LKYCLHDUOSDDGL-HIFRSBDPSA-N 1 2 318.421 1.425 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@@H]1C ZINC001282381572 905730995 /nfs/dbraw/zinc/73/09/95/905730995.db2.gz JIKSYSITFZFSCS-HIFRSBDPSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)[C@@H]1C ZINC001282381572 905731005 /nfs/dbraw/zinc/73/10/05/905731005.db2.gz JIKSYSITFZFSCS-HIFRSBDPSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001282723738 905984240 /nfs/dbraw/zinc/98/42/40/905984240.db2.gz ADCRRPSVGQZMFP-CHWSQXEVSA-N 1 2 309.454 1.407 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C1C[NH+](Cc2cc(OC)no2)C1 ZINC001282735134 905997185 /nfs/dbraw/zinc/99/71/85/905997185.db2.gz VTKCLTYBAHJYCO-CYBMUJFWSA-N 1 2 319.405 1.813 20 30 DDEDLO Cc1ccnc(C[NH+]2CCC(C)(NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001393059590 906083572 /nfs/dbraw/zinc/08/35/72/906083572.db2.gz VWSLQMFVBWASLN-LBPRGKRZSA-N 1 2 301.394 1.415 20 30 DDEDLO CCC[N@H+](CCNC(=O)[C@@H](C)C#N)Cc1csnc1OC ZINC001372683910 907960245 /nfs/dbraw/zinc/96/02/45/907960245.db2.gz KQSSQDKIOIQTKQ-NSHDSACASA-N 1 2 310.423 1.640 20 30 DDEDLO CCC[N@@H+](CCNC(=O)[C@@H](C)C#N)Cc1csnc1OC ZINC001372683910 907960253 /nfs/dbraw/zinc/96/02/53/907960253.db2.gz KQSSQDKIOIQTKQ-NSHDSACASA-N 1 2 310.423 1.640 20 30 DDEDLO COCC#CC[NH2+][C@H]1C[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001316630518 908234589 /nfs/dbraw/zinc/23/45/89/908234589.db2.gz YDVGVJAAKQQOJW-GMTAPVOTSA-N 1 2 310.291 1.021 20 30 DDEDLO COCC#CC[NH2+][C@H]1C[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001316630518 908234598 /nfs/dbraw/zinc/23/45/98/908234598.db2.gz YDVGVJAAKQQOJW-GMTAPVOTSA-N 1 2 310.291 1.021 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001284251617 908730585 /nfs/dbraw/zinc/73/05/85/908730585.db2.gz UDWFMROPEQZBEM-CHWSQXEVSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001284251617 908730593 /nfs/dbraw/zinc/73/05/93/908730593.db2.gz UDWFMROPEQZBEM-CHWSQXEVSA-N 1 2 320.437 1.812 20 30 DDEDLO CC#CCCCC(=O)N(C)CCNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001284525116 909142047 /nfs/dbraw/zinc/14/20/47/909142047.db2.gz UTTMISFDEWEKBJ-OAHLLOKOSA-N 1 2 318.421 1.602 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CN(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284825591 909534568 /nfs/dbraw/zinc/53/45/68/909534568.db2.gz FRVKPUIWZFSUEG-AWEZNQCLSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CN(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284825591 909534582 /nfs/dbraw/zinc/53/45/82/909534582.db2.gz FRVKPUIWZFSUEG-AWEZNQCLSA-N 1 2 318.421 1.615 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CC(CNC(=O)CC(C)(C)C)C1 ZINC001373497298 910011319 /nfs/dbraw/zinc/01/13/19/910011319.db2.gz VRGJTNPNSPJOMJ-UHFFFAOYSA-N 1 2 322.453 1.233 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](CC)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001285078392 910039372 /nfs/dbraw/zinc/03/93/72/910039372.db2.gz BNRSFPRCCWWVHU-OCCSQVGLSA-N 1 2 320.437 1.874 20 30 DDEDLO CCOc1cccc(C[N@@H+]2CC[C@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001373587843 910262096 /nfs/dbraw/zinc/26/20/96/910262096.db2.gz KSJGIWKKZXWEBR-OCCSQVGLSA-N 1 2 302.378 1.330 20 30 DDEDLO CCOc1cccc(C[N@H+]2CC[C@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001373587843 910262117 /nfs/dbraw/zinc/26/21/17/910262117.db2.gz KSJGIWKKZXWEBR-OCCSQVGLSA-N 1 2 302.378 1.330 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)CNC(=O)CCc1c[nH+]cn1C ZINC001285653371 910976593 /nfs/dbraw/zinc/97/65/93/910976593.db2.gz HSCCTODRZAFKKL-CYBMUJFWSA-N 1 2 306.410 1.330 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH+]cn1C ZINC001285774193 911188809 /nfs/dbraw/zinc/18/88/09/911188809.db2.gz PWUFUUKXBAYBRC-ZDUSSCGKSA-N 1 2 320.437 1.528 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001285776768 911190813 /nfs/dbraw/zinc/19/08/13/911190813.db2.gz ZLSXNMDFWUNGIR-LBPRGKRZSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001295058066 915535089 /nfs/dbraw/zinc/53/50/89/915535089.db2.gz UBCLSEHBNXTQIM-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001295596893 915921083 /nfs/dbraw/zinc/92/10/83/915921083.db2.gz OKEISPASFKWQPT-OLZOCXBDSA-N 1 2 318.421 1.279 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001296440412 916419314 /nfs/dbraw/zinc/41/93/14/916419314.db2.gz FJLBSLSPRSESNT-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001296440412 916419319 /nfs/dbraw/zinc/41/93/19/916419319.db2.gz FJLBSLSPRSESNT-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001297263479 916847500 /nfs/dbraw/zinc/84/75/00/916847500.db2.gz NWGXLPCGNBFEDI-INIZCTEOSA-N 1 2 304.394 1.129 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCN(C)C(=O)CCOC ZINC001376906610 919735802 /nfs/dbraw/zinc/73/58/02/919735802.db2.gz YMROHKWRJUUIEP-UHFFFAOYSA-N 1 2 307.232 1.712 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCN(C)C(=O)CCOC ZINC001376906610 919735812 /nfs/dbraw/zinc/73/58/12/919735812.db2.gz YMROHKWRJUUIEP-UHFFFAOYSA-N 1 2 307.232 1.712 20 30 DDEDLO C[N@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1cc(C2CC2)no1 ZINC001377139333 920442604 /nfs/dbraw/zinc/44/26/04/920442604.db2.gz KYYKYFYOQSGSMR-UHFFFAOYSA-N 1 2 313.361 1.614 20 30 DDEDLO C[N@@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1cc(C2CC2)no1 ZINC001377139333 920442613 /nfs/dbraw/zinc/44/26/13/920442613.db2.gz KYYKYFYOQSGSMR-UHFFFAOYSA-N 1 2 313.361 1.614 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)Cc1ccccc1NC(C)=O ZINC001377237102 920732900 /nfs/dbraw/zinc/73/29/00/920732900.db2.gz RREUCMKJKXEVDX-UHFFFAOYSA-N 1 2 323.824 1.988 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)Cc1ccccc1NC(C)=O ZINC001377237102 920732912 /nfs/dbraw/zinc/73/29/12/920732912.db2.gz RREUCMKJKXEVDX-UHFFFAOYSA-N 1 2 323.824 1.988 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1cncc2[nH]cnc21 ZINC001377309054 920995578 /nfs/dbraw/zinc/99/55/78/920995578.db2.gz BEAUQZXCXXXLPX-UHFFFAOYSA-N 1 2 307.785 1.762 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1cncc2[nH]cnc21 ZINC001377309054 920995592 /nfs/dbraw/zinc/99/55/92/920995592.db2.gz BEAUQZXCXXXLPX-UHFFFAOYSA-N 1 2 307.785 1.762 20 30 DDEDLO N#Cc1ccccc1CN1CC(NC(=O)CCCn2cc[nH+]c2)C1 ZINC001377946710 923485466 /nfs/dbraw/zinc/48/54/66/923485466.db2.gz ASIGILRYTJRLKP-UHFFFAOYSA-N 1 2 323.400 1.536 20 30 DDEDLO CO[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1C ZINC000451578747 231130812 /nfs/dbraw/zinc/13/08/12/231130812.db2.gz XMFQSBHJRFNRKV-CJNGLKHVSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1C ZINC000451578747 231130816 /nfs/dbraw/zinc/13/08/16/231130816.db2.gz XMFQSBHJRFNRKV-CJNGLKHVSA-N 1 2 322.430 1.689 20 30 DDEDLO COC(=O)[C@H](C)C[N@H+](C)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000083173225 185215713 /nfs/dbraw/zinc/21/57/13/185215713.db2.gz RADHUBFFOYWSBZ-RISCZKNCSA-N 1 2 304.346 1.513 20 30 DDEDLO COC(=O)[C@H](C)C[N@@H+](C)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000083173225 185215714 /nfs/dbraw/zinc/21/57/14/185215714.db2.gz RADHUBFFOYWSBZ-RISCZKNCSA-N 1 2 304.346 1.513 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](CCCO)C1 ZINC000452117888 231309365 /nfs/dbraw/zinc/30/93/65/231309365.db2.gz ISPWCHMQIOLRQD-CZUORRHYSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](CCCO)C1 ZINC000452117888 231309368 /nfs/dbraw/zinc/30/93/68/231309368.db2.gz ISPWCHMQIOLRQD-CZUORRHYSA-N 1 2 302.374 1.867 20 30 DDEDLO N#Cc1ccc(OCC[N@H+]2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000328919854 231387049 /nfs/dbraw/zinc/38/70/49/231387049.db2.gz SRAJEGRAPROIID-CYBMUJFWSA-N 1 2 300.318 1.013 20 30 DDEDLO N#Cc1ccc(OCC[N@@H+]2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000328919854 231387050 /nfs/dbraw/zinc/38/70/50/231387050.db2.gz SRAJEGRAPROIID-CYBMUJFWSA-N 1 2 300.318 1.013 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)[C@@]1(O)CCSC1 ZINC000329048641 539300653 /nfs/dbraw/zinc/30/06/53/539300653.db2.gz QNVXOACTPGYQFS-OAHLLOKOSA-N 1 2 323.418 1.683 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@@H]([NH+]=C([O-])N1CCOCC1)C2 ZINC000329301738 539302904 /nfs/dbraw/zinc/30/29/04/539302904.db2.gz VYAAUEYFPKAHHY-GFCCVEGCSA-N 1 2 306.410 1.742 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)NCCC2(O)CCC2)CCO1 ZINC000329527013 539305270 /nfs/dbraw/zinc/30/52/70/539305270.db2.gz UASOLRDEUPBSNR-OCCSQVGLSA-N 1 2 322.409 1.449 20 30 DDEDLO CN(Cc1cnccn1)C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000329572606 539305829 /nfs/dbraw/zinc/30/58/29/539305829.db2.gz RCQYOOWXNHPGHF-UHFFFAOYSA-N 1 2 314.393 1.598 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CC(c3nc4ccccc4[nH]3)C2)CCC1 ZINC000615226375 362204251 /nfs/dbraw/zinc/20/42/51/362204251.db2.gz QRFOKSFPHFWNRG-UHFFFAOYSA-N 1 2 309.373 1.525 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)C(=O)CCC2 ZINC000414120637 529868410 /nfs/dbraw/zinc/86/84/10/529868410.db2.gz DCNWSXVYKDDAOE-CQSZACIVSA-N 1 2 302.374 1.791 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)C(=O)CCC2 ZINC000414120637 529868412 /nfs/dbraw/zinc/86/84/12/529868412.db2.gz DCNWSXVYKDDAOE-CQSZACIVSA-N 1 2 302.374 1.791 20 30 DDEDLO CNC(=O)[C@@H]1CCC[C@H]1NC(=O)NCc1ccc[nH+]c1N(C)C ZINC000330553786 529905123 /nfs/dbraw/zinc/90/51/23/529905123.db2.gz FYNKYFXQANZGAW-CHWSQXEVSA-N 1 2 319.409 1.066 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)N[C@@H]2CC[C@@H](F)C2)C1 ZINC000329714296 530026455 /nfs/dbraw/zinc/02/64/55/530026455.db2.gz STVMCIJPFJSZLV-MGPQQGTHSA-N 1 2 321.400 1.787 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)N[C@@H]2CC[C@@H](F)C2)C1 ZINC000329714296 530026456 /nfs/dbraw/zinc/02/64/56/530026456.db2.gz STVMCIJPFJSZLV-MGPQQGTHSA-N 1 2 321.400 1.787 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000186074091 186238802 /nfs/dbraw/zinc/23/88/02/186238802.db2.gz GDVDYYZGOMFRJS-HOCLYGCPSA-N 1 2 301.390 1.381 20 30 DDEDLO CC(=O)N1CCC[N@@H+]([C@H](C)C(=O)Nc2ccccc2C#N)CC1 ZINC000010297252 352138410 /nfs/dbraw/zinc/13/84/10/352138410.db2.gz QSEUFWFFXVVPKA-CYBMUJFWSA-N 1 2 314.389 1.439 20 30 DDEDLO CC(=O)N1CCC[N@H+]([C@H](C)C(=O)Nc2ccccc2C#N)CC1 ZINC000010297252 352138414 /nfs/dbraw/zinc/13/84/14/352138414.db2.gz QSEUFWFFXVVPKA-CYBMUJFWSA-N 1 2 314.389 1.439 20 30 DDEDLO CC(C)NC(=O)CN1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC000026177769 352206437 /nfs/dbraw/zinc/20/64/37/352206437.db2.gz AIHGXRMGWAONPS-UHFFFAOYSA-N 1 2 314.433 1.591 20 30 DDEDLO CC(C)NC(=O)CN1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC000026177769 352206441 /nfs/dbraw/zinc/20/64/41/352206441.db2.gz AIHGXRMGWAONPS-UHFFFAOYSA-N 1 2 314.433 1.591 20 30 DDEDLO N#CCSCC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000042022954 352324390 /nfs/dbraw/zinc/32/43/90/352324390.db2.gz DJOJZLUZDUAPNF-OAHLLOKOSA-N 1 2 319.430 1.260 20 30 DDEDLO N#CCSCC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000042022954 352324393 /nfs/dbraw/zinc/32/43/93/352324393.db2.gz DJOJZLUZDUAPNF-OAHLLOKOSA-N 1 2 319.430 1.260 20 30 DDEDLO C#CC[NH+]1CCN(c2ncnc3c2cnn3-c2ccccc2)CC1 ZINC000043536410 352369366 /nfs/dbraw/zinc/36/93/66/352369366.db2.gz OOAAOWCIUCIDKN-UHFFFAOYSA-N 1 2 318.384 1.571 20 30 DDEDLO C=C(C)C[NH+]1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000054993286 352711812 /nfs/dbraw/zinc/71/18/12/352711812.db2.gz SLOSOSVRJFWZGM-UHFFFAOYSA-N 1 2 301.456 1.301 20 30 DDEDLO CC[N@@H+](CC(=O)NC[C@H]1Cc2ccccc2O1)C[C@H](C)C#N ZINC000064249188 352932373 /nfs/dbraw/zinc/93/23/73/352932373.db2.gz LERYTENVSXZGLS-UKRRQHHQSA-N 1 2 301.390 1.588 20 30 DDEDLO CC[N@H+](CC(=O)NC[C@H]1Cc2ccccc2O1)C[C@H](C)C#N ZINC000064249188 352932376 /nfs/dbraw/zinc/93/23/76/352932376.db2.gz LERYTENVSXZGLS-UKRRQHHQSA-N 1 2 301.390 1.588 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(Cc2cscn2)CC1 ZINC000065952529 352971656 /nfs/dbraw/zinc/97/16/56/352971656.db2.gz FQZTWAMZFNRRCG-UHFFFAOYSA-N 1 2 321.450 1.023 20 30 DDEDLO CC[C@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000073647693 353264375 /nfs/dbraw/zinc/26/43/75/353264375.db2.gz QOKDSVXOTYITQE-UONOGXRCSA-N 1 2 315.421 1.378 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N1CC[NH+](Cc2cccnc2)CC1 ZINC000078429219 353516392 /nfs/dbraw/zinc/51/63/92/353516392.db2.gz QDISLHIJEKNTFN-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CCN(CC[NH+]2CCOCC2)CC1 ZINC000080905321 353636172 /nfs/dbraw/zinc/63/61/72/353636172.db2.gz IBIGBPPVQSVNRE-GOSISDBHSA-N 1 2 314.433 1.201 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[NH+]1CCC([C@@H](C)O)CC1 ZINC000083171648 353707109 /nfs/dbraw/zinc/70/71/09/353707109.db2.gz FKCBKVLZUGQFCF-MLGOLLRUSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[NH+]1CCC([C@H](C)O)CC1 ZINC000083171644 353707261 /nfs/dbraw/zinc/70/72/61/353707261.db2.gz FKCBKVLZUGQFCF-BLLLJJGKSA-N 1 2 302.374 1.865 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)Nc2n[nH]c(-c3c(F)cccc3F)n2)C1 ZINC000085541703 353735377 /nfs/dbraw/zinc/73/53/77/353735377.db2.gz RLGBHFRFFZYPDM-JTQLQIEISA-N 1 2 323.303 1.669 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)Nc2n[nH]c(-c3c(F)cccc3F)n2)C1 ZINC000085541703 353735381 /nfs/dbraw/zinc/73/53/81/353735381.db2.gz RLGBHFRFFZYPDM-JTQLQIEISA-N 1 2 323.303 1.669 20 30 DDEDLO CC(=O)N(C)c1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000579360647 354718890 /nfs/dbraw/zinc/71/88/90/354718890.db2.gz MEICVMXMBCJYCC-MRXNPFEDSA-N 1 2 302.378 1.243 20 30 DDEDLO C[C@@H](OCCc1ccccc1)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000579717660 354723075 /nfs/dbraw/zinc/72/30/75/354723075.db2.gz GLMQQDVZGBKOOH-RHSMWYFYSA-N 1 2 303.406 1.594 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ncsc1Br ZINC000581887296 354738357 /nfs/dbraw/zinc/73/83/57/354738357.db2.gz LRUSGQFQWTVBQP-SNVBAGLBSA-N 1 2 317.212 1.479 20 30 DDEDLO CCOc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1[N+](=O)[O-] ZINC000582006295 354739040 /nfs/dbraw/zinc/73/90/40/354739040.db2.gz FUYPVJKDMYIJCV-HNNXBMFYSA-N 1 2 320.349 1.567 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+](C)CCn1cc(Br)cn1 ZINC000588986377 354954957 /nfs/dbraw/zinc/95/49/57/354954957.db2.gz GDEVHJZLVGGXRH-JTQLQIEISA-N 1 2 302.172 1.305 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+](C)CCn1cc(Br)cn1 ZINC000588986377 354954958 /nfs/dbraw/zinc/95/49/58/354954958.db2.gz GDEVHJZLVGGXRH-JTQLQIEISA-N 1 2 302.172 1.305 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(c3nc[nH+]c4c3OCCN4)C2)nc1 ZINC000591163018 355258728 /nfs/dbraw/zinc/25/87/28/355258728.db2.gz HMMRXCWMWISGOM-GFCCVEGCSA-N 1 2 324.344 1.205 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(c3[nH+]cnc4c3OCCN4)C2)nc1 ZINC000591163018 355258732 /nfs/dbraw/zinc/25/87/32/355258732.db2.gz HMMRXCWMWISGOM-GFCCVEGCSA-N 1 2 324.344 1.205 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@H+](Cc2c(C#N)c(C)nn2-c2ccccc2)C1 ZINC000591475831 355320553 /nfs/dbraw/zinc/32/05/53/355320553.db2.gz GOIZTXZYWHDESL-OAHLLOKOSA-N 1 2 323.400 1.763 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@@H+](Cc2c(C#N)c(C)nn2-c2ccccc2)C1 ZINC000591475831 355320557 /nfs/dbraw/zinc/32/05/57/355320557.db2.gz GOIZTXZYWHDESL-OAHLLOKOSA-N 1 2 323.400 1.763 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2nc(C)c(C)o2)CC1 ZINC000591873774 355403487 /nfs/dbraw/zinc/40/34/87/355403487.db2.gz XHOXIFYRZWKPGP-UHFFFAOYSA-N 1 2 321.421 1.918 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2nc(C)c(C)o2)CC1 ZINC000591873774 355403490 /nfs/dbraw/zinc/40/34/90/355403490.db2.gz XHOXIFYRZWKPGP-UHFFFAOYSA-N 1 2 321.421 1.918 20 30 DDEDLO N#CCc1ccc(CNC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000592000221 355458653 /nfs/dbraw/zinc/45/86/53/355458653.db2.gz UOMGMDOXSIDBSW-CQSZACIVSA-N 1 2 309.373 1.832 20 30 DDEDLO N#CCc1ccc(CNC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000592000221 355458656 /nfs/dbraw/zinc/45/86/56/355458656.db2.gz UOMGMDOXSIDBSW-CQSZACIVSA-N 1 2 309.373 1.832 20 30 DDEDLO CCOc1ccccc1NC(=O)[C@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592147747 355513335 /nfs/dbraw/zinc/51/33/35/355513335.db2.gz RRPPICRQJALARS-GUYCJALGSA-N 1 2 317.389 1.763 20 30 DDEDLO CCOc1ccccc1NC(=O)[C@H](C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592147747 355513340 /nfs/dbraw/zinc/51/33/40/355513340.db2.gz RRPPICRQJALARS-GUYCJALGSA-N 1 2 317.389 1.763 20 30 DDEDLO N#CC[C@@]1(O)CCN(C(=O)C[C@@H](c2[nH]cc[nH+]2)c2ccccc2)C1 ZINC000592949180 355748496 /nfs/dbraw/zinc/74/84/96/355748496.db2.gz HPVDUKMSNWJWAV-CRAIPNDOSA-N 1 2 324.384 1.809 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C)Cc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000593007733 355768324 /nfs/dbraw/zinc/76/83/24/355768324.db2.gz NYSGTOCVOPTJRN-LBPRGKRZSA-N 1 2 307.419 1.719 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C)Cc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000593007733 355768325 /nfs/dbraw/zinc/76/83/25/355768325.db2.gz NYSGTOCVOPTJRN-LBPRGKRZSA-N 1 2 307.419 1.719 20 30 DDEDLO COC[C@@H]1C[C@@H](O)C[N@@H+]1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000593061961 355783890 /nfs/dbraw/zinc/78/38/90/355783890.db2.gz WEPSIDMFPGSMQM-QWHCGFSZSA-N 1 2 323.780 1.232 20 30 DDEDLO COC[C@@H]1C[C@@H](O)C[N@H+]1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000593061961 355783893 /nfs/dbraw/zinc/78/38/93/355783893.db2.gz WEPSIDMFPGSMQM-QWHCGFSZSA-N 1 2 323.780 1.232 20 30 DDEDLO COc1ccc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1[N+](=O)[O-] ZINC000593154399 355812456 /nfs/dbraw/zinc/81/24/56/355812456.db2.gz JOQVOOZRHWFZAN-HNNXBMFYSA-N 1 2 320.349 1.106 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1csc(-c2ncccn2)n1 ZINC000593155496 355813645 /nfs/dbraw/zinc/81/36/45/355813645.db2.gz WCXPWHYBIURURD-CQSZACIVSA-N 1 2 316.390 1.174 20 30 DDEDLO COc1ccc2c(ccn2CC(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000593155305 355813945 /nfs/dbraw/zinc/81/39/45/355813945.db2.gz LUJXYWZBGNMRFA-QGZVFWFLSA-N 1 2 314.389 1.610 20 30 DDEDLO Cc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)nn1[C@@H](C)C1CC1 ZINC000593154967 355813976 /nfs/dbraw/zinc/81/39/76/355813976.db2.gz RWSBLZSOURCRIK-LRDDRELGSA-N 1 2 303.410 1.736 20 30 DDEDLO Cc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)nn1[C@H](C)C1CC1 ZINC000593154969 355813995 /nfs/dbraw/zinc/81/39/95/355813995.db2.gz RWSBLZSOURCRIK-WBMJQRKESA-N 1 2 303.410 1.736 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccccc1-c1nnco1 ZINC000593342457 355859031 /nfs/dbraw/zinc/85/90/31/355859031.db2.gz LYQVVARXUMDUGC-GFCCVEGCSA-N 1 2 302.334 1.322 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccccc1-c1nnco1 ZINC000593342457 355859035 /nfs/dbraw/zinc/85/90/35/355859035.db2.gz LYQVVARXUMDUGC-GFCCVEGCSA-N 1 2 302.334 1.322 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCSC[C@H]2CC(=O)OC)nn1 ZINC000593962866 356073347 /nfs/dbraw/zinc/07/33/47/356073347.db2.gz ZYFUKAPFKUJOQN-CYBMUJFWSA-N 1 2 310.423 1.335 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCSC[C@H]2CC(=O)OC)nn1 ZINC000593962866 356073353 /nfs/dbraw/zinc/07/33/53/356073353.db2.gz ZYFUKAPFKUJOQN-CYBMUJFWSA-N 1 2 310.423 1.335 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCCc1[nH+]cc(C)cc1C ZINC000594474007 356229020 /nfs/dbraw/zinc/22/90/20/356229020.db2.gz BPDKEZVVWSFFHF-CYBMUJFWSA-N 1 2 324.450 1.557 20 30 DDEDLO C=CCCSCCNC(=O)C(=O)Nc1c[nH+]ccc1OC ZINC000595112430 356384085 /nfs/dbraw/zinc/38/40/85/356384085.db2.gz FHSNARMSGXNDQJ-UHFFFAOYSA-N 1 2 309.391 1.454 20 30 DDEDLO COc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)on1 ZINC000595338833 356454632 /nfs/dbraw/zinc/45/46/32/356454632.db2.gz KCVYSAZQWZXGSD-NSHDSACASA-N 1 2 314.349 1.056 20 30 DDEDLO COc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)on1 ZINC000595338833 356454636 /nfs/dbraw/zinc/45/46/36/356454636.db2.gz KCVYSAZQWZXGSD-NSHDSACASA-N 1 2 314.349 1.056 20 30 DDEDLO Cc1ncc(S(=O)(=O)N[C@H](C)c2[nH+]ccn2C)cc1C#N ZINC000595382057 356472880 /nfs/dbraw/zinc/47/28/80/356472880.db2.gz BXAXDBTXAZNLOH-SNVBAGLBSA-N 1 2 305.363 1.035 20 30 DDEDLO C[C@H](NS(=O)(=O)Cc1cc(C#N)ccc1F)c1[nH+]ccn1C ZINC000595383918 356473910 /nfs/dbraw/zinc/47/39/10/356473910.db2.gz QPXLHWNGMRHJMT-JTQLQIEISA-N 1 2 322.365 1.611 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+]C(C)(C)c1nc(C)cc(=O)[nH]1 ZINC000595520142 356530790 /nfs/dbraw/zinc/53/07/90/356530790.db2.gz NAGXDQREKRASET-UHFFFAOYSA-N 1 2 305.382 1.078 20 30 DDEDLO Cn1cc([C@@H]([NH2+]Cc2ccncc2C#N)C2(CO)CCC2)cn1 ZINC000595496005 356517534 /nfs/dbraw/zinc/51/75/34/356517534.db2.gz XIGIUEOGYRBZSQ-MRXNPFEDSA-N 1 2 311.389 1.680 20 30 DDEDLO C[N@@H+](Cc1nnc(-c2ccc(C#N)cc2)o1)C[C@@H]1COCCO1 ZINC000595744948 356632588 /nfs/dbraw/zinc/63/25/88/356632588.db2.gz WOJYNGPMXOPNGZ-CQSZACIVSA-N 1 2 314.345 1.455 20 30 DDEDLO C[N@H+](Cc1nnc(-c2ccc(C#N)cc2)o1)C[C@@H]1COCCO1 ZINC000595744948 356632590 /nfs/dbraw/zinc/63/25/90/356632590.db2.gz WOJYNGPMXOPNGZ-CQSZACIVSA-N 1 2 314.345 1.455 20 30 DDEDLO C=CCCSCCNC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000595723574 356622453 /nfs/dbraw/zinc/62/24/53/356622453.db2.gz RWGMIPROHDMKHP-CQSZACIVSA-N 1 2 313.467 1.412 20 30 DDEDLO C[C@]1(C#N)CC[N@H+](Cc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000595841282 356673243 /nfs/dbraw/zinc/67/32/43/356673243.db2.gz JUPZZUSFBSRNNP-MRXNPFEDSA-N 1 2 319.430 1.863 20 30 DDEDLO C[C@]1(C#N)CC[N@@H+](Cc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000595841282 356673247 /nfs/dbraw/zinc/67/32/47/356673247.db2.gz JUPZZUSFBSRNNP-MRXNPFEDSA-N 1 2 319.430 1.863 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000596590264 356926455 /nfs/dbraw/zinc/92/64/55/356926455.db2.gz FHZLEWIRSVXGQG-AWEZNQCLSA-N 1 2 302.378 1.476 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000596590264 356926458 /nfs/dbraw/zinc/92/64/58/356926458.db2.gz FHZLEWIRSVXGQG-AWEZNQCLSA-N 1 2 302.378 1.476 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)N[C@@H]1CC[C@H](C#N)C1)C(C)(C)CO ZINC000596635996 356938210 /nfs/dbraw/zinc/93/82/10/356938210.db2.gz IBGVZMBETQTJKM-YNEHKIRRSA-N 1 2 319.409 1.471 20 30 DDEDLO N#CC[C@H]1CCCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000597906520 357378205 /nfs/dbraw/zinc/37/82/05/357378205.db2.gz XCLHCYCWCMIIHI-ZBFHGGJFSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CC[C@H]1CCCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000597906520 357378209 /nfs/dbraw/zinc/37/82/09/357378209.db2.gz XCLHCYCWCMIIHI-ZBFHGGJFSA-N 1 2 305.422 1.782 20 30 DDEDLO CN(Cc1c[nH+]c[nH]1)C(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C ZINC000597858343 357360936 /nfs/dbraw/zinc/36/09/36/357360936.db2.gz RYDWSQRRWMHAKH-OAHLLOKOSA-N 1 2 306.366 1.630 20 30 DDEDLO CN(Cc1c[nH]c[nH+]1)C(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C ZINC000597858343 357360940 /nfs/dbraw/zinc/36/09/40/357360940.db2.gz RYDWSQRRWMHAKH-OAHLLOKOSA-N 1 2 306.366 1.630 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2cc(O)cc(C#N)c2)[C@@H](C)CO1 ZINC000597992831 357409951 /nfs/dbraw/zinc/40/99/51/357409951.db2.gz DDHBVZMYCXPIPF-NWDGAFQWSA-N 1 2 303.362 1.103 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2cc(O)cc(C#N)c2)[C@@H](C)CO1 ZINC000597992831 357409955 /nfs/dbraw/zinc/40/99/55/357409955.db2.gz DDHBVZMYCXPIPF-NWDGAFQWSA-N 1 2 303.362 1.103 20 30 DDEDLO C[C@H](NC(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C)c1[nH+]ccn1C ZINC000598463754 357592586 /nfs/dbraw/zinc/59/25/86/357592586.db2.gz BDDVFNNACSEHIE-MEDUHNTESA-N 1 2 320.393 1.859 20 30 DDEDLO Cc1nnc(CNC(=O)[C@@H](C)[NH+]2CCSCC2)n1C1CC1 ZINC000329657024 223010377 /nfs/dbraw/zinc/01/03/77/223010377.db2.gz GOPKWPKNHJQQPO-SNVBAGLBSA-N 1 2 309.439 1.815 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1CO ZINC000598649884 357670523 /nfs/dbraw/zinc/67/05/23/357670523.db2.gz ZVQIGKNUEOGESX-UKRRQHHQSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1CO ZINC000598649884 357670524 /nfs/dbraw/zinc/67/05/24/357670524.db2.gz ZVQIGKNUEOGESX-UKRRQHHQSA-N 1 2 322.430 1.282 20 30 DDEDLO N#Cc1ccc(C2(C(=O)N[C@@H]3CCn4c[nH+]cc4C3)CC2)cc1 ZINC000598947644 357770888 /nfs/dbraw/zinc/77/08/88/357770888.db2.gz MHKRFHXFTXDSKW-OAHLLOKOSA-N 1 2 306.369 1.918 20 30 DDEDLO COC[C@]1(C)C[N@@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)CCO1 ZINC000599693414 358027925 /nfs/dbraw/zinc/02/79/25/358027925.db2.gz PJDMFVBLGUVGAC-VNQPRFMTSA-N 1 2 311.426 1.167 20 30 DDEDLO COC[C@]1(C)C[N@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)CCO1 ZINC000599693414 358027927 /nfs/dbraw/zinc/02/79/27/358027927.db2.gz PJDMFVBLGUVGAC-VNQPRFMTSA-N 1 2 311.426 1.167 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@@H]1CCn2cc(C)[nH+]c2C1)C(=O)OCC ZINC000599997050 358099338 /nfs/dbraw/zinc/09/93/38/358099338.db2.gz RFJSXXOYKDILGQ-ZIAGYGMSSA-N 1 2 319.405 1.768 20 30 DDEDLO Cc1cn2c([nH+]1)C[C@H](C(=O)NCCc1ccc(C#N)cc1)CC2 ZINC000600092010 358121037 /nfs/dbraw/zinc/12/10/37/358121037.db2.gz BOUDLDRFLHSBMB-MRXNPFEDSA-N 1 2 308.385 1.985 20 30 DDEDLO Cc1[nH]c(CN2CCN(c3nccnc3C#N)C[C@@H]2C)[nH+]c1C ZINC000600132527 358133399 /nfs/dbraw/zinc/13/33/99/358133399.db2.gz LQXYXJNMEXVOBN-NSHDSACASA-N 1 2 311.393 1.399 20 30 DDEDLO N#CCCCC(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000600685200 358282548 /nfs/dbraw/zinc/28/25/48/358282548.db2.gz ZPDMGIHHRVKSCV-HNNXBMFYSA-N 1 2 302.378 1.092 20 30 DDEDLO N#CCCCC(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000600685200 358282550 /nfs/dbraw/zinc/28/25/50/358282550.db2.gz ZPDMGIHHRVKSCV-HNNXBMFYSA-N 1 2 302.378 1.092 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)CC3CSC3)CC2)cc1 ZINC000600946246 358351607 /nfs/dbraw/zinc/35/16/07/358351607.db2.gz QQQKXDOTHBTVLX-UHFFFAOYSA-N 1 2 315.442 1.956 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccccc1CC#N ZINC000601575443 358608076 /nfs/dbraw/zinc/60/80/76/358608076.db2.gz UTVPJOWNVQGTRN-GOEBONIOSA-N 1 2 313.401 1.688 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC(Nc2cccc(C#N)c2)C1 ZINC000601679775 358651866 /nfs/dbraw/zinc/65/18/66/358651866.db2.gz YMWCGSHMMFWVQP-LBPRGKRZSA-N 1 2 309.373 1.783 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC(Nc2cccc(C#N)c2)C1 ZINC000601679775 358651871 /nfs/dbraw/zinc/65/18/71/358651871.db2.gz YMWCGSHMMFWVQP-LBPRGKRZSA-N 1 2 309.373 1.783 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)OC ZINC000601786191 358695032 /nfs/dbraw/zinc/69/50/32/358695032.db2.gz XHXSQPJPSNCVNM-CYBMUJFWSA-N 1 2 305.378 1.386 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)C1 ZINC000602142401 358842352 /nfs/dbraw/zinc/84/23/52/358842352.db2.gz PSUBROVOBIMGRC-ONGXEEELSA-N 1 2 304.247 1.841 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C[C@H](C)N1CC#N ZINC000602857517 359243453 /nfs/dbraw/zinc/24/34/53/359243453.db2.gz RYKLSFKRUJWJIQ-GASCZTMLSA-N 1 2 317.437 1.247 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)C[C@H](C)N1CC#N ZINC000602857517 359243455 /nfs/dbraw/zinc/24/34/55/359243455.db2.gz RYKLSFKRUJWJIQ-GASCZTMLSA-N 1 2 317.437 1.247 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@H](C)C(=O)N[C@H](C)c1ccc2c(c1)OCCO2 ZINC000602864642 359248836 /nfs/dbraw/zinc/24/88/36/359248836.db2.gz TXNDNRQZLCOUCA-JHJVBQTASA-N 1 2 317.389 1.915 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@H](C)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000602869307 359253525 /nfs/dbraw/zinc/25/35/25/359253525.db2.gz NHRAAPSBSIBCPV-JHJVBQTASA-N 1 2 303.362 1.223 20 30 DDEDLO Cc1n[nH]cc1C[N@@H+](C)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000602945296 359312383 /nfs/dbraw/zinc/31/23/83/359312383.db2.gz ZRLOWQOPUSFLBT-MRXNPFEDSA-N 1 2 309.373 1.827 20 30 DDEDLO Cc1n[nH]cc1C[N@H+](C)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000602945296 359312385 /nfs/dbraw/zinc/31/23/85/359312385.db2.gz ZRLOWQOPUSFLBT-MRXNPFEDSA-N 1 2 309.373 1.827 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H]1c1cn[nH]c1 ZINC000602878762 359262411 /nfs/dbraw/zinc/26/24/11/359262411.db2.gz AFHOTFJDZYHJJP-AWEZNQCLSA-N 1 2 300.366 1.203 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCC[C@H]1c1cn[nH]c1 ZINC000602878762 359262415 /nfs/dbraw/zinc/26/24/15/359262415.db2.gz AFHOTFJDZYHJJP-AWEZNQCLSA-N 1 2 300.366 1.203 20 30 DDEDLO CO[C@H]1C[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@](C)(CO)C1 ZINC000602964301 359327608 /nfs/dbraw/zinc/32/76/08/359327608.db2.gz OMXNVABNGYMCCH-WBMJQRKESA-N 1 2 321.377 1.178 20 30 DDEDLO CO[C@H]1C[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@](C)(CO)C1 ZINC000602964301 359327611 /nfs/dbraw/zinc/32/76/11/359327611.db2.gz OMXNVABNGYMCCH-WBMJQRKESA-N 1 2 321.377 1.178 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@H+](C)[C@H]2CCC[C@H]2C#N)CC1 ZINC000602974586 359334087 /nfs/dbraw/zinc/33/40/87/359334087.db2.gz QJKUKCRWLOFCGG-GJZGRUSLSA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@@H+](C)[C@H]2CCC[C@H]2C#N)CC1 ZINC000602974586 359334093 /nfs/dbraw/zinc/33/40/93/359334093.db2.gz QJKUKCRWLOFCGG-GJZGRUSLSA-N 1 2 321.421 1.412 20 30 DDEDLO C[N@H+](CC(=O)NCCOc1cccc(F)c1)[C@H]1CCC[C@@H]1C#N ZINC000602978282 359336670 /nfs/dbraw/zinc/33/66/70/359336670.db2.gz OETKBBMAMPDDFV-CJNGLKHVSA-N 1 2 319.380 1.945 20 30 DDEDLO C[N@@H+](CC(=O)NCCOc1cccc(F)c1)[C@H]1CCC[C@@H]1C#N ZINC000602978282 359336671 /nfs/dbraw/zinc/33/66/71/359336671.db2.gz OETKBBMAMPDDFV-CJNGLKHVSA-N 1 2 319.380 1.945 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)Nc1ccccc1)[C@H]1CCC[C@H]1C#N ZINC000602980470 359336993 /nfs/dbraw/zinc/33/69/93/359336993.db2.gz QKLYOIJJKRQWNB-JSGCOSHPSA-N 1 2 300.362 1.959 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)Nc1ccccc1)[C@H]1CCC[C@H]1C#N ZINC000602980470 359336995 /nfs/dbraw/zinc/33/69/95/359336995.db2.gz QKLYOIJJKRQWNB-JSGCOSHPSA-N 1 2 300.362 1.959 20 30 DDEDLO N#CCCN(C(=O)C[N@H+]1C[C@H]2C[C@@]2(O)C1)c1cccc(Cl)c1 ZINC000603087798 359394682 /nfs/dbraw/zinc/39/46/82/359394682.db2.gz LZDIDCHDLYGSAS-MLGOLLRUSA-N 1 2 319.792 1.653 20 30 DDEDLO N#CCCN(C(=O)C[N@@H+]1C[C@H]2C[C@@]2(O)C1)c1cccc(Cl)c1 ZINC000603087798 359394689 /nfs/dbraw/zinc/39/46/89/359394689.db2.gz LZDIDCHDLYGSAS-MLGOLLRUSA-N 1 2 319.792 1.653 20 30 DDEDLO N#Cc1c(Cn2cc([C@H]3COCC[NH2+]3)nn2)cn2ccccc12 ZINC000603193552 359470816 /nfs/dbraw/zinc/47/08/16/359470816.db2.gz PQAGEEZDCZBBLW-OAHLLOKOSA-N 1 2 308.345 1.112 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N1CCn2ccnc2C1 ZINC000329839410 223036605 /nfs/dbraw/zinc/03/66/05/223036605.db2.gz MVMIBLIGCBBPCB-UHFFFAOYSA-N 1 2 300.366 1.346 20 30 DDEDLO Cc1noc(C)c1C[C@@H](C)[NH+]=C([O-])N1CCn2cc[nH+]c2C1 ZINC000329839930 223036830 /nfs/dbraw/zinc/03/68/30/223036830.db2.gz MZTVESDPPFOLKX-SNVBAGLBSA-N 1 2 303.366 1.849 20 30 DDEDLO O=C(CCNC(=O)N1CCn2cc[nH+]c2C1)N1CCCCCC1 ZINC000329859071 223039744 /nfs/dbraw/zinc/03/97/44/223039744.db2.gz WLXWABMWOOXYLR-UHFFFAOYSA-N 1 2 319.409 1.405 20 30 DDEDLO COCc1cccc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)c1 ZINC000329954078 223052575 /nfs/dbraw/zinc/05/25/75/223052575.db2.gz JTVYCBMXOYZUPB-HUUCEWRRSA-N 1 2 305.378 1.393 20 30 DDEDLO COCc1cccc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)c1 ZINC000329954078 223052577 /nfs/dbraw/zinc/05/25/77/223052577.db2.gz JTVYCBMXOYZUPB-HUUCEWRRSA-N 1 2 305.378 1.393 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)NC2CCCCC2)CC1 ZINC000609485943 360313782 /nfs/dbraw/zinc/31/37/82/360313782.db2.gz AMSAROWMISSGBC-MRXNPFEDSA-N 1 2 306.454 1.601 20 30 DDEDLO O=C(NCc1cc[nH+]c(N2CCCC2)c1)N[C@@H]1CC[S@@](=O)C1 ZINC000329990917 223058654 /nfs/dbraw/zinc/05/86/54/223058654.db2.gz JLGIYRKRRSLOQU-DMZKTXOQSA-N 1 2 322.434 1.206 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000610447883 360439561 /nfs/dbraw/zinc/43/95/61/360439561.db2.gz NNFKHXXFOZZNFD-HZPDHXFCSA-N 1 2 306.454 1.553 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NCC[N@H+]1CCOC[C@@H]1C ZINC000610276140 360406570 /nfs/dbraw/zinc/40/65/70/360406570.db2.gz UIJANQCTZDEWSY-AWEZNQCLSA-N 1 2 310.401 1.969 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NCC[N@@H+]1CCOC[C@@H]1C ZINC000610276140 360406576 /nfs/dbraw/zinc/40/65/76/360406576.db2.gz UIJANQCTZDEWSY-AWEZNQCLSA-N 1 2 310.401 1.969 20 30 DDEDLO CCN(C(=O)[C@@H](C)[NH+]1CCN(CCC#N)CC1)c1ccccc1 ZINC000611173646 360646391 /nfs/dbraw/zinc/64/63/91/360646391.db2.gz FHDOWEKDUKUZLY-MRXNPFEDSA-N 1 2 314.433 1.959 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+]1Cc1cccc(C(=O)OC)c1C#N ZINC000611126658 360629888 /nfs/dbraw/zinc/62/98/88/360629888.db2.gz IWVNFMAEFRZXQL-CQSZACIVSA-N 1 2 301.346 1.055 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+]1Cc1cccc(C(=O)OC)c1C#N ZINC000611126658 360629892 /nfs/dbraw/zinc/62/98/92/360629892.db2.gz IWVNFMAEFRZXQL-CQSZACIVSA-N 1 2 301.346 1.055 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CCN2C[C@H]3CC[C@@H](C2)O3)CC1 ZINC000611432111 360720358 /nfs/dbraw/zinc/72/03/58/360720358.db2.gz NOZWTXCTNKIZTQ-IXDOHACOSA-N 1 2 306.454 1.015 20 30 DDEDLO CO[C@@H]1CN(C(=O)NC[C@H]2CCCn3cc(C)[nH+]c32)C[C@@H]1OC ZINC000330188895 223084692 /nfs/dbraw/zinc/08/46/92/223084692.db2.gz UTIXRFRQGKHGPW-MCIONIFRSA-N 1 2 322.409 1.329 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N1CCC[C@](C)(C#N)C1)[NH+]1CCOCC1 ZINC000612131988 360928161 /nfs/dbraw/zinc/92/81/61/360928161.db2.gz IUEQEZWGTBIXSE-SQWLQELKSA-N 1 2 308.426 1.431 20 30 DDEDLO COC1CCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)CC1 ZINC000330527016 223125363 /nfs/dbraw/zinc/12/53/63/223125363.db2.gz KPVARBSKIMGIBK-UHFFFAOYSA-N 1 2 320.315 1.970 20 30 DDEDLO CC(=O)NC[C@H]1C[N@H+](Cc2ccc(C(C)(C)C#N)cc2)CCO1 ZINC000614168124 361733218 /nfs/dbraw/zinc/73/32/18/361733218.db2.gz SAOWWRZVTPXVEV-KRWDZBQOSA-N 1 2 315.417 1.825 20 30 DDEDLO CC(=O)NC[C@H]1C[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)CCO1 ZINC000614168124 361733226 /nfs/dbraw/zinc/73/32/26/361733226.db2.gz SAOWWRZVTPXVEV-KRWDZBQOSA-N 1 2 315.417 1.825 20 30 DDEDLO CC1=CC[N@H+](CCNS(=O)(=O)c2ccc(C#N)s2)CC1 ZINC000619549182 364056375 /nfs/dbraw/zinc/05/63/75/364056375.db2.gz CIKJPQDTWOSNEP-UHFFFAOYSA-N 1 2 311.432 1.550 20 30 DDEDLO CC1=CC[N@@H+](CCNS(=O)(=O)c2ccc(C#N)s2)CC1 ZINC000619549182 364056378 /nfs/dbraw/zinc/05/63/78/364056378.db2.gz CIKJPQDTWOSNEP-UHFFFAOYSA-N 1 2 311.432 1.550 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCc2cc(F)ccc2C1 ZINC000091892267 193123784 /nfs/dbraw/zinc/12/37/84/193123784.db2.gz DSYTXQMILCEAHY-NSHDSACASA-N 1 2 305.353 1.584 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCc2cc(F)ccc2C1 ZINC000091892267 193123786 /nfs/dbraw/zinc/12/37/86/193123786.db2.gz DSYTXQMILCEAHY-NSHDSACASA-N 1 2 305.353 1.584 20 30 DDEDLO C=CCNC(=O)CN(CC[NH+]1CCOCC1)c1ccccc1F ZINC000625941388 367230394 /nfs/dbraw/zinc/23/03/94/367230394.db2.gz XXTAPZQNSNTNNH-UHFFFAOYSA-N 1 2 321.396 1.267 20 30 DDEDLO Cn1ncnc1C[NH+]1CCC(C(=O)Nc2cccc(C#N)c2)CC1 ZINC000267401008 206039855 /nfs/dbraw/zinc/03/98/55/206039855.db2.gz RBEVVZYPYXXRBI-UHFFFAOYSA-N 1 2 324.388 1.537 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(CC#Cc2ccc(Cl)cc2)CC1 ZINC000267443492 206070529 /nfs/dbraw/zinc/07/05/29/206070529.db2.gz JAFIWFXORSHXFR-UHFFFAOYSA-N 1 2 319.836 1.573 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000073108759 248209782 /nfs/dbraw/zinc/20/97/82/248209782.db2.gz AYPYIIMOFLZZOX-AWEZNQCLSA-N 1 2 315.421 1.236 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)c1 ZINC000333751330 249092301 /nfs/dbraw/zinc/09/23/01/249092301.db2.gz ZPCRWVFSWGDNDS-HUUCEWRRSA-N 1 2 300.362 1.413 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)c1 ZINC000333751330 249092303 /nfs/dbraw/zinc/09/23/03/249092303.db2.gz ZPCRWVFSWGDNDS-HUUCEWRRSA-N 1 2 300.362 1.413 20 30 DDEDLO CC[C@@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1O ZINC000341016573 251337081 /nfs/dbraw/zinc/33/70/81/251337081.db2.gz SKEWXOBOFLEVNF-UKRRQHHQSA-N 1 2 308.403 1.035 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1O ZINC000341016573 251337085 /nfs/dbraw/zinc/33/70/85/251337085.db2.gz SKEWXOBOFLEVNF-UKRRQHHQSA-N 1 2 308.403 1.035 20 30 DDEDLO CC(C)CO[C@@H]1CC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000120015939 195081363 /nfs/dbraw/zinc/08/13/63/195081363.db2.gz PKTMJEDGPLIKNW-CVEARBPZSA-N 1 2 301.390 1.832 20 30 DDEDLO CC(C)CO[C@@H]1CC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000120015939 195081366 /nfs/dbraw/zinc/08/13/66/195081366.db2.gz PKTMJEDGPLIKNW-CVEARBPZSA-N 1 2 301.390 1.832 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@H](O)Cn3cnc(C#N)cc3=O)c2cc1C ZINC000567885254 304251701 /nfs/dbraw/zinc/25/17/01/304251701.db2.gz FFRBPJUBWPNZDH-AWEZNQCLSA-N 1 2 323.356 1.143 20 30 DDEDLO C[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)[N@@H+]1CCc2ccccc2C1 ZINC000330072792 533099420 /nfs/dbraw/zinc/09/94/20/533099420.db2.gz ZIBGCTVYJVHATH-JTQLQIEISA-N 1 2 301.350 1.414 20 30 DDEDLO C[C@@H](C(=O)NCc1n[nH]c(=O)[nH]1)[N@H+]1CCc2ccccc2C1 ZINC000330072792 533099422 /nfs/dbraw/zinc/09/94/22/533099422.db2.gz ZIBGCTVYJVHATH-JTQLQIEISA-N 1 2 301.350 1.414 20 30 DDEDLO CC[N@@H+]1CCC[C@@H]1CNC(=O)C(C)(C)S(=O)(=O)C1CC1 ZINC000328793946 285233069 /nfs/dbraw/zinc/23/30/69/285233069.db2.gz BFHYAUBZDORCRJ-LLVKDONJSA-N 1 2 302.440 1.783 20 30 DDEDLO CC[N@H+]1CCC[C@@H]1CNC(=O)C(C)(C)S(=O)(=O)C1CC1 ZINC000328793946 285233070 /nfs/dbraw/zinc/23/30/70/285233070.db2.gz BFHYAUBZDORCRJ-LLVKDONJSA-N 1 2 302.440 1.783 20 30 DDEDLO COCc1nc(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)cs1 ZINC000330626502 533270065 /nfs/dbraw/zinc/27/00/65/533270065.db2.gz VSRYVSVJTKGIRK-GHMZBOCLSA-N 1 2 313.423 1.703 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@H](O)C[N@H+](C)C[C@H](C)C#N ZINC000338246833 533561975 /nfs/dbraw/zinc/56/19/75/533561975.db2.gz WNVCSCVBQRSCEQ-IUODEOHRSA-N 1 2 320.389 1.729 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@H](O)C[N@@H+](C)C[C@H](C)C#N ZINC000338246833 533561977 /nfs/dbraw/zinc/56/19/77/533561977.db2.gz WNVCSCVBQRSCEQ-IUODEOHRSA-N 1 2 320.389 1.729 20 30 DDEDLO C[C@@](O)(C[NH+]1CCN(c2cnccc2C#N)CC1)C(F)(F)F ZINC000568346902 304286625 /nfs/dbraw/zinc/28/66/25/304286625.db2.gz UACAJJVWUXTXMI-CYBMUJFWSA-N 1 2 314.311 1.389 20 30 DDEDLO C=CC[N@@H+](CCNS(=O)(=O)CC)Cc1cccc(C#N)c1 ZINC000068816100 406665387 /nfs/dbraw/zinc/66/53/87/406665387.db2.gz MLVTZRUJVXXEGL-UHFFFAOYSA-N 1 2 307.419 1.486 20 30 DDEDLO C=CC[N@H+](CCNS(=O)(=O)CC)Cc1cccc(C#N)c1 ZINC000068816100 406665391 /nfs/dbraw/zinc/66/53/91/406665391.db2.gz MLVTZRUJVXXEGL-UHFFFAOYSA-N 1 2 307.419 1.486 20 30 DDEDLO N#Cc1ccc([C@H](O)CN2CC[NH+](Cc3cccnc3)CC2)cc1 ZINC000091076474 407176997 /nfs/dbraw/zinc/17/69/97/407176997.db2.gz WXFLEGWOUKXJOX-LJQANCHMSA-N 1 2 322.412 1.804 20 30 DDEDLO CC[N@@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)C[C@@H](C)C#N ZINC000066424918 407251142 /nfs/dbraw/zinc/25/11/42/407251142.db2.gz LERYTENVSXZGLS-ZFWWWQNUSA-N 1 2 301.390 1.588 20 30 DDEDLO CC[N@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)C[C@@H](C)C#N ZINC000066424918 407251145 /nfs/dbraw/zinc/25/11/45/407251145.db2.gz LERYTENVSXZGLS-ZFWWWQNUSA-N 1 2 301.390 1.588 20 30 DDEDLO CC[C@@H](C)[C@@H](NC(=O)C[NH+]1CCC(F)(C#N)CC1)C(=O)OC ZINC000352491603 286793945 /nfs/dbraw/zinc/79/39/45/286793945.db2.gz RQODXRYZCWWGOW-DGCLKSJQSA-N 1 2 313.373 1.018 20 30 DDEDLO COC(=O)[C@H]1CCC[N@@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000066833943 407260456 /nfs/dbraw/zinc/26/04/56/407260456.db2.gz GCJYAAKONRKPMQ-JSGCOSHPSA-N 1 2 315.373 1.770 20 30 DDEDLO COC(=O)[C@H]1CCC[N@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000066833943 407260457 /nfs/dbraw/zinc/26/04/57/407260457.db2.gz GCJYAAKONRKPMQ-JSGCOSHPSA-N 1 2 315.373 1.770 20 30 DDEDLO C[C@H]1CCCC[C@@H]1OCCNC(=O)C[NH+]1CCC(C#N)CC1 ZINC000057874576 407218386 /nfs/dbraw/zinc/21/83/86/407218386.db2.gz PRNDOTAFDIYAHO-HOCLYGCPSA-N 1 2 307.438 1.933 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)Nc2ccc(F)cc2)C1 ZINC000101922318 407317320 /nfs/dbraw/zinc/31/73/20/407317320.db2.gz PBQHQXWKZZLDCM-AWEZNQCLSA-N 1 2 320.368 1.324 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)Nc2ccc(F)cc2)C1 ZINC000101922318 407317322 /nfs/dbraw/zinc/31/73/22/407317322.db2.gz PBQHQXWKZZLDCM-AWEZNQCLSA-N 1 2 320.368 1.324 20 30 DDEDLO N#Cc1ccc2ncc(C[NH2+]Cc3nnc4ccccn43)n2c1 ZINC000123171236 407321706 /nfs/dbraw/zinc/32/17/06/407321706.db2.gz PMXUJSUKXORSGE-UHFFFAOYSA-N 1 2 303.329 1.538 20 30 DDEDLO C=CCCOCCNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000126140192 407406441 /nfs/dbraw/zinc/40/64/41/407406441.db2.gz RBCCAWINLNAXJB-CQSZACIVSA-N 1 2 304.394 1.552 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCOc1cccc(Cl)c1 ZINC000112336162 407426888 /nfs/dbraw/zinc/42/68/88/407426888.db2.gz HZMMJKDLJURFJQ-UHFFFAOYSA-N 1 2 313.785 1.922 20 30 DDEDLO C[NH+](C)[C@H](CNS(=O)(=O)c1ccc(C#N)nc1)c1ccco1 ZINC000170654980 407465920 /nfs/dbraw/zinc/46/59/20/407465920.db2.gz JCUAOXYJVHFKPJ-CYBMUJFWSA-N 1 2 320.374 1.127 20 30 DDEDLO C#CCCC[NH+]1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000271050516 407486693 /nfs/dbraw/zinc/48/66/93/407486693.db2.gz PPLJZKNNKZHUNX-UHFFFAOYSA-N 1 2 310.394 1.545 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CCN(C(=O)NC)CC2)cc1OC ZINC000271201390 407573552 /nfs/dbraw/zinc/57/35/52/407573552.db2.gz WZAROALCXOMZNB-UHFFFAOYSA-N 1 2 319.405 1.717 20 30 DDEDLO N#Cc1nccnc1NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000171026028 407574866 /nfs/dbraw/zinc/57/48/66/407574866.db2.gz BHWXPBGWELCFSM-ZDUSSCGKSA-N 1 2 315.402 1.895 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@H+]1CCOCC1(C)C ZINC000170833584 407525562 /nfs/dbraw/zinc/52/55/62/407525562.db2.gz NPHOGTXMAKXTOM-UHFFFAOYSA-N 1 2 301.456 1.316 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000170833584 407525571 /nfs/dbraw/zinc/52/55/71/407525571.db2.gz NPHOGTXMAKXTOM-UHFFFAOYSA-N 1 2 301.456 1.316 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+]Cc2cccc3c2OCO3)C(N)=O)cc1 ZINC000115597944 407700463 /nfs/dbraw/zinc/70/04/63/407700463.db2.gz OEZFCQWSQVYLOR-OAHLLOKOSA-N 1 2 309.325 1.603 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH+]2CCN(CC)CC2)C1 ZINC000173110187 407863142 /nfs/dbraw/zinc/86/31/42/407863142.db2.gz AURFKSSCGQYFQB-MRXNPFEDSA-N 1 2 309.454 1.208 20 30 DDEDLO C#CC[N@@H+](CCSc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000117879599 407867112 /nfs/dbraw/zinc/86/71/12/407867112.db2.gz NUWBFFSLWRBCJL-CQSZACIVSA-N 1 2 309.456 1.901 20 30 DDEDLO C#CC[N@H+](CCSc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000117879599 407867116 /nfs/dbraw/zinc/86/71/16/407867116.db2.gz NUWBFFSLWRBCJL-CQSZACIVSA-N 1 2 309.456 1.901 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)c1ccc(C#N)cc1F ZINC000134545984 407907455 /nfs/dbraw/zinc/90/74/55/407907455.db2.gz MIZAFZLBLCLWKP-UHFFFAOYSA-N 1 2 322.365 1.605 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)[C@@H](c1ccccc1)[NH+](C)C ZINC000273040642 408029630 /nfs/dbraw/zinc/02/96/30/408029630.db2.gz GCENHTUMVTVMSM-CQSZACIVSA-N 1 2 310.419 1.006 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N1CCC(C)(C#N)CC1 ZINC000272771203 408012524 /nfs/dbraw/zinc/01/25/24/408012524.db2.gz ZTLDAJAMDMEWJF-UHFFFAOYSA-N 1 2 302.334 1.181 20 30 DDEDLO NC(CCO)=[NH+]OCC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC000136072866 408074059 /nfs/dbraw/zinc/07/40/59/408074059.db2.gz LRCCALXQIWZRGM-UHFFFAOYSA-N 1 2 306.149 1.603 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1cc(Cl)cn1C ZINC000120233822 408121376 /nfs/dbraw/zinc/12/13/76/408121376.db2.gz RQTDHVPBYZDUOS-JTQLQIEISA-N 1 2 312.801 1.511 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1cc(Cl)cn1C ZINC000120233822 408121382 /nfs/dbraw/zinc/12/13/82/408121382.db2.gz RQTDHVPBYZDUOS-JTQLQIEISA-N 1 2 312.801 1.511 20 30 DDEDLO Cc1c(Cl)cnc(N[C@H](C)C[NH+]2CCN(C)CC2)c1C#N ZINC000273323504 408152718 /nfs/dbraw/zinc/15/27/18/408152718.db2.gz KOCRJHBTECVTAI-LLVKDONJSA-N 1 2 307.829 1.963 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCO[C@H](C(=O)NC)C2)cc1 ZINC000182438361 408159151 /nfs/dbraw/zinc/15/91/51/408159151.db2.gz HHBAYLGDKCVANZ-INIZCTEOSA-N 1 2 302.374 1.036 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCO[C@H](C(=O)NC)C2)cc1 ZINC000182438361 408159157 /nfs/dbraw/zinc/15/91/57/408159157.db2.gz HHBAYLGDKCVANZ-INIZCTEOSA-N 1 2 302.374 1.036 20 30 DDEDLO COC(=O)c1cccc(C[NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)c1 ZINC000124600120 161842273 /nfs/dbraw/zinc/84/22/73/161842273.db2.gz VHPZKUKUANZSPX-MRXNPFEDSA-N 1 2 323.352 1.661 20 30 DDEDLO C[C@H]1C[N@H+](C[C@@H](C#N)CCC#N)CCN1c1nccn2cnnc12 ZINC000269405813 408296617 /nfs/dbraw/zinc/29/66/17/408296617.db2.gz ZUEYEYXITFVABC-UONOGXRCSA-N 1 2 324.392 1.078 20 30 DDEDLO C[C@H]1C[N@@H+](C[C@@H](C#N)CCC#N)CCN1c1nccn2cnnc12 ZINC000269405813 408296620 /nfs/dbraw/zinc/29/66/20/408296620.db2.gz ZUEYEYXITFVABC-UONOGXRCSA-N 1 2 324.392 1.078 20 30 DDEDLO C[C@H](O)[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000167739422 162176836 /nfs/dbraw/zinc/17/68/36/162176836.db2.gz PWQWYINUEQRPTP-GXTWGEPZSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H](O)[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000167739422 162176839 /nfs/dbraw/zinc/17/68/39/162176839.db2.gz PWQWYINUEQRPTP-GXTWGEPZSA-N 1 2 308.403 1.035 20 30 DDEDLO CS(=O)(=O)CC[NH+]1CCC(Oc2ccc(C#N)cc2)CC1 ZINC000264134644 408376927 /nfs/dbraw/zinc/37/69/27/408376927.db2.gz OYBPJVPQTAULSB-UHFFFAOYSA-N 1 2 308.403 1.446 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000269882524 408459070 /nfs/dbraw/zinc/45/90/70/408459070.db2.gz SRNULYJUPWCYRA-GFCCVEGCSA-N 1 2 310.317 1.947 20 30 DDEDLO COc1cc(C#N)ccc1CO[NH+]=C(N)C(C)(C)NC(C)=O ZINC000159681786 408425539 /nfs/dbraw/zinc/42/55/39/408425539.db2.gz QMNLUSDMXSGNCP-UHFFFAOYSA-N 1 2 304.350 1.270 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000275675518 408642664 /nfs/dbraw/zinc/64/26/64/408642664.db2.gz GPRUTTJANFTWBQ-SFHVURJKSA-N 1 2 317.433 1.955 20 30 DDEDLO COC[C@@H]([NH2+]CC(=O)N[C@@](C)(C#N)C(C)C)c1ccc(C)o1 ZINC000184672442 408665528 /nfs/dbraw/zinc/66/55/28/408665528.db2.gz VSUUXTLFJBUKIZ-CJNGLKHVSA-N 1 2 307.394 1.920 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@@H](c2c(F)cccc2F)C1 ZINC000185323758 408795365 /nfs/dbraw/zinc/79/53/65/408795365.db2.gz PZUGDDOKYBAFHP-LLVKDONJSA-N 1 2 323.343 1.766 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@@H](c2c(F)cccc2F)C1 ZINC000185323758 408795370 /nfs/dbraw/zinc/79/53/70/408795370.db2.gz PZUGDDOKYBAFHP-LLVKDONJSA-N 1 2 323.343 1.766 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@@H]1CCSC1 ZINC000163126687 408760830 /nfs/dbraw/zinc/76/08/30/408760830.db2.gz DJEFXDFPALDFBH-CYBMUJFWSA-N 1 2 310.444 1.769 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@@H]1CCSC1 ZINC000163126687 408760835 /nfs/dbraw/zinc/76/08/35/408760835.db2.gz DJEFXDFPALDFBH-CYBMUJFWSA-N 1 2 310.444 1.769 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)NCc2ccn3cc[nH+]c3c2)c1 ZINC000178030712 408761064 /nfs/dbraw/zinc/76/10/64/408761064.db2.gz IENFCPWWLVTATP-UHFFFAOYSA-N 1 2 319.324 1.461 20 30 DDEDLO C[C@@H](C[NH+]1CCN(c2ccccc2C#N)CC1)CS(C)(=O)=O ZINC000163370110 408775086 /nfs/dbraw/zinc/77/50/86/408775086.db2.gz ACVGRDLZCQUPLR-AWEZNQCLSA-N 1 2 321.446 1.361 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1C[C@H](NC(=O)c2cccc(C#N)c2)CCO1 ZINC000276484930 408868671 /nfs/dbraw/zinc/86/86/71/408868671.db2.gz ZVFRQUCLDDAHQU-GDBMZVCRSA-N 1 2 310.357 1.942 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCN(C2=[NH+]CC(C)(C)S2)CC1)C(C)C ZINC000281030411 408869133 /nfs/dbraw/zinc/86/91/33/408869133.db2.gz HVEZUHDVRWTCDS-CYBMUJFWSA-N 1 2 322.478 1.853 20 30 DDEDLO COC(=O)[C@@H](C)[N@H+](CC(=O)N(CCC#N)CCC#N)C1CCC1 ZINC000276807077 408900407 /nfs/dbraw/zinc/90/04/07/408900407.db2.gz NHLSYLFBXGORJX-CYBMUJFWSA-N 1 2 320.393 1.058 20 30 DDEDLO COC(=O)[C@@H](C)[N@@H+](CC(=O)N(CCC#N)CCC#N)C1CCC1 ZINC000276807077 408900409 /nfs/dbraw/zinc/90/04/09/408900409.db2.gz NHLSYLFBXGORJX-CYBMUJFWSA-N 1 2 320.393 1.058 20 30 DDEDLO N#Cc1ncccc1S(=O)(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000277268413 408910023 /nfs/dbraw/zinc/91/00/23/408910023.db2.gz XQOKTCJXIPSZEU-NSHDSACASA-N 1 2 317.374 1.245 20 30 DDEDLO CCCCOC[C@H](O)C[NH+]1CC(Oc2ccc(C#N)cc2)C1 ZINC000193393797 163253922 /nfs/dbraw/zinc/25/39/22/163253922.db2.gz XKDOYIPTVLLURD-OAHLLOKOSA-N 1 2 304.390 1.799 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)[C@H]2CCN(CC=C)C2=O)c1 ZINC000281891088 408949148 /nfs/dbraw/zinc/94/91/48/408949148.db2.gz DUXKIRGQKDJWEA-INIZCTEOSA-N 1 2 311.385 1.325 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)[C@H]2CCN(CC=C)C2=O)c1 ZINC000281891088 408949151 /nfs/dbraw/zinc/94/91/51/408949151.db2.gz DUXKIRGQKDJWEA-INIZCTEOSA-N 1 2 311.385 1.325 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](CCO)Cc2ccccc2OC)C1=O ZINC000281924048 408955409 /nfs/dbraw/zinc/95/54/09/408955409.db2.gz HMIIOZOMQSYGRR-HNNXBMFYSA-N 1 2 304.390 1.276 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](CCO)Cc2ccccc2OC)C1=O ZINC000281924048 408955412 /nfs/dbraw/zinc/95/54/12/408955412.db2.gz HMIIOZOMQSYGRR-HNNXBMFYSA-N 1 2 304.390 1.276 20 30 DDEDLO CCCc1noc(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000292107537 408982438 /nfs/dbraw/zinc/98/24/38/408982438.db2.gz GXJMTMHBHNSWNF-ZDUSSCGKSA-N 1 2 313.361 1.942 20 30 DDEDLO CCCc1noc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000292107537 408982441 /nfs/dbraw/zinc/98/24/41/408982441.db2.gz GXJMTMHBHNSWNF-ZDUSSCGKSA-N 1 2 313.361 1.942 20 30 DDEDLO NC(=[NH+]OC[C@@H]1CNC(=O)O1)c1cccc(C(F)(F)F)c1 ZINC000282339234 409036732 /nfs/dbraw/zinc/03/67/32/409036732.db2.gz VTNYOFGHCQHNQM-VIFPVBQESA-N 1 2 303.240 1.451 20 30 DDEDLO CC(C)(C#N)CC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000278178846 409075733 /nfs/dbraw/zinc/07/57/33/409075733.db2.gz CKMLZUSIGKVJTI-UHFFFAOYSA-N 1 2 302.378 1.474 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)Cc1nnc2n1c1ccsc1c(=O)n2C ZINC000279158843 409161362 /nfs/dbraw/zinc/16/13/62/409161362.db2.gz BATJJPLZOWUBOL-UHFFFAOYSA-N 1 2 315.402 1.486 20 30 DDEDLO C#CC(C)(C)[N@H+](C)Cc1nnc2n1c1ccsc1c(=O)n2C ZINC000279158843 409161364 /nfs/dbraw/zinc/16/13/64/409161364.db2.gz BATJJPLZOWUBOL-UHFFFAOYSA-N 1 2 315.402 1.486 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)N(C)Cc1cccc(F)c1 ZINC000284022733 409259126 /nfs/dbraw/zinc/25/91/26/409259126.db2.gz IEAVGHYXMQKXMB-BXUZGUMPSA-N 1 2 323.368 1.640 20 30 DDEDLO C[C@H](C[N@@H+]1CCC[C@@H](C)C1)NS(=O)(=O)c1cncc(C#N)c1 ZINC000289460985 409276709 /nfs/dbraw/zinc/27/67/09/409276709.db2.gz LZCCQIYJJLANEI-CHWSQXEVSA-N 1 2 322.434 1.352 20 30 DDEDLO C[C@H](C[N@H+]1CCC[C@@H](C)C1)NS(=O)(=O)c1cncc(C#N)c1 ZINC000289460985 409276714 /nfs/dbraw/zinc/27/67/14/409276714.db2.gz LZCCQIYJJLANEI-CHWSQXEVSA-N 1 2 322.434 1.352 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1cn(-c2ccccc2C)nn1 ZINC000290184932 409315675 /nfs/dbraw/zinc/31/56/75/409315675.db2.gz SHAKBZTZJBPMHB-UHFFFAOYSA-N 1 2 320.418 1.266 20 30 DDEDLO C[C@H]1OCC[C@@]12C[N@H+](CC(=O)Nc1cccc(C#N)c1)CCO2 ZINC000280611813 409379902 /nfs/dbraw/zinc/37/99/02/409379902.db2.gz XSTRAAVNZHUDKX-CXAGYDPISA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H]1OCC[C@@]12C[N@@H+](CC(=O)Nc1cccc(C#N)c1)CCO2 ZINC000280611813 409379904 /nfs/dbraw/zinc/37/99/04/409379904.db2.gz XSTRAAVNZHUDKX-CXAGYDPISA-N 1 2 315.373 1.377 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC3(CCC3)C2)nc1 ZINC000290267625 409341204 /nfs/dbraw/zinc/34/12/04/409341204.db2.gz LECZDDUCZRQETN-UHFFFAOYSA-N 1 2 305.403 1.603 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC3(CCC3)C2)nc1 ZINC000290267625 409341214 /nfs/dbraw/zinc/34/12/14/409341214.db2.gz LECZDDUCZRQETN-UHFFFAOYSA-N 1 2 305.403 1.603 20 30 DDEDLO COc1ccccc1[C@@H](C)NC(=O)CO[NH+]=C(N)[C@H]1CCCO1 ZINC000284497280 409345988 /nfs/dbraw/zinc/34/59/88/409345988.db2.gz SPSMBBLKDVJBSD-BXUZGUMPSA-N 1 2 321.377 1.340 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000284613382 409355406 /nfs/dbraw/zinc/35/54/06/409355406.db2.gz QDPXVHXMTVQNNA-UHFFFAOYSA-N 1 2 304.394 1.188 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(CC#N)cc3)C[C@H]21 ZINC000284621343 409355462 /nfs/dbraw/zinc/35/54/62/409355462.db2.gz SYSWICRQVPJWOD-HZPDHXFCSA-N 1 2 314.389 1.689 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(CC#N)cc3)C[C@H]21 ZINC000284621343 409355468 /nfs/dbraw/zinc/35/54/68/409355468.db2.gz SYSWICRQVPJWOD-HZPDHXFCSA-N 1 2 314.389 1.689 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@H+](C)[C@@H]1COC[C@H]1O ZINC000285549853 409483826 /nfs/dbraw/zinc/48/38/26/409483826.db2.gz MDWGMXALWAOEBY-NUEKZKHPSA-N 1 2 317.389 1.013 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+](C)[C@@H]1COC[C@H]1O ZINC000285549853 409483830 /nfs/dbraw/zinc/48/38/30/409483830.db2.gz MDWGMXALWAOEBY-NUEKZKHPSA-N 1 2 317.389 1.013 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)c2ncc(C#N)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000296865021 409673703 /nfs/dbraw/zinc/67/37/03/409673703.db2.gz VPRXHNIFFBYEPQ-TXEJJXNPSA-N 1 2 319.365 1.407 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)c2ncc(C#N)cc2[N+](=O)[O-])C[C@H](C)O1 ZINC000296865021 409673713 /nfs/dbraw/zinc/67/37/13/409673713.db2.gz VPRXHNIFFBYEPQ-TXEJJXNPSA-N 1 2 319.365 1.407 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)N[C@@H]2CCC[C@H]2C#N)CCN1C ZINC000331839877 409790754 /nfs/dbraw/zinc/79/07/54/409790754.db2.gz ZRCZBCUXHNAROX-MJBXVCDLSA-N 1 2 316.409 1.110 20 30 DDEDLO CC(C)[NH+]1CC(NC(=O)c2ccn(-c3cccc(C#N)c3)n2)C1 ZINC000297093436 409798641 /nfs/dbraw/zinc/79/86/41/409798641.db2.gz MWLAEUMOJJGDIX-UHFFFAOYSA-N 1 2 309.373 1.566 20 30 DDEDLO CC[C@H](NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1)[C@H]1CCCO1 ZINC000328850512 409992525 /nfs/dbraw/zinc/99/25/25/409992525.db2.gz BNUZZVZTJCODPF-YJNKXOJESA-N 1 2 311.426 1.263 20 30 DDEDLO CC[C@H](NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1)[C@H]1CCCO1 ZINC000328850512 409992529 /nfs/dbraw/zinc/99/25/29/409992529.db2.gz BNUZZVZTJCODPF-YJNKXOJESA-N 1 2 311.426 1.263 20 30 DDEDLO CC#CCN(C)C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000297633923 409937022 /nfs/dbraw/zinc/93/70/22/409937022.db2.gz HJUVRLCQAXCUSL-KRWDZBQOSA-N 1 2 315.417 1.725 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC(=O)NC3CCCC3)C[C@@H]21 ZINC000328929583 410013723 /nfs/dbraw/zinc/01/37/23/410013723.db2.gz YQCYFZGFWPBDMW-LSDHHAIUSA-N 1 2 323.437 1.597 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)CCC(=O)NC3CCCC3)C[C@@H]21 ZINC000328929583 410013728 /nfs/dbraw/zinc/01/37/28/410013728.db2.gz YQCYFZGFWPBDMW-LSDHHAIUSA-N 1 2 323.437 1.597 20 30 DDEDLO O=C(C[N@H+]1CCOC[C@@H]1[C@@H]1CCC[C@H]1O)NC(C1CC1)C1CC1 ZINC000328975326 410029821 /nfs/dbraw/zinc/02/98/21/410029821.db2.gz PWEMMJNTVIRNMD-ARFHVFGLSA-N 1 2 322.449 1.993 20 30 DDEDLO O=C(C[N@@H+]1CCOC[C@@H]1[C@@H]1CCC[C@H]1O)NC(C1CC1)C1CC1 ZINC000328975326 410029830 /nfs/dbraw/zinc/02/98/30/410029830.db2.gz PWEMMJNTVIRNMD-ARFHVFGLSA-N 1 2 322.449 1.993 20 30 DDEDLO Cc1noc([C@H]2C[N@@H+](Cc3ccc(C#N)cc3F)CCN2C)n1 ZINC000332289165 410042648 /nfs/dbraw/zinc/04/26/48/410042648.db2.gz JEOZXQVPLFULAR-OAHLLOKOSA-N 1 2 315.352 1.878 20 30 DDEDLO Cc1noc([C@H]2C[N@H+](Cc3ccc(C#N)cc3F)CCN2C)n1 ZINC000332289165 410042658 /nfs/dbraw/zinc/04/26/58/410042658.db2.gz JEOZXQVPLFULAR-OAHLLOKOSA-N 1 2 315.352 1.878 20 30 DDEDLO Cc1cncc(CNC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)c1 ZINC000328869456 409995218 /nfs/dbraw/zinc/99/52/18/409995218.db2.gz HPAWHZCEFUXNDF-GJZGRUSLSA-N 1 2 304.394 1.209 20 30 DDEDLO Cc1cncc(CNC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)c1 ZINC000328869456 409995225 /nfs/dbraw/zinc/99/52/25/409995225.db2.gz HPAWHZCEFUXNDF-GJZGRUSLSA-N 1 2 304.394 1.209 20 30 DDEDLO Cc1n[nH]c(C)c1NC(=O)C[N@H+]1C[C@@H](N2CCOCC2)C[C@@H]1C ZINC000329179500 410148076 /nfs/dbraw/zinc/14/80/76/410148076.db2.gz NLLINPXIHWEXQA-FZMZJTMJSA-N 1 2 321.425 1.410 20 30 DDEDLO Cc1n[nH]c(C)c1NC(=O)C[N@@H+]1C[C@@H](N2CCOCC2)C[C@@H]1C ZINC000329179500 410148079 /nfs/dbraw/zinc/14/80/79/410148079.db2.gz NLLINPXIHWEXQA-FZMZJTMJSA-N 1 2 321.425 1.410 20 30 DDEDLO Cc1n[nH]c(C)c1NC(=O)CN1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000329179500 410148086 /nfs/dbraw/zinc/14/80/86/410148086.db2.gz NLLINPXIHWEXQA-FZMZJTMJSA-N 1 2 321.425 1.410 20 30 DDEDLO CC1=CC[N@H+](CCNC(=O)C[C@H]2CCS(=O)(=O)C2)CC1 ZINC000329153728 410131207 /nfs/dbraw/zinc/13/12/07/410131207.db2.gz BCLNNNQLVATALU-CYBMUJFWSA-N 1 2 300.424 1.420 20 30 DDEDLO CC1=CC[N@@H+](CCNC(=O)C[C@H]2CCS(=O)(=O)C2)CC1 ZINC000329153728 410131211 /nfs/dbraw/zinc/13/12/11/410131211.db2.gz BCLNNNQLVATALU-CYBMUJFWSA-N 1 2 300.424 1.420 20 30 DDEDLO CC(C)C[C@@H]1C[C@H](NC(=O)NC[C@@H]2C[N@H+](C)CCO2)CCO1 ZINC000329508105 410335263 /nfs/dbraw/zinc/33/52/63/410335263.db2.gz WZIAXUXFRMEXTM-RBSFLKMASA-N 1 2 313.442 1.414 20 30 DDEDLO CC(C)C[C@@H]1C[C@H](NC(=O)NC[C@@H]2C[N@@H+](C)CCO2)CCO1 ZINC000329508105 410335270 /nfs/dbraw/zinc/33/52/70/410335270.db2.gz WZIAXUXFRMEXTM-RBSFLKMASA-N 1 2 313.442 1.414 20 30 DDEDLO O=C(N[C@@H]1CCc2c[nH]nc2C1)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000329551801 410356062 /nfs/dbraw/zinc/35/60/62/410356062.db2.gz PNARUJTVUBOQAS-CJNGLKHVSA-N 1 2 318.421 1.867 20 30 DDEDLO O=C(N[C@@H]1CCc2c[nH]nc2C1)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000329551801 410356068 /nfs/dbraw/zinc/35/60/68/410356068.db2.gz PNARUJTVUBOQAS-CJNGLKHVSA-N 1 2 318.421 1.867 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)CC(C)C ZINC000343324963 410356628 /nfs/dbraw/zinc/35/66/28/410356628.db2.gz ZFYQQROSCWZNSJ-MRXNPFEDSA-N 1 2 311.426 1.562 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)CC(C)C ZINC000343324963 410356633 /nfs/dbraw/zinc/35/66/33/410356633.db2.gz ZFYQQROSCWZNSJ-MRXNPFEDSA-N 1 2 311.426 1.562 20 30 DDEDLO CC(C)[C@H](CNC(=O)[C@@]1(C#N)CC12CCCC2)[NH+]1CCOCC1 ZINC000333181317 410408371 /nfs/dbraw/zinc/40/83/71/410408371.db2.gz MTLJPTDSNOKHRV-YJBOKZPZSA-N 1 2 319.449 1.933 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNS(=O)(=O)c1cncc(C#N)c1 ZINC000352093692 410475442 /nfs/dbraw/zinc/47/54/42/410475442.db2.gz SQQSGQKFVKOAKY-UHFFFAOYSA-N 1 2 319.390 1.284 20 30 DDEDLO COc1cccc([C@H](C)NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c1 ZINC000329987611 410505543 /nfs/dbraw/zinc/50/55/43/410505543.db2.gz SSGZULYXGSKQJY-MAZHCROVSA-N 1 2 319.405 1.685 20 30 DDEDLO COc1cccc([C@H](C)NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c1 ZINC000329987611 410505550 /nfs/dbraw/zinc/50/55/50/410505550.db2.gz SSGZULYXGSKQJY-MAZHCROVSA-N 1 2 319.405 1.685 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000299280278 410585536 /nfs/dbraw/zinc/58/55/36/410585536.db2.gz BNABZNCCDQUZJX-CQSZACIVSA-N 1 2 319.405 1.516 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)N2CCC(c3ccccc3)=N2)CC1 ZINC000352484505 410659618 /nfs/dbraw/zinc/65/96/18/410659618.db2.gz QEISHADLNVZNHG-UHFFFAOYSA-N 1 2 314.364 1.951 20 30 DDEDLO COC(=O)COc1ccc(C[N@H+](C)[C@H](C)CC#N)cc1[N+](=O)[O-] ZINC000355727370 410662440 /nfs/dbraw/zinc/66/24/40/410662440.db2.gz AZUWWCJLWPIDRT-LLVKDONJSA-N 1 2 321.333 1.881 20 30 DDEDLO COC(=O)COc1ccc(C[N@@H+](C)[C@H](C)CC#N)cc1[N+](=O)[O-] ZINC000355727370 410662444 /nfs/dbraw/zinc/66/24/44/410662444.db2.gz AZUWWCJLWPIDRT-LLVKDONJSA-N 1 2 321.333 1.881 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[NH+]2CCC(F)(C#N)CC2)c1 ZINC000352491820 410662693 /nfs/dbraw/zinc/66/26/93/410662693.db2.gz SKLAQXPGKPIZCC-UHFFFAOYSA-N 1 2 300.337 1.502 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NS(=O)(=O)c1cccc(C#N)c1)C1CC1 ZINC000352301044 410609367 /nfs/dbraw/zinc/60/93/67/410609367.db2.gz BFEORSKPQPVZNG-AWEZNQCLSA-N 1 2 316.386 1.721 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)C[C@H](CC#N)c1ccccc1 ZINC000355672270 410632062 /nfs/dbraw/zinc/63/20/62/410632062.db2.gz NSZHEXVEAVFYLW-RDJZCZTQSA-N 1 2 315.417 1.911 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)C[C@H](CC#N)c1ccccc1 ZINC000355672270 410632074 /nfs/dbraw/zinc/63/20/74/410632074.db2.gz NSZHEXVEAVFYLW-RDJZCZTQSA-N 1 2 315.417 1.911 20 30 DDEDLO N#Cc1cc(C(=O)NCCc2[nH]cc[nH+]2)cnc1C(F)(F)F ZINC000340145926 410707789 /nfs/dbraw/zinc/70/77/89/410707789.db2.gz KKVDAHNBNYNGSE-UHFFFAOYSA-N 1 2 309.251 1.668 20 30 DDEDLO N#Cc1ccc(N2C[C@@H]3[C@H](C2)OCC[N@@H+]3Cc2ccccc2)nn1 ZINC000301910514 410825501 /nfs/dbraw/zinc/82/55/01/410825501.db2.gz BJUOLMCQILJUDH-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO N#Cc1ccc(N2C[C@@H]3[C@H](C2)OCC[N@H+]3Cc2ccccc2)nn1 ZINC000301910514 410825506 /nfs/dbraw/zinc/82/55/06/410825506.db2.gz BJUOLMCQILJUDH-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO C[C@@H]1OCC[C@@H]1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000330633616 410842446 /nfs/dbraw/zinc/84/24/46/410842446.db2.gz KFMXPPSEMUSEOA-YOEHRIQHSA-N 1 2 313.401 1.627 20 30 DDEDLO C[C@@H]1[N@H+](CC(=O)NCc2cccc(C#N)c2)CCOC1(C)C ZINC000331067689 410928984 /nfs/dbraw/zinc/92/89/84/410928984.db2.gz ZGDNXSWOXCFHGY-ZDUSSCGKSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@@H]1[N@@H+](CC(=O)NCc2cccc(C#N)c2)CCOC1(C)C ZINC000331067689 410928991 /nfs/dbraw/zinc/92/89/91/410928991.db2.gz ZGDNXSWOXCFHGY-ZDUSSCGKSA-N 1 2 301.390 1.674 20 30 DDEDLO Cc1cnc(C[NH2+][C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)n1C ZINC000341352222 411017861 /nfs/dbraw/zinc/01/78/61/411017861.db2.gz URTBZJXWPAGSNR-INIZCTEOSA-N 1 2 323.400 1.491 20 30 DDEDLO Cc1c[nH+]c(CN[C@H]2CCN(Cc3ccc(C#N)cc3)C2=O)n1C ZINC000341352222 411017866 /nfs/dbraw/zinc/01/78/66/411017866.db2.gz URTBZJXWPAGSNR-INIZCTEOSA-N 1 2 323.400 1.491 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](C(=O)OC)CC[N@@H+]1CCCSCC#N ZINC000356460604 411043800 /nfs/dbraw/zinc/04/38/00/411043800.db2.gz SFFDQKCMVLFYPW-NWDGAFQWSA-N 1 2 314.407 1.060 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](C(=O)OC)CC[N@H+]1CCCSCC#N ZINC000356460604 411043805 /nfs/dbraw/zinc/04/38/05/411043805.db2.gz SFFDQKCMVLFYPW-NWDGAFQWSA-N 1 2 314.407 1.060 20 30 DDEDLO CC[C@H](C#N)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000353781650 411129047 /nfs/dbraw/zinc/12/90/47/411129047.db2.gz QRHQOEKWESDSOU-CYBMUJFWSA-N 1 2 304.375 1.512 20 30 DDEDLO C=CCSCCNC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000353697912 411112880 /nfs/dbraw/zinc/11/28/80/411112880.db2.gz UGJQRUBAUQWPOE-ZDUSSCGKSA-N 1 2 323.466 1.717 20 30 DDEDLO Cc1[nH+]c2ccc(C(=O)N3CCSC[C@H]3C#N)cc2n1C ZINC000356648427 411117164 /nfs/dbraw/zinc/11/71/64/411117164.db2.gz SXUNLYHCBNMAHC-GFCCVEGCSA-N 1 2 300.387 1.963 20 30 DDEDLO N#CCCCC[NH+]1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000120080016 221529561 /nfs/dbraw/zinc/52/95/61/221529561.db2.gz QGLIYLWRDAETSF-UHFFFAOYSA-N 1 2 308.407 1.082 20 30 DDEDLO N#Cc1c[nH]nc1NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000601641528 416623600 /nfs/dbraw/zinc/62/36/00/416623600.db2.gz RWNGOKBYPWFZHG-UHFFFAOYSA-N 1 2 311.345 1.366 20 30 DDEDLO C=CCNC(=O)c1ccc(NCC[N@@H+]2CCO[C@@H](C)C2)nc1 ZINC000602602718 416627994 /nfs/dbraw/zinc/62/79/94/416627994.db2.gz YIGJZEITVAEYKS-ZDUSSCGKSA-N 1 2 304.394 1.130 20 30 DDEDLO C=CCNC(=O)c1ccc(NCC[N@H+]2CCO[C@@H](C)C2)nc1 ZINC000602602718 416627997 /nfs/dbraw/zinc/62/79/97/416627997.db2.gz YIGJZEITVAEYKS-ZDUSSCGKSA-N 1 2 304.394 1.130 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1C[C@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000373266436 418416202 /nfs/dbraw/zinc/41/62/02/418416202.db2.gz XSORLDYSHGPBPX-ZJIFWQFVSA-N 1 2 308.422 1.147 20 30 DDEDLO C=C(CNC(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1)c1ccccc1 ZINC000366229217 418446134 /nfs/dbraw/zinc/44/61/34/418446134.db2.gz YUILJQXCFPMPFR-KRWDZBQOSA-N 1 2 315.417 1.862 20 30 DDEDLO C=C(CNC(=O)NC[C@H]1C[N@H+](C2CC2)CCO1)c1ccccc1 ZINC000366229217 418446137 /nfs/dbraw/zinc/44/61/37/418446137.db2.gz YUILJQXCFPMPFR-KRWDZBQOSA-N 1 2 315.417 1.862 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@H]2c2nc(N(C)C)no2)C1=O ZINC000374540386 418538292 /nfs/dbraw/zinc/53/82/92/418538292.db2.gz XDOVLZIHMNBZPL-NWDGAFQWSA-N 1 2 305.382 1.059 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@H]2c2nc(N(C)C)no2)C1=O ZINC000374540386 418538296 /nfs/dbraw/zinc/53/82/96/418538296.db2.gz XDOVLZIHMNBZPL-NWDGAFQWSA-N 1 2 305.382 1.059 20 30 DDEDLO Cc1cn2c([nH+]1)CN(CC(=O)N(CCC#N)c1ccccc1)CC2 ZINC000374692578 418555302 /nfs/dbraw/zinc/55/53/02/418555302.db2.gz HWGKWMVWXOUMMK-UHFFFAOYSA-N 1 2 323.400 1.954 20 30 DDEDLO C=CCN(CCOC)C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000191101686 222096966 /nfs/dbraw/zinc/09/69/66/222096966.db2.gz GRZCKKOMGZPAGD-UHFFFAOYSA-N 1 2 318.421 1.894 20 30 DDEDLO Cc1c([C@@H]2CCC[N@@H+]2CC(=O)N[C@@](C)(C#N)C2CC2)cnn1C ZINC000367261827 418578043 /nfs/dbraw/zinc/57/80/43/418578043.db2.gz FHECKOJLRMVALU-RDJZCZTQSA-N 1 2 315.421 1.674 20 30 DDEDLO Cc1c([C@@H]2CCC[N@H+]2CC(=O)N[C@@](C)(C#N)C2CC2)cnn1C ZINC000367261827 418578046 /nfs/dbraw/zinc/57/80/46/418578046.db2.gz FHECKOJLRMVALU-RDJZCZTQSA-N 1 2 315.421 1.674 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)C[N@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000245771639 222218320 /nfs/dbraw/zinc/21/83/20/222218320.db2.gz RYIZELBVMNAGMD-RCBQFDQVSA-N 1 2 318.373 1.740 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)C[N@@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000245771639 222218322 /nfs/dbraw/zinc/21/83/22/222218322.db2.gz RYIZELBVMNAGMD-RCBQFDQVSA-N 1 2 318.373 1.740 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)C[N@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000245771636 222218391 /nfs/dbraw/zinc/21/83/91/222218391.db2.gz RYIZELBVMNAGMD-MAZHCROVSA-N 1 2 318.373 1.740 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)C[N@@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000245771636 222218393 /nfs/dbraw/zinc/21/83/93/222218393.db2.gz RYIZELBVMNAGMD-MAZHCROVSA-N 1 2 318.373 1.740 20 30 DDEDLO COC[C@@H]1C[N@@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)C[C@@H](C)O1 ZINC000251649651 222243344 /nfs/dbraw/zinc/24/33/44/222243344.db2.gz HMFOFAZAXCDRRT-KFWWJZLASA-N 1 2 323.437 1.453 20 30 DDEDLO COC[C@@H]1C[N@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)C[C@@H](C)O1 ZINC000251649651 222243347 /nfs/dbraw/zinc/24/33/47/222243347.db2.gz HMFOFAZAXCDRRT-KFWWJZLASA-N 1 2 323.437 1.453 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+]1CCCN(C(=O)[C@](C)(C#N)CC)CC1 ZINC000367579281 418612496 /nfs/dbraw/zinc/61/24/96/418612496.db2.gz YGXOCMRIUXFGDI-KRWDZBQOSA-N 1 2 322.453 1.329 20 30 DDEDLO CCN(CC)C(=O)C[N@H+]1CCCN(C(=O)[C@](C)(C#N)CC)CC1 ZINC000367579281 418612499 /nfs/dbraw/zinc/61/24/99/418612499.db2.gz YGXOCMRIUXFGDI-KRWDZBQOSA-N 1 2 322.453 1.329 20 30 DDEDLO CCOCCCNC(=O)[C@H](C)O[NH+]=C(N)c1ccc(OC)cc1 ZINC000267478351 222387289 /nfs/dbraw/zinc/38/72/89/222387289.db2.gz XFHUJOWAAGQFJS-LBPRGKRZSA-N 1 2 323.393 1.263 20 30 DDEDLO CCn1cc(C[N@H+](CCO)Cc2cccc(C#N)c2F)cn1 ZINC000361344914 418631764 /nfs/dbraw/zinc/63/17/64/418631764.db2.gz GMAFPXKSNTZDRF-UHFFFAOYSA-N 1 2 302.353 1.908 20 30 DDEDLO CCn1cc(C[N@@H+](CCO)Cc2cccc(C#N)c2F)cn1 ZINC000361344914 418631766 /nfs/dbraw/zinc/63/17/66/418631766.db2.gz GMAFPXKSNTZDRF-UHFFFAOYSA-N 1 2 302.353 1.908 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000377275970 418707688 /nfs/dbraw/zinc/70/76/88/418707688.db2.gz BZIHEQHAJZOXJO-ZDUSSCGKSA-N 1 2 315.421 1.378 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000377835467 418715287 /nfs/dbraw/zinc/71/52/87/418715287.db2.gz UGIHLJUDYCJDNX-DZGCQCFKSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000377835467 418715291 /nfs/dbraw/zinc/71/52/91/418715291.db2.gz UGIHLJUDYCJDNX-DZGCQCFKSA-N 1 2 318.373 1.741 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CCCN(Cc2[nH+]ccn2C)CC1 ZINC000377905198 418716885 /nfs/dbraw/zinc/71/68/85/418716885.db2.gz QUERZIUFUHVJSE-CQSZACIVSA-N 1 2 303.410 1.049 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CCC[N@H+](Cc2nccn2C)CC1 ZINC000377905198 418716887 /nfs/dbraw/zinc/71/68/87/418716887.db2.gz QUERZIUFUHVJSE-CQSZACIVSA-N 1 2 303.410 1.049 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CCC[N@@H+](Cc2nccn2C)CC1 ZINC000377905198 418716889 /nfs/dbraw/zinc/71/68/89/418716889.db2.gz QUERZIUFUHVJSE-CQSZACIVSA-N 1 2 303.410 1.049 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CC[C@@H](C)CC)CC1 ZINC000375671246 418671838 /nfs/dbraw/zinc/67/18/38/418671838.db2.gz FYFSISLZCYYZNX-HNNXBMFYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CC[C@@H](C)CC)CC1 ZINC000375671246 418671839 /nfs/dbraw/zinc/67/18/39/418671839.db2.gz FYFSISLZCYYZNX-HNNXBMFYSA-N 1 2 307.438 1.096 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CC(C)(C)O[C@@H]2CCC[C@H]21)C1CC1 ZINC000376128168 418692926 /nfs/dbraw/zinc/69/29/26/418692926.db2.gz DNKWTMUKSKPHGL-CPUCHLNUSA-N 1 2 305.422 1.827 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CC(C)(C)O[C@@H]2CCC[C@H]21)C1CC1 ZINC000376128168 418692928 /nfs/dbraw/zinc/69/29/28/418692928.db2.gz DNKWTMUKSKPHGL-CPUCHLNUSA-N 1 2 305.422 1.827 20 30 DDEDLO Cc1nnc(N2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)c(C#N)c1C ZINC000376199204 418694419 /nfs/dbraw/zinc/69/44/19/418694419.db2.gz MDESYWQDXGQYOI-SMDDNHRTSA-N 1 2 301.394 1.265 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCCC[C@@H](CO)C2)c(C#N)c1C ZINC000376501212 418698251 /nfs/dbraw/zinc/69/82/51/418698251.db2.gz OEFDNZRSFFCJEY-CYBMUJFWSA-N 1 2 305.378 1.801 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCCC[C@@H](CO)C2)c(C#N)c1C ZINC000376501212 418698255 /nfs/dbraw/zinc/69/82/55/418698255.db2.gz OEFDNZRSFFCJEY-CYBMUJFWSA-N 1 2 305.378 1.801 20 30 DDEDLO C[C@@H](CCC#N)[NH+]1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000276276589 222505890 /nfs/dbraw/zinc/50/58/90/222505890.db2.gz FABOEMLVMBSGCE-HNNXBMFYSA-N 1 2 321.446 1.826 20 30 DDEDLO C[C@@H]1CC(=O)NCC[N@@H+]1CC(=O)N(CCC#N)c1ccccc1 ZINC000369437031 418733290 /nfs/dbraw/zinc/73/32/90/418733290.db2.gz JYDTYMQHNIOKHV-CQSZACIVSA-N 1 2 314.389 1.144 20 30 DDEDLO C[C@@H]1CC(=O)NCC[N@H+]1CC(=O)N(CCC#N)c1ccccc1 ZINC000369437031 418733292 /nfs/dbraw/zinc/73/32/92/418733292.db2.gz JYDTYMQHNIOKHV-CQSZACIVSA-N 1 2 314.389 1.144 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1Cc2ccccc2[C@@H](n2cccn2)C1 ZINC000369448989 418733670 /nfs/dbraw/zinc/73/36/70/418733670.db2.gz WXBXYPGOHDOSME-PBHICJAKSA-N 1 2 310.401 1.979 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1Cc2ccccc2[C@@H](n2cccn2)C1 ZINC000369448989 418733672 /nfs/dbraw/zinc/73/36/72/418733672.db2.gz WXBXYPGOHDOSME-PBHICJAKSA-N 1 2 310.401 1.979 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCc2nnc(N)cc2C1 ZINC000369759869 418738170 /nfs/dbraw/zinc/73/81/70/418738170.db2.gz KMSHLENWROSDPR-LBPRGKRZSA-N 1 2 303.410 1.230 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCc2nnc(N)cc2C1 ZINC000369759869 418738172 /nfs/dbraw/zinc/73/81/72/418738172.db2.gz KMSHLENWROSDPR-LBPRGKRZSA-N 1 2 303.410 1.230 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000370247177 418741876 /nfs/dbraw/zinc/74/18/76/418741876.db2.gz MKAOAFOQGWKOJI-HNNXBMFYSA-N 1 2 318.483 1.693 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC=C(c2cccc(F)c2)CC1 ZINC000370234581 418741913 /nfs/dbraw/zinc/74/19/13/418741913.db2.gz CMZBKRMPCHQMKG-UHFFFAOYSA-N 1 2 317.364 1.927 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC=C(c2cccc(F)c2)CC1 ZINC000370234581 418741914 /nfs/dbraw/zinc/74/19/14/418741914.db2.gz CMZBKRMPCHQMKG-UHFFFAOYSA-N 1 2 317.364 1.927 20 30 DDEDLO C=C[C@@H](C)NC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000362381610 418753210 /nfs/dbraw/zinc/75/32/10/418753210.db2.gz ZVIZUWNFAJIZRI-CHWSQXEVSA-N 1 2 304.394 1.680 20 30 DDEDLO CCCN(CC#N)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000362458022 418755270 /nfs/dbraw/zinc/75/52/70/418755270.db2.gz XVSGCAPVLWEHKT-UHFFFAOYSA-N 1 2 303.410 1.394 20 30 DDEDLO N#CCN1CCC(CNC(=O)Cc2cn3ccccc3[nH+]2)CC1 ZINC000362529458 418756067 /nfs/dbraw/zinc/75/60/67/418756067.db2.gz GPQQQPTWRYZAKC-UHFFFAOYSA-N 1 2 311.389 1.229 20 30 DDEDLO Cc1nn(C)cc1[C@H]1CCC[N@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000363927351 418771580 /nfs/dbraw/zinc/77/15/80/418771580.db2.gz XLTNXRXFBDQIKE-NVXWUHKLSA-N 1 2 317.437 1.920 20 30 DDEDLO Cc1nn(C)cc1[C@H]1CCC[N@@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000363927351 418771583 /nfs/dbraw/zinc/77/15/83/418771583.db2.gz XLTNXRXFBDQIKE-NVXWUHKLSA-N 1 2 317.437 1.920 20 30 DDEDLO COc1cccc(OCC[NH+]2CCN(C(=O)[C@@H](C)C#N)CC2)c1 ZINC000361923167 418726273 /nfs/dbraw/zinc/72/62/73/418726273.db2.gz AZTDDXQVTRALFJ-AWEZNQCLSA-N 1 2 317.389 1.378 20 30 DDEDLO COc1cccc(-n2cc(C[NH2+]Cc3cc(C#N)n(C)c3)nn2)c1 ZINC000364580975 418788327 /nfs/dbraw/zinc/78/83/27/418788327.db2.gz PXJNNAPTRNOYEN-UHFFFAOYSA-N 1 2 322.372 1.776 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)s1 ZINC000364905056 418821317 /nfs/dbraw/zinc/82/13/17/418821317.db2.gz KLYQRWIKMZXHOJ-JTQLQIEISA-N 1 2 303.391 2.441 20 30 DDEDLO COC[C@H](C)OC[C@@H](O)C[NH+]1CC(Oc2ccc(C#N)cc2)C1 ZINC000365734681 418901555 /nfs/dbraw/zinc/90/15/55/418901555.db2.gz LZOVQGJVBJDVDQ-ZFWWWQNUSA-N 1 2 320.389 1.034 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@@H]2CCN(c3sccc3C#N)C2=O)n1 ZINC000411519126 418912223 /nfs/dbraw/zinc/91/22/23/418912223.db2.gz LGJOFCZIDLHIOR-LDYMZIIASA-N 1 2 317.374 1.767 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)C(=O)Nc1ccc(C)[nH+]c1C ZINC000425797976 419339799 /nfs/dbraw/zinc/33/97/99/419339799.db2.gz XUSWUNDPCSIOEI-JSGCOSHPSA-N 1 2 303.362 1.344 20 30 DDEDLO Cc1cc(NC[C@]2([NH+]3CCOCC3)CCSC2)c(C#N)cn1 ZINC000425213309 228391999 /nfs/dbraw/zinc/39/19/99/228391999.db2.gz GRSOKETVOWGCGG-MRXNPFEDSA-N 1 2 318.446 1.303 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@@H](CO)[C@@H]2C)c(C#N)c1C ZINC000412088195 419642657 /nfs/dbraw/zinc/64/26/57/419642657.db2.gz PEYFFNJHMJOEES-AAEUAGOBSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@@H](CO)[C@@H]2C)c(C#N)c1C ZINC000412088195 419642664 /nfs/dbraw/zinc/64/26/64/419642664.db2.gz PEYFFNJHMJOEES-AAEUAGOBSA-N 1 2 305.378 1.799 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)OCO2 ZINC000428870524 419941835 /nfs/dbraw/zinc/94/18/35/419941835.db2.gz YIFCKJPSUYPJHJ-ZDUSSCGKSA-N 1 2 304.346 1.557 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)OCO2 ZINC000428870524 419941840 /nfs/dbraw/zinc/94/18/40/419941840.db2.gz YIFCKJPSUYPJHJ-ZDUSSCGKSA-N 1 2 304.346 1.557 20 30 DDEDLO C[C@H]1C[C@@H](O)CC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000429136113 419974066 /nfs/dbraw/zinc/97/40/66/419974066.db2.gz QFLBJGLYYVPOBC-JSGCOSHPSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@H]1C[C@@H](O)CC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000429136113 419974070 /nfs/dbraw/zinc/97/40/70/419974070.db2.gz QFLBJGLYYVPOBC-JSGCOSHPSA-N 1 2 308.403 1.177 20 30 DDEDLO N#CCC1(CNC(=O)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000430382235 420115447 /nfs/dbraw/zinc/11/54/47/420115447.db2.gz CQGXMSCRQHDQLL-UHFFFAOYSA-N 1 2 323.356 1.621 20 30 DDEDLO C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@H+](C)CC(C)(C)C#N ZINC000433304518 420250189 /nfs/dbraw/zinc/25/01/89/420250189.db2.gz KZCOIBQJYIBJGJ-STQMWFEESA-N 1 2 308.426 1.872 20 30 DDEDLO C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)C[N@@H+](C)CC(C)(C)C#N ZINC000433304518 420250195 /nfs/dbraw/zinc/25/01/95/420250195.db2.gz KZCOIBQJYIBJGJ-STQMWFEESA-N 1 2 308.426 1.872 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CCC[C@H](n2ccnn2)C1 ZINC000425515632 420405176 /nfs/dbraw/zinc/40/51/76/420405176.db2.gz RNSCWYKINBITHM-ZDUSSCGKSA-N 1 2 312.333 1.895 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CCC[C@H](n2ccnn2)C1 ZINC000425515632 420405180 /nfs/dbraw/zinc/40/51/80/420405180.db2.gz RNSCWYKINBITHM-ZDUSSCGKSA-N 1 2 312.333 1.895 20 30 DDEDLO C=CCn1cc(CNC(=O)N[C@H](c2[nH+]ccn2C)C2CC2)nn1 ZINC000425553749 420417751 /nfs/dbraw/zinc/41/77/51/420417751.db2.gz UVXYSIZPGPJGBM-ZDUSSCGKSA-N 1 2 315.381 1.148 20 30 DDEDLO C=C(C)CN(CC)C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000438352224 420452036 /nfs/dbraw/zinc/45/20/36/420452036.db2.gz ADZFFLXCNUSFHN-UHFFFAOYSA-N 1 2 321.425 1.645 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC[NH+]1CCOCC1)Cc1ccco1 ZINC000456991499 420582513 /nfs/dbraw/zinc/58/25/13/420582513.db2.gz MQEKJTNXFIUKJP-QGZVFWFLSA-N 1 2 322.405 1.268 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(c2nccnc2C#N)C1 ZINC000440637116 420591591 /nfs/dbraw/zinc/59/15/91/420591591.db2.gz NDWGZLONXHZZFQ-MRXNPFEDSA-N 1 2 317.393 1.248 20 30 DDEDLO CC(C)(CNC(=O)NCC1CCC(C#N)CC1)[NH+]1CCOCC1 ZINC000441015236 420628153 /nfs/dbraw/zinc/62/81/53/420628153.db2.gz QKMCAVNZVLOJLF-UHFFFAOYSA-N 1 2 322.453 1.726 20 30 DDEDLO N#Cc1ccncc1N1CCN(C(=O)C=Cc2c[nH]c[nH+]2)CC1 ZINC000492845803 420684845 /nfs/dbraw/zinc/68/48/45/420684845.db2.gz ZHOQYSBKFCXBCO-UPHRSURJSA-N 1 2 308.345 1.038 20 30 DDEDLO C=CC(C)(C)CNC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000454298098 420832586 /nfs/dbraw/zinc/83/25/86/420832586.db2.gz FMLLBSXRQWAISA-UHFFFAOYSA-N 1 2 318.421 1.930 20 30 DDEDLO N#CC1(NC(=O)c2ccc([N+](=O)[O-])c(-n3cc[nH+]c3)c2)CCC1 ZINC000448807557 420900192 /nfs/dbraw/zinc/90/01/92/420900192.db2.gz ZQJLJWMPNLXPQS-UHFFFAOYSA-N 1 2 311.301 1.957 20 30 DDEDLO C=CCC1(CNC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)CCCC1 ZINC000454480582 420866626 /nfs/dbraw/zinc/86/66/26/420866626.db2.gz HBZSCGGHDQOXAX-OAHLLOKOSA-N 1 2 308.470 1.668 20 30 DDEDLO C=CCC1(CNC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)CCCC1 ZINC000454480582 420866630 /nfs/dbraw/zinc/86/66/30/420866630.db2.gz HBZSCGGHDQOXAX-OAHLLOKOSA-N 1 2 308.470 1.668 20 30 DDEDLO CCn1cc[nH+]c1CNC(=O)NCc1ccc(C#N)c(OC)c1 ZINC000454975246 420951393 /nfs/dbraw/zinc/95/13/93/420951393.db2.gz PUSJEOFKCRVKBJ-UHFFFAOYSA-N 1 2 313.361 1.783 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2ccc(C#N)s2)CC1 ZINC000487775918 421049814 /nfs/dbraw/zinc/04/98/14/421049814.db2.gz HWVDAQUMKFKYAS-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO C[C@H](Nc1cccc(C#N)c1[N+](=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000450313305 421180709 /nfs/dbraw/zinc/18/07/09/421180709.db2.gz PYNXMOQOPZMMED-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)CCc2ccc(C#N)cc2)CCO1 ZINC000523058284 421232502 /nfs/dbraw/zinc/23/25/02/421232502.db2.gz ANVNVTUPHUUPHQ-AWEZNQCLSA-N 1 2 301.390 1.328 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)CCc2ccc(C#N)cc2)CCO1 ZINC000523058284 421232507 /nfs/dbraw/zinc/23/25/07/421232507.db2.gz ANVNVTUPHUUPHQ-AWEZNQCLSA-N 1 2 301.390 1.328 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CC[C@@H](O)C(C)(C)C2)c1C#N ZINC000525838577 421305278 /nfs/dbraw/zinc/30/52/78/421305278.db2.gz KLWRSVRCYVCSEU-OAHLLOKOSA-N 1 2 302.374 1.938 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CC[C@@H](O)C(C)(C)C2)c1C#N ZINC000525838577 421305280 /nfs/dbraw/zinc/30/52/80/421305280.db2.gz KLWRSVRCYVCSEU-OAHLLOKOSA-N 1 2 302.374 1.938 20 30 DDEDLO N#Cc1cnc(C(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)c(Cl)c1 ZINC000560344701 421250365 /nfs/dbraw/zinc/25/03/65/421250365.db2.gz BLMAQGWEOVFDNS-VIFPVBQESA-N 1 2 301.737 1.617 20 30 DDEDLO N#Cc1cnc(C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)c(Cl)c1 ZINC000560344701 421250368 /nfs/dbraw/zinc/25/03/68/421250368.db2.gz BLMAQGWEOVFDNS-VIFPVBQESA-N 1 2 301.737 1.617 20 30 DDEDLO C[C@]1(CO)CCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000545398547 421258236 /nfs/dbraw/zinc/25/82/36/421258236.db2.gz RVDRZRPQLWCXTB-OAHLLOKOSA-N 1 2 308.403 1.179 20 30 DDEDLO C[C@]1(CO)CCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000545398547 421258238 /nfs/dbraw/zinc/25/82/38/421258238.db2.gz RVDRZRPQLWCXTB-OAHLLOKOSA-N 1 2 308.403 1.179 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCc3c(cccc3[N+](=O)[O-])C2)C1=O ZINC000525290236 421284795 /nfs/dbraw/zinc/28/47/95/421284795.db2.gz HJJATDYEPXLHJO-OAHLLOKOSA-N 1 2 301.346 1.740 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCc3c(cccc3[N+](=O)[O-])C2)C1=O ZINC000525290236 421284799 /nfs/dbraw/zinc/28/47/99/421284799.db2.gz HJJATDYEPXLHJO-OAHLLOKOSA-N 1 2 301.346 1.740 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000547967791 421383670 /nfs/dbraw/zinc/38/36/70/421383670.db2.gz CUBYQSWUDDGKCO-ZDUSSCGKSA-N 1 2 316.386 1.357 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(C#N)cc2[N+](=O)[O-])C1=O ZINC000563217169 421474053 /nfs/dbraw/zinc/47/40/53/421474053.db2.gz MHPLMXXZBZXWCQ-AWEZNQCLSA-N 1 2 314.345 1.685 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(C#N)cc2[N+](=O)[O-])C1=O ZINC000563217169 421474055 /nfs/dbraw/zinc/47/40/55/421474055.db2.gz MHPLMXXZBZXWCQ-AWEZNQCLSA-N 1 2 314.345 1.685 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cn1)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000563350431 421489389 /nfs/dbraw/zinc/48/93/89/421489389.db2.gz NFEFYJQICWNYFN-LSDHHAIUSA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cn1)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000563350431 421489392 /nfs/dbraw/zinc/48/93/92/421489392.db2.gz NFEFYJQICWNYFN-LSDHHAIUSA-N 1 2 307.419 1.741 20 30 DDEDLO C[C@@H]1CC[N@H+](C[C@H](O)COc2ccccc2C#N)CC[S@@]1=O ZINC000528477768 421492764 /nfs/dbraw/zinc/49/27/64/421492764.db2.gz QZHAGWOYUVWYQT-OXDBHQQFSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[N@@H+](C[C@H](O)COc2ccccc2C#N)CC[S@@]1=O ZINC000528477768 421492769 /nfs/dbraw/zinc/49/27/69/421492769.db2.gz QZHAGWOYUVWYQT-OXDBHQQFSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(CC#N)cc1 ZINC000515379265 421497738 /nfs/dbraw/zinc/49/77/38/421497738.db2.gz PWNAMDUACJTSKF-CQSZACIVSA-N 1 2 316.405 1.273 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(CC#N)cc1 ZINC000515379265 421497743 /nfs/dbraw/zinc/49/77/43/421497743.db2.gz PWNAMDUACJTSKF-CQSZACIVSA-N 1 2 316.405 1.273 20 30 DDEDLO COC(=O)c1ccc(NCC2([NH+]3CCOCC3)CC2)c(C#N)n1 ZINC000563428343 421507816 /nfs/dbraw/zinc/50/78/16/421507816.db2.gz WLXBYDZOQUEPOL-UHFFFAOYSA-N 1 2 316.361 1.017 20 30 DDEDLO C[C@H]1C[C@H](NS(=O)(=O)c2ccc(C#N)s2)c2[nH+]ccn21 ZINC000517290784 421607420 /nfs/dbraw/zinc/60/74/20/421607420.db2.gz VKWPUINZCYRDNF-WPRPVWTQSA-N 1 2 308.388 1.801 20 30 DDEDLO COc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc(OC)c1C ZINC000567902943 421615728 /nfs/dbraw/zinc/61/57/28/421615728.db2.gz CEMXIYPMICGOJQ-INIZCTEOSA-N 1 2 305.378 1.586 20 30 DDEDLO C=CCNC(=O)c1cccc(S(=O)(=O)Nc2c[nH+]c[nH]2)c1 ZINC000569866489 421627701 /nfs/dbraw/zinc/62/77/01/421627701.db2.gz OUSFASVAJNDDDZ-UHFFFAOYSA-N 1 2 306.347 1.126 20 30 DDEDLO N#CCc1ccnc(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)c1 ZINC000532180285 421649883 /nfs/dbraw/zinc/64/98/83/421649883.db2.gz OEGCBVOTWPBUQR-UHFFFAOYSA-N 1 2 305.301 1.376 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cnc(OC)c(Cl)c2)C1=O ZINC000532205588 421651418 /nfs/dbraw/zinc/65/14/18/421651418.db2.gz PFLPLEPSRZYTFQ-CYBMUJFWSA-N 1 2 309.797 1.962 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cnc(OC)c(Cl)c2)C1=O ZINC000532205588 421651420 /nfs/dbraw/zinc/65/14/20/421651420.db2.gz PFLPLEPSRZYTFQ-CYBMUJFWSA-N 1 2 309.797 1.962 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)C2(c3cccc(C#N)c3)CC2)CCO1 ZINC000532937978 421668025 /nfs/dbraw/zinc/66/80/25/421668025.db2.gz HEXJDGDPBNHRCK-AWEZNQCLSA-N 1 2 313.401 1.427 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)C2(c3cccc(C#N)c3)CC2)CCO1 ZINC000532937978 421668029 /nfs/dbraw/zinc/66/80/29/421668029.db2.gz HEXJDGDPBNHRCK-AWEZNQCLSA-N 1 2 313.401 1.427 20 30 DDEDLO C[C@@H](C(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1)c1cccc(C#N)c1 ZINC000360191319 269822470 /nfs/dbraw/zinc/82/24/70/269822470.db2.gz ZKCGFCMKRGGYSE-CQSZACIVSA-N 1 2 323.400 1.729 20 30 DDEDLO C=C1CCN(C(=O)[C@H](Cc2c[nH+]c[nH]2)NC(=O)CC(C)C)CC1 ZINC000555023214 421668352 /nfs/dbraw/zinc/66/83/52/421668352.db2.gz FRVIEMGBVZWRPU-HNNXBMFYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C1CCN(C(=O)[C@H](Cc2c[nH]c[nH+]2)NC(=O)CC(C)C)CC1 ZINC000555023214 421668355 /nfs/dbraw/zinc/66/83/55/421668355.db2.gz FRVIEMGBVZWRPU-HNNXBMFYSA-N 1 2 318.421 1.662 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NCC#Cc2ccccc2)C1 ZINC000533736894 421682723 /nfs/dbraw/zinc/68/27/23/421682723.db2.gz NWZYQTLPXMWPHW-MRXNPFEDSA-N 1 2 308.385 1.971 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccsc3)CC2)[nH]1 ZINC000533818365 421683967 /nfs/dbraw/zinc/68/39/67/421683967.db2.gz KYHCVRPNRNCWFV-UHFFFAOYSA-N 1 2 300.387 1.906 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)C(=O)N(C)Cc1c[nH+]c[nH]1 ZINC000572663636 421811083 /nfs/dbraw/zinc/81/10/83/421811083.db2.gz STXILXAZDILFMX-UHFFFAOYSA-N 1 2 318.402 1.644 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)C(=O)N(C)Cc1c[nH]c[nH+]1 ZINC000572663636 421811086 /nfs/dbraw/zinc/81/10/86/421811086.db2.gz STXILXAZDILFMX-UHFFFAOYSA-N 1 2 318.402 1.644 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@]2(CCOC2)OC(C)(C)C1 ZINC000558824119 421826789 /nfs/dbraw/zinc/82/67/89/421826789.db2.gz IVGDNHWCQQKJMQ-GUYCJALGSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@]2(CCOC2)OC(C)(C)C1 ZINC000558824119 421826790 /nfs/dbraw/zinc/82/67/90/421826790.db2.gz IVGDNHWCQQKJMQ-GUYCJALGSA-N 1 2 321.421 1.207 20 30 DDEDLO C=CCOc1ccc(CNC(=O)N[C@@H]2Cc3c[nH+]cn3C2)cc1 ZINC000637138434 422024010 /nfs/dbraw/zinc/02/40/10/422024010.db2.gz NNQZJGBBAYHVKU-CQSZACIVSA-N 1 2 312.373 1.872 20 30 DDEDLO C=CCN(Cc1ccccc1F)C(=O)NC[C@H]1COCC[N@H+]1C ZINC000637446937 422214561 /nfs/dbraw/zinc/21/45/61/422214561.db2.gz VMLFKMFQCBVAPC-HNNXBMFYSA-N 1 2 321.396 1.854 20 30 DDEDLO C=CCN(Cc1ccccc1F)C(=O)NC[C@H]1COCC[N@@H+]1C ZINC000637446937 422214567 /nfs/dbraw/zinc/21/45/67/422214567.db2.gz VMLFKMFQCBVAPC-HNNXBMFYSA-N 1 2 321.396 1.854 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2nc(C#N)c(Cl)s2)[C@@H](C)CO1 ZINC000596430658 422376408 /nfs/dbraw/zinc/37/64/08/422376408.db2.gz MROXVZJVTBFBBP-DTWKUNHWSA-N 1 2 300.815 2.189 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2nc(C#N)c(Cl)s2)[C@@H](C)CO1 ZINC000596430658 422376414 /nfs/dbraw/zinc/37/64/14/422376414.db2.gz MROXVZJVTBFBBP-DTWKUNHWSA-N 1 2 300.815 2.189 20 30 DDEDLO CC[C@@]1(O)CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000602981662 422440136 /nfs/dbraw/zinc/44/01/36/422440136.db2.gz HMGNRRZGIZNJHJ-MRXNPFEDSA-N 1 2 322.430 1.569 20 30 DDEDLO CC[C@@]1(O)CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000602981662 422440142 /nfs/dbraw/zinc/44/01/42/422440142.db2.gz HMGNRRZGIZNJHJ-MRXNPFEDSA-N 1 2 322.430 1.569 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc(Br)cn2)nn1 ZINC000641145714 423419503 /nfs/dbraw/zinc/41/95/03/423419503.db2.gz FZIMYZFINWQTLK-UHFFFAOYSA-N 1 2 320.194 1.597 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCN(c3ccc(O)cc3)CC2)nn1 ZINC000653482342 423493877 /nfs/dbraw/zinc/49/38/77/423493877.db2.gz JQVQIHIYSJTIDM-UHFFFAOYSA-N 1 2 313.405 1.882 20 30 DDEDLO C=CCNS(=O)(=O)c1ccccc1N(C)Cc1[nH+]ccn1C ZINC000641265216 423513134 /nfs/dbraw/zinc/51/31/34/423513134.db2.gz KKMAOIWKGJPOOO-UHFFFAOYSA-N 1 2 320.418 1.521 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+](Cc2ccc(OCC)cc2)CC1 ZINC000665772926 423828288 /nfs/dbraw/zinc/82/82/88/423828288.db2.gz YTUDTYKENUWJSS-SFHVURJKSA-N 1 2 318.417 1.667 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCC#Cc2ccccc2)[C@H](C)CO1 ZINC000663547892 423935133 /nfs/dbraw/zinc/93/51/33/423935133.db2.gz JVUCXRPOCCKYHL-HZPDHXFCSA-N 1 2 315.417 1.447 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCC#Cc2ccccc2)[C@H](C)CO1 ZINC000663547892 423935144 /nfs/dbraw/zinc/93/51/44/423935144.db2.gz JVUCXRPOCCKYHL-HZPDHXFCSA-N 1 2 315.417 1.447 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@H+](Cc3cc(C#N)ccc3F)CCN2C1=O ZINC000369424789 266141506 /nfs/dbraw/zinc/14/15/06/266141506.db2.gz IMAWVUABDOIGSW-CQSZACIVSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@@H+](Cc3cc(C#N)ccc3F)CCN2C1=O ZINC000369424789 266141510 /nfs/dbraw/zinc/14/15/10/266141510.db2.gz IMAWVUABDOIGSW-CQSZACIVSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1CCN(C(=O)c2ccnc(C#N)c2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000356101376 266148788 /nfs/dbraw/zinc/14/87/88/266148788.db2.gz QSLWPNVXWIDVGZ-CQSZACIVSA-N 1 2 310.361 1.195 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(c2cc(C#N)c3ccccc3n2)CC1 ZINC000346404160 266164398 /nfs/dbraw/zinc/16/43/98/266164398.db2.gz QLXJPIJOZAEYIZ-UHFFFAOYSA-N 1 2 323.400 1.365 20 30 DDEDLO C[C@@H]1CCN(S(=O)(=O)c2ccc(C#N)o2)C[C@@H]1n1cc[nH+]c1 ZINC000367784796 267022568 /nfs/dbraw/zinc/02/25/68/267022568.db2.gz PTFCCJJKBGWFDU-YPMHNXCESA-N 1 2 320.374 1.620 20 30 DDEDLO C[C@@H]1CC[C@@H](O)C[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000399224412 267028149 /nfs/dbraw/zinc/02/81/49/267028149.db2.gz XBCJFTQESXQSDV-TZMCWYRMSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@@H]1CC[C@@H](O)C[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000399224412 267028151 /nfs/dbraw/zinc/02/81/51/267028151.db2.gz XBCJFTQESXQSDV-TZMCWYRMSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@@H]1C(=O)Nc1ccncc1C#N ZINC000346619349 267062757 /nfs/dbraw/zinc/06/27/57/267062757.db2.gz LEOAIMLRWLZTQF-OCCSQVGLSA-N 1 2 307.357 1.481 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1C(=O)c1cccc(F)c1C#N ZINC000378131661 267109139 /nfs/dbraw/zinc/10/91/39/267109139.db2.gz FBCLXUCDCNWEQU-MLGOLLRUSA-N 1 2 317.364 1.633 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)Cc1ccc(C#N)nc1)CCC2 ZINC000528885581 268069376 /nfs/dbraw/zinc/06/93/76/268069376.db2.gz TUSZASPRRVWHTI-AWEZNQCLSA-N 1 2 309.373 1.695 20 30 DDEDLO Cn1[nH]c(C[NH+]2CCC(Oc3ccc(C#N)cc3)CC2)nc1=O ZINC000516813887 268108517 /nfs/dbraw/zinc/10/85/17/268108517.db2.gz HUTTZNWJICVKSF-UHFFFAOYSA-N 1 2 313.361 1.023 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC23CCOCC3)cc1 ZINC000368894454 268187872 /nfs/dbraw/zinc/18/78/72/268187872.db2.gz GNKLKBJGPYDWFD-UHFFFAOYSA-N 1 2 320.414 1.587 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC23CCOCC3)cc1 ZINC000368894454 268187873 /nfs/dbraw/zinc/18/78/73/268187873.db2.gz GNKLKBJGPYDWFD-UHFFFAOYSA-N 1 2 320.414 1.587 20 30 DDEDLO N#Cc1ccc(C2(NC(=O)Cc3[nH]cc[nH+]3)CCOCC2)cc1 ZINC000530060987 268210304 /nfs/dbraw/zinc/21/03/04/268210304.db2.gz RLQBFAPDFNZBJY-UHFFFAOYSA-N 1 2 310.357 1.646 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3cccnn3)CC2)c([N+](=O)[O-])c1 ZINC000518984757 268221287 /nfs/dbraw/zinc/22/12/87/268221287.db2.gz GMVOSCDXUHEADH-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO N#Cc1cccc(CS(=O)(=O)NCCNc2cccc[nH+]2)c1 ZINC000345242186 268255857 /nfs/dbraw/zinc/25/58/57/268255857.db2.gz WXHPRYMMBUVWMG-UHFFFAOYSA-N 1 2 316.386 1.485 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@]3(C)CCCO3)n2CC)CC1 ZINC000491295819 275382967 /nfs/dbraw/zinc/38/29/67/275382967.db2.gz IXRCQLLDGUDOIL-INIZCTEOSA-N 1 2 303.410 1.079 20 30 DDEDLO CCOC(=O)CC[N@@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000374353034 276277438 /nfs/dbraw/zinc/27/74/38/276277438.db2.gz QUHJRVASXBLKIP-PXAZEXFGSA-N 1 2 313.357 1.285 20 30 DDEDLO CCOC(=O)CC[N@H+]1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000374353034 276277439 /nfs/dbraw/zinc/27/74/39/276277439.db2.gz QUHJRVASXBLKIP-PXAZEXFGSA-N 1 2 313.357 1.285 20 30 DDEDLO CC[C@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@@H+]1C[C@H](C)O ZINC000248972728 288273212 /nfs/dbraw/zinc/27/32/12/288273212.db2.gz SZORVGWFGZDWFK-WFASDCNBSA-N 1 2 317.389 1.181 20 30 DDEDLO CC[C@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@H+]1C[C@H](C)O ZINC000248972728 288273215 /nfs/dbraw/zinc/27/32/15/288273215.db2.gz SZORVGWFGZDWFK-WFASDCNBSA-N 1 2 317.389 1.181 20 30 DDEDLO C[C@H](CCC#N)[N@@H+]1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000411416997 298364574 /nfs/dbraw/zinc/36/45/74/298364574.db2.gz PAOFOALVSJMQJJ-CYBMUJFWSA-N 1 2 307.419 1.960 20 30 DDEDLO C[C@H](CCC#N)[N@H+]1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000411416997 298364578 /nfs/dbraw/zinc/36/45/78/298364578.db2.gz PAOFOALVSJMQJJ-CYBMUJFWSA-N 1 2 307.419 1.960 20 30 DDEDLO N#Cc1ncc(NC(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1Cl ZINC000573562871 304601172 /nfs/dbraw/zinc/60/11/72/304601172.db2.gz HDPUUQVKXKNARX-SECBINFHSA-N 1 2 316.752 1.940 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(CCC(F)(F)F)c1=O ZINC000569429455 308144075 /nfs/dbraw/zinc/14/40/75/308144075.db2.gz ZDNFGGUXXQKAGA-UHFFFAOYSA-N 1 2 315.295 1.505 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1cc(-c2ccccc2)on1 ZINC000111182161 332301923 /nfs/dbraw/zinc/30/19/23/332301923.db2.gz OSYACFUTQMPNIZ-UHFFFAOYSA-N 1 2 316.361 1.463 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)C[C@H](c1[nH+]ccn1C)C(F)(F)F ZINC000566464085 332429788 /nfs/dbraw/zinc/42/97/88/332429788.db2.gz CECMJWKXDFLEPP-WDEREUQCSA-N 1 2 319.327 1.899 20 30 DDEDLO CC(=O)c1cccc(O[C@@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000566977485 332624186 /nfs/dbraw/zinc/62/41/86/332624186.db2.gz WULNKEPYZAIHET-SUMWQHHRSA-N 1 2 317.389 1.617 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000574983883 334129190 /nfs/dbraw/zinc/12/91/90/334129190.db2.gz HVXMYLTVEMAHDQ-KKUMJFAQSA-N 1 2 318.421 1.688 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000574983883 334129192 /nfs/dbraw/zinc/12/91/92/334129192.db2.gz HVXMYLTVEMAHDQ-KKUMJFAQSA-N 1 2 318.421 1.688 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@H+](C)[C@@H]1CCNC1=O ZINC000340223279 334151761 /nfs/dbraw/zinc/15/17/61/334151761.db2.gz QACXKQLSPXARFG-GXTWGEPZSA-N 1 2 321.446 1.472 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@@H+](C)[C@@H]1CCNC1=O ZINC000340223279 334151763 /nfs/dbraw/zinc/15/17/63/334151763.db2.gz QACXKQLSPXARFG-GXTWGEPZSA-N 1 2 321.446 1.472 20 30 DDEDLO C#CC[N@@H+](CCC(=O)Nc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000104092738 336245355 /nfs/dbraw/zinc/24/53/55/336245355.db2.gz DSADHOCWAIYCNT-OAHLLOKOSA-N 1 2 320.414 1.138 20 30 DDEDLO C#CC[N@H+](CCC(=O)Nc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000104092738 336245356 /nfs/dbraw/zinc/24/53/56/336245356.db2.gz DSADHOCWAIYCNT-OAHLLOKOSA-N 1 2 320.414 1.138 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCN(c2cc(C(F)(F)F)cc[nH+]2)CC1 ZINC000527005710 336278742 /nfs/dbraw/zinc/27/87/42/336278742.db2.gz HEZDBIZITRTMGA-SNVBAGLBSA-N 1 2 312.295 1.909 20 30 DDEDLO CC1=NN(c2ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc2)CC1 ZINC000582244789 336392600 /nfs/dbraw/zinc/39/26/00/336392600.db2.gz OHFODKQRIGKOLB-KRWDZBQOSA-N 1 2 313.405 1.846 20 30 DDEDLO CC(C)(CNC(=O)Nc1cccc(C#N)n1)[NH+]1CCOCC1 ZINC000582963166 337219354 /nfs/dbraw/zinc/21/93/54/337219354.db2.gz KTMMNUSBIOHDPH-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000582968238 337223937 /nfs/dbraw/zinc/22/39/37/337223937.db2.gz GWDUGLIYHBWBNA-ZDUSSCGKSA-N 1 2 305.422 1.760 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+]([C@@H](C)c2ccco2)C2CC2)CC1 ZINC000086235714 338014335 /nfs/dbraw/zinc/01/43/35/338014335.db2.gz SGRNUFPXHZRINR-HNNXBMFYSA-N 1 2 315.417 1.582 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+]([C@@H](C)c2ccco2)C2CC2)CC1 ZINC000086235714 338014336 /nfs/dbraw/zinc/01/43/36/338014336.db2.gz SGRNUFPXHZRINR-HNNXBMFYSA-N 1 2 315.417 1.582 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)N1CCC(CC#N)CC1 ZINC000542850806 341023597 /nfs/dbraw/zinc/02/35/97/341023597.db2.gz QJXDGBOBBNWGQK-UHFFFAOYSA-N 1 2 308.426 1.432 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)N1CCC(CC#N)CC1 ZINC000542850806 341023598 /nfs/dbraw/zinc/02/35/98/341023598.db2.gz QJXDGBOBBNWGQK-UHFFFAOYSA-N 1 2 308.426 1.432 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@]2(CCCCO2)[C@@H]1C#N ZINC000548728899 341270858 /nfs/dbraw/zinc/27/08/58/341270858.db2.gz QDRCPTFQQUYPHX-GOEBONIOSA-N 1 2 302.378 1.416 20 30 DDEDLO C[C@@H]([NH2+]C[C@H](O)COc1ccc(CC#N)cc1)c1csnn1 ZINC000567694280 341628073 /nfs/dbraw/zinc/62/80/73/341628073.db2.gz NNAYPRWDQDGGDB-YPMHNXCESA-N 1 2 318.402 1.695 20 30 DDEDLO CCc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1[N+](=O)[O-] ZINC000568769000 341681166 /nfs/dbraw/zinc/68/11/66/341681166.db2.gz NYHZOINAEQBBCE-HNNXBMFYSA-N 1 2 304.350 1.731 20 30 DDEDLO C=CC[C@H]1CCCC[C@H]1NC(=O)C(=O)NCCCn1cc[nH+]c1 ZINC000668332652 485112155 /nfs/dbraw/zinc/11/21/55/485112155.db2.gz SQJXRHJOMMRMAS-LSDHHAIUSA-N 1 2 318.421 1.641 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000678780220 485683118 /nfs/dbraw/zinc/68/31/18/485683118.db2.gz JFWYVAATSNFMDO-AWEZNQCLSA-N 1 2 316.405 1.669 20 30 DDEDLO CCC#C[C@H](C)[NH+]1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000677047114 486385326 /nfs/dbraw/zinc/38/53/26/486385326.db2.gz SKEMXYFMXZDSSL-ZDUSSCGKSA-N 1 2 304.394 1.341 20 30 DDEDLO N#CCCN(C(=O)C[N@H+]1CC[C@@](O)(C(F)F)C1)c1ccccc1 ZINC000451416124 534630583 /nfs/dbraw/zinc/63/05/83/534630583.db2.gz AHKGDQBKCUCXCP-INIZCTEOSA-N 1 2 323.343 1.635 20 30 DDEDLO N#CCCN(C(=O)C[N@@H+]1CC[C@@](O)(C(F)F)C1)c1ccccc1 ZINC000451416124 534630590 /nfs/dbraw/zinc/63/05/90/534630590.db2.gz AHKGDQBKCUCXCP-INIZCTEOSA-N 1 2 323.343 1.635 20 30 DDEDLO N#Cc1cc(C(=O)N2CCn3c[nH+]cc3C2)cnc1C(F)(F)F ZINC000294864691 534772768 /nfs/dbraw/zinc/77/27/68/534772768.db2.gz YJEVZWLVEFOOCY-UHFFFAOYSA-N 1 2 321.262 1.825 20 30 DDEDLO CC#CCCNC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000361284954 526325611 /nfs/dbraw/zinc/32/56/11/526325611.db2.gz GSNZYOQNEJBFIF-UHFFFAOYSA-N 1 2 302.378 1.131 20 30 DDEDLO C=CCN(Cc1cccc(C#N)c1)C(=O)[C@@H](C)[NH+]1CCOCC1 ZINC000339699831 526343966 /nfs/dbraw/zinc/34/39/66/526343966.db2.gz CYVYXQGJTOEKBT-OAHLLOKOSA-N 1 2 313.401 1.794 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(Cc3cscn3)CC2)C1=O ZINC000337133902 526480664 /nfs/dbraw/zinc/48/06/64/526480664.db2.gz LFKWJNKVIBLVCL-CQSZACIVSA-N 1 2 306.435 1.048 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C1=O ZINC000337218894 526502322 /nfs/dbraw/zinc/50/23/22/526502322.db2.gz JJFLVCNSHXJNLN-GRDNDAEWSA-N 1 2 320.437 1.148 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C1=O ZINC000337218894 526502326 /nfs/dbraw/zinc/50/23/26/526502326.db2.gz JJFLVCNSHXJNLN-GRDNDAEWSA-N 1 2 320.437 1.148 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@H](C2CCCCC2)C1 ZINC000334046671 526604478 /nfs/dbraw/zinc/60/44/78/526604478.db2.gz ZMKIYUFHVOGRPW-UKRRQHHQSA-N 1 2 323.437 1.668 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCO[C@@H](C2CCCCC2)C1 ZINC000334046671 526604481 /nfs/dbraw/zinc/60/44/81/526604481.db2.gz ZMKIYUFHVOGRPW-UKRRQHHQSA-N 1 2 323.437 1.668 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000491440891 526941826 /nfs/dbraw/zinc/94/18/26/526941826.db2.gz RPPRZZNJFMQNCA-JTQLQIEISA-N 1 2 320.311 1.084 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000491440891 526941834 /nfs/dbraw/zinc/94/18/34/526941834.db2.gz RPPRZZNJFMQNCA-JTQLQIEISA-N 1 2 320.311 1.084 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(C)Cc2c(F)cccc2F)CC1 ZINC000491751209 526947261 /nfs/dbraw/zinc/94/72/61/526947261.db2.gz JXDGTNTYSNJSNM-UHFFFAOYSA-N 1 2 321.371 1.174 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2cc(C(C)(C)C)on2)CC1 ZINC000491747541 526969434 /nfs/dbraw/zinc/96/94/34/526969434.db2.gz WTBXYFXAWHHAGN-UHFFFAOYSA-N 1 2 303.406 1.934 20 30 DDEDLO C=CCCC1(C(=O)N2CC[NH+](C(COC)COC)CC2)CC1 ZINC000412444047 527084329 /nfs/dbraw/zinc/08/43/29/527084329.db2.gz KATHSKREYSUZFG-UHFFFAOYSA-N 1 2 310.438 1.538 20 30 DDEDLO CC(C)(CNC(=O)[C@]1(C#N)CC12CCCC2)[NH+]1CCOCC1 ZINC000333058304 527158646 /nfs/dbraw/zinc/15/86/46/527158646.db2.gz ATVLFAYRQUVSPQ-QGZVFWFLSA-N 1 2 305.422 1.687 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000342151389 527180903 /nfs/dbraw/zinc/18/09/03/527180903.db2.gz AGCCKQQQFREKMU-HOCLYGCPSA-N 1 2 312.454 1.424 20 30 DDEDLO C#CCn1ccc(CN(CCOC)c2cc[nH+]c(C3CC3)n2)n1 ZINC000491544728 527192753 /nfs/dbraw/zinc/19/27/53/527192753.db2.gz NHMBOKFHGJMZLP-UHFFFAOYSA-N 1 2 311.389 1.837 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1ccc(OCC)cc1[N+](=O)[O-] ZINC000491371673 527212383 /nfs/dbraw/zinc/21/23/83/527212383.db2.gz GGKZRZZDBRIWCP-LLVKDONJSA-N 1 2 305.334 1.886 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1ccc(OCC)cc1[N+](=O)[O-] ZINC000491371673 527212386 /nfs/dbraw/zinc/21/23/86/527212386.db2.gz GGKZRZZDBRIWCP-LLVKDONJSA-N 1 2 305.334 1.886 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)N1CCc2sccc2C1 ZINC000491778121 527310494 /nfs/dbraw/zinc/31/04/94/527310494.db2.gz HQMJRXXTNZHLGG-CQSZACIVSA-N 1 2 304.415 1.357 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)N1CCc2sccc2C1 ZINC000491778121 527310500 /nfs/dbraw/zinc/31/05/00/527310500.db2.gz HQMJRXXTNZHLGG-CQSZACIVSA-N 1 2 304.415 1.357 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1Cc1ccc(OCc2noc(C)n2)cc1 ZINC000491619862 527312241 /nfs/dbraw/zinc/31/22/41/527312241.db2.gz FMLHTSHIQBEESI-OAHLLOKOSA-N 1 2 313.357 1.791 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1Cc1ccc(OCc2noc(C)n2)cc1 ZINC000491619862 527312246 /nfs/dbraw/zinc/31/22/46/527312246.db2.gz FMLHTSHIQBEESI-OAHLLOKOSA-N 1 2 313.357 1.791 20 30 DDEDLO C=CCn1c(CCCC)nnc1N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000337869587 527318711 /nfs/dbraw/zinc/31/87/11/527318711.db2.gz TYNKCONSHXHGRF-HNNXBMFYSA-N 1 2 319.453 1.718 20 30 DDEDLO C=CCC[NH+]1CCC(NS(=O)(=O)NCC(F)(F)F)CC1 ZINC000338570000 527326346 /nfs/dbraw/zinc/32/63/46/527326346.db2.gz RQSQLHYBNAUQDF-UHFFFAOYSA-N 1 2 315.361 1.013 20 30 DDEDLO C#C[C@H](C)[N@H+](C)Cn1cc(C)n(-c2ccccc2[N+](=O)[O-])c1=O ZINC000491795723 527334031 /nfs/dbraw/zinc/33/40/31/527334031.db2.gz WRNZGROYHMNWMG-LBPRGKRZSA-N 1 2 314.345 1.767 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)Cn1cc(C)n(-c2ccccc2[N+](=O)[O-])c1=O ZINC000491795723 527334036 /nfs/dbraw/zinc/33/40/36/527334036.db2.gz WRNZGROYHMNWMG-LBPRGKRZSA-N 1 2 314.345 1.767 20 30 DDEDLO C=CCn1cccc1C(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000488879382 527380178 /nfs/dbraw/zinc/38/01/78/527380178.db2.gz LSVBBWAADRDYAN-UHFFFAOYSA-N 1 2 313.405 1.366 20 30 DDEDLO C#C[C@H](NC(=O)N[C@H](C)C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000491703057 527398081 /nfs/dbraw/zinc/39/80/81/527398081.db2.gz JBAQMLKGVRWFSQ-CJNGLKHVSA-N 1 2 319.380 1.520 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1 ZINC000491338295 527421412 /nfs/dbraw/zinc/42/14/12/527421412.db2.gz HQRFSDLJFAGKNN-HOTGVXAUSA-N 1 2 324.384 1.553 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCC[C@H]1[C@@H](O)C(F)(F)F ZINC000340193420 528358843 /nfs/dbraw/zinc/35/88/43/528358843.db2.gz WECOSROIEHOUCP-WCQYABFASA-N 1 2 321.343 1.526 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCC[C@H]1[C@@H](O)C(F)(F)F ZINC000340193420 528358847 /nfs/dbraw/zinc/35/88/47/528358847.db2.gz WECOSROIEHOUCP-WCQYABFASA-N 1 2 321.343 1.526 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000330825450 528848566 /nfs/dbraw/zinc/84/85/66/528848566.db2.gz ONDBOCNQAKHDCP-CHWSQXEVSA-N 1 2 321.343 1.430 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000330825450 528848574 /nfs/dbraw/zinc/84/85/74/528848574.db2.gz ONDBOCNQAKHDCP-CHWSQXEVSA-N 1 2 321.343 1.430 20 30 DDEDLO CCC1(CNC([O-])=[NH+][C@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC000330196282 529033158 /nfs/dbraw/zinc/03/31/58/529033158.db2.gz QAECQWVEQYYILJ-ZDUSSCGKSA-N 1 2 306.410 1.908 20 30 DDEDLO CCC1(C[NH+]=C([O-])N[C@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC000330196282 529033160 /nfs/dbraw/zinc/03/31/60/529033160.db2.gz QAECQWVEQYYILJ-ZDUSSCGKSA-N 1 2 306.410 1.908 20 30 DDEDLO CCN1CC[N@H+](CCC(=O)Nc2cccc(C#N)c2)[C@@H](C)C1=O ZINC000495262093 529142430 /nfs/dbraw/zinc/14/24/30/529142430.db2.gz MWLYGGKKJFBPQV-ZDUSSCGKSA-N 1 2 314.389 1.439 20 30 DDEDLO CCN1CC[N@@H+](CCC(=O)Nc2cccc(C#N)c2)[C@@H](C)C1=O ZINC000495262093 529142431 /nfs/dbraw/zinc/14/24/31/529142431.db2.gz MWLYGGKKJFBPQV-ZDUSSCGKSA-N 1 2 314.389 1.439 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)c1C ZINC000330122340 529292453 /nfs/dbraw/zinc/29/24/53/529292453.db2.gz KGDFFONIFGXHRS-CQDKDKBSSA-N 1 2 321.425 1.723 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)c1C ZINC000330122340 529292455 /nfs/dbraw/zinc/29/24/55/529292455.db2.gz KGDFFONIFGXHRS-CQDKDKBSSA-N 1 2 321.425 1.723 20 30 DDEDLO CCc1nc(C[NH2+]C2CC3(CC(NC(=O)[C@H](C)C#N)C3)C2)no1 ZINC001120834954 782172860 /nfs/dbraw/zinc/17/28/60/782172860.db2.gz RFUKMHQSEVBAFN-CUMPHSRVSA-N 1 2 317.393 1.309 20 30 DDEDLO COc1ccc(C[NH2+]Cc2ccc(-c3nn[nH]n3)o2)cc1C#N ZINC000826202933 607568277 /nfs/dbraw/zinc/56/82/77/607568277.db2.gz STAQXWFCTGKGQV-UHFFFAOYSA-N 1 2 310.317 1.630 20 30 DDEDLO C[C@H](C#N)C[N@@H+](CCOc1ccc(-c2nn[nH]n2)cc1)C1CC1 ZINC000824034531 607831185 /nfs/dbraw/zinc/83/11/85/607831185.db2.gz LIVQYDWFPCFLKQ-GFCCVEGCSA-N 1 2 312.377 1.870 20 30 DDEDLO C[C@H](C#N)C[N@H+](CCOc1ccc(-c2nn[nH]n2)cc1)C1CC1 ZINC000824034531 607831184 /nfs/dbraw/zinc/83/11/84/607831184.db2.gz LIVQYDWFPCFLKQ-GFCCVEGCSA-N 1 2 312.377 1.870 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](CCOc2cccc(-c3nn[nH]n3)c2)C1 ZINC000824777981 608125756 /nfs/dbraw/zinc/12/57/56/608125756.db2.gz MAZHUZUZTSKROH-MRXNPFEDSA-N 1 2 312.377 1.871 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](CCOc2cccc(-c3nn[nH]n3)c2)C1 ZINC000824777981 608125757 /nfs/dbraw/zinc/12/57/57/608125757.db2.gz MAZHUZUZTSKROH-MRXNPFEDSA-N 1 2 312.377 1.871 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1sccc1C#N ZINC000745087166 699971334 /nfs/dbraw/zinc/97/13/34/699971334.db2.gz WCPYAKMWHWTDPW-GFCCVEGCSA-N 1 2 321.402 1.976 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1sccc1C#N ZINC000745087166 699971335 /nfs/dbraw/zinc/97/13/35/699971335.db2.gz WCPYAKMWHWTDPW-GFCCVEGCSA-N 1 2 321.402 1.976 20 30 DDEDLO C#CCNC(=O)[C@@H](C)OC(=O)[C@H](c1ccccc1)[N@@H+](C)CC ZINC000745102104 699971928 /nfs/dbraw/zinc/97/19/28/699971928.db2.gz UHVGUMHVLWDNCH-HIFRSBDPSA-N 1 2 302.374 1.361 20 30 DDEDLO C#CCNC(=O)[C@@H](C)OC(=O)[C@H](c1ccccc1)[N@H+](C)CC ZINC000745102104 699971929 /nfs/dbraw/zinc/97/19/29/699971929.db2.gz UHVGUMHVLWDNCH-HIFRSBDPSA-N 1 2 302.374 1.361 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)c2cnco2)C1 ZINC000972041382 695144633 /nfs/dbraw/zinc/14/46/33/695144633.db2.gz FVGKVHMZZKGELE-JTQLQIEISA-N 1 2 314.183 1.730 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)c2cnco2)C1 ZINC000972041382 695144634 /nfs/dbraw/zinc/14/46/34/695144634.db2.gz FVGKVHMZZKGELE-JTQLQIEISA-N 1 2 314.183 1.730 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3ccco3)C2)C1 ZINC000972254117 695191891 /nfs/dbraw/zinc/19/18/91/695191891.db2.gz UXKZVLGXKXJNNF-GOSISDBHSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3ccco3)C2)C1 ZINC000972254117 695191893 /nfs/dbraw/zinc/19/18/93/695191893.db2.gz UXKZVLGXKXJNNF-GOSISDBHSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3C(C)(C)C3(C)C)C2)C1 ZINC000972321789 695215962 /nfs/dbraw/zinc/21/59/62/695215962.db2.gz XOVZHVSJUDMHKX-LJQANCHMSA-N 1 2 318.461 1.995 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3C(C)(C)C3(C)C)C2)C1 ZINC000972321789 695215963 /nfs/dbraw/zinc/21/59/63/695215963.db2.gz XOVZHVSJUDMHKX-LJQANCHMSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3CC)C2)C1 ZINC000972368540 695231988 /nfs/dbraw/zinc/23/19/88/695231988.db2.gz XWBRYFPHGLMRSH-QGZVFWFLSA-N 1 2 301.390 1.127 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3CC)C2)C1 ZINC000972368540 695231990 /nfs/dbraw/zinc/23/19/90/695231990.db2.gz XWBRYFPHGLMRSH-QGZVFWFLSA-N 1 2 301.390 1.127 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccnc3C)C2)C1 ZINC000972526340 695273237 /nfs/dbraw/zinc/27/32/37/695273237.db2.gz JHBISHNIRNSWPD-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccnc3C)C2)C1 ZINC000972526340 695273238 /nfs/dbraw/zinc/27/32/38/695273238.db2.gz JHBISHNIRNSWPD-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C(C)(F)F)C2)C1 ZINC000972556012 695281590 /nfs/dbraw/zinc/28/15/90/695281590.db2.gz BZVAKLJICAQYOW-CZUORRHYSA-N 1 2 314.376 1.604 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C(C)(F)F)C2)C1 ZINC000972556012 695281593 /nfs/dbraw/zinc/28/15/93/695281593.db2.gz BZVAKLJICAQYOW-CZUORRHYSA-N 1 2 314.376 1.604 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCCCO3)C2)C1 ZINC000972577829 695287740 /nfs/dbraw/zinc/28/77/40/695287740.db2.gz OVVGOLNDPDBVTB-SJLPKXTDSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@H]3CCCCO3)C2)C1 ZINC000972577829 695287741 /nfs/dbraw/zinc/28/77/41/695287741.db2.gz OVVGOLNDPDBVTB-SJLPKXTDSA-N 1 2 320.433 1.272 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnn(C(C)C)c3)C2)C1 ZINC000972636260 695305529 /nfs/dbraw/zinc/30/55/29/695305529.db2.gz YSQUGYBSRIDOCI-QGZVFWFLSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnn(C(C)C)c3)C2)C1 ZINC000972636260 695305531 /nfs/dbraw/zinc/30/55/31/695305531.db2.gz YSQUGYBSRIDOCI-QGZVFWFLSA-N 1 2 318.421 1.567 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)C2)C1 ZINC000972692096 695317404 /nfs/dbraw/zinc/31/74/04/695317404.db2.gz LGYNJAKGCXLINM-RFRLJHHNSA-N 1 2 314.429 1.215 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)C2)C1 ZINC000972692096 695317405 /nfs/dbraw/zinc/31/74/05/695317405.db2.gz LGYNJAKGCXLINM-RFRLJHHNSA-N 1 2 314.429 1.215 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974881890 695752681 /nfs/dbraw/zinc/75/26/81/695752681.db2.gz SFRLDTKNGILDPY-XHDPSFHLSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974881890 695752683 /nfs/dbraw/zinc/75/26/83/695752683.db2.gz SFRLDTKNGILDPY-XHDPSFHLSA-N 1 2 313.829 1.092 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCc2cccc(C(N)=O)c2)cc1 ZINC000060454743 696310752 /nfs/dbraw/zinc/31/07/52/696310752.db2.gz ZKGLXMYVQUWXFO-UHFFFAOYSA-N 1 2 313.357 1.826 20 30 DDEDLO Cc1[nH]c(=O)c(C#N)c(C)c1CCC(=O)OCc1c[nH+]cn1C ZINC000747781314 700085975 /nfs/dbraw/zinc/08/59/75/700085975.db2.gz JLLPPFJWEJDNAB-UHFFFAOYSA-N 1 2 314.345 1.685 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H]([C@H](C)[NH2+]Cc2nc(C)no2)C1 ZINC000979382783 696541868 /nfs/dbraw/zinc/54/18/68/696541868.db2.gz XSIPEMCIUIQWHB-AAEUAGOBSA-N 1 2 322.409 1.296 20 30 DDEDLO C#CC[N@@H+](Cc1cc(C)c(C)cc1O)[C@@H]1CCS(=O)(=O)C1 ZINC000092193588 696592805 /nfs/dbraw/zinc/59/28/05/696592805.db2.gz MQKOUYZYIYECIE-OAHLLOKOSA-N 1 2 307.415 1.631 20 30 DDEDLO C#CC[N@H+](Cc1cc(C)c(C)cc1O)[C@@H]1CCS(=O)(=O)C1 ZINC000092193588 696592806 /nfs/dbraw/zinc/59/28/06/696592806.db2.gz MQKOUYZYIYECIE-OAHLLOKOSA-N 1 2 307.415 1.631 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCCCS(=O)(=O)N(C)C)ccc1F ZINC000105394088 696622458 /nfs/dbraw/zinc/62/24/58/696622458.db2.gz NJUNEUOJCUJKQX-UHFFFAOYSA-N 1 2 317.386 1.053 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)c2ccc(C)[nH]c2=O)CC1 ZINC000982036750 697010538 /nfs/dbraw/zinc/01/05/38/697010538.db2.gz UKKNFBLOLQJZJH-UHFFFAOYSA-N 1 2 309.797 1.996 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)c2ccc(C)[nH]c2=O)CC1 ZINC000982036750 697010540 /nfs/dbraw/zinc/01/05/40/697010540.db2.gz UKKNFBLOLQJZJH-UHFFFAOYSA-N 1 2 309.797 1.996 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CCC[C@@H](NCC#N)C2)c[nH+]1 ZINC000981166474 697024503 /nfs/dbraw/zinc/02/45/03/697024503.db2.gz MGFSRBUEWBHBPR-ZIAGYGMSSA-N 1 2 303.410 1.467 20 30 DDEDLO CS(=O)(=O)c1ccc(N[NH2+]C2=CC(=O)N3CCC[C@H]23)cc1 ZINC000799476057 700143535 /nfs/dbraw/zinc/14/35/35/700143535.db2.gz TWJUNLRMXCKXDQ-CYBMUJFWSA-N 1 2 307.375 1.253 20 30 DDEDLO C[C@@H]1Cc2ccccc2N1C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000179920881 697443052 /nfs/dbraw/zinc/44/30/52/697443052.db2.gz XZEYZTYZIWANTF-CYBMUJFWSA-N 1 2 324.384 1.891 20 30 DDEDLO CO[C@@H](C)CON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181744282 697464639 /nfs/dbraw/zinc/46/46/39/697464639.db2.gz ZENGDBJJKURQDF-DZGCQCFKSA-N 1 2 307.394 1.211 20 30 DDEDLO CO[C@@H](C)CON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181744282 697464641 /nfs/dbraw/zinc/46/46/41/697464641.db2.gz ZENGDBJJKURQDF-DZGCQCFKSA-N 1 2 307.394 1.211 20 30 DDEDLO [NH2+]=C(NCc1nnc(COc2ccccc2)[nH]1)Nc1ccccn1 ZINC000184654448 697506019 /nfs/dbraw/zinc/50/60/19/697506019.db2.gz RBCPPPJYXDTDFL-UHFFFAOYSA-N 1 2 323.360 1.706 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CCNC(=O)OC(C)(C)C)CC1 ZINC000776000402 698058916 /nfs/dbraw/zinc/05/89/16/698058916.db2.gz HEIZZECRSIMJNX-CQSZACIVSA-N 1 2 310.442 1.677 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000778236184 698252162 /nfs/dbraw/zinc/25/21/62/698252162.db2.gz YYRXWHKTDNSMGI-CVEARBPZSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000778236184 698252163 /nfs/dbraw/zinc/25/21/63/698252163.db2.gz YYRXWHKTDNSMGI-CVEARBPZSA-N 1 2 318.373 1.741 20 30 DDEDLO COCC#CCON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000779646061 698447471 /nfs/dbraw/zinc/44/74/71/698447471.db2.gz KSKLNYVHXLAIRA-INIZCTEOSA-N 1 2 317.389 1.036 20 30 DDEDLO COCC#CCON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000779646061 698447475 /nfs/dbraw/zinc/44/74/75/698447475.db2.gz KSKLNYVHXLAIRA-INIZCTEOSA-N 1 2 317.389 1.036 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2C[C@@H](C)N(C(=O)C#CC3CC3)C2)o1 ZINC000988826557 698477664 /nfs/dbraw/zinc/47/76/64/698477664.db2.gz OMIKEAQWRQQUKD-YPMHNXCESA-N 1 2 302.378 1.124 20 30 DDEDLO C#CC[N@H+](C[C@H]1CCc2ccccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000780303919 698505168 /nfs/dbraw/zinc/50/51/68/698505168.db2.gz OPWSAZLRJKLNAK-HZPDHXFCSA-N 1 2 303.427 1.839 20 30 DDEDLO C#CC[N@@H+](C[C@H]1CCc2ccccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000780303919 698505170 /nfs/dbraw/zinc/50/51/70/698505170.db2.gz OPWSAZLRJKLNAK-HZPDHXFCSA-N 1 2 303.427 1.839 20 30 DDEDLO CC(C)OC[C@H](O)CO[NH+]=C(N)Cc1ccc(Cl)cc1 ZINC000305731852 698652164 /nfs/dbraw/zinc/65/21/64/698652164.db2.gz MZQDRRNDPDETPE-ZDUSSCGKSA-N 1 2 300.786 1.957 20 30 DDEDLO COC(=O)c1ccc(N[NH+]=Cc2cnc(N)nc2)cc1Cl ZINC000782240811 698698816 /nfs/dbraw/zinc/69/88/16/698698816.db2.gz MRHAYBFPCOQBSP-UHFFFAOYSA-N 1 2 305.725 1.945 20 30 DDEDLO CC(=O)NC[C@@H]1CCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000329195260 698751466 /nfs/dbraw/zinc/75/14/66/698751466.db2.gz UBPGIHQAKQQDJE-HOCLYGCPSA-N 1 2 323.437 1.455 20 30 DDEDLO CC(=O)NC[C@@H]1CCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000329195260 698751471 /nfs/dbraw/zinc/75/14/71/698751471.db2.gz UBPGIHQAKQQDJE-HOCLYGCPSA-N 1 2 323.437 1.455 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1CC(=O)N(CN(CCC#N)CC2CC2)C1 ZINC000783977986 698897616 /nfs/dbraw/zinc/89/76/16/698897616.db2.gz KBIDIPQEUSPVBT-AWEZNQCLSA-N 1 2 301.394 1.319 20 30 DDEDLO CC[N@@H+]1CCCC[C@H]1C(=O)O[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000787715325 699233502 /nfs/dbraw/zinc/23/35/02/699233502.db2.gz UOTPFEIAEFOSPN-OLZOCXBDSA-N 1 2 307.394 1.355 20 30 DDEDLO CC[N@H+]1CCCC[C@H]1C(=O)O[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000787715325 699233505 /nfs/dbraw/zinc/23/35/05/699233505.db2.gz UOTPFEIAEFOSPN-OLZOCXBDSA-N 1 2 307.394 1.355 20 30 DDEDLO C#CCN1CCN(C(=O)c2cccc(Cn3cc[nH+]c3)c2)CC1 ZINC000725906041 699340326 /nfs/dbraw/zinc/34/03/26/699340326.db2.gz NCORLZSOCGADSD-UHFFFAOYSA-N 1 2 308.385 1.322 20 30 DDEDLO N#CCN1CC[C@@]2(NC(=O)c3cccc4[nH+]ccn43)CCC[C@@H]12 ZINC000991845090 699420154 /nfs/dbraw/zinc/42/01/54/699420154.db2.gz YIVNCNBOJCOZRJ-PBHICJAKSA-N 1 2 309.373 1.585 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)cn1 ZINC000728805730 699456505 /nfs/dbraw/zinc/45/65/05/699456505.db2.gz GJOOJOWTZAWSSE-UHFFFAOYSA-N 1 2 306.369 1.988 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCc2ccc(C#N)cc2F)CCO1 ZINC000729880027 699490462 /nfs/dbraw/zinc/49/04/62/699490462.db2.gz SVZSMQKDLOBDOA-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCc2ccc(C#N)cc2F)CCO1 ZINC000729880027 699490463 /nfs/dbraw/zinc/49/04/63/699490463.db2.gz SVZSMQKDLOBDOA-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCC(=O)Nc1cccc(CC)c1 ZINC000730281525 699506810 /nfs/dbraw/zinc/50/68/10/699506810.db2.gz KYXZQPXHKBRHIU-INIZCTEOSA-N 1 2 313.401 1.401 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCC(=O)Nc1cccc(CC)c1 ZINC000730281525 699506811 /nfs/dbraw/zinc/50/68/11/699506811.db2.gz KYXZQPXHKBRHIU-INIZCTEOSA-N 1 2 313.401 1.401 20 30 DDEDLO C=C(CO)C(=O)N(Cc1ccccc1)[C@H](C)C[NH+]1CCOCC1 ZINC000791352305 699626272 /nfs/dbraw/zinc/62/62/72/699626272.db2.gz WMGRJXBUEVOYKI-MRXNPFEDSA-N 1 2 318.417 1.284 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+](CCO)Cc1ccnn1CC ZINC000793307500 699749137 /nfs/dbraw/zinc/74/91/37/699749137.db2.gz OPHSLTAYWRRVAM-UHFFFAOYSA-N 1 2 313.401 1.910 20 30 DDEDLO C#CCOc1ccccc1C[N@H+](CCO)Cc1ccnn1CC ZINC000793307500 699749140 /nfs/dbraw/zinc/74/91/40/699749140.db2.gz OPHSLTAYWRRVAM-UHFFFAOYSA-N 1 2 313.401 1.910 20 30 DDEDLO C[C@@H](OC(=O)[C@H]1CCCC[N@@H+]1C)C(=O)NC1(C#N)CCCCC1 ZINC000741148243 699817787 /nfs/dbraw/zinc/81/77/87/699817787.db2.gz YWHYTZBBGRGUJX-ZIAGYGMSSA-N 1 2 321.421 1.745 20 30 DDEDLO C[C@@H](OC(=O)[C@H]1CCCC[N@H+]1C)C(=O)NC1(C#N)CCCCC1 ZINC000741148243 699817790 /nfs/dbraw/zinc/81/77/90/699817790.db2.gz YWHYTZBBGRGUJX-ZIAGYGMSSA-N 1 2 321.421 1.745 20 30 DDEDLO COc1ccccc1O[C@@H]1CCC[N@H+](CC(=O)NCCC#N)C1 ZINC000796564499 699939153 /nfs/dbraw/zinc/93/91/53/699939153.db2.gz NEHGVRFZXWJWEK-CQSZACIVSA-N 1 2 317.389 1.568 20 30 DDEDLO COc1ccccc1O[C@@H]1CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000796564499 699939155 /nfs/dbraw/zinc/93/91/55/699939155.db2.gz NEHGVRFZXWJWEK-CQSZACIVSA-N 1 2 317.389 1.568 20 30 DDEDLO C[N@H+](CC(=O)[C@H](C#N)C(=O)NC1CCCCC1)[C@H]1CCSC1 ZINC000753949777 700470614 /nfs/dbraw/zinc/47/06/14/700470614.db2.gz MSLMYHJXOLGAMU-KBPBESRZSA-N 1 2 323.462 1.581 20 30 DDEDLO C[N@@H+](CC(=O)[C@H](C#N)C(=O)NC1CCCCC1)[C@H]1CCSC1 ZINC000753949777 700470615 /nfs/dbraw/zinc/47/06/15/700470615.db2.gz MSLMYHJXOLGAMU-KBPBESRZSA-N 1 2 323.462 1.581 20 30 DDEDLO COC(=O)c1ccc(N[NH+]=Cc2cccnc2N)c(OC)c1 ZINC000756897989 700648077 /nfs/dbraw/zinc/64/80/77/700648077.db2.gz YUDACFVRLAMIIO-UHFFFAOYSA-N 1 2 300.318 1.905 20 30 DDEDLO Cc1ccn(C[NH+]2CCC([C@H]3CCOC3)CC2)c(=O)c1C#N ZINC000758251300 700694870 /nfs/dbraw/zinc/69/48/70/700694870.db2.gz ZAWNYDZZKDQFME-HNNXBMFYSA-N 1 2 301.390 1.734 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1CCN(c2cccc(C)c2)CC1)C1CC1 ZINC000763950449 700954693 /nfs/dbraw/zinc/95/46/93/700954693.db2.gz VPZDBXRWSGLNGQ-UHFFFAOYSA-N 1 2 311.429 1.741 20 30 DDEDLO C#CC[N@H+](CC(=O)N1CCN(c2cccc(C)c2)CC1)C1CC1 ZINC000763950449 700954695 /nfs/dbraw/zinc/95/46/95/700954695.db2.gz VPZDBXRWSGLNGQ-UHFFFAOYSA-N 1 2 311.429 1.741 20 30 DDEDLO C#CCCCn1nnc([C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000766290588 701045612 /nfs/dbraw/zinc/04/56/12/701045612.db2.gz XDJNCFWUBRGJLT-MRXNPFEDSA-N 1 2 311.389 1.660 20 30 DDEDLO C#CCCCn1nnc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000766290588 701045614 /nfs/dbraw/zinc/04/56/14/701045614.db2.gz XDJNCFWUBRGJLT-MRXNPFEDSA-N 1 2 311.389 1.660 20 30 DDEDLO C#Cc1ccc(C(=O)Nc2ccc(N3CCOCC3)[nH+]c2C)cn1 ZINC000770667983 701289068 /nfs/dbraw/zinc/28/90/68/701289068.db2.gz XXNVKFQRDWPXPO-UHFFFAOYSA-N 1 2 322.368 1.855 20 30 DDEDLO S=C1N=NC([C@@H]2CCCO2)N1N=Cc1cccc2[nH+]ccn21 ZINC000771097713 701309918 /nfs/dbraw/zinc/30/99/18/701309918.db2.gz PBDUZZRBZRRRSY-NSHDSACASA-N 1 2 314.374 1.948 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000839581396 701745190 /nfs/dbraw/zinc/74/51/90/701745190.db2.gz REMQQQKBTIRGNC-UHFFFAOYSA-N 1 2 303.424 1.087 20 30 DDEDLO C#CC(C)(C)[N@H+](C)CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000839581396 701745185 /nfs/dbraw/zinc/74/51/85/701745185.db2.gz REMQQQKBTIRGNC-UHFFFAOYSA-N 1 2 303.424 1.087 20 30 DDEDLO C=CCN1CCN(C[NH+]2CCC(c3nc(C)no3)CC2)C1=O ZINC000839973243 701886226 /nfs/dbraw/zinc/88/62/26/701886226.db2.gz JRZPWUWNTLYSHZ-UHFFFAOYSA-N 1 2 305.382 1.439 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@H+](C[C@H](O)c2ccc(C#N)cc2)CCO1 ZINC000879430929 706678372 /nfs/dbraw/zinc/67/83/72/706678372.db2.gz NUOQSUSDZYJVBB-RDJZCZTQSA-N 1 2 318.373 1.246 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@@H+](C[C@H](O)c2ccc(C#N)cc2)CCO1 ZINC000879430929 706678375 /nfs/dbraw/zinc/67/83/75/706678375.db2.gz NUOQSUSDZYJVBB-RDJZCZTQSA-N 1 2 318.373 1.246 20 30 DDEDLO COC[C@H](C[N@@H+]1CCO[C@@H](C)C1)OC(=O)c1ccc(C#N)cc1 ZINC000842964608 702795464 /nfs/dbraw/zinc/79/54/64/702795464.db2.gz ULVMGCNJFJTQOH-BBRMVZONSA-N 1 2 318.373 1.451 20 30 DDEDLO COC[C@H](C[N@H+]1CCO[C@@H](C)C1)OC(=O)c1ccc(C#N)cc1 ZINC000842964608 702795466 /nfs/dbraw/zinc/79/54/66/702795466.db2.gz ULVMGCNJFJTQOH-BBRMVZONSA-N 1 2 318.373 1.451 20 30 DDEDLO CN(CC1CC1)C(=O)C[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585976 706728687 /nfs/dbraw/zinc/72/86/87/706728687.db2.gz UBBMDLAQPXSSPV-UHFFFAOYSA-N 1 2 312.417 1.549 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](Cc2ccc(S(=O)(=O)N(C)C)s2)C1 ZINC000847035998 703369966 /nfs/dbraw/zinc/36/99/66/703369966.db2.gz OCSPHGIQOLDXHW-LBPRGKRZSA-N 1 2 312.460 1.844 20 30 DDEDLO C#C[C@H]1CCC[N@H+](Cc2ccc(S(=O)(=O)N(C)C)s2)C1 ZINC000847035998 703369967 /nfs/dbraw/zinc/36/99/67/703369967.db2.gz OCSPHGIQOLDXHW-LBPRGKRZSA-N 1 2 312.460 1.844 20 30 DDEDLO O=[N+]([O-])c1ccc2c(c1)C(=NNCCCn1cc[nH+]c1)CCO2 ZINC000848417121 703548156 /nfs/dbraw/zinc/54/81/56/703548156.db2.gz LNFCUQVRWOMEFA-UHFFFAOYSA-N 1 2 315.333 1.958 20 30 DDEDLO CCN1c2ccc(C)cc2C(=NNCCCn2cc[nH+]c2)C1=O ZINC000848419602 703548444 /nfs/dbraw/zinc/54/84/44/703548444.db2.gz XXSMCAKCTLPOMY-UHFFFAOYSA-N 1 2 311.389 1.942 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)N(C)Cc2ccccc2)CC1 ZINC000851823085 703865068 /nfs/dbraw/zinc/86/50/68/703865068.db2.gz FMEYBVOZQZELLP-UHFFFAOYSA-N 1 2 315.417 1.506 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCO[C@@H](C[NH+]2CCOCC2)C1 ZINC000870065898 703906702 /nfs/dbraw/zinc/90/67/02/703906702.db2.gz BTBCGFBHOXLDLG-INIZCTEOSA-N 1 2 308.422 1.314 20 30 DDEDLO CCCCCCCC(=O)N1CCO[C@@H](C[NH+]2CCOCC2)C1 ZINC000870072396 703908277 /nfs/dbraw/zinc/90/82/77/703908277.db2.gz HVNDUXUFAQEAPK-INIZCTEOSA-N 1 2 312.454 1.907 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H](C)[C@](C)(CO)C1 ZINC000852703221 704102654 /nfs/dbraw/zinc/10/26/54/704102654.db2.gz NQMDMBNMLPIURF-PVUWLOKVSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@@H](C)[C@](C)(CO)C1 ZINC000852703221 704102657 /nfs/dbraw/zinc/10/26/57/704102657.db2.gz NQMDMBNMLPIURF-PVUWLOKVSA-N 1 2 302.374 1.723 20 30 DDEDLO O=S1(=O)Cc2ccccc2C(=NNCCCn2cc[nH+]c2)C1 ZINC000853372355 704237267 /nfs/dbraw/zinc/23/72/67/704237267.db2.gz LGPOXLDUXOGYGN-UHFFFAOYSA-N 1 2 318.402 1.196 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1CC(=O)N(C[N@@H+]2CCC[C@H](CC#N)C2)C1 ZINC000853525480 704259882 /nfs/dbraw/zinc/25/98/82/704259882.db2.gz WRULZUZKCVNPSE-KGLIPLIRSA-N 1 2 321.421 1.760 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1CC(=O)N(C[N@H+]2CCC[C@H](CC#N)C2)C1 ZINC000853525480 704259885 /nfs/dbraw/zinc/25/98/85/704259885.db2.gz WRULZUZKCVNPSE-KGLIPLIRSA-N 1 2 321.421 1.760 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C(C)(C)[C@H]1[C@H]1CCCO1 ZINC000853621148 704287799 /nfs/dbraw/zinc/28/77/99/704287799.db2.gz RBRCTRNEBQSONB-HUUCEWRRSA-N 1 2 308.422 1.332 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C(C)(C)[C@H]1[C@H]1CCCO1 ZINC000853621148 704287801 /nfs/dbraw/zinc/28/78/01/704287801.db2.gz RBRCTRNEBQSONB-HUUCEWRRSA-N 1 2 308.422 1.332 20 30 DDEDLO C#CCOCC[NH+]1CC(C)(c2nnc(C3CCOCC3)o2)C1 ZINC000854077100 704353739 /nfs/dbraw/zinc/35/37/39/704353739.db2.gz JUTNVJXKEFCZLM-UHFFFAOYSA-N 1 2 305.378 1.187 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000855302257 704478135 /nfs/dbraw/zinc/47/81/35/704478135.db2.gz CCIYHIRBVTYCHZ-KRWDZBQOSA-N 1 2 309.373 1.740 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)COc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000855413769 704484047 /nfs/dbraw/zinc/48/40/47/704484047.db2.gz QPIANPZPOQZHSJ-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)COc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000855413769 704484048 /nfs/dbraw/zinc/48/40/48/704484048.db2.gz QPIANPZPOQZHSJ-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C#C[C@H](NC(=O)[C@H]1COCC[N@@H+]1C1CCCC1)C1CCOCC1 ZINC000856103231 704513865 /nfs/dbraw/zinc/51/38/65/704513865.db2.gz KALDBFRNIKZNIA-DLBZAZTESA-N 1 2 320.433 1.174 20 30 DDEDLO C#C[C@H](NC(=O)[C@H]1COCC[N@H+]1C1CCCC1)C1CCOCC1 ZINC000856103231 704513866 /nfs/dbraw/zinc/51/38/66/704513866.db2.gz KALDBFRNIKZNIA-DLBZAZTESA-N 1 2 320.433 1.174 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)COc1cccc(C#N)c1 ZINC000874701181 705133916 /nfs/dbraw/zinc/13/39/16/705133916.db2.gz RTUVONJHPSIIHF-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)COc1cccc(C#N)c1 ZINC000874701181 705133920 /nfs/dbraw/zinc/13/39/20/705133920.db2.gz RTUVONJHPSIIHF-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1cccc(F)c1C#N ZINC000874705353 705138655 /nfs/dbraw/zinc/13/86/55/705138655.db2.gz CPPHORDDWREAAX-GFCCVEGCSA-N 1 2 305.353 1.538 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1cccc(F)c1C#N ZINC000874705353 705138657 /nfs/dbraw/zinc/13/86/57/705138657.db2.gz CPPHORDDWREAAX-GFCCVEGCSA-N 1 2 305.353 1.538 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC[C@H](n2cc[nH+]c2)C1)C1CCOCC1 ZINC000823186554 705165935 /nfs/dbraw/zinc/16/59/35/705165935.db2.gz OKKAIOBTJFUPMH-JKSUJKDBSA-N 1 2 316.405 1.658 20 30 DDEDLO N#CCCNC(=O)N1CC[NH+](Cc2cc3ccccc3[nH]2)CC1 ZINC000875539576 705423112 /nfs/dbraw/zinc/42/31/12/705423112.db2.gz BVLKVGQDYRPWLM-UHFFFAOYSA-N 1 2 311.389 1.909 20 30 DDEDLO C#CC[C@H](COC)NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000825080806 705595739 /nfs/dbraw/zinc/59/57/39/705595739.db2.gz XIEZGXADEPAUJJ-MNOVXSKESA-N 1 2 303.284 1.531 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)C1 ZINC000826069640 705768657 /nfs/dbraw/zinc/76/86/57/705768657.db2.gz HEXNDOAHRHZEPI-OAHLLOKOSA-N 1 2 307.438 1.542 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)NCC[N@H+]2CCOC(C)(C)C2)C1 ZINC000826069640 705768661 /nfs/dbraw/zinc/76/86/61/705768661.db2.gz HEXNDOAHRHZEPI-OAHLLOKOSA-N 1 2 307.438 1.542 20 30 DDEDLO COc1cccc([C@@H](C#N)NC(=O)Cc2[nH]cc[nH+]2)c1OC ZINC000826142484 705777021 /nfs/dbraw/zinc/77/70/21/705777021.db2.gz CXJMFDBKEVJQNV-LLVKDONJSA-N 1 2 300.318 1.350 20 30 DDEDLO C#CC[C@@H]1CCCN(c2cc(N3CC[C@@H]([C@H](C)O)C3)nc[nH+]2)C1 ZINC000826584444 705819622 /nfs/dbraw/zinc/81/96/22/705819622.db2.gz GOZYVOPTAMMTTL-ARFHVFGLSA-N 1 2 314.433 1.923 20 30 DDEDLO C#CC[C@@H]1CCCN(c2cc(N3CC[C@@H]([C@H](C)O)C3)[nH+]cn2)C1 ZINC000826584444 705819625 /nfs/dbraw/zinc/81/96/25/705819625.db2.gz GOZYVOPTAMMTTL-ARFHVFGLSA-N 1 2 314.433 1.923 20 30 DDEDLO C[C@H]1C[C@@H]1CON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000863456649 705933884 /nfs/dbraw/zinc/93/38/84/705933884.db2.gz YXLJLSHIHZSZAW-XJKSGUPXSA-N 1 2 303.406 1.812 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2cnc3c(cnn3C)c2)n1 ZINC000877485004 706119913 /nfs/dbraw/zinc/11/99/13/706119913.db2.gz USNJKCINEXPVPT-UHFFFAOYSA-N 1 2 308.389 1.820 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2cnc3c(cnn3C)c2)n1 ZINC000877485004 706119914 /nfs/dbraw/zinc/11/99/14/706119914.db2.gz USNJKCINEXPVPT-UHFFFAOYSA-N 1 2 308.389 1.820 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@H]1CC2(CCC2)CO1 ZINC000878159403 706309829 /nfs/dbraw/zinc/30/98/29/706309829.db2.gz KXSBUHJMRVBEAC-MRXNPFEDSA-N 1 2 307.438 1.493 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccnc3)n2CC2CC2)CC1 ZINC000830481292 706523992 /nfs/dbraw/zinc/52/39/92/706523992.db2.gz CTRSEJIHLMOGGG-UHFFFAOYSA-N 1 2 322.416 1.505 20 30 DDEDLO CCOC(=O)[C@@H](CC1CC1)[NH2+]C[C@@H](O)CC1(C#N)CCOCC1 ZINC000879979704 706842611 /nfs/dbraw/zinc/84/26/11/706842611.db2.gz CTIJWMZXYBLZIR-LSDHHAIUSA-N 1 2 324.421 1.379 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2c(Cl)ncnc2N(C)C)CC1 ZINC000880484526 706989453 /nfs/dbraw/zinc/98/94/53/706989453.db2.gz YXPWOSUDVLMDSG-UHFFFAOYSA-N 1 2 308.813 1.546 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)OCC(F)(F)F)C1 ZINC000881732918 707342102 /nfs/dbraw/zinc/34/21/02/707342102.db2.gz OHGYXRVZFXENBL-UHFFFAOYSA-N 1 2 309.309 1.942 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)OCC(F)(F)F)C1 ZINC000881732918 707342106 /nfs/dbraw/zinc/34/21/06/707342106.db2.gz OHGYXRVZFXENBL-UHFFFAOYSA-N 1 2 309.309 1.942 20 30 DDEDLO C(=NN1CCOCC1)c1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872328678 707383706 /nfs/dbraw/zinc/38/37/06/707383706.db2.gz XXHDLGSRZLUHBM-UHFFFAOYSA-N 1 2 319.405 1.064 20 30 DDEDLO COc1ccc(C=NNC2=[NH+]C[C@@H](C)N2)cc1Cn1cccn1 ZINC000872363413 707391090 /nfs/dbraw/zinc/39/10/90/707391090.db2.gz DVJLABVULGNHQY-GFCCVEGCSA-N 1 2 312.377 1.211 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C)cc2C#N)C[C@H]1C ZINC000872466209 707427933 /nfs/dbraw/zinc/42/79/33/707427933.db2.gz ADNFSPPVXFLLBB-KGLIPLIRSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C)cc2C#N)C[C@H]1C ZINC000872466209 707427936 /nfs/dbraw/zinc/42/79/36/707427936.db2.gz ADNFSPPVXFLLBB-KGLIPLIRSA-N 1 2 321.446 1.970 20 30 DDEDLO N#Cc1cnc(N2CC(n3cc[nH+]c3)C2)c(Br)c1 ZINC000882085720 707492043 /nfs/dbraw/zinc/49/20/43/707492043.db2.gz ZXTLXFLWPUSLTQ-UHFFFAOYSA-N 1 2 304.151 1.974 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)CC1 ZINC000873296706 707802110 /nfs/dbraw/zinc/80/21/10/707802110.db2.gz LPQHYEAJXUITGS-LUKYLMHMSA-N 1 2 319.449 1.683 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N[C@@H](CO)CC(=C)C)[nH+]cn1 ZINC000883006378 707894106 /nfs/dbraw/zinc/89/41/06/707894106.db2.gz QGECALCYKYYZTL-ZIAGYGMSSA-N 1 2 306.410 1.955 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H]1c1cccc(F)c1 ZINC000884080465 708130164 /nfs/dbraw/zinc/13/01/64/708130164.db2.gz XEWCATMPZLJFDT-LSDHHAIUSA-N 1 2 320.364 1.936 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCC[C@H](SC)C1 ZINC000884145580 708159828 /nfs/dbraw/zinc/15/98/28/708159828.db2.gz NMAREKHMFGCZFF-WOPDTQHZSA-N 1 2 300.424 1.223 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCCc2sccc21 ZINC000884310251 708237958 /nfs/dbraw/zinc/23/79/58/708237958.db2.gz SGQGTPMXOPMBIC-NWDGAFQWSA-N 1 2 308.403 1.688 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2ccccc2C)CCC1 ZINC000884381778 708272207 /nfs/dbraw/zinc/27/22/07/708272207.db2.gz RAUPPJLIXWLZFZ-HNNXBMFYSA-N 1 2 316.401 1.937 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC(C)(C)CC(F)(F)F ZINC000884423746 708292053 /nfs/dbraw/zinc/29/20/53/708292053.db2.gz DWYSRZDKZRZIRP-VIFPVBQESA-N 1 2 310.316 1.528 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)ccc1C#N ZINC000897618313 708395615 /nfs/dbraw/zinc/39/56/15/708395615.db2.gz PYCWSYJGHRUJRX-OKILXGFUSA-N 1 2 306.387 1.043 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)ccc1C#N ZINC000897618313 708395618 /nfs/dbraw/zinc/39/56/18/708395618.db2.gz PYCWSYJGHRUJRX-OKILXGFUSA-N 1 2 306.387 1.043 20 30 DDEDLO C#CC[C@H]1CC[N@@H+](Cc2noc(CNC(=O)OC(C)(C)C)n2)C1 ZINC000886363954 708749742 /nfs/dbraw/zinc/74/97/42/708749742.db2.gz JYSIWIBHYUGDKF-LBPRGKRZSA-N 1 2 320.393 1.940 20 30 DDEDLO C#CC[C@H]1CC[N@H+](Cc2noc(CNC(=O)OC(C)(C)C)n2)C1 ZINC000886363954 708749744 /nfs/dbraw/zinc/74/97/44/708749744.db2.gz JYSIWIBHYUGDKF-LBPRGKRZSA-N 1 2 320.393 1.940 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC[C@@H](c3ncccn3)C2)cc1 ZINC000886686917 708813848 /nfs/dbraw/zinc/81/38/48/708813848.db2.gz ZXWWGVMHCKTRKU-CVEARBPZSA-N 1 2 321.384 1.754 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC[C@@H](c3ncccn3)C2)cc1 ZINC000886686917 708813849 /nfs/dbraw/zinc/81/38/49/708813849.db2.gz ZXWWGVMHCKTRKU-CVEARBPZSA-N 1 2 321.384 1.754 20 30 DDEDLO C#CCC1(NC(=O)[C@@H]2C[N@H+](Cc3ccccc3)CCO2)CCC1 ZINC000886791557 708845242 /nfs/dbraw/zinc/84/52/42/708845242.db2.gz OKJKTQUMKOXDEB-KRWDZBQOSA-N 1 2 312.413 1.950 20 30 DDEDLO C#CCC1(NC(=O)[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)CCC1 ZINC000886791557 708845245 /nfs/dbraw/zinc/84/52/45/708845245.db2.gz OKJKTQUMKOXDEB-KRWDZBQOSA-N 1 2 312.413 1.950 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1 ZINC000899153014 709002189 /nfs/dbraw/zinc/00/21/89/709002189.db2.gz ZTTMQNRNSMNPJS-IUODEOHRSA-N 1 2 304.394 1.335 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1 ZINC000899153014 709002192 /nfs/dbraw/zinc/00/21/92/709002192.db2.gz ZTTMQNRNSMNPJS-IUODEOHRSA-N 1 2 304.394 1.335 20 30 DDEDLO C[C@H](O)CN(C)[NH+]=Cc1ccc(C(F)(F)F)nc1N(C)C ZINC000901253108 709985115 /nfs/dbraw/zinc/98/51/15/709985115.db2.gz YEBRYVYUWVCDTI-VIFPVBQESA-N 1 2 304.316 1.813 20 30 DDEDLO Cn1cncc1/C=C1\CSC/C(=C\c2c[nH+]cn2C)C1=O ZINC000901438012 710039914 /nfs/dbraw/zinc/03/99/14/710039914.db2.gz GEJXPSKVUXFJME-HMMKTVFPSA-N 1 2 300.387 1.937 20 30 DDEDLO C=CCCC[C@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C(=O)OC ZINC000928318058 713174232 /nfs/dbraw/zinc/17/42/32/713174232.db2.gz QCRQFEMUORKISJ-STQMWFEESA-N 1 2 305.378 1.460 20 30 DDEDLO C#CCSCC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000893149305 710562704 /nfs/dbraw/zinc/56/27/04/710562704.db2.gz GHWBPOIREBHXLV-UHFFFAOYSA-N 1 2 321.471 1.617 20 30 DDEDLO C#CCC1(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)CCCCC1 ZINC000913451351 713221047 /nfs/dbraw/zinc/22/10/47/713221047.db2.gz LIBFNJDTANHZDA-HNNXBMFYSA-N 1 2 300.406 1.856 20 30 DDEDLO N#CCc1ccsc1C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC000913440718 713217200 /nfs/dbraw/zinc/21/72/00/713217200.db2.gz VXSZCRSFQVYYIS-GFCCVEGCSA-N 1 2 301.375 1.324 20 30 DDEDLO CN(c1cccc(C#N)c1)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC000912255175 711248361 /nfs/dbraw/zinc/24/83/61/711248361.db2.gz IAYWKJXCTSAMHM-MRXNPFEDSA-N 1 2 309.373 1.492 20 30 DDEDLO N#Cc1cccc(C2(NC(=O)Cc3c[nH]c[nH+]3)CCOCC2)c1 ZINC000912513785 711359787 /nfs/dbraw/zinc/35/97/87/711359787.db2.gz JIPUJFRPQHBVHN-UHFFFAOYSA-N 1 2 310.357 1.646 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1cc(C#N)ccc1F ZINC000928649745 713250483 /nfs/dbraw/zinc/25/04/83/713250483.db2.gz YTECBENUAVNBEU-ZDUSSCGKSA-N 1 2 305.353 1.538 20 30 DDEDLO C#CC[NH+]1CCC(NC(=O)c2n[nH]nc2-c2ccccc2)CC1 ZINC000928652639 713252578 /nfs/dbraw/zinc/25/25/78/713252578.db2.gz GLPIJRLVWVVLQC-UHFFFAOYSA-N 1 2 309.373 1.299 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)[C@]2(C#N)CC3CCC2CC3)CCO1 ZINC000906493427 712339738 /nfs/dbraw/zinc/33/97/38/712339738.db2.gz LKGBNKGPJNREND-JMLCCBQJSA-N 1 2 319.449 1.933 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)[C@]2(C#N)CC3CCC2CC3)CCO1 ZINC000906493427 712339741 /nfs/dbraw/zinc/33/97/41/712339741.db2.gz LKGBNKGPJNREND-JMLCCBQJSA-N 1 2 319.449 1.933 20 30 DDEDLO C[C@H](NC(=O)[C@]1(C#N)CC2CCC1CC2)[C@H](C)[NH+]1CCOCC1 ZINC000906581003 712360223 /nfs/dbraw/zinc/36/02/23/712360223.db2.gz IAYRKPDDJZLVHN-FALFVDIESA-N 1 2 319.449 1.932 20 30 DDEDLO C=CC[NH+]1CCC(NS(=O)(=O)C2CCC(=O)CC2)CC1 ZINC000906924515 712440144 /nfs/dbraw/zinc/44/01/44/712440144.db2.gz GKJNTDRUCOKEFS-UHFFFAOYSA-N 1 2 300.424 1.068 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CC[N@H+](Cc2cc(Cl)cc(C#N)c2)C1 ZINC000929664576 713664329 /nfs/dbraw/zinc/66/43/29/713664329.db2.gz FEQDGTCXGUYLGC-CYBMUJFWSA-N 1 2 313.810 1.335 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CC[N@@H+](Cc2cc(Cl)cc(C#N)c2)C1 ZINC000929664576 713664332 /nfs/dbraw/zinc/66/43/32/713664332.db2.gz FEQDGTCXGUYLGC-CYBMUJFWSA-N 1 2 313.810 1.335 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Nc1sccc1C#N ZINC000931839706 714194886 /nfs/dbraw/zinc/19/48/86/714194886.db2.gz UUOJEKPBLWVQFU-DGCLKSJQSA-N 1 2 320.418 1.947 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cc(F)cc(C#N)c2)CCO1 ZINC000932102556 714259344 /nfs/dbraw/zinc/25/93/44/714259344.db2.gz GMRHYMVDZUOLAZ-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cc(F)cc(C#N)c2)CCO1 ZINC000932102556 714259346 /nfs/dbraw/zinc/25/93/46/714259346.db2.gz GMRHYMVDZUOLAZ-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccnc(C#N)c1 ZINC000932158845 714273990 /nfs/dbraw/zinc/27/39/90/714273990.db2.gz PIJGEXVJMWZQGY-LBPRGKRZSA-N 1 2 303.366 1.186 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC000923552866 714398274 /nfs/dbraw/zinc/39/82/74/714398274.db2.gz DWFBSBDTNPINDS-LBPRGKRZSA-N 1 2 315.398 1.417 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC000923552866 714398276 /nfs/dbraw/zinc/39/82/76/714398276.db2.gz DWFBSBDTNPINDS-LBPRGKRZSA-N 1 2 315.398 1.417 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000923589925 714420136 /nfs/dbraw/zinc/42/01/36/714420136.db2.gz RUGYDPNHEFOQNM-LLVKDONJSA-N 1 2 307.375 1.792 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000923589925 714420137 /nfs/dbraw/zinc/42/01/37/714420137.db2.gz RUGYDPNHEFOQNM-LLVKDONJSA-N 1 2 307.375 1.792 20 30 DDEDLO O=C(NCC#CCO)N1CCC(CCCn2cc[nH+]c2)CC1 ZINC000923773586 714467793 /nfs/dbraw/zinc/46/77/93/714467793.db2.gz MYUGOLPMCJZPJI-UHFFFAOYSA-N 1 2 304.394 1.081 20 30 DDEDLO C#CCC1([NH2+]CC(=O)NC(=O)c2ccc(OC)cc2)CCC1 ZINC000933298596 714557875 /nfs/dbraw/zinc/55/78/75/714557875.db2.gz FFAKXOCYBVGTBQ-UHFFFAOYSA-N 1 2 300.358 1.487 20 30 DDEDLO CC[N@H+](CCC(=O)NC)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000933864123 714686198 /nfs/dbraw/zinc/68/61/98/714686198.db2.gz MPHVNHSDRSMCSY-HNNXBMFYSA-N 1 2 303.362 1.230 20 30 DDEDLO CC[N@@H+](CCC(=O)NC)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000933864123 714686199 /nfs/dbraw/zinc/68/61/99/714686199.db2.gz MPHVNHSDRSMCSY-HNNXBMFYSA-N 1 2 303.362 1.230 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccc(F)cc1)[N@@H+]1CC[C@H]1CO ZINC000934022868 714724154 /nfs/dbraw/zinc/72/41/54/714724154.db2.gz ANHOGVRWBUQNTH-WFASDCNBSA-N 1 2 305.353 1.527 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccc(F)cc1)[N@H+]1CC[C@H]1CO ZINC000934022868 714724155 /nfs/dbraw/zinc/72/41/55/714724155.db2.gz ANHOGVRWBUQNTH-WFASDCNBSA-N 1 2 305.353 1.527 20 30 DDEDLO CC[C@@H]([NH2+]C[C@H]1CN(C)CCN1C)c1cccc(C#N)c1O ZINC000925032147 714733182 /nfs/dbraw/zinc/73/31/82/714733182.db2.gz PRVPUZGWHSCOGR-GOEBONIOSA-N 1 2 302.422 1.550 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH+]2CCN(C(=O)C(C)C)CC2)C1 ZINC000956581349 715479068 /nfs/dbraw/zinc/47/90/68/715479068.db2.gz LLSCZJNTJLEYGX-HNNXBMFYSA-N 1 2 321.465 1.600 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000938193876 715752478 /nfs/dbraw/zinc/75/24/78/715752478.db2.gz IAKPGNOVLDMPFE-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(C(=O)Cc3c[nH+]cn3C)C2)C1 ZINC000938696911 715962969 /nfs/dbraw/zinc/96/29/69/715962969.db2.gz FMHUVDGVHNTJRI-ZDUSSCGKSA-N 1 2 316.405 1.036 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2ccc(OC)o2)[C@H](O)C1 ZINC000958522321 716609787 /nfs/dbraw/zinc/60/97/87/716609787.db2.gz ORNQMMPKQQOYPQ-GHMZBOCLSA-N 1 2 314.769 1.063 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2ccc(OC)o2)[C@H](O)C1 ZINC000958522321 716609791 /nfs/dbraw/zinc/60/97/91/716609791.db2.gz ORNQMMPKQQOYPQ-GHMZBOCLSA-N 1 2 314.769 1.063 20 30 DDEDLO C=CC[N@H+]1C[C@@H](CNC(=O)c2cccc3nc(C)[nH]c32)[C@H](O)C1 ZINC000958571125 716631675 /nfs/dbraw/zinc/63/16/75/716631675.db2.gz RSIOSDQNERMKLS-IUODEOHRSA-N 1 2 314.389 1.080 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](CNC(=O)c2cccc3nc(C)[nH]c32)[C@H](O)C1 ZINC000958571125 716631681 /nfs/dbraw/zinc/63/16/81/716631681.db2.gz RSIOSDQNERMKLS-IUODEOHRSA-N 1 2 314.389 1.080 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]ccc1C ZINC000958738159 716725952 /nfs/dbraw/zinc/72/59/52/716725952.db2.gz RRGGFKXUKAZVEX-PJXYFTJBSA-N 1 2 324.222 1.892 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]ccc1C ZINC000958738159 716725957 /nfs/dbraw/zinc/72/59/57/716725957.db2.gz RRGGFKXUKAZVEX-PJXYFTJBSA-N 1 2 324.222 1.892 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)c1cccc2[nH+]ccn21 ZINC000940886623 717010255 /nfs/dbraw/zinc/01/02/55/717010255.db2.gz QRXIRWUZEZQAFT-AWEZNQCLSA-N 1 2 311.389 1.688 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccoc3CC)CC2)C1 ZINC000941396263 717169183 /nfs/dbraw/zinc/16/91/83/717169183.db2.gz DDMNWVLAKUECSV-UHFFFAOYSA-N 1 2 315.417 1.307 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@]3(C)CCC[C@@H]3C)CC2)C1 ZINC000941552652 717206573 /nfs/dbraw/zinc/20/65/73/717206573.db2.gz KSPWOQUTUQJRSM-MAUKXSAKSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H](C)CC3CCCC3)CC2)C1 ZINC000941590880 717222063 /nfs/dbraw/zinc/22/20/63/717222063.db2.gz WPFJSPXFGVIHKH-MRXNPFEDSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(CC)cc3)CC2)C1 ZINC000941657120 717246403 /nfs/dbraw/zinc/24/64/03/717246403.db2.gz TYVHOGGWAMBUIM-UHFFFAOYSA-N 1 2 311.429 1.324 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000941842240 717345981 /nfs/dbraw/zinc/34/59/81/717345981.db2.gz YZKRTEBOCIIKLV-UHFFFAOYSA-N 1 2 304.394 1.225 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000941934283 717395364 /nfs/dbraw/zinc/39/53/64/717395364.db2.gz PUTZJXICSHGIIB-GFCCVEGCSA-N 1 2 304.394 1.190 20 30 DDEDLO CN(C(=O)[C@H]1CCc2[nH+]ccn2C1)C1CCC(NCC#N)CC1 ZINC000963580481 717836258 /nfs/dbraw/zinc/83/62/58/717836258.db2.gz BDSHYAFLALNCTN-NFOMZHRRSA-N 1 2 315.421 1.328 20 30 DDEDLO CN(C(=O)c1cccc2[nH+]ccn21)C1CCC(NCC#N)CC1 ZINC000963670598 717872650 /nfs/dbraw/zinc/87/26/50/717872650.db2.gz PBSAIRYRPIHVBO-UHFFFAOYSA-N 1 2 311.389 1.831 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2nnc3ccccc3c2O)[C@H](C)C1 ZINC000943290417 718069607 /nfs/dbraw/zinc/06/96/07/718069607.db2.gz XCWZIQKKHDYJQX-OCCSQVGLSA-N 1 2 324.384 1.409 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2nnc3ccccc3c2O)[C@H](C)C1 ZINC000943290417 718069611 /nfs/dbraw/zinc/06/96/11/718069611.db2.gz XCWZIQKKHDYJQX-OCCSQVGLSA-N 1 2 324.384 1.409 20 30 DDEDLO C[C@@H]1C[N@H+](CC#Cc2ccccc2)CC[C@@H]1NC(=O)c1ncn[nH]1 ZINC000943674899 718173064 /nfs/dbraw/zinc/17/30/64/718173064.db2.gz KYLMDLQCBBOJOI-ZBFHGGJFSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@@H]1NC(=O)c1ncn[nH]1 ZINC000943674899 718173066 /nfs/dbraw/zinc/17/30/66/718173066.db2.gz KYLMDLQCBBOJOI-ZBFHGGJFSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@@H]1C[N@H+](CC#Cc2ccccc2)CC[C@@H]1NC(=O)c1nc[nH]n1 ZINC000943674899 718173069 /nfs/dbraw/zinc/17/30/69/718173069.db2.gz KYLMDLQCBBOJOI-ZBFHGGJFSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@@H]1NC(=O)c1nc[nH]n1 ZINC000943674899 718173071 /nfs/dbraw/zinc/17/30/71/718173071.db2.gz KYLMDLQCBBOJOI-ZBFHGGJFSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@@H]1C[N@H+](CC#Cc2ccccc2)CC[C@H]1NC(=O)c1ncn[nH]1 ZINC000943674892 718173152 /nfs/dbraw/zinc/17/31/52/718173152.db2.gz KYLMDLQCBBOJOI-GDBMZVCRSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@H]1NC(=O)c1ncn[nH]1 ZINC000943674892 718173153 /nfs/dbraw/zinc/17/31/53/718173153.db2.gz KYLMDLQCBBOJOI-GDBMZVCRSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@@H]1C[N@H+](CC#Cc2ccccc2)CC[C@H]1NC(=O)c1nc[nH]n1 ZINC000943674892 718173154 /nfs/dbraw/zinc/17/31/54/718173154.db2.gz KYLMDLQCBBOJOI-GDBMZVCRSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@H]1NC(=O)c1nc[nH]n1 ZINC000943674892 718173155 /nfs/dbraw/zinc/17/31/55/718173155.db2.gz KYLMDLQCBBOJOI-GDBMZVCRSA-N 1 2 323.400 1.297 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC000967332356 718846763 /nfs/dbraw/zinc/84/67/63/718846763.db2.gz DLLGYCMUSHJKOD-GXFFZTMASA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC000967332356 718846771 /nfs/dbraw/zinc/84/67/71/718846771.db2.gz DLLGYCMUSHJKOD-GXFFZTMASA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccnc(OC)n2)C1 ZINC000967332357 718846875 /nfs/dbraw/zinc/84/68/75/718846875.db2.gz DLLGYCMUSHJKOD-MFKMUULPSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccnc(OC)n2)C1 ZINC000967332357 718846880 /nfs/dbraw/zinc/84/68/80/718846880.db2.gz DLLGYCMUSHJKOD-MFKMUULPSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnon3)[C@H](C)C2)C1 ZINC000947703106 719248998 /nfs/dbraw/zinc/24/89/98/719248998.db2.gz VSOSGMMSQVTWEI-OLZOCXBDSA-N 1 2 304.394 1.895 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnon3)[C@H](C)C2)C1 ZINC000947703106 719249000 /nfs/dbraw/zinc/24/90/00/719249000.db2.gz VSOSGMMSQVTWEI-OLZOCXBDSA-N 1 2 304.394 1.895 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC000968347019 719522692 /nfs/dbraw/zinc/52/26/92/719522692.db2.gz QRMGQAXZGQMMQT-BXKDBHETSA-N 1 2 314.349 1.143 20 30 DDEDLO N#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000968925507 719875123 /nfs/dbraw/zinc/87/51/23/719875123.db2.gz FWWYEXKYHJWHRL-CABCVRRESA-N 1 2 315.421 1.330 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cc(C)[nH]c3C)CC2)C1 ZINC000949482709 720114908 /nfs/dbraw/zinc/11/49/08/720114908.db2.gz BBDKMRMZCONVMW-UHFFFAOYSA-N 1 2 315.417 1.572 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cc(C)[nH]c3C)CC2)C1 ZINC000949482709 720114912 /nfs/dbraw/zinc/11/49/12/720114912.db2.gz BBDKMRMZCONVMW-UHFFFAOYSA-N 1 2 315.417 1.572 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC000969570542 720195707 /nfs/dbraw/zinc/19/57/07/720195707.db2.gz SNTTZAYQEIRRJO-GFCCVEGCSA-N 1 2 321.425 1.428 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ncoc2[C@@H]2CCCO2)CC1 ZINC000949648965 720239421 /nfs/dbraw/zinc/23/94/21/720239421.db2.gz MIVVWXMARYOQPO-ZDUSSCGKSA-N 1 2 303.362 1.307 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnc(C)nc2OC)C1 ZINC000969810446 720302779 /nfs/dbraw/zinc/30/27/79/720302779.db2.gz VQFJSMSVPZKWAN-SNVBAGLBSA-N 1 2 324.812 1.596 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC000950090117 720485806 /nfs/dbraw/zinc/48/58/06/720485806.db2.gz WHXRZCFHHGOUGZ-CQSZACIVSA-N 1 2 302.422 1.938 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2[nH]ncc2C(F)(F)F)C1 ZINC000950492990 720656773 /nfs/dbraw/zinc/65/67/73/720656773.db2.gz JPHKKBKFVLHTOK-UHFFFAOYSA-N 1 2 314.311 1.598 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2c[nH]nc2-c2ccncc2)C1 ZINC000950730821 720755832 /nfs/dbraw/zinc/75/58/32/720755832.db2.gz DRQQDBNBEJVGAI-UHFFFAOYSA-N 1 2 311.389 1.804 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)C1C[NH+](Cc2ccns2)C1 ZINC000970447152 720765858 /nfs/dbraw/zinc/76/58/58/720765858.db2.gz NPRJNGGCPZXUSM-GLQYFDAESA-N 1 2 321.446 1.671 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC000970577469 720824965 /nfs/dbraw/zinc/82/49/65/720824965.db2.gz VBEFOOCSQYVFAZ-MRXNPFEDSA-N 1 2 324.384 1.293 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc(CC)nn2C)C1 ZINC000970644522 720866432 /nfs/dbraw/zinc/86/64/32/720866432.db2.gz BVRAKNVPXBMQFD-LLVKDONJSA-N 1 2 310.829 1.785 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCCO2)C1 ZINC000971025622 721063477 /nfs/dbraw/zinc/06/34/77/721063477.db2.gz NRBHOCXKQCVQKZ-RYUDHWBXSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCCO2)C1 ZINC000971025622 721063482 /nfs/dbraw/zinc/06/34/82/721063482.db2.gz NRBHOCXKQCVQKZ-RYUDHWBXSA-N 1 2 317.227 1.607 20 30 DDEDLO CCc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971512525 721332771 /nfs/dbraw/zinc/33/27/71/721332771.db2.gz DDUPCWXCDPQLPM-CQSZACIVSA-N 1 2 301.390 1.683 20 30 DDEDLO CCc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971512525 721332772 /nfs/dbraw/zinc/33/27/72/721332772.db2.gz DDUPCWXCDPQLPM-CQSZACIVSA-N 1 2 301.390 1.683 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)COc3ccsc3)C2)C1 ZINC000972637495 735396598 /nfs/dbraw/zinc/39/65/98/735396598.db2.gz BJWCLKDHLGHSPS-INIZCTEOSA-N 1 2 322.430 1.616 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)COc3ccsc3)C2)C1 ZINC000972637495 735396603 /nfs/dbraw/zinc/39/66/03/735396603.db2.gz BJWCLKDHLGHSPS-INIZCTEOSA-N 1 2 322.430 1.616 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccccc3OC)C2)C1 ZINC000972646449 735417490 /nfs/dbraw/zinc/41/74/90/735417490.db2.gz GKIUXICIZOYUFA-GOSISDBHSA-N 1 2 316.401 1.798 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccccc3OC)C2)C1 ZINC000972646449 735417492 /nfs/dbraw/zinc/41/74/92/735417492.db2.gz GKIUXICIZOYUFA-GOSISDBHSA-N 1 2 316.401 1.798 20 30 DDEDLO C[C@H](CNC(=O)Cc1c[nH]c[nH+]1)CNc1cccc(F)c1C#N ZINC001104225750 732490801 /nfs/dbraw/zinc/49/08/01/732490801.db2.gz BIFIWZXHTUJIIK-NSHDSACASA-N 1 2 315.352 1.827 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2CCO[C@@H]2CC2(F)F)[nH]1 ZINC001038172701 732620072 /nfs/dbraw/zinc/62/00/72/732620072.db2.gz JTEXRRACIBCMFJ-DGCLKSJQSA-N 1 2 324.331 1.115 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2CCO[C@@H]2CC2(F)F)[nH]1 ZINC001038172701 732620075 /nfs/dbraw/zinc/62/00/75/732620075.db2.gz JTEXRRACIBCMFJ-DGCLKSJQSA-N 1 2 324.331 1.115 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3ocnc3C(F)F)[C@H]2C1 ZINC001083194771 732681162 /nfs/dbraw/zinc/68/11/62/732681162.db2.gz IYQRISVSTWHQSN-VHSXEESVSA-N 1 2 313.304 1.323 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ocnc3C(F)F)[C@H]2C1 ZINC001083194771 732681166 /nfs/dbraw/zinc/68/11/66/732681166.db2.gz IYQRISVSTWHQSN-VHSXEESVSA-N 1 2 313.304 1.323 20 30 DDEDLO C=CCC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)C)[nH]n3)[C@H]2C1 ZINC001083200694 732907128 /nfs/dbraw/zinc/90/71/28/732907128.db2.gz UGGOJJPAGYBRGO-JKSUJKDBSA-N 1 2 318.421 1.634 20 30 DDEDLO C=CCC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)C)[nH]n3)[C@H]2C1 ZINC001083200694 732907132 /nfs/dbraw/zinc/90/71/32/732907132.db2.gz UGGOJJPAGYBRGO-JKSUJKDBSA-N 1 2 318.421 1.634 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C1=CCOCC1 ZINC001038185645 732965751 /nfs/dbraw/zinc/96/57/51/732965751.db2.gz XSUZDMWNLVRNEI-SFHVURJKSA-N 1 2 310.397 1.575 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C1=CCOCC1 ZINC001038185645 732965756 /nfs/dbraw/zinc/96/57/56/732965756.db2.gz XSUZDMWNLVRNEI-SFHVURJKSA-N 1 2 310.397 1.575 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H](NC(=O)c3occc3C)C2)C1=O ZINC001010222315 738884113 /nfs/dbraw/zinc/88/41/13/738884113.db2.gz SKBYVVIDGYOHQQ-KBPBESRZSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H](NC(=O)c3occc3C)C2)C1=O ZINC001010222315 738884115 /nfs/dbraw/zinc/88/41/15/738884115.db2.gz SKBYVVIDGYOHQQ-KBPBESRZSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104489006 735236342 /nfs/dbraw/zinc/23/63/42/735236342.db2.gz LAGUECUHJBZPDC-NSHDSACASA-N 1 2 306.414 1.471 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cn[nH]c1 ZINC000972833612 735673293 /nfs/dbraw/zinc/67/32/93/735673293.db2.gz AKWKBITZEZPIBE-MRXNPFEDSA-N 1 2 323.400 1.922 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cn[nH]c1 ZINC000972833612 735673296 /nfs/dbraw/zinc/67/32/96/735673296.db2.gz AKWKBITZEZPIBE-MRXNPFEDSA-N 1 2 323.400 1.922 20 30 DDEDLO C#CCC[N@H+]1CCCC[C@@H]1CNC(=O)c1c[nH]cc2ncnc1-2 ZINC001024453480 735834303 /nfs/dbraw/zinc/83/43/03/735834303.db2.gz XFDAYHZRXHOSRU-CYBMUJFWSA-N 1 2 311.389 1.566 20 30 DDEDLO C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)c1c[nH]cc2ncnc1-2 ZINC001024453480 735834304 /nfs/dbraw/zinc/83/43/04/735834304.db2.gz XFDAYHZRXHOSRU-CYBMUJFWSA-N 1 2 311.389 1.566 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cncc(OC)n1 ZINC001024695154 736028410 /nfs/dbraw/zinc/02/84/10/736028410.db2.gz PVJFVLOYXGTKKP-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cncc(OC)n1 ZINC001024695154 736028416 /nfs/dbraw/zinc/02/84/16/736028416.db2.gz PVJFVLOYXGTKKP-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@H](C)CNc1ccc(C#N)cn1 ZINC001104643978 736481785 /nfs/dbraw/zinc/48/17/85/736481785.db2.gz JERCXIOZRWZINR-LLVKDONJSA-N 1 2 312.377 1.486 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnn2cccnc12 ZINC001028067487 738984052 /nfs/dbraw/zinc/98/40/52/738984052.db2.gz VJJAXMMVJWRGFY-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnn2cccnc12 ZINC001028067487 738984053 /nfs/dbraw/zinc/98/40/53/738984053.db2.gz VJJAXMMVJWRGFY-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)CC(C)C)C2)nn1 ZINC001105354946 738991500 /nfs/dbraw/zinc/99/15/00/738991500.db2.gz ZZSQQEAKXSCOPE-CQSZACIVSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCc2n[nH]nc2C1 ZINC001028261451 739248789 /nfs/dbraw/zinc/24/87/89/739248789.db2.gz YWLOZVRSLJYLRS-NWDGAFQWSA-N 1 2 323.828 1.243 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1nc(-c2cccs2)no1 ZINC001126343524 739249793 /nfs/dbraw/zinc/24/97/93/739249793.db2.gz ABFDQWAGFVLSLT-UHFFFAOYSA-N 1 2 318.402 1.807 20 30 DDEDLO C[C@@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1ncccc1C#N ZINC001098153051 739279035 /nfs/dbraw/zinc/27/90/35/739279035.db2.gz KKCZYJAJCRZKET-LBPRGKRZSA-N 1 2 320.356 1.831 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2CCCCC2)[C@H](OC)C1 ZINC001211776101 739526453 /nfs/dbraw/zinc/52/64/53/739526453.db2.gz AZYGLMGPTMGVSR-HZPDHXFCSA-N 1 2 308.422 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2CCCCC2)[C@H](OC)C1 ZINC001211776101 739526456 /nfs/dbraw/zinc/52/64/56/739526456.db2.gz AZYGLMGPTMGVSR-HZPDHXFCSA-N 1 2 308.422 1.032 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncc(OC)cc2F)C1 ZINC001035393965 751464022 /nfs/dbraw/zinc/46/40/22/751464022.db2.gz GMJXCWKCLXGLOG-ZDUSSCGKSA-N 1 2 323.368 1.236 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncc(OC)cc2F)C1 ZINC001035393965 751464026 /nfs/dbraw/zinc/46/40/26/751464026.db2.gz GMJXCWKCLXGLOG-ZDUSSCGKSA-N 1 2 323.368 1.236 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)Cc3ccccc3)C2)nn1 ZINC001098685750 739761436 /nfs/dbraw/zinc/76/14/36/739761436.db2.gz PQWNAYKFZYURKF-KRWDZBQOSA-N 1 2 323.400 1.017 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H](Nc3cc[nH+]c(C)n3)C2)c1 ZINC001059060116 739811556 /nfs/dbraw/zinc/81/15/56/739811556.db2.gz PTCRZFJUVCIIRQ-HNNXBMFYSA-N 1 2 307.357 1.488 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@H](Nc2ncccc2C#N)C1 ZINC001059088240 739904286 /nfs/dbraw/zinc/90/42/86/739904286.db2.gz WBHRZBMDIHDJPO-OCCSQVGLSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@H](Nc2ncccc2C#N)C1 ZINC001059088240 739904288 /nfs/dbraw/zinc/90/42/88/739904288.db2.gz WBHRZBMDIHDJPO-OCCSQVGLSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccsc2COC)C1 ZINC001035416679 751497718 /nfs/dbraw/zinc/49/77/18/751497718.db2.gz MDEQWZNTTFEIHB-LBPRGKRZSA-N 1 2 310.419 1.511 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccsc2COC)C1 ZINC001035416679 751497721 /nfs/dbraw/zinc/49/77/21/751497721.db2.gz MDEQWZNTTFEIHB-LBPRGKRZSA-N 1 2 310.419 1.511 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)n1 ZINC001059104045 739966135 /nfs/dbraw/zinc/96/61/35/739966135.db2.gz XHNVLSOTZPOTTP-HNNXBMFYSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)n1 ZINC001059104045 739966139 /nfs/dbraw/zinc/96/61/39/739966139.db2.gz XHNVLSOTZPOTTP-HNNXBMFYSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)[C@H](C)n3cncn3)[C@@H]2C1 ZINC001075705490 740053678 /nfs/dbraw/zinc/05/36/78/740053678.db2.gz OBPYOTNFBKVYOD-RWMBFGLXSA-N 1 2 309.801 1.124 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)[C@H](C)n3cncn3)[C@@H]2C1 ZINC001075705490 740053683 /nfs/dbraw/zinc/05/36/83/740053683.db2.gz OBPYOTNFBKVYOD-RWMBFGLXSA-N 1 2 309.801 1.124 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccoc2C2CC2)C1 ZINC001035486692 751539830 /nfs/dbraw/zinc/53/98/30/751539830.db2.gz FOGBOBHFEWSHSU-AWEZNQCLSA-N 1 2 302.374 1.611 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccoc2C2CC2)C1 ZINC001035486692 751539832 /nfs/dbraw/zinc/53/98/32/751539832.db2.gz FOGBOBHFEWSHSU-AWEZNQCLSA-N 1 2 302.374 1.611 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn(CC(C)C)c2)C1 ZINC001108304055 761884772 /nfs/dbraw/zinc/88/47/72/761884772.db2.gz BDQYMVWHYPSNCO-QGZVFWFLSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn(CC(C)C)c2)C1 ZINC001108304055 761884779 /nfs/dbraw/zinc/88/47/79/761884779.db2.gz BDQYMVWHYPSNCO-QGZVFWFLSA-N 1 2 320.437 1.546 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)o1 ZINC001075780108 740448492 /nfs/dbraw/zinc/44/84/92/740448492.db2.gz CPGKDINMQBVNBW-TUKIKUTGSA-N 1 2 314.389 1.385 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)o1 ZINC001075780108 740448496 /nfs/dbraw/zinc/44/84/96/740448496.db2.gz CPGKDINMQBVNBW-TUKIKUTGSA-N 1 2 314.389 1.385 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#Cc1ccccc1 ZINC001029245352 740486373 /nfs/dbraw/zinc/48/63/73/740486373.db2.gz UUQTVXOXXYDHLV-RYQLBKOJSA-N 1 2 307.397 1.873 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#Cc1ccccc1 ZINC001029245352 740486375 /nfs/dbraw/zinc/48/63/75/740486375.db2.gz UUQTVXOXXYDHLV-RYQLBKOJSA-N 1 2 307.397 1.873 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@H](Nc3cc[nH+]c(C)n3)C2)cn1 ZINC001059265166 740514109 /nfs/dbraw/zinc/51/41/09/740514109.db2.gz MTENDJYPSLTEDK-SHTZXODSSA-N 1 2 307.357 1.534 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1C[C@@H](Nc2nc(C)ccc2C#N)C1 ZINC001059272385 740529273 /nfs/dbraw/zinc/52/92/73/740529273.db2.gz VVILZJFSBQZVHH-HDJSIYSDSA-N 1 2 324.388 1.595 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001059313647 740606790 /nfs/dbraw/zinc/60/67/90/740606790.db2.gz DFAADISKFSODID-ZDUSSCGKSA-N 1 2 304.394 1.273 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3nonc3c2)C1 ZINC001035493732 751576214 /nfs/dbraw/zinc/57/62/14/751576214.db2.gz NRZHGWATOISRCH-ZDUSSCGKSA-N 1 2 316.361 1.230 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc3nonc3c2)C1 ZINC001035493732 751576219 /nfs/dbraw/zinc/57/62/19/751576219.db2.gz NRZHGWATOISRCH-ZDUSSCGKSA-N 1 2 316.361 1.230 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2sc(CC)nc2C)C1 ZINC001035547021 751591785 /nfs/dbraw/zinc/59/17/85/751591785.db2.gz KODOICCMBQRNDJ-CYBMUJFWSA-N 1 2 321.446 1.468 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2sc(CC)nc2C)C1 ZINC001035547021 751591790 /nfs/dbraw/zinc/59/17/90/751591790.db2.gz KODOICCMBQRNDJ-CYBMUJFWSA-N 1 2 321.446 1.468 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098715857 740971213 /nfs/dbraw/zinc/97/12/13/740971213.db2.gz KRPOTXYJEHHSBC-GOEBONIOSA-N 1 2 323.416 1.713 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2csc3cncn32)C1 ZINC001035526686 751605425 /nfs/dbraw/zinc/60/54/25/751605425.db2.gz MBSYUTYQNSPWTL-LBPRGKRZSA-N 1 2 320.418 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2csc3cncn32)C1 ZINC001035526686 751605430 /nfs/dbraw/zinc/60/54/30/751605430.db2.gz MBSYUTYQNSPWTL-LBPRGKRZSA-N 1 2 320.418 1.403 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3c2OCC3)C1 ZINC001035526819 751605519 /nfs/dbraw/zinc/60/55/19/751605519.db2.gz JVGRQVJAPZZWNB-CQSZACIVSA-N 1 2 302.374 1.238 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3c2OCC3)C1 ZINC001035526819 751605525 /nfs/dbraw/zinc/60/55/25/751605525.db2.gz JVGRQVJAPZZWNB-CQSZACIVSA-N 1 2 302.374 1.238 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3sccc3[nH]2)C1 ZINC001035567471 751620792 /nfs/dbraw/zinc/62/07/92/751620792.db2.gz QBFCRJFIRIGOCV-NSHDSACASA-N 1 2 305.403 1.846 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc3sccc3[nH]2)C1 ZINC001035567471 751620799 /nfs/dbraw/zinc/62/07/99/751620799.db2.gz QBFCRJFIRIGOCV-NSHDSACASA-N 1 2 305.403 1.846 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@]2(C1)CCC[N@H+](Cc1cnon1)C2 ZINC001040125398 741374052 /nfs/dbraw/zinc/37/40/52/741374052.db2.gz DXRCLFGGSMLXAW-IUODEOHRSA-N 1 2 303.366 1.044 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@]2(C1)CCC[N@@H+](Cc1cnon1)C2 ZINC001040125398 741374056 /nfs/dbraw/zinc/37/40/56/741374056.db2.gz DXRCLFGGSMLXAW-IUODEOHRSA-N 1 2 303.366 1.044 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H](C)C(C)C)C2)nn1 ZINC001098740508 741554407 /nfs/dbraw/zinc/55/44/07/741554407.db2.gz RAKPBKNSDWMPFY-ZBFHGGJFSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]c3cccnc23)C1 ZINC001035576798 751664579 /nfs/dbraw/zinc/66/45/79/751664579.db2.gz KYAGKIZDCRNJOG-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c[nH]c3cccnc23)C1 ZINC001035576798 751664583 /nfs/dbraw/zinc/66/45/83/751664583.db2.gz KYAGKIZDCRNJOG-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)C2CC3(C2)CCOCC3)C1 ZINC001035578056 751666314 /nfs/dbraw/zinc/66/63/14/751666314.db2.gz SZZIVDULSODWJX-MRXNPFEDSA-N 1 2 322.449 1.586 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)C2CC3(C2)CCOCC3)C1 ZINC001035578056 751666318 /nfs/dbraw/zinc/66/63/18/751666318.db2.gz SZZIVDULSODWJX-MRXNPFEDSA-N 1 2 322.449 1.586 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(OCC)n[nH]3)[C@@H]2C1 ZINC001075929542 742023075 /nfs/dbraw/zinc/02/30/75/742023075.db2.gz WSTJESAZQWHUKH-WCQYABFASA-N 1 2 324.812 1.707 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(OCC)n[nH]3)[C@@H]2C1 ZINC001075929542 742023079 /nfs/dbraw/zinc/02/30/79/742023079.db2.gz WSTJESAZQWHUKH-WCQYABFASA-N 1 2 324.812 1.707 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C(C)=C\C)C2)nn1 ZINC001098649261 742065223 /nfs/dbraw/zinc/06/52/23/742065223.db2.gz NMLDKCDYGOFNNC-XNISGLLXSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2COc3ccccc32)C1 ZINC001035625875 751697411 /nfs/dbraw/zinc/69/74/11/751697411.db2.gz YPPYRXKIAMYBLG-UKRRQHHQSA-N 1 2 302.374 1.166 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2COc3ccccc32)C1 ZINC001035625875 751697413 /nfs/dbraw/zinc/69/74/13/751697413.db2.gz YPPYRXKIAMYBLG-UKRRQHHQSA-N 1 2 302.374 1.166 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3[nH]c(=O)oc32)C1 ZINC001035628975 751700075 /nfs/dbraw/zinc/70/00/75/751700075.db2.gz BZVVUUYWZVQJSQ-NSHDSACASA-N 1 2 317.345 1.150 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3[nH]c(=O)oc32)C1 ZINC001035628975 751700077 /nfs/dbraw/zinc/70/00/77/751700077.db2.gz BZVVUUYWZVQJSQ-NSHDSACASA-N 1 2 317.345 1.150 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3CC(C)C3)C2)nn1 ZINC001098651722 742226144 /nfs/dbraw/zinc/22/61/44/742226144.db2.gz DBUFUXSAMLSTCH-XUJLQICISA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(C3CCC3)cnn2C)C1 ZINC001042753486 742286928 /nfs/dbraw/zinc/28/69/28/742286928.db2.gz PTZZEAJHSOFZHN-UHFFFAOYSA-N 1 2 300.406 1.467 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC1CC1)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001076431253 742728604 /nfs/dbraw/zinc/72/86/04/742728604.db2.gz AQPVCVLMYVOKNW-KBPBESRZSA-N 1 2 316.405 1.259 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001076755892 742971061 /nfs/dbraw/zinc/97/10/61/742971061.db2.gz WQSGLBCHRLFNKE-HUUCEWRRSA-N 1 2 305.353 1.015 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001076755892 742971066 /nfs/dbraw/zinc/97/10/66/742971066.db2.gz WQSGLBCHRLFNKE-HUUCEWRRSA-N 1 2 305.353 1.015 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)[C@H]3C[C@@H]3C)CC2)C1 ZINC001105685119 743133071 /nfs/dbraw/zinc/13/30/71/743133071.db2.gz UCXMYGKYJUNPFQ-YOEHRIQHSA-N 1 2 322.449 1.537 20 30 DDEDLO C#CC[NH+]1CC2(C1)CCN(C(=O)c1cccc3nn[nH]c31)CC2 ZINC001035715290 751801994 /nfs/dbraw/zinc/80/19/94/751801994.db2.gz VOVYQHBAVKFNKO-UHFFFAOYSA-N 1 2 309.373 1.129 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)CC(C)(C)C ZINC001121509096 782541872 /nfs/dbraw/zinc/54/18/72/782541872.db2.gz BPABZZFOOCKHAW-CHWSQXEVSA-N 1 2 307.442 1.684 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)CC(C)(C)C ZINC001121509096 782541878 /nfs/dbraw/zinc/54/18/78/782541878.db2.gz BPABZZFOOCKHAW-CHWSQXEVSA-N 1 2 307.442 1.684 20 30 DDEDLO C#CCC[NH2+]C[C@]1(C)CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001181564020 743263391 /nfs/dbraw/zinc/26/33/91/743263391.db2.gz IQMPEKOFWQNVLJ-NQBHXWOUSA-N 1 2 308.319 1.984 20 30 DDEDLO C#CCC[NH2+]C[C@]1(C)CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1C ZINC001181564020 743263398 /nfs/dbraw/zinc/26/33/98/743263398.db2.gz IQMPEKOFWQNVLJ-NQBHXWOUSA-N 1 2 308.319 1.984 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nnc(CC)o2)C1 ZINC001181617386 743274462 /nfs/dbraw/zinc/27/44/62/743274462.db2.gz BGNGBGLDAIIEBV-NWDGAFQWSA-N 1 2 308.382 1.086 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C=C(\C)CC)C2)nn1 ZINC001098668896 743335827 /nfs/dbraw/zinc/33/58/27/743335827.db2.gz BRYONPQBAICIEF-BMQCOBNYSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(=O)Nc2ccccc2)C1 ZINC001108048686 743338423 /nfs/dbraw/zinc/33/84/23/743338423.db2.gz AXRYYVYAVCUPLA-KRWDZBQOSA-N 1 2 317.389 1.018 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C(=O)Nc2ccccc2)C1 ZINC001108048686 743338427 /nfs/dbraw/zinc/33/84/27/743338427.db2.gz AXRYYVYAVCUPLA-KRWDZBQOSA-N 1 2 317.389 1.018 20 30 DDEDLO C[C@@H](CCNC(=O)c1cc2c[nH+]ccc2[nH]1)NC(=O)C#CC1CC1 ZINC001077247568 743349398 /nfs/dbraw/zinc/34/93/98/743349398.db2.gz QSXAWXZHIVTMOC-LBPRGKRZSA-N 1 2 324.384 1.601 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cn[nH]c(=O)c1)CC2 ZINC001035730173 751822228 /nfs/dbraw/zinc/82/22/28/751822228.db2.gz JKZAAEDSGFMARP-UHFFFAOYSA-N 1 2 322.796 1.473 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2[nH]nc(C3CC3)c2Cl)[C@@H](O)C1 ZINC001083604014 743525871 /nfs/dbraw/zinc/52/58/71/743525871.db2.gz ZPGBNZMNVSOQNJ-MNOVXSKESA-N 1 2 324.812 1.292 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2[nH]nc(C3CC3)c2Cl)[C@@H](O)C1 ZINC001083604014 743525878 /nfs/dbraw/zinc/52/58/78/743525878.db2.gz ZPGBNZMNVSOQNJ-MNOVXSKESA-N 1 2 324.812 1.292 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccsc1)C2 ZINC001110269098 743547181 /nfs/dbraw/zinc/54/71/81/743547181.db2.gz SDAHVEZWBUJSEM-MCIONIFRSA-N 1 2 319.430 1.385 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccsc1)C2 ZINC001110269098 743547187 /nfs/dbraw/zinc/54/71/87/743547187.db2.gz SDAHVEZWBUJSEM-MCIONIFRSA-N 1 2 319.430 1.385 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)CCn2cc[nH+]c2)CC1 ZINC001110289998 743619410 /nfs/dbraw/zinc/61/94/10/743619410.db2.gz JORZQCKXBNTNEK-UHFFFAOYSA-N 1 2 310.361 1.301 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001098253233 743626784 /nfs/dbraw/zinc/62/67/84/743626784.db2.gz YTIYAJHUOXRQNJ-LLVKDONJSA-N 1 2 318.425 1.519 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(o2)c(F)ccc3C)C1 ZINC001077435382 743649684 /nfs/dbraw/zinc/64/96/84/743649684.db2.gz KVSJFFPXSNJMEX-ZIAGYGMSSA-N 1 2 316.332 1.288 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3c(o2)c(F)ccc3C)C1 ZINC001077435382 743649687 /nfs/dbraw/zinc/64/96/87/743649687.db2.gz KVSJFFPXSNJMEX-ZIAGYGMSSA-N 1 2 316.332 1.288 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001127052463 743677599 /nfs/dbraw/zinc/67/75/99/743677599.db2.gz YBNKCSFDLBWFBF-NEPJUHHUSA-N 1 2 322.409 1.172 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cnc3ccccc3c2C)[C@@H](O)C1 ZINC001083651157 743681166 /nfs/dbraw/zinc/68/11/66/743681166.db2.gz FPZREMXXXXMNAF-MSOLQXFVSA-N 1 2 323.396 1.341 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cnc3ccccc3c2C)[C@@H](O)C1 ZINC001083651157 743681169 /nfs/dbraw/zinc/68/11/69/743681169.db2.gz FPZREMXXXXMNAF-MSOLQXFVSA-N 1 2 323.396 1.341 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001060257578 743743330 /nfs/dbraw/zinc/74/33/30/743743330.db2.gz KWKOHQJWFVCAKV-OAHLLOKOSA-N 1 2 324.388 1.643 20 30 DDEDLO C=CC[C@@](C)(NC(=O)[C@H]1CC[N@@H+]1Cc1ccccc1)C(=O)OC ZINC001183755233 743907948 /nfs/dbraw/zinc/90/79/48/743907948.db2.gz FMAMYLXHEAYBJU-CRAIPNDOSA-N 1 2 316.401 1.885 20 30 DDEDLO C=CC[C@@](C)(NC(=O)[C@H]1CC[N@H+]1Cc1ccccc1)C(=O)OC ZINC001183755233 743907954 /nfs/dbraw/zinc/90/79/54/743907954.db2.gz FMAMYLXHEAYBJU-CRAIPNDOSA-N 1 2 316.401 1.885 20 30 DDEDLO CC1(C)CO[C@H](C[NH+]2CC(NC(=O)c3cc(C#N)c[nH]3)C2)C1 ZINC001030195081 743927176 /nfs/dbraw/zinc/92/71/76/743927176.db2.gz VZYMSAJZLUTERI-ZDUSSCGKSA-N 1 2 302.378 1.115 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2COCC[C@@H]2c2ccccc2)C1 ZINC001030329399 744053703 /nfs/dbraw/zinc/05/37/03/744053703.db2.gz YROSMECOMXXCIH-QZTJIDSGSA-N 1 2 312.413 1.630 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc(C)on3)n2CC=C)CC1 ZINC001121519043 782546017 /nfs/dbraw/zinc/54/60/17/782546017.db2.gz DWTDKYFRASJMKC-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]([NH2+]Cc3nc(C)no3)C2)CC1 ZINC001185439680 744223501 /nfs/dbraw/zinc/22/35/01/744223501.db2.gz VJRKGNUPBRAPRK-CYBMUJFWSA-N 1 2 304.394 1.815 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2COC[C@@H]2c2ccccc2)C1 ZINC001030559054 744264807 /nfs/dbraw/zinc/26/48/07/744264807.db2.gz VHDFNJOILLAOQG-SJORKVTESA-N 1 2 300.402 1.793 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CC(=O)N[C@@H](CC(C)C)C2)C1 ZINC001030585818 744292370 /nfs/dbraw/zinc/29/23/70/744292370.db2.gz KBYVJMRHYFSCJM-KBPBESRZSA-N 1 2 307.438 1.304 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2snnc2C(C)C)[C@H]1C ZINC001088935931 744384262 /nfs/dbraw/zinc/38/42/62/744384262.db2.gz HRIORQJGEBVISJ-NEPJUHHUSA-N 1 2 306.435 1.877 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2snnc2C(C)C)[C@H]1C ZINC001088935931 744384265 /nfs/dbraw/zinc/38/42/65/744384265.db2.gz HRIORQJGEBVISJ-NEPJUHHUSA-N 1 2 306.435 1.877 20 30 DDEDLO CC(C)[C@@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001110380450 744387241 /nfs/dbraw/zinc/38/72/41/744387241.db2.gz VUYOYERYBRPGIV-YJNKXOJESA-N 1 2 320.437 1.030 20 30 DDEDLO CC(C)[C@@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001110380450 744387248 /nfs/dbraw/zinc/38/72/48/744387248.db2.gz VUYOYERYBRPGIV-YJNKXOJESA-N 1 2 320.437 1.030 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H]2CCN(C(=O)c3ccc(C)o3)[C@@H]2C1 ZINC001186750035 744442150 /nfs/dbraw/zinc/44/21/50/744442150.db2.gz TUGUTZRAVGRQON-UONOGXRCSA-N 1 2 317.389 1.037 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccc(C)o3)[C@@H]2C1 ZINC001186750035 744442152 /nfs/dbraw/zinc/44/21/52/744442152.db2.gz TUGUTZRAVGRQON-UONOGXRCSA-N 1 2 317.389 1.037 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2ccc(P(C)(C)=O)cc2)[C@H]1C ZINC001089055137 744476737 /nfs/dbraw/zinc/47/67/37/744476737.db2.gz XDIGOOHJPAYBPT-CJNGLKHVSA-N 1 2 318.357 1.760 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc(P(C)(C)=O)cc2)[C@H]1C ZINC001089055137 744476739 /nfs/dbraw/zinc/47/67/39/744476739.db2.gz XDIGOOHJPAYBPT-CJNGLKHVSA-N 1 2 318.357 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCn3nccc32)C1 ZINC001014986679 744530731 /nfs/dbraw/zinc/53/07/31/744530731.db2.gz HHEOMDLBEYIASY-OLZOCXBDSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCn3nccc32)C1 ZINC001014986679 744530732 /nfs/dbraw/zinc/53/07/32/744530732.db2.gz HHEOMDLBEYIASY-OLZOCXBDSA-N 1 2 308.813 1.704 20 30 DDEDLO COc1ncccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C#N)C2 ZINC001110394840 744575224 /nfs/dbraw/zinc/57/52/24/744575224.db2.gz NMXPMXFHOSTRIQ-NGFQHRJXSA-N 1 2 314.389 1.471 20 30 DDEDLO COc1ncccc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C#N)C2 ZINC001110394840 744575227 /nfs/dbraw/zinc/57/52/27/744575227.db2.gz NMXPMXFHOSTRIQ-NGFQHRJXSA-N 1 2 314.389 1.471 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001187569234 744582739 /nfs/dbraw/zinc/58/27/39/744582739.db2.gz BYUJWIXDCYWPMZ-LSDHHAIUSA-N 1 2 316.405 1.604 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001187569234 744582743 /nfs/dbraw/zinc/58/27/43/744582743.db2.gz BYUJWIXDCYWPMZ-LSDHHAIUSA-N 1 2 316.405 1.604 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001187729673 744597909 /nfs/dbraw/zinc/59/79/09/744597909.db2.gz RJVORORYCSWGRD-UONOGXRCSA-N 1 2 303.431 1.979 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001187729673 744597910 /nfs/dbraw/zinc/59/79/10/744597910.db2.gz RJVORORYCSWGRD-UONOGXRCSA-N 1 2 303.431 1.979 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CC[C@H]2CN(CC#N)C[C@H]21)n1cc[nH+]c1 ZINC001188286576 744687028 /nfs/dbraw/zinc/68/70/28/744687028.db2.gz WOGPZWHXTSSAKI-ARFHVFGLSA-N 1 2 315.421 1.527 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nocc2C)C1 ZINC001189250270 744853706 /nfs/dbraw/zinc/85/37/06/744853706.db2.gz GDAOYMSAIPKVNP-CABCVRRESA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001189250270 744853707 /nfs/dbraw/zinc/85/37/07/744853707.db2.gz GDAOYMSAIPKVNP-CABCVRRESA-N 1 2 321.421 1.997 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CCC[N@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001006946061 751952589 /nfs/dbraw/zinc/95/25/89/751952589.db2.gz MXDFXOJLFURJQN-MRXNPFEDSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001006946061 751952593 /nfs/dbraw/zinc/95/25/93/751952593.db2.gz MXDFXOJLFURJQN-MRXNPFEDSA-N 1 2 323.400 1.984 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@H]12 ZINC000992469818 744898884 /nfs/dbraw/zinc/89/88/84/744898884.db2.gz ZETIFAAYERMQEM-RDJZCZTQSA-N 1 2 309.373 1.318 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@H]12 ZINC000992469818 744898885 /nfs/dbraw/zinc/89/88/85/744898885.db2.gz ZETIFAAYERMQEM-RDJZCZTQSA-N 1 2 309.373 1.318 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1C[NH+](C[C@H]2CC(C)(C)CO2)C1 ZINC001030978761 745010566 /nfs/dbraw/zinc/01/05/66/745010566.db2.gz SLEQLGZFWFHLLH-KFWWJZLASA-N 1 2 308.422 1.193 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001189918347 745034653 /nfs/dbraw/zinc/03/46/53/745034653.db2.gz PLHHNKPETOALGJ-HOCLYGCPSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001189918347 745034660 /nfs/dbraw/zinc/03/46/60/745034660.db2.gz PLHHNKPETOALGJ-HOCLYGCPSA-N 1 2 307.394 1.343 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)s2)C1 ZINC001190104501 745101345 /nfs/dbraw/zinc/10/13/45/745101345.db2.gz GDQPRHPKJYQQCO-KBPBESRZSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)s2)C1 ZINC001190104501 745101349 /nfs/dbraw/zinc/10/13/49/745101349.db2.gz GDQPRHPKJYQQCO-KBPBESRZSA-N 1 2 321.446 1.523 20 30 DDEDLO C=CCOCC[N@@H+](C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190268861 745168569 /nfs/dbraw/zinc/16/85/69/745168569.db2.gz DLWDWIVGVKFAQY-QWRGUYRKSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@H+](C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190268861 745168573 /nfs/dbraw/zinc/16/85/73/745168573.db2.gz DLWDWIVGVKFAQY-QWRGUYRKSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@@H+](C)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001190268861 745168575 /nfs/dbraw/zinc/16/85/75/745168575.db2.gz DLWDWIVGVKFAQY-QWRGUYRKSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@H+](C)[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001190268861 745168577 /nfs/dbraw/zinc/16/85/77/745168577.db2.gz DLWDWIVGVKFAQY-QWRGUYRKSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001190397577 745199987 /nfs/dbraw/zinc/19/99/87/745199987.db2.gz LOZQVRKKPPBEOH-CYBMUJFWSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001190397577 745199989 /nfs/dbraw/zinc/19/99/89/745199989.db2.gz LOZQVRKKPPBEOH-CYBMUJFWSA-N 1 2 305.426 1.450 20 30 DDEDLO CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001191067678 745416465 /nfs/dbraw/zinc/41/64/65/745416465.db2.gz ARRPMFYRPSXADH-HZPDHXFCSA-N 1 2 315.417 1.656 20 30 DDEDLO CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001191067678 745416467 /nfs/dbraw/zinc/41/64/67/745416467.db2.gz ARRPMFYRPSXADH-HZPDHXFCSA-N 1 2 315.417 1.656 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001191714953 745581656 /nfs/dbraw/zinc/58/16/56/745581656.db2.gz NUFPDIGHIASHHQ-HZPDHXFCSA-N 1 2 317.364 1.325 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001191714953 745581659 /nfs/dbraw/zinc/58/16/59/745581659.db2.gz NUFPDIGHIASHHQ-HZPDHXFCSA-N 1 2 317.364 1.325 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001192119946 745695593 /nfs/dbraw/zinc/69/55/93/745695593.db2.gz RPZSCLXBMWENAK-DGZVSSRSSA-N 1 2 317.364 1.325 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001192119946 745695596 /nfs/dbraw/zinc/69/55/96/745695596.db2.gz RPZSCLXBMWENAK-DGZVSSRSSA-N 1 2 317.364 1.325 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)cc2)C[C@H]1O ZINC001192722471 745880827 /nfs/dbraw/zinc/88/08/27/745880827.db2.gz NVXGAGNFDUBFAY-HZPDHXFCSA-N 1 2 304.365 1.146 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)cc2)C[C@H]1O ZINC001192722471 745880831 /nfs/dbraw/zinc/88/08/31/745880831.db2.gz NVXGAGNFDUBFAY-HZPDHXFCSA-N 1 2 304.365 1.146 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C2CN(C(C)=O)C2)[C@H]1C ZINC000993246717 745928469 /nfs/dbraw/zinc/92/84/69/745928469.db2.gz JFEKFBCILBKFKG-RISCZKNCSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C2CN(C(C)=O)C2)[C@H]1C ZINC000993246717 745928471 /nfs/dbraw/zinc/92/84/71/745928471.db2.gz JFEKFBCILBKFKG-RISCZKNCSA-N 1 2 313.829 1.186 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)Nc1ccc(OC2CC[NH+](C)CC2)cn1 ZINC001193111438 745982417 /nfs/dbraw/zinc/98/24/17/745982417.db2.gz HAKCTOXQVKKYIZ-NSHDSACASA-N 1 2 324.406 1.208 20 30 DDEDLO CCN(CCNc1ncccc1C#N)C(=O)Cc1c[nH+]cn1C ZINC001106803409 746123143 /nfs/dbraw/zinc/12/31/43/746123143.db2.gz ZZJZAZZZXSFFJU-UHFFFAOYSA-N 1 2 312.377 1.190 20 30 DDEDLO COc1ccc(C#N)c(S(=O)(=O)N2CC[N@H+](C)C(C)(C)C2)c1 ZINC001193671905 746172596 /nfs/dbraw/zinc/17/25/96/746172596.db2.gz OTBGYWYAQXVAPR-UHFFFAOYSA-N 1 2 323.418 1.282 20 30 DDEDLO COc1ccc(C#N)c(S(=O)(=O)N2CC[N@@H+](C)C(C)(C)C2)c1 ZINC001193671905 746172601 /nfs/dbraw/zinc/17/26/01/746172601.db2.gz OTBGYWYAQXVAPR-UHFFFAOYSA-N 1 2 323.418 1.282 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cnc[nH]c2=O)[C@H]1C ZINC000993465640 746174408 /nfs/dbraw/zinc/17/44/08/746174408.db2.gz HWPJMSLDBBOICL-ZYHUDNBSSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cnc[nH]c2=O)[C@H]1C ZINC000993465640 746174411 /nfs/dbraw/zinc/17/44/11/746174411.db2.gz HWPJMSLDBBOICL-ZYHUDNBSSA-N 1 2 310.785 1.517 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001194411182 746370561 /nfs/dbraw/zinc/37/05/61/746370561.db2.gz YCYXBGQYXLBDPH-QWHCGFSZSA-N 1 2 323.393 1.307 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001194411182 746370567 /nfs/dbraw/zinc/37/05/67/746370567.db2.gz YCYXBGQYXLBDPH-QWHCGFSZSA-N 1 2 323.393 1.307 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CCCN(C(=O)CSCC#N)CC1 ZINC001194918786 746486267 /nfs/dbraw/zinc/48/62/67/746486267.db2.gz AEGXUIIZKNPSCG-ZDUSSCGKSA-N 1 2 319.434 1.329 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CCCN(C(=O)CSCC#N)CC1 ZINC001194918786 746486274 /nfs/dbraw/zinc/48/62/74/746486274.db2.gz AEGXUIIZKNPSCG-ZDUSSCGKSA-N 1 2 319.434 1.329 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](Cc2ccc(OC)nn2)CC1 ZINC001195191220 746540993 /nfs/dbraw/zinc/54/09/93/746540993.db2.gz DXBZHMAIDQMHKO-UHFFFAOYSA-N 1 2 304.394 1.486 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](Cc2ccc(OC)nn2)CC1 ZINC001195191220 746540997 /nfs/dbraw/zinc/54/09/97/746540997.db2.gz DXBZHMAIDQMHKO-UHFFFAOYSA-N 1 2 304.394 1.486 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@]2(CCN(c3cc[nH+]c(C)n3)C2)C1 ZINC001110470449 746615239 /nfs/dbraw/zinc/61/52/39/746615239.db2.gz MQOZNJUPXXEAFA-QGZVFWFLSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195554068 746630161 /nfs/dbraw/zinc/63/01/61/746630161.db2.gz AYCKBKYYWHBPPH-NWANDNLSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195554068 746630164 /nfs/dbraw/zinc/63/01/64/746630164.db2.gz AYCKBKYYWHBPPH-NWANDNLSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+](Cc2nc(C)oc2C)CC1 ZINC001195681168 746669788 /nfs/dbraw/zinc/66/97/88/746669788.db2.gz AEGFEISOZSTBTO-QGZVFWFLSA-N 1 2 321.421 1.653 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+](Cc2nc(C)oc2C)CC1 ZINC001195681168 746669792 /nfs/dbraw/zinc/66/97/92/746669792.db2.gz AEGFEISOZSTBTO-QGZVFWFLSA-N 1 2 321.421 1.653 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(C(N)=O)cs2)[C@H]1C ZINC000994471850 746678347 /nfs/dbraw/zinc/67/83/47/746678347.db2.gz BMIDHMGGRVQBTK-ZYHUDNBSSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(C(N)=O)cs2)[C@H]1C ZINC000994471850 746678350 /nfs/dbraw/zinc/67/83/50/746678350.db2.gz BMIDHMGGRVQBTK-ZYHUDNBSSA-N 1 2 305.403 1.063 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC001007374395 752114963 /nfs/dbraw/zinc/11/49/63/752114963.db2.gz VHFHNNXXRCQHPP-AWEZNQCLSA-N 1 2 310.829 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC001007374395 752114967 /nfs/dbraw/zinc/11/49/67/752114967.db2.gz VHFHNNXXRCQHPP-AWEZNQCLSA-N 1 2 310.829 1.779 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1coc(C)c1)C2 ZINC001110479096 746838176 /nfs/dbraw/zinc/83/81/76/746838176.db2.gz XSCKCZVLXOKUKD-KFWWJZLASA-N 1 2 317.389 1.225 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1coc(C)c1)C2 ZINC001110479096 746838178 /nfs/dbraw/zinc/83/81/78/746838178.db2.gz XSCKCZVLXOKUKD-KFWWJZLASA-N 1 2 317.389 1.225 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1O ZINC001196468940 746868633 /nfs/dbraw/zinc/86/86/33/746868633.db2.gz MFXWGAWEOPKGJJ-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1O ZINC001196468940 746868637 /nfs/dbraw/zinc/86/86/37/746868637.db2.gz MFXWGAWEOPKGJJ-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1cccnc1 ZINC001031512462 746926015 /nfs/dbraw/zinc/92/60/15/746926015.db2.gz NSSOJSZCGCHBJG-UHFFFAOYSA-N 1 2 305.381 1.795 20 30 DDEDLO N#Cc1ccc(Cl)cc1S(=O)(=O)N1CC[N@@H+]2CC[C@@H]2C1 ZINC001196676750 746932793 /nfs/dbraw/zinc/93/27/93/746932793.db2.gz VVUPSZYGTVZGFN-GFCCVEGCSA-N 1 2 311.794 1.290 20 30 DDEDLO N#Cc1ccc(Cl)cc1S(=O)(=O)N1CC[N@H+]2CC[C@@H]2C1 ZINC001196676750 746932794 /nfs/dbraw/zinc/93/27/94/746932794.db2.gz VVUPSZYGTVZGFN-GFCCVEGCSA-N 1 2 311.794 1.290 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC001196853075 746990720 /nfs/dbraw/zinc/99/07/20/746990720.db2.gz GRKBINYTJQDSTR-CABCVRRESA-N 1 2 309.454 1.504 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC001196853075 746990722 /nfs/dbraw/zinc/99/07/22/746990722.db2.gz GRKBINYTJQDSTR-CABCVRRESA-N 1 2 309.454 1.504 20 30 DDEDLO Cc1c(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)cnn1C ZINC001031549955 747008006 /nfs/dbraw/zinc/00/80/06/747008006.db2.gz DIZQAWCOGIUNJX-UHFFFAOYSA-N 1 2 323.400 1.462 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](Cc2cnnn2CC)CC1 ZINC001196984848 747024419 /nfs/dbraw/zinc/02/44/19/747024419.db2.gz SJTBRSPFQSPXBA-UHFFFAOYSA-N 1 2 305.426 1.689 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](Cc2cnnn2CC)CC1 ZINC001196984848 747024425 /nfs/dbraw/zinc/02/44/25/747024425.db2.gz SJTBRSPFQSPXBA-UHFFFAOYSA-N 1 2 305.426 1.689 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)c2ccco2)CC1 ZINC001197133954 747061617 /nfs/dbraw/zinc/06/16/17/747061617.db2.gz JFSQZTSLIAOCOK-CQSZACIVSA-N 1 2 319.405 1.220 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)c2ccco2)CC1 ZINC001197133954 747061625 /nfs/dbraw/zinc/06/16/25/747061625.db2.gz JFSQZTSLIAOCOK-CQSZACIVSA-N 1 2 319.405 1.220 20 30 DDEDLO CC#CC[NH+]1CCC(NC(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)CC1 ZINC001003338088 747138003 /nfs/dbraw/zinc/13/80/03/747138003.db2.gz AIEBAEKXGFEKGD-CXAGYDPISA-N 1 2 314.433 1.680 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C(C)(CC)CC)CC1 ZINC001198175203 747400082 /nfs/dbraw/zinc/40/00/82/747400082.db2.gz BCKGQRHWOMWWCN-HNNXBMFYSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C(C)(CC)CC)CC1 ZINC001198175203 747400086 /nfs/dbraw/zinc/40/00/86/747400086.db2.gz BCKGQRHWOMWWCN-HNNXBMFYSA-N 1 2 321.465 1.485 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2nccn2CC(F)(F)F)CC1 ZINC001198297425 747440635 /nfs/dbraw/zinc/44/06/35/747440635.db2.gz SSFJVQSSAPCSSV-UHFFFAOYSA-N 1 2 302.300 1.389 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]([NH2+]CCF)c1ccccc1OC ZINC001198723931 747579911 /nfs/dbraw/zinc/57/99/11/747579911.db2.gz MZJPNMUQKIUHKT-HNNXBMFYSA-N 1 2 322.380 1.452 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCOC2)C1 ZINC001032942477 747722715 /nfs/dbraw/zinc/72/27/15/747722715.db2.gz MYKBSPHIWLSQNQ-NWDGAFQWSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCOC2)C1 ZINC001032942477 747722717 /nfs/dbraw/zinc/72/27/17/747722717.db2.gz MYKBSPHIWLSQNQ-NWDGAFQWSA-N 1 2 317.227 1.464 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3coc(C)n3)CC2)cn1 ZINC001004002593 747902312 /nfs/dbraw/zinc/90/23/12/747902312.db2.gz RIOZVRRBVKFGHO-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](CCc3ccccn3)CC2)[nH]1 ZINC001199680099 747949691 /nfs/dbraw/zinc/94/96/91/747949691.db2.gz MJEZAIMBKHXXSV-UHFFFAOYSA-N 1 2 309.373 1.282 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2nc(C)c(C)nc2C)C1 ZINC001108063887 747973593 /nfs/dbraw/zinc/97/35/93/747973593.db2.gz XRQBWUSZYUUHKP-QGZVFWFLSA-N 1 2 318.421 1.409 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2nc(C)c(C)nc2C)C1 ZINC001108063887 747973594 /nfs/dbraw/zinc/97/35/94/747973594.db2.gz XRQBWUSZYUUHKP-QGZVFWFLSA-N 1 2 318.421 1.409 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@H](C[NH2+][C@H](C)c2nc(C)no2)C1 ZINC001200101582 748123566 /nfs/dbraw/zinc/12/35/66/748123566.db2.gz YTOCGFATAIPEST-TZMCWYRMSA-N 1 2 322.409 1.612 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001033088097 748232561 /nfs/dbraw/zinc/23/25/61/748232561.db2.gz ZQRNYWROFRMOMD-CQSZACIVSA-N 1 2 302.422 1.778 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001033088097 748232565 /nfs/dbraw/zinc/23/25/65/748232565.db2.gz ZQRNYWROFRMOMD-CQSZACIVSA-N 1 2 302.422 1.778 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077574368 748249173 /nfs/dbraw/zinc/24/91/73/748249173.db2.gz BHNFKTKQZHOCFF-GJZGRUSLSA-N 1 2 320.437 1.887 20 30 DDEDLO C=C1CCC(C(=O)NCC2C[NH+](Cc3cc(OC)no3)C2)CC1 ZINC001031951357 748284591 /nfs/dbraw/zinc/28/45/91/748284591.db2.gz FZRYDCKULZGGAC-UHFFFAOYSA-N 1 2 319.405 1.978 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC001004408539 748408722 /nfs/dbraw/zinc/40/87/22/748408722.db2.gz SJPUPNQOQLSSPO-MRXNPFEDSA-N 1 2 311.389 1.727 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC001004408539 748408726 /nfs/dbraw/zinc/40/87/26/748408726.db2.gz SJPUPNQOQLSSPO-MRXNPFEDSA-N 1 2 311.389 1.727 20 30 DDEDLO CC#CC[NH+]1CC(CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001032021225 748446666 /nfs/dbraw/zinc/44/66/66/748446666.db2.gz LJZGDFJEBJDXFF-UHFFFAOYSA-N 1 2 310.357 1.020 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccnc3ccnn32)C1 ZINC001033136003 748504656 /nfs/dbraw/zinc/50/46/56/748504656.db2.gz QGVOMYVFZHGJMD-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccnc3ccnn32)C1 ZINC001033136003 748504662 /nfs/dbraw/zinc/50/46/62/748504662.db2.gz QGVOMYVFZHGJMD-GFCCVEGCSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2cn(C)nc2C)C1 ZINC001033150027 748605263 /nfs/dbraw/zinc/60/52/63/748605263.db2.gz ZNLJFEIFWZGNCQ-AWEZNQCLSA-N 1 2 310.829 1.556 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2cn(C)nc2C)C1 ZINC001033150027 748605268 /nfs/dbraw/zinc/60/52/68/748605268.db2.gz ZNLJFEIFWZGNCQ-AWEZNQCLSA-N 1 2 310.829 1.556 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001108086688 748751144 /nfs/dbraw/zinc/75/11/44/748751144.db2.gz SVRUFIALVPFRBH-SOLBZPMBSA-N 1 2 320.433 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001108086688 748751147 /nfs/dbraw/zinc/75/11/47/748751147.db2.gz SVRUFIALVPFRBH-SOLBZPMBSA-N 1 2 320.433 1.032 20 30 DDEDLO C#CCN(C(=O)[C@@H](F)CC)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110627242 748841703 /nfs/dbraw/zinc/84/17/03/748841703.db2.gz PKPVWSXTJFCQOK-HNNXBMFYSA-N 1 2 318.396 1.964 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(C(C)C)no1 ZINC001153161043 748859449 /nfs/dbraw/zinc/85/94/49/748859449.db2.gz WPMMGINGDPPXHB-NEPJUHHUSA-N 1 2 310.398 1.551 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001033212252 748945553 /nfs/dbraw/zinc/94/55/53/748945553.db2.gz RUSIMEMENGRLOF-ZIAGYGMSSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001033212252 748945561 /nfs/dbraw/zinc/94/55/61/748945561.db2.gz RUSIMEMENGRLOF-ZIAGYGMSSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnon3)C[C@H]21 ZINC001114325782 749057098 /nfs/dbraw/zinc/05/70/98/749057098.db2.gz GIKFNTRUBUGYCR-FICVDOATSA-N 1 2 302.378 1.384 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnon3)C[C@H]21 ZINC001114325782 749057101 /nfs/dbraw/zinc/05/71/01/749057101.db2.gz GIKFNTRUBUGYCR-FICVDOATSA-N 1 2 302.378 1.384 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114329190 749061160 /nfs/dbraw/zinc/06/11/60/749061160.db2.gz VISCWWOALJPPAA-HALDLXJZSA-N 1 2 314.433 1.934 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114329190 749061164 /nfs/dbraw/zinc/06/11/64/749061164.db2.gz VISCWWOALJPPAA-HALDLXJZSA-N 1 2 314.433 1.934 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCN(c3cc[nH+]c(C)n3)C2)cn1 ZINC001061627717 749125664 /nfs/dbraw/zinc/12/56/64/749125664.db2.gz XOGFHAMBYPMIES-CQSZACIVSA-N 1 2 321.384 1.418 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114456744 749238859 /nfs/dbraw/zinc/23/88/59/749238859.db2.gz ASMZJLRNJHARPE-OCCSQVGLSA-N 1 2 318.421 1.707 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001108338815 761941292 /nfs/dbraw/zinc/94/12/92/761941292.db2.gz MURNBOQSVRBKLW-SFHVURJKSA-N 1 2 315.417 1.347 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001108338815 761941296 /nfs/dbraw/zinc/94/12/96/761941296.db2.gz MURNBOQSVRBKLW-SFHVURJKSA-N 1 2 315.417 1.347 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1 ZINC001039331059 761941484 /nfs/dbraw/zinc/94/14/84/761941484.db2.gz UBUBDKCNWQJRCS-KGLIPLIRSA-N 1 2 308.813 1.852 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1 ZINC001039331059 761941486 /nfs/dbraw/zinc/94/14/86/761941486.db2.gz UBUBDKCNWQJRCS-KGLIPLIRSA-N 1 2 308.813 1.852 20 30 DDEDLO C[C@@H]1C[NH+](Cc2cnnn2-c2ccccc2)C[C@@H](C)N1CC#N ZINC000878772945 749356753 /nfs/dbraw/zinc/35/67/53/749356753.db2.gz URSAKYCMMKWEAT-HUUCEWRRSA-N 1 2 310.405 1.685 20 30 DDEDLO C=CCCCC(=O)NCc1cn(C2C[NH+](CCOCC)C2)nn1 ZINC001107201831 749563079 /nfs/dbraw/zinc/56/30/79/749563079.db2.gz DYLIHNNUIPVCFO-UHFFFAOYSA-N 1 2 321.425 1.144 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc(N(C)C)nn2)C1 ZINC001033587272 749570667 /nfs/dbraw/zinc/57/06/67/749570667.db2.gz BCGAOOVUSJQJDI-GFCCVEGCSA-N 1 2 323.828 1.441 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(N(C)C)nn2)C1 ZINC001033587272 749570673 /nfs/dbraw/zinc/57/06/73/749570673.db2.gz BCGAOOVUSJQJDI-GFCCVEGCSA-N 1 2 323.828 1.441 20 30 DDEDLO Cc1nc(N2CCC(CNC(=O)[C@@H](C)C#N)CC2)c(C)c(C)[nH+]1 ZINC001095344545 749583008 /nfs/dbraw/zinc/58/30/08/749583008.db2.gz CLDMHJGPYYKOTL-NSHDSACASA-N 1 2 315.421 1.894 20 30 DDEDLO CC1(C)CN(C(=O)c2ccc(-n3cc[nH+]c3)cn2)C[C@@H]1NCC#N ZINC000996504342 749626217 /nfs/dbraw/zinc/62/62/17/749626217.db2.gz DBJSWRPEHKJFAV-HNNXBMFYSA-N 1 2 324.388 1.231 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001033629600 749631255 /nfs/dbraw/zinc/63/12/55/749631255.db2.gz PNCNJDATVXAEMJ-QWHCGFSZSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001033629600 749631258 /nfs/dbraw/zinc/63/12/58/749631258.db2.gz PNCNJDATVXAEMJ-QWHCGFSZSA-N 1 2 313.829 1.283 20 30 DDEDLO N#Cc1cnccc1N1CCC(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001095382885 749684015 /nfs/dbraw/zinc/68/40/15/749684015.db2.gz CLTZQEIFZBQMDF-UHFFFAOYSA-N 1 2 324.388 1.252 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001039378430 761978302 /nfs/dbraw/zinc/97/83/02/761978302.db2.gz TTXACTDWWPEIKY-GRYCIOLGSA-N 1 2 306.303 1.975 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001039378430 761978306 /nfs/dbraw/zinc/97/83/06/761978306.db2.gz TTXACTDWWPEIKY-GRYCIOLGSA-N 1 2 306.303 1.975 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nn[nH]c21 ZINC001039380223 761979663 /nfs/dbraw/zinc/97/96/63/761979663.db2.gz SIQNNOFFKHYSSC-OLZOCXBDSA-N 1 2 309.373 1.270 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nn[nH]c21 ZINC001039380223 761979672 /nfs/dbraw/zinc/97/96/72/761979672.db2.gz SIQNNOFFKHYSSC-OLZOCXBDSA-N 1 2 309.373 1.270 20 30 DDEDLO N#Cc1ccc(NC/C=C/CNC(=O)CCn2cc[nH+]c2)nc1 ZINC001107445113 749966735 /nfs/dbraw/zinc/96/67/35/749966735.db2.gz FBMULVFTKGQTJV-OWOJBTEDSA-N 1 2 310.361 1.324 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC2CCOCC2)[C@@H](O)C1 ZINC001090150943 750098214 /nfs/dbraw/zinc/09/82/14/750098214.db2.gz PRQPVJLTOFDXGS-KGLIPLIRSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC2CCOCC2)[C@@H](O)C1 ZINC001090150943 750098221 /nfs/dbraw/zinc/09/82/21/750098221.db2.gz PRQPVJLTOFDXGS-KGLIPLIRSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC2CCOCC2)[C@H](O)C1 ZINC001090150945 750099544 /nfs/dbraw/zinc/09/95/44/750099544.db2.gz PRQPVJLTOFDXGS-UONOGXRCSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC2CCOCC2)[C@H](O)C1 ZINC001090150945 750099550 /nfs/dbraw/zinc/09/95/50/750099550.db2.gz PRQPVJLTOFDXGS-UONOGXRCSA-N 1 2 316.829 1.107 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCC=CCNc1ccc(C#N)nc1 ZINC001107549119 750122343 /nfs/dbraw/zinc/12/23/43/750122343.db2.gz GVCJTOSGBWWWDC-YWVDXFKGSA-N 1 2 324.388 1.639 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCC=CCNc1ccc(C#N)nc1 ZINC001107549119 750122346 /nfs/dbraw/zinc/12/23/46/750122346.db2.gz GVCJTOSGBWWWDC-YWVDXFKGSA-N 1 2 324.388 1.639 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc3ccccc3c1O)C2 ZINC001095675001 750132528 /nfs/dbraw/zinc/13/25/28/750132528.db2.gz VZOQEFKYSZVRSD-DQYPLSBCSA-N 1 2 321.380 1.496 20 30 DDEDLO C=CCCCC(=O)NCCCN(C)c1[nH+]cnc2c1cnn2C ZINC001095716856 750163390 /nfs/dbraw/zinc/16/33/90/750163390.db2.gz RLEPQSBCRZBLNM-UHFFFAOYSA-N 1 2 316.409 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2n[nH]cc2F)C1 ZINC001033951394 750173896 /nfs/dbraw/zinc/17/38/96/750173896.db2.gz VFBVWVFYVWHYKI-JTQLQIEISA-N 1 2 300.765 1.838 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2n[nH]cc2F)C1 ZINC001033951394 750173900 /nfs/dbraw/zinc/17/39/00/750173900.db2.gz VFBVWVFYVWHYKI-JTQLQIEISA-N 1 2 300.765 1.838 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001108383140 762017476 /nfs/dbraw/zinc/01/74/76/762017476.db2.gz XQOOGFQUNGOKHA-INIZCTEOSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001108383140 762017487 /nfs/dbraw/zinc/01/74/87/762017487.db2.gz XQOOGFQUNGOKHA-INIZCTEOSA-N 1 2 310.344 1.972 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)co1)C2 ZINC001110918800 750219913 /nfs/dbraw/zinc/21/99/13/750219913.db2.gz UPMHLKQCSGMCIM-KFWWJZLASA-N 1 2 302.374 1.573 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)co1)C2 ZINC001110918800 750219921 /nfs/dbraw/zinc/21/99/21/750219921.db2.gz UPMHLKQCSGMCIM-KFWWJZLASA-N 1 2 302.374 1.573 20 30 DDEDLO Cc1nc(N2CCC[C@](C)(CNC(=O)[C@@H](C)C#N)C2)cc[nH+]1 ZINC001110927993 750233422 /nfs/dbraw/zinc/23/34/22/750233422.db2.gz KCAQUAKYQCCAMV-BLLLJJGKSA-N 1 2 301.394 1.667 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C)CC=CC1)C2 ZINC001110979016 750274623 /nfs/dbraw/zinc/27/46/23/750274623.db2.gz NENFWUGKJMDCAZ-KFWWJZLASA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C)CC=CC1)C2 ZINC001110979016 750274626 /nfs/dbraw/zinc/27/46/26/750274626.db2.gz NENFWUGKJMDCAZ-KFWWJZLASA-N 1 2 317.433 1.366 20 30 DDEDLO C=CC1(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(N)=O)CCCCC1 ZINC001111135568 750385939 /nfs/dbraw/zinc/38/59/39/750385939.db2.gz WBGJISBDPYOKFQ-KFWWJZLASA-N 1 2 319.449 1.720 20 30 DDEDLO C=CC1(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(N)=O)CCCCC1 ZINC001111135568 750385945 /nfs/dbraw/zinc/38/59/45/750385945.db2.gz WBGJISBDPYOKFQ-KFWWJZLASA-N 1 2 319.449 1.720 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001034104271 750407986 /nfs/dbraw/zinc/40/79/86/750407986.db2.gz JQJINCHOJIZWMS-STQMWFEESA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001034104271 750407990 /nfs/dbraw/zinc/40/79/90/750407990.db2.gz JQJINCHOJIZWMS-STQMWFEESA-N 1 2 302.802 1.125 20 30 DDEDLO CC(C)C[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001111192132 750426914 /nfs/dbraw/zinc/42/69/14/750426914.db2.gz AMIWDNRMQUONCO-YJNKXOJESA-N 1 2 320.437 1.030 20 30 DDEDLO CC(C)C[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001111192132 750426919 /nfs/dbraw/zinc/42/69/19/750426919.db2.gz AMIWDNRMQUONCO-YJNKXOJESA-N 1 2 320.437 1.030 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H]2CCCCO2)C1 ZINC001107860598 750469015 /nfs/dbraw/zinc/46/90/15/750469015.db2.gz SJABDZYUTPMILS-WBVHZDCISA-N 1 2 308.422 1.176 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H]2CCCCO2)C1 ZINC001107860598 750469018 /nfs/dbraw/zinc/46/90/18/750469018.db2.gz SJABDZYUTPMILS-WBVHZDCISA-N 1 2 308.422 1.176 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001077740082 750473386 /nfs/dbraw/zinc/47/33/86/750473386.db2.gz WQUGNMGVJLVZSE-LBPRGKRZSA-N 1 2 306.410 1.186 20 30 DDEDLO CC(C)c1nc(C[NH2+]C2CC(CNC(=O)C#CC3CC3)C2)no1 ZINC001100313957 750498395 /nfs/dbraw/zinc/49/83/95/750498395.db2.gz WRAUTIPNWDIJDD-UHFFFAOYSA-N 1 2 316.405 1.591 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001034519108 750530088 /nfs/dbraw/zinc/53/00/88/750530088.db2.gz GGDAVOPWLBZZOI-CQSZACIVSA-N 1 2 311.389 1.566 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001034519108 750530093 /nfs/dbraw/zinc/53/00/93/750530093.db2.gz GGDAVOPWLBZZOI-CQSZACIVSA-N 1 2 311.389 1.566 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cnnn2CC)CC1 ZINC001000653524 762053889 /nfs/dbraw/zinc/05/38/89/762053889.db2.gz BLISPTMYAUOFMN-UHFFFAOYSA-N 1 2 309.801 1.412 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cnnn2CC)CC1 ZINC001000653524 762053896 /nfs/dbraw/zinc/05/38/96/762053896.db2.gz BLISPTMYAUOFMN-UHFFFAOYSA-N 1 2 309.801 1.412 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)CC(C)(C)C)CC2 ZINC001127944228 750807196 /nfs/dbraw/zinc/80/71/96/750807196.db2.gz MXOHOBPZQXLBGN-ZDUSSCGKSA-N 1 2 317.437 1.258 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2cc(OC)ccc21 ZINC001032435708 750846972 /nfs/dbraw/zinc/84/69/72/750846972.db2.gz FYFQNJBZOGGHFQ-RLFYNMQTSA-N 1 2 310.397 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2cc(OC)ccc21 ZINC001032435708 750846980 /nfs/dbraw/zinc/84/69/80/750846980.db2.gz FYFQNJBZOGGHFQ-RLFYNMQTSA-N 1 2 310.397 1.643 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C(F)(F)F)nc1 ZINC001032465598 750893385 /nfs/dbraw/zinc/89/33/85/750893385.db2.gz MVNVWAJRTMQQSI-QWRGUYRKSA-N 1 2 310.279 1.027 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C(F)(F)F)nc1 ZINC001032465598 750893390 /nfs/dbraw/zinc/89/33/90/750893390.db2.gz MVNVWAJRTMQQSI-QWRGUYRKSA-N 1 2 310.279 1.027 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CCC[N@H+](CC#Cc3ccccc3)C2)nn1 ZINC001007255283 750908751 /nfs/dbraw/zinc/90/87/51/750908751.db2.gz LHLPGGKXPOYTDC-MRXNPFEDSA-N 1 2 323.400 1.061 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)nn1 ZINC001007255283 750908755 /nfs/dbraw/zinc/90/87/55/750908755.db2.gz LHLPGGKXPOYTDC-MRXNPFEDSA-N 1 2 323.400 1.061 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001032485931 751007006 /nfs/dbraw/zinc/00/70/06/751007006.db2.gz FKXIWIUCEOQOHB-QEJZJMRPSA-N 1 2 320.824 1.483 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2ccnc2)n1 ZINC001032489647 751038461 /nfs/dbraw/zinc/03/84/61/751038461.db2.gz SRNCTGZLTJXFAM-GJZGRUSLSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2ccnc2)n1 ZINC001032489647 751038469 /nfs/dbraw/zinc/03/84/69/751038469.db2.gz SRNCTGZLTJXFAM-GJZGRUSLSA-N 1 2 321.384 1.189 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](CNc2[nH+]cnc3c2cnn3C)C1 ZINC001114740643 751041901 /nfs/dbraw/zinc/04/19/01/751041901.db2.gz WXMKQWKHEAYFFF-HAQNSBGRSA-N 1 2 314.393 1.636 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001032508332 751118758 /nfs/dbraw/zinc/11/87/58/751118758.db2.gz NMERRBHZBGIGLR-HOTGVXAUSA-N 1 2 320.396 1.999 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001032508332 751118759 /nfs/dbraw/zinc/11/87/59/751118759.db2.gz NMERRBHZBGIGLR-HOTGVXAUSA-N 1 2 320.396 1.999 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]cn1C ZINC001077813167 751157266 /nfs/dbraw/zinc/15/72/66/751157266.db2.gz ZVHJINRCAGTLCQ-ZDUSSCGKSA-N 1 2 306.410 1.330 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2ccc(F)cc2O1 ZINC001032522202 751183687 /nfs/dbraw/zinc/18/36/87/751183687.db2.gz ZKCUMOHCCLRJNN-JYJNAYRXSA-N 1 2 314.360 1.438 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2ccc(F)cc2O1 ZINC001032522202 751183693 /nfs/dbraw/zinc/18/36/93/751183693.db2.gz ZKCUMOHCCLRJNN-JYJNAYRXSA-N 1 2 314.360 1.438 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)[nH]nc1C(F)(F)F ZINC001032523853 751188669 /nfs/dbraw/zinc/18/86/69/751188669.db2.gz MEOSCTUSCDNZPE-UWVGGRQHSA-N 1 2 312.295 1.269 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)[nH]nc1C(F)(F)F ZINC001032523853 751188670 /nfs/dbraw/zinc/18/86/70/751188670.db2.gz MEOSCTUSCDNZPE-UWVGGRQHSA-N 1 2 312.295 1.269 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1[C@H]1CCCO1 ZINC001032559288 751315011 /nfs/dbraw/zinc/31/50/11/751315011.db2.gz ZNXZONYPIKZLSP-MELADBBJSA-N 1 2 315.373 1.448 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1[C@H]1CCCO1 ZINC001032559288 751315016 /nfs/dbraw/zinc/31/50/16/751315016.db2.gz ZNXZONYPIKZLSP-MELADBBJSA-N 1 2 315.373 1.448 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC[C@@H]([NH2+]Cc3cnsn3)C2)c[nH]1 ZINC000998967872 752395580 /nfs/dbraw/zinc/39/55/80/752395580.db2.gz CCPXKVZAIMQCMI-LLVKDONJSA-N 1 2 316.390 1.132 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2c(C)nn(C)c2C)C1 ZINC001008107081 752552840 /nfs/dbraw/zinc/55/28/40/752552840.db2.gz FWFYDGMTIKGLRZ-AWEZNQCLSA-N 1 2 324.856 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2c(C)nn(C)c2C)C1 ZINC001008107081 752552845 /nfs/dbraw/zinc/55/28/45/752552845.db2.gz FWFYDGMTIKGLRZ-AWEZNQCLSA-N 1 2 324.856 1.913 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1csc(C2CC2)n1 ZINC001032666840 752676017 /nfs/dbraw/zinc/67/60/17/752676017.db2.gz GOGDYZFCERANJP-KBPBESRZSA-N 1 2 301.415 1.481 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1csc(C2CC2)n1 ZINC001032666840 752676019 /nfs/dbraw/zinc/67/60/19/752676019.db2.gz GOGDYZFCERANJP-KBPBESRZSA-N 1 2 301.415 1.481 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001009078771 753062646 /nfs/dbraw/zinc/06/26/46/753062646.db2.gz JONGSEXEASFYQP-OAHLLOKOSA-N 1 2 311.389 1.852 20 30 DDEDLO C=CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001009078771 753062649 /nfs/dbraw/zinc/06/26/49/753062649.db2.gz JONGSEXEASFYQP-OAHLLOKOSA-N 1 2 311.389 1.852 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccnc2C)C1 ZINC001108169857 753320045 /nfs/dbraw/zinc/32/00/45/753320045.db2.gz YPTROCRJSHSELL-QGZVFWFLSA-N 1 2 301.390 1.234 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccnc2C)C1 ZINC001108169857 753320051 /nfs/dbraw/zinc/32/00/51/753320051.db2.gz YPTROCRJSHSELL-QGZVFWFLSA-N 1 2 301.390 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001009783355 753367901 /nfs/dbraw/zinc/36/79/01/753367901.db2.gz VPTIBOSACWNLJK-GXTWGEPZSA-N 1 2 310.829 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001009783355 753367905 /nfs/dbraw/zinc/36/79/05/753367905.db2.gz VPTIBOSACWNLJK-GXTWGEPZSA-N 1 2 310.829 1.857 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)cc1OC ZINC001032751875 753466432 /nfs/dbraw/zinc/46/64/32/753466432.db2.gz PHXXMAWLLAOZKA-STQMWFEESA-N 1 2 300.358 1.236 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)cc1OC ZINC001032751875 753466434 /nfs/dbraw/zinc/46/64/34/753466434.db2.gz PHXXMAWLLAOZKA-STQMWFEESA-N 1 2 300.358 1.236 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001108002943 753483599 /nfs/dbraw/zinc/48/35/99/753483599.db2.gz XFHGDGZYKPUTPX-OCCSQVGLSA-N 1 2 324.388 1.329 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(OC)cc1 ZINC001032779967 753622183 /nfs/dbraw/zinc/62/21/83/753622183.db2.gz VSEMZFLUSMKEQO-GJZGRUSLSA-N 1 2 314.385 1.382 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(OC)cc1 ZINC001032779967 753622187 /nfs/dbraw/zinc/62/21/87/753622187.db2.gz VSEMZFLUSMKEQO-GJZGRUSLSA-N 1 2 314.385 1.382 20 30 DDEDLO C=CCCC1(C(=O)NC[C@@]2(C)C[N@H+](CC#CC)CCO2)CC1 ZINC001108187080 753652369 /nfs/dbraw/zinc/65/23/69/753652369.db2.gz YDIDLMNYCIITMS-KRWDZBQOSA-N 1 2 304.434 1.963 20 30 DDEDLO C=CCCC1(C(=O)NC[C@@]2(C)C[N@@H+](CC#CC)CCO2)CC1 ZINC001108187080 753652375 /nfs/dbraw/zinc/65/23/75/753652375.db2.gz YDIDLMNYCIITMS-KRWDZBQOSA-N 1 2 304.434 1.963 20 30 DDEDLO C=C(C)CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2n[nH]c3ccccc32)C1 ZINC001078114352 753751833 /nfs/dbraw/zinc/75/18/33/753751833.db2.gz CBESKISAXSJUOI-HUUCEWRRSA-N 1 2 314.389 1.304 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3cncc(F)c3)C2)c1 ZINC001010457552 753937575 /nfs/dbraw/zinc/93/75/75/753937575.db2.gz MLMDEWRWIHLWGM-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3cncc(F)c3)C2)c1 ZINC001010457552 753937578 /nfs/dbraw/zinc/93/75/78/753937578.db2.gz MLMDEWRWIHLWGM-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO CCN(C(=O)c1c[nH]c(C#N)c1)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001063096999 753971200 /nfs/dbraw/zinc/97/12/00/753971200.db2.gz CCGHBNPLKDOUMW-HNNXBMFYSA-N 1 2 324.388 1.726 20 30 DDEDLO Cn1cnc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)c1 ZINC001010551297 754017486 /nfs/dbraw/zinc/01/74/86/754017486.db2.gz LSGHQVYLQVUSOE-INIZCTEOSA-N 1 2 308.385 1.276 20 30 DDEDLO Cn1cnc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)c1 ZINC001010551297 754017494 /nfs/dbraw/zinc/01/74/94/754017494.db2.gz LSGHQVYLQVUSOE-INIZCTEOSA-N 1 2 308.385 1.276 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001060993583 754199965 /nfs/dbraw/zinc/19/99/65/754199965.db2.gz WGTGMPFKLMRXEA-ZNMIVQPWSA-N 1 2 316.405 1.637 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001078754500 754352100 /nfs/dbraw/zinc/35/21/00/754352100.db2.gz YUZYSOWITPAVJM-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(NC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001063923431 754409028 /nfs/dbraw/zinc/40/90/28/754409028.db2.gz LLEAYVYEAAUOBU-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(NC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001063923431 754409032 /nfs/dbraw/zinc/40/90/32/754409032.db2.gz LLEAYVYEAAUOBU-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@H]1CNc1ncccc1C#N ZINC001064936013 754961671 /nfs/dbraw/zinc/96/16/71/754961671.db2.gz FDDYNAUROQXPCE-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@H]1CNc1ccc(C#N)nc1 ZINC001064936277 754961799 /nfs/dbraw/zinc/96/17/99/754961799.db2.gz MYJJDUSVGQDTFZ-HNNXBMFYSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001040117744 762393488 /nfs/dbraw/zinc/39/34/88/762393488.db2.gz BHSOVZNPHGQOCU-MLGOLLRUSA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001040117744 762393493 /nfs/dbraw/zinc/39/34/93/762393493.db2.gz BHSOVZNPHGQOCU-MLGOLLRUSA-N 1 2 317.393 1.352 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001079601459 755480947 /nfs/dbraw/zinc/48/09/47/755480947.db2.gz ZIEOVDYTOLVJTK-TYNCELHUSA-N 1 2 324.388 1.488 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001079601459 755480949 /nfs/dbraw/zinc/48/09/49/755480949.db2.gz ZIEOVDYTOLVJTK-TYNCELHUSA-N 1 2 324.388 1.488 20 30 DDEDLO C#CCCCCC(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001079642182 755511349 /nfs/dbraw/zinc/51/13/49/755511349.db2.gz PDUBRBXPUJDUPT-UHFFFAOYSA-N 1 2 316.405 1.136 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001080198647 755772969 /nfs/dbraw/zinc/77/29/69/755772969.db2.gz RIJVHVOLUMJZJQ-UHFFFAOYSA-N 1 2 304.394 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC001080293928 755832182 /nfs/dbraw/zinc/83/21/82/755832182.db2.gz IGDPIVVXGRIWLI-VXNVDRBHSA-N 1 2 301.799 1.651 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC001080293928 755832184 /nfs/dbraw/zinc/83/21/84/755832184.db2.gz IGDPIVVXGRIWLI-VXNVDRBHSA-N 1 2 301.799 1.651 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc3[nH]c(=O)[nH]c32)C1 ZINC001014770362 755931211 /nfs/dbraw/zinc/93/12/11/755931211.db2.gz UTOYZZBTGSSXEO-JTQLQIEISA-N 1 2 320.780 1.825 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc3[nH]c(=O)[nH]c32)C1 ZINC001014770362 755931214 /nfs/dbraw/zinc/93/12/14/755931214.db2.gz UTOYZZBTGSSXEO-JTQLQIEISA-N 1 2 320.780 1.825 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]2C)nc1 ZINC001080808189 756103238 /nfs/dbraw/zinc/10/32/38/756103238.db2.gz NKFUCBWKBOIKOP-CXAGYDPISA-N 1 2 323.400 1.047 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]2C)nc1 ZINC001080808189 756103241 /nfs/dbraw/zinc/10/32/41/756103241.db2.gz NKFUCBWKBOIKOP-CXAGYDPISA-N 1 2 323.400 1.047 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H](NC(=O)c3cc(C)co3)C2)C1=O ZINC001015585056 756390539 /nfs/dbraw/zinc/39/05/39/756390539.db2.gz PAAAPFFTJIXEBR-ZIAGYGMSSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H](NC(=O)c3cc(C)co3)C2)C1=O ZINC001015585056 756390546 /nfs/dbraw/zinc/39/05/46/756390546.db2.gz PAAAPFFTJIXEBR-ZIAGYGMSSA-N 1 2 317.389 1.179 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1C ZINC001015616339 756416602 /nfs/dbraw/zinc/41/66/02/756416602.db2.gz RNBXUDMQCUPRDG-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1ncc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1C ZINC001015616339 756416604 /nfs/dbraw/zinc/41/66/04/756416604.db2.gz RNBXUDMQCUPRDG-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3cccnc3n2)[C@H](OC)C1 ZINC001081709335 756438779 /nfs/dbraw/zinc/43/87/79/756438779.db2.gz NDVJHCGKDBITES-HZPDHXFCSA-N 1 2 324.384 1.082 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc3cccnc3n2)[C@H](OC)C1 ZINC001081709335 756438783 /nfs/dbraw/zinc/43/87/83/756438783.db2.gz NDVJHCGKDBITES-HZPDHXFCSA-N 1 2 324.384 1.082 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CC[N@H+](CC(=O)NC3CC3)C2)CC1 ZINC001015660320 756443841 /nfs/dbraw/zinc/44/38/41/756443841.db2.gz XLUOZJRXOQNRHA-OAHLLOKOSA-N 1 2 305.422 1.202 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NC3CC3)C2)CC1 ZINC001015660320 756443845 /nfs/dbraw/zinc/44/38/45/756443845.db2.gz XLUOZJRXOQNRHA-OAHLLOKOSA-N 1 2 305.422 1.202 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@@H+](C[C@@H](F)CC)C[C@H]2OC)c1 ZINC001082117298 756637643 /nfs/dbraw/zinc/63/76/43/756637643.db2.gz WXQZWVFCGGCQGR-ARFHVFGLSA-N 1 2 319.380 1.240 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@H+](C[C@@H](F)CC)C[C@H]2OC)c1 ZINC001082117298 756637647 /nfs/dbraw/zinc/63/76/47/756637647.db2.gz WXQZWVFCGGCQGR-ARFHVFGLSA-N 1 2 319.380 1.240 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001082148972 756654485 /nfs/dbraw/zinc/65/44/85/756654485.db2.gz AZRPUDGYIWJZJV-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cncc(F)c3)C2)cn1 ZINC001016026674 756730899 /nfs/dbraw/zinc/73/08/99/756730899.db2.gz HXDSXVJGWWEAQY-KRWDZBQOSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cncc(F)c3)C2)cn1 ZINC001016026674 756730901 /nfs/dbraw/zinc/73/09/01/756730901.db2.gz HXDSXVJGWWEAQY-KRWDZBQOSA-N 1 2 324.359 1.601 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)c1nc(Cl)cc(Cl)n1 ZINC001164642309 756857832 /nfs/dbraw/zinc/85/78/32/756857832.db2.gz UTEBVGNTIGJSRW-ZCFIWIBFSA-N 1 2 308.119 1.630 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(c2cc(C)[nH+]c(C3CC3)n2)C[C@H]1O ZINC001090394743 757034147 /nfs/dbraw/zinc/03/41/47/757034147.db2.gz FNZGRACLGUZVSA-ZIAGYGMSSA-N 1 2 316.405 1.294 20 30 DDEDLO Cc1nc(N2CCC[C@H](CNC(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001097298236 757060981 /nfs/dbraw/zinc/06/09/81/757060981.db2.gz OJRFHJQRUZCHHN-XHDPSFHLSA-N 1 2 315.421 1.894 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3sc(C)cc3C)[C@H]2C1 ZINC001083095132 757133565 /nfs/dbraw/zinc/13/35/65/757133565.db2.gz QERYXPHDYNPIPA-LSDHHAIUSA-N 1 2 318.442 1.913 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3sc(C)cc3C)[C@H]2C1 ZINC001083095132 757133568 /nfs/dbraw/zinc/13/35/68/757133568.db2.gz QERYXPHDYNPIPA-LSDHHAIUSA-N 1 2 318.442 1.913 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ncsc2C(C)C)[C@@H](O)C1 ZINC001083942292 757194499 /nfs/dbraw/zinc/19/44/99/757194499.db2.gz KVQVKDBRWXISMS-NEPJUHHUSA-N 1 2 307.419 1.065 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ncsc2C(C)C)[C@@H](O)C1 ZINC001083942292 757194501 /nfs/dbraw/zinc/19/45/01/757194501.db2.gz KVQVKDBRWXISMS-NEPJUHHUSA-N 1 2 307.419 1.065 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001097495434 757211651 /nfs/dbraw/zinc/21/16/51/757211651.db2.gz MVXQTAQFOQKONL-HIFRSBDPSA-N 1 2 316.405 1.616 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001097781842 757503498 /nfs/dbraw/zinc/50/34/98/757503498.db2.gz DVXDWEZLINUJJV-CYBMUJFWSA-N 1 2 315.421 1.185 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001097781842 757503504 /nfs/dbraw/zinc/50/35/04/757503504.db2.gz DVXDWEZLINUJJV-CYBMUJFWSA-N 1 2 315.421 1.185 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(COC)cs3)[C@@H]2C1 ZINC001084489710 757609771 /nfs/dbraw/zinc/60/97/71/757609771.db2.gz YWBNLOYHAZMOEX-ZIAGYGMSSA-N 1 2 304.415 1.674 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(COC)cs3)[C@@H]2C1 ZINC001084489710 757609776 /nfs/dbraw/zinc/60/97/76/757609776.db2.gz YWBNLOYHAZMOEX-ZIAGYGMSSA-N 1 2 304.415 1.674 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H]1CCCN(CC#N)CC1 ZINC001052725406 757691092 /nfs/dbraw/zinc/69/10/92/757691092.db2.gz OGBRICNAHQIDDU-MGPQQGTHSA-N 1 2 301.394 1.018 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3c(C)n[nH]c3C)[C@@H]2C1 ZINC001084725786 757822898 /nfs/dbraw/zinc/82/28/98/757822898.db2.gz SQBBHWLZASOWMK-CHWSQXEVSA-N 1 2 308.813 1.925 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3c(C)n[nH]c3C)[C@@H]2C1 ZINC001084725786 757822916 /nfs/dbraw/zinc/82/29/16/757822916.db2.gz SQBBHWLZASOWMK-CHWSQXEVSA-N 1 2 308.813 1.925 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C2)on1 ZINC001099826365 757898196 /nfs/dbraw/zinc/89/81/96/757898196.db2.gz KHEXRBZKCFIZKV-GJZGRUSLSA-N 1 2 319.405 1.084 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C2)on1 ZINC001099826365 757898202 /nfs/dbraw/zinc/89/82/02/757898202.db2.gz KHEXRBZKCFIZKV-GJZGRUSLSA-N 1 2 319.405 1.084 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccc(C#N)[nH]2)cn1 ZINC001017487058 757967864 /nfs/dbraw/zinc/96/78/64/757967864.db2.gz DKMYWODIHJYRDJ-GASCZTMLSA-N 1 2 324.388 1.109 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccc(C#N)[nH]2)cn1 ZINC001017487058 757967868 /nfs/dbraw/zinc/96/78/68/757967868.db2.gz DKMYWODIHJYRDJ-GASCZTMLSA-N 1 2 324.388 1.109 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)n1 ZINC001084906798 758047886 /nfs/dbraw/zinc/04/78/86/758047886.db2.gz IEQAZJQJNRYFJY-XQQFMLRXSA-N 1 2 317.393 1.214 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)n1 ZINC001084906798 758047892 /nfs/dbraw/zinc/04/78/92/758047892.db2.gz IEQAZJQJNRYFJY-XQQFMLRXSA-N 1 2 317.393 1.214 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2[nH]ccc2c1 ZINC001017639584 758107353 /nfs/dbraw/zinc/10/73/53/758107353.db2.gz NIOAZNYVGDCLNQ-IYBDPMFKSA-N 1 2 308.385 1.827 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2[nH]ccc2c1 ZINC001017639584 758107363 /nfs/dbraw/zinc/10/73/63/758107363.db2.gz NIOAZNYVGDCLNQ-IYBDPMFKSA-N 1 2 308.385 1.827 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCn2cc(C)nc2C1 ZINC001017663960 758132340 /nfs/dbraw/zinc/13/23/40/758132340.db2.gz YKFSIJPQPCKJPJ-OAGGEKHMSA-N 1 2 312.417 1.062 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCn2cc(C)nc2C1 ZINC001017663960 758132346 /nfs/dbraw/zinc/13/23/46/758132346.db2.gz YKFSIJPQPCKJPJ-OAGGEKHMSA-N 1 2 312.417 1.062 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCn2cncc2C1 ZINC001017671572 758140875 /nfs/dbraw/zinc/14/08/75/758140875.db2.gz WEULMEWRUPSIRB-HRCADAONSA-N 1 2 312.417 1.144 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCn2cncc2C1 ZINC001017671572 758140882 /nfs/dbraw/zinc/14/08/82/758140882.db2.gz WEULMEWRUPSIRB-HRCADAONSA-N 1 2 312.417 1.144 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1ccccc1 ZINC001017777100 758228099 /nfs/dbraw/zinc/22/80/99/758228099.db2.gz PUHOMDUFRGDGSK-IYBDPMFKSA-N 1 2 320.396 1.999 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1ccccc1 ZINC001017777100 758228106 /nfs/dbraw/zinc/22/81/06/758228106.db2.gz PUHOMDUFRGDGSK-IYBDPMFKSA-N 1 2 320.396 1.999 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCOC2(C[NH+](CCc3cccs3)C2)C1 ZINC001053191417 758249784 /nfs/dbraw/zinc/24/97/84/758249784.db2.gz QCSZNNNCVZNYKB-ZDUSSCGKSA-N 1 2 319.430 1.363 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(CC)o1)CCO2 ZINC001053312641 758366429 /nfs/dbraw/zinc/36/64/29/758366429.db2.gz QFWYJTAXALPBMM-UHFFFAOYSA-N 1 2 304.390 1.945 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCOC2(C[NH+](C[C@@H](CC)OC)C2)C1 ZINC001053408675 758438975 /nfs/dbraw/zinc/43/89/75/758438975.db2.gz MRHDXYOZWQQDRE-WBVHZDCISA-N 1 2 324.465 1.927 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@@H]1CCCC[C@H]1C ZINC001018017198 758479150 /nfs/dbraw/zinc/47/91/50/758479150.db2.gz UCQUIRLYHWOAOR-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@@H]1CCCC[C@H]1C ZINC001018017198 758479162 /nfs/dbraw/zinc/47/91/62/758479162.db2.gz UCQUIRLYHWOAOR-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001053503127 758508395 /nfs/dbraw/zinc/50/83/95/758508395.db2.gz IFMIEIFRRJYSOC-STQMWFEESA-N 1 2 304.394 1.129 20 30 DDEDLO Cc1nc(NC[C@@]2(C)CCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001065406181 758517709 /nfs/dbraw/zinc/51/77/09/758517709.db2.gz KSZZXKXTIRCLTH-QGZVFWFLSA-N 1 2 324.388 1.949 20 30 DDEDLO C[C@]1(CNc2ncccc2C#N)CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065494700 758579772 /nfs/dbraw/zinc/57/97/72/758579772.db2.gz XWQBOONCFJCPGO-QGZVFWFLSA-N 1 2 324.388 1.570 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)CCCC)CC2=O)C1 ZINC001108541252 762641794 /nfs/dbraw/zinc/64/17/94/762641794.db2.gz RPECPAPYLLAYGN-CABCVRRESA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(CC)o1)O2 ZINC001053619855 758637890 /nfs/dbraw/zinc/63/78/90/758637890.db2.gz XZRKGVKXXRXEQO-AWEZNQCLSA-N 1 2 304.390 1.991 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC[C@H]1CC(C)(C)CO1 ZINC001018213299 758638599 /nfs/dbraw/zinc/63/85/99/758638599.db2.gz DRQQYGCNECTJNJ-XHSDSOJGSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC[C@H]1CC(C)(C)CO1 ZINC001018213299 758638603 /nfs/dbraw/zinc/63/86/03/758638603.db2.gz DRQQYGCNECTJNJ-XHSDSOJGSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c[nH]nc1C(C)C)O2 ZINC001053622084 758641401 /nfs/dbraw/zinc/64/14/01/758641401.db2.gz NGDPBDWNXSALSR-ZDUSSCGKSA-N 1 2 318.421 1.682 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(OC)nc1)O2 ZINC001053627519 758644983 /nfs/dbraw/zinc/64/49/83/758644983.db2.gz ZOKHEAXSDYNBME-AWEZNQCLSA-N 1 2 317.389 1.239 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(CCC)n[nH]1)O2 ZINC001053642096 758655928 /nfs/dbraw/zinc/65/59/28/758655928.db2.gz WPWGWNLBDMJKAQ-CQSZACIVSA-N 1 2 318.421 1.511 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccn(CC)n1)O2 ZINC001053672494 758682414 /nfs/dbraw/zinc/68/24/14/758682414.db2.gz NFWIZYBNCJSCPN-CQSZACIVSA-N 1 2 318.421 1.442 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC(=O)N(C2C[NH+](CC=C(C)C)C2)C1 ZINC001108548594 762649845 /nfs/dbraw/zinc/64/98/45/762649845.db2.gz RLBPNHQLPAWFNT-HNNXBMFYSA-N 1 2 319.449 1.710 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccn(CC)n1)O2 ZINC001053674897 758685068 /nfs/dbraw/zinc/68/50/68/758685068.db2.gz YQQNCXOQEIORDF-ZDUSSCGKSA-N 1 2 304.394 1.052 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nc2cc(C)nn21 ZINC001018277627 758691840 /nfs/dbraw/zinc/69/18/40/758691840.db2.gz SZWUNBRQUPQFQQ-GASCZTMLSA-N 1 2 323.400 1.268 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nc2cc(C)nn21 ZINC001018277627 758691849 /nfs/dbraw/zinc/69/18/49/758691849.db2.gz SZWUNBRQUPQFQQ-GASCZTMLSA-N 1 2 323.400 1.268 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cn(CC)cn1)O2 ZINC001053686525 758696019 /nfs/dbraw/zinc/69/60/19/758696019.db2.gz RPOVIFJRSYSEBT-CQSZACIVSA-N 1 2 318.421 1.442 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]nc1-c1ccccc1 ZINC001018310594 758719206 /nfs/dbraw/zinc/71/92/06/758719206.db2.gz PKNNHXAGEXXBQZ-GASCZTMLSA-N 1 2 321.384 1.394 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]nc1-c1ccccc1 ZINC001018310594 758719215 /nfs/dbraw/zinc/71/92/15/758719215.db2.gz PKNNHXAGEXXBQZ-GASCZTMLSA-N 1 2 321.384 1.394 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Oc2ccccc2[C@@H]1C ZINC001018342362 758743397 /nfs/dbraw/zinc/74/33/97/758743397.db2.gz OGYSZUVKFJKBCK-AFIMGQEJSA-N 1 2 310.397 1.860 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Oc2ccccc2[C@@H]1C ZINC001018342362 758743404 /nfs/dbraw/zinc/74/34/04/758743404.db2.gz OGYSZUVKFJKBCK-AFIMGQEJSA-N 1 2 310.397 1.860 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(Cl)c[nH]1)CO2 ZINC001053732428 758748013 /nfs/dbraw/zinc/74/80/13/758748013.db2.gz UMIKZODQXYDKQF-GFCCVEGCSA-N 1 2 307.781 1.265 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)CC1CCOCC1)CO2 ZINC001053760967 758777913 /nfs/dbraw/zinc/77/79/13/758777913.db2.gz QKDPAJUYTAIKKJ-HNNXBMFYSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1occc1C(C)C)CO2 ZINC001053903941 758936628 /nfs/dbraw/zinc/93/66/28/758936628.db2.gz BLBIJCWUGLKQRQ-AWEZNQCLSA-N 1 2 316.401 1.999 20 30 DDEDLO Cc1nc(N2C[C@@H]3CN(C(=O)c4ccc(C#N)[nH]4)C[C@@H]3C2)cc[nH+]1 ZINC001065995841 758990413 /nfs/dbraw/zinc/99/04/13/758990413.db2.gz UNTWUGDFZVIPHS-BETUJISGSA-N 1 2 322.372 1.193 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ncccc1OC)CO2 ZINC001053990976 759036807 /nfs/dbraw/zinc/03/68/07/759036807.db2.gz MLTZXXWBGOOVTF-ZDUSSCGKSA-N 1 2 317.389 1.239 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C1=COCCC1 ZINC001054034027 759088747 /nfs/dbraw/zinc/08/87/47/759088747.db2.gz GBMCJCHWGRIHQG-GOSISDBHSA-N 1 2 310.397 1.857 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C1=COCCC1 ZINC001054034027 759088753 /nfs/dbraw/zinc/08/87/53/759088753.db2.gz GBMCJCHWGRIHQG-GOSISDBHSA-N 1 2 310.397 1.857 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1CCCCO1 ZINC001054036853 759093612 /nfs/dbraw/zinc/09/36/12/759093612.db2.gz SFHNYYGVMIXQRM-ROUUACIJSA-N 1 2 312.413 1.732 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1CCCCO1 ZINC001054036853 759093618 /nfs/dbraw/zinc/09/36/18/759093618.db2.gz SFHNYYGVMIXQRM-ROUUACIJSA-N 1 2 312.413 1.732 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1c[nH]nc1CC ZINC001054045117 759102060 /nfs/dbraw/zinc/10/20/60/759102060.db2.gz KVJKDCHGPISUBE-INIZCTEOSA-N 1 2 322.412 1.762 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1c[nH]nc1CC ZINC001054045117 759102065 /nfs/dbraw/zinc/10/20/65/759102065.db2.gz KVJKDCHGPISUBE-INIZCTEOSA-N 1 2 322.412 1.762 20 30 DDEDLO N#CCN[C@@H]1CCCC[C@H]1NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001085207131 759147326 /nfs/dbraw/zinc/14/73/26/759147326.db2.gz FNNXLFNZAVSERP-HUUCEWRRSA-N 1 2 315.421 1.302 20 30 DDEDLO N#CCN1CC[C@@]2(C1)CCCN(C(=O)CCc1c[nH]c[nH+]1)C2 ZINC001054141485 759216295 /nfs/dbraw/zinc/21/62/95/759216295.db2.gz ARCUCKRAMXDMJU-MRXNPFEDSA-N 1 2 301.394 1.180 20 30 DDEDLO N#CCN1CC[C@@]2(C1)CCCN(C(=O)CCc1c[nH+]c[nH]1)C2 ZINC001054141485 759216299 /nfs/dbraw/zinc/21/62/99/759216299.db2.gz ARCUCKRAMXDMJU-MRXNPFEDSA-N 1 2 301.394 1.180 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cn[nH]c(=O)c3)cc2C1 ZINC001054269464 759374082 /nfs/dbraw/zinc/37/40/82/759374082.db2.gz BZIUSMWJJDHRPN-UHFFFAOYSA-N 1 2 308.341 1.061 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cn[nH]c(=O)c3)cc2C1 ZINC001054269464 759374089 /nfs/dbraw/zinc/37/40/89/759374089.db2.gz BZIUSMWJJDHRPN-UHFFFAOYSA-N 1 2 308.341 1.061 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ncccc3OC)cc2C1 ZINC001054290282 759396535 /nfs/dbraw/zinc/39/65/35/759396535.db2.gz KDZWHAYHTQKYEZ-UHFFFAOYSA-N 1 2 321.380 1.969 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ncccc3OC)cc2C1 ZINC001054290282 759396542 /nfs/dbraw/zinc/39/65/42/759396542.db2.gz KDZWHAYHTQKYEZ-UHFFFAOYSA-N 1 2 321.380 1.969 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001069136799 767872525 /nfs/dbraw/zinc/87/25/25/767872525.db2.gz AGOZAVOXCLIMDB-SMDDNHRTSA-N 1 2 324.388 1.629 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)no1 ZINC001085658089 759944575 /nfs/dbraw/zinc/94/45/75/759944575.db2.gz IWIXPJNNEVBMOS-SNVBAGLBSA-N 1 2 315.295 1.863 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)no1 ZINC001085658089 759944580 /nfs/dbraw/zinc/94/45/80/759944580.db2.gz IWIXPJNNEVBMOS-SNVBAGLBSA-N 1 2 315.295 1.863 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nc(C)c(C)nc1C ZINC001085712309 760077557 /nfs/dbraw/zinc/07/75/57/760077557.db2.gz HDKKUVWZVYJVIW-HNNXBMFYSA-N 1 2 300.406 1.571 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nc(C)c(C)nc1C ZINC001085712309 760077564 /nfs/dbraw/zinc/07/75/64/760077564.db2.gz HDKKUVWZVYJVIW-HNNXBMFYSA-N 1 2 300.406 1.571 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001054976354 760250601 /nfs/dbraw/zinc/25/06/01/760250601.db2.gz LAPKWLJITAYWJH-NWDGAFQWSA-N 1 2 322.409 1.365 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@H](C)C(=O)N(C)C)CC1 ZINC001085802263 760258873 /nfs/dbraw/zinc/25/88/73/760258873.db2.gz PJQQOPBQDLAANF-ZBFHGGJFSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@H](C)C(=O)N(C)C)CC1 ZINC001085802263 760258877 /nfs/dbraw/zinc/25/88/77/760258877.db2.gz PJQQOPBQDLAANF-ZBFHGGJFSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cncs1 ZINC001085826848 760305518 /nfs/dbraw/zinc/30/55/18/760305518.db2.gz AITHERNEPNWGJP-CFVMTHIKSA-N 1 2 321.446 1.767 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cncs1 ZINC001085826848 760305530 /nfs/dbraw/zinc/30/55/30/760305530.db2.gz AITHERNEPNWGJP-CFVMTHIKSA-N 1 2 321.446 1.767 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2cncs2)C1 ZINC001108216336 760408779 /nfs/dbraw/zinc/40/87/79/760408779.db2.gz XBPBKQFGZFSAFY-MRXNPFEDSA-N 1 2 321.446 1.306 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2cncs2)C1 ZINC001108216336 760408782 /nfs/dbraw/zinc/40/87/82/760408782.db2.gz XBPBKQFGZFSAFY-MRXNPFEDSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1noc2c1C[C@H](C)CC2 ZINC001085901896 760495502 /nfs/dbraw/zinc/49/55/02/760495502.db2.gz PKHIMPRBXXHHOG-OLZOCXBDSA-N 1 2 301.390 1.579 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1noc2c1C[C@H](C)CC2 ZINC001085901896 760495506 /nfs/dbraw/zinc/49/55/06/760495506.db2.gz PKHIMPRBXXHHOG-OLZOCXBDSA-N 1 2 301.390 1.579 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@H]2C[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)no1 ZINC001020058332 760498052 /nfs/dbraw/zinc/49/80/52/760498052.db2.gz BVSXYEVTHFBWJN-GGZOMVNGSA-N 1 2 314.349 1.189 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001086004728 760692527 /nfs/dbraw/zinc/69/25/27/760692527.db2.gz NFKNOPNDMVRGAK-CQSZACIVSA-N 1 2 317.364 1.564 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001086004728 760692531 /nfs/dbraw/zinc/69/25/31/760692531.db2.gz NFKNOPNDMVRGAK-CQSZACIVSA-N 1 2 317.364 1.564 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001108241591 760918148 /nfs/dbraw/zinc/91/81/48/760918148.db2.gz FHFKZPFVOBFDPN-MRXNPFEDSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001108241591 760918157 /nfs/dbraw/zinc/91/81/57/760918157.db2.gz FHFKZPFVOBFDPN-MRXNPFEDSA-N 1 2 305.378 1.102 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@]23C[C@H]2COC3)s1 ZINC001038272566 760965974 /nfs/dbraw/zinc/96/59/74/760965974.db2.gz VIOKGJOEPIHMPC-OZVIIMIRSA-N 1 2 317.414 1.347 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@]23C[C@H]2COC3)s1 ZINC001038272566 760965980 /nfs/dbraw/zinc/96/59/80/760965980.db2.gz VIOKGJOEPIHMPC-OZVIIMIRSA-N 1 2 317.414 1.347 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn2c1ccc(C)c2C ZINC001038370290 761057397 /nfs/dbraw/zinc/05/73/97/761057397.db2.gz YJWUBOZZMQIPNI-HNNXBMFYSA-N 1 2 310.401 1.779 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn2c1ccc(C)c2C ZINC001038370290 761057408 /nfs/dbraw/zinc/05/74/08/761057408.db2.gz YJWUBOZZMQIPNI-HNNXBMFYSA-N 1 2 310.401 1.779 20 30 DDEDLO Cc1cc(NC[C@H](C)NC(=O)CSCC#N)nc(C2CC2)[nH+]1 ZINC001108254490 761172039 /nfs/dbraw/zinc/17/20/39/761172039.db2.gz JTBVBZFIOVVYNL-NSHDSACASA-N 1 2 319.434 1.836 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066445625 761183642 /nfs/dbraw/zinc/18/36/42/761183642.db2.gz KEFXXFHKCFQFHJ-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H](C)Nc1cc[nH+]c(C)n1 ZINC001098319777 761264146 /nfs/dbraw/zinc/26/41/46/761264146.db2.gz JSGDFJNEIYTQIR-WHOFXGATSA-N 1 2 304.394 1.683 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(-c2ncon2)c1 ZINC001038693843 761294295 /nfs/dbraw/zinc/29/42/95/761294295.db2.gz NJUUEQOJONRMRM-OAHLLOKOSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(-c2ncon2)c1 ZINC001038693843 761294301 /nfs/dbraw/zinc/29/43/01/761294301.db2.gz NJUUEQOJONRMRM-OAHLLOKOSA-N 1 2 310.357 1.564 20 30 DDEDLO CC1(Nc2ccc(C#N)cn2)CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001067270419 761407940 /nfs/dbraw/zinc/40/79/40/761407940.db2.gz ZPYGDGWCJJBEAD-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(N2CCCC2)nc1 ZINC001038826961 761442471 /nfs/dbraw/zinc/44/24/71/761442471.db2.gz PFMKRDVKPIRAON-INIZCTEOSA-N 1 2 312.417 1.509 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(N2CCCC2)nc1 ZINC001038826961 761442475 /nfs/dbraw/zinc/44/24/75/761442475.db2.gz PFMKRDVKPIRAON-INIZCTEOSA-N 1 2 312.417 1.509 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn(CCCC)nc1C ZINC001038901441 761526361 /nfs/dbraw/zinc/52/63/61/761526361.db2.gz SXFJRGOYXXJVAE-OAHLLOKOSA-N 1 2 302.422 1.819 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn(CCCC)nc1C ZINC001038901441 761526367 /nfs/dbraw/zinc/52/63/67/761526367.db2.gz SXFJRGOYXXJVAE-OAHLLOKOSA-N 1 2 302.422 1.819 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2snnc2C(C)C)C1 ZINC001108298261 761848580 /nfs/dbraw/zinc/84/85/80/761848580.db2.gz PWZSAOYNKNMGET-HNNXBMFYSA-N 1 2 324.450 1.668 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2snnc2C(C)C)C1 ZINC001108298261 761848592 /nfs/dbraw/zinc/84/85/92/761848592.db2.gz PWZSAOYNKNMGET-HNNXBMFYSA-N 1 2 324.450 1.668 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108656931 762836739 /nfs/dbraw/zinc/83/67/39/762836739.db2.gz XAEQKBVJBUTNFZ-NEPJUHHUSA-N 1 2 316.409 1.882 20 30 DDEDLO C[C@H](CC(=O)N[C@@H](C)CNc1ccc(C#N)nn1)n1cc[nH+]c1 ZINC001108721549 762892404 /nfs/dbraw/zinc/89/24/04/762892404.db2.gz MHZMKRHOBNKADA-NWDGAFQWSA-N 1 2 313.365 1.113 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H](C)CNc2cncc(C#N)n2)c(C)[nH+]1 ZINC001108793352 762940082 /nfs/dbraw/zinc/94/00/82/762940082.db2.gz JIFORCPTVABULX-LBPRGKRZSA-N 1 2 324.388 1.899 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccoc1C)C2 ZINC001108899517 763074743 /nfs/dbraw/zinc/07/47/43/763074743.db2.gz DPTUNDBLLLKVCB-SNPRPXQTSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccoc1C)C2 ZINC001108899517 763074748 /nfs/dbraw/zinc/07/47/48/763074748.db2.gz DPTUNDBLLLKVCB-SNPRPXQTSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)[C@H](C)C=C)CC1 ZINC001131379373 768104262 /nfs/dbraw/zinc/10/42/62/768104262.db2.gz WTIOSROXAVLJDJ-HUUCEWRRSA-N 1 2 307.438 1.281 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)[C@H](C)C=C)CC1 ZINC001131379373 768104265 /nfs/dbraw/zinc/10/42/65/768104265.db2.gz WTIOSROXAVLJDJ-HUUCEWRRSA-N 1 2 307.438 1.281 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccnc(OC)n1 ZINC001109046303 763261924 /nfs/dbraw/zinc/26/19/24/763261924.db2.gz NCTFMOGWCVRXDF-ILXRZTDVSA-N 1 2 316.405 1.673 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccnc(OC)n1 ZINC001109046303 763261930 /nfs/dbraw/zinc/26/19/30/763261930.db2.gz NCTFMOGWCVRXDF-ILXRZTDVSA-N 1 2 316.405 1.673 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC(Nc3cc[nH+]c(C)n3)CC2)nc1 ZINC001057347913 763486330 /nfs/dbraw/zinc/48/63/30/763486330.db2.gz ASAHLYFPRCWNAK-UHFFFAOYSA-N 1 2 321.384 1.878 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@@H]21 ZINC001041991386 763543540 /nfs/dbraw/zinc/54/35/40/763543540.db2.gz BZUFQLBJPROHSF-JSGCOSHPSA-N 1 2 308.813 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@@H]21 ZINC001041991386 763543550 /nfs/dbraw/zinc/54/35/50/763543550.db2.gz BZUFQLBJPROHSF-JSGCOSHPSA-N 1 2 308.813 1.628 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)C ZINC001109352948 763593700 /nfs/dbraw/zinc/59/37/00/763593700.db2.gz CALVHDMLYZCNKI-PMPSAXMXSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)C ZINC001109352948 763593711 /nfs/dbraw/zinc/59/37/11/763593711.db2.gz CALVHDMLYZCNKI-PMPSAXMXSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCC(=O)N1)C2 ZINC001098024489 763684127 /nfs/dbraw/zinc/68/41/27/763684127.db2.gz NFWGKVUHBUEKOG-LPWJVIDDSA-N 1 2 311.813 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCC(=O)N1)C2 ZINC001098024489 763684134 /nfs/dbraw/zinc/68/41/34/763684134.db2.gz NFWGKVUHBUEKOG-LPWJVIDDSA-N 1 2 311.813 1.129 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C)CCCC1)C2 ZINC001109572192 763828154 /nfs/dbraw/zinc/82/81/54/763828154.db2.gz DCJQVNIOEDZCRB-ILXRZTDVSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C)CCCC1)C2 ZINC001109572192 763828163 /nfs/dbraw/zinc/82/81/63/763828163.db2.gz DCJQVNIOEDZCRB-ILXRZTDVSA-N 1 2 319.449 1.590 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(C)C ZINC001109592025 763846212 /nfs/dbraw/zinc/84/62/12/763846212.db2.gz FIECUQOOPWDUGA-ILXRZTDVSA-N 1 2 321.465 1.835 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC(C)C ZINC001109592025 763846222 /nfs/dbraw/zinc/84/62/22/763846222.db2.gz FIECUQOOPWDUGA-ILXRZTDVSA-N 1 2 321.465 1.835 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001109627165 763889753 /nfs/dbraw/zinc/88/97/53/763889753.db2.gz CHIKBSUHBRVWOT-KBMXLJTQSA-N 1 2 315.421 1.230 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001109627165 763889760 /nfs/dbraw/zinc/88/97/60/763889760.db2.gz CHIKBSUHBRVWOT-KBMXLJTQSA-N 1 2 315.421 1.230 20 30 DDEDLO N#Cc1ccc(NC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C2CC2)cn1 ZINC001109820158 764087816 /nfs/dbraw/zinc/08/78/16/764087816.db2.gz JLQGUMRGYOOZTA-HNNXBMFYSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(Cl)cnn1C ZINC001050917774 764278291 /nfs/dbraw/zinc/27/82/91/764278291.db2.gz OJRCDVMVNRVEKD-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(Cl)cnn1C ZINC001050917774 764278297 /nfs/dbraw/zinc/27/82/97/764278297.db2.gz OJRCDVMVNRVEKD-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC001051020283 764424441 /nfs/dbraw/zinc/42/44/41/764424441.db2.gz SBOUXTIJJNJVLL-YESZJQIVSA-N 1 2 322.449 1.442 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC001051020283 764424446 /nfs/dbraw/zinc/42/44/46/764424446.db2.gz SBOUXTIJJNJVLL-YESZJQIVSA-N 1 2 322.449 1.442 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cncc(CC)c1 ZINC001051024286 764427564 /nfs/dbraw/zinc/42/75/64/764427564.db2.gz AYJYDJMCEPYKQC-MRXNPFEDSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncc(CC)c1 ZINC001051024286 764427566 /nfs/dbraw/zinc/42/75/66/764427566.db2.gz AYJYDJMCEPYKQC-MRXNPFEDSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1Cc2ccncc2C1 ZINC001051111423 764516098 /nfs/dbraw/zinc/51/60/98/764516098.db2.gz QMZPQWUVPBCWGT-DOTOQJQBSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1Cc2ccncc2C1 ZINC001051111423 764516104 /nfs/dbraw/zinc/51/61/04/764516104.db2.gz QMZPQWUVPBCWGT-DOTOQJQBSA-N 1 2 315.417 1.190 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(C)oc3nc[nH]c(=O)c32)C1 ZINC001042920252 764525963 /nfs/dbraw/zinc/52/59/63/764525963.db2.gz XWCJWNBFPHLTIK-UHFFFAOYSA-N 1 2 314.345 1.016 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](CCc2ccnn2C)CC1 ZINC001112671363 764567131 /nfs/dbraw/zinc/56/71/31/764567131.db2.gz HDPFNMLSPWETCB-HNNXBMFYSA-N 1 2 320.437 1.088 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](Cc2ccc3c(c2)nnn3C)CC1 ZINC001112687783 764596173 /nfs/dbraw/zinc/59/61/73/764596173.db2.gz SBJSDGUKTMVMTI-UHFFFAOYSA-N 1 2 313.405 1.579 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)c2ccc3c(c2)N(C)CCO3)C1 ZINC001043078221 764643621 /nfs/dbraw/zinc/64/36/21/764643621.db2.gz CTSXVFSCRSPSKO-UHFFFAOYSA-N 1 2 301.390 1.457 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn2ccccc2n1 ZINC001051234912 764656484 /nfs/dbraw/zinc/65/64/84/764656484.db2.gz JOXSTXAVTMACNF-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cn2ccccc2n1 ZINC001051234912 764656486 /nfs/dbraw/zinc/65/64/86/764656486.db2.gz JOXSTXAVTMACNF-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnc(C)n1 ZINC001051249320 764673312 /nfs/dbraw/zinc/67/33/12/764673312.db2.gz FPZSXFUZKFQQKO-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnc(C)n1 ZINC001051249320 764673318 /nfs/dbraw/zinc/67/33/18/764673318.db2.gz FPZSXFUZKFQQKO-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCOc1ccc(C(=O)N(C)C2C[NH+](C[C@@H](C)O)C2)cc1 ZINC001043116984 764684219 /nfs/dbraw/zinc/68/42/19/764684219.db2.gz BIWXLRZJYGSTLR-CYBMUJFWSA-N 1 2 304.390 1.388 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(F)c[nH]2)[C@@H](O)C1 ZINC001090443098 764696738 /nfs/dbraw/zinc/69/67/38/764696738.db2.gz VSEUWLHQCXEEBD-PWSUYJOCSA-N 1 2 301.749 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(F)c[nH]2)[C@@H](O)C1 ZINC001090443098 764696748 /nfs/dbraw/zinc/69/67/48/764696748.db2.gz VSEUWLHQCXEEBD-PWSUYJOCSA-N 1 2 301.749 1.071 20 30 DDEDLO N#Cc1cccnc1NCC1CC(NC(=O)CCn2cc[nH+]c2)C1 ZINC001112861649 764897044 /nfs/dbraw/zinc/89/70/44/764897044.db2.gz CACVNRCYQBHDCC-UHFFFAOYSA-N 1 2 324.388 1.547 20 30 DDEDLO N#Cc1ccc(NCC2CC(NC(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001112873936 764912242 /nfs/dbraw/zinc/91/22/42/764912242.db2.gz GXZOIGNKCFQJBO-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NCC2CC(NC(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001112873936 764912248 /nfs/dbraw/zinc/91/22/48/764912248.db2.gz GXZOIGNKCFQJBO-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1ccc(C#N)nn1 ZINC001112994269 765104965 /nfs/dbraw/zinc/10/49/65/765104965.db2.gz UPTAJADYZKDKQG-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001112997284 765118113 /nfs/dbraw/zinc/11/81/13/765118113.db2.gz WWBLYTMRKSBWQF-MNOVXSKESA-N 1 2 302.382 1.635 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)C1CN(Cc2ccc(C#N)cc2)C1 ZINC001043978209 765202312 /nfs/dbraw/zinc/20/23/12/765202312.db2.gz RPEVQFDHRISXRC-UHFFFAOYSA-N 1 2 309.373 1.167 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C)c(C)cn2)CC1 ZINC001113133990 765310003 /nfs/dbraw/zinc/31/00/03/765310003.db2.gz MKOPKAHXORMYLJ-UHFFFAOYSA-N 1 2 303.406 1.659 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)/C=C\C3CC3)C2)CC1 ZINC001051998198 765365119 /nfs/dbraw/zinc/36/51/19/765365119.db2.gz OXDMKAWABRDYLN-AWFCHZOYSA-N 1 2 323.868 1.924 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ncccc3C)C2)CC1 ZINC001052007276 765375921 /nfs/dbraw/zinc/37/59/21/765375921.db2.gz XLTFZLMLCVWPQN-MRXNPFEDSA-N 1 2 314.433 1.408 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3coc(C)c3)C2)CC1 ZINC001052018187 765389502 /nfs/dbraw/zinc/38/95/02/765389502.db2.gz FTISKUMCZOMNTR-MRXNPFEDSA-N 1 2 303.406 1.606 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@](C)(C=C)CC)C2)CC1 ZINC001052077936 765450049 /nfs/dbraw/zinc/45/00/49/765450049.db2.gz YGXUJSGZYWGEKB-FUHWJXTLSA-N 1 2 303.450 1.440 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3CC4(CC4)C3)C2)CC1 ZINC001052082550 765456006 /nfs/dbraw/zinc/45/60/06/765456006.db2.gz TYQQZDAPSPZTQP-QGZVFWFLSA-N 1 2 315.461 1.418 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001113251532 765475962 /nfs/dbraw/zinc/47/59/62/765475962.db2.gz QZTHRFNWPQTBHF-QWRGUYRKSA-N 1 2 320.441 1.763 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH+]3CCN(CC=C)CC3)C2)nc1 ZINC001052106811 765476535 /nfs/dbraw/zinc/47/65/35/765476535.db2.gz VLRVHZZNOJWDGX-QGZVFWFLSA-N 1 2 324.428 1.081 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCN2C(=O)CCC)C1 ZINC001044328205 765485371 /nfs/dbraw/zinc/48/53/71/765485371.db2.gz TYPGJWMXDYIOJF-HNNXBMFYSA-N 1 2 307.438 1.496 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C[C@H](C)C3CC3)C2)CC1 ZINC001052122687 765485717 /nfs/dbraw/zinc/48/57/17/765485717.db2.gz YEGBUJBMPHSBKW-FUHWJXTLSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H](C)c2c(C)nn(C)c2C)C1 ZINC001044371706 765516282 /nfs/dbraw/zinc/51/62/82/765516282.db2.gz JDAXYDVPFXIEOZ-GFCCVEGCSA-N 1 2 302.422 1.306 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2conc2C2CC2)CC1 ZINC001113334716 765576070 /nfs/dbraw/zinc/57/60/70/765576070.db2.gz CFIMGSJUMQIANK-UHFFFAOYSA-N 1 2 305.378 1.512 20 30 DDEDLO Cc1cc(N(C)[C@H](C)CNC(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001113537507 765822749 /nfs/dbraw/zinc/82/27/49/765822749.db2.gz OQHIQWJZAXNWHF-ZYHUDNBSSA-N 1 2 301.394 1.763 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccoc2C)CC[C@H]1C ZINC001131771270 768339687 /nfs/dbraw/zinc/33/96/87/768339687.db2.gz GYZCWJVRZWHSLG-TZMCWYRMSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccoc2C)CC[C@H]1C ZINC001131771270 768339694 /nfs/dbraw/zinc/33/96/94/768339694.db2.gz GYZCWJVRZWHSLG-TZMCWYRMSA-N 1 2 319.405 1.473 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@@H](C)OC[C@@H]2CCCCO2)CC1 ZINC001113718928 766059238 /nfs/dbraw/zinc/05/92/38/766059238.db2.gz OZNVFIPJYANHGV-CVEARBPZSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1C[NH2+]Cc1nc(COC)no1 ZINC001045149809 766114913 /nfs/dbraw/zinc/11/49/13/766114913.db2.gz TXZHUPIQAVRHIM-LBPRGKRZSA-N 1 2 322.409 1.509 20 30 DDEDLO CN(C(=O)C1CC1)[C@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001047273088 768356210 /nfs/dbraw/zinc/35/62/10/768356210.db2.gz KPFZYHCDONJFHG-HOTGVXAUSA-N 1 2 317.364 1.111 20 30 DDEDLO CN(C(=O)C1CC1)[C@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001047273088 768356215 /nfs/dbraw/zinc/35/62/15/768356215.db2.gz KPFZYHCDONJFHG-HOTGVXAUSA-N 1 2 317.364 1.111 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#N)n1cc[nH+]c1 ZINC001045856451 766642163 /nfs/dbraw/zinc/64/21/63/766642163.db2.gz LWZJCRQPVHVWDW-KFWWJZLASA-N 1 2 301.394 1.423 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067894465 766788737 /nfs/dbraw/zinc/78/87/37/766788737.db2.gz WCWOLHXUCSSUGI-UHFFFAOYSA-N 1 2 320.437 1.861 20 30 DDEDLO Cc1nc(N2CC[C@@H](C)[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001067942503 766828027 /nfs/dbraw/zinc/82/80/27/766828027.db2.gz UJAYDAYEAKKXCJ-ABAIWWIYSA-N 1 2 324.388 1.630 20 30 DDEDLO C[C@]1(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)CC=CCC1 ZINC001046032261 766838460 /nfs/dbraw/zinc/83/84/60/766838460.db2.gz HVPAMUPLBAOFHD-WMZOPIPTSA-N 1 2 316.449 1.475 20 30 DDEDLO C[C@H](C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C1CCC1 ZINC001046038018 766843424 /nfs/dbraw/zinc/84/34/24/766843424.db2.gz FZJYWEGNECOUEP-HOCLYGCPSA-N 1 2 304.438 1.165 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(CC)CC)[C@@H](n2ccnn2)C1 ZINC001129440933 766894558 /nfs/dbraw/zinc/89/45/58/766894558.db2.gz GIZRDWRRQKLQOJ-KGLIPLIRSA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(CC)CC)[C@@H](n2ccnn2)C1 ZINC001129440933 766894566 /nfs/dbraw/zinc/89/45/66/766894566.db2.gz GIZRDWRRQKLQOJ-KGLIPLIRSA-N 1 2 303.410 1.079 20 30 DDEDLO Cc1nc(N2C[C@@H](NC(=O)c3ccc(C#N)[nH]3)C(C)(C)C2)cc[nH+]1 ZINC001068104944 766914034 /nfs/dbraw/zinc/91/40/34/766914034.db2.gz WYNMTRFUCBAWDR-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO C=CCC1(C(=O)N(CCO)CCNc2cc[nH+]c(C)n2)CCC1 ZINC001111555028 767380744 /nfs/dbraw/zinc/38/07/44/767380744.db2.gz MEIFAQGTPTZVBP-UHFFFAOYSA-N 1 2 318.421 1.764 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001046314041 767409667 /nfs/dbraw/zinc/40/96/67/767409667.db2.gz AGFNXWQXSCYHQR-OAHLLOKOSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001046314041 767409669 /nfs/dbraw/zinc/40/96/69/767409669.db2.gz AGFNXWQXSCYHQR-OAHLLOKOSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001046428908 767551868 /nfs/dbraw/zinc/55/18/68/767551868.db2.gz OLWDHUNBIQRLCR-CZUORRHYSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001046428908 767551871 /nfs/dbraw/zinc/55/18/71/767551871.db2.gz OLWDHUNBIQRLCR-CZUORRHYSA-N 1 2 322.840 1.779 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)[C@@H]2C)cc[nH+]1 ZINC001068813247 767633280 /nfs/dbraw/zinc/63/32/80/767633280.db2.gz OYURXYPFFUPIKM-ZWNOBZJWSA-N 1 2 310.361 1.700 20 30 DDEDLO C=CCOCC(=O)N(C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001096102010 767638219 /nfs/dbraw/zinc/63/82/19/767638219.db2.gz XMZDLPNAQKDTSG-UHFFFAOYSA-N 1 2 304.394 1.415 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001046605466 767731572 /nfs/dbraw/zinc/73/15/72/767731572.db2.gz RJCCNRKQRPQCOV-SWLSCSKDSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001046605466 767731575 /nfs/dbraw/zinc/73/15/75/767731575.db2.gz RJCCNRKQRPQCOV-SWLSCSKDSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001046621246 767745079 /nfs/dbraw/zinc/74/50/79/767745079.db2.gz IXQPJMCFQWDQLK-TYNCELHUSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001046621246 767745085 /nfs/dbraw/zinc/74/50/85/767745085.db2.gz IXQPJMCFQWDQLK-TYNCELHUSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2cscn2)C1 ZINC001046634183 767759308 /nfs/dbraw/zinc/75/93/08/767759308.db2.gz GJGIPWZDEFIRHI-YCPHGPKFSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2cscn2)C1 ZINC001046634183 767759310 /nfs/dbraw/zinc/75/93/10/767759310.db2.gz GJGIPWZDEFIRHI-YCPHGPKFSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001046634865 767759883 /nfs/dbraw/zinc/75/98/83/767759883.db2.gz JGCULHVJIPYTJP-XJKCOSOUSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001046634865 767759886 /nfs/dbraw/zinc/75/98/86/767759886.db2.gz JGCULHVJIPYTJP-XJKCOSOUSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001046638779 767762598 /nfs/dbraw/zinc/76/25/98/767762598.db2.gz AJQLOZNLAVPUID-SQWLQELKSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001046638779 767762604 /nfs/dbraw/zinc/76/26/04/767762604.db2.gz AJQLOZNLAVPUID-SQWLQELKSA-N 1 2 322.840 1.857 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001096119763 767788398 /nfs/dbraw/zinc/78/83/98/767788398.db2.gz ZAZGMONBSVFDPT-ZDUSSCGKSA-N 1 2 316.405 1.251 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](C)N(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001069048203 767797863 /nfs/dbraw/zinc/79/78/63/767797863.db2.gz AWYHBICUGBDMMS-YGRLFVJLSA-N 1 2 310.361 1.700 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@@H](C)N(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001069048202 767797943 /nfs/dbraw/zinc/79/79/43/767797943.db2.gz AWYHBICUGBDMMS-QMTHXVAHSA-N 1 2 310.361 1.700 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+]Cc1nnc(C(C)C)o1 ZINC001131867867 768444153 /nfs/dbraw/zinc/44/41/53/768444153.db2.gz XRAKPRBVMUBKLN-MRXNPFEDSA-N 1 2 324.425 1.628 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2ccc(F)cn2)C1 ZINC001131946401 768503906 /nfs/dbraw/zinc/50/39/06/768503906.db2.gz IYYMTWCCHBEHLU-BBRMVZONSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2ccc(F)cn2)C1 ZINC001131946401 768503909 /nfs/dbraw/zinc/50/39/09/768503909.db2.gz IYYMTWCCHBEHLU-BBRMVZONSA-N 1 2 321.396 1.892 20 30 DDEDLO CN(C(=O)[C@@H]1CC12CC2)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047542926 768556449 /nfs/dbraw/zinc/55/64/49/768556449.db2.gz GIRXADKKTFCRJH-BZSNNMDCSA-N 1 2 324.424 1.342 20 30 DDEDLO CN(C(=O)[C@@H]1CC12CC2)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047542926 768556450 /nfs/dbraw/zinc/55/64/50/768556450.db2.gz GIRXADKKTFCRJH-BZSNNMDCSA-N 1 2 324.424 1.342 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2oc(C3CC3)nc2C)C1 ZINC001047557371 768569391 /nfs/dbraw/zinc/56/93/91/768569391.db2.gz SRMFJILOYNDFEW-KBPBESRZSA-N 1 2 317.389 1.001 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2oc(C3CC3)nc2C)C1 ZINC001047557371 768569397 /nfs/dbraw/zinc/56/93/97/768569397.db2.gz SRMFJILOYNDFEW-KBPBESRZSA-N 1 2 317.389 1.001 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2coc(C)n2)C1 ZINC001132028978 768587391 /nfs/dbraw/zinc/58/73/91/768587391.db2.gz FAVUFYFJQWJIJP-HIFRSBDPSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2coc(C)n2)C1 ZINC001132028978 768587397 /nfs/dbraw/zinc/58/73/97/768587397.db2.gz FAVUFYFJQWJIJP-HIFRSBDPSA-N 1 2 319.405 1.492 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@H]2C)nn1C ZINC001132045097 768598440 /nfs/dbraw/zinc/59/84/40/768598440.db2.gz ACDMSIUUALXEFT-CZUORRHYSA-N 1 2 314.433 1.611 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@H](NC(=O)C#CC3CC3)CC[C@H]2C)nn1C ZINC001132045097 768598444 /nfs/dbraw/zinc/59/84/44/768598444.db2.gz ACDMSIUUALXEFT-CZUORRHYSA-N 1 2 314.433 1.611 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C#CC2CC2)C[N@@H+]1Cc1ccn(C)n1 ZINC001132047560 768600305 /nfs/dbraw/zinc/60/03/05/768600305.db2.gz YCTNBRHRMGIDEX-HIFRSBDPSA-N 1 2 300.406 1.303 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C#CC2CC2)C[N@H+]1Cc1ccn(C)n1 ZINC001132047560 768600311 /nfs/dbraw/zinc/60/03/11/768600311.db2.gz YCTNBRHRMGIDEX-HIFRSBDPSA-N 1 2 300.406 1.303 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C2(CC)CCC2)CC[C@@H]1C ZINC001132244737 768712030 /nfs/dbraw/zinc/71/20/30/768712030.db2.gz KDYFPPOZXVUOFB-LSDHHAIUSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C2(CC)CCC2)CC[C@@H]1C ZINC001132244737 768712034 /nfs/dbraw/zinc/71/20/34/768712034.db2.gz KDYFPPOZXVUOFB-LSDHHAIUSA-N 1 2 319.449 1.285 20 30 DDEDLO CC(C)CCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132319252 768756552 /nfs/dbraw/zinc/75/65/52/768756552.db2.gz WISGISWSBXAXOE-LSDHHAIUSA-N 1 2 322.453 1.422 20 30 DDEDLO CC(C)CCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132319252 768756554 /nfs/dbraw/zinc/75/65/54/768756554.db2.gz WISGISWSBXAXOE-LSDHHAIUSA-N 1 2 322.453 1.422 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001070925305 768785682 /nfs/dbraw/zinc/78/56/82/768785682.db2.gz DLJWZYUJOSUXPY-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001070925305 768785685 /nfs/dbraw/zinc/78/56/85/768785685.db2.gz DLJWZYUJOSUXPY-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C(C)=C2CCCC2)CC1 ZINC001070952741 768808899 /nfs/dbraw/zinc/80/88/99/768808899.db2.gz GCLYYPVPSGWRDB-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C(C)=C2CCCC2)CC1 ZINC001070952741 768808908 /nfs/dbraw/zinc/80/89/08/768808908.db2.gz GCLYYPVPSGWRDB-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2CC[C@H]3C[C@H]32)CC1 ZINC001070975537 768827439 /nfs/dbraw/zinc/82/74/39/768827439.db2.gz RQOJOXXNPVKNNU-HRCADAONSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2CC[C@H]3C[C@H]32)CC1 ZINC001070975537 768827454 /nfs/dbraw/zinc/82/74/54/768827454.db2.gz RQOJOXXNPVKNNU-HRCADAONSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC001096271803 768881701 /nfs/dbraw/zinc/88/17/01/768881701.db2.gz AZXPEBUDACUGAL-UHFFFAOYSA-N 1 2 313.405 1.158 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nc(CC(C)C)no1 ZINC001132591681 769014314 /nfs/dbraw/zinc/01/43/14/769014314.db2.gz UKIBJHHAIARUIJ-UHFFFAOYSA-N 1 2 306.410 1.668 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071181391 769109748 /nfs/dbraw/zinc/10/97/48/769109748.db2.gz NQQUSOMMWGHIOA-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc[n+]([O-])cc2)CC[C@H]1C ZINC001071333272 769296237 /nfs/dbraw/zinc/29/62/37/769296237.db2.gz ZZCFIZHZKMZICN-OCCSQVGLSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc[n+]([O-])cc2)CC[C@H]1C ZINC001071333272 769296243 /nfs/dbraw/zinc/29/62/43/769296243.db2.gz ZZCFIZHZKMZICN-OCCSQVGLSA-N 1 2 309.797 1.655 20 30 DDEDLO N#Cc1cccnc1N1CC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001096370382 769448256 /nfs/dbraw/zinc/44/82/56/769448256.db2.gz OGULYDOIBLWTGF-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C2CN(C(C)=O)C2)CC[C@@H]1C ZINC001071460112 769467225 /nfs/dbraw/zinc/46/72/25/769467225.db2.gz IRGNLQBNTNCAKU-FZMZJTMJSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C2CN(C(C)=O)C2)CC[C@@H]1C ZINC001071460112 769467227 /nfs/dbraw/zinc/46/72/27/769467227.db2.gz IRGNLQBNTNCAKU-FZMZJTMJSA-N 1 2 313.829 1.186 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096392759 769623763 /nfs/dbraw/zinc/62/37/63/769623763.db2.gz BBUKZSLEZPKQGE-HNNXBMFYSA-N 1 2 318.421 1.689 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001096414172 769805603 /nfs/dbraw/zinc/80/56/03/769805603.db2.gz SUGRSELRPHSAMJ-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@H]3CN(C(=O)C#CC4CC4)C[C@H]3C2)s1 ZINC001048810394 769926736 /nfs/dbraw/zinc/92/67/36/769926736.db2.gz LCYHNQTYPDTSEL-OKILXGFUSA-N 1 2 316.430 1.150 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@H]3CN(C(=O)C#CC4CC4)C[C@H]3C2)s1 ZINC001048810394 769926745 /nfs/dbraw/zinc/92/67/45/769926745.db2.gz LCYHNQTYPDTSEL-OKILXGFUSA-N 1 2 316.430 1.150 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2c(C)nc[nH]c2=O)CC[C@@H]1C ZINC001071763434 770024206 /nfs/dbraw/zinc/02/42/06/770024206.db2.gz VVYWZHOLQYRLPD-JQWIXIFHSA-N 1 2 324.812 1.826 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2c(C)nc[nH]c2=O)CC[C@@H]1C ZINC001071763434 770024217 /nfs/dbraw/zinc/02/42/17/770024217.db2.gz VVYWZHOLQYRLPD-JQWIXIFHSA-N 1 2 324.812 1.826 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001096457878 770193424 /nfs/dbraw/zinc/19/34/24/770193424.db2.gz XBFXQXZPTGWCPJ-YOEHRIQHSA-N 1 2 318.421 1.709 20 30 DDEDLO C[C@H](CC(=O)N[C@@H]1CCN(c2ccc(C#N)nc2)C1)n1cc[nH+]c1 ZINC001096488840 770450697 /nfs/dbraw/zinc/45/06/97/770450697.db2.gz UMVDYVAWRVKUPP-UKRRQHHQSA-N 1 2 324.388 1.496 20 30 DDEDLO N#Cc1cnccc1N1CC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001096494484 770491262 /nfs/dbraw/zinc/49/12/62/770491262.db2.gz BXMYJNSGRCPLFW-AWEZNQCLSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1cnccc1N1CC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001096494484 770491263 /nfs/dbraw/zinc/49/12/63/770491263.db2.gz BXMYJNSGRCPLFW-AWEZNQCLSA-N 1 2 310.361 1.004 20 30 DDEDLO C#CCC[N@H+]1C[C@H](NC(=O)c2ccc3[nH]nnc3c2)CC[C@H]1C ZINC001072066538 770508069 /nfs/dbraw/zinc/50/80/69/770508069.db2.gz MKAHJEQTMUNTFT-TZMCWYRMSA-N 1 2 311.389 1.564 20 30 DDEDLO C#CCC[N@@H+]1C[C@H](NC(=O)c2ccc3[nH]nnc3c2)CC[C@H]1C ZINC001072066538 770508071 /nfs/dbraw/zinc/50/80/71/770508071.db2.gz MKAHJEQTMUNTFT-TZMCWYRMSA-N 1 2 311.389 1.564 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049379991 770758909 /nfs/dbraw/zinc/75/89/09/770758909.db2.gz WCTNQJFHZWZLPL-JHJVBQTASA-N 1 2 317.393 1.357 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049379991 770758912 /nfs/dbraw/zinc/75/89/12/770758912.db2.gz WCTNQJFHZWZLPL-JHJVBQTASA-N 1 2 317.393 1.357 20 30 DDEDLO C#CCC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3[nH]nnc3c1)C2 ZINC001096525174 770829360 /nfs/dbraw/zinc/82/93/60/770829360.db2.gz FDLLYTGDFNOKQG-KCXAZCMYSA-N 1 2 309.373 1.316 20 30 DDEDLO C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3[nH]nnc3c1)C2 ZINC001096525174 770829369 /nfs/dbraw/zinc/82/93/69/770829369.db2.gz FDLLYTGDFNOKQG-KCXAZCMYSA-N 1 2 309.373 1.316 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1C ZINC001072426182 770874955 /nfs/dbraw/zinc/87/49/55/770874955.db2.gz DRUFCRHRKIEFEN-DZGCQCFKSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001049475713 770903182 /nfs/dbraw/zinc/90/31/82/770903182.db2.gz AQJMSXUDKQUFLI-XOKHGSTOSA-N 1 2 312.417 1.528 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001049475713 770903185 /nfs/dbraw/zinc/90/31/85/770903185.db2.gz AQJMSXUDKQUFLI-XOKHGSTOSA-N 1 2 312.417 1.528 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001134428296 771008681 /nfs/dbraw/zinc/00/86/81/771008681.db2.gz HRDURTJGXFWDPN-GFCCVEGCSA-N 1 2 324.425 1.975 20 30 DDEDLO C=CCC[NH2+]CCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001170810776 771471277 /nfs/dbraw/zinc/47/12/77/771471277.db2.gz LJFPPNAOGBTQIV-UHFFFAOYSA-N 1 2 302.382 1.096 20 30 DDEDLO Cn1cncc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(C#N)c1)C2 ZINC001096972737 771539838 /nfs/dbraw/zinc/53/98/38/771539838.db2.gz WYDGABJBYRAEEI-BMFZPTHFSA-N 1 2 324.388 1.155 20 30 DDEDLO Cn1cncc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(C#N)c1)C2 ZINC001096972737 771539842 /nfs/dbraw/zinc/53/98/42/771539842.db2.gz WYDGABJBYRAEEI-BMFZPTHFSA-N 1 2 324.388 1.155 20 30 DDEDLO CC1(C)NC(=O)N(Cc2ccc(NCCCS)[nH+]c2)C1=O ZINC001159871586 771960707 /nfs/dbraw/zinc/96/07/07/771960707.db2.gz LHKNJQSBWSNTOV-UHFFFAOYSA-N 1 2 308.407 1.644 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCCNc1nccc(N(C)C)n1 ZINC001171068734 772219837 /nfs/dbraw/zinc/21/98/37/772219837.db2.gz UQJTXPYYUVGLKZ-LBPRGKRZSA-N 1 2 307.398 1.181 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC([N@H+](C)CC(F)F)CC1 ZINC001137019943 772267431 /nfs/dbraw/zinc/26/74/31/772267431.db2.gz WJQVCYWGYHOLMO-OLZOCXBDSA-N 1 2 302.365 1.765 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC([N@@H+](C)CC(F)F)CC1 ZINC001137019943 772267434 /nfs/dbraw/zinc/26/74/34/772267434.db2.gz WJQVCYWGYHOLMO-OLZOCXBDSA-N 1 2 302.365 1.765 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@H](CNC(=O)Cn3cc[nH+]c3)C2)n1 ZINC001091463329 772771014 /nfs/dbraw/zinc/77/10/14/772771014.db2.gz FCEQVYUTIGVIOT-CTYIDZIISA-N 1 2 324.388 1.465 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1CC)C2 ZINC001147261224 773095175 /nfs/dbraw/zinc/09/51/75/773095175.db2.gz SYFKLMLGTWWOEN-UHFFFAOYSA-N 1 2 318.421 1.140 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+](Cc1nccn1CC)C2 ZINC001147261224 773095179 /nfs/dbraw/zinc/09/51/79/773095179.db2.gz SYFKLMLGTWWOEN-UHFFFAOYSA-N 1 2 318.421 1.140 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001073999493 773578820 /nfs/dbraw/zinc/57/88/20/773578820.db2.gz NAZPFOYYTJLZBM-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@@H](C)C1 ZINC001074090827 773625126 /nfs/dbraw/zinc/62/51/26/773625126.db2.gz REIIKSWLKHFANE-GXTWGEPZSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C)o1)C2 ZINC001148893372 773626043 /nfs/dbraw/zinc/62/60/43/773626043.db2.gz FIZKRDHDANUORY-UHFFFAOYSA-N 1 2 319.405 1.610 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C)o1)C2 ZINC001148893372 773626048 /nfs/dbraw/zinc/62/60/48/773626048.db2.gz FIZKRDHDANUORY-UHFFFAOYSA-N 1 2 319.405 1.610 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cn3cc(C)cn3)C[C@@H]21 ZINC001074176930 773698503 /nfs/dbraw/zinc/69/85/03/773698503.db2.gz CTQJJRGEHCVGOU-HOTGVXAUSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cn3cc(C)cn3)C[C@@H]21 ZINC001074176930 773698507 /nfs/dbraw/zinc/69/85/07/773698507.db2.gz CTQJJRGEHCVGOU-HOTGVXAUSA-N 1 2 318.421 1.069 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)COC3CCCC3)C[C@H]21 ZINC001074181464 773704023 /nfs/dbraw/zinc/70/40/23/773704023.db2.gz VKABFONLCRSYFO-SJORKVTESA-N 1 2 320.433 1.271 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)COC3CCCC3)C[C@H]21 ZINC001074181464 773704029 /nfs/dbraw/zinc/70/40/29/773704029.db2.gz VKABFONLCRSYFO-SJORKVTESA-N 1 2 320.433 1.271 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ncccc3C)C[C@H]21 ZINC001074208331 773731087 /nfs/dbraw/zinc/73/10/87/773731087.db2.gz GXOYRZIXMBSSTO-CVEARBPZSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ncccc3C)C[C@H]21 ZINC001074208331 773731091 /nfs/dbraw/zinc/73/10/91/773731091.db2.gz GXOYRZIXMBSSTO-CVEARBPZSA-N 1 2 313.401 1.329 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cccnc3)C[C@@H]21 ZINC001074215191 773737010 /nfs/dbraw/zinc/73/70/10/773737010.db2.gz DHLGXFWAKAFSJL-DLBZAZTESA-N 1 2 315.417 1.502 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cccnc3)C[C@@H]21 ZINC001074215191 773737012 /nfs/dbraw/zinc/73/70/12/773737012.db2.gz DHLGXFWAKAFSJL-DLBZAZTESA-N 1 2 315.417 1.502 20 30 DDEDLO C[C@@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1ccc(C#N)nc1 ZINC001098302212 773756364 /nfs/dbraw/zinc/75/63/64/773756364.db2.gz GHOWVVYKAHTRDR-STQMWFEESA-N 1 2 312.377 1.718 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)C[C@]2(C)C1 ZINC001092003815 773906951 /nfs/dbraw/zinc/90/69/51/773906951.db2.gz PTUWDOHBKAUXLG-BZNIZROVSA-N 1 2 322.359 1.765 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)C[C@]2(C)C1 ZINC001092003815 773906959 /nfs/dbraw/zinc/90/69/59/773906959.db2.gz PTUWDOHBKAUXLG-BZNIZROVSA-N 1 2 322.359 1.765 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1occc1C)c1nccn12 ZINC001092344759 774064698 /nfs/dbraw/zinc/06/46/98/774064698.db2.gz UGVDDUKHPWYSGN-CQSZACIVSA-N 1 2 324.384 1.694 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC13CCC3)c1nccn12 ZINC001092400912 774102521 /nfs/dbraw/zinc/10/25/21/774102521.db2.gz RWPZQSBMWIEOET-CABCVRRESA-N 1 2 324.428 1.669 20 30 DDEDLO C=C(C)CCC(=O)N1CCN(c2[nH+]cnc3c2cnn3C)CC1 ZINC001093180801 774475278 /nfs/dbraw/zinc/47/52/78/774475278.db2.gz XIFJCPQYLNVWND-UHFFFAOYSA-N 1 2 314.393 1.368 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H](C)C3CC3)C2)nn1 ZINC001098649065 774631261 /nfs/dbraw/zinc/63/12/61/774631261.db2.gz KIAZPRCPAPFGPN-CJNGLKHVSA-N 1 2 315.421 1.211 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)Cc3cnc(C)o3)CC2)C1 ZINC001093541950 774814791 /nfs/dbraw/zinc/81/47/91/774814791.db2.gz BJOYPJYCYXYJAU-UHFFFAOYSA-N 1 2 319.405 1.403 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CCO[C@@H]3C)CC2)C1 ZINC001093588525 774870400 /nfs/dbraw/zinc/87/04/00/774870400.db2.gz PMOWAFVIAJDUMR-CJNGLKHVSA-N 1 2 308.422 1.289 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3c(C)cnn3C)CC2)C1 ZINC001093562540 774889929 /nfs/dbraw/zinc/88/99/29/774889929.db2.gz VUNDUMOOKMCAQF-UHFFFAOYSA-N 1 2 318.421 1.220 20 30 DDEDLO C[C@H](CC(=O)NC[C@H]1CCC(C)(C)CN1CC#N)n1cc[nH+]c1 ZINC001099251183 774918742 /nfs/dbraw/zinc/91/87/42/774918742.db2.gz HJASDMINTIIXBQ-HUUCEWRRSA-N 1 2 317.437 1.965 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCNc1nc(Cl)c(C#N)s1 ZINC001093776792 775101037 /nfs/dbraw/zinc/10/10/37/775101037.db2.gz OXIGZERQRBAXRG-UHFFFAOYSA-N 1 2 324.797 1.471 20 30 DDEDLO Cc1cc(NCCNC(=O)c2cccc3[nH+]ccn32)c(C#N)cn1 ZINC001093986506 775299870 /nfs/dbraw/zinc/29/98/70/775299870.db2.gz SLWUYVWNAAQRJN-UHFFFAOYSA-N 1 2 320.356 1.173 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099830459 775338101 /nfs/dbraw/zinc/33/81/01/775338101.db2.gz SDZFJVWMSDUHBW-ZIAGYGMSSA-N 1 2 321.446 1.244 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099830459 775338110 /nfs/dbraw/zinc/33/81/10/775338110.db2.gz SDZFJVWMSDUHBW-ZIAGYGMSSA-N 1 2 321.446 1.244 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001099847939 775350161 /nfs/dbraw/zinc/35/01/61/775350161.db2.gz QZUUJSMMPSPIMP-ZFWWWQNUSA-N 1 2 321.421 1.637 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001099847939 775350176 /nfs/dbraw/zinc/35/01/76/775350176.db2.gz QZUUJSMMPSPIMP-ZFWWWQNUSA-N 1 2 321.421 1.637 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C[C@@H]1O ZINC001099855073 775357751 /nfs/dbraw/zinc/35/77/51/775357751.db2.gz CACQASJAVJSTLQ-HOTGVXAUSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C[C@@H]1O ZINC001099855073 775357763 /nfs/dbraw/zinc/35/77/63/775357763.db2.gz CACQASJAVJSTLQ-HOTGVXAUSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCC2(C)CC2)[C@H](O)C1 ZINC001100060657 775632597 /nfs/dbraw/zinc/63/25/97/775632597.db2.gz WOCAHRLBXCWINK-CHWSQXEVSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCC2(C)CC2)[C@H](O)C1 ZINC001100060657 775632604 /nfs/dbraw/zinc/63/26/04/775632604.db2.gz WOCAHRLBXCWINK-CHWSQXEVSA-N 1 2 300.830 1.871 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CCCC[C@H]3C)nn2)C1 ZINC001094314090 775669735 /nfs/dbraw/zinc/66/97/35/775669735.db2.gz MYNLSCKIALECET-CJNGLKHVSA-N 1 2 317.437 1.763 20 30 DDEDLO CN(CCNC(=O)c1cccc2[nH+]ccn21)c1ccc(C#N)cn1 ZINC001100226301 775853734 /nfs/dbraw/zinc/85/37/34/775853734.db2.gz QSFDBCAHDCNETB-UHFFFAOYSA-N 1 2 320.356 1.467 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCCN(C)c1ncccc1C#N ZINC001100368358 776062241 /nfs/dbraw/zinc/06/22/41/776062241.db2.gz ZFJZJGMIEKSHGB-LBPRGKRZSA-N 1 2 312.377 1.108 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCCN(C)c1ncccc1C#N ZINC001100368358 776062249 /nfs/dbraw/zinc/06/22/49/776062249.db2.gz ZFJZJGMIEKSHGB-LBPRGKRZSA-N 1 2 312.377 1.108 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094711169 776180076 /nfs/dbraw/zinc/18/00/76/776180076.db2.gz YDGOPQMRZQDLJO-CYBMUJFWSA-N 1 2 320.441 1.767 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3cccc(F)c3)CC2=O)C1 ZINC001094697114 776206901 /nfs/dbraw/zinc/20/69/01/776206901.db2.gz HLIGVTBRIOFUOA-AWEZNQCLSA-N 1 2 317.364 1.027 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)cn1 ZINC001094781350 776270802 /nfs/dbraw/zinc/27/08/02/776270802.db2.gz IIZSFFHECIFNOC-CQSZACIVSA-N 1 2 324.388 1.715 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1CCCN1c1ccc(C#N)cn1 ZINC001100855799 776637852 /nfs/dbraw/zinc/63/78/52/776637852.db2.gz MRPRTPFGPPWZOA-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]([NH2+]Cc2nnn(C)n2)C12CCC2 ZINC001203340499 776831060 /nfs/dbraw/zinc/83/10/60/776831060.db2.gz LWHIOTGXXYLCIE-QWHCGFSZSA-N 1 2 318.425 1.083 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](CNC(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001101033622 776842110 /nfs/dbraw/zinc/84/21/10/776842110.db2.gz BVKRSWRLUDUQDV-AWEZNQCLSA-N 1 2 324.388 1.183 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@@]2(C)C1 ZINC001101167764 776960710 /nfs/dbraw/zinc/96/07/10/776960710.db2.gz SOFOXRSQADKXFV-CJNGLKHVSA-N 1 2 303.410 1.360 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@@]2(C)C1 ZINC001101167764 776960714 /nfs/dbraw/zinc/96/07/14/776960714.db2.gz SOFOXRSQADKXFV-CJNGLKHVSA-N 1 2 303.410 1.360 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCN(c1ccc(C#N)nc1)C1CC1 ZINC001101373301 777104060 /nfs/dbraw/zinc/10/40/60/777104060.db2.gz CDDBZCQSYVETRH-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](CC)OC)c1nccn12 ZINC001101614076 777305529 /nfs/dbraw/zinc/30/55/29/777305529.db2.gz NUWPHAVESJQUGS-STQMWFEESA-N 1 2 304.394 1.066 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)CCCn1cc[nH+]c1 ZINC001101663242 777361334 /nfs/dbraw/zinc/36/13/34/777361334.db2.gz XQXRVJAWMHDPPB-UHFFFAOYSA-N 1 2 312.377 1.500 20 30 DDEDLO N#Cc1ccc(Nc2cnn(CC[NH+]3CCOCC3)c2)c(F)c1 ZINC001174492178 777486453 /nfs/dbraw/zinc/48/64/53/777486453.db2.gz OWBGYLDZWBYBGW-UHFFFAOYSA-N 1 2 315.352 1.970 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccnn1C ZINC001110183527 777724642 /nfs/dbraw/zinc/72/46/42/777724642.db2.gz XKMGPVODITVIIZ-XRGAULLZSA-N 1 2 318.421 1.233 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccnn1C ZINC001110183527 777724647 /nfs/dbraw/zinc/72/46/47/777724647.db2.gz XKMGPVODITVIIZ-XRGAULLZSA-N 1 2 318.421 1.233 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1C[C@H]2CN(C(=O)OC(C)(C)C)C[C@@]2(C)C1 ZINC001175984623 777985380 /nfs/dbraw/zinc/98/53/80/777985380.db2.gz WIANLCMXAIJMLS-GDZNZVCISA-N 1 2 324.421 1.903 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1C[C@H]2CN(C(=O)OC(C)(C)C)C[C@@]2(C)C1 ZINC001175984623 777985382 /nfs/dbraw/zinc/98/53/82/777985382.db2.gz WIANLCMXAIJMLS-GDZNZVCISA-N 1 2 324.421 1.903 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](CCNC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001102343646 778080905 /nfs/dbraw/zinc/08/09/05/778080905.db2.gz LTYHFBJYMHRNEZ-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](CCNC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001102398446 778117104 /nfs/dbraw/zinc/11/71/04/778117104.db2.gz SRBGXBLNKBDNCJ-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC(C)C)[C@@H]2C1 ZINC001176955814 778348730 /nfs/dbraw/zinc/34/87/30/778348730.db2.gz ZPNVCZFXGMNHEM-SJORKVTESA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOCC(C)C)[C@@H]2C1 ZINC001176955814 778348738 /nfs/dbraw/zinc/34/87/38/778348738.db2.gz ZPNVCZFXGMNHEM-SJORKVTESA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC(F)(F)F)C[C@H]21 ZINC001176989817 778375318 /nfs/dbraw/zinc/37/53/18/778375318.db2.gz XVXBEUUBHADTQO-CHWSQXEVSA-N 1 2 318.339 1.654 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC(F)(F)F)C[C@H]21 ZINC001176989817 778375323 /nfs/dbraw/zinc/37/53/23/778375323.db2.gz XVXBEUUBHADTQO-CHWSQXEVSA-N 1 2 318.339 1.654 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3(OC)CCC3)C[C@@H]21 ZINC001177004765 778384386 /nfs/dbraw/zinc/38/43/86/778384386.db2.gz BNRWTDKUIUIYDN-HOTGVXAUSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3(OC)CCC3)C[C@@H]21 ZINC001177004765 778384393 /nfs/dbraw/zinc/38/43/93/778384393.db2.gz BNRWTDKUIUIYDN-HOTGVXAUSA-N 1 2 320.433 1.271 20 30 DDEDLO C[C@@H](C#N)N(C)C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)OC(C)(C)C ZINC001177086257 778440482 /nfs/dbraw/zinc/44/04/82/778440482.db2.gz GYXYKRJIQTYARE-CMPLNLGQSA-N 1 2 321.381 1.216 20 30 DDEDLO C[C@@H](C#N)N(C)C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)OC(C)(C)C ZINC001177086257 778440487 /nfs/dbraw/zinc/44/04/87/778440487.db2.gz GYXYKRJIQTYARE-CMPLNLGQSA-N 1 2 321.381 1.216 20 30 DDEDLO CO/N=C(\C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)c1ccco1 ZINC001177350700 778563144 /nfs/dbraw/zinc/56/31/44/778563144.db2.gz VQYUAVCIHDHQKB-AFSRSGBESA-N 1 2 302.334 1.759 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](CC)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001103260569 778743562 /nfs/dbraw/zinc/74/35/62/778743562.db2.gz BJLVRLWVJFDQIG-LBPRGKRZSA-N 1 2 320.441 1.765 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001103357470 778812985 /nfs/dbraw/zinc/81/29/85/778812985.db2.gz SLGIJSAGKUTWAO-ZDUSSCGKSA-N 1 2 312.377 1.616 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001103357470 778812996 /nfs/dbraw/zinc/81/29/96/778812996.db2.gz SLGIJSAGKUTWAO-ZDUSSCGKSA-N 1 2 312.377 1.616 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001103808740 779063443 /nfs/dbraw/zinc/06/34/43/779063443.db2.gz NEYKTHRVQNNVKG-CQSZACIVSA-N 1 2 304.394 1.781 20 30 DDEDLO CCc1nc(C[NH2+]CC[C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)no1 ZINC001104005310 779239129 /nfs/dbraw/zinc/23/91/29/779239129.db2.gz ZKWNDXQTPLBMEL-YNEHKIRRSA-N 1 2 319.409 1.366 20 30 DDEDLO C[C@@H](CNC(=O)CCn1cc[nH+]c1)CNc1ccc(C#N)nc1 ZINC001104152531 779338211 /nfs/dbraw/zinc/33/82/11/779338211.db2.gz AENXVNPWQYHIRQ-CYBMUJFWSA-N 1 2 312.377 1.404 20 30 DDEDLO C[C@@H](CCCNc1ccc(C#N)cn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114983747 779803268 /nfs/dbraw/zinc/80/32/68/779803268.db2.gz QFLHFZBUBOELFO-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C=CCSCC(=O)N[C@@H](C[NH+]1CCOCC1)C(C)(C)C ZINC001180562737 779834412 /nfs/dbraw/zinc/83/44/12/779834412.db2.gz ZKTYAISSTKFMSF-ZDUSSCGKSA-N 1 2 300.468 1.769 20 30 DDEDLO C[C@H](CCCNc1ccncc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115089086 779869307 /nfs/dbraw/zinc/86/93/07/779869307.db2.gz QOEKVDYBKWUMMD-GFCCVEGCSA-N 1 2 312.377 1.038 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CC(=O)c2[nH]c(C)c(C(=O)OC)c2C)C1 ZINC001116556791 780523571 /nfs/dbraw/zinc/52/35/71/780523571.db2.gz UEOHVJPENQUBIO-CYBMUJFWSA-N 1 2 320.389 1.878 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CC(=O)c2[nH]c(C)c(C(=O)OC)c2C)C1 ZINC001116556791 780523575 /nfs/dbraw/zinc/52/35/75/780523575.db2.gz UEOHVJPENQUBIO-CYBMUJFWSA-N 1 2 320.389 1.878 20 30 DDEDLO C=C[C@@H](COC)NC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC001116621613 780533367 /nfs/dbraw/zinc/53/33/67/780533367.db2.gz YSAKVXVZKCZQSJ-ZDUSSCGKSA-N 1 2 302.378 1.685 20 30 DDEDLO C=CCCC(=O)NCC[N@@H+](C)CC(=O)N[C@H]1CCCC[C@H]1C ZINC001267188169 837499953 /nfs/dbraw/zinc/49/99/53/837499953.db2.gz LQTIVZXVBJSDEL-CABCVRRESA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCCC(=O)NCC[N@H+](C)CC(=O)N[C@H]1CCCC[C@H]1C ZINC001267188169 837499959 /nfs/dbraw/zinc/49/99/59/837499959.db2.gz LQTIVZXVBJSDEL-CABCVRRESA-N 1 2 309.454 1.696 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[N@H+](C)CC(=O)NCC(F)(F)F ZINC001267215821 837548669 /nfs/dbraw/zinc/54/86/69/837548669.db2.gz POGJMHVQNARQGW-ZDUSSCGKSA-N 1 2 323.359 1.315 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[N@@H+](C)CC(=O)NCC(F)(F)F ZINC001267215821 837548676 /nfs/dbraw/zinc/54/86/76/837548676.db2.gz POGJMHVQNARQGW-ZDUSSCGKSA-N 1 2 323.359 1.315 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)c1cccs1 ZINC001267260395 837686207 /nfs/dbraw/zinc/68/62/07/837686207.db2.gz YOLKFKUMOJUSRD-LBPRGKRZSA-N 1 2 307.419 1.245 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H]1CNC(=O)c1cccs1 ZINC001267260395 837686211 /nfs/dbraw/zinc/68/62/11/837686211.db2.gz YOLKFKUMOJUSRD-LBPRGKRZSA-N 1 2 307.419 1.245 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1cn(CC2CC2)nn1 ZINC001267261104 837687197 /nfs/dbraw/zinc/68/71/97/837687197.db2.gz PWKLCMLUSIHNSC-MRXNPFEDSA-N 1 2 317.437 1.735 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[N@H+]1Cc1cn(CC2CC2)nn1 ZINC001267261104 837687202 /nfs/dbraw/zinc/68/72/02/837687202.db2.gz PWKLCMLUSIHNSC-MRXNPFEDSA-N 1 2 317.437 1.735 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+](Cc2nc(N(C)C)no2)C1 ZINC001267288248 837752871 /nfs/dbraw/zinc/75/28/71/837752871.db2.gz PFGVTMVWUVLACP-LBPRGKRZSA-N 1 2 307.398 1.040 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+](Cc2nc(N(C)C)no2)C1 ZINC001267288248 837752882 /nfs/dbraw/zinc/75/28/82/837752882.db2.gz PFGVTMVWUVLACP-LBPRGKRZSA-N 1 2 307.398 1.040 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[N@H+](Cc1conc1C)C1CC1 ZINC001267326698 837832407 /nfs/dbraw/zinc/83/24/07/837832407.db2.gz ZDGLOYNCBKSCJO-CYBMUJFWSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[N@@H+](Cc1conc1C)C1CC1 ZINC001267326698 837832426 /nfs/dbraw/zinc/83/24/26/837832426.db2.gz ZDGLOYNCBKSCJO-CYBMUJFWSA-N 1 2 305.378 1.102 20 30 DDEDLO C=C(C)CCC(=O)NCCN1CC[NH+](Cc2cccnc2)CC1 ZINC001266286132 836048620 /nfs/dbraw/zinc/04/86/20/836048620.db2.gz ZYJDQIGXKPDZHX-UHFFFAOYSA-N 1 2 316.449 1.672 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(Cc2cccnc2)CC1 ZINC001266286132 836048632 /nfs/dbraw/zinc/04/86/32/836048632.db2.gz ZYJDQIGXKPDZHX-UHFFFAOYSA-N 1 2 316.449 1.672 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1cccc(C)c1C ZINC001266354952 836163749 /nfs/dbraw/zinc/16/37/49/836163749.db2.gz VNHDWWYKEFHINJ-UHFFFAOYSA-N 1 2 303.406 1.267 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1cccc(C)c1C ZINC001266354952 836163758 /nfs/dbraw/zinc/16/37/58/836163758.db2.gz VNHDWWYKEFHINJ-UHFFFAOYSA-N 1 2 303.406 1.267 20 30 DDEDLO CCCCc1noc([C@@H](C)[NH2+]CCN(C)C(=O)C#CC2CC2)n1 ZINC001266694555 836681844 /nfs/dbraw/zinc/68/18/44/836681844.db2.gz RHJCARBACQGSSE-CYBMUJFWSA-N 1 2 318.421 1.935 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1[C@H]2C[N@@H+](C/C(Cl)=C/Cl)C[C@H]21 ZINC001266901088 837030149 /nfs/dbraw/zinc/03/01/49/837030149.db2.gz NJDVVUWEYVBETN-LGTBGHKDSA-N 1 2 317.216 1.392 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1[C@H]2C[N@H+](C/C(Cl)=C/Cl)C[C@H]21 ZINC001266901088 837030160 /nfs/dbraw/zinc/03/01/60/837030160.db2.gz NJDVVUWEYVBETN-LGTBGHKDSA-N 1 2 317.216 1.392 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1ncnn1C ZINC001279540998 837088345 /nfs/dbraw/zinc/08/83/45/837088345.db2.gz IEMIOQQFTDXRPH-ZIAGYGMSSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1ncnn1C ZINC001279540998 837088350 /nfs/dbraw/zinc/08/83/50/837088350.db2.gz IEMIOQQFTDXRPH-ZIAGYGMSSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CC[N@H+](CCNC(=O)CS(=O)(=O)C1CCCC1)C1CC1 ZINC001267328479 837836428 /nfs/dbraw/zinc/83/64/28/837836428.db2.gz XKTTUSNAVCFOAK-UHFFFAOYSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CC[N@@H+](CCNC(=O)CS(=O)(=O)C1CCCC1)C1CC1 ZINC001267328479 837836435 /nfs/dbraw/zinc/83/64/35/837836435.db2.gz XKTTUSNAVCFOAK-UHFFFAOYSA-N 1 2 314.451 1.110 20 30 DDEDLO CN(CC[NH2+]Cc1nc(C(C)(C)C)no1)C(=O)C#CC(C)(C)C ZINC001267370886 837930197 /nfs/dbraw/zinc/93/01/97/837930197.db2.gz DUIRECPQUKRJIH-UHFFFAOYSA-N 1 2 320.437 1.965 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)C2(C)CCCCC2)CC1 ZINC001267612394 838462975 /nfs/dbraw/zinc/46/29/75/838462975.db2.gz IGRNGLXIUSHZCN-UHFFFAOYSA-N 1 2 305.466 1.666 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1=CCCC1 ZINC001267707419 838689963 /nfs/dbraw/zinc/68/99/63/838689963.db2.gz WUPJKUOHAHQVQH-SNPRPXQTSA-N 1 2 303.406 1.073 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1=CCCC1 ZINC001267707419 838689967 /nfs/dbraw/zinc/68/99/67/838689967.db2.gz WUPJKUOHAHQVQH-SNPRPXQTSA-N 1 2 303.406 1.073 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]([NH2+]Cc2nc(C)no2)C[C@H]1C ZINC001284004394 839358883 /nfs/dbraw/zinc/35/88/83/839358883.db2.gz SDXWOOSWWDYPCV-OCCSQVGLSA-N 1 2 304.394 1.651 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1conc1C ZINC001268939297 841017372 /nfs/dbraw/zinc/01/73/72/841017372.db2.gz FEIBSSUYMNHRRJ-DZKIICNBSA-N 1 2 305.378 1.095 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1conc1C ZINC001268939297 841017382 /nfs/dbraw/zinc/01/73/82/841017382.db2.gz FEIBSSUYMNHRRJ-DZKIICNBSA-N 1 2 305.378 1.095 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CCC2(CN(C(=O)CCS)C2)C1 ZINC001268960032 841057357 /nfs/dbraw/zinc/05/73/57/841057357.db2.gz UPYXXBBYMUWWJE-UHFFFAOYSA-N 1 2 308.451 1.257 20 30 DDEDLO CCn1ccnc1C[N@H+]1CCC2(CN(C(=O)CCS)C2)C1 ZINC001268960032 841057372 /nfs/dbraw/zinc/05/73/72/841057372.db2.gz UPYXXBBYMUWWJE-UHFFFAOYSA-N 1 2 308.451 1.257 20 30 DDEDLO C=CC[C@H](C)C(=O)N1C[C@@H]2COC[C@H](C1)N2CCn1cc[nH+]c1 ZINC001268968400 841065189 /nfs/dbraw/zinc/06/51/89/841065189.db2.gz PDJHJYOGVMSRTN-HRCADAONSA-N 1 2 318.421 1.007 20 30 DDEDLO CC[C@@H](C)CC(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001268976108 841073980 /nfs/dbraw/zinc/07/39/80/841073980.db2.gz VUGIFXONQGNCSZ-CQSZACIVSA-N 1 2 314.433 1.977 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCCCC)C1 ZINC001269061540 841161252 /nfs/dbraw/zinc/16/12/52/841161252.db2.gz KYSGCXBDUKPCJS-WMLDXEAASA-N 1 2 309.454 1.696 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@H+](CC(=O)NCCCC)C1 ZINC001269061540 841161255 /nfs/dbraw/zinc/16/12/55/841161255.db2.gz KYSGCXBDUKPCJS-WMLDXEAASA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCC[N@H+]1CC[C@H](NC(=O)C2(S(C)(=O)=O)CCCC2)C1 ZINC001269069830 841170693 /nfs/dbraw/zinc/17/06/93/841170693.db2.gz WWFYALNQBKLYDU-ZDUSSCGKSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H](NC(=O)C2(S(C)(=O)=O)CCCC2)C1 ZINC001269069830 841170698 /nfs/dbraw/zinc/17/06/98/841170698.db2.gz WWFYALNQBKLYDU-ZDUSSCGKSA-N 1 2 314.451 1.110 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C2CCC(C)(C)CC2)C1 ZINC001269200525 841333297 /nfs/dbraw/zinc/33/32/97/841333297.db2.gz XKSPKIBPIOOLTJ-OAHLLOKOSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C2CCC(C)(C)CC2)C1 ZINC001269200525 841333310 /nfs/dbraw/zinc/33/33/10/841333310.db2.gz XKSPKIBPIOOLTJ-OAHLLOKOSA-N 1 2 319.449 1.143 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H](C)Oc1cccc(F)c1 ZINC001269235332 841396266 /nfs/dbraw/zinc/39/62/66/841396266.db2.gz JVIYIUGBXRTJOR-ZFWWWQNUSA-N 1 2 304.365 1.807 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H](C)Oc1cccc(F)c1 ZINC001269235332 841396276 /nfs/dbraw/zinc/39/62/76/841396276.db2.gz JVIYIUGBXRTJOR-ZFWWWQNUSA-N 1 2 304.365 1.807 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc2cnccc2c1 ZINC001269260467 841437580 /nfs/dbraw/zinc/43/75/80/841437580.db2.gz FUNSTWLRVBOZEW-INIZCTEOSA-N 1 2 324.384 1.084 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc2cnccc2c1 ZINC001269260467 841437587 /nfs/dbraw/zinc/43/75/87/841437587.db2.gz FUNSTWLRVBOZEW-INIZCTEOSA-N 1 2 324.384 1.084 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001269286395 841471278 /nfs/dbraw/zinc/47/12/78/841471278.db2.gz NRWPYOMNQQBPKF-CRAIPNDOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001269286395 841471284 /nfs/dbraw/zinc/47/12/84/841471284.db2.gz NRWPYOMNQQBPKF-CRAIPNDOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCN1CC[C@]2(CCCN2C(=O)CCn2cc[nH+]c2)C1=O ZINC001269581760 841787977 /nfs/dbraw/zinc/78/79/77/841787977.db2.gz UVTJGESQACMVDP-MRXNPFEDSA-N 1 2 302.378 1.053 20 30 DDEDLO C=CCC1(C(=O)N(C)C2C[NH+](C[C@H](O)COC)C2)CCCC1 ZINC001269908159 842145040 /nfs/dbraw/zinc/14/50/40/842145040.db2.gz WFUSTMAZZSCHJQ-HNNXBMFYSA-N 1 2 310.438 1.273 20 30 DDEDLO CC[C@@H](CC(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C(C)C ZINC001270528021 842643038 /nfs/dbraw/zinc/64/30/38/842643038.db2.gz BHKFHMNGBCYOTD-DLBZAZTESA-N 1 2 320.481 1.801 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@](C)(NC(=O)c2cocc2C)C1 ZINC001270614809 842718068 /nfs/dbraw/zinc/71/80/68/842718068.db2.gz IFWANOHOMKWGAZ-MRXNPFEDSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@](C)(NC(=O)c2cocc2C)C1 ZINC001270614809 842718074 /nfs/dbraw/zinc/71/80/74/842718074.db2.gz IFWANOHOMKWGAZ-MRXNPFEDSA-N 1 2 305.378 1.084 20 30 DDEDLO CC#CC(=O)N1CC[C@H]2[C@@H]1CC[N@@H+]2Cc1nc2ccccc2[nH]1 ZINC001270716636 842842588 /nfs/dbraw/zinc/84/25/88/842842588.db2.gz KXZAWLPIWLPTIK-HOTGVXAUSA-N 1 2 308.385 1.761 20 30 DDEDLO CC#CC(=O)N1CC[C@H]2[C@@H]1CC[N@H+]2Cc1nc2ccccc2[nH]1 ZINC001270716636 842842595 /nfs/dbraw/zinc/84/25/95/842842595.db2.gz KXZAWLPIWLPTIK-HOTGVXAUSA-N 1 2 308.385 1.761 20 30 DDEDLO CCS(=O)(=O)c1ccc(CNc2cc(CC#N)cc[nH+]2)cn1 ZINC001154706623 861380252 /nfs/dbraw/zinc/38/02/52/861380252.db2.gz KZQFSHOOJCKWJC-UHFFFAOYSA-N 1 2 316.386 1.948 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CCCOC)C1 ZINC001149256054 861502222 /nfs/dbraw/zinc/50/22/22/861502222.db2.gz JVOKUNPMVLJGAB-ZDUSSCGKSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CCCOC)C1 ZINC001149256054 861502232 /nfs/dbraw/zinc/50/22/32/861502232.db2.gz JVOKUNPMVLJGAB-ZDUSSCGKSA-N 1 2 304.818 1.373 20 30 DDEDLO C#CC(C)(C)C(=O)N1CC2(C1)C[NH+](Cc1scnc1C)C2 ZINC001272345571 846052608 /nfs/dbraw/zinc/05/26/08/846052608.db2.gz FWLQSZOUSOSQJW-UHFFFAOYSA-N 1 2 303.431 1.755 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](C)[N@H+](CC)Cc2cn(C)nn2)CC1 ZINC001155027944 861658489 /nfs/dbraw/zinc/65/84/89/861658489.db2.gz DKHPKUMDXJCJRG-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2cn(C)nn2)CC1 ZINC001155027944 861658503 /nfs/dbraw/zinc/65/85/03/861658503.db2.gz DKHPKUMDXJCJRG-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO C#CCN1CC[C@@]2(CC[N@@H+](Cc3c(O)cc(F)cc3F)C2)C1=O ZINC001272617101 846413697 /nfs/dbraw/zinc/41/36/97/846413697.db2.gz SMYKXZWJUXCISK-QGZVFWFLSA-N 1 2 320.339 1.728 20 30 DDEDLO C#CCN1CC[C@@]2(CC[N@H+](Cc3c(O)cc(F)cc3F)C2)C1=O ZINC001272617101 846413702 /nfs/dbraw/zinc/41/37/02/846413702.db2.gz SMYKXZWJUXCISK-QGZVFWFLSA-N 1 2 320.339 1.728 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001299254933 846782522 /nfs/dbraw/zinc/78/25/22/846782522.db2.gz UDERMQGNFITZSF-NWDGAFQWSA-N 1 2 304.394 1.175 20 30 DDEDLO CC1CC(C[N@@H+]2CCOC[C@@H]2CNC(=O)CSCC#N)C1 ZINC001272832907 847524956 /nfs/dbraw/zinc/52/49/56/847524956.db2.gz AOUCWDXPENWLMP-RUXDESIVSA-N 1 2 311.451 1.106 20 30 DDEDLO CC1CC(C[N@H+]2CCOC[C@@H]2CNC(=O)CSCC#N)C1 ZINC001272832907 847524965 /nfs/dbraw/zinc/52/49/65/847524965.db2.gz AOUCWDXPENWLMP-RUXDESIVSA-N 1 2 311.451 1.106 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cccc(OC)c1)C2 ZINC001272896124 847603679 /nfs/dbraw/zinc/60/36/79/847603679.db2.gz LXTNCHAFHTWPAY-UHFFFAOYSA-N 1 2 302.374 1.294 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@H+](Cc3nc(C)cs3)C2)OCC1=O ZINC001272997269 847760590 /nfs/dbraw/zinc/76/05/90/847760590.db2.gz XGJPOJCAKFXGLY-MRXNPFEDSA-N 1 2 321.446 1.831 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@@H+](Cc3nc(C)cs3)C2)OCC1=O ZINC001272997269 847760598 /nfs/dbraw/zinc/76/05/98/847760598.db2.gz XGJPOJCAKFXGLY-MRXNPFEDSA-N 1 2 321.446 1.831 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@H+](Cc3nccs3)C2)OCC1=O ZINC001273016085 847786067 /nfs/dbraw/zinc/78/60/67/847786067.db2.gz MMWXYUJUGCQHHJ-OAHLLOKOSA-N 1 2 307.419 1.523 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@@H+](Cc3nccs3)C2)OCC1=O ZINC001273016085 847786077 /nfs/dbraw/zinc/78/60/77/847786077.db2.gz MMWXYUJUGCQHHJ-OAHLLOKOSA-N 1 2 307.419 1.523 20 30 DDEDLO C=CCN1CC2(C[NH+](CCc3cccc(C)c3)C2)OCC1=O ZINC001273043020 847830193 /nfs/dbraw/zinc/83/01/93/847830193.db2.gz YEJQCOTYSWADJS-UHFFFAOYSA-N 1 2 300.402 1.637 20 30 DDEDLO C=CCC[N@@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746545 861867619 /nfs/dbraw/zinc/86/76/19/861867619.db2.gz UBERPHVXSWZIPU-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746545 861867638 /nfs/dbraw/zinc/86/76/38/861867638.db2.gz UBERPHVXSWZIPU-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149746545 861867656 /nfs/dbraw/zinc/86/76/56/861867656.db2.gz UBERPHVXSWZIPU-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCCO[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149746545 861867677 /nfs/dbraw/zinc/86/76/77/861867677.db2.gz UBERPHVXSWZIPU-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1n1ccnn1 ZINC001128939356 848359181 /nfs/dbraw/zinc/35/91/81/848359181.db2.gz MSLKVBHTLHFFRE-RKWPFYGESA-N 1 2 321.812 1.176 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1n1ccnn1 ZINC001128939356 848359185 /nfs/dbraw/zinc/35/91/85/848359185.db2.gz MSLKVBHTLHFFRE-RKWPFYGESA-N 1 2 321.812 1.176 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCC[N@@H+](Cc2ncnn2C)CC1 ZINC001327115964 861905746 /nfs/dbraw/zinc/90/57/46/861905746.db2.gz UZOXRVSCKYBORQ-HNNXBMFYSA-N 1 2 317.437 1.479 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCC[N@H+](Cc2ncnn2C)CC1 ZINC001327115964 861905760 /nfs/dbraw/zinc/90/57/60/861905760.db2.gz UZOXRVSCKYBORQ-HNNXBMFYSA-N 1 2 317.437 1.479 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3C[N@@H+](COCCOC)C[C@H]32)C1 ZINC001273136865 848533620 /nfs/dbraw/zinc/53/36/20/848533620.db2.gz XNNJEKCIYDMNJF-LSDHHAIUSA-N 1 2 308.422 1.496 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3C[N@H+](COCCOC)C[C@H]32)C1 ZINC001273136865 848533626 /nfs/dbraw/zinc/53/36/26/848533626.db2.gz XNNJEKCIYDMNJF-LSDHHAIUSA-N 1 2 308.422 1.496 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cc(F)c(F)cc2O)C1=O ZINC001273375253 849737033 /nfs/dbraw/zinc/73/70/33/849737033.db2.gz WQUBBKZVFIEZKG-KRWDZBQOSA-N 1 2 320.339 1.871 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cc(F)c(F)cc2O)C1=O ZINC001273375253 849737035 /nfs/dbraw/zinc/73/70/35/849737035.db2.gz WQUBBKZVFIEZKG-KRWDZBQOSA-N 1 2 320.339 1.871 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]12CCC[C@@H]1[N@H+](Cc1nccs1)CC2 ZINC001410883713 849907471 /nfs/dbraw/zinc/90/74/71/849907471.db2.gz MJJCDRMORRVJQC-XUJVJEKNSA-N 1 2 304.419 1.916 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]12CCC[C@@H]1[N@@H+](Cc1nccs1)CC2 ZINC001410883713 849907475 /nfs/dbraw/zinc/90/74/75/849907475.db2.gz MJJCDRMORRVJQC-XUJVJEKNSA-N 1 2 304.419 1.916 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(CC)CCNCc1nccs1 ZINC001273426845 850235938 /nfs/dbraw/zinc/23/59/38/850235938.db2.gz BJJUHCWMRBLYMV-AWEZNQCLSA-N 1 2 320.462 1.179 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(CC)CCNCc1nccs1 ZINC001273426845 850235949 /nfs/dbraw/zinc/23/59/49/850235949.db2.gz BJJUHCWMRBLYMV-AWEZNQCLSA-N 1 2 320.462 1.179 20 30 DDEDLO C#CCN1CCC[C@H]1C(=O)N(CC)CC[NH2+]Cc1nccs1 ZINC001273426845 850235959 /nfs/dbraw/zinc/23/59/59/850235959.db2.gz BJJUHCWMRBLYMV-AWEZNQCLSA-N 1 2 320.462 1.179 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC=CCC1)CO2 ZINC001327371535 862113509 /nfs/dbraw/zinc/11/35/09/862113509.db2.gz GWTIUIBOZREPCT-HZPDHXFCSA-N 1 2 320.433 1.505 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1COC2(C[NH+](Cc3ccccc3)C2)C1 ZINC001327387421 862128782 /nfs/dbraw/zinc/12/87/82/862128782.db2.gz NRHHNNXPFJPXMW-KRWDZBQOSA-N 1 2 312.413 1.806 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C1(COCC)CC1)CO2 ZINC001327397474 862138049 /nfs/dbraw/zinc/13/80/49/862138049.db2.gz QZXOWIUCUICRBF-CQSZACIVSA-N 1 2 308.422 1.339 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](C[C@@H](C)C(F)(F)F)C2 ZINC001273445483 850813273 /nfs/dbraw/zinc/81/32/73/850813273.db2.gz IODKBBFYFULNSW-LLVKDONJSA-N 1 2 306.328 1.674 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@H+]2[C@@H](C)C(=O)OCC ZINC001273912161 851499716 /nfs/dbraw/zinc/49/97/16/851499716.db2.gz BOVYAZMOOHNICG-YOEHRIQHSA-N 1 2 308.422 1.971 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@@H+]2[C@@H](C)C(=O)OCC ZINC001273912161 851499723 /nfs/dbraw/zinc/49/97/23/851499723.db2.gz BOVYAZMOOHNICG-YOEHRIQHSA-N 1 2 308.422 1.971 20 30 DDEDLO Cc1cnc([C@@H](C)[NH2+]C/C=C\CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001273939925 851532356 /nfs/dbraw/zinc/53/23/56/851532356.db2.gz QHOMCQPIDWDSCH-VSQXVHSFSA-N 1 2 313.361 1.820 20 30 DDEDLO C=CCOC[C@@H](O)C[NH2+][C@H](C(=O)OC(C)(C)C)C(C)(C)C ZINC001252494867 851605382 /nfs/dbraw/zinc/60/53/82/851605382.db2.gz GYYRUPOHFUFDER-QWHCGFSZSA-N 1 2 301.427 1.896 20 30 DDEDLO CC(C)(C)C(=O)CN1C(=O)C[C@@]2(CCC[N@H+](CCC#N)C2)C1=O ZINC001274030307 851868275 /nfs/dbraw/zinc/86/82/75/851868275.db2.gz IPHNXMYZNUOHKS-QGZVFWFLSA-N 1 2 319.405 1.356 20 30 DDEDLO CC(C)(C)C(=O)CN1C(=O)C[C@@]2(CCC[N@@H+](CCC#N)C2)C1=O ZINC001274030307 851868277 /nfs/dbraw/zinc/86/82/77/851868277.db2.gz IPHNXMYZNUOHKS-QGZVFWFLSA-N 1 2 319.405 1.356 20 30 DDEDLO C=CCOC(=O)N1CCC[C@@]12CCN(CCn1cc[nH+]c1)C2=O ZINC001274345357 852183892 /nfs/dbraw/zinc/18/38/92/852183892.db2.gz BLUQKYZYYNJXEZ-INIZCTEOSA-N 1 2 318.377 1.273 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H](CC)CC(C)C)CC2)C1 ZINC001274480381 852313409 /nfs/dbraw/zinc/31/34/09/852313409.db2.gz WENXSFHYMFTRCY-QGZVFWFLSA-N 1 2 319.493 1.910 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](Cc2nnc(C)s2)[C@@H](C)C1 ZINC001274608294 852436771 /nfs/dbraw/zinc/43/67/71/852436771.db2.gz DHOVZWDTJSLCQH-WCQYABFASA-N 1 2 324.450 1.518 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2nnc(C)s2)[C@@H](C)C1 ZINC001274608294 852436774 /nfs/dbraw/zinc/43/67/74/852436774.db2.gz DHOVZWDTJSLCQH-WCQYABFASA-N 1 2 324.450 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001299387427 852614597 /nfs/dbraw/zinc/61/45/97/852614597.db2.gz FSKOFXGCCDAHCI-UHFFFAOYSA-N 1 2 318.421 1.569 20 30 DDEDLO CC[C@H](C)C(=O)N1CCC2(CC1)C[N@H+](CC#CCOC)CCO2 ZINC001274863417 852649438 /nfs/dbraw/zinc/64/94/38/852649438.db2.gz WONZPJQIUYFZSR-INIZCTEOSA-N 1 2 322.449 1.376 20 30 DDEDLO CC[C@H](C)C(=O)N1CCC2(CC1)C[N@@H+](CC#CCOC)CCO2 ZINC001274863417 852649442 /nfs/dbraw/zinc/64/94/42/852649442.db2.gz WONZPJQIUYFZSR-INIZCTEOSA-N 1 2 322.449 1.376 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1Cc2ccc(CNC(=O)CC)cc2C1 ZINC001327682822 862383635 /nfs/dbraw/zinc/38/36/35/862383635.db2.gz PVYBDJNTGWHQGE-CYBMUJFWSA-N 1 2 315.417 1.719 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1Cc2ccc(CNC(=O)CC)cc2C1 ZINC001327682822 862383650 /nfs/dbraw/zinc/38/36/50/862383650.db2.gz PVYBDJNTGWHQGE-CYBMUJFWSA-N 1 2 315.417 1.719 20 30 DDEDLO CC(C)NC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cncc(C#N)c1 ZINC001275026817 852752575 /nfs/dbraw/zinc/75/25/75/852752575.db2.gz BORQMNCQZSYVBL-IYBDPMFKSA-N 1 2 313.405 1.720 20 30 DDEDLO CC(C)NC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cncc(C#N)c1 ZINC001275026817 852752579 /nfs/dbraw/zinc/75/25/79/852752579.db2.gz BORQMNCQZSYVBL-IYBDPMFKSA-N 1 2 313.405 1.720 20 30 DDEDLO C=CCCc1ccc(C(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)c(=O)[nH]1 ZINC001275774633 853725061 /nfs/dbraw/zinc/72/50/61/853725061.db2.gz SJZYYZKEVLPISY-GJZGRUSLSA-N 1 2 317.389 1.061 20 30 DDEDLO C=CCCc1ccc(C(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)c(=O)[nH]1 ZINC001275774633 853725068 /nfs/dbraw/zinc/72/50/68/853725068.db2.gz SJZYYZKEVLPISY-GJZGRUSLSA-N 1 2 317.389 1.061 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCCCC[N@@H+]1Cc1nccn1C ZINC001276298975 855099705 /nfs/dbraw/zinc/09/97/05/855099705.db2.gz DNRZFPOTVJGVIA-INIZCTEOSA-N 1 2 316.449 1.940 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCCCC[N@H+]1Cc1nccn1C ZINC001276298975 855099711 /nfs/dbraw/zinc/09/97/11/855099711.db2.gz DNRZFPOTVJGVIA-INIZCTEOSA-N 1 2 316.449 1.940 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cn(CC)nn1)C2 ZINC001095798728 855360473 /nfs/dbraw/zinc/36/04/73/855360473.db2.gz ATUAMEDOCXVYBQ-RDBSUJKOSA-N 1 2 323.828 1.315 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cn(CC)nn1)C2 ZINC001095798728 855360478 /nfs/dbraw/zinc/36/04/78/855360478.db2.gz ATUAMEDOCXVYBQ-RDBSUJKOSA-N 1 2 323.828 1.315 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2ncnn2C)[C@@H](C)C1 ZINC001328268630 862845089 /nfs/dbraw/zinc/84/50/89/862845089.db2.gz VGILUISKLTYZGL-KBPBESRZSA-N 1 2 305.426 1.641 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ncnn2C)[C@@H](C)C1 ZINC001328268630 862845099 /nfs/dbraw/zinc/84/50/99/862845099.db2.gz VGILUISKLTYZGL-KBPBESRZSA-N 1 2 305.426 1.641 20 30 DDEDLO CCCc1nc(C[NH2+]CCCNC(=O)c2cc(C#N)c[nH]2)no1 ZINC001156287209 862908195 /nfs/dbraw/zinc/90/81/95/862908195.db2.gz JFJJKVWIGBIVDN-UHFFFAOYSA-N 1 2 316.365 1.132 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)o1 ZINC001072721094 857638786 /nfs/dbraw/zinc/63/87/86/857638786.db2.gz DBWLGWNTJNQQIL-GFCCVEGCSA-N 1 2 314.389 1.387 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)o1 ZINC001072721094 857638794 /nfs/dbraw/zinc/63/87/94/857638794.db2.gz DBWLGWNTJNQQIL-GFCCVEGCSA-N 1 2 314.389 1.387 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072801397 857719219 /nfs/dbraw/zinc/71/92/19/857719219.db2.gz QYTLXQVUHPKOPL-OCCSQVGLSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cccnc2)C1 ZINC001073516910 858404266 /nfs/dbraw/zinc/40/42/66/858404266.db2.gz BAPIEGINHDTWPF-AWEZNQCLSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cccnc2)C1 ZINC001073516910 858404269 /nfs/dbraw/zinc/40/42/69/858404269.db2.gz BAPIEGINHDTWPF-AWEZNQCLSA-N 1 2 309.797 1.655 20 30 DDEDLO Cc1cc(NC[C@H](O)CN(C)C(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001124192506 859622670 /nfs/dbraw/zinc/62/26/70/859622670.db2.gz WHIMOTDNMDRUDY-MFKMUULPSA-N 1 2 317.393 1.053 20 30 DDEDLO C=CCCC(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC(C)C)no1 ZINC001124646389 859761960 /nfs/dbraw/zinc/76/19/60/859761960.db2.gz QYSKGKBPYZIYEW-CYBMUJFWSA-N 1 2 324.425 1.975 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1CCN(C)[C@@H](C(=O)OC)C1 ZINC001139030452 860259706 /nfs/dbraw/zinc/25/97/06/860259706.db2.gz NLGWVRREMOGTKZ-OAHLLOKOSA-N 1 2 304.390 1.540 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1CCN(C)[C@@H](C(=O)OC)C1 ZINC001139030452 860259714 /nfs/dbraw/zinc/25/97/14/860259714.db2.gz NLGWVRREMOGTKZ-OAHLLOKOSA-N 1 2 304.390 1.540 20 30 DDEDLO C=CCn1cc(C[N@@H+]2Cc3c(cnn3C)[C@H](COCC)C2)cn1 ZINC001139768780 860476986 /nfs/dbraw/zinc/47/69/86/860476986.db2.gz UTASVUZMBXTWKB-HNNXBMFYSA-N 1 2 315.421 1.939 20 30 DDEDLO C=CCn1cc(C[N@H+]2Cc3c(cnn3C)[C@H](COCC)C2)cn1 ZINC001139768780 860476989 /nfs/dbraw/zinc/47/69/89/860476989.db2.gz UTASVUZMBXTWKB-HNNXBMFYSA-N 1 2 315.421 1.939 20 30 DDEDLO CC[N@H+](Cc1cscn1)[C@H](C)CNC(=O)CSCC#N ZINC001151961030 863082903 /nfs/dbraw/zinc/08/29/03/863082903.db2.gz OBCRJILBFBKISU-LLVKDONJSA-N 1 2 312.464 1.726 20 30 DDEDLO CC[N@@H+](Cc1cscn1)[C@H](C)CNC(=O)CSCC#N ZINC001151961030 863082915 /nfs/dbraw/zinc/08/29/15/863082915.db2.gz OBCRJILBFBKISU-LLVKDONJSA-N 1 2 312.464 1.726 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1ncc(C)o1 ZINC001328735852 863182675 /nfs/dbraw/zinc/18/26/75/863182675.db2.gz FVGNXSKRWBPVDL-OAHLLOKOSA-N 1 2 319.405 1.446 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1ncc(C)o1 ZINC001328735852 863182684 /nfs/dbraw/zinc/18/26/84/863182684.db2.gz FVGNXSKRWBPVDL-OAHLLOKOSA-N 1 2 319.405 1.446 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@@H](C)[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001329025941 863428533 /nfs/dbraw/zinc/42/85/33/863428533.db2.gz DSIBUIKFJPDTPL-CHWSQXEVSA-N 1 2 320.441 1.070 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2Cc2cccc(F)c2)CC1 ZINC001329108115 863479392 /nfs/dbraw/zinc/47/93/92/863479392.db2.gz YTHVJNUNGAPZKI-UHFFFAOYSA-N 1 2 313.380 1.529 20 30 DDEDLO Cc1ccc(C(=NC(=O)c2ccc3[nH+]c(N)[nH]c3c2)NO)nc1 ZINC001156979427 863494427 /nfs/dbraw/zinc/49/44/27/863494427.db2.gz OKGZWEYYUBWNMM-UHFFFAOYSA-N 1 2 310.317 1.414 20 30 DDEDLO C=CCn1cc(C[NH+]2CC3(C[C@@H]3C(=O)Nc3cn[nH]c3)C2)cn1 ZINC001277018741 881674597 /nfs/dbraw/zinc/67/45/97/881674597.db2.gz OXSYTQOKWQEHOO-CQSZACIVSA-N 1 2 312.377 1.253 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccs1 ZINC001153012493 863650952 /nfs/dbraw/zinc/65/09/52/863650952.db2.gz MOLSZGJVGISGEZ-IUODEOHRSA-N 1 2 311.451 1.797 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccs1 ZINC001153012493 863650957 /nfs/dbraw/zinc/65/09/57/863650957.db2.gz MOLSZGJVGISGEZ-IUODEOHRSA-N 1 2 311.451 1.797 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1(CCO)C[NH+](C[C@@H]2CCCCO2)C1 ZINC001329682396 863789208 /nfs/dbraw/zinc/78/92/08/863789208.db2.gz UELNEYPUVSHNJO-HNNXBMFYSA-N 1 2 324.465 1.711 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001329692558 863794320 /nfs/dbraw/zinc/79/43/20/863794320.db2.gz FONHGLDBMHKNJC-OAHLLOKOSA-N 1 2 315.417 1.241 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1CC ZINC001153302886 863811901 /nfs/dbraw/zinc/81/19/01/863811901.db2.gz WEZKXJNKVKZSBX-OAHLLOKOSA-N 1 2 320.437 1.270 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1CC ZINC001153302886 863811907 /nfs/dbraw/zinc/81/19/07/863811907.db2.gz WEZKXJNKVKZSBX-OAHLLOKOSA-N 1 2 320.437 1.270 20 30 DDEDLO N#CCN1CCCCC[C@@H]1CNC(=O)CCCn1cc[nH+]c1 ZINC001329952493 863970596 /nfs/dbraw/zinc/97/05/96/863970596.db2.gz KMMJQXUAXTXENE-OAHLLOKOSA-N 1 2 303.410 1.548 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)CC2CCCCC2)C1 ZINC001329953118 863970910 /nfs/dbraw/zinc/97/09/10/863970910.db2.gz NXIKHJQISSORTJ-AWEZNQCLSA-N 1 2 319.449 1.143 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CC(C)(c2nnc(C3CCOCC3)o2)C1 ZINC001330100521 864082508 /nfs/dbraw/zinc/08/25/08/864082508.db2.gz VMFJOANXSQKAFJ-ZDUSSCGKSA-N 1 2 307.394 1.474 20 30 DDEDLO C#CC[N@@H+](C)C[C@H]1CCCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001157957708 864303979 /nfs/dbraw/zinc/30/39/79/864303979.db2.gz GJANBYNBYULHER-CABCVRRESA-N 1 2 314.433 1.776 20 30 DDEDLO C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001157957708 864303992 /nfs/dbraw/zinc/30/39/92/864303992.db2.gz GJANBYNBYULHER-CABCVRRESA-N 1 2 314.433 1.776 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCCC[NH2+][C@H](C)c1noc(C)n1 ZINC001158313808 864571561 /nfs/dbraw/zinc/57/15/61/864571561.db2.gz OZCIWHCXCUAHFT-BNOWGMLFSA-N 1 2 322.409 1.516 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001159576040 865408579 /nfs/dbraw/zinc/40/85/79/865408579.db2.gz KCWRCOXGPOZMRF-UHFFFAOYSA-N 1 2 305.378 1.516 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001159576040 865408585 /nfs/dbraw/zinc/40/85/85/865408585.db2.gz KCWRCOXGPOZMRF-UHFFFAOYSA-N 1 2 305.378 1.516 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CC[NH+](Cc2cnn(CC)n2)CC1 ZINC001332985616 866311890 /nfs/dbraw/zinc/31/18/90/866311890.db2.gz SRYSMEAKKKGDLX-AWEZNQCLSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC001323102734 866341685 /nfs/dbraw/zinc/34/16/85/866341685.db2.gz WSQDXZCHIXYNAW-GDBMZVCRSA-N 1 2 315.417 1.760 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC001323102734 866341695 /nfs/dbraw/zinc/34/16/95/866341695.db2.gz WSQDXZCHIXYNAW-GDBMZVCRSA-N 1 2 315.417 1.760 20 30 DDEDLO COC(=O)[C@@H]([NH3+])CC(=O)N1CCC(C#Cc2ccccc2)CC1 ZINC001161150388 866412039 /nfs/dbraw/zinc/41/20/39/866412039.db2.gz IAUIORJBDNWNBP-INIZCTEOSA-N 1 2 314.385 1.167 20 30 DDEDLO CC(=O)NCCNc1cc(/C=N/[S@@](=O)C(C)(C)C)cc(C)[nH+]1 ZINC001161658707 866849697 /nfs/dbraw/zinc/84/96/97/866849697.db2.gz JCBALOUQLQSPJM-RJSQPESZSA-N 1 2 324.450 1.819 20 30 DDEDLO CO[C@H](C)c1nc(C[NH2+]C/C=C\CNC(=O)[C@@H](C)C#N)cs1 ZINC001320998900 867191015 /nfs/dbraw/zinc/19/10/15/867191015.db2.gz QSCWDHGIRDDJFA-KBIXYVOKSA-N 1 2 322.434 1.772 20 30 DDEDLO CCCC(C)(C)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001324559292 867354700 /nfs/dbraw/zinc/35/47/00/867354700.db2.gz FLGAIWIDLPNFEF-OAHLLOKOSA-N 1 2 306.454 1.555 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)N(C)C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001334177895 867357578 /nfs/dbraw/zinc/35/75/78/867357578.db2.gz JUUQFVNUUGWJJB-OLZOCXBDSA-N 1 2 318.421 1.759 20 30 DDEDLO C=CCOCCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2nccn2C)C1 ZINC001324600795 867393802 /nfs/dbraw/zinc/39/38/02/867393802.db2.gz HMSPWJGAEPDXTL-KRWDZBQOSA-N 1 2 320.437 1.484 20 30 DDEDLO C=CCOCCCC(=O)N[C@@]1(C)CC[N@H+](Cc2nccn2C)C1 ZINC001324600795 867393812 /nfs/dbraw/zinc/39/38/12/867393812.db2.gz HMSPWJGAEPDXTL-KRWDZBQOSA-N 1 2 320.437 1.484 20 30 DDEDLO C[C@@H](CNC(=O)C#CC(C)(C)C)N(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001334264249 867437108 /nfs/dbraw/zinc/43/71/08/867437108.db2.gz LJHIRZNADTWKLP-ZDUSSCGKSA-N 1 2 318.421 1.355 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](C)CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001334365098 867517650 /nfs/dbraw/zinc/51/76/50/867517650.db2.gz FGRLECNZMNACTH-KBPBESRZSA-N 1 2 318.421 1.375 20 30 DDEDLO C=CCN(C(=O)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1)C1CC1 ZINC001322507474 868211481 /nfs/dbraw/zinc/21/14/81/868211481.db2.gz LZEMQKRZKYRXGB-UHFFFAOYSA-N 1 2 324.384 1.666 20 30 DDEDLO C[C@H](CCCNC(=O)Cc1[nH]cc[nH+]1)NC(=O)C#CC(C)(C)C ZINC001336061762 868707653 /nfs/dbraw/zinc/70/76/53/868707653.db2.gz RVARYPLKHNXMFJ-CYBMUJFWSA-N 1 2 318.421 1.403 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001338117500 869814128 /nfs/dbraw/zinc/81/41/28/869814128.db2.gz QIMQFGVJDAELQJ-QWHCGFSZSA-N 1 2 304.394 1.201 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1CC[N@@H+](Cc2ccnc(Cl)c2)C1 ZINC001316975288 870036372 /nfs/dbraw/zinc/03/63/72/870036372.db2.gz BPNHAVMNTOMLIF-CQSZACIVSA-N 1 2 303.793 1.839 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1CC[N@H+](Cc2ccnc(Cl)c2)C1 ZINC001316975288 870036384 /nfs/dbraw/zinc/03/63/84/870036384.db2.gz BPNHAVMNTOMLIF-CQSZACIVSA-N 1 2 303.793 1.839 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001316980812 870053519 /nfs/dbraw/zinc/05/35/19/870053519.db2.gz LJOVCPCDVQVTSE-FZKCQIBNSA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001316980812 870053530 /nfs/dbraw/zinc/05/35/30/870053530.db2.gz LJOVCPCDVQVTSE-FZKCQIBNSA-N 1 2 319.449 1.305 20 30 DDEDLO N#Cc1c[nH]c2cc[nH+]c(N3CCCN(c4ncccn4)CC3)c12 ZINC001166819418 870277362 /nfs/dbraw/zinc/27/73/62/870277362.db2.gz YGPAUZNTTVBYEY-UHFFFAOYSA-N 1 2 319.372 1.941 20 30 DDEDLO C=CCCCC(=O)NC1CC(N(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC001339243941 870418244 /nfs/dbraw/zinc/41/82/44/870418244.db2.gz QSCUJGHIVRTQSU-UHFFFAOYSA-N 1 2 318.421 1.425 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[NH2+]Cc1noc(CCC)n1 ZINC001166981856 870447768 /nfs/dbraw/zinc/44/77/68/870447768.db2.gz GBQAYFHPQAFPFL-OLZOCXBDSA-N 1 2 322.409 1.209 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)COCCC)CC1 ZINC001226429432 882424056 /nfs/dbraw/zinc/42/40/56/882424056.db2.gz JEFLOUXXWHCMCB-UHFFFAOYSA-N 1 2 319.243 1.902 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C2(CC)CCC2)C1 ZINC001276414286 870673855 /nfs/dbraw/zinc/67/38/55/870673855.db2.gz OCESLUUWVOZMAK-ZDUSSCGKSA-N 1 2 307.438 1.305 20 30 DDEDLO N#CCN[C@@H]1C[C@@H](NC(=O)CCCn2cc[nH+]c2)C12CCC2 ZINC001203813141 870774460 /nfs/dbraw/zinc/77/44/60/870774460.db2.gz BKKGHZPNTNSGEK-ZIAGYGMSSA-N 1 2 301.394 1.204 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001299057386 870866489 /nfs/dbraw/zinc/86/64/89/870866489.db2.gz UIMGOUPGGWVWFB-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001299057386 870866505 /nfs/dbraw/zinc/86/65/05/870866505.db2.gz UIMGOUPGGWVWFB-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC(c2c[nH+]cn2C)CC1)[C@@H]1CCCO1 ZINC001340076145 870877519 /nfs/dbraw/zinc/87/75/19/870877519.db2.gz UIOWQJJUBRRCAK-ZBFHGGJFSA-N 1 2 316.405 1.490 20 30 DDEDLO C[C@H](C(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC#N)C1CCCC1 ZINC001317508359 870951056 /nfs/dbraw/zinc/95/10/56/870951056.db2.gz KLEMPOGGNWYVAI-DZGCQCFKSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@H](C(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC#N)C1CCCC1 ZINC001317508359 870951068 /nfs/dbraw/zinc/95/10/68/870951068.db2.gz KLEMPOGGNWYVAI-DZGCQCFKSA-N 1 2 320.437 1.033 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@@H+](Cc2cnn(C)n2)C1 ZINC001317528364 870998589 /nfs/dbraw/zinc/99/85/89/870998589.db2.gz RSCRPSOCNLGXDG-HNNXBMFYSA-N 1 2 317.437 1.337 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@H+](Cc2cnn(C)n2)C1 ZINC001317528364 870998608 /nfs/dbraw/zinc/99/86/08/870998608.db2.gz RSCRPSOCNLGXDG-HNNXBMFYSA-N 1 2 317.437 1.337 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCCC2(CC2)C1 ZINC001340376890 871066510 /nfs/dbraw/zinc/06/65/10/871066510.db2.gz YKZJWGBHWLAHRU-ZIAGYGMSSA-N 1 2 317.437 1.582 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCCC2(CC2)C1 ZINC001340376890 871066523 /nfs/dbraw/zinc/06/65/23/871066523.db2.gz YKZJWGBHWLAHRU-ZIAGYGMSSA-N 1 2 317.437 1.582 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N(C)CCCC ZINC001340448891 871117660 /nfs/dbraw/zinc/11/76/60/871117660.db2.gz GRLAQANKSMSBLR-UHFFFAOYSA-N 1 2 321.469 1.905 20 30 DDEDLO Cc1ccsc1C(=O)N(C)CC[NH+]1CCN(CC#N)CC1 ZINC001317814467 871539312 /nfs/dbraw/zinc/53/93/12/871539312.db2.gz XHVQFBAYETTZSY-UHFFFAOYSA-N 1 2 306.435 1.270 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(Cc3ccc(F)c(C#N)c3)C2)C[C@@H](C)O1 ZINC001205502411 871591944 /nfs/dbraw/zinc/59/19/44/871591944.db2.gz UTDKRLHAGXQJBC-CHWSQXEVSA-N 1 2 303.381 1.991 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(OC)ccn2)C1 ZINC001317969458 871658120 /nfs/dbraw/zinc/65/81/20/871658120.db2.gz YOBAGLNHRRAOBH-HNNXBMFYSA-N 1 2 315.417 1.974 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2cc(OC)ccn2)C1 ZINC001317969458 871658132 /nfs/dbraw/zinc/65/81/32/871658132.db2.gz YOBAGLNHRRAOBH-HNNXBMFYSA-N 1 2 315.417 1.974 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001317968638 871658655 /nfs/dbraw/zinc/65/86/55/871658655.db2.gz CJGAIMWBUAKSOT-HOCLYGCPSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001317968638 871658660 /nfs/dbraw/zinc/65/86/60/871658660.db2.gz CJGAIMWBUAKSOT-HOCLYGCPSA-N 1 2 319.449 1.285 20 30 DDEDLO C=C[C@@H](COC)NC(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC001311352721 871697144 /nfs/dbraw/zinc/69/71/44/871697144.db2.gz XVOWRMATEMEDSU-INIZCTEOSA-N 1 2 314.389 1.932 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001318253844 871885973 /nfs/dbraw/zinc/88/59/73/871885973.db2.gz YICQBMPEJVDGDP-HNNXBMFYSA-N 1 2 319.449 1.143 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC2CCC2)C1 ZINC001318253844 871885994 /nfs/dbraw/zinc/88/59/94/871885994.db2.gz YICQBMPEJVDGDP-HNNXBMFYSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)c2cocc2C)C1 ZINC001318268888 871897848 /nfs/dbraw/zinc/89/78/48/871897848.db2.gz IAUDYAISMMZAEF-OLZOCXBDSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)c2cocc2C)C1 ZINC001318268888 871897871 /nfs/dbraw/zinc/89/78/71/871897871.db2.gz IAUDYAISMMZAEF-OLZOCXBDSA-N 1 2 305.378 1.083 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CC1(F)F)O2 ZINC001315479902 871911914 /nfs/dbraw/zinc/91/19/14/871911914.db2.gz UTFVCCHJTNQKCX-NWDGAFQWSA-N 1 2 300.349 1.567 20 30 DDEDLO CCc1noc([C@@H](C)[NH+]2CCC(NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001226648018 882565757 /nfs/dbraw/zinc/56/57/57/882565757.db2.gz GIVKBVLUWKJIIP-WDEREUQCSA-N 1 2 305.382 1.433 20 30 DDEDLO C=CCCC(=O)N(CC)[C@@H]1CC[N@@H+]([C@H](C)c2nncn2C)C1 ZINC001318457844 872067623 /nfs/dbraw/zinc/06/76/23/872067623.db2.gz KRVDCQNIYIUUTF-ZIAGYGMSSA-N 1 2 305.426 1.765 20 30 DDEDLO C=CCCC(=O)N(CC)[C@@H]1CC[N@H+]([C@H](C)c2nncn2C)C1 ZINC001318457844 872067645 /nfs/dbraw/zinc/06/76/45/872067645.db2.gz KRVDCQNIYIUUTF-ZIAGYGMSSA-N 1 2 305.426 1.765 20 30 DDEDLO CC(C)C[C@H](C(=O)N[C@@H]1CCCN(CC#N)C1)n1cc[nH+]c1 ZINC001316942787 872429204 /nfs/dbraw/zinc/42/92/04/872429204.db2.gz FUPWPOSDOCGYEP-HUUCEWRRSA-N 1 2 303.410 1.574 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1CC(=C)C ZINC001343007695 872466032 /nfs/dbraw/zinc/46/60/32/872466032.db2.gz RGRYSZPSABMNQJ-OAHLLOKOSA-N 1 2 317.437 1.705 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1CC(=C)C ZINC001343007695 872466036 /nfs/dbraw/zinc/46/60/36/872466036.db2.gz RGRYSZPSABMNQJ-OAHLLOKOSA-N 1 2 317.437 1.705 20 30 DDEDLO CC(C)(C)n1c[nH+]cc1[C@@H]1CCCN(C(=O)NCC#CCO)C1 ZINC001346484494 873772983 /nfs/dbraw/zinc/77/29/83/873772983.db2.gz MDCLUFJJNZXHCI-CQSZACIVSA-N 1 2 318.421 1.523 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2C)C[C@H]1C ZINC001208388724 874097659 /nfs/dbraw/zinc/09/76/59/874097659.db2.gz PGXAUCKLLHHLBR-UMVBOHGHSA-N 1 2 305.426 1.354 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2C)C[C@H]1C ZINC001208388724 874097680 /nfs/dbraw/zinc/09/76/80/874097680.db2.gz PGXAUCKLLHHLBR-UMVBOHGHSA-N 1 2 305.426 1.354 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001378107056 874159345 /nfs/dbraw/zinc/15/93/45/874159345.db2.gz QZIUGLKWHDECNY-GDBMZVCRSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001378107056 874159351 /nfs/dbraw/zinc/15/93/51/874159351.db2.gz QZIUGLKWHDECNY-GDBMZVCRSA-N 1 2 319.380 1.405 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)[N+](=O)[O-])n2CC(C)C)CC1 ZINC001347610383 874210092 /nfs/dbraw/zinc/21/00/92/874210092.db2.gz FHXLAIFKNRZCFW-ZDUSSCGKSA-N 1 2 320.397 1.027 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C2(CF)CCOCC2)C1 ZINC001208620965 874341047 /nfs/dbraw/zinc/34/10/47/874341047.db2.gz WWFGKRSFKCICOM-DGCLKSJQSA-N 1 2 318.820 1.942 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C2(CF)CCOCC2)C1 ZINC001208620965 874341057 /nfs/dbraw/zinc/34/10/57/874341057.db2.gz WWFGKRSFKCICOM-DGCLKSJQSA-N 1 2 318.820 1.942 20 30 DDEDLO C#CC1(O)CCN(C(=O)NCc2[nH+]cc(C)c(OC)c2C)CC1 ZINC001348507202 874600988 /nfs/dbraw/zinc/60/09/88/874600988.db2.gz WCGIBRAFXDCTPN-UHFFFAOYSA-N 1 2 317.389 1.377 20 30 DDEDLO C=CCN(CC[N@H+](C)[C@H](C(=O)OC)C(C)C)C(=O)OCC ZINC001209020062 874606291 /nfs/dbraw/zinc/60/62/91/874606291.db2.gz BFPHOTZFDLVDDT-ZDUSSCGKSA-N 1 2 300.399 1.760 20 30 DDEDLO C=CCN(CC[N@@H+](C)[C@H](C(=O)OC)C(C)C)C(=O)OCC ZINC001209020062 874606299 /nfs/dbraw/zinc/60/62/99/874606299.db2.gz BFPHOTZFDLVDDT-ZDUSSCGKSA-N 1 2 300.399 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCCOC)C1 ZINC001209099552 874668205 /nfs/dbraw/zinc/66/82/05/874668205.db2.gz YNDGFTKWKKRFCG-RAIGVLPGSA-N 1 2 304.818 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCCOC)C1 ZINC001209099552 874668208 /nfs/dbraw/zinc/66/82/08/874668208.db2.gz YNDGFTKWKKRFCG-RAIGVLPGSA-N 1 2 304.818 1.227 20 30 DDEDLO C[C@H](CCC[NH2+]Cc1noc(C2CC2)n1)NC(=O)C#CC1CC1 ZINC001277186641 882894685 /nfs/dbraw/zinc/89/46/85/882894685.db2.gz JRAHDQJLRMQPBL-GFCCVEGCSA-N 1 2 316.405 1.735 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2nccn2CC)C[C@H]1C ZINC001211420981 875799688 /nfs/dbraw/zinc/79/96/88/875799688.db2.gz ZTUYGRNTCWIEJH-HUUCEWRRSA-N 1 2 320.437 1.432 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2nccn2CC)C[C@H]1C ZINC001211420981 875799691 /nfs/dbraw/zinc/79/96/91/875799691.db2.gz ZTUYGRNTCWIEJH-HUUCEWRRSA-N 1 2 320.437 1.432 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001350742754 875866436 /nfs/dbraw/zinc/86/64/36/875866436.db2.gz IDVURJNSZLDVLO-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001350742754 875866442 /nfs/dbraw/zinc/86/64/42/875866442.db2.gz IDVURJNSZLDVLO-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213319755 875896499 /nfs/dbraw/zinc/89/64/99/875896499.db2.gz AYVOXKBZCXAFSL-JGGQBBKZSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213319755 875896516 /nfs/dbraw/zinc/89/65/16/875896516.db2.gz AYVOXKBZCXAFSL-JGGQBBKZSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001213390380 875918716 /nfs/dbraw/zinc/91/87/16/875918716.db2.gz ZHOYLPXQAMCZTL-INMHGKMJSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001213390380 875918723 /nfs/dbraw/zinc/91/87/23/875918723.db2.gz ZHOYLPXQAMCZTL-INMHGKMJSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213497887 875954433 /nfs/dbraw/zinc/95/44/33/875954433.db2.gz LNXKOSJYLCLVIJ-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213497887 875954443 /nfs/dbraw/zinc/95/44/43/875954443.db2.gz LNXKOSJYLCLVIJ-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001214331588 876285666 /nfs/dbraw/zinc/28/56/66/876285666.db2.gz HFIKAFPHNKPEOJ-DGCLKSJQSA-N 1 2 320.393 1.086 20 30 DDEDLO COCC#CC[NH+]1CCC(NC(=O)C(F)C(F)(F)F)CC1 ZINC001227474487 883036230 /nfs/dbraw/zinc/03/62/30/883036230.db2.gz SEOXNAJKRHPCJW-NSHDSACASA-N 1 2 310.291 1.117 20 30 DDEDLO COCC#CC[NH+]1CCC(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001227474487 883036249 /nfs/dbraw/zinc/03/62/49/883036249.db2.gz SEOXNAJKRHPCJW-NSHDSACASA-N 1 2 310.291 1.117 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001354504588 878024351 /nfs/dbraw/zinc/02/43/51/878024351.db2.gz BZCZIFFANSWPQM-STQMWFEESA-N 1 2 304.394 1.033 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001354955950 878339016 /nfs/dbraw/zinc/33/90/16/878339016.db2.gz IQQUECPRCJNXSY-AWEZNQCLSA-N 1 2 316.405 1.111 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccccn3)C[C@@H]2O)CCC1 ZINC001220278573 878875258 /nfs/dbraw/zinc/87/52/58/878875258.db2.gz AFBXGTVGEBSRRR-CVEARBPZSA-N 1 2 315.417 1.489 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3ccccn3)C[C@@H]2O)CCC1 ZINC001220278573 878875268 /nfs/dbraw/zinc/87/52/68/878875268.db2.gz AFBXGTVGEBSRRR-CVEARBPZSA-N 1 2 315.417 1.489 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001356275574 878956075 /nfs/dbraw/zinc/95/60/75/878956075.db2.gz IWSBNIKYIMXBRU-ZDUSSCGKSA-N 1 2 304.394 1.334 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001380358553 879443914 /nfs/dbraw/zinc/44/39/14/879443914.db2.gz ZWDWUEJYKHAQTM-OAHLLOKOSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001380358553 879443920 /nfs/dbraw/zinc/44/39/20/879443920.db2.gz ZWDWUEJYKHAQTM-OAHLLOKOSA-N 1 2 323.828 1.489 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001356979092 879646023 /nfs/dbraw/zinc/64/60/23/879646023.db2.gz AEJLLUPACAJJTO-JOCQHMNTSA-N 1 2 304.394 1.462 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001356988549 879657010 /nfs/dbraw/zinc/65/70/10/879657010.db2.gz TWDDZPSEYDKHMJ-CQSZACIVSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001356988549 879657027 /nfs/dbraw/zinc/65/70/27/879657027.db2.gz TWDDZPSEYDKHMJ-CQSZACIVSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]1CNC(=O)Cc1c[nH+]cn1C ZINC001356998623 879673902 /nfs/dbraw/zinc/67/39/02/879673902.db2.gz CBVTVEGVZPGRMR-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C[C@H](CNC(=O)C#CC1CC1)CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001357021810 879718489 /nfs/dbraw/zinc/71/84/89/879718489.db2.gz AEXHMDXLJKSZMS-KGLIPLIRSA-N 1 2 316.405 1.116 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCCC(=O)NC)[C@@H]2C1 ZINC001221497231 879887222 /nfs/dbraw/zinc/88/72/22/879887222.db2.gz NQUJEWSTHDNDLA-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCCC(=O)NC)[C@@H]2C1 ZINC001221497231 879887237 /nfs/dbraw/zinc/88/72/37/879887237.db2.gz NQUJEWSTHDNDLA-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C(C)=C3CCC3)[C@@H]2C1 ZINC001221529445 879921181 /nfs/dbraw/zinc/92/11/81/879921181.db2.gz GHDXNOOAYALVHP-HZPDHXFCSA-N 1 2 317.433 1.322 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)=C3CCC3)[C@@H]2C1 ZINC001221529445 879921201 /nfs/dbraw/zinc/92/12/01/879921201.db2.gz GHDXNOOAYALVHP-HZPDHXFCSA-N 1 2 317.433 1.322 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCC)C[C@H]21 ZINC001221759108 880061246 /nfs/dbraw/zinc/06/12/46/880061246.db2.gz OGAADAJUROOCMT-BZUAXINKSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCC)C[C@H]21 ZINC001221759108 880061261 /nfs/dbraw/zinc/06/12/61/880061261.db2.gz OGAADAJUROOCMT-BZUAXINKSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001358215376 880370022 /nfs/dbraw/zinc/37/00/22/880370022.db2.gz QUXMFCBCPKQEGZ-WBMJQRKESA-N 1 2 306.410 1.566 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001358215376 880370035 /nfs/dbraw/zinc/37/00/35/880370035.db2.gz QUXMFCBCPKQEGZ-WBMJQRKESA-N 1 2 306.410 1.566 20 30 DDEDLO COCCOC[N@@H+]1CC[C@H]2[C@@H]1CCN2Cc1[nH]ccc1C#N ZINC001276840447 880708783 /nfs/dbraw/zinc/70/87/83/880708783.db2.gz OQZQFLHOCWQVPC-HOTGVXAUSA-N 1 2 304.394 1.155 20 30 DDEDLO COCCOC[N@H+]1CC[C@H]2[C@@H]1CCN2Cc1[nH]ccc1C#N ZINC001276840447 880708790 /nfs/dbraw/zinc/70/87/90/880708790.db2.gz OQZQFLHOCWQVPC-HOTGVXAUSA-N 1 2 304.394 1.155 20 30 DDEDLO COCCOCN1CC[C@H]2[C@@H]1CC[N@@H+]2Cc1[nH]ccc1C#N ZINC001276840447 880708798 /nfs/dbraw/zinc/70/87/98/880708798.db2.gz OQZQFLHOCWQVPC-HOTGVXAUSA-N 1 2 304.394 1.155 20 30 DDEDLO COCCOCN1CC[C@H]2[C@@H]1CC[N@H+]2Cc1[nH]ccc1C#N ZINC001276840447 880708806 /nfs/dbraw/zinc/70/88/06/880708806.db2.gz OQZQFLHOCWQVPC-HOTGVXAUSA-N 1 2 304.394 1.155 20 30 DDEDLO CC(C)NC(=O)N1C[C@H]2C[C@@H](C1)[N@H+](Cc1[nH]ccc1C#N)C2 ZINC001276840457 880710348 /nfs/dbraw/zinc/71/03/48/880710348.db2.gz OVGFUPCAOUQLMK-JSGCOSHPSA-N 1 2 301.394 1.510 20 30 DDEDLO CC(C)NC(=O)N1C[C@H]2C[C@@H](C1)[N@@H+](Cc1[nH]ccc1C#N)C2 ZINC001276840457 880710358 /nfs/dbraw/zinc/71/03/58/880710358.db2.gz OVGFUPCAOUQLMK-JSGCOSHPSA-N 1 2 301.394 1.510 20 30 DDEDLO C#CCCCC(=O)NCC1CC[NH+](Cc2nc(C)no2)CC1 ZINC001222987742 880772028 /nfs/dbraw/zinc/77/20/28/880772028.db2.gz YOLXSTRXAWLORW-UHFFFAOYSA-N 1 2 304.394 1.510 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3cnon3)[C@H]2C1 ZINC001223314283 880915687 /nfs/dbraw/zinc/91/56/87/880915687.db2.gz BLLAFBCVPZPZSV-ZBFHGGJFSA-N 1 2 316.405 1.686 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnon3)[C@H]2C1 ZINC001223314283 880915701 /nfs/dbraw/zinc/91/57/01/880915701.db2.gz BLLAFBCVPZPZSV-ZBFHGGJFSA-N 1 2 316.405 1.686 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C1(CCC)CCC1 ZINC001276909947 881049249 /nfs/dbraw/zinc/04/92/49/881049249.db2.gz WBUFEQDZYDMVPU-CABCVRRESA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1(CCC)CCC1 ZINC001276909947 881049267 /nfs/dbraw/zinc/04/92/67/881049267.db2.gz WBUFEQDZYDMVPU-CABCVRRESA-N 1 2 321.465 1.838 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001276910217 881053651 /nfs/dbraw/zinc/05/36/51/881053651.db2.gz WZJWSFLVVVSQQQ-UONOGXRCSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1CC[N@H+]1CC(=O)NC(C)(C)C ZINC001276910217 881053666 /nfs/dbraw/zinc/05/36/66/881053666.db2.gz WZJWSFLVVVSQQQ-UONOGXRCSA-N 1 2 309.454 1.694 20 30 DDEDLO CCc1ccc(CC(=O)NC[C@@H]2CC[N@@H+]2CC#CCOC)nc1 ZINC001276930505 881143369 /nfs/dbraw/zinc/14/33/69/881143369.db2.gz GXMPWTIHFZDUAD-KRWDZBQOSA-N 1 2 315.417 1.027 20 30 DDEDLO CCc1ccc(CC(=O)NC[C@@H]2CC[N@H+]2CC#CCOC)nc1 ZINC001276930505 881143381 /nfs/dbraw/zinc/14/33/81/881143381.db2.gz GXMPWTIHFZDUAD-KRWDZBQOSA-N 1 2 315.417 1.027 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224186575 881261687 /nfs/dbraw/zinc/26/16/87/881261687.db2.gz DFSCHFRHXUWPTA-CQSZACIVSA-N 1 2 319.453 1.746 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)C1(c2ccccc2)CC1 ZINC001276963194 881324151 /nfs/dbraw/zinc/32/41/51/881324151.db2.gz FEHMCXIDJBNDQW-QGZVFWFLSA-N 1 2 312.413 1.559 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)C1(c2ccccc2)CC1 ZINC001276963194 881324169 /nfs/dbraw/zinc/32/41/69/881324169.db2.gz FEHMCXIDJBNDQW-QGZVFWFLSA-N 1 2 312.413 1.559 20 30 DDEDLO CC#CCCCC(=O)NC1CC[NH+]([C@@H](C)c2nncn2C)CC1 ZINC001228770207 883660232 /nfs/dbraw/zinc/66/02/32/883660232.db2.gz HEMYZQDPEIRURN-AWEZNQCLSA-N 1 2 317.437 1.650 20 30 DDEDLO C=CCCC1(C(=O)NC2CC[NH+](Cc3cn(C)nn3)CC2)CC1 ZINC001229037420 883787060 /nfs/dbraw/zinc/78/70/60/883787060.db2.gz JHNUZKZHDMALCL-UHFFFAOYSA-N 1 2 317.437 1.642 20 30 DDEDLO C#CCCCC(=O)N[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)C(C)(C)C ZINC001288204312 912779092 /nfs/dbraw/zinc/77/90/92/912779092.db2.gz NWNMJUHVFJMRRA-ZDUSSCGKSA-N 1 2 318.421 1.403 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2coc(C)n2)CC1 ZINC001230415367 884482616 /nfs/dbraw/zinc/48/26/16/884482616.db2.gz OJGCPQROXWSOMM-LBPRGKRZSA-N 1 2 307.394 1.655 20 30 DDEDLO C=C(C)CCC(=O)NC1CC(N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001288325444 912835922 /nfs/dbraw/zinc/83/59/22/912835922.db2.gz KCDYKLUOEFHJNR-UHFFFAOYSA-N 1 2 304.394 1.345 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(F)c1 ZINC001230651691 884702422 /nfs/dbraw/zinc/70/24/22/884702422.db2.gz QCJCPNOBCIBJTO-INIZCTEOSA-N 1 2 304.365 1.622 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(F)c1 ZINC001230651691 884702432 /nfs/dbraw/zinc/70/24/32/884702432.db2.gz QCJCPNOBCIBJTO-INIZCTEOSA-N 1 2 304.365 1.622 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665640 884720101 /nfs/dbraw/zinc/72/01/01/884720101.db2.gz WCNUGSIRPPCWCY-GDBMZVCRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665640 884720110 /nfs/dbraw/zinc/72/01/10/884720110.db2.gz WCNUGSIRPPCWCY-GDBMZVCRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CCc1ccco1 ZINC001230735568 884811043 /nfs/dbraw/zinc/81/10/43/884811043.db2.gz VKMIYAVJKFEQGW-CQSZACIVSA-N 1 2 319.405 1.047 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CCc1ccco1 ZINC001230735568 884811050 /nfs/dbraw/zinc/81/10/50/884811050.db2.gz VKMIYAVJKFEQGW-CQSZACIVSA-N 1 2 319.405 1.047 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231225244 885398933 /nfs/dbraw/zinc/39/89/33/885398933.db2.gz BPKWFNFDFXJZJM-SFHVURJKSA-N 1 2 312.413 1.773 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231225244 885398947 /nfs/dbraw/zinc/39/89/47/885398947.db2.gz BPKWFNFDFXJZJM-SFHVURJKSA-N 1 2 312.413 1.773 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1CCn1cc(Cl)cn1 ZINC001231293877 885477312 /nfs/dbraw/zinc/47/73/12/885477312.db2.gz SIZQIDIHLOHBHK-YPMHNXCESA-N 1 2 309.801 1.229 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1CCn1cc(Cl)cn1 ZINC001231293877 885477318 /nfs/dbraw/zinc/47/73/18/885477318.db2.gz SIZQIDIHLOHBHK-YPMHNXCESA-N 1 2 309.801 1.229 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CCc2cccnc2)C1 ZINC001277626474 886146475 /nfs/dbraw/zinc/14/64/75/886146475.db2.gz ZFWHDTBRSKYDHV-UHFFFAOYSA-N 1 2 303.406 1.359 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N1CCc2cc[nH+]c(N)c2C1 ZINC001363413347 886271515 /nfs/dbraw/zinc/27/15/15/886271515.db2.gz ZBGIBHPTZDNFMU-UHFFFAOYSA-N 1 2 308.341 1.743 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ocnc1C ZINC001233489235 887029512 /nfs/dbraw/zinc/02/95/12/887029512.db2.gz KAXUSZDORZABAR-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ocnc1C ZINC001233489235 887029524 /nfs/dbraw/zinc/02/95/24/887029524.db2.gz KAXUSZDORZABAR-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC1(C#N)CCCCC1 ZINC001363798748 887248684 /nfs/dbraw/zinc/24/86/84/887248684.db2.gz DZMKCWFCHCXPOJ-ZDUSSCGKSA-N 1 2 317.393 1.047 20 30 DDEDLO CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC1(C#N)CCCCC1 ZINC001363798748 887248699 /nfs/dbraw/zinc/24/86/99/887248699.db2.gz DZMKCWFCHCXPOJ-ZDUSSCGKSA-N 1 2 317.393 1.047 20 30 DDEDLO CCc1nc(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#CCOC)co1 ZINC001233809633 887344554 /nfs/dbraw/zinc/34/45/54/887344554.db2.gz LZIUGDOGUVANBR-ZDUSSCGKSA-N 1 2 305.378 1.033 20 30 DDEDLO CCc1nc(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#CCOC)co1 ZINC001233809633 887344567 /nfs/dbraw/zinc/34/45/67/887344567.db2.gz LZIUGDOGUVANBR-ZDUSSCGKSA-N 1 2 305.378 1.033 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CCn1cc(Cl)cn1 ZINC001233947378 887488087 /nfs/dbraw/zinc/48/80/87/887488087.db2.gz LGPLEZLUCONDFC-HNNXBMFYSA-N 1 2 322.840 1.873 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CCn1cc(Cl)cn1 ZINC001233947378 887488094 /nfs/dbraw/zinc/48/80/94/887488094.db2.gz LGPLEZLUCONDFC-HNNXBMFYSA-N 1 2 322.840 1.873 20 30 DDEDLO CCCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234129208 887671954 /nfs/dbraw/zinc/67/19/54/887671954.db2.gz HXASDAVFLYTSIL-LSDHHAIUSA-N 1 2 307.438 1.093 20 30 DDEDLO CCCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234129208 887671968 /nfs/dbraw/zinc/67/19/68/887671968.db2.gz HXASDAVFLYTSIL-LSDHHAIUSA-N 1 2 307.438 1.093 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234130896 887675095 /nfs/dbraw/zinc/67/50/95/887675095.db2.gz OPTJHWZUHDGQKA-CVEARBPZSA-N 1 2 321.465 1.483 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234130896 887675096 /nfs/dbraw/zinc/67/50/96/887675096.db2.gz OPTJHWZUHDGQKA-CVEARBPZSA-N 1 2 321.465 1.483 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001234171608 887708333 /nfs/dbraw/zinc/70/83/33/887708333.db2.gz QZJJNSWNAQNIOE-CVEARBPZSA-N 1 2 319.449 1.188 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001234171608 887708339 /nfs/dbraw/zinc/70/83/39/887708339.db2.gz QZJJNSWNAQNIOE-CVEARBPZSA-N 1 2 319.449 1.188 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1(C)CC1 ZINC001234454008 887983590 /nfs/dbraw/zinc/98/35/90/887983590.db2.gz FXNNKFIFWREUAB-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1(C)CC1 ZINC001234454008 887983602 /nfs/dbraw/zinc/98/36/02/887983602.db2.gz FXNNKFIFWREUAB-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)C(C)C ZINC001234604542 888135375 /nfs/dbraw/zinc/13/53/75/888135375.db2.gz PWTVDJXPWLZWNQ-HNNXBMFYSA-N 1 2 309.454 1.742 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)C(C)C ZINC001234604542 888135387 /nfs/dbraw/zinc/13/53/87/888135387.db2.gz PWTVDJXPWLZWNQ-HNNXBMFYSA-N 1 2 309.454 1.742 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NC1CC1 ZINC001234626502 888153038 /nfs/dbraw/zinc/15/30/38/888153038.db2.gz TWFHABSSLOQBEG-UKRRQHHQSA-N 1 2 307.438 1.543 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CC1 ZINC001234626502 888153053 /nfs/dbraw/zinc/15/30/53/888153053.db2.gz TWFHABSSLOQBEG-UKRRQHHQSA-N 1 2 307.438 1.543 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCC(F)(F)C1 ZINC001235070547 888393078 /nfs/dbraw/zinc/39/30/78/888393078.db2.gz JKHJTFMOOIXJDU-UONOGXRCSA-N 1 2 314.376 1.604 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCC(F)(F)C1 ZINC001235070547 888393094 /nfs/dbraw/zinc/39/30/94/888393094.db2.gz JKHJTFMOOIXJDU-UONOGXRCSA-N 1 2 314.376 1.604 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)N2CCc3cc[nH+]c(N)c3C2)cc1 ZINC001364374307 888485044 /nfs/dbraw/zinc/48/50/44/888485044.db2.gz KKSZBXXITSADCK-HNNXBMFYSA-N 1 2 308.341 1.154 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](C)CC[N@@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001364389420 888518106 /nfs/dbraw/zinc/51/81/06/888518106.db2.gz ZDRLHRQWFOFZCV-KCXAZCMYSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](C)CC[N@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001364389420 888518114 /nfs/dbraw/zinc/51/81/14/888518114.db2.gz ZDRLHRQWFOFZCV-KCXAZCMYSA-N 1 2 302.374 1.865 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@H]1CCCOC1 ZINC001235548464 888735470 /nfs/dbraw/zinc/73/54/70/888735470.db2.gz XHWYTOJVTPOODB-LBPRGKRZSA-N 1 2 319.243 1.760 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@H]1CCCOC1 ZINC001235548464 888735479 /nfs/dbraw/zinc/73/54/79/888735479.db2.gz XHWYTOJVTPOODB-LBPRGKRZSA-N 1 2 319.243 1.760 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@]2(CCOC2=O)C1 ZINC001364559497 888925830 /nfs/dbraw/zinc/92/58/30/888925830.db2.gz CGKPVTQGYGLCFC-WMLDXEAASA-N 1 2 314.341 1.411 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@]2(CCOC2=O)C1 ZINC001364559497 888925840 /nfs/dbraw/zinc/92/58/40/888925840.db2.gz CGKPVTQGYGLCFC-WMLDXEAASA-N 1 2 314.341 1.411 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CCNC(=O)C3CC3)CC2)c(F)c1 ZINC001364658201 889138135 /nfs/dbraw/zinc/13/81/35/889138135.db2.gz QQJFGFGGXMMKBE-UHFFFAOYSA-N 1 2 316.380 1.346 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H]1CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001290011534 913331873 /nfs/dbraw/zinc/33/18/73/913331873.db2.gz YZGKJYYFDLCILU-UKRRQHHQSA-N 1 2 318.421 1.614 20 30 DDEDLO C#CCN(C(=O)C(C)(F)F)C1CC[NH+](Cc2ncccn2)CC1 ZINC001278107565 889675733 /nfs/dbraw/zinc/67/57/33/889675733.db2.gz VCNSIIBMCDMWEZ-UHFFFAOYSA-N 1 2 322.359 1.558 20 30 DDEDLO N#Cc1cc(CN2CC[C@@H]([NH+]3CCOCC3)C2)c(F)cc1F ZINC001237525985 889679526 /nfs/dbraw/zinc/67/95/26/889679526.db2.gz LFKASNDVIQTLPD-CQSZACIVSA-N 1 2 307.344 1.743 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@@H](N3CCOCC3)C2)c(F)cc1F ZINC001237525985 889679533 /nfs/dbraw/zinc/67/95/33/889679533.db2.gz LFKASNDVIQTLPD-CQSZACIVSA-N 1 2 307.344 1.743 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@@H](N3CCOCC3)C2)c(F)cc1F ZINC001237525985 889679539 /nfs/dbraw/zinc/67/95/39/889679539.db2.gz LFKASNDVIQTLPD-CQSZACIVSA-N 1 2 307.344 1.743 20 30 DDEDLO C#CCN(C(=O)c1ncc[nH]1)C1CC[NH+](Cc2ccon2)CC1 ZINC001278151096 889873610 /nfs/dbraw/zinc/87/36/10/889873610.db2.gz JFBNKONXXBCCDM-UHFFFAOYSA-N 1 2 313.361 1.138 20 30 DDEDLO N#Cc1ccc(F)c(F)c1NS(=O)(=O)CC1CC[NH2+]CC1 ZINC001237905835 889935081 /nfs/dbraw/zinc/93/50/81/889935081.db2.gz PEXZFOWIJHGADT-UHFFFAOYSA-N 1 2 315.345 1.578 20 30 DDEDLO C=CCCCCCC[C@H](O)C[NH2+]C1(CC(=O)OCC)CNC1 ZINC001245991604 892309992 /nfs/dbraw/zinc/30/99/92/892309992.db2.gz CWBFLOOLMPGELI-HNNXBMFYSA-N 1 2 312.454 1.759 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(C)(CC)CC)[C@@H]1C ZINC001278533306 894116988 /nfs/dbraw/zinc/11/69/88/894116988.db2.gz QEFAJHBKNIRRTH-GJZGRUSLSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(C)(CC)CC)[C@@H]1C ZINC001278533306 894116998 /nfs/dbraw/zinc/11/69/98/894116998.db2.gz QEFAJHBKNIRRTH-GJZGRUSLSA-N 1 2 321.465 1.531 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@H+](Cc2nnc(C(C)(C)C)o2)C1 ZINC001366684177 894573523 /nfs/dbraw/zinc/57/35/23/894573523.db2.gz CHFAVWMCHDKQTH-NWDGAFQWSA-N 1 2 319.409 1.465 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@@H+](Cc2nnc(C(C)(C)C)o2)C1 ZINC001366684177 894573535 /nfs/dbraw/zinc/57/35/35/894573535.db2.gz CHFAVWMCHDKQTH-NWDGAFQWSA-N 1 2 319.409 1.465 20 30 DDEDLO C#CCOC[C@H](O)C[NH2+][C@H](C(=O)OCc1ccccc1)C(C)C ZINC001251884641 894867092 /nfs/dbraw/zinc/86/70/92/894867092.db2.gz CZYQTGPSHWMKBC-SJORKVTESA-N 1 2 319.401 1.355 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H](NC(=O)[C@@H](C)C#N)CC(C)(C)C2)[nH]1 ZINC001388833505 896012130 /nfs/dbraw/zinc/01/21/30/896012130.db2.gz DEGYSLCTQDBUKQ-WCQYABFASA-N 1 2 318.425 1.380 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H](NC(=O)[C@@H](C)C#N)CC(C)(C)C2)[nH]1 ZINC001388833505 896012142 /nfs/dbraw/zinc/01/21/42/896012142.db2.gz DEGYSLCTQDBUKQ-WCQYABFASA-N 1 2 318.425 1.380 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1[C@@H]1CCSC1 ZINC001255285100 896827490 /nfs/dbraw/zinc/82/74/90/896827490.db2.gz LROQCTBJCDJZGH-OCCSQVGLSA-N 1 2 308.451 1.905 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1[C@@H]1CCSC1 ZINC001255285100 896827505 /nfs/dbraw/zinc/82/75/05/896827505.db2.gz LROQCTBJCDJZGH-OCCSQVGLSA-N 1 2 308.451 1.905 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(CO)ccc2F)C1 ZINC001389369589 897050544 /nfs/dbraw/zinc/05/05/44/897050544.db2.gz VCFKJLRZDCUXMF-SWLSCSKDSA-N 1 2 319.380 1.558 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(CO)ccc2F)C1 ZINC001389369589 897050560 /nfs/dbraw/zinc/05/05/60/897050560.db2.gz VCFKJLRZDCUXMF-SWLSCSKDSA-N 1 2 319.380 1.558 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H]1COc2ccccc2O1 ZINC001367553585 897146709 /nfs/dbraw/zinc/14/67/09/897146709.db2.gz QXCJUGQRFBPIMS-HNNXBMFYSA-N 1 2 324.808 1.969 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H]1COc2ccccc2O1 ZINC001367553585 897146713 /nfs/dbraw/zinc/14/67/13/897146713.db2.gz QXCJUGQRFBPIMS-HNNXBMFYSA-N 1 2 324.808 1.969 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1(O)C[NH+](Cc2ccccc2)C1 ZINC001278910971 897284471 /nfs/dbraw/zinc/28/44/71/897284471.db2.gz PINILEJEURFXFC-UHFFFAOYSA-N 1 2 302.418 1.952 20 30 DDEDLO CC(C)(C)[C@@H](CNCC#N)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001278978753 897640849 /nfs/dbraw/zinc/64/08/49/897640849.db2.gz MCNPEIRVZZRNRQ-GXTWGEPZSA-N 1 2 303.410 1.090 20 30 DDEDLO N#Cc1ccc(C(=O)NCC2([NH2+]Cc3cscn3)CC2)[nH]1 ZINC001368001855 898455215 /nfs/dbraw/zinc/45/52/15/898455215.db2.gz ZKLMZYZJJMUXSI-UHFFFAOYSA-N 1 2 301.375 1.395 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1cnsn1)C1CCCC1 ZINC001368140313 898790621 /nfs/dbraw/zinc/79/06/21/898790621.db2.gz XTQDMMDRNSPEPU-MFKMUULPSA-N 1 2 307.423 1.462 20 30 DDEDLO COCC#CC(=O)Nc1cccc([C@H](C)[NH+]2CCOCC2)c1 ZINC001261678205 899695544 /nfs/dbraw/zinc/69/55/44/899695544.db2.gz PCHIGWXFGPJIQU-AWEZNQCLSA-N 1 2 302.374 1.668 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C[C@@H](C)SCC)n2C)CC1 ZINC001262889657 900407785 /nfs/dbraw/zinc/40/77/85/900407785.db2.gz UVHXWEDEYCEXIT-CYBMUJFWSA-N 1 2 307.467 1.254 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC[C@@H](CF)C1 ZINC001262947600 900431234 /nfs/dbraw/zinc/43/12/34/900431234.db2.gz OWRSRUNNPASQOC-IHRRRGAJSA-N 1 2 323.416 1.388 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC[C@@H](CF)C1 ZINC001262947600 900431243 /nfs/dbraw/zinc/43/12/43/900431243.db2.gz OWRSRUNNPASQOC-IHRRRGAJSA-N 1 2 323.416 1.388 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H]2C[N@@H+](Cc3cnon3)CC[C@@H]2C1 ZINC001264086855 900924339 /nfs/dbraw/zinc/92/43/39/900924339.db2.gz WYQXZNMNGFKEFS-CABCVRRESA-N 1 2 316.405 1.544 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H]2C[N@H+](Cc3cnon3)CC[C@@H]2C1 ZINC001264086855 900924368 /nfs/dbraw/zinc/92/43/68/900924368.db2.gz WYQXZNMNGFKEFS-CABCVRRESA-N 1 2 316.405 1.544 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccn(CC)n2)[C@@H]1C ZINC001264142366 900976510 /nfs/dbraw/zinc/97/65/10/900976510.db2.gz ZBDPJPKJMNWREG-YCPHGPKFSA-N 1 2 318.421 1.020 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccn(CC)n2)[C@@H]1C ZINC001264142366 900976524 /nfs/dbraw/zinc/97/65/24/900976524.db2.gz ZBDPJPKJMNWREG-YCPHGPKFSA-N 1 2 318.421 1.020 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@@H+](C)Cc2cn(CC)nn2)C1 ZINC001265033949 901463502 /nfs/dbraw/zinc/46/35/02/901463502.db2.gz NFZFVAHQRWANAR-UHFFFAOYSA-N 1 2 305.426 1.592 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@H+](C)Cc2cn(CC)nn2)C1 ZINC001265033949 901463510 /nfs/dbraw/zinc/46/35/10/901463510.db2.gz NFZFVAHQRWANAR-UHFFFAOYSA-N 1 2 305.426 1.592 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CCC[N@@H+](Cc2snnc2C)C1 ZINC001265213117 901716039 /nfs/dbraw/zinc/71/60/39/901716039.db2.gz MJXZILFNXSAJKD-DOMZBBRYSA-N 1 2 324.450 1.254 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CCC[N@H+](Cc2snnc2C)C1 ZINC001265213117 901716051 /nfs/dbraw/zinc/71/60/51/901716051.db2.gz MJXZILFNXSAJKD-DOMZBBRYSA-N 1 2 324.450 1.254 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+](CC(=O)NC)C2)CCCCC1 ZINC001265234750 901757053 /nfs/dbraw/zinc/75/70/53/901757053.db2.gz AIVCBTLBOKBQHK-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+](CC(=O)NC)C2)CCCCC1 ZINC001265234750 901757061 /nfs/dbraw/zinc/75/70/61/901757061.db2.gz AIVCBTLBOKBQHK-HNNXBMFYSA-N 1 2 321.465 1.840 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NC(CC)CC)C1 ZINC001265285683 901825839 /nfs/dbraw/zinc/82/58/39/901825839.db2.gz FMJKGNPYRUZVBC-OAHLLOKOSA-N 1 2 309.454 1.838 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](CC(=O)NC(CC)CC)C1 ZINC001265285683 901825849 /nfs/dbraw/zinc/82/58/49/901825849.db2.gz FMJKGNPYRUZVBC-OAHLLOKOSA-N 1 2 309.454 1.838 20 30 DDEDLO C[C@H](c1ncccn1)[NH+]1CCC(N(C)C(=O)[C@@H](C)C#N)CC1 ZINC001369761106 901886277 /nfs/dbraw/zinc/88/62/77/901886277.db2.gz VTCVKTMPEQBWLA-QWHCGFSZSA-N 1 2 301.394 1.620 20 30 DDEDLO Cc1nocc1C[N@@H+]1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC001266205411 903107957 /nfs/dbraw/zinc/10/79/57/903107957.db2.gz NNUUBKZXRVTARH-CYBMUJFWSA-N 1 2 308.407 1.320 20 30 DDEDLO Cc1nocc1C[N@H+]1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC001266205411 903107966 /nfs/dbraw/zinc/10/79/66/903107966.db2.gz NNUUBKZXRVTARH-CYBMUJFWSA-N 1 2 308.407 1.320 20 30 DDEDLO CC(C)(CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1)C1CC1 ZINC001266215534 903125363 /nfs/dbraw/zinc/12/53/63/903125363.db2.gz LNRQPWTVECEYJQ-CQSZACIVSA-N 1 2 320.437 1.033 20 30 DDEDLO CC(C)(CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1)C1CC1 ZINC001266215534 903125372 /nfs/dbraw/zinc/12/53/72/903125372.db2.gz LNRQPWTVECEYJQ-CQSZACIVSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+](CC(=O)N(C)C)C2)CCC1 ZINC001266236568 903168684 /nfs/dbraw/zinc/16/86/84/903168684.db2.gz KBMZOJMBDAKIDX-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+](CC(=O)N(C)C)C2)CCC1 ZINC001266236568 903168690 /nfs/dbraw/zinc/16/86/90/903168690.db2.gz KBMZOJMBDAKIDX-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCC(=O)NC[C@H]1COCC[N@@H+]1CCC[C@@H]1CCOC1 ZINC001280398800 903633856 /nfs/dbraw/zinc/63/38/56/903633856.db2.gz BBUXGXJLJWKWAO-CVEARBPZSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCCC(=O)NC[C@H]1COCC[N@H+]1CCC[C@@H]1CCOC1 ZINC001280398800 903633865 /nfs/dbraw/zinc/63/38/65/903633865.db2.gz BBUXGXJLJWKWAO-CVEARBPZSA-N 1 2 310.438 1.586 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CC[N@H+](C)CC(=O)NCCC(C)(C)C ZINC001392265371 903697564 /nfs/dbraw/zinc/69/75/64/903697564.db2.gz KTDPHKNRZFLFNV-ZDUSSCGKSA-N 1 2 310.442 1.089 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CC[N@@H+](C)CC(=O)NCCC(C)(C)C ZINC001392265371 903697569 /nfs/dbraw/zinc/69/75/69/903697569.db2.gz KTDPHKNRZFLFNV-ZDUSSCGKSA-N 1 2 310.442 1.089 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C1(C(C)(F)F)CC1 ZINC001316606391 904079550 /nfs/dbraw/zinc/07/95/50/904079550.db2.gz VKYYZIDYSFMHAD-ZDUSSCGKSA-N 1 2 314.376 1.604 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)C1(C(C)(F)F)CC1 ZINC001316606391 904079563 /nfs/dbraw/zinc/07/95/63/904079563.db2.gz VKYYZIDYSFMHAD-ZDUSSCGKSA-N 1 2 314.376 1.604 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)CCCn1cccn1)O2 ZINC001280937419 904216020 /nfs/dbraw/zinc/21/60/20/904216020.db2.gz VKVCZVSLZUXSNW-OAHLLOKOSA-N 1 2 318.421 1.199 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H](OCC)C(C)C)CO2 ZINC001280945990 904227492 /nfs/dbraw/zinc/22/74/92/904227492.db2.gz XCVHQXDMLLCZTO-HZPDHXFCSA-N 1 2 322.449 1.420 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)CCn2cc[nH+]c2)[C@@H]1C ZINC001281135209 904455291 /nfs/dbraw/zinc/45/52/91/904455291.db2.gz MDEDHDOTBFEIDD-GJZGRUSLSA-N 1 2 316.405 1.182 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001281141551 904468739 /nfs/dbraw/zinc/46/87/39/904468739.db2.gz XKPRLIQABAEANQ-ZIAGYGMSSA-N 1 2 318.421 1.591 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1ncnc2[nH]ccc21 ZINC001375069163 914851895 /nfs/dbraw/zinc/85/18/95/914851895.db2.gz ATOMCNQYEFEGBU-SNVBAGLBSA-N 1 2 307.785 1.712 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1ncnc2[nH]ccc21 ZINC001375069163 914851911 /nfs/dbraw/zinc/85/19/11/914851911.db2.gz ATOMCNQYEFEGBU-SNVBAGLBSA-N 1 2 307.785 1.712 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1nccn1C ZINC001281967563 905372669 /nfs/dbraw/zinc/37/26/69/905372669.db2.gz INSNAHRLMDGWIK-OAHLLOKOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1nccn1C ZINC001281967563 905372687 /nfs/dbraw/zinc/37/26/87/905372687.db2.gz INSNAHRLMDGWIK-OAHLLOKOSA-N 1 2 320.437 1.436 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2nccc3occc32)C1 ZINC001282527348 905851143 /nfs/dbraw/zinc/85/11/43/905851143.db2.gz LZCSPYNXASIAFD-UHFFFAOYSA-N 1 2 313.357 1.018 20 30 DDEDLO C[N@H+](CCNC(=O)c1cscn1)Cc1ccccc1C#N ZINC001371926217 906017545 /nfs/dbraw/zinc/01/75/45/906017545.db2.gz LKOTZLCIDFTWGW-UHFFFAOYSA-N 1 2 300.387 1.877 20 30 DDEDLO C[N@@H+](CCNC(=O)c1cscn1)Cc1ccccc1C#N ZINC001371926217 906017560 /nfs/dbraw/zinc/01/75/60/906017560.db2.gz LKOTZLCIDFTWGW-UHFFFAOYSA-N 1 2 300.387 1.877 20 30 DDEDLO CO[C@@H]1CCC[C@@H]1C(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001372031601 906287477 /nfs/dbraw/zinc/28/74/77/906287477.db2.gz OIMTUELAECUMHU-DLBZAZTESA-N 1 2 315.417 1.921 20 30 DDEDLO CO[C@@H]1CCC[C@@H]1C(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001372031601 906287496 /nfs/dbraw/zinc/28/74/96/906287496.db2.gz OIMTUELAECUMHU-DLBZAZTESA-N 1 2 315.417 1.921 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC001283333198 907152260 /nfs/dbraw/zinc/15/22/60/907152260.db2.gz NGSYNSMUBPRKLH-UONOGXRCSA-N 1 2 316.405 1.129 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001283390977 907275545 /nfs/dbraw/zinc/27/55/45/907275545.db2.gz FBGSEHNXPOQHJW-CQSZACIVSA-N 1 2 318.421 1.545 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001283856203 908078320 /nfs/dbraw/zinc/07/83/20/908078320.db2.gz PLDKBLCBQDTMHR-CYBMUJFWSA-N 1 2 316.405 1.027 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001283939285 908215098 /nfs/dbraw/zinc/21/50/98/908215098.db2.gz BMXURUMRFHZMOM-ZDUSSCGKSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCCC(=O)N[C@@]12CCC[C@@H]1[N@H+](Cc1ncnn1CC)CC2 ZINC001284043670 908375939 /nfs/dbraw/zinc/37/59/39/908375939.db2.gz FDJSDUHRXKUZKP-WMLDXEAASA-N 1 2 317.437 1.877 20 30 DDEDLO C=CCCC(=O)N[C@@]12CCC[C@@H]1[N@@H+](Cc1ncnn1CC)CC2 ZINC001284043670 908375956 /nfs/dbraw/zinc/37/59/56/908375956.db2.gz FDJSDUHRXKUZKP-WMLDXEAASA-N 1 2 317.437 1.877 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001284105824 908484192 /nfs/dbraw/zinc/48/41/92/908484192.db2.gz GDBPPDQRKLEIEP-ZDUSSCGKSA-N 1 2 304.394 1.273 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001284117374 908500304 /nfs/dbraw/zinc/50/03/04/908500304.db2.gz HUTORFUOMJYYCA-HNNXBMFYSA-N 1 2 316.405 1.041 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@H+](Cc2ncc(C)o2)[C@@H]1C ZINC001284127753 908519833 /nfs/dbraw/zinc/51/98/33/908519833.db2.gz FBOUPJNNOUTGBK-CABCVRRESA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@@H+](Cc2ncc(C)o2)[C@@H]1C ZINC001284127753 908519849 /nfs/dbraw/zinc/51/98/49/908519849.db2.gz FBOUPJNNOUTGBK-CABCVRRESA-N 1 2 319.405 1.492 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)Cn1ccc(C)cc1=O ZINC001394119119 908869191 /nfs/dbraw/zinc/86/91/91/908869191.db2.gz UFYZRHMAXWFCGO-ZDUSSCGKSA-N 1 2 311.813 1.346 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)Cn1ccc(C)cc1=O ZINC001394119119 908869213 /nfs/dbraw/zinc/86/92/13/908869213.db2.gz UFYZRHMAXWFCGO-ZDUSSCGKSA-N 1 2 311.813 1.346 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](O)C[NH2+]Cc1cnsn1 ZINC001284438248 909003013 /nfs/dbraw/zinc/00/30/13/909003013.db2.gz HGBFGKDRUGFVKO-LBPRGKRZSA-N 1 2 312.439 1.049 20 30 DDEDLO CC[C@H](C)OCC(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001373502983 910029440 /nfs/dbraw/zinc/02/94/40/910029440.db2.gz HUYHUAPTEOTRKF-AWEZNQCLSA-N 1 2 315.417 1.921 20 30 DDEDLO CC(C)[C@@H](CNC(=O)C#CC(C)(C)C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001285319139 910351070 /nfs/dbraw/zinc/35/10/70/910351070.db2.gz KJIFNBDDGOFFTJ-CQSZACIVSA-N 1 2 318.421 1.259 20 30 DDEDLO CCc1nc(C[NH2+]C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C)no1 ZINC001394813141 910633693 /nfs/dbraw/zinc/63/36/93/910633693.db2.gz UAKYLTZFPITDLH-MNOVXSKESA-N 1 2 307.398 1.412 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001285733938 911123767 /nfs/dbraw/zinc/12/37/67/911123767.db2.gz BHTRYVYPIBLELY-STQMWFEESA-N 1 2 320.437 1.812 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001285733938 911123782 /nfs/dbraw/zinc/12/37/82/911123782.db2.gz BHTRYVYPIBLELY-STQMWFEESA-N 1 2 320.437 1.812 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCCCC1 ZINC001395231298 911599767 /nfs/dbraw/zinc/59/97/67/911599767.db2.gz MVTYQVMGMAHQGE-LBPRGKRZSA-N 1 2 317.393 1.047 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001294782990 915360646 /nfs/dbraw/zinc/36/06/46/915360646.db2.gz CILDVEWQJKSZQW-UONOGXRCSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001295068948 915545058 /nfs/dbraw/zinc/54/50/58/915545058.db2.gz LJXVMCNUOZGEHH-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001295068948 915545073 /nfs/dbraw/zinc/54/50/73/915545073.db2.gz LJXVMCNUOZGEHH-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001295121127 915591107 /nfs/dbraw/zinc/59/11/07/915591107.db2.gz HRNQRYBCHDUYLI-JOCQHMNTSA-N 1 2 318.421 1.328 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001295121950 915593934 /nfs/dbraw/zinc/59/39/34/915593934.db2.gz UKGCQOFDBMIHNX-HDJSIYSDSA-N 1 2 318.421 1.639 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001295549596 915892341 /nfs/dbraw/zinc/89/23/41/915892341.db2.gz TZBVVKZVCQEQSI-ZDUSSCGKSA-N 1 2 320.437 1.904 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001295603855 915924613 /nfs/dbraw/zinc/92/46/13/915924613.db2.gz XEUOOZRELDAJKA-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCCC(=O)N(C)[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295819655 916069401 /nfs/dbraw/zinc/06/94/01/916069401.db2.gz UBBGZOLGDAWROH-AWEZNQCLSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(CC)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001296349832 916352139 /nfs/dbraw/zinc/35/21/39/916352139.db2.gz DAFWMNFBGMTZAF-STQMWFEESA-N 1 2 320.437 1.684 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)COCc2ccncc2)C1 ZINC001375846232 917115707 /nfs/dbraw/zinc/11/57/07/917115707.db2.gz LBQMOCLNKDYKLI-MRXNPFEDSA-N 1 2 323.824 1.931 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)COCc2ccncc2)C1 ZINC001375846232 917115716 /nfs/dbraw/zinc/11/57/16/917115716.db2.gz LBQMOCLNKDYKLI-MRXNPFEDSA-N 1 2 323.824 1.931 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(C[C@H]2NC(=O)CCc2nc[nH]n2)CC1 ZINC001376176890 917881013 /nfs/dbraw/zinc/88/10/13/917881013.db2.gz OBKHMLXCGMPTIY-GFCCVEGCSA-N 1 2 323.828 1.461 20 30 DDEDLO C[C@@H](CNC(=O)c1c[nH]c(C#N)c1)[NH2+]Cc1nnc(C2CC2)o1 ZINC001376719786 919163557 /nfs/dbraw/zinc/16/35/57/919163557.db2.gz YXUOOZJXPGOHBZ-VIFPVBQESA-N 1 2 314.349 1.055 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1ccn2nnnc2c1)C1CC1 ZINC001377542812 922277725 /nfs/dbraw/zinc/27/77/25/922277725.db2.gz DUSMERICVNAKCB-UHFFFAOYSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1ccn2nnnc2c1)C1CC1 ZINC001377542812 922277733 /nfs/dbraw/zinc/27/77/33/922277733.db2.gz DUSMERICVNAKCB-UHFFFAOYSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1ccc2n[nH]nc2c1)C1CC1 ZINC001377567812 922354946 /nfs/dbraw/zinc/35/49/46/922354946.db2.gz SYJLSXVCAONLPF-UHFFFAOYSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1ccc2n[nH]nc2c1)C1CC1 ZINC001377567812 922354958 /nfs/dbraw/zinc/35/49/58/922354958.db2.gz SYJLSXVCAONLPF-UHFFFAOYSA-N 1 2 319.796 1.905 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)N2CCN(c3cccc[nH+]3)CC2)c1 ZINC000614293466 361792388 /nfs/dbraw/zinc/79/23/88/361792388.db2.gz TWODXTUENWQUNR-UHFFFAOYSA-N 1 2 308.341 1.621 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](Cc3cccc(O)c3)CC2)c[nH]1 ZINC000181270765 199273670 /nfs/dbraw/zinc/27/36/70/199273670.db2.gz UGNKGEMCROZOIV-UHFFFAOYSA-N 1 2 310.357 1.550 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000278549089 214229749 /nfs/dbraw/zinc/22/97/49/214229749.db2.gz GTUVBISDLMESBV-XJKSGUPXSA-N 1 2 324.446 1.565 20 30 DDEDLO CC(C)[C@H]([NH2+]Cc1ccnc(C#N)c1)c1nc(-c2nc[nH]n2)no1 ZINC000614574531 361926042 /nfs/dbraw/zinc/92/60/42/361926042.db2.gz XNYYMOQFZHACFU-LBPRGKRZSA-N 1 2 324.348 1.608 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH+]1CCN(C(=O)C(C)(C)C=C)CC1 ZINC000614908950 362071231 /nfs/dbraw/zinc/07/12/31/362071231.db2.gz LMKQYTHJRKEYTI-UHFFFAOYSA-N 1 2 321.465 1.671 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCO[C@H]1c1nccn1C)[NH+]1CCOCC1 ZINC000328963896 539299965 /nfs/dbraw/zinc/29/99/65/539299965.db2.gz RKUCVKVZJQJEKT-MGPQQGTHSA-N 1 2 322.409 1.175 20 30 DDEDLO N#C[C@H]1CCC[C@H]1NC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000332914578 539314077 /nfs/dbraw/zinc/31/40/77/539314077.db2.gz UJTJUCUHDMEPOX-QLFBSQMISA-N 1 2 306.410 1.185 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(Cc3c(C#N)cccc3[N+](=O)[O-])C[C@H]21 ZINC000459460137 529790559 /nfs/dbraw/zinc/79/05/59/529790559.db2.gz RQKGAGTZSKPUFI-CVEARBPZSA-N 1 2 316.361 1.371 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(Cc3c(C#N)cccc3[N+](=O)[O-])C[C@H]21 ZINC000459460137 529790561 /nfs/dbraw/zinc/79/05/61/529790561.db2.gz RQKGAGTZSKPUFI-CVEARBPZSA-N 1 2 316.361 1.371 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C#N)cc3Cl)C[C@@H]21 ZINC000330237671 529791112 /nfs/dbraw/zinc/79/11/12/529791112.db2.gz UVRSAPXXOHYBGI-KBPBESRZSA-N 1 2 320.780 1.772 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C#N)cc3Cl)C[C@@H]21 ZINC000330237671 529791113 /nfs/dbraw/zinc/79/11/13/529791113.db2.gz UVRSAPXXOHYBGI-KBPBESRZSA-N 1 2 320.780 1.772 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cnc2ccc(F)cc2c1 ZINC000414120616 529868763 /nfs/dbraw/zinc/86/87/63/529868763.db2.gz CXMAWOLKZBEOQC-AWEZNQCLSA-N 1 2 303.337 1.959 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cnc2ccc(F)cc2c1 ZINC000414120616 529868764 /nfs/dbraw/zinc/86/87/64/529868764.db2.gz CXMAWOLKZBEOQC-AWEZNQCLSA-N 1 2 303.337 1.959 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCC[C@@H](OC(F)F)C1 ZINC000615795493 362444155 /nfs/dbraw/zinc/44/41/55/362444155.db2.gz IRLHXUOHKBDRCV-GHMZBOCLSA-N 1 2 301.337 1.641 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@@H](OC(F)F)C1 ZINC000615795493 362444163 /nfs/dbraw/zinc/44/41/63/362444163.db2.gz IRLHXUOHKBDRCV-GHMZBOCLSA-N 1 2 301.337 1.641 20 30 DDEDLO CCN(CC)C(=O)C[N@H+](C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000008074952 352130748 /nfs/dbraw/zinc/13/07/48/352130748.db2.gz KCOWLJLRKOYMDW-CJNGLKHVSA-N 1 2 310.442 1.230 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+](C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000008074952 352130750 /nfs/dbraw/zinc/13/07/50/352130750.db2.gz KCOWLJLRKOYMDW-CJNGLKHVSA-N 1 2 310.442 1.230 20 30 DDEDLO N#Cc1ccccc1OCC(=O)NCCc1cn2ccccc2[nH+]1 ZINC000012666698 352148340 /nfs/dbraw/zinc/14/83/40/352148340.db2.gz JZGZEOMNQMLZPH-UHFFFAOYSA-N 1 2 320.352 1.944 20 30 DDEDLO CC[C@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000029450270 352239421 /nfs/dbraw/zinc/23/94/21/352239421.db2.gz UPFUBQZNZOHYEW-UONOGXRCSA-N 1 2 315.421 1.378 20 30 DDEDLO C[C@H](C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1)n1cccn1 ZINC000044670310 352390294 /nfs/dbraw/zinc/39/02/94/352390294.db2.gz BEXNCMCVKBSAOW-OAHLLOKOSA-N 1 2 323.400 1.660 20 30 DDEDLO C=CCN(C(=O)[C@H](CC)[N@@H+]1CCO[C@H](CC)C1)[C@@H](C)COC ZINC000617582816 363192423 /nfs/dbraw/zinc/19/24/23/363192423.db2.gz VSJWOAHCESGRBG-XHSDSOJGSA-N 1 2 312.454 1.925 20 30 DDEDLO C=CCN(C(=O)[C@H](CC)[N@H+]1CCO[C@H](CC)C1)[C@@H](C)COC ZINC000617582816 363192425 /nfs/dbraw/zinc/19/24/25/363192425.db2.gz VSJWOAHCESGRBG-XHSDSOJGSA-N 1 2 312.454 1.925 20 30 DDEDLO Cn1nc(C(C)(C)C)cc1NC(=O)C[NH+]1CCC(C#N)CC1 ZINC000057874841 352832703 /nfs/dbraw/zinc/83/27/03/352832703.db2.gz FSQWIVCNROHPMP-UHFFFAOYSA-N 1 2 303.410 1.892 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(c2nc3ccccc3s2)CC1 ZINC000072972783 353229150 /nfs/dbraw/zinc/22/91/50/353229150.db2.gz ZCADNDNDEVUWGU-UHFFFAOYSA-N 1 2 314.414 1.168 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)C[C@H](O)C(F)(F)F ZINC000305764616 222872846 /nfs/dbraw/zinc/87/28/46/222872846.db2.gz GNNVINDSOLJVLL-MNOVXSKESA-N 1 2 321.343 1.573 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)C[C@H](O)C(F)(F)F ZINC000305764616 222872848 /nfs/dbraw/zinc/87/28/48/222872848.db2.gz GNNVINDSOLJVLL-MNOVXSKESA-N 1 2 321.343 1.573 20 30 DDEDLO C[C@H](c1ccccc1Cl)[NH+]1CCN(CC(=O)NCC#N)CC1 ZINC000076668696 353431793 /nfs/dbraw/zinc/43/17/93/353431793.db2.gz UDDKTFRCIGWHPB-CYBMUJFWSA-N 1 2 320.824 1.658 20 30 DDEDLO CC[N@H+](CCC#N)C[C@@H](O)COc1ccc(C(C)=O)cc1OC ZINC000081039189 353647721 /nfs/dbraw/zinc/64/77/21/353647721.db2.gz JTEALOUPAYRGCO-OAHLLOKOSA-N 1 2 320.389 1.873 20 30 DDEDLO CC[N@@H+](CCC#N)C[C@@H](O)COc1ccc(C(C)=O)cc1OC ZINC000081039189 353647723 /nfs/dbraw/zinc/64/77/23/353647723.db2.gz JTEALOUPAYRGCO-OAHLLOKOSA-N 1 2 320.389 1.873 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)N[C@H](C#N)c2ccc(C(C)(C)C)cc2)C1 ZINC000081368489 353667276 /nfs/dbraw/zinc/66/72/76/353667276.db2.gz HHEWBYDXJYQXJJ-CVEARBPZSA-N 1 2 315.417 1.996 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)N[C@H](C#N)c2ccc(C(C)(C)C)cc2)C1 ZINC000081368489 353667278 /nfs/dbraw/zinc/66/72/78/353667278.db2.gz HHEWBYDXJYQXJJ-CVEARBPZSA-N 1 2 315.417 1.996 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)cc1 ZINC000088777281 353759013 /nfs/dbraw/zinc/75/90/13/353759013.db2.gz UURRGSIHAJFGFO-CYBMUJFWSA-N 1 2 316.386 1.850 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000183027469 354277828 /nfs/dbraw/zinc/27/78/28/354277828.db2.gz XIRRRVCRRZLBGQ-MRXNPFEDSA-N 1 2 314.389 1.668 20 30 DDEDLO CN1CC[N@H+](C)C[C@H]1c1noc(Cc2c(F)cccc2C#N)n1 ZINC000350047637 354596551 /nfs/dbraw/zinc/59/65/51/354596551.db2.gz KNBFXJFPXIODTD-AWEZNQCLSA-N 1 2 315.352 1.589 20 30 DDEDLO CN1CC[N@@H+](C)C[C@H]1c1noc(Cc2c(F)cccc2C#N)n1 ZINC000350047637 354596554 /nfs/dbraw/zinc/59/65/54/354596554.db2.gz KNBFXJFPXIODTD-AWEZNQCLSA-N 1 2 315.352 1.589 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2nccc3c(C)cccc32)CC1 ZINC000578885344 354713213 /nfs/dbraw/zinc/71/32/13/354713213.db2.gz RVJPQPXZTKCXBR-UHFFFAOYSA-N 1 2 324.428 1.967 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccccc1CC#N ZINC000601306212 358488850 /nfs/dbraw/zinc/48/88/50/358488850.db2.gz CRTNSAJKWCMZOQ-GFCCVEGCSA-N 1 2 304.375 1.316 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000586796809 354867569 /nfs/dbraw/zinc/86/75/69/354867569.db2.gz ARMVLGUUNGGQRF-AWEZNQCLSA-N 1 2 317.364 1.173 20 30 DDEDLO Cc1ncc(C[NH+]2CCN(c3nc(C)cc(C#N)n3)CC2)s1 ZINC000587887101 354886255 /nfs/dbraw/zinc/88/62/55/354886255.db2.gz MDWUQJPLYOGNJT-UHFFFAOYSA-N 1 2 314.418 1.744 20 30 DDEDLO CCO[C@@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000588959180 354952247 /nfs/dbraw/zinc/95/22/47/354952247.db2.gz CFCSDFFBLFIVQD-CQSZACIVSA-N 1 2 323.418 1.228 20 30 DDEDLO CCO[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000588959180 354952248 /nfs/dbraw/zinc/95/22/48/354952248.db2.gz CFCSDFFBLFIVQD-CQSZACIVSA-N 1 2 323.418 1.228 20 30 DDEDLO N#Cc1cccc(C(=O)NCc2ccc(N3CCCC3)[nH+]c2)n1 ZINC000589284983 354981221 /nfs/dbraw/zinc/98/12/21/354981221.db2.gz FXXYIZRZGJPGIQ-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO N#CC1CN(C(=O)Nc2ccccc2OCCn2cc[nH+]c2)C1 ZINC000590117049 355051160 /nfs/dbraw/zinc/05/11/60/355051160.db2.gz JVLGROATPOLRHZ-UHFFFAOYSA-N 1 2 311.345 1.949 20 30 DDEDLO N#CC1(CC(=O)NCc2ccccc2C[NH+]2CCOCC2)CC1 ZINC000590131878 355052153 /nfs/dbraw/zinc/05/21/53/355052153.db2.gz WZOAQHBSQADAQB-UHFFFAOYSA-N 1 2 313.401 1.829 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)ncc1C#N ZINC000590901420 355209426 /nfs/dbraw/zinc/20/94/26/355209426.db2.gz VAVXEELFWCDFRS-HNNXBMFYSA-N 1 2 314.389 1.199 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2nc(C)c(C)o2)CC1 ZINC000591873801 355403260 /nfs/dbraw/zinc/40/32/60/355403260.db2.gz YYLOTDHGCMIVOK-UHFFFAOYSA-N 1 2 307.394 1.528 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2nc(C)c(C)o2)CC1 ZINC000591873801 355403264 /nfs/dbraw/zinc/40/32/64/355403264.db2.gz YYLOTDHGCMIVOK-UHFFFAOYSA-N 1 2 307.394 1.528 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000592130123 355507930 /nfs/dbraw/zinc/50/79/30/355507930.db2.gz PRNXLRYZVOMZCT-JSGCOSHPSA-N 1 2 314.380 1.066 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000592130123 355507932 /nfs/dbraw/zinc/50/79/32/355507932.db2.gz PRNXLRYZVOMZCT-JSGCOSHPSA-N 1 2 314.380 1.066 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148046 355513890 /nfs/dbraw/zinc/51/38/90/355513890.db2.gz QPQOARLYSSZEGI-CJNGLKHVSA-N 1 2 304.394 1.068 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148046 355513894 /nfs/dbraw/zinc/51/38/94/355513894.db2.gz QPQOARLYSSZEGI-CJNGLKHVSA-N 1 2 304.394 1.068 20 30 DDEDLO Cc1ccc([N+](=O)[O-])cc1NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149063 355515405 /nfs/dbraw/zinc/51/54/05/355515405.db2.gz HUQFQMAKYWXSNK-OAHLLOKOSA-N 1 2 318.333 1.192 20 30 DDEDLO Cc1ccc([N+](=O)[O-])cc1NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149063 355515407 /nfs/dbraw/zinc/51/54/07/355515407.db2.gz HUQFQMAKYWXSNK-OAHLLOKOSA-N 1 2 318.333 1.192 20 30 DDEDLO Cc1nc(NC(=O)[C@@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)sc1C ZINC000592149398 355516348 /nfs/dbraw/zinc/51/63/48/355516348.db2.gz OLVXNZDQJWDTKF-QMTHXVAHSA-N 1 2 308.407 1.437 20 30 DDEDLO Cc1nc(NC(=O)[C@@H](C)[N@H+]2CC[C@](O)(CC#N)C2)sc1C ZINC000592149398 355516352 /nfs/dbraw/zinc/51/63/52/355516352.db2.gz OLVXNZDQJWDTKF-QMTHXVAHSA-N 1 2 308.407 1.437 20 30 DDEDLO CCOc1ccc(NC(=O)[C@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592149277 355516812 /nfs/dbraw/zinc/51/68/12/355516812.db2.gz LYKFSYAWMAOIAP-SUMWQHHRSA-N 1 2 317.389 1.763 20 30 DDEDLO CCOc1ccc(NC(=O)[C@H](C)[N@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592149277 355516814 /nfs/dbraw/zinc/51/68/14/355516814.db2.gz LYKFSYAWMAOIAP-SUMWQHHRSA-N 1 2 317.389 1.763 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000592150196 355517894 /nfs/dbraw/zinc/51/78/94/355517894.db2.gz ASKXRGVGRCLDFR-WBVHZDCISA-N 1 2 317.364 1.672 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000592150196 355517899 /nfs/dbraw/zinc/51/78/99/355517899.db2.gz ASKXRGVGRCLDFR-WBVHZDCISA-N 1 2 317.364 1.672 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCC(=O)N1CC[C@](O)(CC#N)C1 ZINC000592849987 355715395 /nfs/dbraw/zinc/71/53/95/355715395.db2.gz OGSAZEFIIJYBAG-QGZVFWFLSA-N 1 2 312.373 1.612 20 30 DDEDLO N#CCCCCC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000593975645 356077773 /nfs/dbraw/zinc/07/77/73/356077773.db2.gz QEASIETVFZCYAQ-UHFFFAOYSA-N 1 2 305.382 1.238 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000594261366 356156226 /nfs/dbraw/zinc/15/62/26/356156226.db2.gz ZYTSUVZVOXGMPA-CABCVRRESA-N 1 2 315.417 1.574 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000594261366 356156229 /nfs/dbraw/zinc/15/62/29/356156229.db2.gz ZYTSUVZVOXGMPA-CABCVRRESA-N 1 2 315.417 1.574 20 30 DDEDLO COc1c(C)[nH+]cnc1N1CCN(c2cccc(C#N)n2)CC1 ZINC000594571243 356252717 /nfs/dbraw/zinc/25/27/17/356252717.db2.gz KOJLVDIPOZPMAM-UHFFFAOYSA-N 1 2 310.361 1.387 20 30 DDEDLO CCN([C@H](C)C#N)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000595355429 356461750 /nfs/dbraw/zinc/46/17/50/356461750.db2.gz BBTNTZOIGTYAKK-CYBMUJFWSA-N 1 2 318.402 1.854 20 30 DDEDLO CC[C@H](C)[C@@H](NC(=O)C[N@@H+]1CCC[C@H](CC#N)C1)C(=O)OC ZINC000595610485 356576470 /nfs/dbraw/zinc/57/64/70/356576470.db2.gz ZRLJHSKGWWEOSW-GZBFAFLISA-N 1 2 309.410 1.316 20 30 DDEDLO CC[C@H](C)[C@@H](NC(=O)C[N@H+]1CCC[C@H](CC#N)C1)C(=O)OC ZINC000595610485 356576475 /nfs/dbraw/zinc/57/64/75/356576475.db2.gz ZRLJHSKGWWEOSW-GZBFAFLISA-N 1 2 309.410 1.316 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)[N@@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000595765262 356643173 /nfs/dbraw/zinc/64/31/73/356643173.db2.gz ZCNNOYDTKHYZQT-OLZOCXBDSA-N 1 2 307.419 1.585 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)[N@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000595765262 356643176 /nfs/dbraw/zinc/64/31/76/356643176.db2.gz ZCNNOYDTKHYZQT-OLZOCXBDSA-N 1 2 307.419 1.585 20 30 DDEDLO C[C@@]1(C#N)CC[N@H+](C[C@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000595836465 356671371 /nfs/dbraw/zinc/67/13/71/356671371.db2.gz YFFMJEHOPUSVRY-ZFWWWQNUSA-N 1 2 305.334 1.570 20 30 DDEDLO C[C@@]1(C#N)CC[N@@H+](C[C@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000595836465 356671374 /nfs/dbraw/zinc/67/13/74/356671374.db2.gz YFFMJEHOPUSVRY-ZFWWWQNUSA-N 1 2 305.334 1.570 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@]1(C)CO ZINC000595877398 356688318 /nfs/dbraw/zinc/68/83/18/356688318.db2.gz TWDLVFRHJIIUDZ-CZUORRHYSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@]1(C)CO ZINC000595877398 356688319 /nfs/dbraw/zinc/68/83/19/356688319.db2.gz TWDLVFRHJIIUDZ-CZUORRHYSA-N 1 2 322.430 1.282 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@H](O)COc2ccc(C#N)cc2)s1 ZINC000595885976 356691398 /nfs/dbraw/zinc/69/13/98/356691398.db2.gz RZWZNJLPDLBVKJ-ZDUSSCGKSA-N 1 2 318.402 1.590 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@H](O)COc2ccc(C#N)cc2)s1 ZINC000595885976 356691400 /nfs/dbraw/zinc/69/14/00/356691400.db2.gz RZWZNJLPDLBVKJ-ZDUSSCGKSA-N 1 2 318.402 1.590 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)NCCCCC#N)C(C)(C)CO ZINC000596264290 356837030 /nfs/dbraw/zinc/83/70/30/356837030.db2.gz AZLSQQQHIPPVTD-GFCCVEGCSA-N 1 2 307.398 1.473 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000596591145 356927172 /nfs/dbraw/zinc/92/71/72/356927172.db2.gz HAPPCNJJQOTAJF-GXTWGEPZSA-N 1 2 316.405 1.865 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000596591145 356927177 /nfs/dbraw/zinc/92/71/77/356927177.db2.gz HAPPCNJJQOTAJF-GXTWGEPZSA-N 1 2 316.405 1.865 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cn[nH]c(=O)c3)CC2)cc1 ZINC000596658961 356944445 /nfs/dbraw/zinc/94/44/45/356944445.db2.gz QEGKIZXEKIJBFK-UHFFFAOYSA-N 1 2 323.356 1.012 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)N(CC[NH+]2CCOCC2)CC2CC2)C1 ZINC000596638056 356938319 /nfs/dbraw/zinc/93/83/19/356938319.db2.gz ZVHBOCYUZJHUQC-JKSUJKDBSA-N 1 2 320.437 1.432 20 30 DDEDLO N#Cc1sccc1N1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC000597938181 357390351 /nfs/dbraw/zinc/39/03/51/357390351.db2.gz DSMMWBUAUKNXAU-UHFFFAOYSA-N 1 2 315.402 1.624 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCC[N@H+]1C[C@H](C)OC[C@@H]1C ZINC000597995281 357410310 /nfs/dbraw/zinc/41/03/10/357410310.db2.gz LXILJQKUTUQMSV-STQMWFEESA-N 1 2 302.378 1.101 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCC[N@@H+]1C[C@H](C)OC[C@@H]1C ZINC000597995281 357410312 /nfs/dbraw/zinc/41/03/12/357410312.db2.gz LXILJQKUTUQMSV-STQMWFEESA-N 1 2 302.378 1.101 20 30 DDEDLO Cc1csc(NC(=O)C[NH+]2CCC(c3n[nH]c(=O)[nH]3)CC2)n1 ZINC000329677948 223012069 /nfs/dbraw/zinc/01/20/69/223012069.db2.gz WUYPTUJUVHJDPP-UHFFFAOYSA-N 1 2 322.394 1.743 20 30 DDEDLO Cc1cc2c(cc1NC(=O)N1C[C@H]3OCC[N@@H+](C)[C@H]3C1)OCO2 ZINC000329711138 223017956 /nfs/dbraw/zinc/01/79/56/223017956.db2.gz OEXMUCQEZDYQKF-SWLSCSKDSA-N 1 2 319.361 1.284 20 30 DDEDLO Cc1cc2c(cc1NC(=O)N1C[C@H]3OCC[N@H+](C)[C@H]3C1)OCO2 ZINC000329711138 223017958 /nfs/dbraw/zinc/01/79/58/223017958.db2.gz OEXMUCQEZDYQKF-SWLSCSKDSA-N 1 2 319.361 1.284 20 30 DDEDLO COc1cccc([C@H](OC)C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000598837509 357739913 /nfs/dbraw/zinc/73/99/13/357739913.db2.gz WCCLGXZPCBCZLP-GOEBONIOSA-N 1 2 305.378 1.343 20 30 DDEDLO CCC[N@@H+](CC(=O)OC)C[C@H](O)COc1ccccc1C#N ZINC000599263612 357866771 /nfs/dbraw/zinc/86/67/71/357866771.db2.gz HCVRMACDDNUWRK-AWEZNQCLSA-N 1 2 306.362 1.183 20 30 DDEDLO CCC[N@H+](CC(=O)OC)C[C@H](O)COc1ccccc1C#N ZINC000599263612 357866775 /nfs/dbraw/zinc/86/67/75/357866775.db2.gz HCVRMACDDNUWRK-AWEZNQCLSA-N 1 2 306.362 1.183 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCC[C@@H](c3ccn[nH]3)C2)CCOCC1 ZINC000599264747 357866995 /nfs/dbraw/zinc/86/69/95/357866995.db2.gz FVNUFTAHHVHLNT-CABCVRRESA-N 1 2 318.421 1.660 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCC[C@@H](c3ccn[nH]3)C2)CCOCC1 ZINC000599264747 357866998 /nfs/dbraw/zinc/86/69/98/357866998.db2.gz FVNUFTAHHVHLNT-CABCVRRESA-N 1 2 318.421 1.660 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C[C@H](O)COc1ccccc1C#N)C(C)(C)C ZINC000599340082 357900898 /nfs/dbraw/zinc/90/08/98/357900898.db2.gz AXRHYALSCAHNHP-DZGCQCFKSA-N 1 2 320.389 1.475 20 30 DDEDLO CCCN(CC(F)(F)F)C(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000599455373 357944228 /nfs/dbraw/zinc/94/42/28/357944228.db2.gz NSEUDLGELCYYPS-GFCCVEGCSA-N 1 2 307.316 1.138 20 30 DDEDLO CCCN(CC(F)(F)F)C(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000599455373 357944231 /nfs/dbraw/zinc/94/42/31/357944231.db2.gz NSEUDLGELCYYPS-GFCCVEGCSA-N 1 2 307.316 1.138 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@]2(C)C(=O)OC(C)(C)C)C1=O ZINC000599499869 357956652 /nfs/dbraw/zinc/95/66/52/357956652.db2.gz MIAQLTZPCAATEO-SUMWQHHRSA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@]2(C)C(=O)OC(C)(C)C)C1=O ZINC000599499869 357956655 /nfs/dbraw/zinc/95/66/55/357956655.db2.gz MIAQLTZPCAATEO-SUMWQHHRSA-N 1 2 308.422 1.970 20 30 DDEDLO C[C@]1(CO)C[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C[C@@]1(C)CO ZINC000599622399 357995036 /nfs/dbraw/zinc/99/50/36/357995036.db2.gz BVEQIGPQDPNCOZ-IYBDPMFKSA-N 1 2 319.361 1.279 20 30 DDEDLO C[C@]1(CO)C[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C[C@@]1(C)CO ZINC000599622399 357995039 /nfs/dbraw/zinc/99/50/39/357995039.db2.gz BVEQIGPQDPNCOZ-IYBDPMFKSA-N 1 2 319.361 1.279 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCN(Cc2[nH+]ccn2CC)CC1 ZINC000600507730 358243399 /nfs/dbraw/zinc/24/33/99/358243399.db2.gz VZOFPCOFHACACW-OAHLLOKOSA-N 1 2 320.437 1.528 20 30 DDEDLO O=C(NC[C@@H]1CCCCO1)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000329793540 223030528 /nfs/dbraw/zinc/03/05/28/223030528.db2.gz FTHQKBGOITZKJR-CABCVRRESA-N 1 2 311.426 1.266 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](CC(=O)Nc1n[nH]cc1C#N)C(F)(F)F ZINC000601639672 358633997 /nfs/dbraw/zinc/63/39/97/358633997.db2.gz RAWOIMWVCRWZLO-MRVPVSSYSA-N 1 2 312.255 1.690 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccccc2CC#N)[C@H](C)CO1 ZINC000601667074 358645621 /nfs/dbraw/zinc/64/56/21/358645621.db2.gz QAAIHHNWMDADLT-ZIAGYGMSSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccccc2CC#N)[C@H](C)CO1 ZINC000601667074 358645622 /nfs/dbraw/zinc/64/56/22/358645622.db2.gz QAAIHHNWMDADLT-ZIAGYGMSSA-N 1 2 301.390 1.592 20 30 DDEDLO C=C(C)C[C@H](NC(=O)c1ccn2c(C)c[nH+]c2c1)C(=O)OC ZINC000601785618 358695080 /nfs/dbraw/zinc/69/50/80/358695080.db2.gz SXJKZKBNWRQOGS-ZDUSSCGKSA-N 1 2 301.346 1.880 20 30 DDEDLO N#C[C@@H]1CN(C(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)CCO1 ZINC000602099775 358812846 /nfs/dbraw/zinc/81/28/46/358812846.db2.gz ZOOLUMJRKUTLBX-NXEZZACHSA-N 1 2 302.256 1.128 20 30 DDEDLO Cc1ccc(CO[C@@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000602331145 358933855 /nfs/dbraw/zinc/93/38/55/358933855.db2.gz AHMISZQJILSBFH-WMLDXEAASA-N 1 2 303.406 1.860 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)NC1(c2cccc(C#N)c2)CC1 ZINC000602370370 358950053 /nfs/dbraw/zinc/95/00/53/358950053.db2.gz COOONWSJOKYSSG-OAHLLOKOSA-N 1 2 314.389 1.177 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)NC1(c2cccc(C#N)c2)CC1 ZINC000602370370 358950058 /nfs/dbraw/zinc/95/00/58/358950058.db2.gz COOONWSJOKYSSG-OAHLLOKOSA-N 1 2 314.389 1.177 20 30 DDEDLO C[C@@H]1C[NH+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@@H](C)N1CC#N ZINC000602850034 359237874 /nfs/dbraw/zinc/23/78/74/359237874.db2.gz OBGMAFRBTVYLKM-CHWSQXEVSA-N 1 2 313.361 1.885 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@@H](OC)C[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000602936750 359306990 /nfs/dbraw/zinc/30/69/90/359306990.db2.gz FQDDZFCOTLMMRT-NVXWUHKLSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@@H](OC)C[N@H+]1CCOc1ccc(C#N)cc1 ZINC000602936750 359306991 /nfs/dbraw/zinc/30/69/91/359306991.db2.gz FQDDZFCOTLMMRT-NVXWUHKLSA-N 1 2 318.373 1.589 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NCc1ccco1)[C@H]1CCC[C@H]1C#N ZINC000602974716 359334275 /nfs/dbraw/zinc/33/42/75/359334275.db2.gz SHANFVANYMRPAA-AAEUAGOBSA-N 1 2 304.350 1.229 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NCc1ccco1)[C@H]1CCC[C@H]1C#N ZINC000602974716 359334279 /nfs/dbraw/zinc/33/42/79/359334279.db2.gz SHANFVANYMRPAA-AAEUAGOBSA-N 1 2 304.350 1.229 20 30 DDEDLO C[N@H+](CC(=O)NCCOc1ccccc1)[C@@H]1CCC[C@@H]1C#N ZINC000602974605 359334564 /nfs/dbraw/zinc/33/45/64/359334564.db2.gz QPGMPPSNEZRSNZ-GDBMZVCRSA-N 1 2 301.390 1.806 20 30 DDEDLO C[N@@H+](CC(=O)NCCOc1ccccc1)[C@@H]1CCC[C@@H]1C#N ZINC000602974605 359334565 /nfs/dbraw/zinc/33/45/65/359334565.db2.gz QPGMPPSNEZRSNZ-GDBMZVCRSA-N 1 2 301.390 1.806 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NCc1cccs1)[C@H]1CCC[C@H]1C#N ZINC000602976164 359335116 /nfs/dbraw/zinc/33/51/16/359335116.db2.gz MCSMHBRBHMNYLD-AAEUAGOBSA-N 1 2 320.418 1.698 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NCc1cccs1)[C@H]1CCC[C@H]1C#N ZINC000602976164 359335121 /nfs/dbraw/zinc/33/51/21/359335121.db2.gz MCSMHBRBHMNYLD-AAEUAGOBSA-N 1 2 320.418 1.698 20 30 DDEDLO C[C@@H](C(=O)Nc1cnn(-c2ncccc2C#N)c1)[NH+]1CC(C)C1 ZINC000565666485 304083361 /nfs/dbraw/zinc/08/33/61/304083361.db2.gz KQIVOWKKEKRUNU-LBPRGKRZSA-N 1 2 310.361 1.418 20 30 DDEDLO [O-]C(=[NH+]C[C@@H]1CCc2[nH+]ccn2C1)N1CC[C@]2(CCOC2)C1 ZINC000329902007 223043686 /nfs/dbraw/zinc/04/36/86/223043686.db2.gz PBJMBUHNTUSVER-BBRMVZONSA-N 1 2 304.394 1.472 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609538237 360321849 /nfs/dbraw/zinc/32/18/49/360321849.db2.gz OXTLPGBSGHGPBK-IRXDYDNUSA-N 1 2 318.465 1.741 20 30 DDEDLO C[N@H+](Cc1ccnc(C#N)c1)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000610994992 360594757 /nfs/dbraw/zinc/59/47/57/360594757.db2.gz QSVAIOQNOCQIGH-LSDHHAIUSA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1ccnc(C#N)c1)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000610994992 360594761 /nfs/dbraw/zinc/59/47/61/360594761.db2.gz QSVAIOQNOCQIGH-LSDHHAIUSA-N 1 2 307.419 1.741 20 30 DDEDLO C[C@@H](C(=O)N1C[C@H](C)C[C@@H](C)C1)[NH+]1CCN(CCC#N)CC1 ZINC000611174415 360648061 /nfs/dbraw/zinc/64/80/61/360648061.db2.gz IMKGVAQXLSNYAH-OAGGEKHMSA-N 1 2 306.454 1.411 20 30 DDEDLO CCNC(=O)C[N@H+](C)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000611515333 360743287 /nfs/dbraw/zinc/74/32/87/360743287.db2.gz JNFHJBUCEYHXTP-OAHLLOKOSA-N 1 2 314.389 1.122 20 30 DDEDLO CCNC(=O)C[N@@H+](C)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000611515333 360743289 /nfs/dbraw/zinc/74/32/89/360743289.db2.gz JNFHJBUCEYHXTP-OAHLLOKOSA-N 1 2 314.389 1.122 20 30 DDEDLO Cc1onc(CC(=O)NCCc2cn3cccc(C)c3[nH+]2)c1C#N ZINC000611528602 360748920 /nfs/dbraw/zinc/74/89/20/360748920.db2.gz LOMPGOMUNKHODO-UHFFFAOYSA-N 1 2 323.356 1.712 20 30 DDEDLO Cc1ccnc(N2CC[NH+]([C@H](C)C(=O)NC3CC3)CC2)c1C#N ZINC000612070958 360909696 /nfs/dbraw/zinc/90/96/96/360909696.db2.gz HIJNNNDKCQRVFD-CYBMUJFWSA-N 1 2 313.405 1.051 20 30 DDEDLO CCn1cc(-c2[nH]ncc2C(=O)NC2CC[NH+](C)CC2)cn1 ZINC000330250840 223095047 /nfs/dbraw/zinc/09/50/47/223095047.db2.gz HDWZKCQQFNKBOC-UHFFFAOYSA-N 1 2 302.382 1.692 20 30 DDEDLO Cc1ccc(C(N)=O)cc1NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C ZINC000330840994 223148316 /nfs/dbraw/zinc/14/83/16/223148316.db2.gz NEVGWZYOYIXDEA-ZDUSSCGKSA-N 1 2 319.409 1.450 20 30 DDEDLO Cc1ccc(C(N)=O)cc1NC(=O)NC[C@H]1CN(C)CC[N@H+]1C ZINC000330840994 223148318 /nfs/dbraw/zinc/14/83/18/223148318.db2.gz NEVGWZYOYIXDEA-ZDUSSCGKSA-N 1 2 319.409 1.450 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1c(F)cc(C#N)cc1F ZINC000331335781 223203579 /nfs/dbraw/zinc/20/35/79/223203579.db2.gz YFNOSBYRDLMRIW-SNVBAGLBSA-N 1 2 309.316 1.862 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1c(F)cc(C#N)cc1F ZINC000331335781 223203583 /nfs/dbraw/zinc/20/35/83/223203583.db2.gz YFNOSBYRDLMRIW-SNVBAGLBSA-N 1 2 309.316 1.862 20 30 DDEDLO N#CC[C@@H]1CC[C@H](NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000333065220 223217295 /nfs/dbraw/zinc/21/72/95/223217295.db2.gz JBGULURQJCXOSH-DZKIICNBSA-N 1 2 305.422 1.828 20 30 DDEDLO N#CC[C@@H]1CC[C@H](NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000333065220 223217296 /nfs/dbraw/zinc/21/72/96/223217296.db2.gz JBGULURQJCXOSH-DZKIICNBSA-N 1 2 305.422 1.828 20 30 DDEDLO Cn1nccc1[C@@H]1CCCC[N@@H+]1CC(=O)NC1(C#N)CCC1 ZINC000619622781 364092135 /nfs/dbraw/zinc/09/21/35/364092135.db2.gz QENOZRYQVRBWMM-AWEZNQCLSA-N 1 2 301.394 1.510 20 30 DDEDLO Cn1nccc1[C@@H]1CCCC[N@H+]1CC(=O)NC1(C#N)CCC1 ZINC000619622781 364092141 /nfs/dbraw/zinc/09/21/41/364092141.db2.gz QENOZRYQVRBWMM-AWEZNQCLSA-N 1 2 301.394 1.510 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(C(N)=O)(c2ccccc2)CC1 ZINC000619718049 364129135 /nfs/dbraw/zinc/12/91/35/364129135.db2.gz QYSKSZWDRKLDNU-CQSZACIVSA-N 1 2 302.374 1.233 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CC[C@@H](C(=O)N(C)c2ccccc2)C1 ZINC000619722389 364132121 /nfs/dbraw/zinc/13/21/21/364132121.db2.gz RGRMVKANZXGLRF-HIFRSBDPSA-N 1 2 302.374 1.699 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CC[C@@H](C(=O)N(C)c2ccccc2)C1 ZINC000619722389 364132127 /nfs/dbraw/zinc/13/21/27/364132127.db2.gz RGRMVKANZXGLRF-HIFRSBDPSA-N 1 2 302.374 1.699 20 30 DDEDLO CN(C)c1c[nH+]ccc1NC(=O)N(CCC#N)Cc1ccccn1 ZINC000619807845 364158012 /nfs/dbraw/zinc/15/80/12/364158012.db2.gz GPDMUCVXURTJNK-UHFFFAOYSA-N 1 2 324.388 1.912 20 30 DDEDLO N#CC1(C(=O)NCc2nc(C[NH+]3CCCCC3)no2)CCCC1 ZINC000619873026 364170484 /nfs/dbraw/zinc/17/04/84/364170484.db2.gz VZAUCCJIUOVWOX-UHFFFAOYSA-N 1 2 317.393 1.756 20 30 DDEDLO N#CC1(F)CCN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)CC1 ZINC000343237873 223318953 /nfs/dbraw/zinc/31/89/53/223318953.db2.gz XJYDWOQQSSDKMQ-GFCCVEGCSA-N 1 2 305.357 1.270 20 30 DDEDLO N#CC1(F)CCN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)CC1 ZINC000343237873 223318954 /nfs/dbraw/zinc/31/89/54/223318954.db2.gz XJYDWOQQSSDKMQ-GFCCVEGCSA-N 1 2 305.357 1.270 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[NH+]1CCN(c2ccccn2)CC1 ZINC000345762644 223350127 /nfs/dbraw/zinc/35/01/27/223350127.db2.gz ASSBREJUPZEGRO-CQSZACIVSA-N 1 2 313.405 1.155 20 30 DDEDLO C[C@H](c1ccccc1)[N@H+](CC(N)=O)CC(=O)NC1(C#N)CCC1 ZINC000346997801 223363564 /nfs/dbraw/zinc/36/35/64/223363564.db2.gz OKFSCTKDSVBYGS-CYBMUJFWSA-N 1 2 314.389 1.097 20 30 DDEDLO C[C@H](c1ccccc1)[N@@H+](CC(N)=O)CC(=O)NC1(C#N)CCC1 ZINC000346997801 223363566 /nfs/dbraw/zinc/36/35/66/223363566.db2.gz OKFSCTKDSVBYGS-CYBMUJFWSA-N 1 2 314.389 1.097 20 30 DDEDLO COC(=O)C[C@](C)([NH2+]Cc1ccc(C#N)cn1)c1ccccn1 ZINC000566416455 304152924 /nfs/dbraw/zinc/15/29/24/304152924.db2.gz PTASYNUFSTZHDG-KRWDZBQOSA-N 1 2 310.357 1.916 20 30 DDEDLO C#CCCNC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000264959403 204305096 /nfs/dbraw/zinc/30/50/96/204305096.db2.gz NUAKCUOBWGKZLV-INIZCTEOSA-N 1 2 301.390 1.382 20 30 DDEDLO C[C@H]1C[NH+]=C(N2CCN(C(=O)c3ccc(C#N)cc3)CC2)S1 ZINC000266038802 205048652 /nfs/dbraw/zinc/04/86/52/205048652.db2.gz AEDGROOMMOOHPV-LBPRGKRZSA-N 1 2 314.414 1.807 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(c2cccc(Cl)c2C#N)CC1 ZINC000266388628 205310445 /nfs/dbraw/zinc/31/04/45/205310445.db2.gz JPWFLVKJVVEWNL-UHFFFAOYSA-N 1 2 320.824 1.858 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@]3(CCS(=O)(=O)C3)C2)c1 ZINC000333514969 249007484 /nfs/dbraw/zinc/00/74/84/249007484.db2.gz FXFVXGNMVNDUDT-INIZCTEOSA-N 1 2 304.415 1.959 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@]3(CCS(=O)(=O)C3)C2)c1 ZINC000333514969 249007486 /nfs/dbraw/zinc/00/74/86/249007486.db2.gz FXFVXGNMVNDUDT-INIZCTEOSA-N 1 2 304.415 1.959 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)c(C)n1 ZINC000337183805 249379580 /nfs/dbraw/zinc/37/95/80/249379580.db2.gz BYZVAQUHGMKNQH-UHFFFAOYSA-N 1 2 324.388 1.383 20 30 DDEDLO CS(=O)(=O)[C@H]1CCC[N@H+](C[C@H](O)c2ccc(C#N)cc2)C1 ZINC000274166432 211393746 /nfs/dbraw/zinc/39/37/46/211393746.db2.gz NAEBNBHEAACNIN-GJZGRUSLSA-N 1 2 308.403 1.101 20 30 DDEDLO CS(=O)(=O)[C@H]1CCC[N@@H+](C[C@H](O)c2ccc(C#N)cc2)C1 ZINC000274166432 211393751 /nfs/dbraw/zinc/39/37/51/211393751.db2.gz NAEBNBHEAACNIN-GJZGRUSLSA-N 1 2 308.403 1.101 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)N[C@@H]2CCCc3cn[nH]c32)CC1 ZINC000268859987 207029850 /nfs/dbraw/zinc/02/98/50/207029850.db2.gz HNEGGWGHEDVOSV-CQSZACIVSA-N 1 2 303.410 1.737 20 30 DDEDLO CC(=O)Nc1cccc(NC(=O)C[NH+]2CCC(C#N)CC2)c1 ZINC000057907256 184061986 /nfs/dbraw/zinc/06/19/86/184061986.db2.gz ZEKBZYNYOYTTBC-UHFFFAOYSA-N 1 2 300.362 1.819 20 30 DDEDLO CC(C)C(=O)NCC[N@H+](C)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000157703959 197208457 /nfs/dbraw/zinc/20/84/57/197208457.db2.gz DVUXRPLBKGVJIK-CYBMUJFWSA-N 1 2 316.405 1.589 20 30 DDEDLO CC(C)C(=O)NCC[N@@H+](C)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000157703959 197208459 /nfs/dbraw/zinc/20/84/59/197208459.db2.gz DVUXRPLBKGVJIK-CYBMUJFWSA-N 1 2 316.405 1.589 20 30 DDEDLO N#Cc1cnc(NC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)cn1 ZINC000120543261 195187675 /nfs/dbraw/zinc/18/76/75/195187675.db2.gz DNCWMFGXQRORGY-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1cnc(NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)cn1 ZINC000120543261 195187676 /nfs/dbraw/zinc/18/76/76/195187676.db2.gz DNCWMFGXQRORGY-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO COC(=O)C1CC[NH+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000330440553 533297920 /nfs/dbraw/zinc/29/79/20/533297920.db2.gz UKFDRGSSGDWTPR-MLGOLLRUSA-N 1 2 309.410 1.314 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@H+]2CCCO[C@@H](CF)C2)C1=O ZINC000570024088 304390027 /nfs/dbraw/zinc/39/00/27/304390027.db2.gz BTIOGEDLABHUQU-STQMWFEESA-N 1 2 323.393 1.785 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@@H+]2CCCO[C@@H](CF)C2)C1=O ZINC000570024088 304390028 /nfs/dbraw/zinc/39/00/28/304390028.db2.gz BTIOGEDLABHUQU-STQMWFEESA-N 1 2 323.393 1.785 20 30 DDEDLO Cn1c2ccc(NC(=O)Cn3cnc(C#N)n3)cc2[nH+]c1C1CC1 ZINC000179136452 306690638 /nfs/dbraw/zinc/69/06/38/306690638.db2.gz HOGQUFYKBYQDLA-UHFFFAOYSA-N 1 2 321.344 1.553 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+](C)Cc2cnn(C)c2)c(C#N)c1C ZINC000027215143 406909138 /nfs/dbraw/zinc/90/91/38/406909138.db2.gz MONXAMDEGPWFBE-LLVKDONJSA-N 1 2 315.377 1.961 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+](C)Cc2cnn(C)c2)c(C#N)c1C ZINC000027215143 406909139 /nfs/dbraw/zinc/90/91/39/406909139.db2.gz MONXAMDEGPWFBE-LLVKDONJSA-N 1 2 315.377 1.961 20 30 DDEDLO C#CC[NH+]1CCN(Cn2ncc3cc(C(=O)OC)ccc32)CC1 ZINC000072027045 406871831 /nfs/dbraw/zinc/87/18/31/406871831.db2.gz DCBVIUWLRYEWDE-UHFFFAOYSA-N 1 2 312.373 1.031 20 30 DDEDLO CCOC(=O)c1c(C)[nH]c(-c2csc(NC(N)=[NH2+])n2)c1C ZINC000079046577 407050837 /nfs/dbraw/zinc/05/08/37/407050837.db2.gz QKUNPJCVYGUDTR-UHFFFAOYSA-N 1 2 307.379 1.837 20 30 DDEDLO CC(C)(C)[C@H](C#N)NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000085439585 407101784 /nfs/dbraw/zinc/10/17/84/407101784.db2.gz MIXXTAQZUSXXDZ-HOTGVXAUSA-N 1 2 315.417 1.942 20 30 DDEDLO CC(C)(C)[C@H](C#N)NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000085439585 407101788 /nfs/dbraw/zinc/10/17/88/407101788.db2.gz MIXXTAQZUSXXDZ-HOTGVXAUSA-N 1 2 315.417 1.942 20 30 DDEDLO CCCNc1ccc(C#N)cc1NC(=O)[C@H]1C[N@H+](C)CCO1 ZINC000085541724 407103677 /nfs/dbraw/zinc/10/36/77/407103677.db2.gz SZGQDQISVFESCM-OAHLLOKOSA-N 1 2 302.378 1.649 20 30 DDEDLO CCCNc1ccc(C#N)cc1NC(=O)[C@H]1C[N@@H+](C)CCO1 ZINC000085541724 407103681 /nfs/dbraw/zinc/10/36/81/407103681.db2.gz SZGQDQISVFESCM-OAHLLOKOSA-N 1 2 302.378 1.649 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CCC[C@@H](CO)C2)c(C#N)c1C ZINC000049774015 407125258 /nfs/dbraw/zinc/12/52/58/407125258.db2.gz PZQNZUDNEZWWAQ-GFCCVEGCSA-N 1 2 307.419 1.879 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CCC[C@@H](CO)C2)c(C#N)c1C ZINC000049774015 407125262 /nfs/dbraw/zinc/12/52/62/407125262.db2.gz PZQNZUDNEZWWAQ-GFCCVEGCSA-N 1 2 307.419 1.879 20 30 DDEDLO COc1cc[nH+]c(CN2CCN(c3ncccc3C#N)CC2)c1 ZINC000106859629 407363132 /nfs/dbraw/zinc/36/31/32/407363132.db2.gz JXBUKMRPKVVUCI-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C#CC[N@H+](Cc1ccc2ncccc2c1)[C@H]1CCS(=O)(=O)C1 ZINC000124841924 407370985 /nfs/dbraw/zinc/37/09/85/407370985.db2.gz GTQVZKCAHJSYEE-INIZCTEOSA-N 1 2 314.410 1.857 20 30 DDEDLO C#CC[N@@H+](Cc1ccc2ncccc2c1)[C@H]1CCS(=O)(=O)C1 ZINC000124841924 407370986 /nfs/dbraw/zinc/37/09/86/407370986.db2.gz GTQVZKCAHJSYEE-INIZCTEOSA-N 1 2 314.410 1.857 20 30 DDEDLO C=CC[N@@H+](Cc1cccc(NC(C)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000108870724 407391906 /nfs/dbraw/zinc/39/19/06/407391906.db2.gz LWIBOCFBVUEQJP-INIZCTEOSA-N 1 2 322.430 1.820 20 30 DDEDLO C=CC[N@H+](Cc1cccc(NC(C)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000108870724 407391907 /nfs/dbraw/zinc/39/19/07/407391907.db2.gz LWIBOCFBVUEQJP-INIZCTEOSA-N 1 2 322.430 1.820 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2csc([C@@H]3CCCO3)n2)CC1 ZINC000126087755 407404788 /nfs/dbraw/zinc/40/47/88/407404788.db2.gz DBKLVVUQZHDQEA-AWEZNQCLSA-N 1 2 319.430 1.776 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)Cc1ccc([S@@](C)=O)cc1 ZINC000178421571 407449999 /nfs/dbraw/zinc/44/99/99/407449999.db2.gz KAGFSLFQXOXNPO-JOCHJYFZSA-N 1 2 321.446 1.618 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)Cc1ccc([S@@](C)=O)cc1 ZINC000178421571 407450003 /nfs/dbraw/zinc/45/00/03/407450003.db2.gz KAGFSLFQXOXNPO-JOCHJYFZSA-N 1 2 321.446 1.618 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)cc1 ZINC000114856592 407624264 /nfs/dbraw/zinc/62/42/64/407624264.db2.gz DPUWRGVHDKOHAI-KRWDZBQOSA-N 1 2 316.401 1.844 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)cc1 ZINC000114856592 407624272 /nfs/dbraw/zinc/62/42/72/407624272.db2.gz DPUWRGVHDKOHAI-KRWDZBQOSA-N 1 2 316.401 1.844 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1Cl ZINC000227301501 407629978 /nfs/dbraw/zinc/62/99/78/407629978.db2.gz QUZKWIRHRYXDHQ-JTQLQIEISA-N 1 2 313.810 1.536 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1Cl ZINC000227301501 407629981 /nfs/dbraw/zinc/62/99/81/407629981.db2.gz QUZKWIRHRYXDHQ-JTQLQIEISA-N 1 2 313.810 1.536 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000186314033 407635804 /nfs/dbraw/zinc/63/58/04/407635804.db2.gz CZCVRGKFQOCWRG-MRXNPFEDSA-N 1 2 322.430 1.502 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000186314033 407635812 /nfs/dbraw/zinc/63/58/12/407635812.db2.gz CZCVRGKFQOCWRG-MRXNPFEDSA-N 1 2 322.430 1.502 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)C[N@H+]1CCOc1ccc(C#N)cc1 ZINC000116285481 407739669 /nfs/dbraw/zinc/73/96/69/407739669.db2.gz ANWWXAKXZNORLD-CABCVRRESA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)C[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000116285481 407739673 /nfs/dbraw/zinc/73/96/73/407739673.db2.gz ANWWXAKXZNORLD-CABCVRRESA-N 1 2 304.346 1.199 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)c2ccccc2S(C)(=O)=O)CC1 ZINC000171720941 407750528 /nfs/dbraw/zinc/75/05/28/407750528.db2.gz CVAXPBGVWKEXFG-UHFFFAOYSA-N 1 2 322.430 1.470 20 30 DDEDLO N#CCCn1ccc(NC(=O)NCCc2cn3ccccc3[nH+]2)n1 ZINC000173334676 407874572 /nfs/dbraw/zinc/87/45/72/407874572.db2.gz LARDDNRJZZEYBJ-UHFFFAOYSA-N 1 2 323.360 1.809 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH2+]Cc1nnc2n1CCCC2 ZINC000173635857 407890537 /nfs/dbraw/zinc/89/05/37/407890537.db2.gz HUKXXQICNLPVPT-ZDUSSCGKSA-N 1 2 303.410 1.293 20 30 DDEDLO N#CC1(C(=O)NC[C@]2([NH+]3CCOCC3)CCSC2)CCC1 ZINC000134667946 407922420 /nfs/dbraw/zinc/92/24/20/407922420.db2.gz YUHPFXFNPRBBHE-OAHLLOKOSA-N 1 2 309.435 1.004 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CC)C[C@@H](O)C(F)(F)F ZINC000189490380 408031188 /nfs/dbraw/zinc/03/11/88/408031188.db2.gz FBLFAGXXHKYYPK-WDEREUQCSA-N 1 2 309.332 1.240 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CC)C[C@@H](O)C(F)(F)F ZINC000189490380 408031191 /nfs/dbraw/zinc/03/11/91/408031191.db2.gz FBLFAGXXHKYYPK-WDEREUQCSA-N 1 2 309.332 1.240 20 30 DDEDLO Cc1nsc(N2CCC[N@H+](C[C@H](C#N)CCC#N)CC2)n1 ZINC000174801881 407992014 /nfs/dbraw/zinc/99/20/14/407992014.db2.gz BSCYJDRTPSTGMZ-ZDUSSCGKSA-N 1 2 304.423 1.802 20 30 DDEDLO Cc1nsc(N2CCC[N@@H+](C[C@H](C#N)CCC#N)CC2)n1 ZINC000174801881 407992020 /nfs/dbraw/zinc/99/20/20/407992020.db2.gz BSCYJDRTPSTGMZ-ZDUSSCGKSA-N 1 2 304.423 1.802 20 30 DDEDLO CCOc1cccc(CN(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000154768880 408082267 /nfs/dbraw/zinc/08/22/67/408082267.db2.gz SSHPSQLZDQMMBW-UHFFFAOYSA-N 1 2 318.421 1.528 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](CC)CC(=O)Nc1ccccc1OC ZINC000155748830 408203301 /nfs/dbraw/zinc/20/33/01/408203301.db2.gz IXEVOUFPISRRQR-CYBMUJFWSA-N 1 2 319.405 1.646 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](CC)CC(=O)Nc1ccccc1OC ZINC000155748830 408203308 /nfs/dbraw/zinc/20/33/08/408203308.db2.gz IXEVOUFPISRRQR-CYBMUJFWSA-N 1 2 319.405 1.646 20 30 DDEDLO O=C1NC[C@H]2C[N@H+](CC#Cc3ccc(C(F)(F)F)cc3)CCN12 ZINC000175899219 408235033 /nfs/dbraw/zinc/23/50/33/408235033.db2.gz JKBKSRIRODELBT-AWEZNQCLSA-N 1 2 323.318 1.766 20 30 DDEDLO O=C1NC[C@H]2C[N@@H+](CC#Cc3ccc(C(F)(F)F)cc3)CCN12 ZINC000175899219 408235038 /nfs/dbraw/zinc/23/50/38/408235038.db2.gz JKBKSRIRODELBT-AWEZNQCLSA-N 1 2 323.318 1.766 20 30 DDEDLO Cc1ccc(C(N)=[NH+]OCC2CCS(=O)(=O)CC2)cc1F ZINC000273650830 408276105 /nfs/dbraw/zinc/27/61/05/408276105.db2.gz UJFOZJJCDDFTJW-UHFFFAOYSA-N 1 2 314.382 1.596 20 30 DDEDLO CC[NH+](CC)Cc1nc(C2(NC(=O)CC#N)CCCC2)no1 ZINC000273884898 408296706 /nfs/dbraw/zinc/29/67/06/408296706.db2.gz AJDXCWMGBLGCHM-UHFFFAOYSA-N 1 2 305.382 1.711 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cccc(C#N)c1 ZINC000176371477 408349204 /nfs/dbraw/zinc/34/92/04/408349204.db2.gz JEUXIXLLSVGHOV-CQSZACIVSA-N 1 2 318.384 1.931 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cccc(C#N)c1 ZINC000176371477 408349209 /nfs/dbraw/zinc/34/92/09/408349209.db2.gz JEUXIXLLSVGHOV-CQSZACIVSA-N 1 2 318.384 1.931 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(CC#Cc2cccc(Cl)c2)CC1 ZINC000269597584 408356909 /nfs/dbraw/zinc/35/69/09/408356909.db2.gz JWCQYSDPODKTKO-UHFFFAOYSA-N 1 2 319.836 1.573 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@H](CC(N)=O)C1 ZINC000177178804 408543492 /nfs/dbraw/zinc/54/34/92/408543492.db2.gz GGOKJQFRRMJEEE-CZUORRHYSA-N 1 2 315.373 1.360 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CCC[C@H](CC(N)=O)C1 ZINC000177178804 408543496 /nfs/dbraw/zinc/54/34/96/408543496.db2.gz GGOKJQFRRMJEEE-CZUORRHYSA-N 1 2 315.373 1.360 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C(C)=C/C(C)(C)C)CC1 ZINC000185285751 408791448 /nfs/dbraw/zinc/79/14/48/408791448.db2.gz GBDDHPMRPIEDPD-FYWRMAATSA-N 1 2 319.449 1.263 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C(C)=C/C(C)(C)C)CC1 ZINC000185285751 408791449 /nfs/dbraw/zinc/79/14/49/408791449.db2.gz GBDDHPMRPIEDPD-FYWRMAATSA-N 1 2 319.449 1.263 20 30 DDEDLO NC(CCO)=[NH+]OCc1nn(-c2ccccc2)c2c1CCC2 ZINC000185443193 408808402 /nfs/dbraw/zinc/80/84/02/408808402.db2.gz QJDJRQFQYXDVHI-UHFFFAOYSA-N 1 2 300.362 1.532 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccccc2C)C1=O ZINC000281187975 408874735 /nfs/dbraw/zinc/87/47/35/408874735.db2.gz BMLMODLMAHBUBT-OAHLLOKOSA-N 1 2 301.390 1.652 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccccc2C)C1=O ZINC000281187975 408874736 /nfs/dbraw/zinc/87/47/36/408874736.db2.gz BMLMODLMAHBUBT-OAHLLOKOSA-N 1 2 301.390 1.652 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](CCOC)Cc1cccnc1 ZINC000277029890 408904126 /nfs/dbraw/zinc/90/41/26/408904126.db2.gz FJDMSSMXEYXYLL-OAHLLOKOSA-N 1 2 318.421 1.538 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CCOC)Cc1cccnc1 ZINC000277029890 408904130 /nfs/dbraw/zinc/90/41/30/408904130.db2.gz FJDMSSMXEYXYLL-OAHLLOKOSA-N 1 2 318.421 1.538 20 30 DDEDLO C=C(C)CCNC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000633279334 422839818 /nfs/dbraw/zinc/83/98/18/422839818.db2.gz SKIMVXLYIWIUHN-UHFFFAOYSA-N 1 2 303.410 1.313 20 30 DDEDLO COC(=O)[C@H](CC(C)C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000286171652 408935876 /nfs/dbraw/zinc/93/58/76/408935876.db2.gz YRTWQFCWRVPOAA-HNNXBMFYSA-N 1 2 316.405 1.663 20 30 DDEDLO Cc1cc(-n2cc[nH+]c2)ccc1Cn1c(N)nc(C#N)c1C#N ZINC000194531083 163287116 /nfs/dbraw/zinc/28/71/16/163287116.db2.gz BFQUVZAMEGFWRN-UHFFFAOYSA-N 1 2 303.329 1.751 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(Cc3ccccc3)C[C@@H]2C)C1=O ZINC000282039597 408977121 /nfs/dbraw/zinc/97/71/21/408977121.db2.gz QVUGLUFZSSNXJD-FUHWJXTLSA-N 1 2 313.445 1.980 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(Cc3ccccc3)C[C@@H]2C)C1=O ZINC000282039597 408977124 /nfs/dbraw/zinc/97/71/24/408977124.db2.gz QVUGLUFZSSNXJD-FUHWJXTLSA-N 1 2 313.445 1.980 20 30 DDEDLO CN1CC[C@@H]([NH+]2CCN(c3ccc(C#N)cc3Cl)CC2)C1=O ZINC000277697755 408994388 /nfs/dbraw/zinc/99/43/88/408994388.db2.gz HLKYFBRKMJKNCY-OAHLLOKOSA-N 1 2 318.808 1.564 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@H](O)COc1ccccc1[N+](=O)[O-] ZINC000292244605 409008725 /nfs/dbraw/zinc/00/87/25/409008725.db2.gz QLYSIRNCTNJYQD-ZDUSSCGKSA-N 1 2 305.334 1.736 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@H](O)COc1ccccc1[N+](=O)[O-] ZINC000292244605 409008726 /nfs/dbraw/zinc/00/87/26/409008726.db2.gz QLYSIRNCTNJYQD-ZDUSSCGKSA-N 1 2 305.334 1.736 20 30 DDEDLO CC(C)(C)OC(=O)C[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292307388 409021312 /nfs/dbraw/zinc/02/13/12/409021312.db2.gz VBYBJUJYYUCAOU-ZDUSSCGKSA-N 1 2 303.362 1.748 20 30 DDEDLO CC(C)(C)OC(=O)C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292307388 409021315 /nfs/dbraw/zinc/02/13/15/409021315.db2.gz VBYBJUJYYUCAOU-ZDUSSCGKSA-N 1 2 303.362 1.748 20 30 DDEDLO C[N@H+](CCOc1ccc(C#N)cc1)Cc1ncnn1CC(F)F ZINC000278997151 409127772 /nfs/dbraw/zinc/12/77/72/409127772.db2.gz SSBDIMFFWPUPNM-UHFFFAOYSA-N 1 2 321.331 1.926 20 30 DDEDLO C[N@@H+](CCOc1ccc(C#N)cc1)Cc1ncnn1CC(F)F ZINC000278997151 409127774 /nfs/dbraw/zinc/12/77/74/409127774.db2.gz SSBDIMFFWPUPNM-UHFFFAOYSA-N 1 2 321.331 1.926 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)Nc3ccncn3)CC2)cc1 ZINC000279152880 409160357 /nfs/dbraw/zinc/16/03/57/409160357.db2.gz ZUJWCEBMZROAET-UHFFFAOYSA-N 1 2 322.372 1.698 20 30 DDEDLO C#CCC(CC#C)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000279188949 409167149 /nfs/dbraw/zinc/16/71/49/409167149.db2.gz LEGTYGDXXADRJC-IBGZPJMESA-N 1 2 324.424 1.666 20 30 DDEDLO C#CCC(CC#C)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000279188949 409167151 /nfs/dbraw/zinc/16/71/51/409167151.db2.gz LEGTYGDXXADRJC-IBGZPJMESA-N 1 2 324.424 1.666 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)c1 ZINC000290217218 409325304 /nfs/dbraw/zinc/32/53/04/409325304.db2.gz HSFCXNDERNMGBQ-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@H](C)[N@@H+]1CCc2sccc2C1 ZINC000280580610 409366015 /nfs/dbraw/zinc/36/60/15/409366015.db2.gz MSTBIJWMKJDQMQ-LBPRGKRZSA-N 1 2 314.476 1.990 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@H](C)[N@H+]1CCc2sccc2C1 ZINC000280580610 409366022 /nfs/dbraw/zinc/36/60/22/409366022.db2.gz MSTBIJWMKJDQMQ-LBPRGKRZSA-N 1 2 314.476 1.990 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)Cc1ccc(F)cc1C#N ZINC000295219085 409383406 /nfs/dbraw/zinc/38/34/06/409383406.db2.gz QSXNMZZIWJXILP-NSHDSACASA-N 1 2 322.365 1.402 20 30 DDEDLO CC(C)N(C(=O)CO[NH+]=C(N)[C@H]1CCCO1)c1ccccc1 ZINC000284412246 409342562 /nfs/dbraw/zinc/34/25/62/409342562.db2.gz NRTAEUJBQQTLHP-CQSZACIVSA-N 1 2 305.378 1.896 20 30 DDEDLO NC(=[NH+]O[C@@H]1CCN(c2cccc(Cl)c2)C1=O)[C@H]1CCCO1 ZINC000284487016 409343758 /nfs/dbraw/zinc/34/37/58/409343758.db2.gz SEUODNFFIUPSDB-CHWSQXEVSA-N 1 2 323.780 1.913 20 30 DDEDLO NC(=[NH+]OCc1nc(Cc2ccccc2)no1)[C@@H]1CCCO1 ZINC000284466914 409345739 /nfs/dbraw/zinc/34/57/39/409345739.db2.gz RACVGZXPYFSXOM-LBPRGKRZSA-N 1 2 302.334 1.628 20 30 DDEDLO CCN(Cc1cccc(F)c1)C(=O)CO[NH+]=C(N)[C@H]1CCCO1 ZINC000284509721 409349776 /nfs/dbraw/zinc/34/97/76/409349776.db2.gz UBWJFJIHPVWJMH-CQSZACIVSA-N 1 2 323.368 1.642 20 30 DDEDLO CCn1cc[nH+]c1CNS(=O)(=O)c1ccc(C#N)cc1C ZINC000295566701 409351189 /nfs/dbraw/zinc/35/11/89/409351189.db2.gz UKZHRHRFMMMWIU-UHFFFAOYSA-N 1 2 304.375 1.562 20 30 DDEDLO CC(C)[N@@H+]1CCO[C@@H](c2noc(-c3cc(C#N)cn3C)n2)C1 ZINC000320103366 164040179 /nfs/dbraw/zinc/04/01/79/164040179.db2.gz PLIKKIXTZHBIIM-CYBMUJFWSA-N 1 2 301.350 1.728 20 30 DDEDLO CC(C)[N@H+]1CCO[C@@H](c2noc(-c3cc(C#N)cn3C)n2)C1 ZINC000320103366 164040182 /nfs/dbraw/zinc/04/01/82/164040182.db2.gz PLIKKIXTZHBIIM-CYBMUJFWSA-N 1 2 301.350 1.728 20 30 DDEDLO CO[C@@H]1C[NH+]([C@@H](C)C(=O)Nc2ccccc2C#N)C[C@H]1OC ZINC000320217223 164041391 /nfs/dbraw/zinc/04/13/91/164041391.db2.gz FLGIIGJGKITERR-NILFDRSVSA-N 1 2 303.362 1.231 20 30 DDEDLO N#C[C@H]1CN(C(=O)Cc2c[nH+]cn2Cc2ccccc2)CCO1 ZINC000354245147 409735774 /nfs/dbraw/zinc/73/57/74/409735774.db2.gz TWYCTTHMQWZGMD-INIZCTEOSA-N 1 2 310.357 1.225 20 30 DDEDLO C[C@@H]1CC[S@](=O)CC[N@@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000332045502 409831787 /nfs/dbraw/zinc/83/17/87/409831787.db2.gz WLBSNGNUPUGAGT-OXDBHQQFSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[S@](=O)CC[N@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000332045502 409831796 /nfs/dbraw/zinc/83/17/96/409831796.db2.gz WLBSNGNUPUGAGT-OXDBHQQFSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)C[C@H](CC#N)c1ccccc1 ZINC000354581112 409952329 /nfs/dbraw/zinc/95/23/29/409952329.db2.gz FHTGTNCWTQBSCT-RDJZCZTQSA-N 1 2 315.417 1.911 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@@H]1CCC(=O)NC12CCC2 ZINC000328712786 409959242 /nfs/dbraw/zinc/95/92/42/409959242.db2.gz BTWUKHIAMDHCID-CHWSQXEVSA-N 1 2 324.425 1.252 20 30 DDEDLO CCN1CC[NH+]([C@H](C)CNc2ccc(C#N)c([N+](=O)[O-])c2)CC1 ZINC000357299957 409962980 /nfs/dbraw/zinc/96/29/80/409962980.db2.gz KEUVDYLKCSJFKP-CYBMUJFWSA-N 1 2 317.393 1.904 20 30 DDEDLO CC(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC000328764857 409972162 /nfs/dbraw/zinc/97/21/62/409972162.db2.gz GOBJAALCLIZAFZ-QWHCGFSZSA-N 1 2 321.425 1.223 20 30 DDEDLO CC(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC000328764857 409972166 /nfs/dbraw/zinc/97/21/66/409972166.db2.gz GOBJAALCLIZAFZ-QWHCGFSZSA-N 1 2 321.425 1.223 20 30 DDEDLO CC(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC000328764857 409972171 /nfs/dbraw/zinc/97/21/71/409972171.db2.gz GOBJAALCLIZAFZ-QWHCGFSZSA-N 1 2 321.425 1.223 20 30 DDEDLO CC(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC000328764857 409972177 /nfs/dbraw/zinc/97/21/77/409972177.db2.gz GOBJAALCLIZAFZ-QWHCGFSZSA-N 1 2 321.425 1.223 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC(=O)[C@H](C)C2)CC1 ZINC000328994522 410042509 /nfs/dbraw/zinc/04/25/09/410042509.db2.gz UCAMTPBWZFVYBK-ZIAGYGMSSA-N 1 2 323.437 1.502 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC(=O)[C@H](C)C2)CC1 ZINC000328994522 410042516 /nfs/dbraw/zinc/04/25/16/410042516.db2.gz UCAMTPBWZFVYBK-ZIAGYGMSSA-N 1 2 323.437 1.502 20 30 DDEDLO C[C@H](NC(=O)C1CCCC1)C(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000328898719 410004506 /nfs/dbraw/zinc/00/45/06/410004506.db2.gz WVUSRRBEWCHSSW-QEJZJMRPSA-N 1 2 323.437 1.453 20 30 DDEDLO C[C@H](NC(=O)C1CCCC1)C(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000328898719 410004513 /nfs/dbraw/zinc/00/45/13/410004513.db2.gz WVUSRRBEWCHSSW-QEJZJMRPSA-N 1 2 323.437 1.453 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2sccc2C#N)[C@H]1C ZINC000346663749 410066735 /nfs/dbraw/zinc/06/67/35/410066735.db2.gz OXSSOFYWXTZNEG-GXSJLCMTSA-N 1 2 307.375 1.442 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2sccc2C#N)[C@H]1C ZINC000346663749 410066737 /nfs/dbraw/zinc/06/67/37/410066737.db2.gz OXSSOFYWXTZNEG-GXSJLCMTSA-N 1 2 307.375 1.442 20 30 DDEDLO CC(C)(CNC(=O)NC[C@@H]1CCCSC1)[NH+]1CCOCC1 ZINC000329218524 410172059 /nfs/dbraw/zinc/17/20/59/410172059.db2.gz AGSINAFXDSOVOW-ZDUSSCGKSA-N 1 2 315.483 1.744 20 30 DDEDLO C[C@@H](NC(=O)CN1CCn2cc[nH+]c2C1)C(=O)N1CCCCC1 ZINC000329267065 410204511 /nfs/dbraw/zinc/20/45/11/410204511.db2.gz DBKKBMVVFKNSIX-CYBMUJFWSA-N 1 2 319.409 1.056 20 30 DDEDLO CCC(=O)N[C@H]1CCCN(C(=O)C2([NH+]3CCOCC3)CCC2)C1 ZINC000329352565 410250034 /nfs/dbraw/zinc/25/00/34/410250034.db2.gz XQEBWNVAIAYLDR-AWEZNQCLSA-N 1 2 323.437 1.599 20 30 DDEDLO C#CC[N@H+](Cc1ccccc1)[C@@H]1CCN(c2cc(C)nn2C)C1=O ZINC000298431716 410226795 /nfs/dbraw/zinc/22/67/95/410226795.db2.gz GLKINBZBAOGBIV-QGZVFWFLSA-N 1 2 322.412 1.969 20 30 DDEDLO C#CC[N@@H+](Cc1ccccc1)[C@@H]1CCN(c2cc(C)nn2C)C1=O ZINC000298431716 410226799 /nfs/dbraw/zinc/22/67/99/410226799.db2.gz GLKINBZBAOGBIV-QGZVFWFLSA-N 1 2 322.412 1.969 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)Cc3ccc(C#N)cc3)CC2)cn1 ZINC000357755617 410228925 /nfs/dbraw/zinc/22/89/25/410228925.db2.gz FEDKXXGZIMIYKP-UHFFFAOYSA-N 1 2 323.400 1.179 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(F)cc2C#N)C(C)(C)C1 ZINC000347152942 410366077 /nfs/dbraw/zinc/36/60/77/410366077.db2.gz HZKVOBKPTXCCHN-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(F)cc2C#N)C(C)(C)C1 ZINC000347152942 410366084 /nfs/dbraw/zinc/36/60/84/410366084.db2.gz HZKVOBKPTXCCHN-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO [O-]C(=[NH+][C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2)N1CCn2c[nH+]cc2C1 ZINC000329681299 410410999 /nfs/dbraw/zinc/41/09/99/410410999.db2.gz WVEOSHRVNSAXNA-ZNMIVQPWSA-N 1 2 316.405 1.960 20 30 DDEDLO O=C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2)N1CCn2c[nH+]cc2C1 ZINC000329681299 410411002 /nfs/dbraw/zinc/41/10/02/410411002.db2.gz WVEOSHRVNSAXNA-ZNMIVQPWSA-N 1 2 316.405 1.960 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000352249822 410579292 /nfs/dbraw/zinc/57/92/92/410579292.db2.gz LZZOCLPSTXXWQB-ZDUSSCGKSA-N 1 2 314.389 1.812 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)C3(O)CCCC3)CC2)cc1 ZINC000299251922 410583665 /nfs/dbraw/zinc/58/36/65/410583665.db2.gz ZJSAXBCZLIIRBU-UHFFFAOYSA-N 1 2 313.401 1.508 20 30 DDEDLO Cc1ccc(OCC(=O)N2CC[NH+](CCCC#N)CC2)cc1 ZINC000299604391 410597871 /nfs/dbraw/zinc/59/78/71/410597871.db2.gz IETGRMVWQGZHTK-UHFFFAOYSA-N 1 2 301.390 1.822 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C)[C@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000299146003 410545098 /nfs/dbraw/zinc/54/50/98/410545098.db2.gz BXBQEUFWIBJHMP-QWHCGFSZSA-N 1 2 302.378 1.492 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C)[C@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000299146003 410545102 /nfs/dbraw/zinc/54/51/02/410545102.db2.gz BXBQEUFWIBJHMP-QWHCGFSZSA-N 1 2 302.378 1.492 20 30 DDEDLO COc1ccccc1CN(C)C(=O)C[NH+]1CCC(F)(C#N)CC1 ZINC000352462751 410659606 /nfs/dbraw/zinc/65/96/06/410659606.db2.gz GSMGDZCFNXZENH-UHFFFAOYSA-N 1 2 319.380 1.981 20 30 DDEDLO COc1ccc(CN(C)C(=O)C[NH+]2CCC(F)(C#N)CC2)cc1 ZINC000352484722 410660524 /nfs/dbraw/zinc/66/05/24/410660524.db2.gz SNPDEKVMJVMEPH-UHFFFAOYSA-N 1 2 319.380 1.981 20 30 DDEDLO N#CC1(F)CCN(C(=O)C2CC[NH+](CC(F)F)CC2)CC1 ZINC000359356776 410620716 /nfs/dbraw/zinc/62/07/16/410620716.db2.gz DLOBPKADFSRUSB-UHFFFAOYSA-N 1 2 303.328 1.818 20 30 DDEDLO CCn1nccc1C[N@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC000352355837 410645325 /nfs/dbraw/zinc/64/53/25/410645325.db2.gz FBEUHGJXDHEDLH-UHFFFAOYSA-N 1 2 311.389 1.523 20 30 DDEDLO CCn1nccc1C[N@@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC000352355837 410645330 /nfs/dbraw/zinc/64/53/30/410645330.db2.gz FBEUHGJXDHEDLH-UHFFFAOYSA-N 1 2 311.389 1.523 20 30 DDEDLO C=C(CNC(=O)NC[C@H]1CN(C)CC[N@@H+]1C)c1ccccc1 ZINC000353084880 410776892 /nfs/dbraw/zinc/77/68/92/410776892.db2.gz HNOAJPJDHDZWKL-INIZCTEOSA-N 1 2 302.422 1.245 20 30 DDEDLO C=C(CNC(=O)NC[C@H]1CN(C)CC[N@H+]1C)c1ccccc1 ZINC000353084880 410776902 /nfs/dbraw/zinc/77/69/02/410776902.db2.gz HNOAJPJDHDZWKL-INIZCTEOSA-N 1 2 302.422 1.245 20 30 DDEDLO C#CC[NH+]1CCN(c2nn3cc(-c4cccnc4)nc3s2)CC1 ZINC000302071008 410838290 /nfs/dbraw/zinc/83/82/90/410838290.db2.gz FCRZRIFVRXNVST-UHFFFAOYSA-N 1 2 324.413 1.608 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](C2CCCCC2)C[N@@H+]1CC(=O)NCC#N ZINC000330922388 410905370 /nfs/dbraw/zinc/90/53/70/410905370.db2.gz BKYYFFWCYGKTSX-KBPBESRZSA-N 1 2 307.394 1.070 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](C2CCCCC2)C[N@H+]1CC(=O)NCC#N ZINC000330922388 410905377 /nfs/dbraw/zinc/90/53/77/410905377.db2.gz BKYYFFWCYGKTSX-KBPBESRZSA-N 1 2 307.394 1.070 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cccnc1C#N ZINC000451934454 287191076 /nfs/dbraw/zinc/19/10/76/287191076.db2.gz QMELMDWOYCCWLV-GFCCVEGCSA-N 1 2 308.407 1.058 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cccnc1C#N ZINC000451934454 287191078 /nfs/dbraw/zinc/19/10/78/287191078.db2.gz QMELMDWOYCCWLV-GFCCVEGCSA-N 1 2 308.407 1.058 20 30 DDEDLO C[C@@H](NC(=O)c1cnn(C)c1C#N)c1cccc(-n2cc[nH+]c2)c1 ZINC000356257003 410926352 /nfs/dbraw/zinc/92/63/52/410926352.db2.gz SOEACUWZCVNVPP-GFCCVEGCSA-N 1 2 320.356 1.968 20 30 DDEDLO CO[C@H]1C[NH+](CC(=O)Nc2sc(C)c(C)c2C#N)C[C@@H]1OC ZINC000331094398 410945681 /nfs/dbraw/zinc/94/56/81/410945681.db2.gz AJCWCGZFVYLWTJ-STQMWFEESA-N 1 2 323.418 1.521 20 30 DDEDLO C[C@@H](C[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1)N1CCCC[C@H]1C ZINC000360061532 411025354 /nfs/dbraw/zinc/02/53/54/411025354.db2.gz SBRJJZBIPMCERK-KEYYUXOJSA-N 1 2 314.433 1.937 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)C(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C ZINC000356550492 411084476 /nfs/dbraw/zinc/08/44/76/411084476.db2.gz OXFLSPRCWVTYKW-OAHLLOKOSA-N 1 2 306.366 1.630 20 30 DDEDLO C[N@@H+](Cc1cnc2ccc(C#N)cn12)C[C@H]1CCS(=O)(=O)C1 ZINC000353769241 411126005 /nfs/dbraw/zinc/12/60/05/411126005.db2.gz QTGVZWCKUUOYID-CYBMUJFWSA-N 1 2 318.402 1.072 20 30 DDEDLO C[N@H+](Cc1cnc2ccc(C#N)cn12)C[C@H]1CCS(=O)(=O)C1 ZINC000353769241 411126006 /nfs/dbraw/zinc/12/60/06/411126006.db2.gz QTGVZWCKUUOYID-CYBMUJFWSA-N 1 2 318.402 1.072 20 30 DDEDLO C=CCCC1(CNC(=O)[C@@H](C)N2CC[NH2+]CC2=O)CCCC1 ZINC000638404608 422890131 /nfs/dbraw/zinc/89/01/31/422890131.db2.gz HGLYIRQHBPLHLA-CQSZACIVSA-N 1 2 307.438 1.450 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(c2sccc2C#N)C1=O)c1ccn(C)n1 ZINC000580166219 422896490 /nfs/dbraw/zinc/89/64/90/422896490.db2.gz LWKFTGYMAMRAFQ-MFKMUULPSA-N 1 2 315.402 1.809 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000580512616 422937656 /nfs/dbraw/zinc/93/76/56/422937656.db2.gz MFYWBQZFFSGPQI-KGLIPLIRSA-N 1 2 315.421 1.378 20 30 DDEDLO CC(C)(C)[N@H+](CC(N)=O)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000133996278 196352822 /nfs/dbraw/zinc/35/28/22/196352822.db2.gz WKROBRZYQKYDKF-UHFFFAOYSA-N 1 2 322.796 1.736 20 30 DDEDLO CC(C)(C)[N@@H+](CC(N)=O)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000133996278 196352824 /nfs/dbraw/zinc/35/28/24/196352824.db2.gz WKROBRZYQKYDKF-UHFFFAOYSA-N 1 2 322.796 1.736 20 30 DDEDLO Cc1cc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)ncc1C#N ZINC000603109953 416631347 /nfs/dbraw/zinc/63/13/47/416631347.db2.gz CEVNNKNOSOCJII-CQSZACIVSA-N 1 2 315.377 1.200 20 30 DDEDLO Cc1ccc2[nH+]c(CNC(=O)Nc3nn(C)cc3C#N)cn2c1 ZINC000610564246 416660233 /nfs/dbraw/zinc/66/02/33/416660233.db2.gz GOOVEGITQUUNAA-UHFFFAOYSA-N 1 2 309.333 1.570 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCC(c2nc(C)n[nH]2)CC1 ZINC000366010513 418417320 /nfs/dbraw/zinc/41/73/20/418417320.db2.gz YMRXYVGGOOPGOG-UHFFFAOYSA-N 1 2 303.410 1.493 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCC(c2n[nH]c(C)n2)CC1 ZINC000366010513 418417325 /nfs/dbraw/zinc/41/73/25/418417325.db2.gz YMRXYVGGOOPGOG-UHFFFAOYSA-N 1 2 303.410 1.493 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCC(c2nnc(C)[nH]2)CC1 ZINC000366010513 418417327 /nfs/dbraw/zinc/41/73/27/418417327.db2.gz YMRXYVGGOOPGOG-UHFFFAOYSA-N 1 2 303.410 1.493 20 30 DDEDLO N#C[C@H]1N(C(=O)CCn2cc[nH+]c2)CCC[C@@]12CCCCO2 ZINC000373370668 418424449 /nfs/dbraw/zinc/42/44/49/418424449.db2.gz VFXSYGQJHFTBBL-ZBFHGGJFSA-N 1 2 302.378 1.727 20 30 DDEDLO N#Cc1cccc([C@H]2CN(C(=O)CCc3c[nH+]c[nH]3)CCO2)c1 ZINC000373597154 418443452 /nfs/dbraw/zinc/44/34/52/418443452.db2.gz WLHCZDSULLIHEI-MRXNPFEDSA-N 1 2 310.357 1.814 20 30 DDEDLO N#Cc1cccc([C@H]2CN(C(=O)CCc3c[nH]c[nH+]3)CCO2)c1 ZINC000373597154 418443455 /nfs/dbraw/zinc/44/34/55/418443455.db2.gz WLHCZDSULLIHEI-MRXNPFEDSA-N 1 2 310.357 1.814 20 30 DDEDLO C#CCN(C(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1)C1CCCC1 ZINC000366465519 418477636 /nfs/dbraw/zinc/47/76/36/418477636.db2.gz YAHWKIMYOZFDPK-MRXNPFEDSA-N 1 2 305.422 1.437 20 30 DDEDLO C#CCN(C(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1)C1CCCC1 ZINC000366465519 418477638 /nfs/dbraw/zinc/47/76/38/418477638.db2.gz YAHWKIMYOZFDPK-MRXNPFEDSA-N 1 2 305.422 1.437 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@@H](NS(=O)(=O)c1ccc(C#N)s1)C2 ZINC000366616935 418497215 /nfs/dbraw/zinc/49/72/15/418497215.db2.gz DBSYUEZFADTKSV-SNVBAGLBSA-N 1 2 322.415 1.418 20 30 DDEDLO Cc1nc([C@H]2CCCC[N@H+]2CCC(=O)N(C)CCC#N)no1 ZINC000360826802 418527643 /nfs/dbraw/zinc/52/76/43/418527643.db2.gz AGTPPGYGDSOOAJ-CYBMUJFWSA-N 1 2 305.382 1.667 20 30 DDEDLO Cc1nc([C@H]2CCCC[N@@H+]2CCC(=O)N(C)CCC#N)no1 ZINC000360826802 418527646 /nfs/dbraw/zinc/52/76/46/418527646.db2.gz AGTPPGYGDSOOAJ-CYBMUJFWSA-N 1 2 305.382 1.667 20 30 DDEDLO COc1ccc2c(c1)OCC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C2 ZINC000374851880 418569100 /nfs/dbraw/zinc/56/91/00/418569100.db2.gz AAAUOLJUHOSQQX-CYBMUJFWSA-N 1 2 317.389 1.650 20 30 DDEDLO COc1ccc2c(c1)OCC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C2 ZINC000374851880 418569103 /nfs/dbraw/zinc/56/91/03/418569103.db2.gz AAAUOLJUHOSQQX-CYBMUJFWSA-N 1 2 317.389 1.650 20 30 DDEDLO CC(C)OCC[N@@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000361051802 418573851 /nfs/dbraw/zinc/57/38/51/418573851.db2.gz ZSUITXRIGSGAMF-UHFFFAOYSA-N 1 2 301.390 1.627 20 30 DDEDLO CC(C)OCC[N@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000361051802 418573854 /nfs/dbraw/zinc/57/38/54/418573854.db2.gz ZSUITXRIGSGAMF-UHFFFAOYSA-N 1 2 301.390 1.627 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@H+](CCOC)Cc1ccc(C#N)cc1 ZINC000195002040 418551348 /nfs/dbraw/zinc/55/13/48/418551348.db2.gz NGDCFQWIWZEKNQ-CQSZACIVSA-N 1 2 303.406 1.921 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@@H+](CCOC)Cc1ccc(C#N)cc1 ZINC000195002040 418551352 /nfs/dbraw/zinc/55/13/52/418551352.db2.gz NGDCFQWIWZEKNQ-CQSZACIVSA-N 1 2 303.406 1.921 20 30 DDEDLO Cc1cn2c([nH+]1)CN(CC(=O)NCc1ccc(C#N)cc1)CC2 ZINC000374691041 418556068 /nfs/dbraw/zinc/55/60/68/418556068.db2.gz CAIPHQLXUGVPPL-UHFFFAOYSA-N 1 2 309.373 1.195 20 30 DDEDLO C=CCc1cc(C[NH2+]Cc2nc(N(C)C)no2)cc(OC)c1O ZINC000190011084 222065754 /nfs/dbraw/zinc/06/57/54/222065754.db2.gz WYYSGIFAKXGILS-UHFFFAOYSA-N 1 2 318.377 1.868 20 30 DDEDLO COC(=O)C[C@H]1C[N@H+](Cc2ccc(O[C@H](C)C#N)cc2)CCO1 ZINC000245751298 222218702 /nfs/dbraw/zinc/21/87/02/222218702.db2.gz NXGQEDRXYZVRFE-CJNGLKHVSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)C[C@H]1C[N@@H+](Cc2ccc(O[C@H](C)C#N)cc2)CCO1 ZINC000245751298 222218705 /nfs/dbraw/zinc/21/87/05/222218705.db2.gz NXGQEDRXYZVRFE-CJNGLKHVSA-N 1 2 318.373 1.741 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)cc1 ZINC000264323256 222330943 /nfs/dbraw/zinc/33/09/43/222330943.db2.gz VUZWQPGWXFLYCU-LNFQUTBISA-N 1 2 319.405 1.506 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCOC[C@@H]1[C@H]1CCCO1 ZINC000367578334 418612157 /nfs/dbraw/zinc/61/21/57/418612157.db2.gz VOSBMAKVUWYGBS-NVXWUHKLSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCOC[C@@H]1[C@H]1CCCO1 ZINC000367578334 418612160 /nfs/dbraw/zinc/61/21/60/418612160.db2.gz VOSBMAKVUWYGBS-NVXWUHKLSA-N 1 2 302.374 1.947 20 30 DDEDLO Cn1cc(C[N@@H+]2CCCC[C@@H]2CCS(C)(=O)=O)cc1C#N ZINC000367832227 418643155 /nfs/dbraw/zinc/64/31/55/418643155.db2.gz MIYOYBRBLMBPKD-CQSZACIVSA-N 1 2 309.435 1.686 20 30 DDEDLO Cn1cc(C[N@H+]2CCCC[C@@H]2CCS(C)(=O)=O)cc1C#N ZINC000367832227 418643158 /nfs/dbraw/zinc/64/31/58/418643158.db2.gz MIYOYBRBLMBPKD-CQSZACIVSA-N 1 2 309.435 1.686 20 30 DDEDLO C=CC[C@H](CO)CNc1nc2cc(OC)c(OC)cc2c(N)[nH+]1 ZINC000340874196 418623854 /nfs/dbraw/zinc/62/38/54/418623854.db2.gz DXMCNYITDARVMJ-JTQLQIEISA-N 1 2 318.377 1.248 20 30 DDEDLO CC(C)(C#N)CCS(=O)(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000377864226 418716304 /nfs/dbraw/zinc/71/63/04/418716304.db2.gz LRLVUEVWQZYTNA-ZDUSSCGKSA-N 1 2 310.423 1.790 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNc1ncc([N+](=O)[O-])cc1C#N)CCC2 ZINC000378232198 418719977 /nfs/dbraw/zinc/71/99/77/418719977.db2.gz KPFBGUHNMHLYBK-LLVKDONJSA-N 1 2 312.333 2.356 20 30 DDEDLO C=CCN(C(=O)NC[C@]1([NH+]2CCOCC2)CCCOC1)C1CC1 ZINC000378395181 418722335 /nfs/dbraw/zinc/72/23/35/418722335.db2.gz LPMXSXQJYCWTPP-QGZVFWFLSA-N 1 2 323.437 1.228 20 30 DDEDLO C=CCOCCNC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000368033141 418676822 /nfs/dbraw/zinc/67/68/22/418676822.db2.gz CVLRWIZGEXEJAP-UHFFFAOYSA-N 1 2 304.394 1.552 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2ccc(C)cc2)CC1 ZINC000273435367 222472149 /nfs/dbraw/zinc/47/21/49/222472149.db2.gz BGVYWHGSGGYHRZ-UHFFFAOYSA-N 1 2 314.433 1.879 20 30 DDEDLO Cn1cc(C[N@@H+]2CCNC(=O)[C@@H]2Cc2ccccc2)cc1C#N ZINC000361594116 418689939 /nfs/dbraw/zinc/68/99/39/418689939.db2.gz LUWAXVNAWFKGQC-KRWDZBQOSA-N 1 2 308.385 1.440 20 30 DDEDLO Cn1cc(C[N@H+]2CCNC(=O)[C@@H]2Cc2ccccc2)cc1C#N ZINC000361594116 418689942 /nfs/dbraw/zinc/68/99/42/418689942.db2.gz LUWAXVNAWFKGQC-KRWDZBQOSA-N 1 2 308.385 1.440 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC000376947752 418702965 /nfs/dbraw/zinc/70/29/65/418702965.db2.gz IYIGZFYKEKCDPA-UHFFFAOYSA-N 1 2 307.438 1.239 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC000376947752 418702967 /nfs/dbraw/zinc/70/29/67/418702967.db2.gz IYIGZFYKEKCDPA-UHFFFAOYSA-N 1 2 307.438 1.239 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC000370025930 418740492 /nfs/dbraw/zinc/74/04/92/418740492.db2.gz KWNMXPFDMJGZSF-UHFFFAOYSA-N 1 2 309.454 1.792 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC000370025930 418740494 /nfs/dbraw/zinc/74/04/94/418740494.db2.gz KWNMXPFDMJGZSF-UHFFFAOYSA-N 1 2 309.454 1.792 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[NH+]1CCC(c2nc[nH]n2)CC1 ZINC000370235872 418741980 /nfs/dbraw/zinc/74/19/80/418741980.db2.gz JOJUKQFKDLAAFP-OAHLLOKOSA-N 1 2 304.398 1.039 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](CCCN3CCOCC3)CCO2)c1 ZINC000362065064 418747777 /nfs/dbraw/zinc/74/77/77/418747777.db2.gz RWPJYTUPVQBEFP-SFHVURJKSA-N 1 2 315.417 1.654 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](CCCN3CCOCC3)CCO2)c1 ZINC000362065064 418747778 /nfs/dbraw/zinc/74/77/78/418747778.db2.gz RWPJYTUPVQBEFP-SFHVURJKSA-N 1 2 315.417 1.654 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(CC#N)cc2)CCO1 ZINC000362913560 418760984 /nfs/dbraw/zinc/76/09/84/418760984.db2.gz RSAJNWPQQFJPCT-MRXNPFEDSA-N 1 2 316.405 1.985 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(CC#N)cc2)CCO1 ZINC000362913560 418760986 /nfs/dbraw/zinc/76/09/86/418760986.db2.gz RSAJNWPQQFJPCT-MRXNPFEDSA-N 1 2 316.405 1.985 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cc1 ZINC000363901081 418770840 /nfs/dbraw/zinc/77/08/40/418770840.db2.gz PZEFMEJVKHRVBC-INIZCTEOSA-N 1 2 314.385 1.245 20 30 DDEDLO CN1CC[N@H+](CCNc2ccncc2C#N)[C@@H](c2ccccc2)C1 ZINC000364318247 418775805 /nfs/dbraw/zinc/77/58/05/418775805.db2.gz ZKFVHEXCVIPAGI-LJQANCHMSA-N 1 2 321.428 1.776 20 30 DDEDLO CN1CC[N@@H+](CCNc2ccncc2C#N)[C@@H](c2ccccc2)C1 ZINC000364318247 418775808 /nfs/dbraw/zinc/77/58/08/418775808.db2.gz ZKFVHEXCVIPAGI-LJQANCHMSA-N 1 2 321.428 1.776 20 30 DDEDLO CC(C)(CCC#N)C[NH+]1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000378615431 418725134 /nfs/dbraw/zinc/72/51/34/418725134.db2.gz LPLGHZYYWKOWHV-UHFFFAOYSA-N 1 2 313.467 1.863 20 30 DDEDLO COCC1(O)C[NH+](Cc2cn(C)nc2-c2ccc(C#N)cc2)C1 ZINC000297467335 227206389 /nfs/dbraw/zinc/20/63/89/227206389.db2.gz INQNTFCPHUUIOY-UHFFFAOYSA-N 1 2 312.373 1.152 20 30 DDEDLO C=CC[N@H+](Cc1cccc([N+](=O)[O-])c1)[C@@H](C)C(=O)NC(N)=O ZINC000411194605 418878716 /nfs/dbraw/zinc/87/87/16/418878716.db2.gz QVTKCQARWJCTSV-JTQLQIEISA-N 1 2 306.322 1.166 20 30 DDEDLO C=CC[N@@H+](Cc1cccc([N+](=O)[O-])c1)[C@@H](C)C(=O)NC(N)=O ZINC000411194605 418878718 /nfs/dbraw/zinc/87/87/18/418878718.db2.gz QVTKCQARWJCTSV-JTQLQIEISA-N 1 2 306.322 1.166 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](O)[C@@H]([N@H+](CC)Cc2nnc(C)o2)C1 ZINC000372567054 418884911 /nfs/dbraw/zinc/88/49/11/418884911.db2.gz FIDZQXSYQLJUAS-UONOGXRCSA-N 1 2 322.409 1.128 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](O)[C@@H]([N@@H+](CC)Cc2nnc(C)o2)C1 ZINC000372567054 418884915 /nfs/dbraw/zinc/88/49/15/418884915.db2.gz FIDZQXSYQLJUAS-UONOGXRCSA-N 1 2 322.409 1.128 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ccc(=O)[nH]n3)CC2)cc1F ZINC000365260076 418846703 /nfs/dbraw/zinc/84/67/03/418846703.db2.gz SDOVQKCYYIEEQO-UHFFFAOYSA-N 1 2 313.336 1.515 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000410791496 418847441 /nfs/dbraw/zinc/84/74/41/418847441.db2.gz LSIYAKSPFBJWJI-CQSZACIVSA-N 1 2 303.362 1.096 20 30 DDEDLO Cc1cc(N2CCN(c3ncccc3C#N)CC2)c(C#N)c[nH+]1 ZINC000425213085 228392161 /nfs/dbraw/zinc/39/21/61/228392161.db2.gz XRTGWMJLZBCKSW-UHFFFAOYSA-N 1 2 304.357 1.855 20 30 DDEDLO C[C@H]([NH2+]CC(=O)NCc1ccc(C#N)cc1)c1nccs1 ZINC000411878984 419450198 /nfs/dbraw/zinc/45/01/98/419450198.db2.gz JSCKTQSYGHFPJR-NSHDSACASA-N 1 2 300.387 1.982 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(Cc3ccccc3)[C@H](C)C2)C1=O ZINC000411980055 419536051 /nfs/dbraw/zinc/53/60/51/419536051.db2.gz FSQTVCDRBAYKAP-SJLPKXTDSA-N 1 2 313.445 1.980 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(Cc3ccccc3)[C@H](C)C2)C1=O ZINC000411980055 419536059 /nfs/dbraw/zinc/53/60/59/419536059.db2.gz FSQTVCDRBAYKAP-SJLPKXTDSA-N 1 2 313.445 1.980 20 30 DDEDLO C[N@@H+]1Cc2cccc(NS(=O)(=O)c3cncc(C#N)c3)c2C1 ZINC000427758029 419727341 /nfs/dbraw/zinc/72/73/41/419727341.db2.gz ZKAXNIIODAERRF-UHFFFAOYSA-N 1 2 314.370 1.699 20 30 DDEDLO C[N@H+]1Cc2cccc(NS(=O)(=O)c3cncc(C#N)c3)c2C1 ZINC000427758029 419727348 /nfs/dbraw/zinc/72/73/48/419727348.db2.gz ZKAXNIIODAERRF-UHFFFAOYSA-N 1 2 314.370 1.699 20 30 DDEDLO Cn1cc(CC(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)cn1 ZINC000299620814 229271117 /nfs/dbraw/zinc/27/11/17/229271117.db2.gz KBSCOOAQNPDFEK-UHFFFAOYSA-N 1 2 323.400 1.179 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(c2cnccc2C#N)C1 ZINC000418954434 420029436 /nfs/dbraw/zinc/02/94/36/420029436.db2.gz WJWPBYDHVBUOTB-KRWDZBQOSA-N 1 2 316.405 1.853 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)OCCC2=O ZINC000416407859 420361714 /nfs/dbraw/zinc/36/17/14/420361714.db2.gz MOAXLDNHEKUGNJ-LBPRGKRZSA-N 1 2 304.346 1.237 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc2c(c1)OCCC2=O ZINC000416407859 420361719 /nfs/dbraw/zinc/36/17/19/420361719.db2.gz MOAXLDNHEKUGNJ-LBPRGKRZSA-N 1 2 304.346 1.237 20 30 DDEDLO Cc1ccn2cc(CNC(=O)Nc3ccn(CCC#N)n3)[nH+]c2c1 ZINC000438335550 420450198 /nfs/dbraw/zinc/45/01/98/420450198.db2.gz QLEANBGOXBPAAW-UHFFFAOYSA-N 1 2 323.360 2.075 20 30 DDEDLO C=CC[N@@H+](CCOC)Cc1nc(-c2ccc(OC)nn2)no1 ZINC000450836152 420541778 /nfs/dbraw/zinc/54/17/78/420541778.db2.gz IUXHCEODIXKYOY-UHFFFAOYSA-N 1 2 305.338 1.170 20 30 DDEDLO C=CC[N@H+](CCOC)Cc1nc(-c2ccc(OC)nn2)no1 ZINC000450836152 420541782 /nfs/dbraw/zinc/54/17/82/420541782.db2.gz IUXHCEODIXKYOY-UHFFFAOYSA-N 1 2 305.338 1.170 20 30 DDEDLO C=CC[N@@H+](CCC(=O)OC(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000440298170 420566171 /nfs/dbraw/zinc/56/61/71/420566171.db2.gz PJNIWGFAMZHHNE-GFCCVEGCSA-N 1 2 303.424 1.393 20 30 DDEDLO C=CC[N@H+](CCC(=O)OC(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000440298170 420566174 /nfs/dbraw/zinc/56/61/74/420566174.db2.gz PJNIWGFAMZHHNE-GFCCVEGCSA-N 1 2 303.424 1.393 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(c2ncccc2C#N)C1 ZINC000440653033 420594204 /nfs/dbraw/zinc/59/42/04/420594204.db2.gz UPDXSBJJLOFYQL-QGZVFWFLSA-N 1 2 316.405 1.853 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)C(=O)N2CCn3c[nH+]cc3C2)c1 ZINC000453742902 420753086 /nfs/dbraw/zinc/75/30/86/420753086.db2.gz CTNFOXZSHJVQGE-UHFFFAOYSA-N 1 2 309.329 1.044 20 30 DDEDLO C=C(C)CCNC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000454233837 420821223 /nfs/dbraw/zinc/82/12/23/420821223.db2.gz OIUJWEGLBSVACG-UHFFFAOYSA-N 1 2 304.394 1.684 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000448611114 420871733 /nfs/dbraw/zinc/87/17/33/420871733.db2.gz BKTSVDLJAATMLX-KDOFPFPSSA-N 1 2 323.400 1.528 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000459818101 420882830 /nfs/dbraw/zinc/88/28/30/420882830.db2.gz BKEXSVMKQRUPMT-HNNXBMFYSA-N 1 2 317.389 1.486 20 30 DDEDLO N#C[C@H]1CN(C(=O)C=Cc2c[nH]c[nH+]2)CCN1Cc1ccccc1 ZINC000493586193 420888284 /nfs/dbraw/zinc/88/82/84/420888284.db2.gz IZVUUAMXLBDGAG-TUYSUELWSA-N 1 2 321.384 1.659 20 30 DDEDLO CCCN(CCC)S(=O)(=O)N1CC[NH+](CCCC#N)CC1 ZINC000488177488 421073888 /nfs/dbraw/zinc/07/38/88/421073888.db2.gz FSJXYRMXOUBKCA-UHFFFAOYSA-N 1 2 316.471 1.275 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCC[C@@H](OCC(F)(F)F)C1 ZINC000523439961 421237348 /nfs/dbraw/zinc/23/73/48/421237348.db2.gz UZPRDCCBISBSKX-NWDGAFQWSA-N 1 2 321.343 1.790 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@@H](OCC(F)(F)F)C1 ZINC000523439961 421237351 /nfs/dbraw/zinc/23/73/51/421237351.db2.gz UZPRDCCBISBSKX-NWDGAFQWSA-N 1 2 321.343 1.790 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NS(=O)(=O)CCCC#N)c1ccccc1 ZINC000524653787 421264179 /nfs/dbraw/zinc/26/41/79/421264179.db2.gz HXTOBVOQEGNSHC-CQSZACIVSA-N 1 2 318.402 1.733 20 30 DDEDLO CC(C)(C)OC(=O)NCC[N@H+](CCO)Cc1ccc(C#N)cn1 ZINC000497105942 421363410 /nfs/dbraw/zinc/36/34/10/421363410.db2.gz JFCRZCJGXTUNCC-UHFFFAOYSA-N 1 2 320.393 1.272 20 30 DDEDLO CC(C)(C)OC(=O)NCC[N@@H+](CCO)Cc1ccc(C#N)cn1 ZINC000497105942 421363412 /nfs/dbraw/zinc/36/34/12/421363412.db2.gz JFCRZCJGXTUNCC-UHFFFAOYSA-N 1 2 320.393 1.272 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNc1nc3c(cc1C#N)COCC3)CCC2 ZINC000562533909 421378330 /nfs/dbraw/zinc/37/83/30/421378330.db2.gz FAAIMRYQKYISGT-CYBMUJFWSA-N 1 2 323.400 2.520 20 30 DDEDLO CCN1CCN(C(=O)Cc2cccc(C#N)c2)C[C@H]1c1[nH]cc[nH+]1 ZINC000548347677 421426992 /nfs/dbraw/zinc/42/69/92/421426992.db2.gz KYVZDLKYQBAUIO-INIZCTEOSA-N 1 2 323.400 1.729 20 30 DDEDLO Cc1cc(N2CCC[C@@H](Nc3cc(C)[nH+]cc3C#N)C2)n(C)n1 ZINC000563019629 421441045 /nfs/dbraw/zinc/44/10/45/421441045.db2.gz IZBNBFWYKZNMGM-OAHLLOKOSA-N 1 2 310.405 1.806 20 30 DDEDLO COc1ccc2c(c1)OC[C@H]2CC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000566308463 421604306 /nfs/dbraw/zinc/60/43/06/421604306.db2.gz HHODLNYAQSTQOU-PXAZEXFGSA-N 1 2 317.389 1.521 20 30 DDEDLO CC[C@H](CC#N)[NH2+][C@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000566745948 421607738 /nfs/dbraw/zinc/60/77/38/421607738.db2.gz OTKBGLUUTQRTOX-PWSUYJOCSA-N 1 2 321.402 1.922 20 30 DDEDLO CC[C@H](C)C(=O)N1CCC[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)C1 ZINC000567239606 421611283 /nfs/dbraw/zinc/61/12/83/421611283.db2.gz WGNPYQKLESSMEL-GRDNDAEWSA-N 1 2 322.453 1.231 20 30 DDEDLO C=CCn1cc(CNc2nc(C3CC3)[nH+]c(C)c2OC)nn1 ZINC000567752395 421614941 /nfs/dbraw/zinc/61/49/41/421614941.db2.gz IXLJLKAGNVSLPT-UHFFFAOYSA-N 1 2 300.366 2.061 20 30 DDEDLO CC1(C#N)CN(CC(=O)N2CC[NH+](Cc3cccs3)CC2)C1 ZINC000568289914 421620056 /nfs/dbraw/zinc/62/00/56/421620056.db2.gz UJASZABRVSNTSD-UHFFFAOYSA-N 1 2 318.446 1.238 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N[C@H]2CCCC[C@H]2C)C1=O ZINC000532205723 421651695 /nfs/dbraw/zinc/65/16/95/421651695.db2.gz GDSLBFKWWHOFOC-WCVJEAGWSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N[C@H]2CCCC[C@H]2C)C1=O ZINC000532205723 421651699 /nfs/dbraw/zinc/65/16/99/421651699.db2.gz GDSLBFKWWHOFOC-WCVJEAGWSA-N 1 2 321.465 1.789 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCc1nc(C(C)(C)C)no1 ZINC000565604485 421598838 /nfs/dbraw/zinc/59/88/38/421598838.db2.gz UKXHGTHSBYTZLK-HNNXBMFYSA-N 1 2 307.398 1.260 20 30 DDEDLO CN1CCN(S(=O)(=O)CCC(C)(C)C#N)c2ccc[nH+]c21 ZINC000565565760 421598930 /nfs/dbraw/zinc/59/89/30/421598930.db2.gz GVYQBQAFLNCVHM-UHFFFAOYSA-N 1 2 308.407 1.607 20 30 DDEDLO C=CCn1cc(CNC(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)nn1 ZINC000565712985 421599633 /nfs/dbraw/zinc/59/96/33/421599633.db2.gz IUAUYYJLFQHJFQ-ZDUSSCGKSA-N 1 2 315.381 1.624 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000571398194 421711880 /nfs/dbraw/zinc/71/18/80/421711880.db2.gz HTIMPTCYERXHEC-CVEARBPZSA-N 1 2 316.405 1.252 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C[C@@H]1CCOc2ccccc21 ZINC000570848160 421673367 /nfs/dbraw/zinc/67/33/67/421673367.db2.gz KYQAMGYGZCMHNK-GUYCJALGSA-N 1 2 301.390 1.903 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)N2CCC(CC#N)CC2)CCO1 ZINC000541921444 421814503 /nfs/dbraw/zinc/81/45/03/421814503.db2.gz HYJARRSWJXCRTF-UHFFFAOYSA-N 1 2 308.426 1.432 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)N2CCC(CC#N)CC2)CCO1 ZINC000541921444 421814505 /nfs/dbraw/zinc/81/45/05/421814505.db2.gz HYJARRSWJXCRTF-UHFFFAOYSA-N 1 2 308.426 1.432 20 30 DDEDLO C=C(C)COCCNc1nc(NC(C)(C)C)nc(NCC)[nH+]1 ZINC000557627524 421782855 /nfs/dbraw/zinc/78/28/55/421782855.db2.gz XJBJDQYYQCNAPN-UHFFFAOYSA-N 1 2 308.430 1.362 20 30 DDEDLO C=C(C)COCCNc1nc(NCC)[nH+]c(NC(C)(C)C)n1 ZINC000557627524 421782858 /nfs/dbraw/zinc/78/28/58/421782858.db2.gz XJBJDQYYQCNAPN-UHFFFAOYSA-N 1 2 308.430 1.362 20 30 DDEDLO C=C(C)COCCNc1nc(NCC)nc(NC(C)(C)C)[nH+]1 ZINC000557627524 421782861 /nfs/dbraw/zinc/78/28/61/421782861.db2.gz XJBJDQYYQCNAPN-UHFFFAOYSA-N 1 2 308.430 1.362 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](CCO)[C@@H]2CCO[C@H]2C)c1C#N ZINC000581463840 421976810 /nfs/dbraw/zinc/97/68/10/421976810.db2.gz VCHYXHDENOKMOR-BLLLJJGKSA-N 1 2 318.373 1.317 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](CCO)[C@@H]2CCO[C@H]2C)c1C#N ZINC000581463840 421976816 /nfs/dbraw/zinc/97/68/16/421976816.db2.gz VCHYXHDENOKMOR-BLLLJJGKSA-N 1 2 318.373 1.317 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(O[C@H]2CCOC2)cc1 ZINC000573729270 422007328 /nfs/dbraw/zinc/00/73/28/422007328.db2.gz DBKVSIFLBFGIAL-RDJZCZTQSA-N 1 2 317.389 1.428 20 30 DDEDLO CCOC(=O)c1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)nc1C ZINC000574045329 422053191 /nfs/dbraw/zinc/05/31/91/422053191.db2.gz PUMOLYMOAPDKNQ-INIZCTEOSA-N 1 2 318.377 1.140 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000574061232 422056903 /nfs/dbraw/zinc/05/69/03/422056903.db2.gz FGRPERGICZSBIG-TZMCWYRMSA-N 1 2 321.421 1.139 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC[C@H]2Cn2cc[nH+]c2)nn1 ZINC000574870621 422200225 /nfs/dbraw/zinc/20/02/25/422200225.db2.gz KAKYYHQFPKJVTE-ZDUSSCGKSA-N 1 2 300.366 1.356 20 30 DDEDLO CCCC[C@H](C(=O)Nc1cc(C)on1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149739 422331552 /nfs/dbraw/zinc/33/15/52/422331552.db2.gz VRJGOBOOBICVNC-CZUORRHYSA-N 1 2 320.393 1.831 20 30 DDEDLO CCCC[C@H](C(=O)Nc1cc(C)on1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149739 422331556 /nfs/dbraw/zinc/33/15/56/422331556.db2.gz VRJGOBOOBICVNC-CZUORRHYSA-N 1 2 320.393 1.831 20 30 DDEDLO N#CC1(CNC(=O)N2CC[NH+](Cc3cccc(F)c3)CC2)CC1 ZINC000576416474 422374202 /nfs/dbraw/zinc/37/42/02/422374202.db2.gz AFISIVCNEUFJLC-UHFFFAOYSA-N 1 2 316.380 1.957 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000635766463 422325351 /nfs/dbraw/zinc/32/53/51/422325351.db2.gz NRBNBLNYTVXHFT-QWRGUYRKSA-N 1 2 305.300 1.677 20 30 DDEDLO N#CCCn1ccc(NC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)n1 ZINC000610325976 422466424 /nfs/dbraw/zinc/46/64/24/422466424.db2.gz MEUNOCOKDGWSKU-CYBMUJFWSA-N 1 2 313.365 1.862 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000629436842 422672349 /nfs/dbraw/zinc/67/23/49/422672349.db2.gz ZYQXDCDPDXBZIP-KRWDZBQOSA-N 1 2 315.417 1.592 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000629436842 422672353 /nfs/dbraw/zinc/67/23/53/422672353.db2.gz ZYQXDCDPDXBZIP-KRWDZBQOSA-N 1 2 315.417 1.592 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(CC)c2ccccc2)nn1 ZINC000640774548 423178708 /nfs/dbraw/zinc/17/87/08/423178708.db2.gz NTZCNKWKGGOWGI-UHFFFAOYSA-N 1 2 311.389 1.444 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc3ccccc3c(=O)[nH]2)nn1 ZINC000640789162 423186306 /nfs/dbraw/zinc/18/63/06/423186306.db2.gz HGKIJJBPDBJORX-UHFFFAOYSA-N 1 2 308.345 1.088 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccc3c(c2)CCC3)nn1 ZINC000640799501 423197545 /nfs/dbraw/zinc/19/75/45/423197545.db2.gz RFYXFTULSMGVNX-UHFFFAOYSA-N 1 2 323.400 1.518 20 30 DDEDLO Cn1c[nH+]cc1CNS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000349772789 269936568 /nfs/dbraw/zinc/93/65/68/269936568.db2.gz FACNSWLJSXURFB-UHFFFAOYSA-N 1 2 310.766 1.424 20 30 DDEDLO C[N@H+](CCOCC1CC1)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000088599660 263333978 /nfs/dbraw/zinc/33/39/78/263333978.db2.gz ZCJWUESSUWTXPM-UHFFFAOYSA-N 1 2 322.430 1.690 20 30 DDEDLO C[N@@H+](CCOCC1CC1)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000088599660 263333980 /nfs/dbraw/zinc/33/39/80/263333980.db2.gz ZCJWUESSUWTXPM-UHFFFAOYSA-N 1 2 322.430 1.690 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H](c3nc(C)no3)C2)nn1 ZINC000653504778 423503426 /nfs/dbraw/zinc/50/34/26/423503426.db2.gz IUSMBYZMZICWIK-ZDUSSCGKSA-N 1 2 302.382 1.925 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H](c3nc(C)no3)C2)nn1 ZINC000653504778 423503432 /nfs/dbraw/zinc/50/34/32/423503432.db2.gz IUSMBYZMZICWIK-ZDUSSCGKSA-N 1 2 302.382 1.925 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@@H](c3cc(=O)[nH]c(=O)[nH]3)C2)nn1 ZINC000639714571 423682270 /nfs/dbraw/zinc/68/22/70/423682270.db2.gz VWTVMIYERIADDX-LLVKDONJSA-N 1 2 316.365 1.045 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@@H](c3cc(=O)[nH]c(=O)[nH]3)C2)nn1 ZINC000639714571 423682276 /nfs/dbraw/zinc/68/22/76/423682276.db2.gz VWTVMIYERIADDX-LLVKDONJSA-N 1 2 316.365 1.045 20 30 DDEDLO C=CCCNC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000661572239 423908658 /nfs/dbraw/zinc/90/86/58/423908658.db2.gz YASKVUODPAXRLR-UHFFFAOYSA-N 1 2 303.406 1.969 20 30 DDEDLO C=CCCS(=O)(=O)N[C@@H]1C[C@@H]([NH+]2CCOCC2)C12CCC2 ZINC000660036983 424479397 /nfs/dbraw/zinc/47/93/97/424479397.db2.gz XWBODQXECNYLPM-ZIAGYGMSSA-N 1 2 314.451 1.125 20 30 DDEDLO C=C(C)COCCNC(=O)N[C@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000664443535 424591820 /nfs/dbraw/zinc/59/18/20/424591820.db2.gz JHBMAUMJLNTBER-CYBMUJFWSA-N 1 2 324.425 1.372 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCc2c(cccc2C(N)=O)C1 ZINC000662301278 424557786 /nfs/dbraw/zinc/55/77/86/424557786.db2.gz QMFFQGPHMKBFOT-UHFFFAOYSA-N 1 2 313.401 1.344 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCc2c(cccc2C(N)=O)C1 ZINC000662301278 424557790 /nfs/dbraw/zinc/55/77/90/424557790.db2.gz QMFFQGPHMKBFOT-UHFFFAOYSA-N 1 2 313.401 1.344 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(c2cccc(F)c2F)CC1 ZINC000660682294 424745717 /nfs/dbraw/zinc/74/57/17/424745717.db2.gz FLQPSQNZFLBCTA-UHFFFAOYSA-N 1 2 309.360 1.779 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(c2cccc(F)c2F)CC1 ZINC000660682294 424745718 /nfs/dbraw/zinc/74/57/18/424745718.db2.gz FLQPSQNZFLBCTA-UHFFFAOYSA-N 1 2 309.360 1.779 20 30 DDEDLO COc1ncccc1C[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000374618656 266909725 /nfs/dbraw/zinc/90/97/25/266909725.db2.gz HXOVRYNIIORNHD-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[C@@H]1C[C@H](CO)CC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000344124584 267090052 /nfs/dbraw/zinc/09/00/52/267090052.db2.gz GMFIFTIWJFNRSW-UKRRQHHQSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1C[C@H](CO)CC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000344124584 267090057 /nfs/dbraw/zinc/09/00/57/267090057.db2.gz GMFIFTIWJFNRSW-UKRRQHHQSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1C(=O)c1sccc1C#N ZINC000365646891 267108905 /nfs/dbraw/zinc/10/89/05/267108905.db2.gz UPQMOOSRSNDWDI-DGCLKSJQSA-N 1 2 305.403 1.555 20 30 DDEDLO C[C@H]1OCC[C@]12C[N@H+](CC(=O)Nc1ccc(C#N)cc1)CCO2 ZINC000369299697 267247534 /nfs/dbraw/zinc/24/75/34/267247534.db2.gz VNOKCANCGPCUPP-DYVFJYSZSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H]1OCC[C@]12C[N@@H+](CC(=O)Nc1ccc(C#N)cc1)CCO2 ZINC000369299697 267247537 /nfs/dbraw/zinc/24/75/37/267247537.db2.gz VNOKCANCGPCUPP-DYVFJYSZSA-N 1 2 315.373 1.377 20 30 DDEDLO C[N@H+](Cc1ncc(C#N)cn1)C1CC(NC(=O)OC(C)(C)C)C1 ZINC000497301665 267323038 /nfs/dbraw/zinc/32/30/38/267323038.db2.gz SDHVPLVBIVYZKP-UHFFFAOYSA-N 1 2 317.393 1.836 20 30 DDEDLO C[N@@H+](Cc1ncc(C#N)cn1)C1CC(NC(=O)OC(C)(C)C)C1 ZINC000497301665 267323042 /nfs/dbraw/zinc/32/30/42/267323042.db2.gz SDHVPLVBIVYZKP-UHFFFAOYSA-N 1 2 317.393 1.836 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[NH+](C3(C(N)=O)CCCC3)CC2)n1 ZINC000371382968 267376139 /nfs/dbraw/zinc/37/61/39/267376139.db2.gz BGOSLCSMWXKRIV-UHFFFAOYSA-N 1 2 313.405 1.182 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)nc1Cl ZINC000366290309 268046315 /nfs/dbraw/zinc/04/63/15/268046315.db2.gz UHFGPPCQAXOORC-VIFPVBQESA-N 1 2 301.737 1.617 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)nc1Cl ZINC000366290309 268046318 /nfs/dbraw/zinc/04/63/18/268046318.db2.gz UHFGPPCQAXOORC-VIFPVBQESA-N 1 2 301.737 1.617 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CCOCC(F)(F)F)CC2)cn1 ZINC000365730532 268116340 /nfs/dbraw/zinc/11/63/40/268116340.db2.gz AUAHLKNWFNQIFT-UHFFFAOYSA-N 1 2 314.311 1.654 20 30 DDEDLO N#Cc1cccc(CNC(=O)CN2CCC[C@H]2c2[nH]cc[nH+]2)c1 ZINC000376165868 268249990 /nfs/dbraw/zinc/24/99/90/268249990.db2.gz JEJVZKTXECQRKX-HNNXBMFYSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@@H](c2nnc[nH]2)C1 ZINC000374551376 268307910 /nfs/dbraw/zinc/30/79/10/268307910.db2.gz WMEIUYPRUOKGRS-LLVKDONJSA-N 1 2 316.390 1.556 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@@H](c2nnc[nH]2)C1 ZINC000374551376 268307912 /nfs/dbraw/zinc/30/79/12/268307912.db2.gz WMEIUYPRUOKGRS-LLVKDONJSA-N 1 2 316.390 1.556 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCNC(=O)[C@@H]1CC(C)C ZINC000189792621 295076886 /nfs/dbraw/zinc/07/68/86/295076886.db2.gz WQCQCUMEZBSOFZ-HNNXBMFYSA-N 1 2 301.390 1.913 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCNC(=O)[C@@H]1CC(C)C ZINC000189792621 295076889 /nfs/dbraw/zinc/07/68/89/295076889.db2.gz WQCQCUMEZBSOFZ-HNNXBMFYSA-N 1 2 301.390 1.913 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CCC(CCO)CC2)cc1 ZINC000401044729 302371440 /nfs/dbraw/zinc/37/14/40/302371440.db2.gz QKPBJSZTVLVGCG-UHFFFAOYSA-N 1 2 322.430 1.426 20 30 DDEDLO Cc1cc(NCC(C)(C)[NH+]2CCS(=O)CC2)cc(C#N)n1 ZINC000561210898 307923941 /nfs/dbraw/zinc/92/39/41/307923941.db2.gz QOYPYBDOXLCLGY-UHFFFAOYSA-N 1 2 306.435 1.517 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)NCC1CC[NH+](CC(F)F)CC1 ZINC000576836605 308343736 /nfs/dbraw/zinc/34/37/36/308343736.db2.gz JFVHGGSUDLWGMZ-UHFFFAOYSA-N 1 2 310.348 1.902 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)NCCc1cn2ccccc2[nH+]1 ZINC000569354932 332028152 /nfs/dbraw/zinc/02/81/52/332028152.db2.gz COUSYJWEEYOHKL-ZFWWWQNUSA-N 1 2 314.389 1.767 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1cc(-c2cccs2)on1 ZINC000111181659 332301948 /nfs/dbraw/zinc/30/19/48/332301948.db2.gz LFKZVXFBOGNRRX-UHFFFAOYSA-N 1 2 322.390 1.524 20 30 DDEDLO Cc1cnn(-c2ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc2)c1 ZINC000569698266 332663363 /nfs/dbraw/zinc/66/33/63/332663363.db2.gz OBZKFUOFQXIFGO-KRWDZBQOSA-N 1 2 311.389 1.754 20 30 DDEDLO C[C@@H](NC(=O)c1ccccc1OCC#N)[C@H](C)[NH+]1CCOCC1 ZINC000170887265 333041978 /nfs/dbraw/zinc/04/19/78/333041978.db2.gz PEFZDAVPLGOFTO-KGLIPLIRSA-N 1 2 317.389 1.428 20 30 DDEDLO CO[C@@H](C)c1nc(C)c(C(=O)N[C@](C)(C#N)C[NH+](C)C)s1 ZINC000580332783 333329155 /nfs/dbraw/zinc/32/91/55/333329155.db2.gz DGIQUZATAJQUHF-IINYFYTJSA-N 1 2 310.423 1.733 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(C(=O)CC2CCCCC2)CC1 ZINC000101555933 334329682 /nfs/dbraw/zinc/32/96/82/334329682.db2.gz GBCDNYLLXGOWKR-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)NCc1cn2c([nH+]1)CCCC2 ZINC000519621412 335882308 /nfs/dbraw/zinc/88/23/08/335882308.db2.gz JKVQSPWOXTWQAB-CZUORRHYSA-N 1 2 318.421 2.000 20 30 DDEDLO C[C@H]1C[C@@H](NS(=O)(=O)c2ccccc2C#N)c2[nH+]ccn21 ZINC000582468186 337093926 /nfs/dbraw/zinc/09/39/26/337093926.db2.gz VYEMQVUEKJFOKA-CMPLNLGQSA-N 1 2 302.359 1.739 20 30 DDEDLO C=C(C)CN(C)C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000180750808 337177617 /nfs/dbraw/zinc/17/76/17/337177617.db2.gz NMYMQMJBMXICSH-OAHLLOKOSA-N 1 2 313.467 1.412 20 30 DDEDLO Cc1ccc2nc(C[NH2+]Cc3cnc4c(C#N)cnn4c3)cn2c1 ZINC000583159963 337247934 /nfs/dbraw/zinc/24/79/34/337247934.db2.gz ITQBKCLVJXDUCL-UHFFFAOYSA-N 1 2 317.356 1.847 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000583244573 337285939 /nfs/dbraw/zinc/28/59/39/337285939.db2.gz WHPVYHVWBJBWEA-MJBXVCDLSA-N 1 2 304.394 1.441 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000583244573 337285940 /nfs/dbraw/zinc/28/59/40/337285940.db2.gz WHPVYHVWBJBWEA-MJBXVCDLSA-N 1 2 304.394 1.441 20 30 DDEDLO Cc1ccc(-n2cccn2)cc1NC[C@H](O)C[N@H+](C)CCC#N ZINC000516175714 338005876 /nfs/dbraw/zinc/00/58/76/338005876.db2.gz HMZZSQMUPWQFNS-INIZCTEOSA-N 1 2 313.405 1.799 20 30 DDEDLO Cc1ccc(-n2cccn2)cc1NC[C@H](O)C[N@@H+](C)CCC#N ZINC000516175714 338005877 /nfs/dbraw/zinc/00/58/77/338005877.db2.gz HMZZSQMUPWQFNS-INIZCTEOSA-N 1 2 313.405 1.799 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000131411575 341085183 /nfs/dbraw/zinc/08/51/83/341085183.db2.gz QGPJFFNJXASRCB-UHFFFAOYSA-N 1 2 302.422 1.833 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@]2(CCCCO2)[C@H]1C#N ZINC000548728898 341270984 /nfs/dbraw/zinc/27/09/84/341270984.db2.gz QDRCPTFQQUYPHX-GDBMZVCRSA-N 1 2 302.378 1.416 20 30 DDEDLO C=CCCCS(=O)(=O)N[C@@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000574255608 341753340 /nfs/dbraw/zinc/75/33/40/341753340.db2.gz BEZBRSQKTUQDSK-CABCVRRESA-N 1 2 316.467 1.515 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnnc(-c2ccccc2)c1 ZINC000576758899 341861845 /nfs/dbraw/zinc/86/18/45/341861845.db2.gz KXMPQBOZVFLAQD-KRWDZBQOSA-N 1 2 309.373 1.717 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cc(COC)cs2)CC1 ZINC000667491999 484632384 /nfs/dbraw/zinc/63/23/84/484632384.db2.gz QKVZCPDVYOLIOO-UHFFFAOYSA-N 1 2 323.462 1.314 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CC[NH+](Cc2cc(C)ccc2OC)CC1 ZINC000665479967 484879002 /nfs/dbraw/zinc/87/90/02/484879002.db2.gz KHBQNEOCPVTDNX-OAHLLOKOSA-N 1 2 304.390 1.195 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000671395333 485009680 /nfs/dbraw/zinc/00/96/80/485009680.db2.gz CNLVZFZUKUBHFD-UHFFFAOYSA-N 1 2 315.417 1.543 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N[C@H]1CCC[N@H+](Cc2c(F)cccc2F)C1 ZINC000668574431 485221508 /nfs/dbraw/zinc/22/15/08/485221508.db2.gz WGGCXJFTUMHFNT-YVEFUNNKSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N[C@H]1CCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC000668574431 485221514 /nfs/dbraw/zinc/22/15/14/485221514.db2.gz WGGCXJFTUMHFNT-YVEFUNNKSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(c2nnc(C(F)(F)F)s2)CC1 ZINC000681601547 486468439 /nfs/dbraw/zinc/46/84/39/486468439.db2.gz LCNYJHYIBDPQMH-VIFPVBQESA-N 1 2 322.356 1.616 20 30 DDEDLO COC(=O)c1cc(F)c(C)c(OC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000414157018 533841271 /nfs/dbraw/zinc/84/12/71/533841271.db2.gz XDFVLIHUMFNMFA-ZDUSSCGKSA-N 1 2 324.352 1.506 20 30 DDEDLO COC(=O)c1cc(F)c(C)c(OC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000414157018 533841276 /nfs/dbraw/zinc/84/12/76/533841276.db2.gz XDFVLIHUMFNMFA-ZDUSSCGKSA-N 1 2 324.352 1.506 20 30 DDEDLO COc1ccc(NC(=O)C[NH2+][C@H]2CCC[C@H]2C#N)c(OC)c1 ZINC000459445116 534082319 /nfs/dbraw/zinc/08/23/19/534082319.db2.gz BNEKDUJPNKDMAQ-AAEUAGOBSA-N 1 2 303.362 1.924 20 30 DDEDLO Cc1ccn(C2CC[NH+]([C@H](C(N)=O)c3ccc(C#N)cc3)CC2)n1 ZINC000434497408 534320039 /nfs/dbraw/zinc/32/00/39/534320039.db2.gz NJUCZIXBRYRHLW-KRWDZBQOSA-N 1 2 323.400 1.927 20 30 DDEDLO C=CCN(CCOC)C(=O)[C@H]1CN(c2cccc[nH+]2)C[C@@H]1C ZINC000346667815 526295072 /nfs/dbraw/zinc/29/50/72/526295072.db2.gz GUXKIFOVVKTYTI-GJZGRUSLSA-N 1 2 303.406 1.815 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccc(C)cc2F)C1 ZINC000330951065 526402167 /nfs/dbraw/zinc/40/21/67/526402167.db2.gz PIISNDGKWUUOTP-NSHDSACASA-N 1 2 308.357 1.280 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C)cc2F)C1 ZINC000330951065 526402171 /nfs/dbraw/zinc/40/21/71/526402171.db2.gz PIISNDGKWUUOTP-NSHDSACASA-N 1 2 308.357 1.280 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(C(=O)C(C)(C)C)CC2(C)C)C1=O ZINC000337193226 526480228 /nfs/dbraw/zinc/48/02/28/526480228.db2.gz VFLGRUQDVQIEKN-CQSZACIVSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(C(=O)C(C)(C)C)CC2(C)C)C1=O ZINC000337193226 526480230 /nfs/dbraw/zinc/48/02/30/526480230.db2.gz VFLGRUQDVQIEKN-CQSZACIVSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N2[C@@H](C)CCC[C@@H]2C)C1=O ZINC000337202393 526498863 /nfs/dbraw/zinc/49/88/63/526498863.db2.gz DYGKLDCBGSAAOO-KKUMJFAQSA-N 1 2 307.438 1.495 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N2[C@@H](C)CCC[C@@H]2C)C1=O ZINC000337202393 526498868 /nfs/dbraw/zinc/49/88/68/526498868.db2.gz DYGKLDCBGSAAOO-KKUMJFAQSA-N 1 2 307.438 1.495 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](CCOC)Cc2c(C)nn(C)c2C)C1=O ZINC000459569577 526504555 /nfs/dbraw/zinc/50/45/55/526504555.db2.gz CVFCNWJHSYPEOV-INIZCTEOSA-N 1 2 320.437 1.272 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](CCOC)Cc2c(C)nn(C)c2C)C1=O ZINC000459569577 526504560 /nfs/dbraw/zinc/50/45/60/526504560.db2.gz CVFCNWJHSYPEOV-INIZCTEOSA-N 1 2 320.437 1.272 20 30 DDEDLO CC(=O)NCC1CC[NH+](Cc2c(C#N)cccc2[N+](=O)[O-])CC1 ZINC000459305985 526727789 /nfs/dbraw/zinc/72/77/89/526727789.db2.gz PQHQFEPDKGCLTP-UHFFFAOYSA-N 1 2 316.361 1.815 20 30 DDEDLO C=CCOCC[NH+]1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000338888329 526772829 /nfs/dbraw/zinc/77/28/29/526772829.db2.gz QBKGCKCCBCWUPN-UHFFFAOYSA-N 1 2 310.419 1.196 20 30 DDEDLO C#CCN(C)c1cnc(-c2noc(CCn3cc[nH+]c3)n2)cn1 ZINC000491728259 526834116 /nfs/dbraw/zinc/83/41/16/526834116.db2.gz ASDPELOCTYWIMN-UHFFFAOYSA-N 1 2 309.333 1.035 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N(CCC#N)CC(C)(C)C ZINC000339274028 526893196 /nfs/dbraw/zinc/89/31/96/526893196.db2.gz JPTWCHDWBWNCFD-CQSZACIVSA-N 1 2 319.409 1.245 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N(CCC#N)CC(C)(C)C ZINC000339274028 526893201 /nfs/dbraw/zinc/89/32/01/526893201.db2.gz JPTWCHDWBWNCFD-CQSZACIVSA-N 1 2 319.409 1.245 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN2c3ccccc3OC[C@H]2C)CC1 ZINC000490840432 526949714 /nfs/dbraw/zinc/94/97/14/526949714.db2.gz OGVLVEQAGFVIRB-OAHLLOKOSA-N 1 2 313.401 1.051 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2c(C)cccc2SC)CC1 ZINC000490983509 526951715 /nfs/dbraw/zinc/95/17/15/526951715.db2.gz AESMWBTVSKJURC-UHFFFAOYSA-N 1 2 317.458 1.906 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C)nc2CC)CC1 ZINC000490740378 526951928 /nfs/dbraw/zinc/95/19/28/526951928.db2.gz KRLSSZSTUMILIQ-UHFFFAOYSA-N 1 2 301.390 1.109 20 30 DDEDLO C#CC[NH+]1CCN(CN2Cc3c(cccc3Cl)C2=O)CC1 ZINC000491070427 526953547 /nfs/dbraw/zinc/95/35/47/526953547.db2.gz BKXROQPWCRGIPT-UHFFFAOYSA-N 1 2 303.793 1.504 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000341847072 527174802 /nfs/dbraw/zinc/17/48/02/527174802.db2.gz VVUNLCVOIBDPDO-UONOGXRCSA-N 1 2 304.394 1.144 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1Cc1ccc(OCCOCCOC)cc1 ZINC000491338820 527312700 /nfs/dbraw/zinc/31/27/00/527312700.db2.gz LQRWXBJENMTXKD-QGZVFWFLSA-N 1 2 319.401 1.562 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1Cc1ccc(OCCOCCOC)cc1 ZINC000491338820 527312704 /nfs/dbraw/zinc/31/27/04/527312704.db2.gz LQRWXBJENMTXKD-QGZVFWFLSA-N 1 2 319.401 1.562 20 30 DDEDLO C=CCC[N@@H+](C)CC(=O)N(CCCC)[C@@H]1CCS(=O)(=O)C1 ZINC000342728285 527318289 /nfs/dbraw/zinc/31/82/89/527318289.db2.gz WCLKTDPKMPJFGD-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCC[N@H+](C)CC(=O)N(CCCC)[C@@H]1CCS(=O)(=O)C1 ZINC000342728285 527318292 /nfs/dbraw/zinc/31/82/92/527318292.db2.gz WCLKTDPKMPJFGD-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000491255833 527324756 /nfs/dbraw/zinc/32/47/56/527324756.db2.gz YEIZEOBWEHVQDW-LBPRGKRZSA-N 1 2 302.378 1.712 20 30 DDEDLO C=CCCOCCNC(=O)N1CCN(c2c[nH+]ccc2C)CC1 ZINC000346186269 527350730 /nfs/dbraw/zinc/35/07/30/527350730.db2.gz YQWGSPBWBVCUCA-UHFFFAOYSA-N 1 2 318.421 1.814 20 30 DDEDLO C=CCn1cc(C[NH2+][C@@H](C)c2ccc(S(C)(=O)=O)cc2)nn1 ZINC000424142361 527374499 /nfs/dbraw/zinc/37/44/99/527374499.db2.gz POVGOAMFKBGPIR-LBPRGKRZSA-N 1 2 320.418 1.718 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)NC[C@H]2CCCOC2)CC1 ZINC000330241000 527500991 /nfs/dbraw/zinc/50/09/91/527500991.db2.gz FNOSTEPRXPSWGF-GFCCVEGCSA-N 1 2 312.414 1.258 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@@H+]1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC000330746224 528020692 /nfs/dbraw/zinc/02/06/92/528020692.db2.gz RVKFVJDWDTZHDX-LBPRGKRZSA-N 1 2 322.413 1.123 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@H+]1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC000330746224 528020697 /nfs/dbraw/zinc/02/06/97/528020697.db2.gz RVKFVJDWDTZHDX-LBPRGKRZSA-N 1 2 322.413 1.123 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@@H+]1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000330746224 528020704 /nfs/dbraw/zinc/02/07/04/528020704.db2.gz RVKFVJDWDTZHDX-LBPRGKRZSA-N 1 2 322.413 1.123 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@H+]1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000330746224 528020708 /nfs/dbraw/zinc/02/07/08/528020708.db2.gz RVKFVJDWDTZHDX-LBPRGKRZSA-N 1 2 322.413 1.123 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000330746224 528020715 /nfs/dbraw/zinc/02/07/15/528020715.db2.gz RVKFVJDWDTZHDX-LBPRGKRZSA-N 1 2 322.413 1.123 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000330746224 528020717 /nfs/dbraw/zinc/02/07/17/528020717.db2.gz RVKFVJDWDTZHDX-LBPRGKRZSA-N 1 2 322.413 1.123 20 30 DDEDLO CCNC(=O)NC(=O)C[NH+]1CCC(F)(c2ccccn2)CC1 ZINC000330868242 528827210 /nfs/dbraw/zinc/82/72/10/528827210.db2.gz YKTXCICQFSFRHR-UHFFFAOYSA-N 1 2 308.357 1.392 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)/C=C\c2cccc(C#N)c2)CCO1 ZINC000493384492 529291825 /nfs/dbraw/zinc/29/18/25/529291825.db2.gz KNYFPBFCBRRQPM-TUYSUELWSA-N 1 2 313.401 1.798 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)/C=C\c2cccc(C#N)c2)CCO1 ZINC000493384492 529291829 /nfs/dbraw/zinc/29/18/29/529291829.db2.gz KNYFPBFCBRRQPM-TUYSUELWSA-N 1 2 313.401 1.798 20 30 DDEDLO C#CCNC(=O)[C@@H](C)OC(=O)[C@@H](c1ccccc1)[NH+](CC)CC ZINC000744995993 699968431 /nfs/dbraw/zinc/96/84/31/699968431.db2.gz IRDJARYUKXJDNZ-GDBMZVCRSA-N 1 2 316.401 1.751 20 30 DDEDLO C#CCNC(=O)[C@@H](C)OC(=O)[C@@H](c1ccccc1)[N@@H+](C)CC ZINC000745102105 699971950 /nfs/dbraw/zinc/97/19/50/699971950.db2.gz UHVGUMHVLWDNCH-UKRRQHHQSA-N 1 2 302.374 1.361 20 30 DDEDLO C#CCNC(=O)[C@@H](C)OC(=O)[C@@H](c1ccccc1)[N@H+](C)CC ZINC000745102105 699971951 /nfs/dbraw/zinc/97/19/51/699971951.db2.gz UHVGUMHVLWDNCH-UKRRQHHQSA-N 1 2 302.374 1.361 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3oc(C)nc3C)C2)C1 ZINC000972317441 695214660 /nfs/dbraw/zinc/21/46/60/695214660.db2.gz VNUHZTLKWCWGPL-INIZCTEOSA-N 1 2 305.378 1.394 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3oc(C)nc3C)C2)C1 ZINC000972317441 695214663 /nfs/dbraw/zinc/21/46/63/695214663.db2.gz VNUHZTLKWCWGPL-INIZCTEOSA-N 1 2 305.378 1.394 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3nn(C)cc3Cl)C2)C1 ZINC000972398789 695239870 /nfs/dbraw/zinc/23/98/70/695239870.db2.gz YLWRDGLDVVFYJP-HNNXBMFYSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3nn(C)cc3Cl)C2)C1 ZINC000972398789 695239871 /nfs/dbraw/zinc/23/98/71/695239871.db2.gz YLWRDGLDVVFYJP-HNNXBMFYSA-N 1 2 324.812 1.176 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(F)ccc3C)C2)C1 ZINC000972488496 695263381 /nfs/dbraw/zinc/26/33/81/695263381.db2.gz ABXJUHPBDYKGQZ-SFHVURJKSA-N 1 2 316.376 1.684 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(F)ccc3C)C2)C1 ZINC000972488496 695263382 /nfs/dbraw/zinc/26/33/82/695263382.db2.gz ABXJUHPBDYKGQZ-SFHVURJKSA-N 1 2 316.376 1.684 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3C3CC3)C2)C1 ZINC000972523663 695272504 /nfs/dbraw/zinc/27/25/04/695272504.db2.gz KKXYKCGRCOUWGE-SFHVURJKSA-N 1 2 313.401 1.442 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3C3CC3)C2)C1 ZINC000972523663 695272507 /nfs/dbraw/zinc/27/25/07/695272507.db2.gz KKXYKCGRCOUWGE-SFHVURJKSA-N 1 2 313.401 1.442 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3=CCOCC3)C2)C1 ZINC000972538305 695276084 /nfs/dbraw/zinc/27/60/84/695276084.db2.gz RCCWTNRANOEQBZ-GOSISDBHSA-N 1 2 318.417 1.050 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3=CCOCC3)C2)C1 ZINC000972538305 695276086 /nfs/dbraw/zinc/27/60/86/695276086.db2.gz RCCWTNRANOEQBZ-GOSISDBHSA-N 1 2 318.417 1.050 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3nc(C)oc3C)C2)C1 ZINC000972611213 695298205 /nfs/dbraw/zinc/29/82/05/695298205.db2.gz DLSKCTJBMGFOCM-MRXNPFEDSA-N 1 2 305.378 1.394 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3nc(C)oc3C)C2)C1 ZINC000972611213 695298206 /nfs/dbraw/zinc/29/82/06/695298206.db2.gz DLSKCTJBMGFOCM-MRXNPFEDSA-N 1 2 305.378 1.394 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(OC)o3)C2)C1 ZINC000972611268 695298268 /nfs/dbraw/zinc/29/82/68/695298268.db2.gz FCMNHQJHNXBXMF-MRXNPFEDSA-N 1 2 306.362 1.391 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(OC)o3)C2)C1 ZINC000972611268 695298269 /nfs/dbraw/zinc/29/82/69/695298269.db2.gz FCMNHQJHNXBXMF-MRXNPFEDSA-N 1 2 306.362 1.391 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3nc(C)oc3C)C2)C1 ZINC000972612538 695298704 /nfs/dbraw/zinc/29/87/04/695298704.db2.gz TYSGGFBGPHVTEX-QGZVFWFLSA-N 1 2 317.389 1.232 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3nc(C)oc3C)C2)C1 ZINC000972612538 695298707 /nfs/dbraw/zinc/29/87/07/695298707.db2.gz TYSGGFBGPHVTEX-QGZVFWFLSA-N 1 2 317.389 1.232 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C3CC3)C3CC3)C2)C1 ZINC000972634050 695304526 /nfs/dbraw/zinc/30/45/26/695304526.db2.gz JUCZRQBTESSCFC-GOSISDBHSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C3CC3)C3CC3)C2)C1 ZINC000972634050 695304528 /nfs/dbraw/zinc/30/45/28/695304528.db2.gz JUCZRQBTESSCFC-GOSISDBHSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)COc3ccccc3)C2)C1 ZINC000972654553 695309990 /nfs/dbraw/zinc/30/99/90/695309990.db2.gz RSNZRKWFOAOEFP-GOSISDBHSA-N 1 2 316.401 1.555 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)COc3ccccc3)C2)C1 ZINC000972654553 695309992 /nfs/dbraw/zinc/30/99/92/695309992.db2.gz RSNZRKWFOAOEFP-GOSISDBHSA-N 1 2 316.401 1.555 20 30 DDEDLO C=CCn1c(C)nn(C[N@H+]2CC[C@](C)(C(=O)NC)C2)c1=S ZINC000797413975 700008062 /nfs/dbraw/zinc/00/80/62/700008062.db2.gz JZFZTIQUSWUNTG-AWEZNQCLSA-N 1 2 309.439 1.324 20 30 DDEDLO C=CCn1c(C)nn(C[N@@H+]2CC[C@](C)(C(=O)NC)C2)c1=S ZINC000797413975 700008065 /nfs/dbraw/zinc/00/80/65/700008065.db2.gz JZFZTIQUSWUNTG-AWEZNQCLSA-N 1 2 309.439 1.324 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@@H](NC(=O)Cc3c[nH+]cn3C)C2)C1 ZINC000974326731 695655368 /nfs/dbraw/zinc/65/53/68/695655368.db2.gz DGSRZHSFSHKMSF-BETUJISGSA-N 1 2 316.405 1.082 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CN(CC#N)CC3(C)C)ccn12 ZINC000974582231 695690586 /nfs/dbraw/zinc/69/05/86/695690586.db2.gz JXHYOAKFCWOFQR-CQSZACIVSA-N 1 2 311.389 1.607 20 30 DDEDLO CON(C)C(=O)C[N@@H+]1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000746499007 700027525 /nfs/dbraw/zinc/02/75/25/700027525.db2.gz CKQACDUUWGNBRV-UHFFFAOYSA-N 1 2 316.405 1.086 20 30 DDEDLO CON(C)C(=O)C[N@H+]1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000746499007 700027526 /nfs/dbraw/zinc/02/75/26/700027526.db2.gz CKQACDUUWGNBRV-UHFFFAOYSA-N 1 2 316.405 1.086 20 30 DDEDLO C#CCNC(=O)C[N@@H+](Cc1cc(OC)ccc1OC)C1CC1 ZINC000027604436 696100230 /nfs/dbraw/zinc/10/02/30/696100230.db2.gz WGQHIQDMCFKVIL-UHFFFAOYSA-N 1 2 302.374 1.418 20 30 DDEDLO C#CCNC(=O)C[N@H+](Cc1cc(OC)ccc1OC)C1CC1 ZINC000027604436 696100231 /nfs/dbraw/zinc/10/02/31/696100231.db2.gz WGQHIQDMCFKVIL-UHFFFAOYSA-N 1 2 302.374 1.418 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)N2C[C@@H](C)C[C@H](C)C2)cc1 ZINC000054230080 696274154 /nfs/dbraw/zinc/27/41/54/696274154.db2.gz ZBYPZYZQNQJFHO-STQMWFEESA-N 1 2 319.405 1.837 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H]([C@H](C)[NH2+]Cc2csnn2)C1 ZINC000979382938 696542014 /nfs/dbraw/zinc/54/20/14/696542014.db2.gz YPXWZUHLHLTAME-AAEUAGOBSA-N 1 2 324.450 1.456 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)c2c(C)c(C)n[nH]c2=O)CC1 ZINC000981727801 696892737 /nfs/dbraw/zinc/89/27/37/696892737.db2.gz BIMLIOIGQSSXEZ-UHFFFAOYSA-N 1 2 324.812 1.699 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)c2c(C)c(C)n[nH]c2=O)CC1 ZINC000981727801 696892740 /nfs/dbraw/zinc/89/27/40/696892740.db2.gz BIMLIOIGQSSXEZ-UHFFFAOYSA-N 1 2 324.812 1.699 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC000981758726 696905038 /nfs/dbraw/zinc/90/50/38/696905038.db2.gz BRZSCVXJYNVYQA-UHFFFAOYSA-N 1 2 314.389 1.216 20 30 DDEDLO Cc1nonc1C[N@H+]1CCCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC000981758726 696905040 /nfs/dbraw/zinc/90/50/40/696905040.db2.gz BRZSCVXJYNVYQA-UHFFFAOYSA-N 1 2 314.389 1.216 20 30 DDEDLO C#CCSCCNC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000154794667 696937980 /nfs/dbraw/zinc/93/79/80/696937980.db2.gz WBLCXHFUZAFVKK-UHFFFAOYSA-N 1 2 323.487 1.942 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2CCOC[C@@H]2C)CC1 ZINC000981905718 696963879 /nfs/dbraw/zinc/96/38/79/696963879.db2.gz PHSRZFRDZMFRBZ-JSGCOSHPSA-N 1 2 300.830 1.946 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2CCOC[C@@H]2C)CC1 ZINC000981905718 696963881 /nfs/dbraw/zinc/96/38/81/696963881.db2.gz PHSRZFRDZMFRBZ-JSGCOSHPSA-N 1 2 300.830 1.946 20 30 DDEDLO N#CC1(CS(=O)(=O)NCc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000164841699 697337449 /nfs/dbraw/zinc/33/74/49/697337449.db2.gz MIWIHSLGSSGOBC-UHFFFAOYSA-N 1 2 316.386 1.595 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc(C)c(C#N)c1 ZINC000799712414 700162187 /nfs/dbraw/zinc/16/21/87/700162187.db2.gz FDFSPVFYJCUDFC-AWEZNQCLSA-N 1 2 312.329 1.104 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc(C)c(C#N)c1 ZINC000799712414 700162189 /nfs/dbraw/zinc/16/21/89/700162189.db2.gz FDFSPVFYJCUDFC-AWEZNQCLSA-N 1 2 312.329 1.104 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)c2ccccc2OCC#N)CCO1 ZINC000194920795 697725678 /nfs/dbraw/zinc/72/56/78/697725678.db2.gz OBFUKEQGEFPKMO-UHFFFAOYSA-N 1 2 317.389 1.430 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)c2ccccc2OCC#N)CCO1 ZINC000194920795 697725680 /nfs/dbraw/zinc/72/56/80/697725680.db2.gz OBFUKEQGEFPKMO-UHFFFAOYSA-N 1 2 317.389 1.430 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](Cc2ccc(-n3ccnc3)nc2)CC1 ZINC000750045799 700199757 /nfs/dbraw/zinc/19/97/57/700199757.db2.gz XGNYJJWZLZUFGZ-KRWDZBQOSA-N 1 2 324.432 1.933 20 30 DDEDLO C[C@H]1C[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)CC[C@@H]1O ZINC000778251637 698253956 /nfs/dbraw/zinc/25/39/56/698253956.db2.gz ADAACMXHSLKIQW-WFASDCNBSA-N 1 2 312.373 1.123 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)CC[C@@H]1O ZINC000778251637 698253958 /nfs/dbraw/zinc/25/39/58/698253958.db2.gz ADAACMXHSLKIQW-WFASDCNBSA-N 1 2 312.373 1.123 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@H](CNC(=O)OC(C)(C)C)C[C@@H]1C ZINC000800364964 700218386 /nfs/dbraw/zinc/21/83/86/700218386.db2.gz RCDDICZXEJKFKT-RWMBFGLXSA-N 1 2 312.410 1.949 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@H](CNC(=O)OC(C)(C)C)C[C@@H]1C ZINC000800364964 700218389 /nfs/dbraw/zinc/21/83/89/700218389.db2.gz RCDDICZXEJKFKT-RWMBFGLXSA-N 1 2 312.410 1.949 20 30 DDEDLO Cn1ccc(C[NH2+][C@H]2CN(C(=O)OC(C)(C)C)C[C@H]2C#N)c1 ZINC000779401723 698420379 /nfs/dbraw/zinc/42/03/79/698420379.db2.gz CIFKMSIQZQVBKC-KGLIPLIRSA-N 1 2 304.394 1.874 20 30 DDEDLO N#Cc1ccc(CNC(=O)N2CCC(n3cc[nH+]c3)CC2)nc1 ZINC000780644786 698542471 /nfs/dbraw/zinc/54/24/71/698542471.db2.gz INMGDQSKEVPRMA-UHFFFAOYSA-N 1 2 310.361 1.696 20 30 DDEDLO C=C(Cl)CN1CCCN(C(=O)CCc2c[nH+]cn2C)CC1 ZINC000989586381 698681910 /nfs/dbraw/zinc/68/19/10/698681910.db2.gz OFPSFABGLIBLCB-UHFFFAOYSA-N 1 2 310.829 1.640 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@@H]2C)C1 ZINC000989790817 698764051 /nfs/dbraw/zinc/76/40/51/698764051.db2.gz DUKGNIZMQKURRC-OLZOCXBDSA-N 1 2 316.405 1.414 20 30 DDEDLO O=S1(=O)CC[C@@H](NN=Cc2cc(-n3cc[nH+]c3)cs2)C1 ZINC000783769080 698876245 /nfs/dbraw/zinc/87/62/45/698876245.db2.gz CDFYIKHHIZKVRD-SNVBAGLBSA-N 1 2 310.404 1.044 20 30 DDEDLO C#CCOCCNC(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000783938057 698893724 /nfs/dbraw/zinc/89/37/24/698893724.db2.gz QZOKOQLABBYPLO-UHFFFAOYSA-N 1 2 322.434 1.900 20 30 DDEDLO C#CCNC(=O)C[N@@H+](CCC)[C@@H](C)C(=O)Nc1ccccc1C ZINC000786132601 699128689 /nfs/dbraw/zinc/12/86/89/699128689.db2.gz VURWODMYDKMRJA-HNNXBMFYSA-N 1 2 315.417 1.783 20 30 DDEDLO C#CCNC(=O)C[N@H+](CCC)[C@@H](C)C(=O)Nc1ccccc1C ZINC000786132601 699128690 /nfs/dbraw/zinc/12/86/90/699128690.db2.gz VURWODMYDKMRJA-HNNXBMFYSA-N 1 2 315.417 1.783 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)NCCC#N)c1nc(C(F)(F)F)cs1 ZINC000786152143 699129852 /nfs/dbraw/zinc/12/98/52/699129852.db2.gz DHCDUFDMYVVWKL-SSDOTTSWSA-N 1 2 306.313 1.842 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC2(C1)C[NH+](Cc1ccccc1)C2 ZINC000710077638 699253700 /nfs/dbraw/zinc/25/37/00/699253700.db2.gz SDNWYCFKBRXZBT-UHFFFAOYSA-N 1 2 318.442 1.547 20 30 DDEDLO Cc1nn2c([nH]c3ccccc3c2=O)c1C=[NH+]N[C@H]1CCOC1 ZINC000788449422 699315289 /nfs/dbraw/zinc/31/52/89/699315289.db2.gz ZCKPOKOAOWEPHF-NSHDSACASA-N 1 2 311.345 1.197 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@H]3CCc4[nH+]ccn4C3)CCC[C@@H]12 ZINC000991444420 699326270 /nfs/dbraw/zinc/32/62/70/699326270.db2.gz DYZCZYNRVWWWQP-JJRVBVJISA-N 1 2 313.405 1.082 20 30 DDEDLO CCN(C)c1ccc(C=[NH+]NC(=S)NC[C@@H]2CCCO2)cn1 ZINC000790147969 699445433 /nfs/dbraw/zinc/44/54/33/699445433.db2.gz NXALNUUQBVEVNX-ZDUSSCGKSA-N 1 2 321.450 1.515 20 30 DDEDLO CC[C@H]1CC(=O)N(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793588748 699766056 /nfs/dbraw/zinc/76/60/56/699766056.db2.gz DKSBUQMFNXHSHQ-ZFWWWQNUSA-N 1 2 314.389 1.622 20 30 DDEDLO CC[C@H]1CC(=O)N(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793588748 699766058 /nfs/dbraw/zinc/76/60/58/699766058.db2.gz DKSBUQMFNXHSHQ-ZFWWWQNUSA-N 1 2 314.389 1.622 20 30 DDEDLO C#CCOCCNC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000793803609 699779778 /nfs/dbraw/zinc/77/97/78/699779778.db2.gz DIZNRPHLSYZOHM-UHFFFAOYSA-N 1 2 307.300 1.389 20 30 DDEDLO COC(=O)[C@H](C)C[N@H+](C)[C@@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000739914826 699782847 /nfs/dbraw/zinc/78/28/47/699782847.db2.gz LMHFCGMIYNAPQJ-BXUZGUMPSA-N 1 2 304.346 1.513 20 30 DDEDLO COC(=O)[C@H](C)C[N@@H+](C)[C@@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000739914826 699782848 /nfs/dbraw/zinc/78/28/48/699782848.db2.gz LMHFCGMIYNAPQJ-BXUZGUMPSA-N 1 2 304.346 1.513 20 30 DDEDLO C[N@H+](C[C@@H](O)COc1ccc(C#N)cc1)[C@H]1CC(C)(C)OC1=O ZINC000795689161 699884076 /nfs/dbraw/zinc/88/40/76/699884076.db2.gz XTESATUZZIBFMM-HIFRSBDPSA-N 1 2 318.373 1.324 20 30 DDEDLO C[N@@H+](C[C@@H](O)COc1ccc(C#N)cc1)[C@H]1CC(C)(C)OC1=O ZINC000795689161 699884077 /nfs/dbraw/zinc/88/40/77/699884077.db2.gz XTESATUZZIBFMM-HIFRSBDPSA-N 1 2 318.373 1.324 20 30 DDEDLO COC[C@H](C#N)OC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000796004398 699906316 /nfs/dbraw/zinc/90/63/16/699906316.db2.gz RWGYTGAWBAEMDN-VIFPVBQESA-N 1 2 309.272 1.705 20 30 DDEDLO N#CCOc1ccc(C[N@@H+]2CCOC[C@@H]2[C@@H]2CCCO2)cc1 ZINC000796340769 699927515 /nfs/dbraw/zinc/92/75/15/699927515.db2.gz MELJIRNIYGEHGW-SJORKVTESA-N 1 2 302.374 1.969 20 30 DDEDLO N#CCOc1ccc(C[N@H+]2CCOC[C@@H]2[C@@H]2CCCO2)cc1 ZINC000796340769 699927517 /nfs/dbraw/zinc/92/75/17/699927517.db2.gz MELJIRNIYGEHGW-SJORKVTESA-N 1 2 302.374 1.969 20 30 DDEDLO CC(C)(C)NC(=O)C[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000796456625 699934611 /nfs/dbraw/zinc/93/46/11/699934611.db2.gz NDWKJXYYTAUXRV-UHFFFAOYSA-N 1 2 300.406 1.595 20 30 DDEDLO N#CCCNC(=O)C[N@H+]1CCCN(c2ccccc2F)CC1 ZINC000796577328 699940220 /nfs/dbraw/zinc/94/02/20/699940220.db2.gz CODUWAVLYQDGIN-UHFFFAOYSA-N 1 2 304.369 1.368 20 30 DDEDLO N#CCCNC(=O)C[N@@H+]1CCCN(c2ccccc2F)CC1 ZINC000796577328 699940222 /nfs/dbraw/zinc/94/02/22/699940222.db2.gz CODUWAVLYQDGIN-UHFFFAOYSA-N 1 2 304.369 1.368 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)O[C@H]1CC[N@H+](CCF)C1 ZINC000802242331 700388373 /nfs/dbraw/zinc/38/83/73/700388373.db2.gz ZXOATVXJEOHRLR-WFASDCNBSA-N 1 2 306.337 1.913 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)O[C@H]1CC[N@@H+](CCF)C1 ZINC000802242331 700388377 /nfs/dbraw/zinc/38/83/77/700388377.db2.gz ZXOATVXJEOHRLR-WFASDCNBSA-N 1 2 306.337 1.913 20 30 DDEDLO COC(=O)CC[N@H+](Cc1cccc(C#N)c1F)CC(OC)OC ZINC000766878341 701067906 /nfs/dbraw/zinc/06/79/06/701067906.db2.gz LSLLZTOLIUMYTA-UHFFFAOYSA-N 1 2 324.352 1.681 20 30 DDEDLO COC(=O)CC[N@@H+](Cc1cccc(C#N)c1F)CC(OC)OC ZINC000766878341 701067909 /nfs/dbraw/zinc/06/79/09/701067909.db2.gz LSLLZTOLIUMYTA-UHFFFAOYSA-N 1 2 324.352 1.681 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@H+](C)Cc3ncc(C)o3)C2)nc1 ZINC000768944056 701217696 /nfs/dbraw/zinc/21/76/96/701217696.db2.gz DCLGIUWCIYDNAU-OAHLLOKOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ncc(C)o3)C2)nc1 ZINC000768944056 701217698 /nfs/dbraw/zinc/21/76/98/701217698.db2.gz DCLGIUWCIYDNAU-OAHLLOKOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=CCN(C(=O)c1cccc2[nH+]ccn21)[C@H]1CCS(=O)(=O)C1 ZINC000770078979 701266778 /nfs/dbraw/zinc/26/67/78/701266778.db2.gz OXLFRZLBEORUKC-LBPRGKRZSA-N 1 2 319.386 1.150 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC000867796446 701755710 /nfs/dbraw/zinc/75/57/10/701755710.db2.gz ZBYBSPWGFQYXLY-HNNXBMFYSA-N 1 2 322.434 1.243 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC000867796446 701755713 /nfs/dbraw/zinc/75/57/13/701755713.db2.gz ZBYBSPWGFQYXLY-HNNXBMFYSA-N 1 2 322.434 1.243 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N(C)CC(C)(C)C#N)c(N(C)C)[nH+]1 ZINC000815801682 701937327 /nfs/dbraw/zinc/93/73/27/701937327.db2.gz CMSZMAXIXJJDTI-UHFFFAOYSA-N 1 2 317.393 1.403 20 30 DDEDLO C[C@@H]1CCN(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1=O ZINC000840075412 701953851 /nfs/dbraw/zinc/95/38/51/701953851.db2.gz HQPUTGOWQSILTQ-TZMCWYRMSA-N 1 2 300.362 1.232 20 30 DDEDLO C[C@@H]1CCN(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1=O ZINC000840075412 701953856 /nfs/dbraw/zinc/95/38/56/701953856.db2.gz HQPUTGOWQSILTQ-TZMCWYRMSA-N 1 2 300.362 1.232 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)[C@@H](c1ccccc1)[NH+]1CCSCC1 ZINC000816672062 702141192 /nfs/dbraw/zinc/14/11/92/702141192.db2.gz OUDKUYRIPZFLJW-JKSUJKDBSA-N 1 2 318.442 1.277 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](C)c1noc(COC(C)C)n1 ZINC000812379087 702172950 /nfs/dbraw/zinc/17/29/50/702172950.db2.gz QRUKLNGKJCHNCE-OLZOCXBDSA-N 1 2 320.393 1.269 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)c1noc(COC(C)C)n1 ZINC000812379087 702172955 /nfs/dbraw/zinc/17/29/55/702172955.db2.gz QRUKLNGKJCHNCE-OLZOCXBDSA-N 1 2 320.393 1.269 20 30 DDEDLO CN(CCc1cccc(C#N)c1)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000868520241 702174762 /nfs/dbraw/zinc/17/47/62/702174762.db2.gz OTHRMSSEVGTBLJ-INIZCTEOSA-N 1 2 323.400 1.954 20 30 DDEDLO Cc1cc(CNC(=O)NCCNc2ccc(C#N)cn2)cc(C)[nH+]1 ZINC000817155443 702292314 /nfs/dbraw/zinc/29/23/14/702292314.db2.gz YDPVSAYGGCHNIK-UHFFFAOYSA-N 1 2 324.388 1.876 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCc2cn(CC3CC3)nn2)ccc1F ZINC000842022654 702657368 /nfs/dbraw/zinc/65/73/68/702657368.db2.gz JBFZZMKVLJYACA-UHFFFAOYSA-N 1 2 303.341 1.973 20 30 DDEDLO COC[C@H](C[N@@H+]1CCO[C@H](C)C1)OC(=O)c1cccc(C#N)c1 ZINC000842962184 702794576 /nfs/dbraw/zinc/79/45/76/702794576.db2.gz MNHJONCCOJQLBX-CJNGLKHVSA-N 1 2 318.373 1.451 20 30 DDEDLO COC[C@H](C[N@H+]1CCO[C@H](C)C1)OC(=O)c1cccc(C#N)c1 ZINC000842962184 702794577 /nfs/dbraw/zinc/79/45/77/702794577.db2.gz MNHJONCCOJQLBX-CJNGLKHVSA-N 1 2 318.373 1.451 20 30 DDEDLO C[C@@H](CC(=O)O[C@H](C(N)=O)c1ccc(C#N)cc1)n1cc[nH+]c1 ZINC000845280702 703138334 /nfs/dbraw/zinc/13/83/34/703138334.db2.gz CSWANCOCTCXSFE-NHYWBVRUSA-N 1 2 312.329 1.476 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585027 706728204 /nfs/dbraw/zinc/72/82/04/706728204.db2.gz PRQKMUHMQSSALL-OAHLLOKOSA-N 1 2 314.433 1.841 20 30 DDEDLO COC(=O)/C(C)=C\C[NH+]1CCN(c2ccc(F)cc2C#N)CC1 ZINC000879589188 706729685 /nfs/dbraw/zinc/72/96/85/706729685.db2.gz QQGJZVSHUFZKKI-ACAGNQJTSA-N 1 2 317.364 1.939 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CN2C(=O)N[C@@](C(C)C)(C3CC3)C2=O)C1 ZINC000848493722 703557445 /nfs/dbraw/zinc/55/74/45/703557445.db2.gz KUVUSXJWWKUYMZ-DYVFJYSZSA-N 1 2 303.406 1.646 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CN2C(=O)N[C@@](C(C)C)(C3CC3)C2=O)C1 ZINC000848493722 703557447 /nfs/dbraw/zinc/55/74/47/703557447.db2.gz KUVUSXJWWKUYMZ-DYVFJYSZSA-N 1 2 303.406 1.646 20 30 DDEDLO CN1c2ccccc2N(C)C1=C1C(=[NH2+])N(C/C=C/CO)CC1=O ZINC000849174265 703623648 /nfs/dbraw/zinc/62/36/48/703623648.db2.gz PIZDEUKXMSIFEB-VKHYSXPWSA-N 1 2 312.373 1.195 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CC[NH+](C[C@@H](O)c2ccccn2)CC1 ZINC000851846266 703869357 /nfs/dbraw/zinc/86/93/57/703869357.db2.gz RLUCETAUPXKRCL-RTBURBONSA-N 1 2 322.412 1.997 20 30 DDEDLO COc1cccc([C@H]2CN(C(=O)c3cc(C#N)[nH]c3C)CC[NH2+]2)c1 ZINC000870152852 703934640 /nfs/dbraw/zinc/93/46/40/703934640.db2.gz LVOLWIOHFVPPIA-QGZVFWFLSA-N 1 2 324.384 1.990 20 30 DDEDLO COc1cccc(C[NH+]2CCN(C(=O)C(C)(C)C#N)CC2)c1 ZINC000870156016 703936813 /nfs/dbraw/zinc/93/68/13/703936813.db2.gz GPBCWXCMSSMYKM-UHFFFAOYSA-N 1 2 301.390 1.889 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1)C1CCOCC1 ZINC000852643697 704091076 /nfs/dbraw/zinc/09/10/76/704091076.db2.gz YFSLLRGMQCPGQC-HUUCEWRRSA-N 1 2 316.405 1.347 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)Nc1ccccc1C(=O)OC ZINC000852751242 704113764 /nfs/dbraw/zinc/11/37/64/704113764.db2.gz UNUGSBUVDHHZRT-UHFFFAOYSA-N 1 2 318.373 1.383 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)Nc1ccccc1C(=O)OC ZINC000852751242 704113767 /nfs/dbraw/zinc/11/37/67/704113767.db2.gz UNUGSBUVDHHZRT-UHFFFAOYSA-N 1 2 318.373 1.383 20 30 DDEDLO CC(=O)Nc1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc1C ZINC000819401876 704120796 /nfs/dbraw/zinc/12/07/96/704120796.db2.gz OIVGCTYMBJXRNS-OAHLLOKOSA-N 1 2 304.394 1.572 20 30 DDEDLO CC(=O)Nc1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1C ZINC000819401876 704120797 /nfs/dbraw/zinc/12/07/97/704120797.db2.gz OIVGCTYMBJXRNS-OAHLLOKOSA-N 1 2 304.394 1.572 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1cccc2c1OCC[C@H]2O ZINC000819471820 704131045 /nfs/dbraw/zinc/13/10/45/704131045.db2.gz WLPRSLXVLVNSCD-IUODEOHRSA-N 1 2 305.378 1.121 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1cccc2c1OCC[C@H]2O ZINC000819471820 704131047 /nfs/dbraw/zinc/13/10/47/704131047.db2.gz WLPRSLXVLVNSCD-IUODEOHRSA-N 1 2 305.378 1.121 20 30 DDEDLO C[C@@H](C(=O)Nc1nc2cc(C#N)ccc2[nH]1)[NH+]1CCSCC1 ZINC000871073033 704213363 /nfs/dbraw/zinc/21/33/63/704213363.db2.gz QJRKPSXGXJRXMX-JTQLQIEISA-N 1 2 315.402 1.810 20 30 DDEDLO C#Cc1cccnc1NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000853228212 704221639 /nfs/dbraw/zinc/22/16/39/704221639.db2.gz GVRVGWDKRBFIPY-MRXNPFEDSA-N 1 2 303.431 1.683 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)CC2(CCCCC2)C1=O ZINC000853619463 704286839 /nfs/dbraw/zinc/28/68/39/704286839.db2.gz BYIJTUGVRGZYAP-UHFFFAOYSA-N 1 2 306.406 1.625 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)CC2(CCCCC2)C1=O ZINC000853619463 704286842 /nfs/dbraw/zinc/28/68/42/704286842.db2.gz BYIJTUGVRGZYAP-UHFFFAOYSA-N 1 2 306.406 1.625 20 30 DDEDLO COc1cc(C(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)ccc1C#N ZINC000855406075 704482873 /nfs/dbraw/zinc/48/28/73/704482873.db2.gz BMBKYTRKVFNDEU-OLZOCXBDSA-N 1 2 317.389 1.406 20 30 DDEDLO COc1cc(C(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)ccc1C#N ZINC000855406075 704482874 /nfs/dbraw/zinc/48/28/74/704482874.db2.gz BMBKYTRKVFNDEU-OLZOCXBDSA-N 1 2 317.389 1.406 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2cc(C#N)ccc2F)[C@@H](C)CO1 ZINC000855408669 704483241 /nfs/dbraw/zinc/48/32/41/704483241.db2.gz XGPCVWQIBOGRGI-RYUDHWBXSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2cc(C#N)ccc2F)[C@@H](C)CO1 ZINC000855408669 704483242 /nfs/dbraw/zinc/48/32/42/704483242.db2.gz XGPCVWQIBOGRGI-RYUDHWBXSA-N 1 2 305.353 1.536 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000879938609 706826275 /nfs/dbraw/zinc/82/62/75/706826275.db2.gz DUISAJNDPBDTET-GJZGRUSLSA-N 1 2 320.414 1.433 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000879938609 706826276 /nfs/dbraw/zinc/82/62/76/706826276.db2.gz DUISAJNDPBDTET-GJZGRUSLSA-N 1 2 320.414 1.433 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccn(C3CCOCC3)n2)CC1 ZINC000859003912 704782952 /nfs/dbraw/zinc/78/29/52/704782952.db2.gz XHVASXHSCZIJPY-UHFFFAOYSA-N 1 2 316.405 1.016 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ocnc2C(F)(F)F)CC1 ZINC000874263840 704989706 /nfs/dbraw/zinc/98/97/06/704989706.db2.gz NNHWCYOVWAYDOU-UHFFFAOYSA-N 1 2 301.268 1.475 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2coc(-c3ccnc(C)c3)n2)CC1 ZINC000822934769 705087585 /nfs/dbraw/zinc/08/75/85/705087585.db2.gz HXSMSHGOKXMZSK-UHFFFAOYSA-N 1 2 324.384 1.826 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+]2CCOC[C@H]2CC)CCOCC1 ZINC000874710396 705145312 /nfs/dbraw/zinc/14/53/12/705145312.db2.gz ICWMQXNYNNCTOR-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+]2CCOC[C@H]2CC)CCOCC1 ZINC000874710396 705145317 /nfs/dbraw/zinc/14/53/17/705145317.db2.gz ICWMQXNYNNCTOR-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO CC#CCCNC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000875504835 705411401 /nfs/dbraw/zinc/41/14/01/705411401.db2.gz WDQAFAAUKMGRNB-UHFFFAOYSA-N 1 2 323.437 1.505 20 30 DDEDLO COC(=O)C1(C#N)CCN(Cc2c[nH+]c3c(C)cccn23)CC1 ZINC000824867884 705546931 /nfs/dbraw/zinc/54/69/31/705546931.db2.gz YNRCFHIHJOVIMC-UHFFFAOYSA-N 1 2 312.373 1.922 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC[N@H+]1C[C@H](C)OC[C@H]1C ZINC000824948102 705563550 /nfs/dbraw/zinc/56/35/50/705563550.db2.gz FAAJDAJENGVJLZ-KGLIPLIRSA-N 1 2 301.390 1.706 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC[N@@H+]1C[C@H](C)OC[C@H]1C ZINC000824948102 705563551 /nfs/dbraw/zinc/56/35/51/705563551.db2.gz FAAJDAJENGVJLZ-KGLIPLIRSA-N 1 2 301.390 1.706 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)CC1 ZINC000876441287 705721925 /nfs/dbraw/zinc/72/19/25/705721925.db2.gz UYCDSESHIOEVNN-QKPAOTATSA-N 1 2 307.438 1.587 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)CC1 ZINC000876441287 705721931 /nfs/dbraw/zinc/72/19/31/705721931.db2.gz UYCDSESHIOEVNN-QKPAOTATSA-N 1 2 307.438 1.587 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000862860838 705812855 /nfs/dbraw/zinc/81/28/55/705812855.db2.gz QXLNFMZNVQTUHS-ZDUSSCGKSA-N 1 2 304.394 1.475 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)C#Cc2cccs2)[C@H](C)C1 ZINC000828499342 706190234 /nfs/dbraw/zinc/19/02/34/706190234.db2.gz WDQCOIZMNNQKDY-CYBMUJFWSA-N 1 2 320.414 1.195 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)C#Cc2cccs2)[C@H](C)C1 ZINC000828499342 706190236 /nfs/dbraw/zinc/19/02/36/706190236.db2.gz WDQCOIZMNNQKDY-CYBMUJFWSA-N 1 2 320.414 1.195 20 30 DDEDLO C=CC[N@@H+](C[C@@H]1CCC(C)(C)CO1)[C@H]1CCS(=O)(=O)C1 ZINC000878242345 706336187 /nfs/dbraw/zinc/33/61/87/706336187.db2.gz PKGYLJPQFVUMAN-KBPBESRZSA-N 1 2 301.452 1.867 20 30 DDEDLO C=CC[N@H+](C[C@@H]1CCC(C)(C)CO1)[C@H]1CCS(=O)(=O)C1 ZINC000878242345 706336190 /nfs/dbraw/zinc/33/61/90/706336190.db2.gz PKGYLJPQFVUMAN-KBPBESRZSA-N 1 2 301.452 1.867 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000878251624 706338782 /nfs/dbraw/zinc/33/87/82/706338782.db2.gz PBGCYJARMGTJBZ-DGCLKSJQSA-N 1 2 320.349 1.594 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000878251624 706338785 /nfs/dbraw/zinc/33/87/85/706338785.db2.gz PBGCYJARMGTJBZ-DGCLKSJQSA-N 1 2 320.349 1.594 20 30 DDEDLO C#CC[N@@H+](C[C@@H](O)c1cc(Br)no1)C1CSC1 ZINC000878909238 706530212 /nfs/dbraw/zinc/53/02/12/706530212.db2.gz PDEMEBVAICIZNP-SECBINFHSA-N 1 2 317.208 1.521 20 30 DDEDLO C#CC[N@H+](C[C@@H](O)c1cc(Br)no1)C1CSC1 ZINC000878909238 706530219 /nfs/dbraw/zinc/53/02/19/706530219.db2.gz PDEMEBVAICIZNP-SECBINFHSA-N 1 2 317.208 1.521 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2cc(CC#N)ccn2)CCO1 ZINC000871723688 707177387 /nfs/dbraw/zinc/17/73/87/707177387.db2.gz WKQDHNIFSKENSV-UHFFFAOYSA-N 1 2 317.393 1.380 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2cc(CC#N)ccn2)CCO1 ZINC000871723688 707177388 /nfs/dbraw/zinc/17/73/88/707177388.db2.gz WKQDHNIFSKENSV-UHFFFAOYSA-N 1 2 317.393 1.380 20 30 DDEDLO CC[C@@H]([NH2+]C[C@H](O)c1ccnn1C)c1cccc(C#N)c1O ZINC000927125258 712944788 /nfs/dbraw/zinc/94/47/88/712944788.db2.gz CDRYXEWAJQTCOW-HIFRSBDPSA-N 1 2 300.362 1.772 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)C[C@]2(C)CC2(F)F)CC1 ZINC000881811514 707374605 /nfs/dbraw/zinc/37/46/05/707374605.db2.gz CFTXCWXWPXBKCK-LBPRGKRZSA-N 1 2 306.378 1.002 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(Cl)ccc1OC ZINC000884045327 708116070 /nfs/dbraw/zinc/11/60/70/708116070.db2.gz QBBRUMUBYMTVJM-JTQLQIEISA-N 1 2 312.753 1.734 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H](C)c1ccc(OC)cc1 ZINC000884067275 708124533 /nfs/dbraw/zinc/12/45/33/708124533.db2.gz BIGFBOWDVBNTSF-WFASDCNBSA-N 1 2 320.389 1.661 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H](OCCC)CC1 ZINC000884131371 708153837 /nfs/dbraw/zinc/15/38/37/708153837.db2.gz JTCADWKQSRYIOP-KBPBESRZSA-N 1 2 312.410 1.241 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2cccc(F)c2)CC1 ZINC000884150345 708161401 /nfs/dbraw/zinc/16/14/01/708161401.db2.gz JIYVRZDTIKBUSH-ZDUSSCGKSA-N 1 2 306.337 1.378 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](c1nc(C)cs1)C1CC1 ZINC000884227603 708200017 /nfs/dbraw/zinc/20/00/17/708200017.db2.gz UCPFBPWVOLIGMI-AAEUAGOBSA-N 1 2 323.418 1.465 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1nc(C2CC2)cs1 ZINC000884229874 708201438 /nfs/dbraw/zinc/20/14/38/708201438.db2.gz CODMNOSNAQCTRT-JTQLQIEISA-N 1 2 309.391 1.083 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(Cc1ccccn1)C1CC1 ZINC000884305656 708235389 /nfs/dbraw/zinc/23/53/89/708235389.db2.gz CJAIXHWQFSCXQO-AWEZNQCLSA-N 1 2 303.362 1.019 20 30 DDEDLO CC#CC[N@H+](C)Cc1c[nH]nc1CN1C(=O)c2ccccc2C1=O ZINC000897169655 708243911 /nfs/dbraw/zinc/24/39/11/708243911.db2.gz RRJMRSXPMJWUMU-UHFFFAOYSA-N 1 2 322.368 1.661 20 30 DDEDLO CC#CC[N@@H+](C)Cc1c[nH]nc1CN1C(=O)c2ccccc2C1=O ZINC000897169655 708243912 /nfs/dbraw/zinc/24/39/12/708243912.db2.gz RRJMRSXPMJWUMU-UHFFFAOYSA-N 1 2 322.368 1.661 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=Cc2cc(Br)cc(F)c2O)N1 ZINC000884447858 708301280 /nfs/dbraw/zinc/30/12/80/708301280.db2.gz LOEDGADEXNUKPN-ZCFIWIBFSA-N 1 2 315.146 1.565 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CC[C@@H]([C@H]3CCCO3)C2)c1=S ZINC000884481786 708312467 /nfs/dbraw/zinc/31/24/67/708312467.db2.gz QYKURJRPYBMVPO-VXGBXAGGSA-N 1 2 307.423 1.476 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CC[C@@H]([C@H]3CCCO3)C2)c1=S ZINC000884481786 708312471 /nfs/dbraw/zinc/31/24/71/708312471.db2.gz QYKURJRPYBMVPO-VXGBXAGGSA-N 1 2 307.423 1.476 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)N[C@H]2CC[C@@H](CC#N)C2)[nH]n1 ZINC000897889334 708483455 /nfs/dbraw/zinc/48/34/55/708483455.db2.gz PDMIWTRIYKWPPT-HUBLWGQQSA-N 1 2 301.394 1.989 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)N[C@H]2CC[C@@H](CC#N)C2)[nH]n1 ZINC000897889334 708483456 /nfs/dbraw/zinc/48/34/56/708483456.db2.gz PDMIWTRIYKWPPT-HUBLWGQQSA-N 1 2 301.394 1.989 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1 ZINC000897909665 708489845 /nfs/dbraw/zinc/48/98/45/708489845.db2.gz QWMXUNPEIWJCNZ-DFBGVHRSSA-N 1 2 304.394 1.640 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1 ZINC000897909665 708489847 /nfs/dbraw/zinc/48/98/47/708489847.db2.gz QWMXUNPEIWJCNZ-DFBGVHRSSA-N 1 2 304.394 1.640 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)C[C@@H]2CCCC2(F)F)C1 ZINC000885509159 708561914 /nfs/dbraw/zinc/56/19/14/708561914.db2.gz POUDOZIXOGPOMZ-STQMWFEESA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)C[C@@H]2CCCC2(F)F)C1 ZINC000885509159 708561915 /nfs/dbraw/zinc/56/19/15/708561915.db2.gz POUDOZIXOGPOMZ-STQMWFEESA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccccc2SC)C1 ZINC000885511120 708562285 /nfs/dbraw/zinc/56/22/85/708562285.db2.gz CJYIIEBTEVNXAD-ZDUSSCGKSA-N 1 2 324.471 1.784 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccccc2SC)C1 ZINC000885511120 708562288 /nfs/dbraw/zinc/56/22/88/708562288.db2.gz CJYIIEBTEVNXAD-ZDUSSCGKSA-N 1 2 324.471 1.784 20 30 DDEDLO N#CC1(C[C@@H](O)C[NH+]2CCN(C(=O)c3ccco3)CC2)CCC1 ZINC000885931745 708665380 /nfs/dbraw/zinc/66/53/80/708665380.db2.gz YQZPDEHIHVAARU-CQSZACIVSA-N 1 2 317.389 1.482 20 30 DDEDLO C#CC[C@H]1CC[N@@H+](CC(=O)N=c2cc[nH]n2[C@@H](C)C2CC2)C1 ZINC000886363076 708749697 /nfs/dbraw/zinc/74/96/97/708749697.db2.gz ZODPFXTWVKHKFQ-KBPBESRZSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[C@H]1CC[N@H+](CC(=O)N=c2cc[nH]n2[C@@H](C)C2CC2)C1 ZINC000886363076 708749699 /nfs/dbraw/zinc/74/96/99/708749699.db2.gz ZODPFXTWVKHKFQ-KBPBESRZSA-N 1 2 300.406 1.560 20 30 DDEDLO CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000886468677 708765103 /nfs/dbraw/zinc/76/51/03/708765103.db2.gz MQIMOUSMHPLQSP-INIZCTEOSA-N 1 2 321.446 1.608 20 30 DDEDLO CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000886468677 708765105 /nfs/dbraw/zinc/76/51/05/708765105.db2.gz MQIMOUSMHPLQSP-INIZCTEOSA-N 1 2 321.446 1.608 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCc2cc(O)c(OC)cc2C1 ZINC000887105436 708956325 /nfs/dbraw/zinc/95/63/25/708956325.db2.gz VLBPPRHSDYOMLQ-UHFFFAOYSA-N 1 2 317.389 1.521 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCc2cc(O)c(OC)cc2C1 ZINC000887105436 708956327 /nfs/dbraw/zinc/95/63/27/708956327.db2.gz VLBPPRHSDYOMLQ-UHFFFAOYSA-N 1 2 317.389 1.521 20 30 DDEDLO CC(C)(CC#N)CNC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000909881372 709667066 /nfs/dbraw/zinc/66/70/66/709667066.db2.gz AADGEXVDVLEENT-UHFFFAOYSA-N 1 2 318.421 1.490 20 30 DDEDLO N#CCN1CCN(C(=O)c2c[nH+]ccc2Nc2ccccc2)CC1 ZINC000900777687 709753460 /nfs/dbraw/zinc/75/34/60/709753460.db2.gz USJBCWRSGXDINX-UHFFFAOYSA-N 1 2 321.384 1.528 20 30 DDEDLO C#CC1(O)CCN(C(=O)c2c[nH+]ccc2Nc2ccccc2)CC1 ZINC000912971185 713137396 /nfs/dbraw/zinc/13/73/96/713137396.db2.gz JPODGTCVPIVVOI-UHFFFAOYSA-N 1 2 321.380 1.847 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[NH+](C(C)(C)CO)CC2)s1 ZINC000901230110 709977068 /nfs/dbraw/zinc/97/70/68/709977068.db2.gz ZLCNSEXGGJQPSW-UHFFFAOYSA-N 1 2 324.450 1.270 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2noc3c2COCC3)cc1 ZINC000902698588 710867809 /nfs/dbraw/zinc/86/78/09/710867809.db2.gz ZEVBXXXZEYZIMI-UHFFFAOYSA-N 1 2 303.318 1.593 20 30 DDEDLO CCc1ccc(C#CC(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)cc1 ZINC000913453597 713222858 /nfs/dbraw/zinc/22/28/58/713222858.db2.gz OGFPLNGSLIRVAY-QGZVFWFLSA-N 1 2 308.385 1.497 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000928636799 713244600 /nfs/dbraw/zinc/24/46/00/713244600.db2.gz VUNLKLYMCXLOSR-OBQMCRIKSA-N 1 2 319.449 1.933 20 30 DDEDLO COc1ccc(C[NH+]2CCN(C(=O)CC#N)CC2)c(C)c1OC ZINC000895066911 711394902 /nfs/dbraw/zinc/39/49/02/711394902.db2.gz ZAZOUZACYXLDDW-UHFFFAOYSA-N 1 2 317.389 1.570 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[N@H+](C)[C@@H](CO)C2)cc1OC ZINC000913553975 713257854 /nfs/dbraw/zinc/25/78/54/713257854.db2.gz VSYRGYVOWPXCEC-CQSZACIVSA-N 1 2 320.389 1.009 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[N@@H+](C)[C@@H](CO)C2)cc1OC ZINC000913553975 713257855 /nfs/dbraw/zinc/25/78/55/713257855.db2.gz VSYRGYVOWPXCEC-CQSZACIVSA-N 1 2 320.389 1.009 20 30 DDEDLO CSCc1n[nH]c(C[NH2+][C@@H](C)CC2(C#N)CCOCC2)n1 ZINC000895813968 711614314 /nfs/dbraw/zinc/61/43/14/711614314.db2.gz OBPZWRRINYWFDD-NSHDSACASA-N 1 2 309.439 1.856 20 30 DDEDLO Cc1c(C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)cnn1CCC#N ZINC000896132287 711680257 /nfs/dbraw/zinc/68/02/57/711680257.db2.gz HDPFYMHUKULCLZ-CYBMUJFWSA-N 1 2 312.377 1.848 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)N2CC[N@H+](CCO)[C@H](C)C2)cc1 ZINC000907542900 712592291 /nfs/dbraw/zinc/59/22/91/712592291.db2.gz FISJEXDDDIKXPT-MVGZEHJDSA-N 1 2 316.401 1.259 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)N2CC[N@@H+](CCO)[C@H](C)C2)cc1 ZINC000907542900 712592292 /nfs/dbraw/zinc/59/22/92/712592292.db2.gz FISJEXDDDIKXPT-MVGZEHJDSA-N 1 2 316.401 1.259 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2[nH]c(=O)c(C)cc2c1 ZINC000908458913 712779225 /nfs/dbraw/zinc/77/92/25/712779225.db2.gz ITJCMXRQVHGIFG-INIZCTEOSA-N 1 2 309.369 1.873 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2[nH]c(=O)c(C)cc2c1 ZINC000908458913 712779228 /nfs/dbraw/zinc/77/92/28/712779228.db2.gz ITJCMXRQVHGIFG-INIZCTEOSA-N 1 2 309.369 1.873 20 30 DDEDLO N#Cc1sccc1C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000908533739 712799848 /nfs/dbraw/zinc/79/98/48/712799848.db2.gz MVDNICMKNYOHIB-LBPRGKRZSA-N 1 2 305.403 1.557 20 30 DDEDLO COC(=O)/C=C(/C)C[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000929985152 713729024 /nfs/dbraw/zinc/72/90/24/713729024.db2.gz ASAONYZYDDAOBM-KSVUCXBSSA-N 1 2 313.401 1.988 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CN1CC[NH+](CC[S@@](C)=O)CC1 ZINC000929993680 713731321 /nfs/dbraw/zinc/73/13/21/713731321.db2.gz OKVPQBGHEQXTLB-JOCHJYFZSA-N 1 2 319.474 1.671 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](C[C@@H]2CC[C@@H](C(F)(F)F)O2)CC1 ZINC000930637156 713883709 /nfs/dbraw/zinc/88/37/09/713883709.db2.gz BJQWZZOKSMYWEV-QWRGUYRKSA-N 1 2 320.311 1.875 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@@H+]2CCO[C@@H](C)C2)c1C#N ZINC000931342795 714072888 /nfs/dbraw/zinc/07/28/88/714072888.db2.gz KFEZZZZZRBLMEH-VIFPVBQESA-N 1 2 309.395 1.165 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@H+]2CCO[C@@H](C)C2)c1C#N ZINC000931342795 714072890 /nfs/dbraw/zinc/07/28/90/714072890.db2.gz KFEZZZZZRBLMEH-VIFPVBQESA-N 1 2 309.395 1.165 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)no1 ZINC000931952568 714219530 /nfs/dbraw/zinc/21/95/30/714219530.db2.gz KXJDMJSBWDRWQT-AXAPSJFSSA-N 1 2 319.409 1.610 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)no1 ZINC000931952568 714219532 /nfs/dbraw/zinc/21/95/32/714219532.db2.gz KXJDMJSBWDRWQT-AXAPSJFSSA-N 1 2 319.409 1.610 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)N[C@H](CC#N)C(F)(F)F ZINC000932888662 714431021 /nfs/dbraw/zinc/43/10/21/714431021.db2.gz JLVFJIVVTXUKBY-GHMZBOCLSA-N 1 2 322.331 1.241 20 30 DDEDLO CC(C)COC[C@H](O)C[N@@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933623563 714633487 /nfs/dbraw/zinc/63/34/87/714633487.db2.gz CNSAFKIZICLZOG-QZTJIDSGSA-N 1 2 318.417 1.965 20 30 DDEDLO CC(C)COC[C@H](O)C[N@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933623563 714633489 /nfs/dbraw/zinc/63/34/89/714633489.db2.gz CNSAFKIZICLZOG-QZTJIDSGSA-N 1 2 318.417 1.965 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000933659768 714641274 /nfs/dbraw/zinc/64/12/74/714641274.db2.gz LXACURKBXJBTLQ-INIZCTEOSA-N 1 2 313.401 1.804 20 30 DDEDLO C=CC[C@@H]1CC[N@H+]1CC(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000934462096 714826869 /nfs/dbraw/zinc/82/68/69/714826869.db2.gz VBEIHNVWEQDMCE-LLVKDONJSA-N 1 2 316.340 1.390 20 30 DDEDLO C=CC[C@@H]1CC[N@@H+]1CC(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000934462096 714826872 /nfs/dbraw/zinc/82/68/72/714826872.db2.gz VBEIHNVWEQDMCE-LLVKDONJSA-N 1 2 316.340 1.390 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC(CC#N)CC1 ZINC000925593679 714895091 /nfs/dbraw/zinc/89/50/91/714895091.db2.gz HVUPUTNCXHBUFJ-OAHLLOKOSA-N 1 2 308.426 1.432 20 30 DDEDLO CC[C@@H]([NH2+][C@@H]1CCc2nnnn2CC1)c1cccc(C#N)c1O ZINC000926608298 715096413 /nfs/dbraw/zinc/09/64/13/715096413.db2.gz YKWVNSUARZLWJR-TZMCWYRMSA-N 1 2 312.377 1.696 20 30 DDEDLO C=CCOC[C@@H](NC(=O)c1cc2c[nH+]ccc2[nH]1)C(=O)OC ZINC000935774990 715127601 /nfs/dbraw/zinc/12/76/01/715127601.db2.gz AEGHSTXJEIHZFS-CYBMUJFWSA-N 1 2 303.318 1.037 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC000956570529 715473925 /nfs/dbraw/zinc/47/39/25/715473925.db2.gz MACPWOFTTJEXTF-NVXWUHKLSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2CN(C(=O)CCc3[nH]cc[nH+]3)C2)C1 ZINC000955254765 715704245 /nfs/dbraw/zinc/70/42/45/715704245.db2.gz XFGVJPKZPHGNPY-UHFFFAOYSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2nnn(C(C)C)c2C)C1 ZINC000957051035 715723519 /nfs/dbraw/zinc/72/35/19/715723519.db2.gz BLZQQWHUWGLWKT-UHFFFAOYSA-N 1 2 305.426 1.890 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(OC)cc(OC)c2)C1 ZINC000957320098 715833426 /nfs/dbraw/zinc/83/34/26/715833426.db2.gz DZCWBSUEFWRITL-UHFFFAOYSA-N 1 2 316.401 1.873 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000957624056 715972954 /nfs/dbraw/zinc/97/29/54/715972954.db2.gz JUJTVZLEXXZADD-CHWSQXEVSA-N 1 2 304.394 1.058 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@@H]4CCCC[C@H]34)CC2)C1 ZINC000941500280 717187805 /nfs/dbraw/zinc/18/78/05/717187805.db2.gz POOMFAVQOMKQLW-RYQLBKOJSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H](C)c3ccsc3)CC2)C1 ZINC000941565281 717212888 /nfs/dbraw/zinc/21/28/88/717212888.db2.gz VBGYGPJHUWHFEC-CQSZACIVSA-N 1 2 317.458 1.313 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3(C)C(C)(C)C3(C)C)CC2)C1 ZINC000941685132 717256584 /nfs/dbraw/zinc/25/65/84/717256584.db2.gz TYAXMPAURYVBCI-UHFFFAOYSA-N 1 2 317.477 1.520 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC000966723684 718641767 /nfs/dbraw/zinc/64/17/67/718641767.db2.gz ONGDHWHKCFFARN-DGCLKSJQSA-N 1 2 316.430 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC000966723684 718641770 /nfs/dbraw/zinc/64/17/70/718641770.db2.gz ONGDHWHKCFFARN-DGCLKSJQSA-N 1 2 316.430 1.778 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966883386 718692895 /nfs/dbraw/zinc/69/28/95/718692895.db2.gz DDSBSNPOXGFSDS-LDYMZIIASA-N 1 2 312.801 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966883386 718692899 /nfs/dbraw/zinc/69/28/99/718692899.db2.gz DDSBSNPOXGFSDS-LDYMZIIASA-N 1 2 312.801 1.616 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC000967272717 718809002 /nfs/dbraw/zinc/80/90/02/718809002.db2.gz DOCVAHVHAPYSLM-CXAGYDPISA-N 1 2 323.396 1.602 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC000967272717 718809003 /nfs/dbraw/zinc/80/90/03/718809003.db2.gz DOCVAHVHAPYSLM-CXAGYDPISA-N 1 2 323.396 1.602 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@@H]1CCN(CC#N)[C@@H](C)C1 ZINC000947436517 719162539 /nfs/dbraw/zinc/16/25/39/719162539.db2.gz JZGZGOMDOYEILX-GXTWGEPZSA-N 1 2 302.378 1.125 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2nnc3ccccc3c2O)C[C@@H]1C ZINC000947771095 719265462 /nfs/dbraw/zinc/26/54/62/719265462.db2.gz GBAKTTCQTVONEY-STQMWFEESA-N 1 2 324.384 1.551 20 30 DDEDLO CN(C(=O)[C@H]1CCc2c[nH+]cn2C1)[C@@H]1CCCN(CC#N)CC1 ZINC000948565674 719552105 /nfs/dbraw/zinc/55/21/05/719552105.db2.gz HBBBDKZLIGOILJ-LSDHHAIUSA-N 1 2 315.421 1.282 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000948761662 719692308 /nfs/dbraw/zinc/69/23/08/719692308.db2.gz NEZSNTNAZDUIKO-UHFFFAOYSA-N 1 2 302.374 1.792 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968695502 719740607 /nfs/dbraw/zinc/74/06/07/719740607.db2.gz QLZOKWNVRQMMOU-CHWSQXEVSA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968695502 719740610 /nfs/dbraw/zinc/74/06/10/719740610.db2.gz QLZOKWNVRQMMOU-CHWSQXEVSA-N 1 2 321.446 1.753 20 30 DDEDLO C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)[C@H]1CCCS1(=O)=O ZINC000969153821 719980195 /nfs/dbraw/zinc/98/01/95/719980195.db2.gz PMENBLICDKNDJS-ZIAGYGMSSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CC[N@H+]1CCCCC[C@@H]1CNC(=O)[C@H]1CCCS1(=O)=O ZINC000969153821 719980199 /nfs/dbraw/zinc/98/01/99/719980199.db2.gz PMENBLICDKNDJS-ZIAGYGMSSA-N 1 2 314.451 1.110 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCC3(CC2)C[N@H+](CCF)CCO3)c1 ZINC000949332688 720022782 /nfs/dbraw/zinc/02/27/82/720022782.db2.gz QVLQUERRQPNBSJ-UHFFFAOYSA-N 1 2 320.368 1.163 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCC3(CC2)C[N@@H+](CCF)CCO3)c1 ZINC000949332688 720022784 /nfs/dbraw/zinc/02/27/84/720022784.db2.gz QVLQUERRQPNBSJ-UHFFFAOYSA-N 1 2 320.368 1.163 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2c(C)cc(C)[nH]c2=O)CC1 ZINC000949816987 720361866 /nfs/dbraw/zinc/36/18/66/720361866.db2.gz GYQDMWRHDUOUSI-UHFFFAOYSA-N 1 2 301.390 1.114 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c[nH]c(CC(C)C)n2)C1 ZINC000950094477 720487884 /nfs/dbraw/zinc/48/78/84/720487884.db2.gz RKLGZODKZZHWTD-UHFFFAOYSA-N 1 2 302.422 1.778 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnn3ccncc23)C1 ZINC000969851339 720533946 /nfs/dbraw/zinc/53/39/46/720533946.db2.gz GUVMWPISNXHORU-LLVKDONJSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cn3c(n2)COCC3)C1 ZINC000969960543 720577639 /nfs/dbraw/zinc/57/76/39/720577639.db2.gz FWVXROSKZXNOML-LLVKDONJSA-N 1 2 324.812 1.216 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC000969985546 720586425 /nfs/dbraw/zinc/58/64/25/720586425.db2.gz RHPMNVFFQUOJAN-RYUDHWBXSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)N(C(C)(C)C)C2)C1 ZINC000950458304 720641976 /nfs/dbraw/zinc/64/19/76/720641976.db2.gz UNAGMIDCWLNABN-AWEZNQCLSA-N 1 2 321.465 1.742 20 30 DDEDLO C[C@H](NC(=O)c1cccc(-n2cc[nH+]c2)c1)C1CN(CC#N)C1 ZINC000970681625 720885168 /nfs/dbraw/zinc/88/51/68/720885168.db2.gz NBAMMNYECQXHNG-ZDUSSCGKSA-N 1 2 309.373 1.446 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC000951361383 721001987 /nfs/dbraw/zinc/00/19/87/721001987.db2.gz CBKQBVMFFXEPSP-HNNXBMFYSA-N 1 2 307.438 1.495 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2sc(N(C)C)nc2C)CC1 ZINC000951364643 721002881 /nfs/dbraw/zinc/00/28/81/721002881.db2.gz KDVRFSRQPTXEER-UHFFFAOYSA-N 1 2 308.451 1.851 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc(CNC(C)=O)o2)CC1 ZINC000951765640 721172775 /nfs/dbraw/zinc/17/27/75/721172775.db2.gz NOJTXAGWMJASJD-UHFFFAOYSA-N 1 2 305.378 1.250 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)C1 ZINC000951950590 721252183 /nfs/dbraw/zinc/25/21/83/721252183.db2.gz GXEHGJKFWLHBKP-CQSZACIVSA-N 1 2 316.405 1.347 20 30 DDEDLO C=CCCn1cc(C(=O)N(CC)C2C[NH+]([C@H](C)COC)C2)nn1 ZINC000952441995 721449488 /nfs/dbraw/zinc/44/94/88/721449488.db2.gz TWMTWAUOGJXRAC-CYBMUJFWSA-N 1 2 321.425 1.035 20 30 DDEDLO Cc1c[nH+]c2n1CCN(C)C21CCN(c2ncccc2C#N)CC1 ZINC001164916646 721812574 /nfs/dbraw/zinc/81/25/74/721812574.db2.gz IMLAADRBTQCGGI-UHFFFAOYSA-N 1 2 322.416 1.899 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C(F)(F)F)n(C)n1 ZINC001038295656 735475779 /nfs/dbraw/zinc/47/57/79/735475779.db2.gz XLGGUXHJYSTAHA-JTQLQIEISA-N 1 2 314.311 1.266 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C(F)(F)F)n(C)n1 ZINC001038295656 735475783 /nfs/dbraw/zinc/47/57/83/735475783.db2.gz XLGGUXHJYSTAHA-JTQLQIEISA-N 1 2 314.311 1.266 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@H]([N@H+](C)Cc2cn(C)nn2)C1 ZINC001027560002 738406179 /nfs/dbraw/zinc/40/61/79/738406179.db2.gz NATSHCPQYDQNMU-RDJZCZTQSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@H]([N@@H+](C)Cc2cn(C)nn2)C1 ZINC001027560002 738406183 /nfs/dbraw/zinc/40/61/83/738406183.db2.gz NATSHCPQYDQNMU-RDJZCZTQSA-N 1 2 319.453 1.840 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)[C@H]2CCCCO2)c1 ZINC001038148232 738610599 /nfs/dbraw/zinc/61/05/99/738610599.db2.gz BZMSZVDXAKZBSI-DLBZAZTESA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@H]2CCCCO2)c1 ZINC001038148232 738610601 /nfs/dbraw/zinc/61/06/01/738610601.db2.gz BZMSZVDXAKZBSI-DLBZAZTESA-N 1 2 313.401 1.818 20 30 DDEDLO Cn1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)cn1 ZINC001038037937 733399916 /nfs/dbraw/zinc/39/99/16/733399916.db2.gz VHLOPOIADRFIQX-INIZCTEOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)cn1 ZINC001038037937 733399922 /nfs/dbraw/zinc/39/99/22/733399922.db2.gz VHLOPOIADRFIQX-INIZCTEOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001027834110 738710736 /nfs/dbraw/zinc/71/07/36/738710736.db2.gz XVQZZLAGHDXCIH-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001027834110 738710737 /nfs/dbraw/zinc/71/07/37/738710737.db2.gz XVQZZLAGHDXCIH-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)no1 ZINC001027833958 738711006 /nfs/dbraw/zinc/71/10/06/738711006.db2.gz WQHMQTJAUCNQLU-OAHLLOKOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)no1 ZINC001027833958 738711008 /nfs/dbraw/zinc/71/10/08/738711008.db2.gz WQHMQTJAUCNQLU-OAHLLOKOSA-N 1 2 313.361 1.577 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C[C@@H](C)C(C)C)C2)nn1 ZINC001098632587 738808626 /nfs/dbraw/zinc/80/86/26/738808626.db2.gz DDPLGDXONLWXGM-ZBFHGGJFSA-N 1 2 317.437 1.457 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C[C@H]1CCNCC#N ZINC001104366992 734386766 /nfs/dbraw/zinc/38/67/66/734386766.db2.gz CMRWMVHMUOUIQC-QLFBSQMISA-N 1 2 315.421 1.043 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3coc(CC4CC4)n3)[C@H]2C1 ZINC001083236659 734631022 /nfs/dbraw/zinc/63/10/22/734631022.db2.gz OXPGLTDXMJDHGH-LSDHHAIUSA-N 1 2 317.389 1.338 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3coc(CC4CC4)n3)[C@H]2C1 ZINC001083236659 734631023 /nfs/dbraw/zinc/63/10/23/734631023.db2.gz OXPGLTDXMJDHGH-LSDHHAIUSA-N 1 2 317.389 1.338 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1CNC(=O)c1scnc1C(F)(F)F ZINC001027939366 738841052 /nfs/dbraw/zinc/84/10/52/738841052.db2.gz NUCWTHPPLGOXCV-SECBINFHSA-N 1 2 317.336 1.989 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1scnc1C(F)(F)F ZINC001027939366 738841055 /nfs/dbraw/zinc/84/10/55/738841055.db2.gz NUCWTHPPLGOXCV-SECBINFHSA-N 1 2 317.336 1.989 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)c(CC)o3)[C@H]2C1 ZINC001083246362 734775437 /nfs/dbraw/zinc/77/54/37/734775437.db2.gz NTTLHXXFTPPZJW-WMLDXEAASA-N 1 2 316.401 1.699 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)c(CC)o3)[C@H]2C1 ZINC001083246362 734775441 /nfs/dbraw/zinc/77/54/41/734775441.db2.gz NTTLHXXFTPPZJW-WMLDXEAASA-N 1 2 316.401 1.699 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3ccco3)C2)C1 ZINC000972550111 735159290 /nfs/dbraw/zinc/15/92/90/735159290.db2.gz WAMGYTHMFOLLAT-PBHICJAKSA-N 1 2 302.374 1.320 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3ccco3)C2)C1 ZINC000972550111 735159292 /nfs/dbraw/zinc/15/92/92/735159292.db2.gz WAMGYTHMFOLLAT-PBHICJAKSA-N 1 2 302.374 1.320 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001023383974 735205068 /nfs/dbraw/zinc/20/50/68/735205068.db2.gz BXVNGBYJTNADKS-CYBMUJFWSA-N 1 2 311.389 1.423 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001023383974 735205069 /nfs/dbraw/zinc/20/50/69/735205069.db2.gz BXVNGBYJTNADKS-CYBMUJFWSA-N 1 2 311.389 1.423 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CC34CCOCC4)C2)C1 ZINC000972706634 735555748 /nfs/dbraw/zinc/55/57/48/735555748.db2.gz BTKFIUPSLJOJNM-YJBOKZPZSA-N 1 2 320.433 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CC34CCOCC4)C2)C1 ZINC000972706634 735555750 /nfs/dbraw/zinc/55/57/50/735555750.db2.gz BTKFIUPSLJOJNM-YJBOKZPZSA-N 1 2 320.433 1.292 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024391449 735809429 /nfs/dbraw/zinc/80/94/29/735809429.db2.gz VYTLEGXQKJPULO-QWHCGFSZSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024391449 735809433 /nfs/dbraw/zinc/80/94/33/735809433.db2.gz VYTLEGXQKJPULO-QWHCGFSZSA-N 1 2 313.829 1.236 20 30 DDEDLO N#CCN1CCCC[C@H]1CNC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC001024754974 736068794 /nfs/dbraw/zinc/06/87/94/736068794.db2.gz CXIHYONBSQJENQ-AWEZNQCLSA-N 1 2 324.388 1.375 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@H]2CCCCN2C(=O)[C@H](C)C#N)o1 ZINC001024902466 736159758 /nfs/dbraw/zinc/15/97/58/736159758.db2.gz SCIKCKCRFQRQJD-CHWSQXEVSA-N 1 2 319.409 1.823 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001111981784 736326536 /nfs/dbraw/zinc/32/65/36/736326536.db2.gz PQGCIXOPCYEUMH-CQSZACIVSA-N 1 2 304.394 1.463 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@@H](C)CNc2cc[nH+]c(C)n2)c1 ZINC001104805823 737227067 /nfs/dbraw/zinc/22/70/67/737227067.db2.gz FSUBCEIOBDPAQX-LBPRGKRZSA-N 1 2 309.373 1.734 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)C[C@@H]3C2)n1 ZINC001087961816 740887733 /nfs/dbraw/zinc/88/77/33/740887733.db2.gz KXACSXMSORVQRH-CABCVRRESA-N 1 2 314.389 1.072 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)C[C@@H]3C2)n1 ZINC001087961816 740887738 /nfs/dbraw/zinc/88/77/38/740887738.db2.gz KXACSXMSORVQRH-CABCVRRESA-N 1 2 314.389 1.072 20 30 DDEDLO C[C@H](CNc1ncc(C#N)cc1F)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001104826012 737252334 /nfs/dbraw/zinc/25/23/34/737252334.db2.gz PTPTZHJPPGUIOE-SNVBAGLBSA-N 1 2 316.340 1.317 20 30 DDEDLO N#CCN1CCC[C@H]1CNC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001028051601 738967955 /nfs/dbraw/zinc/96/79/55/738967955.db2.gz QXYDSZVDVKODFA-INIZCTEOSA-N 1 2 309.373 1.590 20 30 DDEDLO CC(C)[C@H](CNC(=O)[C@@H](C)C#N)Nc1[nH+]cnc2c1cnn2C ZINC001105140138 737577802 /nfs/dbraw/zinc/57/78/02/737577802.db2.gz VWMAMZFXIMHCSV-JQWIXIFHSA-N 1 2 315.381 1.076 20 30 DDEDLO C=CCOCCCC(=O)N(C)CCN(C)c1cc[nH+]c(C)n1 ZINC001105353060 738987454 /nfs/dbraw/zinc/98/74/54/738987454.db2.gz LAWCPRNZYAWBPY-UHFFFAOYSA-N 1 2 306.410 1.662 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@](C)(F)CCCC)C2)nn1 ZINC001105272859 737700345 /nfs/dbraw/zinc/70/03/45/737700345.db2.gz RUGALTRSTVBTOV-INIZCTEOSA-N 1 2 321.400 1.303 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)C(F)F)C2)cc1C#N ZINC001006504459 737706470 /nfs/dbraw/zinc/70/64/70/737706470.db2.gz ZVOWFQMPMUWDQJ-CYBMUJFWSA-N 1 2 323.343 1.913 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@@H](NC(=O)C(F)F)C2)cc1C#N ZINC001006504459 737706472 /nfs/dbraw/zinc/70/64/72/737706472.db2.gz ZVOWFQMPMUWDQJ-CYBMUJFWSA-N 1 2 323.343 1.913 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C3(CCC)CCC3)C2)nn1 ZINC001105308230 737800891 /nfs/dbraw/zinc/80/08/91/737800891.db2.gz KFHUUENHLYRHNT-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cnc(C)s1 ZINC001038707589 738154858 /nfs/dbraw/zinc/15/48/58/738154858.db2.gz HQGFFWLIBFTCSB-YUELXQCFSA-N 1 2 321.446 1.733 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cnc(C)s1 ZINC001038707589 738154861 /nfs/dbraw/zinc/15/48/61/738154861.db2.gz HQGFFWLIBFTCSB-YUELXQCFSA-N 1 2 321.446 1.733 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@@H]([N@@H+](C)Cc2cn(C)nn2)C1 ZINC001027519027 738372028 /nfs/dbraw/zinc/37/20/28/738372028.db2.gz CXYCXMBKRZZWSO-OAHLLOKOSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@@H]([N@H+](C)Cc2cn(C)nn2)C1 ZINC001027519027 738372031 /nfs/dbraw/zinc/37/20/31/738372031.db2.gz CXYCXMBKRZZWSO-OAHLLOKOSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2[nH]cnc2C(C)(C)C)C1 ZINC001035371648 751436002 /nfs/dbraw/zinc/43/60/02/751436002.db2.gz HBUTYKLZVMVSJI-ZDUSSCGKSA-N 1 2 320.437 1.714 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2[nH]cnc2C(C)(C)C)C1 ZINC001035371648 751436006 /nfs/dbraw/zinc/43/60/06/751436006.db2.gz HBUTYKLZVMVSJI-ZDUSSCGKSA-N 1 2 320.437 1.714 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3ccc(C)cc3)C2)nn1 ZINC001105366307 739392721 /nfs/dbraw/zinc/39/27/21/739392721.db2.gz HSLBEOMFAMVVOM-UHFFFAOYSA-N 1 2 309.373 1.006 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(F)cncc2F)C1 ZINC001035381226 751450557 /nfs/dbraw/zinc/45/05/57/751450557.db2.gz OCGSXWFMPDTQMO-NSHDSACASA-N 1 2 311.332 1.367 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(F)cncc2F)C1 ZINC001035381226 751450560 /nfs/dbraw/zinc/45/05/60/751450560.db2.gz OCGSXWFMPDTQMO-NSHDSACASA-N 1 2 311.332 1.367 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccnn2C(CC)CC)C1 ZINC001035400941 751469715 /nfs/dbraw/zinc/46/97/15/751469715.db2.gz FGGAFHRATWNJLK-OAHLLOKOSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccnn2C(CC)CC)C1 ZINC001035400941 751469717 /nfs/dbraw/zinc/46/97/17/751469717.db2.gz FGGAFHRATWNJLK-OAHLLOKOSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc3occc3[nH]2)C1 ZINC001107980271 751476577 /nfs/dbraw/zinc/47/65/77/751476577.db2.gz UKFVIARPQQXHEI-INIZCTEOSA-N 1 2 303.362 1.768 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc3occc3[nH]2)C1 ZINC001107980271 751476579 /nfs/dbraw/zinc/47/65/79/751476579.db2.gz UKFVIARPQQXHEI-INIZCTEOSA-N 1 2 303.362 1.768 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)n([C@H](C)CC)n2)C1 ZINC001035424316 751483229 /nfs/dbraw/zinc/48/32/29/751483229.db2.gz PCNDCDQTVIKLLS-HIFRSBDPSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)n([C@H](C)CC)n2)C1 ZINC001035424316 751483234 /nfs/dbraw/zinc/48/32/34/751483234.db2.gz PCNDCDQTVIKLLS-HIFRSBDPSA-N 1 2 320.437 1.779 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3cnccc3c2)C1 ZINC001035452957 751501559 /nfs/dbraw/zinc/50/15/59/751501559.db2.gz SNEFBINCYPGOBM-MRXNPFEDSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnc3cnccc3c2)C1 ZINC001035452957 751501561 /nfs/dbraw/zinc/50/15/61/751501561.db2.gz SNEFBINCYPGOBM-MRXNPFEDSA-N 1 2 324.384 1.084 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3cccnc32)C1 ZINC001035430279 751506915 /nfs/dbraw/zinc/50/69/15/751506915.db2.gz BOWPZWUIPLBGNC-HNNXBMFYSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3cccnc32)C1 ZINC001035430279 751506920 /nfs/dbraw/zinc/50/69/20/751506920.db2.gz BOWPZWUIPLBGNC-HNNXBMFYSA-N 1 2 311.385 1.851 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(COC)cs2)C1 ZINC001035431798 751508524 /nfs/dbraw/zinc/50/85/24/751508524.db2.gz KTVAXHGHIOKMAV-CQSZACIVSA-N 1 2 322.430 1.348 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(COC)cs2)C1 ZINC001035431798 751508531 /nfs/dbraw/zinc/50/85/31/751508531.db2.gz KTVAXHGHIOKMAV-CQSZACIVSA-N 1 2 322.430 1.348 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(COC)cs2)C1 ZINC001035431800 751508860 /nfs/dbraw/zinc/50/88/60/751508860.db2.gz KVTKJBTWDFBLJI-ZDUSSCGKSA-N 1 2 310.419 1.511 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(COC)cs2)C1 ZINC001035431800 751508861 /nfs/dbraw/zinc/50/88/61/751508861.db2.gz KVTKJBTWDFBLJI-ZDUSSCGKSA-N 1 2 310.419 1.511 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(CC)s2)C1 ZINC001035434286 751511783 /nfs/dbraw/zinc/51/17/83/751511783.db2.gz DRAKXIOMYYRGAK-ZDUSSCGKSA-N 1 2 306.431 1.764 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(CC)s2)C1 ZINC001035434286 751511784 /nfs/dbraw/zinc/51/17/84/751511784.db2.gz DRAKXIOMYYRGAK-ZDUSSCGKSA-N 1 2 306.431 1.764 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3ccncc3n2)C1 ZINC001035451491 751527563 /nfs/dbraw/zinc/52/75/63/751527563.db2.gz GHPOVUDQERMOIG-AWEZNQCLSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3ccncc3n2)C1 ZINC001035451491 751527570 /nfs/dbraw/zinc/52/75/70/751527570.db2.gz GHPOVUDQERMOIG-AWEZNQCLSA-N 1 2 312.373 1.246 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001075774843 740422604 /nfs/dbraw/zinc/42/26/04/740422604.db2.gz SUBJXYDUFMJADQ-UONOGXRCSA-N 1 2 323.234 1.841 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001075774843 740422599 /nfs/dbraw/zinc/42/25/99/740422599.db2.gz SUBJXYDUFMJADQ-UONOGXRCSA-N 1 2 323.234 1.841 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCCCC2(F)F)C1 ZINC001035459398 751545001 /nfs/dbraw/zinc/54/50/01/751545001.db2.gz PEYFVFKBXANZSD-STQMWFEESA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCCCC2(F)F)C1 ZINC001035459398 751545006 /nfs/dbraw/zinc/54/50/06/751545006.db2.gz PEYFVFKBXANZSD-STQMWFEESA-N 1 2 302.365 1.815 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnsn2)[C@H]1CC ZINC001087510925 740521222 /nfs/dbraw/zinc/52/12/22/740521222.db2.gz ORMXNQBVTHQFPL-GXSJLCMTSA-N 1 2 300.815 1.873 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnsn2)[C@H]1CC ZINC001087510925 740521223 /nfs/dbraw/zinc/52/12/23/740521223.db2.gz ORMXNQBVTHQFPL-GXSJLCMTSA-N 1 2 300.815 1.873 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CCNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001059314388 740608036 /nfs/dbraw/zinc/60/80/36/740608036.db2.gz TUXWJRIEGHVWJA-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098706117 740769722 /nfs/dbraw/zinc/76/97/22/740769722.db2.gz RSHQNDWBAGZGMX-ZFWWWQNUSA-N 1 2 323.416 1.713 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)C ZINC001029453448 740826039 /nfs/dbraw/zinc/82/60/39/740826039.db2.gz BLMBCVDSYXJFJY-VBQJREDUSA-N 1 2 307.438 1.352 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(C)C ZINC001029453448 740826043 /nfs/dbraw/zinc/82/60/43/740826043.db2.gz BLMBCVDSYXJFJY-VBQJREDUSA-N 1 2 307.438 1.352 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc([C@H](C)CC)no2)C1 ZINC001035541693 751587746 /nfs/dbraw/zinc/58/77/46/751587746.db2.gz JWABATSCPYPETC-KGLIPLIRSA-N 1 2 319.405 1.642 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc([C@H](C)CC)no2)C1 ZINC001035541693 751587752 /nfs/dbraw/zinc/58/77/52/751587752.db2.gz JWABATSCPYPETC-KGLIPLIRSA-N 1 2 319.405 1.642 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3(CC)CC3)C2)nn1 ZINC001098716226 740987641 /nfs/dbraw/zinc/98/76/41/740987641.db2.gz UWKALDCMHRZZFL-OAHLLOKOSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001035521816 751598898 /nfs/dbraw/zinc/59/88/98/751598898.db2.gz NYYALEHZICZOSV-ZDUSSCGKSA-N 1 2 305.378 1.102 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001035521816 751598902 /nfs/dbraw/zinc/59/89/02/751598902.db2.gz NYYALEHZICZOSV-ZDUSSCGKSA-N 1 2 305.378 1.102 20 30 DDEDLO CCCn1ncnc1C[N@H+](C)C[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001029679310 741220265 /nfs/dbraw/zinc/22/02/65/741220265.db2.gz ZLGWGRXOLRZAAZ-UONOGXRCSA-N 1 2 318.425 1.128 20 30 DDEDLO CCCn1ncnc1C[N@@H+](C)C[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001029679310 741220267 /nfs/dbraw/zinc/22/02/67/741220267.db2.gz ZLGWGRXOLRZAAZ-UONOGXRCSA-N 1 2 318.425 1.128 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029828641 741365266 /nfs/dbraw/zinc/36/52/66/741365266.db2.gz HHKFPTOMVUWLGF-YOEHRIQHSA-N 1 2 319.453 1.996 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029828641 741365269 /nfs/dbraw/zinc/36/52/69/741365269.db2.gz HHKFPTOMVUWLGF-YOEHRIQHSA-N 1 2 319.453 1.996 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](C[N@@H+](C)Cc3nncn3C)C2)C1 ZINC001029836047 741374990 /nfs/dbraw/zinc/37/49/90/741374990.db2.gz MEJYTQCEVKZEAN-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](C[N@H+](C)Cc3nncn3C)C2)C1 ZINC001029836047 741374994 /nfs/dbraw/zinc/37/49/94/741374994.db2.gz MEJYTQCEVKZEAN-AWEZNQCLSA-N 1 2 317.437 1.452 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC(C[N@H+](C)Cc2cnsn2)CC1 ZINC001029946630 741636355 /nfs/dbraw/zinc/63/63/55/741636355.db2.gz QMIJGCNYORUIDH-NSHDSACASA-N 1 2 307.423 1.368 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC(C[N@@H+](C)Cc2cnsn2)CC1 ZINC001029946630 741636357 /nfs/dbraw/zinc/63/63/57/741636357.db2.gz QMIJGCNYORUIDH-NSHDSACASA-N 1 2 307.423 1.368 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(N(C)C)cc2)C1 ZINC001035585708 751678705 /nfs/dbraw/zinc/67/87/05/751678705.db2.gz AXLSPWRFKNBVBM-KRWDZBQOSA-N 1 2 317.433 1.759 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(N(C)C)cc2)C1 ZINC001035585708 751678707 /nfs/dbraw/zinc/67/87/07/751678707.db2.gz AXLSPWRFKNBVBM-KRWDZBQOSA-N 1 2 317.433 1.759 20 30 DDEDLO O=C(C#CC1CC1)NCC[NH2+]Cc1noc(-c2ccccc2)n1 ZINC001126885555 742426031 /nfs/dbraw/zinc/42/60/31/742426031.db2.gz IUVIECFJXINOOB-UHFFFAOYSA-N 1 2 310.357 1.356 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001076411769 742717405 /nfs/dbraw/zinc/71/74/05/742717405.db2.gz XSUNYAATTHJWEA-GJZGRUSLSA-N 1 2 318.421 1.505 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCOCC(F)(F)F)C1 ZINC001108041915 742976293 /nfs/dbraw/zinc/97/62/93/742976293.db2.gz DYOPDQWYNDZAFM-CYBMUJFWSA-N 1 2 324.343 1.349 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCOCC(F)(F)F)C1 ZINC001108041915 742976300 /nfs/dbraw/zinc/97/63/00/742976300.db2.gz DYOPDQWYNDZAFM-CYBMUJFWSA-N 1 2 324.343 1.349 20 30 DDEDLO C=CC[NH+]1CC2(C1)CCN(C(=O)[C@H]1CCCc3[nH]ncc31)CC2 ZINC001035706326 751792550 /nfs/dbraw/zinc/79/25/50/751792550.db2.gz MQYNZOQTKQYPDN-AWEZNQCLSA-N 1 2 314.433 1.940 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)CC(C)(C)C ZINC001121509097 782541723 /nfs/dbraw/zinc/54/17/23/782541723.db2.gz BPABZZFOOCKHAW-OLZOCXBDSA-N 1 2 307.442 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)CC(C)(C)C ZINC001121509097 782541733 /nfs/dbraw/zinc/54/17/33/782541733.db2.gz BPABZZFOOCKHAW-OLZOCXBDSA-N 1 2 307.442 1.684 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCN(CC(F)F)C2)C1 ZINC001043653519 743299058 /nfs/dbraw/zinc/29/90/58/743299058.db2.gz PXQLDSGHTXKOSE-CYBMUJFWSA-N 1 2 315.408 1.682 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)(C)C)n[nH]2)C1 ZINC001108048454 743302687 /nfs/dbraw/zinc/30/26/87/743302687.db2.gz UZRWSIAUBDKGPR-KRWDZBQOSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)(C)C)n[nH]2)C1 ZINC001108048454 743302698 /nfs/dbraw/zinc/30/26/98/743302698.db2.gz UZRWSIAUBDKGPR-KRWDZBQOSA-N 1 2 320.437 1.714 20 30 DDEDLO N#Cc1ccccc1CC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC001181805878 743352282 /nfs/dbraw/zinc/35/22/82/743352282.db2.gz PYMSVIQLIQBANH-MRXNPFEDSA-N 1 2 306.369 1.891 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)Cc2c[nH]c3cccc(C#N)c23)c1 ZINC001182583645 743670618 /nfs/dbraw/zinc/67/06/18/743670618.db2.gz RIXREBXCAYVGTA-UHFFFAOYSA-N 1 2 307.357 1.674 20 30 DDEDLO C[C@H](C(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1ccc(C#N)cc1 ZINC001182798430 743719423 /nfs/dbraw/zinc/71/94/23/743719423.db2.gz HXTMAOHUNHRXBF-KBPBESRZSA-N 1 2 301.390 1.499 20 30 DDEDLO C[C@H](C(=O)NCC[N@H+]1CCOC[C@@H]1C)c1ccc(C#N)cc1 ZINC001182798430 743719426 /nfs/dbraw/zinc/71/94/26/743719426.db2.gz HXTMAOHUNHRXBF-KBPBESRZSA-N 1 2 301.390 1.499 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C(C)(C)COC)CC2)C1 ZINC001105714076 743746992 /nfs/dbraw/zinc/74/69/92/743746992.db2.gz ILNKDDBDOWNTJX-UHFFFAOYSA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001212148487 743747990 /nfs/dbraw/zinc/74/79/90/743747990.db2.gz KLTVQWVQXLJXLA-GDBMZVCRSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001212148487 743747992 /nfs/dbraw/zinc/74/79/92/743747992.db2.gz KLTVQWVQXLJXLA-GDBMZVCRSA-N 1 2 319.405 1.492 20 30 DDEDLO C=C1CN(C(=O)OC(C)(C)C)[C@](C)(C(=O)N2CC[NH+](C)CC2)C1 ZINC001183617781 743874359 /nfs/dbraw/zinc/87/43/59/743874359.db2.gz ZLMGMGQEXGMYBK-KRWDZBQOSA-N 1 2 323.437 1.716 20 30 DDEDLO CN(C)c1cccc(C[NH+]2CC(NC(=O)c3cc(C#N)c[nH]3)C2)c1 ZINC001030191843 743923496 /nfs/dbraw/zinc/92/34/96/743923496.db2.gz IWTHBUOYFMNWEW-UHFFFAOYSA-N 1 2 323.400 1.567 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CN(c3ccccn3)C[C@H]2C)C1 ZINC001030329833 744054089 /nfs/dbraw/zinc/05/40/89/744054089.db2.gz KKJMJWJGYFVRSY-GDBMZVCRSA-N 1 2 314.433 1.530 20 30 DDEDLO N#Cc1cnc(NC2(CNC(=O)Cc3c[nH]c[nH+]3)CC2)c(F)c1 ZINC001110356827 744157212 /nfs/dbraw/zinc/15/72/12/744157212.db2.gz QQUJKGDRIGQNON-UHFFFAOYSA-N 1 2 314.324 1.119 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CCCC[C@@H]2n2cccn2)C1 ZINC001030461463 744175645 /nfs/dbraw/zinc/17/56/45/744175645.db2.gz OEBIRJXXOHDUCX-HOTGVXAUSA-N 1 2 302.422 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)[C@H]1C ZINC001088872451 744320066 /nfs/dbraw/zinc/32/00/66/744320066.db2.gz USYACUSXWOGIHX-BEAPCOKYSA-N 1 2 322.840 1.855 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)[C@H]1C ZINC001088872451 744320067 /nfs/dbraw/zinc/32/00/67/744320067.db2.gz USYACUSXWOGIHX-BEAPCOKYSA-N 1 2 322.840 1.855 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001187240700 744523272 /nfs/dbraw/zinc/52/32/72/744523272.db2.gz XHWUDHCQHKZHSL-MELADBBJSA-N 1 2 303.410 1.375 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001187240700 744523273 /nfs/dbraw/zinc/52/32/73/744523273.db2.gz XHWUDHCQHKZHSL-MELADBBJSA-N 1 2 303.410 1.375 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cn(C)cc2C(F)(F)F)CC1 ZINC001188269102 744684058 /nfs/dbraw/zinc/68/40/58/744684058.db2.gz NLVMNGFYPMNNJA-UHFFFAOYSA-N 1 2 301.312 1.988 20 30 DDEDLO C=C1CCC(C(=O)NC2C[NH+](Cc3ccn(C)c(=O)c3)C2)CC1 ZINC001030900008 744798299 /nfs/dbraw/zinc/79/82/99/744798299.db2.gz MNGYYQADYSHCAA-UHFFFAOYSA-N 1 2 315.417 1.432 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001189242777 744851717 /nfs/dbraw/zinc/85/17/17/744851717.db2.gz LQHPEHSPTKMKSQ-GOEBONIOSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001189242777 744851720 /nfs/dbraw/zinc/85/17/20/744851720.db2.gz LQHPEHSPTKMKSQ-GOEBONIOSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([N@H+](C)Cc2noc3c2COCC3)C1 ZINC001189317657 744870803 /nfs/dbraw/zinc/87/08/03/744870803.db2.gz AGICKZIZMOFIRS-CYBMUJFWSA-N 1 2 319.405 1.746 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2noc3c2COCC3)C1 ZINC001189317657 744870805 /nfs/dbraw/zinc/87/08/05/744870805.db2.gz AGICKZIZMOFIRS-CYBMUJFWSA-N 1 2 319.405 1.746 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([N@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001190053927 745091916 /nfs/dbraw/zinc/09/19/16/745091916.db2.gz MTKHGAJEXJKFTO-QGZVFWFLSA-N 1 2 314.433 1.521 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001190053927 745091924 /nfs/dbraw/zinc/09/19/24/745091924.db2.gz MTKHGAJEXJKFTO-QGZVFWFLSA-N 1 2 314.433 1.521 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)c(C)o1 ZINC001190055105 745092875 /nfs/dbraw/zinc/09/28/75/745092875.db2.gz WEXVFJAWCYVVJV-OAHLLOKOSA-N 1 2 303.406 1.984 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)c(C)o1 ZINC001190055105 745092881 /nfs/dbraw/zinc/09/28/81/745092881.db2.gz WEXVFJAWCYVVJV-OAHLLOKOSA-N 1 2 303.406 1.984 20 30 DDEDLO COCC#CC[NH2+]C[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001106155319 745131904 /nfs/dbraw/zinc/13/19/04/745131904.db2.gz RSJNEJWCNWJDLU-UTUOFQBUSA-N 1 2 324.318 1.221 20 30 DDEDLO COCC#CC[NH2+]C[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1C ZINC001106155319 745131910 /nfs/dbraw/zinc/13/19/10/745131910.db2.gz RSJNEJWCNWJDLU-UTUOFQBUSA-N 1 2 324.318 1.221 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)c1cccc(C#N)c1F ZINC001190554666 745250950 /nfs/dbraw/zinc/25/09/50/745250950.db2.gz KNJGRIIIZZWWSV-UHFFFAOYSA-N 1 2 302.309 1.470 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC1CCC1)C2 ZINC001110430329 745310514 /nfs/dbraw/zinc/31/05/14/745310514.db2.gz BKHXMYHCWUKFPF-OAGGEKHMSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC1CCC1)C2 ZINC001110430329 745310519 /nfs/dbraw/zinc/31/05/19/745310519.db2.gz BKHXMYHCWUKFPF-OAGGEKHMSA-N 1 2 317.433 1.038 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C2=COCCO2)[C@@H]1C ZINC000993008132 745365487 /nfs/dbraw/zinc/36/54/87/745365487.db2.gz PGAOGOCBHLUERW-RYUDHWBXSA-N 1 2 300.786 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C2=COCCO2)[C@@H]1C ZINC000993008132 745365491 /nfs/dbraw/zinc/36/54/91/745365491.db2.gz PGAOGOCBHLUERW-RYUDHWBXSA-N 1 2 300.786 1.596 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191075750 745419106 /nfs/dbraw/zinc/41/91/06/745419106.db2.gz GUSBIAALPKGQJA-ZIAGYGMSSA-N 1 2 307.419 1.471 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191075750 745419108 /nfs/dbraw/zinc/41/91/08/745419108.db2.gz GUSBIAALPKGQJA-ZIAGYGMSSA-N 1 2 307.419 1.471 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001007098564 752015324 /nfs/dbraw/zinc/01/53/24/752015324.db2.gz IYLONLMFMCOKAX-RYUDHWBXSA-N 1 2 300.786 1.273 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001007098564 752015329 /nfs/dbraw/zinc/01/53/29/752015329.db2.gz IYLONLMFMCOKAX-RYUDHWBXSA-N 1 2 300.786 1.273 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001191867485 745634341 /nfs/dbraw/zinc/63/43/41/745634341.db2.gz BYBQGGZRAKUQLD-ZIAGYGMSSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001191867485 745634344 /nfs/dbraw/zinc/63/43/44/745634344.db2.gz BYBQGGZRAKUQLD-ZIAGYGMSSA-N 1 2 305.426 1.450 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CCNc1ccc(C#N)cn1 ZINC001106395751 745657016 /nfs/dbraw/zinc/65/70/16/745657016.db2.gz QYJUMPMKIPWPKT-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001192586664 745834175 /nfs/dbraw/zinc/83/41/75/745834175.db2.gz SZANEIRINPEZNR-AWEZNQCLSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001192586664 745834185 /nfs/dbraw/zinc/83/41/85/745834185.db2.gz SZANEIRINPEZNR-AWEZNQCLSA-N 1 2 319.453 1.933 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007157145 752040087 /nfs/dbraw/zinc/04/00/87/752040087.db2.gz RTVUVVAVTWIKKW-GXTWGEPZSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007157145 752040094 /nfs/dbraw/zinc/04/00/94/752040094.db2.gz RTVUVVAVTWIKKW-GXTWGEPZSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000993538439 746203235 /nfs/dbraw/zinc/20/32/35/746203235.db2.gz FMPKXUBWUGWJHL-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cn[nH]n2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000993538439 746203239 /nfs/dbraw/zinc/20/32/39/746203239.db2.gz FMPKXUBWUGWJHL-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000993538439 746203241 /nfs/dbraw/zinc/20/32/41/746203241.db2.gz FMPKXUBWUGWJHL-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000993538439 746203244 /nfs/dbraw/zinc/20/32/44/746203244.db2.gz FMPKXUBWUGWJHL-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)Cc2snnc2C)C1 ZINC001194388923 746364149 /nfs/dbraw/zinc/36/41/49/746364149.db2.gz ZFMYZHZXYBPSRT-ZDUSSCGKSA-N 1 2 324.450 1.472 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2snnc2C)C1 ZINC001194388923 746364153 /nfs/dbraw/zinc/36/41/53/746364153.db2.gz ZFMYZHZXYBPSRT-ZDUSSCGKSA-N 1 2 324.450 1.472 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)C(F)F)CC1 ZINC001194590479 746416562 /nfs/dbraw/zinc/41/65/62/746416562.db2.gz PEAFJENLVGYUDZ-UHFFFAOYSA-N 1 2 317.380 1.210 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)C(F)F)CC1 ZINC001194590479 746416565 /nfs/dbraw/zinc/41/65/65/746416565.db2.gz PEAFJENLVGYUDZ-UHFFFAOYSA-N 1 2 317.380 1.210 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+]([C@@H](C)C(=O)NCC(C)C)CC1 ZINC001195182478 746539825 /nfs/dbraw/zinc/53/98/25/746539825.db2.gz OFJXWTONIGKFPX-HNNXBMFYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+]([C@@H](C)C(=O)NCC(C)C)CC1 ZINC001195182478 746539827 /nfs/dbraw/zinc/53/98/27/746539827.db2.gz OFJXWTONIGKFPX-HNNXBMFYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cnc(C)cn2)CC1 ZINC001195681600 746670136 /nfs/dbraw/zinc/67/01/36/746670136.db2.gz GPVFLWNWVXRKJM-QGZVFWFLSA-N 1 2 318.421 1.146 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+](Cc2cnc(C)cn2)CC1 ZINC001195681600 746670137 /nfs/dbraw/zinc/67/01/37/746670137.db2.gz GPVFLWNWVXRKJM-QGZVFWFLSA-N 1 2 318.421 1.146 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](Cc2ccc(OC)nn2)CC1 ZINC001196010715 746755486 /nfs/dbraw/zinc/75/54/86/746755486.db2.gz RBEZOYCYQMZEPI-UHFFFAOYSA-N 1 2 318.421 1.876 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](Cc2ccc(OC)nn2)CC1 ZINC001196010715 746755491 /nfs/dbraw/zinc/75/54/91/746755491.db2.gz RBEZOYCYQMZEPI-UHFFFAOYSA-N 1 2 318.421 1.876 20 30 DDEDLO N#Cc1ccccc1NC(=S)NC1CC[NH+](C2COC2)CC1 ZINC001196338099 746836257 /nfs/dbraw/zinc/83/62/57/746836257.db2.gz LWHYFYRAXLTQBU-UHFFFAOYSA-N 1 2 316.430 1.708 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(C(C)C)on2)CC1 ZINC001196674028 746931211 /nfs/dbraw/zinc/93/12/11/746931211.db2.gz PIPJJAMWVHXUDK-UHFFFAOYSA-N 1 2 319.405 1.596 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(C(C)C)on2)CC1 ZINC001196674028 746931215 /nfs/dbraw/zinc/93/12/15/746931215.db2.gz PIPJJAMWVHXUDK-UHFFFAOYSA-N 1 2 319.405 1.596 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001112416534 746989133 /nfs/dbraw/zinc/98/91/33/746989133.db2.gz FLGYJNCPJXOHQL-HIFRSBDPSA-N 1 2 318.421 1.709 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cccc(F)c2F)CC1 ZINC001196943318 747016532 /nfs/dbraw/zinc/01/65/32/747016532.db2.gz NQFOYQRVIXXABQ-UHFFFAOYSA-N 1 2 322.355 1.763 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cccc(F)c2F)CC1 ZINC001196943318 747016536 /nfs/dbraw/zinc/01/65/36/747016536.db2.gz NQFOYQRVIXXABQ-UHFFFAOYSA-N 1 2 322.355 1.763 20 30 DDEDLO CCc1nocc1C(=O)N1CCC[N@H+](CC#CCOC)CC1 ZINC001197069145 747053423 /nfs/dbraw/zinc/05/34/23/747053423.db2.gz SNBQWTFENXTYBN-UHFFFAOYSA-N 1 2 305.378 1.035 20 30 DDEDLO CCc1nocc1C(=O)N1CCC[N@@H+](CC#CCOC)CC1 ZINC001197069145 747053431 /nfs/dbraw/zinc/05/34/31/747053431.db2.gz SNBQWTFENXTYBN-UHFFFAOYSA-N 1 2 305.378 1.035 20 30 DDEDLO Cc1csc(C[NH+]2CC(CNC(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC001031618641 747208170 /nfs/dbraw/zinc/20/81/70/747208170.db2.gz AOQUAXFFQOCMJU-UHFFFAOYSA-N 1 2 315.402 1.513 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC[NH+](Cc3nonc3C)CC2)C1 ZINC001003596142 747310033 /nfs/dbraw/zinc/31/00/33/747310033.db2.gz MWAXSKAFMFQAGJ-UHFFFAOYSA-N 1 2 304.394 1.815 20 30 DDEDLO C#Cc1cncc(C(=O)NC2CC[NH+](Cc3ccn(C)n3)CC2)c1 ZINC001003593746 747316163 /nfs/dbraw/zinc/31/61/63/747316163.db2.gz DXWJZNVBZKAWAY-UHFFFAOYSA-N 1 2 323.400 1.191 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC1C[NH+](Cc2cc(C)no2)C1 ZINC001031678760 747368719 /nfs/dbraw/zinc/36/87/19/747368719.db2.gz URDCADDEYFNMHM-CVEARBPZSA-N 1 2 319.405 1.512 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ccnc3ccnn32)C1 ZINC001031724489 747544101 /nfs/dbraw/zinc/54/41/01/747544101.db2.gz JYWQFRSTVYXWAJ-UHFFFAOYSA-N 1 2 305.769 1.143 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]([NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC000998745515 752191782 /nfs/dbraw/zinc/19/17/82/752191782.db2.gz IJFBVHVNKORNOV-RYUDHWBXSA-N 1 2 319.409 1.607 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(NC(=O)Cc2c[nH+]ccc2OC)C1 ZINC000994846135 747617350 /nfs/dbraw/zinc/61/73/50/747617350.db2.gz SLVRUYHZBPMXEO-UHFFFAOYSA-N 1 2 317.389 1.172 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC000998748850 752200940 /nfs/dbraw/zinc/20/09/40/752200940.db2.gz XXOSJASANBMUSH-JQWIXIFHSA-N 1 2 303.366 1.187 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2[C@H](C)c1cnccn1 ZINC001110534317 747871723 /nfs/dbraw/zinc/87/17/23/747871723.db2.gz WGJYEABXMJUMBZ-ANQUJSFKSA-N 1 2 312.417 1.919 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2[C@H](C)c1cnccn1 ZINC001110534317 747871730 /nfs/dbraw/zinc/87/17/30/747871730.db2.gz WGJYEABXMJUMBZ-ANQUJSFKSA-N 1 2 312.417 1.919 20 30 DDEDLO C#CCCCC(=O)N1CCCO[C@H](C[NH2+]Cc2csnn2)C1 ZINC001200405117 748222656 /nfs/dbraw/zinc/22/26/56/748222656.db2.gz SGBICMNMUHOZNZ-CQSZACIVSA-N 1 2 322.434 1.049 20 30 DDEDLO C#CCCCC(=O)N1CCCO[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001200405019 748223088 /nfs/dbraw/zinc/22/30/88/748223088.db2.gz RCRJAEXOYGWDDD-CQSZACIVSA-N 1 2 322.434 1.049 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+][C@@H](C)c1nnc(CC)o1 ZINC001124494038 748285588 /nfs/dbraw/zinc/28/55/88/748285588.db2.gz DEAHZPVXHKIRFW-RYUDHWBXSA-N 1 2 310.398 1.380 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cccc3n[nH]nc32)C1 ZINC001033101339 748290693 /nfs/dbraw/zinc/29/06/93/748290693.db2.gz FIUZVMPVOBPHJB-NSHDSACASA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cccc3n[nH]nc32)C1 ZINC001033101339 748290697 /nfs/dbraw/zinc/29/06/97/748290697.db2.gz FIUZVMPVOBPHJB-NSHDSACASA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(C)CCC(=O)N1CCCO[C@@H](C[NH2+]Cc2nc(C)no2)C1 ZINC001200626789 748294412 /nfs/dbraw/zinc/29/44/12/748294412.db2.gz BZZFRNCHEKKYTC-AWEZNQCLSA-N 1 2 322.409 1.441 20 30 DDEDLO C[C@@H](C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1)n1cccn1 ZINC001004345970 748346059 /nfs/dbraw/zinc/34/60/59/748346059.db2.gz CRNUKJFJPRGOFE-HOCLYGCPSA-N 1 2 315.421 1.671 20 30 DDEDLO C[C@@H](C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1)n1cccn1 ZINC001004345970 748346066 /nfs/dbraw/zinc/34/60/66/748346066.db2.gz CRNUKJFJPRGOFE-HOCLYGCPSA-N 1 2 315.421 1.671 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1C[NH+](Cc2cc(C)no2)C1 ZINC001031987426 748362986 /nfs/dbraw/zinc/36/29/86/748362986.db2.gz NLUUQDMPUIJNDP-CABCVRRESA-N 1 2 305.378 1.122 20 30 DDEDLO C[C@](O)(CC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1)C1CC1 ZINC001004404836 748401763 /nfs/dbraw/zinc/40/17/63/748401763.db2.gz AKXQZQIRXIHVRX-WMZOPIPTSA-N 1 2 319.449 1.764 20 30 DDEDLO C[C@](O)(CC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1)C1CC1 ZINC001004404836 748401769 /nfs/dbraw/zinc/40/17/69/748401769.db2.gz AKXQZQIRXIHVRX-WMZOPIPTSA-N 1 2 319.449 1.764 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001032016200 748432014 /nfs/dbraw/zinc/43/20/14/748432014.db2.gz DCBZSQMNAKJSAV-CYBMUJFWSA-N 1 2 313.829 1.045 20 30 DDEDLO Cc1nc[nH]c1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004622828 748588584 /nfs/dbraw/zinc/58/85/84/748588584.db2.gz DJMVRRLCCNXGTQ-CQSZACIVSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1nc[nH]c1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004622828 748588585 /nfs/dbraw/zinc/58/85/85/748588585.db2.gz DJMVRRLCCNXGTQ-CQSZACIVSA-N 1 2 301.394 1.558 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3nccnc3C)C2)nc1 ZINC001032137335 748730183 /nfs/dbraw/zinc/73/01/83/748730183.db2.gz OCKUBJUQSVQHIU-UHFFFAOYSA-N 1 2 321.384 1.023 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](Cc2nc(C)c(C)o2)CCCO1 ZINC001149330792 748847099 /nfs/dbraw/zinc/84/70/99/748847099.db2.gz NMSDRAOBTBTONU-HNNXBMFYSA-N 1 2 321.421 1.965 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](Cc2nc(C)c(C)o2)CCCO1 ZINC001149330792 748847103 /nfs/dbraw/zinc/84/71/03/748847103.db2.gz NMSDRAOBTBTONU-HNNXBMFYSA-N 1 2 321.421 1.965 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CC23CCCC3)C1 ZINC001108325408 761916324 /nfs/dbraw/zinc/91/63/24/761916324.db2.gz OTAGINIRYCGFEN-NVXWUHKLSA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CC23CCCC3)C1 ZINC001108325408 761916326 /nfs/dbraw/zinc/91/63/26/761916326.db2.gz OTAGINIRYCGFEN-NVXWUHKLSA-N 1 2 304.434 1.797 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001056874976 761918014 /nfs/dbraw/zinc/91/80/14/761918014.db2.gz MWMUSBNGLBJFHT-AWEZNQCLSA-N 1 2 310.361 1.336 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)n2cccn2)C1 ZINC001108332378 761927187 /nfs/dbraw/zinc/92/71/87/761927187.db2.gz CESCPJIJHROYJB-HOCLYGCPSA-N 1 2 306.410 1.227 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)n2cccn2)C1 ZINC001108332378 761927193 /nfs/dbraw/zinc/92/71/93/761927193.db2.gz CESCPJIJHROYJB-HOCLYGCPSA-N 1 2 306.410 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001033342777 749232843 /nfs/dbraw/zinc/23/28/43/749232843.db2.gz HNUWTYDQDYXUTO-SWLSCSKDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001033342777 749232845 /nfs/dbraw/zinc/23/28/45/749232845.db2.gz HNUWTYDQDYXUTO-SWLSCSKDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001033365211 749253180 /nfs/dbraw/zinc/25/31/80/749253180.db2.gz DAOJFYLWDLHIAJ-RYUDHWBXSA-N 1 2 323.828 1.510 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001033365211 749253183 /nfs/dbraw/zinc/25/31/83/749253183.db2.gz DAOJFYLWDLHIAJ-RYUDHWBXSA-N 1 2 323.828 1.510 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H](CCC)OC)nn2)C1 ZINC001107191559 749527136 /nfs/dbraw/zinc/52/71/36/749527136.db2.gz YSVUIGYHWNFKDH-OAHLLOKOSA-N 1 2 321.425 1.142 20 30 DDEDLO CN(C(=O)c1cccc(-n2cc[nH+]c2)c1)[C@@H]1CCN(CC#N)C1 ZINC001033552383 749529034 /nfs/dbraw/zinc/52/90/34/749529034.db2.gz BGQAYCHCIVUQAW-MRXNPFEDSA-N 1 2 309.373 1.542 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(C)CC=CC3)nn2)C1 ZINC001107205061 749588283 /nfs/dbraw/zinc/58/82/83/749588283.db2.gz NWEHSFLWRLWNHH-UHFFFAOYSA-N 1 2 301.394 1.293 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cncc(C(N)=O)c2)C1 ZINC001033674036 749690494 /nfs/dbraw/zinc/69/04/94/749690494.db2.gz JKJFOUHOPCEFSO-CYBMUJFWSA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cncc(C(N)=O)c2)C1 ZINC001033674036 749690501 /nfs/dbraw/zinc/69/05/01/749690501.db2.gz JKJFOUHOPCEFSO-CYBMUJFWSA-N 1 2 322.796 1.079 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](C)C#N)C1 ZINC001111660254 749762074 /nfs/dbraw/zinc/76/20/74/749762074.db2.gz ATTPJAYMXQBJLA-ZQIUZPCESA-N 1 2 315.421 1.533 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](C)C#N)C1 ZINC001111660254 749762077 /nfs/dbraw/zinc/76/20/77/749762077.db2.gz ATTPJAYMXQBJLA-ZQIUZPCESA-N 1 2 315.421 1.533 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@]3(CNC(=O)[C@H](C)C#N)CCC[C@@H]23)[nH]1 ZINC001107336698 749827403 /nfs/dbraw/zinc/82/74/03/749827403.db2.gz PZRLYJVOQFOZAY-AXAPSJFSSA-N 1 2 316.409 1.134 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@]3(CNC(=O)[C@H](C)C#N)CCC[C@@H]23)[nH]1 ZINC001107336698 749827406 /nfs/dbraw/zinc/82/74/06/749827406.db2.gz PZRLYJVOQFOZAY-AXAPSJFSSA-N 1 2 316.409 1.134 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001033831876 749950829 /nfs/dbraw/zinc/95/08/29/749950829.db2.gz BICLHYNRILGGJL-CVEARBPZSA-N 1 2 314.433 1.776 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001033831876 749950832 /nfs/dbraw/zinc/95/08/32/749950832.db2.gz BICLHYNRILGGJL-CVEARBPZSA-N 1 2 314.433 1.776 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2sc3nccn3c2C)C1 ZINC001033861344 750004019 /nfs/dbraw/zinc/00/40/19/750004019.db2.gz HFLHDEUZNWZGBN-CYBMUJFWSA-N 1 2 316.430 1.874 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2sc3nccn3c2C)C1 ZINC001033861344 750004024 /nfs/dbraw/zinc/00/40/24/750004024.db2.gz HFLHDEUZNWZGBN-CYBMUJFWSA-N 1 2 316.430 1.874 20 30 DDEDLO C#CCN1CC[C@@H](N(CC)C(=O)c2ccn(-c3cc[nH+]cc3)n2)C1 ZINC001033897801 750084181 /nfs/dbraw/zinc/08/41/81/750084181.db2.gz QTDUDCHALDVHGT-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC/C=C/CNc1ncccc1C#N ZINC001107602299 750224280 /nfs/dbraw/zinc/22/42/80/750224280.db2.gz BXDUSFWUTFBDFZ-NSCUHMNNSA-N 1 2 324.388 1.404 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)CC)C2 ZINC001110967915 750261099 /nfs/dbraw/zinc/26/10/99/750261099.db2.gz KPPHZKLMRJJYSZ-MCIONIFRSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)CC)C2 ZINC001110967915 750261103 /nfs/dbraw/zinc/26/11/03/750261103.db2.gz KPPHZKLMRJJYSZ-MCIONIFRSA-N 1 2 307.438 1.446 20 30 DDEDLO CCC(=O)NC[C@@]1(C)C[N@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107660561 750313416 /nfs/dbraw/zinc/31/34/16/750313416.db2.gz GLKJMXZVXKRVFA-KRWDZBQOSA-N 1 2 301.390 1.675 20 30 DDEDLO CCC(=O)NC[C@@]1(C)C[N@@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001107660561 750313422 /nfs/dbraw/zinc/31/34/22/750313422.db2.gz GLKJMXZVXKRVFA-KRWDZBQOSA-N 1 2 301.390 1.675 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@@H]1C ZINC001090216269 750447528 /nfs/dbraw/zinc/44/75/28/750447528.db2.gz LISWHYQQWOLQJM-DETPVDSQSA-N 1 2 304.394 1.513 20 30 DDEDLO N#CCN1CCCC[C@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC001034473688 750462466 /nfs/dbraw/zinc/46/24/66/750462466.db2.gz HBMYUFBOKMYRDU-AWEZNQCLSA-N 1 2 324.388 1.375 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(C(C)(C)C)n1 ZINC001032401215 750578465 /nfs/dbraw/zinc/57/84/65/750578465.db2.gz JHIVMDZMRAHQQS-STQMWFEESA-N 1 2 301.390 1.894 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(C(C)(C)C)n1 ZINC001032401215 750578469 /nfs/dbraw/zinc/57/84/69/750578469.db2.gz JHIVMDZMRAHQQS-STQMWFEESA-N 1 2 301.390 1.894 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)c(C)c(C)[nH+]1 ZINC001056911659 762052362 /nfs/dbraw/zinc/05/23/62/762052362.db2.gz KUNVNCAYSHCEFJ-MRXNPFEDSA-N 1 2 312.417 1.852 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2C[C@@H]2C(F)F)C1 ZINC001107914849 750630925 /nfs/dbraw/zinc/63/09/25/750630925.db2.gz SXDCVDYRGIISBX-HUBLWGQQSA-N 1 2 300.349 1.118 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2C[C@@H]2C(F)F)C1 ZINC001107914849 750630931 /nfs/dbraw/zinc/63/09/31/750630931.db2.gz SXDCVDYRGIISBX-HUBLWGQQSA-N 1 2 300.349 1.118 20 30 DDEDLO CC(C)COCC[N@@H+]1CCO[C@@](C)(CNC(=O)C#CC2CC2)C1 ZINC001107932322 750665753 /nfs/dbraw/zinc/66/57/53/750665753.db2.gz JYSZLHDIHFLOHU-SFHVURJKSA-N 1 2 322.449 1.280 20 30 DDEDLO CC(C)COCC[N@H+]1CCO[C@@](C)(CNC(=O)C#CC2CC2)C1 ZINC001107932322 750665755 /nfs/dbraw/zinc/66/57/55/750665755.db2.gz JYSZLHDIHFLOHU-SFHVURJKSA-N 1 2 322.449 1.280 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Oc1ccccc1F ZINC001032417614 750714764 /nfs/dbraw/zinc/71/47/64/750714764.db2.gz JGHZKMAYOWHCQE-KKUMJFAQSA-N 1 2 316.376 1.901 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Oc1ccccc1F ZINC001032417614 750714767 /nfs/dbraw/zinc/71/47/67/750714767.db2.gz JGHZKMAYOWHCQE-KKUMJFAQSA-N 1 2 316.376 1.901 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)CCC(C)C)CC2 ZINC001127939707 750791948 /nfs/dbraw/zinc/79/19/48/750791948.db2.gz YQTCUZAGYNAVRD-CQSZACIVSA-N 1 2 317.437 1.258 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)/C(C)=C\CC)CC2 ZINC001127951030 750823920 /nfs/dbraw/zinc/82/39/20/750823920.db2.gz RUNNRJXMNMGCTB-CNTYAEFGSA-N 1 2 315.421 1.178 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccn(CC)c2C)C1 ZINC001108154649 750849678 /nfs/dbraw/zinc/84/96/78/750849678.db2.gz COYVLTUQMGSVFO-SFHVURJKSA-N 1 2 317.433 1.660 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccn(CC)c2C)C1 ZINC001108154649 750849684 /nfs/dbraw/zinc/84/96/84/750849684.db2.gz COYVLTUQMGSVFO-SFHVURJKSA-N 1 2 317.433 1.660 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cncn2)cc1 ZINC001032456562 750876764 /nfs/dbraw/zinc/87/67/64/750876764.db2.gz OZLHQMKMWSQOBI-IRXDYDNUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cncn2)cc1 ZINC001032456562 750876771 /nfs/dbraw/zinc/87/67/71/750876771.db2.gz OZLHQMKMWSQOBI-IRXDYDNUSA-N 1 2 321.384 1.189 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001114627649 750881407 /nfs/dbraw/zinc/88/14/07/750881407.db2.gz ZUJJFZYJKAXDPS-WBTNSWJXSA-N 1 2 319.405 1.450 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001114627649 750881415 /nfs/dbraw/zinc/88/14/15/750881415.db2.gz ZUJJFZYJKAXDPS-WBTNSWJXSA-N 1 2 319.405 1.450 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114680637 750983099 /nfs/dbraw/zinc/98/30/99/750983099.db2.gz KIQSCCCCYPRKCH-FICVDOATSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114680637 750983103 /nfs/dbraw/zinc/98/31/03/750983103.db2.gz KIQSCCCCYPRKCH-FICVDOATSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001032482606 750986172 /nfs/dbraw/zinc/98/61/72/750986172.db2.gz FYVDXIPMBZELEW-SNPRPXQTSA-N 1 2 320.824 1.483 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114686262 750990908 /nfs/dbraw/zinc/99/09/08/750990908.db2.gz JBDORBPPFKMWKR-YABSGUDNSA-N 1 2 304.394 1.391 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114686262 750990911 /nfs/dbraw/zinc/99/09/11/750990911.db2.gz JBDORBPPFKMWKR-YABSGUDNSA-N 1 2 304.394 1.391 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nc2ccnn2c1C ZINC001032486119 751007359 /nfs/dbraw/zinc/00/73/59/751007359.db2.gz KOJSDYGWFKJICE-GJZGRUSLSA-N 1 2 323.400 1.268 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nc2ccnn2c1C ZINC001032486119 751007365 /nfs/dbraw/zinc/00/73/65/751007365.db2.gz KOJSDYGWFKJICE-GJZGRUSLSA-N 1 2 323.400 1.268 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114718116 751020138 /nfs/dbraw/zinc/02/01/38/751020138.db2.gz HUVNUXYNOGWOAR-QLPKVWCKSA-N 1 2 315.421 1.038 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114718116 751020146 /nfs/dbraw/zinc/02/01/46/751020146.db2.gz HUVNUXYNOGWOAR-QLPKVWCKSA-N 1 2 315.421 1.038 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001114752262 751052460 /nfs/dbraw/zinc/05/24/60/751052460.db2.gz AHLKMTVDBCNPFU-HALDLXJZSA-N 1 2 302.422 1.806 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001114752262 751052466 /nfs/dbraw/zinc/05/24/66/751052466.db2.gz AHLKMTVDBCNPFU-HALDLXJZSA-N 1 2 302.422 1.806 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(-c2ccccn2)n1 ZINC001032498431 751083251 /nfs/dbraw/zinc/08/32/51/751083251.db2.gz UBGQUBDXMYHETH-STQMWFEESA-N 1 2 310.357 1.821 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(-c2ccccn2)n1 ZINC001032498431 751083256 /nfs/dbraw/zinc/08/32/56/751083256.db2.gz UBGQUBDXMYHETH-STQMWFEESA-N 1 2 310.357 1.821 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(=O)Nc1ccc(Cl)cc1 ZINC001032504028 751107001 /nfs/dbraw/zinc/10/70/01/751107001.db2.gz DOAWGHKSKZCGQA-KBPBESRZSA-N 1 2 319.792 1.750 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(=O)Nc1ccc(Cl)cc1 ZINC001032504028 751107005 /nfs/dbraw/zinc/10/70/05/751107005.db2.gz DOAWGHKSKZCGQA-KBPBESRZSA-N 1 2 319.792 1.750 20 30 DDEDLO C[C@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C1CCN(CC#N)CC1 ZINC000997648201 751181285 /nfs/dbraw/zinc/18/12/85/751181285.db2.gz GCNCMVPLWZNYEB-ZFWWWQNUSA-N 1 2 315.421 1.186 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1OCCc2ccccc21 ZINC001032554559 751288706 /nfs/dbraw/zinc/28/87/06/751288706.db2.gz CPESSWCTHTVYHI-BQFCYCMXSA-N 1 2 310.397 1.609 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1OCCc2ccccc21 ZINC001032554559 751288712 /nfs/dbraw/zinc/28/87/12/751288712.db2.gz CPESSWCTHTVYHI-BQFCYCMXSA-N 1 2 310.397 1.609 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ncoc2[C@@H]2CCCO2)C1 ZINC001008191623 752599165 /nfs/dbraw/zinc/59/91/65/752599165.db2.gz VIKQZQDLMDCHKK-STQMWFEESA-N 1 2 303.362 1.354 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ncoc2[C@@H]2CCCO2)C1 ZINC001008191623 752599168 /nfs/dbraw/zinc/59/91/68/752599168.db2.gz VIKQZQDLMDCHKK-STQMWFEESA-N 1 2 303.362 1.354 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCC[N@@H+](Cc2ccnn2C)C1 ZINC001008558043 752798398 /nfs/dbraw/zinc/79/83/98/752798398.db2.gz GRANQIZECLFJKU-IMJJTQAJSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCC[N@H+](Cc2ccnn2C)C1 ZINC001008558043 752798406 /nfs/dbraw/zinc/79/84/06/752798406.db2.gz GRANQIZECLFJKU-IMJJTQAJSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001008582907 752813353 /nfs/dbraw/zinc/81/33/53/752813353.db2.gz OMIGDFUIOXDSNJ-MGPQQGTHSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001008731838 752893734 /nfs/dbraw/zinc/89/37/34/752893734.db2.gz SCCZKIMLTJISPR-AWEZNQCLSA-N 1 2 323.824 1.490 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001008731838 752893742 /nfs/dbraw/zinc/89/37/42/752893742.db2.gz SCCZKIMLTJISPR-AWEZNQCLSA-N 1 2 323.824 1.490 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC001009142859 753085314 /nfs/dbraw/zinc/08/53/14/753085314.db2.gz FZJIWQVEDWPSHK-CQSZACIVSA-N 1 2 310.829 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC001009142859 753085321 /nfs/dbraw/zinc/08/53/21/753085321.db2.gz FZJIWQVEDWPSHK-CQSZACIVSA-N 1 2 310.829 1.779 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1CCC[N@@H+](CCF)C1 ZINC001009260835 753131246 /nfs/dbraw/zinc/13/12/46/753131246.db2.gz OXQNDASJZONHRC-ZDUSSCGKSA-N 1 2 305.353 1.257 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1CCC[N@H+](CCF)C1 ZINC001009260835 753131248 /nfs/dbraw/zinc/13/12/48/753131248.db2.gz OXQNDASJZONHRC-ZDUSSCGKSA-N 1 2 305.353 1.257 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001009473930 753208838 /nfs/dbraw/zinc/20/88/38/753208838.db2.gz CJLXWLKLMCHFNV-CQSZACIVSA-N 1 2 321.808 1.732 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001009473930 753208841 /nfs/dbraw/zinc/20/88/41/753208841.db2.gz CJLXWLKLMCHFNV-CQSZACIVSA-N 1 2 321.808 1.732 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@]2(c3ccccc3)CC2(C)C)C1 ZINC001077883708 753335597 /nfs/dbraw/zinc/33/55/97/753335597.db2.gz LMARCSWXSLCHHA-GPMSIDNRSA-N 1 2 312.413 1.149 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@]2(c3ccccc3)CC2(C)C)C1 ZINC001077883708 753335599 /nfs/dbraw/zinc/33/55/99/753335599.db2.gz LMARCSWXSLCHHA-GPMSIDNRSA-N 1 2 312.413 1.149 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001108002759 753480683 /nfs/dbraw/zinc/48/06/83/753480683.db2.gz QMCWQWZKVRFTEY-OCCSQVGLSA-N 1 2 324.388 1.329 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001010038243 753565552 /nfs/dbraw/zinc/56/55/52/753565552.db2.gz LGJRICMANKIHNV-CQSZACIVSA-N 1 2 323.356 1.058 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001010038243 753565556 /nfs/dbraw/zinc/56/55/56/753565556.db2.gz LGJRICMANKIHNV-CQSZACIVSA-N 1 2 323.356 1.058 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)[C@H]3CCCO3)C2)cc1F ZINC001010064739 753585928 /nfs/dbraw/zinc/58/59/28/753585928.db2.gz ZVNDGFUOVGWVRH-GOEBONIOSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)[C@H]3CCCO3)C2)cc1F ZINC001010064739 753585929 /nfs/dbraw/zinc/58/59/29/753585929.db2.gz ZVNDGFUOVGWVRH-GOEBONIOSA-N 1 2 317.364 1.567 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001078036095 753667876 /nfs/dbraw/zinc/66/78/76/753667876.db2.gz GLSUUPRMZMYRNA-LRDDRELGSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](OC)C2CCCCC2)C1 ZINC001108449841 762238547 /nfs/dbraw/zinc/23/85/47/762238547.db2.gz GQYZOPMGMOMSEQ-AEFFLSMTSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](OC)C2CCCCC2)C1 ZINC001108449841 762238548 /nfs/dbraw/zinc/23/85/48/762238548.db2.gz GQYZOPMGMOMSEQ-AEFFLSMTSA-N 1 2 324.465 1.975 20 30 DDEDLO C#CCN1CCO[C@@](C)(CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001108029667 753743043 /nfs/dbraw/zinc/74/30/43/753743043.db2.gz YGUCZEAOJCDMSC-KRWDZBQOSA-N 1 2 312.373 1.017 20 30 DDEDLO Cc1ncncc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001010325678 753764516 /nfs/dbraw/zinc/76/45/16/753764516.db2.gz QFFDQYQUDBZSLQ-QGZVFWFLSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1ncncc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001010325678 753764517 /nfs/dbraw/zinc/76/45/17/753764517.db2.gz QFFDQYQUDBZSLQ-QGZVFWFLSA-N 1 2 320.396 1.641 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cc(C)c(C)cc2O1 ZINC001032794764 753885411 /nfs/dbraw/zinc/88/54/11/753885411.db2.gz QLZWLOSYYNJXJG-BQFCYCMXSA-N 1 2 310.397 1.525 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cc(C)c(C)cc2O1 ZINC001032794764 753885419 /nfs/dbraw/zinc/88/54/19/753885419.db2.gz QLZWLOSYYNJXJG-BQFCYCMXSA-N 1 2 310.397 1.525 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)Cc2cncnc2)C1 ZINC001010798925 754171476 /nfs/dbraw/zinc/17/14/76/754171476.db2.gz DXNDCFNQQUNXIX-QGZVFWFLSA-N 1 2 321.384 1.281 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)Cc2cncnc2)C1 ZINC001010798925 754171480 /nfs/dbraw/zinc/17/14/80/754171480.db2.gz DXNDCFNQQUNXIX-QGZVFWFLSA-N 1 2 321.384 1.281 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001063562823 754236510 /nfs/dbraw/zinc/23/65/10/754236510.db2.gz UGACMYXPJBVURD-KFWWJZLASA-N 1 2 316.405 1.779 20 30 DDEDLO C#CC[NH2+][C@@H]1C[C@H](NC(=O)c2cncc3nc[nH]c32)C12CCC2 ZINC001078631416 754238619 /nfs/dbraw/zinc/23/86/19/754238619.db2.gz GLBLWMNYKGMHLD-KGLIPLIRSA-N 1 2 309.373 1.222 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cccc(F)c1F ZINC001032818932 754465382 /nfs/dbraw/zinc/46/53/82/754465382.db2.gz ZZSYCLYPOOUXSG-KBPBESRZSA-N 1 2 304.340 1.816 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cccc(F)c1F ZINC001032818932 754465388 /nfs/dbraw/zinc/46/53/88/754465388.db2.gz ZZSYCLYPOOUXSG-KBPBESRZSA-N 1 2 304.340 1.816 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCC[C@H]2NC(=O)Cn2cc[nH+]c2)cn1 ZINC001064099608 754499446 /nfs/dbraw/zinc/49/94/46/754499446.db2.gz PFMBEZKCRBHEHQ-XJKSGUPXSA-N 1 2 324.388 1.547 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCC[C@@H]2NC(=O)Cn2cc[nH+]c2)cn1 ZINC001064099606 754499457 /nfs/dbraw/zinc/49/94/57/754499457.db2.gz PFMBEZKCRBHEHQ-CJNGLKHVSA-N 1 2 324.388 1.547 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](OC)C1CCCCC1 ZINC001032824511 754562833 /nfs/dbraw/zinc/56/28/33/754562833.db2.gz YYLDJBVMTZGVHX-ULQDDVLXSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](OC)C1CCCCC1 ZINC001032824511 754562839 /nfs/dbraw/zinc/56/28/39/754562839.db2.gz YYLDJBVMTZGVHX-ULQDDVLXSA-N 1 2 304.434 1.890 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cccc3n[nH]nc32)C1 ZINC001079755437 755565053 /nfs/dbraw/zinc/56/50/53/755565053.db2.gz PZNKMBMXPXMKLW-NOZJJQNGSA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cccc3n[nH]nc32)C1 ZINC001079755437 755565055 /nfs/dbraw/zinc/56/50/55/755565055.db2.gz PZNKMBMXPXMKLW-NOZJJQNGSA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001079981968 755679318 /nfs/dbraw/zinc/67/93/18/755679318.db2.gz IWEVXOQWGZMUEM-UXIGCNINSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001079981968 755679321 /nfs/dbraw/zinc/67/93/21/755679321.db2.gz IWEVXOQWGZMUEM-UXIGCNINSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001014430285 755733327 /nfs/dbraw/zinc/73/33/27/755733327.db2.gz AYIYDTHRLVCMQL-RYUDHWBXSA-N 1 2 308.813 1.773 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001014430285 755733329 /nfs/dbraw/zinc/73/33/29/755733329.db2.gz AYIYDTHRLVCMQL-RYUDHWBXSA-N 1 2 308.813 1.773 20 30 DDEDLO C=CCCCC(=O)N(CC)C1CN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001080387781 755875091 /nfs/dbraw/zinc/87/50/91/755875091.db2.gz QUZRYSZFSUZEFO-UHFFFAOYSA-N 1 2 318.421 1.378 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(=O)[nH]c(C3CC3)n2)C1 ZINC001014856464 755981697 /nfs/dbraw/zinc/98/16/97/755981697.db2.gz NKTGCFTXKFANQG-NSHDSACASA-N 1 2 322.796 1.616 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(=O)[nH]c(C3CC3)n2)C1 ZINC001014856464 755981702 /nfs/dbraw/zinc/98/17/02/755981702.db2.gz NKTGCFTXKFANQG-NSHDSACASA-N 1 2 322.796 1.616 20 30 DDEDLO N#CCN[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1c1ccccc1 ZINC001081111409 756210950 /nfs/dbraw/zinc/21/09/50/756210950.db2.gz VIGJWDCACNRQOJ-JKSUJKDBSA-N 1 2 323.400 1.450 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+](C)Cc1ccc(OCC(=O)OC)cc1 ZINC001169474028 762458700 /nfs/dbraw/zinc/45/87/00/762458700.db2.gz QBCRKTMJEQNTIL-HNNXBMFYSA-N 1 2 321.373 1.788 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+](C)Cc1ccc(OCC(=O)OC)cc1 ZINC001169474028 762458703 /nfs/dbraw/zinc/45/87/03/762458703.db2.gz QBCRKTMJEQNTIL-HNNXBMFYSA-N 1 2 321.373 1.788 20 30 DDEDLO N#CCN1CC=C(CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC001001012492 762466876 /nfs/dbraw/zinc/46/68/76/762466876.db2.gz MZQQVAMUPCWTJF-UHFFFAOYSA-N 1 2 321.384 1.758 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)cs2)C1 ZINC001015720389 756493449 /nfs/dbraw/zinc/49/34/49/756493449.db2.gz AFZBASFGNJGGKB-MJBXVCDLSA-N 1 2 321.446 1.733 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2nc(C)cs2)C1 ZINC001015720389 756493450 /nfs/dbraw/zinc/49/34/50/756493450.db2.gz AFZBASFGNJGGKB-MJBXVCDLSA-N 1 2 321.446 1.733 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2c(C)noc2C(F)F)[C@H](OC)C1 ZINC001081840403 756510594 /nfs/dbraw/zinc/51/05/94/756510594.db2.gz DUDZUJOULZYEJZ-NXEZZACHSA-N 1 2 315.320 1.536 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2c(C)noc2C(F)F)[C@H](OC)C1 ZINC001081840403 756510597 /nfs/dbraw/zinc/51/05/97/756510597.db2.gz DUDZUJOULZYEJZ-NXEZZACHSA-N 1 2 315.320 1.536 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CCCCC)CC2=O)C1 ZINC001108506011 762505585 /nfs/dbraw/zinc/50/55/85/762505585.db2.gz IRCIIEFPORYHTC-AWEZNQCLSA-N 1 2 307.438 1.544 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001097262580 757030139 /nfs/dbraw/zinc/03/01/39/757030139.db2.gz SUXOGIJSCDTTDF-OKILXGFUSA-N 1 2 310.361 1.368 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001097262580 757030142 /nfs/dbraw/zinc/03/01/42/757030142.db2.gz SUXOGIJSCDTTDF-OKILXGFUSA-N 1 2 310.361 1.368 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001097316579 757086496 /nfs/dbraw/zinc/08/64/96/757086496.db2.gz OTWZZNANFSFZAU-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001097316711 757087042 /nfs/dbraw/zinc/08/70/42/757087042.db2.gz PYJQVKWZAYZZON-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4c[nH]ccc-4n3)[C@H]2C1 ZINC001083121562 757123513 /nfs/dbraw/zinc/12/35/13/757123513.db2.gz SERYXDRLHKNIMW-DLBZAZTESA-N 1 2 324.384 1.111 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4c[nH]ccc-4n3)[C@H]2C1 ZINC001083121562 757123518 /nfs/dbraw/zinc/12/35/18/757123518.db2.gz SERYXDRLHKNIMW-DLBZAZTESA-N 1 2 324.384 1.111 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccnn3C(C)C)[C@H]2C1 ZINC001083093730 757132288 /nfs/dbraw/zinc/13/22/88/757132288.db2.gz XNTYGHRHUCLQTC-JKSUJKDBSA-N 1 2 316.405 1.013 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccnn3C(C)C)[C@H]2C1 ZINC001083093730 757132291 /nfs/dbraw/zinc/13/22/91/757132291.db2.gz XNTYGHRHUCLQTC-JKSUJKDBSA-N 1 2 316.405 1.013 20 30 DDEDLO C[C@H](CC(=O)NCCN(C)c1ccncc1C#N)n1cc[nH+]c1 ZINC001100350420 757251096 /nfs/dbraw/zinc/25/10/96/757251096.db2.gz HOKOPVIXQMJJDB-CYBMUJFWSA-N 1 2 312.377 1.353 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc(-c3ccccc3)co2)[C@@H](O)C1 ZINC001084127198 757294385 /nfs/dbraw/zinc/29/43/85/757294385.db2.gz YLPWQHHRBRBQJB-CVEARBPZSA-N 1 2 312.369 1.908 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc(-c3ccccc3)co2)[C@@H](O)C1 ZINC001084127198 757294393 /nfs/dbraw/zinc/29/43/93/757294393.db2.gz YLPWQHHRBRBQJB-CVEARBPZSA-N 1 2 312.369 1.908 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3ccnc(Cl)c3)C[C@H]21 ZINC001084236082 757437568 /nfs/dbraw/zinc/43/75/68/757437568.db2.gz ZTQXYRMHSAMLLR-MRVWCRGKSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccnc(Cl)c3)C[C@H]21 ZINC001084236082 757437574 /nfs/dbraw/zinc/43/75/74/757437574.db2.gz ZTQXYRMHSAMLLR-MRVWCRGKSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H](C[N@H+](C)Cc2nncs2)C1 ZINC001017071999 757615205 /nfs/dbraw/zinc/61/52/05/757615205.db2.gz QYZARXCJZAIPIB-NWDGAFQWSA-N 1 2 307.423 1.368 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H](C[N@@H+](C)Cc2nncs2)C1 ZINC001017071999 757615210 /nfs/dbraw/zinc/61/52/10/757615210.db2.gz QYZARXCJZAIPIB-NWDGAFQWSA-N 1 2 307.423 1.368 20 30 DDEDLO C[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067179605 757753499 /nfs/dbraw/zinc/75/34/99/757753499.db2.gz ZLJMFLWCCPPJHA-HIFRSBDPSA-N 1 2 324.388 1.499 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001052867336 757903411 /nfs/dbraw/zinc/90/34/11/757903411.db2.gz MUTNJQGUKRQZLP-UONOGXRCSA-N 1 2 318.421 1.306 20 30 DDEDLO CC[C@H](F)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(C#N)c1 ZINC001017489870 757970445 /nfs/dbraw/zinc/97/04/45/757970445.db2.gz OGKYFHFQLCUVNB-AEGPPILISA-N 1 2 304.369 1.923 20 30 DDEDLO CC[C@H](F)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(C#N)c1 ZINC001017489870 757970451 /nfs/dbraw/zinc/97/04/51/757970451.db2.gz OGKYFHFQLCUVNB-AEGPPILISA-N 1 2 304.369 1.923 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1Cc2ccccc2O1 ZINC001017582698 758049831 /nfs/dbraw/zinc/04/98/31/758049831.db2.gz PGTWIMJRWWMOJB-BBWFWOEESA-N 1 2 310.397 1.689 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1Cc2ccccc2O1 ZINC001017582698 758049840 /nfs/dbraw/zinc/04/98/40/758049840.db2.gz PGTWIMJRWWMOJB-BBWFWOEESA-N 1 2 310.397 1.689 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1CC(C)C ZINC001017592504 758060769 /nfs/dbraw/zinc/06/07/69/758060769.db2.gz ULOJNDVYEIDLKE-IYBDPMFKSA-N 1 2 314.433 1.851 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1CC(C)C ZINC001017592504 758060774 /nfs/dbraw/zinc/06/07/74/758060774.db2.gz ULOJNDVYEIDLKE-IYBDPMFKSA-N 1 2 314.433 1.851 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1COCC2 ZINC001017611813 758080952 /nfs/dbraw/zinc/08/09/52/758080952.db2.gz ZZDSUDSZTPKVFM-IYBDPMFKSA-N 1 2 310.397 1.681 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1COCC2 ZINC001017611813 758080963 /nfs/dbraw/zinc/08/09/63/758080963.db2.gz ZZDSUDSZTPKVFM-IYBDPMFKSA-N 1 2 310.397 1.681 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCN(C(=O)c4cc(C#N)c[nH]4)[C@@H]3C2)cc[nH+]1 ZINC001040569220 762599534 /nfs/dbraw/zinc/59/95/34/762599534.db2.gz WQROFPWOEFPZBO-DZGCQCFKSA-N 1 2 322.372 1.336 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CC[C@@H](C2CC2)O1 ZINC001017756460 758209454 /nfs/dbraw/zinc/20/94/54/758209454.db2.gz MMNJYELRUUEIQF-TWMKSMIVSA-N 1 2 302.418 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CC[C@@H](C2CC2)O1 ZINC001017756460 758209460 /nfs/dbraw/zinc/20/94/60/758209460.db2.gz MMNJYELRUUEIQF-TWMKSMIVSA-N 1 2 302.418 1.643 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C(C)C)cn1 ZINC001017767775 758217185 /nfs/dbraw/zinc/21/71/85/758217185.db2.gz SBIXKUZYURNGPK-GASCZTMLSA-N 1 2 300.406 1.776 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C(C)C)cn1 ZINC001017767775 758217195 /nfs/dbraw/zinc/21/71/95/758217195.db2.gz SBIXKUZYURNGPK-GASCZTMLSA-N 1 2 300.406 1.776 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c(C)ccn1C)CCO2 ZINC001053233521 758289265 /nfs/dbraw/zinc/28/92/65/758289265.db2.gz AGGOTNKQCCNYPR-UHFFFAOYSA-N 1 2 303.406 1.436 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCCO[C@@H]1CC)CCO2 ZINC001053285349 758339481 /nfs/dbraw/zinc/33/94/81/758339481.db2.gz SFSZFMBMCUPWNM-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(Cl)cn1C)CCO2 ZINC001053333061 758382293 /nfs/dbraw/zinc/38/22/93/758382293.db2.gz LPLZSMPXTIOSAT-UHFFFAOYSA-N 1 2 323.824 1.781 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCOC2(C[NH+](C[C@@H](CC)OC)C2)C1 ZINC001053370432 758409078 /nfs/dbraw/zinc/40/90/78/758409078.db2.gz FOHMZYDGBSXIFK-OAHLLOKOSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCn1cccc1)CCO2 ZINC001053487297 758493981 /nfs/dbraw/zinc/49/39/81/758493981.db2.gz FGMNUBREECPPDB-UHFFFAOYSA-N 1 2 303.406 1.368 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2ccccc12 ZINC001018075389 758526932 /nfs/dbraw/zinc/52/69/32/758526932.db2.gz KYOJZFURROCWKX-GASCZTMLSA-N 1 2 308.385 1.646 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2ccccc12 ZINC001018075389 758526935 /nfs/dbraw/zinc/52/69/35/758526935.db2.gz KYOJZFURROCWKX-GASCZTMLSA-N 1 2 308.385 1.646 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@H](CC)C1 ZINC001018156310 758587107 /nfs/dbraw/zinc/58/71/07/758587107.db2.gz LCHMICZCRMBZKV-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@H](CC)C1 ZINC001018156310 758587119 /nfs/dbraw/zinc/58/71/19/758587119.db2.gz LCHMICZCRMBZKV-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2nc[nH]c2C1 ZINC001018160678 758590334 /nfs/dbraw/zinc/59/03/34/758590334.db2.gz VZHPKTWJHSOSLP-MCIONIFRSA-N 1 2 300.406 1.376 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2nc[nH]c2C1 ZINC001018160678 758590343 /nfs/dbraw/zinc/59/03/43/758590343.db2.gz VZHPKTWJHSOSLP-MCIONIFRSA-N 1 2 300.406 1.376 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1OCC[C@H]1C)O2 ZINC001053665748 758677968 /nfs/dbraw/zinc/67/79/68/758677968.db2.gz WCAZRJZPOKEVPE-KFWWJZLASA-N 1 2 308.422 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1C[C@H]3CC[C@@H]1O3)O2 ZINC001053667560 758679469 /nfs/dbraw/zinc/67/94/69/758679469.db2.gz ZMDQEPFJJYJDAT-QXSJWSMHSA-N 1 2 320.433 1.480 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnoc1CC)O2 ZINC001053670658 758682434 /nfs/dbraw/zinc/68/24/34/758682434.db2.gz TVJOXJPUJNLETM-ZDUSSCGKSA-N 1 2 319.405 1.776 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(OC)o1)O2 ZINC001053679898 758690937 /nfs/dbraw/zinc/69/09/37/758690937.db2.gz RHRKQKOPGVRBMC-LBPRGKRZSA-N 1 2 306.362 1.437 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)CN1CCOCC1 ZINC001018277996 758691483 /nfs/dbraw/zinc/69/14/83/758691483.db2.gz WPRXWTVLHAEKID-ZACQAIPSSA-N 1 2 321.465 1.206 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)CN1CCOCC1 ZINC001018277996 758691489 /nfs/dbraw/zinc/69/14/89/758691489.db2.gz WPRXWTVLHAEKID-ZACQAIPSSA-N 1 2 321.465 1.206 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(C)(C)C(C)C)CC2=O)C1 ZINC001108549657 762651587 /nfs/dbraw/zinc/65/15/87/762651587.db2.gz NDKJNJHZCHKKEP-ZDUSSCGKSA-N 1 2 307.438 1.256 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cccn1CC)O2 ZINC001053698642 758707932 /nfs/dbraw/zinc/70/79/32/758707932.db2.gz QYZFRQOMAQQLOV-CQSZACIVSA-N 1 2 303.406 1.657 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CCC)CC2)C1 ZINC001065710954 758728816 /nfs/dbraw/zinc/72/88/16/758728816.db2.gz GRNFPMFEJMOLJG-MRXNPFEDSA-N 1 2 321.465 1.888 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]([NH+]3CCN(C(C)=O)CC3)C2)CCC1 ZINC001065713113 758730528 /nfs/dbraw/zinc/73/05/28/758730528.db2.gz MERUCGHPXCUPJI-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1OCC ZINC001018351854 758750812 /nfs/dbraw/zinc/75/08/12/758750812.db2.gz NMLYERZJMOUGCI-GASCZTMLSA-N 1 2 313.401 1.792 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1OCC ZINC001018351854 758750818 /nfs/dbraw/zinc/75/08/18/758750818.db2.gz NMLYERZJMOUGCI-GASCZTMLSA-N 1 2 313.401 1.792 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccccc1O)CO2 ZINC001053740461 758755117 /nfs/dbraw/zinc/75/51/17/758755117.db2.gz LUYWBRKMMLKMGE-ZDUSSCGKSA-N 1 2 302.374 1.541 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(=O)/C(C)=C\C)C2)CC1 ZINC001018368454 758763187 /nfs/dbraw/zinc/76/31/87/758763187.db2.gz ZUEQTWWIMGJZHV-DNBBOTNYSA-N 1 2 319.449 1.521 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)nc1)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065780637 758795605 /nfs/dbraw/zinc/79/56/05/758795605.db2.gz STBDXPPOWBRBDJ-OLZOCXBDSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1=CCCCCC1)CO2 ZINC001053782859 758806714 /nfs/dbraw/zinc/80/67/14/758806714.db2.gz DEKBWUYLMZYOAT-MRXNPFEDSA-N 1 2 302.418 1.860 20 30 DDEDLO C[C@@H](CNC(=O)CCCn1cc[nH+]c1)Nc1ccc(C#N)cn1 ZINC001097911645 758906891 /nfs/dbraw/zinc/90/68/91/758906891.db2.gz NRSAJMMGCJHFRJ-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)c1ccco1)CO2 ZINC001053922805 758957768 /nfs/dbraw/zinc/95/77/68/758957768.db2.gz JJDWGIFZOYTLJC-ZIAGYGMSSA-N 1 2 302.374 1.366 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1[nH]c(C)nc1C)CO2 ZINC001053929208 758965743 /nfs/dbraw/zinc/96/57/43/758965743.db2.gz UEQYQNBOXRMRSY-ZDUSSCGKSA-N 1 2 304.394 1.176 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccco1)CO2 ZINC001053933994 758968934 /nfs/dbraw/zinc/96/89/34/758968934.db2.gz CTEBBYXEXIHCNP-RBSFLKMASA-N 1 2 316.401 1.919 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]c3ncccc13)CO2 ZINC001054009822 759058262 /nfs/dbraw/zinc/05/82/62/759058262.db2.gz FNMSLPFDEADQHD-ZDUSSCGKSA-N 1 2 324.384 1.159 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)c1cnn(C)c1)CO2 ZINC001054026980 759077987 /nfs/dbraw/zinc/07/79/87/759077987.db2.gz ISTXCFVCRPOJTF-HIFRSBDPSA-N 1 2 318.421 1.059 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnc(OCC)c2)C1 ZINC001108202919 759089830 /nfs/dbraw/zinc/08/98/30/759089830.db2.gz PMSKYSJOQCAKGW-KRWDZBQOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnc(OCC)c2)C1 ZINC001108202919 759089838 /nfs/dbraw/zinc/08/98/38/759089838.db2.gz PMSKYSJOQCAKGW-KRWDZBQOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nc[nH]n1 ZINC001054056917 759111348 /nfs/dbraw/zinc/11/13/48/759111348.db2.gz WRTZSVTUFIZJLN-HNNXBMFYSA-N 1 2 311.389 1.538 20 30 DDEDLO C=CCC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nc[nH]n1 ZINC001054056917 759111350 /nfs/dbraw/zinc/11/13/50/759111350.db2.gz WRTZSVTUFIZJLN-HNNXBMFYSA-N 1 2 311.389 1.538 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cn(CC)cn1 ZINC001054058569 759112446 /nfs/dbraw/zinc/11/24/46/759112446.db2.gz PXXXJRFBHZOMBB-KRWDZBQOSA-N 1 2 322.412 1.693 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cn(CC)cn1 ZINC001054058569 759112449 /nfs/dbraw/zinc/11/24/49/759112449.db2.gz PXXXJRFBHZOMBB-KRWDZBQOSA-N 1 2 322.412 1.693 20 30 DDEDLO Cn1cc(Cl)c(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001018829605 759316160 /nfs/dbraw/zinc/31/61/60/759316160.db2.gz AIUNDICXUUCEIH-LBPRGKRZSA-N 1 2 306.797 1.177 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cn(CC3CC3)nn2)C1 ZINC001046731109 767862044 /nfs/dbraw/zinc/86/20/44/767862044.db2.gz HLTUDPCJGQFCFW-HNNXBMFYSA-N 1 2 323.828 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cn(CC3CC3)nn2)C1 ZINC001046731109 767862052 /nfs/dbraw/zinc/86/20/52/767862052.db2.gz HLTUDPCJGQFCFW-HNNXBMFYSA-N 1 2 323.828 1.635 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)c2ccoc2)C1=O ZINC001085486677 759499833 /nfs/dbraw/zinc/49/98/33/759499833.db2.gz HBJYYPHVECIKSU-CABCVRRESA-N 1 2 317.389 1.213 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)c2ccoc2)C1=O ZINC001085486677 759499838 /nfs/dbraw/zinc/49/98/38/759499838.db2.gz HBJYYPHVECIKSU-CABCVRRESA-N 1 2 317.389 1.213 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#Cc2ccccc2)[nH]n1 ZINC001085502183 759536147 /nfs/dbraw/zinc/53/61/47/759536147.db2.gz ROPKOPFLJQMMJZ-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@H]2CC[N@H+]2CC#Cc2ccccc2)[nH]n1 ZINC001085502183 759536151 /nfs/dbraw/zinc/53/61/51/759536151.db2.gz ROPKOPFLJQMMJZ-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+]Cc3csnn3)C2)cc1 ZINC001019224536 759699382 /nfs/dbraw/zinc/69/93/82/759699382.db2.gz VTRKFYQSSIITSE-AWEZNQCLSA-N 1 2 312.398 1.524 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1oc(CC2CC2)nc1C ZINC001085597009 759808589 /nfs/dbraw/zinc/80/85/89/759808589.db2.gz OEJYOUNAHQXGHJ-CQSZACIVSA-N 1 2 301.390 1.715 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1oc(CC2CC2)nc1C ZINC001085597009 759808603 /nfs/dbraw/zinc/80/86/03/759808603.db2.gz OEJYOUNAHQXGHJ-CQSZACIVSA-N 1 2 301.390 1.715 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([NH2+]Cc3nnc(CC)o3)C2)C1 ZINC001019353658 759841525 /nfs/dbraw/zinc/84/15/25/759841525.db2.gz VYXGXFKQEKYULP-LBPRGKRZSA-N 1 2 304.394 1.679 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H]2CN(C(=O)C#CC3CC3)C[C@H]2C)n1 ZINC001054639591 759930672 /nfs/dbraw/zinc/93/06/72/759930672.db2.gz UKWQUQFTGLNQBX-OCCSQVGLSA-N 1 2 316.405 1.543 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001085675937 759977478 /nfs/dbraw/zinc/97/74/78/759977478.db2.gz DFJICTXZGAUHNK-ZIAGYGMSSA-N 1 2 322.840 1.731 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncsc1C(F)(F)F ZINC001085718559 760101376 /nfs/dbraw/zinc/10/13/76/760101376.db2.gz UMEARJHKQMOVOP-SECBINFHSA-N 1 2 317.336 1.941 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncsc1C(F)(F)F ZINC001085718559 760101379 /nfs/dbraw/zinc/10/13/79/760101379.db2.gz UMEARJHKQMOVOP-SECBINFHSA-N 1 2 317.336 1.941 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nnc2ccccc2n1 ZINC001085725437 760108979 /nfs/dbraw/zinc/10/89/79/760108979.db2.gz WOXZRZFZCAZRFB-CYBMUJFWSA-N 1 2 309.373 1.194 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nnc2ccccc2n1 ZINC001085725437 760108983 /nfs/dbraw/zinc/10/89/83/760108983.db2.gz WOXZRZFZCAZRFB-CYBMUJFWSA-N 1 2 309.373 1.194 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001069214066 767920224 /nfs/dbraw/zinc/92/02/24/767920224.db2.gz HRXQDDIXRRKDEV-WFASDCNBSA-N 1 2 324.388 1.629 20 30 DDEDLO Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)nn1 ZINC001038239134 760937667 /nfs/dbraw/zinc/93/76/67/760937667.db2.gz JCVNIGFVAUSEFF-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)nn1 ZINC001038239134 760937672 /nfs/dbraw/zinc/93/76/72/760937672.db2.gz JCVNIGFVAUSEFF-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn(CCC)c2)C1 ZINC001108250999 761145032 /nfs/dbraw/zinc/14/50/32/761145032.db2.gz YZADAOXJWTXBNX-MRXNPFEDSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn(CCC)c2)C1 ZINC001108250999 761145035 /nfs/dbraw/zinc/14/50/35/761145035.db2.gz YZADAOXJWTXBNX-MRXNPFEDSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)C)no2)C1 ZINC001108257765 761230267 /nfs/dbraw/zinc/23/02/67/761230267.db2.gz MQLGFKPJFNJOAG-INIZCTEOSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)C)no2)C1 ZINC001108257765 761230271 /nfs/dbraw/zinc/23/02/71/761230271.db2.gz MQLGFKPJFNJOAG-INIZCTEOSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001056800217 761439862 /nfs/dbraw/zinc/43/98/62/761439862.db2.gz SEPQRTDRHJJLDU-KFWWJZLASA-N 1 2 316.405 1.779 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC001038877711 761502960 /nfs/dbraw/zinc/50/29/60/761502960.db2.gz IVXNCSGFMMSVES-INIZCTEOSA-N 1 2 308.385 1.904 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC001038877711 761502966 /nfs/dbraw/zinc/50/29/66/761502966.db2.gz IVXNCSGFMMSVES-INIZCTEOSA-N 1 2 308.385 1.904 20 30 DDEDLO N#CCN1CC[C@@H]([C@@H]2CCCCN2C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001039236754 761872059 /nfs/dbraw/zinc/87/20/59/761872059.db2.gz COYIKDSLORTSPV-KGLIPLIRSA-N 1 2 301.394 1.179 20 30 DDEDLO C#CCC1(C(=O)N[C@@H](C)CNc2cc[nH+]c(C)n2)CCOCC1 ZINC001108571353 762727915 /nfs/dbraw/zinc/72/79/15/762727915.db2.gz DMIZRBXRKHPNGO-ZDUSSCGKSA-N 1 2 316.405 1.522 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3(C(C)C)CC3)CC2=O)C1 ZINC001108571611 762729493 /nfs/dbraw/zinc/72/94/93/762729493.db2.gz GOFQWZIJIDVNFD-ZDUSSCGKSA-N 1 2 305.422 1.010 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001108588439 762773323 /nfs/dbraw/zinc/77/33/23/762773323.db2.gz JPJDMMOWLKASTG-QWHCGFSZSA-N 1 2 324.388 1.329 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC(=O)NCC[N@@H+](CC(=C)C)CC1 ZINC001131378971 768104221 /nfs/dbraw/zinc/10/42/21/768104221.db2.gz NZOPFJRYHNODBH-HOTGVXAUSA-N 1 2 321.465 1.671 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC(=O)NCC[N@H+](CC(=C)C)CC1 ZINC001131378971 768104226 /nfs/dbraw/zinc/10/42/26/768104226.db2.gz NZOPFJRYHNODBH-HOTGVXAUSA-N 1 2 321.465 1.671 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](CCC)C(C)C)CC1 ZINC001131388513 768108716 /nfs/dbraw/zinc/10/87/16/768108716.db2.gz PIQFTIMUXIXICD-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](CCC)C(C)C)CC1 ZINC001131388513 768108718 /nfs/dbraw/zinc/10/87/18/768108718.db2.gz PIQFTIMUXIXICD-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCCOCC(=O)N[C@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001108995727 763210698 /nfs/dbraw/zinc/21/06/98/763210698.db2.gz JZLAEZLZAMCOEF-GFCCVEGCSA-N 1 2 320.437 1.935 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC(Nc2ccc(C#N)nc2)CC1 ZINC001057352226 763493462 /nfs/dbraw/zinc/49/34/62/763493462.db2.gz YPEFGOVMJOBZBY-UHFFFAOYSA-N 1 2 324.388 1.630 20 30 DDEDLO Cn1nccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)(C)C)C2 ZINC001109259383 763525613 /nfs/dbraw/zinc/52/56/13/763525613.db2.gz ATGWWRUGFNVWMG-KBMXLJTQSA-N 1 2 314.433 1.691 20 30 DDEDLO Cn1nccc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)(C)C)C2 ZINC001109259383 763525620 /nfs/dbraw/zinc/52/56/20/763525620.db2.gz ATGWWRUGFNVWMG-KBMXLJTQSA-N 1 2 314.433 1.691 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)nc1 ZINC001042058592 763601661 /nfs/dbraw/zinc/60/16/61/763601661.db2.gz SKMBLGCBYZRSQF-IAGOWNOFSA-N 1 2 324.428 1.621 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)nc1 ZINC001042058592 763601670 /nfs/dbraw/zinc/60/16/70/763601670.db2.gz SKMBLGCBYZRSQF-IAGOWNOFSA-N 1 2 324.428 1.621 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCn1ccnn1)C2 ZINC001109393259 763647465 /nfs/dbraw/zinc/64/74/65/763647465.db2.gz YRTDKUYITUZSEO-RDBSUJKOSA-N 1 2 323.828 1.532 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCn1ccnn1)C2 ZINC001109393259 763647474 /nfs/dbraw/zinc/64/74/74/763647474.db2.gz YRTDKUYITUZSEO-RDBSUJKOSA-N 1 2 323.828 1.532 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109633313 763896462 /nfs/dbraw/zinc/89/64/62/763896462.db2.gz WOVXIMSANDIRMK-WCVJEAGWSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109633313 763896469 /nfs/dbraw/zinc/89/64/69/763896469.db2.gz WOVXIMSANDIRMK-WCVJEAGWSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)cncc2C)[C@H](O)C1 ZINC001090428749 764083379 /nfs/dbraw/zinc/08/33/79/764083379.db2.gz XBWVVQDWQYZTAU-UONOGXRCSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)cncc2C)[C@H](O)C1 ZINC001090428749 764083390 /nfs/dbraw/zinc/08/33/90/764083390.db2.gz XBWVVQDWQYZTAU-UONOGXRCSA-N 1 2 323.824 1.616 20 30 DDEDLO C[C@H](F)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001050880023 764226123 /nfs/dbraw/zinc/22/61/23/764226123.db2.gz KJOVZRDRKXTOHV-FZMZJTMJSA-N 1 2 308.357 1.065 20 30 DDEDLO C[C@H](F)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001050880023 764226132 /nfs/dbraw/zinc/22/61/32/764226132.db2.gz KJOVZRDRKXTOHV-FZMZJTMJSA-N 1 2 308.357 1.065 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccn(C(F)F)n1 ZINC001050938739 764307402 /nfs/dbraw/zinc/30/74/02/764307402.db2.gz WYIVQTPUIRWJMJ-NSHDSACASA-N 1 2 314.336 1.285 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccn(C(F)F)n1 ZINC001050938739 764307408 /nfs/dbraw/zinc/30/74/08/764307408.db2.gz WYIVQTPUIRWJMJ-NSHDSACASA-N 1 2 314.336 1.285 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(C(C)(C)C)nn1 ZINC001050948986 764330430 /nfs/dbraw/zinc/33/04/30/764330430.db2.gz MMOAUMCHXJICRW-CYBMUJFWSA-N 1 2 321.425 1.040 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(C(C)(C)C)nn1 ZINC001050948986 764330439 /nfs/dbraw/zinc/33/04/39/764330439.db2.gz MMOAUMCHXJICRW-CYBMUJFWSA-N 1 2 321.425 1.040 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1Cc2ccccc2O1 ZINC001051018600 764421724 /nfs/dbraw/zinc/42/17/24/764421724.db2.gz YAEPTZGKTKHESO-WBVHZDCISA-N 1 2 316.401 1.383 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1Cc2ccccc2O1 ZINC001051018600 764421733 /nfs/dbraw/zinc/42/17/33/764421733.db2.gz YAEPTZGKTKHESO-WBVHZDCISA-N 1 2 316.401 1.383 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2nn3c(c2C)OCCC3)C1 ZINC001042855564 764485576 /nfs/dbraw/zinc/48/55/76/764485576.db2.gz YRMQAQZQMIKQCH-UHFFFAOYSA-N 1 2 304.394 1.306 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2COCC[N@@H+]2CCC2CC2)c1 ZINC001051106514 764510226 /nfs/dbraw/zinc/51/02/26/764510226.db2.gz MXKWXQWTKCZFKF-KRWDZBQOSA-N 1 2 313.401 1.294 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2COCC[N@H+]2CCC2CC2)c1 ZINC001051106514 764510235 /nfs/dbraw/zinc/51/02/35/764510235.db2.gz MXKWXQWTKCZFKF-KRWDZBQOSA-N 1 2 313.401 1.294 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(C1)CN(C(=O)CCCC(C)=O)C[C@H](C)O2 ZINC001131625728 768224681 /nfs/dbraw/zinc/22/46/81/768224681.db2.gz ZHKMJIZWVVJZDD-RDJZCZTQSA-N 1 2 306.406 1.071 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(C1)CN(C(=O)CCCC(C)=O)C[C@H](C)O2 ZINC001131625728 768224684 /nfs/dbraw/zinc/22/46/84/768224684.db2.gz ZHKMJIZWVVJZDD-RDJZCZTQSA-N 1 2 306.406 1.071 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C(C)C)c1 ZINC001051205641 764617656 /nfs/dbraw/zinc/61/76/56/764617656.db2.gz GJTDQBTZVZJRSD-HNNXBMFYSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C(C)C)c1 ZINC001051205641 764617662 /nfs/dbraw/zinc/61/76/62/764617662.db2.gz GJTDQBTZVZJRSD-HNNXBMFYSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(Cl)cn1 ZINC001051219439 764635637 /nfs/dbraw/zinc/63/56/37/764635637.db2.gz YREHFXAFZBGBQS-CYBMUJFWSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(Cl)cn1 ZINC001051219439 764635641 /nfs/dbraw/zinc/63/56/41/764635641.db2.gz YREHFXAFZBGBQS-CYBMUJFWSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112795000 764775107 /nfs/dbraw/zinc/77/51/07/764775107.db2.gz XPFLBGMVYDIVDD-OAHLLOKOSA-N 1 2 318.421 1.805 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cn(C)nc2C2CC2)CC1 ZINC001112894672 764936729 /nfs/dbraw/zinc/93/67/29/764936729.db2.gz JOFITRFOYOBFBK-UHFFFAOYSA-N 1 2 318.421 1.258 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccccc2N(C)C(C)=O)C1 ZINC001043499652 764942063 /nfs/dbraw/zinc/94/20/63/764942063.db2.gz AMHQQRAXUNXKOP-UHFFFAOYSA-N 1 2 313.401 1.449 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC001112901265 764951121 /nfs/dbraw/zinc/95/11/21/764951121.db2.gz FATVIAHNDLCODT-UHFFFAOYSA-N 1 2 305.426 1.395 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccn2CC=C)CC1 ZINC001112967248 765057771 /nfs/dbraw/zinc/05/77/71/765057771.db2.gz GCHONZRMMAVARU-UHFFFAOYSA-N 1 2 303.406 1.635 20 30 DDEDLO C[C@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1cnc(C#N)cn1 ZINC001112994125 765105311 /nfs/dbraw/zinc/10/53/11/765105311.db2.gz SDLPVQAZDDXFHN-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccoc3C)C2)CC1 ZINC001051978444 765339837 /nfs/dbraw/zinc/33/98/37/765339837.db2.gz SETBMADPQBPMLO-OAHLLOKOSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccoc3C)C2)CC1 ZINC001051978444 765339845 /nfs/dbraw/zinc/33/98/45/765339845.db2.gz SETBMADPQBPMLO-OAHLLOKOSA-N 1 2 301.390 1.053 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C[C@H]3CCCO3)C2)CC1 ZINC001051991370 765355260 /nfs/dbraw/zinc/35/52/60/765355260.db2.gz GWRYTIZPICPVHD-DLBZAZTESA-N 1 2 321.465 1.350 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(F)ccc2OC)CC1 ZINC001113174435 765366793 /nfs/dbraw/zinc/36/67/93/765366793.db2.gz JKSLMOWVKYFMAR-UHFFFAOYSA-N 1 2 322.380 1.795 20 30 DDEDLO C=C(C)CC[NH+]1CC(N(C)C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001044178412 765369352 /nfs/dbraw/zinc/36/93/52/765369352.db2.gz MHYAGMHEBRRNNQ-GFCCVEGCSA-N 1 2 303.410 1.018 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3CC3(C)C)C2)CC1 ZINC001052013221 765381905 /nfs/dbraw/zinc/38/19/05/765381905.db2.gz TWJBTKJBJCQEMZ-CVEARBPZSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@]3(C)CC=CCC3)C2)CC1 ZINC001052030383 765405343 /nfs/dbraw/zinc/40/53/43/765405343.db2.gz MSIQFIOSPUFVFX-HKUYNNGSSA-N 1 2 315.461 1.585 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cn2ccc(C(F)(F)F)n2)C1 ZINC001044268335 765437447 /nfs/dbraw/zinc/43/74/47/765437447.db2.gz LNMOXTLDUUCVTN-UHFFFAOYSA-N 1 2 302.300 1.231 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(CF)CCC3)C2)CC1 ZINC001052089210 765460683 /nfs/dbraw/zinc/46/06/83/765460683.db2.gz PYXSSZIMTLANOD-MRXNPFEDSA-N 1 2 321.440 1.368 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001113243584 765477972 /nfs/dbraw/zinc/47/79/72/765477972.db2.gz KQDHTHAJROHWBA-DLBZAZTESA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCCC1(C(=O)N2CC[NH+](CCN3CCCC3=O)CC2)CC1 ZINC001113305548 765538821 /nfs/dbraw/zinc/53/88/21/765538821.db2.gz VBFXBFRTIQAVSJ-UHFFFAOYSA-N 1 2 319.449 1.499 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](CNC(=O)CCc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001052187455 765563265 /nfs/dbraw/zinc/56/32/65/765563265.db2.gz JDZYEVGIWUDSAI-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](CNC(=O)CCc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001052187455 765563273 /nfs/dbraw/zinc/56/32/73/765563273.db2.gz JDZYEVGIWUDSAI-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](C)NC(=O)CC2CCCC2)CC1 ZINC001113433540 765689237 /nfs/dbraw/zinc/68/92/37/765689237.db2.gz PYLCKEWVOHPNAB-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C#CC[NH+]1CCC(CNC(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)CC1 ZINC001001645813 768313672 /nfs/dbraw/zinc/31/36/72/768313672.db2.gz LZFHLXYYVWRSDZ-GUYCJALGSA-N 1 2 314.433 1.537 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001052472009 765860927 /nfs/dbraw/zinc/86/09/27/765860927.db2.gz OBBDXIZRLYUEEW-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2cccs2)CC[C@H]1C ZINC001131770903 768337435 /nfs/dbraw/zinc/33/74/35/768337435.db2.gz BAXGOYVBKHPPAQ-CHWSQXEVSA-N 1 2 321.446 1.633 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2cccs2)CC[C@H]1C ZINC001131770903 768337443 /nfs/dbraw/zinc/33/74/43/768337443.db2.gz BAXGOYVBKHPPAQ-CHWSQXEVSA-N 1 2 321.446 1.633 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)o1 ZINC001044963043 765972936 /nfs/dbraw/zinc/97/29/36/765972936.db2.gz VODSWXQVTPJLOR-RYUDHWBXSA-N 1 2 305.382 1.433 20 30 DDEDLO CCC(=O)N(C)[C@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001047266948 768348632 /nfs/dbraw/zinc/34/86/32/768348632.db2.gz MUBQNTTWADEKFY-GJZGRUSLSA-N 1 2 305.353 1.111 20 30 DDEDLO CCC(=O)N(C)[C@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001047266948 768348639 /nfs/dbraw/zinc/34/86/39/768348639.db2.gz MUBQNTTWADEKFY-GJZGRUSLSA-N 1 2 305.353 1.111 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001058143344 766269689 /nfs/dbraw/zinc/26/96/89/766269689.db2.gz WNAFCQRRNVFUHY-ZDUSSCGKSA-N 1 2 310.361 1.384 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCCN(c3cc[nH+]c(C)n3)C2)cn1 ZINC001058179099 766289790 /nfs/dbraw/zinc/28/97/90/766289790.db2.gz PALRMMDJEGZXQT-INIZCTEOSA-N 1 2 321.384 1.560 20 30 DDEDLO CCc1cnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CSCC#N)o1 ZINC001113890465 766359643 /nfs/dbraw/zinc/35/96/43/766359643.db2.gz AWNMRDYZTZPRLB-JYAVWHMHSA-N 1 2 320.418 1.040 20 30 DDEDLO CCc1cnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CSCC#N)o1 ZINC001113890465 766359651 /nfs/dbraw/zinc/35/96/51/766359651.db2.gz AWNMRDYZTZPRLB-JYAVWHMHSA-N 1 2 320.418 1.040 20 30 DDEDLO CC1(NC(=O)c2nnc[nH]2)CC[NH+](Cc2ccccc2C#N)CC1 ZINC001045591588 766425658 /nfs/dbraw/zinc/42/56/58/766425658.db2.gz CFMZDSMNBDVAQV-UHFFFAOYSA-N 1 2 324.388 1.461 20 30 DDEDLO CC1(NC(=O)c2ncn[nH]2)CC[NH+](Cc2ccccc2C#N)CC1 ZINC001045591588 766425667 /nfs/dbraw/zinc/42/56/67/766425667.db2.gz CFMZDSMNBDVAQV-UHFFFAOYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)[C@H]3CC=CCC3)CC2)C1 ZINC001046003134 766790174 /nfs/dbraw/zinc/79/01/74/766790174.db2.gz WJRROBGEDOGUAM-JKSUJKDBSA-N 1 2 302.422 1.085 20 30 DDEDLO Cc1cscc1C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046018361 766816616 /nfs/dbraw/zinc/81/66/16/766816616.db2.gz WCCHFTGNYAFFTK-CQSZACIVSA-N 1 2 318.446 1.412 20 30 DDEDLO C[C@H]1CC[C@H](C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)CC1 ZINC001046077847 766891778 /nfs/dbraw/zinc/89/17/78/766891778.db2.gz FGMUWVHFFSQGPB-BRWVUGGUSA-N 1 2 318.465 1.555 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+][C@@H](C)c1nnc(C)o1 ZINC001129502433 766906932 /nfs/dbraw/zinc/90/69/32/766906932.db2.gz DOZKGVKJDNUDPJ-NSHDSACASA-N 1 2 303.366 1.446 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001068866095 767669536 /nfs/dbraw/zinc/66/95/36/767669536.db2.gz YHLNAIIZTKWTFV-TZMCWYRMSA-N 1 2 310.361 1.251 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001046621248 767744909 /nfs/dbraw/zinc/74/49/09/767744909.db2.gz IXQPJMCFQWDQLK-XUJVJEKNSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001046621248 767744914 /nfs/dbraw/zinc/74/49/14/767744914.db2.gz IXQPJMCFQWDQLK-XUJVJEKNSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cc3ncccn3n2)C1 ZINC001046718419 767845699 /nfs/dbraw/zinc/84/56/99/767845699.db2.gz CKDNSOMDICTSSS-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc3ncccn3n2)C1 ZINC001046718419 767845705 /nfs/dbraw/zinc/84/57/05/767845705.db2.gz CKDNSOMDICTSSS-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2cncs2)CC[C@H]1C ZINC001131839930 768404349 /nfs/dbraw/zinc/40/43/49/768404349.db2.gz WUIBQUPKGIYVCE-OLZOCXBDSA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2cncs2)CC[C@H]1C ZINC001131839930 768404354 /nfs/dbraw/zinc/40/43/54/768404354.db2.gz WUIBQUPKGIYVCE-OLZOCXBDSA-N 1 2 307.419 1.376 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)noc2C(C)C)C1 ZINC001047415509 768462127 /nfs/dbraw/zinc/46/21/27/768462127.db2.gz CQYWULYCIZSOGI-KBPBESRZSA-N 1 2 319.405 1.247 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)noc2C(C)C)C1 ZINC001047415509 768462135 /nfs/dbraw/zinc/46/21/35/768462135.db2.gz CQYWULYCIZSOGI-KBPBESRZSA-N 1 2 319.405 1.247 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2ccc(OC)nn2)C1 ZINC001131900224 768464946 /nfs/dbraw/zinc/46/49/46/768464946.db2.gz VHDLVEDECBWTRL-KGLIPLIRSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001131900224 768464948 /nfs/dbraw/zinc/46/49/48/768464948.db2.gz VHDLVEDECBWTRL-KGLIPLIRSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2snnc2C)C1 ZINC001131947328 768505740 /nfs/dbraw/zinc/50/57/40/768505740.db2.gz SRMJXGYVZDUNSP-AAEUAGOBSA-N 1 2 324.450 1.518 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2snnc2C)C1 ZINC001131947328 768505745 /nfs/dbraw/zinc/50/57/45/768505745.db2.gz SRMJXGYVZDUNSP-AAEUAGOBSA-N 1 2 324.450 1.518 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C(C)(C)C2CC2)C[N@@H+]1CC(=O)NCC#N ZINC001132223959 768695730 /nfs/dbraw/zinc/69/57/30/768695730.db2.gz IQDNZXIBXAHFTR-JSGCOSHPSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C(C)(C)C2CC2)C[N@H+]1CC(=O)NCC#N ZINC001132223959 768695734 /nfs/dbraw/zinc/69/57/34/768695734.db2.gz IQDNZXIBXAHFTR-JSGCOSHPSA-N 1 2 320.437 1.031 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001096237183 768702609 /nfs/dbraw/zinc/70/26/09/768702609.db2.gz DDSMPYLGYBUEPF-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001096237183 768702611 /nfs/dbraw/zinc/70/26/11/768702611.db2.gz DDSMPYLGYBUEPF-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C2(C(=O)NC)CC2)CC[C@H]1C ZINC001132348058 768775764 /nfs/dbraw/zinc/77/57/64/768775764.db2.gz HBBLWVRONWCXJP-NEPJUHHUSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C2(C(=O)NC)CC2)CC[C@H]1C ZINC001132348058 768775767 /nfs/dbraw/zinc/77/57/67/768775767.db2.gz HBBLWVRONWCXJP-NEPJUHHUSA-N 1 2 313.829 1.234 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@]23C[C@@H]2CCCC3)CC1 ZINC001070997711 768859592 /nfs/dbraw/zinc/85/95/92/768859592.db2.gz VUJWODFGIYQICK-YJBOKZPZSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@]23C[C@@H]2CCCC3)CC1 ZINC001070997711 768859599 /nfs/dbraw/zinc/85/95/99/768859599.db2.gz VUJWODFGIYQICK-YJBOKZPZSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001071015930 768883095 /nfs/dbraw/zinc/88/30/95/768883095.db2.gz AYRBUNHABQYSAZ-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001132568694 768991322 /nfs/dbraw/zinc/99/13/22/768991322.db2.gz CCFRBSYLICBEKC-RYUDHWBXSA-N 1 2 318.377 1.994 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001132658056 769059455 /nfs/dbraw/zinc/05/94/55/769059455.db2.gz XCWSUHRMOILBDB-LBPRGKRZSA-N 1 2 306.410 1.830 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)C#CC1CC1)C[C@H](C)O2 ZINC001071163915 769082547 /nfs/dbraw/zinc/08/25/47/769082547.db2.gz DEJZQRPLRNZISO-WMLDXEAASA-N 1 2 322.836 1.844 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)C#CC1CC1)C[C@H](C)O2 ZINC001071163915 769082558 /nfs/dbraw/zinc/08/25/58/769082558.db2.gz DEJZQRPLRNZISO-WMLDXEAASA-N 1 2 322.836 1.844 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@H](C)O2 ZINC001071166058 769086023 /nfs/dbraw/zinc/08/60/23/769086023.db2.gz BHXJFSGOSHVFHC-WFASDCNBSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@H](C)O2 ZINC001071166058 769086033 /nfs/dbraw/zinc/08/60/33/769086033.db2.gz BHXJFSGOSHVFHC-WFASDCNBSA-N 1 2 324.812 1.468 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)[C@@H](C)C#N)C2)c2c([nH+]1)CCCC2 ZINC001096339731 769298200 /nfs/dbraw/zinc/29/82/00/769298200.db2.gz VMPOCIUSNRHZIU-AAEUAGOBSA-N 1 2 313.405 1.518 20 30 DDEDLO C=CCCCC(=O)NCC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001133145377 769542563 /nfs/dbraw/zinc/54/25/63/769542563.db2.gz WSVLKFKFBNMQKG-UHFFFAOYSA-N 1 2 304.350 1.892 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001133380404 769761412 /nfs/dbraw/zinc/76/14/12/769761412.db2.gz FMWREFMHAOXRQV-LRDDRELGSA-N 1 2 324.425 1.975 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@H]1C ZINC001071804112 770101638 /nfs/dbraw/zinc/10/16/38/770101638.db2.gz XDCMQFFUXYSWHC-MNOVXSKESA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@H]1C ZINC001071804112 770101641 /nfs/dbraw/zinc/10/16/41/770101641.db2.gz XDCMQFFUXYSWHC-MNOVXSKESA-N 1 2 312.801 1.461 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001071929485 770302853 /nfs/dbraw/zinc/30/28/53/770302853.db2.gz QHAUTWFQFCRJOV-HUUCEWRRSA-N 1 2 316.405 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(OC)nn2)CC[C@H]1C ZINC001071966243 770360464 /nfs/dbraw/zinc/36/04/64/770360464.db2.gz CVQOVEWSSNWXCQ-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(OC)nn2)CC[C@H]1C ZINC001071966243 770360477 /nfs/dbraw/zinc/36/04/77/770360477.db2.gz CVQOVEWSSNWXCQ-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001096505734 770608353 /nfs/dbraw/zinc/60/83/53/770608353.db2.gz PEBYLMWIPYCUSY-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049377809 770756927 /nfs/dbraw/zinc/75/69/27/770756927.db2.gz IPBOWUIZTMQFTE-WCFLWFBJSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049377809 770756928 /nfs/dbraw/zinc/75/69/28/770756928.db2.gz IPBOWUIZTMQFTE-WCFLWFBJSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)C#N ZINC001049383675 770764286 /nfs/dbraw/zinc/76/42/86/770764286.db2.gz STAFDPMKMZFIFE-LERXQTSPSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)C#N ZINC001049383675 770764293 /nfs/dbraw/zinc/76/42/93/770764293.db2.gz STAFDPMKMZFIFE-LERXQTSPSA-N 1 2 303.366 1.103 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)CCc1nc[nH]n1 ZINC001049410008 770792110 /nfs/dbraw/zinc/79/21/10/770792110.db2.gz FWXFKYLEISFIJC-CHWSQXEVSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)CCc1nc[nH]n1 ZINC001049410008 770792112 /nfs/dbraw/zinc/79/21/12/770792112.db2.gz FWXFKYLEISFIJC-CHWSQXEVSA-N 1 2 323.828 1.555 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1ncccn1 ZINC001049468124 770892710 /nfs/dbraw/zinc/89/27/10/770892710.db2.gz SNKIDTMCCPZFFI-HZPDHXFCSA-N 1 2 310.401 1.455 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1ncccn1 ZINC001049468124 770892721 /nfs/dbraw/zinc/89/27/21/770892721.db2.gz SNKIDTMCCPZFFI-HZPDHXFCSA-N 1 2 310.401 1.455 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1cnon1 ZINC001049648619 771050540 /nfs/dbraw/zinc/05/05/40/771050540.db2.gz XJHFOUSCOMPDAW-UONOGXRCSA-N 1 2 304.394 1.847 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1cnon1 ZINC001049648619 771050545 /nfs/dbraw/zinc/05/05/45/771050545.db2.gz XJHFOUSCOMPDAW-UONOGXRCSA-N 1 2 304.394 1.847 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)CCc2[nH+]ccn2C)c1 ZINC001136327893 771936939 /nfs/dbraw/zinc/93/69/39/771936939.db2.gz SLGBDIDCLNJQFS-UHFFFAOYSA-N 1 2 310.357 1.089 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(OC)cc2)[C@@H](O)C1 ZINC001090751949 772167413 /nfs/dbraw/zinc/16/74/13/772167413.db2.gz MPBWSXQPBOMUFO-CABCVRRESA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(OC)cc2)[C@@H](O)C1 ZINC001090751949 772167414 /nfs/dbraw/zinc/16/74/14/772167414.db2.gz MPBWSXQPBOMUFO-CABCVRRESA-N 1 2 324.808 1.613 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001091520318 772803334 /nfs/dbraw/zinc/80/33/34/772803334.db2.gz IBHFCPILPMOZHG-NNUKFRKNSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001091520318 772803336 /nfs/dbraw/zinc/80/33/36/772803336.db2.gz IBHFCPILPMOZHG-NNUKFRKNSA-N 1 2 324.388 1.616 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+][C@@H](C)c1csnn1 ZINC001149117375 772805764 /nfs/dbraw/zinc/80/57/64/772805764.db2.gz HXQPHKPWEQYVDU-LBPRGKRZSA-N 1 2 314.414 1.529 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nc(C)no1)C2 ZINC001147186787 773077243 /nfs/dbraw/zinc/07/72/43/773077243.db2.gz CMESGCRBNGEAAH-GFCCVEGCSA-N 1 2 304.394 1.940 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nc(C)no1)C2 ZINC001147186787 773077248 /nfs/dbraw/zinc/07/72/48/773077248.db2.gz CMESGCRBNGEAAH-GFCCVEGCSA-N 1 2 304.394 1.940 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nonc1C)C2 ZINC001148089105 773361402 /nfs/dbraw/zinc/36/14/02/773361402.db2.gz SWNVEGYOJQUNCX-CHWSQXEVSA-N 1 2 318.421 1.871 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nonc1C)C2 ZINC001148089105 773361408 /nfs/dbraw/zinc/36/14/08/773361408.db2.gz SWNVEGYOJQUNCX-CHWSQXEVSA-N 1 2 318.421 1.871 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+][C@@H](C)c2nnc(CC)o2)nc1 ZINC001148376166 773460887 /nfs/dbraw/zinc/46/08/87/773460887.db2.gz CAWQEEXXSCYGSC-NSHDSACASA-N 1 2 313.361 1.089 20 30 DDEDLO C[C@H](C#N)c1cccc(C(=O)NCC[N@@H+]2CCOC[C@H]2C)c1 ZINC001148621797 773554316 /nfs/dbraw/zinc/55/43/16/773554316.db2.gz FXKVSMOHSXFXCK-ZIAGYGMSSA-N 1 2 301.390 1.764 20 30 DDEDLO C[C@H](C#N)c1cccc(C(=O)NCC[N@H+]2CCOC[C@H]2C)c1 ZINC001148621797 773554321 /nfs/dbraw/zinc/55/43/21/773554321.db2.gz FXKVSMOHSXFXCK-ZIAGYGMSSA-N 1 2 301.390 1.764 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3F)C[C@H]21 ZINC001074151490 773677140 /nfs/dbraw/zinc/67/71/40/773677140.db2.gz NKLKILWXZKNNFJ-IAGOWNOFSA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3F)C[C@H]21 ZINC001074151490 773677143 /nfs/dbraw/zinc/67/71/43/773677143.db2.gz NKLKILWXZKNNFJ-IAGOWNOFSA-N 1 2 316.376 1.764 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001074187528 773708182 /nfs/dbraw/zinc/70/81/82/773708182.db2.gz IOXPXDROEFRVMS-HOTGVXAUSA-N 1 2 318.421 1.600 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001074187528 773708183 /nfs/dbraw/zinc/70/81/83/773708183.db2.gz IOXPXDROEFRVMS-HOTGVXAUSA-N 1 2 318.421 1.600 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccnc(F)c3)C[C@@H]21 ZINC001074188716 773710084 /nfs/dbraw/zinc/71/00/84/773710084.db2.gz YEFASYILLOKOBT-GJZGRUSLSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccnc(F)c3)C[C@@H]21 ZINC001074188716 773710090 /nfs/dbraw/zinc/71/00/90/773710090.db2.gz YEFASYILLOKOBT-GJZGRUSLSA-N 1 2 317.364 1.159 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ncoc3CC)C[C@@H]21 ZINC001074196015 773720430 /nfs/dbraw/zinc/72/04/30/773720430.db2.gz DUKXZSKPLVXOMN-DZGCQCFKSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ncoc3CC)C[C@@H]21 ZINC001074196015 773720432 /nfs/dbraw/zinc/72/04/32/773720432.db2.gz DUKXZSKPLVXOMN-DZGCQCFKSA-N 1 2 319.405 1.728 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cnoc3)C[C@H]21 ZINC001074319046 773819668 /nfs/dbraw/zinc/81/96/68/773819668.db2.gz FIEVZMLCQNXSNP-CABCVRRESA-N 1 2 305.378 1.095 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cnoc3)C[C@H]21 ZINC001074319046 773819671 /nfs/dbraw/zinc/81/96/71/773819671.db2.gz FIEVZMLCQNXSNP-CABCVRRESA-N 1 2 305.378 1.095 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CN(C(=O)c3[nH]nnc3C)C[C@]2(C)C1 ZINC001091906700 773856028 /nfs/dbraw/zinc/85/60/28/773856028.db2.gz YGARFLKQZHBUMC-RISCZKNCSA-N 1 2 309.801 1.260 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CN(C(=O)c3[nH]nnc3C)C[C@]2(C)C1 ZINC001091906700 773856032 /nfs/dbraw/zinc/85/60/32/773856032.db2.gz YGARFLKQZHBUMC-RISCZKNCSA-N 1 2 309.801 1.260 20 30 DDEDLO C[C@@H](CC(=O)N1C[C@H]2CN(CC#N)C[C@@]2(C)C1)n1cc[nH+]c1 ZINC001092056223 773929739 /nfs/dbraw/zinc/92/97/39/773929739.db2.gz AIYIFJSZFGBOBX-LZWOXQAQSA-N 1 2 301.394 1.138 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccoc1C)c1nccn12 ZINC001092348200 774067293 /nfs/dbraw/zinc/06/72/93/774067293.db2.gz QDPINHTZCREEAB-HNNXBMFYSA-N 1 2 324.384 1.694 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC=CCC1)c1nccn12 ZINC001092348171 774067299 /nfs/dbraw/zinc/06/72/99/774067299.db2.gz PNOIGZWTOPXZPV-LSDHHAIUSA-N 1 2 312.417 1.997 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1coc(C)n1)c1nccn12 ZINC001092363970 774078125 /nfs/dbraw/zinc/07/81/25/774078125.db2.gz SFTSDYDPBVPGDI-LBPRGKRZSA-N 1 2 313.361 1.251 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC13CCC3)c1nccn12 ZINC001092400919 774102421 /nfs/dbraw/zinc/10/24/21/774102421.db2.gz RWPZQSBMWIEOET-LSDHHAIUSA-N 1 2 324.428 1.669 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001092406997 774123605 /nfs/dbraw/zinc/12/36/05/774123605.db2.gz XGHCIKWMLIWLIG-VNHYZAJKSA-N 1 2 316.405 1.012 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3CC=CC3)C2)nn1 ZINC001098640138 774628558 /nfs/dbraw/zinc/62/85/58/774628558.db2.gz DXKRLKYHDWHUGS-INIZCTEOSA-N 1 2 313.405 1.131 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H](C)C(C)(C)C)C2)nn1 ZINC001098685664 774641935 /nfs/dbraw/zinc/64/19/35/774641935.db2.gz NSQCRUYAKRBNPG-UKRRQHHQSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3cnn[nH]3)CC[C@@H]21 ZINC001036824405 774740765 /nfs/dbraw/zinc/74/07/65/774740765.db2.gz WUTNOUMFZAQFAA-OCCSQVGLSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3cnn[nH]3)CC[C@@H]21 ZINC001036824405 774740770 /nfs/dbraw/zinc/74/07/70/774740770.db2.gz WUTNOUMFZAQFAA-OCCSQVGLSA-N 1 2 323.828 1.413 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3C[C@H](C)CO3)CC2)C1 ZINC001093553702 774798633 /nfs/dbraw/zinc/79/86/33/774798633.db2.gz TWORLNOCNBZCNW-XJKSGUPXSA-N 1 2 308.422 1.289 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3[nH]c(C)nc3C)CC2)C1 ZINC001093574260 774846915 /nfs/dbraw/zinc/84/69/15/774846915.db2.gz VISMKFURGBHUKH-UHFFFAOYSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCSCC)[C@H](O)C1 ZINC001099707278 775162652 /nfs/dbraw/zinc/16/26/52/775162652.db2.gz PKFXIZVLFJURFW-NWDGAFQWSA-N 1 2 306.859 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCSCC)[C@H](O)C1 ZINC001099707278 775162656 /nfs/dbraw/zinc/16/26/56/775162656.db2.gz PKFXIZVLFJURFW-NWDGAFQWSA-N 1 2 306.859 1.434 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)CCNC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001099713013 775171673 /nfs/dbraw/zinc/17/16/73/775171673.db2.gz JONCKNAHPFNIEU-GFCCVEGCSA-N 1 2 312.377 1.534 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099729432 775195978 /nfs/dbraw/zinc/19/59/78/775195978.db2.gz HWHDTMZHBWCVKY-UKRRQHHQSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099729432 775195986 /nfs/dbraw/zinc/19/59/86/775195986.db2.gz HWHDTMZHBWCVKY-UKRRQHHQSA-N 1 2 307.394 1.309 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C2)n1 ZINC001099821767 775327174 /nfs/dbraw/zinc/32/71/74/775327174.db2.gz XTWZYHCTGNPWPZ-KGLIPLIRSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C2)n1 ZINC001099821767 775327187 /nfs/dbraw/zinc/32/71/87/775327187.db2.gz XTWZYHCTGNPWPZ-KGLIPLIRSA-N 1 2 321.446 1.162 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C[C@@H]1O ZINC001099849328 775351827 /nfs/dbraw/zinc/35/18/27/775351827.db2.gz CSBGMIHYQKJQAX-CABCVRRESA-N 1 2 320.437 1.074 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@H+](Cc2ccn(C)n2)C[C@@H]1O ZINC001099849328 775351839 /nfs/dbraw/zinc/35/18/39/775351839.db2.gz CSBGMIHYQKJQAX-CABCVRRESA-N 1 2 320.437 1.074 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)c3ccccc3C)nn2)C1 ZINC001094270077 775611969 /nfs/dbraw/zinc/61/19/69/775611969.db2.gz IAEMFMXQOYSQKQ-UHFFFAOYSA-N 1 2 323.400 1.397 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CC3CCCCC3)CC2=O)C1 ZINC001094700653 776211359 /nfs/dbraw/zinc/21/13/59/776211359.db2.gz FSVFMOJXUHHPPL-HNNXBMFYSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3occc3Cl)CC2=O)C1 ZINC001094778848 776268487 /nfs/dbraw/zinc/26/84/87/776268487.db2.gz KQGBNAYNTNMTCN-JTQLQIEISA-N 1 2 323.780 1.134 20 30 DDEDLO Cc1cc(N2CC[C@H](CNC(=O)[C@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001100950391 776751247 /nfs/dbraw/zinc/75/12/47/776751247.db2.gz SMPFTOIXRYWILY-DGCLKSJQSA-N 1 2 313.405 1.765 20 30 DDEDLO C[C@H]1C[N@@H+]([C@H]2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)CCO1 ZINC001172980169 776951023 /nfs/dbraw/zinc/95/10/23/776951023.db2.gz LDQDTIIFHBNAAR-IHRRRGAJSA-N 1 2 309.410 1.999 20 30 DDEDLO C[C@H]1C[N@H+]([C@H]2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)CCO1 ZINC001172980169 776951027 /nfs/dbraw/zinc/95/10/27/776951027.db2.gz LDQDTIIFHBNAAR-IHRRRGAJSA-N 1 2 309.410 1.999 20 30 DDEDLO N#Cc1ccc(N(CCNC(=O)CCc2[nH]cc[nH+]2)C2CC2)nc1 ZINC001101331626 777076901 /nfs/dbraw/zinc/07/69/01/777076901.db2.gz FCQLLBHNYOLFDU-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCOC1CCC(N[C@@H](Cc2c[nH+]cn2C)C(=O)OC)CC1 ZINC001173315879 777126566 /nfs/dbraw/zinc/12/65/66/777126566.db2.gz AUOVJKLPSUSVRM-BCLQGDPASA-N 1 2 321.421 1.608 20 30 DDEDLO C=CCOC1CCC([NH2+][C@@H](Cc2cncn2C)C(=O)OC)CC1 ZINC001173315879 777126570 /nfs/dbraw/zinc/12/65/70/777126570.db2.gz AUOVJKLPSUSVRM-BCLQGDPASA-N 1 2 321.421 1.608 20 30 DDEDLO Cc1nc(NCCN(C)C(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001101544337 777234812 /nfs/dbraw/zinc/23/48/12/777234812.db2.gz VUUZVOIJPICZCE-LLVKDONJSA-N 1 2 301.394 1.694 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CCC1CC1)c1nccn12 ZINC001101617117 777308969 /nfs/dbraw/zinc/30/89/69/777308969.db2.gz KQXJSHHYXGNCLS-AWEZNQCLSA-N 1 2 300.406 1.831 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC2(C[NH+](CC#CC)C2)n2ccnc21 ZINC001101641333 777338794 /nfs/dbraw/zinc/33/87/94/777338794.db2.gz NJMOIWHWKRTNAK-HNNXBMFYSA-N 1 2 312.417 1.835 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102452203 778148707 /nfs/dbraw/zinc/14/87/07/778148707.db2.gz QUAUMBMSJYBASI-DZGCQCFKSA-N 1 2 316.405 1.108 20 30 DDEDLO CCc1nc(N2C[C@@H]3C[C@H]2CN3C(=O)C#CC(C)C)cc(C)[nH+]1 ZINC001102548956 778203133 /nfs/dbraw/zinc/20/31/33/778203133.db2.gz WRKRZFYHULVAGH-GJZGRUSLSA-N 1 2 312.417 1.796 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CC)[C@@H]3C2)CCOCC1 ZINC001177029724 778400332 /nfs/dbraw/zinc/40/03/32/778400332.db2.gz IIXWDXLSSHGWMT-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CC)[C@@H]3C2)CCOCC1 ZINC001177029724 778400334 /nfs/dbraw/zinc/40/03/34/778400334.db2.gz IIXWDXLSSHGWMT-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3(C)CC3)C[C@H]21 ZINC001177046877 778408652 /nfs/dbraw/zinc/40/86/52/778408652.db2.gz BSYMMCRITOJTKS-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3(C)CC3)C[C@H]21 ZINC001177046877 778408654 /nfs/dbraw/zinc/40/86/54/778408654.db2.gz BSYMMCRITOJTKS-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO CCN(C(=O)Cn1cc[nH+]c1)[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001102811886 778421665 /nfs/dbraw/zinc/42/16/65/778421665.db2.gz ZRUVFQGJNLXBGE-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCC#CC)C[C@H]21 ZINC001177079538 778431667 /nfs/dbraw/zinc/43/16/67/778431667.db2.gz DKMVUBCWCURDOU-IAGOWNOFSA-N 1 2 302.418 1.505 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCC#CC)C[C@H]21 ZINC001177079538 778431674 /nfs/dbraw/zinc/43/16/74/778431674.db2.gz DKMVUBCWCURDOU-IAGOWNOFSA-N 1 2 302.418 1.505 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1[nH]c[nH+]c1C)Nc1ncccc1C#N ZINC001103146863 778666217 /nfs/dbraw/zinc/66/62/17/778666217.db2.gz BSSZGKSOYSDJMY-ZDUSSCGKSA-N 1 2 312.377 1.534 20 30 DDEDLO CC[C@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1cccc(F)c1C#N ZINC001103162350 778680812 /nfs/dbraw/zinc/68/08/12/778680812.db2.gz WTIIDCGAAOWGFT-LLVKDONJSA-N 1 2 315.352 1.970 20 30 DDEDLO Cn1c[nH+]c(C=C([O-])NC(=[NH2+])c2ccc3cc(O)ccc3c2)c1 ZINC001177853040 778764076 /nfs/dbraw/zinc/76/40/76/778764076.db2.gz GVOWULRDENAXDP-UHFFFAOYSA-N 1 2 308.341 1.754 20 30 DDEDLO CC[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1nc(C)ccc1C#N ZINC001103334477 778796113 /nfs/dbraw/zinc/79/61/13/778796113.db2.gz DEMBYECHQPJVNJ-CYBMUJFWSA-N 1 2 312.377 1.534 20 30 DDEDLO CC[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1snc(C)c1C#N ZINC001103334734 778797635 /nfs/dbraw/zinc/79/76/35/778797635.db2.gz LIMCPMPRTSUYIO-SNVBAGLBSA-N 1 2 318.406 1.596 20 30 DDEDLO CC[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001103364701 778821973 /nfs/dbraw/zinc/82/19/73/778821973.db2.gz SHACDTZZJXUIRB-BFHYXJOUSA-N 1 2 324.388 1.787 20 30 DDEDLO CC[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001103364701 778821977 /nfs/dbraw/zinc/82/19/77/778821977.db2.gz SHACDTZZJXUIRB-BFHYXJOUSA-N 1 2 324.388 1.787 20 30 DDEDLO C[C@@](CNc1ccc(C#N)nc1)(NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001103536396 778930149 /nfs/dbraw/zinc/93/01/49/778930149.db2.gz RGIYWANKWDUDMI-KRWDZBQOSA-N 1 2 324.388 1.547 20 30 DDEDLO C[C@@](CNc1ccncc1C#N)(NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001103545284 778936790 /nfs/dbraw/zinc/93/67/90/778936790.db2.gz UEMWYVYBBSNNMV-KRWDZBQOSA-N 1 2 324.388 1.038 20 30 DDEDLO Cc1nc(NC[C@@H](C)CNC(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001103898511 779147409 /nfs/dbraw/zinc/14/74/09/779147409.db2.gz NNAZFXIZOMZAEQ-NEPJUHHUSA-N 1 2 315.421 1.988 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)NCCCCCCNCC#N)C2 ZINC001178880195 779209731 /nfs/dbraw/zinc/20/97/31/779209731.db2.gz JMXQPJNUKPHNNC-CQSZACIVSA-N 1 2 317.437 1.613 20 30 DDEDLO C#CCC[NH2+]CC[C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001104217922 779372413 /nfs/dbraw/zinc/37/24/13/779372413.db2.gz VXMKWKGUYAXTOK-UTUOFQBUSA-N 1 2 308.319 1.984 20 30 DDEDLO C#CCC[NH2+]CC[C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1C ZINC001104217922 779372423 /nfs/dbraw/zinc/37/24/23/779372423.db2.gz VXMKWKGUYAXTOK-UTUOFQBUSA-N 1 2 308.319 1.984 20 30 DDEDLO C[C@H](C#N)C(=O)N1Cc2c[nH+]cn2C[C@@H](COCC2CC2)C1 ZINC001179889594 779516376 /nfs/dbraw/zinc/51/63/76/779516376.db2.gz KNWULQVQFDFXNL-OCCSQVGLSA-N 1 2 302.378 1.428 20 30 DDEDLO Cc1nc(N(C)C2CCN(C(=O)CSCC#N)CC2)cc[nH+]1 ZINC001115133397 779894541 /nfs/dbraw/zinc/89/45/41/779894541.db2.gz LFOXGISQAWEDLG-UHFFFAOYSA-N 1 2 319.434 1.469 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001115330633 780043215 /nfs/dbraw/zinc/04/32/15/780043215.db2.gz QKYPPUMDRWRNCR-MUYACECFSA-N 1 2 320.393 1.078 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001115330633 780043223 /nfs/dbraw/zinc/04/32/23/780043223.db2.gz QKYPPUMDRWRNCR-MUYACECFSA-N 1 2 320.393 1.078 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1ccsc1C(=O)OC ZINC001116396937 780505309 /nfs/dbraw/zinc/50/53/09/780505309.db2.gz VHWBTOOJYNOBJQ-UHFFFAOYSA-N 1 2 310.375 1.055 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1ccsc1C(=O)OC ZINC001116396937 780505317 /nfs/dbraw/zinc/50/53/17/780505317.db2.gz VHWBTOOJYNOBJQ-UHFFFAOYSA-N 1 2 310.375 1.055 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@H+](C)CC(=O)N1[C@@H](C)CCC[C@@H]1C ZINC001267195318 837514049 /nfs/dbraw/zinc/51/40/49/837514049.db2.gz VSRFGGUCVDCEKS-HOTGVXAUSA-N 1 2 321.465 1.483 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@@H+](C)CC(=O)N1[C@@H](C)CCC[C@@H]1C ZINC001267195318 837514059 /nfs/dbraw/zinc/51/40/59/837514059.db2.gz VSRFGGUCVDCEKS-HOTGVXAUSA-N 1 2 321.465 1.483 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CC[N@@H+](Cc2ncnn2CC)C1 ZINC001267302260 837784439 /nfs/dbraw/zinc/78/44/39/837784439.db2.gz OBJIIJGJPHSMSC-WMLDXEAASA-N 1 2 319.453 1.838 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CC[N@H+](Cc2ncnn2CC)C1 ZINC001267302260 837784445 /nfs/dbraw/zinc/78/44/45/837784445.db2.gz OBJIIJGJPHSMSC-WMLDXEAASA-N 1 2 319.453 1.838 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001266335768 836134799 /nfs/dbraw/zinc/13/47/99/836134799.db2.gz FBQQVUBMDDGLMI-KGLIPLIRSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001266335768 836134805 /nfs/dbraw/zinc/13/48/05/836134805.db2.gz FBQQVUBMDDGLMI-KGLIPLIRSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001266343177 836146144 /nfs/dbraw/zinc/14/61/44/836146144.db2.gz ZLJYSJNWRVMONH-CZUORRHYSA-N 1 2 305.426 1.591 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001266343177 836146150 /nfs/dbraw/zinc/14/61/50/836146150.db2.gz ZLJYSJNWRVMONH-CZUORRHYSA-N 1 2 305.426 1.591 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCC[N@H+]1[C@@H](C)c1nncn1C ZINC001266465121 836300014 /nfs/dbraw/zinc/30/00/14/836300014.db2.gz OVOJAGIYKUTQCZ-KBPBESRZSA-N 1 2 305.426 1.813 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCC[N@@H+]1[C@@H](C)c1nncn1C ZINC001266465121 836300027 /nfs/dbraw/zinc/30/00/27/836300027.db2.gz OVOJAGIYKUTQCZ-KBPBESRZSA-N 1 2 305.426 1.813 20 30 DDEDLO CCC[C@@H](CC)C(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266488503 836336930 /nfs/dbraw/zinc/33/69/30/836336930.db2.gz KSLXJDQZKCIYEJ-KGLIPLIRSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC[C@@H](CC)C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266488503 836336942 /nfs/dbraw/zinc/33/69/42/836336942.db2.gz KSLXJDQZKCIYEJ-KGLIPLIRSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)CC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001266686421 836668333 /nfs/dbraw/zinc/66/83/33/836668333.db2.gz BIZXQUYIMDFCFZ-KRWDZBQOSA-N 1 2 321.421 1.429 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N[C@H](C)C1CC1 ZINC001267396821 837986229 /nfs/dbraw/zinc/98/62/29/837986229.db2.gz CGVASZLRMAAJFQ-BMFZPTHFSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N[C@H](C)C1CC1 ZINC001267396821 837986238 /nfs/dbraw/zinc/98/62/38/837986238.db2.gz CGVASZLRMAAJFQ-BMFZPTHFSA-N 1 2 319.449 1.543 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[C@@H]1CC[N@H+](Cc2csnn2)C1 ZINC001267524033 838246333 /nfs/dbraw/zinc/24/63/33/838246333.db2.gz NSYNCIVCKXDCCU-IUODEOHRSA-N 1 2 324.450 1.193 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[C@@H]1CC[N@@H+](Cc2csnn2)C1 ZINC001267524033 838246343 /nfs/dbraw/zinc/24/63/43/838246343.db2.gz NSYNCIVCKXDCCU-IUODEOHRSA-N 1 2 324.450 1.193 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCCC)C1 ZINC001267629949 838532100 /nfs/dbraw/zinc/53/21/00/838532100.db2.gz RUWNVLYZNVWDFW-WMLDXEAASA-N 1 2 309.454 1.696 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCCC)C1 ZINC001267629949 838532106 /nfs/dbraw/zinc/53/21/06/838532106.db2.gz RUWNVLYZNVWDFW-WMLDXEAASA-N 1 2 309.454 1.696 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CC[C@H](C)CC)C1 ZINC001267632297 838536133 /nfs/dbraw/zinc/53/61/33/838536133.db2.gz NEHPCGTYQQPTEP-CABCVRRESA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CC[C@H](C)CC)C1 ZINC001267632297 838536137 /nfs/dbraw/zinc/53/61/37/838536137.db2.gz NEHPCGTYQQPTEP-CABCVRRESA-N 1 2 307.438 1.143 20 30 DDEDLO COCC#CC[N@H+](C)C[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267653363 838586166 /nfs/dbraw/zinc/58/61/66/838586166.db2.gz QFWFWBOAUQXPMD-VXGBXAGGSA-N 1 2 324.318 1.317 20 30 DDEDLO COCC#CC[N@@H+](C)C[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267653363 838586173 /nfs/dbraw/zinc/58/61/73/838586173.db2.gz QFWFWBOAUQXPMD-VXGBXAGGSA-N 1 2 324.318 1.317 20 30 DDEDLO COCC#CC[N@H+](C)C[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001267653363 838586177 /nfs/dbraw/zinc/58/61/77/838586177.db2.gz QFWFWBOAUQXPMD-VXGBXAGGSA-N 1 2 324.318 1.317 20 30 DDEDLO COCC#CC[N@@H+](C)C[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001267653363 838586181 /nfs/dbraw/zinc/58/61/81/838586181.db2.gz QFWFWBOAUQXPMD-VXGBXAGGSA-N 1 2 324.318 1.317 20 30 DDEDLO C=C(C)CCC(=O)NCC1C[NH+](CC(=O)N2CCCCCC2)C1 ZINC001267683540 838637189 /nfs/dbraw/zinc/63/71/89/838637189.db2.gz MPMROOYDHNZEAQ-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CS(=O)(=O)CCC ZINC001267717552 838718735 /nfs/dbraw/zinc/71/87/35/838718735.db2.gz ZIPJUFVFHVHSHM-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CS(=O)(=O)CCC ZINC001267717552 838718744 /nfs/dbraw/zinc/71/87/44/838718744.db2.gz ZIPJUFVFHVHSHM-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001267723935 838737281 /nfs/dbraw/zinc/73/72/81/838737281.db2.gz OOOGVXOMUIUYMT-AWEZNQCLSA-N 1 2 318.421 1.778 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001267723935 838737285 /nfs/dbraw/zinc/73/72/85/838737285.db2.gz OOOGVXOMUIUYMT-AWEZNQCLSA-N 1 2 318.421 1.778 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[N@@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267741073 838811531 /nfs/dbraw/zinc/81/15/31/838811531.db2.gz HWEBXQAQNJWCNU-LSDHHAIUSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267741073 838811538 /nfs/dbraw/zinc/81/15/38/838811538.db2.gz HWEBXQAQNJWCNU-LSDHHAIUSA-N 1 2 321.465 1.387 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@@H]1CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001267781351 838966787 /nfs/dbraw/zinc/96/67/87/838966787.db2.gz GJFDLYYXKMOKOF-LSDHHAIUSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@@H]1CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001267781351 838966789 /nfs/dbraw/zinc/96/67/89/838966789.db2.gz GJFDLYYXKMOKOF-LSDHHAIUSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCOCC(=O)NC1(C[NH2+][C@@H](C)c2noc(C)n2)CCCC1 ZINC001267833807 839052696 /nfs/dbraw/zinc/05/26/96/839052696.db2.gz MHVBCEGNLLJWLZ-LBPRGKRZSA-N 1 2 322.409 1.660 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]([NH2+]Cc2nc(C)no2)C[C@@H]1C ZINC001284004393 839359271 /nfs/dbraw/zinc/35/92/71/839359271.db2.gz SDXWOOSWWDYPCV-JSGCOSHPSA-N 1 2 304.394 1.651 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@H](C)C(C)(F)F)C1 ZINC001268025865 839424908 /nfs/dbraw/zinc/42/49/08/839424908.db2.gz KSQPWBPRISWUHB-STQMWFEESA-N 1 2 320.380 1.297 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@H](C)C(C)(F)F)C1 ZINC001268025865 839424916 /nfs/dbraw/zinc/42/49/16/839424916.db2.gz KSQPWBPRISWUHB-STQMWFEESA-N 1 2 320.380 1.297 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@H](C)C(C)(F)F)C1 ZINC001268025863 839424983 /nfs/dbraw/zinc/42/49/83/839424983.db2.gz KSQPWBPRISWUHB-QWHCGFSZSA-N 1 2 320.380 1.297 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@H](C)C(C)(F)F)C1 ZINC001268025863 839424990 /nfs/dbraw/zinc/42/49/90/839424990.db2.gz KSQPWBPRISWUHB-QWHCGFSZSA-N 1 2 320.380 1.297 20 30 DDEDLO CC(C)C[C@H](CNCC#N)NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001268104857 839693537 /nfs/dbraw/zinc/69/35/37/839693537.db2.gz KECKBJRJRLKNGA-CQSZACIVSA-N 1 2 317.437 1.406 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CCC[N@@H+](Cc2ccn(C)n2)C1 ZINC001268183641 839808772 /nfs/dbraw/zinc/80/87/72/839808772.db2.gz MGINKMOSJLUFMU-HNNXBMFYSA-N 1 2 320.437 1.484 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CCC[N@H+](Cc2ccn(C)n2)C1 ZINC001268183641 839808779 /nfs/dbraw/zinc/80/87/79/839808779.db2.gz MGINKMOSJLUFMU-HNNXBMFYSA-N 1 2 320.437 1.484 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC[N@H+](C)Cc1cc2n(n1)CCCC2 ZINC001268235160 839869497 /nfs/dbraw/zinc/86/94/97/839869497.db2.gz BYZAZBLQDFPWKH-UHFFFAOYSA-N 1 2 316.449 1.769 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC[N@@H+](C)Cc1cc2n(n1)CCCC2 ZINC001268235160 839869500 /nfs/dbraw/zinc/86/95/00/839869500.db2.gz BYZAZBLQDFPWKH-UHFFFAOYSA-N 1 2 316.449 1.769 20 30 DDEDLO C=CCC1(C(=O)NC2C[NH+](C[C@H]3CC[C@H](C)O3)C2)CCOCC1 ZINC001268479181 840273280 /nfs/dbraw/zinc/27/32/80/840273280.db2.gz SOXJAGAEAICEGW-GOEBONIOSA-N 1 2 322.449 1.727 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1C[NH+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001268862280 840914200 /nfs/dbraw/zinc/91/42/00/840914200.db2.gz GFLKAUZLSDOSOE-LBPRGKRZSA-N 1 2 307.438 1.161 20 30 DDEDLO C=C(C(=O)N1CC[C@H]2[C@@H]1CC[N@H+]2COCCOC)C(F)(F)F ZINC001269004600 841106867 /nfs/dbraw/zinc/10/68/67/841106867.db2.gz JFSIBFRSAJUZRI-RYUDHWBXSA-N 1 2 322.327 1.401 20 30 DDEDLO C=C(C(=O)N1CC[C@H]2[C@@H]1CC[N@@H+]2COCCOC)C(F)(F)F ZINC001269004600 841106870 /nfs/dbraw/zinc/10/68/70/841106870.db2.gz JFSIBFRSAJUZRI-RYUDHWBXSA-N 1 2 322.327 1.401 20 30 DDEDLO CCCC#CC(=O)N1CC[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001272112646 844622827 /nfs/dbraw/zinc/62/28/27/844622827.db2.gz ZZXHRRPKTVYDIU-JKSUJKDBSA-N 1 2 314.433 1.739 20 30 DDEDLO CCCC#CC(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001272112646 844622829 /nfs/dbraw/zinc/62/28/29/844622829.db2.gz ZZXHRRPKTVYDIU-JKSUJKDBSA-N 1 2 314.433 1.739 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1CC2(CCC2)C1 ZINC001269279326 841462223 /nfs/dbraw/zinc/46/22/23/841462223.db2.gz ZEBRVNUHFYCXGX-ZFWWWQNUSA-N 1 2 319.449 1.448 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C1CC2(CCC2)C1 ZINC001269279326 841462229 /nfs/dbraw/zinc/46/22/29/841462229.db2.gz ZEBRVNUHFYCXGX-ZFWWWQNUSA-N 1 2 319.449 1.448 20 30 DDEDLO Cc1ncc(CC(=O)N2CCC([N@H+](CC#N)CC3CC3)CC2)o1 ZINC001269621879 841838590 /nfs/dbraw/zinc/83/85/90/841838590.db2.gz SKFHAEQNYTVZLF-UHFFFAOYSA-N 1 2 316.405 1.752 20 30 DDEDLO Cc1ncc(CC(=O)N2CCC([N@@H+](CC#N)CC3CC3)CC2)o1 ZINC001269621879 841838602 /nfs/dbraw/zinc/83/86/02/841838602.db2.gz SKFHAEQNYTVZLF-UHFFFAOYSA-N 1 2 316.405 1.752 20 30 DDEDLO CN(C(=O)C#CC(C)(C)C)C1C[NH+](CCc2cnn(C)c2)C1 ZINC001269787410 842048378 /nfs/dbraw/zinc/04/83/78/842048378.db2.gz KQRBVAKUQRLDTF-UHFFFAOYSA-N 1 2 302.422 1.155 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2c(Cl)cnn2C)C1 ZINC001269792598 842052698 /nfs/dbraw/zinc/05/26/98/842052698.db2.gz GHWKLIKUOZSKDQ-UHFFFAOYSA-N 1 2 312.801 1.032 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C2CC(N(C)C(=O)C#CC(C)C)C2)no1 ZINC001284762003 842217972 /nfs/dbraw/zinc/21/79/72/842217972.db2.gz ONJUYJQCZXXPCH-XGNXJENSSA-N 1 2 304.394 1.677 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@](C)(NC(=O)c2cncs2)C1 ZINC001270547466 842658116 /nfs/dbraw/zinc/65/81/16/842658116.db2.gz FIQLPGNKEFSRGF-XHDPSFHLSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@](C)(NC(=O)c2cncs2)C1 ZINC001270547466 842658126 /nfs/dbraw/zinc/65/81/26/842658126.db2.gz FIQLPGNKEFSRGF-XHDPSFHLSA-N 1 2 322.434 1.028 20 30 DDEDLO COCC(=O)NC1CC[NH+](Cc2c(F)ccc(C#N)c2F)CC1 ZINC001143173064 861397078 /nfs/dbraw/zinc/39/70/78/861397078.db2.gz NCJBDTQIAYQGQE-UHFFFAOYSA-N 1 2 323.343 1.563 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@@H](c2ccccc2)C(C)C)C1 ZINC001271361324 843520273 /nfs/dbraw/zinc/52/02/73/843520273.db2.gz NQSNLSYMACUTHV-QGZVFWFLSA-N 1 2 314.429 1.612 20 30 DDEDLO C#CCCOc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CC)cc1 ZINC001280406784 843705814 /nfs/dbraw/zinc/70/58/14/843705814.db2.gz WXBVZBFULKZSBQ-MRXNPFEDSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CCCOc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CC)cc1 ZINC001280406784 843705823 /nfs/dbraw/zinc/70/58/23/843705823.db2.gz WXBVZBFULKZSBQ-MRXNPFEDSA-N 1 2 316.401 1.539 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1n[nH]c2c1CCC2 ZINC001280409321 843728205 /nfs/dbraw/zinc/72/82/05/843728205.db2.gz UQLXSMWYYRBBQZ-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1n[nH]c2c1CCC2 ZINC001280409321 843728211 /nfs/dbraw/zinc/72/82/11/843728211.db2.gz UQLXSMWYYRBBQZ-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C1CCC(C)(C)CC1 ZINC001272186079 844683051 /nfs/dbraw/zinc/68/30/51/844683051.db2.gz XRKGUETUOLCNIE-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)C1CCC(C)(C)CC1 ZINC001272186079 844683062 /nfs/dbraw/zinc/68/30/62/844683062.db2.gz XRKGUETUOLCNIE-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C1CCC(C(=O)N(CCO)CC[NH2+]Cc2ncccn2)CC1 ZINC001272251490 844901374 /nfs/dbraw/zinc/90/13/74/844901374.db2.gz JWLRWPKPDMMFJR-UHFFFAOYSA-N 1 2 318.421 1.134 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccns2)CCCO1 ZINC001149414196 861603151 /nfs/dbraw/zinc/60/31/51/861603151.db2.gz CEYQCGAXFYLZAM-NEPJUHHUSA-N 1 2 308.407 1.010 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccns2)CCCO1 ZINC001149414196 861603155 /nfs/dbraw/zinc/60/31/55/861603155.db2.gz CEYQCGAXFYLZAM-NEPJUHHUSA-N 1 2 308.407 1.010 20 30 DDEDLO N#CCNCC[C@@H]1CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001272511747 846248875 /nfs/dbraw/zinc/24/88/75/846248875.db2.gz FSCOQMOUPANOQS-OAHLLOKOSA-N 1 2 323.400 1.838 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001077720383 847258203 /nfs/dbraw/zinc/25/82/03/847258203.db2.gz BIIFNDBQFFEPOL-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](CCCn3cccn3)C[C@@]2(F)C1=O ZINC001273095238 847903749 /nfs/dbraw/zinc/90/37/49/847903749.db2.gz MGQORQYTUQIOSY-LSDHHAIUSA-N 1 2 310.348 1.034 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](CCCn3cccn3)C[C@@]2(F)C1=O ZINC001273095238 847903754 /nfs/dbraw/zinc/90/37/54/847903754.db2.gz MGQORQYTUQIOSY-LSDHHAIUSA-N 1 2 310.348 1.034 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1c(O)cccc1Cl)C2 ZINC001273106478 847920891 /nfs/dbraw/zinc/92/08/91/847920891.db2.gz FTSUBPKQELHFOE-UHFFFAOYSA-N 1 2 320.776 1.092 20 30 DDEDLO N#CCN1CCCC[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001034218485 848126491 /nfs/dbraw/zinc/12/64/91/848126491.db2.gz GYPHGOWEJUKOBZ-AWEZNQCLSA-N 1 2 315.421 1.256 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001034249334 848157666 /nfs/dbraw/zinc/15/76/66/848157666.db2.gz GZYYYJIQPJZMKF-GFCCVEGCSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001034249334 848157670 /nfs/dbraw/zinc/15/76/70/848157670.db2.gz GZYYYJIQPJZMKF-GFCCVEGCSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001034255879 848179669 /nfs/dbraw/zinc/17/96/69/848179669.db2.gz FHODTIVNBGQNPQ-IHRRRGAJSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001034255879 848179675 /nfs/dbraw/zinc/17/96/75/848179675.db2.gz FHODTIVNBGQNPQ-IHRRRGAJSA-N 1 2 316.829 1.514 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ncnn2C)CC1 ZINC001327115965 861904824 /nfs/dbraw/zinc/90/48/24/861904824.db2.gz UZOXRVSCKYBORQ-OAHLLOKOSA-N 1 2 317.437 1.479 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@H+](Cc2ncnn2C)CC1 ZINC001327115965 861904833 /nfs/dbraw/zinc/90/48/33/861904833.db2.gz UZOXRVSCKYBORQ-OAHLLOKOSA-N 1 2 317.437 1.479 20 30 DDEDLO N#CCN1CCCC[C@H](NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001034399256 848463812 /nfs/dbraw/zinc/46/38/12/848463812.db2.gz LWNDJNUVCXBIHD-STQMWFEESA-N 1 2 301.394 1.324 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1cccc(F)n1)C2 ZINC001273299411 849364831 /nfs/dbraw/zinc/36/48/31/849364831.db2.gz HASKWVBGTCZJNN-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1cccc(F)n1)C2 ZINC001273299411 849364837 /nfs/dbraw/zinc/36/48/37/849364837.db2.gz HASKWVBGTCZJNN-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(C)c(OC)c1)C2 ZINC001273307193 849383434 /nfs/dbraw/zinc/38/34/34/849383434.db2.gz SMLRWRWECXTYNZ-UHFFFAOYSA-N 1 2 316.401 1.603 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001410619062 849549160 /nfs/dbraw/zinc/54/91/60/849549160.db2.gz DVPASTODAFXBQL-NSHDSACASA-N 1 2 324.812 1.448 20 30 DDEDLO CC(C)=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)CSCC#N)O2 ZINC001327345147 862082017 /nfs/dbraw/zinc/08/20/17/862082017.db2.gz SBZFOJFWUVBUCO-CQSZACIVSA-N 1 2 323.462 1.559 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@@H+](Cc2nnnn2C)CC1 ZINC001273417672 850168628 /nfs/dbraw/zinc/16/86/28/850168628.db2.gz JASNVKADWQPEPU-ZDUSSCGKSA-N 1 2 306.414 1.037 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@H+](Cc2nnnn2C)CC1 ZINC001273417672 850168634 /nfs/dbraw/zinc/16/86/34/850168634.db2.gz JASNVKADWQPEPU-ZDUSSCGKSA-N 1 2 306.414 1.037 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCC[N@H+](Cc2ncnn2C)CC1 ZINC001273447853 850816982 /nfs/dbraw/zinc/81/69/82/850816982.db2.gz ZTQWGRRMNORNBM-GJZGRUSLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCC[N@@H+](Cc2ncnn2C)CC1 ZINC001273447853 850816992 /nfs/dbraw/zinc/81/69/92/850816992.db2.gz ZTQWGRRMNORNBM-GJZGRUSLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCC1(S(=O)(=O)NCC[NH+]2CCC(F)(F)CC2)CC1 ZINC001190834473 851145696 /nfs/dbraw/zinc/14/56/96/851145696.db2.gz HAPDLZQKPILBQT-UHFFFAOYSA-N 1 2 308.394 1.746 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1Cc2ccccc2C[N@H+]1[C@H](C)C(N)=O ZINC001327505115 862222363 /nfs/dbraw/zinc/22/23/63/862222363.db2.gz BJAUAWPNYVZRKB-CJNGLKHVSA-N 1 2 315.417 1.370 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1Cc2ccccc2C[N@@H+]1[C@H](C)C(N)=O ZINC001327505115 862222384 /nfs/dbraw/zinc/22/23/84/862222384.db2.gz BJAUAWPNYVZRKB-CJNGLKHVSA-N 1 2 315.417 1.370 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2[C@@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707073 851250281 /nfs/dbraw/zinc/25/02/81/851250281.db2.gz NNBGMGIFYGHBST-SUMWQHHRSA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2[C@@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707073 851250294 /nfs/dbraw/zinc/25/02/94/851250294.db2.gz NNBGMGIFYGHBST-SUMWQHHRSA-N 1 2 308.422 1.970 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccc[nH]2)o1 ZINC001273822928 851386083 /nfs/dbraw/zinc/38/60/83/851386083.db2.gz XQTNOBJPJXYBTL-OKILXGFUSA-N 1 2 310.357 1.968 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccc[nH]2)o1 ZINC001273822928 851386090 /nfs/dbraw/zinc/38/60/90/851386090.db2.gz XQTNOBJPJXYBTL-OKILXGFUSA-N 1 2 310.357 1.968 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](C[C@H](O)C(C)C)C[C@@]2(F)C1=O ZINC001273894348 851477562 /nfs/dbraw/zinc/47/75/62/851477562.db2.gz KDEBSOPDOKRAER-AEGPPILISA-N 1 2 302.365 1.154 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](C[C@H](O)C(C)C)C[C@@]2(F)C1=O ZINC001273894348 851477565 /nfs/dbraw/zinc/47/75/65/851477565.db2.gz KDEBSOPDOKRAER-AEGPPILISA-N 1 2 302.365 1.154 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](CCCCC(=O)OCC)C2)OCC1=O ZINC001273972465 851666450 /nfs/dbraw/zinc/66/64/50/851666450.db2.gz KTQJTMJFPQBYFI-UHFFFAOYSA-N 1 2 324.421 1.209 20 30 DDEDLO Cc1conc1C[NH2+][C@@]1(CO)CCCN(C(=O)C#CC(C)C)C1 ZINC001327562323 862275521 /nfs/dbraw/zinc/27/55/21/862275521.db2.gz GFPMGQLUTRHWRY-KRWDZBQOSA-N 1 2 319.405 1.086 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2Cc2cc(OC)ncc2F)C1=O ZINC001274026011 851864935 /nfs/dbraw/zinc/86/49/35/851864935.db2.gz PCKXZQLKONPAET-QGZVFWFLSA-N 1 2 319.380 1.982 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2Cc2cc(OC)ncc2F)C1=O ZINC001274026011 851864943 /nfs/dbraw/zinc/86/49/43/851864943.db2.gz PCKXZQLKONPAET-QGZVFWFLSA-N 1 2 319.380 1.982 20 30 DDEDLO O=C(CC#Cc1ccccc1)NC/C=C\C[NH2+]Cc1ccon1 ZINC001274599393 852429498 /nfs/dbraw/zinc/42/94/98/852429498.db2.gz HMWCHXSDOVBMPI-PLNGDYQASA-N 1 2 309.369 1.878 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3ccc(CC)cc3O)C2)OCC1=O ZINC001274653911 852489208 /nfs/dbraw/zinc/48/92/08/852489208.db2.gz NYGXQASJOLBSDE-UHFFFAOYSA-N 1 2 316.401 1.554 20 30 DDEDLO Cc1c[nH]c(C(=O)N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)c1 ZINC001274788074 852603862 /nfs/dbraw/zinc/60/38/62/852603862.db2.gz HZZMLXQBKVYOHW-UHFFFAOYSA-N 1 2 323.400 1.491 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCCC[C@H]1C[NH2+]Cc1cnsn1 ZINC001274996875 852734934 /nfs/dbraw/zinc/73/49/34/852734934.db2.gz KTJKLZKIRKWVQI-OCCSQVGLSA-N 1 2 322.434 1.047 20 30 DDEDLO CC(C)NC(=O)N1CCC2(C[NH+](Cc3cncc(C#N)c3)C2)C1 ZINC001275027155 852751949 /nfs/dbraw/zinc/75/19/49/852751949.db2.gz GIOLXJDHEFJGAF-UHFFFAOYSA-N 1 2 313.405 1.579 20 30 DDEDLO N#Cc1ccc(F)cc1CN1CC2(C1)C[NH+](Cc1cn[nH]c1)C2 ZINC001275596921 853415711 /nfs/dbraw/zinc/41/57/11/853415711.db2.gz GWGLUKDQEYQIDK-UHFFFAOYSA-N 1 2 311.364 1.738 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)C(=O)NCc2cc(C)[nH+]c(C)c2)C1 ZINC001327825721 862492104 /nfs/dbraw/zinc/49/21/04/862492104.db2.gz RLXRSXDLQARWNM-HNNXBMFYSA-N 1 2 317.389 1.118 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1(CCO)CN(Cc2c[nH+]cn2C)C1 ZINC001276076503 854709668 /nfs/dbraw/zinc/70/96/68/854709668.db2.gz VUSIBXOIPVJMLX-CQSZACIVSA-N 1 2 320.437 1.075 20 30 DDEDLO CC(C)c1ocnc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001206659242 862618404 /nfs/dbraw/zinc/61/84/04/862618404.db2.gz GYKCYTYISOPKKW-JHJVBQTASA-N 1 2 304.394 1.894 20 30 DDEDLO CC(C)c1ocnc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001206659242 862618410 /nfs/dbraw/zinc/61/84/10/862618410.db2.gz GYKCYTYISOPKKW-JHJVBQTASA-N 1 2 304.394 1.894 20 30 DDEDLO C=CCC[NH2+]CC1(O)CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001328166900 862743050 /nfs/dbraw/zinc/74/30/50/862743050.db2.gz OQNUXHMOSVUXKD-JTQLQIEISA-N 1 2 312.307 1.406 20 30 DDEDLO C=CCC[NH2+]CC1(O)CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001328166900 862743054 /nfs/dbraw/zinc/74/30/54/862743054.db2.gz OQNUXHMOSVUXKD-JTQLQIEISA-N 1 2 312.307 1.406 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](Nc2[nH+]cnc3c2cnn3C)[C@@H]1C ZINC001122951046 859022727 /nfs/dbraw/zinc/02/27/27/859022727.db2.gz QHELAUAYHKGIQU-WCQYABFASA-N 1 2 314.393 1.731 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C[C@H]1C ZINC001123191178 859123323 /nfs/dbraw/zinc/12/33/23/859123323.db2.gz IUARICFDFSFOKA-YPMHNXCESA-N 1 2 318.425 1.614 20 30 DDEDLO C#Cc1ccc(CNC(=O)NC[C@@H](C)[NH+]2CCN(C)CC2)cc1 ZINC001123560409 859299786 /nfs/dbraw/zinc/29/97/86/859299786.db2.gz JRJLORCCYLBTKG-OAHLLOKOSA-N 1 2 314.433 1.103 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001124727459 859796207 /nfs/dbraw/zinc/79/62/07/859796207.db2.gz GUJNQPKCPHPBGK-XJKSGUPXSA-N 1 2 306.410 1.619 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCO[C@]3(CCOC3)C2)cc1OC ZINC001138343740 860064196 /nfs/dbraw/zinc/06/41/96/860064196.db2.gz OSBWPDJDNZXAEW-GOSISDBHSA-N 1 2 317.385 1.699 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCO[C@]3(CCOC3)C2)cc1OC ZINC001138343740 860064204 /nfs/dbraw/zinc/06/42/04/860064204.db2.gz OSBWPDJDNZXAEW-GOSISDBHSA-N 1 2 317.385 1.699 20 30 DDEDLO C=CCn1cc(C[NH+]2CCN(c3nc(C)cc(C)n3)CC2)cn1 ZINC001139770157 860476274 /nfs/dbraw/zinc/47/62/74/860476274.db2.gz FNRFRMQXHUJZDP-UHFFFAOYSA-N 1 2 312.421 1.798 20 30 DDEDLO N#Cc1ccc2[nH]cc(C[NH+]3CCN([C@@H]4CCOC4)CC3)c2c1 ZINC001140112416 860576521 /nfs/dbraw/zinc/57/65/21/860576521.db2.gz UGNSDTDTGLLMJZ-MRXNPFEDSA-N 1 2 310.401 1.946 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)C(=O)/C=C\c2ccc(F)cc2)c1 ZINC001141920907 860968576 /nfs/dbraw/zinc/96/85/76/860968576.db2.gz AHHDJRHNTMRDHK-DAXSKMNVSA-N 1 2 301.321 1.500 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001328578017 863080272 /nfs/dbraw/zinc/08/02/72/863080272.db2.gz PRFUKICLIFGRIG-SJORKVTESA-N 1 2 315.417 1.327 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001328578017 863080286 /nfs/dbraw/zinc/08/02/86/863080286.db2.gz PRFUKICLIFGRIG-SJORKVTESA-N 1 2 315.417 1.327 20 30 DDEDLO CC[N@H+](Cc1cc(C)on1)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152622481 863461827 /nfs/dbraw/zinc/46/18/27/863461827.db2.gz ZJGPPQUIGPVLNE-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO CC[N@@H+](Cc1cc(C)on1)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152622481 863461834 /nfs/dbraw/zinc/46/18/34/863461834.db2.gz ZJGPPQUIGPVLNE-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccc(C)n1 ZINC001157217151 863658203 /nfs/dbraw/zinc/65/82/03/863658203.db2.gz HVZWZMZRAYRBFC-CABCVRRESA-N 1 2 320.437 1.703 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccc(C)n1 ZINC001157217151 863658207 /nfs/dbraw/zinc/65/82/07/863658207.db2.gz HVZWZMZRAYRBFC-CABCVRRESA-N 1 2 320.437 1.703 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)CC(C)(C)O ZINC001153046940 863677687 /nfs/dbraw/zinc/67/76/87/863677687.db2.gz NPEIPPFYYYJVON-LLVKDONJSA-N 1 2 321.259 1.883 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)CC(C)(C)O ZINC001153046940 863677691 /nfs/dbraw/zinc/67/76/91/863677691.db2.gz NPEIPPFYYYJVON-LLVKDONJSA-N 1 2 321.259 1.883 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C1C[NH+](Cc2cc(OC)no2)C1 ZINC001329984303 863993691 /nfs/dbraw/zinc/99/36/91/863993691.db2.gz OCAQZNGTWDOBSE-GFCCVEGCSA-N 1 2 305.378 1.423 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)CCC(C)(F)F)C1 ZINC001330018899 864022591 /nfs/dbraw/zinc/02/25/91/864022591.db2.gz CKUUSKJCPQYQHQ-NSHDSACASA-N 1 2 317.380 1.161 20 30 DDEDLO C#CC[C@@H](CC(=O)NCC[NH2+]Cc1cnon1)c1ccccc1 ZINC001153714777 864032952 /nfs/dbraw/zinc/03/29/52/864032952.db2.gz SJYKDSFCYUOGIP-HNNXBMFYSA-N 1 2 312.373 1.473 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ncc(C)o1 ZINC001157701377 864062093 /nfs/dbraw/zinc/06/20/93/864062093.db2.gz CFVXFIVVYSQUBZ-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ncc(C)o1 ZINC001157701377 864062110 /nfs/dbraw/zinc/06/21/10/864062110.db2.gz CFVXFIVVYSQUBZ-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001157870107 864208316 /nfs/dbraw/zinc/20/83/16/864208316.db2.gz WZXAKDRACUAJDC-LBPRGKRZSA-N 1 2 322.409 1.001 20 30 DDEDLO Cc1ccc([C@H](C#N)c2ccc(-n3[nH]c(=O)nc3C[NH3+])nn2)cc1 ZINC001157933396 864280045 /nfs/dbraw/zinc/28/00/45/864280045.db2.gz VCKGPPKLQFITCF-LBPRGKRZSA-N 1 2 321.344 1.186 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H](O)C[N@H+](C)Cc1nc(C)c(C)o1 ZINC001332632440 865997544 /nfs/dbraw/zinc/99/75/44/865997544.db2.gz JRLPVQCMZNSERP-OAHLLOKOSA-N 1 2 321.421 1.346 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001332632440 865997558 /nfs/dbraw/zinc/99/75/58/865997558.db2.gz JRLPVQCMZNSERP-OAHLLOKOSA-N 1 2 321.421 1.346 20 30 DDEDLO Cc1csc(C[N@@H+](C)C[C@@H](O)CN(C)C(=O)C#CC(C)C)n1 ZINC001332635433 866002786 /nfs/dbraw/zinc/00/27/86/866002786.db2.gz XJEMXDBPRZRLMI-CQSZACIVSA-N 1 2 323.462 1.362 20 30 DDEDLO Cc1csc(C[N@H+](C)C[C@@H](O)CN(C)C(=O)C#CC(C)C)n1 ZINC001332635433 866002804 /nfs/dbraw/zinc/00/28/04/866002804.db2.gz XJEMXDBPRZRLMI-CQSZACIVSA-N 1 2 323.462 1.362 20 30 DDEDLO N#Cc1c(Cl)ncnc1N[C@@H]1CCC[C@@H]1[NH+]1CCOCC1 ZINC001160725431 866072727 /nfs/dbraw/zinc/07/27/27/866072727.db2.gz UVKRGBJXQXXTHA-NEPJUHHUSA-N 1 2 307.785 1.667 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[N@@H+](C)Cc2cc(OC)no2)C1 ZINC001320042924 866444282 /nfs/dbraw/zinc/44/42/82/866444282.db2.gz RYDOEOYWTABWDW-UHFFFAOYSA-N 1 2 307.394 1.930 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[N@H+](C)Cc2cc(OC)no2)C1 ZINC001320042924 866444285 /nfs/dbraw/zinc/44/42/85/866444285.db2.gz RYDOEOYWTABWDW-UHFFFAOYSA-N 1 2 307.394 1.930 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@H+]1CC(=O)N(CC)C1CC1 ZINC001323245342 866468261 /nfs/dbraw/zinc/46/82/61/866468261.db2.gz ZRGDBRRGYZTVIF-OAHLLOKOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@@H+]1CC(=O)N(CC)C1CC1 ZINC001323245342 866468274 /nfs/dbraw/zinc/46/82/74/866468274.db2.gz ZRGDBRRGYZTVIF-OAHLLOKOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)Cc1ccccc1 ZINC001323261398 866483425 /nfs/dbraw/zinc/48/34/25/866483425.db2.gz JEARQXMVHWMFGS-ZBFHGGJFSA-N 1 2 315.417 1.110 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)Cc1ccccc1 ZINC001323261398 866483441 /nfs/dbraw/zinc/48/34/41/866483441.db2.gz JEARQXMVHWMFGS-ZBFHGGJFSA-N 1 2 315.417 1.110 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc2c[nH]nc21 ZINC001323279157 866496561 /nfs/dbraw/zinc/49/65/61/866496561.db2.gz SKTKKBWIUCVNPG-CQSZACIVSA-N 1 2 312.373 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc2c[nH]nc21 ZINC001323279157 866496568 /nfs/dbraw/zinc/49/65/68/866496568.db2.gz SKTKKBWIUCVNPG-CQSZACIVSA-N 1 2 312.373 1.017 20 30 DDEDLO N#CCNCc1ccc(CNC(=O)Cc2[nH]cc[nH+]2)c(F)c1 ZINC001333480178 866782026 /nfs/dbraw/zinc/78/20/26/866782026.db2.gz RADOHVOMAQHBFK-UHFFFAOYSA-N 1 2 301.325 1.021 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNc1nc(Cl)c(Cl)c(C)c1C#N ZINC001161946440 867127711 /nfs/dbraw/zinc/12/77/11/867127711.db2.gz YEMPTWOOTBVSFE-MRVPVSSYSA-N 1 2 317.176 1.871 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H](C)[C@@H](C)NC(=O)Cn2cc[nH+]c2)CC1 ZINC001333934648 867168343 /nfs/dbraw/zinc/16/83/43/867168343.db2.gz NJRYENHVQCZGOG-KGLIPLIRSA-N 1 2 318.421 1.639 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1(C)CC[NH+](Cc2nc(C)no2)CC1 ZINC001324308697 867194920 /nfs/dbraw/zinc/19/49/20/867194920.db2.gz PYWOCAHVABOGCL-MRXNPFEDSA-N 1 2 322.409 1.176 20 30 DDEDLO C=C(C)CCn1nnnc1N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001334451374 867580227 /nfs/dbraw/zinc/58/02/27/867580227.db2.gz GLOZOZYSOPMQON-UHFFFAOYSA-N 1 2 314.397 1.064 20 30 DDEDLO C=CCC1(C(=O)N(C)[C@@H](C)CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001334565115 867671977 /nfs/dbraw/zinc/67/19/77/867671977.db2.gz AICNKYKFUMRFIR-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C#C[C@H]([NH2+]Cc1cc(=O)n2cc(C)sc2n1)[C@@H]1CCCO1 ZINC001334793114 867834019 /nfs/dbraw/zinc/83/40/19/867834019.db2.gz FBBPVUJISDZVEG-STQMWFEESA-N 1 2 303.387 1.335 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001334959593 867950317 /nfs/dbraw/zinc/95/03/17/867950317.db2.gz ZSWQHWBSMNOEGU-ZDUSSCGKSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C[C@H](COC)NC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC001322433668 868168252 /nfs/dbraw/zinc/16/82/52/868168252.db2.gz FIXWXWVUVZODDJ-SNVBAGLBSA-N 1 2 309.316 1.940 20 30 DDEDLO N#CCSCC(=O)NC1CC[NH+](Cc2nccs2)CC1 ZINC001226145057 882229690 /nfs/dbraw/zinc/22/96/90/882229690.db2.gz PFXRVZMKHMUNJH-UHFFFAOYSA-N 1 2 310.448 1.480 20 30 DDEDLO Cc1nc(N[C@@H]2COCC[C@@H]2NC(=O)C#CC(C)C)cc[nH+]1 ZINC001337457978 869498789 /nfs/dbraw/zinc/49/87/89/869498789.db2.gz MDFVLRWFCZQXSZ-UONOGXRCSA-N 1 2 302.378 1.130 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001316987099 870073878 /nfs/dbraw/zinc/07/38/78/870073878.db2.gz KLNFMRZWRSTHNM-OAHLLOKOSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001316987099 870073881 /nfs/dbraw/zinc/07/38/81/870073881.db2.gz KLNFMRZWRSTHNM-OAHLLOKOSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C(C)(C)C ZINC001338951800 870263548 /nfs/dbraw/zinc/26/35/48/870263548.db2.gz PVCIRHMIWMACQJ-CQSZACIVSA-N 1 2 320.437 1.956 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C2CC[NH+](CCC#N)CC2)n1CC ZINC001339501276 870528594 /nfs/dbraw/zinc/52/85/94/870528594.db2.gz PZCWIFVOHQTXSB-CQSZACIVSA-N 1 2 314.437 1.849 20 30 DDEDLO CC(=O)NCc1ncn2c1C[N@H+](Cc1cccc(C#N)c1)CCC2 ZINC001203760179 870744194 /nfs/dbraw/zinc/74/41/94/870744194.db2.gz DTRWUSHQQBCADF-UHFFFAOYSA-N 1 2 323.400 1.797 20 30 DDEDLO CC(=O)NCc1ncn2c1C[N@@H+](Cc1cccc(C#N)c1)CCC2 ZINC001203760179 870744202 /nfs/dbraw/zinc/74/42/02/870744202.db2.gz DTRWUSHQQBCADF-UHFFFAOYSA-N 1 2 323.400 1.797 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN1C(=O)Cc1c[nH+]cn1C ZINC001299096682 870897288 /nfs/dbraw/zinc/89/72/88/870897288.db2.gz WDKCXGDMMMRGCN-DYVFJYSZSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CCC[N@@H+]1Cc1csc(C)n1 ZINC001317505575 870942131 /nfs/dbraw/zinc/94/21/31/870942131.db2.gz QSXBICDDDSMSJT-SWLSCSKDSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CCC[N@H+]1Cc1csc(C)n1 ZINC001317505575 870942145 /nfs/dbraw/zinc/94/21/45/870942145.db2.gz QSXBICDDDSMSJT-SWLSCSKDSA-N 1 2 321.446 1.570 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CCC)CC1CC1 ZINC001340258091 870993167 /nfs/dbraw/zinc/99/31/67/870993167.db2.gz FRWHWHYKBCRMEF-HUUCEWRRSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CCC)CC1CC1 ZINC001340258091 870993170 /nfs/dbraw/zinc/99/31/70/870993170.db2.gz FRWHWHYKBCRMEF-HUUCEWRRSA-N 1 2 319.453 1.828 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)CC[NH2+][C@H](C)c1nc(C)no1 ZINC001317637899 871274659 /nfs/dbraw/zinc/27/46/59/871274659.db2.gz AREWLFPFRGRVRV-XBFCOCLRSA-N 1 2 322.409 1.468 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@H]2COC[C@@]2(C(=O)OC(C)(C)C)C1 ZINC001307918331 871459942 /nfs/dbraw/zinc/45/99/42/871459942.db2.gz WLPDIBHTMBZCNQ-OZVIIMIRSA-N 1 2 311.378 1.004 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@H]2COC[C@@]2(C(=O)OC(C)(C)C)C1 ZINC001307918331 871459944 /nfs/dbraw/zinc/45/99/44/871459944.db2.gz WLPDIBHTMBZCNQ-OZVIIMIRSA-N 1 2 311.378 1.004 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](N(CCC)C(=O)C(C)(F)F)C1 ZINC001317749665 871461235 /nfs/dbraw/zinc/46/12/35/871461235.db2.gz ILKQWVXFRPUTDP-GFCCVEGCSA-N 1 2 317.380 1.257 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](N(CCC)C(=O)C(C)(F)F)C1 ZINC001317749665 871461257 /nfs/dbraw/zinc/46/12/57/871461257.db2.gz ILKQWVXFRPUTDP-GFCCVEGCSA-N 1 2 317.380 1.257 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1C ZINC001341296942 871595726 /nfs/dbraw/zinc/59/57/26/871595726.db2.gz JGLWOYGTELEVOZ-WMLDXEAASA-N 1 2 321.469 1.909 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1C ZINC001341296942 871595735 /nfs/dbraw/zinc/59/57/35/871595735.db2.gz JGLWOYGTELEVOZ-WMLDXEAASA-N 1 2 321.469 1.909 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]([N@@H+](CCO)Cc2ccn(C)n2)C1 ZINC001316744355 871715304 /nfs/dbraw/zinc/71/53/04/871715304.db2.gz OBVGAMZVNVTGJL-INIZCTEOSA-N 1 2 320.437 1.172 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]([N@H+](CCO)Cc2ccn(C)n2)C1 ZINC001316744355 871715314 /nfs/dbraw/zinc/71/53/14/871715314.db2.gz OBVGAMZVNVTGJL-INIZCTEOSA-N 1 2 320.437 1.172 20 30 DDEDLO C#CC[N@H+](CC#CC)Cc1ccc(OC)c(OCC(N)=O)c1 ZINC001341567595 871715813 /nfs/dbraw/zinc/71/58/13/871715813.db2.gz JZAHWZHABIGGLO-UHFFFAOYSA-N 1 2 300.358 1.018 20 30 DDEDLO C#CC[N@@H+](CC#CC)Cc1ccc(OC)c(OCC(N)=O)c1 ZINC001341567595 871715819 /nfs/dbraw/zinc/71/58/19/871715819.db2.gz JZAHWZHABIGGLO-UHFFFAOYSA-N 1 2 300.358 1.018 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1C[NH+]([C@@H](C)C(=O)NCC(C)C)C1 ZINC001318139859 871775218 /nfs/dbraw/zinc/77/52/18/871775218.db2.gz JWRXVLVHXRZKEA-AWEZNQCLSA-N 1 2 323.481 1.797 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@H]2C[C@@]2(C)C(C)C)C1 ZINC001318163815 871787845 /nfs/dbraw/zinc/78/78/45/871787845.db2.gz FPELDFFCZNBBKV-PBHICJAKSA-N 1 2 307.438 1.019 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H]1CCCNC1=O ZINC001318201518 871825703 /nfs/dbraw/zinc/82/57/03/871825703.db2.gz PPKYMLBRDIFRIM-MELADBBJSA-N 1 2 305.422 1.152 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H]1CCCNC1=O ZINC001318201518 871825711 /nfs/dbraw/zinc/82/57/11/871825711.db2.gz PPKYMLBRDIFRIM-MELADBBJSA-N 1 2 305.422 1.152 20 30 DDEDLO C=CCOCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc(C)c(C)s1 ZINC001318228583 871852753 /nfs/dbraw/zinc/85/27/53/871852753.db2.gz NYRJAOLIVJFGLS-KBPBESRZSA-N 1 2 321.446 1.861 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc(C)c(C)s1 ZINC001318228583 871852774 /nfs/dbraw/zinc/85/27/74/871852774.db2.gz NYRJAOLIVJFGLS-KBPBESRZSA-N 1 2 321.446 1.861 20 30 DDEDLO CSCC(=O)N[C@@H]1C[N@H+](Cc2cc(C#N)ccc2F)C[C@H]1C ZINC001205839598 871853994 /nfs/dbraw/zinc/85/39/94/871853994.db2.gz PYALPUQJKYTGDE-IAQYHMDHSA-N 1 2 321.421 1.997 20 30 DDEDLO CSCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2F)C[C@H]1C ZINC001205839598 871854012 /nfs/dbraw/zinc/85/40/12/871854012.db2.gz PYALPUQJKYTGDE-IAQYHMDHSA-N 1 2 321.421 1.997 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H](CC)CCCC)C1 ZINC001318235610 871860763 /nfs/dbraw/zinc/86/07/63/871860763.db2.gz LNUFFFAKUVOMKL-GJZGRUSLSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H](CC)CCCC)C1 ZINC001318235610 871860774 /nfs/dbraw/zinc/86/07/74/871860774.db2.gz LNUFFFAKUVOMKL-GJZGRUSLSA-N 1 2 307.438 1.143 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ccc(Cl)nc2)C1 ZINC001318329686 871933694 /nfs/dbraw/zinc/93/36/94/871933694.db2.gz SDZPAROPTXAJMM-CJNGLKHVSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(Cl)nc2)C1 ZINC001318329686 871933700 /nfs/dbraw/zinc/93/37/00/871933700.db2.gz SDZPAROPTXAJMM-CJNGLKHVSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CC[N@H+](Cc2cc3n(n2)CCC3)C1 ZINC001318384780 871976843 /nfs/dbraw/zinc/97/68/43/871976843.db2.gz BIEWATWCPXHQNK-MRXNPFEDSA-N 1 2 318.421 1.065 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2cc3n(n2)CCC3)C1 ZINC001318384780 871976849 /nfs/dbraw/zinc/97/68/49/871976849.db2.gz BIEWATWCPXHQNK-MRXNPFEDSA-N 1 2 318.421 1.065 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](CC)[NH2+]Cc2nc(COC)no2)C1 ZINC001318570123 872152134 /nfs/dbraw/zinc/15/21/34/872152134.db2.gz KZRVCFBJDDMESG-LBPRGKRZSA-N 1 2 322.409 1.557 20 30 DDEDLO C#CCSCCNC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001318650750 872186240 /nfs/dbraw/zinc/18/62/40/872186240.db2.gz IXWGBDVNRHIAMC-MRXNPFEDSA-N 1 2 317.458 1.919 20 30 DDEDLO C#CCSCCNC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001318650750 872186245 /nfs/dbraw/zinc/18/62/45/872186245.db2.gz IXWGBDVNRHIAMC-MRXNPFEDSA-N 1 2 317.458 1.919 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C2)sn1 ZINC001206640065 872489561 /nfs/dbraw/zinc/48/95/61/872489561.db2.gz DHGYQMDBEOFDPJ-CKYFFXLPSA-N 1 2 308.407 1.248 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C2)sn1 ZINC001206640065 872489566 /nfs/dbraw/zinc/48/95/66/872489566.db2.gz DHGYQMDBEOFDPJ-CKYFFXLPSA-N 1 2 308.407 1.248 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)CN(C)c2ccccc2)C1 ZINC001319332910 872584730 /nfs/dbraw/zinc/58/47/30/872584730.db2.gz OJEMYYGYOVHKRM-INIZCTEOSA-N 1 2 303.406 1.126 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)CN(C)c2ccccc2)C1 ZINC001319332910 872584734 /nfs/dbraw/zinc/58/47/34/872584734.db2.gz OJEMYYGYOVHKRM-INIZCTEOSA-N 1 2 303.406 1.126 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nc(C)no2)C[C@H]1C ZINC001206912460 872753097 /nfs/dbraw/zinc/75/30/97/872753097.db2.gz NDGGFXFUAYFKRJ-YRGRVCCFSA-N 1 2 304.394 1.679 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nc(C)no2)C[C@H]1C ZINC001206912460 872753108 /nfs/dbraw/zinc/75/31/08/872753108.db2.gz NDGGFXFUAYFKRJ-YRGRVCCFSA-N 1 2 304.394 1.679 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@H]1CCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001344182254 872917283 /nfs/dbraw/zinc/91/72/83/872917283.db2.gz GJDSKCQPXIRXEX-CQSZACIVSA-N 1 2 318.421 1.676 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[C@H]([NH2+]Cc2csnn2)C1 ZINC001207759415 873539667 /nfs/dbraw/zinc/53/96/67/873539667.db2.gz XISYQEORSHGKCY-ZDUSSCGKSA-N 1 2 324.450 1.602 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1C[C@@H]1CCCCO1 ZINC001207957942 873689827 /nfs/dbraw/zinc/68/98/27/873689827.db2.gz AQAFUSKJWHRYLK-ZFWWWQNUSA-N 1 2 306.410 1.486 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1C[C@@H]1CCCCO1 ZINC001207957942 873689836 /nfs/dbraw/zinc/68/98/36/873689836.db2.gz AQAFUSKJWHRYLK-ZFWWWQNUSA-N 1 2 306.410 1.486 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC001346481076 873771000 /nfs/dbraw/zinc/77/10/00/873771000.db2.gz KTRGMOBMONUFRN-GJZGRUSLSA-N 1 2 316.405 1.212 20 30 DDEDLO CC(C)[N@@H+]1CCC[C@@H]1C(=O)[C@H](C#N)C(=O)Nc1ccccn1 ZINC001346537853 873794957 /nfs/dbraw/zinc/79/49/57/873794957.db2.gz QWXGSZQKNRBMIU-QWHCGFSZSA-N 1 2 300.362 1.602 20 30 DDEDLO CC(C)[N@H+]1CCC[C@@H]1C(=O)[C@H](C#N)C(=O)Nc1ccccn1 ZINC001346537853 873794964 /nfs/dbraw/zinc/79/49/64/873794964.db2.gz QWXGSZQKNRBMIU-QWHCGFSZSA-N 1 2 300.362 1.602 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1CCCCOC ZINC001209216492 874743282 /nfs/dbraw/zinc/74/32/82/874743282.db2.gz XFAABQUOPFSPEJ-OAHLLOKOSA-N 1 2 308.426 1.826 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1CCCCOC ZINC001209216492 874743290 /nfs/dbraw/zinc/74/32/90/874743290.db2.gz XFAABQUOPFSPEJ-OAHLLOKOSA-N 1 2 308.426 1.826 20 30 DDEDLO C#CCCC[N@H+]1CCc2c(ncn2C(C)C)[C@@H]1CNC(C)=O ZINC001209262977 874762294 /nfs/dbraw/zinc/76/22/94/874762294.db2.gz DRHXFBPMCXZKPH-INIZCTEOSA-N 1 2 302.422 1.913 20 30 DDEDLO C#CCCC[N@@H+]1CCc2c(ncn2C(C)C)[C@@H]1CNC(C)=O ZINC001209262977 874762303 /nfs/dbraw/zinc/76/23/03/874762303.db2.gz DRHXFBPMCXZKPH-INIZCTEOSA-N 1 2 302.422 1.913 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)[C@]23CC[C@H](CC2=O)C3(C)C)C1 ZINC001349244915 875062132 /nfs/dbraw/zinc/06/21/32/875062132.db2.gz UCRGQACPDPIXLP-GLJUWKHASA-N 1 2 302.418 1.596 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)[C@]23CC[C@H](CC2=O)C3(C)C)C1 ZINC001349244915 875062137 /nfs/dbraw/zinc/06/21/37/875062137.db2.gz UCRGQACPDPIXLP-GLJUWKHASA-N 1 2 302.418 1.596 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001350469353 875708865 /nfs/dbraw/zinc/70/88/65/875708865.db2.gz CBYXCVGPNTXELZ-JHJVBQTASA-N 1 2 302.378 1.197 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001350469353 875708872 /nfs/dbraw/zinc/70/88/72/875708872.db2.gz CBYXCVGPNTXELZ-JHJVBQTASA-N 1 2 302.378 1.197 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+](Cc2cc(C)on2)CC1 ZINC001227299550 882960215 /nfs/dbraw/zinc/96/02/15/882960215.db2.gz UMBUROCZBVANFZ-CYBMUJFWSA-N 1 2 305.378 1.102 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)CCCF ZINC001213280347 875873639 /nfs/dbraw/zinc/87/36/39/875873639.db2.gz JREVTPMBWMURPX-HZPDHXFCSA-N 1 2 319.380 1.623 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)CCCF ZINC001213280347 875873646 /nfs/dbraw/zinc/87/36/46/875873646.db2.gz JREVTPMBWMURPX-HZPDHXFCSA-N 1 2 319.380 1.623 20 30 DDEDLO CCO[C@H](C)C(=O)N(C)CC[N@H+](C)Cc1ccccc1C#N ZINC001379022768 876156652 /nfs/dbraw/zinc/15/66/52/876156652.db2.gz CZYVBZZZBXTLQP-CQSZACIVSA-N 1 2 303.406 1.873 20 30 DDEDLO CCO[C@H](C)C(=O)N(C)CC[N@@H+](C)Cc1ccccc1C#N ZINC001379022768 876156663 /nfs/dbraw/zinc/15/66/63/876156663.db2.gz CZYVBZZZBXTLQP-CQSZACIVSA-N 1 2 303.406 1.873 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](CNC(=O)Cn2cc[nH+]c2)C2CC2)C1 ZINC001353142665 877145394 /nfs/dbraw/zinc/14/53/94/877145394.db2.gz LNMSFVNKPIDTMY-AWEZNQCLSA-N 1 2 316.405 1.250 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@@H]1O ZINC001219379445 878161287 /nfs/dbraw/zinc/16/12/87/878161287.db2.gz TVHCDUTZCCWHGL-HONMWMINSA-N 1 2 307.781 1.161 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@@H]1O ZINC001219379445 878161296 /nfs/dbraw/zinc/16/12/96/878161296.db2.gz TVHCDUTZCCWHGL-HONMWMINSA-N 1 2 307.781 1.161 20 30 DDEDLO Cc1cnc([C@@H](C)[NH2+]C2(CNC(=O)c3ccc(C#N)[nH]3)CC2)o1 ZINC001380068784 878710340 /nfs/dbraw/zinc/71/03/40/878710340.db2.gz FKRSGBRMKHTJBE-LLVKDONJSA-N 1 2 313.361 1.796 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(C)CCNC(=O)CCc1[nH+]ccn1C ZINC001355813883 878729489 /nfs/dbraw/zinc/72/94/89/878729489.db2.gz FAHOFBWNLXVEDX-UONOGXRCSA-N 1 2 320.437 1.386 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CN(C)C(=O)Cc1c[nH+]cn1C ZINC001287669388 912384768 /nfs/dbraw/zinc/38/47/68/912384768.db2.gz ZJAYWZNUPCEZNF-CQSZACIVSA-N 1 2 318.421 1.119 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220201317 878813974 /nfs/dbraw/zinc/81/39/74/878813974.db2.gz MJBONHKHHUCTNQ-BNOWGMLFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220201317 878813981 /nfs/dbraw/zinc/81/39/81/878813981.db2.gz MJBONHKHHUCTNQ-BNOWGMLFSA-N 1 2 307.394 1.247 20 30 DDEDLO O=C(C[C@@H]1CCOC1)NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001276811553 878835802 /nfs/dbraw/zinc/83/58/02/878835802.db2.gz XFSQAPVRVBRRPF-ZWKOTPCHSA-N 1 2 312.413 1.655 20 30 DDEDLO O=C(C[C@@H]1CCOC1)NC[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001276811553 878835811 /nfs/dbraw/zinc/83/58/11/878835811.db2.gz XFSQAPVRVBRRPF-ZWKOTPCHSA-N 1 2 312.413 1.655 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC)c2ccc(F)cc2)[C@@H](O)C1 ZINC001221122551 879513671 /nfs/dbraw/zinc/51/36/71/879513671.db2.gz ZGEWXCLFQIJZMO-OAGGEKHMSA-N 1 2 304.365 1.114 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)c2ccc(F)cc2)[C@@H](O)C1 ZINC001221122551 879513675 /nfs/dbraw/zinc/51/36/75/879513675.db2.gz ZGEWXCLFQIJZMO-OAGGEKHMSA-N 1 2 304.365 1.114 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccsc3)[C@@H]2C1 ZINC001221251451 879620584 /nfs/dbraw/zinc/62/05/84/879620584.db2.gz XTCLTMSDJMCAAB-TZMCWYRMSA-N 1 2 319.430 1.197 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccsc3)[C@@H]2C1 ZINC001221251451 879620591 /nfs/dbraw/zinc/62/05/91/879620591.db2.gz XTCLTMSDJMCAAB-TZMCWYRMSA-N 1 2 319.430 1.197 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cc(C)n(C)n3)C[C@H]21 ZINC001221424673 879780867 /nfs/dbraw/zinc/78/08/67/879780867.db2.gz KITUNBFBOVPWQU-GDBMZVCRSA-N 1 2 302.422 1.727 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3cc(C)n(C)n3)C[C@H]21 ZINC001221424673 879780878 /nfs/dbraw/zinc/78/08/78/879780878.db2.gz KITUNBFBOVPWQU-GDBMZVCRSA-N 1 2 302.422 1.727 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@@H+]([C@H](C)c3ncc(C)o3)C[C@H]21 ZINC001221441337 879804401 /nfs/dbraw/zinc/80/44/01/879804401.db2.gz BQXDYWSURHOTEN-RBSFLKMASA-N 1 2 319.405 1.779 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@H+]([C@H](C)c3ncc(C)o3)C[C@H]21 ZINC001221441337 879804413 /nfs/dbraw/zinc/80/44/13/879804413.db2.gz BQXDYWSURHOTEN-RBSFLKMASA-N 1 2 319.405 1.779 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3CC)C[C@H]21 ZINC001221514266 879902021 /nfs/dbraw/zinc/90/20/21/879902021.db2.gz QHZRDCDZGOUXMX-GDBMZVCRSA-N 1 2 315.421 1.134 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3CC)C[C@H]21 ZINC001221514266 879902032 /nfs/dbraw/zinc/90/20/32/879902032.db2.gz QHZRDCDZGOUXMX-GDBMZVCRSA-N 1 2 315.421 1.134 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](O)(CNC(=O)[C@H]2C[C@@H]2C)C1 ZINC001380547874 879917951 /nfs/dbraw/zinc/91/79/51/879917951.db2.gz BPGJGVYLCFCJOX-GAFUQQFSSA-N 1 2 317.227 1.104 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](O)(CNC(=O)[C@H]2C[C@@H]2C)C1 ZINC001380547874 879917956 /nfs/dbraw/zinc/91/79/56/879917956.db2.gz BPGJGVYLCFCJOX-GAFUQQFSSA-N 1 2 317.227 1.104 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1CC[NH+](Cc2nc(C)no2)CC1 ZINC001222975567 880769309 /nfs/dbraw/zinc/76/93/09/880769309.db2.gz REQLHLMXHXCWJF-INIZCTEOSA-N 1 2 322.409 1.033 20 30 DDEDLO CN(C)c1ccc(C[N@@H+]2C[C@@H]3COC[C@H](C2)N3C)c(F)c1C#N ZINC001276859023 880801373 /nfs/dbraw/zinc/80/13/73/880801373.db2.gz WDVQTBICDZTGES-OKILXGFUSA-N 1 2 318.396 1.278 20 30 DDEDLO CN(C)c1ccc(C[N@H+]2C[C@@H]3COC[C@H](C2)N3C)c(F)c1C#N ZINC001276859023 880801378 /nfs/dbraw/zinc/80/13/78/880801378.db2.gz WDVQTBICDZTGES-OKILXGFUSA-N 1 2 318.396 1.278 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@](C)(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001358803410 880940528 /nfs/dbraw/zinc/94/05/28/880940528.db2.gz AOTCFFCUPZDQDF-DYVFJYSZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001224164999 881249466 /nfs/dbraw/zinc/24/94/66/881249466.db2.gz DQTZYLAIGYZJID-QWHCGFSZSA-N 1 2 306.410 1.856 20 30 DDEDLO C#CCC1(C(=O)NC2CC[NH+](Cc3ccon3)CC2)CCC1 ZINC001227754929 883159513 /nfs/dbraw/zinc/15/95/13/883159513.db2.gz ULNGXNHSEXNJOM-UHFFFAOYSA-N 1 2 301.390 1.949 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc([N+](=O)[O-])cc(F)c3F)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228015597 883286331 /nfs/dbraw/zinc/28/63/31/883286331.db2.gz DZAKESXHIHEDNK-LEGMNPEXSA-N 1 2 312.272 1.864 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc([N+](=O)[O-])cc(F)c3F)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228015597 883286346 /nfs/dbraw/zinc/28/63/46/883286346.db2.gz DZAKESXHIHEDNK-LEGMNPEXSA-N 1 2 312.272 1.864 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1nnn(C)n1 ZINC001228111901 883338695 /nfs/dbraw/zinc/33/86/95/883338695.db2.gz GYYKWVLFPFHJIG-MGPQQGTHSA-N 1 2 320.441 1.329 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C(C)(C)C ZINC001288230108 912789042 /nfs/dbraw/zinc/78/90/42/912789042.db2.gz VYOTYNBVLFABDF-CYBMUJFWSA-N 1 2 318.421 1.259 20 30 DDEDLO Cc1nc(OC(=O)[C@H](C)O)c(C#N)cc1-c1ccc2[nH+]ccn2c1 ZINC001229766257 884151725 /nfs/dbraw/zinc/15/17/25/884151725.db2.gz KZZVHSLEWAGOFZ-NSHDSACASA-N 1 2 322.324 1.863 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nonc2C)CC1 ZINC001230407359 884475513 /nfs/dbraw/zinc/47/55/13/884475513.db2.gz OFEQOUUBXWVQGB-LBPRGKRZSA-N 1 2 308.382 1.050 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001230411774 884477340 /nfs/dbraw/zinc/47/73/40/884477340.db2.gz SCLCJNVHGRJBPI-AWEZNQCLSA-N 1 2 318.421 1.711 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2ccn(CC)n2)CC1 ZINC001230411537 884478768 /nfs/dbraw/zinc/47/87/68/884478768.db2.gz LSSGWJWSWYAEPB-UHFFFAOYSA-N 1 2 320.437 1.576 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)cc1 ZINC001230585646 884621282 /nfs/dbraw/zinc/62/12/82/884621282.db2.gz VPEIVGDQZLHQFY-INIZCTEOSA-N 1 2 304.365 1.622 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)cc1 ZINC001230585646 884621287 /nfs/dbraw/zinc/62/12/87/884621287.db2.gz VPEIVGDQZLHQFY-INIZCTEOSA-N 1 2 304.365 1.622 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC1CC1 ZINC001230593622 884628817 /nfs/dbraw/zinc/62/88/17/884628817.db2.gz DDELWARUHMSRGR-INIZCTEOSA-N 1 2 319.449 1.520 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC1CC1 ZINC001230593622 884628823 /nfs/dbraw/zinc/62/88/23/884628823.db2.gz DDELWARUHMSRGR-INIZCTEOSA-N 1 2 319.449 1.520 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230629470 884668618 /nfs/dbraw/zinc/66/86/18/884668618.db2.gz OVFTVBSVXNPYTC-HOCLYGCPSA-N 1 2 305.422 1.804 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230629470 884668626 /nfs/dbraw/zinc/66/86/26/884668626.db2.gz OVFTVBSVXNPYTC-HOCLYGCPSA-N 1 2 305.422 1.804 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230629467 884669339 /nfs/dbraw/zinc/66/93/39/884669339.db2.gz OVFTVBSVXNPYTC-GOEBONIOSA-N 1 2 305.422 1.804 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230629467 884669348 /nfs/dbraw/zinc/66/93/48/884669348.db2.gz OVFTVBSVXNPYTC-GOEBONIOSA-N 1 2 305.422 1.804 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@H]1C=CCC1 ZINC001230701365 884766197 /nfs/dbraw/zinc/76/61/97/884766197.db2.gz DUHTWHBGWANOEK-LSDHHAIUSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@H]1C=CCC1 ZINC001230701365 884766211 /nfs/dbraw/zinc/76/62/11/884766211.db2.gz DUHTWHBGWANOEK-LSDHHAIUSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@H]1C=CCC1 ZINC001230701363 884766512 /nfs/dbraw/zinc/76/65/12/884766512.db2.gz DUHTWHBGWANOEK-GJZGRUSLSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@H]1C=CCC1 ZINC001230701363 884766524 /nfs/dbraw/zinc/76/65/24/884766524.db2.gz DUHTWHBGWANOEK-GJZGRUSLSA-N 1 2 305.422 1.178 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)n1C ZINC001230748710 884830019 /nfs/dbraw/zinc/83/00/19/884830019.db2.gz IMJPWTUZOYCUCZ-HNNXBMFYSA-N 1 2 303.406 1.130 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)n1C ZINC001230748710 884830034 /nfs/dbraw/zinc/83/00/34/884830034.db2.gz IMJPWTUZOYCUCZ-HNNXBMFYSA-N 1 2 303.406 1.130 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1O ZINC001230788508 884882690 /nfs/dbraw/zinc/88/26/90/884882690.db2.gz QCYLQTJMULXYLM-AWEZNQCLSA-N 1 2 302.374 1.188 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1O ZINC001230788508 884882697 /nfs/dbraw/zinc/88/26/97/884882697.db2.gz QCYLQTJMULXYLM-AWEZNQCLSA-N 1 2 302.374 1.188 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230816216 884915056 /nfs/dbraw/zinc/91/50/56/884915056.db2.gz YNEYYPJUGNCUII-CYBMUJFWSA-N 1 2 319.434 1.075 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230816216 884915078 /nfs/dbraw/zinc/91/50/78/884915078.db2.gz YNEYYPJUGNCUII-CYBMUJFWSA-N 1 2 319.434 1.075 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1CCOCC(F)(F)F ZINC001231293195 885473671 /nfs/dbraw/zinc/47/36/71/885473671.db2.gz KCIMATXAIXRCFF-MNOVXSKESA-N 1 2 307.316 1.258 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1CCOCC(F)(F)F ZINC001231293195 885473681 /nfs/dbraw/zinc/47/36/81/885473681.db2.gz KCIMATXAIXRCFF-MNOVXSKESA-N 1 2 307.316 1.258 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)C[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001288535066 912966930 /nfs/dbraw/zinc/96/69/30/912966930.db2.gz IJZNKAWBACKMJH-UONOGXRCSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C1C[NH+](CCN2CCCC2=O)C1 ZINC001277603220 886040691 /nfs/dbraw/zinc/04/06/91/886040691.db2.gz QQPSICULIZAASD-UHFFFAOYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2ccn(C(C)C)n2)C1 ZINC001277665371 886390872 /nfs/dbraw/zinc/39/08/72/886390872.db2.gz ABAINRQLKJBWMC-UHFFFAOYSA-N 1 2 306.410 1.423 20 30 DDEDLO CN(C)C(=O)[C@H]1CC12CC[NH+](Cc1cc(C#N)ccc1O)CC2 ZINC001232676826 886531940 /nfs/dbraw/zinc/53/19/40/886531940.db2.gz SQQVXFBDFOWJCM-OAHLLOKOSA-N 1 2 313.401 1.954 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)[C@H](CC#N)c1ccccc1 ZINC001363555099 886625855 /nfs/dbraw/zinc/62/58/55/886625855.db2.gz BUDOGYSPFHQXRE-IAGOWNOFSA-N 1 2 315.417 1.911 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)[C@H](CC#N)c1ccccc1 ZINC001363555099 886625865 /nfs/dbraw/zinc/62/58/65/886625865.db2.gz BUDOGYSPFHQXRE-IAGOWNOFSA-N 1 2 315.417 1.911 20 30 DDEDLO COC(=O)[C@H]1COCCC12C[NH+](Cc1ccc(C)cc1C#N)C2 ZINC001232967333 886699352 /nfs/dbraw/zinc/69/93/52/886699352.db2.gz IVWSACKXALJVCB-MRXNPFEDSA-N 1 2 314.385 1.878 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)[C@H]3CCCO3)CC2)c(O)c1 ZINC001233383616 886963487 /nfs/dbraw/zinc/96/34/87/886963487.db2.gz OLVMASWLFNPKQJ-MRXNPFEDSA-N 1 2 315.373 1.087 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)c(C)o1 ZINC001233610041 887147773 /nfs/dbraw/zinc/14/77/73/887147773.db2.gz DIEDLRWGWDEHIH-HNNXBMFYSA-N 1 2 304.390 1.693 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)c(C)o1 ZINC001233610041 887147778 /nfs/dbraw/zinc/14/77/78/887147778.db2.gz DIEDLRWGWDEHIH-HNNXBMFYSA-N 1 2 304.390 1.693 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001233948253 887491376 /nfs/dbraw/zinc/49/13/76/887491376.db2.gz UCIUXYNVSNRCKR-HOTGVXAUSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001233948253 887491386 /nfs/dbraw/zinc/49/13/86/887491386.db2.gz UCIUXYNVSNRCKR-HOTGVXAUSA-N 1 2 321.465 1.483 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)C(C)C ZINC001234118763 887663556 /nfs/dbraw/zinc/66/35/56/887663556.db2.gz KYADKDQCHRLKOV-OAHLLOKOSA-N 1 2 307.438 1.045 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)C(C)C ZINC001234118763 887663568 /nfs/dbraw/zinc/66/35/68/887663568.db2.gz KYADKDQCHRLKOV-OAHLLOKOSA-N 1 2 307.438 1.045 20 30 DDEDLO Cc1cc(N2CC[NH+]([C@H](C)C(=O)NC3CC3)CC2)ncc1C#N ZINC001364130000 887988130 /nfs/dbraw/zinc/98/81/30/887988130.db2.gz CLJVDUTWEXLSGP-CYBMUJFWSA-N 1 2 313.405 1.051 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NC ZINC001234553827 888088043 /nfs/dbraw/zinc/08/80/43/888088043.db2.gz AGDLMLFJAIHMRP-ZYHUDNBSSA-N 1 2 315.845 1.432 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NC ZINC001234553827 888088060 /nfs/dbraw/zinc/08/80/60/888088060.db2.gz AGDLMLFJAIHMRP-ZYHUDNBSSA-N 1 2 315.845 1.432 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)C ZINC001234555904 888089498 /nfs/dbraw/zinc/08/94/98/888089498.db2.gz ZBZBQPHMFRPYEN-LBPRGKRZSA-N 1 2 315.845 1.386 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)C ZINC001234555904 888089511 /nfs/dbraw/zinc/08/95/11/888089511.db2.gz ZBZBQPHMFRPYEN-LBPRGKRZSA-N 1 2 315.845 1.386 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC(=C)C ZINC001234625618 888152215 /nfs/dbraw/zinc/15/22/15/888152215.db2.gz NALXTEZVUWJZTF-HUUCEWRRSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCC(=C)C ZINC001234625618 888152222 /nfs/dbraw/zinc/15/22/22/888152222.db2.gz NALXTEZVUWJZTF-HUUCEWRRSA-N 1 2 305.422 1.013 20 30 DDEDLO Cc1nnc([C@H]2CC[N@@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C2)[nH]1 ZINC001364434930 888630022 /nfs/dbraw/zinc/63/00/22/888630022.db2.gz YKDPCMYBZCJRAG-UONOGXRCSA-N 1 2 310.361 1.001 20 30 DDEDLO Cc1nnc([C@H]2CC[N@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C2)[nH]1 ZINC001364434930 888630028 /nfs/dbraw/zinc/63/00/28/888630028.db2.gz YKDPCMYBZCJRAG-UONOGXRCSA-N 1 2 310.361 1.001 20 30 DDEDLO N#Cc1cccc([C@@H](O)C[N@@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001364436268 888631797 /nfs/dbraw/zinc/63/17/97/888631797.db2.gz QDEIJRXUOOTRMX-KGLIPLIRSA-N 1 2 313.361 1.295 20 30 DDEDLO N#Cc1cccc([C@@H](O)C[N@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001364436268 888631805 /nfs/dbraw/zinc/63/18/05/888631805.db2.gz QDEIJRXUOOTRMX-KGLIPLIRSA-N 1 2 313.361 1.295 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](C[C@@H](O)c2cccc(C#N)c2)[C@@H](C)C(=O)N1C ZINC001364437641 888637165 /nfs/dbraw/zinc/63/71/65/888637165.db2.gz IMZAXHBMSRLOPA-LMOYCYGVSA-N 1 2 301.390 1.531 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](C[C@@H](O)c2cccc(C#N)c2)[C@@H](C)C(=O)N1C ZINC001364437641 888637177 /nfs/dbraw/zinc/63/71/77/888637177.db2.gz IMZAXHBMSRLOPA-LMOYCYGVSA-N 1 2 301.390 1.531 20 30 DDEDLO N#Cc1ccnc(C[N@@H+]2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)c1 ZINC001364467891 888705789 /nfs/dbraw/zinc/70/57/89/888705789.db2.gz TZSMGKBADUJPOV-DLBZAZTESA-N 1 2 321.384 1.304 20 30 DDEDLO N#Cc1ccnc(C[N@H+]2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)c1 ZINC001364467891 888705799 /nfs/dbraw/zinc/70/57/99/888705799.db2.gz TZSMGKBADUJPOV-DLBZAZTESA-N 1 2 321.384 1.304 20 30 DDEDLO COC(=O)CC[C@H]1COCC[N@@H+]1Cc1ccccc1CC#N ZINC001235529358 888714606 /nfs/dbraw/zinc/71/46/06/888714606.db2.gz ZCUXJQQRVFGUEP-INIZCTEOSA-N 1 2 302.374 1.907 20 30 DDEDLO COC(=O)CC[C@H]1COCC[N@H+]1Cc1ccccc1CC#N ZINC001235529358 888714616 /nfs/dbraw/zinc/71/46/16/888714616.db2.gz ZCUXJQQRVFGUEP-INIZCTEOSA-N 1 2 302.374 1.907 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001290069019 913374442 /nfs/dbraw/zinc/37/44/42/913374442.db2.gz VBBBQOJFOAIXLU-BETUJISGSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H]1CC ZINC001290478467 913437311 /nfs/dbraw/zinc/43/73/11/913437311.db2.gz CHAUUJJXXFKJJE-UONOGXRCSA-N 1 2 304.394 1.414 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]([NH2+]Cc2nc(CCOC)no2)C[C@H]1C ZINC001278355856 891557973 /nfs/dbraw/zinc/55/79/73/891557973.db2.gz NMIAOIWGVREAQE-OLZOCXBDSA-N 1 2 322.409 1.304 20 30 DDEDLO C=CCC1(C(=O)N2CCN(C(=O)Cc3[nH]cc[nH+]3)CC2)CCC1 ZINC001292421094 913671783 /nfs/dbraw/zinc/67/17/83/913671783.db2.gz JCHDRNPNNFDRFD-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@]3(NC(=O)C#CC(C)C)CCC[C@@H]23)n1 ZINC001278444770 892585586 /nfs/dbraw/zinc/58/55/86/892585586.db2.gz FZUOPLWAQVVCIC-PBHICJAKSA-N 1 2 316.405 1.651 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@]3(NC(=O)C#CC(C)C)CCC[C@@H]23)n1 ZINC001278444770 892585597 /nfs/dbraw/zinc/58/55/97/892585597.db2.gz FZUOPLWAQVVCIC-PBHICJAKSA-N 1 2 316.405 1.651 20 30 DDEDLO C[C@H](CNC(=O)c1cc(C#N)c[nH]1)[NH2+][C@H](C)c1csnn1 ZINC001366271431 892973651 /nfs/dbraw/zinc/97/36/51/892973651.db2.gz PNMLBYKSUWRKLO-RKDXNWHRSA-N 1 2 304.379 1.207 20 30 DDEDLO C=CCCCNC(=S)N1CCC2(CC1)C(=O)N(C)CC[N@@H+]2C ZINC001247727438 893327950 /nfs/dbraw/zinc/32/79/50/893327950.db2.gz OJXGREYVQJAXFC-UHFFFAOYSA-N 1 2 324.494 1.066 20 30 DDEDLO C=CCCCNC(=S)N1CCC2(CC1)C(=O)N(C)CC[N@H+]2C ZINC001247727438 893327972 /nfs/dbraw/zinc/32/79/72/893327972.db2.gz OJXGREYVQJAXFC-UHFFFAOYSA-N 1 2 324.494 1.066 20 30 DDEDLO C#CCN1CC[NH+](Cc2cn(C)c3ccnc(Cl)c23)CC1 ZINC001248838379 893728481 /nfs/dbraw/zinc/72/84/81/893728481.db2.gz RCPKCYGTRAUSAP-UHFFFAOYSA-N 1 2 302.809 1.978 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)CCn1c(C)csc1=O ZINC001366534506 893922799 /nfs/dbraw/zinc/92/27/99/893922799.db2.gz COCSYMJERICHIW-UHFFFAOYSA-N 1 2 317.842 1.409 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)CCn1c(C)csc1=O ZINC001366534506 893922813 /nfs/dbraw/zinc/92/28/13/893922813.db2.gz COCSYMJERICHIW-UHFFFAOYSA-N 1 2 317.842 1.409 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(Cl)c(OC)nc2OC)CC1 ZINC001249826717 894136552 /nfs/dbraw/zinc/13/65/52/894136552.db2.gz QENFJEXAPPKAGQ-UHFFFAOYSA-N 1 2 309.797 1.503 20 30 DDEDLO CCC[N@H+](CCNC(=O)[C@@H](C)C#N)Cc1nn(CC)nc1C ZINC001366706325 894648537 /nfs/dbraw/zinc/64/85/37/894648537.db2.gz HJYOORITIQLFDF-LBPRGKRZSA-N 1 2 306.414 1.094 20 30 DDEDLO CCC[N@@H+](CCNC(=O)[C@@H](C)C#N)Cc1nn(CC)nc1C ZINC001366706325 894648545 /nfs/dbraw/zinc/64/85/45/894648545.db2.gz HJYOORITIQLFDF-LBPRGKRZSA-N 1 2 306.414 1.094 20 30 DDEDLO C=CCOC[C@@H](O)C[N@@H+]1C[C@H](F)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC001252452805 895160076 /nfs/dbraw/zinc/16/00/76/895160076.db2.gz CFLAJVOKULHNQU-AVGNSLFASA-N 1 2 318.389 1.097 20 30 DDEDLO C=CCOC[C@@H](O)C[N@H+]1C[C@H](F)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC001252452805 895160081 /nfs/dbraw/zinc/16/00/81/895160081.db2.gz CFLAJVOKULHNQU-AVGNSLFASA-N 1 2 318.389 1.097 20 30 DDEDLO CC(C)OCC(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001367007232 895550791 /nfs/dbraw/zinc/55/07/91/895550791.db2.gz MVQVIZGIMZNASD-INIZCTEOSA-N 1 2 301.390 1.674 20 30 DDEDLO CC(C)OCC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001367007232 895550796 /nfs/dbraw/zinc/55/07/96/895550796.db2.gz MVQVIZGIMZNASD-INIZCTEOSA-N 1 2 301.390 1.674 20 30 DDEDLO C=CCC[NH2+]C1(CNC(=O)C(F)C(F)(F)F)CCOCC1 ZINC001278707372 895748786 /nfs/dbraw/zinc/74/87/86/895748786.db2.gz JMLZUBPNGQPMAU-JTQLQIEISA-N 1 2 312.307 1.718 20 30 DDEDLO C=CCC[NH2+]C1(CNC(=O)[C@H](F)C(F)(F)F)CCOCC1 ZINC001278707372 895748795 /nfs/dbraw/zinc/74/87/95/895748795.db2.gz JMLZUBPNGQPMAU-JTQLQIEISA-N 1 2 312.307 1.718 20 30 DDEDLO C=CCOC(=O)[C@H](CC(C)C)[NH2+]C[C@@H](O)COC(C)(C)C ZINC001253472297 895869319 /nfs/dbraw/zinc/86/93/19/895869319.db2.gz VSQQXFZBXXNGNM-KGLIPLIRSA-N 1 2 301.427 1.896 20 30 DDEDLO C=C(C)CCC(=O)NC[C@]1(O)CC[N@H+](Cc2csc(C)n2)C1 ZINC001278781063 896410354 /nfs/dbraw/zinc/41/03/54/896410354.db2.gz BZJZJJDAJRSJMK-MRXNPFEDSA-N 1 2 323.462 1.861 20 30 DDEDLO C=C(C)CCC(=O)NC[C@]1(O)CC[N@@H+](Cc2csc(C)n2)C1 ZINC001278781063 896410364 /nfs/dbraw/zinc/41/03/64/896410364.db2.gz BZJZJJDAJRSJMK-MRXNPFEDSA-N 1 2 323.462 1.861 20 30 DDEDLO CCOC(=O)CN1CCC([N@@H+]2CCC3SC(=O)C=C3C2)CC1 ZINC001254407791 896428418 /nfs/dbraw/zinc/42/84/18/896428418.db2.gz MSMASVXZOBAJBZ-CQSZACIVSA-N 1 2 324.446 1.288 20 30 DDEDLO CCOC(=O)CN1CCC([N@H+]2CCC3SC(=O)C=C3C2)CC1 ZINC001254407791 896428428 /nfs/dbraw/zinc/42/84/28/896428428.db2.gz MSMASVXZOBAJBZ-CQSZACIVSA-N 1 2 324.446 1.288 20 30 DDEDLO CCOC(=O)CN1CCC([N@@H+]2CC[C@H]3SC(=O)C=C3C2)CC1 ZINC001254407791 896428432 /nfs/dbraw/zinc/42/84/32/896428432.db2.gz MSMASVXZOBAJBZ-CQSZACIVSA-N 1 2 324.446 1.288 20 30 DDEDLO CCOC(=O)CN1CCC([N@H+]2CC[C@H]3SC(=O)C=C3C2)CC1 ZINC001254407791 896428435 /nfs/dbraw/zinc/42/84/35/896428435.db2.gz MSMASVXZOBAJBZ-CQSZACIVSA-N 1 2 324.446 1.288 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@]1(O)CC[N@H+](Cc2ncc(C)o2)C1 ZINC001278795905 896512977 /nfs/dbraw/zinc/51/29/77/896512977.db2.gz UMTPPGUMIYFVHW-KRWDZBQOSA-N 1 2 321.421 1.638 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@]1(O)CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001278795905 896512983 /nfs/dbraw/zinc/51/29/83/896512983.db2.gz UMTPPGUMIYFVHW-KRWDZBQOSA-N 1 2 321.421 1.638 20 30 DDEDLO CC[C@H](CC#N)N1CCC2(CC1)C(=O)N(CC(C)C)CC[N@H+]2C ZINC001255168652 896766030 /nfs/dbraw/zinc/76/60/30/896766030.db2.gz UVBYETRDGJIRAW-MRXNPFEDSA-N 1 2 320.481 1.943 20 30 DDEDLO CC[C@H](CC#N)N1CCC2(CC1)C(=O)N(CC(C)C)CC[N@@H+]2C ZINC001255168652 896766038 /nfs/dbraw/zinc/76/60/38/896766038.db2.gz UVBYETRDGJIRAW-MRXNPFEDSA-N 1 2 320.481 1.943 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CC2(C(F)(F)F)CC2)C1 ZINC001278872997 897031170 /nfs/dbraw/zinc/03/11/70/897031170.db2.gz XMRJPCSLGQWNKI-UHFFFAOYSA-N 1 2 306.328 1.458 20 30 DDEDLO C[C@@H]1C[C@H](N2CC[NH2+]C[C@@H]2C#N)CCN1C(=O)OC(C)(C)C ZINC001256474333 897449403 /nfs/dbraw/zinc/44/94/03/897449403.db2.gz WMKKIMTXYWDFFU-MCIONIFRSA-N 1 2 308.426 1.572 20 30 DDEDLO C=CCC1(C(=O)NCCNC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001293027626 914173341 /nfs/dbraw/zinc/17/33/41/914173341.db2.gz ISYGOUBUYFVNJN-UHFFFAOYSA-N 1 2 304.394 1.239 20 30 DDEDLO CC(C)[N@@H+]1CCN(S(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C ZINC001259122880 898480179 /nfs/dbraw/zinc/48/01/79/898480179.db2.gz HITURUPMVBYIQA-ZDUSSCGKSA-N 1 2 307.419 1.661 20 30 DDEDLO CC(C)[N@H+]1CCN(S(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C ZINC001259122880 898480184 /nfs/dbraw/zinc/48/01/84/898480184.db2.gz HITURUPMVBYIQA-ZDUSSCGKSA-N 1 2 307.419 1.661 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@H](CNC(=O)[C@H](C)C#N)C2)s1 ZINC001390036671 898533633 /nfs/dbraw/zinc/53/36/33/898533633.db2.gz DERBEGHCOUPBFJ-ZYHUDNBSSA-N 1 2 307.423 1.334 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@H](CNC(=O)[C@H](C)C#N)C2)s1 ZINC001390036671 898533642 /nfs/dbraw/zinc/53/36/42/898533642.db2.gz DERBEGHCOUPBFJ-ZYHUDNBSSA-N 1 2 307.423 1.334 20 30 DDEDLO CCc1nnc([C@H](C)[NH+]2CCC(C)(NC(=O)[C@H](C)C#N)CC2)o1 ZINC001368111790 898724538 /nfs/dbraw/zinc/72/45/38/898724538.db2.gz IDHMERNWZSDDAU-NEPJUHHUSA-N 1 2 319.409 1.823 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC001261404404 899546983 /nfs/dbraw/zinc/54/69/83/899546983.db2.gz JBHWXEWSIWPAPX-UHFFFAOYSA-N 1 2 301.390 1.201 20 30 DDEDLO Cc1cc(CNC(=O)C(=O)N2CCC[C@H](CC#N)C2)cc(C)[nH+]1 ZINC001261719896 899731784 /nfs/dbraw/zinc/73/17/84/899731784.db2.gz QJHVOOUVQWZFRU-CQSZACIVSA-N 1 2 314.389 1.467 20 30 DDEDLO Cc1ccc(NC(=O)C[N@@H+](C)CCNC(=O)[C@@H](C)C#N)c(C)c1 ZINC001390741721 900072482 /nfs/dbraw/zinc/07/24/82/900072482.db2.gz KYNPVMCJGOLULW-AWEZNQCLSA-N 1 2 316.405 1.450 20 30 DDEDLO Cc1ccc(NC(=O)C[N@H+](C)CCNC(=O)[C@@H](C)C#N)c(C)c1 ZINC001390741721 900072491 /nfs/dbraw/zinc/07/24/91/900072491.db2.gz KYNPVMCJGOLULW-AWEZNQCLSA-N 1 2 316.405 1.450 20 30 DDEDLO CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001263796724 900710823 /nfs/dbraw/zinc/71/08/23/900710823.db2.gz VYDWXGAOHNWCSP-WMZOPIPTSA-N 1 2 314.429 1.996 20 30 DDEDLO CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001263796724 900710835 /nfs/dbraw/zinc/71/08/35/900710835.db2.gz VYDWXGAOHNWCSP-WMZOPIPTSA-N 1 2 314.429 1.996 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C(F)(F)F ZINC001263818676 900733505 /nfs/dbraw/zinc/73/35/05/900733505.db2.gz CRYYHLYUAKPHHQ-GFCCVEGCSA-N 1 2 320.355 1.757 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C(F)(F)F ZINC001263818676 900733510 /nfs/dbraw/zinc/73/35/10/900733510.db2.gz CRYYHLYUAKPHHQ-GFCCVEGCSA-N 1 2 320.355 1.757 20 30 DDEDLO CCc1ncoc1C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001264371412 901053463 /nfs/dbraw/zinc/05/34/63/901053463.db2.gz VLOOJAGHMTZUOF-ZDUSSCGKSA-N 1 2 305.378 1.033 20 30 DDEDLO CCc1ncoc1C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001264371412 901053472 /nfs/dbraw/zinc/05/34/72/901053472.db2.gz VLOOJAGHMTZUOF-ZDUSSCGKSA-N 1 2 305.378 1.033 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1coc(C2CC2)n1 ZINC001264375061 901060810 /nfs/dbraw/zinc/06/08/10/901060810.db2.gz SUPDSJVJMYJELH-CQSZACIVSA-N 1 2 317.389 1.348 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1coc(C2CC2)n1 ZINC001264375061 901060819 /nfs/dbraw/zinc/06/08/19/901060819.db2.gz SUPDSJVJMYJELH-CQSZACIVSA-N 1 2 317.389 1.348 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H](NC(=O)C(F)F)[C@H]1C ZINC001264685314 901283876 /nfs/dbraw/zinc/28/38/76/901283876.db2.gz DPSHLZBQKPCDTK-NEPJUHHUSA-N 1 2 315.364 1.031 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H](NC(=O)C(F)F)[C@H]1C ZINC001264685314 901283891 /nfs/dbraw/zinc/28/38/91/901283891.db2.gz DPSHLZBQKPCDTK-NEPJUHHUSA-N 1 2 315.364 1.031 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1C[N@H+](C)CCS(C)(=O)=O ZINC001264739206 901321689 /nfs/dbraw/zinc/32/16/89/901321689.db2.gz SKASEWMZMREDAZ-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1C[N@@H+](C)CCS(C)(=O)=O ZINC001264739206 901321697 /nfs/dbraw/zinc/32/16/97/901321697.db2.gz SKASEWMZMREDAZ-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CCC)C(=O)c2cnn(C)n2)C1 ZINC001391284269 901388643 /nfs/dbraw/zinc/38/86/43/901388643.db2.gz RRAROKWYPBUGQC-LBPRGKRZSA-N 1 2 311.817 1.494 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CCC)C(=O)c2cnn(C)n2)C1 ZINC001391284269 901388659 /nfs/dbraw/zinc/38/86/59/901388659.db2.gz RRAROKWYPBUGQC-LBPRGKRZSA-N 1 2 311.817 1.494 20 30 DDEDLO C=C(Cl)CN1CC[NH+](CCN(C)C(=O)C(C)(C)C)CC1 ZINC001391338627 901510904 /nfs/dbraw/zinc/51/09/04/901510904.db2.gz NZDKTXZPABDRPM-UHFFFAOYSA-N 1 2 301.862 1.861 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC3CC3)C2)sn1 ZINC001265213097 901715404 /nfs/dbraw/zinc/71/54/04/901715404.db2.gz MGFNDUUWZNODNT-CYBMUJFWSA-N 1 2 319.430 1.646 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H](NC(=O)C#CC3CC3)C2)sn1 ZINC001265213097 901715412 /nfs/dbraw/zinc/71/54/12/901715412.db2.gz MGFNDUUWZNODNT-CYBMUJFWSA-N 1 2 319.430 1.646 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001265222795 901733142 /nfs/dbraw/zinc/73/31/42/901733142.db2.gz LCWOHYOCGUIUBL-CABCVRRESA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001265222795 901733149 /nfs/dbraw/zinc/73/31/49/901733149.db2.gz LCWOHYOCGUIUBL-CABCVRRESA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCN1CC[NH+](CCNC(=O)Cc2ccccc2C)CC1 ZINC001265266608 901789685 /nfs/dbraw/zinc/78/96/85/901789685.db2.gz UKCKCGFSWFRPEB-UHFFFAOYSA-N 1 2 301.434 1.457 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2cccc(F)c2C)C1 ZINC001265286179 901827022 /nfs/dbraw/zinc/82/70/22/901827022.db2.gz PDTDAKZVZBDPCV-ZDUSSCGKSA-N 1 2 319.380 1.240 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2cccc(F)c2C)C1 ZINC001265286179 901827035 /nfs/dbraw/zinc/82/70/35/901827035.db2.gz PDTDAKZVZBDPCV-ZDUSSCGKSA-N 1 2 319.380 1.240 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)N(C)CC2CC2)C1 ZINC001265306790 901859891 /nfs/dbraw/zinc/85/98/91/901859891.db2.gz XGPORCWZEAYAMS-OAHLLOKOSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)N(C)CC2CC2)C1 ZINC001265306790 901859902 /nfs/dbraw/zinc/85/99/02/901859902.db2.gz XGPORCWZEAYAMS-OAHLLOKOSA-N 1 2 321.465 1.648 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001265307893 901865427 /nfs/dbraw/zinc/86/54/27/901865427.db2.gz IDVVYPHTMDSYAF-BBRMVZONSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001265307893 901865435 /nfs/dbraw/zinc/86/54/35/901865435.db2.gz IDVVYPHTMDSYAF-BBRMVZONSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COCc2cc(C)on2)C1 ZINC001391553493 901986235 /nfs/dbraw/zinc/98/62/35/901986235.db2.gz OIAOJASPZDTOSQ-LBPRGKRZSA-N 1 2 313.785 1.443 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COCc2cc(C)on2)C1 ZINC001391553493 901986244 /nfs/dbraw/zinc/98/62/44/901986244.db2.gz OIAOJASPZDTOSQ-LBPRGKRZSA-N 1 2 313.785 1.443 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1cnnn1C ZINC001265643493 902238736 /nfs/dbraw/zinc/23/87/36/902238736.db2.gz NALZTVVJPGPFOP-HNNXBMFYSA-N 1 2 317.437 1.479 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1cnnn1C ZINC001265643493 902238748 /nfs/dbraw/zinc/23/87/48/902238748.db2.gz NALZTVVJPGPFOP-HNNXBMFYSA-N 1 2 317.437 1.479 20 30 DDEDLO CC(C)CCc1noc(C[NH2+][C@H](C)CNC(=O)C#CC2CC2)n1 ZINC001265773149 902392477 /nfs/dbraw/zinc/39/24/77/902392477.db2.gz PQRGABIJSPLVDD-CYBMUJFWSA-N 1 2 318.421 1.666 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)CCCNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001265828382 902458536 /nfs/dbraw/zinc/45/85/36/902458536.db2.gz DQJICXJMVJVBHI-SNVBAGLBSA-N 1 2 316.365 1.391 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)CCCNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001265828382 902458538 /nfs/dbraw/zinc/45/85/38/902458538.db2.gz DQJICXJMVJVBHI-SNVBAGLBSA-N 1 2 316.365 1.391 20 30 DDEDLO CC#CC[N@H+](C)[C@@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001266100031 902938004 /nfs/dbraw/zinc/93/80/04/902938004.db2.gz RCUKEAIIZCJREG-HUUCEWRRSA-N 1 2 314.433 1.776 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001266100031 902938018 /nfs/dbraw/zinc/93/80/18/902938018.db2.gz RCUKEAIIZCJREG-HUUCEWRRSA-N 1 2 314.433 1.776 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@@H+](CCCS(=O)(=O)CC)C1 ZINC001266210195 903118047 /nfs/dbraw/zinc/11/80/47/903118047.db2.gz XXAOSJYTIOXWOL-CQSZACIVSA-N 1 2 316.467 1.358 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@H+](CCCS(=O)(=O)CC)C1 ZINC001266210195 903118049 /nfs/dbraw/zinc/11/80/49/903118049.db2.gz XXAOSJYTIOXWOL-CQSZACIVSA-N 1 2 316.467 1.358 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H]2C[N@@H+](Cc3nncs3)C[C@@H]2C1 ZINC001279362130 903233407 /nfs/dbraw/zinc/23/34/07/903233407.db2.gz CSNFQOYZRHANNS-BETUJISGSA-N 1 2 304.419 1.088 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H]2C[N@H+](Cc3nncs3)C[C@@H]2C1 ZINC001279362130 903233421 /nfs/dbraw/zinc/23/34/21/903233421.db2.gz CSNFQOYZRHANNS-BETUJISGSA-N 1 2 304.419 1.088 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1csnc1C ZINC001280402053 903639089 /nfs/dbraw/zinc/63/90/89/903639089.db2.gz DHSKJPSDWFYXOU-ZDUSSCGKSA-N 1 2 309.435 1.848 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1csnc1C ZINC001280402053 903639094 /nfs/dbraw/zinc/63/90/94/903639094.db2.gz DHSKJPSDWFYXOU-ZDUSSCGKSA-N 1 2 309.435 1.848 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1ccco1 ZINC001316604268 903790150 /nfs/dbraw/zinc/79/01/50/903790150.db2.gz SJPAFGGGABWMJZ-CVEARBPZSA-N 1 2 318.417 1.641 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1ccco1 ZINC001316604268 903790161 /nfs/dbraw/zinc/79/01/61/903790161.db2.gz SJPAFGGGABWMJZ-CVEARBPZSA-N 1 2 318.417 1.641 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001281048013 904355349 /nfs/dbraw/zinc/35/53/49/904355349.db2.gz SFBZQINEJCFHLX-DYVFJYSZSA-N 1 2 320.437 1.908 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CC[C@H]1C ZINC001281426113 904826434 /nfs/dbraw/zinc/82/64/34/904826434.db2.gz UINNAFRLDHMRRQ-OLZOCXBDSA-N 1 2 304.394 1.414 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]1CN(C)C(=O)Cn1cc[nH+]c1 ZINC001282040974 905456096 /nfs/dbraw/zinc/45/60/96/905456096.db2.gz ABNGWXPXSUTEDP-AWEZNQCLSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC(C)C)CC1(C)C ZINC001282821964 906074119 /nfs/dbraw/zinc/07/41/19/906074119.db2.gz KQLLHIOOVZRIRH-CQSZACIVSA-N 1 2 323.481 1.797 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](CC(=O)NCC(C)C)CC1(C)C ZINC001282821964 906074138 /nfs/dbraw/zinc/07/41/38/906074138.db2.gz KQLLHIOOVZRIRH-CQSZACIVSA-N 1 2 323.481 1.797 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001282871224 906131289 /nfs/dbraw/zinc/13/12/89/906131289.db2.gz WWUHWOQTFXSLJK-LSDHHAIUSA-N 1 2 318.421 1.402 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H](C)NC(=O)c1ccco1 ZINC001372237062 906782470 /nfs/dbraw/zinc/78/24/70/906782470.db2.gz JRYRYUHKOAOWKX-NSHDSACASA-N 1 2 313.785 1.198 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H](C)NC(=O)c1ccco1 ZINC001372237062 906782484 /nfs/dbraw/zinc/78/24/84/906782484.db2.gz JRYRYUHKOAOWKX-NSHDSACASA-N 1 2 313.785 1.198 20 30 DDEDLO C[C@H](CN(C)C(=O)CSCC#N)[NH2+]Cc1nc(C2CC2)no1 ZINC001283571037 907617123 /nfs/dbraw/zinc/61/71/23/907617123.db2.gz GNQUMTPPPZBCSY-SNVBAGLBSA-N 1 2 323.422 1.140 20 30 DDEDLO C#CCCCC(=O)N[C@@]12CCC[C@H]1[N@H+](Cc1nonc1C)CC2 ZINC001284049907 908392476 /nfs/dbraw/zinc/39/24/76/908392476.db2.gz FUYJRBUOUMCSAJ-NVXWUHKLSA-N 1 2 316.405 1.795 20 30 DDEDLO C#CCCCC(=O)N[C@@]12CCC[C@H]1[N@@H+](Cc1nonc1C)CC2 ZINC001284049907 908392493 /nfs/dbraw/zinc/39/24/93/908392493.db2.gz FUYJRBUOUMCSAJ-NVXWUHKLSA-N 1 2 316.405 1.795 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001284105823 908483099 /nfs/dbraw/zinc/48/30/99/908483099.db2.gz GDBPPDQRKLEIEP-CYBMUJFWSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCC[N@H+](Cc2cnnn2C)[C@@H]1C ZINC001284127803 908519400 /nfs/dbraw/zinc/51/94/00/908519400.db2.gz FLZXLJLAWUEYJV-UKRRQHHQSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCC[N@@H+](Cc2cnnn2C)[C@@H]1C ZINC001284127803 908519408 /nfs/dbraw/zinc/51/94/08/908519408.db2.gz FLZXLJLAWUEYJV-UKRRQHHQSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCN(C(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001284736622 909422961 /nfs/dbraw/zinc/42/29/61/909422961.db2.gz MHPLTCAVOHTMJX-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NC(=O)Cc1c[nH+]cn1C ZINC001285082467 910044167 /nfs/dbraw/zinc/04/41/67/910044167.db2.gz KNSVXLDCJFNBNP-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H](OCC)[C@H]2CCOC2)C1 ZINC001373528502 910094204 /nfs/dbraw/zinc/09/42/04/910094204.db2.gz WSQCZYLDAZKXNK-UONOGXRCSA-N 1 2 316.829 1.229 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001285193066 910186268 /nfs/dbraw/zinc/18/62/68/910186268.db2.gz HNGNLAAZYJVMNC-PBHICJAKSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C1CC(C)(C(=O)NC/C=C/CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001285603846 910861332 /nfs/dbraw/zinc/86/13/32/910861332.db2.gz OARGNBJKHWCJMZ-SNAWJCMRSA-N 1 2 316.405 1.406 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001295864763 916103456 /nfs/dbraw/zinc/10/34/56/916103456.db2.gz VUVXIIBIZHZOFE-CYBMUJFWSA-N 1 2 306.410 1.330 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)CC(C)C)CC1 ZINC001296824075 916580474 /nfs/dbraw/zinc/58/04/74/916580474.db2.gz FENCJWJBHWPFJN-UHFFFAOYSA-N 1 2 309.454 1.601 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CO[C@@H]2CCOC2)C1 ZINC001376059559 917581636 /nfs/dbraw/zinc/58/16/36/917581636.db2.gz YUDSYFSHKSOELI-QWHCGFSZSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CO[C@@H]2CCOC2)C1 ZINC001376059559 917581648 /nfs/dbraw/zinc/58/16/48/917581648.db2.gz YUDSYFSHKSOELI-QWHCGFSZSA-N 1 2 302.802 1.125 20 30 DDEDLO CCC(=O)NCC[NH+]1CCN(Cc2ccc(C#N)c(F)c2)CC1 ZINC001376072596 917623504 /nfs/dbraw/zinc/62/35/04/917623504.db2.gz VSEQEDRKGFTAMP-UHFFFAOYSA-N 1 2 318.396 1.341 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)C[C@@H]2CCOC2)CC1 ZINC001377547746 922296482 /nfs/dbraw/zinc/29/64/82/922296482.db2.gz BPHQMAOTXSICNM-ZDUSSCGKSA-N 1 2 316.829 1.109 20 30 DDEDLO CC(C)c1nnc(C[N@@H+]2CC[C@@H](CCNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001377681824 922689957 /nfs/dbraw/zinc/68/99/57/922689957.db2.gz ZNOHEKKYHKXUOH-QWHCGFSZSA-N 1 2 318.425 1.416 20 30 DDEDLO CC(C)c1nnc(C[N@H+]2CC[C@@H](CCNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001377681824 922689973 /nfs/dbraw/zinc/68/99/73/922689973.db2.gz ZNOHEKKYHKXUOH-QWHCGFSZSA-N 1 2 318.425 1.416 20 30 DDEDLO CC(C)c1nc(C[NH2+]CC(C)(C)CN(C)C(=O)[C@H](C)C#N)no1 ZINC001377943292 923478301 /nfs/dbraw/zinc/47/83/01/923478301.db2.gz ZRLWEZXZDDJVCK-GFCCVEGCSA-N 1 2 321.425 1.927 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H](C)[N@H+](C)Cc1cc2n(n1)CCCC2 ZINC001378017984 923712851 /nfs/dbraw/zinc/71/28/51/923712851.db2.gz GDXAIRSLYJUUEJ-OLZOCXBDSA-N 1 2 303.410 1.316 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H](C)[N@@H+](C)Cc1cc2n(n1)CCCC2 ZINC001378017984 923712863 /nfs/dbraw/zinc/71/28/63/923712863.db2.gz GDXAIRSLYJUUEJ-OLZOCXBDSA-N 1 2 303.410 1.316 20 30 DDEDLO N#Cc1cc(F)ccc1NC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000278263199 214054784 /nfs/dbraw/zinc/05/47/84/214054784.db2.gz ZKRXRUYDSRIFJQ-UHFFFAOYSA-N 1 2 318.352 1.684 20 30 DDEDLO CC[C@@H]1CN(C(=O)c2cc(C#N)ccn2)C[C@@H]1[NH+]1CCOCC1 ZINC000330724574 529378706 /nfs/dbraw/zinc/37/87/06/529378706.db2.gz DOPJMTLJHVMTLK-ZBFHGGJFSA-N 1 2 314.389 1.136 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCC2)[C@H](CC)CN1C(C)=O ZINC000347066406 529384339 /nfs/dbraw/zinc/38/43/39/529384339.db2.gz ZTLDRLJJSMIVNY-HUUCEWRRSA-N 1 2 320.437 1.270 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)[C@H](CC)CN1C(C)=O ZINC000347066406 529384340 /nfs/dbraw/zinc/38/43/40/529384340.db2.gz ZTLDRLJJSMIVNY-HUUCEWRRSA-N 1 2 320.437 1.270 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2ccc(C#N)n2C)C[C@H](C)O1 ZINC000451288916 231057893 /nfs/dbraw/zinc/05/78/93/231057893.db2.gz URAZLLFKABEPOB-BETUJISGSA-N 1 2 304.394 1.078 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2ccc(C#N)n2C)C[C@H](C)O1 ZINC000451288916 231057897 /nfs/dbraw/zinc/05/78/97/231057897.db2.gz URAZLLFKABEPOB-BETUJISGSA-N 1 2 304.394 1.078 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@H](C)C(=O)Nc2ncccn2)C[C@@]2(CCOC2)O1 ZINC000330087613 529401935 /nfs/dbraw/zinc/40/19/35/529401935.db2.gz TZRZCKNBODKESO-XJKCOSOUSA-N 1 2 320.393 1.723 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@H](C)C(=O)Nc2ncccn2)C[C@@]2(CCOC2)O1 ZINC000330087613 529401938 /nfs/dbraw/zinc/40/19/38/529401938.db2.gz TZRZCKNBODKESO-XJKCOSOUSA-N 1 2 320.393 1.723 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)N1CC[C@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000451443440 231098114 /nfs/dbraw/zinc/09/81/14/231098114.db2.gz CTJROWPQHZPKKW-OAUYIBNBSA-N 1 2 321.421 1.458 20 30 DDEDLO CO[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H](C)C1 ZINC000318431039 231169185 /nfs/dbraw/zinc/16/91/85/231169185.db2.gz PWVYNPGUYKGHCP-HIFRSBDPSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H](C)C1 ZINC000318431039 231169189 /nfs/dbraw/zinc/16/91/89/231169189.db2.gz PWVYNPGUYKGHCP-HIFRSBDPSA-N 1 2 322.430 1.831 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)c1cccc(C#N)c1 ZINC000451939305 231244247 /nfs/dbraw/zinc/24/42/47/231244247.db2.gz ZPYAJOPLNCATSA-NSHDSACASA-N 1 2 304.375 1.814 20 30 DDEDLO CC[C@@]1(C)CN(CC#CC[N@@H+]2CCO[C@@](C)(CC)C2)CCO1 ZINC000342134858 529531612 /nfs/dbraw/zinc/53/16/12/529531612.db2.gz SJWLBIXINUJJSF-ROUUACIJSA-N 1 2 308.466 1.992 20 30 DDEDLO CC[C@@]1(C)CN(CC#CC[N@H+]2CCO[C@@](C)(CC)C2)CCO1 ZINC000342134858 529531614 /nfs/dbraw/zinc/53/16/14/529531614.db2.gz SJWLBIXINUJJSF-ROUUACIJSA-N 1 2 308.466 1.992 20 30 DDEDLO CC[C@@H](NC(=O)CNC(=O)c1ccc(C#N)cc1)c1[nH]cc[nH+]1 ZINC000442821924 529596729 /nfs/dbraw/zinc/59/67/29/529596729.db2.gz NWGXIEADTMTKEH-CYBMUJFWSA-N 1 2 311.345 1.279 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCOC[C@H]1C1CC1)C1(C#N)CCCCC1 ZINC000090009559 185277084 /nfs/dbraw/zinc/27/70/84/185277084.db2.gz QYZWXFFOWRHFGR-HNNXBMFYSA-N 1 2 305.422 1.782 20 30 DDEDLO CN(C(=O)C[N@H+]1CCOC[C@H]1C1CC1)C1(C#N)CCCCC1 ZINC000090009559 185277085 /nfs/dbraw/zinc/27/70/85/185277085.db2.gz QYZWXFFOWRHFGR-HNNXBMFYSA-N 1 2 305.422 1.782 20 30 DDEDLO CCOc1c(OC)cc(C[NH+]2CCN(CC#N)CC2)cc1OC ZINC000092936473 185349987 /nfs/dbraw/zinc/34/99/87/185349987.db2.gz GRMFMWROQALECN-UHFFFAOYSA-N 1 2 319.405 1.744 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C#N)cc3Cl)C[C@H]21 ZINC000330237674 529786286 /nfs/dbraw/zinc/78/62/86/529786286.db2.gz UVRSAPXXOHYBGI-ZIAGYGMSSA-N 1 2 320.780 1.772 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C#N)cc3Cl)C[C@H]21 ZINC000330237674 529786287 /nfs/dbraw/zinc/78/62/87/529786287.db2.gz UVRSAPXXOHYBGI-ZIAGYGMSSA-N 1 2 320.780 1.772 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CC3(CCC3)[C@@H]2[C@H]2CCCO2)C1 ZINC000329734940 529788040 /nfs/dbraw/zinc/78/80/40/529788040.db2.gz RSUTWMIINAYWQU-KFWWJZLASA-N 1 2 323.437 1.265 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CC3(CCC3)[C@@H]2[C@H]2CCCO2)C1 ZINC000329734940 529788041 /nfs/dbraw/zinc/78/80/41/529788041.db2.gz RSUTWMIINAYWQU-KFWWJZLASA-N 1 2 323.437 1.265 20 30 DDEDLO C[N@@H+]1CC[C@@H](NC(=O)NCCC#N)[C@@H]1c1ccc(F)c(F)c1 ZINC000334076420 529795161 /nfs/dbraw/zinc/79/51/61/529795161.db2.gz NGLQCEYQLZBVQW-KGLIPLIRSA-N 1 2 308.332 1.923 20 30 DDEDLO C[N@H+]1CC[C@@H](NC(=O)NCCC#N)[C@@H]1c1ccc(F)c(F)c1 ZINC000334076420 529795162 /nfs/dbraw/zinc/79/51/62/529795162.db2.gz NGLQCEYQLZBVQW-KGLIPLIRSA-N 1 2 308.332 1.923 20 30 DDEDLO COc1ccncc1C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000286741949 219140003 /nfs/dbraw/zinc/14/00/03/219140003.db2.gz FQLNJAVMJXZYAZ-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[NH2+]Cc1noc([C@@H]2CCN(c3ccc(C#N)cc3F)C2)n1 ZINC000414646737 530012892 /nfs/dbraw/zinc/01/28/92/530012892.db2.gz RZUINFBQNNCNKW-LLVKDONJSA-N 1 2 301.325 1.794 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@@H+](Cc3ccc(C#N)cc3Cl)CC[C@@H]21 ZINC000332583083 530045856 /nfs/dbraw/zinc/04/58/56/530045856.db2.gz JURVJCRMUOUKHT-KGLIPLIRSA-N 1 2 304.781 1.810 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@H+](Cc3ccc(C#N)cc3Cl)CC[C@@H]21 ZINC000332583083 530045857 /nfs/dbraw/zinc/04/58/57/530045857.db2.gz JURVJCRMUOUKHT-KGLIPLIRSA-N 1 2 304.781 1.810 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC[C@@H](CO)C2)cc1 ZINC000147731187 186041229 /nfs/dbraw/zinc/04/12/29/186041229.db2.gz GYKDIYLMQSUTIQ-CQSZACIVSA-N 1 2 308.403 1.036 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@@H](CO)C2)cc1 ZINC000147731187 186041231 /nfs/dbraw/zinc/04/12/31/186041231.db2.gz GYKDIYLMQSUTIQ-CQSZACIVSA-N 1 2 308.403 1.036 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(-c3ccco3)n(C)c2=S)CC1 ZINC000029436594 352239393 /nfs/dbraw/zinc/23/93/93/352239393.db2.gz VLNLNRDPKGPGKR-UHFFFAOYSA-N 1 2 317.418 1.419 20 30 DDEDLO N#CC1(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)CCCC1 ZINC000025759135 352201384 /nfs/dbraw/zinc/20/13/84/352201384.db2.gz QPSPGBOWOHTIIW-UHFFFAOYSA-N 1 2 314.389 1.618 20 30 DDEDLO C=CC[N@@H+](CC(=O)N1CCC[C@H](C(N)=O)C1)Cc1cccs1 ZINC000032775513 352284400 /nfs/dbraw/zinc/28/44/00/352284400.db2.gz HOSFGMQCIGMXSC-ZDUSSCGKSA-N 1 2 321.446 1.460 20 30 DDEDLO C=CC[N@H+](CC(=O)N1CCC[C@H](C(N)=O)C1)Cc1cccs1 ZINC000032775513 352284403 /nfs/dbraw/zinc/28/44/03/352284403.db2.gz HOSFGMQCIGMXSC-ZDUSSCGKSA-N 1 2 321.446 1.460 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(Cc2ccco2)CC1 ZINC000042540442 352342496 /nfs/dbraw/zinc/34/24/96/352342496.db2.gz MYCGIDKYUUZKHV-INIZCTEOSA-N 1 2 317.433 1.986 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)N1CC[NH+](Cc2ccco2)CC1 ZINC000042540442 352342498 /nfs/dbraw/zinc/34/24/98/352342498.db2.gz MYCGIDKYUUZKHV-INIZCTEOSA-N 1 2 317.433 1.986 20 30 DDEDLO COc1cccc(OC)c1OC[C@H](O)C[N@H+](C)C[C@H](C)C#N ZINC000063563443 352915982 /nfs/dbraw/zinc/91/59/82/352915982.db2.gz NCJAIDAVGAOVBJ-CHWSQXEVSA-N 1 2 308.378 1.535 20 30 DDEDLO COc1cccc(OC)c1OC[C@H](O)C[N@@H+](C)C[C@H](C)C#N ZINC000063563443 352915984 /nfs/dbraw/zinc/91/59/84/352915984.db2.gz NCJAIDAVGAOVBJ-CHWSQXEVSA-N 1 2 308.378 1.535 20 30 DDEDLO C=CCCNC(=O)CCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000617617039 363201403 /nfs/dbraw/zinc/20/14/03/363201403.db2.gz SISOJHDWHOOOFP-UHFFFAOYSA-N 1 2 316.405 1.203 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000072906583 353226200 /nfs/dbraw/zinc/22/62/00/353226200.db2.gz NYLQURPHYIOUAT-UHFFFAOYSA-N 1 2 306.369 1.057 20 30 DDEDLO C=CCNC(=O)[C@@H](C)N1CCN(C2=[NH+]CC(C)(C)S2)CC1 ZINC000081016400 353645367 /nfs/dbraw/zinc/64/53/67/353645367.db2.gz UCLJTJBEIAYBKV-GFCCVEGCSA-N 1 2 310.467 1.176 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(C2=NCC(C)(C)S2)CC1 ZINC000081016400 353645370 /nfs/dbraw/zinc/64/53/70/353645370.db2.gz UCLJTJBEIAYBKV-GFCCVEGCSA-N 1 2 310.467 1.176 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@H](O)COc1ccc(C#N)cc1 ZINC000103427894 353929663 /nfs/dbraw/zinc/92/96/63/353929663.db2.gz BQOMKKUZQZCKAP-HNNXBMFYSA-N 1 2 303.362 1.160 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@H](O)COc1ccc(C#N)cc1 ZINC000103427894 353929665 /nfs/dbraw/zinc/92/96/65/353929665.db2.gz BQOMKKUZQZCKAP-HNNXBMFYSA-N 1 2 303.362 1.160 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000093706272 353903734 /nfs/dbraw/zinc/90/37/34/353903734.db2.gz DHOWVTUGCZKXHI-CQSZACIVSA-N 1 2 304.390 1.276 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)NCc2cscn2)CCN1C ZINC000328636959 222880458 /nfs/dbraw/zinc/88/04/58/222880458.db2.gz VVHQFFBTSONQON-GFCCVEGCSA-N 1 2 320.422 1.279 20 30 DDEDLO CC[C@@](C)(C#N)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000110677788 353961998 /nfs/dbraw/zinc/96/19/98/353961998.db2.gz XLQQWDBLQFMISK-KRWDZBQOSA-N 1 2 301.390 1.941 20 30 DDEDLO CC[N@@H+]1CCN(CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C ZINC000316553204 354503681 /nfs/dbraw/zinc/50/36/81/354503681.db2.gz DUJQECVIAOAMGH-AWEZNQCLSA-N 1 2 321.446 1.358 20 30 DDEDLO CC[N@H+]1CCN(CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C ZINC000316553204 354503683 /nfs/dbraw/zinc/50/36/83/354503683.db2.gz DUJQECVIAOAMGH-AWEZNQCLSA-N 1 2 321.446 1.358 20 30 DDEDLO Cc1cc(OCC(=O)N[C@@](C)(C#N)C[NH+](C)C)ccc1Cl ZINC000578831800 354712619 /nfs/dbraw/zinc/71/26/19/354712619.db2.gz RXPWLDWETXGDAA-HNNXBMFYSA-N 1 2 309.797 1.987 20 30 DDEDLO COc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1[N+](=O)[O-] ZINC000584755619 354758590 /nfs/dbraw/zinc/75/85/90/354758590.db2.gz ASDGDOJGMPXDSG-AWEZNQCLSA-N 1 2 306.322 1.177 20 30 DDEDLO CS(=O)(=O)NCC[C@@H]1CCCC[N@@H+]1Cc1ccncc1C#N ZINC000592060979 355480731 /nfs/dbraw/zinc/48/07/31/355480731.db2.gz GMUHOOJOYCVPII-HNNXBMFYSA-N 1 2 322.434 1.247 20 30 DDEDLO CS(=O)(=O)NCC[C@@H]1CCCC[N@H+]1Cc1ccncc1C#N ZINC000592060979 355480735 /nfs/dbraw/zinc/48/07/35/355480735.db2.gz GMUHOOJOYCVPII-HNNXBMFYSA-N 1 2 322.434 1.247 20 30 DDEDLO C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148990 355514907 /nfs/dbraw/zinc/51/49/07/355514907.db2.gz GLZWBZXDODIVNH-ABAIWWIYSA-N 1 2 318.333 1.272 20 30 DDEDLO C[C@H](C(=O)Nc1cccc([N+](=O)[O-])c1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148990 355514909 /nfs/dbraw/zinc/51/49/09/355514909.db2.gz GLZWBZXDODIVNH-ABAIWWIYSA-N 1 2 318.333 1.272 20 30 DDEDLO CCOc1ccc(NC(=O)[C@@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592149275 355516325 /nfs/dbraw/zinc/51/63/25/355516325.db2.gz LYKFSYAWMAOIAP-DYVFJYSZSA-N 1 2 317.389 1.763 20 30 DDEDLO CCOc1ccc(NC(=O)[C@@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592149275 355516327 /nfs/dbraw/zinc/51/63/27/355516327.db2.gz LYKFSYAWMAOIAP-DYVFJYSZSA-N 1 2 317.389 1.763 20 30 DDEDLO Cc1ccc(CN(C(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)C2CC2)o1 ZINC000592152016 355518738 /nfs/dbraw/zinc/51/87/38/355518738.db2.gz SPODHRIKYCVNII-KRWDZBQOSA-N 1 2 317.389 1.430 20 30 DDEDLO Cc1ccc(CN(C(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)C2CC2)o1 ZINC000592152016 355518741 /nfs/dbraw/zinc/51/87/41/355518741.db2.gz SPODHRIKYCVNII-KRWDZBQOSA-N 1 2 317.389 1.430 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2C[S@]3=O)c(OC(F)F)c1 ZINC000593097849 355795949 /nfs/dbraw/zinc/79/59/49/355795949.db2.gz RKZFLECWAKEIAE-QSRBQVKKSA-N 1 2 312.341 1.865 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2C[S@]3=O)c(OC(F)F)c1 ZINC000593097849 355795952 /nfs/dbraw/zinc/79/59/52/355795952.db2.gz RKZFLECWAKEIAE-QSRBQVKKSA-N 1 2 312.341 1.865 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1coc(C2CCOCC2)n1 ZINC000593154835 355813656 /nfs/dbraw/zinc/81/36/56/355813656.db2.gz JYSABGFZSJASOA-HNNXBMFYSA-N 1 2 306.366 1.142 20 30 DDEDLO CN(C)[C@H](C(=O)N1Cc2cccc(C#N)c2C1)c1c[nH+]cn1C ZINC000593888775 356043183 /nfs/dbraw/zinc/04/31/83/356043183.db2.gz UOQWMLUQGQKFMG-INIZCTEOSA-N 1 2 309.373 1.437 20 30 DDEDLO N#CCCCCC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000594246556 356151616 /nfs/dbraw/zinc/15/16/16/356151616.db2.gz QPKJEJKXENXBKB-HNNXBMFYSA-N 1 2 311.451 1.394 20 30 DDEDLO COCC[N@@H+](Cc1cc(C#N)cs1)C[C@@H](O)C(F)(F)F ZINC000594609022 356264748 /nfs/dbraw/zinc/26/47/48/356264748.db2.gz MIDVBFOGDULADG-LLVKDONJSA-N 1 2 308.325 1.991 20 30 DDEDLO COCC[N@H+](Cc1cc(C#N)cs1)C[C@@H](O)C(F)(F)F ZINC000594609022 356264752 /nfs/dbraw/zinc/26/47/52/356264752.db2.gz MIDVBFOGDULADG-LLVKDONJSA-N 1 2 308.325 1.991 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N[C@@H](C)c1[nH+]ccn1C ZINC000595382915 356473231 /nfs/dbraw/zinc/47/32/31/356473231.db2.gz KZAJKTDNTVUJFV-NSHDSACASA-N 1 2 304.375 1.640 20 30 DDEDLO COC(=O)C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C1CCCCC1 ZINC000595662736 356598216 /nfs/dbraw/zinc/59/82/16/356598216.db2.gz ACXACLABOVUAJO-KRWDZBQOSA-N 1 2 321.421 1.603 20 30 DDEDLO COC(=O)C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C1CCCCC1 ZINC000595662736 356598219 /nfs/dbraw/zinc/59/82/19/356598219.db2.gz ACXACLABOVUAJO-KRWDZBQOSA-N 1 2 321.421 1.603 20 30 DDEDLO Cc1cc(C#N)ccc1C[N@@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000595753304 356636158 /nfs/dbraw/zinc/63/61/58/356636158.db2.gz SHPQAUYJRHDVLW-CYBMUJFWSA-N 1 2 307.419 1.332 20 30 DDEDLO Cc1cc(C#N)ccc1C[N@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000595753304 356636160 /nfs/dbraw/zinc/63/61/60/356636160.db2.gz SHPQAUYJRHDVLW-CYBMUJFWSA-N 1 2 307.419 1.332 20 30 DDEDLO CCOc1ccc(N2CC[NH+](Cc3ccnc(C#N)c3)CC2)nn1 ZINC000595891682 356694514 /nfs/dbraw/zinc/69/45/14/356694514.db2.gz LTIPAJNGFHKYFF-UHFFFAOYSA-N 1 2 324.388 1.464 20 30 DDEDLO N#Cc1nccc(N2C[C@@H]3[C@H](C2)OCC[N@@H+]3Cc2ccccc2)n1 ZINC000596350698 356861648 /nfs/dbraw/zinc/86/16/48/356861648.db2.gz ZHHHWYSCFDTDGY-CVEARBPZSA-N 1 2 321.384 1.438 20 30 DDEDLO N#Cc1nccc(N2C[C@@H]3[C@H](C2)OCC[N@H+]3Cc2ccccc2)n1 ZINC000596350698 356861651 /nfs/dbraw/zinc/86/16/51/356861651.db2.gz ZHHHWYSCFDTDGY-CVEARBPZSA-N 1 2 321.384 1.438 20 30 DDEDLO CC(C)(CC#N)CNC(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000596623738 356934895 /nfs/dbraw/zinc/93/48/95/356934895.db2.gz DVIIZZALIPBHPV-UHFFFAOYSA-N 1 2 322.453 1.680 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)[C@H](C)CO1 ZINC000596725241 356962137 /nfs/dbraw/zinc/96/21/37/356962137.db2.gz HPEIYRDKYZTYQA-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)[C@H](C)CO1 ZINC000596725241 356962141 /nfs/dbraw/zinc/96/21/41/356962141.db2.gz HPEIYRDKYZTYQA-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)c1C#N ZINC000596901798 357011018 /nfs/dbraw/zinc/01/10/18/357011018.db2.gz PMQWRMQYWKWVHZ-ZJUUUORDSA-N 1 2 323.422 1.554 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)c1C#N ZINC000596901798 357011020 /nfs/dbraw/zinc/01/10/20/357011020.db2.gz PMQWRMQYWKWVHZ-ZJUUUORDSA-N 1 2 323.422 1.554 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1ccc(C#N)nc1)C(C)(C)CO ZINC000597848987 357357154 /nfs/dbraw/zinc/35/71/54/357357154.db2.gz XQZUETSKGUYAGY-CYBMUJFWSA-N 1 2 313.361 1.176 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@H+](C)C[C@@H](O)CC(C)(C)C#N ZINC000598593401 357645373 /nfs/dbraw/zinc/64/53/73/357645373.db2.gz SKGVWHKBEAYEGJ-STQMWFEESA-N 1 2 300.399 1.186 20 30 DDEDLO COC(=O)[C@H](COC(C)C)[N@@H+](C)C[C@@H](O)CC(C)(C)C#N ZINC000598593401 357645377 /nfs/dbraw/zinc/64/53/77/357645377.db2.gz SKGVWHKBEAYEGJ-STQMWFEESA-N 1 2 300.399 1.186 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H](C)[C@@H](CO)C2)c(C#N)c1C ZINC000598645367 357667009 /nfs/dbraw/zinc/66/70/09/357667009.db2.gz IBFXMIBQIHQKIG-ZWNOBZJWSA-N 1 2 305.378 1.657 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H](C)[C@@H](CO)C2)c(C#N)c1C ZINC000598645367 357667016 /nfs/dbraw/zinc/66/70/16/357667016.db2.gz IBFXMIBQIHQKIG-ZWNOBZJWSA-N 1 2 305.378 1.657 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCCN(c2cc(C)[nH+]cc2C#N)C1 ZINC000599201216 357848299 /nfs/dbraw/zinc/84/82/99/357848299.db2.gz GJRXORHTXNHSJX-LBPRGKRZSA-N 1 2 308.407 1.027 20 30 DDEDLO CCC[C@@](C)([NH2+]C[C@@H](O)CC1(C#N)CCOCC1)C(=O)OC ZINC000599302990 357884515 /nfs/dbraw/zinc/88/45/15/357884515.db2.gz LIVRUTLVMLITCD-DZGCQCFKSA-N 1 2 312.410 1.379 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)N2CCc3[nH+]c[nH]c3C2)c(C#N)c1C ZINC000599424133 357929484 /nfs/dbraw/zinc/92/94/84/357929484.db2.gz TUYGWQLFMLZART-SNVBAGLBSA-N 1 2 313.361 1.877 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)Cc1cn2ccccc2[nH+]1)C(=O)OC ZINC000601778455 358691432 /nfs/dbraw/zinc/69/14/32/358691432.db2.gz OBXCPXHFVCOBNM-CYBMUJFWSA-N 1 2 301.346 1.501 20 30 DDEDLO N#CCc1cccc(S(=O)(=O)N[C@H]2CCn3c[nH+]cc3C2)c1 ZINC000601967332 358757931 /nfs/dbraw/zinc/75/79/31/358757931.db2.gz HKXNSCACDOELKL-ZDUSSCGKSA-N 1 2 316.386 1.242 20 30 DDEDLO CN1CCCC[C@H]([N@H+](C)CC(=O)Nc2ccc(C#N)cc2)C1=O ZINC000601968840 358758486 /nfs/dbraw/zinc/75/84/86/358758486.db2.gz HZRRFSCVMZZBGN-HNNXBMFYSA-N 1 2 314.389 1.439 20 30 DDEDLO CN1CCCC[C@H]([N@@H+](C)CC(=O)Nc2ccc(C#N)cc2)C1=O ZINC000601968840 358758490 /nfs/dbraw/zinc/75/84/90/358758490.db2.gz HZRRFSCVMZZBGN-HNNXBMFYSA-N 1 2 314.389 1.439 20 30 DDEDLO CCc1c[nH]c(CC(=O)N2CCN(c3ncccc3C#N)CC2)[nH+]1 ZINC000602047617 358791329 /nfs/dbraw/zinc/79/13/29/358791329.db2.gz REEZYOOUSSGNEH-UHFFFAOYSA-N 1 2 324.388 1.130 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N(C)[C@H]2CCC[C@@H]2C#N)c(C)[nH+]1 ZINC000602066420 358798061 /nfs/dbraw/zinc/79/80/61/358798061.db2.gz HJNOTGUKDIKVFC-OCCSQVGLSA-N 1 2 300.362 1.788 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC000602196714 358877741 /nfs/dbraw/zinc/87/77/41/358877741.db2.gz AGYPDGLREUNIMS-UHFFFAOYSA-N 1 2 323.400 1.292 20 30 DDEDLO Cc1cccc(CO[C@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000602335970 358936390 /nfs/dbraw/zinc/93/63/90/358936390.db2.gz WLFGHBGONRUQNZ-PBHICJAKSA-N 1 2 303.406 1.860 20 30 DDEDLO C=CCOC[C@@H]([NH2+][C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2)C(=O)OC ZINC000602644355 359094545 /nfs/dbraw/zinc/09/45/45/359094545.db2.gz MRBQHLMZHDDYMA-YJNKXOJESA-N 1 2 309.406 1.668 20 30 DDEDLO COCC[N@@H+](Cc1cccc(C#N)n1)C[C@H](O)C(F)(F)F ZINC000602704689 359136382 /nfs/dbraw/zinc/13/63/82/359136382.db2.gz JJHNORGHFOGUFZ-LBPRGKRZSA-N 1 2 303.284 1.325 20 30 DDEDLO COCC[N@H+](Cc1cccc(C#N)n1)C[C@H](O)C(F)(F)F ZINC000602704689 359136384 /nfs/dbraw/zinc/13/63/84/359136384.db2.gz JJHNORGHFOGUFZ-LBPRGKRZSA-N 1 2 303.284 1.325 20 30 DDEDLO C[C@H]1CCCCN1C(=O)CN1CC[NH+](CC2(CC#N)CC2)CC1 ZINC000602756143 359170254 /nfs/dbraw/zinc/17/02/54/359170254.db2.gz LBLFRIPRBCOHIF-INIZCTEOSA-N 1 2 318.465 1.699 20 30 DDEDLO C[C@H]1CCCCN1C(=O)C[NH+]1CCN(CC2(CC#N)CC2)CC1 ZINC000602756143 359170258 /nfs/dbraw/zinc/17/02/58/359170258.db2.gz LBLFRIPRBCOHIF-INIZCTEOSA-N 1 2 318.465 1.699 20 30 DDEDLO CCOC(=O)c1ncc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)s1 ZINC000602850502 359238267 /nfs/dbraw/zinc/23/82/67/359238267.db2.gz PWJOVBYWRHNRJH-TXEJJXNPSA-N 1 2 322.434 1.738 20 30 DDEDLO CCOC(=O)c1ncc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)s1 ZINC000602850502 359238272 /nfs/dbraw/zinc/23/82/72/359238272.db2.gz PWJOVBYWRHNRJH-TXEJJXNPSA-N 1 2 322.434 1.738 20 30 DDEDLO COc1cccc(CN(C)C(=O)C[NH2+][C@@H](C)CC#N)c1OC ZINC000602863205 359247855 /nfs/dbraw/zinc/24/78/55/359247855.db2.gz LWJASSLDGDMPED-LBPRGKRZSA-N 1 2 305.378 1.554 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C(=O)OC(C)(C)C)C2CC2)C1=O ZINC000602828144 359221080 /nfs/dbraw/zinc/22/10/80/359221080.db2.gz RAAAUDVUSLIARP-KGLIPLIRSA-N 1 2 308.422 1.825 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C(=O)OC(C)(C)C)C2CC2)C1=O ZINC000602828144 359221083 /nfs/dbraw/zinc/22/10/83/359221083.db2.gz RAAAUDVUSLIARP-KGLIPLIRSA-N 1 2 308.422 1.825 20 30 DDEDLO COCc1ncc2c(n1)CC[N@@H+](CCOc1ccccc1C#N)C2 ZINC000602928780 359299537 /nfs/dbraw/zinc/29/95/37/359299537.db2.gz RLZQSHNTIYTCAT-UHFFFAOYSA-N 1 2 324.384 1.932 20 30 DDEDLO COCc1ncc2c(n1)CC[N@H+](CCOc1ccccc1C#N)C2 ZINC000602928780 359299540 /nfs/dbraw/zinc/29/95/40/359299540.db2.gz RLZQSHNTIYTCAT-UHFFFAOYSA-N 1 2 324.384 1.932 20 30 DDEDLO COc1cccc(CNC(=O)C[N@H+](C)[C@H]2CCC[C@H]2C#N)c1 ZINC000602974734 359334504 /nfs/dbraw/zinc/33/45/04/359334504.db2.gz SLEPMHCDTXUVGP-HOCLYGCPSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1cccc(CNC(=O)C[N@@H+](C)[C@H]2CCC[C@H]2C#N)c1 ZINC000602974734 359334505 /nfs/dbraw/zinc/33/45/05/359334505.db2.gz SLEPMHCDTXUVGP-HOCLYGCPSA-N 1 2 301.390 1.935 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NC1CCCCC1)[C@@H]1CCC[C@@H]1C#N ZINC000602978931 359337365 /nfs/dbraw/zinc/33/73/65/359337365.db2.gz YRSPJBSJPLKJKP-TZMCWYRMSA-N 1 2 306.410 1.769 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NC1CCCCC1)[C@@H]1CCC[C@@H]1C#N ZINC000602978931 359337369 /nfs/dbraw/zinc/33/73/69/359337369.db2.gz YRSPJBSJPLKJKP-TZMCWYRMSA-N 1 2 306.410 1.769 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](C)c1ncc(Br)cc1F ZINC000187488172 200118769 /nfs/dbraw/zinc/11/87/69/200118769.db2.gz AOWNLUSFCPMFAV-QMMMGPOBSA-N 1 2 316.174 1.936 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CCC[C@H](n3cc[nH+]c3)C2)c1 ZINC000609134621 360263737 /nfs/dbraw/zinc/26/37/37/360263737.db2.gz AJOOGDLXVGIORZ-AWEZNQCLSA-N 1 2 316.386 1.781 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)N2CCC[C@@H](n3cc[nH+]c3)C2)cc1 ZINC000609672112 360343125 /nfs/dbraw/zinc/34/31/25/360343125.db2.gz QGMZKOUDQONARN-HZPDHXFCSA-N 1 2 309.373 1.618 20 30 DDEDLO CCC(CC)NC(=O)[C@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609485027 360312356 /nfs/dbraw/zinc/31/23/56/360312356.db2.gz XHCIVARXVPMZJG-GOEBONIOSA-N 1 2 308.470 1.845 20 30 DDEDLO CC[C@@H]1CCCCN1C(=O)C[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609486605 360313557 /nfs/dbraw/zinc/31/35/57/360313557.db2.gz NOJRCBXROVGCIU-IAGOWNOFSA-N 1 2 320.481 1.943 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NCC[N@@H+]1CCO[C@H](C)C1 ZINC000610277555 360406544 /nfs/dbraw/zinc/40/65/44/360406544.db2.gz FJDNGCZZIWSWSL-CQSZACIVSA-N 1 2 310.401 1.969 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NCC[N@H+]1CCO[C@H](C)C1 ZINC000610277555 360406546 /nfs/dbraw/zinc/40/65/46/360406546.db2.gz FJDNGCZZIWSWSL-CQSZACIVSA-N 1 2 310.401 1.969 20 30 DDEDLO CC(C)N(C(=O)C[NH+]1CCN(CCC#N)CC1)C1CCCC1 ZINC000611174165 360646429 /nfs/dbraw/zinc/64/64/29/360646429.db2.gz AHMKGNAPUKUIDT-UHFFFAOYSA-N 1 2 306.454 1.697 20 30 DDEDLO [O-]C(N[C@@H]1CCn2cc[nH+]c2C1)=[NH+][C@@H]1COc2ccccc2C1 ZINC000330199961 223086107 /nfs/dbraw/zinc/08/61/07/223086107.db2.gz WCGQLXDYFWVDQN-KGLIPLIRSA-N 1 2 312.373 1.705 20 30 DDEDLO [O-]C(N[C@@H]1COc2ccccc2C1)=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330199961 223086110 /nfs/dbraw/zinc/08/61/10/223086110.db2.gz WCGQLXDYFWVDQN-KGLIPLIRSA-N 1 2 312.373 1.705 20 30 DDEDLO CSc1c(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)cnn1C ZINC000331093245 223185319 /nfs/dbraw/zinc/18/53/19/223185319.db2.gz JQLDGBOOLRFZJL-MNOVXSKESA-N 1 2 312.439 1.556 20 30 DDEDLO C=C[C@@H]([NH2+]CC1CCN(C(=O)c2ccccc2)CC1)C(=O)OC ZINC000619703268 364121433 /nfs/dbraw/zinc/12/14/33/364121433.db2.gz YXQOWIPOPSWHNR-MRXNPFEDSA-N 1 2 316.401 1.856 20 30 DDEDLO C[C@@H](NC(=O)N1CCC(C)(C#N)CC1)[C@H](C)[NH+]1CCOCC1 ZINC000355923583 296058333 /nfs/dbraw/zinc/05/83/33/296058333.db2.gz PYIWFHGGPPTMGY-KGLIPLIRSA-N 1 2 308.426 1.431 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCO[C@H](CC(=O)OC)C2)cc1 ZINC000093458557 193255317 /nfs/dbraw/zinc/25/53/17/193255317.db2.gz BTKCOYFHFMNMJD-MRXNPFEDSA-N 1 2 303.358 1.463 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCO[C@H](CC(=O)OC)C2)cc1 ZINC000093458557 193255319 /nfs/dbraw/zinc/25/53/19/193255319.db2.gz BTKCOYFHFMNMJD-MRXNPFEDSA-N 1 2 303.358 1.463 20 30 DDEDLO CC[N@H+](Cc1ccc(C#N)c(OC)c1)[C@H](C)CS(C)(=O)=O ZINC000282448445 217002954 /nfs/dbraw/zinc/00/29/54/217002954.db2.gz UYVNSIZFEPQXTH-GFCCVEGCSA-N 1 2 310.419 1.822 20 30 DDEDLO CC[N@@H+](Cc1ccc(C#N)c(OC)c1)[C@H](C)CS(C)(=O)=O ZINC000282448445 217002956 /nfs/dbraw/zinc/00/29/56/217002956.db2.gz UYVNSIZFEPQXTH-GFCCVEGCSA-N 1 2 310.419 1.822 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1ccc([N+](=O)[O-])cc1OC)C1CC1 ZINC000274122167 211375513 /nfs/dbraw/zinc/37/55/13/211375513.db2.gz GWYQEHDRBJPTSW-UHFFFAOYSA-N 1 2 303.318 1.640 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1ccc([N+](=O)[O-])cc1OC)C1CC1 ZINC000274122167 211375516 /nfs/dbraw/zinc/37/55/16/211375516.db2.gz GWYQEHDRBJPTSW-UHFFFAOYSA-N 1 2 303.318 1.640 20 30 DDEDLO Cc1cc(C#N)nc(N[C@@H](c2[nH+]ccn2C)C2CCOCC2)n1 ZINC000284654394 218119022 /nfs/dbraw/zinc/11/90/22/218119022.db2.gz VPMJKMBUNPCJHX-CQSZACIVSA-N 1 2 312.377 1.970 20 30 DDEDLO CCC[N@H+](CC(=O)NC)CC(=O)N(CCC#N)c1ccccc1 ZINC000056508779 184014756 /nfs/dbraw/zinc/01/47/56/184014756.db2.gz FXAVCDALTKPQPD-UHFFFAOYSA-N 1 2 316.405 1.391 20 30 DDEDLO CCC[N@@H+](CC(=O)NC)CC(=O)N(CCC#N)c1ccccc1 ZINC000056508779 184014757 /nfs/dbraw/zinc/01/47/57/184014757.db2.gz FXAVCDALTKPQPD-UHFFFAOYSA-N 1 2 316.405 1.391 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CCCCC1)[NH+]1CCC(C#N)CC1 ZINC000057907405 184061956 /nfs/dbraw/zinc/06/19/56/184061956.db2.gz DECWGWSPKMXSCP-GFCCVEGCSA-N 1 2 306.410 1.769 20 30 DDEDLO COCCC[N@H+](Cc1ccc(C#N)o1)[C@H]1CCS(=O)(=O)C1 ZINC000120141901 195104805 /nfs/dbraw/zinc/10/48/05/195104805.db2.gz SLVSQBBDWPBJTG-LBPRGKRZSA-N 1 2 312.391 1.177 20 30 DDEDLO COCCC[N@@H+](Cc1ccc(C#N)o1)[C@H]1CCS(=O)(=O)C1 ZINC000120141901 195104807 /nfs/dbraw/zinc/10/48/07/195104807.db2.gz SLVSQBBDWPBJTG-LBPRGKRZSA-N 1 2 312.391 1.177 20 30 DDEDLO N#Cc1ncc(C(F)(F)F)cc1NCC[NH+]1CCOCC1 ZINC000413026454 224120643 /nfs/dbraw/zinc/12/06/43/224120643.db2.gz VGJAZTYJCYXLIE-UHFFFAOYSA-N 1 2 300.284 1.716 20 30 DDEDLO C[C@H]1C[NH+]=C(N2CCN(C(=O)c3cc(C#N)ccn3)CC2)S1 ZINC000288672884 220339029 /nfs/dbraw/zinc/33/90/29/220339029.db2.gz HCQHYAYHACYRQE-NSHDSACASA-N 1 2 315.402 1.202 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000158047316 197238768 /nfs/dbraw/zinc/23/87/68/197238768.db2.gz BUAKQLCTRGULRV-UHFFFAOYSA-N 1 2 313.361 1.449 20 30 DDEDLO C=C(C)CNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000156273145 197100523 /nfs/dbraw/zinc/10/05/23/197100523.db2.gz DWVGOHCHBRSADO-SJORKVTESA-N 1 2 315.417 1.857 20 30 DDEDLO C=C(C)CNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000156273145 197100526 /nfs/dbraw/zinc/10/05/26/197100526.db2.gz DWVGOHCHBRSADO-SJORKVTESA-N 1 2 315.417 1.857 20 30 DDEDLO Cc1cc(N2CCC[C@H](S(=O)(=O)N(C)C)C2)c(C#N)c[nH+]1 ZINC000521395865 257241224 /nfs/dbraw/zinc/24/12/24/257241224.db2.gz KLODMLZKIGBRBL-ZDUSSCGKSA-N 1 2 308.407 1.122 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCC[C@H]1c1nc(=N)n(C)[nH]1 ZINC000331851040 533270394 /nfs/dbraw/zinc/27/03/94/533270394.db2.gz RFJYJCCKWFGSDA-ZDUSSCGKSA-N 1 2 312.377 1.445 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCC[C@H]1c1nc(=N)n(C)[nH]1 ZINC000331851040 533270398 /nfs/dbraw/zinc/27/03/98/533270398.db2.gz RFJYJCCKWFGSDA-ZDUSSCGKSA-N 1 2 312.377 1.445 20 30 DDEDLO COCC[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC000330017779 533343710 /nfs/dbraw/zinc/34/37/10/533343710.db2.gz RSKYSCRGFWHHCI-OLZOCXBDSA-N 1 2 318.439 1.021 20 30 DDEDLO COCC[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC000330017779 533343712 /nfs/dbraw/zinc/34/37/12/533343712.db2.gz RSKYSCRGFWHHCI-OLZOCXBDSA-N 1 2 318.439 1.021 20 30 DDEDLO C[C@@H](CNC(=O)N1C[C@@H](C)O[C@@H](C2CC2)C1)[NH+]1CCOCC1 ZINC000329957249 533363119 /nfs/dbraw/zinc/36/31/19/533363119.db2.gz QBPALSPJPKAPGU-GZBFAFLISA-N 1 2 311.426 1.120 20 30 DDEDLO C[C@@H](CNC(=O)N[C@@H]1C[C@H]1c1ccccc1)[NH+]1CCOCC1 ZINC000330313413 533363380 /nfs/dbraw/zinc/36/33/80/533363380.db2.gz XEYLJQFRPMDFHB-CWRNSKLLSA-N 1 2 303.406 1.767 20 30 DDEDLO COc1ccc(C)cc1NC(=O)CN1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000330315544 533392155 /nfs/dbraw/zinc/39/21/55/533392155.db2.gz YUBOXAAJDWESQV-HOCLYGCPSA-N 1 2 319.405 1.606 20 30 DDEDLO COc1ccc(C)cc1NC(=O)CN1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000330315544 533392159 /nfs/dbraw/zinc/39/21/59/533392159.db2.gz YUBOXAAJDWESQV-HOCLYGCPSA-N 1 2 319.405 1.606 20 30 DDEDLO CO[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C ZINC000451578750 533414815 /nfs/dbraw/zinc/41/48/15/533414815.db2.gz XMFQSBHJRFNRKV-XJKSGUPXSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C ZINC000451578750 533414819 /nfs/dbraw/zinc/41/48/19/533414819.db2.gz XMFQSBHJRFNRKV-XJKSGUPXSA-N 1 2 322.430 1.689 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNC(=O)c2cnc(C)s2)C1 ZINC000330593682 533506954 /nfs/dbraw/zinc/50/69/54/533506954.db2.gz AKBHQZRGCXXKSG-LLVKDONJSA-N 1 2 311.407 1.641 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNC(=O)c2cnc(C)s2)C1 ZINC000330593682 533506960 /nfs/dbraw/zinc/50/69/60/533506960.db2.gz AKBHQZRGCXXKSG-LLVKDONJSA-N 1 2 311.407 1.641 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@H+]2CCCO[C@@H](CF)C2)C1=O ZINC000570024087 304389954 /nfs/dbraw/zinc/38/99/54/304389954.db2.gz BTIOGEDLABHUQU-QWHCGFSZSA-N 1 2 323.393 1.785 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@@H+]2CCCO[C@@H](CF)C2)C1=O ZINC000570024087 304389958 /nfs/dbraw/zinc/38/99/58/304389958.db2.gz BTIOGEDLABHUQU-QWHCGFSZSA-N 1 2 323.393 1.785 20 30 DDEDLO COC(=O)c1coc(CN(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000081515481 407077323 /nfs/dbraw/zinc/07/73/23/407077323.db2.gz OKRXQZFSEAGAML-UHFFFAOYSA-N 1 2 321.377 1.114 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCCN(CC(F)F)CC1)C1CC1 ZINC000091084721 407177083 /nfs/dbraw/zinc/17/70/83/407177083.db2.gz PZKUTCACQRKONY-HNNXBMFYSA-N 1 2 314.380 1.068 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCCN(CC(F)F)CC1)C1CC1 ZINC000091084721 407177086 /nfs/dbraw/zinc/17/70/86/407177086.db2.gz PZKUTCACQRKONY-HNNXBMFYSA-N 1 2 314.380 1.068 20 30 DDEDLO N#CCNC(=O)C[NH2+][C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000066495011 407252417 /nfs/dbraw/zinc/25/24/17/407252417.db2.gz AUKLNKGAAOZXJD-QGZVFWFLSA-N 1 2 322.368 1.596 20 30 DDEDLO CCOc1cccc(CNC(=O)C[NH+]2CCC(C#N)CC2)c1 ZINC000057874273 407218391 /nfs/dbraw/zinc/21/83/91/407218391.db2.gz MCVYXECINYOORQ-UHFFFAOYSA-N 1 2 301.390 1.937 20 30 DDEDLO CCCn1cc(C[NH+]2CCN(c3ncccc3C#N)CC2)cn1 ZINC000122935385 407315053 /nfs/dbraw/zinc/31/50/53/407315053.db2.gz CPUNHGPDWZCMRR-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO CC[N@H+](CCNC(=O)c1cc(C#N)c(SC)[nH]c1=O)C(C)C ZINC000102481573 407323780 /nfs/dbraw/zinc/32/37/80/407323780.db2.gz XLDJRXHJDSHKTL-UHFFFAOYSA-N 1 2 322.434 1.429 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1cc(C#N)c(SC)[nH]c1=O)C(C)C ZINC000102481573 407323781 /nfs/dbraw/zinc/32/37/81/407323781.db2.gz XLDJRXHJDSHKTL-UHFFFAOYSA-N 1 2 322.434 1.429 20 30 DDEDLO N#Cc1cnc(N2CCC[N@H+](Cc3cscn3)CC2)cn1 ZINC000123598183 407333736 /nfs/dbraw/zinc/33/37/36/407333736.db2.gz XICMRJRYEFBWTP-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO N#Cc1cnc(N2CCC[N@@H+](Cc3cscn3)CC2)cn1 ZINC000123598183 407333739 /nfs/dbraw/zinc/33/37/39/407333739.db2.gz XICMRJRYEFBWTP-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO CCOC(=O)C[N@H+](C[C@@H](O)COc1ccc(C#N)cc1)C1CC1 ZINC000098143006 407306447 /nfs/dbraw/zinc/30/64/47/407306447.db2.gz SNYFEOXTAZCYKG-OAHLLOKOSA-N 1 2 318.373 1.325 20 30 DDEDLO CCOC(=O)C[N@@H+](C[C@@H](O)COc1ccc(C#N)cc1)C1CC1 ZINC000098143006 407306448 /nfs/dbraw/zinc/30/64/48/407306448.db2.gz SNYFEOXTAZCYKG-OAHLLOKOSA-N 1 2 318.373 1.325 20 30 DDEDLO NC(Cc1ccc(Cl)cc1)=[NH+]OCC(=O)NCC(F)(F)F ZINC000106067335 407358170 /nfs/dbraw/zinc/35/81/70/407358170.db2.gz CVNRVJLIGZOCND-UHFFFAOYSA-N 1 2 323.702 1.850 20 30 DDEDLO C#CC[N@H+](Cc1ccc2c[nH]nc2c1)[C@H]1CCS(=O)(=O)C1 ZINC000124827307 407370778 /nfs/dbraw/zinc/37/07/78/407370778.db2.gz POIMDTLWKGEMNR-AWEZNQCLSA-N 1 2 303.387 1.185 20 30 DDEDLO C#CC[N@@H+](Cc1ccc2c[nH]nc2c1)[C@H]1CCS(=O)(=O)C1 ZINC000124827307 407370779 /nfs/dbraw/zinc/37/07/79/407370779.db2.gz POIMDTLWKGEMNR-AWEZNQCLSA-N 1 2 303.387 1.185 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2C(C)(C)C#N)CCO1 ZINC000178537161 407485869 /nfs/dbraw/zinc/48/58/69/407485869.db2.gz RZTSJMGPESGPBJ-MRXNPFEDSA-N 1 2 315.417 1.825 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2C(C)(C)C#N)CCO1 ZINC000178537161 407485872 /nfs/dbraw/zinc/48/58/72/407485872.db2.gz RZTSJMGPESGPBJ-MRXNPFEDSA-N 1 2 315.417 1.825 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@H+](C)CCOc1cccc(C#N)c1 ZINC000113003956 407459464 /nfs/dbraw/zinc/45/94/64/407459464.db2.gz AWUWQWWBTMELGS-ZDUSSCGKSA-N 1 2 310.419 1.692 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@@H+](C)CCOc1cccc(C#N)c1 ZINC000113003956 407459468 /nfs/dbraw/zinc/45/94/68/407459468.db2.gz AWUWQWWBTMELGS-ZDUSSCGKSA-N 1 2 310.419 1.692 20 30 DDEDLO N#Cc1ccc([C@H](O)C[NH+]2CCN(CC(F)(F)F)CC2)cc1 ZINC000171136940 407606056 /nfs/dbraw/zinc/60/60/56/407606056.db2.gz LFKUAAOPXSKISG-CQSZACIVSA-N 1 2 313.323 1.772 20 30 DDEDLO C=CCCS(=O)(=O)NCC[N@@H+]1CCc2sccc2C1 ZINC000130888388 407714052 /nfs/dbraw/zinc/71/40/52/407714052.db2.gz DKEDMQIEUHLOTG-UHFFFAOYSA-N 1 2 300.449 1.602 20 30 DDEDLO C=CCCS(=O)(=O)NCC[N@H+]1CCc2sccc2C1 ZINC000130888388 407714057 /nfs/dbraw/zinc/71/40/57/407714057.db2.gz DKEDMQIEUHLOTG-UHFFFAOYSA-N 1 2 300.449 1.602 20 30 DDEDLO COc1ccc(CO[NH+]=C(N)CN2CCOCC2)cc1Cl ZINC000179194613 407752036 /nfs/dbraw/zinc/75/20/36/407752036.db2.gz JYGGMTLRPITVTI-UHFFFAOYSA-N 1 2 313.785 1.470 20 30 DDEDLO CCc1nn(Cc2[nH+]cc(Cl)n2C)c(=O)c(C#N)c1CC ZINC000171816936 407776160 /nfs/dbraw/zinc/77/61/60/407776160.db2.gz HKVCXPDCUUWQFK-UHFFFAOYSA-N 1 2 305.769 1.675 20 30 DDEDLO C=CCNC(=O)Nc1ccccc1C[NH+]1CCN(C(C)=O)CC1 ZINC000186861449 407786350 /nfs/dbraw/zinc/78/63/50/407786350.db2.gz YSNBJJUEUHUKAV-UHFFFAOYSA-N 1 2 316.405 1.658 20 30 DDEDLO COc1ccc(O)c(/C=[NH+]/C[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000133095264 407821032 /nfs/dbraw/zinc/82/10/32/407821032.db2.gz NUFLCZHLEQNKSE-ZRRZQRFHSA-N 1 2 312.391 1.101 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+](CC(N)=O)C2CCCC2)CCCCC1 ZINC000133265604 407824710 /nfs/dbraw/zinc/82/47/10/407824710.db2.gz LEZPDQVJSLENCZ-UHFFFAOYSA-N 1 2 306.410 1.059 20 30 DDEDLO N#CC1(NC(=O)C[N@H+](CC(N)=O)C2CCCC2)CCCCC1 ZINC000133265604 407824715 /nfs/dbraw/zinc/82/47/15/407824715.db2.gz LEZPDQVJSLENCZ-UHFFFAOYSA-N 1 2 306.410 1.059 20 30 DDEDLO C[C@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)[C@H](C(N)=O)C1 ZINC000187415411 407855066 /nfs/dbraw/zinc/85/50/66/407855066.db2.gz KPMQUSCZADCFMV-FZMZJTMJSA-N 1 2 300.362 1.083 20 30 DDEDLO C[C@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)[C@H](C(N)=O)C1 ZINC000187415411 407855071 /nfs/dbraw/zinc/85/50/71/407855071.db2.gz KPMQUSCZADCFMV-FZMZJTMJSA-N 1 2 300.362 1.083 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H]2CCC[C@@H]2C)CC1 ZINC000187627798 407873128 /nfs/dbraw/zinc/87/31/28/407873128.db2.gz GKCGIXBYOLUTEH-JKSUJKDBSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H]2CCC[C@@H]2C)CC1 ZINC000187627798 407873135 /nfs/dbraw/zinc/87/31/35/407873135.db2.gz GKCGIXBYOLUTEH-JKSUJKDBSA-N 1 2 319.449 1.096 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)CCN1CC(F)F ZINC000153544812 407848399 /nfs/dbraw/zinc/84/83/99/407848399.db2.gz WRBFJTWRDHZBLR-QWHCGFSZSA-N 1 2 316.396 1.408 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@@H](C)C(=O)N(C)CCC#N)CCN1CC(F)F ZINC000153544812 407848404 /nfs/dbraw/zinc/84/84/04/407848404.db2.gz WRBFJTWRDHZBLR-QWHCGFSZSA-N 1 2 316.396 1.408 20 30 DDEDLO CCOC(=O)N1CC[NH+](Cc2ccc(OC)c(C#N)c2)CC1 ZINC000134953323 407956684 /nfs/dbraw/zinc/95/66/84/407956684.db2.gz KWTIFMGMNMWZSX-UHFFFAOYSA-N 1 2 303.362 1.841 20 30 DDEDLO CO/N=C(/C(=O)N1CCN(c2cccc[nH+]2)CC1)c1ccco1 ZINC000174150447 407918158 /nfs/dbraw/zinc/91/81/58/407918158.db2.gz BRIBDYZJTVPTLO-OBGWFSINSA-N 1 2 314.345 1.374 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1ccc(C#N)cc1)C[C@@H](O)C(F)(F)F ZINC000189469731 408028401 /nfs/dbraw/zinc/02/84/01/408028401.db2.gz GKZBBGSCTRIHOJ-GFCCVEGCSA-N 1 2 315.295 1.742 20 30 DDEDLO CC[N@H+](CC(=O)Nc1ccc(C#N)cc1)C[C@@H](O)C(F)(F)F ZINC000189469731 408028406 /nfs/dbraw/zinc/02/84/06/408028406.db2.gz GKZBBGSCTRIHOJ-GFCCVEGCSA-N 1 2 315.295 1.742 20 30 DDEDLO N#CCCN(CC#Cc1ccc(F)cc1)CC[NH+]1CCOCC1 ZINC000154252147 408001779 /nfs/dbraw/zinc/00/17/79/408001779.db2.gz FEEQGVMZGNJVIB-UHFFFAOYSA-N 1 2 315.392 1.725 20 30 DDEDLO Cc1cccnc1C[N@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000245532210 408007589 /nfs/dbraw/zinc/00/75/89/408007589.db2.gz HXJBGMFEROBYIJ-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1cccnc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000245532210 408007594 /nfs/dbraw/zinc/00/75/94/408007594.db2.gz HXJBGMFEROBYIJ-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO C#CCCCCNC(=O)N1CC[C@H]([NH+]2CCN(CC)CC2)C1 ZINC000155042163 408121187 /nfs/dbraw/zinc/12/11/87/408121187.db2.gz PKOGSJGKLOOIFO-INIZCTEOSA-N 1 2 306.454 1.211 20 30 DDEDLO CCc1noc([C@H](C)O[NH+]=C(N)c2ccc3c(c2)CCO3)n1 ZINC000158210689 408330576 /nfs/dbraw/zinc/33/05/76/408330576.db2.gz IHUXRODLBLFLFT-VIFPVBQESA-N 1 2 302.334 1.965 20 30 DDEDLO CCOCCOC1C[NH+](C[C@@H](O)COc2ccc(C#N)cc2)C1 ZINC000273677637 408285549 /nfs/dbraw/zinc/28/55/49/408285549.db2.gz JPJNVPJVKBAGLP-OAHLLOKOSA-N 1 2 320.389 1.035 20 30 DDEDLO C[C@H]1C[N@H+](C[C@H](C#N)CCC#N)CCN1c1nccn2cnnc12 ZINC000269404511 408297006 /nfs/dbraw/zinc/29/70/06/408297006.db2.gz ZUEYEYXITFVABC-KBPBESRZSA-N 1 2 324.392 1.078 20 30 DDEDLO C[C@H]1C[N@@H+](C[C@H](C#N)CCC#N)CCN1c1nccn2cnnc12 ZINC000269404511 408297007 /nfs/dbraw/zinc/29/70/07/408297007.db2.gz ZUEYEYXITFVABC-KBPBESRZSA-N 1 2 324.392 1.078 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccncc2Br)CC1 ZINC000151362580 408301321 /nfs/dbraw/zinc/30/13/21/408301321.db2.gz NDLNCQXPJYSLRL-UHFFFAOYSA-N 1 2 322.206 1.625 20 30 DDEDLO Cc1ccncc1C[N@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000269617136 408363200 /nfs/dbraw/zinc/36/32/00/408363200.db2.gz MOSCSGQKUSBAPH-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1ccncc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000269617136 408363205 /nfs/dbraw/zinc/36/32/05/408363205.db2.gz MOSCSGQKUSBAPH-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(F)c(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000176876768 408464223 /nfs/dbraw/zinc/46/42/23/408464223.db2.gz FCOGOSKFMVRZRF-AWEZNQCLSA-N 1 2 308.378 1.872 20 30 DDEDLO C=CC[N@H+](Cc1ccc(F)c(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000176876768 408464227 /nfs/dbraw/zinc/46/42/27/408464227.db2.gz FCOGOSKFMVRZRF-AWEZNQCLSA-N 1 2 308.378 1.872 20 30 DDEDLO CC[N@H+](C[C@H](O)c1ccc(C#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000248123743 408536163 /nfs/dbraw/zinc/53/61/63/408536163.db2.gz FLTVITWHDOMRPB-GJZGRUSLSA-N 1 2 308.403 1.101 20 30 DDEDLO CC[N@@H+](C[C@H](O)c1ccc(C#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000248123743 408536168 /nfs/dbraw/zinc/53/61/68/408536168.db2.gz FLTVITWHDOMRPB-GJZGRUSLSA-N 1 2 308.403 1.101 20 30 DDEDLO C=CCS(=O)(=O)CCN[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000275636859 408629045 /nfs/dbraw/zinc/62/90/45/408629045.db2.gz CCABAPGMWOGJTO-CQSZACIVSA-N 1 2 305.403 1.690 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+][C@@H](c1ncc[nH]1)c1ccccc1 ZINC000275636859 408629051 /nfs/dbraw/zinc/62/90/51/408629051.db2.gz CCABAPGMWOGJTO-CQSZACIVSA-N 1 2 305.403 1.690 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@@H+]3CCc4n[nH]cc4C3)C2=O)cc1 ZINC000265433670 408650324 /nfs/dbraw/zinc/65/03/24/408650324.db2.gz NMMSULOOVOKLIY-INIZCTEOSA-N 1 2 307.357 1.445 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@H+]3CCc4n[nH]cc4C3)C2=O)cc1 ZINC000265433670 408650327 /nfs/dbraw/zinc/65/03/27/408650327.db2.gz NMMSULOOVOKLIY-INIZCTEOSA-N 1 2 307.357 1.445 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1C[C@H]1c1ccco1 ZINC000162517287 408710075 /nfs/dbraw/zinc/71/00/75/408710075.db2.gz AHQSCMLBBMYTRT-HUUCEWRRSA-N 1 2 317.389 1.458 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C[C@H]1O ZINC000270869914 408717543 /nfs/dbraw/zinc/71/75/43/408717543.db2.gz JASZJKSAZFKGEI-DGCLKSJQSA-N 1 2 307.419 1.878 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C[C@H]1O ZINC000270869914 408717548 /nfs/dbraw/zinc/71/75/48/408717548.db2.gz JASZJKSAZFKGEI-DGCLKSJQSA-N 1 2 307.419 1.878 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](C)c1nc(Cc2ccccc2)no1 ZINC000185370802 408800196 /nfs/dbraw/zinc/80/01/96/408800196.db2.gz PHMSSYGTBQHPFS-LBPRGKRZSA-N 1 2 300.362 1.613 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H]1C(=O)OC(C)(C)C ZINC000178343439 408808859 /nfs/dbraw/zinc/80/88/59/408808859.db2.gz ZCIOFBYCDZURIS-ZDUSSCGKSA-N 1 2 309.410 1.555 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H]1C(=O)OC(C)(C)C ZINC000178343439 408808865 /nfs/dbraw/zinc/80/88/65/408808865.db2.gz ZCIOFBYCDZURIS-ZDUSSCGKSA-N 1 2 309.410 1.555 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000185067276 408749458 /nfs/dbraw/zinc/74/94/58/408749458.db2.gz NFJYYNUJUWWRRU-JTQLQIEISA-N 1 2 304.325 1.829 20 30 DDEDLO COc1cc(N2CC[NH+](Cc3ccccc3C#N)CC2)ncn1 ZINC000184077255 162878170 /nfs/dbraw/zinc/87/81/70/162878170.db2.gz HYJFKZKPYXKJSZ-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)O[NH+]=C(N)Cc1csc(C)n1 ZINC000178145738 408779944 /nfs/dbraw/zinc/77/99/44/408779944.db2.gz PCGUEMQUZVENAB-NSHDSACASA-N 1 2 322.434 1.872 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(C(=O)OC)s2)C1=O ZINC000281187895 408874100 /nfs/dbraw/zinc/87/41/00/408874100.db2.gz BKYIQBCFQFMFNU-GFCCVEGCSA-N 1 2 308.403 1.753 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(C(=O)OC)s2)C1=O ZINC000281187895 408874103 /nfs/dbraw/zinc/87/41/03/408874103.db2.gz BKYIQBCFQFMFNU-GFCCVEGCSA-N 1 2 308.403 1.753 20 30 DDEDLO COC(=O)[C@H](O)C1CC[NH+](Cc2ccc(Cl)cc2C#N)CC1 ZINC000187308673 163013656 /nfs/dbraw/zinc/01/36/56/163013656.db2.gz DMNCYHRNQBDAAX-OAHLLOKOSA-N 1 2 322.792 1.958 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)[C@H](C#N)Cc1ccc(C#N)cc1 ZINC000281548906 408890499 /nfs/dbraw/zinc/89/04/99/408890499.db2.gz KZDNNYMPVKHFJD-KRWDZBQOSA-N 1 2 321.384 1.986 20 30 DDEDLO CS(=O)(=O)CC1CC[NH+](CCOc2ccccc2C#N)CC1 ZINC000292055524 408970603 /nfs/dbraw/zinc/97/06/03/408970603.db2.gz BZMBBVLDIOTCQL-UHFFFAOYSA-N 1 2 322.430 1.694 20 30 DDEDLO C#CCC(CC#C)C(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000277650859 408984630 /nfs/dbraw/zinc/98/46/30/408984630.db2.gz KVRBZCSRZFKLNK-MRXNPFEDSA-N 1 2 309.413 1.950 20 30 DDEDLO Cc1cc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)ns1 ZINC000277987692 409045934 /nfs/dbraw/zinc/04/59/34/409045934.db2.gz OBCRASCCEKHAPU-UHFFFAOYSA-N 1 2 308.407 1.140 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)c(OC)c2)CC1 ZINC000282765453 409063883 /nfs/dbraw/zinc/06/38/83/409063883.db2.gz LHAKGJWYXXYZNE-ZDUSSCGKSA-N 1 2 319.380 1.094 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Cc1ccc(C#N)cc1 ZINC000279056220 409140866 /nfs/dbraw/zinc/14/08/66/409140866.db2.gz CUFIXGZDGCTGSG-AWEZNQCLSA-N 1 2 301.390 1.280 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000293942353 409211551 /nfs/dbraw/zinc/21/15/51/409211551.db2.gz ZYCRUMYPGYPVOB-UHFFFAOYSA-N 1 2 319.361 1.555 20 30 DDEDLO CN(Cc1ccccc1F)C(=O)CO[NH+]=C(N)[C@H]1CCCO1 ZINC000283764249 409212354 /nfs/dbraw/zinc/21/23/54/409212354.db2.gz BHYGDCMFGFHPPO-CYBMUJFWSA-N 1 2 309.341 1.252 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)Nc1ccc(F)cc1F ZINC000283973615 409250503 /nfs/dbraw/zinc/25/05/03/409250503.db2.gz GMTJJUBUJRLKEW-PRHODGIISA-N 1 2 313.304 1.760 20 30 DDEDLO Cc1cccc(S(=O)(=O)NCC[N@H+]2CC=CCC2)c1C#N ZINC000280385943 409304365 /nfs/dbraw/zinc/30/43/65/409304365.db2.gz ZVHPDZGYJRILGW-UHFFFAOYSA-N 1 2 305.403 1.407 20 30 DDEDLO Cc1cccc(S(=O)(=O)NCC[N@@H+]2CC=CCC2)c1C#N ZINC000280385943 409304367 /nfs/dbraw/zinc/30/43/67/409304367.db2.gz ZVHPDZGYJRILGW-UHFFFAOYSA-N 1 2 305.403 1.407 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)NCc1ccccc1F ZINC000284608370 409355891 /nfs/dbraw/zinc/35/58/91/409355891.db2.gz YVQZYMQIDUUGAB-MFKMUULPSA-N 1 2 309.341 1.298 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1ccnc(C#N)c1 ZINC000280708814 409420439 /nfs/dbraw/zinc/42/04/39/409420439.db2.gz HDOOFCFGPPGOPS-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1ccnc(C#N)c1 ZINC000280708814 409420440 /nfs/dbraw/zinc/42/04/40/409420440.db2.gz HDOOFCFGPPGOPS-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO CS(=O)(=O)N[C@H]1CCCC[C@@H]1C/[NH+]=C/c1ccccc1O ZINC000285589737 409499518 /nfs/dbraw/zinc/49/95/18/409499518.db2.gz TUUBLYOXTHQROJ-DMOJTXGPSA-N 1 2 310.419 1.919 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2ccc(OC)c(F)c2)CC1 ZINC000354069078 409625146 /nfs/dbraw/zinc/62/51/46/409625146.db2.gz XFFWCNKMCOSWQS-UHFFFAOYSA-N 1 2 322.380 1.681 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000342265677 409570395 /nfs/dbraw/zinc/57/03/95/409570395.db2.gz NVBSNQOAPHIJFU-YQQAZPJKSA-N 1 2 310.438 1.537 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C ZINC000356781730 409597646 /nfs/dbraw/zinc/59/76/46/409597646.db2.gz PATCTRPTUYESJN-OAHLLOKOSA-N 1 2 306.366 1.298 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000297463502 409917056 /nfs/dbraw/zinc/91/70/56/409917056.db2.gz IEBYQJBOOPNHCE-GJZGRUSLSA-N 1 2 316.405 1.493 20 30 DDEDLO C=CCN(C)C(=O)[C@H]1CCC(=O)N(C2CC2)[C@H]1c1c[nH+]cn1C ZINC000346495048 409990125 /nfs/dbraw/zinc/99/01/25/409990125.db2.gz SYSWWAURXUTSJX-XJKSGUPXSA-N 1 2 316.405 1.507 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@@H]1CCCC[C@H]1O)CCC2 ZINC000328650704 409946327 /nfs/dbraw/zinc/94/63/27/409946327.db2.gz JGUAFMSADYGNDV-BFHYXJOUSA-N 1 2 306.410 1.876 20 30 DDEDLO NC(=O)N1CCC[C@H]1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000328665715 409948844 /nfs/dbraw/zinc/94/88/44/409948844.db2.gz CFSZVLNZHJJFOR-RYUDHWBXSA-N 1 2 303.366 1.574 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@@H]1CCC(=O)N(C)C1)CCC2 ZINC000328661545 409949125 /nfs/dbraw/zinc/94/91/25/409949125.db2.gz WULJYBYQWQZULQ-QWHCGFSZSA-N 1 2 319.409 1.193 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)c1 ZINC000332227362 409994507 /nfs/dbraw/zinc/99/45/07/409994507.db2.gz ZPCRWVFSWGDNDS-LSDHHAIUSA-N 1 2 300.362 1.413 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)c1 ZINC000332227362 409994517 /nfs/dbraw/zinc/99/45/17/409994517.db2.gz ZPCRWVFSWGDNDS-LSDHHAIUSA-N 1 2 300.362 1.413 20 30 DDEDLO COc1ncccc1NC(=O)N1CC[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000328862253 409997178 /nfs/dbraw/zinc/99/71/78/409997178.db2.gz ZQERFNWZQKLHNE-CHWSQXEVSA-N 1 2 306.366 1.041 20 30 DDEDLO COc1ncccc1NC(=O)N1CC[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000328862253 409997183 /nfs/dbraw/zinc/99/71/83/409997183.db2.gz ZQERFNWZQKLHNE-CHWSQXEVSA-N 1 2 306.366 1.041 20 30 DDEDLO C[C@H](CNC(=O)c1cc2[nH]cnc2cc1F)C[NH+]1CCOCC1 ZINC000329153593 410132249 /nfs/dbraw/zinc/13/22/49/410132249.db2.gz AFQJIRJGWOMDIJ-LLVKDONJSA-N 1 2 320.368 1.975 20 30 DDEDLO C[NH+]1CCN(C(=O)c2cc(NC(=O)N3CCCC3)ccn2)CC1 ZINC000329278917 410212128 /nfs/dbraw/zinc/21/21/28/410212128.db2.gz LKZKMMWBJGXMND-UHFFFAOYSA-N 1 2 317.393 1.111 20 30 DDEDLO O=C(NCCNc1cccc[nH+]1)[C@@H]1CC12CCS(=O)(=O)CC2 ZINC000329231932 410181693 /nfs/dbraw/zinc/18/16/93/410181693.db2.gz FOXXVJNRCZGEKR-LBPRGKRZSA-N 1 2 323.418 1.665 20 30 DDEDLO COCC1(C(=O)NC[C@@]2([NH+]3CCOCC3)CCSC2)CC1 ZINC000329253211 410193857 /nfs/dbraw/zinc/19/38/57/410193857.db2.gz VWTUHSAMESEUNY-HNNXBMFYSA-N 1 2 314.451 1.577 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CC(C)(C)[C@@H]1[C@@H]1CCCO1)C1CC1 ZINC000332874419 410280137 /nfs/dbraw/zinc/28/01/37/410280137.db2.gz VEURKPGOWQGETK-JLJPHGGASA-N 1 2 305.422 1.684 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CC(C)(C)[C@@H]1[C@@H]1CCCO1)C1CC1 ZINC000332874419 410280140 /nfs/dbraw/zinc/28/01/40/410280140.db2.gz VEURKPGOWQGETK-JLJPHGGASA-N 1 2 305.422 1.684 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)NC(=O)[C@H]2C1)NCCC1CCCCC1 ZINC000329458864 410307588 /nfs/dbraw/zinc/30/75/88/410307588.db2.gz RIZSISVRRWIWAB-CYBMUJFWSA-N 1 2 322.409 1.990 20 30 DDEDLO CCO[C@@H]1C[C@@H]1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000298704128 410357619 /nfs/dbraw/zinc/35/76/19/410357619.db2.gz IQRUNCQKCWASFH-DLBZAZTESA-N 1 2 313.401 1.627 20 30 DDEDLO CC(C)c1[nH]ccc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000351951450 410365376 /nfs/dbraw/zinc/36/53/76/410365376.db2.gz KSOOIXRCCHDOAA-UHFFFAOYSA-N 1 2 318.421 1.826 20 30 DDEDLO CC(C)[N@@H+]1CCCC[C@@H]1C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C ZINC000329688537 410412828 /nfs/dbraw/zinc/41/28/28/410412828.db2.gz GAQWZQCNXPYTJF-YNEHKIRRSA-N 1 2 302.440 1.639 20 30 DDEDLO CC(C)[N@H+]1CCCC[C@@H]1C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C ZINC000329688537 410412836 /nfs/dbraw/zinc/41/28/36/410412836.db2.gz GAQWZQCNXPYTJF-YNEHKIRRSA-N 1 2 302.440 1.639 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CCC(=O)c2ccc(F)cc2)CC1 ZINC000299296733 410586794 /nfs/dbraw/zinc/58/67/94/410586794.db2.gz DOIIFADGOZGOGD-UHFFFAOYSA-N 1 2 316.376 1.956 20 30 DDEDLO CCN(C)C(=O)C[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000330414417 410676159 /nfs/dbraw/zinc/67/61/59/410676159.db2.gz MVRQNJYXXDWSLJ-UHFFFAOYSA-N 1 2 304.369 1.298 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000301091873 410656310 /nfs/dbraw/zinc/65/63/10/410656310.db2.gz LHFSKWHHKGDNCY-GFCCVEGCSA-N 1 2 316.380 1.486 20 30 DDEDLO CN(C)C(=O)[C@H](Cc1ccccc1)[NH2+]Cc1nc(C#N)cs1 ZINC000352855638 410680176 /nfs/dbraw/zinc/68/01/76/410680176.db2.gz UHPBKHQTGAQLRH-AWEZNQCLSA-N 1 2 314.414 1.804 20 30 DDEDLO CO[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@H](C)C1 ZINC000343929996 410820994 /nfs/dbraw/zinc/82/09/94/410820994.db2.gz CTNAATUBIIWPFR-OCCSQVGLSA-N 1 2 323.418 1.226 20 30 DDEDLO CO[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@H](C)C1 ZINC000343929996 410821002 /nfs/dbraw/zinc/82/10/02/410821002.db2.gz CTNAATUBIIWPFR-OCCSQVGLSA-N 1 2 323.418 1.226 20 30 DDEDLO Cn1nccc1C[N@H+](C)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000348363033 410933656 /nfs/dbraw/zinc/93/36/56/410933656.db2.gz KAQUYUNEBIRQKW-KRWDZBQOSA-N 1 2 323.400 1.919 20 30 DDEDLO Cn1nccc1C[N@@H+](C)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000348363033 410933660 /nfs/dbraw/zinc/93/36/60/410933660.db2.gz KAQUYUNEBIRQKW-KRWDZBQOSA-N 1 2 323.400 1.919 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@@H+]1Cc1cc(C#N)ccc1F ZINC000331163725 410991317 /nfs/dbraw/zinc/99/13/17/410991317.db2.gz LXLFBAVZKYNMIQ-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@H+]1Cc1cc(C#N)ccc1F ZINC000331163725 410991321 /nfs/dbraw/zinc/99/13/21/410991321.db2.gz LXLFBAVZKYNMIQ-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CC(C)C[C@H]1COCC[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000356511018 411064043 /nfs/dbraw/zinc/06/40/43/411064043.db2.gz CTSZDICPRVAOIV-KRWDZBQOSA-N 1 2 315.417 1.921 20 30 DDEDLO CC(C)C[C@H]1COCC[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000356511018 411064048 /nfs/dbraw/zinc/06/40/48/411064048.db2.gz CTSZDICPRVAOIV-KRWDZBQOSA-N 1 2 315.417 1.921 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC1(O)CCC1 ZINC000353487144 411023803 /nfs/dbraw/zinc/02/38/03/411023803.db2.gz MEFKKAVBPFOQEO-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC1(O)CCC1 ZINC000353487144 411023807 /nfs/dbraw/zinc/02/38/07/411023807.db2.gz MEFKKAVBPFOQEO-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO C[C@@H]1CCN(C(=O)C2(C#N)CCOCC2)C[C@@H]1n1cc[nH+]c1 ZINC000126050365 221562619 /nfs/dbraw/zinc/56/26/19/221562619.db2.gz QTKVHGDTMNWVSH-KGLIPLIRSA-N 1 2 302.378 1.613 20 30 DDEDLO N#C[C@H]1C[N@@H+](Cc2ccnc(N)c2)C[C@]12c1ccccc1NC2=O ZINC000373278272 418417172 /nfs/dbraw/zinc/41/71/72/418417172.db2.gz MZBWNODLJJFOTQ-SCLBCKFNSA-N 1 2 319.368 1.509 20 30 DDEDLO N#C[C@H]1C[N@H+](Cc2ccnc(N)c2)C[C@]12c1ccccc1NC2=O ZINC000373278272 418417176 /nfs/dbraw/zinc/41/71/76/418417176.db2.gz MZBWNODLJJFOTQ-SCLBCKFNSA-N 1 2 319.368 1.509 20 30 DDEDLO N#C[C@H]1N(C(=O)CCc2c[nH+]c[nH]2)CCC[C@]12CCCCO2 ZINC000373711804 418450763 /nfs/dbraw/zinc/45/07/63/418450763.db2.gz CNEQOQYDYPVEJJ-GDBMZVCRSA-N 1 2 302.378 1.796 20 30 DDEDLO N#C[C@H]1N(C(=O)CCc2c[nH]c[nH+]2)CCC[C@]12CCCCO2 ZINC000373711804 418450766 /nfs/dbraw/zinc/45/07/66/418450766.db2.gz CNEQOQYDYPVEJJ-GDBMZVCRSA-N 1 2 302.378 1.796 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@H](NS(=O)(=O)c1ccc(C#N)s1)C2 ZINC000366616934 418497308 /nfs/dbraw/zinc/49/73/08/418497308.db2.gz DBSYUEZFADTKSV-JTQLQIEISA-N 1 2 322.415 1.418 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)NCc1ccnc(-n2cc[nH+]c2)c1 ZINC000367201281 418569680 /nfs/dbraw/zinc/56/96/80/418569680.db2.gz SACVDQFCHGKPBW-UHFFFAOYSA-N 1 2 307.313 1.970 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NCc1c(C)nn(Cc2ccccc2)c1C ZINC000192221763 222122293 /nfs/dbraw/zinc/12/22/93/222122293.db2.gz VUHSDPGRIDKHMO-UHFFFAOYSA-N 1 2 324.428 1.729 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NCc1c(C)nn(Cc2ccccc2)c1C ZINC000192221763 222122295 /nfs/dbraw/zinc/12/22/95/222122295.db2.gz VUHSDPGRIDKHMO-UHFFFAOYSA-N 1 2 324.428 1.729 20 30 DDEDLO C=CC[N@H+](CCO[C@H]1CCCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000193071409 222142860 /nfs/dbraw/zinc/14/28/60/222142860.db2.gz RSKMTTDFEOIKCT-KGLIPLIRSA-N 1 2 303.424 1.205 20 30 DDEDLO C=CC[N@@H+](CCO[C@H]1CCCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000193071409 222142863 /nfs/dbraw/zinc/14/28/63/222142863.db2.gz RSKMTTDFEOIKCT-KGLIPLIRSA-N 1 2 303.424 1.205 20 30 DDEDLO C=CC[C@H](C)NC(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000361117029 418587080 /nfs/dbraw/zinc/58/70/80/418587080.db2.gz XICIVJFOIUHEEY-QWHCGFSZSA-N 1 2 319.409 1.175 20 30 DDEDLO CC[N@H+](Cc1cnn(C)c1)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000195518625 222203898 /nfs/dbraw/zinc/20/38/98/222203898.db2.gz SYNBLXKZPWGPPX-AWEZNQCLSA-N 1 2 317.437 1.973 20 30 DDEDLO CC[N@@H+](Cc1cnn(C)c1)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000195518625 222203900 /nfs/dbraw/zinc/20/39/00/222203900.db2.gz SYNBLXKZPWGPPX-AWEZNQCLSA-N 1 2 317.437 1.973 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000247481282 222229590 /nfs/dbraw/zinc/22/95/90/222229590.db2.gz WCJYFBBPGZMHGH-ARFHVFGLSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000247481282 222229591 /nfs/dbraw/zinc/22/95/91/222229591.db2.gz WCJYFBBPGZMHGH-ARFHVFGLSA-N 1 2 310.438 1.679 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+]1CCCN(C(=O)[C@@](C)(C#N)CC)CC1 ZINC000367579282 418611971 /nfs/dbraw/zinc/61/19/71/418611971.db2.gz YGXOCMRIUXFGDI-QGZVFWFLSA-N 1 2 322.453 1.329 20 30 DDEDLO CCN(CC)C(=O)C[N@H+]1CCCN(C(=O)[C@@](C)(C#N)CC)CC1 ZINC000367579282 418611973 /nfs/dbraw/zinc/61/19/73/418611973.db2.gz YGXOCMRIUXFGDI-QGZVFWFLSA-N 1 2 322.453 1.329 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCOC[C@H]1[C@H]1CCCO1 ZINC000367578333 418612220 /nfs/dbraw/zinc/61/22/20/418612220.db2.gz VOSBMAKVUWYGBS-DOTOQJQBSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCOC[C@H]1[C@H]1CCCO1 ZINC000367578333 418612224 /nfs/dbraw/zinc/61/22/24/418612224.db2.gz VOSBMAKVUWYGBS-DOTOQJQBSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000367578336 418612274 /nfs/dbraw/zinc/61/22/74/418612274.db2.gz VOSBMAKVUWYGBS-WBVHZDCISA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000367578336 418612277 /nfs/dbraw/zinc/61/22/77/418612277.db2.gz VOSBMAKVUWYGBS-WBVHZDCISA-N 1 2 302.374 1.947 20 30 DDEDLO N#CCCn1ccc(NC(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)n1 ZINC000331556825 418614990 /nfs/dbraw/zinc/61/49/90/418614990.db2.gz HJDFRHIDLLYCED-LBPRGKRZSA-N 1 2 313.365 2.279 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC(F)(F)[C@@H](CO)C1 ZINC000291372152 222348193 /nfs/dbraw/zinc/34/81/93/222348193.db2.gz AKELTYSKBSPGJI-DGCLKSJQSA-N 1 2 323.343 1.835 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC(F)(F)[C@@H](CO)C1 ZINC000291372152 222348196 /nfs/dbraw/zinc/34/81/96/222348196.db2.gz AKELTYSKBSPGJI-DGCLKSJQSA-N 1 2 323.343 1.835 20 30 DDEDLO C=CCN1CC(=O)N([C@@H]2CCCN(c3cccc[nH+]3)C2)C1=O ZINC000375416170 418636992 /nfs/dbraw/zinc/63/69/92/418636992.db2.gz OWTSHLQFTUIUSJ-CYBMUJFWSA-N 1 2 300.362 1.501 20 30 DDEDLO CN(C)c1ncc(CN(CCC#N)CC[NH+]2CCOCC2)s1 ZINC000269356323 222417637 /nfs/dbraw/zinc/41/76/37/222417637.db2.gz MQQRWJOAZBLAMH-UHFFFAOYSA-N 1 2 323.466 1.257 20 30 DDEDLO CCn1nccc1C[N@H+](CCO)Cc1ccc(C#N)cc1OC ZINC000361372415 418638596 /nfs/dbraw/zinc/63/85/96/418638596.db2.gz NPASKBQRMKYOPC-UHFFFAOYSA-N 1 2 314.389 1.778 20 30 DDEDLO CCn1nccc1C[N@@H+](CCO)Cc1ccc(C#N)cc1OC ZINC000361372415 418638599 /nfs/dbraw/zinc/63/85/99/418638599.db2.gz NPASKBQRMKYOPC-UHFFFAOYSA-N 1 2 314.389 1.778 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3ccc(F)cn3)CC2)C1=O ZINC000375609199 418661276 /nfs/dbraw/zinc/66/12/76/418661276.db2.gz XMNXZMRJGZVMMU-CQSZACIVSA-N 1 2 304.369 1.130 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)ccc1F ZINC000376102821 418692963 /nfs/dbraw/zinc/69/29/63/418692963.db2.gz KCBNYFAZPHLUIA-CQSZACIVSA-N 1 2 303.337 1.244 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)C1(C#N)CCCCC1 ZINC000376226304 418693984 /nfs/dbraw/zinc/69/39/84/418693984.db2.gz GHKDVTOSXMUQDI-CABCVRRESA-N 1 2 305.422 1.782 20 30 DDEDLO C=CCSCCNC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000376353817 418696035 /nfs/dbraw/zinc/69/60/35/418696035.db2.gz ROMHJOKWVIZYKV-KBPBESRZSA-N 1 2 313.467 1.410 20 30 DDEDLO C=CCSCCNC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000376353822 418696335 /nfs/dbraw/zinc/69/63/35/418696335.db2.gz ROMHJOKWVIZYKV-ZIAGYGMSSA-N 1 2 313.467 1.410 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)CCCC)CC1 ZINC000368521363 418721428 /nfs/dbraw/zinc/72/14/28/418721428.db2.gz FBWRZQKZGDEGAM-OAHLLOKOSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)CCCC)CC1 ZINC000368521363 418721429 /nfs/dbraw/zinc/72/14/29/418721429.db2.gz FBWRZQKZGDEGAM-OAHLLOKOSA-N 1 2 307.438 1.096 20 30 DDEDLO N#Cc1cncnc1NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000382073586 418730456 /nfs/dbraw/zinc/73/04/56/418730456.db2.gz AGVYFCVRVYZPBR-MRXNPFEDSA-N 1 2 309.373 1.083 20 30 DDEDLO N#Cc1cncnc1NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000382073586 418730457 /nfs/dbraw/zinc/73/04/57/418730457.db2.gz AGVYFCVRVYZPBR-MRXNPFEDSA-N 1 2 309.373 1.083 20 30 DDEDLO N#C[C@@H]1C[N@@H+](CCn2cccn2)C[C@@]12C(=O)Nc1ccccc12 ZINC000369522814 418734348 /nfs/dbraw/zinc/73/43/48/418734348.db2.gz ZOOMKGYVKRKMFD-CXAGYDPISA-N 1 2 307.357 1.229 20 30 DDEDLO N#C[C@@H]1C[N@H+](CCn2cccn2)C[C@@]12C(=O)Nc1ccccc12 ZINC000369522814 418734350 /nfs/dbraw/zinc/73/43/50/418734350.db2.gz ZOOMKGYVKRKMFD-CXAGYDPISA-N 1 2 307.357 1.229 20 30 DDEDLO C#CCSCCNC(=O)[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000369552743 418734911 /nfs/dbraw/zinc/73/49/11/418734911.db2.gz IYOVYAXUOWXFLY-CQSZACIVSA-N 1 2 303.431 1.781 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cccc(F)c1C#N ZINC000362275395 418752068 /nfs/dbraw/zinc/75/20/68/418752068.db2.gz CODTXTPMQIUTMG-UHFFFAOYSA-N 1 2 316.380 1.745 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000362585908 418756587 /nfs/dbraw/zinc/75/65/87/418756587.db2.gz FUYFXMPQGOSTII-ZBEGNZNMSA-N 1 2 308.426 1.030 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000362585908 418756590 /nfs/dbraw/zinc/75/65/90/418756590.db2.gz FUYFXMPQGOSTII-ZBEGNZNMSA-N 1 2 308.426 1.030 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H](c2noc(C)n2)C1 ZINC000363091110 418762144 /nfs/dbraw/zinc/76/21/44/418762144.db2.gz VRWUCCJGYBXMCA-CYBMUJFWSA-N 1 2 305.382 1.320 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H](c2noc(C)n2)C1 ZINC000363091110 418762147 /nfs/dbraw/zinc/76/21/47/418762147.db2.gz VRWUCCJGYBXMCA-CYBMUJFWSA-N 1 2 305.382 1.320 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCO[C@@H](C2CC2)C1)C1(C#N)CCCCC1 ZINC000363772024 418769433 /nfs/dbraw/zinc/76/94/33/418769433.db2.gz HZJGNNQZWHJVCO-OAHLLOKOSA-N 1 2 305.422 1.782 20 30 DDEDLO CN(C(=O)C[N@H+]1CCO[C@@H](C2CC2)C1)C1(C#N)CCCCC1 ZINC000363772024 418769435 /nfs/dbraw/zinc/76/94/35/418769435.db2.gz HZJGNNQZWHJVCO-OAHLLOKOSA-N 1 2 305.422 1.782 20 30 DDEDLO C=CC[N@H+](C[C@@H]1Cc2ccccc2O1)[C@@H]1CCS(=O)(=O)C1 ZINC000363879153 418771021 /nfs/dbraw/zinc/77/10/21/418771021.db2.gz MOTVQTXRLAQAHO-CABCVRRESA-N 1 2 307.415 1.665 20 30 DDEDLO C=CC[N@@H+](C[C@@H]1Cc2ccccc2O1)[C@@H]1CCS(=O)(=O)C1 ZINC000363879153 418771024 /nfs/dbraw/zinc/77/10/24/418771024.db2.gz MOTVQTXRLAQAHO-CABCVRRESA-N 1 2 307.415 1.665 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)nc2)C[C@@H]1C ZINC000408043134 418783687 /nfs/dbraw/zinc/78/36/87/418783687.db2.gz VKEORPBVKWWROJ-NWDGAFQWSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)nc2)C[C@@H]1C ZINC000408043134 418783688 /nfs/dbraw/zinc/78/36/88/418783688.db2.gz VKEORPBVKWWROJ-NWDGAFQWSA-N 1 2 308.407 1.056 20 30 DDEDLO Cc1n[nH]cc1C[N@@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000371469995 418793892 /nfs/dbraw/zinc/79/38/92/418793892.db2.gz HWHSNRLCXAUGNP-GUYCJALGSA-N 1 2 307.357 1.564 20 30 DDEDLO Cc1n[nH]cc1C[N@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000371469995 418793894 /nfs/dbraw/zinc/79/38/94/418793894.db2.gz HWHSNRLCXAUGNP-GUYCJALGSA-N 1 2 307.357 1.564 20 30 DDEDLO N#Cc1c(F)ccc(C[N@H+]2CCCN(C(N)=O)CC2)c1Cl ZINC000365447579 418861748 /nfs/dbraw/zinc/86/17/48/418861748.db2.gz OCQHSLQGGZVNFW-UHFFFAOYSA-N 1 2 310.760 1.937 20 30 DDEDLO N#Cc1c(F)ccc(C[N@@H+]2CCCN(C(N)=O)CC2)c1Cl ZINC000365447579 418861750 /nfs/dbraw/zinc/86/17/50/418861750.db2.gz OCQHSLQGGZVNFW-UHFFFAOYSA-N 1 2 310.760 1.937 20 30 DDEDLO CN(C)C(=O)CN1CCC[N@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000372814882 418905028 /nfs/dbraw/zinc/90/50/28/418905028.db2.gz LSZKUVYRMVOZIK-UHFFFAOYSA-N 1 2 318.396 1.293 20 30 DDEDLO CN(C)C(=O)CN1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000372814882 418905031 /nfs/dbraw/zinc/90/50/31/418905031.db2.gz LSZKUVYRMVOZIK-UHFFFAOYSA-N 1 2 318.396 1.293 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000420989198 419425191 /nfs/dbraw/zinc/42/51/91/419425191.db2.gz RPLVEZGHQJTJJS-ZWNOBZJWSA-N 1 2 318.377 1.306 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000420989198 419425196 /nfs/dbraw/zinc/42/51/96/419425196.db2.gz RPLVEZGHQJTJJS-ZWNOBZJWSA-N 1 2 318.377 1.306 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@H](C)c2[nH+]ccn2CC)s1 ZINC000412401029 419753900 /nfs/dbraw/zinc/75/39/00/419753900.db2.gz OSEHIIQAMBKROA-SNVBAGLBSA-N 1 2 305.407 2.448 20 30 DDEDLO C=CCSCCNC(=O)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000422273140 419906229 /nfs/dbraw/zinc/90/62/29/419906229.db2.gz UNJCSHMQTTVKKG-UHFFFAOYSA-N 1 2 307.419 1.350 20 30 DDEDLO N#Cc1ccc(NC(=O)c2ccccc2C[NH+]2CCOCC2)nc1 ZINC000428747516 419926196 /nfs/dbraw/zinc/92/61/96/419926196.db2.gz WYGMEZXRZPFCRV-UHFFFAOYSA-N 1 2 322.368 2.038 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(c2cccnc2C#N)C1 ZINC000418951066 420027370 /nfs/dbraw/zinc/02/73/70/420027370.db2.gz RNHCHFXDSRYMAC-QGZVFWFLSA-N 1 2 316.405 1.853 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[C@@H](C)[S@@](=O)CC1 ZINC000429592564 420028928 /nfs/dbraw/zinc/02/89/28/420028928.db2.gz QMCARIRIPHMTAV-DMZKTXOQSA-N 1 2 322.430 1.789 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[C@@H](C)[S@@](=O)CC1 ZINC000429592564 420028934 /nfs/dbraw/zinc/02/89/34/420028934.db2.gz QMCARIRIPHMTAV-DMZKTXOQSA-N 1 2 322.430 1.789 20 30 DDEDLO CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)[C@@H](C)C(=O)OCC ZINC000429717368 420040961 /nfs/dbraw/zinc/04/09/61/420040961.db2.gz LQLPYLHHQNKEKV-XJKSGUPXSA-N 1 2 311.426 1.704 20 30 DDEDLO CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)[C@@H](C)C(=O)OCC ZINC000429717368 420040964 /nfs/dbraw/zinc/04/09/64/420040964.db2.gz LQLPYLHHQNKEKV-XJKSGUPXSA-N 1 2 311.426 1.704 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NCc1ccc(N2CCCCCC2)[nH+]c1 ZINC000416377289 420348700 /nfs/dbraw/zinc/34/87/00/420348700.db2.gz USZPARYQNQGOKR-ZDUSSCGKSA-N 1 2 322.434 1.793 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CC[NH+](Cc2ccccc2)CC1 ZINC000425392796 420370030 /nfs/dbraw/zinc/37/00/30/420370030.db2.gz CIDDUZSYFRIOQQ-UHFFFAOYSA-N 1 2 315.417 1.365 20 30 DDEDLO C[C@@H](CNC(=O)NCc1ccc(C#N)s1)[NH+]1CCOCC1 ZINC000420812186 420399638 /nfs/dbraw/zinc/39/96/38/420399638.db2.gz XDHUHWYVMAVZSL-NSHDSACASA-N 1 2 308.407 1.140 20 30 DDEDLO Cc1cc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)ncc1C#N ZINC000457610766 420633237 /nfs/dbraw/zinc/63/32/37/420633237.db2.gz KIFDTITWJFBOSS-OAHLLOKOSA-N 1 2 314.389 1.199 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@H]1C ZINC000459429396 420833718 /nfs/dbraw/zinc/83/37/18/420833718.db2.gz KJNOPFGLOYXUBT-JQWIXIFHSA-N 1 2 303.318 1.850 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@H]1C ZINC000459429396 420833722 /nfs/dbraw/zinc/83/37/22/420833722.db2.gz KJNOPFGLOYXUBT-JQWIXIFHSA-N 1 2 303.318 1.850 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000448592238 420867900 /nfs/dbraw/zinc/86/79/00/420867900.db2.gz MZPDOMHWGFHEFH-KDOFPFPSSA-N 1 2 313.401 1.685 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)c1ccn(C)n1)C(=O)NC1(C#N)CCCCC1 ZINC000459779460 420871004 /nfs/dbraw/zinc/87/10/04/420871004.db2.gz FXEMRRZITBUATQ-QWHCGFSZSA-N 1 2 303.410 1.802 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000495869747 421077851 /nfs/dbraw/zinc/07/78/51/421077851.db2.gz QZJRIUUKSZQVKI-CZUORRHYSA-N 1 2 324.425 1.203 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000495869747 421077854 /nfs/dbraw/zinc/07/78/54/421077854.db2.gz QZJRIUUKSZQVKI-CZUORRHYSA-N 1 2 324.425 1.203 20 30 DDEDLO CN(C)C(=O)O[C@H]1CC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000495871519 421079836 /nfs/dbraw/zinc/07/98/36/421079836.db2.gz GBUATRNCWLOKKI-LBPRGKRZSA-N 1 2 318.333 1.739 20 30 DDEDLO CN(C)C(=O)O[C@H]1CC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000495871519 421079838 /nfs/dbraw/zinc/07/98/38/421079838.db2.gz GBUATRNCWLOKKI-LBPRGKRZSA-N 1 2 318.333 1.739 20 30 DDEDLO C[C@H](C[NH+]1CCN(c2ccccc2C#N)CC1)S(C)(=O)=O ZINC000450334272 421184684 /nfs/dbraw/zinc/18/46/84/421184684.db2.gz RZWCKHQYYSPENX-CYBMUJFWSA-N 1 2 307.419 1.113 20 30 DDEDLO C#CC[N@H+](Cc1ccc(F)cc1)[C@H](C)C(=O)NC(=O)NCC ZINC000490866431 421193469 /nfs/dbraw/zinc/19/34/69/421193469.db2.gz OVXCWCZAGMLAPI-GFCCVEGCSA-N 1 2 305.353 1.495 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(F)cc1)[C@H](C)C(=O)NC(=O)NCC ZINC000490866431 421193472 /nfs/dbraw/zinc/19/34/72/421193472.db2.gz OVXCWCZAGMLAPI-GFCCVEGCSA-N 1 2 305.353 1.495 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N(CC)C[C@@H]1COc2ccccc2O1 ZINC000491362349 421197099 /nfs/dbraw/zinc/19/70/99/421197099.db2.gz RMYLGSPMXFCKEN-CQSZACIVSA-N 1 2 302.374 1.240 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N(CC)C[C@@H]1COc2ccccc2O1 ZINC000491362349 421197102 /nfs/dbraw/zinc/19/71/02/421197102.db2.gz RMYLGSPMXFCKEN-CQSZACIVSA-N 1 2 302.374 1.240 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CC3(C2)CCOCC3)cc1 ZINC000546050301 421282701 /nfs/dbraw/zinc/28/27/01/421282701.db2.gz ZDOFLRWQWMYUDC-UHFFFAOYSA-N 1 2 320.414 1.444 20 30 DDEDLO N#CCC1(CS(=O)(=O)NCc2ccn3cc[nH+]c3c2)CC1 ZINC000561885980 421337093 /nfs/dbraw/zinc/33/70/93/421337093.db2.gz MRWJDGNTYLKGES-UHFFFAOYSA-N 1 2 304.375 1.448 20 30 DDEDLO Cn1ncnc1-c1cccc(OC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000515853569 421519697 /nfs/dbraw/zinc/51/96/97/421519697.db2.gz GTBQVTGWSHZNQK-AWEZNQCLSA-N 1 2 315.377 1.067 20 30 DDEDLO Cn1ncnc1-c1cccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000515853569 421519701 /nfs/dbraw/zinc/51/97/01/421519701.db2.gz GTBQVTGWSHZNQK-AWEZNQCLSA-N 1 2 315.377 1.067 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000514994457 421471443 /nfs/dbraw/zinc/47/14/43/421471443.db2.gz MHHKWLFINMAJKD-SJORKVTESA-N 1 2 316.401 1.592 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000563263130 421479064 /nfs/dbraw/zinc/47/90/64/421479064.db2.gz UUWCPTLNCUJGMX-CXAGYDPISA-N 1 2 305.422 1.760 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc(F)c(F)c(F)c1F ZINC000515270360 421488958 /nfs/dbraw/zinc/48/89/58/421488958.db2.gz LCSCBRUEBWGIMA-ZDUSSCGKSA-N 1 2 303.259 1.817 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@H+](C)Cc1cnc2c(C#N)cnn2c1 ZINC000563958032 421561276 /nfs/dbraw/zinc/56/12/76/421561276.db2.gz KOYSKQIHOVHRHZ-LLVKDONJSA-N 1 2 314.393 1.336 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[N@@H+](C)Cc1cnc2c(C#N)cnn2c1 ZINC000563958032 421561277 /nfs/dbraw/zinc/56/12/77/421561277.db2.gz KOYSKQIHOVHRHZ-LLVKDONJSA-N 1 2 314.393 1.336 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](CO)[C@H](O)C2)c(OC(F)F)c1 ZINC000563769719 421540771 /nfs/dbraw/zinc/54/07/71/421540771.db2.gz HAMDISWSPLDHFM-CHWSQXEVSA-N 1 2 312.316 1.335 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](CO)[C@H](O)C2)c(OC(F)F)c1 ZINC000563769719 421540775 /nfs/dbraw/zinc/54/07/75/421540775.db2.gz HAMDISWSPLDHFM-CHWSQXEVSA-N 1 2 312.316 1.335 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCOC[C@@H]2C2CCC2)CCCCC1 ZINC000567468522 421612388 /nfs/dbraw/zinc/61/23/88/421612388.db2.gz ULVOMFDKFOJCNJ-OAHLLOKOSA-N 1 2 305.422 1.830 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCOC[C@@H]2C2CCC2)CCCCC1 ZINC000567468522 421612392 /nfs/dbraw/zinc/61/23/92/421612392.db2.gz ULVOMFDKFOJCNJ-OAHLLOKOSA-N 1 2 305.422 1.830 20 30 DDEDLO Cc1c(C[N@H+](C)CC(=O)NCc2ccc(C#N)cc2)cnn1C ZINC000518475563 421655247 /nfs/dbraw/zinc/65/52/47/421655247.db2.gz RSQULVIQAYMDLM-UHFFFAOYSA-N 1 2 311.389 1.348 20 30 DDEDLO Cc1c(C[N@@H+](C)CC(=O)NCc2ccc(C#N)cc2)cnn1C ZINC000518475563 421655249 /nfs/dbraw/zinc/65/52/49/421655249.db2.gz RSQULVIQAYMDLM-UHFFFAOYSA-N 1 2 311.389 1.348 20 30 DDEDLO N#Cc1c(N2CCN(Cc3[nH]cc[nH+]3)CC2)nc2ccccn12 ZINC000542043536 421817543 /nfs/dbraw/zinc/81/75/43/421817543.db2.gz GLTINDYPEOVMTM-UHFFFAOYSA-N 1 2 307.361 1.251 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)N1CCC(C#N)(c2ccccn2)CC1 ZINC000572256946 421773646 /nfs/dbraw/zinc/77/36/46/421773646.db2.gz GBZSUNONDGEACH-UHFFFAOYSA-N 1 2 324.388 1.582 20 30 DDEDLO CCc1cc(C[NH2+]CCS(=O)(=O)c2cccc(C#N)c2)on1 ZINC000573237053 421936348 /nfs/dbraw/zinc/93/63/48/421936348.db2.gz WHYWINUMXRCSLX-UHFFFAOYSA-N 1 2 319.386 1.672 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000628579517 422236726 /nfs/dbraw/zinc/23/67/26/422236726.db2.gz YVOYRTRHJDUNHB-SFHVURJKSA-N 1 2 322.449 1.487 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000628579517 422236729 /nfs/dbraw/zinc/23/67/29/422236729.db2.gz YVOYRTRHJDUNHB-SFHVURJKSA-N 1 2 322.449 1.487 20 30 DDEDLO C[C@H](NC(=O)Cc1c(F)cccc1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000352260903 269875934 /nfs/dbraw/zinc/87/59/34/269875934.db2.gz FZFWEWTYIKPLRQ-STQMWFEESA-N 1 2 319.380 1.465 20 30 DDEDLO C=CCCC(C)(C)CNC(=O)C(=O)N1CC[NH+](C2CC2)CC1 ZINC000632385413 422296223 /nfs/dbraw/zinc/29/62/23/422296223.db2.gz HZKHKPSVDZJAJL-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3cc(C#N)cnn3)c[nH+]2)C[C@@H](C)O1 ZINC000596076834 422363171 /nfs/dbraw/zinc/36/31/71/422363171.db2.gz QIGDYHHSEGRPPM-CHWSQXEVSA-N 1 2 324.388 1.969 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000634510312 422464040 /nfs/dbraw/zinc/46/40/40/422464040.db2.gz VUGGAHQVGHPQJA-OAHLLOKOSA-N 1 2 302.422 1.766 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNc2ccc(C(F)(F)F)c(C#N)n2)C1 ZINC000619695193 422531216 /nfs/dbraw/zinc/53/12/16/422531216.db2.gz QUXRYXAXWBNKDU-SECBINFHSA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCO[C@H](CNc2ccc(C(F)(F)F)c(C#N)n2)C1 ZINC000619695193 422531224 /nfs/dbraw/zinc/53/12/24/422531224.db2.gz QUXRYXAXWBNKDU-SECBINFHSA-N 1 2 300.284 1.715 20 30 DDEDLO CC/C=C\CCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000578621947 422608607 /nfs/dbraw/zinc/60/86/07/422608607.db2.gz XOFFTILTTBSEFZ-ARJAWSKDSA-N 1 2 301.390 1.908 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000115538891 263337744 /nfs/dbraw/zinc/33/77/44/263337744.db2.gz SEMPTUDJAYBRCY-HOCLYGCPSA-N 1 2 314.389 1.075 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000115538891 263337746 /nfs/dbraw/zinc/33/77/46/263337746.db2.gz SEMPTUDJAYBRCY-HOCLYGCPSA-N 1 2 314.389 1.075 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000645938567 423250442 /nfs/dbraw/zinc/25/04/42/423250442.db2.gz GOVYSFNHHUYYJO-KGLIPLIRSA-N 1 2 313.392 1.601 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000645938567 423250450 /nfs/dbraw/zinc/25/04/50/423250450.db2.gz GOVYSFNHHUYYJO-KGLIPLIRSA-N 1 2 313.392 1.601 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)NC2CCC(C)CC2)nn1 ZINC000640911350 423272500 /nfs/dbraw/zinc/27/25/00/423272500.db2.gz DPAQPCIEWCXCEW-SLTAFYQDSA-N 1 2 317.437 1.647 20 30 DDEDLO C=CCn1cc(C(=O)NCC[N@@H+]2CCc3sccc3C2)nn1 ZINC000648746486 423440073 /nfs/dbraw/zinc/44/00/73/423440073.db2.gz CLVWLAKAOHEECI-UHFFFAOYSA-N 1 2 317.418 1.314 20 30 DDEDLO C=CCn1cc(C(=O)NCC[N@H+]2CCc3sccc3C2)nn1 ZINC000648746486 423440078 /nfs/dbraw/zinc/44/00/78/423440078.db2.gz CLVWLAKAOHEECI-UHFFFAOYSA-N 1 2 317.418 1.314 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H](CNC(=O)C(C)C)C2)nn1 ZINC000653535452 423516806 /nfs/dbraw/zinc/51/68/06/423516806.db2.gz RSZPSPWBKSBBOS-OAHLLOKOSA-N 1 2 319.453 1.838 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H](CNC(=O)C(C)C)C2)nn1 ZINC000653535452 423516810 /nfs/dbraw/zinc/51/68/10/423516810.db2.gz RSZPSPWBKSBBOS-OAHLLOKOSA-N 1 2 319.453 1.838 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(CC)CC(F)(F)F)C1=O ZINC000639622025 423611422 /nfs/dbraw/zinc/61/14/22/423611422.db2.gz FEODIFDILUCKBI-LLVKDONJSA-N 1 2 321.343 1.116 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(CC)CC(F)(F)F)C1=O ZINC000639622025 423611426 /nfs/dbraw/zinc/61/14/26/423611426.db2.gz FEODIFDILUCKBI-LLVKDONJSA-N 1 2 321.343 1.116 20 30 DDEDLO C=CCCn1cc(CN2C[C@H]([NH+]3CCOCC3)C[C@H]2C)nn1 ZINC000653672177 423572684 /nfs/dbraw/zinc/57/26/84/423572684.db2.gz FZEUBINTFCFXQP-GDBMZVCRSA-N 1 2 305.426 1.149 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@H](N3CCOCC3)C[C@H]2C)nn1 ZINC000653672177 423572688 /nfs/dbraw/zinc/57/26/88/423572688.db2.gz FZEUBINTFCFXQP-GDBMZVCRSA-N 1 2 305.426 1.149 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@H](N3CCOCC3)C[C@H]2C)nn1 ZINC000653672177 423572691 /nfs/dbraw/zinc/57/26/91/423572691.db2.gz FZEUBINTFCFXQP-GDBMZVCRSA-N 1 2 305.426 1.149 20 30 DDEDLO C=CCCn1cc(C[N@H+](C)C[C@@H](O)Cc2ccccc2)nn1 ZINC000653700067 423581260 /nfs/dbraw/zinc/58/12/60/423581260.db2.gz ORBSMOJGGVBITP-KRWDZBQOSA-N 1 2 300.406 1.890 20 30 DDEDLO C=CCCn1cc(C[N@@H+](C)C[C@@H](O)Cc2ccccc2)nn1 ZINC000653700067 423581263 /nfs/dbraw/zinc/58/12/63/423581263.db2.gz ORBSMOJGGVBITP-KRWDZBQOSA-N 1 2 300.406 1.890 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000649187805 423665245 /nfs/dbraw/zinc/66/52/45/423665245.db2.gz XHGINABZUARWGL-CVEARBPZSA-N 1 2 310.438 1.014 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000649229435 423691165 /nfs/dbraw/zinc/69/11/65/423691165.db2.gz ICIUITKXLHLVFM-OAHLLOKOSA-N 1 2 310.344 1.660 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)Cc2cn3c([nH+]2)CCCC3)C1=O ZINC000651972816 423757171 /nfs/dbraw/zinc/75/71/71/423757171.db2.gz UJVNNNNYGVBSLO-CQSZACIVSA-N 1 2 316.405 1.007 20 30 DDEDLO C=CCOCCNC(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000654990800 424094805 /nfs/dbraw/zinc/09/48/05/424094805.db2.gz SADGRHMPPFIGIK-JTQLQIEISA-N 1 2 305.300 1.775 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2C[C@H](O)C[C@@H]2COC)c1C ZINC000649761109 424189518 /nfs/dbraw/zinc/18/95/18/424189518.db2.gz LSQBKUFOXAVJFX-HUUCEWRRSA-N 1 2 306.406 1.555 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2C[C@H](O)C[C@@H]2COC)c1C ZINC000649761109 424189521 /nfs/dbraw/zinc/18/95/21/424189521.db2.gz LSQBKUFOXAVJFX-HUUCEWRRSA-N 1 2 306.406 1.555 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H](C)C(=O)N2CCCC[C@H]2C)nn1 ZINC000657342657 424295307 /nfs/dbraw/zinc/29/53/07/424295307.db2.gz QABKFLYGOYYSPQ-ZIAGYGMSSA-N 1 2 305.426 1.733 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000660711093 424753795 /nfs/dbraw/zinc/75/37/95/424753795.db2.gz FUOOKSIBGVQDBA-GASCZTMLSA-N 1 2 307.438 1.990 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000660711093 424753797 /nfs/dbraw/zinc/75/37/97/424753797.db2.gz FUOOKSIBGVQDBA-GASCZTMLSA-N 1 2 307.438 1.990 20 30 DDEDLO CC[N@@H+]1CCN(C(=O)c2cc(C#N)c(SC)[nH]c2=O)[C@H](C)C1 ZINC000341846613 266149861 /nfs/dbraw/zinc/14/98/61/266149861.db2.gz RXYFPTBBMSRMOK-SNVBAGLBSA-N 1 2 320.418 1.547 20 30 DDEDLO CC[N@H+]1CCN(C(=O)c2cc(C#N)c(SC)[nH]c2=O)[C@H](C)C1 ZINC000341846613 266149862 /nfs/dbraw/zinc/14/98/62/266149862.db2.gz RXYFPTBBMSRMOK-SNVBAGLBSA-N 1 2 320.418 1.547 20 30 DDEDLO CCS(=O)(=O)N1CC[N@H+](Cc2ccc(C#N)cc2)[C@H](C)C1 ZINC000347315608 266338685 /nfs/dbraw/zinc/33/86/85/266338685.db2.gz PAIRKDZKIDHZEC-CYBMUJFWSA-N 1 2 307.419 1.414 20 30 DDEDLO CCS(=O)(=O)N1CC[N@@H+](Cc2ccc(C#N)cc2)[C@H](C)C1 ZINC000347315608 266338688 /nfs/dbraw/zinc/33/86/88/266338688.db2.gz PAIRKDZKIDHZEC-CYBMUJFWSA-N 1 2 307.419 1.414 20 30 DDEDLO COC(=O)C(C)(C)[NH+]1CCN(c2ccc(C)c(C#N)n2)CC1 ZINC000344988911 266625664 /nfs/dbraw/zinc/62/56/64/266625664.db2.gz VICYHKPUPVFCPU-UHFFFAOYSA-N 1 2 302.378 1.335 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1)n1cnc(C#N)n1 ZINC000342682851 267136561 /nfs/dbraw/zinc/13/65/61/267136561.db2.gz UQPZKBDVHPNZFW-SNVBAGLBSA-N 1 2 307.317 1.740 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)CC(C)(C)O1 ZINC000299247460 267229160 /nfs/dbraw/zinc/22/91/60/267229160.db2.gz UOSBFUSBJRNRGX-ZDUSSCGKSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CC(C)(C)O1 ZINC000299247460 267229165 /nfs/dbraw/zinc/22/91/65/267229165.db2.gz UOSBFUSBJRNRGX-ZDUSSCGKSA-N 1 2 301.390 1.674 20 30 DDEDLO Cc1cc(NCc2nn(C)cc2Br)c(C#N)c[nH+]1 ZINC000399417901 267299813 /nfs/dbraw/zinc/29/98/13/267299813.db2.gz DNFCTHUKLSTUGJ-UHFFFAOYSA-N 1 2 306.167 1.792 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[NH2+]C[C@H]1C(F)F ZINC000367468843 267307756 /nfs/dbraw/zinc/30/77/56/267307756.db2.gz DZCVONPIQMGHKO-NSHDSACASA-N 1 2 315.345 1.094 20 30 DDEDLO N#Cc1ccc(OC2CC[NH+](Cc3nnnn3C3CC3)CC2)cc1 ZINC000350718052 268166373 /nfs/dbraw/zinc/16/63/73/268166373.db2.gz CCYHDHBPRWJGKZ-UHFFFAOYSA-N 1 2 324.388 1.923 20 30 DDEDLO N#Cc1cccc(-c2c[nH]c(CNC(=O)CCc3[nH]cc[nH+]3)n2)c1 ZINC000355021291 268227628 /nfs/dbraw/zinc/22/76/28/268227628.db2.gz SSYUTLBFCUTLSC-UHFFFAOYSA-N 1 2 320.356 1.920 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCOc3cc[nH+]cc32)c(F)c1 ZINC000530692909 268252714 /nfs/dbraw/zinc/25/27/14/268252714.db2.gz BMNUDTPENBFCJS-UHFFFAOYSA-N 1 2 319.317 1.680 20 30 DDEDLO C#C[C@H](NC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C)C(C)(C)C ZINC000491804098 276797114 /nfs/dbraw/zinc/79/71/14/276797114.db2.gz KGPHWMHBBKNFKZ-KKUMJFAQSA-N 1 2 307.438 1.539 20 30 DDEDLO CC(C)(C)C[N@H+](CCC#N)CC(=O)N(CCC#N)CCC#N ZINC000264641257 277143524 /nfs/dbraw/zinc/14/35/24/277143524.db2.gz VCDDCLRETMMJGO-UHFFFAOYSA-N 1 2 303.410 1.904 20 30 DDEDLO CC(C)(C)C[N@@H+](CCC#N)CC(=O)N(CCC#N)CCC#N ZINC000264641257 277143525 /nfs/dbraw/zinc/14/35/25/277143525.db2.gz VCDDCLRETMMJGO-UHFFFAOYSA-N 1 2 303.410 1.904 20 30 DDEDLO C#C[C@H](C)NC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000373521957 277706580 /nfs/dbraw/zinc/70/65/80/277706580.db2.gz PZVUKYATUQWEBM-WOSRLPQWSA-N 1 2 316.405 1.248 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)CC2(C#N)CC2)[C@H](c2ccccc2)C1 ZINC000180391792 290049149 /nfs/dbraw/zinc/04/91/49/290049149.db2.gz AVCDYWUSLBORHA-HNNXBMFYSA-N 1 2 319.430 1.609 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)CC2(C#N)CC2)[C@H](c2ccccc2)C1 ZINC000180391792 290049151 /nfs/dbraw/zinc/04/91/51/290049151.db2.gz AVCDYWUSLBORHA-HNNXBMFYSA-N 1 2 319.430 1.609 20 30 DDEDLO N#C[C@@H]1COCCN1C(=O)[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000365643126 294830659 /nfs/dbraw/zinc/83/06/59/294830659.db2.gz YXQWPRGDGXSJRB-ZIAGYGMSSA-N 1 2 300.362 1.049 20 30 DDEDLO O=C(N[C@@H]1CCc2c[nH]nc2C1)N1CC[N@@H+]2CCCC[C@@H]2C1 ZINC000329859292 295383576 /nfs/dbraw/zinc/38/35/76/295383576.db2.gz OQLQISXYOTVKHB-ZIAGYGMSSA-N 1 2 303.410 1.351 20 30 DDEDLO O=C(N[C@@H]1CCc2c[nH]nc2C1)N1CC[N@H+]2CCCC[C@@H]2C1 ZINC000329859292 295383578 /nfs/dbraw/zinc/38/35/78/295383578.db2.gz OQLQISXYOTVKHB-ZIAGYGMSSA-N 1 2 303.410 1.351 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CCOCC2(CCC2)C1 ZINC000329755610 295384642 /nfs/dbraw/zinc/38/46/42/295384642.db2.gz YEEIZVNIBOKNHC-GFCCVEGCSA-N 1 2 304.394 1.684 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CCOCC2(CCC2)C1 ZINC000329755610 295384644 /nfs/dbraw/zinc/38/46/44/295384644.db2.gz YEEIZVNIBOKNHC-GFCCVEGCSA-N 1 2 304.394 1.684 20 30 DDEDLO [O-]C(N[C@@H]1CCC[C@@H]2OCC[C@@H]21)=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330193589 295385682 /nfs/dbraw/zinc/38/56/82/295385682.db2.gz OHCYFLFRMMPPDT-SYQHCUMBSA-N 1 2 304.394 1.659 20 30 DDEDLO [O-]C(N[C@@H]1CCn2cc[nH+]c2C1)=[NH+][C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC000330193589 295385683 /nfs/dbraw/zinc/38/56/83/295385683.db2.gz OHCYFLFRMMPPDT-SYQHCUMBSA-N 1 2 304.394 1.659 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[NH+]2CCC(OCC3CC3)CC2)cc1 ZINC000362419879 300059200 /nfs/dbraw/zinc/05/92/00/300059200.db2.gz LYMYTUGYDSDWQD-KRWDZBQOSA-N 1 2 313.401 1.976 20 30 DDEDLO N#C[C@@H]1N(CC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CCC[C@@]12CCCCO2 ZINC000447919110 303164589 /nfs/dbraw/zinc/16/45/89/303164589.db2.gz UEJJUHIWEWCYKW-MHORFTMASA-N 1 2 319.449 1.777 20 30 DDEDLO N#C[C@@H]1N(CC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CCC[C@@]12CCCCO2 ZINC000447919110 303164590 /nfs/dbraw/zinc/16/45/90/303164590.db2.gz UEJJUHIWEWCYKW-MHORFTMASA-N 1 2 319.449 1.777 20 30 DDEDLO COC(=O)[C@@](C)([NH2+]CCC(=O)Nc1sccc1C#N)C1CC1 ZINC000536605990 303365088 /nfs/dbraw/zinc/36/50/88/303365088.db2.gz BECNHIMOQPYUCH-HNNXBMFYSA-N 1 2 321.402 1.880 20 30 DDEDLO CN1CCC[C@H]([NH+]2CCN(c3ccc(C#N)cc3F)CC2)C1=O ZINC000537609840 303376428 /nfs/dbraw/zinc/37/64/28/303376428.db2.gz SBPFYQYVICQJOY-INIZCTEOSA-N 1 2 316.380 1.440 20 30 DDEDLO CN1CCC[C@H](N2CC[NH+](Cc3ccc(C#N)cc3)CC2)C1=O ZINC000538703546 303389011 /nfs/dbraw/zinc/38/90/11/303389011.db2.gz IEJVJKLWINJBSG-KRWDZBQOSA-N 1 2 312.417 1.297 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CC[C@@H](NC(C)=O)C2)c1C#N ZINC000577091525 308362377 /nfs/dbraw/zinc/36/23/77/308362377.db2.gz JPZJMJMPMHUENW-CYBMUJFWSA-N 1 2 301.346 1.055 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CC[C@@H](NC(C)=O)C2)c1C#N ZINC000577091525 308362378 /nfs/dbraw/zinc/36/23/78/308362378.db2.gz JPZJMJMPMHUENW-CYBMUJFWSA-N 1 2 301.346 1.055 20 30 DDEDLO N#Cc1csc(C[NH2+]Cc2ccc3c(c2)CCS3(=O)=O)n1 ZINC000578178345 308443045 /nfs/dbraw/zinc/44/30/45/308443045.db2.gz OHIKBVQREMMPFQ-UHFFFAOYSA-N 1 2 319.411 1.634 20 30 DDEDLO Cc1cc(NC(=O)N2CCN(c3cnccc3C#N)CC2)cc[nH+]1 ZINC000579919796 308566189 /nfs/dbraw/zinc/56/61/89/308566189.db2.gz TUNHNCATNGFFTJ-UHFFFAOYSA-N 1 2 322.372 1.433 20 30 DDEDLO Cc1nn(C(C)C)c(C)c1CC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000573448590 332065743 /nfs/dbraw/zinc/06/57/43/332065743.db2.gz INEBEOYOGMEWGH-INIZCTEOSA-N 1 2 305.426 1.583 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@@H](C)c1nc(C)c(C(=O)OCC)s1 ZINC000151740680 332608917 /nfs/dbraw/zinc/60/89/17/332608917.db2.gz WAPSURBSDAGNQP-QWRGUYRKSA-N 1 2 323.418 1.417 20 30 DDEDLO COCc1noc([C@H](C)O[NH+]=C(N)c2ccc(OC)cc2)n1 ZINC000182911081 333180976 /nfs/dbraw/zinc/18/09/76/333180976.db2.gz LWKZVCQZNYXUGY-VIFPVBQESA-N 1 2 306.322 1.623 20 30 DDEDLO C[C@@H]1[C@@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)CCN1c1ccccc1 ZINC000571050326 333493568 /nfs/dbraw/zinc/49/35/68/333493568.db2.gz OUZULJDEKSUJEP-UWWQBHOKSA-N 1 2 314.433 1.861 20 30 DDEDLO Cc1[nH+]c2cc(NC(=O)C(=O)N(C)C[C@@H](C)C#N)ccc2n1C ZINC000527353563 335836052 /nfs/dbraw/zinc/83/60/52/335836052.db2.gz XNVWQJZTHRXCPW-JTQLQIEISA-N 1 2 313.361 1.438 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH+]1CCC(F)(c2cccnc2)CC1 ZINC000375348232 337008645 /nfs/dbraw/zinc/00/86/45/337008645.db2.gz HYOXQNIDOLJXOB-UHFFFAOYSA-N 1 2 320.368 1.354 20 30 DDEDLO CN(CCC#N)C(=O)CC[NH+]1CC(O)(c2ccc(F)cc2)C1 ZINC000375356882 337008971 /nfs/dbraw/zinc/00/89/71/337008971.db2.gz SMFFAKJMQSSPGB-UHFFFAOYSA-N 1 2 305.353 1.091 20 30 DDEDLO N#Cc1cc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)ccc1F ZINC000582768187 337141303 /nfs/dbraw/zinc/14/13/03/337141303.db2.gz YPEORQXXVXNPSD-OKILXGFUSA-N 1 2 318.352 1.682 20 30 DDEDLO N#Cc1cc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)ccc1F ZINC000582768187 337141304 /nfs/dbraw/zinc/14/13/04/337141304.db2.gz YPEORQXXVXNPSD-OKILXGFUSA-N 1 2 318.352 1.682 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc2cc([N+](=O)[O-])ccc2o1 ZINC000583088201 337235228 /nfs/dbraw/zinc/23/52/28/337235228.db2.gz WYLMMWHSGGNJNV-HNNXBMFYSA-N 1 2 316.317 1.915 20 30 DDEDLO COc1ccc(CCC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1F ZINC000583238778 337282818 /nfs/dbraw/zinc/28/28/18/337282818.db2.gz QGEKPLVCKSSATK-MRXNPFEDSA-N 1 2 307.369 1.727 20 30 DDEDLO CCC(C#N)(CC)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000527872623 337770746 /nfs/dbraw/zinc/77/07/46/337770746.db2.gz VUXGJUNXHLNIOV-UHFFFAOYSA-N 1 2 316.405 1.864 20 30 DDEDLO CC[C@H](CC#N)[NH2+][C@H](C)C(=O)Nc1cccc(C(=O)NC)c1 ZINC000514736812 337969766 /nfs/dbraw/zinc/96/97/66/337969766.db2.gz GXVAWVMWENQMJE-DGCLKSJQSA-N 1 2 302.378 1.655 20 30 DDEDLO N#CCC1(O)C[NH+](C[C@@H](O)CO[C@@H]2CCCc3ccccc32)C1 ZINC000515165296 337980865 /nfs/dbraw/zinc/98/08/65/337980865.db2.gz YCEPMJGYBFQBHT-NVXWUHKLSA-N 1 2 316.401 1.402 20 30 DDEDLO C=CCCn1cc(C(=O)N2CC[C@@H](C)[C@@H](n3cc[nH+]c3)C2)nn1 ZINC000516052179 338003083 /nfs/dbraw/zinc/00/30/83/338003083.db2.gz ZGDLNUWUKPIVNY-HIFRSBDPSA-N 1 2 314.393 1.774 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(C(=O)CCC2CCCC2)CC1 ZINC000130154672 341002974 /nfs/dbraw/zinc/00/29/74/341002974.db2.gz NSGOQRKWONTLKG-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO N#CCCN(CCOc1cccc(F)c1)CC[NH+]1CCOCC1 ZINC000081158354 341280441 /nfs/dbraw/zinc/28/04/41/341280441.db2.gz ZOSLZRWNTXBCOW-UHFFFAOYSA-N 1 2 321.396 1.752 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000567733569 341630564 /nfs/dbraw/zinc/63/05/64/341630564.db2.gz VUHKCZRUXILQIN-MJBXVCDLSA-N 1 2 304.394 1.510 20 30 DDEDLO C=C[C@H](CC(=O)NC[C@H]1CN2CC[N@@H+]1CCC2)c1ccccc1 ZINC000670407363 484813363 /nfs/dbraw/zinc/81/33/63/484813363.db2.gz PHEZSAMZCMNORA-AEFFLSMTSA-N 1 2 313.445 1.852 20 30 DDEDLO C=C[C@H](CC(=O)NC[C@H]1CN2CC[N@H+]1CCC2)c1ccccc1 ZINC000670407363 484813369 /nfs/dbraw/zinc/81/33/69/484813369.db2.gz PHEZSAMZCMNORA-AEFFLSMTSA-N 1 2 313.445 1.852 20 30 DDEDLO C=C[C@@H](O)C(=O)N[C@H](C[NH+]1CCOCC1)c1ccc(C)cc1 ZINC000665528341 484909617 /nfs/dbraw/zinc/90/96/17/484909617.db2.gz YEKBKMZTUABGJJ-HZPDHXFCSA-N 1 2 304.390 1.031 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC[C@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000666848221 485367211 /nfs/dbraw/zinc/36/72/11/485367211.db2.gz LOUZRXDFFMRZKV-SJLPKXTDSA-N 1 2 318.417 1.422 20 30 DDEDLO C=C(CNc1cc(N2CCN(C)C(=O)C2)nc[nH+]1)c1ccccc1 ZINC000673461482 485414829 /nfs/dbraw/zinc/41/48/29/485414829.db2.gz NRRLBXDZHVAATP-UHFFFAOYSA-N 1 2 323.400 1.880 20 30 DDEDLO C=C(CNc1cc(N2CCN(C)C(=O)C2)[nH+]cn1)c1ccccc1 ZINC000673461482 485414832 /nfs/dbraw/zinc/41/48/32/485414832.db2.gz NRRLBXDZHVAATP-UHFFFAOYSA-N 1 2 323.400 1.880 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)CC[S@@]1=O ZINC000330841305 533925193 /nfs/dbraw/zinc/92/51/93/533925193.db2.gz JRJLYHJBGMTXOG-WVDJIFEKSA-N 1 2 311.432 1.401 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)CC[S@@]1=O ZINC000330841305 533925198 /nfs/dbraw/zinc/92/51/98/533925198.db2.gz JRJLYHJBGMTXOG-WVDJIFEKSA-N 1 2 311.432 1.401 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+]2CCOC[C@H]2C)cc([N+](=O)[O-])c1 ZINC000330623329 534009382 /nfs/dbraw/zinc/00/93/82/534009382.db2.gz PMJHOJXIFMZBDS-GFCCVEGCSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+]2CCOC[C@H]2C)cc([N+](=O)[O-])c1 ZINC000330623329 534009390 /nfs/dbraw/zinc/00/93/90/534009390.db2.gz PMJHOJXIFMZBDS-GFCCVEGCSA-N 1 2 307.350 1.929 20 30 DDEDLO COc1cc(F)ccc1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000329941441 534019827 /nfs/dbraw/zinc/01/98/27/534019827.db2.gz VCDRVXUSCYADFT-TZMCWYRMSA-N 1 2 309.341 1.395 20 30 DDEDLO COc1cc(F)ccc1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000329941441 534019834 /nfs/dbraw/zinc/01/98/34/534019834.db2.gz VCDRVXUSCYADFT-TZMCWYRMSA-N 1 2 309.341 1.395 20 30 DDEDLO Cc1nc([C@@H]2CCCC[N@H+]2CCC(=O)N(C)CCC#N)no1 ZINC000360826803 534554857 /nfs/dbraw/zinc/55/48/57/534554857.db2.gz AGTPPGYGDSOOAJ-ZDUSSCGKSA-N 1 2 305.382 1.667 20 30 DDEDLO Cc1nc([C@@H]2CCCC[N@@H+]2CCC(=O)N(C)CCC#N)no1 ZINC000360826803 534554864 /nfs/dbraw/zinc/55/48/64/534554864.db2.gz AGTPPGYGDSOOAJ-ZDUSSCGKSA-N 1 2 305.382 1.667 20 30 DDEDLO Cc1sc2ncn(C[C@@H](O)C[N@H+](C)CCC#N)c(=O)c2c1C ZINC000414101378 534616011 /nfs/dbraw/zinc/61/60/11/534616011.db2.gz BYAKVQPXOROWHJ-LBPRGKRZSA-N 1 2 320.418 1.281 20 30 DDEDLO Cc1sc2ncn(C[C@@H](O)C[N@@H+](C)CCC#N)c(=O)c2c1C ZINC000414101378 534616016 /nfs/dbraw/zinc/61/60/16/534616016.db2.gz BYAKVQPXOROWHJ-LBPRGKRZSA-N 1 2 320.418 1.281 20 30 DDEDLO Cc1[nH+]c2ccc(C(=O)N(C)CCNC(=O)N(C)C)cc2n1C ZINC000331066416 534649574 /nfs/dbraw/zinc/64/95/74/534649574.db2.gz QDXUQJDRCKUIKK-UHFFFAOYSA-N 1 2 317.393 1.429 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)NCCC2=CCCCC2)C1 ZINC000330956586 526403070 /nfs/dbraw/zinc/40/30/70/526403070.db2.gz UTEBGJFJFHHXLM-AWEZNQCLSA-N 1 2 308.426 1.201 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)NCCC2=CCCCC2)C1 ZINC000330956586 526403075 /nfs/dbraw/zinc/40/30/75/526403075.db2.gz UTEBGJFJFHHXLM-AWEZNQCLSA-N 1 2 308.426 1.201 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@H](C)c2cn(-c3ccccc3)nn2)C1=O ZINC000337181551 526485998 /nfs/dbraw/zinc/48/59/98/526485998.db2.gz AYADGKTVIKBJRW-UKRRQHHQSA-N 1 2 311.389 1.705 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccc(F)c(F)c2)C1=O ZINC000337227293 526499430 /nfs/dbraw/zinc/49/94/30/526499430.db2.gz PZLQJMSOJIDHPC-AWEZNQCLSA-N 1 2 323.343 1.622 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccc(F)c(F)c2)C1=O ZINC000337227293 526499432 /nfs/dbraw/zinc/49/94/32/526499432.db2.gz PZLQJMSOJIDHPC-AWEZNQCLSA-N 1 2 323.343 1.622 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCOc3ccc(OC)cc3C2)C1=O ZINC000451645540 526509283 /nfs/dbraw/zinc/50/92/83/526509283.db2.gz DZFYEFGOYZINMY-HNNXBMFYSA-N 1 2 302.374 1.677 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCOc3ccc(OC)cc3C2)C1=O ZINC000451645540 526509286 /nfs/dbraw/zinc/50/92/86/526509286.db2.gz DZFYEFGOYZINMY-HNNXBMFYSA-N 1 2 302.374 1.677 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3ccccc3OC)CC2)C1=O ZINC000337144265 526510192 /nfs/dbraw/zinc/51/01/92/526510192.db2.gz ZNMOJLULMNDQBW-INIZCTEOSA-N 1 2 315.417 1.604 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000330915956 526535079 /nfs/dbraw/zinc/53/50/79/526535079.db2.gz ZNIGAETUAKYFGO-ZIAGYGMSSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000330915956 526535084 /nfs/dbraw/zinc/53/50/84/526535084.db2.gz ZNIGAETUAKYFGO-ZIAGYGMSSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)Cn1c(COCC)nnc1N(C)CC[NH+]1CCOCC1 ZINC000338859186 526730369 /nfs/dbraw/zinc/73/03/69/526730369.db2.gz IYWUUTVXMRDDEZ-UHFFFAOYSA-N 1 2 323.441 1.159 20 30 DDEDLO C#CC[N@H+](CC(=O)NC(=O)NC1CC1)Cc1ccc(F)cc1 ZINC000490589379 526882744 /nfs/dbraw/zinc/88/27/44/526882744.db2.gz FRZUXMMZHHYTQE-UHFFFAOYSA-N 1 2 303.337 1.249 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC(=O)NC1CC1)Cc1ccc(F)cc1 ZINC000490589379 526882749 /nfs/dbraw/zinc/88/27/49/526882749.db2.gz FRZUXMMZHHYTQE-UHFFFAOYSA-N 1 2 303.337 1.249 20 30 DDEDLO C#CC[N@@H+](CCCO[C@H]1CCCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000491048359 526901929 /nfs/dbraw/zinc/90/19/29/526901929.db2.gz XWMZGIOWMLLZKX-CABCVRRESA-N 1 2 315.435 1.042 20 30 DDEDLO C#CC[N@H+](CCCO[C@H]1CCCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000491048359 526901936 /nfs/dbraw/zinc/90/19/36/526901936.db2.gz XWMZGIOWMLLZKX-CABCVRRESA-N 1 2 315.435 1.042 20 30 DDEDLO C#CCN(Cc1ccc(F)cc1)C(=O)NCc1c[nH+]cn1C ZINC000491695217 526905313 /nfs/dbraw/zinc/90/53/13/526905313.db2.gz XAGORDNGIKVHAL-UHFFFAOYSA-N 1 2 300.337 1.904 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](CC(=O)Nc2ccccc2F)CC1 ZINC000491065984 526968212 /nfs/dbraw/zinc/96/82/12/526968212.db2.gz ANLSDJAQDOHDJP-UHFFFAOYSA-N 1 2 317.364 1.226 20 30 DDEDLO C#CCNC(=O)C1CC[NH+]([C@@H](C)c2nc(C(C)C)no2)CC1 ZINC000491201374 526971976 /nfs/dbraw/zinc/97/19/76/526971976.db2.gz HAFCJNWJZFUDTC-LBPRGKRZSA-N 1 2 304.394 1.715 20 30 DDEDLO C#CCNC(=O)C1CC[NH+]([C@H](C(=O)OC)c2ccccc2)CC1 ZINC000491067628 526971999 /nfs/dbraw/zinc/97/19/99/526971999.db2.gz JLMHXNIJUCKBIL-INIZCTEOSA-N 1 2 314.385 1.362 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)CCOCC1 ZINC000424645331 527056271 /nfs/dbraw/zinc/05/62/71/527056271.db2.gz ICTUDTQKRIDNIH-LSDHHAIUSA-N 1 2 310.438 1.585 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2cnc(N3CCCC3)nc2)n1 ZINC000491289242 527184912 /nfs/dbraw/zinc/18/49/12/527184912.db2.gz XWWCYDFOVGOLDO-UHFFFAOYSA-N 1 2 324.432 1.929 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2cnc(N3CCCC3)nc2)n1 ZINC000491289242 527184918 /nfs/dbraw/zinc/18/49/18/527184918.db2.gz XWWCYDFOVGOLDO-UHFFFAOYSA-N 1 2 324.432 1.929 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000491680700 527236478 /nfs/dbraw/zinc/23/64/78/527236478.db2.gz JQVISKZVVAHMJT-UONOGXRCSA-N 1 2 321.446 1.832 20 30 DDEDLO C#C[C@@H](NC(=O)N[C@@H](C)C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000491703062 527279651 /nfs/dbraw/zinc/27/96/51/527279651.db2.gz JBAQMLKGVRWFSQ-XJKSGUPXSA-N 1 2 319.380 1.520 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)N[C@@H]1CCCc2ccccc21 ZINC000491264313 527309801 /nfs/dbraw/zinc/30/98/01/527309801.db2.gz FTTPFYZUIGJYHZ-SJLPKXTDSA-N 1 2 312.413 1.904 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)N[C@@H]1CCCc2ccccc21 ZINC000491264313 527309802 /nfs/dbraw/zinc/30/98/02/527309802.db2.gz FTTPFYZUIGJYHZ-SJLPKXTDSA-N 1 2 312.413 1.904 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@H](O)CO[C@@H]1CCCc2ccccc21 ZINC000491738697 527310876 /nfs/dbraw/zinc/31/08/76/527310876.db2.gz PGRPGHYOKYPDMH-ZHALLVOQSA-N 1 2 315.413 1.776 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@H](O)CO[C@@H]1CCCc2ccccc21 ZINC000491738697 527310880 /nfs/dbraw/zinc/31/08/80/527310880.db2.gz PGRPGHYOKYPDMH-ZHALLVOQSA-N 1 2 315.413 1.776 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CC[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000491635122 527311750 /nfs/dbraw/zinc/31/17/50/527311750.db2.gz RUMROWLEMWTHJA-HUUCEWRRSA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CC[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000491635122 527311755 /nfs/dbraw/zinc/31/17/55/527311755.db2.gz RUMROWLEMWTHJA-HUUCEWRRSA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1Cc1ccccc1CN1CCOC[C@@H]1C#C ZINC000491767257 527312760 /nfs/dbraw/zinc/31/27/60/527312760.db2.gz IGNDQGAXOUMZCL-BGYRXZFFSA-N 1 2 324.424 1.355 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1Cc1ccccc1CN1CCOC[C@@H]1C#C ZINC000491767257 527312763 /nfs/dbraw/zinc/31/27/63/527312763.db2.gz IGNDQGAXOUMZCL-BGYRXZFFSA-N 1 2 324.424 1.355 20 30 DDEDLO C#C[C@@H]1COCCN1Cc1ccccc1C[N@@H+]1CCOC[C@@H]1C#C ZINC000491767257 527312766 /nfs/dbraw/zinc/31/27/66/527312766.db2.gz IGNDQGAXOUMZCL-BGYRXZFFSA-N 1 2 324.424 1.355 20 30 DDEDLO C#C[C@@H]1COCCN1Cc1ccccc1C[N@H+]1CCOC[C@@H]1C#C ZINC000491767257 527312771 /nfs/dbraw/zinc/31/27/71/527312771.db2.gz IGNDQGAXOUMZCL-BGYRXZFFSA-N 1 2 324.424 1.355 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000343980863 527613474 /nfs/dbraw/zinc/61/34/74/527613474.db2.gz OQYLDNCHNACKDF-SUMWQHHRSA-N 1 2 318.421 1.630 20 30 DDEDLO CC1(C)[C@H]([NH+]=C([O-])NC[C@@H]2CCc3[nH+]ccn3C2)[C@@H]2CCO[C@@H]21 ZINC000329960433 527853104 /nfs/dbraw/zinc/85/31/04/527853104.db2.gz RCUFLFHGGKZJHB-VIRABCJISA-N 1 2 318.421 1.763 20 30 DDEDLO CC1(C)[C@H](NC([O-])=[NH+]C[C@@H]2CCc3[nH+]ccn3C2)[C@@H]2CCO[C@@H]21 ZINC000329960433 527853107 /nfs/dbraw/zinc/85/31/07/527853107.db2.gz RCUFLFHGGKZJHB-VIRABCJISA-N 1 2 318.421 1.763 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCOCC2)CC1 ZINC000330267111 528821214 /nfs/dbraw/zinc/82/12/14/528821214.db2.gz QHXYDRXCYIAEAM-CQSZACIVSA-N 1 2 311.426 1.314 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCCOCC2)CC1 ZINC000330267111 528821219 /nfs/dbraw/zinc/82/12/19/528821219.db2.gz QHXYDRXCYIAEAM-CQSZACIVSA-N 1 2 311.426 1.314 20 30 DDEDLO CCN1CCN(C(=O)NCc2nccs2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000329984768 529107825 /nfs/dbraw/zinc/10/78/25/529107825.db2.gz CPADBCBDRZHIAZ-LLVKDONJSA-N 1 2 320.422 1.659 20 30 DDEDLO CCN1CCN(CC(=O)NC2CCCC2)C[C@H]1c1[nH]cc[nH+]1 ZINC000329896876 529136548 /nfs/dbraw/zinc/13/65/48/529136548.db2.gz CPYHEYYFPGKFGS-AWEZNQCLSA-N 1 2 305.426 1.987 20 30 DDEDLO C=C(C)C[NH+]1CCC(NCc2ccc(-c3nn[nH]n3)o2)CC1 ZINC000819722771 599429837 /nfs/dbraw/zinc/42/98/37/599429837.db2.gz QNBOMKMFCIKWET-UHFFFAOYSA-N 1 2 302.382 1.590 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)N[C@@](C)(C#N)C1CC1 ZINC000745088093 699971315 /nfs/dbraw/zinc/97/13/15/699971315.db2.gz TWPOJBCHSDDIKM-CJNGLKHVSA-N 1 2 307.394 1.212 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)N[C@@](C)(C#N)C1CC1 ZINC000745088093 699971317 /nfs/dbraw/zinc/97/13/17/699971317.db2.gz TWPOJBCHSDDIKM-CJNGLKHVSA-N 1 2 307.394 1.212 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)O[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000745085869 699971376 /nfs/dbraw/zinc/97/13/76/699971376.db2.gz JADNQDNLXNFPAW-CKEIUWERSA-N 1 2 323.437 1.847 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)O[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000745085869 699971377 /nfs/dbraw/zinc/97/13/77/699971377.db2.gz JADNQDNLXNFPAW-CKEIUWERSA-N 1 2 323.437 1.847 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(Cl)c[nH]3)C2)C1 ZINC000972255785 695192563 /nfs/dbraw/zinc/19/25/63/695192563.db2.gz ASHSMLHTAYQXHP-OAHLLOKOSA-N 1 2 307.781 1.218 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(Cl)c[nH]3)C2)C1 ZINC000972255785 695192565 /nfs/dbraw/zinc/19/25/65/695192565.db2.gz ASHSMLHTAYQXHP-OAHLLOKOSA-N 1 2 307.781 1.218 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccccc3O)C2)C1 ZINC000972269814 695198483 /nfs/dbraw/zinc/19/84/83/695198483.db2.gz SYEPHSIBVLQAGK-KRWDZBQOSA-N 1 2 302.374 1.495 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccccc3O)C2)C1 ZINC000972269814 695198486 /nfs/dbraw/zinc/19/84/86/695198486.db2.gz SYEPHSIBVLQAGK-KRWDZBQOSA-N 1 2 302.374 1.495 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccnc(F)c3)C2)C1 ZINC000972290792 695206692 /nfs/dbraw/zinc/20/66/92/695206692.db2.gz HKBPDTIGQHGSHY-QGZVFWFLSA-N 1 2 317.364 1.161 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccnc(F)c3)C2)C1 ZINC000972290792 695206694 /nfs/dbraw/zinc/20/66/94/695206694.db2.gz HKBPDTIGQHGSHY-QGZVFWFLSA-N 1 2 317.364 1.161 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@]2(C1)C[N@H+](Cc1ccsc1)CCO2 ZINC000972296766 695209810 /nfs/dbraw/zinc/20/98/10/695209810.db2.gz CXXBNQIEJCCZHS-BBRMVZONSA-N 1 2 319.430 1.711 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@]2(C1)C[N@@H+](Cc1ccsc1)CCO2 ZINC000972296766 695209812 /nfs/dbraw/zinc/20/98/12/695209812.db2.gz CXXBNQIEJCCZHS-BBRMVZONSA-N 1 2 319.430 1.711 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cncc(C)c3)C2)C1 ZINC000972313621 695213738 /nfs/dbraw/zinc/21/37/38/695213738.db2.gz YDLINGHJOPFOQO-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cncc(C)c3)C2)C1 ZINC000972313621 695213739 /nfs/dbraw/zinc/21/37/39/695213739.db2.gz YDLINGHJOPFOQO-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)[nH]c3C)C2)C1 ZINC000972570159 695285866 /nfs/dbraw/zinc/28/58/66/695285866.db2.gz UCNIPYJCKDWGMT-KRWDZBQOSA-N 1 2 303.406 1.734 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)[nH]c3C)C2)C1 ZINC000972570159 695285867 /nfs/dbraw/zinc/28/58/67/695285867.db2.gz UCNIPYJCKDWGMT-KRWDZBQOSA-N 1 2 303.406 1.734 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H](C)c3ccco3)C2)C1 ZINC000972640356 695306889 /nfs/dbraw/zinc/30/68/89/695306889.db2.gz IWSCRODXEXIZEO-YJBOKZPZSA-N 1 2 316.401 1.710 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H](C)c3ccco3)C2)C1 ZINC000972640356 695306891 /nfs/dbraw/zinc/30/68/91/695306891.db2.gz IWSCRODXEXIZEO-YJBOKZPZSA-N 1 2 316.401 1.710 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCNC2=O)C(C)(C)C1 ZINC000974696411 695713785 /nfs/dbraw/zinc/71/37/85/695713785.db2.gz YCUZTKHKVPSTAB-VXGBXAGGSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCNC2=O)C(C)(C)C1 ZINC000974696411 695713786 /nfs/dbraw/zinc/71/37/86/695713786.db2.gz YCUZTKHKVPSTAB-VXGBXAGGSA-N 1 2 313.829 1.092 20 30 DDEDLO C=CCNC(=S)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000747723628 700084070 /nfs/dbraw/zinc/08/40/70/700084070.db2.gz PKSMMDYGLMXBER-HNNXBMFYSA-N 1 2 305.447 1.538 20 30 DDEDLO C=CCNC(=S)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000747723628 700084072 /nfs/dbraw/zinc/08/40/72/700084072.db2.gz PKSMMDYGLMXBER-HNNXBMFYSA-N 1 2 305.447 1.538 20 30 DDEDLO CC(C)CCOCCNC(=O)CO[NH+]=C(N)c1ccccc1 ZINC000077570435 696441389 /nfs/dbraw/zinc/44/13/89/696441389.db2.gz GQZHPAJFILDHOJ-UHFFFAOYSA-N 1 2 307.394 1.502 20 30 DDEDLO C[C@H](C1CC1)N(C(=O)CO[NH+]=C(N)Cc1cccnc1)C1CC1 ZINC000092916175 696598080 /nfs/dbraw/zinc/59/80/80/696598080.db2.gz KVZVJCFBUQAKNN-GFCCVEGCSA-N 1 2 316.405 1.702 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1N(C)C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000092915908 696598105 /nfs/dbraw/zinc/59/81/05/696598105.db2.gz SUPBECMVAAXGBO-HIFRSBDPSA-N 1 2 318.421 1.950 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)C[C@H]2CCCC(=O)N2)CC1 ZINC000981589649 696830642 /nfs/dbraw/zinc/83/06/42/696830642.db2.gz VSWPBYBHPCFWAV-CYBMUJFWSA-N 1 2 313.829 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)C[C@H]2CCCC(=O)N2)CC1 ZINC000981589649 696830647 /nfs/dbraw/zinc/83/06/47/696830647.db2.gz VSWPBYBHPCFWAV-CYBMUJFWSA-N 1 2 313.829 1.332 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC000981669681 696867578 /nfs/dbraw/zinc/86/75/78/696867578.db2.gz TXFHKAIKKWATTR-RYUDHWBXSA-N 1 2 317.393 1.523 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC000981669681 696867583 /nfs/dbraw/zinc/86/75/83/696867583.db2.gz TXFHKAIKKWATTR-RYUDHWBXSA-N 1 2 317.393 1.523 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000980785067 696888120 /nfs/dbraw/zinc/88/81/20/696888120.db2.gz DRCQXFZOCFBPHX-ZDUSSCGKSA-N 1 2 319.380 1.767 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000980785067 696888123 /nfs/dbraw/zinc/88/81/23/696888123.db2.gz DRCQXFZOCFBPHX-ZDUSSCGKSA-N 1 2 319.380 1.767 20 30 DDEDLO C=CC[N@H+]1CCCN(C(=O)[C@H]2C[C@@H](C)Cc3c[nH]nc32)CC1 ZINC000981814480 696928276 /nfs/dbraw/zinc/92/82/76/696928276.db2.gz GWMPJIAXTWLOHF-ZFWWWQNUSA-N 1 2 302.422 1.796 20 30 DDEDLO C=CC[N@@H+]1CCCN(C(=O)[C@H]2C[C@@H](C)Cc3c[nH]nc32)CC1 ZINC000981814480 696928278 /nfs/dbraw/zinc/92/82/78/696928278.db2.gz GWMPJIAXTWLOHF-ZFWWWQNUSA-N 1 2 302.422 1.796 20 30 DDEDLO C#CC[N@H+]1CCCC2(CN(C(=O)c3cc(C(C)C)[nH]n3)C2)C1 ZINC000982031058 697008595 /nfs/dbraw/zinc/00/85/95/697008595.db2.gz RMGMKZYLVVWFIO-UHFFFAOYSA-N 1 2 300.406 1.704 20 30 DDEDLO C#CC[N@@H+]1CCCC2(CN(C(=O)c3cc(C(C)C)[nH]n3)C2)C1 ZINC000982031058 697008598 /nfs/dbraw/zinc/00/85/98/697008598.db2.gz RMGMKZYLVVWFIO-UHFFFAOYSA-N 1 2 300.406 1.704 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CCC[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC000981312999 697063448 /nfs/dbraw/zinc/06/34/48/697063448.db2.gz ATUFZZQMXVMQMB-ZJUUUORDSA-N 1 2 300.765 1.932 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CCCC[C@@H]2C[C@H]1C(=O)OC(C)(C)C ZINC000775845321 698044257 /nfs/dbraw/zinc/04/42/57/698044257.db2.gz PSTCBZCEWPHKMR-KFWWJZLASA-N 1 2 320.433 1.711 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CCCC[C@@H]2C[C@H]1C(=O)OC(C)(C)C ZINC000775845321 698044260 /nfs/dbraw/zinc/04/42/60/698044260.db2.gz PSTCBZCEWPHKMR-KFWWJZLASA-N 1 2 320.433 1.711 20 30 DDEDLO C[C@@H]1[C@@H](NCC#N)CCN1C(=O)C[N@@H+]1CCc2sccc2C1 ZINC000987567113 698152782 /nfs/dbraw/zinc/15/27/82/698152782.db2.gz MNLISVTVLVNVGX-OCCSQVGLSA-N 1 2 318.446 1.209 20 30 DDEDLO C[C@@H]1[C@@H](NCC#N)CCN1C(=O)C[N@H+]1CCc2sccc2C1 ZINC000987567113 698152784 /nfs/dbraw/zinc/15/27/84/698152784.db2.gz MNLISVTVLVNVGX-OCCSQVGLSA-N 1 2 318.446 1.209 20 30 DDEDLO Cc1ccn(C[N@H+]2CCC[C@@H](c3ccnn3C)C2)c(=O)c1C#N ZINC000800859043 700250168 /nfs/dbraw/zinc/25/01/68/700250168.db2.gz GBIZJAUHTWJZIR-CQSZACIVSA-N 1 2 311.389 1.599 20 30 DDEDLO Cc1ccn(C[N@@H+]2CCC[C@@H](c3ccnn3C)C2)c(=O)c1C#N ZINC000800859043 700250171 /nfs/dbraw/zinc/25/01/71/700250171.db2.gz GBIZJAUHTWJZIR-CQSZACIVSA-N 1 2 311.389 1.599 20 30 DDEDLO Cc1ccn(C[NH+]2CCC([C@@H]3CCCO3)CC2)c(=O)c1C#N ZINC000783966575 698895954 /nfs/dbraw/zinc/89/59/54/698895954.db2.gz ZRYRNWFMHRWQHJ-INIZCTEOSA-N 1 2 301.390 1.877 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@](O)(c3ccc(F)cc3)C2)CCC1 ZINC000784353136 698931960 /nfs/dbraw/zinc/93/19/60/698931960.db2.gz NXFGIEKNEKCBDY-QGZVFWFLSA-N 1 2 317.364 1.281 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@](O)(c3ccc(F)cc3)C2)CCC1 ZINC000784353136 698931964 /nfs/dbraw/zinc/93/19/64/698931964.db2.gz NXFGIEKNEKCBDY-QGZVFWFLSA-N 1 2 317.364 1.281 20 30 DDEDLO C#CCOCCNC(=O)N1CCC(CCCn2cc[nH+]c2)CC1 ZINC000784543904 698946805 /nfs/dbraw/zinc/94/68/05/698946805.db2.gz APGASYQYJWOYHJ-UHFFFAOYSA-N 1 2 318.421 1.735 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)OC(=O)COc1ccccc1C#N ZINC000784917545 699047434 /nfs/dbraw/zinc/04/74/34/699047434.db2.gz FMJTXEHINXASOW-ZDUSSCGKSA-N 1 2 304.346 1.201 20 30 DDEDLO COC[C@@H](C#N)OC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000785675344 699091339 /nfs/dbraw/zinc/09/13/39/699091339.db2.gz FJMGFEJPWAZZCL-LLVKDONJSA-N 1 2 316.273 1.476 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1CC1=C(C(=O)OCC)[C@@H](C)NC(=O)N1 ZINC000785998181 699116223 /nfs/dbraw/zinc/11/62/23/699116223.db2.gz YBZJMTNLRJBFHD-VXGBXAGGSA-N 1 2 307.394 1.545 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1CC1=C(C(=O)OCC)[C@@H](C)NC(=O)N1 ZINC000785998181 699116226 /nfs/dbraw/zinc/11/62/26/699116226.db2.gz YBZJMTNLRJBFHD-VXGBXAGGSA-N 1 2 307.394 1.545 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(OC)c(NC(N)=O)c1 ZINC000787700395 699232082 /nfs/dbraw/zinc/23/20/82/699232082.db2.gz KIIRKTAIHISUTJ-ZDUSSCGKSA-N 1 2 316.361 1.222 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(OC)c(NC(N)=O)c1 ZINC000787700395 699232085 /nfs/dbraw/zinc/23/20/85/699232085.db2.gz KIIRKTAIHISUTJ-ZDUSSCGKSA-N 1 2 316.361 1.222 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)C(C)(C)c2c[nH+]c[nH]2)c1 ZINC000788286814 699297824 /nfs/dbraw/zinc/29/78/24/699297824.db2.gz CICNELWXLLQYJC-UHFFFAOYSA-N 1 2 324.384 1.766 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000726252902 699355183 /nfs/dbraw/zinc/35/51/83/699355183.db2.gz AAAKVCFDQBELSP-ZDUSSCGKSA-N 1 2 302.378 1.078 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000726252902 699355187 /nfs/dbraw/zinc/35/51/87/699355187.db2.gz AAAKVCFDQBELSP-ZDUSSCGKSA-N 1 2 302.378 1.078 20 30 DDEDLO C[C@H]1CCN(S(=O)(=O)CC(C)(C)C#N)C[C@H]1n1cc[nH+]c1 ZINC000790037065 699436174 /nfs/dbraw/zinc/43/61/74/699436174.db2.gz MAFHMYCYSBEENS-QWHCGFSZSA-N 1 2 310.423 1.646 20 30 DDEDLO CC(=NNc1[nH+]ccn1C)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000790305213 699453032 /nfs/dbraw/zinc/45/30/32/699453032.db2.gz FEGJIIUGQBHGFY-UHFFFAOYSA-N 1 2 321.406 1.507 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCc2ccc(C#N)cc2F)CCO1 ZINC000729880028 699490454 /nfs/dbraw/zinc/49/04/54/699490454.db2.gz SVZSMQKDLOBDOA-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCc2ccc(C#N)cc2F)CCO1 ZINC000729880028 699490455 /nfs/dbraw/zinc/49/04/55/699490455.db2.gz SVZSMQKDLOBDOA-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(NS(C)(=O)=O)cc1 ZINC000730095655 699500398 /nfs/dbraw/zinc/50/03/98/699500398.db2.gz ADQOUBCISSUAGD-AWEZNQCLSA-N 1 2 321.402 1.094 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(NS(C)(=O)=O)cc1 ZINC000730095655 699500399 /nfs/dbraw/zinc/50/03/99/699500399.db2.gz ADQOUBCISSUAGD-AWEZNQCLSA-N 1 2 321.402 1.094 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(-c2n[nH]c(C)n2)cc1 ZINC000732065221 699545321 /nfs/dbraw/zinc/54/53/21/699545321.db2.gz WFAKKBYNPBVUOD-HNNXBMFYSA-N 1 2 309.373 1.816 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(-c2n[nH]c(C)n2)cc1 ZINC000732065221 699545322 /nfs/dbraw/zinc/54/53/22/699545322.db2.gz WFAKKBYNPBVUOD-HNNXBMFYSA-N 1 2 309.373 1.816 20 30 DDEDLO C[C@H]1CC(=O)N(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793606503 699767175 /nfs/dbraw/zinc/76/71/75/699767175.db2.gz IVSSRZYRTXLHOL-GXTWGEPZSA-N 1 2 300.362 1.232 20 30 DDEDLO C[C@H]1CC(=O)N(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793606503 699767176 /nfs/dbraw/zinc/76/71/76/699767176.db2.gz IVSSRZYRTXLHOL-GXTWGEPZSA-N 1 2 300.362 1.232 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+]([C@@H](C(=O)OC)c2ccc(C#N)cc2)C1 ZINC000739914814 699782748 /nfs/dbraw/zinc/78/27/48/699782748.db2.gz LDYNYUDTVDBWFL-HUUCEWRRSA-N 1 2 315.373 1.230 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+]([C@@H](C(=O)OC)c2ccc(C#N)cc2)C1 ZINC000739914814 699782751 /nfs/dbraw/zinc/78/27/51/699782751.db2.gz LDYNYUDTVDBWFL-HUUCEWRRSA-N 1 2 315.373 1.230 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[NH+]1CCC(CCO)CC1 ZINC000739915512 699782868 /nfs/dbraw/zinc/78/28/68/699782868.db2.gz QBFMBDDZCWPBHP-INIZCTEOSA-N 1 2 302.374 1.867 20 30 DDEDLO C=CCNC(=O)[C@@H](C)OC(=O)[C@H](c1ccccc1)[N@@H+](C)CC ZINC000741150405 699818404 /nfs/dbraw/zinc/81/84/04/699818404.db2.gz FLRIHDQMWKJHGX-HIFRSBDPSA-N 1 2 304.390 1.913 20 30 DDEDLO C=CCNC(=O)[C@@H](C)OC(=O)[C@H](c1ccccc1)[N@H+](C)CC ZINC000741150405 699818407 /nfs/dbraw/zinc/81/84/07/699818407.db2.gz FLRIHDQMWKJHGX-HIFRSBDPSA-N 1 2 304.390 1.913 20 30 DDEDLO CC(=[NH+]N1CCOCC1)c1c(F)cccc1N1CCOCC1 ZINC000741159646 699818460 /nfs/dbraw/zinc/81/84/60/699818460.db2.gz BSGTZBDEYZGKBA-UHFFFAOYSA-N 1 2 307.369 1.719 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(C)oc3nc[nH]c(=O)c32)CC1 ZINC000744366107 699946742 /nfs/dbraw/zinc/94/67/42/699946742.db2.gz BNZMUEJZUWIVFG-UHFFFAOYSA-N 1 2 314.345 1.018 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1CCOC[C@H]1C[C@H](O)c1cccs1 ZINC000801926911 700355831 /nfs/dbraw/zinc/35/58/31/700355831.db2.gz YLJNNDAXXZIDHS-MCIONIFRSA-N 1 2 310.419 1.801 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1CCOC[C@H]1C[C@H](O)c1cccs1 ZINC000801926911 700355835 /nfs/dbraw/zinc/35/58/35/700355835.db2.gz YLJNNDAXXZIDHS-MCIONIFRSA-N 1 2 310.419 1.801 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H](C#N)[C@@H]([NH2+]CC2=CCCOC2)C1 ZINC000758030262 700681532 /nfs/dbraw/zinc/68/15/32/700681532.db2.gz PLZNKSZOQSULSW-KGLIPLIRSA-N 1 2 307.394 1.682 20 30 DDEDLO N#Cc1cccn(C[NH+]2CCC(OC[C@H]3CCOC3)CC2)c1=O ZINC000758249912 700694572 /nfs/dbraw/zinc/69/45/72/700694572.db2.gz REXRQUMTCGDJMN-AWEZNQCLSA-N 1 2 317.389 1.195 20 30 DDEDLO COC(=O)C(C)(C)[C@H]1CCC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758249448 700694693 /nfs/dbraw/zinc/69/46/93/700694693.db2.gz QEMBHTYWUKGGME-AWEZNQCLSA-N 1 2 317.389 1.589 20 30 DDEDLO COC(=O)C(C)(C)[C@H]1CCC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758249448 700694694 /nfs/dbraw/zinc/69/46/94/700694694.db2.gz QEMBHTYWUKGGME-AWEZNQCLSA-N 1 2 317.389 1.589 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(Cc2cc(F)ccc2C#N)CC1 ZINC000759345900 700747981 /nfs/dbraw/zinc/74/79/81/700747981.db2.gz UJSVRBORLUNOQR-NRFANRHFSA-N 1 2 309.410 1.193 20 30 DDEDLO COc1ccc(OC)c(CN2CC[NH+](C[C@H](O)CC#N)CC2)c1 ZINC000809572609 701670397 /nfs/dbraw/zinc/67/03/97/701670397.db2.gz QCVBYMPUPWNGIB-OAHLLOKOSA-N 1 2 319.405 1.096 20 30 DDEDLO COc1ccc(OC)c(C[NH+]2CCN(C[C@H](O)CC#N)CC2)c1 ZINC000809572609 701670399 /nfs/dbraw/zinc/67/03/99/701670399.db2.gz QCVBYMPUPWNGIB-OAHLLOKOSA-N 1 2 319.405 1.096 20 30 DDEDLO C#CCCC[N@@H+](C)Cc1nnnn1-c1cc(OC)cc(OC)c1 ZINC000766907313 701070572 /nfs/dbraw/zinc/07/05/72/701070572.db2.gz ZZILVLLNEBGXPS-UHFFFAOYSA-N 1 2 315.377 1.525 20 30 DDEDLO C#CCCC[N@H+](C)Cc1nnnn1-c1cc(OC)cc(OC)c1 ZINC000766907313 701070574 /nfs/dbraw/zinc/07/05/74/701070574.db2.gz ZZILVLLNEBGXPS-UHFFFAOYSA-N 1 2 315.377 1.525 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)O[C@H](C)C(=O)C(C)(C)C(=O)OC)CC1 ZINC000805453185 701382681 /nfs/dbraw/zinc/38/26/81/701382681.db2.gz ZELWUAQHRSXSFD-GFCCVEGCSA-N 1 2 323.389 1.032 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCC#Cc2ccc(Cl)cc2)C1 ZINC000805479172 701385098 /nfs/dbraw/zinc/38/50/98/701385098.db2.gz LHHVVIAGTAQQHI-HNNXBMFYSA-N 1 2 307.777 1.955 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCC#Cc2ccc(Cl)cc2)C1 ZINC000805479172 701385100 /nfs/dbraw/zinc/38/51/00/701385100.db2.gz LHHVVIAGTAQQHI-HNNXBMFYSA-N 1 2 307.777 1.955 20 30 DDEDLO CC(N=Nc1nc[nH]n1)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000807996428 701493697 /nfs/dbraw/zinc/49/36/97/701493697.db2.gz SAQIXPUMSMCQNH-AWEZNQCLSA-N 1 2 300.366 1.494 20 30 DDEDLO CC(N=Nc1nc[nH]n1)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000807996428 701493699 /nfs/dbraw/zinc/49/36/99/701493699.db2.gz SAQIXPUMSMCQNH-AWEZNQCLSA-N 1 2 300.366 1.494 20 30 DDEDLO N#Cc1c(C[N@@H+]2CC[C@H]3COC(=O)N[C@@H]3C2)cn2ccccc12 ZINC000830954602 706610349 /nfs/dbraw/zinc/61/03/49/706610349.db2.gz ZPINTMWOQNSTLY-SWLSCSKDSA-N 1 2 310.357 1.741 20 30 DDEDLO N#Cc1c(C[N@H+]2CC[C@H]3COC(=O)N[C@@H]3C2)cn2ccccc12 ZINC000830954602 706610351 /nfs/dbraw/zinc/61/03/51/706610351.db2.gz ZPINTMWOQNSTLY-SWLSCSKDSA-N 1 2 310.357 1.741 20 30 DDEDLO CCc1ccc([C@H](C#N)NC(=O)/C=C/C[NH+]2CCOCC2)cc1 ZINC000868356660 702080942 /nfs/dbraw/zinc/08/09/42/702080942.db2.gz CMJPENIWTZBAPL-IDOMTICXSA-N 1 2 313.401 1.818 20 30 DDEDLO C#C[C@H](CC)NC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000868537479 702185181 /nfs/dbraw/zinc/18/51/81/702185181.db2.gz CBRLGDRDJXGHSK-CQSZACIVSA-N 1 2 318.446 1.846 20 30 DDEDLO CC(=O)N1CCN(CC[NH2+][C@@H](C)c2cccc(C#N)c2O)CC1 ZINC000866233583 706656311 /nfs/dbraw/zinc/65/63/11/706656311.db2.gz WJHZXWSLRRYEDW-ZDUSSCGKSA-N 1 2 316.405 1.079 20 30 DDEDLO C[N@H+](CC(F)F)C1CCN(C(=O)c2cnccc2C#N)CC1 ZINC000813465798 702354072 /nfs/dbraw/zinc/35/40/72/702354072.db2.gz WGOQPGUHZYLPDP-UHFFFAOYSA-N 1 2 308.332 1.755 20 30 DDEDLO C[N@@H+](CC(F)F)C1CCN(C(=O)c2cnccc2C#N)CC1 ZINC000813465798 702354079 /nfs/dbraw/zinc/35/40/79/702354079.db2.gz WGOQPGUHZYLPDP-UHFFFAOYSA-N 1 2 308.332 1.755 20 30 DDEDLO C[C@H](C[NH+]1CCN(c2ccc(C#N)cc2)CC1)CS(C)(=O)=O ZINC000879585570 706728429 /nfs/dbraw/zinc/72/84/29/706728429.db2.gz JAZMYRCJEVPYAS-CQSZACIVSA-N 1 2 321.446 1.361 20 30 DDEDLO CC(=NNCCCn1cc[nH+]c1)c1ccc(-n2cnnn2)cc1 ZINC000848419115 703548541 /nfs/dbraw/zinc/54/85/41/703548541.db2.gz YZGPJTXGPZJNRZ-UHFFFAOYSA-N 1 2 310.365 1.263 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cccc3nsnc32)CC1 ZINC000831695988 706755325 /nfs/dbraw/zinc/75/53/25/706755325.db2.gz XUSQTRMGIZYGAJ-UHFFFAOYSA-N 1 2 316.386 1.970 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2cccc3c2OCCO3)nn1 ZINC000850136458 703706728 /nfs/dbraw/zinc/70/67/28/703706728.db2.gz UNIKJWZCAFRJJX-UHFFFAOYSA-N 1 2 300.362 1.915 20 30 DDEDLO COc1cccc([C@@H]2CN(C(=O)c3cccc(C#N)n3)CC[NH2+]2)c1 ZINC000870154100 703935893 /nfs/dbraw/zinc/93/58/93/703935893.db2.gz YPXIENMHGZPZMZ-KRWDZBQOSA-N 1 2 322.368 1.749 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH2+][C@@H](c2cccc(OC)c2)C1 ZINC000870154211 703935913 /nfs/dbraw/zinc/93/59/13/703935913.db2.gz HAUJNUUDMGBEHJ-ZACQAIPSSA-N 1 2 316.401 1.759 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC2=C(CCC(=O)N2)C1 ZINC000852301545 704003119 /nfs/dbraw/zinc/00/31/19/704003119.db2.gz OBFVJJWGJILWNN-GFCCVEGCSA-N 1 2 324.384 1.755 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC2=C(CCC(=O)N2)C1 ZINC000852301545 704003121 /nfs/dbraw/zinc/00/31/21/704003121.db2.gz OBFVJJWGJILWNN-GFCCVEGCSA-N 1 2 324.384 1.755 20 30 DDEDLO N#C[C@H](C(=O)C[N@@H+]1CC[C@@H]2C[C@@H]2C1)c1nc2ccccc2c(=O)[nH]1 ZINC000852636163 704088858 /nfs/dbraw/zinc/08/88/58/704088858.db2.gz PCGIEDCMYODXQP-YRGRVCCFSA-N 1 2 322.368 1.853 20 30 DDEDLO N#C[C@H](C(=O)C[N@H+]1CC[C@@H]2C[C@@H]2C1)c1nc2ccccc2c(=O)[nH]1 ZINC000852636163 704088861 /nfs/dbraw/zinc/08/88/61/704088861.db2.gz PCGIEDCMYODXQP-YRGRVCCFSA-N 1 2 322.368 1.853 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cccc(CC#N)c1 ZINC000879845240 706800248 /nfs/dbraw/zinc/80/02/48/706800248.db2.gz BZUVASZAOIYMOZ-MRXNPFEDSA-N 1 2 316.405 1.985 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cccc(CC#N)c1 ZINC000879845240 706800250 /nfs/dbraw/zinc/80/02/50/706800250.db2.gz BZUVASZAOIYMOZ-MRXNPFEDSA-N 1 2 316.405 1.985 20 30 DDEDLO C=CC[N@H+](CN1C(=O)[C@]2(CCCO2)[C@@H]1C1CC1)[C@@H](C)COC ZINC000853535152 704262138 /nfs/dbraw/zinc/26/21/38/704262138.db2.gz PUEUQRDYMZUWBY-QRTARXTBSA-N 1 2 308.422 1.637 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)[C@]2(CCCO2)[C@@H]1C1CC1)[C@@H](C)COC ZINC000853535152 704262139 /nfs/dbraw/zinc/26/21/39/704262139.db2.gz PUEUQRDYMZUWBY-QRTARXTBSA-N 1 2 308.422 1.637 20 30 DDEDLO C=CC[C@H]1CCC[N@@H+](Cn2nc(CCC(N)=O)n(C)c2=S)C1 ZINC000853537239 704262585 /nfs/dbraw/zinc/26/25/85/704262585.db2.gz RZZPSGPEBXHZOC-LBPRGKRZSA-N 1 2 323.466 1.615 20 30 DDEDLO C=CC[C@H]1CCC[N@H+](Cn2nc(CCC(N)=O)n(C)c2=S)C1 ZINC000853537239 704262587 /nfs/dbraw/zinc/26/25/87/704262587.db2.gz RZZPSGPEBXHZOC-LBPRGKRZSA-N 1 2 323.466 1.615 20 30 DDEDLO Cn1c[nH+]cc1CCN1C(=O)CS/C1=C\C(=O)C(C)(C)C ZINC000853641315 704291593 /nfs/dbraw/zinc/29/15/93/704291593.db2.gz KCTWNYCMUHRVPK-AUWJEWJLSA-N 1 2 307.419 1.995 20 30 DDEDLO C#C[C@H](NC(=O)N(C)CC[NH+]1CCOCC1)c1ccccc1 ZINC000820606185 704309328 /nfs/dbraw/zinc/30/93/28/704309328.db2.gz UUVPTOAPMQLHRN-INIZCTEOSA-N 1 2 301.390 1.335 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000859222925 704827207 /nfs/dbraw/zinc/82/72/07/704827207.db2.gz PBKKASNOOZCLLD-GJZGRUSLSA-N 1 2 312.373 1.858 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000859222925 704827211 /nfs/dbraw/zinc/82/72/11/704827211.db2.gz PBKKASNOOZCLLD-GJZGRUSLSA-N 1 2 312.373 1.858 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH2+][C@H](c2ccc(F)cc2)C1 ZINC000874889180 705217882 /nfs/dbraw/zinc/21/78/82/705217882.db2.gz JARPLSGLOUVTFQ-PMPSAXMXSA-N 1 2 304.365 1.890 20 30 DDEDLO C#CC[C@H](Cc1ccccc1)NC(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000875576066 705435150 /nfs/dbraw/zinc/43/51/50/705435150.db2.gz SSDIUECZHAPBMD-HZPDHXFCSA-N 1 2 308.385 1.742 20 30 DDEDLO CCCCCCCNC(=O)N(C)C[C@H](O)C[NH+]1CCOCC1 ZINC000875764289 705502945 /nfs/dbraw/zinc/50/29/45/705502945.db2.gz GEEISCSDGCETAO-HNNXBMFYSA-N 1 2 315.458 1.291 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)N[C@H]1Cc3c[nH+]cn3C1)C2 ZINC000876278894 705677000 /nfs/dbraw/zinc/67/70/00/705677000.db2.gz IPJJGFLSIZSKST-GJZGRUSLSA-N 1 2 307.357 1.146 20 30 DDEDLO COc1cc(C(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)ccc1C#N ZINC000826571260 705817166 /nfs/dbraw/zinc/81/71/66/705817166.db2.gz FVAXLMAJKCRGFM-QWHCGFSZSA-N 1 2 317.389 1.406 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)[C@H](O)c1ccc(C#N)cc1 ZINC000826704684 705852303 /nfs/dbraw/zinc/85/23/03/705852303.db2.gz VZVWEIZWJXTHQF-QZTJIDSGSA-N 1 2 321.380 1.895 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)[C@H](O)c1ccc(C#N)cc1 ZINC000826704684 705852309 /nfs/dbraw/zinc/85/23/09/705852309.db2.gz VZVWEIZWJXTHQF-QZTJIDSGSA-N 1 2 321.380 1.895 20 30 DDEDLO CN(C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)[C@H]1CCC[C@@H]1C#N ZINC000828142244 706126860 /nfs/dbraw/zinc/12/68/60/706126860.db2.gz SMECLBRQQMKXKU-WCVJEAGWSA-N 1 2 321.421 1.017 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[NH+](C2CCC2)CC1 ZINC000872450386 707418203 /nfs/dbraw/zinc/41/82/03/707418203.db2.gz XRKZTJAQSABYAX-UHFFFAOYSA-N 1 2 319.430 1.726 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC000829581592 706360377 /nfs/dbraw/zinc/36/03/77/706360377.db2.gz AZVXIRAJSRIAPR-HNNXBMFYSA-N 1 2 318.357 1.762 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC000829581592 706360380 /nfs/dbraw/zinc/36/03/80/706360380.db2.gz AZVXIRAJSRIAPR-HNNXBMFYSA-N 1 2 318.357 1.762 20 30 DDEDLO C#CC[C@H]1CCC[N@@H+](Cc2noc(CCCC(=O)OC)n2)C1 ZINC000829784750 706395672 /nfs/dbraw/zinc/39/56/72/706395672.db2.gz MVRMZTJCWCTHAP-ZDUSSCGKSA-N 1 2 305.378 1.801 20 30 DDEDLO C#CC[C@H]1CCC[N@H+](Cc2noc(CCCC(=O)OC)n2)C1 ZINC000829784750 706395676 /nfs/dbraw/zinc/39/56/76/706395676.db2.gz MVRMZTJCWCTHAP-ZDUSSCGKSA-N 1 2 305.378 1.801 20 30 DDEDLO CCC[N@H+](CC[C@@](C#N)(C(C)=O)c1ccccc1)CC(N)=O ZINC000878647788 706455764 /nfs/dbraw/zinc/45/57/64/706455764.db2.gz PXUDOQCOGOPYNN-QGZVFWFLSA-N 1 2 301.390 1.624 20 30 DDEDLO CCC[N@@H+](CC[C@@](C#N)(C(C)=O)c1ccccc1)CC(N)=O ZINC000878647788 706455765 /nfs/dbraw/zinc/45/57/65/706455765.db2.gz PXUDOQCOGOPYNN-QGZVFWFLSA-N 1 2 301.390 1.624 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2cn(C[C@@H]3CCCO3)nn2)cc1F ZINC000865594612 706472161 /nfs/dbraw/zinc/47/21/61/706472161.db2.gz XRWQSLJATQKTHX-HNNXBMFYSA-N 1 2 315.352 1.758 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@H](C)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000878818211 706507958 /nfs/dbraw/zinc/50/79/58/706507958.db2.gz NWADMRFGGOKJRR-ZIAGYGMSSA-N 1 2 316.405 1.742 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@H](C(F)(F)F)O[C@@H](C)C1 ZINC000880052325 706864847 /nfs/dbraw/zinc/86/48/47/706864847.db2.gz UMMZXAJRYDXEFY-VHSXEESVSA-N 1 2 323.315 1.040 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@H](C(F)(F)F)O[C@@H](C)C1 ZINC000880052325 706864851 /nfs/dbraw/zinc/86/48/51/706864851.db2.gz UMMZXAJRYDXEFY-VHSXEESVSA-N 1 2 323.315 1.040 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000834555553 707085481 /nfs/dbraw/zinc/08/54/81/707085481.db2.gz AHGMXBGUEULRKF-CABCVRRESA-N 1 2 318.421 1.597 20 30 DDEDLO CCOC(=O)C(C)(C)O[NH+]=Cc1cnc(N2CCCC2)nc1 ZINC000835006100 707155998 /nfs/dbraw/zinc/15/59/98/707155998.db2.gz XFLHUKMEHKJUEL-UHFFFAOYSA-N 1 2 306.366 1.769 20 30 DDEDLO C[C@@H](NC(=O)Nc1cc(CC#N)ccn1)[C@@H](C)[NH+]1CCOCC1 ZINC000871722495 707176843 /nfs/dbraw/zinc/17/68/43/707176843.db2.gz NSTQLVARSVPNDB-CHWSQXEVSA-N 1 2 317.393 1.378 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+][C@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872059255 707286406 /nfs/dbraw/zinc/28/64/06/707286406.db2.gz CFFGNJPNNRDJHV-SNVBAGLBSA-N 1 2 316.354 1.985 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@@H]1CCc2c[nH+]cn2C1)C(=O)OCC ZINC000881755742 707353851 /nfs/dbraw/zinc/35/38/51/707353851.db2.gz PDRQUUJPTBNMJQ-OCCSQVGLSA-N 1 2 305.378 1.460 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000882899438 707843702 /nfs/dbraw/zinc/84/37/02/707843702.db2.gz NAVNKHORWXDXTC-MRXNPFEDSA-N 1 2 313.401 1.917 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@@H+]2CCO[C@@H](C)C2)cc1 ZINC000838113280 707844845 /nfs/dbraw/zinc/84/48/45/707844845.db2.gz NZBQPOCNPBOZBI-AWEZNQCLSA-N 1 2 301.390 1.188 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@H+]2CCO[C@@H](C)C2)cc1 ZINC000838113280 707844849 /nfs/dbraw/zinc/84/48/49/707844849.db2.gz NZBQPOCNPBOZBI-AWEZNQCLSA-N 1 2 301.390 1.188 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000883214058 707955546 /nfs/dbraw/zinc/95/55/46/707955546.db2.gz WRARPAIFJNBUSD-OAHLLOKOSA-N 1 2 314.389 1.999 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1ccc(OCC)cc1 ZINC000884063665 708123076 /nfs/dbraw/zinc/12/30/76/708123076.db2.gz HVTDSTILJIKPSW-DOMZBBRYSA-N 1 2 320.389 1.709 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc(COCC)c1 ZINC000884120760 708148074 /nfs/dbraw/zinc/14/80/74/708148074.db2.gz VGZYANUSSDXEQX-AWEZNQCLSA-N 1 2 306.362 1.608 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)C[C@]3(CCSC3)C2=O)CC1 ZINC000884478917 708311615 /nfs/dbraw/zinc/31/16/15/708311615.db2.gz PSWHBQPOGZSMTQ-HNNXBMFYSA-N 1 2 324.446 1.229 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)N(C)C2CCCCC2)C1 ZINC000885514130 708564090 /nfs/dbraw/zinc/56/40/90/708564090.db2.gz QFZYYLWDMLUKKP-AWEZNQCLSA-N 1 2 313.467 1.183 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)N(C)C2CCCCC2)C1 ZINC000885514130 708564091 /nfs/dbraw/zinc/56/40/91/708564091.db2.gz QFZYYLWDMLUKKP-AWEZNQCLSA-N 1 2 313.467 1.183 20 30 DDEDLO Cc1n[nH]c(C)c1[C@H]1COCC[N@@H+]1C[C@H](O)CC1(C#N)CCC1 ZINC000886098558 708697748 /nfs/dbraw/zinc/69/77/48/708697748.db2.gz NRKWWAOACLMXLX-HUUCEWRRSA-N 1 2 318.421 1.845 20 30 DDEDLO Cc1n[nH]c(C)c1[C@H]1COCC[N@H+]1C[C@H](O)CC1(C#N)CCC1 ZINC000886098558 708697750 /nfs/dbraw/zinc/69/77/50/708697750.db2.gz NRKWWAOACLMXLX-HUUCEWRRSA-N 1 2 318.421 1.845 20 30 DDEDLO N#Cc1ccc(F)cc1C[NH+]1CCC(O)(COCCO)CC1 ZINC000886742944 708832620 /nfs/dbraw/zinc/83/26/20/708832620.db2.gz PCEYUXYIXLMSRX-UHFFFAOYSA-N 1 2 308.353 1.033 20 30 DDEDLO S=C(N[NH+]=Cc1ccccc1N1CCOCC1)NC1CC1 ZINC000912791124 713093881 /nfs/dbraw/zinc/09/38/81/713093881.db2.gz DDVDKCYXPVSIFR-UHFFFAOYSA-N 1 2 304.419 1.484 20 30 DDEDLO C/C(=C/C(=O)Nc1cc(C)nn1CCC#N)C[NH+]1CCOCC1 ZINC000900327594 709540802 /nfs/dbraw/zinc/54/08/02/709540802.db2.gz UTCKVHYOGWBSQZ-RAXLEYEMSA-N 1 2 317.393 1.322 20 30 DDEDLO C=CCCC[C@@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C(=O)OC ZINC000928318681 713174440 /nfs/dbraw/zinc/17/44/40/713174440.db2.gz XAKCAFUOSIIKKV-TZMCWYRMSA-N 1 2 305.378 1.460 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCC(=O)N=S(C)(C)=O)ccc1F ZINC000902699353 710868779 /nfs/dbraw/zinc/86/87/79/710868779.db2.gz KZCVDOXBMZYYDQ-UHFFFAOYSA-N 1 2 301.343 1.025 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000902885468 710944351 /nfs/dbraw/zinc/94/43/51/710944351.db2.gz AURLFGXWYMWPAQ-UHFFFAOYSA-N 1 2 303.391 1.345 20 30 DDEDLO N#CC[C@@H](CC(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1)c1ccccc1 ZINC000913453466 713222351 /nfs/dbraw/zinc/22/23/51/713222351.db2.gz RUAVPKCPNKRLHG-DOTOQJQBSA-N 1 2 323.400 1.970 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cccc(CC#N)c1 ZINC000903206451 711063858 /nfs/dbraw/zinc/06/38/58/711063858.db2.gz HGLRBVIPLOTSPP-OKILXGFUSA-N 1 2 305.403 1.220 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cccc(CC#N)c1 ZINC000903206451 711063862 /nfs/dbraw/zinc/06/38/62/711063862.db2.gz HGLRBVIPLOTSPP-OKILXGFUSA-N 1 2 305.403 1.220 20 30 DDEDLO C[C@H](CNC(=O)c1ccsc1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000912048403 711144201 /nfs/dbraw/zinc/14/42/01/711144201.db2.gz PTAOHLIXYUIQFC-UTUOFQBUSA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@H](CNC(=O)c1ccsc1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000912048403 711144206 /nfs/dbraw/zinc/14/42/06/711144206.db2.gz PTAOHLIXYUIQFC-UTUOFQBUSA-N 1 2 307.419 1.847 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CS(=O)(=O)NCC(F)(F)C[NH3+] ZINC000903517796 711163921 /nfs/dbraw/zinc/16/39/21/711163921.db2.gz MDISRYNETRESTC-UHFFFAOYSA-N 1 2 317.361 1.189 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(-c2ccc(C)c(F)c2)no1 ZINC000904081576 711376760 /nfs/dbraw/zinc/37/67/60/711376760.db2.gz NAIFLARRNGYVHE-LBPRGKRZSA-N 1 2 305.309 1.783 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc([C@@H]2CCC(F)(F)C2)no1 ZINC000904116607 711385870 /nfs/dbraw/zinc/38/58/70/711385870.db2.gz LMCMVHZTJHZVDT-BDAKNGLRSA-N 1 2 301.293 1.571 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)N1CC[N@H+](C)[C@@H](CO)C1 ZINC000913546857 713253362 /nfs/dbraw/zinc/25/33/62/713253362.db2.gz ZKFQCXHQJGSGBZ-CYBMUJFWSA-N 1 2 320.389 1.009 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)N1CC[N@@H+](C)[C@@H](CO)C1 ZINC000913546857 713253364 /nfs/dbraw/zinc/25/33/64/713253364.db2.gz ZKFQCXHQJGSGBZ-CYBMUJFWSA-N 1 2 320.389 1.009 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)nc1 ZINC000928715487 713470539 /nfs/dbraw/zinc/47/05/39/713470539.db2.gz DXBFNWVSKMMFDW-CYBMUJFWSA-N 1 2 310.361 1.322 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)nc1 ZINC000928722663 713471809 /nfs/dbraw/zinc/47/18/09/713471809.db2.gz JYTKTTIJDZBVBQ-CQSZACIVSA-N 1 2 310.361 1.253 20 30 DDEDLO N#CC1(c2ccccn2)CC[NH+](C[C@@H]2CCCS2(=O)=O)CC1 ZINC000930334371 713806750 /nfs/dbraw/zinc/80/67/50/713806750.db2.gz ZCJSIRCZDZHZRF-AWEZNQCLSA-N 1 2 319.430 1.516 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000931145362 714015181 /nfs/dbraw/zinc/01/51/81/714015181.db2.gz IPHUANFSZCWEAG-LBPRGKRZSA-N 1 2 317.345 1.382 20 30 DDEDLO CNC(=O)NC[C@@H]1COCC[N@@H+]1CCCCC1(C#N)CCC1 ZINC000931507569 714114512 /nfs/dbraw/zinc/11/45/12/714114512.db2.gz XWCKSCPNQOCPOX-CQSZACIVSA-N 1 2 308.426 1.480 20 30 DDEDLO CNC(=O)NC[C@@H]1COCC[N@H+]1CCCCC1(C#N)CCC1 ZINC000931507569 714114514 /nfs/dbraw/zinc/11/45/14/714114514.db2.gz XWCKSCPNQOCPOX-CQSZACIVSA-N 1 2 308.426 1.480 20 30 DDEDLO Cc1cc(C)c(CNC(=O)N2C[C@@H](C)N(CC#N)[C@@H](C)C2)c[nH+]1 ZINC000922779549 714145391 /nfs/dbraw/zinc/14/53/91/714145391.db2.gz XGQLBQOFRDLHQD-GASCZTMLSA-N 1 2 315.421 1.826 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CN(CC#N)CC[C@H]3C)ccn12 ZINC000966107456 717954482 /nfs/dbraw/zinc/95/44/82/717954482.db2.gz WLGNWFXAIRUVHY-DOMZBBRYSA-N 1 2 311.389 1.607 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Nc1sccc1C#N ZINC000931839708 714194890 /nfs/dbraw/zinc/19/48/90/714194890.db2.gz UUOJEKPBLWVQFU-YPMHNXCESA-N 1 2 320.418 1.947 20 30 DDEDLO C[C@@H](NC(=O)Nc1sccc1C#N)[C@H](C)[NH+]1CCOCC1 ZINC000931848312 714197200 /nfs/dbraw/zinc/19/72/00/714197200.db2.gz XQKVQWXZSAPQDQ-MNOVXSKESA-N 1 2 308.407 1.850 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)NCC1(C#N)CCCC1 ZINC000923060335 714225082 /nfs/dbraw/zinc/22/50/82/714225082.db2.gz RGDZYYJDJWQNIK-CQSZACIVSA-N 1 2 308.426 1.480 20 30 DDEDLO N#CC[C@H](NC(=O)NCCCCn1cc[nH+]c1)C(F)(F)F ZINC000932921973 714437958 /nfs/dbraw/zinc/43/79/58/714437958.db2.gz JOZVZDMMSMVCCP-JTQLQIEISA-N 1 2 303.288 1.807 20 30 DDEDLO C[N@H+](CC(=O)NC1(C#N)CCCCC1)Cc1cccc(=O)[nH]1 ZINC000933394685 714581692 /nfs/dbraw/zinc/58/16/92/714581692.db2.gz UFEKJUCLQJIRDS-UHFFFAOYSA-N 1 2 302.378 1.562 20 30 DDEDLO C[N@@H+](CC(=O)NC1(C#N)CCCCC1)Cc1cccc(=O)[nH]1 ZINC000933394685 714581693 /nfs/dbraw/zinc/58/16/93/714581693.db2.gz UFEKJUCLQJIRDS-UHFFFAOYSA-N 1 2 302.378 1.562 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOC[C@H]1C[C@@H]1CCOC1 ZINC000933677638 714646351 /nfs/dbraw/zinc/64/63/51/714646351.db2.gz WZZBXHRCGSZUEN-JKSUJKDBSA-N 1 2 308.422 1.314 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOC[C@H]1C[C@@H]1CCOC1 ZINC000933677638 714646352 /nfs/dbraw/zinc/64/63/52/714646352.db2.gz WZZBXHRCGSZUEN-JKSUJKDBSA-N 1 2 308.422 1.314 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOC[C@H]1C[C@H]1CCCO1 ZINC000933678718 714646773 /nfs/dbraw/zinc/64/67/73/714646773.db2.gz HTEXBUQPRLQWLF-HZPDHXFCSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOC[C@H]1C[C@H]1CCCO1 ZINC000933678718 714646774 /nfs/dbraw/zinc/64/67/74/714646774.db2.gz HTEXBUQPRLQWLF-HZPDHXFCSA-N 1 2 308.422 1.457 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2CCOC[C@@H]2CC2CCOCC2)c1 ZINC000934640091 714868313 /nfs/dbraw/zinc/86/83/13/714868313.db2.gz OOAZIMXHWSNGPN-KRWDZBQOSA-N 1 2 301.390 1.971 20 30 DDEDLO N#Cc1cncc(C[N@H+]2CCOC[C@@H]2CC2CCOCC2)c1 ZINC000934640091 714868314 /nfs/dbraw/zinc/86/83/14/714868314.db2.gz OOAZIMXHWSNGPN-KRWDZBQOSA-N 1 2 301.390 1.971 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCOC[C@H]1CC1CCOCC1 ZINC000934643638 714869369 /nfs/dbraw/zinc/86/93/69/714869369.db2.gz XYONRGQTZGKRKB-MRXNPFEDSA-N 1 2 323.437 1.266 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCOC[C@H]1CC1CCOCC1 ZINC000934643638 714869372 /nfs/dbraw/zinc/86/93/72/714869372.db2.gz XYONRGQTZGKRKB-MRXNPFEDSA-N 1 2 323.437 1.266 20 30 DDEDLO C#CCOCC[N@H+]1CCN(C(=O)OC(C)(C)C)C[C@@H]1COC ZINC000934853200 714917091 /nfs/dbraw/zinc/91/70/91/714917091.db2.gz OIJHGRZOVCRJLJ-CQSZACIVSA-N 1 2 312.410 1.204 20 30 DDEDLO C#CCOCC[N@@H+]1CCN(C(=O)OC(C)(C)C)C[C@@H]1COC ZINC000934853200 714917093 /nfs/dbraw/zinc/91/70/93/714917093.db2.gz OIJHGRZOVCRJLJ-CQSZACIVSA-N 1 2 312.410 1.204 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC000954663581 715460887 /nfs/dbraw/zinc/46/08/87/715460887.db2.gz XAEYWXKVLZIXBT-MRXNPFEDSA-N 1 2 304.394 1.155 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+]([C@H]2CCN(C(C)=O)C2)CC1 ZINC000956601022 715484543 /nfs/dbraw/zinc/48/45/43/715484543.db2.gz KFJMXIPHLMFDBC-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(OC)cccc2OC)C1 ZINC000957321292 715834589 /nfs/dbraw/zinc/83/45/89/715834589.db2.gz YBLTWJJXZWDRPX-UHFFFAOYSA-N 1 2 316.401 1.873 20 30 DDEDLO CC[N@@H+](Cc1csnn1)CC1CCN(C(=O)[C@H](C)C#N)CC1 ZINC000957692497 716004111 /nfs/dbraw/zinc/00/41/11/716004111.db2.gz VCPGWVHDAZTPLF-GFCCVEGCSA-N 1 2 321.450 1.758 20 30 DDEDLO CC[N@H+](Cc1csnn1)CC1CCN(C(=O)[C@H](C)C#N)CC1 ZINC000957692497 716004114 /nfs/dbraw/zinc/00/41/14/716004114.db2.gz VCPGWVHDAZTPLF-GFCCVEGCSA-N 1 2 321.450 1.758 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000939515093 716300002 /nfs/dbraw/zinc/30/00/02/716300002.db2.gz JRPRLALJJFAGKK-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccncc1 ZINC000958079893 716313679 /nfs/dbraw/zinc/31/36/79/716313679.db2.gz UBWHKOOIOOQSTN-ITGUQSILSA-N 1 2 322.206 1.650 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccncc1 ZINC000958079893 716313681 /nfs/dbraw/zinc/31/36/81/716313681.db2.gz UBWHKOOIOOQSTN-ITGUQSILSA-N 1 2 322.206 1.650 20 30 DDEDLO C[N@@H+](Cc1ncccn1)C[C@@H]1CCCN1C(=O)c1ccc(C#N)[nH]1 ZINC000960562077 716611438 /nfs/dbraw/zinc/61/14/38/716611438.db2.gz GJDXQKWMOFHPRT-AWEZNQCLSA-N 1 2 324.388 1.413 20 30 DDEDLO C[N@H+](Cc1ncccn1)C[C@@H]1CCCN1C(=O)c1ccc(C#N)[nH]1 ZINC000960562077 716611440 /nfs/dbraw/zinc/61/14/40/716611440.db2.gz GJDXQKWMOFHPRT-AWEZNQCLSA-N 1 2 324.388 1.413 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]2C[N@@H+](C)Cc2cnnn2C)C1 ZINC000960846815 716712956 /nfs/dbraw/zinc/71/29/56/716712956.db2.gz MFKMUTLJBYUYDM-AWEZNQCLSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]2C[N@H+](C)Cc2cnnn2C)C1 ZINC000960846815 716712960 /nfs/dbraw/zinc/71/29/60/716712960.db2.gz MFKMUTLJBYUYDM-AWEZNQCLSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC1(F)F ZINC000958800839 716750259 /nfs/dbraw/zinc/75/02/59/716750259.db2.gz LIVUSYLBEGVLIK-RGOKHQFPSA-N 1 2 321.165 1.597 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC1(F)F ZINC000958800839 716750266 /nfs/dbraw/zinc/75/02/66/716750266.db2.gz LIVUSYLBEGVLIK-RGOKHQFPSA-N 1 2 321.165 1.597 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C(F)=C3CCCC3)CC2)C1 ZINC000941375745 717164670 /nfs/dbraw/zinc/16/46/70/717164670.db2.gz ICHHENITASTINY-UHFFFAOYSA-N 1 2 305.397 1.246 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(C=C)cc3)CC2)C1 ZINC000941578796 717217247 /nfs/dbraw/zinc/21/72/47/717217247.db2.gz SIIAEUOGXLPELV-UHFFFAOYSA-N 1 2 309.413 1.405 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cscn4)C[C@H]32)cn1 ZINC000962327501 717407327 /nfs/dbraw/zinc/40/73/27/717407327.db2.gz TVIQVZLDCCXUBS-ZSHCYNCHSA-N 1 2 324.409 1.380 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cscn4)C[C@H]32)cn1 ZINC000962327501 717407329 /nfs/dbraw/zinc/40/73/29/717407329.db2.gz TVIQVZLDCCXUBS-ZSHCYNCHSA-N 1 2 324.409 1.380 20 30 DDEDLO C[C@@H]1CN(CC#N)CC[C@@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC000944121977 718258039 /nfs/dbraw/zinc/25/80/39/718258039.db2.gz NSLCXXXUOJPVCJ-HIFRSBDPSA-N 1 2 324.388 1.231 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)C[C@H]1C ZINC000948074056 719350936 /nfs/dbraw/zinc/35/09/36/719350936.db2.gz PZEWIAGOMZNHNC-UKRRQHHQSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)C[C@H]1C ZINC000948074056 719350938 /nfs/dbraw/zinc/35/09/38/719350938.db2.gz PZEWIAGOMZNHNC-UKRRQHHQSA-N 1 2 323.400 1.688 20 30 DDEDLO CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2c[nH+]ccc2N(C)C)C1 ZINC000968554895 719662635 /nfs/dbraw/zinc/66/26/35/719662635.db2.gz YKJJJMYUUQQSLI-GDBMZVCRSA-N 1 2 314.433 1.611 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cncnc1 ZINC000948910245 719780917 /nfs/dbraw/zinc/78/09/17/719780917.db2.gz MQPPASDVONERSY-GOSISDBHSA-N 1 2 320.396 1.829 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cncnc1 ZINC000948910245 719780921 /nfs/dbraw/zinc/78/09/21/719780921.db2.gz MQPPASDVONERSY-GOSISDBHSA-N 1 2 320.396 1.829 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3c(C)noc3C)CC2)C1 ZINC000949295674 719998716 /nfs/dbraw/zinc/99/87/16/719998716.db2.gz SLAAGDQRAVNQDX-UHFFFAOYSA-N 1 2 317.389 1.232 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3c(C)noc3C)CC2)C1 ZINC000949295674 719998720 /nfs/dbraw/zinc/99/87/20/719998720.db2.gz SLAAGDQRAVNQDX-UHFFFAOYSA-N 1 2 317.389 1.232 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cccc(OCC)n2)CC1 ZINC000949402219 720065395 /nfs/dbraw/zinc/06/53/95/720065395.db2.gz GGWDBAZVCMDLBG-UHFFFAOYSA-N 1 2 301.390 1.190 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@@H]3C(C)C)CC2)C1 ZINC000949504954 720129295 /nfs/dbraw/zinc/12/92/95/720129295.db2.gz YAOHOTBCPOECSZ-HZPDHXFCSA-N 1 2 304.434 1.605 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@@H]3C(C)C)CC2)C1 ZINC000949504954 720129296 /nfs/dbraw/zinc/12/92/96/720129296.db2.gz YAOHOTBCPOECSZ-HZPDHXFCSA-N 1 2 304.434 1.605 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(C)cc3)CC2)C1 ZINC000949517778 720136721 /nfs/dbraw/zinc/13/67/21/720136721.db2.gz CUQOGZWAGYJKQL-UHFFFAOYSA-N 1 2 312.413 1.935 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(C)cc3)CC2)C1 ZINC000949517778 720136722 /nfs/dbraw/zinc/13/67/22/720136722.db2.gz CUQOGZWAGYJKQL-UHFFFAOYSA-N 1 2 312.413 1.935 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3c[nH]cc3C)CC2)C1 ZINC000949526395 720140165 /nfs/dbraw/zinc/14/01/65/720140165.db2.gz SBCNEPZECUMZJV-UHFFFAOYSA-N 1 2 301.390 1.263 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3c[nH]cc3C)CC2)C1 ZINC000949526395 720140168 /nfs/dbraw/zinc/14/01/68/720140168.db2.gz SBCNEPZECUMZJV-UHFFFAOYSA-N 1 2 301.390 1.263 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC000969832655 720525554 /nfs/dbraw/zinc/52/55/54/720525554.db2.gz WNEUUQUSHFHFBI-MLGOLLRUSA-N 1 2 306.410 1.925 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC000969990135 720588724 /nfs/dbraw/zinc/58/87/24/720588724.db2.gz DDVSZGKEHSAGCT-OLZOCXBDSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cn(CCC(C)C)nn2)CC1 ZINC000950461945 720643551 /nfs/dbraw/zinc/64/35/51/720643551.db2.gz FWKIEYVZUWLAFN-UHFFFAOYSA-N 1 2 305.426 1.658 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC000970217559 720665568 /nfs/dbraw/zinc/66/55/68/720665568.db2.gz QDUCUNJGGDBYRC-RYUDHWBXSA-N 1 2 313.829 1.092 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C2C[NH+](Cc3ccn(C)n3)C2)cc1 ZINC000970254178 720680296 /nfs/dbraw/zinc/68/02/96/720680296.db2.gz XMDBOJYJFAAHBD-CQSZACIVSA-N 1 2 322.412 1.652 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3cncn3C2)C1 ZINC000950663922 720726184 /nfs/dbraw/zinc/72/61/84/720726184.db2.gz ZUAAFLYZOODNOK-CQSZACIVSA-N 1 2 300.406 1.002 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC000970423913 720757086 /nfs/dbraw/zinc/75/70/86/720757086.db2.gz OUUQGEFPCHQLQC-JSGCOSHPSA-N 1 2 319.836 1.985 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cnn(C)c2-n2cccc2)C1 ZINC000951103599 720918057 /nfs/dbraw/zinc/91/80/57/720918057.db2.gz WDCFIZPFBUXWKH-UHFFFAOYSA-N 1 2 313.405 1.543 20 30 DDEDLO C#CCOc1ccc(C(=O)N(CC)C2C[NH+](CCOC)C2)cc1 ZINC000952415064 721441293 /nfs/dbraw/zinc/44/12/93/721441293.db2.gz HWZHHDRQGIOEML-UHFFFAOYSA-N 1 2 316.401 1.491 20 30 DDEDLO C=CCCn1cc(C(=O)N(CC)C2C[NH+](CC(=C)C)C2)nn1 ZINC000952429420 721446982 /nfs/dbraw/zinc/44/69/82/721446982.db2.gz MTMVTTBQJLKCTB-UHFFFAOYSA-N 1 2 303.410 1.577 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc2ccccc2c(=O)o1 ZINC001038295762 735488126 /nfs/dbraw/zinc/48/81/26/735488126.db2.gz XXSXTXDGHHQKGY-CQSZACIVSA-N 1 2 310.353 1.620 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc2ccccc2c(=O)o1 ZINC001038295762 735488127 /nfs/dbraw/zinc/48/81/27/735488127.db2.gz XXSXTXDGHHQKGY-CQSZACIVSA-N 1 2 310.353 1.620 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H]([N@H+](C)Cc2ccon2)C1 ZINC001027585924 738436022 /nfs/dbraw/zinc/43/60/22/738436022.db2.gz SGBXPDSNMQRFKQ-OAGGEKHMSA-N 1 2 319.405 1.689 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H]([N@@H+](C)Cc2ccon2)C1 ZINC001027585924 738436025 /nfs/dbraw/zinc/43/60/25/738436025.db2.gz SGBXPDSNMQRFKQ-OAGGEKHMSA-N 1 2 319.405 1.689 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3cccs3)[C@H]2C1 ZINC001083190786 732482629 /nfs/dbraw/zinc/48/26/29/732482629.db2.gz YULPMFDBRPDOFU-HZSPNIEDSA-N 1 2 304.415 1.396 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3cccs3)[C@H]2C1 ZINC001083190786 732482633 /nfs/dbraw/zinc/48/26/33/732482633.db2.gz YULPMFDBRPDOFU-HZSPNIEDSA-N 1 2 304.415 1.396 20 30 DDEDLO Cc1nc(NC[C@@H](C)CNC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001104225993 732490823 /nfs/dbraw/zinc/49/08/23/732490823.db2.gz HOLOSUFBFDGDJV-LLVKDONJSA-N 1 2 312.377 1.392 20 30 DDEDLO CC(C)c1noc([C@@H](C)[NH2+]CCNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001125370134 732922111 /nfs/dbraw/zinc/92/21/11/732922111.db2.gz IDBBNQHBHGAECN-SNVBAGLBSA-N 1 2 316.365 1.473 20 30 DDEDLO CCOC(=O)[C@H](C#C[Si](C)(C)C)OCC[NH+]1CCOCC1 ZINC001210224966 733093523 /nfs/dbraw/zinc/09/35/23/733093523.db2.gz LXRGSTPEUIHCOX-AWEZNQCLSA-N 1 2 313.470 1.148 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)co1 ZINC001027831095 738707700 /nfs/dbraw/zinc/70/77/00/738707700.db2.gz MEVMWCARIBRDHO-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(C[N@H+]2CCC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)co1 ZINC001027831095 738707702 /nfs/dbraw/zinc/70/77/02/738707702.db2.gz MEVMWCARIBRDHO-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](CC)OC3CCCC3)[C@H]2C1 ZINC001083211521 733467020 /nfs/dbraw/zinc/46/70/20/733467020.db2.gz CRJZGCWXDNOYQY-YESZJQIVSA-N 1 2 320.433 1.269 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](CC)OC3CCCC3)[C@H]2C1 ZINC001083211521 733467023 /nfs/dbraw/zinc/46/70/23/733467023.db2.gz CRJZGCWXDNOYQY-YESZJQIVSA-N 1 2 320.433 1.269 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)C)no3)[C@H]2C1 ZINC001083214971 733565255 /nfs/dbraw/zinc/56/52/55/733565255.db2.gz ALIFTYXWHCEQHV-DZGCQCFKSA-N 1 2 305.378 1.509 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)C)no3)[C@H]2C1 ZINC001083214971 733565259 /nfs/dbraw/zinc/56/52/59/733565259.db2.gz ALIFTYXWHCEQHV-DZGCQCFKSA-N 1 2 305.378 1.509 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C(C)=C\CC)C2)nn1 ZINC001098630601 738728514 /nfs/dbraw/zinc/72/85/14/738728514.db2.gz UHNOHSBTOQWXHT-VFXTVZEFSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccnc2[nH]cnc21 ZINC001027911108 738796808 /nfs/dbraw/zinc/79/68/08/738796808.db2.gz QNYQFFJBXPPAQV-NSHDSACASA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccnc2[nH]cnc21 ZINC001027911108 738796810 /nfs/dbraw/zinc/79/68/10/738796810.db2.gz QNYQFFJBXPPAQV-NSHDSACASA-N 1 2 319.796 1.905 20 30 DDEDLO CCN(CCNC(=O)Cc1[nH]cc[nH+]1)c1snc(C)c1C#N ZINC001100589735 734783963 /nfs/dbraw/zinc/78/39/63/734783963.db2.gz OUISQQJKRJRUQY-UHFFFAOYSA-N 1 2 318.406 1.232 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](F)CC3CCCCC3)[C@H]2C1 ZINC001083248984 734810050 /nfs/dbraw/zinc/81/00/50/734810050.db2.gz HHFZCAFMXHOLIW-YESZJQIVSA-N 1 2 322.424 1.840 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](F)CC3CCCCC3)[C@H]2C1 ZINC001083248984 734810053 /nfs/dbraw/zinc/81/00/53/734810053.db2.gz HHFZCAFMXHOLIW-YESZJQIVSA-N 1 2 322.424 1.840 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1csc([C@@H]2CCCO2)n1 ZINC001038253366 735015587 /nfs/dbraw/zinc/01/55/87/735015587.db2.gz NOAHGXRUSINJSY-OCCSQVGLSA-N 1 2 319.430 1.822 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1csc([C@@H]2CCCO2)n1 ZINC001038253366 735015588 /nfs/dbraw/zinc/01/55/88/735015588.db2.gz NOAHGXRUSINJSY-OCCSQVGLSA-N 1 2 319.430 1.822 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnn(CCF)c1 ZINC001027985742 738910725 /nfs/dbraw/zinc/91/07/25/738910725.db2.gz NYRUXPFASXTQKC-CYBMUJFWSA-N 1 2 314.792 1.799 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnn(CCF)c1 ZINC001027985742 738910726 /nfs/dbraw/zinc/91/07/26/738910726.db2.gz NYRUXPFASXTQKC-CYBMUJFWSA-N 1 2 314.792 1.799 20 30 DDEDLO N#CCN1CCCC[C@@H]1CNC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC001024754975 736068750 /nfs/dbraw/zinc/06/87/50/736068750.db2.gz CXIHYONBSQJENQ-CQSZACIVSA-N 1 2 324.388 1.375 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001027995267 738918799 /nfs/dbraw/zinc/91/87/99/738918799.db2.gz JZHIEPILEPSPAB-CYBMUJFWSA-N 1 2 311.385 1.908 20 30 DDEDLO C=CC[N@H+]1CCC[C@@H]1CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001027995267 738918800 /nfs/dbraw/zinc/91/88/00/738918800.db2.gz JZHIEPILEPSPAB-CYBMUJFWSA-N 1 2 311.385 1.908 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104540406 736206129 /nfs/dbraw/zinc/20/61/29/736206129.db2.gz XTDOFUALLMXTME-LBPRGKRZSA-N 1 2 318.425 1.308 20 30 DDEDLO C[C@H](NC(=O)c1cc2c[nH+]ccc2[nH]1)[C@H]1CCCN(CC#N)C1 ZINC001025458935 736685664 /nfs/dbraw/zinc/68/56/64/736685664.db2.gz KFUZCIWCDNFZDE-STQMWFEESA-N 1 2 311.389 1.917 20 30 DDEDLO C[C@@H](CNc1ncc(C#N)cc1F)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001104826011 737252230 /nfs/dbraw/zinc/25/22/30/737252230.db2.gz PTPTZHJPPGUIOE-JTQLQIEISA-N 1 2 316.340 1.317 20 30 DDEDLO N#CCN[C@@H]1CC[C@@H]2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@H]12 ZINC001026296246 737314293 /nfs/dbraw/zinc/31/42/93/737314293.db2.gz VDVCSNBLJGKEEO-OSAQELSMSA-N 1 2 309.373 1.527 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CCC(C)C)C2)nn1 ZINC001098611925 737364744 /nfs/dbraw/zinc/36/47/44/737364744.db2.gz VNRLLJGWMZIMMT-OAHLLOKOSA-N 1 2 303.410 1.211 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)CSCC#N)C[C@H]2C)cc[nH+]1 ZINC001105027562 737467216 /nfs/dbraw/zinc/46/72/16/737467216.db2.gz ASNYDWBKBJYBIY-YPMHNXCESA-N 1 2 319.434 1.691 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C(CC)CC)C2)nn1 ZINC001098618586 737562220 /nfs/dbraw/zinc/56/22/20/737562220.db2.gz FQPRMTOGJFDHFY-HNNXBMFYSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1c(C)noc1C ZINC001032581652 751389966 /nfs/dbraw/zinc/38/99/66/751389966.db2.gz JRDAEVQUYVPRSE-KBPBESRZSA-N 1 2 301.390 1.487 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1c(C)noc1C ZINC001032581652 751389972 /nfs/dbraw/zinc/38/99/72/751389972.db2.gz JRDAEVQUYVPRSE-KBPBESRZSA-N 1 2 301.390 1.487 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)nc1 ZINC001027335167 738204906 /nfs/dbraw/zinc/20/49/06/738204906.db2.gz CRSTVGBFZMUVFO-UONOGXRCSA-N 1 2 301.394 1.368 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)nc1 ZINC001027335167 738204909 /nfs/dbraw/zinc/20/49/09/738204909.db2.gz CRSTVGBFZMUVFO-UONOGXRCSA-N 1 2 301.394 1.368 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)oc1C ZINC001027336640 738208972 /nfs/dbraw/zinc/20/89/72/738208972.db2.gz SLSBHIAPOYEXBK-SMDDNHRTSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)oc1C ZINC001027336640 738208974 /nfs/dbraw/zinc/20/89/74/738208974.db2.gz SLSBHIAPOYEXBK-SMDDNHRTSA-N 1 2 304.394 1.874 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+]Cc1nc(CC)no1 ZINC001149129433 738355376 /nfs/dbraw/zinc/35/53/76/738355376.db2.gz CARCFUULPKPOPW-UHFFFAOYSA-N 1 2 312.373 1.062 20 30 DDEDLO O=C(NC[C@H]1CCC[N@@H+]1CC#Cc1ccccc1)c1cnon1 ZINC001028215958 739178323 /nfs/dbraw/zinc/17/83/23/739178323.db2.gz CSMNJMOSZHRJBA-OAHLLOKOSA-N 1 2 310.357 1.316 20 30 DDEDLO O=C(NC[C@H]1CCC[N@H+]1CC#Cc1ccccc1)c1cnon1 ZINC001028215958 739178324 /nfs/dbraw/zinc/17/83/24/739178324.db2.gz CSMNJMOSZHRJBA-OAHLLOKOSA-N 1 2 310.357 1.316 20 30 DDEDLO CC(C)c1cnc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)o1 ZINC001075616059 739187322 /nfs/dbraw/zinc/18/73/22/739187322.db2.gz ICVVIGDXYFXEIE-MELADBBJSA-N 1 2 316.405 1.990 20 30 DDEDLO CC(C)c1cnc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)o1 ZINC001075616059 739187326 /nfs/dbraw/zinc/18/73/26/739187326.db2.gz ICVVIGDXYFXEIE-MELADBBJSA-N 1 2 316.405 1.990 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2nnc[nH]2)C1 ZINC001087439826 739226511 /nfs/dbraw/zinc/22/65/11/739226511.db2.gz UWSZXRJMGGYFRP-HUUCEWRRSA-N 1 2 311.389 1.579 20 30 DDEDLO C=CC[N@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2nnc[nH]2)C1 ZINC001087439826 739226514 /nfs/dbraw/zinc/22/65/14/739226514.db2.gz UWSZXRJMGGYFRP-HUUCEWRRSA-N 1 2 311.389 1.579 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001087439826 739226517 /nfs/dbraw/zinc/22/65/17/739226517.db2.gz UWSZXRJMGGYFRP-HUUCEWRRSA-N 1 2 311.389 1.579 20 30 DDEDLO C=CC[N@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001087439826 739226521 /nfs/dbraw/zinc/22/65/21/739226521.db2.gz UWSZXRJMGGYFRP-HUUCEWRRSA-N 1 2 311.389 1.579 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1C[N@H+](CC(=C)C)CCO1 ZINC001035370065 751434281 /nfs/dbraw/zinc/43/42/81/751434281.db2.gz GOWBQSMVKGKJQG-OAGGEKHMSA-N 1 2 308.422 1.361 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1C[N@@H+](CC(=C)C)CCO1 ZINC001035370065 751434286 /nfs/dbraw/zinc/43/42/86/751434286.db2.gz GOWBQSMVKGKJQG-OAGGEKHMSA-N 1 2 308.422 1.361 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@H](CC)C(C)C)C2)nn1 ZINC001105363069 739324945 /nfs/dbraw/zinc/32/49/45/739324945.db2.gz QLLPMLLYZYCZNH-AWEZNQCLSA-N 1 2 317.437 1.457 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001035373477 751436970 /nfs/dbraw/zinc/43/69/70/751436970.db2.gz VBILFEMJJMGGOE-OWCLPIDISA-N 1 2 301.390 1.188 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001035373477 751436973 /nfs/dbraw/zinc/43/69/73/751436973.db2.gz VBILFEMJJMGGOE-OWCLPIDISA-N 1 2 301.390 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cccnc1C(N)=O ZINC001028330210 739368090 /nfs/dbraw/zinc/36/80/90/739368090.db2.gz CNUNLTQVSMAILV-LLVKDONJSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cccnc1C(N)=O ZINC001028330210 739368095 /nfs/dbraw/zinc/36/80/95/739368095.db2.gz CNUNLTQVSMAILV-LLVKDONJSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnc(OCC)cn1 ZINC001028349948 739386721 /nfs/dbraw/zinc/38/67/21/739386721.db2.gz BQFHOSQUUNBDHE-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc(OCC)cn1 ZINC001028349948 739386723 /nfs/dbraw/zinc/38/67/23/739386723.db2.gz BQFHOSQUUNBDHE-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)s2)[C@H](OC)C1 ZINC001211766078 739514080 /nfs/dbraw/zinc/51/40/80/739514080.db2.gz QNOBVUXMUAAMRX-ZIAGYGMSSA-N 1 2 322.430 1.135 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)s2)[C@H](OC)C1 ZINC001211766078 739514083 /nfs/dbraw/zinc/51/40/83/739514083.db2.gz QNOBVUXMUAAMRX-ZIAGYGMSSA-N 1 2 322.430 1.135 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(CC)n2)C1 ZINC001035396924 751466817 /nfs/dbraw/zinc/46/68/17/751466817.db2.gz ALVRXZLUDWBCEA-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccc(CC)n2)C1 ZINC001035396924 751466819 /nfs/dbraw/zinc/46/68/19/751466819.db2.gz ALVRXZLUDWBCEA-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+][C@@H](C)c1nc(C(C)C)no1 ZINC001126382765 739661850 /nfs/dbraw/zinc/66/18/50/739661850.db2.gz BLSJUBOCHGMUDI-NHYWBVRUSA-N 1 2 310.398 1.287 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001059022967 739665682 /nfs/dbraw/zinc/66/56/82/739665682.db2.gz KNJANDREMAMLPF-NSHDSACASA-N 1 2 314.393 1.588 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnn2C(CC)CC)C1 ZINC001035400938 751469984 /nfs/dbraw/zinc/46/99/84/751469984.db2.gz FGGAFHRATWNJLK-HNNXBMFYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccnn2C(CC)CC)C1 ZINC001035400938 751469987 /nfs/dbraw/zinc/46/99/87/751469987.db2.gz FGGAFHRATWNJLK-HNNXBMFYSA-N 1 2 320.437 1.861 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101218323 739733179 /nfs/dbraw/zinc/73/31/79/739733179.db2.gz ZRARWIFOOGIQEA-CJNGLKHVSA-N 1 2 302.378 1.153 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101218323 739733184 /nfs/dbraw/zinc/73/31/84/739733184.db2.gz ZRARWIFOOGIQEA-CJNGLKHVSA-N 1 2 302.378 1.153 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ncccc2CC)C1 ZINC001035424558 751483274 /nfs/dbraw/zinc/48/32/74/751483274.db2.gz PSOPLTPKIFASMK-HNNXBMFYSA-N 1 2 301.390 1.098 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ncccc2CC)C1 ZINC001035424558 751483277 /nfs/dbraw/zinc/48/32/77/751483277.db2.gz PSOPLTPKIFASMK-HNNXBMFYSA-N 1 2 301.390 1.098 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2[nH]nc3ccc(C)cc32)C1 ZINC001035428264 751486395 /nfs/dbraw/zinc/48/63/95/751486395.db2.gz VMTXHRQLPKAICF-CYBMUJFWSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2[nH]nc3ccc(C)cc32)C1 ZINC001035428264 751486398 /nfs/dbraw/zinc/48/63/98/751486398.db2.gz VMTXHRQLPKAICF-CYBMUJFWSA-N 1 2 314.389 1.488 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccn(CC)c2C)C1 ZINC001035481326 751534946 /nfs/dbraw/zinc/53/49/46/751534946.db2.gz GOGAFEBONFTTDR-OAHLLOKOSA-N 1 2 305.422 1.823 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccn(CC)c2C)C1 ZINC001035481326 751534949 /nfs/dbraw/zinc/53/49/49/751534949.db2.gz GOGAFEBONFTTDR-OAHLLOKOSA-N 1 2 305.422 1.823 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)(C)C)nn1C ZINC001032604959 751557495 /nfs/dbraw/zinc/55/74/95/751557495.db2.gz ZQGSYMOBGCZAGJ-STQMWFEESA-N 1 2 300.406 1.250 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)(C)C)nn1C ZINC001032604959 751557501 /nfs/dbraw/zinc/55/75/01/751557501.db2.gz ZQGSYMOBGCZAGJ-STQMWFEESA-N 1 2 300.406 1.250 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CCN1CC#N ZINC001087720860 740720742 /nfs/dbraw/zinc/72/07/42/740720742.db2.gz PEOZFFONNPDTRW-MBNYWOFBSA-N 1 2 301.394 1.322 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3ccccc3c2)C1 ZINC001035523279 751601076 /nfs/dbraw/zinc/60/10/76/751601076.db2.gz PZKSVQVKGOVXCC-QGZVFWFLSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnc3ccccc3c2)C1 ZINC001035523279 751601080 /nfs/dbraw/zinc/60/10/80/751601080.db2.gz PZKSVQVKGOVXCC-QGZVFWFLSA-N 1 2 323.396 1.689 20 30 DDEDLO C[C@H](c1csnn1)[N@H+](C)C[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001029734385 741260785 /nfs/dbraw/zinc/26/07/85/741260785.db2.gz WOWJEDZSDQZSNU-TZMCWYRMSA-N 1 2 318.446 1.793 20 30 DDEDLO C[C@H](c1csnn1)[N@@H+](C)C[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001029734385 741260786 /nfs/dbraw/zinc/26/07/86/741260786.db2.gz WOWJEDZSDQZSNU-TZMCWYRMSA-N 1 2 318.446 1.793 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2coc(C3CCC3)n2)C1 ZINC001035573412 751627873 /nfs/dbraw/zinc/62/78/73/751627873.db2.gz HXTCMFVGSGTFEM-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2coc(C3CCC3)n2)C1 ZINC001035573412 751627881 /nfs/dbraw/zinc/62/78/81/751627881.db2.gz HXTCMFVGSGTFEM-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001029826822 741362923 /nfs/dbraw/zinc/36/29/23/741362923.db2.gz WBHDNBREHZCKIV-YOEHRIQHSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001029826822 741362929 /nfs/dbraw/zinc/36/29/29/741362929.db2.gz WBHDNBREHZCKIV-YOEHRIQHSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC)c(OC)c2)C1 ZINC001035596348 751652491 /nfs/dbraw/zinc/65/24/91/751652491.db2.gz YJNZMRJZHAUITQ-CQSZACIVSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC)c(OC)c2)C1 ZINC001035596348 751652494 /nfs/dbraw/zinc/65/24/94/751652494.db2.gz YJNZMRJZHAUITQ-CQSZACIVSA-N 1 2 320.389 1.320 20 30 DDEDLO C[N@@H+](Cc1cnsn1)CC1CCN(C(=O)C#CC2CC2)CC1 ZINC001029965390 741647620 /nfs/dbraw/zinc/64/76/20/741647620.db2.gz XMOQVUOLMVBWEW-UHFFFAOYSA-N 1 2 318.446 1.622 20 30 DDEDLO C[N@H+](Cc1cnsn1)CC1CCN(C(=O)C#CC2CC2)CC1 ZINC001029965390 741647622 /nfs/dbraw/zinc/64/76/22/741647622.db2.gz XMOQVUOLMVBWEW-UHFFFAOYSA-N 1 2 318.446 1.622 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C[C@@H](C)CCC)C2)nn1 ZINC001098637329 741685459 /nfs/dbraw/zinc/68/54/59/741685459.db2.gz KZPGKPCGAJQLKP-GOEBONIOSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2sccc2OC)C1 ZINC001035582540 751674798 /nfs/dbraw/zinc/67/47/98/751674798.db2.gz FRRLAHDCLLXZFJ-LBPRGKRZSA-N 1 2 308.403 1.211 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2sccc2OC)C1 ZINC001035582540 751674800 /nfs/dbraw/zinc/67/48/00/751674800.db2.gz FRRLAHDCLLXZFJ-LBPRGKRZSA-N 1 2 308.403 1.211 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(C)oc3ccccc32)[C@@H](O)C1 ZINC001083486739 742364552 /nfs/dbraw/zinc/36/45/52/742364552.db2.gz NCOASYCNPGHVEH-CABCVRRESA-N 1 2 312.369 1.539 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)oc3ccccc32)[C@@H](O)C1 ZINC001083486739 742364556 /nfs/dbraw/zinc/36/45/56/742364556.db2.gz NCOASYCNPGHVEH-CABCVRRESA-N 1 2 312.369 1.539 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2sc(Cl)nc2C)[C@@H](O)C1 ZINC001083492087 742371230 /nfs/dbraw/zinc/37/12/30/742371230.db2.gz KICJLSCPVCWLNJ-ZJUUUORDSA-N 1 2 315.826 1.456 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2sc(Cl)nc2C)[C@@H](O)C1 ZINC001083492087 742371234 /nfs/dbraw/zinc/37/12/34/742371234.db2.gz KICJLSCPVCWLNJ-ZJUUUORDSA-N 1 2 315.826 1.456 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)CCNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001076545857 742783286 /nfs/dbraw/zinc/78/32/86/742783286.db2.gz LKIRBYJSROYMEK-KBPBESRZSA-N 1 2 322.409 1.048 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)(C)CC)cc2)[C@@H](O)C1 ZINC001083553452 742976508 /nfs/dbraw/zinc/97/65/08/742976508.db2.gz DOMWBSRLWFAFSZ-SJORKVTESA-N 1 2 314.429 1.782 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)(C)CC)cc2)[C@@H](O)C1 ZINC001083553452 742976513 /nfs/dbraw/zinc/97/65/13/742976513.db2.gz DOMWBSRLWFAFSZ-SJORKVTESA-N 1 2 314.429 1.782 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001076797383 742995010 /nfs/dbraw/zinc/99/50/10/742995010.db2.gz GYSVARPNVLEHLW-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001076797383 742995013 /nfs/dbraw/zinc/99/50/13/742995013.db2.gz GYSVARPNVLEHLW-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO N#Cc1cc(C(=O)NC2CC[NH+](Cc3ncccn3)CC2)c[nH]1 ZINC001002638741 743300148 /nfs/dbraw/zinc/30/01/48/743300148.db2.gz HTNJELXQIHLYQO-UHFFFAOYSA-N 1 2 310.361 1.071 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@](C)(CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001108048479 743303836 /nfs/dbraw/zinc/30/38/36/743303836.db2.gz VRPKDCFBHDXFQA-QGZVFWFLSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CCC[N@H+]1CCO[C@](C)(CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001108048479 743303846 /nfs/dbraw/zinc/30/38/46/743303846.db2.gz VRPKDCFBHDXFQA-QGZVFWFLSA-N 1 2 318.421 1.295 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2CCCc3nn(C)cc32)C1 ZINC001043652280 743304821 /nfs/dbraw/zinc/30/48/21/743304821.db2.gz AXJGEKVTDYNMAQ-CQSZACIVSA-N 1 2 300.406 1.006 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001181890724 743380137 /nfs/dbraw/zinc/38/01/37/743380137.db2.gz PKPQFNWMGBTHSL-CYBMUJFWSA-N 1 2 308.382 1.051 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)COC(C)C)c2C1 ZINC001128273300 743442771 /nfs/dbraw/zinc/44/27/71/743442771.db2.gz CVKSRXCCTHXBRJ-UHFFFAOYSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)COC(C)C)c2C1 ZINC001128273300 743442773 /nfs/dbraw/zinc/44/27/73/743442773.db2.gz CVKSRXCCTHXBRJ-UHFFFAOYSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CO[C@H](C)CC)c2C1 ZINC001128306651 743529857 /nfs/dbraw/zinc/52/98/57/743529857.db2.gz MVTMVQNQAKZNEL-CQSZACIVSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CO[C@H](C)CC)c2C1 ZINC001128306651 743529864 /nfs/dbraw/zinc/52/98/64/743529864.db2.gz MVTMVQNQAKZNEL-CQSZACIVSA-N 1 2 320.437 1.706 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nn(C)cc2Cl)C1 ZINC001182423147 743611905 /nfs/dbraw/zinc/61/19/05/743611905.db2.gz SLBJHVGCDZWXPR-GFCCVEGCSA-N 1 2 308.813 1.423 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)o1 ZINC001182459453 743639449 /nfs/dbraw/zinc/63/94/49/743639449.db2.gz WOYZYCHRMQJVAR-DGCLKSJQSA-N 1 2 304.394 1.679 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@]12C[C@H]1CCC2 ZINC001083655180 743691910 /nfs/dbraw/zinc/69/19/10/743691910.db2.gz AMMPYWPESOFOBK-FTEYMNFISA-N 1 2 324.424 1.390 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@]12C[C@H]1CCC2 ZINC001083655180 743691915 /nfs/dbraw/zinc/69/19/15/743691915.db2.gz AMMPYWPESOFOBK-FTEYMNFISA-N 1 2 324.424 1.390 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)C(C)(C)C)CC2)C1 ZINC001105731057 743766616 /nfs/dbraw/zinc/76/66/16/743766616.db2.gz KVFUZQIDDQBXEZ-UHFFFAOYSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+]Cc1nonc1C ZINC001127124966 743807960 /nfs/dbraw/zinc/80/79/60/743807960.db2.gz MZGXGALMAJMTCH-UHFFFAOYSA-N 1 2 310.398 1.347 20 30 DDEDLO N#Cc1cccc2[nH]nc(NC(=O)CCc3ccc(N)[nH+]c3)c21 ZINC001183929875 743939088 /nfs/dbraw/zinc/93/90/88/743939088.db2.gz VEPOHMHETIOLAQ-UHFFFAOYSA-N 1 2 306.329 1.983 20 30 DDEDLO C[C@H]1CN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC[C@H]1CNCC#N ZINC001184652543 744078439 /nfs/dbraw/zinc/07/84/39/744078439.db2.gz NDIGFZIWDROPKQ-STQMWFEESA-N 1 2 311.389 1.774 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)c2ccn[nH]2)C1 ZINC001006809896 751890668 /nfs/dbraw/zinc/89/06/68/751890668.db2.gz XXCBZPIQLGOZPH-OAHLLOKOSA-N 1 2 309.373 1.676 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)c2ccn[nH]2)C1 ZINC001006809896 751890678 /nfs/dbraw/zinc/89/06/78/751890678.db2.gz XXCBZPIQLGOZPH-OAHLLOKOSA-N 1 2 309.373 1.676 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2csnn2)C1 ZINC001185035795 744148556 /nfs/dbraw/zinc/14/85/56/744148556.db2.gz RFCGKHKFDAQXQE-QWHCGFSZSA-N 1 2 306.435 1.983 20 30 DDEDLO C=CCCc1ccc(C(=O)N2CC[NH+](CC=C)CC2)c(=O)[nH]1 ZINC001185358017 744205239 /nfs/dbraw/zinc/20/52/39/744205239.db2.gz DMKLUYZISWZEHJ-UHFFFAOYSA-N 1 2 301.390 1.850 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](Cc2ccccc2)OC(C)=O)CC1 ZINC001186330516 744387213 /nfs/dbraw/zinc/38/72/13/744387213.db2.gz FQHSIACIKKJJBC-QGZVFWFLSA-N 1 2 316.401 1.491 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1nc(C)cs1 ZINC001110391290 744516560 /nfs/dbraw/zinc/51/65/60/744516560.db2.gz FVBALJHMAFQKQV-MCIONIFRSA-N 1 2 321.446 1.876 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1nc(C)cs1 ZINC001110391290 744516561 /nfs/dbraw/zinc/51/65/61/744516561.db2.gz FVBALJHMAFQKQV-MCIONIFRSA-N 1 2 321.446 1.876 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cc(C)nc3onc(C)c32)C1 ZINC001030755344 744577924 /nfs/dbraw/zinc/57/79/24/744577924.db2.gz WHHXNQLVXNAHRT-UHFFFAOYSA-N 1 2 300.362 1.830 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@@H]2CCN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001187841337 744616864 /nfs/dbraw/zinc/61/68/64/744616864.db2.gz WMMOXVSIKGUVJC-GOEBONIOSA-N 1 2 301.390 1.675 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@@H]2CCN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001187841337 744616868 /nfs/dbraw/zinc/61/68/68/744616868.db2.gz WMMOXVSIKGUVJC-GOEBONIOSA-N 1 2 301.390 1.675 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001187882862 744632077 /nfs/dbraw/zinc/63/20/77/744632077.db2.gz YCYHCVRWHXVIQW-HZSPNIEDSA-N 1 2 319.430 1.214 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001187882862 744632078 /nfs/dbraw/zinc/63/20/78/744632078.db2.gz YCYHCVRWHXVIQW-HZSPNIEDSA-N 1 2 319.430 1.214 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001077499641 744647820 /nfs/dbraw/zinc/64/78/20/744647820.db2.gz OYQYOJAAKCSGLE-KBPBESRZSA-N 1 2 320.437 1.576 20 30 DDEDLO Cc1csc(C[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188957862 744798969 /nfs/dbraw/zinc/79/89/69/744798969.db2.gz BHQCSWFOTOENKX-GFCCVEGCSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1csc(C[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188957862 744798971 /nfs/dbraw/zinc/79/89/71/744798971.db2.gz BHQCSWFOTOENKX-GFCCVEGCSA-N 1 2 324.475 1.741 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)CCCOC)C1 ZINC001189085588 744825843 /nfs/dbraw/zinc/82/58/43/744825843.db2.gz KJMKGOQRQLXLBR-LBPRGKRZSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)CCCOC)C1 ZINC001189085588 744825845 /nfs/dbraw/zinc/82/58/45/744825845.db2.gz KJMKGOQRQLXLBR-LBPRGKRZSA-N 1 2 319.243 1.854 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001189243632 744852293 /nfs/dbraw/zinc/85/22/93/744852293.db2.gz XCBBKXZGWRPGCC-HOCLYGCPSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001189243632 744852296 /nfs/dbraw/zinc/85/22/96/744852296.db2.gz XCBBKXZGWRPGCC-HOCLYGCPSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([N@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001189319370 744871571 /nfs/dbraw/zinc/87/15/71/744871571.db2.gz YHMBFQZXUGRKRW-INIZCTEOSA-N 1 2 302.422 1.828 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001189319370 744871575 /nfs/dbraw/zinc/87/15/75/744871575.db2.gz YHMBFQZXUGRKRW-INIZCTEOSA-N 1 2 302.422 1.828 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001189918581 745033989 /nfs/dbraw/zinc/03/39/89/745033989.db2.gz SDPBCDONANLINS-DYVFJYSZSA-N 1 2 321.421 1.597 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001189918581 745034001 /nfs/dbraw/zinc/03/40/01/745034001.db2.gz SDPBCDONANLINS-DYVFJYSZSA-N 1 2 321.421 1.597 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2nccs2)C1 ZINC001189918993 745035234 /nfs/dbraw/zinc/03/52/34/745035234.db2.gz XYAQXOHQWWTHAX-SWLSCSKDSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2nccs2)C1 ZINC001189918993 745035240 /nfs/dbraw/zinc/03/52/40/745035240.db2.gz XYAQXOHQWWTHAX-SWLSCSKDSA-N 1 2 309.435 1.503 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2ncccn2)C1 ZINC001189926936 745039959 /nfs/dbraw/zinc/03/99/59/745039959.db2.gz UTNSYKVODIHIAP-CABCVRRESA-N 1 2 300.406 1.874 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2ncccn2)C1 ZINC001189926936 745039963 /nfs/dbraw/zinc/03/99/63/745039963.db2.gz UTNSYKVODIHIAP-CABCVRRESA-N 1 2 300.406 1.874 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C1CC1)C2 ZINC001110422073 745101038 /nfs/dbraw/zinc/10/10/38/745101038.db2.gz UUPDQDJKIMELLK-MYPMTAMASA-N 1 2 305.422 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C1CC1)C2 ZINC001110422073 745101042 /nfs/dbraw/zinc/10/10/42/745101042.db2.gz UUPDQDJKIMELLK-MYPMTAMASA-N 1 2 305.422 1.056 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2[nH]nc(C(F)(F)F)c2C)C1 ZINC001031046183 745213680 /nfs/dbraw/zinc/21/36/80/745213680.db2.gz AOYBQVPHPXFEBN-UHFFFAOYSA-N 1 2 302.300 1.727 20 30 DDEDLO CCN(C(=O)c1ccc(C#CC(C)(C)O)cc1)C1C[NH+](C)C1 ZINC001191175762 745450986 /nfs/dbraw/zinc/45/09/86/745450986.db2.gz MMAQFKRSWICVPE-UHFFFAOYSA-N 1 2 300.402 1.585 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2cc(C)cn2)[C@H]1C ZINC000993080091 745487852 /nfs/dbraw/zinc/48/78/52/745487852.db2.gz NFWWLMFDDPBRJV-ZIAGYGMSSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2cc(C)cn2)[C@H]1C ZINC000993080091 745487854 /nfs/dbraw/zinc/48/78/54/745487854.db2.gz NFWWLMFDDPBRJV-ZIAGYGMSSA-N 1 2 310.829 1.913 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191715132 745581482 /nfs/dbraw/zinc/58/14/82/745581482.db2.gz QVVATRQEMICQLE-ZIAGYGMSSA-N 1 2 305.403 1.247 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191715132 745581487 /nfs/dbraw/zinc/58/14/87/745581487.db2.gz QVVATRQEMICQLE-ZIAGYGMSSA-N 1 2 305.403 1.247 20 30 DDEDLO Cc1nc(NCC[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001106426011 745685413 /nfs/dbraw/zinc/68/54/13/745685413.db2.gz AFSISJCTUXBSPQ-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2nnn(C)c2C)[C@@H]1C ZINC000993171159 745704236 /nfs/dbraw/zinc/70/42/36/745704236.db2.gz ABOWADMRFOYOSN-CMPLNLGQSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2nnn(C)c2C)[C@@H]1C ZINC000993171159 745704239 /nfs/dbraw/zinc/70/42/39/745704239.db2.gz ABOWADMRFOYOSN-CMPLNLGQSA-N 1 2 311.817 1.459 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)cn1 ZINC001007153961 752039689 /nfs/dbraw/zinc/03/96/89/752039689.db2.gz YKHVKQBWPGOUHS-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)cn1 ZINC001007153961 752039698 /nfs/dbraw/zinc/03/96/98/752039698.db2.gz YKHVKQBWPGOUHS-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2cccc(OCCC)c2)C1 ZINC001031223586 745882826 /nfs/dbraw/zinc/88/28/26/745882826.db2.gz LPFIKDZSVWMYNO-UHFFFAOYSA-N 1 2 300.402 1.842 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H]2CCCc3sc(C)nc32)C1 ZINC001031245619 745988632 /nfs/dbraw/zinc/98/86/32/745988632.db2.gz DRFRMNPIRPJAAB-ZDUSSCGKSA-N 1 2 303.431 1.695 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(C)n1 ZINC001110459651 746109073 /nfs/dbraw/zinc/10/90/73/746109073.db2.gz VLNDMRYCKXUBEP-ZACQAIPSSA-N 1 2 314.433 1.835 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(C)n1 ZINC001110459651 746109077 /nfs/dbraw/zinc/10/90/77/746109077.db2.gz VLNDMRYCKXUBEP-ZACQAIPSSA-N 1 2 314.433 1.835 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3n[nH]cc3F)C2)s1 ZINC001015669814 746170226 /nfs/dbraw/zinc/17/02/26/746170226.db2.gz HUXZGTGMLZAEDK-SECBINFHSA-N 1 2 319.365 1.486 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3n[nH]cc3F)C2)s1 ZINC001015669814 746170229 /nfs/dbraw/zinc/17/02/29/746170229.db2.gz HUXZGTGMLZAEDK-SECBINFHSA-N 1 2 319.365 1.486 20 30 DDEDLO Cn1cnc(C(=O)N[C@H]2CCC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001007273268 752077545 /nfs/dbraw/zinc/07/75/45/752077545.db2.gz NYRUOXWXUBPMSL-INIZCTEOSA-N 1 2 323.400 1.061 20 30 DDEDLO Cn1cnc(C(=O)N[C@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001007273268 752077551 /nfs/dbraw/zinc/07/75/51/752077551.db2.gz NYRUOXWXUBPMSL-INIZCTEOSA-N 1 2 323.400 1.061 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2CCCCC2)CC1 ZINC001194681738 746437330 /nfs/dbraw/zinc/43/73/30/746437330.db2.gz IQFZTLJLLYAGKV-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2CCCCC2)CC1 ZINC001194681738 746437333 /nfs/dbraw/zinc/43/73/33/746437333.db2.gz IQFZTLJLLYAGKV-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cncn2C)[C@@H]1C ZINC000994208324 746487561 /nfs/dbraw/zinc/48/75/61/746487561.db2.gz MEOFBBSMOHTHTP-JSGCOSHPSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cncn2C)[C@@H]1C ZINC000994208324 746487563 /nfs/dbraw/zinc/48/75/63/746487563.db2.gz MEOFBBSMOHTHTP-JSGCOSHPSA-N 1 2 310.829 1.684 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)NC2CCCC2)CC1 ZINC001195191087 746541192 /nfs/dbraw/zinc/54/11/92/746541192.db2.gz AWARIZGYWHGSJA-UHFFFAOYSA-N 1 2 307.438 1.546 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)NC2CCCC2)CC1 ZINC001195191087 746541193 /nfs/dbraw/zinc/54/11/93/746541193.db2.gz AWARIZGYWHGSJA-UHFFFAOYSA-N 1 2 307.438 1.546 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@H]1C ZINC000994301179 746583883 /nfs/dbraw/zinc/58/38/83/746583883.db2.gz QFXLFFFSZLPKEW-YPMHNXCESA-N 1 2 321.812 1.998 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@H]1C ZINC000994301179 746583890 /nfs/dbraw/zinc/58/38/90/746583890.db2.gz QFXLFFFSZLPKEW-YPMHNXCESA-N 1 2 321.812 1.998 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001195467939 746617178 /nfs/dbraw/zinc/61/71/78/746617178.db2.gz YEVZGXBVUGFFKL-RTBURBONSA-N 1 2 324.424 1.393 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001195467939 746617179 /nfs/dbraw/zinc/61/71/79/746617179.db2.gz YEVZGXBVUGFFKL-RTBURBONSA-N 1 2 324.424 1.393 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)C2CC2)CC1 ZINC001195683250 746671551 /nfs/dbraw/zinc/67/15/51/746671551.db2.gz YFNABKQKOCMXHG-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)C2CC2)CC1 ZINC001195683250 746671552 /nfs/dbraw/zinc/67/15/52/746671552.db2.gz YFNABKQKOCMXHG-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)C2CC2)CC1 ZINC001195683251 746671656 /nfs/dbraw/zinc/67/16/56/746671656.db2.gz YFNABKQKOCMXHG-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)C2CC2)CC1 ZINC001195683251 746671659 /nfs/dbraw/zinc/67/16/59/746671659.db2.gz YFNABKQKOCMXHG-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+](CCOCC(F)(F)F)CC1 ZINC001195754320 746686595 /nfs/dbraw/zinc/68/65/95/746686595.db2.gz LNDZBPLDKIADCM-UHFFFAOYSA-N 1 2 320.355 1.759 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+](CCOCC(F)(F)F)CC1 ZINC001195754320 746686598 /nfs/dbraw/zinc/68/65/98/746686598.db2.gz LNDZBPLDKIADCM-UHFFFAOYSA-N 1 2 320.355 1.759 20 30 DDEDLO CCCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195780488 746702805 /nfs/dbraw/zinc/70/28/05/746702805.db2.gz CWMCTQFPARZWHR-HNNXBMFYSA-N 1 2 321.465 1.485 20 30 DDEDLO CCCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195780488 746702809 /nfs/dbraw/zinc/70/28/09/746702809.db2.gz CWMCTQFPARZWHR-HNNXBMFYSA-N 1 2 321.465 1.485 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)C2(C)CC2)CC1 ZINC001195906756 746733207 /nfs/dbraw/zinc/73/32/07/746733207.db2.gz CEZBEWXKLCFQCI-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)C2(C)CC2)CC1 ZINC001195906756 746733211 /nfs/dbraw/zinc/73/32/11/746733211.db2.gz CEZBEWXKLCFQCI-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195932128 746739241 /nfs/dbraw/zinc/73/92/41/746739241.db2.gz GWOZTRRXOVUYQC-IIAWOOMASA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195932128 746739244 /nfs/dbraw/zinc/73/92/44/746739244.db2.gz GWOZTRRXOVUYQC-IIAWOOMASA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCCC23CC3)CC1 ZINC001196282911 746827583 /nfs/dbraw/zinc/82/75/83/746827583.db2.gz ZHHVZUKMLVTPRP-OAHLLOKOSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCCC23CC3)CC1 ZINC001196282911 746827590 /nfs/dbraw/zinc/82/75/90/746827590.db2.gz ZHHVZUKMLVTPRP-OAHLLOKOSA-N 1 2 319.449 1.403 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cccc(F)c2)C[C@H]1NC(=O)C#CC(C)C ZINC001212234140 746896588 /nfs/dbraw/zinc/89/65/88/746896588.db2.gz OFQYNKKSQPFKLE-IAGOWNOFSA-N 1 2 318.392 1.801 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cccc(F)c2)C[C@H]1NC(=O)C#CC(C)C ZINC001212234140 746896594 /nfs/dbraw/zinc/89/65/94/746896594.db2.gz OFQYNKKSQPFKLE-IAGOWNOFSA-N 1 2 318.392 1.801 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC)Cc2cccc(C)c2)C1 ZINC001196710117 746938932 /nfs/dbraw/zinc/93/89/32/746938932.db2.gz RGRKJTWVHLPDMV-KZNAEPCWSA-N 1 2 314.429 1.358 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC)Cc2cccc(C)c2)C1 ZINC001196710117 746938936 /nfs/dbraw/zinc/93/89/36/746938936.db2.gz RGRKJTWVHLPDMV-KZNAEPCWSA-N 1 2 314.429 1.358 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NCC(C)(C)C)CC1 ZINC001196731387 746951777 /nfs/dbraw/zinc/95/17/77/746951777.db2.gz AEJMOLBOOWQEEU-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)NCC(C)(C)C)CC1 ZINC001196731387 746951780 /nfs/dbraw/zinc/95/17/80/746951780.db2.gz AEJMOLBOOWQEEU-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@]2(C)C=CCC2)CC1 ZINC001196789516 746962703 /nfs/dbraw/zinc/96/27/03/746962703.db2.gz AKONRGBIGNEZSB-MAUKXSAKSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@]2(C)C=CCC2)CC1 ZINC001196789516 746962708 /nfs/dbraw/zinc/96/27/08/746962708.db2.gz AKONRGBIGNEZSB-MAUKXSAKSA-N 1 2 317.433 1.015 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001196854315 746991724 /nfs/dbraw/zinc/99/17/24/746991724.db2.gz PWQKPBBWBPYHKW-HUUCEWRRSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001196854315 746991728 /nfs/dbraw/zinc/99/17/28/746991728.db2.gz PWQKPBBWBPYHKW-HUUCEWRRSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001197036481 747045610 /nfs/dbraw/zinc/04/56/10/747045610.db2.gz FMMRPUODGIYMPI-GOSISDBHSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001197036481 747045617 /nfs/dbraw/zinc/04/56/17/747045617.db2.gz FMMRPUODGIYMPI-GOSISDBHSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)C(C)(F)F)CC1 ZINC001197179548 747077775 /nfs/dbraw/zinc/07/77/75/747077775.db2.gz AZWFPWSFIQFCFF-LBPRGKRZSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)C(C)(F)F)CC1 ZINC001197179548 747077778 /nfs/dbraw/zinc/07/77/78/747077778.db2.gz AZWFPWSFIQFCFF-LBPRGKRZSA-N 1 2 317.380 1.114 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@@H](NCC#N)[C@@H](C)C2)c[nH+]1 ZINC001036077775 752169629 /nfs/dbraw/zinc/16/96/29/752169629.db2.gz PKWNJHLSMQYIIK-GXTWGEPZSA-N 1 2 303.410 1.277 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)C2CCCC2)CC1 ZINC001197768325 747260580 /nfs/dbraw/zinc/26/05/80/747260580.db2.gz LVYIAYHJZXDRDI-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)C2CCCC2)CC1 ZINC001197768325 747260583 /nfs/dbraw/zinc/26/05/83/747260583.db2.gz LVYIAYHJZXDRDI-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc(COC)c2)C1 ZINC001108057295 747291983 /nfs/dbraw/zinc/29/19/83/747291983.db2.gz FTBIVJYBHKLUKF-GOSISDBHSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc(COC)c2)C1 ZINC001108057295 747291985 /nfs/dbraw/zinc/29/19/85/747291985.db2.gz FTBIVJYBHKLUKF-GOSISDBHSA-N 1 2 318.417 1.840 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)[C@@H]3CC3(F)F)C2)c(F)c1 ZINC001031656319 747325425 /nfs/dbraw/zinc/32/54/25/747325425.db2.gz YBNXRSRASNIAKR-ZDUSSCGKSA-N 1 2 323.318 1.901 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1NC(=O)C#CC1CC1 ZINC001212250909 747356598 /nfs/dbraw/zinc/35/65/98/747356598.db2.gz KUQZFGMAOYWXFA-HUUCEWRRSA-N 1 2 319.430 1.180 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1NC(=O)C#CC1CC1 ZINC001212250909 747356601 /nfs/dbraw/zinc/35/66/01/747356601.db2.gz KUQZFGMAOYWXFA-HUUCEWRRSA-N 1 2 319.430 1.180 20 30 DDEDLO C[C@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC[C@H]1NCC#N ZINC001036126413 752198515 /nfs/dbraw/zinc/19/85/15/752198515.db2.gz QBJRFOAUVAPHOW-WMLDXEAASA-N 1 2 323.400 1.836 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C)nc2)[C@@H](O)C1 ZINC001090073509 748062935 /nfs/dbraw/zinc/06/29/35/748062935.db2.gz GFJUBAUDTMRPTM-KBPBESRZSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C)nc2)[C@@H](O)C1 ZINC001090073509 748062940 /nfs/dbraw/zinc/06/29/40/748062940.db2.gz GFJUBAUDTMRPTM-KBPBESRZSA-N 1 2 309.797 1.308 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cncn3C)C2)cc1 ZINC001031892617 748138969 /nfs/dbraw/zinc/13/89/69/748138969.db2.gz LSTIHEJELGJBED-UHFFFAOYSA-N 1 2 308.385 1.263 20 30 DDEDLO C=CCNC(=S)N(C)C[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001200293512 748192914 /nfs/dbraw/zinc/19/29/14/748192914.db2.gz XSDPCXHIHFKXRY-MRXNPFEDSA-N 1 2 319.474 1.880 20 30 DDEDLO C=CCNC(=S)N(C)C[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001200293512 748192917 /nfs/dbraw/zinc/19/29/17/748192917.db2.gz XSDPCXHIHFKXRY-MRXNPFEDSA-N 1 2 319.474 1.880 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccn(C(CC)CC)n2)[C@@H](O)C1 ZINC001083833266 748370170 /nfs/dbraw/zinc/37/01/70/748370170.db2.gz RJONKGJXMCMWTP-CVEARBPZSA-N 1 2 318.421 1.042 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccn(C(CC)CC)n2)[C@@H](O)C1 ZINC001083833266 748370176 /nfs/dbraw/zinc/37/01/76/748370176.db2.gz RJONKGJXMCMWTP-CVEARBPZSA-N 1 2 318.421 1.042 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)ncn1 ZINC001004417171 748421560 /nfs/dbraw/zinc/42/15/60/748421560.db2.gz ZWGRXHSQXXJDDM-MRXNPFEDSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)ncn1 ZINC001004417171 748421563 /nfs/dbraw/zinc/42/15/63/748421563.db2.gz ZWGRXHSQXXJDDM-MRXNPFEDSA-N 1 2 313.405 1.625 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)CO[C@H]2CCOC2)CC1 ZINC001004429720 748425774 /nfs/dbraw/zinc/42/57/74/748425774.db2.gz OELYAOJJGUNMLK-HOTGVXAUSA-N 1 2 321.421 1.018 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)CO[C@H]2CCOC2)CC1 ZINC001004429720 748425777 /nfs/dbraw/zinc/42/57/77/748425777.db2.gz OELYAOJJGUNMLK-HOTGVXAUSA-N 1 2 321.421 1.018 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001089871388 748510066 /nfs/dbraw/zinc/51/00/66/748510066.db2.gz YNXBLWJKAWTJCI-UKMLZYKCSA-N 1 2 300.406 1.307 20 30 DDEDLO C=CC[N@H+]1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001089871388 748510076 /nfs/dbraw/zinc/51/00/76/748510076.db2.gz YNXBLWJKAWTJCI-UKMLZYKCSA-N 1 2 300.406 1.307 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2csc(=O)[nH]2)CC1 ZINC001004519508 748519278 /nfs/dbraw/zinc/51/92/78/748519278.db2.gz DDFPDEYKQGHZQK-ZDUSSCGKSA-N 1 2 320.418 1.689 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2csc(=O)[nH]2)CC1 ZINC001004519508 748519282 /nfs/dbraw/zinc/51/92/82/748519282.db2.gz DDFPDEYKQGHZQK-ZDUSSCGKSA-N 1 2 320.418 1.689 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[NH2+]Cc1nnc(Cc2ccccc2)o1 ZINC001124903474 748631446 /nfs/dbraw/zinc/63/14/46/748631446.db2.gz QQMXUDADXGISPO-GFCCVEGCSA-N 1 2 313.361 1.026 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)noc2C2CC2)C1 ZINC001108078791 748632302 /nfs/dbraw/zinc/63/23/02/748632302.db2.gz UBALNHYNCQNSFD-QGZVFWFLSA-N 1 2 319.405 1.867 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)noc2C2CC2)C1 ZINC001108078791 748632309 /nfs/dbraw/zinc/63/23/09/748632309.db2.gz UBALNHYNCQNSFD-QGZVFWFLSA-N 1 2 319.405 1.867 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cc(C)no3)C2)cn1 ZINC001032136827 748729314 /nfs/dbraw/zinc/72/93/14/748729314.db2.gz IFNCPHQMYGBJFF-UHFFFAOYSA-N 1 2 310.357 1.221 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+][C@@H](C)c1nc(C)no1)OCC ZINC001128540452 748762114 /nfs/dbraw/zinc/76/21/14/748762114.db2.gz WHGKLEKSQUAYJR-AAEUAGOBSA-N 1 2 310.398 1.516 20 30 DDEDLO Cc1nc(N2CC[C@@H](CNC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001061571434 748816212 /nfs/dbraw/zinc/81/62/12/748816212.db2.gz ZPDAIGVBELWRBZ-LBPRGKRZSA-N 1 2 310.361 1.241 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]([NH2+]Cc2nc(C3CC3)no2)C(C)(C)C1 ZINC000995577588 748955379 /nfs/dbraw/zinc/95/53/79/748955379.db2.gz CYNVJIXSFPCBFZ-JQWIXIFHSA-N 1 2 317.393 1.433 20 30 DDEDLO C[C@]1(NC(=O)Cc2[nH]cc[nH+]2)CCN(c2ccc(C#N)cn2)C1 ZINC001110778335 749003811 /nfs/dbraw/zinc/00/38/11/749003811.db2.gz FZQGNGQADSVNBL-INIZCTEOSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(C3CC3)CCOCC2)C1 ZINC001108097336 749041232 /nfs/dbraw/zinc/04/12/32/749041232.db2.gz HCNLHDLIXRWUJY-KRWDZBQOSA-N 1 2 322.449 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(C3CC3)CCOCC2)C1 ZINC001108097336 749041235 /nfs/dbraw/zinc/04/12/35/749041235.db2.gz HCNLHDLIXRWUJY-KRWDZBQOSA-N 1 2 322.449 1.586 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114353212 749104962 /nfs/dbraw/zinc/10/49/62/749104962.db2.gz NXPCYSFNMOGZMM-BCUIYNNISA-N 1 2 317.437 1.831 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114353212 749104968 /nfs/dbraw/zinc/10/49/68/749104968.db2.gz NXPCYSFNMOGZMM-BCUIYNNISA-N 1 2 317.437 1.831 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cc[nH]n2)c1 ZINC001032287946 749198353 /nfs/dbraw/zinc/19/83/53/749198353.db2.gz CXTSZEUFLMFLRC-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cc[nH]n2)c1 ZINC001032287946 749198357 /nfs/dbraw/zinc/19/83/57/749198357.db2.gz CXTSZEUFLMFLRC-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccn[nH]2)c1 ZINC001032287946 749198361 /nfs/dbraw/zinc/19/83/61/749198361.db2.gz CXTSZEUFLMFLRC-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccn[nH]2)c1 ZINC001032287946 749198365 /nfs/dbraw/zinc/19/83/65/749198365.db2.gz CXTSZEUFLMFLRC-GJZGRUSLSA-N 1 2 307.357 1.380 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2oc(CC)nc2C)C1 ZINC001108324435 761935514 /nfs/dbraw/zinc/93/55/14/761935514.db2.gz WNXQXBKVHITUJE-MRXNPFEDSA-N 1 2 307.394 1.552 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2oc(CC)nc2C)C1 ZINC001108324435 761935518 /nfs/dbraw/zinc/93/55/18/761935518.db2.gz WNXQXBKVHITUJE-MRXNPFEDSA-N 1 2 307.394 1.552 20 30 DDEDLO CC1(C)CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C[C@H]1NCC#N ZINC000996095984 749365014 /nfs/dbraw/zinc/36/50/14/749365014.db2.gz XUZXYADPBAQVOK-MRXNPFEDSA-N 1 2 323.400 1.836 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CCC3CCC3)nn2)C1 ZINC001107154232 749431251 /nfs/dbraw/zinc/43/12/51/749431251.db2.gz ZFVYSWXQONQBJJ-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H](CC)SC)nn2)C1 ZINC001107158924 749435381 /nfs/dbraw/zinc/43/53/81/749435381.db2.gz GEVCCUSFROEAPL-CQSZACIVSA-N 1 2 323.466 1.469 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(C)C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001033556074 749534757 /nfs/dbraw/zinc/53/47/57/749534757.db2.gz WRHKORKZMSDIHD-HNNXBMFYSA-N 1 2 311.389 1.804 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001033556074 749534759 /nfs/dbraw/zinc/53/47/59/749534759.db2.gz WRHKORKZMSDIHD-HNNXBMFYSA-N 1 2 311.389 1.804 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3(C)CCC3)nn2)C1 ZINC001107194018 749538728 /nfs/dbraw/zinc/53/87/28/749538728.db2.gz MRAGBIUOJALZER-UHFFFAOYSA-N 1 2 303.410 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cncnc1 ZINC001039367722 761969625 /nfs/dbraw/zinc/96/96/25/761969625.db2.gz PANOTQWCDOMKIP-CABCVRRESA-N 1 2 320.824 1.837 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cncnc1 ZINC001039367722 761969632 /nfs/dbraw/zinc/96/96/32/761969632.db2.gz PANOTQWCDOMKIP-CABCVRRESA-N 1 2 320.824 1.837 20 30 DDEDLO Cc1nc(NC[C@]2(C)CCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001110836554 749742265 /nfs/dbraw/zinc/74/22/65/749742265.db2.gz MJHIUWISQHJCHX-HNNXBMFYSA-N 1 2 319.434 1.692 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)COCCCCCC)C1 ZINC001108365404 761984174 /nfs/dbraw/zinc/98/41/74/761984174.db2.gz IFHQMLUVIRHWMX-QGZVFWFLSA-N 1 2 312.454 1.976 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)COCCCCCC)C1 ZINC001108365404 761984179 /nfs/dbraw/zinc/98/41/79/761984179.db2.gz IFHQMLUVIRHWMX-QGZVFWFLSA-N 1 2 312.454 1.976 20 30 DDEDLO C#CCC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCc3[nH]ncc31)C2 ZINC001095417116 749878053 /nfs/dbraw/zinc/87/80/53/749878053.db2.gz HGCNVMAZMCGPEQ-OQUILHJVSA-N 1 2 312.417 1.574 20 30 DDEDLO C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCc3[nH]ncc31)C2 ZINC001095417116 749878062 /nfs/dbraw/zinc/87/80/62/749878062.db2.gz HGCNVMAZMCGPEQ-OQUILHJVSA-N 1 2 312.417 1.574 20 30 DDEDLO N#Cc1cccnc1NC/C=C\CNC(=O)CCn1cc[nH+]c1 ZINC001107446021 749967864 /nfs/dbraw/zinc/96/78/64/749967864.db2.gz WONGWNWKTFLCBM-UPHRSURJSA-N 1 2 310.361 1.324 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)Oc2ccccc2)C1 ZINC001108376407 761998337 /nfs/dbraw/zinc/99/83/37/761998337.db2.gz KQNNNCOHCJUKEP-YJBOKZPZSA-N 1 2 318.417 1.847 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)Oc2ccccc2)C1 ZINC001108376407 761998343 /nfs/dbraw/zinc/99/83/43/761998343.db2.gz KQNNNCOHCJUKEP-YJBOKZPZSA-N 1 2 318.417 1.847 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001033863264 750005915 /nfs/dbraw/zinc/00/59/15/750005915.db2.gz UXHQBXNQTXIUMX-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001033863264 750005921 /nfs/dbraw/zinc/00/59/21/750005921.db2.gz UXHQBXNQTXIUMX-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO Cc1ccc(C#N)c(N(C)CCCNC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001095617693 750085635 /nfs/dbraw/zinc/08/56/35/750085635.db2.gz PBZHKLCTTOPVJH-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO N#Cc1cnc(NC/C=C/CNC(=O)Cc2c[nH]c[nH+]2)c(F)c1 ZINC001107539837 750103432 /nfs/dbraw/zinc/10/34/32/750103432.db2.gz UPGOISPAJQNZLH-OWOJBTEDSA-N 1 2 314.324 1.142 20 30 DDEDLO C[C@@H](CC(=O)NC/C=C/CNc1ccc(C#N)nc1)n1cc[nH+]c1 ZINC001107545611 750110704 /nfs/dbraw/zinc/11/07/04/750110704.db2.gz JDNUPCBRFMPGSZ-HSWBROFVSA-N 1 2 324.388 1.885 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](C)NC(=O)CCc2[nH]cc[nH+]2)n1 ZINC001107706884 750410976 /nfs/dbraw/zinc/41/09/76/750410976.db2.gz AOZUHXFBDFXPMW-GFCCVEGCSA-N 1 2 312.377 1.534 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC[C@@H]2CCOC2)C1 ZINC001107859321 750463860 /nfs/dbraw/zinc/46/38/60/750463860.db2.gz TWEQSMIFVMEAHK-NVXWUHKLSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC[C@@H]2CCOC2)C1 ZINC001107859321 750463864 /nfs/dbraw/zinc/46/38/64/750463864.db2.gz TWEQSMIFVMEAHK-NVXWUHKLSA-N 1 2 308.422 1.034 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001034478125 750467230 /nfs/dbraw/zinc/46/72/30/750467230.db2.gz REGGPRDOOJIVKA-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001034478125 750467235 /nfs/dbraw/zinc/46/72/35/750467235.db2.gz REGGPRDOOJIVKA-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C#CCCCCCC(=O)NCC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001077744503 750486620 /nfs/dbraw/zinc/48/66/20/750486620.db2.gz VHTIRCAIOSHIEI-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc2nonc2c1 ZINC001032397263 750566981 /nfs/dbraw/zinc/56/69/81/750566981.db2.gz RAIFBMODLOTTBQ-GJZGRUSLSA-N 1 2 322.368 1.421 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc2nonc2c1 ZINC001032397263 750566983 /nfs/dbraw/zinc/56/69/83/750566983.db2.gz RAIFBMODLOTTBQ-GJZGRUSLSA-N 1 2 322.368 1.421 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2scnc2Cl)C1 ZINC001108400438 762051154 /nfs/dbraw/zinc/05/11/54/762051154.db2.gz HKANPBNYEZQGBM-CYBMUJFWSA-N 1 2 315.826 1.803 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2scnc2Cl)C1 ZINC001108400438 762051165 /nfs/dbraw/zinc/05/11/65/762051165.db2.gz HKANPBNYEZQGBM-CYBMUJFWSA-N 1 2 315.826 1.803 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)CNc1cc(C)[nH+]c(C(C)C)n1 ZINC001107940492 750710489 /nfs/dbraw/zinc/71/04/89/750710489.db2.gz HIVYTGZDCXKKFM-CQSZACIVSA-N 1 2 318.421 1.865 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C(F)F)cc1 ZINC001017282518 750852086 /nfs/dbraw/zinc/85/20/86/750852086.db2.gz AYJJIKUGQUEHNQ-OKILXGFUSA-N 1 2 305.328 1.999 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C(F)F)cc1 ZINC001017282518 750852094 /nfs/dbraw/zinc/85/20/94/750852094.db2.gz AYJJIKUGQUEHNQ-OKILXGFUSA-N 1 2 305.328 1.999 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001107954380 750867337 /nfs/dbraw/zinc/86/73/37/750867337.db2.gz PYIBDBQPLJOSRE-JZXOWHBKSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001107954380 750867344 /nfs/dbraw/zinc/86/73/44/750867344.db2.gz PYIBDBQPLJOSRE-JZXOWHBKSA-N 1 2 315.417 1.578 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2CCCOC2)C1 ZINC001108402637 762082976 /nfs/dbraw/zinc/08/29/76/762082976.db2.gz JAKMOHLSOODCQR-DOTOQJQBSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2CCCOC2)C1 ZINC001108402637 762082983 /nfs/dbraw/zinc/08/29/83/762082983.db2.gz JAKMOHLSOODCQR-DOTOQJQBSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114718018 751022732 /nfs/dbraw/zinc/02/27/32/751022732.db2.gz GKNFBECFYCKJOR-LAQFHYBYSA-N 1 2 316.405 1.372 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114718018 751022739 /nfs/dbraw/zinc/02/27/39/751022739.db2.gz GKNFBECFYCKJOR-LAQFHYBYSA-N 1 2 316.405 1.372 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)C1 ZINC001077809440 751047423 /nfs/dbraw/zinc/04/74/23/751047423.db2.gz AZSVTHSICKQKKN-ZJIFWQFVSA-N 1 2 318.804 1.238 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)C1 ZINC001077809440 751047429 /nfs/dbraw/zinc/04/74/29/751047429.db2.gz AZSVTHSICKQKKN-ZJIFWQFVSA-N 1 2 318.804 1.238 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]1C[NH2+]Cc1nnc(C2CC2)o1 ZINC001034935775 751047697 /nfs/dbraw/zinc/04/76/97/751047697.db2.gz XYGPCGOUZAUSJD-CQSZACIVSA-N 1 2 314.389 1.441 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)C[C@H](C)CC)CC2 ZINC001127991306 751198325 /nfs/dbraw/zinc/19/83/25/751198325.db2.gz UEPIYDWXKMKYEL-KGLIPLIRSA-N 1 2 317.437 1.258 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cn3c(n2)CCC3)CC1 ZINC001000700252 762108422 /nfs/dbraw/zinc/10/84/22/762108422.db2.gz OYWVINOQANUWBV-UHFFFAOYSA-N 1 2 320.824 1.944 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cn3c(n2)CCC3)CC1 ZINC001000700252 762108428 /nfs/dbraw/zinc/10/84/28/762108428.db2.gz OYWVINOQANUWBV-UHFFFAOYSA-N 1 2 320.824 1.944 20 30 DDEDLO Cc1n[nH]cc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032552640 751283459 /nfs/dbraw/zinc/28/34/59/751283459.db2.gz UKEDQEIGNWNNGN-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1n[nH]cc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032552640 751283460 /nfs/dbraw/zinc/28/34/60/751283460.db2.gz UKEDQEIGNWNNGN-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001108414826 762128428 /nfs/dbraw/zinc/12/84/28/762128428.db2.gz UYFHVNBDVTWBSG-KRWDZBQOSA-N 1 2 319.405 1.411 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001108414826 762128435 /nfs/dbraw/zinc/12/84/35/762128435.db2.gz UYFHVNBDVTWBSG-KRWDZBQOSA-N 1 2 319.405 1.411 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc(Cl)c1F ZINC001032650043 752596146 /nfs/dbraw/zinc/59/61/46/752596146.db2.gz RXKUYBQWUYPGFI-STQMWFEESA-N 1 2 306.768 1.940 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc(Cl)c1F ZINC001032650043 752596150 /nfs/dbraw/zinc/59/61/50/752596150.db2.gz RXKUYBQWUYPGFI-STQMWFEESA-N 1 2 306.768 1.940 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2cnccc2n1 ZINC001032650283 752597263 /nfs/dbraw/zinc/59/72/63/752597263.db2.gz VMHXSBHLHDGCOM-GJZGRUSLSA-N 1 2 306.369 1.552 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2cnccc2n1 ZINC001032650283 752597266 /nfs/dbraw/zinc/59/72/66/752597266.db2.gz VMHXSBHLHDGCOM-GJZGRUSLSA-N 1 2 306.369 1.552 20 30 DDEDLO C=CCCn1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3C[C@H](F)CC)nn1 ZINC001032675249 752692620 /nfs/dbraw/zinc/69/26/20/752692620.db2.gz NZVPJEORRPKSLU-RDBSUJKOSA-N 1 2 321.400 1.501 20 30 DDEDLO C=CCCn1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3C[C@H](F)CC)nn1 ZINC001032675249 752692626 /nfs/dbraw/zinc/69/26/26/752692626.db2.gz NZVPJEORRPKSLU-RDBSUJKOSA-N 1 2 321.400 1.501 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](Cc3cncn3C)C2)C1 ZINC001008438486 752728208 /nfs/dbraw/zinc/72/82/08/752728208.db2.gz ZMUOEUDVXQEULG-AWEZNQCLSA-N 1 2 302.422 1.857 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](Cc3cncn3C)C2)C1 ZINC001008438486 752728210 /nfs/dbraw/zinc/72/82/10/752728210.db2.gz ZMUOEUDVXQEULG-AWEZNQCLSA-N 1 2 302.422 1.857 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(Cc3ccccc3)CC2)[C@H](OC)C1 ZINC001212421960 752794799 /nfs/dbraw/zinc/79/47/99/752794799.db2.gz BXYRFLFGVGEAAR-IAGOWNOFSA-N 1 2 312.413 1.458 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(Cc3ccccc3)CC2)[C@H](OC)C1 ZINC001212421960 752794803 /nfs/dbraw/zinc/79/48/03/752794803.db2.gz BXYRFLFGVGEAAR-IAGOWNOFSA-N 1 2 312.413 1.458 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](N(C)c2ccc(C#N)cn2)C1 ZINC001056949973 762166644 /nfs/dbraw/zinc/16/66/44/762166644.db2.gz YGLMDVODUGVSES-AWEZNQCLSA-N 1 2 324.388 1.265 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H]2C[C@H]1CN2c1ccncc1C#N ZINC001062516857 753083221 /nfs/dbraw/zinc/08/32/21/753083221.db2.gz UKRFHSYNUBQHTK-KBPBESRZSA-N 1 2 322.372 1.017 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001009185718 753101916 /nfs/dbraw/zinc/10/19/16/753101916.db2.gz DTXHAYHQPRBAJT-OLZOCXBDSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001009185718 753101919 /nfs/dbraw/zinc/10/19/19/753101919.db2.gz DTXHAYHQPRBAJT-OLZOCXBDSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001009185718 753101923 /nfs/dbraw/zinc/10/19/23/753101923.db2.gz DTXHAYHQPRBAJT-OLZOCXBDSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001009185718 753101927 /nfs/dbraw/zinc/10/19/27/753101927.db2.gz DTXHAYHQPRBAJT-OLZOCXBDSA-N 1 2 303.410 1.066 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CCC)c1C1CC1 ZINC001032722051 753287880 /nfs/dbraw/zinc/28/78/80/753287880.db2.gz NIRRWXAKBPSTPB-GJZGRUSLSA-N 1 2 312.417 1.702 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CCC)c1C1CC1 ZINC001032722051 753287887 /nfs/dbraw/zinc/28/78/87/753287887.db2.gz NIRRWXAKBPSTPB-GJZGRUSLSA-N 1 2 312.417 1.702 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CC[C@@H](CNc2ncccc2C#N)C1 ZINC001060894749 753292629 /nfs/dbraw/zinc/29/26/29/753292629.db2.gz PXESXFPDHIEGGR-ZDUSSCGKSA-N 1 2 324.388 1.190 20 30 DDEDLO Cc1nc[nH]c1C(=O)N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001009749892 753337803 /nfs/dbraw/zinc/33/78/03/753337803.db2.gz PBMJXUKRSWHBCT-QGZVFWFLSA-N 1 2 322.412 1.964 20 30 DDEDLO Cc1nc[nH]c1C(=O)N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001009749892 753337810 /nfs/dbraw/zinc/33/78/10/753337810.db2.gz PBMJXUKRSWHBCT-QGZVFWFLSA-N 1 2 322.412 1.964 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(F)F)cc2F)C1 ZINC001077896128 753354963 /nfs/dbraw/zinc/35/49/63/753354963.db2.gz PVXRVTLITCHSBO-CHWSQXEVSA-N 1 2 312.291 1.171 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(F)F)cc2F)C1 ZINC001077896128 753354965 /nfs/dbraw/zinc/35/49/65/753354965.db2.gz PVXRVTLITCHSBO-CHWSQXEVSA-N 1 2 312.291 1.171 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C)c1C(F)F ZINC001032725295 753362724 /nfs/dbraw/zinc/36/27/24/753362724.db2.gz IUKDWXIJSQCDGO-QWRGUYRKSA-N 1 2 308.332 1.280 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C)c1C(F)F ZINC001032725295 753362731 /nfs/dbraw/zinc/36/27/31/753362731.db2.gz IUKDWXIJSQCDGO-QWRGUYRKSA-N 1 2 308.332 1.280 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2ccnc2)cn1 ZINC001032728161 753372988 /nfs/dbraw/zinc/37/29/88/753372988.db2.gz OYDKZYNSEVMIFJ-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2ccnc2)cn1 ZINC001032728161 753372991 /nfs/dbraw/zinc/37/29/91/753372991.db2.gz OYDKZYNSEVMIFJ-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(F)c2OCC)C1 ZINC001077903295 753383332 /nfs/dbraw/zinc/38/33/32/753383332.db2.gz WQNXXWFHSJTRDO-HUUCEWRRSA-N 1 2 320.364 1.023 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(F)c2OCC)C1 ZINC001077903295 753383333 /nfs/dbraw/zinc/38/33/33/753383333.db2.gz WQNXXWFHSJTRDO-HUUCEWRRSA-N 1 2 320.364 1.023 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001077930190 753441505 /nfs/dbraw/zinc/44/15/05/753441505.db2.gz RLHVCFYAZGYIPR-UONOGXRCSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001077930190 753441509 /nfs/dbraw/zinc/44/15/09/753441509.db2.gz RLHVCFYAZGYIPR-UONOGXRCSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)COCC2CCCC2)C1 ZINC001108004009 753482765 /nfs/dbraw/zinc/48/27/65/753482765.db2.gz OJIQXKOMCWTGSG-KRWDZBQOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)COCC2CCCC2)C1 ZINC001108004009 753482771 /nfs/dbraw/zinc/48/27/71/753482771.db2.gz OJIQXKOMCWTGSG-KRWDZBQOSA-N 1 2 310.438 1.586 20 30 DDEDLO CCCCCCC[N@@H+]1CCn2ncc(CNC(=O)COC)c2C1 ZINC001128142684 753618695 /nfs/dbraw/zinc/61/86/95/753618695.db2.gz NRRJSNYWPRHCHX-UHFFFAOYSA-N 1 2 322.453 1.932 20 30 DDEDLO CCCCCCC[N@H+]1CCn2ncc(CNC(=O)COC)c2C1 ZINC001128142684 753618699 /nfs/dbraw/zinc/61/86/99/753618699.db2.gz NRRJSNYWPRHCHX-UHFFFAOYSA-N 1 2 322.453 1.932 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CC23CCOCC3)C1 ZINC001108447884 762234515 /nfs/dbraw/zinc/23/45/15/762234515.db2.gz YOUDTRKEJJEEPZ-GDBMZVCRSA-N 1 2 308.422 1.196 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CC23CCOCC3)C1 ZINC001108447884 762234519 /nfs/dbraw/zinc/23/45/19/762234519.db2.gz YOUDTRKEJJEEPZ-GDBMZVCRSA-N 1 2 308.422 1.196 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3ccn[nH]3)C2)cc1F ZINC001010288832 753736343 /nfs/dbraw/zinc/73/63/43/753736343.db2.gz SDMFYZGUUSWHSD-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3ccn[nH]3)C2)cc1F ZINC001010288832 753736347 /nfs/dbraw/zinc/73/63/47/753736347.db2.gz SDMFYZGUUSWHSD-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3ccon3)C2)c(F)c1 ZINC001010331635 753770876 /nfs/dbraw/zinc/77/08/76/753770876.db2.gz SKAMGQAPVFCPIX-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3ccon3)C2)c(F)c1 ZINC001010331635 753770879 /nfs/dbraw/zinc/77/08/79/753770879.db2.gz SKAMGQAPVFCPIX-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO Cc1nc(N2CC[C@H](N(C)C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001062967818 753878709 /nfs/dbraw/zinc/87/87/09/753878709.db2.gz SRMJPLNUTFTRNP-AWEZNQCLSA-N 1 2 324.388 1.265 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2CC(c3ccc(F)cc3)C2)C1 ZINC001078281953 753917763 /nfs/dbraw/zinc/91/77/63/753917763.db2.gz DJRKZLDBFFJRNC-GMGZGELVSA-N 1 2 316.376 1.114 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2CC(c3ccc(F)cc3)C2)C1 ZINC001078281953 753917767 /nfs/dbraw/zinc/91/77/67/753917767.db2.gz DJRKZLDBFFJRNC-GMGZGELVSA-N 1 2 316.376 1.114 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001010687578 754100135 /nfs/dbraw/zinc/10/01/35/754100135.db2.gz GNKZPDWZBGVQFO-AAEUAGOBSA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001010687578 754100140 /nfs/dbraw/zinc/10/01/40/754100140.db2.gz GNKZPDWZBGVQFO-AAEUAGOBSA-N 1 2 313.361 1.748 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2CC[NH+](Cc3cnon3)CC2)cc1 ZINC001001703521 754468853 /nfs/dbraw/zinc/46/88/53/754468853.db2.gz VPXRORCVGQBSAA-UHFFFAOYSA-N 1 2 324.384 1.693 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CCCc2nn(C)cc21 ZINC001038626491 755014597 /nfs/dbraw/zinc/01/45/97/755014597.db2.gz PUXLMRLBCGOGCA-KGLIPLIRSA-N 1 2 300.406 1.054 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CCCc2nn(C)cc21 ZINC001038626491 755014605 /nfs/dbraw/zinc/01/46/05/755014605.db2.gz PUXLMRLBCGOGCA-KGLIPLIRSA-N 1 2 300.406 1.054 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1NC(=O)C(F)F ZINC001079330534 755227683 /nfs/dbraw/zinc/22/76/83/755227683.db2.gz KKLKLJUIADGFGE-NOZJJQNGSA-N 1 2 311.307 1.899 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1NC(=O)C(F)F ZINC001079330534 755227688 /nfs/dbraw/zinc/22/76/88/755227688.db2.gz KKLKLJUIADGFGE-NOZJJQNGSA-N 1 2 311.307 1.899 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001079695635 755532605 /nfs/dbraw/zinc/53/26/05/755532605.db2.gz HMOBUKVOWOBGQZ-ZWNOBZJWSA-N 1 2 323.828 1.345 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001079695635 755532609 /nfs/dbraw/zinc/53/26/09/755532609.db2.gz HMOBUKVOWOBGQZ-ZWNOBZJWSA-N 1 2 323.828 1.345 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001079700507 755536291 /nfs/dbraw/zinc/53/62/91/755536291.db2.gz BJNGCEUEHLWNAL-IJLUTSLNSA-N 1 2 307.419 1.534 20 30 DDEDLO C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001079700507 755536293 /nfs/dbraw/zinc/53/62/93/755536293.db2.gz BJNGCEUEHLWNAL-IJLUTSLNSA-N 1 2 307.419 1.534 20 30 DDEDLO Cc1ccc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)nn1 ZINC001014408251 755723638 /nfs/dbraw/zinc/72/36/38/755723638.db2.gz OOKZHMJEXQWFCD-QGZVFWFLSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)nn1 ZINC001014408251 755723640 /nfs/dbraw/zinc/72/36/40/755723640.db2.gz OOKZHMJEXQWFCD-QGZVFWFLSA-N 1 2 320.396 1.641 20 30 DDEDLO C#CCCCC(=O)N1CC(N(CC)C(=O)CCn2cc[nH+]c2)C1 ZINC001080168587 755760253 /nfs/dbraw/zinc/76/02/53/755760253.db2.gz VSDWICOUROLRSH-UHFFFAOYSA-N 1 2 316.405 1.136 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cccnn1 ZINC001080657374 756026379 /nfs/dbraw/zinc/02/63/79/756026379.db2.gz YZSMJIUMBCNNRM-CXAGYDPISA-N 1 2 321.384 1.599 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cccnn1 ZINC001080657374 756026385 /nfs/dbraw/zinc/02/63/85/756026385.db2.gz YZSMJIUMBCNNRM-CXAGYDPISA-N 1 2 321.384 1.599 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCc3nc(C)nn3C2)C1 ZINC001015364329 756265799 /nfs/dbraw/zinc/26/57/99/756265799.db2.gz FAMABJQNDHDEOE-QWHCGFSZSA-N 1 2 323.828 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCc3nc(C)nn3C2)C1 ZINC001015364329 756265802 /nfs/dbraw/zinc/26/58/02/756265802.db2.gz FAMABJQNDHDEOE-QWHCGFSZSA-N 1 2 323.828 1.092 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1NC(=O)C(C)C ZINC001081374896 756316411 /nfs/dbraw/zinc/31/64/11/756316411.db2.gz BIASHQMSQPALEE-HZPDHXFCSA-N 1 2 319.380 1.669 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1NC(=O)C(C)C ZINC001081374896 756316414 /nfs/dbraw/zinc/31/64/14/756316414.db2.gz BIASHQMSQPALEE-HZPDHXFCSA-N 1 2 319.380 1.669 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cncn3C)C2)cc1 ZINC001015482694 756333079 /nfs/dbraw/zinc/33/30/79/756333079.db2.gz PCLDUDJOPXZRST-MRXNPFEDSA-N 1 2 308.385 1.406 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cncn3C)C2)cc1 ZINC001015482694 756333085 /nfs/dbraw/zinc/33/30/85/756333085.db2.gz PCLDUDJOPXZRST-MRXNPFEDSA-N 1 2 308.385 1.406 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(Cl)n[nH]c2CC)[C@H](OC)C1 ZINC001081784107 756458204 /nfs/dbraw/zinc/45/82/04/756458204.db2.gz DOZMVLXXBDCMDU-VXGBXAGGSA-N 1 2 324.812 1.078 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(Cl)n[nH]c2CC)[C@H](OC)C1 ZINC001081784107 756458206 /nfs/dbraw/zinc/45/82/06/756458206.db2.gz DOZMVLXXBDCMDU-VXGBXAGGSA-N 1 2 324.812 1.078 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cnccc3o2)[C@H](OC)C1 ZINC001081899660 756524038 /nfs/dbraw/zinc/52/40/38/756524038.db2.gz CILAHERPHGKZPW-CZUORRHYSA-N 1 2 313.357 1.280 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3cnccc3o2)[C@H](OC)C1 ZINC001081899660 756524041 /nfs/dbraw/zinc/52/40/41/756524041.db2.gz CILAHERPHGKZPW-CZUORRHYSA-N 1 2 313.357 1.280 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(CC)s2)[C@H](OC)C1 ZINC001081909950 756530658 /nfs/dbraw/zinc/53/06/58/756530658.db2.gz VDJWCUXXVBTTGH-ZIAGYGMSSA-N 1 2 306.431 1.763 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(CC)s2)[C@H](OC)C1 ZINC001081909950 756530660 /nfs/dbraw/zinc/53/06/60/756530660.db2.gz VDJWCUXXVBTTGH-ZIAGYGMSSA-N 1 2 306.431 1.763 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3ncccc3o2)[C@H](OC)C1 ZINC001081954796 756561959 /nfs/dbraw/zinc/56/19/59/756561959.db2.gz HOEIWYQGALTWIW-CZUORRHYSA-N 1 2 313.357 1.280 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3ncccc3o2)[C@H](OC)C1 ZINC001081954796 756561964 /nfs/dbraw/zinc/56/19/64/756561964.db2.gz HOEIWYQGALTWIW-CZUORRHYSA-N 1 2 313.357 1.280 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(OC)cc2C)[C@H](OC)C1 ZINC001082090335 756635759 /nfs/dbraw/zinc/63/57/59/756635759.db2.gz QVEBJESYNVFFQQ-HZPDHXFCSA-N 1 2 302.374 1.066 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(OC)cc2C)[C@H](OC)C1 ZINC001082090335 756635765 /nfs/dbraw/zinc/63/57/65/756635765.db2.gz QVEBJESYNVFFQQ-HZPDHXFCSA-N 1 2 302.374 1.066 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001015938635 756664136 /nfs/dbraw/zinc/66/41/36/756664136.db2.gz UJOOHXJTJPWJKP-OAHLLOKOSA-N 1 2 311.389 1.852 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001015938635 756664138 /nfs/dbraw/zinc/66/41/38/756664138.db2.gz UJOOHXJTJPWJKP-OAHLLOKOSA-N 1 2 311.389 1.852 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc([C@@H](C)CC)no2)[C@H](OC)C1 ZINC001082213204 756686366 /nfs/dbraw/zinc/68/63/66/756686366.db2.gz PTAYDJHNNWUWQD-JGGQBBKZSA-N 1 2 319.405 1.640 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc([C@@H](C)CC)no2)[C@H](OC)C1 ZINC001082213204 756686368 /nfs/dbraw/zinc/68/63/68/756686368.db2.gz PTAYDJHNNWUWQD-JGGQBBKZSA-N 1 2 319.405 1.640 20 30 DDEDLO CCn1cnc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)c1 ZINC001016005682 756714989 /nfs/dbraw/zinc/71/49/89/756714989.db2.gz YVRIWXBCSBCQFE-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1cnc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001016005682 756714991 /nfs/dbraw/zinc/71/49/91/756714991.db2.gz YVRIWXBCSBCQFE-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)[nH]nc2C(C)C)[C@H](OC)C1 ZINC001082312522 756730714 /nfs/dbraw/zinc/73/07/14/756730714.db2.gz KNLPBSNHNBUGNT-ZIAGYGMSSA-N 1 2 318.421 1.294 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(C)[nH]nc2C(C)C)[C@H](OC)C1 ZINC001082312522 756730719 /nfs/dbraw/zinc/73/07/19/756730719.db2.gz KNLPBSNHNBUGNT-ZIAGYGMSSA-N 1 2 318.421 1.294 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)n[nH]c2C(C)C)[C@H](OC)C1 ZINC001082312522 756730724 /nfs/dbraw/zinc/73/07/24/756730724.db2.gz KNLPBSNHNBUGNT-ZIAGYGMSSA-N 1 2 318.421 1.294 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(C)n[nH]c2C(C)C)[C@H](OC)C1 ZINC001082312522 756730727 /nfs/dbraw/zinc/73/07/27/756730727.db2.gz KNLPBSNHNBUGNT-ZIAGYGMSSA-N 1 2 318.421 1.294 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)nc3)C2)nc1 ZINC001016026810 756730863 /nfs/dbraw/zinc/73/08/63/756730863.db2.gz JYQOTPZHNPZGGX-INIZCTEOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)nc3)C2)nc1 ZINC001016026810 756730867 /nfs/dbraw/zinc/73/08/67/756730867.db2.gz JYQOTPZHNPZGGX-INIZCTEOSA-N 1 2 321.384 1.166 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001082318428 756732864 /nfs/dbraw/zinc/73/28/64/756732864.db2.gz KCOIRZBPXCWNMA-HNNXBMFYSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(CC)s2)[C@H](OC)C1 ZINC001082360612 756752712 /nfs/dbraw/zinc/75/27/12/756752712.db2.gz JRGOLPXMIIMNLO-CHWSQXEVSA-N 1 2 306.431 1.681 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(CC)s2)[C@H](OC)C1 ZINC001082360612 756752717 /nfs/dbraw/zinc/75/27/17/756752717.db2.gz JRGOLPXMIIMNLO-CHWSQXEVSA-N 1 2 306.431 1.681 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cncnc2C(C)C)[C@H](OC)C1 ZINC001082373769 756758263 /nfs/dbraw/zinc/75/82/63/756758263.db2.gz ALJIFFCNHIYWFZ-HUUCEWRRSA-N 1 2 316.405 1.052 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cncnc2C(C)C)[C@H](OC)C1 ZINC001082373769 756758265 /nfs/dbraw/zinc/75/82/65/756758265.db2.gz ALJIFFCNHIYWFZ-HUUCEWRRSA-N 1 2 316.405 1.052 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)n1 ZINC001082450664 756791564 /nfs/dbraw/zinc/79/15/64/756791564.db2.gz DDCREWPVTMSNAO-IJLUTSLNSA-N 1 2 305.382 1.118 20 30 DDEDLO C=CCOCCCC(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111447008 757036308 /nfs/dbraw/zinc/03/63/08/757036308.db2.gz URDXNDHLARPUFD-UHFFFAOYSA-N 1 2 322.409 1.001 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001097364937 757125552 /nfs/dbraw/zinc/12/55/52/757125552.db2.gz ZXRCWOSZDWJYJS-PBHICJAKSA-N 1 2 318.421 1.763 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4c(o3)CCCC4)[C@H]2C1 ZINC001083103095 757137695 /nfs/dbraw/zinc/13/76/95/757137695.db2.gz OFTHLNYXTRIWFZ-WMLDXEAASA-N 1 2 316.401 1.870 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4c(o3)CCCC4)[C@H]2C1 ZINC001083103095 757137698 /nfs/dbraw/zinc/13/76/98/757137698.db2.gz OFTHLNYXTRIWFZ-WMLDXEAASA-N 1 2 316.401 1.870 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCc3ccc(F)cc3)[C@H]2C1 ZINC001083133817 757142580 /nfs/dbraw/zinc/14/25/80/757142580.db2.gz RUIJEEZENJFOPU-DLBZAZTESA-N 1 2 316.376 1.303 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCc3ccc(F)cc3)[C@H]2C1 ZINC001083133817 757142582 /nfs/dbraw/zinc/14/25/82/757142582.db2.gz RUIJEEZENJFOPU-DLBZAZTESA-N 1 2 316.376 1.303 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(c2cc(C)[nH+]c(C(C)C)n2)C[C@H]1O ZINC001090395398 757150171 /nfs/dbraw/zinc/15/01/71/757150171.db2.gz OBEHJLGVDATONG-ZIAGYGMSSA-N 1 2 318.421 1.540 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@H]2CNC(=O)Cn2cc[nH+]c2)nc1 ZINC001097429107 757177035 /nfs/dbraw/zinc/17/70/35/757177035.db2.gz MZERIIPWHHJDIR-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C=CC[NH+]1CCC2(C[C@H]2NC(=O)c2cncc3nc[nH]c32)CC1 ZINC001016634696 757223907 /nfs/dbraw/zinc/22/39/07/757223907.db2.gz LSGVJSRDKFURMA-CQSZACIVSA-N 1 2 311.389 1.728 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cscc2C(F)(F)F)[C@@H](O)C1 ZINC001084127338 757294566 /nfs/dbraw/zinc/29/45/66/757294566.db2.gz BTKGLKJPTRVYLM-MNOVXSKESA-N 1 2 318.320 1.175 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cscc2C(F)(F)F)[C@@H](O)C1 ZINC001084127338 757294574 /nfs/dbraw/zinc/29/45/74/757294574.db2.gz BTKGLKJPTRVYLM-MNOVXSKESA-N 1 2 318.320 1.175 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3ccc(F)cn3)C[C@H]21 ZINC001084237009 757439919 /nfs/dbraw/zinc/43/99/19/757439919.db2.gz YMVLAYDOWUYHAH-YWPYICTPSA-N 1 2 302.353 1.413 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccc(F)cn3)C[C@H]21 ZINC001084237009 757439920 /nfs/dbraw/zinc/43/99/20/757439920.db2.gz YMVLAYDOWUYHAH-YWPYICTPSA-N 1 2 302.353 1.413 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001084345913 757503384 /nfs/dbraw/zinc/50/33/84/757503384.db2.gz UIPGXMSEUHDXLK-CKEIUWERSA-N 1 2 312.417 1.386 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001084345913 757503395 /nfs/dbraw/zinc/50/33/95/757503395.db2.gz UIPGXMSEUHDXLK-CKEIUWERSA-N 1 2 312.417 1.386 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cn4cc(C)nc4s3)[C@@H]2C1 ZINC001084405517 757562282 /nfs/dbraw/zinc/56/22/82/757562282.db2.gz QMEMDRLSZIYBAO-CHWSQXEVSA-N 1 2 314.414 1.484 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cn4cc(C)nc4s3)[C@@H]2C1 ZINC001084405517 757562286 /nfs/dbraw/zinc/56/22/86/757562286.db2.gz QMEMDRLSZIYBAO-CHWSQXEVSA-N 1 2 314.414 1.484 20 30 DDEDLO N#CCN1CCC[C@H]([C@@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001053059555 758119142 /nfs/dbraw/zinc/11/91/42/758119142.db2.gz VVVFVTZPHXLIRB-JKSUJKDBSA-N 1 2 315.421 1.357 20 30 DDEDLO N#CCN1CCC[C@@H]([C@H]2CCCCN2C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001053105066 758156792 /nfs/dbraw/zinc/15/67/92/758156792.db2.gz RKVDGKYULPTAIE-HUUCEWRRSA-N 1 2 315.421 1.569 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001053107524 758157882 /nfs/dbraw/zinc/15/78/82/758157882.db2.gz ARRMSXMSBUGSOX-JSGCOSHPSA-N 1 2 318.421 1.438 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccc(OC)nc1 ZINC001017726930 758186666 /nfs/dbraw/zinc/18/66/66/758186666.db2.gz HZBKZLRLXKDYLX-IYBDPMFKSA-N 1 2 313.401 1.331 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccc(OC)nc1 ZINC001017726930 758186675 /nfs/dbraw/zinc/18/66/75/758186675.db2.gz HZBKZLRLXKDYLX-IYBDPMFKSA-N 1 2 313.401 1.331 20 30 DDEDLO C[C@@H](F)CC[NH+]1CC2(C1)CN(C(=O)c1ccc(C#N)[nH]1)CCO2 ZINC001053224300 758281053 /nfs/dbraw/zinc/28/10/53/758281053.db2.gz QGZMTJDLWWLCAD-GFCCVEGCSA-N 1 2 320.368 1.161 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001053312906 758366221 /nfs/dbraw/zinc/36/62/21/758366221.db2.gz QWUMJVMYJGJLKL-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1c(=O)[nH]c2ccccc21 ZINC001017993177 758450400 /nfs/dbraw/zinc/45/04/00/758450400.db2.gz MVKFWVIUMCVEFT-OKILXGFUSA-N 1 2 324.384 1.050 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1c(=O)[nH]c2ccccc21 ZINC001017993177 758450405 /nfs/dbraw/zinc/45/04/05/758450405.db2.gz MVKFWVIUMCVEFT-OKILXGFUSA-N 1 2 324.384 1.050 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCO[C@H]1C=C)CCO2 ZINC001053436796 758460279 /nfs/dbraw/zinc/46/02/79/758460279.db2.gz DFTUVYVAFQCRJT-CABCVRRESA-N 1 2 306.406 1.067 20 30 DDEDLO Cc1nc(N2CCCN(C(=O)[C@@H](C)C#N)CC2)c(C)c(C)[nH+]1 ZINC001057108078 762635472 /nfs/dbraw/zinc/63/54/72/762635472.db2.gz YHTVVRUFGHDHSK-NSHDSACASA-N 1 2 301.394 1.600 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1CCC(O)CC1)O2 ZINC001053594018 758612218 /nfs/dbraw/zinc/61/22/18/758612218.db2.gz BSPMNBRUUSTBPB-GPANFISMSA-N 1 2 322.449 1.463 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1nc(CC)oc1C)O2 ZINC001053676445 758686731 /nfs/dbraw/zinc/68/67/31/758686731.db2.gz CMDAOJZBJIYGJD-CYBMUJFWSA-N 1 2 319.405 1.695 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncccc1F)O2 ZINC001053683818 758692536 /nfs/dbraw/zinc/69/25/36/758692536.db2.gz IEQCJDUXWCXAMZ-GFCCVEGCSA-N 1 2 305.353 1.370 20 30 DDEDLO CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)C#CC(C)C)CC2)C1 ZINC001065683025 758701454 /nfs/dbraw/zinc/70/14/54/758701454.db2.gz RPTPWCTZOCXQOO-INIZCTEOSA-N 1 2 319.449 1.191 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)/C(C)=C/C)CC2)C1 ZINC001065690917 758709079 /nfs/dbraw/zinc/70/90/79/758709079.db2.gz GNEXHFBAAQCBCG-HSUWOCHWSA-N 1 2 319.449 1.664 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cncc(C)c1)CO2 ZINC001053769494 758790725 /nfs/dbraw/zinc/79/07/25/758790725.db2.gz CHOOXENTOJCIOA-HNNXBMFYSA-N 1 2 301.390 1.539 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1C[C@@H]1C(F)F)CO2 ZINC001053792968 758820904 /nfs/dbraw/zinc/82/09/04/758820904.db2.gz GFNGLNBLNRLGCF-SRVKXCTJSA-N 1 2 300.349 1.423 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc3occc3[nH]1)CO2 ZINC001053822457 758850210 /nfs/dbraw/zinc/85/02/10/758850210.db2.gz NOCAOOVEDFQPLR-LBPRGKRZSA-N 1 2 313.357 1.357 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1CNc1ccc(C#N)nc1 ZINC001065860687 758865924 /nfs/dbraw/zinc/86/59/24/758865924.db2.gz FOJBOLKFYWODPT-UONOGXRCSA-N 1 2 324.388 1.356 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]nc1C(C)C)CO2 ZINC001053856112 758888501 /nfs/dbraw/zinc/88/85/01/758888501.db2.gz BKPCCYLTKPETJO-ZDUSSCGKSA-N 1 2 316.405 1.130 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccncc1 ZINC001054028930 759080193 /nfs/dbraw/zinc/08/01/93/759080193.db2.gz AKAFGGCJUULALW-GOSISDBHSA-N 1 2 305.381 1.872 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccncc1 ZINC001054028930 759080194 /nfs/dbraw/zinc/08/01/94/759080194.db2.gz AKAFGGCJUULALW-GOSISDBHSA-N 1 2 305.381 1.872 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnc(C)nc3C)cc2C1 ZINC001054286197 759392342 /nfs/dbraw/zinc/39/23/42/759392342.db2.gz YUENHPORVDQCAA-UHFFFAOYSA-N 1 2 320.396 1.972 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnc(C)nc3C)cc2C1 ZINC001054286197 759392355 /nfs/dbraw/zinc/39/23/55/759392355.db2.gz YUENHPORVDQCAA-UHFFFAOYSA-N 1 2 320.396 1.972 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553498 759682586 /nfs/dbraw/zinc/68/25/86/759682586.db2.gz MRDDGQVGKJOKFT-GXTWGEPZSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553498 759682592 /nfs/dbraw/zinc/68/25/92/759682592.db2.gz MRDDGQVGKJOKFT-GXTWGEPZSA-N 1 2 324.388 1.584 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncc(C)cc1OC ZINC001085646187 759928054 /nfs/dbraw/zinc/92/80/54/759928054.db2.gz SLWHRTGMNHBDKU-AWEZNQCLSA-N 1 2 301.390 1.568 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncc(C)cc1OC ZINC001085646187 759928062 /nfs/dbraw/zinc/92/80/62/759928062.db2.gz SLWHRTGMNHBDKU-AWEZNQCLSA-N 1 2 301.390 1.568 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2conc2C)c1 ZINC001085809664 760276975 /nfs/dbraw/zinc/27/69/75/760276975.db2.gz KVMQTFCEQNJTJV-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2conc2C)c1 ZINC001085809664 760276985 /nfs/dbraw/zinc/27/69/85/760276985.db2.gz KVMQTFCEQNJTJV-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2C/C=C\Cl)nn1 ZINC001085861107 760400051 /nfs/dbraw/zinc/40/00/51/760400051.db2.gz PLUOFZVMJOWFCW-TXNVCOODSA-N 1 2 309.801 1.363 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@H]2CC[N@H+]2C/C=C\Cl)nn1 ZINC001085861107 760400058 /nfs/dbraw/zinc/40/00/58/760400058.db2.gz PLUOFZVMJOWFCW-TXNVCOODSA-N 1 2 309.801 1.363 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@H]2CC[N@H+]2CC#CC)nn1 ZINC001085863749 760406469 /nfs/dbraw/zinc/40/64/69/760406469.db2.gz IUSLLUCWRCIVBP-CQSZACIVSA-N 1 2 301.394 1.024 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#CC)nn1 ZINC001085863749 760406470 /nfs/dbraw/zinc/40/64/70/760406470.db2.gz IUSLLUCWRCIVBP-CQSZACIVSA-N 1 2 301.394 1.024 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(N2CCCC2)nc1 ZINC001085874630 760429515 /nfs/dbraw/zinc/42/95/15/760429515.db2.gz FZUNAGUNYGBEME-INIZCTEOSA-N 1 2 312.417 1.461 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(N2CCCC2)nc1 ZINC001085874630 760429519 /nfs/dbraw/zinc/42/95/19/760429519.db2.gz FZUNAGUNYGBEME-INIZCTEOSA-N 1 2 312.417 1.461 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1nc[nH]n1 ZINC001085880393 760442212 /nfs/dbraw/zinc/44/22/12/760442212.db2.gz CESXOXOEJRDMLD-CQSZACIVSA-N 1 2 310.361 1.023 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1nc[nH]n1 ZINC001085880393 760442215 /nfs/dbraw/zinc/44/22/15/760442215.db2.gz CESXOXOEJRDMLD-CQSZACIVSA-N 1 2 310.361 1.023 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2ocnc2C)cn1 ZINC001085933254 760563368 /nfs/dbraw/zinc/56/33/68/760563368.db2.gz TZYGKKKLCLEMSE-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2ocnc2C)cn1 ZINC001085933254 760563375 /nfs/dbraw/zinc/56/33/75/760563375.db2.gz TZYGKKKLCLEMSE-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001108223292 760589416 /nfs/dbraw/zinc/58/94/16/760589416.db2.gz ZMGOIYXRERRWDA-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001108223292 760589418 /nfs/dbraw/zinc/58/94/18/760589418.db2.gz ZMGOIYXRERRWDA-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C3CC3)n[nH]2)C1 ZINC001108236640 760808717 /nfs/dbraw/zinc/80/87/17/760808717.db2.gz RTHJYAPYZYPETG-MRXNPFEDSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C3CC3)n[nH]2)C1 ZINC001108236640 760808726 /nfs/dbraw/zinc/80/87/26/760808726.db2.gz RTHJYAPYZYPETG-MRXNPFEDSA-N 1 2 304.394 1.294 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CCN1Cc1c[nH+]cn1C ZINC001038259819 760954666 /nfs/dbraw/zinc/95/46/66/760954666.db2.gz JWEFZGMDVPTYAL-IMJJTQAJSA-N 1 2 318.421 1.092 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CCC(C)(F)F)CC1 ZINC001131369341 768014661 /nfs/dbraw/zinc/01/46/61/768014661.db2.gz BPOAGNLUFNSMBW-UHFFFAOYSA-N 1 2 317.380 1.258 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CCC(C)(F)F)CC1 ZINC001131369341 768014669 /nfs/dbraw/zinc/01/46/69/768014669.db2.gz BPOAGNLUFNSMBW-UHFFFAOYSA-N 1 2 317.380 1.258 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001056454443 761183861 /nfs/dbraw/zinc/18/38/61/761183861.db2.gz MDHKRGZFAXDQES-HALDLXJZSA-N 1 2 323.400 1.637 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnc(-n2cccn2)c1 ZINC001038704690 761306525 /nfs/dbraw/zinc/30/65/25/761306525.db2.gz YAWHCERCTSHXDO-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnc(-n2cccn2)c1 ZINC001038704690 761306535 /nfs/dbraw/zinc/30/65/35/761306535.db2.gz YAWHCERCTSHXDO-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(-c2cccs2)n[nH]1 ZINC001038721393 761328087 /nfs/dbraw/zinc/32/80/87/761328087.db2.gz XUUCLHCPOOOZBV-NSHDSACASA-N 1 2 300.387 1.576 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(-c2cccs2)n[nH]1 ZINC001038721393 761328094 /nfs/dbraw/zinc/32/80/94/761328094.db2.gz XUUCLHCPOOOZBV-NSHDSACASA-N 1 2 300.387 1.576 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1nn(C)c2c1CCCC2 ZINC001038725703 761331022 /nfs/dbraw/zinc/33/10/22/761331022.db2.gz NYBXIKDYOICJNH-ZDUSSCGKSA-N 1 2 300.406 1.126 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1nn(C)c2c1CCCC2 ZINC001038725703 761331029 /nfs/dbraw/zinc/33/10/29/761331029.db2.gz NYBXIKDYOICJNH-ZDUSSCGKSA-N 1 2 300.406 1.126 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnc(C3CC3)nc2)C1 ZINC001108264339 761428797 /nfs/dbraw/zinc/42/87/97/761428797.db2.gz SZNFDJATMOOOHX-QGZVFWFLSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnc(C3CC3)nc2)C1 ZINC001108264339 761428800 /nfs/dbraw/zinc/42/88/00/761428800.db2.gz SZNFDJATMOOOHX-QGZVFWFLSA-N 1 2 316.405 1.361 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn2cccc(OC)c12 ZINC001038829441 761445331 /nfs/dbraw/zinc/44/53/31/761445331.db2.gz MHVSTLOFABOOLT-ZDUSSCGKSA-N 1 2 312.373 1.170 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn2cccc(OC)c12 ZINC001038829441 761445332 /nfs/dbraw/zinc/44/53/32/761445332.db2.gz MHVSTLOFABOOLT-ZDUSSCGKSA-N 1 2 312.373 1.170 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(-n2ccnc2)ccn1 ZINC001038868204 761491173 /nfs/dbraw/zinc/49/11/73/761491173.db2.gz YQQASSYLXZWZSS-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(-n2ccnc2)ccn1 ZINC001038868204 761491175 /nfs/dbraw/zinc/49/11/75/761491175.db2.gz YQQASSYLXZWZSS-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2CCC(F)(F)F)cn1 ZINC001038930572 761559779 /nfs/dbraw/zinc/55/97/79/761559779.db2.gz DFVSWWXRFKBNKV-ZDUSSCGKSA-N 1 2 311.307 1.819 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2CCC(F)(F)F)cn1 ZINC001038930572 761559785 /nfs/dbraw/zinc/55/97/85/761559785.db2.gz DFVSWWXRFKBNKV-ZDUSSCGKSA-N 1 2 311.307 1.819 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2ncccc2C)nc1 ZINC001038942701 761574796 /nfs/dbraw/zinc/57/47/96/761574796.db2.gz JVIHJXGMNTWIAZ-MRXNPFEDSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ncccc2C)nc1 ZINC001038942701 761574804 /nfs/dbraw/zinc/57/48/04/761574804.db2.gz JVIHJXGMNTWIAZ-MRXNPFEDSA-N 1 2 320.396 1.771 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)nc[nH]c2=O ZINC001039026638 761663971 /nfs/dbraw/zinc/66/39/71/761663971.db2.gz WIIBXDODPOITOI-ZDUSSCGKSA-N 1 2 310.357 1.163 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)nc[nH]c2=O ZINC001039026638 761663975 /nfs/dbraw/zinc/66/39/75/761663975.db2.gz WIIBXDODPOITOI-ZDUSSCGKSA-N 1 2 310.357 1.163 20 30 DDEDLO C[C@@H](CNc1cncc(C#N)n1)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001108778206 762930594 /nfs/dbraw/zinc/93/05/94/762930594.db2.gz YVXPCUIKSYJVSQ-JTQLQIEISA-N 1 2 321.344 1.455 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@]2(CCN(CC#N)C2)C1 ZINC001041732441 763266573 /nfs/dbraw/zinc/26/65/73/763266573.db2.gz JIEUFWJGBDCRGH-BBRMVZONSA-N 1 2 301.394 1.036 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@]2(CCN(CC#N)C2)C1 ZINC001041732441 763266576 /nfs/dbraw/zinc/26/65/76/763266576.db2.gz JIEUFWJGBDCRGH-BBRMVZONSA-N 1 2 301.394 1.036 20 30 DDEDLO C=CCOCC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc(C)c1C)C2 ZINC001109096654 763325361 /nfs/dbraw/zinc/32/53/61/763325361.db2.gz AVJPCLVCCAKKNK-ILXRZTDVSA-N 1 2 318.421 1.564 20 30 DDEDLO C=CCOCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc(C)c1C)C2 ZINC001109096654 763325366 /nfs/dbraw/zinc/32/53/66/763325366.db2.gz AVJPCLVCCAKKNK-ILXRZTDVSA-N 1 2 318.421 1.564 20 30 DDEDLO C=CC[N@H+]1CCC(F)(F)[C@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001046950274 768145474 /nfs/dbraw/zinc/14/54/74/768145474.db2.gz GIPULYQTRVWYQL-JHJVBQTASA-N 1 2 324.375 1.773 20 30 DDEDLO C=CC[N@@H+]1CCC(F)(F)[C@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001046950274 768145477 /nfs/dbraw/zinc/14/54/77/768145477.db2.gz GIPULYQTRVWYQL-JHJVBQTASA-N 1 2 324.375 1.773 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NCC)CCC1 ZINC001109391808 763645899 /nfs/dbraw/zinc/64/58/99/763645899.db2.gz BTFBUXWPEHDDRO-ILXRZTDVSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NCC)CCC1 ZINC001109391808 763645906 /nfs/dbraw/zinc/64/59/06/763645906.db2.gz BTFBUXWPEHDDRO-ILXRZTDVSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCC[NH+]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)CC1 ZINC001050526720 763709189 /nfs/dbraw/zinc/70/91/89/763709189.db2.gz OMBDIRZUXIVJRP-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[NH+]1CCC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)CC1 ZINC001050526720 763709194 /nfs/dbraw/zinc/70/91/94/763709194.db2.gz OMBDIRZUXIVJRP-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO Cc1nsc(NCCCN(C)C(=O)Cn2cc[nH+]c2)c1C#N ZINC001109472465 763741080 /nfs/dbraw/zinc/74/10/80/763741080.db2.gz NYXLTVYHAQZYEH-UHFFFAOYSA-N 1 2 318.406 1.480 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001050586915 763789557 /nfs/dbraw/zinc/78/95/57/763789557.db2.gz GQZKUHZLJCZWCF-GJZGRUSLSA-N 1 2 316.405 1.040 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001050588071 763791127 /nfs/dbraw/zinc/79/11/27/763791127.db2.gz PRVMDQOZYUJCBY-ZIAGYGMSSA-N 1 2 316.405 1.109 20 30 DDEDLO CN(CCCNc1ncccc1C#N)C(=O)CCn1cc[nH+]c1 ZINC001109579923 763835104 /nfs/dbraw/zinc/83/51/04/763835104.db2.gz LHYVUAFFFIZDGK-UHFFFAOYSA-N 1 2 312.377 1.500 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CC(=C)C)C2 ZINC001109631411 763894719 /nfs/dbraw/zinc/89/47/19/763894719.db2.gz AZUWHCBJDCBMFL-FZKCQIBNSA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CC(=C)C)C2 ZINC001109631411 763894723 /nfs/dbraw/zinc/89/47/23/763894723.db2.gz AZUWHCBJDCBMFL-FZKCQIBNSA-N 1 2 319.449 1.612 20 30 DDEDLO Cc1nc(N[C@@H]2CCCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001057599658 764088207 /nfs/dbraw/zinc/08/82/07/764088207.db2.gz HGOGASJTOURKND-CYBMUJFWSA-N 1 2 310.361 1.702 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccncc1F ZINC001050855577 764188377 /nfs/dbraw/zinc/18/83/77/764188377.db2.gz JOLJNYCUDJWNMS-ZDUSSCGKSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccncc1F ZINC001050855577 764188382 /nfs/dbraw/zinc/18/83/82/764188382.db2.gz JOLJNYCUDJWNMS-ZDUSSCGKSA-N 1 2 307.369 1.618 20 30 DDEDLO C=CCN1CCOC[C@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001050980613 764379334 /nfs/dbraw/zinc/37/93/34/764379334.db2.gz XYEAHSQYQHJBKP-CYBMUJFWSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncccc1CC ZINC001050992884 764391986 /nfs/dbraw/zinc/39/19/86/764391986.db2.gz OOTQDYWQTVHJOX-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncccc1CC ZINC001050992884 764391994 /nfs/dbraw/zinc/39/19/94/764391994.db2.gz OOTQDYWQTVHJOX-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc2n[nH]cc21 ZINC001051057092 764460201 /nfs/dbraw/zinc/46/02/01/764460201.db2.gz ZDMKXGQKOUQWLH-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc2n[nH]cc21 ZINC001051057092 764460210 /nfs/dbraw/zinc/46/02/10/764460210.db2.gz ZDMKXGQKOUQWLH-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CC[C@H](C)F)cc1 ZINC001051059778 764463374 /nfs/dbraw/zinc/46/33/74/764463374.db2.gz CXTQUOHALGQTAB-YOEHRIQHSA-N 1 2 318.392 1.847 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CC[C@H](C)F)cc1 ZINC001051059778 764463378 /nfs/dbraw/zinc/46/33/78/764463378.db2.gz CXTQUOHALGQTAB-YOEHRIQHSA-N 1 2 318.392 1.847 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc3c2NC(=O)CO3)C1 ZINC001042943125 764540927 /nfs/dbraw/zinc/54/09/27/764540927.db2.gz BMSQASKBCXOREA-UHFFFAOYSA-N 1 2 315.373 1.350 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CCC)c1 ZINC001051143891 764548147 /nfs/dbraw/zinc/54/81/47/764548147.db2.gz LYODILFHZYDQOS-INIZCTEOSA-N 1 2 320.437 1.690 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CCC)c1 ZINC001051143891 764548155 /nfs/dbraw/zinc/54/81/55/764548155.db2.gz LYODILFHZYDQOS-INIZCTEOSA-N 1 2 320.437 1.690 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](Cc2ccc(C(=O)NC)cc2)CC1 ZINC001112687641 764595996 /nfs/dbraw/zinc/59/59/96/764595996.db2.gz LBZXOUBDQBGZRW-UHFFFAOYSA-N 1 2 315.417 1.657 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001112836587 764843709 /nfs/dbraw/zinc/84/37/09/764843709.db2.gz DOHXIIOUERISDU-UHFFFAOYSA-N 1 2 306.450 1.997 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc3oc(=O)[nH]c32)C1 ZINC001043361013 764860319 /nfs/dbraw/zinc/86/03/19/764860319.db2.gz GTQWPYPPOIFFDL-UHFFFAOYSA-N 1 2 301.346 1.866 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](CCc2scnc2C)CC1 ZINC001112845959 764860640 /nfs/dbraw/zinc/86/06/40/764860640.db2.gz ARUJCKKHMWFDGP-CQSZACIVSA-N 1 2 321.446 1.177 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2csc(C)c2)CC1 ZINC001112846838 764862184 /nfs/dbraw/zinc/86/21/84/764862184.db2.gz ZTVQFKIIMUNRPB-CQSZACIVSA-N 1 2 306.431 1.739 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C3CC3)nn2C)CC1 ZINC001112901420 764951174 /nfs/dbraw/zinc/95/11/74/764951174.db2.gz NCVBEXYKAAUVNO-UHFFFAOYSA-N 1 2 318.421 1.258 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)C2(NC(C)=O)CCCCC2)CC1 ZINC001113027993 765155476 /nfs/dbraw/zinc/15/54/76/765155476.db2.gz LGLBZKSIUKNRJI-UHFFFAOYSA-N 1 2 307.438 1.546 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2coc(OCC)n2)CC1 ZINC001113068930 765218001 /nfs/dbraw/zinc/21/80/01/765218001.db2.gz CGUWEBTYCKKYDM-UHFFFAOYSA-N 1 2 309.366 1.034 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2[nH]nc(C(F)(F)F)c2C)C1 ZINC001044004453 765225495 /nfs/dbraw/zinc/22/54/95/765225495.db2.gz MALLGCJNVPKUSY-UHFFFAOYSA-N 1 2 314.311 1.516 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2noc3c2C[C@H](C)CC3)C1 ZINC001044126751 765327328 /nfs/dbraw/zinc/32/73/28/765327328.db2.gz IBTLCDJMXHCSMV-GFCCVEGCSA-N 1 2 301.390 1.579 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C[C@H]3C=CCC3)C2)CC1 ZINC001051978138 765340342 /nfs/dbraw/zinc/34/03/42/765340342.db2.gz MPUFJVHAFPJGDR-ZWKOTPCHSA-N 1 2 315.461 1.585 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)C3CC=CC3)C2)CC1 ZINC001052003188 765369010 /nfs/dbraw/zinc/36/90/10/765369010.db2.gz PMGOQKHHMPGQBM-MRXNPFEDSA-N 1 2 323.868 1.924 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)(C)C(=C)Cl)C2)CC1 ZINC001052033270 765408186 /nfs/dbraw/zinc/40/81/86/765408186.db2.gz NXPBTMWCAWPTHW-HNNXBMFYSA-N 1 2 323.868 1.617 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3CCCC34CC4)C2)CC1 ZINC001052042632 765415005 /nfs/dbraw/zinc/41/50/05/765415005.db2.gz FCEULNOPTRQZBW-IRXDYDNUSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CCCCCCC(=O)N1CC[NH+](CCn2cccn2)CC1 ZINC001113197965 765419481 /nfs/dbraw/zinc/41/94/81/765419481.db2.gz KZTDQIYRTMSMLL-UHFFFAOYSA-N 1 2 302.422 1.611 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(C)CCCC3)C2)CC1 ZINC001052058539 765430541 /nfs/dbraw/zinc/43/05/41/765430541.db2.gz XTVPNLLFGBUQJQ-QGZVFWFLSA-N 1 2 317.477 1.809 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH+]2CCN(CC(=C)C)CC2)C1 ZINC001052061046 765432322 /nfs/dbraw/zinc/43/23/22/765432322.db2.gz QZCPJCRMHUMLQH-INIZCTEOSA-N 1 2 305.466 1.993 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)cc1 ZINC001052060309 765432436 /nfs/dbraw/zinc/43/24/36/765432436.db2.gz BYSIXMSDXAWABE-GOSISDBHSA-N 1 2 322.412 1.024 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3CC34CCCC4)C2)CC1 ZINC001052101996 765471481 /nfs/dbraw/zinc/47/14/81/765471481.db2.gz CAAZIQIRRMGRPC-IAGOWNOFSA-N 1 2 315.461 1.418 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113352034 765615956 /nfs/dbraw/zinc/61/59/56/765615956.db2.gz LYQSXZLDTWKCDQ-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113352034 765615961 /nfs/dbraw/zinc/61/59/61/765615961.db2.gz LYQSXZLDTWKCDQ-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO Cc1nc(NC[C@@H]2CCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001098507921 765712677 /nfs/dbraw/zinc/71/26/77/765712677.db2.gz HKTPMEKUIFBZKP-LBPRGKRZSA-N 1 2 305.407 1.302 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)N(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC001113541351 765841356 /nfs/dbraw/zinc/84/13/56/765841356.db2.gz RCECUCQBOHBTRX-CYBMUJFWSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)cc2C)[C@@H](n2ccnn2)C1 ZINC001070088144 768333291 /nfs/dbraw/zinc/33/32/91/768333291.db2.gz UGUWDJYULAIZPC-SJORKVTESA-N 1 2 323.400 1.183 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)cc2C)[C@@H](n2ccnn2)C1 ZINC001070088144 768333299 /nfs/dbraw/zinc/33/32/99/768333299.db2.gz UGUWDJYULAIZPC-SJORKVTESA-N 1 2 323.400 1.183 20 30 DDEDLO Cc1ccc(C#N)c(N(C)[C@@H](C)CNC(=O)Cn2cc[nH+]c2)n1 ZINC001113644926 765969982 /nfs/dbraw/zinc/96/99/82/765969982.db2.gz MVYSCWNXCYGXMQ-ZDUSSCGKSA-N 1 2 312.377 1.099 20 30 DDEDLO Cc1nsc(N(C)[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001113695698 766027351 /nfs/dbraw/zinc/02/73/51/766027351.db2.gz IZIHYNDBSZOYCH-SECBINFHSA-N 1 2 318.406 1.230 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[NH+](Cc2ccc(CO)cc2)CC1 ZINC001113712806 766052980 /nfs/dbraw/zinc/05/29/80/766052980.db2.gz GKQBXLNOZQPCTH-HNNXBMFYSA-N 1 2 318.417 1.414 20 30 DDEDLO C[C@@H](CNC(=O)CCn1cc[nH+]c1)N(C)c1ccc(C#N)cn1 ZINC001113822322 766208813 /nfs/dbraw/zinc/20/88/13/766208813.db2.gz OHDXKNABHUPWDY-ZDUSSCGKSA-N 1 2 312.377 1.181 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001058188000 766294968 /nfs/dbraw/zinc/29/49/68/766294968.db2.gz FVULAZHFSMAICB-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113906264 766381018 /nfs/dbraw/zinc/38/10/18/766381018.db2.gz GYNMMGVVPDPNGF-BNOWGMLFSA-N 1 2 304.394 1.317 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccn(C(C)C)n3)C[C@H]21 ZINC001114026249 766557468 /nfs/dbraw/zinc/55/74/68/766557468.db2.gz YKQOLIQMUONJRC-QLPKVWCKSA-N 1 2 302.422 1.977 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccn(C(C)C)n3)C[C@H]21 ZINC001114026249 766557471 /nfs/dbraw/zinc/55/74/71/766557471.db2.gz YKQOLIQMUONJRC-QLPKVWCKSA-N 1 2 302.422 1.977 20 30 DDEDLO CC1(C)CC[C@H]1C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046056892 766866583 /nfs/dbraw/zinc/86/65/83/766866583.db2.gz YTCKLVULVUMRLC-GJZGRUSLSA-N 1 2 304.438 1.165 20 30 DDEDLO CC1(C)CC[C@H](C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)C1 ZINC001046062204 766875865 /nfs/dbraw/zinc/87/58/65/766875865.db2.gz RPYXWIFWQMOSPX-HOTGVXAUSA-N 1 2 318.465 1.555 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001046250705 767296168 /nfs/dbraw/zinc/29/61/68/767296168.db2.gz DYKQIRZUAJNVJN-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001046250705 767296170 /nfs/dbraw/zinc/29/61/70/767296170.db2.gz DYKQIRZUAJNVJN-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccn3nnnc3c2)C1 ZINC001046539336 767668904 /nfs/dbraw/zinc/66/89/04/767668904.db2.gz WCAKKZFYWMTSKE-CQSZACIVSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccn3nnnc3c2)C1 ZINC001046539336 767668906 /nfs/dbraw/zinc/66/89/06/767668906.db2.gz WCAKKZFYWMTSKE-CQSZACIVSA-N 1 2 320.784 1.071 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001068971318 767736736 /nfs/dbraw/zinc/73/67/36/767736736.db2.gz GGZQUWAYOOCAHV-DOMZBBRYSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001068971318 767736739 /nfs/dbraw/zinc/73/67/39/767736739.db2.gz GGZQUWAYOOCAHV-DOMZBBRYSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](c2n[nH]c(CNC(C)=O)n2)[C@H](C2CC2)C1 ZINC001070351258 768398223 /nfs/dbraw/zinc/39/82/23/768398223.db2.gz PFUIKVQMBFDCSV-QWHCGFSZSA-N 1 2 323.828 1.619 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](c2n[nH]c(CNC(C)=O)n2)[C@H](C2CC2)C1 ZINC001070351258 768398232 /nfs/dbraw/zinc/39/82/32/768398232.db2.gz PFUIKVQMBFDCSV-QWHCGFSZSA-N 1 2 323.828 1.619 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+]Cc1nc(C(C)C)no1 ZINC001131867311 768444401 /nfs/dbraw/zinc/44/44/01/768444401.db2.gz ODVSUIYOGSDQPE-INIZCTEOSA-N 1 2 324.425 1.628 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cnnn2CC)C1 ZINC001131900581 768465732 /nfs/dbraw/zinc/46/57/32/768465732.db2.gz ZZICEMDUJGGGOU-UONOGXRCSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cnnn2CC)C1 ZINC001131900581 768465733 /nfs/dbraw/zinc/46/57/33/768465733.db2.gz ZZICEMDUJGGGOU-UONOGXRCSA-N 1 2 305.426 1.733 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CNC(=O)CC)CC[C@@H]1C ZINC001131909017 768472974 /nfs/dbraw/zinc/47/29/74/768472974.db2.gz RHDVSRXSRUKGLJ-RYUDHWBXSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CNC(=O)CC)CC[C@@H]1C ZINC001131909017 768472977 /nfs/dbraw/zinc/47/29/77/768472977.db2.gz RHDVSRXSRUKGLJ-RYUDHWBXSA-N 1 2 301.818 1.234 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CCC2CCC2)C[N@@H+]1CC(=O)NCC#N ZINC001131951791 768510438 /nfs/dbraw/zinc/51/04/38/768510438.db2.gz SKVMUPNDMWBKBQ-ZFWWWQNUSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CCC2CCC2)C[N@H+]1CC(=O)NCC#N ZINC001131951791 768510441 /nfs/dbraw/zinc/51/04/41/768510441.db2.gz SKVMUPNDMWBKBQ-ZFWWWQNUSA-N 1 2 320.437 1.176 20 30 DDEDLO CC(C(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1)=C1CCC1 ZINC001132018022 768575369 /nfs/dbraw/zinc/57/53/69/768575369.db2.gz URUSQTVHFQBSTK-WFASDCNBSA-N 1 2 318.421 1.096 20 30 DDEDLO CC(C(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1)=C1CCC1 ZINC001132018022 768575376 /nfs/dbraw/zinc/57/53/76/768575376.db2.gz URUSQTVHFQBSTK-WFASDCNBSA-N 1 2 318.421 1.096 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3cc(C)ccc3o2)C1 ZINC001047638375 768629100 /nfs/dbraw/zinc/62/91/00/768629100.db2.gz PSJUZVWMLGTYOX-GJZGRUSLSA-N 1 2 312.369 1.492 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3cc(C)ccc3o2)C1 ZINC001047638375 768629103 /nfs/dbraw/zinc/62/91/03/768629103.db2.gz PSJUZVWMLGTYOX-GJZGRUSLSA-N 1 2 312.369 1.492 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001070636499 768636041 /nfs/dbraw/zinc/63/60/41/768636041.db2.gz ZTZGESGAJZWRJV-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C/C(C)=C\CC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132227992 768698396 /nfs/dbraw/zinc/69/83/96/768698396.db2.gz KFDPQBAMJMNACO-QTMMWEQMSA-N 1 2 318.421 1.118 20 30 DDEDLO C=C/C(C)=C\CC(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132227992 768698403 /nfs/dbraw/zinc/69/84/03/768698403.db2.gz KFDPQBAMJMNACO-QTMMWEQMSA-N 1 2 318.421 1.118 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CCCC2CC2)C[N@@H+]1CC(=O)NCC#N ZINC001132244230 768712004 /nfs/dbraw/zinc/71/20/04/768712004.db2.gz HSYNQCRHUSWRQN-DZGCQCFKSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CCCC2CC2)C[N@H+]1CC(=O)NCC#N ZINC001132244230 768712008 /nfs/dbraw/zinc/71/20/08/768712008.db2.gz HSYNQCRHUSWRQN-DZGCQCFKSA-N 1 2 320.437 1.176 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C2(CC)CCC2)CC[C@H]1C ZINC001132244734 768712372 /nfs/dbraw/zinc/71/23/72/768712372.db2.gz KDYFPPOZXVUOFB-CABCVRRESA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C2(CC)CCC2)CC[C@H]1C ZINC001132244734 768712376 /nfs/dbraw/zinc/71/23/76/768712376.db2.gz KDYFPPOZXVUOFB-CABCVRRESA-N 1 2 319.449 1.285 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132257086 768713791 /nfs/dbraw/zinc/71/37/91/768713791.db2.gz TYAFCLDBRFCPCJ-UONOGXRCSA-N 1 2 305.426 1.641 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132257086 768713793 /nfs/dbraw/zinc/71/37/93/768713793.db2.gz TYAFCLDBRFCPCJ-UONOGXRCSA-N 1 2 305.426 1.641 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C(C)(C)NC(C)=O)CC[C@H]1C ZINC001132365935 768780299 /nfs/dbraw/zinc/78/02/99/768780299.db2.gz SYGRZGCPJCURTA-DGCLKSJQSA-N 1 2 315.845 1.623 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C(C)(C)NC(C)=O)CC[C@H]1C ZINC001132365935 768780304 /nfs/dbraw/zinc/78/03/04/768780304.db2.gz SYGRZGCPJCURTA-DGCLKSJQSA-N 1 2 315.845 1.623 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CC(C)(C)C2CC2)CC1 ZINC001070959654 768813649 /nfs/dbraw/zinc/81/36/49/768813649.db2.gz BGYZRRGEXWGYHI-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CC(C)(C)C2CC2)CC1 ZINC001070959654 768813655 /nfs/dbraw/zinc/81/36/55/768813655.db2.gz BGYZRRGEXWGYHI-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH2+]Cc1nnc(C(F)(F)F)s1 ZINC001132391306 768814054 /nfs/dbraw/zinc/81/40/54/768814054.db2.gz HMVYMDMEZVJNPD-UHFFFAOYSA-N 1 2 322.356 1.975 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)Cc2ccco2)CC1 ZINC001071009461 768875321 /nfs/dbraw/zinc/87/53/21/768875321.db2.gz SRCONSYKTSBYPV-UHFFFAOYSA-N 1 2 319.405 1.049 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)Cc2ccco2)CC1 ZINC001071009461 768875337 /nfs/dbraw/zinc/87/53/37/768875337.db2.gz SRCONSYKTSBYPV-UHFFFAOYSA-N 1 2 319.405 1.049 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001071016946 768884380 /nfs/dbraw/zinc/88/43/80/768884380.db2.gz YPOQPFMERHKKHD-CYBMUJFWSA-N 1 2 318.421 1.761 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001071032352 768899728 /nfs/dbraw/zinc/89/97/28/768899728.db2.gz OUKQMTOLOUMSOO-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(c2ncnc3c2C[N@H+](C)CC3)C1 ZINC001096350863 769340165 /nfs/dbraw/zinc/34/01/65/769340165.db2.gz BJCYTXNRKKKEEZ-ZDUSSCGKSA-N 1 2 315.421 1.126 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(c2ncnc3c2C[N@@H+](C)CC3)C1 ZINC001096350863 769340173 /nfs/dbraw/zinc/34/01/73/769340173.db2.gz BJCYTXNRKKKEEZ-ZDUSSCGKSA-N 1 2 315.421 1.126 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071416800 769403231 /nfs/dbraw/zinc/40/32/31/769403231.db2.gz YUEIQZPBWKORBB-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C1CCC(C(=O)NCC[NH2+]Cc2nc(C3CC3)no2)CC1 ZINC001133362538 769745164 /nfs/dbraw/zinc/74/51/64/769745164.db2.gz JVNXNVMPZMVHDQ-UHFFFAOYSA-N 1 2 304.394 1.899 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[NH2+]Cc1nc(C(C)C)ns1 ZINC001134052993 770603328 /nfs/dbraw/zinc/60/33/28/770603328.db2.gz JGMSKWIXIGIWIK-NEPJUHHUSA-N 1 2 324.450 1.458 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)n1 ZINC001049373563 770752524 /nfs/dbraw/zinc/75/25/24/770752524.db2.gz VCXFZWWHVKXEKR-JHJVBQTASA-N 1 2 317.393 1.357 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)n1 ZINC001049373563 770752528 /nfs/dbraw/zinc/75/25/28/770752528.db2.gz VCXFZWWHVKXEKR-JHJVBQTASA-N 1 2 317.393 1.357 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049382502 770762061 /nfs/dbraw/zinc/76/20/61/770762061.db2.gz OTJRLUBDTMBBIO-IACUBPJLSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049382502 770762064 /nfs/dbraw/zinc/76/20/64/770762064.db2.gz OTJRLUBDTMBBIO-IACUBPJLSA-N 1 2 302.378 1.708 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)C#N ZINC001049384461 770763933 /nfs/dbraw/zinc/76/39/33/770763933.db2.gz WMROMUCDEJRGHO-RRFJBIMHSA-N 1 2 315.421 1.628 20 30 DDEDLO CCn1ccnc1C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)C#N ZINC001049384461 770763939 /nfs/dbraw/zinc/76/39/39/770763939.db2.gz WMROMUCDEJRGHO-RRFJBIMHSA-N 1 2 315.421 1.628 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049499377 770933749 /nfs/dbraw/zinc/93/37/49/770933749.db2.gz RJJHGTMCEBALHO-LSDHHAIUSA-N 1 2 309.373 1.270 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049499377 770933757 /nfs/dbraw/zinc/93/37/57/770933757.db2.gz RJJHGTMCEBALHO-LSDHHAIUSA-N 1 2 309.373 1.270 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001072480883 770955148 /nfs/dbraw/zinc/95/51/48/770955148.db2.gz JVAORBJWNJEHOI-BXUZGUMPSA-N 1 2 304.394 1.190 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+][C@@H](C)c1csnn1 ZINC001135142117 771347916 /nfs/dbraw/zinc/34/79/16/771347916.db2.gz HAFSVVCAVVWHRU-GVXVVHGQSA-N 1 2 310.423 1.286 20 30 DDEDLO N#Cc1ccc(N[C@@H](CNC(=O)Cn2cc[nH+]c2)C2CC2)cn1 ZINC001096683375 771391225 /nfs/dbraw/zinc/39/12/25/771391225.db2.gz KQJLQZHLMOHSSC-HNNXBMFYSA-N 1 2 310.361 1.157 20 30 DDEDLO N#Cc1cccnc1N[C@H](CNC(=O)CCn1cc[nH+]c1)C1CC1 ZINC001096768345 771455627 /nfs/dbraw/zinc/45/56/27/771455627.db2.gz KGNSJNYVSSKBJV-OAHLLOKOSA-N 1 2 324.388 1.547 20 30 DDEDLO N#Cc1cccnc1N[C@H](CNC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001096838101 771478212 /nfs/dbraw/zinc/47/82/12/771478212.db2.gz XPCRAZKTQUAWNS-OAHLLOKOSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cccnc1N[C@H](CNC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001096838101 771478217 /nfs/dbraw/zinc/47/82/17/771478217.db2.gz XPCRAZKTQUAWNS-OAHLLOKOSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnc(C)nc2C)[C@@H](O)C1 ZINC001090655017 772079758 /nfs/dbraw/zinc/07/97/58/772079758.db2.gz NPZVUFYDJVMFAN-KGLIPLIRSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnc(C)nc2C)[C@@H](O)C1 ZINC001090655017 772079764 /nfs/dbraw/zinc/07/97/64/772079764.db2.gz NPZVUFYDJVMFAN-KGLIPLIRSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2nc(C)oc2C)[C@@H](O)C1 ZINC001090673181 772100134 /nfs/dbraw/zinc/10/01/34/772100134.db2.gz IWIUPWWGWHOJRF-RYUDHWBXSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2nc(C)oc2C)[C@@H](O)C1 ZINC001090673181 772100136 /nfs/dbraw/zinc/10/01/36/772100136.db2.gz IWIUPWWGWHOJRF-RYUDHWBXSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C)nc2C)[C@H](O)C1 ZINC001090827243 772246512 /nfs/dbraw/zinc/24/65/12/772246512.db2.gz HPHSKQVPOKPDDZ-LSDHHAIUSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C)nc2C)[C@H](O)C1 ZINC001090827243 772246515 /nfs/dbraw/zinc/24/65/15/772246515.db2.gz HPHSKQVPOKPDDZ-LSDHHAIUSA-N 1 2 323.824 1.616 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001091413580 772735280 /nfs/dbraw/zinc/73/52/80/772735280.db2.gz DHDZGUMVXWEUNH-DRQUAOQDSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001091413580 772735283 /nfs/dbraw/zinc/73/52/83/772735283.db2.gz DHDZGUMVXWEUNH-DRQUAOQDSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(Br)C[N@H+]1CCC2(CN(C(=O)COCC)C2)C1 ZINC001146927639 772977399 /nfs/dbraw/zinc/97/73/99/772977399.db2.gz GGQTYDXZBJCJBM-UHFFFAOYSA-N 1 2 317.227 1.466 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC2(CN(C(=O)COCC)C2)C1 ZINC001146927639 772977402 /nfs/dbraw/zinc/97/74/02/772977402.db2.gz GGQTYDXZBJCJBM-UHFFFAOYSA-N 1 2 317.227 1.466 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)o1)C2 ZINC001147639835 773188266 /nfs/dbraw/zinc/18/82/66/773188266.db2.gz CPQBDZBILQXZEM-UHFFFAOYSA-N 1 2 304.394 1.769 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)o1)C2 ZINC001147639835 773188269 /nfs/dbraw/zinc/18/82/69/773188269.db2.gz CPQBDZBILQXZEM-UHFFFAOYSA-N 1 2 304.394 1.769 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CC2(C1)CCN(CC#N)C2)n1cc[nH+]c1 ZINC001147767122 773220881 /nfs/dbraw/zinc/22/08/81/773220881.db2.gz JEGSGLLWZSUXLE-HNNXBMFYSA-N 1 2 315.421 1.528 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)o1)C2 ZINC001148088856 773361246 /nfs/dbraw/zinc/36/12/46/773361246.db2.gz RUIKLYXNNPXXCF-CHWSQXEVSA-N 1 2 318.421 1.871 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)o1)C2 ZINC001148088856 773361252 /nfs/dbraw/zinc/36/12/52/773361252.db2.gz RUIKLYXNNPXXCF-CHWSQXEVSA-N 1 2 318.421 1.871 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001073803318 773425862 /nfs/dbraw/zinc/42/58/62/773425862.db2.gz RKPUOZUGWOYFFA-ZIAGYGMSSA-N 1 2 304.394 1.203 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccsc3)C[C@H]21 ZINC001074154934 773679966 /nfs/dbraw/zinc/67/99/66/773679966.db2.gz MDGFTXYPWNPHMG-HZPDHXFCSA-N 1 2 318.442 1.616 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccsc3)C[C@H]21 ZINC001074154934 773679970 /nfs/dbraw/zinc/67/99/70/773679970.db2.gz MDGFTXYPWNPHMG-HZPDHXFCSA-N 1 2 318.442 1.616 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3oc(C)nc3C)C[C@@H]21 ZINC001074195194 773718022 /nfs/dbraw/zinc/71/80/22/773718022.db2.gz QGBLRDAQPNBJLX-LSDHHAIUSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3oc(C)nc3C)C[C@@H]21 ZINC001074195194 773718023 /nfs/dbraw/zinc/71/80/23/773718023.db2.gz QGBLRDAQPNBJLX-LSDHHAIUSA-N 1 2 319.405 1.783 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccoc3)C[C@@H]21 ZINC001074209431 773732966 /nfs/dbraw/zinc/73/29/66/773732966.db2.gz XVNJBCGNRRYYRD-DLBZAZTESA-N 1 2 316.401 1.537 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccoc3)C[C@@H]21 ZINC001074209431 773732973 /nfs/dbraw/zinc/73/29/73/773732973.db2.gz XVNJBCGNRRYYRD-DLBZAZTESA-N 1 2 316.401 1.537 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccon3)C[C@@H]21 ZINC001074297077 773802233 /nfs/dbraw/zinc/80/22/33/773802233.db2.gz KNDNJYWVOZMOQS-JKSUJKDBSA-N 1 2 319.405 1.485 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccon3)C[C@@H]21 ZINC001074297077 773802237 /nfs/dbraw/zinc/80/22/37/773802237.db2.gz KNDNJYWVOZMOQS-JKSUJKDBSA-N 1 2 319.405 1.485 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]3OCC[N@@H+](CCO)[C@H]3C2)CC1 ZINC001074327265 773826204 /nfs/dbraw/zinc/82/62/04/773826204.db2.gz QVIYAQIGJAPZGB-HOTGVXAUSA-N 1 2 308.422 1.027 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]3OCC[N@H+](CCO)[C@H]3C2)CC1 ZINC001074327265 773826207 /nfs/dbraw/zinc/82/62/07/773826207.db2.gz QVIYAQIGJAPZGB-HOTGVXAUSA-N 1 2 308.422 1.027 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(OC)o3)C[C@@H]21 ZINC001074369166 773861139 /nfs/dbraw/zinc/86/11/39/773861139.db2.gz RAVQBWRBRSSXBC-KBPBESRZSA-N 1 2 320.389 1.780 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(OC)o3)C[C@@H]21 ZINC001074369166 773861143 /nfs/dbraw/zinc/86/11/43/773861143.db2.gz RAVQBWRBRSSXBC-KBPBESRZSA-N 1 2 320.389 1.780 20 30 DDEDLO C[C@H](CC(=O)N1C[C@H]2CN(CC#N)C[C@@]2(C)C1)n1cc[nH+]c1 ZINC001092056221 773929502 /nfs/dbraw/zinc/92/95/02/773929502.db2.gz AIYIFJSZFGBOBX-FMKPAKJESA-N 1 2 301.394 1.138 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092174349 773981800 /nfs/dbraw/zinc/98/18/00/773981800.db2.gz YPBFRUCTCBYBJX-KBPBESRZSA-N 1 2 318.421 1.443 20 30 DDEDLO N#Cc1ccc(NC2CC(CNC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001092269307 774037098 /nfs/dbraw/zinc/03/70/98/774037098.db2.gz TWVXCXVFUAIFTP-UHFFFAOYSA-N 1 2 310.361 1.226 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ncccc1C)c1nccn12 ZINC001092358205 774073299 /nfs/dbraw/zinc/07/32/99/774073299.db2.gz BXMZJEIEQFRDTB-AWEZNQCLSA-N 1 2 323.400 1.658 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccn(C)c1)c1nccn12 ZINC001092363010 774076699 /nfs/dbraw/zinc/07/66/99/774076699.db2.gz GWJPDEHCUXPFMO-OAHLLOKOSA-N 1 2 323.400 1.131 20 30 DDEDLO Cc1nc(N2C[C@@H](C)[C@H](CCNC(=O)C#CC3CC3)C2)cc[nH+]1 ZINC001092512387 774156030 /nfs/dbraw/zinc/15/60/30/774156030.db2.gz MXVVIHRLJZJPGJ-CZUORRHYSA-N 1 2 312.417 1.777 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001075127612 774371348 /nfs/dbraw/zinc/37/13/48/774371348.db2.gz OFJGAQHNSHVYQN-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2[C@@H](CC[N@@H+]2Cc2cnsn2)C1 ZINC001036714206 774387106 /nfs/dbraw/zinc/38/71/06/774387106.db2.gz UOAMHJDGYROIRN-ZFWWWQNUSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2[C@@H](CC[N@H+]2Cc2cnsn2)C1 ZINC001036714206 774387116 /nfs/dbraw/zinc/38/71/16/774387116.db2.gz UOAMHJDGYROIRN-ZFWWWQNUSA-N 1 2 316.430 1.374 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(=O)[nH]c1)C2 ZINC001098322458 774535401 /nfs/dbraw/zinc/53/54/01/774535401.db2.gz WXIRYIJUMHWUAE-UPJWGTAASA-N 1 2 307.781 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(=O)[nH]c1)C2 ZINC001098322458 774535403 /nfs/dbraw/zinc/53/54/03/774535403.db2.gz WXIRYIJUMHWUAE-UPJWGTAASA-N 1 2 307.781 1.875 20 30 DDEDLO N#CCN1CC[C@@H]2CN(C(=O)c3cccc4[nH+]ccn43)CC[C@@H]21 ZINC001036771316 774561165 /nfs/dbraw/zinc/56/11/65/774561165.db2.gz DUCWTCLSXDDTPD-KGLIPLIRSA-N 1 2 309.373 1.394 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001093337557 774636421 /nfs/dbraw/zinc/63/64/21/774636421.db2.gz XFCCARNJECUGSB-YYWXWVFPSA-N 1 2 300.406 1.938 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H](C)C(C)C)C2)nn1 ZINC001098740504 774659203 /nfs/dbraw/zinc/65/92/03/774659203.db2.gz RAKPBKNSDWMPFY-GDBMZVCRSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3CCCCO3)CC2)C1 ZINC001093528322 774779867 /nfs/dbraw/zinc/77/98/67/774779867.db2.gz HDACIAAPSVRWLC-QGZVFWFLSA-N 1 2 322.449 1.823 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cc(C)sn3)CC2)C1 ZINC001093531086 774783742 /nfs/dbraw/zinc/78/37/42/774783742.db2.gz ODQGFZJWEVNXEB-UHFFFAOYSA-N 1 2 321.446 1.943 20 30 DDEDLO N#Cc1sc(NCCNC(=O)Cn2cc[nH+]c2)nc1Cl ZINC001093700912 775020438 /nfs/dbraw/zinc/02/04/38/775020438.db2.gz BFHQSGRZWJMHFZ-UHFFFAOYSA-N 1 2 310.770 1.093 20 30 DDEDLO CC#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)CC)c2)nn1 ZINC001099600620 775059521 /nfs/dbraw/zinc/05/95/21/775059521.db2.gz VTAFQBPXSUROSX-UHFFFAOYSA-N 1 2 311.389 1.406 20 30 DDEDLO C#CCC1(C(=O)NCCNc2cc[nH+]c(C)n2)CCOCC1 ZINC001093920358 775210006 /nfs/dbraw/zinc/21/00/06/775210006.db2.gz CBVKDLLBHVZQAO-UHFFFAOYSA-N 1 2 302.378 1.133 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099804012 775301966 /nfs/dbraw/zinc/30/19/66/775301966.db2.gz GOANOIFWWOQXJX-KBPBESRZSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099804012 775301969 /nfs/dbraw/zinc/30/19/69/775301969.db2.gz GOANOIFWWOQXJX-KBPBESRZSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099807324 775305319 /nfs/dbraw/zinc/30/53/19/775305319.db2.gz OJRVHMHWDQLKND-UONOGXRCSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099807324 775305331 /nfs/dbraw/zinc/30/53/31/775305331.db2.gz OJRVHMHWDQLKND-UONOGXRCSA-N 1 2 321.446 1.306 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001094099358 775431019 /nfs/dbraw/zinc/43/10/19/775431019.db2.gz ZIOSSXTVUQJWOJ-HNNXBMFYSA-N 1 2 302.382 1.494 20 30 DDEDLO N#Cc1cccnc1NCCNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001094111952 775438886 /nfs/dbraw/zinc/43/88/86/775438886.db2.gz COJPQEMAXDPTNX-GFCCVEGCSA-N 1 2 310.361 1.325 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099946893 775457921 /nfs/dbraw/zinc/45/79/21/775457921.db2.gz NECSNOLCXFSZLC-UONOGXRCSA-N 1 2 323.462 1.797 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099946893 775457927 /nfs/dbraw/zinc/45/79/27/775457927.db2.gz NECSNOLCXFSZLC-UONOGXRCSA-N 1 2 323.462 1.797 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccn(C)n2)C[C@@H]1O ZINC001099947768 775459405 /nfs/dbraw/zinc/45/94/05/775459405.db2.gz ZWUOZCCEQYLXIJ-GJZGRUSLSA-N 1 2 320.437 1.074 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccn(C)n2)C[C@@H]1O ZINC001099947768 775459408 /nfs/dbraw/zinc/45/94/08/775459408.db2.gz ZWUOZCCEQYLXIJ-GJZGRUSLSA-N 1 2 320.437 1.074 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(CC)CCC2)[C@H](O)C1 ZINC001099965268 775491402 /nfs/dbraw/zinc/49/14/02/775491402.db2.gz WHJBINWOAFFNDQ-CHWSQXEVSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(CC)CCC2)[C@H](O)C1 ZINC001099965268 775491409 /nfs/dbraw/zinc/49/14/09/775491409.db2.gz WHJBINWOAFFNDQ-CHWSQXEVSA-N 1 2 300.830 1.871 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(OC)no2)C[C@@H]1O ZINC001099975662 775507329 /nfs/dbraw/zinc/50/73/29/775507329.db2.gz FVIRCZBOYNGCJS-KGLIPLIRSA-N 1 2 323.393 1.091 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(OC)no2)C[C@@H]1O ZINC001099975662 775507336 /nfs/dbraw/zinc/50/73/36/775507336.db2.gz FVIRCZBOYNGCJS-KGLIPLIRSA-N 1 2 323.393 1.091 20 30 DDEDLO Cc1nsc(NCCNC(=O)[C@H](C)Cc2c[nH]c[nH+]2)c1C#N ZINC001094188678 775550126 /nfs/dbraw/zinc/55/01/26/775550126.db2.gz IJQRFKGHSOVAJU-SECBINFHSA-N 1 2 318.406 1.453 20 30 DDEDLO Cc1nsc(NCCNC(=O)[C@H](C)Cc2c[nH+]c[nH]2)c1C#N ZINC001094188678 775550139 /nfs/dbraw/zinc/55/01/39/775550139.db2.gz IJQRFKGHSOVAJU-SECBINFHSA-N 1 2 318.406 1.453 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3CC[C@H](F)C3)nn2)C1 ZINC001094313915 775669159 /nfs/dbraw/zinc/66/91/59/775669159.db2.gz IPFKWRVJNZXYPI-STQMWFEESA-N 1 2 321.400 1.465 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3CC34CC4)nn2)C1 ZINC001094322564 775749147 /nfs/dbraw/zinc/74/91/47/775749147.db2.gz FFWCADOOCPWNGF-CQSZACIVSA-N 1 2 301.394 1.127 20 30 DDEDLO CCc1nc(C[NH2+]C2CC(CNC(=O)C#CC(C)C)C2)no1 ZINC001100308957 775972228 /nfs/dbraw/zinc/97/22/28/775972228.db2.gz FJYCSWQJDPHBCX-UHFFFAOYSA-N 1 2 304.394 1.276 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC001101062144 776870489 /nfs/dbraw/zinc/87/04/89/776870489.db2.gz CVIVAGJOHJICJH-ZDUSSCGKSA-N 1 2 324.388 1.170 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(NC2(C#N)CCOCC2)CC1 ZINC001172888829 776924703 /nfs/dbraw/zinc/92/47/03/776924703.db2.gz YHSQMQBKSOEFBV-UHFFFAOYSA-N 1 2 323.437 1.455 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+](Cc3nncs3)C[C@@]2(C)C1 ZINC001101167593 776960747 /nfs/dbraw/zinc/96/07/47/776960747.db2.gz KQLMBZNGBWNGLN-DOMZBBRYSA-N 1 2 306.435 1.785 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+](Cc3nncs3)C[C@@]2(C)C1 ZINC001101167593 776960750 /nfs/dbraw/zinc/96/07/50/776960750.db2.gz KQLMBZNGBWNGLN-DOMZBBRYSA-N 1 2 306.435 1.785 20 30 DDEDLO COC(=O)[C@H](CCc1cn(C)cn1)[NH2+]C1CCC(CC#N)CC1 ZINC001173333292 777114802 /nfs/dbraw/zinc/11/48/02/777114802.db2.gz MXQZKULVRKYEJX-XUJLQICISA-N 1 2 318.421 1.956 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001095175874 777203343 /nfs/dbraw/zinc/20/33/43/777203343.db2.gz OFONPOWLFYRKIQ-MRXNPFEDSA-N 1 2 304.394 1.151 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)CC(C)(C)C)c1nccn12 ZINC001101609954 777300149 /nfs/dbraw/zinc/30/01/49/777300149.db2.gz CAPCDZGYMADRRS-CQSZACIVSA-N 1 2 314.433 1.915 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C(/C)C1CC1)c1nccn12 ZINC001101614536 777306859 /nfs/dbraw/zinc/30/68/59/777306859.db2.gz XIGCOJLQMNMCAD-SCOADAFUSA-N 1 2 324.428 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)COC(C)C)c1nccn12 ZINC001101616033 777308086 /nfs/dbraw/zinc/30/80/86/777308086.db2.gz WNIJUOOMSAXFTB-ZDUSSCGKSA-N 1 2 304.394 1.066 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCC(F)F)c1nccn12 ZINC001101634073 777330106 /nfs/dbraw/zinc/33/01/06/777330106.db2.gz MFXHXIABRQRUPL-GFCCVEGCSA-N 1 2 322.359 1.524 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)CCC(F)F)c1nccn12 ZINC001101634074 777330531 /nfs/dbraw/zinc/33/05/31/777330531.db2.gz MFXHXIABRQRUPL-LBPRGKRZSA-N 1 2 322.359 1.524 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](F)CC)c1nccn12 ZINC001101638540 777335849 /nfs/dbraw/zinc/33/58/49/777335849.db2.gz YNIZBKXPCVGSPV-QWHCGFSZSA-N 1 2 304.369 1.226 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC2(C[NH+](CC=C)C2)n2ccnc21 ZINC001101640712 777337855 /nfs/dbraw/zinc/33/78/55/777337855.db2.gz DQXHJSZGSSAREQ-CQSZACIVSA-N 1 2 300.406 1.997 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099945658 777469062 /nfs/dbraw/zinc/46/90/62/777469062.db2.gz ZZMSFIKDNWXLDK-UONOGXRCSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099945658 777469069 /nfs/dbraw/zinc/46/90/69/777469069.db2.gz ZZMSFIKDNWXLDK-UONOGXRCSA-N 1 2 321.421 1.555 20 30 DDEDLO Cc1nc(C#N)c(NC(=O)c2cc3n(n2)CCC[N@@H+](C)C3)o1 ZINC001175048268 777672876 /nfs/dbraw/zinc/67/28/76/777672876.db2.gz SXTCCMWXMIAGLV-UHFFFAOYSA-N 1 2 300.322 1.139 20 30 DDEDLO Cc1nc(C#N)c(NC(=O)c2cc3n(n2)CCC[N@H+](C)C3)o1 ZINC001175048268 777672879 /nfs/dbraw/zinc/67/28/79/777672879.db2.gz SXTCCMWXMIAGLV-UHFFFAOYSA-N 1 2 300.322 1.139 20 30 DDEDLO COCC#CC[NH2+]CCCC[C@@H](C)NC(=O)c1[nH]ncc1F ZINC001175115726 777683402 /nfs/dbraw/zinc/68/34/02/777683402.db2.gz WVDLSYNDRGMRJC-GFCCVEGCSA-N 1 2 310.373 1.077 20 30 DDEDLO Cc1nc([C@@H](C)[N@@H+]2C[C@@H](CNC(=O)C#CC(C)C)[C@H](C)C2)no1 ZINC001101947755 777717351 /nfs/dbraw/zinc/71/73/51/777717351.db2.gz GLTFTFICWKPNJS-UMVBOHGHSA-N 1 2 318.421 1.783 20 30 DDEDLO Cc1nc([C@@H](C)[N@H+]2C[C@@H](CNC(=O)C#CC(C)C)[C@H](C)C2)no1 ZINC001101947755 777717361 /nfs/dbraw/zinc/71/73/61/777717361.db2.gz GLTFTFICWKPNJS-UMVBOHGHSA-N 1 2 318.421 1.783 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102335715 778074335 /nfs/dbraw/zinc/07/43/35/778074335.db2.gz XIUWRJRWFSSQPX-DZGCQCFKSA-N 1 2 318.421 1.709 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCN(C(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001102441960 778143478 /nfs/dbraw/zinc/14/34/78/778143478.db2.gz LNDDTGZSVCVCKW-OAHLLOKOSA-N 1 2 300.406 1.729 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C=C3CCC3)C[C@@H]21 ZINC001176978893 778365134 /nfs/dbraw/zinc/36/51/34/778365134.db2.gz XSLKGPGRADYPBW-DLBZAZTESA-N 1 2 320.433 1.601 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C=C3CCC3)C[C@@H]21 ZINC001176978893 778365142 /nfs/dbraw/zinc/36/51/42/778365142.db2.gz XSLKGPGRADYPBW-DLBZAZTESA-N 1 2 320.433 1.601 20 30 DDEDLO CN(C(=O)CCc1c[nH]c[nH+]1)[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001102766986 778380766 /nfs/dbraw/zinc/38/07/66/778380766.db2.gz PCWSZOCTMIZTGR-INIZCTEOSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(C(=O)CCc1c[nH+]c[nH]1)[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001102766986 778380773 /nfs/dbraw/zinc/38/07/73/778380773.db2.gz PCWSZOCTMIZTGR-INIZCTEOSA-N 1 2 324.388 1.346 20 30 DDEDLO CC[C@H](CNC(=O)Cn1cc[nH+]c1)Nc1cccc(F)c1C#N ZINC001103118094 778649202 /nfs/dbraw/zinc/64/92/02/778649202.db2.gz QGPBOPLPFIVKAZ-GFCCVEGCSA-N 1 2 315.352 1.901 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)[C@@H]1C ZINC001178278874 778971503 /nfs/dbraw/zinc/97/15/03/778971503.db2.gz OSQHASDPSARXFC-SCRDCRAPSA-N 1 2 322.409 1.438 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([NH2+][C@H](C)c2noc(C)n2)[C@@H]1C ZINC001178681351 779134924 /nfs/dbraw/zinc/13/49/24/779134924.db2.gz BLDJLODZKGXJDG-DYEKYZERSA-N 1 2 320.393 1.058 20 30 DDEDLO C[C@H](CNC(=O)CCc1[nH]cc[nH+]1)CNc1ccc(C#N)cn1 ZINC001103943248 779193246 /nfs/dbraw/zinc/19/32/46/779193246.db2.gz FKCOIAKTCDYIHA-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nnc(C)o1)C2 ZINC001111658488 779422176 /nfs/dbraw/zinc/42/21/76/779422176.db2.gz CCBDWYRFJSKZEJ-BBRMVZONSA-N 1 2 320.393 1.051 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nnc(C)o1)C2 ZINC001111658488 779422179 /nfs/dbraw/zinc/42/21/79/779422179.db2.gz CCBDWYRFJSKZEJ-BBRMVZONSA-N 1 2 320.393 1.051 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1coc(C)n1)C2 ZINC001111660348 779423443 /nfs/dbraw/zinc/42/34/43/779423443.db2.gz FMZKBEXVJNKOLB-YOEHRIQHSA-N 1 2 319.405 1.656 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1coc(C)n1)C2 ZINC001111660348 779423446 /nfs/dbraw/zinc/42/34/46/779423446.db2.gz FMZKBEXVJNKOLB-YOEHRIQHSA-N 1 2 319.405 1.656 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCc3[nH]cc[nH+]3)CCC2)nc1 ZINC001111794131 779484038 /nfs/dbraw/zinc/48/40/38/779484038.db2.gz DQWWHSXLJPFMQY-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO Cc1nc(NCC2(NC(=O)[C@@H](C)C#N)CCOCC2)cc[nH+]1 ZINC001112243531 779669703 /nfs/dbraw/zinc/66/97/03/779669703.db2.gz RNAIVUBACWFNIV-NSHDSACASA-N 1 2 303.366 1.022 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1C ZINC001112421343 779772734 /nfs/dbraw/zinc/77/27/34/779772734.db2.gz INSYSMQUMQUEHG-DZGCQCFKSA-N 1 2 316.405 1.156 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC001115332263 780046264 /nfs/dbraw/zinc/04/62/64/780046264.db2.gz LZFRJRVIVUVFJH-BSLXNSKLSA-N 1 2 305.378 1.121 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC001115332263 780046268 /nfs/dbraw/zinc/04/62/68/780046268.db2.gz LZFRJRVIVUVFJH-BSLXNSKLSA-N 1 2 305.378 1.121 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001115544247 780226064 /nfs/dbraw/zinc/22/60/64/780226064.db2.gz MTZOGAMIXSRTLF-WFASDCNBSA-N 1 2 316.405 1.472 20 30 DDEDLO N#CCNC1(CNC(=O)[C@H]2CCn3cc[nH+]c3C2)CCCCC1 ZINC001115603838 780262793 /nfs/dbraw/zinc/26/27/93/780262793.db2.gz XACWCMRXFFXQHS-AWEZNQCLSA-N 1 2 315.421 1.378 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@@H+](C)CC(=O)N2CCCCC2)C1 ZINC001267215637 837547561 /nfs/dbraw/zinc/54/75/61/837547561.db2.gz NHHLOLHYTUYUFE-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@H+](C)CC(=O)N2CCCCC2)C1 ZINC001267215637 837547564 /nfs/dbraw/zinc/54/75/64/837547564.db2.gz NHHLOLHYTUYUFE-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)CCCc2ccsc2)CC1 ZINC001266285617 836047613 /nfs/dbraw/zinc/04/76/13/836047613.db2.gz GHWZDVHJZYLRAM-UHFFFAOYSA-N 1 2 319.474 1.438 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)C2CCC3(CC3)CC2)CC1 ZINC001266287845 836057095 /nfs/dbraw/zinc/05/70/95/836057095.db2.gz IPGRHVLKLITTJQ-UHFFFAOYSA-N 1 2 303.450 1.324 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001266343821 836146920 /nfs/dbraw/zinc/14/69/20/836146920.db2.gz AAPKYCPBMBGQFF-UONOGXRCSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001266343821 836146926 /nfs/dbraw/zinc/14/69/26/836146926.db2.gz AAPKYCPBMBGQFF-UONOGXRCSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC1CC1 ZINC001266850132 836930890 /nfs/dbraw/zinc/93/08/90/836930890.db2.gz VESOAHANBYXQKY-HNNXBMFYSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC1CC1 ZINC001266850132 836930899 /nfs/dbraw/zinc/93/08/99/836930899.db2.gz VESOAHANBYXQKY-HNNXBMFYSA-N 1 2 321.465 1.696 20 30 DDEDLO Cn1cc(C[N@H+](C)[C@H]2CCCN(C(=O)C#CC(C)(C)C)C2)nn1 ZINC001267105008 837354577 /nfs/dbraw/zinc/35/45/77/837354577.db2.gz IAQFVBUTLUEILE-HNNXBMFYSA-N 1 2 317.437 1.287 20 30 DDEDLO Cn1cc(C[N@@H+](C)[C@H]2CCCN(C(=O)C#CC(C)(C)C)C2)nn1 ZINC001267105008 837354588 /nfs/dbraw/zinc/35/45/88/837354588.db2.gz IAQFVBUTLUEILE-HNNXBMFYSA-N 1 2 317.437 1.287 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001267581801 838360382 /nfs/dbraw/zinc/36/03/82/838360382.db2.gz SVUGGWFSSAETRM-HNNXBMFYSA-N 1 2 317.437 1.289 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001267581801 838360388 /nfs/dbraw/zinc/36/03/88/838360388.db2.gz SVUGGWFSSAETRM-HNNXBMFYSA-N 1 2 317.437 1.289 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1C[NH+](CC(=O)NC2CCCC2)C1 ZINC001267690217 838645879 /nfs/dbraw/zinc/64/58/79/838645879.db2.gz YYZDGHRIRVVWHC-AWEZNQCLSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)C2CCC=CCC2)C1 ZINC001267699438 838674238 /nfs/dbraw/zinc/67/42/38/838674238.db2.gz SLCCVOAUBFEBBG-UHFFFAOYSA-N 1 2 305.422 1.083 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccnc(Cl)c2)C1 ZINC001267724555 838742039 /nfs/dbraw/zinc/74/20/39/838742039.db2.gz XDMAAEJLWZMPBL-JSGCOSHPSA-N 1 2 321.808 1.464 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccnc(Cl)c2)C1 ZINC001267724555 838742045 /nfs/dbraw/zinc/74/20/45/838742045.db2.gz XDMAAEJLWZMPBL-JSGCOSHPSA-N 1 2 321.808 1.464 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@@H+](Cc3cnnn3C)C2)CCCC1 ZINC001267736140 838787216 /nfs/dbraw/zinc/78/72/16/838787216.db2.gz ULJYLOTZISXULF-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@H+](Cc3cnnn3C)C2)CCCC1 ZINC001267736140 838787220 /nfs/dbraw/zinc/78/72/20/838787220.db2.gz ULJYLOTZISXULF-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001267772023 838936248 /nfs/dbraw/zinc/93/62/48/838936248.db2.gz RLHXMVXUMIVSHL-HNNXBMFYSA-N 1 2 318.421 1.874 20 30 DDEDLO C=CCCCC(=O)N(C)[C@H]1CC[N@H+](Cc2cncc(OC)n2)C1 ZINC001267772023 838936255 /nfs/dbraw/zinc/93/62/55/838936255.db2.gz RLHXMVXUMIVSHL-HNNXBMFYSA-N 1 2 318.421 1.874 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](CC)[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001267815995 839022411 /nfs/dbraw/zinc/02/24/11/839022411.db2.gz MZUBOMKOIDOCJG-LBPRGKRZSA-N 1 2 322.457 1.625 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C2(CC=C)CCC2)C1 ZINC001267966697 839285815 /nfs/dbraw/zinc/28/58/15/839285815.db2.gz ORCQQGLIUYRSPX-OAHLLOKOSA-N 1 2 317.433 1.063 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2(CC=C)CCC2)C1 ZINC001267966697 839285826 /nfs/dbraw/zinc/28/58/26/839285826.db2.gz ORCQQGLIUYRSPX-OAHLLOKOSA-N 1 2 317.433 1.063 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)Cc2ccc(F)c(F)c2)C1 ZINC001268026960 839431275 /nfs/dbraw/zinc/43/12/75/839431275.db2.gz MVGYEADRDQJTOR-ZDUSSCGKSA-N 1 2 310.344 1.510 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)Cc2ccc(F)c(F)c2)C1 ZINC001268026960 839431278 /nfs/dbraw/zinc/43/12/78/839431278.db2.gz MVGYEADRDQJTOR-ZDUSSCGKSA-N 1 2 310.344 1.510 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)COCC(F)F)CC2 ZINC001421851338 839606190 /nfs/dbraw/zinc/60/61/90/839606190.db2.gz HSNJQHVZGVYGBW-UHFFFAOYSA-N 1 2 322.783 1.945 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H](C)C[NH2+]Cc2cnsn2)cc1 ZINC001268077377 839651938 /nfs/dbraw/zinc/65/19/38/839651938.db2.gz LLGWQQQNBYNUSY-GFCCVEGCSA-N 1 2 314.414 1.770 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H](C)C[NH2+]Cc1nc(N(C)C)no1 ZINC001268080530 839658701 /nfs/dbraw/zinc/65/87/01/839658701.db2.gz XVQCAHPFELMWHF-NSHDSACASA-N 1 2 309.414 1.284 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001279847082 840397478 /nfs/dbraw/zinc/39/74/78/840397478.db2.gz DGCCDEJTOJRIQJ-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CCCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001279847082 840397489 /nfs/dbraw/zinc/39/74/89/840397489.db2.gz DGCCDEJTOJRIQJ-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCCC(=O)NCC1C[NH+]([C@H](C)C(=O)NC2CCCC2)C1 ZINC001268866872 840920158 /nfs/dbraw/zinc/92/01/58/840920158.db2.gz CFEXUFWEOOAQFM-CQSZACIVSA-N 1 2 321.465 1.838 20 30 DDEDLO CCC#CC(=O)N1C[C@H]2C[C@@]2(C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001268872478 840931252 /nfs/dbraw/zinc/93/12/52/840931252.db2.gz FEBWBLJWSLKLJW-FZKQIMNGSA-N 1 2 322.368 1.535 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@@H]2CCN(C(=O)CCS)[C@@H]2C1 ZINC001268959919 841057464 /nfs/dbraw/zinc/05/74/64/841057464.db2.gz PTOFMGJOIJFVHH-QWHCGFSZSA-N 1 2 308.451 1.256 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@@H]2CCN(C(=O)CCS)[C@@H]2C1 ZINC001268959919 841057478 /nfs/dbraw/zinc/05/74/78/841057478.db2.gz PTOFMGJOIJFVHH-QWHCGFSZSA-N 1 2 308.451 1.256 20 30 DDEDLO N#CCCC(=O)N1C[C@H]2C[C@@]2(C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001269024577 841123216 /nfs/dbraw/zinc/12/32/16/841123216.db2.gz XUHMVLKJICQWQN-SJKOYZFVSA-N 1 2 323.356 1.425 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001269065249 841164256 /nfs/dbraw/zinc/16/42/56/841164256.db2.gz JZEHITZZCRBNGY-CABCVRRESA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001269065249 841164261 /nfs/dbraw/zinc/16/42/61/841164261.db2.gz JZEHITZZCRBNGY-CABCVRRESA-N 1 2 321.465 1.389 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCN(C(=O)CCc3[nH]cc[nH+]3)C2)C1=O ZINC001269175760 841297426 /nfs/dbraw/zinc/29/74/26/841297426.db2.gz PBOKETPXMBZXKR-QGZVFWFLSA-N 1 2 316.405 1.369 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@@H+]1CC[C@H](N(C)C(=O)C#CC2CC2)C1 ZINC001269241391 841408648 /nfs/dbraw/zinc/40/86/48/841408648.db2.gz UHXZRAOCFLJEQO-ZBFHGGJFSA-N 1 2 319.449 1.237 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@H+]1CC[C@H](N(C)C(=O)C#CC2CC2)C1 ZINC001269241391 841408651 /nfs/dbraw/zinc/40/86/51/841408651.db2.gz UHXZRAOCFLJEQO-ZBFHGGJFSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCCCC(=O)NCC1([NH2+][C@H](C)c2nnc(C)s2)CC1 ZINC001269402302 841610020 /nfs/dbraw/zinc/61/00/20/841610020.db2.gz LYMQLIUBQAHMNP-LLVKDONJSA-N 1 2 306.435 1.949 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](CC)[NH2+]Cc2csnn2)cc1 ZINC001269448054 841651621 /nfs/dbraw/zinc/65/16/21/841651621.db2.gz ISUSMQVKRMTTDT-AWEZNQCLSA-N 1 2 314.414 1.818 20 30 DDEDLO C=C(C)CNC(=O)[C@H]1CC12CCN(C(=O)Cc1c[nH+]c[nH]1)CC2 ZINC001269569401 841775341 /nfs/dbraw/zinc/77/53/41/841775341.db2.gz SDGWQHXYDXCRDT-CQSZACIVSA-N 1 2 316.405 1.273 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[N@@H+](CCCC(F)(F)F)C[C@H](C1)O2 ZINC001270500770 842622181 /nfs/dbraw/zinc/62/21/81/842622181.db2.gz CWHYAQYZQVQXJT-UTUOFQBUSA-N 1 2 319.327 1.400 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[N@H+](CCCC(F)(F)F)C[C@H](C1)O2 ZINC001270500770 842622187 /nfs/dbraw/zinc/62/21/87/842622187.db2.gz CWHYAQYZQVQXJT-UTUOFQBUSA-N 1 2 319.327 1.400 20 30 DDEDLO CCn1ccc(C[N@@H+]2CC[C@](C)(NC(=O)CSCC#N)C2)n1 ZINC001270549417 842658798 /nfs/dbraw/zinc/65/87/98/842658798.db2.gz SLKFSDUTTLJCKT-HNNXBMFYSA-N 1 2 321.450 1.240 20 30 DDEDLO CCn1ccc(C[N@H+]2CC[C@](C)(NC(=O)CSCC#N)C2)n1 ZINC001270549417 842658807 /nfs/dbraw/zinc/65/88/07/842658807.db2.gz SLKFSDUTTLJCKT-HNNXBMFYSA-N 1 2 321.450 1.240 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H](C)Oc2cccc(F)c2)C1 ZINC001270648100 842771218 /nfs/dbraw/zinc/77/12/18/842771218.db2.gz AHNNEFUUQMXKML-BBRMVZONSA-N 1 2 322.380 1.596 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H](C)Oc2cccc(F)c2)C1 ZINC001270648100 842771225 /nfs/dbraw/zinc/77/12/25/842771225.db2.gz AHNNEFUUQMXKML-BBRMVZONSA-N 1 2 322.380 1.596 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1C[N@H+](CCn2cccn2)CCO1 ZINC001270650853 842776253 /nfs/dbraw/zinc/77/62/53/842776253.db2.gz NPLKCEGOYGDXNA-OAHLLOKOSA-N 1 2 320.437 1.302 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1C[N@@H+](CCn2cccn2)CCO1 ZINC001270650853 842776256 /nfs/dbraw/zinc/77/62/56/842776256.db2.gz NPLKCEGOYGDXNA-OAHLLOKOSA-N 1 2 320.437 1.302 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)CCc2nc(C)cs2)C1 ZINC001270665950 842795413 /nfs/dbraw/zinc/79/54/13/842795413.db2.gz PTHXJPQGFLRXFG-CYBMUJFWSA-N 1 2 309.435 1.387 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)CCc2nc(C)cs2)C1 ZINC001270665950 842795421 /nfs/dbraw/zinc/79/54/21/842795421.db2.gz PTHXJPQGFLRXFG-CYBMUJFWSA-N 1 2 309.435 1.387 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)CCC[N@@H+](Cc2cn(CC)nn2)C1 ZINC001270941546 843069683 /nfs/dbraw/zinc/06/96/83/843069683.db2.gz VIEOKXHNUZJWCJ-QGZVFWFLSA-N 1 2 319.453 1.983 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)CCC[N@H+](Cc2cn(CC)nn2)C1 ZINC001270941546 843069698 /nfs/dbraw/zinc/06/96/98/843069698.db2.gz VIEOKXHNUZJWCJ-QGZVFWFLSA-N 1 2 319.453 1.983 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001154751162 861423591 /nfs/dbraw/zinc/42/35/91/861423591.db2.gz PEHCHILHDLLTKE-CHWSQXEVSA-N 1 2 323.441 1.037 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001154751162 861423598 /nfs/dbraw/zinc/42/35/98/861423598.db2.gz PEHCHILHDLLTKE-CHWSQXEVSA-N 1 2 323.441 1.037 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)Cc1cn2c(n1)CCCC2 ZINC001409566959 845326448 /nfs/dbraw/zinc/32/64/48/845326448.db2.gz IKNIJUFOITWACE-CYBMUJFWSA-N 1 2 324.856 1.951 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)Cc1cn2c(n1)CCCC2 ZINC001409566959 845326453 /nfs/dbraw/zinc/32/64/53/845326453.db2.gz IKNIJUFOITWACE-CYBMUJFWSA-N 1 2 324.856 1.951 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CCCCOC)C1 ZINC001149355442 861562963 /nfs/dbraw/zinc/56/29/63/861562963.db2.gz KHOYPBXVYLFGQU-CQSZACIVSA-N 1 2 318.845 1.763 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CCCCOC)C1 ZINC001149355442 861562979 /nfs/dbraw/zinc/56/29/79/861562979.db2.gz KHOYPBXVYLFGQU-CQSZACIVSA-N 1 2 318.845 1.763 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccc(F)cn2)CCCO1 ZINC001149407792 861596874 /nfs/dbraw/zinc/59/68/74/861596874.db2.gz ONISSSZOBWRNTP-WFASDCNBSA-N 1 2 320.368 1.087 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccc(F)cn2)CCCO1 ZINC001149407792 861596882 /nfs/dbraw/zinc/59/68/82/861596882.db2.gz ONISSSZOBWRNTP-WFASDCNBSA-N 1 2 320.368 1.087 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccccc1OCC)C2 ZINC001272613222 846410536 /nfs/dbraw/zinc/41/05/36/846410536.db2.gz FTCMAJOVFDBWLU-UHFFFAOYSA-N 1 2 316.401 1.685 20 30 DDEDLO CC#CCCCC(=O)NCC1([NH2+]Cc2cnsn2)CCC1 ZINC001326874163 861677285 /nfs/dbraw/zinc/67/72/85/861677285.db2.gz FGZRKMXSKINOAB-UHFFFAOYSA-N 1 2 306.435 1.860 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCOCC(C)C)C1 ZINC001107763775 846987025 /nfs/dbraw/zinc/98/70/25/846987025.db2.gz LXJDVAJZEUBGAL-KRWDZBQOSA-N 1 2 310.438 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCOCC(C)C)C1 ZINC001107763775 846987030 /nfs/dbraw/zinc/98/70/30/846987030.db2.gz LXJDVAJZEUBGAL-KRWDZBQOSA-N 1 2 310.438 1.280 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)OCC(C)C)C1 ZINC001107783006 847059440 /nfs/dbraw/zinc/05/94/40/847059440.db2.gz CDWKFTQXXDAJOG-RDJZCZTQSA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)OCC(C)C)C1 ZINC001107783006 847059448 /nfs/dbraw/zinc/05/94/48/847059448.db2.gz CDWKFTQXXDAJOG-RDJZCZTQSA-N 1 2 310.438 1.278 20 30 DDEDLO Cc1nsc(NC[C@@H](C)NC(=O)Cc2c[nH+]cn2C)c1C#N ZINC001107851285 847343301 /nfs/dbraw/zinc/34/33/01/847343301.db2.gz DMQDYPJFPGXXNN-SECBINFHSA-N 1 2 318.406 1.216 20 30 DDEDLO N#CCCCN1CC[C@]2(CC[N@H+](Cc3csnn3)C2)C1=O ZINC001272780532 847420130 /nfs/dbraw/zinc/42/01/30/847420130.db2.gz KYQCNIUJMGLVMT-AWEZNQCLSA-N 1 2 305.407 1.266 20 30 DDEDLO N#CCCCN1CC[C@]2(CC[N@@H+](Cc3csnn3)C2)C1=O ZINC001272780532 847420136 /nfs/dbraw/zinc/42/01/36/847420136.db2.gz KYQCNIUJMGLVMT-AWEZNQCLSA-N 1 2 305.407 1.266 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1cncc(F)c1)C2 ZINC001272796479 847439070 /nfs/dbraw/zinc/43/90/70/847439070.db2.gz ZYBLYEHYMXMSHT-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1cncc(F)c1)C2 ZINC001272796479 847439083 /nfs/dbraw/zinc/43/90/83/847439083.db2.gz ZYBLYEHYMXMSHT-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(CC)c1 ZINC001272842808 847536864 /nfs/dbraw/zinc/53/68/64/847536864.db2.gz KJERZJWBSAPBLT-OAHLLOKOSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(CC)c1 ZINC001272842808 847536872 /nfs/dbraw/zinc/53/68/72/847536872.db2.gz KJERZJWBSAPBLT-OAHLLOKOSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1COCC[N@H+]1C[C@H](CC)OC ZINC001272893293 847600954 /nfs/dbraw/zinc/60/09/54/847600954.db2.gz KMTJCEQDWAJCPS-CABCVRRESA-N 1 2 312.454 1.831 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1COCC[N@@H+]1C[C@H](CC)OC ZINC001272893293 847600960 /nfs/dbraw/zinc/60/09/60/847600960.db2.gz KMTJCEQDWAJCPS-CABCVRRESA-N 1 2 312.454 1.831 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cccc(Cl)n2)C1=O ZINC001272963613 847712994 /nfs/dbraw/zinc/71/29/94/847712994.db2.gz XQGRBROWOVIMKI-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cccc(Cl)n2)C1=O ZINC001272963613 847713004 /nfs/dbraw/zinc/71/30/04/847713004.db2.gz XQGRBROWOVIMKI-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC2(C[NH+](CC[C@@H](C)c3ccc(C)o3)C2)OCC1=O ZINC001273124853 847957143 /nfs/dbraw/zinc/95/71/43/847957143.db2.gz NOCZAEURSMYFPJ-CQSZACIVSA-N 1 2 316.401 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC001034142676 847996071 /nfs/dbraw/zinc/99/60/71/847996071.db2.gz NEMLMGLGYZPYDV-LBPRGKRZSA-N 1 2 311.817 1.460 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC001034142676 847996077 /nfs/dbraw/zinc/99/60/77/847996077.db2.gz NEMLMGLGYZPYDV-LBPRGKRZSA-N 1 2 311.817 1.460 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cncc(F)c2)[C@H](O)C1 ZINC001090192435 848057513 /nfs/dbraw/zinc/05/75/13/848057513.db2.gz QWUZULPKMITTDG-CHWSQXEVSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cncc(F)c2)[C@H](O)C1 ZINC001090192435 848057520 /nfs/dbraw/zinc/05/75/20/848057520.db2.gz QWUZULPKMITTDG-CHWSQXEVSA-N 1 2 313.760 1.138 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001034212940 848119452 /nfs/dbraw/zinc/11/94/52/848119452.db2.gz RYFCHWKPHJWASP-GJZGRUSLSA-N 1 2 314.433 1.824 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001034212940 848119454 /nfs/dbraw/zinc/11/94/54/848119454.db2.gz RYFCHWKPHJWASP-GJZGRUSLSA-N 1 2 314.433 1.824 20 30 DDEDLO C#CCC[N@H+]1CCCC[C@@H](NC(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001034217574 848125218 /nfs/dbraw/zinc/12/52/18/848125218.db2.gz QPRAIWXQEFOKLH-CYBMUJFWSA-N 1 2 311.389 1.566 20 30 DDEDLO C#CCC[N@@H+]1CCCC[C@@H](NC(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001034217574 848125223 /nfs/dbraw/zinc/12/52/23/848125223.db2.gz QPRAIWXQEFOKLH-CYBMUJFWSA-N 1 2 311.389 1.566 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H](NC(=O)c2occc2C[NH+](C)C)C1 ZINC001034365385 848421144 /nfs/dbraw/zinc/42/11/44/848421144.db2.gz QKSJHKAZZBFNER-OAHLLOKOSA-N 1 2 303.406 1.559 20 30 DDEDLO C#CCN1CCCC[C@@H](NC(=O)c2occc2C[NH+](C)C)C1 ZINC001034365385 848421159 /nfs/dbraw/zinc/42/11/59/848421159.db2.gz QKSJHKAZZBFNER-OAHLLOKOSA-N 1 2 303.406 1.559 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1c(O)cccc1F)C2 ZINC001273265072 849264811 /nfs/dbraw/zinc/26/48/11/849264811.db2.gz ATJGJKQYUZFERZ-UHFFFAOYSA-N 1 2 320.364 1.521 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)CCC[N@H+](C)Cc1cnon1 ZINC001327270696 862015405 /nfs/dbraw/zinc/01/54/05/862015405.db2.gz KRVRFGRTTIETND-GJZGRUSLSA-N 1 2 322.409 1.331 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)CCC[N@@H+](C)Cc1cnon1 ZINC001327270696 862015422 /nfs/dbraw/zinc/01/54/22/862015422.db2.gz KRVRFGRTTIETND-GJZGRUSLSA-N 1 2 322.409 1.331 20 30 DDEDLO C=CCCCCC[NH+]1CC2(C1)CN(C(=O)c1ccon1)CCO2 ZINC001327307942 862049215 /nfs/dbraw/zinc/04/92/15/862049215.db2.gz IBQXHZXIKQRBQH-UHFFFAOYSA-N 1 2 319.405 1.948 20 30 DDEDLO C=CCOCCCC(=O)N1CCOC2(C[NH+](CCCF)C2)C1 ZINC001327315092 862055178 /nfs/dbraw/zinc/05/51/78/862055178.db2.gz LKPARUPLYOSTKW-UHFFFAOYSA-N 1 2 314.401 1.242 20 30 DDEDLO CCC(=O)C(C)(C)C[NH+]1CC2(C1)CN(CCCC#N)C(=O)CO2 ZINC001273452622 850821778 /nfs/dbraw/zinc/82/17/78/850821778.db2.gz WWRAPPPVFFYZIB-UHFFFAOYSA-N 1 2 321.421 1.209 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](CCCOCOC)C[C@@]2(F)C1=O ZINC001273468484 850988198 /nfs/dbraw/zinc/98/81/98/850988198.db2.gz WGPYWMNCWAIEPI-LSDHHAIUSA-N 1 2 318.364 1.148 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](CCCOCOC)C[C@@]2(F)C1=O ZINC001273468484 850988212 /nfs/dbraw/zinc/98/82/12/850988212.db2.gz WGPYWMNCWAIEPI-LSDHHAIUSA-N 1 2 318.364 1.148 20 30 DDEDLO C#CCCC[N@@H+]1C[C@]2(F)CN(Cc3ccncc3)C(=O)[C@]2(F)C1 ZINC001273541784 851077286 /nfs/dbraw/zinc/07/72/86/851077286.db2.gz KHXNSEOTEDKKOI-DLBZAZTESA-N 1 2 319.355 1.569 20 30 DDEDLO C#CCCC[N@H+]1C[C@]2(F)CN(Cc3ccncc3)C(=O)[C@]2(F)C1 ZINC001273541784 851077297 /nfs/dbraw/zinc/07/72/97/851077297.db2.gz KHXNSEOTEDKKOI-DLBZAZTESA-N 1 2 319.355 1.569 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1COC2(C[NH+]([C@H](C)COC)C2)C1 ZINC001273695118 851234619 /nfs/dbraw/zinc/23/46/19/851234619.db2.gz CMVKNOXNFDUUJI-HUUCEWRRSA-N 1 2 308.422 1.174 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)CC[NH2+]Cc2cnsn2)nc1 ZINC001273716385 851266937 /nfs/dbraw/zinc/26/69/37/851266937.db2.gz JFZAELAZCNAVAO-UHFFFAOYSA-N 1 2 315.402 1.166 20 30 DDEDLO C=CCCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cn1ccnc1C)CO2 ZINC001273729068 851281245 /nfs/dbraw/zinc/28/12/45/851281245.db2.gz GZOAEDGLLMILIX-OAHLLOKOSA-N 1 2 318.421 1.117 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1cc2ccccn2n1 ZINC001274263867 852107338 /nfs/dbraw/zinc/10/73/38/852107338.db2.gz KLZZDDUTAMCYNA-LJQANCHMSA-N 1 2 322.412 1.925 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1cc2ccccn2n1 ZINC001274263867 852107346 /nfs/dbraw/zinc/10/73/46/852107346.db2.gz KLZZDDUTAMCYNA-LJQANCHMSA-N 1 2 322.412 1.925 20 30 DDEDLO N#Cc1coc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)c1 ZINC001274350329 852178136 /nfs/dbraw/zinc/17/81/36/852178136.db2.gz QSJXUWBLPBAERX-OKILXGFUSA-N 1 2 311.345 1.363 20 30 DDEDLO N#Cc1coc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)c1 ZINC001274350329 852178140 /nfs/dbraw/zinc/17/81/40/852178140.db2.gz QSJXUWBLPBAERX-OKILXGFUSA-N 1 2 311.345 1.363 20 30 DDEDLO N#Cc1ccccc1C[NH2+]C/C=C\CNC(=O)CCc1cn[nH]n1 ZINC001274369855 852200713 /nfs/dbraw/zinc/20/07/13/852200713.db2.gz RQZNMXMMZUZCFC-ARJAWSKDSA-N 1 2 324.388 1.071 20 30 DDEDLO N#Cc1ccccc1C[NH2+]C/C=C\CNC(=O)CCc1c[nH]nn1 ZINC001274369855 852200719 /nfs/dbraw/zinc/20/07/19/852200719.db2.gz RQZNMXMMZUZCFC-ARJAWSKDSA-N 1 2 324.388 1.071 20 30 DDEDLO Cn1cc(CN2C[C@@H]3COC[C@H](C2)[N@@H+]3CC(C)(C)C)cc1C#N ZINC001275051313 852772535 /nfs/dbraw/zinc/77/25/35/852772535.db2.gz WHBWGEVAAXPUSX-CALCHBBNSA-N 1 2 316.449 1.828 20 30 DDEDLO Cn1cc(CN2C[C@@H]3COC[C@H](C2)[N@H+]3CC(C)(C)C)cc1C#N ZINC001275051313 852772538 /nfs/dbraw/zinc/77/25/38/852772538.db2.gz WHBWGEVAAXPUSX-CALCHBBNSA-N 1 2 316.449 1.828 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)cc1 ZINC001275139852 852834653 /nfs/dbraw/zinc/83/46/53/852834653.db2.gz VCRKIGSOFATDDT-HDICACEKSA-N 1 2 320.396 1.880 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)cc1 ZINC001275139852 852834658 /nfs/dbraw/zinc/83/46/58/852834658.db2.gz VCRKIGSOFATDDT-HDICACEKSA-N 1 2 320.396 1.880 20 30 DDEDLO CC(C)NC(=O)N1CCC2(C[NH+](Cc3ccc(C#N)nc3)C2)C1 ZINC001275296248 852962051 /nfs/dbraw/zinc/96/20/51/852962051.db2.gz WZAAUFHWOZEMEM-UHFFFAOYSA-N 1 2 313.405 1.579 20 30 DDEDLO CCn1nnc(C)c1C[N@H+](C)C[C@@H](C)NC(=O)C#CC(C)(C)C ZINC001275552027 853323716 /nfs/dbraw/zinc/32/37/16/853323716.db2.gz NALYNZPULJDBKF-CYBMUJFWSA-N 1 2 319.453 1.592 20 30 DDEDLO CCn1nnc(C)c1C[N@@H+](C)C[C@@H](C)NC(=O)C#CC(C)(C)C ZINC001275552027 853323723 /nfs/dbraw/zinc/32/37/23/853323723.db2.gz NALYNZPULJDBKF-CYBMUJFWSA-N 1 2 319.453 1.592 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2CN(C(=O)C#CC(C)C)C[C@H]2C)o1 ZINC001327842860 862504995 /nfs/dbraw/zinc/50/49/95/862504995.db2.gz KLNQXBFYSXCACN-OLZOCXBDSA-N 1 2 304.394 1.228 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)[N@H+](C)CC(=O)Nc1cc(C)no1 ZINC001275842910 853855913 /nfs/dbraw/zinc/85/59/13/853855913.db2.gz DGVAEZJMNNLVPK-ZDUSSCGKSA-N 1 2 322.409 1.714 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)[N@@H+](C)CC(=O)Nc1cc(C)no1 ZINC001275842910 853855919 /nfs/dbraw/zinc/85/59/19/853855919.db2.gz DGVAEZJMNNLVPK-ZDUSSCGKSA-N 1 2 322.409 1.714 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@@H+](C)Cc1cnnn1CC ZINC001275904850 853921961 /nfs/dbraw/zinc/92/19/61/853921961.db2.gz ORIIRBNEWINPKJ-CQSZACIVSA-N 1 2 305.426 1.428 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@H+](C)Cc1cnnn1CC ZINC001275904850 853921966 /nfs/dbraw/zinc/92/19/66/853921966.db2.gz ORIIRBNEWINPKJ-CQSZACIVSA-N 1 2 305.426 1.428 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc3c(c2)O[C@H](C)C(=O)N3)CC1 ZINC001151200311 862676797 /nfs/dbraw/zinc/67/67/97/862676797.db2.gz KYRFGGGIGZEKRV-GFCCVEGCSA-N 1 2 315.373 1.350 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001049557767 856974310 /nfs/dbraw/zinc/97/43/10/856974310.db2.gz KIGSEGCVSPJDSB-SOUVJXGZSA-N 1 2 313.405 1.034 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001072544238 857426371 /nfs/dbraw/zinc/42/63/71/857426371.db2.gz FUCNWZCZJZOCAJ-GHMZBOCLSA-N 1 2 303.366 1.133 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001072544238 857426375 /nfs/dbraw/zinc/42/63/75/857426375.db2.gz FUCNWZCZJZOCAJ-GHMZBOCLSA-N 1 2 303.366 1.133 20 30 DDEDLO CC(C)c1nnc(C[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001072559615 857448607 /nfs/dbraw/zinc/44/86/07/857448607.db2.gz KSZNQAFPKJKHFT-GFCCVEGCSA-N 1 2 317.393 1.387 20 30 DDEDLO CC(C)c1nnc(C[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001072559615 857448608 /nfs/dbraw/zinc/44/86/08/857448608.db2.gz KSZNQAFPKJKHFT-GFCCVEGCSA-N 1 2 317.393 1.387 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cc(C)on2)C1 ZINC001073521589 858409132 /nfs/dbraw/zinc/40/91/32/858409132.db2.gz YDNNWGWPJMFURR-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cc(C)on2)C1 ZINC001073521589 858409137 /nfs/dbraw/zinc/40/91/37/858409137.db2.gz YDNNWGWPJMFURR-GFCCVEGCSA-N 1 2 313.785 1.556 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(C(N)=O)CC2)nnc1N(C)CCC ZINC001121714917 858581068 /nfs/dbraw/zinc/58/10/68/858581068.db2.gz JCCSUTUCDKKJSR-UHFFFAOYSA-N 1 2 320.441 1.008 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@H]2C[C@H](O)C[N@@H+]2C)n1CC ZINC001121790887 858596970 /nfs/dbraw/zinc/59/69/70/858596970.db2.gz XNUALKLVVUZVJO-UONOGXRCSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@H]2C[C@H](O)C[N@H+]2C)n1CC ZINC001121790887 858596976 /nfs/dbraw/zinc/59/69/76/858596976.db2.gz XNUALKLVVUZVJO-UONOGXRCSA-N 1 2 307.442 1.828 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)NCC#C[C@@H]1CCCCO1 ZINC001122272648 858732212 /nfs/dbraw/zinc/73/22/12/858732212.db2.gz OIXNWKMBMMQMMN-HNNXBMFYSA-N 1 2 304.394 1.487 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)[C@@H]1C ZINC001123027771 859062869 /nfs/dbraw/zinc/06/28/69/859062869.db2.gz JZNWOLCVLZINSN-GXTWGEPZSA-N 1 2 304.394 1.779 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001123831559 859433015 /nfs/dbraw/zinc/43/30/15/859433015.db2.gz XZOIWNGHYCBDST-LSDHHAIUSA-N 1 2 316.405 1.522 20 30 DDEDLO C=CC[N@H+]1CCC[C@@](CO)(NC(=O)c2nc(Cl)cs2)C1 ZINC001325851112 860884938 /nfs/dbraw/zinc/88/49/38/860884938.db2.gz ZAQASTPYGFRPBZ-CYBMUJFWSA-N 1 2 315.826 1.539 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@](CO)(NC(=O)c2nc(Cl)cs2)C1 ZINC001325851112 860884945 /nfs/dbraw/zinc/88/49/45/860884945.db2.gz ZAQASTPYGFRPBZ-CYBMUJFWSA-N 1 2 315.826 1.539 20 30 DDEDLO C=C[C@@H](C(=O)NCC[NH2+]Cc1nc(CC)no1)c1ccccc1 ZINC001151997474 863100951 /nfs/dbraw/zinc/10/09/51/863100951.db2.gz HCRWXJLLAKCGRD-CQSZACIVSA-N 1 2 314.389 1.808 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cnnn1C ZINC001328738042 863182724 /nfs/dbraw/zinc/18/27/24/863182724.db2.gz ROGBKOGCFILZGF-AWEZNQCLSA-N 1 2 319.453 1.840 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@H]1C[N@H+](C)Cc1cnnn1C ZINC001328738042 863182732 /nfs/dbraw/zinc/18/27/32/863182732.db2.gz ROGBKOGCFILZGF-AWEZNQCLSA-N 1 2 319.453 1.840 20 30 DDEDLO Cc1cc(CNC(=O)C(=O)N2CCC[C@@](C)(C#N)C2)cc(C)[nH+]1 ZINC001329020701 863423425 /nfs/dbraw/zinc/42/34/25/863423425.db2.gz RNAIQNGEUWGYNB-KRWDZBQOSA-N 1 2 314.389 1.467 20 30 DDEDLO C=C[C@H]1C[C@@]1(NC(=O)c1ccc2[nH+]c(N)[nH]c2c1)C(=O)OCC ZINC001156979739 863494702 /nfs/dbraw/zinc/49/47/02/863494702.db2.gz ZQJWCIVYOWAOJN-QFYYESIMSA-N 1 2 314.345 1.383 20 30 DDEDLO C=C[C@@H]1C[C@]1(NC(=O)c1ccc2[nH+]c(N)[nH]c2c1)C(=O)OCC ZINC001156979740 863494982 /nfs/dbraw/zinc/49/49/82/863494982.db2.gz ZQJWCIVYOWAOJN-QLJPJBMISA-N 1 2 314.345 1.383 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC[NH2+]CC(F)(F)C(F)F ZINC001157201175 863648627 /nfs/dbraw/zinc/64/86/27/863648627.db2.gz CHCUFNUQIQYVFD-LLVKDONJSA-N 1 2 300.296 1.310 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nocc1C ZINC001157209717 863654461 /nfs/dbraw/zinc/65/44/61/863654461.db2.gz GOYHDHRCTGHPFB-CQSZACIVSA-N 1 2 309.410 1.902 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nocc1C ZINC001157209717 863654465 /nfs/dbraw/zinc/65/44/65/863654465.db2.gz GOYHDHRCTGHPFB-CQSZACIVSA-N 1 2 309.410 1.902 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccs1 ZINC001153326751 863826276 /nfs/dbraw/zinc/82/62/76/863826276.db2.gz FDVJCIJMYKDNNX-OLZOCXBDSA-N 1 2 309.435 1.508 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccs1 ZINC001153326751 863826280 /nfs/dbraw/zinc/82/62/80/863826280.db2.gz FDVJCIJMYKDNNX-OLZOCXBDSA-N 1 2 309.435 1.508 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)OCCCC)C2)C1 ZINC001330147200 864104321 /nfs/dbraw/zinc/10/43/21/864104321.db2.gz HBNVVLKZPSUFLA-FUHWJXTLSA-N 1 2 322.449 1.518 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)OCCCC)C2)C1 ZINC001330147200 864104326 /nfs/dbraw/zinc/10/43/26/864104326.db2.gz HBNVVLKZPSUFLA-FUHWJXTLSA-N 1 2 322.449 1.518 20 30 DDEDLO COc1cc(N2CCN(c3nccc(C#N)c3F)CC2)cc[nH+]1 ZINC001158098546 864427336 /nfs/dbraw/zinc/42/73/36/864427336.db2.gz FUESHLGAPMIMFF-UHFFFAOYSA-N 1 2 313.336 1.823 20 30 DDEDLO N#Cc1c[nH]c2cc[nH+]c(N3CC[C@H](Oc4cnccn4)C3)c12 ZINC001158368843 864609819 /nfs/dbraw/zinc/60/98/19/864609819.db2.gz WWRMJKNGQHMBGH-LBPRGKRZSA-N 1 2 306.329 1.882 20 30 DDEDLO C#CC[N@@H+](C)C[C@H]1CCCCN1C(=O)c1cc(C(F)F)[nH]n1 ZINC001158467171 864673663 /nfs/dbraw/zinc/67/36/63/864673663.db2.gz BHYVGOWJHUOOLH-LLVKDONJSA-N 1 2 310.348 1.907 20 30 DDEDLO C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)c1cc(C(F)F)[nH]n1 ZINC001158467171 864673665 /nfs/dbraw/zinc/67/36/65/864673665.db2.gz BHYVGOWJHUOOLH-LLVKDONJSA-N 1 2 310.348 1.907 20 30 DDEDLO C#CC[N@H+]1CC=C(CCNC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001160132018 865689403 /nfs/dbraw/zinc/68/94/03/865689403.db2.gz ZSAPAJUEEBVSQV-HNNXBMFYSA-N 1 2 312.417 1.601 20 30 DDEDLO C#CC[N@@H+]1CC=C(CCNC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001160132018 865689410 /nfs/dbraw/zinc/68/94/10/865689410.db2.gz ZSAPAJUEEBVSQV-HNNXBMFYSA-N 1 2 312.417 1.601 20 30 DDEDLO N#CCN1CC=C(CCNC(=O)[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC001160529185 865913936 /nfs/dbraw/zinc/91/39/36/865913936.db2.gz FHXIRBVPGQGNOO-HNNXBMFYSA-N 1 2 313.405 1.107 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1nc(C)c(C)o1 ZINC001332632439 865997613 /nfs/dbraw/zinc/99/76/13/865997613.db2.gz JRLPVQCMZNSERP-HNNXBMFYSA-N 1 2 321.421 1.346 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001332632439 865997627 /nfs/dbraw/zinc/99/76/27/865997627.db2.gz JRLPVQCMZNSERP-HNNXBMFYSA-N 1 2 321.421 1.346 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1ccc(Cl)c(C#N)n1 ZINC001160690395 866027956 /nfs/dbraw/zinc/02/79/56/866027956.db2.gz BLDHFHGRLMBLHN-NSHDSACASA-N 1 2 319.752 1.536 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1ccc(-n2cc[nH+]c2)cc1)NCC#N ZINC001319929269 866388006 /nfs/dbraw/zinc/38/80/06/866388006.db2.gz OIISRJPIYLLMNF-MRXNPFEDSA-N 1 2 311.389 1.740 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCCCCC1 ZINC001323292744 866505053 /nfs/dbraw/zinc/50/50/53/866505053.db2.gz HMMGLGJJDVPVTC-MRXNPFEDSA-N 1 2 321.465 1.936 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCCCCC1 ZINC001323292744 866505065 /nfs/dbraw/zinc/50/50/65/866505065.db2.gz HMMGLGJJDVPVTC-MRXNPFEDSA-N 1 2 321.465 1.936 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)c1ccc(Cl)[nH]1 ZINC001323303689 866513503 /nfs/dbraw/zinc/51/35/03/866513503.db2.gz UHCJJDXHACSIOZ-QWRGUYRKSA-N 1 2 324.812 1.163 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)c1ccc(Cl)[nH]1 ZINC001323303689 866513512 /nfs/dbraw/zinc/51/35/12/866513512.db2.gz UHCJJDXHACSIOZ-QWRGUYRKSA-N 1 2 324.812 1.163 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCCC1=CCN(CC#N)CC1 ZINC001161434493 866663944 /nfs/dbraw/zinc/66/39/44/866663944.db2.gz RPEWCNCCDVGHAI-CYBMUJFWSA-N 1 2 301.394 1.250 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCCC1=CCN(CC#N)CC1 ZINC001161434493 866663953 /nfs/dbraw/zinc/66/39/53/866663953.db2.gz RPEWCNCCDVGHAI-CYBMUJFWSA-N 1 2 301.394 1.250 20 30 DDEDLO C=CC[C@@H]([NH2+]C1CCN(C(=O)c2ccoc2)CC1)C(=O)OCC ZINC001320904968 867114526 /nfs/dbraw/zinc/11/45/26/867114526.db2.gz DPNMZVKDWDEFBI-OAHLLOKOSA-N 1 2 320.389 1.982 20 30 DDEDLO Cn1cc(C[NH+]2CCC(C)(NC(=O)C#CC(C)(C)C)CC2)nn1 ZINC001324310022 867197126 /nfs/dbraw/zinc/19/71/26/867197126.db2.gz QXPNRXUZPPKQSJ-UHFFFAOYSA-N 1 2 317.437 1.335 20 30 DDEDLO C=C(C)C[NH+]1CCC(CNC(=O)c2[nH]ncc2F)(COC)CC1 ZINC001162470528 867558115 /nfs/dbraw/zinc/55/81/15/867558115.db2.gz JAERXTPIBSVKIW-UHFFFAOYSA-N 1 2 324.400 1.583 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)CCC[N@@H+](Cc2cn(C)nn2)C1 ZINC001324920620 867626462 /nfs/dbraw/zinc/62/64/62/867626462.db2.gz KMUCLJUBBURJGT-MRXNPFEDSA-N 1 2 305.426 1.500 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)CCC[N@H+](Cc2cn(C)nn2)C1 ZINC001324920620 867626471 /nfs/dbraw/zinc/62/64/71/867626471.db2.gz KMUCLJUBBURJGT-MRXNPFEDSA-N 1 2 305.426 1.500 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](O)(CNC(=O)/C(C)=C\C)C1 ZINC001325087421 867760051 /nfs/dbraw/zinc/76/00/51/867760051.db2.gz VBCFFXOHEMSPIH-FSIMMPEJSA-N 1 2 317.227 1.414 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](O)(CNC(=O)/C(C)=C\C)C1 ZINC001325087421 867760064 /nfs/dbraw/zinc/76/00/64/867760064.db2.gz VBCFFXOHEMSPIH-FSIMMPEJSA-N 1 2 317.227 1.414 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)Cn1ncc2cccnc21 ZINC001381545879 882132688 /nfs/dbraw/zinc/13/26/88/882132688.db2.gz RAGNXSPKOPJLPZ-GFCCVEGCSA-N 1 2 321.812 1.620 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)Cn1ncc2cccnc21 ZINC001381545879 882132697 /nfs/dbraw/zinc/13/26/97/882132697.db2.gz RAGNXSPKOPJLPZ-GFCCVEGCSA-N 1 2 321.812 1.620 20 30 DDEDLO C#CC[C@H](NC(=O)C(=O)NCCCn1cc[nH+]c1)c1ccccc1 ZINC001322836193 868416739 /nfs/dbraw/zinc/41/67/39/868416739.db2.gz IIUJJDFXSJJWPN-INIZCTEOSA-N 1 2 324.384 1.270 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cnccc3Br)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226071641 882189734 /nfs/dbraw/zinc/18/97/34/882189734.db2.gz DVYMTEYVYLALFT-PINQPDRWSA-N 1 2 311.179 1.835 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cnccc3Br)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226071641 882189743 /nfs/dbraw/zinc/18/97/43/882189743.db2.gz DVYMTEYVYLALFT-PINQPDRWSA-N 1 2 311.179 1.835 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001336309177 868859052 /nfs/dbraw/zinc/85/90/52/868859052.db2.gz XLGPIKQEYLYAJB-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@H](C)OCC)CC1 ZINC001226211806 882281697 /nfs/dbraw/zinc/28/16/97/882281697.db2.gz ZSOUXHZIRRXZDH-NSHDSACASA-N 1 2 319.243 1.901 20 30 DDEDLO CCOC(=O)c1cnc(Cl)c(C)c1N1CC[NH2+]C[C@@H]1C#N ZINC001164652535 869343313 /nfs/dbraw/zinc/34/33/13/869343313.db2.gz RMZCAFATCOCWOJ-JTQLQIEISA-N 1 2 308.769 1.522 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001337978119 869726264 /nfs/dbraw/zinc/72/62/64/869726264.db2.gz ILZXMDMFYZEZKW-KGLIPLIRSA-N 1 2 318.421 1.591 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[NH2+]Cc2noc(CCC)n2)C1 ZINC001166249671 869924819 /nfs/dbraw/zinc/92/48/19/869924819.db2.gz YWHNGUFRPGLFNS-UHFFFAOYSA-N 1 2 306.410 1.974 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001316985113 870066700 /nfs/dbraw/zinc/06/67/00/870066700.db2.gz PSRJGKZLORBFOD-CYBMUJFWSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001316985113 870066719 /nfs/dbraw/zinc/06/67/19/870066719.db2.gz PSRJGKZLORBFOD-CYBMUJFWSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)[C@@H](C)CCC ZINC001338773162 870161168 /nfs/dbraw/zinc/16/11/68/870161168.db2.gz OEYJXJVLNSYUBA-MJBXVCDLSA-N 1 2 307.442 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)[C@@H](C)CCC ZINC001338773162 870161174 /nfs/dbraw/zinc/16/11/74/870161174.db2.gz OEYJXJVLNSYUBA-MJBXVCDLSA-N 1 2 307.442 1.826 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](OCC)C1CCOCC1 ZINC001317050766 870167777 /nfs/dbraw/zinc/16/77/77/870167777.db2.gz LQLVTHDHJOUWPS-IXDOHACOSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](OCC)C1CCOCC1 ZINC001317050766 870167780 /nfs/dbraw/zinc/16/77/80/870167780.db2.gz LQLVTHDHJOUWPS-IXDOHACOSA-N 1 2 320.433 1.127 20 30 DDEDLO COc1cc(C)c[nH+]c1N1CCN(c2nccnc2C#N)CC1 ZINC001166919705 870374628 /nfs/dbraw/zinc/37/46/28/870374628.db2.gz AKBGGRGHKHAMDN-UHFFFAOYSA-N 1 2 310.361 1.387 20 30 DDEDLO C=CCn1c(N(C)CCC2CC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001339198535 870401297 /nfs/dbraw/zinc/40/12/97/870401297.db2.gz YJKGLZFBBUMMQZ-YOEHRIQHSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N(C)CCC2CC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001339198535 870401300 /nfs/dbraw/zinc/40/13/00/870401300.db2.gz YJKGLZFBBUMMQZ-YOEHRIQHSA-N 1 2 319.453 1.612 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001317376340 870735455 /nfs/dbraw/zinc/73/54/55/870735455.db2.gz PKJOUWKVSOPVEK-MRXNPFEDSA-N 1 2 319.405 1.446 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001317376340 870735470 /nfs/dbraw/zinc/73/54/70/870735470.db2.gz PKJOUWKVSOPVEK-MRXNPFEDSA-N 1 2 319.405 1.446 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCC(F)(F)C1 ZINC001339879797 870755552 /nfs/dbraw/zinc/75/55/52/870755552.db2.gz COOKARWLKVSHPI-UHFFFAOYSA-N 1 2 308.336 1.634 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[C@@H]1CCC[N@@H+]1Cc1cnsn1 ZINC001317426127 870809508 /nfs/dbraw/zinc/80/95/08/870809508.db2.gz LSLNTSBDKWBBGN-WCQYABFASA-N 1 2 307.423 1.559 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[C@@H]1CCC[N@H+]1Cc1cnsn1 ZINC001317426127 870809517 /nfs/dbraw/zinc/80/95/17/870809517.db2.gz LSLNTSBDKWBBGN-WCQYABFASA-N 1 2 307.423 1.559 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@@H+](C)Cc1cc(OC)ns1 ZINC001317444713 870846805 /nfs/dbraw/zinc/84/68/05/870846805.db2.gz OMVKRLAASIKBGN-CQSZACIVSA-N 1 2 313.423 1.027 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@H+](C)Cc1cc(OC)ns1 ZINC001317444713 870846806 /nfs/dbraw/zinc/84/68/06/870846806.db2.gz OMVKRLAASIKBGN-CQSZACIVSA-N 1 2 313.423 1.027 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(C)[nH]2)C1 ZINC001317519742 870979104 /nfs/dbraw/zinc/97/91/04/870979104.db2.gz GEAAPZZWHXFLHD-ZDUSSCGKSA-N 1 2 305.426 1.654 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@H+](Cc2nnc(C)[nH]2)C1 ZINC001317519742 870979111 /nfs/dbraw/zinc/97/91/11/870979111.db2.gz GEAAPZZWHXFLHD-ZDUSSCGKSA-N 1 2 305.426 1.654 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCC(c3c[nH+]cn3C)CC2)nn1 ZINC001341079490 871494153 /nfs/dbraw/zinc/49/41/53/871494153.db2.gz JCZZEPIYFQGYKO-UHFFFAOYSA-N 1 2 314.393 1.608 20 30 DDEDLO Cc1nn(CCC#N)c(C)c1OS(=O)(=O)CCn1cc[nH+]c1 ZINC001309934876 871610211 /nfs/dbraw/zinc/61/02/11/871610211.db2.gz XFYYWHULHJDLJN-UHFFFAOYSA-N 1 2 323.378 1.019 20 30 DDEDLO C[C@H](C#N)C(=O)NC1CC[NH+](Cc2noc3c2CCCC3)CC1 ZINC001226617180 882540430 /nfs/dbraw/zinc/54/04/30/882540430.db2.gz BXJNENSYXSTYNR-GFCCVEGCSA-N 1 2 316.405 1.794 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)COc2ccccc2OCC)C1 ZINC001318086373 871735196 /nfs/dbraw/zinc/73/51/96/871735196.db2.gz PLGXFRILBRHULW-UHFFFAOYSA-N 1 2 302.374 1.288 20 30 DDEDLO CCOCCCN1CC[NH+](CCCNC(=O)C#CC(C)C)CC1 ZINC001316957887 871870418 /nfs/dbraw/zinc/87/04/18/871870418.db2.gz IQBTUSWQSTXDHB-UHFFFAOYSA-N 1 2 323.481 1.196 20 30 DDEDLO CC(C)CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001318253615 871880699 /nfs/dbraw/zinc/88/06/99/871880699.db2.gz UWMVQQGBRAZMFR-ZBFHGGJFSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001318253615 871880726 /nfs/dbraw/zinc/88/07/26/871880726.db2.gz UWMVQQGBRAZMFR-ZBFHGGJFSA-N 1 2 319.449 1.141 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001318282092 871904106 /nfs/dbraw/zinc/90/41/06/871904106.db2.gz QVHZUOIRDHWLIP-ZFWWWQNUSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001318282092 871904115 /nfs/dbraw/zinc/90/41/15/871904115.db2.gz QVHZUOIRDHWLIP-ZFWWWQNUSA-N 1 2 321.465 1.694 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2nc(C)c(C)s2)C1 ZINC001318371766 871967690 /nfs/dbraw/zinc/96/76/90/871967690.db2.gz SWSRWFVEJDFSTQ-CYBMUJFWSA-N 1 2 307.419 1.214 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2nc(C)c(C)s2)C1 ZINC001318371766 871967700 /nfs/dbraw/zinc/96/77/00/871967700.db2.gz SWSRWFVEJDFSTQ-CYBMUJFWSA-N 1 2 307.419 1.214 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N(C[C@@H](C)O)C1CC1 ZINC001342099767 872004937 /nfs/dbraw/zinc/00/49/37/872004937.db2.gz LZXWFDFBEHQSOE-CQSZACIVSA-N 1 2 319.453 1.800 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N(C[C@H](C)O)C1CC1 ZINC001342099766 872005052 /nfs/dbraw/zinc/00/50/52/872005052.db2.gz LZXWFDFBEHQSOE-AWEZNQCLSA-N 1 2 319.453 1.800 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC001342265760 872113719 /nfs/dbraw/zinc/11/37/19/872113719.db2.gz JMWFRJBGLVLGND-AWEZNQCLSA-N 1 2 316.405 1.201 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001316948898 872446353 /nfs/dbraw/zinc/44/63/53/872446353.db2.gz JBLXFZAZIZYJLD-CVEARBPZSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001316948898 872446367 /nfs/dbraw/zinc/44/63/67/872446367.db2.gz JBLXFZAZIZYJLD-CVEARBPZSA-N 1 2 323.481 1.942 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2cnoc2C(C)C)C1 ZINC001316953736 872459487 /nfs/dbraw/zinc/45/94/87/872459487.db2.gz AUSZFNCTQVUZOQ-AWEZNQCLSA-N 1 2 319.405 1.642 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2cnoc2C(C)C)C1 ZINC001316953736 872459492 /nfs/dbraw/zinc/45/94/92/872459492.db2.gz AUSZFNCTQVUZOQ-AWEZNQCLSA-N 1 2 319.405 1.642 20 30 DDEDLO CC#CC[NH+]1CCN(CCCNC(=O)c2ccnc(C)c2)CC1 ZINC001316960238 872473428 /nfs/dbraw/zinc/47/34/28/872473428.db2.gz KUJAFMMCUITIBY-UHFFFAOYSA-N 1 2 314.433 1.151 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2nc(C)cs2)C[C@H]1C ZINC001206606680 872473648 /nfs/dbraw/zinc/47/36/48/872473648.db2.gz HYEQPVKFVZCPSF-DGCLKSJQSA-N 1 2 309.435 1.591 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2nc(C)cs2)C[C@H]1C ZINC001206606680 872473661 /nfs/dbraw/zinc/47/36/61/872473661.db2.gz HYEQPVKFVZCPSF-DGCLKSJQSA-N 1 2 309.435 1.591 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N1CCC(CO)CC1 ZINC001343546182 872666654 /nfs/dbraw/zinc/66/66/54/872666654.db2.gz MZFRMWTVZNGDJC-OAHLLOKOSA-N 1 2 319.453 1.830 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N1CCC(CO)CC1 ZINC001343546182 872666670 /nfs/dbraw/zinc/66/66/70/872666670.db2.gz MZFRMWTVZNGDJC-OAHLLOKOSA-N 1 2 319.453 1.830 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2csc(C)n2)C[C@H]1C ZINC001206918245 872765992 /nfs/dbraw/zinc/76/59/92/872765992.db2.gz RSGSXPCKZFZJJT-XFJVYGCCSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2csc(C)n2)C[C@H]1C ZINC001206918245 872765994 /nfs/dbraw/zinc/76/59/94/872765994.db2.gz RSGSXPCKZFZJJT-XFJVYGCCSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001344145634 872877604 /nfs/dbraw/zinc/87/76/04/872877604.db2.gz FMMKGMGIVPENJN-CQSZACIVSA-N 1 2 304.394 1.368 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001344145634 872877617 /nfs/dbraw/zinc/87/76/17/872877617.db2.gz FMMKGMGIVPENJN-CQSZACIVSA-N 1 2 304.394 1.368 20 30 DDEDLO C#C[C@@H](NC(=O)NC[C@H](C)[NH+]1CCN(C)CC1)c1ccccc1 ZINC001345953629 873570146 /nfs/dbraw/zinc/57/01/46/873570146.db2.gz SWTXSEJWMAWBSE-DOTOQJQBSA-N 1 2 314.433 1.296 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3C[C@@H]3C#N)n2CC(=C)C)CC1 ZINC001346548684 873798360 /nfs/dbraw/zinc/79/83/60/873798360.db2.gz NUJIPXNKFCMMBJ-CABCVRRESA-N 1 2 310.405 1.236 20 30 DDEDLO C=CCNC(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1CC)C2 ZINC001277173701 882772535 /nfs/dbraw/zinc/77/25/35/882772535.db2.gz GWJKYQDJGCHAFA-UHFFFAOYSA-N 1 2 303.410 1.306 20 30 DDEDLO C=CCNC(=O)N1CC2(C1)CC[N@H+](Cc1nccn1CC)C2 ZINC001277173701 882772546 /nfs/dbraw/zinc/77/25/46/882772546.db2.gz GWJKYQDJGCHAFA-UHFFFAOYSA-N 1 2 303.410 1.306 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1CCCOCC ZINC001346975861 873958142 /nfs/dbraw/zinc/95/81/42/873958142.db2.gz FYIDOWOQNYKNMY-GJZGRUSLSA-N 1 2 319.453 1.929 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2CCC[N@H+]2C)n1CCCOCC ZINC001346975861 873958151 /nfs/dbraw/zinc/95/81/51/873958151.db2.gz FYIDOWOQNYKNMY-GJZGRUSLSA-N 1 2 319.453 1.929 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@@H](C)NC(C)=O)C1 ZINC001378180771 874348360 /nfs/dbraw/zinc/34/83/60/874348360.db2.gz NESAUUOHLHZKHL-DGCLKSJQSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H](C)NC(C)=O)C1 ZINC001378180771 874348370 /nfs/dbraw/zinc/34/83/70/874348370.db2.gz NESAUUOHLHZKHL-DGCLKSJQSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCN(C(=O)Cc2c[nH+]cn2C)CC1 ZINC001348250867 874449356 /nfs/dbraw/zinc/44/93/56/874449356.db2.gz WXGQIUSIHTVRCG-CQSZACIVSA-N 1 2 318.421 1.236 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1Cc1cc(C)on1 ZINC001348276422 874461663 /nfs/dbraw/zinc/46/16/63/874461663.db2.gz FCSJETRBNNTOLN-AWEZNQCLSA-N 1 2 314.393 1.459 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@H+]2C)n1Cc1cc(C)on1 ZINC001348276422 874461673 /nfs/dbraw/zinc/46/16/73/874461673.db2.gz FCSJETRBNNTOLN-AWEZNQCLSA-N 1 2 314.393 1.459 20 30 DDEDLO CCc1nnc(C[NH2+][C@H](CC)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001378233277 874494089 /nfs/dbraw/zinc/49/40/89/874494089.db2.gz OMPXLXXCXCGSPN-LLVKDONJSA-N 1 2 316.365 1.130 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+](Cc2ncc(C)o2)CC1 ZINC001227113554 882843811 /nfs/dbraw/zinc/84/38/11/882843811.db2.gz DRGKQGHVJXTHRC-MRXNPFEDSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+](Cc2ncc(C)cn2)CC1 ZINC001227123578 882852602 /nfs/dbraw/zinc/85/26/02/882852602.db2.gz FOVNOUOXSNOSCM-KRWDZBQOSA-N 1 2 318.421 1.193 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001209155644 874696824 /nfs/dbraw/zinc/69/68/24/874696824.db2.gz CAJHUVGGAWPRSF-AWEZNQCLSA-N 1 2 318.421 1.906 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)Oc1ccc(Cl)cc1C(=O)NO ZINC001227174905 882895015 /nfs/dbraw/zinc/89/50/15/882895015.db2.gz IDJFNKSWNKMNRB-SNVBAGLBSA-N 1 2 314.769 1.559 20 30 DDEDLO Cc1[nH]c(CN2CC3(CCN3Cc3cc(C#N)n(C)c3)C2)c[nH+]1 ZINC001276681853 875323884 /nfs/dbraw/zinc/32/38/84/875323884.db2.gz BBYDKVRRRIWLLA-UHFFFAOYSA-N 1 2 310.405 1.389 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213303722 875887572 /nfs/dbraw/zinc/88/75/72/875887572.db2.gz WTHSKUBGNAQKPT-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213303722 875887583 /nfs/dbraw/zinc/88/75/83/875887583.db2.gz WTHSKUBGNAQKPT-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1OC ZINC001213350218 875903739 /nfs/dbraw/zinc/90/37/39/875903739.db2.gz NKABTJIYDUWKNE-HZPDHXFCSA-N 1 2 321.421 1.909 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1OC ZINC001213350218 875903742 /nfs/dbraw/zinc/90/37/42/875903742.db2.gz NKABTJIYDUWKNE-HZPDHXFCSA-N 1 2 321.421 1.909 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1nnn(C(C)C)c1C ZINC001379115432 876440198 /nfs/dbraw/zinc/44/01/98/876440198.db2.gz HFEHIYPHOQUDIV-UHFFFAOYSA-N 1 2 313.833 1.924 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1nnn(C(C)C)c1C ZINC001379115432 876440211 /nfs/dbraw/zinc/44/02/11/876440211.db2.gz HFEHIYPHOQUDIV-UHFFFAOYSA-N 1 2 313.833 1.924 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001353641274 877460710 /nfs/dbraw/zinc/46/07/10/877460710.db2.gz LBGNUAALJIMQFQ-AAVRWANBSA-N 1 2 318.421 1.184 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001218517687 877526716 /nfs/dbraw/zinc/52/67/16/877526716.db2.gz NWBLFKJGIZYJHN-YESZJQIVSA-N 1 2 320.433 1.150 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001218517687 877526731 /nfs/dbraw/zinc/52/67/31/877526731.db2.gz NWBLFKJGIZYJHN-YESZJQIVSA-N 1 2 320.433 1.150 20 30 DDEDLO CC[C@H](C)CCC(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001218831867 877757409 /nfs/dbraw/zinc/75/74/09/877757409.db2.gz CRDVFEZSAVVPHI-YESZJQIVSA-N 1 2 322.449 1.374 20 30 DDEDLO CC[C@H](C)CCC(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001218831867 877757413 /nfs/dbraw/zinc/75/74/13/877757413.db2.gz CRDVFEZSAVVPHI-YESZJQIVSA-N 1 2 322.449 1.374 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@](C)(NC(C)=O)C(C)C ZINC001379794181 878049668 /nfs/dbraw/zinc/04/96/68/878049668.db2.gz FYAXSUFHMMYLNC-DOMZBBRYSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@@](C)(NC(C)=O)C(C)C ZINC001379794181 878049684 /nfs/dbraw/zinc/04/96/84/878049684.db2.gz FYAXSUFHMMYLNC-DOMZBBRYSA-N 1 2 317.861 1.726 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2CCSCC=C)CC1 ZINC001300701293 878176271 /nfs/dbraw/zinc/17/62/71/878176271.db2.gz NTEWBLZBYONROB-UHFFFAOYSA-N 1 2 319.478 1.515 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219434639 878211134 /nfs/dbraw/zinc/21/11/34/878211134.db2.gz JAWZNDZUQQVNIT-ZPRUDMHZSA-N 1 2 316.376 1.305 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219434639 878211152 /nfs/dbraw/zinc/21/11/52/878211152.db2.gz JAWZNDZUQQVNIT-ZPRUDMHZSA-N 1 2 316.376 1.305 20 30 DDEDLO CC[C@H](C)CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219516710 878289540 /nfs/dbraw/zinc/28/95/40/878289540.db2.gz CEAVSESLMDZWFP-XKQJLSEDSA-N 1 2 315.417 1.656 20 30 DDEDLO CC[C@H](C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219516710 878289553 /nfs/dbraw/zinc/28/95/53/878289553.db2.gz CEAVSESLMDZWFP-XKQJLSEDSA-N 1 2 315.417 1.656 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@@H]1O ZINC001219703247 878466146 /nfs/dbraw/zinc/46/61/46/878466146.db2.gz YOWUERBBVHVCPI-KGLIPLIRSA-N 1 2 323.824 1.522 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@@H]1O ZINC001219703247 878466151 /nfs/dbraw/zinc/46/61/51/878466151.db2.gz YOWUERBBVHVCPI-KGLIPLIRSA-N 1 2 323.824 1.522 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@@H]1CCC(=O)N1)C(C)C ZINC001380044954 878646934 /nfs/dbraw/zinc/64/69/34/878646934.db2.gz JEWZJWXXAWDNRN-LBPRGKRZSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@@H]1CCC(=O)N1)C(C)C ZINC001380044954 878646937 /nfs/dbraw/zinc/64/69/37/878646937.db2.gz JEWZJWXXAWDNRN-LBPRGKRZSA-N 1 2 301.818 1.186 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@@H](C)C[C@@H]1C ZINC001355920774 878777432 /nfs/dbraw/zinc/77/74/32/878777432.db2.gz XRHDTAIUTYSOFF-XUXIUFHCSA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@@H](C)C[C@@H]1C ZINC001355920774 878777448 /nfs/dbraw/zinc/77/74/48/878777448.db2.gz XRHDTAIUTYSOFF-XUXIUFHCSA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cccnc2OC)C[C@@H]1O ZINC001220242423 878843724 /nfs/dbraw/zinc/84/37/24/878843724.db2.gz ZNUFVCGJNGLHOT-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cccnc2OC)C[C@@H]1O ZINC001220242423 878843734 /nfs/dbraw/zinc/84/37/34/878843734.db2.gz ZNUFVCGJNGLHOT-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220293472 878891760 /nfs/dbraw/zinc/89/17/60/878891760.db2.gz IMIMZGNDWPRBGS-IJEWVQPXSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220293472 878891773 /nfs/dbraw/zinc/89/17/73/878891773.db2.gz IMIMZGNDWPRBGS-IJEWVQPXSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cnoc3C)n2CC=C)CC1 ZINC001356166063 878899010 /nfs/dbraw/zinc/89/90/10/878899010.db2.gz HNIYVMIPNQVRLI-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](CCN(C)C(C)=O)CC2)CCC1 ZINC001356698186 879201913 /nfs/dbraw/zinc/20/19/13/879201913.db2.gz CIEGAVSVKKBHPB-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=CCCCC(=O)N1CC(CNC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001356815432 879420837 /nfs/dbraw/zinc/42/08/37/879420837.db2.gz XNFNWNLOZQKHKG-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCCC(=O)N1CC(CNC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001356815432 879420842 /nfs/dbraw/zinc/42/08/42/879420842.db2.gz XNFNWNLOZQKHKG-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@H+](CC#CCOC)C[C@@H]2O)CCCCC1 ZINC001221057052 879478510 /nfs/dbraw/zinc/47/85/10/879478510.db2.gz VWHMEVNLGWEQQE-CVEARBPZSA-N 1 2 322.449 1.158 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]2O)CCCCC1 ZINC001221057052 879478521 /nfs/dbraw/zinc/47/85/21/879478521.db2.gz VWHMEVNLGWEQQE-CVEARBPZSA-N 1 2 322.449 1.158 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H]3CN(C(=O)C#CC(C)C)[C@@H]3C2)cn1 ZINC001221535020 879927630 /nfs/dbraw/zinc/92/76/30/879927630.db2.gz JBZDUVMDMBCLEW-IAGOWNOFSA-N 1 2 312.417 1.477 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H]3CN(C(=O)C#CC(C)C)[C@@H]3C2)cn1 ZINC001221535020 879927639 /nfs/dbraw/zinc/92/76/39/879927639.db2.gz JBZDUVMDMBCLEW-IAGOWNOFSA-N 1 2 312.417 1.477 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@H+](Cc3cncs3)C[C@H]21 ZINC001221534846 879927704 /nfs/dbraw/zinc/92/77/04/879927704.db2.gz ATNJTTCMUUFPKQ-UKRRQHHQSA-N 1 2 303.431 1.835 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@@H+](Cc3cncs3)C[C@H]21 ZINC001221534846 879927710 /nfs/dbraw/zinc/92/77/10/879927710.db2.gz ATNJTTCMUUFPKQ-UKRRQHHQSA-N 1 2 303.431 1.835 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)CCC(F)(F)F ZINC001276824958 880057827 /nfs/dbraw/zinc/05/78/27/880057827.db2.gz XAAUVALNADROBV-WDEREUQCSA-N 1 2 321.343 1.210 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)CCC(F)(F)F ZINC001276824958 880057836 /nfs/dbraw/zinc/05/78/36/880057836.db2.gz XAAUVALNADROBV-WDEREUQCSA-N 1 2 321.343 1.210 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H](C#N)[C@@H](OCCn2cc[nH+]c2)C1 ZINC001222134862 880277990 /nfs/dbraw/zinc/27/79/90/880277990.db2.gz QHZIZMMIGFUPEA-STQMWFEESA-N 1 2 306.366 1.659 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CNC(=O)[C@@H](c1cccnc1)[NH+](C)C ZINC001358094884 880327160 /nfs/dbraw/zinc/32/71/60/880327160.db2.gz WAGBTRZJFMGDOV-CZUORRHYSA-N 1 2 318.421 1.271 20 30 DDEDLO N#CCN1C[C@@H]2CC[C@H](NC(=O)CCCn3cc[nH+]c3)C[C@H]2C1 ZINC001276841214 880711741 /nfs/dbraw/zinc/71/17/41/880711741.db2.gz VRRQJWMZSMYDQL-JYJNAYRXSA-N 1 2 315.421 1.404 20 30 DDEDLO CCc1nc(C)c(C[N@@H+]2CC[C@H]2CNC(=O)C#CC2CC2)o1 ZINC001276842140 880715261 /nfs/dbraw/zinc/71/52/61/880715261.db2.gz KHQLICFCSIJHGC-AWEZNQCLSA-N 1 2 301.390 1.649 20 30 DDEDLO CCc1nc(C)c(C[N@H+]2CC[C@H]2CNC(=O)C#CC2CC2)o1 ZINC001276842140 880715271 /nfs/dbraw/zinc/71/52/71/880715271.db2.gz KHQLICFCSIJHGC-AWEZNQCLSA-N 1 2 301.390 1.649 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]2CCC(CNC(=O)C#CC(C)C)CC2)[nH]1 ZINC001223114157 880835040 /nfs/dbraw/zinc/83/50/40/880835040.db2.gz JJRVYNKDCAIDGW-ZDUSSCGKSA-N 1 2 317.437 1.662 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@](C)(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001358809150 880948715 /nfs/dbraw/zinc/94/87/15/880948715.db2.gz KPFMDARVCLNCHM-QGZVFWFLSA-N 1 2 318.421 1.662 20 30 DDEDLO COCc1ccc(C[NH+]2CCN(C(=O)[C@H](C)C#N)CC2)cc1 ZINC001381083410 880985879 /nfs/dbraw/zinc/98/58/79/880985879.db2.gz QVDXHEUEZLATEX-CQSZACIVSA-N 1 2 301.390 1.637 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)[nH]c1C ZINC001276930525 881143863 /nfs/dbraw/zinc/14/38/63/881143863.db2.gz HEJONGVQSPDHDH-KBPBESRZSA-N 1 2 318.421 1.126 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)c1cc(C)[nH]c1C ZINC001276930525 881143871 /nfs/dbraw/zinc/14/38/71/881143871.db2.gz HEJONGVQSPDHDH-KBPBESRZSA-N 1 2 318.421 1.126 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CC[NH+]([C@@H](C)c2nnnn2C)CC1 ZINC001224051235 881194212 /nfs/dbraw/zinc/19/42/12/881194212.db2.gz PJGBGBODUYCCDG-LBPRGKRZSA-N 1 2 320.441 1.312 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001288010684 912663771 /nfs/dbraw/zinc/66/37/71/912663771.db2.gz CSPWBFFQOOXEQN-HUUCEWRRSA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCCC[N@H+]1CC[C@H]1CNC(=O)CS(=O)(=O)C(C)(C)C ZINC001276956904 881274555 /nfs/dbraw/zinc/27/45/55/881274555.db2.gz PNADVPHHGBSBMY-ZDUSSCGKSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCC[N@@H+]1CC[C@H]1CNC(=O)CS(=O)(=O)C(C)(C)C ZINC001276956904 881274571 /nfs/dbraw/zinc/27/45/71/881274571.db2.gz PNADVPHHGBSBMY-ZDUSSCGKSA-N 1 2 316.467 1.356 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)Cc1ccc(Cl)cc1 ZINC001276964356 881332791 /nfs/dbraw/zinc/33/27/91/881332791.db2.gz SXLGUFLJSHRMQC-MRXNPFEDSA-N 1 2 320.820 1.723 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)Cc1ccc(Cl)cc1 ZINC001276964356 881332806 /nfs/dbraw/zinc/33/28/06/881332806.db2.gz SXLGUFLJSHRMQC-MRXNPFEDSA-N 1 2 320.820 1.723 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001229017830 883777220 /nfs/dbraw/zinc/77/72/20/883777220.db2.gz ZATBXGQUPLSABQ-KRWDZBQOSA-N 1 2 319.453 1.981 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001230568584 884596927 /nfs/dbraw/zinc/59/69/27/884596927.db2.gz QEHBLHAAHRZURN-GFCCVEGCSA-N 1 2 307.419 1.689 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001230568584 884596936 /nfs/dbraw/zinc/59/69/36/884596936.db2.gz QEHBLHAAHRZURN-GFCCVEGCSA-N 1 2 307.419 1.689 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccnc1)C(=O)CSCC#N ZINC001230815806 884914596 /nfs/dbraw/zinc/91/45/96/884914596.db2.gz SRECOXSLNRARKQ-CQSZACIVSA-N 1 2 304.419 1.371 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccnc1)C(=O)CSCC#N ZINC001230815806 884914610 /nfs/dbraw/zinc/91/46/10/884914610.db2.gz SRECOXSLNRARKQ-CQSZACIVSA-N 1 2 304.419 1.371 20 30 DDEDLO CCCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231080550 885195174 /nfs/dbraw/zinc/19/51/74/885195174.db2.gz SQYUBCLNJSDKQP-SFHVURJKSA-N 1 2 314.429 1.997 20 30 DDEDLO CCCOCC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231080550 885195183 /nfs/dbraw/zinc/19/51/83/885195183.db2.gz SQYUBCLNJSDKQP-SFHVURJKSA-N 1 2 314.429 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001231094983 885214219 /nfs/dbraw/zinc/21/42/19/885214219.db2.gz LCWZACYMJSJKAV-ZBFHGGJFSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001231094983 885214237 /nfs/dbraw/zinc/21/42/37/885214237.db2.gz LCWZACYMJSJKAV-ZBFHGGJFSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1CCOCC(F)(F)F ZINC001231170328 885320210 /nfs/dbraw/zinc/32/02/10/885320210.db2.gz OTGCBOHFRNJNBC-GFCCVEGCSA-N 1 2 324.343 1.301 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1CCOCC(F)(F)F ZINC001231170328 885320226 /nfs/dbraw/zinc/32/02/26/885320226.db2.gz OTGCBOHFRNJNBC-GFCCVEGCSA-N 1 2 324.343 1.301 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1oc(CC)nc1C ZINC001231239575 885418457 /nfs/dbraw/zinc/41/84/57/885418457.db2.gz FAMMFQAORRQRDK-AWEZNQCLSA-N 1 2 321.421 1.781 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1oc(CC)nc1C ZINC001231239575 885418459 /nfs/dbraw/zinc/41/84/59/885418459.db2.gz FAMMFQAORRQRDK-AWEZNQCLSA-N 1 2 321.421 1.781 20 30 DDEDLO N#Cc1cccc(CCC[N@H+]2CCc3c([nH]nc3C(N)=O)C2)c1 ZINC001277589156 885956214 /nfs/dbraw/zinc/95/62/14/885956214.db2.gz WUULRWSGRRGGHI-UHFFFAOYSA-N 1 2 309.373 1.371 20 30 DDEDLO N#Cc1cccc(CCC[N@@H+]2CCc3c([nH]nc3C(N)=O)C2)c1 ZINC001277589156 885956219 /nfs/dbraw/zinc/95/62/19/885956219.db2.gz WUULRWSGRRGGHI-UHFFFAOYSA-N 1 2 309.373 1.371 20 30 DDEDLO Cc1ccc(OCC[NH+]2CC(N(C)C(=O)C#CC3CC3)C2)cc1 ZINC001277594143 885986288 /nfs/dbraw/zinc/98/62/88/885986288.db2.gz SQUIPXZAJOBOGJ-UHFFFAOYSA-N 1 2 312.413 1.930 20 30 DDEDLO N#Cc1ccc(CC[N@H+]2Cc3ccnn3CC[C@@H]2C(N)=O)cc1 ZINC001277642621 886212595 /nfs/dbraw/zinc/21/25/95/886212595.db2.gz AFHOWGITPDIXCA-MRXNPFEDSA-N 1 2 309.373 1.057 20 30 DDEDLO N#Cc1ccc(CC[N@@H+]2Cc3ccnn3CC[C@@H]2C(N)=O)cc1 ZINC001277642621 886212612 /nfs/dbraw/zinc/21/26/12/886212612.db2.gz AFHOWGITPDIXCA-MRXNPFEDSA-N 1 2 309.373 1.057 20 30 DDEDLO C=CCCC(=O)NCCC1=CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001288696177 913037119 /nfs/dbraw/zinc/03/71/19/913037119.db2.gz PGZODYJDFHGGJJ-UHFFFAOYSA-N 1 2 316.405 1.583 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(C(=O)OC)cc2Cl)CC1 ZINC001232581617 886480146 /nfs/dbraw/zinc/48/01/46/886480146.db2.gz QGZQZLKEVKNJMC-UHFFFAOYSA-N 1 2 306.793 1.877 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(Br)c(C)n2)CC1 ZINC001232668285 886525939 /nfs/dbraw/zinc/52/59/39/886525939.db2.gz GVMAOBUKQIJGAS-UHFFFAOYSA-N 1 2 308.223 1.903 20 30 DDEDLO CC(C)(C(N)=O)C1CC[NH+](Cc2cc(C#N)ccc2O)CC1 ZINC001232678902 886531833 /nfs/dbraw/zinc/53/18/33/886531833.db2.gz ULHCHPHBSIXIPQ-UHFFFAOYSA-N 1 2 301.390 1.987 20 30 DDEDLO CN(C)C(=O)NC1CC[NH+](Cc2cc(C#N)ccc2O)CC1 ZINC001232681060 886534683 /nfs/dbraw/zinc/53/46/83/886534683.db2.gz KHJWMSLCYCJHDJ-UHFFFAOYSA-N 1 2 302.378 1.499 20 30 DDEDLO Cc1cc(N2CC[NH+](Cc3cc(C#N)ccc3O)CC2)ncn1 ZINC001232682043 886535054 /nfs/dbraw/zinc/53/50/54/886535054.db2.gz YUYPRDZTEJCDAH-UHFFFAOYSA-N 1 2 309.373 1.685 20 30 DDEDLO N#Cc1ccc(F)cc1C[NH+]1CCC2(CC1)COCC(=O)N2 ZINC001232889924 886653561 /nfs/dbraw/zinc/65/35/61/886653561.db2.gz SXPJDJLDBQCAFZ-UHFFFAOYSA-N 1 2 303.337 1.178 20 30 DDEDLO CCN1C[C@H]2CC[N@H+](Cc3[nH]ccc3C#N)CC[C@H]2S1(=O)=O ZINC001233044497 886751764 /nfs/dbraw/zinc/75/17/64/886751764.db2.gz LGGJZADOUCWRRW-UKRRQHHQSA-N 1 2 322.434 1.132 20 30 DDEDLO CCN1C[C@H]2CC[N@@H+](Cc3[nH]ccc3C#N)CC[C@H]2S1(=O)=O ZINC001233044497 886751777 /nfs/dbraw/zinc/75/17/77/886751777.db2.gz LGGJZADOUCWRRW-UKRRQHHQSA-N 1 2 322.434 1.132 20 30 DDEDLO CN(C)c1ccc(C[N@H+]2CCC(=O)N(C)CC2)c(F)c1C#N ZINC001233100194 886785117 /nfs/dbraw/zinc/78/51/17/886785117.db2.gz BZQXCKVMQQXKMX-UHFFFAOYSA-N 1 2 304.369 1.427 20 30 DDEDLO CN(C)c1ccc(C[N@@H+]2CCC(=O)N(C)CC2)c(F)c1C#N ZINC001233100194 886785128 /nfs/dbraw/zinc/78/51/28/886785128.db2.gz BZQXCKVMQQXKMX-UHFFFAOYSA-N 1 2 304.369 1.427 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC1CCC1 ZINC001233549334 887102797 /nfs/dbraw/zinc/10/27/97/887102797.db2.gz LSQCCNPEVVAUMZ-GOEBONIOSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCC1CCC1 ZINC001233549334 887102804 /nfs/dbraw/zinc/10/28/04/887102804.db2.gz LSQCCNPEVVAUMZ-GOEBONIOSA-N 1 2 319.449 1.237 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C=C1CCC1 ZINC001233636425 887178452 /nfs/dbraw/zinc/17/84/52/887178452.db2.gz YRZNAPZLJNEPFM-ZFWWWQNUSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C=C1CCC1 ZINC001233636425 887178464 /nfs/dbraw/zinc/17/84/64/887178464.db2.gz YRZNAPZLJNEPFM-ZFWWWQNUSA-N 1 2 305.422 1.320 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[NH+]2CCC(c3ccncn3)CC2)cc1 ZINC001363804487 887267044 /nfs/dbraw/zinc/26/70/44/887267044.db2.gz PMFRUYQCXOSCAK-KRWDZBQOSA-N 1 2 321.384 1.754 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cccc(OC)n1 ZINC001233967220 887508046 /nfs/dbraw/zinc/50/80/46/887508046.db2.gz XTMSWMSPKMDBMB-INIZCTEOSA-N 1 2 315.417 1.926 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cccc(OC)n1 ZINC001233967220 887508052 /nfs/dbraw/zinc/50/80/52/887508052.db2.gz XTMSWMSPKMDBMB-INIZCTEOSA-N 1 2 315.417 1.926 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1C[C@@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001384548093 887662264 /nfs/dbraw/zinc/66/22/64/887662264.db2.gz RWXUGSUXBILAIH-SYQHCUMBSA-N 1 2 317.393 1.005 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)C(C)C ZINC001234118758 887663904 /nfs/dbraw/zinc/66/39/04/887663904.db2.gz KYADKDQCHRLKOV-HNNXBMFYSA-N 1 2 307.438 1.045 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)C(C)C ZINC001234118758 887663909 /nfs/dbraw/zinc/66/39/09/887663909.db2.gz KYADKDQCHRLKOV-HNNXBMFYSA-N 1 2 307.438 1.045 20 30 DDEDLO C[N@H+](CCCNC(=O)CSCC#N)Cc1ncc(C2CC2)o1 ZINC001234146045 887683122 /nfs/dbraw/zinc/68/31/22/887683122.db2.gz APXXHEXJGPBRSD-UHFFFAOYSA-N 1 2 322.434 1.747 20 30 DDEDLO C[N@@H+](CCCNC(=O)CSCC#N)Cc1ncc(C2CC2)o1 ZINC001234146045 887683129 /nfs/dbraw/zinc/68/31/29/887683129.db2.gz APXXHEXJGPBRSD-UHFFFAOYSA-N 1 2 322.434 1.747 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)c1cnccn1 ZINC001234162193 887699403 /nfs/dbraw/zinc/69/94/03/887699403.db2.gz QBHZPQCJFQTNTH-HUUCEWRRSA-N 1 2 300.406 1.730 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)c1cnccn1 ZINC001234162193 887699414 /nfs/dbraw/zinc/69/94/14/887699414.db2.gz QBHZPQCJFQTNTH-HUUCEWRRSA-N 1 2 300.406 1.730 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234215914 887755425 /nfs/dbraw/zinc/75/54/25/887755425.db2.gz QYQUXWCLNKTFOZ-CABCVRRESA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234215914 887755439 /nfs/dbraw/zinc/75/54/39/887755439.db2.gz QYQUXWCLNKTFOZ-CABCVRRESA-N 1 2 319.449 1.259 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)C[C@@H]1CCCO1 ZINC001234360624 887901191 /nfs/dbraw/zinc/90/11/91/887901191.db2.gz MIBJTZMEGSFRCD-LBPRGKRZSA-N 1 2 319.243 1.902 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)C[C@@H]1CCCO1 ZINC001234360624 887901222 /nfs/dbraw/zinc/90/12/22/887901222.db2.gz MIBJTZMEGSFRCD-LBPRGKRZSA-N 1 2 319.243 1.902 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1(C)CC1 ZINC001234454042 887983556 /nfs/dbraw/zinc/98/35/56/887983556.db2.gz GGUOHIRTSVPOML-CQSZACIVSA-N 1 2 320.437 1.081 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1(C)CC1 ZINC001234454042 887983568 /nfs/dbraw/zinc/98/35/68/887983568.db2.gz GGUOHIRTSVPOML-CQSZACIVSA-N 1 2 320.437 1.081 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NCCC ZINC001234537284 888066087 /nfs/dbraw/zinc/06/60/87/888066087.db2.gz MIDSYNAGWHXSPL-AWEZNQCLSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NCCC ZINC001234537284 888066097 /nfs/dbraw/zinc/06/60/97/888066097.db2.gz MIDSYNAGWHXSPL-AWEZNQCLSA-N 1 2 309.454 1.648 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc2occc2[nH]1 ZINC001234604699 888134785 /nfs/dbraw/zinc/13/47/85/888134785.db2.gz UAMLSPLQPLAFEK-ZDUSSCGKSA-N 1 2 315.373 1.557 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc2occc2[nH]1 ZINC001234604699 888134787 /nfs/dbraw/zinc/13/47/87/888134787.db2.gz UAMLSPLQPLAFEK-ZDUSSCGKSA-N 1 2 315.373 1.557 20 30 DDEDLO C[N@@H+]1CCO[C@@H](COc2ccc(Br)c(C#N)n2)C1 ZINC001234640414 888163014 /nfs/dbraw/zinc/16/30/14/888163014.db2.gz IZKXITUUBLOHEO-SECBINFHSA-N 1 2 312.167 1.425 20 30 DDEDLO C[N@H+]1CCO[C@@H](COc2ccc(Br)c(C#N)n2)C1 ZINC001234640414 888163019 /nfs/dbraw/zinc/16/30/19/888163019.db2.gz IZKXITUUBLOHEO-SECBINFHSA-N 1 2 312.167 1.425 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1nc(C)no1 ZINC001235247139 888503324 /nfs/dbraw/zinc/50/33/24/888503324.db2.gz UUPGQJUSORCRNN-LBPRGKRZSA-N 1 2 310.398 1.297 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1nc(C)no1 ZINC001235247139 888503332 /nfs/dbraw/zinc/50/33/32/888503332.db2.gz UUPGQJUSORCRNN-LBPRGKRZSA-N 1 2 310.398 1.297 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)C[C@H](C)OC ZINC001235389894 888607120 /nfs/dbraw/zinc/60/71/20/888607120.db2.gz VTWYIKLLHYWABK-NSHDSACASA-N 1 2 307.232 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)C[C@H](C)OC ZINC001235389894 888607125 /nfs/dbraw/zinc/60/71/25/888607125.db2.gz VTWYIKLLHYWABK-NSHDSACASA-N 1 2 307.232 1.758 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)Cc1cn(CC2CC2)nn1 ZINC001235436244 888640742 /nfs/dbraw/zinc/64/07/42/888640742.db2.gz JHEHPJFJWXQIPB-UHFFFAOYSA-N 1 2 305.426 1.592 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)Cc1cn(CC2CC2)nn1 ZINC001235436244 888640751 /nfs/dbraw/zinc/64/07/51/888640751.db2.gz JHEHPJFJWXQIPB-UHFFFAOYSA-N 1 2 305.426 1.592 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nnc(C(C)(C)C)o1 ZINC001235683146 888876509 /nfs/dbraw/zinc/87/65/09/888876509.db2.gz FYGRQZCOQCJKMY-UHFFFAOYSA-N 1 2 324.425 1.508 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nnc(C(C)(C)C)o1 ZINC001235683146 888876518 /nfs/dbraw/zinc/87/65/18/888876518.db2.gz FYGRQZCOQCJKMY-UHFFFAOYSA-N 1 2 324.425 1.508 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@](C)(NC(C)=O)C(C)C ZINC001374559931 913291446 /nfs/dbraw/zinc/29/14/46/913291446.db2.gz XHIZRCUDXBPFAI-HNNXBMFYSA-N 1 2 317.861 1.680 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@](C)(NC(C)=O)C(C)C ZINC001374559931 913291470 /nfs/dbraw/zinc/29/14/70/913291470.db2.gz XHIZRCUDXBPFAI-HNNXBMFYSA-N 1 2 317.861 1.680 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCC[C@@](C#N)(c3ccccn3)C2)CC1 ZINC001364777091 889395372 /nfs/dbraw/zinc/39/53/72/889395372.db2.gz RKMLAPPGNZUFCJ-YJBOKZPZSA-N 1 2 310.401 1.994 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCC[C@@](C#N)(c3ccccn3)C2)CC1 ZINC001364777091 889395380 /nfs/dbraw/zinc/39/53/80/889395380.db2.gz RKMLAPPGNZUFCJ-YJBOKZPZSA-N 1 2 310.401 1.994 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCC[C@](C#N)(c3ccccn3)C2)CC1 ZINC001364777062 889395886 /nfs/dbraw/zinc/39/58/86/889395886.db2.gz RKMLAPPGNZUFCJ-CRAIPNDOSA-N 1 2 310.401 1.994 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCC[C@](C#N)(c3ccccn3)C2)CC1 ZINC001364777062 889395899 /nfs/dbraw/zinc/39/58/99/889395899.db2.gz RKMLAPPGNZUFCJ-CRAIPNDOSA-N 1 2 310.401 1.994 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CC[C@H](Oc4ncccn4)C3)cn2c1 ZINC001237612446 889757258 /nfs/dbraw/zinc/75/72/58/889757258.db2.gz YPIQYAZVJPPZMB-HNNXBMFYSA-N 1 2 320.356 1.649 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CC[C@H](Oc4ncccn4)C3)cn2c1 ZINC001237612446 889757265 /nfs/dbraw/zinc/75/72/65/889757265.db2.gz YPIQYAZVJPPZMB-HNNXBMFYSA-N 1 2 320.356 1.649 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC2(C[C@@H]2C(=O)NCC(F)F)C1 ZINC001278239353 890241829 /nfs/dbraw/zinc/24/18/29/890241829.db2.gz NRJXRRWNJCUTFO-CYBMUJFWSA-N 1 2 305.328 1.761 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)[C@H]1C ZINC001278245036 890254495 /nfs/dbraw/zinc/25/44/95/890254495.db2.gz YBTUEVRTQBPGJW-TZMCWYRMSA-N 1 2 304.394 1.651 20 30 DDEDLO N#Cc1cc(NC(=O)N[C@H]2Cc3c[nH+]cn3C2)c(F)cc1F ZINC001365280365 890494009 /nfs/dbraw/zinc/49/40/09/890494009.db2.gz YSBNXTXMEGBNHQ-VIFPVBQESA-N 1 2 303.272 1.779 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nc(C4CC4)no3)C[C@H]21 ZINC001366229322 892797046 /nfs/dbraw/zinc/79/70/46/892797046.db2.gz KEDOGJHRSMXNKH-XZPDDHLCSA-N 1 2 315.377 1.214 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nc(C4CC4)no3)C[C@H]21 ZINC001366229322 892797054 /nfs/dbraw/zinc/79/70/54/892797054.db2.gz KEDOGJHRSMXNKH-XZPDDHLCSA-N 1 2 315.377 1.214 20 30 DDEDLO C[C@@H](CNC(=O)c1[nH]ncc1F)[NH2+]Cc1cccc(C#N)c1 ZINC001366323205 893161346 /nfs/dbraw/zinc/16/13/46/893161346.db2.gz NYPAEIGAKXYYOZ-JTQLQIEISA-N 1 2 301.325 1.329 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2snnc2C)[C@@H]1C ZINC001278496305 893500294 /nfs/dbraw/zinc/50/02/94/893500294.db2.gz YSNAWOAHKQYRPE-QWHCGFSZSA-N 1 2 322.434 1.079 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2snnc2C)[C@@H]1C ZINC001278496305 893500315 /nfs/dbraw/zinc/50/03/15/893500315.db2.gz YSNAWOAHKQYRPE-QWHCGFSZSA-N 1 2 322.434 1.079 20 30 DDEDLO N#Cc1ccn2ncc(C[NH+]3CCN(c4ccncn4)CC3)c2c1 ZINC001249118194 893823857 /nfs/dbraw/zinc/82/38/57/893823857.db2.gz BEQUNEVILISPFY-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cnc2[nH]c(=O)[nH]c2c1 ZINC001366546018 893965951 /nfs/dbraw/zinc/96/59/51/893965951.db2.gz AJDRQXDSFPGVQA-UHFFFAOYSA-N 1 2 309.757 1.078 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cnc2[nH]c(=O)[nH]c2c1 ZINC001366546018 893965957 /nfs/dbraw/zinc/96/59/57/893965957.db2.gz AJDRQXDSFPGVQA-UHFFFAOYSA-N 1 2 309.757 1.078 20 30 DDEDLO N#Cc1ccc2cc(C[NH+]3CCN(c4ncncn4)CC3)[nH]c2c1 ZINC001249431056 893988032 /nfs/dbraw/zinc/98/80/32/893988032.db2.gz SAOTWBGVKCQQTO-UHFFFAOYSA-N 1 2 319.372 1.547 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001366633095 894367267 /nfs/dbraw/zinc/36/72/67/894367267.db2.gz NLYHPQJQIOXZHI-UONOGXRCSA-N 1 2 310.829 1.714 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001366633095 894367280 /nfs/dbraw/zinc/36/72/80/894367280.db2.gz NLYHPQJQIOXZHI-UONOGXRCSA-N 1 2 310.829 1.714 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(COC)CCOCC2)C1 ZINC001367011299 895564016 /nfs/dbraw/zinc/56/40/16/895564016.db2.gz USNQUYWZQZJDGX-ZDUSSCGKSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(COC)CCOCC2)C1 ZINC001367011299 895564031 /nfs/dbraw/zinc/56/40/31/895564031.db2.gz USNQUYWZQZJDGX-ZDUSSCGKSA-N 1 2 316.829 1.373 20 30 DDEDLO CC[C@@H](CC#N)N1CC[NH+](CCNC(=O)OC(C)(C)C)CC1 ZINC001255166342 896759724 /nfs/dbraw/zinc/75/97/24/896759724.db2.gz JGZAYQRKGLRYGI-AWEZNQCLSA-N 1 2 310.442 1.821 20 30 DDEDLO CC(C(=O)N(CC[N@H+](C)CC(=O)NCC#N)C(C)C)=C1CCC1 ZINC001278904501 897213205 /nfs/dbraw/zinc/21/32/05/897213205.db2.gz NEBUWSSSLPSSRP-UHFFFAOYSA-N 1 2 320.437 1.295 20 30 DDEDLO CC(C(=O)N(CC[N@@H+](C)CC(=O)NCC#N)C(C)C)=C1CCC1 ZINC001278904501 897213216 /nfs/dbraw/zinc/21/32/16/897213216.db2.gz NEBUWSSSLPSSRP-UHFFFAOYSA-N 1 2 320.437 1.295 20 30 DDEDLO C=C[C@H]1C[C@]1([NH2+]C1CCN(C(=O)OCC)CC1)C(=O)OCC ZINC001256235161 897330268 /nfs/dbraw/zinc/33/02/68/897330268.db2.gz VMJXAHUOEWASPI-BLLLJJGKSA-N 1 2 310.394 1.705 20 30 DDEDLO CC[N@@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC001259417426 898657876 /nfs/dbraw/zinc/65/78/76/898657876.db2.gz AEZXNMMJPYGWEY-RYUDHWBXSA-N 1 2 309.366 1.164 20 30 DDEDLO CC[N@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC001259417426 898657882 /nfs/dbraw/zinc/65/78/82/898657882.db2.gz AEZXNMMJPYGWEY-RYUDHWBXSA-N 1 2 309.366 1.164 20 30 DDEDLO CCc1nnc([C@H](C)[NH+]2CCC(C)(NC(=O)[C@@H](C)C#N)CC2)o1 ZINC001368111794 898725963 /nfs/dbraw/zinc/72/59/63/898725963.db2.gz IDHMERNWZSDDAU-RYUDHWBXSA-N 1 2 319.409 1.823 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@](C)(CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001299617975 898765651 /nfs/dbraw/zinc/76/56/51/898765651.db2.gz IBCMPJVKVUHBGD-QGZVFWFLSA-N 1 2 318.421 1.663 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H](C[NH2+]Cc1cnsn1)C1CCCC1 ZINC001368140312 898790065 /nfs/dbraw/zinc/79/00/65/898790065.db2.gz XTQDMMDRNSPEPU-GXFFZTMASA-N 1 2 307.423 1.462 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1CNS(=O)(=O)c1ccc(C)s1 ZINC001260688076 899218830 /nfs/dbraw/zinc/21/88/30/899218830.db2.gz JATHLWUIRKWYHF-LBPRGKRZSA-N 1 2 300.449 1.985 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1CNS(=O)(=O)c1ccc(C)s1 ZINC001260688076 899218844 /nfs/dbraw/zinc/21/88/44/899218844.db2.gz JATHLWUIRKWYHF-LBPRGKRZSA-N 1 2 300.449 1.985 20 30 DDEDLO CCOC(=O)c1cc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)[nH]c1C ZINC001262112344 899950584 /nfs/dbraw/zinc/95/05/84/899950584.db2.gz GRBKUBKOQGAOPK-STQMWFEESA-N 1 2 318.421 1.918 20 30 DDEDLO C=CCn1c([C@H]2C[N@H+](C(C)C)CCO2)nnc1N1CCCC1 ZINC001262941556 900427377 /nfs/dbraw/zinc/42/73/77/900427377.db2.gz NZCFRUQSEGQMMD-CQSZACIVSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCn1c([C@H]2C[N@@H+](C(C)C)CCO2)nnc1N1CCCC1 ZINC001262941556 900427384 /nfs/dbraw/zinc/42/73/84/900427384.db2.gz NZCFRUQSEGQMMD-CQSZACIVSA-N 1 2 305.426 1.846 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@@H]3C[C@@H](O)C[N@H+]3C)n2CC=C)C1 ZINC001262947747 900431342 /nfs/dbraw/zinc/43/13/42/900431342.db2.gz SOLRQPFHBVVEDA-KFWWJZLASA-N 1 2 315.421 1.051 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc([C@@H]3C[C@@H](O)C[N@@H+]3C)n2CC=C)C1 ZINC001262947747 900431352 /nfs/dbraw/zinc/43/13/52/900431352.db2.gz SOLRQPFHBVVEDA-KFWWJZLASA-N 1 2 315.421 1.051 20 30 DDEDLO C=C(C)Cn1c(N2CCCCC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001263285963 900557043 /nfs/dbraw/zinc/55/70/43/900557043.db2.gz RTVNFCCGUAVGGX-PBHICJAKSA-N 1 2 319.453 1.756 20 30 DDEDLO C=C(C)Cn1c(N2CCCCC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001263285963 900557062 /nfs/dbraw/zinc/55/70/62/900557062.db2.gz RTVNFCCGUAVGGX-PBHICJAKSA-N 1 2 319.453 1.756 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CCC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001263285236 900558278 /nfs/dbraw/zinc/55/82/78/900558278.db2.gz AUMVLBWSWSDXRD-WMLDXEAASA-N 1 2 319.453 1.755 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CCC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001263285236 900558282 /nfs/dbraw/zinc/55/82/82/900558282.db2.gz AUMVLBWSWSDXRD-WMLDXEAASA-N 1 2 319.453 1.755 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CC=NO3)n2CC(C)C)CC1 ZINC001263402145 900603803 /nfs/dbraw/zinc/60/38/03/900603803.db2.gz WPHQRUAPVWFXMN-CQSZACIVSA-N 1 2 316.409 1.137 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@H]1CCCCN1C(N)=O ZINC001390988485 900639968 /nfs/dbraw/zinc/63/99/68/900639968.db2.gz NNBBVCNBBKGWHG-GFCCVEGCSA-N 1 2 316.833 1.110 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@H]1CCCCN1C(N)=O ZINC001390988485 900639980 /nfs/dbraw/zinc/63/99/80/900639980.db2.gz NNBBVCNBBKGWHG-GFCCVEGCSA-N 1 2 316.833 1.110 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]1C[C@@H]([NH2+]CC(F)(F)C(F)F)C1 ZINC001263832756 900748719 /nfs/dbraw/zinc/74/87/19/900748719.db2.gz YHKIPLXRTXKWNU-PHIMTYICSA-N 1 2 306.303 1.785 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccc(C)o2)[C@H]1C ZINC001264128879 900965289 /nfs/dbraw/zinc/96/52/89/900965289.db2.gz HVGBSMAEOWJADB-OLZOCXBDSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C)o2)[C@H]1C ZINC001264128879 900965302 /nfs/dbraw/zinc/96/53/02/900965302.db2.gz HVGBSMAEOWJADB-OLZOCXBDSA-N 1 2 305.378 1.083 20 30 DDEDLO CC[C@H](C)CC(=O)NC[C@@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001369319791 901056414 /nfs/dbraw/zinc/05/64/14/901056414.db2.gz AIIVXBGTJAMYLJ-LRDDRELGSA-N 1 2 321.396 1.700 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@@H]23)o1 ZINC001369412713 901226741 /nfs/dbraw/zinc/22/67/41/901226741.db2.gz LUCUEYZUSROEPU-NORZTCDRSA-N 1 2 302.378 1.756 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@@H]23)o1 ZINC001369412713 901226752 /nfs/dbraw/zinc/22/67/52/901226752.db2.gz LUCUEYZUSROEPU-NORZTCDRSA-N 1 2 302.378 1.756 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H](CCC)C(C)C)C1 ZINC001265226556 901742338 /nfs/dbraw/zinc/74/23/38/901742338.db2.gz DMMBVJSSOOBASM-JKSUJKDBSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H](CCC)C(C)C)C1 ZINC001265226556 901742349 /nfs/dbraw/zinc/74/23/49/901742349.db2.gz DMMBVJSSOOBASM-JKSUJKDBSA-N 1 2 321.465 1.389 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(Cc2ccon2)CC1 ZINC001265259753 901782394 /nfs/dbraw/zinc/78/23/94/901782394.db2.gz KRWVVKNXRVTMPE-UHFFFAOYSA-N 1 2 306.410 1.265 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001265318533 901881640 /nfs/dbraw/zinc/88/16/40/901881640.db2.gz AIKXUGMEHPMDEE-OAHLLOKOSA-N 1 2 307.369 1.504 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@H+](Cc2cncc(F)c2)C1 ZINC001265318533 901881649 /nfs/dbraw/zinc/88/16/49/901881649.db2.gz AIKXUGMEHPMDEE-OAHLLOKOSA-N 1 2 307.369 1.504 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]([NH2+]Cc1nc(C(F)F)no1)C1CC1 ZINC001370143720 902631156 /nfs/dbraw/zinc/63/11/56/902631156.db2.gz ILYOWGSNKHPQGK-CBAPKCEASA-N 1 2 313.308 1.151 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CCC[N@H+](CC(=O)N2CCCC2)C1 ZINC001266218396 903132254 /nfs/dbraw/zinc/13/22/54/903132254.db2.gz PCBNZAJDHSXNBY-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N2CCCC2)C1 ZINC001266218396 903132261 /nfs/dbraw/zinc/13/22/61/903132261.db2.gz PCBNZAJDHSXNBY-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NC2CC2)C1 ZINC001266233571 903159548 /nfs/dbraw/zinc/15/95/48/903159548.db2.gz NBFRKLUIVAIFQE-HNNXBMFYSA-N 1 2 305.422 1.039 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NC2CC2)C1 ZINC001266233571 903159556 /nfs/dbraw/zinc/15/95/56/903159556.db2.gz NBFRKLUIVAIFQE-HNNXBMFYSA-N 1 2 305.422 1.039 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1COCC[N@H+]1CC/C=C\CC ZINC001280406611 903643564 /nfs/dbraw/zinc/64/35/64/903643564.db2.gz UCDRICLPYVTQFF-JTUDCUDASA-N 1 2 308.422 1.198 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1COCC[N@@H+]1CC/C=C\CC ZINC001280406611 903643574 /nfs/dbraw/zinc/64/35/74/903643574.db2.gz UCDRICLPYVTQFF-JTUDCUDASA-N 1 2 308.422 1.198 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCC[C@H]2CNC(=O)[C@@H](C)C#N)nn1 ZINC001370842111 903830132 /nfs/dbraw/zinc/83/01/32/903830132.db2.gz HUMBGMNDTJEPGV-JSGCOSHPSA-N 1 2 317.393 1.116 20 30 DDEDLO COc1ccc(C[N@H+]2CCCC[C@H]2CNC(=O)[C@@H](C)C#N)nn1 ZINC001370842111 903830144 /nfs/dbraw/zinc/83/01/44/903830144.db2.gz HUMBGMNDTJEPGV-JSGCOSHPSA-N 1 2 317.393 1.116 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@@H](C)C(=O)NC2CC2)C1 ZINC001316605665 903970356 /nfs/dbraw/zinc/97/03/56/903970356.db2.gz PQUJWSCLRHGFBZ-ZFWWWQNUSA-N 1 2 319.449 1.543 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@@H](C)C(=O)NC2CC2)C1 ZINC001316605665 903970365 /nfs/dbraw/zinc/97/03/65/903970365.db2.gz PQUJWSCLRHGFBZ-ZFWWWQNUSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2ncnn2CC)CC1 ZINC001280745461 903976938 /nfs/dbraw/zinc/97/69/38/903976938.db2.gz UCEXYHKSSSBBTN-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](Cc2ncnn2CC)CC1 ZINC001280745461 903976947 /nfs/dbraw/zinc/97/69/47/903976947.db2.gz UCEXYHKSSSBBTN-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)CC(C)(C)COC)CO2 ZINC001280966642 904252403 /nfs/dbraw/zinc/25/24/03/904252403.db2.gz CSNGNERDNSJQCS-CQSZACIVSA-N 1 2 308.422 1.032 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CN(C(=O)Cc3[nH]c[nH+]c3C)C[C@H]21 ZINC001281605564 905085964 /nfs/dbraw/zinc/08/59/64/905085964.db2.gz XPXQDTDCNBKVHV-DZGCQCFKSA-N 1 2 316.405 1.286 20 30 DDEDLO C#CCCCCC(=O)N1CCC2(CC1)C[N@H+](CCF)CCO2 ZINC001281784210 905217267 /nfs/dbraw/zinc/21/72/67/905217267.db2.gz QRQIICJGYBCXJY-UHFFFAOYSA-N 1 2 310.413 1.843 20 30 DDEDLO C#CCCCCC(=O)N1CCC2(CC1)C[N@@H+](CCF)CCO2 ZINC001281784210 905217281 /nfs/dbraw/zinc/21/72/81/905217281.db2.gz QRQIICJGYBCXJY-UHFFFAOYSA-N 1 2 310.413 1.843 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001282093138 905539268 /nfs/dbraw/zinc/53/92/68/905539268.db2.gz OLBGPHQBFFBEAO-RQJABVFESA-N 1 2 318.421 1.421 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)[N@H+](C)Cc1snnc1C ZINC001282462121 905803095 /nfs/dbraw/zinc/80/30/95/905803095.db2.gz SGLNDQWEWKMFJM-UHTWSYAYSA-N 1 2 324.450 1.374 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)[N@@H+](C)Cc1snnc1C ZINC001282462121 905803105 /nfs/dbraw/zinc/80/31/05/905803105.db2.gz SGLNDQWEWKMFJM-UHTWSYAYSA-N 1 2 324.450 1.374 20 30 DDEDLO C=C(C)CCC(=O)NCCNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001283049840 906536177 /nfs/dbraw/zinc/53/61/77/906536177.db2.gz NPNBGAWQRAUMMA-ZDUSSCGKSA-N 1 2 304.394 1.034 20 30 DDEDLO CC[N@H+](CCNC(=O)[C@@H](C)C#N)Cc1nnc(-c2ccco2)o1 ZINC001372291008 906930148 /nfs/dbraw/zinc/93/01/48/906930148.db2.gz YKQZKROLPVHNNM-NSHDSACASA-N 1 2 317.349 1.427 20 30 DDEDLO CC[N@@H+](CCNC(=O)[C@@H](C)C#N)Cc1nnc(-c2ccco2)o1 ZINC001372291008 906930168 /nfs/dbraw/zinc/93/01/68/906930168.db2.gz YKQZKROLPVHNNM-NSHDSACASA-N 1 2 317.349 1.427 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC001372449682 907335423 /nfs/dbraw/zinc/33/54/23/907335423.db2.gz SRVFVKCILRWOMQ-OAHLLOKOSA-N 1 2 315.845 1.436 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC001372449682 907335442 /nfs/dbraw/zinc/33/54/42/907335442.db2.gz SRVFVKCILRWOMQ-OAHLLOKOSA-N 1 2 315.845 1.436 20 30 DDEDLO Cc1ccc(C[NH+]2CC3(C2)C[C@@H](NC(=O)[C@@H](C)C#N)CO3)cc1 ZINC001393663101 907641566 /nfs/dbraw/zinc/64/15/66/907641566.db2.gz KENXKTTVQLAJDG-GOEBONIOSA-N 1 2 313.401 1.614 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CSCC#N)CCC[N@@H+]1Cc1ccnn1C ZINC001284117611 908501184 /nfs/dbraw/zinc/50/11/84/908501184.db2.gz GSGLXUKZCDMFCF-OCCSQVGLSA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CSCC#N)CCC[N@H+]1Cc1ccnn1C ZINC001284117611 908501199 /nfs/dbraw/zinc/50/11/99/908501199.db2.gz GSGLXUKZCDMFCF-OCCSQVGLSA-N 1 2 321.450 1.146 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001284139105 908537081 /nfs/dbraw/zinc/53/70/81/908537081.db2.gz ODSDAHQCAFRMKU-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCc2cncn2C)C(C)(C)C1 ZINC001394298762 909294248 /nfs/dbraw/zinc/29/42/48/909294248.db2.gz FTIQWIXHVSRLBY-AWEZNQCLSA-N 1 2 324.856 1.932 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCc2cncn2C)C(C)(C)C1 ZINC001394298762 909294257 /nfs/dbraw/zinc/29/42/57/909294257.db2.gz FTIQWIXHVSRLBY-AWEZNQCLSA-N 1 2 324.856 1.932 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001284682146 909348129 /nfs/dbraw/zinc/34/81/29/909348129.db2.gz ULQNJWDLASHLSG-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001284688127 909355780 /nfs/dbraw/zinc/35/57/80/909355780.db2.gz LDTDCZSLPZBADV-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)C2=CCCC2)CC1 ZINC001284820094 909525195 /nfs/dbraw/zinc/52/51/95/909525195.db2.gz OZHMTOPVPCMEMW-UHFFFAOYSA-N 1 2 319.449 1.666 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCN(C)C(=O)C=C(C)C)CC1 ZINC001284835563 909547140 /nfs/dbraw/zinc/54/71/40/909547140.db2.gz KURKNUVFVWNRPH-UHFFFAOYSA-N 1 2 319.449 1.359 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@]2(NC(=O)[C@@H](C)C#N)CCC[C@@H]12 ZINC001394689329 910296454 /nfs/dbraw/zinc/29/64/54/910296454.db2.gz ZJHDFLAZAFNDMG-PSOPSSQASA-N 1 2 303.366 1.151 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@]2(NC(=O)[C@@H](C)C#N)CCC[C@@H]12 ZINC001394689329 910296476 /nfs/dbraw/zinc/29/64/76/910296476.db2.gz ZJHDFLAZAFNDMG-PSOPSSQASA-N 1 2 303.366 1.151 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)N(C)C(=O)CCc1[nH+]ccn1C ZINC001285708662 911069247 /nfs/dbraw/zinc/06/92/47/911069247.db2.gz ZJCHXDPYKQZJPY-CQSZACIVSA-N 1 2 320.437 1.672 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001285765422 911172814 /nfs/dbraw/zinc/17/28/14/911172814.db2.gz FTJLJUJEAFZLFT-LBPRGKRZSA-N 1 2 306.410 1.186 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]1CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001285873046 911343836 /nfs/dbraw/zinc/34/38/36/911343836.db2.gz PAYOMEPCIBCWNC-ZFWWWQNUSA-N 1 2 316.405 1.129 20 30 DDEDLO CC(C)[C@H](CCN(C)C(=O)[C@H](C)C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001395223557 911589598 /nfs/dbraw/zinc/58/95/98/911589598.db2.gz MAPRZURWVRYLCM-OLZOCXBDSA-N 1 2 319.409 1.101 20 30 DDEDLO C=CCC1(C(=O)N(C)C2CN(C(=O)Cc3c[nH+]c[nH]3)C2)CCC1 ZINC001286033751 911602733 /nfs/dbraw/zinc/60/27/33/911602733.db2.gz VUTYTINJRYFITP-UHFFFAOYSA-N 1 2 316.405 1.368 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1C[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001286454869 911985177 /nfs/dbraw/zinc/98/51/77/911985177.db2.gz OHVPWAPMOZJKQD-CTYIDZIISA-N 1 2 316.405 1.013 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1C[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001286454869 911985197 /nfs/dbraw/zinc/98/51/97/911985197.db2.gz OHVPWAPMOZJKQD-CTYIDZIISA-N 1 2 316.405 1.013 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2C[C@H]2CCCS2)CC1 ZINC001286479290 911993010 /nfs/dbraw/zinc/99/30/10/911993010.db2.gz PONVKCUITZSUBM-CQSZACIVSA-N 1 2 319.478 1.491 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)CCCNC(=O)Cn2cc[nH+]c2)C1 ZINC001287323343 912184061 /nfs/dbraw/zinc/18/40/61/912184061.db2.gz MJRZGPCAXYNJFK-CQSZACIVSA-N 1 2 318.421 1.641 20 30 DDEDLO CCN(CCCNC(=O)[C@H](C)C#N)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001294527385 915196294 /nfs/dbraw/zinc/19/62/94/915196294.db2.gz LEWYAQXNBXXCCQ-JHJVBQTASA-N 1 2 317.393 1.028 20 30 DDEDLO CCN(CCCNC(=O)[C@H](C)C#N)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001294527385 915196303 /nfs/dbraw/zinc/19/63/03/915196303.db2.gz LEWYAQXNBXXCCQ-JHJVBQTASA-N 1 2 317.393 1.028 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001294782986 915362759 /nfs/dbraw/zinc/36/27/59/915362759.db2.gz CILDVEWQJKSZQW-KBPBESRZSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001294941517 915470928 /nfs/dbraw/zinc/47/09/28/915470928.db2.gz BEZFODMBXICAOQ-IUODEOHRSA-N 1 2 318.421 1.566 20 30 DDEDLO CO[C@H](C)c1nc(C[NH2+]C2(CNC(=O)[C@@H](C)C#N)CC2)cs1 ZINC001375401129 915823303 /nfs/dbraw/zinc/82/33/03/915823303.db2.gz BSDXJRGGLMXURT-WDEREUQCSA-N 1 2 322.434 1.749 20 30 DDEDLO C[C@@H](CNC(=O)C#CC(C)(C)C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001295484132 915843785 /nfs/dbraw/zinc/84/37/85/915843785.db2.gz XXUWFVLDQINZCW-YNEHKIRRSA-N 1 2 316.405 1.184 20 30 DDEDLO C[C@@H](CNC(=O)C#CC(C)(C)C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001295484132 915843812 /nfs/dbraw/zinc/84/38/12/915843812.db2.gz XXUWFVLDQINZCW-YNEHKIRRSA-N 1 2 316.405 1.184 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001295510420 915863236 /nfs/dbraw/zinc/86/32/36/915863236.db2.gz PAGQVVRHAKNYQI-LLVKDONJSA-N 1 2 306.410 1.484 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001295549595 915893059 /nfs/dbraw/zinc/89/30/59/915893059.db2.gz TZBVVKZVCQEQSI-CYBMUJFWSA-N 1 2 320.437 1.904 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC(NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001297079047 916715294 /nfs/dbraw/zinc/71/52/94/916715294.db2.gz PUNIVYLJZPPJQN-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC(NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001297079047 916715304 /nfs/dbraw/zinc/71/53/04/916715304.db2.gz PUNIVYLJZPPJQN-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(C)CCC(=O)N1CC(NC(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001297080762 916717200 /nfs/dbraw/zinc/71/72/00/916717200.db2.gz JNDQSMSQRIKJBM-CYBMUJFWSA-N 1 2 316.405 1.129 20 30 DDEDLO CC(C)C[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)NC(=O)[C@@H](C)C#N ZINC001375843729 917108127 /nfs/dbraw/zinc/10/81/27/917108127.db2.gz BXTQMUGKRPLGRH-STQMWFEESA-N 1 2 319.409 1.149 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)[C@@H](C)CSC)C1 ZINC001376447097 918512033 /nfs/dbraw/zinc/51/20/33/918512033.db2.gz ATALETLKPSIIAD-FZMZJTMJSA-N 1 2 320.886 1.681 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)[C@@H](C)CSC)C1 ZINC001376447097 918512042 /nfs/dbraw/zinc/51/20/42/918512042.db2.gz ATALETLKPSIIAD-FZMZJTMJSA-N 1 2 320.886 1.681 20 30 DDEDLO COc1cc(C[N@@H+]2CCCC[C@@H]2CNC(=O)[C@@H](C)C#N)sn1 ZINC001376580335 918805105 /nfs/dbraw/zinc/80/51/05/918805105.db2.gz UBGIYVOWPNRIFD-NWDGAFQWSA-N 1 2 322.434 1.782 20 30 DDEDLO COc1cc(C[N@H+]2CCCC[C@@H]2CNC(=O)[C@@H](C)C#N)sn1 ZINC001376580335 918805120 /nfs/dbraw/zinc/80/51/20/918805120.db2.gz UBGIYVOWPNRIFD-NWDGAFQWSA-N 1 2 322.434 1.782 20 30 DDEDLO C=C(Br)C[NH+]1CCC(CO)(NC(=O)CC)CC1 ZINC001377552879 922310558 /nfs/dbraw/zinc/31/05/58/922310558.db2.gz SXHKLNNAKXAPQZ-UHFFFAOYSA-N 1 2 305.216 1.248 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C2(c3ccccn3)CC2)C1 ZINC001377976756 923567054 /nfs/dbraw/zinc/56/70/54/923567054.db2.gz YXKYMUOFSDRYSQ-UHFFFAOYSA-N 1 2 305.809 1.914 20 30 DDEDLO Cc1cc(C#N)ccc1C[NH+]1CCN(CC(=O)N(C)C)CC1 ZINC000614395987 361836537 /nfs/dbraw/zinc/83/65/37/361836537.db2.gz IRLYTOUEYDTICV-UHFFFAOYSA-N 1 2 300.406 1.073 20 30 DDEDLO Cc1cc(C#N)ccc1CN1CC[NH+](CC(=O)N(C)C)CC1 ZINC000614395987 361836542 /nfs/dbraw/zinc/83/65/42/361836542.db2.gz IRLYTOUEYDTICV-UHFFFAOYSA-N 1 2 300.406 1.073 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2nc3cccnc3s2)CC1 ZINC000278608063 214273205 /nfs/dbraw/zinc/27/32/05/214273205.db2.gz JNWFHDFRTFOWMP-UHFFFAOYSA-N 1 2 300.387 1.472 20 30 DDEDLO C[C@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)[C@@H](C(N)=O)C1 ZINC000249558060 282173236 /nfs/dbraw/zinc/17/32/36/282173236.db2.gz KPMQUSCZADCFMV-SMDDNHRTSA-N 1 2 300.362 1.083 20 30 DDEDLO C[C@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)[C@@H](C(N)=O)C1 ZINC000249558060 282173238 /nfs/dbraw/zinc/17/32/38/282173238.db2.gz KPMQUSCZADCFMV-SMDDNHRTSA-N 1 2 300.362 1.083 20 30 DDEDLO Cc1cnc([C@H](C)NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)o1 ZINC000328859052 539299316 /nfs/dbraw/zinc/29/93/16/539299316.db2.gz DRHYFYWZWBFJKE-XQQFMLRXSA-N 1 2 308.382 1.363 20 30 DDEDLO Cc1cnc([C@H](C)NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)o1 ZINC000328859052 539299317 /nfs/dbraw/zinc/29/93/17/539299317.db2.gz DRHYFYWZWBFJKE-XQQFMLRXSA-N 1 2 308.382 1.363 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2OC)C1 ZINC000092842378 185345484 /nfs/dbraw/zinc/34/54/84/185345484.db2.gz ZEJBBXMKFVHUSV-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2OC)C1 ZINC000092842378 185345485 /nfs/dbraw/zinc/34/54/85/185345485.db2.gz ZEJBBXMKFVHUSV-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(CC(=O)Nc3ccc(Cl)cn3)C[C@H]21 ZINC000330344432 529791093 /nfs/dbraw/zinc/79/10/93/529791093.db2.gz CXYWYCSGLSPOEB-NEPJUHHUSA-N 1 2 310.785 1.338 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(CC(=O)Nc3ccc(Cl)cn3)C[C@H]21 ZINC000330344432 529791094 /nfs/dbraw/zinc/79/10/94/529791094.db2.gz CXYWYCSGLSPOEB-NEPJUHHUSA-N 1 2 310.785 1.338 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccc2c(c1)OCO2)[C@@]1(CO)CCOC1 ZINC000329644578 529852935 /nfs/dbraw/zinc/85/29/35/529852935.db2.gz IMEKYIJRQACUTH-OAHLLOKOSA-N 1 2 308.334 1.087 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccc2c(c1)OCO2)[C@@]1(CO)CCOC1 ZINC000329644578 529852937 /nfs/dbraw/zinc/85/29/37/529852937.db2.gz IMEKYIJRQACUTH-OAHLLOKOSA-N 1 2 308.334 1.087 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cccc(-n2cccn2)c1 ZINC000414140415 529868355 /nfs/dbraw/zinc/86/83/55/529868355.db2.gz GYNUPACWTZXWPX-HNNXBMFYSA-N 1 2 300.362 1.457 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cccc(-n2cccn2)c1 ZINC000414140415 529868356 /nfs/dbraw/zinc/86/83/56/529868356.db2.gz GYNUPACWTZXWPX-HNNXBMFYSA-N 1 2 300.362 1.457 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc([C@H]2CCOC2)cc1 ZINC000414123099 529868539 /nfs/dbraw/zinc/86/85/39/529868539.db2.gz JEBQRHWYIXTNGY-JKSUJKDBSA-N 1 2 304.390 1.776 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc([C@H]2CCOC2)cc1 ZINC000414123099 529868540 /nfs/dbraw/zinc/86/85/40/529868540.db2.gz JEBQRHWYIXTNGY-JKSUJKDBSA-N 1 2 304.390 1.776 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C(N)=O)cc1)[NH+]1CCC(C#N)CC1 ZINC000057907912 334954040 /nfs/dbraw/zinc/95/40/40/334954040.db2.gz VTZUAVFODCAPEA-NSHDSACASA-N 1 2 300.362 1.348 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@H](C)C(=O)NC[C@@H]2CCCO2)n1 ZINC000279672014 215050508 /nfs/dbraw/zinc/05/05/08/215050508.db2.gz FIPLEGDNDYWHRA-ZBFHGGJFSA-N 1 2 318.421 1.022 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@H](C)C(=O)NC[C@@H]2CCCO2)n1 ZINC000279672014 215050510 /nfs/dbraw/zinc/05/05/10/215050510.db2.gz FIPLEGDNDYWHRA-ZBFHGGJFSA-N 1 2 318.421 1.022 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@@H+]3CCC[C@@](F)(CO)C3)C2=O)cc1 ZINC000287143223 219340601 /nfs/dbraw/zinc/34/06/01/219340601.db2.gz YIGNZTGACYNUQJ-RDJZCZTQSA-N 1 2 317.364 1.460 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@H+]3CCC[C@@](F)(CO)C3)C2=O)cc1 ZINC000287143223 219340604 /nfs/dbraw/zinc/34/06/04/219340604.db2.gz YIGNZTGACYNUQJ-RDJZCZTQSA-N 1 2 317.364 1.460 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cc1[N+](=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000264256995 186330135 /nfs/dbraw/zinc/33/01/35/186330135.db2.gz LPZOPRZBTHPQOK-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN([C@@H]3CCCCNC3=O)CC2)cc1 ZINC000022805735 352180775 /nfs/dbraw/zinc/18/07/75/352180775.db2.gz BDFLPWQOEXBXST-QGZVFWFLSA-N 1 2 312.417 1.345 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc3c(c2)CCO3)CC1 ZINC000023303205 352183840 /nfs/dbraw/zinc/18/38/40/352183840.db2.gz GHYIDGSQVCJQEB-UHFFFAOYSA-N 1 2 315.417 1.041 20 30 DDEDLO Cn1cc(C[N@H+](C)CC(=O)N(CCC#N)c2ccccc2)cn1 ZINC000029065559 352233980 /nfs/dbraw/zinc/23/39/80/352233980.db2.gz YKCFZENBLXMFEQ-UHFFFAOYSA-N 1 2 311.389 1.799 20 30 DDEDLO Cn1cc(C[N@@H+](C)CC(=O)N(CCC#N)c2ccccc2)cn1 ZINC000029065559 352233984 /nfs/dbraw/zinc/23/39/84/352233984.db2.gz YKCFZENBLXMFEQ-UHFFFAOYSA-N 1 2 311.389 1.799 20 30 DDEDLO C[C@H](c1nc(N)nc(N(C)C)n1)[N@H+](C)Cc1ccc(C#N)cc1 ZINC000046528842 352456059 /nfs/dbraw/zinc/45/60/59/352456059.db2.gz HTEZDGSZAHSTLH-LLVKDONJSA-N 1 2 311.393 1.584 20 30 DDEDLO C[C@H](c1nc(N)nc(N(C)C)n1)[N@@H+](C)Cc1ccc(C#N)cc1 ZINC000046528842 352456061 /nfs/dbraw/zinc/45/60/61/352456061.db2.gz HTEZDGSZAHSTLH-LLVKDONJSA-N 1 2 311.393 1.584 20 30 DDEDLO COc1cccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000060633909 352878329 /nfs/dbraw/zinc/87/83/29/352878329.db2.gz VRDNGUUEVLGYPY-UHFFFAOYSA-N 1 2 317.389 1.383 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC000063589930 352916623 /nfs/dbraw/zinc/91/66/23/352916623.db2.gz JBCACPHQTHQJOT-UHFFFAOYSA-N 1 2 314.357 1.294 20 30 DDEDLO N#Cc1cccc(/C=C/C(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)c1 ZINC000491876042 234323205 /nfs/dbraw/zinc/32/32/05/234323205.db2.gz ZXVMHBGEYUKBMH-SNAWJCMRSA-N 1 2 321.384 1.639 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000072831050 353219430 /nfs/dbraw/zinc/21/94/30/353219430.db2.gz JZGRIGHIFZUMBA-INIZCTEOSA-N 1 2 309.373 1.292 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CC(=O)N(C(C)C)C(C)(C)C1 ZINC000075924787 353386024 /nfs/dbraw/zinc/38/60/24/353386024.db2.gz GQHLNNQCBRALOJ-HNNXBMFYSA-N 1 2 321.465 1.907 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC(=O)N(C(C)C)C(C)(C)C1 ZINC000075924787 353386026 /nfs/dbraw/zinc/38/60/26/353386026.db2.gz GQHLNNQCBRALOJ-HNNXBMFYSA-N 1 2 321.465 1.907 20 30 DDEDLO CN(C[C@H](O)C[NH+]1CCOCC1)c1ccc(C#N)c(Cl)c1 ZINC000081015531 353645150 /nfs/dbraw/zinc/64/51/50/353645150.db2.gz UWZXKXLAQQZSSN-AWEZNQCLSA-N 1 2 309.797 1.341 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@H]([C@@H](C)O)C2)c(C#N)c1C ZINC000089928179 353783953 /nfs/dbraw/zinc/78/39/53/353783953.db2.gz MZEIDIFSPBRLPN-YPMHNXCESA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@H]([C@@H](C)O)C2)c(C#N)c1C ZINC000089928179 353783958 /nfs/dbraw/zinc/78/39/58/353783958.db2.gz MZEIDIFSPBRLPN-YPMHNXCESA-N 1 2 305.378 1.799 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@@H](CO)OC[C@@H]2C)cc1OC ZINC000092042035 353841556 /nfs/dbraw/zinc/84/15/56/353841556.db2.gz LCMZFVDQZHUJBE-ZFWWWQNUSA-N 1 2 307.390 1.842 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@@H](CO)OC[C@@H]2C)cc1OC ZINC000092042035 353841558 /nfs/dbraw/zinc/84/15/58/353841558.db2.gz LCMZFVDQZHUJBE-ZFWWWQNUSA-N 1 2 307.390 1.842 20 30 DDEDLO CCC(=O)N1CCC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000115536753 353987186 /nfs/dbraw/zinc/98/71/86/353987186.db2.gz LXRISSWLTFZIBP-MRXNPFEDSA-N 1 2 314.389 1.029 20 30 DDEDLO CCC(=O)N1CCC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000115536753 353987190 /nfs/dbraw/zinc/98/71/90/353987190.db2.gz LXRISSWLTFZIBP-MRXNPFEDSA-N 1 2 314.389 1.029 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)N1CC[NH+](Cc2cc(C#N)cs2)CC1 ZINC000123363191 354031851 /nfs/dbraw/zinc/03/18/51/354031851.db2.gz MSBPYKLDSSDIRE-LBPRGKRZSA-N 1 2 318.446 1.404 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(Cc2cc(C#N)cs2)CC1 ZINC000123363191 354031852 /nfs/dbraw/zinc/03/18/52/354031852.db2.gz MSBPYKLDSSDIRE-LBPRGKRZSA-N 1 2 318.446 1.404 20 30 DDEDLO C[C@H](NC(=O)c1c(F)cc(C#N)cc1F)[C@H]1C[N@H+](C)CCO1 ZINC000127103731 354060801 /nfs/dbraw/zinc/06/08/01/354060801.db2.gz WRIYNEWUVYRGDI-TVQRCGJNSA-N 1 2 309.316 1.285 20 30 DDEDLO C[C@H](NC(=O)c1c(F)cc(C#N)cc1F)[C@H]1C[N@@H+](C)CCO1 ZINC000127103731 354060805 /nfs/dbraw/zinc/06/08/05/354060805.db2.gz WRIYNEWUVYRGDI-TVQRCGJNSA-N 1 2 309.316 1.285 20 30 DDEDLO C[C@H](C#N)C[N@H+](C)[C@@H](C)C(=O)NC(=O)Nc1ccccc1F ZINC000143082515 354147478 /nfs/dbraw/zinc/14/74/78/354147478.db2.gz CJRGTHASQJFWSK-MNOVXSKESA-N 1 2 306.341 1.954 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C)[C@@H](C)C(=O)NC(=O)Nc1ccccc1F ZINC000143082515 354147480 /nfs/dbraw/zinc/14/74/80/354147480.db2.gz CJRGTHASQJFWSK-MNOVXSKESA-N 1 2 306.341 1.954 20 30 DDEDLO COCCOc1ccc(C#N)cc1NC(=O)CCc1c[nH+]c[nH]1 ZINC000281489583 354426553 /nfs/dbraw/zinc/42/65/53/354426553.db2.gz GLWWZXFNVVWATF-UHFFFAOYSA-N 1 2 314.345 1.878 20 30 DDEDLO COCCOc1ccc(C#N)cc1NC(=O)CCc1c[nH]c[nH+]1 ZINC000281489583 354426558 /nfs/dbraw/zinc/42/65/58/354426558.db2.gz GLWWZXFNVVWATF-UHFFFAOYSA-N 1 2 314.345 1.878 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)N1CCN(c2ccccc2C#N)CC1 ZINC000292103825 354448220 /nfs/dbraw/zinc/44/82/20/354448220.db2.gz VQMIQQFRVFNOHI-UHFFFAOYSA-N 1 2 324.388 1.324 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000311683665 354476259 /nfs/dbraw/zinc/47/62/59/354476259.db2.gz MSMXYOCJLLYDLP-JKSUJKDBSA-N 1 2 301.390 1.553 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000311683665 354476264 /nfs/dbraw/zinc/47/62/64/354476264.db2.gz MSMXYOCJLLYDLP-JKSUJKDBSA-N 1 2 301.390 1.553 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@@H](n3ccc(NC(C)=O)n3)C2)[nH]1 ZINC000328624463 354549643 /nfs/dbraw/zinc/54/96/43/354549643.db2.gz JYCNUCVKPKKFBU-LLVKDONJSA-N 1 2 303.370 1.619 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@@H](n3ccc(NC(C)=O)n3)C2)[nH]1 ZINC000328624463 354549644 /nfs/dbraw/zinc/54/96/44/354549644.db2.gz JYCNUCVKPKKFBU-LLVKDONJSA-N 1 2 303.370 1.619 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cc(O)cc(C#N)c1 ZINC000587972039 354888153 /nfs/dbraw/zinc/88/81/53/354888153.db2.gz SFAOAVPRCCRDBB-WFASDCNBSA-N 1 2 315.373 1.199 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](N3CCn4c[nH+]cc4C3)C2=O)cc1 ZINC000590600463 355127350 /nfs/dbraw/zinc/12/73/50/355127350.db2.gz XSGVGHNWFOXCJP-MRXNPFEDSA-N 1 2 307.357 1.376 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)NCc2ccc(C#N)c(Cl)c2)c1 ZINC000590941002 355218419 /nfs/dbraw/zinc/21/84/19/355218419.db2.gz YUBTWZRDXMVVEO-UHFFFAOYSA-N 1 2 317.780 1.987 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)N1CC[NH+](C2CC2)CC1 ZINC000601321633 358495692 /nfs/dbraw/zinc/49/56/92/358495692.db2.gz QJSXQOOXEHKHLH-UHFFFAOYSA-N 1 2 323.393 1.475 20 30 DDEDLO CN(Cc1ccc(F)cc1)C(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148198 355514116 /nfs/dbraw/zinc/51/41/16/355514116.db2.gz RTOFVYUYHYAZKS-MRXNPFEDSA-N 1 2 305.353 1.135 20 30 DDEDLO CN(Cc1ccc(F)cc1)C(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148198 355514118 /nfs/dbraw/zinc/51/41/18/355514118.db2.gz RTOFVYUYHYAZKS-MRXNPFEDSA-N 1 2 305.353 1.135 20 30 DDEDLO COC(=O)c1ccc(C[N@@H+]2CC[C@@](O)(CC#N)C2)cc1Cl ZINC000592152821 355518647 /nfs/dbraw/zinc/51/86/47/355518647.db2.gz FFYDSSBYPPBMOG-HNNXBMFYSA-N 1 2 308.765 1.977 20 30 DDEDLO COC(=O)c1ccc(C[N@H+]2CC[C@@](O)(CC#N)C2)cc1Cl ZINC000592152821 355518648 /nfs/dbraw/zinc/51/86/48/355518648.db2.gz FFYDSSBYPPBMOG-HNNXBMFYSA-N 1 2 308.765 1.977 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CC[C@@](O)(CC#N)C2)c1Cl ZINC000592153050 355520421 /nfs/dbraw/zinc/52/04/21/355520421.db2.gz IKYURRMXEWWBRC-HNNXBMFYSA-N 1 2 308.765 1.977 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CC[C@@](O)(CC#N)C2)c1Cl ZINC000592153050 355520423 /nfs/dbraw/zinc/52/04/23/355520423.db2.gz IKYURRMXEWWBRC-HNNXBMFYSA-N 1 2 308.765 1.977 20 30 DDEDLO CNC(=O)[C@]1(C(F)(F)F)CC[N@H+](Cc2ccncc2C#N)C1 ZINC000592182554 355527654 /nfs/dbraw/zinc/52/76/54/355527654.db2.gz AGGJQEPERWYRKU-ZDUSSCGKSA-N 1 2 312.295 1.454 20 30 DDEDLO CNC(=O)[C@]1(C(F)(F)F)CC[N@@H+](Cc2ccncc2C#N)C1 ZINC000592182554 355527657 /nfs/dbraw/zinc/52/76/57/355527657.db2.gz AGGJQEPERWYRKU-ZDUSSCGKSA-N 1 2 312.295 1.454 20 30 DDEDLO COC(=O)C[N@H+](CC(=O)NC1(C#N)CCCCC1)C1CCCC1 ZINC000321926323 291073096 /nfs/dbraw/zinc/07/30/96/291073096.db2.gz CCFCJKYCYZLNOQ-UHFFFAOYSA-N 1 2 321.421 1.747 20 30 DDEDLO COC(=O)C[N@@H+](CC(=O)NC1(C#N)CCCCC1)C1CCCC1 ZINC000321926323 291073098 /nfs/dbraw/zinc/07/30/98/291073098.db2.gz CCFCJKYCYZLNOQ-UHFFFAOYSA-N 1 2 321.421 1.747 20 30 DDEDLO CC[C@H](C#N)C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000593390553 355870795 /nfs/dbraw/zinc/87/07/95/355870795.db2.gz LERZUOYJIWYDAS-ZBFHGGJFSA-N 1 2 301.390 1.726 20 30 DDEDLO CC[C@@H](C#N)C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000593417788 355879737 /nfs/dbraw/zinc/87/97/37/355879737.db2.gz MZTFVVDVGJYUNT-HNNXBMFYSA-N 1 2 300.406 1.922 20 30 DDEDLO COc1c(C)[nH+]cnc1N1CCN(c2ncccc2C#N)CC1 ZINC000594542900 356246314 /nfs/dbraw/zinc/24/63/14/356246314.db2.gz CVTOQOYAJUGGPH-UHFFFAOYSA-N 1 2 310.361 1.387 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1CCO[C@H]1CC1(F)F ZINC000595337509 356454086 /nfs/dbraw/zinc/45/40/86/356454086.db2.gz ATEUFNKNYLXBLU-YPMHNXCESA-N 1 2 323.347 1.283 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1CCO[C@H]1CC1(F)F ZINC000595337509 356454088 /nfs/dbraw/zinc/45/40/88/356454088.db2.gz ATEUFNKNYLXBLU-YPMHNXCESA-N 1 2 323.347 1.283 20 30 DDEDLO N#C[C@H](c1ccc(F)cc1)N1CC[NH+](CCc2c[nH]nn2)CC1 ZINC000595430059 356489591 /nfs/dbraw/zinc/48/95/91/356489591.db2.gz VPGXOFVGXKJRCE-MRXNPFEDSA-N 1 2 314.368 1.369 20 30 DDEDLO N#C[C@H](c1ccc(F)cc1)N1CC[NH+](CCc2cn[nH]n2)CC1 ZINC000595430059 356489594 /nfs/dbraw/zinc/48/95/94/356489594.db2.gz VPGXOFVGXKJRCE-MRXNPFEDSA-N 1 2 314.368 1.369 20 30 DDEDLO C[C@]1(C#N)CCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)C1 ZINC000595497316 356518708 /nfs/dbraw/zinc/51/87/08/356518708.db2.gz LDADTGSOIJTVDC-GFCCVEGCSA-N 1 2 315.299 1.891 20 30 DDEDLO N#Cc1ccc(CCNC(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000595588917 356565691 /nfs/dbraw/zinc/56/56/91/356565691.db2.gz KHIUDUXRMBGAJM-HNNXBMFYSA-N 1 2 309.373 1.611 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1cccc(C(=O)OC)n1)[C@@H](C)COC ZINC000595639332 356588501 /nfs/dbraw/zinc/58/85/01/356588501.db2.gz SXMNTBSGEPYGMY-LBPRGKRZSA-N 1 2 321.377 1.330 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1cccc(C(=O)OC)n1)[C@@H](C)COC ZINC000595639332 356588506 /nfs/dbraw/zinc/58/85/06/356588506.db2.gz SXMNTBSGEPYGMY-LBPRGKRZSA-N 1 2 321.377 1.330 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+](C)Cc1nnc(C)o1 ZINC000595703737 356614140 /nfs/dbraw/zinc/61/41/40/356614140.db2.gz HGQMNVPBQKWFTA-UHFFFAOYSA-N 1 2 302.334 1.769 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+](C)Cc1nnc(C)o1 ZINC000595703737 356614147 /nfs/dbraw/zinc/61/41/47/356614147.db2.gz HGQMNVPBQKWFTA-UHFFFAOYSA-N 1 2 302.334 1.769 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[N@@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000595753891 356636944 /nfs/dbraw/zinc/63/69/44/356636944.db2.gz ZRYNCAXXZLBQAY-CHWSQXEVSA-N 1 2 307.419 1.585 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[N@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000595753891 356636947 /nfs/dbraw/zinc/63/69/47/356636947.db2.gz ZRYNCAXXZLBQAY-CHWSQXEVSA-N 1 2 307.419 1.585 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@@H+]2CC[C@@](C)(C#N)C2)cc1 ZINC000595834095 356670409 /nfs/dbraw/zinc/67/04/09/356670409.db2.gz GTDBZBSMKVKTRP-YOEHRIQHSA-N 1 2 318.373 1.448 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@H+]2CC[C@@](C)(C#N)C2)cc1 ZINC000595834095 356670411 /nfs/dbraw/zinc/67/04/11/356670411.db2.gz GTDBZBSMKVKTRP-YOEHRIQHSA-N 1 2 318.373 1.448 20 30 DDEDLO CC(C)(C)OC(=O)CN1CC[C@@H]([N@@H+]2CC[C@@](C)(C#N)C2)C1=O ZINC000595841155 356673148 /nfs/dbraw/zinc/67/31/48/356673148.db2.gz IWMDFBGNNJVZOO-WBMJQRKESA-N 1 2 307.394 1.165 20 30 DDEDLO CC(C)(C)OC(=O)CN1CC[C@@H]([N@H+]2CC[C@@](C)(C#N)C2)C1=O ZINC000595841155 356673151 /nfs/dbraw/zinc/67/31/51/356673151.db2.gz IWMDFBGNNJVZOO-WBMJQRKESA-N 1 2 307.394 1.165 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cnc2c(F)cccc2c1 ZINC000596038364 356751898 /nfs/dbraw/zinc/75/18/98/356751898.db2.gz LQEVUAYSGLZBSG-ZDUSSCGKSA-N 1 2 303.337 1.959 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cnc2c(F)cccc2c1 ZINC000596038364 356751899 /nfs/dbraw/zinc/75/18/99/356751899.db2.gz LQEVUAYSGLZBSG-ZDUSSCGKSA-N 1 2 303.337 1.959 20 30 DDEDLO C[C@@H]([NH2+]CCS(=O)(=O)c1ccc(C#N)cc1)c1nccn1C ZINC000596071365 356761824 /nfs/dbraw/zinc/76/18/24/356761824.db2.gz ZDXJSTQQEYLAHQ-GFCCVEGCSA-N 1 2 318.402 1.416 20 30 DDEDLO C[C@H]([NH2+]CCS(=O)(=O)c1ccc(C#N)cc1)c1nccn1C ZINC000596071366 356761837 /nfs/dbraw/zinc/76/18/37/356761837.db2.gz ZDXJSTQQEYLAHQ-LBPRGKRZSA-N 1 2 318.402 1.416 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@H+]1C[C@@H](C)OC[C@@H]1C ZINC000596716908 356959578 /nfs/dbraw/zinc/95/95/78/356959578.db2.gz COUPXZDMRQUEJC-UONOGXRCSA-N 1 2 315.483 1.704 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@@H]1C ZINC000596716908 356959582 /nfs/dbraw/zinc/95/95/82/356959582.db2.gz COUPXZDMRQUEJC-UONOGXRCSA-N 1 2 315.483 1.704 20 30 DDEDLO Cn1ncc(C2CC2)c1C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000596983061 357034008 /nfs/dbraw/zinc/03/40/08/357034008.db2.gz MYHLVFRWDDVIMZ-UHFFFAOYSA-N 1 2 322.416 1.886 20 30 DDEDLO N#Cc1ccsc1C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000597710268 357297118 /nfs/dbraw/zinc/29/71/18/357297118.db2.gz SCPJSJODDJRWIV-CYBMUJFWSA-N 1 2 305.403 1.557 20 30 DDEDLO C[C@@]1(C#N)CCN(C(=O)c2ccccc2C[NH+]2CCOCC2)C1 ZINC000598409895 357569287 /nfs/dbraw/zinc/56/92/87/357569287.db2.gz RSIXJTPKRUQZMK-SFHVURJKSA-N 1 2 313.401 1.895 20 30 DDEDLO N#Cc1ccc(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)[nH]1 ZINC000598342044 357544102 /nfs/dbraw/zinc/54/41/02/357544102.db2.gz CEKFYSNDSKSZAO-UHFFFAOYSA-N 1 2 302.378 1.071 20 30 DDEDLO N#CCc1cccc2c1CCN(C(=O)NCCn1cc[nH+]c1)C2 ZINC000598995892 357788143 /nfs/dbraw/zinc/78/81/43/357788143.db2.gz IKMUWDIQQSBYGL-UHFFFAOYSA-N 1 2 309.373 1.717 20 30 DDEDLO N#CCC[N@@H+](CC#CCN1C(=O)c2ccccc2C1=O)CC1CC1 ZINC000599307214 357886466 /nfs/dbraw/zinc/88/64/66/357886466.db2.gz IRGHYXZJBLWIFO-UHFFFAOYSA-N 1 2 321.380 1.912 20 30 DDEDLO N#CCC[N@H+](CC#CCN1C(=O)c2ccccc2C1=O)CC1CC1 ZINC000599307214 357886471 /nfs/dbraw/zinc/88/64/71/357886471.db2.gz IRGHYXZJBLWIFO-UHFFFAOYSA-N 1 2 321.380 1.912 20 30 DDEDLO Cc1cn2c([nH+]1)C[C@@H](C(=O)NCCOc1ccc(C#N)cc1)CC2 ZINC000599594120 357986064 /nfs/dbraw/zinc/98/60/64/357986064.db2.gz FGCQVMYQINVHRN-HNNXBMFYSA-N 1 2 324.384 1.821 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCc2c(O)cccc2C1 ZINC000599646795 358005356 /nfs/dbraw/zinc/00/53/56/358005356.db2.gz LUTQSUXXFNXLQH-CYBMUJFWSA-N 1 2 301.390 1.758 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCc2c(O)cccc2C1 ZINC000599646795 358005358 /nfs/dbraw/zinc/00/53/58/358005358.db2.gz LUTQSUXXFNXLQH-CYBMUJFWSA-N 1 2 301.390 1.758 20 30 DDEDLO CCC(C#N)(CC)C(=O)N1CC[NH+](CCOCCOC)CC1 ZINC000600083943 358119163 /nfs/dbraw/zinc/11/91/63/358119163.db2.gz ZEDNFLQCGHICQU-UHFFFAOYSA-N 1 2 311.426 1.124 20 30 DDEDLO Cc1nc(CNC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)sc1C ZINC000329797599 223029775 /nfs/dbraw/zinc/02/97/75/223029775.db2.gz FSVYMXUPSMDIAG-GFCCVEGCSA-N 1 2 311.455 1.009 20 30 DDEDLO Cc1nc(CNC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)sc1C ZINC000329797599 223029778 /nfs/dbraw/zinc/02/97/78/223029778.db2.gz FSVYMXUPSMDIAG-GFCCVEGCSA-N 1 2 311.455 1.009 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000600418507 358215517 /nfs/dbraw/zinc/21/55/17/358215517.db2.gz DWJKVFBKEQNIJQ-XJKSGUPXSA-N 1 2 301.390 1.184 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)NC[C@@H]1COCC[N@H+]1C ZINC000600418507 358215521 /nfs/dbraw/zinc/21/55/21/358215521.db2.gz DWJKVFBKEQNIJQ-XJKSGUPXSA-N 1 2 301.390 1.184 20 30 DDEDLO COCC[N@H+](C)Cc1cn(-c2ccc(Cl)cc2C#N)nn1 ZINC000600976449 358366049 /nfs/dbraw/zinc/36/60/49/358366049.db2.gz UETLWCKVVDHFIV-UHFFFAOYSA-N 1 2 305.769 1.871 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(-c2ccc(Cl)cc2C#N)nn1 ZINC000600976449 358366051 /nfs/dbraw/zinc/36/60/51/358366051.db2.gz UETLWCKVVDHFIV-UHFFFAOYSA-N 1 2 305.769 1.871 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)OC ZINC000601780908 358692328 /nfs/dbraw/zinc/69/23/28/358692328.db2.gz FEVYWPHRAOUPAQ-RYUDHWBXSA-N 1 2 300.424 1.048 20 30 DDEDLO N#Cc1ccc(Br)c(-n2[nH]c3c(c2=O)C[NH2+]CC3)c1 ZINC000601949143 358749619 /nfs/dbraw/zinc/74/96/19/358749619.db2.gz RYUUTTLQVFNESU-VIFPVBQESA-N 1 2 319.162 1.633 20 30 DDEDLO N#CCCCCC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000601888655 358728702 /nfs/dbraw/zinc/72/87/02/358728702.db2.gz SQGUZEFUXLJJIP-UHFFFAOYSA-N 1 2 301.394 1.247 20 30 DDEDLO N#CCCN1CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000602205981 358883154 /nfs/dbraw/zinc/88/31/54/358883154.db2.gz JGICTKCYVYCQTN-UHFFFAOYSA-N 1 2 309.373 1.544 20 30 DDEDLO C[N@H+](Cc1cn(C[C@H]2CCOC2)nn1)Cc1ccc(C#N)cc1 ZINC000602438352 358976650 /nfs/dbraw/zinc/97/66/50/358976650.db2.gz IBZHNVDNSMTNKM-MRXNPFEDSA-N 1 2 311.389 1.818 20 30 DDEDLO C[N@@H+](Cc1cn(C[C@H]2CCOC2)nn1)Cc1ccc(C#N)cc1 ZINC000602438352 358976653 /nfs/dbraw/zinc/97/66/53/358976653.db2.gz IBZHNVDNSMTNKM-MRXNPFEDSA-N 1 2 311.389 1.818 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C)Cc1ccc(OCCN2CCOCC2)cc1 ZINC000602446745 358982700 /nfs/dbraw/zinc/98/27/00/358982700.db2.gz IWVPADIVSRCLOP-INIZCTEOSA-N 1 2 317.433 1.989 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C)Cc1ccc(OCCN2CCOCC2)cc1 ZINC000602446745 358982702 /nfs/dbraw/zinc/98/27/02/358982702.db2.gz IWVPADIVSRCLOP-INIZCTEOSA-N 1 2 317.433 1.989 20 30 DDEDLO Cn1ccnc1[C@@H](C1CC1)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000602670747 359115164 /nfs/dbraw/zinc/11/51/64/359115164.db2.gz CRBPRQJYUCUPCC-QGZVFWFLSA-N 1 2 322.416 1.960 20 30 DDEDLO CC1CCC(NC(=O)C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)CC1 ZINC000602855292 359240963 /nfs/dbraw/zinc/24/09/63/359240963.db2.gz GAMZANJISNBABI-LVDPKSTMSA-N 1 2 306.454 1.599 20 30 DDEDLO Cc1cccc(NC(=O)C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000602855551 359241444 /nfs/dbraw/zinc/24/14/44/359241444.db2.gz IAUKCYNLSAYYRM-GASCZTMLSA-N 1 2 300.406 1.852 20 30 DDEDLO Cc1cccc(NC(=O)C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000602855551 359241446 /nfs/dbraw/zinc/24/14/46/359241446.db2.gz IAUKCYNLSAYYRM-GASCZTMLSA-N 1 2 300.406 1.852 20 30 DDEDLO COC(=O)[C@H]1Cc2ccccc2CN1C(=O)C[NH2+][C@@H](C)CC#N ZINC000602862813 359247037 /nfs/dbraw/zinc/24/70/37/359247037.db2.gz KBKAFVOZOQELCZ-SWLSCSKDSA-N 1 2 315.373 1.005 20 30 DDEDLO CC(C)CCNC(=O)C1CCN(C(=O)C[NH2+][C@H](C)CC#N)CC1 ZINC000602864395 359248760 /nfs/dbraw/zinc/24/87/60/359248760.db2.gz SKMADDNIAKZJLK-CQSZACIVSA-N 1 2 322.453 1.279 20 30 DDEDLO C[C@@H](CC#N)NCC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000602866104 359250600 /nfs/dbraw/zinc/25/06/00/359250600.db2.gz YOAHISBCMIEGLY-AWEZNQCLSA-N 1 2 316.405 1.349 20 30 DDEDLO COc1cc(NC(=O)C[NH2+][C@@H](C)CC#N)cc(OC)c1OC ZINC000602866941 359252358 /nfs/dbraw/zinc/25/23/58/359252358.db2.gz AGKXWDDUPBEDMP-JTQLQIEISA-N 1 2 307.350 1.543 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)C[N@H+](C)[C@H]1CCC[C@@H]1C#N)C(=O)OC ZINC000602973454 359332544 /nfs/dbraw/zinc/33/25/44/359332544.db2.gz AHMWWBKPPVGWBE-XFMPKHEZSA-N 1 2 309.410 1.314 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)C[N@@H+](C)[C@H]1CCC[C@@H]1C#N)C(=O)OC ZINC000602973454 359332546 /nfs/dbraw/zinc/33/25/46/359332546.db2.gz AHMWWBKPPVGWBE-XFMPKHEZSA-N 1 2 309.410 1.314 20 30 DDEDLO COCC[N@H+](C)Cc1cn(CCOc2cccc(C#N)c2)nn1 ZINC000603207935 359481978 /nfs/dbraw/zinc/48/19/78/359481978.db2.gz GDVOIJYYTCUHMN-UHFFFAOYSA-N 1 2 315.377 1.307 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(CCOc2cccc(C#N)c2)nn1 ZINC000603207935 359481981 /nfs/dbraw/zinc/48/19/81/359481981.db2.gz GDVOIJYYTCUHMN-UHFFFAOYSA-N 1 2 315.377 1.307 20 30 DDEDLO [O-]C(=[NH+][C@H]1CCc2c[nH]nc2C1)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000329823755 223035686 /nfs/dbraw/zinc/03/56/86/223035686.db2.gz BFTNPGHRWNUUQM-ZDUSSCGKSA-N 1 2 314.393 1.784 20 30 DDEDLO NC(=O)[C@@H]1CC[C@H](CNC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)O1 ZINC000329898968 223044743 /nfs/dbraw/zinc/04/47/43/223044743.db2.gz FDHHYTUURXMENU-YPMHNXCESA-N 1 2 321.381 1.586 20 30 DDEDLO NC(=O)[C@@H]1CC[C@H](CNC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)O1 ZINC000329898968 223044746 /nfs/dbraw/zinc/04/47/46/223044746.db2.gz FDHHYTUURXMENU-YPMHNXCESA-N 1 2 321.381 1.586 20 30 DDEDLO COCc1ccccc1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000329916800 223045395 /nfs/dbraw/zinc/04/53/95/223045395.db2.gz DXDHCBJHLFMEEI-HUUCEWRRSA-N 1 2 305.378 1.393 20 30 DDEDLO COCc1ccccc1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000329916800 223045398 /nfs/dbraw/zinc/04/53/98/223045398.db2.gz DXDHCBJHLFMEEI-HUUCEWRRSA-N 1 2 305.378 1.393 20 30 DDEDLO [O-]C(NC[C@@H]1CCc2[nH+]ccn2C1)=[NH+]CCc1cscn1 ZINC000329908611 223046022 /nfs/dbraw/zinc/04/60/22/223046022.db2.gz LWDXUOMNSHZMSW-NSHDSACASA-N 1 2 305.407 1.648 20 30 DDEDLO [O-]C(NCCc1cscn1)=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000329908611 223046025 /nfs/dbraw/zinc/04/60/25/223046025.db2.gz LWDXUOMNSHZMSW-NSHDSACASA-N 1 2 305.407 1.648 20 30 DDEDLO NC(=O)[C@@H]1CC[C@@H](CNC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)O1 ZINC000329898967 223044170 /nfs/dbraw/zinc/04/41/70/223044170.db2.gz FDHHYTUURXMENU-AAEUAGOBSA-N 1 2 321.381 1.586 20 30 DDEDLO NC(=O)[C@@H]1CC[C@@H](CNC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)O1 ZINC000329898967 223044171 /nfs/dbraw/zinc/04/41/71/223044171.db2.gz FDHHYTUURXMENU-AAEUAGOBSA-N 1 2 321.381 1.586 20 30 DDEDLO CCCCCNC(=O)[C@@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609484341 360313032 /nfs/dbraw/zinc/31/30/32/360313032.db2.gz AUKDWAHTHUYMMS-HZPDHXFCSA-N 1 2 308.470 1.847 20 30 DDEDLO COC(=O)c1coc(C[NH+]2CCN([C@H](C#N)C(C)C)CC2)c1 ZINC000609537770 360319975 /nfs/dbraw/zinc/31/99/75/360319975.db2.gz DBPLLXWHHVFJAZ-OAHLLOKOSA-N 1 2 305.378 1.732 20 30 DDEDLO COC1CCC(CNC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)CC1 ZINC000329987466 223058403 /nfs/dbraw/zinc/05/84/03/223058403.db2.gz SQSSLXRTUAAESW-WUCCLRPBSA-N 1 2 311.426 1.120 20 30 DDEDLO COC1CCC(CNC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)CC1 ZINC000329987466 223058405 /nfs/dbraw/zinc/05/84/05/223058405.db2.gz SQSSLXRTUAAESW-WUCCLRPBSA-N 1 2 311.426 1.120 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000610447881 360439541 /nfs/dbraw/zinc/43/95/41/360439541.db2.gz NNFKHXXFOZZNFD-CVEARBPZSA-N 1 2 306.454 1.553 20 30 DDEDLO CCS(=O)(=O)N(C)C1CC[NH+](Cc2ccnc(C#N)c2)CC1 ZINC000610995003 360594821 /nfs/dbraw/zinc/59/48/21/360594821.db2.gz SNNDFDMDWQYPAQ-UHFFFAOYSA-N 1 2 322.434 1.199 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)N[C@](C)(C#N)C2CC2)cn1 ZINC000610999158 360598036 /nfs/dbraw/zinc/59/80/36/360598036.db2.gz DSGKJPAQRVSDQI-MRXNPFEDSA-N 1 2 302.378 1.330 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)N[C@](C)(C#N)C2CC2)cn1 ZINC000610999158 360598040 /nfs/dbraw/zinc/59/80/40/360598040.db2.gz DSGKJPAQRVSDQI-MRXNPFEDSA-N 1 2 302.378 1.330 20 30 DDEDLO Cc1cccn2c(=O)cc(C[NH+]3CCN(CCC#N)CC3)nc12 ZINC000611174664 360647575 /nfs/dbraw/zinc/64/75/75/360647575.db2.gz KHNRTMPWNIGBOC-UHFFFAOYSA-N 1 2 311.389 1.034 20 30 DDEDLO C[C@H](C(=O)NCc1ccccc1F)[NH+]1CCN(CCC#N)CC1 ZINC000611176063 360649063 /nfs/dbraw/zinc/64/90/63/360649063.db2.gz SJLLFICWFURMML-CQSZACIVSA-N 1 2 318.396 1.362 20 30 DDEDLO C=CCOCCNC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000611547728 360755436 /nfs/dbraw/zinc/75/54/36/360755436.db2.gz OHRFUCVNLWBFGG-UHFFFAOYSA-N 1 2 316.317 1.713 20 30 DDEDLO O=C(NCC1CC(F)(F)C1)NCC1([NH+]2CCOCC2)CC1 ZINC000330212638 223089076 /nfs/dbraw/zinc/08/90/76/223089076.db2.gz KJOCJYLGKPNGEU-UHFFFAOYSA-N 1 2 303.353 1.400 20 30 DDEDLO O=C(N[C@H]1CCN(c2cccc[nH+]2)C1)N1CC2(C1)CCOCC2 ZINC000330229196 223091041 /nfs/dbraw/zinc/09/10/41/223091041.db2.gz VRZVTTKLISAEHM-AWEZNQCLSA-N 1 2 316.405 1.687 20 30 DDEDLO Cn1ncc2c1nccc2N1CC[NH+](CC(=O)NC2CC2)CC1 ZINC000330252113 223095301 /nfs/dbraw/zinc/09/53/01/223095301.db2.gz NZVROIPFCRSRCC-UHFFFAOYSA-N 1 2 314.393 1.209 20 30 DDEDLO N#CC1(CN2CC[NH+](CC(=O)NC3CCCCC3)CC2)CCC1 ZINC000333701517 223224037 /nfs/dbraw/zinc/22/40/37/223224037.db2.gz OCRVBGPIBGZACW-UHFFFAOYSA-N 1 2 318.465 1.747 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2OC)C[C@H]1C ZINC000613350862 361388475 /nfs/dbraw/zinc/38/84/75/361388475.db2.gz PNOMCCAAXFDSQN-GFCCVEGCSA-N 1 2 323.418 1.282 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2OC)C[C@H]1C ZINC000613350862 361388483 /nfs/dbraw/zinc/38/84/83/361388483.db2.gz PNOMCCAAXFDSQN-GFCCVEGCSA-N 1 2 323.418 1.282 20 30 DDEDLO CCOC(=O)CC[N@H+](C)CCC(=O)Nc1ccc(C#N)cc1 ZINC000194651652 201268870 /nfs/dbraw/zinc/26/88/70/201268870.db2.gz RTCHBPWLCDFXIV-UHFFFAOYSA-N 1 2 303.362 1.772 20 30 DDEDLO CCOC(=O)CC[N@@H+](C)CCC(=O)Nc1ccc(C#N)cc1 ZINC000194651652 201268875 /nfs/dbraw/zinc/26/88/75/201268875.db2.gz RTCHBPWLCDFXIV-UHFFFAOYSA-N 1 2 303.362 1.772 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(c2nnc3ccccn32)CC1 ZINC000619717749 364129378 /nfs/dbraw/zinc/12/93/78/364129378.db2.gz HSULWRQOXSWCRY-CYBMUJFWSA-N 1 2 300.362 1.636 20 30 DDEDLO N#Cc1ccccc1N1CC[NH+](CC(=O)NC2(C#N)CCC2)CC1 ZINC000346928770 223362800 /nfs/dbraw/zinc/36/28/00/223362800.db2.gz XZPFFXCQVQQAGA-UHFFFAOYSA-N 1 2 323.400 1.243 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCCC[C@H]2[C@H](O)C(F)(F)F)CCC1 ZINC000346962411 223363252 /nfs/dbraw/zinc/36/32/52/223363252.db2.gz GEMHSKAUVNZADA-JQWIXIFHSA-N 1 2 319.327 1.327 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCCC[C@H]2[C@H](O)C(F)(F)F)CCC1 ZINC000346962411 223363254 /nfs/dbraw/zinc/36/32/54/223363254.db2.gz GEMHSKAUVNZADA-JQWIXIFHSA-N 1 2 319.327 1.327 20 30 DDEDLO C[C@H](C#N)C(=O)NCc1cn2cc(Br)ccc2[nH+]1 ZINC000347056346 223365248 /nfs/dbraw/zinc/36/52/48/223365248.db2.gz BMUJLMCDSOJSPQ-MRVPVSSYSA-N 1 2 307.151 1.873 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCNC(=O)[C@H]2CC)cc(OC)c1O ZINC000093049981 193198202 /nfs/dbraw/zinc/19/82/02/193198202.db2.gz PRXVVSUSPGGXSB-CQSZACIVSA-N 1 2 304.390 1.840 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCNC(=O)[C@H]2CC)cc(OC)c1O ZINC000093049981 193198203 /nfs/dbraw/zinc/19/82/03/193198203.db2.gz PRXVVSUSPGGXSB-CQSZACIVSA-N 1 2 304.390 1.840 20 30 DDEDLO C#CCCNC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000264966663 204309793 /nfs/dbraw/zinc/30/97/93/204309793.db2.gz FUZUOZQXNUVCJM-MRXNPFEDSA-N 1 2 301.390 1.210 20 30 DDEDLO C#CCCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000264966663 204309798 /nfs/dbraw/zinc/30/97/98/204309798.db2.gz FUZUOZQXNUVCJM-MRXNPFEDSA-N 1 2 301.390 1.210 20 30 DDEDLO N#CC1(c2ccccn2)CCN(C(=O)NCCn2cc[nH+]c2)CC1 ZINC000367152355 223591958 /nfs/dbraw/zinc/59/19/58/223591958.db2.gz GMGHUWHWDDBBBX-UHFFFAOYSA-N 1 2 324.388 1.545 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)cc1 ZINC000267373525 206018701 /nfs/dbraw/zinc/01/87/01/206018701.db2.gz MRPMPEHJMFEDII-ZDUSSCGKSA-N 1 2 323.356 1.626 20 30 DDEDLO C#Cc1cccc(NC(=O)N2CC[NH+](C(C)(C)C(N)=O)CC2)c1 ZINC000267508272 206113552 /nfs/dbraw/zinc/11/35/52/206113552.db2.gz YLBHNUOUDCPDOG-UHFFFAOYSA-N 1 2 314.389 1.081 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1nc(CC(=O)OC)cs1)C1CC1 ZINC000274162124 211390057 /nfs/dbraw/zinc/39/00/57/211390057.db2.gz KXYDJMDXDHUIIE-UHFFFAOYSA-N 1 2 309.391 1.448 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1nc(CC(=O)OC)cs1)C1CC1 ZINC000274162124 211390058 /nfs/dbraw/zinc/39/00/58/211390058.db2.gz KXYDJMDXDHUIIE-UHFFFAOYSA-N 1 2 309.391 1.448 20 30 DDEDLO N#Cc1ccc(C[N@H+](CCO)Cc2cccnc2)c([N+](=O)[O-])c1 ZINC000567989853 304259639 /nfs/dbraw/zinc/25/96/39/304259639.db2.gz RQRRNUIKRMANFV-UHFFFAOYSA-N 1 2 312.329 1.856 20 30 DDEDLO N#Cc1ccc(C[N@@H+](CCO)Cc2cccnc2)c([N+](=O)[O-])c1 ZINC000567989853 304259641 /nfs/dbraw/zinc/25/96/41/304259641.db2.gz RQRRNUIKRMANFV-UHFFFAOYSA-N 1 2 312.329 1.856 20 30 DDEDLO CCOCCO[C@H]1CC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000157581316 197199225 /nfs/dbraw/zinc/19/92/25/197199225.db2.gz ZDENJZRPBSAGSL-ZDUSSCGKSA-N 1 2 323.418 1.686 20 30 DDEDLO CCOCCO[C@H]1CC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000157581316 197199227 /nfs/dbraw/zinc/19/92/27/197199227.db2.gz ZDENJZRPBSAGSL-ZDUSSCGKSA-N 1 2 323.418 1.686 20 30 DDEDLO COc1cc([N+](=O)[O-])ccc1NC(=O)C[NH2+][C@H]1CCC[C@@H]1C#N ZINC000459457286 533138301 /nfs/dbraw/zinc/13/83/01/533138301.db2.gz DCUMOJSPDLMHEK-PWSUYJOCSA-N 1 2 318.333 1.824 20 30 DDEDLO COC[C@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)CC(C)(C)O1 ZINC000330526038 533172452 /nfs/dbraw/zinc/17/24/52/533172452.db2.gz KUCQJYXHLJJMEZ-CQSZACIVSA-N 1 2 323.437 1.455 20 30 DDEDLO COC[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)CC(C)(C)O1 ZINC000330526038 533172460 /nfs/dbraw/zinc/17/24/60/533172460.db2.gz KUCQJYXHLJJMEZ-CQSZACIVSA-N 1 2 323.437 1.455 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCCC[C@@H]1CS(C)(=O)=O ZINC000451649015 533269223 /nfs/dbraw/zinc/26/92/23/533269223.db2.gz HCECUHSEGGVOSV-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCCC[C@@H]1CS(C)(=O)=O ZINC000451649015 533269231 /nfs/dbraw/zinc/26/92/31/533269231.db2.gz HCECUHSEGGVOSV-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO C[C@@H](C(=O)N[C@@H](C#N)c1ccc(F)cc1)[NH+]1CCSCC1 ZINC000331297893 533289016 /nfs/dbraw/zinc/28/90/16/533289016.db2.gz ZKPYECFUUMCIIY-FZMZJTMJSA-N 1 2 307.394 1.944 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnc2n1CCCC2)C(=O)NC(=O)NC1CC1 ZINC000329730504 533474125 /nfs/dbraw/zinc/47/41/25/533474125.db2.gz HYPZLQMBPDUHRA-SECBINFHSA-N 1 2 306.370 1.125 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@H](C(=O)OC)C2(OC)CCC2)CC1 ZINC000459455534 292208481 /nfs/dbraw/zinc/20/84/81/292208481.db2.gz OMLVEXHBOIKZGO-CYBMUJFWSA-N 1 2 310.394 1.349 20 30 DDEDLO N#Cc1cccc(NCC(=O)NCCc2cn3c([nH+]2)CCCC3)c1 ZINC000152102473 407562459 /nfs/dbraw/zinc/56/24/59/407562459.db2.gz WXJRIRIEDHPVHS-UHFFFAOYSA-N 1 2 323.400 1.862 20 30 DDEDLO COC(=O)C1CC[NH+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)CC1 ZINC000007636495 406764399 /nfs/dbraw/zinc/76/43/99/406764399.db2.gz VZWQMUASYSQOFB-ZDUSSCGKSA-N 1 2 321.421 1.603 20 30 DDEDLO CC(=O)[C@@H](NC(=O)C[N@H+](C)Cc1ccc(C#N)cc1)C(C)C ZINC000073615755 406892311 /nfs/dbraw/zinc/89/23/11/406892311.db2.gz ZTJSUEMHBDBNEA-KRWDZBQOSA-N 1 2 301.390 1.720 20 30 DDEDLO CC(=O)[C@@H](NC(=O)C[N@@H+](C)Cc1ccc(C#N)cc1)C(C)C ZINC000073615755 406892314 /nfs/dbraw/zinc/89/23/14/406892314.db2.gz ZTJSUEMHBDBNEA-KRWDZBQOSA-N 1 2 301.390 1.720 20 30 DDEDLO C[C@@H](c1nc(N)nc(N(C)C)n1)[N@H+](C)Cc1cccc(C#N)c1 ZINC000026328578 406903833 /nfs/dbraw/zinc/90/38/33/406903833.db2.gz WIJBBBZSDZGYQV-NSHDSACASA-N 1 2 311.393 1.584 20 30 DDEDLO C[C@@H](c1nc(N)nc(N(C)C)n1)[N@@H+](C)Cc1cccc(C#N)c1 ZINC000026328578 406903835 /nfs/dbraw/zinc/90/38/35/406903835.db2.gz WIJBBBZSDZGYQV-NSHDSACASA-N 1 2 311.393 1.584 20 30 DDEDLO C[C@H]1CC[C@@H](CCC(=O)N(CCC#N)CC[NH+]2CCOCC2)O1 ZINC000075196614 406926811 /nfs/dbraw/zinc/92/68/11/406926811.db2.gz OVRWIBQAQCFRBY-HOTGVXAUSA-N 1 2 323.437 1.409 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](C)CC(=O)Nc1cccc(Cl)c1 ZINC000029863686 406928182 /nfs/dbraw/zinc/92/81/82/406928182.db2.gz FGHRXUGPQAPHBZ-NSHDSACASA-N 1 2 307.781 1.348 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](C)CC(=O)Nc1cccc(Cl)c1 ZINC000029863686 406928184 /nfs/dbraw/zinc/92/81/84/406928184.db2.gz FGHRXUGPQAPHBZ-NSHDSACASA-N 1 2 307.781 1.348 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(F)c(C#N)c1 ZINC000077499624 406993128 /nfs/dbraw/zinc/99/31/28/406993128.db2.gz BTUBSBKOVIBUBN-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)Cc1cnccn1 ZINC000080966547 407074268 /nfs/dbraw/zinc/07/42/68/407074268.db2.gz CEPLKWFLBQNSIW-CYBMUJFWSA-N 1 2 301.394 1.640 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)Cc1cnccn1 ZINC000080966547 407074272 /nfs/dbraw/zinc/07/42/72/407074272.db2.gz CEPLKWFLBQNSIW-CYBMUJFWSA-N 1 2 301.394 1.640 20 30 DDEDLO C=CC[N@@H+](CC(=O)N[C@H](C)C(=O)N(C)C)Cc1cccs1 ZINC000051262367 407138648 /nfs/dbraw/zinc/13/86/48/407138648.db2.gz WFWOUOKGTPYXPG-GFCCVEGCSA-N 1 2 309.435 1.329 20 30 DDEDLO C=CC[N@H+](CC(=O)N[C@H](C)C(=O)N(C)C)Cc1cccs1 ZINC000051262367 407138650 /nfs/dbraw/zinc/13/86/50/407138650.db2.gz WFWOUOKGTPYXPG-GFCCVEGCSA-N 1 2 309.435 1.329 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@H](NS(=O)(=O)c1ccccc1C#N)C2 ZINC000090168022 407165868 /nfs/dbraw/zinc/16/58/68/407165868.db2.gz VNJXDXIZPNTWMU-ZDUSSCGKSA-N 1 2 316.386 1.357 20 30 DDEDLO C[C@@]1(O)CCC[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000124752728 407368327 /nfs/dbraw/zinc/36/83/27/407368327.db2.gz YUYAROYVONCDCG-OAHLLOKOSA-N 1 2 307.781 1.997 20 30 DDEDLO C[C@@]1(O)CCC[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000124752728 407368329 /nfs/dbraw/zinc/36/83/29/407368329.db2.gz YUYAROYVONCDCG-OAHLLOKOSA-N 1 2 307.781 1.997 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2[nH]nc(CCC)c2[N+](=O)[O-])CC1 ZINC000109620494 407397314 /nfs/dbraw/zinc/39/73/14/407397314.db2.gz AERHTQXKFQYQRE-UHFFFAOYSA-N 1 2 319.365 1.052 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2n[nH]c(CCC)c2[N+](=O)[O-])CC1 ZINC000109620494 407397317 /nfs/dbraw/zinc/39/73/17/407397317.db2.gz AERHTQXKFQYQRE-UHFFFAOYSA-N 1 2 319.365 1.052 20 30 DDEDLO C[C@@H]1CCN(C(=O)C2(C#N)CCOCC2)C[C@H]1n1cc[nH+]c1 ZINC000126050769 407404032 /nfs/dbraw/zinc/40/40/32/407404032.db2.gz QTKVHGDTMNWVSH-ZIAGYGMSSA-N 1 2 302.378 1.613 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[NH+](C2CCC2)CC1 ZINC000265866148 407490280 /nfs/dbraw/zinc/49/02/80/407490280.db2.gz LDDJVDNWLMJQRA-UHFFFAOYSA-N 1 2 319.430 1.726 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[NH2+]C1(C(N)=O)CC1 ZINC000152394677 407630420 /nfs/dbraw/zinc/63/04/20/407630420.db2.gz WPNKBHXQVHYSQY-UHFFFAOYSA-N 1 2 305.403 1.511 20 30 DDEDLO C=CC[NH+]1CCC(NS(=O)(=O)c2c(F)cccc2F)CC1 ZINC000171537567 407699113 /nfs/dbraw/zinc/69/91/13/407699113.db2.gz WNPKMAQTZMNJHY-UHFFFAOYSA-N 1 2 316.373 1.894 20 30 DDEDLO N#Cc1ccc(N2CCN(c3cc[nH+]c(C4CC4)n3)CC2)nc1 ZINC000171859277 407784906 /nfs/dbraw/zinc/78/49/06/407784906.db2.gz CGVHZNQLKXAPLN-UHFFFAOYSA-N 1 2 306.373 1.947 20 30 DDEDLO C=CCC[NH+]1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000133130150 407818879 /nfs/dbraw/zinc/81/88/79/407818879.db2.gz WREURMCKBAZVSU-UHFFFAOYSA-N 1 2 322.430 1.424 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N(CC)CCC#N)CCN1CC(F)F ZINC000153420219 407821953 /nfs/dbraw/zinc/82/19/53/407821953.db2.gz HMXQZGPJEOXGAT-CYBMUJFWSA-N 1 2 316.396 1.410 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N(CC)CCC#N)CCN1CC(F)F ZINC000153420219 407821957 /nfs/dbraw/zinc/82/19/57/407821957.db2.gz HMXQZGPJEOXGAT-CYBMUJFWSA-N 1 2 316.396 1.410 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+][C@@H](c1nc(C2CC2)no1)C(C)C ZINC000179838880 407834031 /nfs/dbraw/zinc/83/40/31/407834031.db2.gz XMDUIZNNPNDETE-CQSZACIVSA-N 1 2 319.409 1.996 20 30 DDEDLO CCOC(=O)c1cnn(Cc2cn3c(cccc3C)[nH+]2)c1C#N ZINC000272444547 407923095 /nfs/dbraw/zinc/92/30/95/407923095.db2.gz UMFUSGGVURZAHS-UHFFFAOYSA-N 1 2 309.329 1.936 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)NC(=O)NCc2ccccc2)CC1 ZINC000272477275 407940522 /nfs/dbraw/zinc/94/05/22/407940522.db2.gz AQSHVKLANYTVCC-UHFFFAOYSA-N 1 2 314.389 1.638 20 30 DDEDLO Cc1cc(N2CC[C@@H]([NH+]3CCC(C)(C#N)CC3)C2=O)n(C)n1 ZINC000272636167 408005782 /nfs/dbraw/zinc/00/57/82/408005782.db2.gz WWACGIBPPTXLCO-CYBMUJFWSA-N 1 2 301.394 1.460 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000189666678 408073149 /nfs/dbraw/zinc/07/31/49/408073149.db2.gz AVUOQBRGEDJHAK-GOSISDBHSA-N 1 2 317.433 1.955 20 30 DDEDLO CC(C)[C@H](NC(=O)CO[NH+]=C(N)CCO)c1ccc(F)cc1 ZINC000136068781 408073223 /nfs/dbraw/zinc/07/32/23/408073223.db2.gz IAIOMQUBDWCISK-HNNXBMFYSA-N 1 2 311.357 1.310 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@@H+]1CCOc1ccc(C#N)cc1OC ZINC000182272296 408111172 /nfs/dbraw/zinc/11/11/72/408111172.db2.gz CTJBXADOXLNIES-AWEZNQCLSA-N 1 2 318.373 1.973 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@H+]1CCOc1ccc(C#N)cc1OC ZINC000182272296 408111177 /nfs/dbraw/zinc/11/11/77/408111177.db2.gz CTJBXADOXLNIES-AWEZNQCLSA-N 1 2 318.373 1.973 20 30 DDEDLO C[C@@H]1CC[N@H+](CC(=O)[C@@H](C#N)c2nc3ccccc3c(=O)[nH]2)C1 ZINC000263684136 408204062 /nfs/dbraw/zinc/20/40/62/408204062.db2.gz IUTJUVAEUWFIJV-DGCLKSJQSA-N 1 2 310.357 1.853 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC(=O)[C@@H](C#N)c2nc3ccccc3c(=O)[nH]2)C1 ZINC000263684136 408204070 /nfs/dbraw/zinc/20/40/70/408204070.db2.gz IUTJUVAEUWFIJV-DGCLKSJQSA-N 1 2 310.357 1.853 20 30 DDEDLO CCN(CC)C(=O)[C@H]1CCC[N@H+]1CC(=O)N(CC)C[C@H](C)C#N ZINC000246768399 408337057 /nfs/dbraw/zinc/33/70/57/408337057.db2.gz OKGAGQWMECTMAK-HUUCEWRRSA-N 1 2 322.453 1.327 20 30 DDEDLO CCN(CC)C(=O)[C@H]1CCC[N@@H+]1CC(=O)N(CC)C[C@H](C)C#N ZINC000246768399 408337062 /nfs/dbraw/zinc/33/70/62/408337062.db2.gz OKGAGQWMECTMAK-HUUCEWRRSA-N 1 2 322.453 1.327 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)[C@@H](C)[NH+]1CCSCC1 ZINC000269675266 408387250 /nfs/dbraw/zinc/38/72/50/408387250.db2.gz JKSFNWNURQDGSG-LLVKDONJSA-N 1 2 305.403 1.943 20 30 DDEDLO CC[C@H](CO)N1CC(=O)C(=C2N(C)c3ccccc3N2C)C1=[NH2+] ZINC000183419232 408407750 /nfs/dbraw/zinc/40/77/50/408407750.db2.gz VWWSBNPBENMWNH-LLVKDONJSA-N 1 2 314.389 1.417 20 30 DDEDLO C=CCc1cc(C[N@H+]2C[C@H](CO)O[C@@H](C)C2)cc(OC)c1O ZINC000191536044 408441792 /nfs/dbraw/zinc/44/17/92/408441792.db2.gz BARZSXSUAYSTIZ-SWLSCSKDSA-N 1 2 307.390 1.711 20 30 DDEDLO C=CCc1cc(C[N@@H+]2C[C@H](CO)O[C@@H](C)C2)cc(OC)c1O ZINC000191536044 408441796 /nfs/dbraw/zinc/44/17/96/408441796.db2.gz BARZSXSUAYSTIZ-SWLSCSKDSA-N 1 2 307.390 1.711 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[NH+]1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000183981170 408522034 /nfs/dbraw/zinc/52/20/34/408522034.db2.gz VSPIIAWGSYIANO-WMLDXEAASA-N 1 2 313.401 1.942 20 30 DDEDLO C#CCSCCNC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000160342984 408473820 /nfs/dbraw/zinc/47/38/20/408473820.db2.gz GQNFZVWHNDJVQZ-UHFFFAOYSA-N 1 2 318.446 1.275 20 30 DDEDLO C=CC[N@@H+](CCN(C)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000177279717 408570128 /nfs/dbraw/zinc/57/01/28/408570128.db2.gz NLAYHJMGYLWRFJ-MRXNPFEDSA-N 1 2 308.447 1.798 20 30 DDEDLO C=CC[N@H+](CCN(C)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000177279717 408570132 /nfs/dbraw/zinc/57/01/32/408570132.db2.gz NLAYHJMGYLWRFJ-MRXNPFEDSA-N 1 2 308.447 1.798 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[NH+]1CCC2(CC1)NC(=O)NC2=O ZINC000274788766 408532163 /nfs/dbraw/zinc/53/21/63/408532163.db2.gz BMRHMLAEAPALLA-UHFFFAOYSA-N 1 2 318.764 1.386 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH2+][C@H](COC)c1ccc(C)o1 ZINC000184765160 408685073 /nfs/dbraw/zinc/68/50/73/408685073.db2.gz CYGXTITZBCSODM-TZMCWYRMSA-N 1 2 307.394 1.873 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1ccc(-n2cc[nH+]c2)nc1)C1CC1 ZINC000276182371 408824382 /nfs/dbraw/zinc/82/43/82/408824382.db2.gz UCAIELOVYJMQOG-UHFFFAOYSA-N 1 2 311.345 1.383 20 30 DDEDLO CC1(C)C[C@]1(C#N)NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000291377327 408864454 /nfs/dbraw/zinc/86/44/54/408864454.db2.gz DUQHQJJUWMAFOD-MAUKXSAKSA-N 1 2 313.401 1.696 20 30 DDEDLO CC1(C)C[C@]1(C#N)NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000291377327 408864455 /nfs/dbraw/zinc/86/44/55/408864455.db2.gz DUQHQJJUWMAFOD-MAUKXSAKSA-N 1 2 313.401 1.696 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3ncccc3F)CC2)C1=O ZINC000281971032 408962928 /nfs/dbraw/zinc/96/29/28/408962928.db2.gz LCHOBLAROLLXTL-CQSZACIVSA-N 1 2 304.369 1.130 20 30 DDEDLO NC(=[NH+]OCc1cccc(Br)n1)[C@@H]1CCCO1 ZINC000292138839 408988446 /nfs/dbraw/zinc/98/84/46/408988446.db2.gz UBFWVOKOTKRCAI-VIFPVBQESA-N 1 2 300.156 1.812 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1ccc[nH+]c1N1CCOCC1)C(C)C ZINC000282326497 409034746 /nfs/dbraw/zinc/03/47/46/409034746.db2.gz UBZNYCXSJNTGHJ-OAHLLOKOSA-N 1 2 316.405 1.375 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000292734975 409041109 /nfs/dbraw/zinc/04/11/09/409041109.db2.gz MZBIKJODMXHLKI-UHFFFAOYSA-N 1 2 304.799 1.397 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000292734975 409041110 /nfs/dbraw/zinc/04/11/10/409041110.db2.gz MZBIKJODMXHLKI-UHFFFAOYSA-N 1 2 304.799 1.397 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCCc1ccc(OC(F)F)cc1 ZINC000292998464 409047919 /nfs/dbraw/zinc/04/79/19/409047919.db2.gz CSFSBBPHOHYTKU-UHFFFAOYSA-N 1 2 310.344 1.902 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCCc1ccc(OC(F)F)cc1 ZINC000292998464 409047920 /nfs/dbraw/zinc/04/79/20/409047920.db2.gz CSFSBBPHOHYTKU-UHFFFAOYSA-N 1 2 310.344 1.902 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc4ccccc4o3)n2C)CC1 ZINC000282496508 409056098 /nfs/dbraw/zinc/05/60/98/409056098.db2.gz OMSYRPGDSLFDCE-UHFFFAOYSA-N 1 2 321.384 1.984 20 30 DDEDLO Cc1cc(C(N)=[NH+]OC[C@@H]2CCS(=O)(=O)C2)ccc1F ZINC000278595056 409084921 /nfs/dbraw/zinc/08/49/21/409084921.db2.gz HIXJNKVAGVRRQY-JTQLQIEISA-N 1 2 300.355 1.206 20 30 DDEDLO NC(Cc1ccc(Cl)cc1)=[NH+]OC[C@H]1CCS(=O)(=O)C1 ZINC000278632789 409085092 /nfs/dbraw/zinc/08/50/92/409085092.db2.gz KWIXHBHGBXTORJ-LLVKDONJSA-N 1 2 316.810 1.606 20 30 DDEDLO NC(=[NH+]OC[C@H]1CCS(=O)(=O)C1)c1cccc(Cl)c1 ZINC000278748352 409087750 /nfs/dbraw/zinc/08/77/50/409087750.db2.gz WDJKRUNQYSXICD-SECBINFHSA-N 1 2 302.783 1.412 20 30 DDEDLO C#CCC(CC#C)C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000278871645 409105334 /nfs/dbraw/zinc/10/53/34/409105334.db2.gz NAUGPOZNTIZOHA-UHFFFAOYSA-N 1 2 311.385 1.828 20 30 DDEDLO CO/N=C/C(=O)N(Cc1ccccc1)[C@H](C)C[NH+]1CCOCC1 ZINC000283212725 409113405 /nfs/dbraw/zinc/11/34/05/409113405.db2.gz XOJMHBHQGXAPKB-GYZOOYGHSA-N 1 2 319.405 1.368 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)Sc1nc(N)cc(CC)[nH+]1 ZINC000279088193 409146377 /nfs/dbraw/zinc/14/63/77/409146377.db2.gz NAUYUINJBOCCLG-MRVPVSSYSA-N 1 2 309.395 1.114 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCCSCc1ccccc1 ZINC000279863467 409252801 /nfs/dbraw/zinc/25/28/01/409252801.db2.gz SRTZFAYRAITLBZ-UHFFFAOYSA-N 1 2 309.435 1.541 20 30 DDEDLO COc1cccc(CN(C)C(=O)CO[NH+]=C(N)[C@@H]2CCCO2)c1 ZINC000284013497 409257748 /nfs/dbraw/zinc/25/77/48/409257748.db2.gz HTSCOQBGHDEMGK-AWEZNQCLSA-N 1 2 321.377 1.121 20 30 DDEDLO NC(=[NH+]OCc1nc(-c2ccc(F)c(F)c2)no1)[C@H]1CCCO1 ZINC000284066978 409268408 /nfs/dbraw/zinc/26/84/08/409268408.db2.gz JXGACAYUCTVWIF-LLVKDONJSA-N 1 2 324.287 1.983 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000295249844 409396970 /nfs/dbraw/zinc/39/69/70/409396970.db2.gz MPEYHBRTTIAROF-GJZGRUSLSA-N 1 2 301.390 1.325 20 30 DDEDLO CC(=O)c1ccc(NC(=O)CO[NH+]=C(N)[C@H]2CCCO2)cc1 ZINC000284587289 409352675 /nfs/dbraw/zinc/35/26/75/409352675.db2.gz XTJSRCVSFWSIGL-CYBMUJFWSA-N 1 2 305.334 1.296 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)c(C)c2)CC1 ZINC000295280420 409410881 /nfs/dbraw/zinc/41/08/81/409410881.db2.gz ILIDGUFKMBIVKP-CQSZACIVSA-N 1 2 321.446 1.972 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCC[C@H]2c2nc(=O)[nH][nH]2)cc1C#N ZINC000295285090 409414640 /nfs/dbraw/zinc/41/46/40/409414640.db2.gz KZQAHPRCJOSUFQ-ZDUSSCGKSA-N 1 2 313.361 1.705 20 30 DDEDLO COc1ccc(C[N@H+]2CCCC[C@H]2c2nc(=O)[nH][nH]2)cc1C#N ZINC000295285090 409414643 /nfs/dbraw/zinc/41/46/43/409414643.db2.gz KZQAHPRCJOSUFQ-ZDUSSCGKSA-N 1 2 313.361 1.705 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+]1CCOC[C@H](O)C1 ZINC000295484292 409501304 /nfs/dbraw/zinc/50/13/04/409501304.db2.gz GRLNWHCWOWSJSC-GOEBONIOSA-N 1 2 317.389 1.015 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@H+]1CCOC[C@H](O)C1 ZINC000295484292 409501305 /nfs/dbraw/zinc/50/13/05/409501305.db2.gz GRLNWHCWOWSJSC-GOEBONIOSA-N 1 2 317.389 1.015 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000349106821 409695794 /nfs/dbraw/zinc/69/57/94/409695794.db2.gz ZURVAUOVGDMPOI-CYBMUJFWSA-N 1 2 310.361 1.023 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000349106821 409695798 /nfs/dbraw/zinc/69/57/98/409695798.db2.gz ZURVAUOVGDMPOI-CYBMUJFWSA-N 1 2 310.361 1.023 20 30 DDEDLO C[N@H+](CC(=O)NCc1cccc(C#N)c1)CC(=O)NC(C)(C)C ZINC000349116868 409705430 /nfs/dbraw/zinc/70/54/30/409705430.db2.gz IDGFZWOQWDUPIP-UHFFFAOYSA-N 1 2 316.405 1.021 20 30 DDEDLO C[N@@H+](CC(=O)NCc1cccc(C#N)c1)CC(=O)NC(C)(C)C ZINC000349116868 409705439 /nfs/dbraw/zinc/70/54/39/409705439.db2.gz IDGFZWOQWDUPIP-UHFFFAOYSA-N 1 2 316.405 1.021 20 30 DDEDLO COC(=O)C1CC[NH+](CC(=O)NCc2cccc(C#N)c2)CC1 ZINC000349121361 409706172 /nfs/dbraw/zinc/70/61/72/409706172.db2.gz WKVKFVZDJFGSBZ-UHFFFAOYSA-N 1 2 315.373 1.059 20 30 DDEDLO C[C@@H]([NH2+]Cc1ccc(C#N)cn1)c1nnnn1-c1ccccc1 ZINC000579872674 422849920 /nfs/dbraw/zinc/84/99/20/422849920.db2.gz QTKZXFDYYXHPLG-GFCCVEGCSA-N 1 2 305.345 1.780 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)NCCNc1cccc[nH+]1 ZINC000338284682 409873299 /nfs/dbraw/zinc/87/32/99/409873299.db2.gz WHLTWCVEZNFMOK-CYBMUJFWSA-N 1 2 310.357 1.949 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)C[C@@H](CC#N)c1ccccc1 ZINC000354581110 409952807 /nfs/dbraw/zinc/95/28/07/409952807.db2.gz FHTGTNCWTQBSCT-NVXWUHKLSA-N 1 2 315.417 1.911 20 30 DDEDLO C[C@H](CNC(=O)N[C@H]1CCC(=O)NC12CCC2)[NH+]1CCOCC1 ZINC000328710489 409958765 /nfs/dbraw/zinc/95/87/65/409958765.db2.gz AURFJRKXKGOKSO-OLZOCXBDSA-N 1 2 324.425 1.252 20 30 DDEDLO CO[C@@H]1C[C@H](NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)C1(C)C ZINC000328853687 409990676 /nfs/dbraw/zinc/99/06/76/409990676.db2.gz CEEXINUMSGAFOJ-ZOBORPQBSA-N 1 2 311.426 1.119 20 30 DDEDLO CO[C@@H]1C[C@H](NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)C1(C)C ZINC000328853687 409990681 /nfs/dbraw/zinc/99/06/81/409990681.db2.gz CEEXINUMSGAFOJ-ZOBORPQBSA-N 1 2 311.426 1.119 20 30 DDEDLO C[C@@H]1CCNC(=O)[C@@H]1NC(=O)NC[C@@H]1CCCn2cc[nH+]c21 ZINC000328617856 409936935 /nfs/dbraw/zinc/93/69/35/409936935.db2.gz UOTIDOHCZOMVSG-GRYCIOLGSA-N 1 2 305.382 1.629 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(F)cc1C#N ZINC000350869887 410007742 /nfs/dbraw/zinc/00/77/42/410007742.db2.gz LWMNEJZIQNFSJR-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(F)cc1C#N ZINC000350869887 410007752 /nfs/dbraw/zinc/00/77/52/410007752.db2.gz LWMNEJZIQNFSJR-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000342938124 410014684 /nfs/dbraw/zinc/01/46/84/410014684.db2.gz UFAAPVFXJWVYFH-UHFFFAOYSA-N 1 2 300.362 1.293 20 30 DDEDLO COC(=O)C1(O)CC[NH+](CC#Cc2ccccc2Cl)CC1 ZINC000354833108 410118620 /nfs/dbraw/zinc/11/86/20/410118620.db2.gz RESKCOBPWZSAPM-UHFFFAOYSA-N 1 2 307.777 1.691 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NCc3ccc(Cl)cn3)C[C@@H]21 ZINC000329216478 410172142 /nfs/dbraw/zinc/17/21/42/410172142.db2.gz ONLDQRASHQSJSY-QWHCGFSZSA-N 1 2 310.785 1.164 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NCc3ccc(Cl)cn3)C[C@@H]21 ZINC000329216478 410172145 /nfs/dbraw/zinc/17/21/45/410172145.db2.gz ONLDQRASHQSJSY-QWHCGFSZSA-N 1 2 310.785 1.164 20 30 DDEDLO COc1cnc(NC(=O)N2CC[C@H]3OCC[N@H+](C)[C@@H]3C2)s1 ZINC000329241069 410185478 /nfs/dbraw/zinc/18/54/78/410185478.db2.gz NDZYUTPOQPFERV-NXEZZACHSA-N 1 2 312.395 1.102 20 30 DDEDLO COc1cnc(NC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@@H]3C2)s1 ZINC000329241069 410185485 /nfs/dbraw/zinc/18/54/85/410185485.db2.gz NDZYUTPOQPFERV-NXEZZACHSA-N 1 2 312.395 1.102 20 30 DDEDLO CC(C)c1[nH+]c2c(n1C)CCN(C(=O)NC[C@@H]1COCCO1)C2 ZINC000329277294 410207977 /nfs/dbraw/zinc/20/79/77/410207977.db2.gz KSAZBRQQFIUILU-GFCCVEGCSA-N 1 2 322.409 1.231 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1cccc2c1OCO2 ZINC000329334147 410239803 /nfs/dbraw/zinc/23/98/03/410239803.db2.gz NVISROCEHLUBMJ-UHFFFAOYSA-N 1 2 321.377 1.852 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1cccc2c1OCO2 ZINC000329334147 410239809 /nfs/dbraw/zinc/23/98/09/410239809.db2.gz NVISROCEHLUBMJ-UHFFFAOYSA-N 1 2 321.377 1.852 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@](C)(CC(=O)OC)c2ccccn2)C1=O ZINC000351815651 410256810 /nfs/dbraw/zinc/25/68/10/410256810.db2.gz NGFBXMLHPLUSFD-SUMWQHHRSA-N 1 2 317.389 1.236 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC000298548038 410283024 /nfs/dbraw/zinc/28/30/24/410283024.db2.gz DVXAWRHJSYHZSS-ZDUSSCGKSA-N 1 2 310.394 1.954 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC000298548038 410283031 /nfs/dbraw/zinc/28/30/31/410283031.db2.gz DVXAWRHJSYHZSS-ZDUSSCGKSA-N 1 2 310.394 1.954 20 30 DDEDLO CO[C@]1(CNC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)CCOC1 ZINC000329486598 410324624 /nfs/dbraw/zinc/32/46/24/410324624.db2.gz GIBAOOKHVMGTGT-HOCLYGCPSA-N 1 2 312.410 1.392 20 30 DDEDLO CO[C@]1(CNC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)CCOC1 ZINC000329486598 410324630 /nfs/dbraw/zinc/32/46/30/410324630.db2.gz GIBAOOKHVMGTGT-HOCLYGCPSA-N 1 2 312.410 1.392 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[NH+]1CCC(C(N)=O)CC1 ZINC000298667929 410336721 /nfs/dbraw/zinc/33/67/21/410336721.db2.gz MPYCCYYSEOUSLF-UHFFFAOYSA-N 1 2 320.780 1.347 20 30 DDEDLO C[N@H+](Cc1ccc(Br)cc1C#N)[C@@H]1COC[C@@H]1O ZINC000347134973 410355973 /nfs/dbraw/zinc/35/59/73/410355973.db2.gz OMXHEVDUWKAJDQ-OLZOCXBDSA-N 1 2 311.179 1.512 20 30 DDEDLO C[N@@H+](Cc1ccc(Br)cc1C#N)[C@@H]1COC[C@@H]1O ZINC000347134973 410355978 /nfs/dbraw/zinc/35/59/78/410355978.db2.gz OMXHEVDUWKAJDQ-OLZOCXBDSA-N 1 2 311.179 1.512 20 30 DDEDLO CC(C)[C@@H]1C(=O)NCCN1C(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000329723983 410421216 /nfs/dbraw/zinc/42/12/16/410421216.db2.gz FRUKNDGAGOMRGX-ZWNOBZJWSA-N 1 2 305.382 1.478 20 30 DDEDLO CC(C)[C@@H]1C(=O)NCCN1C(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000329723983 410421225 /nfs/dbraw/zinc/42/12/25/410421225.db2.gz FRUKNDGAGOMRGX-ZWNOBZJWSA-N 1 2 305.382 1.478 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)C3(c4ccc(C#N)cc4)CC3)C[C@@H]21 ZINC000329929189 410485955 /nfs/dbraw/zinc/48/59/55/410485955.db2.gz NHBDVQKQLAFMJA-HOTGVXAUSA-N 1 2 311.385 1.131 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)C3(c4ccc(C#N)cc4)CC3)C[C@@H]21 ZINC000329929189 410485964 /nfs/dbraw/zinc/48/59/64/410485964.db2.gz NHBDVQKQLAFMJA-HOTGVXAUSA-N 1 2 311.385 1.131 20 30 DDEDLO Cc1cc(N[C@H]2CCCN(C(=O)N3CCCC3)C2)c(C#N)c[nH+]1 ZINC000333412050 410533579 /nfs/dbraw/zinc/53/35/79/410533579.db2.gz HIDNVULKHFERMX-HNNXBMFYSA-N 1 2 313.405 1.776 20 30 DDEDLO N#CCC[N@H+]1CCCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1 ZINC000299244250 410578617 /nfs/dbraw/zinc/57/86/17/410578617.db2.gz JHVOOKWCZDJDGN-UHFFFAOYSA-N 1 2 314.349 1.897 20 30 DDEDLO N#CCC[N@@H+]1CCCN(c2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1 ZINC000299244250 410578623 /nfs/dbraw/zinc/57/86/23/410578623.db2.gz JHVOOKWCZDJDGN-UHFFFAOYSA-N 1 2 314.349 1.897 20 30 DDEDLO Cc1cc(C#N)cc(NCc2ccc(N3CCOCC3)[nH+]c2)n1 ZINC000339967533 410584029 /nfs/dbraw/zinc/58/40/29/410584029.db2.gz IOJOVFQRJHHNCX-UHFFFAOYSA-N 1 2 309.373 2.105 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(=O)c3ccccc3[nH]2)CC1 ZINC000299625497 410600544 /nfs/dbraw/zinc/60/05/44/410600544.db2.gz FNEISFPGVUMESU-UHFFFAOYSA-N 1 2 309.369 1.722 20 30 DDEDLO C=CCOc1ccc(CNC(=O)[C@@H](C)[NH+]2CCOCC2)cc1 ZINC000359096019 410556073 /nfs/dbraw/zinc/55/60/73/410556073.db2.gz CLQRGQJZOHKGPS-CQSZACIVSA-N 1 2 304.390 1.588 20 30 DDEDLO C=CCN1C(=O)c2ccc(C(=O)NCc3[nH]c[nH+]c3C)cc2C1=O ZINC000359511749 410701365 /nfs/dbraw/zinc/70/13/65/410701365.db2.gz CSOCQZNSWJDSPD-UHFFFAOYSA-N 1 2 324.340 1.430 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3ccc(C#N)nn3)c[nH+]2)CCO1 ZINC000301848772 410814028 /nfs/dbraw/zinc/81/40/28/410814028.db2.gz VPOKGUMCDOSENA-LBPRGKRZSA-N 1 2 310.361 1.580 20 30 DDEDLO COc1ccccc1O[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC000353254806 410879494 /nfs/dbraw/zinc/87/94/94/410879494.db2.gz BYLGWUIOAYLXQK-ZDUSSCGKSA-N 1 2 303.362 1.178 20 30 DDEDLO COc1ccccc1O[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC000353254806 410879500 /nfs/dbraw/zinc/87/95/00/410879500.db2.gz BYLGWUIOAYLXQK-ZDUSSCGKSA-N 1 2 303.362 1.178 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)N[C@]1(C#N)CCC[C@H](C)C1 ZINC000330790468 410895363 /nfs/dbraw/zinc/89/53/63/410895363.db2.gz BZHSZLOQNXSFGS-XJKSGUPXSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)N[C@]1(C#N)CCC[C@H](C)C1 ZINC000330790468 410895366 /nfs/dbraw/zinc/89/53/66/410895366.db2.gz BZHSZLOQNXSFGS-XJKSGUPXSA-N 1 2 308.426 1.031 20 30 DDEDLO N#Cc1csc(CN2CCCC[C@H]2C[NH+]2CCOCC2)n1 ZINC000356190208 410887188 /nfs/dbraw/zinc/88/71/88/410887188.db2.gz HGFVPIDLWQIPCY-AWEZNQCLSA-N 1 2 306.435 1.701 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CCCC[C@H]2CN2CCOCC2)n1 ZINC000356190208 410887193 /nfs/dbraw/zinc/88/71/93/410887193.db2.gz HGFVPIDLWQIPCY-AWEZNQCLSA-N 1 2 306.435 1.701 20 30 DDEDLO N#Cc1csc(C[N@H+]2CCCC[C@H]2CN2CCOCC2)n1 ZINC000356190208 410887196 /nfs/dbraw/zinc/88/71/96/410887196.db2.gz HGFVPIDLWQIPCY-AWEZNQCLSA-N 1 2 306.435 1.701 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)c1)[C@H]1CC(=O)N(c2cnccn2)C1=O ZINC000341216195 410944056 /nfs/dbraw/zinc/94/40/56/410944056.db2.gz GNPZSDPODSMZKB-AWEZNQCLSA-N 1 2 321.340 1.112 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)c1)[C@H]1CC(=O)N(c2cnccn2)C1=O ZINC000341216195 410944061 /nfs/dbraw/zinc/94/40/61/410944061.db2.gz GNPZSDPODSMZKB-AWEZNQCLSA-N 1 2 321.340 1.112 20 30 DDEDLO Cn1cc(C[NH+]2CCC(C(=O)Nc3cccc(C#N)c3)CC2)nn1 ZINC000341671709 411050705 /nfs/dbraw/zinc/05/07/05/411050705.db2.gz HEUJNWJRJVNEFR-UHFFFAOYSA-N 1 2 324.388 1.537 20 30 DDEDLO Cc1cc(C(=O)NCCc2[nH]cc[nH+]2)nn1-c1cccc(C#N)c1 ZINC000356543185 411081467 /nfs/dbraw/zinc/08/14/67/411081467.db2.gz BLWHXDGKXHAHOL-UHFFFAOYSA-N 1 2 320.356 1.748 20 30 DDEDLO CN(CCC#N)S(=O)(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000360060986 411026448 /nfs/dbraw/zinc/02/64/48/411026448.db2.gz QBDNMWPQGDCKRN-UHFFFAOYSA-N 1 2 319.390 1.052 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)CCO1 ZINC000329613121 297193390 /nfs/dbraw/zinc/19/33/90/297193390.db2.gz OTNNYOLFESKZNJ-ILXRZTDVSA-N 1 2 311.426 1.265 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1C[C@@H](C)[C@@H]([NH+]2CCOCC2)C1 ZINC000373266432 418416555 /nfs/dbraw/zinc/41/65/55/418416555.db2.gz XSORLDYSHGPBPX-LVQVYYBASA-N 1 2 308.422 1.147 20 30 DDEDLO N#C[C@@H]1C[N@@H+](Cc2ccnc(N)c2)C[C@]12c1ccccc1NC2=O ZINC000373278271 418417208 /nfs/dbraw/zinc/41/72/08/418417208.db2.gz MZBWNODLJJFOTQ-FZKQIMNGSA-N 1 2 319.368 1.509 20 30 DDEDLO N#C[C@@H]1C[N@H+](Cc2ccnc(N)c2)C[C@]12c1ccccc1NC2=O ZINC000373278271 418417211 /nfs/dbraw/zinc/41/72/11/418417211.db2.gz MZBWNODLJJFOTQ-FZKQIMNGSA-N 1 2 319.368 1.509 20 30 DDEDLO C=C(C)C[N@@H+](C)Cc1nc2c(c(=O)[nH]c(=O)n2CCCC)n1C ZINC000181312084 221910921 /nfs/dbraw/zinc/91/09/21/221910921.db2.gz NPEJLMNSIUPJFK-UHFFFAOYSA-N 1 2 319.409 1.231 20 30 DDEDLO C=C(C)C[N@H+](C)Cc1nc2c(c(=O)[nH]c(=O)n2CCCC)n1C ZINC000181312084 221910924 /nfs/dbraw/zinc/91/09/24/221910924.db2.gz NPEJLMNSIUPJFK-UHFFFAOYSA-N 1 2 319.409 1.231 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(c3c(C#N)cccc3C#N)C2)no1 ZINC000366774877 418516473 /nfs/dbraw/zinc/51/64/73/418516473.db2.gz JZVCWLBBIYGUDE-OAHLLOKOSA-N 1 2 322.372 1.832 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(c3c(C#N)cccc3C#N)C2)no1 ZINC000366774877 418516475 /nfs/dbraw/zinc/51/64/75/418516475.db2.gz JZVCWLBBIYGUDE-OAHLLOKOSA-N 1 2 322.372 1.832 20 30 DDEDLO N#CCc1ccc(C(=O)N2CC[NH2+][C@H](c3cccnc3)C2)cc1 ZINC000374362975 418522329 /nfs/dbraw/zinc/52/23/29/418522329.db2.gz HGKCINZGOKBMLN-KRWDZBQOSA-N 1 2 306.369 1.934 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CC(n3cc([C@@H](C)O)nn3)C2)c1 ZINC000374811976 418565298 /nfs/dbraw/zinc/56/52/98/418565298.db2.gz ZJYLGYHGYHOZEM-CYBMUJFWSA-N 1 2 314.389 1.953 20 30 DDEDLO C=CC[N@@H+](CCOC)[C@@H](C)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000191128955 222096614 /nfs/dbraw/zinc/09/66/14/222096614.db2.gz MFFGTWSLJUARMZ-LBPRGKRZSA-N 1 2 305.378 1.247 20 30 DDEDLO C=CC[N@H+](CCOC)[C@@H](C)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000191128955 222096617 /nfs/dbraw/zinc/09/66/17/222096617.db2.gz MFFGTWSLJUARMZ-LBPRGKRZSA-N 1 2 305.378 1.247 20 30 DDEDLO COCC[C@@H]1COCC[N@@H+]1CCOc1ccc(C#N)cc1OC ZINC000291150640 222176478 /nfs/dbraw/zinc/17/64/78/222176478.db2.gz DRQZJDIUMZDJAX-OAHLLOKOSA-N 1 2 320.389 1.683 20 30 DDEDLO COCC[C@@H]1COCC[N@H+]1CCOc1ccc(C#N)cc1OC ZINC000291150640 222176480 /nfs/dbraw/zinc/17/64/80/222176480.db2.gz DRQZJDIUMZDJAX-OAHLLOKOSA-N 1 2 320.389 1.683 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2ccc(O[C@H](C)C#N)cc2)C[C@@H](C)O1 ZINC000246893753 222225385 /nfs/dbraw/zinc/22/53/85/222225385.db2.gz UDHXVLSYPLIMEY-XJKCOSOUSA-N 1 2 318.373 1.740 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2ccc(O[C@H](C)C#N)cc2)C[C@@H](C)O1 ZINC000246893753 222225387 /nfs/dbraw/zinc/22/53/87/222225387.db2.gz UDHXVLSYPLIMEY-XJKCOSOUSA-N 1 2 318.373 1.740 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(=O)OC ZINC000248890079 222237789 /nfs/dbraw/zinc/23/77/89/222237789.db2.gz YPXPQZMOIKHPSG-CTASWTNQSA-N 1 2 321.421 1.268 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(=O)OC ZINC000248890079 222237791 /nfs/dbraw/zinc/23/77/91/222237791.db2.gz YPXPQZMOIKHPSG-CTASWTNQSA-N 1 2 321.421 1.268 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+](CC)C[C@@H](C)C#N)C1 ZINC000249873637 222242937 /nfs/dbraw/zinc/24/29/37/222242937.db2.gz KVIQPMKEHLTOMH-UONOGXRCSA-N 1 2 309.410 1.270 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+](CC)C[C@@H](C)C#N)C1 ZINC000249873637 222242938 /nfs/dbraw/zinc/24/29/38/222242938.db2.gz KVIQPMKEHLTOMH-UONOGXRCSA-N 1 2 309.410 1.270 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000266833207 222363728 /nfs/dbraw/zinc/36/37/28/222363728.db2.gz KPNDMQLKXBVXDR-CQSZACIVSA-N 1 2 301.390 1.750 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)N(CCC#N)CCC#N ZINC000375445314 418639485 /nfs/dbraw/zinc/63/94/85/418639485.db2.gz SVUFZXIBLYKNKF-HUUCEWRRSA-N 1 2 311.389 1.810 20 30 DDEDLO N#CCOc1ccc(C=CC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000378202237 418719852 /nfs/dbraw/zinc/71/98/52/418719852.db2.gz OYKCBNYCQSANCO-VSZOKUSCSA-N 1 2 322.368 1.999 20 30 DDEDLO N#CCOc1ccc(C=CC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000378202237 418719853 /nfs/dbraw/zinc/71/98/53/418719853.db2.gz OYKCBNYCQSANCO-VSZOKUSCSA-N 1 2 322.368 1.999 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCO[C@]2(CCO[C@H]2C)C1 ZINC000369411010 418732908 /nfs/dbraw/zinc/73/29/08/418732908.db2.gz YUVGQQFXDBCLBW-SUMWQHHRSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCO[C@]2(CCO[C@H]2C)C1 ZINC000369411010 418732911 /nfs/dbraw/zinc/73/29/11/418732911.db2.gz YUVGQQFXDBCLBW-SUMWQHHRSA-N 1 2 302.374 1.947 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CCCC[C@@H](CO)C1 ZINC000370227790 418742325 /nfs/dbraw/zinc/74/23/25/418742325.db2.gz OGTIZBZUOMMYGF-GDBMZVCRSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CCCC[C@@H](CO)C1 ZINC000370227790 418742326 /nfs/dbraw/zinc/74/23/26/418742326.db2.gz OGTIZBZUOMMYGF-GDBMZVCRSA-N 1 2 302.374 1.867 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN([C@H]2CCOC2)CC1 ZINC000362032738 418742676 /nfs/dbraw/zinc/74/26/76/418742676.db2.gz PNGWBDLVTXBPFX-HOTGVXAUSA-N 1 2 309.454 1.206 20 30 DDEDLO Cc1cc(NC(=O)CCc2c[nH+]c[nH]2)nn1-c1cccc(C#N)c1 ZINC000370561242 418746073 /nfs/dbraw/zinc/74/60/73/418746073.db2.gz JFJILKYOUHPEQE-UHFFFAOYSA-N 1 2 320.356 2.347 20 30 DDEDLO Cc1cc(NC(=O)CCc2c[nH]c[nH+]2)nn1-c1cccc(C#N)c1 ZINC000370561242 418746075 /nfs/dbraw/zinc/74/60/75/418746075.db2.gz JFJILKYOUHPEQE-UHFFFAOYSA-N 1 2 320.356 2.347 20 30 DDEDLO C#CCNC(=O)N[C@H]1CCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC000362955978 418761443 /nfs/dbraw/zinc/76/14/43/418761443.db2.gz UKHSXTOHSFUTGX-LBPRGKRZSA-N 1 2 307.344 1.862 20 30 DDEDLO C#CCNC(=O)N[C@H]1CCC[N@H+](Cc2c(F)cccc2F)C1 ZINC000362955978 418761444 /nfs/dbraw/zinc/76/14/44/418761444.db2.gz UKHSXTOHSFUTGX-LBPRGKRZSA-N 1 2 307.344 1.862 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CC(=O)N1CCN(C2CC2)[C@H](C#N)C1 ZINC000363080161 418762748 /nfs/dbraw/zinc/76/27/48/418762748.db2.gz VOSGTFQNNNGAPO-OAHLLOKOSA-N 1 2 323.400 1.544 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@H+]1CCCN(C(=O)[C@H]2CCCO2)CC1 ZINC000363573605 418767220 /nfs/dbraw/zinc/76/72/20/418767220.db2.gz ULYBPRYSTIFQGH-LSDHHAIUSA-N 1 2 304.394 1.143 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@@H+]1CCCN(C(=O)[C@H]2CCCO2)CC1 ZINC000363573605 418767222 /nfs/dbraw/zinc/76/72/22/418767222.db2.gz ULYBPRYSTIFQGH-LSDHHAIUSA-N 1 2 304.394 1.143 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000404071853 418769549 /nfs/dbraw/zinc/76/95/49/418769549.db2.gz LWPVAVGMHWZGLC-ZDUSSCGKSA-N 1 2 310.394 1.954 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000404071853 418769551 /nfs/dbraw/zinc/76/95/51/418769551.db2.gz LWPVAVGMHWZGLC-ZDUSSCGKSA-N 1 2 310.394 1.954 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1NCc1cccnc1-n1cc[nH+]c1 ZINC000364879725 418820990 /nfs/dbraw/zinc/82/09/90/418820990.db2.gz WNSFFVJAWXUKDC-UHFFFAOYSA-N 1 2 321.300 2.054 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+](C2(C(N)=O)CCCCC2)CC1 ZINC000371882409 418823353 /nfs/dbraw/zinc/82/33/53/418823353.db2.gz BXWHMAGDFVGYOS-UHFFFAOYSA-N 1 2 307.438 1.675 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](CC[C@H]3CCOC3=O)CCO2)c1 ZINC000372344185 418858935 /nfs/dbraw/zinc/85/89/35/418858935.db2.gz FYXXWPSUQIXXDY-HOCLYGCPSA-N 1 2 300.358 1.885 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](CC[C@H]3CCOC3=O)CCO2)c1 ZINC000372344185 418858937 /nfs/dbraw/zinc/85/89/37/418858937.db2.gz FYXXWPSUQIXXDY-HOCLYGCPSA-N 1 2 300.358 1.885 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)CN1CCC[C@H]1c1[nH+]ccn1C ZINC000373175186 418930055 /nfs/dbraw/zinc/93/00/55/418930055.db2.gz FNZLIPSVUIGWMU-XJKSGUPXSA-N 1 2 303.410 1.611 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)N1CCC[N@@H+](CC(=O)NC)CC1 ZINC000373232441 418933057 /nfs/dbraw/zinc/93/30/57/418933057.db2.gz VLZUPRIXLAXEEK-CQSZACIVSA-N 1 2 310.442 1.195 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)N1CCC[N@H+](CC(=O)NC)CC1 ZINC000373232441 418933058 /nfs/dbraw/zinc/93/30/58/418933058.db2.gz VLZUPRIXLAXEEK-CQSZACIVSA-N 1 2 310.442 1.195 20 30 DDEDLO Cc1cc(N2CCN(C(=O)NC(C)(C)C)CC2)c(C#N)c[nH+]1 ZINC000425246800 228399082 /nfs/dbraw/zinc/39/90/82/228399082.db2.gz WYXDWGRIYDVIIV-UHFFFAOYSA-N 1 2 301.394 1.892 20 30 DDEDLO Cc1cnc([C@@H](C)[NH+]2CCN(c3ncccc3C#N)CC2)cn1 ZINC000427827292 419743352 /nfs/dbraw/zinc/74/33/52/419743352.db2.gz LYFXNFCYWUCLRW-CQSZACIVSA-N 1 2 308.389 1.935 20 30 DDEDLO CCn1cc(C#N)c(=O)n(Cc2[nH+]ccn2-c2ccccc2)c1=O ZINC000434642404 229315587 /nfs/dbraw/zinc/31/55/87/229315587.db2.gz HMYUSOLEFZTWRE-UHFFFAOYSA-N 1 2 321.340 1.136 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000428870518 419942188 /nfs/dbraw/zinc/94/21/88/419942188.db2.gz YIFCKJPSUYPJHJ-CYBMUJFWSA-N 1 2 304.346 1.557 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000428870518 419942193 /nfs/dbraw/zinc/94/21/93/419942193.db2.gz YIFCKJPSUYPJHJ-CYBMUJFWSA-N 1 2 304.346 1.557 20 30 DDEDLO CCC[N@H+](CC(N)=O)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000429189441 419983727 /nfs/dbraw/zinc/98/37/27/419983727.db2.gz KVYBAFDCOZLHQE-OAHLLOKOSA-N 1 2 314.389 1.251 20 30 DDEDLO CCC[N@@H+](CC(N)=O)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000429189441 419983730 /nfs/dbraw/zinc/98/37/30/419983730.db2.gz KVYBAFDCOZLHQE-OAHLLOKOSA-N 1 2 314.389 1.251 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2[C@H](C)CN(C(=O)C(C)(C)C)C[C@@H]2C)C1=O ZINC000429381546 420009592 /nfs/dbraw/zinc/00/95/92/420009592.db2.gz NQSLLYNGCMWYLV-ZNMIVQPWSA-N 1 2 321.465 1.741 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2[C@H](C)CN(C(=O)C(C)(C)C)C[C@@H]2C)C1=O ZINC000429381546 420009594 /nfs/dbraw/zinc/00/95/94/420009594.db2.gz NQSLLYNGCMWYLV-ZNMIVQPWSA-N 1 2 321.465 1.741 20 30 DDEDLO Cc1cc(N2CC[C@@](C(N)=O)(c3ccccc3)C2)c(C#N)c[nH+]1 ZINC000425257091 420331506 /nfs/dbraw/zinc/33/15/06/420331506.db2.gz CKWHYAUIECCZOF-SFHVURJKSA-N 1 2 306.369 1.895 20 30 DDEDLO Cn1nc2c(cc1=O)C[N@@H+](Cc1ccc(C#N)cc1Cl)CC2 ZINC000439450354 420513341 /nfs/dbraw/zinc/51/33/41/420513341.db2.gz HSXSOTGRBCCMSR-UHFFFAOYSA-N 1 2 314.776 1.864 20 30 DDEDLO Cn1nc2c(cc1=O)C[N@H+](Cc1ccc(C#N)cc1Cl)CC2 ZINC000439450354 420513345 /nfs/dbraw/zinc/51/33/45/420513345.db2.gz HSXSOTGRBCCMSR-UHFFFAOYSA-N 1 2 314.776 1.864 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N2CCCC[C@H]2CC)nn1 ZINC000640757563 423167067 /nfs/dbraw/zinc/16/70/67/423167067.db2.gz ZDUDHRPTTHCWAF-OAHLLOKOSA-N 1 2 303.410 1.182 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H]2CC[N@H+](C)[C@@H](C)C2)cc1C#N ZINC000439948102 420546089 /nfs/dbraw/zinc/54/60/89/420546089.db2.gz GUEXIDNHPUSLEC-GXSJLCMTSA-N 1 2 320.418 1.593 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H]2CC[N@@H+](C)[C@@H](C)C2)cc1C#N ZINC000439948102 420546093 /nfs/dbraw/zinc/54/60/93/420546093.db2.gz GUEXIDNHPUSLEC-GXSJLCMTSA-N 1 2 320.418 1.593 20 30 DDEDLO C[C@H](CC#N)[N@H+](C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000492766776 420656558 /nfs/dbraw/zinc/65/65/58/420656558.db2.gz ISZMAAKDMRDSAQ-CQSZACIVSA-N 1 2 310.442 1.773 20 30 DDEDLO C[C@H](CC#N)[N@@H+](C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000492766776 420656561 /nfs/dbraw/zinc/65/65/61/420656561.db2.gz ISZMAAKDMRDSAQ-CQSZACIVSA-N 1 2 310.442 1.773 20 30 DDEDLO C[C@H](CC#N)N(C)CC[NH+]1CCN(C(=O)OC(C)(C)C)CC1 ZINC000492766776 420656565 /nfs/dbraw/zinc/65/65/65/420656565.db2.gz ISZMAAKDMRDSAQ-CQSZACIVSA-N 1 2 310.442 1.773 20 30 DDEDLO CC(C)(CC#N)CNC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000458583955 420692885 /nfs/dbraw/zinc/69/28/85/420692885.db2.gz HTZFDLFUAJSXQI-CYBMUJFWSA-N 1 2 301.394 1.899 20 30 DDEDLO Cc1cc(C(=O)N2CC[N@H+](Cc3ccc(C#N)cc3)[C@H](C)C2)[nH]n1 ZINC000453609667 420736123 /nfs/dbraw/zinc/73/61/23/420736123.db2.gz ILNTYZXJYIBRSL-CQSZACIVSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N2CC[N@@H+](Cc3ccc(C#N)cc3)[C@H](C)C2)[nH]n1 ZINC000453609667 420736128 /nfs/dbraw/zinc/73/61/28/420736128.db2.gz ILNTYZXJYIBRSL-CQSZACIVSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N2CC[N@H+](Cc3ccc(C#N)cc3)[C@H](C)C2)n[nH]1 ZINC000453609667 420736131 /nfs/dbraw/zinc/73/61/31/420736131.db2.gz ILNTYZXJYIBRSL-CQSZACIVSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N2CC[N@@H+](Cc3ccc(C#N)cc3)[C@H](C)C2)n[nH]1 ZINC000453609667 420736135 /nfs/dbraw/zinc/73/61/35/420736135.db2.gz ILNTYZXJYIBRSL-CQSZACIVSA-N 1 2 323.400 1.936 20 30 DDEDLO N#C[C@@H]1N(C(=O)/C=C/c2[nH]cc[nH+]2)CCC[C@@]12CCCCO2 ZINC000493307225 420814237 /nfs/dbraw/zinc/81/42/37/420814237.db2.gz WELJXQXVMKEGKI-UXGDDLMTSA-N 1 2 300.362 1.877 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC000448787142 420897136 /nfs/dbraw/zinc/89/71/36/420897136.db2.gz RCWNMMGQBPHYGI-UHFFFAOYSA-N 1 2 324.384 1.981 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000493881325 420964216 /nfs/dbraw/zinc/96/42/16/420964216.db2.gz YAOSFSYRFDDTKE-UHFFFAOYSA-N 1 2 309.410 1.021 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000493881325 420964219 /nfs/dbraw/zinc/96/42/19/420964219.db2.gz YAOSFSYRFDDTKE-UHFFFAOYSA-N 1 2 309.410 1.021 20 30 DDEDLO N#CC1(C[N@H+]2CCCC[C@@H]2COC(=O)N[C@@H]2CCOC2)CC1 ZINC000495812888 421068639 /nfs/dbraw/zinc/06/86/39/421068639.db2.gz DBFWQQBVVQPLAI-ZIAGYGMSSA-N 1 2 307.394 1.660 20 30 DDEDLO N#CC1(C[N@@H+]2CCCC[C@@H]2COC(=O)N[C@@H]2CCOC2)CC1 ZINC000495812888 421068643 /nfs/dbraw/zinc/06/86/43/421068643.db2.gz DBFWQQBVVQPLAI-ZIAGYGMSSA-N 1 2 307.394 1.660 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1CC(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000489459452 421157730 /nfs/dbraw/zinc/15/77/30/421157730.db2.gz GAWKSAWVDXXTMP-ZDUSSCGKSA-N 1 2 323.418 1.313 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1CC(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000489459452 421157733 /nfs/dbraw/zinc/15/77/33/421157733.db2.gz GAWKSAWVDXXTMP-ZDUSSCGKSA-N 1 2 323.418 1.313 20 30 DDEDLO C=CCSCCNC(=O)N(C)[C@@H](C)C[NH+]1CCOCC1 ZINC000562422329 421364984 /nfs/dbraw/zinc/36/49/84/421364984.db2.gz DOPVTGZBYDIRPB-ZDUSSCGKSA-N 1 2 301.456 1.268 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000528790680 421518852 /nfs/dbraw/zinc/51/88/52/421518852.db2.gz MRFXPIBSYXZQLP-CZUORRHYSA-N 1 2 317.393 1.374 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000528790680 421518855 /nfs/dbraw/zinc/51/88/55/421518855.db2.gz MRFXPIBSYXZQLP-CZUORRHYSA-N 1 2 317.393 1.374 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1csc(-c2ccoc2)n1 ZINC000515063328 421478157 /nfs/dbraw/zinc/47/81/57/421478157.db2.gz SESBQJILEXQYAE-AWEZNQCLSA-N 1 2 304.375 1.977 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1c(C#N)cnn1-c1ccccc1 ZINC000563364240 421493752 /nfs/dbraw/zinc/49/37/52/421493752.db2.gz GQKAOMLPAJTUPX-AWEZNQCLSA-N 1 2 322.372 1.964 20 30 DDEDLO CC[C@@H](CC#N)[NH2+][C@@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000566745946 421608152 /nfs/dbraw/zinc/60/81/52/421608152.db2.gz OTKBGLUUTQRTOX-CMPLNLGQSA-N 1 2 321.402 1.922 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc(-n2cccn2)cc1 ZINC000567568707 421613122 /nfs/dbraw/zinc/61/31/22/421613122.db2.gz WMNOVEGHTLYFFW-OAHLLOKOSA-N 1 2 300.362 1.457 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc(-n2cccn2)cc1 ZINC000567568707 421613125 /nfs/dbraw/zinc/61/31/25/421613125.db2.gz WMNOVEGHTLYFFW-OAHLLOKOSA-N 1 2 300.362 1.457 20 30 DDEDLO C=CCn1cc(CNC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)nn1 ZINC000571076815 421686772 /nfs/dbraw/zinc/68/67/72/421686772.db2.gz OBLUFOORWVVQQQ-UHFFFAOYSA-N 1 2 315.381 1.276 20 30 DDEDLO C=CCn1cc(CNC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)nn1 ZINC000571076815 421686774 /nfs/dbraw/zinc/68/67/74/421686774.db2.gz OBLUFOORWVVQQQ-UHFFFAOYSA-N 1 2 315.381 1.276 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC[C@H](Nc2ccc(C#N)cc2)C1=O ZINC000519664363 421714865 /nfs/dbraw/zinc/71/48/65/421714865.db2.gz SEMVGNNBSNNQHN-HNNXBMFYSA-N 1 2 309.373 1.895 20 30 DDEDLO N#Cc1cccc(CC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)c1 ZINC000533117284 421669576 /nfs/dbraw/zinc/66/95/76/421669576.db2.gz PHPVKCHZJNKYIJ-MRXNPFEDSA-N 1 2 306.369 1.891 20 30 DDEDLO CC[C@@H](C)Oc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)ccn1 ZINC000571734560 421738972 /nfs/dbraw/zinc/73/89/72/421738972.db2.gz YHWJSONZGWBCFC-WBMJQRKESA-N 1 2 304.394 1.833 20 30 DDEDLO CN(C)C(=O)N1CC[N@H+](Cc2ccc(C#N)cn2)C(C)(C)C1 ZINC000581318257 421948433 /nfs/dbraw/zinc/94/84/33/421948433.db2.gz IBKPFMHCWXZKIO-UHFFFAOYSA-N 1 2 301.394 1.531 20 30 DDEDLO CN(C)C(=O)N1CC[N@@H+](Cc2ccc(C#N)cn2)C(C)(C)C1 ZINC000581318257 421948438 /nfs/dbraw/zinc/94/84/38/421948438.db2.gz IBKPFMHCWXZKIO-UHFFFAOYSA-N 1 2 301.394 1.531 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCc2[nH]c[nH+]c2C12CCOCC2 ZINC000633527769 421950864 /nfs/dbraw/zinc/95/08/64/421950864.db2.gz FUMBKOMCUQMSFQ-OCCSQVGLSA-N 1 2 317.389 1.391 20 30 DDEDLO N#CCC[C@H](C#N)CNC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000582355527 422142511 /nfs/dbraw/zinc/14/25/11/422142511.db2.gz OLZIWROIWFQYOX-QZTJIDSGSA-N 1 2 312.417 1.921 20 30 DDEDLO N#CCC[C@H](C#N)CNC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000582355527 422142518 /nfs/dbraw/zinc/14/25/18/422142518.db2.gz OLZIWROIWFQYOX-QZTJIDSGSA-N 1 2 312.417 1.921 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnc(-c2ncccn2)s1 ZINC000574859093 422194414 /nfs/dbraw/zinc/19/44/14/422194414.db2.gz UBUADTUWWBPGKY-AWEZNQCLSA-N 1 2 316.390 1.174 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2ncc3c(c2C#N)CCC3)[C@@H](C)CO1 ZINC000596434044 422376424 /nfs/dbraw/zinc/37/64/24/422376424.db2.gz WWWZBVGGWQGCTF-STQMWFEESA-N 1 2 300.406 1.963 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2ncc3c(c2C#N)CCC3)[C@@H](C)CO1 ZINC000596434044 422376430 /nfs/dbraw/zinc/37/64/30/422376430.db2.gz WWWZBVGGWQGCTF-STQMWFEESA-N 1 2 300.406 1.963 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)[C@@H](CC(C)C)n2cc[nH+]c2)C1=O ZINC000635892288 422446583 /nfs/dbraw/zinc/44/65/83/422446583.db2.gz WNLCAKHZMVYIGW-HUUCEWRRSA-N 1 2 318.421 1.716 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)COc2ccccc2C)nn1 ZINC000641193777 423465661 /nfs/dbraw/zinc/46/56/61/423465661.db2.gz MKYQWCPDUVOQNT-INIZCTEOSA-N 1 2 314.389 1.139 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H]2[C@@H](O)C(F)(F)F)nn1 ZINC000653554702 423527177 /nfs/dbraw/zinc/52/71/77/423527177.db2.gz RPMOIVCUFZBNKB-VXGBXAGGSA-N 1 2 304.316 1.742 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H]2[C@@H](O)C(F)(F)F)nn1 ZINC000653554702 423527180 /nfs/dbraw/zinc/52/71/80/423527180.db2.gz RPMOIVCUFZBNKB-VXGBXAGGSA-N 1 2 304.316 1.742 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCN(c3cccc(O)c3)CC2)nn1 ZINC000653489760 423498659 /nfs/dbraw/zinc/49/86/59/423498659.db2.gz AASXGCXYKAHQOZ-UHFFFAOYSA-N 1 2 313.405 1.882 20 30 DDEDLO C[C@@H](c1cccc(NC(=O)NCC#CCO)c1)[NH+]1CCOCC1 ZINC000639645900 423628739 /nfs/dbraw/zinc/62/87/39/423628739.db2.gz BNBIBDGKIQMIAQ-AWEZNQCLSA-N 1 2 317.389 1.197 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H](C(N)=O)[C@@H](C)c2ccccc2)nn1 ZINC000653694802 423582985 /nfs/dbraw/zinc/58/29/85/423582985.db2.gz RNSYQSZXCFNSAS-BBRMVZONSA-N 1 2 313.405 1.601 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)COc2ccc(C)cc2)CC1 ZINC000649273258 423713525 /nfs/dbraw/zinc/71/35/25/423713525.db2.gz IMICGHZRVPUQCV-QGZVFWFLSA-N 1 2 318.417 1.455 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)c1 ZINC000359684000 269973103 /nfs/dbraw/zinc/97/31/03/269973103.db2.gz XJYQBDHERLGJFQ-ZDUSSCGKSA-N 1 2 302.359 1.807 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1cn2ccccc2[nH+]1 ZINC000665397830 424799295 /nfs/dbraw/zinc/79/92/95/424799295.db2.gz POMCNJIQVMFWHY-CQSZACIVSA-N 1 2 300.362 1.421 20 30 DDEDLO CCC[N@H+](Cc1cc(C#N)n(C)c1)[C@H](COC)C(=O)OCC ZINC000361664264 266046927 /nfs/dbraw/zinc/04/69/27/266046927.db2.gz JLOMTCBDGHPUMX-OAHLLOKOSA-N 1 2 307.394 1.687 20 30 DDEDLO CCC[N@@H+](Cc1cc(C#N)n(C)c1)[C@H](COC)C(=O)OCC ZINC000361664264 266046930 /nfs/dbraw/zinc/04/69/30/266046930.db2.gz JLOMTCBDGHPUMX-OAHLLOKOSA-N 1 2 307.394 1.687 20 30 DDEDLO CCC[C@@H](C(=O)OCC)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355082968 266065351 /nfs/dbraw/zinc/06/53/51/266065351.db2.gz RVCOMWYJWZXLKC-HNNXBMFYSA-N 1 2 316.405 1.807 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)C(=O)C1([NH+]2CCOCC2)CCC1 ZINC000369996692 266563322 /nfs/dbraw/zinc/56/33/22/266563322.db2.gz KUMFBCYUDXFEBH-UHFFFAOYSA-N 1 2 313.401 1.772 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCCc1cccc(C#N)c1 ZINC000347621841 267157161 /nfs/dbraw/zinc/15/71/61/267157161.db2.gz HOEMEOQAZRPGDJ-CQSZACIVSA-N 1 2 316.405 1.121 20 30 DDEDLO C[NH+](C)Cc1csc(NC(=O)Cc2ccc(C#N)nc2)n1 ZINC000529475590 267284675 /nfs/dbraw/zinc/28/46/75/267284675.db2.gz PMFSXGFLLNNEFC-UHFFFAOYSA-N 1 2 301.375 1.653 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCC[C@]3(CNC(=O)O3)C2)cc1 ZINC000369041911 268139564 /nfs/dbraw/zinc/13/95/64/268139564.db2.gz ROLKYNQWPVPZKT-INIZCTEOSA-N 1 2 314.345 1.071 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCC[C@]3(CNC(=O)O3)C2)cc1 ZINC000369041911 268139566 /nfs/dbraw/zinc/13/95/66/268139566.db2.gz ROLKYNQWPVPZKT-INIZCTEOSA-N 1 2 314.345 1.071 20 30 DDEDLO N#Cc1ccc(OCC(=O)N2CC[NH2+][C@@H](c3ccncc3)C2)cc1 ZINC000374379366 268168826 /nfs/dbraw/zinc/16/88/26/268168826.db2.gz WBDAZKMCDHBLOO-QGZVFWFLSA-N 1 2 322.368 1.505 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCc2cn3c([nH+]2)CCCC3)o1 ZINC000376267344 268201777 /nfs/dbraw/zinc/20/17/77/268201777.db2.gz VXNRVLSITPIDDG-UHFFFAOYSA-N 1 2 320.374 1.205 20 30 DDEDLO N#Cc1cccc(CNC(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)c1 ZINC000366255527 268253561 /nfs/dbraw/zinc/25/35/61/268253561.db2.gz LHIJYSHHYNXGSY-MRXNPFEDSA-N 1 2 314.389 1.221 20 30 DDEDLO N#Cc1cccc(CNC(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)c1 ZINC000366255527 268253564 /nfs/dbraw/zinc/25/35/64/268253564.db2.gz LHIJYSHHYNXGSY-MRXNPFEDSA-N 1 2 314.389 1.221 20 30 DDEDLO COC(=O)C[NH+]1CCC(Nc2cc(C#N)ccc2OC)CC1 ZINC000344739232 272105469 /nfs/dbraw/zinc/10/54/69/272105469.db2.gz SRQCXHVLCKCKHC-UHFFFAOYSA-N 1 2 303.362 1.616 20 30 DDEDLO C=CCNC(=O)C[NH2+]Cc1c(Cl)cccc1S(C)(=O)=O ZINC000273498098 276898921 /nfs/dbraw/zinc/89/89/21/276898921.db2.gz UTRCAMFATMAVBP-UHFFFAOYSA-N 1 2 316.810 1.135 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000420989196 277047871 /nfs/dbraw/zinc/04/78/71/277047871.db2.gz RPLVEZGHQJTJJS-GXFFZTMASA-N 1 2 318.377 1.306 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000420989196 277047872 /nfs/dbraw/zinc/04/78/72/277047872.db2.gz RPLVEZGHQJTJJS-GXFFZTMASA-N 1 2 318.377 1.306 20 30 DDEDLO CC(C)(C)N1C[C@@H](NC(=O)N2CCn3cc[nH+]c3C2)CC1=O ZINC000330275433 277156112 /nfs/dbraw/zinc/15/61/12/277156112.db2.gz LMRPRKKKKCSCRS-NSHDSACASA-N 1 2 305.382 1.012 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)CNc1cccc(C#N)c1 ZINC000152875432 281765631 /nfs/dbraw/zinc/76/56/31/281765631.db2.gz BJGIGKVUYKVUFV-UHFFFAOYSA-N 1 2 309.373 1.748 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCC[C@@H]1C#N)C(=O)N1CC(=O)Nc2ccccc21 ZINC000331750310 290009648 /nfs/dbraw/zinc/00/96/48/290009648.db2.gz ARUPDDCGDGCSNQ-YNEHKIRRSA-N 1 2 312.373 1.642 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[N@@H+](C)[C@@H](C)[C@@H]2C)ccc1C#N ZINC000408437101 301385784 /nfs/dbraw/zinc/38/57/84/301385784.db2.gz OXAIPJCTOSEJQB-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[N@H+](C)[C@@H](C)[C@@H]2C)ccc1C#N ZINC000408437101 301385785 /nfs/dbraw/zinc/38/57/85/301385785.db2.gz OXAIPJCTOSEJQB-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(=O)[nH]c([C@@H](C)[NH+]2CCC(n3cnc(C#N)n3)CC2)n1 ZINC000373408407 301690021 /nfs/dbraw/zinc/69/00/21/301690021.db2.gz XOLOZHWCMBCURD-LLVKDONJSA-N 1 2 313.365 1.352 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1[C@H](NC(=O)N2CCC2)CCC(=O)N1C1CC1 ZINC000329227236 303031299 /nfs/dbraw/zinc/03/12/99/303031299.db2.gz IAONNSHKYAXBHL-IUODEOHRSA-N 1 2 317.393 1.234 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NS(=O)(=O)c1ccc(C#N)s1)C1CC1 ZINC000552106301 307817170 /nfs/dbraw/zinc/81/71/70/307817170.db2.gz SRBPSILOWZKSFW-GFCCVEGCSA-N 1 2 322.415 1.783 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H]2OCC[C@@H]2C1 ZINC000564918049 308012100 /nfs/dbraw/zinc/01/21/00/308012100.db2.gz HNQGPXCHGTVKSL-OWCLPIDISA-N 1 2 300.358 1.883 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H]2OCC[C@@H]2C1 ZINC000564918049 308012101 /nfs/dbraw/zinc/01/21/01/308012101.db2.gz HNQGPXCHGTVKSL-OWCLPIDISA-N 1 2 300.358 1.883 20 30 DDEDLO COC(=O)c1ccc(N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)c(C#N)n1 ZINC000566479045 308054646 /nfs/dbraw/zinc/05/46/46/308054646.db2.gz PRMNPQACFNCZKD-NWDGAFQWSA-N 1 2 318.377 1.261 20 30 DDEDLO C[C@@H](C(=O)N1[C@H](C)CCC[C@@H]1C)[NH+]1CCN(C(=O)CC#N)CC1 ZINC000574925212 332851063 /nfs/dbraw/zinc/85/10/63/332851063.db2.gz UFLLZXHEIKNMFD-ZNMIVQPWSA-N 1 2 320.437 1.222 20 30 DDEDLO CO[C@@H](C)c1nc(C)c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)s1 ZINC000580332782 333329214 /nfs/dbraw/zinc/32/92/14/333329214.db2.gz DGIQUZATAJQUHF-HZMBPMFUSA-N 1 2 310.423 1.733 20 30 DDEDLO CC(C)c1noc2ncc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc21 ZINC000563221047 333485556 /nfs/dbraw/zinc/48/55/56/333485556.db2.gz YMOXIZPHNJTBGD-MRXNPFEDSA-N 1 2 315.377 1.920 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CCC(F)(C#N)CC2)c[nH+]1 ZINC000344183123 335228309 /nfs/dbraw/zinc/22/83/09/335228309.db2.gz PREMMNBJRJULGV-UHFFFAOYSA-N 1 2 305.357 1.685 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)NC2(c3cccc(C#N)c3)CC2)c1 ZINC000583678844 336397279 /nfs/dbraw/zinc/39/72/79/336397279.db2.gz QBCVYUQSOPVRJN-UHFFFAOYSA-N 1 2 309.373 1.823 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1c(F)c(F)cc(F)c1F ZINC000514941836 337974572 /nfs/dbraw/zinc/97/45/72/337974572.db2.gz IEQLYZSNZCHQFQ-CYBMUJFWSA-N 1 2 303.259 1.817 20 30 DDEDLO CN1C[C@H](C[NH+]2CCN([C@@H](C#N)c3ccccc3)CC2)OC1=O ZINC000495651791 339989296 /nfs/dbraw/zinc/98/92/96/339989296.db2.gz NQEBXOLABDHUDF-CVEARBPZSA-N 1 2 314.389 1.319 20 30 DDEDLO C[N@@H+]1CCN(C(=O)OC(C)(C)C)C[C@@H]1CNC(=O)C(C)(C)C#N ZINC000496585904 340008362 /nfs/dbraw/zinc/00/83/62/340008362.db2.gz ITXSQKTUOJCIKE-LBPRGKRZSA-N 1 2 324.425 1.203 20 30 DDEDLO C[N@H+]1CCN(C(=O)OC(C)(C)C)C[C@@H]1CNC(=O)C(C)(C)C#N ZINC000496585904 340008363 /nfs/dbraw/zinc/00/83/63/340008363.db2.gz ITXSQKTUOJCIKE-LBPRGKRZSA-N 1 2 324.425 1.203 20 30 DDEDLO C[C@@H]1C(NNc2ccnc[nH+]2)=CC(=O)N1C(=O)OC(C)(C)C ZINC000496737813 340011701 /nfs/dbraw/zinc/01/17/01/340011701.db2.gz FBCYBXXWFUCOFO-SECBINFHSA-N 1 2 305.338 1.800 20 30 DDEDLO C=C1CC[NH+](CC(=O)NC[C@H]2COc3ccccc3O2)CC1 ZINC000524676708 340660804 /nfs/dbraw/zinc/66/08/04/340660804.db2.gz DESSCBOAOIUWKH-AWEZNQCLSA-N 1 2 302.374 1.595 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CCN(CC(=O)NCC)CC2)cc1 ZINC000126422870 340826896 /nfs/dbraw/zinc/82/68/96/340826896.db2.gz QFDDPKJWMPZDFP-UHFFFAOYSA-N 1 2 317.433 1.505 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(C(=O)CCC2CCCC2)CC1 ZINC000130154860 341003026 /nfs/dbraw/zinc/00/30/26/341003026.db2.gz NSGOQRKWONTLKG-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO N#CC1(CS(=O)(=O)NCc2cccc(-c3[nH]cc[nH+]3)c2)CC1 ZINC000546624431 341175439 /nfs/dbraw/zinc/17/54/39/341175439.db2.gz JYPCHAFNLRLFAP-UHFFFAOYSA-N 1 2 316.386 1.800 20 30 DDEDLO C[C@H](NC(=O)CC1CCCCC1)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000576403772 341844635 /nfs/dbraw/zinc/84/46/35/341844635.db2.gz YFAYUSGDAFMWKK-GUYCJALGSA-N 1 2 322.453 1.422 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NC(=O)C(=O)NCCn1cc[nH+]c1 ZINC000668375678 485138412 /nfs/dbraw/zinc/13/84/12/485138412.db2.gz NZLFZQYAILIMMA-ZIAGYGMSSA-N 1 2 304.394 1.250 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000672783580 485347439 /nfs/dbraw/zinc/34/74/39/485347439.db2.gz MRBZWJUITYENLK-LSDHHAIUSA-N 1 2 318.421 1.908 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@]1(C)CO ZINC000595875522 490372440 /nfs/dbraw/zinc/37/24/40/490372440.db2.gz QIZKJMWMNYEZBB-CZUORRHYSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@]1(C)CO ZINC000595875522 490372444 /nfs/dbraw/zinc/37/24/44/490372444.db2.gz QIZKJMWMNYEZBB-CZUORRHYSA-N 1 2 322.430 1.282 20 30 DDEDLO Cc1cc(C(=O)NC[C@H](CC(C)C)[NH+]2CCOCC2)cnn1 ZINC000330945491 534009198 /nfs/dbraw/zinc/00/91/98/534009198.db2.gz KAFHGVIRSBZBGV-HNNXBMFYSA-N 1 2 306.410 1.837 20 30 DDEDLO C[C@H]1COCCN1C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000330543411 534023789 /nfs/dbraw/zinc/02/37/89/534023789.db2.gz TUNLCTZXDFICMD-VIFPVBQESA-N 1 2 306.288 1.580 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CC[C@H](CS(C)(=O)=O)C1 ZINC000366422253 517313993 /nfs/dbraw/zinc/31/39/93/517313993.db2.gz SJRPDVMGCOIXIE-KGLIPLIRSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@H](CS(C)(=O)=O)C1 ZINC000366422253 517314002 /nfs/dbraw/zinc/31/40/02/517314002.db2.gz SJRPDVMGCOIXIE-KGLIPLIRSA-N 1 2 316.467 1.166 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCc3ncsc3C2)c1 ZINC000446083626 534806145 /nfs/dbraw/zinc/80/61/45/534806145.db2.gz RPZYOFFABGIAHX-UHFFFAOYSA-N 1 2 312.398 1.689 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCc3ncsc3C2)c1 ZINC000446083626 534806150 /nfs/dbraw/zinc/80/61/50/534806150.db2.gz RPZYOFFABGIAHX-UHFFFAOYSA-N 1 2 312.398 1.689 20 30 DDEDLO CC(C)(C)N1C[C@H]([NH+]=C([O-])N[C@@H]2CCc3[nH]c[nH+]c3C2)CC1=O ZINC000329619798 526296817 /nfs/dbraw/zinc/29/68/17/526296817.db2.gz QZZQZKXGAYXYBY-GHMZBOCLSA-N 1 2 319.409 1.170 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000424601301 526433760 /nfs/dbraw/zinc/43/37/60/526433760.db2.gz DGVSNMBBLBTJDK-MRXNPFEDSA-N 1 2 312.479 1.913 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC000337477848 526526184 /nfs/dbraw/zinc/52/61/84/526526184.db2.gz ZGBRFCWRAJYLPU-CQSZACIVSA-N 1 2 303.406 1.440 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC000337477848 526526190 /nfs/dbraw/zinc/52/61/90/526526190.db2.gz ZGBRFCWRAJYLPU-CQSZACIVSA-N 1 2 303.406 1.440 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCC[C@H](S(C)(=O)=O)C1 ZINC000330915953 526541043 /nfs/dbraw/zinc/54/10/43/526541043.db2.gz ZNIGAETUAKYFGO-KBPBESRZSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCC[C@H](S(C)(=O)=O)C1 ZINC000330915953 526541046 /nfs/dbraw/zinc/54/10/46/526541046.db2.gz ZNIGAETUAKYFGO-KBPBESRZSA-N 1 2 316.467 1.309 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(OC)c(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000490897512 526906664 /nfs/dbraw/zinc/90/66/64/526906664.db2.gz CASWVDSXRWWXNQ-OAHLLOKOSA-N 1 2 307.415 1.626 20 30 DDEDLO C#CC[N@H+](Cc1ccc(OC)c(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000490897512 526906669 /nfs/dbraw/zinc/90/66/69/526906669.db2.gz CASWVDSXRWWXNQ-OAHLLOKOSA-N 1 2 307.415 1.626 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1cc2[nH]cnc2cc1F ZINC000491620591 526945612 /nfs/dbraw/zinc/94/56/12/526945612.db2.gz CNBLIWYGAOYQTJ-NSHDSACASA-N 1 2 301.321 1.956 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1cc2[nH]cnc2cc1F ZINC000491620591 526945614 /nfs/dbraw/zinc/94/56/14/526945614.db2.gz CNBLIWYGAOYQTJ-NSHDSACASA-N 1 2 301.321 1.956 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN[C@@H](C(C)(C)C)C(F)(F)F)CC1 ZINC000490949536 526950374 /nfs/dbraw/zinc/95/03/74/526950374.db2.gz LORZSEJARKCGLR-ZDUSSCGKSA-N 1 2 319.371 1.330 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cnc(F)cc2Cl)CC1 ZINC000491703119 526953017 /nfs/dbraw/zinc/95/30/17/526953017.db2.gz YROHDUFRZGPSSG-UHFFFAOYSA-N 1 2 311.744 1.030 20 30 DDEDLO C=CCC(C)(C)CNS(=O)(=O)CCC[NH+]1CCOCC1 ZINC000432276471 527017985 /nfs/dbraw/zinc/01/79/85/527017985.db2.gz IJCJQOCCBZTGEW-UHFFFAOYSA-N 1 2 304.456 1.230 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)Cc2ncccc2O)n1 ZINC000491775951 527191212 /nfs/dbraw/zinc/19/12/12/527191212.db2.gz DQHHPUHYQGITPS-UHFFFAOYSA-N 1 2 300.362 1.266 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)Cc2ncccc2O)n1 ZINC000491775951 527191215 /nfs/dbraw/zinc/19/12/15/527191215.db2.gz DQHHPUHYQGITPS-UHFFFAOYSA-N 1 2 300.362 1.266 20 30 DDEDLO C#C[C@@H](CC)NC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000491653335 527234686 /nfs/dbraw/zinc/23/46/86/527234686.db2.gz CPGQZDRKHHWRNK-KRWDZBQOSA-N 1 2 310.401 1.797 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000343814580 527263401 /nfs/dbraw/zinc/26/34/01/527263401.db2.gz TUPBPUXXFYPDIC-OAHLLOKOSA-N 1 2 319.453 1.992 20 30 DDEDLO C#C[C@@H](NC(=O)c1ccc(C[NH+]2CCOCC2)cn1)C(C)C ZINC000491506123 527288144 /nfs/dbraw/zinc/28/81/44/527288144.db2.gz OLLRBZOQHLLRAF-OAHLLOKOSA-N 1 2 301.390 1.301 20 30 DDEDLO CC(C)O[C@H]1C[C@@H](NC(=O)N2CCC(C#N)([NH+](C)C)CC2)C1 ZINC000332443637 528080179 /nfs/dbraw/zinc/08/01/79/528080179.db2.gz LPVIPOFRDWUHAG-OKILXGFUSA-N 1 2 308.426 1.572 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)[C@@]2(C#N)CC23CCCC3)CC1 ZINC000333570678 528744121 /nfs/dbraw/zinc/74/41/21/528744121.db2.gz PRHCEUCFABTYNB-KRWDZBQOSA-N 1 2 305.422 1.641 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)NC[C@H]2Cc3ccccc3O2)CC1 ZINC000331876877 528839194 /nfs/dbraw/zinc/83/91/94/528839194.db2.gz DGVUFXHFFROXRR-OAHLLOKOSA-N 1 2 313.401 1.732 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)NCC#Cc2ccccc2)CCO1 ZINC000494180105 529293339 /nfs/dbraw/zinc/29/33/39/529293339.db2.gz RKCDPEPLWUAFIX-KRWDZBQOSA-N 1 2 315.417 1.448 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)NCC#Cc2ccccc2)CCO1 ZINC000494180105 529293341 /nfs/dbraw/zinc/29/33/41/529293341.db2.gz RKCDPEPLWUAFIX-KRWDZBQOSA-N 1 2 315.417 1.448 20 30 DDEDLO CC(C)N(Cc1ccccc1)C(=O)[C@@H](C)O[NH+]=C(N)CCO ZINC000121317884 696708091 /nfs/dbraw/zinc/70/80/91/696708091.db2.gz AHVRYGMMFYNIGO-CYBMUJFWSA-N 1 2 307.394 1.483 20 30 DDEDLO COC(=O)[C@H](OC(=O)[C@H]1CCCC[N@@H+]1C)c1ccc(C#N)cc1 ZINC000745085427 699971040 /nfs/dbraw/zinc/97/10/40/699971040.db2.gz OLZVXTYJMYGGGY-HUUCEWRRSA-N 1 2 316.357 1.800 20 30 DDEDLO COC(=O)[C@H](OC(=O)[C@H]1CCCC[N@H+]1C)c1ccc(C#N)cc1 ZINC000745085427 699971041 /nfs/dbraw/zinc/97/10/41/699971041.db2.gz OLZVXTYJMYGGGY-HUUCEWRRSA-N 1 2 316.357 1.800 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccc(F)c3)C2)C1 ZINC000972235372 695185275 /nfs/dbraw/zinc/18/52/75/695185275.db2.gz UYMFIAVQCXVUQS-GOSISDBHSA-N 1 2 316.376 1.766 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccc(F)c3)C2)C1 ZINC000972235372 695185276 /nfs/dbraw/zinc/18/52/76/695185276.db2.gz UYMFIAVQCXVUQS-GOSISDBHSA-N 1 2 316.376 1.766 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)nc3)C2)C1 ZINC000972261226 695194347 /nfs/dbraw/zinc/19/43/47/695194347.db2.gz HYHABNUXYIXKQH-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)nc3)C2)C1 ZINC000972261226 695194349 /nfs/dbraw/zinc/19/43/49/695194349.db2.gz HYHABNUXYIXKQH-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ncoc3CC)C2)C1 ZINC000972303106 695211371 /nfs/dbraw/zinc/21/13/71/695211371.db2.gz DTTXYUGHRLZGSA-INIZCTEOSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ncoc3CC)C2)C1 ZINC000972303106 695211374 /nfs/dbraw/zinc/21/13/74/695211374.db2.gz DTTXYUGHRLZGSA-INIZCTEOSA-N 1 2 305.378 1.340 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)n3cccc3)C2)C1 ZINC000972341951 695221807 /nfs/dbraw/zinc/22/18/07/695221807.db2.gz IWAKRDRPWMHYHA-FUHWJXTLSA-N 1 2 315.417 1.376 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)n3cccc3)C2)C1 ZINC000972341951 695221809 /nfs/dbraw/zinc/22/18/09/695221809.db2.gz IWAKRDRPWMHYHA-FUHWJXTLSA-N 1 2 315.417 1.376 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccoc3CC)C2)C1 ZINC000972364279 695229601 /nfs/dbraw/zinc/22/96/01/695229601.db2.gz FWJWYMRFQGBRKE-GOSISDBHSA-N 1 2 316.401 1.782 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccoc3CC)C2)C1 ZINC000972364279 695229603 /nfs/dbraw/zinc/22/96/03/695229603.db2.gz FWJWYMRFQGBRKE-GOSISDBHSA-N 1 2 316.401 1.782 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([N@H+](C)Cc2ccon2)C1 ZINC000972372985 695233493 /nfs/dbraw/zinc/23/34/93/695233493.db2.gz JFMSWQXVSJFNIT-KFWWJZLASA-N 1 2 305.378 1.298 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccon2)C1 ZINC000972372985 695233496 /nfs/dbraw/zinc/23/34/96/695233496.db2.gz JFMSWQXVSJFNIT-KFWWJZLASA-N 1 2 305.378 1.298 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)nnc3C)C2)C1 ZINC000972397764 695239720 /nfs/dbraw/zinc/23/97/20/695239720.db2.gz SGZILLPUTYVNRI-QGZVFWFLSA-N 1 2 316.405 1.196 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)nnc3C)C2)C1 ZINC000972397764 695239722 /nfs/dbraw/zinc/23/97/22/695239722.db2.gz SGZILLPUTYVNRI-QGZVFWFLSA-N 1 2 316.405 1.196 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3CC(F)(F)C3)C2)C1 ZINC000972440707 695252358 /nfs/dbraw/zinc/25/23/58/695252358.db2.gz KGVDMOPFIDFGRT-HNNXBMFYSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3CC(F)(F)C3)C2)C1 ZINC000972440707 695252359 /nfs/dbraw/zinc/25/23/59/695252359.db2.gz KGVDMOPFIDFGRT-HNNXBMFYSA-N 1 2 312.360 1.358 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccncc3)C2)C1 ZINC000972445090 695253413 /nfs/dbraw/zinc/25/34/13/695253413.db2.gz ZIBMIOZESWUXAF-SFHVURJKSA-N 1 2 315.417 1.504 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccncc3)C2)C1 ZINC000972445090 695253414 /nfs/dbraw/zinc/25/34/14/695253414.db2.gz ZIBMIOZESWUXAF-SFHVURJKSA-N 1 2 315.417 1.504 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCO[C@H]3CC)C2)C1 ZINC000972478864 695260101 /nfs/dbraw/zinc/26/01/01/695260101.db2.gz MYVRRRISLDGBAK-SOLBZPMBSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCO[C@H]3CC)C2)C1 ZINC000972478864 695260102 /nfs/dbraw/zinc/26/01/02/695260102.db2.gz MYVRRRISLDGBAK-SOLBZPMBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@]3(C2)C[N@H+](CC#CC)CCO3)c1 ZINC000972545597 695278232 /nfs/dbraw/zinc/27/82/32/695278232.db2.gz IPCLTOXCQAPICM-IBGZPJMESA-N 1 2 323.396 1.003 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@]3(C2)C[N@@H+](CC#CC)CCO3)c1 ZINC000972545597 695278234 /nfs/dbraw/zinc/27/82/34/695278234.db2.gz IPCLTOXCQAPICM-IBGZPJMESA-N 1 2 323.396 1.003 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccc(F)c3)C2)C1 ZINC000972662679 695312955 /nfs/dbraw/zinc/31/29/55/695312955.db2.gz SWKRKYGXNZXWOF-SFHVURJKSA-N 1 2 316.376 1.305 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccc(F)c3)C2)C1 ZINC000972662679 695312959 /nfs/dbraw/zinc/31/29/59/695312959.db2.gz SWKRKYGXNZXWOF-SFHVURJKSA-N 1 2 316.376 1.305 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC000972695639 695318484 /nfs/dbraw/zinc/31/84/84/695318484.db2.gz DUQSSRXOPLFTFL-DGCLKSJQSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC000972695639 695318485 /nfs/dbraw/zinc/31/84/85/695318485.db2.gz DUQSSRXOPLFTFL-DGCLKSJQSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnn(C)c2C)C(C)(C)C1 ZINC000972810843 695337121 /nfs/dbraw/zinc/33/71/21/695337121.db2.gz BCQJYBSNGWJFPV-CYBMUJFWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnn(C)c2C)C(C)(C)C1 ZINC000972810843 695337125 /nfs/dbraw/zinc/33/71/25/695337125.db2.gz BCQJYBSNGWJFPV-CYBMUJFWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@H](C)n2cncn2)C(C)(C)C1 ZINC000974484277 695678615 /nfs/dbraw/zinc/67/86/15/695678615.db2.gz LWKNPAQJMAMADX-RYUDHWBXSA-N 1 2 311.817 1.418 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@H](C)n2cncn2)C(C)(C)C1 ZINC000974484277 695678616 /nfs/dbraw/zinc/67/86/16/695678616.db2.gz LWKNPAQJMAMADX-RYUDHWBXSA-N 1 2 311.817 1.418 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2nc3cccnc3s2)C(C)(C)C1 ZINC000974688030 695712299 /nfs/dbraw/zinc/71/22/99/695712299.db2.gz GUSYQZNJALREDF-GFCCVEGCSA-N 1 2 314.414 1.765 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2nc3cccnc3s2)C(C)(C)C1 ZINC000974688030 695712301 /nfs/dbraw/zinc/71/23/01/695712301.db2.gz GUSYQZNJALREDF-GFCCVEGCSA-N 1 2 314.414 1.765 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C(C)(C)C1 ZINC000974695558 695713325 /nfs/dbraw/zinc/71/33/25/695713325.db2.gz IGVDZUGNTINJHW-JTQLQIEISA-N 1 2 312.801 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C(C)(C)C1 ZINC000974695558 695713327 /nfs/dbraw/zinc/71/33/27/695713327.db2.gz IGVDZUGNTINJHW-JTQLQIEISA-N 1 2 312.801 1.616 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2snnc2C(C)C)C(C)(C)C1 ZINC000977388813 696140578 /nfs/dbraw/zinc/14/05/78/696140578.db2.gz IREAODMHOYYPKI-NSHDSACASA-N 1 2 306.435 1.735 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2snnc2C(C)C)C(C)(C)C1 ZINC000977388813 696140579 /nfs/dbraw/zinc/14/05/79/696140579.db2.gz IREAODMHOYYPKI-NSHDSACASA-N 1 2 306.435 1.735 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cncn2C)C(C)(C)C1 ZINC000977455707 696170844 /nfs/dbraw/zinc/17/08/44/696170844.db2.gz SWOMERGNYOTKQD-ZDUSSCGKSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cncn2C)C(C)(C)C1 ZINC000977455707 696170845 /nfs/dbraw/zinc/17/08/45/696170845.db2.gz SWOMERGNYOTKQD-ZDUSSCGKSA-N 1 2 310.829 1.542 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(C)n(-c3ccccc3)n2)CC1 ZINC000068444549 696372401 /nfs/dbraw/zinc/37/24/01/696372401.db2.gz WMNRQVBSBMOVQL-UHFFFAOYSA-N 1 2 322.412 1.962 20 30 DDEDLO C#CCSCCNC(=O)[C@H](C(C)C)[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC000130415317 696797730 /nfs/dbraw/zinc/79/77/30/696797730.db2.gz UCMUBQCRHNLIBT-KKUMJFAQSA-N 1 2 312.479 1.603 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+]([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000980837847 696910334 /nfs/dbraw/zinc/91/03/34/696910334.db2.gz BBSVMJZUPBNVAF-KBPBESRZSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+]([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000980837847 696910337 /nfs/dbraw/zinc/91/03/37/696910337.db2.gz BBSVMJZUPBNVAF-KBPBESRZSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000980847528 696915928 /nfs/dbraw/zinc/91/59/28/696915928.db2.gz DOQRNENZQRRAGZ-CYBMUJFWSA-N 1 2 314.364 1.891 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000980847528 696915932 /nfs/dbraw/zinc/91/59/32/696915932.db2.gz DOQRNENZQRRAGZ-CYBMUJFWSA-N 1 2 314.364 1.891 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)N(C)C3CC3)CC2)C1 ZINC000981909705 696965450 /nfs/dbraw/zinc/96/54/50/696965450.db2.gz RHBIJGQVOTWTLM-UHFFFAOYSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)N(C)C3CC3)CC2)C1 ZINC000981909705 696965453 /nfs/dbraw/zinc/96/54/53/696965453.db2.gz RHBIJGQVOTWTLM-UHFFFAOYSA-N 1 2 319.449 1.498 20 30 DDEDLO Cc1nocc1C[N@@H+]1CCCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000981001254 696976142 /nfs/dbraw/zinc/97/61/42/696976142.db2.gz AMEGIHFXOYPQAQ-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO Cc1nocc1C[N@H+]1CCCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000981001254 696976144 /nfs/dbraw/zinc/97/61/44/696976144.db2.gz AMEGIHFXOYPQAQ-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2csc([C@@H](C)OC)n2)CC1 ZINC000981164793 697023688 /nfs/dbraw/zinc/02/36/88/697023688.db2.gz GWBMDUNWJAPNOE-GFCCVEGCSA-N 1 2 307.419 1.632 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2csc([C@@H](C)OC)n2)CC1 ZINC000981164793 697023691 /nfs/dbraw/zinc/02/36/91/697023691.db2.gz GWBMDUNWJAPNOE-GFCCVEGCSA-N 1 2 307.419 1.632 20 30 DDEDLO C=CCn1cc(C(=O)N2CCC[N@@H+](C/C=C\Cl)CC2)nn1 ZINC000982117779 697034337 /nfs/dbraw/zinc/03/43/37/697034337.db2.gz MYIRVKLURYUGED-HYXAFXHYSA-N 1 2 309.801 1.365 20 30 DDEDLO C=CCn1cc(C(=O)N2CCC[N@H+](C/C=C\Cl)CC2)nn1 ZINC000982117779 697034340 /nfs/dbraw/zinc/03/43/40/697034340.db2.gz MYIRVKLURYUGED-HYXAFXHYSA-N 1 2 309.801 1.365 20 30 DDEDLO C#CC[NH2+][C@@H]1CCC[C@@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000981261748 697049859 /nfs/dbraw/zinc/04/98/59/697049859.db2.gz IKJXQIMPEJOAQS-HZPDHXFCSA-N 1 2 323.400 1.736 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@]2(C)C[N@H+](Cc3cnns3)C[C@]2(C)C1 ZINC000982236631 697069233 /nfs/dbraw/zinc/06/92/33/697069233.db2.gz MIPYRDDEJGQCIV-DFBGVHRSSA-N 1 2 319.434 1.368 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@]2(C)C[N@@H+](Cc3cnns3)C[C@]2(C)C1 ZINC000982236631 697069234 /nfs/dbraw/zinc/06/92/34/697069234.db2.gz MIPYRDDEJGQCIV-DFBGVHRSSA-N 1 2 319.434 1.368 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@]3(C)CN(C(=O)[C@H](C)C#N)C[C@@]3(C)C2)o1 ZINC000982237075 697069745 /nfs/dbraw/zinc/06/97/45/697069745.db2.gz UOOAFDBOSLXFRU-LYRGGWFBSA-N 1 2 317.393 1.208 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@]3(C)CN(C(=O)[C@H](C)C#N)C[C@@]3(C)C2)o1 ZINC000982237075 697069747 /nfs/dbraw/zinc/06/97/47/697069747.db2.gz UOOAFDBOSLXFRU-LYRGGWFBSA-N 1 2 317.393 1.208 20 30 DDEDLO C#CCCCNC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000174075299 697383849 /nfs/dbraw/zinc/38/38/49/697383849.db2.gz SCNLUCZBLCVXRV-UHFFFAOYSA-N 1 2 315.417 1.806 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CCC(N(C)CC#N)CC3)ccn12 ZINC000985432731 697510608 /nfs/dbraw/zinc/51/06/08/697510608.db2.gz HAZCEIBGNHGGAL-UHFFFAOYSA-N 1 2 311.389 1.703 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1[nH+]cc(C)c(OC)c1C)[C@@H]1CCCO1 ZINC000773261478 697735728 /nfs/dbraw/zinc/73/57/28/697735728.db2.gz YPDNIJKNUVIKMB-HIFRSBDPSA-N 1 2 317.389 1.687 20 30 DDEDLO CC(C)(CNN=Cc1ccc(-n2cc[nH+]c2)cc1)S(C)(=O)=O ZINC000774483264 697885104 /nfs/dbraw/zinc/88/51/04/697885104.db2.gz OSDXNQSNQQIZTR-UHFFFAOYSA-N 1 2 320.418 1.619 20 30 DDEDLO C#CCSCCNc1nc(C)[nH+]c(NCCSCC#C)n1 ZINC000775481793 698002960 /nfs/dbraw/zinc/00/29/60/698002960.db2.gz XGDVNZWZLMWRFA-UHFFFAOYSA-N 1 2 321.475 1.737 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cccc(Br)n2)CC1 ZINC000775605666 698015177 /nfs/dbraw/zinc/01/51/77/698015177.db2.gz AEVJEFOYMMCVED-UHFFFAOYSA-N 1 2 322.206 1.625 20 30 DDEDLO Cc1nn(C[NH+]2CCC(C#N)CC2)c2ncc([N+](=O)[O-])cc12 ZINC000749951041 700193931 /nfs/dbraw/zinc/19/39/31/700193931.db2.gz XRARSPAZNVFUBY-UHFFFAOYSA-N 1 2 300.322 1.841 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2C[C@@H](C)N(C(=O)C#CC3CC3)C2)n1 ZINC000988809899 698473105 /nfs/dbraw/zinc/47/31/05/698473105.db2.gz FSLOIVGGBUJOSU-YPMHNXCESA-N 1 2 302.378 1.124 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)/C=C\c1ccccc1C#N ZINC000790131779 699444566 /nfs/dbraw/zinc/44/45/66/699444566.db2.gz KQIOGYMSESIKTN-ZPIQOJFGSA-N 1 2 313.401 1.751 20 30 DDEDLO CCN(C)c1ccc(C=[NH+]NC(=S)NCCSC)cn1 ZINC000790170220 699446763 /nfs/dbraw/zinc/44/67/63/699446763.db2.gz KFRMIVXULSMBGC-UHFFFAOYSA-N 1 2 311.480 1.699 20 30 DDEDLO C#CCOc1ccc(NC(=O)NCC[N@H+]2CCOC[C@H]2C)cc1 ZINC000731111863 699522433 /nfs/dbraw/zinc/52/24/33/699522433.db2.gz MNXMCGWWRUYCNV-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CCOc1ccc(NC(=O)NCC[N@@H+]2CCOC[C@H]2C)cc1 ZINC000731111863 699522434 /nfs/dbraw/zinc/52/24/34/699522434.db2.gz MNXMCGWWRUYCNV-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO CS(=O)(=O)[C@H]1CCCCC1=NNCC[NH+]1CCCCC1 ZINC000741461653 699840871 /nfs/dbraw/zinc/84/08/71/699840871.db2.gz NNYFXJJSWSQMKB-AWEZNQCLSA-N 1 2 301.456 1.405 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(C)nn(CC)c2Cl)CC1 ZINC000742060540 699858925 /nfs/dbraw/zinc/85/89/25/699858925.db2.gz RVJFFUXEBQAHHC-UHFFFAOYSA-N 1 2 308.813 1.646 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCc3ccccc3)n2CC)CC1 ZINC000801909876 700351805 /nfs/dbraw/zinc/35/18/05/700351805.db2.gz RLYZUFKOMDUTOO-UHFFFAOYSA-N 1 2 323.444 1.838 20 30 DDEDLO CC[C@@H]1C[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C[C@H]1O ZINC000761128449 700846151 /nfs/dbraw/zinc/84/61/51/700846151.db2.gz VQGIAKUMDSAGGY-IUODEOHRSA-N 1 2 312.373 1.123 20 30 DDEDLO CC[C@@H]1C[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C[C@H]1O ZINC000761128449 700846153 /nfs/dbraw/zinc/84/61/53/700846153.db2.gz VQGIAKUMDSAGGY-IUODEOHRSA-N 1 2 312.373 1.123 20 30 DDEDLO CON=CC(=O)NC1CC[NH+](c2ccc(N(C)C)cc2)CC1 ZINC000809694157 701681037 /nfs/dbraw/zinc/68/10/37/701681037.db2.gz IRHSYMCGSUDRCX-UHFFFAOYSA-N 1 2 304.394 1.470 20 30 DDEDLO CC[C@H](C(=O)[C@H](C#N)C(=O)Nc1ccccn1)[NH+](CC)CC ZINC000765965829 701032368 /nfs/dbraw/zinc/03/23/68/701032368.db2.gz FPCRWNFQZUZSKU-QWHCGFSZSA-N 1 2 302.378 1.849 20 30 DDEDLO C#CCCCS(=O)(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000804971090 701222629 /nfs/dbraw/zinc/22/26/29/701222629.db2.gz ZQZNWLYGZCNIPC-UHFFFAOYSA-N 1 2 303.387 1.705 20 30 DDEDLO CSCCNC(=S)NN=C1CCN(c2cccc[nH+]2)CC1 ZINC000769598999 701249902 /nfs/dbraw/zinc/24/99/02/701249902.db2.gz NFGWARULXHOLOV-UHFFFAOYSA-N 1 2 323.491 1.865 20 30 DDEDLO CC(C)[N@H+]1CC(=NNc2nc(=O)c3cnn(C)c3[nH]2)[C@H](C)C1 ZINC000769819454 701258121 /nfs/dbraw/zinc/25/81/21/701258121.db2.gz RYDCJJLADYWDSW-SECBINFHSA-N 1 2 303.370 1.197 20 30 DDEDLO CC(C)[N@@H+]1CC(=NNc2nc(=O)c3cnn(C)c3[nH]2)[C@H](C)C1 ZINC000769819454 701258123 /nfs/dbraw/zinc/25/81/23/701258123.db2.gz RYDCJJLADYWDSW-SECBINFHSA-N 1 2 303.370 1.197 20 30 DDEDLO C=CCCCCNC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000770787871 701295223 /nfs/dbraw/zinc/29/52/23/701295223.db2.gz SKSDJIINWMRJJD-UHFFFAOYSA-N 1 2 304.394 1.867 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(c2ccc(Cl)cc2C#N)CC1 ZINC000771146528 701311160 /nfs/dbraw/zinc/31/11/60/701311160.db2.gz MXELGRNSIMACMF-FQEVSTJZSA-N 1 2 311.838 1.712 20 30 DDEDLO C#CCCCNC(=O)C(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC000806917080 701454808 /nfs/dbraw/zinc/45/48/08/701454808.db2.gz YJIYRGFYURJFJF-UHFFFAOYSA-N 1 2 317.389 1.195 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)C(=O)CC(C)(C)CC(=O)OCC)CC1 ZINC000808095818 701497371 /nfs/dbraw/zinc/49/73/71/701497371.db2.gz ULGRDPJHDVQKTM-UHFFFAOYSA-N 1 2 321.417 1.839 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000810070590 701722315 /nfs/dbraw/zinc/72/23/15/701722315.db2.gz QXJCUYMJLAENNX-NXEZZACHSA-N 1 2 308.300 1.105 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000810070590 701722317 /nfs/dbraw/zinc/72/23/17/701722317.db2.gz QXJCUYMJLAENNX-NXEZZACHSA-N 1 2 308.300 1.105 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cn(-c3ccccc3C)nn2)CC1 ZINC000839577491 701743062 /nfs/dbraw/zinc/74/30/62/701743062.db2.gz VYVHIWFIIKAYDU-UHFFFAOYSA-N 1 2 323.400 1.357 20 30 DDEDLO CC(=[NH+]Nc1ccc(S(C)(=O)=O)cc1)c1ccc(N)nc1 ZINC000814802741 701752134 /nfs/dbraw/zinc/75/21/34/701752134.db2.gz UEJDRJFPNWIZLF-UHFFFAOYSA-N 1 2 304.375 1.903 20 30 DDEDLO C[C@@H](C[NH2+][C@@H](C)c1cccc(C#N)c1O)CN1CCOCC1 ZINC000866268622 706661730 /nfs/dbraw/zinc/66/17/30/706661730.db2.gz AFHDWDLXJVFLPJ-KBPBESRZSA-N 1 2 303.406 1.883 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@H+](Cc3ccc(F)c(C#N)c3)C2)C1 ZINC000879484243 706692672 /nfs/dbraw/zinc/69/26/72/706692672.db2.gz UEKMJKZITQQXGC-INIZCTEOSA-N 1 2 317.320 1.591 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@@H+](Cc3ccc(F)c(C#N)c3)C2)C1 ZINC000879484243 706692675 /nfs/dbraw/zinc/69/26/75/706692675.db2.gz UEKMJKZITQQXGC-INIZCTEOSA-N 1 2 317.320 1.591 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCO[C@H]3C)n2CC=C)CC1 ZINC000842938644 702792469 /nfs/dbraw/zinc/79/24/69/702792469.db2.gz ONUYMAIAQXBUBN-GJZGRUSLSA-N 1 2 315.421 1.112 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CC[C@H]4COC(=O)N[C@@H]4C3)n2c1 ZINC000843434796 702881691 /nfs/dbraw/zinc/88/16/91/702881691.db2.gz PMWIMDQIWOMCSK-GXTWGEPZSA-N 1 2 311.345 1.136 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CC[C@H]4COC(=O)N[C@@H]4C3)n2c1 ZINC000843434796 702881692 /nfs/dbraw/zinc/88/16/92/702881692.db2.gz PMWIMDQIWOMCSK-GXTWGEPZSA-N 1 2 311.345 1.136 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(OCC#N)cc2)C[C@H](C)O1 ZINC000844418609 703028653 /nfs/dbraw/zinc/02/86/53/703028653.db2.gz KTZDHHWCEGOIDB-WFASDCNBSA-N 1 2 304.346 1.351 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(OCC#N)cc2)C[C@H](C)O1 ZINC000844418609 703028656 /nfs/dbraw/zinc/02/86/56/703028656.db2.gz KTZDHHWCEGOIDB-WFASDCNBSA-N 1 2 304.346 1.351 20 30 DDEDLO C[C@H](CC(=O)OCC(=O)N[C@](C)(C#N)C1CC1)n1cc[nH+]c1 ZINC000845277675 703137980 /nfs/dbraw/zinc/13/79/80/703137980.db2.gz ABBPKQPOHPWULP-IAQYHMDHSA-N 1 2 304.350 1.186 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC([C@H](O)c3ncc[nH]3)CC2)nn1 ZINC000846866653 703344021 /nfs/dbraw/zinc/34/40/21/703344021.db2.gz BPZVHIVNIVBHPZ-HNNXBMFYSA-N 1 2 316.409 1.523 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](Cc2ccc(S(=O)(=O)N3CCCC3)o2)C1 ZINC000847023408 703367524 /nfs/dbraw/zinc/36/75/24/703367524.db2.gz MIDMLEXGTDGJOI-CQSZACIVSA-N 1 2 322.430 1.909 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](Cc2ccc(S(=O)(=O)N3CCCC3)o2)C1 ZINC000847023408 703367526 /nfs/dbraw/zinc/36/75/26/703367526.db2.gz MIDMLEXGTDGJOI-CQSZACIVSA-N 1 2 322.430 1.909 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2ccc(C#N)nc2)CC1 ZINC000847993967 703491974 /nfs/dbraw/zinc/49/19/74/703491974.db2.gz TUINUULLKTXFCD-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2ccc(C#N)nc2)CC1 ZINC000847993967 703491975 /nfs/dbraw/zinc/49/19/75/703491975.db2.gz TUINUULLKTXFCD-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](Cn2c(=O)oc3ccc([N+](=O)[O-])cc32)C1 ZINC000848494288 703557525 /nfs/dbraw/zinc/55/75/25/703557525.db2.gz VMACBEPMMGBRGZ-NSHDSACASA-N 1 2 301.302 1.805 20 30 DDEDLO C#C[C@H]1CCC[N@H+](Cn2c(=O)oc3ccc([N+](=O)[O-])cc32)C1 ZINC000848494288 703557527 /nfs/dbraw/zinc/55/75/27/703557527.db2.gz VMACBEPMMGBRGZ-NSHDSACASA-N 1 2 301.302 1.805 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)C1 ZINC000848751378 703583942 /nfs/dbraw/zinc/58/39/42/703583942.db2.gz YGQTXFFBTBKADS-CABCVRRESA-N 1 2 300.406 1.677 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC000848751378 703583944 /nfs/dbraw/zinc/58/39/44/703583944.db2.gz YGQTXFFBTBKADS-CABCVRRESA-N 1 2 300.406 1.677 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)CCCn3cc[nH+]c3)CC2)cc1 ZINC000870094593 703915055 /nfs/dbraw/zinc/91/50/55/703915055.db2.gz OSDXIFZYAURNTC-UHFFFAOYSA-N 1 2 323.400 1.884 20 30 DDEDLO COc1cccc([C@@H]2CN(C(=O)c3coc(C#N)c3)CC[NH2+]2)c1 ZINC000870154475 703936104 /nfs/dbraw/zinc/93/61/04/703936104.db2.gz AMNHSYFDQSJGDE-INIZCTEOSA-N 1 2 311.341 1.947 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H]2[C@@H]1CCC[N@@H+]2CC(=O)NCC#N ZINC000879754449 706780560 /nfs/dbraw/zinc/78/05/60/706780560.db2.gz LXQKBCIUDKCZLI-OLZOCXBDSA-N 1 2 322.409 1.100 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H]2[C@@H]1CCC[N@H+]2CC(=O)NCC#N ZINC000879754449 706780561 /nfs/dbraw/zinc/78/05/61/706780561.db2.gz LXQKBCIUDKCZLI-OLZOCXBDSA-N 1 2 322.409 1.100 20 30 DDEDLO CC(C)(C)OC(=O)N1CCO[C@@H](C[N@@H+]2CC[C@@](C)(C#N)C2)C1 ZINC000852686656 704100029 /nfs/dbraw/zinc/10/00/29/704100029.db2.gz JMUZVELJCXRCRJ-BBRMVZONSA-N 1 2 309.410 1.858 20 30 DDEDLO CC(C)(C)OC(=O)N1CCO[C@@H](C[N@H+]2CC[C@@](C)(C#N)C2)C1 ZINC000852686656 704100031 /nfs/dbraw/zinc/10/00/31/704100031.db2.gz JMUZVELJCXRCRJ-BBRMVZONSA-N 1 2 309.410 1.858 20 30 DDEDLO Cn1cc([C@H]2N(C[N@@H+]3CCC[C@@H](CC#N)C3)C(=O)C2(C)C)cn1 ZINC000853525166 704259824 /nfs/dbraw/zinc/25/98/24/704259824.db2.gz UGKKLWNZJJEBRD-DZGCQCFKSA-N 1 2 315.421 1.913 20 30 DDEDLO Cn1cc([C@H]2N(C[N@H+]3CCC[C@@H](CC#N)C3)C(=O)C2(C)C)cn1 ZINC000853525166 704259825 /nfs/dbraw/zinc/25/98/25/704259825.db2.gz UGKKLWNZJJEBRD-DZGCQCFKSA-N 1 2 315.421 1.913 20 30 DDEDLO C=CCN1C(=O)/C(=C\c2c[nH+]c(N(C)C)n2C)SC1=S ZINC000821028146 704361359 /nfs/dbraw/zinc/36/13/59/704361359.db2.gz PJNANHMFSWDYAM-JXMROGBWSA-N 1 2 308.432 1.873 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)cc1 ZINC000855412298 704483908 /nfs/dbraw/zinc/48/39/08/704483908.db2.gz SNNYQWQXWORQQH-HUUCEWRRSA-N 1 2 316.401 1.538 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)cc1 ZINC000855412298 704483909 /nfs/dbraw/zinc/48/39/09/704483909.db2.gz SNNYQWQXWORQQH-HUUCEWRRSA-N 1 2 316.401 1.538 20 30 DDEDLO C[S@](=N)(=O)N1CC[NH+](CCCOc2cccc(C#N)c2)CC1 ZINC000879944693 706829021 /nfs/dbraw/zinc/82/90/21/706829021.db2.gz VRBOJANEJZPCRP-JOCHJYFZSA-N 1 2 322.434 1.536 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2C(=O)N[C@@H]2CCn3c[nH+]cc32)cc1 ZINC000857984210 704655684 /nfs/dbraw/zinc/65/56/84/704655684.db2.gz HPUAAZCSOCPVEB-CVEARBPZSA-N 1 2 321.384 1.985 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000858153421 704671241 /nfs/dbraw/zinc/67/12/41/704671241.db2.gz LPLDVIXIVGIOJW-HNNXBMFYSA-N 1 2 316.405 1.388 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)NCC#Cc1ccccc1 ZINC000875041565 705264022 /nfs/dbraw/zinc/26/40/22/705264022.db2.gz VFIYXQPAPKTDFB-KRWDZBQOSA-N 1 2 315.417 1.448 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)NCC#Cc1ccccc1 ZINC000875041565 705264027 /nfs/dbraw/zinc/26/40/27/705264027.db2.gz VFIYXQPAPKTDFB-KRWDZBQOSA-N 1 2 315.417 1.448 20 30 DDEDLO N#CCc1ccnc(NC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)c1 ZINC000871725667 707177780 /nfs/dbraw/zinc/17/77/80/707177780.db2.gz IECLOQHRBBPAKJ-CQSZACIVSA-N 1 2 322.372 1.943 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2[nH]cnc2C(F)(F)F)CC1 ZINC000825077551 705594519 /nfs/dbraw/zinc/59/45/19/705594519.db2.gz AEBUHYJDOWPDEZ-UHFFFAOYSA-N 1 2 300.284 1.210 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2nc[nH]c2C(F)(F)F)CC1 ZINC000825077551 705594521 /nfs/dbraw/zinc/59/45/21/705594521.db2.gz AEBUHYJDOWPDEZ-UHFFFAOYSA-N 1 2 300.284 1.210 20 30 DDEDLO C=C(Cl)C[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C(=O)OCC ZINC000825147923 705609510 /nfs/dbraw/zinc/60/95/10/705609510.db2.gz RXVGUKMELBUNPU-MXWKQRLJSA-N 1 2 311.769 1.704 20 30 DDEDLO C=C(Cl)C[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C(=O)OCC ZINC000825147923 705609512 /nfs/dbraw/zinc/60/95/12/705609512.db2.gz RXVGUKMELBUNPU-MXWKQRLJSA-N 1 2 311.769 1.704 20 30 DDEDLO N#Cc1cncnc1Nc1ccc([NH+]2CCC(C(N)=O)CC2)cc1 ZINC000825668028 705711569 /nfs/dbraw/zinc/71/15/69/705711569.db2.gz LEYYEQBXXKEBMO-UHFFFAOYSA-N 1 2 322.372 1.794 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+]2CCO[C@@H](CC(N)=O)C2)cc1 ZINC000862535307 705728400 /nfs/dbraw/zinc/72/84/00/705728400.db2.gz IQUVWCRJHLLWCB-DOMZBBRYSA-N 1 2 303.362 1.054 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+]2CCO[C@@H](CC(N)=O)C2)cc1 ZINC000862535307 705728402 /nfs/dbraw/zinc/72/84/02/705728402.db2.gz IQUVWCRJHLLWCB-DOMZBBRYSA-N 1 2 303.362 1.054 20 30 DDEDLO C#CC1CCN(c2cc(N3CC[C@H]([C@@H](C)O)C3)nc[nH+]2)CC1 ZINC000826710918 705853842 /nfs/dbraw/zinc/85/38/42/705853842.db2.gz UDUMMGZNYWQJCW-HIFRSBDPSA-N 1 2 300.406 1.533 20 30 DDEDLO C#CC1CCN(c2cc(N3CC[C@H]([C@@H](C)O)C3)[nH+]cn2)CC1 ZINC000826710918 705853845 /nfs/dbraw/zinc/85/38/45/705853845.db2.gz UDUMMGZNYWQJCW-HIFRSBDPSA-N 1 2 300.406 1.533 20 30 DDEDLO C#CC1CCN(c2nc(NC)nc(N3CCC(C#C)CC3)[nH+]2)CC1 ZINC000826714795 705855435 /nfs/dbraw/zinc/85/54/35/705855435.db2.gz JWEIETYWZWWPST-UHFFFAOYSA-N 1 2 324.432 1.034 20 30 DDEDLO COC1CC(ON=C(N)c2ccc(C[NH+]3CCOCC3)cc2)C1 ZINC000863456400 705933676 /nfs/dbraw/zinc/93/36/76/705933676.db2.gz RYCMKAPIPBMPTG-UHFFFAOYSA-N 1 2 319.405 1.333 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[NH2+]Cc1nc(C2CC2)no1 ZINC000863550592 705954764 /nfs/dbraw/zinc/95/47/64/705954764.db2.gz AYUMSMNSXINISK-CYBMUJFWSA-N 1 2 314.345 1.348 20 30 DDEDLO N#Cc1cnc(Cl)c(C[NH+]2CCC3(COC(=O)N3)CC2)c1 ZINC000877312757 706059380 /nfs/dbraw/zinc/05/93/80/706059380.db2.gz LEUYWECCLRBJRZ-UHFFFAOYSA-N 1 2 306.753 1.681 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C(=O)OCC ZINC000881753546 707352176 /nfs/dbraw/zinc/35/21/76/707352176.db2.gz RYGVQBKDIXVWLO-OLZOCXBDSA-N 1 2 305.378 1.460 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)Cc1cn3c([nH+]1)CCCC3)C2 ZINC000829480364 706341788 /nfs/dbraw/zinc/34/17/88/706341788.db2.gz LAMMVPMBWONZGG-INIZCTEOSA-N 1 2 320.396 1.917 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000878641483 706453274 /nfs/dbraw/zinc/45/32/74/706453274.db2.gz RNMHXSINGCGZSK-ZIAGYGMSSA-N 1 2 301.346 1.524 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000878641483 706453277 /nfs/dbraw/zinc/45/32/77/706453277.db2.gz RNMHXSINGCGZSK-ZIAGYGMSSA-N 1 2 301.346 1.524 20 30 DDEDLO C#CCOc1ccc(NC(=O)/C=C/C[NH+]2CCOCC2)cc1 ZINC000865601891 706474451 /nfs/dbraw/zinc/47/44/51/706474451.db2.gz GLKIZSVTFKWTGH-ONEGZZNKSA-N 1 2 300.358 1.526 20 30 DDEDLO CC(C)(C#N)c1ccc(C[NH+]2CCN(Cc3nc[nH]n3)CC2)cc1 ZINC000878810045 706505883 /nfs/dbraw/zinc/50/58/83/706505883.db2.gz RPRXEKWGIOEDCY-UHFFFAOYSA-N 1 2 324.432 1.924 20 30 DDEDLO CC(C)(C#N)c1ccc(C[NH+]2CCN(Cc3nnc[nH]3)CC2)cc1 ZINC000878810045 706505884 /nfs/dbraw/zinc/50/58/84/706505884.db2.gz RPRXEKWGIOEDCY-UHFFFAOYSA-N 1 2 324.432 1.924 20 30 DDEDLO CC(C)([NH2+]Cc1nnc2c(=O)[nH]ccn12)c1cccc(C#N)c1 ZINC000878888630 706526021 /nfs/dbraw/zinc/52/60/21/706526021.db2.gz QYRVIAPJQIXQNS-UHFFFAOYSA-N 1 2 308.345 1.314 20 30 DDEDLO C[C@](C#N)(NC(=O)/C=C/C[NH+]1CCOCC1)C1CCCCC1 ZINC000865891017 706554278 /nfs/dbraw/zinc/55/42/78/706554278.db2.gz LQWZNBANLXBYAQ-ZGRWHYIRSA-N 1 2 305.422 1.854 20 30 DDEDLO C=CC[C@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1ccncc1 ZINC000865892257 706554700 /nfs/dbraw/zinc/55/47/00/706554700.db2.gz ZYAKIWWENYCNTP-WMHVBEDNSA-N 1 2 301.390 1.703 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H](C(F)(F)F)O[C@H](C)C1 ZINC000880061534 706867539 /nfs/dbraw/zinc/86/75/39/706867539.db2.gz WHQKUUOEWVHDMV-VXGBXAGGSA-N 1 2 321.343 1.790 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@H](C(F)(F)F)O[C@H](C)C1 ZINC000880061534 706867542 /nfs/dbraw/zinc/86/75/42/706867542.db2.gz WHQKUUOEWVHDMV-VXGBXAGGSA-N 1 2 321.343 1.790 20 30 DDEDLO N#Cc1cc(F)cc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000867129256 706905263 /nfs/dbraw/zinc/90/52/63/706905263.db2.gz OTKCUDATGSIENE-LBPRGKRZSA-N 1 2 320.349 1.187 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@H+]1CC[C@@H](CF)C1 ZINC000880296378 706931721 /nfs/dbraw/zinc/93/17/21/706931721.db2.gz AAFCPGYNLAYBOV-LBPRGKRZSA-N 1 2 300.337 1.711 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@@H+]1CC[C@@H](CF)C1 ZINC000880296378 706931723 /nfs/dbraw/zinc/93/17/23/706931723.db2.gz AAFCPGYNLAYBOV-LBPRGKRZSA-N 1 2 300.337 1.711 20 30 DDEDLO Cc1cccc(N(CCC#N)C(=O)C[N@@H+]2CC=C[C@@H]2CO)c1C ZINC000880485522 706989838 /nfs/dbraw/zinc/98/98/38/706989838.db2.gz HUNFTJZQBMMBKH-MRXNPFEDSA-N 1 2 313.401 1.783 20 30 DDEDLO Cc1cccc(N(CCC#N)C(=O)C[N@H+]2CC=C[C@@H]2CO)c1C ZINC000880485522 706989840 /nfs/dbraw/zinc/98/98/40/706989840.db2.gz HUNFTJZQBMMBKH-MRXNPFEDSA-N 1 2 313.401 1.783 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cccc(CC#N)n2)[C@H](C)CO1 ZINC000881141612 707134756 /nfs/dbraw/zinc/13/47/56/707134756.db2.gz ANSKRBJPYUTIIQ-CHWSQXEVSA-N 1 2 317.393 1.378 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cccc(CC#N)n2)[C@H](C)CO1 ZINC000881141612 707134758 /nfs/dbraw/zinc/13/47/58/707134758.db2.gz ANSKRBJPYUTIIQ-CHWSQXEVSA-N 1 2 317.393 1.378 20 30 DDEDLO CC(C)(C)OC1C[NH+](C[C@@H](O)COc2ccc(C#N)cc2)C1 ZINC000871991849 707271610 /nfs/dbraw/zinc/27/16/10/707271610.db2.gz LYOGHRJJCSZQRF-CQSZACIVSA-N 1 2 304.390 1.797 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=Cc2cn(C)nc2-c2cc(F)ccc2F)N1 ZINC000872364698 707391528 /nfs/dbraw/zinc/39/15/28/707391528.db2.gz RLSCPMPHCKZWBC-SECBINFHSA-N 1 2 318.331 1.637 20 30 DDEDLO Cc1cc([N-][NH+]=Cc2ccc(N(C)CCO)cc2)nc(N)[nH+]1 ZINC000872420583 707404119 /nfs/dbraw/zinc/40/41/19/707404119.db2.gz UYOROHWWDXVUJB-UHFFFAOYSA-N 1 2 300.366 1.242 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@@H+](C)CC2(C)C)c(C#N)c1 ZINC000872468427 707429842 /nfs/dbraw/zinc/42/98/42/707429842.db2.gz VBUBDHHDTHFFEX-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[N@H+](C)CC2(C)C)c(C#N)c1 ZINC000872468427 707429845 /nfs/dbraw/zinc/42/98/45/707429845.db2.gz VBUBDHHDTHFFEX-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO N#Cc1cnc(NC[C@@H]2C[NH+]3CCN2CC3)c(Br)c1 ZINC000882122000 707507305 /nfs/dbraw/zinc/50/73/05/707507305.db2.gz AXSNVBFFOAPUFO-LLVKDONJSA-N 1 2 322.210 1.127 20 30 DDEDLO C#CC[C@@H]([NH2+]C1CCC(c2nc(CC)no2)CC1)C(=O)OC ZINC000839166672 708032334 /nfs/dbraw/zinc/03/23/34/708032334.db2.gz AHUFIAZWALZGPZ-WXRRBKDZSA-N 1 2 305.378 1.813 20 30 DDEDLO N#Cc1ccc(Cn2cc(C[NH+]3CCC(O)CC3)nn2)c(F)c1 ZINC000883990762 708093831 /nfs/dbraw/zinc/09/38/31/708093831.db2.gz FNRSIWVCYABODN-UHFFFAOYSA-N 1 2 315.352 1.294 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CC=C)Cc1cccs1 ZINC000884058902 708121171 /nfs/dbraw/zinc/12/11/71/708121171.db2.gz YHWJTJVGLJLWOX-ZDUSSCGKSA-N 1 2 308.403 1.709 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1ccccc1Cl ZINC000884068639 708124905 /nfs/dbraw/zinc/12/49/05/708124905.db2.gz ZIQBZRDVNDFNJG-ZDUSSCGKSA-N 1 2 310.781 1.445 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H](C)c1ccccc1C ZINC000884076413 708128711 /nfs/dbraw/zinc/12/87/11/708128711.db2.gz CBIPNHGQWGXYEW-ZFWWWQNUSA-N 1 2 304.390 1.961 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@H](c2ccccc2C)C1 ZINC000884118253 708147034 /nfs/dbraw/zinc/14/70/34/708147034.db2.gz AATBIOZBVDRZLR-HOCLYGCPSA-N 1 2 316.401 1.758 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](CC)c1ccccc1F ZINC000884385962 708274241 /nfs/dbraw/zinc/27/42/41/708274241.db2.gz AKYHFZXYDVOVME-KBPBESRZSA-N 1 2 308.353 1.840 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000897360951 708304865 /nfs/dbraw/zinc/30/48/65/708304865.db2.gz VGZVKLMLIYNSOK-QLFBSQMISA-N 1 2 300.406 1.676 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000897360951 708304867 /nfs/dbraw/zinc/30/48/67/708304867.db2.gz VGZVKLMLIYNSOK-QLFBSQMISA-N 1 2 300.406 1.676 20 30 DDEDLO C#C[C@H]1CCCCN1c1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000897360888 708305087 /nfs/dbraw/zinc/30/50/87/708305087.db2.gz SNMKRBBBYGICFY-LSDHHAIUSA-N 1 2 300.406 1.820 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)C[C@](C)(C(C)C)C2=O)CC1 ZINC000884478417 708311347 /nfs/dbraw/zinc/31/13/47/708311347.db2.gz ASEOKNOFPWPRJZ-MRXNPFEDSA-N 1 2 308.422 1.768 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)C[C@H](CC(C)C)OC)C1 ZINC000885508787 708561895 /nfs/dbraw/zinc/56/18/95/708561895.db2.gz LCSUCTDXTXWXBO-GJZGRUSLSA-N 1 2 316.467 1.065 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)C[C@H](CC(C)C)OC)C1 ZINC000885508787 708561898 /nfs/dbraw/zinc/56/18/98/708561898.db2.gz LCSUCTDXTXWXBO-GJZGRUSLSA-N 1 2 316.467 1.065 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C3CC3)cc2)C1 ZINC000885509939 708562450 /nfs/dbraw/zinc/56/24/50/708562450.db2.gz ZTHSKNBMTSVSIN-MRXNPFEDSA-N 1 2 318.442 1.940 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C3CC3)cc2)C1 ZINC000885509939 708562451 /nfs/dbraw/zinc/56/24/51/708562451.db2.gz ZTHSKNBMTSVSIN-MRXNPFEDSA-N 1 2 318.442 1.940 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@H+](CCC(C)(C)C#N)[C@H](CO)C1 ZINC000886351307 708746991 /nfs/dbraw/zinc/74/69/91/708746991.db2.gz BZZAFHMWBGVYHE-ZDUSSCGKSA-N 1 2 311.426 1.840 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@@H+](CCC(C)(C)C#N)[C@H](CO)C1 ZINC000886351307 708746992 /nfs/dbraw/zinc/74/69/92/708746992.db2.gz BZZAFHMWBGVYHE-ZDUSSCGKSA-N 1 2 311.426 1.840 20 30 DDEDLO CC[C@H](C#N)N(C)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000886413935 708756771 /nfs/dbraw/zinc/75/67/71/708756771.db2.gz HQAGQJPPIQJZSI-CYBMUJFWSA-N 1 2 307.419 1.597 20 30 DDEDLO CC[C@H](C#N)N(C)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000886413935 708756773 /nfs/dbraw/zinc/75/67/73/708756773.db2.gz HQAGQJPPIQJZSI-CYBMUJFWSA-N 1 2 307.419 1.597 20 30 DDEDLO N#Cc1ccc2nc(NC(=O)C3C[NH+]([C@H]4CCOC4)C3)[nH]c2c1 ZINC000899169932 709008092 /nfs/dbraw/zinc/00/80/92/709008092.db2.gz GHEGCCCUZGMWCW-LBPRGKRZSA-N 1 2 311.345 1.094 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1ccc(C#N)cn1)[NH+]1CCOCC1 ZINC000889225179 709464449 /nfs/dbraw/zinc/46/44/49/709464449.db2.gz KAHIZRCYAUALBB-NWDGAFQWSA-N 1 2 303.366 1.184 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCC#C[C@@H]2CCCCO2)[nH]n1 ZINC000891112611 710096244 /nfs/dbraw/zinc/09/62/44/710096244.db2.gz MHQUSLQCSAGMBI-XJKSGUPXSA-N 1 2 316.405 1.479 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)NCC#C[C@@H]2CCCCO2)[nH]n1 ZINC000891112611 710096245 /nfs/dbraw/zinc/09/62/45/710096245.db2.gz MHQUSLQCSAGMBI-XJKSGUPXSA-N 1 2 316.405 1.479 20 30 DDEDLO C=CCCC[C@@H](NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)OC ZINC000928318762 713174344 /nfs/dbraw/zinc/17/43/44/713174344.db2.gz XTHRSWVMIIIREM-QWHCGFSZSA-N 1 2 314.451 1.438 20 30 DDEDLO C=CCCC[C@H](NC(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)OC ZINC000928322920 713175631 /nfs/dbraw/zinc/17/56/31/713175631.db2.gz JZHHLPFZWWJPPA-AWEZNQCLSA-N 1 2 319.405 1.776 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)c3ccc(F)c(C#N)c3)CC[NH2+]2)cn1 ZINC000913459918 713225671 /nfs/dbraw/zinc/22/56/71/713225671.db2.gz CTFGMJWBQJVBDS-OAHLLOKOSA-N 1 2 313.336 1.218 20 30 DDEDLO N#Cc1csc(CNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)n1 ZINC000894308264 711060424 /nfs/dbraw/zinc/06/04/24/711060424.db2.gz IVOQCAFFPLKOGY-SECBINFHSA-N 1 2 302.363 1.025 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)CCc3ccc(C#N)cc3)CC[NH2+]2)cn1 ZINC000913472947 713231246 /nfs/dbraw/zinc/23/12/46/713231246.db2.gz IQRFLNXFUBHIRE-QGZVFWFLSA-N 1 2 323.400 1.397 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2c[nH]nc2-c2ccccc2)CC1 ZINC000895068168 711395981 /nfs/dbraw/zinc/39/59/81/711395981.db2.gz LURJBFOMCYDOIE-UHFFFAOYSA-N 1 2 309.373 1.635 20 30 DDEDLO C#CC1(O)CN(C(=O)N[C@H](Cn2cc[nH+]c2)c2ccccc2)C1 ZINC000896478890 711731069 /nfs/dbraw/zinc/73/10/69/711731069.db2.gz FISFFAKPVUEERY-OAHLLOKOSA-N 1 2 310.357 1.014 20 30 DDEDLO C[C@H](NC(=O)c1cccc(CC#N)c1)[C@@H]1CN(C)CC[N@@H+]1C ZINC000896568895 711754738 /nfs/dbraw/zinc/75/47/38/711754738.db2.gz BXLNNHASSWSSRQ-BBRMVZONSA-N 1 2 300.406 1.117 20 30 DDEDLO C[C@H](NC(=O)c1cccc(CC#N)c1)[C@@H]1CN(C)CC[N@H+]1C ZINC000896568895 711754740 /nfs/dbraw/zinc/75/47/40/711754740.db2.gz BXLNNHASSWSSRQ-BBRMVZONSA-N 1 2 300.406 1.117 20 30 DDEDLO Cc1ncc(CO)c(/C=N/Cc2nc(C[NH+](C)C)cs2)c1O ZINC000905003424 711908699 /nfs/dbraw/zinc/90/86/99/711908699.db2.gz WUNCYJADMTXRDK-FZSIALSZSA-N 1 2 320.418 1.725 20 30 DDEDLO O=S(=O)(Cc1ccccc1)NN=C1C[C@H]2CCC[N@@H+](C1)C2 ZINC000905440589 712038023 /nfs/dbraw/zinc/03/80/23/712038023.db2.gz ZHOJJDDSRVJGHU-CQSZACIVSA-N 1 2 307.419 1.578 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)cc1 ZINC000907072627 712480954 /nfs/dbraw/zinc/48/09/54/712480954.db2.gz CRWLRSKZDSLZSR-CQSZACIVSA-N 1 2 315.398 1.580 20 30 DDEDLO CC[C@@H](CC#N)NC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000907389616 712558672 /nfs/dbraw/zinc/55/86/72/712558672.db2.gz RVHGSTMWBMSABF-AWEZNQCLSA-N 1 2 304.394 1.242 20 30 DDEDLO C[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1c(C#N)sc2ccccc21 ZINC000907417881 712566412 /nfs/dbraw/zinc/56/64/12/712566412.db2.gz QJFPGZKTCQOFDE-SNVBAGLBSA-N 1 2 321.427 1.755 20 30 DDEDLO C[N@H+]1CC[C@@H]1CNS(=O)(=O)c1c(C#N)sc2ccccc21 ZINC000907417881 712566414 /nfs/dbraw/zinc/56/64/14/712566414.db2.gz QJFPGZKTCQOFDE-SNVBAGLBSA-N 1 2 321.427 1.755 20 30 DDEDLO C=CC[C@H](CC)NC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000907962599 712655921 /nfs/dbraw/zinc/65/59/21/712655921.db2.gz MFBIOZPCEMSNPE-HNNXBMFYSA-N 1 2 305.422 1.905 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)[C@@H](C)[NH+]1CCSCC1 ZINC000908651187 712829259 /nfs/dbraw/zinc/82/92/59/712829259.db2.gz WTBUWRGIPWABFV-KGLIPLIRSA-N 1 2 310.463 1.950 20 30 DDEDLO COCCCNC(=S)NN=C1CCN(c2cccc[nH+]2)CC1 ZINC000915944203 713436066 /nfs/dbraw/zinc/43/60/66/713436066.db2.gz DMAOWOPVXPGHSE-UHFFFAOYSA-N 1 2 321.450 1.538 20 30 DDEDLO CC[C@@]1(C)NC(=O)N(N=Cc2ccc(-n3cc[nH+]c3)cc2)C1=O ZINC000917027361 713478765 /nfs/dbraw/zinc/47/87/65/713478765.db2.gz HUSCAVONTFMGQX-MRXNPFEDSA-N 1 2 311.345 1.927 20 30 DDEDLO COc1ccc(C)cc1NC(=O)[C@H]1CCC[N@@H+]1C[C@H](O)CC#N ZINC000929987808 713729524 /nfs/dbraw/zinc/72/95/24/713729524.db2.gz UNZOCLSCTXSRRU-UKRRQHHQSA-N 1 2 317.389 1.681 20 30 DDEDLO COc1ccc(C)cc1NC(=O)[C@H]1CCC[N@H+]1C[C@H](O)CC#N ZINC000929987808 713729527 /nfs/dbraw/zinc/72/95/27/713729527.db2.gz UNZOCLSCTXSRRU-UKRRQHHQSA-N 1 2 317.389 1.681 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@@H+](C[C@H](O)C2(O)CCCC2)C1 ZINC000930923520 713960937 /nfs/dbraw/zinc/96/09/37/713960937.db2.gz MIZOPSHHGXPRHG-IRXDYDNUSA-N 1 2 315.417 1.605 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@H+](C[C@H](O)C2(O)CCCC2)C1 ZINC000930923520 713960940 /nfs/dbraw/zinc/96/09/40/713960940.db2.gz MIZOPSHHGXPRHG-IRXDYDNUSA-N 1 2 315.417 1.605 20 30 DDEDLO N#Cc1cncc(C[NH2+][C@H]2CS(=O)(=O)Cc3ccccc32)c1 ZINC000931100107 714001095 /nfs/dbraw/zinc/00/10/95/714001095.db2.gz NOMNLJFOCMWBHL-INIZCTEOSA-N 1 2 313.382 1.713 20 30 DDEDLO Cc1ccc(C[NH2+]Cc2cn(C[C@H]3CCCO3)nn2)cc1C#N ZINC000922761205 714140131 /nfs/dbraw/zinc/14/01/31/714140131.db2.gz PHRBZFYDNXNZAQ-QGZVFWFLSA-N 1 2 311.389 1.927 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1sccc1C#N ZINC000931830811 714193064 /nfs/dbraw/zinc/19/30/64/714193064.db2.gz DFNFPWSSFWCBNM-LBPRGKRZSA-N 1 2 308.407 1.852 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@H](O)C[N@@H+]2CCC[C@@H](C#N)C2)cc1 ZINC000932160584 714274750 /nfs/dbraw/zinc/27/47/50/714274750.db2.gz YFYYHWIDQLPPKT-GOEBONIOSA-N 1 2 317.389 1.620 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@H](O)C[N@H+]2CCC[C@@H](C#N)C2)cc1 ZINC000932160584 714274752 /nfs/dbraw/zinc/27/47/52/714274752.db2.gz YFYYHWIDQLPPKT-GOEBONIOSA-N 1 2 317.389 1.620 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)Nc1ccc(CCC#N)cc1 ZINC000932578229 714361926 /nfs/dbraw/zinc/36/19/26/714361926.db2.gz UXFBFAWSYBBTSZ-UHFFFAOYSA-N 1 2 316.405 1.939 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC3(CCNC(=O)O3)CC2)nn1 ZINC000932758675 714407214 /nfs/dbraw/zinc/40/72/14/714407214.db2.gz RNEPGOUZQVFITG-UHFFFAOYSA-N 1 2 305.382 1.319 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC000923589520 714419677 /nfs/dbraw/zinc/41/96/77/714419677.db2.gz SGDWROFGJLWWTO-LBPRGKRZSA-N 1 2 316.430 1.771 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc3c(C)nn(C)c3s2)C1 ZINC000923589520 714419679 /nfs/dbraw/zinc/41/96/79/714419679.db2.gz SGDWROFGJLWWTO-LBPRGKRZSA-N 1 2 316.430 1.771 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C2C[C@H]3CCC[C@@H](C2)C3=O)C1 ZINC000923589043 714419746 /nfs/dbraw/zinc/41/97/46/714419746.db2.gz CBPNVBXVGNBRHC-QXULXFAOSA-N 1 2 302.418 1.596 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C2C[C@H]3CCC[C@@H](C2)C3=O)C1 ZINC000923589043 714419747 /nfs/dbraw/zinc/41/97/47/714419747.db2.gz CBPNVBXVGNBRHC-QXULXFAOSA-N 1 2 302.418 1.596 20 30 DDEDLO COc1ccccc1N(CCC#N)C(=O)[C@H](C)[N@@H+]1CC[C@H]1CO ZINC000934024055 714724374 /nfs/dbraw/zinc/72/43/74/714724374.db2.gz NZSOHJDYMYGKHA-KBPBESRZSA-N 1 2 317.389 1.397 20 30 DDEDLO COc1ccccc1N(CCC#N)C(=O)[C@H](C)[N@H+]1CC[C@H]1CO ZINC000934024055 714724377 /nfs/dbraw/zinc/72/43/77/714724377.db2.gz NZSOHJDYMYGKHA-KBPBESRZSA-N 1 2 317.389 1.397 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2CN(C(=O)CCn3cc[nH+]c3)C2)C1 ZINC000955258166 715706184 /nfs/dbraw/zinc/70/61/84/715706184.db2.gz YFOZQDXVLIJNDX-UHFFFAOYSA-N 1 2 316.405 1.299 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc(C)nc2C2CC2)C1 ZINC000957340924 715848182 /nfs/dbraw/zinc/84/81/82/715848182.db2.gz VHIXHLHKEUIFCT-UHFFFAOYSA-N 1 2 312.417 1.832 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc(C(N)=O)cc2)C1 ZINC000957372468 715866916 /nfs/dbraw/zinc/86/69/16/715866916.db2.gz KNQUOKGBRUXJEV-UHFFFAOYSA-N 1 2 301.390 1.508 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC000938697960 715963610 /nfs/dbraw/zinc/96/36/10/715963610.db2.gz DYUOKMZUBKCDNT-LBPRGKRZSA-N 1 2 302.378 1.026 20 30 DDEDLO N#CCN1CCC2(CC1)CCN(C(=O)Cc1[nH]cc[nH+]1)CC2 ZINC000957626606 715974263 /nfs/dbraw/zinc/97/42/63/715974263.db2.gz XWTCDKOONJTWCZ-UHFFFAOYSA-N 1 2 301.394 1.180 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)n1 ZINC000959923307 716357481 /nfs/dbraw/zinc/35/74/81/716357481.db2.gz HCBRAPMFVSQRBU-OLZOCXBDSA-N 1 2 319.409 1.652 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2occc2Cl)C1 ZINC000958379875 716514648 /nfs/dbraw/zinc/51/46/48/716514648.db2.gz VVXRADPEYCCCHU-GXSJLCMTSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2occc2Cl)C1 ZINC000958379875 716514656 /nfs/dbraw/zinc/51/46/56/716514656.db2.gz VVXRADPEYCCCHU-GXSJLCMTSA-N 1 2 319.188 1.708 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(Cl)o3)CC2)C1 ZINC000941314894 717153466 /nfs/dbraw/zinc/15/34/66/717153466.db2.gz XPIXKLOCEBXBLK-UHFFFAOYSA-N 1 2 307.781 1.008 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccoc3Cl)CC2)C1 ZINC000941386838 717166319 /nfs/dbraw/zinc/16/63/19/717166319.db2.gz QWHQKCRDGIOLHT-UHFFFAOYSA-N 1 2 321.808 1.398 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3coc(C)c3)CC2)C1 ZINC000941390963 717167839 /nfs/dbraw/zinc/16/78/39/717167839.db2.gz JCBCWJHYWDVVDF-UHFFFAOYSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccnc(C4CC4)c3)CC2)C1 ZINC000941474996 717183779 /nfs/dbraw/zinc/18/37/79/717183779.db2.gz JJDSYDVTQSIRFC-UHFFFAOYSA-N 1 2 324.428 1.034 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC[C@H](C)C3)CC2)C1 ZINC000941540605 717200624 /nfs/dbraw/zinc/20/06/24/717200624.db2.gz IEMDLTJVPMKRFX-IRXDYDNUSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3occc3Cl)CC2)C1 ZINC000941553526 717207447 /nfs/dbraw/zinc/20/74/47/717207447.db2.gz OFYSJHPAXSRZJA-UHFFFAOYSA-N 1 2 321.808 1.398 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@@H](C)C1 ZINC000966665974 718621947 /nfs/dbraw/zinc/62/19/47/718621947.db2.gz ZKTYKHKRFQKMPB-FZMZJTMJSA-N 1 2 318.421 1.436 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cccc(=O)n2C)C1 ZINC000968318544 719502373 /nfs/dbraw/zinc/50/23/73/719502373.db2.gz WZKUGOGMVNADHA-WCQYABFASA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cccc(=O)n2C)C1 ZINC000968318544 719502375 /nfs/dbraw/zinc/50/23/75/719502375.db2.gz WZKUGOGMVNADHA-WCQYABFASA-N 1 2 323.824 1.578 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@]2(C3CCCC3)CCNC2=O)CC1 ZINC000948858388 719750192 /nfs/dbraw/zinc/75/01/92/719750192.db2.gz CVOOQDCLGWCWPF-QGZVFWFLSA-N 1 2 305.422 1.013 20 30 DDEDLO C[C@H](NC(=O)c1cnn(C)c1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969275683 720037261 /nfs/dbraw/zinc/03/72/61/720037261.db2.gz FFBYJEJWLAIMLE-ZDUSSCGKSA-N 1 2 323.400 1.542 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3OCC[C@H]3CC)CC2)C1 ZINC000949388467 720054790 /nfs/dbraw/zinc/05/47/90/720054790.db2.gz ALTNWNZXQRBZIP-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3OCC[C@H]3CC)CC2)C1 ZINC000949388467 720054796 /nfs/dbraw/zinc/05/47/96/720054796.db2.gz ALTNWNZXQRBZIP-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)CC2)C1 ZINC000949447701 720094105 /nfs/dbraw/zinc/09/41/05/720094105.db2.gz ZUAAKJZBGUWJTA-ZSHCYNCHSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)CC2)C1 ZINC000949447701 720094110 /nfs/dbraw/zinc/09/41/10/720094110.db2.gz ZUAAKJZBGUWJTA-ZSHCYNCHSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H](C)C(C)(F)F)CC2)C1 ZINC000949472451 720111115 /nfs/dbraw/zinc/11/11/15/720111115.db2.gz FZAUTYGLJWEPHZ-ZDUSSCGKSA-N 1 2 314.376 1.604 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H](C)C(C)(F)F)CC2)C1 ZINC000949472451 720111118 /nfs/dbraw/zinc/11/11/18/720111118.db2.gz FZAUTYGLJWEPHZ-ZDUSSCGKSA-N 1 2 314.376 1.604 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnn3c2nc(C)cc3C)CC1 ZINC000949590269 720194224 /nfs/dbraw/zinc/19/42/24/720194224.db2.gz PYGDGAZVOSPYDI-UHFFFAOYSA-N 1 2 313.405 1.680 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([NH2+]Cc3nc(CC)no3)C2)C1 ZINC000969883392 720546814 /nfs/dbraw/zinc/54/68/14/720546814.db2.gz QPCXTKHUIIHACX-GFCCVEGCSA-N 1 2 304.394 1.679 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnn(C)c2CC)C1 ZINC000970043596 720608778 /nfs/dbraw/zinc/60/87/78/720608778.db2.gz CAWPULCFHPLKRI-LLVKDONJSA-N 1 2 310.829 1.785 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCCN(C(=O)C3CC3)C2)C1 ZINC000950410819 720621686 /nfs/dbraw/zinc/62/16/86/720621686.db2.gz UHPZTNLAVFOEJY-HNNXBMFYSA-N 1 2 319.449 1.354 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC000951539682 721063545 /nfs/dbraw/zinc/06/35/45/721063545.db2.gz CVERJEAGVAXFGV-CYBMUJFWSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC)C1CN(Cc2c[nH+]cn2C)C1 ZINC000951770694 721174601 /nfs/dbraw/zinc/17/46/01/721174601.db2.gz LQMDHJMGVHQLQT-CVEARBPZSA-N 1 2 318.421 1.044 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2cc3n(n2)CCCC3)C1 ZINC000971212212 721181247 /nfs/dbraw/zinc/18/12/47/721181247.db2.gz WXBTXOQNQGRKIE-BBRMVZONSA-N 1 2 315.421 1.412 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc3n(n2)CCCC3)C1 ZINC000971212212 721181250 /nfs/dbraw/zinc/18/12/50/721181250.db2.gz WXBTXOQNQGRKIE-BBRMVZONSA-N 1 2 315.421 1.412 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CCN2C(=O)Cn2cc[nH+]c2)CC1 ZINC000951965278 721257933 /nfs/dbraw/zinc/25/79/33/721257933.db2.gz FBLLLJQUDXAJFT-OAHLLOKOSA-N 1 2 316.405 1.347 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@H]2Cc3cc(F)ccc3O2)C1 ZINC000952390508 721433553 /nfs/dbraw/zinc/43/35/53/721433553.db2.gz XNKYWQYZKADCCR-QGZVFWFLSA-N 1 2 316.376 1.685 20 30 DDEDLO N#Cc1ccc(CN2CCN(C(=O)Cc3[nH]cc[nH+]3)CC2)s1 ZINC000952401161 721437201 /nfs/dbraw/zinc/43/72/01/721437201.db2.gz AKPXQZKOWJUPMO-UHFFFAOYSA-N 1 2 315.402 1.230 20 30 DDEDLO C=CC[NH+]1CCN(c2nc(Cl)cc(C(=O)NCC)n2)CC1 ZINC001165033989 721873994 /nfs/dbraw/zinc/87/39/94/721873994.db2.gz AYZBJQOUIHUVJS-UHFFFAOYSA-N 1 2 309.801 1.188 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)nc3C)C2)C1 ZINC000972689406 735475019 /nfs/dbraw/zinc/47/50/19/735475019.db2.gz XOEWGWXURDSZME-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)nc3C)C2)C1 ZINC000972689406 735475022 /nfs/dbraw/zinc/47/50/22/735475022.db2.gz XOEWGWXURDSZME-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC(C3CC3)C3CC3)C2)C1 ZINC000972696700 735485883 /nfs/dbraw/zinc/48/58/83/735485883.db2.gz YLMXPQSOLIJMST-LJQANCHMSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC(C3CC3)C3CC3)C2)C1 ZINC000972696700 735485886 /nfs/dbraw/zinc/48/58/86/735485886.db2.gz YLMXPQSOLIJMST-LJQANCHMSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3cscn3)C2)C1 ZINC000972697612 735489433 /nfs/dbraw/zinc/48/94/33/735489433.db2.gz YTAFWJAQOCDJAA-MRXNPFEDSA-N 1 2 319.430 1.012 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3cscn3)C2)C1 ZINC000972697612 735489436 /nfs/dbraw/zinc/48/94/36/735489436.db2.gz YTAFWJAQOCDJAA-MRXNPFEDSA-N 1 2 319.430 1.012 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3CCCC3)C2)nn1 ZINC001098595128 736231307 /nfs/dbraw/zinc/23/13/07/736231307.db2.gz GCHYUEXKUISJGH-INIZCTEOSA-N 1 2 315.421 1.355 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3CCC[C@H](C)C3)C2)nn1 ZINC001105314533 737819560 /nfs/dbraw/zinc/81/95/60/737819560.db2.gz VGGPWJSSOPFGPD-KBPBESRZSA-N 1 2 315.421 1.211 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCC[NH2+]Cc2nc3c(o2)CCCC3)c1 ZINC001125369869 732921663 /nfs/dbraw/zinc/92/16/63/732921663.db2.gz DAWBXMPXVRYJCC-UHFFFAOYSA-N 1 2 313.361 1.273 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CNC(=O)c1cnc([C@H]2CCCO2)s1 ZINC001038793149 738675314 /nfs/dbraw/zinc/67/53/14/738675314.db2.gz ZVJKNMQLAAHTCO-QWHCGFSZSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1cnc([C@H]2CCCO2)s1 ZINC001038793149 738675317 /nfs/dbraw/zinc/67/53/17/738675317.db2.gz ZVJKNMQLAAHTCO-QWHCGFSZSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)cc3F)[C@H]2C1 ZINC001083204540 733190792 /nfs/dbraw/zinc/19/07/92/733190792.db2.gz UZWLFMBMLRVQPB-JKSUJKDBSA-N 1 2 302.349 1.293 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)cc3F)[C@H]2C1 ZINC001083204540 733190794 /nfs/dbraw/zinc/19/07/94/733190794.db2.gz UZWLFMBMLRVQPB-JKSUJKDBSA-N 1 2 302.349 1.293 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CCN(C)c1cc[nH+]c(C)n1)C(C)C ZINC001125589243 734715170 /nfs/dbraw/zinc/71/51/70/734715170.db2.gz OETIITFESHKROZ-AWEZNQCLSA-N 1 2 318.421 1.497 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3CC[C@H](CC)CC3)[C@H]2C1 ZINC001083253967 734899607 /nfs/dbraw/zinc/89/96/07/734899607.db2.gz UAXMJGPEYYDPEG-LUKYLMHMSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3CC[C@H](CC)CC3)[C@H]2C1 ZINC001083253967 734899608 /nfs/dbraw/zinc/89/96/08/734899608.db2.gz UAXMJGPEYYDPEG-LUKYLMHMSA-N 1 2 304.434 1.748 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)ccc3C)[C@H]2C1 ZINC001083258596 735064802 /nfs/dbraw/zinc/06/48/02/735064802.db2.gz MBQAZJWBEHFGKJ-ZWKOTPCHSA-N 1 2 312.413 1.852 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)ccc3C)[C@H]2C1 ZINC001083258596 735064803 /nfs/dbraw/zinc/06/48/03/735064803.db2.gz MBQAZJWBEHFGKJ-ZWKOTPCHSA-N 1 2 312.413 1.852 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001104489323 735244510 /nfs/dbraw/zinc/24/45/10/735244510.db2.gz SMCGLDQUOWWXTK-CYBMUJFWSA-N 1 2 317.437 1.690 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001104489323 735244514 /nfs/dbraw/zinc/24/45/14/735244514.db2.gz SMCGLDQUOWWXTK-CYBMUJFWSA-N 1 2 317.437 1.690 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](F)c3ccccc3)C2)C1 ZINC000972576183 735266353 /nfs/dbraw/zinc/26/63/53/735266353.db2.gz BDPPYZGYKPXOAL-WMZOPIPTSA-N 1 2 316.376 1.634 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](F)c3ccccc3)C2)C1 ZINC000972576183 735266354 /nfs/dbraw/zinc/26/63/54/735266354.db2.gz BDPPYZGYKPXOAL-WMZOPIPTSA-N 1 2 316.376 1.634 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1COCCO1 ZINC001024338754 735781426 /nfs/dbraw/zinc/78/14/26/735781426.db2.gz CAELMYDJAGKACQ-CHWSQXEVSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1COCCO1 ZINC001024338754 735781428 /nfs/dbraw/zinc/78/14/28/735781428.db2.gz CAELMYDJAGKACQ-CHWSQXEVSA-N 1 2 302.802 1.125 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)N(C)C(=O)Cc1c[nH+]cn1C ZINC001104623483 736462275 /nfs/dbraw/zinc/46/22/75/736462275.db2.gz BWIVKXWBELRWGU-GFCCVEGCSA-N 1 2 312.377 1.188 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC001025908755 737021578 /nfs/dbraw/zinc/02/15/78/737021578.db2.gz XPZUPLQQLLFUJD-BQHCWPDZSA-N 1 2 312.417 1.145 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC001025908755 737021583 /nfs/dbraw/zinc/02/15/83/737021583.db2.gz XPZUPLQQLLFUJD-BQHCWPDZSA-N 1 2 312.417 1.145 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCCCC3(C)C)[C@H]2C1 ZINC001083287292 737033345 /nfs/dbraw/zinc/03/33/45/737033345.db2.gz AVYZWPDBXCZRRB-OWCLPIDISA-N 1 2 304.434 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCCCC3(C)C)[C@H]2C1 ZINC001083287292 737033346 /nfs/dbraw/zinc/03/33/46/737033346.db2.gz AVYZWPDBXCZRRB-OWCLPIDISA-N 1 2 304.434 1.748 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3csc(COC)n3)[C@H]2C1 ZINC001083290115 737118866 /nfs/dbraw/zinc/11/88/66/737118866.db2.gz JEQQXYVKUNOWMG-QWHCGFSZSA-N 1 2 323.418 1.001 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3csc(COC)n3)[C@H]2C1 ZINC001083290115 737118867 /nfs/dbraw/zinc/11/88/67/737118867.db2.gz JEQQXYVKUNOWMG-QWHCGFSZSA-N 1 2 323.418 1.001 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001104858041 737294041 /nfs/dbraw/zinc/29/40/41/737294041.db2.gz XCWVRKDZIJTJGE-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)N(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001104858041 737294045 /nfs/dbraw/zinc/29/40/45/737294045.db2.gz XCWVRKDZIJTJGE-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)c3ccccc3)C2)nn1 ZINC001105139765 737577256 /nfs/dbraw/zinc/57/72/56/737577256.db2.gz MDADWEKFWPBUHJ-UHFFFAOYSA-N 1 2 309.373 1.088 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C(C)(C)C(C)C)C2)nn1 ZINC001105249207 737658111 /nfs/dbraw/zinc/65/81/11/737658111.db2.gz OMSAFTNLQBUVFG-UHFFFAOYSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(Cl)C[NH2+]C1CCC(NC(=O)c2[nH]ncc2F)CC1 ZINC001026556004 737659452 /nfs/dbraw/zinc/65/94/52/737659452.db2.gz XQPNWPZLTSVIPH-UHFFFAOYSA-N 1 2 300.765 1.932 20 30 DDEDLO C=CCCC(=O)N(C)CCN(C)c1[nH+]cnc2c1cnn2C ZINC001105349874 738978299 /nfs/dbraw/zinc/97/82/99/738978299.db2.gz ULQSUHMMXJPIFC-UHFFFAOYSA-N 1 2 302.382 1.224 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001125946131 737868251 /nfs/dbraw/zinc/86/82/51/737868251.db2.gz RZZGKCSRWSTEAR-ZDUSSCGKSA-N 1 2 322.409 1.567 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001125966799 737978608 /nfs/dbraw/zinc/97/86/08/737978608.db2.gz CGRODZWJSKRQID-LBPRGKRZSA-N 1 2 308.382 1.176 20 30 DDEDLO COc1nscc1C[N@H+](C)[C@@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027330520 738202814 /nfs/dbraw/zinc/20/28/14/738202814.db2.gz ROHIVEQMSPFFJI-DGCLKSJQSA-N 1 2 322.434 1.734 20 30 DDEDLO COc1nscc1C[N@@H+](C)[C@@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027330520 738202818 /nfs/dbraw/zinc/20/28/18/738202818.db2.gz ROHIVEQMSPFFJI-DGCLKSJQSA-N 1 2 322.434 1.734 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2cccnc21 ZINC001032582464 751394862 /nfs/dbraw/zinc/39/48/62/751394862.db2.gz ZFNSIQHDWOMQHF-YESZJQIVSA-N 1 2 309.413 1.810 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2cccnc21 ZINC001032582464 751394866 /nfs/dbraw/zinc/39/48/66/751394866.db2.gz ZFNSIQHDWOMQHF-YESZJQIVSA-N 1 2 309.413 1.810 20 30 DDEDLO N#Cc1ccc(N2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001058771694 738253518 /nfs/dbraw/zinc/25/35/18/738253518.db2.gz MZDYNRHWCPFECP-GASCZTMLSA-N 1 2 322.372 1.099 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001032583728 751400010 /nfs/dbraw/zinc/40/00/10/751400010.db2.gz ATTPRZYNBONWLQ-KCQAQPDRSA-N 1 2 314.389 1.183 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001032583728 751400015 /nfs/dbraw/zinc/40/00/15/751400015.db2.gz ATTPRZYNBONWLQ-KCQAQPDRSA-N 1 2 314.389 1.183 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2ccn(C)n2)cc1 ZINC001028065461 738982620 /nfs/dbraw/zinc/98/26/20/738982620.db2.gz BCRPMJWGDHQTBN-SFHVURJKSA-N 1 2 322.412 1.796 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2ccn(C)n2)cc1 ZINC001028065461 738982621 /nfs/dbraw/zinc/98/26/21/738982621.db2.gz BCRPMJWGDHQTBN-SFHVURJKSA-N 1 2 322.412 1.796 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+][C@@H](C)c1nc(CCCC)no1 ZINC001126356266 739358086 /nfs/dbraw/zinc/35/80/86/739358086.db2.gz MNEGLCDOKSJXNN-ZDUSSCGKSA-N 1 2 306.410 1.983 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001028329260 739367371 /nfs/dbraw/zinc/36/73/71/739367371.db2.gz JDWSXANNMQRBCY-JSGCOSHPSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001028329260 739367376 /nfs/dbraw/zinc/36/73/76/739367376.db2.gz JDWSXANNMQRBCY-JSGCOSHPSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)nn2C)C1 ZINC001035385575 751455554 /nfs/dbraw/zinc/45/55/54/751455554.db2.gz FIVOGVVFFGQIJZ-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)nn2C)C1 ZINC001035385575 751455558 /nfs/dbraw/zinc/45/55/58/751455558.db2.gz FIVOGVVFFGQIJZ-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001035376138 751472315 /nfs/dbraw/zinc/47/23/15/751472315.db2.gz VUPPFMAEZAJTOJ-AWEZNQCLSA-N 1 2 320.437 1.615 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001035376138 751472318 /nfs/dbraw/zinc/47/23/18/751472318.db2.gz VUPPFMAEZAJTOJ-AWEZNQCLSA-N 1 2 320.437 1.615 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2nn(C)cc2Cl)C1 ZINC001035379883 751477383 /nfs/dbraw/zinc/47/73/83/751477383.db2.gz MTKMOGYKQUJHTB-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2nn(C)cc2Cl)C1 ZINC001035379883 751477387 /nfs/dbraw/zinc/47/73/87/751477387.db2.gz MTKMOGYKQUJHTB-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3ncn(C)c32)C1 ZINC001035425233 751483755 /nfs/dbraw/zinc/48/37/55/751483755.db2.gz UHWNNUWDNPBYBZ-ZDUSSCGKSA-N 1 2 314.389 1.190 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3ncn(C)c32)C1 ZINC001035425233 751483758 /nfs/dbraw/zinc/48/37/58/751483758.db2.gz UHWNNUWDNPBYBZ-ZDUSSCGKSA-N 1 2 314.389 1.190 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001059103399 739964317 /nfs/dbraw/zinc/96/43/17/739964317.db2.gz IQJMMGVSKZWHTF-HNNXBMFYSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001059103399 739964320 /nfs/dbraw/zinc/96/43/20/739964320.db2.gz IQJMMGVSKZWHTF-HNNXBMFYSA-N 1 2 324.388 1.630 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2-c2ccoc2)C1 ZINC001035455706 751503910 /nfs/dbraw/zinc/50/39/10/751503910.db2.gz YGBNIKSNPIJMCP-ZDUSSCGKSA-N 1 2 316.361 1.286 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2-c2ccoc2)C1 ZINC001035455706 751503911 /nfs/dbraw/zinc/50/39/11/751503911.db2.gz YGBNIKSNPIJMCP-ZDUSSCGKSA-N 1 2 316.361 1.286 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(Cl)c2F)C1 ZINC001035487428 751540496 /nfs/dbraw/zinc/54/04/96/751540496.db2.gz AAWKYXVCBBTGSN-LBPRGKRZSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(Cl)c2F)C1 ZINC001035487428 751540498 /nfs/dbraw/zinc/54/04/98/751540498.db2.gz AAWKYXVCBBTGSN-LBPRGKRZSA-N 1 2 324.783 1.933 20 30 DDEDLO CC[C@H]1CN(C(=O)CCc2[nH+]ccn2C)CC[C@H]1NCC#N ZINC001037896542 751544342 /nfs/dbraw/zinc/54/43/42/751544342.db2.gz XZFAFOUWWORMKY-UONOGXRCSA-N 1 2 303.410 1.093 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)on2)C1 ZINC001035462687 751547578 /nfs/dbraw/zinc/54/75/78/751547578.db2.gz HHGWZPNCHXEADM-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)on2)C1 ZINC001035462687 751547581 /nfs/dbraw/zinc/54/75/81/751547581.db2.gz HHGWZPNCHXEADM-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CCNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001059315520 740609904 /nfs/dbraw/zinc/60/99/04/740609904.db2.gz JFIAGZXUVHOZRT-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CCNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001059315520 740609906 /nfs/dbraw/zinc/60/99/06/740609906.db2.gz JFIAGZXUVHOZRT-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001087767063 740738787 /nfs/dbraw/zinc/73/87/87/740738787.db2.gz HWOMLTPNRAQMJX-LSDHHAIUSA-N 1 2 324.388 1.459 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CC[N@H+]1Cc1cccc(C#N)c1 ZINC001087767063 740738789 /nfs/dbraw/zinc/73/87/89/740738789.db2.gz HWOMLTPNRAQMJX-LSDHHAIUSA-N 1 2 324.388 1.459 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2nccc3ccccc32)C1 ZINC001035495488 751578992 /nfs/dbraw/zinc/57/89/92/751578992.db2.gz IJCDIOWNUOWKOE-OAHLLOKOSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2nccc3ccccc32)C1 ZINC001035495488 751579000 /nfs/dbraw/zinc/57/90/00/751579000.db2.gz IJCDIOWNUOWKOE-OAHLLOKOSA-N 1 2 311.385 1.851 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnoc2C(C)C)C1 ZINC001035568565 751622384 /nfs/dbraw/zinc/62/23/84/751622384.db2.gz VDJGESNXIPAZKS-CYBMUJFWSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnoc2C(C)C)C1 ZINC001035568565 751622387 /nfs/dbraw/zinc/62/23/87/751622387.db2.gz VDJGESNXIPAZKS-CYBMUJFWSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2n[nH]c3c2C[C@H](C)CC3)C1 ZINC001035565344 751647748 /nfs/dbraw/zinc/64/77/48/751647748.db2.gz JMVWGSVBMFVHOP-OLZOCXBDSA-N 1 2 318.421 1.151 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2n[nH]c3c2C[C@H](C)CC3)C1 ZINC001035565344 751647751 /nfs/dbraw/zinc/64/77/51/751647751.db2.gz JMVWGSVBMFVHOP-OLZOCXBDSA-N 1 2 318.421 1.151 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](N(Cc2ccccc2)C(=O)c2nc[nH]n2)C1 ZINC001029921182 741589396 /nfs/dbraw/zinc/58/93/96/741589396.db2.gz FRAQAVYKURLHHR-MRXNPFEDSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](N(Cc2ccccc2)C(=O)c2nc[nH]n2)C1 ZINC001029921182 741589401 /nfs/dbraw/zinc/58/94/01/741589401.db2.gz FRAQAVYKURLHHR-MRXNPFEDSA-N 1 2 323.400 1.545 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(COC)CCCCC2)C1 ZINC001107982333 751654682 /nfs/dbraw/zinc/65/46/82/751654682.db2.gz UCKXQBBELRWBBC-KRWDZBQOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(COC)CCCCC2)C1 ZINC001107982333 751654686 /nfs/dbraw/zinc/65/46/86/751654686.db2.gz UCKXQBBELRWBBC-KRWDZBQOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(COC)cc2)C1 ZINC001035600348 751658663 /nfs/dbraw/zinc/65/86/63/751658663.db2.gz OOFGECZNRHWAMH-KRWDZBQOSA-N 1 2 318.417 1.840 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(COC)cc2)C1 ZINC001035600348 751658667 /nfs/dbraw/zinc/65/86/67/751658667.db2.gz OOFGECZNRHWAMH-KRWDZBQOSA-N 1 2 318.417 1.840 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@H](NC(=O)c2ccc(C#N)[nH]2)[C@H]1C ZINC001088529954 741703091 /nfs/dbraw/zinc/70/30/91/741703091.db2.gz XBWXSIVJHNFDTI-YPMHNXCESA-N 1 2 313.361 1.576 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@H](NC(=O)c2ccc(C#N)[nH]2)[C@H]1C ZINC001088529954 741703093 /nfs/dbraw/zinc/70/30/93/741703093.db2.gz XBWXSIVJHNFDTI-YPMHNXCESA-N 1 2 313.361 1.576 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001075885731 741716062 /nfs/dbraw/zinc/71/60/62/741716062.db2.gz LYATWDRBNJDSTN-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sc(C3CC3)nc2C)[C@@H](O)C1 ZINC001083455638 741995724 /nfs/dbraw/zinc/99/57/24/741995724.db2.gz LTIPNTSUXDGCQI-NEPJUHHUSA-N 1 2 307.419 1.290 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sc(C3CC3)nc2C)[C@@H](O)C1 ZINC001083455638 741995727 /nfs/dbraw/zinc/99/57/27/741995727.db2.gz LTIPNTSUXDGCQI-NEPJUHHUSA-N 1 2 307.419 1.290 20 30 DDEDLO Cc1nn(C)cc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038112243 742196728 /nfs/dbraw/zinc/19/67/28/742196728.db2.gz FYECAECPFHYBLR-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1nn(C)cc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038112243 742196731 /nfs/dbraw/zinc/19/67/31/742196731.db2.gz FYECAECPFHYBLR-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1ncoc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038132440 742386813 /nfs/dbraw/zinc/38/68/13/742386813.db2.gz ITTLDKQTHIQHFO-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1ncoc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038132440 742386820 /nfs/dbraw/zinc/38/68/20/742386820.db2.gz ITTLDKQTHIQHFO-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO C#CCCCCC(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001076210424 742599406 /nfs/dbraw/zinc/59/94/06/742599406.db2.gz PUJUZHJXDLTUJS-AWEZNQCLSA-N 1 2 318.421 1.167 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(C[NH+](Cc3ccc(F)cn3)C2)CC1 ZINC001035674058 751754545 /nfs/dbraw/zinc/75/45/45/751754545.db2.gz SPHKOKXQKQNNIO-CYBMUJFWSA-N 1 2 316.380 1.805 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cccc4[nH]cnc43)[C@@H]2C1 ZINC001076389117 742702991 /nfs/dbraw/zinc/70/29/91/742702991.db2.gz GWQHNFUQVMBETP-XJKSGUPXSA-N 1 2 308.385 1.733 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cccc4[nH]cnc43)[C@@H]2C1 ZINC001076389117 742702994 /nfs/dbraw/zinc/70/29/94/742702994.db2.gz GWQHNFUQVMBETP-XJKSGUPXSA-N 1 2 308.385 1.733 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001181123708 743074201 /nfs/dbraw/zinc/07/42/01/743074201.db2.gz IBFZHQAEFAYTHJ-WDEREUQCSA-N 1 2 323.422 1.140 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC2CC[NH+](Cc3cnns3)CC2)c1 ZINC001002551522 743233132 /nfs/dbraw/zinc/23/31/32/743233132.db2.gz NNKYSFPDXFMLLN-UHFFFAOYSA-N 1 2 316.390 1.132 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2CC(O)(c3ccccc3)C2)CC1 ZINC001181619952 743276308 /nfs/dbraw/zinc/27/63/08/743276308.db2.gz TVMRDTTYQKXOTF-UHFFFAOYSA-N 1 2 300.402 1.614 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C)c2CCC)C1 ZINC001108048642 743317467 /nfs/dbraw/zinc/31/74/67/743317467.db2.gz ZLWWVBJMVISUKY-KRWDZBQOSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C)c2CCC)C1 ZINC001108048642 743317474 /nfs/dbraw/zinc/31/74/74/743317474.db2.gz ZLWWVBJMVISUKY-KRWDZBQOSA-N 1 2 320.437 1.379 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)CCNC(=O)c1cccc2[nH+]ccn21 ZINC001077357470 743424023 /nfs/dbraw/zinc/42/40/23/743424023.db2.gz WLIHHRGRPIYUOA-RYUDHWBXSA-N 1 2 313.361 1.119 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CCc2cc(C)ccc2O1 ZINC001038511448 743457777 /nfs/dbraw/zinc/45/77/77/743457777.db2.gz NQLSFSPKWRWGDS-WMZOPIPTSA-N 1 2 312.413 1.902 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CCc2cc(C)ccc2O1 ZINC001038511448 743457782 /nfs/dbraw/zinc/45/77/82/743457782.db2.gz NQLSFSPKWRWGDS-WMZOPIPTSA-N 1 2 312.413 1.902 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001182214959 743530558 /nfs/dbraw/zinc/53/05/58/743530558.db2.gz MZZSMSXNYGKNMV-MLGOLLRUSA-N 1 2 322.409 1.040 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH2+]Cc2nc([C@@H](C)OC)no2)C1 ZINC001182933874 743759027 /nfs/dbraw/zinc/75/90/27/743759027.db2.gz VIPLAHVBCGHBSH-CHWSQXEVSA-N 1 2 322.409 1.824 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](O)CNc1cc(C)[nH+]c(C(C)C)n1 ZINC001105795758 743882803 /nfs/dbraw/zinc/88/28/03/743882803.db2.gz HDDZQMNOOKTKQV-AWEZNQCLSA-N 1 2 318.421 1.601 20 30 DDEDLO CN(C(=O)[C@@H]1CCCC[N@@H+]1Cc1ccccc1C#N)C1COC1 ZINC001183831173 743915058 /nfs/dbraw/zinc/91/50/58/743915058.db2.gz LUFAMQWJOQLTSG-KRWDZBQOSA-N 1 2 313.401 1.770 20 30 DDEDLO CN(C(=O)[C@@H]1CCCC[N@H+]1Cc1ccccc1C#N)C1COC1 ZINC001183831173 743915063 /nfs/dbraw/zinc/91/50/63/743915063.db2.gz LUFAMQWJOQLTSG-KRWDZBQOSA-N 1 2 313.401 1.770 20 30 DDEDLO Cc1ncsc1CC[NH+]1CC(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001030195691 743927753 /nfs/dbraw/zinc/92/77/53/743927753.db2.gz GJQJLKPCCXOQGQ-UHFFFAOYSA-N 1 2 315.402 1.308 20 30 DDEDLO CO[C@H](C[NH+]1CC(NC(=O)c2c[nH]c(C#N)c2)C1)c1ccccc1 ZINC001030234712 743969722 /nfs/dbraw/zinc/96/97/22/743969722.db2.gz CRMVTINGFDZIRE-QGZVFWFLSA-N 1 2 324.384 1.688 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2c(CC)nn(C)c2CC)C1 ZINC001030458109 744173927 /nfs/dbraw/zinc/17/39/27/744173927.db2.gz IHZYPDCBVDYIHH-UHFFFAOYSA-N 1 2 304.438 1.464 20 30 DDEDLO Cc1cc(N[C@@H](CO)CNC(=O)C#CC(C)C)nc(C(C)C)[nH+]1 ZINC001121520822 782547386 /nfs/dbraw/zinc/54/73/86/782547386.db2.gz RTIKMWXNLBOPFR-CQSZACIVSA-N 1 2 318.421 1.457 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C(C)(C)C)C2)nn1 ZINC001186019801 744327065 /nfs/dbraw/zinc/32/70/65/744327065.db2.gz VXTQFZWKBBBKLD-OAHLLOKOSA-N 1 2 317.437 1.601 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001088929329 744373363 /nfs/dbraw/zinc/37/33/63/744373363.db2.gz VCDOZQNNGKSPJD-RISCZKNCSA-N 1 2 310.361 1.069 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccc(F)cn1 ZINC001110391544 744516306 /nfs/dbraw/zinc/51/63/06/744516306.db2.gz SJSVWCYUJRSQHU-OAGGEKHMSA-N 1 2 319.380 1.645 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(F)cn1 ZINC001110391544 744516308 /nfs/dbraw/zinc/51/63/08/744516308.db2.gz SJSVWCYUJRSQHU-OAGGEKHMSA-N 1 2 319.380 1.645 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001187882863 744632181 /nfs/dbraw/zinc/63/21/81/744632181.db2.gz YCYHCVRWHXVIQW-MELADBBJSA-N 1 2 319.430 1.214 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001187882863 744632183 /nfs/dbraw/zinc/63/21/83/744632183.db2.gz YCYHCVRWHXVIQW-MELADBBJSA-N 1 2 319.430 1.214 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)c3ccc(Cl)[nH]3)CC2)C1 ZINC001046062971 744699143 /nfs/dbraw/zinc/69/91/43/744699143.db2.gz YIFCDSOWPMURSI-GFCCVEGCSA-N 1 2 321.812 1.024 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001077514713 744784372 /nfs/dbraw/zinc/78/43/72/744784372.db2.gz YTCYBEROLPEJOP-YEHMFOAPSA-N 1 2 317.364 1.015 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001077514713 744784373 /nfs/dbraw/zinc/78/43/73/744784373.db2.gz YTCYBEROLPEJOP-YEHMFOAPSA-N 1 2 317.364 1.015 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@@H](CNCC#N)[C@H](C)C3)ccn12 ZINC001106131053 744865663 /nfs/dbraw/zinc/86/56/63/744865663.db2.gz KRODCFIKWUBOLM-IUODEOHRSA-N 1 2 311.389 1.464 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189917542 745032054 /nfs/dbraw/zinc/03/20/54/745032054.db2.gz HRRJAVQRWCTTOT-RDJZCZTQSA-N 1 2 320.437 1.088 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189917542 745032060 /nfs/dbraw/zinc/03/20/60/745032060.db2.gz HRRJAVQRWCTTOT-RDJZCZTQSA-N 1 2 320.437 1.088 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001189919245 745034861 /nfs/dbraw/zinc/03/48/61/745034861.db2.gz ZTWWTZDFLVESGU-WMLDXEAASA-N 1 2 321.421 1.651 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001189919245 745034868 /nfs/dbraw/zinc/03/48/68/745034868.db2.gz ZTWWTZDFLVESGU-WMLDXEAASA-N 1 2 321.421 1.651 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C1CC1)C2 ZINC001110422074 745101463 /nfs/dbraw/zinc/10/14/63/745101463.db2.gz UUPDQDJKIMELLK-NGFQHRJXSA-N 1 2 305.422 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C1CC1)C2 ZINC001110422074 745101465 /nfs/dbraw/zinc/10/14/65/745101465.db2.gz UUPDQDJKIMELLK-NGFQHRJXSA-N 1 2 305.422 1.056 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nocc2C)C1 ZINC001190106271 745102322 /nfs/dbraw/zinc/10/23/22/745102322.db2.gz SSEGVWWMMWSLRI-KGLIPLIRSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001190106271 745102324 /nfs/dbraw/zinc/10/23/24/745102324.db2.gz SSEGVWWMMWSLRI-KGLIPLIRSA-N 1 2 305.378 1.054 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001060462647 745141464 /nfs/dbraw/zinc/14/14/64/745141464.db2.gz HMTRFLJCTLPVJX-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCC1(S(=O)(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)CC1 ZINC001190798621 745334883 /nfs/dbraw/zinc/33/48/83/745334883.db2.gz VQLCPQLLZSZMPK-CQSZACIVSA-N 1 2 308.403 1.973 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CN2CCCC2=O)[C@H]1C ZINC000993035007 745394839 /nfs/dbraw/zinc/39/48/39/745394839.db2.gz LEPSAVVPYVBLSH-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CN2CCCC2=O)[C@H]1C ZINC000993035007 745394845 /nfs/dbraw/zinc/39/48/45/745394845.db2.gz LEPSAVVPYVBLSH-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO Cn1cncc1C[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007135362 752032586 /nfs/dbraw/zinc/03/25/86/752032586.db2.gz WRRQCNAMBNYGKA-ZDUSSCGKSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1cncc1C[N@H+]1CCC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007135362 752032590 /nfs/dbraw/zinc/03/25/90/752032590.db2.gz WRRQCNAMBNYGKA-ZDUSSCGKSA-N 1 2 312.377 1.014 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cnn(C3CCCCC3)c2)C1 ZINC001031211546 745813591 /nfs/dbraw/zinc/81/35/91/745813591.db2.gz YXWZYDGGKSGMMQ-UHFFFAOYSA-N 1 2 300.406 1.826 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cnn(C)c2N)[C@H]1C ZINC000993235976 745924872 /nfs/dbraw/zinc/92/48/72/745924872.db2.gz SWXOYWILTAHUST-PWSUYJOCSA-N 1 2 311.817 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cnn(C)c2N)[C@H]1C ZINC000993235976 745924873 /nfs/dbraw/zinc/92/48/73/745924873.db2.gz SWXOYWILTAHUST-PWSUYJOCSA-N 1 2 311.817 1.338 20 30 DDEDLO N#CCS(=O)(=O)N[C@H]1CC[N@@H+](Cc2ccccc2Cl)C1 ZINC001193003067 745948045 /nfs/dbraw/zinc/94/80/45/745948045.db2.gz UZPDXTSDMYCYIT-LBPRGKRZSA-N 1 2 313.810 1.357 20 30 DDEDLO N#CCS(=O)(=O)N[C@H]1CC[N@H+](Cc2ccccc2Cl)C1 ZINC001193003067 745948047 /nfs/dbraw/zinc/94/80/47/745948047.db2.gz UZPDXTSDMYCYIT-LBPRGKRZSA-N 1 2 313.810 1.357 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2nnc(C)[nH]2)[C@@H]1C ZINC000993324175 745990282 /nfs/dbraw/zinc/99/02/82/745990282.db2.gz GWKNCPVFRUJCAS-JQWIXIFHSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2nnc(C)[nH]2)[C@@H]1C ZINC000993324175 745990284 /nfs/dbraw/zinc/99/02/84/745990284.db2.gz GWKNCPVFRUJCAS-JQWIXIFHSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@H+](C[C@H](F)CC)C[C@H]1O ZINC001193312586 746074453 /nfs/dbraw/zinc/07/44/53/746074453.db2.gz ISNVXDAWLNWJOR-IJLUTSLNSA-N 1 2 306.809 1.675 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](C[C@H](F)CC)C[C@H]1O ZINC001193312586 746074461 /nfs/dbraw/zinc/07/44/61/746074461.db2.gz ISNVXDAWLNWJOR-IJLUTSLNSA-N 1 2 306.809 1.675 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cn(CC)nn2)[C@@H]1C ZINC000994106438 746459568 /nfs/dbraw/zinc/45/95/68/746459568.db2.gz MMDZZXYCICDPNN-RYUDHWBXSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cn(CC)nn2)[C@@H]1C ZINC000994106438 746459571 /nfs/dbraw/zinc/45/95/71/746459571.db2.gz MMDZZXYCICDPNN-RYUDHWBXSA-N 1 2 311.817 1.633 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cncn2C)CC1 ZINC001195173956 746544889 /nfs/dbraw/zinc/54/48/89/746544889.db2.gz HIZHQEOEYVTFIQ-HNNXBMFYSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cncn2C)CC1 ZINC001195173956 746544892 /nfs/dbraw/zinc/54/48/92/746544892.db2.gz HIZHQEOEYVTFIQ-HNNXBMFYSA-N 1 2 320.437 1.436 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195306984 746572492 /nfs/dbraw/zinc/57/24/92/746572492.db2.gz IYGPAQOAERNCHF-HZPDHXFCSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195306984 746572496 /nfs/dbraw/zinc/57/24/96/746572496.db2.gz IYGPAQOAERNCHF-HZPDHXFCSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2ccc(OC)c(OC)c2)C1 ZINC001031338309 746617891 /nfs/dbraw/zinc/61/78/91/746617891.db2.gz BMRBNEUGBLCSJZ-UHFFFAOYSA-N 1 2 302.374 1.070 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+]([C@H](C)c2ncc(C)o2)CC1 ZINC001195651878 746673479 /nfs/dbraw/zinc/67/34/79/746673479.db2.gz CSUQCZSJAPVCDP-RHSMWYFYSA-N 1 2 321.421 1.905 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+]([C@H](C)c2ncc(C)o2)CC1 ZINC001195651878 746673482 /nfs/dbraw/zinc/67/34/82/746673482.db2.gz CSUQCZSJAPVCDP-RHSMWYFYSA-N 1 2 321.421 1.905 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)C[C@H](C)Nc1cc[nH+]c(C)n1 ZINC001089397130 746784768 /nfs/dbraw/zinc/78/47/68/746784768.db2.gz SKIAWNJIYZCYPQ-STQMWFEESA-N 1 2 304.394 1.520 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2ccsc2C)[C@H](OC)C1 ZINC001212231165 746829597 /nfs/dbraw/zinc/82/95/97/746829597.db2.gz OPTHYLUXZOVZHV-HUUCEWRRSA-N 1 2 322.430 1.135 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2ccsc2C)[C@H](OC)C1 ZINC001212231165 746829602 /nfs/dbraw/zinc/82/96/02/746829602.db2.gz OPTHYLUXZOVZHV-HUUCEWRRSA-N 1 2 322.430 1.135 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1NC(=O)C#CC(C)C ZINC001212231358 746831303 /nfs/dbraw/zinc/83/13/03/746831303.db2.gz WJUBQTNHMPFRMW-HZPDHXFCSA-N 1 2 319.405 1.266 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1NC(=O)C#CC(C)C ZINC001212231358 746831307 /nfs/dbraw/zinc/83/13/07/746831307.db2.gz WJUBQTNHMPFRMW-HZPDHXFCSA-N 1 2 319.405 1.266 20 30 DDEDLO C=CCOCC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001114310977 746929778 /nfs/dbraw/zinc/92/97/78/746929778.db2.gz GAGRXYNKFDJDFX-ZDCRXTMVSA-N 1 2 310.291 1.136 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)C(F)(F)F ZINC001114310977 746929781 /nfs/dbraw/zinc/92/97/81/746929781.db2.gz GAGRXYNKFDJDFX-ZDCRXTMVSA-N 1 2 310.291 1.136 20 30 DDEDLO C=CCOCC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)C(F)(F)F ZINC001114310977 746929784 /nfs/dbraw/zinc/92/97/84/746929784.db2.gz GAGRXYNKFDJDFX-ZDCRXTMVSA-N 1 2 310.291 1.136 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)C(F)(F)F ZINC001114310977 746929787 /nfs/dbraw/zinc/92/97/87/746929787.db2.gz GAGRXYNKFDJDFX-ZDCRXTMVSA-N 1 2 310.291 1.136 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC001196853078 746990791 /nfs/dbraw/zinc/99/07/91/746990791.db2.gz GRKBINYTJQDSTR-LSDHHAIUSA-N 1 2 309.454 1.504 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC001196853078 746990794 /nfs/dbraw/zinc/99/07/94/746990794.db2.gz GRKBINYTJQDSTR-LSDHHAIUSA-N 1 2 309.454 1.504 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@@H+](Cc2cnnn2CC)CC1 ZINC001196854393 746991981 /nfs/dbraw/zinc/99/19/81/746991981.db2.gz RAIIHTMROVAUKC-CABCVRRESA-N 1 2 319.453 1.791 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@H+](Cc2cnnn2CC)CC1 ZINC001196854393 746991984 /nfs/dbraw/zinc/99/19/84/746991984.db2.gz RAIIHTMROVAUKC-CABCVRRESA-N 1 2 319.453 1.791 20 30 DDEDLO O=C(N[C@@H]1[C@H]2C[N@H+](CCC(F)(F)F)C[C@H]21)C(F)C(F)(F)F ZINC001114311670 747028261 /nfs/dbraw/zinc/02/82/61/747028261.db2.gz REKRVHOZPZKYJK-OSMVPFSASA-N 1 2 322.224 1.886 20 30 DDEDLO O=C(N[C@@H]1[C@H]2C[N@@H+](CCC(F)(F)F)C[C@H]21)C(F)C(F)(F)F ZINC001114311670 747028272 /nfs/dbraw/zinc/02/82/72/747028272.db2.gz REKRVHOZPZKYJK-OSMVPFSASA-N 1 2 322.224 1.886 20 30 DDEDLO O=C(N[C@@H]1[C@H]2C[N@H+](CCC(F)(F)F)C[C@H]21)[C@H](F)C(F)(F)F ZINC001114311670 747028278 /nfs/dbraw/zinc/02/82/78/747028278.db2.gz REKRVHOZPZKYJK-OSMVPFSASA-N 1 2 322.224 1.886 20 30 DDEDLO O=C(N[C@@H]1[C@H]2C[N@@H+](CCC(F)(F)F)C[C@H]21)[C@H](F)C(F)(F)F ZINC001114311670 747028287 /nfs/dbraw/zinc/02/82/87/747028287.db2.gz REKRVHOZPZKYJK-OSMVPFSASA-N 1 2 322.224 1.886 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CC23CCC3)CC1 ZINC001197269381 747121801 /nfs/dbraw/zinc/12/18/01/747121801.db2.gz LXPASTHXEOOMGH-AWEZNQCLSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CC23CCC3)CC1 ZINC001197269381 747121803 /nfs/dbraw/zinc/12/18/03/747121803.db2.gz LXPASTHXEOOMGH-AWEZNQCLSA-N 1 2 305.422 1.013 20 30 DDEDLO Cc1cnc([C@@H](C)[NH+]2CC(CNC(=O)c3c[nH]c(C#N)c3)C2)cn1 ZINC001031622669 747228956 /nfs/dbraw/zinc/22/89/56/747228956.db2.gz CPSGJHIACUAKBJ-GFCCVEGCSA-N 1 2 324.388 1.408 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ncoc2C2CC2)CC1 ZINC001197684329 747236816 /nfs/dbraw/zinc/23/68/16/747236816.db2.gz WOUKYTXKOMRZRC-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ncoc2C2CC2)CC1 ZINC001197684329 747236821 /nfs/dbraw/zinc/23/68/21/747236821.db2.gz WOUKYTXKOMRZRC-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1nocc1C ZINC001110496005 747305419 /nfs/dbraw/zinc/30/54/19/747305419.db2.gz QRBCNOVUHWBPDH-LNCBFAARSA-N 1 2 319.405 1.532 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1nocc1C ZINC001110496005 747305423 /nfs/dbraw/zinc/30/54/23/747305423.db2.gz QRBCNOVUHWBPDH-LNCBFAARSA-N 1 2 319.405 1.532 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)[C@H]2CC2(F)F)C1 ZINC001031655964 747324022 /nfs/dbraw/zinc/32/40/22/747324022.db2.gz PYNYUFLEHHULNY-CQSZACIVSA-N 1 2 305.328 1.761 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+]([C@H](C)c2cnccn2)CC1 ZINC001198324058 747452222 /nfs/dbraw/zinc/45/22/22/747452222.db2.gz KMJKIBCUQADSIY-OAHLLOKOSA-N 1 2 318.421 1.665 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+]([C@H](C)c2cnccn2)CC1 ZINC001198324058 747452228 /nfs/dbraw/zinc/45/22/28/747452228.db2.gz KMJKIBCUQADSIY-OAHLLOKOSA-N 1 2 318.421 1.665 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cnc(C)nc2)CC1 ZINC001198344948 747460875 /nfs/dbraw/zinc/46/08/75/747460875.db2.gz XVYVSNCDFXDOBC-AWEZNQCLSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cnc(C)nc2)CC1 ZINC001198344948 747460879 /nfs/dbraw/zinc/46/08/79/747460879.db2.gz XVYVSNCDFXDOBC-AWEZNQCLSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cncs2)CC1 ZINC001198345073 747460909 /nfs/dbraw/zinc/46/09/09/747460909.db2.gz ZPSLJTSJXXDDLZ-ZDUSSCGKSA-N 1 2 309.435 1.769 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cncs2)CC1 ZINC001198345073 747460910 /nfs/dbraw/zinc/46/09/10/747460910.db2.gz ZPSLJTSJXXDDLZ-ZDUSSCGKSA-N 1 2 309.435 1.769 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC(NC(=O)c3nc[nH]n3)CC2)cc1 ZINC001003813479 747531388 /nfs/dbraw/zinc/53/13/88/747531388.db2.gz ICOCTTXUBSXURM-UHFFFAOYSA-N 1 2 310.361 1.071 20 30 DDEDLO C=CC[NH2+][C@@H](CNC(=O)c1cnn[nH]1)c1ccccc1OC ZINC001198784603 747596451 /nfs/dbraw/zinc/59/64/51/747596451.db2.gz JBZIIUUBXUXKOU-LBPRGKRZSA-N 1 2 301.350 1.060 20 30 DDEDLO C#CCC[NH+]1CC(CNC(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC001031856872 748035488 /nfs/dbraw/zinc/03/54/88/748035488.db2.gz KWGCRZNRBLAFRT-LRDDRELGSA-N 1 2 300.406 1.147 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+]([C@@H](C)c3cnccn3)C2)cc1 ZINC001031891861 748134241 /nfs/dbraw/zinc/13/42/41/748134241.db2.gz CQIWCMFFXYNZQK-AWEZNQCLSA-N 1 2 320.396 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(Cl)o2)[C@H](O)C1 ZINC001090084400 748148905 /nfs/dbraw/zinc/14/89/05/748148905.db2.gz UNQDTEWWSMHBTK-NXEZZACHSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(Cl)o2)[C@H](O)C1 ZINC001090084400 748148910 /nfs/dbraw/zinc/14/89/10/748148910.db2.gz UNQDTEWWSMHBTK-NXEZZACHSA-N 1 2 319.188 1.851 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H]2CC(C)(C)CO2)C1 ZINC001108068212 748227139 /nfs/dbraw/zinc/22/71/39/748227139.db2.gz MSMQKXDNBBNLLB-YOEHRIQHSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H]2CC(C)(C)CO2)C1 ZINC001108068212 748227141 /nfs/dbraw/zinc/22/71/41/748227141.db2.gz MSMQKXDNBBNLLB-YOEHRIQHSA-N 1 2 310.438 1.585 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2C[NH+](Cc3cnc(C)cn3)C2)c1 ZINC001031963618 748311554 /nfs/dbraw/zinc/31/15/54/748311554.db2.gz QDMDVKWYFWCNMQ-UHFFFAOYSA-N 1 2 321.384 1.023 20 30 DDEDLO Cn1cccc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004347500 748348799 /nfs/dbraw/zinc/34/87/99/748348799.db2.gz QAOCFUAJDUXLHV-HNNXBMFYSA-N 1 2 300.406 1.865 20 30 DDEDLO Cn1cccc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004347500 748348807 /nfs/dbraw/zinc/34/88/07/748348807.db2.gz QAOCFUAJDUXLHV-HNNXBMFYSA-N 1 2 300.406 1.865 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)C[C@H]2CCOC2)CC1 ZINC001004380685 748378257 /nfs/dbraw/zinc/37/82/57/748378257.db2.gz OYOBVIOVANGKKY-GDBMZVCRSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)C[C@H]2CCOC2)CC1 ZINC001004380685 748378264 /nfs/dbraw/zinc/37/82/64/748378264.db2.gz OYOBVIOVANGKKY-GDBMZVCRSA-N 1 2 305.422 1.640 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001007671494 752266954 /nfs/dbraw/zinc/26/69/54/752266954.db2.gz YJLIQTXMSIVNOT-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001007671494 752266958 /nfs/dbraw/zinc/26/69/58/752266958.db2.gz YJLIQTXMSIVNOT-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C[C@H](C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1)n1cncn1 ZINC001004416008 748408642 /nfs/dbraw/zinc/40/86/42/748408642.db2.gz WHJHXWSKKPDKLQ-HIFRSBDPSA-N 1 2 316.409 1.066 20 30 DDEDLO C[C@H](C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1)n1cncn1 ZINC001004416008 748408645 /nfs/dbraw/zinc/40/86/45/748408645.db2.gz WHJHXWSKKPDKLQ-HIFRSBDPSA-N 1 2 316.409 1.066 20 30 DDEDLO COC1CC(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)C1 ZINC001004469001 748459660 /nfs/dbraw/zinc/45/96/60/748459660.db2.gz KPSDDYQESBGCOK-UYSNPLJNSA-N 1 2 305.422 1.638 20 30 DDEDLO COC1CC(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)C1 ZINC001004469001 748459665 /nfs/dbraw/zinc/45/96/65/748459665.db2.gz KPSDDYQESBGCOK-UYSNPLJNSA-N 1 2 305.422 1.638 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)Cc2cnoc2)CC1 ZINC001004524880 748512785 /nfs/dbraw/zinc/51/27/85/748512785.db2.gz YAWSWQWKOLQVDY-HNNXBMFYSA-N 1 2 302.378 1.444 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)Cc2cnoc2)CC1 ZINC001004524880 748512790 /nfs/dbraw/zinc/51/27/90/748512790.db2.gz YAWSWQWKOLQVDY-HNNXBMFYSA-N 1 2 302.378 1.444 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001032155036 748744558 /nfs/dbraw/zinc/74/45/58/748744558.db2.gz NTRLENJWFFNWEN-AWEZNQCLSA-N 1 2 305.809 1.597 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108085789 748753729 /nfs/dbraw/zinc/75/37/29/748753729.db2.gz RZGZPAXVNOCTJV-BZNIZROVSA-N 1 2 316.409 1.882 20 30 DDEDLO Cc1nccc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)n1 ZINC001032193777 748797503 /nfs/dbraw/zinc/79/75/03/748797503.db2.gz HJUZBCHKPCMJNG-UHFFFAOYSA-N 1 2 321.384 1.519 20 30 DDEDLO Cc1nccc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)n1 ZINC001032194556 748801353 /nfs/dbraw/zinc/80/13/53/748801353.db2.gz SEQWWTMMBOUGSV-UHFFFAOYSA-N 1 2 320.396 1.498 20 30 DDEDLO Cc1nc(N2CCN(c3ccc(F)cc3C#N)CC2)nc(N)[nH+]1 ZINC000866212624 749158357 /nfs/dbraw/zinc/15/83/57/749158357.db2.gz YHMKIAFNYWITME-UHFFFAOYSA-N 1 2 313.340 1.100 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114454809 749236633 /nfs/dbraw/zinc/23/66/33/749236633.db2.gz MLYOFZMSPZJKMJ-AWEZNQCLSA-N 1 2 300.406 1.920 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N1C[C@@H](NCC#N)C(C)(C)C1)C2 ZINC000995975825 749311380 /nfs/dbraw/zinc/31/13/80/749311380.db2.gz BSLFDPMUIASTOO-SWLSCSKDSA-N 1 2 315.421 1.173 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N1C[C@@H](NCC#N)C(C)(C)C1)CC2 ZINC000995975825 749311388 /nfs/dbraw/zinc/31/13/88/749311388.db2.gz BSLFDPMUIASTOO-SWLSCSKDSA-N 1 2 315.421 1.173 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C/C=C(/C)C=C)nn2)C1 ZINC001107197196 749559236 /nfs/dbraw/zinc/55/92/36/749559236.db2.gz CLENMYSSAZWADT-AUWJEWJLSA-N 1 2 313.405 1.297 20 30 DDEDLO C=CCCC(=O)NC[C@]12CCC[C@H]1[N@H+](Cc1nnnn1C)CC2 ZINC001107319695 749796402 /nfs/dbraw/zinc/79/64/02/749796402.db2.gz QDTBEXPWNSDZLD-CZUORRHYSA-N 1 2 318.425 1.037 20 30 DDEDLO C=CCCC(=O)NC[C@]12CCC[C@H]1[N@@H+](Cc1nnnn1C)CC2 ZINC001107319695 749796405 /nfs/dbraw/zinc/79/64/05/749796405.db2.gz QDTBEXPWNSDZLD-CZUORRHYSA-N 1 2 318.425 1.037 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(OC)cc2)C1 ZINC001108359765 761983043 /nfs/dbraw/zinc/98/30/43/761983043.db2.gz HUNJZGMWTYFNBC-KRWDZBQOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(OC)cc2)C1 ZINC001108359765 761983047 /nfs/dbraw/zinc/98/30/47/761983047.db2.gz HUNJZGMWTYFNBC-KRWDZBQOSA-N 1 2 304.390 1.702 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@]1(C)C[N@H+](CC=C)CCO1 ZINC001108365352 761983806 /nfs/dbraw/zinc/98/38/06/761983806.db2.gz HDPZDLOTIXQBNR-LJQANCHMSA-N 1 2 312.413 1.604 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@]1(C)C[N@@H+](CC=C)CCO1 ZINC001108365352 761983810 /nfs/dbraw/zinc/98/38/10/761983810.db2.gz HDPZDLOTIXQBNR-LJQANCHMSA-N 1 2 312.413 1.604 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCc1c(F)cccc1F ZINC001032318679 749954307 /nfs/dbraw/zinc/95/43/07/749954307.db2.gz VNQKDTJGXPSJJF-AVGNSLFASA-N 1 2 319.355 1.952 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCc1c(F)cccc1F ZINC001032318679 749954312 /nfs/dbraw/zinc/95/43/12/749954312.db2.gz VNQKDTJGXPSJJF-AVGNSLFASA-N 1 2 319.355 1.952 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cnc[nH]c2=O)C1 ZINC001033872994 750033617 /nfs/dbraw/zinc/03/36/17/750033617.db2.gz VBXSZEBGENHDLE-LLVKDONJSA-N 1 2 310.785 1.471 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cnc[nH]c2=O)C1 ZINC001033872994 750033622 /nfs/dbraw/zinc/03/36/22/750033622.db2.gz VBXSZEBGENHDLE-LLVKDONJSA-N 1 2 310.785 1.471 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1C[C@H]3C[C@H]3C1)C2 ZINC001110930497 750236401 /nfs/dbraw/zinc/23/64/01/750236401.db2.gz IQLYRSZQMSFXSD-JOWFITRBSA-N 1 2 317.433 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1C[C@H]3C[C@H]3C1)C2 ZINC001110930497 750236404 /nfs/dbraw/zinc/23/64/04/750236404.db2.gz IQLYRSZQMSFXSD-JOWFITRBSA-N 1 2 317.433 1.056 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)C(=O)C(C)(C)C)CC2(CCOCC2)C1 ZINC001099569952 750254520 /nfs/dbraw/zinc/25/45/20/750254520.db2.gz KOVFHMWCNUVRSB-CQSZACIVSA-N 1 2 320.433 1.222 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)C(=O)C(C)(C)C)CC2(CCOCC2)C1 ZINC001099569952 750254523 /nfs/dbraw/zinc/25/45/23/750254523.db2.gz KOVFHMWCNUVRSB-CQSZACIVSA-N 1 2 320.433 1.222 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)c(=O)[nH]1 ZINC001039429804 762025161 /nfs/dbraw/zinc/02/51/61/762025161.db2.gz BRIUYCHMKIUBSP-NEPJUHHUSA-N 1 2 324.812 1.557 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)c(=O)[nH]1 ZINC001039429804 762025175 /nfs/dbraw/zinc/02/51/75/762025175.db2.gz BRIUYCHMKIUBSP-NEPJUHHUSA-N 1 2 324.812 1.557 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC ZINC001111072201 750348498 /nfs/dbraw/zinc/34/84/98/750348498.db2.gz GDIMIXRTFPVWNU-MCIONIFRSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC ZINC001111072201 750348503 /nfs/dbraw/zinc/34/85/03/750348503.db2.gz GDIMIXRTFPVWNU-MCIONIFRSA-N 1 2 307.438 1.446 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)ccn2C)[C@@H](O)C1 ZINC001090219362 750497400 /nfs/dbraw/zinc/49/74/00/750497400.db2.gz OQGVZJVTABDYDT-STQMWFEESA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)ccn2C)[C@@H](O)C1 ZINC001090219362 750497402 /nfs/dbraw/zinc/49/74/02/750497402.db2.gz OQGVZJVTABDYDT-STQMWFEESA-N 1 2 311.813 1.251 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccn2CC)C1 ZINC001108401646 762054407 /nfs/dbraw/zinc/05/44/07/762054407.db2.gz UGFBENGJQPMRRI-QGZVFWFLSA-N 1 2 303.406 1.352 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccn2CC)C1 ZINC001108401646 762054416 /nfs/dbraw/zinc/05/44/16/762054416.db2.gz UGFBENGJQPMRRI-QGZVFWFLSA-N 1 2 303.406 1.352 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)n1ccnc1CC ZINC001032413358 750667129 /nfs/dbraw/zinc/66/71/29/750667129.db2.gz GLHVYQSRUBBXBK-ILXRZTDVSA-N 1 2 302.422 1.868 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)n1ccnc1CC ZINC001032413358 750667130 /nfs/dbraw/zinc/66/71/30/750667130.db2.gz GLHVYQSRUBBXBK-ILXRZTDVSA-N 1 2 302.422 1.868 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108147472 750763465 /nfs/dbraw/zinc/76/34/65/750763465.db2.gz WPJBIXLXLZNBMK-LLVKDONJSA-N 1 2 320.441 1.765 20 30 DDEDLO C=CCOCC(=O)N1CCO[C@@H]([C@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001114634176 750897376 /nfs/dbraw/zinc/89/73/76/750897376.db2.gz MALZTZLRAUVMAD-GXTWGEPZSA-N 1 2 320.393 1.015 20 30 DDEDLO Cc1nc(N2C[C@@H]3CC[C@H](NC(=O)C#CC4CC4)C[C@@H]3C2)cc[nH+]1 ZINC001114656477 750938766 /nfs/dbraw/zinc/93/87/66/750938766.db2.gz HGKPFTCXMRWZGN-BBWFWOEESA-N 1 2 324.428 1.920 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc([C@@H]2CCOC2)c1 ZINC001032485585 751005798 /nfs/dbraw/zinc/00/57/98/751005798.db2.gz VUVBPKCTHSDVTH-SQNIBIBYSA-N 1 2 310.397 1.722 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc([C@@H]2CCOC2)c1 ZINC001032485585 751005808 /nfs/dbraw/zinc/00/58/08/751005808.db2.gz VUVBPKCTHSDVTH-SQNIBIBYSA-N 1 2 310.397 1.722 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1OC)OCC ZINC001212354778 751081505 /nfs/dbraw/zinc/08/15/05/751081505.db2.gz JHVZXRGJSFDRPT-HZSPNIEDSA-N 1 2 302.390 1.143 20 30 DDEDLO C=C(C)[C@H](CC(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1OC)OCC ZINC001212354778 751081510 /nfs/dbraw/zinc/08/15/10/751081510.db2.gz JHVZXRGJSFDRPT-HZSPNIEDSA-N 1 2 302.390 1.143 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(C(F)(F)F)n1 ZINC001032500636 751100316 /nfs/dbraw/zinc/10/03/16/751100316.db2.gz WQOQHKXADXZKIO-IUCAKERBSA-N 1 2 301.268 1.778 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(C(F)(F)F)n1 ZINC001032500636 751100319 /nfs/dbraw/zinc/10/03/19/751100319.db2.gz WQOQHKXADXZKIO-IUCAKERBSA-N 1 2 301.268 1.778 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2ccncc2n1 ZINC001032524541 751187863 /nfs/dbraw/zinc/18/78/63/751187863.db2.gz HEQCFHACLGODAW-GJZGRUSLSA-N 1 2 306.369 1.552 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2ccncc2n1 ZINC001032524541 751187865 /nfs/dbraw/zinc/18/78/65/751187865.db2.gz HEQCFHACLGODAW-GJZGRUSLSA-N 1 2 306.369 1.552 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@H](NC(=O)c3cn[nH]n3)C2)c1 ZINC001007757206 752321420 /nfs/dbraw/zinc/32/14/20/752321420.db2.gz YRUXYFALOQYAHY-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@H](NC(=O)c3cn[nH]n3)C2)c1 ZINC001007757206 752321427 /nfs/dbraw/zinc/32/14/27/752321427.db2.gz YRUXYFALOQYAHY-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@H](NC(=O)c3cnn[nH]3)C2)c1 ZINC001007757206 752321430 /nfs/dbraw/zinc/32/14/30/752321430.db2.gz YRUXYFALOQYAHY-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@H](NC(=O)c3cnn[nH]3)C2)c1 ZINC001007757206 752321437 /nfs/dbraw/zinc/32/14/37/752321437.db2.gz YRUXYFALOQYAHY-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@](CO)(Nc2cc[nH+]c(C)n2)C1 ZINC001066914838 752645989 /nfs/dbraw/zinc/64/59/89/752645989.db2.gz DPEUICUXAWSLQD-QGZVFWFLSA-N 1 2 318.421 1.763 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCCCCOCC)C1 ZINC001108430161 762148122 /nfs/dbraw/zinc/14/81/22/762148122.db2.gz XCAUHNFWEJHIKO-SFHVURJKSA-N 1 2 324.465 1.814 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCCCCOCC)C1 ZINC001108430161 762148128 /nfs/dbraw/zinc/14/81/28/762148128.db2.gz XCAUHNFWEJHIKO-SFHVURJKSA-N 1 2 324.465 1.814 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1ncc2ccccc21 ZINC001032688398 752729252 /nfs/dbraw/zinc/72/92/52/752729252.db2.gz AKGJNXZZOYVSLX-HOTGVXAUSA-N 1 2 308.385 1.345 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1ncc2ccccc21 ZINC001032688398 752729259 /nfs/dbraw/zinc/72/92/59/752729259.db2.gz AKGJNXZZOYVSLX-HOTGVXAUSA-N 1 2 308.385 1.345 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001062263951 752851969 /nfs/dbraw/zinc/85/19/69/752851969.db2.gz AZRPUDGYIWJZJV-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2cscn2)C1 ZINC001108433285 762166747 /nfs/dbraw/zinc/16/67/47/762166747.db2.gz AMIMIRSRXZFQQU-HNNXBMFYSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2cscn2)C1 ZINC001108433285 762166755 /nfs/dbraw/zinc/16/67/55/762166755.db2.gz AMIMIRSRXZFQQU-HNNXBMFYSA-N 1 2 309.435 1.469 20 30 DDEDLO C#CCOc1ncccc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC#CC ZINC001032738427 753410081 /nfs/dbraw/zinc/41/00/81/753410081.db2.gz FRKZLMDPVMRWLJ-GJZGRUSLSA-N 1 2 309.369 1.016 20 30 DDEDLO C#CCOc1ncccc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#CC ZINC001032738427 753410083 /nfs/dbraw/zinc/41/00/83/753410083.db2.gz FRKZLMDPVMRWLJ-GJZGRUSLSA-N 1 2 309.369 1.016 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccn3c2CCCC3)C1 ZINC001108004525 753485147 /nfs/dbraw/zinc/48/51/47/753485147.db2.gz WCGPXBUYIZGFDO-SFHVURJKSA-N 1 2 317.433 1.831 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccn3c2CCCC3)C1 ZINC001108004525 753485151 /nfs/dbraw/zinc/48/51/51/753485151.db2.gz WCGPXBUYIZGFDO-SFHVURJKSA-N 1 2 317.433 1.831 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)n(C)nc1Cl ZINC001032756953 753499500 /nfs/dbraw/zinc/49/95/00/753499500.db2.gz YFNISWWRGHESIG-RYUDHWBXSA-N 1 2 306.797 1.304 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)n(C)nc1Cl ZINC001032756953 753499504 /nfs/dbraw/zinc/49/95/04/753499504.db2.gz YFNISWWRGHESIG-RYUDHWBXSA-N 1 2 306.797 1.304 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001077968405 753526263 /nfs/dbraw/zinc/52/62/63/753526263.db2.gz NLIOOPSHUDIYJC-GMTAPVOTSA-N 1 2 312.822 1.300 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001077968405 753526267 /nfs/dbraw/zinc/52/62/67/753526267.db2.gz NLIOOPSHUDIYJC-GMTAPVOTSA-N 1 2 312.822 1.300 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)no1 ZINC001010021572 753550849 /nfs/dbraw/zinc/55/08/49/753550849.db2.gz MECSAALTFLQUGH-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)no1 ZINC001010021572 753550854 /nfs/dbraw/zinc/55/08/54/753550854.db2.gz MECSAALTFLQUGH-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)c2ccncc2)C1 ZINC001108022397 753566416 /nfs/dbraw/zinc/56/64/16/753566416.db2.gz HZRJDRNAWYXGAK-QAPCUYQASA-N 1 2 317.433 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)c2ccncc2)C1 ZINC001108022397 753566421 /nfs/dbraw/zinc/56/64/21/753566421.db2.gz HZRJDRNAWYXGAK-QAPCUYQASA-N 1 2 317.433 1.968 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCn1ccc2ccccc21 ZINC001032773045 753585341 /nfs/dbraw/zinc/58/53/41/753585341.db2.gz KUKWDYAFVTUHFZ-IRXDYDNUSA-N 1 2 307.397 1.950 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCn1ccc2ccccc21 ZINC001032773045 753585349 /nfs/dbraw/zinc/58/53/49/753585349.db2.gz KUKWDYAFVTUHFZ-IRXDYDNUSA-N 1 2 307.397 1.950 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(-c3ccco3)s2)C1 ZINC001078036907 753669048 /nfs/dbraw/zinc/66/90/48/753669048.db2.gz VMUHWDDABRUDAV-GHMZBOCLSA-N 1 2 319.386 1.364 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(-c3ccco3)s2)C1 ZINC001078036907 753669051 /nfs/dbraw/zinc/66/90/51/753669051.db2.gz VMUHWDDABRUDAV-GHMZBOCLSA-N 1 2 319.386 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)cc1 ZINC001078037020 753669230 /nfs/dbraw/zinc/66/92/30/753669230.db2.gz BYUYCCGTEHCOFM-ZDUSSCGKSA-N 1 2 324.384 1.258 20 30 DDEDLO C#CCCCC(=O)NCC1(NC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001062860675 753777324 /nfs/dbraw/zinc/77/73/24/753777324.db2.gz QEEDJQJOBIONAB-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cc(C)c(C)cc2O1 ZINC001032795142 753903280 /nfs/dbraw/zinc/90/32/80/753903280.db2.gz IQKVELQLPGWHTJ-JENIJYKNSA-N 1 2 324.424 1.915 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cc(C)c(C)cc2O1 ZINC001032795142 753903282 /nfs/dbraw/zinc/90/32/82/753903282.db2.gz IQKVELQLPGWHTJ-JENIJYKNSA-N 1 2 324.424 1.915 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@H](NC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001063198415 754038326 /nfs/dbraw/zinc/03/83/26/754038326.db2.gz VCQIJWSKPBUCSV-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OCC2CC2)nc1 ZINC001032802276 754096479 /nfs/dbraw/zinc/09/64/79/754096479.db2.gz SKGSWEVXMDXXKG-HOTGVXAUSA-N 1 2 311.385 1.402 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OCC2CC2)nc1 ZINC001032802276 754096485 /nfs/dbraw/zinc/09/64/85/754096485.db2.gz SKGSWEVXMDXXKG-HOTGVXAUSA-N 1 2 311.385 1.402 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)CC[C@H]1Nc1ncccc1C#N ZINC001063751996 754329618 /nfs/dbraw/zinc/32/96/18/754329618.db2.gz ITNQSJOPINGCJB-DZGCQCFKSA-N 1 2 324.388 1.499 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)CC[C@@H]1Nc1ncccc1C#N ZINC001063752000 754330030 /nfs/dbraw/zinc/33/00/30/754330030.db2.gz ITNQSJOPINGCJB-ZFWWWQNUSA-N 1 2 324.388 1.499 20 30 DDEDLO Cc1nc[nH]c1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032820327 754515343 /nfs/dbraw/zinc/51/53/43/754515343.db2.gz SJCPWNIJUQWLMB-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1nc[nH]c1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032820327 754515347 /nfs/dbraw/zinc/51/53/47/754515347.db2.gz SJCPWNIJUQWLMB-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001013933722 755545734 /nfs/dbraw/zinc/54/57/34/755545734.db2.gz MVEMOIDWEPZEII-STQMWFEESA-N 1 2 316.405 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc3n(n2)CCC3)C1 ZINC001079813164 755587522 /nfs/dbraw/zinc/58/75/22/755587522.db2.gz NPPCZELIBKIWBD-QMTHXVAHSA-N 1 2 308.813 1.632 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc3n(n2)CCC3)C1 ZINC001079813164 755587523 /nfs/dbraw/zinc/58/75/23/755587523.db2.gz NPPCZELIBKIWBD-QMTHXVAHSA-N 1 2 308.813 1.632 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc3n2CCC3)C1 ZINC001079878424 755617422 /nfs/dbraw/zinc/61/74/22/755617422.db2.gz YDJFHBJBQBXMNG-ZYHUDNBSSA-N 1 2 308.813 1.632 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc3n2CCC3)C1 ZINC001079878424 755617429 /nfs/dbraw/zinc/61/74/29/755617429.db2.gz YDJFHBJBQBXMNG-ZYHUDNBSSA-N 1 2 308.813 1.632 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080032392 755692101 /nfs/dbraw/zinc/69/21/01/755692101.db2.gz ZYHAAJJWQOJAIC-KBPBESRZSA-N 1 2 318.421 1.401 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080353812 755866581 /nfs/dbraw/zinc/86/65/81/755866581.db2.gz NAOPVQOFNOIRCQ-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)C1 ZINC001014839704 755972119 /nfs/dbraw/zinc/97/21/19/755972119.db2.gz RTBIBCKNMDVUPP-GFCCVEGCSA-N 1 2 314.414 1.966 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)C1 ZINC001014839704 755972121 /nfs/dbraw/zinc/97/21/21/755972121.db2.gz RTBIBCKNMDVUPP-GFCCVEGCSA-N 1 2 314.414 1.966 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001014998744 756062866 /nfs/dbraw/zinc/06/28/66/756062866.db2.gz DURRUWLRKROMSP-QWHCGFSZSA-N 1 2 308.813 1.389 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cccc3nc(C)[nH]c32)C1 ZINC001080725300 756066576 /nfs/dbraw/zinc/06/65/76/756066576.db2.gz JOOGXVNKPLHKRP-MLGOLLRUSA-N 1 2 310.401 1.945 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cccc3nc(C)[nH]c32)C1 ZINC001080725300 756066580 /nfs/dbraw/zinc/06/65/80/756066580.db2.gz JOOGXVNKPLHKRP-MLGOLLRUSA-N 1 2 310.401 1.945 20 30 DDEDLO C#CC[N@H+]1CCC[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001040216870 762442863 /nfs/dbraw/zinc/44/28/63/762442863.db2.gz QPVBOZXOEIIOLS-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001040216870 762442872 /nfs/dbraw/zinc/44/28/72/762442872.db2.gz QPVBOZXOEIIOLS-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@H+]1CCC[C@@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001040216870 762442874 /nfs/dbraw/zinc/44/28/74/762442874.db2.gz QPVBOZXOEIIOLS-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@]2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001040216870 762442878 /nfs/dbraw/zinc/44/28/78/762442878.db2.gz QPVBOZXOEIIOLS-DGCLKSJQSA-N 1 2 306.303 1.835 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1ccoc1 ZINC001081368986 756315393 /nfs/dbraw/zinc/31/53/93/756315393.db2.gz DNAKXXGVSTVOGU-QZTJIDSGSA-N 1 2 324.380 1.760 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1ccoc1 ZINC001081368986 756315397 /nfs/dbraw/zinc/31/53/97/756315397.db2.gz DNAKXXGVSTVOGU-QZTJIDSGSA-N 1 2 324.380 1.760 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1Nc1ncccc1C#N ZINC001067112628 756325381 /nfs/dbraw/zinc/32/53/81/756325381.db2.gz GDDMIPMRKAYVPU-GXTWGEPZSA-N 1 2 310.361 1.109 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccnn3C)C2)cc1 ZINC001015482045 756333472 /nfs/dbraw/zinc/33/34/72/756333472.db2.gz KCHZONBAJMGCKA-MRXNPFEDSA-N 1 2 308.385 1.406 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccnn3C)C2)cc1 ZINC001015482045 756333479 /nfs/dbraw/zinc/33/34/79/756333479.db2.gz KCHZONBAJMGCKA-MRXNPFEDSA-N 1 2 308.385 1.406 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)nc2C(C)C)[C@H](OC)C1 ZINC001081992332 756577184 /nfs/dbraw/zinc/57/71/84/756577184.db2.gz GFTZHSADNWQPRY-HZPDHXFCSA-N 1 2 315.417 1.576 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)nc2C(C)C)[C@H](OC)C1 ZINC001081992332 756577186 /nfs/dbraw/zinc/57/71/86/756577186.db2.gz GFTZHSADNWQPRY-HZPDHXFCSA-N 1 2 315.417 1.576 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](C)[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001067127237 756670368 /nfs/dbraw/zinc/67/03/68/756670368.db2.gz MLSSAXCFMWPMFR-BZNIZROVSA-N 1 2 324.388 1.486 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](C)C2CCOCC2)C1 ZINC001016431559 757039990 /nfs/dbraw/zinc/03/99/90/757039990.db2.gz HOCMRKKRHJDFSZ-OCCSQVGLSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)C2CCOCC2)C1 ZINC001016431559 757039994 /nfs/dbraw/zinc/03/99/94/757039994.db2.gz HOCMRKKRHJDFSZ-OCCSQVGLSA-N 1 2 300.830 1.992 20 30 DDEDLO Cc1nc(N2CCC[C@@H](CNC(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001097298235 757061056 /nfs/dbraw/zinc/06/10/56/757061056.db2.gz OJRFHJQRUZCHHN-NHYWBVRUSA-N 1 2 315.421 1.894 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3c(C)cccc3C)[C@H]2C1 ZINC001083096133 757133615 /nfs/dbraw/zinc/13/36/15/757133615.db2.gz QFFSJFXPWUQTSH-ZWKOTPCHSA-N 1 2 312.413 1.391 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3c(C)cccc3C)[C@H]2C1 ZINC001083096133 757133618 /nfs/dbraw/zinc/13/36/18/757133618.db2.gz QFFSJFXPWUQTSH-ZWKOTPCHSA-N 1 2 312.413 1.391 20 30 DDEDLO N#Cc1cnccc1N1CCCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001097438619 757184620 /nfs/dbraw/zinc/18/46/20/757184620.db2.gz YKBKVPNTPODSOV-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)CC[C@@H](C)CC)[C@@H](n2ccnn2)C1 ZINC001129337683 762530754 /nfs/dbraw/zinc/53/07/54/762530754.db2.gz TXSYIYMOBTVQTM-XHSDSOJGSA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)CC[C@@H](C)CC)[C@@H](n2ccnn2)C1 ZINC001129337683 762530755 /nfs/dbraw/zinc/53/07/55/762530755.db2.gz TXSYIYMOBTVQTM-XHSDSOJGSA-N 1 2 317.437 1.469 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc(CCC)c(C)s2)[C@@H](O)C1 ZINC001084036843 757238123 /nfs/dbraw/zinc/23/81/23/757238123.db2.gz RXYZKZFJNKPHSX-KGLIPLIRSA-N 1 2 308.447 1.970 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc(CCC)c(C)s2)[C@@H](O)C1 ZINC001084036843 757238128 /nfs/dbraw/zinc/23/81/28/757238128.db2.gz RXYZKZFJNKPHSX-KGLIPLIRSA-N 1 2 308.447 1.970 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2sc(CCC)nc2C)[C@@H](O)C1 ZINC001084055196 757261431 /nfs/dbraw/zinc/26/14/31/757261431.db2.gz DXXFWZZHNPSEOW-OLZOCXBDSA-N 1 2 321.446 1.202 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2sc(CCC)nc2C)[C@@H](O)C1 ZINC001084055196 757261442 /nfs/dbraw/zinc/26/14/42/757261442.db2.gz DXXFWZZHNPSEOW-OLZOCXBDSA-N 1 2 321.446 1.202 20 30 DDEDLO N#CCN1CCC2(C[C@@H]2NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001016823905 757383476 /nfs/dbraw/zinc/38/34/76/757383476.db2.gz PSBPHIQZXYNTBE-JSGCOSHPSA-N 1 2 313.405 1.324 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCc4c[nH]nc43)[C@@H]2C1 ZINC001084345547 757504335 /nfs/dbraw/zinc/50/43/35/757504335.db2.gz GZOYXESMFJIPLK-QLFBSQMISA-N 1 2 300.406 1.548 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCc4c[nH]nc43)[C@@H]2C1 ZINC001084345547 757504340 /nfs/dbraw/zinc/50/43/40/757504340.db2.gz GZOYXESMFJIPLK-QLFBSQMISA-N 1 2 300.406 1.548 20 30 DDEDLO C[C@H](CNC(=O)C#CC(C)(C)C)Nc1[nH+]cnc2c1cnn2C ZINC001097806502 757552430 /nfs/dbraw/zinc/55/24/30/757552430.db2.gz NWKOXGNMMVXKNE-LLVKDONJSA-N 1 2 314.393 1.329 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H]2C1 ZINC001084531079 757642095 /nfs/dbraw/zinc/64/20/95/757642095.db2.gz VFDOQIUOTKTONX-WOFPZQRTSA-N 1 2 322.836 1.695 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H]2C1 ZINC001084531079 757642102 /nfs/dbraw/zinc/64/21/02/757642102.db2.gz VFDOQIUOTKTONX-WOFPZQRTSA-N 1 2 322.836 1.695 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1CC(F)F ZINC001017552523 758021487 /nfs/dbraw/zinc/02/14/87/758021487.db2.gz OLVRUDYCVCCYSJ-BETUJISGSA-N 1 2 322.359 1.460 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1CC(F)F ZINC001017552523 758021495 /nfs/dbraw/zinc/02/14/95/758021495.db2.gz OLVRUDYCVCCYSJ-BETUJISGSA-N 1 2 322.359 1.460 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccncc1Cl ZINC001017945087 758413619 /nfs/dbraw/zinc/41/36/19/758413619.db2.gz OTNJYDMKYXQJPK-OKILXGFUSA-N 1 2 303.793 1.586 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccncc1Cl ZINC001017945087 758413621 /nfs/dbraw/zinc/41/36/21/758413621.db2.gz OTNJYDMKYXQJPK-OKILXGFUSA-N 1 2 303.793 1.586 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108536971 762629402 /nfs/dbraw/zinc/62/94/02/762629402.db2.gz KVIWFUFJHRKWSF-OAHLLOKOSA-N 1 2 317.433 1.157 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn(C)c(C)c1Cl ZINC001018006363 758466558 /nfs/dbraw/zinc/46/65/58/758466558.db2.gz AZGHBYZYTWIIIB-BETUJISGSA-N 1 2 320.824 1.694 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn(C)c(C)c1Cl ZINC001018006363 758466565 /nfs/dbraw/zinc/46/65/65/758466565.db2.gz AZGHBYZYTWIIIB-BETUJISGSA-N 1 2 320.824 1.694 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C3CC3)no1)CCO2 ZINC001053453161 758473008 /nfs/dbraw/zinc/47/30/08/758473008.db2.gz RPIYVJYGALBKPR-UHFFFAOYSA-N 1 2 317.389 1.655 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N1CCOC2(C[NH+](C(C)C)C2)C1 ZINC001053465596 758482177 /nfs/dbraw/zinc/48/21/77/758482177.db2.gz PPFOGOQILRFHHM-HOTGVXAUSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccccc1F)O2 ZINC001053562572 758568618 /nfs/dbraw/zinc/56/86/18/758568618.db2.gz AZCYOCFXVAZKBD-CYBMUJFWSA-N 1 2 304.365 1.975 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cccc(C)n1)O2 ZINC001053574615 758584134 /nfs/dbraw/zinc/58/41/34/758584134.db2.gz GCUIJBKPDURAMQ-AWEZNQCLSA-N 1 2 301.390 1.539 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1nn(C)cc1C)O2 ZINC001053599382 758620924 /nfs/dbraw/zinc/62/09/24/758620924.db2.gz RRMIGTSYDYFBBH-AWEZNQCLSA-N 1 2 318.421 1.268 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)N(C)CC2 ZINC001018226339 758648450 /nfs/dbraw/zinc/64/84/50/758648450.db2.gz HGHBTBYFYNFABC-CALCHBBNSA-N 1 2 309.413 1.601 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)N(C)CC2 ZINC001018226339 758648454 /nfs/dbraw/zinc/64/84/54/758648454.db2.gz HGHBTBYFYNFABC-CALCHBBNSA-N 1 2 309.413 1.601 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(OCC)cc1 ZINC001018240720 758660913 /nfs/dbraw/zinc/66/09/13/758660913.db2.gz OKVXBWSWZSTULA-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(OCC)cc1 ZINC001018240720 758660916 /nfs/dbraw/zinc/66/09/16/758660916.db2.gz OKVXBWSWZSTULA-CALCHBBNSA-N 1 2 312.413 1.936 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(C)[nH]c1=O)O2 ZINC001053667721 758680047 /nfs/dbraw/zinc/68/00/47/758680047.db2.gz CHXFYMPAMHQRAG-ZDUSSCGKSA-N 1 2 317.389 1.245 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+]([C@H]2CCN(C(C)=O)C2)CC1 ZINC001065675266 758694440 /nfs/dbraw/zinc/69/44/40/758694440.db2.gz OXMLEFLPLKPATC-KRWDZBQOSA-N 1 2 319.449 1.520 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)C3CC3)C2)CC1 ZINC001065677928 758697222 /nfs/dbraw/zinc/69/72/22/758697222.db2.gz YUBUASAFISUEEC-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1ccco1 ZINC001018293291 758704568 /nfs/dbraw/zinc/70/45/68/758704568.db2.gz UPQJYIULOKHINA-OKILXGFUSA-N 1 2 324.384 1.982 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1ccco1 ZINC001018293291 758704580 /nfs/dbraw/zinc/70/45/80/758704580.db2.gz UPQJYIULOKHINA-OKILXGFUSA-N 1 2 324.384 1.982 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CCC=C)CC2)C1 ZINC001065693326 758710526 /nfs/dbraw/zinc/71/05/26/758710526.db2.gz ZSTXGJUUOZUQGF-INIZCTEOSA-N 1 2 319.449 1.664 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1csc(COC)n1 ZINC001018332041 758736429 /nfs/dbraw/zinc/73/64/29/758736429.db2.gz IADYRJWBVJZVKP-BETUJISGSA-N 1 2 319.430 1.602 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1csc(COC)n1 ZINC001018332041 758736439 /nfs/dbraw/zinc/73/64/39/758736439.db2.gz IADYRJWBVJZVKP-BETUJISGSA-N 1 2 319.430 1.602 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1C(C)(C)C1(C)C)CO2 ZINC001053767702 758787852 /nfs/dbraw/zinc/78/78/52/758787852.db2.gz NHYAZDYYMXAKGT-ZDUSSCGKSA-N 1 2 304.434 1.651 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CCCOCC1)CO2 ZINC001053790545 758818436 /nfs/dbraw/zinc/81/84/36/758818436.db2.gz YSJKVFLGLCFKSD-GJZGRUSLSA-N 1 2 308.422 1.339 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(Cl)cnn1C)CO2 ZINC001053803422 758830748 /nfs/dbraw/zinc/83/07/48/758830748.db2.gz RXVFIDZIOZGNEL-LLVKDONJSA-N 1 2 324.812 1.223 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1COC2(C[NH+](CCCF)C2)C1 ZINC001053830378 758860385 /nfs/dbraw/zinc/86/03/85/758860385.db2.gz ZBJQYZKFHWBOSZ-AWEZNQCLSA-N 1 2 321.396 1.607 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)C(CC)CC)CC2=O)C1 ZINC001108553883 762666940 /nfs/dbraw/zinc/66/69/40/762666940.db2.gz XYPBZOSUEVCXMX-ZFWWWQNUSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2COC3(C[NH+]([C@H](C)COC)C3)C2)C1 ZINC001053919456 758953882 /nfs/dbraw/zinc/95/38/82/758953882.db2.gz LMHVHUQCRNHBOV-ZIAGYGMSSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1occc1C)CO2 ZINC001053921031 758956688 /nfs/dbraw/zinc/95/66/88/758956688.db2.gz XPEJUNBMXGDXRX-CQSZACIVSA-N 1 2 304.390 1.666 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)c1cccs1)CO2 ZINC001053922930 758957972 /nfs/dbraw/zinc/95/79/72/758957972.db2.gz KTVNERFILLNIMK-KGLIPLIRSA-N 1 2 318.442 1.834 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)cccc1C)CO2 ZINC001053935333 758970249 /nfs/dbraw/zinc/97/02/49/758970249.db2.gz LIIFWYTXZWEGOB-INIZCTEOSA-N 1 2 312.413 1.900 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@]1(C)CCCOC1)CO2 ZINC001053969500 759004809 /nfs/dbraw/zinc/00/48/09/759004809.db2.gz PAWKCQJSUIBIQN-GDBMZVCRSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(CCC)on1)CO2 ZINC001053972122 759008897 /nfs/dbraw/zinc/00/88/97/759008897.db2.gz WYOLWHVPDVUMKX-ZDUSSCGKSA-N 1 2 317.389 1.224 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@H]1COC2(C[NH+](CC)C2)C1 ZINC001053977458 759016558 /nfs/dbraw/zinc/01/65/58/759016558.db2.gz VOGCDZJDYJAZAT-CYBMUJFWSA-N 1 2 317.389 1.239 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)c(C)s1)CO2 ZINC001054002250 759048018 /nfs/dbraw/zinc/04/80/18/759048018.db2.gz VMZYZDFVTACRNX-AWEZNQCLSA-N 1 2 318.442 1.961 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnc(CC)s1)CO2 ZINC001054021188 759071497 /nfs/dbraw/zinc/07/14/97/759071497.db2.gz FKCWMZOSUWTLJB-GFCCVEGCSA-N 1 2 319.430 1.302 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C)n[nH]1 ZINC001054033254 759087423 /nfs/dbraw/zinc/08/74/23/759087423.db2.gz PCGBZAWPKPXJIQ-INIZCTEOSA-N 1 2 308.385 1.508 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C)n[nH]1 ZINC001054033254 759087430 /nfs/dbraw/zinc/08/74/30/759087430.db2.gz PCGBZAWPKPXJIQ-INIZCTEOSA-N 1 2 308.385 1.508 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nccn1CC ZINC001054039221 759096834 /nfs/dbraw/zinc/09/68/34/759096834.db2.gz WDUSGHXNPJBWDY-QGZVFWFLSA-N 1 2 322.412 1.693 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1nccn1CC ZINC001054039221 759096840 /nfs/dbraw/zinc/09/68/40/759096840.db2.gz WDUSGHXNPJBWDY-QGZVFWFLSA-N 1 2 322.412 1.693 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001054043723 759101952 /nfs/dbraw/zinc/10/19/52/759101952.db2.gz HZAYUOMEMWYJLO-HNNXBMFYSA-N 1 2 324.384 1.214 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001054043723 759101956 /nfs/dbraw/zinc/10/19/56/759101956.db2.gz HZAYUOMEMWYJLO-HNNXBMFYSA-N 1 2 324.384 1.214 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(F)c[nH]1 ZINC001054047730 759104614 /nfs/dbraw/zinc/10/46/14/759104614.db2.gz LCPCXYCYMQVXSP-MRXNPFEDSA-N 1 2 311.360 1.944 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(F)c[nH]1 ZINC001054047730 759104619 /nfs/dbraw/zinc/10/46/19/759104619.db2.gz LCPCXYCYMQVXSP-MRXNPFEDSA-N 1 2 311.360 1.944 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC(=O)N(C2C[NH+](CC=C(C)C)C2)C1 ZINC001108568280 762695347 /nfs/dbraw/zinc/69/53/47/762695347.db2.gz PDULNRPWWVRFHU-HNNXBMFYSA-N 1 2 319.449 1.710 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(OC)n(C)n3)cc2C1 ZINC001054273552 759379446 /nfs/dbraw/zinc/37/94/46/759379446.db2.gz QRMIWVAAXRVLIJ-UHFFFAOYSA-N 1 2 324.384 1.308 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(OC)n(C)n3)cc2C1 ZINC001054273552 759379455 /nfs/dbraw/zinc/37/94/55/759379455.db2.gz QRMIWVAAXRVLIJ-UHFFFAOYSA-N 1 2 324.384 1.308 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccn(CC)n3)cc2C1 ZINC001054284958 759393294 /nfs/dbraw/zinc/39/32/94/759393294.db2.gz MPXITQBPXOTLIW-UHFFFAOYSA-N 1 2 308.385 1.782 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccn(CC)n3)cc2C1 ZINC001054284958 759393308 /nfs/dbraw/zinc/39/33/08/759393308.db2.gz MPXITQBPXOTLIW-UHFFFAOYSA-N 1 2 308.385 1.782 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C2CC=CC2)C1=O ZINC001085526732 759627765 /nfs/dbraw/zinc/62/77/65/759627765.db2.gz IYXZGXQBFYKXFO-CVEARBPZSA-N 1 2 317.433 1.272 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C2CC=CC2)C1=O ZINC001085526732 759627771 /nfs/dbraw/zinc/62/77/71/759627771.db2.gz IYXZGXQBFYKXFO-CVEARBPZSA-N 1 2 317.433 1.272 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1CNc1ccc(C#N)cn1 ZINC001054426131 759633080 /nfs/dbraw/zinc/63/30/80/759633080.db2.gz PXRWWSZJKAPJEI-UKRRQHHQSA-N 1 2 324.388 1.356 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nn2c(c1C)OCCC2 ZINC001085630756 759886181 /nfs/dbraw/zinc/88/61/81/759886181.db2.gz CYQRVJIYTBMRAL-CQSZACIVSA-N 1 2 316.405 1.144 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nn2c(c1C)OCCC2 ZINC001085630756 759886190 /nfs/dbraw/zinc/88/61/90/759886190.db2.gz CYQRVJIYTBMRAL-CQSZACIVSA-N 1 2 316.405 1.144 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(Br)c[nH]1 ZINC001085705030 760071614 /nfs/dbraw/zinc/07/16/14/760071614.db2.gz GHCAFJJXGRAUGT-LLVKDONJSA-N 1 2 310.195 1.557 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(Br)c[nH]1 ZINC001085705030 760071622 /nfs/dbraw/zinc/07/16/22/760071622.db2.gz GHCAFJJXGRAUGT-LLVKDONJSA-N 1 2 310.195 1.557 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001085707165 760078060 /nfs/dbraw/zinc/07/80/60/760078060.db2.gz FHHYWCDVDGNONE-GOEBONIOSA-N 1 2 318.804 1.809 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001085707165 760078069 /nfs/dbraw/zinc/07/80/69/760078069.db2.gz FHHYWCDVDGNONE-GOEBONIOSA-N 1 2 318.804 1.809 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccncc2C#N)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069221246 767925244 /nfs/dbraw/zinc/92/52/44/767925244.db2.gz LKPBEIJEFCFUCA-DOMZBBRYSA-N 1 2 324.388 1.132 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccncc2C#N)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069221246 767925247 /nfs/dbraw/zinc/92/52/47/767925247.db2.gz LKPBEIJEFCFUCA-DOMZBBRYSA-N 1 2 324.388 1.132 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1sc(N(C)C)nc1C ZINC001085856704 760374642 /nfs/dbraw/zinc/37/46/42/760374642.db2.gz GJJUCWNYBQRIHF-GFCCVEGCSA-N 1 2 306.435 1.297 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1sc(N(C)C)nc1C ZINC001085856704 760374654 /nfs/dbraw/zinc/37/46/54/760374654.db2.gz GJJUCWNYBQRIHF-GFCCVEGCSA-N 1 2 306.435 1.297 20 30 DDEDLO N#Cc1cccnc1N1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1[nH]cc[nH+]1)C2 ZINC001055379199 760481197 /nfs/dbraw/zinc/48/11/97/760481197.db2.gz XHFZVZNWHJWXSE-MCIONIFRSA-N 1 2 322.372 1.145 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c2c1CCCCC2 ZINC001085969065 760625466 /nfs/dbraw/zinc/62/54/66/760625466.db2.gz UNEUGHHVMQAZEF-ZDUSSCGKSA-N 1 2 300.406 1.458 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c2c1CCCCC2 ZINC001085969065 760625473 /nfs/dbraw/zinc/62/54/73/760625473.db2.gz UNEUGHHVMQAZEF-ZDUSSCGKSA-N 1 2 300.406 1.458 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1Cc2cccc(F)c2O1 ZINC001085979022 760648119 /nfs/dbraw/zinc/64/81/19/760648119.db2.gz IOWDGVNGOIYHNM-UKRRQHHQSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1Cc2cccc(F)c2O1 ZINC001085979022 760648122 /nfs/dbraw/zinc/64/81/22/760648122.db2.gz IOWDGVNGOIYHNM-UKRRQHHQSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(N=NC(N)=O)cc1 ZINC001085996553 760674754 /nfs/dbraw/zinc/67/47/54/760674754.db2.gz QOVYHNDYXMELHP-CQSZACIVSA-N 1 2 313.361 1.629 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(N=NC(N)=O)cc1 ZINC001085996553 760674760 /nfs/dbraw/zinc/67/47/60/760674760.db2.gz QOVYHNDYXMELHP-CQSZACIVSA-N 1 2 313.361 1.629 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nn2CC)C1 ZINC001108237790 760857884 /nfs/dbraw/zinc/85/78/84/760857884.db2.gz BOMOLYHVEKFDDW-KRWDZBQOSA-N 1 2 318.421 1.055 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nn2CC)C1 ZINC001108237790 760857896 /nfs/dbraw/zinc/85/78/96/760857896.db2.gz BOMOLYHVEKFDDW-KRWDZBQOSA-N 1 2 318.421 1.055 20 30 DDEDLO Cc1ccncc1C[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038169474 760881212 /nfs/dbraw/zinc/88/12/12/760881212.db2.gz OCCLGZVGGQSUDY-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1ccncc1C[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038169474 760881222 /nfs/dbraw/zinc/88/12/22/760881222.db2.gz OCCLGZVGGQSUDY-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)C2=CCCC2)C1=O ZINC001038171786 760885107 /nfs/dbraw/zinc/88/51/07/760885107.db2.gz WLQHUZVAPXJFGV-CABCVRRESA-N 1 2 303.406 1.074 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)C2=CCCC2)C1=O ZINC001038171786 760885118 /nfs/dbraw/zinc/88/51/18/760885118.db2.gz WLQHUZVAPXJFGV-CABCVRRESA-N 1 2 303.406 1.074 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](F)c2ccccc2)C1 ZINC001108248276 761091008 /nfs/dbraw/zinc/09/10/08/761091008.db2.gz GHCZMTJWZZYNRF-AEFFLSMTSA-N 1 2 318.392 1.928 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](F)c2ccccc2)C1 ZINC001108248276 761091014 /nfs/dbraw/zinc/09/10/14/761091014.db2.gz GHCZMTJWZZYNRF-AEFFLSMTSA-N 1 2 318.392 1.928 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn2c(n1)CC[C@@H](C)C2 ZINC001038409305 761092987 /nfs/dbraw/zinc/09/29/87/761092987.db2.gz IICXGNNDGZPLTL-ZIAGYGMSSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn2c(n1)CC[C@@H](C)C2 ZINC001038409305 761092998 /nfs/dbraw/zinc/09/29/98/761092998.db2.gz IICXGNNDGZPLTL-ZIAGYGMSSA-N 1 2 300.406 1.293 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@H]1CCN2C(=O)Cc1c[nH+]c[nH]1 ZINC001056299894 761102591 /nfs/dbraw/zinc/10/25/91/761102591.db2.gz GVJFHFARKYBATJ-HUUCEWRRSA-N 1 2 316.405 1.510 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001038425691 761106231 /nfs/dbraw/zinc/10/62/31/761106231.db2.gz CGGYSGAMBUYPSA-GFCCVEGCSA-N 1 2 310.357 1.163 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001038425691 761106239 /nfs/dbraw/zinc/10/62/39/761106239.db2.gz CGGYSGAMBUYPSA-GFCCVEGCSA-N 1 2 310.357 1.163 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1ocnc1C ZINC001038714444 761318596 /nfs/dbraw/zinc/31/85/96/761318596.db2.gz PKFABJDABGFOFJ-MJBXVCDLSA-N 1 2 305.378 1.265 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ocnc1C ZINC001038714444 761318607 /nfs/dbraw/zinc/31/86/07/761318607.db2.gz PKFABJDABGFOFJ-MJBXVCDLSA-N 1 2 305.378 1.265 20 30 DDEDLO Cc1nsc(N[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)c1C#N ZINC001056657104 761320573 /nfs/dbraw/zinc/32/05/73/761320573.db2.gz DEBHMRFQMBSCDW-LLVKDONJSA-N 1 2 316.390 1.233 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCN(C(=O)Cc3c[nH+]cn3C)C2)n1 ZINC001056670665 761330271 /nfs/dbraw/zinc/33/02/71/761330271.db2.gz MYBHLZOVPZBYDI-CQSZACIVSA-N 1 2 324.388 1.251 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CC[C@H](NC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001069476174 768032276 /nfs/dbraw/zinc/03/22/76/768032276.db2.gz REKDJYYKYABHJL-ZFWWWQNUSA-N 1 2 324.388 1.547 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(-n2cccn2)c1 ZINC001038822732 761438720 /nfs/dbraw/zinc/43/87/20/761438720.db2.gz LIVUEDJASMIERF-KRWDZBQOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(-n2cccn2)c1 ZINC001038822732 761438723 /nfs/dbraw/zinc/43/87/23/761438723.db2.gz LIVUEDJASMIERF-KRWDZBQOSA-N 1 2 308.385 1.700 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cccnn2)c1 ZINC001038842330 761463301 /nfs/dbraw/zinc/46/33/01/761463301.db2.gz FXUSDIMOSSNQSW-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cccnn2)c1 ZINC001038842330 761463304 /nfs/dbraw/zinc/46/33/04/761463304.db2.gz FXUSDIMOSSNQSW-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO Cc1conc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038898142 761521789 /nfs/dbraw/zinc/52/17/89/761521789.db2.gz HYMYMFAWCUJYKU-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1conc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038898142 761521791 /nfs/dbraw/zinc/52/17/91/761521791.db2.gz HYMYMFAWCUJYKU-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccnc1OCC(C)C ZINC001038938382 761568365 /nfs/dbraw/zinc/56/83/65/761568365.db2.gz IMJWICMXQCCLLJ-CQSZACIVSA-N 1 2 301.390 1.554 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccnc1OCC(C)C ZINC001038938382 761568369 /nfs/dbraw/zinc/56/83/69/761568369.db2.gz IMJWICMXQCCLLJ-CQSZACIVSA-N 1 2 301.390 1.554 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(NC(C)=O)ccc1F ZINC001039071921 761710574 /nfs/dbraw/zinc/71/05/74/761710574.db2.gz FSWCILKLICHWAZ-CYBMUJFWSA-N 1 2 303.337 1.221 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(NC(C)=O)ccc1F ZINC001039071921 761710579 /nfs/dbraw/zinc/71/05/79/761710579.db2.gz FSWCILKLICHWAZ-CYBMUJFWSA-N 1 2 303.337 1.221 20 30 DDEDLO CC1(C)CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001069583576 768069752 /nfs/dbraw/zinc/06/97/52/768069752.db2.gz HNTILFCEKGJGNU-ZDUSSCGKSA-N 1 2 324.388 1.568 20 30 DDEDLO N#Cc1cnccc1N1CCCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001057249870 763074867 /nfs/dbraw/zinc/07/48/67/763074867.db2.gz JLARMBQRWCACAI-UHFFFAOYSA-N 1 2 324.388 1.348 20 30 DDEDLO N#Cc1cnccc1N1CCCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001057249870 763074873 /nfs/dbraw/zinc/07/48/73/763074873.db2.gz JLARMBQRWCACAI-UHFFFAOYSA-N 1 2 324.388 1.348 20 30 DDEDLO Cc1nc(NC[C@H]2CN(C(=O)C#CC3CC3)CCCO2)cc[nH+]1 ZINC001041500870 763082224 /nfs/dbraw/zinc/08/22/24/763082224.db2.gz WGRFMOUFZSJZEJ-HNNXBMFYSA-N 1 2 314.389 1.228 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2(CCC)CCC2)CC1 ZINC001131379005 768104393 /nfs/dbraw/zinc/10/43/93/768104393.db2.gz OZALILNADFZFSF-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2(CCC)CCC2)CC1 ZINC001131379005 768104396 /nfs/dbraw/zinc/10/43/96/768104396.db2.gz OZALILNADFZFSF-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO N#CCN1C[C@@H]2CCCN(C(=O)[C@H]3CCCc4[nH+]c[nH]c43)[C@@H]2C1 ZINC001050136527 763195007 /nfs/dbraw/zinc/19/50/07/763195007.db2.gz CTRAHVOYSYIMDZ-KCQAQPDRSA-N 1 2 313.405 1.276 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C)n(C)n1 ZINC001109074339 763308887 /nfs/dbraw/zinc/30/88/87/763308887.db2.gz NJUXXFWLWWSAIM-PMPSAXMXSA-N 1 2 318.421 1.153 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C)n(C)n1 ZINC001109074339 763308896 /nfs/dbraw/zinc/30/88/96/763308896.db2.gz NJUXXFWLWWSAIM-PMPSAXMXSA-N 1 2 318.421 1.153 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)C1CC(Nc2ccc(C#N)cn2)C1 ZINC001069751888 768127794 /nfs/dbraw/zinc/12/77/94/768127794.db2.gz TVELJTWOVNGIFR-UHFFFAOYSA-N 1 2 310.361 1.251 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1csnc1C)C2 ZINC001109157850 763417521 /nfs/dbraw/zinc/41/75/21/763417521.db2.gz COSCJBZYLYOZPS-SNPRPXQTSA-N 1 2 319.430 1.436 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1csnc1C)C2 ZINC001109157850 763417530 /nfs/dbraw/zinc/41/75/30/763417530.db2.gz COSCJBZYLYOZPS-SNPRPXQTSA-N 1 2 319.430 1.436 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sccc1F)C2 ZINC001109229100 763473995 /nfs/dbraw/zinc/47/39/95/763473995.db2.gz GIWUDABOIHVRFU-XBFCOCLRSA-N 1 2 322.405 1.872 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sccc1F)C2 ZINC001109229100 763473997 /nfs/dbraw/zinc/47/39/97/763473997.db2.gz GIWUDABOIHVRFU-XBFCOCLRSA-N 1 2 322.405 1.872 20 30 DDEDLO Cc1ncc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC(C)C)C3)cn1 ZINC001109246128 763508492 /nfs/dbraw/zinc/50/84/92/763508492.db2.gz POROCZTULJMQER-IKGGRYGDSA-N 1 2 312.417 1.666 20 30 DDEDLO Cc1ncc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC(C)C)C3)cn1 ZINC001109246128 763508496 /nfs/dbraw/zinc/50/84/96/763508496.db2.gz POROCZTULJMQER-IKGGRYGDSA-N 1 2 312.417 1.666 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)NC(=O)CC)C2 ZINC001109324375 763579697 /nfs/dbraw/zinc/57/96/97/763579697.db2.gz YFWAWGRKCQNVKF-RNJOBUHISA-N 1 2 313.829 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)NC(=O)CC)C2 ZINC001109324375 763579701 /nfs/dbraw/zinc/57/97/01/763579701.db2.gz YFWAWGRKCQNVKF-RNJOBUHISA-N 1 2 313.829 1.375 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(C)n1 ZINC001109350912 763592294 /nfs/dbraw/zinc/59/22/94/763592294.db2.gz IUNSIXZCWVLSKV-PMPSAXMXSA-N 1 2 302.422 1.998 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(C)n1 ZINC001109350912 763592302 /nfs/dbraw/zinc/59/23/02/763592302.db2.gz IUNSIXZCWVLSKV-PMPSAXMXSA-N 1 2 302.422 1.998 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CC[C@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001042057463 763600820 /nfs/dbraw/zinc/60/08/20/763600820.db2.gz JTNLTABHQIQXCE-JKSUJKDBSA-N 1 2 312.417 1.256 20 30 DDEDLO Cn1ccnc1C[N@H+]1CC[C@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001042057463 763600823 /nfs/dbraw/zinc/60/08/23/763600823.db2.gz JTNLTABHQIQXCE-JKSUJKDBSA-N 1 2 312.417 1.256 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CC[C@H]2CCN(CC#N)[C@@H]2C1 ZINC001042110754 763662200 /nfs/dbraw/zinc/66/22/00/763662200.db2.gz BFEJYMBMGRACLN-UKRRQHHQSA-N 1 2 314.389 1.079 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CCN(c2ccncc2C#N)[C@@H]1C ZINC001050502634 763677697 /nfs/dbraw/zinc/67/76/97/763677697.db2.gz MIXJONJPBSHPLB-OCCSQVGLSA-N 1 2 324.388 1.311 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001050574575 763768999 /nfs/dbraw/zinc/76/89/99/763768999.db2.gz UZIFTOSACDQLAN-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CC[NH+](Cc1cnon1)CC2 ZINC001050617582 763828447 /nfs/dbraw/zinc/82/84/47/763828447.db2.gz KLDJQUDOYWZSMT-UHFFFAOYSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)N[C@@H](C)CC ZINC001109601701 763850387 /nfs/dbraw/zinc/85/03/87/763850387.db2.gz MDYJYJMDLJQNQE-XGUBFFRZSA-N 1 2 321.465 1.835 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)N[C@@H](C)CC ZINC001109601701 763850395 /nfs/dbraw/zinc/85/03/95/763850395.db2.gz MDYJYJMDLJQNQE-XGUBFFRZSA-N 1 2 321.465 1.835 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2NC[C@@H](NC(=O)C#CC1CC1)C1CC1 ZINC001109843863 764124635 /nfs/dbraw/zinc/12/46/35/764124635.db2.gz CUGOINJIMXHFTK-CQSZACIVSA-N 1 2 324.388 1.083 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3CCCCO3)c2C1 ZINC001069857992 768189903 /nfs/dbraw/zinc/18/99/03/768189903.db2.gz XIMJWEOHIVEEML-MRXNPFEDSA-N 1 2 318.421 1.460 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3CCCCO3)c2C1 ZINC001069857992 768189910 /nfs/dbraw/zinc/18/99/10/768189910.db2.gz XIMJWEOHIVEEML-MRXNPFEDSA-N 1 2 318.421 1.460 20 30 DDEDLO CC(C)[N@@H+]1CCn2ncc(CNC(=O)c3c[nH]c(C#N)c3)c2C1 ZINC001069857877 768190314 /nfs/dbraw/zinc/19/03/14/768190314.db2.gz QJDSSDQIDDMZCJ-UHFFFAOYSA-N 1 2 312.377 1.237 20 30 DDEDLO CC(C)[N@H+]1CCn2ncc(CNC(=O)c3c[nH]c(C#N)c3)c2C1 ZINC001069857877 768190317 /nfs/dbraw/zinc/19/03/17/768190317.db2.gz QJDSSDQIDDMZCJ-UHFFFAOYSA-N 1 2 312.377 1.237 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C3=CCOCC3)c2C1 ZINC001069858843 768191174 /nfs/dbraw/zinc/19/11/74/768191174.db2.gz QOWUMRRDPMAWQP-UHFFFAOYSA-N 1 2 316.405 1.238 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C3=CCOCC3)c2C1 ZINC001069858843 768191181 /nfs/dbraw/zinc/19/11/81/768191181.db2.gz QOWUMRRDPMAWQP-UHFFFAOYSA-N 1 2 316.405 1.238 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2C[C@H](Nc3cc[nH+]c(C)n3)C2)c1 ZINC001047020218 768195278 /nfs/dbraw/zinc/19/52/78/768195278.db2.gz XSGGLPBMZBUXKP-KOMQPUFPSA-N 1 2 321.384 1.782 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nc(OC)c1 ZINC001051085081 764490928 /nfs/dbraw/zinc/49/09/28/764490928.db2.gz ABKYHFQSXHIOQH-OAHLLOKOSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nc(OC)c1 ZINC001051085081 764490933 /nfs/dbraw/zinc/49/09/33/764490933.db2.gz ABKYHFQSXHIOQH-OAHLLOKOSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H](C)n2nnnc2C(C)(C)C)C1 ZINC001042874171 764500971 /nfs/dbraw/zinc/50/09/71/764500971.db2.gz JAJFMKMRQLJUDG-GFCCVEGCSA-N 1 2 320.441 1.250 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(CC)cn1 ZINC001051210804 764624957 /nfs/dbraw/zinc/62/49/57/764624957.db2.gz NCUKKJXPCIFATR-CQSZACIVSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(CC)cn1 ZINC001051210804 764624966 /nfs/dbraw/zinc/62/49/66/764624966.db2.gz NCUKKJXPCIFATR-CQSZACIVSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@H]2CCc3[nH+]c(C)[nH]c3C2)C1 ZINC001043141103 764703267 /nfs/dbraw/zinc/70/32/67/764703267.db2.gz XASNYQGJZBXJQE-LBPRGKRZSA-N 1 2 322.840 1.718 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@H]2CCc3[nH]c(C)[nH+]c3C2)C1 ZINC001043141103 764703273 /nfs/dbraw/zinc/70/32/73/764703273.db2.gz XASNYQGJZBXJQE-LBPRGKRZSA-N 1 2 322.840 1.718 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2COC[C@@H]2c2ccccc2)C1 ZINC001043149488 764715489 /nfs/dbraw/zinc/71/54/89/764715489.db2.gz DVYLNFGXYDDHIA-SJORKVTESA-N 1 2 300.402 1.745 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2COC[C@H]2c2ccccc2)C1 ZINC001043149487 764715572 /nfs/dbraw/zinc/71/55/72/764715572.db2.gz DVYLNFGXYDDHIA-IRXDYDNUSA-N 1 2 300.402 1.745 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001051329646 764752560 /nfs/dbraw/zinc/75/25/60/764752560.db2.gz SQIYIPBYNMKRNU-KGLIPLIRSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1CCN2C(=O)COC[C@@H]2C1 ZINC001139030846 764852822 /nfs/dbraw/zinc/85/28/22/764852822.db2.gz VQYHGOALGYZIJZ-HNNXBMFYSA-N 1 2 302.374 1.294 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1CCN2C(=O)COC[C@@H]2C1 ZINC001139030846 764852832 /nfs/dbraw/zinc/85/28/32/764852832.db2.gz VQYHGOALGYZIJZ-HNNXBMFYSA-N 1 2 302.374 1.294 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccnc(CCC)c2)CC1 ZINC001112985480 765087163 /nfs/dbraw/zinc/08/71/63/765087163.db2.gz JWBDNQYMDCSBDU-UHFFFAOYSA-N 1 2 317.433 1.995 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C2C[NH+](C[C@H]3CC[C@@H](C)O3)C2)c1 ZINC001043821975 765116816 /nfs/dbraw/zinc/11/68/16/765116816.db2.gz QPXRILULENMKSW-CXAGYDPISA-N 1 2 313.401 1.387 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)[C@H](C)Nc2cc[nH+]c(C)n2)nc1 ZINC001113104227 765262150 /nfs/dbraw/zinc/26/21/50/765262150.db2.gz WYGXWANRNQPQHO-NWDGAFQWSA-N 1 2 309.373 1.780 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)c2cc3c([nH]c2=O)CCCC3)C1 ZINC001044078632 765279240 /nfs/dbraw/zinc/27/92/40/765279240.db2.gz CKFSMXWFEUVZQO-UHFFFAOYSA-N 1 2 301.390 1.608 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cscn3)C2)CC1 ZINC001051995171 765360360 /nfs/dbraw/zinc/36/03/60/765360360.db2.gz AMNAMUWBZAQBLO-CYBMUJFWSA-N 1 2 306.435 1.161 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cscn3)C2)CC1 ZINC001051995174 765361336 /nfs/dbraw/zinc/36/13/36/765361336.db2.gz AMNAMUWBZAQBLO-ZDUSSCGKSA-N 1 2 306.435 1.161 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)CCC3CC3)C2)CC1 ZINC001052003459 765370511 /nfs/dbraw/zinc/37/05/11/765370511.db2.gz VDTVTULETSXYSX-QGZVFWFLSA-N 1 2 303.450 1.418 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)CC3CCC3)C2)CC1 ZINC001052005208 765371651 /nfs/dbraw/zinc/37/16/51/765371651.db2.gz YUTZCVDBXYDPAH-KRWDZBQOSA-N 1 2 303.450 1.418 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@]2(C)CCOc3ccccc32)C1 ZINC001044192948 765381751 /nfs/dbraw/zinc/38/17/51/765381751.db2.gz NWOKSKMXBNOQFW-LJQANCHMSA-N 1 2 312.413 1.893 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cocc3C)C2)CC1 ZINC001052063384 765435915 /nfs/dbraw/zinc/43/59/15/765435915.db2.gz UPROCALWZDZENF-OAHLLOKOSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3cocc3C)C2)CC1 ZINC001052063384 765435924 /nfs/dbraw/zinc/43/59/24/765435924.db2.gz UPROCALWZDZENF-OAHLLOKOSA-N 1 2 301.390 1.053 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)CC(C)(F)F)C2)CC1 ZINC001052073674 765445723 /nfs/dbraw/zinc/44/57/23/765445723.db2.gz LOVKAMSDPNFKEK-AWEZNQCLSA-N 1 2 313.392 1.274 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3occc3Cl)C2)CC1 ZINC001052076320 765447536 /nfs/dbraw/zinc/44/75/36/765447536.db2.gz HVODUMHBGCMKMT-GFCCVEGCSA-N 1 2 322.796 1.289 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc3c(cccc3OC)[nH]2)C1 ZINC001044288126 765452923 /nfs/dbraw/zinc/45/29/23/765452923.db2.gz PMCYSVQQSKYABR-UHFFFAOYSA-N 1 2 311.385 1.956 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001052080433 765454104 /nfs/dbraw/zinc/45/41/04/765454104.db2.gz JVBGMSWUQVEFOY-CVSAEHQPSA-N 1 2 316.405 1.683 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC001113239421 765459957 /nfs/dbraw/zinc/45/99/57/765459957.db2.gz BDFHHKNZZWGCFQ-OAHLLOKOSA-N 1 2 307.438 1.355 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H](C)C3CCCC3)C2)CC1 ZINC001052106069 765474891 /nfs/dbraw/zinc/47/48/91/765474891.db2.gz AMBQMQGFQZJLTB-AEFFLSMTSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)c3c[nH]cc3C)C2)CC1 ZINC001052117625 765481859 /nfs/dbraw/zinc/48/18/59/765481859.db2.gz VPEHGKRFFSKKJX-INIZCTEOSA-N 1 2 314.433 1.179 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001052182175 765554627 /nfs/dbraw/zinc/55/46/27/765554627.db2.gz SCXHPPRYXFTCCU-QWHCGFSZSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccco2)CC[C@@H]1C ZINC001131759956 768347969 /nfs/dbraw/zinc/34/79/69/768347969.db2.gz DNCLMECUDXWWCC-STQMWFEESA-N 1 2 305.378 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccco2)CC[C@@H]1C ZINC001131759956 768347975 /nfs/dbraw/zinc/34/79/75/768347975.db2.gz DNCLMECUDXWWCC-STQMWFEESA-N 1 2 305.378 1.165 20 30 DDEDLO Cc1nc(N2CCC(NC(=O)c3cc(C#N)c[nH]3)CC2)cc[nH+]1 ZINC001057856955 766101582 /nfs/dbraw/zinc/10/15/82/766101582.db2.gz MNUIJDZETSTEGG-UHFFFAOYSA-N 1 2 310.361 1.384 20 30 DDEDLO CN(C(=O)C1CC1)[C@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047273946 768357477 /nfs/dbraw/zinc/35/74/77/768357477.db2.gz WIUXGDIOSJSOLC-KBPBESRZSA-N 1 2 305.403 1.033 20 30 DDEDLO CN(C(=O)C1CC1)[C@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047273946 768357483 /nfs/dbraw/zinc/35/74/83/768357483.db2.gz WIUXGDIOSJSOLC-KBPBESRZSA-N 1 2 305.403 1.033 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@H]1CCCN(c2ccc(C#N)nc2)C1 ZINC001058176815 766288763 /nfs/dbraw/zinc/28/87/63/766288763.db2.gz HQAIUNSKSQJDCM-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001113995997 766498574 /nfs/dbraw/zinc/49/85/74/766498574.db2.gz MLQRHBTVOQMQPW-CXTNEJHOSA-N 1 2 321.446 1.671 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001113995997 766498583 /nfs/dbraw/zinc/49/85/83/766498583.db2.gz MLQRHBTVOQMQPW-CXTNEJHOSA-N 1 2 321.446 1.671 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C4CC4)n3)C[C@H]21 ZINC001114048478 766582070 /nfs/dbraw/zinc/58/20/70/766582070.db2.gz YMALZAQFJCDYSS-FOLVSLTJSA-N 1 2 317.389 1.301 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C4CC4)n3)C[C@H]21 ZINC001114048478 766582080 /nfs/dbraw/zinc/58/20/80/766582080.db2.gz YMALZAQFJCDYSS-FOLVSLTJSA-N 1 2 317.389 1.301 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001086615119 766655997 /nfs/dbraw/zinc/65/59/97/766655997.db2.gz HOPBCTDMMMCZCC-LSDHHAIUSA-N 1 2 311.389 1.579 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001086615119 766656000 /nfs/dbraw/zinc/65/60/00/766656000.db2.gz HOPBCTDMMMCZCC-LSDHHAIUSA-N 1 2 311.389 1.579 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114162228 766714981 /nfs/dbraw/zinc/71/49/81/766714981.db2.gz RPFCRPHZTMHPSG-QLPKVWCKSA-N 1 2 315.421 1.038 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114162228 766714985 /nfs/dbraw/zinc/71/49/85/766714985.db2.gz RPFCRPHZTMHPSG-QLPKVWCKSA-N 1 2 315.421 1.038 20 30 DDEDLO C=CCCCC(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]cn1C ZINC001067831583 766729987 /nfs/dbraw/zinc/72/99/87/766729987.db2.gz IXYUIITVRKCCMN-UHFFFAOYSA-N 1 2 320.437 1.626 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C[C@@H]3C=CCC3)CC2)C1 ZINC001046002028 766789141 /nfs/dbraw/zinc/78/91/41/766789141.db2.gz RASLGLVDPBPSCX-CVEARBPZSA-N 1 2 302.422 1.085 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)nn1C ZINC001114255513 766825812 /nfs/dbraw/zinc/82/58/12/766825812.db2.gz KESARDFGMDFHCW-QLPKVWCKSA-N 1 2 314.433 1.324 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)nn1C ZINC001114255513 766825827 /nfs/dbraw/zinc/82/58/27/766825827.db2.gz KESARDFGMDFHCW-QLPKVWCKSA-N 1 2 314.433 1.324 20 30 DDEDLO C[C@H]1CCN(c2ncccc2C#N)C[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067966571 766843974 /nfs/dbraw/zinc/84/39/74/766843974.db2.gz CSFZOJPCCYLFSS-GXTWGEPZSA-N 1 2 324.388 1.250 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C[C@@H](C)C(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129400253 766881969 /nfs/dbraw/zinc/88/19/69/766881969.db2.gz AINNPZLTCUTYFH-KFWWJZLASA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C[C@@H](C)C(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129400253 766881979 /nfs/dbraw/zinc/88/19/79/766881979.db2.gz AINNPZLTCUTYFH-KFWWJZLASA-N 1 2 317.437 1.325 20 30 DDEDLO C[C@@H](CC(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C1CC1 ZINC001046086188 766899900 /nfs/dbraw/zinc/89/99/00/766899900.db2.gz UEMHIAJWBPXAJL-GOEBONIOSA-N 1 2 304.438 1.165 20 30 DDEDLO CC1(C)CN(c2ncccc2C#N)C[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001068118724 766928819 /nfs/dbraw/zinc/92/88/19/766928819.db2.gz BDCQDAICYPCJQW-ZDUSSCGKSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111554868 766965116 /nfs/dbraw/zinc/96/51/16/766965116.db2.gz ICRYCXRFCNEYBL-MRXNPFEDSA-N 1 2 306.410 1.620 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](OC)C3CC3)n2CC=C)CC1 ZINC001121639092 782607612 /nfs/dbraw/zinc/60/76/12/782607612.db2.gz IBAXLXPPMAMRCG-HNNXBMFYSA-N 1 2 315.421 1.317 20 30 DDEDLO C[C@H]1C[C@@H](CNc2ncccc2C#N)CN1C(=O)Cn1cc[nH+]c1 ZINC001068336264 767119632 /nfs/dbraw/zinc/11/96/32/767119632.db2.gz WPPAFWGMVILTBK-KBPBESRZSA-N 1 2 324.388 1.499 20 30 DDEDLO C#CC[C@@H](CC)c1nnc(N(C)CC[NH+]2CCOCC2)n1CC ZINC001121649255 782611935 /nfs/dbraw/zinc/61/19/35/782611935.db2.gz WMEJJIVYIXNYCY-OAHLLOKOSA-N 1 2 319.453 1.583 20 30 DDEDLO Cc1nc(N2C[C@]3(C)CN(C(=O)C#CC4CC4)C[C@]3(C)C2)cc[nH+]1 ZINC001068421894 767282794 /nfs/dbraw/zinc/28/27/94/767282794.db2.gz LPMBPDKXDAUWKL-KDURUIRLSA-N 1 2 324.428 1.873 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCCS2(=O)=O)C1 ZINC001046370819 767480715 /nfs/dbraw/zinc/48/07/15/767480715.db2.gz CXWVNYXQDWNGKA-UKRRQHHQSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCCS2(=O)=O)C1 ZINC001046370819 767480722 /nfs/dbraw/zinc/48/07/22/767480722.db2.gz CXWVNYXQDWNGKA-UKRRQHHQSA-N 1 2 314.451 1.110 20 30 DDEDLO C[C@]1(NC(=O)c2cn[nH]n2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046438275 767569284 /nfs/dbraw/zinc/56/92/84/767569284.db2.gz BBUKMPCKJOPQBH-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@]1(NC(=O)c2cn[nH]n2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046438275 767569290 /nfs/dbraw/zinc/56/92/90/767569290.db2.gz BBUKMPCKJOPQBH-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068887294 767682054 /nfs/dbraw/zinc/68/20/54/767682054.db2.gz LAUXQIIBJAJNKD-RISCZKNCSA-N 1 2 310.361 1.320 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@@H]1CC[C@H](c2nc(CNC(C)=O)n[nH]2)O1 ZINC001130746913 767710686 /nfs/dbraw/zinc/71/06/86/767710686.db2.gz MZMMPQWEOHGWKG-WDEREUQCSA-N 1 2 313.789 1.003 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@H+](Cc2nccs2)C1 ZINC001046631223 767754793 /nfs/dbraw/zinc/75/47/93/767754793.db2.gz CBUPRPDUERIGAD-WWGRRREGSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@]1(C)CC[N@@H+](Cc2nccs2)C1 ZINC001046631223 767754799 /nfs/dbraw/zinc/75/47/99/767754799.db2.gz CBUPRPDUERIGAD-WWGRRREGSA-N 1 2 321.446 1.815 20 30 DDEDLO CC(C)CCC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130913141 767800250 /nfs/dbraw/zinc/80/02/50/767800250.db2.gz QEBGTYPJNGNOLR-ZIAGYGMSSA-N 1 2 303.410 1.264 20 30 DDEDLO CC/C=C(/C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130928707 767808643 /nfs/dbraw/zinc/80/86/43/767808643.db2.gz FXKUYLYAAHIVQW-SNBRUFEUSA-N 1 2 301.394 1.184 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](Oc2cnccc2CNC(C)=O)C1 ZINC001070383635 768421044 /nfs/dbraw/zinc/42/10/44/768421044.db2.gz LFOMAPCHGHGPAP-AWEZNQCLSA-N 1 2 309.797 1.923 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](Oc2cnccc2CNC(C)=O)C1 ZINC001070383635 768421048 /nfs/dbraw/zinc/42/10/48/768421048.db2.gz LFOMAPCHGHGPAP-AWEZNQCLSA-N 1 2 309.797 1.923 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(Cl)nc2C)C1 ZINC001047397631 768449676 /nfs/dbraw/zinc/44/96/76/768449676.db2.gz BFNIJBPFLANZHC-UWVGGRQHSA-N 1 2 315.826 1.408 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(Cl)nc2C)C1 ZINC001047397631 768449678 /nfs/dbraw/zinc/44/96/78/768449678.db2.gz BFNIJBPFLANZHC-UWVGGRQHSA-N 1 2 315.826 1.408 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001047435947 768474847 /nfs/dbraw/zinc/47/48/47/768474847.db2.gz PBNUGSPOQBIVJE-KBPBESRZSA-N 1 2 324.783 1.538 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001047435947 768474851 /nfs/dbraw/zinc/47/48/51/768474851.db2.gz PBNUGSPOQBIVJE-KBPBESRZSA-N 1 2 324.783 1.538 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cncc(OC)n2)C1 ZINC001131896771 768478942 /nfs/dbraw/zinc/47/89/42/768478942.db2.gz VJTJWPNWKQYRRU-KBPBESRZSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cncc(OC)n2)C1 ZINC001131896771 768478947 /nfs/dbraw/zinc/47/89/47/768478947.db2.gz VJTJWPNWKQYRRU-KBPBESRZSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(F)=C(C)C)CC[C@@H]1C ZINC001131961767 768531218 /nfs/dbraw/zinc/53/12/18/768531218.db2.gz ZTZFWRKYVSSIIL-STQMWFEESA-N 1 2 311.401 1.521 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(F)=C(C)C)CC[C@@H]1C ZINC001131961767 768531222 /nfs/dbraw/zinc/53/12/22/768531222.db2.gz ZTZFWRKYVSSIIL-STQMWFEESA-N 1 2 311.401 1.521 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(C)(C)C(C)C)CC[C@H]1C ZINC001132065180 768614848 /nfs/dbraw/zinc/61/48/48/768614848.db2.gz QQHGSDRGIJKRRB-HUUCEWRRSA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(C)(C)C(C)C)CC[C@H]1C ZINC001132065180 768614853 /nfs/dbraw/zinc/61/48/53/768614853.db2.gz QQHGSDRGIJKRRB-HUUCEWRRSA-N 1 2 321.465 1.387 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)CCC2CCC2)C[C@H]1NCC#N ZINC001070635771 768635213 /nfs/dbraw/zinc/63/52/13/768635213.db2.gz VMWANWMXEBJFJV-HUUCEWRRSA-N 1 2 315.421 1.408 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@@H+](CCS(C)(=O)=O)C1 ZINC001132217600 768689524 /nfs/dbraw/zinc/68/95/24/768689524.db2.gz DPIIBRLZSPVAEX-STQMWFEESA-N 1 2 316.467 1.212 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@H+](CCS(C)(=O)=O)C1 ZINC001132217600 768689529 /nfs/dbraw/zinc/68/95/29/768689529.db2.gz DPIIBRLZSPVAEX-STQMWFEESA-N 1 2 316.467 1.212 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C2(CCC)CC2)CC[C@H]1C ZINC001132274618 768731734 /nfs/dbraw/zinc/73/17/34/768731734.db2.gz XKKWYEHYPBTYKB-CABCVRRESA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C2(CCC)CC2)CC[C@H]1C ZINC001132274618 768731737 /nfs/dbraw/zinc/73/17/37/768731737.db2.gz XKKWYEHYPBTYKB-CABCVRRESA-N 1 2 319.449 1.285 20 30 DDEDLO CCCC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132288956 768740558 /nfs/dbraw/zinc/74/05/58/768740558.db2.gz ZSMQSKCGVJZDRO-KBPBESRZSA-N 1 2 322.453 1.422 20 30 DDEDLO CCCC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132288956 768740561 /nfs/dbraw/zinc/74/05/61/768740561.db2.gz ZSMQSKCGVJZDRO-KBPBESRZSA-N 1 2 322.453 1.422 20 30 DDEDLO CCCC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132288958 768740671 /nfs/dbraw/zinc/74/06/71/768740671.db2.gz ZSMQSKCGVJZDRO-UONOGXRCSA-N 1 2 322.453 1.422 20 30 DDEDLO CCCC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132288958 768740674 /nfs/dbraw/zinc/74/06/74/768740674.db2.gz ZSMQSKCGVJZDRO-UONOGXRCSA-N 1 2 322.453 1.422 20 30 DDEDLO CCO[C@@H]1CN(C(=O)[C@@H](C)C#N)C[C@H]1CNc1cc[nH+]c(C)n1 ZINC001047801860 768748161 /nfs/dbraw/zinc/74/81/61/768748161.db2.gz OKXWKRGDGUMSHO-IACUBPJLSA-N 1 2 317.393 1.220 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2=CCCCCC2)CC1 ZINC001070957408 768812098 /nfs/dbraw/zinc/81/20/98/768812098.db2.gz BUTDFEMVSSYUCW-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2=CCCCCC2)CC1 ZINC001070957408 768812104 /nfs/dbraw/zinc/81/21/04/768812104.db2.gz BUTDFEMVSSYUCW-UHFFFAOYSA-N 1 2 319.449 1.713 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC[C@H]2CC)CC1 ZINC001070996057 768856645 /nfs/dbraw/zinc/85/66/45/768856645.db2.gz GEJZLZRXXMWWLX-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC[C@H]2CC)CC1 ZINC001070996057 768856655 /nfs/dbraw/zinc/85/66/55/768856655.db2.gz GEJZLZRXXMWWLX-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[C@@H](C)[N@@H+](CC(N)=O)C2)CCCCC1 ZINC001132530175 768949637 /nfs/dbraw/zinc/94/96/37/768949637.db2.gz VZUAVUSMNGDNTK-CABCVRRESA-N 1 2 319.449 1.415 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[C@@H](C)[N@H+](CC(N)=O)C2)CCCCC1 ZINC001132530175 768949641 /nfs/dbraw/zinc/94/96/41/768949641.db2.gz VZUAVUSMNGDNTK-CABCVRRESA-N 1 2 319.449 1.415 20 30 DDEDLO N#Cc1cnccc1N1CC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001096370509 769447842 /nfs/dbraw/zinc/44/78/42/769447842.db2.gz PIUGOTIGULFJTD-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cncnc2)CC[C@@H]1C ZINC001071469852 769480829 /nfs/dbraw/zinc/48/08/29/769480829.db2.gz CHKLKQHLRCYDSV-JSGCOSHPSA-N 1 2 308.813 1.741 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cncnc2)CC[C@@H]1C ZINC001071469852 769480836 /nfs/dbraw/zinc/48/08/36/769480836.db2.gz CHKLKQHLRCYDSV-JSGCOSHPSA-N 1 2 308.813 1.741 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc(C3CC3)s1)C2 ZINC001096392162 769594666 /nfs/dbraw/zinc/59/46/66/769594666.db2.gz PPSXVPMTJZGKCT-AGIUHOORSA-N 1 2 301.415 1.989 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc(C3CC3)s1)C2 ZINC001096392162 769594671 /nfs/dbraw/zinc/59/46/71/769594671.db2.gz PPSXVPMTJZGKCT-AGIUHOORSA-N 1 2 301.415 1.989 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccn(C)c(=O)c2)CC[C@@H]1C ZINC001071613087 769721231 /nfs/dbraw/zinc/72/12/31/769721231.db2.gz RKCWWZBGTQSAET-GXTWGEPZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccn(C)c(=O)c2)CC[C@@H]1C ZINC001071613087 769721237 /nfs/dbraw/zinc/72/12/37/769721237.db2.gz RKCWWZBGTQSAET-GXTWGEPZSA-N 1 2 323.824 1.721 20 30 DDEDLO C[C@H](c1csnn1)[N@H+]1C[C@H]2CN(C(=O)C#CC3CC3)C[C@H]2C1 ZINC001048814162 769933909 /nfs/dbraw/zinc/93/39/09/769933909.db2.gz ZGMRCVMXSJAIFK-BNOWGMLFSA-N 1 2 316.430 1.403 20 30 DDEDLO C[C@H](c1csnn1)[N@@H+]1C[C@H]2CN(C(=O)C#CC3CC3)C[C@H]2C1 ZINC001048814162 769933913 /nfs/dbraw/zinc/93/39/13/769933913.db2.gz ZGMRCVMXSJAIFK-BNOWGMLFSA-N 1 2 316.430 1.403 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001071787456 770071711 /nfs/dbraw/zinc/07/17/11/770071711.db2.gz OPSMUMLEBQRPOC-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[N@@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001049026672 770265716 /nfs/dbraw/zinc/26/57/16/770265716.db2.gz WHDDVVFVZVZPAN-JKIFEVAISA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[N@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001049026672 770265722 /nfs/dbraw/zinc/26/57/22/770265722.db2.gz WHDDVVFVZVZPAN-JKIFEVAISA-N 1 2 317.437 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cn(CC)nn2)CC[C@@H]1C ZINC001071942088 770319692 /nfs/dbraw/zinc/31/96/92/770319692.db2.gz MTLUAVHYABBOPG-RYUDHWBXSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cn(CC)nn2)CC[C@@H]1C ZINC001071942088 770319703 /nfs/dbraw/zinc/31/97/03/770319703.db2.gz MTLUAVHYABBOPG-RYUDHWBXSA-N 1 2 311.817 1.633 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001071995947 770406238 /nfs/dbraw/zinc/40/62/38/770406238.db2.gz NSCAVVFQGKMAHM-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049630951 771033425 /nfs/dbraw/zinc/03/34/25/771033425.db2.gz PKGOWBUNJRHDCG-VXGBXAGGSA-N 1 2 309.801 1.545 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049630951 771033428 /nfs/dbraw/zinc/03/34/28/771033428.db2.gz PKGOWBUNJRHDCG-VXGBXAGGSA-N 1 2 309.801 1.545 20 30 DDEDLO CC(C)(C(=O)N1CCC[C@@H]2[C@H]1CCN2CC#N)c1c[nH+]c[nH]1 ZINC001049848797 771206484 /nfs/dbraw/zinc/20/64/84/771206484.db2.gz MFEPBWRMOVAHKJ-CHWSQXEVSA-N 1 2 301.394 1.276 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@@H](Nc1ccc(C#N)nc1)C1CC1 ZINC001096695400 771422527 /nfs/dbraw/zinc/42/25/27/771422527.db2.gz JMYZMIHFWPHTHP-MRXNPFEDSA-N 1 2 324.388 1.236 20 30 DDEDLO COC(=O)c1ccc(C(=N)Nc2ccn3cc(N)[nH+]c3c2)cc1 ZINC001170985732 772022618 /nfs/dbraw/zinc/02/26/18/772022618.db2.gz XHDNTQGBWZRKHS-UHFFFAOYSA-N 1 2 309.329 1.740 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C)CC(F)(F)C2)[C@H](O)C1 ZINC001090715818 772136209 /nfs/dbraw/zinc/13/62/09/772136209.db2.gz KIOVFWBCZJYZGC-GHMZBOCLSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C)CC(F)(F)C2)[C@H](O)C1 ZINC001090715818 772136211 /nfs/dbraw/zinc/13/62/11/772136211.db2.gz KIOVFWBCZJYZGC-GHMZBOCLSA-N 1 2 322.783 1.726 20 30 DDEDLO Cn1cc([NH+]=C(N)c2ccc3ccccc3n2)cc([N+](=O)[O-])c1=O ZINC001171048469 772166870 /nfs/dbraw/zinc/16/68/70/772166870.db2.gz PQYGLRATTXXEFY-UHFFFAOYSA-N 1 2 323.312 1.879 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001148137926 773364310 /nfs/dbraw/zinc/36/43/10/773364310.db2.gz IVMIOTBZYRPHQJ-ZIAGYGMSSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001148137926 773364318 /nfs/dbraw/zinc/36/43/18/773364318.db2.gz IVMIOTBZYRPHQJ-ZIAGYGMSSA-N 1 2 317.437 1.308 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCc3ccco3)C[C@H]21 ZINC001074163320 773685781 /nfs/dbraw/zinc/68/57/81/773685781.db2.gz OTQFBILPBJAVIR-SJORKVTESA-N 1 2 316.401 1.537 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCc3ccco3)C[C@H]21 ZINC001074163320 773685789 /nfs/dbraw/zinc/68/57/89/773685789.db2.gz OTQFBILPBJAVIR-SJORKVTESA-N 1 2 316.401 1.537 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)nc3)C[C@H]21 ZINC001074179413 773701708 /nfs/dbraw/zinc/70/17/08/773701708.db2.gz ZOPSLUFDVHZVRZ-SJORKVTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)nc3)C[C@H]21 ZINC001074179413 773701712 /nfs/dbraw/zinc/70/17/12/773701712.db2.gz ZOPSLUFDVHZVRZ-SJORKVTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3=COCCC3)C[C@H]21 ZINC001074191203 773714728 /nfs/dbraw/zinc/71/47/28/773714728.db2.gz UZZXSSOXHIODHF-CVEARBPZSA-N 1 2 304.390 1.006 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3=COCCC3)C[C@H]21 ZINC001074191203 773714731 /nfs/dbraw/zinc/71/47/31/773714731.db2.gz UZZXSSOXHIODHF-CVEARBPZSA-N 1 2 304.390 1.006 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCc3ncc[nH]3)C[C@H]21 ZINC001074229919 773754411 /nfs/dbraw/zinc/75/44/11/773754411.db2.gz PNONRCSRLAOEQE-CABCVRRESA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCc3ncc[nH]3)C[C@H]21 ZINC001074229919 773754415 /nfs/dbraw/zinc/75/44/15/773754415.db2.gz PNONRCSRLAOEQE-CABCVRRESA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)CN1CCO[C@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C[C@H]21 ZINC001074229919 773754417 /nfs/dbraw/zinc/75/44/17/773754417.db2.gz PNONRCSRLAOEQE-CABCVRRESA-N 1 2 318.421 1.220 20 30 DDEDLO C[C@H](CC(=O)NC[C@H](C)Nc1cncc(C#N)n1)n1cc[nH+]c1 ZINC001098302404 773793078 /nfs/dbraw/zinc/79/30/78/773793078.db2.gz KLMGROROMDPJRY-NWDGAFQWSA-N 1 2 313.365 1.113 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092181911 773986712 /nfs/dbraw/zinc/98/67/12/773986712.db2.gz NJHIGDXDLOHTBQ-ZIAGYGMSSA-N 1 2 318.421 1.443 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC=CCC1)c1nccn12 ZINC001092348168 774067253 /nfs/dbraw/zinc/06/72/53/774067253.db2.gz PNOIGZWTOPXZPV-CABCVRRESA-N 1 2 312.417 1.997 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc[nH]1)c1nccn12 ZINC001092350645 774069264 /nfs/dbraw/zinc/06/92/64/774069264.db2.gz UTVSZTJWZDCVPN-CQSZACIVSA-N 1 2 309.373 1.120 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1=COCCC1)c1nccn12 ZINC001092352840 774070365 /nfs/dbraw/zinc/07/03/65/774070365.db2.gz RMHPNAGCLONAKV-AWEZNQCLSA-N 1 2 314.389 1.335 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C)CCC1)c1nccn12 ZINC001092386692 774096675 /nfs/dbraw/zinc/09/66/75/774096675.db2.gz NDKOCVXRYBFPNL-CQSZACIVSA-N 1 2 312.417 1.669 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C)CC=CC1)c1nccn12 ZINC001092391377 774098564 /nfs/dbraw/zinc/09/85/64/774098564.db2.gz VKURHJVWOYHJTP-CQSZACIVSA-N 1 2 312.417 1.997 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@H]1C1CC1)c1nccn12 ZINC001092403866 774103339 /nfs/dbraw/zinc/10/33/39/774103339.db2.gz KGPANEWGTVLZOF-ARFHVFGLSA-N 1 2 324.428 1.524 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@H]1C ZINC001075211191 774425431 /nfs/dbraw/zinc/42/54/31/774425431.db2.gz OSIPYNREEHZDGN-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H](C)Nc1cc[nH+]c(C)n1 ZINC001098324171 774536400 /nfs/dbraw/zinc/53/64/00/774536400.db2.gz CBCBPGTXVGLCIH-YUTCNCBUSA-N 1 2 304.394 1.683 20 30 DDEDLO Cc1cc(N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)nc(C(C)C)[nH+]1 ZINC001093259410 774551971 /nfs/dbraw/zinc/55/19/71/774551971.db2.gz UVNAAQNPYDTYPN-HWWDLCQESA-N 1 2 324.428 1.873 20 30 DDEDLO CC[C@@H](C)C(=O)NC[C@@H]1CCC(C)(C)C[N@@H+]1CC(=O)NCC#N ZINC001098904293 774712754 /nfs/dbraw/zinc/71/27/54/774712754.db2.gz CEYAKKZSSZSQOZ-KGLIPLIRSA-N 1 2 322.453 1.279 20 30 DDEDLO CC[C@@H](C)C(=O)NC[C@@H]1CCC(C)(C)C[N@H+]1CC(=O)NCC#N ZINC001098904293 774712760 /nfs/dbraw/zinc/71/27/60/774712760.db2.gz CEYAKKZSSZSQOZ-KGLIPLIRSA-N 1 2 322.453 1.279 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cccn3C)CC2)C1 ZINC001093508252 774753536 /nfs/dbraw/zinc/75/35/36/774753536.db2.gz OWXKLAILQWIITF-UHFFFAOYSA-N 1 2 317.433 1.907 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cc(C)n[nH]3)CC2)C1 ZINC001093514137 774763765 /nfs/dbraw/zinc/76/37/65/774763765.db2.gz XMIZUYGAMIGURP-UHFFFAOYSA-N 1 2 318.421 1.600 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cc(C)[nH]n3)CC2)C1 ZINC001093514137 774763769 /nfs/dbraw/zinc/76/37/69/774763769.db2.gz XMIZUYGAMIGURP-UHFFFAOYSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CC[C@@H](CC)O3)CC2)C1 ZINC001093540210 774809501 /nfs/dbraw/zinc/80/95/01/774809501.db2.gz FSMYLXLBTAFJQO-PBHICJAKSA-N 1 2 322.449 1.822 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3ccnnc3)CC2)C1 ZINC001093578235 774852521 /nfs/dbraw/zinc/85/25/21/774852521.db2.gz XKXVRUDOGSOKFK-UHFFFAOYSA-N 1 2 316.405 1.358 20 30 DDEDLO CC(C)(C(=O)N1CC[C@H]2[C@H](CCN2CC#N)C1)c1c[nH+]c[nH]1 ZINC001036869608 775005683 /nfs/dbraw/zinc/00/56/83/775005683.db2.gz HNGCLNHDYRHQPN-OLZOCXBDSA-N 1 2 301.394 1.134 20 30 DDEDLO C[C@H](CCNC(=O)Cc1c[nH]c[nH+]1)Nc1cccc(F)c1C#N ZINC001099713140 775171100 /nfs/dbraw/zinc/17/11/00/775171100.db2.gz MAPRNRPHUCYSOJ-LLVKDONJSA-N 1 2 315.352 1.970 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001093917551 775206230 /nfs/dbraw/zinc/20/62/30/775206230.db2.gz KHDKBHMMIBDEGZ-UHFFFAOYSA-N 1 2 302.382 1.494 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCCNc1cccc(F)c1C#N ZINC001094188720 775550274 /nfs/dbraw/zinc/55/02/74/775550274.db2.gz KSFVEBOMTANBEH-NSHDSACASA-N 1 2 315.352 1.827 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCCNc1cccc(F)c1C#N ZINC001094188720 775550280 /nfs/dbraw/zinc/55/02/80/775550280.db2.gz KSFVEBOMTANBEH-NSHDSACASA-N 1 2 315.352 1.827 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)c3ccccc3)nn2)C1 ZINC001094270124 775611917 /nfs/dbraw/zinc/61/19/17/775611917.db2.gz KDSDSVNSPJTXFX-UHFFFAOYSA-N 1 2 309.373 1.088 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCN(C)c2cncc(C#N)n2)c(C)[nH+]1 ZINC001100417963 776125057 /nfs/dbraw/zinc/12/50/57/776125057.db2.gz UBPYGLWHMPREAH-UHFFFAOYSA-N 1 2 324.388 1.535 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@@H]2CCc3[nH+]ccn3C2)nc1 ZINC001094656123 776175395 /nfs/dbraw/zinc/17/53/95/776175395.db2.gz GNAORUUCHQJOOD-CQSZACIVSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3ccoc3Cl)CC2=O)C1 ZINC001094726330 776228918 /nfs/dbraw/zinc/22/89/18/776228918.db2.gz MBQHBJAVISDWLS-JTQLQIEISA-N 1 2 323.780 1.134 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C(C)=C3CCC3)CC2=O)C1 ZINC001094734190 776236029 /nfs/dbraw/zinc/23/60/29/776236029.db2.gz SASZTWFNXLYFOZ-HNNXBMFYSA-N 1 2 317.433 1.464 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)C3CCCC3)CC2=O)C1 ZINC001094806249 776304908 /nfs/dbraw/zinc/30/49/08/776304908.db2.gz KMJYSPNQKTUUNX-DZGCQCFKSA-N 1 2 319.449 1.400 20 30 DDEDLO CC1(C#N)CCC([NH+]2CC3(C2)[C@@H](CF)CCS3(=O)=O)CC1 ZINC001173598302 777273739 /nfs/dbraw/zinc/27/37/39/777273739.db2.gz OYLNKLHJISAVEC-IYXRBSQSSA-N 1 2 314.426 1.917 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)CSC)c1nccn12 ZINC001101613739 777305608 /nfs/dbraw/zinc/30/56/08/777305608.db2.gz GTQYFQLDTMHKJH-CHWSQXEVSA-N 1 2 320.462 1.640 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C(C)=C\CC)c1nccn12 ZINC001101614330 777306998 /nfs/dbraw/zinc/30/69/98/777306998.db2.gz RYTRNYOTBLJRJZ-CNTYAEFGSA-N 1 2 300.406 1.997 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncn1C ZINC001110182975 777721098 /nfs/dbraw/zinc/72/10/98/777721098.db2.gz GUELHRAGHFDELM-VDERGJSUSA-N 1 2 318.421 1.233 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncn1C ZINC001110182975 777721106 /nfs/dbraw/zinc/72/11/06/777721106.db2.gz GUELHRAGHFDELM-VDERGJSUSA-N 1 2 318.421 1.233 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1CN2c1cc(C)[nH+]c(CC)n1 ZINC001102544245 778197775 /nfs/dbraw/zinc/19/77/75/778197775.db2.gz HIGFEMGHFKVZGY-KBPBESRZSA-N 1 2 316.405 1.340 20 30 DDEDLO N#Cc1cnc(NC(=O)Cc2c[nH]c[nH+]2)c(Br)c1 ZINC001176827314 778260035 /nfs/dbraw/zinc/26/00/35/778260035.db2.gz HYDHNCWJRGVMPH-UHFFFAOYSA-N 1 2 306.123 1.620 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCOC(C)C)C[C@@H]21 ZINC001176922572 778309870 /nfs/dbraw/zinc/30/98/70/778309870.db2.gz BGGWBMXMIDGBLJ-HOTGVXAUSA-N 1 2 308.422 1.127 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCOC(C)C)C[C@@H]21 ZINC001176922572 778309875 /nfs/dbraw/zinc/30/98/75/778309875.db2.gz BGGWBMXMIDGBLJ-HOTGVXAUSA-N 1 2 308.422 1.127 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCC(C)=O)C[C@@H]21 ZINC001176936002 778322620 /nfs/dbraw/zinc/32/26/20/778322620.db2.gz AUWULKFGLPHLNT-HOTGVXAUSA-N 1 2 308.422 1.624 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCC(C)=O)C[C@@H]21 ZINC001176936002 778322629 /nfs/dbraw/zinc/32/26/29/778322629.db2.gz AUWULKFGLPHLNT-HOTGVXAUSA-N 1 2 308.422 1.624 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CC=CC3)C[C@H]21 ZINC001176970813 778357446 /nfs/dbraw/zinc/35/74/46/778357446.db2.gz YUAIAQDQBHPECC-IAGOWNOFSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CC=CC3)C[C@H]21 ZINC001176970813 778357452 /nfs/dbraw/zinc/35/74/52/778357452.db2.gz YUAIAQDQBHPECC-IAGOWNOFSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H]2OCC[N@@H+](CCO)[C@H]2C1 ZINC001177018094 778394365 /nfs/dbraw/zinc/39/43/65/778394365.db2.gz ARFAADQHNRNQCB-JKSUJKDBSA-N 1 2 308.422 1.049 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H]2OCC[N@H+](CCO)[C@H]2C1 ZINC001177018094 778394371 /nfs/dbraw/zinc/39/43/71/778394371.db2.gz ARFAADQHNRNQCB-JKSUJKDBSA-N 1 2 308.422 1.049 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCOCC)[C@@H]2C1 ZINC001177062351 778421226 /nfs/dbraw/zinc/42/12/26/778421226.db2.gz WKSIGGOKCADDCP-HUUCEWRRSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCOCC)[C@@H]2C1 ZINC001177062351 778421228 /nfs/dbraw/zinc/42/12/28/778421228.db2.gz WKSIGGOKCADDCP-HUUCEWRRSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]2OCC[N@@H+](CC(=C)C)[C@H]2C1 ZINC001177161179 778468795 /nfs/dbraw/zinc/46/87/95/778468795.db2.gz ABJUOHOYWIOFFY-HOTGVXAUSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]2OCC[N@H+](CC(=C)C)[C@H]2C1 ZINC001177161179 778468797 /nfs/dbraw/zinc/46/87/97/778468797.db2.gz ABJUOHOYWIOFFY-HOTGVXAUSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2OCC[N@@H+](CC(=C)C)[C@H]2C1 ZINC001177161181 778469044 /nfs/dbraw/zinc/46/90/44/778469044.db2.gz ABJUOHOYWIOFFY-JKSUJKDBSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2OCC[N@H+](CC(=C)C)[C@H]2C1 ZINC001177161181 778469045 /nfs/dbraw/zinc/46/90/45/778469045.db2.gz ABJUOHOYWIOFFY-JKSUJKDBSA-N 1 2 308.422 1.457 20 30 DDEDLO CC[C@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)nn1 ZINC001103056350 778603079 /nfs/dbraw/zinc/60/30/79/778603079.db2.gz JAOAEHDFNOZPBU-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO O=C(C#Cc1ccc(F)cc1F)N1CCc2[nH+]ccn2CC1 ZINC001178568904 779105281 /nfs/dbraw/zinc/10/52/81/779105281.db2.gz NPHPOSNKEWGYMB-UHFFFAOYSA-N 1 2 301.296 1.598 20 30 DDEDLO Cc1nc(NCC2(NC(=O)C#CC(C)C)CCOCC2)cc[nH+]1 ZINC001112251231 779675772 /nfs/dbraw/zinc/67/57/72/779675772.db2.gz UMKQWGOBFAKTKE-UHFFFAOYSA-N 1 2 316.405 1.522 20 30 DDEDLO Cc1nc(NC[C@H](C2CC2)N(C)C(=O)CSCC#N)cc[nH+]1 ZINC001115473781 780163470 /nfs/dbraw/zinc/16/34/70/780163470.db2.gz HYUZUJHEHCSVKO-CYBMUJFWSA-N 1 2 319.434 1.691 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NCC1(NCC#N)CCCCC1 ZINC001115641815 780296812 /nfs/dbraw/zinc/29/68/12/780296812.db2.gz JHOAPLOCMDVWAJ-UHFFFAOYSA-N 1 2 303.410 1.285 20 30 DDEDLO C=CC1CCN(c2nnnn2CC(C)(C)[NH+]2CCOCC2)CC1 ZINC001120022490 781727791 /nfs/dbraw/zinc/72/77/91/781727791.db2.gz LDVMXZBCXOCRGV-UHFFFAOYSA-N 1 2 320.441 1.186 20 30 DDEDLO N#CCNCC1(CCNC(=O)c2cc(-n3cc[nH+]c3)ccn2)CC1 ZINC001167601216 837449304 /nfs/dbraw/zinc/44/93/04/837449304.db2.gz PJSUUCBZEJLVEC-UHFFFAOYSA-N 1 2 324.388 1.281 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@@H+]([C@H]3CCNC3=O)C2)CCCC1 ZINC001266254772 835993693 /nfs/dbraw/zinc/99/36/93/835993693.db2.gz VAHZIDBDFFMWMQ-CABCVRRESA-N 1 2 319.449 1.592 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@H+]([C@H]3CCNC3=O)C2)CCCC1 ZINC001266254772 835993697 /nfs/dbraw/zinc/99/36/97/835993697.db2.gz VAHZIDBDFFMWMQ-CABCVRRESA-N 1 2 319.449 1.592 20 30 DDEDLO CC1CCC(C)(C(=O)N[C@H]2CC[N@H+](CC(=O)NCC#N)C2)CC1 ZINC001266362003 836173869 /nfs/dbraw/zinc/17/38/69/836173869.db2.gz WPHKBRAXNIYQEN-UUCFBXCCSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1CCC(C)(C(=O)N[C@H]2CC[N@@H+](CC(=O)NCC#N)C2)CC1 ZINC001266362003 836173871 /nfs/dbraw/zinc/17/38/71/836173871.db2.gz WPHKBRAXNIYQEN-UUCFBXCCSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]([NH2+]Cc1nc(C)no1)C1CC1 ZINC001266432276 836246256 /nfs/dbraw/zinc/24/62/56/836246256.db2.gz XTVSTDFNFFHZFA-ZDUSSCGKSA-N 1 2 306.410 1.965 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)n1cccc1 ZINC001266484031 836330800 /nfs/dbraw/zinc/33/08/00/836330800.db2.gz MWVLQHRUTKRXDO-YESZJQIVSA-N 1 2 315.417 1.374 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)n1cccc1 ZINC001266484031 836330810 /nfs/dbraw/zinc/33/08/10/836330810.db2.gz MWVLQHRUTKRXDO-YESZJQIVSA-N 1 2 315.417 1.374 20 30 DDEDLO COC(=O)Cn1cc(C[NH2+]Cc2ccc(C#N)c(Cl)c2)nn1 ZINC001414156377 836967922 /nfs/dbraw/zinc/96/79/22/836967922.db2.gz VESJXGQTLLQFJX-UHFFFAOYSA-N 1 2 319.752 1.266 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001266903538 837035125 /nfs/dbraw/zinc/03/51/25/837035125.db2.gz CRKHXWPTXLIXBV-CKTDYHTKSA-N 1 2 317.437 1.823 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001266903538 837035139 /nfs/dbraw/zinc/03/51/39/837035139.db2.gz CRKHXWPTXLIXBV-CKTDYHTKSA-N 1 2 317.437 1.823 20 30 DDEDLO CCO[C@H](C)c1noc(C[NH2+][C@H](C)CNC(=O)C#CC(C)C)n1 ZINC001266937218 837082706 /nfs/dbraw/zinc/08/27/06/837082706.db2.gz DTYZETXDTHNUQO-CHWSQXEVSA-N 1 2 322.409 1.421 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CC[C@H](N(CCC)C(=O)[C@@H](C)C#N)C1 ZINC001267453493 838070739 /nfs/dbraw/zinc/07/07/39/838070739.db2.gz CZLDUVYBEBLQGA-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CC[C@H](N(CCC)C(=O)[C@@H](C)C#N)C1 ZINC001267453493 838070741 /nfs/dbraw/zinc/07/07/41/838070741.db2.gz CZLDUVYBEBLQGA-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO Cc1ccc(C)c(C(=O)N(C)CC[NH+]2CCN(CC#N)CC2)c1 ZINC001267576022 838345777 /nfs/dbraw/zinc/34/57/77/838345777.db2.gz QQOSXFFPVDHGRH-UHFFFAOYSA-N 1 2 314.433 1.517 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cnnn2C)C1 ZINC001267613551 838468978 /nfs/dbraw/zinc/46/89/78/838468978.db2.gz MGJFFZMFBRLGQO-OAHLLOKOSA-N 1 2 317.437 1.664 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1CCC[N@H+](Cc2cnnn2C)C1 ZINC001267613551 838468981 /nfs/dbraw/zinc/46/89/81/838468981.db2.gz MGJFFZMFBRLGQO-OAHLLOKOSA-N 1 2 317.437 1.664 20 30 DDEDLO CC(C)CCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001267632589 838538835 /nfs/dbraw/zinc/53/88/35/838538835.db2.gz ZVRZUDKGEOYHQO-AWEZNQCLSA-N 1 2 308.426 1.033 20 30 DDEDLO CC(C)CCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001267632589 838538841 /nfs/dbraw/zinc/53/88/41/838538841.db2.gz ZVRZUDKGEOYHQO-AWEZNQCLSA-N 1 2 308.426 1.033 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)C[C@H](C)c2ccco2)C1 ZINC001267696647 838668408 /nfs/dbraw/zinc/66/84/08/838668408.db2.gz XAGUZHURHOUUSJ-ZDUSSCGKSA-N 1 2 319.405 1.123 20 30 DDEDLO C=CC[C@H](C(=O)NCC1C[NH+]([C@@H](C)C(N)=O)C1)c1ccccc1 ZINC001267699558 838671903 /nfs/dbraw/zinc/67/19/03/838671903.db2.gz XBHDKZLSGNTCIN-BBRMVZONSA-N 1 2 315.417 1.268 20 30 DDEDLO CCCCCCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1=NC(=O)N(C)C1 ZINC001267711635 838698292 /nfs/dbraw/zinc/69/82/92/838698292.db2.gz BUWYRSBNBLKKQJ-KBPBESRZSA-N 1 2 320.437 1.995 20 30 DDEDLO CCCCCCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1=NC(=O)N(C)C1 ZINC001267711635 838698294 /nfs/dbraw/zinc/69/82/94/838698294.db2.gz BUWYRSBNBLKKQJ-KBPBESRZSA-N 1 2 320.437 1.995 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)cc1 ZINC001267717272 838714959 /nfs/dbraw/zinc/71/49/59/838714959.db2.gz WYXIBEZIMNBPMS-HOTGVXAUSA-N 1 2 314.385 1.244 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)cc1 ZINC001267717272 838714964 /nfs/dbraw/zinc/71/49/64/838714964.db2.gz WYXIBEZIMNBPMS-HOTGVXAUSA-N 1 2 314.385 1.244 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC001267752060 838854504 /nfs/dbraw/zinc/85/45/04/838854504.db2.gz SKZFBPZKYZVAII-BZUAXINKSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC001267752060 838854511 /nfs/dbraw/zinc/85/45/11/838854511.db2.gz SKZFBPZKYZVAII-BZUAXINKSA-N 1 2 321.465 1.696 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1CCC[N@@H+](Cc2cncc(F)c2)C1 ZINC001267931540 839214838 /nfs/dbraw/zinc/21/48/38/839214838.db2.gz VOVXOGDCARJUOZ-MRXNPFEDSA-N 1 2 301.365 1.715 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1CCC[N@H+](Cc2cncc(F)c2)C1 ZINC001267931540 839214849 /nfs/dbraw/zinc/21/48/49/839214849.db2.gz VOVXOGDCARJUOZ-MRXNPFEDSA-N 1 2 301.365 1.715 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)C[C@H]2CCC(C)(C)CO2)C1 ZINC001268023424 839406581 /nfs/dbraw/zinc/40/65/81/839406581.db2.gz KBGPRZGLQAOEAE-CVEARBPZSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)C[C@H]2CCC(C)(C)CO2)C1 ZINC001268023424 839406587 /nfs/dbraw/zinc/40/65/87/839406587.db2.gz KBGPRZGLQAOEAE-CVEARBPZSA-N 1 2 324.465 1.975 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@@H](C)C[NH2+]Cc1nc(C(C)C)no1 ZINC001268064974 839637260 /nfs/dbraw/zinc/63/72/60/839637260.db2.gz XBDYFPUHXLZCQS-STQMWFEESA-N 1 2 322.409 1.168 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@@]2(C)CCC[C@@H]2CC)C1 ZINC001268842878 840892582 /nfs/dbraw/zinc/89/25/82/840892582.db2.gz CHRPAYAZYRHUSF-YJBOKZPZSA-N 1 2 321.465 1.553 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1C[NH+](Cc2cnc(C)nc2)C1 ZINC001268874692 840929381 /nfs/dbraw/zinc/92/93/81/840929381.db2.gz VRQXVBAXYZKYLY-QGZVFWFLSA-N 1 2 302.422 1.935 20 30 DDEDLO O=C(CCS)N1CCC[C@]2(C1)C[N@H+](Cc1ccc[nH]1)CCO2 ZINC001268959852 841057049 /nfs/dbraw/zinc/05/70/49/841057049.db2.gz NMMUFFNALVHVGF-MRXNPFEDSA-N 1 2 323.462 1.528 20 30 DDEDLO O=C(CCS)N1CCC[C@]2(C1)C[N@@H+](Cc1ccc[nH]1)CCO2 ZINC001268959852 841057058 /nfs/dbraw/zinc/05/70/58/841057058.db2.gz NMMUFFNALVHVGF-MRXNPFEDSA-N 1 2 323.462 1.528 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCC=CCCC2)C1 ZINC001269039338 841143123 /nfs/dbraw/zinc/14/31/23/841143123.db2.gz UKLSILHUYLFTCB-CVEARBPZSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCC=CCCC2)C1 ZINC001269039338 841143133 /nfs/dbraw/zinc/14/31/33/841143133.db2.gz UKLSILHUYLFTCB-CVEARBPZSA-N 1 2 319.449 1.616 20 30 DDEDLO CCc1noc(C[NH2+]C[C@H](NC(=O)C#CC(C)(C)C)C2CC2)n1 ZINC001269071011 841171539 /nfs/dbraw/zinc/17/15/39/841171539.db2.gz AABSEVAQWDAFTI-ZDUSSCGKSA-N 1 2 318.421 1.666 20 30 DDEDLO C=C(Cc1ccccc1)C(=O)N1CC2(C1)C[NH+](Cc1cn[nH]c1)C2 ZINC001269092962 841196858 /nfs/dbraw/zinc/19/68/58/841196858.db2.gz LAIYLQPRPIPARU-UHFFFAOYSA-N 1 2 322.412 1.853 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001269206507 841344460 /nfs/dbraw/zinc/34/44/60/841344460.db2.gz YDDAKCNPXADHPO-HUUCEWRRSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001269206507 841344471 /nfs/dbraw/zinc/34/44/71/841344471.db2.gz YDDAKCNPXADHPO-HUUCEWRRSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CC[N@H+](Cc2cncc(F)c2)C1 ZINC001269221811 841374261 /nfs/dbraw/zinc/37/42/61/841374261.db2.gz PIXLMSJZCQUDFI-OAHLLOKOSA-N 1 2 307.369 1.456 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001269221811 841374271 /nfs/dbraw/zinc/37/42/71/841374271.db2.gz PIXLMSJZCQUDFI-OAHLLOKOSA-N 1 2 307.369 1.456 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2nc(C)cs2)C1 ZINC001269241453 841408428 /nfs/dbraw/zinc/40/84/28/841408428.db2.gz VPUJKNVCGQLVJS-CQSZACIVSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2nc(C)cs2)C1 ZINC001269241453 841408430 /nfs/dbraw/zinc/40/84/30/841408430.db2.gz VPUJKNVCGQLVJS-CQSZACIVSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@H+]1[C@H]1CCN(CC)C1=O ZINC001269273000 841450421 /nfs/dbraw/zinc/45/04/21/841450421.db2.gz QFXBGMHPMBAZNV-CVEARBPZSA-N 1 2 319.449 1.381 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H]1CC[N@@H+]1[C@H]1CCN(CC)C1=O ZINC001269273000 841450429 /nfs/dbraw/zinc/45/04/29/841450429.db2.gz QFXBGMHPMBAZNV-CVEARBPZSA-N 1 2 319.449 1.381 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@]1(C)CCCS1(=O)=O ZINC001269321626 841518640 /nfs/dbraw/zinc/51/86/40/841518640.db2.gz ZMXHIACNRSXJCA-UKRRQHHQSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@@H]1CNC(=O)[C@@]1(C)CCCS1(=O)=O ZINC001269321626 841518646 /nfs/dbraw/zinc/51/86/46/841518646.db2.gz ZMXHIACNRSXJCA-UKRRQHHQSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)CCCCN2C(=O)Cc1c[nH+]c[nH]1 ZINC001269569128 841775396 /nfs/dbraw/zinc/77/53/96/841775396.db2.gz MANUYBMVGGBNNZ-MRXNPFEDSA-N 1 2 302.378 1.122 20 30 DDEDLO C=C(C)COCCNC(=O)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC001326359283 861304520 /nfs/dbraw/zinc/30/45/20/861304520.db2.gz IPUGUIHHEWIWIC-UHFFFAOYSA-N 1 2 305.378 1.024 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)Cc2ccc(C)s2)C1 ZINC001270701212 842830998 /nfs/dbraw/zinc/83/09/98/842830998.db2.gz QIBFNQZXQDZKGN-AWEZNQCLSA-N 1 2 308.447 1.992 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)Cc2ccc(C)s2)C1 ZINC001270701212 842831007 /nfs/dbraw/zinc/83/10/07/842831007.db2.gz QIBFNQZXQDZKGN-AWEZNQCLSA-N 1 2 308.447 1.992 20 30 DDEDLO C#CC[NH2+][C@@H](C)c1ccc(CNC(=O)c2[nH]ncc2F)cc1 ZINC001326481077 861399062 /nfs/dbraw/zinc/39/90/62/861399062.db2.gz PEABZBYTGDMMNX-NSHDSACASA-N 1 2 300.337 1.763 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ccon1 ZINC001152234332 863234584 /nfs/dbraw/zinc/23/45/84/863234584.db2.gz IZBHQXGGDWPAOZ-ZIAGYGMSSA-N 1 2 309.410 1.982 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ccon1 ZINC001152234332 863234600 /nfs/dbraw/zinc/23/46/00/863234600.db2.gz IZBHQXGGDWPAOZ-ZIAGYGMSSA-N 1 2 309.410 1.982 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[N@H+](C)Cc2cnc(C)cn2)nc1 ZINC001272151828 844664898 /nfs/dbraw/zinc/66/48/98/844664898.db2.gz DTDKESLZWIVAIC-UHFFFAOYSA-N 1 2 323.400 1.365 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[N@@H+](C)Cc2cnc(C)cn2)nc1 ZINC001272151828 844664904 /nfs/dbraw/zinc/66/49/04/844664904.db2.gz DTDKESLZWIVAIC-UHFFFAOYSA-N 1 2 323.400 1.365 20 30 DDEDLO CCCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(C)n1 ZINC001326658516 861520434 /nfs/dbraw/zinc/52/04/34/861520434.db2.gz LIBJNJIOVIFJHT-CQSZACIVSA-N 1 2 323.441 1.216 20 30 DDEDLO CCCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(C)n1 ZINC001326658516 861520444 /nfs/dbraw/zinc/52/04/44/861520444.db2.gz LIBJNJIOVIFJHT-CQSZACIVSA-N 1 2 323.441 1.216 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)CCCCCC#N)C2 ZINC001326791245 861612794 /nfs/dbraw/zinc/61/27/94/861612794.db2.gz UIBJQDRALSCHFF-CQSZACIVSA-N 1 2 318.421 1.631 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1c(C)nn(C)c1C)C2 ZINC001272542702 846297209 /nfs/dbraw/zinc/29/72/09/846297209.db2.gz FMKWKJPMKYJKOW-UHFFFAOYSA-N 1 2 318.421 1.026 20 30 DDEDLO Cc1ccccc1C[NH+]1CC2(C1)CN(CCCC#N)C(=O)CO2 ZINC001272637111 846436555 /nfs/dbraw/zinc/43/65/55/846436555.db2.gz IQSQMZCLYIXRKP-UHFFFAOYSA-N 1 2 313.401 1.712 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC2CCOCC2)C1 ZINC001107827156 847229105 /nfs/dbraw/zinc/22/91/05/847229105.db2.gz JHIWQXDHTUZBEO-QGZVFWFLSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC2CCOCC2)C1 ZINC001107827156 847229112 /nfs/dbraw/zinc/22/91/12/847229112.db2.gz JHIWQXDHTUZBEO-QGZVFWFLSA-N 1 2 308.422 1.034 20 30 DDEDLO CC1CC(C[N@@H+]2CCOC[C@H]2CNC(=O)CSCC#N)C1 ZINC001272832906 847525102 /nfs/dbraw/zinc/52/51/02/847525102.db2.gz AOUCWDXPENWLMP-JXQTWKCFSA-N 1 2 311.451 1.106 20 30 DDEDLO CC1CC(C[N@H+]2CCOC[C@H]2CNC(=O)CSCC#N)C1 ZINC001272832906 847525112 /nfs/dbraw/zinc/52/51/12/847525112.db2.gz AOUCWDXPENWLMP-JXQTWKCFSA-N 1 2 311.451 1.106 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccnn1 ZINC001272894583 847602947 /nfs/dbraw/zinc/60/29/47/847602947.db2.gz SBQBOBOLXDEWQJ-HNNXBMFYSA-N 1 2 318.421 1.654 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccnn1 ZINC001272894583 847602951 /nfs/dbraw/zinc/60/29/51/847602951.db2.gz SBQBOBOLXDEWQJ-HNNXBMFYSA-N 1 2 318.421 1.654 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3c[nH]c4ccccc34)C2)OCC1=O ZINC001272939416 847669881 /nfs/dbraw/zinc/66/98/81/847669881.db2.gz DYVXUMGSBYTPEN-UHFFFAOYSA-N 1 2 311.385 1.767 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](CCCn3cccn3)C[C@@]2(F)C1=O ZINC001273095378 847903812 /nfs/dbraw/zinc/90/38/12/847903812.db2.gz PIBQMKQXNRBLPR-JKSUJKDBSA-N 1 2 324.375 1.424 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](CCCn3cccn3)C[C@@]2(F)C1=O ZINC001273095378 847903819 /nfs/dbraw/zinc/90/38/19/847903819.db2.gz PIBQMKQXNRBLPR-JKSUJKDBSA-N 1 2 324.375 1.424 20 30 DDEDLO C=CC[N@@H+]1CCCC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001034211766 848119465 /nfs/dbraw/zinc/11/94/65/848119465.db2.gz OEUZDDNAMXVYFR-KBPBESRZSA-N 1 2 302.422 1.986 20 30 DDEDLO C=CC[N@H+]1CCCC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001034211766 848119469 /nfs/dbraw/zinc/11/94/69/848119469.db2.gz OEUZDDNAMXVYFR-KBPBESRZSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H](NC(=O)CCOC)[C@H]1C ZINC001410931584 849984436 /nfs/dbraw/zinc/98/44/36/849984436.db2.gz XTOSCZTZMJKRNB-VXGBXAGGSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H](NC(=O)CCOC)[C@H]1C ZINC001410931584 849984450 /nfs/dbraw/zinc/98/44/50/849984450.db2.gz XTOSCZTZMJKRNB-VXGBXAGGSA-N 1 2 319.243 1.901 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2COC3(C[NH+]([C@@H](C)COC)C3)C2)CCC1 ZINC001327408203 862148662 /nfs/dbraw/zinc/14/86/62/862148662.db2.gz RGTAWFOTBOHOQK-GJZGRUSLSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](CCCCOC)C[C@@]2(F)C1=O ZINC001273525015 851059710 /nfs/dbraw/zinc/05/97/10/851059710.db2.gz ANVOOGSDAKKBEF-LSDHHAIUSA-N 1 2 302.365 1.564 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](CCCCOC)C[C@@]2(F)C1=O ZINC001273525015 851059712 /nfs/dbraw/zinc/05/97/12/851059712.db2.gz ANVOOGSDAKKBEF-LSDHHAIUSA-N 1 2 302.365 1.564 20 30 DDEDLO C=C(C)CN1C(=O)C[C@]2(CCC[N@H+](Cc3noc(C)n3)C2)C1=O ZINC001273771085 851325898 /nfs/dbraw/zinc/32/58/98/851325898.db2.gz WFEQJSFGLUZOBV-INIZCTEOSA-N 1 2 318.377 1.295 20 30 DDEDLO C=C(C)CN1C(=O)C[C@]2(CCC[N@@H+](Cc3noc(C)n3)C2)C1=O ZINC001273771085 851325901 /nfs/dbraw/zinc/32/59/01/851325901.db2.gz WFEQJSFGLUZOBV-INIZCTEOSA-N 1 2 318.377 1.295 20 30 DDEDLO CC1(C)OC[C@H](CNC(=O)[C@@H]2CC23CC[NH+](CCC#N)CC3)O1 ZINC001274031252 851869890 /nfs/dbraw/zinc/86/98/90/851869890.db2.gz LVAUVOSPFYJFRS-KBPBESRZSA-N 1 2 321.421 1.270 20 30 DDEDLO Cc1cc(CNC(=O)[C@H]2CC23CC[NH+](CCC#N)CC3)n(C)n1 ZINC001274032651 851873575 /nfs/dbraw/zinc/87/35/75/851873575.db2.gz UKYQIZPHQKOLBT-OAHLLOKOSA-N 1 2 315.421 1.361 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1cc(OC)cnc1F ZINC001274116447 851945722 /nfs/dbraw/zinc/94/57/22/851945722.db2.gz YMJQKBASLHTMJH-HUUCEWRRSA-N 1 2 317.364 1.428 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cc(OC)cnc1F ZINC001274116447 851945727 /nfs/dbraw/zinc/94/57/27/851945727.db2.gz YMJQKBASLHTMJH-HUUCEWRRSA-N 1 2 317.364 1.428 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001274196642 852024770 /nfs/dbraw/zinc/02/47/70/852024770.db2.gz AJTBRAUYYPRAIK-GXTWGEPZSA-N 1 2 316.405 1.543 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)CN(Cc3c[nH]c(C)[nH+]3)C[C@@]2(F)C1=O ZINC001274575668 852409026 /nfs/dbraw/zinc/40/90/26/852409026.db2.gz HKISAPZNPRKQQA-LSDHHAIUSA-N 1 2 310.348 1.369 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)CN(Cc3c[nH+]c(C)[nH]3)C[C@@]2(F)C1=O ZINC001274575668 852409020 /nfs/dbraw/zinc/40/90/20/852409020.db2.gz HKISAPZNPRKQQA-LSDHHAIUSA-N 1 2 310.348 1.369 20 30 DDEDLO C=CCC[C@@H](O)C[NH+]1CC2(C1)CN(C1CCCC1)C(=O)CO2 ZINC001274621641 852453228 /nfs/dbraw/zinc/45/32/28/852453228.db2.gz WELDNKHTNLWDDQ-OAHLLOKOSA-N 1 2 308.422 1.169 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)COCC(F)F ZINC001274847027 852638607 /nfs/dbraw/zinc/63/86/07/852638607.db2.gz KQDBIENDNJBUBV-OAHLLOKOSA-N 1 2 322.355 1.617 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)COCC(F)F ZINC001274847027 852638610 /nfs/dbraw/zinc/63/86/10/852638610.db2.gz KQDBIENDNJBUBV-OAHLLOKOSA-N 1 2 322.355 1.617 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4ccc(O)cn4)C3)C2)cc1C#N ZINC001275047185 852770615 /nfs/dbraw/zinc/77/06/15/852770615.db2.gz QHMNMIBYINLHQS-UHFFFAOYSA-N 1 2 323.400 1.315 20 30 DDEDLO N#Cc1cccc(CN2CC3(C2)C[NH+](Cc2cccc(=O)[nH]2)C3)c1 ZINC001275290384 852956451 /nfs/dbraw/zinc/95/64/51/852956451.db2.gz IXCDUFIZQCEDGR-UHFFFAOYSA-N 1 2 320.396 1.977 20 30 DDEDLO CC(C)NC(=O)N1CC2(C1)C[NH+](Cc1ccc(C#N)s1)C2 ZINC001275589188 853406128 /nfs/dbraw/zinc/40/61/28/853406128.db2.gz MGMVTJDTRXYEEF-UHFFFAOYSA-N 1 2 304.419 1.855 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2[C@H](C1)OCCN2Cc1ccc[nH]1 ZINC001275965638 854029997 /nfs/dbraw/zinc/02/99/97/854029997.db2.gz KVMFQOPIJOYQNL-MOPGFXCFSA-N 1 2 322.412 1.972 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2[C@H](C1)OCCN2Cc1ccc[nH]1 ZINC001275965638 854030004 /nfs/dbraw/zinc/03/00/04/854030004.db2.gz KVMFQOPIJOYQNL-MOPGFXCFSA-N 1 2 322.412 1.972 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)c1cnon1 ZINC001155929636 862565522 /nfs/dbraw/zinc/56/55/22/862565522.db2.gz CUUAWPUTNPJMHB-SECBINFHSA-N 1 2 317.187 1.418 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)c1cnon1 ZINC001155929636 862565526 /nfs/dbraw/zinc/56/55/26/862565526.db2.gz CUUAWPUTNPJMHB-SECBINFHSA-N 1 2 317.187 1.418 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)CCc2cncc(C)c2)C1 ZINC001276061940 854690751 /nfs/dbraw/zinc/69/07/51/854690751.db2.gz DRCOEOBSQIALHW-UHFFFAOYSA-N 1 2 317.433 1.452 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cnco2)cc1C#N ZINC001276161648 854857976 /nfs/dbraw/zinc/85/79/76/854857976.db2.gz PSIJVTGSTVRKQK-GASCZTMLSA-N 1 2 311.389 1.734 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cnco2)cc1C#N ZINC001276161648 854857981 /nfs/dbraw/zinc/85/79/81/854857981.db2.gz PSIJVTGSTVRKQK-GASCZTMLSA-N 1 2 311.389 1.734 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(Cl)nnc3Cl)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227652226 883119932 /nfs/dbraw/zinc/11/99/32/883119932.db2.gz GNJVVHLFNDFNDT-QBEQFSANSA-N 1 2 302.161 1.775 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([C@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001111212960 855187299 /nfs/dbraw/zinc/18/72/99/855187299.db2.gz INUKXCHRHLEKHK-JSGCOSHPSA-N 1 2 304.394 1.637 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCO[C@H](c2cccc(C#N)c2)C1 ZINC001412787878 855267516 /nfs/dbraw/zinc/26/75/16/855267516.db2.gz KIOUVSZLAYAWCG-INIZCTEOSA-N 1 2 310.357 1.732 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)n2)C[C@H]1C ZINC001206640640 862732803 /nfs/dbraw/zinc/73/28/03/862732803.db2.gz IPRZWBUFUGQELW-DMDPSCGWSA-N 1 2 306.797 1.831 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)n2)C[C@H]1C ZINC001206640640 862732809 /nfs/dbraw/zinc/73/28/09/862732809.db2.gz IPRZWBUFUGQELW-DMDPSCGWSA-N 1 2 306.797 1.831 20 30 DDEDLO CCCn1cncc1C[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC001413262825 856594914 /nfs/dbraw/zinc/59/49/14/856594914.db2.gz ZXOVPGREZVPIQV-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC2(CN(C(=O)[C@H](C)OC)C2)C1 ZINC001072526419 857401448 /nfs/dbraw/zinc/40/14/48/857401448.db2.gz XDTDURXKNCNYBG-NSHDSACASA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@H+]1CCC2(CN(C(=O)[C@H](C)OC)C2)C1 ZINC001072526419 857401446 /nfs/dbraw/zinc/40/14/46/857401446.db2.gz XDTDURXKNCNYBG-NSHDSACASA-N 1 2 317.227 1.464 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001072551134 857434107 /nfs/dbraw/zinc/43/41/07/857434107.db2.gz ATQNFFPIWWHZNL-CQSZACIVSA-N 1 2 315.421 1.658 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001072551134 857434109 /nfs/dbraw/zinc/43/41/09/857434109.db2.gz ATQNFFPIWWHZNL-CQSZACIVSA-N 1 2 315.421 1.658 20 30 DDEDLO C=CCN(c1nnc(C[N@@H+]2CCC[C@@H](O)C2)n1CC)C1CC1 ZINC001121850771 858612657 /nfs/dbraw/zinc/61/26/57/858612657.db2.gz BCZRWYWNIJXZIR-CQSZACIVSA-N 1 2 305.426 1.410 20 30 DDEDLO C=CCN(c1nnc(C[N@H+]2CCC[C@@H](O)C2)n1CC)C1CC1 ZINC001121850771 858612661 /nfs/dbraw/zinc/61/26/61/858612661.db2.gz BCZRWYWNIJXZIR-CQSZACIVSA-N 1 2 305.426 1.410 20 30 DDEDLO N#Cc1ccc2[nH]c(C(=O)N3CCc4[nH+]ccn4CC3)cc2c1 ZINC001156456943 863065569 /nfs/dbraw/zinc/06/55/69/863065569.db2.gz LYNZDLKTNXEAMB-UHFFFAOYSA-N 1 2 305.341 1.935 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](C)N(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001123156757 859109308 /nfs/dbraw/zinc/10/93/08/859109308.db2.gz KJOMTYQPHXLIGI-JQWIXIFHSA-N 1 2 305.407 1.443 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@H](C)[C@@H]1CN(C)CC[N@@H+]1C ZINC001123793336 859417947 /nfs/dbraw/zinc/41/79/47/859417947.db2.gz AXBPMYGVZUMMEX-WCVJEAGWSA-N 1 2 306.454 1.513 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@H](C)[C@@H]1CN(C)CC[N@H+]1C ZINC001123793336 859417955 /nfs/dbraw/zinc/41/79/55/859417955.db2.gz AXBPMYGVZUMMEX-WCVJEAGWSA-N 1 2 306.454 1.513 20 30 DDEDLO Cc1cc(NC[C@@H](O)CN(C)C(=O)[C@H](C)C#N)nc(C(C)C)[nH+]1 ZINC001124190079 859619857 /nfs/dbraw/zinc/61/98/57/859619857.db2.gz BYYRQKQZXQGYRP-DGCLKSJQSA-N 1 2 319.409 1.299 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1nnc(C(C)C)o1 ZINC001124482527 859718370 /nfs/dbraw/zinc/71/83/70/859718370.db2.gz FKUJDWOXEANWDJ-LBPRGKRZSA-N 1 2 310.398 1.380 20 30 DDEDLO C=CCCC(=O)NCC[NH2+]Cc1nnc([C@H]2CCCOC2)o1 ZINC001124640465 859758272 /nfs/dbraw/zinc/75/82/72/859758272.db2.gz HGFYZJFNUMPGIY-LBPRGKRZSA-N 1 2 308.382 1.136 20 30 DDEDLO C=CCCC(=O)NCC[NH2+]Cc1nnc(Cc2ccccc2)o1 ZINC001124646074 859762356 /nfs/dbraw/zinc/76/23/56/859762356.db2.gz FXDDAKBZVGLFSP-UHFFFAOYSA-N 1 2 314.389 1.832 20 30 DDEDLO C[C@H]1C[NH+](C2CN(Cc3cc(C#N)ccc3F)C2)C[C@H](C)O1 ZINC001139650399 860446858 /nfs/dbraw/zinc/44/68/58/860446858.db2.gz FNRQSRZULNZGSU-STQMWFEESA-N 1 2 303.381 1.991 20 30 DDEDLO C=CCN1CC[NH+](Cc2c(C)nn(CCC(=O)OC)c2C)CC1 ZINC001139786488 860486565 /nfs/dbraw/zinc/48/65/65/860486565.db2.gz UGPMJLLUGMMWQJ-UHFFFAOYSA-N 1 2 320.437 1.367 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1ccon1 ZINC001325896827 860929600 /nfs/dbraw/zinc/92/96/00/860929600.db2.gz DVMFZZOCHMQTEE-INMHGKMJSA-N 1 2 319.405 1.567 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1ccon1 ZINC001325896827 860929612 /nfs/dbraw/zinc/92/96/12/860929612.db2.gz DVMFZZOCHMQTEE-INMHGKMJSA-N 1 2 319.405 1.567 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc3c(c2)CCN3C(C)=O)CC1 ZINC001154274007 860940631 /nfs/dbraw/zinc/94/06/31/860940631.db2.gz XIINCFWCZOIUFM-UHFFFAOYSA-N 1 2 313.401 1.539 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C(C)C)nn1 ZINC001152370965 863308318 /nfs/dbraw/zinc/30/83/18/863308318.db2.gz VZUQWKWTAVEUCL-CQSZACIVSA-N 1 2 323.441 1.388 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C(C)C)nn1 ZINC001152370965 863308329 /nfs/dbraw/zinc/30/83/29/863308329.db2.gz VZUQWKWTAVEUCL-CQSZACIVSA-N 1 2 323.441 1.388 20 30 DDEDLO CC[N@H+](Cc1nocc1C)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152794140 863540224 /nfs/dbraw/zinc/54/02/24/863540224.db2.gz IGQSQVWJDOBMNB-GFCCVEGCSA-N 1 2 315.377 1.823 20 30 DDEDLO CC[N@@H+](Cc1nocc1C)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152794140 863540233 /nfs/dbraw/zinc/54/02/33/863540233.db2.gz IGQSQVWJDOBMNB-GFCCVEGCSA-N 1 2 315.377 1.823 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(c2cnn(C)c2)C1)c1cccc(C#N)c1O ZINC001329362463 863619218 /nfs/dbraw/zinc/61/92/18/863619218.db2.gz CXSMZFYMCGSTBM-GXTWGEPZSA-N 1 2 311.389 1.927 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)oc1C ZINC001153018707 863654739 /nfs/dbraw/zinc/65/47/39/863654739.db2.gz NWPBGLGDGPIQDY-PXAZEXFGSA-N 1 2 323.437 1.945 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)oc1C ZINC001153018707 863654745 /nfs/dbraw/zinc/65/47/45/863654745.db2.gz NWPBGLGDGPIQDY-PXAZEXFGSA-N 1 2 323.437 1.945 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+][C@@H](C)c1nnc(CC(C)C)o1 ZINC001153149619 863735189 /nfs/dbraw/zinc/73/51/89/863735189.db2.gz ZZIYEDJOLVVLOB-STQMWFEESA-N 1 2 324.425 1.626 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](C)C1C[NH+](Cc2ccc(F)cn2)C1 ZINC001329983151 863992726 /nfs/dbraw/zinc/99/27/26/863992726.db2.gz IGIYQBWUDAGLDV-SJCJKPOMSA-N 1 2 321.396 1.484 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)CCc1[nH+]ccn1C ZINC001158276723 864533471 /nfs/dbraw/zinc/53/34/71/864533471.db2.gz CLOZVWSEOCPCCI-CQSZACIVSA-N 1 2 303.410 1.189 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001158345976 864592239 /nfs/dbraw/zinc/59/22/39/864592239.db2.gz XHJAUGMOGFQVJQ-IKGGRYGDSA-N 1 2 315.417 1.896 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)[C@@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001158345976 864592252 /nfs/dbraw/zinc/59/22/52/864592252.db2.gz XHJAUGMOGFQVJQ-IKGGRYGDSA-N 1 2 315.417 1.896 20 30 DDEDLO COc1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)ccc1[N+](=O)[O-] ZINC001225441694 881836232 /nfs/dbraw/zinc/83/62/32/881836232.db2.gz WWJWVYJDNDLTDC-HJTUNCCVSA-N 1 2 306.318 1.595 20 30 DDEDLO COc1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)ccc1[N+](=O)[O-] ZINC001225441694 881836247 /nfs/dbraw/zinc/83/62/47/881836247.db2.gz WWJWVYJDNDLTDC-HJTUNCCVSA-N 1 2 306.318 1.595 20 30 DDEDLO Cc1ccnc(C[NH2+]C2(CNC(=O)C#CC(C)(C)C)CC2)n1 ZINC001277055394 881910702 /nfs/dbraw/zinc/91/07/02/881910702.db2.gz PCQAJANKGZNSKB-UHFFFAOYSA-N 1 2 300.406 1.573 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1[nH]ccc2ncc(C#N)c1-2 ZINC001160695389 866039498 /nfs/dbraw/zinc/03/94/98/866039498.db2.gz FESLLDFEWZFDMX-CYBMUJFWSA-N 1 2 324.344 1.364 20 30 DDEDLO N#Cc1cnc(Cl)nc1N[C@H]1CCC[C@H]1[NH+]1CCOCC1 ZINC001160722945 866067306 /nfs/dbraw/zinc/06/73/06/866067306.db2.gz IWLYQGSGPBRRKL-NWDGAFQWSA-N 1 2 307.785 1.089 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC1CC[NH+](Cc2cnns2)CC1 ZINC001225631420 881948776 /nfs/dbraw/zinc/94/87/76/881948776.db2.gz NTQRFFLKYBWURD-LBPRGKRZSA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1ccccc1OC ZINC001320073080 866455540 /nfs/dbraw/zinc/45/55/40/866455540.db2.gz QKRVVQHFKFZQRM-UHFFFAOYSA-N 1 2 319.405 1.001 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1ccccc1OC ZINC001320073080 866455549 /nfs/dbraw/zinc/45/55/49/866455549.db2.gz QKRVVQHFKFZQRM-UHFFFAOYSA-N 1 2 319.405 1.001 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@H+]2[C@@H]2CCCN(C)C2=O)CCC1 ZINC001323301309 866511660 /nfs/dbraw/zinc/51/16/60/866511660.db2.gz GZCQXHPQXDGCMF-LSDHHAIUSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@@H+]2[C@@H]2CCCN(C)C2=O)CCC1 ZINC001323301309 866511671 /nfs/dbraw/zinc/51/16/71/866511671.db2.gz GZCQXHPQXDGCMF-LSDHHAIUSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@H](C)C(=O)NC1CC1 ZINC001323311960 866522455 /nfs/dbraw/zinc/52/24/55/866522455.db2.gz WWKFFHIEZMXHQQ-OCCSQVGLSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CC1 ZINC001323311960 866522463 /nfs/dbraw/zinc/52/24/63/866522463.db2.gz WWKFFHIEZMXHQQ-OCCSQVGLSA-N 1 2 307.438 1.446 20 30 DDEDLO C=C(C)CCC(=O)NCC1([NH2+]Cc2nc(C3CC3)nn2C)CC1 ZINC001323455464 866621934 /nfs/dbraw/zinc/62/19/34/866621934.db2.gz DRGXMACQDYYCEH-UHFFFAOYSA-N 1 2 317.437 1.787 20 30 DDEDLO C#CCN(C(=O)C#CC1CC1)C1CC[NH+](Cc2ccon2)CC1 ZINC001324387145 867250669 /nfs/dbraw/zinc/25/06/69/867250669.db2.gz RFTFJOPDVDEXEH-UHFFFAOYSA-N 1 2 311.385 1.514 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]C/C=C/CNC(=O)C#CC(C)C)s1 ZINC001321054528 867268208 /nfs/dbraw/zinc/26/82/08/867268208.db2.gz NBDDCKJXXCCBGX-FYJFLYSWSA-N 1 2 306.435 1.829 20 30 DDEDLO C#Cc1cncc(C(=O)NC/C=C\C[NH2+]Cc2coc(C)n2)c1 ZINC001321246487 867433153 /nfs/dbraw/zinc/43/31/53/867433153.db2.gz QFQUOTQXFDJJDL-PLNGDYQASA-N 1 2 310.357 1.435 20 30 DDEDLO CC#CCCCC(=O)NCC1(O)C[NH+](Cc2ccccc2)C1 ZINC001325263433 867899707 /nfs/dbraw/zinc/89/97/07/867899707.db2.gz HLIHBAUSEMBFCN-UHFFFAOYSA-N 1 2 300.402 1.543 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nc(C(F)(F)F)cc(=O)[nH]3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225979061 882138830 /nfs/dbraw/zinc/13/88/30/882138830.db2.gz AMJKTPOYDLLBJL-QBEQFSANSA-N 1 2 317.267 1.192 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nc(C(F)(F)F)cc(=O)[nH]3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225979061 882138838 /nfs/dbraw/zinc/13/88/38/882138838.db2.gz AMJKTPOYDLLBJL-QBEQFSANSA-N 1 2 317.267 1.192 20 30 DDEDLO C#C[C@@H]([NH2+]C[C@@H](O)CN(C)CCC#N)c1ccc(Cl)cc1F ZINC001335448765 868306026 /nfs/dbraw/zinc/30/60/26/868306026.db2.gz BXMXPQFAVJPJPK-CZUORRHYSA-N 1 2 323.799 1.949 20 30 DDEDLO C#C[C@@H](NC[C@@H](O)C[N@H+](C)CCC#N)c1ccc(Cl)cc1F ZINC001335448765 868306044 /nfs/dbraw/zinc/30/60/44/868306044.db2.gz BXMXPQFAVJPJPK-CZUORRHYSA-N 1 2 323.799 1.949 20 30 DDEDLO C#C[C@@H](NC[C@@H](O)C[N@@H+](C)CCC#N)c1ccc(Cl)cc1F ZINC001335448765 868306063 /nfs/dbraw/zinc/30/60/63/868306063.db2.gz BXMXPQFAVJPJPK-CZUORRHYSA-N 1 2 323.799 1.949 20 30 DDEDLO Cc1ccc(C[N@@H+]2CC[C@H]3C[C@]32C(=O)NC2(C#N)CCC2)nc1 ZINC001277101910 882224630 /nfs/dbraw/zinc/22/46/30/882224630.db2.gz IOKGFEUQUCGUCY-KBXCAEBGSA-N 1 2 310.401 1.917 20 30 DDEDLO Cc1ccc(C[N@H+]2CC[C@H]3C[C@]32C(=O)NC2(C#N)CCC2)nc1 ZINC001277101910 882224642 /nfs/dbraw/zinc/22/46/42/882224642.db2.gz IOKGFEUQUCGUCY-KBXCAEBGSA-N 1 2 310.401 1.917 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001337978120 869725679 /nfs/dbraw/zinc/72/56/79/869725679.db2.gz ILZXMDMFYZEZKW-UONOGXRCSA-N 1 2 318.421 1.591 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1C[C@@H](C)N(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001338151168 869837195 /nfs/dbraw/zinc/83/71/95/869837195.db2.gz DVXWJBNJILHWAP-HIFRSBDPSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[NH+]1CCN(CC#CC)CC1 ZINC001316964017 870003446 /nfs/dbraw/zinc/00/34/46/870003446.db2.gz SFLFVQSKPJKVNH-UHFFFAOYSA-N 1 2 303.450 1.512 20 30 DDEDLO C#CC[NH2+]CC1(CCNC(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC001166531813 870042821 /nfs/dbraw/zinc/04/28/21/870042821.db2.gz JLWKYPVZBDCJPB-UHFFFAOYSA-N 1 2 323.400 1.595 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(COC3CCC3)n2CC=C)CC1 ZINC001338803514 870177185 /nfs/dbraw/zinc/17/71/85/870177185.db2.gz XWGKCMFEPXQUSH-UHFFFAOYSA-N 1 2 315.421 1.288 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C)[C@@H](C)C1 ZINC001338893733 870227247 /nfs/dbraw/zinc/22/72/47/870227247.db2.gz BTDKHLUYHBOWOX-QPSCCSFWSA-N 1 2 319.453 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C)[C@@H](C)C1 ZINC001338893733 870227257 /nfs/dbraw/zinc/22/72/57/870227257.db2.gz BTDKHLUYHBOWOX-QPSCCSFWSA-N 1 2 319.453 1.684 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCN(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001298100014 870278315 /nfs/dbraw/zinc/27/83/15/870278315.db2.gz DMNDPZQKPHEGMB-UHFFFAOYSA-N 1 2 304.394 1.225 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(C#N)[nH]3)n2CC=C)CC1 ZINC001339055760 870322383 /nfs/dbraw/zinc/32/23/83/870322383.db2.gz PCKHONVTYOLAFU-UHFFFAOYSA-N 1 2 321.388 1.086 20 30 DDEDLO CC(C)C[C@@H](C(=O)NC[C@@H]1CCCCN1CC#N)n1cc[nH+]c1 ZINC001317173651 870414789 /nfs/dbraw/zinc/41/47/89/870414789.db2.gz PUUYBZFSCYOTTA-HOTGVXAUSA-N 1 2 317.437 1.965 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)[C@@H]2C)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001339858252 870738545 /nfs/dbraw/zinc/73/85/45/870738545.db2.gz ZGJVUCNTKHIRHQ-WSMBLCCSSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)[C@@H]2C)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001339858252 870738557 /nfs/dbraw/zinc/73/85/57/870738557.db2.gz ZGJVUCNTKHIRHQ-WSMBLCCSSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC001299130508 870920941 /nfs/dbraw/zinc/92/09/41/870920941.db2.gz OCHOMAAOJFGFJZ-VXGBXAGGSA-N 1 2 302.378 1.244 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CC1 ZINC001299130508 870920950 /nfs/dbraw/zinc/92/09/50/870920950.db2.gz OCHOMAAOJFGFJZ-VXGBXAGGSA-N 1 2 302.378 1.244 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(C)nc3C)n2CC)CC1 ZINC001340236601 870975160 /nfs/dbraw/zinc/97/51/60/870975160.db2.gz MXRNPDLJEYLMEK-UHFFFAOYSA-N 1 2 324.432 1.732 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+](CC(=O)Nc2nccs2)C1 ZINC001317524314 870988836 /nfs/dbraw/zinc/98/88/36/870988836.db2.gz VTDPHRZJBPIIOF-LBPRGKRZSA-N 1 2 322.434 1.486 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+](CC(=O)Nc2nccs2)C1 ZINC001317524314 870988838 /nfs/dbraw/zinc/98/88/38/870988838.db2.gz VTDPHRZJBPIIOF-LBPRGKRZSA-N 1 2 322.434 1.486 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ocnc1C ZINC001317735953 871454678 /nfs/dbraw/zinc/45/46/78/871454678.db2.gz ZFBYYCSICNAKIT-KFWWJZLASA-N 1 2 317.389 1.197 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ocnc1C ZINC001317735953 871454695 /nfs/dbraw/zinc/45/46/95/871454695.db2.gz ZFBYYCSICNAKIT-KFWWJZLASA-N 1 2 317.389 1.197 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)C[C@@H](C)n2cccc2)CC1 ZINC001317824520 871550064 /nfs/dbraw/zinc/55/00/64/871550064.db2.gz RZPXQFBNSKOGAR-QGZVFWFLSA-N 1 2 318.465 1.701 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCCCCCCO)n2C)CC1 ZINC001341624504 871741642 /nfs/dbraw/zinc/74/16/42/871741642.db2.gz YKBVMEMPIIEQQY-UHFFFAOYSA-N 1 2 319.453 1.056 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1scnc1C ZINC001318191088 871808802 /nfs/dbraw/zinc/80/88/02/871808802.db2.gz WUZPKFROUAHNIT-STQMWFEESA-N 1 2 307.419 1.439 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1scnc1C ZINC001318191088 871808816 /nfs/dbraw/zinc/80/88/16/871808816.db2.gz WUZPKFROUAHNIT-STQMWFEESA-N 1 2 307.419 1.439 20 30 DDEDLO C#CC[NH2+]CC1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC001205846508 871859424 /nfs/dbraw/zinc/85/94/24/871859424.db2.gz KMXDDBAUHLGPSA-UHFFFAOYSA-N 1 2 323.400 1.547 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[N@@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001318253573 871878007 /nfs/dbraw/zinc/87/80/07/871878007.db2.gz SXDHVAFZDWGEOD-CJNGLKHVSA-N 1 2 317.433 1.038 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[N@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001318253573 871878023 /nfs/dbraw/zinc/87/80/23/871878023.db2.gz SXDHVAFZDWGEOD-CJNGLKHVSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](Cc2ccn(CC)n2)[C@@H]1C ZINC001316782077 872038565 /nfs/dbraw/zinc/03/85/65/872038565.db2.gz BCQZYTMPSPRTJQ-ZBFHGGJFSA-N 1 2 318.421 1.022 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](Cc2ccn(CC)n2)[C@@H]1C ZINC001316782077 872038587 /nfs/dbraw/zinc/03/85/87/872038587.db2.gz BCQZYTMPSPRTJQ-ZBFHGGJFSA-N 1 2 318.421 1.022 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2C[C@@H](C)[C@H](NC(=O)CSCC#N)C2)o1 ZINC001206090536 872048438 /nfs/dbraw/zinc/04/84/38/872048438.db2.gz ZJDXDMDDVSSPRP-RAIGVLPGSA-N 1 2 322.434 1.737 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2C[C@@H](C)[C@H](NC(=O)CSCC#N)C2)o1 ZINC001206090536 872048456 /nfs/dbraw/zinc/04/84/56/872048456.db2.gz ZJDXDMDDVSSPRP-RAIGVLPGSA-N 1 2 322.434 1.737 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(CC)C(=O)C[C@H]2CCCS2(=O)=O)C1 ZINC001318479569 872104209 /nfs/dbraw/zinc/10/42/09/872104209.db2.gz RKBMIOFMQMSLOE-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)C[C@H]2CCCS2(=O)=O)C1 ZINC001318479569 872104215 /nfs/dbraw/zinc/10/42/15/872104215.db2.gz RKBMIOFMQMSLOE-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N1CCCOCC1 ZINC001342532982 872250183 /nfs/dbraw/zinc/25/01/83/872250183.db2.gz HTXZTIITJMONIN-UHFFFAOYSA-N 1 2 305.426 1.677 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)on2)C[C@H]1C ZINC001206914814 872758671 /nfs/dbraw/zinc/75/86/71/872758671.db2.gz SDYLOWVEAFYRJH-XFJVYGCCSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)on2)C[C@H]1C ZINC001206914814 872758679 /nfs/dbraw/zinc/75/86/79/872758679.db2.gz SDYLOWVEAFYRJH-XFJVYGCCSA-N 1 2 307.394 1.247 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)oc1C ZINC001206938039 872804333 /nfs/dbraw/zinc/80/43/33/872804333.db2.gz DXYPPSWDTFKSOP-IUODEOHRSA-N 1 2 303.406 1.887 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)oc1C ZINC001206938039 872804343 /nfs/dbraw/zinc/80/43/43/872804343.db2.gz DXYPPSWDTFKSOP-IUODEOHRSA-N 1 2 303.406 1.887 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001206948356 872829603 /nfs/dbraw/zinc/82/96/03/872829603.db2.gz GRKJKNJRWMOYLI-TZMCWYRMSA-N 1 2 303.406 1.969 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001206948356 872829606 /nfs/dbraw/zinc/82/96/06/872829606.db2.gz GRKJKNJRWMOYLI-TZMCWYRMSA-N 1 2 303.406 1.969 20 30 DDEDLO COc1nscc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C#CC2CC2)C1 ZINC001206951792 872836050 /nfs/dbraw/zinc/83/60/50/872836050.db2.gz JQCMZRNLZGSEJK-BXUZGUMPSA-N 1 2 319.430 1.502 20 30 DDEDLO COc1nscc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)C#CC2CC2)C1 ZINC001206951792 872836054 /nfs/dbraw/zinc/83/60/54/872836054.db2.gz JQCMZRNLZGSEJK-BXUZGUMPSA-N 1 2 319.430 1.502 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)n1 ZINC001206953160 872838310 /nfs/dbraw/zinc/83/83/10/872838310.db2.gz UQPRUOYVVIGANT-RHSMWYFYSA-N 1 2 314.433 1.814 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)n1 ZINC001206953160 872838316 /nfs/dbraw/zinc/83/83/16/872838316.db2.gz UQPRUOYVVIGANT-RHSMWYFYSA-N 1 2 314.433 1.814 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2CCCN(C(=O)C#CC(C)C)C2)o1 ZINC001207996101 873727541 /nfs/dbraw/zinc/72/75/41/873727541.db2.gz ZEKFKLKLHZHVHW-ZDUSSCGKSA-N 1 2 304.394 1.372 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)N[C@@H](C)[C@H]2CN(C)CC[N@@H+]2C)C1 ZINC001346766016 873886994 /nfs/dbraw/zinc/88/69/94/873886994.db2.gz FPCFJMGTEXRCMU-ARFHVFGLSA-N 1 2 308.470 1.618 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)N[C@@H](C)[C@H]2CN(C)CC[N@H+]2C)C1 ZINC001346766016 873887010 /nfs/dbraw/zinc/88/70/10/873887010.db2.gz FPCFJMGTEXRCMU-ARFHVFGLSA-N 1 2 308.470 1.618 20 30 DDEDLO C#CCC1(C(=O)N2CCC[C@H]([NH2+]Cc3csnn3)C2)CCC1 ZINC001208319426 874007748 /nfs/dbraw/zinc/00/77/48/874007748.db2.gz SYGAPCGVNAZZRE-ZDUSSCGKSA-N 1 2 318.446 1.812 20 30 DDEDLO C#CCC1(NC(=O)NC[C@H](C)[NH+]2CCN(C)CC2)CCCCC1 ZINC001347102066 874013291 /nfs/dbraw/zinc/01/32/91/874013291.db2.gz IKWNVTGSGBVXJR-INIZCTEOSA-N 1 2 320.481 1.648 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)CCc1[nH+]ccn1C ZINC001347111643 874016821 /nfs/dbraw/zinc/01/68/21/874016821.db2.gz XYMMSAFKAUYOMC-UHFFFAOYSA-N 1 2 305.378 1.156 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208509291 874206692 /nfs/dbraw/zinc/20/66/92/874206692.db2.gz YWSAZIGTOVHOHS-UMVBOHGHSA-N 1 2 316.467 1.070 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208509291 874206703 /nfs/dbraw/zinc/20/67/03/874206703.db2.gz YWSAZIGTOVHOHS-UMVBOHGHSA-N 1 2 316.467 1.070 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1cn(-c2ccc(F)cc2)nn1 ZINC001348099481 874360569 /nfs/dbraw/zinc/36/05/69/874360569.db2.gz GSKFLDBNBAEFGH-UHFFFAOYSA-N 1 2 324.381 1.097 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnc2c(=O)n(C)ccn12)c1ccc(C#N)cc1 ZINC001349647578 875282002 /nfs/dbraw/zinc/28/20/02/875282002.db2.gz WSMCPRHVQOQZRM-LLVKDONJSA-N 1 2 308.345 1.150 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CSC(C)(C)C)n2C)CC1 ZINC001350714345 875851094 /nfs/dbraw/zinc/85/10/94/875851094.db2.gz QILPCBRYGZSJTC-UHFFFAOYSA-N 1 2 307.467 1.602 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1OC ZINC001213266847 875865814 /nfs/dbraw/zinc/86/58/14/875865814.db2.gz LZHIJUYFMMCHPW-ZIAGYGMSSA-N 1 2 323.462 1.979 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1OC ZINC001213266847 875865825 /nfs/dbraw/zinc/86/58/25/875865825.db2.gz LZHIJUYFMMCHPW-ZIAGYGMSSA-N 1 2 323.462 1.979 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)OC2CCCCCC2)[C@H](OC)C1 ZINC001213419154 875929662 /nfs/dbraw/zinc/92/96/62/875929662.db2.gz LEPQDTKNKJNILG-DJIMGWMZSA-N 1 2 322.449 1.563 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)OC2CCCCCC2)[C@H](OC)C1 ZINC001213419154 875929669 /nfs/dbraw/zinc/92/96/69/875929669.db2.gz LEPQDTKNKJNILG-DJIMGWMZSA-N 1 2 322.449 1.563 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+][C@@H](C)c2nc(COC)no2)C1 ZINC001214303828 876269569 /nfs/dbraw/zinc/26/95/69/876269569.db2.gz BJSQEPORZLNLSC-FRRDWIJNSA-N 1 2 322.409 1.680 20 30 DDEDLO C[C@@H]1CN(C(=O)C#CC(C)(C)C)C[C@H]1[NH2+]Cc1cnsn1 ZINC001214589477 876405734 /nfs/dbraw/zinc/40/57/34/876405734.db2.gz KMFLFIPTALLJLV-DGCLKSJQSA-N 1 2 306.435 1.524 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001352910053 877004615 /nfs/dbraw/zinc/00/46/15/877004615.db2.gz VRFVEEBKKBNNLP-CYBMUJFWSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@@H](C)NC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001379453983 877116033 /nfs/dbraw/zinc/11/60/33/877116033.db2.gz ZXXZOYSIRIOTTL-GXSJLCMTSA-N 1 2 310.829 1.995 20 30 DDEDLO CCCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219191254 877996282 /nfs/dbraw/zinc/99/62/82/877996282.db2.gz NEFMSBRNPCFQPA-CABCVRRESA-N 1 2 321.446 1.861 20 30 DDEDLO CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219191254 877996293 /nfs/dbraw/zinc/99/62/93/877996293.db2.gz NEFMSBRNPCFQPA-CABCVRRESA-N 1 2 321.446 1.861 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(OC)c(F)c2)C[C@@H]1O ZINC001219333264 878127241 /nfs/dbraw/zinc/12/72/41/878127241.db2.gz WCLMBOHZSFDNHI-CABCVRRESA-N 1 2 322.380 1.462 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(OC)c(F)c2)C[C@@H]1O ZINC001219333264 878127242 /nfs/dbraw/zinc/12/72/42/878127242.db2.gz WCLMBOHZSFDNHI-CABCVRRESA-N 1 2 322.380 1.462 20 30 DDEDLO N#CC[C@H](N)C(=O)Nc1ccc(O[C@@H]2CC[NH2+]CC2(F)F)cc1 ZINC001219457188 878241158 /nfs/dbraw/zinc/24/11/58/878241158.db2.gz XXIYARNVHSUHIY-QWHCGFSZSA-N 1 2 324.331 1.242 20 30 DDEDLO Cc1ncsc1C[N@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219596491 878378278 /nfs/dbraw/zinc/37/82/78/878378278.db2.gz XJEDEXZBUIBJGU-OLZOCXBDSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1ncsc1C[N@@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219596491 878378291 /nfs/dbraw/zinc/37/82/91/878378291.db2.gz XJEDEXZBUIBJGU-OLZOCXBDSA-N 1 2 321.446 1.162 20 30 DDEDLO CO[C@H](C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(C)C ZINC001380020850 878586070 /nfs/dbraw/zinc/58/60/70/878586070.db2.gz VZDYHUFOMXYMFG-IRXDYDNUSA-N 1 2 315.417 1.920 20 30 DDEDLO CO[C@H](C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(C)C ZINC001380020850 878586081 /nfs/dbraw/zinc/58/60/81/878586081.db2.gz VZDYHUFOMXYMFG-IRXDYDNUSA-N 1 2 315.417 1.920 20 30 DDEDLO N#Cc1ccccc1CN1CC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001380056652 878675746 /nfs/dbraw/zinc/67/57/46/878675746.db2.gz VFTVMIZFZUUAOD-MRXNPFEDSA-N 1 2 309.373 1.145 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@@H]2C[C@@H](O)C[N@@H+]2C)n1C ZINC001355680988 878676239 /nfs/dbraw/zinc/67/62/39/878676239.db2.gz KYQRRSNQENRQTL-KGLIPLIRSA-N 1 2 307.442 1.735 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@@H]2C[C@@H](O)C[N@H+]2C)n1C ZINC001355680988 878676253 /nfs/dbraw/zinc/67/62/53/878676253.db2.gz KYQRRSNQENRQTL-KGLIPLIRSA-N 1 2 307.442 1.735 20 30 DDEDLO COCc1nc(C[NH2+]C2(CNC(=O)[C@@H](C)C#N)CC2)cs1 ZINC001380066484 878703547 /nfs/dbraw/zinc/70/35/47/878703547.db2.gz DXJJTPIUJIJJRP-JTQLQIEISA-N 1 2 308.407 1.188 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CN(C)C(=O)Cc1c[nH+]cn1C ZINC001287667616 912381355 /nfs/dbraw/zinc/38/13/55/912381355.db2.gz MNTPOMGMJNGQJY-LBPRGKRZSA-N 1 2 306.410 1.138 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cccnc3)C[C@@H]2O)CCC1 ZINC001220278883 878874217 /nfs/dbraw/zinc/87/42/17/878874217.db2.gz PPYALHCPEHJDJP-CVEARBPZSA-N 1 2 315.417 1.489 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3cccnc3)C[C@@H]2O)CCC1 ZINC001220278883 878874226 /nfs/dbraw/zinc/87/42/26/878874226.db2.gz PPYALHCPEHJDJP-CVEARBPZSA-N 1 2 315.417 1.489 20 30 DDEDLO C=CCn1c(N(C)CCC(C)C)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001356134488 878882132 /nfs/dbraw/zinc/88/21/32/878882132.db2.gz NTSOOLXKOBLWHR-RHSMWYFYSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(C)CCC(C)C)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001356134488 878882138 /nfs/dbraw/zinc/88/21/38/878882138.db2.gz NTSOOLXKOBLWHR-RHSMWYFYSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCN(CC=C)c1nnc(C[NH+]2CCC(CO)CC2)n1CC ZINC001356512861 879084087 /nfs/dbraw/zinc/08/40/87/879084087.db2.gz VUFJAQKSGUGWCF-UHFFFAOYSA-N 1 2 319.453 1.681 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)CCCc2ccccc2)[C@@H](O)C1 ZINC001221114838 879507143 /nfs/dbraw/zinc/50/71/43/879507143.db2.gz QWLXQADSKYLFMS-JQHSSLGASA-N 1 2 314.429 1.440 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)CCCc2ccccc2)[C@@H](O)C1 ZINC001221114838 879507156 /nfs/dbraw/zinc/50/71/56/879507156.db2.gz QWLXQADSKYLFMS-JQHSSLGASA-N 1 2 314.429 1.440 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)CC(C)(C)CC)[C@@H]2C1 ZINC001221575686 879952837 /nfs/dbraw/zinc/95/28/37/879952837.db2.gz NOCMRZUVSQEBDO-HUUCEWRRSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)CC(C)(C)CC)[C@@H]2C1 ZINC001221575686 879952844 /nfs/dbraw/zinc/95/28/44/879952844.db2.gz NOCMRZUVSQEBDO-HUUCEWRRSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001287896562 912557977 /nfs/dbraw/zinc/55/79/77/912557977.db2.gz LAMBMXCZPGLEER-CHWSQXEVSA-N 1 2 304.394 1.414 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)s1 ZINC001222641994 880617715 /nfs/dbraw/zinc/61/77/15/880617715.db2.gz WLYKIQYWHLVWIL-QWRGUYRKSA-N 1 2 321.450 1.895 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@H](NC(=O)[C@@H](C)C#N)CC2)s1 ZINC001380927631 880676369 /nfs/dbraw/zinc/67/63/69/880676369.db2.gz RZIHHHBFNDRXTB-CMPLNLGQSA-N 1 2 307.423 1.477 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@H](NC(=O)[C@@H](C)C#N)CC2)s1 ZINC001380927631 880676379 /nfs/dbraw/zinc/67/63/79/880676379.db2.gz RZIHHHBFNDRXTB-CMPLNLGQSA-N 1 2 307.423 1.477 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@](C)(NC(=O)Cc2c[nH+]cn2C)C1 ZINC001358799893 880934887 /nfs/dbraw/zinc/93/48/87/880934887.db2.gz LCJROSVKRBAHNQ-KRWDZBQOSA-N 1 2 318.421 1.426 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@H+]2[C@@H]2CCN(C)C2=O)CCC1 ZINC001276923756 881111619 /nfs/dbraw/zinc/11/16/19/881111619.db2.gz MYPHWBLGXPTDCU-ZIAGYGMSSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@@H+]2[C@@H]2CCN(C)C2=O)CCC1 ZINC001276923756 881111646 /nfs/dbraw/zinc/11/16/46/881111646.db2.gz MYPHWBLGXPTDCU-ZIAGYGMSSA-N 1 2 305.422 1.154 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(Cl)nnc3Cl)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227652226 883119928 /nfs/dbraw/zinc/11/99/28/883119928.db2.gz GNJVVHLFNDFNDT-QBEQFSANSA-N 1 2 302.161 1.775 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)[C@H](CC#N)c2ccccc2)CCO1 ZINC001362174361 883214024 /nfs/dbraw/zinc/21/40/24/883214024.db2.gz FKPSYJXTFXRWFY-MRXNPFEDSA-N 1 2 315.417 1.911 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)[C@H](CC#N)c2ccccc2)CCO1 ZINC001362174361 883214039 /nfs/dbraw/zinc/21/40/39/883214039.db2.gz FKPSYJXTFXRWFY-MRXNPFEDSA-N 1 2 315.417 1.911 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)NCCc1cn(C)c[nH+]1 ZINC001362693972 884429916 /nfs/dbraw/zinc/42/99/16/884429916.db2.gz OCRDYOVUPSCNJF-UHFFFAOYSA-N 1 2 314.345 1.038 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)COCC2CC2)CC1 ZINC001230522156 884535795 /nfs/dbraw/zinc/53/57/95/884535795.db2.gz HZPMNMBLGQIKDL-INIZCTEOSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)COCC2CC2)CC1 ZINC001230522156 884535800 /nfs/dbraw/zinc/53/58/00/884535800.db2.gz HZPMNMBLGQIKDL-INIZCTEOSA-N 1 2 305.422 1.640 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1C ZINC001231094623 885214995 /nfs/dbraw/zinc/21/49/95/885214995.db2.gz IAGUMHMGHSISMW-ZBFHGGJFSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1C ZINC001231094623 885215010 /nfs/dbraw/zinc/21/50/10/885215010.db2.gz IAGUMHMGHSISMW-ZBFHGGJFSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CCC#N ZINC001231114470 885248656 /nfs/dbraw/zinc/24/86/56/885248656.db2.gz NHIBSAWPEVAFQN-HNNXBMFYSA-N 1 2 320.437 1.247 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CCC#N ZINC001231114470 885248677 /nfs/dbraw/zinc/24/86/77/885248677.db2.gz NHIBSAWPEVAFQN-HNNXBMFYSA-N 1 2 320.437 1.247 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NC1CCCC1 ZINC001231121300 885250810 /nfs/dbraw/zinc/25/08/10/885250810.db2.gz BJWVDAYPTCGDAN-GOEBONIOSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CCCC1 ZINC001231121300 885250823 /nfs/dbraw/zinc/25/08/23/885250823.db2.gz BJWVDAYPTCGDAN-GOEBONIOSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](NC(=O)Cn2cc[nH+]c2)CC[C@@H]1C ZINC001288473102 912919051 /nfs/dbraw/zinc/91/90/51/912919051.db2.gz WQDHPVTXFRVVSR-KBPBESRZSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1nccnc1C ZINC001231240028 885418928 /nfs/dbraw/zinc/41/89/28/885418928.db2.gz HWTYFSPBYSADNJ-AWEZNQCLSA-N 1 2 304.394 1.020 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1nccnc1C ZINC001231240028 885418933 /nfs/dbraw/zinc/41/89/33/885418933.db2.gz HWTYFSPBYSADNJ-AWEZNQCLSA-N 1 2 304.394 1.020 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C/C(C)(C)C ZINC001231253968 885437616 /nfs/dbraw/zinc/43/76/16/885437616.db2.gz DCQZFTDKEKZAQZ-VFDMCBIDSA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C=C/C(C)(C)C ZINC001231253968 885437619 /nfs/dbraw/zinc/43/76/19/885437619.db2.gz DCQZFTDKEKZAQZ-VFDMCBIDSA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CCC2CC2)C1=O ZINC001231349623 885531377 /nfs/dbraw/zinc/53/13/77/885531377.db2.gz KPZSHSBOSYMZKR-HZPDHXFCSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CCC2CC2)C1=O ZINC001231349623 885531393 /nfs/dbraw/zinc/53/13/93/885531393.db2.gz KPZSHSBOSYMZKR-HZPDHXFCSA-N 1 2 319.449 1.496 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2cncnc2)CC1 ZINC001231620408 885761995 /nfs/dbraw/zinc/76/19/95/885761995.db2.gz AFDBOTUREVEWRA-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO COCc1ncc2c(n1)CC[N@@H+](C[C@H](O)c1cccc(C#N)c1)C2 ZINC001363292225 885932144 /nfs/dbraw/zinc/93/21/44/885932144.db2.gz FIOOWYUMVAOMMN-KRWDZBQOSA-N 1 2 324.384 1.586 20 30 DDEDLO COCc1ncc2c(n1)CC[N@H+](C[C@H](O)c1cccc(C#N)c1)C2 ZINC001363292225 885932152 /nfs/dbraw/zinc/93/21/52/885932152.db2.gz FIOOWYUMVAOMMN-KRWDZBQOSA-N 1 2 324.384 1.586 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2cccc(C#N)n2)C1 ZINC001232927642 886678318 /nfs/dbraw/zinc/67/83/18/886678318.db2.gz FKBIOAPSZHUGBY-HNNXBMFYSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2cccc(C#N)n2)C1 ZINC001232927642 886678325 /nfs/dbraw/zinc/67/83/25/886678325.db2.gz FKBIOAPSZHUGBY-HNNXBMFYSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)/C(C)=C/C)C1=O ZINC001233559956 887108326 /nfs/dbraw/zinc/10/83/26/887108326.db2.gz FXURPUOBPDCIIP-UOTLJSKWSA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)/C(C)=C/C)C1=O ZINC001233559956 887108335 /nfs/dbraw/zinc/10/83/35/887108335.db2.gz FXURPUOBPDCIIP-UOTLJSKWSA-N 1 2 305.422 1.272 20 30 DDEDLO COC(=O)c1ccc(O[C@@H](C)C[NH+]2CCOCC2)c(C#N)c1 ZINC001233626270 887167620 /nfs/dbraw/zinc/16/76/20/887167620.db2.gz GFKQYPXFGGRAIJ-LBPRGKRZSA-N 1 2 304.346 1.444 20 30 DDEDLO CCc1nc(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#CCOC)co1 ZINC001233809630 887345636 /nfs/dbraw/zinc/34/56/36/887345636.db2.gz LZIUGDOGUVANBR-CYBMUJFWSA-N 1 2 305.378 1.033 20 30 DDEDLO CCc1nc(C(=O)N(C)C[C@H]2CC[N@H+]2CC#CCOC)co1 ZINC001233809630 887345650 /nfs/dbraw/zinc/34/56/50/887345650.db2.gz LZIUGDOGUVANBR-CYBMUJFWSA-N 1 2 305.378 1.033 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H]1CCNC1=O ZINC001234517027 888047257 /nfs/dbraw/zinc/04/72/57/888047257.db2.gz ICZIOHAGKARSNH-GJZGRUSLSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@H]1CCNC1=O ZINC001234517027 888047262 /nfs/dbraw/zinc/04/72/62/888047262.db2.gz ICZIOHAGKARSNH-GJZGRUSLSA-N 1 2 305.422 1.176 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234544757 888078654 /nfs/dbraw/zinc/07/86/54/888078654.db2.gz CUEPROAGYZFXDD-LSDHHAIUSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234544757 888078672 /nfs/dbraw/zinc/07/86/72/888078672.db2.gz CUEPROAGYZFXDD-LSDHHAIUSA-N 1 2 321.465 1.483 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)CCC(=C)C)C1=O ZINC001234615333 888143854 /nfs/dbraw/zinc/14/38/54/888143854.db2.gz ZYWRQWGKFKSCPG-JKSUJKDBSA-N 1 2 319.449 1.662 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CCC(=C)C)C1=O ZINC001234615333 888143860 /nfs/dbraw/zinc/14/38/60/888143860.db2.gz ZYWRQWGKFKSCPG-JKSUJKDBSA-N 1 2 319.449 1.662 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)CC(F)F ZINC001235225103 888489661 /nfs/dbraw/zinc/48/96/61/888489661.db2.gz KIVNCPGFGRVGLC-ZDUSSCGKSA-N 1 2 316.392 1.850 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)CC(F)F ZINC001235225103 888489667 /nfs/dbraw/zinc/48/96/67/888489667.db2.gz KIVNCPGFGRVGLC-ZDUSSCGKSA-N 1 2 316.392 1.850 20 30 DDEDLO N#CCc1ccccc1C[NH+]1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001235511017 888697358 /nfs/dbraw/zinc/69/73/58/888697358.db2.gz DMKHHZSZBWDCSS-KRWDZBQOSA-N 1 2 313.401 1.576 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H]1C=CCCC1 ZINC001235777607 888939953 /nfs/dbraw/zinc/93/99/53/888939953.db2.gz LLWRNKYXAYLTBF-HZPDHXFCSA-N 1 2 319.449 1.568 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H]1C=CCCC1 ZINC001235777607 888939971 /nfs/dbraw/zinc/93/99/71/888939971.db2.gz LLWRNKYXAYLTBF-HZPDHXFCSA-N 1 2 319.449 1.568 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCC12CC2 ZINC001235795394 888953873 /nfs/dbraw/zinc/95/38/73/888953873.db2.gz HXVOHCPQXXJHCH-JKSUJKDBSA-N 1 2 304.434 1.749 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCC12CC2 ZINC001235795394 888953881 /nfs/dbraw/zinc/95/38/81/888953881.db2.gz HXVOHCPQXXJHCH-JKSUJKDBSA-N 1 2 304.434 1.749 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC2(C[C@@H]2C(=O)NC2(C#N)CCC2)C1 ZINC001278238904 890238394 /nfs/dbraw/zinc/23/83/94/890238394.db2.gz AGSRFXPJHCJCIA-MRXNPFEDSA-N 1 2 320.396 1.943 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@H+](C[C@@H](O)c1cccc(C#N)c1)C1CC1 ZINC001365270615 890473267 /nfs/dbraw/zinc/47/32/67/890473267.db2.gz KISMFCDAKCAAJB-BLLLJJGKSA-N 1 2 322.430 1.489 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)[N@@H+](C[C@@H](O)c1cccc(C#N)c1)C1CC1 ZINC001365270615 890473276 /nfs/dbraw/zinc/47/32/76/890473276.db2.gz KISMFCDAKCAAJB-BLLLJJGKSA-N 1 2 322.430 1.489 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@]2(C)C1 ZINC001290844599 913528332 /nfs/dbraw/zinc/52/83/32/913528332.db2.gz RVRSRMHPNRUMKN-DYVFJYSZSA-N 1 2 316.405 1.225 20 30 DDEDLO C#CCCCC(=O)N1CCN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC001292401488 913658354 /nfs/dbraw/zinc/65/83/54/913658354.db2.gz NURDOMDTBRKJIU-CQSZACIVSA-N 1 2 316.405 1.063 20 30 DDEDLO C#CCCCC(=O)N1CCN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC001292401488 913658366 /nfs/dbraw/zinc/65/83/66/913658366.db2.gz NURDOMDTBRKJIU-CQSZACIVSA-N 1 2 316.405 1.063 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH2+][C@@H]1CC[C@@H](C(=O)OC)NC1)[C@@H](C)CC ZINC001246236056 892491920 /nfs/dbraw/zinc/49/19/20/892491920.db2.gz GCSACSCKXMEFFX-CRWXNKLISA-N 1 2 312.410 1.014 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H](C)NC(=O)CC ZINC001366196669 892706119 /nfs/dbraw/zinc/70/61/19/892706119.db2.gz GSOZSBWEIVDKTQ-CHWSQXEVSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H](C)NC(=O)CC ZINC001366196669 892706125 /nfs/dbraw/zinc/70/61/25/892706125.db2.gz GSOZSBWEIVDKTQ-CHWSQXEVSA-N 1 2 315.845 1.624 20 30 DDEDLO C[C@@H](CNC(=O)c1ccc(C#N)[nH]1)[NH2+]Cc1nc(C(F)F)no1 ZINC001366261052 892921730 /nfs/dbraw/zinc/92/17/30/892921730.db2.gz KGBCAYYUTDGDLG-ZETCQYMHSA-N 1 2 324.291 1.115 20 30 DDEDLO C[C@H](CNC(=O)c1ccc(C#N)[nH]1)[NH2+]Cc1nn(C)cc1Cl ZINC001366269390 892966541 /nfs/dbraw/zinc/96/65/41/892966541.db2.gz LVHBPUGWSOEYMP-SECBINFHSA-N 1 2 320.784 1.181 20 30 DDEDLO CC(C)CCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)[C@H]1C ZINC001278522518 894068356 /nfs/dbraw/zinc/06/83/56/894068356.db2.gz YYYOWTZXGUNNMO-LSDHHAIUSA-N 1 2 322.453 1.422 20 30 DDEDLO CC(C)CCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)[C@H]1C ZINC001278522518 894068370 /nfs/dbraw/zinc/06/83/70/894068370.db2.gz YYYOWTZXGUNNMO-LSDHHAIUSA-N 1 2 322.453 1.422 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCNC(=O)c1c(C)cc(C)[nH+]c1C ZINC001292683614 913926171 /nfs/dbraw/zinc/92/61/71/913926171.db2.gz TZYVGRMRFJHZBG-QGZVFWFLSA-N 1 2 319.405 1.180 20 30 DDEDLO C=CCC[C@@H](O)CNc1[nH+]c2ccccc2n1CCNC(C)=O ZINC001252594370 895296000 /nfs/dbraw/zinc/29/60/00/895296000.db2.gz WXDSMDBYTYSPHI-CQSZACIVSA-N 1 2 316.405 1.911 20 30 DDEDLO CC[N@H+](CCC#N)C[C@H](O)COc1ccc(CCOC)cc1 ZINC001253048072 895620228 /nfs/dbraw/zinc/62/02/28/895620228.db2.gz BHIXTJYZQNWUJH-INIZCTEOSA-N 1 2 306.406 1.851 20 30 DDEDLO CC[N@@H+](CCC#N)C[C@H](O)COc1ccc(CCOC)cc1 ZINC001253048072 895620231 /nfs/dbraw/zinc/62/02/31/895620231.db2.gz BHIXTJYZQNWUJH-INIZCTEOSA-N 1 2 306.406 1.851 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C ZINC001388655209 895628288 /nfs/dbraw/zinc/62/82/88/895628288.db2.gz ZNVXQLGWQWCEJS-AWEZNQCLSA-N 1 2 322.453 1.327 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C ZINC001388655209 895628291 /nfs/dbraw/zinc/62/82/91/895628291.db2.gz ZNVXQLGWQWCEJS-AWEZNQCLSA-N 1 2 322.453 1.327 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)NC3(CCC(C)CC3)C2=O)C1 ZINC001254537254 896491450 /nfs/dbraw/zinc/49/14/50/896491450.db2.gz UELPGOFVHHGJQJ-XOYHFGRZSA-N 1 2 321.421 1.722 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)NC3(CCC(C)CC3)C2=O)C1 ZINC001254537254 896491455 /nfs/dbraw/zinc/49/14/55/896491455.db2.gz UELPGOFVHHGJQJ-XOYHFGRZSA-N 1 2 321.421 1.722 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@@H]2[C@H](C=C(C)C)C2(C)C)C1 ZINC001278887555 897111460 /nfs/dbraw/zinc/11/14/60/897111460.db2.gz GTRICLATNBCQHU-GJZGRUSLSA-N 1 2 306.450 1.964 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001389509125 897402699 /nfs/dbraw/zinc/40/26/99/897402699.db2.gz KPOTXHALTSSNHK-CHWSQXEVSA-N 1 2 301.818 1.090 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001389509125 897402706 /nfs/dbraw/zinc/40/27/06/897402706.db2.gz KPOTXHALTSSNHK-CHWSQXEVSA-N 1 2 301.818 1.090 20 30 DDEDLO C[C@@H]1C[NH+](CCc2nnc(C#Cc3ccncc3)o2)C[C@@H](C)O1 ZINC001258047832 898034631 /nfs/dbraw/zinc/03/46/31/898034631.db2.gz UYCGBQZPDRPTBU-ZIAGYGMSSA-N 1 2 312.373 1.516 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C[C@H](C)NC(=O)c2c[nH]c(C#N)c2)n1 ZINC001374883272 914229868 /nfs/dbraw/zinc/22/98/68/914229868.db2.gz IDXIWTGLZXFVFJ-IUCAKERBSA-N 1 2 302.338 1.047 20 30 DDEDLO C=C1CCC(CNC(=O)C(=O)NCCCn2cc[nH+]c2)CC1 ZINC001262220894 900025266 /nfs/dbraw/zinc/02/52/66/900025266.db2.gz BESXSDVFPHONSI-UHFFFAOYSA-N 1 2 304.394 1.252 20 30 DDEDLO Cc1ncc(CC(=O)NCC[N@@H+](C)Cc2ccccc2C#N)o1 ZINC001390772806 900147994 /nfs/dbraw/zinc/14/79/94/900147994.db2.gz YSLNPAXUOJTRPP-UHFFFAOYSA-N 1 2 312.373 1.645 20 30 DDEDLO Cc1ncc(CC(=O)NCC[N@H+](C)Cc2ccccc2C#N)o1 ZINC001390772806 900148001 /nfs/dbraw/zinc/14/80/01/900148001.db2.gz YSLNPAXUOJTRPP-UHFFFAOYSA-N 1 2 312.373 1.645 20 30 DDEDLO C[C@H](C(=O)NCC[N@H+](C)Cc1ccccc1C#N)[C@@H]1CCCO1 ZINC001390818316 900269810 /nfs/dbraw/zinc/26/98/10/900269810.db2.gz MTKHRQWQVSDGDD-YOEHRIQHSA-N 1 2 315.417 1.921 20 30 DDEDLO C[C@H](C(=O)NCC[N@@H+](C)Cc1ccccc1C#N)[C@@H]1CCCO1 ZINC001390818316 900269825 /nfs/dbraw/zinc/26/98/25/900269825.db2.gz MTKHRQWQVSDGDD-YOEHRIQHSA-N 1 2 315.417 1.921 20 30 DDEDLO C#CC[C@H](OC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C1CC1 ZINC001263401573 900603827 /nfs/dbraw/zinc/60/38/27/900603827.db2.gz KIEMFPBJZIZJGR-PMPSAXMXSA-N 1 2 307.390 1.211 20 30 DDEDLO C#CCN(C)c1nnc(C[NH+]2CCCC2)n1C[C@@H]1CCCO1 ZINC001263431522 900607611 /nfs/dbraw/zinc/60/76/11/900607611.db2.gz IQQJWZHFWJQEMG-AWEZNQCLSA-N 1 2 303.410 1.122 20 30 DDEDLO C#CCN(CC)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001263679688 900670145 /nfs/dbraw/zinc/67/01/45/900670145.db2.gz KMDYZMDDENTVGL-YOEHRIQHSA-N 1 2 317.437 1.059 20 30 DDEDLO C#CCN(CC)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC1CC1 ZINC001263679688 900670153 /nfs/dbraw/zinc/67/01/53/900670153.db2.gz KMDYZMDDENTVGL-YOEHRIQHSA-N 1 2 317.437 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)Cc1ccccc1 ZINC001263801406 900710706 /nfs/dbraw/zinc/71/07/06/900710706.db2.gz DKVXCYRYYZLLLF-MRXNPFEDSA-N 1 2 315.417 1.064 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)Cc1ccccc1 ZINC001263801406 900710717 /nfs/dbraw/zinc/71/07/17/900710717.db2.gz DKVXCYRYYZLLLF-MRXNPFEDSA-N 1 2 315.417 1.064 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)C#CC2CC2)CC[N@@H+]1Cc1cn(CC)nn1 ZINC001264051883 900896288 /nfs/dbraw/zinc/89/62/88/900896288.db2.gz UNLWZJQTBWKFKV-JKSUJKDBSA-N 1 2 315.421 1.181 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)C#CC2CC2)CC[N@H+]1Cc1cn(CC)nn1 ZINC001264051883 900896302 /nfs/dbraw/zinc/89/63/02/900896302.db2.gz UNLWZJQTBWKFKV-JKSUJKDBSA-N 1 2 315.421 1.181 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)CSCC#N)CC[N@@H+]1Cc1coc(C)n1 ZINC001264601008 901208177 /nfs/dbraw/zinc/20/81/77/901208177.db2.gz JMFIREXIWFVJMS-UONOGXRCSA-N 1 2 322.434 1.709 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)CSCC#N)CC[N@H+]1Cc1coc(C)n1 ZINC001264601008 901208189 /nfs/dbraw/zinc/20/81/89/901208189.db2.gz JMFIREXIWFVJMS-UONOGXRCSA-N 1 2 322.434 1.709 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@@H]1CC[N@H+](Cc2nn(C)cc2Cl)C1 ZINC001391293228 901410432 /nfs/dbraw/zinc/41/04/32/901410432.db2.gz JZYMRZZVAGPLIH-VXGBXAGGSA-N 1 2 323.828 1.561 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@@H]1CC[N@@H+](Cc2nn(C)cc2Cl)C1 ZINC001391293228 901410440 /nfs/dbraw/zinc/41/04/40/901410440.db2.gz JZYMRZZVAGPLIH-VXGBXAGGSA-N 1 2 323.828 1.561 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@@H+](C)Cc2nc(N(C)C)no2)C1 ZINC001265034390 901465800 /nfs/dbraw/zinc/46/58/00/901465800.db2.gz UVMSMPXJPFFBDK-UHFFFAOYSA-N 1 2 321.425 1.430 20 30 DDEDLO C=C1CC(C)(C(=O)NCCC[N@H+](C)Cc2nc(N(C)C)no2)C1 ZINC001265034390 901465805 /nfs/dbraw/zinc/46/58/05/901465805.db2.gz UVMSMPXJPFFBDK-UHFFFAOYSA-N 1 2 321.425 1.430 20 30 DDEDLO CC[N@H+](CCCNC(=O)C#CC1CC1)Cc1nnc(C)s1 ZINC001265113548 901581823 /nfs/dbraw/zinc/58/18/23/901581823.db2.gz RHKMTYUTRUSVRQ-UHFFFAOYSA-N 1 2 306.435 1.588 20 30 DDEDLO CC[N@@H+](CCCNC(=O)C#CC1CC1)Cc1nnc(C)s1 ZINC001265113548 901581832 /nfs/dbraw/zinc/58/18/32/901581832.db2.gz RHKMTYUTRUSVRQ-UHFFFAOYSA-N 1 2 306.435 1.588 20 30 DDEDLO CC[C@@H](C)CCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001265229534 901749359 /nfs/dbraw/zinc/74/93/59/901749359.db2.gz ZORZOUYRCBTWNP-ZIAGYGMSSA-N 1 2 308.426 1.033 20 30 DDEDLO CC[C@@H](C)CCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001265229534 901749372 /nfs/dbraw/zinc/74/93/72/901749372.db2.gz ZORZOUYRCBTWNP-ZIAGYGMSSA-N 1 2 308.426 1.033 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C[C@H](CC)C(C)C)C1 ZINC001265231452 901751827 /nfs/dbraw/zinc/75/18/27/901751827.db2.gz YVOSBNIHMAWBCK-JKSUJKDBSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C[C@H](CC)C(C)C)C1 ZINC001265231452 901751840 /nfs/dbraw/zinc/75/18/40/901751840.db2.gz YVOSBNIHMAWBCK-JKSUJKDBSA-N 1 2 321.465 1.389 20 30 DDEDLO CC#CC[NH+]1CCN(CCCNC(=O)c2csnc2C)CC1 ZINC001265242203 901764098 /nfs/dbraw/zinc/76/40/98/901764098.db2.gz VBHIMBTWKXYEEV-UHFFFAOYSA-N 1 2 320.462 1.212 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H](NC(=O)c2ccco2)C1 ZINC001265267702 901789145 /nfs/dbraw/zinc/78/91/45/901789145.db2.gz ANYMZZHIDBSVTE-CQSZACIVSA-N 1 2 319.405 1.508 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H](NC(=O)c2ccco2)C1 ZINC001265267702 901789159 /nfs/dbraw/zinc/78/91/59/901789159.db2.gz ANYMZZHIDBSVTE-CQSZACIVSA-N 1 2 319.405 1.508 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H](NC(=O)C#CC3CC3)C2)sc1C ZINC001265283441 901819578 /nfs/dbraw/zinc/81/95/78/901819578.db2.gz FMEINNOVGRUBNO-CQSZACIVSA-N 1 2 303.431 1.864 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H](NC(=O)C#CC3CC3)C2)sc1C ZINC001265283441 901819589 /nfs/dbraw/zinc/81/95/89/901819589.db2.gz FMEINNOVGRUBNO-CQSZACIVSA-N 1 2 303.431 1.864 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)COCC2CCCC2)C1 ZINC001391488167 901833013 /nfs/dbraw/zinc/83/30/13/901833013.db2.gz DSHRTNHLJFBZIB-UHFFFAOYSA-N 1 2 300.830 1.994 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C1CC[NH+]([C@H](C)c2ncccn2)CC1 ZINC001369761104 901885470 /nfs/dbraw/zinc/88/54/70/901885470.db2.gz VTCVKTMPEQBWLA-CHWSQXEVSA-N 1 2 301.394 1.620 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]([NH2+]Cc2nc(CC)no2)C2CC2)C1 ZINC001265370765 901936725 /nfs/dbraw/zinc/93/67/25/901936725.db2.gz YAKQLEAVCZVCHN-CYBMUJFWSA-N 1 2 318.421 1.973 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001265421267 901996578 /nfs/dbraw/zinc/99/65/78/901996578.db2.gz VRFNNJQDJWIHGX-OLZOCXBDSA-N 1 2 322.409 1.304 20 30 DDEDLO CC[C@H](CNC(=O)c1cc(C#N)c[nH]1)[NH2+]Cc1cnsn1 ZINC001391682217 902341818 /nfs/dbraw/zinc/34/18/18/902341818.db2.gz LIGSLCSKIJWXKY-SNVBAGLBSA-N 1 2 304.379 1.036 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[C@@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001370299432 902890211 /nfs/dbraw/zinc/89/02/11/902890211.db2.gz RWXUGSUXBILAIH-XJFOESAGSA-N 1 2 317.393 1.005 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001266217286 903131693 /nfs/dbraw/zinc/13/16/93/903131693.db2.gz ZBXUJVLHYSYPSO-KGLIPLIRSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001266217286 903131700 /nfs/dbraw/zinc/13/17/00/903131700.db2.gz ZBXUJVLHYSYPSO-KGLIPLIRSA-N 1 2 308.426 1.033 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@]2(C)CC=CCC2)C1 ZINC001266218513 903132551 /nfs/dbraw/zinc/13/25/51/903132551.db2.gz RCWXGYPCJLJKSN-CRAIPNDOSA-N 1 2 317.433 1.063 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@]2(C)CC=CCC2)C1 ZINC001266218513 903132560 /nfs/dbraw/zinc/13/25/60/903132560.db2.gz RCWXGYPCJLJKSN-CRAIPNDOSA-N 1 2 317.433 1.063 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001279521468 903302537 /nfs/dbraw/zinc/30/25/37/903302537.db2.gz WAVDGLIYAPULAB-AAEUAGOBSA-N 1 2 302.378 1.952 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001279521468 903302549 /nfs/dbraw/zinc/30/25/49/903302549.db2.gz WAVDGLIYAPULAB-AAEUAGOBSA-N 1 2 302.378 1.952 20 30 DDEDLO C=CC[N@@H+](C)Cc1ccccc1CNC(=O)CS(=O)(=O)CC ZINC001280339832 903596588 /nfs/dbraw/zinc/59/65/88/903596588.db2.gz AMRHWYPHDNNEIQ-UHFFFAOYSA-N 1 2 324.446 1.355 20 30 DDEDLO C=CC[N@H+](C)Cc1ccccc1CNC(=O)CS(=O)(=O)CC ZINC001280339832 903596608 /nfs/dbraw/zinc/59/66/08/903596608.db2.gz AMRHWYPHDNNEIQ-UHFFFAOYSA-N 1 2 324.446 1.355 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001280411712 903646920 /nfs/dbraw/zinc/64/69/20/903646920.db2.gz CTFHDLKRPMIVES-HNNXBMFYSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001280411712 903646928 /nfs/dbraw/zinc/64/69/28/903646928.db2.gz CTFHDLKRPMIVES-HNNXBMFYSA-N 1 2 320.437 1.434 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)CC(C)(C)CC(F)F ZINC001280412565 903649311 /nfs/dbraw/zinc/64/93/11/903649311.db2.gz PHCSPXGYCQXSCG-CYBMUJFWSA-N 1 2 316.392 1.898 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)CC(C)(C)CC(F)F ZINC001280412565 903649317 /nfs/dbraw/zinc/64/93/17/903649317.db2.gz PHCSPXGYCQXSCG-CYBMUJFWSA-N 1 2 316.392 1.898 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H](CC(C)C)NC(C)=O ZINC001392317586 903871025 /nfs/dbraw/zinc/87/10/25/903871025.db2.gz DALPANWXLHCTCV-CQSZACIVSA-N 1 2 317.861 1.680 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H](CC(C)C)NC(C)=O ZINC001392317586 903871033 /nfs/dbraw/zinc/87/10/33/903871033.db2.gz DALPANWXLHCTCV-CQSZACIVSA-N 1 2 317.861 1.680 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)CC(C)(C)C=C)CO2 ZINC001280943471 904223620 /nfs/dbraw/zinc/22/36/20/904223620.db2.gz DLZIRRXOCIIFLD-OAHLLOKOSA-N 1 2 322.449 1.751 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)C(C)(C)COC)cc2C1 ZINC001281292958 904659919 /nfs/dbraw/zinc/65/99/19/904659919.db2.gz KKKNKNJVWDPBAB-UHFFFAOYSA-N 1 2 300.402 1.924 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)C(C)(C)COC)cc2C1 ZINC001281292958 904659927 /nfs/dbraw/zinc/65/99/27/904659927.db2.gz KKKNKNJVWDPBAB-UHFFFAOYSA-N 1 2 300.402 1.924 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)[C@H](C)[NH2+]Cc2nc(COC)no2)C1 ZINC001282185961 905603273 /nfs/dbraw/zinc/60/32/73/905603273.db2.gz RSJFBKBRVBOPRX-RYUDHWBXSA-N 1 2 322.409 1.555 20 30 DDEDLO CC(C)C#CC(=O)NC1(CCO)C[NH+](CCc2cccs2)C1 ZINC001282510555 905841119 /nfs/dbraw/zinc/84/11/19/905841119.db2.gz AXRNCUNXMUDVIW-UHFFFAOYSA-N 1 2 320.458 1.503 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@@H](CC)CC(F)F)C1 ZINC001282518059 905845383 /nfs/dbraw/zinc/84/53/83/905845383.db2.gz IDARFGPVGVKRFT-LBPRGKRZSA-N 1 2 302.365 1.244 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2cccc(Cl)c2)C1 ZINC001282539901 905859914 /nfs/dbraw/zinc/85/99/14/905859914.db2.gz RUIRZCZFWYXGMS-UHFFFAOYSA-N 1 2 306.793 1.530 20 30 DDEDLO Cc1noc(C[N@@H+]2CCCCC[C@H]2CNC(=O)C#CC2CC2)n1 ZINC001282665843 905930497 /nfs/dbraw/zinc/93/04/97/905930497.db2.gz IOQIIKMEXURJAS-HNNXBMFYSA-N 1 2 316.405 1.652 20 30 DDEDLO Cc1noc(C[N@H+]2CCCCC[C@H]2CNC(=O)C#CC2CC2)n1 ZINC001282665843 905930505 /nfs/dbraw/zinc/93/05/05/905930505.db2.gz IOQIIKMEXURJAS-HNNXBMFYSA-N 1 2 316.405 1.652 20 30 DDEDLO CCC1(C(=O)N[C@@H](C)C2C[NH+](CC(=O)NCC#N)C2)CCCC1 ZINC001282741633 906007395 /nfs/dbraw/zinc/00/73/95/906007395.db2.gz QUIATQFHKLNLJH-ZDUSSCGKSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[N@@H+](CCS(C)(=O)=O)CC1(C)C ZINC001282820932 906066666 /nfs/dbraw/zinc/06/66/66/906066666.db2.gz GRYQEQRZOYLPBI-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[N@H+](CCS(C)(=O)=O)CC1(C)C ZINC001282820932 906066681 /nfs/dbraw/zinc/06/66/81/906066681.db2.gz GRYQEQRZOYLPBI-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO CCO[C@H](C(=O)NCC[N@H+](C)Cc1ccccc1C#N)C1CC1 ZINC001371974482 906141695 /nfs/dbraw/zinc/14/16/95/906141695.db2.gz VUXBIIUADBPNGS-KRWDZBQOSA-N 1 2 315.417 1.921 20 30 DDEDLO CCO[C@H](C(=O)NCC[N@@H+](C)Cc1ccccc1C#N)C1CC1 ZINC001371974482 906141702 /nfs/dbraw/zinc/14/17/02/906141702.db2.gz VUXBIIUADBPNGS-KRWDZBQOSA-N 1 2 315.417 1.921 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001372178816 906656356 /nfs/dbraw/zinc/65/63/56/906656356.db2.gz NIKANQSYTZNSJV-UHFFFAOYSA-N 1 2 319.796 1.886 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001372178816 906656358 /nfs/dbraw/zinc/65/63/58/906656358.db2.gz NIKANQSYTZNSJV-UHFFFAOYSA-N 1 2 319.796 1.886 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)Cc2ccoc2)C1 ZINC001393429600 906966717 /nfs/dbraw/zinc/96/67/17/906966717.db2.gz GSPBZQNSJVOJPZ-OAHLLOKOSA-N 1 2 312.797 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)Cc2ccoc2)C1 ZINC001393429600 906966722 /nfs/dbraw/zinc/96/67/22/906966722.db2.gz GSPBZQNSJVOJPZ-OAHLLOKOSA-N 1 2 312.797 1.518 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)[C@@H](C)C(C)C)CC1 ZINC001393846430 908034661 /nfs/dbraw/zinc/03/46/61/908034661.db2.gz IDAUJBBOXBFIOQ-ZDUSSCGKSA-N 1 2 302.846 1.974 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001283881289 908123286 /nfs/dbraw/zinc/12/32/86/908123286.db2.gz KVTBOKZASOIJPU-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H]([NH2+]Cc2nc(C)no2)C[C@@H]1C ZINC001284030072 908346095 /nfs/dbraw/zinc/34/60/95/908346095.db2.gz GRGAAPPMKBJMAP-AAEUAGOBSA-N 1 2 308.382 1.050 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(C)n(C)n2)[C@@H]1C ZINC001284123678 908512126 /nfs/dbraw/zinc/51/21/26/908512126.db2.gz XKLULHDKKPSGDD-GDBMZVCRSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C)n(C)n2)[C@@H]1C ZINC001284123678 908512136 /nfs/dbraw/zinc/51/21/36/908512136.db2.gz XKLULHDKKPSGDD-GDBMZVCRSA-N 1 2 320.437 1.400 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@H+](Cc2cc(C)on2)[C@@H]1C ZINC001284131097 908526643 /nfs/dbraw/zinc/52/66/43/908526643.db2.gz ZANVEHFLJZIOFV-ZBFHGGJFSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(C)on2)[C@@H]1C ZINC001284131097 908526650 /nfs/dbraw/zinc/52/66/50/908526650.db2.gz ZANVEHFLJZIOFV-ZBFHGGJFSA-N 1 2 319.405 1.492 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)CCc1cc(OC)no1 ZINC001394070355 908701427 /nfs/dbraw/zinc/70/14/27/908701427.db2.gz QIOSWEDHHHWIDK-LLVKDONJSA-N 1 2 315.801 1.805 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)CCc1cc(OC)no1 ZINC001394070355 908701433 /nfs/dbraw/zinc/70/14/33/908701433.db2.gz QIOSWEDHHHWIDK-LLVKDONJSA-N 1 2 315.801 1.805 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001284251618 908730395 /nfs/dbraw/zinc/73/03/95/908730395.db2.gz UDWFMROPEQZBEM-OLZOCXBDSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001284251618 908730409 /nfs/dbraw/zinc/73/04/09/908730409.db2.gz UDWFMROPEQZBEM-OLZOCXBDSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NC(=O)CCc1[nH]cc[nH+]1 ZINC001285084334 910047625 /nfs/dbraw/zinc/04/76/25/910047625.db2.gz ZLXGMYNQLJHMSN-CYBMUJFWSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001285198081 910197302 /nfs/dbraw/zinc/19/73/02/910197302.db2.gz FHOYVAQXGAINHV-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C\CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001285540371 910763482 /nfs/dbraw/zinc/76/34/82/910763482.db2.gz ACBAFPIXBXBPEG-ZRUQZJFASA-N 1 2 316.405 1.036 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C\CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001285540371 910763491 /nfs/dbraw/zinc/76/34/91/910763491.db2.gz ACBAFPIXBXBPEG-ZRUQZJFASA-N 1 2 316.405 1.036 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C/CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001285540369 910764254 /nfs/dbraw/zinc/76/42/54/910764254.db2.gz ACBAFPIXBXBPEG-NNTXTVRGSA-N 1 2 316.405 1.036 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C/CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001285540369 910764261 /nfs/dbraw/zinc/76/42/61/910764261.db2.gz ACBAFPIXBXBPEG-NNTXTVRGSA-N 1 2 316.405 1.036 20 30 DDEDLO CCc1noc(C[NH2+][C@@H](CC)CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001373746114 910835333 /nfs/dbraw/zinc/83/53/33/910835333.db2.gz ZQFMUQIEVHUJMD-JTQLQIEISA-N 1 2 316.365 1.130 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001285746399 911140796 /nfs/dbraw/zinc/14/07/96/911140796.db2.gz KBHQUTLKMOZIDO-YNEHKIRRSA-N 1 2 318.421 1.736 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001285746399 911140803 /nfs/dbraw/zinc/14/08/03/911140803.db2.gz KBHQUTLKMOZIDO-YNEHKIRRSA-N 1 2 318.421 1.736 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H](C)N(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001285799408 911219147 /nfs/dbraw/zinc/21/91/47/911219147.db2.gz WNURROUIHJRELE-TZMCWYRMSA-N 1 2 320.437 1.826 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001286325812 911924726 /nfs/dbraw/zinc/92/47/26/911924726.db2.gz APZAAYGMVXOLIK-KGLIPLIRSA-N 1 2 304.394 1.393 20 30 DDEDLO Cc1cc(C[N@@H+]2CCO[C@@H](CNC(=O)[C@H](C)C#N)C2)c(C)s1 ZINC001374124465 911950417 /nfs/dbraw/zinc/95/04/17/911950417.db2.gz POGLWKHRGHQEKA-ABAIWWIYSA-N 1 2 321.446 1.842 20 30 DDEDLO Cc1cc(C[N@H+]2CCO[C@@H](CNC(=O)[C@H](C)C#N)C2)c(C)s1 ZINC001374124465 911950436 /nfs/dbraw/zinc/95/04/36/911950436.db2.gz POGLWKHRGHQEKA-ABAIWWIYSA-N 1 2 321.446 1.842 20 30 DDEDLO CCc1cnc(C[N@H+]2CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]2CC)o1 ZINC001397192299 915402623 /nfs/dbraw/zinc/40/26/23/915402623.db2.gz TYRGXZMJVIPPRI-FPMFFAJLSA-N 1 2 304.394 1.866 20 30 DDEDLO CCc1cnc(C[N@@H+]2CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]2CC)o1 ZINC001397192299 915402633 /nfs/dbraw/zinc/40/26/33/915402633.db2.gz TYRGXZMJVIPPRI-FPMFFAJLSA-N 1 2 304.394 1.866 20 30 DDEDLO CC(C)Cc1nc(C[NH2+][C@H]2C[C@@H](CNC(=O)[C@H](C)C#N)C2)no1 ZINC001375483362 916088450 /nfs/dbraw/zinc/08/84/50/916088450.db2.gz GGTIBWDUISKYLP-UPJWGTAASA-N 1 2 319.409 1.412 20 30 DDEDLO CC#CCCCC(=O)NCC[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001295902285 916117532 /nfs/dbraw/zinc/11/75/32/916117532.db2.gz RVFWYBMXDFQMOP-CYBMUJFWSA-N 1 2 304.394 1.157 20 30 DDEDLO C=CC(C)(C)C(=O)N(CCNC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001296591679 916496279 /nfs/dbraw/zinc/49/62/79/916496279.db2.gz AUMOXZVRUOYWRM-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N(CCNC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001296591679 916496287 /nfs/dbraw/zinc/49/62/87/916496287.db2.gz AUMOXZVRUOYWRM-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C[N@H+](CC(=O)NCC#N)Cc1cc(Br)ccc1F ZINC000076669403 185031243 /nfs/dbraw/zinc/03/12/43/185031243.db2.gz VJFXYGNTBIWDOE-UHFFFAOYSA-N 1 2 314.158 1.660 20 30 DDEDLO C[N@@H+](CC(=O)NCC#N)Cc1cc(Br)ccc1F ZINC000076669403 185031245 /nfs/dbraw/zinc/03/12/45/185031245.db2.gz VJFXYGNTBIWDOE-UHFFFAOYSA-N 1 2 314.158 1.660 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cc(C#N)ccc1C ZINC000451931123 529389164 /nfs/dbraw/zinc/38/91/64/529389164.db2.gz LGRDLXCYDGTJEJ-OAHLLOKOSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cc(C#N)ccc1C ZINC000451931123 529389165 /nfs/dbraw/zinc/38/91/65/529389165.db2.gz LGRDLXCYDGTJEJ-OAHLLOKOSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[C@@H](C)n1ncc(NC(=O)N2CC[NH+](CCO)CC2)c1C ZINC000331116037 529442101 /nfs/dbraw/zinc/44/21/01/529442101.db2.gz KELFSKCOCAJARE-GFCCVEGCSA-N 1 2 309.414 1.318 20 30 DDEDLO CC[C@@]1(O)CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000495203185 529573334 /nfs/dbraw/zinc/57/33/34/529573334.db2.gz PFUUSMVBCQYQTK-OAHLLOKOSA-N 1 2 308.403 1.179 20 30 DDEDLO CC[C@@]1(O)CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000495203185 529573337 /nfs/dbraw/zinc/57/33/37/529573337.db2.gz PFUUSMVBCQYQTK-OAHLLOKOSA-N 1 2 308.403 1.179 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCO[C@]2(CCCOC2)C1 ZINC000285262414 222630284 /nfs/dbraw/zinc/63/02/84/222630284.db2.gz IAAKYLBXELJOFU-NVXWUHKLSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCO[C@]2(CCCOC2)C1 ZINC000285262414 222630286 /nfs/dbraw/zinc/63/02/86/222630286.db2.gz IAAKYLBXELJOFU-NVXWUHKLSA-N 1 2 308.422 1.457 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H](C)CC(=O)N2)CC1 ZINC000328718990 539298634 /nfs/dbraw/zinc/29/86/34/539298634.db2.gz GCHZIKUGOAARTE-STQMWFEESA-N 1 2 324.425 1.252 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@H](C)CC(=O)N2)CC1 ZINC000328718990 539298636 /nfs/dbraw/zinc/29/86/36/539298636.db2.gz GCHZIKUGOAARTE-STQMWFEESA-N 1 2 324.425 1.252 20 30 DDEDLO O=C(C[N@@H+]1CCCC[C@H]1C(=O)N1CCOCC1)NCC1CC1 ZINC000328804975 539299097 /nfs/dbraw/zinc/29/90/97/539299097.db2.gz BWTICCPSWIIVGZ-AWEZNQCLSA-N 1 2 309.410 1.066 20 30 DDEDLO O=C(C[N@H+]1CCCC[C@H]1C(=O)N1CCOCC1)NCC1CC1 ZINC000328804975 539299098 /nfs/dbraw/zinc/29/90/98/539299098.db2.gz BWTICCPSWIIVGZ-AWEZNQCLSA-N 1 2 309.410 1.066 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000092842374 185345443 /nfs/dbraw/zinc/34/54/43/185345443.db2.gz MLQKXMBFNNFZGK-OAHLLOKOSA-N 1 2 310.394 1.191 20 30 DDEDLO CCNS(=O)(=O)[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000092842374 185345444 /nfs/dbraw/zinc/34/54/44/185345444.db2.gz MLQKXMBFNNFZGK-OAHLLOKOSA-N 1 2 310.394 1.191 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CCC[C@@H]2C(C)(C)O)c[nH+]1 ZINC000330870115 529778924 /nfs/dbraw/zinc/77/89/24/529778924.db2.gz XDRCIFJNLNSUBD-CYBMUJFWSA-N 1 2 306.410 1.797 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NCc3cccc4cc[nH]c43)C[C@H]21 ZINC000329813651 529785229 /nfs/dbraw/zinc/78/52/29/529785229.db2.gz TUYSPNPLHDWMKJ-HUUCEWRRSA-N 1 2 314.389 1.597 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NCc3cccc4cc[nH]c43)C[C@H]21 ZINC000329813651 529785230 /nfs/dbraw/zinc/78/52/30/529785230.db2.gz TUYSPNPLHDWMKJ-HUUCEWRRSA-N 1 2 314.389 1.597 20 30 DDEDLO CN(C)c1ncccc1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000330406594 529813681 /nfs/dbraw/zinc/81/36/81/529813681.db2.gz RBRCLRYMZSPOCA-UHFFFAOYSA-N 1 2 306.410 1.563 20 30 DDEDLO CN(C)c1ncccc1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000330406594 529813682 /nfs/dbraw/zinc/81/36/82/529813682.db2.gz RBRCLRYMZSPOCA-UHFFFAOYSA-N 1 2 306.410 1.563 20 30 DDEDLO C[C@H](C(=O)Nc1ccc2c(c1)OCO2)[NH+]1CCC(C#N)CC1 ZINC000057907846 334954085 /nfs/dbraw/zinc/95/40/85/334954085.db2.gz VYOHTHQYUAQQAH-LLVKDONJSA-N 1 2 301.346 1.978 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)CCc2cccc3c2OCO3)C1 ZINC000329939167 530070510 /nfs/dbraw/zinc/07/05/10/530070510.db2.gz SXAOQWIITDNLNJ-CQSZACIVSA-N 1 2 319.405 1.550 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)CCc2cccc3c2OCO3)C1 ZINC000329939167 530070511 /nfs/dbraw/zinc/07/05/11/530070511.db2.gz SXAOQWIITDNLNJ-CQSZACIVSA-N 1 2 319.405 1.550 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CCC[C@H](OCCO)C1 ZINC000459378024 233150049 /nfs/dbraw/zinc/15/00/49/233150049.db2.gz PHABVXZFOOSVSK-ZDUSSCGKSA-N 1 2 305.334 1.440 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CCC[C@H](OCCO)C1 ZINC000459378024 233150052 /nfs/dbraw/zinc/15/00/52/233150052.db2.gz PHABVXZFOOSVSK-ZDUSSCGKSA-N 1 2 305.334 1.440 20 30 DDEDLO COCCCOC1CN(Cc2c[nH+]c3ccc(C#N)cn23)C1 ZINC000459584948 233340730 /nfs/dbraw/zinc/34/07/30/233340730.db2.gz BSJAPSMUCLPUPY-UHFFFAOYSA-N 1 2 300.362 1.443 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cccc4nccn43)CC2)nc1 ZINC000179672415 186203359 /nfs/dbraw/zinc/20/33/59/186203359.db2.gz OIIQTJVKQVOPCD-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#Cc1ccc(N2CCN(Cc3cccc4[nH+]ccn43)CC2)nc1 ZINC000179672415 186203360 /nfs/dbraw/zinc/20/33/60/186203360.db2.gz OIIQTJVKQVOPCD-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000007923652 352129399 /nfs/dbraw/zinc/12/93/99/352129399.db2.gz WQTWBBUYLWUYLC-GFCCVEGCSA-N 1 2 302.378 1.342 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000007923652 352129406 /nfs/dbraw/zinc/12/94/06/352129406.db2.gz WQTWBBUYLWUYLC-GFCCVEGCSA-N 1 2 302.378 1.342 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000023287998 352183357 /nfs/dbraw/zinc/18/33/57/352183357.db2.gz PJMHHLYXUPIEME-UHFFFAOYSA-N 1 2 312.417 1.298 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](CC(=O)N3CCCC3)CC2)cc1 ZINC000023287998 352183360 /nfs/dbraw/zinc/18/33/60/352183360.db2.gz PJMHHLYXUPIEME-UHFFFAOYSA-N 1 2 312.417 1.298 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](C)CC(=O)Nc1ccccc1CC ZINC000046560496 352455936 /nfs/dbraw/zinc/45/59/36/352455936.db2.gz UHUIGNPXSYTEFE-ZDUSSCGKSA-N 1 2 303.406 1.810 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](C)CC(=O)Nc1ccccc1CC ZINC000046560496 352455938 /nfs/dbraw/zinc/45/59/38/352455938.db2.gz UHUIGNPXSYTEFE-ZDUSSCGKSA-N 1 2 303.406 1.810 20 30 DDEDLO CN(C)c1ccc(CNS(=O)(=O)c2cccc(C#N)c2)c[nH+]1 ZINC000046812795 352462684 /nfs/dbraw/zinc/46/26/84/352462684.db2.gz PEDAJFJLPQEOEV-UHFFFAOYSA-N 1 2 316.386 1.498 20 30 DDEDLO CC(C)(CNC(=O)NCc1ccc(C#N)cc1)[NH+]1CCOCC1 ZINC000047855160 352514510 /nfs/dbraw/zinc/51/45/10/352514510.db2.gz BOCGCAPLUCBCSA-UHFFFAOYSA-N 1 2 316.405 1.468 20 30 DDEDLO C=CCN(C(=O)c1ccc(-n2cc[nH+]c2)nn1)[C@H](C)COC ZINC000617578596 363191483 /nfs/dbraw/zinc/19/14/83/363191483.db2.gz NKBGVXQNONREJK-GFCCVEGCSA-N 1 2 301.350 1.325 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)N1CC[NH+](Cc2ccco2)CC1 ZINC000053441249 352656142 /nfs/dbraw/zinc/65/61/42/352656142.db2.gz RZQQEHPMYBKXGB-CQSZACIVSA-N 1 2 304.394 1.158 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Cc1cccc(F)c1 ZINC000060634210 352878618 /nfs/dbraw/zinc/87/86/18/352878618.db2.gz IMQDJFBJSYGXFV-UHFFFAOYSA-N 1 2 319.380 1.443 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cc(F)ccc2C)CC1 ZINC000064432448 352937334 /nfs/dbraw/zinc/93/73/34/352937334.db2.gz OYJAEWZYHRIBDS-UHFFFAOYSA-N 1 2 310.394 1.464 20 30 DDEDLO N#CCN(C(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1)C1CC1 ZINC000065894950 352967710 /nfs/dbraw/zinc/96/77/10/352967710.db2.gz BADMDQVCDAPXRU-UHFFFAOYSA-N 1 2 311.301 1.909 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C(=O)C(=O)N([C@H](C)c2ccccc2)C1=O ZINC000491752919 234275078 /nfs/dbraw/zinc/27/50/78/234275078.db2.gz ZSCLMBBVLUIBKW-CHWSQXEVSA-N 1 2 313.357 1.449 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C(=O)C(=O)N([C@H](C)c2ccccc2)C1=O ZINC000491752919 234275082 /nfs/dbraw/zinc/27/50/82/234275082.db2.gz ZSCLMBBVLUIBKW-CHWSQXEVSA-N 1 2 313.357 1.449 20 30 DDEDLO Cc1cccn2cc(CCNS(=O)(=O)CCCCC#N)[nH+]c12 ZINC000080787226 353629038 /nfs/dbraw/zinc/62/90/38/353629038.db2.gz WCQVNOKXGJESEZ-UHFFFAOYSA-N 1 2 320.418 1.799 20 30 DDEDLO Cc1cc(C)n(CCN(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000081158357 353655020 /nfs/dbraw/zinc/65/50/20/353655020.db2.gz HYSPIQPCDSKLPI-UHFFFAOYSA-N 1 2 305.426 1.048 20 30 DDEDLO CCn1ncc2cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c(C)nc21 ZINC000578538441 354709929 /nfs/dbraw/zinc/70/99/29/354709929.db2.gz VKBVFVHNQCVICI-INIZCTEOSA-N 1 2 314.393 1.333 20 30 DDEDLO COc1ccc(SCC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000578831749 354712349 /nfs/dbraw/zinc/71/23/49/354712349.db2.gz LADCCVWNPFYVQV-OAHLLOKOSA-N 1 2 307.419 1.747 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(N2CCCC2=O)cc1 ZINC000579544295 354720250 /nfs/dbraw/zinc/72/02/50/354720250.db2.gz HRJTVNHPRCHTII-KRWDZBQOSA-N 1 2 314.389 1.387 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@@H](CNC(=O)CC(F)(F)F)C1 ZINC000586774428 354863864 /nfs/dbraw/zinc/86/38/64/354863864.db2.gz WNSRGAOLXADOKQ-WDEREUQCSA-N 1 2 322.327 1.495 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@@H](CNC(=O)CC(F)(F)F)C1 ZINC000586774428 354863867 /nfs/dbraw/zinc/86/38/67/354863867.db2.gz WNSRGAOLXADOKQ-WDEREUQCSA-N 1 2 322.327 1.495 20 30 DDEDLO Cc1[nH+]ccc(NS(=O)(=O)c2cncc(C#N)c2)c1Cl ZINC000589589247 355003109 /nfs/dbraw/zinc/00/31/09/355003109.db2.gz VPQLBLUYGPDQIY-UHFFFAOYSA-N 1 2 308.750 1.533 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCc2cccc(OC)c2C1 ZINC000590759631 355169476 /nfs/dbraw/zinc/16/94/76/355169476.db2.gz BGFQXINZKOLYNH-UHFFFAOYSA-N 1 2 301.390 1.815 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCc2cccc(OC)c2C1 ZINC000590759631 355169478 /nfs/dbraw/zinc/16/94/78/355169478.db2.gz BGFQXINZKOLYNH-UHFFFAOYSA-N 1 2 301.390 1.815 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C(=O)OCC ZINC000590882494 355204865 /nfs/dbraw/zinc/20/48/65/355204865.db2.gz PZTDWVOCMIWLLZ-STQMWFEESA-N 1 2 305.378 1.460 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](Cc2cc(=O)[nH]c(C3CC3)n2)CC1 ZINC000590954562 355221825 /nfs/dbraw/zinc/22/18/25/355221825.db2.gz NJZWLWLEGCXOMF-OAHLLOKOSA-N 1 2 315.421 1.725 20 30 DDEDLO N#CCCN1CC[NH+]([C@@H]2CCN(c3ccc(F)cc3)C2=O)CC1 ZINC000591020609 355234159 /nfs/dbraw/zinc/23/41/59/355234159.db2.gz RYZCCYNFADRHBY-MRXNPFEDSA-N 1 2 316.380 1.462 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC000591629657 355344621 /nfs/dbraw/zinc/34/46/21/355344621.db2.gz WBDYQTBRJLTMFT-INIZCTEOSA-N 1 2 305.353 1.424 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC000591629657 355344623 /nfs/dbraw/zinc/34/46/23/355344623.db2.gz WBDYQTBRJLTMFT-INIZCTEOSA-N 1 2 305.353 1.424 20 30 DDEDLO Cc1ccc(C)c(NC(=O)[C@@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592147943 355513754 /nfs/dbraw/zinc/51/37/54/355513754.db2.gz UMDJUAINSHYBQF-PBHICJAKSA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1ccc(C)c(NC(=O)[C@@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592147943 355513756 /nfs/dbraw/zinc/51/37/56/355513756.db2.gz UMDJUAINSHYBQF-PBHICJAKSA-N 1 2 301.390 1.981 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@H]2CCCN(c3cccc(F)c3)C2=O)C1 ZINC000592151143 355517951 /nfs/dbraw/zinc/51/79/51/355517951.db2.gz MUQJQWAOSCQRBL-RDJZCZTQSA-N 1 2 317.364 1.672 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@H]2CCCN(c3cccc(F)c3)C2=O)C1 ZINC000592151143 355517953 /nfs/dbraw/zinc/51/79/53/355517953.db2.gz MUQJQWAOSCQRBL-RDJZCZTQSA-N 1 2 317.364 1.672 20 30 DDEDLO COc1ncncc1C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000592497157 355601271 /nfs/dbraw/zinc/60/12/71/355601271.db2.gz MMZOURFEUYUBPC-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2[C@H](C)CC[C@@H]2C(=O)OC(C)(C)C)C1=O ZINC000593051215 355780787 /nfs/dbraw/zinc/78/07/87/355780787.db2.gz LVAZRWXMEPZWGE-MGPQQGTHSA-N 1 2 308.422 1.968 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2[C@H](C)CC[C@@H]2C(=O)OC(C)(C)C)C1=O ZINC000593051215 355780788 /nfs/dbraw/zinc/78/07/88/355780788.db2.gz LVAZRWXMEPZWGE-MGPQQGTHSA-N 1 2 308.422 1.968 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cccc2c1OCCCO2 ZINC000593153512 355812889 /nfs/dbraw/zinc/81/28/89/355812889.db2.gz SFQNNLJJQODGRN-MRXNPFEDSA-N 1 2 303.362 1.422 20 30 DDEDLO COc1ccc(COCCC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000593154921 355813857 /nfs/dbraw/zinc/81/38/57/355813857.db2.gz YJVAIFVWELNHOW-KRWDZBQOSA-N 1 2 319.405 1.562 20 30 DDEDLO CC[C@@H](C#N)C(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000593402102 355874898 /nfs/dbraw/zinc/87/48/98/355874898.db2.gz KBAFBDKRHOGFRJ-ZDUSSCGKSA-N 1 2 314.389 1.562 20 30 DDEDLO N#Cc1sccc1S(=O)(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000593542661 355919443 /nfs/dbraw/zinc/91/94/43/355919443.db2.gz KBSYLGAUOJLKRQ-NSHDSACASA-N 1 2 322.415 1.842 20 30 DDEDLO C[C@@H]1C[C@@H](NS(=O)(=O)c2ccsc2C#N)c2[nH+]ccn21 ZINC000593607195 355941296 /nfs/dbraw/zinc/94/12/96/355941296.db2.gz GFPVIYOIJCSKNB-RKDXNWHRSA-N 1 2 308.388 1.801 20 30 DDEDLO C[C@@H](O)C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C1CC1 ZINC000594064986 356103402 /nfs/dbraw/zinc/10/34/02/356103402.db2.gz NBKKFMBTYOQZIK-GFCCVEGCSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@@H](O)C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C1CC1 ZINC000594064986 356103405 /nfs/dbraw/zinc/10/34/05/356103405.db2.gz NBKKFMBTYOQZIK-GFCCVEGCSA-N 1 2 308.403 1.177 20 30 DDEDLO C#CC[N@@H+](CCN(Cc1ccccc1)S(C)(=O)=O)C1CC1 ZINC000276950206 213198501 /nfs/dbraw/zinc/19/85/01/213198501.db2.gz KZHWXMDKACWZHZ-UHFFFAOYSA-N 1 2 306.431 1.546 20 30 DDEDLO C#CC[N@H+](CCN(Cc1ccccc1)S(C)(=O)=O)C1CC1 ZINC000276950206 213198503 /nfs/dbraw/zinc/19/85/03/213198503.db2.gz KZHWXMDKACWZHZ-UHFFFAOYSA-N 1 2 306.431 1.546 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)N[C@@H]2CC(=O)N(CC[NH+]3CCOCC3)C2)C1 ZINC000329300218 222960569 /nfs/dbraw/zinc/96/05/69/222960569.db2.gz VGXZFZWSHAWECH-QLFBSQMISA-N 1 2 323.437 1.312 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](N3CCn4cc[nH+]c4C3)C2=O)cc1 ZINC000329421968 283158068 /nfs/dbraw/zinc/15/80/68/283158068.db2.gz HHTMHGFJHYDQHZ-MRXNPFEDSA-N 1 2 321.384 1.766 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)C(=O)N[C@@H](C)c2[nH+]ccn2C)c1 ZINC000595219715 356410504 /nfs/dbraw/zinc/41/05/04/356410504.db2.gz OMCGFTRRQBPQRS-NSHDSACASA-N 1 2 311.345 1.416 20 30 DDEDLO Cc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)nc(C)n1 ZINC000595340475 356454599 /nfs/dbraw/zinc/45/45/99/356454599.db2.gz WRZFHEYKUIBWBY-CYBMUJFWSA-N 1 2 323.404 1.466 20 30 DDEDLO Cc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)nc(C)n1 ZINC000595340475 356454604 /nfs/dbraw/zinc/45/46/04/356454604.db2.gz WRZFHEYKUIBWBY-CYBMUJFWSA-N 1 2 323.404 1.466 20 30 DDEDLO Cc1nc(NC(=O)[C@H]2C[N@H+](C)CCO2)sc1SCC#N ZINC000081314821 192249563 /nfs/dbraw/zinc/24/95/63/192249563.db2.gz OYDFTAIWHGEUPC-SECBINFHSA-N 1 2 312.420 1.336 20 30 DDEDLO Cc1nc(NC(=O)[C@H]2C[N@@H+](C)CCO2)sc1SCC#N ZINC000081314821 192249566 /nfs/dbraw/zinc/24/95/66/192249566.db2.gz OYDFTAIWHGEUPC-SECBINFHSA-N 1 2 312.420 1.336 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CCc2nnn(C(C)(C)C)n2)CC1 ZINC000595398446 356478229 /nfs/dbraw/zinc/47/82/29/356478229.db2.gz PQDXSYFLEGYBLJ-AWEZNQCLSA-N 1 2 319.457 1.136 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCC[C@](CO)(OC)C2)c1C#N ZINC000595657422 356594678 /nfs/dbraw/zinc/59/46/78/356594678.db2.gz BSDTWEWLZOSKPR-KRWDZBQOSA-N 1 2 318.373 1.318 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCC[C@](CO)(OC)C2)c1C#N ZINC000595657422 356594683 /nfs/dbraw/zinc/59/46/83/356594683.db2.gz BSDTWEWLZOSKPR-KRWDZBQOSA-N 1 2 318.373 1.318 20 30 DDEDLO Cc1cc(C[N@@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)ccc1C#N ZINC000595753189 356635904 /nfs/dbraw/zinc/63/59/04/356635904.db2.gz RDQFLKONABZSFY-CYBMUJFWSA-N 1 2 307.419 1.332 20 30 DDEDLO Cc1cc(C[N@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)ccc1C#N ZINC000595753189 356635909 /nfs/dbraw/zinc/63/59/09/356635909.db2.gz RDQFLKONABZSFY-CYBMUJFWSA-N 1 2 307.419 1.332 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CC[C@@]3(O)C[C@H]3C2)c(C#N)c1C ZINC000595757542 356639693 /nfs/dbraw/zinc/63/96/93/356639693.db2.gz MVBPSTJRUUBUQT-XHDPSFHLSA-N 1 2 305.403 1.632 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CC[C@@]3(O)C[C@H]3C2)c(C#N)c1C ZINC000595757542 356639697 /nfs/dbraw/zinc/63/96/97/356639697.db2.gz MVBPSTJRUUBUQT-XHDPSFHLSA-N 1 2 305.403 1.632 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@@H](O)COc2ccc(C#N)cc2)s1 ZINC000595885975 356691430 /nfs/dbraw/zinc/69/14/30/356691430.db2.gz RZWZNJLPDLBVKJ-CYBMUJFWSA-N 1 2 318.402 1.590 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@@H](O)COc2ccc(C#N)cc2)s1 ZINC000595885975 356691432 /nfs/dbraw/zinc/69/14/32/356691432.db2.gz RZWZNJLPDLBVKJ-CYBMUJFWSA-N 1 2 318.402 1.590 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)NCC1(C#N)CCCCC1 ZINC000596866195 357000270 /nfs/dbraw/zinc/00/02/70/357000270.db2.gz LZDMMWVEMAQKDP-UHFFFAOYSA-N 1 2 308.426 1.434 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000596804450 356981651 /nfs/dbraw/zinc/98/16/51/356981651.db2.gz ACQJCSPNCDJHFS-JTQLQIEISA-N 1 2 309.316 1.287 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC000596927369 357016671 /nfs/dbraw/zinc/01/66/71/357016671.db2.gz AVDIPUNRTDMJHW-MRXNPFEDSA-N 1 2 314.389 1.805 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)CC1(C#N)CCOCC1 ZINC000597647196 357268173 /nfs/dbraw/zinc/26/81/73/357268173.db2.gz KRIAOCGBJUGHSB-CABCVRRESA-N 1 2 321.421 1.018 20 30 DDEDLO C[C@@H](CC#N)C(=O)N(CC[NH+]1CCOCC1)[C@@H]1CCSC1 ZINC000597676606 357280168 /nfs/dbraw/zinc/28/01/68/357280168.db2.gz ASFYDUZSZVWFOR-UONOGXRCSA-N 1 2 311.451 1.202 20 30 DDEDLO C[N@@H+](Cc1ncnn1CC(F)F)C[C@H](O)CC(C)(C)C#N ZINC000598615157 357654233 /nfs/dbraw/zinc/65/42/33/357654233.db2.gz VOGBMDFDGWAQMW-SNVBAGLBSA-N 1 2 301.341 1.276 20 30 DDEDLO C[N@H+](Cc1ncnn1CC(F)F)C[C@H](O)CC(C)(C)C#N ZINC000598615157 357654235 /nfs/dbraw/zinc/65/42/35/357654235.db2.gz VOGBMDFDGWAQMW-SNVBAGLBSA-N 1 2 301.341 1.276 20 30 DDEDLO O=C(NCC[C@H]1CCOC1)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000329673884 223012713 /nfs/dbraw/zinc/01/27/13/223012713.db2.gz SZHKLMAYMUYVJZ-GJZGRUSLSA-N 1 2 311.426 1.124 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C[C@@H](n1cccn1)C(F)(F)F ZINC000598836210 357740101 /nfs/dbraw/zinc/74/01/01/357740101.db2.gz CPCHPPYZCLBJHU-ZYHUDNBSSA-N 1 2 317.315 1.337 20 30 DDEDLO CC(C)c1ncc2c(n1)CC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C2 ZINC000598935485 357763834 /nfs/dbraw/zinc/76/38/34/357763834.db2.gz IQGPVBYPQUQRJF-ZDUSSCGKSA-N 1 2 315.421 1.719 20 30 DDEDLO CC(C)c1ncc2c(n1)CC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C2 ZINC000598935485 357763835 /nfs/dbraw/zinc/76/38/35/357763835.db2.gz IQGPVBYPQUQRJF-ZDUSSCGKSA-N 1 2 315.421 1.719 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCC[C@H](c3ccn[nH]3)C2)CCOCC1 ZINC000599264950 357868152 /nfs/dbraw/zinc/86/81/52/357868152.db2.gz FVNUFTAHHVHLNT-LSDHHAIUSA-N 1 2 318.421 1.660 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCC[C@H](c3ccn[nH]3)C2)CCOCC1 ZINC000599264950 357868157 /nfs/dbraw/zinc/86/81/57/357868157.db2.gz FVNUFTAHHVHLNT-LSDHHAIUSA-N 1 2 318.421 1.660 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(c3nccn(C)c3=O)CC2)cc1C#N ZINC000599303427 357883970 /nfs/dbraw/zinc/88/39/70/357883970.db2.gz CHNFYMZRXZOCKC-UHFFFAOYSA-N 1 2 323.400 1.283 20 30 DDEDLO C[C@H](O)C[N@H+](CC(F)F)C[C@H](O)CC1(C#N)CCOCC1 ZINC000599435649 357935380 /nfs/dbraw/zinc/93/53/80/357935380.db2.gz YGCKSRWFASHAAF-NWDGAFQWSA-N 1 2 306.353 1.006 20 30 DDEDLO C[C@H](O)C[N@@H+](CC(F)F)C[C@H](O)CC1(C#N)CCOCC1 ZINC000599435649 357935382 /nfs/dbraw/zinc/93/53/82/357935382.db2.gz YGCKSRWFASHAAF-NWDGAFQWSA-N 1 2 306.353 1.006 20 30 DDEDLO N#Cc1cc(C(=O)Nc2ccc(C[NH+]3CCOCC3)cc2)c[nH]1 ZINC000179977213 199102363 /nfs/dbraw/zinc/10/23/63/199102363.db2.gz OZHHBNHOQSKBQC-UHFFFAOYSA-N 1 2 310.357 1.971 20 30 DDEDLO CC(C)(C)OC(=O)C1CC[NH+](Cc2cn(CC#N)nn2)CC1 ZINC000600972223 358363777 /nfs/dbraw/zinc/36/37/77/358363777.db2.gz CCJDUBQLFKFZEW-UHFFFAOYSA-N 1 2 305.382 1.355 20 30 DDEDLO Cc1c(C#N)c(=O)n(Cc2[nH]c3ccccc3[nH+]2)c(=O)n1C1CC1 ZINC000601091251 358399744 /nfs/dbraw/zinc/39/97/44/358399744.db2.gz COQOTJYSQIZRHM-UHFFFAOYSA-N 1 2 321.340 1.450 20 30 DDEDLO C=CCOc1ccccc1C[NH+]1CCN(CC(=O)N(C)C)CC1 ZINC000601599417 358618473 /nfs/dbraw/zinc/61/84/73/358618473.db2.gz BFQYMBDNTDHZIM-UHFFFAOYSA-N 1 2 317.433 1.457 20 30 DDEDLO C=CCOc1ccccc1CN1CC[NH+](CC(=O)N(C)C)CC1 ZINC000601599417 358618476 /nfs/dbraw/zinc/61/84/76/358618476.db2.gz BFQYMBDNTDHZIM-UHFFFAOYSA-N 1 2 317.433 1.457 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)C1CCC=CCC1 ZINC000602087703 358807442 /nfs/dbraw/zinc/80/74/42/358807442.db2.gz XSQPBOCYDSCTGU-UHFFFAOYSA-N 1 2 305.422 1.807 20 30 DDEDLO Cc1cnc(C[NH2+][C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)o1 ZINC000602632533 359086655 /nfs/dbraw/zinc/08/66/55/359086655.db2.gz UVLIABPPEVBJMM-OAHLLOKOSA-N 1 2 310.357 1.745 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(Cc3cc[nH]c(=O)c3)CC2)cc1 ZINC000602769302 359178335 /nfs/dbraw/zinc/17/83/35/359178335.db2.gz UGXLSHWKTTUQRU-UHFFFAOYSA-N 1 2 308.385 1.977 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(CCc3cnccn3)CC2)c1 ZINC000602743544 359161546 /nfs/dbraw/zinc/16/15/46/359161546.db2.gz SGPYYWXBCPDQHW-UHFFFAOYSA-N 1 2 307.401 1.709 20 30 DDEDLO N#CCCN1CC[NH+](Cc2cnc(N3CCOCC3)s2)CC1 ZINC000602748493 359166864 /nfs/dbraw/zinc/16/68/64/359166864.db2.gz NBXYURVHRUDCGE-UHFFFAOYSA-N 1 2 321.450 1.011 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)Nc2cccc(F)c2)C[C@H](C)N1CC#N ZINC000602858119 359244956 /nfs/dbraw/zinc/24/49/56/359244956.db2.gz ZHIOSZFPZDGFCM-STQMWFEESA-N 1 2 304.369 1.682 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)Nc2ccc(C#N)cc2)C[C@@H](C)N1CC#N ZINC000602865533 359250400 /nfs/dbraw/zinc/25/04/00/359250400.db2.gz TZEHSIRVVQQSSZ-ZIAGYGMSSA-N 1 2 311.389 1.415 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+](C)[C@@H]2CCC[C@H]2C#N)C1 ZINC000602977094 359335583 /nfs/dbraw/zinc/33/55/83/359335583.db2.gz XWZFFLJRMBGJCO-RRFJBIMHSA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+](C)[C@@H]2CCC[C@H]2C#N)C1 ZINC000602977094 359335585 /nfs/dbraw/zinc/33/55/85/359335585.db2.gz XWZFFLJRMBGJCO-RRFJBIMHSA-N 1 2 321.421 1.412 20 30 DDEDLO N#Cc1ccc(F)c(Cn2cc(C[NH+]3CCSCC3)nn2)c1 ZINC000603191068 359469039 /nfs/dbraw/zinc/46/90/39/359469039.db2.gz IMLXHHNWJBJSRH-UHFFFAOYSA-N 1 2 317.393 1.886 20 30 DDEDLO N#Cc1ccc(Cn2cc(C[NH+]3CCSCC3)nn2)nc1 ZINC000603223120 359495366 /nfs/dbraw/zinc/49/53/66/359495366.db2.gz OTBCJXFRFPWLPR-UHFFFAOYSA-N 1 2 300.391 1.142 20 30 DDEDLO N#CCC[C@H](NS(=O)(=O)CCn1cc[nH+]c1)c1ccccc1 ZINC000603306461 359557313 /nfs/dbraw/zinc/55/73/13/359557313.db2.gz WHIGUAZIIRFACW-HNNXBMFYSA-N 1 2 318.402 1.848 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCOc2ccccc2C1 ZINC000603869854 359690063 /nfs/dbraw/zinc/69/00/63/359690063.db2.gz RMINRYJLMOSDFW-GFCCVEGCSA-N 1 2 303.362 1.281 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCOc2ccccc2C1 ZINC000603869854 359690070 /nfs/dbraw/zinc/69/00/70/359690070.db2.gz RMINRYJLMOSDFW-GFCCVEGCSA-N 1 2 303.362 1.281 20 30 DDEDLO Cc1[nH+]c[nH]c1CNC(=O)CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000329937970 223049357 /nfs/dbraw/zinc/04/93/57/223049357.db2.gz AAVSKVUFELIIEG-TXEJJXNPSA-N 1 2 318.377 1.740 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNC(=O)C2CCOCC2)cn1 ZINC000329962048 223053661 /nfs/dbraw/zinc/05/36/61/223053661.db2.gz SMPUTFGYBBJKIG-GJZGRUSLSA-N 1 2 324.400 1.716 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNC(=O)C2CCOCC2)cn1 ZINC000329962048 223053665 /nfs/dbraw/zinc/05/36/65/223053665.db2.gz SMPUTFGYBBJKIG-GJZGRUSLSA-N 1 2 324.400 1.716 20 30 DDEDLO N#CCCn1ncc2c1nc[nH+]c2NCCn1ccccc1=O ZINC000609586008 360330242 /nfs/dbraw/zinc/33/02/42/360330242.db2.gz NHSPOOIXRQBZHJ-UHFFFAOYSA-N 1 2 309.333 1.014 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)[NH+]1CCN(S(=O)(=O)N(C)C)CC1 ZINC000609822928 360353051 /nfs/dbraw/zinc/35/30/51/360353051.db2.gz VHIRRGCSLCPLMQ-ZDUSSCGKSA-N 1 2 322.434 1.043 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H](N2CCCC2=O)C1 ZINC000609541013 360321640 /nfs/dbraw/zinc/32/16/40/360321640.db2.gz TXNBYNRZKAOOMT-PBHICJAKSA-N 1 2 320.437 1.128 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H](N2CCCC2=O)C1 ZINC000609541013 360321647 /nfs/dbraw/zinc/32/16/47/360321647.db2.gz TXNBYNRZKAOOMT-PBHICJAKSA-N 1 2 320.437 1.128 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)[C@H]1CCCCS1(=O)=O ZINC000330012084 223063423 /nfs/dbraw/zinc/06/34/23/223063423.db2.gz JZYMWSZWTZROIZ-GFCCVEGCSA-N 1 2 311.407 1.643 20 30 DDEDLO CCOC(=O)c1cnc(N2CC[NH+](CC#CCOC)CC2)s1 ZINC000611194768 360656886 /nfs/dbraw/zinc/65/68/86/360656886.db2.gz LRJFMCVOTASYCU-UHFFFAOYSA-N 1 2 323.418 1.092 20 30 DDEDLO COC1CCC(N(C)C(=O)NC[C@@H](C)[NH+]2CCOCC2)CC1 ZINC000330801791 223145135 /nfs/dbraw/zinc/14/51/35/223145135.db2.gz JLFMAEKREXZPPA-WLYUNCDWSA-N 1 2 313.442 1.511 20 30 DDEDLO N#CCc1cccc(S(=O)(=O)NCCCCn2cc[nH+]c2)c1 ZINC000619495033 364036616 /nfs/dbraw/zinc/03/66/16/364036616.db2.gz GNVXJIHUQNFYBX-UHFFFAOYSA-N 1 2 318.402 1.708 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)N1CCn2cc(C)[nH+]c2C1 ZINC000625564175 367016737 /nfs/dbraw/zinc/01/67/37/367016737.db2.gz RPNRNINBPCKLAU-UHFFFAOYSA-N 1 2 304.394 1.252 20 30 DDEDLO C[C@H]1C[C@H](O)C[N@@H+]1CC(=O)Nc1ccccc1SCC#N ZINC000349848279 223396970 /nfs/dbraw/zinc/39/69/70/223396970.db2.gz ADNUTCHFIIFHNV-RYUDHWBXSA-N 1 2 305.403 1.696 20 30 DDEDLO C[C@H]1C[C@H](O)C[N@H+]1CC(=O)Nc1ccccc1SCC#N ZINC000349848279 223396972 /nfs/dbraw/zinc/39/69/72/223396972.db2.gz ADNUTCHFIIFHNV-RYUDHWBXSA-N 1 2 305.403 1.696 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCc2n[nH]c(=O)cc2C1 ZINC000275008976 212071296 /nfs/dbraw/zinc/07/12/96/212071296.db2.gz QZYMWXBFCCTODV-NSHDSACASA-N 1 2 323.356 1.027 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCc2n[nH]c(=O)cc2C1 ZINC000275008976 212071298 /nfs/dbraw/zinc/07/12/98/212071298.db2.gz QZYMWXBFCCTODV-NSHDSACASA-N 1 2 323.356 1.027 20 30 DDEDLO CNS(=O)(=O)C1CC[NH+](Cc2cc(C#N)ccc2F)CC1 ZINC000264696003 204085905 /nfs/dbraw/zinc/08/59/05/204085905.db2.gz GFRAJCBGFIEIOX-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO C#CCCNC(=O)N1CC[NH+](Cc2ccc(OC)c(F)c2)CC1 ZINC000265082096 204385533 /nfs/dbraw/zinc/38/55/33/204385533.db2.gz QOQFZPZMHCYMQK-UHFFFAOYSA-N 1 2 319.380 1.685 20 30 DDEDLO Cc1cccc([C@H](CNc2ncncc2C#N)[NH+]2CCOCC2)c1 ZINC000566528382 304165743 /nfs/dbraw/zinc/16/57/43/304165743.db2.gz QZGIPPFMZNIMOT-KRWDZBQOSA-N 1 2 323.400 1.564 20 30 DDEDLO N#CC1(NC(=O)CN2CCC[C@@H]2c2[nH]cc[nH+]2)CCCCC1 ZINC000375001299 223682041 /nfs/dbraw/zinc/68/20/41/223682041.db2.gz AWGZHABFVUWRLC-CYBMUJFWSA-N 1 2 301.394 1.889 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@@H+]2CCC[C@@H](CO)C2)C1=O ZINC000267563310 206147997 /nfs/dbraw/zinc/14/79/97/206147997.db2.gz HUAGYGHEWUYLRN-YPMHNXCESA-N 1 2 305.403 1.429 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@H+]2CCC[C@@H](CO)C2)C1=O ZINC000267563310 206148000 /nfs/dbraw/zinc/14/80/00/206148000.db2.gz HUAGYGHEWUYLRN-YPMHNXCESA-N 1 2 305.403 1.429 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNC(=O)[C@@H](C)n1cnc(C#N)n1 ZINC000336880839 249282028 /nfs/dbraw/zinc/28/20/28/249282028.db2.gz AJJOJMHVEAWZOO-LLVKDONJSA-N 1 2 323.360 1.185 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)C(=O)c2ccccc2OC)CC1 ZINC000274158771 211388661 /nfs/dbraw/zinc/38/86/61/211388661.db2.gz GCUALTUWBZSBHR-UHFFFAOYSA-N 1 2 300.358 1.045 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2cccc(C#N)c2C)CC1 ZINC000338120258 249795939 /nfs/dbraw/zinc/79/59/39/249795939.db2.gz SQOJWKNCBOAAAL-UHFFFAOYSA-N 1 2 301.390 1.661 20 30 DDEDLO CC(C)(C(=O)NCCn1cc[nH+]c1)c1cc(F)cc(C#N)c1 ZINC000285297157 218369926 /nfs/dbraw/zinc/36/99/26/218369926.db2.gz VOSQSCWYDBNRQZ-UHFFFAOYSA-N 1 2 300.337 1.988 20 30 DDEDLO COc1cc(OC)cc(C(=O)N2CC[NH+](CCCC#N)CC2)c1 ZINC000058506545 184120917 /nfs/dbraw/zinc/12/09/17/184120917.db2.gz VUHYDQGMFYVKSR-UHFFFAOYSA-N 1 2 317.389 1.765 20 30 DDEDLO C#CC[N@H+](CC(=O)NC(=O)NCC=C)Cc1ccc(F)cc1 ZINC000065958432 184383996 /nfs/dbraw/zinc/38/39/96/184383996.db2.gz GLMJUVVAYXDNFD-UHFFFAOYSA-N 1 2 303.337 1.273 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC(=O)NCC=C)Cc1ccc(F)cc1 ZINC000065958432 184383997 /nfs/dbraw/zinc/38/39/97/184383997.db2.gz GLMJUVVAYXDNFD-UHFFFAOYSA-N 1 2 303.337 1.273 20 30 DDEDLO N#Cc1cnc(NCc2cc[nH+]c(N3CCOCC3)c2)c(F)c1 ZINC000413052177 224126138 /nfs/dbraw/zinc/12/61/38/224126138.db2.gz YEWGDEKTZDGYSQ-UHFFFAOYSA-N 1 2 313.336 1.936 20 30 DDEDLO CC(C)C(=O)NCC[N@H+](C)CC(=O)Nc1cccc(C#N)c1 ZINC000157548387 197195920 /nfs/dbraw/zinc/19/59/20/197195920.db2.gz DVACVWMLMMLZBL-UHFFFAOYSA-N 1 2 302.378 1.201 20 30 DDEDLO CC(C)C(=O)NCC[N@@H+](C)CC(=O)Nc1cccc(C#N)c1 ZINC000157548387 197195922 /nfs/dbraw/zinc/19/59/22/197195922.db2.gz DVACVWMLMMLZBL-UHFFFAOYSA-N 1 2 302.378 1.201 20 30 DDEDLO C=CCCOCCNc1[nH+]cnc2c1cnn2-c1ncccn1 ZINC000154917719 197005450 /nfs/dbraw/zinc/00/54/50/197005450.db2.gz ALDBJEWEFBZHJX-UHFFFAOYSA-N 1 2 311.349 1.610 20 30 DDEDLO C=CCN(C)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000153630840 196894174 /nfs/dbraw/zinc/89/41/74/196894174.db2.gz CWRORSNTQCVPTK-MRXNPFEDSA-N 1 2 303.406 1.887 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](S(=O)(=O)NC2CC2)C1 ZINC000120656358 195210029 /nfs/dbraw/zinc/21/00/29/195210029.db2.gz SLVJYMSUQIOYBM-HNNXBMFYSA-N 1 2 305.403 1.214 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](S(=O)(=O)NC2CC2)C1 ZINC000120656358 195210033 /nfs/dbraw/zinc/21/00/33/195210033.db2.gz SLVJYMSUQIOYBM-HNNXBMFYSA-N 1 2 305.403 1.214 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]([C@H](C)CS(C)(=O)=O)C1CC1 ZINC000120969242 195272821 /nfs/dbraw/zinc/27/28/21/195272821.db2.gz WDNWDDZTOYWAFR-GFCCVEGCSA-N 1 2 322.430 1.964 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]([C@H](C)CS(C)(=O)=O)C1CC1 ZINC000120969242 195272823 /nfs/dbraw/zinc/27/28/23/195272823.db2.gz WDNWDDZTOYWAFR-GFCCVEGCSA-N 1 2 322.430 1.964 20 30 DDEDLO CCOC(=O)C[N@H+](CCC(=O)Nc1ccc(C#N)cc1)C1CC1 ZINC000121876484 195366507 /nfs/dbraw/zinc/36/65/07/195366507.db2.gz RDICKRYKPHAUHX-UHFFFAOYSA-N 1 2 315.373 1.914 20 30 DDEDLO CCOC(=O)C[N@@H+](CCC(=O)Nc1ccc(C#N)cc1)C1CC1 ZINC000121876484 195366509 /nfs/dbraw/zinc/36/65/09/195366509.db2.gz RDICKRYKPHAUHX-UHFFFAOYSA-N 1 2 315.373 1.914 20 30 DDEDLO COc1cc(C#N)cc(Cl)c1OC[C@H](O)C[N@H+](C)CCC#N ZINC000414147626 533493602 /nfs/dbraw/zinc/49/36/02/533493602.db2.gz RPTQHAHBBYDLST-GFCCVEGCSA-N 1 2 323.780 1.805 20 30 DDEDLO COc1cc(C#N)cc(Cl)c1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000414147626 533493605 /nfs/dbraw/zinc/49/36/05/533493605.db2.gz RPTQHAHBBYDLST-GFCCVEGCSA-N 1 2 323.780 1.805 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)NC2(C#N)CCC2)cc1O ZINC000347055526 533536755 /nfs/dbraw/zinc/53/67/55/533536755.db2.gz NGSDLLXTSFODCF-UHFFFAOYSA-N 1 2 303.362 1.395 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)NC2(C#N)CCC2)cc1O ZINC000347055526 533536761 /nfs/dbraw/zinc/53/67/61/533536761.db2.gz NGSDLLXTSFODCF-UHFFFAOYSA-N 1 2 303.362 1.395 20 30 DDEDLO CO[C@]1(C)C[C@H](NC(=O)N2CCC(C#N)([NH+](C)C)CC2)C1(C)C ZINC000332446289 286168084 /nfs/dbraw/zinc/16/80/84/286168084.db2.gz MGBALHOJWQFDRK-XJKSGUPXSA-N 1 2 322.453 1.819 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000026458737 406904746 /nfs/dbraw/zinc/90/47/46/406904746.db2.gz WDTDKVAGGAROPS-CQSZACIVSA-N 1 2 319.836 1.596 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CC[NH+](Cc2ccc(Cl)cc2)CC1 ZINC000026458737 406904747 /nfs/dbraw/zinc/90/47/47/406904747.db2.gz WDTDKVAGGAROPS-CQSZACIVSA-N 1 2 319.836 1.596 20 30 DDEDLO C=C(C)C[NH+]1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000066863965 407261871 /nfs/dbraw/zinc/26/18/71/407261871.db2.gz DPPJZJLMSZJQKT-UHFFFAOYSA-N 1 2 310.419 1.578 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1CCC[C@H](O)C1 ZINC000058748385 407222878 /nfs/dbraw/zinc/22/28/78/407222878.db2.gz FTUUGCVWVNOXRL-LBPRGKRZSA-N 1 2 305.403 1.697 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1CCC[C@H](O)C1 ZINC000058748385 407222881 /nfs/dbraw/zinc/22/28/81/407222881.db2.gz FTUUGCVWVNOXRL-LBPRGKRZSA-N 1 2 305.403 1.697 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H]1[C@H](O)C(F)(F)F ZINC000109757525 407397261 /nfs/dbraw/zinc/39/72/61/407397261.db2.gz WEQJVCKTBLCPLK-WXHSDQCUSA-N 1 2 321.343 1.428 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H]1[C@H](O)C(F)(F)F ZINC000109757525 407397263 /nfs/dbraw/zinc/39/72/63/407397263.db2.gz WEQJVCKTBLCPLK-WXHSDQCUSA-N 1 2 321.343 1.428 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@@H](C)c1csc(CC)n1 ZINC000111375396 407409556 /nfs/dbraw/zinc/40/95/56/407409556.db2.gz WNBGRVCQZUDHPA-VHSXEESVSA-N 1 2 310.423 1.756 20 30 DDEDLO CC[N@H+](CCOc1ccccc1C#N)[C@H](C)CS(C)(=O)=O ZINC000112705216 407436973 /nfs/dbraw/zinc/43/69/73/407436973.db2.gz RHPJUQAZFRQRGA-CYBMUJFWSA-N 1 2 310.419 1.692 20 30 DDEDLO CC[N@@H+](CCOc1ccccc1C#N)[C@H](C)CS(C)(=O)=O ZINC000112705216 407436974 /nfs/dbraw/zinc/43/69/74/407436974.db2.gz RHPJUQAZFRQRGA-CYBMUJFWSA-N 1 2 310.419 1.692 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C(C)(C)C ZINC000152076089 407557234 /nfs/dbraw/zinc/55/72/34/407557234.db2.gz VQBNZFQZBHVVRH-INIZCTEOSA-N 1 2 311.426 1.704 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C(C)(C)C ZINC000152076089 407557239 /nfs/dbraw/zinc/55/72/39/407557239.db2.gz VQBNZFQZBHVVRH-INIZCTEOSA-N 1 2 311.426 1.704 20 30 DDEDLO Cc1cc(NC(=O)[C@@H](C)[NH+]2CCSCC2)nn1CCC#N ZINC000271103017 407516768 /nfs/dbraw/zinc/51/67/68/407516768.db2.gz YVJHQQYEOGFWTJ-GFCCVEGCSA-N 1 2 307.423 1.481 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)c2ccc(C#N)cn2)CC1 ZINC000114706327 407603626 /nfs/dbraw/zinc/60/36/26/407603626.db2.gz CTYAOMHQJOQCJL-CQSZACIVSA-N 1 2 321.384 1.867 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)N[C@H](C(=O)NC)c1ccccc1 ZINC000114724001 407605841 /nfs/dbraw/zinc/60/58/41/407605841.db2.gz GPGKUKOOKQZHJF-INIZCTEOSA-N 1 2 301.390 1.264 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1ccc(C#N)nc1)[NH+]1CCOCC1 ZINC000115015263 407648421 /nfs/dbraw/zinc/64/84/21/407648421.db2.gz WIBZUNKMYDZAQY-OAHLLOKOSA-N 1 2 302.378 1.040 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)NCC(=O)Nc1cc(C)ccc1OC ZINC000266917200 407675457 /nfs/dbraw/zinc/67/54/57/407675457.db2.gz LBLDRLIKHUZNQI-UHFFFAOYSA-N 1 2 317.389 1.013 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)NCC(=O)Nc1cc(C)ccc1OC ZINC000266917200 407675459 /nfs/dbraw/zinc/67/54/59/407675459.db2.gz LBLDRLIKHUZNQI-UHFFFAOYSA-N 1 2 317.389 1.013 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C#N)cc1F ZINC000171483414 407692739 /nfs/dbraw/zinc/69/27/39/407692739.db2.gz KOGVLTVIBQVTJV-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO CCN1CC[N@H+](CCOc2ccccc2C#N)C(C)(C)C1=O ZINC000186582739 407710121 /nfs/dbraw/zinc/71/01/21/407710121.db2.gz WOHDEHCGPNBAGR-UHFFFAOYSA-N 1 2 301.390 1.880 20 30 DDEDLO CCN1CC[N@@H+](CCOc2ccccc2C#N)C(C)(C)C1=O ZINC000186582739 407710126 /nfs/dbraw/zinc/71/01/26/407710126.db2.gz WOHDEHCGPNBAGR-UHFFFAOYSA-N 1 2 301.390 1.880 20 30 DDEDLO C[C@H](C(=O)NC[C@H](C)C#N)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000172121835 407807302 /nfs/dbraw/zinc/80/73/02/407807302.db2.gz RMBXKOMDUDDPBL-ZIAGYGMSSA-N 1 2 318.396 1.612 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CC[C@](F)(C(=O)OC)C1 ZINC000267679338 407852173 /nfs/dbraw/zinc/85/21/73/407852173.db2.gz QHMMSQITCFEGAN-IUODEOHRSA-N 1 2 300.374 1.387 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@](F)(C(=O)OC)C1 ZINC000267679338 407852176 /nfs/dbraw/zinc/85/21/76/407852176.db2.gz QHMMSQITCFEGAN-IUODEOHRSA-N 1 2 300.374 1.387 20 30 DDEDLO CC[N@H+](CC(=O)OC)Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000272344757 407877063 /nfs/dbraw/zinc/87/70/63/407877063.db2.gz ZGIPSWXOMLOPNW-UHFFFAOYSA-N 1 2 312.373 1.954 20 30 DDEDLO CC[N@@H+](CC(=O)OC)Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000272344757 407877069 /nfs/dbraw/zinc/87/70/69/407877069.db2.gz ZGIPSWXOMLOPNW-UHFFFAOYSA-N 1 2 312.373 1.954 20 30 DDEDLO CC#CCNC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000134931817 407951322 /nfs/dbraw/zinc/95/13/22/407951322.db2.gz LTKLXHUKOQBOEZ-CYBMUJFWSA-N 1 2 307.419 1.444 20 30 DDEDLO C=C(C)C[N@@H+](C)Cc1nc2cc(C(=O)OC)ccc2c(=O)[nH]1 ZINC000181269276 407971933 /nfs/dbraw/zinc/97/19/33/407971933.db2.gz UWXQLSVPAQTXLY-UHFFFAOYSA-N 1 2 301.346 1.718 20 30 DDEDLO C=C(C)C[N@H+](C)Cc1nc2cc(C(=O)OC)ccc2c(=O)[nH]1 ZINC000181269276 407971939 /nfs/dbraw/zinc/97/19/39/407971939.db2.gz UWXQLSVPAQTXLY-UHFFFAOYSA-N 1 2 301.346 1.718 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)[nH]1 ZINC000268459710 408053324 /nfs/dbraw/zinc/05/33/24/408053324.db2.gz KMJIOBNHQNQYPC-MLGOLLRUSA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)n1 ZINC000268459710 408053333 /nfs/dbraw/zinc/05/33/33/408053333.db2.gz KMJIOBNHQNQYPC-MLGOLLRUSA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)n1 ZINC000268459710 408053341 /nfs/dbraw/zinc/05/33/41/408053341.db2.gz KMJIOBNHQNQYPC-MLGOLLRUSA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)[nH]1 ZINC000268459710 408053318 /nfs/dbraw/zinc/05/33/18/408053318.db2.gz KMJIOBNHQNQYPC-MLGOLLRUSA-N 1 2 316.409 1.101 20 30 DDEDLO Cn1cc[nH+]c1C[C@H]1CCCN(C(=O)C2(C#N)CCOCC2)C1 ZINC000120230148 408120756 /nfs/dbraw/zinc/12/07/56/408120756.db2.gz RTZPGZKCBKBNOO-CQSZACIVSA-N 1 2 316.405 1.522 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](S(=O)(=O)NC3CC3)C2)c(F)c1 ZINC000120770144 408143344 /nfs/dbraw/zinc/14/33/44/408143344.db2.gz MSCFZIQPGVLJOF-AWEZNQCLSA-N 1 2 323.393 1.353 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](S(=O)(=O)NC3CC3)C2)c(F)c1 ZINC000120770144 408143351 /nfs/dbraw/zinc/14/33/51/408143351.db2.gz MSCFZIQPGVLJOF-AWEZNQCLSA-N 1 2 323.393 1.353 20 30 DDEDLO CC(C)n1cccc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000120846870 408146342 /nfs/dbraw/zinc/14/63/42/408146342.db2.gz WGHUSUYNYXXPLS-UHFFFAOYSA-N 1 2 318.421 1.757 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC1(CO)CC1 ZINC000190017536 408148159 /nfs/dbraw/zinc/14/81/59/408148159.db2.gz FVTDXJGBJYGXSM-UHFFFAOYSA-N 1 2 308.403 1.036 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC1(CO)CC1 ZINC000190017536 408148163 /nfs/dbraw/zinc/14/81/63/408148163.db2.gz FVTDXJGBJYGXSM-UHFFFAOYSA-N 1 2 308.403 1.036 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(CC)cc2OC)CC1 ZINC000121932094 408245250 /nfs/dbraw/zinc/24/52/50/408245250.db2.gz HKVHEURBVLAEJO-UHFFFAOYSA-N 1 2 316.401 1.414 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2c(cccc2OC)C1 ZINC000182679485 408220960 /nfs/dbraw/zinc/22/09/60/408220960.db2.gz LAPNIEBCPDNAQB-UHFFFAOYSA-N 1 2 303.362 1.065 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2c(cccc2OC)C1 ZINC000182679485 408220965 /nfs/dbraw/zinc/22/09/65/408220965.db2.gz LAPNIEBCPDNAQB-UHFFFAOYSA-N 1 2 303.362 1.065 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCc2c(cccc2OC)C1 ZINC000182725940 408234252 /nfs/dbraw/zinc/23/42/52/408234252.db2.gz BAUOQPSAYRVIIW-UHFFFAOYSA-N 1 2 301.390 1.815 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCc2c(cccc2OC)C1 ZINC000182725940 408234257 /nfs/dbraw/zinc/23/42/57/408234257.db2.gz BAUOQPSAYRVIIW-UHFFFAOYSA-N 1 2 301.390 1.815 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(Cc1ccccc1)C(=O)CC#N ZINC000274133777 408304467 /nfs/dbraw/zinc/30/44/67/408304467.db2.gz CEPCDPUVUOAAPG-OAHLLOKOSA-N 1 2 301.390 1.650 20 30 DDEDLO N#Cc1ccc(C(F)(F)F)nc1NC[C@H]1C[NH+]2CCN1CC2 ZINC000269528293 408343489 /nfs/dbraw/zinc/34/34/89/408343489.db2.gz XWRDRAYAPXHWRH-NSHDSACASA-N 1 2 311.311 1.384 20 30 DDEDLO N#Cc1ccc(Cl)c(S(=O)(=O)NCCCn2cc[nH+]c2)c1 ZINC000160768655 162149609 /nfs/dbraw/zinc/14/96/09/162149609.db2.gz CIJQPGLJGZYRJB-UHFFFAOYSA-N 1 2 324.793 1.777 20 30 DDEDLO CC1(C)C[NH+]=C(N2CCN(C(=O)c3ccc(C#N)[nH]3)CC2)S1 ZINC000269773002 408424997 /nfs/dbraw/zinc/42/49/97/408424997.db2.gz MAWNWDJDBFFTFH-UHFFFAOYSA-N 1 2 317.418 1.526 20 30 DDEDLO N#CC[N@@H+](CCC(=O)NC(=O)NCC(F)(F)F)C1CCCC1 ZINC000177121518 408524906 /nfs/dbraw/zinc/52/49/06/408524906.db2.gz BEIQBIBZGSOPMA-UHFFFAOYSA-N 1 2 320.315 1.533 20 30 DDEDLO N#CC[N@H+](CCC(=O)NC(=O)NCC(F)(F)F)C1CCCC1 ZINC000177121518 408524913 /nfs/dbraw/zinc/52/49/13/408524913.db2.gz BEIQBIBZGSOPMA-UHFFFAOYSA-N 1 2 320.315 1.533 20 30 DDEDLO C#CC[N@@H+](C)[C@@H](C)C(=O)NCC(=O)Nc1c(C)cccc1C ZINC000192219360 408559091 /nfs/dbraw/zinc/55/90/91/408559091.db2.gz IXLOWAGFRUHZBB-AWEZNQCLSA-N 1 2 301.390 1.312 20 30 DDEDLO C#CC[N@H+](C)[C@@H](C)C(=O)NCC(=O)Nc1c(C)cccc1C ZINC000192219360 408559096 /nfs/dbraw/zinc/55/90/96/408559096.db2.gz IXLOWAGFRUHZBB-AWEZNQCLSA-N 1 2 301.390 1.312 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NC1CCN(C(=O)C2CCCCC2)CC1 ZINC000192222590 408561000 /nfs/dbraw/zinc/56/10/00/408561000.db2.gz OJNHHMLDMKJZOU-UHFFFAOYSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NC1CCN(C(=O)C2CCCCC2)CC1 ZINC000192222590 408561007 /nfs/dbraw/zinc/56/10/07/408561007.db2.gz OJNHHMLDMKJZOU-UHFFFAOYSA-N 1 2 319.449 1.239 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[NH+]2CCC(C)(O)CC2)c(C#N)c1C ZINC000177335316 408582236 /nfs/dbraw/zinc/58/22/36/408582236.db2.gz RLNFWIBFCPAFTG-NSHDSACASA-N 1 2 305.378 1.942 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@H](CC(N)=O)C1 ZINC000177178819 408544064 /nfs/dbraw/zinc/54/40/64/408544064.db2.gz GGOKJQFRRMJEEE-CJNGLKHVSA-N 1 2 315.373 1.360 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCC[C@H](CC(N)=O)C1 ZINC000177178819 408544070 /nfs/dbraw/zinc/54/40/70/408544070.db2.gz GGOKJQFRRMJEEE-CJNGLKHVSA-N 1 2 315.373 1.360 20 30 DDEDLO CNC(=O)C[N@H+](C)[C@H](C)C(=O)N(CCC#N)c1ccc(F)cc1 ZINC000270767831 408672629 /nfs/dbraw/zinc/67/26/29/408672629.db2.gz KTODERPNNKDLPR-GFCCVEGCSA-N 1 2 320.368 1.139 20 30 DDEDLO CNC(=O)C[N@@H+](C)[C@H](C)C(=O)N(CCC#N)c1ccc(F)cc1 ZINC000270767831 408672634 /nfs/dbraw/zinc/67/26/34/408672634.db2.gz KTODERPNNKDLPR-GFCCVEGCSA-N 1 2 320.368 1.139 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCc2nc([C@@H](C)OC)no2)cc1 ZINC000177563508 408660289 /nfs/dbraw/zinc/66/02/89/408660289.db2.gz MLVHCYUBFHFDPH-SNVBAGLBSA-N 1 2 320.349 1.817 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+](C)[C@@H]1CCN(C)C1=O ZINC000265653300 408732018 /nfs/dbraw/zinc/73/20/18/408732018.db2.gz OYFLIEHJSYXTJO-BXUZGUMPSA-N 1 2 300.362 1.048 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+](C)[C@@H]1CCN(C)C1=O ZINC000265653300 408732026 /nfs/dbraw/zinc/73/20/26/408732026.db2.gz OYFLIEHJSYXTJO-BXUZGUMPSA-N 1 2 300.362 1.048 20 30 DDEDLO CC[C@]1(C)C[N@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000275993142 408741296 /nfs/dbraw/zinc/74/12/96/408741296.db2.gz GOSNVKOUIWHCHV-QGZVFWFLSA-N 1 2 301.390 1.675 20 30 DDEDLO CC[C@]1(C)C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000275993142 408741301 /nfs/dbraw/zinc/74/13/01/408741301.db2.gz GOSNVKOUIWHCHV-QGZVFWFLSA-N 1 2 301.390 1.675 20 30 DDEDLO CC(C)CO[C@@H](C)C(N)=[NH+]OCC(=O)N(C)Cc1ccco1 ZINC000178186901 408784339 /nfs/dbraw/zinc/78/43/39/408784339.db2.gz WTPVEVIVXHQALC-LBPRGKRZSA-N 1 2 311.382 1.588 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@H](c2c(F)cccc2F)C1 ZINC000185323739 408795634 /nfs/dbraw/zinc/79/56/34/408795634.db2.gz PZUGDDOKYBAFHP-NSHDSACASA-N 1 2 323.343 1.766 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@H](c2c(F)cccc2F)C1 ZINC000185323739 408795636 /nfs/dbraw/zinc/79/56/36/408795636.db2.gz PZUGDDOKYBAFHP-NSHDSACASA-N 1 2 323.343 1.766 20 30 DDEDLO C=CCCN(C)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000276166861 408823673 /nfs/dbraw/zinc/82/36/73/408823673.db2.gz SJQFUOIWMSTDKD-UHFFFAOYSA-N 1 2 304.394 1.636 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](c1ccc(C)cc1)[C@H](C)S(C)(=O)=O ZINC000280909036 408856768 /nfs/dbraw/zinc/85/67/68/408856768.db2.gz RYFZVUAUSCIHHD-BBRMVZONSA-N 1 2 324.446 1.361 20 30 DDEDLO C=C(C)C[N@@H+](CC)Cc1c(C)nn(CCS(C)(=O)=O)c1C ZINC000281418374 408885523 /nfs/dbraw/zinc/88/55/23/408885523.db2.gz XSHVLZSFAOORGR-UHFFFAOYSA-N 1 2 313.467 1.943 20 30 DDEDLO C=C(C)C[N@H+](CC)Cc1c(C)nn(CCS(C)(=O)=O)c1C ZINC000281418374 408885525 /nfs/dbraw/zinc/88/55/25/408885525.db2.gz XSHVLZSFAOORGR-UHFFFAOYSA-N 1 2 313.467 1.943 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+](CCCO)Cc1ccccn1 ZINC000281096549 408871259 /nfs/dbraw/zinc/87/12/59/408871259.db2.gz VOZJBZPZQJAUGB-QGZVFWFLSA-N 1 2 318.421 1.320 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+](CCCO)Cc1ccccn1 ZINC000281096549 408871261 /nfs/dbraw/zinc/87/12/61/408871261.db2.gz VOZJBZPZQJAUGB-QGZVFWFLSA-N 1 2 318.421 1.320 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)Nc1nc2cc(Cl)ccn2c1C#N ZINC000286004530 408906574 /nfs/dbraw/zinc/90/65/74/408906574.db2.gz DQSUOMSLEROSGF-NSHDSACASA-N 1 2 319.796 1.992 20 30 DDEDLO C#CCC1(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)OC)CCCCC1 ZINC000276586518 408888120 /nfs/dbraw/zinc/88/81/20/408888120.db2.gz YZBBPZCWEASPER-AWEZNQCLSA-N 1 2 317.389 1.584 20 30 DDEDLO C#CCC1(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)OC)CCCCC1 ZINC000276586518 408888121 /nfs/dbraw/zinc/88/81/21/408888121.db2.gz YZBBPZCWEASPER-AWEZNQCLSA-N 1 2 317.389 1.584 20 30 DDEDLO C[N@H+](Cc1cc(F)ccc1C#N)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000292252746 409010590 /nfs/dbraw/zinc/01/05/90/409010590.db2.gz QZSKXCWKOXFEPY-AWEZNQCLSA-N 1 2 311.382 1.163 20 30 DDEDLO C[N@@H+](Cc1cc(F)ccc1C#N)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000292252746 409010593 /nfs/dbraw/zinc/01/05/93/409010593.db2.gz QZSKXCWKOXFEPY-AWEZNQCLSA-N 1 2 311.382 1.163 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)cc1F ZINC000293294893 409084739 /nfs/dbraw/zinc/08/47/39/409084739.db2.gz IDXGELYZBMYLJW-UHFFFAOYSA-N 1 2 323.393 1.556 20 30 DDEDLO Cc1ccc(C(=O)N2CC[NH+](CCOCCC#N)CC2)s1 ZINC000293445259 409114576 /nfs/dbraw/zinc/11/45/76/409114576.db2.gz KGZNXXDGMWXCKX-UHFFFAOYSA-N 1 2 307.419 1.745 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](N3CCCS3(=O)=O)C2)o1 ZINC000279335670 409194577 /nfs/dbraw/zinc/19/45/77/409194577.db2.gz DMMNZWDWCJGJFE-GFCCVEGCSA-N 1 2 309.391 1.151 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](N3CCCS3(=O)=O)C2)o1 ZINC000279335670 409194580 /nfs/dbraw/zinc/19/45/80/409194580.db2.gz DMMNZWDWCJGJFE-GFCCVEGCSA-N 1 2 309.391 1.151 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccn3C)n2CC=C)CC1 ZINC000283340434 409139897 /nfs/dbraw/zinc/13/98/97/409139897.db2.gz AIAMTYYEUXDRIM-UHFFFAOYSA-N 1 2 310.405 1.225 20 30 DDEDLO CO[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CC[C@H]1C ZINC000289398755 409262675 /nfs/dbraw/zinc/26/26/75/409262675.db2.gz KVJIRJGDOJBOEA-TZMCWYRMSA-N 1 2 323.418 1.084 20 30 DDEDLO CO[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CC[C@H]1C ZINC000289398755 409262676 /nfs/dbraw/zinc/26/26/76/409262676.db2.gz KVJIRJGDOJBOEA-TZMCWYRMSA-N 1 2 323.418 1.084 20 30 DDEDLO Cn1ncc(CO[NH+]=C(N)c2ccc(N3CCCCC3)nc2)n1 ZINC000289472728 409279555 /nfs/dbraw/zinc/27/95/55/409279555.db2.gz XEWIZWBKIFVPFH-UHFFFAOYSA-N 1 2 315.381 1.038 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000290019157 409292413 /nfs/dbraw/zinc/29/24/13/409292413.db2.gz VASIPVFZPPBGCS-HIFRSBDPSA-N 1 2 323.828 1.838 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000290019157 409292416 /nfs/dbraw/zinc/29/24/16/409292416.db2.gz VASIPVFZPPBGCS-HIFRSBDPSA-N 1 2 323.828 1.838 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCc1ccc(N2CCC(C)CC2)[nH+]c1 ZINC000294511220 409293054 /nfs/dbraw/zinc/29/30/54/409293054.db2.gz OKBREGPUQFPWSR-HNNXBMFYSA-N 1 2 315.417 1.972 20 30 DDEDLO O=c1[nH]nc([C@H]2CCC[N@@H+](CC#Cc3ccc(F)cc3)C2)o1 ZINC000284246248 409304337 /nfs/dbraw/zinc/30/43/37/409304337.db2.gz LEJLPSQLWRRDAH-ZDUSSCGKSA-N 1 2 301.321 1.733 20 30 DDEDLO O=c1[nH]nc([C@H]2CCC[N@H+](CC#Cc3ccc(F)cc3)C2)o1 ZINC000284246248 409304339 /nfs/dbraw/zinc/30/43/39/409304339.db2.gz LEJLPSQLWRRDAH-ZDUSSCGKSA-N 1 2 301.321 1.733 20 30 DDEDLO C=CC[N@@H+](C[C@H](Cc1ccccc1)OC)[C@@H]1CCS(=O)(=O)C1 ZINC000294909619 409306124 /nfs/dbraw/zinc/30/61/24/409306124.db2.gz IXTVWKZCUDWTRD-SJORKVTESA-N 1 2 323.458 1.919 20 30 DDEDLO C=CC[N@H+](C[C@H](Cc1ccccc1)OC)[C@@H]1CCS(=O)(=O)C1 ZINC000294909619 409306126 /nfs/dbraw/zinc/30/61/26/409306126.db2.gz IXTVWKZCUDWTRD-SJORKVTESA-N 1 2 323.458 1.919 20 30 DDEDLO C=CCCCS(=O)(=O)N[C@@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000295651758 409360692 /nfs/dbraw/zinc/36/06/92/409360692.db2.gz FIYZTNCHQDKXTJ-LBPRGKRZSA-N 1 2 315.439 1.365 20 30 DDEDLO COc1cccc(C(N)=[NH+]OC[C@@H]2CCCS(=O)(=O)C2)c1 ZINC000284565184 409353198 /nfs/dbraw/zinc/35/31/98/409353198.db2.gz WPJVLBGRYHXABL-NSHDSACASA-N 1 2 312.391 1.157 20 30 DDEDLO COC(=O)c1cnoc1CO[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000285215184 409405697 /nfs/dbraw/zinc/40/56/97/409405697.db2.gz PVHKBYFUUNREIA-UHFFFAOYSA-N 1 2 319.317 1.501 20 30 DDEDLO NC(C[NH+]1CCN(c2ccccc2)CC1)=NOCCCCF ZINC000285252174 409406849 /nfs/dbraw/zinc/40/68/49/409406849.db2.gz RWFPWCRGHDVMFK-UHFFFAOYSA-N 1 2 308.401 1.847 20 30 DDEDLO C#CCOc1ccc(CNC(=O)N[C@H](C)Cn2cc[nH+]c2)cc1 ZINC000296173074 409453612 /nfs/dbraw/zinc/45/36/12/409453612.db2.gz BPKCROLENKVFMP-CQSZACIVSA-N 1 2 312.373 1.783 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000316874009 164024563 /nfs/dbraw/zinc/02/45/63/164024563.db2.gz AFWOLWLOELZECN-IAQYHMDHSA-N 1 2 300.362 1.096 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000316874009 164024565 /nfs/dbraw/zinc/02/45/65/164024565.db2.gz AFWOLWLOELZECN-IAQYHMDHSA-N 1 2 300.362 1.096 20 30 DDEDLO Cn1ncnc1C[NH+]1CCC(Oc2ccc(C#N)cc2F)CC1 ZINC000285506730 409472300 /nfs/dbraw/zinc/47/23/00/409472300.db2.gz NPTUAIIHVLZHNH-UHFFFAOYSA-N 1 2 315.352 1.869 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)Cc1cn(CC(=O)OC)nn1 ZINC000295451098 409487679 /nfs/dbraw/zinc/48/76/79/409487679.db2.gz QFALXVKCFIVCIS-UHFFFAOYSA-N 1 2 304.350 1.275 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)Cc1cn(CC(=O)OC)nn1 ZINC000295451098 409487683 /nfs/dbraw/zinc/48/76/83/409487683.db2.gz QFALXVKCFIVCIS-UHFFFAOYSA-N 1 2 304.350 1.275 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@@H](C)[C@@H]2CO)c(C#N)c1C ZINC000305258793 409745428 /nfs/dbraw/zinc/74/54/28/409745428.db2.gz QDXOEDXWDGZMRO-YGRLFVJLSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@@H](C)[C@@H]2CO)c(C#N)c1C ZINC000305258793 409745433 /nfs/dbraw/zinc/74/54/33/409745433.db2.gz QDXOEDXWDGZMRO-YGRLFVJLSA-N 1 2 305.378 1.799 20 30 DDEDLO C[C@H](O)C(=O)N1CC[NH+](Cc2ccccc2C(C)(C)C#N)CC1 ZINC000305722349 409762093 /nfs/dbraw/zinc/76/20/93/409762093.db2.gz KILSBVHMJUHVEM-AWEZNQCLSA-N 1 2 315.417 1.513 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)c3cc(C#N)ccc3Cl)C[C@@H]21 ZINC000332203091 409974511 /nfs/dbraw/zinc/97/45/11/409974511.db2.gz DSFALCTWZKRDCB-KBPBESRZSA-N 1 2 305.765 1.367 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)c3cc(C#N)ccc3Cl)C[C@@H]21 ZINC000332203091 409974515 /nfs/dbraw/zinc/97/45/15/409974515.db2.gz DSFALCTWZKRDCB-KBPBESRZSA-N 1 2 305.765 1.367 20 30 DDEDLO C[C@H](NC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1)c1cn[nH]c1 ZINC000328775245 409976498 /nfs/dbraw/zinc/97/64/98/409976498.db2.gz CDWPZJXSYIIRSI-GXTWGEPZSA-N 1 2 307.398 1.181 20 30 DDEDLO CC#CCN(C)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000297741008 409981346 /nfs/dbraw/zinc/98/13/46/409981346.db2.gz JSQBFYMZKYUSMP-KRWDZBQOSA-N 1 2 315.417 1.552 20 30 DDEDLO CC#CCN(C)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000297741008 409981353 /nfs/dbraw/zinc/98/13/53/409981353.db2.gz JSQBFYMZKYUSMP-KRWDZBQOSA-N 1 2 315.417 1.552 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(c2nccc(C#N)c2Cl)[C@H](C)C1 ZINC000342914371 409992379 /nfs/dbraw/zinc/99/23/79/409992379.db2.gz AOCKCSYJOCSDIQ-LLVKDONJSA-N 1 2 322.796 1.680 20 30 DDEDLO COc1ccc(C[NH2+]Cc2ncn(C)n2)cc1OCCCC#N ZINC000350782618 409995334 /nfs/dbraw/zinc/99/53/34/409995334.db2.gz ZCYCVPJQPGFADC-UHFFFAOYSA-N 1 2 315.377 1.796 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000297964278 410063050 /nfs/dbraw/zinc/06/30/50/410063050.db2.gz VONULGXPUHQIKP-GJZGRUSLSA-N 1 2 318.421 1.717 20 30 DDEDLO CN1CCOC[C@@H]1C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000329056328 410075079 /nfs/dbraw/zinc/07/50/79/410075079.db2.gz XXVLVVFYOKZKFU-SECBINFHSA-N 1 2 306.288 1.233 20 30 DDEDLO COc1ccc(C[N@@H+]2CCOC[C@H]2[C@H]2CCCO2)cc1C#N ZINC000329073480 410084700 /nfs/dbraw/zinc/08/47/00/410084700.db2.gz OVFYBTYTMJMBIO-DOTOQJQBSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C[N@H+]2CCOC[C@H]2[C@H]2CCCO2)cc1C#N ZINC000329073480 410084704 /nfs/dbraw/zinc/08/47/04/410084704.db2.gz OVFYBTYTMJMBIO-DOTOQJQBSA-N 1 2 302.374 1.947 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNc2cccc(C#N)n2)cn1 ZINC000357620836 410139773 /nfs/dbraw/zinc/13/97/73/410139773.db2.gz WONMMMSEDNCXKX-ZFWWWQNUSA-N 1 2 314.368 1.711 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNc2cccc(C#N)n2)cn1 ZINC000357620836 410139779 /nfs/dbraw/zinc/13/97/79/410139779.db2.gz WONMMMSEDNCXKX-ZFWWWQNUSA-N 1 2 314.368 1.711 20 30 DDEDLO C[C@H](NC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C)[C@@H]1CCCO1 ZINC000329259562 410196636 /nfs/dbraw/zinc/19/66/36/410196636.db2.gz FLHNIPLOYRXVDM-XQLPTFJDSA-N 1 2 311.426 1.263 20 30 DDEDLO O=C(NCc1ncccc1O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000329261795 410200305 /nfs/dbraw/zinc/20/03/05/410200305.db2.gz AZFLAKGJTLYXQW-CQSZACIVSA-N 1 2 305.378 1.887 20 30 DDEDLO O=C(NCc1ncccc1O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000329261795 410200315 /nfs/dbraw/zinc/20/03/15/410200315.db2.gz AZFLAKGJTLYXQW-CQSZACIVSA-N 1 2 305.378 1.887 20 30 DDEDLO Cn1nc(C[NH+]2CCC(NC(=O)C3CCCCC3)CC2)[nH]c1=O ZINC000329270707 410203700 /nfs/dbraw/zinc/20/37/00/410203700.db2.gz HRKGCPXXQLRDPP-UHFFFAOYSA-N 1 2 321.425 1.610 20 30 DDEDLO CC(C)[C@@H](C(=O)N[C@H](C)C[NH+]1CCN(C)CC1)N1CCCC1=O ZINC000329337487 410243479 /nfs/dbraw/zinc/24/34/79/410243479.db2.gz YHIZYZQXBBPPNG-ZBFHGGJFSA-N 1 2 324.469 1.226 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000355055287 410273747 /nfs/dbraw/zinc/27/37/47/410273747.db2.gz AMHMVFFBTYNYHO-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000355055287 410273752 /nfs/dbraw/zinc/27/37/52/410273752.db2.gz AMHMVFFBTYNYHO-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)N1CCCOCC1)C1CCOCC1 ZINC000329316191 410230479 /nfs/dbraw/zinc/23/04/79/410230479.db2.gz HKGIRBUFJUPATM-AWEZNQCLSA-N 1 2 322.409 1.524 20 30 DDEDLO CCN(C)C(=O)CN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000298446962 410231935 /nfs/dbraw/zinc/23/19/35/410231935.db2.gz FGHLCPACYCIXDS-UHFFFAOYSA-N 1 2 300.406 1.154 20 30 DDEDLO CCN(C)C(=O)C[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000298446962 410231944 /nfs/dbraw/zinc/23/19/44/410231944.db2.gz FGHLCPACYCIXDS-UHFFFAOYSA-N 1 2 300.406 1.154 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@H]1C ZINC000346995412 410298945 /nfs/dbraw/zinc/29/89/45/410298945.db2.gz VVSVLRPCLAIRFT-CMPLNLGQSA-N 1 2 319.361 1.590 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)[C@H]1C ZINC000346995412 410298949 /nfs/dbraw/zinc/29/89/49/410298949.db2.gz VVSVLRPCLAIRFT-CMPLNLGQSA-N 1 2 319.361 1.590 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)N1CCOCC2(CC2)C1 ZINC000329443052 410302863 /nfs/dbraw/zinc/30/28/63/410302863.db2.gz KHSUOIBETNIMRO-UHFFFAOYSA-N 1 2 318.421 1.788 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000329564448 410362361 /nfs/dbraw/zinc/36/23/61/410362361.db2.gz NWKVPKJAIFUXEF-UBHSHLNASA-N 1 2 304.394 1.336 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCCN(C(=O)C(C)(C)C#N)CC2)no1 ZINC000358214071 410408105 /nfs/dbraw/zinc/40/81/05/410408105.db2.gz VKFDVWYQHNDWDB-ZDUSSCGKSA-N 1 2 319.409 1.741 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCCN(C(=O)C(C)(C)C#N)CC2)no1 ZINC000358214071 410408112 /nfs/dbraw/zinc/40/81/12/410408112.db2.gz VKFDVWYQHNDWDB-ZDUSSCGKSA-N 1 2 319.409 1.741 20 30 DDEDLO Cc1nc(N2CCN(C(=O)NCC(C)(C)C#N)CC2)cc[nH+]1 ZINC000358628601 410502078 /nfs/dbraw/zinc/50/20/78/410502078.db2.gz RPNYQRSDPQPJSH-UHFFFAOYSA-N 1 2 302.382 1.166 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000352248813 410578022 /nfs/dbraw/zinc/57/80/22/410578022.db2.gz DEVHILPILBNGQJ-CYBMUJFWSA-N 1 2 318.421 1.977 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCc1cccnc1-n1cc[nH+]c1 ZINC000299322312 410586887 /nfs/dbraw/zinc/58/68/87/410586887.db2.gz XJCWKDBXAFFWNR-ZDUSSCGKSA-N 1 2 300.362 1.865 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000299498901 410595294 /nfs/dbraw/zinc/59/52/94/410595294.db2.gz FTYFVRZYUQVNOQ-HNNXBMFYSA-N 1 2 309.410 1.042 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCO[C@]3(CCc4ccccc43)C2)C1=O ZINC000337196991 410661999 /nfs/dbraw/zinc/66/19/99/410661999.db2.gz XZBWLRGFIDOXJX-PKOBYXMFSA-N 1 2 312.413 1.947 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCO[C@]3(CCc4ccccc43)C2)C1=O ZINC000337196991 410662003 /nfs/dbraw/zinc/66/20/03/410662003.db2.gz XZBWLRGFIDOXJX-PKOBYXMFSA-N 1 2 312.413 1.947 20 30 DDEDLO N#CC1(CS(=O)(=O)NCCNc2cccc[nH+]2)CCCC1 ZINC000352952671 410698964 /nfs/dbraw/zinc/69/89/64/410698964.db2.gz WHEYYURWQBRIJS-UHFFFAOYSA-N 1 2 308.407 1.497 20 30 DDEDLO C[C@@H]([NH2+]CCS(=O)(=O)c1ccc(C#N)cn1)c1ccon1 ZINC000343812375 410717277 /nfs/dbraw/zinc/71/72/77/410717277.db2.gz KPFZMNBQXAMAKU-SNVBAGLBSA-N 1 2 306.347 1.066 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)o1 ZINC000340193839 410743609 /nfs/dbraw/zinc/74/36/09/410743609.db2.gz BLOUXXZYLMVBFL-UHFFFAOYSA-N 1 2 312.329 1.313 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CC[S@](=O)[C@@H](C)C1 ZINC000352757213 410677293 /nfs/dbraw/zinc/67/72/93/410677293.db2.gz GGUYDQJXZSSVRH-PGKMIFDNSA-N 1 2 323.458 1.608 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CC[S@](=O)[C@@H](C)C1 ZINC000352757213 410677300 /nfs/dbraw/zinc/67/73/00/410677300.db2.gz GGUYDQJXZSSVRH-PGKMIFDNSA-N 1 2 323.458 1.608 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ccc(C#N)nn3)c[nH+]2)CCO1 ZINC000301848769 410813381 /nfs/dbraw/zinc/81/33/81/410813381.db2.gz VPOKGUMCDOSENA-GFCCVEGCSA-N 1 2 310.361 1.580 20 30 DDEDLO CO[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@@H](C)C1 ZINC000343929994 410820831 /nfs/dbraw/zinc/82/08/31/410820831.db2.gz CTNAATUBIIWPFR-GXTWGEPZSA-N 1 2 323.418 1.226 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)[C@@H](C)C1 ZINC000343929994 410820836 /nfs/dbraw/zinc/82/08/36/410820836.db2.gz CTNAATUBIIWPFR-GXTWGEPZSA-N 1 2 323.418 1.226 20 30 DDEDLO C[C@H](C(=O)N1CCN(c2cccc[nH+]2)CC1)N(C)C[C@H](C)C#N ZINC000353455100 410995548 /nfs/dbraw/zinc/99/55/48/410995548.db2.gz OQXRWCKZSPZEPJ-HUUCEWRRSA-N 1 2 315.421 1.210 20 30 DDEDLO COc1cc[nH+]cc1CN(c1ccc(C#N)cc1)S(C)(=O)=O ZINC000341372806 411028879 /nfs/dbraw/zinc/02/88/79/411028879.db2.gz WPSZFZUHLJFSDA-UHFFFAOYSA-N 1 2 317.370 1.928 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)n1 ZINC000611075677 416661877 /nfs/dbraw/zinc/66/18/77/416661877.db2.gz XWVLDEWLMKUVJL-LBPRGKRZSA-N 1 2 311.349 1.087 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)c1 ZINC000365998437 418413477 /nfs/dbraw/zinc/41/34/77/418413477.db2.gz DXXSQPMRQJNFJD-CQSZACIVSA-N 1 2 322.368 1.566 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)[C@@H]2CCCc3[nH]c[nH+]c32)c1 ZINC000365998437 418413478 /nfs/dbraw/zinc/41/34/78/418413478.db2.gz DXXSQPMRQJNFJD-CQSZACIVSA-N 1 2 322.368 1.566 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+](C2(C(N)=O)CCCCC2)CC1 ZINC000373340301 418421884 /nfs/dbraw/zinc/42/18/84/418421884.db2.gz PSCUDUWBCRMOFI-OAHLLOKOSA-N 1 2 321.465 1.921 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCCC2(C)C)CC1 ZINC000373465016 418431856 /nfs/dbraw/zinc/43/18/56/418431856.db2.gz ITSLYMIYGHWPMO-OAHLLOKOSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCCC2(C)C)CC1 ZINC000373465016 418431858 /nfs/dbraw/zinc/43/18/58/418431858.db2.gz ITSLYMIYGHWPMO-OAHLLOKOSA-N 1 2 319.449 1.096 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)Cc2cccc(OCC#N)c2)C1 ZINC000366878184 418531624 /nfs/dbraw/zinc/53/16/24/418531624.db2.gz PURIUIFIZBKUOP-HNNXBMFYSA-N 1 2 324.384 1.881 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000367217404 418573963 /nfs/dbraw/zinc/57/39/63/418573963.db2.gz MYJNCYKJAPHWHK-LSDHHAIUSA-N 1 2 311.451 1.250 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)[C@@H](C)Oc1ccc(C#N)cc1)CC2 ZINC000374663477 418553345 /nfs/dbraw/zinc/55/33/45/418553345.db2.gz MLWWPMOKSYEIGG-CYBMUJFWSA-N 1 2 310.357 1.873 20 30 DDEDLO N#Cc1ccc(C(=O)NCCCC[NH+]2CCOCC2)cc1O ZINC000188314486 222010475 /nfs/dbraw/zinc/01/04/75/222010475.db2.gz KXBLQMYXKIGDMZ-UHFFFAOYSA-N 1 2 303.362 1.106 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(=O)OC ZINC000248805528 222237218 /nfs/dbraw/zinc/23/72/18/222237218.db2.gz GUMUQJZERNBYPI-GUTXKFCHSA-N 1 2 307.394 1.022 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(=O)OC ZINC000248805528 222237220 /nfs/dbraw/zinc/23/72/20/222237220.db2.gz GUMUQJZERNBYPI-GUTXKFCHSA-N 1 2 307.394 1.022 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)OC ZINC000248890082 222237649 /nfs/dbraw/zinc/23/76/49/222237649.db2.gz YPXPQZMOIKHPSG-HLPPOEQASA-N 1 2 321.421 1.268 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)OC ZINC000248890082 222237652 /nfs/dbraw/zinc/23/76/52/222237652.db2.gz YPXPQZMOIKHPSG-HLPPOEQASA-N 1 2 321.421 1.268 20 30 DDEDLO CON(C)C(=O)C1CC[NH+](Cc2cc(F)ccc2C#N)CC1 ZINC000291385385 222357472 /nfs/dbraw/zinc/35/74/72/222357472.db2.gz BAZVGCPUBJBQII-UHFFFAOYSA-N 1 2 305.353 1.929 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOC[C@@H]1[C@@H]1CCCC1=O ZINC000375226704 418619798 /nfs/dbraw/zinc/61/97/98/418619798.db2.gz QQYVSAIBSHTYTL-LSDHHAIUSA-N 1 2 306.406 1.257 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOC[C@@H]1[C@@H]1CCCC1=O ZINC000375226704 418619800 /nfs/dbraw/zinc/61/98/00/418619800.db2.gz QQYVSAIBSHTYTL-LSDHHAIUSA-N 1 2 306.406 1.257 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2C[C@@H](O)C3(CC3)C2)c(C#N)c1C ZINC000375305991 418626518 /nfs/dbraw/zinc/62/65/18/418626518.db2.gz ATXXSFXJGCYHCU-GXFFZTMASA-N 1 2 303.362 1.552 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2C[C@@H](O)C3(CC3)C2)c(C#N)c1C ZINC000375305991 418626520 /nfs/dbraw/zinc/62/65/20/418626520.db2.gz ATXXSFXJGCYHCU-GXFFZTMASA-N 1 2 303.362 1.552 20 30 DDEDLO C=CCCOCCNC(=O)C1(N2CC[NH+](C)CC2)CCCC1 ZINC000368580912 418721917 /nfs/dbraw/zinc/72/19/17/418721917.db2.gz PLPMIUMLZABUOL-UHFFFAOYSA-N 1 2 309.454 1.256 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@H](NC(=O)COc1ccc(C#N)cc1)C2 ZINC000377298460 418708012 /nfs/dbraw/zinc/70/80/12/418708012.db2.gz NKQWSTCMEWNILL-AWEZNQCLSA-N 1 2 310.357 1.573 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(-c3cccnc3)n[nH]2)C1=O ZINC000369204963 418730167 /nfs/dbraw/zinc/73/01/67/418730167.db2.gz QODUKBFADVCTAW-MRXNPFEDSA-N 1 2 311.389 1.690 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(-c3cccnc3)n[nH]2)C1=O ZINC000369204963 418730168 /nfs/dbraw/zinc/73/01/68/418730168.db2.gz QODUKBFADVCTAW-MRXNPFEDSA-N 1 2 311.389 1.690 20 30 DDEDLO CCS(=O)(=O)NCC[N@@H+]1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000369803311 418738300 /nfs/dbraw/zinc/73/83/00/418738300.db2.gz KZMNDPZOQYGOFV-JKSUJKDBSA-N 1 2 321.446 1.697 20 30 DDEDLO CCS(=O)(=O)NCC[N@H+]1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000369803311 418738302 /nfs/dbraw/zinc/73/83/02/418738302.db2.gz KZMNDPZOQYGOFV-JKSUJKDBSA-N 1 2 321.446 1.697 20 30 DDEDLO CC[C@H]1C[N@H+](CCNc2ccc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000362663812 418757548 /nfs/dbraw/zinc/75/75/48/418757548.db2.gz MDWNQEMZZUPMEF-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNc2ccc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000362663812 418757551 /nfs/dbraw/zinc/75/75/51/418757551.db2.gz MDWNQEMZZUPMEF-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO CS(=O)(=O)NCC[N@@H+]1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000363285301 418763903 /nfs/dbraw/zinc/76/39/03/418763903.db2.gz IDKQUWVGOSBMKJ-CABCVRRESA-N 1 2 307.419 1.307 20 30 DDEDLO CS(=O)(=O)NCC[N@H+]1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000363285301 418763906 /nfs/dbraw/zinc/76/39/06/418763906.db2.gz IDKQUWVGOSBMKJ-CABCVRRESA-N 1 2 307.419 1.307 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1NCc1ccnc(-n2cc[nH+]c2)c1 ZINC000363583875 418767299 /nfs/dbraw/zinc/76/72/99/418767299.db2.gz YNPVFJHDDMBXSC-UHFFFAOYSA-N 1 2 321.300 2.054 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)c(F)c1 ZINC000371304161 418776425 /nfs/dbraw/zinc/77/64/25/418776425.db2.gz RPBMCLOPMCZZPS-VIFPVBQESA-N 1 2 302.284 1.847 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)c(F)c1 ZINC000371304161 418776430 /nfs/dbraw/zinc/77/64/30/418776430.db2.gz RPBMCLOPMCZZPS-VIFPVBQESA-N 1 2 302.284 1.847 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CCCN(c2ccc(C#N)cn2)CC1 ZINC000368677051 418723913 /nfs/dbraw/zinc/72/39/13/418723913.db2.gz GDGJHXCWJMJHPW-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO CCn1ccnc1C[N@H+]1CCCN(c2ccc(C#N)cn2)CC1 ZINC000368677051 418723917 /nfs/dbraw/zinc/72/39/17/418723917.db2.gz GDGJHXCWJMJHPW-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N(C)C(=O)NCC[NH+]1CCOCC1 ZINC000424064630 228224103 /nfs/dbraw/zinc/22/41/03/228224103.db2.gz KCDDNXAANLOZFC-CQSZACIVSA-N 1 2 316.405 1.593 20 30 DDEDLO Cc1cc(NCc2cccc(CS(C)(=O)=O)c2)c(C#N)c[nH+]1 ZINC000425218747 228393702 /nfs/dbraw/zinc/39/37/02/228393702.db2.gz FERUZUWDSNJZOG-UHFFFAOYSA-N 1 2 315.398 1.840 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1Cc1cnn([C@@]2(C)CCS(=O)(=O)C2)c1 ZINC000426567885 419511143 /nfs/dbraw/zinc/51/11/43/419511143.db2.gz MXAXMMIKLULNCR-CVEARBPZSA-N 1 2 323.462 1.957 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1Cc1cnn([C@@]2(C)CCS(=O)(=O)C2)c1 ZINC000426567885 419511149 /nfs/dbraw/zinc/51/11/49/419511149.db2.gz MXAXMMIKLULNCR-CVEARBPZSA-N 1 2 323.462 1.957 20 30 DDEDLO N#Cc1cc(N2CC[NH+](Cc3cn4ccccc4n3)CC2)ccn1 ZINC000299626758 229276543 /nfs/dbraw/zinc/27/65/43/229276543.db2.gz IOEBAEOPVVJREF-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO C=CCN(CCC#N)CC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000428856276 419943478 /nfs/dbraw/zinc/94/34/78/419943478.db2.gz LGJMEMKBBFWERM-UHFFFAOYSA-N 1 2 316.405 1.325 20 30 DDEDLO COCCO[C@H]1C[C@H](CO)C[N@H+](Cc2cccc(F)c2C#N)C1 ZINC000428938691 419948740 /nfs/dbraw/zinc/94/87/40/419948740.db2.gz JUHNZIVBEXANAS-ZFWWWQNUSA-N 1 2 322.380 1.543 20 30 DDEDLO COCCO[C@H]1C[C@H](CO)C[N@@H+](Cc2cccc(F)c2C#N)C1 ZINC000428938691 419948753 /nfs/dbraw/zinc/94/87/53/419948753.db2.gz JUHNZIVBEXANAS-ZFWWWQNUSA-N 1 2 322.380 1.543 20 30 DDEDLO CCC[N@H+](CC(N)=O)CC(=O)Nc1sc(C)c(C)c1C#N ZINC000429147197 419975414 /nfs/dbraw/zinc/97/54/14/419975414.db2.gz ASRYOGRYUODMRE-UHFFFAOYSA-N 1 2 308.407 1.372 20 30 DDEDLO CCC[N@@H+](CC(N)=O)CC(=O)Nc1sc(C)c(C)c1C#N ZINC000429147197 419975419 /nfs/dbraw/zinc/97/54/19/419975419.db2.gz ASRYOGRYUODMRE-UHFFFAOYSA-N 1 2 308.407 1.372 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3cncc(C#N)n3)c[nH+]2)CCO1 ZINC000420587118 420323621 /nfs/dbraw/zinc/32/36/21/420323621.db2.gz PHTCURCUVNGXKB-LBPRGKRZSA-N 1 2 310.361 1.580 20 30 DDEDLO CCN1CCN(C(=O)c2cc(C#N)[nH]c2C)C[C@H]1c1[nH]cc[nH+]1 ZINC000450868114 420548142 /nfs/dbraw/zinc/54/81/42/420548142.db2.gz MCPZDTUYQVDRJX-AWEZNQCLSA-N 1 2 312.377 1.437 20 30 DDEDLO C=C(C)CNC(=O)N1CC[C@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000441108717 420633113 /nfs/dbraw/zinc/63/31/13/420633113.db2.gz DGRWGJIWYIRXDY-INIZCTEOSA-N 1 2 311.426 1.668 20 30 DDEDLO N#CCOc1ccc(/C=C/C(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000493167911 420775741 /nfs/dbraw/zinc/77/57/41/420775741.db2.gz QOPURLJAFIORPS-VFQAQYNWSA-N 1 2 322.368 1.930 20 30 DDEDLO C[C@@H](NCC#CC[NH2+][C@@H](C)c1ccn(C)n1)c1ccn(C)n1 ZINC000459791397 420873270 /nfs/dbraw/zinc/87/32/70/420873270.db2.gz NHXIQTTYWVOQJJ-OKILXGFUSA-N 1 2 300.410 1.158 20 30 DDEDLO C[C@@H]([NH2+]CC#CCN[C@@H](C)c1ccn(C)n1)c1ccn(C)n1 ZINC000459791397 420873276 /nfs/dbraw/zinc/87/32/76/420873276.db2.gz NHXIQTTYWVOQJJ-OKILXGFUSA-N 1 2 300.410 1.158 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000448952721 420921414 /nfs/dbraw/zinc/92/14/14/420921414.db2.gz SJFYRJGTVAHQPZ-OAGGEKHMSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000455419938 421010769 /nfs/dbraw/zinc/01/07/69/421010769.db2.gz UZCUFESSDDWCNI-CABCVRRESA-N 1 2 305.378 1.450 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+](CC(=O)NCC)CC2)CCCC1 ZINC000449529667 421021807 /nfs/dbraw/zinc/02/18/07/421021807.db2.gz YTUNHQGODAEYNW-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+](CC(=O)NCC)CC2)CCCC1 ZINC000449529667 421021812 /nfs/dbraw/zinc/02/18/12/421021812.db2.gz YTUNHQGODAEYNW-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCn1cccc1C(=O)N1C[C@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000488754371 421112700 /nfs/dbraw/zinc/11/27/00/421112700.db2.gz UINDADZYQLDRDF-GOEBONIOSA-N 1 2 303.406 1.467 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000562323042 421352743 /nfs/dbraw/zinc/35/27/43/421352743.db2.gz KZIZQESJCUNDIR-BLLLJJGKSA-N 1 2 300.362 1.286 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000562536106 421378502 /nfs/dbraw/zinc/37/85/02/421378502.db2.gz GHIBBBWQJDQAOS-ZNMIVQPWSA-N 1 2 318.421 1.831 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000562536106 421378505 /nfs/dbraw/zinc/37/85/05/421378505.db2.gz GHIBBBWQJDQAOS-ZNMIVQPWSA-N 1 2 318.421 1.831 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1cnn(C)c1C#N)c1ccccc1 ZINC000527512675 421393034 /nfs/dbraw/zinc/39/30/34/421393034.db2.gz FTXWJLJDVBZQRB-HNNXBMFYSA-N 1 2 320.356 1.545 20 30 DDEDLO CC(=O)Nc1ccc(C)cc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000565062834 421595516 /nfs/dbraw/zinc/59/55/16/421595516.db2.gz REJYAMWQIQVRRH-AWEZNQCLSA-N 1 2 305.378 1.539 20 30 DDEDLO CC(=O)Nc1ccc(C)cc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000565062834 421595517 /nfs/dbraw/zinc/59/55/17/421595517.db2.gz REJYAMWQIQVRRH-AWEZNQCLSA-N 1 2 305.378 1.539 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1N(C(=O)Cc2ccc(C#N)nc2)CC1(C)C ZINC000529446881 421537952 /nfs/dbraw/zinc/53/79/52/421537952.db2.gz ZXCIAMSSCMLMNH-OAHLLOKOSA-N 1 2 309.373 1.839 20 30 DDEDLO COc1cccc2c1[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)CC2 ZINC000566307896 421604434 /nfs/dbraw/zinc/60/44/34/421604434.db2.gz BUOSAAPETNMZOR-CXAGYDPISA-N 1 2 301.390 1.685 20 30 DDEDLO CC(C)(C(=O)N1CCN(c2cnccc2C#N)CC1)c1c[nH+]c[nH]1 ZINC000553355619 421612640 /nfs/dbraw/zinc/61/26/40/421612640.db2.gz JAAZOGGLMKEKQZ-UHFFFAOYSA-N 1 2 324.388 1.303 20 30 DDEDLO COc1ccc2c(c1)C[C@@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)CO2 ZINC000517944739 421634445 /nfs/dbraw/zinc/63/44/45/421634445.db2.gz OLIOBASXKUIKBI-DYVFJYSZSA-N 1 2 317.389 1.206 20 30 DDEDLO COCCOCc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000519821471 421731544 /nfs/dbraw/zinc/73/15/44/421731544.db2.gz KEXQBFSYYZGMHA-QGZVFWFLSA-N 1 2 319.405 1.423 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNCc1ncc(-c2ccccc2)o1 ZINC000572952778 421887301 /nfs/dbraw/zinc/88/73/01/421887301.db2.gz IUUMYISSZBAHGI-OAHLLOKOSA-N 1 2 314.389 1.638 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNCc1ncc(-c2ccccc2)o1 ZINC000572952778 421887308 /nfs/dbraw/zinc/88/73/08/421887308.db2.gz IUUMYISSZBAHGI-OAHLLOKOSA-N 1 2 314.389 1.638 20 30 DDEDLO N#Cc1c(F)cccc1C(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000573862769 422027761 /nfs/dbraw/zinc/02/77/61/422027761.db2.gz STJHOQGCABFSHS-UHFFFAOYSA-N 1 2 303.337 1.292 20 30 DDEDLO CCN1CCN(C(=O)NCC2(C#N)CC2)C[C@H]1c1[nH]cc[nH+]1 ZINC000574826293 422191739 /nfs/dbraw/zinc/19/17/39/422191739.db2.gz PFFYLBRBGLRBFB-LBPRGKRZSA-N 1 2 302.382 1.102 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000593844793 422341181 /nfs/dbraw/zinc/34/11/81/422341181.db2.gz QGWVOPJHHXRYFW-NSHDSACASA-N 1 2 320.356 2.141 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000634509992 422464702 /nfs/dbraw/zinc/46/47/02/422464702.db2.gz IJZVZHIIYBWCAR-QGZVFWFLSA-N 1 2 302.422 1.766 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCCc1cn2c(cccc2C)[nH+]1 ZINC000645931730 423247795 /nfs/dbraw/zinc/24/77/95/423247795.db2.gz GJJMIBFMNFLXFM-AWEZNQCLSA-N 1 2 316.405 1.669 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N2CCCCCC2)nn1 ZINC000640904821 423266408 /nfs/dbraw/zinc/26/64/08/423266408.db2.gz NBJGKAFZPOCSSO-CQSZACIVSA-N 1 2 303.410 1.355 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)n1cc(Cn2cc[nH+]c2)nn1 ZINC000640923490 423278857 /nfs/dbraw/zinc/27/88/57/423278857.db2.gz JNPGUMHAOIDAIC-CYBMUJFWSA-N 1 2 302.382 1.509 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(C)[C@H]2CCCC[C@@H]2C)nn1 ZINC000640966179 423301482 /nfs/dbraw/zinc/30/14/82/423301482.db2.gz MGGPJYIVGIOXKC-HOCLYGCPSA-N 1 2 317.437 1.428 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@@H]([C@@H](O)C(F)(F)F)C2)nn1 ZINC000653751488 423596172 /nfs/dbraw/zinc/59/61/72/423596172.db2.gz ZTBNFZYRGRNUGZ-ZYHUDNBSSA-N 1 2 304.316 1.599 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@@H]([C@@H](O)C(F)(F)F)C2)nn1 ZINC000653751488 423596175 /nfs/dbraw/zinc/59/61/75/423596175.db2.gz ZTBNFZYRGRNUGZ-ZYHUDNBSSA-N 1 2 304.316 1.599 20 30 DDEDLO NC(=O)[C@]1([NH2+]CC#Cc2ccc(C(F)(F)F)cc2)CCOC1 ZINC000653841376 423627602 /nfs/dbraw/zinc/62/76/02/423627602.db2.gz GMTCDXKSDSMCFW-AWEZNQCLSA-N 1 2 312.291 1.291 20 30 DDEDLO C=CCOCCCNC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000661793486 424179801 /nfs/dbraw/zinc/17/98/01/424179801.db2.gz ZMQLRTWDUNSHHX-LSDHHAIUSA-N 1 2 311.426 1.084 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000655633962 424605939 /nfs/dbraw/zinc/60/59/39/424605939.db2.gz LHLUSBNJRAYPNA-OAHLLOKOSA-N 1 2 316.405 1.812 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+](C/C=C\c2ccccc2)CC1 ZINC000665150623 424750530 /nfs/dbraw/zinc/75/05/30/424750530.db2.gz HPFQFZNDYSSCLU-VOCYLRNQSA-N 1 2 300.402 1.781 20 30 DDEDLO COc1cc(C[NH2+]Cc2nc(C#N)cs2)ccc1OCCO ZINC000352839910 266827280 /nfs/dbraw/zinc/82/72/80/266827280.db2.gz MFVGPSHIBSHFJB-UHFFFAOYSA-N 1 2 319.386 1.684 20 30 DDEDLO COc1cc([C@@H](C)[NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)ccn1 ZINC000347668028 266846669 /nfs/dbraw/zinc/84/66/69/266846669.db2.gz HXBHRUNZKPOJGT-BZNIZROVSA-N 1 2 310.357 1.839 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)Cc1c(F)cccc1C#N ZINC000352590672 267068303 /nfs/dbraw/zinc/06/83/03/267068303.db2.gz OSVPRZXJNKTHEN-GFCCVEGCSA-N 1 2 305.353 1.077 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)Cc1c(F)cccc1C#N ZINC000352590672 267068307 /nfs/dbraw/zinc/06/83/07/267068307.db2.gz OSVPRZXJNKTHEN-GFCCVEGCSA-N 1 2 305.353 1.077 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Nc1cccc(C#N)c1 ZINC000375841703 267089287 /nfs/dbraw/zinc/08/92/87/267089287.db2.gz SSXDRRDBBRKWSB-CJNGLKHVSA-N 1 2 314.389 1.885 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(c2cc(C)ncc2C#N)CC1 ZINC000425208220 267186133 /nfs/dbraw/zinc/18/61/33/267186133.db2.gz MOAFULFGSWQLCL-CYBMUJFWSA-N 1 2 315.421 1.298 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)NCCNc1cccc[nH+]1 ZINC000345233017 267395545 /nfs/dbraw/zinc/39/55/45/267395545.db2.gz LHAPOWQGDPMUHO-UHFFFAOYSA-N 1 2 316.386 1.652 20 30 DDEDLO Cc1cc(N(C)C[C@@H](O)COCCOC(C)C)c(C#N)c[nH+]1 ZINC000425217053 268005135 /nfs/dbraw/zinc/00/51/35/268005135.db2.gz TXIPAQIIMFADND-OAHLLOKOSA-N 1 2 307.394 1.500 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)NCCCCn2cc[nH+]c2)cc1 ZINC000516474005 268239658 /nfs/dbraw/zinc/23/96/58/268239658.db2.gz WOYNAYRZCHESSC-UHFFFAOYSA-N 1 2 311.345 1.290 20 30 DDEDLO N#Cc1csc(CNc2cnn(CC[NH+]3CCOCC3)c2)c1 ZINC000344894347 272136189 /nfs/dbraw/zinc/13/61/89/272136189.db2.gz FTYYVDWCUQCMMH-UHFFFAOYSA-N 1 2 317.418 1.761 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@H]1C ZINC000459429388 277353207 /nfs/dbraw/zinc/35/32/07/277353207.db2.gz KJNOPFGLOYXUBT-CMPLNLGQSA-N 1 2 303.318 1.850 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@H]1C ZINC000459429388 277353209 /nfs/dbraw/zinc/35/32/09/277353209.db2.gz KJNOPFGLOYXUBT-CMPLNLGQSA-N 1 2 303.318 1.850 20 30 DDEDLO COc1cnc(NC(=O)N2CC[C@H]([NH+]3CCOCC3)C2)s1 ZINC000329226356 277477012 /nfs/dbraw/zinc/47/70/12/277477012.db2.gz AJIOIFKFKLJIDH-JTQLQIEISA-N 1 2 312.395 1.104 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@@H](CS(C)(=O)=O)c2ccccc2)C1=O ZINC000281916832 277861613 /nfs/dbraw/zinc/86/16/13/277861613.db2.gz GWOQSODMSLUEMR-GJZGRUSLSA-N 1 2 322.430 1.149 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@@H](C)CN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000330599079 288100239 /nfs/dbraw/zinc/10/02/39/288100239.db2.gz UGKSOXGAPLKTFX-UONOGXRCSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@@H](C)CN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000330599079 288100241 /nfs/dbraw/zinc/10/02/41/288100241.db2.gz UGKSOXGAPLKTFX-UONOGXRCSA-N 1 2 321.446 1.356 20 30 DDEDLO Cc1nc(N2CCN(c3c(C#N)cccc3C#N)CC2)cc[nH+]1 ZINC000302775098 279165411 /nfs/dbraw/zinc/16/54/11/279165411.db2.gz AAAJYIQGNRNIGP-UHFFFAOYSA-N 1 2 304.357 1.855 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CCN(c2ncccc2C(N)=O)CC1 ZINC000131911949 281434364 /nfs/dbraw/zinc/43/43/64/281434364.db2.gz LKLZTCKSHRGNDK-UHFFFAOYSA-N 1 2 321.384 1.374 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)N[C@@H]3CCOC4(CCC4)C3)C[C@@H]21 ZINC000329076414 290078238 /nfs/dbraw/zinc/07/82/38/290078238.db2.gz PUSSPPXUBNFPEO-QLFBSQMISA-N 1 2 323.437 1.407 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)N[C@@H]3CCOC4(CCC4)C3)C[C@@H]21 ZINC000329076414 290078242 /nfs/dbraw/zinc/07/82/42/290078242.db2.gz PUSSPPXUBNFPEO-QLFBSQMISA-N 1 2 323.437 1.407 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCN(c2ccccc2C#N)CC1 ZINC000548781001 307793596 /nfs/dbraw/zinc/79/35/96/307793596.db2.gz RAPVCVXQTOYMJB-UHFFFAOYSA-N 1 2 309.373 1.183 20 30 DDEDLO CCN(C1CC[NH+](Cc2ccc(C#N)cn2)CC1)S(C)(=O)=O ZINC000555674129 307847375 /nfs/dbraw/zinc/84/73/75/307847375.db2.gz AIDSVGQKWNGAPJ-UHFFFAOYSA-N 1 2 322.434 1.199 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1ccc(C#N)cc1 ZINC000060633770 331809826 /nfs/dbraw/zinc/80/98/26/331809826.db2.gz NDWSIFJCIOEBBJ-UHFFFAOYSA-N 1 2 312.373 1.246 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCO[C@@]3(CCOC3)C2)c([N+](=O)[O-])c1 ZINC000583384552 332377668 /nfs/dbraw/zinc/37/76/68/332377668.db2.gz XKSRIMIOJKOLEH-HNNXBMFYSA-N 1 2 303.318 1.458 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCO[C@@]3(CCOC3)C2)c([N+](=O)[O-])c1 ZINC000583384552 332377669 /nfs/dbraw/zinc/37/76/69/332377669.db2.gz XKSRIMIOJKOLEH-HNNXBMFYSA-N 1 2 303.318 1.458 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000565223144 332648490 /nfs/dbraw/zinc/64/84/90/332648490.db2.gz WVNOJXSCPJKJTL-UHFFFAOYSA-N 1 2 318.421 1.909 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccc3c(c2)sc(=O)n3C)nn1 ZINC000562966294 332749798 /nfs/dbraw/zinc/74/97/98/332749798.db2.gz KTCOZRQLDGOLME-UHFFFAOYSA-N 1 2 315.402 1.667 20 30 DDEDLO N#CC1(CNC(=O)c2ccc(-n3cc[nH+]c3)c([N+](=O)[O-])c2)CC1 ZINC000584329366 333034497 /nfs/dbraw/zinc/03/44/97/333034497.db2.gz KUIDAQKOBSUOFH-UHFFFAOYSA-N 1 2 311.301 1.814 20 30 DDEDLO N#CC1(CNC(=O)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000582396953 336957897 /nfs/dbraw/zinc/95/78/97/336957897.db2.gz AWTSUJUIJAKMCA-UHFFFAOYSA-N 1 2 309.329 1.231 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2cc(C3(C)CC3)[nH]n2)CC1 ZINC000375396877 337010311 /nfs/dbraw/zinc/01/03/11/337010311.db2.gz YFCNQSXQAQWQPQ-ZDUSSCGKSA-N 1 2 317.437 1.274 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2ccc(-n3cccn3)cc2)CC1 ZINC000582495596 337100650 /nfs/dbraw/zinc/10/06/50/337100650.db2.gz NJTFWWIVNCKVJM-UHFFFAOYSA-N 1 2 309.373 1.430 20 30 DDEDLO CCN(CCC#N)C(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000527098437 337391860 /nfs/dbraw/zinc/39/18/60/337391860.db2.gz RWYOQBWIMWKWPL-UHFFFAOYSA-N 1 2 313.361 1.582 20 30 DDEDLO N#CCC1(O)C[NH+](C[C@H](O)CO[C@@H]2CCCc3ccccc32)C1 ZINC000515165295 337980752 /nfs/dbraw/zinc/98/07/52/337980752.db2.gz YCEPMJGYBFQBHT-DOTOQJQBSA-N 1 2 316.401 1.402 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cccc([C@H](C)OC)c2)CC1 ZINC000085275854 338007939 /nfs/dbraw/zinc/00/79/39/338007939.db2.gz PZUWLCRDTAYJGI-HNNXBMFYSA-N 1 2 315.417 1.583 20 30 DDEDLO C#CC[C@@H]([NH2+][C@H](C)C1CN(C(=O)OC(C)(C)C)C1)C(=O)OC ZINC000496539654 340007450 /nfs/dbraw/zinc/00/74/50/340007450.db2.gz LSQMYIJJJLXLMB-DGCLKSJQSA-N 1 2 310.394 1.396 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C[C@@H](O)COc2ccc(CC#N)cc2)n1 ZINC000516684349 340453912 /nfs/dbraw/zinc/45/39/12/340453912.db2.gz CIQYCQQBYZWKNG-BXUZGUMPSA-N 1 2 316.361 1.535 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000131259019 341070622 /nfs/dbraw/zinc/07/06/22/341070622.db2.gz PVMVAOXZKXCPJD-LBPRGKRZSA-N 1 2 316.361 1.713 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCc2ccc([N+](=O)[O-])cc2C1 ZINC000131259019 341070623 /nfs/dbraw/zinc/07/06/23/341070623.db2.gz PVMVAOXZKXCPJD-LBPRGKRZSA-N 1 2 316.361 1.713 20 30 DDEDLO Cc1nc(N2CCC(C#N)(c3ccccn3)CC2)nc(N(C)C)[nH+]1 ZINC000548821686 341277118 /nfs/dbraw/zinc/27/71/18/341277118.db2.gz RZMBFWQQCMEPEN-UHFFFAOYSA-N 1 2 323.404 1.703 20 30 DDEDLO Cc1nc(N(C)C)nc(N2CCC(C#N)(c3ccccn3)CC2)[nH+]1 ZINC000548821686 341277119 /nfs/dbraw/zinc/27/71/19/341277119.db2.gz RZMBFWQQCMEPEN-UHFFFAOYSA-N 1 2 323.404 1.703 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H]2CCCn3cc(C)[nH+]c32)nn1 ZINC000565359012 341525059 /nfs/dbraw/zinc/52/50/59/341525059.db2.gz ITEJWTNSBBFYIR-CYBMUJFWSA-N 1 2 314.393 1.667 20 30 DDEDLO C=CCN1C(=O)N(Cc2c[nH+]cn2C)C(=O)[C@@H]1Cc1ccccc1 ZINC000349006149 341961647 /nfs/dbraw/zinc/96/16/47/341961647.db2.gz DOPPWTORUIZVSB-INIZCTEOSA-N 1 2 324.384 1.982 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000658868211 484511803 /nfs/dbraw/zinc/51/18/03/484511803.db2.gz JPOXSPMVMZGPGS-OKILXGFUSA-N 1 2 310.357 1.982 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCCCCNc1cccc[nH+]1 ZINC000668298177 485087091 /nfs/dbraw/zinc/08/70/91/485087091.db2.gz PVQAHXZIJVQTNJ-OAHLLOKOSA-N 1 2 318.421 1.861 20 30 DDEDLO C=CCCC1(CNC(=O)C(=O)NCc2[nH+]ccn2C)CCCC1 ZINC000668810835 485329788 /nfs/dbraw/zinc/32/97/88/485329788.db2.gz IGTWYQIGBWEHGR-UHFFFAOYSA-N 1 2 318.421 1.679 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)c2cc3ccccc3[nH]2)CC1 ZINC000677047506 486386011 /nfs/dbraw/zinc/38/60/11/486386011.db2.gz CTEOUUPZNDXPMB-KRWDZBQOSA-N 1 2 313.401 1.863 20 30 DDEDLO COc1ccccc1-c1noc([C@H](C)[NH2+]CC(=O)NCC#N)n1 ZINC000348516801 533927540 /nfs/dbraw/zinc/92/75/40/533927540.db2.gz SYXCIWJKLRLANZ-JTQLQIEISA-N 1 2 315.333 1.036 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCn2cc[nH+]c2C1)N(C[C@H]1CCOC1)C1CC1 ZINC000330249465 534764457 /nfs/dbraw/zinc/76/44/57/534764457.db2.gz GTLIOAZCSLWHJU-CHWSQXEVSA-N 1 2 304.394 1.613 20 30 DDEDLO C=CC[N@H+](Cc1cn(C)nn1)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000341593371 526379074 /nfs/dbraw/zinc/37/90/74/526379074.db2.gz AKPMHDVFOMVYMS-ZDUSSCGKSA-N 1 2 324.392 1.540 20 30 DDEDLO C=CC[N@@H+](Cc1cn(C)nn1)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000341593371 526379077 /nfs/dbraw/zinc/37/90/77/526379077.db2.gz AKPMHDVFOMVYMS-ZDUSSCGKSA-N 1 2 324.392 1.540 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC000330938958 526402876 /nfs/dbraw/zinc/40/28/76/526402876.db2.gz CIZMULVLEFSGOL-ZWNOBZJWSA-N 1 2 324.812 1.875 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC000330938958 526402882 /nfs/dbraw/zinc/40/28/82/526402882.db2.gz CIZMULVLEFSGOL-ZWNOBZJWSA-N 1 2 324.812 1.875 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2c(C)cccc2C)C1 ZINC000330940153 526403087 /nfs/dbraw/zinc/40/30/87/526403087.db2.gz DHSURZICCLGLKZ-ZIAGYGMSSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2c(C)cccc2C)C1 ZINC000330940153 526403090 /nfs/dbraw/zinc/40/30/90/526403090.db2.gz DHSURZICCLGLKZ-ZIAGYGMSSA-N 1 2 318.421 1.838 20 30 DDEDLO CC(C)(C)OC(=O)NCC[N@H+](CCO)Cc1ccc(C#N)o1 ZINC000495411095 526460818 /nfs/dbraw/zinc/46/08/18/526460818.db2.gz BGOVMXYIZAGDCL-UHFFFAOYSA-N 1 2 309.366 1.470 20 30 DDEDLO CC(C)(C)OC(=O)NCC[N@@H+](CCO)Cc1ccc(C#N)o1 ZINC000495411095 526460823 /nfs/dbraw/zinc/46/08/23/526460823.db2.gz BGOVMXYIZAGDCL-UHFFFAOYSA-N 1 2 309.366 1.470 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccc(F)c(F)c2)C1=O ZINC000337227294 526468708 /nfs/dbraw/zinc/46/87/08/526468708.db2.gz PZLQJMSOJIDHPC-CQSZACIVSA-N 1 2 323.343 1.622 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccc(F)c(F)c2)C1=O ZINC000337227294 526468713 /nfs/dbraw/zinc/46/87/13/526468713.db2.gz PZLQJMSOJIDHPC-CQSZACIVSA-N 1 2 323.343 1.622 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC[S@](=O)c2ccccc2)C1=O ZINC000337217529 526468838 /nfs/dbraw/zinc/46/88/38/526468838.db2.gz ILJDVFRBIROQBJ-VFNWGFHPSA-N 1 2 306.431 1.513 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC[S@](=O)c2ccccc2)C1=O ZINC000337217529 526468841 /nfs/dbraw/zinc/46/88/41/526468841.db2.gz ILJDVFRBIROQBJ-VFNWGFHPSA-N 1 2 306.431 1.513 20 30 DDEDLO C=CCN1CC[C@H](N(C)c2[nH+]c3cccc(F)c3n2C)C1=O ZINC000336932027 526503894 /nfs/dbraw/zinc/50/38/94/526503894.db2.gz QLLWJJZFNHVJKA-ZDUSSCGKSA-N 1 2 302.353 1.936 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C1=O ZINC000337218898 526504105 /nfs/dbraw/zinc/50/41/05/526504105.db2.gz JJFLVCNSHXJNLN-JKIFEVAISA-N 1 2 320.437 1.148 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C1=O ZINC000337218898 526504109 /nfs/dbraw/zinc/50/41/09/526504109.db2.gz JJFLVCNSHXJNLN-JKIFEVAISA-N 1 2 320.437 1.148 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3cnccc3C)CC2)C1=O ZINC000342819962 526510434 /nfs/dbraw/zinc/51/04/34/526510434.db2.gz FPZXHQYGRQBIPQ-HNNXBMFYSA-N 1 2 300.406 1.299 20 30 DDEDLO C#CCN(C)C(=O)C(=O)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000491380889 526787463 /nfs/dbraw/zinc/78/74/63/526787463.db2.gz BLGILTNJFPXEAL-UHFFFAOYSA-N 1 2 309.325 1.904 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cc(Cl)ccc2C#N)CC1 ZINC000490831476 526952390 /nfs/dbraw/zinc/95/23/90/526952390.db2.gz UHXWZWFSRWLBCJ-UHFFFAOYSA-N 1 2 317.776 1.368 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2cc(C(F)(F)F)n[nH]2)CC1 ZINC000491154544 526969051 /nfs/dbraw/zinc/96/90/51/526969051.db2.gz JWLHGUYFWSFRLL-UHFFFAOYSA-N 1 2 314.311 1.390 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2cc(OC)ccc2F)CC1 ZINC000491779568 527020381 /nfs/dbraw/zinc/02/03/81/527020381.db2.gz ZXZRZSCMUJRMEA-CYBMUJFWSA-N 1 2 319.380 1.094 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@H]2CC3(CC3)C(=O)O2)n1 ZINC000491738125 527191331 /nfs/dbraw/zinc/19/13/31/527191331.db2.gz WJHYNRZFGRLYIT-OAHLLOKOSA-N 1 2 317.389 1.060 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@H]2CC3(CC3)C(=O)O2)n1 ZINC000491738125 527191334 /nfs/dbraw/zinc/19/13/34/527191334.db2.gz WJHYNRZFGRLYIT-OAHLLOKOSA-N 1 2 317.389 1.060 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C[C@H](CNC(=O)OC(C)(C)C)CC1=O ZINC000491812338 527214863 /nfs/dbraw/zinc/21/48/63/527214863.db2.gz DTBDRAZFQUVMSA-OLZOCXBDSA-N 1 2 309.410 1.271 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C[C@H](CNC(=O)OC(C)(C)C)CC1=O ZINC000491812338 527214869 /nfs/dbraw/zinc/21/48/69/527214869.db2.gz DTBDRAZFQUVMSA-OLZOCXBDSA-N 1 2 309.410 1.271 20 30 DDEDLO CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000414146410 527217370 /nfs/dbraw/zinc/21/73/70/527217370.db2.gz LDMZXDKUNVDKML-CYBMUJFWSA-N 1 2 321.333 1.383 20 30 DDEDLO CC(=O)c1cc([N+](=O)[O-])ccc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000414146410 527217378 /nfs/dbraw/zinc/21/73/78/527217378.db2.gz LDMZXDKUNVDKML-CYBMUJFWSA-N 1 2 321.333 1.383 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1CCNC(=O)[C@@H]1CC ZINC000349740196 527262541 /nfs/dbraw/zinc/26/25/41/527262541.db2.gz AMUFGOCHOFPPCK-HOTGVXAUSA-N 1 2 318.417 1.365 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1CCNC(=O)[C@@H]1CC ZINC000349740196 527262544 /nfs/dbraw/zinc/26/25/44/527262544.db2.gz AMUFGOCHOFPPCK-HOTGVXAUSA-N 1 2 318.417 1.365 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1nc(CC(=O)OCC)cs1 ZINC000491623538 527330554 /nfs/dbraw/zinc/33/05/54/527330554.db2.gz PWJDNZFEVGUTTC-JTQLQIEISA-N 1 2 309.391 1.141 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1nc(CC(=O)OCC)cs1 ZINC000491623538 527330559 /nfs/dbraw/zinc/33/05/59/527330559.db2.gz PWJDNZFEVGUTTC-JTQLQIEISA-N 1 2 309.391 1.141 20 30 DDEDLO CC(C)NC(=O)N1CCC[C@H]1C(=O)N1CCn2cc[nH+]c2C1 ZINC000329955070 527384921 /nfs/dbraw/zinc/38/49/21/527384921.db2.gz OTMAUGUJHZZRQO-LBPRGKRZSA-N 1 2 305.382 1.012 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000333166993 527471415 /nfs/dbraw/zinc/47/14/15/527471415.db2.gz INPHNJUVWQZSDA-MCIONIFRSA-N 1 2 304.394 1.653 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2cnc(C)s2)CC1 ZINC000330841921 527628031 /nfs/dbraw/zinc/62/80/31/527628031.db2.gz AVFDNGFEOTVZGL-HNNXBMFYSA-N 1 2 309.435 1.423 20 30 DDEDLO CCO[C@@H]1C[C@H](NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)C12CCC2 ZINC000329770007 527906422 /nfs/dbraw/zinc/90/64/22/527906422.db2.gz IIWWEVZSENTDIU-QLFBSQMISA-N 1 2 324.469 1.084 20 30 DDEDLO CCO[C@@H]1C[C@H](NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)C12CCC2 ZINC000329770007 527906429 /nfs/dbraw/zinc/90/64/29/527906429.db2.gz IIWWEVZSENTDIU-QLFBSQMISA-N 1 2 324.469 1.084 20 30 DDEDLO CCN(CC)C(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000330886897 528080566 /nfs/dbraw/zinc/08/05/66/528080566.db2.gz MRPRFVOPDUMIOW-UHFFFAOYSA-N 1 2 319.409 1.357 20 30 DDEDLO CC(C)c1ccoc1C(=O)NC[C@](C)(O)C[NH+]1CCOCC1 ZINC000330470470 528209857 /nfs/dbraw/zinc/20/98/57/528209857.db2.gz MKGNSIDZXNQYGL-INIZCTEOSA-N 1 2 310.394 1.791 20 30 DDEDLO CCNC(=O)c1ccc(OC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000414144570 528261195 /nfs/dbraw/zinc/26/11/95/528261195.db2.gz JRPCAGAQKLWBBN-CQSZACIVSA-N 1 2 305.378 1.021 20 30 DDEDLO CCNC(=O)c1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000414144570 528261200 /nfs/dbraw/zinc/26/12/00/528261200.db2.gz JRPCAGAQKLWBBN-CQSZACIVSA-N 1 2 305.378 1.021 20 30 DDEDLO CC(C)c1[nH+]c2c(n1C)CCN(C(=O)N[C@@H]1CC[S@](=O)C1)C2 ZINC000330005364 528324219 /nfs/dbraw/zinc/32/42/19/528324219.db2.gz BVMLIQQLVORROI-XFNZEKPQSA-N 1 2 324.450 1.337 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)N[C@H]2CCCSC2)CCO1 ZINC000330152904 529115975 /nfs/dbraw/zinc/11/59/75/529115975.db2.gz MILUNDQFTQCWQL-LBPRGKRZSA-N 1 2 301.456 1.496 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)N[C@H]2CCCSC2)CCO1 ZINC000330152904 529115979 /nfs/dbraw/zinc/11/59/79/529115979.db2.gz MILUNDQFTQCWQL-LBPRGKRZSA-N 1 2 301.456 1.496 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC000972082623 695152848 /nfs/dbraw/zinc/15/28/48/695152848.db2.gz PHNIYFLHQCVELA-OAHLLOKOSA-N 1 2 304.438 1.973 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC000972082623 695152849 /nfs/dbraw/zinc/15/28/49/695152849.db2.gz PHNIYFLHQCVELA-OAHLLOKOSA-N 1 2 304.438 1.973 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)/C=C/c3ccco3)C2)C1 ZINC000972232024 695183972 /nfs/dbraw/zinc/18/39/72/695183972.db2.gz ZBGIRECHNKJQST-DKFQHHCZSA-N 1 2 314.385 1.619 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)/C=C/c3ccco3)C2)C1 ZINC000972232024 695183973 /nfs/dbraw/zinc/18/39/73/695183973.db2.gz ZBGIRECHNKJQST-DKFQHHCZSA-N 1 2 314.385 1.619 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cncc(CC)c3)C2)C1 ZINC000972467395 695258364 /nfs/dbraw/zinc/25/83/64/695258364.db2.gz XEIBDNGFEQBADY-SFHVURJKSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cncc(CC)c3)C2)C1 ZINC000972467395 695258365 /nfs/dbraw/zinc/25/83/65/695258365.db2.gz XEIBDNGFEQBADY-SFHVURJKSA-N 1 2 315.417 1.747 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCCC3(C)C)C2)C1 ZINC000972512091 695269161 /nfs/dbraw/zinc/26/91/61/695269161.db2.gz PGUXJCXCNGLIBO-MAUKXSAKSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCCC3(C)C)C2)C1 ZINC000972512091 695269162 /nfs/dbraw/zinc/26/91/62/695269162.db2.gz PGUXJCXCNGLIBO-MAUKXSAKSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC(C)(F)F)C2)C1 ZINC000972536806 695275490 /nfs/dbraw/zinc/27/54/90/695275490.db2.gz AMYUUAWVWAZLSN-OAHLLOKOSA-N 1 2 300.349 1.358 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC(C)(F)F)C2)C1 ZINC000972536806 695275491 /nfs/dbraw/zinc/27/54/91/695275491.db2.gz AMYUUAWVWAZLSN-OAHLLOKOSA-N 1 2 300.349 1.358 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3c3ccco3)C2)C1 ZINC000972563759 695284410 /nfs/dbraw/zinc/28/44/10/695284410.db2.gz DKCIPNLWBUKECL-RKVPGOIHSA-N 1 2 316.401 1.872 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3c3ccco3)C2)C1 ZINC000972563759 695284411 /nfs/dbraw/zinc/28/44/11/695284411.db2.gz DKCIPNLWBUKECL-RKVPGOIHSA-N 1 2 316.401 1.872 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cccc(F)c3)C2)C1 ZINC000972660355 695311769 /nfs/dbraw/zinc/31/17/69/695311769.db2.gz AUNBAZJAIFNGTL-GOSISDBHSA-N 1 2 318.392 1.858 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cccc(F)c3)C2)C1 ZINC000972660355 695311773 /nfs/dbraw/zinc/31/17/73/695311773.db2.gz AUNBAZJAIFNGTL-GOSISDBHSA-N 1 2 318.392 1.858 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(C)nsc3C)C2)C1 ZINC000972693256 695317841 /nfs/dbraw/zinc/31/78/41/695317841.db2.gz XMMNVTDIDAFTIW-MRXNPFEDSA-N 1 2 321.446 1.863 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(C)nsc3C)C2)C1 ZINC000972693256 695317844 /nfs/dbraw/zinc/31/78/44/695317844.db2.gz XMMNVTDIDAFTIW-MRXNPFEDSA-N 1 2 321.446 1.863 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2nn(C)cc2C)C(C)(C)C1 ZINC000974573503 695687991 /nfs/dbraw/zinc/68/79/91/695687991.db2.gz FLAJDVQBBLFUBC-GFCCVEGCSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2nn(C)cc2C)C(C)(C)C1 ZINC000974573503 695687992 /nfs/dbraw/zinc/68/79/92/695687992.db2.gz FLAJDVQBBLFUBC-GFCCVEGCSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2nnc(C)[nH]2)C(C)(C)C1 ZINC000974598642 695693349 /nfs/dbraw/zinc/69/33/49/695693349.db2.gz REAIYMGKSFVFHZ-NSHDSACASA-N 1 2 311.817 1.235 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2nnc(C)[nH]2)C(C)(C)C1 ZINC000974598642 695693350 /nfs/dbraw/zinc/69/33/50/695693350.db2.gz REAIYMGKSFVFHZ-NSHDSACASA-N 1 2 311.817 1.235 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cc(C)nn2C)C(C)(C)C1 ZINC000974823817 695742965 /nfs/dbraw/zinc/74/29/65/695742965.db2.gz DWPHPSXDVSBKPR-CQSZACIVSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cc(C)nn2C)C(C)(C)C1 ZINC000974823817 695742967 /nfs/dbraw/zinc/74/29/67/695742967.db2.gz DWPHPSXDVSBKPR-CQSZACIVSA-N 1 2 324.856 1.850 20 30 DDEDLO C#CCN1C[C@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)C(C)(C)C1 ZINC000977387820 696140273 /nfs/dbraw/zinc/14/02/73/696140273.db2.gz LHEQOJARMPDWPI-INIZCTEOSA-N 1 2 323.400 1.341 20 30 DDEDLO Oc1ccc(Br)cc1/C=N/CC[NH+]1CCOCC1 ZINC000060802907 696313733 /nfs/dbraw/zinc/31/37/33/696313733.db2.gz NFALXPOTNOAFOG-XNTDXEJSSA-N 1 2 313.195 1.906 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnc(C(F)(F)F)nc2)CC1 ZINC000124801759 696746217 /nfs/dbraw/zinc/74/62/17/696746217.db2.gz JRIMSCGELKSGAX-UHFFFAOYSA-N 1 2 312.295 1.277 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc([N+](=O)[O-])cnc2C)CC1 ZINC000139267688 696863354 /nfs/dbraw/zinc/86/33/54/696863354.db2.gz BHOIFMLCOPHOLG-UHFFFAOYSA-N 1 2 302.334 1.079 20 30 DDEDLO NC(=[NH+]OCC(=O)N1CCCCC1)c1ccc2c(c1)CCO2 ZINC000158215518 696987957 /nfs/dbraw/zinc/98/79/57/696987957.db2.gz BLYXWDPSDNWUQG-UHFFFAOYSA-N 1 2 303.362 1.271 20 30 DDEDLO COc1cc(C[N@@H+]2CCCN(C(=O)C#CC3CC3)CC2)ccn1 ZINC000981124066 697010838 /nfs/dbraw/zinc/01/08/38/697010838.db2.gz DVAJWBLOEOEFJN-UHFFFAOYSA-N 1 2 313.401 1.538 20 30 DDEDLO COc1cc(C[N@H+]2CCCN(C(=O)C#CC3CC3)CC2)ccn1 ZINC000981124066 697010840 /nfs/dbraw/zinc/01/08/40/697010840.db2.gz DVAJWBLOEOEFJN-UHFFFAOYSA-N 1 2 313.401 1.538 20 30 DDEDLO Cn1cccc1C(O)=CONC(=[NH2+])Cn1cnc2ccccc21 ZINC000190043461 697583379 /nfs/dbraw/zinc/58/33/79/697583379.db2.gz CVGCMRWZYZFKKC-UHFFFAOYSA-N 1 2 311.345 1.547 20 30 DDEDLO C#C[C@H](NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)[C@H]1CCCO1 ZINC000773421724 697757601 /nfs/dbraw/zinc/75/76/01/697757601.db2.gz GRFOPZNHTUZDMA-QLFBSQMISA-N 1 2 314.389 1.140 20 30 DDEDLO CCCCCNC(=O)[C@@H](C#N)C(=O)C[N@H+](C)[C@H]1CCSC1 ZINC000773968474 697829484 /nfs/dbraw/zinc/82/94/84/697829484.db2.gz GDDRNYCBCDJLRL-STQMWFEESA-N 1 2 311.451 1.439 20 30 DDEDLO CCCCCNC(=O)[C@@H](C#N)C(=O)C[N@@H+](C)[C@H]1CCSC1 ZINC000773968474 697829486 /nfs/dbraw/zinc/82/94/86/697829486.db2.gz GDDRNYCBCDJLRL-STQMWFEESA-N 1 2 311.451 1.439 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)[C@H]1CCCO1 ZINC000776231326 698085611 /nfs/dbraw/zinc/08/56/11/698085611.db2.gz OUVSDMJOVWKDAG-HZSPNIEDSA-N 1 2 302.378 1.480 20 30 DDEDLO Cc1cc(C#N)ccc1CN1CC[NH+](CC[S@](C)=O)CC1 ZINC000776798061 698141092 /nfs/dbraw/zinc/14/10/92/698141092.db2.gz SRBCSKFJBAKVAC-NRFANRHFSA-N 1 2 305.447 1.363 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=Cc2c[nH]nc2-c2c(F)cccc2F)N1 ZINC000779799547 698467495 /nfs/dbraw/zinc/46/74/95/698467495.db2.gz VDJTWAKJCXVKQC-MRVPVSSYSA-N 1 2 304.304 1.626 20 30 DDEDLO Cc1nn[nH]c1C(=O)N1C[C@H]([NH2+]Cc2ccccc2C#N)C[C@H]1C ZINC000989275720 698574915 /nfs/dbraw/zinc/57/49/15/698574915.db2.gz QBVSWPQZBFZWFL-IAQYHMDHSA-N 1 2 324.388 1.378 20 30 DDEDLO NC(=[NH+]OC[C@@H]1CNC(=O)O1)c1ccc(Br)cc1 ZINC000800869074 700251098 /nfs/dbraw/zinc/25/10/98/700251098.db2.gz XRFSXVQKAZCZMQ-VIFPVBQESA-N 1 2 314.139 1.194 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC([NH2+]Cc2nnsc2Cl)CC1 ZINC000990262447 699013401 /nfs/dbraw/zinc/01/34/01/699013401.db2.gz PCTYLVJUODSAMM-MRVPVSSYSA-N 1 2 313.814 1.432 20 30 DDEDLO C#CC[C@H](CO)[NH2+]Cc1c(Cl)cccc1S(C)(=O)=O ZINC000717821592 699291437 /nfs/dbraw/zinc/29/14/37/699291437.db2.gz DBOKGAOMFUURTR-SNVBAGLBSA-N 1 2 301.795 1.217 20 30 DDEDLO Cc1ccn(CN2CC[C@@H](Oc3cc[nH+]cc3)C2)c(=O)c1C#N ZINC000788380884 699309654 /nfs/dbraw/zinc/30/96/54/699309654.db2.gz PHEUTAXRHSARHG-OAHLLOKOSA-N 1 2 310.357 1.534 20 30 DDEDLO COCCN(C)c1ccc(C=[NH+]NC(=S)NC(C)(C)C)cn1 ZINC000790150315 699445464 /nfs/dbraw/zinc/44/54/64/699445464.db2.gz LQLPTJNFBJPBGV-UHFFFAOYSA-N 1 2 323.466 1.761 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(O[C@H]2CCOC2)cc1 ZINC000739787598 699779497 /nfs/dbraw/zinc/77/94/97/699779497.db2.gz IHLVPDNPIOWUPD-IRXDYDNUSA-N 1 2 314.385 1.890 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(O[C@H]2CCOC2)cc1 ZINC000739787598 699779499 /nfs/dbraw/zinc/77/94/99/699779499.db2.gz IHLVPDNPIOWUPD-IRXDYDNUSA-N 1 2 314.385 1.890 20 30 DDEDLO COC1CCC([N@H+](C)Cn2nc(CC#N)n(C)c2=S)CC1 ZINC000794629313 699819857 /nfs/dbraw/zinc/81/98/57/699819857.db2.gz UQCDMMGHIFHQPJ-UHFFFAOYSA-N 1 2 309.439 1.864 20 30 DDEDLO COC1CCC([N@@H+](C)Cn2nc(CC#N)n(C)c2=S)CC1 ZINC000794629313 699819860 /nfs/dbraw/zinc/81/98/60/699819860.db2.gz UQCDMMGHIFHQPJ-UHFFFAOYSA-N 1 2 309.439 1.864 20 30 DDEDLO C=CCNC(=S)N[NH+]=Cc1c(C)nn(-c2ccccc2)c1N ZINC000794866085 699833373 /nfs/dbraw/zinc/83/33/73/699833373.db2.gz PIHQUKRMRLOHJK-UHFFFAOYSA-N 1 2 314.418 1.747 20 30 DDEDLO C=CC[NH+]1CCC(NS(=O)(=O)c2ccccc2C=O)CC1 ZINC000751074308 700268617 /nfs/dbraw/zinc/26/86/17/700268617.db2.gz HZZNJVDMCMQVHK-UHFFFAOYSA-N 1 2 308.403 1.428 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(C(=O)Cc2ccccc2)CC1 ZINC000801913945 700353053 /nfs/dbraw/zinc/35/30/53/700353053.db2.gz CTELDSLPCIIXLU-HNNXBMFYSA-N 1 2 301.390 1.302 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(C(=O)c2ccc(O)cc2)CC1 ZINC000801930594 700356315 /nfs/dbraw/zinc/35/63/15/700356315.db2.gz SAPAODZOEMIOLH-ZDUSSCGKSA-N 1 2 303.362 1.079 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(C)(C)C)n2CCOC)CC1 ZINC000802340687 700396442 /nfs/dbraw/zinc/39/64/42/700396442.db2.gz RBCUSMLRQVXTAG-UHFFFAOYSA-N 1 2 319.453 1.268 20 30 DDEDLO C[C@H]1CC(=NN2C(=S)N=NC2[C@H]2CCCO2)C[N@@H+]1C1CC1 ZINC000753954149 700471391 /nfs/dbraw/zinc/47/13/91/700471391.db2.gz BOWZLEXGXKVPSI-JOYOIKCWSA-N 1 2 307.423 1.879 20 30 DDEDLO C[C@H]1CC(=NN2C(=S)N=NC2[C@H]2CCCO2)C[N@H+]1C1CC1 ZINC000753954149 700471393 /nfs/dbraw/zinc/47/13/93/700471393.db2.gz BOWZLEXGXKVPSI-JOYOIKCWSA-N 1 2 307.423 1.879 20 30 DDEDLO Cn1c[nH+]cc1CN[C@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000758035346 700681681 /nfs/dbraw/zinc/68/16/81/700681681.db2.gz LHJSCMMAMXUUDR-AAEUAGOBSA-N 1 2 305.382 1.269 20 30 DDEDLO C[N@H+](CCOc1ccc(F)cc1)Cn1cccc(C#N)c1=O ZINC000758178222 700687226 /nfs/dbraw/zinc/68/72/26/700687226.db2.gz KFHNCNCIYJDFGS-UHFFFAOYSA-N 1 2 301.321 1.827 20 30 DDEDLO C[N@@H+](CCOc1ccc(F)cc1)Cn1cccc(C#N)c1=O ZINC000758178222 700687227 /nfs/dbraw/zinc/68/72/27/700687227.db2.gz KFHNCNCIYJDFGS-UHFFFAOYSA-N 1 2 301.321 1.827 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ncccc2OCCC)CC1 ZINC000760215051 700797855 /nfs/dbraw/zinc/79/78/55/700797855.db2.gz DWFVGZOSCBZORO-UHFFFAOYSA-N 1 2 301.390 1.652 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCCC[C@@H]3CO)nc[nH+]2)nc1 ZINC000764897367 700990537 /nfs/dbraw/zinc/99/05/37/700990537.db2.gz CTAHSOWORQYVSX-OAHLLOKOSA-N 1 2 324.388 1.707 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCCC[C@@H]3CO)[nH+]cn2)nc1 ZINC000764897367 700990539 /nfs/dbraw/zinc/99/05/39/700990539.db2.gz CTAHSOWORQYVSX-OAHLLOKOSA-N 1 2 324.388 1.707 20 30 DDEDLO C#CCCCn1nnc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000766290587 701045536 /nfs/dbraw/zinc/04/55/36/701045536.db2.gz XDJNCFWUBRGJLT-INIZCTEOSA-N 1 2 311.389 1.660 20 30 DDEDLO C#CCCCn1nnc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000766290587 701045537 /nfs/dbraw/zinc/04/55/37/701045537.db2.gz XDJNCFWUBRGJLT-INIZCTEOSA-N 1 2 311.389 1.660 20 30 DDEDLO CON=Cc1ccc(C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000767400608 701103808 /nfs/dbraw/zinc/10/38/08/701103808.db2.gz CSTGQVJVQUEXCJ-IYBDPMFKSA-N 1 2 318.373 1.687 20 30 DDEDLO CON=Cc1ccc(C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000767400608 701103811 /nfs/dbraw/zinc/10/38/11/701103811.db2.gz CSTGQVJVQUEXCJ-IYBDPMFKSA-N 1 2 318.373 1.687 20 30 DDEDLO C#CCOCCNC(=O)C[N@@H+](CC)Cc1ccccc1Cl ZINC000807242115 701470901 /nfs/dbraw/zinc/47/09/01/701470901.db2.gz OTQQKFFJPQGQTP-UHFFFAOYSA-N 1 2 308.809 1.928 20 30 DDEDLO C#CCOCCNC(=O)C[N@H+](CC)Cc1ccccc1Cl ZINC000807242115 701470902 /nfs/dbraw/zinc/47/09/02/701470902.db2.gz OTQQKFFJPQGQTP-UHFFFAOYSA-N 1 2 308.809 1.928 20 30 DDEDLO C[C@H](C#N)CN(C)S(=O)(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC000867793741 701753595 /nfs/dbraw/zinc/75/35/95/701753595.db2.gz BEWOBINOGDNGKT-IUODEOHRSA-N 1 2 322.434 1.099 20 30 DDEDLO C[C@H](C#N)CN(C)S(=O)(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC000867793741 701753599 /nfs/dbraw/zinc/75/35/99/701753599.db2.gz BEWOBINOGDNGKT-IUODEOHRSA-N 1 2 322.434 1.099 20 30 DDEDLO C=CCC(F)(F)C(=O)NC[C@H](C(C)C)[NH+]1CCN(C)CC1 ZINC000815591675 701901302 /nfs/dbraw/zinc/90/13/02/701901302.db2.gz NUCYYPCJUFGYAY-CYBMUJFWSA-N 1 2 303.397 1.586 20 30 DDEDLO COC(=O)[C@]1(C)CCC[N@H+](Cn2ccc(C)c(C#N)c2=O)C1 ZINC000840183682 702016389 /nfs/dbraw/zinc/01/63/89/702016389.db2.gz IAFDHWQHWMMVGR-MRXNPFEDSA-N 1 2 303.362 1.261 20 30 DDEDLO COC(=O)[C@]1(C)CCC[N@@H+](Cn2ccc(C)c(C#N)c2=O)C1 ZINC000840183682 702016396 /nfs/dbraw/zinc/01/63/96/702016396.db2.gz IAFDHWQHWMMVGR-MRXNPFEDSA-N 1 2 303.362 1.261 20 30 DDEDLO CC[C@H](O)CN[NH+]=Cc1c(C)nn2c1[nH]c1ccccc1c2=O ZINC000811656323 702022933 /nfs/dbraw/zinc/02/29/33/702022933.db2.gz JMYPQYFJCRHUCI-NSHDSACASA-N 1 2 313.361 1.179 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@@H](CNc3ccc(C#N)nn3)C2)cc1 ZINC000866190237 706643881 /nfs/dbraw/zinc/64/38/81/706643881.db2.gz JBILIFXGVBMGHL-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@@H](CNc3ccc(C#N)nn3)C2)cc1 ZINC000866190237 706643884 /nfs/dbraw/zinc/64/38/84/706643884.db2.gz JBILIFXGVBMGHL-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO COc1c(N)[nH+]cnc1N1CCN(c2ccc(C#N)cc2)CC1 ZINC000866210353 706649576 /nfs/dbraw/zinc/64/95/76/706649576.db2.gz DPWBTOSNQVQXCY-UHFFFAOYSA-N 1 2 310.361 1.266 20 30 DDEDLO COc1c(N)nc[nH+]c1N1CCN(c2ccc(C#N)cc2)CC1 ZINC000866210353 706649578 /nfs/dbraw/zinc/64/95/78/706649578.db2.gz DPWBTOSNQVQXCY-UHFFFAOYSA-N 1 2 310.361 1.266 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](Nc1cc(N3CCOCC3)nc[nH+]1)C2 ZINC000866258851 706659726 /nfs/dbraw/zinc/65/97/26/706659726.db2.gz BUPNPPJWNKJQDU-MRXNPFEDSA-N 1 2 321.384 1.764 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](Nc1cc(N3CCOCC3)[nH+]cn1)C2 ZINC000866258851 706659728 /nfs/dbraw/zinc/65/97/28/706659728.db2.gz BUPNPPJWNKJQDU-MRXNPFEDSA-N 1 2 321.384 1.764 20 30 DDEDLO C[C@@H]([NH2+]CCS(=O)(=O)CC1CC1)c1cccc(C#N)c1O ZINC000866305029 706669897 /nfs/dbraw/zinc/66/98/97/706669897.db2.gz CLORVINQPOYNIS-LLVKDONJSA-N 1 2 308.403 1.739 20 30 DDEDLO CCCCCCCS(=O)(=O)N1C[C@H](C)[N@H+](CCO)C[C@H]1C ZINC000841574524 702502730 /nfs/dbraw/zinc/50/27/30/702502730.db2.gz XQOHQUWSBSWNAE-LSDHHAIUSA-N 1 2 320.499 1.674 20 30 DDEDLO CCCCCCCS(=O)(=O)N1C[C@H](C)[N@@H+](CCO)C[C@H]1C ZINC000841574524 702502734 /nfs/dbraw/zinc/50/27/34/702502734.db2.gz XQOHQUWSBSWNAE-LSDHHAIUSA-N 1 2 320.499 1.674 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCCN(CCCO)C1)c1cccc(C#N)c1O ZINC000866365470 706682059 /nfs/dbraw/zinc/68/20/59/706682059.db2.gz HHCBLMZIWCNTMC-UKRRQHHQSA-N 1 2 303.406 1.761 20 30 DDEDLO C=CCn1cc(C[NH2+][C@H](C)c2ccc(N)c([N+](=O)[O-])c2)nn1 ZINC000818347695 702639172 /nfs/dbraw/zinc/63/91/72/702639172.db2.gz UUZDEYROIOSSSC-SNVBAGLBSA-N 1 2 302.338 1.805 20 30 DDEDLO N#Cc1c(=O)n(C[N@@H+]2CC[C@@H]3OCC[C@@H]3C2)cc2c1CCCC2 ZINC000842626760 702745486 /nfs/dbraw/zinc/74/54/86/702745486.db2.gz RZWSPGYUNMEFCI-PBHICJAKSA-N 1 2 313.401 1.667 20 30 DDEDLO N#Cc1c(=O)n(C[N@H+]2CC[C@@H]3OCC[C@@H]3C2)cc2c1CCCC2 ZINC000842626760 702745489 /nfs/dbraw/zinc/74/54/89/702745489.db2.gz RZWSPGYUNMEFCI-PBHICJAKSA-N 1 2 313.401 1.667 20 30 DDEDLO C=C[C@@H](C)ONC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000844284321 703004731 /nfs/dbraw/zinc/00/47/31/703004731.db2.gz SGVVOOJPCJRSLD-ZBFHGGJFSA-N 1 2 319.405 1.693 20 30 DDEDLO C=C[C@@H](C)ONC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000844284321 703004733 /nfs/dbraw/zinc/00/47/33/703004733.db2.gz SGVVOOJPCJRSLD-ZBFHGGJFSA-N 1 2 319.405 1.693 20 30 DDEDLO C[N@@H+]1CCCC[C@H]1C(=O)OCc1ccc(C#N)cc1[N+](=O)[O-] ZINC000845123021 703112794 /nfs/dbraw/zinc/11/27/94/703112794.db2.gz MSGXAWWBFJKHTF-ZDUSSCGKSA-N 1 2 303.318 1.994 20 30 DDEDLO C[N@H+]1CCCC[C@H]1C(=O)OCc1ccc(C#N)cc1[N+](=O)[O-] ZINC000845123021 703112796 /nfs/dbraw/zinc/11/27/96/703112796.db2.gz MSGXAWWBFJKHTF-ZDUSSCGKSA-N 1 2 303.318 1.994 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](O)[C@@H](O)C2)c(Br)c1 ZINC000879609774 706736246 /nfs/dbraw/zinc/73/62/46/706736246.db2.gz HBWYGUKADVGXCI-OLZOCXBDSA-N 1 2 311.179 1.248 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](O)[C@@H](O)C2)c(Br)c1 ZINC000879609774 706736248 /nfs/dbraw/zinc/73/62/48/706736248.db2.gz HBWYGUKADVGXCI-OLZOCXBDSA-N 1 2 311.179 1.248 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000846996584 703363269 /nfs/dbraw/zinc/36/32/69/703363269.db2.gz YHPPUOVYRBERPY-SECBINFHSA-N 1 2 320.418 1.556 20 30 DDEDLO CCO[C@@H]1CC(=NNCCCn2cc[nH+]c2)[C@H]1n1cccn1 ZINC000848416829 703547973 /nfs/dbraw/zinc/54/79/73/703547973.db2.gz ZXQIJRFKFIRIHS-HUUCEWRRSA-N 1 2 302.382 1.465 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CC[C@@H]([C@H](C)O)C3)[nH+]cn2)C1 ZINC000848751969 703583982 /nfs/dbraw/zinc/58/39/82/703583982.db2.gz XZMODYZVUWXJLL-RRFJBIMHSA-N 1 2 300.406 1.533 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CC[C@@H]([C@H](C)O)C3)nc[nH+]2)C1 ZINC000848751969 703583984 /nfs/dbraw/zinc/58/39/84/703583984.db2.gz XZMODYZVUWXJLL-RRFJBIMHSA-N 1 2 300.406 1.533 20 30 DDEDLO COc1cccc([C@H]2CN(C(=O)c3coc(C#N)c3)CC[NH2+]2)c1 ZINC000870154476 703935735 /nfs/dbraw/zinc/93/57/35/703935735.db2.gz AMNHSYFDQSJGDE-MRXNPFEDSA-N 1 2 311.341 1.947 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@@H](CC)c1nnc2n1CCCCC2 ZINC000852627838 704086881 /nfs/dbraw/zinc/08/68/81/704086881.db2.gz IQGRGNSRZQQJIY-OLZOCXBDSA-N 1 2 303.410 1.183 20 30 DDEDLO CCOC(=O)C12CC(C1)C[N@@H+]2C[C@H](O)c1ccc(C#N)cc1 ZINC000852706220 704103359 /nfs/dbraw/zinc/10/33/59/704103359.db2.gz SSNIAHZAEQBINA-GULBITTBSA-N 1 2 300.358 1.619 20 30 DDEDLO CCOC(=O)C12CC(C1)C[N@H+]2C[C@H](O)c1ccc(C#N)cc1 ZINC000852706220 704103360 /nfs/dbraw/zinc/10/33/60/704103360.db2.gz SSNIAHZAEQBINA-GULBITTBSA-N 1 2 300.358 1.619 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)C(F)(F)F)C1 ZINC000852756416 704116194 /nfs/dbraw/zinc/11/61/94/704116194.db2.gz IGCUEMBQWZSQHB-MXWKQRLJSA-N 1 2 307.316 1.304 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)C(F)(F)F)C1 ZINC000852756416 704116195 /nfs/dbraw/zinc/11/61/95/704116195.db2.gz IGCUEMBQWZSQHB-MXWKQRLJSA-N 1 2 307.316 1.304 20 30 DDEDLO C[C@H](C(=O)Nc1nc2cc(C#N)ccc2[nH]1)[NH+]1CCSCC1 ZINC000871073034 704213224 /nfs/dbraw/zinc/21/32/24/704213224.db2.gz QJRKPSXGXJRXMX-SNVBAGLBSA-N 1 2 315.402 1.810 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1C[C@@H](C)OC[C@H]1C)c1ccccc1 ZINC000820584807 704304996 /nfs/dbraw/zinc/30/49/96/704304996.db2.gz SUHRRJRMNOVCND-INMHGKMJSA-N 1 2 315.417 1.769 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@H]1C)c1ccccc1 ZINC000820584807 704304997 /nfs/dbraw/zinc/30/49/97/704304997.db2.gz SUHRRJRMNOVCND-INMHGKMJSA-N 1 2 315.417 1.769 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@@H+]2C[C@H](C)OC[C@H]2C)cc1 ZINC000855408671 704483225 /nfs/dbraw/zinc/48/32/25/704483225.db2.gz XKWPMGBSUWMGBJ-CVEARBPZSA-N 1 2 314.429 1.826 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@H+]2C[C@H](C)OC[C@H]2C)cc1 ZINC000855408671 704483226 /nfs/dbraw/zinc/48/32/26/704483226.db2.gz XKWPMGBSUWMGBJ-CVEARBPZSA-N 1 2 314.429 1.826 20 30 DDEDLO Cn1nccc1[C@H](O)C1CC[NH+](Cc2ccncc2C#N)CC1 ZINC000856798083 704539247 /nfs/dbraw/zinc/53/92/47/704539247.db2.gz NXCSSIRULLSLMS-QGZVFWFLSA-N 1 2 311.389 1.632 20 30 DDEDLO N#Cc1cccc(C2(NC(=O)/C=C\C[NH+]3CCOCC3)CC2)c1 ZINC000866881820 706832920 /nfs/dbraw/zinc/83/29/20/706832920.db2.gz RRSSGBJRVHFHRB-DJWKRKHSSA-N 1 2 311.385 1.552 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1CCOC[C@H]1CC ZINC000874707557 705140968 /nfs/dbraw/zinc/14/09/68/705140968.db2.gz MVLQJVIMDNGFJD-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1CCOC[C@H]1CC ZINC000874707557 705140972 /nfs/dbraw/zinc/14/09/72/705140972.db2.gz MVLQJVIMDNGFJD-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@H+]1CCOC[C@H]1CC ZINC000875174952 705304306 /nfs/dbraw/zinc/30/43/06/705304306.db2.gz GGIGSWCRNSVDJT-CYBMUJFWSA-N 1 2 301.456 1.316 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@@H+]1CCOC[C@H]1CC ZINC000875174952 705304310 /nfs/dbraw/zinc/30/43/10/705304310.db2.gz GGIGSWCRNSVDJT-CYBMUJFWSA-N 1 2 301.456 1.316 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@H+]2CCOC[C@H]2CC)c1 ZINC000875652389 705463958 /nfs/dbraw/zinc/46/39/58/705463958.db2.gz CEBCAMYIWFYTMF-QGZVFWFLSA-N 1 2 315.417 1.578 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@@H+]2CCOC[C@H]2CC)c1 ZINC000875652389 705463963 /nfs/dbraw/zinc/46/39/63/705463963.db2.gz CEBCAMYIWFYTMF-QGZVFWFLSA-N 1 2 315.417 1.578 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)C2(C#N)CCSCC2)[C@@H](C)CO1 ZINC000824949832 705564208 /nfs/dbraw/zinc/56/42/08/705564208.db2.gz LLDPUTXTWXISLA-STQMWFEESA-N 1 2 311.451 1.249 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)C2(C#N)CCSCC2)[C@@H](C)CO1 ZINC000824949832 705564209 /nfs/dbraw/zinc/56/42/09/705564209.db2.gz LLDPUTXTWXISLA-STQMWFEESA-N 1 2 311.451 1.249 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000825080322 705595994 /nfs/dbraw/zinc/59/59/94/705595994.db2.gz NAJHCKYITWPIPY-CYBMUJFWSA-N 1 2 313.357 1.736 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@H](CNC(=O)[C@H](C)CC#N)C2)c1 ZINC000826551959 705812086 /nfs/dbraw/zinc/81/20/86/705812086.db2.gz TZXAIZDIEYTHSG-NVXWUHKLSA-N 1 2 315.417 1.862 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@H](CNC(=O)[C@H](C)CC#N)C2)c1 ZINC000826551959 705812087 /nfs/dbraw/zinc/81/20/87/705812087.db2.gz TZXAIZDIEYTHSG-NVXWUHKLSA-N 1 2 315.417 1.862 20 30 DDEDLO CC[N@H+](Cc1cc(C#N)ccc1N(C)C)[C@@H](C)CS(C)(=O)=O ZINC000876734950 705848021 /nfs/dbraw/zinc/84/80/21/705848021.db2.gz AVVFDEDSQDIFBK-ZDUSSCGKSA-N 1 2 323.462 1.879 20 30 DDEDLO CC[N@@H+](Cc1cc(C#N)ccc1N(C)C)[C@@H](C)CS(C)(=O)=O ZINC000876734950 705848024 /nfs/dbraw/zinc/84/80/24/705848024.db2.gz AVVFDEDSQDIFBK-ZDUSSCGKSA-N 1 2 323.462 1.879 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)[C@@H](CC)[N@@H+]1CCO[C@H](CC)C1 ZINC000863780541 706009308 /nfs/dbraw/zinc/00/93/08/706009308.db2.gz BYEAQMIJNQVVHQ-BZUAXINKSA-N 1 2 310.438 1.420 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)[C@@H](CC)[N@H+]1CCO[C@H](CC)C1 ZINC000863780541 706009311 /nfs/dbraw/zinc/00/93/11/706009311.db2.gz BYEAQMIJNQVVHQ-BZUAXINKSA-N 1 2 310.438 1.420 20 30 DDEDLO N#C[C@@H](NC(=O)/C=C/C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000864685136 706232907 /nfs/dbraw/zinc/23/29/07/706232907.db2.gz FXJFJFQHHVDFHT-BGTMTDRBSA-N 1 2 303.337 1.395 20 30 DDEDLO COc1cc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)ccc1C#N ZINC000830035347 706442602 /nfs/dbraw/zinc/44/26/02/706442602.db2.gz KOPPLJSRMSFOFG-HNNXBMFYSA-N 1 2 315.373 1.114 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1ccn(CCC#N)n1 ZINC000878765355 706493636 /nfs/dbraw/zinc/49/36/36/706493636.db2.gz RESDPQQGMNMEDT-CYBMUJFWSA-N 1 2 320.397 1.029 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1ccn(CCC#N)n1 ZINC000878765355 706493638 /nfs/dbraw/zinc/49/36/38/706493638.db2.gz RESDPQQGMNMEDT-CYBMUJFWSA-N 1 2 320.397 1.029 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@](C)(c3ccccc3F)C2=O)CC1 ZINC000872557569 707478773 /nfs/dbraw/zinc/47/87/73/707478773.db2.gz OELYIYXQXVAQNB-GOSISDBHSA-N 1 2 315.392 1.134 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1cc(F)cc(C#N)c1 ZINC000880329719 706942118 /nfs/dbraw/zinc/94/21/18/706942118.db2.gz HRPBRBGOFNGIMY-HNNXBMFYSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1cc(F)cc(C#N)c1 ZINC000880329719 706942120 /nfs/dbraw/zinc/94/21/20/706942120.db2.gz HRPBRBGOFNGIMY-HNNXBMFYSA-N 1 2 320.368 1.930 20 30 DDEDLO COc1ccc(NC(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1C#N ZINC000880879904 707089155 /nfs/dbraw/zinc/08/91/55/707089155.db2.gz DQRCMTQDXDQTAA-CYBMUJFWSA-N 1 2 311.345 1.900 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNc1nc2c(cc1C#N)COCC2 ZINC000872773850 707595279 /nfs/dbraw/zinc/59/52/79/707595279.db2.gz XLCYYFFQVOBJCR-HNNXBMFYSA-N 1 2 316.405 1.549 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNc1nc2c(cc1C#N)COCC2 ZINC000872773850 707595283 /nfs/dbraw/zinc/59/52/83/707595283.db2.gz XLCYYFFQVOBJCR-HNNXBMFYSA-N 1 2 316.405 1.549 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)CC1 ZINC000872901935 707645439 /nfs/dbraw/zinc/64/54/39/707645439.db2.gz JFJOSLBYIGHPNY-QKPAOTATSA-N 1 2 307.438 1.587 20 30 DDEDLO C[C@H](c1ccccc1)[NH+]1CCN(S(=O)(=O)CCCC#N)CC1 ZINC000882616435 707718301 /nfs/dbraw/zinc/71/83/01/707718301.db2.gz LKHKQJSXHKAILB-OAHLLOKOSA-N 1 2 321.446 1.999 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000883551980 708025669 /nfs/dbraw/zinc/02/56/69/708025669.db2.gz DXNLHZQAMMCIEB-UONOGXRCSA-N 1 2 304.394 1.287 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)N2CCO[C@](C)(C#N)C2)n1 ZINC000896597607 708093358 /nfs/dbraw/zinc/09/33/58/708093358.db2.gz UYZGWSGMLWQZIO-CQSZACIVSA-N 1 2 323.422 1.029 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000896871865 708169275 /nfs/dbraw/zinc/16/92/75/708169275.db2.gz REXBYZLQCQYVAI-HNNXBMFYSA-N 1 2 320.356 1.813 20 30 DDEDLO C#CCN(CC(=O)NCc1[nH]c(C)c(C)[nH+]1)C(=O)OC(C)(C)C ZINC000896907561 708178632 /nfs/dbraw/zinc/17/86/32/708178632.db2.gz ORMGQXSWEWNBBT-UHFFFAOYSA-N 1 2 320.393 1.513 20 30 DDEDLO CC1=C[C@H](C)C[C@H](C[N@@H+]2C[C@@H]3CS(=O)(=O)C[C@]3(C#N)C2)C1 ZINC000897060434 708219890 /nfs/dbraw/zinc/21/98/90/708219890.db2.gz KWZOIPZZYIVOHR-HNKHHVNMSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=C[C@H](C)C[C@H](C[N@H+]2C[C@@H]3CS(=O)(=O)C[C@]3(C#N)C2)C1 ZINC000897060434 708219895 /nfs/dbraw/zinc/21/98/95/708219895.db2.gz KWZOIPZZYIVOHR-HNKHHVNMSA-N 1 2 308.447 1.849 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC(c2ccsc2)CC1 ZINC000884315826 708240875 /nfs/dbraw/zinc/24/08/75/708240875.db2.gz BEGVQCINRVKURW-AWEZNQCLSA-N 1 2 322.430 1.901 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)c1ccccc1OCC ZINC000884329287 708248017 /nfs/dbraw/zinc/24/80/17/708248017.db2.gz KBVCQYNAUYYBKW-LBPRGKRZSA-N 1 2 306.362 1.495 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](CC(C)(C)C)C(F)(F)F ZINC000884331716 708249223 /nfs/dbraw/zinc/24/92/23/708249223.db2.gz VSRVAAYPWVYIKT-VHSXEESVSA-N 1 2 324.343 1.916 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)[C@H]1CC2CCC1CC2 ZINC000884388624 708275623 /nfs/dbraw/zinc/27/56/23/708275623.db2.gz BCVNEKFQDRPCRP-RUOPDXTDSA-N 1 2 308.422 1.764 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)CC2CC3(CCC3)C2)C1 ZINC000885507993 708562115 /nfs/dbraw/zinc/56/21/15/708562115.db2.gz ATMKZONKFPSPHN-OAHLLOKOSA-N 1 2 310.463 1.584 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)CC2CC3(CCC3)C2)C1 ZINC000885507993 708562117 /nfs/dbraw/zinc/56/21/17/708562117.db2.gz ATMKZONKFPSPHN-OAHLLOKOSA-N 1 2 310.463 1.584 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)C[C@H]2C(C)(C)C2(F)F)C1 ZINC000885509608 708562512 /nfs/dbraw/zinc/56/25/12/708562512.db2.gz UUGQJYVOBLSUPM-NEPJUHHUSA-N 1 2 320.405 1.295 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)C[C@H]2C(C)(C)C2(F)F)C1 ZINC000885509608 708562513 /nfs/dbraw/zinc/56/25/13/708562513.db2.gz UUGQJYVOBLSUPM-NEPJUHHUSA-N 1 2 320.405 1.295 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(SC)cc2)C1 ZINC000885512053 708563123 /nfs/dbraw/zinc/56/31/23/708563123.db2.gz QXURPWKLSLPQBU-ZDUSSCGKSA-N 1 2 324.471 1.784 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(SC)cc2)C1 ZINC000885512053 708563125 /nfs/dbraw/zinc/56/31/25/708563125.db2.gz QXURPWKLSLPQBU-ZDUSSCGKSA-N 1 2 324.471 1.784 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000885513642 708563586 /nfs/dbraw/zinc/56/35/86/708563586.db2.gz BDCZOZBMIPPGQW-HNNXBMFYSA-N 1 2 306.431 1.679 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000885513642 708563587 /nfs/dbraw/zinc/56/35/87/708563587.db2.gz BDCZOZBMIPPGQW-HNNXBMFYSA-N 1 2 306.431 1.679 20 30 DDEDLO C=CCn1ncc2ccc(NC(=O)[C@H]3C[N@H+](C)CCO3)cc21 ZINC000885574068 708579351 /nfs/dbraw/zinc/57/93/51/708579351.db2.gz XVSWVGXTNMDSNV-OAHLLOKOSA-N 1 2 300.362 1.491 20 30 DDEDLO C=CCn1ncc2ccc(NC(=O)[C@H]3C[N@@H+](C)CCO3)cc21 ZINC000885574068 708579354 /nfs/dbraw/zinc/57/93/54/708579354.db2.gz XVSWVGXTNMDSNV-OAHLLOKOSA-N 1 2 300.362 1.491 20 30 DDEDLO C#CC[C@@H]1CC[N@@H+](CC(=O)N=c2cc[nH]n2[C@H](C)C2CC2)C1 ZINC000886363079 708749547 /nfs/dbraw/zinc/74/95/47/708749547.db2.gz ZODPFXTWVKHKFQ-ZIAGYGMSSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[C@@H]1CC[N@H+](CC(=O)N=c2cc[nH]n2[C@H](C)C2CC2)C1 ZINC000886363079 708749548 /nfs/dbraw/zinc/74/95/48/708749548.db2.gz ZODPFXTWVKHKFQ-ZIAGYGMSSA-N 1 2 300.406 1.560 20 30 DDEDLO COC(=O)N[C@H]1CC[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000886867401 708872332 /nfs/dbraw/zinc/87/23/32/708872332.db2.gz DRYGVXAZVPOXEX-STQMWFEESA-N 1 2 322.409 1.148 20 30 DDEDLO COC(=O)N[C@H]1CC[N@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000886867401 708872336 /nfs/dbraw/zinc/87/23/36/708872336.db2.gz DRYGVXAZVPOXEX-STQMWFEESA-N 1 2 322.409 1.148 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000887733239 709113631 /nfs/dbraw/zinc/11/36/31/709113631.db2.gz NMWJBKPAPJTKOQ-KRWDZBQOSA-N 1 2 324.384 1.824 20 30 DDEDLO C#CCC1(NC(=O)NCCCNc2cccc[nH+]2)CCOCC1 ZINC000887753354 709117417 /nfs/dbraw/zinc/11/74/17/709117417.db2.gz BEUUGJLRSDEIJT-UHFFFAOYSA-N 1 2 316.405 1.755 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CCc2c1cccc2C(=O)OC ZINC000888670960 709358727 /nfs/dbraw/zinc/35/87/27/709358727.db2.gz FKHALUUZFVAYKE-INIZCTEOSA-N 1 2 312.369 1.460 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCc2c1cccc2C(=O)OC ZINC000888670960 709358730 /nfs/dbraw/zinc/35/87/30/709358730.db2.gz FKHALUUZFVAYKE-INIZCTEOSA-N 1 2 312.369 1.460 20 30 DDEDLO C=CCN(CC(F)(F)F)C(=O)/C=C(/C)C[NH+]1CCOCC1 ZINC000900424717 709589042 /nfs/dbraw/zinc/58/90/42/709589042.db2.gz GEVFEXTTWMSFNW-XFXZXTDPSA-N 1 2 306.328 1.842 20 30 DDEDLO C/C(=C/C(=O)Nc1ccc(F)c(C#N)c1)C[NH+]1CCOCC1 ZINC000900550185 709647097 /nfs/dbraw/zinc/64/70/97/709647097.db2.gz SKDDOFRHSHBYKW-WQLSENKSSA-N 1 2 303.337 1.914 20 30 DDEDLO Cc1ccc(C(=O)/C=C\C(=O)N2CC[NH+](C[C@@H](C)O)CC2)cc1 ZINC000900600254 709670972 /nfs/dbraw/zinc/67/09/72/709670972.db2.gz BIZPKVIESMNIHK-ZPIQOJFGSA-N 1 2 316.401 1.259 20 30 DDEDLO C=CC[C@H]1CCN1C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000890557509 709914374 /nfs/dbraw/zinc/91/43/74/709914374.db2.gz AGVBQPUPOXKHFS-HNNXBMFYSA-N 1 2 300.406 1.381 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCC#C[C@H]2CCCCO2)[nH]n1 ZINC000891112609 710095907 /nfs/dbraw/zinc/09/59/07/710095907.db2.gz MHQUSLQCSAGMBI-CZUORRHYSA-N 1 2 316.405 1.479 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)NCC#C[C@H]2CCCCO2)[nH]n1 ZINC000891112609 710095908 /nfs/dbraw/zinc/09/59/08/710095908.db2.gz MHQUSLQCSAGMBI-CZUORRHYSA-N 1 2 316.405 1.479 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+]Cc2cnn(CCF)c2)C(N)=O)cc1 ZINC000901654715 710109809 /nfs/dbraw/zinc/10/98/09/710109809.db2.gz DLYIKKAPRQYVHX-AWEZNQCLSA-N 1 2 301.325 1.040 20 30 DDEDLO O=C(NCCCn1cc[nH+]c1)N1CCC2(CC1)CC(=O)C=CO2 ZINC000891425997 710197866 /nfs/dbraw/zinc/19/78/66/710197866.db2.gz GSDBWKSZYULUSD-UHFFFAOYSA-N 1 2 318.377 1.321 20 30 DDEDLO N#Cc1cc(N2CC[NH+](C[C@@H]3CCCO3)CC2)c([N+](=O)[O-])s1 ZINC000891508894 710222520 /nfs/dbraw/zinc/22/25/20/710222520.db2.gz HVFHCBUWJNUWMH-NSHDSACASA-N 1 2 322.390 1.829 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)N(C)Cc2cc(C#N)cs2)CCO1 ZINC000892597735 710466433 /nfs/dbraw/zinc/46/64/33/710466433.db2.gz WBWZASCQNKQRDY-LBPRGKRZSA-N 1 2 322.434 1.482 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)N(C)Cc2cc(C#N)cs2)CCO1 ZINC000892597735 710466435 /nfs/dbraw/zinc/46/64/35/710466435.db2.gz WBWZASCQNKQRDY-LBPRGKRZSA-N 1 2 322.434 1.482 20 30 DDEDLO CN(CCCc1c[nH+]cn1C)S(=O)(=O)c1cccc(C#N)c1 ZINC000902213599 710666885 /nfs/dbraw/zinc/66/68/85/710666885.db2.gz PIUNXQNBYANPLZ-UHFFFAOYSA-N 1 2 318.402 1.545 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)N(C)C[C@@H]1C[N@H+](C)CCO1 ZINC000913507079 713240572 /nfs/dbraw/zinc/24/05/72/713240572.db2.gz KMLSYFOWQTZRAV-INIZCTEOSA-N 1 2 315.417 1.507 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)N(C)C[C@@H]1C[N@@H+](C)CCO1 ZINC000913507079 713240575 /nfs/dbraw/zinc/24/05/75/713240575.db2.gz KMLSYFOWQTZRAV-INIZCTEOSA-N 1 2 315.417 1.507 20 30 DDEDLO C#CCCOc1ccc(C[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000895066036 711394451 /nfs/dbraw/zinc/39/44/51/711394451.db2.gz NEDBZQBHVLJYES-UHFFFAOYSA-N 1 2 311.385 1.647 20 30 DDEDLO CN(CCC#N)C[C@H](O)C[NH2+][C@H]1c2ccccc2OC[C@H]1F ZINC000905540186 712070588 /nfs/dbraw/zinc/07/05/88/712070588.db2.gz XLTKENRPOSCEJL-XPKDYRNWSA-N 1 2 307.369 1.254 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CN[C@H]1c2ccccc2OC[C@H]1F ZINC000905540186 712070590 /nfs/dbraw/zinc/07/05/90/712070590.db2.gz XLTKENRPOSCEJL-XPKDYRNWSA-N 1 2 307.369 1.254 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CN[C@H]1c2ccccc2OC[C@H]1F ZINC000905540186 712070591 /nfs/dbraw/zinc/07/05/91/712070591.db2.gz XLTKENRPOSCEJL-XPKDYRNWSA-N 1 2 307.369 1.254 20 30 DDEDLO Cc1nc(CC2CC[NH+](Cn3cccc(C#N)c3=O)CC2)no1 ZINC000905735913 712134918 /nfs/dbraw/zinc/13/49/18/712134918.db2.gz YNXWYJDWMDVSGO-UHFFFAOYSA-N 1 2 313.361 1.324 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2coc3ccccc32)CC1 ZINC000907415867 712565568 /nfs/dbraw/zinc/56/55/68/712565568.db2.gz CLIQPSXWDDPHLJ-UHFFFAOYSA-N 1 2 318.398 1.809 20 30 DDEDLO N#Cc1ccccc1C(F)(F)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000907524667 712590031 /nfs/dbraw/zinc/59/00/31/712590031.db2.gz DOIBMYGHFPKSTQ-LBPRGKRZSA-N 1 2 316.311 1.978 20 30 DDEDLO N#Cc1ccccc1C(F)(F)C(=O)N[C@@H]1CCc2c[nH+]cn2C1 ZINC000908134266 712695308 /nfs/dbraw/zinc/69/53/08/712695308.db2.gz PERWCGGSWYQPSY-GFCCVEGCSA-N 1 2 316.311 1.978 20 30 DDEDLO C#C[C@@H](NC(=O)/C=C(\C)C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000908324946 712742024 /nfs/dbraw/zinc/74/20/24/712742024.db2.gz VZYYEKGVIMLYKB-ABDJAZHISA-N 1 2 316.376 1.895 20 30 DDEDLO C#CCCCS(=O)(=O)NCCn1c[nH+]cc1-c1ccccc1 ZINC000918846911 713568271 /nfs/dbraw/zinc/56/82/71/713568271.db2.gz VWKFRJMPEKHFBZ-UHFFFAOYSA-N 1 2 317.414 1.883 20 30 DDEDLO C=CCCCC[NH+]1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC000931226865 714041861 /nfs/dbraw/zinc/04/18/61/714041861.db2.gz SHNHQJPTZHVMIA-HNNXBMFYSA-N 1 2 300.468 1.538 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(c2n[nH]c(C)n2)CC1 ZINC000931627892 714142148 /nfs/dbraw/zinc/14/21/48/714142148.db2.gz SULYYKBLJITOPA-UHFFFAOYSA-N 1 2 312.377 1.316 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2sccc2C#N)[C@H](C)CO1 ZINC000931835177 714193795 /nfs/dbraw/zinc/19/37/95/714193795.db2.gz OLJOSLUSHVQUBV-GHMZBOCLSA-N 1 2 308.407 1.850 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2sccc2C#N)[C@H](C)CO1 ZINC000931835177 714193796 /nfs/dbraw/zinc/19/37/96/714193796.db2.gz OLJOSLUSHVQUBV-GHMZBOCLSA-N 1 2 308.407 1.850 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1sccc1C#N)[NH+]1CCOCC1 ZINC000931848314 714196952 /nfs/dbraw/zinc/19/69/52/714196952.db2.gz XQKVQWXZSAPQDQ-WDEREUQCSA-N 1 2 308.407 1.850 20 30 DDEDLO C[C@H](NC(=O)C1(C#N)CCSCC1)[C@H](C)[NH+]1CCOCC1 ZINC000932051583 714246870 /nfs/dbraw/zinc/24/68/70/714246870.db2.gz HLLASGILAIQEBC-STQMWFEESA-N 1 2 311.451 1.249 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N[C@H](CC#N)C(F)(F)F)[NH+]1CCOCC1 ZINC000932919055 714437712 /nfs/dbraw/zinc/43/77/12/714437712.db2.gz MXSVEMRMNAEGHB-HBNTYKKESA-N 1 2 322.331 1.239 20 30 DDEDLO C=CCNC(=O)Cc1noc([C@@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000923982659 714499772 /nfs/dbraw/zinc/49/97/72/714499772.db2.gz PUZNSLLYPCANBD-GFCCVEGCSA-N 1 2 303.366 1.746 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@H+](C[C@H](O)Cn3cccn3)CCO2)cc1 ZINC000933624412 714633555 /nfs/dbraw/zinc/63/35/55/714633555.db2.gz IMNZFJFFFJIOCZ-DLBZAZTESA-N 1 2 312.373 1.189 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@@H+](C[C@H](O)Cn3cccn3)CCO2)cc1 ZINC000933624412 714633556 /nfs/dbraw/zinc/63/35/56/714633556.db2.gz IMNZFJFFFJIOCZ-DLBZAZTESA-N 1 2 312.373 1.189 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000934509820 714838616 /nfs/dbraw/zinc/83/86/16/714838616.db2.gz DTFIAFXAEJMDII-GJZGRUSLSA-N 1 2 314.385 1.430 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000934509820 714838617 /nfs/dbraw/zinc/83/86/17/714838617.db2.gz DTFIAFXAEJMDII-GJZGRUSLSA-N 1 2 314.385 1.430 20 30 DDEDLO C=CCN(C(=O)N[C@H](CC)C[NH+]1CCOCC1)[C@@H](C)COC ZINC000925607287 714898134 /nfs/dbraw/zinc/89/81/34/714898134.db2.gz UDVJHMQZIPYGEX-LSDHHAIUSA-N 1 2 313.442 1.330 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC000954837605 715520314 /nfs/dbraw/zinc/52/03/14/715520314.db2.gz RQKJCEBZXOJKKN-QGZVFWFLSA-N 1 2 318.421 1.234 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000957082612 715737258 /nfs/dbraw/zinc/73/72/58/715737258.db2.gz KWMUQBPAWNAFFA-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2nccn3cc(C)nc23)C1 ZINC000957108621 715751262 /nfs/dbraw/zinc/75/12/62/715751262.db2.gz JBZBBERWFGAMEN-UHFFFAOYSA-N 1 2 313.405 1.760 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc(N3CCCC3)nn2)CC1 ZINC000957288866 715818148 /nfs/dbraw/zinc/81/81/48/715818148.db2.gz FNIUGNIKUBXNJD-UHFFFAOYSA-N 1 2 315.421 1.411 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2nc3ccccc3o2)CC1 ZINC000957623543 715972191 /nfs/dbraw/zinc/97/21/91/715972191.db2.gz IPKAGKSYZAPBAO-UHFFFAOYSA-N 1 2 311.385 1.928 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H](O)[C@H](CNC(=O)C2CCC2)C1 ZINC000957797226 716054493 /nfs/dbraw/zinc/05/44/93/716054493.db2.gz DOGXDHOFRAOJMA-NEPJUHHUSA-N 1 2 317.227 1.104 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)C2CCC2)C1 ZINC000957797226 716054497 /nfs/dbraw/zinc/05/44/97/716054497.db2.gz DOGXDHOFRAOJMA-NEPJUHHUSA-N 1 2 317.227 1.104 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2sccc2F)C1 ZINC000958031777 716285598 /nfs/dbraw/zinc/28/55/98/716285598.db2.gz WKRCJCNMPRIADH-ONGXEEELSA-N 1 2 318.801 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2sccc2F)C1 ZINC000958031777 716285599 /nfs/dbraw/zinc/28/55/99/716285599.db2.gz WKRCJCNMPRIADH-ONGXEEELSA-N 1 2 318.801 1.662 20 30 DDEDLO CC(F)(F)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC000958062971 716302167 /nfs/dbraw/zinc/30/21/67/716302167.db2.gz QUYZGZCZQBYQSV-WDNDVIMCSA-N 1 2 323.318 1.899 20 30 DDEDLO CC(F)(F)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC000958062971 716302170 /nfs/dbraw/zinc/30/21/70/716302170.db2.gz QUYZGZCZQBYQSV-WDNDVIMCSA-N 1 2 323.318 1.899 20 30 DDEDLO C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1CCCC[N@H+]1C ZINC000960274711 716476364 /nfs/dbraw/zinc/47/63/64/716476364.db2.gz DKSHEUDSTSYNHV-KZNAEPCWSA-N 1 2 311.429 1.476 20 30 DDEDLO C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1CCCC[N@@H+]1C ZINC000960274711 716476368 /nfs/dbraw/zinc/47/63/68/716476368.db2.gz DKSHEUDSTSYNHV-KZNAEPCWSA-N 1 2 311.429 1.476 20 30 DDEDLO C#CC[NH2+][C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1CCCCN1C ZINC000960274711 716476370 /nfs/dbraw/zinc/47/63/70/716476370.db2.gz DKSHEUDSTSYNHV-KZNAEPCWSA-N 1 2 311.429 1.476 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000941508217 717189153 /nfs/dbraw/zinc/18/91/53/717189153.db2.gz PVDUAHCMLCBCLF-UHFFFAOYSA-N 1 2 318.421 1.546 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccoc3C3CC3)CC2)C1 ZINC000941534216 717197413 /nfs/dbraw/zinc/19/74/13/717197413.db2.gz PFKBZIHRPJVPNX-UHFFFAOYSA-N 1 2 313.401 1.232 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C(C3CC3)C3CC3)CC2)C1 ZINC000941634434 717238088 /nfs/dbraw/zinc/23/80/88/717238088.db2.gz GHKZLMLMQJRTGC-UHFFFAOYSA-N 1 2 315.461 1.274 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cncnc2C)C1 ZINC000965352827 717628297 /nfs/dbraw/zinc/62/82/97/717628297.db2.gz XJBGENJBRUDTLO-IINYFYTJSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cncnc2C)C1 ZINC000965352827 717628300 /nfs/dbraw/zinc/62/83/00/717628300.db2.gz XJBGENJBRUDTLO-IINYFYTJSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC000965927952 717819134 /nfs/dbraw/zinc/81/91/34/717819134.db2.gz ZZFSOBUKPDHJIZ-BXKDBHETSA-N 1 2 311.817 1.195 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC000965927952 717819138 /nfs/dbraw/zinc/81/91/38/717819138.db2.gz ZZFSOBUKPDHJIZ-BXKDBHETSA-N 1 2 311.817 1.195 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@@H]2C)C1 ZINC000966557217 718588267 /nfs/dbraw/zinc/58/82/67/718588267.db2.gz PAXVZBZKESKTCJ-UONOGXRCSA-N 1 2 316.405 1.203 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000966661588 718619811 /nfs/dbraw/zinc/61/98/11/718619811.db2.gz FUGKYGJKXRYDRJ-YPMHNXCESA-N 1 2 304.394 1.128 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC000967945233 719098606 /nfs/dbraw/zinc/09/86/06/719098606.db2.gz UXJDPPBCIIOVND-WDEREUQCSA-N 1 2 305.382 1.217 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccon3)[C@H](C)C2)cn1 ZINC000947947907 719315688 /nfs/dbraw/zinc/31/56/88/719315688.db2.gz KKSZQABONJLAJL-CZUORRHYSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccon3)[C@H](C)C2)cn1 ZINC000947947907 719315691 /nfs/dbraw/zinc/31/56/91/719315691.db2.gz KKSZQABONJLAJL-CZUORRHYSA-N 1 2 324.384 1.834 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnn3c2cccc3OC)CC1 ZINC000948607842 719583888 /nfs/dbraw/zinc/58/38/88/719583888.db2.gz ZIAQVDKEGROMRF-UHFFFAOYSA-N 1 2 300.362 1.287 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnnn1CC ZINC000948915499 719783263 /nfs/dbraw/zinc/78/32/63/719783263.db2.gz ABCKVBIHQYAWRM-MRXNPFEDSA-N 1 2 323.400 1.260 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnnn1CC ZINC000948915499 719783266 /nfs/dbraw/zinc/78/32/66/719783266.db2.gz ABCKVBIHQYAWRM-MRXNPFEDSA-N 1 2 323.400 1.260 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccncn1 ZINC000948974759 719819022 /nfs/dbraw/zinc/81/90/22/719819022.db2.gz KNJRXHKCAQSVRT-SFHVURJKSA-N 1 2 320.396 1.829 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccncn1 ZINC000948974759 719819028 /nfs/dbraw/zinc/81/90/28/719819028.db2.gz KNJRXHKCAQSVRT-SFHVURJKSA-N 1 2 320.396 1.829 20 30 DDEDLO C#CC[N@H+]1CCCCC[C@@H]1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000968856670 719827065 /nfs/dbraw/zinc/82/70/65/719827065.db2.gz MFUJKVCGNKWHTK-HUUCEWRRSA-N 1 2 314.433 1.824 20 30 DDEDLO C#CC[N@@H+]1CCCCC[C@@H]1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000968856670 719827073 /nfs/dbraw/zinc/82/70/73/719827073.db2.gz MFUJKVCGNKWHTK-HUUCEWRRSA-N 1 2 314.433 1.824 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cccc(-n3ccnc3)n2)CC1 ZINC000949019437 719846994 /nfs/dbraw/zinc/84/69/94/719846994.db2.gz QTTHSCCHKNRMMT-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C(C)=C3CCC3)CC2)C1 ZINC000949362181 720040424 /nfs/dbraw/zinc/04/04/24/720040424.db2.gz BVJHNXUPYLEEBR-UHFFFAOYSA-N 1 2 302.418 1.813 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C(C)=C3CCC3)CC2)C1 ZINC000949362181 720040426 /nfs/dbraw/zinc/04/04/26/720040426.db2.gz BVJHNXUPYLEEBR-UHFFFAOYSA-N 1 2 302.418 1.813 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3CC4(CC4)C3)CC2)C1 ZINC000949470793 720110222 /nfs/dbraw/zinc/11/02/22/720110222.db2.gz JNBABDIBFSSHPS-UHFFFAOYSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3CC4(CC4)C3)CC2)C1 ZINC000949470793 720110223 /nfs/dbraw/zinc/11/02/23/720110223.db2.gz JNBABDIBFSSHPS-UHFFFAOYSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCO[C@@H](C)C3)CC2)C1 ZINC000949499383 720125038 /nfs/dbraw/zinc/12/50/38/720125038.db2.gz OLXBDZJVFHRYEU-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCO[C@@H](C)C3)CC2)C1 ZINC000949499383 720125039 /nfs/dbraw/zinc/12/50/39/720125039.db2.gz OLXBDZJVFHRYEU-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@]3(C)CC)CC2)C1 ZINC000949504426 720129362 /nfs/dbraw/zinc/12/93/62/720129362.db2.gz SCRGBBYFDSYUEW-WBVHZDCISA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@]3(C)CC)CC2)C1 ZINC000949504426 720129364 /nfs/dbraw/zinc/12/93/64/720129364.db2.gz SCRGBBYFDSYUEW-WBVHZDCISA-N 1 2 304.434 1.749 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@H]2COCCN2C2CCCC2)CC1 ZINC000949820971 720363881 /nfs/dbraw/zinc/36/38/81/720363881.db2.gz GQRVNGGWAKIDDR-QGZVFWFLSA-N 1 2 321.465 1.350 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(NC(C)=O)cn2)C1 ZINC000950476705 720650252 /nfs/dbraw/zinc/65/02/52/720650252.db2.gz LGHXTOQRWODEKT-UHFFFAOYSA-N 1 2 314.389 1.210 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC000970261901 720682679 /nfs/dbraw/zinc/68/26/79/720682679.db2.gz RJPSOUZHBUJPBX-MRVPVSSYSA-N 1 2 322.718 1.985 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@@H]2CCc3[nH+]c(C)[nH]c3C2)C1 ZINC000950662695 720725268 /nfs/dbraw/zinc/72/52/68/720725268.db2.gz MERZCZTZEAXGEX-CQSZACIVSA-N 1 2 314.433 1.379 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cn(CC3CC3)nn2)C1 ZINC000970652020 720870126 /nfs/dbraw/zinc/87/01/26/720870126.db2.gz NVWCPDZFSDYUJU-NSHDSACASA-N 1 2 323.828 1.491 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]1CNC(=O)c1cccc2[nH+]ccn21 ZINC000951061636 720902578 /nfs/dbraw/zinc/90/25/78/720902578.db2.gz QXJPKEXRVZMLFZ-CQSZACIVSA-N 1 2 322.368 1.078 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C2C[NH+](Cc3ccn(C)n3)C2)cn1 ZINC000970767394 720928361 /nfs/dbraw/zinc/92/83/61/720928361.db2.gz QUYKNJJAENQHRS-ZDUSSCGKSA-N 1 2 323.400 1.047 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2nc3c(o2)CCCC3)C1 ZINC000971211384 721181281 /nfs/dbraw/zinc/18/12/81/721181281.db2.gz QUBWICQKAKOAHE-STQMWFEESA-N 1 2 316.405 1.746 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2nc3c(o2)CCCC3)C1 ZINC000971211384 721181283 /nfs/dbraw/zinc/18/12/83/721181283.db2.gz QUBWICQKAKOAHE-STQMWFEESA-N 1 2 316.405 1.746 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)no1 ZINC000971365041 721259637 /nfs/dbraw/zinc/25/96/37/721259637.db2.gz ARLUEAVLKXISTB-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)no1 ZINC000971365041 721259640 /nfs/dbraw/zinc/25/96/40/721259640.db2.gz ARLUEAVLKXISTB-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H](O)C(C)C)C1 ZINC000971482489 721315019 /nfs/dbraw/zinc/31/50/19/721315019.db2.gz SMKDFUOROSTCOK-VXGBXAGGSA-N 1 2 319.243 1.445 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@H](O)C(C)C)C1 ZINC000971482489 721315022 /nfs/dbraw/zinc/31/50/22/721315022.db2.gz SMKDFUOROSTCOK-VXGBXAGGSA-N 1 2 319.243 1.445 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971510093 721329615 /nfs/dbraw/zinc/32/96/15/721329615.db2.gz BBQNKSXLNWCABW-GXTWGEPZSA-N 1 2 316.405 1.639 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971510093 721329619 /nfs/dbraw/zinc/32/96/19/721329619.db2.gz BBQNKSXLNWCABW-GXTWGEPZSA-N 1 2 316.405 1.639 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC000952380957 721429355 /nfs/dbraw/zinc/42/93/55/721429355.db2.gz QKCHRHNSUWEMQC-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC[NH+]1CCN(c2nc(SC)ncc2C(=O)OCC)CC1 ZINC001165033706 721863764 /nfs/dbraw/zinc/86/37/64/721863764.db2.gz WANKMVPAQIZAFK-UHFFFAOYSA-N 1 2 322.434 1.683 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCCOC3)C2)C1 ZINC000972618210 735360278 /nfs/dbraw/zinc/36/02/78/735360278.db2.gz XGUZPNJPECKISD-FUHWJXTLSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCCOC3)C2)C1 ZINC000972618210 735360280 /nfs/dbraw/zinc/36/02/80/735360280.db2.gz XGUZPNJPECKISD-FUHWJXTLSA-N 1 2 320.433 1.130 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)Cn2cccn2)C1 ZINC001006744600 738506662 /nfs/dbraw/zinc/50/66/62/738506662.db2.gz IQASACUWBNPKEP-QGZVFWFLSA-N 1 2 323.400 1.536 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)Cn2cccn2)C1 ZINC001006744600 738506665 /nfs/dbraw/zinc/50/66/65/738506665.db2.gz IQASACUWBNPKEP-QGZVFWFLSA-N 1 2 323.400 1.536 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc(C(C)C)nc1C ZINC001038772326 738544734 /nfs/dbraw/zinc/54/47/34/738544734.db2.gz IFEBCUSCQIKMMN-CQSZACIVSA-N 1 2 300.406 1.736 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc(C(C)C)nc1C ZINC001038772326 738544737 /nfs/dbraw/zinc/54/47/37/738544737.db2.gz IFEBCUSCQIKMMN-CQSZACIVSA-N 1 2 300.406 1.736 20 30 DDEDLO Cn1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)s2)c1 ZINC001038147869 738569633 /nfs/dbraw/zinc/56/96/33/738569633.db2.gz AFVBMVUTDDBYJP-CYBMUJFWSA-N 1 2 314.414 1.963 20 30 DDEDLO Cn1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)s2)c1 ZINC001038147869 738569635 /nfs/dbraw/zinc/56/96/35/738569635.db2.gz AFVBMVUTDDBYJP-CYBMUJFWSA-N 1 2 314.414 1.963 20 30 DDEDLO Cc1nsc(NC[C@@H](C)CNC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001104225772 732490830 /nfs/dbraw/zinc/49/08/30/732490830.db2.gz BPXPUXCZISZYAO-VIFPVBQESA-N 1 2 318.406 1.453 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098525757 732546939 /nfs/dbraw/zinc/54/69/39/732546939.db2.gz AFXWAWRKLJXDCI-BBRMVZONSA-N 1 2 304.394 1.373 20 30 DDEDLO N#CCNCC1(CCNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001167167063 732796025 /nfs/dbraw/zinc/79/60/25/732796025.db2.gz FDDONXNAOMHZAQ-GFCCVEGCSA-N 1 2 301.394 1.229 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H](C)CNc1cc[nH+]c(C)n1 ZINC001104243645 732815852 /nfs/dbraw/zinc/81/58/52/732815852.db2.gz VATAAYLZIIVVNO-YUELXQCFSA-N 1 2 318.421 1.930 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c(F)c3F)[C@H]2C1 ZINC001083202395 733137601 /nfs/dbraw/zinc/13/76/01/733137601.db2.gz QJRQCGZDXCPDGJ-UONOGXRCSA-N 1 2 320.339 1.432 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c(F)c3F)[C@H]2C1 ZINC001083202395 733137605 /nfs/dbraw/zinc/13/76/05/733137605.db2.gz QJRQCGZDXCPDGJ-UONOGXRCSA-N 1 2 320.339 1.432 20 30 DDEDLO Cn1ccc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001027832933 738709362 /nfs/dbraw/zinc/70/93/62/738709362.db2.gz IWBFCQLJLLLAMJ-CQSZACIVSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1ccc(C[N@H+]2CCC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001027832933 738709365 /nfs/dbraw/zinc/70/93/65/738709365.db2.gz IWBFCQLJLLLAMJ-CQSZACIVSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1ccc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001027834259 738710674 /nfs/dbraw/zinc/71/06/74/738710674.db2.gz ZLFGBQZMQJWSAL-OAHLLOKOSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1ccc(C[N@H+]2CCC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001027834259 738710675 /nfs/dbraw/zinc/71/06/75/738710675.db2.gz ZLFGBQZMQJWSAL-OAHLLOKOSA-N 1 2 312.377 1.014 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@@H](C)[C@H](CCNCC#N)C2)c[nH+]1 ZINC001104345242 733595642 /nfs/dbraw/zinc/59/56/42/733595642.db2.gz FBCNQDPYNSAILS-UKRRQHHQSA-N 1 2 317.437 1.524 20 30 DDEDLO Cn1cc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2ccccc2C#N)nn1 ZINC001027860065 738744512 /nfs/dbraw/zinc/74/45/12/738744512.db2.gz CWFMVZZATMFYOD-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cc(C(=O)NC[C@H]2CCC[N@H+]2Cc2ccccc2C#N)nn1 ZINC001027860065 738744513 /nfs/dbraw/zinc/74/45/13/738744513.db2.gz CWFMVZZATMFYOD-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@H]2CCc3c[nH+]cn3C2)C[C@H]1CCNCC#N ZINC001104367187 734387154 /nfs/dbraw/zinc/38/71/54/734387154.db2.gz KGELTDABSMZSPV-KFWWJZLASA-N 1 2 315.421 1.043 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3csc(C(C)C)n3)[C@H]2C1 ZINC001083225166 734486890 /nfs/dbraw/zinc/48/68/90/734486890.db2.gz JIBUDRHCFCTPRT-UONOGXRCSA-N 1 2 319.430 1.425 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3csc(C(C)C)n3)[C@H]2C1 ZINC001083225166 734486892 /nfs/dbraw/zinc/48/68/92/734486892.db2.gz JIBUDRHCFCTPRT-UONOGXRCSA-N 1 2 319.430 1.425 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4sccc4[nH]3)[C@H]2C1 ZINC001083239481 734677432 /nfs/dbraw/zinc/67/74/32/734677432.db2.gz DCMKCGWZOZRWAR-UONOGXRCSA-N 1 2 315.398 1.388 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4sccc4[nH]3)[C@H]2C1 ZINC001083239481 734677434 /nfs/dbraw/zinc/67/74/34/734677434.db2.gz DCMKCGWZOZRWAR-UONOGXRCSA-N 1 2 315.398 1.388 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)Cc3[nH]cc[nH+]3)CCCC2)nc1 ZINC001104401918 734700328 /nfs/dbraw/zinc/70/03/28/734700328.db2.gz KYMYLCSLESNAED-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3oc(CC)cc3C)[C@H]2C1 ZINC001083249124 734809825 /nfs/dbraw/zinc/80/98/25/734809825.db2.gz OLIPIZLYBPPIDE-JKSUJKDBSA-N 1 2 316.401 1.699 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3oc(CC)cc3C)[C@H]2C1 ZINC001083249124 734809828 /nfs/dbraw/zinc/80/98/28/734809828.db2.gz OLIPIZLYBPPIDE-JKSUJKDBSA-N 1 2 316.401 1.699 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1COc2cc(F)ccc2C1 ZINC001038243219 734856096 /nfs/dbraw/zinc/85/60/96/734856096.db2.gz BASBQJXNFAPIGD-ZFWWWQNUSA-N 1 2 302.349 1.201 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1COc2cc(F)ccc2C1 ZINC001038243219 734856100 /nfs/dbraw/zinc/85/61/00/734856100.db2.gz BASBQJXNFAPIGD-ZFWWWQNUSA-N 1 2 302.349 1.201 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3C[C@H]3OCCCC)n2C)CC1 ZINC001121350668 782467255 /nfs/dbraw/zinc/46/72/55/782467255.db2.gz JIWZMZFIBKSJHL-LSDHHAIUSA-N 1 2 317.437 1.243 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc2n1[C@@H](C)CCC2 ZINC001038277522 735250233 /nfs/dbraw/zinc/25/02/33/735250233.db2.gz IEEVJAWNSCVMRC-UONOGXRCSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc2n1[C@@H](C)CCC2 ZINC001038277522 735250238 /nfs/dbraw/zinc/25/02/38/735250238.db2.gz IEEVJAWNSCVMRC-UONOGXRCSA-N 1 2 300.406 1.608 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cnn(C)c1N ZINC001024406377 735806330 /nfs/dbraw/zinc/80/63/30/735806330.db2.gz RUMDESZUSDTMNE-NSHDSACASA-N 1 2 311.817 1.339 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cnn(C)c1N ZINC001024406377 735806335 /nfs/dbraw/zinc/80/63/35/735806335.db2.gz RUMDESZUSDTMNE-NSHDSACASA-N 1 2 311.817 1.339 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024391450 735809461 /nfs/dbraw/zinc/80/94/61/735809461.db2.gz VYTLEGXQKJPULO-STQMWFEESA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024391450 735809464 /nfs/dbraw/zinc/80/94/64/735809464.db2.gz VYTLEGXQKJPULO-STQMWFEESA-N 1 2 313.829 1.236 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)CCCCC(C)C)C2)nn1 ZINC001105347907 738933075 /nfs/dbraw/zinc/93/30/75/738933075.db2.gz RBZFLJDKWNAHLG-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)c2cocn2)C1 ZINC001006939277 736290852 /nfs/dbraw/zinc/29/08/52/736290852.db2.gz NELXJWDHJUPLIG-OAHLLOKOSA-N 1 2 310.357 1.941 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)c2cocn2)C1 ZINC001006939277 736290853 /nfs/dbraw/zinc/29/08/53/736290853.db2.gz NELXJWDHJUPLIG-OAHLLOKOSA-N 1 2 310.357 1.941 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3sccc3C)C2)nn1 ZINC001105141884 737575098 /nfs/dbraw/zinc/57/50/98/737575098.db2.gz CLHSAAOQPVCADH-UHFFFAOYSA-N 1 2 315.402 1.068 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C=C(CC)CC)C2)nn1 ZINC001105209810 737612595 /nfs/dbraw/zinc/61/25/95/737612595.db2.gz PYHJHAWUJHQAKH-UHFFFAOYSA-N 1 2 301.394 1.131 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C=C(CC)CC)C2)nn1 ZINC001105210866 737618163 /nfs/dbraw/zinc/61/81/63/737618163.db2.gz AJVUVJABAAFEMM-UHFFFAOYSA-N 1 2 315.421 1.521 20 30 DDEDLO CC[C@@H](F)C[NH2+]Cc1cn(C2CN(C(=O)C#CC(C)C)C2)nn1 ZINC001105237864 737643200 /nfs/dbraw/zinc/64/32/00/737643200.db2.gz AHVBDSUQRPQNEV-CYBMUJFWSA-N 1 2 321.400 1.159 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]([N@@H+](C)Cc2cn(C)nn2)C1 ZINC001027522817 738374813 /nfs/dbraw/zinc/37/48/13/738374813.db2.gz CDXUBENEBLJNQR-AWEZNQCLSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]([N@H+](C)Cc2cn(C)nn2)C1 ZINC001027522817 738374816 /nfs/dbraw/zinc/37/48/16/738374816.db2.gz CDXUBENEBLJNQR-AWEZNQCLSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2[C@H](C)CC)C1 ZINC001107977966 751399616 /nfs/dbraw/zinc/39/96/16/751399616.db2.gz YKTFZOWAUJBLNP-PBHICJAKSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2[C@H](C)CC)C1 ZINC001107977966 751399622 /nfs/dbraw/zinc/39/96/22/751399622.db2.gz YKTFZOWAUJBLNP-PBHICJAKSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1COCCN1CC ZINC001027984054 738908204 /nfs/dbraw/zinc/90/82/04/738908204.db2.gz TXAAWCQLBVNYLJ-KGLIPLIRSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1COCCN1CC ZINC001027984054 738908205 /nfs/dbraw/zinc/90/82/05/738908205.db2.gz TXAAWCQLBVNYLJ-KGLIPLIRSA-N 1 2 315.845 1.040 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cnns3)C2)cc1 ZINC001010315984 739012408 /nfs/dbraw/zinc/01/24/08/739012408.db2.gz CTRHAGMQYSYAFP-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cnns3)C2)cc1 ZINC001010315984 739012409 /nfs/dbraw/zinc/01/24/09/739012409.db2.gz CTRHAGMQYSYAFP-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]2CNC(=O)c2ncn[nH]2)cc1 ZINC001028211769 739165662 /nfs/dbraw/zinc/16/56/62/739165662.db2.gz VTFNTZBYKVNABD-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]2CNC(=O)c2nc[nH]n2)cc1 ZINC001028211769 739165665 /nfs/dbraw/zinc/16/56/65/739165665.db2.gz VTFNTZBYKVNABD-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2nc[nH]n2)cc1 ZINC001028211769 739165667 /nfs/dbraw/zinc/16/56/67/739165667.db2.gz VTFNTZBYKVNABD-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1snnc1C(C)C ZINC001028220548 739187528 /nfs/dbraw/zinc/18/75/28/739187528.db2.gz XUOMXLKUAYIBNR-GFCCVEGCSA-N 1 2 306.435 1.879 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1snnc1C(C)C ZINC001028220548 739187530 /nfs/dbraw/zinc/18/75/30/739187530.db2.gz XUOMXLKUAYIBNR-GFCCVEGCSA-N 1 2 306.435 1.879 20 30 DDEDLO CCc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)cs1 ZINC001075616371 739188626 /nfs/dbraw/zinc/18/86/26/739188626.db2.gz QXVODXIPIGTEJH-MBNYWOFBSA-N 1 2 318.446 1.898 20 30 DDEDLO CCc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)cs1 ZINC001075616371 739188629 /nfs/dbraw/zinc/18/86/29/739188629.db2.gz QXVODXIPIGTEJH-MBNYWOFBSA-N 1 2 318.446 1.898 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2sc(C3CC3)nc2C)C1 ZINC001035360524 751446833 /nfs/dbraw/zinc/44/68/33/751446833.db2.gz FEGMITZJIHWWAX-ZDUSSCGKSA-N 1 2 321.446 1.946 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2sc(C3CC3)nc2C)C1 ZINC001035360524 751446837 /nfs/dbraw/zinc/44/68/37/751446837.db2.gz FEGMITZJIHWWAX-ZDUSSCGKSA-N 1 2 321.446 1.946 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2n[nH]c(CC)c2Cl)C1 ZINC001035398237 751467970 /nfs/dbraw/zinc/46/79/70/751467970.db2.gz OSRKGNPVYFHGDQ-NSHDSACASA-N 1 2 324.812 1.079 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2n[nH]c(CC)c2Cl)C1 ZINC001035398237 751467974 /nfs/dbraw/zinc/46/79/74/751467974.db2.gz OSRKGNPVYFHGDQ-NSHDSACASA-N 1 2 324.812 1.079 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001083345902 739721141 /nfs/dbraw/zinc/72/11/41/739721141.db2.gz HTDCINBOMZUCND-CABCVRRESA-N 1 2 305.353 1.015 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001083345902 739721143 /nfs/dbraw/zinc/72/11/43/739721143.db2.gz HTDCINBOMZUCND-CABCVRRESA-N 1 2 305.353 1.015 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C)c2CCC)C1 ZINC001035428159 751486488 /nfs/dbraw/zinc/48/64/88/751486488.db2.gz UQNSTAAPFBYUQA-CQSZACIVSA-N 1 2 320.437 1.379 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn(C)c2CCC)C1 ZINC001035428159 751486490 /nfs/dbraw/zinc/48/64/90/751486490.db2.gz UQNSTAAPFBYUQA-CQSZACIVSA-N 1 2 320.437 1.379 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2nccc3occc32)C1 ZINC001035452291 751500150 /nfs/dbraw/zinc/50/01/50/751500150.db2.gz BCFYHYSOFLWQLX-CYBMUJFWSA-N 1 2 315.373 1.835 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2nccc3occc32)C1 ZINC001035452291 751500154 /nfs/dbraw/zinc/50/01/54/751500154.db2.gz BCFYHYSOFLWQLX-CYBMUJFWSA-N 1 2 315.373 1.835 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[C@H]3C[N@H+](Cc4ccon4)C[C@H]32)c[nH]1 ZINC001075710060 740067265 /nfs/dbraw/zinc/06/72/65/740067265.db2.gz WGIQEOIAUHDNRI-XHDPSFHLSA-N 1 2 311.345 1.221 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[C@H]3C[N@@H+](Cc4ccon4)C[C@H]32)c[nH]1 ZINC001075710060 740067269 /nfs/dbraw/zinc/06/72/69/740067269.db2.gz WGIQEOIAUHDNRI-XHDPSFHLSA-N 1 2 311.345 1.221 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(COC)cs2)C1 ZINC001035431799 751508227 /nfs/dbraw/zinc/50/82/27/751508227.db2.gz KVTKJBTWDFBLJI-CYBMUJFWSA-N 1 2 310.419 1.511 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(COC)cs2)C1 ZINC001035431799 751508230 /nfs/dbraw/zinc/50/82/30/751508230.db2.gz KVTKJBTWDFBLJI-CYBMUJFWSA-N 1 2 310.419 1.511 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@@H](C)C3CC3)C2)nn1 ZINC001105379268 740101563 /nfs/dbraw/zinc/10/15/63/740101563.db2.gz TVWKMEFMECQJFI-CYBMUJFWSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2nc3c(s2)CCC3)C1 ZINC001035446297 751523357 /nfs/dbraw/zinc/52/33/57/751523357.db2.gz ZTTDUUPXQHUCMJ-GFCCVEGCSA-N 1 2 319.430 1.086 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2nc3c(s2)CCC3)C1 ZINC001035446297 751523361 /nfs/dbraw/zinc/52/33/61/751523361.db2.gz ZTTDUUPXQHUCMJ-GFCCVEGCSA-N 1 2 319.430 1.086 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)[nH]cc1NC(C)=O ZINC001038427734 740351617 /nfs/dbraw/zinc/35/16/17/740351617.db2.gz ANOXVYRZDWDNMF-ZDUSSCGKSA-N 1 2 302.378 1.109 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)[nH]cc1NC(C)=O ZINC001038427734 740351619 /nfs/dbraw/zinc/35/16/19/740351619.db2.gz ANOXVYRZDWDNMF-ZDUSSCGKSA-N 1 2 302.378 1.109 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#Cc1ccc(F)cc1 ZINC001029177798 740411554 /nfs/dbraw/zinc/41/15/54/740411554.db2.gz LYAGLTZBBOHWSS-CALCHBBNSA-N 1 2 316.376 1.499 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#Cc1ccc(F)cc1 ZINC001029177798 740411559 /nfs/dbraw/zinc/41/15/59/740411559.db2.gz LYAGLTZBBOHWSS-CALCHBBNSA-N 1 2 316.376 1.499 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3cc(F)ccc3[nH]2)C1 ZINC001035462976 751549051 /nfs/dbraw/zinc/54/90/51/751549051.db2.gz AOSABQMYCPXPHE-AWEZNQCLSA-N 1 2 317.364 1.924 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc3cc(F)ccc3[nH]2)C1 ZINC001035462976 751549057 /nfs/dbraw/zinc/54/90/57/751549057.db2.gz AOSABQMYCPXPHE-AWEZNQCLSA-N 1 2 317.364 1.924 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@H](NC(=O)Cc3c[nH+]cn3C)C2)n1 ZINC001059262190 740506588 /nfs/dbraw/zinc/50/65/88/740506588.db2.gz XZHNJOIJPOSZPY-HDJSIYSDSA-N 1 2 324.388 1.297 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccccn2)c[nH]1 ZINC001029277580 740515054 /nfs/dbraw/zinc/51/50/54/740515054.db2.gz ZUWKFDUEGUKJIX-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccccn2)c[nH]1 ZINC001029277580 740515056 /nfs/dbraw/zinc/51/50/56/740515056.db2.gz ZUWKFDUEGUKJIX-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@]3(C)C=CCC3)C2)nn1 ZINC001098700286 740529599 /nfs/dbraw/zinc/52/95/99/740529599.db2.gz IBJBIQWDVBEZAE-DOTOQJQBSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3[C@@H](C)C(=O)N(C)C)CC1 ZINC001032605695 751566610 /nfs/dbraw/zinc/56/66/10/751566610.db2.gz GNJZTSJUAONSCW-BPUTZDHNSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3[C@@H](C)C(=O)N(C)C)CC1 ZINC001032605695 751566613 /nfs/dbraw/zinc/56/66/13/751566613.db2.gz GNJZTSJUAONSCW-BPUTZDHNSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1C[N@H+](CC=C(C)C)CCO1 ZINC001035543385 751590342 /nfs/dbraw/zinc/59/03/42/751590342.db2.gz URLNXVKPMFZKGL-IKGGRYGDSA-N 1 2 322.449 1.751 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1C[N@@H+](CC=C(C)C)CCO1 ZINC001035543385 751590344 /nfs/dbraw/zinc/59/03/44/751590344.db2.gz URLNXVKPMFZKGL-IKGGRYGDSA-N 1 2 322.449 1.751 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)s1 ZINC001029679244 741220324 /nfs/dbraw/zinc/22/03/24/741220324.db2.gz YGRNIOCPMVYPBS-PWSUYJOCSA-N 1 2 307.423 1.287 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)s1 ZINC001029679244 741220328 /nfs/dbraw/zinc/22/03/28/741220328.db2.gz YGRNIOCPMVYPBS-PWSUYJOCSA-N 1 2 307.423 1.287 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn(CCC)c2)C1 ZINC001035535178 751617159 /nfs/dbraw/zinc/61/71/59/751617159.db2.gz MZOQAJBRDFORKD-OAHLLOKOSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn(CCC)c2)C1 ZINC001035535178 751617161 /nfs/dbraw/zinc/61/71/61/751617161.db2.gz MZOQAJBRDFORKD-OAHLLOKOSA-N 1 2 306.410 1.300 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)c2ccccn2)c1 ZINC001037993949 751634315 /nfs/dbraw/zinc/63/43/15/751634315.db2.gz LPXDRDMLZRWFRX-INIZCTEOSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)c2ccccn2)c1 ZINC001037993949 751634321 /nfs/dbraw/zinc/63/43/21/751634321.db2.gz LPXDRDMLZRWFRX-INIZCTEOSA-N 1 2 306.369 1.958 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001059847736 741791334 /nfs/dbraw/zinc/79/13/34/741791334.db2.gz QGOQGBRYTQOAAC-BETUJISGSA-N 1 2 310.361 1.287 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccn(CC)n2)[C@H]1C ZINC001088579901 741896932 /nfs/dbraw/zinc/89/69/32/741896932.db2.gz HSUJSKSBPICSRQ-OCCSQVGLSA-N 1 2 310.829 1.777 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccn(CC)n2)[C@H]1C ZINC001088579901 741896936 /nfs/dbraw/zinc/89/69/36/741896936.db2.gz HSUJSKSBPICSRQ-OCCSQVGLSA-N 1 2 310.829 1.777 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccnc2OCC)C1 ZINC001035592487 751687320 /nfs/dbraw/zinc/68/73/20/751687320.db2.gz LMZJVZJNQJLXHA-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccnc2OCC)C1 ZINC001035592487 751687324 /nfs/dbraw/zinc/68/73/24/751687324.db2.gz LMZJVZJNQJLXHA-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C)c2C2CC2)C1 ZINC001035618912 751690202 /nfs/dbraw/zinc/69/02/02/751690202.db2.gz QOQVFAXUEHKDOB-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C)c2C2CC2)C1 ZINC001035618912 751690207 /nfs/dbraw/zinc/69/02/07/751690207.db2.gz QOQVFAXUEHKDOB-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C(=O)N1CC[N@@H+](Cc2ccccc2)C[C@H]1CC(=O)OC ZINC001142511615 742139626 /nfs/dbraw/zinc/13/96/26/742139626.db2.gz PBDKRRRPYHZRDA-MRXNPFEDSA-N 1 2 316.401 1.839 20 30 DDEDLO C=C(C)C(=O)N1CC[N@H+](Cc2ccccc2)C[C@H]1CC(=O)OC ZINC001142511615 742139629 /nfs/dbraw/zinc/13/96/29/742139629.db2.gz PBDKRRRPYHZRDA-MRXNPFEDSA-N 1 2 316.401 1.839 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2scnc2Cl)C1 ZINC001035608037 751713694 /nfs/dbraw/zinc/71/36/94/751713694.db2.gz FPUIWBCAMSLXSZ-JTQLQIEISA-N 1 2 315.826 1.803 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2scnc2Cl)C1 ZINC001035608037 751713697 /nfs/dbraw/zinc/71/36/97/751713697.db2.gz FPUIWBCAMSLXSZ-JTQLQIEISA-N 1 2 315.826 1.803 20 30 DDEDLO CCCCc1noc([C@@H](C)[NH2+]CCNC(=O)C#CC(C)C)n1 ZINC001126833838 742329807 /nfs/dbraw/zinc/32/98/07/742329807.db2.gz FIFCFEONGUCYKM-CYBMUJFWSA-N 1 2 306.410 1.838 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)nsc2C)C1 ZINC001035615777 751721641 /nfs/dbraw/zinc/72/16/41/751721641.db2.gz BACPZDZASYSCPS-ZDUSSCGKSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)nsc2C)C1 ZINC001035615777 751721644 /nfs/dbraw/zinc/72/16/44/751721644.db2.gz BACPZDZASYSCPS-ZDUSSCGKSA-N 1 2 307.419 1.214 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccn3nnnc3c2)[C@H]1C ZINC001088791371 742377311 /nfs/dbraw/zinc/37/73/11/742377311.db2.gz DZWCKSNZOLKCHT-PWSUYJOCSA-N 1 2 320.784 1.069 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccn3nnnc3c2)[C@H]1C ZINC001088791371 742377314 /nfs/dbraw/zinc/37/73/14/742377314.db2.gz DZWCKSNZOLKCHT-PWSUYJOCSA-N 1 2 320.784 1.069 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001076691089 742913098 /nfs/dbraw/zinc/91/30/98/742913098.db2.gz IUUZLJXMEMLNCJ-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCC[C@H](C)NC(=O)C#CC1CC1 ZINC001076712235 742933354 /nfs/dbraw/zinc/93/33/54/742933354.db2.gz VXORNKJVWSCYGR-OLZOCXBDSA-N 1 2 316.405 1.013 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCC[C@H](C)NC(=O)C#CC1CC1 ZINC001076712235 742933360 /nfs/dbraw/zinc/93/33/60/742933360.db2.gz VXORNKJVWSCYGR-OLZOCXBDSA-N 1 2 316.405 1.013 20 30 DDEDLO Cc1cc(C[NH+]2CC3(C2)CCN(C(=O)[C@@H](C)C#N)CC3)no1 ZINC001035672432 751778212 /nfs/dbraw/zinc/77/82/12/751778212.db2.gz HNVWVPCGZVSWHL-LBPRGKRZSA-N 1 2 302.378 1.567 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)N(CC(C)C)C2)C1 ZINC001042835015 743015162 /nfs/dbraw/zinc/01/51/62/743015162.db2.gz KCCGOIBRFIZZMC-CQSZACIVSA-N 1 2 307.438 1.210 20 30 DDEDLO CC1(C)C[C@@H]1C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076886025 743074533 /nfs/dbraw/zinc/07/45/33/743074533.db2.gz VKORJXCJNLXADC-BRWVUGGUSA-N 1 2 312.413 1.246 20 30 DDEDLO CC1(C)C[C@@H]1C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076886025 743074540 /nfs/dbraw/zinc/07/45/40/743074540.db2.gz VKORJXCJNLXADC-BRWVUGGUSA-N 1 2 312.413 1.246 20 30 DDEDLO CC#CC[NH+]1CC2(C1)CCN(C(=O)C(F)C(F)(F)F)CC2 ZINC001035709473 751796325 /nfs/dbraw/zinc/79/63/25/751796325.db2.gz HEDROHOYJRMOQG-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(C3CC3)nc2C)C1 ZINC001076987404 743152156 /nfs/dbraw/zinc/15/21/56/743152156.db2.gz PMBCIWRAXPKQFU-CHWSQXEVSA-N 1 2 319.430 1.127 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(C3CC3)nc2C)C1 ZINC001076987404 743152161 /nfs/dbraw/zinc/15/21/61/743152161.db2.gz PMBCIWRAXPKQFU-CHWSQXEVSA-N 1 2 319.430 1.127 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(CCC)s2)C1 ZINC001077071246 743213249 /nfs/dbraw/zinc/21/32/49/743213249.db2.gz QLGRARCTYWXBFL-ZIAGYGMSSA-N 1 2 306.431 1.499 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(CCC)s2)C1 ZINC001077071246 743213255 /nfs/dbraw/zinc/21/32/55/743213255.db2.gz QLGRARCTYWXBFL-ZIAGYGMSSA-N 1 2 306.431 1.499 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NCC[NH2+][C@@H](C)c1nnc(C)o1 ZINC001128190023 743220543 /nfs/dbraw/zinc/22/05/43/743220543.db2.gz ICGYKYWJMNGJGW-QMMMGPOBSA-N 1 2 300.790 1.924 20 30 DDEDLO C[C@H](c1ncccn1)[NH+]1CCC(NC(=O)c2cc(C#N)c[nH]2)CC1 ZINC001002551060 743231997 /nfs/dbraw/zinc/23/19/97/743231997.db2.gz KFGUQKOXBQZSJF-GFCCVEGCSA-N 1 2 324.388 1.632 20 30 DDEDLO N#Cc1cnccc1N[C@H]1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061107688 743257692 /nfs/dbraw/zinc/25/76/92/743257692.db2.gz NXPWXFODPGJOFL-KBPBESRZSA-N 1 2 324.388 1.180 20 30 DDEDLO C=CCO[C@H](C)C(=O)N(C)C1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001126962922 743302110 /nfs/dbraw/zinc/30/21/10/743302110.db2.gz CYYATCHQFXPKQC-LMWSTFAQSA-N 1 2 304.394 1.777 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)CCCOC)CC2)C1 ZINC001105691835 743336064 /nfs/dbraw/zinc/33/60/64/743336064.db2.gz YFJJQHOUYVCWLU-UHFFFAOYSA-N 1 2 310.438 1.681 20 30 DDEDLO CCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001089981173 743368711 /nfs/dbraw/zinc/36/87/11/743368711.db2.gz PKRBPUCFPPTIRW-CABCVRRESA-N 1 2 305.353 1.159 20 30 DDEDLO CCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001089981173 743368720 /nfs/dbraw/zinc/36/87/20/743368720.db2.gz PKRBPUCFPPTIRW-CABCVRRESA-N 1 2 305.353 1.159 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001181890960 743380705 /nfs/dbraw/zinc/38/07/05/743380705.db2.gz VRQNVOUETIBGBS-CYBMUJFWSA-N 1 2 308.382 1.051 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)[C@@H]3CCCO3)C2)s1 ZINC001006673410 751824849 /nfs/dbraw/zinc/82/48/49/751824849.db2.gz ULQKKGIDNUUQEU-DOMZBBRYSA-N 1 2 319.430 1.879 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)[C@@H]3CCCO3)C2)s1 ZINC001006673410 751824857 /nfs/dbraw/zinc/82/48/57/751824857.db2.gz ULQKKGIDNUUQEU-DOMZBBRYSA-N 1 2 319.430 1.879 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H](CC)OC)c2C1 ZINC001128274754 743444473 /nfs/dbraw/zinc/44/44/73/743444473.db2.gz VZIONDDORZKRCA-HNNXBMFYSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H](CC)OC)c2C1 ZINC001128274754 743444476 /nfs/dbraw/zinc/44/44/76/743444476.db2.gz VZIONDDORZKRCA-HNNXBMFYSA-N 1 2 306.410 1.316 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nnc(CC)o2)C1 ZINC001182215625 743532050 /nfs/dbraw/zinc/53/20/50/743532050.db2.gz XSJNLCBXYXEWCC-CHWSQXEVSA-N 1 2 304.394 1.687 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001098253234 743626762 /nfs/dbraw/zinc/62/67/62/743626762.db2.gz YTIYAJHUOXRQNJ-NSHDSACASA-N 1 2 318.425 1.519 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001108053965 743633013 /nfs/dbraw/zinc/63/30/13/743633013.db2.gz WRXDIBLUYYDFGM-GOSISDBHSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001108053965 743633016 /nfs/dbraw/zinc/63/30/16/743633016.db2.gz WRXDIBLUYYDFGM-GOSISDBHSA-N 1 2 315.417 1.966 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(CCCC)no1 ZINC001127051661 743676260 /nfs/dbraw/zinc/67/62/60/743676260.db2.gz KXMGVMWGPYFSSO-CHWSQXEVSA-N 1 2 322.409 1.217 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)[C@@H](O)C1 ZINC001083664100 743706182 /nfs/dbraw/zinc/70/61/82/743706182.db2.gz DMJBUHKDNDDVHZ-NEPJUHHUSA-N 1 2 310.756 1.196 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)[C@@H](O)C1 ZINC001083664100 743706185 /nfs/dbraw/zinc/70/61/85/743706185.db2.gz DMJBUHKDNDDVHZ-NEPJUHHUSA-N 1 2 310.756 1.196 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001105719580 743757867 /nfs/dbraw/zinc/75/78/67/743757867.db2.gz LOHMTQKIGVWHHW-KBPBESRZSA-N 1 2 310.438 1.129 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105721382 743759151 /nfs/dbraw/zinc/75/91/51/743759151.db2.gz ZZQSBLSQNXDIIW-HNNXBMFYSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](COC(C)=O)c2ccccc2)CC1 ZINC001183108140 743781670 /nfs/dbraw/zinc/78/16/70/743781670.db2.gz OFLQJJIAZCNPKF-QGZVFWFLSA-N 1 2 316.401 1.664 20 30 DDEDLO Cc1cc(NC[C@H](O)CNC(=O)C#CC(C)C)nc(C(C)C)[nH+]1 ZINC001105811394 743926707 /nfs/dbraw/zinc/92/67/07/743926707.db2.gz AILSXWUNCLUWGS-AWEZNQCLSA-N 1 2 318.421 1.457 20 30 DDEDLO C#CC[N@@H+](C)Cc1cn(C)nc1CN1C(=O)c2ccccc2C1=O ZINC001184115817 743978882 /nfs/dbraw/zinc/97/88/82/743978882.db2.gz PMOHTTXYBLZDMI-UHFFFAOYSA-N 1 2 322.368 1.281 20 30 DDEDLO C#CC[N@H+](C)Cc1cn(C)nc1CN1C(=O)c2ccccc2C1=O ZINC001184115817 743978884 /nfs/dbraw/zinc/97/88/84/743978884.db2.gz PMOHTTXYBLZDMI-UHFFFAOYSA-N 1 2 322.368 1.281 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001107985954 751887388 /nfs/dbraw/zinc/88/73/88/751887388.db2.gz VVXYAVZJBRBYOL-JSGCOSHPSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001107985954 751887394 /nfs/dbraw/zinc/88/73/94/751887394.db2.gz VVXYAVZJBRBYOL-JSGCOSHPSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2c(C)oc3nc[nH]c(=O)c32)C1 ZINC001030444120 744163253 /nfs/dbraw/zinc/16/32/53/744163253.db2.gz GKGJLNJHXLUTPN-UHFFFAOYSA-N 1 2 302.334 1.227 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C=C(C)C)C2)nn1 ZINC001185864645 744302152 /nfs/dbraw/zinc/30/21/52/744302152.db2.gz RUFSANONWCKFNN-INIZCTEOSA-N 1 2 315.421 1.521 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)/C(C)=C\C)C2)nn1 ZINC001185915420 744313971 /nfs/dbraw/zinc/31/39/71/744313971.db2.gz FJMNKPZDTLIRCG-ZVRDQUNJSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c3c(nn2C)CCC3)[C@H]1C ZINC001088976648 744421689 /nfs/dbraw/zinc/42/16/89/744421689.db2.gz IILGRIIXBXUWNJ-YPMHNXCESA-N 1 2 322.840 1.854 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c3c(nn2C)CCC3)[C@H]1C ZINC001088976648 744421692 /nfs/dbraw/zinc/42/16/92/744421692.db2.gz IILGRIIXBXUWNJ-YPMHNXCESA-N 1 2 322.840 1.854 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)C[C@@H]2CC[C@H](C(F)(F)F)O2)C1 ZINC001030712074 744509264 /nfs/dbraw/zinc/50/92/64/744509264.db2.gz FXQLCURRNHXCJB-NWDGAFQWSA-N 1 2 306.328 1.863 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001187240699 744523480 /nfs/dbraw/zinc/52/34/80/744523480.db2.gz XHWUDHCQHKZHSL-HZSPNIEDSA-N 1 2 303.410 1.375 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001187240699 744523485 /nfs/dbraw/zinc/52/34/85/744523485.db2.gz XHWUDHCQHKZHSL-HZSPNIEDSA-N 1 2 303.410 1.375 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2C[N@H+](Cc3cncc(F)c3)C[C@H]21 ZINC001187813562 744611454 /nfs/dbraw/zinc/61/14/54/744611454.db2.gz RPRKUJMCOQZQTD-DOTOQJQBSA-N 1 2 315.392 1.913 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2C[N@@H+](Cc3cncc(F)c3)C[C@H]21 ZINC001187813562 744611456 /nfs/dbraw/zinc/61/14/56/744611456.db2.gz RPRKUJMCOQZQTD-DOTOQJQBSA-N 1 2 315.392 1.913 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046049126 744678462 /nfs/dbraw/zinc/67/84/62/744678462.db2.gz KDGQUYBAAKJBPH-HNNXBMFYSA-N 1 2 304.438 1.331 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)CCOC)C1 ZINC001188572725 744723907 /nfs/dbraw/zinc/72/39/07/744723907.db2.gz MNSGAELOVMHVJR-LLVKDONJSA-N 1 2 305.216 1.464 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)CCOC)C1 ZINC001188572725 744723909 /nfs/dbraw/zinc/72/39/09/744723909.db2.gz MNSGAELOVMHVJR-LLVKDONJSA-N 1 2 305.216 1.464 20 30 DDEDLO C=C1CCC(C(=O)NC2C[NH+](C[C@@H]3CCc4ncnn43)C2)CC1 ZINC001030903617 744803588 /nfs/dbraw/zinc/80/35/88/744803588.db2.gz NZBMOFNDNDSMNN-HNNXBMFYSA-N 1 2 315.421 1.312 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([N@H+](C)CC(=O)Nc2nccs2)C1 ZINC001189280605 744858414 /nfs/dbraw/zinc/85/84/14/744858414.db2.gz UXUXAZFTAIFJNL-LBPRGKRZSA-N 1 2 322.434 1.581 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([N@@H+](C)CC(=O)Nc2nccs2)C1 ZINC001189280605 744858417 /nfs/dbraw/zinc/85/84/17/744858417.db2.gz UXUXAZFTAIFJNL-LBPRGKRZSA-N 1 2 322.434 1.581 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2nc(C)no2)C1 ZINC001189370164 744877905 /nfs/dbraw/zinc/87/79/05/744877905.db2.gz IDDPRDOQCCOFJU-DGCLKSJQSA-N 1 2 308.382 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2nc(C)no2)C1 ZINC001189370164 744877906 /nfs/dbraw/zinc/87/79/06/744877906.db2.gz IDDPRDOQCCOFJU-DGCLKSJQSA-N 1 2 308.382 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2nc(C)no2)C1 ZINC001189370165 744878016 /nfs/dbraw/zinc/87/80/16/744878016.db2.gz IDDPRDOQCCOFJU-WCQYABFASA-N 1 2 308.382 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2nc(C)no2)C1 ZINC001189370165 744878020 /nfs/dbraw/zinc/87/80/20/744878020.db2.gz IDDPRDOQCCOFJU-WCQYABFASA-N 1 2 308.382 1.174 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@H]12 ZINC000992469814 744898550 /nfs/dbraw/zinc/89/85/50/744898550.db2.gz ZETIFAAYERMQEM-DOTOQJQBSA-N 1 2 309.373 1.318 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@H]12 ZINC000992469814 744898553 /nfs/dbraw/zinc/89/85/53/744898553.db2.gz ZETIFAAYERMQEM-DOTOQJQBSA-N 1 2 309.373 1.318 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)cn2)C1 ZINC001189917506 745031359 /nfs/dbraw/zinc/03/13/59/745031359.db2.gz HKZOTXXQDFDHFF-RHSMWYFYSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)cn2)C1 ZINC001189917506 745031365 /nfs/dbraw/zinc/03/13/65/745031365.db2.gz HKZOTXXQDFDHFF-RHSMWYFYSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001189918732 745033829 /nfs/dbraw/zinc/03/38/29/745033829.db2.gz TUCFIMNGNBOXJP-YOEHRIQHSA-N 1 2 321.421 1.651 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001189918732 745033835 /nfs/dbraw/zinc/03/38/35/745033835.db2.gz TUCFIMNGNBOXJP-YOEHRIQHSA-N 1 2 321.421 1.651 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001189918345 745034439 /nfs/dbraw/zinc/03/44/39/745034439.db2.gz PLHHNKPETOALGJ-GDBMZVCRSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001189918345 745034451 /nfs/dbraw/zinc/03/44/51/745034451.db2.gz PLHHNKPETOALGJ-GDBMZVCRSA-N 1 2 307.394 1.343 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(CC)n2)C1 ZINC001189925337 745037757 /nfs/dbraw/zinc/03/77/57/745037757.db2.gz AJKMUFNLRGKKTN-INIZCTEOSA-N 1 2 302.422 1.739 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2ccn(CC)n2)C1 ZINC001189925337 745037766 /nfs/dbraw/zinc/03/77/66/745037766.db2.gz AJKMUFNLRGKKTN-INIZCTEOSA-N 1 2 302.422 1.739 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001190053525 745092330 /nfs/dbraw/zinc/09/23/30/745092330.db2.gz JTDADVYCRZVBNB-ZDUSSCGKSA-N 1 2 306.435 1.539 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001190053525 745092339 /nfs/dbraw/zinc/09/23/39/745092339.db2.gz JTDADVYCRZVBNB-ZDUSSCGKSA-N 1 2 306.435 1.539 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001190094121 745097373 /nfs/dbraw/zinc/09/73/73/745097373.db2.gz HXFKRMVPHYSFEN-HUUCEWRRSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001190094121 745097378 /nfs/dbraw/zinc/09/73/78/745097378.db2.gz HXFKRMVPHYSFEN-HUUCEWRRSA-N 1 2 319.405 1.362 20 30 DDEDLO CC(C)C[C@H](NC(=O)c1ccccc1-n1cc[nH+]c1)C(=O)NO ZINC001190112071 745118009 /nfs/dbraw/zinc/11/80/09/745118009.db2.gz CNFPAXVWJUKYJR-ZDUSSCGKSA-N 1 2 316.361 1.522 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CCC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007026186 751989379 /nfs/dbraw/zinc/98/93/79/751989379.db2.gz BYZNVKMGVBPLAN-OCCSQVGLSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CCC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007026186 751989380 /nfs/dbraw/zinc/98/93/80/751989380.db2.gz BYZNVKMGVBPLAN-OCCSQVGLSA-N 1 2 324.388 1.632 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001190722972 745305599 /nfs/dbraw/zinc/30/55/99/745305599.db2.gz AETBHEMRDYHNCR-IAGOWNOFSA-N 1 2 300.402 1.246 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001190722972 745305603 /nfs/dbraw/zinc/30/56/03/745305603.db2.gz AETBHEMRDYHNCR-IAGOWNOFSA-N 1 2 300.402 1.246 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2OCC[C@@H]2c2ccccc2)C1 ZINC001031087033 745346132 /nfs/dbraw/zinc/34/61/32/745346132.db2.gz MKFHUYDNGBOVLP-IAGOWNOFSA-N 1 2 300.402 1.936 20 30 DDEDLO C=CCC1(S(=O)(=O)NCC2CC[NH+](C3COC3)CC2)CC1 ZINC001190845217 745349381 /nfs/dbraw/zinc/34/93/81/745349381.db2.gz KWXQBCFQEVNKPH-UHFFFAOYSA-N 1 2 314.451 1.125 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191106300 745425006 /nfs/dbraw/zinc/42/50/06/745425006.db2.gz XLLHJAVLFJMGLX-LERXQTSPSA-N 1 2 307.419 1.327 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191106300 745425009 /nfs/dbraw/zinc/42/50/09/745425009.db2.gz XLLHJAVLFJMGLX-LERXQTSPSA-N 1 2 307.419 1.327 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[C@@H]([N@H+](C)Cc2ccon2)C1 ZINC001191576646 745542133 /nfs/dbraw/zinc/54/21/33/745542133.db2.gz LXEIMMYVEPCHCW-WBVHZDCISA-N 1 2 321.421 1.936 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccon2)C1 ZINC001191576646 745542139 /nfs/dbraw/zinc/54/21/39/745542139.db2.gz LXEIMMYVEPCHCW-WBVHZDCISA-N 1 2 321.421 1.936 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001191867482 745634628 /nfs/dbraw/zinc/63/46/28/745634628.db2.gz BYBQGGZRAKUQLD-KBPBESRZSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001191867482 745634629 /nfs/dbraw/zinc/63/46/29/745634629.db2.gz BYBQGGZRAKUQLD-KBPBESRZSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001191912044 745638104 /nfs/dbraw/zinc/63/81/04/745638104.db2.gz MHVCLQAXBDHCFR-LSDHHAIUSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001191912044 745638108 /nfs/dbraw/zinc/63/81/08/745638108.db2.gz MHVCLQAXBDHCFR-LSDHHAIUSA-N 1 2 319.453 1.933 20 30 DDEDLO C[C@H](CCNc1cccc(F)c1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001106427085 745687251 /nfs/dbraw/zinc/68/72/51/745687251.db2.gz YAEJNCFJSWQHLU-LLVKDONJSA-N 1 2 315.352 1.970 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2nnn(C)c2C)[C@H]1C ZINC000993171167 745704486 /nfs/dbraw/zinc/70/44/86/745704486.db2.gz ABOWADMRFOYOSN-PWSUYJOCSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2nnn(C)c2C)[C@H]1C ZINC000993171167 745704489 /nfs/dbraw/zinc/70/44/89/745704489.db2.gz ABOWADMRFOYOSN-PWSUYJOCSA-N 1 2 311.817 1.459 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)C[C@H]1CNCC#N ZINC001106655732 745878007 /nfs/dbraw/zinc/87/80/07/745878007.db2.gz ARDOXKFSOBPYIG-UPJWGTAASA-N 1 2 301.394 1.037 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@H]1O ZINC001192751670 745893349 /nfs/dbraw/zinc/89/33/49/745893349.db2.gz FXXXJBQQGUHFNA-HZPDHXFCSA-N 1 2 320.820 1.661 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@H]1O ZINC001192751670 745893351 /nfs/dbraw/zinc/89/33/51/745893351.db2.gz FXXXJBQQGUHFNA-HZPDHXFCSA-N 1 2 320.820 1.661 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnc(C)cn2)C[C@H]1O ZINC001193400435 746092504 /nfs/dbraw/zinc/09/25/04/746092504.db2.gz PFFZIGICDDDNLW-NUEKZKHPSA-N 1 2 318.421 1.364 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnc(C)cn2)C[C@H]1O ZINC001193400435 746092505 /nfs/dbraw/zinc/09/25/05/746092505.db2.gz PFFZIGICDDDNLW-NUEKZKHPSA-N 1 2 318.421 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CN(Cc3cc(OC)cc[nH+]3)C2)nc1 ZINC001031277468 746120769 /nfs/dbraw/zinc/12/07/69/746120769.db2.gz HPXICNTZDSLFKZ-UHFFFAOYSA-N 1 2 322.368 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccnc(OC)n2)[C@H]1C ZINC000993589012 746240163 /nfs/dbraw/zinc/24/01/63/746240163.db2.gz NEKYBFNRWRRXBY-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccnc(OC)n2)[C@H]1C ZINC000993589012 746240165 /nfs/dbraw/zinc/24/01/65/746240165.db2.gz NEKYBFNRWRRXBY-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001194396448 746365809 /nfs/dbraw/zinc/36/58/09/746365809.db2.gz CYQUDUHQUJNITB-ZBFHGGJFSA-N 1 2 320.437 1.352 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001194396448 746365815 /nfs/dbraw/zinc/36/58/15/746365815.db2.gz CYQUDUHQUJNITB-ZBFHGGJFSA-N 1 2 320.437 1.352 20 30 DDEDLO CC1(CC(=O)N[C@@H]2C[N@H+](Cc3ccc(C#N)s3)C[C@H]2O)CC1 ZINC001194815231 746466997 /nfs/dbraw/zinc/46/69/97/746466997.db2.gz DQAFJVRPVGXDEZ-ZIAGYGMSSA-N 1 2 319.430 1.471 20 30 DDEDLO CC1(CC(=O)N[C@@H]2C[N@@H+](Cc3ccc(C#N)s3)C[C@H]2O)CC1 ZINC001194815231 746466999 /nfs/dbraw/zinc/46/69/99/746466999.db2.gz DQAFJVRPVGXDEZ-ZIAGYGMSSA-N 1 2 319.430 1.471 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@H]1O ZINC001195270618 746561107 /nfs/dbraw/zinc/56/11/07/746561107.db2.gz GZICUMJABYPAPZ-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@H]1O ZINC001195270618 746561111 /nfs/dbraw/zinc/56/11/11/746561111.db2.gz GZICUMJABYPAPZ-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO CCC(CC)NC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195321175 746564138 /nfs/dbraw/zinc/56/41/38/746564138.db2.gz DYBNMYGTGYYNOW-UONOGXRCSA-N 1 2 322.453 1.374 20 30 DDEDLO CCC(CC)NC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195321175 746564139 /nfs/dbraw/zinc/56/41/39/746564139.db2.gz DYBNMYGTGYYNOW-UONOGXRCSA-N 1 2 322.453 1.374 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cnn3cc[nH]c23)[C@@H]1C ZINC000994301178 746583853 /nfs/dbraw/zinc/58/38/53/746583853.db2.gz QFXLFFFSZLPKEW-WCQYABFASA-N 1 2 321.812 1.998 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cnn3cc[nH]c23)[C@@H]1C ZINC000994301178 746583857 /nfs/dbraw/zinc/58/38/57/746583857.db2.gz QFXLFFFSZLPKEW-WCQYABFASA-N 1 2 321.812 1.998 20 30 DDEDLO CCCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195755091 746687513 /nfs/dbraw/zinc/68/75/13/746687513.db2.gz XMGLYFAAZSXZOH-OAHLLOKOSA-N 1 2 307.438 1.095 20 30 DDEDLO CCCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195755091 746687515 /nfs/dbraw/zinc/68/75/15/746687515.db2.gz XMGLYFAAZSXZOH-OAHLLOKOSA-N 1 2 307.438 1.095 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC001007374401 752115141 /nfs/dbraw/zinc/11/51/41/752115141.db2.gz VHFHNNXXRCQHPP-CQSZACIVSA-N 1 2 310.829 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC001007374401 752115144 /nfs/dbraw/zinc/11/51/44/752115144.db2.gz VHFHNNXXRCQHPP-CQSZACIVSA-N 1 2 310.829 1.779 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195780990 746702692 /nfs/dbraw/zinc/70/26/92/746702692.db2.gz OLVQBYZFHHQTCO-CQSZACIVSA-N 1 2 319.449 1.237 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195780990 746702696 /nfs/dbraw/zinc/70/26/96/746702696.db2.gz OLVQBYZFHHQTCO-CQSZACIVSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cnoc2C)CC1 ZINC001195791976 746705730 /nfs/dbraw/zinc/70/57/30/746705730.db2.gz MXOCWGKPVZEZNX-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cnoc2C)CC1 ZINC001195791976 746705733 /nfs/dbraw/zinc/70/57/33/746705733.db2.gz MXOCWGKPVZEZNX-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC[C@H]1CCC(C)(C)CN1CC#N ZINC001099324370 746844931 /nfs/dbraw/zinc/84/49/31/746844931.db2.gz LAUAKUSUYGYMCR-OAHLLOKOSA-N 1 2 317.437 1.483 20 30 DDEDLO CC(C)[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001196560344 746907210 /nfs/dbraw/zinc/90/72/10/746907210.db2.gz PVQWDPTZNWKLPC-NILFDRSVSA-N 1 2 321.446 1.573 20 30 DDEDLO CC(C)[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001196560344 746907212 /nfs/dbraw/zinc/90/72/12/746907212.db2.gz PVQWDPTZNWKLPC-NILFDRSVSA-N 1 2 321.446 1.573 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC001108164448 752132988 /nfs/dbraw/zinc/13/29/88/752132988.db2.gz WZGFABBTHXRPRO-KRWDZBQOSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC001108164448 752132992 /nfs/dbraw/zinc/13/29/92/752132992.db2.gz WZGFABBTHXRPRO-KRWDZBQOSA-N 1 2 303.406 1.899 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001196725149 746945685 /nfs/dbraw/zinc/94/56/85/746945685.db2.gz VGVNHHYHYBHFIW-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001196725149 746945689 /nfs/dbraw/zinc/94/56/89/746945689.db2.gz VGVNHHYHYBHFIW-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)[C@@H]3CCOC3)C2)c(F)c1 ZINC001031571180 747067870 /nfs/dbraw/zinc/06/78/70/747067870.db2.gz GYXGIWLZJSNRNV-OAHLLOKOSA-N 1 2 317.364 1.282 20 30 DDEDLO Cc1nc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)co1 ZINC001031627746 747249290 /nfs/dbraw/zinc/24/92/90/747249290.db2.gz QFDSFIVEQQUJCC-UHFFFAOYSA-N 1 2 309.369 1.696 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2nccn2C)CC1 ZINC001003650360 747348667 /nfs/dbraw/zinc/34/86/67/747348667.db2.gz RCFZRJJEDREVGN-CABCVRRESA-N 1 2 318.421 1.092 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC000998745513 752191995 /nfs/dbraw/zinc/19/19/95/752191995.db2.gz IJFBVHVNKORNOV-NWDGAFQWSA-N 1 2 319.409 1.607 20 30 DDEDLO CC1(C)CC[C@@H](CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)N(CC#N)C1 ZINC001089654321 747583005 /nfs/dbraw/zinc/58/30/05/747583005.db2.gz XLDJGIYDTXBOKP-BFHYXJOUSA-N 1 2 315.421 1.644 20 30 DDEDLO CC1(C)CC[C@@H](CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)N(CC#N)C1 ZINC001089654321 747583007 /nfs/dbraw/zinc/58/30/07/747583007.db2.gz XLDJGIYDTXBOKP-BFHYXJOUSA-N 1 2 315.421 1.644 20 30 DDEDLO CCc1nc(N2CC=C(CNC(=O)[C@@H](C)C#N)CC2)cc(C)[nH+]1 ZINC001127587978 747685595 /nfs/dbraw/zinc/68/55/95/747685595.db2.gz YNNNZLWWIQAVAK-LBPRGKRZSA-N 1 2 313.405 1.760 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)[C@@H]2CCc3n[nH]nc3C2)CC1 ZINC001003956992 747803321 /nfs/dbraw/zinc/80/33/21/747803321.db2.gz DEFUSIXCQFOKRS-LLVKDONJSA-N 1 2 323.828 1.243 20 30 DDEDLO C[C@H]1C[C@@H](C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)CO1 ZINC001031789802 747852467 /nfs/dbraw/zinc/85/24/67/747852467.db2.gz PJWOLERBAXOGKD-SUMWQHHRSA-N 1 2 313.401 1.531 20 30 DDEDLO CCn1nncc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)C)C2 ZINC001110534014 747870133 /nfs/dbraw/zinc/87/01/33/747870133.db2.gz OXKBRBMQEWWTOS-BMFZPTHFSA-N 1 2 315.421 1.179 20 30 DDEDLO CCn1nncc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)C)C2 ZINC001110534014 747870142 /nfs/dbraw/zinc/87/01/42/747870142.db2.gz OXKBRBMQEWWTOS-BMFZPTHFSA-N 1 2 315.421 1.179 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108062796 747929110 /nfs/dbraw/zinc/92/91/10/747929110.db2.gz FHXSNQFNXQMGRR-NEPJUHHUSA-N 1 2 316.409 1.882 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)C1 ZINC001077567797 747938113 /nfs/dbraw/zinc/93/81/13/747938113.db2.gz LTEPYJOSHZFMEE-DDHJBXDOSA-N 1 2 324.833 1.300 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)C1 ZINC001077567797 747938118 /nfs/dbraw/zinc/93/81/18/747938118.db2.gz LTEPYJOSHZFMEE-DDHJBXDOSA-N 1 2 324.833 1.300 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001033081238 748216224 /nfs/dbraw/zinc/21/62/24/748216224.db2.gz DYUMCRKDAAIWIJ-RYUDHWBXSA-N 1 2 307.419 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001033081238 748216229 /nfs/dbraw/zinc/21/62/29/748216229.db2.gz DYUMCRKDAAIWIJ-RYUDHWBXSA-N 1 2 307.419 1.630 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncc(Cl)s2)C1 ZINC001108069438 748302054 /nfs/dbraw/zinc/30/20/54/748302054.db2.gz DDYUTBVLBFMGMW-CYBMUJFWSA-N 1 2 315.826 1.803 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncc(Cl)s2)C1 ZINC001108069438 748302061 /nfs/dbraw/zinc/30/20/61/748302061.db2.gz DDYUTBVLBFMGMW-CYBMUJFWSA-N 1 2 315.826 1.803 20 30 DDEDLO CC[N@H+](Cc1cscn1)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152794202 748305946 /nfs/dbraw/zinc/30/59/46/748305946.db2.gz LZRBOJQXCKRQMZ-LLVKDONJSA-N 1 2 317.418 1.983 20 30 DDEDLO CC[N@@H+](Cc1cscn1)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152794202 748305954 /nfs/dbraw/zinc/30/59/54/748305954.db2.gz LZRBOJQXCKRQMZ-LLVKDONJSA-N 1 2 317.418 1.983 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)O1 ZINC001031988073 748364860 /nfs/dbraw/zinc/36/48/60/748364860.db2.gz JSILRULNFUUPLD-CXAGYDPISA-N 1 2 313.401 1.674 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)CC2(O)CCC2)CC1 ZINC001004432084 748426538 /nfs/dbraw/zinc/42/65/38/748426538.db2.gz BTYVJVWULVBTFA-HNNXBMFYSA-N 1 2 305.422 1.518 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)CC2(O)CCC2)CC1 ZINC001004432084 748426541 /nfs/dbraw/zinc/42/65/41/748426541.db2.gz BTYVJVWULVBTFA-HNNXBMFYSA-N 1 2 305.422 1.518 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C2(F)CCOCC2)C1 ZINC001033131881 748486517 /nfs/dbraw/zinc/48/65/17/748486517.db2.gz KOKPWGYVPMJJKZ-GFCCVEGCSA-N 1 2 304.793 1.790 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C2(F)CCOCC2)C1 ZINC001033131881 748486518 /nfs/dbraw/zinc/48/65/18/748486518.db2.gz KOKPWGYVPMJJKZ-GFCCVEGCSA-N 1 2 304.793 1.790 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1ccncn1 ZINC001032059658 748530811 /nfs/dbraw/zinc/53/08/11/748530811.db2.gz MYEHJTOXEDOQPN-UHFFFAOYSA-N 1 2 324.359 1.329 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2scnc2C(C)(C)C)[C@@H](O)C1 ZINC001083844021 748629559 /nfs/dbraw/zinc/62/95/59/748629559.db2.gz LFYMKXLGRJSKPT-MNOVXSKESA-N 1 2 309.435 1.402 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2scnc2C(C)(C)C)[C@@H](O)C1 ZINC001083844021 748629562 /nfs/dbraw/zinc/62/95/62/748629562.db2.gz LFYMKXLGRJSKPT-MNOVXSKESA-N 1 2 309.435 1.402 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129238556 752300891 /nfs/dbraw/zinc/30/08/91/752300891.db2.gz CPASKDWWFUQQFO-VYDXJSESSA-N 1 2 315.421 1.245 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129238556 752300901 /nfs/dbraw/zinc/30/09/01/752300901.db2.gz CPASKDWWFUQQFO-VYDXJSESSA-N 1 2 315.421 1.245 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)oc1C ZINC001153306711 748951667 /nfs/dbraw/zinc/95/16/67/748951667.db2.gz OZLOVBBUMXVNIL-CYBMUJFWSA-N 1 2 321.421 1.658 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)oc1C ZINC001153306711 748951669 /nfs/dbraw/zinc/95/16/69/748951669.db2.gz OZLOVBBUMXVNIL-CYBMUJFWSA-N 1 2 321.421 1.658 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110755504 748984160 /nfs/dbraw/zinc/98/41/60/748984160.db2.gz QXHXJTALJRMEMK-CVEARBPZSA-N 1 2 304.394 1.197 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110755505 748984287 /nfs/dbraw/zinc/98/42/87/748984287.db2.gz QXHXJTALJRMEMK-HOTGVXAUSA-N 1 2 304.394 1.197 20 30 DDEDLO C[C@]1(NC(=O)Cc2[nH]cc[nH+]2)CCN(c2ccc(C#N)nc2)C1 ZINC001110779147 749004522 /nfs/dbraw/zinc/00/45/22/749004522.db2.gz WAZZYLHCZYKTPJ-INIZCTEOSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)[nH]nc2C(C)C)C1 ZINC001108333941 761930275 /nfs/dbraw/zinc/93/02/75/761930275.db2.gz XUOZWLKZCAVLLG-KRWDZBQOSA-N 1 2 320.437 1.848 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)[nH]nc2C(C)C)C1 ZINC001108333941 761930278 /nfs/dbraw/zinc/93/02/78/761930278.db2.gz XUOZWLKZCAVLLG-KRWDZBQOSA-N 1 2 320.437 1.848 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001108333941 761930281 /nfs/dbraw/zinc/93/02/81/761930281.db2.gz XUOZWLKZCAVLLG-KRWDZBQOSA-N 1 2 320.437 1.848 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001108333941 761930284 /nfs/dbraw/zinc/93/02/84/761930284.db2.gz XUOZWLKZCAVLLG-KRWDZBQOSA-N 1 2 320.437 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cccc(=O)n2C)C1 ZINC001033410634 749304474 /nfs/dbraw/zinc/30/44/74/749304474.db2.gz NGFHTDWJQXCTCI-GFCCVEGCSA-N 1 2 309.797 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cccc(=O)n2C)C1 ZINC001033410634 749304481 /nfs/dbraw/zinc/30/44/81/749304481.db2.gz NGFHTDWJQXCTCI-GFCCVEGCSA-N 1 2 309.797 1.284 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(N(C)C(=O)c2cnns2)CC1 ZINC001005149779 749330866 /nfs/dbraw/zinc/33/08/66/749330866.db2.gz UWYDYQGLLAUMBE-UHFFFAOYSA-N 1 2 300.815 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2ccnc(OC)c2)C1 ZINC001033425518 749342876 /nfs/dbraw/zinc/34/28/76/749342876.db2.gz JVEVXLLCCNNDOI-CQSZACIVSA-N 1 2 323.824 1.918 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2ccnc(OC)c2)C1 ZINC001033425518 749342883 /nfs/dbraw/zinc/34/28/83/749342883.db2.gz JVEVXLLCCNNDOI-CQSZACIVSA-N 1 2 323.824 1.918 20 30 DDEDLO CN(C(=O)C(C)(C)C)[C@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047606470 749354725 /nfs/dbraw/zinc/35/47/25/749354725.db2.gz HNRGOPBCEFITFD-KBPBESRZSA-N 1 2 321.446 1.669 20 30 DDEDLO CN(C(=O)C(C)(C)C)[C@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047606470 749354731 /nfs/dbraw/zinc/35/47/31/749354731.db2.gz HNRGOPBCEFITFD-KBPBESRZSA-N 1 2 321.446 1.669 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001033483304 749409859 /nfs/dbraw/zinc/40/98/59/749409859.db2.gz GZMCILBZNLJSJE-CHWSQXEVSA-N 1 2 319.430 1.774 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001033483304 749409866 /nfs/dbraw/zinc/40/98/66/749409866.db2.gz GZMCILBZNLJSJE-CHWSQXEVSA-N 1 2 319.430 1.774 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095365973 749603280 /nfs/dbraw/zinc/60/32/80/749603280.db2.gz DOKCXZIDFQBXHE-ZDUSSCGKSA-N 1 2 316.405 1.156 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cncnc1 ZINC001039364230 761965967 /nfs/dbraw/zinc/96/59/67/761965967.db2.gz JHRKOLMHPMUXRZ-KGLIPLIRSA-N 1 2 306.797 1.908 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cncnc1 ZINC001039364230 761965970 /nfs/dbraw/zinc/96/59/70/761965970.db2.gz JHRKOLMHPMUXRZ-KGLIPLIRSA-N 1 2 306.797 1.908 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(F)CCCCC3)nn2)C1 ZINC001107226336 749641111 /nfs/dbraw/zinc/64/11/11/749641111.db2.gz QHXMQAORAZEHQG-UHFFFAOYSA-N 1 2 321.400 1.609 20 30 DDEDLO CN(C(=O)c1ccn(C)n1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033654084 749657266 /nfs/dbraw/zinc/65/72/66/749657266.db2.gz AWGXOIIDBFTIOO-INIZCTEOSA-N 1 2 323.400 1.638 20 30 DDEDLO CN(C(=O)c1ccn(C)n1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033654084 749657267 /nfs/dbraw/zinc/65/72/67/749657267.db2.gz AWGXOIIDBFTIOO-INIZCTEOSA-N 1 2 323.400 1.638 20 30 DDEDLO N#Cc1cnc(NC/C=C/CNC(=O)Cc2[nH]cc[nH+]2)c(F)c1 ZINC001107311184 749782295 /nfs/dbraw/zinc/78/22/95/749782295.db2.gz ACMLESZXWUUZEW-OWOJBTEDSA-N 1 2 314.324 1.142 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccccc2OC)C1 ZINC001108360878 761984486 /nfs/dbraw/zinc/98/44/86/761984486.db2.gz UWFGXNGWPXQXCH-KRWDZBQOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccccc2OC)C1 ZINC001108360878 761984490 /nfs/dbraw/zinc/98/44/90/761984490.db2.gz UWFGXNGWPXQXCH-KRWDZBQOSA-N 1 2 304.390 1.702 20 30 DDEDLO CN(CCCNC(=O)CCc1[nH]cc[nH+]1)c1ccncc1C#N ZINC001095532361 750017088 /nfs/dbraw/zinc/01/70/88/750017088.db2.gz UWJUTCZCBOVCDH-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccnc2OCC)C1 ZINC001108374180 762004241 /nfs/dbraw/zinc/00/42/41/762004241.db2.gz INFZLNXKNNLZOF-KRWDZBQOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccnc2OCC)C1 ZINC001108374180 762004251 /nfs/dbraw/zinc/00/42/51/762004251.db2.gz INFZLNXKNNLZOF-KRWDZBQOSA-N 1 2 319.405 1.487 20 30 DDEDLO CCN(C(=O)c1cnon1)[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001033994462 750232974 /nfs/dbraw/zinc/23/29/74/750232974.db2.gz GJHJTBGUSWBZQH-MRXNPFEDSA-N 1 2 324.384 1.658 20 30 DDEDLO CCN(C(=O)c1cnon1)[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001033994462 750232978 /nfs/dbraw/zinc/23/29/78/750232978.db2.gz GJHJTBGUSWBZQH-MRXNPFEDSA-N 1 2 324.384 1.658 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CC)C(=O)c2ccc3c(c2)occc3=O)C1 ZINC001034012236 750250616 /nfs/dbraw/zinc/25/06/16/750250616.db2.gz CZBOVEZFLZRNLC-HNNXBMFYSA-N 1 2 324.380 1.963 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc3c(c2)occc3=O)C1 ZINC001034012236 750250624 /nfs/dbraw/zinc/25/06/24/750250624.db2.gz CZBOVEZFLZRNLC-HNNXBMFYSA-N 1 2 324.380 1.963 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3[C@@H](C)C(N)=O)CC1 ZINC001110987764 750284197 /nfs/dbraw/zinc/28/41/97/750284197.db2.gz DOAXSBAUDZDKCP-DGAVXFQQSA-N 1 2 305.422 1.328 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3[C@@H](C)C(N)=O)CC1 ZINC001110987764 750284200 /nfs/dbraw/zinc/28/42/00/750284200.db2.gz DOAXSBAUDZDKCP-DGAVXFQQSA-N 1 2 305.422 1.328 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)OCCOC)C2 ZINC001111092389 750359926 /nfs/dbraw/zinc/35/99/26/750359926.db2.gz RNOYBXHQLKOSKH-SYQHCUMBSA-N 1 2 316.829 1.512 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)OCCOC)C2 ZINC001111092389 750359943 /nfs/dbraw/zinc/35/99/43/750359943.db2.gz RNOYBXHQLKOSKH-SYQHCUMBSA-N 1 2 316.829 1.512 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)CCCC)[C@@H](n2ccnn2)C1 ZINC001128953529 750473763 /nfs/dbraw/zinc/47/37/63/750473763.db2.gz SNMDWPPCEFEIJM-XHSDSOJGSA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)CCCC)[C@@H](n2ccnn2)C1 ZINC001128953529 750473770 /nfs/dbraw/zinc/47/37/70/750473770.db2.gz SNMDWPPCEFEIJM-XHSDSOJGSA-N 1 2 317.437 1.469 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001034505158 750502384 /nfs/dbraw/zinc/50/23/84/750502384.db2.gz HMYDKCVKGQZMIP-GFCCVEGCSA-N 1 2 321.812 1.999 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001034505158 750502387 /nfs/dbraw/zinc/50/23/87/750502387.db2.gz HMYDKCVKGQZMIP-GFCCVEGCSA-N 1 2 321.812 1.999 20 30 DDEDLO C[C@H](CNc1cnc(C#N)cn1)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001108136914 750662215 /nfs/dbraw/zinc/66/22/15/750662215.db2.gz GESYSRGEBQLDEK-VXGBXAGGSA-N 1 2 313.365 1.113 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cn2cccn2)o1 ZINC001032414848 750681078 /nfs/dbraw/zinc/68/10/78/750681078.db2.gz JZGGRXVYYHQCRI-GJZGRUSLSA-N 1 2 324.384 1.446 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cn2cccn2)o1 ZINC001032414848 750681080 /nfs/dbraw/zinc/68/10/80/750681080.db2.gz JZGGRXVYYHQCRI-GJZGRUSLSA-N 1 2 324.384 1.446 20 30 DDEDLO C=CCOCC(=O)N1CCO[C@@H]([C@@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001114634179 750897466 /nfs/dbraw/zinc/89/74/66/750897466.db2.gz MALZTZLRAUVMAD-TZMCWYRMSA-N 1 2 320.393 1.015 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn2c(n1)CC[C@@H](C)C2 ZINC001032475717 750964160 /nfs/dbraw/zinc/96/41/60/750964160.db2.gz GDCHXUXUYURUOI-ILXRZTDVSA-N 1 2 312.417 1.387 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn2c(n1)CC[C@@H](C)C2 ZINC001032475717 750964165 /nfs/dbraw/zinc/96/41/65/750964165.db2.gz GDCHXUXUYURUOI-ILXRZTDVSA-N 1 2 312.417 1.387 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(CC2CC2)no1 ZINC001034886610 750984069 /nfs/dbraw/zinc/98/40/69/750984069.db2.gz LOKFNWIRNQXLBB-WCQYABFASA-N 1 2 317.393 1.262 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114724080 751030029 /nfs/dbraw/zinc/03/00/29/751030029.db2.gz GAVVAGWYSQWSQR-ZZVYKPCYSA-N 1 2 306.435 1.687 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114724080 751030035 /nfs/dbraw/zinc/03/00/35/751030035.db2.gz GAVVAGWYSQWSQR-ZZVYKPCYSA-N 1 2 306.435 1.687 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114754480 751058640 /nfs/dbraw/zinc/05/86/40/751058640.db2.gz CDPJPFDPZYGOFA-HALDLXJZSA-N 1 2 300.406 1.688 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114754480 751058648 /nfs/dbraw/zinc/05/86/48/751058648.db2.gz CDPJPFDPZYGOFA-HALDLXJZSA-N 1 2 300.406 1.688 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(-c2ccccc2)nn1 ZINC001032495131 751065303 /nfs/dbraw/zinc/06/53/03/751065303.db2.gz REBFTEBHHMGGJN-GJZGRUSLSA-N 1 2 309.373 1.352 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(-c2ccccc2)nn1 ZINC001032495131 751065309 /nfs/dbraw/zinc/06/53/09/751065309.db2.gz REBFTEBHHMGGJN-GJZGRUSLSA-N 1 2 309.373 1.352 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H]1C[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001114775231 751073188 /nfs/dbraw/zinc/07/31/88/751073188.db2.gz HPDTWICRPUEJPR-MRVWCRGKSA-N 1 2 304.394 1.683 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1N1CCCC1 ZINC001032503478 751103985 /nfs/dbraw/zinc/10/39/85/751103985.db2.gz KWDSKJLLNUJZDT-GJZGRUSLSA-N 1 2 310.401 1.214 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1N1CCCC1 ZINC001032503478 751103992 /nfs/dbraw/zinc/10/39/92/751103992.db2.gz KWDSKJLLNUJZDT-GJZGRUSLSA-N 1 2 310.401 1.214 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)/C=C(\C)CC)CC2 ZINC001127984828 751109874 /nfs/dbraw/zinc/10/98/74/751109874.db2.gz RZFGABTVGKSFQQ-YPDDLIOESA-N 1 2 315.421 1.178 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)C(C)(C)CO2 ZINC001032514478 751154716 /nfs/dbraw/zinc/15/47/16/751154716.db2.gz RGQQGMHFRKPSJD-GJZGRUSLSA-N 1 2 310.397 1.889 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)C(C)(C)CO2 ZINC001032514478 751154720 /nfs/dbraw/zinc/15/47/20/751154720.db2.gz RGQQGMHFRKPSJD-GJZGRUSLSA-N 1 2 310.397 1.889 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(=O)c(OC)co1 ZINC001032521349 751182008 /nfs/dbraw/zinc/18/20/08/751182008.db2.gz HPCDWFMRHGUAOK-RYUDHWBXSA-N 1 2 304.346 1.123 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(=O)c(OC)co1 ZINC001032521349 751182012 /nfs/dbraw/zinc/18/20/12/751182012.db2.gz HPCDWFMRHGUAOK-RYUDHWBXSA-N 1 2 304.346 1.123 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+][C@@H](C)c2nnc(CC)o2)CCC1 ZINC001129124640 751298388 /nfs/dbraw/zinc/29/83/88/751298388.db2.gz BJBGYWDZRJNHRW-LBPRGKRZSA-N 1 2 304.394 1.592 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCOc2ccccc21 ZINC001032558812 751309707 /nfs/dbraw/zinc/30/97/07/751309707.db2.gz JKKLCAWBJUNPQL-JYJNAYRXSA-N 1 2 310.397 1.861 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCOc2ccccc21 ZINC001032558812 751309713 /nfs/dbraw/zinc/30/97/13/751309713.db2.gz JKKLCAWBJUNPQL-JYJNAYRXSA-N 1 2 310.397 1.861 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](C)C(=O)NC1CC1 ZINC001032560038 751330448 /nfs/dbraw/zinc/33/04/48/751330448.db2.gz RFJCOROTPJKWLH-SNPRPXQTSA-N 1 2 319.449 1.541 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](C)C(=O)NC1CC1 ZINC001032560038 751330455 /nfs/dbraw/zinc/33/04/55/751330455.db2.gz RFJCOROTPJKWLH-SNPRPXQTSA-N 1 2 319.449 1.541 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001066794991 751332749 /nfs/dbraw/zinc/33/27/49/751332749.db2.gz YISCADJCMUVYCB-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)C1(CC)CC1)CC2 ZINC001128040559 752417138 /nfs/dbraw/zinc/41/71/38/752417138.db2.gz RNESQBVDTZZEFC-ZDUSSCGKSA-N 1 2 315.421 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001008069795 752532416 /nfs/dbraw/zinc/53/24/16/752532416.db2.gz YANXSHSEOOGHEQ-CHWSQXEVSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001008069795 752532420 /nfs/dbraw/zinc/53/24/20/752532420.db2.gz YANXSHSEOOGHEQ-CHWSQXEVSA-N 1 2 313.829 1.236 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC000999130711 752565996 /nfs/dbraw/zinc/56/59/96/752565996.db2.gz XQPMVEIQLURPCJ-CQSZACIVSA-N 1 2 314.389 1.441 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3ccnn3C)C2)cc1 ZINC001008149620 752579913 /nfs/dbraw/zinc/57/99/13/752579913.db2.gz ROMYVMVGKTWNKQ-KRWDZBQOSA-N 1 2 322.412 1.796 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3ccnn3C)C2)cc1 ZINC001008149620 752579917 /nfs/dbraw/zinc/57/99/17/752579917.db2.gz ROMYVMVGKTWNKQ-KRWDZBQOSA-N 1 2 322.412 1.796 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1c(C)noc1C ZINC001032667857 752678534 /nfs/dbraw/zinc/67/85/34/752678534.db2.gz MYGJCTHLGFFRQD-UGFHNGPFSA-N 1 2 301.390 1.388 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1c(C)noc1C ZINC001032667857 752678535 /nfs/dbraw/zinc/67/85/35/752678535.db2.gz MYGJCTHLGFFRQD-UGFHNGPFSA-N 1 2 301.390 1.388 20 30 DDEDLO CN(C[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1)c1ncccc1C#N ZINC001062138327 752784113 /nfs/dbraw/zinc/78/41/13/752784113.db2.gz BLYRZQCDAUWBIV-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001062199250 752824016 /nfs/dbraw/zinc/82/40/16/752824016.db2.gz NHUJTGHEVNPTSP-OAHLLOKOSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCCN1C(=O)CCn1cc[nH+]c1 ZINC001062286057 752868736 /nfs/dbraw/zinc/86/87/36/752868736.db2.gz LXJJZBXHYCPFSJ-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(OC(C)C)c1 ZINC001032727331 753371218 /nfs/dbraw/zinc/37/12/18/753371218.db2.gz INTUSDSWJZFAEL-HOTGVXAUSA-N 1 2 313.401 1.791 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(OC(C)C)c1 ZINC001032727331 753371225 /nfs/dbraw/zinc/37/12/25/753371225.db2.gz INTUSDSWJZFAEL-HOTGVXAUSA-N 1 2 313.401 1.791 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3CCC(F)(F)F)cn1 ZINC001032739914 753411769 /nfs/dbraw/zinc/41/17/69/753411769.db2.gz QGUSZHNLSSMTMM-KBPBESRZSA-N 1 2 323.318 1.914 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CCC(F)(F)F)cn1 ZINC001032739914 753411774 /nfs/dbraw/zinc/41/17/74/753411774.db2.gz QGUSZHNLSSMTMM-KBPBESRZSA-N 1 2 323.318 1.914 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@]2(c3ccccc3)CC2(C)C)[C@@H](O)C1 ZINC001083884729 753557941 /nfs/dbraw/zinc/55/79/41/753557941.db2.gz LMARCSWXSLCHHA-JTDSTZFVSA-N 1 2 312.413 1.149 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@]2(c3ccccc3)CC2(C)C)[C@@H](O)C1 ZINC001083884729 753557946 /nfs/dbraw/zinc/55/79/46/753557946.db2.gz LMARCSWXSLCHHA-JTDSTZFVSA-N 1 2 312.413 1.149 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)[C@H]3CCCO3)C2)ccc1F ZINC001010063169 753584739 /nfs/dbraw/zinc/58/47/39/753584739.db2.gz VJSNPZMJFLEQIY-GDBMZVCRSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@@H](NC(=O)[C@H]3CCCO3)C2)ccc1F ZINC001010063169 753584742 /nfs/dbraw/zinc/58/47/42/753584742.db2.gz VJSNPZMJFLEQIY-GDBMZVCRSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)[C@H]3CCCO3)C2)cc1F ZINC001010064736 753585876 /nfs/dbraw/zinc/58/58/76/753585876.db2.gz ZVNDGFUOVGWVRH-GDBMZVCRSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)[C@H]3CCCO3)C2)cc1F ZINC001010064736 753585878 /nfs/dbraw/zinc/58/58/78/753585878.db2.gz ZVNDGFUOVGWVRH-GDBMZVCRSA-N 1 2 317.364 1.567 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)C2CCOCC2)C1 ZINC001108447844 762234297 /nfs/dbraw/zinc/23/42/97/762234297.db2.gz XWAVHHKYEKGCBW-PBHICJAKSA-N 1 2 310.438 1.442 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)C2CCOCC2)C1 ZINC001108447844 762234301 /nfs/dbraw/zinc/23/43/01/762234301.db2.gz XWAVHHKYEKGCBW-PBHICJAKSA-N 1 2 310.438 1.442 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001078099576 753732970 /nfs/dbraw/zinc/73/29/70/753732970.db2.gz PQCHQRGJWQVQIU-AWEZNQCLSA-N 1 2 306.410 1.641 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@H](C)NC(=O)c1cccc2[nH+]ccn21 ZINC001078133709 753773966 /nfs/dbraw/zinc/77/39/66/753773966.db2.gz SRVGIQFZCKXBCD-NEPJUHHUSA-N 1 2 313.361 1.119 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2csc(CC(C)C)n2)C1 ZINC001078268991 753925967 /nfs/dbraw/zinc/92/59/67/753925967.db2.gz HWCBOOBJCBHLEK-TZMCWYRMSA-N 1 2 321.446 1.140 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2csc(CC(C)C)n2)C1 ZINC001078268991 753925971 /nfs/dbraw/zinc/92/59/71/753925971.db2.gz HWCBOOBJCBHLEK-TZMCWYRMSA-N 1 2 321.446 1.140 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)C[C@@H](C)n2cc[nH+]c2)CCC1 ZINC001063071568 753956282 /nfs/dbraw/zinc/95/62/82/753956282.db2.gz DNZXWUQOSXBFRW-CQSZACIVSA-N 1 2 318.421 1.956 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001010654346 754082744 /nfs/dbraw/zinc/08/27/44/754082744.db2.gz FSLNDBQWOPLIST-LLVKDONJSA-N 1 2 316.390 1.051 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001010654346 754082750 /nfs/dbraw/zinc/08/27/50/754082750.db2.gz FSLNDBQWOPLIST-LLVKDONJSA-N 1 2 316.390 1.051 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]nc1-c1ccccc1 ZINC001032806831 754126884 /nfs/dbraw/zinc/12/68/84/754126884.db2.gz UKUWKVVMDUOOHG-GJZGRUSLSA-N 1 2 321.384 1.394 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]nc1-c1ccccc1 ZINC001032806831 754126888 /nfs/dbraw/zinc/12/68/88/754126888.db2.gz UKUWKVVMDUOOHG-GJZGRUSLSA-N 1 2 321.384 1.394 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H]2CCCN2C(=O)Cc2[nH]cc[nH+]2)n1 ZINC001063509785 754200714 /nfs/dbraw/zinc/20/07/14/754200714.db2.gz BSJVHFSZLCMXBU-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063516213 754203620 /nfs/dbraw/zinc/20/36/20/754203620.db2.gz ZJLFJAJLHJHLDN-UHFFFAOYSA-N 1 2 318.421 1.641 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3C[C@@H](NCC#N)C34CCC4)ccn12 ZINC001078630267 754237997 /nfs/dbraw/zinc/23/79/97/754237997.db2.gz VWUCGXVCFMCDEY-CABCVRRESA-N 1 2 323.400 1.797 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H](C)CCNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001078753988 754351487 /nfs/dbraw/zinc/35/14/87/754351487.db2.gz MGAMRAMKZXXXCT-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC001011145979 754371496 /nfs/dbraw/zinc/37/14/96/754371496.db2.gz KEHSGHCYWHKVGX-CQSZACIVSA-N 1 2 318.421 1.717 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CCC[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001064112112 754520494 /nfs/dbraw/zinc/52/04/94/754520494.db2.gz GRLIQENEKPTLHK-DOMZBBRYSA-N 1 2 324.388 1.038 20 30 DDEDLO N#CCN1CCC(CNC(=O)c2ccc(-n3cc[nH+]c3)cn2)CC1 ZINC001002042428 754667451 /nfs/dbraw/zinc/66/74/51/754667451.db2.gz OENYGPYZGUUDMJ-UHFFFAOYSA-N 1 2 324.388 1.233 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@@H]2C)C1 ZINC001012010949 754810578 /nfs/dbraw/zinc/81/05/78/754810578.db2.gz DUKGNIZMQKURRC-CHWSQXEVSA-N 1 2 316.405 1.414 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@H]1CNc1ccc(C#N)cn1 ZINC001064936294 754961595 /nfs/dbraw/zinc/96/15/95/754961595.db2.gz OCCLAUHFJUVJBE-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO CCN(C(=O)C#CC(C)C)C1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001079733043 755554148 /nfs/dbraw/zinc/55/41/48/755554148.db2.gz SPCIDURGAOPARQ-UHFFFAOYSA-N 1 2 316.405 1.061 20 30 DDEDLO CCN(C(=O)C#CC(C)C)C1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001079733043 755554153 /nfs/dbraw/zinc/55/41/53/755554153.db2.gz SPCIDURGAOPARQ-UHFFFAOYSA-N 1 2 316.405 1.061 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2Cc3ccccc3O2)C1 ZINC001015167494 756156347 /nfs/dbraw/zinc/15/63/47/756156347.db2.gz XYCIZQPAIFHCPP-HIFRSBDPSA-N 1 2 306.793 1.933 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2Cc3ccccc3O2)C1 ZINC001015167494 756156349 /nfs/dbraw/zinc/15/63/49/756156349.db2.gz XYCIZQPAIFHCPP-HIFRSBDPSA-N 1 2 306.793 1.933 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)C(F)F ZINC001081250251 756250532 /nfs/dbraw/zinc/25/05/32/756250532.db2.gz VLIDFQQJNOVOIN-CHWSQXEVSA-N 1 2 309.316 1.139 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)C(F)F ZINC001081250251 756250537 /nfs/dbraw/zinc/25/05/37/756250537.db2.gz VLIDFQQJNOVOIN-CHWSQXEVSA-N 1 2 309.316 1.139 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1NC(=O)C(C)C ZINC001081375403 756316976 /nfs/dbraw/zinc/31/69/76/756316976.db2.gz ZVAGHOVIPLEMNZ-IAGOWNOFSA-N 1 2 318.392 1.649 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1NC(=O)C(C)C ZINC001081375403 756316982 /nfs/dbraw/zinc/31/69/82/756316982.db2.gz ZVAGHOVIPLEMNZ-IAGOWNOFSA-N 1 2 318.392 1.649 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2snnc2C(C)C)[C@H](OC)C1 ZINC001082261856 756713336 /nfs/dbraw/zinc/71/33/36/756713336.db2.gz JQDLMTKAQKAMQP-VXGBXAGGSA-N 1 2 324.450 1.667 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2snnc2C(C)C)[C@H](OC)C1 ZINC001082261856 756713339 /nfs/dbraw/zinc/71/33/39/756713339.db2.gz JQDLMTKAQKAMQP-VXGBXAGGSA-N 1 2 324.450 1.667 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnn3ccc(C)nc23)C1 ZINC001016009523 756718505 /nfs/dbraw/zinc/71/85/05/756718505.db2.gz JUGPFARMUUCZTQ-GFCCVEGCSA-N 1 2 319.796 1.594 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnn3ccc(C)nc23)C1 ZINC001016009523 756718510 /nfs/dbraw/zinc/71/85/10/756718510.db2.gz JUGPFARMUUCZTQ-GFCCVEGCSA-N 1 2 319.796 1.594 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cccc(F)c3o2)[C@H](OC)C1 ZINC001082378725 756760410 /nfs/dbraw/zinc/76/04/10/756760410.db2.gz SWSVQNIHAKARTH-UKRRQHHQSA-N 1 2 316.332 1.634 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3cccc(F)c3o2)[C@H](OC)C1 ZINC001082378725 756760413 /nfs/dbraw/zinc/76/04/13/756760413.db2.gz SWSVQNIHAKARTH-UKRRQHHQSA-N 1 2 316.332 1.634 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC001016101061 756762837 /nfs/dbraw/zinc/76/28/37/756762837.db2.gz MYUKVUAZELDUGD-CHWSQXEVSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC001016101061 756762841 /nfs/dbraw/zinc/76/28/41/756762841.db2.gz MYUKVUAZELDUGD-CHWSQXEVSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001016376624 756969295 /nfs/dbraw/zinc/96/92/95/756969295.db2.gz YTKMRDJPHBWIBV-AWEZNQCLSA-N 1 2 321.808 1.271 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001016376624 756969302 /nfs/dbraw/zinc/96/93/02/756969302.db2.gz YTKMRDJPHBWIBV-AWEZNQCLSA-N 1 2 321.808 1.271 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@@H](NC(=O)CCn3cc[nH+]c3)C2)n1 ZINC001097235714 757007759 /nfs/dbraw/zinc/00/77/59/757007759.db2.gz ASJCGTHLBQHMRJ-GASCZTMLSA-N 1 2 324.388 1.608 20 30 DDEDLO Cc1ncsc1C[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)C#N)[C@H]2C1 ZINC001083026066 757065204 /nfs/dbraw/zinc/06/52/04/757065204.db2.gz MWORMYAOLFWIMF-KGYLQXTDSA-N 1 2 320.418 1.023 20 30 DDEDLO Cc1ncsc1C[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)C#N)[C@H]2C1 ZINC001083026066 757065215 /nfs/dbraw/zinc/06/52/15/757065215.db2.gz MWORMYAOLFWIMF-KGYLQXTDSA-N 1 2 320.418 1.023 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3csc(C)c3C)[C@H]2C1 ZINC001083092871 757132157 /nfs/dbraw/zinc/13/21/57/757132157.db2.gz FAFPYNVIRLETSC-LSDHHAIUSA-N 1 2 304.415 1.523 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3csc(C)c3C)[C@H]2C1 ZINC001083092871 757132159 /nfs/dbraw/zinc/13/21/59/757132159.db2.gz FAFPYNVIRLETSC-LSDHHAIUSA-N 1 2 304.415 1.523 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001083981906 757204714 /nfs/dbraw/zinc/20/47/14/757204714.db2.gz QMCJEFAKEDNWDG-CABCVRRESA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001083981906 757204718 /nfs/dbraw/zinc/20/47/18/757204718.db2.gz QMCJEFAKEDNWDG-CABCVRRESA-N 1 2 319.380 1.405 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C)nn3C)[C@@H]2C1 ZINC001084215126 757414351 /nfs/dbraw/zinc/41/43/51/757414351.db2.gz RSQUMUZWTVDISJ-TZMCWYRMSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C)nn3C)[C@@H]2C1 ZINC001084215126 757414358 /nfs/dbraw/zinc/41/43/58/757414358.db2.gz RSQUMUZWTVDISJ-TZMCWYRMSA-N 1 2 308.813 1.627 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3ccncc3Cl)C[C@H]21 ZINC001084236083 757437774 /nfs/dbraw/zinc/43/77/74/757437774.db2.gz ZUTOZAOULPQBEG-NJZAAPMLSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccncc3Cl)C[C@H]21 ZINC001084236083 757437784 /nfs/dbraw/zinc/43/77/84/757437784.db2.gz ZUTOZAOULPQBEG-NJZAAPMLSA-N 1 2 318.808 1.927 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C#N)c[nH]3)[C@@H]2C1 ZINC001084260013 757449500 /nfs/dbraw/zinc/44/95/00/757449500.db2.gz CZGADPLJELKXBB-TZMCWYRMSA-N 1 2 304.781 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C#N)c[nH]3)[C@@H]2C1 ZINC001084260013 757449502 /nfs/dbraw/zinc/44/95/02/757449502.db2.gz CZGADPLJELKXBB-TZMCWYRMSA-N 1 2 304.781 1.785 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cccnc3)[C@@H]2C1 ZINC001084282348 757468604 /nfs/dbraw/zinc/46/86/04/757468604.db2.gz TZNYGROHSJOPFI-HUUCEWRRSA-N 1 2 305.809 1.909 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3cccnc3)[C@@H]2C1 ZINC001084282348 757468608 /nfs/dbraw/zinc/46/86/08/757468608.db2.gz TZNYGROHSJOPFI-HUUCEWRRSA-N 1 2 305.809 1.909 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@@H]2CCCN(C(=O)C#CC3CC3)C2)[nH]1 ZINC001017126612 757656456 /nfs/dbraw/zinc/65/64/56/757656456.db2.gz JMDOIVZBTVSWCN-HNNXBMFYSA-N 1 2 315.421 1.197 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@@H]2CCCN(C(=O)C#CC3CC3)C2)[nH]1 ZINC001017126612 757656460 /nfs/dbraw/zinc/65/64/60/757656460.db2.gz JMDOIVZBTVSWCN-HNNXBMFYSA-N 1 2 315.421 1.197 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3sc(N(C)C)nc3C)[C@@H]2C1 ZINC001084704166 757787646 /nfs/dbraw/zinc/78/76/46/757787646.db2.gz MCODVZLPPJVPAA-CHWSQXEVSA-N 1 2 318.446 1.297 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3sc(N(C)C)nc3C)[C@@H]2C1 ZINC001084704166 757787651 /nfs/dbraw/zinc/78/76/51/757787651.db2.gz MCODVZLPPJVPAA-CHWSQXEVSA-N 1 2 318.446 1.297 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)Nc1ncccc1C#N ZINC001098293983 757861998 /nfs/dbraw/zinc/86/19/98/757861998.db2.gz FSUDNXUXHKZNAL-IACUBPJLSA-N 1 2 324.388 1.407 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3sccc3COC)[C@@H]2C1 ZINC001084774014 757902493 /nfs/dbraw/zinc/90/24/93/757902493.db2.gz PUZBTZSXKRSHHY-TZMCWYRMSA-N 1 2 304.415 1.674 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3sccc3COC)[C@@H]2C1 ZINC001084774014 757902504 /nfs/dbraw/zinc/90/25/04/757902504.db2.gz PUZBTZSXKRSHHY-TZMCWYRMSA-N 1 2 304.415 1.674 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001052866773 757903351 /nfs/dbraw/zinc/90/33/51/757903351.db2.gz JSYNGBUFCAPKJH-CABCVRRESA-N 1 2 318.421 1.450 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001017555184 758023237 /nfs/dbraw/zinc/02/32/37/758023237.db2.gz KJWISLNWVCBBCF-OWCLPIDISA-N 1 2 312.417 1.528 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001017555184 758023254 /nfs/dbraw/zinc/02/32/54/758023254.db2.gz KJWISLNWVCBBCF-OWCLPIDISA-N 1 2 312.417 1.528 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc2nc[nH]c21 ZINC001017573879 758042626 /nfs/dbraw/zinc/04/26/26/758042626.db2.gz WCSWBEIETUAEKI-BETUJISGSA-N 1 2 309.373 1.270 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc2nc[nH]c21 ZINC001017573879 758042633 /nfs/dbraw/zinc/04/26/33/758042633.db2.gz WCSWBEIETUAEKI-BETUJISGSA-N 1 2 309.373 1.270 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)C(C)C)[C@@H](O)C1 ZINC001099852831 758089555 /nfs/dbraw/zinc/08/95/55/758089555.db2.gz FVVGWGSQYAPKIC-OLZOCXBDSA-N 1 2 302.846 1.973 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)C(C)C)[C@@H](O)C1 ZINC001099852831 758089567 /nfs/dbraw/zinc/08/95/67/758089567.db2.gz FVVGWGSQYAPKIC-OLZOCXBDSA-N 1 2 302.846 1.973 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1C ZINC001053031021 758094028 /nfs/dbraw/zinc/09/40/28/758094028.db2.gz DBECHDQHKURMCX-UONOGXRCSA-N 1 2 318.421 1.140 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2ccc(C)cc12 ZINC001017634743 758102567 /nfs/dbraw/zinc/10/25/67/758102567.db2.gz ZGLGLGPGJHTYHH-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2ccc(C)cc12 ZINC001017634743 758102580 /nfs/dbraw/zinc/10/25/80/758102580.db2.gz ZGLGLGPGJHTYHH-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cn2ccccc2n1 ZINC001017667573 758136343 /nfs/dbraw/zinc/13/63/43/758136343.db2.gz FLTYPIXMBYLXHH-IYBDPMFKSA-N 1 2 308.385 1.185 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cn2ccccc2n1 ZINC001017667573 758136350 /nfs/dbraw/zinc/13/63/50/758136350.db2.gz FLTYPIXMBYLXHH-IYBDPMFKSA-N 1 2 308.385 1.185 20 30 DDEDLO N#CCN1CCC[C@H]([C@@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001053099315 758149659 /nfs/dbraw/zinc/14/96/59/758149659.db2.gz UXOTUWINGDBJHS-HOCLYGCPSA-N 1 2 315.421 1.569 20 30 DDEDLO N#CCN1CC[C@@H]2CCN(C(=O)[C@@H]3CCCc4[nH+]c[nH]c43)C[C@@H]21 ZINC001085029015 758165668 /nfs/dbraw/zinc/16/56/68/758165668.db2.gz DPTISYVNJZXYRS-NFAWXSAZSA-N 1 2 313.405 1.276 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@H]2C)ccc1C#N ZINC001067207221 758240627 /nfs/dbraw/zinc/24/06/27/758240627.db2.gz LPHSLPJAPMNACD-ABAIWWIYSA-N 1 2 324.388 1.486 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(F)cn1)CCO2 ZINC001053228850 758285068 /nfs/dbraw/zinc/28/50/68/758285068.db2.gz WRHMAXFXKMZMJO-UHFFFAOYSA-N 1 2 305.353 1.324 20 30 DDEDLO C=C(Cl)CN1CC2(C1)CN(C(=O)CCc1[nH]cc[nH+]1)CCO2 ZINC001053237335 758292696 /nfs/dbraw/zinc/29/26/96/758292696.db2.gz BYHWCXJQBQOQLM-UHFFFAOYSA-N 1 2 324.812 1.008 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCC[C@H]3C[C@H]31)CCO2 ZINC001053318446 758369659 /nfs/dbraw/zinc/36/96/59/758369659.db2.gz ISCBVUPWFLIYNV-ARFHVFGLSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)[C@@H]1CCCO1)CCO2 ZINC001053418354 758447856 /nfs/dbraw/zinc/44/78/56/758447856.db2.gz QGWGYDHSPPSVNX-CABCVRRESA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCO[C@H](C)C1)CCO2 ZINC001053487198 758493786 /nfs/dbraw/zinc/49/37/86/758493786.db2.gz DAFUYKDAQBIAKR-HUUCEWRRSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCO[C@H]1C(=C)C ZINC001018045575 758501935 /nfs/dbraw/zinc/50/19/35/758501935.db2.gz LAZJNMFFNGMANA-YVSFHVDLSA-N 1 2 302.418 1.666 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCO[C@H]1C(=C)C ZINC001018045575 758501937 /nfs/dbraw/zinc/50/19/37/758501937.db2.gz LAZJNMFFNGMANA-YVSFHVDLSA-N 1 2 302.418 1.666 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C1(C)CC(F)(F)C1)CCO2 ZINC001053505992 758511965 /nfs/dbraw/zinc/51/19/65/758511965.db2.gz PCUQFGUSDHJVMZ-UHFFFAOYSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1coc(CCC)n1)CCO2 ZINC001053507419 758513104 /nfs/dbraw/zinc/51/31/04/758513104.db2.gz MIDSPTILXNHTOB-UHFFFAOYSA-N 1 2 319.405 1.730 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CC2CC2)nn1 ZINC001018116530 758559947 /nfs/dbraw/zinc/55/99/47/758559947.db2.gz NBLNLCUOPKQUGQ-GASCZTMLSA-N 1 2 313.405 1.000 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CC2CC2)nn1 ZINC001018116530 758559949 /nfs/dbraw/zinc/55/99/49/758559949.db2.gz NBLNLCUOPKQUGQ-GASCZTMLSA-N 1 2 313.405 1.000 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)sn1)O2 ZINC001053594422 758613955 /nfs/dbraw/zinc/61/39/55/758613955.db2.gz LHICLRJAEJDZSC-ZDUSSCGKSA-N 1 2 321.446 1.991 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1CCCOCC1)O2 ZINC001053595874 758616124 /nfs/dbraw/zinc/61/61/24/758616124.db2.gz GCYYAEDSPNEPEV-LSDHHAIUSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccncc1CC)O2 ZINC001053620637 758639646 /nfs/dbraw/zinc/63/96/46/758639646.db2.gz RKLFKKBCJNPQHG-OAHLLOKOSA-N 1 2 315.417 1.793 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(F)cc1 ZINC001018254920 758671490 /nfs/dbraw/zinc/67/14/90/758671490.db2.gz XZJXYLAENUBMPQ-GASCZTMLSA-N 1 2 302.349 1.513 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(F)cc1 ZINC001018254920 758671494 /nfs/dbraw/zinc/67/14/94/758671494.db2.gz XZJXYLAENUBMPQ-GASCZTMLSA-N 1 2 302.349 1.513 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)nn1CC)O2 ZINC001053664801 758677275 /nfs/dbraw/zinc/67/72/75/758677275.db2.gz DZYMIZSNOQAVPV-AWEZNQCLSA-N 1 2 318.421 1.361 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C(C)C ZINC001018264751 758679549 /nfs/dbraw/zinc/67/95/49/758679549.db2.gz ZBWNAGJTMXKTGI-GASCZTMLSA-N 1 2 314.433 1.856 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C(C)C ZINC001018264751 758679555 /nfs/dbraw/zinc/67/95/55/758679555.db2.gz ZBWNAGJTMXKTGI-GASCZTMLSA-N 1 2 314.433 1.856 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cn(CC)nc1C)O2 ZINC001053669601 758681272 /nfs/dbraw/zinc/68/12/72/758681272.db2.gz CXSVOSVFYNAQNC-CQSZACIVSA-N 1 2 318.421 1.361 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[NH+]([C@@H]2CCN(C(C)=O)C2)CC1 ZINC001065676211 758695465 /nfs/dbraw/zinc/69/54/65/758695465.db2.gz HFKSFCVLCFNAOM-JKSUJKDBSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065712526 758730672 /nfs/dbraw/zinc/73/06/72/758730672.db2.gz ZWJWGDILQZDBIZ-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(F)cc1)CO2 ZINC001053721041 758737404 /nfs/dbraw/zinc/73/74/04/758737404.db2.gz MCIYVICADHGLMX-HNNXBMFYSA-N 1 2 304.365 1.975 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc(F)c1)CO2 ZINC001053727647 758744290 /nfs/dbraw/zinc/74/42/90/758744290.db2.gz COEBXJGGMHXMSD-HNNXBMFYSA-N 1 2 302.349 1.422 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccncc1CC)CO2 ZINC001053854134 758885261 /nfs/dbraw/zinc/88/52/61/758885261.db2.gz TWRNZMSUEQHUDZ-HNNXBMFYSA-N 1 2 313.401 1.240 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cn1nc(C)cc1C)CO2 ZINC001053864143 758897843 /nfs/dbraw/zinc/89/78/43/758897843.db2.gz VZYIYKUUSXHEOE-OAHLLOKOSA-N 1 2 318.421 1.036 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1CNc1ccc(C#N)cn1 ZINC001065911713 758904494 /nfs/dbraw/zinc/90/44/94/758904494.db2.gz WNUVLEAQMFOLKA-JSGCOSHPSA-N 1 2 324.388 1.425 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C(C)C)on1)CO2 ZINC001053884812 758920011 /nfs/dbraw/zinc/92/00/11/758920011.db2.gz VLBZURYVGUXPNF-ZDUSSCGKSA-N 1 2 319.405 1.947 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001054053901 759107591 /nfs/dbraw/zinc/10/75/91/759107591.db2.gz DPIJZOIHHMYECS-MANSERQUSA-N 1 2 324.424 1.730 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001054053901 759107596 /nfs/dbraw/zinc/10/75/96/759107596.db2.gz DPIJZOIHHMYECS-MANSERQUSA-N 1 2 324.424 1.730 20 30 DDEDLO COC(=O)/C=C(\C)C[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000824133570 759152792 /nfs/dbraw/zinc/15/27/92/759152792.db2.gz AGALPSUUZZXDGS-UKTHLTGXSA-N 1 2 300.362 1.195 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1ncc(C#N)cc1Cl ZINC001098306924 759292728 /nfs/dbraw/zinc/29/27/28/759292728.db2.gz QDDPBQUOSQMNIS-VIFPVBQESA-N 1 2 318.768 1.489 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cncnc3C)cc2C1 ZINC001054256015 759352436 /nfs/dbraw/zinc/35/24/36/759352436.db2.gz JABDJXIVHOTXLU-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cncnc3C)cc2C1 ZINC001054256015 759352444 /nfs/dbraw/zinc/35/24/44/759352444.db2.gz JABDJXIVHOTXLU-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO N#C[C@H](Nc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)nn1)C(N)=O ZINC001170538830 767883766 /nfs/dbraw/zinc/88/37/66/767883766.db2.gz LJCCMUYAEHKDFK-AWEZNQCLSA-N 1 2 319.328 1.119 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C2CC2)n(CC)n1 ZINC001085636806 759905307 /nfs/dbraw/zinc/90/53/07/759905307.db2.gz ZUZNJBMUGZOHQQ-OAHLLOKOSA-N 1 2 314.433 1.950 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C2CC2)n(CC)n1 ZINC001085636806 759905312 /nfs/dbraw/zinc/90/53/12/759905312.db2.gz ZUZNJBMUGZOHQQ-OAHLLOKOSA-N 1 2 314.433 1.950 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)nnc1CC ZINC001085679542 759991935 /nfs/dbraw/zinc/99/19/35/759991935.db2.gz LPOUSCQSUVEGOB-CQSZACIVSA-N 1 2 300.406 1.517 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)nnc1CC ZINC001085679542 759991938 /nfs/dbraw/zinc/99/19/38/759991938.db2.gz LPOUSCQSUVEGOB-CQSZACIVSA-N 1 2 300.406 1.517 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3ccn(C)n3)C2)nc1 ZINC001046781701 767921182 /nfs/dbraw/zinc/92/11/82/767921182.db2.gz MOJWJSPOJZSAAL-SFHVURJKSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@H+](Cc3ccn(C)n3)C2)nc1 ZINC001046781701 767921185 /nfs/dbraw/zinc/92/11/85/767921185.db2.gz MOJWJSPOJZSAAL-SFHVURJKSA-N 1 2 323.400 1.191 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069221360 767925394 /nfs/dbraw/zinc/92/53/94/767925394.db2.gz NDCSUAUNISKTEI-SWLSCSKDSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069221360 767925397 /nfs/dbraw/zinc/92/53/97/767925397.db2.gz NDCSUAUNISKTEI-SWLSCSKDSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2CC(=O)N(C)C)CC1 ZINC001085798417 760251358 /nfs/dbraw/zinc/25/13/58/760251358.db2.gz QPSRKHAPQXTUEY-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2CC(=O)N(C)C)CC1 ZINC001085798417 760251363 /nfs/dbraw/zinc/25/13/63/760251363.db2.gz QPSRKHAPQXTUEY-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001085907371 760502343 /nfs/dbraw/zinc/50/23/43/760502343.db2.gz UYVKQKDPJQNTHW-HNNXBMFYSA-N 1 2 309.373 1.251 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001085907371 760502354 /nfs/dbraw/zinc/50/23/54/760502354.db2.gz UYVKQKDPJQNTHW-HNNXBMFYSA-N 1 2 309.373 1.251 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnccc1N(C)C ZINC001085930397 760557425 /nfs/dbraw/zinc/55/74/25/760557425.db2.gz WUCRXYNAQSRLJZ-CQSZACIVSA-N 1 2 302.422 1.870 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnccc1N(C)C ZINC001085930397 760557432 /nfs/dbraw/zinc/55/74/32/760557432.db2.gz WUCRXYNAQSRLJZ-CQSZACIVSA-N 1 2 302.422 1.870 20 30 DDEDLO C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1c[nH+]ccc1N(C)C ZINC001085930397 760557441 /nfs/dbraw/zinc/55/74/41/760557441.db2.gz WUCRXYNAQSRLJZ-CQSZACIVSA-N 1 2 302.422 1.870 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2C[C@@H](F)CC)cn1 ZINC001085933076 760563050 /nfs/dbraw/zinc/56/30/50/760563050.db2.gz PHFOUHKCHSGZSC-HOCLYGCPSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C[C@@H](F)CC)cn1 ZINC001085933076 760563057 /nfs/dbraw/zinc/56/30/57/760563057.db2.gz PHFOUHKCHSGZSC-HOCLYGCPSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C/C=C/Cl)nc1 ZINC001085933976 760564886 /nfs/dbraw/zinc/56/48/86/760564886.db2.gz OROPDKBHSFHQPR-PXYYCUNGSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2C/C=C/Cl)nc1 ZINC001085933976 760564887 /nfs/dbraw/zinc/56/48/87/760564887.db2.gz OROPDKBHSFHQPR-PXYYCUNGSA-N 1 2 303.793 1.962 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1Cc2cc(C)c(C)cc2O1 ZINC001085980629 760650289 /nfs/dbraw/zinc/65/02/89/760650289.db2.gz TWRKMIFELXCWOY-WMZOPIPTSA-N 1 2 312.413 1.773 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1Cc2cc(C)c(C)cc2O1 ZINC001085980629 760650294 /nfs/dbraw/zinc/65/02/94/760650294.db2.gz TWRKMIFELXCWOY-WMZOPIPTSA-N 1 2 312.413 1.773 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ncnc2ccsc21 ZINC001038330111 761013502 /nfs/dbraw/zinc/01/35/02/761013502.db2.gz WGFHZDUGFLTPQR-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ncnc2ccsc21 ZINC001038330111 761013511 /nfs/dbraw/zinc/01/35/11/761013511.db2.gz WGFHZDUGFLTPQR-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO C[C@H]1[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ncccc1C#N ZINC001069383322 768004026 /nfs/dbraw/zinc/00/40/26/768004026.db2.gz HQNUNVQVCIRNLQ-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc3ccccn3c2)C1 ZINC001108258262 761253151 /nfs/dbraw/zinc/25/31/51/761253151.db2.gz PSOQZLSKICUCHX-GOSISDBHSA-N 1 2 313.401 1.946 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc3ccccn3c2)C1 ZINC001108258262 761253156 /nfs/dbraw/zinc/25/31/56/761253156.db2.gz PSOQZLSKICUCHX-GOSISDBHSA-N 1 2 313.401 1.946 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]1CNC(=O)c1nnc2ccccc2c1O ZINC001038764067 761382641 /nfs/dbraw/zinc/38/26/41/761382641.db2.gz KPDPWONHUBMSKI-LBPRGKRZSA-N 1 2 312.373 1.716 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]1CNC(=O)c1nnc2ccccc2c1O ZINC001038764067 761382649 /nfs/dbraw/zinc/38/26/49/761382649.db2.gz KPDPWONHUBMSKI-LBPRGKRZSA-N 1 2 312.373 1.716 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001038806964 761424150 /nfs/dbraw/zinc/42/41/50/761424150.db2.gz DZLKRIGBYWIEHK-PMPSAXMXSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001038806964 761424151 /nfs/dbraw/zinc/42/41/51/761424151.db2.gz DZLKRIGBYWIEHK-PMPSAXMXSA-N 1 2 319.405 1.655 20 30 DDEDLO N#Cc1cnc(N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)c(F)c1 ZINC001056790535 761432862 /nfs/dbraw/zinc/43/28/62/761432862.db2.gz IYUQAVWZRWDDDY-LLVKDONJSA-N 1 2 314.324 1.071 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001069510880 768039921 /nfs/dbraw/zinc/03/99/21/768039921.db2.gz QTABKAIFOAKNDN-JSGCOSHPSA-N 1 2 324.388 1.038 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC001038877712 761502816 /nfs/dbraw/zinc/50/28/16/761502816.db2.gz IVXNCSGFMMSVES-MRXNPFEDSA-N 1 2 308.385 1.904 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC001038877712 761502822 /nfs/dbraw/zinc/50/28/22/761502822.db2.gz IVXNCSGFMMSVES-MRXNPFEDSA-N 1 2 308.385 1.904 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1c[nH]nc1C1CCCCC1 ZINC001038899485 761523916 /nfs/dbraw/zinc/52/39/16/761523916.db2.gz ISVXGIKOUXBISU-AWEZNQCLSA-N 1 2 300.406 1.895 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1c[nH]nc1C1CCCCC1 ZINC001038899485 761523920 /nfs/dbraw/zinc/52/39/20/761523920.db2.gz ISVXGIKOUXBISU-AWEZNQCLSA-N 1 2 300.406 1.895 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2oc(C)nc2C)nc1 ZINC001038932094 761560497 /nfs/dbraw/zinc/56/04/97/761560497.db2.gz PVQKCATUYRZFKA-OAHLLOKOSA-N 1 2 324.384 1.672 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2oc(C)nc2C)nc1 ZINC001038932094 761560500 /nfs/dbraw/zinc/56/05/00/761560500.db2.gz PVQKCATUYRZFKA-OAHLLOKOSA-N 1 2 324.384 1.672 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2c(C)noc2C)nc1 ZINC001038933770 761563575 /nfs/dbraw/zinc/56/35/75/761563575.db2.gz YMKNSHSFCUQBDC-OAHLLOKOSA-N 1 2 324.384 1.672 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2c(C)noc2C)nc1 ZINC001038933770 761563577 /nfs/dbraw/zinc/56/35/77/761563577.db2.gz YMKNSHSFCUQBDC-OAHLLOKOSA-N 1 2 324.384 1.672 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)Cc2ccco2)C1 ZINC001108272658 761579950 /nfs/dbraw/zinc/57/99/50/761579950.db2.gz NEFHSPZQKVWKDB-CRAIPNDOSA-N 1 2 318.417 1.689 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)Cc2ccco2)C1 ZINC001108272658 761579957 /nfs/dbraw/zinc/57/99/57/761579957.db2.gz NEFHSPZQKVWKDB-CRAIPNDOSA-N 1 2 318.417 1.689 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(OC)c(C)c(OC)c1 ZINC001038993713 761625263 /nfs/dbraw/zinc/62/52/63/761625263.db2.gz LIUPUVZZDADQKQ-OAHLLOKOSA-N 1 2 316.401 1.840 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(OC)c(C)c(OC)c1 ZINC001038993713 761625266 /nfs/dbraw/zinc/62/52/66/761625266.db2.gz LIUPUVZZDADQKQ-OAHLLOKOSA-N 1 2 316.401 1.840 20 30 DDEDLO CC1(C)CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1Nc1ncccc1C#N ZINC001069574674 768065703 /nfs/dbraw/zinc/06/57/03/768065703.db2.gz QVNICCBECOFPON-AWEZNQCLSA-N 1 2 324.388 1.499 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC([C@H]2CCN(CC#N)C2)CC1 ZINC001039174508 761798972 /nfs/dbraw/zinc/79/89/72/761798972.db2.gz XSHVQJVVROJPOH-HNNXBMFYSA-N 1 2 315.421 1.047 20 30 DDEDLO N#CCN1CC[C@H]([C@H]2CCCCN2C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001039211703 761844993 /nfs/dbraw/zinc/84/49/93/761844993.db2.gz UBXMNXPFNJWYTK-GOEBONIOSA-N 1 2 315.421 1.569 20 30 DDEDLO N#CCN1CC[C@H]([C@H]2CCCCN2C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001039211703 761845003 /nfs/dbraw/zinc/84/50/03/761845003.db2.gz UBXMNXPFNJWYTK-GOEBONIOSA-N 1 2 315.421 1.569 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc(OC)c2F)C1 ZINC001108299036 761849949 /nfs/dbraw/zinc/84/99/49/761849949.db2.gz FOXALJYEVZADQM-QGZVFWFLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc(OC)c2F)C1 ZINC001108299036 761849960 /nfs/dbraw/zinc/84/99/60/761849960.db2.gz FOXALJYEVZADQM-QGZVFWFLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)CC(C)(C)C)CC2=O)C1 ZINC001108576400 762724659 /nfs/dbraw/zinc/72/46/59/762724659.db2.gz SDMFIXUDZNRAMR-KBPBESRZSA-N 1 2 321.465 1.646 20 30 DDEDLO CC1(C)CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1Nc1ncccc1C#N ZINC001069619440 768077277 /nfs/dbraw/zinc/07/72/77/768077277.db2.gz HCHWDROIJVYRDY-AWEZNQCLSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001108582281 762745776 /nfs/dbraw/zinc/74/57/76/762745776.db2.gz QMCWQWZKVRFTEY-GXTWGEPZSA-N 1 2 324.388 1.329 20 30 DDEDLO Cn1ccc(C[N@@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)n1 ZINC001050043808 763055410 /nfs/dbraw/zinc/05/54/10/763055410.db2.gz JVISJPXJBVTHPM-DOTOQJQBSA-N 1 2 312.417 1.256 20 30 DDEDLO Cn1ccc(C[N@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)n1 ZINC001050043808 763055414 /nfs/dbraw/zinc/05/54/14/763055414.db2.gz JVISJPXJBVTHPM-DOTOQJQBSA-N 1 2 312.417 1.256 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCCN(C(=O)c3n[nH]nc3C)[C@@H]2C1 ZINC001050107846 763148086 /nfs/dbraw/zinc/14/80/86/763148086.db2.gz BQZUVFLBUDCIFZ-NWDGAFQWSA-N 1 2 309.801 1.402 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCCN(C(=O)c3n[nH]nc3C)[C@@H]2C1 ZINC001050107846 763148096 /nfs/dbraw/zinc/14/80/96/763148096.db2.gz BQZUVFLBUDCIFZ-NWDGAFQWSA-N 1 2 309.801 1.402 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](CC)CC(C)C)CC1 ZINC001131393367 768111513 /nfs/dbraw/zinc/11/15/13/768111513.db2.gz VSQQNCKBEXIICM-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](CC)CC(C)C)CC1 ZINC001131393367 768111515 /nfs/dbraw/zinc/11/15/15/768111515.db2.gz VSQQNCKBEXIICM-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C#CC[N@@H+]1CCC(F)(F)[C@H](CNC(=O)c2ncccc2O)C1 ZINC001046932394 768129596 /nfs/dbraw/zinc/12/95/96/768129596.db2.gz LASFIAWCMSIENI-LLVKDONJSA-N 1 2 309.316 1.107 20 30 DDEDLO C#CC[N@H+]1CCC(F)(F)[C@H](CNC(=O)c2ncccc2O)C1 ZINC001046932394 768129598 /nfs/dbraw/zinc/12/95/98/768129598.db2.gz LASFIAWCMSIENI-LLVKDONJSA-N 1 2 309.316 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ncc[nH]1)C2 ZINC001109208861 763458856 /nfs/dbraw/zinc/45/88/56/763458856.db2.gz DGDGWAFSBBPKBU-AGIUHOORSA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ncc[nH]1)C2 ZINC001109208861 763458864 /nfs/dbraw/zinc/45/88/64/763458864.db2.gz DGDGWAFSBBPKBU-AGIUHOORSA-N 1 2 308.813 1.816 20 30 DDEDLO C[C@H](CN(C)c1ccc(C#N)nc1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001109293316 763554604 /nfs/dbraw/zinc/55/46/04/763554604.db2.gz DOEVBCHJLAFJAM-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@H](CN(C)c1ccc(C#N)nc1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001109293316 763554611 /nfs/dbraw/zinc/55/46/11/763554611.db2.gz DOEVBCHJLAFJAM-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@H+](Cc3cscn3)[C@H]2C1 ZINC001042045403 763589492 /nfs/dbraw/zinc/58/94/92/763589492.db2.gz XRVPRFLNQQIHIZ-HOCLYGCPSA-N 1 2 315.442 1.979 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@@H+](Cc3cscn3)[C@H]2C1 ZINC001042045403 763589495 /nfs/dbraw/zinc/58/94/95/763589495.db2.gz XRVPRFLNQQIHIZ-HOCLYGCPSA-N 1 2 315.442 1.979 20 30 DDEDLO C[C@H](c1csnn1)[NH+]1CCC2(CN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001050464658 763628935 /nfs/dbraw/zinc/62/89/35/763628935.db2.gz XUDYAYOFMDIHRF-NWDGAFQWSA-N 1 2 319.434 1.683 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CC[NH+](Cc1nncs1)CC2 ZINC001050515926 763692738 /nfs/dbraw/zinc/69/27/38/763692738.db2.gz UMXXEWMPMAJMDK-UHFFFAOYSA-N 1 2 316.430 1.376 20 30 DDEDLO C=CCn1cc(C(=O)N(C)CCCNc2cc[nH+]c(C)n2)nn1 ZINC001109648562 763908975 /nfs/dbraw/zinc/90/89/75/763908975.db2.gz PYUWSENBXNNVPF-UHFFFAOYSA-N 1 2 315.381 1.137 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cnsn3)c2C1 ZINC001069854667 768184473 /nfs/dbraw/zinc/18/44/73/768184473.db2.gz SJCWROHICYGGLU-UHFFFAOYSA-N 1 2 318.406 1.056 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cnsn3)c2C1 ZINC001069854667 768184474 /nfs/dbraw/zinc/18/44/74/768184474.db2.gz SJCWROHICYGGLU-UHFFFAOYSA-N 1 2 318.406 1.056 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3CC3(C)C)c2C1 ZINC001069857706 768190460 /nfs/dbraw/zinc/19/04/60/768190460.db2.gz HJOOIQNYZJCLFS-AWEZNQCLSA-N 1 2 302.422 1.937 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3CC3(C)C)c2C1 ZINC001069857706 768190463 /nfs/dbraw/zinc/19/04/63/768190463.db2.gz HJOOIQNYZJCLFS-AWEZNQCLSA-N 1 2 302.422 1.937 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCc2c[nH]nc21 ZINC001050916047 764274380 /nfs/dbraw/zinc/27/43/80/764274380.db2.gz AIGRNSXMBSZDPL-GJZGRUSLSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCc2c[nH]nc21 ZINC001050916047 764274384 /nfs/dbraw/zinc/27/43/84/764274384.db2.gz AIGRNSXMBSZDPL-GJZGRUSLSA-N 1 2 318.421 1.223 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc(OC(C)C)cn2)C1 ZINC001042721763 764387353 /nfs/dbraw/zinc/38/73/53/764387353.db2.gz RJTAVQJHXMIUNF-UHFFFAOYSA-N 1 2 302.378 1.043 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1n[nH]c2c1CCCC2 ZINC001050998324 764401827 /nfs/dbraw/zinc/40/18/27/764401827.db2.gz KFRZRNSVKBXPFS-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1n[nH]c2c1CCCC2 ZINC001050998324 764401829 /nfs/dbraw/zinc/40/18/29/764401829.db2.gz KFRZRNSVKBXPFS-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(COC)o1 ZINC001051013091 764416277 /nfs/dbraw/zinc/41/62/77/764416277.db2.gz KCVKHDUPQOPDKW-CQSZACIVSA-N 1 2 322.405 1.823 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(COC)o1 ZINC001051013091 764416281 /nfs/dbraw/zinc/41/62/81/764416281.db2.gz KCVKHDUPQOPDKW-CQSZACIVSA-N 1 2 322.405 1.823 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc3n2[C@H](C)CCC3)C1 ZINC001042755741 764417044 /nfs/dbraw/zinc/41/70/44/764417044.db2.gz CVLVREMQKQIQMU-CYBMUJFWSA-N 1 2 300.406 1.560 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(Br)n[nH]2)C1 ZINC001042831802 764474490 /nfs/dbraw/zinc/47/44/90/764474490.db2.gz XNFVZUYXGUPAJL-UHFFFAOYSA-N 1 2 313.199 1.505 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)C1CCC(OC)CC1 ZINC001051091342 764497295 /nfs/dbraw/zinc/49/72/95/764497295.db2.gz NVFVTIUVBVDONH-PCKAHOCUSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)C1CCC(OC)CC1 ZINC001051091342 764497301 /nfs/dbraw/zinc/49/73/01/764497301.db2.gz NVFVTIUVBVDONH-PCKAHOCUSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(F)c(OC)c1 ZINC001051129310 764529334 /nfs/dbraw/zinc/52/93/34/764529334.db2.gz GUWCBMOPLQRYMI-AWEZNQCLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(F)c(OC)c1 ZINC001051129310 764529342 /nfs/dbraw/zinc/52/93/42/764529342.db2.gz GUWCBMOPLQRYMI-AWEZNQCLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C2CC2)n[nH]1 ZINC001051132793 764533181 /nfs/dbraw/zinc/53/31/81/764533181.db2.gz CYMFIZYYQXTGAI-CYBMUJFWSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C2CC2)n[nH]1 ZINC001051132793 764533186 /nfs/dbraw/zinc/53/31/86/764533186.db2.gz CYMFIZYYQXTGAI-CYBMUJFWSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C1C[NH+](CCn2cccn2)C1 ZINC001042929656 764534123 /nfs/dbraw/zinc/53/41/23/764534123.db2.gz UWYSCPHRGZWRJD-UHFFFAOYSA-N 1 2 313.405 1.327 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc2ccccn2c1 ZINC001051144312 764547639 /nfs/dbraw/zinc/54/76/39/764547639.db2.gz BLKIBHPVUIFROA-KRWDZBQOSA-N 1 2 313.401 1.946 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc2ccccn2c1 ZINC001051144312 764547649 /nfs/dbraw/zinc/54/76/49/764547649.db2.gz BLKIBHPVUIFROA-KRWDZBQOSA-N 1 2 313.401 1.946 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccn(C(C)C)n1 ZINC001051144261 764548083 /nfs/dbraw/zinc/54/80/83/764548083.db2.gz AQUDJPSVNBBGPX-OAHLLOKOSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccn(C(C)C)n1 ZINC001051144261 764548086 /nfs/dbraw/zinc/54/80/86/764548086.db2.gz AQUDJPSVNBBGPX-OAHLLOKOSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnc(N(C)C)c1 ZINC001051146538 764551350 /nfs/dbraw/zinc/55/13/50/764551350.db2.gz ULBQLCLMPWSRFL-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnc(N(C)C)c1 ZINC001051146538 764551356 /nfs/dbraw/zinc/55/13/56/764551356.db2.gz ULBQLCLMPWSRFL-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1nc(CC)oc1C ZINC001051155527 764561849 /nfs/dbraw/zinc/56/18/49/764561849.db2.gz OJJADEYSEBKMRO-ZDUSSCGKSA-N 1 2 307.394 1.552 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nc(CC)oc1C ZINC001051155527 764561859 /nfs/dbraw/zinc/56/18/59/764561859.db2.gz OJJADEYSEBKMRO-ZDUSSCGKSA-N 1 2 307.394 1.552 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](CCOC2CCC2)CC1 ZINC001112671892 764569136 /nfs/dbraw/zinc/56/91/36/764569136.db2.gz VIGAWUZWCCOTCG-OAHLLOKOSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccnc1OCC ZINC001051232828 764653530 /nfs/dbraw/zinc/65/35/30/764653530.db2.gz WSRBCYMMWXDLLR-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccnc1OCC ZINC001051232828 764653536 /nfs/dbraw/zinc/65/35/36/764653536.db2.gz WSRBCYMMWXDLLR-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(F)c[nH]2)[C@@H](O)C1 ZINC001090443097 764696489 /nfs/dbraw/zinc/69/64/89/764696489.db2.gz VSEUWLHQCXEEBD-JQWIXIFHSA-N 1 2 301.749 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(F)c[nH]2)[C@@H](O)C1 ZINC001090443097 764696500 /nfs/dbraw/zinc/69/65/00/764696500.db2.gz VSEUWLHQCXEEBD-JQWIXIFHSA-N 1 2 301.749 1.071 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCOC[C@H]2CCCCO2)CC1 ZINC001112809976 764792406 /nfs/dbraw/zinc/79/24/06/764792406.db2.gz YKJYMXZIHOQMRP-QGZVFWFLSA-N 1 2 322.449 1.520 20 30 DDEDLO C=CCCC(=O)NC1CC(CNc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001112819449 764810557 /nfs/dbraw/zinc/81/05/57/764810557.db2.gz VYHPBGUUBNICTR-UHFFFAOYSA-N 1 2 318.425 1.519 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051418122 764857679 /nfs/dbraw/zinc/85/76/79/764857679.db2.gz PMUFQDZXMAYXIJ-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1cncc(C#N)n1 ZINC001112994852 765107272 /nfs/dbraw/zinc/10/72/72/765107272.db2.gz ZXIVUCKELXUEOD-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001112996152 765109680 /nfs/dbraw/zinc/10/96/80/765109680.db2.gz KACSCCZTWHITRH-QWRGUYRKSA-N 1 2 306.414 1.517 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113031196 765163612 /nfs/dbraw/zinc/16/36/12/765163612.db2.gz MJYXYGRCGOBLPK-NEPJUHHUSA-N 1 2 314.393 1.328 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2occc2CC)[C@@H](n2ccnn2)C1 ZINC001070018210 768279207 /nfs/dbraw/zinc/27/92/07/768279207.db2.gz YWEHNYQGMAMHMU-KGLIPLIRSA-N 1 2 315.377 1.275 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2occc2CC)[C@@H](n2ccnn2)C1 ZINC001070018210 768279212 /nfs/dbraw/zinc/27/92/12/768279212.db2.gz YWEHNYQGMAMHMU-KGLIPLIRSA-N 1 2 315.377 1.275 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccccc3F)C2)CC1 ZINC001051973168 765331692 /nfs/dbraw/zinc/33/16/92/765331692.db2.gz UWVRVUXHNFHFTJ-AWEZNQCLSA-N 1 2 316.380 1.181 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H](C)n2cnc3ccccc32)C1 ZINC001044134969 765333421 /nfs/dbraw/zinc/33/34/21/765333421.db2.gz DMAQTNUORZJMOA-AWEZNQCLSA-N 1 2 310.401 1.763 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccc(Cl)o3)C2)CC1 ZINC001051984490 765349184 /nfs/dbraw/zinc/34/91/84/765349184.db2.gz ISUJJXYHWZHEEY-ZDUSSCGKSA-N 1 2 323.824 1.951 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3CC=CC3)C2)CC1 ZINC001052002999 765368872 /nfs/dbraw/zinc/36/88/72/765368872.db2.gz MYGDJWIXQXJXKT-QGZVFWFLSA-N 1 2 301.434 1.194 20 30 DDEDLO Cc1coc(C)c1C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052023262 765397044 /nfs/dbraw/zinc/39/70/44/765397044.db2.gz RFMCKQAZZAAYMJ-OAHLLOKOSA-N 1 2 316.405 1.252 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(C4CC4)CC3)C2)CC1 ZINC001052040525 765412359 /nfs/dbraw/zinc/41/23/59/765412359.db2.gz CDXVROKYAFDPNI-QGZVFWFLSA-N 1 2 315.461 1.418 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)C1CN(Cc2cccc(C#N)c2)C1 ZINC001044232529 765412992 /nfs/dbraw/zinc/41/29/92/765412992.db2.gz KXTHYORQFPZVBM-UHFFFAOYSA-N 1 2 323.400 1.475 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)C1CN(CC#Cc2ccccc2)C1 ZINC001044233033 765414116 /nfs/dbraw/zinc/41/41/16/765414116.db2.gz QOJCIHMIXATTKV-UHFFFAOYSA-N 1 2 322.412 1.455 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c(C(F)(F)F)cnn2C)C1 ZINC001044249128 765424810 /nfs/dbraw/zinc/42/48/10/765424810.db2.gz UVIBLPQPVJGSCS-UHFFFAOYSA-N 1 2 316.327 1.771 20 30 DDEDLO CC(C)(C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1)C1CCC1 ZINC001052057958 765430318 /nfs/dbraw/zinc/43/03/18/765430318.db2.gz VSYWOBCKHYMCLC-MRXNPFEDSA-N 1 2 318.465 1.555 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3CCC3(C)C)C2)CC1 ZINC001052066970 765440535 /nfs/dbraw/zinc/44/05/35/765440535.db2.gz AMNUHKFXFKBHTA-SJORKVTESA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3Cc4ccccc43)C2)CC1 ZINC001052073729 765445302 /nfs/dbraw/zinc/44/53/02/765445302.db2.gz NKRIWDQZAHMKHP-IEBWSBKVSA-N 1 2 323.440 1.178 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2Oc3ccccc3O[C@H]2C)C1 ZINC001044284211 765449253 /nfs/dbraw/zinc/44/92/53/765449253.db2.gz POGAIQLIHIJAOJ-GUYCJALGSA-N 1 2 314.385 1.381 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(CC)CCCC3)C2)CC1 ZINC001052103276 765473997 /nfs/dbraw/zinc/47/39/97/765473997.db2.gz OBRYGZSESBHZQW-KRWDZBQOSA-N 1 2 317.477 1.809 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113251410 765474605 /nfs/dbraw/zinc/47/46/05/765474605.db2.gz PUCHXTRCGQTMLW-GHMZBOCLSA-N 1 2 316.409 1.881 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3CC[C@H](C)CC3)C2)CC1 ZINC001052109901 765478806 /nfs/dbraw/zinc/47/88/06/765478806.db2.gz JQVGXQUQACGFSY-BZSNNMDCSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CCN1CC(N(C)C(=O)C[N@@H+]2CCc3sccc3C2)C1 ZINC001044327205 765484087 /nfs/dbraw/zinc/48/40/87/765484087.db2.gz XRQHHPYNAGCHDS-UHFFFAOYSA-N 1 2 317.458 1.272 20 30 DDEDLO CC#CCN1CC(N(C)C(=O)C[N@H+]2CCc3sccc3C2)C1 ZINC001044327205 765484096 /nfs/dbraw/zinc/48/40/96/765484096.db2.gz XRQHHPYNAGCHDS-UHFFFAOYSA-N 1 2 317.458 1.272 20 30 DDEDLO C=CCC[NH+]1CCN(C(=O)C[N@H+](C)CCc2ccccc2)CC1 ZINC001113355829 765603769 /nfs/dbraw/zinc/60/37/69/765603769.db2.gz RIMPGTRIVZNWIK-UHFFFAOYSA-N 1 2 315.461 1.881 20 30 DDEDLO C=CCC[NH+]1CCN(C(=O)C[N@@H+](C)CCc2ccccc2)CC1 ZINC001113355829 765603771 /nfs/dbraw/zinc/60/37/71/765603771.db2.gz RIMPGTRIVZNWIK-UHFFFAOYSA-N 1 2 315.461 1.881 20 30 DDEDLO C=CCC[NH+]1CCN(C(=O)CN(C)CCc2ccccc2)CC1 ZINC001113355829 765603775 /nfs/dbraw/zinc/60/37/75/765603775.db2.gz RIMPGTRIVZNWIK-UHFFFAOYSA-N 1 2 315.461 1.881 20 30 DDEDLO C=CCCN1CCN(C(=O)C[N@@H+](C)CCc2ccccc2)CC1 ZINC001113355829 765603784 /nfs/dbraw/zinc/60/37/84/765603784.db2.gz RIMPGTRIVZNWIK-UHFFFAOYSA-N 1 2 315.461 1.881 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3Cc2cncn2C)C1 ZINC001095982770 765654142 /nfs/dbraw/zinc/65/41/42/765654142.db2.gz KQMJCWCPSKVDHC-KBMXLJTQSA-N 1 2 314.433 1.998 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3Cc2cncn2C)C1 ZINC001095982770 765654149 /nfs/dbraw/zinc/65/41/49/765654149.db2.gz KQMJCWCPSKVDHC-KBMXLJTQSA-N 1 2 314.433 1.998 20 30 DDEDLO Cc1nc(N(C)[C@@H](C)CNC(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001113536956 765821971 /nfs/dbraw/zinc/82/19/71/765821971.db2.gz FAFWJVFOGLSTCN-NEPJUHHUSA-N 1 2 315.421 1.764 20 30 DDEDLO Cc1cc(N(C)[C@@H](C)CNC(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001113537506 765822368 /nfs/dbraw/zinc/82/23/68/765822368.db2.gz OQHIQWJZAXNWHF-PWSUYJOCSA-N 1 2 301.394 1.763 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001057765360 765843529 /nfs/dbraw/zinc/84/35/29/765843529.db2.gz SPZVWRRFKCVFOD-CYBMUJFWSA-N 1 2 310.361 1.322 20 30 DDEDLO C[C@H](CNC(=O)Cn1cc[nH+]c1)N(C)c1cccc(F)c1C#N ZINC001113644721 765969023 /nfs/dbraw/zinc/96/90/23/765969023.db2.gz IAMINMIIDIOEAF-GFCCVEGCSA-N 1 2 315.352 1.535 20 30 DDEDLO Cc1nc(N2CCC(N(C)C(=O)c3c[nH]c(C#N)c3)CC2)cc[nH+]1 ZINC001058045854 766196506 /nfs/dbraw/zinc/19/65/06/766196506.db2.gz AWZYPSVQQFQWNC-UHFFFAOYSA-N 1 2 324.388 1.726 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC[C@H](NC(=O)Cn3cc[nH+]c3)C2)n1 ZINC001058172084 766287501 /nfs/dbraw/zinc/28/75/01/766287501.db2.gz RPQTWCJFBQONEZ-HNNXBMFYSA-N 1 2 324.388 1.243 20 30 DDEDLO CN(C(=O)C1CCC1)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047280612 768366802 /nfs/dbraw/zinc/36/68/02/768366802.db2.gz GOPRUINDBBPXSF-ROUUACIJSA-N 1 2 312.413 1.342 20 30 DDEDLO CN(C(=O)C1CCC1)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047280612 768366808 /nfs/dbraw/zinc/36/68/08/768366808.db2.gz GOPRUINDBBPXSF-ROUUACIJSA-N 1 2 312.413 1.342 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)N(C)c1ncccc1C#N ZINC001113957808 766447392 /nfs/dbraw/zinc/44/73/92/766447392.db2.gz MKBJNXYBRNYHEM-MRVWCRGKSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)N(C)c1ncccc1C#N ZINC001113957808 766447393 /nfs/dbraw/zinc/44/73/93/766447393.db2.gz MKBJNXYBRNYHEM-MRVWCRGKSA-N 1 2 324.388 1.421 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCN(c3cc[nH+]c(C)n3)C2)cn1 ZINC001058407369 766496769 /nfs/dbraw/zinc/49/67/69/766496769.db2.gz JGNBYODRVRCMMU-HNNXBMFYSA-N 1 2 307.357 1.170 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114161654 766713689 /nfs/dbraw/zinc/71/36/89/766713689.db2.gz KNUBTZXTPURBMB-LAQFHYBYSA-N 1 2 316.405 1.372 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114161654 766713694 /nfs/dbraw/zinc/71/36/94/766713694.db2.gz KNUBTZXTPURBMB-LAQFHYBYSA-N 1 2 316.405 1.372 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067893781 766788785 /nfs/dbraw/zinc/78/87/85/766788785.db2.gz QVVLRJUBJHQJGP-UHFFFAOYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)C2CC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121608531 782589762 /nfs/dbraw/zinc/58/97/62/782589762.db2.gz UXNLYORBQIFQRT-HACGYAERSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)C2CC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001121608531 782589766 /nfs/dbraw/zinc/58/97/66/782589766.db2.gz UXNLYORBQIFQRT-HACGYAERSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c(N(CC)C(C)C)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121607307 782590050 /nfs/dbraw/zinc/59/00/50/782590050.db2.gz LTEGYXLALKKUPI-BBRMVZONSA-N 1 2 307.442 1.610 20 30 DDEDLO C=CCn1c(N(CC)C(C)C)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001121607307 782590056 /nfs/dbraw/zinc/59/00/56/782590056.db2.gz LTEGYXLALKKUPI-BBRMVZONSA-N 1 2 307.442 1.610 20 30 DDEDLO CC1(C)CN(c2ccc(C#N)nc2)C[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001068139318 766944719 /nfs/dbraw/zinc/94/47/19/766944719.db2.gz AEDRFWSFBNCWFJ-OAHLLOKOSA-N 1 2 324.388 1.250 20 30 DDEDLO C#CC[N@H+]1C[C@@H](c2nc(CNC(=O)CCC)n[nH]2)[C@H](C2CC2)C1 ZINC001130168735 767337461 /nfs/dbraw/zinc/33/74/61/767337461.db2.gz BKQGHFAXXPXUKM-UONOGXRCSA-N 1 2 315.421 1.280 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](c2nc(CNC(=O)CCC)n[nH]2)[C@H](C2CC2)C1 ZINC001130168735 767337473 /nfs/dbraw/zinc/33/74/73/767337473.db2.gz BKQGHFAXXPXUKM-UONOGXRCSA-N 1 2 315.421 1.280 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnc3n[nH]cc3c2)C1 ZINC001046410535 767528480 /nfs/dbraw/zinc/52/84/80/767528480.db2.gz JJSSUIIBOFXFTD-HNNXBMFYSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnc3n[nH]cc3c2)C1 ZINC001046410535 767528483 /nfs/dbraw/zinc/52/84/83/767528483.db2.gz JJSSUIIBOFXFTD-HNNXBMFYSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC001046449729 767586240 /nfs/dbraw/zinc/58/62/40/767586240.db2.gz KNPAHWOVVQDRIC-HNNXBMFYSA-N 1 2 324.812 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC001046449729 767586246 /nfs/dbraw/zinc/58/62/46/767586246.db2.gz KNPAHWOVVQDRIC-HNNXBMFYSA-N 1 2 324.812 1.071 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](Nc2ccc(C#N)nc2)[C@H]1C ZINC001068871784 767671327 /nfs/dbraw/zinc/67/13/27/767671327.db2.gz AIJCPKZPUQLXJN-DOMZBBRYSA-N 1 2 324.388 1.629 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H](Nc3cc[nH+]c(C)n3)[C@@H]2C)cn1 ZINC001068876671 767673706 /nfs/dbraw/zinc/67/37/06/767673706.db2.gz SSGHXTWYIQVMTH-BLLLJJGKSA-N 1 2 321.384 1.876 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccccc1)c1[nH]nc2c1CCC2 ZINC001130738489 767704748 /nfs/dbraw/zinc/70/47/48/767704748.db2.gz JDRPQTAAIYTKTE-UHFFFAOYSA-N 1 2 308.385 1.270 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001046605464 767731832 /nfs/dbraw/zinc/73/18/32/767731832.db2.gz RJCCNRKQRPQCOV-DOMZBBRYSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001046605464 767731835 /nfs/dbraw/zinc/73/18/35/767731835.db2.gz RJCCNRKQRPQCOV-DOMZBBRYSA-N 1 2 313.829 1.378 20 30 DDEDLO C[C@]1(NC(=O)c2ccnnc2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046655559 767775479 /nfs/dbraw/zinc/77/54/79/767775479.db2.gz NJZSTKLRYHUISU-SFHVURJKSA-N 1 2 321.384 1.743 20 30 DDEDLO C[C@]1(NC(=O)c2ccnnc2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046655559 767775483 /nfs/dbraw/zinc/77/54/83/767775483.db2.gz NJZSTKLRYHUISU-SFHVURJKSA-N 1 2 321.384 1.743 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3ccccc3s2)C1 ZINC001047446063 768482831 /nfs/dbraw/zinc/48/28/31/768482831.db2.gz SNOWFAKAYXFGEZ-KBPBESRZSA-N 1 2 314.410 1.652 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3ccccc3s2)C1 ZINC001047446063 768482836 /nfs/dbraw/zinc/48/28/36/768482836.db2.gz SNOWFAKAYXFGEZ-KBPBESRZSA-N 1 2 314.410 1.652 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2ccn(CC)n2)C1 ZINC001131947113 768506300 /nfs/dbraw/zinc/50/63/00/768506300.db2.gz PUAYRWJHIQUECC-CABCVRRESA-N 1 2 320.437 1.575 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2ccn(CC)n2)C1 ZINC001131947113 768506302 /nfs/dbraw/zinc/50/63/02/768506302.db2.gz PUAYRWJHIQUECC-CABCVRRESA-N 1 2 320.437 1.575 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001070534393 768558104 /nfs/dbraw/zinc/55/81/04/768558104.db2.gz SLCMKCYLGZLARS-LSDHHAIUSA-N 1 2 318.421 1.908 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@@H]2C)on1 ZINC001132021951 768559113 /nfs/dbraw/zinc/55/91/13/768559113.db2.gz SGEYGTSZLIRSKZ-UONOGXRCSA-N 1 2 319.405 1.812 20 30 DDEDLO COc1cc(C[N@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@@H]2C)on1 ZINC001132021951 768559117 /nfs/dbraw/zinc/55/91/17/768559117.db2.gz SGEYGTSZLIRSKZ-UONOGXRCSA-N 1 2 319.405 1.812 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2nccs2)C1 ZINC001132041292 768583258 /nfs/dbraw/zinc/58/32/58/768583258.db2.gz BNARRPOHBAOAMM-KGLIPLIRSA-N 1 2 321.446 1.652 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2nccs2)C1 ZINC001132041292 768583259 /nfs/dbraw/zinc/58/32/59/768583259.db2.gz BNARRPOHBAOAMM-KGLIPLIRSA-N 1 2 321.446 1.652 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)C[C@@H]2C=CCC2)C[C@H]1NCC#N ZINC001070618801 768622833 /nfs/dbraw/zinc/62/28/33/768622833.db2.gz XSFQMEKPNRQRFZ-RBSFLKMASA-N 1 2 313.405 1.184 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070676879 768662583 /nfs/dbraw/zinc/66/25/83/768662583.db2.gz SNGZQPFKPNDHQN-CHWSQXEVSA-N 1 2 301.394 1.040 20 30 DDEDLO CCC1(C(=O)N2C[C@@H](c3c[nH+]cn3C)[C@H](NCC#N)C2)CC1 ZINC001070686974 768669987 /nfs/dbraw/zinc/66/99/87/768669987.db2.gz NVHSCTYXXTYHNQ-CHWSQXEVSA-N 1 2 301.394 1.018 20 30 DDEDLO C[C@H](CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1)C1CC1 ZINC001070720625 768691578 /nfs/dbraw/zinc/69/15/78/768691578.db2.gz AVSNTCNVCRWWDD-BPLDGKMQSA-N 1 2 315.421 1.264 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C2(C(=O)NC)CC2)CC[C@@H]1C ZINC001132348060 768775691 /nfs/dbraw/zinc/77/56/91/768775691.db2.gz HBBLWVRONWCXJP-RYUDHWBXSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C2(C(=O)NC)CC2)CC[C@@H]1C ZINC001132348060 768775698 /nfs/dbraw/zinc/77/56/98/768775698.db2.gz HBBLWVRONWCXJP-RYUDHWBXSA-N 1 2 313.829 1.234 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2C(C)(C)C2(C)C)CC1 ZINC001070949978 768805469 /nfs/dbraw/zinc/80/54/69/768805469.db2.gz GKXWLZLTQGQIIM-UHFFFAOYSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2C(C)(C)C2(C)C)CC1 ZINC001070949978 768805474 /nfs/dbraw/zinc/80/54/74/768805474.db2.gz GKXWLZLTQGQIIM-UHFFFAOYSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C(C)(C)C)CC1 ZINC001070986794 768840447 /nfs/dbraw/zinc/84/04/47/768840447.db2.gz CVCJQMQGAIHWJB-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C(C)(C)C)CC1 ZINC001070986794 768840457 /nfs/dbraw/zinc/84/04/57/768840457.db2.gz CVCJQMQGAIHWJB-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001132572477 768994041 /nfs/dbraw/zinc/99/40/41/768994041.db2.gz AKFQVGZRPGAYNA-VXGBXAGGSA-N 1 2 306.410 1.686 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@H]1C ZINC001071326674 769288938 /nfs/dbraw/zinc/28/89/38/769288938.db2.gz GYPLEWHITPALFM-GHMZBOCLSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@H]1C ZINC001071326674 769288942 /nfs/dbraw/zinc/28/89/42/769288942.db2.gz GYPLEWHITPALFM-GHMZBOCLSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071417965 769404231 /nfs/dbraw/zinc/40/42/31/769404231.db2.gz CPXKZMRVFOWUIG-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001096408552 769749496 /nfs/dbraw/zinc/74/94/96/769749496.db2.gz CTDVOYNEBGVUIA-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO C#CCN1C[C@@H](NC(=O)c2c[nH+]ccc2N(C)C)CC[C@@H]1C ZINC001071999035 770411920 /nfs/dbraw/zinc/41/19/20/770411920.db2.gz MDQDQZUUGJFMST-KBPBESRZSA-N 1 2 300.406 1.364 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466609 770888054 /nfs/dbraw/zinc/88/80/54/770888054.db2.gz HINDRSGOWNGNNN-JKSUJKDBSA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466609 770888064 /nfs/dbraw/zinc/88/80/64/770888064.db2.gz HINDRSGOWNGNNN-JKSUJKDBSA-N 1 2 314.389 1.357 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001072452492 770918552 /nfs/dbraw/zinc/91/85/52/770918552.db2.gz CGWQMLXYBCKZIX-ZIAGYGMSSA-N 1 2 304.394 1.203 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001072481588 770955889 /nfs/dbraw/zinc/95/58/89/770955889.db2.gz SOUTZHWHMOXTDB-IUODEOHRSA-N 1 2 316.405 1.027 20 30 DDEDLO C[C@H](CC(=O)N1CCC[C@H]2[C@@H]1CCN2CC#N)n1cc[nH+]c1 ZINC001049758030 771136949 /nfs/dbraw/zinc/13/69/49/771136949.db2.gz FVLBMFQQBDBYKS-ILXRZTDVSA-N 1 2 301.394 1.423 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+]Cc1nc(CC)no1 ZINC001135064396 771303004 /nfs/dbraw/zinc/30/30/04/771303004.db2.gz BYMLSTBWPMZYHJ-WFASDCNBSA-N 1 2 322.409 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CN1CCCC1=O)C2 ZINC001096781558 771442573 /nfs/dbraw/zinc/44/25/73/771442573.db2.gz ARBVJKDMWIENEW-UPJWGTAASA-N 1 2 311.813 1.083 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CN1CCCC1=O)C2 ZINC001096781558 771442575 /nfs/dbraw/zinc/44/25/75/771442575.db2.gz ARBVJKDMWIENEW-UPJWGTAASA-N 1 2 311.813 1.083 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001096992186 771560040 /nfs/dbraw/zinc/56/00/40/771560040.db2.gz AXMLVGKNSBXOJW-UBHSHLNASA-N 1 2 304.394 1.825 20 30 DDEDLO C=C[C@@H]1C[C@@]1(Nc1ncnc2c1C[N@H+](C)CC2)C(=O)OCC ZINC001170925496 771915220 /nfs/dbraw/zinc/91/52/20/771915220.db2.gz SPFWBIAEYKMPID-BZNIZROVSA-N 1 2 302.378 1.384 20 30 DDEDLO C=C[C@@H]1C[C@@]1(Nc1ncnc2c1C[N@@H+](C)CC2)C(=O)OCC ZINC001170925496 771915221 /nfs/dbraw/zinc/91/52/21/771915221.db2.gz SPFWBIAEYKMPID-BZNIZROVSA-N 1 2 302.378 1.384 20 30 DDEDLO C[C@@H]1CN(c2ccncc2C#N)C[C@H]1NC(=O)CCn1cc[nH+]c1 ZINC001090798663 772222797 /nfs/dbraw/zinc/22/27/97/772222797.db2.gz HFHPQVQXSCGQMN-UKRRQHHQSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](Cc2nocc2C)CCCO1 ZINC001149361702 772443423 /nfs/dbraw/zinc/44/34/23/772443423.db2.gz JYIXFFIUUYCKIZ-AWEZNQCLSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](Cc2nocc2C)CCCO1 ZINC001149361702 772443426 /nfs/dbraw/zinc/44/34/26/772443426.db2.gz JYIXFFIUUYCKIZ-AWEZNQCLSA-N 1 2 307.394 1.656 20 30 DDEDLO COC(=O)C1([NH2+][C@@H](C)Cc2cccc(C#N)c2)CCOCC1 ZINC001171191821 772542898 /nfs/dbraw/zinc/54/28/98/772542898.db2.gz GGGQSDMIMRKXDD-ZDUSSCGKSA-N 1 2 302.374 1.801 20 30 DDEDLO C=CC[NH2+]C1CC(CNC(=O)c2cn[nH]c2-c2cnn(C)c2)C1 ZINC001091316875 772668285 /nfs/dbraw/zinc/66/82/85/772668285.db2.gz DOBYSMMLQFCOII-UHFFFAOYSA-N 1 2 314.393 1.094 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2nnc(-c3ccco3)o2)CC1 ZINC001147291215 773102733 /nfs/dbraw/zinc/10/27/33/773102733.db2.gz MEDWLKNPBOPJNB-UHFFFAOYSA-N 1 2 300.318 1.111 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2nnc(-c3ccco3)o2)CC1 ZINC001147291215 773102737 /nfs/dbraw/zinc/10/27/37/773102737.db2.gz MEDWLKNPBOPJNB-UHFFFAOYSA-N 1 2 300.318 1.111 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC2(C1)CC[N@@H+](Cc1coc(C)n1)C2 ZINC001147446624 773148877 /nfs/dbraw/zinc/14/88/77/773148877.db2.gz WIBDZYOXQZZAJS-INIZCTEOSA-N 1 2 319.405 1.344 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC2(C1)CC[N@H+](Cc1coc(C)n1)C2 ZINC001147446624 773148880 /nfs/dbraw/zinc/14/88/80/773148880.db2.gz WIBDZYOXQZZAJS-INIZCTEOSA-N 1 2 319.405 1.344 20 30 DDEDLO C=CC[N@@H+]1CCCO[C@H](CNC(=O)c2n[nH]c3ccccc32)C1 ZINC001073878152 773495247 /nfs/dbraw/zinc/49/52/47/773495247.db2.gz HQEHVXHCDMGKLL-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCCO[C@H](CNC(=O)c2n[nH]c3ccccc32)C1 ZINC001073878152 773495251 /nfs/dbraw/zinc/49/52/51/773495251.db2.gz HQEHVXHCDMGKLL-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccco3)C[C@H]21 ZINC001074147945 773673407 /nfs/dbraw/zinc/67/34/07/773673407.db2.gz NCSDEVHZQRZSMO-DOOVEFGSSA-N 1 2 302.374 1.781 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccco3)C[C@H]21 ZINC001074147945 773673409 /nfs/dbraw/zinc/67/34/09/773673409.db2.gz NCSDEVHZQRZSMO-DOOVEFGSSA-N 1 2 302.374 1.781 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3scnc3C)C[C@@H]21 ZINC001074180757 773702634 /nfs/dbraw/zinc/70/26/34/773702634.db2.gz IBRHCIWYHHAZMD-KBPBESRZSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3scnc3C)C[C@@H]21 ZINC001074180757 773702635 /nfs/dbraw/zinc/70/26/35/773702635.db2.gz IBRHCIWYHHAZMD-KBPBESRZSA-N 1 2 321.446 1.943 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)COC3CCCC3)C[C@@H]21 ZINC001074181463 773703583 /nfs/dbraw/zinc/70/35/83/773703583.db2.gz VKABFONLCRSYFO-IRXDYDNUSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)COC3CCCC3)C[C@@H]21 ZINC001074181463 773703585 /nfs/dbraw/zinc/70/35/85/773703585.db2.gz VKABFONLCRSYFO-IRXDYDNUSA-N 1 2 320.433 1.271 20 30 DDEDLO C[C@@H]1CC2(CN1C(=O)Cc1c[nH+]c[nH]1)CCN(CC#N)CC2 ZINC001086945861 773734135 /nfs/dbraw/zinc/73/41/35/773734135.db2.gz KQHZDTMQDDVJEB-CYBMUJFWSA-N 1 2 301.394 1.179 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3=CCOCC3)C[C@@H]21 ZINC001074223430 773748954 /nfs/dbraw/zinc/74/89/54/773748954.db2.gz ZFQQHNHEQZKGHE-JKSUJKDBSA-N 1 2 306.406 1.211 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3=CCOCC3)C[C@@H]21 ZINC001074223430 773748955 /nfs/dbraw/zinc/74/89/55/773748955.db2.gz ZFQQHNHEQZKGHE-JKSUJKDBSA-N 1 2 306.406 1.211 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3c(C)ccn3C)C[C@H]21 ZINC001074226623 773751826 /nfs/dbraw/zinc/75/18/26/773751826.db2.gz UEIRMHMWDPECJL-CVEARBPZSA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3c(C)ccn3C)C[C@H]21 ZINC001074226623 773751830 /nfs/dbraw/zinc/75/18/30/773751830.db2.gz UEIRMHMWDPECJL-CVEARBPZSA-N 1 2 315.417 1.272 20 30 DDEDLO CSc1nc(N2CCCC2)cc(N[C@@H]2C(=O)N(O)C[C@@H]2C)[nH+]1 ZINC001171362348 773771399 /nfs/dbraw/zinc/77/13/99/773771399.db2.gz CJPDIIDBBNGBHW-CABZTGNLSA-N 1 2 323.422 1.447 20 30 DDEDLO CSc1nc(N[C@@H]2C(=O)N(O)C[C@@H]2C)cc(N2CCCC2)[nH+]1 ZINC001171362348 773771405 /nfs/dbraw/zinc/77/14/05/773771405.db2.gz CJPDIIDBBNGBHW-CABZTGNLSA-N 1 2 323.422 1.447 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccnc(C)c3)C[C@H]21 ZINC001074366462 773859304 /nfs/dbraw/zinc/85/93/04/773859304.db2.gz UWLSQRUQPNIXRG-IAGOWNOFSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccnc(C)c3)C[C@H]21 ZINC001074366462 773859307 /nfs/dbraw/zinc/85/93/07/773859307.db2.gz UWLSQRUQPNIXRG-IAGOWNOFSA-N 1 2 313.401 1.329 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@@]2(C)C1 ZINC001091917034 773859382 /nfs/dbraw/zinc/85/93/82/773859382.db2.gz QUZGMLJXDFXXKT-DYVFJYSZSA-N 1 2 318.421 1.871 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@@]2(C)C1 ZINC001091917034 773859387 /nfs/dbraw/zinc/85/93/87/773859387.db2.gz QUZGMLJXDFXXKT-DYVFJYSZSA-N 1 2 318.421 1.871 20 30 DDEDLO CCc1nc(N2CC[C@H](NC(=O)[C@H](C)C#N)[C@H]2C)cc(C)[nH+]1 ZINC001091945489 773886119 /nfs/dbraw/zinc/88/61/19/773886119.db2.gz QSFVMBRZFMFYOO-RTXFEEFZSA-N 1 2 301.394 1.591 20 30 DDEDLO N#Cc1cccnc1NC1CC(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001092269317 774036792 /nfs/dbraw/zinc/03/67/92/774036792.db2.gz UCMUFNSDIIWLJX-UHFFFAOYSA-N 1 2 310.361 1.226 20 30 DDEDLO C[C@@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1nccnc1C#N ZINC001098303344 774336712 /nfs/dbraw/zinc/33/67/12/774336712.db2.gz RBSZDLYROWIJPR-RYUDHWBXSA-N 1 2 313.365 1.113 20 30 DDEDLO Cc1nc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H](C)C#N)C3)c(C)c(C)[nH+]1 ZINC001092966813 774342055 /nfs/dbraw/zinc/34/20/55/774342055.db2.gz IMYQBMFTHMZOJI-QUAZDUCUSA-N 1 2 313.405 1.787 20 30 DDEDLO N#CCN1CC[C@@H]2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)CC[C@H]21 ZINC001036751013 774474443 /nfs/dbraw/zinc/47/44/43/774474443.db2.gz WNVZRKMAQDJXNH-MLGOLLRUSA-N 1 2 309.373 1.623 20 30 DDEDLO Cc1cc(N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)nc(C2CC2)[nH+]1 ZINC001093257929 774550699 /nfs/dbraw/zinc/55/06/99/774550699.db2.gz GVIWKHHIBSVAEV-HWWDLCQESA-N 1 2 322.412 1.627 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098550712 774608592 /nfs/dbraw/zinc/60/85/92/774608592.db2.gz AXRGBWVZGWOOHO-GXTWGEPZSA-N 1 2 302.378 1.084 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CC[C@@H](C)CC)C2)nn1 ZINC001098756960 774664459 /nfs/dbraw/zinc/66/44/59/774664459.db2.gz HCXPJLAXJPDQFU-GOEBONIOSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@H]3CCCO3)CC2)C1 ZINC001093506679 774750449 /nfs/dbraw/zinc/75/04/49/774750449.db2.gz CWVWXDLCDCGRKT-OAHLLOKOSA-N 1 2 308.422 1.433 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1cncc(C#N)n1 ZINC001099031089 774782433 /nfs/dbraw/zinc/78/24/33/774782433.db2.gz AOAWFHGZQJRPES-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CC[C@H](CC)O3)CC2)C1 ZINC001093540219 774809591 /nfs/dbraw/zinc/80/95/91/774809591.db2.gz FSMYLXLBTAFJQO-YOEHRIQHSA-N 1 2 322.449 1.822 20 30 DDEDLO C[NH+](C)[C@@H](C(=O)NCCNc1ncccc1C#N)c1cccnc1 ZINC001093682804 774975681 /nfs/dbraw/zinc/97/56/81/774975681.db2.gz HISHONOCRBFVNO-OAHLLOKOSA-N 1 2 324.388 1.179 20 30 DDEDLO CCCC(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099627062 775076192 /nfs/dbraw/zinc/07/61/92/775076192.db2.gz INMCHWDHYOBDMJ-JKSUJKDBSA-N 1 2 301.390 1.410 20 30 DDEDLO CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099627062 775076201 /nfs/dbraw/zinc/07/62/01/775076201.db2.gz INMCHWDHYOBDMJ-JKSUJKDBSA-N 1 2 301.390 1.410 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCCCOC)[C@@H](O)C1 ZINC001099712002 775169393 /nfs/dbraw/zinc/16/93/93/775169393.db2.gz ITLQZGPYEJJZCC-STQMWFEESA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCCCOC)[C@@H](O)C1 ZINC001099712002 775169399 /nfs/dbraw/zinc/16/93/99/775169399.db2.gz ITLQZGPYEJJZCC-STQMWFEESA-N 1 2 304.818 1.107 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)s2)C[C@@H]1O ZINC001099727393 775193514 /nfs/dbraw/zinc/19/35/14/775193514.db2.gz OPPZLWUXVYLOBR-KBPBESRZSA-N 1 2 323.462 1.778 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)s2)C[C@@H]1O ZINC001099727393 775193518 /nfs/dbraw/zinc/19/35/18/775193518.db2.gz OPPZLWUXVYLOBR-KBPBESRZSA-N 1 2 323.462 1.778 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099804967 775303876 /nfs/dbraw/zinc/30/38/76/775303876.db2.gz VEIRIMSKXBFSEA-VXGBXAGGSA-N 1 2 319.232 1.660 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099804967 775303892 /nfs/dbraw/zinc/30/38/92/775303892.db2.gz VEIRIMSKXBFSEA-VXGBXAGGSA-N 1 2 319.232 1.660 20 30 DDEDLO N#Cc1c(F)cccc1NCCNC(=O)CCn1cc[nH+]c1 ZINC001094024338 775349959 /nfs/dbraw/zinc/34/99/59/775349959.db2.gz AEMZELWAXFHTES-UHFFFAOYSA-N 1 2 301.325 1.512 20 30 DDEDLO Cc1nc(NCCNC(=O)C[C@@H](C)n2cc[nH+]c2)ccc1C#N ZINC001094167678 775510226 /nfs/dbraw/zinc/51/02/26/775510226.db2.gz JQCJJPGZTUSTLG-GFCCVEGCSA-N 1 2 312.377 1.638 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cc(Cl)c[nH]3)nn2)C1 ZINC001094275728 775624959 /nfs/dbraw/zinc/62/49/59/775624959.db2.gz OKASGZUYINLUCT-UHFFFAOYSA-N 1 2 320.784 1.232 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccc(F)s3)nn2)C1 ZINC001094340200 775727098 /nfs/dbraw/zinc/72/70/98/775727098.db2.gz DCBPEXFHHDQMFS-UHFFFAOYSA-N 1 2 321.381 1.451 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCCN(C)c1cc[nH+]c(C)n1 ZINC001100369385 776063884 /nfs/dbraw/zinc/06/38/84/776063884.db2.gz CPCQQUOAXYZUTC-KGLIPLIRSA-N 1 2 304.394 1.319 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094712533 776180140 /nfs/dbraw/zinc/18/01/40/776180140.db2.gz HJGONRJEBFYZSH-RYUDHWBXSA-N 1 2 316.409 1.740 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3ccsc3C)CC2=O)C1 ZINC001094729705 776232644 /nfs/dbraw/zinc/23/26/44/776232644.db2.gz IRXUMRFQSFUXDR-GFCCVEGCSA-N 1 2 319.430 1.257 20 30 DDEDLO CCN(CCNC(=O)Cc1[nH]cc[nH+]1)c1ccc(C#N)c(C)n1 ZINC001100589502 776337017 /nfs/dbraw/zinc/33/70/17/776337017.db2.gz AUDVIEZKKUCRAS-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO C[C@@H](CCCCNCC#N)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001171578196 776351256 /nfs/dbraw/zinc/35/12/56/776351256.db2.gz ZXJNPKHHDNTMNV-KBPBESRZSA-N 1 2 303.410 1.234 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001100910643 776694846 /nfs/dbraw/zinc/69/48/46/776694846.db2.gz OWRYOCGUYQNIOT-CQSZACIVSA-N 1 2 310.361 1.004 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)CCNc1cc(C)[nH+]c(C(C)C)n1 ZINC001101781539 777511740 /nfs/dbraw/zinc/51/17/40/777511740.db2.gz VNSGUAALUQXGEY-CQSZACIVSA-N 1 2 318.421 1.817 20 30 DDEDLO C=CCCOCC(=O)NCC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102335004 778072153 /nfs/dbraw/zinc/07/21/53/778072153.db2.gz FCEXEFMGIPPCPT-OAHLLOKOSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1CN2c1cc(CC)[nH+]c(C)n1 ZINC001102544402 778197673 /nfs/dbraw/zinc/19/76/73/778197673.db2.gz NXYGHLUSKLTSCM-GJZGRUSLSA-N 1 2 316.405 1.340 20 30 DDEDLO Cc1nc(N2CC[C@H](N(C)C(=O)CSCC#N)C2)cc[nH+]1 ZINC001102670113 778290205 /nfs/dbraw/zinc/29/02/05/778290205.db2.gz QUNJNFKUOUJUOV-LBPRGKRZSA-N 1 2 305.407 1.079 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CSCCC)C[C@@H]21 ZINC001176929690 778317936 /nfs/dbraw/zinc/31/79/36/778317936.db2.gz KVQIQAHWFQXYCU-GJZGRUSLSA-N 1 2 310.463 1.455 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CSCCC)C[C@@H]21 ZINC001176929690 778317944 /nfs/dbraw/zinc/31/79/44/778317944.db2.gz KVQIQAHWFQXYCU-GJZGRUSLSA-N 1 2 310.463 1.455 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@@H]2OCC[N@H+](CCCF)[C@H]2C1 ZINC001177005931 778385729 /nfs/dbraw/zinc/38/57/29/778385729.db2.gz KPDMJEVSSRXMOC-GJZGRUSLSA-N 1 2 310.413 1.697 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC[C@@H]2OCC[N@@H+](CCCF)[C@H]2C1 ZINC001177005931 778385737 /nfs/dbraw/zinc/38/57/37/778385737.db2.gz KPDMJEVSSRXMOC-GJZGRUSLSA-N 1 2 310.413 1.697 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3(C)CC3)C[C@@H]21 ZINC001177046876 778408479 /nfs/dbraw/zinc/40/84/79/778408479.db2.gz BSYMMCRITOJTKS-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3(C)CC3)C[C@@H]21 ZINC001177046876 778408480 /nfs/dbraw/zinc/40/84/80/778408480.db2.gz BSYMMCRITOJTKS-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](CC)Nc2cc[nH+]c(C)n2)cn1 ZINC001103139607 778662645 /nfs/dbraw/zinc/66/26/45/778662645.db2.gz VBHDVJDWRBKUSE-HNNXBMFYSA-N 1 2 309.373 1.782 20 30 DDEDLO CC(C)(C)[C@@H](C[NH+]1CCOCC1)NC(=O)C#Cc1ccccn1 ZINC001177806938 778744380 /nfs/dbraw/zinc/74/43/80/778744380.db2.gz GAEPFNYEULZCBV-MRXNPFEDSA-N 1 2 315.417 1.296 20 30 DDEDLO Cc1cc(N(C(N)=[NH2+])C(=O)[C@@H](C#N)Cc2cccs2)n[nH]1 ZINC001177917445 778783140 /nfs/dbraw/zinc/78/31/40/778783140.db2.gz HUFQAWYCCUIMRL-SECBINFHSA-N 1 2 302.363 1.389 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001103937560 779187938 /nfs/dbraw/zinc/18/79/38/779187938.db2.gz FZGXGKZAXOTODF-LBPRGKRZSA-N 1 2 314.393 1.331 20 30 DDEDLO CCc1noc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)n1 ZINC001111668459 779427430 /nfs/dbraw/zinc/42/74/30/779427430.db2.gz LEFSLWHZMJJQDS-MKBNYLNASA-N 1 2 317.393 1.262 20 30 DDEDLO CCc1noc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)n1 ZINC001111668459 779427433 /nfs/dbraw/zinc/42/74/33/779427433.db2.gz LEFSLWHZMJJQDS-MKBNYLNASA-N 1 2 317.393 1.262 20 30 DDEDLO C[C@H](CN(C)C(=O)CCc1[nH]cc[nH+]1)Nc1ncccc1C#N ZINC001115688397 780344215 /nfs/dbraw/zinc/34/42/15/780344215.db2.gz ATRBLIBVJGFLLH-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO CC[N@H+](CCNC(=O)CSCC#N)Cc1ncc(C)s1 ZINC001267235391 837578290 /nfs/dbraw/zinc/57/82/90/837578290.db2.gz AREJAHJZVYMUIP-UHFFFAOYSA-N 1 2 312.464 1.646 20 30 DDEDLO CC[N@@H+](CCNC(=O)CSCC#N)Cc1ncc(C)s1 ZINC001267235391 837578295 /nfs/dbraw/zinc/57/82/95/837578295.db2.gz AREJAHJZVYMUIP-UHFFFAOYSA-N 1 2 312.464 1.646 20 30 DDEDLO C=CCn1cc(C(=O)NCC[N@@H+](CC)Cc2ccns2)nn1 ZINC001267252658 837673704 /nfs/dbraw/zinc/67/37/04/837673704.db2.gz XYYXOWPFCAOZDH-UHFFFAOYSA-N 1 2 320.422 1.173 20 30 DDEDLO C=CCn1cc(C(=O)NCC[N@H+](CC)Cc2ccns2)nn1 ZINC001267252658 837673710 /nfs/dbraw/zinc/67/37/10/837673710.db2.gz XYYXOWPFCAOZDH-UHFFFAOYSA-N 1 2 320.422 1.173 20 30 DDEDLO CC(C)N(CC#N)CCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001267319782 837818925 /nfs/dbraw/zinc/81/89/25/837818925.db2.gz UAGXRKLQHMEOSK-ZIAGYGMSSA-N 1 2 303.410 1.264 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)c2ccc3cccnc3c2)CC1 ZINC001266294662 836068040 /nfs/dbraw/zinc/06/80/40/836068040.db2.gz GMQGFZXRNBNPLC-UHFFFAOYSA-N 1 2 323.400 1.106 20 30 DDEDLO C=CCn1cc(C(=O)NCC[N@@H+](C)Cc2cc(C)ns2)nn1 ZINC001266335539 836135293 /nfs/dbraw/zinc/13/52/93/836135293.db2.gz ZIMJKAJYWONQKK-UHFFFAOYSA-N 1 2 320.422 1.091 20 30 DDEDLO C=CCn1cc(C(=O)NCC[N@H+](C)Cc2cc(C)ns2)nn1 ZINC001266335539 836135297 /nfs/dbraw/zinc/13/52/97/836135297.db2.gz ZIMJKAJYWONQKK-UHFFFAOYSA-N 1 2 320.422 1.091 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC12CC[NH+](Cc1nncn1C)CC2 ZINC001266370240 836181835 /nfs/dbraw/zinc/18/18/35/836181835.db2.gz ASNFYBKQITXVMU-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)c1sccc1C ZINC001266443905 836259290 /nfs/dbraw/zinc/25/92/90/836259290.db2.gz SZWNODFXOUEWTI-CYBMUJFWSA-N 1 2 319.430 1.000 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)c1sccc1C ZINC001266443905 836259301 /nfs/dbraw/zinc/25/93/01/836259301.db2.gz SZWNODFXOUEWTI-CYBMUJFWSA-N 1 2 319.430 1.000 20 30 DDEDLO CCC(CC)(CC)C(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266486235 836335590 /nfs/dbraw/zinc/33/55/90/836335590.db2.gz MZJMZEURLFDBQX-CQSZACIVSA-N 1 2 322.453 1.423 20 30 DDEDLO CCC(CC)(CC)C(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266486235 836335600 /nfs/dbraw/zinc/33/56/00/836335600.db2.gz MZJMZEURLFDBQX-CQSZACIVSA-N 1 2 322.453 1.423 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+]([C@@H](C)c2nnc(CC)[nH]2)C1 ZINC001266511602 836385268 /nfs/dbraw/zinc/38/52/68/836385268.db2.gz OKRBOLOTZMWWIC-UONOGXRCSA-N 1 2 317.437 1.670 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+]([C@@H](C)c2nnc(CC)[nH]2)C1 ZINC001266511602 836385279 /nfs/dbraw/zinc/38/52/79/836385279.db2.gz OKRBOLOTZMWWIC-UONOGXRCSA-N 1 2 317.437 1.670 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCCC[C@H]2CNC(=O)C(F)F)C1=O ZINC001266825675 836889629 /nfs/dbraw/zinc/88/96/29/836889629.db2.gz IXMCJEDPZWJSLI-RYUDHWBXSA-N 1 2 315.364 1.009 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCCC[C@H]2CNC(=O)C(F)F)C1=O ZINC001266825675 836889637 /nfs/dbraw/zinc/88/96/37/836889637.db2.gz IXMCJEDPZWJSLI-RYUDHWBXSA-N 1 2 315.364 1.009 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)C2(C(C)(F)F)CC2)CC1 ZINC001267612635 838463898 /nfs/dbraw/zinc/46/38/98/838463898.db2.gz SFLKGKMGWALJSA-UHFFFAOYSA-N 1 2 313.392 1.131 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2cncc(F)c2)C1 ZINC001267614093 838469545 /nfs/dbraw/zinc/46/95/45/838469545.db2.gz BCCQLRRMPRYXMF-CZUORRHYSA-N 1 2 319.380 1.340 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cncc(F)c2)C1 ZINC001267614093 838469552 /nfs/dbraw/zinc/46/95/52/838469552.db2.gz BCCQLRRMPRYXMF-CZUORRHYSA-N 1 2 319.380 1.340 20 30 DDEDLO C#CCCCCC(=O)NCC1C[NH+](Cc2cc(OC)ns2)C1 ZINC001267680012 838633838 /nfs/dbraw/zinc/63/38/38/838633838.db2.gz VJOQKPLJIXQMPQ-UHFFFAOYSA-N 1 2 321.446 1.893 20 30 DDEDLO CCCCCCC[C@H](O)CC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC001272026393 844353716 /nfs/dbraw/zinc/35/37/16/844353716.db2.gz DCPBWKQEWJDSFP-JYJNAYRXSA-N 1 2 312.454 1.639 20 30 DDEDLO CCCCCCC[C@H](O)CC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC001272026393 844353724 /nfs/dbraw/zinc/35/37/24/844353724.db2.gz DCPBWKQEWJDSFP-JYJNAYRXSA-N 1 2 312.454 1.639 20 30 DDEDLO C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC(=O)N(C)CC ZINC001267711128 838697554 /nfs/dbraw/zinc/69/75/54/838697554.db2.gz KUSPYPSGAHIRNC-HOTGVXAUSA-N 1 2 319.449 1.334 20 30 DDEDLO C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC(=O)N(C)CC ZINC001267711128 838697555 /nfs/dbraw/zinc/69/75/55/838697555.db2.gz KUSPYPSGAHIRNC-HOTGVXAUSA-N 1 2 319.449 1.334 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001267722108 838728361 /nfs/dbraw/zinc/72/83/61/838728361.db2.gz XGLBZAPQHKTYSS-HOCLYGCPSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001267722108 838728363 /nfs/dbraw/zinc/72/83/63/838728363.db2.gz XGLBZAPQHKTYSS-HOCLYGCPSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001267761841 838894014 /nfs/dbraw/zinc/89/40/14/838894014.db2.gz LKGITUXDCIKULA-KBPBESRZSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001267761841 838894026 /nfs/dbraw/zinc/89/40/26/838894026.db2.gz LKGITUXDCIKULA-KBPBESRZSA-N 1 2 305.378 1.054 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001267778720 838957618 /nfs/dbraw/zinc/95/76/18/838957618.db2.gz GFJRWUVRWMANSN-CYBMUJFWSA-N 1 2 323.393 1.309 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001267778720 838957632 /nfs/dbraw/zinc/95/76/32/838957632.db2.gz GFJRWUVRWMANSN-CYBMUJFWSA-N 1 2 323.393 1.309 20 30 DDEDLO C=CCCC(=O)N1CC(n2cc(C[NH2+]C/C=C\Cl)nn2)C1 ZINC001105184933 839162688 /nfs/dbraw/zinc/16/26/88/839162688.db2.gz CXPMYYQMJVXKCH-XQRVVYSFSA-N 1 2 309.801 1.470 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)Cc2cc3ccccc3o2)C1 ZINC001268023118 839401528 /nfs/dbraw/zinc/40/15/28/839401528.db2.gz DOBHLVYHEGRDMD-INIZCTEOSA-N 1 2 314.385 1.978 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)Cc2cc3ccccc3o2)C1 ZINC001268023118 839401536 /nfs/dbraw/zinc/40/15/36/839401536.db2.gz DOBHLVYHEGRDMD-INIZCTEOSA-N 1 2 314.385 1.978 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C2(c3cc(C)no3)CC2)C1 ZINC001268025006 839416441 /nfs/dbraw/zinc/41/64/41/839416441.db2.gz AMCWAQWXHGRDHP-CYBMUJFWSA-N 1 2 305.378 1.018 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C2(c3cc(C)no3)CC2)C1 ZINC001268025006 839416450 /nfs/dbraw/zinc/41/64/50/839416450.db2.gz AMCWAQWXHGRDHP-CYBMUJFWSA-N 1 2 305.378 1.018 20 30 DDEDLO C=CC[C@@H](C)C(=O)N1C[C@@H]2C[N@@H+](CCn3ccnc3)C[C@H](C1)O2 ZINC001268968468 841064135 /nfs/dbraw/zinc/06/41/35/841064135.db2.gz QRYFUTOHFDHXGR-OAGGEKHMSA-N 1 2 318.421 1.007 20 30 DDEDLO C=CC[C@@H](C)C(=O)N1C[C@@H]2C[N@H+](CCn3ccnc3)C[C@H](C1)O2 ZINC001268968468 841064149 /nfs/dbraw/zinc/06/41/49/841064149.db2.gz QRYFUTOHFDHXGR-OAGGEKHMSA-N 1 2 318.421 1.007 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@H+](CC(=O)N(C)CC2CC2)C1 ZINC001269061200 841159974 /nfs/dbraw/zinc/15/99/74/841159974.db2.gz FSCLGRQUUPSHSI-YJBOKZPZSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)N(C)CC2CC2)C1 ZINC001269061200 841159980 /nfs/dbraw/zinc/15/99/80/841159980.db2.gz FSCLGRQUUPSHSI-YJBOKZPZSA-N 1 2 321.465 1.648 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)C(=O)N(CC)CC ZINC001269224653 841371777 /nfs/dbraw/zinc/37/17/77/841371777.db2.gz XRIMHEPXDCVQJA-GJZGRUSLSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)N(CC)CC ZINC001269224653 841371786 /nfs/dbraw/zinc/37/17/86/841371786.db2.gz XRIMHEPXDCVQJA-GJZGRUSLSA-N 1 2 307.438 1.237 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@@H+]1CCNC(=O)NC(C)(C)C ZINC001269241955 841412330 /nfs/dbraw/zinc/41/23/30/841412330.db2.gz YXMAKZPODBPXGD-CQSZACIVSA-N 1 2 324.469 1.631 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@H+]1CCNC(=O)NC(C)(C)C ZINC001269241955 841412335 /nfs/dbraw/zinc/41/23/35/841412335.db2.gz YXMAKZPODBPXGD-CQSZACIVSA-N 1 2 324.469 1.631 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)c2cccc(C#N)c2[nH]1 ZINC001269259909 841433226 /nfs/dbraw/zinc/43/32/26/841433226.db2.gz SEHNIZCYPXXYEP-BETUJISGSA-N 1 2 322.368 1.318 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)c2cccc(C#N)c2[nH]1 ZINC001269259909 841433230 /nfs/dbraw/zinc/43/32/30/841433230.db2.gz SEHNIZCYPXXYEP-BETUJISGSA-N 1 2 322.368 1.318 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)C2(C)CCCC2)C1=O ZINC001269266748 841443481 /nfs/dbraw/zinc/44/34/81/841443481.db2.gz JDEVDGAFTDEVOJ-CABCVRRESA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)C2(C)CCCC2)C1=O ZINC001269266748 841443485 /nfs/dbraw/zinc/44/34/85/841443485.db2.gz JDEVDGAFTDEVOJ-CABCVRRESA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001269281696 841463960 /nfs/dbraw/zinc/46/39/60/841463960.db2.gz UHSVPMXIHHZZOD-CQSZACIVSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001269281696 841463962 /nfs/dbraw/zinc/46/39/62/841463962.db2.gz UHSVPMXIHHZZOD-CQSZACIVSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001269812335 842070108 /nfs/dbraw/zinc/07/01/08/842070108.db2.gz CRRANECUOVUSNG-UHFFFAOYSA-N 1 2 320.437 1.597 20 30 DDEDLO CCC(CC)CC(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001270529942 842644890 /nfs/dbraw/zinc/64/48/90/842644890.db2.gz OTILHMGUXFAPAR-MRXNPFEDSA-N 1 2 306.454 1.555 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@@H]1C[N@H+](CC(=C)C)CCO1 ZINC001270664467 842795123 /nfs/dbraw/zinc/79/51/23/842795123.db2.gz FRQHNJFEZOPEKZ-NVXWUHKLSA-N 1 2 310.438 1.608 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@@H]1C[N@@H+](CC(=C)C)CCO1 ZINC001270664467 842795126 /nfs/dbraw/zinc/79/51/26/842795126.db2.gz FRQHNJFEZOPEKZ-NVXWUHKLSA-N 1 2 310.438 1.608 20 30 DDEDLO C[C@@]1(CNCC#N)CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001270726926 842853223 /nfs/dbraw/zinc/85/32/23/842853223.db2.gz MDHXDGQGXVPIQJ-KRWDZBQOSA-N 1 2 315.421 1.114 20 30 DDEDLO C#CC[N@@H+](C)Cc1ccccc1CNC(=O)CCc1nc[nH]n1 ZINC001326449534 861378191 /nfs/dbraw/zinc/37/81/91/861378191.db2.gz NBGUPKIBOPUZIL-UHFFFAOYSA-N 1 2 311.389 1.119 20 30 DDEDLO C#CC[N@H+](C)Cc1ccccc1CNC(=O)CCc1nc[nH]n1 ZINC001326449534 861378202 /nfs/dbraw/zinc/37/82/02/861378202.db2.gz NBGUPKIBOPUZIL-UHFFFAOYSA-N 1 2 311.389 1.119 20 30 DDEDLO C#CCCCCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001271137393 843290840 /nfs/dbraw/zinc/29/08/40/843290840.db2.gz KTTBFLMUDMCGRX-KRWDZBQOSA-N 1 2 319.405 1.230 20 30 DDEDLO C#CCCCCC(=O)NC[C@@]1(O)CC[N@H+](Cc2cc(C)on2)C1 ZINC001271137393 843290849 /nfs/dbraw/zinc/29/08/49/843290849.db2.gz KTTBFLMUDMCGRX-KRWDZBQOSA-N 1 2 319.405 1.230 20 30 DDEDLO C=CCC1(C(=O)NC[C@@]2(O)CC[N@H+](Cc3ccon3)C2)CCC1 ZINC001271185089 843391585 /nfs/dbraw/zinc/39/15/85/843391585.db2.gz RZPXSGZAERCAQR-KRWDZBQOSA-N 1 2 319.405 1.474 20 30 DDEDLO C=CCC1(C(=O)NC[C@@]2(O)CC[N@@H+](Cc3ccon3)C2)CCC1 ZINC001271185089 843391596 /nfs/dbraw/zinc/39/15/96/843391596.db2.gz RZPXSGZAERCAQR-KRWDZBQOSA-N 1 2 319.405 1.474 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2C[C@@]2(C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001271212541 843417245 /nfs/dbraw/zinc/41/72/45/843417245.db2.gz UFCUVXMWCMPAAR-XWCIJXRUSA-N 1 2 323.356 1.281 20 30 DDEDLO C[C@@H]1CCCN(C(=O)CCc2c[nH+]cn2C)[C@@H]1CNCC#N ZINC001271750272 843837763 /nfs/dbraw/zinc/83/77/63/843837763.db2.gz JBGPSNBMBZTVSJ-UKRRQHHQSA-N 1 2 303.410 1.093 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)Cc1ncccc1OC ZINC001326656678 861517604 /nfs/dbraw/zinc/51/76/04/861517604.db2.gz XJXWRYIHQZEWHW-CQSZACIVSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)Cc1ncccc1OC ZINC001326656678 861517620 /nfs/dbraw/zinc/51/76/20/861517620.db2.gz XJXWRYIHQZEWHW-CQSZACIVSA-N 1 2 319.405 1.026 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)[C@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001409531963 845256014 /nfs/dbraw/zinc/25/60/14/845256014.db2.gz GPHXYNBEPHYSJJ-ZYHUDNBSSA-N 1 2 315.377 1.994 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)[C@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001409531963 845256017 /nfs/dbraw/zinc/25/60/17/845256017.db2.gz GPHXYNBEPHYSJJ-ZYHUDNBSSA-N 1 2 315.377 1.994 20 30 DDEDLO C#CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cccc(=O)[nH]1 ZINC001272345449 846053211 /nfs/dbraw/zinc/05/32/11/846053211.db2.gz CTYZXNMAUTUQKK-GASCZTMLSA-N 1 2 313.401 1.622 20 30 DDEDLO C#CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cccc(=O)[nH]1 ZINC001272345449 846053220 /nfs/dbraw/zinc/05/32/20/846053220.db2.gz CTYZXNMAUTUQKK-GASCZTMLSA-N 1 2 313.401 1.622 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cscc2C)C1 ZINC001107851002 847341194 /nfs/dbraw/zinc/34/11/94/847341194.db2.gz VFRCEUHWOOUKQW-INIZCTEOSA-N 1 2 306.431 1.901 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cscc2C)C1 ZINC001107851002 847341204 /nfs/dbraw/zinc/34/12/04/847341204.db2.gz VFRCEUHWOOUKQW-INIZCTEOSA-N 1 2 306.431 1.901 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@H+](Cc3cc(C)on3)C2)OCC1=O ZINC001272772666 847406691 /nfs/dbraw/zinc/40/66/91/847406691.db2.gz LZVQJLHYEJXHIO-INIZCTEOSA-N 1 2 305.378 1.362 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC[N@@H+](Cc3cc(C)on3)C2)OCC1=O ZINC001272772666 847406694 /nfs/dbraw/zinc/40/66/94/847406694.db2.gz LZVQJLHYEJXHIO-INIZCTEOSA-N 1 2 305.378 1.362 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]1COCC[N@@H+]1CCCC1CCC1 ZINC001272860166 847561445 /nfs/dbraw/zinc/56/14/45/847561445.db2.gz YUXFCSASTYDWFI-QGZVFWFLSA-N 1 2 304.434 1.797 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]1COCC[N@H+]1CCCC1CCC1 ZINC001272860166 847561454 /nfs/dbraw/zinc/56/14/54/847561454.db2.gz YUXFCSASTYDWFI-QGZVFWFLSA-N 1 2 304.434 1.797 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](CCc1cccc(Cl)c1)C2 ZINC001272892629 847599512 /nfs/dbraw/zinc/59/95/12/847599512.db2.gz FUYOMJWNOBEKHY-UHFFFAOYSA-N 1 2 320.820 1.982 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3cccc4ccccc43)C2)OCC1=O ZINC001272922853 847638114 /nfs/dbraw/zinc/63/81/14/847638114.db2.gz AVXOXKURRVKWKC-UHFFFAOYSA-N 1 2 320.392 1.886 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3cccc(Cl)n3)C2)OCC1=O ZINC001272961783 847710887 /nfs/dbraw/zinc/71/08/87/847710887.db2.gz IKDVAVLQPJNWTQ-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3cccc(Cl)n3)C2)OCC1=O ZINC001272961783 847710893 /nfs/dbraw/zinc/71/08/93/847710893.db2.gz IKDVAVLQPJNWTQ-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@@H+](Cc3c(F)cccc3F)C2)OCC1=O ZINC001272974741 847726490 /nfs/dbraw/zinc/72/64/90/847726490.db2.gz VIHSZEGVBWNYEM-KRWDZBQOSA-N 1 2 320.339 1.401 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@H+](Cc3c(F)cccc3F)C2)OCC1=O ZINC001272974741 847726493 /nfs/dbraw/zinc/72/64/93/847726493.db2.gz VIHSZEGVBWNYEM-KRWDZBQOSA-N 1 2 320.339 1.401 20 30 DDEDLO Cc1c[nH]cc1C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001273063465 847856345 /nfs/dbraw/zinc/85/63/45/847856345.db2.gz UKTBXMMSMZNZGY-UHFFFAOYSA-N 1 2 323.400 1.491 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H](NC(=O)CCOC)[C@H]1C ZINC001410931560 849984471 /nfs/dbraw/zinc/98/44/71/849984471.db2.gz XTOSCZTZMJKRNB-NEPJUHHUSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H](NC(=O)CCOC)[C@H]1C ZINC001410931560 849984477 /nfs/dbraw/zinc/98/44/77/849984477.db2.gz XTOSCZTZMJKRNB-NEPJUHHUSA-N 1 2 319.243 1.901 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@H](NC(=O)C#CC(C)(C)C)CC2)n1 ZINC001273418240 850176394 /nfs/dbraw/zinc/17/63/94/850176394.db2.gz NIWMXWZOZKANOR-AWEZNQCLSA-N 1 2 318.421 1.898 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@H](NC(=O)C#CC(C)(C)C)CC2)n1 ZINC001273418240 850176400 /nfs/dbraw/zinc/17/64/00/850176400.db2.gz NIWMXWZOZKANOR-AWEZNQCLSA-N 1 2 318.421 1.898 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cnn(C)n2)CC1 ZINC001273445797 850815448 /nfs/dbraw/zinc/81/54/48/850815448.db2.gz OLVUBECSGPFZNN-AWEZNQCLSA-N 1 2 305.426 1.642 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCC[N@H+](Cc2cnn(C)n2)CC1 ZINC001273445797 850815453 /nfs/dbraw/zinc/81/54/53/850815453.db2.gz OLVUBECSGPFZNN-AWEZNQCLSA-N 1 2 305.426 1.642 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)CO[C@@H](C)CC)O2 ZINC001273653917 851187307 /nfs/dbraw/zinc/18/73/07/851187307.db2.gz CZWMGRCRMIJSDL-LSDHHAIUSA-N 1 2 310.438 1.727 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H](CC)OC ZINC001327506161 862225104 /nfs/dbraw/zinc/22/51/04/862225104.db2.gz FQYNPRLENZDPQX-ROUUACIJSA-N 1 2 314.429 1.978 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H](CC)OC ZINC001327506161 862225121 /nfs/dbraw/zinc/22/51/21/862225121.db2.gz FQYNPRLENZDPQX-ROUUACIJSA-N 1 2 314.429 1.978 20 30 DDEDLO C#Cc1cccc(C[NH+]2CC3(C2)COCC(=O)N3[C@@H](C)CC)c1 ZINC001273791902 851346223 /nfs/dbraw/zinc/34/62/23/851346223.db2.gz CALQVNMMNZVTSN-HNNXBMFYSA-N 1 2 312.413 1.880 20 30 DDEDLO C[C@H](C#N)C(=O)NC/C=C/C[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001273910652 851495347 /nfs/dbraw/zinc/49/53/47/851495347.db2.gz QMMOIBLTMSIONV-BYAJROORSA-N 1 2 319.409 1.850 20 30 DDEDLO C=CCN1C(=O)CC[C@]12CCC[N@@H+](Cc1cnn[nH]1)[C@H]2CC ZINC001203199027 862250185 /nfs/dbraw/zinc/25/01/85/862250185.db2.gz ASBMISFKCPZSQV-HOCLYGCPSA-N 1 2 303.410 1.726 20 30 DDEDLO C=CCN1C(=O)CC[C@]12CCC[N@H+](Cc1cnn[nH]1)[C@H]2CC ZINC001203199027 862250199 /nfs/dbraw/zinc/25/01/99/862250199.db2.gz ASBMISFKCPZSQV-HOCLYGCPSA-N 1 2 303.410 1.726 20 30 DDEDLO C=CCN1C(=O)CC[C@]12CCC[N@@H+](Cc1c[nH]nn1)[C@H]2CC ZINC001203199027 862250219 /nfs/dbraw/zinc/25/02/19/862250219.db2.gz ASBMISFKCPZSQV-HOCLYGCPSA-N 1 2 303.410 1.726 20 30 DDEDLO C=CCN1C(=O)CC[C@]12CCC[N@H+](Cc1c[nH]nn1)[C@H]2CC ZINC001203199027 862250238 /nfs/dbraw/zinc/25/02/38/862250238.db2.gz ASBMISFKCPZSQV-HOCLYGCPSA-N 1 2 303.410 1.726 20 30 DDEDLO C=CCN1C(=O)CC[C@]12CCC[N@@H+](Cc1cn[nH]n1)[C@H]2CC ZINC001203199027 862250254 /nfs/dbraw/zinc/25/02/54/862250254.db2.gz ASBMISFKCPZSQV-HOCLYGCPSA-N 1 2 303.410 1.726 20 30 DDEDLO C=CCN1C(=O)CC[C@]12CCC[N@H+](Cc1cn[nH]n1)[C@H]2CC ZINC001203199027 862250273 /nfs/dbraw/zinc/25/02/73/862250273.db2.gz ASBMISFKCPZSQV-HOCLYGCPSA-N 1 2 303.410 1.726 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3C[C@@H](C2)N3C[C@H]2CCC=CO2)cc1C#N ZINC001274899303 852673922 /nfs/dbraw/zinc/67/39/22/852673922.db2.gz UIZQGGFWOVYKGC-FGTMMUONSA-N 1 2 312.417 1.848 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3C[C@@H](C2)N3C[C@H]2CCC=CO2)cc1C#N ZINC001274899303 852673927 /nfs/dbraw/zinc/67/39/27/852673927.db2.gz UIZQGGFWOVYKGC-FGTMMUONSA-N 1 2 312.417 1.848 20 30 DDEDLO C=CCn1cc(CN2C[C@@H]3COC[C@H](C2)[N@@H+]3C2CCCC2)cn1 ZINC001275380997 853041541 /nfs/dbraw/zinc/04/15/41/853041541.db2.gz BYJJVVOUILESHK-HDICACEKSA-N 1 2 316.449 1.897 20 30 DDEDLO C=CCn1cc(CN2C[C@@H]3COC[C@H](C2)[N@H+]3C2CCCC2)cn1 ZINC001275380997 853041545 /nfs/dbraw/zinc/04/15/45/853041545.db2.gz BYJJVVOUILESHK-HDICACEKSA-N 1 2 316.449 1.897 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](C)Cc1ccn(CC)n1 ZINC001275819551 853814947 /nfs/dbraw/zinc/81/49/47/853814947.db2.gz STGUXEVHYNVSND-CZUORRHYSA-N 1 2 308.426 1.167 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](C)Cc1ccn(CC)n1 ZINC001275819551 853814953 /nfs/dbraw/zinc/81/49/53/853814953.db2.gz STGUXEVHYNVSND-CZUORRHYSA-N 1 2 308.426 1.167 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@H](C)CNC(=O)C#CC(C)(C)C)n1 ZINC001275828339 853829058 /nfs/dbraw/zinc/82/90/58/853829058.db2.gz XMQUKSXUJQTJPP-CQSZACIVSA-N 1 2 304.438 1.889 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@H](C)CNC(=O)C#CC(C)(C)C)n1 ZINC001275828339 853829064 /nfs/dbraw/zinc/82/90/64/853829064.db2.gz XMQUKSXUJQTJPP-CQSZACIVSA-N 1 2 304.438 1.889 20 30 DDEDLO Cn1c[nH+]cc1CN1CC2(CCN2Cc2cc(C#N)n(C)c2)C1 ZINC001276145145 854823044 /nfs/dbraw/zinc/82/30/44/854823044.db2.gz VYOCTDZHZINOAF-UHFFFAOYSA-N 1 2 310.405 1.091 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2scc(C)c2Cl)C1 ZINC001325217625 867870319 /nfs/dbraw/zinc/87/03/19/867870319.db2.gz QXVOSQNXNQWDIN-UHFFFAOYSA-N 1 2 312.822 1.510 20 30 DDEDLO C#CC[NH+]1CCC(CCOC)(NC(=O)C(F)C(F)(F)F)CC1 ZINC001151137729 862643605 /nfs/dbraw/zinc/64/36/05/862643605.db2.gz GMQXQTCXLPAWEX-NSHDSACASA-N 1 2 324.318 1.507 20 30 DDEDLO C#CC[NH+]1CCC(CCOC)(NC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001151137729 862643615 /nfs/dbraw/zinc/64/36/15/862643615.db2.gz GMQXQTCXLPAWEX-NSHDSACASA-N 1 2 324.318 1.507 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H](C)[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111250312 855251761 /nfs/dbraw/zinc/25/17/61/855251761.db2.gz ZHVNIEHUMGLLEZ-ZFWWWQNUSA-N 1 2 318.421 1.884 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ncnn2C)[C@@H](C)C1 ZINC001328268632 862846133 /nfs/dbraw/zinc/84/61/33/862846133.db2.gz VGILUISKLTYZGL-UONOGXRCSA-N 1 2 305.426 1.641 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ncnn2C)[C@@H](C)C1 ZINC001328268632 862846149 /nfs/dbraw/zinc/84/61/49/862846149.db2.gz VGILUISKLTYZGL-UONOGXRCSA-N 1 2 305.426 1.641 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072605655 857505189 /nfs/dbraw/zinc/50/51/89/857505189.db2.gz INJAIIKYNANSEG-ZIAGYGMSSA-N 1 2 316.405 1.109 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccncc2Cl)C[C@H]1C ZINC001206641477 862951412 /nfs/dbraw/zinc/95/14/12/862951412.db2.gz UFRKIXNQRFHUBE-MISXGVKJSA-N 1 2 306.797 1.831 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccncc2Cl)C[C@H]1C ZINC001206641477 862951431 /nfs/dbraw/zinc/95/14/31/862951431.db2.gz UFRKIXNQRFHUBE-MISXGVKJSA-N 1 2 306.797 1.831 20 30 DDEDLO C=CCOCCNC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001328416002 862959304 /nfs/dbraw/zinc/95/93/04/862959304.db2.gz LGBNYJYURZNOBJ-MRXNPFEDSA-N 1 2 303.406 1.755 20 30 DDEDLO C=CCOCCNC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001328416002 862959312 /nfs/dbraw/zinc/95/93/12/862959312.db2.gz LGBNYJYURZNOBJ-MRXNPFEDSA-N 1 2 303.406 1.755 20 30 DDEDLO C=CCCCC(=O)NC1(C)CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001073215143 858183480 /nfs/dbraw/zinc/18/34/80/858183480.db2.gz IGAFWKNAQMNKDX-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCN(C)c1nnc([C@H](Cc2c[nH]c[nH+]2)NC(C)=O)n1CC ZINC001121871828 858617058 /nfs/dbraw/zinc/61/70/58/858617058.db2.gz KJMQPSSHVANATI-ZDUSSCGKSA-N 1 2 317.397 1.063 20 30 DDEDLO C=CCN(C)c1nnc([C@H](Cc2c[nH+]c[nH]2)NC(C)=O)n1CC ZINC001121871828 858617060 /nfs/dbraw/zinc/61/70/60/858617060.db2.gz KJMQPSSHVANATI-ZDUSSCGKSA-N 1 2 317.397 1.063 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2C[N@@H+]3CCCC[C@H]3CO2)n1CC ZINC001121884637 858618531 /nfs/dbraw/zinc/61/85/31/858618531.db2.gz CATYVDWWPFNIAG-KBPBESRZSA-N 1 2 303.410 1.293 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2C[N@H+]3CCCC[C@H]3CO2)n1CC ZINC001121884637 858618533 /nfs/dbraw/zinc/61/85/33/858618533.db2.gz CATYVDWWPFNIAG-KBPBESRZSA-N 1 2 303.410 1.293 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1CC ZINC001121885956 858619868 /nfs/dbraw/zinc/61/98/68/858619868.db2.gz UMXNXSCNKCKDGZ-ZNMIVQPWSA-N 1 2 317.437 1.682 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2C[N@H+]3CCCC[C@@H]3CO2)n1CC ZINC001121885956 858619873 /nfs/dbraw/zinc/61/98/73/858619873.db2.gz UMXNXSCNKCKDGZ-ZNMIVQPWSA-N 1 2 317.437 1.682 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001124671785 859775059 /nfs/dbraw/zinc/77/50/59/859775059.db2.gz LYGPYSGGKVPQLL-ZDUSSCGKSA-N 1 2 306.410 1.619 20 30 DDEDLO C=CCc1cccc(C[N@@H+]2CCO[C@H](CC(=O)OC)C2)c1O ZINC001138627656 860148087 /nfs/dbraw/zinc/14/80/87/860148087.db2.gz DPIPOELDCAZDGM-OAHLLOKOSA-N 1 2 305.374 1.885 20 30 DDEDLO C=CCc1cccc(C[N@H+]2CCO[C@H](CC(=O)OC)C2)c1O ZINC001138627656 860148089 /nfs/dbraw/zinc/14/80/89/860148089.db2.gz DPIPOELDCAZDGM-OAHLLOKOSA-N 1 2 305.374 1.885 20 30 DDEDLO CN(Cc1nc(=O)c2sccc2[nH]1)C(=O)[C@H]([NH3+])CCCC#N ZINC001329226576 863548164 /nfs/dbraw/zinc/54/81/64/863548164.db2.gz PHZDFAIQVSOESO-SECBINFHSA-N 1 2 319.390 1.376 20 30 DDEDLO CC[N@H+](Cc1nccn1C)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152855063 863571266 /nfs/dbraw/zinc/57/12/66/863571266.db2.gz VSRQJZWFPLMYAJ-GFCCVEGCSA-N 1 2 314.393 1.260 20 30 DDEDLO CC[N@@H+](Cc1nccn1C)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152855063 863571275 /nfs/dbraw/zinc/57/12/75/863571275.db2.gz VSRQJZWFPLMYAJ-GFCCVEGCSA-N 1 2 314.393 1.260 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC[NH2+]CC(F)(F)C(F)F ZINC001157201176 863648955 /nfs/dbraw/zinc/64/89/55/863648955.db2.gz CHCUFNUQIQYVFD-NSHDSACASA-N 1 2 300.296 1.310 20 30 DDEDLO N#CCN1CCCCC[C@H]1CNC(=O)CCCn1cc[nH+]c1 ZINC001329952465 863970004 /nfs/dbraw/zinc/97/00/04/863970004.db2.gz KMMJQXUAXTXENE-HNNXBMFYSA-N 1 2 303.410 1.548 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C=C2CCCCC2)C1 ZINC001329971721 863985704 /nfs/dbraw/zinc/98/57/04/863985704.db2.gz VYSNXZROAZRIMU-CQSZACIVSA-N 1 2 317.433 1.063 20 30 DDEDLO C[C@@H](NC(=O)C#CC(C)(C)C)C1C[NH+](CC(=O)NC(C)(C)C)C1 ZINC001329996613 864004918 /nfs/dbraw/zinc/00/49/18/864004918.db2.gz DTGOIZXTJTWNJE-CYBMUJFWSA-N 1 2 321.465 1.387 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001330073050 864063336 /nfs/dbraw/zinc/06/33/36/864063336.db2.gz YTBDWVAPXNCMGM-RBSFLKMASA-N 1 2 321.465 1.551 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](CCC)C(C)C)C2)C1 ZINC001330161585 864114334 /nfs/dbraw/zinc/11/43/34/864114334.db2.gz XNGLVAAZRMXRKE-SJLPKXTDSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](CCC)C(C)C)C2)C1 ZINC001330161585 864114341 /nfs/dbraw/zinc/11/43/41/864114341.db2.gz XNGLVAAZRMXRKE-SJLPKXTDSA-N 1 2 306.450 1.995 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CC(=O)NCC)C(C)(C)C1 ZINC001330191492 864141472 /nfs/dbraw/zinc/14/14/72/864141472.db2.gz JINFLWWEHVIQQV-NSHDSACASA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CC(=O)NCC)C(C)(C)C1 ZINC001330191492 864141480 /nfs/dbraw/zinc/14/14/80/864141480.db2.gz JINFLWWEHVIQQV-NSHDSACASA-N 1 2 301.818 1.092 20 30 DDEDLO CCc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C2)cs1 ZINC001206662893 864173170 /nfs/dbraw/zinc/17/31/70/864173170.db2.gz VPJOBPLUOHTNGT-DMDPSCGWSA-N 1 2 306.435 1.802 20 30 DDEDLO CCc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C2)cs1 ZINC001206662893 864173177 /nfs/dbraw/zinc/17/31/77/864173177.db2.gz VPJOBPLUOHTNGT-DMDPSCGWSA-N 1 2 306.435 1.802 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC001330777673 864595704 /nfs/dbraw/zinc/59/57/04/864595704.db2.gz JTHJTXQDHBCNRS-HNNXBMFYSA-N 1 2 301.390 1.372 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC001330777673 864595708 /nfs/dbraw/zinc/59/57/08/864595708.db2.gz JTHJTXQDHBCNRS-HNNXBMFYSA-N 1 2 301.390 1.372 20 30 DDEDLO C=CCCCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC001158419640 864640558 /nfs/dbraw/zinc/64/05/58/864640558.db2.gz UNVVVOKJLAUFLU-MRXNPFEDSA-N 1 2 319.453 1.984 20 30 DDEDLO C=CCCCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC001158419640 864640567 /nfs/dbraw/zinc/64/05/67/864640567.db2.gz UNVVVOKJLAUFLU-MRXNPFEDSA-N 1 2 319.453 1.984 20 30 DDEDLO C=C(Br)C[NH2+]CCCNC(=O)[C@@H](F)C(F)(F)F ZINC001158471036 864673632 /nfs/dbraw/zinc/67/36/32/864673632.db2.gz HEPQQTDHQYWWNU-SSDOTTSWSA-N 1 2 321.112 1.891 20 30 DDEDLO C=C(Br)C[NH2+]CCCNC(=O)C(F)C(F)(F)F ZINC001158471036 864673630 /nfs/dbraw/zinc/67/36/30/864673630.db2.gz HEPQQTDHQYWWNU-SSDOTTSWSA-N 1 2 321.112 1.891 20 30 DDEDLO CCc1nc(C[NH2+][C@@H]2CCCC[C@H]2NC(=O)[C@@H](C)C#N)no1 ZINC001225395760 881811647 /nfs/dbraw/zinc/81/16/47/881811647.db2.gz QXINATVIDYMGPJ-QJPTWQEYSA-N 1 2 305.382 1.309 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)CCOCCNC(=O)C#CC(C)C ZINC001331119345 864874816 /nfs/dbraw/zinc/87/48/16/864874816.db2.gz YQDGBVYJYINFHE-UHFFFAOYSA-N 1 2 324.450 1.071 20 30 DDEDLO Cc1nnsc1C[N@H+](C)CCOCCNC(=O)C#CC(C)C ZINC001331119345 864874831 /nfs/dbraw/zinc/87/48/31/864874831.db2.gz YQDGBVYJYINFHE-UHFFFAOYSA-N 1 2 324.450 1.071 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](CO)[NH2+]Cc1nc(C)oc1C ZINC001331759897 865300981 /nfs/dbraw/zinc/30/09/81/865300981.db2.gz XFCXFBAUHPOASA-ZDUSSCGKSA-N 1 2 309.410 1.460 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)[nH]1 ZINC001159587315 865412444 /nfs/dbraw/zinc/41/24/44/865412444.db2.gz NRLVDSZKNDTTKJ-NEPJUHHUSA-N 1 2 316.409 1.472 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)[nH]1 ZINC001159587315 865412450 /nfs/dbraw/zinc/41/24/50/865412450.db2.gz NRLVDSZKNDTTKJ-NEPJUHHUSA-N 1 2 316.409 1.472 20 30 DDEDLO C#CCCOc1ccc(C[NH2+][C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC001331996928 865491889 /nfs/dbraw/zinc/49/18/89/865491889.db2.gz WUNCIPBKCHTFHO-MRXNPFEDSA-N 1 2 313.357 1.597 20 30 DDEDLO C#CCOCCC(=O)NCCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001160112533 865681884 /nfs/dbraw/zinc/68/18/84/865681884.db2.gz PBRLVRDGPWGRIM-UHFFFAOYSA-N 1 2 317.389 1.353 20 30 DDEDLO C#CCOCCC(=O)NCCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001160112533 865681889 /nfs/dbraw/zinc/68/18/89/865681889.db2.gz PBRLVRDGPWGRIM-UHFFFAOYSA-N 1 2 317.389 1.353 20 30 DDEDLO CC(C)(C)C#CCNc1cc(N2CCC[C@H]2C(N)=O)nc[nH+]1 ZINC001160396437 865814996 /nfs/dbraw/zinc/81/49/96/865814996.db2.gz IEHKGHQBSPTOCP-LBPRGKRZSA-N 1 2 301.394 1.392 20 30 DDEDLO CC(C)(C)C#CCNc1cc(N2CCC[C@H]2C(N)=O)[nH+]cn1 ZINC001160396437 865815006 /nfs/dbraw/zinc/81/50/06/865815006.db2.gz IEHKGHQBSPTOCP-LBPRGKRZSA-N 1 2 301.394 1.392 20 30 DDEDLO N#Cc1cnc(Cl)nc1N[C@@H]1CCC[C@@H]1[NH+]1CCOCC1 ZINC001160722944 866069181 /nfs/dbraw/zinc/06/91/81/866069181.db2.gz IWLYQGSGPBRRKL-NEPJUHHUSA-N 1 2 307.785 1.089 20 30 DDEDLO COc1nc(N[C@H]2CCC[C@@H]2[NH+]2CCOCC2)c(F)cc1C#N ZINC001160726350 866078445 /nfs/dbraw/zinc/07/84/45/866078445.db2.gz SDORBYVOYZFRAW-KBPBESRZSA-N 1 2 320.368 1.766 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC001322969671 866227393 /nfs/dbraw/zinc/22/73/93/866227393.db2.gz WENHXTXBVDTDSM-CYBMUJFWSA-N 1 2 302.378 1.035 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@@H](CNC(=O)C#CC2CC2)C(C)C)no1 ZINC001319910069 866377750 /nfs/dbraw/zinc/37/77/50/866377750.db2.gz CRUZTZRZCBIPJI-RISCZKNCSA-N 1 2 304.394 1.583 20 30 DDEDLO C=CCn1cc(C(=O)N(C)CC[N@H+](C)Cc2ccns2)nn1 ZINC001320059825 866451224 /nfs/dbraw/zinc/45/12/24/866451224.db2.gz VBOAZDGDDDAVBA-UHFFFAOYSA-N 1 2 320.422 1.125 20 30 DDEDLO C=CCn1cc(C(=O)N(C)CC[N@@H+](C)Cc2ccns2)nn1 ZINC001320059825 866451227 /nfs/dbraw/zinc/45/12/27/866451227.db2.gz VBOAZDGDDDAVBA-UHFFFAOYSA-N 1 2 320.422 1.125 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1CC[C@@H]1CNC(=O)C#CC(C)C ZINC001323233205 866455690 /nfs/dbraw/zinc/45/56/90/866455690.db2.gz UQSKHQLAGACFOZ-CQSZACIVSA-N 1 2 303.406 1.895 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1CC[C@@H]1CNC(=O)C#CC(C)C ZINC001323233205 866455695 /nfs/dbraw/zinc/45/56/95/866455695.db2.gz UQSKHQLAGACFOZ-CQSZACIVSA-N 1 2 303.406 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1c(C)nsc1C ZINC001320089840 866463721 /nfs/dbraw/zinc/46/37/21/866463721.db2.gz FDXYDPPDBNXSSL-UHFFFAOYSA-N 1 2 324.450 1.066 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1c(C)nsc1C ZINC001320089840 866463729 /nfs/dbraw/zinc/46/37/29/866463729.db2.gz FDXYDPPDBNXSSL-UHFFFAOYSA-N 1 2 324.450 1.066 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@H+]2[C@H]2CCCN(C)C2=O)CCC1 ZINC001323301302 866511799 /nfs/dbraw/zinc/51/17/99/866511799.db2.gz GZCQXHPQXDGCMF-CABCVRRESA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@@H+]2[C@H]2CCCN(C)C2=O)CCC1 ZINC001323301302 866511818 /nfs/dbraw/zinc/51/18/18/866511818.db2.gz GZCQXHPQXDGCMF-CABCVRRESA-N 1 2 319.449 1.544 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H](C)Oc1cccc(C)c1 ZINC001323349704 866554610 /nfs/dbraw/zinc/55/46/10/866554610.db2.gz AQWKKBSBEAPGIE-JKSUJKDBSA-N 1 2 300.402 1.976 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H](C)Oc1cccc(C)c1 ZINC001323349704 866554623 /nfs/dbraw/zinc/55/46/23/866554623.db2.gz AQWKKBSBEAPGIE-JKSUJKDBSA-N 1 2 300.402 1.976 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001323954775 866972282 /nfs/dbraw/zinc/97/22/82/866972282.db2.gz NUIKVRFRTXMICH-CWRNSKLLSA-N 1 2 308.422 1.147 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001333899951 867133745 /nfs/dbraw/zinc/13/37/45/867133745.db2.gz OZZHYDUMNGXSQS-STQMWFEESA-N 1 2 304.394 1.155 20 30 DDEDLO C[C@@H](C#N)C(=O)NC/C=C/C[NH2+]Cc1cnn(-c2ccccc2)n1 ZINC001320998694 867190640 /nfs/dbraw/zinc/19/06/40/867190640.db2.gz PSDUHGCQSTZYKS-GJBLVYBDSA-N 1 2 324.388 1.189 20 30 DDEDLO COCc1nc(C[NH2+]C/C=C/CNC(=O)C#CC2CC2)cs1 ZINC001321052557 867264652 /nfs/dbraw/zinc/26/46/52/867264652.db2.gz SQAVRIKJDFGXDR-NSCUHMNNSA-N 1 2 319.430 1.465 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)N(C)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001334191084 867372496 /nfs/dbraw/zinc/37/24/96/867372496.db2.gz SHHDQGKJDNPMBI-QWHCGFSZSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)N(C)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001334191084 867372512 /nfs/dbraw/zinc/37/25/12/867372512.db2.gz SHHDQGKJDNPMBI-QWHCGFSZSA-N 1 2 306.410 1.518 20 30 DDEDLO C#CC[NH+]1CCC(CNC(=O)C(F)C(F)(F)F)(COC)CC1 ZINC001162288026 867412077 /nfs/dbraw/zinc/41/20/77/867412077.db2.gz DCPZHNKYLWCKBL-LLVKDONJSA-N 1 2 324.318 1.365 20 30 DDEDLO C#CC[NH+]1CCC(CNC(=O)[C@@H](F)C(F)(F)F)(COC)CC1 ZINC001162288026 867412084 /nfs/dbraw/zinc/41/20/84/867412084.db2.gz DCPZHNKYLWCKBL-LLVKDONJSA-N 1 2 324.318 1.365 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@]1(C)CCC[N@H+](Cc2cnon2)C1 ZINC001324926376 867631454 /nfs/dbraw/zinc/63/14/54/867631454.db2.gz IKISQZUPVUULRC-HOTGVXAUSA-N 1 2 322.409 1.115 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@]1(C)CCC[N@@H+](Cc2cnon2)C1 ZINC001324926376 867631463 /nfs/dbraw/zinc/63/14/63/867631463.db2.gz IKISQZUPVUULRC-HOTGVXAUSA-N 1 2 322.409 1.115 20 30 DDEDLO COC(=O)c1c(F)cccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001225942149 882123639 /nfs/dbraw/zinc/12/36/39/882123639.db2.gz KQTWXSDTZVHEDJ-DSRGUXITSA-N 1 2 307.321 1.604 20 30 DDEDLO COC(=O)c1c(F)cccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001225942149 882123647 /nfs/dbraw/zinc/12/36/47/882123647.db2.gz KQTWXSDTZVHEDJ-DSRGUXITSA-N 1 2 307.321 1.604 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)C[N@H+](C)Cc1ccn(C(C)C)n1 ZINC001322155644 868010479 /nfs/dbraw/zinc/01/04/79/868010479.db2.gz FCFWSLSBYUQLOA-LSDHHAIUSA-N 1 2 320.437 1.439 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1ccn(C(C)C)n1 ZINC001322155644 868010496 /nfs/dbraw/zinc/01/04/96/868010496.db2.gz FCFWSLSBYUQLOA-LSDHHAIUSA-N 1 2 320.437 1.439 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)Cc1ncccc1OC ZINC001381566042 882180499 /nfs/dbraw/zinc/18/04/99/882180499.db2.gz BCFXFLHQDUDHME-GFCCVEGCSA-N 1 2 311.813 1.822 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)Cc1ncccc1OC ZINC001381566042 882180512 /nfs/dbraw/zinc/18/05/12/882180512.db2.gz BCFXFLHQDUDHME-GFCCVEGCSA-N 1 2 311.813 1.822 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001164354809 869146973 /nfs/dbraw/zinc/14/69/73/869146973.db2.gz RSKNNPORWFSPKR-ZDUSSCGKSA-N 1 2 324.425 1.457 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2ccc(C#N)cc2F)CC1 ZINC001337075718 869307521 /nfs/dbraw/zinc/30/75/21/869307521.db2.gz FTKIQGNJFITADF-UHFFFAOYSA-N 1 2 305.353 1.492 20 30 DDEDLO COC(=O)c1ccc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)n1C ZINC001338287589 869906023 /nfs/dbraw/zinc/90/60/23/869906023.db2.gz FKMSBSROBVHQMD-BETUJISGSA-N 1 2 304.394 1.230 20 30 DDEDLO COC(=O)c1ccc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)n1C ZINC001338287589 869906029 /nfs/dbraw/zinc/90/60/29/869906029.db2.gz FKMSBSROBVHQMD-BETUJISGSA-N 1 2 304.394 1.230 20 30 DDEDLO C=CCN1CC[NH+](CCNC(=O)c2c[nH]c3ccccc23)CC1 ZINC001316965282 870006674 /nfs/dbraw/zinc/00/66/74/870006674.db2.gz ALLFAJXBAMQVJD-UHFFFAOYSA-N 1 2 312.417 1.701 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](CC)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001297457023 870054502 /nfs/dbraw/zinc/05/45/02/870054502.db2.gz HPILQQZQPHYLNR-ZDUSSCGKSA-N 1 2 320.437 1.874 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001317035321 870144280 /nfs/dbraw/zinc/14/42/80/870144280.db2.gz KOSFVQSEYZGABI-AWEZNQCLSA-N 1 2 305.426 1.452 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001317035321 870144272 /nfs/dbraw/zinc/14/42/72/870144272.db2.gz KOSFVQSEYZGABI-AWEZNQCLSA-N 1 2 305.426 1.452 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)C(C)(C)O)n2CC=C)CC1 ZINC001338905938 870235926 /nfs/dbraw/zinc/23/59/26/870235926.db2.gz NETJSKCVOGTEJM-CQSZACIVSA-N 1 2 317.437 1.094 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H](CCCN2C(=O)CCCn2cc[nH+]c2)C1 ZINC001317099286 870243630 /nfs/dbraw/zinc/24/36/30/870243630.db2.gz AEILBMGPLJWEMN-HZPDHXFCSA-N 1 2 315.421 1.500 20 30 DDEDLO COC(=O)C[C@H]1CN(c2[nH+]ccc3[nH]cc(C#N)c32)CCO1 ZINC001166836855 870298213 /nfs/dbraw/zinc/29/82/13/870298213.db2.gz RDQYDZZFMNEOSA-NSHDSACASA-N 1 2 300.318 1.203 20 30 DDEDLO C=C[C@H](O)c1nnc(N2CCc3cc[nH+]c(N(C)C)c3C2)n1C ZINC001339527994 870541550 /nfs/dbraw/zinc/54/15/50/870541550.db2.gz ZOUBEOGFCTVXSB-ZDUSSCGKSA-N 1 2 314.393 1.058 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@@H]2CCC[C@@H]2C1 ZINC001339531853 870541650 /nfs/dbraw/zinc/54/16/50/870541650.db2.gz VPUVSQBJZWLSEY-LJISPDSOSA-N 1 2 317.437 1.438 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@@H]2CCC[C@@H]2C1 ZINC001339531853 870541661 /nfs/dbraw/zinc/54/16/61/870541661.db2.gz VPUVSQBJZWLSEY-LJISPDSOSA-N 1 2 317.437 1.438 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC2CC1(C)C2 ZINC001339532148 870543389 /nfs/dbraw/zinc/54/33/89/870543389.db2.gz WJESBEVSBIYSNJ-BWAOXVRWSA-N 1 2 303.410 1.190 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC2CC1(C)C2 ZINC001339532148 870543408 /nfs/dbraw/zinc/54/34/08/870543408.db2.gz WJESBEVSBIYSNJ-BWAOXVRWSA-N 1 2 303.410 1.190 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001298778306 870724178 /nfs/dbraw/zinc/72/41/78/870724178.db2.gz STHIFGVZWIXFAL-UONOGXRCSA-N 1 2 304.394 1.259 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001298838191 870747651 /nfs/dbraw/zinc/74/76/51/870747651.db2.gz BPOZRSNFOLLNSC-QWHCGFSZSA-N 1 2 318.421 1.279 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001317386202 870750902 /nfs/dbraw/zinc/75/09/02/870750902.db2.gz XOCIVEGUXQFNIB-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001317386202 870750914 /nfs/dbraw/zinc/75/09/14/870750914.db2.gz XOCIVEGUXQFNIB-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001317446123 870849654 /nfs/dbraw/zinc/84/96/54/870849654.db2.gz VPQSTICTEAAGHI-UONOGXRCSA-N 1 2 309.454 1.409 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001317446123 870849672 /nfs/dbraw/zinc/84/96/72/870849672.db2.gz VPQSTICTEAAGHI-UONOGXRCSA-N 1 2 309.454 1.409 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1ccc(CC)s1 ZINC001317451668 870862779 /nfs/dbraw/zinc/86/27/79/870862779.db2.gz SGKBGKDEYBSYAN-UHFFFAOYSA-N 1 2 309.435 1.274 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1ccc(CC)s1 ZINC001317451668 870862790 /nfs/dbraw/zinc/86/27/90/870862790.db2.gz SGKBGKDEYBSYAN-UHFFFAOYSA-N 1 2 309.435 1.274 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@@H+](CC)Cc1nc(C)c(C)o1 ZINC001317475589 870895232 /nfs/dbraw/zinc/89/52/32/870895232.db2.gz YUPXOIOYRSEDEB-INIZCTEOSA-N 1 2 309.410 1.557 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@H+](CC)Cc1nc(C)c(C)o1 ZINC001317475589 870895236 /nfs/dbraw/zinc/89/52/36/870895236.db2.gz YUPXOIOYRSEDEB-INIZCTEOSA-N 1 2 309.410 1.557 20 30 DDEDLO N#C[C@H]1CCCN(C(=O)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC001340160366 870927848 /nfs/dbraw/zinc/92/78/48/870927848.db2.gz UKESBQSSSBJBMV-CYBMUJFWSA-N 1 2 323.356 1.573 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c(C)c1 ZINC001226495675 882465467 /nfs/dbraw/zinc/46/54/67/882465467.db2.gz ZLDXFBVGXQYLEL-NQPNPBMCSA-N 1 2 303.358 1.773 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c(C)c1 ZINC001226495675 882465479 /nfs/dbraw/zinc/46/54/79/882465479.db2.gz ZLDXFBVGXQYLEL-NQPNPBMCSA-N 1 2 303.358 1.773 20 30 DDEDLO C=CCC[C@@H]1CCCN1C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001340859718 871391747 /nfs/dbraw/zinc/39/17/47/871391747.db2.gz YQPVHYYNPCTRHI-ZACQAIPSSA-N 1 2 322.449 1.823 20 30 DDEDLO CC[N@H+](CCNC(=O)C#CC(C)(C)C)CC(=O)Nc1ccon1 ZINC001317479122 871609127 /nfs/dbraw/zinc/60/91/27/871609127.db2.gz BCNZGAQXDCVVDI-UHFFFAOYSA-N 1 2 320.393 1.101 20 30 DDEDLO CC[N@@H+](CCNC(=O)C#CC(C)(C)C)CC(=O)Nc1ccon1 ZINC001317479122 871609136 /nfs/dbraw/zinc/60/91/36/871609136.db2.gz BCNZGAQXDCVVDI-UHFFFAOYSA-N 1 2 320.393 1.101 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2ccsc2C)C1 ZINC001317930321 871630356 /nfs/dbraw/zinc/63/03/56/871630356.db2.gz JCPXGPVYSJXKLR-CYBMUJFWSA-N 1 2 319.430 1.000 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2ccsc2C)C1 ZINC001317930321 871630380 /nfs/dbraw/zinc/63/03/80/871630380.db2.gz JCPXGPVYSJXKLR-CYBMUJFWSA-N 1 2 319.430 1.000 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(OC)ccn2)C1 ZINC001317931760 871635044 /nfs/dbraw/zinc/63/50/44/871635044.db2.gz YOBAGLNHRRAOBH-OAHLLOKOSA-N 1 2 315.417 1.974 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(OC)ccn2)C1 ZINC001317931760 871635056 /nfs/dbraw/zinc/63/50/56/871635056.db2.gz YOBAGLNHRRAOBH-OAHLLOKOSA-N 1 2 315.417 1.974 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001318119014 871759527 /nfs/dbraw/zinc/75/95/27/871759527.db2.gz KOACJPHDEVJGLO-CQSZACIVSA-N 1 2 311.385 1.145 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1CC(C)(C)C1 ZINC001318215749 871841058 /nfs/dbraw/zinc/84/10/58/871841058.db2.gz IMNQHDFGBUGBPG-HOTGVXAUSA-N 1 2 304.434 1.748 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1CC(C)(C)C1 ZINC001318215749 871841071 /nfs/dbraw/zinc/84/10/71/871841071.db2.gz IMNQHDFGBUGBPG-HOTGVXAUSA-N 1 2 304.434 1.748 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCOCC(F)F ZINC001318215567 871841109 /nfs/dbraw/zinc/84/11/09/871841109.db2.gz HGEPWBFKIHOZQI-STQMWFEESA-N 1 2 302.365 1.910 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCOCC(F)F ZINC001318215567 871841123 /nfs/dbraw/zinc/84/11/23/871841123.db2.gz HGEPWBFKIHOZQI-STQMWFEESA-N 1 2 302.365 1.910 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)c2cocc2C)C1 ZINC001318268889 871894978 /nfs/dbraw/zinc/89/49/78/871894978.db2.gz IAUDYAISMMZAEF-STQMWFEESA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)c2cocc2C)C1 ZINC001318268889 871894992 /nfs/dbraw/zinc/89/49/92/871894992.db2.gz IAUDYAISMMZAEF-STQMWFEESA-N 1 2 305.378 1.083 20 30 DDEDLO CCN(C(=O)C(C)=C1CCC1)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318470933 872090146 /nfs/dbraw/zinc/09/01/46/872090146.db2.gz OWTZSBSCJIPMGB-OAHLLOKOSA-N 1 2 318.421 1.049 20 30 DDEDLO CCN(C(=O)C(C)=C1CCC1)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318470933 872090167 /nfs/dbraw/zinc/09/01/67/872090167.db2.gz OWTZSBSCJIPMGB-OAHLLOKOSA-N 1 2 318.421 1.049 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)C3CC3)n2CC(=C)C)CC1 ZINC001342396257 872190846 /nfs/dbraw/zinc/19/08/46/872190846.db2.gz LHUNCHBHYXPQCG-HNNXBMFYSA-N 1 2 315.421 1.053 20 30 DDEDLO C[C@@H](NCC#N)[C@@H]1CCCCN1C(=O)CCc1[nH+]ccn1C ZINC001316882508 872200100 /nfs/dbraw/zinc/20/01/00/872200100.db2.gz ZJBMQXAFWNTJEL-KGLIPLIRSA-N 1 2 303.410 1.235 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@](C)(CC)CCC)C1 ZINC001316955868 872463766 /nfs/dbraw/zinc/46/37/66/872463766.db2.gz MPJNUIDBBPXEMD-QAPCUYQASA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@](C)(CC)CCC)C1 ZINC001316955868 872463770 /nfs/dbraw/zinc/46/37/70/872463770.db2.gz MPJNUIDBBPXEMD-QAPCUYQASA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nncn2C)C[C@H]1C ZINC001206913694 872755471 /nfs/dbraw/zinc/75/54/71/872755471.db2.gz UXZJUNPXEPZTPH-MGPQQGTHSA-N 1 2 303.410 1.116 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nncn2C)C[C@H]1C ZINC001206913694 872755483 /nfs/dbraw/zinc/75/54/83/872755483.db2.gz UXZJUNPXEPZTPH-MGPQQGTHSA-N 1 2 303.410 1.116 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2cc3n(n2)CCC3)C[C@H]1C ZINC001206947455 872827103 /nfs/dbraw/zinc/82/71/03/872827103.db2.gz WGRPPWNRVUVRCD-RHSMWYFYSA-N 1 2 314.433 1.425 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2cc3n(n2)CCC3)C[C@H]1C ZINC001206947455 872827117 /nfs/dbraw/zinc/82/71/17/872827117.db2.gz WGRPPWNRVUVRCD-RHSMWYFYSA-N 1 2 314.433 1.425 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)NCc1c[nH+]c(C)cc1C ZINC001345397375 873388412 /nfs/dbraw/zinc/38/84/12/873388412.db2.gz JTLKXOPWDQAZLV-OAHLLOKOSA-N 1 2 301.390 1.882 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001207617847 873419434 /nfs/dbraw/zinc/41/94/34/873419434.db2.gz FKUPGSXVYHXTFL-TZMCWYRMSA-N 1 2 322.409 1.440 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001207943690 873674819 /nfs/dbraw/zinc/67/48/19/873674819.db2.gz CTHQGELIJRWCMJ-CQSZACIVSA-N 1 2 318.421 1.906 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001207945112 873679183 /nfs/dbraw/zinc/67/91/83/873679183.db2.gz XCHVBPWYNRTRMA-AWEZNQCLSA-N 1 2 316.405 1.831 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001207951463 873683309 /nfs/dbraw/zinc/68/33/09/873683309.db2.gz ULOVNFHOGHUALN-LRDDRELGSA-N 1 2 322.409 1.040 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001207995879 873726623 /nfs/dbraw/zinc/72/66/23/873726623.db2.gz RXQZDQHGZVMWPB-CQSZACIVSA-N 1 2 318.421 1.933 20 30 DDEDLO CCOC(=O)c1sc(C)nc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001227059652 882800857 /nfs/dbraw/zinc/80/08/57/882800857.db2.gz GCKNHGONBZZLAJ-YZCVJGAOSA-N 1 2 324.402 1.619 20 30 DDEDLO CCOC(=O)c1sc(C)nc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001227059652 882800880 /nfs/dbraw/zinc/80/08/80/882800880.db2.gz GCKNHGONBZZLAJ-YZCVJGAOSA-N 1 2 324.402 1.619 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208963180 874559525 /nfs/dbraw/zinc/55/95/25/874559525.db2.gz HJZZFJSCKKBSJR-UKRRQHHQSA-N 1 2 304.438 1.959 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208963180 874559530 /nfs/dbraw/zinc/55/95/30/874559530.db2.gz HJZZFJSCKKBSJR-UKRRQHHQSA-N 1 2 304.438 1.959 20 30 DDEDLO C#C[C@H]1CCCCN1C(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC001349344137 875122246 /nfs/dbraw/zinc/12/22/46/875122246.db2.gz BLTVUACVOHPYRX-AWEZNQCLSA-N 1 2 310.357 1.596 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001211355205 875765535 /nfs/dbraw/zinc/76/55/35/875765535.db2.gz PBQWFAPXUUDXIK-CYBMUJFWSA-N 1 2 308.382 1.051 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccn(CC)n2)C[C@H]1C ZINC001211427144 875802625 /nfs/dbraw/zinc/80/26/25/875802625.db2.gz BFLXVJKCHMZDHP-IIAWOOMASA-N 1 2 320.437 1.431 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccn(CC)n2)C[C@H]1C ZINC001211427144 875802633 /nfs/dbraw/zinc/80/26/33/875802633.db2.gz BFLXVJKCHMZDHP-IIAWOOMASA-N 1 2 320.437 1.431 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2(C3CC3)CCC2)[C@H](OC)C1 ZINC001213224226 875853990 /nfs/dbraw/zinc/85/39/90/875853990.db2.gz SAPPORZRMGNKOU-HZPDHXFCSA-N 1 2 320.433 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2(C3CC3)CCC2)[C@H](OC)C1 ZINC001213224226 875853995 /nfs/dbraw/zinc/85/39/95/875853995.db2.gz SAPPORZRMGNKOU-HZPDHXFCSA-N 1 2 320.433 1.032 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CCC)c2ccccn2)[C@H](OC)C1 ZINC001213335646 875901454 /nfs/dbraw/zinc/90/14/54/875901454.db2.gz IDIXPOHDWCKAKE-DJIMGWMZSA-N 1 2 315.417 1.414 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CCC)c2ccccn2)[C@H](OC)C1 ZINC001213335646 875901461 /nfs/dbraw/zinc/90/14/61/875901461.db2.gz IDIXPOHDWCKAKE-DJIMGWMZSA-N 1 2 315.417 1.414 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213389614 875918160 /nfs/dbraw/zinc/91/81/60/875918160.db2.gz BLIRQDJKGMIAGJ-INMHGKMJSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213389614 875918166 /nfs/dbraw/zinc/91/81/66/875918166.db2.gz BLIRQDJKGMIAGJ-INMHGKMJSA-N 1 2 321.421 1.901 20 30 DDEDLO CN1CC[NH+](Cc2ccccc2Nc2ccncc2C#N)CC1 ZINC001214013569 876129697 /nfs/dbraw/zinc/12/96/97/876129697.db2.gz WSIFICDZPVPXDA-UHFFFAOYSA-N 1 2 307.401 1.866 20 30 DDEDLO C[C@@H](CN(C)C(=O)c1c[nH]c(C#N)c1)[NH2+][C@@H](C)c1csnn1 ZINC001379095932 876386756 /nfs/dbraw/zinc/38/67/56/876386756.db2.gz OVKJNCPPXUABSF-UWVGGRQHSA-N 1 2 318.406 1.549 20 30 DDEDLO C=CCn1c(N(C)CC(C)(C)C)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001352062676 876579435 /nfs/dbraw/zinc/57/94/35/876579435.db2.gz KXJYGPYFYVJOQM-CXAGYDPISA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(C)CC(C)(C)C)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001352062676 876579444 /nfs/dbraw/zinc/57/94/44/876579444.db2.gz KXJYGPYFYVJOQM-CXAGYDPISA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCC(CC=C)C(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001227520084 883052228 /nfs/dbraw/zinc/05/22/28/883052228.db2.gz GLELTWPPMRWCTE-UHFFFAOYSA-N 1 2 317.437 1.664 20 30 DDEDLO C[C@H](C[NH2+][C@H](C)c1csnn1)NC(=O)c1ccc(C#N)[nH]1 ZINC001379353264 876899164 /nfs/dbraw/zinc/89/91/64/876899164.db2.gz PEAKKKPDEJDHQM-RKDXNWHRSA-N 1 2 304.379 1.207 20 30 DDEDLO C[C@H](C(=O)NC[C@H](CO)[NH2+]Cc1cc(F)ccc1C#N)C1CC1 ZINC001379366587 876930265 /nfs/dbraw/zinc/93/02/65/876930265.db2.gz AKJMCKVECYNFFK-MEDUHNTESA-N 1 2 319.380 1.310 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001352834634 876961993 /nfs/dbraw/zinc/96/19/93/876961993.db2.gz LACANMRLFSMEPD-KGLIPLIRSA-N 1 2 304.394 1.518 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2cnsn2)C1 ZINC001216913306 877092486 /nfs/dbraw/zinc/09/24/86/877092486.db2.gz GWSXULALIQCXHT-DGCLKSJQSA-N 1 2 310.423 1.067 20 30 DDEDLO C#CCCCCC(=O)N1CCO[C@@H]2C[N@@H+](C/C=C/Cl)C[C@@H]21 ZINC001217684420 877262065 /nfs/dbraw/zinc/26/20/65/877262065.db2.gz NFXAPKACJBXAFT-VKGGURKZSA-N 1 2 310.825 1.844 20 30 DDEDLO C#CCCCCC(=O)N1CCO[C@@H]2C[N@H+](C/C=C/Cl)C[C@@H]21 ZINC001217684420 877262081 /nfs/dbraw/zinc/26/20/81/877262081.db2.gz NFXAPKACJBXAFT-VKGGURKZSA-N 1 2 310.825 1.844 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cnn(C)c3)C[C@@H]21 ZINC001218037408 877377550 /nfs/dbraw/zinc/37/75/50/877377550.db2.gz MBOJLJAMZNZUIR-JKSUJKDBSA-N 1 2 318.421 1.188 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cnn(C)c3)C[C@@H]21 ZINC001218037408 877377564 /nfs/dbraw/zinc/37/75/64/877377564.db2.gz MBOJLJAMZNZUIR-JKSUJKDBSA-N 1 2 318.421 1.188 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](CC)CC(F)F)[C@H]2C1 ZINC001218100833 877392344 /nfs/dbraw/zinc/39/23/44/877392344.db2.gz CRJXRMCZZCTMLA-FRRDWIJNSA-N 1 2 300.349 1.213 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](CC)CC(F)F)[C@H]2C1 ZINC001218100833 877392324 /nfs/dbraw/zinc/39/23/24/877392324.db2.gz CRJXRMCZZCTMLA-FRRDWIJNSA-N 1 2 300.349 1.213 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219201250 878006941 /nfs/dbraw/zinc/00/69/41/878006941.db2.gz XSWLILZAGCULIS-CVEARBPZSA-N 1 2 301.390 1.410 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219201250 878006961 /nfs/dbraw/zinc/00/69/61/878006961.db2.gz XSWLILZAGCULIS-CVEARBPZSA-N 1 2 301.390 1.410 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219581852 878360393 /nfs/dbraw/zinc/36/03/93/878360393.db2.gz VIDPVVKRXKLFFG-CVEARBPZSA-N 1 2 320.820 1.661 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219581852 878360405 /nfs/dbraw/zinc/36/04/05/878360405.db2.gz VIDPVVKRXKLFFG-CVEARBPZSA-N 1 2 320.820 1.661 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@H+](C[C@@H](F)CC)C[C@@H]1O ZINC001219702831 878465831 /nfs/dbraw/zinc/46/58/31/878465831.db2.gz ISNVXDAWLNWJOR-TUAOUCFPSA-N 1 2 306.809 1.675 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](C[C@@H](F)CC)C[C@@H]1O ZINC001219702831 878465842 /nfs/dbraw/zinc/46/58/42/878465842.db2.gz ISNVXDAWLNWJOR-TUAOUCFPSA-N 1 2 306.809 1.675 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001355700660 878684045 /nfs/dbraw/zinc/68/40/45/878684045.db2.gz HSOATQGXODEPFU-ZDUSSCGKSA-N 1 2 318.421 1.232 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)CC(F)(F)F ZINC001355920647 878777642 /nfs/dbraw/zinc/77/76/42/878777642.db2.gz UTWBUAUUXBVNIS-NXEZZACHSA-N 1 2 319.331 1.200 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)CC(F)(F)F ZINC001355920647 878777663 /nfs/dbraw/zinc/77/76/63/878777663.db2.gz UTWBUAUUXBVNIS-NXEZZACHSA-N 1 2 319.331 1.200 20 30 DDEDLO C=CCn1c([C@@H](C)C(C)C)nnc1N(C)CC[NH+]1CCOCC1 ZINC001356180348 878909796 /nfs/dbraw/zinc/90/97/96/878909796.db2.gz SNFHNEVJZNDPBI-HNNXBMFYSA-N 1 2 321.469 1.992 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001287697605 912401982 /nfs/dbraw/zinc/40/19/82/912401982.db2.gz XCIDHDOKVLVCGH-STQMWFEESA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+](CCN(C)C(=O)CC)CC1 ZINC001356698150 879201526 /nfs/dbraw/zinc/20/15/26/879201526.db2.gz AMGRSJHINKDZPK-UHFFFAOYSA-N 1 2 321.465 1.767 20 30 DDEDLO C=CCCC(=O)NCC1CN(C(=O)c2cccc3[nH+]ccn32)C1 ZINC001356803501 879390936 /nfs/dbraw/zinc/39/09/36/879390936.db2.gz OSHMOWWXKLXKDJ-UHFFFAOYSA-N 1 2 312.373 1.489 20 30 DDEDLO C=C(C)CCC(=O)N[C@](C)(CNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001356956270 879628171 /nfs/dbraw/zinc/62/81/71/879628171.db2.gz CEXUOFJPKHQYGG-QGZVFWFLSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]2CN(C(=O)c3ccoc3)[C@@H]2C1 ZINC001221268065 879632125 /nfs/dbraw/zinc/63/21/25/879632125.db2.gz LPEUPXIBCAXTEL-UMVBOHGHSA-N 1 2 317.389 1.117 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]2CN(C(=O)c3ccoc3)[C@@H]2C1 ZINC001221268065 879632133 /nfs/dbraw/zinc/63/21/33/879632133.db2.gz LPEUPXIBCAXTEL-UMVBOHGHSA-N 1 2 317.389 1.117 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C(C)=C1CCCC1 ZINC001276825023 880066088 /nfs/dbraw/zinc/06/60/88/880066088.db2.gz XPSXSCPQGVNBFG-HOCLYGCPSA-N 1 2 319.449 1.758 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C(C)=C1CCCC1 ZINC001276825023 880066108 /nfs/dbraw/zinc/06/61/08/880066108.db2.gz XPSXSCPQGVNBFG-HOCLYGCPSA-N 1 2 319.449 1.758 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC)C[C@H]21 ZINC001221790786 880085603 /nfs/dbraw/zinc/08/56/03/880085603.db2.gz YZJWKNHEAWKUEH-CKEIUWERSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC)C[C@H]21 ZINC001221790786 880085610 /nfs/dbraw/zinc/08/56/10/880085610.db2.gz YZJWKNHEAWKUEH-CKEIUWERSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)C(C)C)[C@@H]2C1 ZINC001221844107 880115551 /nfs/dbraw/zinc/11/55/51/880115551.db2.gz DBZOZIZLBUWWBX-RBSFLKMASA-N 1 2 307.438 1.113 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)C(C)C)[C@@H]2C1 ZINC001221844107 880115561 /nfs/dbraw/zinc/11/55/61/880115561.db2.gz DBZOZIZLBUWWBX-RBSFLKMASA-N 1 2 307.438 1.113 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001222067063 880209096 /nfs/dbraw/zinc/20/90/96/880209096.db2.gz BNWSPKJSKBMDRD-WCQYABFASA-N 1 2 308.382 1.050 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001222067722 880211363 /nfs/dbraw/zinc/21/13/63/880211363.db2.gz LTROMABRSIXPIJ-NWDGAFQWSA-N 1 2 310.423 1.210 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3cc(C)no3)C[C@H]21 ZINC001222069637 880217357 /nfs/dbraw/zinc/21/73/57/880217357.db2.gz UORHAWNMRLHGSC-SQWLQELKSA-N 1 2 319.405 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3cc(C)no3)C[C@H]21 ZINC001222069637 880217374 /nfs/dbraw/zinc/21/73/74/880217374.db2.gz UORHAWNMRLHGSC-SQWLQELKSA-N 1 2 319.405 1.607 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H](C#N)[C@H](OCCn2cc[nH+]c2)C1 ZINC001222134859 880276823 /nfs/dbraw/zinc/27/68/23/880276823.db2.gz QHZIZMMIGFUPEA-CHWSQXEVSA-N 1 2 306.366 1.659 20 30 DDEDLO C=C1CC(C)(C(=O)NC/C=C/CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001358024050 880297096 /nfs/dbraw/zinc/29/70/96/880297096.db2.gz NPWPFTIVTALIFX-ONEGZZNKSA-N 1 2 302.378 1.097 20 30 DDEDLO C=CC(=O)OC[C@@H](COC(=O)C(=C)C)OCc1c[nH+]cn1C ZINC001222595407 880586965 /nfs/dbraw/zinc/58/69/65/880586965.db2.gz YZGYMWYFGLQPTG-ZDUSSCGKSA-N 1 2 308.334 1.154 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC001358652043 880692663 /nfs/dbraw/zinc/69/26/63/880692663.db2.gz KLAXMYPUIOEIFQ-CYBMUJFWSA-N 1 2 304.394 1.565 20 30 DDEDLO C=CCCCC(=O)N(C)C1CN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001358747858 880849804 /nfs/dbraw/zinc/84/98/04/880849804.db2.gz OPTWJAPZWLHFOV-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCCC(=O)N(C)C1CN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001358747858 880849809 /nfs/dbraw/zinc/84/98/09/880849809.db2.gz OPTWJAPZWLHFOV-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@](C)(NC(=O)Cn2cc[nH+]c2)C1 ZINC001358798106 880932711 /nfs/dbraw/zinc/93/27/11/880932711.db2.gz AKEDANRBSFHONV-MRXNPFEDSA-N 1 2 304.394 1.203 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)cccc1C ZINC001276932763 881155442 /nfs/dbraw/zinc/15/54/42/881155442.db2.gz SSQFSQOZOVZIEH-INIZCTEOSA-N 1 2 300.402 1.757 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)cccc1C ZINC001276932763 881155446 /nfs/dbraw/zinc/15/54/46/881155446.db2.gz SSQFSQOZOVZIEH-INIZCTEOSA-N 1 2 300.402 1.757 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H](CC)Oc1cccc(C)c1 ZINC001276938656 881182163 /nfs/dbraw/zinc/18/21/63/881182163.db2.gz AQSXHYRDDGZBEM-DOTOQJQBSA-N 1 2 300.402 1.976 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H](CC)Oc1cccc(C)c1 ZINC001276938656 881182168 /nfs/dbraw/zinc/18/21/68/881182168.db2.gz AQSXHYRDDGZBEM-DOTOQJQBSA-N 1 2 300.402 1.976 20 30 DDEDLO CCOC(=O)Cc1nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cs1 ZINC001229420082 883973623 /nfs/dbraw/zinc/97/36/23/883973623.db2.gz ANDRJWRGRYLPSK-HTXLRQDYSA-N 1 2 324.402 1.240 20 30 DDEDLO CCOC(=O)Cc1nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cs1 ZINC001229420082 883973634 /nfs/dbraw/zinc/97/36/34/883973634.db2.gz ANDRJWRGRYLPSK-HTXLRQDYSA-N 1 2 324.402 1.240 20 30 DDEDLO C=CCCNC(=S)N1CC2(C1)C[NH+](Cc1cccc(=O)[nH]1)C2 ZINC001277401995 884066101 /nfs/dbraw/zinc/06/61/01/884066101.db2.gz YBJUYCPHNYOVQU-UHFFFAOYSA-N 1 2 318.446 1.355 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2nccn2CC)CC1 ZINC001230407049 884473076 /nfs/dbraw/zinc/47/30/76/884473076.db2.gz HWRCZIYKXGLWMD-CQSZACIVSA-N 1 2 320.437 1.575 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CCCNC(=O)CC)Cc1cccnc1 ZINC001230632441 884671420 /nfs/dbraw/zinc/67/14/20/884671420.db2.gz HLCHVELEZWTWDI-UHFFFAOYSA-N 1 2 318.421 1.102 20 30 DDEDLO C=CCNC(=O)C[N@H+](CCCNC(=O)CC)Cc1cccnc1 ZINC001230632441 884671427 /nfs/dbraw/zinc/67/14/27/884671427.db2.gz HLCHVELEZWTWDI-UHFFFAOYSA-N 1 2 318.421 1.102 20 30 DDEDLO CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001230664834 884719571 /nfs/dbraw/zinc/71/95/71/884719571.db2.gz PWLPNJXBSDXNIX-LBPRGKRZSA-N 1 2 307.419 1.689 20 30 DDEDLO CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001230664834 884719587 /nfs/dbraw/zinc/71/95/87/884719587.db2.gz PWLPNJXBSDXNIX-LBPRGKRZSA-N 1 2 307.419 1.689 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)Cc1ccsc1 ZINC001230671373 884728082 /nfs/dbraw/zinc/72/80/82/884728082.db2.gz OHSUVIMAJHNVFA-OAHLLOKOSA-N 1 2 306.431 1.473 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)Cc1ccsc1 ZINC001230671373 884728093 /nfs/dbraw/zinc/72/80/93/884728093.db2.gz OHSUVIMAJHNVFA-OAHLLOKOSA-N 1 2 306.431 1.473 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCCCC ZINC001230782490 884870861 /nfs/dbraw/zinc/87/08/61/884870861.db2.gz YMUJGZZWNCHJEW-HUUCEWRRSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCCCC ZINC001230782490 884870872 /nfs/dbraw/zinc/87/08/72/884870872.db2.gz YMUJGZZWNCHJEW-HUUCEWRRSA-N 1 2 309.454 1.790 20 30 DDEDLO C=C(C)CCC(=O)NCC1=CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001288403732 912881110 /nfs/dbraw/zinc/88/11/10/912881110.db2.gz AFDRTBXGFSZFAI-UHFFFAOYSA-N 1 2 316.405 1.583 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)/C(C)=C\CC)C1=O ZINC001231001983 885124822 /nfs/dbraw/zinc/12/48/22/885124822.db2.gz AXBBJFMXELDHBV-QAIKFOBFSA-N 1 2 319.449 1.662 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)/C(C)=C\CC)C1=O ZINC001231001983 885124828 /nfs/dbraw/zinc/12/48/28/885124828.db2.gz AXBBJFMXELDHBV-QAIKFOBFSA-N 1 2 319.449 1.662 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001231113207 885241783 /nfs/dbraw/zinc/24/17/83/885241783.db2.gz HCJBDRKGLSDDRK-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001231113207 885241797 /nfs/dbraw/zinc/24/17/97/885241797.db2.gz HCJBDRKGLSDDRK-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CCC#N ZINC001231114471 885247185 /nfs/dbraw/zinc/24/71/85/885247185.db2.gz NHIBSAWPEVAFQN-OAHLLOKOSA-N 1 2 320.437 1.247 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CCC#N ZINC001231114471 885247202 /nfs/dbraw/zinc/24/72/02/885247202.db2.gz NHIBSAWPEVAFQN-OAHLLOKOSA-N 1 2 320.437 1.247 20 30 DDEDLO C=C(C)C[N@H+](C)CCOCCNC(=O)c1cncc2nc[nH]c21 ZINC001277493760 885336098 /nfs/dbraw/zinc/33/60/98/885336098.db2.gz FUGCGUMQMNRVIN-UHFFFAOYSA-N 1 2 317.393 1.212 20 30 DDEDLO C=C(C)C[N@@H+](C)CCOCCNC(=O)c1cncc2nc[nH]c21 ZINC001277493760 885336116 /nfs/dbraw/zinc/33/61/16/885336116.db2.gz FUGCGUMQMNRVIN-UHFFFAOYSA-N 1 2 317.393 1.212 20 30 DDEDLO CC(C)N(CCN(C)C(=O)CCn1cc[nH+]c1)C(=O)[C@H](C)C#N ZINC001383192698 885381857 /nfs/dbraw/zinc/38/18/57/885381857.db2.gz YPCQTZZHILLEIW-CQSZACIVSA-N 1 2 319.409 1.128 20 30 DDEDLO CCOc1cccc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)[C@@H](C)C#N)n1 ZINC001231247703 885430168 /nfs/dbraw/zinc/43/01/68/885430168.db2.gz QZAZWTHDBDJGDG-DZGCQCFKSA-N 1 2 316.405 1.673 20 30 DDEDLO CCOc1cccc(C[N@H+]2CC[C@@H]2CN(C)C(=O)[C@@H](C)C#N)n1 ZINC001231247703 885430175 /nfs/dbraw/zinc/43/01/75/885430175.db2.gz QZAZWTHDBDJGDG-DZGCQCFKSA-N 1 2 316.405 1.673 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccncc1F ZINC001231350731 885535723 /nfs/dbraw/zinc/53/57/23/885535723.db2.gz UVPYPFBIWUPYDU-ZDUSSCGKSA-N 1 2 305.353 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccncc1F ZINC001231350731 885535745 /nfs/dbraw/zinc/53/57/45/885535745.db2.gz UVPYPFBIWUPYDU-ZDUSSCGKSA-N 1 2 305.353 1.017 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001288535068 912965360 /nfs/dbraw/zinc/96/53/60/912965360.db2.gz IJZNKAWBACKMJH-ZIAGYGMSSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CCN1CC[NH+](Cc2ccc(OC)c(OC(C)=O)c2)CC1 ZINC001231709400 885831774 /nfs/dbraw/zinc/83/17/74/885831774.db2.gz JMFZYMOAYFDYKO-UHFFFAOYSA-N 1 2 304.390 1.924 20 30 DDEDLO Cn1cc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2cc(C#N)ccn2)cn1 ZINC001363276350 885885587 /nfs/dbraw/zinc/88/55/87/885885587.db2.gz ICWJTHLWDCRCNJ-INIZCTEOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2cc(C#N)ccn2)cn1 ZINC001363276350 885885591 /nfs/dbraw/zinc/88/55/91/885885591.db2.gz ICWJTHLWDCRCNJ-INIZCTEOSA-N 1 2 324.388 1.081 20 30 DDEDLO N#Cc1ccccc1C(F)(F)C(=O)N1CC[N@@H+]2C[C@@H](F)C[C@H]2C1 ZINC001363302826 885957239 /nfs/dbraw/zinc/95/72/39/885957239.db2.gz GUAPPBOHAJHHKE-STQMWFEESA-N 1 2 323.318 1.905 20 30 DDEDLO N#Cc1ccccc1C(F)(F)C(=O)N1CC[N@H+]2C[C@@H](F)C[C@H]2C1 ZINC001363302826 885957253 /nfs/dbraw/zinc/95/72/53/885957253.db2.gz GUAPPBOHAJHHKE-STQMWFEESA-N 1 2 323.318 1.905 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C1C[NH+](CCc2scnc2C)C1 ZINC001277597761 886000844 /nfs/dbraw/zinc/00/08/44/886000844.db2.gz KQSZSIVCDMHDRT-CYBMUJFWSA-N 1 2 321.446 1.175 20 30 DDEDLO COc1ccc(N2CC[NH+](Cc3cc(C#N)ccc3O)CC2)nc1 ZINC001232681213 886534117 /nfs/dbraw/zinc/53/41/17/886534117.db2.gz MXXBHRUWSNNHTD-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)/C(C)=C\C)C1=O ZINC001233559954 887107376 /nfs/dbraw/zinc/10/73/76/887107376.db2.gz FXURPUOBPDCIIP-KERCULKWSA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)/C(C)=C\C)C1=O ZINC001233559954 887107381 /nfs/dbraw/zinc/10/73/81/887107381.db2.gz FXURPUOBPDCIIP-KERCULKWSA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)=C1CCCC1 ZINC001233578641 887122930 /nfs/dbraw/zinc/12/29/30/887122930.db2.gz ATQWWZKPAABETG-MRXNPFEDSA-N 1 2 319.449 1.712 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)=C1CCCC1 ZINC001233578641 887122934 /nfs/dbraw/zinc/12/29/34/887122934.db2.gz ATQWWZKPAABETG-MRXNPFEDSA-N 1 2 319.449 1.712 20 30 DDEDLO C[C@H]1Cc2n[nH]cc2C[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC001363833939 887347833 /nfs/dbraw/zinc/34/78/33/887347833.db2.gz MMWUAAZHCXUFRV-LBPRGKRZSA-N 1 2 309.373 1.914 20 30 DDEDLO C[C@H]1Cc2n[nH]cc2C[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC001363833939 887347851 /nfs/dbraw/zinc/34/78/51/887347851.db2.gz MMWUAAZHCXUFRV-LBPRGKRZSA-N 1 2 309.373 1.914 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001233958314 887499062 /nfs/dbraw/zinc/49/90/62/887499062.db2.gz QYCOKKVTSAWTEB-AWEZNQCLSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC(C)(C)C ZINC001233958314 887499074 /nfs/dbraw/zinc/49/90/74/887499074.db2.gz QYCOKKVTSAWTEB-AWEZNQCLSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@H](C)C(C)C ZINC001233966906 887508198 /nfs/dbraw/zinc/50/81/98/887508198.db2.gz RTEUHKBTWZNSCD-HZPDHXFCSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@H](C)C(C)C ZINC001233966906 887508203 /nfs/dbraw/zinc/50/82/03/887508203.db2.gz RTEUHKBTWZNSCD-HZPDHXFCSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cccc(OC)n1 ZINC001233967221 887508825 /nfs/dbraw/zinc/50/88/25/887508825.db2.gz XTMSWMSPKMDBMB-MRXNPFEDSA-N 1 2 315.417 1.926 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cccc(OC)n1 ZINC001233967221 887508842 /nfs/dbraw/zinc/50/88/42/887508842.db2.gz XTMSWMSPKMDBMB-MRXNPFEDSA-N 1 2 315.417 1.926 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)sn1 ZINC001234186901 887725257 /nfs/dbraw/zinc/72/52/57/887725257.db2.gz QHMLALILVPGHNT-AWEZNQCLSA-N 1 2 303.431 1.898 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC2CC2)sn1 ZINC001234186901 887725271 /nfs/dbraw/zinc/72/52/71/887725271.db2.gz QHMLALILVPGHNT-AWEZNQCLSA-N 1 2 303.431 1.898 20 30 DDEDLO COC(=O)c1ccc(C)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)n1 ZINC001234419207 887955110 /nfs/dbraw/zinc/95/51/10/887955110.db2.gz YTEPZJWYANHWBV-VSSGSJIUSA-N 1 2 304.346 1.168 20 30 DDEDLO COC(=O)c1ccc(C)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)n1 ZINC001234419207 887955114 /nfs/dbraw/zinc/95/51/14/887955114.db2.gz YTEPZJWYANHWBV-VSSGSJIUSA-N 1 2 304.346 1.168 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)CC ZINC001234509868 888038340 /nfs/dbraw/zinc/03/83/40/888038340.db2.gz RJKFMDFBTZDJKV-MRXNPFEDSA-N 1 2 321.465 1.766 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)CC ZINC001234509868 888038348 /nfs/dbraw/zinc/03/83/48/888038348.db2.gz RJKFMDFBTZDJKV-MRXNPFEDSA-N 1 2 321.465 1.766 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)[C@@H](C)c1nc(C)no1 ZINC001235228984 888492908 /nfs/dbraw/zinc/49/29/08/888492908.db2.gz LOVDZPRMZASHKH-QWHCGFSZSA-N 1 2 324.425 1.858 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)[C@@H](C)c1nc(C)no1 ZINC001235228984 888492916 /nfs/dbraw/zinc/49/29/16/888492916.db2.gz LOVDZPRMZASHKH-QWHCGFSZSA-N 1 2 324.425 1.858 20 30 DDEDLO N#CCCC[N@@H+]1C[C@H]2CN(C(=O)Nc3cccnc3)C[C@@H](C1)O2 ZINC001277945205 888640005 /nfs/dbraw/zinc/64/00/05/888640005.db2.gz QGEBUWZBIYHQHB-GASCZTMLSA-N 1 2 315.377 1.302 20 30 DDEDLO N#CCCC[N@H+]1C[C@H]2CN(C(=O)Nc3cccnc3)C[C@@H](C1)O2 ZINC001277945205 888640012 /nfs/dbraw/zinc/64/00/12/888640012.db2.gz QGEBUWZBIYHQHB-GASCZTMLSA-N 1 2 315.377 1.302 20 30 DDEDLO C=CCOC[C@H]1C[N@@H+](CCCCO)Cc2nnn(CC3CC3)c21 ZINC001235532446 888714223 /nfs/dbraw/zinc/71/42/23/888714223.db2.gz ZTZWHIGJOFJWIH-OAHLLOKOSA-N 1 2 320.437 1.562 20 30 DDEDLO C=CCOC[C@H]1C[N@H+](CCCCO)Cc2nnn(CC3CC3)c21 ZINC001235532446 888714234 /nfs/dbraw/zinc/71/42/34/888714234.db2.gz ZTZWHIGJOFJWIH-OAHLLOKOSA-N 1 2 320.437 1.562 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001289969899 913305441 /nfs/dbraw/zinc/30/54/41/913305441.db2.gz SUBYHAOZLPKAAU-CQSZACIVSA-N 1 2 318.421 1.614 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCN(C)c1ccc(C#N)c(C)n1 ZINC001396194455 913341938 /nfs/dbraw/zinc/34/19/38/913341938.db2.gz DANRAUOSFWBJCF-UHFFFAOYSA-N 1 2 312.377 1.088 20 30 DDEDLO C=CCCC(=O)NC[C@H](CO)[NH2+]Cc1nc2ccccc2o1 ZINC001278053587 889503138 /nfs/dbraw/zinc/50/31/38/889503138.db2.gz ILNHLMPHIBVSIL-GFCCVEGCSA-N 1 2 303.362 1.361 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(Cc2ccc(Cl)c(C#N)c2)CC1 ZINC001238194647 890128002 /nfs/dbraw/zinc/12/80/02/890128002.db2.gz QORTWTNARUHURZ-UHFFFAOYSA-N 1 2 320.824 1.417 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC2(C[C@H]2C(=O)Nc2nnco2)C1 ZINC001278239674 890240552 /nfs/dbraw/zinc/24/05/52/890240552.db2.gz DLWALCOLMHMODK-ZDUSSCGKSA-N 1 2 309.329 1.402 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)[C@@H]1C ZINC001290591712 913468877 /nfs/dbraw/zinc/46/88/77/913468877.db2.gz ZODCCQZECKMQRU-OLZOCXBDSA-N 1 2 304.394 1.332 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@@H]1C ZINC001290591551 913469861 /nfs/dbraw/zinc/46/98/61/913469861.db2.gz YNBAJGGAQIGEBM-OCCSQVGLSA-N 1 2 304.394 1.414 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)[C@@H]1C ZINC001290591551 913469867 /nfs/dbraw/zinc/46/98/67/913469867.db2.gz YNBAJGGAQIGEBM-OCCSQVGLSA-N 1 2 304.394 1.414 20 30 DDEDLO CCCCCCCCNC(=S)NC[C@@H]([NH3+])C(=O)OCC ZINC001239389200 890625057 /nfs/dbraw/zinc/62/50/57/890625057.db2.gz DKHIQTQQUIZYBS-GFCCVEGCSA-N 1 2 303.472 1.701 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@]1(C)CC[N@H+](Cc2cncc(F)c2)C1 ZINC001278389133 891921795 /nfs/dbraw/zinc/92/17/95/891921795.db2.gz QCQMLJMZRYOSPR-GUYCJALGSA-N 1 2 319.380 1.340 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@]1(C)CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001278389133 891921806 /nfs/dbraw/zinc/92/18/06/891921806.db2.gz QCQMLJMZRYOSPR-GUYCJALGSA-N 1 2 319.380 1.340 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365969081 892071719 /nfs/dbraw/zinc/07/17/19/892071719.db2.gz GMYIBXKARWGCRQ-BXUZGUMPSA-N 1 2 300.337 1.548 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365969081 892071740 /nfs/dbraw/zinc/07/17/40/892071740.db2.gz GMYIBXKARWGCRQ-BXUZGUMPSA-N 1 2 300.337 1.548 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365987561 892136591 /nfs/dbraw/zinc/13/65/91/892136591.db2.gz ZICCOISNSZJPII-GOEBONIOSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365987561 892136601 /nfs/dbraw/zinc/13/66/01/892136601.db2.gz ZICCOISNSZJPII-GOEBONIOSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]([NH3+])Cc1nc(-c2cccc(C#N)c2)no1 ZINC001247970507 893504143 /nfs/dbraw/zinc/50/41/43/893504143.db2.gz FXPRNOSQHJUOCX-LBPRGKRZSA-N 1 2 314.345 1.820 20 30 DDEDLO C[S@@](=O)CC[C@H]([NH3+])c1nc(-c2cc(F)cc(C#N)c2)no1 ZINC001249249776 893898741 /nfs/dbraw/zinc/89/87/41/893898741.db2.gz VVINYRJAQURSMA-WIUDPPPLSA-N 1 2 308.338 1.516 20 30 DDEDLO Cc1nc(C)c(C(=O)NCC[N@@H+](C)Cc2ccccc2C#N)[nH]1 ZINC001366563282 894051624 /nfs/dbraw/zinc/05/16/24/894051624.db2.gz KLTQDEQGPOUBEO-UHFFFAOYSA-N 1 2 311.389 1.760 20 30 DDEDLO Cc1nc(C)c(C(=O)NCC[N@H+](C)Cc2ccccc2C#N)[nH]1 ZINC001366563282 894051645 /nfs/dbraw/zinc/05/16/45/894051645.db2.gz KLTQDEQGPOUBEO-UHFFFAOYSA-N 1 2 311.389 1.760 20 30 DDEDLO CC[C@@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)NC(=O)[C@@H](C)C#N ZINC001388115131 894588979 /nfs/dbraw/zinc/58/89/79/894588979.db2.gz OUDOCGZWGOSYMV-JQWIXIFHSA-N 1 2 313.361 1.347 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1ccnc2n[nH]nc21)C1CC1 ZINC001366745671 894796288 /nfs/dbraw/zinc/79/62/88/894796288.db2.gz SLEXLATZYZFMAP-UHFFFAOYSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1ccnc2n[nH]nc21)C1CC1 ZINC001366745671 894796299 /nfs/dbraw/zinc/79/62/99/894796299.db2.gz SLEXLATZYZFMAP-UHFFFAOYSA-N 1 2 320.784 1.300 20 30 DDEDLO C=CCOC[C@@H](O)CNc1ccc([NH+]2CCC(O)CC2)cc1 ZINC001252477179 895195130 /nfs/dbraw/zinc/19/51/30/895195130.db2.gz SWPBECXFOXLLFS-KRWDZBQOSA-N 1 2 306.406 1.623 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1Cc2nnn(CC)c2[C@H](COCC)C1 ZINC001252594910 895294414 /nfs/dbraw/zinc/29/44/14/895294414.db2.gz BKIDPYZQHZOHGN-UONOGXRCSA-N 1 2 308.426 1.561 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1Cc2nnn(CC)c2[C@H](COCC)C1 ZINC001252594910 895294425 /nfs/dbraw/zinc/29/44/25/895294425.db2.gz BKIDPYZQHZOHGN-UONOGXRCSA-N 1 2 308.426 1.561 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1Cc2nnn(CC)c2[C@@H](COCC)C1 ZINC001252594909 895295722 /nfs/dbraw/zinc/29/57/22/895295722.db2.gz BKIDPYZQHZOHGN-KGLIPLIRSA-N 1 2 308.426 1.561 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1Cc2nnn(CC)c2[C@@H](COCC)C1 ZINC001252594909 895295734 /nfs/dbraw/zinc/29/57/34/895295734.db2.gz BKIDPYZQHZOHGN-KGLIPLIRSA-N 1 2 308.426 1.561 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)Cn2ccccc2=O)C1 ZINC001367068738 895742924 /nfs/dbraw/zinc/74/29/24/895742924.db2.gz JULYUQGTMVNUCX-AWEZNQCLSA-N 1 2 323.824 1.524 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)Cn2ccccc2=O)C1 ZINC001367068738 895742938 /nfs/dbraw/zinc/74/29/38/895742938.db2.gz JULYUQGTMVNUCX-AWEZNQCLSA-N 1 2 323.824 1.524 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001292802379 914015629 /nfs/dbraw/zinc/01/56/29/914015629.db2.gz ZWQBSIBFTOHRLW-QWHCGFSZSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001292802379 914015646 /nfs/dbraw/zinc/01/56/46/914015646.db2.gz ZWQBSIBFTOHRLW-QWHCGFSZSA-N 1 2 306.410 1.423 20 30 DDEDLO CC(C)CCc1noc(C[NH2+]C[C@H](C)N(C)C(=O)[C@H](C)C#N)n1 ZINC001367350965 896524204 /nfs/dbraw/zinc/52/42/04/896524204.db2.gz CMSAJNVEYAVYAS-OLZOCXBDSA-N 1 2 321.425 1.754 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@H+](CC(=O)N2CCCCCC2)C1 ZINC001389366065 897042775 /nfs/dbraw/zinc/04/27/75/897042775.db2.gz PNKNLVVDGPCMAO-CABCVRRESA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@@H+](CC(=O)N2CCCCCC2)C1 ZINC001389366065 897042788 /nfs/dbraw/zinc/04/27/88/897042788.db2.gz PNKNLVVDGPCMAO-CABCVRRESA-N 1 2 320.437 1.129 20 30 DDEDLO COC(=O)[C@H](CCc1cn(C)cn1)[NH2+]C1CCC(C#N)CC1 ZINC001256964122 897647921 /nfs/dbraw/zinc/64/79/21/897647921.db2.gz VNWXCIASRHUHRB-PIMMBPRGSA-N 1 2 304.394 1.566 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cn2nccc2nc1C ZINC001367848615 898018269 /nfs/dbraw/zinc/01/82/69/898018269.db2.gz RCRLFPKFHNBMMR-LLVKDONJSA-N 1 2 321.812 1.840 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cn2nccc2nc1C ZINC001367848615 898018273 /nfs/dbraw/zinc/01/82/73/898018273.db2.gz RCRLFPKFHNBMMR-LLVKDONJSA-N 1 2 321.812 1.840 20 30 DDEDLO C[C@H]1C[NH+](CCc2nnc(C#Cc3cccnc3)o2)C[C@H](C)O1 ZINC001258045725 898031026 /nfs/dbraw/zinc/03/10/26/898031026.db2.gz DYIADNGUJTVPAB-KBPBESRZSA-N 1 2 312.373 1.516 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2c[nH+]cn2CCF)cc1 ZINC001258179566 898096020 /nfs/dbraw/zinc/09/60/20/898096020.db2.gz VSEHDZPSLXFWOG-UHFFFAOYSA-N 1 2 308.338 1.203 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001263680394 900670058 /nfs/dbraw/zinc/67/00/58/900670058.db2.gz ZKLOHMNKDNQUFA-JDFRZJQESA-N 1 2 317.437 1.058 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC1CC1 ZINC001263680394 900670066 /nfs/dbraw/zinc/67/00/66/900670066.db2.gz ZKLOHMNKDNQUFA-JDFRZJQESA-N 1 2 317.437 1.058 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H]2CC[N@H+]2[C@@H]2CCN(CC)C2=O)C1 ZINC001263811917 900725858 /nfs/dbraw/zinc/72/58/58/900725858.db2.gz CJNUARVZUGQIGO-HUUCEWRRSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@@H]2CCN(CC)C2=O)C1 ZINC001263811917 900725869 /nfs/dbraw/zinc/72/58/69/900725869.db2.gz CJNUARVZUGQIGO-HUUCEWRRSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](C)OCCOCC)[C@H]1C ZINC001264175380 900991749 /nfs/dbraw/zinc/99/17/49/900991749.db2.gz INQCFCTXFNJVJV-RDBSUJKOSA-N 1 2 318.845 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)OCCOCC)[C@H]1C ZINC001264175380 900991763 /nfs/dbraw/zinc/99/17/63/900991763.db2.gz INQCFCTXFNJVJV-RDBSUJKOSA-N 1 2 318.845 1.760 20 30 DDEDLO CCC[N@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1cscn1 ZINC001391128329 900992342 /nfs/dbraw/zinc/99/23/42/900992342.db2.gz ZZBJQOIBCFAWIV-UHFFFAOYSA-N 1 2 317.418 1.985 20 30 DDEDLO CCC[N@@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1cscn1 ZINC001391128329 900992355 /nfs/dbraw/zinc/99/23/55/900992355.db2.gz ZZBJQOIBCFAWIV-UHFFFAOYSA-N 1 2 317.418 1.985 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@@H+](Cc1nnc(C)[nH]1)C1CC1 ZINC001265171650 901662765 /nfs/dbraw/zinc/66/27/65/901662765.db2.gz UNSJYWVCZAPDNE-UHFFFAOYSA-N 1 2 305.426 1.796 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@H+](Cc1nnc(C)[nH]1)C1CC1 ZINC001265171650 901662776 /nfs/dbraw/zinc/66/27/76/901662776.db2.gz UNSJYWVCZAPDNE-UHFFFAOYSA-N 1 2 305.426 1.796 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@H](C)CC)C1 ZINC001265222995 901735008 /nfs/dbraw/zinc/73/50/08/901735008.db2.gz OSOGLPVIPMSDTF-CVEARBPZSA-N 1 2 321.465 1.675 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@H](C)CC)C1 ZINC001265222995 901735021 /nfs/dbraw/zinc/73/50/21/901735021.db2.gz OSOGLPVIPMSDTF-CVEARBPZSA-N 1 2 321.465 1.675 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001293601090 914574502 /nfs/dbraw/zinc/57/45/02/914574502.db2.gz IDXFZDZLDYIALY-GXTWGEPZSA-N 1 2 318.421 1.580 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@@H](CNC(=O)C#CC3CC3)C2)n1 ZINC001265582231 902159715 /nfs/dbraw/zinc/15/97/15/902159715.db2.gz NXGKORIXXMCTOF-AWEZNQCLSA-N 1 2 302.378 1.120 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@@H](CNC(=O)C#CC3CC3)C2)n1 ZINC001265582231 902159722 /nfs/dbraw/zinc/15/97/22/902159722.db2.gz NXGKORIXXMCTOF-AWEZNQCLSA-N 1 2 302.378 1.120 20 30 DDEDLO CCc1nnc(C[NH2+]C[C@@H]2CCCCN2C(=O)C#CC(C)C)o1 ZINC001265670630 902282145 /nfs/dbraw/zinc/28/21/45/902282145.db2.gz RIOPBCBBTUOWHX-AWEZNQCLSA-N 1 2 318.421 1.762 20 30 DDEDLO CC[C@H](CNC(=O)[C@H](C)C#N)[NH2+]Cc1nc(CC2CC2)no1 ZINC001391667648 902297963 /nfs/dbraw/zinc/29/79/63/902297963.db2.gz JAHYHUGECOMLGR-ZYHUDNBSSA-N 1 2 305.382 1.166 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cnnn2CC)C1 ZINC001266236150 903170142 /nfs/dbraw/zinc/17/01/42/903170142.db2.gz DXLATUMXSQNVRF-CQSZACIVSA-N 1 2 305.426 1.735 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2cnnn2CC)C1 ZINC001266236150 903170152 /nfs/dbraw/zinc/17/01/52/903170152.db2.gz DXLATUMXSQNVRF-CQSZACIVSA-N 1 2 305.426 1.735 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@@H+](CC(=O)N(C)C)C2)CCC1 ZINC001266236569 903170660 /nfs/dbraw/zinc/17/06/60/903170660.db2.gz KBMZOJMBDAKIDX-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@H+](CC(=O)N(C)C)C2)CCC1 ZINC001266236569 903170662 /nfs/dbraw/zinc/17/06/62/903170662.db2.gz KBMZOJMBDAKIDX-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001316602936 903594870 /nfs/dbraw/zinc/59/48/70/903594870.db2.gz WEVLOILBIQKTAK-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001316602936 903594890 /nfs/dbraw/zinc/59/48/90/903594890.db2.gz WEVLOILBIQKTAK-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCCC[C@@H]1C[NH2+]Cc1nc(C)no1 ZINC001280438253 903677347 /nfs/dbraw/zinc/67/73/47/903677347.db2.gz BWICSEPPDSIKTC-CJNGLKHVSA-N 1 2 322.409 1.176 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)[C@H]1CCOC1 ZINC001392260954 903681477 /nfs/dbraw/zinc/68/14/77/903681477.db2.gz WYQVMBBNYPBOGO-INIZCTEOSA-N 1 2 301.390 1.485 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)[C@H]1CCOC1 ZINC001392260954 903681491 /nfs/dbraw/zinc/68/14/91/903681491.db2.gz WYQVMBBNYPBOGO-INIZCTEOSA-N 1 2 301.390 1.485 20 30 DDEDLO CCc1noc(C[NH2+]C2(CNC(=O)C#CC3CC3)CCC2)n1 ZINC001280477729 903720680 /nfs/dbraw/zinc/72/06/80/903720680.db2.gz MGQYKQGQRTXYEP-UHFFFAOYSA-N 1 2 302.378 1.174 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)C[C@@H]1CCOC[C@@H]1OC ZINC001392280897 903752005 /nfs/dbraw/zinc/75/20/05/903752005.db2.gz JTMRAFOAVPWQQP-KBPBESRZSA-N 1 2 318.845 1.571 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)C[C@@H]1CCOC[C@@H]1OC ZINC001392280897 903752012 /nfs/dbraw/zinc/75/20/12/903752012.db2.gz JTMRAFOAVPWQQP-KBPBESRZSA-N 1 2 318.845 1.571 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)C[C@H]1CCOC[C@@H]1OC ZINC001392280898 903753406 /nfs/dbraw/zinc/75/34/06/903753406.db2.gz JTMRAFOAVPWQQP-KGLIPLIRSA-N 1 2 318.845 1.571 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)C[C@H]1CCOC[C@@H]1OC ZINC001392280898 903753420 /nfs/dbraw/zinc/75/34/20/903753420.db2.gz JTMRAFOAVPWQQP-KGLIPLIRSA-N 1 2 318.845 1.571 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)[C@H]1C ZINC001281149873 904479705 /nfs/dbraw/zinc/47/97/05/904479705.db2.gz PGTCTILDEZQLPA-HIFRSBDPSA-N 1 2 318.421 1.425 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001281224944 904585946 /nfs/dbraw/zinc/58/59/46/904585946.db2.gz FWBYBLAUMBZITO-GJZGRUSLSA-N 1 2 316.405 1.088 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001281441417 904854149 /nfs/dbraw/zinc/85/41/49/904854149.db2.gz FYGOZSWVTILCDB-UONOGXRCSA-N 1 2 318.421 1.401 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001281441417 904854167 /nfs/dbraw/zinc/85/41/67/904854167.db2.gz FYGOZSWVTILCDB-UONOGXRCSA-N 1 2 318.421 1.401 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cccc2ncnn21 ZINC001392679677 904864987 /nfs/dbraw/zinc/86/49/87/904864987.db2.gz YRJUIEFVWWHWND-NSHDSACASA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cccc2ncnn21 ZINC001392679677 904865003 /nfs/dbraw/zinc/86/50/03/904865003.db2.gz YRJUIEFVWWHWND-NSHDSACASA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1ccc2ncn(C)c2n1 ZINC001392692907 904929911 /nfs/dbraw/zinc/92/99/11/904929911.db2.gz TZVKZXWRCWFHAG-LLVKDONJSA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1ccc2ncn(C)c2n1 ZINC001392692907 904929927 /nfs/dbraw/zinc/92/99/27/904929927.db2.gz TZVKZXWRCWFHAG-LLVKDONJSA-N 1 2 321.812 1.771 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+]([C@H](C)c2nnnn2C)CC1(C)C ZINC001281541171 904993156 /nfs/dbraw/zinc/99/31/56/904993156.db2.gz JZYIXAVIDOCTSL-OLZOCXBDSA-N 1 2 320.441 1.454 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+]([C@H](C)c2nnnn2C)CC1(C)C ZINC001281541171 904993167 /nfs/dbraw/zinc/99/31/67/904993167.db2.gz JZYIXAVIDOCTSL-OLZOCXBDSA-N 1 2 320.441 1.454 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H](NC(=O)CSCC#N)C[C@@H]2C)co1 ZINC001281653532 905122466 /nfs/dbraw/zinc/12/24/66/905122466.db2.gz UDCBPNPOUUOVKV-WCQYABFASA-N 1 2 322.434 1.709 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H](NC(=O)CSCC#N)C[C@@H]2C)co1 ZINC001281653532 905122478 /nfs/dbraw/zinc/12/24/78/905122478.db2.gz UDCBPNPOUUOVKV-WCQYABFASA-N 1 2 322.434 1.709 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@H](C)[C@@H](C)NC(=O)C#CC2CC2)n1 ZINC001282129483 905566447 /nfs/dbraw/zinc/56/64/47/905566447.db2.gz SMXIGLVBBFMJEP-CHWSQXEVSA-N 1 2 318.421 1.664 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)[C@H](C)[NH2+]Cc2nnc(C)o2)nc1 ZINC001282219578 905623776 /nfs/dbraw/zinc/62/37/76/905623776.db2.gz LIGNYUBNSCFGPW-WDEREUQCSA-N 1 2 313.361 1.051 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H](C)[N@@H+](C)Cc1ccn(C(C)C)n1 ZINC001282376508 905723466 /nfs/dbraw/zinc/72/34/66/905723466.db2.gz BDSKFJLHKXPGIL-YOEHRIQHSA-N 1 2 322.453 1.728 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H](C)[N@H+](C)Cc1ccn(C(C)C)n1 ZINC001282376508 905723480 /nfs/dbraw/zinc/72/34/80/905723480.db2.gz BDSKFJLHKXPGIL-YOEHRIQHSA-N 1 2 322.453 1.728 20 30 DDEDLO CCCC[C@H](CNCC#N)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001282643143 905915766 /nfs/dbraw/zinc/91/57/66/905915766.db2.gz JVISZKSYHZUOHS-ZIAGYGMSSA-N 1 2 303.410 1.234 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)C1C[NH+](CC(=O)NCCC)C1 ZINC001282738415 906002122 /nfs/dbraw/zinc/00/21/22/906002122.db2.gz FPFWQCOZFJRASR-ZDUSSCGKSA-N 1 2 309.454 1.551 20 30 DDEDLO CC(C)c1nnc(C[NH+]2CCC(C)(NC(=O)[C@@H](C)C#N)CC2)o1 ZINC001393059616 906084361 /nfs/dbraw/zinc/08/43/61/906084361.db2.gz WLKIIULQUJAEAV-LBPRGKRZSA-N 1 2 319.409 1.823 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1([NH2+]Cc2nc(CC3CC3)no2)CCC1 ZINC001393533372 907245138 /nfs/dbraw/zinc/24/51/38/907245138.db2.gz GPWLCVORSSBEBY-NSHDSACASA-N 1 2 317.393 1.310 20 30 DDEDLO C=CCCC(=O)NC1CCN(C(=O)CCc2c[nH+]cn2C)CC1 ZINC001283429658 907343749 /nfs/dbraw/zinc/34/37/49/907343749.db2.gz MZOLBRCZCFJIOP-UHFFFAOYSA-N 1 2 318.421 1.426 20 30 DDEDLO Cc1ccc(C[NH+]2CC3(C2)C[C@@H](NC(=O)[C@H](C)C#N)CO3)cc1 ZINC001393663092 907641358 /nfs/dbraw/zinc/64/13/58/907641358.db2.gz KENXKTTVQLAJDG-GDBMZVCRSA-N 1 2 313.401 1.614 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001284033117 908353709 /nfs/dbraw/zinc/35/37/09/908353709.db2.gz GITIADUTCPMAHI-GUYCJALGSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001284055207 908405145 /nfs/dbraw/zinc/40/51/45/908405145.db2.gz SXZKWBSQDMHVHR-KKUMJFAQSA-N 1 2 318.421 1.954 20 30 DDEDLO CC(C)C#CC(=O)NC1CCC(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001284184065 908608891 /nfs/dbraw/zinc/60/88/91/908608891.db2.gz KZDOIZCIDCMJAE-UHFFFAOYSA-N 1 2 316.405 1.155 20 30 DDEDLO C[C@H](CNC(=O)C#CC(C)(C)C)NC(=O)CCc1[nH+]ccn1C ZINC001284233861 908702702 /nfs/dbraw/zinc/70/27/02/908702702.db2.gz PXMIAKIXZDSFMZ-CYBMUJFWSA-N 1 2 318.421 1.023 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1nc(C)oc1C ZINC001284493022 909073728 /nfs/dbraw/zinc/07/37/28/909073728.db2.gz PEZWTFMDGIACCR-HNNXBMFYSA-N 1 2 323.437 1.899 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1nc(C)oc1C ZINC001284493022 909073744 /nfs/dbraw/zinc/07/37/44/909073744.db2.gz PEZWTFMDGIACCR-HNNXBMFYSA-N 1 2 323.437 1.899 20 30 DDEDLO CC#CCCCC(=O)NCCN(CC)C(=O)Cc1c[nH+]cn1C ZINC001284641377 909289516 /nfs/dbraw/zinc/28/95/16/909289516.db2.gz YOUXYRSJUDPKNY-UHFFFAOYSA-N 1 2 318.421 1.121 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[N@H+](Cc2ccc(F)cn2)CC1(C)C ZINC001394297705 909291770 /nfs/dbraw/zinc/29/17/70/909291770.db2.gz YZIODRRVZKZQKC-FZMZJTMJSA-N 1 2 304.369 1.707 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[N@@H+](Cc2ccc(F)cn2)CC1(C)C ZINC001394297705 909291777 /nfs/dbraw/zinc/29/17/77/909291777.db2.gz YZIODRRVZKZQKC-FZMZJTMJSA-N 1 2 304.369 1.707 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)Cn2ccccc2=O)CC1 ZINC001284986441 909889291 /nfs/dbraw/zinc/88/92/91/909889291.db2.gz OUGIYEUAYRHMGP-UHFFFAOYSA-N 1 2 321.808 1.349 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)Cn2ccccc2=O)CC1 ZINC001284986441 909889311 /nfs/dbraw/zinc/88/93/11/909889311.db2.gz OUGIYEUAYRHMGP-UHFFFAOYSA-N 1 2 321.808 1.349 20 30 DDEDLO COc1cc(C[N@H+](C)CCN(C(=O)[C@H](C)C#N)C(C)C)on1 ZINC001394802129 910600579 /nfs/dbraw/zinc/60/05/79/910600579.db2.gz QKHHKNLUUOCKAN-GFCCVEGCSA-N 1 2 308.382 1.512 20 30 DDEDLO COc1cc(C[N@@H+](C)CCN(C(=O)[C@H](C)C#N)C(C)C)on1 ZINC001394802129 910600590 /nfs/dbraw/zinc/60/05/90/910600590.db2.gz QKHHKNLUUOCKAN-GFCCVEGCSA-N 1 2 308.382 1.512 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CN(C(=O)CCn2cc[nH+]c2)C1 ZINC001286186764 911789627 /nfs/dbraw/zinc/78/96/27/911789627.db2.gz YMXTYXYCIWROFQ-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001286325813 911924589 /nfs/dbraw/zinc/92/45/89/911924589.db2.gz APZAAYGMVXOLIK-UONOGXRCSA-N 1 2 304.394 1.393 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](C)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001295471662 915828393 /nfs/dbraw/zinc/82/83/93/915828393.db2.gz OSTIHVKOBHKBLH-GFCCVEGCSA-N 1 2 312.373 1.601 20 30 DDEDLO CC(C)Cc1nc(C[NH2+][C@H]2C[C@@H](CNC(=O)[C@@H](C)C#N)C2)no1 ZINC001375483357 916086072 /nfs/dbraw/zinc/08/60/72/916086072.db2.gz GGTIBWDUISKYLP-RWMBFGLXSA-N 1 2 319.409 1.412 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[C@@H](C)NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001295914070 916125916 /nfs/dbraw/zinc/12/59/16/916125916.db2.gz IWSQQRILDMMIKL-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[C@@H](C)NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001295914070 916125925 /nfs/dbraw/zinc/12/59/25/916125925.db2.gz IWSQQRILDMMIKL-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCC1(C(=O)NC2CN(C(=O)Cc3c[nH+]c[nH]3)C2)CCCC1 ZINC001297092701 916725010 /nfs/dbraw/zinc/72/50/10/916725010.db2.gz YIYHHWQITUQCHJ-UHFFFAOYSA-N 1 2 316.405 1.416 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@H+](Cc2cc(C3CC3)no2)C1 ZINC001376034639 917528917 /nfs/dbraw/zinc/52/89/17/917528917.db2.gz IJEDGFOYJXQURE-AAEUAGOBSA-N 1 2 302.378 1.792 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@@H+](Cc2cc(C3CC3)no2)C1 ZINC001376034639 917528930 /nfs/dbraw/zinc/52/89/30/917528930.db2.gz IJEDGFOYJXQURE-AAEUAGOBSA-N 1 2 302.378 1.792 20 30 DDEDLO Cc1ocnc1C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001377126192 920398450 /nfs/dbraw/zinc/39/84/50/920398450.db2.gz FLGDFXSBFDXYRE-UHFFFAOYSA-N 1 2 316.336 1.856 20 30 DDEDLO Cc1ocnc1C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001377126192 920398467 /nfs/dbraw/zinc/39/84/67/920398467.db2.gz FLGDFXSBFDXYRE-UHFFFAOYSA-N 1 2 316.336 1.856 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)c2cc(C)ncn2)CC1 ZINC001377547769 922299904 /nfs/dbraw/zinc/29/99/04/922299904.db2.gz BXIBMYSESDFQGK-UHFFFAOYSA-N 1 2 324.812 1.094 20 30 DDEDLO Cc1cccc(NC(=O)C[N@@H+](C)[C@@H](C)CNC(=O)[C@@H](C)C#N)c1 ZINC001378020061 923723129 /nfs/dbraw/zinc/72/31/29/923723129.db2.gz KSHVWVRGWOPDMA-KBPBESRZSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1cccc(NC(=O)C[N@H+](C)[C@@H](C)CNC(=O)[C@@H](C)C#N)c1 ZINC001378020061 923723143 /nfs/dbraw/zinc/72/31/43/923723143.db2.gz KSHVWVRGWOPDMA-KBPBESRZSA-N 1 2 316.405 1.530 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)N2CC[NH+](Cc3ccccn3)CC2)c1 ZINC000614357832 361821044 /nfs/dbraw/zinc/82/10/44/361821044.db2.gz GMDDMNQMEICJRV-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCCN(C=O)CC1 ZINC000272857605 210253661 /nfs/dbraw/zinc/25/36/61/210253661.db2.gz FACFRFRYWPEJBT-ZDUSSCGKSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCCN(C=O)CC1 ZINC000272857605 210253664 /nfs/dbraw/zinc/25/36/64/210253664.db2.gz FACFRFRYWPEJBT-ZDUSSCGKSA-N 1 2 300.362 1.049 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CC[C@H](n3cncn3)C2)c1C#N ZINC000614420521 361846894 /nfs/dbraw/zinc/84/68/94/361846894.db2.gz YGOUNDLYFLGGTA-ZDUSSCGKSA-N 1 2 311.345 1.383 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CC[C@H](n3cncn3)C2)c1C#N ZINC000614420521 361846898 /nfs/dbraw/zinc/84/68/98/361846898.db2.gz YGOUNDLYFLGGTA-ZDUSSCGKSA-N 1 2 311.345 1.383 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000078967020 185136258 /nfs/dbraw/zinc/13/62/58/185136258.db2.gz ZHPOZJGONFSADR-UHFFFAOYSA-N 1 2 304.369 1.344 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNc2ncccc2C#N)cn1 ZINC000328655214 231290691 /nfs/dbraw/zinc/29/06/91/231290691.db2.gz YDZXQAVOXURLBZ-GJZGRUSLSA-N 1 2 314.368 1.711 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNc2ncccc2C#N)cn1 ZINC000328655214 231290694 /nfs/dbraw/zinc/29/06/94/231290694.db2.gz YDZXQAVOXURLBZ-GJZGRUSLSA-N 1 2 314.368 1.711 20 30 DDEDLO C#CC[NH+]1CCC(c2nc(CS(=O)(=O)CCC)no2)CC1 ZINC000278221665 214031522 /nfs/dbraw/zinc/03/15/22/214031522.db2.gz QXLVVRXNEDJRDY-UHFFFAOYSA-N 1 2 311.407 1.207 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCC3CCC(C#N)CC3)C[C@@H]21 ZINC000328901818 539299542 /nfs/dbraw/zinc/29/95/42/539299542.db2.gz ZOGBNZSTXURQOC-SSHXOBKSSA-N 1 2 320.437 1.635 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCC3CCC(C#N)CC3)C[C@@H]21 ZINC000328901818 539299543 /nfs/dbraw/zinc/29/95/43/539299543.db2.gz ZOGBNZSTXURQOC-SSHXOBKSSA-N 1 2 320.437 1.635 20 30 DDEDLO CN(C)c1ccc(C(=O)NC(C)(C)C[NH+]2CCOCC2)cn1 ZINC000331358235 529775039 /nfs/dbraw/zinc/77/50/39/529775039.db2.gz UXRWLOKMHOXTMH-UHFFFAOYSA-N 1 2 306.410 1.563 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NCc3cccc4cc[nH]c43)C[C@@H]21 ZINC000329674177 529785017 /nfs/dbraw/zinc/78/50/17/529785017.db2.gz TUYSPNPLHDWMKJ-LSDHHAIUSA-N 1 2 314.389 1.597 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NCc3cccc4cc[nH]c43)C[C@@H]21 ZINC000329674177 529785018 /nfs/dbraw/zinc/78/50/18/529785018.db2.gz TUYSPNPLHDWMKJ-LSDHHAIUSA-N 1 2 314.389 1.597 20 30 DDEDLO CCC1(CC)C[N@@H+]([C@H](C)C(=O)N(C)CCC#N)CC[S@@]1=O ZINC000248926726 282378529 /nfs/dbraw/zinc/37/85/29/282378529.db2.gz FZQFVOKDBAJERQ-LRTDBIEQSA-N 1 2 313.467 1.370 20 30 DDEDLO CCC1(CC)C[N@H+]([C@H](C)C(=O)N(C)CCC#N)CC[S@@]1=O ZINC000248926726 282378530 /nfs/dbraw/zinc/37/85/30/282378530.db2.gz FZQFVOKDBAJERQ-LRTDBIEQSA-N 1 2 313.467 1.370 20 30 DDEDLO CC#CC(=O)N(Cc1ccccc1)[C@H](C)C[NH+]1CCOCC1 ZINC000277606478 213634523 /nfs/dbraw/zinc/63/45/23/213634523.db2.gz HLOUVHQEXWIONG-MRXNPFEDSA-N 1 2 300.402 1.759 20 30 DDEDLO CCCCC[N@H+](CC(N)=O)CC(=O)NCc1ccc(C#N)cc1 ZINC000272612006 210010888 /nfs/dbraw/zinc/01/08/88/210010888.db2.gz SNCSNVYKCYKCOB-UHFFFAOYSA-N 1 2 316.405 1.152 20 30 DDEDLO CCCCC[N@@H+](CC(N)=O)CC(=O)NCc1ccc(C#N)cc1 ZINC000272612006 210010892 /nfs/dbraw/zinc/01/08/92/210010892.db2.gz SNCSNVYKCYKCOB-UHFFFAOYSA-N 1 2 316.405 1.152 20 30 DDEDLO CC1(C)C[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C[C@@H]1O ZINC000279959733 215271409 /nfs/dbraw/zinc/27/14/09/215271409.db2.gz YHGVDPHXDHYSCR-RYUDHWBXSA-N 1 2 305.403 1.428 20 30 DDEDLO CC1(C)C[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C[C@@H]1O ZINC000279959733 215271412 /nfs/dbraw/zinc/27/14/12/215271412.db2.gz YHGVDPHXDHYSCR-RYUDHWBXSA-N 1 2 305.403 1.428 20 30 DDEDLO CC[C@H](C)NC(=O)CN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000023257725 352183220 /nfs/dbraw/zinc/18/32/20/352183220.db2.gz GCWOQXQNMRGFLD-HNNXBMFYSA-N 1 2 314.433 1.591 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](CC(=O)Nc3ccccc3)CC2)c1 ZINC000029443989 352239453 /nfs/dbraw/zinc/23/94/53/352239453.db2.gz KOMUQLVKMQUVFY-UHFFFAOYSA-N 1 2 321.384 1.714 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)NCCn2cc[nH+]c2)c(Cl)c1 ZINC000490258391 234002490 /nfs/dbraw/zinc/00/24/90/234002490.db2.gz YVFBFJREDUNPBZ-UHFFFAOYSA-N 1 2 317.736 1.163 20 30 DDEDLO N#CCSCC(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000055816845 352745307 /nfs/dbraw/zinc/74/53/07/352745307.db2.gz GBNLQCQWGZDGCT-UHFFFAOYSA-N 1 2 305.403 1.714 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[N@H+](C)C2CC2)cc1C#N ZINC000066940929 353027421 /nfs/dbraw/zinc/02/74/21/353027421.db2.gz LMLZVMPDXDKHDY-UHFFFAOYSA-N 1 2 306.391 1.205 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[N@@H+](C)C2CC2)cc1C#N ZINC000066940929 353027424 /nfs/dbraw/zinc/02/74/24/353027424.db2.gz LMLZVMPDXDKHDY-UHFFFAOYSA-N 1 2 306.391 1.205 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)CSc2cccc(OC)c2)CC1 ZINC000068468144 353113545 /nfs/dbraw/zinc/11/35/45/353113545.db2.gz KPSNAAOWLMHUMI-UHFFFAOYSA-N 1 2 318.442 1.955 20 30 DDEDLO Cn1cccc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000069289317 353155203 /nfs/dbraw/zinc/15/52/03/353155203.db2.gz PCMYFYACJFDXHQ-UHFFFAOYSA-N 1 2 308.385 1.855 20 30 DDEDLO C[C@H](NC(=O)N(C)CC[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000074917975 353337834 /nfs/dbraw/zinc/33/78/34/353337834.db2.gz CPMJWQNIZBYGNZ-AWEZNQCLSA-N 1 2 316.405 1.593 20 30 DDEDLO C=C(Cl)CNC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000077573005 353476912 /nfs/dbraw/zinc/47/69/12/353476912.db2.gz NKPJJVXVTHIUDA-CYBMUJFWSA-N 1 2 319.858 1.246 20 30 DDEDLO CCOC(=O)C1(O)CC[NH+](Cc2cc(C#N)ccc2F)CC1 ZINC000081017325 353645161 /nfs/dbraw/zinc/64/51/61/353645161.db2.gz SQYMHKDEAXECJI-UHFFFAOYSA-N 1 2 306.337 1.587 20 30 DDEDLO COC(=O)[C@](C)([NH2+]C[C@@H](O)COc1ccc(C#N)cc1)C1CC1 ZINC000081210525 353656610 /nfs/dbraw/zinc/65/66/10/353656610.db2.gz FZFMHTWUNMMZGT-RHSMWYFYSA-N 1 2 318.373 1.229 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC[C@H](CCO)C2)cc1 ZINC000081513502 353680490 /nfs/dbraw/zinc/68/04/90/353680490.db2.gz LYGPLHBPWNKHRM-OAHLLOKOSA-N 1 2 322.430 1.426 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@H](CCO)C2)cc1 ZINC000081513502 353680492 /nfs/dbraw/zinc/68/04/92/353680492.db2.gz LYGPLHBPWNKHRM-OAHLLOKOSA-N 1 2 322.430 1.426 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)cc1 ZINC000088777279 353758970 /nfs/dbraw/zinc/75/89/70/353758970.db2.gz UURRGSIHAJFGFO-ZDUSSCGKSA-N 1 2 316.386 1.850 20 30 DDEDLO C[C@H]([NH2+]CC(=O)N[C@@](C)(C#N)C1CC1)c1nc(C(C)(C)C)no1 ZINC000185165570 354280790 /nfs/dbraw/zinc/28/07/90/354280790.db2.gz JYDSZLGGVRXSMQ-QFYYESIMSA-N 1 2 319.409 1.826 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+][C@@H](COC)c1ccc(CC)o1 ZINC000184835154 354281178 /nfs/dbraw/zinc/28/11/78/354281178.db2.gz PLYCGBDWBNZKTC-LBPRGKRZSA-N 1 2 309.366 1.131 20 30 DDEDLO CS(=O)(=O)C1CC[NH+](CCOc2cccc(C#N)c2)CC1 ZINC000314685206 354487809 /nfs/dbraw/zinc/48/78/09/354487809.db2.gz ZZVSYCGZHMCPES-UHFFFAOYSA-N 1 2 308.403 1.446 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCc1cccc2c1OCO2 ZINC000581492068 354734507 /nfs/dbraw/zinc/73/45/07/354734507.db2.gz SMRVMFGHGXWSEJ-MRXNPFEDSA-N 1 2 303.362 1.308 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000579916269 354724780 /nfs/dbraw/zinc/72/47/80/354724780.db2.gz NFQSFZGBPUALIJ-ZNMIVQPWSA-N 1 2 318.421 1.973 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1Nc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000579916269 354724784 /nfs/dbraw/zinc/72/47/84/354724784.db2.gz NFQSFZGBPUALIJ-ZNMIVQPWSA-N 1 2 318.421 1.973 20 30 DDEDLO CCC(=O)N1CCC[N@@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000581537099 354734937 /nfs/dbraw/zinc/73/49/37/354734937.db2.gz FFCIXEMKBAVVCE-RHSMWYFYSA-N 1 2 322.453 1.374 20 30 DDEDLO CCC(=O)N1CCC[N@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000581537099 354734938 /nfs/dbraw/zinc/73/49/38/354734938.db2.gz FFCIXEMKBAVVCE-RHSMWYFYSA-N 1 2 322.453 1.374 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@@]2(CCOC2)O1 ZINC000565048781 304040667 /nfs/dbraw/zinc/04/06/67/304040667.db2.gz MQCOOSARQIHEPS-BLLLJJGKSA-N 1 2 317.345 1.846 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@@]2(CCOC2)O1 ZINC000565048781 304040668 /nfs/dbraw/zinc/04/06/68/304040668.db2.gz MQCOOSARQIHEPS-BLLLJJGKSA-N 1 2 317.345 1.846 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@](CO)(Cc2ccc(F)cc2)C1 ZINC000588984724 354953532 /nfs/dbraw/zinc/95/35/32/354953532.db2.gz OLJLWDADQADBKU-WBVHZDCISA-N 1 2 307.365 1.780 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@](CO)(Cc2ccc(F)cc2)C1 ZINC000588984724 354953535 /nfs/dbraw/zinc/95/35/35/354953535.db2.gz OLJLWDADQADBKU-WBVHZDCISA-N 1 2 307.365 1.780 20 30 DDEDLO CS(=O)(=O)CC[C@@H]1CCCC[N@@H+]1Cc1ccnc(C#N)c1 ZINC000590003823 355038403 /nfs/dbraw/zinc/03/84/03/355038403.db2.gz HGZWDRIOXHJKMI-HNNXBMFYSA-N 1 2 307.419 1.742 20 30 DDEDLO CS(=O)(=O)CC[C@@H]1CCCC[N@H+]1Cc1ccnc(C#N)c1 ZINC000590003823 355038408 /nfs/dbraw/zinc/03/84/08/355038408.db2.gz HGZWDRIOXHJKMI-HNNXBMFYSA-N 1 2 307.419 1.742 20 30 DDEDLO N#C[C@@]1(C(=O)N2CC[NH+](C[C@@H]3CCCO3)CC2)CC12CCCC2 ZINC000590616390 355131302 /nfs/dbraw/zinc/13/13/02/355131302.db2.gz MSPHOJLVHNCYON-MAUKXSAKSA-N 1 2 317.433 1.784 20 30 DDEDLO CCS(=O)(=O)N(C)C1CC[NH+](Cc2ccncc2C#N)CC1 ZINC000592079536 355488092 /nfs/dbraw/zinc/48/80/92/355488092.db2.gz CBDHEBFMXRFRGJ-UHFFFAOYSA-N 1 2 322.434 1.199 20 30 DDEDLO Cn1cc(-c2nc(C[N@@H+]3CC[C@@](O)(CC#N)C3)cs2)cn1 ZINC000592148290 355515044 /nfs/dbraw/zinc/51/50/44/355515044.db2.gz XMBAULUUWIHWHC-AWEZNQCLSA-N 1 2 303.391 1.394 20 30 DDEDLO Cn1cc(-c2nc(C[N@H+]3CC[C@@](O)(CC#N)C3)cs2)cn1 ZINC000592148290 355515047 /nfs/dbraw/zinc/51/50/47/355515047.db2.gz XMBAULUUWIHWHC-AWEZNQCLSA-N 1 2 303.391 1.394 20 30 DDEDLO Cc1ccccc1CCNC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148448 355515135 /nfs/dbraw/zinc/51/51/35/355515135.db2.gz VJCNHXQZSYICFL-KRWDZBQOSA-N 1 2 301.390 1.004 20 30 DDEDLO Cc1ccccc1CCNC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148448 355515136 /nfs/dbraw/zinc/51/51/36/355515136.db2.gz VJCNHXQZSYICFL-KRWDZBQOSA-N 1 2 301.390 1.004 20 30 DDEDLO C[C@H](C(=O)NCc1ccccc1F)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592152608 355518899 /nfs/dbraw/zinc/51/88/99/355518899.db2.gz YUEZAAMOWYLEPZ-WBMJQRKESA-N 1 2 305.353 1.181 20 30 DDEDLO C[C@H](C(=O)NCc1ccccc1F)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592152608 355518900 /nfs/dbraw/zinc/51/89/00/355518900.db2.gz YUEZAAMOWYLEPZ-WBMJQRKESA-N 1 2 305.353 1.181 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1CC(=O)N(C2CC[NH+](CCC#N)CC2)C1 ZINC000593046790 355779625 /nfs/dbraw/zinc/77/96/25/355779625.db2.gz SONSGMBCSAAMHD-ZDUSSCGKSA-N 1 2 321.421 1.555 20 30 DDEDLO COc1ccc2c(c1)OC[C@@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)C2 ZINC000593155384 355814012 /nfs/dbraw/zinc/81/40/12/355814012.db2.gz RYFRJPNKDMSEPD-SUMWQHHRSA-N 1 2 317.389 1.206 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1csc(-c2ncccn2)n1 ZINC000593155428 355814062 /nfs/dbraw/zinc/81/40/62/355814062.db2.gz WCXPWHYBIURURD-AWEZNQCLSA-N 1 2 316.390 1.174 20 30 DDEDLO CC[C@H](C#N)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000593399398 355873197 /nfs/dbraw/zinc/87/31/97/355873197.db2.gz HYVHUXXWWYLDLU-GDBMZVCRSA-N 1 2 301.390 1.726 20 30 DDEDLO CC[C@H](C#N)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000593399402 355873216 /nfs/dbraw/zinc/87/32/16/355873216.db2.gz HYVHUXXWWYLDLU-ZBFHGGJFSA-N 1 2 301.390 1.726 20 30 DDEDLO CC[C@H](C#N)C(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000593402089 355874587 /nfs/dbraw/zinc/87/45/87/355874587.db2.gz KBAFBDKRHOGFRJ-CYBMUJFWSA-N 1 2 314.389 1.562 20 30 DDEDLO CC[C@@H](C#N)C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000593665639 355960847 /nfs/dbraw/zinc/96/08/47/355960847.db2.gz CXSFOQOLQXQBOD-NSHDSACASA-N 1 2 300.362 1.018 20 30 DDEDLO CC[C@@H](C#N)C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000593665639 355960850 /nfs/dbraw/zinc/96/08/50/355960850.db2.gz CXSFOQOLQXQBOD-NSHDSACASA-N 1 2 300.362 1.018 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@]23CCNC3=O)c([N+](=O)[O-])c1 ZINC000593996502 356083468 /nfs/dbraw/zinc/08/34/68/356083468.db2.gz QIVFBUXJBZXSPS-OAHLLOKOSA-N 1 2 300.318 1.321 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@]23CCNC3=O)c([N+](=O)[O-])c1 ZINC000593996502 356083473 /nfs/dbraw/zinc/08/34/73/356083473.db2.gz QIVFBUXJBZXSPS-OAHLLOKOSA-N 1 2 300.318 1.321 20 30 DDEDLO N#Cc1ccc(C[NH2+][C@@]2(C(N)=O)CCOC2)c(Br)c1 ZINC000594037651 356095942 /nfs/dbraw/zinc/09/59/42/356095942.db2.gz PGZYPDPRZMKUJX-ZDUSSCGKSA-N 1 2 324.178 1.055 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCOC[C@H](O)C2)c(C(F)(F)F)c1 ZINC000449416624 283141914 /nfs/dbraw/zinc/14/19/14/283141914.db2.gz UWGJAQUAQZCQKS-GFCCVEGCSA-N 1 2 300.280 1.770 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCOC[C@H](O)C2)c(C(F)(F)F)c1 ZINC000449416624 283141918 /nfs/dbraw/zinc/14/19/18/283141918.db2.gz UWGJAQUAQZCQKS-GFCCVEGCSA-N 1 2 300.280 1.770 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)n1cc(C[C@H]([NH3+])C(=O)OC)nn1 ZINC000594651630 356275043 /nfs/dbraw/zinc/27/50/43/356275043.db2.gz FBOZHCPGBVSKPP-CVEARBPZSA-N 1 2 314.389 1.681 20 30 DDEDLO Cn1cc(N2CC[N@H+](CCc3ccc(C#N)cc3)CC2=O)cn1 ZINC000595333210 356452872 /nfs/dbraw/zinc/45/28/72/356452872.db2.gz COAXGRSJNBYNSC-UHFFFAOYSA-N 1 2 309.373 1.183 20 30 DDEDLO Cn1cc(N2CC[N@@H+](CCc3ccc(C#N)cc3)CC2=O)cn1 ZINC000595333210 356452874 /nfs/dbraw/zinc/45/28/74/356452874.db2.gz COAXGRSJNBYNSC-UHFFFAOYSA-N 1 2 309.373 1.183 20 30 DDEDLO C[C@]1(C#N)CCN(C(=O)NC2CCN(c3cccc[nH+]3)CC2)C1 ZINC000595455831 356499675 /nfs/dbraw/zinc/49/96/75/356499675.db2.gz VQUGGZXBTRTQOW-QGZVFWFLSA-N 1 2 313.405 1.996 20 30 DDEDLO C=CCCSCCNC(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000595515733 356527832 /nfs/dbraw/zinc/52/78/32/356527832.db2.gz NSLSSZXENQZOGS-UONOGXRCSA-N 1 2 315.483 1.704 20 30 DDEDLO C=CC[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@H](C)COC ZINC000595638908 356588768 /nfs/dbraw/zinc/58/87/68/356588768.db2.gz OGMPBDIQPLQUDU-CQSZACIVSA-N 1 2 322.430 1.855 20 30 DDEDLO C=CC[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@H](C)COC ZINC000595638908 356588774 /nfs/dbraw/zinc/58/87/74/356588774.db2.gz OGMPBDIQPLQUDU-CQSZACIVSA-N 1 2 322.430 1.855 20 30 DDEDLO C=CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@@H](C)COC ZINC000595648322 356591840 /nfs/dbraw/zinc/59/18/40/356591840.db2.gz SWDZUECCAZJHDI-AWEZNQCLSA-N 1 2 322.430 1.855 20 30 DDEDLO C=CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@@H](C)COC ZINC000595648322 356591844 /nfs/dbraw/zinc/59/18/44/356591844.db2.gz SWDZUECCAZJHDI-AWEZNQCLSA-N 1 2 322.430 1.855 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)C[C@@H]2COCCO2)c1C#N ZINC000595737515 356629019 /nfs/dbraw/zinc/62/90/19/356629019.db2.gz RBKAZRXCWFGMLV-CYBMUJFWSA-N 1 2 304.346 1.192 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)C[C@@H]2COCCO2)c1C#N ZINC000595737515 356629024 /nfs/dbraw/zinc/62/90/24/356629024.db2.gz RBKAZRXCWFGMLV-CYBMUJFWSA-N 1 2 304.346 1.192 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@@H+]2CCc3nccnc3C2)cc1 ZINC000595856423 356679045 /nfs/dbraw/zinc/67/90/45/356679045.db2.gz CCSDAWRPKSSGDG-AWEZNQCLSA-N 1 2 310.357 1.146 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCc3nccnc3C2)cc1 ZINC000595856423 356679047 /nfs/dbraw/zinc/67/90/47/356679047.db2.gz CCSDAWRPKSSGDG-AWEZNQCLSA-N 1 2 310.357 1.146 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@@H+]2CCOCC3(CC3)C2)C1=O ZINC000329466350 283226765 /nfs/dbraw/zinc/22/67/65/283226765.db2.gz RYGBECMESOWGPH-CYBMUJFWSA-N 1 2 317.414 1.837 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@H+]2CCOCC3(CC3)C2)C1=O ZINC000329466350 283226768 /nfs/dbraw/zinc/22/67/68/283226768.db2.gz RYGBECMESOWGPH-CYBMUJFWSA-N 1 2 317.414 1.837 20 30 DDEDLO Cn1ncc(C#N)c1NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000596553225 356914411 /nfs/dbraw/zinc/91/44/11/356914411.db2.gz WPKSPNRJGNANNU-QGZVFWFLSA-N 1 2 324.432 1.520 20 30 DDEDLO Cn1ncc(C#N)c1NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000596553225 356914415 /nfs/dbraw/zinc/91/44/15/356914415.db2.gz WPKSPNRJGNANNU-QGZVFWFLSA-N 1 2 324.432 1.520 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCC(C)(C)CCC#N)[C@@H](C)CO1 ZINC000596600810 356930431 /nfs/dbraw/zinc/93/04/31/356930431.db2.gz KDOCOMBZLYGRQR-UONOGXRCSA-N 1 2 310.442 1.725 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCC(C)(C)CCC#N)[C@@H](C)CO1 ZINC000596600810 356930435 /nfs/dbraw/zinc/93/04/35/356930435.db2.gz KDOCOMBZLYGRQR-UONOGXRCSA-N 1 2 310.442 1.725 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)N[C@H]2CC[C@@H](CC#N)C2)[C@H](C)CO1 ZINC000596611783 356932871 /nfs/dbraw/zinc/93/28/71/356932871.db2.gz IVOGPBZJXCYPGB-KBXIAJHMSA-N 1 2 308.426 1.477 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)N[C@H]2CC[C@@H](CC#N)C2)[C@H](C)CO1 ZINC000596611783 356932875 /nfs/dbraw/zinc/93/28/75/356932875.db2.gz IVOGPBZJXCYPGB-KBXIAJHMSA-N 1 2 308.426 1.477 20 30 DDEDLO C[C@@H](CC#N)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000596728527 356962536 /nfs/dbraw/zinc/96/25/36/356962536.db2.gz SROPZJQUFYQDIL-GOEBONIOSA-N 1 2 301.390 1.726 20 30 DDEDLO C[C@@H](CC#N)C(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000596675758 356949835 /nfs/dbraw/zinc/94/98/35/356949835.db2.gz ZEDOSUSYSFMLAB-STQMWFEESA-N 1 2 307.419 1.787 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cc1F ZINC000596909883 357013374 /nfs/dbraw/zinc/01/33/74/357013374.db2.gz BYMQMUOEASPUST-CQSZACIVSA-N 1 2 318.352 1.636 20 30 DDEDLO CN(C)C(=O)COc1ccccc1C[N@@H+]1CC[C@](C)(C#N)C1 ZINC000597229584 357102393 /nfs/dbraw/zinc/10/23/93/357102393.db2.gz MREDXSRGWUJXIG-QGZVFWFLSA-N 1 2 301.390 1.889 20 30 DDEDLO CN(C)C(=O)COc1ccccc1C[N@H+]1CC[C@](C)(C#N)C1 ZINC000597229584 357102397 /nfs/dbraw/zinc/10/23/97/357102397.db2.gz MREDXSRGWUJXIG-QGZVFWFLSA-N 1 2 301.390 1.889 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000597709323 357296153 /nfs/dbraw/zinc/29/61/53/357296153.db2.gz HFSWHCOIWFVRDU-CQSZACIVSA-N 1 2 302.378 1.132 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N[C@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000597847670 357357030 /nfs/dbraw/zinc/35/70/30/357357030.db2.gz QEYQKFHOTDXBBJ-CYBMUJFWSA-N 1 2 315.377 1.418 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC1(c2cccc(C#N)c2)CCOCC1 ZINC000598247300 357507046 /nfs/dbraw/zinc/50/70/46/357507046.db2.gz XWCZOYNTTKFOFF-UHFFFAOYSA-N 1 2 324.384 1.954 20 30 DDEDLO Cc1[nH]c[nH+]c1CC(=O)NC1(c2cccc(C#N)c2)CCOCC1 ZINC000598247300 357507050 /nfs/dbraw/zinc/50/70/50/357507050.db2.gz XWCZOYNTTKFOFF-UHFFFAOYSA-N 1 2 324.384 1.954 20 30 DDEDLO C[C@H](CNC(=O)NC[C@@H]1CCCCS1)[NH+]1CCOCC1 ZINC000329658146 223009581 /nfs/dbraw/zinc/00/95/81/223009581.db2.gz NMZSYIPAUJHFGU-OLZOCXBDSA-N 1 2 301.456 1.496 20 30 DDEDLO Cc1cnc([C@@H](C)CNC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)s1 ZINC000329635952 223006983 /nfs/dbraw/zinc/00/69/83/223006983.db2.gz XWXOYXKBLVBIAT-WCFLWFBJSA-N 1 2 324.450 1.484 20 30 DDEDLO Cc1cnc([C@@H](C)CNC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)s1 ZINC000329635952 223006986 /nfs/dbraw/zinc/00/69/86/223006986.db2.gz XWXOYXKBLVBIAT-WCFLWFBJSA-N 1 2 324.450 1.484 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NCC(=O)N2CCCC2)CCC1(F)F ZINC000329781390 223028010 /nfs/dbraw/zinc/02/80/10/223028010.db2.gz NEPNVZQSJLMRRA-NSHDSACASA-N 1 2 303.353 1.542 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NCC(=O)N2CCCC2)CCC1(F)F ZINC000329781390 223028013 /nfs/dbraw/zinc/02/80/13/223028013.db2.gz NEPNVZQSJLMRRA-NSHDSACASA-N 1 2 303.353 1.542 20 30 DDEDLO CC(C)c1ncc2c(n1)CC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C2 ZINC000598935484 357763028 /nfs/dbraw/zinc/76/30/28/357763028.db2.gz IQGPVBYPQUQRJF-CYBMUJFWSA-N 1 2 315.421 1.719 20 30 DDEDLO CC(C)c1ncc2c(n1)CC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C2 ZINC000598935484 357763031 /nfs/dbraw/zinc/76/30/31/357763031.db2.gz IQGPVBYPQUQRJF-CYBMUJFWSA-N 1 2 315.421 1.719 20 30 DDEDLO Cn1ncc2c1nc(N1CCc3c(CC#N)cccc3C1)[nH+]c2N ZINC000599195791 357846981 /nfs/dbraw/zinc/84/69/81/357846981.db2.gz WXMLXYFNIGWUDC-UHFFFAOYSA-N 1 2 319.372 1.574 20 30 DDEDLO COC(=O)[C@](C)([NH2+]C[C@H](O)COc1ccccc1C#N)C1CC1 ZINC000599352231 357905334 /nfs/dbraw/zinc/90/53/34/357905334.db2.gz QBHPTGFTBTZQSZ-WMLDXEAASA-N 1 2 318.373 1.229 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3cnccc3C#N)CC2)n1 ZINC000599425391 357930048 /nfs/dbraw/zinc/93/00/48/357930048.db2.gz FJUXLAARNDSINP-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO COC(=O)[C@H]1CC[C@@H](C(=O)OC)[N@H+](Cc2ccc(C#N)cc2)C1 ZINC000599581204 357982284 /nfs/dbraw/zinc/98/22/84/357982284.db2.gz DTXIGBLDJZCRLP-GJZGRUSLSA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@H]1CC[C@@H](C(=O)OC)[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC000599581204 357982285 /nfs/dbraw/zinc/98/22/85/357982285.db2.gz DTXIGBLDJZCRLP-GJZGRUSLSA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@@H]1CC[C@H](C(=O)OC)[N@H+](Cc2ccc(C#N)cc2)C1 ZINC000599581206 357982581 /nfs/dbraw/zinc/98/25/81/357982581.db2.gz DTXIGBLDJZCRLP-HUUCEWRRSA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@@H]1CC[C@H](C(=O)OC)[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC000599581206 357982583 /nfs/dbraw/zinc/98/25/83/357982583.db2.gz DTXIGBLDJZCRLP-HUUCEWRRSA-N 1 2 316.357 1.485 20 30 DDEDLO CCn1cc[nH+]c1CNS(=O)(=O)c1c(C)cc(F)cc1C#N ZINC000601426235 358547946 /nfs/dbraw/zinc/54/79/46/358547946.db2.gz UYDMDMZSGCUGHE-UHFFFAOYSA-N 1 2 322.365 1.701 20 30 DDEDLO N#CC1(C[C@H](O)C[NH+]2CC(OCC(F)F)C2)CCOCC1 ZINC000601960967 358754209 /nfs/dbraw/zinc/75/42/09/358754209.db2.gz YJSSYYHBVXOODJ-NSHDSACASA-N 1 2 304.337 1.024 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N[C@@H]2CCn3c[nH+]cc3C2)cc1F ZINC000601966696 358756857 /nfs/dbraw/zinc/75/68/57/358756857.db2.gz CAOXPERIZCJMGU-LLVKDONJSA-N 1 2 320.349 1.187 20 30 DDEDLO CC(C)c1ccc(C[NH+]2CCN(CCC#N)CC2)c(O)c(=O)c1 ZINC000601980757 358764672 /nfs/dbraw/zinc/76/46/72/358764672.db2.gz ONMKJRKJQCINGY-UHFFFAOYSA-N 1 2 315.417 1.907 20 30 DDEDLO N#CCSCC(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC000602200595 358880404 /nfs/dbraw/zinc/88/04/04/358880404.db2.gz UZSOHOAUTOVIIV-UHFFFAOYSA-N 1 2 304.419 1.025 20 30 DDEDLO Cc1[nH+]c2ccc(C(=O)N3CCN(CCC#N)CC3)cc2n1C ZINC000602206703 358883251 /nfs/dbraw/zinc/88/32/51/358883251.db2.gz NBYKTEBIZBVAOC-UHFFFAOYSA-N 1 2 311.389 1.553 20 30 DDEDLO CCN(CC#N)Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000602451335 358984396 /nfs/dbraw/zinc/98/43/96/358984396.db2.gz YGIGJEXFVALVSD-UHFFFAOYSA-N 1 2 303.406 1.743 20 30 DDEDLO Cc1[nH+]c2ccccn2c1[C@@H]1C(=O)NCCN1CC1(CC#N)CC1 ZINC000602780686 359185793 /nfs/dbraw/zinc/18/57/93/359185793.db2.gz BXDHKDAXXIUDLE-MRXNPFEDSA-N 1 2 323.400 1.810 20 30 DDEDLO N#Cc1cccc(C[NH2+][C@H]2CS(=O)(=O)Cc3ccccc32)n1 ZINC000602717279 359145651 /nfs/dbraw/zinc/14/56/51/359145651.db2.gz ZJDLMYVDVDLMBQ-INIZCTEOSA-N 1 2 313.382 1.713 20 30 DDEDLO COc1cc(C[NH+]2CCN(CCC#N)CC2)cc2c1OCCO2 ZINC000602750093 359167873 /nfs/dbraw/zinc/16/78/73/359167873.db2.gz AZEVVVYUNPMIRL-UHFFFAOYSA-N 1 2 317.389 1.498 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2nc(-c3ccco3)no2)C[C@H](C)N1CC#N ZINC000602857014 359242646 /nfs/dbraw/zinc/24/26/46/359242646.db2.gz NXMLBZRAFHIIIB-TXEJJXNPSA-N 1 2 301.350 1.748 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2nc(-c3ccco3)no2)C[C@H](C)N1CC#N ZINC000602857014 359242651 /nfs/dbraw/zinc/24/26/51/359242651.db2.gz NXMLBZRAFHIIIB-TXEJJXNPSA-N 1 2 301.350 1.748 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(NC(=O)c2ccc(C)cc2)CC1 ZINC000602931538 359301762 /nfs/dbraw/zinc/30/17/62/359301762.db2.gz CZQYHYXNBPACOW-INIZCTEOSA-N 1 2 316.401 1.917 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CC[C@@](COC)(C(=O)OC(C)(C)C)C1 ZINC000602964886 359328860 /nfs/dbraw/zinc/32/88/60/359328860.db2.gz VUKZUDWFJDFZQL-BLLLJJGKSA-N 1 2 313.394 1.394 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CC[C@@](COC)(C(=O)OC(C)(C)C)C1 ZINC000602964886 359328861 /nfs/dbraw/zinc/32/88/61/359328861.db2.gz VUKZUDWFJDFZQL-BLLLJJGKSA-N 1 2 313.394 1.394 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@H+](C)[C@@H]1CCC[C@H]1C#N ZINC000602974100 359334534 /nfs/dbraw/zinc/33/45/34/359334534.db2.gz JURCMNZZKXUNEX-ZNMIVQPWSA-N 1 2 321.421 1.555 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@@H+](C)[C@@H]1CCC[C@H]1C#N ZINC000602974100 359334537 /nfs/dbraw/zinc/33/45/37/359334537.db2.gz JURCMNZZKXUNEX-ZNMIVQPWSA-N 1 2 321.421 1.555 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc(F)cc2[N+](=O)[O-])nn1 ZINC000603223823 359495163 /nfs/dbraw/zinc/49/51/63/359495163.db2.gz JOTRUYOZHQTQSH-UHFFFAOYSA-N 1 2 303.297 1.487 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)NCCCCC#N)C1 ZINC000603281366 359539303 /nfs/dbraw/zinc/53/93/03/359539303.db2.gz VBGQODFNEYOSHT-UHFFFAOYSA-N 1 2 307.419 1.647 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)NCCCCC#N)C1 ZINC000603281366 359539309 /nfs/dbraw/zinc/53/93/09/359539309.db2.gz VBGQODFNEYOSHT-UHFFFAOYSA-N 1 2 307.419 1.647 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](C)c1ncc(Br)cc1F ZINC000187488184 200119200 /nfs/dbraw/zinc/11/92/00/200119200.db2.gz AOWNLUSFCPMFAV-MRVPVSSYSA-N 1 2 316.174 1.936 20 30 DDEDLO O=C(Nc1cnn(CC[NH+]2CCOCC2)c1)C1CCC(=O)CC1 ZINC000329814372 223032747 /nfs/dbraw/zinc/03/27/47/223032747.db2.gz DDYVSYLSDGEKIP-UHFFFAOYSA-N 1 2 320.393 1.563 20 30 DDEDLO O=C(NC[C@@H]1CCc2[nH+]ccn2C1)N1CCc2cncnc2C1 ZINC000329906542 223044012 /nfs/dbraw/zinc/04/40/12/223044012.db2.gz SGFDFSKQRKVTQI-LBPRGKRZSA-N 1 2 312.377 1.208 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@@H](C)C(=O)NC2CCCCC2)CC1 ZINC000609485820 360313670 /nfs/dbraw/zinc/31/36/70/360313670.db2.gz YFECLRAMKJUNEU-RDJZCZTQSA-N 1 2 320.481 1.990 20 30 DDEDLO C[C@@H]1C(=O)NCCC[N@H+]1CC(=O)NC[C@@H]1Cc2ccccc2O1 ZINC000329981088 223057651 /nfs/dbraw/zinc/05/76/51/223057651.db2.gz MPXAJTSEMGRURA-OCCSQVGLSA-N 1 2 317.389 1.997 20 30 DDEDLO C[C@@H]1C(=O)NCCC[N@@H+]1CC(=O)NC[C@@H]1Cc2ccccc2O1 ZINC000329981088 223057653 /nfs/dbraw/zinc/05/76/53/223057653.db2.gz MPXAJTSEMGRURA-OCCSQVGLSA-N 1 2 317.389 1.997 20 30 DDEDLO Cc1nnc(CN2CC[NH+](Cc3ccc(C#N)cc3F)CC2)[nH]1 ZINC000609849543 360355923 /nfs/dbraw/zinc/35/59/23/360355923.db2.gz SURYWAQXDHEHBP-UHFFFAOYSA-N 1 2 314.368 1.442 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@H](O)COc1c(C)cccc1C ZINC000610391685 360424295 /nfs/dbraw/zinc/42/42/95/360424295.db2.gz HHKKGJGMDAZLFX-INIZCTEOSA-N 1 2 306.406 1.905 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@H](O)COc1c(C)cccc1C ZINC000610391685 360424301 /nfs/dbraw/zinc/42/43/01/360424301.db2.gz HHKKGJGMDAZLFX-INIZCTEOSA-N 1 2 306.406 1.905 20 30 DDEDLO C[C@@H](C(=O)N1[C@H](C)CCC[C@@H]1C)[NH+]1CCN(CCC#N)CC1 ZINC000611175086 360647765 /nfs/dbraw/zinc/64/77/65/360647765.db2.gz WOHXQSJCXRTEPE-XHSDSOJGSA-N 1 2 306.454 1.696 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](CC(N)=O)C2CCCC2)c1C#N ZINC000611127173 360629934 /nfs/dbraw/zinc/62/99/34/360629934.db2.gz IWURSYXKMUVLQI-UHFFFAOYSA-N 1 2 315.373 1.575 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](CC(N)=O)C2CCCC2)c1C#N ZINC000611127173 360629939 /nfs/dbraw/zinc/62/99/39/360629939.db2.gz IWURSYXKMUVLQI-UHFFFAOYSA-N 1 2 315.373 1.575 20 30 DDEDLO Cc1nccc(NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)n1 ZINC000330103589 223074832 /nfs/dbraw/zinc/07/48/32/223074832.db2.gz GJNNEIXMDZBQPQ-CYBMUJFWSA-N 1 2 305.382 1.127 20 30 DDEDLO C[N@H+](CCN1CCCS1(=O)=O)Cc1cccc(C#N)c1F ZINC000611372053 360707515 /nfs/dbraw/zinc/70/75/15/360707515.db2.gz PSMGPQFERBJGDS-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO C[N@@H+](CCN1CCCS1(=O)=O)Cc1cccc(C#N)c1F ZINC000611372053 360707522 /nfs/dbraw/zinc/70/75/22/360707522.db2.gz PSMGPQFERBJGDS-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO C[C@H](CNC(=O)c1cc(O)ccc1[N+](=O)[O-])N1CC[NH+](C)CC1 ZINC000330945462 223156835 /nfs/dbraw/zinc/15/68/35/223156835.db2.gz GYEWBUZXQWPDJP-LLVKDONJSA-N 1 2 322.365 1.241 20 30 DDEDLO O=C(Nc1cc(Cl)c(Cl)cn1)N1CC[NH+](CCO)CC1 ZINC000331178339 223191218 /nfs/dbraw/zinc/19/12/18/223191218.db2.gz QPFGWKWBOSPGFC-UHFFFAOYSA-N 1 2 319.192 1.544 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000619494479 364036829 /nfs/dbraw/zinc/03/68/29/364036829.db2.gz CXFIUROUTKCPKM-CHWSQXEVSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000619494479 364036836 /nfs/dbraw/zinc/03/68/36/364036836.db2.gz CXFIUROUTKCPKM-CHWSQXEVSA-N 1 2 307.419 1.466 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN([C@H](C#N)c2ccc(Cl)cc2)CC1 ZINC000619784851 364149765 /nfs/dbraw/zinc/14/97/65/364149765.db2.gz XZBZUXAIZUNTCM-OAHLLOKOSA-N 1 2 320.824 1.610 20 30 DDEDLO COCCCn1nccc1C[NH+]1CCC(C#N)(COC)CC1 ZINC000342844586 223313765 /nfs/dbraw/zinc/31/37/65/223313765.db2.gz ZPYJBZKTMRRHNH-UHFFFAOYSA-N 1 2 306.410 1.672 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)c1 ZINC000264937107 204283957 /nfs/dbraw/zinc/28/39/57/204283957.db2.gz DOJKIVIYOJSRHD-UHFFFAOYSA-N 1 2 305.403 1.417 20 30 DDEDLO CC(C)C[C@H]1COCC[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000265084403 204385843 /nfs/dbraw/zinc/38/58/43/204385843.db2.gz SHHGYTFPPKEHJO-KRWDZBQOSA-N 1 2 315.417 1.921 20 30 DDEDLO CC(C)C[C@H]1COCC[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000265084403 204385845 /nfs/dbraw/zinc/38/58/45/204385845.db2.gz SHHGYTFPPKEHJO-KRWDZBQOSA-N 1 2 315.417 1.921 20 30 DDEDLO CC[N@@H+](CC(=O)NC(=O)N[C@@H]1CCCC[C@H]1C)C[C@H](C)C#N ZINC000334005893 284393067 /nfs/dbraw/zinc/39/30/67/284393067.db2.gz YMSJPXBTZYRWMO-MGPQQGTHSA-N 1 2 308.426 1.872 20 30 DDEDLO CC[N@H+](CC(=O)NC(=O)N[C@@H]1CCCC[C@H]1C)C[C@H](C)C#N ZINC000334005893 284393069 /nfs/dbraw/zinc/39/30/69/284393069.db2.gz YMSJPXBTZYRWMO-MGPQQGTHSA-N 1 2 308.426 1.872 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)c1 ZINC000267428241 206058520 /nfs/dbraw/zinc/05/85/20/206058520.db2.gz ULJRBUBBUPJJIT-UHFFFAOYSA-N 1 2 323.356 1.626 20 30 DDEDLO Cc1cc(C#N)nc(N[C@H](c2[nH+]ccn2C)C2CCOCC2)n1 ZINC000284654389 218118384 /nfs/dbraw/zinc/11/83/84/218118384.db2.gz VPMJKMBUNPCJHX-AWEZNQCLSA-N 1 2 312.377 1.970 20 30 DDEDLO COCC[N@H+](CC(=O)NCC(C)C)Cc1ccc(C#N)cc1 ZINC000063754407 184287997 /nfs/dbraw/zinc/28/79/97/184287997.db2.gz AAOGNLQKKFAYCG-UHFFFAOYSA-N 1 2 303.406 1.779 20 30 DDEDLO COCC[N@@H+](CC(=O)NCC(C)C)Cc1ccc(C#N)cc1 ZINC000063754407 184287998 /nfs/dbraw/zinc/28/79/98/184287998.db2.gz AAOGNLQKKFAYCG-UHFFFAOYSA-N 1 2 303.406 1.779 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)OC ZINC000248890085 285040158 /nfs/dbraw/zinc/04/01/58/285040158.db2.gz YPXPQZMOIKHPSG-HOSILWTGSA-N 1 2 321.421 1.268 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)OC ZINC000248890085 285040160 /nfs/dbraw/zinc/04/01/60/285040160.db2.gz YPXPQZMOIKHPSG-HOSILWTGSA-N 1 2 321.421 1.268 20 30 DDEDLO COc1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)cc1C(C)=O ZINC000414158118 533018490 /nfs/dbraw/zinc/01/84/90/533018490.db2.gz YYZYZZYPHJXDMI-ZDUSSCGKSA-N 1 2 306.362 1.483 20 30 DDEDLO COc1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc1C(C)=O ZINC000414158118 533018494 /nfs/dbraw/zinc/01/84/94/533018494.db2.gz YYZYZZYPHJXDMI-ZDUSSCGKSA-N 1 2 306.362 1.483 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)N(C)Cc2cccs2)CC1 ZINC000341999739 533109129 /nfs/dbraw/zinc/10/91/29/533109129.db2.gz YCHVCKNJBBTUAX-UHFFFAOYSA-N 1 2 321.446 1.959 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)Nc2ccc(Cl)cn2)CC1 ZINC000341969985 533110243 /nfs/dbraw/zinc/11/02/43/533110243.db2.gz CVOWXVQFFSDUML-UHFFFAOYSA-N 1 2 322.796 1.926 20 30 DDEDLO COc1coc(C[NH+]2CCC(NC(=O)C3CC3)CC2)cc1=O ZINC000329960318 533160742 /nfs/dbraw/zinc/16/07/42/533160742.db2.gz RNTMJLHEFQUORD-UHFFFAOYSA-N 1 2 306.362 1.979 20 30 DDEDLO CCN1CCN(C(=O)N[C@@H]2CCC[C@@H]2C#N)C[C@@H]1c1[nH]cc[nH+]1 ZINC000433350338 285295907 /nfs/dbraw/zinc/29/59/07/285295907.db2.gz BQIDKDUAJCTPRP-MGPQQGTHSA-N 1 2 316.409 1.490 20 30 DDEDLO C[C@@H](C(=O)N1CCc2ccccc21)[N@H+](C)CCNC(=O)N(C)C ZINC000330626237 533220311 /nfs/dbraw/zinc/22/03/11/533220311.db2.gz VCSWWXAFBGIREM-ZDUSSCGKSA-N 1 2 318.421 1.372 20 30 DDEDLO C[C@@H](C(=O)N1CCc2ccccc21)[N@@H+](C)CCNC(=O)N(C)C ZINC000330626237 533220312 /nfs/dbraw/zinc/22/03/12/533220312.db2.gz VCSWWXAFBGIREM-ZDUSSCGKSA-N 1 2 318.421 1.372 20 30 DDEDLO CN(C(=O)c1ccc(C#N)nc1)C(C)(C)C[NH+]1CCOCC1 ZINC000414047613 224295549 /nfs/dbraw/zinc/29/55/49/224295549.db2.gz CKHFBNLTLPVNRQ-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(F)c(C#N)c2)[C@@H](C)C1 ZINC000285280618 285328356 /nfs/dbraw/zinc/32/83/56/285328356.db2.gz JJYSXZADDRJEJW-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(F)c(C#N)c2)[C@@H](C)C1 ZINC000285280618 285328363 /nfs/dbraw/zinc/32/83/63/285328363.db2.gz JJYSXZADDRJEJW-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[NH+]1CCN(c2ccccn2)CC1 ZINC000345762642 533238499 /nfs/dbraw/zinc/23/84/99/533238499.db2.gz ASSBREJUPZEGRO-AWEZNQCLSA-N 1 2 313.405 1.155 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@H+](CCC#N)CC2CC2)CC1 ZINC000348554890 533284618 /nfs/dbraw/zinc/28/46/18/533284618.db2.gz UCZUTYUQCXIVEM-UHFFFAOYSA-N 1 2 307.394 1.024 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@@H+](CCC#N)CC2CC2)CC1 ZINC000348554890 533284622 /nfs/dbraw/zinc/28/46/22/533284622.db2.gz UCZUTYUQCXIVEM-UHFFFAOYSA-N 1 2 307.394 1.024 20 30 DDEDLO CC(C)[N@H+](CC(N)=O)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000008532640 406790223 /nfs/dbraw/zinc/79/02/23/406790223.db2.gz AMSRDLQUJBISNO-UHFFFAOYSA-N 1 2 308.769 1.346 20 30 DDEDLO CC(C)[N@@H+](CC(N)=O)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000008532640 406790224 /nfs/dbraw/zinc/79/02/24/406790224.db2.gz AMSRDLQUJBISNO-UHFFFAOYSA-N 1 2 308.769 1.346 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1ccc(Cl)s1 ZINC000018938940 406885062 /nfs/dbraw/zinc/88/50/62/406885062.db2.gz LWVVXCQNBZBIOD-UHFFFAOYSA-N 1 2 301.799 1.845 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1ccc(Cl)s1 ZINC000018938940 406885064 /nfs/dbraw/zinc/88/50/64/406885064.db2.gz LWVVXCQNBZBIOD-UHFFFAOYSA-N 1 2 301.799 1.845 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)Cc1cnn(C)c1 ZINC000032540063 406949139 /nfs/dbraw/zinc/94/91/39/406949139.db2.gz DQGXQYWEGIBTLP-ZDUSSCGKSA-N 1 2 303.410 1.583 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)Cc1cnn(C)c1 ZINC000032540063 406949140 /nfs/dbraw/zinc/94/91/40/406949140.db2.gz DQGXQYWEGIBTLP-ZDUSSCGKSA-N 1 2 303.410 1.583 20 30 DDEDLO N#CCC[N@@H+](CC(=O)NC(=O)NCc1cccs1)CC1CC1 ZINC000081044222 407074585 /nfs/dbraw/zinc/07/45/85/407074585.db2.gz STTRHZQEQJFTFK-UHFFFAOYSA-N 1 2 320.418 1.700 20 30 DDEDLO N#CCC[N@H+](CC(=O)NC(=O)NCc1cccs1)CC1CC1 ZINC000081044222 407074586 /nfs/dbraw/zinc/07/45/86/407074586.db2.gz STTRHZQEQJFTFK-UHFFFAOYSA-N 1 2 320.418 1.700 20 30 DDEDLO Cc1sc(NC(=O)C[NH+]2CCC(CO)CC2)c(C#N)c1C ZINC000050104758 407127800 /nfs/dbraw/zinc/12/78/00/407127800.db2.gz LNRMZXDWSOGION-UHFFFAOYSA-N 1 2 307.419 1.879 20 30 DDEDLO CC(=O)NC1CC[NH+](CC(=O)Nc2cccc(C#N)c2)CC1 ZINC000050992713 407134809 /nfs/dbraw/zinc/13/48/09/407134809.db2.gz MBLUOYMHCKXEBI-UHFFFAOYSA-N 1 2 300.362 1.097 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+](Cc2ccc(O[C@H](C)C#N)cc2)CCO1 ZINC000093458405 407197969 /nfs/dbraw/zinc/19/79/69/407197969.db2.gz NXGQEDRXYZVRFE-CZUORRHYSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+](Cc2ccc(O[C@H](C)C#N)cc2)CCO1 ZINC000093458405 407197970 /nfs/dbraw/zinc/19/79/70/407197970.db2.gz NXGQEDRXYZVRFE-CZUORRHYSA-N 1 2 318.373 1.741 20 30 DDEDLO C#CCNC(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000061331635 407227993 /nfs/dbraw/zinc/22/79/93/407227993.db2.gz FSHKSQDQQRJHFK-BETUJISGSA-N 1 2 302.378 1.128 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](Cc2ccc(C(N)=O)cc2)CC1 ZINC000102332664 407321612 /nfs/dbraw/zinc/32/16/12/407321612.db2.gz AHOCBHPCODAWAV-UHFFFAOYSA-N 1 2 301.390 1.396 20 30 DDEDLO N#CCSCC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000067523643 407275712 /nfs/dbraw/zinc/27/57/12/407275712.db2.gz CUIDGXHYWBZXIE-UHFFFAOYSA-N 1 2 304.350 1.884 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000125231593 407382872 /nfs/dbraw/zinc/38/28/72/407382872.db2.gz JIKOMLRCUFWXIG-CQSZACIVSA-N 1 2 309.373 1.691 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](CCOC)Cc1ccc(C)o1 ZINC000125816712 407398688 /nfs/dbraw/zinc/39/86/88/407398688.db2.gz QXEPZUCOWAMUJF-UHFFFAOYSA-N 1 2 309.366 1.048 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](CCOC)Cc1ccc(C)o1 ZINC000125816712 407398689 /nfs/dbraw/zinc/39/86/89/407398689.db2.gz QXEPZUCOWAMUJF-UHFFFAOYSA-N 1 2 309.366 1.048 20 30 DDEDLO N#CCCCS(=O)(=O)NCC[N@@H+]1CCc2sccc2C1 ZINC000111332190 407407805 /nfs/dbraw/zinc/40/78/05/407407805.db2.gz KRLUFMBYQMIYSM-UHFFFAOYSA-N 1 2 313.448 1.329 20 30 DDEDLO N#CCCCS(=O)(=O)NCC[N@H+]1CCc2sccc2C1 ZINC000111332190 407407807 /nfs/dbraw/zinc/40/78/07/407407807.db2.gz KRLUFMBYQMIYSM-UHFFFAOYSA-N 1 2 313.448 1.329 20 30 DDEDLO C[NH+](C)[C@@H](CNS(=O)(=O)c1ccc(C#N)nc1)c1ccco1 ZINC000170654979 407467556 /nfs/dbraw/zinc/46/75/56/407467556.db2.gz JCUAOXYJVHFKPJ-ZDUSSCGKSA-N 1 2 320.374 1.127 20 30 DDEDLO CN(C)c1ncc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)cn1 ZINC000271044355 407485218 /nfs/dbraw/zinc/48/52/18/407485218.db2.gz OYVYRWUFPWMQQG-UHFFFAOYSA-N 1 2 323.404 1.131 20 30 DDEDLO Cn1cc[nH+]c1C[C@H]1CCCN(C(=O)c2cc(C#N)cn2C)C1 ZINC000151522429 407451002 /nfs/dbraw/zinc/45/10/02/407451002.db2.gz SYDFINARIBVBNK-CYBMUJFWSA-N 1 2 311.389 1.725 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2cccnc2C#N)CC1 ZINC000233984342 407709644 /nfs/dbraw/zinc/70/96/44/407709644.db2.gz SOKWXINKQIMRKB-LBPRGKRZSA-N 1 2 308.407 1.058 20 30 DDEDLO N#CCCC[NH+]1CCN(c2nnc(C(F)(F)F)s2)CC1 ZINC000186741937 407763511 /nfs/dbraw/zinc/76/35/11/407763511.db2.gz LYSSTTSGNOCGQG-UHFFFAOYSA-N 1 2 305.329 1.983 20 30 DDEDLO CN(Cc1[nH+]ccn1CC(F)(F)F)C(=O)c1cc(C#N)c[nH]1 ZINC000267167070 407776944 /nfs/dbraw/zinc/77/69/44/407776944.db2.gz LKJNHJPZCZMCFV-UHFFFAOYSA-N 1 2 311.267 1.917 20 30 DDEDLO CCS(=O)(=O)CC[N@H+](CCOC)Cc1ccc(C#N)cc1 ZINC000118395052 407913090 /nfs/dbraw/zinc/91/30/90/407913090.db2.gz XBIGQCSSHXEKTH-UHFFFAOYSA-N 1 2 310.419 1.441 20 30 DDEDLO CCS(=O)(=O)CC[N@@H+](CCOC)Cc1ccc(C#N)cc1 ZINC000118395052 407913095 /nfs/dbraw/zinc/91/30/95/407913095.db2.gz XBIGQCSSHXEKTH-UHFFFAOYSA-N 1 2 310.419 1.441 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N1CCC[C@H]1c1ccc(OC)cc1 ZINC000174529836 407961055 /nfs/dbraw/zinc/96/10/55/407961055.db2.gz ONMLPGUYDZEOMC-SFHVURJKSA-N 1 2 310.397 1.927 20 30 DDEDLO Cc1cc(NC(=O)CO[NH+]=C(N)c2ccc(F)c(C)c2)n(C)n1 ZINC000154513073 408044099 /nfs/dbraw/zinc/04/40/99/408044099.db2.gz IIVNFYFNBFBWMX-UHFFFAOYSA-N 1 2 319.340 1.452 20 30 DDEDLO C[N@@H+](Cc1nccs1)C[C@H](O)COc1ccc(C#N)cc1 ZINC000175079060 408046437 /nfs/dbraw/zinc/04/64/37/408046437.db2.gz BMWVEFQJYYIFIM-ZDUSSCGKSA-N 1 2 303.387 1.886 20 30 DDEDLO C[N@H+](Cc1nccs1)C[C@H](O)COc1ccc(C#N)cc1 ZINC000175079060 408046444 /nfs/dbraw/zinc/04/64/44/408046444.db2.gz BMWVEFQJYYIFIM-ZDUSSCGKSA-N 1 2 303.387 1.886 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)cc1 ZINC000119125266 407999023 /nfs/dbraw/zinc/99/90/23/407999023.db2.gz GXKMLDAUCVKIKU-HUUCEWRRSA-N 1 2 316.401 1.538 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@H+]3CCC[C@H]3C(N)=O)C2=O)cc1 ZINC000245523950 408005600 /nfs/dbraw/zinc/00/56/00/408005600.db2.gz NHZGZRKSFJJBME-GJZGRUSLSA-N 1 2 312.373 1.003 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@@H+]3CCC[C@H]3C(N)=O)C2=O)cc1 ZINC000245523950 408005606 /nfs/dbraw/zinc/00/56/06/408005606.db2.gz NHZGZRKSFJJBME-GJZGRUSLSA-N 1 2 312.373 1.003 20 30 DDEDLO N#CCCC[NH+]1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC000136140430 408082830 /nfs/dbraw/zinc/08/28/30/408082830.db2.gz FUCOUVGOYXMXKL-UHFFFAOYSA-N 1 2 304.369 1.686 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@@H](CC(N)=O)C2)c(C#N)c1C ZINC000175271522 408093413 /nfs/dbraw/zinc/09/34/13/408093413.db2.gz CJQYAFFHTFPDOE-LBPRGKRZSA-N 1 2 318.377 1.294 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@@H](CC(N)=O)C2)c(C#N)c1C ZINC000175271522 408093417 /nfs/dbraw/zinc/09/34/17/408093417.db2.gz CJQYAFFHTFPDOE-LBPRGKRZSA-N 1 2 318.377 1.294 20 30 DDEDLO Cc1ccc(O)c(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000120927929 408145891 /nfs/dbraw/zinc/14/58/91/408145891.db2.gz DWABYEVVOWBOIL-UHFFFAOYSA-N 1 2 317.389 1.389 20 30 DDEDLO CNC(=O)[C@@H]1C[N@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000182473274 408172912 /nfs/dbraw/zinc/17/29/12/408172912.db2.gz SXFAFTCGNOOETE-INIZCTEOSA-N 1 2 317.389 1.316 20 30 DDEDLO CNC(=O)[C@@H]1C[N@@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000182473274 408172916 /nfs/dbraw/zinc/17/29/16/408172916.db2.gz SXFAFTCGNOOETE-INIZCTEOSA-N 1 2 317.389 1.316 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000141394540 408179469 /nfs/dbraw/zinc/17/94/69/408179469.db2.gz PSBZOMCLBOOZAT-UHFFFAOYSA-N 1 2 313.401 1.795 20 30 DDEDLO C=CCCOCCNC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000121984730 408248247 /nfs/dbraw/zinc/24/82/47/408248247.db2.gz VZXXOMMPFYCVTB-UHFFFAOYSA-N 1 2 306.410 1.654 20 30 DDEDLO C#CC[N@@H+](CC(=O)N(C)c1ccccc1C(=O)OC)C1CC1 ZINC000274202965 408323803 /nfs/dbraw/zinc/32/38/03/408323803.db2.gz RUWHMBYRLITPQG-UHFFFAOYSA-N 1 2 300.358 1.534 20 30 DDEDLO C#CC[N@H+](CC(=O)N(C)c1ccccc1C(=O)OC)C1CC1 ZINC000274202965 408323808 /nfs/dbraw/zinc/32/38/08/408323808.db2.gz RUWHMBYRLITPQG-UHFFFAOYSA-N 1 2 300.358 1.534 20 30 DDEDLO CO[C@@H](C)c1noc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)n1 ZINC000158140154 408328711 /nfs/dbraw/zinc/32/87/11/408328711.db2.gz DQEQUWVPRDOJHH-VIFPVBQESA-N 1 2 318.333 1.549 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC[C@@H](OCCO)C1 ZINC000246816248 408347780 /nfs/dbraw/zinc/34/77/80/408347780.db2.gz OIQJORLQRZWZJH-UKRRQHHQSA-N 1 2 317.389 1.359 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC[C@@H](OCCO)C1 ZINC000246816248 408347785 /nfs/dbraw/zinc/34/77/85/408347785.db2.gz OIQJORLQRZWZJH-UKRRQHHQSA-N 1 2 317.389 1.359 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000169280635 162184235 /nfs/dbraw/zinc/18/42/35/162184235.db2.gz OAKPJCBYCDPAQQ-JTQLQIEISA-N 1 2 324.793 1.775 20 30 DDEDLO C[C@H]([C@H](C)Nc1ncc([N+](=O)[O-])cc1C#N)[NH+]1CCOCC1 ZINC000264278793 408423505 /nfs/dbraw/zinc/42/35/05/408423505.db2.gz OUFACXQOKWWBDX-WDEREUQCSA-N 1 2 305.338 1.383 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1[C@@H]2CC[C@H]1CC(O)C2 ZINC000177069290 408512801 /nfs/dbraw/zinc/51/28/01/408512801.db2.gz PDYXNBQYJRRERK-QXULXFAOSA-N 1 2 300.358 1.760 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1[C@@H]2CC[C@H]1CC(O)C2 ZINC000177069290 408512804 /nfs/dbraw/zinc/51/28/04/408512804.db2.gz PDYXNBQYJRRERK-QXULXFAOSA-N 1 2 300.358 1.760 20 30 DDEDLO N#Cc1ccc(CNC(=O)CSCC[NH+]2CCOCC2)cc1 ZINC000270494368 408550027 /nfs/dbraw/zinc/55/00/27/408550027.db2.gz DTYZWQVMQNEBHL-UHFFFAOYSA-N 1 2 319.430 1.240 20 30 DDEDLO C[C@@H]1C[C@@H](O)C[C@H](C)[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000248867936 408650723 /nfs/dbraw/zinc/65/07/23/408650723.db2.gz ZCKBWMZBVRARJB-AGGWBTHJSA-N 1 2 322.430 1.566 20 30 DDEDLO C[C@@H]1C[C@@H](O)C[C@H](C)[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000248867936 408650729 /nfs/dbraw/zinc/65/07/29/408650729.db2.gz ZCKBWMZBVRARJB-AGGWBTHJSA-N 1 2 322.430 1.566 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCCC[C@H]2C(N)=O)cc(OC)c1O ZINC000162023358 408663334 /nfs/dbraw/zinc/66/33/34/408663334.db2.gz OCDAXQOVNUROLJ-AWEZNQCLSA-N 1 2 304.390 1.969 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCCC[C@H]2C(N)=O)cc(OC)c1O ZINC000162023358 408663338 /nfs/dbraw/zinc/66/33/38/408663338.db2.gz OCDAXQOVNUROLJ-AWEZNQCLSA-N 1 2 304.390 1.969 20 30 DDEDLO C=C(C)COCCNC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000162290313 408686077 /nfs/dbraw/zinc/68/60/77/408686077.db2.gz ULPVFVCYJWNETO-UHFFFAOYSA-N 1 2 318.417 1.841 20 30 DDEDLO N#Cc1ccc(Cl)cc1N1CC[NH+](CC(=O)NC2CC2)CC1 ZINC000265549427 408691139 /nfs/dbraw/zinc/69/11/39/408691139.db2.gz QECZHUAKSNIOOC-UHFFFAOYSA-N 1 2 318.808 1.612 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cc(C#N)cs2)C[C@H](C)O1 ZINC000194749431 408796820 /nfs/dbraw/zinc/79/68/20/408796820.db2.gz JVXOZGPZVPOKMO-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2cc(C#N)cs2)C[C@H](C)O1 ZINC000194749431 408796822 /nfs/dbraw/zinc/79/68/22/408796822.db2.gz JVXOZGPZVPOKMO-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO C=C(C)COCCNC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000185043708 408744608 /nfs/dbraw/zinc/74/46/08/408744608.db2.gz XOCIKEYLDYNMJU-UHFFFAOYSA-N 1 2 319.409 1.209 20 30 DDEDLO C[C@@H]1C[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)CC[C@H]1O ZINC000249606835 408750145 /nfs/dbraw/zinc/75/01/45/408750145.db2.gz ZXWLBYSQHWSOOZ-KGYLQXTDSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@@H]1C[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)CC[C@H]1O ZINC000249606835 408750150 /nfs/dbraw/zinc/75/01/50/408750150.db2.gz ZXWLBYSQHWSOOZ-KGYLQXTDSA-N 1 2 305.403 1.428 20 30 DDEDLO C=CCC[C@@H](O)C[NH+]1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000178025784 408759090 /nfs/dbraw/zinc/75/90/90/408759090.db2.gz VWFJACMPQBYBQG-OAHLLOKOSA-N 1 2 324.446 1.320 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1C[C@H](C)O[C@@H](C)C1)Cc1ccccc1 ZINC000285894682 408884375 /nfs/dbraw/zinc/88/43/75/408884375.db2.gz ZLEPQZMTMAXHGA-HOTGVXAUSA-N 1 2 300.402 1.758 20 30 DDEDLO C#CC[N@H+](CC(=O)N1C[C@H](C)O[C@@H](C)C1)Cc1ccccc1 ZINC000285894682 408884378 /nfs/dbraw/zinc/88/43/78/408884378.db2.gz ZLEPQZMTMAXHGA-HOTGVXAUSA-N 1 2 300.402 1.758 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H]2CCc3ccccc3NC2=O)C1=O ZINC000281527628 408890484 /nfs/dbraw/zinc/89/04/84/408890484.db2.gz ZTMKTMMEXUJDGX-CVEARBPZSA-N 1 2 313.401 1.659 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H]2CCc3ccccc3NC2=O)C1=O ZINC000281527628 408890486 /nfs/dbraw/zinc/89/04/86/408890486.db2.gz ZTMKTMMEXUJDGX-CVEARBPZSA-N 1 2 313.401 1.659 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@@H+]1CC(C)(C)O ZINC000188840423 163072886 /nfs/dbraw/zinc/07/28/86/163072886.db2.gz BOIHAZQOYVFWEL-GFCCVEGCSA-N 1 2 317.389 1.181 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(C#N)c(O)c2)CC[N@H+]1CC(C)(C)O ZINC000188840423 163072889 /nfs/dbraw/zinc/07/28/89/163072889.db2.gz BOIHAZQOYVFWEL-GFCCVEGCSA-N 1 2 317.389 1.181 20 30 DDEDLO CC[N@H+](Cc1nnc(-c2ccc(C#N)cc2)o1)[C@H]1CCNC1=O ZINC000281954284 408959922 /nfs/dbraw/zinc/95/99/22/408959922.db2.gz URYCFHQKCWUBNR-ZDUSSCGKSA-N 1 2 311.345 1.319 20 30 DDEDLO CC[N@@H+](Cc1nnc(-c2ccc(C#N)cc2)o1)[C@H]1CCNC1=O ZINC000281954284 408959924 /nfs/dbraw/zinc/95/99/24/408959924.db2.gz URYCFHQKCWUBNR-ZDUSSCGKSA-N 1 2 311.345 1.319 20 30 DDEDLO C=CCCOCCNc1nc(NC(C)C)nc(NCCO)[nH+]1 ZINC000286557826 408991295 /nfs/dbraw/zinc/99/12/95/408991295.db2.gz QPRGCPSZCBNJKQ-UHFFFAOYSA-N 1 2 310.402 1.101 20 30 DDEDLO C=CCCOCCNc1nc(NCCO)[nH+]c(NC(C)C)n1 ZINC000286557826 408991300 /nfs/dbraw/zinc/99/13/00/408991300.db2.gz QPRGCPSZCBNJKQ-UHFFFAOYSA-N 1 2 310.402 1.101 20 30 DDEDLO C=CCCOCCNc1nc(NCCO)nc(NC(C)C)[nH+]1 ZINC000286557826 408991303 /nfs/dbraw/zinc/99/13/03/408991303.db2.gz QPRGCPSZCBNJKQ-UHFFFAOYSA-N 1 2 310.402 1.101 20 30 DDEDLO C#CCC(CC#C)C(=O)N1CCN(C2=[NH+]CC(C)(C)S2)CC1 ZINC000287640063 409068941 /nfs/dbraw/zinc/06/89/41/409068941.db2.gz NSAKUYRSHKYKLC-UHFFFAOYSA-N 1 2 317.458 1.675 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000293014778 409048909 /nfs/dbraw/zinc/04/89/09/409048909.db2.gz DYXLCMARZUUIRH-UHFFFAOYSA-N 1 2 305.403 1.229 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000293014778 409048910 /nfs/dbraw/zinc/04/89/10/409048910.db2.gz DYXLCMARZUUIRH-UHFFFAOYSA-N 1 2 305.403 1.229 20 30 DDEDLO C#C[C@H](NC(=O)C[NH+]1CCN(c2ccccn2)CC1)C(C)(C)C ZINC000288106381 409146992 /nfs/dbraw/zinc/14/69/92/409146992.db2.gz HDWNAELYALAJJR-HNNXBMFYSA-N 1 2 314.433 1.368 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)NCCc1cccs1 ZINC000283845442 409226876 /nfs/dbraw/zinc/22/68/76/409226876.db2.gz BVYGZUMXNHAOTD-ZYHUDNBSSA-N 1 2 311.407 1.263 20 30 DDEDLO CC(=O)c1cccc(NC(=O)[C@@H](C)O[NH+]=C(N)[C@H]2CCCO2)c1 ZINC000283859169 409229585 /nfs/dbraw/zinc/22/95/85/409229585.db2.gz CDSJIOZXEIUITF-BXUZGUMPSA-N 1 2 319.361 1.684 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)Cc1ccc(F)cc1C#N ZINC000295219084 409382070 /nfs/dbraw/zinc/38/20/70/409382070.db2.gz QSXNMZZIWJXILP-LLVKDONJSA-N 1 2 322.365 1.402 20 30 DDEDLO COc1nccnc1C[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000296164879 409449496 /nfs/dbraw/zinc/44/94/96/409449496.db2.gz WTZCFJLWXZNNDJ-CYBMUJFWSA-N 1 2 311.345 1.405 20 30 DDEDLO COc1nccnc1C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000296164879 409449500 /nfs/dbraw/zinc/44/95/00/409449500.db2.gz WTZCFJLWXZNNDJ-CYBMUJFWSA-N 1 2 311.345 1.405 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+]([C@H](C)c2ccsc2)CC1 ZINC000296413628 409536463 /nfs/dbraw/zinc/53/64/63/409536463.db2.gz WVKHHOCAWLFKGI-ZIAGYGMSSA-N 1 2 306.431 1.992 20 30 DDEDLO COc1cc[nH+]cc1CNC(=O)C(=O)Nc1cc(C#N)ccc1C ZINC000342336842 409575584 /nfs/dbraw/zinc/57/55/84/409575584.db2.gz YCEYPTQCAJFVSF-UHFFFAOYSA-N 1 2 324.340 1.525 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CC=C(c2ccc3c(c2)OCCO3)CC1 ZINC000357030280 409805579 /nfs/dbraw/zinc/80/55/79/409805579.db2.gz XYKKFWXFNNGJTC-UHFFFAOYSA-N 1 2 313.357 1.187 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CC=C(c2ccc3c(c2)OCCO3)CC1 ZINC000357030280 409805589 /nfs/dbraw/zinc/80/55/89/409805589.db2.gz XYKKFWXFNNGJTC-UHFFFAOYSA-N 1 2 313.357 1.187 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1coc(C2CCOCC2)n1 ZINC000328688880 409954887 /nfs/dbraw/zinc/95/48/87/409954887.db2.gz QDYLIEOAYCREEM-LBPRGKRZSA-N 1 2 323.393 1.594 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1coc(C2CCOCC2)n1 ZINC000328688880 409954893 /nfs/dbraw/zinc/95/48/93/409954893.db2.gz QDYLIEOAYCREEM-LBPRGKRZSA-N 1 2 323.393 1.594 20 30 DDEDLO C=CC[N@H+](Cc1ccccc1F)[C@H](C)C(=O)NCC(=O)OC ZINC000297736339 409980214 /nfs/dbraw/zinc/98/02/14/409980214.db2.gz CFHYMXARAGIEKS-GFCCVEGCSA-N 1 2 308.353 1.491 20 30 DDEDLO C=CC[N@@H+](Cc1ccccc1F)[C@H](C)C(=O)NCC(=O)OC ZINC000297736339 409980221 /nfs/dbraw/zinc/98/02/21/409980221.db2.gz CFHYMXARAGIEKS-GFCCVEGCSA-N 1 2 308.353 1.491 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)C(C)(C)S(=O)(=O)C2CC2)C[N@@H+]1C1CC1 ZINC000328810568 409984506 /nfs/dbraw/zinc/98/45/06/409984506.db2.gz MMPXESRJCBCDMS-MNOVXSKESA-N 1 2 314.451 1.924 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)C(C)(C)S(=O)(=O)C2CC2)C[N@H+]1C1CC1 ZINC000328810568 409984516 /nfs/dbraw/zinc/98/45/16/409984516.db2.gz MMPXESRJCBCDMS-MNOVXSKESA-N 1 2 314.451 1.924 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(OC)c(C#N)c2)C[C@H](C)O1 ZINC000338354341 409934482 /nfs/dbraw/zinc/93/44/82/409934482.db2.gz FDBJWAQEFLDTQK-NHYWBVRUSA-N 1 2 304.346 1.329 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(OC)c(C#N)c2)C[C@H](C)O1 ZINC000338354341 409934489 /nfs/dbraw/zinc/93/44/89/409934489.db2.gz FDBJWAQEFLDTQK-NHYWBVRUSA-N 1 2 304.346 1.329 20 30 DDEDLO CCc1csc(NC(=O)N2CC[C@H]3OCC[N@H+](C)[C@H]3C2)n1 ZINC000328958394 410019440 /nfs/dbraw/zinc/01/94/40/410019440.db2.gz JUAWLAMHWSHZHY-NWDGAFQWSA-N 1 2 310.423 1.656 20 30 DDEDLO CCc1csc(NC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@H]3C2)n1 ZINC000328958394 410019443 /nfs/dbraw/zinc/01/94/43/410019443.db2.gz JUAWLAMHWSHZHY-NWDGAFQWSA-N 1 2 310.423 1.656 20 30 DDEDLO C=C(C)C[N@@H+](C)CC(=O)N(CC(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000351099559 410032952 /nfs/dbraw/zinc/03/29/52/410032952.db2.gz LEYIKYTVSFDZFM-AWEZNQCLSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C(C)C[N@H+](C)CC(=O)N(CC(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000351099559 410032961 /nfs/dbraw/zinc/03/29/61/410032961.db2.gz LEYIKYTVSFDZFM-AWEZNQCLSA-N 1 2 316.467 1.166 20 30 DDEDLO C[C@@H]1CCN(C([O-])=[NH+]Cc2ncn(C)n2)C[C@@H]1n1cc[nH+]c1 ZINC000329052177 410072761 /nfs/dbraw/zinc/07/27/61/410072761.db2.gz HIQIUNSZQPRMER-NEPJUHHUSA-N 1 2 303.370 1.009 20 30 DDEDLO C[C@@H]1CCN(C([O-])=[NH+]Cc2ccn(C)n2)C[C@@H]1n1cc[nH+]c1 ZINC000329055114 410076257 /nfs/dbraw/zinc/07/62/57/410076257.db2.gz SHEQWZWTBRJGOS-OCCSQVGLSA-N 1 2 302.382 1.614 20 30 DDEDLO C[C@@H]1CCN(C(=O)NCc2ccn(C)n2)C[C@@H]1n1cc[nH+]c1 ZINC000329055114 410076269 /nfs/dbraw/zinc/07/62/69/410076269.db2.gz SHEQWZWTBRJGOS-OCCSQVGLSA-N 1 2 302.382 1.614 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NC[C@@H]1CCCOC1 ZINC000329267126 410203915 /nfs/dbraw/zinc/20/39/15/410203915.db2.gz HRHVKUJNRIXTPZ-ILXRZTDVSA-N 1 2 311.426 1.122 20 30 DDEDLO O=C(NCc1ncccc1O)[C@@H]1COCC[N@@H+]1CC1CCC1 ZINC000329344231 410245054 /nfs/dbraw/zinc/24/50/54/410245054.db2.gz QJXDPRMXZILOPP-AWEZNQCLSA-N 1 2 305.378 1.745 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+](C)CCNC(=O)N(C)C ZINC000355034908 410254603 /nfs/dbraw/zinc/25/46/03/410254603.db2.gz TWLYAOWGPGLGMB-LBPRGKRZSA-N 1 2 317.393 1.088 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+](C)CCNC(=O)N(C)C ZINC000355034908 410254610 /nfs/dbraw/zinc/25/46/10/410254610.db2.gz TWLYAOWGPGLGMB-LBPRGKRZSA-N 1 2 317.393 1.088 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCN2C(=O)CC[C@@H]2C1 ZINC000332789341 410258956 /nfs/dbraw/zinc/25/89/56/410258956.db2.gz HKAHQUKUYYZHSM-UONOGXRCSA-N 1 2 318.421 1.024 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCN2C(=O)CC[C@@H]2C1 ZINC000332789341 410258962 /nfs/dbraw/zinc/25/89/62/410258962.db2.gz HKAHQUKUYYZHSM-UONOGXRCSA-N 1 2 318.421 1.024 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)N1CCC[C@H](O)C1)C1CCOCC1 ZINC000329363217 410260354 /nfs/dbraw/zinc/26/03/54/410260354.db2.gz ZKHJSGAOBARXJC-UONOGXRCSA-N 1 2 322.409 1.259 20 30 DDEDLO C#CC[N@H+](Cc1ccccc1)[C@H]1CCN(c2cc(C)nn2C)C1=O ZINC000298431712 410225486 /nfs/dbraw/zinc/22/54/86/410225486.db2.gz GLKINBZBAOGBIV-KRWDZBQOSA-N 1 2 322.412 1.969 20 30 DDEDLO C#CC[N@@H+](Cc1ccccc1)[C@H]1CCN(c2cc(C)nn2C)C1=O ZINC000298431712 410225493 /nfs/dbraw/zinc/22/54/93/410225493.db2.gz GLKINBZBAOGBIV-KRWDZBQOSA-N 1 2 322.412 1.969 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C2(C#N)CC2)cc1 ZINC000329487267 410324461 /nfs/dbraw/zinc/32/44/61/410324461.db2.gz RKASBKLFPGQRHJ-AWEZNQCLSA-N 1 2 313.401 1.692 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(C2(C#N)CC2)cc1 ZINC000329487267 410324467 /nfs/dbraw/zinc/32/44/67/410324467.db2.gz RKASBKLFPGQRHJ-AWEZNQCLSA-N 1 2 313.401 1.692 20 30 DDEDLO CO[C@]1(C)C[C@H](NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)C1(C)C ZINC000329703359 410419374 /nfs/dbraw/zinc/41/93/74/410419374.db2.gz IHHGKSKYCBDBQX-RSUWNVLCSA-N 1 2 311.426 1.119 20 30 DDEDLO CO[C@]1(C)C[C@H](NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)C1(C)C ZINC000329703359 410419383 /nfs/dbraw/zinc/41/93/83/410419383.db2.gz IHHGKSKYCBDBQX-RSUWNVLCSA-N 1 2 311.426 1.119 20 30 DDEDLO CCNC(=O)COc1ccc(C[N@H+](C)C[C@H](C)C#N)cc1OC ZINC000352091278 410472491 /nfs/dbraw/zinc/47/24/91/410472491.db2.gz IHEFBWVQQGWKKU-CYBMUJFWSA-N 1 2 319.405 1.802 20 30 DDEDLO CCNC(=O)COc1ccc(C[N@@H+](C)C[C@H](C)C#N)cc1OC ZINC000352091278 410472497 /nfs/dbraw/zinc/47/24/97/410472497.db2.gz IHEFBWVQQGWKKU-CYBMUJFWSA-N 1 2 319.405 1.802 20 30 DDEDLO C[C@H](NC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1)[C@@H]1CCOC1 ZINC000329784697 410443018 /nfs/dbraw/zinc/44/30/18/410443018.db2.gz WGUHFAPMAYFBHV-RRFJBIMHSA-N 1 2 311.426 1.122 20 30 DDEDLO C#CC[NH+](CC#C)[C@H](C)C(=O)N1CCN(c2ccccc2)CC1 ZINC000299215474 410570190 /nfs/dbraw/zinc/57/01/90/410570190.db2.gz HWQDBVOFMKBQSD-QGZVFWFLSA-N 1 2 309.413 1.292 20 30 DDEDLO CNS(=O)(=O)CCC[N@@H+]1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000336857661 410645918 /nfs/dbraw/zinc/64/59/18/410645918.db2.gz UFCOPLKPGZKMBO-CVEARBPZSA-N 1 2 321.446 1.697 20 30 DDEDLO CNS(=O)(=O)CCC[N@H+]1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000336857661 410645920 /nfs/dbraw/zinc/64/59/20/410645920.db2.gz UFCOPLKPGZKMBO-CVEARBPZSA-N 1 2 321.446 1.697 20 30 DDEDLO C=CCN(C)C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000355921442 410732653 /nfs/dbraw/zinc/73/26/53/410732653.db2.gz QRSISDOTLYMIPH-OAHLLOKOSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCN(C)C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000355921442 410732654 /nfs/dbraw/zinc/73/26/54/410732654.db2.gz QRSISDOTLYMIPH-OAHLLOKOSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@H](C)CN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000330584874 410802081 /nfs/dbraw/zinc/80/20/81/410802081.db2.gz LDCKHSXHDFDVAO-ZIAGYGMSSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@H](C)CN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000330584874 410802089 /nfs/dbraw/zinc/80/20/89/410802089.db2.gz LDCKHSXHDFDVAO-ZIAGYGMSSA-N 1 2 321.446 1.356 20 30 DDEDLO N#Cc1ccc(N[C@@H](C[NH+]2CCOCC2)c2ccccc2)nn1 ZINC000301834586 410811003 /nfs/dbraw/zinc/81/10/03/410811003.db2.gz KNDVSOKZBSZUGT-INIZCTEOSA-N 1 2 309.373 1.834 20 30 DDEDLO CC(C)(C)[C@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)C(N)=O ZINC000330705591 410885493 /nfs/dbraw/zinc/88/54/93/410885493.db2.gz NZMCERVXFCVUQE-LLVKDONJSA-N 1 2 314.430 1.551 20 30 DDEDLO CC(C)(C)[C@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)C(N)=O ZINC000330705591 410885500 /nfs/dbraw/zinc/88/55/00/410885500.db2.gz NZMCERVXFCVUQE-LLVKDONJSA-N 1 2 314.430 1.551 20 30 DDEDLO Cc1ncc(C(=O)NCC[N@@H+]2CCOC[C@H]2C)c(C(C)C)n1 ZINC000330786352 410893036 /nfs/dbraw/zinc/89/30/36/410893036.db2.gz NCNMSBWMHZRJHK-GFCCVEGCSA-N 1 2 306.410 1.934 20 30 DDEDLO Cc1ncc(C(=O)NCC[N@H+]2CCOC[C@H]2C)c(C(C)C)n1 ZINC000330786352 410893040 /nfs/dbraw/zinc/89/30/40/410893040.db2.gz NCNMSBWMHZRJHK-GFCCVEGCSA-N 1 2 306.410 1.934 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N(CC)C[C@@H](C)C#N)C[C@]2(CCOC2)O1 ZINC000331487078 287186845 /nfs/dbraw/zinc/18/68/45/287186845.db2.gz AKJHZGABKRUXMV-UXLLHSPISA-N 1 2 323.437 1.264 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N(CC)C[C@@H](C)C#N)C[C@]2(CCOC2)O1 ZINC000331487078 287186847 /nfs/dbraw/zinc/18/68/47/287186847.db2.gz AKJHZGABKRUXMV-UXLLHSPISA-N 1 2 323.437 1.264 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ncccc3C#N)CC2)cn1 ZINC000130906092 196121551 /nfs/dbraw/zinc/12/15/51/196121551.db2.gz PEQPVHZSNOYESV-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO N#Cc1cc(NCC[NH+]2CCOCC2)ncc1Br ZINC000603266940 416633916 /nfs/dbraw/zinc/63/39/16/416633916.db2.gz FDCYTWODKMEUEW-UHFFFAOYSA-N 1 2 311.183 1.460 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000366149899 418433230 /nfs/dbraw/zinc/43/32/30/418433230.db2.gz FSQDPBRUWULXNZ-BMFZPTHFSA-N 1 2 323.437 1.130 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000366149899 418433231 /nfs/dbraw/zinc/43/32/31/418433231.db2.gz FSQDPBRUWULXNZ-BMFZPTHFSA-N 1 2 323.437 1.130 20 30 DDEDLO N#Cc1c(F)ccc(C[N@@H+]2CC[C@H]3OCC(=O)N[C@H]3C2)c1Cl ZINC000373969011 418479003 /nfs/dbraw/zinc/47/90/03/418479003.db2.gz OLLKUHTZZNHWOL-QWHCGFSZSA-N 1 2 323.755 1.440 20 30 DDEDLO N#Cc1c(F)ccc(C[N@H+]2CC[C@H]3OCC(=O)N[C@H]3C2)c1Cl ZINC000373969011 418479005 /nfs/dbraw/zinc/47/90/05/418479005.db2.gz OLLKUHTZZNHWOL-QWHCGFSZSA-N 1 2 323.755 1.440 20 30 DDEDLO N#C[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)CCN1Cc1ccccc1 ZINC000189017013 222034198 /nfs/dbraw/zinc/03/41/98/222034198.db2.gz PNALRSXWOLCTEC-KRWDZBQOSA-N 1 2 323.400 1.579 20 30 DDEDLO N#C[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)CCN1Cc1ccccc1 ZINC000189017013 222034201 /nfs/dbraw/zinc/03/42/01/222034201.db2.gz PNALRSXWOLCTEC-KRWDZBQOSA-N 1 2 323.400 1.579 20 30 DDEDLO CN(C)c1noc(C2CC[NH+](C[C@H](C#N)CCC#N)CC2)n1 ZINC000374879741 418573412 /nfs/dbraw/zinc/57/34/12/418573412.db2.gz RPNMWOLERMBHAD-LBPRGKRZSA-N 1 2 302.382 1.759 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)N1CCn2cc(C)[nH+]c2C1 ZINC000374697371 418556887 /nfs/dbraw/zinc/55/68/87/418556887.db2.gz NTPIRVNNTHKFFB-INIZCTEOSA-N 1 2 310.357 1.793 20 30 DDEDLO COCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)Cc1cccnc1 ZINC000191239693 222100146 /nfs/dbraw/zinc/10/01/46/222100146.db2.gz CMOWZVWPMGGGFN-QGZVFWFLSA-N 1 2 318.421 1.584 20 30 DDEDLO COCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)Cc1cccnc1 ZINC000191239693 222100149 /nfs/dbraw/zinc/10/01/49/222100149.db2.gz CMOWZVWPMGGGFN-QGZVFWFLSA-N 1 2 318.421 1.584 20 30 DDEDLO C[C@@H](NC(=O)CO[NH+]=C(N)CCO)c1ccc2c(c1)CCCC2 ZINC000193713615 222162158 /nfs/dbraw/zinc/16/21/58/222162158.db2.gz JOJBDZWKLVINNL-GFCCVEGCSA-N 1 2 319.405 1.414 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C(=O)OC)C1 ZINC000246447776 222222770 /nfs/dbraw/zinc/22/27/70/222222770.db2.gz LIXJNUFBQPCITG-HZSPNIEDSA-N 1 2 312.410 1.062 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1C[C@@H](C)O[C@@H](C(=O)OC)C1 ZINC000246447776 222222772 /nfs/dbraw/zinc/22/27/72/222222772.db2.gz LIXJNUFBQPCITG-HZSPNIEDSA-N 1 2 312.410 1.062 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C(=O)OC)C1 ZINC000246447785 222222907 /nfs/dbraw/zinc/22/29/07/222222907.db2.gz LIXJNUFBQPCITG-MGPQQGTHSA-N 1 2 312.410 1.062 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1C[C@@H](C)O[C@@H](C(=O)OC)C1 ZINC000246447785 222222910 /nfs/dbraw/zinc/22/29/10/222222910.db2.gz LIXJNUFBQPCITG-MGPQQGTHSA-N 1 2 312.410 1.062 20 30 DDEDLO C[C@@H]1CCN(S(=O)(=O)CCCCC#N)C[C@H]1n1cc[nH+]c1 ZINC000247733369 222231293 /nfs/dbraw/zinc/23/12/93/222231293.db2.gz VKLRMPXZGAFGFF-ZIAGYGMSSA-N 1 2 310.423 1.790 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(S(=O)(=O)CCC(C)(C)C#N)C1 ZINC000367720757 418626221 /nfs/dbraw/zinc/62/62/21/418626221.db2.gz MPVUUJQUJVYTQH-CYBMUJFWSA-N 1 2 324.450 1.869 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(S(=O)(=O)c2ccc(C#N)o2)C1 ZINC000367789554 418634606 /nfs/dbraw/zinc/63/46/06/418634606.db2.gz ZIEUHBPAKQGQLL-NSHDSACASA-N 1 2 320.374 1.453 20 30 DDEDLO N#Cc1ccc(CN2CC[N@@H+](C[C@@H]3CCC=CO3)CC2=O)cc1 ZINC000375724433 418677720 /nfs/dbraw/zinc/67/77/20/418677720.db2.gz LFHDTFVLSJDTGL-KRWDZBQOSA-N 1 2 311.385 1.895 20 30 DDEDLO N#Cc1ccc(CN2CC[N@H+](C[C@@H]3CCC=CO3)CC2=O)cc1 ZINC000375724433 418677721 /nfs/dbraw/zinc/67/77/21/418677721.db2.gz LFHDTFVLSJDTGL-KRWDZBQOSA-N 1 2 311.385 1.895 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1C[C@@]2(CCOC2)OC(C)(C)C1 ZINC000376018670 418691197 /nfs/dbraw/zinc/69/11/97/418691197.db2.gz KDXBKAFQJGQHAP-DLBZAZTESA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1C[C@@]2(CCOC2)OC(C)(C)C1 ZINC000376018670 418691199 /nfs/dbraw/zinc/69/11/99/418691199.db2.gz KDXBKAFQJGQHAP-DLBZAZTESA-N 1 2 323.437 1.311 20 30 DDEDLO C#CCCCS(=O)(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000376287566 418694997 /nfs/dbraw/zinc/69/49/97/418694997.db2.gz FAUROOUDZVWUJP-UHFFFAOYSA-N 1 2 321.377 1.844 20 30 DDEDLO CC#CCCNC(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000361643045 418695597 /nfs/dbraw/zinc/69/55/97/418695597.db2.gz LJALEVAFTKOZRK-OAHLLOKOSA-N 1 2 300.406 1.883 20 30 DDEDLO COCCCNC(=O)C[N@@H+]1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000369804308 418738293 /nfs/dbraw/zinc/73/82/93/418738293.db2.gz SFQUBIHKKHBNPR-SJORKVTESA-N 1 2 315.417 1.911 20 30 DDEDLO COCCCNC(=O)C[N@H+]1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000369804308 418738295 /nfs/dbraw/zinc/73/82/95/418738295.db2.gz SFQUBIHKKHBNPR-SJORKVTESA-N 1 2 315.417 1.911 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@]12CCCN(C(=O)OC(C)(C)C)C2 ZINC000370607087 418746961 /nfs/dbraw/zinc/74/69/61/418746961.db2.gz SWJBKLQYJLCADB-QGZVFWFLSA-N 1 2 323.437 1.764 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@]12CCCN(C(=O)OC(C)(C)C)C2 ZINC000370607087 418746963 /nfs/dbraw/zinc/74/69/63/418746963.db2.gz SWJBKLQYJLCADB-QGZVFWFLSA-N 1 2 323.437 1.764 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)cc2)CCO1 ZINC000362464077 418755188 /nfs/dbraw/zinc/75/51/88/418755188.db2.gz IRAGZFJSVUHBQE-HNNXBMFYSA-N 1 2 302.378 1.791 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)cc2)CCO1 ZINC000362464077 418755191 /nfs/dbraw/zinc/75/51/91/418755191.db2.gz IRAGZFJSVUHBQE-HNNXBMFYSA-N 1 2 302.378 1.791 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CCCCCC)CC1 ZINC000363536468 418767535 /nfs/dbraw/zinc/76/75/35/418767535.db2.gz NLIKLAOEAFITSR-UHFFFAOYSA-N 1 2 307.438 1.241 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CCCCCC)CC1 ZINC000363536468 418767537 /nfs/dbraw/zinc/76/75/37/418767537.db2.gz NLIKLAOEAFITSR-UHFFFAOYSA-N 1 2 307.438 1.241 20 30 DDEDLO C[C@@H]1OCC[C@@]12C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)C[C@@H](C)O2 ZINC000363926920 418771475 /nfs/dbraw/zinc/77/14/75/418771475.db2.gz QWFPENFWKHKKGI-DLTLXFJOSA-N 1 2 321.421 1.063 20 30 DDEDLO C[C@@H]1OCC[C@@]12C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C[C@@H](C)O2 ZINC000363926920 418771476 /nfs/dbraw/zinc/77/14/76/418771476.db2.gz QWFPENFWKHKKGI-DLTLXFJOSA-N 1 2 321.421 1.063 20 30 DDEDLO Cc1n[nH]cc1C[N@@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000371469993 418794437 /nfs/dbraw/zinc/79/44/37/418794437.db2.gz HWHSNRLCXAUGNP-CXAGYDPISA-N 1 2 307.357 1.564 20 30 DDEDLO Cc1n[nH]cc1C[N@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000371469993 418794438 /nfs/dbraw/zinc/79/44/38/418794438.db2.gz HWHSNRLCXAUGNP-CXAGYDPISA-N 1 2 307.357 1.564 20 30 DDEDLO Cn1cccc1C[N@@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000371471874 418794457 /nfs/dbraw/zinc/79/44/57/418794457.db2.gz XHAHVQLIPPQTLW-SCLBCKFNSA-N 1 2 306.369 1.871 20 30 DDEDLO Cn1cccc1C[N@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000371471874 418794459 /nfs/dbraw/zinc/79/44/59/418794459.db2.gz XHAHVQLIPPQTLW-SCLBCKFNSA-N 1 2 306.369 1.871 20 30 DDEDLO CN(C)c1ccc(N[C@H]2CCCN(C(=O)CC#N)CC2)c[nH+]1 ZINC000364634066 418795604 /nfs/dbraw/zinc/79/56/04/418795604.db2.gz YRHLKULXVVRELL-ZDUSSCGKSA-N 1 2 301.394 1.854 20 30 DDEDLO Cc1nn(C)cc1[C@@H]1CCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000371944735 418826392 /nfs/dbraw/zinc/82/63/92/418826392.db2.gz XOHBOJHBAQMNFJ-RDJZCZTQSA-N 1 2 315.421 1.674 20 30 DDEDLO Cc1nn(C)cc1[C@@H]1CCC[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000371944735 418826394 /nfs/dbraw/zinc/82/63/94/418826394.db2.gz XOHBOJHBAQMNFJ-RDJZCZTQSA-N 1 2 315.421 1.674 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2CCCN(c3cccc[nH+]3)C2)CCOCC1 ZINC000365339108 418853219 /nfs/dbraw/zinc/85/32/19/418853219.db2.gz JXLOOGVUOYXQKA-CQSZACIVSA-N 1 2 314.389 1.487 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[C@@H](CN2CC[NH+](C)CC2)C1 ZINC000365591717 418879481 /nfs/dbraw/zinc/87/94/81/418879481.db2.gz DBSBPYKMSZVHGH-KRWDZBQOSA-N 1 2 323.481 1.455 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000365082143 418836407 /nfs/dbraw/zinc/83/64/07/418836407.db2.gz IPGLURDIGRZMDA-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO CCn1ccnc1C[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000365082143 418836410 /nfs/dbraw/zinc/83/64/10/418836410.db2.gz IPGLURDIGRZMDA-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000410815014 418849900 /nfs/dbraw/zinc/84/99/00/418849900.db2.gz SDWAGOAHTWCYRR-ZIAGYGMSSA-N 1 2 304.394 1.327 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCN2C(=O)N(C)C[C@@H]2C1 ZINC000365842932 418915364 /nfs/dbraw/zinc/91/53/64/418915364.db2.gz CMKDQOYTVCTLPJ-CQSZACIVSA-N 1 2 300.362 1.118 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCN2C(=O)N(C)C[C@@H]2C1 ZINC000365842932 418915365 /nfs/dbraw/zinc/91/53/65/418915365.db2.gz CMKDQOYTVCTLPJ-CQSZACIVSA-N 1 2 300.362 1.118 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@@H+]3CC[C@@](O)(C(F)F)C3)C2=O)cc1 ZINC000411790288 419411891 /nfs/dbraw/zinc/41/18/91/419411891.db2.gz GVSCYVZEDQRMOK-BBRMVZONSA-N 1 2 321.327 1.365 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@H+]3CC[C@@](O)(C(F)F)C3)C2=O)cc1 ZINC000411790288 419411893 /nfs/dbraw/zinc/41/18/93/419411893.db2.gz GVSCYVZEDQRMOK-BBRMVZONSA-N 1 2 321.327 1.365 20 30 DDEDLO CC(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000420988362 419421233 /nfs/dbraw/zinc/42/12/33/419421233.db2.gz QFFZNANNHBGRBD-ZDUSSCGKSA-N 1 2 309.316 1.870 20 30 DDEDLO CC(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000420988362 419421238 /nfs/dbraw/zinc/42/12/38/419421238.db2.gz QFFZNANNHBGRBD-ZDUSSCGKSA-N 1 2 309.316 1.870 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CCO[C@H]([C@H](C)O)C1 ZINC000412090669 419648166 /nfs/dbraw/zinc/64/81/66/419648166.db2.gz YWTILHWJUZVVDM-LESCRADOSA-N 1 2 321.417 1.236 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CCO[C@H]([C@H](C)O)C1 ZINC000412090669 419648172 /nfs/dbraw/zinc/64/81/72/419648172.db2.gz YWTILHWJUZVVDM-LESCRADOSA-N 1 2 321.417 1.236 20 30 DDEDLO CC1(C)C[C@@]1(C#N)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000427889344 419764476 /nfs/dbraw/zinc/76/44/76/419764476.db2.gz WJGMOSCDCPPPKO-SFHVURJKSA-N 1 2 313.401 1.941 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000428042965 419800504 /nfs/dbraw/zinc/80/05/04/419800504.db2.gz NSABTFLRDFEIPX-MRXNPFEDSA-N 1 2 314.433 1.771 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCc1ccccc1)[N@H+](C)CC(C)(C)C#N ZINC000433318884 229186153 /nfs/dbraw/zinc/18/61/53/229186153.db2.gz MXXOJTXHIFWPPU-CYBMUJFWSA-N 1 2 316.405 1.882 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCc1ccccc1)[N@@H+](C)CC(C)(C)C#N ZINC000433318884 229186154 /nfs/dbraw/zinc/18/61/54/229186154.db2.gz MXXOJTXHIFWPPU-CYBMUJFWSA-N 1 2 316.405 1.882 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[NH2+]C[C@](C)(F)C1 ZINC000420437907 420287210 /nfs/dbraw/zinc/28/72/10/420287210.db2.gz KLIJJGAFQCYHRL-AWEZNQCLSA-N 1 2 311.382 1.189 20 30 DDEDLO CCOC(=O)[C@H]1CN(c2cc(C)[nH+]cc2C#N)CC12COC2 ZINC000425267025 420334491 /nfs/dbraw/zinc/33/44/91/420334491.db2.gz KJMCSGNVIZBGNA-CYBMUJFWSA-N 1 2 301.346 1.278 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc(OC)c(O)c(OC)c2)nn1 ZINC000425347897 420361332 /nfs/dbraw/zinc/36/13/32/420361332.db2.gz DETYLJXKXUIQOL-UHFFFAOYSA-N 1 2 304.350 1.477 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000442655222 420694312 /nfs/dbraw/zinc/69/43/12/420694312.db2.gz VAPIPARDCPECFL-DOTOQJQBSA-N 1 2 310.438 1.538 20 30 DDEDLO C[C@H]1C[C@@]1(C#N)C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000448789387 420897494 /nfs/dbraw/zinc/89/74/94/420897494.db2.gz AKRXZZVBLYNRJZ-KSSFIOAISA-N 1 2 312.417 1.922 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ccccc3C#N)CC2)c1C#N ZINC000471806582 420951207 /nfs/dbraw/zinc/95/12/07/420951207.db2.gz ZPKXTFJSKDIAAJ-UHFFFAOYSA-N 1 2 320.400 1.794 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2cccc(CC#N)c2)CCO1 ZINC000455910334 421076129 /nfs/dbraw/zinc/07/61/29/421076129.db2.gz COLQFJPVCHKGAU-UHFFFAOYSA-N 1 2 316.405 1.985 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2cccc(CC#N)c2)CCO1 ZINC000455910334 421076132 /nfs/dbraw/zinc/07/61/32/421076132.db2.gz COLQFJPVCHKGAU-UHFFFAOYSA-N 1 2 316.405 1.985 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@H+](C)CC2(C#N)CCC2)CC1 ZINC000450343734 421186256 /nfs/dbraw/zinc/18/62/56/421186256.db2.gz ZGVKSTHHBJBHJJ-UHFFFAOYSA-N 1 2 321.421 1.414 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@@H+](C)CC2(C#N)CCC2)CC1 ZINC000450343734 421186260 /nfs/dbraw/zinc/18/62/60/421186260.db2.gz ZGVKSTHHBJBHJJ-UHFFFAOYSA-N 1 2 321.421 1.414 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000523056723 421231791 /nfs/dbraw/zinc/23/17/91/421231791.db2.gz ZXJUHUKQNQBQFY-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000523056723 421231793 /nfs/dbraw/zinc/23/17/93/421231793.db2.gz ZXJUHUKQNQBQFY-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO CS(=O)(=O)NC[C@H]1CCCC[N@@H+]1Cc1cc(C#N)cs1 ZINC000507140276 421422788 /nfs/dbraw/zinc/42/27/88/421422788.db2.gz BYWRCDFKTLNGOQ-GFCCVEGCSA-N 1 2 313.448 1.523 20 30 DDEDLO CS(=O)(=O)NC[C@H]1CCCC[N@H+]1Cc1cc(C#N)cs1 ZINC000507140276 421422791 /nfs/dbraw/zinc/42/27/91/421422791.db2.gz BYWRCDFKTLNGOQ-GFCCVEGCSA-N 1 2 313.448 1.523 20 30 DDEDLO CC(=O)N[C@H](CC(=O)N[C@](C)(C#N)C[NH+](C)C)c1ccccc1 ZINC000514941931 421467882 /nfs/dbraw/zinc/46/78/82/421467882.db2.gz LNBRYKXRSLFVPD-NVXWUHKLSA-N 1 2 316.405 1.214 20 30 DDEDLO C[NH+](C)[C@@H](CNc1ccc(C#N)c(N)n1)c1c(F)cccc1F ZINC000563419825 421505871 /nfs/dbraw/zinc/50/58/71/421505871.db2.gz CBAGOVCSMKAWBR-ZDUSSCGKSA-N 1 2 317.343 2.528 20 30 DDEDLO C[C@@H](CC(=O)N1CCN(c2cnccc2C#N)CC1)n1cc[nH+]c1 ZINC000515489773 421506542 /nfs/dbraw/zinc/50/65/42/421506542.db2.gz IMGDFGWTDYZYTJ-AWEZNQCLSA-N 1 2 324.388 1.450 20 30 DDEDLO Cc1oc(-c2ccco2)nc1CC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000566308297 421604344 /nfs/dbraw/zinc/60/43/44/421604344.db2.gz GMLPZOFGSWNRQM-INIZCTEOSA-N 1 2 316.361 1.746 20 30 DDEDLO N#Cc1csc(CNc2ccn(CC[NH+]3CCOCC3)n2)c1 ZINC000532428172 421660343 /nfs/dbraw/zinc/66/03/43/421660343.db2.gz KCWMFZZIZPVKRN-UHFFFAOYSA-N 1 2 317.418 1.761 20 30 DDEDLO CC[C@@H](CC#N)[NH2+][C@@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000570737429 421666218 /nfs/dbraw/zinc/66/62/18/421666218.db2.gz VGDBVEBSALLWTE-RYUDHWBXSA-N 1 2 323.418 1.699 20 30 DDEDLO Cc1nc(N)c(CNC(=O)[C@H](C#N)CCc2ccccc2)c[nH+]1 ZINC000517239363 421602906 /nfs/dbraw/zinc/60/29/06/421602906.db2.gz SPPKRBCIEVOEGU-AWEZNQCLSA-N 1 2 309.373 1.756 20 30 DDEDLO CC[C@@H]1CN(C)CC[N@@H+]1CCOc1ccc(C#N)cc1OC ZINC000580871692 421852008 /nfs/dbraw/zinc/85/20/08/421852008.db2.gz XLBGJBOHMRGOKQ-OAHLLOKOSA-N 1 2 303.406 1.972 20 30 DDEDLO CC[C@@H]1CN(C)CC[N@H+]1CCOc1ccc(C#N)cc1OC ZINC000580871692 421852013 /nfs/dbraw/zinc/85/20/13/421852013.db2.gz XLBGJBOHMRGOKQ-OAHLLOKOSA-N 1 2 303.406 1.972 20 30 DDEDLO C=CCC1(C(=O)NC[C@@]2(CO)COCC3(CCC3)[N@H+]2C)CCC1 ZINC000628576927 422237991 /nfs/dbraw/zinc/23/79/91/422237991.db2.gz YFAQJFKCYAHTSH-GOSISDBHSA-N 1 2 322.449 1.465 20 30 DDEDLO C=CCC1(C(=O)NC[C@@]2(CO)COCC3(CCC3)[N@@H+]2C)CCC1 ZINC000628576927 422237997 /nfs/dbraw/zinc/23/79/97/422237997.db2.gz YFAQJFKCYAHTSH-GOSISDBHSA-N 1 2 322.449 1.465 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000632386328 422297601 /nfs/dbraw/zinc/29/76/01/422297601.db2.gz XRNBVCHHTGWGGX-AWEZNQCLSA-N 1 2 302.440 1.079 20 30 DDEDLO C[C@@H](CNc1ncc([N+](=O)[O-])cc1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594592830 422352443 /nfs/dbraw/zinc/35/24/43/422352443.db2.gz YTDRXSVOYVUIRT-SDDRHHMPSA-N 1 2 319.365 1.771 20 30 DDEDLO C[C@@H](CNc1ncc([N+](=O)[O-])cc1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594592830 422352447 /nfs/dbraw/zinc/35/24/47/422352447.db2.gz YTDRXSVOYVUIRT-SDDRHHMPSA-N 1 2 319.365 1.771 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635765591 422325678 /nfs/dbraw/zinc/32/56/78/422325678.db2.gz HEEXUEVGJGTOHQ-WBVHZDCISA-N 1 2 318.417 1.570 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635765591 422325683 /nfs/dbraw/zinc/32/56/83/422325683.db2.gz HEEXUEVGJGTOHQ-WBVHZDCISA-N 1 2 318.417 1.570 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000628916053 422385232 /nfs/dbraw/zinc/38/52/32/422385232.db2.gz WLUJLDUHKRVCDG-CYBMUJFWSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)NC[C@@H]1COCC[N@H+]1C ZINC000628916053 422385234 /nfs/dbraw/zinc/38/52/34/422385234.db2.gz WLUJLDUHKRVCDG-CYBMUJFWSA-N 1 2 320.389 1.320 20 30 DDEDLO C=C[C@](C)(O)C(=O)N1CC[NH+](Cc2cc3ccccc3[nH]2)CC1 ZINC000629389751 422645508 /nfs/dbraw/zinc/64/55/08/422645508.db2.gz UQBIQNADDTVKFV-SFHVURJKSA-N 1 2 313.401 1.749 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(CC(C)C)CC(C)C)nn1 ZINC000640790292 423187588 /nfs/dbraw/zinc/18/75/88/423187588.db2.gz PFVQMXKLXBQZMF-UHFFFAOYSA-N 1 2 319.453 1.532 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)NC2CCCCC2)nn1 ZINC000640825253 423214717 /nfs/dbraw/zinc/21/47/17/423214717.db2.gz CJLNJNFZWAQPJH-ZDUSSCGKSA-N 1 2 303.410 1.401 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2csc(-c3ccccn3)n2)nn1 ZINC000640843717 423224831 /nfs/dbraw/zinc/22/48/31/423224831.db2.gz NIWYYKCIYJGNKF-UHFFFAOYSA-N 1 2 324.413 1.958 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)n1cc(C[NH2+]CC#CC)nn1 ZINC000640921064 423276931 /nfs/dbraw/zinc/27/69/31/423276931.db2.gz RNEVFVPHNHHJST-CQSZACIVSA-N 1 2 301.394 1.153 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)c2nc(CCCC)no2)nn1 ZINC000640938091 423287637 /nfs/dbraw/zinc/28/76/37/423287637.db2.gz ZDJOCVZYOJMDRZ-GFCCVEGCSA-N 1 2 302.382 1.726 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccn(C(CC)CC)n2)nn1 ZINC000641062711 423362416 /nfs/dbraw/zinc/36/24/16/423362416.db2.gz AWQGHGAFTQWEGV-UHFFFAOYSA-N 1 2 300.410 1.997 20 30 DDEDLO C=C[C@H](O)C(=O)NC[C@@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000646669329 423568914 /nfs/dbraw/zinc/56/89/14/423568914.db2.gz IQJRFJIQWKZOPE-HOTGVXAUSA-N 1 2 304.390 1.031 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H](c3nnc(N)o3)C2)nn1 ZINC000639818982 423755900 /nfs/dbraw/zinc/75/59/00/423755900.db2.gz DIKJHHGHZBHIED-LLVKDONJSA-N 1 2 303.370 1.199 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H](c3nnc(N)o3)C2)nn1 ZINC000639818982 423755902 /nfs/dbraw/zinc/75/59/02/423755902.db2.gz DIKJHHGHZBHIED-LLVKDONJSA-N 1 2 303.370 1.199 20 30 DDEDLO C=CC[N@H+](CCOc1ccccc1C#N)[C@@H]1CCS(=O)(=O)C1 ZINC000066559585 264246817 /nfs/dbraw/zinc/24/68/17/264246817.db2.gz VJUWETHMMYQLHN-OAHLLOKOSA-N 1 2 320.414 1.612 20 30 DDEDLO C=CC[N@@H+](CCOc1ccccc1C#N)[C@@H]1CCS(=O)(=O)C1 ZINC000066559585 264246818 /nfs/dbraw/zinc/24/68/18/264246818.db2.gz VJUWETHMMYQLHN-OAHLLOKOSA-N 1 2 320.414 1.612 20 30 DDEDLO C=CCCNC(=O)NC[C@@H](c1cccs1)[NH+]1CCN(C)CC1 ZINC000663449556 423838758 /nfs/dbraw/zinc/83/87/58/423838758.db2.gz DPDHQRRNRFLZCP-AWEZNQCLSA-N 1 2 322.478 1.912 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H](C)C(=O)N2CCCC[C@@H]2C)nn1 ZINC000657342652 424293247 /nfs/dbraw/zinc/29/32/47/424293247.db2.gz QABKFLYGOYYSPQ-KBPBESRZSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CC[N@@H+](C[C@H](OC)C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000660070382 424499167 /nfs/dbraw/zinc/49/91/67/424499167.db2.gz PUUZGYOGVJRYSZ-CABCVRRESA-N 1 2 301.452 1.867 20 30 DDEDLO C=CC[N@H+](C[C@H](OC)C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000660070382 424499170 /nfs/dbraw/zinc/49/91/70/424499170.db2.gz PUUZGYOGVJRYSZ-CABCVRRESA-N 1 2 301.452 1.867 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@H](C)O[C@@](C)(C(F)F)C1 ZINC000662364948 424590637 /nfs/dbraw/zinc/59/06/37/424590637.db2.gz SIXQJCVWYNXWRJ-SWLSCSKDSA-N 1 2 302.365 1.932 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@H](C)O[C@@](C)(C(F)F)C1 ZINC000662364948 424590641 /nfs/dbraw/zinc/59/06/41/424590641.db2.gz SIXQJCVWYNXWRJ-SWLSCSKDSA-N 1 2 302.365 1.932 20 30 DDEDLO C=C(CNC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1)c1ccccc1 ZINC000664898768 424715479 /nfs/dbraw/zinc/71/54/79/424715479.db2.gz FODOQHWFILQOGG-QGZVFWFLSA-N 1 2 315.417 1.816 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)N[C@@H](C)C[NH+]2CCN(C)CC2)C1 ZINC000660855753 424793371 /nfs/dbraw/zinc/79/33/71/424793371.db2.gz TYCAMSNAJWEHMY-JKSUJKDBSA-N 1 2 308.470 1.620 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)CCc2ccc(C#N)cc2)CCO1 ZINC000347573965 266379954 /nfs/dbraw/zinc/37/99/54/266379954.db2.gz BCNHAWPCBNCKHO-QGZVFWFLSA-N 1 2 315.417 1.718 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)CCc2ccc(C#N)cc2)CCO1 ZINC000347573965 266379955 /nfs/dbraw/zinc/37/99/55/266379955.db2.gz BCNHAWPCBNCKHO-QGZVFWFLSA-N 1 2 315.417 1.718 20 30 DDEDLO C[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@@H](C)S1(=O)=O ZINC000356516761 267056042 /nfs/dbraw/zinc/05/60/42/267056042.db2.gz BCNYZDJQQBSLCV-VXGBXAGGSA-N 1 2 318.402 1.213 20 30 DDEDLO C[C@H](CNC(=O)Cc1c(F)cccc1C#N)Cn1cc[nH+]c1 ZINC000353367892 267159664 /nfs/dbraw/zinc/15/96/64/267159664.db2.gz CGDHYCYNXACZRB-GFCCVEGCSA-N 1 2 300.337 1.889 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1CC[C@H]([NH+]2CCOCC2)[C@H]1C ZINC000355435483 267276632 /nfs/dbraw/zinc/27/66/32/267276632.db2.gz AKPRGMQOFJPTEL-PBHICJAKSA-N 1 2 313.401 1.802 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000338116297 267277342 /nfs/dbraw/zinc/27/73/42/267277342.db2.gz KJMPVOFVNGILDT-UONOGXRCSA-N 1 2 301.390 1.706 20 30 DDEDLO Cc1cc(N2CCC(NC(=O)c3c[nH]c(C#N)c3)CC2)nc[nH+]1 ZINC000365024270 267335558 /nfs/dbraw/zinc/33/55/58/267335558.db2.gz WTYYAAKVKDOGBY-UHFFFAOYSA-N 1 2 310.361 1.384 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC(F)(F)[C@@H](CO)C2)cc1 ZINC000361420105 268213239 /nfs/dbraw/zinc/21/32/39/268213239.db2.gz KUGTYFVGNRVUEW-CHWSQXEVSA-N 1 2 309.316 1.034 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC(F)(F)[C@@H](CO)C2)cc1 ZINC000361420105 268213242 /nfs/dbraw/zinc/21/32/42/268213242.db2.gz KUGTYFVGNRVUEW-CHWSQXEVSA-N 1 2 309.316 1.034 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCN3C(=O)CC[C@H]3C2)c([N+](=O)[O-])c1 ZINC000520234821 268221359 /nfs/dbraw/zinc/22/13/59/268221359.db2.gz FVAAWXBHVQFTME-ZDUSSCGKSA-N 1 2 300.318 1.273 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCN3C(=O)CC[C@H]3C2)c([N+](=O)[O-])c1 ZINC000520234821 268221360 /nfs/dbraw/zinc/22/13/60/268221360.db2.gz FVAAWXBHVQFTME-ZDUSSCGKSA-N 1 2 300.318 1.273 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H]2CCC[N@H+](C)[C@H]2C)cc1C#N ZINC000345292439 272210964 /nfs/dbraw/zinc/21/09/64/272210964.db2.gz NHYDNUCGEMPAFN-CABZTGNLSA-N 1 2 320.418 1.593 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H]2CCC[N@@H+](C)[C@H]2C)cc1C#N ZINC000345292439 272210966 /nfs/dbraw/zinc/21/09/66/272210966.db2.gz NHYDNUCGEMPAFN-CABZTGNLSA-N 1 2 320.418 1.593 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2cccc(C)c2[N+](=O)[O-])CC1 ZINC000338640287 275746377 /nfs/dbraw/zinc/74/63/77/275746377.db2.gz JOSAQQMTJWMNGX-UHFFFAOYSA-N 1 2 318.377 1.327 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)C3(CCC3)[C@H]2c2ccccc2)CC1 ZINC000491767488 277688229 /nfs/dbraw/zinc/68/82/29/277688229.db2.gz HFGCYYJZLSDSDE-GOSISDBHSA-N 1 2 323.440 1.949 20 30 DDEDLO CCN1CCN(C(=O)Nc2nc(C)c(C)o2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328636821 279351093 /nfs/dbraw/zinc/35/10/93/279351093.db2.gz VQXHHKRNBCLOQB-GFCCVEGCSA-N 1 2 318.381 1.939 20 30 DDEDLO CC(C)[C@H](C(=O)N[C@H]1CCc2[nH+]c[nH]c2C1)N1CCCC1=O ZINC000329725126 280133819 /nfs/dbraw/zinc/13/38/19/280133819.db2.gz OCJAYKZYRMUIOU-XHDPSFHLSA-N 1 2 304.394 1.871 20 30 DDEDLO CC(C)[C@H](C(=O)N[C@H]1CCc2[nH]c[nH+]c2C1)N1CCCC1=O ZINC000329725126 280133821 /nfs/dbraw/zinc/13/38/21/280133821.db2.gz OCJAYKZYRMUIOU-XHDPSFHLSA-N 1 2 304.394 1.871 20 30 DDEDLO Cc1cccc(NC(=O)NCC(=O)N2CCn3cc[nH+]c3C2)c1 ZINC000329713128 281223552 /nfs/dbraw/zinc/22/35/52/281223552.db2.gz RCMISEIHFUODDG-UHFFFAOYSA-N 1 2 313.361 1.560 20 30 DDEDLO Cc1nc(C(=O)N(C)C[C@@H]2CCn3cc[nH+]c3C2)ccc1C#N ZINC000364676649 300428753 /nfs/dbraw/zinc/42/87/53/300428753.db2.gz WFWQINAHXHGIDW-CYBMUJFWSA-N 1 2 309.373 1.793 20 30 DDEDLO N#Cc1cccnc1NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000372008594 301518582 /nfs/dbraw/zinc/51/85/82/301518582.db2.gz DBNLJLLRROEFNP-INIZCTEOSA-N 1 2 302.378 1.247 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@H](C(C)C)[NH+]2C[C@@H](C)O[C@H](C)C2)c1C#N ZINC000278768502 302531172 /nfs/dbraw/zinc/53/11/72/302531172.db2.gz XZBMTISMRUEEOC-GYSYKLTISA-N 1 2 319.409 1.662 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCc3cc[nH]c(=O)c3C2)cc1 ZINC000494127541 303200053 /nfs/dbraw/zinc/20/00/53/303200053.db2.gz RDXWOGGVXUJEQB-HNNXBMFYSA-N 1 2 308.341 1.244 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCc3cc[nH]c(=O)c3C2)cc1 ZINC000494127541 303200055 /nfs/dbraw/zinc/20/00/55/303200055.db2.gz RDXWOGGVXUJEQB-HNNXBMFYSA-N 1 2 308.341 1.244 20 30 DDEDLO N#Cc1cc(N(CC[NH+]2CCOCC2)Cc2ccco2)ncn1 ZINC000560249547 303802312 /nfs/dbraw/zinc/80/23/12/303802312.db2.gz HXLFCLJLDCKWAW-UHFFFAOYSA-N 1 2 313.361 1.280 20 30 DDEDLO C[C@@H]1CN(c2ncc3c(c2C#N)CCC3)C[C@H]1[NH+]1CCOCC1 ZINC000563018907 303911819 /nfs/dbraw/zinc/91/18/19/303911819.db2.gz ZDWXYRSILGAVGE-CXAGYDPISA-N 1 2 312.417 1.599 20 30 DDEDLO CCc1nn(CC[NH+]2C[C@H](C)O[C@@H](C)C2)c(=O)c(C#N)c1CC ZINC000569867318 308152136 /nfs/dbraw/zinc/15/21/36/308152136.db2.gz FUNYACNWPYDVCV-STQMWFEESA-N 1 2 318.421 1.349 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](CCOCC(F)(F)F)CC1 ZINC000576806665 308340662 /nfs/dbraw/zinc/34/06/62/308340662.db2.gz BKFXITPYLCHXJR-UHFFFAOYSA-N 1 2 314.311 1.654 20 30 DDEDLO CCc1nc(CO[NH+]=C(N)c2ccc(OC)c(OC)c2)no1 ZINC000067098130 331893616 /nfs/dbraw/zinc/89/36/16/331893616.db2.gz ADFYBSJXEXVXGU-UHFFFAOYSA-N 1 2 306.322 1.486 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1CC12CCCC2 ZINC000531116484 332418586 /nfs/dbraw/zinc/41/85/86/332418586.db2.gz MIDOPLJUXHBTDU-HNNXBMFYSA-N 1 2 305.422 1.641 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(Cl)c(C#N)c2)CC1 ZINC000154722846 332438202 /nfs/dbraw/zinc/43/82/02/332438202.db2.gz FEXYIZMOOHOCJC-UHFFFAOYSA-N 1 2 316.792 1.401 20 30 DDEDLO Cn1cc[nH+]c1[C@H](CC(=O)N[C@H](C#N)C1CC1)C(F)(F)F ZINC000575428337 332670303 /nfs/dbraw/zinc/67/03/03/332670303.db2.gz RDQROHWTKKNMMH-VHSXEESVSA-N 1 2 300.284 1.874 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H](C(N)=O)c2ccc(Cl)cc2)CC1 ZINC000159626753 332680055 /nfs/dbraw/zinc/68/00/55/332680055.db2.gz AQHCAFJVBZQZQD-OAHLLOKOSA-N 1 2 305.809 1.507 20 30 DDEDLO CN(CCC[NH+]1CCN(C(=O)CC#N)CC1)C(=O)OC(C)(C)C ZINC000497230192 333225515 /nfs/dbraw/zinc/22/55/15/333225515.db2.gz RLVPBMQUPHFFHM-UHFFFAOYSA-N 1 2 324.425 1.301 20 30 DDEDLO C=CCNC(=O)CNC(=O)[C@@H](c1ccc(Cl)cc1)[NH+](C)C ZINC000563390796 333598873 /nfs/dbraw/zinc/59/88/73/333598873.db2.gz WRIZICKEEXDYJW-CQSZACIVSA-N 1 2 309.797 1.361 20 30 DDEDLO CC(C)c1ncc(C[N@H+](C)[C@H](C)C(=O)NC2(C#N)CCC2)cn1 ZINC000571653240 334068143 /nfs/dbraw/zinc/06/81/43/334068143.db2.gz KWJZFNDGMDYKCB-CYBMUJFWSA-N 1 2 315.421 1.983 20 30 DDEDLO CC(C)c1ncc(C[N@@H+](C)[C@H](C)C(=O)NC2(C#N)CCC2)cn1 ZINC000571653240 334068145 /nfs/dbraw/zinc/06/81/45/334068145.db2.gz KWJZFNDGMDYKCB-CYBMUJFWSA-N 1 2 315.421 1.983 20 30 DDEDLO C[N@H+](CC#Cc1ccc(OC(F)(F)F)cc1)[C@H]1CCNC1=O ZINC000340221306 334151458 /nfs/dbraw/zinc/15/14/58/334151458.db2.gz IRHLQNWGAZYMNV-ZDUSSCGKSA-N 1 2 312.291 1.757 20 30 DDEDLO C[N@@H+](CC#Cc1ccc(OC(F)(F)F)cc1)[C@H]1CCNC1=O ZINC000340221306 334151460 /nfs/dbraw/zinc/15/14/60/334151460.db2.gz IRHLQNWGAZYMNV-ZDUSSCGKSA-N 1 2 312.291 1.757 20 30 DDEDLO N#C[C@@H]1C[N@H+]([C@@H]2CCCCNC2=O)C[C@@]12C(=O)Nc1ccccc12 ZINC000340724776 334383390 /nfs/dbraw/zinc/38/33/90/334383390.db2.gz BOJFUVLWPLTNKU-CDHAZOANSA-N 1 2 324.384 1.001 20 30 DDEDLO N#C[C@@H]1C[N@@H+]([C@@H]2CCCCNC2=O)C[C@@]12C(=O)Nc1ccccc12 ZINC000340724776 334383391 /nfs/dbraw/zinc/38/33/91/334383391.db2.gz BOJFUVLWPLTNKU-CDHAZOANSA-N 1 2 324.384 1.001 20 30 DDEDLO C#CC[N@@H+](CCc1ccc(OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000104093179 336245345 /nfs/dbraw/zinc/24/53/45/336245345.db2.gz FNONZJKVDSJXRY-OAHLLOKOSA-N 1 2 307.415 1.360 20 30 DDEDLO C#CC[N@H+](CCc1ccc(OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000104093179 336245346 /nfs/dbraw/zinc/24/53/46/336245346.db2.gz FNONZJKVDSJXRY-OAHLLOKOSA-N 1 2 307.415 1.360 20 30 DDEDLO N#Cc1nccc(NC[C@H](c2cccs2)[NH+]2CCOCC2)n1 ZINC000583842071 337340809 /nfs/dbraw/zinc/34/08/09/337340809.db2.gz AUOPMSZTAUTQSH-GFCCVEGCSA-N 1 2 315.402 1.317 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccccc2COC)CC1 ZINC000085272514 338007950 /nfs/dbraw/zinc/00/79/50/338007950.db2.gz GPCHRTBVOZABJN-UHFFFAOYSA-N 1 2 301.390 1.022 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NCc1ccc(NC(=O)OC)cc1 ZINC000496136979 339999044 /nfs/dbraw/zinc/99/90/44/339999044.db2.gz KWRMVTOYKLXQPR-CYBMUJFWSA-N 1 2 318.377 1.763 20 30 DDEDLO CCOC(=O)Nc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000496700525 340010881 /nfs/dbraw/zinc/01/08/81/340010881.db2.gz RNFZDNRRBIOORQ-MRXNPFEDSA-N 1 2 318.377 1.829 20 30 DDEDLO C=CCN(C(=O)[C@@H]1CCCc2[nH+]c[nH]c21)[C@H]1CCS(=O)(=O)C1 ZINC000547755692 341230556 /nfs/dbraw/zinc/23/05/56/341230556.db2.gz LPZJUZQBRKQIHG-NWDGAFQWSA-N 1 2 323.418 1.031 20 30 DDEDLO C=CCn1c(CC)nnc1N1CC[NH+](Cc2cc(C)no2)CC1 ZINC000554627373 341459987 /nfs/dbraw/zinc/45/99/87/341459987.db2.gz NLTZSDBLNPZUDI-UHFFFAOYSA-N 1 2 316.409 1.645 20 30 DDEDLO CCCNC(=O)c1cccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000577126875 341888590 /nfs/dbraw/zinc/88/85/90/341888590.db2.gz LBVNDHCGJLBPNB-KRWDZBQOSA-N 1 2 316.405 1.400 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@@]23CCOC3)c(C#N)c1C ZINC000367459799 484539252 /nfs/dbraw/zinc/53/92/52/484539252.db2.gz WHSIUACCRNLTLW-INIZCTEOSA-N 1 2 303.362 1.962 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@@]23CCOC3)c(C#N)c1C ZINC000367459799 484539259 /nfs/dbraw/zinc/53/92/59/484539259.db2.gz WHSIUACCRNLTLW-INIZCTEOSA-N 1 2 303.362 1.962 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC000673283944 485403952 /nfs/dbraw/zinc/40/39/52/485403952.db2.gz JRMIUNAPYCECAU-INIZCTEOSA-N 1 2 315.417 1.714 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC000673283944 485403954 /nfs/dbraw/zinc/40/39/54/485403954.db2.gz JRMIUNAPYCECAU-INIZCTEOSA-N 1 2 315.417 1.714 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000684782717 486429194 /nfs/dbraw/zinc/42/91/94/486429194.db2.gz VIWSZEPWLVKBOV-SWLSCSKDSA-N 1 2 313.361 1.373 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000684782702 486429427 /nfs/dbraw/zinc/42/94/27/486429427.db2.gz VIWSZEPWLVKBOV-DOMZBBRYSA-N 1 2 313.361 1.373 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@H](C)c2cnc(C)nc2C)CC1 ZINC000681649478 486481875 /nfs/dbraw/zinc/48/18/75/486481875.db2.gz SJKHSMNKQKWSSK-CQSZACIVSA-N 1 2 317.437 1.074 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@H](C)c2cnc(C)nc2C)CC1 ZINC000681649478 486481879 /nfs/dbraw/zinc/48/18/79/486481879.db2.gz SJKHSMNKQKWSSK-CQSZACIVSA-N 1 2 317.437 1.074 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(C(=O)C(CC)CC)CC2)C1=O ZINC000684999585 486484616 /nfs/dbraw/zinc/48/46/16/486484616.db2.gz KZYFSCRLYCDRSQ-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO COCC#CC[N@H+](CCO)Cc1cnn(Cc2ccccc2)c1 ZINC000677819897 486548536 /nfs/dbraw/zinc/54/85/36/486548536.db2.gz CTTMFLVUCPQBNF-UHFFFAOYSA-N 1 2 313.401 1.376 20 30 DDEDLO COCC#CC[N@@H+](CCO)Cc1cnn(Cc2ccccc2)c1 ZINC000677819897 486548540 /nfs/dbraw/zinc/54/85/40/486548540.db2.gz CTTMFLVUCPQBNF-UHFFFAOYSA-N 1 2 313.401 1.376 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC[C@@H]2CCCO2)C[C@@]2(CCCOC2)O1 ZINC000330130439 534449000 /nfs/dbraw/zinc/44/90/00/534449000.db2.gz PBAYUMWLBXHKNB-IJEWVQPXSA-N 1 2 312.410 1.392 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC[C@@H]2CCCO2)C[C@@]2(CCCOC2)O1 ZINC000330130439 534449007 /nfs/dbraw/zinc/44/90/07/534449007.db2.gz PBAYUMWLBXHKNB-IJEWVQPXSA-N 1 2 312.410 1.392 20 30 DDEDLO CC#CCNC(=O)N[C@H](C[NH+]1CCOCC1)c1ccc(C)cc1 ZINC000342806341 526376877 /nfs/dbraw/zinc/37/68/77/526376877.db2.gz PSQCCQTYSDRJHT-QGZVFWFLSA-N 1 2 315.417 1.691 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2cccc(C)c2C)C1 ZINC000330941174 526402204 /nfs/dbraw/zinc/40/22/04/526402204.db2.gz FIGGJOPXMODLDU-ZDUSSCGKSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2cccc(C)c2C)C1 ZINC000330941174 526402210 /nfs/dbraw/zinc/40/22/10/526402210.db2.gz FIGGJOPXMODLDU-ZDUSSCGKSA-N 1 2 304.394 1.450 20 30 DDEDLO CC(=O)N(C)C1CCN(C([O-])=[NH+]CCCn2cc[nH+]c2C)CC1 ZINC000330424033 526458524 /nfs/dbraw/zinc/45/85/24/526458524.db2.gz CLJBHWDVMQWZNO-UHFFFAOYSA-N 1 2 321.425 1.438 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3ncc(CC)s3)CC2)C1=O ZINC000337168666 526509767 /nfs/dbraw/zinc/50/97/67/526509767.db2.gz DECFSWTZYAKVJW-AWEZNQCLSA-N 1 2 320.462 1.614 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1[C@@H]1CCCC[C@@H]1O ZINC000330545231 526523264 /nfs/dbraw/zinc/52/32/64/526523264.db2.gz BRQXDEPCSSPYGF-BBWFWOEESA-N 1 2 324.465 1.663 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1[C@@H]1CCCC[C@@H]1O ZINC000330545231 526523269 /nfs/dbraw/zinc/52/32/69/526523269.db2.gz BRQXDEPCSSPYGF-BBWFWOEESA-N 1 2 324.465 1.663 20 30 DDEDLO C=CCNC(=O)C[N@H+](Cc1cccs1)[C@@H]1C=C[C@@H](CO)C1 ZINC000347336065 526528652 /nfs/dbraw/zinc/52/86/52/526528652.db2.gz KGOYEFVVGDUKAI-ZIAGYGMSSA-N 1 2 306.431 1.789 20 30 DDEDLO C=CCNC(=O)C[N@@H+](Cc1cccs1)[C@@H]1C=C[C@@H](CO)C1 ZINC000347336065 526528656 /nfs/dbraw/zinc/52/86/56/526528656.db2.gz KGOYEFVVGDUKAI-ZIAGYGMSSA-N 1 2 306.431 1.789 20 30 DDEDLO C#CCN(C(=O)Nc1ccc(C)[nH+]c1C)[C@@H]1CCS(=O)(=O)C1 ZINC000490914260 526775450 /nfs/dbraw/zinc/77/54/50/526775450.db2.gz GVXBNCHHIIGLMA-CYBMUJFWSA-N 1 2 321.402 1.353 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000329896343 526838699 /nfs/dbraw/zinc/83/86/99/526838699.db2.gz KVPHXVVTAWENBH-UKRRQHHQSA-N 1 2 304.394 1.035 20 30 DDEDLO C#CCN(Cc1c[nH+]c2cccc(C)n12)[C@H]1CCS(=O)(=O)C1 ZINC000490901099 526911934 /nfs/dbraw/zinc/91/19/34/526911934.db2.gz ZGJIYHPZGPMWRF-AWEZNQCLSA-N 1 2 317.414 1.265 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(SC)cc2)CC1 ZINC000490761201 526951821 /nfs/dbraw/zinc/95/18/21/526951821.db2.gz SCVHEJZNVZCVML-UHFFFAOYSA-N 1 2 304.415 1.565 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000330654344 526957913 /nfs/dbraw/zinc/95/79/13/526957913.db2.gz SKJIUPMXZOTPAA-CQSZACIVSA-N 1 2 317.389 1.193 20 30 DDEDLO C#CCNC(=O)C1CCN(C(=O)Nc2cc(C)[nH+]cc2C)CC1 ZINC000491811891 526960745 /nfs/dbraw/zinc/96/07/45/526960745.db2.gz DDWYLYXWJLHYGF-UHFFFAOYSA-N 1 2 314.389 1.114 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nc(CCC(C)C)no2)CC1 ZINC000491066483 526972158 /nfs/dbraw/zinc/97/21/58/526972158.db2.gz CNMLCRMHOUQCJE-UHFFFAOYSA-N 1 2 318.421 1.620 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2cnc3ccccc3c2)CC1 ZINC000491106739 527002986 /nfs/dbraw/zinc/00/29/86/527002986.db2.gz ZDRVADDZNOGBDJ-UHFFFAOYSA-N 1 2 322.412 1.102 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+]2CCOCC2(C)C)CCOCC1 ZINC000424641326 527052932 /nfs/dbraw/zinc/05/29/32/527052932.db2.gz JCNDPDZYXATSTI-UHFFFAOYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+]2CCOCC2(C)C)CCOCC1 ZINC000424641326 527052934 /nfs/dbraw/zinc/05/29/34/527052934.db2.gz JCNDPDZYXATSTI-UHFFFAOYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000342268090 527141295 /nfs/dbraw/zinc/14/12/95/527141295.db2.gz NQHIZPMJXKUMCQ-CQSZACIVSA-N 1 2 318.421 1.658 20 30 DDEDLO CC(=O)[C@H](Cc1ccccc1)NC(=O)C[NH2+][C@H]1CCC[C@H]1C#N ZINC000459478150 527148016 /nfs/dbraw/zinc/14/80/16/527148016.db2.gz ZGJOHJFKMNIGOT-ULQDDVLXSA-N 1 2 313.401 1.585 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)NC(=O)Nc1ccccc1 ZINC000491710320 527309852 /nfs/dbraw/zinc/30/98/52/527309852.db2.gz YAHVKNBHOWISBI-CQSZACIVSA-N 1 2 301.346 1.059 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)NC(=O)Nc1ccccc1 ZINC000491710320 527309853 /nfs/dbraw/zinc/30/98/53/527309853.db2.gz YAHVKNBHOWISBI-CQSZACIVSA-N 1 2 301.346 1.059 20 30 DDEDLO C#C[C@H](NC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1)C(C)C ZINC000490961787 527387200 /nfs/dbraw/zinc/38/72/00/527387200.db2.gz JTUYSBKPXZFULD-UONOGXRCSA-N 1 2 303.410 1.456 20 30 DDEDLO CC1(C)C[S@](=O)CC[N@@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000331356266 527771695 /nfs/dbraw/zinc/77/16/95/527771695.db2.gz RSHBZIYBLZPALG-JOCHJYFZSA-N 1 2 319.430 1.730 20 30 DDEDLO CC1(C)C[S@](=O)CC[N@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000331356266 527771701 /nfs/dbraw/zinc/77/17/01/527771701.db2.gz RSHBZIYBLZPALG-JOCHJYFZSA-N 1 2 319.430 1.730 20 30 DDEDLO CC1(C)C[S@](=O)CC[N@@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000330976585 527771713 /nfs/dbraw/zinc/77/17/13/527771713.db2.gz CYQGHKQNBCYYMU-JLCFBVMHSA-N 1 2 322.430 1.141 20 30 DDEDLO CC1(C)C[S@](=O)CC[N@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000330976585 527771718 /nfs/dbraw/zinc/77/17/18/527771718.db2.gz CYQGHKQNBCYYMU-JLCFBVMHSA-N 1 2 322.430 1.141 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)NCCCc1[nH+]cccc1C ZINC000451808871 528386246 /nfs/dbraw/zinc/38/62/46/528386246.db2.gz LCFWFKQMAVEXHB-UHFFFAOYSA-N 1 2 310.423 1.393 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)NC1(C#N)CCC1)C1CCCC1 ZINC000347064576 528792048 /nfs/dbraw/zinc/79/20/48/528792048.db2.gz ZDTMQHUCVLXJIM-UHFFFAOYSA-N 1 2 307.394 1.357 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)NC1(C#N)CCC1)C1CCCC1 ZINC000347064576 528792051 /nfs/dbraw/zinc/79/20/51/528792051.db2.gz ZDTMQHUCVLXJIM-UHFFFAOYSA-N 1 2 307.394 1.357 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)CC(C)C ZINC000343324787 528792504 /nfs/dbraw/zinc/79/25/04/528792504.db2.gz XQXPMAYDZIYCRW-MRXNPFEDSA-N 1 2 309.410 1.316 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)CC(C)C ZINC000343324787 528792511 /nfs/dbraw/zinc/79/25/11/528792511.db2.gz XQXPMAYDZIYCRW-MRXNPFEDSA-N 1 2 309.410 1.316 20 30 DDEDLO CC1(C)CN(c2snc(Cl)c2C#N)CC[N@@H+]1CCO ZINC000302573142 529129766 /nfs/dbraw/zinc/12/97/66/529129766.db2.gz ZOZXJBSAZNPDAD-UHFFFAOYSA-N 1 2 300.815 1.561 20 30 DDEDLO CC1(C)CN(c2snc(Cl)c2C#N)CC[N@H+]1CCO ZINC000302573142 529129767 /nfs/dbraw/zinc/12/97/67/529129767.db2.gz ZOZXJBSAZNPDAD-UHFFFAOYSA-N 1 2 300.815 1.561 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)N1CCC(F)(C#N)CC1 ZINC000345017250 529131398 /nfs/dbraw/zinc/13/13/98/529131398.db2.gz JTSYXGFTVIDCJW-UHFFFAOYSA-N 1 2 312.389 1.134 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)N1CCC(F)(C#N)CC1 ZINC000345017250 529131400 /nfs/dbraw/zinc/13/14/00/529131400.db2.gz JTSYXGFTVIDCJW-UHFFFAOYSA-N 1 2 312.389 1.134 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)C[C@H](C)O1 ZINC000330702623 529285831 /nfs/dbraw/zinc/28/58/31/529285831.db2.gz OZWXMCUOTNTUMC-BBRMVZONSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)C[C@H](C)O1 ZINC000330702623 529285833 /nfs/dbraw/zinc/28/58/33/529285833.db2.gz OZWXMCUOTNTUMC-BBRMVZONSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H](CC#N)NC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000343856656 529299896 /nfs/dbraw/zinc/29/98/96/529299896.db2.gz STNFTLCZNULPAQ-CQSZACIVSA-N 1 2 302.378 1.336 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(Cl)c[nH]3)C2)C1 ZINC000972255784 695192412 /nfs/dbraw/zinc/19/24/12/695192412.db2.gz ASHSMLHTAYQXHP-HNNXBMFYSA-N 1 2 307.781 1.218 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(Cl)c[nH]3)C2)C1 ZINC000972255784 695192413 /nfs/dbraw/zinc/19/24/13/695192413.db2.gz ASHSMLHTAYQXHP-HNNXBMFYSA-N 1 2 307.781 1.218 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cncc(F)c3)C2)C1 ZINC000972333439 695219617 /nfs/dbraw/zinc/21/96/17/695219617.db2.gz QMDHHNORFKXKEQ-QGZVFWFLSA-N 1 2 317.364 1.161 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cncc(F)c3)C2)C1 ZINC000972333439 695219618 /nfs/dbraw/zinc/21/96/18/695219618.db2.gz QMDHHNORFKXKEQ-QGZVFWFLSA-N 1 2 317.364 1.161 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)c(C)o3)C2)C1 ZINC000972347497 695223325 /nfs/dbraw/zinc/22/33/25/695223325.db2.gz VDMJFNICWCQEHA-SFHVURJKSA-N 1 2 316.401 1.837 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)c(C)o3)C2)C1 ZINC000972347497 695223327 /nfs/dbraw/zinc/22/33/27/695223327.db2.gz VDMJFNICWCQEHA-SFHVURJKSA-N 1 2 316.401 1.837 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(OC)cs3)C2)C1 ZINC000972493345 695264694 /nfs/dbraw/zinc/26/46/94/695264694.db2.gz XLVUZGWRYZAYJD-INIZCTEOSA-N 1 2 322.430 1.860 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(OC)cs3)C2)C1 ZINC000972493345 695264697 /nfs/dbraw/zinc/26/46/97/695264697.db2.gz XLVUZGWRYZAYJD-INIZCTEOSA-N 1 2 322.430 1.860 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3cncnc3)C2)C1 ZINC000972519851 695271590 /nfs/dbraw/zinc/27/15/90/695271590.db2.gz KYOSOPKCACDQFF-WMLDXEAASA-N 1 2 316.405 1.069 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3cncnc3)C2)C1 ZINC000972519851 695271591 /nfs/dbraw/zinc/27/15/91/695271591.db2.gz KYOSOPKCACDQFF-WMLDXEAASA-N 1 2 316.405 1.069 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C(C)C)n[nH]3)C2)C1 ZINC000972563534 695284303 /nfs/dbraw/zinc/28/43/03/695284303.db2.gz XTNIKSNISJGDPN-KRWDZBQOSA-N 1 2 316.405 1.083 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C(C)C)n[nH]3)C2)C1 ZINC000972563534 695284304 /nfs/dbraw/zinc/28/43/04/695284304.db2.gz XTNIKSNISJGDPN-KRWDZBQOSA-N 1 2 316.405 1.083 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3cccnc3)C2)C1 ZINC000972639580 695306348 /nfs/dbraw/zinc/30/63/48/695306348.db2.gz UVXWIQIARLIDCM-CRAIPNDOSA-N 1 2 313.401 1.122 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3cccnc3)C2)C1 ZINC000972639580 695306350 /nfs/dbraw/zinc/30/63/50/695306350.db2.gz UVXWIQIARLIDCM-CRAIPNDOSA-N 1 2 313.401 1.122 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(C)c3C)C2)C1 ZINC000972661812 695312591 /nfs/dbraw/zinc/31/25/91/695312591.db2.gz KPSXSOPKOZQYNB-IBGZPJMESA-N 1 2 312.413 1.854 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(C)c3C)C2)C1 ZINC000972661812 695312594 /nfs/dbraw/zinc/31/25/94/695312594.db2.gz KPSXSOPKOZQYNB-IBGZPJMESA-N 1 2 312.413 1.854 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3c(C)n[nH]c3C)C2)C1 ZINC000972668861 695313936 /nfs/dbraw/zinc/31/39/36/695313936.db2.gz DTVFFFRLVPZRIK-KRWDZBQOSA-N 1 2 318.421 1.058 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3c(C)n[nH]c3C)C2)C1 ZINC000972668861 695313938 /nfs/dbraw/zinc/31/39/38/695313938.db2.gz DTVFFFRLVPZRIK-KRWDZBQOSA-N 1 2 318.421 1.058 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1ccn[nH]1 ZINC000972826290 695340486 /nfs/dbraw/zinc/34/04/86/695340486.db2.gz XVYKKTRWXMZUBX-INIZCTEOSA-N 1 2 323.400 1.922 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1ccn[nH]1 ZINC000972826290 695340488 /nfs/dbraw/zinc/34/04/88/695340488.db2.gz XVYKKTRWXMZUBX-INIZCTEOSA-N 1 2 323.400 1.922 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CN(C(=O)Cn3cc[nH+]c3)[C@@H]2C1 ZINC000972994185 695390532 /nfs/dbraw/zinc/39/05/32/695390532.db2.gz ICPXCEBWOXJTLV-ZIAGYGMSSA-N 1 2 316.405 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C[C@@H]2COC(=O)C2)C(C)(C)C1 ZINC000973037852 695402006 /nfs/dbraw/zinc/40/20/06/695402006.db2.gz JLNULKHJNDKFCT-RYUDHWBXSA-N 1 2 314.813 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C[C@@H]2COC(=O)C2)C(C)(C)C1 ZINC000973037852 695402008 /nfs/dbraw/zinc/40/20/08/695402008.db2.gz JLNULKHJNDKFCT-RYUDHWBXSA-N 1 2 314.813 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C(C)(C)C1 ZINC000974688507 695712103 /nfs/dbraw/zinc/71/21/03/695712103.db2.gz ACYNKHYXVADCQT-XQQFMLRXSA-N 1 2 316.829 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C(C)(C)C1 ZINC000974688507 695712104 /nfs/dbraw/zinc/71/21/04/695712104.db2.gz ACYNKHYXVADCQT-XQQFMLRXSA-N 1 2 316.829 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cn[nH]c(=O)c2)C(C)(C)C1 ZINC000974688867 695712193 /nfs/dbraw/zinc/71/21/93/695712193.db2.gz WFFGCRBQJSIWGO-NSHDSACASA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cn[nH]c(=O)c2)C(C)(C)C1 ZINC000974688867 695712196 /nfs/dbraw/zinc/71/21/96/695712196.db2.gz WFFGCRBQJSIWGO-NSHDSACASA-N 1 2 310.785 1.375 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cc[nH+]c1 ZINC000976765114 696002090 /nfs/dbraw/zinc/00/20/90/696002090.db2.gz MSMLGELMWUTFOV-SHFYGJNESA-N 1 2 316.405 1.058 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977316421 696113276 /nfs/dbraw/zinc/11/32/76/696113276.db2.gz MPPJOKVUIQJFJX-NEPJUHHUSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977316421 696113278 /nfs/dbraw/zinc/11/32/78/696113278.db2.gz MPPJOKVUIQJFJX-NEPJUHHUSA-N 1 2 313.829 1.234 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)Nc2cc(C)on2)cc1 ZINC000047538552 696199455 /nfs/dbraw/zinc/19/94/55/696199455.db2.gz PPQOELPDRDDARP-UHFFFAOYSA-N 1 2 304.306 1.267 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCC(=O)N[C@@H](C)C(C)C)cc1 ZINC000060455309 696310853 /nfs/dbraw/zinc/31/08/53/696310853.db2.gz WIGHHEXVOALYKS-LBPRGKRZSA-N 1 2 307.394 1.687 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCC(=O)N(C)CC2CC2)cc1 ZINC000112969130 696654007 /nfs/dbraw/zinc/65/40/07/696654007.db2.gz DYHDLXBBSIRHFP-UHFFFAOYSA-N 1 2 305.378 1.395 20 30 DDEDLO COCC(=O)N1CC[NH+](Cc2ccc(O[C@H](C)C#N)cc2)CC1 ZINC000128682814 696781110 /nfs/dbraw/zinc/78/11/10/696781110.db2.gz YUFGILHXGVSYCU-CQSZACIVSA-N 1 2 317.389 1.268 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2ncsc2C(F)(F)F)CC1 ZINC000981612161 696841991 /nfs/dbraw/zinc/84/19/91/696841991.db2.gz WJBPYBUMYLNNQC-UHFFFAOYSA-N 1 2 317.336 1.943 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2ncsc2C(F)(F)F)CC1 ZINC000981612161 696841995 /nfs/dbraw/zinc/84/19/95/696841995.db2.gz WJBPYBUMYLNNQC-UHFFFAOYSA-N 1 2 317.336 1.943 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CCC[N@@H+]([C@H](C)c1ncccn1)C2 ZINC000981669720 696867167 /nfs/dbraw/zinc/86/71/67/696867167.db2.gz VKZVOIUXXMGUOO-ZIAGYGMSSA-N 1 2 313.405 1.622 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CCC[N@H+]([C@H](C)c1ncccn1)C2 ZINC000981669720 696867169 /nfs/dbraw/zinc/86/71/69/696867169.db2.gz VKZVOIUXXMGUOO-ZIAGYGMSSA-N 1 2 313.405 1.622 20 30 DDEDLO O=C(c1cn[nH]c1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980757605 696878001 /nfs/dbraw/zinc/87/80/01/696878001.db2.gz XSBSMHZTQNLKFZ-UHFFFAOYSA-N 1 2 308.385 1.609 20 30 DDEDLO O=C(c1cn[nH]c1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980757605 696878004 /nfs/dbraw/zinc/87/80/04/696878004.db2.gz XSBSMHZTQNLKFZ-UHFFFAOYSA-N 1 2 308.385 1.609 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@H+](CC#Cc2ccc(F)cc2)CC1 ZINC000980786262 696888590 /nfs/dbraw/zinc/88/85/90/696888590.db2.gz OKUJRCCSDSEVCX-HNNXBMFYSA-N 1 2 318.392 1.746 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@@H+](CC#Cc2ccc(F)cc2)CC1 ZINC000980786262 696888594 /nfs/dbraw/zinc/88/85/94/696888594.db2.gz OKUJRCCSDSEVCX-HNNXBMFYSA-N 1 2 318.392 1.746 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCCOc2ccc(C#N)cc2)C1 ZINC000748459713 700119377 /nfs/dbraw/zinc/11/93/77/700119377.db2.gz RKQVJUPTBUADRD-OAHLLOKOSA-N 1 2 304.346 1.201 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCCOc2ccc(C#N)cc2)C1 ZINC000748459713 700119378 /nfs/dbraw/zinc/11/93/78/700119378.db2.gz RKQVJUPTBUADRD-OAHLLOKOSA-N 1 2 304.346 1.201 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](Cc2ccc(OC)nn2)CC1 ZINC000981751567 696902796 /nfs/dbraw/zinc/90/27/96/696902796.db2.gz UQUVTROJTGMJKK-UHFFFAOYSA-N 1 2 318.421 1.732 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](Cc2ccc(OC)nn2)CC1 ZINC000981751567 696902797 /nfs/dbraw/zinc/90/27/97/696902797.db2.gz UQUVTROJTGMJKK-UHFFFAOYSA-N 1 2 318.421 1.732 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCC3(CN(C(=O)C#CC4CC4)C3)C2)co1 ZINC000981758108 696904504 /nfs/dbraw/zinc/90/45/04/696904504.db2.gz GEBBMIROSOPUGB-UHFFFAOYSA-N 1 2 313.401 1.821 20 30 DDEDLO Cc1nc(C[N@H+]2CCCC3(CN(C(=O)C#CC4CC4)C3)C2)co1 ZINC000981758108 696904506 /nfs/dbraw/zinc/90/45/06/696904506.db2.gz GEBBMIROSOPUGB-UHFFFAOYSA-N 1 2 313.401 1.821 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCCCCS(C)(=O)=O)ccc1F ZINC000153363599 696914253 /nfs/dbraw/zinc/91/42/53/696914253.db2.gz UPILYXONGQSYDD-UHFFFAOYSA-N 1 2 302.371 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCc3nn[nH]c32)CC1 ZINC000981838672 696938781 /nfs/dbraw/zinc/93/87/81/696938781.db2.gz ZDINNTZJNXBXMD-GFCCVEGCSA-N 1 2 323.828 1.511 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2CCCc3nn[nH]c32)CC1 ZINC000981838672 696938783 /nfs/dbraw/zinc/93/87/83/696938783.db2.gz ZDINNTZJNXBXMD-GFCCVEGCSA-N 1 2 323.828 1.511 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CCCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000981002115 696976674 /nfs/dbraw/zinc/97/66/74/696976674.db2.gz XZGBCEREMFOMHB-ZDUSSCGKSA-N 1 2 324.388 1.585 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CCCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000981002115 696976675 /nfs/dbraw/zinc/97/66/75/696976675.db2.gz XZGBCEREMFOMHB-ZDUSSCGKSA-N 1 2 324.388 1.585 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)C[C@H]2CCC(=O)NC2)CC1 ZINC000981314716 697063887 /nfs/dbraw/zinc/06/38/87/697063887.db2.gz CEPHHXFQWZWRGM-CYBMUJFWSA-N 1 2 313.829 1.190 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)C[C@H]2CCC(=O)NC2)CC1 ZINC000981314716 697063889 /nfs/dbraw/zinc/06/38/89/697063889.db2.gz CEPHHXFQWZWRGM-CYBMUJFWSA-N 1 2 313.829 1.190 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC000981517735 697116844 /nfs/dbraw/zinc/11/68/44/697116844.db2.gz NVGRRZCVLXAVSQ-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC000981517735 697116846 /nfs/dbraw/zinc/11/68/46/697116846.db2.gz NVGRRZCVLXAVSQ-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2noc3c2C[C@H](C)CC3)CC1 ZINC000983482526 697269848 /nfs/dbraw/zinc/26/98/48/697269848.db2.gz LLXOETAAOHXJKT-CYBMUJFWSA-N 1 2 301.390 1.581 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2noc3c2C[C@H](C)CC3)CC1 ZINC000983482526 697269850 /nfs/dbraw/zinc/26/98/50/697269850.db2.gz LLXOETAAOHXJKT-CYBMUJFWSA-N 1 2 301.390 1.581 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@@H+]2CCNC(=O)[C@H]2CC)c1O ZINC000164304372 697336023 /nfs/dbraw/zinc/33/60/23/697336023.db2.gz LCUSBIZOUGGKNR-OAHLLOKOSA-N 1 2 304.390 1.840 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@H+]2CCNC(=O)[C@H]2CC)c1O ZINC000164304372 697336026 /nfs/dbraw/zinc/33/60/26/697336026.db2.gz LCUSBIZOUGGKNR-OAHLLOKOSA-N 1 2 304.390 1.840 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000172184313 697365435 /nfs/dbraw/zinc/36/54/35/697365435.db2.gz NPNVAEIDLXQKJZ-QGZVFWFLSA-N 1 2 317.389 1.164 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000172184313 697365438 /nfs/dbraw/zinc/36/54/38/697365438.db2.gz NPNVAEIDLXQKJZ-QGZVFWFLSA-N 1 2 317.389 1.164 20 30 DDEDLO COCCCON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000183298669 697486240 /nfs/dbraw/zinc/48/62/40/697486240.db2.gz MNPFUODDVXUEHV-OAHLLOKOSA-N 1 2 307.394 1.213 20 30 DDEDLO COCCCON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000183298669 697486243 /nfs/dbraw/zinc/48/62/43/697486243.db2.gz MNPFUODDVXUEHV-OAHLLOKOSA-N 1 2 307.394 1.213 20 30 DDEDLO C#C[C@H](C)NC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000186047613 697527914 /nfs/dbraw/zinc/52/79/14/697527914.db2.gz GGKKFJGUPRECLK-HNNXBMFYSA-N 1 2 301.390 1.414 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC([N@H+](C)Cc2nnnn2C)CC1 ZINC000985619092 697543895 /nfs/dbraw/zinc/54/38/95/697543895.db2.gz OOMNFJPHOVFJGA-INIZCTEOSA-N 1 2 320.441 1.235 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC([N@@H+](C)Cc2nnnn2C)CC1 ZINC000985619092 697543896 /nfs/dbraw/zinc/54/38/96/697543896.db2.gz OOMNFJPHOVFJGA-INIZCTEOSA-N 1 2 320.441 1.235 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)N2CCN(C(=O)C(C)(C)C)CC2)CC1 ZINC000985831597 697580789 /nfs/dbraw/zinc/58/07/89/697580789.db2.gz IHKDGINSUFDNPU-UHFFFAOYSA-N 1 2 322.453 1.100 20 30 DDEDLO CC(C)(CNC(=O)c1ccccc1OCC#N)[NH+]1CCOCC1 ZINC000194037367 697688964 /nfs/dbraw/zinc/68/89/64/697688964.db2.gz WFKSYOHJACFOQR-UHFFFAOYSA-N 1 2 317.389 1.430 20 30 DDEDLO C[C@@H]1[C@H]([NH2+]Cc2cnsn2)CCN1C(=O)c1cc(C#N)c[nH]1 ZINC000986129357 697695633 /nfs/dbraw/zinc/69/56/33/697695633.db2.gz DIZZAKAFGNFWDB-BXKDBHETSA-N 1 2 316.390 1.131 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)[C@H]2C)n1 ZINC000986290856 697769312 /nfs/dbraw/zinc/76/93/12/697769312.db2.gz ZDMJEDHRVILFSG-AAEUAGOBSA-N 1 2 302.378 1.124 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCN(c2cccc[nH+]2)CC1)[C@@H]1CCCO1 ZINC000773560997 697780902 /nfs/dbraw/zinc/78/09/02/697780902.db2.gz SJBRTYSNRJAMHI-CABCVRRESA-N 1 2 314.389 1.094 20 30 DDEDLO C[C@@H]1C[N@H+](CCOC(=O)COc2ccccc2C#N)CCO1 ZINC000800082245 700185070 /nfs/dbraw/zinc/18/50/70/700185070.db2.gz LFKYXTHBWSLEPE-CYBMUJFWSA-N 1 2 304.346 1.201 20 30 DDEDLO C[C@@H]1C[N@@H+](CCOC(=O)COc2ccccc2C#N)CCO1 ZINC000800082245 700185073 /nfs/dbraw/zinc/18/50/73/700185073.db2.gz LFKYXTHBWSLEPE-CYBMUJFWSA-N 1 2 304.346 1.201 20 30 DDEDLO CCN(CC)S(=O)(=O)N1CC[NH+](CCC(C)(C)C#N)CC1 ZINC000776574768 698119431 /nfs/dbraw/zinc/11/94/31/698119431.db2.gz LFTLUTLJOVOSQM-UHFFFAOYSA-N 1 2 316.471 1.130 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@H]([S@@](=O)CC)C1 ZINC000750130053 700204412 /nfs/dbraw/zinc/20/44/12/700204412.db2.gz IBUOXHQLYOGANG-BQLSAVKESA-N 1 2 310.463 1.280 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@H]([S@@](=O)CC)C1 ZINC000750130053 700204414 /nfs/dbraw/zinc/20/44/14/700204414.db2.gz IBUOXHQLYOGANG-BQLSAVKESA-N 1 2 310.463 1.280 20 30 DDEDLO COc1c(C)c[nH+]c(CNS(=O)(=O)CC(C)(C)C#N)c1C ZINC000777820140 698225238 /nfs/dbraw/zinc/22/52/38/698225238.db2.gz YFRBNLIGIMQZNK-UHFFFAOYSA-N 1 2 311.407 1.676 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)Cc2noc(C)c2C#N)c1C ZINC000779381110 698418972 /nfs/dbraw/zinc/41/89/72/698418972.db2.gz ACOKGCNBVVODIK-UHFFFAOYSA-N 1 2 314.345 1.734 20 30 DDEDLO C[C@H]1C[C@H]([NH2+]Cc2nn(C)cc2Cl)CN1C(=O)C#CC1CC1 ZINC000988811176 698473086 /nfs/dbraw/zinc/47/30/86/698473086.db2.gz ITGKXGUSQVEWBQ-AAEUAGOBSA-N 1 2 320.824 1.566 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)nc1 ZINC000780563021 698532338 /nfs/dbraw/zinc/53/23/38/698532338.db2.gz NYTHFOSDKONIRL-OAHLLOKOSA-N 1 2 322.372 1.426 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2csc(C(F)(F)F)n2)CC1 ZINC000989419315 698610914 /nfs/dbraw/zinc/61/09/14/698610914.db2.gz DEGVKEQTAWLINK-UHFFFAOYSA-N 1 2 317.336 1.943 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2csc(C(F)(F)F)n2)CC1 ZINC000989419315 698610916 /nfs/dbraw/zinc/61/09/16/698610916.db2.gz DEGVKEQTAWLINK-UHFFFAOYSA-N 1 2 317.336 1.943 20 30 DDEDLO N#Cc1ccc(NC(=O)C[NH+]2CCC3(CNC(=O)O3)CC2)cc1 ZINC000781998739 698671412 /nfs/dbraw/zinc/67/14/12/698671412.db2.gz JTHKPFMNDMLBBK-UHFFFAOYSA-N 1 2 314.345 1.071 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@@H](C)N(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000989783127 698761443 /nfs/dbraw/zinc/76/14/43/698761443.db2.gz PREHVGOTSWGHBD-PWSUYJOCSA-N 1 2 319.796 1.903 20 30 DDEDLO COc1cccc([C@H](C)[NH+]2CCN(C(=O)NCCC#N)CC2)c1 ZINC000426426701 699154126 /nfs/dbraw/zinc/15/41/26/699154126.db2.gz ZHPHBUSEVXHFJJ-AWEZNQCLSA-N 1 2 316.405 1.997 20 30 DDEDLO COc1cc(C(C)=NNc2[nH+]ccn2C)ccc1S(C)(=O)=O ZINC000790299974 699452752 /nfs/dbraw/zinc/45/27/52/699452752.db2.gz NHTHKFCITWENJQ-UHFFFAOYSA-N 1 2 322.390 1.668 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=S)Nc1ccccc1C#N ZINC000729162459 699472618 /nfs/dbraw/zinc/47/26/18/699472618.db2.gz TVRHLTNPLLJLRS-GFCCVEGCSA-N 1 2 304.419 1.565 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=S)Nc1ccccc1C#N ZINC000729162459 699472620 /nfs/dbraw/zinc/47/26/20/699472620.db2.gz TVRHLTNPLLJLRS-GFCCVEGCSA-N 1 2 304.419 1.565 20 30 DDEDLO COc1cc(C(=O)/C=C/c2[nH]cc[nH+]2)ccc1S(C)(=O)=O ZINC000731159537 699523481 /nfs/dbraw/zinc/52/34/81/699523481.db2.gz SQXNMBAAEMPNHX-GQCTYLIASA-N 1 2 306.343 1.718 20 30 DDEDLO N#Cc1c(=O)n(C[NH+]2CCC3(CCO3)CC2)cc2c1CCCC2 ZINC000793517174 699762795 /nfs/dbraw/zinc/76/27/95/699762795.db2.gz QRYFHTWSTOVJLP-UHFFFAOYSA-N 1 2 313.401 1.811 20 30 DDEDLO C[C@H]1CN(c2cccc(F)c2)CC[N@@H+]1CC(=O)NCCC#N ZINC000795190876 699850642 /nfs/dbraw/zinc/85/06/42/699850642.db2.gz YEOOZIQDVLOWHW-ZDUSSCGKSA-N 1 2 304.369 1.366 20 30 DDEDLO C[C@H]1CN(c2cccc(F)c2)CC[N@H+]1CC(=O)NCCC#N ZINC000795190876 699850645 /nfs/dbraw/zinc/85/06/45/699850645.db2.gz YEOOZIQDVLOWHW-ZDUSSCGKSA-N 1 2 304.369 1.366 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@](C)(O)c1cc(C)oc1C ZINC000743517323 699915826 /nfs/dbraw/zinc/91/58/26/699915826.db2.gz DEVLPNXQGPTFQI-RDJZCZTQSA-N 1 2 304.390 1.318 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@](C)(O)c1cc(C)oc1C ZINC000743517323 699915827 /nfs/dbraw/zinc/91/58/27/699915827.db2.gz DEVLPNXQGPTFQI-RDJZCZTQSA-N 1 2 304.390 1.318 20 30 DDEDLO C[C@H](C(=O)OCCO[C@@H](C)C#N)[N@@H+]1CCc2ccccc2C1 ZINC000801840641 700344479 /nfs/dbraw/zinc/34/44/79/700344479.db2.gz STAVWXCOPDPSDT-UONOGXRCSA-N 1 2 302.374 1.905 20 30 DDEDLO C[C@H](C(=O)OCCO[C@@H](C)C#N)[N@H+]1CCc2ccccc2C1 ZINC000801840641 700344482 /nfs/dbraw/zinc/34/44/82/700344482.db2.gz STAVWXCOPDPSDT-UONOGXRCSA-N 1 2 302.374 1.905 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(c2nc(F)c(F)cc2F)CC1 ZINC000801949460 700359867 /nfs/dbraw/zinc/35/98/67/700359867.db2.gz FMWUVEHMMQDANB-JTQLQIEISA-N 1 2 314.311 1.550 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+][C@H](C)c1cc(F)cc(F)c1O ZINC000757656689 700667727 /nfs/dbraw/zinc/66/77/27/700667727.db2.gz NSUOYMDDZMXQPJ-SECBINFHSA-N 1 2 305.346 1.922 20 30 DDEDLO Cc1ccc(N2CC[NH+](CC(=O)NCCC#N)CC2)cc1C ZINC000759345085 700748024 /nfs/dbraw/zinc/74/80/24/700748024.db2.gz KJKJMCLBBUWXQW-UHFFFAOYSA-N 1 2 300.406 1.455 20 30 DDEDLO N#CCOc1ccc(Cl)cc1C[N@H+]1CC[C@H](NC(N)=O)C1 ZINC000762924990 700916646 /nfs/dbraw/zinc/91/66/46/700916646.db2.gz JFJJKDIGDFVAPZ-LBPRGKRZSA-N 1 2 308.769 1.485 20 30 DDEDLO N#CCOc1ccc(Cl)cc1C[N@@H+]1CC[C@H](NC(N)=O)C1 ZINC000762924990 700916648 /nfs/dbraw/zinc/91/66/48/700916648.db2.gz JFJJKDIGDFVAPZ-LBPRGKRZSA-N 1 2 308.769 1.485 20 30 DDEDLO CCOC(=O)[C@H]1C[N@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000763411838 700937218 /nfs/dbraw/zinc/93/72/18/700937218.db2.gz VWQPAHPVWGJILH-MRXNPFEDSA-N 1 2 318.373 1.591 20 30 DDEDLO CCOC(=O)[C@H]1C[N@@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000763411838 700937219 /nfs/dbraw/zinc/93/72/19/700937219.db2.gz VWQPAHPVWGJILH-MRXNPFEDSA-N 1 2 318.373 1.591 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000803668451 701133442 /nfs/dbraw/zinc/13/34/42/701133442.db2.gz QLILOROHSATLCF-UHFFFAOYSA-N 1 2 319.405 1.748 20 30 DDEDLO Cn1ncc(CO[NH+]=C(N)c2ccc(Br)cc2)n1 ZINC000809998105 701711143 /nfs/dbraw/zinc/71/11/43/701711143.db2.gz MEDXZXLMFDWVJK-UHFFFAOYSA-N 1 2 310.155 1.415 20 30 DDEDLO N#Cc1csc(C[NH2+]CCS(=O)(=O)Cc2ccccc2)n1 ZINC000810135609 701735166 /nfs/dbraw/zinc/73/51/66/701735166.db2.gz QSAXMMCXCHVWKL-UHFFFAOYSA-N 1 2 321.427 1.719 20 30 DDEDLO N#Cc1ccc(F)c(CNC(=O)/C=C\C[NH+]2CCOCC2)c1 ZINC000866122192 706625374 /nfs/dbraw/zinc/62/53/74/706625374.db2.gz FBDDRYQLXDQOGI-UPHRSURJSA-N 1 2 303.337 1.202 20 30 DDEDLO C#CC[C@H](CO)NC(=O)[C@@H](c1ccccc1)[NH+]1CCSCC1 ZINC000816672061 702141281 /nfs/dbraw/zinc/14/12/81/702141281.db2.gz OUDKUYRIPZFLJW-HZPDHXFCSA-N 1 2 318.442 1.277 20 30 DDEDLO COC(=O)C12CCC(CC1)[N@@H+]2C[C@H](O)c1ccc(C#N)cc1 ZINC000879325968 706650700 /nfs/dbraw/zinc/65/07/00/706650700.db2.gz LZBDGSWFRJPANN-CKDBGZEDSA-N 1 2 300.358 1.762 20 30 DDEDLO COC(=O)C12CCC(CC1)[N@H+]2C[C@H](O)c1ccc(C#N)cc1 ZINC000879325968 706650702 /nfs/dbraw/zinc/65/07/02/706650702.db2.gz LZBDGSWFRJPANN-CKDBGZEDSA-N 1 2 300.358 1.762 20 30 DDEDLO C[C@@H]1CN(C(=O)c2sccc2CC#N)C[C@H](C)[N@H+]1CCO ZINC000868720899 702286287 /nfs/dbraw/zinc/28/62/87/702286287.db2.gz CNPDVIBGZAJYMY-TXEJJXNPSA-N 1 2 307.419 1.341 20 30 DDEDLO C[C@@H]1CN(C(=O)c2sccc2CC#N)C[C@H](C)[N@@H+]1CCO ZINC000868720899 702286290 /nfs/dbraw/zinc/28/62/90/702286290.db2.gz CNPDVIBGZAJYMY-TXEJJXNPSA-N 1 2 307.419 1.341 20 30 DDEDLO COC[C@]1(C(=O)OC(C)(C)C)C[N@@H+]([C@@H]2CC[C@H](C#N)C2)CCO1 ZINC000879358241 706659817 /nfs/dbraw/zinc/65/98/17/706659817.db2.gz FZTIQQNXCASCDU-VBQJREDUSA-N 1 2 324.421 1.738 20 30 DDEDLO COC[C@]1(C(=O)OC(C)(C)C)C[N@H+]([C@@H]2CC[C@H](C#N)C2)CCO1 ZINC000879358241 706659820 /nfs/dbraw/zinc/65/98/20/706659820.db2.gz FZTIQQNXCASCDU-VBQJREDUSA-N 1 2 324.421 1.738 20 30 DDEDLO O=C1Nc2c(ccc(O)c2F)C1=NNC1=[NH+][C@@H]2CCCC[C@@H]2N1 ZINC000841634345 702514796 /nfs/dbraw/zinc/51/47/96/702514796.db2.gz XQMRQULXUUVFGM-DTORHVGOSA-N 1 2 317.324 1.048 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@H+](Cc3cc(C#N)ccc3F)C2)C1 ZINC000879481348 706691807 /nfs/dbraw/zinc/69/18/07/706691807.db2.gz YMEHAKFWSXTEHV-MRXNPFEDSA-N 1 2 317.320 1.591 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@@H+](Cc3cc(C#N)ccc3F)C2)C1 ZINC000879481348 706691808 /nfs/dbraw/zinc/69/18/08/706691808.db2.gz YMEHAKFWSXTEHV-MRXNPFEDSA-N 1 2 317.320 1.591 20 30 DDEDLO CC(=[NH+]N(C)[C@@H](C)CO)c1c(F)cccc1N1CCOCC1 ZINC000842541317 702733148 /nfs/dbraw/zinc/73/31/48/702733148.db2.gz QNTUEGWBMAHJKT-LBPRGKRZSA-N 1 2 309.385 1.699 20 30 DDEDLO C#Cc1cccc(N(CC(=O)NC)C(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000844357676 703019387 /nfs/dbraw/zinc/01/93/87/703019387.db2.gz OSSMKNONXLSFFH-AWEZNQCLSA-N 1 2 324.384 1.595 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC([C@@H](O)c3ncc[nH]3)CC2)nn1 ZINC000846866654 703344011 /nfs/dbraw/zinc/34/40/11/703344011.db2.gz BPZVHIVNIVBHPZ-OAHLLOKOSA-N 1 2 316.409 1.523 20 30 DDEDLO C#C[C@H]1CCCN(C(=O)C(=O)Nc2ccc3[nH+]c(C)cn3c2)C1 ZINC000847561462 703436899 /nfs/dbraw/zinc/43/68/99/703436899.db2.gz AOJOFDARDZNHLS-ZDUSSCGKSA-N 1 2 310.357 1.453 20 30 DDEDLO Cc1cc(C=NNCCCn2cc[nH+]c2)ccc1-n1cncn1 ZINC000848417647 703548170 /nfs/dbraw/zinc/54/81/70/703548170.db2.gz DAUMEPHJIOQVRJ-UHFFFAOYSA-N 1 2 309.377 1.786 20 30 DDEDLO COC(=O)c1cc(C=NNCCCn2cc[nH+]c2)n(C)c1C ZINC000848417436 703548179 /nfs/dbraw/zinc/54/81/79/703548179.db2.gz ZNURKVUMVAGTQN-UHFFFAOYSA-N 1 2 303.366 1.330 20 30 DDEDLO C[C@H](CC#N)C(=O)OC[C@H]1CCC[N@@H+]1CC(=O)OC(C)(C)C ZINC000850871415 703760845 /nfs/dbraw/zinc/76/08/45/703760845.db2.gz VFCKSQCUZVKMDS-CHWSQXEVSA-N 1 2 310.394 1.885 20 30 DDEDLO C[C@H](CC#N)C(=O)OC[C@H]1CCC[N@H+]1CC(=O)OC(C)(C)C ZINC000850871415 703760846 /nfs/dbraw/zinc/76/08/46/703760846.db2.gz VFCKSQCUZVKMDS-CHWSQXEVSA-N 1 2 310.394 1.885 20 30 DDEDLO C[C@H](CC#N)C(=O)OCC[N@@H+]1CCC[C@@H]1C(=O)OC(C)(C)C ZINC000850994035 703771068 /nfs/dbraw/zinc/77/10/68/703771068.db2.gz CYPDCVKWDZFWOA-CHWSQXEVSA-N 1 2 310.394 1.885 20 30 DDEDLO C[C@H](CC#N)C(=O)OCC[N@H+]1CCC[C@@H]1C(=O)OC(C)(C)C ZINC000850994035 703771070 /nfs/dbraw/zinc/77/10/70/703771070.db2.gz CYPDCVKWDZFWOA-CHWSQXEVSA-N 1 2 310.394 1.885 20 30 DDEDLO COc1cccc([C@H]2CN(C(=O)c3cc(C#N)c[nH]3)CC[NH2+]2)c1 ZINC000870150577 703932640 /nfs/dbraw/zinc/93/26/40/703932640.db2.gz NFFRUVUEIBCLPZ-MRXNPFEDSA-N 1 2 310.357 1.682 20 30 DDEDLO CS(=O)(=O)c1ccc([C@H](O)C[N@@H+]2CCC[C@H](CC#N)C2)cc1 ZINC000852318961 704011557 /nfs/dbraw/zinc/01/15/57/704011557.db2.gz CSGBUBUTHRUFDM-CZUORRHYSA-N 1 2 322.430 1.749 20 30 DDEDLO CS(=O)(=O)c1ccc([C@H](O)C[N@H+]2CCC[C@H](CC#N)C2)cc1 ZINC000852318961 704011558 /nfs/dbraw/zinc/01/15/58/704011558.db2.gz CSGBUBUTHRUFDM-CZUORRHYSA-N 1 2 322.430 1.749 20 30 DDEDLO C[C@@H]1C[N@H+](CCN2CCC[C@](C#N)(c3ccccn3)C2)CCO1 ZINC000852332677 704014335 /nfs/dbraw/zinc/01/43/35/704014335.db2.gz UUFUEHTWGUUYQN-SJLPKXTDSA-N 1 2 314.433 1.659 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN2CCC[C@](C#N)(c3ccccn3)C2)CCO1 ZINC000852332677 704014337 /nfs/dbraw/zinc/01/43/37/704014337.db2.gz UUFUEHTWGUUYQN-SJLPKXTDSA-N 1 2 314.433 1.659 20 30 DDEDLO C[NH+](C)[C@H](C(=O)N[C@H]1CCN(O)C1=O)c1cccc(Cl)c1 ZINC000820157110 704231657 /nfs/dbraw/zinc/23/16/57/704231657.db2.gz SEBWXWBNTCZMTA-RYUDHWBXSA-N 1 2 311.769 1.049 20 30 DDEDLO Cc1ccn(C[N@H+]2CCC[C@@H](C(=O)NC(C)C)C2)c(=O)c1C#N ZINC000853601699 704282454 /nfs/dbraw/zinc/28/24/54/704282454.db2.gz FZGOUCOOYQHUEL-CQSZACIVSA-N 1 2 316.405 1.223 20 30 DDEDLO Cc1ccn(C[N@@H+]2CCC[C@@H](C(=O)NC(C)C)C2)c(=O)c1C#N ZINC000853601699 704282457 /nfs/dbraw/zinc/28/24/57/704282457.db2.gz FZGOUCOOYQHUEL-CQSZACIVSA-N 1 2 316.405 1.223 20 30 DDEDLO N#Cc1ccc(F)c(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)c1 ZINC000854730547 704445375 /nfs/dbraw/zinc/44/53/75/704445375.db2.gz NIBCECWMHDKQGO-AWEZNQCLSA-N 1 2 317.364 1.634 20 30 DDEDLO C#CC[C@H](CCOC)Nc1nc(NCCO)c2ccccc2[nH+]1 ZINC000858855172 704764753 /nfs/dbraw/zinc/76/47/53/704764753.db2.gz IKJVMMNSQMSAPR-CYBMUJFWSA-N 1 2 314.389 1.874 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC(c2c[nH]c[nH+]2)CC1)C1CCOCC1 ZINC000823178007 705162435 /nfs/dbraw/zinc/16/24/35/705162435.db2.gz LKJGGRGCFWEURV-HNNXBMFYSA-N 1 2 316.405 1.727 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC(c2c[nH+]c[nH]2)CC1)C1CCOCC1 ZINC000823178007 705162438 /nfs/dbraw/zinc/16/24/38/705162438.db2.gz LKJGGRGCFWEURV-HNNXBMFYSA-N 1 2 316.405 1.727 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000824175052 705391699 /nfs/dbraw/zinc/39/16/99/705391699.db2.gz MKCVPBAUAHYFPB-FMKPAKJESA-N 1 2 310.357 1.577 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000824175052 705391702 /nfs/dbraw/zinc/39/17/02/705391702.db2.gz MKCVPBAUAHYFPB-FMKPAKJESA-N 1 2 310.357 1.577 20 30 DDEDLO COC(=O)C1(C#N)CCN(Cc2c[nH+]c3ccc(C)cn23)CC1 ZINC000824866462 705546063 /nfs/dbraw/zinc/54/60/63/705546063.db2.gz DGQJFGPEDYAFMT-UHFFFAOYSA-N 1 2 312.373 1.922 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC[N@H+]1C[C@@H](C)OC[C@H]1C ZINC000824948107 705563334 /nfs/dbraw/zinc/56/33/34/705563334.db2.gz FAAJDAJENGVJLZ-ZIAGYGMSSA-N 1 2 301.390 1.706 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC[N@@H+]1C[C@@H](C)OC[C@H]1C ZINC000824948107 705563336 /nfs/dbraw/zinc/56/33/36/705563336.db2.gz FAAJDAJENGVJLZ-ZIAGYGMSSA-N 1 2 301.390 1.706 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC[N@@H+]1C[C@H](C)OC[C@H]1C ZINC000824950848 705565340 /nfs/dbraw/zinc/56/53/40/705565340.db2.gz QPUDMEUSBVPTPT-OLZOCXBDSA-N 1 2 317.389 1.406 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC[N@H+]1C[C@H](C)OC[C@H]1C ZINC000824950848 705565343 /nfs/dbraw/zinc/56/53/43/705565343.db2.gz QPUDMEUSBVPTPT-OLZOCXBDSA-N 1 2 317.389 1.406 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H](C#N)[C@H]([NH2+]Cc2ccc(=O)[nH]c2)C1 ZINC000877341026 706070977 /nfs/dbraw/zinc/07/09/77/706070977.db2.gz PCLVACMZUCIGHW-QWHCGFSZSA-N 1 2 318.377 1.636 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC000827911383 706086373 /nfs/dbraw/zinc/08/63/73/706086373.db2.gz RNEVJSDYUJJZLC-AWEZNQCLSA-N 1 2 311.345 1.077 20 30 DDEDLO C[C@@H]1C[NH+](C[C@H](O)c2cc(F)ccc2F)C[C@@H](C)N1CC#N ZINC000878775487 706496618 /nfs/dbraw/zinc/49/66/18/706496618.db2.gz JBCYHCOIKVUNCK-HSMVNMDESA-N 1 2 309.360 1.916 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]3CCNC(=O)[C@H]32)c([N+](=O)[O-])c1 ZINC000880522931 707002116 /nfs/dbraw/zinc/00/21/16/707002116.db2.gz BSAMFTRERQCHNH-DOMZBBRYSA-N 1 2 314.345 1.567 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]3CCNC(=O)[C@H]32)c([N+](=O)[O-])c1 ZINC000880522931 707002118 /nfs/dbraw/zinc/00/21/18/707002118.db2.gz BSAMFTRERQCHNH-DOMZBBRYSA-N 1 2 314.345 1.567 20 30 DDEDLO CC(C)C[C@@H](C(N)=O)[N@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000880588606 707029977 /nfs/dbraw/zinc/02/99/77/707029977.db2.gz ZXFLLYYASPFSCX-AWEZNQCLSA-N 1 2 322.453 1.503 20 30 DDEDLO CC(C)C[C@@H](C(N)=O)[N@@H+](C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000880588606 707029980 /nfs/dbraw/zinc/02/99/80/707029980.db2.gz ZXFLLYYASPFSCX-AWEZNQCLSA-N 1 2 322.453 1.503 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)c2c(F)cccc2F)nn1 ZINC000881405401 707239701 /nfs/dbraw/zinc/23/97/01/707239701.db2.gz DOYJVPFDDUFYNZ-AWEZNQCLSA-N 1 2 306.316 1.403 20 30 DDEDLO CC[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1cccc(Cl)c1C#N ZINC000882766766 707783000 /nfs/dbraw/zinc/78/30/00/707783000.db2.gz PRNOBSHKCZEIRM-SNVBAGLBSA-N 1 2 313.810 1.584 20 30 DDEDLO CC[N@H+]1CC[C@@H]1CNS(=O)(=O)c1cccc(Cl)c1C#N ZINC000882766766 707783002 /nfs/dbraw/zinc/78/30/02/707783002.db2.gz PRNOBSHKCZEIRM-SNVBAGLBSA-N 1 2 313.810 1.584 20 30 DDEDLO N#Cc1ccc2c(c1)CCC[C@@H]2[NH2+]Cc1nnc2n1CCNC2=O ZINC000883304136 707972055 /nfs/dbraw/zinc/97/20/55/707972055.db2.gz NZMBIFDYSPCHGM-AWEZNQCLSA-N 1 2 322.372 1.060 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)c1ccc(OC)cc1 ZINC000884068036 708124890 /nfs/dbraw/zinc/12/48/90/708124890.db2.gz BAVOFZLIQOXMPH-FZMZJTMJSA-N 1 2 306.362 1.319 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](CC)Cc1ccccc1 ZINC000884117839 708146994 /nfs/dbraw/zinc/14/69/94/708146994.db2.gz NPKGZLFMGBQGQN-GJZGRUSLSA-N 1 2 304.390 1.571 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)[C@@H](C)c1ccccc1 ZINC000884142147 708158616 /nfs/dbraw/zinc/15/86/16/708158616.db2.gz SBXPNRHHGLKTGH-IPYPFGDCSA-N 1 2 304.390 1.741 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2cccc(Cl)c2)CC1 ZINC000884150601 708161538 /nfs/dbraw/zinc/16/15/38/708161538.db2.gz PRTCIMHNZWQXLQ-ZDUSSCGKSA-N 1 2 322.792 1.892 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1cc2ccccc2o1 ZINC000884150862 708161625 /nfs/dbraw/zinc/16/16/25/708161625.db2.gz XWMRYWPMEWGYTC-AWEZNQCLSA-N 1 2 316.357 1.538 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1C[C@@]1(C)c1ccccc1 ZINC000884154594 708163367 /nfs/dbraw/zinc/16/33/67/708163367.db2.gz JXQXUIYQBDBJFQ-ZQIUZPCESA-N 1 2 302.374 1.279 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1csc(CC)n1 ZINC000884220477 708196142 /nfs/dbraw/zinc/19/61/42/708196142.db2.gz UMYAXHNXKIBQLW-ZJUUUORDSA-N 1 2 311.407 1.329 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC[C@@]1(C)C[C@@]1(F)Cl ZINC000884380518 708271746 /nfs/dbraw/zinc/27/17/46/708271746.db2.gz GJQKWWDABPUNQW-XDTLVQLUSA-N 1 2 306.765 1.254 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NCc2nc(C#N)cs2)C1 ZINC000897286271 708279099 /nfs/dbraw/zinc/27/90/99/708279099.db2.gz MBNVOVLOVTUPMR-SNVBAGLBSA-N 1 2 316.390 1.447 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC[C@H]1CNc2ccccc21 ZINC000884453920 708303049 /nfs/dbraw/zinc/30/30/49/708303049.db2.gz BCVLTUAHHBBTOV-JSGCOSHPSA-N 1 2 317.389 1.149 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(CCC#N)cc2)C(C)(C)C1 ZINC000885081668 708465824 /nfs/dbraw/zinc/46/58/24/708465824.db2.gz ZIPSZJIMQNHCLC-UHFFFAOYSA-N 1 2 321.446 1.857 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(CCC#N)cc2)C(C)(C)C1 ZINC000885081668 708465825 /nfs/dbraw/zinc/46/58/25/708465825.db2.gz ZIPSZJIMQNHCLC-UHFFFAOYSA-N 1 2 321.446 1.857 20 30 DDEDLO COC(=O)C1CC2(C1)C[N@H+](CCC#N)C[C@@H]2C(=O)OC(C)(C)C ZINC000886881257 708879712 /nfs/dbraw/zinc/87/97/12/708879712.db2.gz VYBXYHXRQMNFPD-UIORMTCPSA-N 1 2 322.405 1.743 20 30 DDEDLO COC(=O)C1CC2(C1)C[N@@H+](CCC#N)C[C@@H]2C(=O)OC(C)(C)C ZINC000886881257 708879715 /nfs/dbraw/zinc/87/97/15/708879715.db2.gz VYBXYHXRQMNFPD-UIORMTCPSA-N 1 2 322.405 1.743 20 30 DDEDLO CCOC(=O)[C@H](C[C@H]1CCCO1)[NH2+]C[C@H](O)CC1(C#N)CCC1 ZINC000886971212 708909424 /nfs/dbraw/zinc/90/94/24/708909424.db2.gz RYKSIORYLSJZDG-KFWWJZLASA-N 1 2 324.421 1.522 20 30 DDEDLO N#Cc1ccccc1N1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000900207275 709485761 /nfs/dbraw/zinc/48/57/61/709485761.db2.gz SGSAKAVEDZCBOU-UHFFFAOYSA-N 1 2 309.373 1.563 20 30 DDEDLO C[C@H](O)C[NH+]1CCN(C(=O)C(F)(F)c2ccccc2C#N)CC1 ZINC000900600388 709671129 /nfs/dbraw/zinc/67/11/29/709671129.db2.gz BRJRPBFBRCXMSH-LBPRGKRZSA-N 1 2 323.343 1.175 20 30 DDEDLO C#CC[C@H]1CCN(C(=O)c2cccn2CC[NH+]2CCOCC2)C1 ZINC000912986036 713139246 /nfs/dbraw/zinc/13/92/46/713139246.db2.gz QOYJNEOWYDRXLQ-INIZCTEOSA-N 1 2 315.417 1.306 20 30 DDEDLO C#CCSCC(=O)N1CC2(CC[N@@H+]2Cc2ccccc2)C1 ZINC000910778349 710072526 /nfs/dbraw/zinc/07/25/26/710072526.db2.gz PYDVONRMGHRBJD-UHFFFAOYSA-N 1 2 300.427 1.840 20 30 DDEDLO C#CCSCC(=O)N1CC2(CC[N@H+]2Cc2ccccc2)C1 ZINC000910778349 710072530 /nfs/dbraw/zinc/07/25/30/710072530.db2.gz PYDVONRMGHRBJD-UHFFFAOYSA-N 1 2 300.427 1.840 20 30 DDEDLO C=CCNc1ncc(C(=O)N2C[C@@H](C)[N@@H+](CCO)C[C@@H]2C)s1 ZINC000910927576 710122467 /nfs/dbraw/zinc/12/24/67/710122467.db2.gz BPILRSHTPNRURH-NEPJUHHUSA-N 1 2 324.450 1.268 20 30 DDEDLO C=CCNc1ncc(C(=O)N2C[C@@H](C)[N@H+](CCO)C[C@@H]2C)s1 ZINC000910927576 710122472 /nfs/dbraw/zinc/12/24/72/710122472.db2.gz BPILRSHTPNRURH-NEPJUHHUSA-N 1 2 324.450 1.268 20 30 DDEDLO C=CC[N@@H+](C[C@@H]1C[C@]1(C)Br)[C@@H]1CCS(=O)(=O)C1 ZINC000891769175 710290459 /nfs/dbraw/zinc/29/04/59/710290459.db2.gz HGGCSPAYNHELKU-TUAOUCFPSA-N 1 2 322.268 1.835 20 30 DDEDLO C=CC[N@H+](C[C@@H]1C[C@]1(C)Br)[C@@H]1CCS(=O)(=O)C1 ZINC000891769175 710290460 /nfs/dbraw/zinc/29/04/60/710290460.db2.gz HGGCSPAYNHELKU-TUAOUCFPSA-N 1 2 322.268 1.835 20 30 DDEDLO C#CCNC(=O)C1CCN(c2cc(CC)[nH+]c(CC)n2)CC1 ZINC000892149140 710380944 /nfs/dbraw/zinc/38/09/44/710380944.db2.gz YOCGAYRLHHCMLY-UHFFFAOYSA-N 1 2 300.406 1.567 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1)CN1C[C@@H]([C@H]2CCCO2)CC1=O ZINC000902050076 710595371 /nfs/dbraw/zinc/59/53/71/710595371.db2.gz QZBLDVWODZCDPW-DLBZAZTESA-N 1 2 313.401 1.975 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1)CN1C[C@@H]([C@H]2CCCO2)CC1=O ZINC000902050076 710595372 /nfs/dbraw/zinc/59/53/72/710595372.db2.gz QZBLDVWODZCDPW-DLBZAZTESA-N 1 2 313.401 1.975 20 30 DDEDLO C#CC1(O)CN(C(=O)N[C@@H](Cc2[nH+]ccn2C)c2ccccc2)C1 ZINC000893318560 710597770 /nfs/dbraw/zinc/59/77/70/710597770.db2.gz NBSMZXQJWPGZPU-HNNXBMFYSA-N 1 2 324.384 1.093 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1=O ZINC000902316955 710714467 /nfs/dbraw/zinc/71/44/67/710714467.db2.gz JNOAQGGROMIPRD-LLDVTBCESA-N 1 2 316.401 1.113 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1=O ZINC000902316955 710714473 /nfs/dbraw/zinc/71/44/73/710714473.db2.gz JNOAQGGROMIPRD-LLDVTBCESA-N 1 2 316.401 1.113 20 30 DDEDLO COc1c[nH]c(CO)c(C[N@H+](C)Cc2cc(C#N)cs2)c1=O ZINC000902621336 710821756 /nfs/dbraw/zinc/82/17/56/710821756.db2.gz FUMSSEAPUUYMNP-UHFFFAOYSA-N 1 2 319.386 1.441 20 30 DDEDLO COc1c[nH]c(CO)c(C[N@@H+](C)Cc2cc(C#N)cs2)c1=O ZINC000902621336 710821761 /nfs/dbraw/zinc/82/17/61/710821761.db2.gz FUMSSEAPUUYMNP-UHFFFAOYSA-N 1 2 319.386 1.441 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@H](Nc2ncccn2)C1 ZINC000903031650 711007821 /nfs/dbraw/zinc/00/78/21/711007821.db2.gz SRHCZTPGLUYKBF-CJNGLKHVSA-N 1 2 316.409 1.017 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@@H](Nc2ncccn2)C1 ZINC000903031650 711007824 /nfs/dbraw/zinc/00/78/24/711007824.db2.gz SRHCZTPGLUYKBF-CJNGLKHVSA-N 1 2 316.409 1.017 20 30 DDEDLO CCCCN(O)C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000904212598 711410777 /nfs/dbraw/zinc/41/07/77/711410777.db2.gz IIWCTMUIVCVYLH-CYBMUJFWSA-N 1 2 310.398 1.501 20 30 DDEDLO CCCCN(O)C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000904212598 711410781 /nfs/dbraw/zinc/41/07/81/711410781.db2.gz IIWCTMUIVCVYLH-CYBMUJFWSA-N 1 2 310.398 1.501 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1ccsc1C#N ZINC000907835119 712633089 /nfs/dbraw/zinc/63/30/89/712633089.db2.gz FGHSFWAUBOFEPG-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1ccsc1C#N ZINC000907835119 712633090 /nfs/dbraw/zinc/63/30/90/712633090.db2.gz FGHSFWAUBOFEPG-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000914380361 713386377 /nfs/dbraw/zinc/38/63/77/713386377.db2.gz RZEJELDWYFANTI-ZDUSSCGKSA-N 1 2 316.336 1.195 20 30 DDEDLO C=CCSCCNC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000915205767 713412748 /nfs/dbraw/zinc/41/27/48/713412748.db2.gz XEDNUNXOBOJTAV-UHFFFAOYSA-N 1 2 314.480 1.923 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)CCc2cccc(F)c2)C1 ZINC000919874535 713639810 /nfs/dbraw/zinc/63/98/10/713639810.db2.gz ACEVCQBJLVLGLQ-INIZCTEOSA-N 1 2 324.421 1.385 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)CCc2cccc(F)c2)C1 ZINC000919874535 713639813 /nfs/dbraw/zinc/63/98/13/713639813.db2.gz ACEVCQBJLVLGLQ-INIZCTEOSA-N 1 2 324.421 1.385 20 30 DDEDLO Cc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)c(C)nn1 ZINC000929823029 713697901 /nfs/dbraw/zinc/69/79/01/713697901.db2.gz DPJOLAWQSCATHX-ZDUSSCGKSA-N 1 2 323.404 1.466 20 30 DDEDLO Cc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)c(C)nn1 ZINC000929823029 713697903 /nfs/dbraw/zinc/69/79/03/713697903.db2.gz DPJOLAWQSCATHX-ZDUSSCGKSA-N 1 2 323.404 1.466 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000930040585 713741666 /nfs/dbraw/zinc/74/16/66/713741666.db2.gz UXAIDJSPSMSQIF-LBPRGKRZSA-N 1 2 318.377 1.409 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000930040585 713741667 /nfs/dbraw/zinc/74/16/67/713741667.db2.gz UXAIDJSPSMSQIF-LBPRGKRZSA-N 1 2 318.377 1.409 20 30 DDEDLO CNS(=O)(=O)[C@H]1CC[N@H+](Cc2cc(Cl)cc(C#N)c2)C1 ZINC000930066686 713748057 /nfs/dbraw/zinc/74/80/57/713748057.db2.gz BSVBYZQKDPEPQT-ZDUSSCGKSA-N 1 2 313.810 1.335 20 30 DDEDLO CNS(=O)(=O)[C@H]1CC[N@@H+](Cc2cc(Cl)cc(C#N)c2)C1 ZINC000930066686 713748058 /nfs/dbraw/zinc/74/80/58/713748058.db2.gz BSVBYZQKDPEPQT-ZDUSSCGKSA-N 1 2 313.810 1.335 20 30 DDEDLO C[N@H+](CCCc1[nH]nc(N)c1C#N)Cc1c(F)cncc1F ZINC000930111683 713759307 /nfs/dbraw/zinc/75/93/07/713759307.db2.gz ZFACPOPEAFCDRP-UHFFFAOYSA-N 1 2 306.320 1.601 20 30 DDEDLO C[N@@H+](CCCc1[nH]nc(N)c1C#N)Cc1c(F)cncc1F ZINC000930111683 713759309 /nfs/dbraw/zinc/75/93/09/713759309.db2.gz ZFACPOPEAFCDRP-UHFFFAOYSA-N 1 2 306.320 1.601 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[NH+]1CCC(c2ncco2)CC1)C1CC1 ZINC000931450500 714101084 /nfs/dbraw/zinc/10/10/84/714101084.db2.gz BIAHNXLAVWMJKS-INIZCTEOSA-N 1 2 302.378 1.662 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2cccc(CC#N)c2)CCO1 ZINC000931685664 714160434 /nfs/dbraw/zinc/16/04/34/714160434.db2.gz FFEBRCFVSBVERJ-ZDUSSCGKSA-N 1 2 302.378 1.595 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2cccc(CC#N)c2)CCO1 ZINC000931685664 714160436 /nfs/dbraw/zinc/16/04/36/714160436.db2.gz FFEBRCFVSBVERJ-ZDUSSCGKSA-N 1 2 302.378 1.595 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@](C)(O)[C@@H]([N@@H+]2CCC[C@H](C#N)C2)C1 ZINC000932154571 714272883 /nfs/dbraw/zinc/27/28/83/714272883.db2.gz LAXNYQWBKIHUJI-KEYYUXOJSA-N 1 2 323.437 1.982 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@](C)(O)[C@@H]([N@H+]2CCC[C@H](C#N)C2)C1 ZINC000932154571 714272885 /nfs/dbraw/zinc/27/28/85/714272885.db2.gz LAXNYQWBKIHUJI-KEYYUXOJSA-N 1 2 323.437 1.982 20 30 DDEDLO C#CC[N@H+](Cc1ccc(S(=O)(=O)N(C)C)s1)C(C)C ZINC000932388036 714321652 /nfs/dbraw/zinc/32/16/52/714321652.db2.gz ROOYMARWSWBACX-UHFFFAOYSA-N 1 2 300.449 1.842 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(S(=O)(=O)N(C)C)s1)C(C)C ZINC000932388036 714321653 /nfs/dbraw/zinc/32/16/53/714321653.db2.gz ROOYMARWSWBACX-UHFFFAOYSA-N 1 2 300.449 1.842 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H]1C(=O)NC(C)(C)C ZINC000932437766 714330838 /nfs/dbraw/zinc/33/08/38/714330838.db2.gz BQMQRANSGCPXTJ-ZDUSSCGKSA-N 1 2 308.426 1.128 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H]1C(=O)NC(C)(C)C ZINC000932437766 714330839 /nfs/dbraw/zinc/33/08/39/714330839.db2.gz BQMQRANSGCPXTJ-ZDUSSCGKSA-N 1 2 308.426 1.128 20 30 DDEDLO CCOC(=O)C1(C(F)(F)F)CC[NH+](CC#CCOC)CC1 ZINC000932651385 714377287 /nfs/dbraw/zinc/37/72/87/714377287.db2.gz FPHOZHWKCFJSMG-UHFFFAOYSA-N 1 2 307.312 1.844 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2nc3cnccc3s2)C1 ZINC000923559357 714402406 /nfs/dbraw/zinc/40/24/06/714402406.db2.gz CXIXGYDNMQVDBR-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2nc3cnccc3s2)C1 ZINC000923559357 714402408 /nfs/dbraw/zinc/40/24/08/714402408.db2.gz CXIXGYDNMQVDBR-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)[C@H]2CCC(=O)c3ccccc32)C1 ZINC000923561761 714404641 /nfs/dbraw/zinc/40/46/41/714404641.db2.gz LIFJBKABAVBNDD-YOEHRIQHSA-N 1 2 310.397 1.961 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCC(=O)c3ccccc32)C1 ZINC000923561761 714404644 /nfs/dbraw/zinc/40/46/44/714404644.db2.gz LIFJBKABAVBNDD-YOEHRIQHSA-N 1 2 310.397 1.961 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C[C@H]2C[C@@H](C(C)=O)C2(C)C)C1 ZINC000923566334 714407834 /nfs/dbraw/zinc/40/78/34/714407834.db2.gz PBHXDBYSUQKVOE-OAGGEKHMSA-N 1 2 304.434 1.842 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C[C@H]2C[C@@H](C(C)=O)C2(C)C)C1 ZINC000923566334 714407835 /nfs/dbraw/zinc/40/78/35/714407835.db2.gz PBHXDBYSUQKVOE-OAGGEKHMSA-N 1 2 304.434 1.842 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOc2ccccc2[C@@H]1CO ZINC000933383835 714577651 /nfs/dbraw/zinc/57/76/51/714577651.db2.gz UZPPGSSQHSCFEM-INIZCTEOSA-N 1 2 316.401 1.615 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOc2ccccc2[C@@H]1CO ZINC000933383835 714577653 /nfs/dbraw/zinc/57/76/53/714577653.db2.gz UZPPGSSQHSCFEM-INIZCTEOSA-N 1 2 316.401 1.615 20 30 DDEDLO Cc1cc(=O)[nH]c([C@@H](C)[NH+]2CCC3(CC2)CC(=O)C=CO3)n1 ZINC000933646778 714638303 /nfs/dbraw/zinc/63/83/03/714638303.db2.gz OUQDOWWBKIYCJF-GFCCVEGCSA-N 1 2 303.362 1.889 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](c2nccc(C(F)(F)F)n2)C1 ZINC000933716285 714654023 /nfs/dbraw/zinc/65/40/23/714654023.db2.gz CJKKHVVNVGKYIH-JTQLQIEISA-N 1 2 312.295 1.034 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](c2nccc(C(F)(F)F)n2)C1 ZINC000933716285 714654026 /nfs/dbraw/zinc/65/40/26/714654026.db2.gz CJKKHVVNVGKYIH-JTQLQIEISA-N 1 2 312.295 1.034 20 30 DDEDLO N#CCNC(=O)C[NH+]1CCC(C(=O)c2ccccc2Cl)CC1 ZINC000934424772 714818434 /nfs/dbraw/zinc/81/84/34/714818434.db2.gz YMOJOGNNHPBLTI-UHFFFAOYSA-N 1 2 319.792 1.874 20 30 DDEDLO C=CC[C@H]1CC[N@H+]1CC(=O)N[C@@H](Cc1ccccc1)C(C)=O ZINC000934470055 714828176 /nfs/dbraw/zinc/82/81/76/714828176.db2.gz CBCZJCONAZAPDV-IRXDYDNUSA-N 1 2 300.402 1.953 20 30 DDEDLO C=CC[C@H]1CC[N@@H+]1CC(=O)N[C@@H](Cc1ccccc1)C(C)=O ZINC000934470055 714828181 /nfs/dbraw/zinc/82/81/81/714828181.db2.gz CBCZJCONAZAPDV-IRXDYDNUSA-N 1 2 300.402 1.953 20 30 DDEDLO C#CCOCC[N@H+]1CCN(C(=O)OC(C)(C)C)C[C@H]1COC ZINC000934853199 714916905 /nfs/dbraw/zinc/91/69/05/714916905.db2.gz OIJHGRZOVCRJLJ-AWEZNQCLSA-N 1 2 312.410 1.204 20 30 DDEDLO C#CCOCC[N@@H+]1CCN(C(=O)OC(C)(C)C)C[C@H]1COC ZINC000934853199 714916908 /nfs/dbraw/zinc/91/69/08/714916908.db2.gz OIJHGRZOVCRJLJ-AWEZNQCLSA-N 1 2 312.410 1.204 20 30 DDEDLO CC[C@H]([NH2+][C@H]1CCc2nnnn2CC1)c1cccc(C#N)c1O ZINC000926608296 715096371 /nfs/dbraw/zinc/09/63/71/715096371.db2.gz YKWVNSUARZLWJR-JSGCOSHPSA-N 1 2 312.377 1.696 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000935934984 715138822 /nfs/dbraw/zinc/13/88/22/715138822.db2.gz SWLDABCVOROGLY-ZBFHGGJFSA-N 1 2 313.401 1.802 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+]([C@@H]2CCN(C(C)=O)C2)CC1 ZINC000956601023 715484436 /nfs/dbraw/zinc/48/44/36/715484436.db2.gz KFJMXIPHLMFDBC-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+]([C@H]3CCN(C(C)=O)C3)CC2)C1 ZINC000956603906 715485219 /nfs/dbraw/zinc/48/52/19/715485219.db2.gz FOQPCWHMMZFMDS-HNNXBMFYSA-N 1 2 305.422 1.108 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2n[nH]c3c2C[C@@H](C)CC3)C1 ZINC000957075640 715735206 /nfs/dbraw/zinc/73/52/06/715735206.db2.gz ZQNHYWOYTYUHOK-ZDUSSCGKSA-N 1 2 314.433 1.704 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1CC[NH+](CC(=C)C)CC1 ZINC000957135091 715763649 /nfs/dbraw/zinc/76/36/49/715763649.db2.gz XCEOWLZDYANOIZ-UHFFFAOYSA-N 1 2 301.390 1.980 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCc2ccccc2C(N)=O)CC1 ZINC000957325348 715836855 /nfs/dbraw/zinc/83/68/55/715836855.db2.gz KMXBAORXZDFRAR-UHFFFAOYSA-N 1 2 301.390 1.048 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3nnc(C)n3C2)C1 ZINC000957416186 715891651 /nfs/dbraw/zinc/89/16/51/715891651.db2.gz OLSCOAHJKFDTAH-CQSZACIVSA-N 1 2 317.437 1.258 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cccc3[nH]c(=O)oc32)C1 ZINC000957473520 715917376 /nfs/dbraw/zinc/91/73/76/715917376.db2.gz JCADSGIDIPCNQG-UHFFFAOYSA-N 1 2 313.357 1.703 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2ccccc2O)[C@H](O)C1 ZINC000957887524 716218164 /nfs/dbraw/zinc/21/81/64/716218164.db2.gz ZUYRNMJJAOTHMB-BXUZGUMPSA-N 1 2 310.781 1.167 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2ccccc2O)[C@H](O)C1 ZINC000957887524 716218172 /nfs/dbraw/zinc/21/81/72/716218172.db2.gz ZUYRNMJJAOTHMB-BXUZGUMPSA-N 1 2 310.781 1.167 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)oc1C ZINC000960488781 716577491 /nfs/dbraw/zinc/57/74/91/716577491.db2.gz DIFOIVXHDPVYPT-SMDDNHRTSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)oc1C ZINC000960488781 716577494 /nfs/dbraw/zinc/57/74/94/716577494.db2.gz DIFOIVXHDPVYPT-SMDDNHRTSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1conc1C[N@H+](C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960612083 716629940 /nfs/dbraw/zinc/62/99/40/716629940.db2.gz DYFAHKZVRFUICU-HNNXBMFYSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1conc1C[N@@H+](C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960612083 716629943 /nfs/dbraw/zinc/62/99/43/716629943.db2.gz DYFAHKZVRFUICU-HNNXBMFYSA-N 1 2 301.390 1.819 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC000960828139 716705448 /nfs/dbraw/zinc/70/54/48/716705448.db2.gz ROWKLKMYNAJEGJ-NVXWUHKLSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC000960828139 716705449 /nfs/dbraw/zinc/70/54/49/716705449.db2.gz ROWKLKMYNAJEGJ-NVXWUHKLSA-N 1 2 319.453 1.840 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H]2C[N@@H+](C)Cc2ccon2)cn1 ZINC000960974939 716752947 /nfs/dbraw/zinc/75/29/47/716752947.db2.gz DAHUFDURXYTOEJ-KRWDZBQOSA-N 1 2 324.384 1.788 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H]2C[N@H+](C)Cc2ccon2)cn1 ZINC000960974939 716752951 /nfs/dbraw/zinc/75/29/51/716752951.db2.gz DAHUFDURXYTOEJ-KRWDZBQOSA-N 1 2 324.384 1.788 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3cc[nH]c3CC)CC2)C1 ZINC000941396129 717169229 /nfs/dbraw/zinc/16/92/29/717169229.db2.gz YFOSHZMDHMFDPQ-UHFFFAOYSA-N 1 2 314.433 1.042 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCN(C3C[NH+](CC#CC)C3)CC2)cc1 ZINC000941512501 717189677 /nfs/dbraw/zinc/18/96/77/717189677.db2.gz KTFXLFZNSRTUNO-UHFFFAOYSA-N 1 2 321.424 1.133 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H](C)c3ccc(C)o3)CC2)C1 ZINC000941596720 717223663 /nfs/dbraw/zinc/22/36/63/717223663.db2.gz ODDINHCFYFMSEO-OAHLLOKOSA-N 1 2 315.417 1.153 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3CCCC[C@H]3C)CC2)C1 ZINC000941681970 717255122 /nfs/dbraw/zinc/25/51/22/717255122.db2.gz AYIIZGRWJBHPSM-IAGOWNOFSA-N 1 2 317.477 1.664 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ncccn2)CC[C@@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941963062 717409594 /nfs/dbraw/zinc/40/95/94/717409594.db2.gz IYWNORLCMFRLRN-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ncccn2)CC[C@@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000941963062 717409598 /nfs/dbraw/zinc/40/95/98/717409598.db2.gz IYWNORLCMFRLRN-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3nncn3C)C[C@H]2C)C1 ZINC000942603086 717772690 /nfs/dbraw/zinc/77/26/90/717772690.db2.gz RZLNWZOFPCZMHC-ZIAGYGMSSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3nncn3C)C[C@H]2C)C1 ZINC000942603086 717772691 /nfs/dbraw/zinc/77/26/91/717772691.db2.gz RZLNWZOFPCZMHC-ZIAGYGMSSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000967409085 718876504 /nfs/dbraw/zinc/87/65/04/718876504.db2.gz QCBFSJAHAHKIDT-BXUZGUMPSA-N 1 2 323.824 1.909 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000967409085 718876509 /nfs/dbraw/zinc/87/65/09/718876509.db2.gz QCBFSJAHAHKIDT-BXUZGUMPSA-N 1 2 323.824 1.909 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cnc(C)n2C)C1 ZINC000968015015 719123710 /nfs/dbraw/zinc/12/37/10/719123710.db2.gz GWNNLCLOSBIHLJ-GXFFZTMASA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cnc(C)n2C)C1 ZINC000968015015 719123712 /nfs/dbraw/zinc/12/37/12/719123712.db2.gz GWNNLCLOSBIHLJ-GXFFZTMASA-N 1 2 310.829 1.921 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2C[N@@H+](CC(=C)Cl)CC[C@H]2C)nn1 ZINC000968405538 719564316 /nfs/dbraw/zinc/56/43/16/719564316.db2.gz NMCLCYHRFRCLEY-DGCLKSJQSA-N 1 2 323.828 1.657 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2C[N@H+](CC(=C)Cl)CC[C@H]2C)nn1 ZINC000968405538 719564319 /nfs/dbraw/zinc/56/43/19/719564319.db2.gz NMCLCYHRFRCLEY-DGCLKSJQSA-N 1 2 323.828 1.657 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1coc(C)n1 ZINC000948912767 719782809 /nfs/dbraw/zinc/78/28/09/719782809.db2.gz JVFRKJOFVHGULY-KRWDZBQOSA-N 1 2 309.369 1.945 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1coc(C)n1 ZINC000948912767 719782811 /nfs/dbraw/zinc/78/28/11/719782811.db2.gz JVFRKJOFVHGULY-KRWDZBQOSA-N 1 2 309.369 1.945 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1[nH]cnc1CC ZINC000948983341 719823164 /nfs/dbraw/zinc/82/31/64/719823164.db2.gz ZPSJUWBZDZNMTL-KRWDZBQOSA-N 1 2 322.412 1.935 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1[nH]cnc1CC ZINC000948983341 719823168 /nfs/dbraw/zinc/82/31/68/719823168.db2.gz ZPSJUWBZDZNMTL-KRWDZBQOSA-N 1 2 322.412 1.935 20 30 DDEDLO C=C(Br)C[NH+]1CCC2(CC1)CN(C(C)=O)CCO2 ZINC000948989758 719827295 /nfs/dbraw/zinc/82/72/95/719827295.db2.gz MQTXCAMLTIMLTQ-UHFFFAOYSA-N 1 2 317.227 1.608 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2ccc(C)c(OC)c2)CC1 ZINC000949201052 719955070 /nfs/dbraw/zinc/95/50/70/719955070.db2.gz CICISYFIEJRCFX-UHFFFAOYSA-N 1 2 300.402 1.714 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccccc3F)CC2)C1 ZINC000949282619 719992173 /nfs/dbraw/zinc/99/21/73/719992173.db2.gz PUVHUAIOPYAUMQ-UHFFFAOYSA-N 1 2 316.376 1.766 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccccc3F)CC2)C1 ZINC000949282619 719992176 /nfs/dbraw/zinc/99/21/76/719992176.db2.gz PUVHUAIOPYAUMQ-UHFFFAOYSA-N 1 2 316.376 1.766 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3CCCCC3)CC2)C1 ZINC000949285630 719993942 /nfs/dbraw/zinc/99/39/42/719993942.db2.gz OIMOMGSJULJFRV-UHFFFAOYSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3CCCCC3)CC2)C1 ZINC000949285630 719993945 /nfs/dbraw/zinc/99/39/45/719993945.db2.gz OIMOMGSJULJFRV-UHFFFAOYSA-N 1 2 304.434 1.893 20 30 DDEDLO C=C(C)C[N@@H+]1CCOC2(CCN(C(=O)c3cnc(C)[nH]3)CC2)C1 ZINC000949320123 720015637 /nfs/dbraw/zinc/01/56/37/720015637.db2.gz FZIKBVXJHHJABY-UHFFFAOYSA-N 1 2 318.421 1.601 20 30 DDEDLO C=C(C)C[N@H+]1CCOC2(CCN(C(=O)c3cnc(C)[nH]3)CC2)C1 ZINC000949320123 720015640 /nfs/dbraw/zinc/01/56/40/720015640.db2.gz FZIKBVXJHHJABY-UHFFFAOYSA-N 1 2 318.421 1.601 20 30 DDEDLO CC[C@@H](F)C[N@@H+]1CCOC2(CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000949320478 720015724 /nfs/dbraw/zinc/01/57/24/720015724.db2.gz NUZXWZGBNIRMDM-UONOGXRCSA-N 1 2 311.401 1.588 20 30 DDEDLO CC[C@@H](F)C[N@H+]1CCOC2(CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000949320478 720015725 /nfs/dbraw/zinc/01/57/25/720015725.db2.gz NUZXWZGBNIRMDM-UONOGXRCSA-N 1 2 311.401 1.588 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccc3oc(=O)[nH]c32)CC1 ZINC000949323245 720017044 /nfs/dbraw/zinc/01/70/44/720017044.db2.gz BJZMYWLWFOKFTA-UHFFFAOYSA-N 1 2 301.346 1.867 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ncoc3CC)CC2)C1 ZINC000949326391 720018086 /nfs/dbraw/zinc/01/80/86/720018086.db2.gz QLWPKWCEBOJTRB-UHFFFAOYSA-N 1 2 317.389 1.177 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ncoc3CC)CC2)C1 ZINC000949326391 720018090 /nfs/dbraw/zinc/01/80/90/720018090.db2.gz QLWPKWCEBOJTRB-UHFFFAOYSA-N 1 2 317.389 1.177 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CC3=CCOCC3)CC2)C1 ZINC000949458668 720102051 /nfs/dbraw/zinc/10/20/51/720102051.db2.gz MUCCGROBJWGWEK-UHFFFAOYSA-N 1 2 318.417 1.050 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CC3=CCOCC3)CC2)C1 ZINC000949458668 720102055 /nfs/dbraw/zinc/10/20/55/720102055.db2.gz MUCCGROBJWGWEK-UHFFFAOYSA-N 1 2 318.417 1.050 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CC(=O)N(C(C)(C)C)C2)C1 ZINC000950458032 720641724 /nfs/dbraw/zinc/64/17/24/720641724.db2.gz MWWOHEWOFKPKOP-CYBMUJFWSA-N 1 2 307.438 1.352 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2nn3c(c2C)OCCC3)C1 ZINC000950480844 720651724 /nfs/dbraw/zinc/65/17/24/720651724.db2.gz UINKXKSUQLVPAV-UHFFFAOYSA-N 1 2 316.405 1.144 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000950917417 720826969 /nfs/dbraw/zinc/82/69/69/720826969.db2.gz WLCCTJHARDOGEA-AWEZNQCLSA-N 1 2 322.368 1.307 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2coc(COC)n2)C1 ZINC000970663464 720875864 /nfs/dbraw/zinc/87/58/64/720875864.db2.gz VKRJCVYJFUJIKU-SNVBAGLBSA-N 1 2 313.785 1.624 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)C2CN(Cc3c[nH+]cn3C)C2)cc1 ZINC000951117569 720924366 /nfs/dbraw/zinc/92/43/66/720924366.db2.gz YGNXBWHHTHJDRH-UHFFFAOYSA-N 1 2 322.412 1.748 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C2C[NH+](CC(=C)Cl)C2)nc1 ZINC000970763617 720926585 /nfs/dbraw/zinc/92/65/85/720926585.db2.gz MMXDOSSYEDOHJH-LBPRGKRZSA-N 1 2 303.793 1.866 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC000970807370 720943204 /nfs/dbraw/zinc/94/32/04/720943204.db2.gz VHRYKKIIQUWDMR-DGCLKSJQSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC000951781983 721180307 /nfs/dbraw/zinc/18/03/07/721180307.db2.gz UFRYVRDKMYHOCJ-HUUCEWRRSA-N 1 2 302.422 1.632 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnn3cc(OC)ccc23)CC1 ZINC000951784285 721181954 /nfs/dbraw/zinc/18/19/54/721181954.db2.gz SWBFJBYCTFUBPQ-UHFFFAOYSA-N 1 2 300.362 1.287 20 30 DDEDLO C[N@H+](Cc1cc2n(n1)CCC2)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971511700 721332783 /nfs/dbraw/zinc/33/27/83/721332783.db2.gz PASYLBHTWGEGRP-QGZVFWFLSA-N 1 2 312.417 1.275 20 30 DDEDLO C[N@@H+](Cc1cc2n(n1)CCC2)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971511700 721332786 /nfs/dbraw/zinc/33/27/86/721332786.db2.gz PASYLBHTWGEGRP-QGZVFWFLSA-N 1 2 312.417 1.275 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H](C)n3cccn3)C2)C1 ZINC000972628427 735378052 /nfs/dbraw/zinc/37/80/52/735378052.db2.gz RJQSWHFUNXFJCD-RDJZCZTQSA-N 1 2 318.421 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H](C)n3cccn3)C2)C1 ZINC000972628427 735378059 /nfs/dbraw/zinc/37/80/59/735378059.db2.gz RJQSWHFUNXFJCD-RDJZCZTQSA-N 1 2 318.421 1.324 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1cn[nH]c1 ZINC000972833611 735673076 /nfs/dbraw/zinc/67/30/76/735673076.db2.gz AKWKBITZEZPIBE-INIZCTEOSA-N 1 2 323.400 1.922 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1cn[nH]c1 ZINC000972833611 735673078 /nfs/dbraw/zinc/67/30/78/735673078.db2.gz AKWKBITZEZPIBE-INIZCTEOSA-N 1 2 323.400 1.922 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@H]1CNC(=O)c1scnc1COC ZINC001024697356 736027143 /nfs/dbraw/zinc/02/71/43/736027143.db2.gz WUHZHZMZABKBJK-ZDUSSCGKSA-N 1 2 321.446 1.897 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1scnc1COC ZINC001024697356 736027147 /nfs/dbraw/zinc/02/71/47/736027147.db2.gz WUHZHZMZABKBJK-ZDUSSCGKSA-N 1 2 321.446 1.897 20 30 DDEDLO CC1(C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H]2CCCN(CC#N)[C@@H]21 ZINC001087309357 736036876 /nfs/dbraw/zinc/03/68/76/736036876.db2.gz FYJQWPZYYFQGIL-DFBGVHRSSA-N 1 2 301.394 1.081 20 30 DDEDLO N#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001025273694 736401560 /nfs/dbraw/zinc/40/15/60/736401560.db2.gz GTOLAJCPCWNQNY-LSDHHAIUSA-N 1 2 301.394 1.321 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCCC(C)(C)C3)[C@H]2C1 ZINC001083287105 737019965 /nfs/dbraw/zinc/01/99/65/737019965.db2.gz RGYJTJMXDGTAOW-OWCLPIDISA-N 1 2 304.434 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCCC(C)(C)C3)[C@H]2C1 ZINC001083287105 737019968 /nfs/dbraw/zinc/01/99/68/737019968.db2.gz RGYJTJMXDGTAOW-OWCLPIDISA-N 1 2 304.434 1.748 20 30 DDEDLO C=CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC001025908780 737022026 /nfs/dbraw/zinc/02/20/26/737022026.db2.gz XVCNPOMXZNPJLH-BBJCOLAGSA-N 1 2 300.406 1.308 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC001025908780 737022028 /nfs/dbraw/zinc/02/20/28/737022028.db2.gz XVCNPOMXZNPJLH-BBJCOLAGSA-N 1 2 300.406 1.308 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104766294 737181513 /nfs/dbraw/zinc/18/15/13/737181513.db2.gz GRLBCOOOZXEUOR-LLVKDONJSA-N 1 2 320.441 1.717 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3ccc(C=C)cc3)C2)nn1 ZINC001105335223 737964389 /nfs/dbraw/zinc/96/43/89/737964389.db2.gz UZWULGTUMQGDEL-UHFFFAOYSA-N 1 2 321.384 1.341 20 30 DDEDLO N#Cc1cc(C[N@@H+]2C[C@@H](NC(=O)CC3CC3)[C@@H](O)C2)ccc1F ZINC001083307444 738323923 /nfs/dbraw/zinc/32/39/23/738323923.db2.gz GIXWTXKLOQZMND-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1cc(C[N@H+]2C[C@@H](NC(=O)CC3CC3)[C@@H](O)C2)ccc1F ZINC001083307444 738323925 /nfs/dbraw/zinc/32/39/25/738323925.db2.gz GIXWTXKLOQZMND-CVEARBPZSA-N 1 2 317.364 1.159 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnc2cccnn21 ZINC001028186902 739136809 /nfs/dbraw/zinc/13/68/09/739136809.db2.gz WDFLCRQRQHQSIS-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnc2cccnn21 ZINC001028186902 739136811 /nfs/dbraw/zinc/13/68/11/739136811.db2.gz WDFLCRQRQHQSIS-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnn(C)c1OC ZINC001028289240 739297044 /nfs/dbraw/zinc/29/70/44/739297044.db2.gz UIYZIPQDBMYQDI-LLVKDONJSA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnn(C)c1OC ZINC001028289240 739297046 /nfs/dbraw/zinc/29/70/46/739297046.db2.gz UIYZIPQDBMYQDI-LLVKDONJSA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)nc1 ZINC001028347781 739384420 /nfs/dbraw/zinc/38/44/20/739384420.db2.gz CPRXIVYDQXPBPB-LBPRGKRZSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)nc1 ZINC001028347781 739384426 /nfs/dbraw/zinc/38/44/26/739384426.db2.gz CPRXIVYDQXPBPB-LBPRGKRZSA-N 1 2 322.796 1.127 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnn2C2CCCC2)C1 ZINC001035387256 751456659 /nfs/dbraw/zinc/45/66/59/751456659.db2.gz ZLQHEGLGNVNLPM-HNNXBMFYSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccnn2C2CCCC2)C1 ZINC001035387256 751456666 /nfs/dbraw/zinc/45/66/66/751456666.db2.gz ZLQHEGLGNVNLPM-HNNXBMFYSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(F)c(F)c2)C1 ZINC001035398340 751468240 /nfs/dbraw/zinc/46/82/40/751468240.db2.gz MDIQMTHCYFYUDN-ZDUSSCGKSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(F)c(F)c2)C1 ZINC001035398340 751468244 /nfs/dbraw/zinc/46/82/44/751468244.db2.gz MDIQMTHCYFYUDN-ZDUSSCGKSA-N 1 2 310.344 1.972 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001083345374 739720673 /nfs/dbraw/zinc/72/06/73/739720673.db2.gz GWRORRDZAYCIBV-CVEARBPZSA-N 1 2 320.820 1.509 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001083345374 739720674 /nfs/dbraw/zinc/72/06/74/739720674.db2.gz GWRORRDZAYCIBV-CVEARBPZSA-N 1 2 320.820 1.509 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+](Cc3nncn3C)C2)cc1 ZINC001028730382 739968687 /nfs/dbraw/zinc/96/86/87/739968687.db2.gz IFLMLBGKZUXXJK-OAHLLOKOSA-N 1 2 323.400 1.048 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+](Cc3nncn3C)C2)cc1 ZINC001028730382 739968690 /nfs/dbraw/zinc/96/86/90/739968690.db2.gz IFLMLBGKZUXXJK-OAHLLOKOSA-N 1 2 323.400 1.048 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114896084 751497440 /nfs/dbraw/zinc/49/74/40/751497440.db2.gz IZGAJPROLQMLEL-JYAVWHMHSA-N 1 2 318.421 1.781 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114896084 751497447 /nfs/dbraw/zinc/49/74/47/751497447.db2.gz IZGAJPROLQMLEL-JYAVWHMHSA-N 1 2 318.421 1.781 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)onc2C2CC2)C1 ZINC001035454992 751503320 /nfs/dbraw/zinc/50/33/20/751503320.db2.gz KWPRJFDAEGWYJZ-CQSZACIVSA-N 1 2 317.389 1.314 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(C)onc2C2CC2)C1 ZINC001035454992 751503325 /nfs/dbraw/zinc/50/33/25/751503325.db2.gz KWPRJFDAEGWYJZ-CQSZACIVSA-N 1 2 317.389 1.314 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001035487757 751541473 /nfs/dbraw/zinc/54/14/73/751541473.db2.gz MZZQTNARGHGEQQ-JKSUJKDBSA-N 1 2 315.417 1.505 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001035487757 751541477 /nfs/dbraw/zinc/54/14/77/751541477.db2.gz MZZQTNARGHGEQQ-JKSUJKDBSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC)cc2C)C1 ZINC001035501997 751557771 /nfs/dbraw/zinc/55/77/71/751557771.db2.gz MUWOEEZQNHIPMJ-OAHLLOKOSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC)cc2C)C1 ZINC001035501997 751557774 /nfs/dbraw/zinc/55/77/74/751557774.db2.gz MUWOEEZQNHIPMJ-OAHLLOKOSA-N 1 2 304.390 1.620 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3C/C=C\Cl)[nH]1 ZINC001029275316 740513629 /nfs/dbraw/zinc/51/36/29/740513629.db2.gz AICJTKLWWLMXRJ-HBSDKVOLSA-N 1 2 304.781 1.928 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3C/C=C\Cl)[nH]1 ZINC001029275316 740513630 /nfs/dbraw/zinc/51/36/30/740513630.db2.gz AICJTKLWWLMXRJ-HBSDKVOLSA-N 1 2 304.781 1.928 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](Nc2ccc(C#N)nc2)C1 ZINC001059272026 740528960 /nfs/dbraw/zinc/52/89/60/740528960.db2.gz MBHHQHVJWLJGFN-HDJSIYSDSA-N 1 2 310.361 1.287 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cnn(C)c1 ZINC001032605665 751566725 /nfs/dbraw/zinc/56/67/25/751566725.db2.gz FNLNJWKSNKKPCO-ZOBUZTSGSA-N 1 2 302.422 1.808 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cnn(C)c1 ZINC001032605665 751566732 /nfs/dbraw/zinc/56/67/32/751566732.db2.gz FNLNJWKSNKKPCO-ZOBUZTSGSA-N 1 2 302.422 1.808 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnc(OC)n2)[C@H]1CC ZINC001087653547 740696404 /nfs/dbraw/zinc/69/64/04/740696404.db2.gz DERIFAJABSWKAN-WCQYABFASA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnc(OC)n2)[C@H]1CC ZINC001087653547 740696405 /nfs/dbraw/zinc/69/64/05/740696405.db2.gz DERIFAJABSWKAN-WCQYABFASA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@H](CC)C(N)=O ZINC001029419704 740751439 /nfs/dbraw/zinc/75/14/39/740751439.db2.gz JGGMQJPHALSSJV-HZSPNIEDSA-N 1 2 307.438 1.528 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@H](CC)C(N)=O ZINC001029419704 740751440 /nfs/dbraw/zinc/75/14/40/740751440.db2.gz JGGMQJPHALSSJV-HZSPNIEDSA-N 1 2 307.438 1.528 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C(C)(C)C3CC3)C2)nn1 ZINC001098706156 740768745 /nfs/dbraw/zinc/76/87/45/740768745.db2.gz TWCGWUFJONXREC-HNNXBMFYSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2oc(C(C)C)nc2C)C1 ZINC001035537769 751582809 /nfs/dbraw/zinc/58/28/09/751582809.db2.gz KBVMENKMURTAMO-AWEZNQCLSA-N 1 2 319.405 1.560 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2oc(C(C)C)nc2C)C1 ZINC001035537769 751582812 /nfs/dbraw/zinc/58/28/12/751582812.db2.gz KBVMENKMURTAMO-AWEZNQCLSA-N 1 2 319.405 1.560 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2coc(CCC)n2)C1 ZINC001035573525 751627735 /nfs/dbraw/zinc/62/77/35/751627735.db2.gz DDMUFYOGCSQFMI-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2coc(CCC)n2)C1 ZINC001035573525 751627740 /nfs/dbraw/zinc/62/77/40/751627740.db2.gz DDMUFYOGCSQFMI-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(OC)c2F)C1 ZINC001035554013 751635911 /nfs/dbraw/zinc/63/59/11/751635911.db2.gz MOGSHYHIHVRGBA-CYBMUJFWSA-N 1 2 320.364 1.288 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccc(OC)c2F)C1 ZINC001035554013 751635915 /nfs/dbraw/zinc/63/59/15/751635915.db2.gz MOGSHYHIHVRGBA-CYBMUJFWSA-N 1 2 320.364 1.288 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(CCC)on2)C1 ZINC001035561970 751643841 /nfs/dbraw/zinc/64/38/41/751643841.db2.gz HCALKTNLRLCJJO-CQSZACIVSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(CCC)on2)C1 ZINC001035561970 751643842 /nfs/dbraw/zinc/64/38/42/751643842.db2.gz HCALKTNLRLCJJO-CQSZACIVSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(CC(C)C)c2)C1 ZINC001035557232 751638720 /nfs/dbraw/zinc/63/87/20/751638720.db2.gz HJMKCSZPXBATII-HNNXBMFYSA-N 1 2 306.410 1.156 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnn(CC(C)C)c2)C1 ZINC001035557232 751638725 /nfs/dbraw/zinc/63/87/25/751638725.db2.gz HJMKCSZPXBATII-HNNXBMFYSA-N 1 2 306.410 1.156 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OCC)cc2)C1 ZINC001035595479 751652009 /nfs/dbraw/zinc/65/20/09/751652009.db2.gz HMTPTIQGFNJZMA-MRXNPFEDSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(OCC)cc2)C1 ZINC001035595479 751652012 /nfs/dbraw/zinc/65/20/12/751652012.db2.gz HMTPTIQGFNJZMA-MRXNPFEDSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001035597095 751653560 /nfs/dbraw/zinc/65/35/60/751653560.db2.gz ITKJKIQRSQPNKE-HNNXBMFYSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001035597095 751653563 /nfs/dbraw/zinc/65/35/63/751653563.db2.gz ITKJKIQRSQPNKE-HNNXBMFYSA-N 1 2 310.344 1.972 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(-n2cccn2)c1 ZINC001032621149 751676126 /nfs/dbraw/zinc/67/61/26/751676126.db2.gz SJCCTLBFVQRTIQ-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(-n2cccn2)c1 ZINC001032621149 751676128 /nfs/dbraw/zinc/67/61/28/751676128.db2.gz SJCCTLBFVQRTIQ-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc3[nH]ccc3s2)C1 ZINC001035585800 751678631 /nfs/dbraw/zinc/67/86/31/751678631.db2.gz BYHVOPUPPLTLOB-LLVKDONJSA-N 1 2 305.403 1.846 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc3[nH]ccc3s2)C1 ZINC001035585800 751678634 /nfs/dbraw/zinc/67/86/34/751678634.db2.gz BYHVOPUPPLTLOB-LLVKDONJSA-N 1 2 305.403 1.846 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2C(C)(C)C2(C)C)[C@H](OC)C1 ZINC001212073678 741973125 /nfs/dbraw/zinc/97/31/25/741973125.db2.gz LCJBAKSRFMUPBV-ZIAGYGMSSA-N 1 2 322.449 1.134 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2C(C)(C)C2(C)C)[C@H](OC)C1 ZINC001212073678 741973127 /nfs/dbraw/zinc/97/31/27/741973127.db2.gz LCJBAKSRFMUPBV-ZIAGYGMSSA-N 1 2 322.449 1.134 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1OC ZINC001212085790 741987386 /nfs/dbraw/zinc/98/73/86/741987386.db2.gz WXZPXAXHJOCPMC-VTGPQGOBSA-N 1 2 316.829 1.537 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1OC ZINC001212085790 741987389 /nfs/dbraw/zinc/98/73/89/741987389.db2.gz WXZPXAXHJOCPMC-VTGPQGOBSA-N 1 2 316.829 1.537 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H](C)CCC)C2)nn1 ZINC001098645456 741988792 /nfs/dbraw/zinc/98/87/92/741988792.db2.gz MVSLTOOGYDJQGW-DZGCQCFKSA-N 1 2 303.410 1.211 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N2CC=CC2)cc1 ZINC001032624063 751698214 /nfs/dbraw/zinc/69/82/14/751698214.db2.gz WXSPAVIGLQVUBU-OALUTQOASA-N 1 2 321.424 1.985 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N2CC=CC2)cc1 ZINC001032624063 751698219 /nfs/dbraw/zinc/69/82/19/751698219.db2.gz WXSPAVIGLQVUBU-OALUTQOASA-N 1 2 321.424 1.985 20 30 DDEDLO Cc1nc(NC[C@@H]2CCC[C@@H]2NC(=O)CSCC#N)cc[nH+]1 ZINC001105638982 742237529 /nfs/dbraw/zinc/23/75/29/742237529.db2.gz MLVPQZXJMOMAKH-STQMWFEESA-N 1 2 319.434 1.739 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnco2)CC[N@@H+]1Cc1ccccc1C#N ZINC001088779123 742350554 /nfs/dbraw/zinc/35/05/54/742350554.db2.gz NNQKVPKKQLQXMH-DOMZBBRYSA-N 1 2 310.357 1.939 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnco2)CC[N@H+]1Cc1ccccc1C#N ZINC001088779123 742350559 /nfs/dbraw/zinc/35/05/59/742350559.db2.gz NNQKVPKKQLQXMH-DOMZBBRYSA-N 1 2 310.357 1.939 20 30 DDEDLO N#CCN1C[C@@H]2CCN(C(=O)c3cccc(-n4cc[nH+]c4)c3)[C@@H]2C1 ZINC001076421800 742722805 /nfs/dbraw/zinc/72/28/05/742722805.db2.gz GHANGDMUBRROJG-DOTOQJQBSA-N 1 2 321.384 1.542 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001076794863 743000701 /nfs/dbraw/zinc/00/07/01/743000701.db2.gz WKWZYYNXFRLFNJ-LBPRGKRZSA-N 1 2 306.410 1.628 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(C(=O)CSCC#N)C1)c1csnn1 ZINC001181107213 743061374 /nfs/dbraw/zinc/06/13/74/743061374.db2.gz SPRIHLSMIPPBFN-VHSXEESVSA-N 1 2 311.436 1.046 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NCC[NH2+]Cc1nc(C2CC2)no1 ZINC001128189653 743219775 /nfs/dbraw/zinc/21/97/75/743219775.db2.gz ADYDKCVXJYTMBC-UHFFFAOYSA-N 1 2 312.801 1.932 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001061104398 743240779 /nfs/dbraw/zinc/24/07/79/743240779.db2.gz CHMIDFLMZOEVIU-UONOGXRCSA-N 1 2 324.388 1.758 20 30 DDEDLO CCC(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C[C@H]1O ZINC001089981176 743368530 /nfs/dbraw/zinc/36/85/30/743368530.db2.gz PKRBPUCFPPTIRW-LSDHHAIUSA-N 1 2 305.353 1.159 20 30 DDEDLO CCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C[C@H]1O ZINC001089981176 743368546 /nfs/dbraw/zinc/36/85/46/743368546.db2.gz PKRBPUCFPPTIRW-LSDHHAIUSA-N 1 2 305.353 1.159 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001061136228 743389150 /nfs/dbraw/zinc/38/91/50/743389150.db2.gz LWGCLFAJYQTXQW-OLZOCXBDSA-N 1 2 324.388 1.758 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)COCCC)CC2)C1 ZINC001105697104 743520788 /nfs/dbraw/zinc/52/07/88/743520788.db2.gz OBAJKMLBDXQWEF-UHFFFAOYSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)C3(C)CC3)CC2)C1 ZINC001105708362 743615206 /nfs/dbraw/zinc/61/52/06/743615206.db2.gz CWBSHUOWHKRVKF-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ncsc2C(F)(F)F)[C@@H](O)C1 ZINC001083638107 743649263 /nfs/dbraw/zinc/64/92/63/743649263.db2.gz ANPRYGVNBAIAEE-SFYZADRCSA-N 1 2 321.324 1.123 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ncsc2C(F)(F)F)[C@@H](O)C1 ZINC001083638107 743649269 /nfs/dbraw/zinc/64/92/69/743649269.db2.gz ANPRYGVNBAIAEE-SFYZADRCSA-N 1 2 321.324 1.123 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001105726725 743763646 /nfs/dbraw/zinc/76/36/46/743763646.db2.gz QZYQGPGAAIIKRE-UHFFFAOYSA-N 1 2 310.438 1.273 20 30 DDEDLO Cc1ncsc1CC[NH+]1CC(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001030225540 743962703 /nfs/dbraw/zinc/96/27/03/743962703.db2.gz ZQWORXHLYPVWNK-UHFFFAOYSA-N 1 2 315.402 1.308 20 30 DDEDLO Cc1nc(NC[C@@H](O)CNC(=O)CC#Cc2ccccc2)cc[nH+]1 ZINC001105863268 743985599 /nfs/dbraw/zinc/98/55/99/743985599.db2.gz GRCVWKQIZKLLFB-MRXNPFEDSA-N 1 2 324.384 1.116 20 30 DDEDLO CCCC#CC(=O)N1CCc2c(C[N@@H+](C)C(C)C)n[nH]c2C1 ZINC001184267718 744006487 /nfs/dbraw/zinc/00/64/87/744006487.db2.gz MIZHKFOQUPFOKI-UHFFFAOYSA-N 1 2 302.422 1.938 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001185018860 744138452 /nfs/dbraw/zinc/13/84/52/744138452.db2.gz BNKGGFRTLCNVJW-CYBMUJFWSA-N 1 2 319.409 1.020 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cn(CC)nn1)CC2 ZINC001035824938 751904063 /nfs/dbraw/zinc/90/40/63/751904063.db2.gz SNZOCJYSPSOETB-UHFFFAOYSA-N 1 2 323.828 1.589 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001185950536 744312059 /nfs/dbraw/zinc/31/20/59/744312059.db2.gz BRVPSUSJCQODQE-GFCCVEGCSA-N 1 2 306.410 1.925 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)/C=C(\C)CC)C2)nn1 ZINC001185932123 744316353 /nfs/dbraw/zinc/31/63/53/744316353.db2.gz CYZSCSGRABYVQP-HFQYIWAZSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc3n[nH]nc3c2)[C@H]1C ZINC001089033331 744461208 /nfs/dbraw/zinc/46/12/08/744461208.db2.gz MGXCVIVZRZZACV-PWSUYJOCSA-N 1 2 319.796 1.903 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc3n[nH]nc3c2)[C@H]1C ZINC001089033331 744461209 /nfs/dbraw/zinc/46/12/09/744461209.db2.gz MGXCVIVZRZZACV-PWSUYJOCSA-N 1 2 319.796 1.903 20 30 DDEDLO Cn1nccc1C(=O)N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001006874047 751922505 /nfs/dbraw/zinc/92/25/05/751922505.db2.gz JSINXROLSCCJCE-KRWDZBQOSA-N 1 2 322.412 1.666 20 30 DDEDLO Cn1nccc1C(=O)N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001006874047 751922511 /nfs/dbraw/zinc/92/25/11/751922511.db2.gz JSINXROLSCCJCE-KRWDZBQOSA-N 1 2 322.412 1.666 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001188286816 744686987 /nfs/dbraw/zinc/68/69/87/744686987.db2.gz BMCDLTMIDGWNFH-CYBMUJFWSA-N 1 2 322.409 1.476 20 30 DDEDLO Cc1nc(N[C@H](C)C[C@H](C)NC(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001089250793 744786946 /nfs/dbraw/zinc/78/69/46/744786946.db2.gz BKXMQKQILIKHIC-MNOVXSKESA-N 1 2 312.377 1.994 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001188909120 744793145 /nfs/dbraw/zinc/79/31/45/744793145.db2.gz NNPVPPDNFACWKS-DZGCQCFKSA-N 1 2 318.446 1.764 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001188909120 744793148 /nfs/dbraw/zinc/79/31/48/744793148.db2.gz NNPVPPDNFACWKS-DZGCQCFKSA-N 1 2 318.446 1.764 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)nc1)NC(=O)CCn1cc[nH+]c1 ZINC001106520493 745766882 /nfs/dbraw/zinc/76/68/82/745766882.db2.gz HCQWUIKWTKRKKL-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2ccc(-c3cnn(C)c3)o2)C1 ZINC001031225674 745891463 /nfs/dbraw/zinc/89/14/63/745891463.db2.gz LQHJENWAVXDKBN-UHFFFAOYSA-N 1 2 300.362 1.670 20 30 DDEDLO CC(C)(C)[C@H](C[NH+]1CCOCC1)NC(=O)c1cnccc1C#N ZINC001192984005 745941756 /nfs/dbraw/zinc/94/17/56/745941756.db2.gz RXZLPPIZMZBOJS-HNNXBMFYSA-N 1 2 316.405 1.430 20 30 DDEDLO CCC[NH+]1CCN(S(=O)(=O)c2cc(OC)ccc2C#N)CC1 ZINC001193667717 746171959 /nfs/dbraw/zinc/17/19/59/746171959.db2.gz RIXXEYBSSDYHGR-UHFFFAOYSA-N 1 2 323.418 1.283 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccn(C)c(=O)c2)[C@@H]1C ZINC000993494653 746176131 /nfs/dbraw/zinc/17/61/31/746176131.db2.gz DVRNBYHTKXCBTO-JSGCOSHPSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccn(C)c(=O)c2)[C@@H]1C ZINC000993494653 746176134 /nfs/dbraw/zinc/17/61/34/746176134.db2.gz DVRNBYHTKXCBTO-JSGCOSHPSA-N 1 2 323.824 1.721 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc3c2CCCC3)[C@H]1C ZINC000993529545 746198846 /nfs/dbraw/zinc/19/88/46/746198846.db2.gz MCDPQGXUOKNIRC-UKRRQHHQSA-N 1 2 314.433 1.895 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]nc3c2CCCC3)[C@H]1C ZINC000993529545 746198849 /nfs/dbraw/zinc/19/88/49/746198849.db2.gz MCDPQGXUOKNIRC-UKRRQHHQSA-N 1 2 314.433 1.895 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)s2)[C@@H]1C ZINC000993975930 746407670 /nfs/dbraw/zinc/40/76/70/746407670.db2.gz SGUIOEZYJMRUSC-WDEREUQCSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)s2)[C@@H]1C ZINC000993975930 746407674 /nfs/dbraw/zinc/40/76/74/746407674.db2.gz SGUIOEZYJMRUSC-WDEREUQCSA-N 1 2 305.403 1.063 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC(N(C)c2cc[nH+]c(C)n2)CC1 ZINC001115138870 746549585 /nfs/dbraw/zinc/54/95/85/746549585.db2.gz GJPYZWNWCTUFEF-QGZVFWFLSA-N 1 2 318.421 1.539 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(F)c(Cl)c2F)[C@@H](O)C1 ZINC001083751655 746625094 /nfs/dbraw/zinc/62/50/94/746625094.db2.gz HXOWMRBQSKLTCH-MNOVXSKESA-N 1 2 314.719 1.026 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(F)c(Cl)c2F)[C@@H](O)C1 ZINC001083751655 746625091 /nfs/dbraw/zinc/62/50/91/746625091.db2.gz HXOWMRBQSKLTCH-MNOVXSKESA-N 1 2 314.719 1.026 20 30 DDEDLO C[C@H](C[C@H](C)Nc1ccc(C#N)nn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089380086 746757233 /nfs/dbraw/zinc/75/72/33/746757233.db2.gz HCVACKFCYOOBGX-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@@H+](CC(=O)N(C)CC)CC2)CCC1 ZINC001196139249 746781912 /nfs/dbraw/zinc/78/19/12/746781912.db2.gz VJSUPIHBQIIQDT-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@H+](CC(=O)N(C)CC)CC2)CCC1 ZINC001196139249 746781916 /nfs/dbraw/zinc/78/19/16/746781916.db2.gz VJSUPIHBQIIQDT-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC2CCCC2)C(C)C)C1 ZINC001196623584 746917473 /nfs/dbraw/zinc/91/74/73/746917473.db2.gz KCPSLKBRGOGCNA-BRWVUGGUSA-N 1 2 306.450 1.633 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC2CCCC2)C(C)C)C1 ZINC001196623584 746917478 /nfs/dbraw/zinc/91/74/78/746917478.db2.gz KCPSLKBRGOGCNA-BRWVUGGUSA-N 1 2 306.450 1.633 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2CC[C@@H](C)C2)CC1 ZINC001196790454 746963139 /nfs/dbraw/zinc/96/31/39/746963139.db2.gz LYNOYMKAVKEGHJ-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2CC[C@@H](C)C2)CC1 ZINC001196790454 746963144 /nfs/dbraw/zinc/96/31/44/746963144.db2.gz LYNOYMKAVKEGHJ-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CC(C)=C(C)C)CC1 ZINC001196781990 746973424 /nfs/dbraw/zinc/97/34/24/746973424.db2.gz DYUORUTXLDAAAK-UHFFFAOYSA-N 1 2 307.438 1.569 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CC(C)=C(C)C)CC1 ZINC001196781990 746973428 /nfs/dbraw/zinc/97/34/28/746973428.db2.gz DYUORUTXLDAAAK-UHFFFAOYSA-N 1 2 307.438 1.569 20 30 DDEDLO Cc1ncncc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031555839 747018399 /nfs/dbraw/zinc/01/83/99/747018399.db2.gz XGFPDHJKHSYMOW-UHFFFAOYSA-N 1 2 320.396 1.498 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1ccc(C#N)cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089489571 747082167 /nfs/dbraw/zinc/08/21/67/747082167.db2.gz IYVHKKMJBDGHDJ-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CCOCC[N@H+]1CCCN(C(=O)c2cc(C(C)C)[nH]n2)CC1 ZINC001197218802 747109363 /nfs/dbraw/zinc/10/93/63/747109363.db2.gz YBGBHIOWFDCEER-UHFFFAOYSA-N 1 2 320.437 1.884 20 30 DDEDLO C=CCOCC[N@@H+]1CCCN(C(=O)c2cc(C(C)C)[nH]n2)CC1 ZINC001197218802 747109367 /nfs/dbraw/zinc/10/93/67/747109367.db2.gz YBGBHIOWFDCEER-UHFFFAOYSA-N 1 2 320.437 1.884 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](CC)CC(C)C)CC1 ZINC001197738896 747255508 /nfs/dbraw/zinc/25/55/08/747255508.db2.gz RDWXBDSBHATHIH-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](CC)CC(C)C)CC1 ZINC001197738896 747255510 /nfs/dbraw/zinc/25/55/10/747255510.db2.gz RDWXBDSBHATHIH-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2sc(C)nc2C)CC1 ZINC001198054281 747348186 /nfs/dbraw/zinc/34/81/86/747348186.db2.gz QDGHIUPMPFEGSV-UHFFFAOYSA-N 1 2 321.446 1.558 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2sc(C)nc2C)CC1 ZINC001198054281 747348190 /nfs/dbraw/zinc/34/81/90/747348190.db2.gz QDGHIUPMPFEGSV-UHFFFAOYSA-N 1 2 321.446 1.558 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cn(Cc3ccccn3)cn2)CC1 ZINC001198369152 747467790 /nfs/dbraw/zinc/46/77/90/747467790.db2.gz ALPIRZAIBJHBLE-UHFFFAOYSA-N 1 2 311.389 1.270 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC000994825423 747585283 /nfs/dbraw/zinc/58/52/83/747585283.db2.gz GEOGZIBRQPQJMP-VXGBXAGGSA-N 1 2 302.378 1.052 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC000994825423 747585289 /nfs/dbraw/zinc/58/52/89/747585289.db2.gz GEOGZIBRQPQJMP-VXGBXAGGSA-N 1 2 302.378 1.052 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1O)c1ccccc1 ZINC001198956125 747660534 /nfs/dbraw/zinc/66/05/34/747660534.db2.gz PRDJXUVNKBJAEN-PBPRPIPASA-N 1 2 320.820 1.870 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1O)c1ccccc1 ZINC001198956125 747660536 /nfs/dbraw/zinc/66/05/36/747660536.db2.gz PRDJXUVNKBJAEN-PBPRPIPASA-N 1 2 320.820 1.870 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCCN2C(=O)C(C)C)C1 ZINC001044325410 747701148 /nfs/dbraw/zinc/70/11/48/747701148.db2.gz BXRAHIFHZWOBNH-INIZCTEOSA-N 1 2 321.465 1.742 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001032987614 747836032 /nfs/dbraw/zinc/83/60/32/747836032.db2.gz STXAFYJPXOANAK-TZMCWYRMSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CC[C@@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001032987614 747836042 /nfs/dbraw/zinc/83/60/42/747836042.db2.gz STXAFYJPXOANAK-TZMCWYRMSA-N 1 2 324.388 1.584 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2cncc(C)c2)C1 ZINC001108064602 748025378 /nfs/dbraw/zinc/02/53/78/748025378.db2.gz KJOQNPDFSJUODJ-SFHVURJKSA-N 1 2 317.433 1.716 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2cncc(C)c2)C1 ZINC001108064602 748025385 /nfs/dbraw/zinc/02/53/85/748025385.db2.gz KJOQNPDFSJUODJ-SFHVURJKSA-N 1 2 317.433 1.716 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001031884832 748117231 /nfs/dbraw/zinc/11/72/31/748117231.db2.gz PSISDXYPAVVXAF-UHFFFAOYSA-N 1 2 309.797 1.818 20 30 DDEDLO C#Cc1cncc(C(=O)N(CC)CCNc2cc[nH+]c(C)n2)c1 ZINC001106945809 748213164 /nfs/dbraw/zinc/21/31/64/748213164.db2.gz UYCRWXACOYTRQU-UHFFFAOYSA-N 1 2 309.373 1.736 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2C[C@H](C)Cc3c[nH]nc32)C1 ZINC001031922779 748219343 /nfs/dbraw/zinc/21/93/43/748219343.db2.gz JNUJQFBKYUVLHS-YGRLFVJLSA-N 1 2 322.840 1.876 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)[C@@H]2CCCOC2)CC1 ZINC001004371080 748373592 /nfs/dbraw/zinc/37/35/92/748373592.db2.gz IYJBHSHITHSXHU-HZPDHXFCSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)[C@@H]2CCCOC2)CC1 ZINC001004371080 748373595 /nfs/dbraw/zinc/37/35/95/748373595.db2.gz IYJBHSHITHSXHU-HZPDHXFCSA-N 1 2 305.422 1.640 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)cnn1 ZINC001004419364 748414244 /nfs/dbraw/zinc/41/42/44/748414244.db2.gz IBNLSDWNSPAPDA-MRXNPFEDSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)cnn1 ZINC001004419364 748414246 /nfs/dbraw/zinc/41/42/46/748414246.db2.gz IBNLSDWNSPAPDA-MRXNPFEDSA-N 1 2 313.405 1.625 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)CCOC)C1 ZINC001108317237 761889749 /nfs/dbraw/zinc/88/97/49/761889749.db2.gz HHCSQMMGFYQIOD-KRWDZBQOSA-N 1 2 310.438 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)CCOC)C1 ZINC001108317237 761889754 /nfs/dbraw/zinc/88/97/54/761889754.db2.gz HHCSQMMGFYQIOD-KRWDZBQOSA-N 1 2 310.438 1.280 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@]2(C)CCCOC2)C1 ZINC001108310138 761900667 /nfs/dbraw/zinc/90/06/67/761900667.db2.gz IXQIAJYVYPETSQ-IRXDYDNUSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@]2(C)CCCOC2)C1 ZINC001108310138 761900669 /nfs/dbraw/zinc/90/06/69/761900669.db2.gz IXQIAJYVYPETSQ-IRXDYDNUSA-N 1 2 308.422 1.034 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001033205137 748916141 /nfs/dbraw/zinc/91/61/41/748916141.db2.gz DVENOTGSZSMETP-ZIAGYGMSSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001033205137 748916145 /nfs/dbraw/zinc/91/61/45/748916145.db2.gz DVENOTGSZSMETP-ZIAGYGMSSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC001108315279 761910875 /nfs/dbraw/zinc/91/08/75/761910875.db2.gz WDMFENNHEVYGJM-KRWDZBQOSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC001108315279 761910878 /nfs/dbraw/zinc/91/08/78/761910878.db2.gz WDMFENNHEVYGJM-KRWDZBQOSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2occ3c2CCOC3)C1 ZINC001108099375 749102037 /nfs/dbraw/zinc/10/20/37/749102037.db2.gz KPNHPFNOHKIQNK-QGZVFWFLSA-N 1 2 320.389 1.359 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2occ3c2CCOC3)C1 ZINC001108099375 749102042 /nfs/dbraw/zinc/10/20/42/749102042.db2.gz KPNHPFNOHKIQNK-QGZVFWFLSA-N 1 2 320.389 1.359 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cncc(C)c2)C1 ZINC001108099170 749106577 /nfs/dbraw/zinc/10/65/77/749106577.db2.gz IPMXBVAMZDCDKD-GOSISDBHSA-N 1 2 315.417 1.163 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cncc(C)c2)C1 ZINC001108099170 749106580 /nfs/dbraw/zinc/10/65/80/749106580.db2.gz IPMXBVAMZDCDKD-GOSISDBHSA-N 1 2 315.417 1.163 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2coc(CCC)n2)C1 ZINC001108341360 761935614 /nfs/dbraw/zinc/93/56/14/761935614.db2.gz YAIMXRNNCIOKMW-INIZCTEOSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2coc(CCC)n2)C1 ZINC001108341360 761935615 /nfs/dbraw/zinc/93/56/15/761935615.db2.gz YAIMXRNNCIOKMW-INIZCTEOSA-N 1 2 307.394 1.634 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)/C=C(/C)C3CC3)nn2)C1 ZINC001107137293 749305024 /nfs/dbraw/zinc/30/50/24/749305024.db2.gz SLGDGIUAUPGBPT-JYRVWZFOSA-N 1 2 313.405 1.131 20 30 DDEDLO CC1(C)CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C[C@@H]1NCC#N ZINC000996095981 749364983 /nfs/dbraw/zinc/36/49/83/749364983.db2.gz XUZXYADPBAQVOK-INIZCTEOSA-N 1 2 323.400 1.836 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]([NH2+]Cc2nnc(C)o2)C(C)(C)C1 ZINC000996134883 749389767 /nfs/dbraw/zinc/38/97/67/749389767.db2.gz HKGGGJCGIPPPBQ-LBPRGKRZSA-N 1 2 306.410 1.917 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C[C@@H](C)CCC)nn2)C1 ZINC001107144607 749395144 /nfs/dbraw/zinc/39/51/44/749395144.db2.gz XFFQLQZCRHYROW-AWEZNQCLSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CCCCOC)nn2)C1 ZINC001107144679 749396007 /nfs/dbraw/zinc/39/60/07/749396007.db2.gz ZNNZLTIFBHLHBR-UHFFFAOYSA-N 1 2 321.425 1.144 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H](C)C3CC3)nn2)C1 ZINC001107153169 749430240 /nfs/dbraw/zinc/43/02/40/749430240.db2.gz WHSRJVRANXDJNP-GFCCVEGCSA-N 1 2 303.410 1.373 20 30 DDEDLO C#CCN1CC[C@@H](N(C)C(=O)c2cnn(-c3cc[nH+]cc3)c2)C1 ZINC001033535161 749497243 /nfs/dbraw/zinc/49/72/43/749497243.db2.gz CLNJKLYWQWERSY-MRXNPFEDSA-N 1 2 309.373 1.047 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001033576172 749552273 /nfs/dbraw/zinc/55/22/73/749552273.db2.gz HOIODGYDAVONCM-GXTWGEPZSA-N 1 2 322.840 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001033576172 749552276 /nfs/dbraw/zinc/55/22/76/749552276.db2.gz HOIODGYDAVONCM-GXTWGEPZSA-N 1 2 322.840 1.800 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cn(C)nc2Cl)C1 ZINC001033581099 749561349 /nfs/dbraw/zinc/56/13/49/749561349.db2.gz IJIFLPNUDPPGOS-SNVBAGLBSA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cn(C)nc2Cl)C1 ZINC001033581099 749561353 /nfs/dbraw/zinc/56/13/53/749561353.db2.gz IJIFLPNUDPPGOS-SNVBAGLBSA-N 1 2 317.220 1.972 20 30 DDEDLO C=CCOCC(=O)NCC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095347122 749586669 /nfs/dbraw/zinc/58/66/69/749586669.db2.gz ULSKHWZEUQSLDC-UHFFFAOYSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C[C@H]3C[C@H]3C)nn2)C1 ZINC001107205240 749588660 /nfs/dbraw/zinc/58/86/60/749588660.db2.gz PZYOEZKLFFYCDV-CHWSQXEVSA-N 1 2 303.410 1.373 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C3(C(C)C)CC3)nn2)C1 ZINC001107207721 749610157 /nfs/dbraw/zinc/61/01/57/749610157.db2.gz CSLUYKPNIXIOSN-UHFFFAOYSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001033616773 749612033 /nfs/dbraw/zinc/61/20/33/749612033.db2.gz LPXPCBBOADIPRO-KGLIPLIRSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001033616773 749612038 /nfs/dbraw/zinc/61/20/38/749612038.db2.gz LPXPCBBOADIPRO-KGLIPLIRSA-N 1 2 313.829 1.140 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C\CNC(=O)Cc2c[nH+]cn2C)n1 ZINC001107262707 749701155 /nfs/dbraw/zinc/70/11/55/749701155.db2.gz FVCRNDZDDUBEAK-ARJAWSKDSA-N 1 2 324.388 1.322 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC/C=C/CNc1ccc(C#N)c(C)n1 ZINC001107285989 749737381 /nfs/dbraw/zinc/73/73/81/749737381.db2.gz SYBLLNDTNDKAOD-ONEGZZNKSA-N 1 2 324.388 1.620 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC/C=C\CNc1cc(C)ncc1C#N ZINC001107286135 749737529 /nfs/dbraw/zinc/73/75/29/749737529.db2.gz VSEIFVQUVFXBMW-ARJAWSKDSA-N 1 2 324.388 1.042 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc(CC)n(C)n2)C1 ZINC001033708113 749748234 /nfs/dbraw/zinc/74/82/34/749748234.db2.gz UTYQBFLDBSTADI-ZDUSSCGKSA-N 1 2 310.829 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(CC)n(C)n2)C1 ZINC001033708113 749748240 /nfs/dbraw/zinc/74/82/40/749748240.db2.gz UTYQBFLDBSTADI-ZDUSSCGKSA-N 1 2 310.829 1.881 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnn(CC)n1)C2 ZINC001111648872 749802149 /nfs/dbraw/zinc/80/21/49/749802149.db2.gz SQAADLLDLKAUNB-YOEHRIQHSA-N 1 2 317.437 1.735 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnn(CC)n1)C2 ZINC001111648872 749802155 /nfs/dbraw/zinc/80/21/55/749802155.db2.gz SQAADLLDLKAUNB-YOEHRIQHSA-N 1 2 317.437 1.735 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001108368265 761986790 /nfs/dbraw/zinc/98/67/90/761986790.db2.gz WZGIAGUGJRZUGC-CRAIPNDOSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001108368265 761986796 /nfs/dbraw/zinc/98/67/96/761986796.db2.gz WZGIAGUGJRZUGC-CRAIPNDOSA-N 1 2 315.417 1.190 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033790824 749903156 /nfs/dbraw/zinc/90/31/56/749903156.db2.gz FUSZOENOZIOHBC-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033790824 749903160 /nfs/dbraw/zinc/90/31/60/749903160.db2.gz FUSZOENOZIOHBC-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)Cn3cc[nH+]c3)CC2)nc1 ZINC001066753618 749936180 /nfs/dbraw/zinc/93/61/80/749936180.db2.gz JWOGAXHKJPPCJN-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)noc2CC)C1 ZINC001108389091 762008988 /nfs/dbraw/zinc/00/89/88/762008988.db2.gz HAXZOVOOYNBFON-MRXNPFEDSA-N 1 2 307.394 1.552 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)noc2CC)C1 ZINC001108389091 762009001 /nfs/dbraw/zinc/00/90/01/762009001.db2.gz HAXZOVOOYNBFON-MRXNPFEDSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC/C=C\CNc1cc[nH+]c(C)n1 ZINC001107551644 750122823 /nfs/dbraw/zinc/12/28/23/750122823.db2.gz PTDCTKOVCPNBCO-NWRQEBOHSA-N 1 2 316.405 1.851 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccccc2C)C1 ZINC001108389536 762010539 /nfs/dbraw/zinc/01/05/39/762010539.db2.gz MAKBZMWLKXBKSX-IBGZPJMESA-N 1 2 314.429 1.768 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccccc2C)C1 ZINC001108389536 762010550 /nfs/dbraw/zinc/01/05/50/762010550.db2.gz MAKBZMWLKXBKSX-IBGZPJMESA-N 1 2 314.429 1.768 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001077742697 750481453 /nfs/dbraw/zinc/48/14/53/750481453.db2.gz CQHUCSWALVWVFQ-STQMWFEESA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001077742697 750481455 /nfs/dbraw/zinc/48/14/55/750481455.db2.gz CQHUCSWALVWVFQ-STQMWFEESA-N 1 2 320.437 1.812 20 30 DDEDLO Cc1cc(C)c(C(=O)NCC[C@H](C)NC(=O)[C@H](C)C#N)c(C)[nH+]1 ZINC001077774791 750616623 /nfs/dbraw/zinc/61/66/23/750616623.db2.gz QOGIMLAUJFMRLY-NEPJUHHUSA-N 1 2 316.405 1.791 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCCO[C@H]2C=C)C1 ZINC001107951279 750821250 /nfs/dbraw/zinc/82/12/50/750821250.db2.gz LRAAKRQHAIQUSG-VYDXJSESSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCCO[C@H]2C=C)C1 ZINC001107951279 750821258 /nfs/dbraw/zinc/82/12/58/750821258.db2.gz LRAAKRQHAIQUSG-VYDXJSESSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]cc1C(F)(F)F ZINC001032438725 750833420 /nfs/dbraw/zinc/83/34/20/750833420.db2.gz BELZKVNLFZHNEX-UWVGGRQHSA-N 1 2 314.311 1.903 20 30 DDEDLO C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]cc1C(F)(F)F ZINC001032438725 750833427 /nfs/dbraw/zinc/83/34/27/750833427.db2.gz BELZKVNLFZHNEX-UWVGGRQHSA-N 1 2 314.311 1.903 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2Cc3ccc(F)cc32)C1 ZINC001108161161 750900209 /nfs/dbraw/zinc/90/02/09/750900209.db2.gz AERNVRSCEBUMPW-SJLPKXTDSA-N 1 2 318.392 1.859 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2Cc3ccc(F)cc32)C1 ZINC001108161161 750900215 /nfs/dbraw/zinc/90/02/15/750900215.db2.gz AERNVRSCEBUMPW-SJLPKXTDSA-N 1 2 318.392 1.859 20 30 DDEDLO Cc1nc(N2C[C@@H]3CC[C@H](NC(=O)C#CC4CC4)C[C@H]3C2)cc[nH+]1 ZINC001114656479 750938752 /nfs/dbraw/zinc/93/87/52/750938752.db2.gz HGKPFTCXMRWZGN-ULQDDVLXSA-N 1 2 324.428 1.920 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCN(c2ccccc2)C1 ZINC001032470248 750945231 /nfs/dbraw/zinc/94/52/31/750945231.db2.gz OHYBGIYLTPZQKI-SZMVWBNQSA-N 1 2 309.413 1.431 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCN(c2ccccc2)C1 ZINC001032470248 750945232 /nfs/dbraw/zinc/94/52/32/750945232.db2.gz OHYBGIYLTPZQKI-SZMVWBNQSA-N 1 2 309.413 1.431 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114718285 751019965 /nfs/dbraw/zinc/01/99/65/751019965.db2.gz KYPSNXWYOXYHNJ-LAQFHYBYSA-N 1 2 316.405 1.372 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114718285 751019972 /nfs/dbraw/zinc/01/99/72/751019972.db2.gz KYPSNXWYOXYHNJ-LAQFHYBYSA-N 1 2 316.405 1.372 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C4CC4)o3)C[C@H]21 ZINC001114753586 751052896 /nfs/dbraw/zinc/05/28/96/751052896.db2.gz YKQGUGRKCWSIOW-VIKVFOODSA-N 1 2 316.405 1.850 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C4CC4)o3)C[C@H]21 ZINC001114753586 751052900 /nfs/dbraw/zinc/05/29/00/751052900.db2.gz YKQGUGRKCWSIOW-VIKVFOODSA-N 1 2 316.405 1.850 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2cc(C)ccc2O1 ZINC001032522172 751183580 /nfs/dbraw/zinc/18/35/80/751183580.db2.gz YEQMJUAQLOGAFY-XYJFISCASA-N 1 2 310.397 1.607 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2cc(C)ccc2O1 ZINC001032522172 751183587 /nfs/dbraw/zinc/18/35/87/751183587.db2.gz YEQMJUAQLOGAFY-XYJFISCASA-N 1 2 310.397 1.607 20 30 DDEDLO Cc1ccc(C#N)c(N(C)[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001056935737 762133316 /nfs/dbraw/zinc/13/33/16/762133316.db2.gz PKWZCYAISIKGJY-OAHLLOKOSA-N 1 2 324.388 1.196 20 30 DDEDLO C=C1CCC(C(=O)NC2CN(C(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC000999093330 752504255 /nfs/dbraw/zinc/50/42/55/752504255.db2.gz OMOQYFAZOFADTG-UHFFFAOYSA-N 1 2 302.378 1.026 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)cc(OC)cc1F ZINC001032643637 752550486 /nfs/dbraw/zinc/55/04/86/752550486.db2.gz IEKNPRCSUDAJJC-RYUDHWBXSA-N 1 2 320.339 1.895 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)cc(OC)cc1F ZINC001032643637 752550489 /nfs/dbraw/zinc/55/04/89/752550489.db2.gz IEKNPRCSUDAJJC-RYUDHWBXSA-N 1 2 320.339 1.895 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnnn3C)C2)cc1 ZINC001008151244 752580654 /nfs/dbraw/zinc/58/06/54/752580654.db2.gz ZQAUZDSLYZXDKM-MRXNPFEDSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3cnnn3C)C2)cc1 ZINC001008151244 752580659 /nfs/dbraw/zinc/58/06/59/752580659.db2.gz ZQAUZDSLYZXDKM-MRXNPFEDSA-N 1 2 323.400 1.191 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ncoc2[C@@H]2CCCO2)C1 ZINC001008191608 752599347 /nfs/dbraw/zinc/59/93/47/752599347.db2.gz VIKQZQDLMDCHKK-OLZOCXBDSA-N 1 2 303.362 1.354 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ncoc2[C@@H]2CCCO2)C1 ZINC001008191608 752599352 /nfs/dbraw/zinc/59/93/52/752599352.db2.gz VIKQZQDLMDCHKK-OLZOCXBDSA-N 1 2 303.362 1.354 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccn(C(C)(C)C)c1=O ZINC001032672481 752685128 /nfs/dbraw/zinc/68/51/28/752685128.db2.gz BBGUKLXKADQFGV-KBPBESRZSA-N 1 2 313.401 1.135 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccn(C(C)(C)C)c1=O ZINC001032672481 752685129 /nfs/dbraw/zinc/68/51/29/752685129.db2.gz BBGUKLXKADQFGV-KBPBESRZSA-N 1 2 313.401 1.135 20 30 DDEDLO CN(C[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1)c1ncccc1C#N ZINC001062129357 752791283 /nfs/dbraw/zinc/79/12/83/752791283.db2.gz MMRQHYFWAHLERF-CQSZACIVSA-N 1 2 324.388 1.135 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001108432419 762161441 /nfs/dbraw/zinc/16/14/41/762161441.db2.gz IXLFIRSBWUKTQF-RFRLJHHNSA-N 1 2 316.445 1.509 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001108432419 762161446 /nfs/dbraw/zinc/16/14/46/762161446.db2.gz IXLFIRSBWUKTQF-RFRLJHHNSA-N 1 2 316.445 1.509 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001062265744 752856200 /nfs/dbraw/zinc/85/62/00/752856200.db2.gz YTDUIMJJEGMWHL-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C#CC[N@H+]1CC=C(CNC(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC001000761743 762168860 /nfs/dbraw/zinc/16/88/60/762168860.db2.gz DXJXXNCETOXJKY-UHFFFAOYSA-N 1 2 321.384 1.467 20 30 DDEDLO C#CC[N@@H+]1CC=C(CNC(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC001000761743 762168865 /nfs/dbraw/zinc/16/88/65/762168865.db2.gz DXJXXNCETOXJKY-UHFFFAOYSA-N 1 2 321.384 1.467 20 30 DDEDLO COc1ccc([C@@H]2C[C@H](N3CC[NH2+]C[C@H]3C#N)CCO2)cc1 ZINC001168638223 753387520 /nfs/dbraw/zinc/38/75/20/753387520.db2.gz WRNNZGSVEMMTDG-INMHGKMJSA-N 1 2 301.390 1.713 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2ccsc2)C1 ZINC001107997867 753396831 /nfs/dbraw/zinc/39/68/31/753396831.db2.gz FKHNKDYZLUQXNL-QGZVFWFLSA-N 1 2 320.458 1.911 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2ccsc2)C1 ZINC001107997867 753396835 /nfs/dbraw/zinc/39/68/35/753396835.db2.gz FKHNKDYZLUQXNL-QGZVFWFLSA-N 1 2 320.458 1.911 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2ncon2)cc1 ZINC001032740551 753415360 /nfs/dbraw/zinc/41/53/60/753415360.db2.gz VDILWYZJKMLCDC-HOTGVXAUSA-N 1 2 322.368 1.659 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2ncon2)cc1 ZINC001032740551 753415362 /nfs/dbraw/zinc/41/53/62/753415362.db2.gz VDILWYZJKMLCDC-HOTGVXAUSA-N 1 2 322.368 1.659 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001077924457 753427827 /nfs/dbraw/zinc/42/78/27/753427827.db2.gz FVFRCGZYLQYRMF-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001010038242 753565415 /nfs/dbraw/zinc/56/54/15/753565415.db2.gz LGJRICMANKIHNV-AWEZNQCLSA-N 1 2 323.356 1.058 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001010038242 753565419 /nfs/dbraw/zinc/56/54/19/753565419.db2.gz LGJRICMANKIHNV-AWEZNQCLSA-N 1 2 323.356 1.058 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)n(C)n1 ZINC001010337953 753779042 /nfs/dbraw/zinc/77/90/42/753779042.db2.gz IVSKLMMMQFKMLW-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n(C)n1 ZINC001010337953 753779046 /nfs/dbraw/zinc/77/90/46/753779046.db2.gz IVSKLMMMQFKMLW-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@H](NC(=O)c3cocn3)C2)ccc1F ZINC001010373144 753828371 /nfs/dbraw/zinc/82/83/71/753828371.db2.gz BCMQEWYKVRSAFX-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3cocn3)C2)ccc1F ZINC001010373144 753828379 /nfs/dbraw/zinc/82/83/79/753828379.db2.gz BCMQEWYKVRSAFX-ZDUSSCGKSA-N 1 2 314.320 1.690 20 30 DDEDLO O=C(Cc1ccc[nH]1)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#Cc1ccccc1 ZINC001032795251 753881476 /nfs/dbraw/zinc/88/14/76/753881476.db2.gz KJECREVJNDZEQF-OALUTQOASA-N 1 2 319.408 1.894 20 30 DDEDLO O=C(Cc1ccc[nH]1)N1C[C@@H]2C[C@H]1C[N@H+]2CC#Cc1ccccc1 ZINC001032795251 753881482 /nfs/dbraw/zinc/88/14/82/753881482.db2.gz KJECREVJNDZEQF-OALUTQOASA-N 1 2 319.408 1.894 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001010458883 753939736 /nfs/dbraw/zinc/93/97/36/753939736.db2.gz VBFGLMVQXRFJRT-YPMHNXCESA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001010458883 753939741 /nfs/dbraw/zinc/93/97/41/753939741.db2.gz VBFGLMVQXRFJRT-YPMHNXCESA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1nonc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032817194 754440236 /nfs/dbraw/zinc/44/02/36/754440236.db2.gz SFIOEYUIODLOGZ-GJZGRUSLSA-N 1 2 323.356 1.349 20 30 DDEDLO Cc1nonc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032817194 754440239 /nfs/dbraw/zinc/44/02/39/754440239.db2.gz SFIOEYUIODLOGZ-GJZGRUSLSA-N 1 2 323.356 1.349 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CNC(=O)c2c[nH]c(C)cc2=O)CC1 ZINC001002007189 754639052 /nfs/dbraw/zinc/63/90/52/754639052.db2.gz WDSBGYDZZMZESK-UHFFFAOYSA-N 1 2 323.824 1.878 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(F)c2OCC)[C@@H](O)C1 ZINC001083895431 754698742 /nfs/dbraw/zinc/69/87/42/754698742.db2.gz WQNXXWFHSJTRDO-CABCVRRESA-N 1 2 320.364 1.023 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(F)c2OCC)[C@@H](O)C1 ZINC001083895431 754698747 /nfs/dbraw/zinc/69/87/47/754698747.db2.gz WQNXXWFHSJTRDO-CABCVRRESA-N 1 2 320.364 1.023 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ncccc2C#N)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064792062 754858905 /nfs/dbraw/zinc/85/89/05/754858905.db2.gz MEJWTJIIEYAEJL-OCCSQVGLSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ncccc2C#N)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064832246 754874480 /nfs/dbraw/zinc/87/44/80/754874480.db2.gz LNJPQQCAMLBRQT-JSGCOSHPSA-N 1 2 324.388 1.710 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(O[C@@H](C)CC)cc2)[C@@H](O)C1 ZINC001083927025 755019843 /nfs/dbraw/zinc/01/98/43/755019843.db2.gz WBIDZFHHLOSCTD-XKQJLSEDSA-N 1 2 316.401 1.272 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(O[C@@H](C)CC)cc2)[C@@H](O)C1 ZINC001083927025 755019844 /nfs/dbraw/zinc/01/98/44/755019844.db2.gz WBIDZFHHLOSCTD-XKQJLSEDSA-N 1 2 316.401 1.272 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cc(OC)no3)C[C@H]2C)C1 ZINC001080406147 755899334 /nfs/dbraw/zinc/89/93/34/755899334.db2.gz OJPFQLMQTYOLGT-TZMCWYRMSA-N 1 2 319.405 1.976 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cc(OC)no3)C[C@H]2C)C1 ZINC001080406147 755899339 /nfs/dbraw/zinc/89/93/39/755899339.db2.gz OJPFQLMQTYOLGT-TZMCWYRMSA-N 1 2 319.405 1.976 20 30 DDEDLO C=CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001080714601 756065612 /nfs/dbraw/zinc/06/56/12/756065612.db2.gz FFRFUBYKXWTOQM-IUODEOHRSA-N 1 2 311.389 1.708 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001080714601 756065618 /nfs/dbraw/zinc/06/56/18/756065618.db2.gz FFRFUBYKXWTOQM-IUODEOHRSA-N 1 2 311.389 1.708 20 30 DDEDLO C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc3c(c2)occc3=O)C1 ZINC001080793698 756107755 /nfs/dbraw/zinc/10/77/55/756107755.db2.gz BFUYUUJZWQBZPT-IUODEOHRSA-N 1 2 310.353 1.476 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc3c(c2)occc3=O)C1 ZINC001080793698 756107757 /nfs/dbraw/zinc/10/77/57/756107757.db2.gz BFUYUUJZWQBZPT-IUODEOHRSA-N 1 2 310.353 1.476 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)nc3)C2)cc1 ZINC001015481760 756332173 /nfs/dbraw/zinc/33/21/73/756332173.db2.gz HESLYNLIIOEAKU-GOSISDBHSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)nc3)C2)cc1 ZINC001015481760 756332179 /nfs/dbraw/zinc/33/21/79/756332179.db2.gz HESLYNLIIOEAKU-GOSISDBHSA-N 1 2 320.396 1.771 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001067114528 756345199 /nfs/dbraw/zinc/34/51/99/756345199.db2.gz RPJLDMIJNZCQQA-GXTWGEPZSA-N 1 2 310.361 1.109 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(OC)nn3)C2)C1 ZINC001015673651 756455858 /nfs/dbraw/zinc/45/58/58/756455858.db2.gz NLPFAZNSUHFYDL-CYBMUJFWSA-N 1 2 316.405 1.532 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(OC)nn3)C2)C1 ZINC001015673651 756455862 /nfs/dbraw/zinc/45/58/62/756455862.db2.gz NLPFAZNSUHFYDL-CYBMUJFWSA-N 1 2 316.405 1.532 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)cn3)C2)c1 ZINC001015679883 756462478 /nfs/dbraw/zinc/46/24/78/756462478.db2.gz OYXDDBCRLBIQHS-INIZCTEOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)cn3)C2)c1 ZINC001015679883 756462480 /nfs/dbraw/zinc/46/24/80/756462480.db2.gz OYXDDBCRLBIQHS-INIZCTEOSA-N 1 2 321.384 1.166 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3ccncc3s2)[C@H](OC)C1 ZINC001081798851 756484285 /nfs/dbraw/zinc/48/42/85/756484285.db2.gz UREGKEINKJLASL-CHWSQXEVSA-N 1 2 317.414 1.911 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3ccncc3s2)[C@H](OC)C1 ZINC001081798851 756484288 /nfs/dbraw/zinc/48/42/88/756484288.db2.gz UREGKEINKJLASL-CHWSQXEVSA-N 1 2 317.414 1.911 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)cs2)C1 ZINC001015720388 756492896 /nfs/dbraw/zinc/49/28/96/756492896.db2.gz AFZBASFGNJGGKB-MCIONIFRSA-N 1 2 321.446 1.733 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@H+](Cc2nc(C)cs2)C1 ZINC001015720388 756492901 /nfs/dbraw/zinc/49/29/01/756492901.db2.gz AFZBASFGNJGGKB-MCIONIFRSA-N 1 2 321.446 1.733 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1Nc1ncccc1C#N ZINC001067122918 756585928 /nfs/dbraw/zinc/58/59/28/756585928.db2.gz MFJIAAMAFLCWSO-WFASDCNBSA-N 1 2 324.388 1.188 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067123897 756596843 /nfs/dbraw/zinc/59/68/43/756596843.db2.gz YKNZVKJCQMUGOT-WFASDCNBSA-N 1 2 324.388 1.188 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@H](Nc2ccc(C#N)cn2)[C@@H](C)C1 ZINC001067127036 756668009 /nfs/dbraw/zinc/66/80/09/756668009.db2.gz LCKWQTAQDWYYAS-NHYWBVRUSA-N 1 2 324.388 1.486 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001015983714 756697161 /nfs/dbraw/zinc/69/71/61/756697161.db2.gz FSBGKJDWNUOMRQ-NSHDSACASA-N 1 2 308.813 1.465 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001015983714 756697166 /nfs/dbraw/zinc/69/71/66/756697166.db2.gz FSBGKJDWNUOMRQ-NSHDSACASA-N 1 2 308.813 1.465 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3scnc3c2)[C@H](OC)C1 ZINC001082291231 756716170 /nfs/dbraw/zinc/71/61/70/756716170.db2.gz HSTDEZPSPAPIMS-ZIAGYGMSSA-N 1 2 315.398 1.359 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3scnc3c2)[C@H](OC)C1 ZINC001082291231 756716172 /nfs/dbraw/zinc/71/61/72/756716172.db2.gz HSTDEZPSPAPIMS-ZIAGYGMSSA-N 1 2 315.398 1.359 20 30 DDEDLO CCc1nc[nH]c1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001016132461 756775776 /nfs/dbraw/zinc/77/57/76/756775776.db2.gz FMFRZFFYXWJLJB-HNNXBMFYSA-N 1 2 323.400 1.848 20 30 DDEDLO CCc1nc[nH]c1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001016132461 756775779 /nfs/dbraw/zinc/77/57/79/756775779.db2.gz FMFRZFFYXWJLJB-HNNXBMFYSA-N 1 2 323.400 1.848 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc(CC)c1Cl)C2 ZINC001097242813 757013915 /nfs/dbraw/zinc/01/39/15/757013915.db2.gz RWCOKDHIGYUDAO-RTXFEEFZSA-N 1 2 320.824 1.984 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc(CC)c1Cl)C2 ZINC001097242813 757013925 /nfs/dbraw/zinc/01/39/25/757013925.db2.gz RWCOKDHIGYUDAO-RTXFEEFZSA-N 1 2 320.824 1.984 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001097374061 757118133 /nfs/dbraw/zinc/11/81/33/757118133.db2.gz DJYNSZJUWNKGLM-ZFWWWQNUSA-N 1 2 316.405 1.474 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3coc(C(F)F)c3)[C@H]2C1 ZINC001083176913 757180273 /nfs/dbraw/zinc/18/02/73/757180273.db2.gz XGBNXRMUFIYANX-WCQYABFASA-N 1 2 310.300 1.376 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3coc(C(F)F)c3)[C@H]2C1 ZINC001083176913 757180278 /nfs/dbraw/zinc/18/02/78/757180278.db2.gz XGBNXRMUFIYANX-WCQYABFASA-N 1 2 310.300 1.376 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sc(CCC)nc2C)[C@@H](O)C1 ZINC001084056110 757262854 /nfs/dbraw/zinc/26/28/54/757262854.db2.gz RQIZFHUGAYUBAT-NEPJUHHUSA-N 1 2 309.435 1.365 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sc(CCC)nc2C)[C@@H](O)C1 ZINC001084056110 757262858 /nfs/dbraw/zinc/26/28/58/757262858.db2.gz RQIZFHUGAYUBAT-NEPJUHHUSA-N 1 2 309.435 1.365 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)cn1 ZINC001084235472 757435664 /nfs/dbraw/zinc/43/56/64/757435664.db2.gz DJRIPLIDAGLQOA-NWANDNLSSA-N 1 2 314.389 1.283 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)cn1 ZINC001084235472 757435669 /nfs/dbraw/zinc/43/56/69/757435669.db2.gz DJRIPLIDAGLQOA-NWANDNLSSA-N 1 2 314.389 1.283 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCc4[nH]nnc4C3)[C@@H]2C1 ZINC001084772572 757901828 /nfs/dbraw/zinc/90/18/28/757901828.db2.gz HRWQKRPOUYRJGA-XJKCOSOUSA-N 1 2 315.421 1.018 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCc4[nH]nnc4C3)[C@@H]2C1 ZINC001084772572 757901831 /nfs/dbraw/zinc/90/18/31/757901831.db2.gz HRWQKRPOUYRJGA-XJKCOSOUSA-N 1 2 315.421 1.018 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCc4nn[nH]c4C3)[C@@H]2C1 ZINC001084772572 757901833 /nfs/dbraw/zinc/90/18/33/757901833.db2.gz HRWQKRPOUYRJGA-XJKCOSOUSA-N 1 2 315.421 1.018 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCc4nn[nH]c4C3)[C@@H]2C1 ZINC001084772572 757901838 /nfs/dbraw/zinc/90/18/38/757901838.db2.gz HRWQKRPOUYRJGA-XJKCOSOUSA-N 1 2 315.421 1.018 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc4c(s3)CCOC4)[C@@H]2C1 ZINC001084782030 757911518 /nfs/dbraw/zinc/91/15/18/757911518.db2.gz AGXVQTOVUXELTR-TZMCWYRMSA-N 1 2 316.426 1.600 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc4c(s3)CCOC4)[C@@H]2C1 ZINC001084782030 757911522 /nfs/dbraw/zinc/91/15/22/757911522.db2.gz AGXVQTOVUXELTR-TZMCWYRMSA-N 1 2 316.426 1.600 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CC[N@H+](Cc2cncs2)C[C@@H]1O ZINC001099830337 757918977 /nfs/dbraw/zinc/91/89/77/757918977.db2.gz QBZBUNWNQOTPKZ-KGLIPLIRSA-N 1 2 321.446 1.244 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CC[N@@H+](Cc2cncs2)C[C@@H]1O ZINC001099830337 757918980 /nfs/dbraw/zinc/91/89/80/757918980.db2.gz QBZBUNWNQOTPKZ-KGLIPLIRSA-N 1 2 321.446 1.244 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2ncc[nH]2)cc1 ZINC001017536708 758007278 /nfs/dbraw/zinc/00/72/78/758007278.db2.gz XVLRLSDUSXUTIL-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2ncc[nH]2)cc1 ZINC001017536708 758007292 /nfs/dbraw/zinc/00/72/92/758007292.db2.gz XVLRLSDUSXUTIL-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2nccnc2c1 ZINC001017625309 758095065 /nfs/dbraw/zinc/09/50/65/758095065.db2.gz XUEWVEUIOILKHW-GASCZTMLSA-N 1 2 321.384 1.337 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2nccnc2c1 ZINC001017625309 758095069 /nfs/dbraw/zinc/09/50/69/758095069.db2.gz XUEWVEUIOILKHW-GASCZTMLSA-N 1 2 321.384 1.337 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2c(n1)CC[C@@H](C)C2 ZINC001017655436 758124048 /nfs/dbraw/zinc/12/40/48/758124048.db2.gz JOLCDIKZHIGADG-KFWWJZLASA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2c(n1)CC[C@@H](C)C2 ZINC001017655436 758124051 /nfs/dbraw/zinc/12/40/51/758124051.db2.gz JOLCDIKZHIGADG-KFWWJZLASA-N 1 2 312.417 1.387 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2nccn2c1 ZINC001017736688 758196280 /nfs/dbraw/zinc/19/62/80/758196280.db2.gz XWSVBOJYVCPMIO-GASCZTMLSA-N 1 2 309.373 1.041 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2nccn2c1 ZINC001017736688 758196287 /nfs/dbraw/zinc/19/62/87/758196287.db2.gz XWSVBOJYVCPMIO-GASCZTMLSA-N 1 2 309.373 1.041 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ncc2cccnc21 ZINC001017747943 758204242 /nfs/dbraw/zinc/20/42/42/758204242.db2.gz ZAVARCKSHAMFSU-IYBDPMFKSA-N 1 2 323.400 1.130 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ncc2cccnc21 ZINC001017747943 758204246 /nfs/dbraw/zinc/20/42/46/758204246.db2.gz ZAVARCKSHAMFSU-IYBDPMFKSA-N 1 2 323.400 1.130 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ncc(C)cc1C)CCO2 ZINC001053353159 758396903 /nfs/dbraw/zinc/39/69/03/758396903.db2.gz HEBQQRPSJYYNBB-UHFFFAOYSA-N 1 2 315.417 1.801 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CC)nc1C(C)C ZINC001018007986 758468456 /nfs/dbraw/zinc/46/84/56/758468456.db2.gz WHQYAUFFMOJFRR-GASCZTMLSA-N 1 2 314.433 1.948 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CC)nc1C(C)C ZINC001018007986 758468460 /nfs/dbraw/zinc/46/84/60/758468460.db2.gz WHQYAUFFMOJFRR-GASCZTMLSA-N 1 2 314.433 1.948 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@@H](C)n1cccn1)CCO2 ZINC001053501687 758507825 /nfs/dbraw/zinc/50/78/25/758507825.db2.gz WWKITAVDTCQYCF-OAHLLOKOSA-N 1 2 318.421 1.324 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001053503550 758508532 /nfs/dbraw/zinc/50/85/32/758508532.db2.gz MRYSUIJCBJYYOY-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@@H](C)n1cccc1)CCO2 ZINC001053512805 758519180 /nfs/dbraw/zinc/51/91/80/758519180.db2.gz AYIDURPTUQLBFF-MRXNPFEDSA-N 1 2 317.433 1.929 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)CCCC)CC2=O)C1 ZINC001108541128 762640326 /nfs/dbraw/zinc/64/03/26/762640326.db2.gz ONEIMCNGCFLCKU-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc2ccccc2n1 ZINC001018188272 758614306 /nfs/dbraw/zinc/61/43/06/758614306.db2.gz WDAVSMBVYCVVKH-IYBDPMFKSA-N 1 2 308.385 1.345 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc2ccccc2n1 ZINC001018188272 758614308 /nfs/dbraw/zinc/61/43/08/758614308.db2.gz WDAVSMBVYCVVKH-IYBDPMFKSA-N 1 2 308.385 1.345 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1nn(C)cc1Cl)O2 ZINC001053601958 758622155 /nfs/dbraw/zinc/62/21/55/758622155.db2.gz UWRXUZSHGQVFQB-LLVKDONJSA-N 1 2 324.812 1.223 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1CCC2(C[NH+](CC=C)C2)O1 ZINC001053611202 758630308 /nfs/dbraw/zinc/63/03/08/758630308.db2.gz MIPCLMLBBHWSLB-OAHLLOKOSA-N 1 2 315.417 1.823 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnn(CCF)c1)O2 ZINC001053619114 758638618 /nfs/dbraw/zinc/63/86/18/758638618.db2.gz LVAQHOCRMKHEMN-AWEZNQCLSA-N 1 2 322.384 1.002 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1c(C)cccc1C ZINC001018241912 758660986 /nfs/dbraw/zinc/66/09/86/758660986.db2.gz MNPGWIMSFGJLOZ-CALCHBBNSA-N 1 2 312.413 1.991 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1c(C)cccc1C ZINC001018241912 758660991 /nfs/dbraw/zinc/66/09/91/758660991.db2.gz MNPGWIMSFGJLOZ-CALCHBBNSA-N 1 2 312.413 1.991 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CCC)C2)CC1 ZINC001065682557 758701119 /nfs/dbraw/zinc/70/11/19/758701119.db2.gz JOCNGLUALNIRFU-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(Cl)no1)O2 ZINC001053692297 758701533 /nfs/dbraw/zinc/70/15/33/758701533.db2.gz LWCUEFQDGHUEKY-SNVBAGLBSA-N 1 2 311.769 1.477 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(C)nn(C)c1F)O2 ZINC001053693562 758703430 /nfs/dbraw/zinc/70/34/30/758703430.db2.gz GIARVCFORCDISC-GFCCVEGCSA-N 1 2 322.384 1.017 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065709344 758729014 /nfs/dbraw/zinc/72/90/14/758729014.db2.gz TYIASHRLAHHNGD-QGZVFWFLSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CC[NH+]1CCC2(CCN(C(=O)C(F)C(F)(F)F)C2)CC1 ZINC001040707447 762655597 /nfs/dbraw/zinc/65/55/97/762655597.db2.gz CBTLFLZEPARXDG-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[NH+]1CCC2(CCN(C(=O)[C@@H](F)C(F)(F)F)C2)CC1 ZINC001040707447 762655600 /nfs/dbraw/zinc/65/56/00/762655600.db2.gz CBTLFLZEPARXDG-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1n[nH]c(C)c1C)CO2 ZINC001053762554 758780300 /nfs/dbraw/zinc/78/03/00/758780300.db2.gz BOSVKVBZELKYRJ-CYBMUJFWSA-N 1 2 304.394 1.176 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1[C@@H]3CCCC[C@@H]31)CO2 ZINC001053767468 758787340 /nfs/dbraw/zinc/78/73/40/758787340.db2.gz IJUBFNFEGWKDCS-WCVJEAGWSA-N 1 2 304.434 1.958 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)n1cccc1)CO2 ZINC001053777188 758799762 /nfs/dbraw/zinc/79/97/62/758799762.db2.gz JCDHDWPXFSRSNC-GJZGRUSLSA-N 1 2 301.390 1.032 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)c(C)o1)CO2 ZINC001053777604 758801170 /nfs/dbraw/zinc/80/11/70/758801170.db2.gz NKEDNRYWYDLELJ-AWEZNQCLSA-N 1 2 302.374 1.493 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccsc1C)CO2 ZINC001053794991 758822032 /nfs/dbraw/zinc/82/20/32/758822032.db2.gz CLBFPCVJBGSLDO-ZDUSSCGKSA-N 1 2 304.415 1.653 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1sccc1Cl)CO2 ZINC001053813270 758841039 /nfs/dbraw/zinc/84/10/39/758841039.db2.gz NYWBDURUWZCXRH-NSHDSACASA-N 1 2 324.833 1.998 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H]1CC(C)(C)CO1)CO2 ZINC001053869692 758902626 /nfs/dbraw/zinc/90/26/26/758902626.db2.gz QQFCSLYQFQPGBF-LSDHHAIUSA-N 1 2 322.449 1.727 20 30 DDEDLO C[C@H](CNC(=O)CCCn1cc[nH+]c1)Nc1ccc(C#N)cn1 ZINC001097911643 758906921 /nfs/dbraw/zinc/90/69/21/758906921.db2.gz NRSAJMMGCJHFRJ-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CC3(CCC3)C1)CO2 ZINC001053898361 758930717 /nfs/dbraw/zinc/93/07/17/758930717.db2.gz IUMWHRRDECFGLL-OAHLLOKOSA-N 1 2 302.418 1.550 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CCC(F)F)CC2=O)C1 ZINC001108555940 762670900 /nfs/dbraw/zinc/67/09/00/762670900.db2.gz CIJYQKPNLLSVJQ-NSHDSACASA-N 1 2 315.364 1.009 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1cccc(C)c1)CO2 ZINC001053909224 758943799 /nfs/dbraw/zinc/94/37/99/758943799.db2.gz CNOAHDXLJYVJLR-QGZVFWFLSA-N 1 2 312.413 1.520 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cccnc1C)CO2 ZINC001053909985 758945251 /nfs/dbraw/zinc/94/52/51/758945251.db2.gz LUPMORPQNHUKRP-AWEZNQCLSA-N 1 2 301.390 1.539 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)cc(F)c1)CO2 ZINC001053935383 758970194 /nfs/dbraw/zinc/97/01/94/758970194.db2.gz MLWCRBIHKOXEHW-INIZCTEOSA-N 1 2 316.376 1.731 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cn(C(C)C)nn1)CO2 ZINC001053968988 759005363 /nfs/dbraw/zinc/00/53/63/759005363.db2.gz BHAAIRSOCRTKMH-CYBMUJFWSA-N 1 2 319.409 1.008 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@@H]1COC2(C[NH+](CC)C2)C1 ZINC001053977459 759016047 /nfs/dbraw/zinc/01/60/47/759016047.db2.gz VOGCDZJDYJAZAT-ZDUSSCGKSA-N 1 2 317.389 1.239 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccc(C)nn1 ZINC001054040051 759099342 /nfs/dbraw/zinc/09/93/42/759099342.db2.gz AISLELPYCCZKQV-QGZVFWFLSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccc(C)nn1 ZINC001054040051 759099350 /nfs/dbraw/zinc/09/93/50/759099350.db2.gz AISLELPYCCZKQV-QGZVFWFLSA-N 1 2 320.396 1.575 20 30 DDEDLO COC(=O)/C=C(/C)C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000824134457 759152865 /nfs/dbraw/zinc/15/28/65/759152865.db2.gz YONYMPFLGQIWRX-LCYFTJDESA-N 1 2 300.362 1.195 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CC(C)=C(C)C)CC2=O)C1 ZINC001108563225 762689124 /nfs/dbraw/zinc/68/91/24/762689124.db2.gz KDGJREKFZDTZGC-CQSZACIVSA-N 1 2 305.422 1.320 20 30 DDEDLO CC#CC[N@@H+]1CC[C@](C)(NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001046744329 767878925 /nfs/dbraw/zinc/87/89/25/767878925.db2.gz WCMDMEZSXOHNPA-UGSOOPFHSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1CC[C@](C)(NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001046744329 767878932 /nfs/dbraw/zinc/87/89/32/767878932.db2.gz WCMDMEZSXOHNPA-UGSOOPFHSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1coc(-c2ccccn2)n1 ZINC001085696883 760035674 /nfs/dbraw/zinc/03/56/74/760035674.db2.gz VTZODVCJNJHPKN-AWEZNQCLSA-N 1 2 324.384 1.906 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1coc(-c2ccccn2)n1 ZINC001085696883 760035683 /nfs/dbraw/zinc/03/56/83/760035683.db2.gz VTZODVCJNJHPKN-AWEZNQCLSA-N 1 2 324.384 1.906 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(-c2ccccc2)c1 ZINC001085707259 760078092 /nfs/dbraw/zinc/07/80/92/760078092.db2.gz HIINSQSRFKHCHP-QGZVFWFLSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(-c2ccccc2)c1 ZINC001085707259 760078101 /nfs/dbraw/zinc/07/81/01/760078101.db2.gz HIINSQSRFKHCHP-QGZVFWFLSA-N 1 2 308.385 1.652 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)ccnc1OCC ZINC001085741650 760140632 /nfs/dbraw/zinc/14/06/32/760140632.db2.gz VSUJDINRRQCMDX-OAHLLOKOSA-N 1 2 315.417 1.958 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)ccnc1OCC ZINC001085741650 760140634 /nfs/dbraw/zinc/14/06/34/760140634.db2.gz VSUJDINRRQCMDX-OAHLLOKOSA-N 1 2 315.417 1.958 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)nc1OC ZINC001085858704 760383806 /nfs/dbraw/zinc/38/38/06/760383806.db2.gz GYUUGSMZYMIWSF-AWEZNQCLSA-N 1 2 301.390 1.568 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)nc1OC ZINC001085858704 760383815 /nfs/dbraw/zinc/38/38/15/760383815.db2.gz GYUUGSMZYMIWSF-AWEZNQCLSA-N 1 2 301.390 1.568 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc2c1OCCCO2 ZINC001085894510 760479639 /nfs/dbraw/zinc/47/96/39/760479639.db2.gz DBHMUGPQRGDDGF-AWEZNQCLSA-N 1 2 314.385 1.627 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc2c1OCCCO2 ZINC001085894510 760479640 /nfs/dbraw/zinc/47/96/40/760479640.db2.gz DBHMUGPQRGDDGF-AWEZNQCLSA-N 1 2 314.385 1.627 20 30 DDEDLO N#Cc1ccc(NCC[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001066337729 760484470 /nfs/dbraw/zinc/48/44/70/760484470.db2.gz NNPKSUKBUWHUPC-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-n2ccnc2)ccn1 ZINC001085906428 760500959 /nfs/dbraw/zinc/50/09/59/760500959.db2.gz IPAFRKIXUYTWNQ-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-n2ccnc2)ccn1 ZINC001085906428 760500967 /nfs/dbraw/zinc/50/09/67/760500967.db2.gz IPAFRKIXUYTWNQ-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn([C@H](C)CC)c1C ZINC001085918217 760527737 /nfs/dbraw/zinc/52/77/37/760527737.db2.gz XILYQWWWFWAGOZ-UKRRQHHQSA-N 1 2 302.422 1.942 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn([C@H](C)CC)c1C ZINC001085918217 760527742 /nfs/dbraw/zinc/52/77/42/760527742.db2.gz XILYQWWWFWAGOZ-UKRRQHHQSA-N 1 2 302.422 1.942 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cccnc2)nc1 ZINC001085929992 760551738 /nfs/dbraw/zinc/55/17/38/760551738.db2.gz LHTCJZWRBOFIDK-KRWDZBQOSA-N 1 2 320.396 1.804 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cccnc2)nc1 ZINC001085929992 760551743 /nfs/dbraw/zinc/55/17/43/760551743.db2.gz LHTCJZWRBOFIDK-KRWDZBQOSA-N 1 2 320.396 1.804 20 30 DDEDLO C#CCC1(C(=O)NC[C@H](C)Nc2cc[nH+]c(C)n2)CCOCC1 ZINC001098090798 767961592 /nfs/dbraw/zinc/96/15/92/767961592.db2.gz PKCSISATSRONBK-ZDUSSCGKSA-N 1 2 316.405 1.522 20 30 DDEDLO Cc1cncc(C[N@@H+]2CC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)c1 ZINC001038153373 760860448 /nfs/dbraw/zinc/86/04/48/760860448.db2.gz PEECWPYBJDGCIT-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cncc(C[N@H+]2CC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)c1 ZINC001038153373 760860458 /nfs/dbraw/zinc/86/04/58/760860458.db2.gz PEECWPYBJDGCIT-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2csc3cncn32)C1 ZINC001108253611 761169697 /nfs/dbraw/zinc/16/96/97/761169697.db2.gz NYAYTXZZWAPNLK-OAHLLOKOSA-N 1 2 320.418 1.403 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2csc3cncn32)C1 ZINC001108253611 761169702 /nfs/dbraw/zinc/16/97/02/761169702.db2.gz NYAYTXZZWAPNLK-OAHLLOKOSA-N 1 2 320.418 1.403 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2ocnc2C)cc1 ZINC001038581532 761215252 /nfs/dbraw/zinc/21/52/52/761215252.db2.gz JWJSVPLIYHLGAX-MRXNPFEDSA-N 1 2 309.369 1.969 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ocnc2C)cc1 ZINC001038581532 761215256 /nfs/dbraw/zinc/21/52/56/761215256.db2.gz JWJSVPLIYHLGAX-MRXNPFEDSA-N 1 2 309.369 1.969 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001069484049 768034807 /nfs/dbraw/zinc/03/48/07/768034807.db2.gz QOSLJTRYOLGQBJ-OCCSQVGLSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(C)CN1CC[C@H]1CNC(=O)c1cnn(-c2cc[nH+]cc2)c1 ZINC001038864586 761487078 /nfs/dbraw/zinc/48/70/78/761487078.db2.gz IHOXXKFKNGXAFH-INIZCTEOSA-N 1 2 311.389 1.648 20 30 DDEDLO C=C(C)CN1CC[C@@H]1CNC(=O)c1cnn(-c2cc[nH+]cc2)c1 ZINC001038864592 761487318 /nfs/dbraw/zinc/48/73/18/761487318.db2.gz IHOXXKFKNGXAFH-MRXNPFEDSA-N 1 2 311.389 1.648 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1csc(C(F)(F)F)n1 ZINC001038884272 761509256 /nfs/dbraw/zinc/50/92/56/761509256.db2.gz MMNJFQZFGLSGEE-SECBINFHSA-N 1 2 317.336 1.989 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1csc(C(F)(F)F)n1 ZINC001038884272 761509259 /nfs/dbraw/zinc/50/92/59/761509259.db2.gz MMNJFQZFGLSGEE-SECBINFHSA-N 1 2 317.336 1.989 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@H](C)Nc2cncc(C#N)n2)c(C)[nH+]1 ZINC001098431045 761535038 /nfs/dbraw/zinc/53/50/38/761535038.db2.gz AONNDJFIKWZVRD-LBPRGKRZSA-N 1 2 324.388 1.899 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2ccnc2)cn1 ZINC001038917816 761542858 /nfs/dbraw/zinc/54/28/58/761542858.db2.gz FZJOMUIBJDQFJA-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2ccnc2)cn1 ZINC001038917816 761542868 /nfs/dbraw/zinc/54/28/68/761542868.db2.gz FZJOMUIBJDQFJA-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO Cc1nccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n1 ZINC001039030199 761668093 /nfs/dbraw/zinc/66/80/93/761668093.db2.gz VBALZVHXIJWMTO-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)n1 ZINC001039030199 761668097 /nfs/dbraw/zinc/66/80/97/761668097.db2.gz VBALZVHXIJWMTO-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H](CC)CC(C)C)CC2=O)C1 ZINC001108584686 762759297 /nfs/dbraw/zinc/75/92/97/762759297.db2.gz LJMCDNCUZVNPFA-CABCVRRESA-N 1 2 321.465 1.646 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108659246 762825143 /nfs/dbraw/zinc/82/51/43/762825143.db2.gz SBHOMNJJQAJLJD-WCQYABFASA-N 1 2 320.441 1.765 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(CCN(C(=O)c3[nH]nnc3C)C2)C1 ZINC001041528207 763106158 /nfs/dbraw/zinc/10/61/58/763106158.db2.gz QRLOZMGZDFOJHD-AWEZNQCLSA-N 1 2 309.801 1.404 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(CCN(C(=O)c3[nH]nnc3C)C2)C1 ZINC001041528207 763106161 /nfs/dbraw/zinc/10/61/61/763106161.db2.gz QRLOZMGZDFOJHD-AWEZNQCLSA-N 1 2 309.801 1.404 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C#N)C2 ZINC001109080471 763316853 /nfs/dbraw/zinc/31/68/53/763316853.db2.gz VRXGSDHOLSVZPJ-MQYQWHSLSA-N 1 2 320.437 1.172 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C#N)C2 ZINC001109080471 763316861 /nfs/dbraw/zinc/31/68/61/763316861.db2.gz VRXGSDHOLSVZPJ-MQYQWHSLSA-N 1 2 320.437 1.172 20 30 DDEDLO CCc1ocnc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#CCOC ZINC001109096665 763325200 /nfs/dbraw/zinc/32/52/00/763325200.db2.gz BFNNQTBRBSHLIC-RDBSUJKOSA-N 1 2 317.389 1.222 20 30 DDEDLO CCc1ocnc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#CCOC ZINC001109096665 763325214 /nfs/dbraw/zinc/32/52/14/763325214.db2.gz BFNNQTBRBSHLIC-RDBSUJKOSA-N 1 2 317.389 1.222 20 30 DDEDLO C#CCC1(C(=O)N[C@@H](C)CN(C)c2cc[nH+]c(C)n2)CCC1 ZINC001109126989 763375134 /nfs/dbraw/zinc/37/51/34/763375134.db2.gz SBIBIZXAFGVSOU-ZDUSSCGKSA-N 1 2 300.406 1.920 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@H]21 ZINC001041991388 763544405 /nfs/dbraw/zinc/54/44/05/763544405.db2.gz BZUFQLBJPROHSF-TZMCWYRMSA-N 1 2 308.813 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@H]21 ZINC001041991388 763544409 /nfs/dbraw/zinc/54/44/09/763544409.db2.gz BZUFQLBJPROHSF-TZMCWYRMSA-N 1 2 308.813 1.628 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@H+](Cc3cscn3)[C@@H]2C1 ZINC001042045401 763589062 /nfs/dbraw/zinc/58/90/62/763589062.db2.gz XRVPRFLNQQIHIZ-GDBMZVCRSA-N 1 2 315.442 1.979 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@@H+](Cc3cscn3)[C@@H]2C1 ZINC001042045401 763589067 /nfs/dbraw/zinc/58/90/67/763589067.db2.gz XRVPRFLNQQIHIZ-GDBMZVCRSA-N 1 2 315.442 1.979 20 30 DDEDLO Cc1nc([C@H](C)[NH+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)CC2)no1 ZINC001050463646 763626004 /nfs/dbraw/zinc/62/60/04/763626004.db2.gz WIXPMKACHWZGCB-RYUDHWBXSA-N 1 2 317.393 1.523 20 30 DDEDLO N#Cc1ccc(NC2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)cn1 ZINC001057433151 763686892 /nfs/dbraw/zinc/68/68/92/763686892.db2.gz ATKFZSABZHGPOK-UHFFFAOYSA-N 1 2 310.361 1.322 20 30 DDEDLO Cc1cc(N2CC[C@H](NC(=O)Cc3[nH]cc[nH+]3)[C@H]2C)c(C#N)cn1 ZINC001050520563 763700835 /nfs/dbraw/zinc/70/08/35/763700835.db2.gz MQYZRAJZBFMTIJ-OCCSQVGLSA-N 1 2 324.388 1.311 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001115333543 763727389 /nfs/dbraw/zinc/72/73/89/763727389.db2.gz YAXYNHDMYQMUGJ-ZZVYKPCYSA-N 1 2 307.419 1.281 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001115333543 763727396 /nfs/dbraw/zinc/72/73/96/763727396.db2.gz YAXYNHDMYQMUGJ-ZZVYKPCYSA-N 1 2 307.419 1.281 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CCCNc1ccc(C#N)cn1 ZINC001109490687 763753369 /nfs/dbraw/zinc/75/33/69/763753369.db2.gz JQHMBWXNFCWYJC-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C)CCCC1)C2 ZINC001109572227 763828344 /nfs/dbraw/zinc/82/83/44/763828344.db2.gz FLRCTDLNHAPIEK-ILXRZTDVSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C)CCCC1)C2 ZINC001109572227 763828351 /nfs/dbraw/zinc/82/83/51/763828351.db2.gz FLRCTDLNHAPIEK-ILXRZTDVSA-N 1 2 317.433 1.038 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NCC)CCC1 ZINC001109683228 763942303 /nfs/dbraw/zinc/94/23/03/763942303.db2.gz CHDLBDRUADHRBJ-ILXRZTDVSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NCC)CCC1 ZINC001109683228 763942307 /nfs/dbraw/zinc/94/23/07/763942307.db2.gz CHDLBDRUADHRBJ-ILXRZTDVSA-N 1 2 319.449 1.590 20 30 DDEDLO CC(C)[N@@H+]1CCn2ncc(CNC(=O)c3cc(C#N)c[nH]3)c2C1 ZINC001069857066 768188578 /nfs/dbraw/zinc/18/85/78/768188578.db2.gz YEKXUVIDBTWYTC-UHFFFAOYSA-N 1 2 312.377 1.237 20 30 DDEDLO CC(C)[N@H+]1CCn2ncc(CNC(=O)c3cc(C#N)c[nH]3)c2C1 ZINC001069857066 768188582 /nfs/dbraw/zinc/18/85/82/768188582.db2.gz YEKXUVIDBTWYTC-UHFFFAOYSA-N 1 2 312.377 1.237 20 30 DDEDLO C[C@@H]1CN(c2ncccc2C#N)CC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067401512 764237284 /nfs/dbraw/zinc/23/72/84/764237284.db2.gz AIFRHTQMZMUZFP-UKRRQHHQSA-N 1 2 324.388 1.181 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1nccn1CC ZINC001050896894 764251369 /nfs/dbraw/zinc/25/13/69/764251369.db2.gz ZCTRKTBOZDTINY-CQSZACIVSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1nccn1CC ZINC001050896894 764251374 /nfs/dbraw/zinc/25/13/74/764251374.db2.gz ZCTRKTBOZDTINY-CQSZACIVSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C)nn1 ZINC001050912192 764267321 /nfs/dbraw/zinc/26/73/21/764267321.db2.gz BBYOSVJSNYJLCS-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(C)nn1 ZINC001050912192 764267327 /nfs/dbraw/zinc/26/73/27/764267327.db2.gz BBYOSVJSNYJLCS-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncccc1CC ZINC001050992883 764392353 /nfs/dbraw/zinc/39/23/53/764392353.db2.gz OOTQDYWQTVHJOX-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncccc1CC ZINC001050992883 764392360 /nfs/dbraw/zinc/39/23/60/764392360.db2.gz OOTQDYWQTVHJOX-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001051032462 764437981 /nfs/dbraw/zinc/43/79/81/764437981.db2.gz WWJSGBOFOPRILV-LUKYLMHMSA-N 1 2 322.449 1.585 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001051032462 764437988 /nfs/dbraw/zinc/43/79/88/764437988.db2.gz WWJSGBOFOPRILV-LUKYLMHMSA-N 1 2 322.449 1.585 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2coc(Br)c2)C1 ZINC001042845638 764479167 /nfs/dbraw/zinc/47/91/67/764479167.db2.gz BOMBIEHQVHOEQE-UHFFFAOYSA-N 1 2 311.179 1.822 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2COCC[N@H+]2CCCC)c1 ZINC001051108064 764512394 /nfs/dbraw/zinc/51/23/94/764512394.db2.gz YIPQTEFYSDKOON-MRXNPFEDSA-N 1 2 301.390 1.294 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2COCC[N@@H+]2CCCC)c1 ZINC001051108064 764512395 /nfs/dbraw/zinc/51/23/95/764512395.db2.gz YIPQTEFYSDKOON-MRXNPFEDSA-N 1 2 301.390 1.294 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(C)C)n[nH]1 ZINC001051132705 764532528 /nfs/dbraw/zinc/53/25/28/764532528.db2.gz ACQWCIQHMISPIH-ZDUSSCGKSA-N 1 2 306.410 1.540 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(C)C)n[nH]1 ZINC001051132705 764532535 /nfs/dbraw/zinc/53/25/35/764532535.db2.gz ACQWCIQHMISPIH-ZDUSSCGKSA-N 1 2 306.410 1.540 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3c(c2)NC(=O)CO3)C1 ZINC001042985613 764568121 /nfs/dbraw/zinc/56/81/21/764568121.db2.gz PPCMUGWQHPBYMG-UHFFFAOYSA-N 1 2 315.373 1.350 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1C1CC1 ZINC001051191445 764600236 /nfs/dbraw/zinc/60/02/36/764600236.db2.gz GBPCJFYYIFDGFW-AWEZNQCLSA-N 1 2 318.421 1.684 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1C1CC1 ZINC001051191445 764600239 /nfs/dbraw/zinc/60/02/39/764600239.db2.gz GBPCJFYYIFDGFW-AWEZNQCLSA-N 1 2 318.421 1.684 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3c(c2)N(C)CCO3)C1 ZINC001043080468 764644683 /nfs/dbraw/zinc/64/46/83/764644683.db2.gz ZTUVLEFIBHWDPD-UHFFFAOYSA-N 1 2 315.417 1.848 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)nn(C)c1F ZINC001051227148 764645386 /nfs/dbraw/zinc/64/53/86/764645386.db2.gz LPROARAREJMVIG-CYBMUJFWSA-N 1 2 324.400 1.264 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)nn(C)c1F ZINC001051227148 764645390 /nfs/dbraw/zinc/64/53/90/764645390.db2.gz LPROARAREJMVIG-CYBMUJFWSA-N 1 2 324.400 1.264 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(CC)n(C)n1 ZINC001051275033 764698672 /nfs/dbraw/zinc/69/86/72/764698672.db2.gz OXDPRLPCMOQUFY-OAHLLOKOSA-N 1 2 320.437 1.379 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(CC)n(C)n1 ZINC001051275033 764698683 /nfs/dbraw/zinc/69/86/83/764698683.db2.gz OXDPRLPCMOQUFY-OAHLLOKOSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2COC[C@@H]2c2ccccc2)C1 ZINC001043149486 764714861 /nfs/dbraw/zinc/71/48/61/764714861.db2.gz DVYLNFGXYDDHIA-IAGOWNOFSA-N 1 2 300.402 1.745 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2c(C)nn(C)c2Cl)C1 ZINC001043230192 764781416 /nfs/dbraw/zinc/78/14/16/764781416.db2.gz VYDUUFONWPLLPS-UHFFFAOYSA-N 1 2 310.829 1.643 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2ccc(CF)cc2)CC1 ZINC001112845095 764859181 /nfs/dbraw/zinc/85/91/81/764859181.db2.gz TZSVVHKIZFKNDZ-HNNXBMFYSA-N 1 2 318.392 1.839 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c[nH]nc2[C@H]2CCOC2)C1 ZINC001043481806 764928831 /nfs/dbraw/zinc/92/88/31/764928831.db2.gz GTONNJOHKDVIBP-LBPRGKRZSA-N 1 2 304.394 1.246 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051671580 765056743 /nfs/dbraw/zinc/05/67/43/765056743.db2.gz JZPQEXRQORXDDL-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1ccc(C#N)nn1 ZINC001112994270 765104907 /nfs/dbraw/zinc/10/49/07/765104907.db2.gz UPTAJADYZKDKQG-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2C[NH+](C[C@@H]3CCc4ncnn43)C2)C1 ZINC001043811857 765111513 /nfs/dbraw/zinc/11/15/13/765111513.db2.gz TWTCQMQWUFLTMU-ZDUSSCGKSA-N 1 2 315.421 1.264 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(N)=O)s1)C2 ZINC001096160309 768267796 /nfs/dbraw/zinc/26/77/96/768267796.db2.gz AVMNBUJBEWGJGV-WOPDTQHZSA-N 1 2 317.414 1.205 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(N)=O)s1)C2 ZINC001096160309 768267801 /nfs/dbraw/zinc/26/78/01/768267801.db2.gz AVMNBUJBEWGJGV-WOPDTQHZSA-N 1 2 317.414 1.205 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCO[C@@H](C[NH2+]Cc2csnn2)C1 ZINC001051804311 765175646 /nfs/dbraw/zinc/17/56/46/765175646.db2.gz YXSNBNGYISIVTB-DZGCQCFKSA-N 1 2 324.450 1.457 20 30 DDEDLO Cc1nsc(N[C@@H](C)[C@@H](C)NC(=O)Cn2cc[nH+]c2)c1C#N ZINC001113081634 765234819 /nfs/dbraw/zinc/23/48/19/765234819.db2.gz GZMWGJKBUXJYBN-ZJUUUORDSA-N 1 2 318.406 1.525 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2n[nH]c(=O)c3ccccc32)C1 ZINC001044218737 765403720 /nfs/dbraw/zinc/40/37/20/765403720.db2.gz NMOHCMYUHCXUAH-UHFFFAOYSA-N 1 2 310.357 1.115 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@]3(C)C=CCC3)C2)CC1 ZINC001052060243 765432528 /nfs/dbraw/zinc/43/25/28/765432528.db2.gz ANVZHCSNXOTCFI-HKUYNNGSSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H](C)C(F)(F)F)C2)CC1 ZINC001052063319 765435057 /nfs/dbraw/zinc/43/50/57/765435057.db2.gz STGGLPKUDROTQI-CHWSQXEVSA-N 1 2 317.355 1.037 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3CCC3(C)C)C2)CC1 ZINC001052066967 765440110 /nfs/dbraw/zinc/44/01/10/765440110.db2.gz AMNUHKFXFKBHTA-DLBZAZTESA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(NC(=O)NC)cc2)C1 ZINC001044349633 765499982 /nfs/dbraw/zinc/49/99/82/765499982.db2.gz AXXCNVJSWJZOJN-UHFFFAOYSA-N 1 2 316.405 1.770 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCCn2nc(C)cc2C)CC1 ZINC001113393864 765649032 /nfs/dbraw/zinc/64/90/32/765649032.db2.gz YDJHPMHXMLMBMA-UHFFFAOYSA-N 1 2 302.422 1.448 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131721883 768311733 /nfs/dbraw/zinc/31/17/33/768311733.db2.gz YWGDFDUTPJNBSC-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131721883 768311743 /nfs/dbraw/zinc/31/17/43/768311743.db2.gz YWGDFDUTPJNBSC-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccccc2)CC[C@H]1C ZINC001131747555 768320610 /nfs/dbraw/zinc/32/06/10/768320610.db2.gz LUJNKKOCLPSBQV-GDBMZVCRSA-N 1 2 313.401 1.019 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccccc2)CC[C@H]1C ZINC001131747555 768320617 /nfs/dbraw/zinc/32/06/17/768320617.db2.gz LUJNKKOCLPSBQV-GDBMZVCRSA-N 1 2 313.401 1.019 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)cc2)[C@@H](n2ccnn2)C1 ZINC001070083537 768332761 /nfs/dbraw/zinc/33/27/61/768332761.db2.gz JPSPSQPBUJOLEU-SJORKVTESA-N 1 2 323.400 1.265 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)cc2)[C@@H](n2ccnn2)C1 ZINC001070083537 768332766 /nfs/dbraw/zinc/33/27/66/768332766.db2.gz JPSPSQPBUJOLEU-SJORKVTESA-N 1 2 323.400 1.265 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCCc2nc(CC)no2)CC1 ZINC001113628095 765948055 /nfs/dbraw/zinc/94/80/55/765948055.db2.gz JZYUPXVLQVQQBF-UHFFFAOYSA-N 1 2 304.394 1.122 20 30 DDEDLO C=C[C@@H](C(=O)N1CC[NH+](CCOCCO)CC1)c1ccccc1 ZINC001113665092 765989550 /nfs/dbraw/zinc/98/95/50/765989550.db2.gz PEYRPUSBWYIXKE-QGZVFWFLSA-N 1 2 318.417 1.109 20 30 DDEDLO CN(C(=O)C1CC1)[C@H]1C[N@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001047272615 768355815 /nfs/dbraw/zinc/35/58/15/768355815.db2.gz HYHNAAFWVBYFKT-HOTGVXAUSA-N 1 2 317.364 1.111 20 30 DDEDLO CN(C(=O)C1CC1)[C@H]1C[N@@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001047272615 768355824 /nfs/dbraw/zinc/35/58/24/768355824.db2.gz HYHNAAFWVBYFKT-HOTGVXAUSA-N 1 2 317.364 1.111 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH]c[nH+]1)N(C)c1ccncc1C#N ZINC001113936168 766410387 /nfs/dbraw/zinc/41/03/87/766410387.db2.gz AGJBENOIUDJTEQ-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH+]c[nH]1)N(C)c1ccncc1C#N ZINC001113936168 766410389 /nfs/dbraw/zinc/41/03/89/766410389.db2.gz AGJBENOIUDJTEQ-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)N(C)c1ccncc1C#N ZINC001113958156 766447488 /nfs/dbraw/zinc/44/74/88/766447488.db2.gz UUUXHZBYCQWHNM-MRVWCRGKSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)N(C)c1ccncc1C#N ZINC001113958156 766447489 /nfs/dbraw/zinc/44/74/89/766447489.db2.gz UUUXHZBYCQWHNM-MRVWCRGKSA-N 1 2 324.388 1.421 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCC[C@H]2NC(=O)Cc2c[nH]c[nH+]2)nc1 ZINC001045781590 766580809 /nfs/dbraw/zinc/58/08/09/766580809.db2.gz COCIBHMWOCWECQ-HUUCEWRRSA-N 1 2 324.388 1.758 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C(C)(C)C)o3)C[C@H]21 ZINC001114072855 766606839 /nfs/dbraw/zinc/60/68/39/766606839.db2.gz ZZJAIHCGRSWMHG-UUIJZJDISA-N 1 2 316.405 1.678 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C(C)(C)C)o3)C[C@H]21 ZINC001114072855 766606843 /nfs/dbraw/zinc/60/68/43/766606843.db2.gz ZZJAIHCGRSWMHG-UUIJZJDISA-N 1 2 316.405 1.678 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ncccc1C#N ZINC001067577481 766618891 /nfs/dbraw/zinc/61/88/91/766618891.db2.gz KMAJIGLHMZUQBY-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cnon2)C1 ZINC001045843623 766632957 /nfs/dbraw/zinc/63/29/57/766632957.db2.gz LZNPZKWBRMTQOY-CABCVRRESA-N 1 2 316.405 1.991 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3CC[C@@H](C2)[N@H+]3Cc2cnon2)C1 ZINC001045843623 766632958 /nfs/dbraw/zinc/63/29/58/766632958.db2.gz LZNPZKWBRMTQOY-CABCVRRESA-N 1 2 316.405 1.991 20 30 DDEDLO COc1nscc1C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC1CC1 ZINC001114258411 766829338 /nfs/dbraw/zinc/82/93/38/766829338.db2.gz SEZLUDWHGDUBSY-NHAGDIPZSA-N 1 2 317.414 1.112 20 30 DDEDLO COc1nscc1C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC1CC1 ZINC001114258411 766829343 /nfs/dbraw/zinc/82/93/43/766829343.db2.gz SEZLUDWHGDUBSY-NHAGDIPZSA-N 1 2 317.414 1.112 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H](C)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001098061795 766991819 /nfs/dbraw/zinc/99/18/19/766991819.db2.gz VEAABERSGWMXQM-QWHCGFSZSA-N 1 2 316.405 1.617 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)no1 ZINC001046225070 767213784 /nfs/dbraw/zinc/21/37/84/767213784.db2.gz XCNRHLSVAPRFON-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)no1 ZINC001046225070 767213788 /nfs/dbraw/zinc/21/37/88/767213788.db2.gz XCNRHLSVAPRFON-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO C[C@H]1C[C@H](CNc2ccc(C#N)cn2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068376263 767220434 /nfs/dbraw/zinc/22/04/34/767220434.db2.gz SBDZULLOKDFBHB-GXTWGEPZSA-N 1 2 324.388 1.568 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2C[C@@H](Nc3cc[nH+]c(C)n3)C2)nc1 ZINC001046415000 767533831 /nfs/dbraw/zinc/53/38/31/767533831.db2.gz OHQQOAZLRNXVHF-GASCZTMLSA-N 1 2 321.384 1.782 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](Nc2ccncc2C#N)[C@H]1C ZINC001068873033 767672448 /nfs/dbraw/zinc/67/24/48/767672448.db2.gz WAUHTOQSWUHWBI-OCCSQVGLSA-N 1 2 324.388 1.051 20 30 DDEDLO C#CC[N@H+]1CC[C@@](C)(NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001046686031 767803885 /nfs/dbraw/zinc/80/38/85/767803885.db2.gz HJIGASJNKJUMEL-BLLLJJGKSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001046686031 767803892 /nfs/dbraw/zinc/80/38/92/767803892.db2.gz HJIGASJNKJUMEL-BLLLJJGKSA-N 1 2 319.430 1.822 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cc3ncccn3n2)C1 ZINC001046718418 767845111 /nfs/dbraw/zinc/84/51/11/767845111.db2.gz CKDNSOMDICTSSS-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cc3ncccn3n2)C1 ZINC001046718418 767845117 /nfs/dbraw/zinc/84/51/17/767845117.db2.gz CKDNSOMDICTSSS-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)[C@H]1C[N@H+](C/C=C\Cl)C[C@@H]1O ZINC001047408912 768458509 /nfs/dbraw/zinc/45/85/09/768458509.db2.gz IYTLWGYBJHLKGI-UWERJEOXSA-N 1 2 323.824 1.544 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)[C@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1O ZINC001047408912 768458511 /nfs/dbraw/zinc/45/85/11/768458511.db2.gz IYTLWGYBJHLKGI-UWERJEOXSA-N 1 2 323.824 1.544 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C(=O)C(C)(C)C)CC[C@@H]1C ZINC001131934235 768483599 /nfs/dbraw/zinc/48/35/99/768483599.db2.gz GIVLLAVROPOYCM-KBPBESRZSA-N 1 2 308.422 1.221 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C(=O)C(C)(C)C)CC[C@@H]1C ZINC001131934235 768483602 /nfs/dbraw/zinc/48/36/02/768483602.db2.gz GIVLLAVROPOYCM-KBPBESRZSA-N 1 2 308.422 1.221 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C(C)(C)C)on2)C1 ZINC001047454107 768489642 /nfs/dbraw/zinc/48/96/42/768489642.db2.gz WUZODEKCDDWOKJ-KBPBESRZSA-N 1 2 319.405 1.113 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C(C)(C)C)on2)C1 ZINC001047454107 768489646 /nfs/dbraw/zinc/48/96/46/768489646.db2.gz WUZODEKCDDWOKJ-KBPBESRZSA-N 1 2 319.405 1.113 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(Cl)cc2OC)C1 ZINC001047505577 768527088 /nfs/dbraw/zinc/52/70/88/768527088.db2.gz MRSZBZJDYBGHSV-KBPBESRZSA-N 1 2 322.792 1.099 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(Cl)cc2OC)C1 ZINC001047505577 768527091 /nfs/dbraw/zinc/52/70/91/768527091.db2.gz MRSZBZJDYBGHSV-KBPBESRZSA-N 1 2 322.792 1.099 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001070675289 768661791 /nfs/dbraw/zinc/66/17/91/768661791.db2.gz VBBMHGZMBIRMDG-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)c2ccc(C)o2)CC1 ZINC001070936762 768795765 /nfs/dbraw/zinc/79/57/65/768795765.db2.gz RIGOFRFDFSDYIP-UHFFFAOYSA-N 1 2 319.405 1.428 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)c2ccc(C)o2)CC1 ZINC001070936762 768795769 /nfs/dbraw/zinc/79/57/69/768795769.db2.gz RIGOFRFDFSDYIP-UHFFFAOYSA-N 1 2 319.405 1.428 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@]2(C)CC=CCC2)CC1 ZINC001070965619 768819269 /nfs/dbraw/zinc/81/92/69/768819269.db2.gz LBQRSCVUOGDVHM-SFHVURJKSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@]2(C)CC=CCC2)CC1 ZINC001070965619 768819285 /nfs/dbraw/zinc/81/92/85/768819285.db2.gz LBQRSCVUOGDVHM-SFHVURJKSA-N 1 2 319.449 1.569 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001132650316 769066278 /nfs/dbraw/zinc/06/62/78/769066278.db2.gz JNLYWNIJVUUVGR-NEPJUHHUSA-N 1 2 310.398 1.585 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnn(C)c2N)CC[C@H]1C ZINC001071447259 769446010 /nfs/dbraw/zinc/44/60/10/769446010.db2.gz KSZTUKGOTZJCHM-GHMZBOCLSA-N 1 2 311.817 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnn(C)c2N)CC[C@H]1C ZINC001071447259 769446016 /nfs/dbraw/zinc/44/60/16/769446016.db2.gz KSZTUKGOTZJCHM-GHMZBOCLSA-N 1 2 311.817 1.338 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2nnc(C)o2)CC[C@H]1C ZINC001071489793 769507954 /nfs/dbraw/zinc/50/79/54/769507954.db2.gz BLZJDDHLAUDIMO-PWSUYJOCSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2nnc(C)o2)CC[C@H]1C ZINC001071489793 769507959 /nfs/dbraw/zinc/50/79/59/769507959.db2.gz BLZJDDHLAUDIMO-PWSUYJOCSA-N 1 2 312.801 1.642 20 30 DDEDLO C=CCCCC(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001133144897 769542139 /nfs/dbraw/zinc/54/21/39/769542139.db2.gz GNJJPCORGCHJBR-GFCCVEGCSA-N 1 2 310.398 1.729 20 30 DDEDLO C=CCCC1(C(=O)NCC[NH2+]Cc2nnc(C3CC3)o2)CC1 ZINC001133375975 769766781 /nfs/dbraw/zinc/76/67/81/769766781.db2.gz QKYFIYFLLRLWJK-UHFFFAOYSA-N 1 2 304.394 1.899 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cn(C)c(=O)cn2)CC[C@@H]1C ZINC001071694340 769895833 /nfs/dbraw/zinc/89/58/33/769895833.db2.gz FRRVYPHNTAAFML-NWDGAFQWSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cn(C)c(=O)cn2)CC[C@@H]1C ZINC001071694340 769895843 /nfs/dbraw/zinc/89/58/43/769895843.db2.gz FRRVYPHNTAAFML-NWDGAFQWSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2nc(-c3ccoc3)no2)C1 ZINC001133570020 769999213 /nfs/dbraw/zinc/99/92/13/769999213.db2.gz IVRSLYQKCHQYFD-UHFFFAOYSA-N 1 2 316.361 1.892 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001096491252 770463606 /nfs/dbraw/zinc/46/36/06/770463606.db2.gz JAAGWERDTSIYGM-OCCSQVGLSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001096491252 770463614 /nfs/dbraw/zinc/46/36/14/770463614.db2.gz JAAGWERDTSIYGM-OCCSQVGLSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001096492692 770475503 /nfs/dbraw/zinc/47/55/03/770475503.db2.gz ZJKKGUMSOSRSFC-GXTWGEPZSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001096492692 770475509 /nfs/dbraw/zinc/47/55/09/770475509.db2.gz ZJKKGUMSOSRSFC-GXTWGEPZSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[NH2+][C@H](C)c1nc(C(C)C)no1 ZINC001134061905 770607810 /nfs/dbraw/zinc/60/78/10/770607810.db2.gz IYEHFSFMHGJVDN-UPJWGTAASA-N 1 2 322.409 1.551 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466606 770888335 /nfs/dbraw/zinc/88/83/35/770888335.db2.gz HINDRSGOWNGNNN-CVEARBPZSA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)C#CC1CC1 ZINC001049466606 770888348 /nfs/dbraw/zinc/88/83/48/770888348.db2.gz HINDRSGOWNGNNN-CVEARBPZSA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)co1 ZINC001049467974 770892890 /nfs/dbraw/zinc/89/28/90/770892890.db2.gz RMJGNXNXEXTPKX-DLBZAZTESA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)co1 ZINC001049467974 770892906 /nfs/dbraw/zinc/89/29/06/770892906.db2.gz RMJGNXNXEXTPKX-DLBZAZTESA-N 1 2 313.401 1.962 20 30 DDEDLO C[C@H](C[C@H](C)NCC#N)NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001134403111 770990105 /nfs/dbraw/zinc/99/01/05/770990105.db2.gz KJXNYOQJFBDKRT-QWHCGFSZSA-N 1 2 303.410 1.158 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H](Nc1ccc(C#N)nc1)C1CC1 ZINC001096705963 771403939 /nfs/dbraw/zinc/40/39/39/771403939.db2.gz FVSCXRGHYXIUDO-INIZCTEOSA-N 1 2 324.388 1.534 20 30 DDEDLO N#Cc1ccc(N[C@@H](CNC(=O)CCn2cc[nH+]c2)C2CC2)nc1 ZINC001096768754 771455586 /nfs/dbraw/zinc/45/55/86/771455586.db2.gz QJGRIYUERAHDMI-HNNXBMFYSA-N 1 2 324.388 1.547 20 30 DDEDLO N#Cc1ccc(N[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C2CC2)nc1 ZINC001096820150 771467519 /nfs/dbraw/zinc/46/75/19/771467519.db2.gz OGZRYLRQBSJPCV-CQSZACIVSA-N 1 2 310.361 1.226 20 30 DDEDLO C[C@H](C[C@H](C)NCC#N)NC(=O)C1([NH+]2CCOCC2)CCCC1 ZINC001135588905 771602527 /nfs/dbraw/zinc/60/25/27/771602527.db2.gz GVNLLXDERZEVQH-LSDHHAIUSA-N 1 2 322.453 1.028 20 30 DDEDLO C[C@H](CC(=O)N[C@H]1C[C@H](Nc2ncccc2C#N)C1)n1cc[nH+]c1 ZINC001097105171 771624876 /nfs/dbraw/zinc/62/48/76/771624876.db2.gz PGQWMWFURUDLFC-BPLDGKMQSA-N 1 2 324.388 1.860 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2occc2Cl)[C@@H](O)C1 ZINC001090537631 771972940 /nfs/dbraw/zinc/97/29/40/771972940.db2.gz AYGXZOBRGISRRN-QWRGUYRKSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2occc2Cl)[C@@H](O)C1 ZINC001090537631 771972944 /nfs/dbraw/zinc/97/29/44/771972944.db2.gz AYGXZOBRGISRRN-QWRGUYRKSA-N 1 2 319.188 1.851 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C12CCC2 ZINC001090598737 772027220 /nfs/dbraw/zinc/02/72/20/772027220.db2.gz HQBCIXHJLKRNFX-KBPBESRZSA-N 1 2 316.405 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2nc(C)oc2C)[C@H](O)C1 ZINC001090673182 772100014 /nfs/dbraw/zinc/10/00/14/772100014.db2.gz IWIUPWWGWHOJRF-VXGBXAGGSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2nc(C)oc2C)[C@H](O)C1 ZINC001090673182 772100015 /nfs/dbraw/zinc/10/00/15/772100015.db2.gz IWIUPWWGWHOJRF-VXGBXAGGSA-N 1 2 313.785 1.209 20 30 DDEDLO N#Cc1cccc(Cl)c1C[NH+]1CCC2(CC1)COCC(=O)N2 ZINC001143717544 772296173 /nfs/dbraw/zinc/29/61/73/772296173.db2.gz HLKSPUXOCDGRJA-UHFFFAOYSA-N 1 2 319.792 1.693 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nn(CC)nc1C)C2 ZINC001147182966 773075987 /nfs/dbraw/zinc/07/59/87/773075987.db2.gz YHJGZKFKJTXHBF-UHFFFAOYSA-N 1 2 317.437 1.607 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1nn(CC)nc1C)C2 ZINC001147182966 773075991 /nfs/dbraw/zinc/07/59/91/773075991.db2.gz YHJGZKFKJTXHBF-UHFFFAOYSA-N 1 2 317.437 1.607 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nncn1C)C2 ZINC001147514305 773157343 /nfs/dbraw/zinc/15/73/43/773157343.db2.gz IODPSYXFQXKGFP-AWEZNQCLSA-N 1 2 315.421 1.070 20 30 DDEDLO CC(C)C#CC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nncn1C)C2 ZINC001147514305 773157348 /nfs/dbraw/zinc/15/73/48/773157348.db2.gz IODPSYXFQXKGFP-AWEZNQCLSA-N 1 2 315.421 1.070 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C)o1)C2 ZINC001147531106 773169815 /nfs/dbraw/zinc/16/98/15/773169815.db2.gz YJVNZADIOVTPKS-AWEZNQCLSA-N 1 2 317.389 1.056 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C)o1)C2 ZINC001147531106 773169817 /nfs/dbraw/zinc/16/98/17/773169817.db2.gz YJVNZADIOVTPKS-AWEZNQCLSA-N 1 2 317.389 1.056 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001147631344 773186418 /nfs/dbraw/zinc/18/64/18/773186418.db2.gz UIOXEANTTHCKIF-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001147631344 773186421 /nfs/dbraw/zinc/18/64/21/773186421.db2.gz UIOXEANTTHCKIF-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)o1)C2 ZINC001148088857 773360504 /nfs/dbraw/zinc/36/05/04/773360504.db2.gz RUIKLYXNNPXXCF-OLZOCXBDSA-N 1 2 318.421 1.871 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)o1)C2 ZINC001148088857 773360511 /nfs/dbraw/zinc/36/05/11/773360511.db2.gz RUIKLYXNNPXXCF-OLZOCXBDSA-N 1 2 318.421 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C[C@H]2CCOC2)C1 ZINC001149446741 773364981 /nfs/dbraw/zinc/36/49/81/773364981.db2.gz IQXDRAFFRGNGPD-KGLIPLIRSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C[C@H]2CCOC2)C1 ZINC001149446741 773364986 /nfs/dbraw/zinc/36/49/86/773364986.db2.gz IQXDRAFFRGNGPD-KGLIPLIRSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2nc(C)c[nH]2)C1 ZINC001073810357 773433739 /nfs/dbraw/zinc/43/37/39/773433739.db2.gz QLJPIGRVXWDRHQ-LBPRGKRZSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2nc(C)c[nH]2)C1 ZINC001073810357 773433742 /nfs/dbraw/zinc/43/37/42/773433742.db2.gz QLJPIGRVXWDRHQ-LBPRGKRZSA-N 1 2 312.801 1.291 20 30 DDEDLO CCn1cc(C[N@H+](C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)nn1 ZINC001073970796 773564457 /nfs/dbraw/zinc/56/44/57/773564457.db2.gz ZLVLQHHMFZLNKT-UKRRQHHQSA-N 1 2 318.425 1.271 20 30 DDEDLO CCn1cc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)[C@H](C)C#N)nn1 ZINC001073970796 773564458 /nfs/dbraw/zinc/56/44/58/773564458.db2.gz ZLVLQHHMFZLNKT-UKRRQHHQSA-N 1 2 318.425 1.271 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccco3)C[C@H]21 ZINC001074163318 773686474 /nfs/dbraw/zinc/68/64/74/773686474.db2.gz OTQFBILPBJAVIR-IAGOWNOFSA-N 1 2 316.401 1.537 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccco3)C[C@H]21 ZINC001074163318 773686479 /nfs/dbraw/zinc/68/64/79/773686479.db2.gz OTQFBILPBJAVIR-IAGOWNOFSA-N 1 2 316.401 1.537 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCF)[C@@H]3C2)c1 ZINC001074205591 773729009 /nfs/dbraw/zinc/72/90/09/773729009.db2.gz UGPZDYGUWGAABP-CABCVRRESA-N 1 2 320.368 1.161 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[C@@H]3OCC[N@H+](CCCF)[C@@H]3C2)c1 ZINC001074205591 773729011 /nfs/dbraw/zinc/72/90/11/773729011.db2.gz UGPZDYGUWGAABP-CABCVRRESA-N 1 2 320.368 1.161 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C)CC=CC3)C[C@H]21 ZINC001074331768 773828225 /nfs/dbraw/zinc/82/82/25/773828225.db2.gz MMCXPQUKDQAWFJ-CVEARBPZSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C)CC=CC3)C[C@H]21 ZINC001074331768 773828227 /nfs/dbraw/zinc/82/82/27/773828227.db2.gz MMCXPQUKDQAWFJ-CVEARBPZSA-N 1 2 302.418 1.668 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2C[N@@H+](Cc3ncnn3C)C[C@@]2(C)C1 ZINC001091917164 773858748 /nfs/dbraw/zinc/85/87/48/773858748.db2.gz UTROWYIRMTZKLA-DYVFJYSZSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2C[N@H+](Cc3ncnn3C)C[C@@]2(C)C1 ZINC001091917164 773858751 /nfs/dbraw/zinc/85/87/51/773858751.db2.gz UTROWYIRMTZKLA-DYVFJYSZSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3oncc3C)C[C@@H]21 ZINC001074375597 773869308 /nfs/dbraw/zinc/86/93/08/773869308.db2.gz WTMQHRMJBZPVTC-KBPBESRZSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3oncc3C)C[C@@H]21 ZINC001074375597 773869313 /nfs/dbraw/zinc/86/93/13/773869313.db2.gz WTMQHRMJBZPVTC-KBPBESRZSA-N 1 2 305.378 1.474 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC1(F)F)c1nccn12 ZINC001092366044 774105670 /nfs/dbraw/zinc/10/56/70/774105670.db2.gz OBBUSLDYSHQJLI-NEPJUHHUSA-N 1 2 320.343 1.134 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001098636814 774627799 /nfs/dbraw/zinc/62/77/99/774627799.db2.gz KNODFBWRELNFDL-HUUCEWRRSA-N 1 2 316.405 1.664 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H](C)C3CC3)C2)nn1 ZINC001098649066 774631066 /nfs/dbraw/zinc/63/10/66/774631066.db2.gz KIAZPRCPAPFGPN-CZUORRHYSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@@H]21 ZINC001036818963 774707409 /nfs/dbraw/zinc/70/74/09/774707409.db2.gz LJNQGYOHAHRCQQ-GWCFXTLKSA-N 1 2 308.332 1.517 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@@H]21 ZINC001036818963 774707414 /nfs/dbraw/zinc/70/74/14/774707414.db2.gz LJNQGYOHAHRCQQ-GWCFXTLKSA-N 1 2 308.332 1.517 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cc(OC)no3)CC2)C1 ZINC001093527234 774778629 /nfs/dbraw/zinc/77/86/29/774778629.db2.gz QTKMMJNXARRQNR-UHFFFAOYSA-N 1 2 321.377 1.175 20 30 DDEDLO Cc1nc(N[C@@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001099405568 774982848 /nfs/dbraw/zinc/98/28/48/774982848.db2.gz QAQJIKIZOJLZLA-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099627061 775076047 /nfs/dbraw/zinc/07/60/47/775076047.db2.gz INMCHWDHYOBDMJ-HZPDHXFCSA-N 1 2 301.390 1.410 20 30 DDEDLO CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099627061 775076051 /nfs/dbraw/zinc/07/60/51/775076051.db2.gz INMCHWDHYOBDMJ-HZPDHXFCSA-N 1 2 301.390 1.410 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2ccco2)[C@@H](O)C1 ZINC001099653864 775100808 /nfs/dbraw/zinc/10/08/08/775100808.db2.gz ICIXIMGYWBPRIA-KBPBESRZSA-N 1 2 312.797 1.516 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2ccco2)[C@@H](O)C1 ZINC001099653864 775100816 /nfs/dbraw/zinc/10/08/16/775100816.db2.gz ICIXIMGYWBPRIA-KBPBESRZSA-N 1 2 312.797 1.516 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCCNc3ccc(C#N)nn3)ccn12 ZINC001093888049 775187901 /nfs/dbraw/zinc/18/79/01/775187901.db2.gz KXGCZHFXCVFUKA-UHFFFAOYSA-N 1 2 321.344 1.146 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCCNc3cnc(C#N)cn3)ccn12 ZINC001093888373 775187949 /nfs/dbraw/zinc/18/79/49/775187949.db2.gz ZIWUYCBBUHVWAG-UHFFFAOYSA-N 1 2 321.344 1.146 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094050985 775374553 /nfs/dbraw/zinc/37/45/53/775374553.db2.gz NHXABRXOYCBQDU-CYBMUJFWSA-N 1 2 317.437 1.595 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094050985 775374564 /nfs/dbraw/zinc/37/45/64/775374564.db2.gz NHXABRXOYCBQDU-CYBMUJFWSA-N 1 2 317.437 1.595 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C[C@@H]1O ZINC001100020229 775580975 /nfs/dbraw/zinc/58/09/75/775580975.db2.gz LWVGZTCDBSZBNZ-CABCVRRESA-N 1 2 320.437 1.074 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccn(C)n2)C[C@@H]1O ZINC001100020229 775580982 /nfs/dbraw/zinc/58/09/82/775580982.db2.gz LWVGZTCDBSZBNZ-CABCVRRESA-N 1 2 320.437 1.074 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cc(C)oc3C)nn2)C1 ZINC001094275202 775624641 /nfs/dbraw/zinc/62/46/41/775624641.db2.gz CLIZXQGJLMYZCB-UHFFFAOYSA-N 1 2 315.377 1.461 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C3CC(C)(C)C3)nn2)C1 ZINC001094289418 775690842 /nfs/dbraw/zinc/69/08/42/775690842.db2.gz JNLRBXBGKNONEC-UHFFFAOYSA-N 1 2 315.421 1.211 20 30 DDEDLO CC(C)c1nc(C[NH2+]C2CC(CNC(=O)[C@H](C)C#N)C2)no1 ZINC001100190000 775792385 /nfs/dbraw/zinc/79/23/85/775792385.db2.gz HHLZVCVDIYSGJL-VOMCLLRMSA-N 1 2 305.382 1.337 20 30 DDEDLO Cc1ccc(C#N)c(NCCCNC(=O)CCc2[nH]cc[nH+]2)n1 ZINC001094406554 775865281 /nfs/dbraw/zinc/86/52/81/775865281.db2.gz CDQSWIKWZDEHPC-UHFFFAOYSA-N 1 2 312.377 1.536 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(C)c2[nH+]cnc3c2cnn3C)C1 ZINC001100314540 775983785 /nfs/dbraw/zinc/98/37/85/775983785.db2.gz UDMHRDGKYYKHHS-UHFFFAOYSA-N 1 2 314.393 1.272 20 30 DDEDLO O=C(C#CC1CC1)NCC1CC([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001100316083 775986742 /nfs/dbraw/zinc/98/67/42/775986742.db2.gz SUWKUGYFSKMKQN-UHFFFAOYSA-N 1 2 314.389 1.345 20 30 DDEDLO C=C(C)CCC(=O)NCC1CC([NH2+]Cc2noc(CC)n2)C1 ZINC001100371080 776067124 /nfs/dbraw/zinc/06/71/24/776067124.db2.gz OSXSNSYRBMINRW-UHFFFAOYSA-N 1 2 306.410 1.973 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@H]2CCc3[nH+]ccn3C2)cn1 ZINC001094656622 776175664 /nfs/dbraw/zinc/17/56/64/776175664.db2.gz PFDFIWZYWSQXBK-ZDUSSCGKSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3cc(C)c(C)o3)CC2=O)C1 ZINC001094721520 776188628 /nfs/dbraw/zinc/18/86/28/776188628.db2.gz FCNAPSHDYUVRNL-CYBMUJFWSA-N 1 2 317.389 1.097 20 30 DDEDLO CCN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)c1ncccc1C#N ZINC001100755523 776503375 /nfs/dbraw/zinc/50/33/75/776503375.db2.gz WZONWDGDZXLWSE-ZIAGYGMSSA-N 1 2 324.388 1.423 20 30 DDEDLO CCN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)c1ncccc1C#N ZINC001100755523 776503385 /nfs/dbraw/zinc/50/33/85/776503385.db2.gz WZONWDGDZXLWSE-ZIAGYGMSSA-N 1 2 324.388 1.423 20 30 DDEDLO N#CCN[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1cccc3[nH+]ccn31)C2 ZINC001094962951 776910148 /nfs/dbraw/zinc/91/01/48/776910148.db2.gz MJYXPFKMWQFRRG-MJBXVCDLSA-N 1 2 309.373 1.441 20 30 DDEDLO CCCN(CCNC(=O)c1c[nH]c(C#N)c1)c1cc[nH+]c(C)n1 ZINC001101136990 776937229 /nfs/dbraw/zinc/93/72/29/776937229.db2.gz BTUGDOWENVVWPO-UHFFFAOYSA-N 1 2 312.377 1.631 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)OCC)c1nccn12 ZINC001101612438 777302974 /nfs/dbraw/zinc/30/29/74/777302974.db2.gz WUVQDGMQPKMUMA-QWHCGFSZSA-N 1 2 304.394 1.066 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](CC)OCC)c1nccn12 ZINC001101613897 777304940 /nfs/dbraw/zinc/30/49/40/777304940.db2.gz KAVCKVYQOUKVPM-KBPBESRZSA-N 1 2 318.421 1.456 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)COC(C)C)c1nccn12 ZINC001101616031 777308006 /nfs/dbraw/zinc/30/80/06/777308006.db2.gz WNIJUOOMSAXFTB-CYBMUJFWSA-N 1 2 304.394 1.066 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(CC)CC1)c1nccn12 ZINC001101642841 777339230 /nfs/dbraw/zinc/33/92/30/777339230.db2.gz NDQFLSTUFLMYOA-CQSZACIVSA-N 1 2 312.417 1.669 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCCN(c1cc[nH+]c(C)n1)C(C)C ZINC001102098404 777873413 /nfs/dbraw/zinc/87/34/13/777873413.db2.gz JLRRPPILJJCIGG-KRWDZBQOSA-N 1 2 320.437 1.833 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCN(C(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001102441959 778143839 /nfs/dbraw/zinc/14/38/39/778143839.db2.gz LNDDTGZSVCVCKW-HNNXBMFYSA-N 1 2 300.406 1.729 20 30 DDEDLO CCN(C(=O)Cc1c[nH+]c[nH]1)[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001102835685 778434680 /nfs/dbraw/zinc/43/46/80/778434680.db2.gz YYHYOCAIUHBIAN-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCN1CCO[C@@H]2CCN(C(=O)CCCn3cc[nH+]c3)C[C@@H]21 ZINC001177161554 778468479 /nfs/dbraw/zinc/46/84/79/778468479.db2.gz DHSILYLYEWTKKM-JKSUJKDBSA-N 1 2 318.421 1.151 20 30 DDEDLO CC[C@H](CNC(=O)Cc1c[nH+]cn1C)Nc1ccc(C#N)nc1 ZINC001103150733 778669830 /nfs/dbraw/zinc/66/98/30/778669830.db2.gz CUJJOPNRIRGZLE-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO N#Cc1ccc(NCC2(NC(=O)Cc3[nH]cc[nH+]3)CCCC2)cn1 ZINC001103439995 778868633 /nfs/dbraw/zinc/86/86/33/778868633.db2.gz HCHXAAQVCRFION-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO CC(=O)Nc1ccc(NC(=O)[C@H]2CCc3[nH+]ccn3C2)cc1C#N ZINC001178116901 778880968 /nfs/dbraw/zinc/88/09/68/778880968.db2.gz PGEHJQBSJOTVAM-LBPRGKRZSA-N 1 2 323.356 1.914 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001103932934 779183667 /nfs/dbraw/zinc/18/36/67/779183667.db2.gz JPSUPDWVEPUIIW-LBPRGKRZSA-N 1 2 314.393 1.187 20 30 DDEDLO N#CCNCCCCCCNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001178865615 779203943 /nfs/dbraw/zinc/20/39/43/779203943.db2.gz PSKPITGPAKFYEJ-AWEZNQCLSA-N 1 2 303.410 1.235 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)co1 ZINC001111667065 779426927 /nfs/dbraw/zinc/42/69/27/779426927.db2.gz SSTZFPBHZCHNCU-FFSVYQOJSA-N 1 2 302.378 1.613 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)co1 ZINC001111667065 779426933 /nfs/dbraw/zinc/42/69/33/779426933.db2.gz SSTZFPBHZCHNCU-FFSVYQOJSA-N 1 2 302.378 1.613 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001111794355 779484180 /nfs/dbraw/zinc/48/41/80/779484180.db2.gz PENNZPLPJNYMJH-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO Cc1nc(N(C)CCCN(C)C(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001112081609 779618507 /nfs/dbraw/zinc/61/85/07/779618507.db2.gz XELCYFMEVHGLRV-UHFFFAOYSA-N 1 2 312.377 1.583 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1C ZINC001112401009 779759653 /nfs/dbraw/zinc/75/96/53/779759653.db2.gz VFBKVUFQXJCDFA-JSGCOSHPSA-N 1 2 304.394 1.319 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC001117375372 780745950 /nfs/dbraw/zinc/74/59/50/780745950.db2.gz OMJOJMJSFIKIGP-MRXNPFEDSA-N 1 2 313.401 1.209 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC001117375372 780745956 /nfs/dbraw/zinc/74/59/56/780745956.db2.gz OMJOJMJSFIKIGP-MRXNPFEDSA-N 1 2 313.401 1.209 20 30 DDEDLO N#Cc1ccc(C2=CC[N@H+](CN3C[C@H]4CCO[C@H]4C3=O)CC2)cc1 ZINC001119326494 781405691 /nfs/dbraw/zinc/40/56/91/781405691.db2.gz RZAMJALUARYNJT-QZTJIDSGSA-N 1 2 323.396 1.852 20 30 DDEDLO N#Cc1ccc(C2=CC[N@@H+](CN3C[C@H]4CCO[C@H]4C3=O)CC2)cc1 ZINC001119326494 781405696 /nfs/dbraw/zinc/40/56/96/781405696.db2.gz RZAMJALUARYNJT-QZTJIDSGSA-N 1 2 323.396 1.852 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)CN(C)C(=O)Cc2[nH]cc[nH+]2)n1 ZINC001119977676 781704040 /nfs/dbraw/zinc/70/40/40/781704040.db2.gz BHJYDDXPMAJODX-LBPRGKRZSA-N 1 2 312.377 1.486 20 30 DDEDLO CC(C(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC#N)=C1CCCC1 ZINC001267263926 837696835 /nfs/dbraw/zinc/69/68/35/837696835.db2.gz POFKMJWGHLHNMY-HNNXBMFYSA-N 1 2 318.421 1.097 20 30 DDEDLO CC(C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC#N)=C1CCCC1 ZINC001267263926 837696841 /nfs/dbraw/zinc/69/68/41/837696841.db2.gz POFKMJWGHLHNMY-HNNXBMFYSA-N 1 2 318.421 1.097 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CCC[N@H+]1Cc1ncc(C)cn1 ZINC001267266381 837702564 /nfs/dbraw/zinc/70/25/64/837702564.db2.gz IORWRGGHKCDOOU-PBHICJAKSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CCC[N@@H+]1Cc1ncc(C)cn1 ZINC001267266381 837702572 /nfs/dbraw/zinc/70/25/72/837702572.db2.gz IORWRGGHKCDOOU-PBHICJAKSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCC[N@H+]1Cc1nc(C)oc1C ZINC001267266613 837703225 /nfs/dbraw/zinc/70/32/25/837703225.db2.gz KKNVBBPMGPLGPN-WMLDXEAASA-N 1 2 321.421 1.699 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1nc(C)oc1C ZINC001267266613 837703229 /nfs/dbraw/zinc/70/32/29/837703229.db2.gz KKNVBBPMGPLGPN-WMLDXEAASA-N 1 2 321.421 1.699 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H](CNC(=O)C#CC(C)(C)C)C2)n1 ZINC001267293806 837767340 /nfs/dbraw/zinc/76/73/40/837767340.db2.gz ZIUHTIOIVNKDCB-ZDUSSCGKSA-N 1 2 304.394 1.366 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H](CNC(=O)C#CC(C)(C)C)C2)n1 ZINC001267293806 837767347 /nfs/dbraw/zinc/76/73/47/837767347.db2.gz ZIUHTIOIVNKDCB-ZDUSSCGKSA-N 1 2 304.394 1.366 20 30 DDEDLO CCCc1nc(C(=O)N[C@H]2CCC[N@H+](CC#CCOC)C2)co1 ZINC001266245999 835981423 /nfs/dbraw/zinc/98/14/23/835981423.db2.gz JDDPGRLGXMIFGU-AWEZNQCLSA-N 1 2 319.405 1.471 20 30 DDEDLO CCCc1nc(C(=O)N[C@H]2CCC[N@@H+](CC#CCOC)C2)co1 ZINC001266245999 835981426 /nfs/dbraw/zinc/98/14/26/835981426.db2.gz JDDPGRLGXMIFGU-AWEZNQCLSA-N 1 2 319.405 1.471 20 30 DDEDLO C[C@@H]1CCC[C@@H](C)N1C(=O)C[N@H+](C)CCNC(=O)C#CC1CC1 ZINC001266273018 836023676 /nfs/dbraw/zinc/02/36/76/836023676.db2.gz ITCSBQGOSWITPE-HUUCEWRRSA-N 1 2 319.449 1.237 20 30 DDEDLO C[C@@H]1CCC[C@@H](C)N1C(=O)C[N@@H+](C)CCNC(=O)C#CC1CC1 ZINC001266273018 836023684 /nfs/dbraw/zinc/02/36/84/836023684.db2.gz ITCSBQGOSWITPE-HUUCEWRRSA-N 1 2 319.449 1.237 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@H+](CCCS(C)(=O)=O)C1 ZINC001266320716 836115454 /nfs/dbraw/zinc/11/54/54/836115454.db2.gz DYOQPRRQKOFSGB-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@@H+](CCCS(C)(=O)=O)C1 ZINC001266320716 836115459 /nfs/dbraw/zinc/11/54/59/836115459.db2.gz DYOQPRRQKOFSGB-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO CCn1ccc(C[N@@H+]2CCC[C@H]2CNC(=O)CSCC#N)n1 ZINC001266447008 836263523 /nfs/dbraw/zinc/26/35/23/836263523.db2.gz NKKHUJCDUAULSX-AWEZNQCLSA-N 1 2 321.450 1.240 20 30 DDEDLO CCn1ccc(C[N@H+]2CCC[C@H]2CNC(=O)CSCC#N)n1 ZINC001266447008 836263527 /nfs/dbraw/zinc/26/35/27/836263527.db2.gz NKKHUJCDUAULSX-AWEZNQCLSA-N 1 2 321.450 1.240 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@@H+]([C@@H](C)c2nnnn2C)C1 ZINC001266528726 836419709 /nfs/dbraw/zinc/41/97/09/836419709.db2.gz XHCOOAWXDYXGCS-QWHCGFSZSA-N 1 2 306.414 1.066 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@H+]([C@@H](C)c2nnnn2C)C1 ZINC001266528726 836419715 /nfs/dbraw/zinc/41/97/15/836419715.db2.gz XHCOOAWXDYXGCS-QWHCGFSZSA-N 1 2 306.414 1.066 20 30 DDEDLO Cc1ncoc1C[N@H+]1CCC[C@@](CO)(NC(=O)C#CC(C)C)C1 ZINC001279479726 836670966 /nfs/dbraw/zinc/67/09/66/836670966.db2.gz KXQRZPBTLTWKHG-QGZVFWFLSA-N 1 2 319.405 1.086 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CCC[C@@](CO)(NC(=O)C#CC(C)C)C1 ZINC001279479726 836670972 /nfs/dbraw/zinc/67/09/72/836670972.db2.gz KXQRZPBTLTWKHG-QGZVFWFLSA-N 1 2 319.405 1.086 20 30 DDEDLO C#CCCCCC(=O)N[C@@]1(CO)CCC[N@H+](CC(=C)Cl)C1 ZINC001279480429 836683490 /nfs/dbraw/zinc/68/34/90/836683490.db2.gz QIXINKBGDIYIMQ-INIZCTEOSA-N 1 2 312.841 1.876 20 30 DDEDLO C#CCCCCC(=O)N[C@@]1(CO)CCC[N@@H+](CC(=C)Cl)C1 ZINC001279480429 836683491 /nfs/dbraw/zinc/68/34/91/836683491.db2.gz QIXINKBGDIYIMQ-INIZCTEOSA-N 1 2 312.841 1.876 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H](C)SC ZINC001266833049 836900957 /nfs/dbraw/zinc/90/09/57/836900957.db2.gz PAOYISKJNOSRDP-QWHCGFSZSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H](C)SC ZINC001266833049 836900960 /nfs/dbraw/zinc/90/09/60/836900960.db2.gz PAOYISKJNOSRDP-QWHCGFSZSA-N 1 2 313.467 1.011 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]([N@H+](C)Cc2nonc2C)C1 ZINC001267106122 837358330 /nfs/dbraw/zinc/35/83/30/837358330.db2.gz OQFJFIYWJRLJHL-XJKSGUPXSA-N 1 2 322.409 1.128 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]([N@@H+](C)Cc2nonc2C)C1 ZINC001267106122 837358342 /nfs/dbraw/zinc/35/83/42/837358342.db2.gz OQFJFIYWJRLJHL-XJKSGUPXSA-N 1 2 322.409 1.128 20 30 DDEDLO C#CCCCC(=O)N(C)CC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001267367110 837925294 /nfs/dbraw/zinc/92/52/94/837925294.db2.gz RDUFGXCPZWPQOU-UHFFFAOYSA-N 1 2 316.361 1.681 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](C[N@@H+](C)Cc2ncnn2CC)C1 ZINC001267581478 838359801 /nfs/dbraw/zinc/35/98/01/838359801.db2.gz ANVBNCOGFRMMTD-OAHLLOKOSA-N 1 2 319.453 1.935 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](C[N@H+](C)Cc2ncnn2CC)C1 ZINC001267581478 838359806 /nfs/dbraw/zinc/35/98/06/838359806.db2.gz ANVBNCOGFRMMTD-OAHLLOKOSA-N 1 2 319.453 1.935 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCOCC(F)(F)F ZINC001267705371 838686059 /nfs/dbraw/zinc/68/60/59/838686059.db2.gz XWHACDRYSDXOAF-STQMWFEESA-N 1 2 318.339 1.654 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCOCC(F)(F)F ZINC001267705371 838686063 /nfs/dbraw/zinc/68/60/63/838686063.db2.gz XWHACDRYSDXOAF-STQMWFEESA-N 1 2 318.339 1.654 20 30 DDEDLO CC(C)(C)C#CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCOCC(F)F ZINC001267706736 838689252 /nfs/dbraw/zinc/68/92/52/838689252.db2.gz QOQMKGYREYPWCE-STQMWFEESA-N 1 2 314.376 1.603 20 30 DDEDLO CC(C)(C)C#CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCOCC(F)F ZINC001267706736 838689255 /nfs/dbraw/zinc/68/92/55/838689255.db2.gz QOQMKGYREYPWCE-STQMWFEESA-N 1 2 314.376 1.603 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCNC(=O)C1CC1 ZINC001267712183 838695420 /nfs/dbraw/zinc/69/54/20/838695420.db2.gz NKODZZMYMYQQBR-KBMXLJTQSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCNC(=O)C1CC1 ZINC001267712183 838695421 /nfs/dbraw/zinc/69/54/21/838695421.db2.gz NKODZZMYMYQQBR-KBMXLJTQSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H](C)OCCOCC)C1 ZINC001267754378 838858983 /nfs/dbraw/zinc/85/89/83/838858983.db2.gz NHCMYBISXSHDNU-CHWSQXEVSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)OCCOCC)C1 ZINC001267754378 838858994 /nfs/dbraw/zinc/85/89/94/838858994.db2.gz NHCMYBISXSHDNU-CHWSQXEVSA-N 1 2 304.818 1.371 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@]2(C)CC=CCC2)C1 ZINC001267937452 839223462 /nfs/dbraw/zinc/22/34/62/839223462.db2.gz MKBXFDIDPXUHLA-CRAIPNDOSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@]2(C)CC=CCC2)C1 ZINC001267937452 839223475 /nfs/dbraw/zinc/22/34/75/839223475.db2.gz MKBXFDIDPXUHLA-CRAIPNDOSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H](OC)c2ccc(F)cc2)C1 ZINC001268029242 839443115 /nfs/dbraw/zinc/44/31/15/839443115.db2.gz SRYCAHNADHHLNZ-CVEARBPZSA-N 1 2 322.380 1.516 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H](OC)c2ccc(F)cc2)C1 ZINC001268029242 839443125 /nfs/dbraw/zinc/44/31/25/839443125.db2.gz SRYCAHNADHHLNZ-CVEARBPZSA-N 1 2 322.380 1.516 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H](C)OCc2cccc(C)c2)C1 ZINC001268432647 840176959 /nfs/dbraw/zinc/17/69/59/840176959.db2.gz GIWYSWZEHSJVFH-HNNXBMFYSA-N 1 2 300.402 1.724 20 30 DDEDLO O=C(CC#Cc1ccccc1)NC1C[NH+](C[C@@H]2CCCCO2)C1 ZINC001268483905 840280558 /nfs/dbraw/zinc/28/05/58/840280558.db2.gz UPFHWCPXFJCOTH-SFHVURJKSA-N 1 2 312.413 1.798 20 30 DDEDLO O=C(C#CC1CC1)NC/C=C/C[NH2+]Cc1nnc(C2CC2)s1 ZINC001268536463 840410952 /nfs/dbraw/zinc/41/09/52/840410952.db2.gz KJHJQOQJGWJCAD-OWOJBTEDSA-N 1 2 316.430 1.591 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001268684364 840671233 /nfs/dbraw/zinc/67/12/33/840671233.db2.gz OARQGEQCFKSBBK-LBPRGKRZSA-N 1 2 316.361 1.727 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2cc(C)c(C)s2)C1 ZINC001268906224 840974506 /nfs/dbraw/zinc/97/45/06/840974506.db2.gz LYOOHQYORCLFSV-UHFFFAOYSA-N 1 2 321.446 1.329 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+]1[C@H](C)C(=O)N(CC)CC ZINC001269224654 841371055 /nfs/dbraw/zinc/37/10/55/841371055.db2.gz XRIMHEPXDCVQJA-HUUCEWRRSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@H](C)C(=O)N(CC)CC ZINC001269224654 841371080 /nfs/dbraw/zinc/37/10/80/841371080.db2.gz XRIMHEPXDCVQJA-HUUCEWRRSA-N 1 2 307.438 1.237 20 30 DDEDLO Cc1csc(=O)n1CC[N@H+]1CC[C@@H]1CNC(=O)C#CC(C)C ZINC001269229616 841388089 /nfs/dbraw/zinc/38/80/89/841388089.db2.gz MWOWHXVOVCKCTK-CQSZACIVSA-N 1 2 321.446 1.068 20 30 DDEDLO Cc1csc(=O)n1CC[N@@H+]1CC[C@@H]1CNC(=O)C#CC(C)C ZINC001269229616 841388095 /nfs/dbraw/zinc/38/80/95/841388095.db2.gz MWOWHXVOVCKCTK-CQSZACIVSA-N 1 2 321.446 1.068 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001269234453 841393410 /nfs/dbraw/zinc/39/34/10/841393410.db2.gz QQFHCJJYXZYUKI-INIZCTEOSA-N 1 2 302.422 1.565 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001269234453 841393423 /nfs/dbraw/zinc/39/34/23/841393423.db2.gz QQFHCJJYXZYUKI-INIZCTEOSA-N 1 2 302.422 1.565 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@@H]1CCCN(C)C1=O ZINC001269312752 841503177 /nfs/dbraw/zinc/50/31/77/841503177.db2.gz XNOKWNZKVKDQBO-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@@H]1CCCN(C)C1=O ZINC001269312752 841503187 /nfs/dbraw/zinc/50/31/87/841503187.db2.gz XNOKWNZKVKDQBO-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)C(C)(C)c1ccccc1 ZINC001269344096 841544829 /nfs/dbraw/zinc/54/48/29/841544829.db2.gz RSPHUGLNWWLJLR-KRWDZBQOSA-N 1 2 314.429 1.805 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)C(C)(C)c1ccccc1 ZINC001269344096 841544835 /nfs/dbraw/zinc/54/48/35/841544835.db2.gz RSPHUGLNWWLJLR-KRWDZBQOSA-N 1 2 314.429 1.805 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)C2CCC(O)CC2)CC1 ZINC001269621945 841838027 /nfs/dbraw/zinc/83/80/27/841838027.db2.gz VMZZMFXDOUCMHW-UHFFFAOYSA-N 1 2 319.449 1.764 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)C2CCC(O)CC2)CC1 ZINC001269621945 841838034 /nfs/dbraw/zinc/83/80/34/841838034.db2.gz VMZZMFXDOUCMHW-UHFFFAOYSA-N 1 2 319.449 1.764 20 30 DDEDLO C#CCN(C(=O)[C@@H](C)Cc1c[nH]c[nH+]1)C1CCN(CC#N)CC1 ZINC001270351365 842508264 /nfs/dbraw/zinc/50/82/64/842508264.db2.gz MTLJPSFHBMHKDL-AWEZNQCLSA-N 1 2 313.405 1.038 20 30 DDEDLO C#CCN(C(=O)[C@@H](C)Cc1c[nH+]c[nH]1)C1CCN(CC#N)CC1 ZINC001270351365 842508266 /nfs/dbraw/zinc/50/82/66/842508266.db2.gz MTLJPSFHBMHKDL-AWEZNQCLSA-N 1 2 313.405 1.038 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[N@@H+](CCCC(F)(F)F)C[C@H](C1)O2 ZINC001270500769 842622062 /nfs/dbraw/zinc/62/20/62/842622062.db2.gz CWHYAQYZQVQXJT-SDDRHHMPSA-N 1 2 319.327 1.400 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[N@H+](CCCC(F)(F)F)C[C@H](C1)O2 ZINC001270500769 842622069 /nfs/dbraw/zinc/62/20/69/842622069.db2.gz CWHYAQYZQVQXJT-SDDRHHMPSA-N 1 2 319.327 1.400 20 30 DDEDLO CC#CC(=O)N1CC[C@@H]2C[C@@]21C(=O)Nc1cccc2[nH+]ccn21 ZINC001271088349 843196988 /nfs/dbraw/zinc/19/69/88/843196988.db2.gz DPFTUWJFBWWXEH-PXAZEXFGSA-N 1 2 308.341 1.287 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@@H+]([C@H](C)c2nnc(C)[nH]2)C1 ZINC001271185213 843393877 /nfs/dbraw/zinc/39/38/77/843393877.db2.gz UTUVAZIJKSUJCA-MLGOLLRUSA-N 1 2 321.425 1.083 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@H+]([C@H](C)c2nnc(C)[nH]2)C1 ZINC001271185213 843393882 /nfs/dbraw/zinc/39/38/82/843393882.db2.gz UTUVAZIJKSUJCA-MLGOLLRUSA-N 1 2 321.425 1.083 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1COCC[N@@H+]1CCC[C@H]1CCOC1 ZINC001280398803 843576685 /nfs/dbraw/zinc/57/66/85/843576685.db2.gz BBUXGXJLJWKWAO-JKSUJKDBSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1COCC[N@H+]1CCC[C@H]1CCOC1 ZINC001280398803 843576689 /nfs/dbraw/zinc/57/66/89/843576689.db2.gz BBUXGXJLJWKWAO-JKSUJKDBSA-N 1 2 310.438 1.586 20 30 DDEDLO CC[C@@H]1CCCC[C@@H]1C(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001272146776 844653212 /nfs/dbraw/zinc/65/32/12/844653212.db2.gz ZKWBNOFYNVERBA-CABCVRRESA-N 1 2 322.453 1.233 20 30 DDEDLO CC[C@@H]1CCCC[C@@H]1C(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001272146776 844653216 /nfs/dbraw/zinc/65/32/16/844653216.db2.gz ZKWBNOFYNVERBA-CABCVRRESA-N 1 2 322.453 1.233 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1COCC[N@@H+]1CCCC1CCC1 ZINC001326631155 861493287 /nfs/dbraw/zinc/49/32/87/861493287.db2.gz AKMYERRBVNXKOE-DOTOQJQBSA-N 1 2 322.449 1.422 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1COCC[N@H+]1CCCC1CCC1 ZINC001326631155 861493292 /nfs/dbraw/zinc/49/32/92/861493292.db2.gz AKMYERRBVNXKOE-DOTOQJQBSA-N 1 2 322.449 1.422 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)COCCC)C1 ZINC001149317990 861541945 /nfs/dbraw/zinc/54/19/45/861541945.db2.gz PXERJPYLMQSENB-ZDUSSCGKSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)COCCC)C1 ZINC001149317990 861541954 /nfs/dbraw/zinc/54/19/54/861541954.db2.gz PXERJPYLMQSENB-ZDUSSCGKSA-N 1 2 304.818 1.373 20 30 DDEDLO N#Cc1cccc(CC(=O)N2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)c1 ZINC001272304185 846019112 /nfs/dbraw/zinc/01/91/12/846019112.db2.gz MYIYOURNGYIKNS-UHFFFAOYSA-N 1 2 321.384 1.168 20 30 DDEDLO C#CC(C)(C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1CC)C2 ZINC001272348740 846055267 /nfs/dbraw/zinc/05/52/67/846055267.db2.gz TXHIXHHVCMVRGH-UHFFFAOYSA-N 1 2 314.433 1.597 20 30 DDEDLO C#CC(C)(C)C(=O)N1CC2(C1)CC[N@H+](Cc1nccn1CC)C2 ZINC001272348740 846055277 /nfs/dbraw/zinc/05/52/77/846055277.db2.gz TXHIXHHVCMVRGH-UHFFFAOYSA-N 1 2 314.433 1.597 20 30 DDEDLO CCCCCCC[N@@H+]1C[C@]2(F)CN(C3COC3)C(=O)[C@]2(F)C1 ZINC001272478859 846187958 /nfs/dbraw/zinc/18/79/58/846187958.db2.gz QPQAFGXJXUGUFZ-JKSUJKDBSA-N 1 2 316.392 1.930 20 30 DDEDLO CCCCCCC[N@H+]1C[C@]2(F)CN(C3COC3)C(=O)[C@]2(F)C1 ZINC001272478859 846187965 /nfs/dbraw/zinc/18/79/65/846187965.db2.gz QPQAFGXJXUGUFZ-JKSUJKDBSA-N 1 2 316.392 1.930 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1coc3ccccc13)C2 ZINC001272667788 846538667 /nfs/dbraw/zinc/53/86/67/846538667.db2.gz WRJVCAGRKKXMMX-UHFFFAOYSA-N 1 2 310.353 1.479 20 30 DDEDLO C=CCOCC(=O)NC[C@]1(C)C[N@H+](CCC(F)(F)F)CCO1 ZINC001107811683 847172967 /nfs/dbraw/zinc/17/29/67/847172967.db2.gz PSRVCYKBTAVTSZ-CYBMUJFWSA-N 1 2 324.343 1.349 20 30 DDEDLO C=CCOCC(=O)NC[C@]1(C)C[N@@H+](CCC(F)(F)F)CCO1 ZINC001107811683 847172972 /nfs/dbraw/zinc/17/29/72/847172972.db2.gz PSRVCYKBTAVTSZ-CYBMUJFWSA-N 1 2 324.343 1.349 20 30 DDEDLO N#CCCCNC(=O)[C@H]1CC12CC[NH+](Cc1ncccn1)CC2 ZINC001272794223 847435150 /nfs/dbraw/zinc/43/51/50/847435150.db2.gz PPQOCORWOYTQAN-CQSZACIVSA-N 1 2 313.405 1.499 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CC)c1 ZINC001272842807 847537476 /nfs/dbraw/zinc/53/74/76/847537476.db2.gz KJERZJWBSAPBLT-HNNXBMFYSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CC)c1 ZINC001272842807 847537483 /nfs/dbraw/zinc/53/74/83/847537483.db2.gz KJERZJWBSAPBLT-HNNXBMFYSA-N 1 2 306.410 1.300 20 30 DDEDLO Cc1c[nH]c(C[NH+]2CCC3(C[C@H]3C(=O)NCCCC#N)CC2)n1 ZINC001272908090 847620235 /nfs/dbraw/zinc/62/02/35/847620235.db2.gz PEQVRPZMKIXKTF-AWEZNQCLSA-N 1 2 315.421 1.740 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C1 ZINC001410017295 848623541 /nfs/dbraw/zinc/62/35/41/848623541.db2.gz ULLTXLCMXCLDSW-JSGCOSHPSA-N 1 2 322.453 1.277 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C1 ZINC001410017295 848623542 /nfs/dbraw/zinc/62/35/42/848623542.db2.gz ULLTXLCMXCLDSW-JSGCOSHPSA-N 1 2 322.453 1.277 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@H](CC)NC(C)=O)C(C)(C)C1 ZINC001410029182 848698656 /nfs/dbraw/zinc/69/86/56/848698656.db2.gz QKYWUBMDHVOCJX-STQMWFEESA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@H](CC)NC(C)=O)C(C)(C)C1 ZINC001410029182 848698660 /nfs/dbraw/zinc/69/86/60/848698660.db2.gz QKYWUBMDHVOCJX-STQMWFEESA-N 1 2 315.845 1.480 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1cccnc1F)C2 ZINC001273400342 849919578 /nfs/dbraw/zinc/91/95/78/849919578.db2.gz QBKHOVMINUIEAR-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1cccnc1F)C2 ZINC001273400342 849919588 /nfs/dbraw/zinc/91/95/88/849919588.db2.gz QBKHOVMINUIEAR-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCn2cncn2)[C@H]1C ZINC001410921851 849965019 /nfs/dbraw/zinc/96/50/19/849965019.db2.gz PBEOPAJPAHOFGM-CHWSQXEVSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCn2cncn2)[C@H]1C ZINC001410921851 849965026 /nfs/dbraw/zinc/96/50/26/849965026.db2.gz PBEOPAJPAHOFGM-CHWSQXEVSA-N 1 2 311.817 1.390 20 30 DDEDLO CC(C)c1ocnc1C[N@H+](C)C[C@@H](O)CN(C)C(=O)[C@@H](C)C#N ZINC001411167180 850314236 /nfs/dbraw/zinc/31/42/36/850314236.db2.gz PGXOBAYNUNCTMP-QWHCGFSZSA-N 1 2 322.409 1.209 20 30 DDEDLO CC(C)c1ocnc1C[N@@H+](C)C[C@@H](O)CN(C)C(=O)[C@@H](C)C#N ZINC001411167180 850314242 /nfs/dbraw/zinc/31/42/42/850314242.db2.gz PGXOBAYNUNCTMP-QWHCGFSZSA-N 1 2 322.409 1.209 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1COC2(C[NH+](CC3=CCCCC3)C2)C1 ZINC001327385781 862126157 /nfs/dbraw/zinc/12/61/57/862126157.db2.gz DMLXLJIEKMRLOV-QGZVFWFLSA-N 1 2 314.429 1.860 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]cc1C)CO2 ZINC001327427088 862167508 /nfs/dbraw/zinc/16/75/08/862167508.db2.gz OESBMILIAIPJFV-AWEZNQCLSA-N 1 2 319.405 1.099 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+]([C@@H](C)C(=O)OC(C)(C)C)C2)OCC1=O ZINC001273706355 851249905 /nfs/dbraw/zinc/24/99/05/851249905.db2.gz IAELFTSKJZVCHT-GUYCJALGSA-N 1 2 324.421 1.206 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+]([C@@H](C)C(=O)OC(C)(C)C)C2)OCC1=O ZINC001273706355 851249909 /nfs/dbraw/zinc/24/99/09/851249909.db2.gz IAELFTSKJZVCHT-GUYCJALGSA-N 1 2 324.421 1.206 20 30 DDEDLO C[C@H](C#N)C(=O)NC/C=C\C[NH2+]Cc1nnc(C(F)F)s1 ZINC001273911308 851496543 /nfs/dbraw/zinc/49/65/43/851496543.db2.gz SXXQMZZXSVBFAH-YAJNLLPGSA-N 1 2 315.349 1.397 20 30 DDEDLO C[C@H](C#N)C(=O)NC/C=C/C[NH2+]Cc1nnc(C(F)F)s1 ZINC001273911306 851496905 /nfs/dbraw/zinc/49/69/05/851496905.db2.gz SXXQMZZXSVBFAH-UFUPEUMYSA-N 1 2 315.349 1.397 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@]2(C)CCOC2)C1 ZINC001150498548 862279513 /nfs/dbraw/zinc/27/95/13/862279513.db2.gz PKVYZBXRLWKYJX-UKRRQHHQSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@]2(C)CCOC2)C1 ZINC001150498548 862279530 /nfs/dbraw/zinc/27/95/30/862279530.db2.gz PKVYZBXRLWKYJX-UKRRQHHQSA-N 1 2 316.829 1.373 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C\C[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001274011098 851845855 /nfs/dbraw/zinc/84/58/55/851845855.db2.gz ULXSRCKKIXEXLR-DSYXLKISSA-N 1 2 316.405 1.929 20 30 DDEDLO Cn1c[nH+]cc1CN1C[C@]2(CC1=O)CCCCN2CCC#N ZINC001274032630 851873755 /nfs/dbraw/zinc/87/37/55/851873755.db2.gz UDQJARBYFAQZNQ-MRXNPFEDSA-N 1 2 301.394 1.291 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2c(N)ccnc2F)C1=O ZINC001274117335 851946451 /nfs/dbraw/zinc/94/64/51/851946451.db2.gz KRPFLNDCVFZGLL-MRXNPFEDSA-N 1 2 302.353 1.003 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2c(N)ccnc2F)C1=O ZINC001274117335 851946461 /nfs/dbraw/zinc/94/64/61/851946461.db2.gz KRPFLNDCVFZGLL-MRXNPFEDSA-N 1 2 302.353 1.003 20 30 DDEDLO C=CCN1CC[C@@]2(CC[N@@H+](Cc3ncc(Cl)cn3)C2)C1=O ZINC001274324503 852157550 /nfs/dbraw/zinc/15/75/50/852157550.db2.gz MGOHPNUWKBZLGE-OAHLLOKOSA-N 1 2 306.797 1.740 20 30 DDEDLO C=CCN1CC[C@@]2(CC[N@H+](Cc3ncc(Cl)cn3)C2)C1=O ZINC001274324503 852157551 /nfs/dbraw/zinc/15/75/51/852157551.db2.gz MGOHPNUWKBZLGE-OAHLLOKOSA-N 1 2 306.797 1.740 20 30 DDEDLO C=CCCC(=O)N1CCN(C2C[NH+](Cc3cccnc3)C2)CC1 ZINC001274468506 852301518 /nfs/dbraw/zinc/30/15/18/852301518.db2.gz XLSPTBXKFZWEME-UHFFFAOYSA-N 1 2 314.433 1.376 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc3ncoc3c1)C2 ZINC001274796233 852605190 /nfs/dbraw/zinc/60/51/90/852605190.db2.gz XIQBGIMZSGSSQY-UHFFFAOYSA-N 1 2 313.357 1.427 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3C[C@@H](C2)N3C[C@@H]2CCC=CO2)cc1C#N ZINC001274899304 852673335 /nfs/dbraw/zinc/67/33/35/852673335.db2.gz UIZQGGFWOVYKGC-KSZLIROESA-N 1 2 312.417 1.848 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3C[C@@H](C2)N3C[C@@H]2CCC=CO2)cc1C#N ZINC001274899304 852673343 /nfs/dbraw/zinc/67/33/43/852673343.db2.gz UIZQGGFWOVYKGC-KSZLIROESA-N 1 2 312.417 1.848 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1ccccc1C(=O)OCC ZINC001307735621 852959704 /nfs/dbraw/zinc/95/97/04/852959704.db2.gz GZARDCUTOWIXMF-UHFFFAOYSA-N 1 2 320.389 1.936 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1ccccc1C(=O)OCC ZINC001307735621 852959711 /nfs/dbraw/zinc/95/97/11/852959711.db2.gz GZARDCUTOWIXMF-UHFFFAOYSA-N 1 2 320.389 1.936 20 30 DDEDLO COCCOCN1CCC2(C[NH+](Cc3ccc(C#N)s3)C2)C1 ZINC001275589404 853406192 /nfs/dbraw/zinc/40/61/92/853406192.db2.gz SAUDASAVLAXKGH-UHFFFAOYSA-N 1 2 321.446 1.748 20 30 DDEDLO N#Cc1cc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)ccc1F ZINC001275745490 853676413 /nfs/dbraw/zinc/67/64/13/853676413.db2.gz NHMZAZOFNJEDSV-UHFFFAOYSA-N 1 2 324.359 1.983 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001206660141 862760238 /nfs/dbraw/zinc/76/02/38/862760238.db2.gz YCOSDOFZZUPPMN-GZBFAFLISA-N 1 2 303.410 1.560 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001206660141 862760246 /nfs/dbraw/zinc/76/02/46/862760246.db2.gz YCOSDOFZZUPPMN-GZBFAFLISA-N 1 2 303.410 1.560 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nonc2C)[C@H](C)C1 ZINC001328238061 862799571 /nfs/dbraw/zinc/79/95/71/862799571.db2.gz DHFRNOPHTNSIJZ-OCCSQVGLSA-N 1 2 304.394 1.651 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nonc2C)[C@H](C)C1 ZINC001328238061 862799576 /nfs/dbraw/zinc/79/95/76/862799576.db2.gz DHFRNOPHTNSIJZ-OCCSQVGLSA-N 1 2 304.394 1.651 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072602905 857502248 /nfs/dbraw/zinc/50/22/48/857502248.db2.gz MWLWMMJDUNQMTC-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072607603 857508712 /nfs/dbraw/zinc/50/87/12/857508712.db2.gz ZUPLGQSCKUIELF-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO CCOC(=O)c1cc(Cl)nc(N2CC[NH2+]C[C@@H]2C#N)c1C ZINC001156319825 862931217 /nfs/dbraw/zinc/93/12/17/862931217.db2.gz GWXJZCAMVQZRLM-JTQLQIEISA-N 1 2 308.769 1.522 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cnccc2C)C1 ZINC001073562168 858443132 /nfs/dbraw/zinc/44/31/32/858443132.db2.gz MFVAPHXTCDJWRB-CQSZACIVSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cnccc2C)C1 ZINC001073562168 858443137 /nfs/dbraw/zinc/44/31/37/858443137.db2.gz MFVAPHXTCDJWRB-CQSZACIVSA-N 1 2 323.824 1.963 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H](CO)Nc1cc[nH+]c(C)n1 ZINC001122091745 858683580 /nfs/dbraw/zinc/68/35/80/858683580.db2.gz NJBJPTADVOEDIX-AWEZNQCLSA-N 1 2 304.394 1.442 20 30 DDEDLO C=CCOCC(=O)NCC[NH2+][C@H](C)c1nc(CCCC)no1 ZINC001124773235 859804759 /nfs/dbraw/zinc/80/47/59/859804759.db2.gz PIAHTGLUNZAZFZ-GFCCVEGCSA-N 1 2 310.398 1.382 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCCN(C)C(=O)C2)cc1OC ZINC001138345759 860063447 /nfs/dbraw/zinc/06/34/47/860063447.db2.gz OINOQZDYVRKWDV-UHFFFAOYSA-N 1 2 302.374 1.371 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCCN(C)C(=O)C2)cc1OC ZINC001138345759 860063457 /nfs/dbraw/zinc/06/34/57/860063457.db2.gz OINOQZDYVRKWDV-UHFFFAOYSA-N 1 2 302.374 1.371 20 30 DDEDLO CCCn1ncc(C[NH+]2CCC(C#N)(C(=O)OC)CC2)c1C ZINC001138641751 860151781 /nfs/dbraw/zinc/15/17/81/860151781.db2.gz QJHNFHLARXRUOQ-UHFFFAOYSA-N 1 2 304.394 1.880 20 30 DDEDLO COC(=O)[C@H]1COCCC12C[NH+](Cc1ccc(C#N)c(F)c1)C2 ZINC001139529413 860426323 /nfs/dbraw/zinc/42/63/23/860426323.db2.gz RFZFZGWBXVUJCU-CQSZACIVSA-N 1 2 318.348 1.709 20 30 DDEDLO COC(=O)CO[C@H]1CC[N@H+](Cc2cccc(Cl)c2C#N)C1 ZINC001139693196 860452560 /nfs/dbraw/zinc/45/25/60/860452560.db2.gz SPKIVHZOWNAWJV-LBPRGKRZSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)CO[C@H]1CC[N@@H+](Cc2cccc(Cl)c2C#N)C1 ZINC001139693196 860452565 /nfs/dbraw/zinc/45/25/65/860452565.db2.gz SPKIVHZOWNAWJV-LBPRGKRZSA-N 1 2 308.765 1.976 20 30 DDEDLO C=CCn1cc(C[NH+]2CC3(C2)CCOC[C@@H]3C(=O)OC)cn1 ZINC001139772311 860477467 /nfs/dbraw/zinc/47/74/67/860477467.db2.gz DPRYBOFBVSFPGW-CQSZACIVSA-N 1 2 305.378 1.081 20 30 DDEDLO C=CCn1cc(C[NH+]2CC3(C2)CCOC[C@H]3C(=O)OC)cn1 ZINC001139772310 860478214 /nfs/dbraw/zinc/47/82/14/860478214.db2.gz DPRYBOFBVSFPGW-AWEZNQCLSA-N 1 2 305.378 1.081 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+](Cc2sc(N)c(C#N)c2Cl)C1 ZINC001141111914 860787784 /nfs/dbraw/zinc/78/77/84/860787784.db2.gz IHTRQIGZAVQXPG-SECBINFHSA-N 1 2 312.826 1.956 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+](Cc2sc(N)c(C#N)c2Cl)C1 ZINC001141111914 860787785 /nfs/dbraw/zinc/78/77/85/860787785.db2.gz IHTRQIGZAVQXPG-SECBINFHSA-N 1 2 312.826 1.956 20 30 DDEDLO CC1=NC2(CC[NH+](Cc3ccc(F)cc3C#N)CC2)C(=O)N1C ZINC001141167390 860800680 /nfs/dbraw/zinc/80/06/80/860800680.db2.gz KSVBZIMUZBMJGH-UHFFFAOYSA-N 1 2 314.364 1.922 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1cn(C)nn1 ZINC001325898972 860932337 /nfs/dbraw/zinc/93/23/37/860932337.db2.gz JILKIMAJXMVJQE-HZPDHXFCSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1cn(C)nn1 ZINC001325898972 860932351 /nfs/dbraw/zinc/93/23/51/860932351.db2.gz JILKIMAJXMVJQE-HZPDHXFCSA-N 1 2 317.437 1.737 20 30 DDEDLO CSc1nc(CN2CC([N@@H+]3CC[C@H](F)C3)C2)ccc1C#N ZINC001141941241 860976121 /nfs/dbraw/zinc/97/61/21/860976121.db2.gz AVXBXPABLOVLQI-LBPRGKRZSA-N 1 2 306.410 1.903 20 30 DDEDLO CSc1nc(CN2CC([N@H+]3CC[C@H](F)C3)C2)ccc1C#N ZINC001141941241 860976128 /nfs/dbraw/zinc/97/61/28/860976128.db2.gz AVXBXPABLOVLQI-LBPRGKRZSA-N 1 2 306.410 1.903 20 30 DDEDLO C#CCCCCCC(=O)NC1CC[NH+]([C@@H]2CCOC2=O)CC1 ZINC001151971765 863086894 /nfs/dbraw/zinc/08/68/94/863086894.db2.gz ZIULEIMNERPLIT-OAHLLOKOSA-N 1 2 306.406 1.466 20 30 DDEDLO COCC#CC[N@H+](C)C[C@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001328737605 863183054 /nfs/dbraw/zinc/18/30/54/863183054.db2.gz PARGRLGMXURVKX-NEPJUHHUSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)C(F)C(F)(F)F ZINC001328737605 863183065 /nfs/dbraw/zinc/18/30/65/863183065.db2.gz PARGRLGMXURVKX-NEPJUHHUSA-N 1 2 324.318 1.459 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)c1[nH]nc2cc(C#N)ccc21 ZINC001156889283 863419673 /nfs/dbraw/zinc/41/96/73/863419673.db2.gz OPFWPQOYOJFOSB-UHFFFAOYSA-N 1 2 324.344 1.207 20 30 DDEDLO CC[N@H+](Cc1nccs1)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152628364 863463933 /nfs/dbraw/zinc/46/39/33/863463933.db2.gz REHBTPNGYMATHV-LLVKDONJSA-N 1 2 317.418 1.983 20 30 DDEDLO CC[N@@H+](Cc1nccs1)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152628364 863463941 /nfs/dbraw/zinc/46/39/41/863463941.db2.gz REHBTPNGYMATHV-LLVKDONJSA-N 1 2 317.418 1.983 20 30 DDEDLO N#C[C@H]1CC[C@H](Nc2cc(N3CCC[C@@H]3C(N)=O)nc[nH+]2)CC1 ZINC001157054942 863540194 /nfs/dbraw/zinc/54/01/94/863540194.db2.gz DCOFWOOCYSPWSI-JHJVBQTASA-N 1 2 314.393 1.425 20 30 DDEDLO N#C[C@H]1CC[C@H](Nc2cc(N3CCC[C@@H]3C(N)=O)[nH+]cn2)CC1 ZINC001157054942 863540203 /nfs/dbraw/zinc/54/02/03/863540203.db2.gz DCOFWOOCYSPWSI-JHJVBQTASA-N 1 2 314.393 1.425 20 30 DDEDLO N#C[C@H]1CC[C@H](Nc2cc(N3CCC[C@H]3C(N)=O)nc[nH+]2)CC1 ZINC001157054941 863540583 /nfs/dbraw/zinc/54/05/83/863540583.db2.gz DCOFWOOCYSPWSI-AVGNSLFASA-N 1 2 314.393 1.425 20 30 DDEDLO N#C[C@H]1CC[C@H](Nc2cc(N3CCC[C@H]3C(N)=O)[nH+]cn2)CC1 ZINC001157054941 863540590 /nfs/dbraw/zinc/54/05/90/863540590.db2.gz DCOFWOOCYSPWSI-AVGNSLFASA-N 1 2 314.393 1.425 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)cn1 ZINC001153018607 863654632 /nfs/dbraw/zinc/65/46/32/863654632.db2.gz KAGFVDXTGRBCPF-PBHICJAKSA-N 1 2 320.437 1.439 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)cn1 ZINC001153018607 863654640 /nfs/dbraw/zinc/65/46/40/863654640.db2.gz KAGFVDXTGRBCPF-PBHICJAKSA-N 1 2 320.437 1.439 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001153159071 863738697 /nfs/dbraw/zinc/73/86/97/863738697.db2.gz HHHIOZBWYOSQTO-NSHDSACASA-N 1 2 310.398 1.164 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C2(C)C(C)(C)C2(C)C)C1 ZINC001329720143 863810550 /nfs/dbraw/zinc/81/05/50/863810550.db2.gz IPIMOSQWFRHRLB-UHFFFAOYSA-N 1 2 306.450 1.635 20 30 DDEDLO N#CCOc1cccc(CNC(=O)/C=C/C[NH+]2CCOCC2)c1 ZINC001329733057 863817617 /nfs/dbraw/zinc/81/76/17/863817617.db2.gz PEOKBBFDDDXSDC-GORDUTHDSA-N 1 2 315.373 1.094 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCC[NH2+]Cc1noc(CCCC)n1 ZINC001157870233 864208196 /nfs/dbraw/zinc/20/81/96/864208196.db2.gz ZFVVODVAYDLCPW-CYBMUJFWSA-N 1 2 322.409 1.046 20 30 DDEDLO COc1cc(N2CCN(c3ccc(C)c(C#N)n3)CC2)cc[nH+]1 ZINC001158100132 864427798 /nfs/dbraw/zinc/42/77/98/864427798.db2.gz YQIDPUSHHCJZJO-UHFFFAOYSA-N 1 2 309.373 1.992 20 30 DDEDLO COc1ccnc(O[C@H]2CCN(c3cc(CC#N)cc[nH+]3)C2)n1 ZINC001158439128 864658050 /nfs/dbraw/zinc/65/80/50/864658050.db2.gz JIRNBOIWOYHQCM-ZDUSSCGKSA-N 1 2 311.345 1.604 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@@H]2CC[C@H]1c1ccccc12 ZINC001331427761 865078229 /nfs/dbraw/zinc/07/82/29/865078229.db2.gz WBAOPSFGZPFCIX-RCBQFDQVSA-N 1 2 314.385 1.894 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C(C)C)no2)[C@H]1C ZINC001331870994 865403149 /nfs/dbraw/zinc/40/31/49/865403149.db2.gz WCRYLVYVXDNIMY-CHWSQXEVSA-N 1 2 322.409 1.475 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)[C@H]1C ZINC001331880652 865410088 /nfs/dbraw/zinc/41/00/88/865410088.db2.gz XFGDMABZPGCDBA-TZMCWYRMSA-N 1 2 316.405 1.685 20 30 DDEDLO CC(C)C#CC(=O)NCCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001160054999 865656624 /nfs/dbraw/zinc/65/66/24/865656624.db2.gz PXUWSRWAZJYNES-UHFFFAOYSA-N 1 2 301.390 1.972 20 30 DDEDLO CC(C)C#CC(=O)NCCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001160054999 865656631 /nfs/dbraw/zinc/65/66/31/865656631.db2.gz PXUWSRWAZJYNES-UHFFFAOYSA-N 1 2 301.390 1.972 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1cc(C)on1 ZINC001332631601 865995378 /nfs/dbraw/zinc/99/53/78/865995378.db2.gz JEJJTCUNHPPUSV-HNNXBMFYSA-N 1 2 309.410 1.590 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1cc(C)on1 ZINC001332631601 865995392 /nfs/dbraw/zinc/99/53/92/865995392.db2.gz JEJJTCUNHPPUSV-HNNXBMFYSA-N 1 2 309.410 1.590 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC1CC[NH+](Cc2cnns2)CC1 ZINC001225631418 881948621 /nfs/dbraw/zinc/94/86/21/881948621.db2.gz NTQRFFLKYBWURD-GFCCVEGCSA-N 1 2 324.450 1.457 20 30 DDEDLO C#CC[N@@H+](CC(=O)N[C@@H](Cc1ccccc1)C(C)=O)CC1CC1 ZINC001319666141 866199261 /nfs/dbraw/zinc/19/92/61/866199261.db2.gz FBHJIVIYFYZQCS-SFHVURJKSA-N 1 2 312.413 1.648 20 30 DDEDLO C#CC[N@H+](CC(=O)N[C@@H](Cc1ccccc1)C(C)=O)CC1CC1 ZINC001319666141 866199266 /nfs/dbraw/zinc/19/92/66/866199266.db2.gz FBHJIVIYFYZQCS-SFHVURJKSA-N 1 2 312.413 1.648 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CC[N@H+]1CCn1cc(Cl)cn1 ZINC001323214800 866438036 /nfs/dbraw/zinc/43/80/36/866438036.db2.gz PUGPSZRBKODHFL-OAHLLOKOSA-N 1 2 322.840 1.921 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CC[N@@H+]1CCn1cc(Cl)cn1 ZINC001323214800 866438041 /nfs/dbraw/zinc/43/80/41/866438041.db2.gz PUGPSZRBKODHFL-OAHLLOKOSA-N 1 2 322.840 1.921 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc2cc[nH]c21 ZINC001323311078 866521145 /nfs/dbraw/zinc/52/11/45/866521145.db2.gz TVIUBOMVWWFTCJ-HNNXBMFYSA-N 1 2 311.385 1.622 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc2cc[nH]c21 ZINC001323311078 866521156 /nfs/dbraw/zinc/52/11/56/866521156.db2.gz TVIUBOMVWWFTCJ-HNNXBMFYSA-N 1 2 311.385 1.622 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)C[C@]2(CCc3ccccc32)C1=O ZINC001320209309 866561986 /nfs/dbraw/zinc/56/19/86/866561986.db2.gz FCNHKCMULNFESS-FQEVSTJZSA-N 1 2 322.408 1.932 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)C[C@]2(CCc3ccccc32)C1=O ZINC001320209309 866561999 /nfs/dbraw/zinc/56/19/99/866561999.db2.gz FCNHKCMULNFESS-FQEVSTJZSA-N 1 2 322.408 1.932 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(F)c(F)cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001225777870 882023155 /nfs/dbraw/zinc/02/31/55/882023155.db2.gz BUFJAPSPVMUCST-PUNAFYOISA-N 1 2 312.272 1.864 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(F)c(F)cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001225777870 882023173 /nfs/dbraw/zinc/02/31/73/882023173.db2.gz BUFJAPSPVMUCST-PUNAFYOISA-N 1 2 312.272 1.864 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H](C)OCc2ccncc2)C1 ZINC001323980561 866991671 /nfs/dbraw/zinc/99/16/71/866991671.db2.gz CUCYMNFZDACCRG-CQSZACIVSA-N 1 2 301.390 1.153 20 30 DDEDLO C[C@H](O[NH+]=C(N)c1ccc(OCc2ccccc2)cc1)C(N)=O ZINC001321138644 867345782 /nfs/dbraw/zinc/34/57/82/867345782.db2.gz CIGZFFVTTMDMOV-LBPRGKRZSA-N 1 2 313.357 1.776 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+]([C@H](C)c2nc(C)no2)C1 ZINC001324586750 867376217 /nfs/dbraw/zinc/37/62/17/867376217.db2.gz ALFZQXNORCSSGR-IAQYHMDHSA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+]([C@H](C)c2nc(C)no2)C1 ZINC001324586750 867376231 /nfs/dbraw/zinc/37/62/31/867376231.db2.gz ALFZQXNORCSSGR-IAQYHMDHSA-N 1 2 308.382 1.222 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C\C[NH2+][C@@H](C)c2nc(C)no2)cc1 ZINC001321193806 867393163 /nfs/dbraw/zinc/39/31/63/867393163.db2.gz SBXXHPGFIOBURO-SZZPACECSA-N 1 2 324.384 1.996 20 30 DDEDLO C=C1CC(C)(C(=O)NC/C=C/C[NH2+]Cc2nn(CC)nc2C)C1 ZINC001321235603 867424746 /nfs/dbraw/zinc/42/47/46/867424746.db2.gz JBJXXQBQCCGJLH-VOTSOKGWSA-N 1 2 317.437 1.725 20 30 DDEDLO CC(=O)Nc1nc(C[NH2+]C[C@@H](C)NC(=O)C#CC2CC2)cs1 ZINC001321513308 867639498 /nfs/dbraw/zinc/63/94/98/867639498.db2.gz CNGNMGHIKOFCBM-SNVBAGLBSA-N 1 2 320.418 1.109 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)N[C@@](CCC)(C3CC3)C2=O)C1 ZINC001325280195 867910441 /nfs/dbraw/zinc/91/04/41/867910441.db2.gz VUFRIYQGBXJNTQ-PBHICJAKSA-N 1 2 321.421 1.722 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)N[C@@](CCC)(C3CC3)C2=O)C1 ZINC001325280195 867910459 /nfs/dbraw/zinc/91/04/59/867910459.db2.gz VUFRIYQGBXJNTQ-PBHICJAKSA-N 1 2 321.421 1.722 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC001325297277 867924434 /nfs/dbraw/zinc/92/44/34/867924434.db2.gz HLVZNIAQKBOPIH-UHFFFAOYSA-N 1 2 305.422 1.566 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1CC(Nc2ccccc2)C1 ZINC001336982637 869243910 /nfs/dbraw/zinc/24/39/10/869243910.db2.gz QUZGCYNFYXTGDC-NRXISQOPSA-N 1 2 317.389 1.192 20 30 DDEDLO Cn1nc(C(F)(F)F)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001226227699 882295360 /nfs/dbraw/zinc/29/53/60/882295360.db2.gz WKMWRDWRIHUZRM-NTBMCGCHSA-N 1 2 303.284 1.430 20 30 DDEDLO Cn1nc(C(F)(F)F)cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001226227699 882295371 /nfs/dbraw/zinc/29/53/71/882295371.db2.gz WKMWRDWRIHUZRM-NTBMCGCHSA-N 1 2 303.284 1.430 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001165929530 869770454 /nfs/dbraw/zinc/77/04/54/869770454.db2.gz LQRBDXOUNWGIEL-INIZCTEOSA-N 1 2 324.425 1.457 20 30 DDEDLO Cc1cc(C(=O)NCC[NH+]2CCN(CC#N)CC2)cc(C)c1F ZINC001316968456 870013679 /nfs/dbraw/zinc/01/36/79/870013679.db2.gz UKYSMGTYEXFFDM-UHFFFAOYSA-N 1 2 318.396 1.314 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001316985800 870070597 /nfs/dbraw/zinc/07/05/97/870070597.db2.gz NHOUWTPMOGATGT-OAHLLOKOSA-N 1 2 302.422 1.613 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001316985800 870070613 /nfs/dbraw/zinc/07/06/13/870070613.db2.gz NHOUWTPMOGATGT-OAHLLOKOSA-N 1 2 302.422 1.613 20 30 DDEDLO Cc1nc(N2CCN(c3nccnc3C#N)CC2)c2c([nH+]1)CCC2 ZINC001166920267 870375402 /nfs/dbraw/zinc/37/54/02/870375402.db2.gz WHQPPWDMTOVPBL-UHFFFAOYSA-N 1 2 321.388 1.262 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cscn1 ZINC001317167328 870401203 /nfs/dbraw/zinc/40/12/03/870401203.db2.gz RVJMZVSZBMMNMF-GFCCVEGCSA-N 1 2 322.434 1.030 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cscn1 ZINC001317167328 870401208 /nfs/dbraw/zinc/40/12/08/870401208.db2.gz RVJMZVSZBMMNMF-GFCCVEGCSA-N 1 2 322.434 1.030 20 30 DDEDLO C[C@@H](CNC(=O)C#CC(C)(C)C)[NH2+]Cc1nnc(C2CC2)o1 ZINC001317239996 870519238 /nfs/dbraw/zinc/51/92/38/870519238.db2.gz DVCPZICQHALIFE-NSHDSACASA-N 1 2 304.394 1.591 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1CC=C ZINC001339486063 870522081 /nfs/dbraw/zinc/52/20/81/870522081.db2.gz PYEJUROOEADAIM-LSDHHAIUSA-N 1 2 317.437 1.704 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1CC=C ZINC001339486063 870522089 /nfs/dbraw/zinc/52/20/89/870522089.db2.gz PYEJUROOEADAIM-LSDHHAIUSA-N 1 2 317.437 1.704 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001298680216 870674571 /nfs/dbraw/zinc/67/45/71/870674571.db2.gz UFPLWRLENRHIHE-CABCVRRESA-N 1 2 318.421 1.649 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[N@@H+](C)Cc1nccnc1C ZINC001317469093 870887660 /nfs/dbraw/zinc/88/76/60/870887660.db2.gz MMOAGRXZQCPVNX-UHFFFAOYSA-N 1 2 322.412 1.557 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[N@H+](C)Cc1nccnc1C ZINC001317469093 870887671 /nfs/dbraw/zinc/88/76/71/870887671.db2.gz MMOAGRXZQCPVNX-UHFFFAOYSA-N 1 2 322.412 1.557 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001299090998 870889472 /nfs/dbraw/zinc/88/94/72/870889472.db2.gz SGYIRGJJMWAXRS-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO CC[N@H+](CCNC(=O)CSCC#N)[C@H](C)c1ncc(C)o1 ZINC001317473726 870894092 /nfs/dbraw/zinc/89/40/92/870894092.db2.gz WLYVTCQVRXYTSN-GFCCVEGCSA-N 1 2 310.423 1.739 20 30 DDEDLO CC[N@@H+](CCNC(=O)CSCC#N)[C@H](C)c1ncc(C)o1 ZINC001317473726 870894106 /nfs/dbraw/zinc/89/41/06/870894106.db2.gz WLYVTCQVRXYTSN-GFCCVEGCSA-N 1 2 310.423 1.739 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CCC)CC1CC1 ZINC001340258093 870992835 /nfs/dbraw/zinc/99/28/35/870992835.db2.gz FRWHWHYKBCRMEF-LSDHHAIUSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(CCC)CC1CC1 ZINC001340258093 870992848 /nfs/dbraw/zinc/99/28/48/870992848.db2.gz FRWHWHYKBCRMEF-LSDHHAIUSA-N 1 2 319.453 1.828 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@H+]2CCOC[C@@H]2CC)cc1 ZINC001303339502 871119775 /nfs/dbraw/zinc/11/97/75/871119775.db2.gz OEMCYROHXBNPHY-SFHVURJKSA-N 1 2 314.429 1.828 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@@H+]2CCOC[C@@H]2CC)cc1 ZINC001303339502 871119781 /nfs/dbraw/zinc/11/97/81/871119781.db2.gz OEMCYROHXBNPHY-SFHVURJKSA-N 1 2 314.429 1.828 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CC[NH2+][C@H](C)c1nc(COC)no1 ZINC001317617923 871214592 /nfs/dbraw/zinc/21/45/92/871214592.db2.gz ULHHSNLUYLMQOH-GFCCVEGCSA-N 1 2 324.425 1.927 20 30 DDEDLO CCCc1nc(C[NH2+][C@H](C)CCNC(=O)C#CC(C)C)no1 ZINC001317395916 871283147 /nfs/dbraw/zinc/28/31/47/871283147.db2.gz YOORJHKQSGMHNQ-CYBMUJFWSA-N 1 2 306.410 1.666 20 30 DDEDLO CCC#C[C@H](C)[NH+]1CCN([C@H](C)C(=O)NC2CCCC2)CC1 ZINC001307462114 871436913 /nfs/dbraw/zinc/43/69/13/871436913.db2.gz RJYZCQHARFLGAS-JKSUJKDBSA-N 1 2 305.466 1.853 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CSC(C)C)C1 ZINC001317924476 871625770 /nfs/dbraw/zinc/62/57/70/871625770.db2.gz UMIXCJZLRAMUAS-CYBMUJFWSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CSC(C)C)C1 ZINC001317924476 871625772 /nfs/dbraw/zinc/62/57/72/871625772.db2.gz UMIXCJZLRAMUAS-CYBMUJFWSA-N 1 2 313.467 1.011 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@H](C)CC(C)C)C1 ZINC001317987894 871672633 /nfs/dbraw/zinc/67/26/33/871672633.db2.gz NWUBXNDIFRBVMI-CVEARBPZSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@H](C)CC(C)C)C1 ZINC001317987894 871672657 /nfs/dbraw/zinc/67/26/57/871672657.db2.gz NWUBXNDIFRBVMI-CVEARBPZSA-N 1 2 321.465 1.389 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1C[NH+]([C@@H](C)c2cccc(OC)c2)C1 ZINC001318018910 871693093 /nfs/dbraw/zinc/69/30/93/871693093.db2.gz UOBJINZXEWTPKK-SCLBCKFNSA-N 1 2 318.417 1.884 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1C[NH+](Cc2ccnc(OC)n2)C1 ZINC001318126601 871764634 /nfs/dbraw/zinc/76/46/34/871764634.db2.gz GODVRSOPSKKWLT-UHFFFAOYSA-N 1 2 318.421 1.636 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1C[NH+](Cc2ccc(OC)nn2)C1 ZINC001318140125 871776640 /nfs/dbraw/zinc/77/66/40/871776640.db2.gz NYYWDEKXKXNCGM-UHFFFAOYSA-N 1 2 304.394 1.245 20 30 DDEDLO C=CCC1(C(=O)NCC2C[NH+](CC(=O)N3CCC3)C2)CCC1 ZINC001318151335 871782541 /nfs/dbraw/zinc/78/25/41/871782541.db2.gz XFHROWRGSGPPNC-UHFFFAOYSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CCC[C@H]([NH2+]C1CCN(CC(=O)OC)CC1)C(=O)OCC ZINC001205848512 871860029 /nfs/dbraw/zinc/86/00/29/871860029.db2.gz DBHLXWWIPJSDNM-AWEZNQCLSA-N 1 2 312.410 1.111 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001318254763 871884277 /nfs/dbraw/zinc/88/42/77/871884277.db2.gz HNYWVFUKHZIIKI-HNNXBMFYSA-N 1 2 302.422 1.879 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001318254763 871884296 /nfs/dbraw/zinc/88/42/96/871884296.db2.gz HNYWVFUKHZIIKI-HNNXBMFYSA-N 1 2 302.422 1.879 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001318273029 871900827 /nfs/dbraw/zinc/90/08/27/871900827.db2.gz KIFAYMQAITZOHM-INIZCTEOSA-N 1 2 319.449 1.429 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001318273029 871900840 /nfs/dbraw/zinc/90/08/40/871900840.db2.gz KIFAYMQAITZOHM-INIZCTEOSA-N 1 2 319.449 1.429 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@H](C)C1CC1)C2 ZINC001316808435 871913232 /nfs/dbraw/zinc/91/32/32/871913232.db2.gz BXAACMLGQWRLOJ-LLVKDONJSA-N 1 2 303.431 1.797 20 30 DDEDLO CCCc1nc(C[NH2+][C@H]2C[C@H](NC(=O)CSCC#N)C2)no1 ZINC001317070819 871923680 /nfs/dbraw/zinc/92/36/80/871923680.db2.gz SHXFCVGSSTUMAP-XYPYZODXSA-N 1 2 323.422 1.016 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)CCCCOC ZINC001342061661 871978982 /nfs/dbraw/zinc/97/89/82/871978982.db2.gz JZXKRPPREGLCJR-UONOGXRCSA-N 1 2 323.441 1.064 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)CCCCOC ZINC001342061661 871978992 /nfs/dbraw/zinc/97/89/92/871978992.db2.gz JZXKRPPREGLCJR-UONOGXRCSA-N 1 2 323.441 1.064 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2scnc2C)C1 ZINC001318390715 871983103 /nfs/dbraw/zinc/98/31/03/871983103.db2.gz UMMGVMPIKLMSKZ-CYBMUJFWSA-N 1 2 307.419 1.248 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2scnc2C)C1 ZINC001318390715 871983110 /nfs/dbraw/zinc/98/31/10/871983110.db2.gz UMMGVMPIKLMSKZ-CYBMUJFWSA-N 1 2 307.419 1.248 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001319320169 872569771 /nfs/dbraw/zinc/56/97/71/872569771.db2.gz VULZGYWFGABUQC-JJXSEGSLSA-N 1 2 308.422 1.052 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001319320169 872569783 /nfs/dbraw/zinc/56/97/83/872569783.db2.gz VULZGYWFGABUQC-JJXSEGSLSA-N 1 2 308.422 1.052 20 30 DDEDLO COCC#CC[NH2+]C[C@@H](CC(C)C)NC(=O)c1[nH]nc(C)c1C ZINC001319604991 872713034 /nfs/dbraw/zinc/71/30/34/872713034.db2.gz OXEZDIMCPNETED-OAHLLOKOSA-N 1 2 320.437 1.410 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@H](CC(C)C)NC(=O)C#CC(C)C)o1 ZINC001319608426 872717047 /nfs/dbraw/zinc/71/70/47/872717047.db2.gz HHMYJEXLCOCIKZ-AWEZNQCLSA-N 1 2 306.410 1.658 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001207685252 873478671 /nfs/dbraw/zinc/47/86/71/873478671.db2.gz ZJHOCRDGUBZIRI-CYBMUJFWSA-N 1 2 320.393 1.230 20 30 DDEDLO C#CC[NH2+]CC(=O)N(CCC)Cc1nc(=O)c2sccc2[nH]1 ZINC001346067668 873604776 /nfs/dbraw/zinc/60/47/76/873604776.db2.gz GKUZTNVGLHKUPB-UHFFFAOYSA-N 1 2 318.402 1.358 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001207943723 873677066 /nfs/dbraw/zinc/67/70/66/873677066.db2.gz DLNPHZNZVFGKRU-AWEZNQCLSA-N 1 2 316.405 1.831 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@@H]2CCCN(C(=O)C#CC(C)C)C2)n1 ZINC001207992030 873720546 /nfs/dbraw/zinc/72/05/46/873720546.db2.gz CZLUCMIGAALKKO-GXTWGEPZSA-N 1 2 304.394 1.679 20 30 DDEDLO C=CCNC(=O)N1C[C@@H]2C[N@H+](CCCC(F)(F)F)C[C@H](C1)O2 ZINC001277173826 882771574 /nfs/dbraw/zinc/77/15/74/882771574.db2.gz JEQVNXKZBTWZHO-TXEJJXNPSA-N 1 2 321.343 1.610 20 30 DDEDLO C=CCNC(=O)N1C[C@@H]2C[N@@H+](CCCC(F)(F)F)C[C@H](C1)O2 ZINC001277173826 882771588 /nfs/dbraw/zinc/77/15/88/882771588.db2.gz JEQVNXKZBTWZHO-TXEJJXNPSA-N 1 2 321.343 1.610 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)Cc1c[nH+]c[nH]1 ZINC001347287334 874084453 /nfs/dbraw/zinc/08/44/53/874084453.db2.gz JEMIYDYPWVNXAH-ILXRZTDVSA-N 1 2 316.405 1.557 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@@H+]2C)n1Cc1cc(C)on1 ZINC001348276424 874461504 /nfs/dbraw/zinc/46/15/04/874461504.db2.gz FCSJETRBNNTOLN-CQSZACIVSA-N 1 2 314.393 1.459 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@H+]2C)n1Cc1cc(C)on1 ZINC001348276424 874461509 /nfs/dbraw/zinc/46/15/09/874461509.db2.gz FCSJETRBNNTOLN-CQSZACIVSA-N 1 2 314.393 1.459 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+](Cc2nc(C)c(C)o2)CC1 ZINC001227115999 882846708 /nfs/dbraw/zinc/84/67/08/882846708.db2.gz ZKQQCQQUPKVEGQ-QGZVFWFLSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+](Cc2nocc2C)CC1 ZINC001227124125 882857364 /nfs/dbraw/zinc/85/73/64/882857364.db2.gz MVZDAZJTIAAPCZ-INIZCTEOSA-N 1 2 307.394 1.391 20 30 DDEDLO C[C@H](c1nncn1C)[NH+]1CCC(NC(=O)C#CC(C)(C)C)CC1 ZINC001227280633 882950571 /nfs/dbraw/zinc/95/05/71/882950571.db2.gz JXPSKHBUQANOOS-CYBMUJFWSA-N 1 2 317.437 1.506 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001211354944 875766703 /nfs/dbraw/zinc/76/67/03/875766703.db2.gz JBHRSKYYZCJOFQ-LBPRGKRZSA-N 1 2 310.423 1.211 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001213322744 875899041 /nfs/dbraw/zinc/89/90/41/875899041.db2.gz PVZOOWQTNALEMM-NUEKZKHPSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001213322744 875899049 /nfs/dbraw/zinc/89/90/49/875899049.db2.gz PVZOOWQTNALEMM-NUEKZKHPSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCO[C@@H]1CCN(c2nnc([C@@H]3CCCC[N@@H+]3C)n2C)C1 ZINC001351118038 876066335 /nfs/dbraw/zinc/06/63/35/876066335.db2.gz LVWGJPHQHIFGTE-KGLIPLIRSA-N 1 2 305.426 1.753 20 30 DDEDLO C=CCO[C@@H]1CCN(c2nnc([C@@H]3CCCC[N@H+]3C)n2C)C1 ZINC001351118038 876066349 /nfs/dbraw/zinc/06/63/49/876066349.db2.gz LVWGJPHQHIFGTE-KGLIPLIRSA-N 1 2 305.426 1.753 20 30 DDEDLO N#C[C@@H]1COCCN1C(=O)[C@H]1CC[C@@H](Nc2cccc[nH+]2)CC1 ZINC001362088448 883006051 /nfs/dbraw/zinc/00/60/51/883006051.db2.gz HVOHPBISFKDSBF-QLFBSQMISA-N 1 2 314.389 1.803 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@]1(C)CCNC(=O)C1 ZINC001379070409 876303461 /nfs/dbraw/zinc/30/34/61/876303461.db2.gz AIMHCXJMFMMRBH-CQSZACIVSA-N 1 2 301.818 1.045 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@]1(C)CCNC(=O)C1 ZINC001379070409 876303453 /nfs/dbraw/zinc/30/34/53/876303453.db2.gz AIMHCXJMFMMRBH-CQSZACIVSA-N 1 2 301.818 1.045 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001215375958 876684318 /nfs/dbraw/zinc/68/43/18/876684318.db2.gz AIRORVDEEVPXLC-MRVWCRGKSA-N 1 2 306.410 1.917 20 30 DDEDLO Cn1cc[nH+]c1CCNC(=O)c1cc(-c2cccc(C#N)c2)no1 ZINC001352868418 876978299 /nfs/dbraw/zinc/97/82/99/876978299.db2.gz QUPABJLHUWZBNY-UHFFFAOYSA-N 1 2 321.340 1.919 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CNC(=O)/C(C)=C\CC ZINC001276800593 877845775 /nfs/dbraw/zinc/84/57/75/877845775.db2.gz LCCZIKLYXFZZOA-YMDFIQGPSA-N 1 2 321.465 1.958 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)/C(C)=C\CC ZINC001276800593 877845790 /nfs/dbraw/zinc/84/57/90/877845790.db2.gz LCCZIKLYXFZZOA-YMDFIQGPSA-N 1 2 321.465 1.958 20 30 DDEDLO C[C@H](C[N@H+](C)Cc1cc(C#N)ccc1F)NC(=O)c1nnc[nH]1 ZINC001379761117 877942398 /nfs/dbraw/zinc/94/23/98/877942398.db2.gz IVQGJRDZHGERCX-SNVBAGLBSA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@H](C[N@@H+](C)Cc1cc(C#N)ccc1F)NC(=O)c1nnc[nH]1 ZINC001379761117 877942408 /nfs/dbraw/zinc/94/24/08/877942408.db2.gz IVQGJRDZHGERCX-SNVBAGLBSA-N 1 2 316.340 1.066 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1O ZINC001220076159 878693507 /nfs/dbraw/zinc/69/35/07/878693507.db2.gz RZJHCGQUVRKFNE-MPOGBDHBSA-N 1 2 316.829 1.129 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1O ZINC001220076159 878693513 /nfs/dbraw/zinc/69/35/13/878693513.db2.gz RZJHCGQUVRKFNE-MPOGBDHBSA-N 1 2 316.829 1.129 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCCCCCC1 ZINC001355919984 878777279 /nfs/dbraw/zinc/77/72/79/878777279.db2.gz MBYDDNQCRBCLPN-LSDHHAIUSA-N 1 2 319.453 1.972 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCCCCCC1 ZINC001355919984 878777292 /nfs/dbraw/zinc/77/72/92/878777292.db2.gz MBYDDNQCRBCLPN-LSDHHAIUSA-N 1 2 319.453 1.972 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001355922104 878781166 /nfs/dbraw/zinc/78/11/66/878781166.db2.gz HVPRSRGINLOFKG-ZIAGYGMSSA-N 1 2 318.421 1.455 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@@H]1O ZINC001220201058 878812958 /nfs/dbraw/zinc/81/29/58/878812958.db2.gz FHBNVYLBSYNVTM-BNOWGMLFSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@@H]1O ZINC001220201058 878812963 /nfs/dbraw/zinc/81/29/63/878812963.db2.gz FHBNVYLBSYNVTM-BNOWGMLFSA-N 1 2 309.435 1.407 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC)Cc2cccc(C)c2)[C@@H](O)C1 ZINC001220496943 879064230 /nfs/dbraw/zinc/06/42/30/879064230.db2.gz RGRKJTWVHLPDMV-KURKYZTESA-N 1 2 314.429 1.358 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)Cc2cccc(C)c2)[C@@H](O)C1 ZINC001220496943 879064242 /nfs/dbraw/zinc/06/42/42/879064242.db2.gz RGRKJTWVHLPDMV-KURKYZTESA-N 1 2 314.429 1.358 20 30 DDEDLO COC(=O)c1ccc(C(=[NH2+])NC(O)=C2C[C@@H](C)CCN2)cc1 ZINC001220577064 879108134 /nfs/dbraw/zinc/10/81/34/879108134.db2.gz BRVKJPOQTDOYPO-GWCFXTLKSA-N 1 2 303.362 1.093 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCN(C)C(=O)[C@H]2C[C@H]2C)CC1 ZINC001356698280 879202395 /nfs/dbraw/zinc/20/23/95/879202395.db2.gz JJKGBOCHXYBEHN-CVEARBPZSA-N 1 2 319.449 1.049 20 30 DDEDLO CC(C)c1noc(C[NH+]2CCC(C)(NC(=O)[C@H](C)C#N)CC2)n1 ZINC001380300920 879274910 /nfs/dbraw/zinc/27/49/10/879274910.db2.gz WFTWWRVGDSITOI-GFCCVEGCSA-N 1 2 319.409 1.823 20 30 DDEDLO CCC[C@H](CC(C)C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001221126272 879518266 /nfs/dbraw/zinc/51/82/66/879518266.db2.gz FBVJFAPQVIMAIN-ZACQAIPSSA-N 1 2 324.465 1.260 20 30 DDEDLO CCC[C@H](CC(C)C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001221126272 879518275 /nfs/dbraw/zinc/51/82/75/879518275.db2.gz FBVJFAPQVIMAIN-ZACQAIPSSA-N 1 2 324.465 1.260 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001356996863 879670908 /nfs/dbraw/zinc/67/09/08/879670908.db2.gz YCWSDSJCAUVHKD-CQSZACIVSA-N 1 2 316.405 1.171 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC3CCC3)C[C@H]21 ZINC001221423193 879775718 /nfs/dbraw/zinc/77/57/18/879775718.db2.gz BQGARFLWBGLTOD-HZPDHXFCSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC3CCC3)C[C@H]21 ZINC001221423193 879775727 /nfs/dbraw/zinc/77/57/27/879775727.db2.gz BQGARFLWBGLTOD-HZPDHXFCSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)C=C1CCC1 ZINC001276822764 879805448 /nfs/dbraw/zinc/80/54/48/879805448.db2.gz KSEHVJIGGWTHAB-INIZCTEOSA-N 1 2 317.433 1.488 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CNC(=O)C=C1CCC1 ZINC001276822764 879805458 /nfs/dbraw/zinc/80/54/58/879805458.db2.gz KSEHVJIGGWTHAB-INIZCTEOSA-N 1 2 317.433 1.488 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)[C@H]2CC2(C)C)C1 ZINC001380728679 880281952 /nfs/dbraw/zinc/28/19/52/880281952.db2.gz HLIIAVUYTVCCSX-DOMZBBRYSA-N 1 2 300.830 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)[C@H]2CC2(C)C)C1 ZINC001380728679 880281965 /nfs/dbraw/zinc/28/19/65/880281965.db2.gz HLIIAVUYTVCCSX-DOMZBBRYSA-N 1 2 300.830 1.728 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001358184090 880361028 /nfs/dbraw/zinc/36/10/28/880361028.db2.gz NYFYICUQFXRKIC-QWHCGFSZSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001358184090 880361040 /nfs/dbraw/zinc/36/10/40/880361040.db2.gz NYFYICUQFXRKIC-QWHCGFSZSA-N 1 2 320.437 1.812 20 30 DDEDLO CCc1nc(C)c(C[N@@H+]2CC[C@H]2CNC(=O)C#CC(C)C)o1 ZINC001276842621 880717660 /nfs/dbraw/zinc/71/76/60/880717660.db2.gz PMUQBQKGADGGKT-AWEZNQCLSA-N 1 2 303.406 1.895 20 30 DDEDLO CCc1nc(C)c(C[N@H+]2CC[C@H]2CNC(=O)C#CC(C)C)o1 ZINC001276842621 880717668 /nfs/dbraw/zinc/71/76/68/880717668.db2.gz PMUQBQKGADGGKT-AWEZNQCLSA-N 1 2 303.406 1.895 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)C(C)(C)C(C)C)C1=O ZINC001276859502 880776684 /nfs/dbraw/zinc/77/66/84/880776684.db2.gz BNHQTDYMJJSHBR-CABCVRRESA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)C(C)(C)C(C)C)C1=O ZINC001276859502 880776693 /nfs/dbraw/zinc/77/66/93/880776693.db2.gz BNHQTDYMJJSHBR-CABCVRRESA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H]1CCN1Cc1c[nH+]cn1C ZINC001276861202 880813815 /nfs/dbraw/zinc/81/38/15/880813815.db2.gz MZNNARPSAYJFBY-HNNXBMFYSA-N 1 2 302.422 1.879 20 30 DDEDLO C=CCCCC(=O)N(C)C1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001358747281 880850507 /nfs/dbraw/zinc/85/05/07/880850507.db2.gz UBGIOVYLPBLGPF-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@@H](C)C(=O)N(C)C(C)C ZINC001276897985 880997390 /nfs/dbraw/zinc/99/73/90/880997390.db2.gz BDVCBQJJJNQUNN-UONOGXRCSA-N 1 2 309.454 1.644 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)C(=O)N(C)C(C)C ZINC001276897985 880997395 /nfs/dbraw/zinc/99/73/95/880997395.db2.gz BDVCBQJJJNQUNN-UONOGXRCSA-N 1 2 309.454 1.644 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)[C@H]1C ZINC001288002086 912656365 /nfs/dbraw/zinc/65/63/65/912656365.db2.gz SDOOHBYQLFAZSF-ZFWWWQNUSA-N 1 2 316.405 1.251 20 30 DDEDLO CCc1nc(C[NH2+]C[C@@H](C2CC2)N(C)C(=O)[C@@H](C)C#N)no1 ZINC001382008118 883163268 /nfs/dbraw/zinc/16/32/68/883163268.db2.gz HLLVGOSRYXWSGA-JQWIXIFHSA-N 1 2 305.382 1.118 20 30 DDEDLO CCOC(=O)c1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cnc1C ZINC001229028410 883781018 /nfs/dbraw/zinc/78/10/18/883781018.db2.gz GAEWQQAZRAYARP-BDOHNGBXSA-N 1 2 318.373 1.558 20 30 DDEDLO CCOC(=O)c1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cnc1C ZINC001229028410 883781026 /nfs/dbraw/zinc/78/10/26/883781026.db2.gz GAEWQQAZRAYARP-BDOHNGBXSA-N 1 2 318.373 1.558 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230557847 884581417 /nfs/dbraw/zinc/58/14/17/884581417.db2.gz SHPIAILBUKDJMX-RDJZCZTQSA-N 1 2 305.422 1.540 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230557847 884581426 /nfs/dbraw/zinc/58/14/26/884581426.db2.gz SHPIAILBUKDJMX-RDJZCZTQSA-N 1 2 305.422 1.540 20 30 DDEDLO CC(=O)NC(C)(C)C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230611507 884649734 /nfs/dbraw/zinc/64/97/34/884649734.db2.gz SZWCJRAAISHZMT-HNNXBMFYSA-N 1 2 320.437 1.128 20 30 DDEDLO CC(=O)NC(C)(C)C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230611507 884649738 /nfs/dbraw/zinc/64/97/38/884649738.db2.gz SZWCJRAAISHZMT-HNNXBMFYSA-N 1 2 320.437 1.128 20 30 DDEDLO C#CC[N@@H+](CCCNC(=O)CCCC(C)=O)Cc1cccnc1 ZINC001230667966 884722379 /nfs/dbraw/zinc/72/23/79/884722379.db2.gz NTMXOORFTDMKDE-UHFFFAOYSA-N 1 2 315.417 1.782 20 30 DDEDLO C#CC[N@H+](CCCNC(=O)CCCC(C)=O)Cc1cccnc1 ZINC001230667966 884722388 /nfs/dbraw/zinc/72/23/88/884722388.db2.gz NTMXOORFTDMKDE-UHFFFAOYSA-N 1 2 315.417 1.782 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccnc1)C(=O)CSCC#N ZINC001230815805 884914733 /nfs/dbraw/zinc/91/47/33/884914733.db2.gz SRECOXSLNRARKQ-AWEZNQCLSA-N 1 2 304.419 1.371 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccnc1)C(=O)CSCC#N ZINC001230815805 884914745 /nfs/dbraw/zinc/91/47/45/884914745.db2.gz SRECOXSLNRARKQ-AWEZNQCLSA-N 1 2 304.419 1.371 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@H](CC#N)c2ccccc2)[C@H](C)CO1 ZINC001363045065 885316235 /nfs/dbraw/zinc/31/62/35/885316235.db2.gz CFWICIRMJGMLQD-BFYDXBDKSA-N 1 2 315.417 1.909 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@H](CC#N)c2ccccc2)[C@H](C)CO1 ZINC001363045065 885316252 /nfs/dbraw/zinc/31/62/52/885316252.db2.gz CFWICIRMJGMLQD-BFYDXBDKSA-N 1 2 315.417 1.909 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(OC)nc1 ZINC001231227475 885405877 /nfs/dbraw/zinc/40/58/77/885405877.db2.gz ZMGWGZXWQLQNKU-OAHLLOKOSA-N 1 2 319.405 1.326 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(OC)nc1 ZINC001231227475 885405879 /nfs/dbraw/zinc/40/58/79/885405879.db2.gz ZMGWGZXWQLQNKU-OAHLLOKOSA-N 1 2 319.405 1.326 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)[C@@H](C)C#N)cn1 ZINC001231247977 885431691 /nfs/dbraw/zinc/43/16/91/885431691.db2.gz SJOSHTQSGXCPDE-GXTWGEPZSA-N 1 2 302.378 1.283 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2CN(C)C(=O)[C@@H](C)C#N)cn1 ZINC001231247977 885431696 /nfs/dbraw/zinc/43/16/96/885431696.db2.gz SJOSHTQSGXCPDE-GXTWGEPZSA-N 1 2 302.378 1.283 20 30 DDEDLO N#Cc1cccc(CCC[N@H+]2Cc3ccnn3CC[C@@H]2C(N)=O)c1 ZINC001277588329 885950449 /nfs/dbraw/zinc/95/04/49/885950449.db2.gz KLITVDQKPRHXEW-QGZVFWFLSA-N 1 2 323.400 1.447 20 30 DDEDLO N#Cc1cccc(CCC[N@@H+]2Cc3ccnn3CC[C@@H]2C(N)=O)c1 ZINC001277588329 885950456 /nfs/dbraw/zinc/95/04/56/885950456.db2.gz KLITVDQKPRHXEW-QGZVFWFLSA-N 1 2 323.400 1.447 20 30 DDEDLO COc1cc(C[N@H+](C)CCN(C)C(=O)c2cc(C#N)c[nH]2)on1 ZINC001374478014 912995665 /nfs/dbraw/zinc/99/56/65/912995665.db2.gz BDNXXUJBGUXZPD-UHFFFAOYSA-N 1 2 317.349 1.087 20 30 DDEDLO COc1cc(C[N@@H+](C)CCN(C)C(=O)c2cc(C#N)c[nH]2)on1 ZINC001374478014 912995673 /nfs/dbraw/zinc/99/56/73/912995673.db2.gz BDNXXUJBGUXZPD-UHFFFAOYSA-N 1 2 317.349 1.087 20 30 DDEDLO CN(C)C(=O)c1n[nH]c2c1C[N@H+](CCc1ccc(C#N)cc1)CC2 ZINC001277642630 886214186 /nfs/dbraw/zinc/21/41/86/886214186.db2.gz AOOBKHBOBOIRJV-UHFFFAOYSA-N 1 2 323.400 1.584 20 30 DDEDLO CN(C)C(=O)c1n[nH]c2c1C[N@@H+](CCc1ccc(C#N)cc1)CC2 ZINC001277642630 886214200 /nfs/dbraw/zinc/21/42/00/886214200.db2.gz AOOBKHBOBOIRJV-UHFFFAOYSA-N 1 2 323.400 1.584 20 30 DDEDLO N#CC1(NC(=O)[C@H]2CC23CC[NH+](Cc2cocn2)CC3)CCC1 ZINC001277652113 886271132 /nfs/dbraw/zinc/27/11/32/886271132.db2.gz ZIGDZPPEDCSFPM-CQSZACIVSA-N 1 2 314.389 1.839 20 30 DDEDLO N#Cc1ccc(O)c(CN2CC[NH+](C3CCOCC3)CC2)c1 ZINC001232679848 886535927 /nfs/dbraw/zinc/53/59/27/886535927.db2.gz TWEYENXCVOKZJG-UHFFFAOYSA-N 1 2 301.390 1.560 20 30 DDEDLO CC(C)(C)OC(=O)N1CCN(Cc2cccc(N)[nH+]2)[C@@H](C#N)C1 ZINC001233369167 886949144 /nfs/dbraw/zinc/94/91/44/886949144.db2.gz CLMHFIUGVNXKCO-ZDUSSCGKSA-N 1 2 317.393 1.609 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC1CCC1 ZINC001233549325 887101092 /nfs/dbraw/zinc/10/10/92/887101092.db2.gz LSQCCNPEVVAUMZ-GDBMZVCRSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCC1CCC1 ZINC001233549325 887101095 /nfs/dbraw/zinc/10/10/95/887101095.db2.gz LSQCCNPEVVAUMZ-GDBMZVCRSA-N 1 2 319.449 1.237 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H](C)CCO1 ZINC001363852997 887399005 /nfs/dbraw/zinc/39/90/05/887399005.db2.gz MRGBBRICCAENIZ-ZIAGYGMSSA-N 1 2 322.430 1.831 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H](C)CCO1 ZINC001363852997 887399020 /nfs/dbraw/zinc/39/90/20/887399020.db2.gz MRGBBRICCAENIZ-ZIAGYGMSSA-N 1 2 322.430 1.831 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H]1CCN(CC=C)C1=O ZINC001233947343 887488261 /nfs/dbraw/zinc/48/82/61/887488261.db2.gz KSXIVDYTXURBMS-CVEARBPZSA-N 1 2 317.433 1.110 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H]1CCN(CC=C)C1=O ZINC001233947343 887488266 /nfs/dbraw/zinc/48/82/66/887488266.db2.gz KSXIVDYTXURBMS-CVEARBPZSA-N 1 2 317.433 1.110 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234029755 887567635 /nfs/dbraw/zinc/56/76/35/887567635.db2.gz JSJVMEVHXUYPRV-DZGCQCFKSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1CC(C)(C)C1 ZINC001234029755 887567646 /nfs/dbraw/zinc/56/76/46/887567646.db2.gz JSJVMEVHXUYPRV-DZGCQCFKSA-N 1 2 321.465 1.646 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@@H+]1CCOCC(F)(F)F ZINC001234129892 887672494 /nfs/dbraw/zinc/67/24/94/887672494.db2.gz KPJLITLEVOYMBQ-ZDUSSCGKSA-N 1 2 320.355 1.757 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@H+]1CCOCC(F)(F)F ZINC001234129892 887672507 /nfs/dbraw/zinc/67/25/07/887672507.db2.gz KPJLITLEVOYMBQ-ZDUSSCGKSA-N 1 2 320.355 1.757 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC(=O)N1CCCC1)C(=O)C#CC(C)(C)C ZINC001234196940 887739565 /nfs/dbraw/zinc/73/95/65/887739565.db2.gz WRXYPYYBXYIHCS-OAHLLOKOSA-N 1 2 319.449 1.191 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC(=O)N1CCCC1)C(=O)C#CC(C)(C)C ZINC001234196940 887739574 /nfs/dbraw/zinc/73/95/74/887739574.db2.gz WRXYPYYBXYIHCS-OAHLLOKOSA-N 1 2 319.449 1.191 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214598 887753093 /nfs/dbraw/zinc/75/30/93/887753093.db2.gz DJKZCAYAKAIUPC-HNNXBMFYSA-N 1 2 314.433 1.867 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214598 887753110 /nfs/dbraw/zinc/75/31/10/887753110.db2.gz DJKZCAYAKAIUPC-HNNXBMFYSA-N 1 2 314.433 1.867 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nnc(CC)o1 ZINC001277844454 887825860 /nfs/dbraw/zinc/82/58/60/887825860.db2.gz DWHJELAGCUNZQM-CYBMUJFWSA-N 1 2 304.394 1.516 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234426744 887960453 /nfs/dbraw/zinc/96/04/53/887960453.db2.gz YEOMYMRWYDJTGP-QWRGUYRKSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234426744 887960471 /nfs/dbraw/zinc/96/04/71/887960471.db2.gz YEOMYMRWYDJTGP-QWRGUYRKSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)N(C)C ZINC001234516436 888043300 /nfs/dbraw/zinc/04/33/00/888043300.db2.gz CSGVBIQPILLIOD-GDBMZVCRSA-N 1 2 321.465 1.764 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)N(C)C ZINC001234516436 888043312 /nfs/dbraw/zinc/04/33/12/888043312.db2.gz CSGVBIQPILLIOD-GDBMZVCRSA-N 1 2 321.465 1.764 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)CC ZINC001234536657 888067620 /nfs/dbraw/zinc/06/76/20/888067620.db2.gz GIVNIOSLEPEIBP-CQSZACIVSA-N 1 2 309.454 1.600 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)CC ZINC001234536657 888067631 /nfs/dbraw/zinc/06/76/31/888067631.db2.gz GIVNIOSLEPEIBP-CQSZACIVSA-N 1 2 309.454 1.600 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@@H](CNC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001384952635 888258816 /nfs/dbraw/zinc/25/88/16/888258816.db2.gz ZKIIRCJNIGEEOO-SYQHCUMBSA-N 1 2 317.393 1.005 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@](C)(OC)c1ccccc1F ZINC001235105048 888409958 /nfs/dbraw/zinc/40/99/58/888409958.db2.gz HMYAXEJAOJREAY-RDTXWAMCSA-N 1 2 318.392 1.853 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@](C)(OC)c1ccccc1F ZINC001235105048 888409965 /nfs/dbraw/zinc/40/99/65/888409965.db2.gz HMYAXEJAOJREAY-RDTXWAMCSA-N 1 2 318.392 1.853 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1ncc(C)cn1 ZINC001235246726 888503288 /nfs/dbraw/zinc/50/32/88/888503288.db2.gz SHBUEEBTDYOMLR-OAHLLOKOSA-N 1 2 320.437 1.704 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1ncc(C)cn1 ZINC001235246726 888503299 /nfs/dbraw/zinc/50/32/99/888503299.db2.gz SHBUEEBTDYOMLR-OAHLLOKOSA-N 1 2 320.437 1.704 20 30 DDEDLO COC(=O)C1=NOC2(C1)CC[NH+](Cc1nc(C#N)cs1)CC2 ZINC001364390610 888522196 /nfs/dbraw/zinc/52/21/96/888522196.db2.gz RKIVEIMVZKCUDR-UHFFFAOYSA-N 1 2 320.374 1.299 20 30 DDEDLO N#CCCC[NH+]1CC2(CCN2C(=O)c2cccc3nc[nH]c32)C1 ZINC001277942781 888614468 /nfs/dbraw/zinc/61/44/68/888614468.db2.gz DAZSICAEYFZNGR-UHFFFAOYSA-N 1 2 309.373 1.767 20 30 DDEDLO Cc1nnc([C@H]2CC[N@@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C2)[nH]1 ZINC001364434928 888629970 /nfs/dbraw/zinc/62/99/70/888629970.db2.gz YKDPCMYBZCJRAG-KBPBESRZSA-N 1 2 310.361 1.001 20 30 DDEDLO Cc1nnc([C@H]2CC[N@H+]([C@H](C(N)=O)c3ccc(C#N)cc3)C2)[nH]1 ZINC001364434928 888629978 /nfs/dbraw/zinc/62/99/78/888629978.db2.gz YKDPCMYBZCJRAG-KBPBESRZSA-N 1 2 310.361 1.001 20 30 DDEDLO C[C@@H]1C(=O)N(C)[C@H](C)[C@H](C)[N@@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001364437636 888636568 /nfs/dbraw/zinc/63/65/68/888636568.db2.gz IMZAXHBMSRLOPA-IATRGZMQSA-N 1 2 301.390 1.531 20 30 DDEDLO C[C@@H]1C(=O)N(C)[C@H](C)[C@H](C)[N@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001364437636 888636577 /nfs/dbraw/zinc/63/65/77/888636577.db2.gz IMZAXHBMSRLOPA-IATRGZMQSA-N 1 2 301.390 1.531 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)Cc1cnccc1C ZINC001235510760 888698169 /nfs/dbraw/zinc/69/81/69/888698169.db2.gz DBOGLGQTRZDLSX-QGZVFWFLSA-N 1 2 315.417 1.115 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)Cc1cnccc1C ZINC001235510760 888698176 /nfs/dbraw/zinc/69/81/76/888698176.db2.gz DBOGLGQTRZDLSX-QGZVFWFLSA-N 1 2 315.417 1.115 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1onc(C)c1C ZINC001235653667 888849006 /nfs/dbraw/zinc/84/90/06/888849006.db2.gz SNCCSBLMNVUPDW-AWEZNQCLSA-N 1 2 305.378 1.088 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1onc(C)c1C ZINC001235653667 888849018 /nfs/dbraw/zinc/84/90/18/888849018.db2.gz SNCCSBLMNVUPDW-AWEZNQCLSA-N 1 2 305.378 1.088 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nc(C2CCC2)no1 ZINC001235683833 888881076 /nfs/dbraw/zinc/88/10/76/888881076.db2.gz QNZDKMUGLKGQIX-UHFFFAOYSA-N 1 2 322.409 1.478 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nc(C2CCC2)no1 ZINC001235683833 888881080 /nfs/dbraw/zinc/88/10/80/888881080.db2.gz QNZDKMUGLKGQIX-UHFFFAOYSA-N 1 2 322.409 1.478 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nc(C)sc1C ZINC001235722577 888913819 /nfs/dbraw/zinc/91/38/19/888913819.db2.gz MHBQKTBPJGSXBU-AWEZNQCLSA-N 1 2 321.446 1.556 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nc(C)sc1C ZINC001235722577 888913827 /nfs/dbraw/zinc/91/38/27/888913827.db2.gz MHBQKTBPJGSXBU-AWEZNQCLSA-N 1 2 321.446 1.556 20 30 DDEDLO Cc1cc(C#N)cc(NC2CC[NH+]([C@@H]3CCOC3=O)CC2)n1 ZINC001364559913 888924255 /nfs/dbraw/zinc/92/42/55/888924255.db2.gz PVLWRUOXNLAXNG-CQSZACIVSA-N 1 2 300.362 1.454 20 30 DDEDLO C=CC[NH+]1CCN(Cc2nc(C(=O)OCC)c(C)s2)CC1 ZINC001237233524 889555708 /nfs/dbraw/zinc/55/57/08/889555708.db2.gz VJSCBFDKUQIWQD-UHFFFAOYSA-N 1 2 309.435 1.932 20 30 DDEDLO C=CCN1C(=O)CCC12CC[NH+](Cc1ncc(C)cn1)CC2 ZINC001237804697 889857207 /nfs/dbraw/zinc/85/72/07/889857207.db2.gz LPPJLYBHTZZGFV-UHFFFAOYSA-N 1 2 300.406 1.928 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCN1CCC[C@](C#N)(c2ccccn2)C1 ZINC001365318145 890575636 /nfs/dbraw/zinc/57/56/36/890575636.db2.gz VDHYBNIAZKBWQR-FUHWJXTLSA-N 1 2 314.433 1.659 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCN1CCC[C@](C#N)(c2ccccn2)C1 ZINC001365318145 890575645 /nfs/dbraw/zinc/57/56/45/890575645.db2.gz VDHYBNIAZKBWQR-FUHWJXTLSA-N 1 2 314.433 1.659 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001278368858 891706036 /nfs/dbraw/zinc/70/60/36/891706036.db2.gz IIRLFHOJAWTPBP-CZUORRHYSA-N 1 2 304.394 1.321 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001278368858 891706056 /nfs/dbraw/zinc/70/60/56/891706056.db2.gz IIRLFHOJAWTPBP-CZUORRHYSA-N 1 2 304.394 1.321 20 30 DDEDLO C#CCCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2ccn(CC)n2)C1 ZINC001278388407 891921895 /nfs/dbraw/zinc/92/18/95/891921895.db2.gz KSXLRAVANTVZMY-KRWDZBQOSA-N 1 2 302.422 1.787 20 30 DDEDLO C#CCCCC(=O)N[C@@]1(C)CC[N@H+](Cc2ccn(CC)n2)C1 ZINC001278388407 891921902 /nfs/dbraw/zinc/92/19/02/891921902.db2.gz KSXLRAVANTVZMY-KRWDZBQOSA-N 1 2 302.422 1.787 20 30 DDEDLO CO[C@H](C)C(=O)N[C@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001365930871 891948312 /nfs/dbraw/zinc/94/83/12/891948312.db2.gz UHYMYIYDUXIYME-DOMZBBRYSA-N 1 2 319.380 1.813 20 30 DDEDLO CO[C@H](C)C(=O)N[C@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001365930871 891948325 /nfs/dbraw/zinc/94/83/25/891948325.db2.gz UHYMYIYDUXIYME-DOMZBBRYSA-N 1 2 319.380 1.813 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCC[NH2+]C1CC[NH+](C2CC2)CC1 ZINC001246304988 892529687 /nfs/dbraw/zinc/52/96/87/892529687.db2.gz GABYDRDBWWGOLK-INIZCTEOSA-N 1 2 309.454 1.430 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](N2CCC(c3ccc(C#N)cc3)CC2)C[NH2+]1 ZINC001246898617 892976256 /nfs/dbraw/zinc/97/62/56/892976256.db2.gz NTTAPWFIIYPHCE-IRXDYDNUSA-N 1 2 313.401 1.641 20 30 DDEDLO CC(=O)N1CCC2(C[NH+](Cc3cnn4ccc(C#N)cc34)C2)C1 ZINC001249098748 893819030 /nfs/dbraw/zinc/81/90/30/893819030.db2.gz FKDQNKFHWMTROQ-UHFFFAOYSA-N 1 2 309.373 1.260 20 30 DDEDLO CC[N@H+](CCNC(=O)CCOC)Cc1cc(F)ccc1C#N ZINC001366598223 894192467 /nfs/dbraw/zinc/19/24/67/894192467.db2.gz WKKFEHJEBRXJHJ-UHFFFAOYSA-N 1 2 307.369 1.672 20 30 DDEDLO CC[N@@H+](CCNC(=O)CCOC)Cc1cc(F)ccc1C#N ZINC001366598223 894192474 /nfs/dbraw/zinc/19/24/74/894192474.db2.gz WKKFEHJEBRXJHJ-UHFFFAOYSA-N 1 2 307.369 1.672 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001366628095 894337614 /nfs/dbraw/zinc/33/76/14/894337614.db2.gz QXDVEXOZFOWJHB-NSHDSACASA-N 1 2 311.817 1.415 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001366628095 894337624 /nfs/dbraw/zinc/33/76/24/894337624.db2.gz QXDVEXOZFOWJHB-NSHDSACASA-N 1 2 311.817 1.415 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H](CNC(=O)[C@H](C)C#N)C2)n1C1CC1 ZINC001366690776 894599898 /nfs/dbraw/zinc/59/98/98/894599898.db2.gz OVQDERVISMKLBN-YPMHNXCESA-N 1 2 316.409 1.019 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H](CNC(=O)[C@H](C)C#N)C2)n1C1CC1 ZINC001366690776 894599910 /nfs/dbraw/zinc/59/99/10/894599910.db2.gz OVQDERVISMKLBN-YPMHNXCESA-N 1 2 316.409 1.019 20 30 DDEDLO C=CCOC[C@H](O)CNc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC001252454307 895162753 /nfs/dbraw/zinc/16/27/53/895162753.db2.gz MYAOWMMASQACNZ-IJEWVQPXSA-N 1 2 321.421 1.671 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C)no1)C2 ZINC001253538420 895889117 /nfs/dbraw/zinc/88/91/17/895889117.db2.gz FNMLSIRXAXSLDV-UHFFFAOYSA-N 1 2 304.394 1.625 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CC[N@H+](Cc1nc(C)no1)C2 ZINC001253538420 895889131 /nfs/dbraw/zinc/88/91/31/895889131.db2.gz FNMLSIRXAXSLDV-UHFFFAOYSA-N 1 2 304.394 1.625 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1C[N@H+](CC(N)=O)CCC1(F)F ZINC001278747600 896156537 /nfs/dbraw/zinc/15/65/37/896156537.db2.gz PUMDTLHHJPYFFT-NEPJUHHUSA-N 1 2 317.380 1.147 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1C[N@@H+](CC(N)=O)CCC1(F)F ZINC001278747600 896156546 /nfs/dbraw/zinc/15/65/46/896156546.db2.gz PUMDTLHHJPYFFT-NEPJUHHUSA-N 1 2 317.380 1.147 20 30 DDEDLO CCOC[C@@H]1C[N@@H+]([C@@H]2CC[C@@H](C#N)C2)Cc2nnn(CC)c21 ZINC001254665070 896563236 /nfs/dbraw/zinc/56/32/36/896563236.db2.gz YKJJMBRSBISYSJ-HZSPNIEDSA-N 1 2 303.410 1.926 20 30 DDEDLO CCOC[C@@H]1C[N@H+]([C@@H]2CC[C@@H](C#N)C2)Cc2nnn(CC)c21 ZINC001254665070 896563243 /nfs/dbraw/zinc/56/32/43/896563243.db2.gz YKJJMBRSBISYSJ-HZSPNIEDSA-N 1 2 303.410 1.926 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H](O)C[N@H+](C)Cc2nccs2)C1 ZINC001278873352 897031211 /nfs/dbraw/zinc/03/12/11/897031211.db2.gz GLXBZDVJNQTBJS-CYBMUJFWSA-N 1 2 323.462 1.751 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc2nccs2)C1 ZINC001278873352 897031224 /nfs/dbraw/zinc/03/12/24/897031224.db2.gz GLXBZDVJNQTBJS-CYBMUJFWSA-N 1 2 323.462 1.751 20 30 DDEDLO CCc1noc(C[NH+]2CCC3(C[C@H]3NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001389535152 897466956 /nfs/dbraw/zinc/46/69/56/897466956.db2.gz YKGSYCJJKDOLGW-NWDGAFQWSA-N 1 2 317.393 1.262 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1csnn1)C1CCCC1 ZINC001368137973 898785249 /nfs/dbraw/zinc/78/52/49/898785249.db2.gz QVIXIRCUKKEQHQ-MFKMUULPSA-N 1 2 307.423 1.462 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(CC)CC1 ZINC001262947490 900431851 /nfs/dbraw/zinc/43/18/51/900431851.db2.gz MSIHKODKMCJDMM-HUUCEWRRSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(CC)CC1 ZINC001262947490 900431858 /nfs/dbraw/zinc/43/18/58/900431858.db2.gz MSIHKODKMCJDMM-HUUCEWRRSA-N 1 2 319.453 1.828 20 30 DDEDLO CC[N@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1cc(OC)no1 ZINC001390896520 900462959 /nfs/dbraw/zinc/46/29/59/900462959.db2.gz FQABNWHYHTZPSK-UHFFFAOYSA-N 1 2 317.349 1.135 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1cc(OC)no1 ZINC001390896520 900462965 /nfs/dbraw/zinc/46/29/65/900462965.db2.gz FQABNWHYHTZPSK-UHFFFAOYSA-N 1 2 317.349 1.135 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cocc1C ZINC001263808146 900723085 /nfs/dbraw/zinc/72/30/85/900723085.db2.gz WYKDWNABOQTICX-ZIAGYGMSSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1cocc1C ZINC001263808146 900723092 /nfs/dbraw/zinc/72/30/92/900723092.db2.gz WYKDWNABOQTICX-ZIAGYGMSSA-N 1 2 319.405 1.425 20 30 DDEDLO CC#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@H](C)COC)C2 ZINC001264188273 901006222 /nfs/dbraw/zinc/00/62/22/901006222.db2.gz BSAZTASXFMIHPH-GFCCVEGCSA-N 1 2 321.446 1.423 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCC(C)(C)C1 ZINC001264370453 901049507 /nfs/dbraw/zinc/04/95/07/901049507.db2.gz CWFSHGUYSUGJLD-HZPDHXFCSA-N 1 2 306.450 1.995 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCC(C)(C)C1 ZINC001264370453 901049514 /nfs/dbraw/zinc/04/95/14/901049514.db2.gz CWFSHGUYSUGJLD-HZPDHXFCSA-N 1 2 306.450 1.995 20 30 DDEDLO CCc1ncoc1C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001264371411 901053653 /nfs/dbraw/zinc/05/36/53/901053653.db2.gz VLOOJAGHMTZUOF-CYBMUJFWSA-N 1 2 305.378 1.033 20 30 DDEDLO CCc1ncoc1C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001264371411 901053660 /nfs/dbraw/zinc/05/36/60/901053660.db2.gz VLOOJAGHMTZUOF-CYBMUJFWSA-N 1 2 305.378 1.033 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2CC(=O)NC(C)C)CC1 ZINC001264370967 901053709 /nfs/dbraw/zinc/05/37/09/901053709.db2.gz NDEHGFLMUVHBOF-INIZCTEOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC(=O)NC(C)C)CC1 ZINC001264370967 901053718 /nfs/dbraw/zinc/05/37/18/901053718.db2.gz NDEHGFLMUVHBOF-INIZCTEOSA-N 1 2 321.465 1.790 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(F)cc(F)c1 ZINC001264378825 901063161 /nfs/dbraw/zinc/06/31/61/901063161.db2.gz RRPOJHLKGRDSOD-INIZCTEOSA-N 1 2 322.355 1.761 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(F)cc(F)c1 ZINC001264378825 901063170 /nfs/dbraw/zinc/06/31/70/901063170.db2.gz RRPOJHLKGRDSOD-INIZCTEOSA-N 1 2 322.355 1.761 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1CCC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001265212805 901713743 /nfs/dbraw/zinc/71/37/43/901713743.db2.gz GDNBZQHJITUTKE-INIZCTEOSA-N 1 2 301.365 1.715 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1CCC[N@H+](Cc2ccc(F)cn2)C1 ZINC001265212805 901713755 /nfs/dbraw/zinc/71/37/55/901713755.db2.gz GDNBZQHJITUTKE-INIZCTEOSA-N 1 2 301.365 1.715 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001265214862 901722774 /nfs/dbraw/zinc/72/27/74/901722774.db2.gz QKUYBQFNQVFXPO-MRXNPFEDSA-N 1 2 321.465 1.982 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001265214862 901722785 /nfs/dbraw/zinc/72/27/85/901722785.db2.gz QKUYBQFNQVFXPO-MRXNPFEDSA-N 1 2 321.465 1.982 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2cc(=O)c(OC)co2)C1 ZINC001265286071 901827140 /nfs/dbraw/zinc/82/71/40/901827140.db2.gz NAHTYZFEFJLUNQ-ZDUSSCGKSA-N 1 2 320.389 1.695 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2cc(=O)c(OC)co2)C1 ZINC001265286071 901827148 /nfs/dbraw/zinc/82/71/48/901827148.db2.gz NAHTYZFEFJLUNQ-ZDUSSCGKSA-N 1 2 320.389 1.695 20 30 DDEDLO N#CCN1CCC2(C[C@@H]2NC(=O)CCCn2cc[nH+]c2)CC1 ZINC001265336204 901901147 /nfs/dbraw/zinc/90/11/47/901901147.db2.gz SSCWFLXBZBSMEQ-AWEZNQCLSA-N 1 2 301.394 1.158 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCCCC ZINC001265402586 901975407 /nfs/dbraw/zinc/97/54/07/901975407.db2.gz RTBUDOQUBSBASJ-HRCADAONSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCCCC ZINC001265402586 901975417 /nfs/dbraw/zinc/97/54/17/901975417.db2.gz RTBUDOQUBSBASJ-HRCADAONSA-N 1 2 321.465 1.933 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CCC[N@H+](Cc2ncnn2C)C1 ZINC001265591696 902172224 /nfs/dbraw/zinc/17/22/24/902172224.db2.gz ZZNQVVQMZASCJR-RHSMWYFYSA-N 1 2 319.453 1.746 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CCC[N@@H+](Cc2ncnn2C)C1 ZINC001265591696 902172227 /nfs/dbraw/zinc/17/22/27/902172227.db2.gz ZZNQVVQMZASCJR-RHSMWYFYSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCCC[N@H+]1Cc1cnnn1CC ZINC001265649075 902251151 /nfs/dbraw/zinc/25/11/51/902251151.db2.gz MYVKBFMIUVSETL-AWEZNQCLSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCCC[N@@H+]1Cc1cnnn1CC ZINC001265649075 902251168 /nfs/dbraw/zinc/25/11/68/902251168.db2.gz MYVKBFMIUVSETL-AWEZNQCLSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cnnn2CC)C1 ZINC001266236149 903170605 /nfs/dbraw/zinc/17/06/05/903170605.db2.gz DXLATUMXSQNVRF-AWEZNQCLSA-N 1 2 305.426 1.735 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCC[N@H+](Cc2cnnn2CC)C1 ZINC001266236149 903170610 /nfs/dbraw/zinc/17/06/10/903170610.db2.gz DXLATUMXSQNVRF-AWEZNQCLSA-N 1 2 305.426 1.735 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](C)c2ccco2)C1 ZINC001266240028 903175886 /nfs/dbraw/zinc/17/58/86/903175886.db2.gz NOBNCPVLGRNKGH-UONOGXRCSA-N 1 2 319.405 1.266 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](C)c2ccco2)C1 ZINC001266240028 903175889 /nfs/dbraw/zinc/17/58/89/903175889.db2.gz NOBNCPVLGRNKGH-UONOGXRCSA-N 1 2 319.405 1.266 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC(=O)NC(C)(C)C)C1 ZINC001316606583 904097459 /nfs/dbraw/zinc/09/74/59/904097459.db2.gz WGGCALUQJYGGIA-AWEZNQCLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H]2CC[N@H+]2CC(=O)NC(C)(C)C)C1 ZINC001316606583 904097473 /nfs/dbraw/zinc/09/74/73/904097473.db2.gz WGGCALUQJYGGIA-AWEZNQCLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C1(C(F)F)CC1)CO2 ZINC001280948036 904230522 /nfs/dbraw/zinc/23/05/22/904230522.db2.gz PRHVJBVKJFJKKT-NSHDSACASA-N 1 2 300.349 1.567 20 30 DDEDLO CC(C)(C)[C@H](CNC(=O)C#CC1CC1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001281323310 904679528 /nfs/dbraw/zinc/67/95/28/904679528.db2.gz UAWVXLZASWTWGZ-AWEZNQCLSA-N 1 2 316.405 1.013 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001281391142 904779792 /nfs/dbraw/zinc/77/97/92/904779792.db2.gz QMKYDYQSCRVVDS-UONOGXRCSA-N 1 2 318.421 1.762 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)C[C@H]1CCC(=O)NC1 ZINC001392661317 904789881 /nfs/dbraw/zinc/78/98/81/904789881.db2.gz PPFSIZIPZPUWSO-VXGBXAGGSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)C[C@H]1CCC(=O)NC1 ZINC001392661317 904789898 /nfs/dbraw/zinc/78/98/98/904789898.db2.gz PPFSIZIPZPUWSO-VXGBXAGGSA-N 1 2 301.818 1.092 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001281441415 904852914 /nfs/dbraw/zinc/85/29/14/904852914.db2.gz FYGOZSWVTILCDB-KBPBESRZSA-N 1 2 318.421 1.401 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001281441415 904852933 /nfs/dbraw/zinc/85/29/33/904852933.db2.gz FYGOZSWVTILCDB-KBPBESRZSA-N 1 2 318.421 1.401 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cccc(C(=O)NC)n1 ZINC001392715882 905029214 /nfs/dbraw/zinc/02/92/14/905029214.db2.gz KHTMLPQWKWQQDF-NSHDSACASA-N 1 2 324.812 1.244 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cccc(C(=O)NC)n1 ZINC001392715882 905029234 /nfs/dbraw/zinc/02/92/34/905029234.db2.gz KHTMLPQWKWQQDF-NSHDSACASA-N 1 2 324.812 1.244 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC001282047070 905464343 /nfs/dbraw/zinc/46/43/43/905464343.db2.gz KVYWWDLDECPJOC-AWEZNQCLSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)[N@H+](C)[C@H](C)C(=O)Nc1nccs1 ZINC001282364938 905715714 /nfs/dbraw/zinc/71/57/14/905715714.db2.gz SMIGNOYMMGRJNN-NWDGAFQWSA-N 1 2 324.450 1.873 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)[N@@H+](C)[C@H](C)C(=O)Nc1nccs1 ZINC001282364938 905715729 /nfs/dbraw/zinc/71/57/29/905715729.db2.gz SMIGNOYMMGRJNN-NWDGAFQWSA-N 1 2 324.450 1.873 20 30 DDEDLO COCC#CC[NH2+][C@@H](CCN(C)C(=O)Cc1c[nH+]c[nH]1)C(C)C ZINC001282568730 905872925 /nfs/dbraw/zinc/87/29/25/905872925.db2.gz OMFXYNULQBLSDJ-INIZCTEOSA-N 1 2 320.437 1.065 20 30 DDEDLO CO[C@H]1CCC[C@@H]1C(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001372031605 906285440 /nfs/dbraw/zinc/28/54/40/906285440.db2.gz OIMTUELAECUMHU-IRXDYDNUSA-N 1 2 315.417 1.921 20 30 DDEDLO CO[C@H]1CCC[C@@H]1C(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001372031605 906285454 /nfs/dbraw/zinc/28/54/54/906285454.db2.gz OIMTUELAECUMHU-IRXDYDNUSA-N 1 2 315.417 1.921 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)CNC(=O)CC(C)(C)C ZINC001372223082 906742859 /nfs/dbraw/zinc/74/28/59/906742859.db2.gz MBQRXRDMGNXLLG-UHFFFAOYSA-N 1 2 303.834 1.339 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)CNC(=O)CC(C)(C)C ZINC001372223082 906742873 /nfs/dbraw/zinc/74/28/73/906742873.db2.gz MBQRXRDMGNXLLG-UHFFFAOYSA-N 1 2 303.834 1.339 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001284022987 908330875 /nfs/dbraw/zinc/33/08/75/908330875.db2.gz CIIJXVKQHGJLLV-ZDUSSCGKSA-N 1 2 318.421 1.761 20 30 DDEDLO C[C@@H](CNC(=O)C#CC(C)(C)C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001284234774 908704793 /nfs/dbraw/zinc/70/47/93/908704793.db2.gz YRMIXZUZZOFZKK-LBPRGKRZSA-N 1 2 304.394 1.013 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001284270338 908754019 /nfs/dbraw/zinc/75/40/19/908754019.db2.gz MOMPGSOLOSRIJY-YNEHKIRRSA-N 1 2 318.421 1.736 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001284270338 908754024 /nfs/dbraw/zinc/75/40/24/908754024.db2.gz MOMPGSOLOSRIJY-YNEHKIRRSA-N 1 2 318.421 1.736 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C)C1C[NH+](Cc2cc3n(n2)CCCC3)C1 ZINC001394215072 909105781 /nfs/dbraw/zinc/10/57/81/909105781.db2.gz FQEFQJBKEKIFMO-CHWSQXEVSA-N 1 2 315.421 1.316 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCN(C)C(=O)Cc1c[nH+]cn1C ZINC001284529370 909149125 /nfs/dbraw/zinc/14/91/25/909149125.db2.gz HPQLCTGGYBZRMF-UHFFFAOYSA-N 1 2 306.410 1.140 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[N@H+](CC(=O)NC2CCCC2)CC1(C)C ZINC001394281494 909251165 /nfs/dbraw/zinc/25/11/65/909251165.db2.gz DSFJVTWUHQFGDZ-JSGCOSHPSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[N@@H+](CC(=O)NC2CCCC2)CC1(C)C ZINC001394281494 909251181 /nfs/dbraw/zinc/25/11/81/909251181.db2.gz DSFJVTWUHQFGDZ-JSGCOSHPSA-N 1 2 320.437 1.031 20 30 DDEDLO C=CCOCC(=O)NCC1=CC[N@H+](Cc2cc(C)on2)CC1 ZINC001284892209 909662502 /nfs/dbraw/zinc/66/25/02/909662502.db2.gz WKSROTXWTUVBIO-UHFFFAOYSA-N 1 2 305.378 1.434 20 30 DDEDLO C=CCOCC(=O)NCC1=CC[N@@H+](Cc2cc(C)on2)CC1 ZINC001284892209 909662513 /nfs/dbraw/zinc/66/25/13/909662513.db2.gz WKSROTXWTUVBIO-UHFFFAOYSA-N 1 2 305.378 1.434 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2CC=C(CNC(=O)C#CC3CC3)CC2)n1 ZINC001284909339 909710462 /nfs/dbraw/zinc/71/04/62/909710462.db2.gz KDGMTHSHCCKXHX-LBPRGKRZSA-N 1 2 314.389 1.601 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2CC=C(CNC(=O)C#CC3CC3)CC2)n1 ZINC001284909339 909710468 /nfs/dbraw/zinc/71/04/68/909710468.db2.gz KDGMTHSHCCKXHX-LBPRGKRZSA-N 1 2 314.389 1.601 20 30 DDEDLO COc1ccnc(C[N@@H+]2CCC[C@H](NC(=O)[C@H](C)C#N)C2)c1 ZINC001373393314 909728961 /nfs/dbraw/zinc/72/89/61/909728961.db2.gz OIMRKBXOJVYTDJ-OLZOCXBDSA-N 1 2 302.378 1.330 20 30 DDEDLO COc1ccnc(C[N@H+]2CCC[C@H](NC(=O)[C@H](C)C#N)C2)c1 ZINC001373393314 909728980 /nfs/dbraw/zinc/72/89/80/909728980.db2.gz OIMRKBXOJVYTDJ-OLZOCXBDSA-N 1 2 302.378 1.330 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001285660047 910989859 /nfs/dbraw/zinc/98/98/59/910989859.db2.gz IRMDSTYYSLQGGQ-QWHCGFSZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001285660047 910989872 /nfs/dbraw/zinc/98/98/72/910989872.db2.gz IRMDSTYYSLQGGQ-QWHCGFSZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]1CNC(=O)CCCn1cc[nH+]c1 ZINC001285877714 911350887 /nfs/dbraw/zinc/35/08/87/911350887.db2.gz QIKYXRUKHUQBAC-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C#CCCCC(=O)NC1(CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC001285937655 911470682 /nfs/dbraw/zinc/47/06/82/911470682.db2.gz DRNGJULFWMJTJP-CHWSQXEVSA-N 1 2 314.389 1.082 20 30 DDEDLO C#CCCCC(=O)NC1(CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CC1 ZINC001285937655 911470697 /nfs/dbraw/zinc/47/06/97/911470697.db2.gz DRNGJULFWMJTJP-CHWSQXEVSA-N 1 2 314.389 1.082 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001286037762 911611891 /nfs/dbraw/zinc/61/18/91/911611891.db2.gz ZTHUHLJWMZXAJS-NWDGAFQWSA-N 1 2 304.394 1.080 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2Cc2ccc(C#N)o2)CC1 ZINC001286478786 911993648 /nfs/dbraw/zinc/99/36/48/911993648.db2.gz KVCDWLPGSDXLRX-UHFFFAOYSA-N 1 2 324.388 1.109 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295067770 915543755 /nfs/dbraw/zinc/54/37/55/915543755.db2.gz MTGJDOHUPBVYJP-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(CC)CCNC(=O)Cn1cc[nH+]c1 ZINC001296329614 916343882 /nfs/dbraw/zinc/34/38/82/916343882.db2.gz GMOZGDGHQIAGHD-KGLIPLIRSA-N 1 2 306.410 1.306 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C1C[NH+](C[C@@H](O)Cc2ccc(F)cc2)C1 ZINC001375671634 916660844 /nfs/dbraw/zinc/66/08/44/916660844.db2.gz XYGYKNFMNFQOCJ-WBMJQRKESA-N 1 2 319.380 1.031 20 30 DDEDLO CCc1nc(C[N@@H+]2CC[C@@](C)(NC(=O)[C@@H](C)C#N)C2)cs1 ZINC001375823149 917055915 /nfs/dbraw/zinc/05/59/15/917055915.db2.gz UXTZYEJJPHIBNW-XHDPSFHLSA-N 1 2 306.435 1.946 20 30 DDEDLO CCc1nc(C[N@H+]2CC[C@@](C)(NC(=O)[C@@H](C)C#N)C2)cs1 ZINC001375823149 917055930 /nfs/dbraw/zinc/05/59/30/917055930.db2.gz UXTZYEJJPHIBNW-XHDPSFHLSA-N 1 2 306.435 1.946 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H](CNC(=O)[C@H](C)C#N)C2CC2)o1 ZINC001376203798 917953009 /nfs/dbraw/zinc/95/30/09/917953009.db2.gz WVVBIBFJWBLZPR-PWSUYJOCSA-N 1 2 305.382 1.337 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)[C@H](C)C(C)C)C1 ZINC001376494429 918618694 /nfs/dbraw/zinc/61/86/94/918618694.db2.gz QSDMUCGHFUOCLA-HIFRSBDPSA-N 1 2 302.846 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)[C@H](C)C(C)C)C1 ZINC001376494429 918618703 /nfs/dbraw/zinc/61/87/03/918618703.db2.gz QSDMUCGHFUOCLA-HIFRSBDPSA-N 1 2 302.846 1.974 20 30 DDEDLO CCC[N@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1ncc(C)o1 ZINC001377478279 922057343 /nfs/dbraw/zinc/05/73/43/922057343.db2.gz JZCWLODDVODTSV-UHFFFAOYSA-N 1 2 315.377 1.825 20 30 DDEDLO CCC[N@@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1ncc(C)o1 ZINC001377478279 922057350 /nfs/dbraw/zinc/05/73/50/922057350.db2.gz JZCWLODDVODTSV-UHFFFAOYSA-N 1 2 315.377 1.825 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)Cc1c(C)nn(C)c1C)C1CC1 ZINC001377540628 922275446 /nfs/dbraw/zinc/27/54/46/922275446.db2.gz AMJZNLOZXFOJPE-UHFFFAOYSA-N 1 2 324.856 1.913 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)Cc1c(C)nn(C)c1C)C1CC1 ZINC001377540628 922275454 /nfs/dbraw/zinc/27/54/54/922275454.db2.gz AMJZNLOZXFOJPE-UHFFFAOYSA-N 1 2 324.856 1.913 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)[C@H]2CCC2(F)F)CC1 ZINC001377561713 922338810 /nfs/dbraw/zinc/33/88/10/922338810.db2.gz IVYXZLRLCLIPJT-LLVKDONJSA-N 1 2 322.783 1.727 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)Cc2c(C)noc2Cl)C1 ZINC001377994452 923630612 /nfs/dbraw/zinc/63/06/12/923630612.db2.gz FDBXTKIDDKVBQT-UHFFFAOYSA-N 1 2 318.204 1.979 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CCC[C@@H](c2[nH+]cc3n2CCCC3)C1 ZINC000614481853 361880544 /nfs/dbraw/zinc/88/05/44/361880544.db2.gz ZCRUOYVXISKKAR-CXAGYDPISA-N 1 2 303.406 1.862 20 30 DDEDLO Cc1nc(N2CCN(c3cc(C#N)ccc3[N+](=O)[O-])CC2)cc[nH+]1 ZINC000274229559 282187562 /nfs/dbraw/zinc/18/75/62/282187562.db2.gz DUFFBQBBJBMEKN-UHFFFAOYSA-N 1 2 324.344 1.892 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](CCCO)C1 ZINC000452117890 231309147 /nfs/dbraw/zinc/30/91/47/231309147.db2.gz ISPWCHMQIOLRQD-XJKSGUPXSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](CCCO)C1 ZINC000452117890 231309151 /nfs/dbraw/zinc/30/91/51/231309151.db2.gz ISPWCHMQIOLRQD-XJKSGUPXSA-N 1 2 302.374 1.867 20 30 DDEDLO CC[C@H](C(N)=O)[NH+]1CCN([C@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348331217 529629075 /nfs/dbraw/zinc/62/90/75/529629075.db2.gz QPQVISZFMSYAFG-HUUCEWRRSA-N 1 2 320.824 1.786 20 30 DDEDLO CCc1nc(C)c(NC(=O)N(C)CC[NH+]2CCOCC2)s1 ZINC000331210606 529726272 /nfs/dbraw/zinc/72/62/72/529726272.db2.gz XRSOLFMJFJSCBT-UHFFFAOYSA-N 1 2 312.439 1.823 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)Cn1cnc2c(Cl)cccc2c1=O ZINC000414129090 529868793 /nfs/dbraw/zinc/86/87/93/529868793.db2.gz UGSDWAZCOKLSFW-NSHDSACASA-N 1 2 320.780 1.256 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)Cn1cnc2c(Cl)cccc2c1=O ZINC000414129090 529868794 /nfs/dbraw/zinc/86/87/94/529868794.db2.gz UGSDWAZCOKLSFW-NSHDSACASA-N 1 2 320.780 1.256 20 30 DDEDLO CN(C[C@@H]1CCCC[C@@H]1O)C([O-])=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000329723833 529945962 /nfs/dbraw/zinc/94/59/62/529945962.db2.gz FRQRCXOLPCMTEH-ZOWXZIJZSA-N 1 2 306.410 1.664 20 30 DDEDLO CN(C[C@@H]1CCCC[C@@H]1O)C([O-])=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000329723833 529945963 /nfs/dbraw/zinc/94/59/63/529945963.db2.gz FRQRCXOLPCMTEH-ZOWXZIJZSA-N 1 2 306.410 1.664 20 30 DDEDLO CCOC1CC(CNc2cnc(C#N)cn2)([NH+]2CCOCC2)C1 ZINC000278025663 213919537 /nfs/dbraw/zinc/91/95/37/213919537.db2.gz PJNNGWWQXYLSNG-UHFFFAOYSA-N 1 2 317.393 1.030 20 30 DDEDLO CC(C)[C@@H](C(=O)N1CC[C@](F)(C#N)C1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000615825478 362455144 /nfs/dbraw/zinc/45/51/44/362455144.db2.gz QTDAXHVKYNQQDR-FQLMCAECSA-N 1 2 311.401 1.584 20 30 DDEDLO CC(C)[C@@H](C(=O)N1CC[C@](F)(C#N)C1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000615825478 362455149 /nfs/dbraw/zinc/45/51/49/362455149.db2.gz QTDAXHVKYNQQDR-FQLMCAECSA-N 1 2 311.401 1.584 20 30 DDEDLO C=C[C@H](CO)Nc1nc(N[C@@H](C=C)CO)[nH+]c2ccccc21 ZINC000290947925 222712948 /nfs/dbraw/zinc/71/29/48/222712948.db2.gz COKIKOKCSOKOSK-NEPJUHHUSA-N 1 2 300.362 1.547 20 30 DDEDLO CCn1ccnc1C[NH2+][C@@H]1CCN(Cc2ccc(C#N)cc2)C1=O ZINC000616997586 362905729 /nfs/dbraw/zinc/90/57/29/362905729.db2.gz ILMWYWUWHGFPFH-MRXNPFEDSA-N 1 2 323.400 1.665 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CCC[C@@H](CCC(N)=O)C1 ZINC000459328704 233100896 /nfs/dbraw/zinc/10/08/96/233100896.db2.gz QHFPOYJGJQSWOK-LBPRGKRZSA-N 1 2 316.361 1.944 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CCC[C@@H](CCC(N)=O)C1 ZINC000459328704 233100902 /nfs/dbraw/zinc/10/09/02/233100902.db2.gz QHFPOYJGJQSWOK-LBPRGKRZSA-N 1 2 316.361 1.944 20 30 DDEDLO C=CC[C@H](C)NC(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000623623246 365964253 /nfs/dbraw/zinc/96/42/53/365964253.db2.gz FICKIMRKZFQSOC-GOEBONIOSA-N 1 2 318.421 1.546 20 30 DDEDLO C=CC[C@H](C)NC(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000623623246 365964257 /nfs/dbraw/zinc/96/42/57/365964257.db2.gz FICKIMRKZFQSOC-GOEBONIOSA-N 1 2 318.421 1.546 20 30 DDEDLO C[C@H]([NH2+][C@@H](C)c1ccn(C)n1)C(=O)NC1(C#N)CCCCC1 ZINC000459779463 233517364 /nfs/dbraw/zinc/51/73/64/233517364.db2.gz FXEMRRZITBUATQ-STQMWFEESA-N 1 2 303.410 1.802 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3ccc(=O)[nH]n3)CC2)cc1 ZINC000022749437 352179287 /nfs/dbraw/zinc/17/92/87/352179287.db2.gz AYCJGUQWTAQJPE-UHFFFAOYSA-N 1 2 323.356 1.012 20 30 DDEDLO CN(C)C(=O)[C@H]1CCC[N@@H+]1CC(=O)N(C)C1(C#N)CCCCC1 ZINC000027566789 352219732 /nfs/dbraw/zinc/21/97/32/352219732.db2.gz JFULRMJBQKOMCB-CQSZACIVSA-N 1 2 320.437 1.224 20 30 DDEDLO CN(C)C(=O)[C@H]1CCC[N@H+]1CC(=O)N(C)C1(C#N)CCCCC1 ZINC000027566789 352219736 /nfs/dbraw/zinc/21/97/36/352219736.db2.gz JFULRMJBQKOMCB-CQSZACIVSA-N 1 2 320.437 1.224 20 30 DDEDLO C#CC[N@H+](CC(=O)NCC(F)(F)F)Cc1ccc(F)cc1 ZINC000065958494 352971335 /nfs/dbraw/zinc/97/13/35/352971335.db2.gz SNXXGAREIOSLNW-UHFFFAOYSA-N 1 2 302.271 1.939 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCC(F)(F)F)Cc1ccc(F)cc1 ZINC000065958494 352971339 /nfs/dbraw/zinc/97/13/39/352971339.db2.gz SNXXGAREIOSLNW-UHFFFAOYSA-N 1 2 302.271 1.939 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(c2ccc(Cl)cc2C#N)CC1 ZINC000068660278 353124389 /nfs/dbraw/zinc/12/43/89/353124389.db2.gz HMRQLRSMKUQCFZ-UHFFFAOYSA-N 1 2 320.824 1.858 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCSC[C@H]1c1ccc(C)o1 ZINC000076010970 353389730 /nfs/dbraw/zinc/38/97/30/353389730.db2.gz HFRYYMYXCDPXTR-LBPRGKRZSA-N 1 2 323.418 1.690 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCSC[C@H]1c1ccc(C)o1 ZINC000076010970 353389732 /nfs/dbraw/zinc/38/97/32/353389732.db2.gz HFRYYMYXCDPXTR-LBPRGKRZSA-N 1 2 323.418 1.690 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@@H]1CCC[N@H+]1CC(=O)N1CCOCC1 ZINC000076153638 353402075 /nfs/dbraw/zinc/40/20/75/353402075.db2.gz HQVMZCBZMUTUOW-SJORKVTESA-N 1 2 313.401 1.617 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@@H]1CCC[N@@H+]1CC(=O)N1CCOCC1 ZINC000076153638 353402076 /nfs/dbraw/zinc/40/20/76/353402076.db2.gz HQVMZCBZMUTUOW-SJORKVTESA-N 1 2 313.401 1.617 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000076813831 353439073 /nfs/dbraw/zinc/43/90/73/353439073.db2.gz ZJLZTOUEQFQSTI-GPAUDHNESA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000076813831 353439075 /nfs/dbraw/zinc/43/90/75/353439075.db2.gz ZJLZTOUEQFQSTI-GPAUDHNESA-N 1 2 319.430 1.728 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[NH+]1CCC(c2nnc[nH]2)CC1 ZINC000083443306 353709894 /nfs/dbraw/zinc/70/98/94/353709894.db2.gz ODDDZWUROPEXIV-UHFFFAOYSA-N 1 2 316.390 1.556 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000091738311 353835241 /nfs/dbraw/zinc/83/52/41/353835241.db2.gz UMGZMALMUIQJSC-AWEZNQCLSA-N 1 2 313.405 1.086 20 30 DDEDLO Cc1nn(C)c(Cl)c1/C=C\C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000492943849 234649270 /nfs/dbraw/zinc/64/92/70/234649270.db2.gz CBUQEWQWQXFIHO-LMVHVUTASA-N 1 2 309.801 1.355 20 30 DDEDLO N#C[C@H](c1cccs1)N1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000182870578 354277146 /nfs/dbraw/zinc/27/71/46/354277146.db2.gz PZNSEJABAZUVKO-CYBMUJFWSA-N 1 2 315.402 1.354 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)Nc1ncccc1OCCCC#N ZINC000290474992 354443525 /nfs/dbraw/zinc/44/35/25/354443525.db2.gz UCCISGQGWMADOF-UHFFFAOYSA-N 1 2 314.349 1.819 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cccc(OCC(F)F)n1 ZINC000579248728 354717783 /nfs/dbraw/zinc/71/77/83/354717783.db2.gz PHXOEPJVASGVMI-CQSZACIVSA-N 1 2 312.320 1.299 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cccc(OCC(F)F)n1 ZINC000579248723 354717834 /nfs/dbraw/zinc/71/78/34/354717834.db2.gz PHXOEPJVASGVMI-AWEZNQCLSA-N 1 2 312.320 1.299 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@H+]1CC[C@](O)(Cn2ccnn2)C1 ZINC000585802571 354850227 /nfs/dbraw/zinc/85/02/27/354850227.db2.gz BMUPSTYNUSMHDA-OAHLLOKOSA-N 1 2 317.780 1.440 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@@H+]1CC[C@](O)(Cn2ccnn2)C1 ZINC000585802571 354850232 /nfs/dbraw/zinc/85/02/32/354850232.db2.gz BMUPSTYNUSMHDA-OAHLLOKOSA-N 1 2 317.780 1.440 20 30 DDEDLO N#C[C@@H]1CCC[C@@H]1[NH2+]Cc1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000589164332 354966473 /nfs/dbraw/zinc/96/64/73/354966473.db2.gz XXAFKGGKLIHOEN-JSGCOSHPSA-N 1 2 323.418 1.846 20 30 DDEDLO Cc1ccc2[nH+]c(CSCC(=O)N3CC(C#N)C3)cn2c1 ZINC000590483400 355102942 /nfs/dbraw/zinc/10/29/42/355102942.db2.gz CRNWAKASRISLAG-UHFFFAOYSA-N 1 2 300.387 1.858 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1C[C@H](c2cnn(C)c2)C2(C1)CCOCC2 ZINC000590755279 355167272 /nfs/dbraw/zinc/16/72/72/355167272.db2.gz AOQWTLNHXOFGIO-CABCVRRESA-N 1 2 319.405 1.344 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1C[C@H](c2cnn(C)c2)C2(C1)CCOCC2 ZINC000590755279 355167275 /nfs/dbraw/zinc/16/72/75/355167275.db2.gz AOQWTLNHXOFGIO-CABCVRRESA-N 1 2 319.405 1.344 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000590763335 355171037 /nfs/dbraw/zinc/17/10/37/355171037.db2.gz MDIYLLMORIFCTR-OAHLLOKOSA-N 1 2 321.446 1.857 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cccc(CC#N)c1 ZINC000590763335 355171040 /nfs/dbraw/zinc/17/10/40/355171040.db2.gz MDIYLLMORIFCTR-OAHLLOKOSA-N 1 2 321.446 1.857 20 30 DDEDLO N#CCc1ccc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000590801355 355181285 /nfs/dbraw/zinc/18/12/85/355181285.db2.gz NVYSJDPHLRVAPV-IYBDPMFKSA-N 1 2 314.389 1.737 20 30 DDEDLO N#CCc1ccc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000590801355 355181288 /nfs/dbraw/zinc/18/12/88/355181288.db2.gz NVYSJDPHLRVAPV-IYBDPMFKSA-N 1 2 314.389 1.737 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@H+]1CCC[C@](O)(CC(N)=O)C1 ZINC000591646757 355350218 /nfs/dbraw/zinc/35/02/18/355350218.db2.gz ZEFFPQKABJEJNZ-HNNXBMFYSA-N 1 2 307.781 1.414 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@@H+]1CCC[C@](O)(CC(N)=O)C1 ZINC000591646757 355350220 /nfs/dbraw/zinc/35/02/20/355350220.db2.gz ZEFFPQKABJEJNZ-HNNXBMFYSA-N 1 2 307.781 1.414 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)Cc2ccc(CC#N)cc2)C[C@@H]1C ZINC000592039254 355474491 /nfs/dbraw/zinc/47/44/91/355474491.db2.gz VYVFPOBPXHGMBS-AWEZNQCLSA-N 1 2 321.446 1.608 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)Cc2ccc(CC#N)cc2)C[C@@H]1C ZINC000592039254 355474494 /nfs/dbraw/zinc/47/44/94/355474494.db2.gz VYVFPOBPXHGMBS-AWEZNQCLSA-N 1 2 321.446 1.608 20 30 DDEDLO CCCS(=O)(=O)NC1CC[NH+](Cc2ccncc2C#N)CC1 ZINC000592060667 355480418 /nfs/dbraw/zinc/48/04/18/355480418.db2.gz WCKGGAKBNKSKOA-UHFFFAOYSA-N 1 2 322.434 1.247 20 30 DDEDLO CC(C)OCCOC[C@H](O)C[N@H+](C)Cc1ccncc1C#N ZINC000592069771 355482230 /nfs/dbraw/zinc/48/22/30/355482230.db2.gz YHMKLXZDLIPHJX-MRXNPFEDSA-N 1 2 307.394 1.188 20 30 DDEDLO CC(C)OCCOC[C@H](O)C[N@@H+](C)Cc1ccncc1C#N ZINC000592069771 355482231 /nfs/dbraw/zinc/48/22/31/355482231.db2.gz YHMKLXZDLIPHJX-MRXNPFEDSA-N 1 2 307.394 1.188 20 30 DDEDLO Cc1cc(C)cc(NC(=O)[C@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592145900 355510780 /nfs/dbraw/zinc/51/07/80/355510780.db2.gz AXQIYRZEPMWKPA-WMLDXEAASA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1cc(C)cc(NC(=O)[C@H](C)[N@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592145900 355510785 /nfs/dbraw/zinc/51/07/85/355510785.db2.gz AXQIYRZEPMWKPA-WMLDXEAASA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149716 355516119 /nfs/dbraw/zinc/51/61/19/355516119.db2.gz VCCBGVZCCXJMMH-OAHLLOKOSA-N 1 2 307.781 1.937 20 30 DDEDLO Cc1ccc(Cl)cc1NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149716 355516122 /nfs/dbraw/zinc/51/61/22/355516122.db2.gz VCCBGVZCCXJMMH-OAHLLOKOSA-N 1 2 307.781 1.937 20 30 DDEDLO COC(=O)c1cccc(Cl)c1C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592154204 355519882 /nfs/dbraw/zinc/51/98/82/355519882.db2.gz RNDWFCGBMUNOPS-HNNXBMFYSA-N 1 2 308.765 1.977 20 30 DDEDLO COC(=O)c1cccc(Cl)c1C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592154204 355519885 /nfs/dbraw/zinc/51/98/85/355519885.db2.gz RNDWFCGBMUNOPS-HNNXBMFYSA-N 1 2 308.765 1.977 20 30 DDEDLO CNC(=O)[C@@]1(C(F)(F)F)CC[N@H+](C[C@@H](C#N)CCC#N)C1 ZINC000592184758 355528439 /nfs/dbraw/zinc/52/84/39/355528439.db2.gz PFHHKJBFKZVPEC-ZYHUDNBSSA-N 1 2 302.300 1.430 20 30 DDEDLO CNC(=O)[C@@]1(C(F)(F)F)CC[N@@H+](C[C@@H](C#N)CCC#N)C1 ZINC000592184758 355528443 /nfs/dbraw/zinc/52/84/43/355528443.db2.gz PFHHKJBFKZVPEC-ZYHUDNBSSA-N 1 2 302.300 1.430 20 30 DDEDLO C[C@@H](CNC(=O)c1cccc(F)c1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594099797 356113362 /nfs/dbraw/zinc/11/33/62/356113362.db2.gz UHPMEUQQHCDXEF-RWMBFGLXSA-N 1 2 319.380 1.925 20 30 DDEDLO C[C@@H](CNC(=O)c1cccc(F)c1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594099797 356113367 /nfs/dbraw/zinc/11/33/67/356113367.db2.gz UHPMEUQQHCDXEF-RWMBFGLXSA-N 1 2 319.380 1.925 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@H](OC)C[C@@H]2CC(=O)OCC)nn1 ZINC000593974455 356077752 /nfs/dbraw/zinc/07/77/52/356077752.db2.gz BZGHOXUUXZJZET-HUUCEWRRSA-N 1 2 322.409 1.397 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@H](OC)C[C@@H]2CC(=O)OCC)nn1 ZINC000593974455 356077754 /nfs/dbraw/zinc/07/77/54/356077754.db2.gz BZGHOXUUXZJZET-HUUCEWRRSA-N 1 2 322.409 1.397 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2nc([C@@H]3CCCO3)n[nH]2)c(F)c1 ZINC000594510930 356240375 /nfs/dbraw/zinc/24/03/75/356240375.db2.gz ODCUQOSKQMBWGU-ZDUSSCGKSA-N 1 2 301.325 1.957 20 30 DDEDLO N#CC1(CN2C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C2=O)CCCCC1 ZINC000594747497 356306442 /nfs/dbraw/zinc/30/64/42/356306442.db2.gz JIHCLPUYDLQNMP-LBPRGKRZSA-N 1 2 301.350 1.347 20 30 DDEDLO N#CC1(CN2C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C2=O)CCCCC1 ZINC000594747497 356306445 /nfs/dbraw/zinc/30/64/45/356306445.db2.gz JIHCLPUYDLQNMP-LBPRGKRZSA-N 1 2 301.350 1.347 20 30 DDEDLO C[C@H](NS(=O)(=O)Cc1ccc(C#N)cc1F)c1[nH+]ccn1C ZINC000595382368 356473133 /nfs/dbraw/zinc/47/31/33/356473133.db2.gz GJYQKBHCDVQEEQ-JTQLQIEISA-N 1 2 322.365 1.611 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)N1CCC[C@H](CC#N)C1 ZINC000595515397 356528332 /nfs/dbraw/zinc/52/83/32/356528332.db2.gz IBRJXCWVBOXUBO-CQSZACIVSA-N 1 2 308.426 1.432 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)N1CCC[C@H](CC#N)C1 ZINC000595515397 356528336 /nfs/dbraw/zinc/52/83/36/356528336.db2.gz IBRJXCWVBOXUBO-CQSZACIVSA-N 1 2 308.426 1.432 20 30 DDEDLO Cc1cc(=O)[nH]c(C(C)(C)[NH2+]CC(=O)N[C@@](C)(C#N)C(C)C)n1 ZINC000595517262 356528644 /nfs/dbraw/zinc/52/86/44/356528644.db2.gz DHXUKGQGPSNDKD-INIZCTEOSA-N 1 2 319.409 1.370 20 30 DDEDLO COC(=O)c1cccc(NC(=O)C[N@@H+]2CCC[C@H](CC#N)C2)n1 ZINC000595623130 356582394 /nfs/dbraw/zinc/58/23/94/356582394.db2.gz IGSRKQJJNHCXIV-GFCCVEGCSA-N 1 2 316.361 1.432 20 30 DDEDLO COC(=O)c1cccc(NC(=O)C[N@H+]2CCC[C@H](CC#N)C2)n1 ZINC000595623130 356582396 /nfs/dbraw/zinc/58/23/96/356582396.db2.gz IGSRKQJJNHCXIV-GFCCVEGCSA-N 1 2 316.361 1.432 20 30 DDEDLO CC[N@H+](CCC(=O)NC(=O)NCc1ccccc1)[C@H](C)C#N ZINC000595811397 356661417 /nfs/dbraw/zinc/66/14/17/356661417.db2.gz BJBKQPYRCBPMQT-CYBMUJFWSA-N 1 2 302.378 1.636 20 30 DDEDLO CC[N@@H+](CCC(=O)NC(=O)NCc1ccccc1)[C@H](C)C#N ZINC000595811397 356661420 /nfs/dbraw/zinc/66/14/20/356661420.db2.gz BJBKQPYRCBPMQT-CYBMUJFWSA-N 1 2 302.378 1.636 20 30 DDEDLO C[C@]1(C#N)CC[N@H+](CCN(Cc2ccccc2)S(C)(=O)=O)C1 ZINC000595843688 356674220 /nfs/dbraw/zinc/67/42/20/356674220.db2.gz MHAFBCOASJMOFV-MRXNPFEDSA-N 1 2 321.446 1.684 20 30 DDEDLO C[C@]1(C#N)CC[N@@H+](CCN(Cc2ccccc2)S(C)(=O)=O)C1 ZINC000595843688 356674224 /nfs/dbraw/zinc/67/42/24/356674224.db2.gz MHAFBCOASJMOFV-MRXNPFEDSA-N 1 2 321.446 1.684 20 30 DDEDLO CCc1nc(C#N)cc(N2CCN(c3cc[nH+]c(C)n3)CC2)n1 ZINC000596192656 356807609 /nfs/dbraw/zinc/80/76/09/356807609.db2.gz SLCGDYUTBNSCFA-UHFFFAOYSA-N 1 2 309.377 1.336 20 30 DDEDLO C[C@H](CC#N)C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000597582468 357238025 /nfs/dbraw/zinc/23/80/25/357238025.db2.gz QOCWOWXIWVHHNA-LLVKDONJSA-N 1 2 300.362 1.018 20 30 DDEDLO C[C@H](CC#N)C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000597582468 357238029 /nfs/dbraw/zinc/23/80/29/357238029.db2.gz QOCWOWXIWVHHNA-LLVKDONJSA-N 1 2 300.362 1.018 20 30 DDEDLO C[C@]1(C#N)CCN(C(=O)c2cccc(C[NH+]3CCOCC3)c2)C1 ZINC000598415439 357571094 /nfs/dbraw/zinc/57/10/94/357571094.db2.gz MJWJCAMNCPGOHZ-GOSISDBHSA-N 1 2 313.401 1.895 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@@H+]1CCNC(=O)[C@@H]1c1ccccc1 ZINC000598597362 357647550 /nfs/dbraw/zinc/64/75/50/357647550.db2.gz ZUQNRDZHRKXJMY-GJZGRUSLSA-N 1 2 301.390 1.460 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@H+]1CCNC(=O)[C@@H]1c1ccccc1 ZINC000598597362 357647555 /nfs/dbraw/zinc/64/75/55/357647555.db2.gz ZUQNRDZHRKXJMY-GJZGRUSLSA-N 1 2 301.390 1.460 20 30 DDEDLO O=C(NC1CCCCC1)N1CC[NH+](CCN2CCNC2=O)CC1 ZINC000329632105 223005790 /nfs/dbraw/zinc/00/57/90/223005790.db2.gz ILZJKXXMJYKVEX-UHFFFAOYSA-N 1 2 323.441 1.080 20 30 DDEDLO N#CCC1(CS(=O)(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)CC1 ZINC000329638548 223007823 /nfs/dbraw/zinc/00/78/23/223007823.db2.gz YUMALLYXCZLJOY-LBPRGKRZSA-N 1 2 308.407 1.960 20 30 DDEDLO Cc1noc(C(C)(C)[NH+]=C([O-])N[C@@H]2CCc3[nH]c[nH+]c3C2)n1 ZINC000329696199 223014295 /nfs/dbraw/zinc/01/42/95/223014295.db2.gz BRNVXPXKFYMSQM-SECBINFHSA-N 1 2 304.354 1.397 20 30 DDEDLO Cc1noc(C(C)(C)[NH+]=C([O-])N[C@@H]2CCc3[nH+]c[nH]c3C2)n1 ZINC000329696199 223014298 /nfs/dbraw/zinc/01/42/98/223014298.db2.gz BRNVXPXKFYMSQM-SECBINFHSA-N 1 2 304.354 1.397 20 30 DDEDLO Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@H]3CCc4[nH]c[nH+]c4C3)C2)c1 ZINC000329719541 223018288 /nfs/dbraw/zinc/01/82/88/223018288.db2.gz MNOAIKCVJFPYDN-QWHCGFSZSA-N 1 2 314.393 1.633 20 30 DDEDLO Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@H]3CCc4[nH+]c[nH]c4C3)C2)c1 ZINC000329719541 223018290 /nfs/dbraw/zinc/01/82/90/223018290.db2.gz MNOAIKCVJFPYDN-QWHCGFSZSA-N 1 2 314.393 1.633 20 30 DDEDLO Cc1ccnc(NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)c1C#N ZINC000599185839 357842678 /nfs/dbraw/zinc/84/26/78/357842678.db2.gz YQOVHTWEXMDYOD-INIZCTEOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccnc(NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)c1C#N ZINC000599185839 357842680 /nfs/dbraw/zinc/84/26/80/357842680.db2.gz YQOVHTWEXMDYOD-INIZCTEOSA-N 1 2 323.400 1.970 20 30 DDEDLO COC(=O)[C@H]1CCCC[N@@H+]1C[C@H](O)COc1ccccc1C#N ZINC000599249490 357861874 /nfs/dbraw/zinc/86/18/74/357861874.db2.gz CJAGEHWTPMVMEX-LSDHHAIUSA-N 1 2 318.373 1.325 20 30 DDEDLO COC(=O)[C@H]1CCCC[N@H+]1C[C@H](O)COc1ccccc1C#N ZINC000599249490 357861876 /nfs/dbraw/zinc/86/18/76/357861876.db2.gz CJAGEHWTPMVMEX-LSDHHAIUSA-N 1 2 318.373 1.325 20 30 DDEDLO CCOC(=O)C[N@H+](C[C@@H](O)CC1(C#N)CCOCC1)C1CC1 ZINC000599281685 357873869 /nfs/dbraw/zinc/87/38/69/357873869.db2.gz LRWSARYFKDHHBU-AWEZNQCLSA-N 1 2 310.394 1.085 20 30 DDEDLO CCOC(=O)C[N@@H+](C[C@@H](O)CC1(C#N)CCOCC1)C1CC1 ZINC000599281685 357873873 /nfs/dbraw/zinc/87/38/73/357873873.db2.gz LRWSARYFKDHHBU-AWEZNQCLSA-N 1 2 310.394 1.085 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ncccc1Cl ZINC000599308217 357886861 /nfs/dbraw/zinc/88/68/61/357886861.db2.gz QQLAZILRHFVEKY-UHFFFAOYSA-N 1 2 308.813 1.783 20 30 DDEDLO CC(C)OC(=O)[C@H](C)[N@H+](C)C[C@H](O)COc1ccccc1C#N ZINC000599311219 357887712 /nfs/dbraw/zinc/88/77/12/357887712.db2.gz HRLREOOJCKKOTG-ZFWWWQNUSA-N 1 2 320.389 1.570 20 30 DDEDLO CC(C)OC(=O)[C@H](C)[N@@H+](C)C[C@H](O)COc1ccccc1C#N ZINC000599311219 357887716 /nfs/dbraw/zinc/88/77/16/357887716.db2.gz HRLREOOJCKKOTG-ZFWWWQNUSA-N 1 2 320.389 1.570 20 30 DDEDLO CCn1nccc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000180587470 199180591 /nfs/dbraw/zinc/18/05/91/199180591.db2.gz RDIOCWDZRLEQDE-ZDUSSCGKSA-N 1 2 311.393 1.275 20 30 DDEDLO CCn1nccc1C[N@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000180587470 199180593 /nfs/dbraw/zinc/18/05/93/199180593.db2.gz RDIOCWDZRLEQDE-ZDUSSCGKSA-N 1 2 311.393 1.275 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccccc2CC#N)C[C@@H]1C ZINC000601383044 358527795 /nfs/dbraw/zinc/52/77/95/358527795.db2.gz KAGKGRVBBHZEPF-UONOGXRCSA-N 1 2 321.446 1.856 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccccc2CC#N)C[C@@H]1C ZINC000601383044 358527796 /nfs/dbraw/zinc/52/77/96/358527796.db2.gz KAGKGRVBBHZEPF-UONOGXRCSA-N 1 2 321.446 1.856 20 30 DDEDLO N#CCCCNC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000601592373 358615933 /nfs/dbraw/zinc/61/59/33/358615933.db2.gz MLDBZAOHANCVNF-UHFFFAOYSA-N 1 2 319.434 1.738 20 30 DDEDLO N#Cc1cccc(C(=O)N2CCc3[nH]c[nH+]c3C23CCOCC3)c1 ZINC000601650636 358637583 /nfs/dbraw/zinc/63/75/83/358637583.db2.gz CEHZFYBYKZDKLH-UHFFFAOYSA-N 1 2 322.368 1.986 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCc3[nH]c[nH+]c3C23CCOCC3)cc1 ZINC000601657935 358641349 /nfs/dbraw/zinc/64/13/49/358641349.db2.gz YWEKATAAWWXWBV-UHFFFAOYSA-N 1 2 322.368 1.986 20 30 DDEDLO CN1CCCC[C@@H]([N@H+](C)CC(=O)Nc2sccc2C#N)C1=O ZINC000601967731 358757974 /nfs/dbraw/zinc/75/79/74/358757974.db2.gz ADLXEFBWWIZYJB-GFCCVEGCSA-N 1 2 320.418 1.501 20 30 DDEDLO CN1CCCC[C@@H]([N@@H+](C)CC(=O)Nc2sccc2C#N)C1=O ZINC000601967731 358757978 /nfs/dbraw/zinc/75/79/78/358757978.db2.gz ADLXEFBWWIZYJB-GFCCVEGCSA-N 1 2 320.418 1.501 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CCN(C(=O)CC#N)CC1)n1cc[nH+]c1 ZINC000602123848 358830015 /nfs/dbraw/zinc/83/00/15/358830015.db2.gz RQPXHXFWBMUTES-AWEZNQCLSA-N 1 2 317.393 1.055 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cccc(C#N)n1 ZINC000602483191 359008971 /nfs/dbraw/zinc/00/89/71/359008971.db2.gz IBPKGTNYWFNBLJ-CYBMUJFWSA-N 1 2 319.372 1.326 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cccc(C#N)n1 ZINC000602483191 359008973 /nfs/dbraw/zinc/00/89/73/359008973.db2.gz IBPKGTNYWFNBLJ-CYBMUJFWSA-N 1 2 319.372 1.326 20 30 DDEDLO CC1(C#N)CN(Cc2ccc(OCC[NH+]3CCOCC3)cc2)C1 ZINC000602690370 359127104 /nfs/dbraw/zinc/12/71/04/359127104.db2.gz NGQUVVYLLMVYLS-UHFFFAOYSA-N 1 2 315.417 1.743 20 30 DDEDLO C[C@@H]1CCC[C@H](NC(=O)C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)[C@H]1C ZINC000602858062 359244757 /nfs/dbraw/zinc/24/47/57/359244757.db2.gz YGWZNTLUPQFAAN-XAJHFOFHSA-N 1 2 320.481 1.845 20 30 DDEDLO CC(C)CN(C(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1)C1CC1 ZINC000602862392 359247412 /nfs/dbraw/zinc/24/74/12/359247412.db2.gz NQFUDTDABRHVFZ-HUUCEWRRSA-N 1 2 306.454 1.552 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1 ZINC000602869835 359254846 /nfs/dbraw/zinc/25/48/46/359254846.db2.gz PJWIGQFHCCXMMB-RYUDHWBXSA-N 1 2 320.368 1.011 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCC[C@H]2c2cn[nH]c2)c1 ZINC000602882266 359265074 /nfs/dbraw/zinc/26/50/74/359265074.db2.gz UHMVNMCKIFFJPQ-INIZCTEOSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCC[C@H]2c2cn[nH]c2)c1 ZINC000602882266 359265078 /nfs/dbraw/zinc/26/50/78/359265078.db2.gz UHMVNMCKIFFJPQ-INIZCTEOSA-N 1 2 309.373 1.735 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(F)cc(C#N)c1 ZINC000603080019 359392362 /nfs/dbraw/zinc/39/23/62/359392362.db2.gz HFKXAIYMFVSXJA-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1C[C@@H]2C[C@]2(O)C1 ZINC000603085221 359394220 /nfs/dbraw/zinc/39/42/20/359394220.db2.gz CRCFQRQXIPLUAM-LSYCYVAJSA-N 1 2 317.414 1.502 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1C[C@@H]2C[C@]2(O)C1 ZINC000603085221 359394221 /nfs/dbraw/zinc/39/42/21/359394221.db2.gz CRCFQRQXIPLUAM-LSYCYVAJSA-N 1 2 317.414 1.502 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1C[C@@H]2C[C@]2(O)C1 ZINC000603087017 359395360 /nfs/dbraw/zinc/39/53/60/359395360.db2.gz NHQGDYXIXJRCIY-NHYWBVRUSA-N 1 2 303.387 1.307 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1C[C@@H]2C[C@]2(O)C1 ZINC000603087017 359395363 /nfs/dbraw/zinc/39/53/63/359395363.db2.gz NHQGDYXIXJRCIY-NHYWBVRUSA-N 1 2 303.387 1.307 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C[C@H](C)N1CC#N ZINC000603104445 359405686 /nfs/dbraw/zinc/40/56/86/359405686.db2.gz CJWRHNKKHUFSQX-GASCZTMLSA-N 1 2 323.400 1.931 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccsc2C(=O)OC)nn1 ZINC000603217885 359489965 /nfs/dbraw/zinc/48/99/65/359489965.db2.gz YVCXCSBDIBRBDO-UHFFFAOYSA-N 1 2 304.375 1.287 20 30 DDEDLO N#Cc1ccccc1OCCn1cc[nH+]c1CN1CCOCC1 ZINC000603421665 359630271 /nfs/dbraw/zinc/63/02/71/359630271.db2.gz XXKYPPIFPKLKCU-UHFFFAOYSA-N 1 2 312.373 1.666 20 30 DDEDLO O=C(NCC#Cc1ccccc1)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000603586503 359662475 /nfs/dbraw/zinc/66/24/75/359662475.db2.gz JHKKELAEXVGTEM-UHFFFAOYSA-N 1 2 323.400 1.289 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCOc2ccccc2C1 ZINC000603868490 359690526 /nfs/dbraw/zinc/69/05/26/359690526.db2.gz PRCBFEKVJDWGOR-KRWDZBQOSA-N 1 2 301.390 1.935 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCOc2ccccc2C1 ZINC000603868490 359690529 /nfs/dbraw/zinc/69/05/29/359690529.db2.gz PRCBFEKVJDWGOR-KRWDZBQOSA-N 1 2 301.390 1.935 20 30 DDEDLO COC[C@H]1C[N@H+](CCOc2ccc(C#N)cc2OC)C[C@@H](C)O1 ZINC000189383983 200390763 /nfs/dbraw/zinc/39/07/63/200390763.db2.gz BXGYJLOHOVUCJN-UKRRQHHQSA-N 1 2 320.389 1.681 20 30 DDEDLO COC[C@H]1C[N@@H+](CCOc2ccc(C#N)cc2OC)C[C@@H](C)O1 ZINC000189383983 200390764 /nfs/dbraw/zinc/39/07/64/200390764.db2.gz BXGYJLOHOVUCJN-UKRRQHHQSA-N 1 2 320.389 1.681 20 30 DDEDLO N#Cc1cccc(-n2ccc(C(=O)N3CC[NH+](C4CC4)CC3)n2)c1 ZINC000281164175 216124235 /nfs/dbraw/zinc/12/42/35/216124235.db2.gz BRQGKDBQPGQSRH-UHFFFAOYSA-N 1 2 321.384 1.664 20 30 DDEDLO COc1cc(C)nc(N2CC[NH+](Cc3cccc(C#N)c3)CC2)n1 ZINC000609273358 360286187 /nfs/dbraw/zinc/28/61/87/360286187.db2.gz MJKCSBOUCZHEIU-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(c2cc(C#N)nc3ccccc32)CC1 ZINC000610245547 360396667 /nfs/dbraw/zinc/39/66/67/360396667.db2.gz PYUFMPRBHRVHGG-UHFFFAOYSA-N 1 2 323.400 1.317 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NC[C@H]1CN(C)CC[N@@H+]1C ZINC000610277959 360405813 /nfs/dbraw/zinc/40/58/13/360405813.db2.gz QNQGQFRSVZLBQL-HNNXBMFYSA-N 1 2 309.417 1.495 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NC[C@H]1CN(C)CC[N@H+]1C ZINC000610277959 360405815 /nfs/dbraw/zinc/40/58/15/360405815.db2.gz QNQGQFRSVZLBQL-HNNXBMFYSA-N 1 2 309.417 1.495 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CC[NH+](CCn2ccccc2=O)CC1 ZINC000610675761 360502285 /nfs/dbraw/zinc/50/22/85/360502285.db2.gz GBUYWISDBNEHJT-GOSISDBHSA-N 1 2 322.412 1.731 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000611018852 360604364 /nfs/dbraw/zinc/60/43/64/360604364.db2.gz WWLSVTTYZYZTOH-CQSZACIVSA-N 1 2 316.386 1.781 20 30 DDEDLO Cc1ccccc1CCNC(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611175769 360649198 /nfs/dbraw/zinc/64/91/98/360649198.db2.gz JYVHNWHNZKQACO-UHFFFAOYSA-N 1 2 314.433 1.185 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2nc(C#N)ccc2C)CC1 ZINC000611398811 360711903 /nfs/dbraw/zinc/71/19/03/360711903.db2.gz FTODZZOQGZEUBE-UHFFFAOYSA-N 1 2 315.421 1.252 20 30 DDEDLO CC[C@@H](C(N)=O)[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000611552372 360756190 /nfs/dbraw/zinc/75/61/90/360756190.db2.gz XCJDDLRYJBOIMQ-GJZGRUSLSA-N 1 2 304.369 1.272 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@H](OC(F)F)C1)C1CC1 ZINC000611580645 360766273 /nfs/dbraw/zinc/76/62/73/360766273.db2.gz YUMWADZQYDBBRA-SMDDNHRTSA-N 1 2 301.337 1.498 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@H](OC(F)F)C1)C1CC1 ZINC000611580645 360766283 /nfs/dbraw/zinc/76/62/83/360766283.db2.gz YUMWADZQYDBBRA-SMDDNHRTSA-N 1 2 301.337 1.498 20 30 DDEDLO CN(C(=O)N(CCC#N)CC[NH+]1CCOCC1)C1CCCC1 ZINC000330435884 223115410 /nfs/dbraw/zinc/11/54/10/223115410.db2.gz YNGDPVUFNKXGNF-UHFFFAOYSA-N 1 2 308.426 1.529 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)[C@@H]1CCCN1c1ccc(C#N)cc1 ZINC000614022919 361663534 /nfs/dbraw/zinc/66/35/34/361663534.db2.gz RTBDFBKCAZXRQU-KRWDZBQOSA-N 1 2 323.400 1.619 20 30 DDEDLO C=C[C@@](C)(O)C(=O)Nc1ccc2[nH]c(N3CCOCC3)[nH+]c2c1 ZINC000621616399 364938620 /nfs/dbraw/zinc/93/86/20/364938620.db2.gz PVTVJLOJRKKWSH-MRXNPFEDSA-N 1 2 316.361 1.275 20 30 DDEDLO N#CC1(NC(=O)C[NH2+][C@H](CO)c2c(F)cccc2F)CCC1 ZINC000346950205 223362328 /nfs/dbraw/zinc/36/23/28/223362328.db2.gz BYNCDNHGEPCKGH-GFCCVEGCSA-N 1 2 309.316 1.150 20 30 DDEDLO C[C@H]1C[C@@H](O)C[N@@H+]1CC(=O)Nc1ccccc1SCC#N ZINC000349848278 223397310 /nfs/dbraw/zinc/39/73/10/223397310.db2.gz ADNUTCHFIIFHNV-NWDGAFQWSA-N 1 2 305.403 1.696 20 30 DDEDLO C[C@H]1C[C@@H](O)C[N@H+]1CC(=O)Nc1ccccc1SCC#N ZINC000349848278 223397311 /nfs/dbraw/zinc/39/73/11/223397311.db2.gz ADNUTCHFIIFHNV-NWDGAFQWSA-N 1 2 305.403 1.696 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1nncn1C ZINC000275191021 212184984 /nfs/dbraw/zinc/18/49/84/212184984.db2.gz OWOHHSZONQGHGA-IUODEOHRSA-N 1 2 324.388 1.533 20 30 DDEDLO COC(=O)C[C@@](C)([NH2+]Cc1ccc(C#N)cn1)c1ccccn1 ZINC000566416456 304152766 /nfs/dbraw/zinc/15/27/66/304152766.db2.gz PTASYNUFSTZHDG-QGZVFWFLSA-N 1 2 310.357 1.916 20 30 DDEDLO C[N@H+](CCOCCO)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000282591509 217110197 /nfs/dbraw/zinc/11/01/97/217110197.db2.gz VQRJSIXQUJVYEC-UHFFFAOYSA-N 1 2 302.334 1.049 20 30 DDEDLO C[N@@H+](CCOCCO)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000282591509 217110200 /nfs/dbraw/zinc/11/02/00/217110200.db2.gz VQRJSIXQUJVYEC-UHFFFAOYSA-N 1 2 302.334 1.049 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000287182443 291670870 /nfs/dbraw/zinc/67/08/70/291670870.db2.gz HJTXFIMAEQNPFN-ZBFHGGJFSA-N 1 2 313.401 1.802 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](CCOCC(F)(F)F)CC1 ZINC000336855664 249261462 /nfs/dbraw/zinc/26/14/62/249261462.db2.gz IVOKXELXPXATJB-UHFFFAOYSA-N 1 2 314.311 1.654 20 30 DDEDLO CC[C@H](CC#N)NC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000157583929 197199702 /nfs/dbraw/zinc/19/97/02/197199702.db2.gz IYUXTQCUIAIDQD-CYBMUJFWSA-N 1 2 320.397 1.029 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)N[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000367320062 533135981 /nfs/dbraw/zinc/13/59/81/533135981.db2.gz PPMXXVFREXTZOY-UONOGXRCSA-N 1 2 301.394 1.851 20 30 DDEDLO CS(=O)(=O)N1CC[C@H](C[N@H+](CCC#N)Cc2ccccn2)C1 ZINC000338310775 533394310 /nfs/dbraw/zinc/39/43/10/533394310.db2.gz AVVHERUWLNFLNC-CQSZACIVSA-N 1 2 322.434 1.079 20 30 DDEDLO CS(=O)(=O)N1CC[C@H](C[N@@H+](CCC#N)Cc2ccccn2)C1 ZINC000338310775 533394315 /nfs/dbraw/zinc/39/43/15/533394315.db2.gz AVVHERUWLNFLNC-CQSZACIVSA-N 1 2 322.434 1.079 20 30 DDEDLO C[C@H]1C[C@@H](NS(=O)(=O)c2ccc(C#N)cc2F)c2[nH+]ccn21 ZINC000569071669 304333976 /nfs/dbraw/zinc/33/39/76/304333976.db2.gz HIAAAUHZOGJGLM-JOYOIKCWSA-N 1 2 320.349 1.878 20 30 DDEDLO CC(C)[N@H+](CC(N)=O)CC(=O)Nc1ccccc1SCC#N ZINC000008532946 406790236 /nfs/dbraw/zinc/79/02/36/406790236.db2.gz LVTXWEYTOGZRIH-UHFFFAOYSA-N 1 2 320.418 1.436 20 30 DDEDLO CC(C)[N@@H+](CC(N)=O)CC(=O)Nc1ccccc1SCC#N ZINC000008532946 406790238 /nfs/dbraw/zinc/79/02/38/406790238.db2.gz LVTXWEYTOGZRIH-UHFFFAOYSA-N 1 2 320.418 1.436 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000072938981 406887037 /nfs/dbraw/zinc/88/70/37/406887037.db2.gz OVEZOKWTVBGOLL-UHFFFAOYSA-N 1 2 323.343 1.694 20 30 DDEDLO C#CCNC(=O)N(Cc1ccccc1)[C@H](C)C[NH+]1CCOCC1 ZINC000076997515 406976446 /nfs/dbraw/zinc/97/64/46/406976446.db2.gz OFNLZPXUKUCJNH-MRXNPFEDSA-N 1 2 315.417 1.552 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(c2nc(C)ns2)CC1 ZINC000075967737 406948442 /nfs/dbraw/zinc/94/84/42/406948442.db2.gz PRYYOYZOECAEEX-NSHDSACASA-N 1 2 309.439 1.049 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(c2nc(C)ns2)CC1 ZINC000075967737 406948443 /nfs/dbraw/zinc/94/84/43/406948443.db2.gz PRYYOYZOECAEEX-NSHDSACASA-N 1 2 309.439 1.049 20 30 DDEDLO N#CCCC[NH+]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000077359333 406986499 /nfs/dbraw/zinc/98/64/99/406986499.db2.gz WLAZXTPQFVCWJD-UHFFFAOYSA-N 1 2 302.334 1.656 20 30 DDEDLO N#Cc1ccc(F)c(CNC(=O)NCCCn2cc[nH+]c2)c1 ZINC000080617494 407072448 /nfs/dbraw/zinc/07/24/48/407072448.db2.gz IGYYJTUVRPOOET-UHFFFAOYSA-N 1 2 301.325 1.783 20 30 DDEDLO CC[N@H+](CCC(=O)OC)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081512920 407077711 /nfs/dbraw/zinc/07/77/11/407077711.db2.gz TZLBPWZMJGWRKL-UHFFFAOYSA-N 1 2 324.402 1.217 20 30 DDEDLO CC[N@@H+](CCC(=O)OC)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081512920 407077712 /nfs/dbraw/zinc/07/77/12/407077712.db2.gz TZLBPWZMJGWRKL-UHFFFAOYSA-N 1 2 324.402 1.217 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C1CCCC1 ZINC000083244278 407082454 /nfs/dbraw/zinc/08/24/54/407082454.db2.gz NYVDDMBTCOBOKF-KRWDZBQOSA-N 1 2 321.421 1.603 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C1CCCC1 ZINC000083244278 407082458 /nfs/dbraw/zinc/08/24/58/407082458.db2.gz NYVDDMBTCOBOKF-KRWDZBQOSA-N 1 2 321.421 1.603 20 30 DDEDLO C#CCNC(=O)N1CC[NH+](Cc2cc(OC)ccc2OC)CC1 ZINC000053557134 407170867 /nfs/dbraw/zinc/17/08/67/407170867.db2.gz HUUIGQUMNLDLOT-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO COc1ccc(C[N@H+](C)[C@@H](C)C(=O)N(C)CCC#N)c(OC)c1 ZINC000057595652 407216485 /nfs/dbraw/zinc/21/64/85/407216485.db2.gz YWWSFQBLTQTBLC-ZDUSSCGKSA-N 1 2 319.405 1.896 20 30 DDEDLO COc1ccc(C[N@@H+](C)[C@@H](C)C(=O)N(C)CCC#N)c(OC)c1 ZINC000057595652 407216486 /nfs/dbraw/zinc/21/64/86/407216486.db2.gz YWWSFQBLTQTBLC-ZDUSSCGKSA-N 1 2 319.405 1.896 20 30 DDEDLO CCN(CC(=O)N1CC[NH+](Cc2ccco2)CC1)C[C@H](C)C#N ZINC000066424641 407250825 /nfs/dbraw/zinc/25/08/25/407250825.db2.gz LASUSVMZUQSCSP-OAHLLOKOSA-N 1 2 318.421 1.405 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1ccc(C#N)cn1)[NH+]1CCOCC1 ZINC000107440911 407374963 /nfs/dbraw/zinc/37/49/63/407374963.db2.gz PXUQCLVFLGUYFG-HNNXBMFYSA-N 1 2 302.378 1.040 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC=C(c2ccccc2F)CC1 ZINC000127807649 407466300 /nfs/dbraw/zinc/46/63/00/407466300.db2.gz YBOLVQCGTHPBQR-UHFFFAOYSA-N 1 2 317.364 1.927 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC=C(c2ccccc2F)CC1 ZINC000127807649 407466305 /nfs/dbraw/zinc/46/63/05/407466305.db2.gz YBOLVQCGTHPBQR-UHFFFAOYSA-N 1 2 317.364 1.927 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCN(CC(F)(F)F)[C@@H](C)C1 ZINC000127906708 407477021 /nfs/dbraw/zinc/47/70/21/407477021.db2.gz WAUBREKZRSJALN-ZDUSSCGKSA-N 1 2 319.371 1.755 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCN(CC(F)(F)F)[C@@H](C)C1 ZINC000127906708 407477026 /nfs/dbraw/zinc/47/70/26/407477026.db2.gz WAUBREKZRSJALN-ZDUSSCGKSA-N 1 2 319.371 1.755 20 30 DDEDLO COC(=O)c1cc(C[N@H+](C)[C@@H](C)CC#N)cc(C(=O)OC)c1 ZINC000270985251 407451296 /nfs/dbraw/zinc/45/12/96/407451296.db2.gz DBGZIAYIFGKEMW-NSHDSACASA-N 1 2 304.346 1.994 20 30 DDEDLO COC(=O)c1cc(C[N@@H+](C)[C@@H](C)CC#N)cc(C(=O)OC)c1 ZINC000270985251 407451300 /nfs/dbraw/zinc/45/13/00/407451300.db2.gz DBGZIAYIFGKEMW-NSHDSACASA-N 1 2 304.346 1.994 20 30 DDEDLO CCN1CC[NH+](Cc2ccccc2CNC(=O)CC#N)CC1 ZINC000129878314 407632043 /nfs/dbraw/zinc/63/20/43/407632043.db2.gz DDXLAYHIVBIKPZ-UHFFFAOYSA-N 1 2 300.406 1.354 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC[C@H](n2cncn2)C1 ZINC000171702254 407745074 /nfs/dbraw/zinc/74/50/74/407745074.db2.gz PKLYBZORVPWJBR-HIFRSBDPSA-N 1 2 324.388 1.814 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC[C@H](n2cncn2)C1 ZINC000171702254 407745081 /nfs/dbraw/zinc/74/50/81/407745081.db2.gz PKLYBZORVPWJBR-HIFRSBDPSA-N 1 2 324.388 1.814 20 30 DDEDLO C=CC[C@H](C)NC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000116939498 407798508 /nfs/dbraw/zinc/79/85/08/407798508.db2.gz KVMPQBSEJPCUKA-ZDUSSCGKSA-N 1 2 304.394 1.682 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)NC[C@H](C)Cn1cc[nH+]c1 ZINC000153391832 407814442 /nfs/dbraw/zinc/81/44/42/407814442.db2.gz JQAWGDPRZFTUGD-UONOGXRCSA-N 1 2 312.373 1.975 20 30 DDEDLO CCN(C)C(=O)C[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000267992440 407856770 /nfs/dbraw/zinc/85/67/70/407856770.db2.gz JRYWQGXPJARUMY-INIZCTEOSA-N 1 2 300.406 1.347 20 30 DDEDLO N#CCCn1ccc(NC(=O)C[N@@H+]2CCc3sccc3C2)n1 ZINC000117855323 407864380 /nfs/dbraw/zinc/86/43/80/407864380.db2.gz KMLGGKNCOQPXFG-UHFFFAOYSA-N 1 2 315.402 1.855 20 30 DDEDLO N#CCCn1ccc(NC(=O)C[N@H+]2CCc3sccc3C2)n1 ZINC000117855323 407864383 /nfs/dbraw/zinc/86/43/83/407864383.db2.gz KMLGGKNCOQPXFG-UHFFFAOYSA-N 1 2 315.402 1.855 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1ccn(CCC#N)n1)[NH+]1CCOCC1 ZINC000173338091 407875077 /nfs/dbraw/zinc/87/50/77/407875077.db2.gz ZXXZYNOUUDYATE-QWHCGFSZSA-N 1 2 320.397 1.027 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc(CO)cc1 ZINC000272344382 407878959 /nfs/dbraw/zinc/87/89/59/407878959.db2.gz PJXHWFSJJJSFAT-UHFFFAOYSA-N 1 2 303.406 1.227 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCN(CC(F)F)[C@H](CC)C1 ZINC000153713928 407885418 /nfs/dbraw/zinc/88/54/18/407885418.db2.gz FZPRHKNYQJVQBZ-CQSZACIVSA-N 1 2 315.408 1.848 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCN(CC(F)F)[C@H](CC)C1 ZINC000153713928 407885420 /nfs/dbraw/zinc/88/54/20/407885420.db2.gz FZPRHKNYQJVQBZ-CQSZACIVSA-N 1 2 315.408 1.848 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@@H+]3CCC4(C3)OCCO4)C2=O)cc1 ZINC000119007974 407973114 /nfs/dbraw/zinc/97/31/14/407973114.db2.gz RUVCROUDEFAZNE-OAHLLOKOSA-N 1 2 313.357 1.112 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@H+]3CCC4(C3)OCCO4)C2=O)cc1 ZINC000119007974 407973119 /nfs/dbraw/zinc/97/31/19/407973119.db2.gz RUVCROUDEFAZNE-OAHLLOKOSA-N 1 2 313.357 1.112 20 30 DDEDLO C[C@H](CNC(=O)c1c(F)cc(C#N)cc1F)[NH+]1CCOCC1 ZINC000119037121 407979612 /nfs/dbraw/zinc/97/96/12/407979612.db2.gz CXYGVPUOMPHUBL-SNVBAGLBSA-N 1 2 309.316 1.287 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCOC2(C1)CCCCCC2 ZINC000119455804 408073015 /nfs/dbraw/zinc/07/30/15/408073015.db2.gz DVJIYTJCRYIELZ-AWEZNQCLSA-N 1 2 323.437 1.812 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCOC2(C1)CCCCCC2 ZINC000119455804 408073021 /nfs/dbraw/zinc/07/30/21/408073021.db2.gz DVJIYTJCRYIELZ-AWEZNQCLSA-N 1 2 323.437 1.812 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@@H+]1CCOc1ccc(C#N)cc1OC ZINC000182272317 408111104 /nfs/dbraw/zinc/11/11/04/408111104.db2.gz CTJBXADOXLNIES-CQSZACIVSA-N 1 2 318.373 1.973 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@H+]1CCOc1ccc(C#N)cc1OC ZINC000182272317 408111108 /nfs/dbraw/zinc/11/11/08/408111108.db2.gz CTJBXADOXLNIES-CQSZACIVSA-N 1 2 318.373 1.973 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)c1ccc(C#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000268649446 408137749 /nfs/dbraw/zinc/13/77/49/408137749.db2.gz JHWUNVYOHWAFKH-HZPDHXFCSA-N 1 2 320.414 1.267 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)c1ccc(C#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000268649446 408137756 /nfs/dbraw/zinc/13/77/56/408137756.db2.gz JHWUNVYOHWAFKH-HZPDHXFCSA-N 1 2 320.414 1.267 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2ccc(C#N)cc2C)CC1 ZINC000122168605 408262406 /nfs/dbraw/zinc/26/24/06/408262406.db2.gz MZICTRZOTMYETE-UHFFFAOYSA-N 1 2 301.390 1.661 20 30 DDEDLO CCOC(=O)c1cnn(Cc2cc(OC)cc(C)[nH+]2)c1C#N ZINC000273485708 408220116 /nfs/dbraw/zinc/22/01/16/408220116.db2.gz NRTMRHIONCPRHJ-UHFFFAOYSA-N 1 2 300.318 1.692 20 30 DDEDLO CCN1CC[N@H+](CCC(=O)Nc2ccccc2C#N)CC1=O ZINC000273523558 408232871 /nfs/dbraw/zinc/23/28/71/408232871.db2.gz QJAJLTKGOGTXSS-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO CCN1CC[N@@H+](CCC(=O)Nc2ccccc2C#N)CC1=O ZINC000273523558 408232874 /nfs/dbraw/zinc/23/28/74/408232874.db2.gz QJAJLTKGOGTXSS-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(C#N)s2)CC1 ZINC000122244188 408271307 /nfs/dbraw/zinc/27/13/07/408271307.db2.gz VLADWCXCTHFWCR-UHFFFAOYSA-N 1 2 304.419 1.040 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](CCO)Cc1ccccc1OC ZINC000264048485 408353708 /nfs/dbraw/zinc/35/37/08/408353708.db2.gz HDWWDVPVMMWMJA-UHFFFAOYSA-N 1 2 318.417 1.690 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](CCO)Cc1ccccc1OC ZINC000264048485 408353712 /nfs/dbraw/zinc/35/37/12/408353712.db2.gz HDWWDVPVMMWMJA-UHFFFAOYSA-N 1 2 318.417 1.690 20 30 DDEDLO Cc1ccncc1C[N@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000269617134 408362509 /nfs/dbraw/zinc/36/25/09/408362509.db2.gz MOSCSGQKUSBAPH-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1ccncc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000269617134 408362515 /nfs/dbraw/zinc/36/25/15/408362515.db2.gz MOSCSGQKUSBAPH-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cc(C#N)n(C)c1 ZINC000191441569 408425706 /nfs/dbraw/zinc/42/57/06/408425706.db2.gz OTGJNPPVIKKNMQ-ZDUSSCGKSA-N 1 2 321.388 1.269 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cc(C#N)n(C)c1 ZINC000191441569 408425710 /nfs/dbraw/zinc/42/57/10/408425710.db2.gz OTGJNPPVIKKNMQ-ZDUSSCGKSA-N 1 2 321.388 1.269 20 30 DDEDLO C[C@H]1C[N@H+](Cc2cccc(OCCCC#N)c2)C[C@H](CO)O1 ZINC000191527687 408441011 /nfs/dbraw/zinc/44/10/11/408441011.db2.gz CEZBKFJDXQXNOL-WMLDXEAASA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2cccc(OCCCC#N)c2)C[C@H](CO)O1 ZINC000191527687 408441019 /nfs/dbraw/zinc/44/10/19/408441019.db2.gz CEZBKFJDXQXNOL-WMLDXEAASA-N 1 2 304.390 1.951 20 30 DDEDLO CCCn1c(C)nnc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000160488336 408488592 /nfs/dbraw/zinc/48/85/92/408488592.db2.gz GYHVADNTBGVNND-UHFFFAOYSA-N 1 2 320.441 1.044 20 30 DDEDLO COC(=O)c1cccc(OCC(N)=[NH+]OCCCCCCO)c1 ZINC000270589732 408592589 /nfs/dbraw/zinc/59/25/89/408592589.db2.gz SHSNDMQQCZLCCT-UHFFFAOYSA-N 1 2 324.377 1.694 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)c1ccc(F)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000264572329 408537489 /nfs/dbraw/zinc/53/74/89/408537489.db2.gz SFWPJERHCJZJCV-LSDHHAIUSA-N 1 2 313.394 1.534 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)c1ccc(F)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000264572329 408537495 /nfs/dbraw/zinc/53/74/95/408537495.db2.gz SFWPJERHCJZJCV-LSDHHAIUSA-N 1 2 313.394 1.534 20 30 DDEDLO CO[C@H](C)c1noc(CO[NH+]=C(N)c2ccc(F)c(F)c2)n1 ZINC000177570466 408659205 /nfs/dbraw/zinc/65/92/05/408659205.db2.gz CEQAMIIADYGSHP-SSDOTTSWSA-N 1 2 312.276 1.892 20 30 DDEDLO C=C(C)CN(CC)C(=O)CO[NH+]=C(N)c1cccc(OC)c1 ZINC000177612393 408670238 /nfs/dbraw/zinc/67/02/38/408670238.db2.gz SPZRVDXXNLUNAL-UHFFFAOYSA-N 1 2 305.378 1.757 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc([S@@](C)=O)cc2)C1=O ZINC000281223209 408875657 /nfs/dbraw/zinc/87/56/57/408875657.db2.gz KLMGDNYLKSXYJB-QVKFZJNVSA-N 1 2 306.431 1.643 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc([S@@](C)=O)cc2)C1=O ZINC000281223209 408875659 /nfs/dbraw/zinc/87/56/59/408875659.db2.gz KLMGDNYLKSXYJB-QVKFZJNVSA-N 1 2 306.431 1.643 20 30 DDEDLO COC(=O)[C@@H](CC(C)C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000285889759 408883004 /nfs/dbraw/zinc/88/30/04/408883004.db2.gz GCUMPPQWVNVACA-OAHLLOKOSA-N 1 2 316.405 1.663 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCCCC(=O)OCC)Cc1ccccc1 ZINC000285659738 408837602 /nfs/dbraw/zinc/83/76/02/408837602.db2.gz MDKHKZZVLBFLQE-UHFFFAOYSA-N 1 2 316.401 1.581 20 30 DDEDLO C#CC[N@H+](CC(=O)NCCCC(=O)OCC)Cc1ccccc1 ZINC000285659738 408837603 /nfs/dbraw/zinc/83/76/03/408837603.db2.gz MDKHKZZVLBFLQE-UHFFFAOYSA-N 1 2 316.401 1.581 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Cc1ccc(C#N)cc1 ZINC000285664116 408838546 /nfs/dbraw/zinc/83/85/46/408838546.db2.gz FNGMFWIGMWVEBS-WMLDXEAASA-N 1 2 313.401 1.422 20 30 DDEDLO C=C[C@H](CO)NC(=O)NCc1[nH+]ccn1CCc1ccccc1 ZINC000291119869 408860101 /nfs/dbraw/zinc/86/01/01/408860101.db2.gz VNTJDZAUOLEQNI-OAHLLOKOSA-N 1 2 314.389 1.472 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCSC[C@H]2c2cnn(C)c2)C1=O ZINC000281500609 408889377 /nfs/dbraw/zinc/88/93/77/408889377.db2.gz YAZGCMINNCUVGM-KBPBESRZSA-N 1 2 306.435 1.297 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCSC[C@H]2c2cnn(C)c2)C1=O ZINC000281500609 408889380 /nfs/dbraw/zinc/88/93/80/408889380.db2.gz YAZGCMINNCUVGM-KBPBESRZSA-N 1 2 306.435 1.297 20 30 DDEDLO N#Cc1c(F)cccc1C(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000189610351 163107243 /nfs/dbraw/zinc/10/72/43/163107243.db2.gz HOFUNJTULVPQFZ-LBPRGKRZSA-N 1 2 303.337 1.290 20 30 DDEDLO N#Cc1c(F)cccc1C(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000189610351 163107245 /nfs/dbraw/zinc/10/72/45/163107245.db2.gz HOFUNJTULVPQFZ-LBPRGKRZSA-N 1 2 303.337 1.290 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCN1CCN(c2ccccc2C#N)CC1 ZINC000191469750 163188387 /nfs/dbraw/zinc/18/83/87/163188387.db2.gz DDUNXDKATFXLSX-MRXNPFEDSA-N 1 2 314.433 1.401 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCN1CCN(c2ccccc2C#N)CC1 ZINC000191469750 163188389 /nfs/dbraw/zinc/18/83/89/163188389.db2.gz DDUNXDKATFXLSX-MRXNPFEDSA-N 1 2 314.433 1.401 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1CC#Cc1ccc(F)cc1 ZINC000286234390 408946386 /nfs/dbraw/zinc/94/63/86/408946386.db2.gz ZHKFQZCHBVVRIN-YJBOKZPZSA-N 1 2 302.393 1.972 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCc3ccc(C(=O)OC)cc3C2)C1=O ZINC000281976954 408965565 /nfs/dbraw/zinc/96/55/65/408965565.db2.gz LREXJASJQYMRLM-MRXNPFEDSA-N 1 2 314.385 1.618 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCc3ccc(C(=O)OC)cc3C2)C1=O ZINC000281976954 408965569 /nfs/dbraw/zinc/96/55/69/408965569.db2.gz LREXJASJQYMRLM-MRXNPFEDSA-N 1 2 314.385 1.618 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@@H](O)COc1c(OC)cccc1OC ZINC000292101430 408981290 /nfs/dbraw/zinc/98/12/90/408981290.db2.gz GLEBXKMZHXOVRF-CQSZACIVSA-N 1 2 320.389 1.845 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@@H](O)COc1c(OC)cccc1OC ZINC000292101430 408981291 /nfs/dbraw/zinc/98/12/91/408981291.db2.gz GLEBXKMZHXOVRF-CQSZACIVSA-N 1 2 320.389 1.845 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[C@H](S(C)(=O)=O)C1 ZINC000282183873 409006159 /nfs/dbraw/zinc/00/61/59/409006159.db2.gz MXOXLNZDJGGAAC-ZDUSSCGKSA-N 1 2 324.402 1.065 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[C@H](S(C)(=O)=O)C1 ZINC000282183873 409006161 /nfs/dbraw/zinc/00/61/61/409006161.db2.gz MXOXLNZDJGGAAC-ZDUSSCGKSA-N 1 2 324.402 1.065 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000292606344 409037686 /nfs/dbraw/zinc/03/76/86/409037686.db2.gz WLFYQPSECVIVFZ-ZIAGYGMSSA-N 1 2 318.352 1.495 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N[C@@H](C)c1cc(OC)ccc1OC ZINC000293013376 409047386 /nfs/dbraw/zinc/04/73/86/409047386.db2.gz DVUBAPWYYGGBRN-ZDUSSCGKSA-N 1 2 304.390 1.836 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N[C@@H](C)c1cc(OC)ccc1OC ZINC000293013376 409047390 /nfs/dbraw/zinc/04/73/90/409047390.db2.gz DVUBAPWYYGGBRN-ZDUSSCGKSA-N 1 2 304.390 1.836 20 30 DDEDLO Cc1cc(C#N)nc(N2CCN(C3=[NH+]C[C@H](C)S3)CC2)n1 ZINC000287514473 409048658 /nfs/dbraw/zinc/04/86/58/409048658.db2.gz ZOJJPYJFRYIYPB-NSHDSACASA-N 1 2 302.407 1.270 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCCOc1ccc(OCC)cc1 ZINC000293092966 409050112 /nfs/dbraw/zinc/05/01/12/409050112.db2.gz KNGHXMUTUSHVKK-UHFFFAOYSA-N 1 2 304.390 1.535 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCCOc1ccc(OCC)cc1 ZINC000293092966 409050114 /nfs/dbraw/zinc/05/01/14/409050114.db2.gz KNGHXMUTUSHVKK-UHFFFAOYSA-N 1 2 304.390 1.535 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N(CC)Cc1ccc2c(c1)OCCO2 ZINC000293155996 409060399 /nfs/dbraw/zinc/06/03/99/409060399.db2.gz OLOMGHYWYIBQBO-UHFFFAOYSA-N 1 2 316.401 1.761 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N(CC)Cc1ccc2c(c1)OCCO2 ZINC000293155996 409060401 /nfs/dbraw/zinc/06/04/01/409060401.db2.gz OLOMGHYWYIBQBO-UHFFFAOYSA-N 1 2 316.401 1.761 20 30 DDEDLO CC[NH+]1CCN(C(=O)c2cc(-c3cccc(C#N)c3)no2)CC1 ZINC000279054370 409139587 /nfs/dbraw/zinc/13/95/87/409139587.db2.gz YJRDUTLKNOBNJG-UHFFFAOYSA-N 1 2 310.357 1.991 20 30 DDEDLO C#C[C@@H](NC(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1)C(C)(C)C ZINC000288443186 409168885 /nfs/dbraw/zinc/16/88/85/409168885.db2.gz PRNMVQQATXEKLX-CYBMUJFWSA-N 1 2 312.373 1.745 20 30 DDEDLO C#CCCOc1ccc(C(=O)NCC[NH+]2CCOCC2)cc1 ZINC000294470945 409291644 /nfs/dbraw/zinc/29/16/44/409291644.db2.gz UZHPDUGLIGABGZ-UHFFFAOYSA-N 1 2 302.374 1.151 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCCO3)n2CC)CC1 ZINC000296068693 409419332 /nfs/dbraw/zinc/41/93/32/409419332.db2.gz WLDYLZYHXPTBGK-AWEZNQCLSA-N 1 2 303.410 1.295 20 30 DDEDLO N#Cc1cc(F)cc(C2(C(=O)NCC[NH+]3CCOCC3)CC2)c1 ZINC000349050070 409668986 /nfs/dbraw/zinc/66/89/86/409668986.db2.gz ZJKSSAIDXRNWLR-UHFFFAOYSA-N 1 2 317.364 1.177 20 30 DDEDLO CC(C)OCC[C@@H](O)C[NH+]1CC(Oc2ccc(C#N)cc2)C1 ZINC000349247411 409763823 /nfs/dbraw/zinc/76/38/23/409763823.db2.gz ZNZNGTFVRHLQQM-OAHLLOKOSA-N 1 2 304.390 1.797 20 30 DDEDLO CC(C)(C(=O)NCc1cc(C#N)ccc1F)[NH+]1CCOCC1 ZINC000338225327 409816859 /nfs/dbraw/zinc/81/68/59/409816859.db2.gz KQDPMCCXJJYGFG-UHFFFAOYSA-N 1 2 305.353 1.424 20 30 DDEDLO CCC[N@H+](CC#Cc1ccc(F)cc1)[C@@H]1CC(=O)N(C)C1=O ZINC000338332528 409918357 /nfs/dbraw/zinc/91/83/57/409918357.db2.gz XQWGAWWQUWVAEU-OAHLLOKOSA-N 1 2 302.349 1.647 20 30 DDEDLO CCC[N@@H+](CC#Cc1ccc(F)cc1)[C@@H]1CC(=O)N(C)C1=O ZINC000338332528 409918361 /nfs/dbraw/zinc/91/83/61/409918361.db2.gz XQWGAWWQUWVAEU-OAHLLOKOSA-N 1 2 302.349 1.647 20 30 DDEDLO CC(C)[C@H](CNC(=O)[C@H]1CCc2n[nH]nc2C1)[NH+]1CCOCC1 ZINC000328792528 409978865 /nfs/dbraw/zinc/97/88/65/409978865.db2.gz RKGDVJAHSQHEDL-WFASDCNBSA-N 1 2 321.425 1.223 20 30 DDEDLO CC(C)[C@H](CNC(=O)[C@H]1CCc2[nH]nnc2C1)[NH+]1CCOCC1 ZINC000328792528 409978870 /nfs/dbraw/zinc/97/88/70/409978870.db2.gz RKGDVJAHSQHEDL-WFASDCNBSA-N 1 2 321.425 1.223 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CCO[C@@H](C(N)=O)C1)CCC2 ZINC000328655282 409948595 /nfs/dbraw/zinc/94/85/95/409948595.db2.gz MRFWGCQMVUMZNC-NWDGAFQWSA-N 1 2 321.381 1.219 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC(=O)NC3CCCC3)C[C@H]21 ZINC000328929581 410013288 /nfs/dbraw/zinc/01/32/88/410013288.db2.gz YQCYFZGFWPBDMW-HUUCEWRRSA-N 1 2 323.437 1.597 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)CCC(=O)NC3CCCC3)C[C@H]21 ZINC000328929581 410013293 /nfs/dbraw/zinc/01/32/93/410013293.db2.gz YQCYFZGFWPBDMW-HUUCEWRRSA-N 1 2 323.437 1.597 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)N1CCOCC1)C1CCOCC1 ZINC000328961209 410022487 /nfs/dbraw/zinc/02/24/87/410022487.db2.gz PYXLBCRKDBTIMK-ZDUSSCGKSA-N 1 2 308.382 1.134 20 30 DDEDLO COCCC1(CNC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)CC1 ZINC000328889825 410002921 /nfs/dbraw/zinc/00/29/21/410002921.db2.gz RFGTVTAPBUKRST-KGLIPLIRSA-N 1 2 311.426 1.122 20 30 DDEDLO COCCC1(CNC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)CC1 ZINC000328889825 410002924 /nfs/dbraw/zinc/00/29/24/410002924.db2.gz RFGTVTAPBUKRST-KGLIPLIRSA-N 1 2 311.426 1.122 20 30 DDEDLO O=C(NC1CC1)[C@H]1CCC[N@@H+]1C[C@H]1CCCS(=O)(=O)C1 ZINC000328908077 410006442 /nfs/dbraw/zinc/00/64/42/410006442.db2.gz LXOXZCJBHHIQBR-DGCLKSJQSA-N 1 2 300.424 1.395 20 30 DDEDLO O=C(NC1CC1)[C@H]1CCC[N@H+]1C[C@H]1CCCS(=O)(=O)C1 ZINC000328908077 410006444 /nfs/dbraw/zinc/00/64/44/410006444.db2.gz LXOXZCJBHHIQBR-DGCLKSJQSA-N 1 2 300.424 1.395 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCO[C@]2(CCCOC2)C1)C1CC1 ZINC000329028619 410061404 /nfs/dbraw/zinc/06/14/04/410061404.db2.gz AGEYQFAUVFMUSA-HZPDHXFCSA-N 1 2 307.394 1.517 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCO[C@]2(CCCOC2)C1)C1CC1 ZINC000329028619 410061408 /nfs/dbraw/zinc/06/14/08/410061408.db2.gz AGEYQFAUVFMUSA-HZPDHXFCSA-N 1 2 307.394 1.517 20 30 DDEDLO O=C(N[C@@H]1CCc2ncnn2C1)C1CC[NH+](CC(F)F)CC1 ZINC000329296637 410216899 /nfs/dbraw/zinc/21/68/99/410216899.db2.gz SYJOLHLMZUMSCO-LLVKDONJSA-N 1 2 313.352 1.527 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N1CCc2cncnc2C1 ZINC000329292232 410218372 /nfs/dbraw/zinc/21/83/72/410218372.db2.gz RCEMLNOOLJKVGG-UHFFFAOYSA-N 1 2 312.377 1.482 20 30 DDEDLO C[C@@H](C(=O)NCc1ccccc1)[N@@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000329202384 410164686 /nfs/dbraw/zinc/16/46/86/410164686.db2.gz WZEDWPOKYZJNDS-AEGPPILISA-N 1 2 322.430 1.651 20 30 DDEDLO C[C@@H](C(=O)NCc1ccccc1)[N@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000329202384 410164695 /nfs/dbraw/zinc/16/46/95/410164695.db2.gz WZEDWPOKYZJNDS-AEGPPILISA-N 1 2 322.430 1.651 20 30 DDEDLO C#CC[N@H+](Cc1ccccc1)[C@H](C)C(=O)NC(=O)NC(C)C ZINC000298568695 410293178 /nfs/dbraw/zinc/29/31/78/410293178.db2.gz QEGDZIAUOIWGHX-CQSZACIVSA-N 1 2 301.390 1.745 20 30 DDEDLO C#CC[N@@H+](Cc1ccccc1)[C@H](C)C(=O)NC(=O)NC(C)C ZINC000298568695 410293186 /nfs/dbraw/zinc/29/31/86/410293186.db2.gz QEGDZIAUOIWGHX-CQSZACIVSA-N 1 2 301.390 1.745 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)N1CCOCC2(CC2)C1 ZINC000329481848 410319906 /nfs/dbraw/zinc/31/99/06/410319906.db2.gz VZKFGWMALHSGBD-CQSZACIVSA-N 1 2 316.405 1.687 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CC(=O)NC3CCCC3)CC2)nc1 ZINC000299016506 410485462 /nfs/dbraw/zinc/48/54/62/410485462.db2.gz DYFPZRIFSNXNFI-UHFFFAOYSA-N 1 2 313.405 1.134 20 30 DDEDLO N#Cc1cc(NCC[NH+]2CCN(Cc3ccccc3)CC2)ccn1 ZINC000339974784 410590564 /nfs/dbraw/zinc/59/05/64/410590564.db2.gz WEISPYDQXOITIN-UHFFFAOYSA-N 1 2 321.428 1.605 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)C2(C(=O)OCC)CCCCC2)C1=O ZINC000337193891 410661660 /nfs/dbraw/zinc/66/16/60/410661660.db2.gz VVIORMQCMYXUDA-AWEZNQCLSA-N 1 2 308.422 1.971 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)C2(C(=O)OCC)CCCCC2)C1=O ZINC000337193891 410661664 /nfs/dbraw/zinc/66/16/64/410661664.db2.gz VVIORMQCMYXUDA-AWEZNQCLSA-N 1 2 308.422 1.971 20 30 DDEDLO CC[C@H](C)[N@H+](CC(=O)NCc1cccc(C#N)c1)CC(=O)OC ZINC000337236480 410666035 /nfs/dbraw/zinc/66/60/35/410666035.db2.gz LRQFUFMMHPHEOD-ZDUSSCGKSA-N 1 2 317.389 1.448 20 30 DDEDLO CC[C@H](C)[N@@H+](CC(=O)NCc1cccc(C#N)c1)CC(=O)OC ZINC000337236480 410666044 /nfs/dbraw/zinc/66/60/44/410666044.db2.gz LRQFUFMMHPHEOD-ZDUSSCGKSA-N 1 2 317.389 1.448 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(c2ccc(Cl)cc2C#N)CC1 ZINC000301200557 410675534 /nfs/dbraw/zinc/67/55/34/410675534.db2.gz PIRJVHRDLAQJDE-UHFFFAOYSA-N 1 2 306.797 1.470 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000301091875 410656723 /nfs/dbraw/zinc/65/67/23/410656723.db2.gz LHFSKWHHKGDNCY-LBPRGKRZSA-N 1 2 316.380 1.486 20 30 DDEDLO N#CCCn1ccc(=NC(=O)c2cc(-n3cc[nH+]c3)ccn2)[nH]1 ZINC000352478133 410659029 /nfs/dbraw/zinc/65/90/29/410659029.db2.gz SPQDJCPBTTZSAD-UHFFFAOYSA-N 1 2 307.317 1.630 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000330422725 410682025 /nfs/dbraw/zinc/68/20/25/410682025.db2.gz QUGKAXOYUMOPHR-HNNXBMFYSA-N 1 2 314.433 1.841 20 30 DDEDLO CC(C)(C#N)CNC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000359633202 410782544 /nfs/dbraw/zinc/78/25/44/410782544.db2.gz JIYQHZYJYJCXID-UHFFFAOYSA-N 1 2 301.390 1.798 20 30 DDEDLO C=CCO[C@H]1CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000302145760 410838504 /nfs/dbraw/zinc/83/85/04/410838504.db2.gz KQFALMCBIGNWDO-GJZGRUSLSA-N 1 2 318.421 1.467 20 30 DDEDLO C=CCO[C@H]1CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000302145760 410838512 /nfs/dbraw/zinc/83/85/12/410838512.db2.gz KQFALMCBIGNWDO-GJZGRUSLSA-N 1 2 318.421 1.467 20 30 DDEDLO N#Cc1ccc(NC[C@H](c2ccccc2)[NH+]2CCOCC2)nn1 ZINC000301872619 410818384 /nfs/dbraw/zinc/81/83/84/410818384.db2.gz DJDQLPXUKWKSBK-MRXNPFEDSA-N 1 2 309.373 1.834 20 30 DDEDLO N#Cc1ccc(NC(=O)C[NH+]2CCC(O)(C(F)F)CC2)cc1 ZINC000331148895 410980982 /nfs/dbraw/zinc/98/09/82/410980982.db2.gz NFXPVFBXUQSJOF-UHFFFAOYSA-N 1 2 309.316 1.589 20 30 DDEDLO COC(=O)CC[NH+]1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC000133109022 196312910 /nfs/dbraw/zinc/31/29/10/196312910.db2.gz IWFRULBYHMGYKU-UHFFFAOYSA-N 1 2 307.781 1.897 20 30 DDEDLO C[C@@H]1CCC[C@@H](C)[NH+]1CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000290450220 287391607 /nfs/dbraw/zinc/39/16/07/287391607.db2.gz VYHUEBAEADGTLU-CHWSQXEVSA-N 1 2 307.419 1.990 20 30 DDEDLO C#CCN(Cc1ccc(F)cc1)C(=O)NCC[NH+]1CCOCC1 ZINC000062465227 335076972 /nfs/dbraw/zinc/07/69/72/335076972.db2.gz SZLFAYANKVDCRA-UHFFFAOYSA-N 1 2 319.380 1.303 20 30 DDEDLO CCCCCNC(=O)[C@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158211416 221684822 /nfs/dbraw/zinc/68/48/22/221684822.db2.gz OBLOBVGDRDPKPU-LBPRGKRZSA-N 1 2 319.405 1.953 20 30 DDEDLO C=CC[C@H](CO)NC(=O)N[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000373375955 418424224 /nfs/dbraw/zinc/42/42/24/418424224.db2.gz GRTDYZNOVBECCN-ZIAGYGMSSA-N 1 2 304.394 1.287 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCCC[C@H]2CCS(C)(=O)=O)C1=O ZINC000366426254 418472613 /nfs/dbraw/zinc/47/26/13/418472613.db2.gz YTTAQORCULJQQP-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCCC[C@H]2CCS(C)(=O)=O)C1=O ZINC000366426254 418472616 /nfs/dbraw/zinc/47/26/16/418472616.db2.gz YTTAQORCULJQQP-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO N#Cc1c(F)ccc(C[N@@H+]2CC[C@@H]3OCC(=O)N[C@H]3C2)c1Cl ZINC000373969012 418479173 /nfs/dbraw/zinc/47/91/73/418479173.db2.gz OLLKUHTZZNHWOL-STQMWFEESA-N 1 2 323.755 1.440 20 30 DDEDLO N#Cc1c(F)ccc(C[N@H+]2CC[C@@H]3OCC(=O)N[C@H]3C2)c1Cl ZINC000373969012 418479177 /nfs/dbraw/zinc/47/91/77/418479177.db2.gz OLLKUHTZZNHWOL-STQMWFEESA-N 1 2 323.755 1.440 20 30 DDEDLO COc1cc(CNC(=O)N2CCn3c[nH+]cc3C2)ccc1C#N ZINC000360636626 418482236 /nfs/dbraw/zinc/48/22/36/418482236.db2.gz UNRMJQFQYWLRLQ-UHFFFAOYSA-N 1 2 311.345 1.489 20 30 DDEDLO C[C@H]1CN(C(=O)CSc2ccc(C#N)cc2)CC[N@@H+]1CCO ZINC000188800261 222027748 /nfs/dbraw/zinc/02/77/48/222027748.db2.gz WOGAXSPTDUFCGT-ZDUSSCGKSA-N 1 2 319.430 1.175 20 30 DDEDLO C[C@H]1CN(C(=O)CSc2ccc(C#N)cc2)CC[N@H+]1CCO ZINC000188800261 222027749 /nfs/dbraw/zinc/02/77/49/222027749.db2.gz WOGAXSPTDUFCGT-ZDUSSCGKSA-N 1 2 319.430 1.175 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000191129451 222097140 /nfs/dbraw/zinc/09/71/40/222097140.db2.gz AONDEQJDDYBPKS-UHFFFAOYSA-N 1 2 319.361 1.618 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000191129451 222097142 /nfs/dbraw/zinc/09/71/42/222097142.db2.gz AONDEQJDDYBPKS-UHFFFAOYSA-N 1 2 319.361 1.618 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(CC#Cc2ccccc2)CC1 ZINC000191891563 222111362 /nfs/dbraw/zinc/11/13/62/222111362.db2.gz TYWZWFCDARHWRD-QGZVFWFLSA-N 1 2 313.445 1.570 20 30 DDEDLO Cc1c([C@H]2CCC[N@@H+]2CC(=O)N[C@@](C)(C#N)C2CC2)cnn1C ZINC000367261828 418578283 /nfs/dbraw/zinc/57/82/83/418578283.db2.gz FHECKOJLRMVALU-WBVHZDCISA-N 1 2 315.421 1.674 20 30 DDEDLO Cc1c([C@H]2CCC[N@H+]2CC(=O)N[C@@](C)(C#N)C2CC2)cnn1C ZINC000367261828 418578285 /nfs/dbraw/zinc/57/82/85/418578285.db2.gz FHECKOJLRMVALU-WBVHZDCISA-N 1 2 315.421 1.674 20 30 DDEDLO C#CCN(C)CC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000192222182 222122179 /nfs/dbraw/zinc/12/21/79/222122179.db2.gz OFFKWQIRKPNBMI-UHFFFAOYSA-N 1 2 301.390 1.022 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N(CC)Cc1ccc2c(c1)OCCO2 ZINC000192229943 222124178 /nfs/dbraw/zinc/12/41/78/222124178.db2.gz BLDWNPPQARLAAK-UHFFFAOYSA-N 1 2 302.374 1.371 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N(CC)Cc1ccc2c(c1)OCCO2 ZINC000192229943 222124182 /nfs/dbraw/zinc/12/41/82/222124182.db2.gz BLDWNPPQARLAAK-UHFFFAOYSA-N 1 2 302.374 1.371 20 30 DDEDLO CCCCCCCS(=O)(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000195268822 222195043 /nfs/dbraw/zinc/19/50/43/222195043.db2.gz ZKOJEXGZIKNEMK-OAHLLOKOSA-N 1 2 318.483 1.739 20 30 DDEDLO CCCCCCCS(=O)(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000195268822 222195045 /nfs/dbraw/zinc/19/50/45/222195045.db2.gz ZKOJEXGZIKNEMK-OAHLLOKOSA-N 1 2 318.483 1.739 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@@H](Cc2ccccc2)C1 ZINC000245598546 222217462 /nfs/dbraw/zinc/21/74/62/222217462.db2.gz DMJIESHUZOSSAN-WBVHZDCISA-N 1 2 315.417 1.690 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@@H](Cc2ccccc2)C1 ZINC000245598546 222217465 /nfs/dbraw/zinc/21/74/65/222217465.db2.gz DMJIESHUZOSSAN-WBVHZDCISA-N 1 2 315.417 1.690 20 30 DDEDLO C[C@H](NC(=O)c1csc(NC2CC2)n1)[C@H](C)[NH+]1CCOCC1 ZINC000330269357 418611057 /nfs/dbraw/zinc/61/10/57/418611057.db2.gz RXVCBBQBFHIQDX-QWRGUYRKSA-N 1 2 324.450 2.131 20 30 DDEDLO N#Cc1cccc(CCNC(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)c1 ZINC000378078308 418718736 /nfs/dbraw/zinc/71/87/36/418718736.db2.gz XHIWPQKLSKPXAU-AWEZNQCLSA-N 1 2 309.373 1.681 20 30 DDEDLO N#Cc1cccc(CCNC(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)c1 ZINC000378078308 418718737 /nfs/dbraw/zinc/71/87/37/418718737.db2.gz XHIWPQKLSKPXAU-AWEZNQCLSA-N 1 2 309.373 1.681 20 30 DDEDLO Cc1ccc(C[N@H+](CCO)CC(=O)Nc2ccc(C#N)cc2)o1 ZINC000361510821 418666791 /nfs/dbraw/zinc/66/67/91/418666791.db2.gz LQSPHQLJGPCGLU-UHFFFAOYSA-N 1 2 313.357 1.893 20 30 DDEDLO Cc1ccc(C[N@@H+](CCO)CC(=O)Nc2ccc(C#N)cc2)o1 ZINC000361510821 418666793 /nfs/dbraw/zinc/66/67/93/418666793.db2.gz LQSPHQLJGPCGLU-UHFFFAOYSA-N 1 2 313.357 1.893 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[S@](=O)C[C@@H](C)C1 ZINC000369347656 418731555 /nfs/dbraw/zinc/73/15/55/418731555.db2.gz YDSFNAZORRLDSD-YRVVQQKDSA-N 1 2 300.468 1.500 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[S@](=O)C[C@@H](C)C1 ZINC000369347656 418731558 /nfs/dbraw/zinc/73/15/58/418731558.db2.gz YDSFNAZORRLDSD-YRVVQQKDSA-N 1 2 300.468 1.500 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)c2ccoc2)CC1 ZINC000369385702 418733064 /nfs/dbraw/zinc/73/30/64/418733064.db2.gz LYVNAJWIOHXISA-CYBMUJFWSA-N 1 2 305.378 1.118 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)c2ccoc2)CC1 ZINC000369385702 418733067 /nfs/dbraw/zinc/73/30/67/418733067.db2.gz LYVNAJWIOHXISA-CYBMUJFWSA-N 1 2 305.378 1.118 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CC[C@H]([N@H+](C)Cc2ncccn2)C1 ZINC000369639232 418735846 /nfs/dbraw/zinc/73/58/46/418735846.db2.gz OAELVCJRGBZDHE-KGLIPLIRSA-N 1 2 301.394 1.104 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CC[C@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000369639232 418735849 /nfs/dbraw/zinc/73/58/49/418735849.db2.gz OAELVCJRGBZDHE-KGLIPLIRSA-N 1 2 301.394 1.104 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@](O)(C2CC2)C1 ZINC000370079904 418740778 /nfs/dbraw/zinc/74/07/78/418740778.db2.gz CBOHSKGYIYWYCF-RDJZCZTQSA-N 1 2 300.358 1.619 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@](O)(C2CC2)C1 ZINC000370079904 418740779 /nfs/dbraw/zinc/74/07/79/418740779.db2.gz CBOHSKGYIYWYCF-RDJZCZTQSA-N 1 2 300.358 1.619 20 30 DDEDLO CNC(=O)CN1CCC[N@H+](CC#Cc2ccc(Cl)cc2)CC1 ZINC000370205688 418741963 /nfs/dbraw/zinc/74/19/63/418741963.db2.gz DBXKZJNLIIAEAP-UHFFFAOYSA-N 1 2 319.836 1.445 20 30 DDEDLO CNC(=O)CN1CCC[N@@H+](CC#Cc2ccc(Cl)cc2)CC1 ZINC000370205688 418741966 /nfs/dbraw/zinc/74/19/66/418741966.db2.gz DBXKZJNLIIAEAP-UHFFFAOYSA-N 1 2 319.836 1.445 20 30 DDEDLO Cc1cc(C#N)cc(NC[C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000368601073 418723379 /nfs/dbraw/zinc/72/33/79/418723379.db2.gz DXSNVZNJLIMELE-SFHVURJKSA-N 1 2 322.412 2.575 20 30 DDEDLO Cc1cc(C#N)cc(NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000368601073 418723382 /nfs/dbraw/zinc/72/33/82/418723382.db2.gz DXSNVZNJLIMELE-SFHVURJKSA-N 1 2 322.412 2.575 20 30 DDEDLO C#C[C@H](C)NC(=O)N1CCC[C@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000378491972 418724213 /nfs/dbraw/zinc/72/42/13/418724213.db2.gz SNXGPLIRTWUZKQ-JSGCOSHPSA-N 1 2 319.409 1.396 20 30 DDEDLO C#C[C@H](C)NC(=O)N1CCC[C@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000378491972 418724214 /nfs/dbraw/zinc/72/42/14/418724214.db2.gz SNXGPLIRTWUZKQ-JSGCOSHPSA-N 1 2 319.409 1.396 20 30 DDEDLO C=CC[C@H]1N(C(=O)C(=O)N2CC[NH+](C)CC2)CCCC1(C)C ZINC000411002427 418862561 /nfs/dbraw/zinc/86/25/61/418862561.db2.gz JQFDQGMCAJSFJJ-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CCN(c2c[nH+]ccc2C)CC1 ZINC000410838151 418850999 /nfs/dbraw/zinc/85/09/99/418850999.db2.gz ALGVAJKHMZPJSE-UHFFFAOYSA-N 1 2 316.405 1.073 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)[C@H](C)c1nc(N)nc(N(C)C)n1 ZINC000411487490 418908918 /nfs/dbraw/zinc/90/89/18/418908918.db2.gz MYKLJLNOFDEKOR-GFCCVEGCSA-N 1 2 316.409 1.905 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)[C@H](C)c1nc(N)nc(N(C)C)n1 ZINC000411487490 418908919 /nfs/dbraw/zinc/90/89/19/418908919.db2.gz MYKLJLNOFDEKOR-GFCCVEGCSA-N 1 2 316.409 1.905 20 30 DDEDLO C[C@H]([C@H](C)Nc1c(C#N)cccc1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000425221820 228393478 /nfs/dbraw/zinc/39/34/78/228393478.db2.gz HISGXTZWZPQFFJ-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@]2(C1)CC(=O)c1ccccc1O2 ZINC000411974553 419534043 /nfs/dbraw/zinc/53/40/43/419534043.db2.gz AMAZVHFQIQWHOV-QGZVFWFLSA-N 1 2 300.358 1.399 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@]2(C1)CC(=O)c1ccccc1O2 ZINC000411974553 419534051 /nfs/dbraw/zinc/53/40/51/419534051.db2.gz AMAZVHFQIQWHOV-QGZVFWFLSA-N 1 2 300.358 1.399 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)c1ncc(C#N)cc1Cl ZINC000428018711 419791005 /nfs/dbraw/zinc/79/10/05/419791005.db2.gz WZJZMLHCUXSOFZ-UHFFFAOYSA-N 1 2 308.769 1.011 20 30 DDEDLO Cc1nn(Cc2[nH+]ccn2-c2ccccc2)c(=O)c(C#N)c1C ZINC000434642605 229316284 /nfs/dbraw/zinc/31/62/84/229316284.db2.gz JXOHNYVBOMDDAE-UHFFFAOYSA-N 1 2 305.341 1.966 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[NH+](CCc2ccccc2)CC1 ZINC000416373170 420346578 /nfs/dbraw/zinc/34/65/78/420346578.db2.gz LWKRYFAYDKIAOY-AWEZNQCLSA-N 1 2 307.419 1.089 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000420700002 420361449 /nfs/dbraw/zinc/36/14/49/420361449.db2.gz OCQABRABJPTEAU-NSHDSACASA-N 1 2 310.423 1.514 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[N@H+](C)[C@H](Cc2ccccc2)C1 ZINC000416482118 420387222 /nfs/dbraw/zinc/38/72/22/420387222.db2.gz WZEIJYOQMIBXDQ-DZGCQCFKSA-N 1 2 307.419 1.087 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[N@@H+](C)[C@H](Cc2ccccc2)C1 ZINC000416482118 420387224 /nfs/dbraw/zinc/38/72/24/420387224.db2.gz WZEIJYOQMIBXDQ-DZGCQCFKSA-N 1 2 307.419 1.087 20 30 DDEDLO CCCNC(=O)C[C@H](C(N)=O)[N@H+](CCC)CC1(C#N)CCC1 ZINC000450704089 420511620 /nfs/dbraw/zinc/51/16/20/420511620.db2.gz FQZAUSNJAPUPLR-CYBMUJFWSA-N 1 2 308.426 1.162 20 30 DDEDLO CCCNC(=O)C[C@H](C(N)=O)[N@@H+](CCC)CC1(C#N)CCC1 ZINC000450704089 420511623 /nfs/dbraw/zinc/51/16/23/420511623.db2.gz FQZAUSNJAPUPLR-CYBMUJFWSA-N 1 2 308.426 1.162 20 30 DDEDLO CCOC1(C)C[NH+](C[C@@H](O)COc2ccc(CC#N)cc2)C1 ZINC000453015264 420701082 /nfs/dbraw/zinc/70/10/82/420701082.db2.gz LMYQQKAAYXMTRE-OAHLLOKOSA-N 1 2 304.390 1.603 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(Cl)cc1C#N ZINC000443067134 420742060 /nfs/dbraw/zinc/74/20/60/420742060.db2.gz LGIDXJLTCUUHCD-SNVBAGLBSA-N 1 2 313.810 1.536 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(Cl)cc1C#N ZINC000443067134 420742065 /nfs/dbraw/zinc/74/20/65/420742065.db2.gz LGIDXJLTCUUHCD-SNVBAGLBSA-N 1 2 313.810 1.536 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000442845014 420724125 /nfs/dbraw/zinc/72/41/25/420724125.db2.gz HHAYRQBWNVRNMH-MRXNPFEDSA-N 1 2 312.410 1.111 20 30 DDEDLO N#CCCCCNS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000442954641 420733193 /nfs/dbraw/zinc/73/31/93/420733193.db2.gz SOXONSPQJWYOPC-UHFFFAOYSA-N 1 2 318.402 1.904 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+]1C[C@@H](O)[C@H](CO)C1 ZINC000459695730 420848415 /nfs/dbraw/zinc/84/84/15/420848415.db2.gz LSYITNXUNJKMLF-GXTWGEPZSA-N 1 2 322.430 1.188 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+]1C[C@@H](O)[C@H](CO)C1 ZINC000459695730 420848419 /nfs/dbraw/zinc/84/84/19/420848419.db2.gz LSYITNXUNJKMLF-GXTWGEPZSA-N 1 2 322.430 1.188 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000493433208 420849056 /nfs/dbraw/zinc/84/90/56/420849056.db2.gz MAHGXQDRVDLIGH-KEDPEKRHSA-N 1 2 320.324 1.707 20 30 DDEDLO COc1nccc(C[NH+]2CCN(c3ncccc3C#N)CC2)n1 ZINC000449007775 420934040 /nfs/dbraw/zinc/93/40/40/420934040.db2.gz FJETVKPTKHHATO-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO C=CCn1cccc1C(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000488927547 421125777 /nfs/dbraw/zinc/12/57/77/421125777.db2.gz XXHCLGQNTXWUQB-OAHLLOKOSA-N 1 2 313.405 1.916 20 30 DDEDLO N#CCc1cccc(NC(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)c1 ZINC000455900103 421075494 /nfs/dbraw/zinc/07/54/94/421075494.db2.gz BOIDHQXZPLKOQH-MRXNPFEDSA-N 1 2 314.389 1.737 20 30 DDEDLO N#CCc1cccc(NC(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)c1 ZINC000455900103 421075497 /nfs/dbraw/zinc/07/54/97/421075497.db2.gz BOIDHQXZPLKOQH-MRXNPFEDSA-N 1 2 314.389 1.737 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1CC(=O)Nc1nc(CC(=O)OC)cs1 ZINC000489489131 421160477 /nfs/dbraw/zinc/16/04/77/421160477.db2.gz MDRBYDSZUHWKDS-LBPRGKRZSA-N 1 2 323.418 1.838 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1CC(=O)Nc1nc(CC(=O)OC)cs1 ZINC000489489131 421160480 /nfs/dbraw/zinc/16/04/80/421160480.db2.gz MDRBYDSZUHWKDS-LBPRGKRZSA-N 1 2 323.418 1.838 20 30 DDEDLO N#Cc1cnc(NC[C@H]2C[N@@H+](C3CC3)CCO2)c([N+](=O)[O-])c1 ZINC000450314648 421181534 /nfs/dbraw/zinc/18/15/34/421181534.db2.gz BZINACKXUCNIJL-LBPRGKRZSA-N 1 2 303.322 1.137 20 30 DDEDLO N#Cc1cnc(NC[C@H]2C[N@H+](C3CC3)CCO2)c([N+](=O)[O-])c1 ZINC000450314648 421181536 /nfs/dbraw/zinc/18/15/36/421181536.db2.gz BZINACKXUCNIJL-LBPRGKRZSA-N 1 2 303.322 1.137 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNc2c(C#N)cccc2C#N)C1 ZINC000450542386 421208631 /nfs/dbraw/zinc/20/86/31/421208631.db2.gz VMERINBHMUMDHD-CYBMUJFWSA-N 1 2 312.373 1.727 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNc2c(C#N)cccc2C#N)C1 ZINC000450542386 421208632 /nfs/dbraw/zinc/20/86/32/421208632.db2.gz VMERINBHMUMDHD-CYBMUJFWSA-N 1 2 312.373 1.727 20 30 DDEDLO COCCOc1ccc(C#N)cc1NC(=O)Cn1cc[nH+]c1 ZINC000514631925 421441097 /nfs/dbraw/zinc/44/10/97/421441097.db2.gz QBZIULCGFHSAGT-UHFFFAOYSA-N 1 2 300.318 1.419 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccccc1NC(=O)C1CC1 ZINC000568009161 421616811 /nfs/dbraw/zinc/61/68/11/421616811.db2.gz YJXHRPYFLAIWIZ-CQSZACIVSA-N 1 2 317.389 1.620 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccccc1NC(=O)C1CC1 ZINC000568009161 421616814 /nfs/dbraw/zinc/61/68/14/421616814.db2.gz YJXHRPYFLAIWIZ-CQSZACIVSA-N 1 2 317.389 1.620 20 30 DDEDLO CCc1ccccc1OCCC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000568986750 421623987 /nfs/dbraw/zinc/62/39/87/421623987.db2.gz DUDPKTWLFFGJSN-KRWDZBQOSA-N 1 2 303.406 1.978 20 30 DDEDLO Cc1c(C(=O)N[C@](C)(C#N)C[NH+](C)C)nnn1CC1CCCC1 ZINC000569725925 421627954 /nfs/dbraw/zinc/62/79/54/421627954.db2.gz HBZNNEHRKRHBCW-MRXNPFEDSA-N 1 2 318.425 1.350 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000566006185 421601707 /nfs/dbraw/zinc/60/17/07/421601707.db2.gz JNHSLCIPAGJOOF-XHSDSOJGSA-N 1 2 323.437 1.084 20 30 DDEDLO C=CCn1cc(C[NH2+][C@H](C)c2cnc3cc(C)nn3c2C)nn1 ZINC000566096337 421602063 /nfs/dbraw/zinc/60/20/63/421602063.db2.gz JSRNASCAXOBAFD-GFCCVEGCSA-N 1 2 311.393 1.974 20 30 DDEDLO Cn1c[nH+]cc1CNS(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000566164220 421603822 /nfs/dbraw/zinc/60/38/22/421603822.db2.gz ARTUYYREKMSILE-UHFFFAOYSA-N 1 2 304.375 1.106 20 30 DDEDLO C=CCC(C)(C)CNC(=O)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000556630321 421717537 /nfs/dbraw/zinc/71/75/37/421717537.db2.gz OJGGMWKLFMMJCQ-UHFFFAOYSA-N 1 2 300.362 1.991 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H](N[C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000533818090 421683279 /nfs/dbraw/zinc/68/32/79/421683279.db2.gz BJOJMSKUTSQJDE-ROUUACIJSA-N 1 2 320.396 1.861 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H](N[C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000533818090 421683282 /nfs/dbraw/zinc/68/32/82/421683282.db2.gz BJOJMSKUTSQJDE-ROUUACIJSA-N 1 2 320.396 1.861 20 30 DDEDLO CN1Cc2ccccc2[C@@H]([NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000533818090 421683285 /nfs/dbraw/zinc/68/32/85/421683285.db2.gz BJOJMSKUTSQJDE-ROUUACIJSA-N 1 2 320.396 1.861 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[NH+](Cc3ccsc3)CC2)c1 ZINC000533815513 421683334 /nfs/dbraw/zinc/68/33/34/421683334.db2.gz GGMACDCLQAYLFP-UHFFFAOYSA-N 1 2 300.387 1.906 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])[C@H](C(N)=O)C1 ZINC000580957003 421872078 /nfs/dbraw/zinc/87/20/78/421872078.db2.gz KGFDZKFCBXFIPD-YGRLFVJLSA-N 1 2 302.334 1.552 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])[C@H](C(N)=O)C1 ZINC000580957003 421872081 /nfs/dbraw/zinc/87/20/81/421872081.db2.gz KGFDZKFCBXFIPD-YGRLFVJLSA-N 1 2 302.334 1.552 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCO[C@H](CC(N)=O)C2)cc1Cl ZINC000628474810 422189058 /nfs/dbraw/zinc/18/90/58/422189058.db2.gz QWFYIVZNKSIECA-CYBMUJFWSA-N 1 2 324.808 1.981 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCO[C@H](CC(N)=O)C2)cc1Cl ZINC000628474810 422189066 /nfs/dbraw/zinc/18/90/66/422189066.db2.gz QWFYIVZNKSIECA-CYBMUJFWSA-N 1 2 324.808 1.981 20 30 DDEDLO C=C1CC(C)(C(=O)NCc2ccc[nH+]c2N2CCOCC2)C1 ZINC000630688589 422270869 /nfs/dbraw/zinc/27/08/69/422270869.db2.gz DJGUZIQCWKGBJO-UHFFFAOYSA-N 1 2 301.390 1.891 20 30 DDEDLO C[C@@H](O)[C@@H]1CCN(c2cc(NCC3(CC#N)CC3)[nH+]cn2)C1 ZINC000575773921 422324804 /nfs/dbraw/zinc/32/48/04/422324804.db2.gz HFKXGOFJCZCLKD-CHWSQXEVSA-N 1 2 301.394 1.789 20 30 DDEDLO C[C@@H](O)[C@@H]1CCN(c2cc(NCC3(CC#N)CC3)nc[nH+]2)C1 ZINC000575773921 422324809 /nfs/dbraw/zinc/32/48/09/422324809.db2.gz HFKXGOFJCZCLKD-CHWSQXEVSA-N 1 2 301.394 1.789 20 30 DDEDLO C=CCN([C@@H](c1[nH+]ccn1C)C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000637963116 422512940 /nfs/dbraw/zinc/51/29/40/422512940.db2.gz TZBFTXHYZJVVSJ-ZIAGYGMSSA-N 1 2 309.435 1.546 20 30 DDEDLO C=CCOc1cccc(NC(=O)[C@@H](C)N2CC[NH2+]CC2=O)c1 ZINC000637947982 422500310 /nfs/dbraw/zinc/50/03/10/422500310.db2.gz FJMYWRLGFBUWMG-GFCCVEGCSA-N 1 2 303.362 1.010 20 30 DDEDLO N#Cc1cc(NCc2[nH+]ccn2CCc2ccccc2)ncn1 ZINC000578924828 422672599 /nfs/dbraw/zinc/67/25/99/422672599.db2.gz OAAKACRVECOHGF-UHFFFAOYSA-N 1 2 304.357 2.400 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cnc(CCOC)s2)C1=O ZINC000639132411 423324596 /nfs/dbraw/zinc/32/45/96/423324596.db2.gz NHZFDOKRFVMQNO-CYBMUJFWSA-N 1 2 309.435 1.551 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cnc(CCOC)s2)C1=O ZINC000639132411 423324601 /nfs/dbraw/zinc/32/46/01/423324601.db2.gz NHZFDOKRFVMQNO-CYBMUJFWSA-N 1 2 309.435 1.551 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2c3c(nn2C)CCCC3)C1=O ZINC000639131915 423325037 /nfs/dbraw/zinc/32/50/37/423325037.db2.gz BKABUAQOCOTBIF-OAHLLOKOSA-N 1 2 302.422 1.518 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2c3c(nn2C)CCCC3)C1=O ZINC000639131915 423325043 /nfs/dbraw/zinc/32/50/43/423325043.db2.gz BKABUAQOCOTBIF-OAHLLOKOSA-N 1 2 302.422 1.518 20 30 DDEDLO C=CCN(CCOC)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000641454929 423649111 /nfs/dbraw/zinc/64/91/11/423649111.db2.gz LFNCVDGPCXXAGC-UHFFFAOYSA-N 1 2 324.446 1.498 20 30 DDEDLO C=CCN(CCOC)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000641454929 423649116 /nfs/dbraw/zinc/64/91/16/423649116.db2.gz LFNCVDGPCXXAGC-UHFFFAOYSA-N 1 2 324.446 1.498 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000649181966 423663126 /nfs/dbraw/zinc/66/31/26/423663126.db2.gz MGNTXLJBEOKCOH-LLVKDONJSA-N 1 2 321.327 1.513 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000649238925 423693720 /nfs/dbraw/zinc/69/37/20/423693720.db2.gz PJCAILDQOPLPHJ-HOTGVXAUSA-N 1 2 311.385 1.822 20 30 DDEDLO C=CCCNC(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000661565035 423898555 /nfs/dbraw/zinc/89/85/55/423898555.db2.gz ICIOQSVZKLLLRH-QGZVFWFLSA-N 1 2 316.449 1.678 20 30 DDEDLO C=CCCNC(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000661565035 423898564 /nfs/dbraw/zinc/89/85/64/423898564.db2.gz ICIOQSVZKLLLRH-QGZVFWFLSA-N 1 2 316.449 1.678 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000655161540 424263379 /nfs/dbraw/zinc/26/33/79/424263379.db2.gz ZDSOBFQKNQSQKV-MNOVXSKESA-N 1 2 317.311 1.347 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@@H](CC)c2nnc3n2CCCCC3)C1=O ZINC000661941781 424278306 /nfs/dbraw/zinc/27/83/06/424278306.db2.gz RABCMYBCWDZINP-UONOGXRCSA-N 1 2 317.437 1.832 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2c(C(F)(F)F)cnn2C)nn1 ZINC000657496323 424380954 /nfs/dbraw/zinc/38/09/54/424380954.db2.gz ROJYRQRRWHAIMU-UHFFFAOYSA-N 1 2 300.288 1.506 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)C(=O)Nc1ccn2cc(C)[nH+]c2c1 ZINC000657996776 424570134 /nfs/dbraw/zinc/57/01/34/424570134.db2.gz RWFBMOWHXNIVPA-CYBMUJFWSA-N 1 2 316.361 1.025 20 30 DDEDLO CCC[C@H](C(=O)OCC)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000348051173 266075635 /nfs/dbraw/zinc/07/56/35/266075635.db2.gz RVEFMIJIJSJYRE-OAHLLOKOSA-N 1 2 316.405 1.807 20 30 DDEDLO CCOC[C@H]1C[N@H+](C[C@@H](O)COc2ccc(C#N)cc2)CCO1 ZINC000528725698 267142329 /nfs/dbraw/zinc/14/23/29/267142329.db2.gz VQWUVYVYPAMLGA-NVXWUHKLSA-N 1 2 320.389 1.035 20 30 DDEDLO CCOC[C@H]1C[N@@H+](C[C@@H](O)COc2ccc(C#N)cc2)CCO1 ZINC000528725698 267142332 /nfs/dbraw/zinc/14/23/32/267142332.db2.gz VQWUVYVYPAMLGA-NVXWUHKLSA-N 1 2 320.389 1.035 20 30 DDEDLO CC[C@@H](C)[N@H+](CC(=O)OC)Cc1cnc2c(C#N)cnn2c1 ZINC000520583369 267185346 /nfs/dbraw/zinc/18/53/46/267185346.db2.gz NDJFULULQQBZLG-LLVKDONJSA-N 1 2 301.350 1.374 20 30 DDEDLO CC[C@@H](C)[N@@H+](CC(=O)OC)Cc1cnc2c(C#N)cnn2c1 ZINC000520583369 267185350 /nfs/dbraw/zinc/18/53/50/267185350.db2.gz NDJFULULQQBZLG-LLVKDONJSA-N 1 2 301.350 1.374 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)c1ccc(C#N)cc1F ZINC000515461815 267257018 /nfs/dbraw/zinc/25/70/18/267257018.db2.gz MVBBXJRATDEACB-SNVBAGLBSA-N 1 2 322.365 1.953 20 30 DDEDLO N#Cc1cc(S(=O)(=O)NCCNc2cccc[nH+]2)ccc1F ZINC000345197441 268016608 /nfs/dbraw/zinc/01/66/08/268016608.db2.gz CLYHNMGMFJQSHL-UHFFFAOYSA-N 1 2 320.349 1.483 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[NH+]2CC([C@H]3CCOC3)C2)c1 ZINC000366505533 268275850 /nfs/dbraw/zinc/27/58/50/268275850.db2.gz OTBAUSGTSJXZAC-AWEZNQCLSA-N 1 2 320.414 1.300 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@H]([NH+]2CCOCC2)[C@H]1C ZINC000355440115 277792248 /nfs/dbraw/zinc/79/22/48/277792248.db2.gz LJKITXDWWWWXMN-UGUYLWEFSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000342265675 277917660 /nfs/dbraw/zinc/91/76/60/277917660.db2.gz NVBSNQOAPHIJFU-BFYDXBDKSA-N 1 2 310.438 1.537 20 30 DDEDLO Cc1cccc2c1OC[C@H](NC(=O)N1CCn3cc[nH+]c3C1)C2 ZINC000329604221 281296366 /nfs/dbraw/zinc/29/63/66/281296366.db2.gz GTAPFGCPVBXFPJ-CQSZACIVSA-N 1 2 312.373 1.925 20 30 DDEDLO COc1ccc(OC)c(/C=C\C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000493385244 295174129 /nfs/dbraw/zinc/17/41/29/295174129.db2.gz MLUKGTQLRPTLNM-UXHZXRBQSA-N 1 2 317.389 1.677 20 30 DDEDLO O=C(N[C@@H]1CCc2c[nH]nc2C1)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000329551803 295384143 /nfs/dbraw/zinc/38/41/43/295384143.db2.gz PNARUJTVUBOQAS-CZUORRHYSA-N 1 2 318.421 1.867 20 30 DDEDLO O=C(N[C@@H]1CCc2c[nH]nc2C1)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000329551803 295384145 /nfs/dbraw/zinc/38/41/45/295384145.db2.gz PNARUJTVUBOQAS-CZUORRHYSA-N 1 2 318.421 1.867 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCC1(CC#N)CC1 ZINC000376409899 297264510 /nfs/dbraw/zinc/26/45/10/297264510.db2.gz AUQFZAOGQOTIMM-ZIAGYGMSSA-N 1 2 306.410 1.185 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(C(F)F)n(C)c2=S)CC1 ZINC000181316927 332191355 /nfs/dbraw/zinc/19/13/55/332191355.db2.gz ZXZPRQXKZZKBMV-UHFFFAOYSA-N 1 2 301.366 1.097 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@](O)(C(F)(F)F)C1 ZINC000314548083 333142332 /nfs/dbraw/zinc/14/23/32/333142332.db2.gz MXWKFJXFUFCOQA-NEPJUHHUSA-N 1 2 307.316 1.040 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@@](O)(C(F)(F)F)C1 ZINC000314548083 333142334 /nfs/dbraw/zinc/14/23/34/333142334.db2.gz MXWKFJXFUFCOQA-NEPJUHHUSA-N 1 2 307.316 1.040 20 30 DDEDLO C[C@H]([NH2+]CC(=O)NC1(C#N)CCC1)c1nc(C(C)(C)C)no1 ZINC000525886075 335141188 /nfs/dbraw/zinc/14/11/88/335141188.db2.gz RXJYHUAKVJICCT-JTQLQIEISA-N 1 2 305.382 1.580 20 30 DDEDLO C[C@H]([NH2+][C@@H](C)c1nnc2ccccn21)C(=O)NC1(C#N)CCC1 ZINC000527049989 336281276 /nfs/dbraw/zinc/28/12/76/336281276.db2.gz QUKRJYSUSMGTLT-RYUDHWBXSA-N 1 2 312.377 1.331 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@@H+]2CCC(=O)CC23CCC3)cc1 ZINC000582554461 337108501 /nfs/dbraw/zinc/10/85/01/337108501.db2.gz NLNNVEXFJCLHBN-MRXNPFEDSA-N 1 2 314.385 1.886 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@H+]2CCC(=O)CC23CCC3)cc1 ZINC000582554461 337108502 /nfs/dbraw/zinc/10/85/02/337108502.db2.gz NLNNVEXFJCLHBN-MRXNPFEDSA-N 1 2 314.385 1.886 20 30 DDEDLO C=C(C)CN(C)C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000180750828 337177654 /nfs/dbraw/zinc/17/76/54/337177654.db2.gz NMYMQMJBMXICSH-HNNXBMFYSA-N 1 2 313.467 1.412 20 30 DDEDLO C[C@H]1C(NNc2ccnc[nH+]2)=CC(=O)N1C(=O)OC(C)(C)C ZINC000496737814 340011691 /nfs/dbraw/zinc/01/16/91/340011691.db2.gz FBCYBXXWFUCOFO-VIFPVBQESA-N 1 2 305.338 1.800 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc(N2CCOC2=O)cc1 ZINC000496972915 340017285 /nfs/dbraw/zinc/01/72/85/340017285.db2.gz FXGNEKNFYQCROS-AWEZNQCLSA-N 1 2 319.361 1.228 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc(N2CCOC2=O)cc1 ZINC000496972915 340017286 /nfs/dbraw/zinc/01/72/86/340017286.db2.gz FXGNEKNFYQCROS-AWEZNQCLSA-N 1 2 319.361 1.228 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCOC[C@H]1CC1CCC1)C1CC1 ZINC000550141871 341311025 /nfs/dbraw/zinc/31/10/25/341311025.db2.gz MIAUMBPSSWZWKP-NVXWUHKLSA-N 1 2 305.422 1.686 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCOC[C@H]1CC1CCC1)C1CC1 ZINC000550141871 341311026 /nfs/dbraw/zinc/31/10/26/341311026.db2.gz MIAUMBPSSWZWKP-NVXWUHKLSA-N 1 2 305.422 1.686 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@H+](CC)C[C@H](C)C#N)C1 ZINC000245776031 341314427 /nfs/dbraw/zinc/31/44/27/341314427.db2.gz LLELJRZUOPVYIV-RBSFLKMASA-N 1 2 323.437 1.658 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@@H+](CC)C[C@H](C)C#N)C1 ZINC000245776031 341314428 /nfs/dbraw/zinc/31/44/28/341314428.db2.gz LLELJRZUOPVYIV-RBSFLKMASA-N 1 2 323.437 1.658 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@H](C)O[C@H](CO)C2)cc1OC ZINC000249263444 341395554 /nfs/dbraw/zinc/39/55/54/341395554.db2.gz IGPMAQRCWDQILR-ZFWWWQNUSA-N 1 2 307.390 1.842 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@H](C)O[C@H](CO)C2)cc1OC ZINC000249263444 341395555 /nfs/dbraw/zinc/39/55/55/341395555.db2.gz IGPMAQRCWDQILR-ZFWWWQNUSA-N 1 2 307.390 1.842 20 30 DDEDLO COCCN(c1cc[nH+]cc1)S(=O)(=O)CCC(C)(C)C#N ZINC000567001702 341573938 /nfs/dbraw/zinc/57/39/38/341573938.db2.gz VGHOXWQVVAIOPY-UHFFFAOYSA-N 1 2 311.407 1.804 20 30 DDEDLO C=CCn1cc(CNC(=O)N[C@@H]2C[C@H](C)n3cc[nH+]c32)nn1 ZINC000573319101 341724805 /nfs/dbraw/zinc/72/48/05/341724805.db2.gz SFAOYUVQSGDEFF-CMPLNLGQSA-N 1 2 301.354 1.166 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1cccc(Br)c1 ZINC000573925328 341743447 /nfs/dbraw/zinc/74/34/47/341743447.db2.gz VQDLUXADRUOLAC-CQSZACIVSA-N 1 2 324.222 1.952 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000661119820 484924147 /nfs/dbraw/zinc/92/41/47/484924147.db2.gz KHOXRPJDFONLHE-XHSDSOJGSA-N 1 2 323.437 1.084 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CC[NH+]([C@H](C)c2cccc(OC)c2)CC1 ZINC000668239656 485047612 /nfs/dbraw/zinc/04/76/12/485047612.db2.gz PTYZRFWLOGPKCL-CZUORRHYSA-N 1 2 304.390 1.447 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)C(=O)NCCCn2cc[nH+]c2)C1 ZINC000668332184 485111923 /nfs/dbraw/zinc/11/19/23/485111923.db2.gz FQATVIUOCQLOFY-CQSZACIVSA-N 1 2 304.394 1.204 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000673469605 485415423 /nfs/dbraw/zinc/41/54/23/485415423.db2.gz NJXVFKJRUHGQTJ-ZIAGYGMSSA-N 1 2 322.409 1.379 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000673469605 485415426 /nfs/dbraw/zinc/41/54/26/485415426.db2.gz NJXVFKJRUHGQTJ-ZIAGYGMSSA-N 1 2 322.409 1.379 20 30 DDEDLO C=CCOCCNC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000684475584 486363673 /nfs/dbraw/zinc/36/36/73/486363673.db2.gz MTOSVEZCCUENKT-UHFFFAOYSA-N 1 2 309.316 1.942 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000677476530 486476324 /nfs/dbraw/zinc/47/63/24/486476324.db2.gz SYVAMDSNWWIQIF-AWEZNQCLSA-N 1 2 318.373 1.110 20 30 DDEDLO COCC#CC[NH+]1CCN(c2ncnc3sccc32)CC1 ZINC000677819293 486548020 /nfs/dbraw/zinc/54/80/20/486548020.db2.gz WSPOOFSQFJKRAB-UHFFFAOYSA-N 1 2 302.403 1.463 20 30 DDEDLO C=C(C)[C@H](CO)N1CC[NH+](CCOc2cccc(OC)c2)CC1 ZINC000678049985 486617578 /nfs/dbraw/zinc/61/75/78/486617578.db2.gz SAPZJQFXZVLUBZ-SFHVURJKSA-N 1 2 320.433 1.629 20 30 DDEDLO CCC[C@H](OCC)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000599230816 500674932 /nfs/dbraw/zinc/67/49/32/500674932.db2.gz XDDFRLGDSDLZPB-HNNXBMFYSA-N 1 2 311.426 1.266 20 30 DDEDLO C[C@@H]1C[C@H](CNC(=O)NCc2ccc[nH+]c2N(C)C)CCO1 ZINC000330641678 533828035 /nfs/dbraw/zinc/82/80/35/533828035.db2.gz QQYJMHDYJJKKBB-CHWSQXEVSA-N 1 2 306.410 1.966 20 30 DDEDLO C[C@H](NC(=O)N(CCC#N)CC[NH+]1CCOCC1)C(F)(F)F ZINC000344638224 534208109 /nfs/dbraw/zinc/20/81/09/534208109.db2.gz ZJADHEUKNFBEQT-NSHDSACASA-N 1 2 322.331 1.195 20 30 DDEDLO Cc1ccc(-n2ccnc2)c(C(=O)NC[C@@H]2C[N@H+](C)CCO2)c1 ZINC000329882793 534240316 /nfs/dbraw/zinc/24/03/16/534240316.db2.gz QJHZIRSYEIJIDF-CQSZACIVSA-N 1 2 314.389 1.816 20 30 DDEDLO Cc1ccc(-n2ccnc2)c(C(=O)NC[C@@H]2C[N@@H+](C)CCO2)c1 ZINC000329882793 534240318 /nfs/dbraw/zinc/24/03/18/534240318.db2.gz QJHZIRSYEIJIDF-CQSZACIVSA-N 1 2 314.389 1.816 20 30 DDEDLO C[C@H](NC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1)[C@H]1CCOC1 ZINC000329784699 534278456 /nfs/dbraw/zinc/27/84/56/534278456.db2.gz WGUHFAPMAYFBHV-SOUVJXGZSA-N 1 2 311.426 1.122 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCC(=O)N2CCCC2)CCC1(F)F ZINC000329781389 534450032 /nfs/dbraw/zinc/45/00/32/534450032.db2.gz NEPNVZQSJLMRRA-LLVKDONJSA-N 1 2 303.353 1.542 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCC(=O)N2CCCC2)CCC1(F)F ZINC000329781389 534450036 /nfs/dbraw/zinc/45/00/36/534450036.db2.gz NEPNVZQSJLMRRA-LLVKDONJSA-N 1 2 303.353 1.542 20 30 DDEDLO Cc1oc2nc(C[N@@H+](C)CCNC(=O)N(C)C)nc(N)c2c1C ZINC000330695051 534557386 /nfs/dbraw/zinc/55/73/86/534557386.db2.gz IVCGXEOHTGZQBY-UHFFFAOYSA-N 1 2 320.397 1.329 20 30 DDEDLO Cc1oc2nc(C[N@H+](C)CCNC(=O)N(C)C)nc(N)c2c1C ZINC000330695051 534557393 /nfs/dbraw/zinc/55/73/93/534557393.db2.gz IVCGXEOHTGZQBY-UHFFFAOYSA-N 1 2 320.397 1.329 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000156810636 523525695 /nfs/dbraw/zinc/52/56/95/523525695.db2.gz WZJFRMYICFPIRD-IRXDYDNUSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000156810636 523525702 /nfs/dbraw/zinc/52/57/02/523525702.db2.gz WZJFRMYICFPIRD-IRXDYDNUSA-N 1 2 302.374 1.947 20 30 DDEDLO C=CC[N@@H+](CCC(C)(C)C(=O)OC)[C@H]1CCS(=O)(=O)C1 ZINC000338548265 526273142 /nfs/dbraw/zinc/27/31/42/526273142.db2.gz HYELCCHIUUZOQV-LBPRGKRZSA-N 1 2 303.424 1.251 20 30 DDEDLO C=CC[N@H+](CCC(C)(C)C(=O)OC)[C@H]1CCS(=O)(=O)C1 ZINC000338548265 526273149 /nfs/dbraw/zinc/27/31/49/526273149.db2.gz HYELCCHIUUZOQV-LBPRGKRZSA-N 1 2 303.424 1.251 20 30 DDEDLO C=CC[N@H+](Cc1cn(C)nn1)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000341593366 526378590 /nfs/dbraw/zinc/37/85/90/526378590.db2.gz AKPMHDVFOMVYMS-CYBMUJFWSA-N 1 2 324.392 1.540 20 30 DDEDLO C=CC[N@@H+](Cc1cn(C)nn1)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000341593366 526378596 /nfs/dbraw/zinc/37/85/96/526378596.db2.gz AKPMHDVFOMVYMS-CYBMUJFWSA-N 1 2 324.392 1.540 20 30 DDEDLO CNC(=O)Cc1noc(C[N@@H+]2CCOC[C@H](C3CCC3)C2)n1 ZINC000330264359 526399796 /nfs/dbraw/zinc/39/97/96/526399796.db2.gz XVKGFWCMBYATQY-GFCCVEGCSA-N 1 2 308.382 1.447 20 30 DDEDLO CNC(=O)Cc1noc(C[N@H+]2CCOC[C@H](C3CCC3)C2)n1 ZINC000330264359 526399803 /nfs/dbraw/zinc/39/98/03/526399803.db2.gz XVKGFWCMBYATQY-GFCCVEGCSA-N 1 2 308.382 1.447 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccc(Cl)cc2)C1 ZINC000330943540 526402977 /nfs/dbraw/zinc/40/29/77/526402977.db2.gz HQUUJMNMGZIEGJ-LBPRGKRZSA-N 1 2 310.785 1.486 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccc(Cl)cc2)C1 ZINC000330943540 526402981 /nfs/dbraw/zinc/40/29/81/526402981.db2.gz HQUUJMNMGZIEGJ-LBPRGKRZSA-N 1 2 310.785 1.486 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000424660434 526433208 /nfs/dbraw/zinc/43/32/08/526433208.db2.gz UETKQMCPEZBLNC-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+]([C@H](C)C(=O)NC2CC2)CC1 ZINC000332103444 526708807 /nfs/dbraw/zinc/70/88/07/526708807.db2.gz CBRZXJQDLLKHQE-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[N@H+](CCCC(=O)OC(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000491044253 526900555 /nfs/dbraw/zinc/90/05/55/526900555.db2.gz GMEALMWUYMYSOS-ZDUSSCGKSA-N 1 2 315.435 1.231 20 30 DDEDLO C#CC[N@@H+](CCCC(=O)OC(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000491044253 526900552 /nfs/dbraw/zinc/90/05/52/526900552.db2.gz GMEALMWUYMYSOS-ZDUSSCGKSA-N 1 2 315.435 1.231 20 30 DDEDLO C=CCOc1cccc(NC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)c1 ZINC000350085785 526914905 /nfs/dbraw/zinc/91/49/05/526914905.db2.gz YNPOAPXYQLUWIY-HNNXBMFYSA-N 1 2 318.421 1.619 20 30 DDEDLO C=CCOc1cccc(NC(=O)NC[C@H]2CN(C)CC[N@H+]2C)c1 ZINC000350085785 526914906 /nfs/dbraw/zinc/91/49/06/526914906.db2.gz YNPOAPXYQLUWIY-HNNXBMFYSA-N 1 2 318.421 1.619 20 30 DDEDLO C#C[C@@H](CC)NC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000491617161 527229258 /nfs/dbraw/zinc/22/92/58/527229258.db2.gz BTTLQIIQNZWTNA-ZDUSSCGKSA-N 1 2 310.357 1.554 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000491804207 527254599 /nfs/dbraw/zinc/25/45/99/527254599.db2.gz KURCCKRYQVVQGP-HNNXBMFYSA-N 1 2 316.405 1.519 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2c(C)nn(-c3ccccn3)c2C)nn1 ZINC000424171626 527372110 /nfs/dbraw/zinc/37/21/10/527372110.db2.gz MBXOUHWYBYZKMA-UHFFFAOYSA-N 1 2 323.404 1.951 20 30 DDEDLO C=CCN(C)C(=O)C(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000340126510 527556878 /nfs/dbraw/zinc/55/68/78/527556878.db2.gz CNCYAAIVQBJLGT-UHFFFAOYSA-N 1 2 312.373 1.192 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000451767249 527628460 /nfs/dbraw/zinc/62/84/60/527628460.db2.gz ZBPHRDDYCYHEIN-SPLOXXLWSA-N 1 2 312.479 1.809 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000451767249 527628470 /nfs/dbraw/zinc/62/84/70/527628470.db2.gz ZBPHRDDYCYHEIN-SPLOXXLWSA-N 1 2 312.479 1.809 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@H](Oc2ccncc2)C1 ZINC000346485196 527930371 /nfs/dbraw/zinc/93/03/71/527930371.db2.gz FVFUWNVOQGCVFO-WBVHZDCISA-N 1 2 316.405 1.589 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@@H](Oc2ccncc2)C1 ZINC000346485196 527930374 /nfs/dbraw/zinc/93/03/74/527930374.db2.gz FVFUWNVOQGCVFO-WBVHZDCISA-N 1 2 316.405 1.589 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)N[C@@H](c1[nH]cc[nH+]1)C(C)C ZINC000331314554 528644940 /nfs/dbraw/zinc/64/49/40/528644940.db2.gz IQHPZXQLTQTSSZ-NWDGAFQWSA-N 1 2 313.427 1.423 20 30 DDEDLO CCSc1nnc(SC[C@H](O)C[N@H+](C)CCC#N)s1 ZINC000414104828 529265596 /nfs/dbraw/zinc/26/55/96/529265596.db2.gz ZDXAWUKXMTYWLC-SECBINFHSA-N 1 2 318.493 1.949 20 30 DDEDLO CCSc1nnc(SC[C@H](O)C[N@@H+](C)CCC#N)s1 ZINC000414104828 529265597 /nfs/dbraw/zinc/26/55/97/529265597.db2.gz ZDXAWUKXMTYWLC-SECBINFHSA-N 1 2 318.493 1.949 20 30 DDEDLO C=C1CC[NH+]([C@H](C)C(=O)Nc2cccc(-c3nn[nH]n3)c2)CC1 ZINC000735403182 598504664 /nfs/dbraw/zinc/50/46/64/598504664.db2.gz RBNYROWUCIXCHS-GFCCVEGCSA-N 1 2 312.377 1.846 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)COC3CCCC3)C2)C1 ZINC000972278721 695202571 /nfs/dbraw/zinc/20/25/71/695202571.db2.gz JQXAHALYUXDUIW-GOSISDBHSA-N 1 2 320.433 1.272 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)COC3CCCC3)C2)C1 ZINC000972278721 695202572 /nfs/dbraw/zinc/20/25/72/695202572.db2.gz JQXAHALYUXDUIW-GOSISDBHSA-N 1 2 320.433 1.272 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccnn3CC)C2)C1 ZINC000972356019 695226383 /nfs/dbraw/zinc/22/63/83/695226383.db2.gz UKKQCWOUCGEOFJ-INIZCTEOSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccnn3CC)C2)C1 ZINC000972356019 695226384 /nfs/dbraw/zinc/22/63/84/695226384.db2.gz UKKQCWOUCGEOFJ-INIZCTEOSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3C3CC3)C2)C1 ZINC000972524710 695272850 /nfs/dbraw/zinc/27/28/50/695272850.db2.gz VVVRVEGDDPMATR-SFHVURJKSA-N 1 2 315.417 1.995 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3C3CC3)C2)C1 ZINC000972524710 695272851 /nfs/dbraw/zinc/27/28/51/695272851.db2.gz VVVRVEGDDPMATR-SFHVURJKSA-N 1 2 315.417 1.995 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(Cl)[nH]3)C2)C1 ZINC000972537845 695275817 /nfs/dbraw/zinc/27/58/17/695275817.db2.gz LAJMAYJLHPIKFO-INIZCTEOSA-N 1 2 321.808 1.608 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(Cl)[nH]3)C2)C1 ZINC000972537845 695275819 /nfs/dbraw/zinc/27/58/19/695275819.db2.gz LAJMAYJLHPIKFO-INIZCTEOSA-N 1 2 321.808 1.608 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C(C)C)n[nH]3)C2)C1 ZINC000972562489 695283973 /nfs/dbraw/zinc/28/39/73/695283973.db2.gz DSCNQHIWGQDJKD-KRWDZBQOSA-N 1 2 318.421 1.636 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C(C)C)n[nH]3)C2)C1 ZINC000972562489 695283974 /nfs/dbraw/zinc/28/39/74/695283974.db2.gz DSCNQHIWGQDJKD-KRWDZBQOSA-N 1 2 318.421 1.636 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccnc(C)c3)C2)C1 ZINC000972604341 695296377 /nfs/dbraw/zinc/29/63/77/695296377.db2.gz GAMLOZNUZUQGIJ-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccnc(C)c3)C2)C1 ZINC000972604341 695296378 /nfs/dbraw/zinc/29/63/78/695296378.db2.gz GAMLOZNUZUQGIJ-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3oc(CC)nc3C)C2)C1 ZINC000972621051 695301360 /nfs/dbraw/zinc/30/13/60/695301360.db2.gz FWJYOSYHEAOZBV-QGZVFWFLSA-N 1 2 319.405 1.648 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3oc(CC)nc3C)C2)C1 ZINC000972621051 695301363 /nfs/dbraw/zinc/30/13/63/695301363.db2.gz FWJYOSYHEAOZBV-QGZVFWFLSA-N 1 2 319.405 1.648 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C3CCCC3)C2)C1 ZINC000972631730 695304048 /nfs/dbraw/zinc/30/40/48/695304048.db2.gz OFYWENQEMRXJSQ-QAPCUYQASA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C3CCCC3)C2)C1 ZINC000972631730 695304050 /nfs/dbraw/zinc/30/40/50/695304050.db2.gz OFYWENQEMRXJSQ-QAPCUYQASA-N 1 2 304.434 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3cccnc3)C2)C1 ZINC000972638589 695306127 /nfs/dbraw/zinc/30/61/27/695306127.db2.gz MEROFRCJSZIJAI-CRAIPNDOSA-N 1 2 315.417 1.674 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3cccnc3)C2)C1 ZINC000972638589 695306128 /nfs/dbraw/zinc/30/61/28/695306128.db2.gz MEROFRCJSZIJAI-CRAIPNDOSA-N 1 2 315.417 1.674 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(Cc2cc(C#N)ccc2F)CC1 ZINC000746465461 700025374 /nfs/dbraw/zinc/02/53/74/700025374.db2.gz VGRXQSXDZAWEKW-OAQYLSRUSA-N 1 2 309.410 1.193 20 30 DDEDLO N#CCSc1ccccc1C(=O)N1CC[NH+](CCO)CC1 ZINC000035186821 696128630 /nfs/dbraw/zinc/12/86/30/696128630.db2.gz OAZCCVSNTYGHKB-UHFFFAOYSA-N 1 2 305.403 1.052 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cccn(C)c2=O)C(C)(C)C1 ZINC000977482391 696183238 /nfs/dbraw/zinc/18/32/38/696183238.db2.gz BJGYEPKQXFUQCV-ZDUSSCGKSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cccn(C)c2=O)C(C)(C)C1 ZINC000977482391 696183239 /nfs/dbraw/zinc/18/32/39/696183239.db2.gz BJGYEPKQXFUQCV-ZDUSSCGKSA-N 1 2 323.824 1.578 20 30 DDEDLO C#CCC[N@@H+]1C[C@H](NC(=O)c2ccc3[nH]nnc3c2)C(C)(C)C1 ZINC000977574340 696219060 /nfs/dbraw/zinc/21/90/60/696219060.db2.gz UBJUVLGPMSPTFM-HNNXBMFYSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CCC[N@H+]1C[C@H](NC(=O)c2ccc3[nH]nnc3c2)C(C)(C)C1 ZINC000977574340 696219063 /nfs/dbraw/zinc/21/90/63/696219063.db2.gz UBJUVLGPMSPTFM-HNNXBMFYSA-N 1 2 311.389 1.421 20 30 DDEDLO C=CCN1CC[C@@H](OC(=O)[C@@H]([NH2+]C2CCOCC2)C(C)C)C1=O ZINC000798630200 700082015 /nfs/dbraw/zinc/08/20/15/700082015.db2.gz PWFNQGKZASZKEN-CABCVRRESA-N 1 2 324.421 1.110 20 30 DDEDLO CN(Cc1ccccc1)C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000092914952 696597897 /nfs/dbraw/zinc/59/78/97/696597897.db2.gz CDTPDKXBEKNALN-UHFFFAOYSA-N 1 2 312.373 1.572 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)c3cnco3)CC2)s1 ZINC000981712153 696886448 /nfs/dbraw/zinc/88/64/48/696886448.db2.gz AQKSUAPSALFNOB-UHFFFAOYSA-N 1 2 316.386 1.956 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)c3cnco3)CC2)s1 ZINC000981712153 696886451 /nfs/dbraw/zinc/88/64/51/696886451.db2.gz AQKSUAPSALFNOB-UHFFFAOYSA-N 1 2 316.386 1.956 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC[N@H+](Cc3cncs3)CC2)c[nH]1 ZINC000981006308 696977503 /nfs/dbraw/zinc/97/75/03/696977503.db2.gz WEIOTZCJIRRMGW-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC[N@@H+](Cc3cncs3)CC2)c[nH]1 ZINC000981006308 696977506 /nfs/dbraw/zinc/97/75/06/696977506.db2.gz WEIOTZCJIRRMGW-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2c(C)noc2C(F)(F)F)CC1 ZINC000982043310 697012358 /nfs/dbraw/zinc/01/23/58/697012358.db2.gz IXPOJHNYQGAOOU-UHFFFAOYSA-N 1 2 315.295 1.783 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2c(C)noc2C(F)(F)F)CC1 ZINC000982043310 697012362 /nfs/dbraw/zinc/01/23/62/697012362.db2.gz IXPOJHNYQGAOOU-UHFFFAOYSA-N 1 2 315.295 1.783 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2c(C)oc3nc[nH]c(=O)c32)CC1 ZINC000981337847 697069682 /nfs/dbraw/zinc/06/96/82/697069682.db2.gz XMNIKEJJAAAKPU-UHFFFAOYSA-N 1 2 314.345 1.018 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2c(C)oc3nc[nH]c(=O)c32)CC1 ZINC000981337847 697069684 /nfs/dbraw/zinc/06/96/84/697069684.db2.gz XMNIKEJJAAAKPU-UHFFFAOYSA-N 1 2 314.345 1.018 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCC2CCC(C#N)CC2)CCO1 ZINC000799565060 700150311 /nfs/dbraw/zinc/15/03/11/700150311.db2.gz BSOMUTABLORBMU-WLYUNCDWSA-N 1 2 308.426 1.336 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCC2CCC(C#N)CC2)CCO1 ZINC000799565060 700150312 /nfs/dbraw/zinc/15/03/12/700150312.db2.gz BSOMUTABLORBMU-WLYUNCDWSA-N 1 2 308.426 1.336 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N1CCC(N(C)CC#N)CC1)C2 ZINC000985514003 697523373 /nfs/dbraw/zinc/52/33/73/697523373.db2.gz ITKKEVHXMGSEDC-ZDUSSCGKSA-N 1 2 315.421 1.269 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N1CCC(N(C)CC#N)CC1)CC2 ZINC000985514003 697523374 /nfs/dbraw/zinc/52/33/74/697523374.db2.gz ITKKEVHXMGSEDC-ZDUSSCGKSA-N 1 2 315.421 1.269 20 30 DDEDLO N#CCCNC(=O)C[NH+]1CCC(Oc2ncccc2F)CC1 ZINC000773976562 697829877 /nfs/dbraw/zinc/82/98/77/697829877.db2.gz WTUMWJOUDDVUBW-UHFFFAOYSA-N 1 2 306.341 1.094 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)N(C)CCCn1cc[nH+]c1 ZINC000774744883 697921381 /nfs/dbraw/zinc/92/13/81/697921381.db2.gz MZKABTHAQKQQDD-INIZCTEOSA-N 1 2 312.373 1.991 20 30 DDEDLO C#C[C@@H](NC(=O)c1ccc(Cn2cc[nH+]c2)cc1)[C@@H]1CCCO1 ZINC000775329494 697982790 /nfs/dbraw/zinc/98/27/90/697982790.db2.gz QBKZQBMFOPRZLR-SJORKVTESA-N 1 2 309.369 1.842 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1cc(=O)n2c(C)csc2n1)[C@H]1CCCO1 ZINC000775791279 698034165 /nfs/dbraw/zinc/03/41/65/698034165.db2.gz SLMOJIZYFARILE-CHWSQXEVSA-N 1 2 303.387 1.335 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)Nc1nnc(C(C)(C)C)s1)[C@H]1CCCO1 ZINC000775801799 698039036 /nfs/dbraw/zinc/03/90/36/698039036.db2.gz YZNLDNPEIAAYLB-WDEREUQCSA-N 1 2 322.434 1.545 20 30 DDEDLO C[C@H]1C[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)CC[C@H]1O ZINC000778251635 698253927 /nfs/dbraw/zinc/25/39/27/698253927.db2.gz ADAACMXHSLKIQW-SWLSCSKDSA-N 1 2 312.373 1.123 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)CC[C@H]1O ZINC000778251635 698253929 /nfs/dbraw/zinc/25/39/29/698253929.db2.gz ADAACMXHSLKIQW-SWLSCSKDSA-N 1 2 312.373 1.123 20 30 DDEDLO CC[C@H]1C(=O)N(CC)CC[N@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000778418143 698334551 /nfs/dbraw/zinc/33/45/51/698334551.db2.gz RMBXZFBVKMXFAN-JKSUJKDBSA-N 1 2 301.390 1.534 20 30 DDEDLO CC[C@H]1C(=O)N(CC)CC[N@@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000778418143 698334554 /nfs/dbraw/zinc/33/45/54/698334554.db2.gz RMBXZFBVKMXFAN-JKSUJKDBSA-N 1 2 301.390 1.534 20 30 DDEDLO C#CCSCCNC(=O)[C@@H](C(C)C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000246237788 698346287 /nfs/dbraw/zinc/34/62/87/698346287.db2.gz UCMUBQCRHNLIBT-QLFBSQMISA-N 1 2 312.479 1.603 20 30 DDEDLO C#CCSCCNC(=O)[C@@H](C(C)C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000246237788 698346289 /nfs/dbraw/zinc/34/62/89/698346289.db2.gz UCMUBQCRHNLIBT-QLFBSQMISA-N 1 2 312.479 1.603 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2sc(COC)nc2C)CC1 ZINC000989569359 698671626 /nfs/dbraw/zinc/67/16/26/698671626.db2.gz XOKUWJIWWCBVNH-UHFFFAOYSA-N 1 2 321.446 1.769 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2sc(COC)nc2C)CC1 ZINC000989569359 698671629 /nfs/dbraw/zinc/67/16/29/698671629.db2.gz XOKUWJIWWCBVNH-UHFFFAOYSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH+]1CCN(c2ccc(C)cc2)CC1 ZINC000784391092 698934892 /nfs/dbraw/zinc/93/48/92/698934892.db2.gz VUUMEYYGXQZICC-UHFFFAOYSA-N 1 2 316.405 1.129 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[N@@H+](Cc2ccccc2)C[C@H]1C ZINC000710312357 699253934 /nfs/dbraw/zinc/25/39/34/699253934.db2.gz YNAXZLZUXKHTJM-MRXNPFEDSA-N 1 2 320.458 1.936 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[N@H+](Cc2ccccc2)C[C@H]1C ZINC000710312357 699253938 /nfs/dbraw/zinc/25/39/38/699253938.db2.gz YNAXZLZUXKHTJM-MRXNPFEDSA-N 1 2 320.458 1.936 20 30 DDEDLO CC[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000712275784 699261081 /nfs/dbraw/zinc/26/10/81/699261081.db2.gz CVIOVMVZSCMQBO-LLVKDONJSA-N 1 2 313.810 1.584 20 30 DDEDLO CC[N@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000712275784 699261082 /nfs/dbraw/zinc/26/10/82/699261082.db2.gz CVIOVMVZSCMQBO-LLVKDONJSA-N 1 2 313.810 1.584 20 30 DDEDLO CC[N@H+](CC(N)=O)Cc1cc(=O)n2c3ccccc3[nH]c2c1C#N ZINC000789519268 699392640 /nfs/dbraw/zinc/39/26/40/699392640.db2.gz ZPYBOJIYIWQSQO-GFCCVEGCSA-N 1 2 323.356 1.031 20 30 DDEDLO CC[N@@H+](CC(N)=O)Cc1cc(=O)n2c3ccccc3[nH]c2c1C#N ZINC000789519268 699392642 /nfs/dbraw/zinc/39/26/42/699392642.db2.gz ZPYBOJIYIWQSQO-GFCCVEGCSA-N 1 2 323.356 1.031 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCCC2CS(=O)(=O)C2)ccc1F ZINC000790435331 699459190 /nfs/dbraw/zinc/45/91/90/699459190.db2.gz JMGDRCUVZFRSNB-UHFFFAOYSA-N 1 2 300.355 1.206 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)NC(=O)CS2 ZINC000730274251 699505511 /nfs/dbraw/zinc/50/55/11/699505511.db2.gz HFDOSBSRAFFJBB-ZDUSSCGKSA-N 1 2 315.398 1.767 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)NC(=O)CS2 ZINC000730274251 699505513 /nfs/dbraw/zinc/50/55/13/699505513.db2.gz HFDOSBSRAFFJBB-ZDUSSCGKSA-N 1 2 315.398 1.767 20 30 DDEDLO CC[NH+](CC)[C@H](C(=O)OCC(=O)NCCC#N)c1ccccc1 ZINC000732349051 699556583 /nfs/dbraw/zinc/55/65/83/699556583.db2.gz QXTKYOWVBYANKB-INIZCTEOSA-N 1 2 317.389 1.643 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[NH+]1CCC(CCO)CC1 ZINC000739915513 699782915 /nfs/dbraw/zinc/78/29/15/699782915.db2.gz QBFMBDDZCWPBHP-MRXNPFEDSA-N 1 2 302.374 1.867 20 30 DDEDLO C=CCNC(=O)COC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccccc1 ZINC000741356691 699833418 /nfs/dbraw/zinc/83/34/18/699833418.db2.gz ZTJSTRMEHNSFTM-INIZCTEOSA-N 1 2 316.401 1.887 20 30 DDEDLO C=CCNC(=O)COC(=O)[C@@H]1CCCC[N@H+]1Cc1ccccc1 ZINC000741356691 699833420 /nfs/dbraw/zinc/83/34/20/699833420.db2.gz ZTJSTRMEHNSFTM-INIZCTEOSA-N 1 2 316.401 1.887 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(C)Cc1nc([C@@H](C)OCC)no1 ZINC000742735633 699888133 /nfs/dbraw/zinc/88/81/33/699888133.db2.gz KPOURNPVGZGGEQ-OLZOCXBDSA-N 1 2 320.393 1.223 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)Cc1nc([C@@H](C)OCC)no1 ZINC000742735633 699888134 /nfs/dbraw/zinc/88/81/34/699888134.db2.gz KPOURNPVGZGGEQ-OLZOCXBDSA-N 1 2 320.393 1.223 20 30 DDEDLO COC(=O)[C@H]1CC12CC[NH+](Cn1ccc(C)c(C#N)c1=O)CC2 ZINC000758222731 700691202 /nfs/dbraw/zinc/69/12/02/700691202.db2.gz KULMNXBOICBMJZ-CQSZACIVSA-N 1 2 315.373 1.261 20 30 DDEDLO C[C@H](c1ccc(F)cc1F)N1CC[NH+](C[C@H](O)CC#N)CC1 ZINC000809574412 701671141 /nfs/dbraw/zinc/67/11/41/701671141.db2.gz LULDWHJACPSOLK-TZMCWYRMSA-N 1 2 309.360 1.918 20 30 DDEDLO C#Cc1ccc(C[N@H+]2CCN(S(=O)(=O)CC)C[C@H]2C)cc1 ZINC000809725001 701682783 /nfs/dbraw/zinc/68/27/83/701682783.db2.gz HQNKJQYACLACGF-CQSZACIVSA-N 1 2 306.431 1.524 20 30 DDEDLO C#Cc1ccc(C[N@@H+]2CCN(S(=O)(=O)CC)C[C@H]2C)cc1 ZINC000809725001 701682786 /nfs/dbraw/zinc/68/27/86/701682786.db2.gz HQNKJQYACLACGF-CQSZACIVSA-N 1 2 306.431 1.524 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@](C)(O)c1cccc(Cl)c1 ZINC000765783848 701027235 /nfs/dbraw/zinc/02/72/35/701027235.db2.gz GIEIWMMENJECLE-RDJZCZTQSA-N 1 2 320.820 1.761 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@](C)(O)c1cccc(Cl)c1 ZINC000765783848 701027236 /nfs/dbraw/zinc/02/72/36/701027236.db2.gz GIEIWMMENJECLE-RDJZCZTQSA-N 1 2 320.820 1.761 20 30 DDEDLO C=C(C)COC(=O)CCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000766281099 701045242 /nfs/dbraw/zinc/04/52/42/701045242.db2.gz YCMSTBSILNMCML-UHFFFAOYSA-N 1 2 317.389 1.630 20 30 DDEDLO CC(=[NH+]N[C@@H]1CCS(=O)(=O)C1)c1cc(F)c(N)c(F)c1 ZINC000814680121 701740191 /nfs/dbraw/zinc/74/01/91/701740191.db2.gz BFZFAEOCMMLOQP-SECBINFHSA-N 1 2 303.334 1.048 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1C[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]2C1 ZINC000839593432 701747899 /nfs/dbraw/zinc/74/78/99/701747899.db2.gz RWGBVZFPJZZURZ-XQQFMLRXSA-N 1 2 310.394 1.513 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1C[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]2C1 ZINC000839593432 701747901 /nfs/dbraw/zinc/74/79/01/701747901.db2.gz RWGBVZFPJZZURZ-XQQFMLRXSA-N 1 2 310.394 1.513 20 30 DDEDLO CC(=[NH+]NCC(C)(C)S(C)(=O)=O)c1cc(N)ccc1F ZINC000814906116 701768632 /nfs/dbraw/zinc/76/86/32/701768632.db2.gz GMBHFYURODSAPE-UHFFFAOYSA-N 1 2 301.387 1.545 20 30 DDEDLO N#C[C@]1(C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)CCCOC1 ZINC000867905639 701820992 /nfs/dbraw/zinc/82/09/92/701820992.db2.gz TWDBLYXNEAWISY-GDBMZVCRSA-N 1 2 301.346 1.382 20 30 DDEDLO CN(C)c1[nH+]cc(CN=Nc2ccc(C(F)(F)F)nn2)n1C ZINC000811646319 702020908 /nfs/dbraw/zinc/02/09/08/702020908.db2.gz UYZKHLQQWADIDC-UHFFFAOYSA-N 1 2 313.287 1.741 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)N[C@@H]1CCn3cc[nH+]c3C1)C2 ZINC000868519156 702172962 /nfs/dbraw/zinc/17/29/62/702172962.db2.gz BQOWYZPWWOXYEX-CVEARBPZSA-N 1 2 321.384 1.536 20 30 DDEDLO C=CCNC(=S)N[NH+]=C(C)c1cccc(N2CCOCC2)c1 ZINC000812384451 702173321 /nfs/dbraw/zinc/17/33/21/702173321.db2.gz NVNJIMPDOZKBGP-UHFFFAOYSA-N 1 2 318.446 1.897 20 30 DDEDLO C[C@@H]([NH2+]CCCN1CCCS1(=O)=O)c1cccc(C#N)c1O ZINC000866293089 706667006 /nfs/dbraw/zinc/66/70/06/706667006.db2.gz CHLUBAZOAMXNSA-GFCCVEGCSA-N 1 2 323.418 1.340 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)NCCNc1cccc[nH+]1)C2 ZINC000869190337 702503718 /nfs/dbraw/zinc/50/37/18/702503718.db2.gz QLBZIDKASGKPQX-MRXNPFEDSA-N 1 2 321.384 1.832 20 30 DDEDLO COc1cccc(C[NH+]2CCN(CCOCCC#N)CC2)c1 ZINC000879449919 706683487 /nfs/dbraw/zinc/68/34/87/706683487.db2.gz UEHKIBJUWAJKID-UHFFFAOYSA-N 1 2 303.406 1.743 20 30 DDEDLO C[C@H](CC(=O)N1CCN(c2ccc(C#N)nc2)CC1)n1cc[nH+]c1 ZINC000846044596 703230223 /nfs/dbraw/zinc/23/02/23/703230223.db2.gz OKJPERRZQDZTCM-CQSZACIVSA-N 1 2 324.388 1.450 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000846328354 703264969 /nfs/dbraw/zinc/26/49/69/703264969.db2.gz HJKYNEVKCGKOBL-HOTGVXAUSA-N 1 2 324.384 1.509 20 30 DDEDLO CN(C)c1[nH+]cc(CN=Nc2ncc(Br)cn2)n1C ZINC000848419685 703548525 /nfs/dbraw/zinc/54/85/25/703548525.db2.gz BNIGPDFAGYXFMD-UHFFFAOYSA-N 1 2 324.186 1.485 20 30 DDEDLO N#CCOc1ccc(C[NH+]2CCN(c3ncns3)CC2)cc1 ZINC000852125522 703934192 /nfs/dbraw/zinc/93/41/92/703934192.db2.gz SIURQLYMDJNJGF-UHFFFAOYSA-N 1 2 315.402 1.763 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC2CC1(CNC(=O)OC(C)(C)C)C2 ZINC000852526145 704068265 /nfs/dbraw/zinc/06/82/65/704068265.db2.gz HBKLPUDJMLDTHQ-UHFFFAOYSA-N 1 2 309.410 1.278 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC2CC1(CNC(=O)OC(C)(C)C)C2 ZINC000852526145 704068267 /nfs/dbraw/zinc/06/82/67/704068267.db2.gz HBKLPUDJMLDTHQ-UHFFFAOYSA-N 1 2 309.410 1.278 20 30 DDEDLO COC(=O)N(C)CC[N@H+](C)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000852571380 704077858 /nfs/dbraw/zinc/07/78/58/704077858.db2.gz YTOQPARYNIPCIR-GFCCVEGCSA-N 1 2 318.377 1.515 20 30 DDEDLO COC(=O)N(C)CC[N@@H+](C)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000852571380 704077862 /nfs/dbraw/zinc/07/78/62/704077862.db2.gz YTOQPARYNIPCIR-GFCCVEGCSA-N 1 2 318.377 1.515 20 30 DDEDLO C[C@H](C#N)Oc1ccccc1NC[C@H](O)C[N@H+](C)CCC#N ZINC000819465261 704130205 /nfs/dbraw/zinc/13/02/05/704130205.db2.gz JNQRPGQXUVBRGW-KGLIPLIRSA-N 1 2 302.378 1.596 20 30 DDEDLO C[C@H](C#N)Oc1ccccc1NC[C@H](O)C[N@@H+](C)CCC#N ZINC000819465261 704130207 /nfs/dbraw/zinc/13/02/07/704130207.db2.gz JNQRPGQXUVBRGW-KGLIPLIRSA-N 1 2 302.378 1.596 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1cccc2c1OCC[C@@H]2O ZINC000819471822 704131182 /nfs/dbraw/zinc/13/11/82/704131182.db2.gz WLPRSLXVLVNSCD-WFASDCNBSA-N 1 2 305.378 1.121 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1cccc2c1OCC[C@@H]2O ZINC000819471822 704131184 /nfs/dbraw/zinc/13/11/84/704131184.db2.gz WLPRSLXVLVNSCD-WFASDCNBSA-N 1 2 305.378 1.121 20 30 DDEDLO C#CCCCCCC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000871218599 704245067 /nfs/dbraw/zinc/24/50/67/704245067.db2.gz ZBHHUFQXDBJYHU-UHFFFAOYSA-N 1 2 314.433 1.747 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C#N)ccc1F ZINC000854424174 704394995 /nfs/dbraw/zinc/39/49/95/704394995.db2.gz PRUDOZOENQRSLR-OCCSQVGLSA-N 1 2 317.364 1.633 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)c1cccc(CNc2cc[nH+]c(C)n2)c1 ZINC000854543740 704415112 /nfs/dbraw/zinc/41/51/12/704415112.db2.gz FNIBBPLTLNXVFW-INIZCTEOSA-N 1 2 324.384 1.511 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000859019586 704785377 /nfs/dbraw/zinc/78/53/77/704785377.db2.gz UNOGSCUWWSCIIL-LSDHHAIUSA-N 1 2 318.421 1.738 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)NCCCCNc1cccc[nH+]1 ZINC000859030381 704787594 /nfs/dbraw/zinc/78/75/94/704787594.db2.gz FSOLLKOIDYPRGJ-AWEZNQCLSA-N 1 2 304.394 1.611 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nnc(C3CC3)s2)CC1 ZINC000859103538 704797798 /nfs/dbraw/zinc/79/77/98/704797798.db2.gz BLTCRJCIJDGPHM-UHFFFAOYSA-N 1 2 304.419 1.377 20 30 DDEDLO CCNC(=O)[C@H]1Cc2ccccc2C[N@@H+]1CCO[C@H](C)C#N ZINC000823623110 705282609 /nfs/dbraw/zinc/28/26/09/705282609.db2.gz ANUZQCLYCUUUDE-CZUORRHYSA-N 1 2 301.390 1.478 20 30 DDEDLO CCNC(=O)[C@H]1Cc2ccccc2C[N@H+]1CCO[C@H](C)C#N ZINC000823623110 705282610 /nfs/dbraw/zinc/28/26/10/705282610.db2.gz ANUZQCLYCUUUDE-CZUORRHYSA-N 1 2 301.390 1.478 20 30 DDEDLO C#C[C@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)c1ccccc1 ZINC000825526911 705687947 /nfs/dbraw/zinc/68/79/47/705687947.db2.gz VURGRRKEHMYQIS-INIZCTEOSA-N 1 2 315.417 1.771 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)C1 ZINC000826069639 705768824 /nfs/dbraw/zinc/76/88/24/705768824.db2.gz HEXNDOAHRHZEPI-HNNXBMFYSA-N 1 2 307.438 1.542 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)NCC[N@H+]2CCOC(C)(C)C2)C1 ZINC000826069639 705768826 /nfs/dbraw/zinc/76/88/26/705768826.db2.gz HEXNDOAHRHZEPI-HNNXBMFYSA-N 1 2 307.438 1.542 20 30 DDEDLO N#Cc1cnc(Cl)c(C[N@@H+]2CCC[C@@H]2CN2CCOCC2)c1 ZINC000876625738 705789954 /nfs/dbraw/zinc/78/99/54/705789954.db2.gz DMNOSXQYKFYNNM-OAHLLOKOSA-N 1 2 320.824 1.903 20 30 DDEDLO N#Cc1cnc(Cl)c(C[N@H+]2CCC[C@@H]2CN2CCOCC2)c1 ZINC000876625738 705789957 /nfs/dbraw/zinc/78/99/57/705789957.db2.gz DMNOSXQYKFYNNM-OAHLLOKOSA-N 1 2 320.824 1.903 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000826551141 705812187 /nfs/dbraw/zinc/81/21/87/705812187.db2.gz JYJJQQSLNWEZMF-AEFFLSMTSA-N 1 2 318.417 1.249 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000826551141 705812189 /nfs/dbraw/zinc/81/21/89/705812189.db2.gz JYJJQQSLNWEZMF-AEFFLSMTSA-N 1 2 318.417 1.249 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C(=O)OCC ZINC000881753548 707352281 /nfs/dbraw/zinc/35/22/81/707352281.db2.gz RYGVQBKDIXVWLO-STQMWFEESA-N 1 2 305.378 1.460 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)CC1 ZINC000878750705 706488366 /nfs/dbraw/zinc/48/83/66/706488366.db2.gz LEHYGLVGLMJKLS-MLGOLLRUSA-N 1 2 302.422 1.842 20 30 DDEDLO CCCNc1cc(N2CCN(c3cccc(C#N)n3)CC2)nc[nH+]1 ZINC000865678659 706494445 /nfs/dbraw/zinc/49/44/45/706494445.db2.gz NIJSAYDHAKYMDS-UHFFFAOYSA-N 1 2 323.404 1.892 20 30 DDEDLO CCCNc1cc(N2CCN(c3cccc(C#N)n3)CC2)[nH+]cn1 ZINC000865678659 706494449 /nfs/dbraw/zinc/49/44/49/706494449.db2.gz NIJSAYDHAKYMDS-UHFFFAOYSA-N 1 2 323.404 1.892 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880303422 706933910 /nfs/dbraw/zinc/93/39/10/706933910.db2.gz NVNMKMXBLTUUMF-SKDRFNHKSA-N 1 2 323.315 1.040 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880303422 706933914 /nfs/dbraw/zinc/93/39/14/706933914.db2.gz NVNMKMXBLTUUMF-SKDRFNHKSA-N 1 2 323.315 1.040 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cc(F)cc(C#N)c2)[C@@H](C)C1 ZINC000867258939 706940046 /nfs/dbraw/zinc/94/00/46/706940046.db2.gz GUWAZNDRJOMBEE-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cc(F)cc(C#N)c2)[C@@H](C)C1 ZINC000867258939 706940048 /nfs/dbraw/zinc/94/00/48/706940048.db2.gz GUWAZNDRJOMBEE-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO C=C(C)C[C@H](NC(=O)c1cccc2[nH+]ccn21)C(=O)OCC ZINC000881752811 707351177 /nfs/dbraw/zinc/35/11/77/707351177.db2.gz ABWKXVJLDHUBBD-LBPRGKRZSA-N 1 2 301.346 1.962 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NC1CC[N@H+](C)[C@H]1C ZINC000872366697 707392081 /nfs/dbraw/zinc/39/20/81/707392081.db2.gz IJPKMMCHLYAIFB-JTQLQIEISA-N 1 2 311.407 1.591 20 30 DDEDLO COc1cccc(S(C)(=O)=O)c1N=NC1CC[N@@H+](C)[C@H]1C ZINC000872366697 707392086 /nfs/dbraw/zinc/39/20/86/707392086.db2.gz IJPKMMCHLYAIFB-JTQLQIEISA-N 1 2 311.407 1.591 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2c(C)cccc2C#N)C[C@@H]1C ZINC000872468697 707429656 /nfs/dbraw/zinc/42/96/56/707429656.db2.gz XPNIXMMMVWVGHZ-KBPBESRZSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2c(C)cccc2C#N)C[C@@H]1C ZINC000872468697 707429658 /nfs/dbraw/zinc/42/96/58/707429658.db2.gz XPNIXMMMVWVGHZ-KBPBESRZSA-N 1 2 321.446 1.970 20 30 DDEDLO Cc1cc(N2CCN(C(=O)C#CC3CC3)CC2)nc(C(C)C)[nH+]1 ZINC000837320112 707620026 /nfs/dbraw/zinc/62/00/26/707620026.db2.gz QIXCCVNJHAPIOO-UHFFFAOYSA-N 1 2 312.417 1.970 20 30 DDEDLO C#CCC1(O)CCN(c2cc(N3CCSCC3)nc[nH+]2)CC1 ZINC000882970673 707878806 /nfs/dbraw/zinc/87/88/06/707878806.db2.gz KJURIAKBSJLRIN-UHFFFAOYSA-N 1 2 318.446 1.384 20 30 DDEDLO C#CCC1(O)CCN(c2cc(N3CCSCC3)[nH+]cn2)CC1 ZINC000882970673 707878808 /nfs/dbraw/zinc/87/88/08/707878808.db2.gz KJURIAKBSJLRIN-UHFFFAOYSA-N 1 2 318.446 1.384 20 30 DDEDLO C=C(C)C[C@@H](CO)Nc1cc(N[C@H](CO)CC(=C)C)[nH+]cn1 ZINC000883006376 707894319 /nfs/dbraw/zinc/89/43/19/707894319.db2.gz QGECALCYKYYZTL-KBPBESRZSA-N 1 2 306.410 1.955 20 30 DDEDLO CCn1cc(C[N@@H+]2CCC[C@@H](Nc3ccc(C#N)cn3)C2)nn1 ZINC000896649755 708107963 /nfs/dbraw/zinc/10/79/63/708107963.db2.gz ICRHKNPEGYESMS-CQSZACIVSA-N 1 2 311.393 1.641 20 30 DDEDLO CCn1cc(C[N@H+]2CCC[C@@H](Nc3ccc(C#N)cn3)C2)nn1 ZINC000896649755 708107965 /nfs/dbraw/zinc/10/79/65/708107965.db2.gz ICRHKNPEGYESMS-CQSZACIVSA-N 1 2 311.393 1.641 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CC=C)Cc1ccccc1 ZINC000884088174 708133561 /nfs/dbraw/zinc/13/35/61/708133561.db2.gz AJECGFSIUHYNIC-HNNXBMFYSA-N 1 2 302.374 1.648 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H](C)Cc1ccc(C)cc1 ZINC000884146356 708160292 /nfs/dbraw/zinc/16/02/92/708160292.db2.gz HOXSHVHDBHIZJJ-HOCLYGCPSA-N 1 2 318.417 1.831 20 30 DDEDLO COc1c(N)[nH+]cnc1N1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000896872127 708169655 /nfs/dbraw/zinc/16/96/55/708169655.db2.gz ACOILEOOISQICC-CYBMUJFWSA-N 1 2 311.345 1.517 20 30 DDEDLO COc1c(N)nc[nH+]c1N1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000896872127 708169657 /nfs/dbraw/zinc/16/96/57/708169657.db2.gz ACOILEOOISQICC-CYBMUJFWSA-N 1 2 311.345 1.517 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](CCC)c1ccccn1 ZINC000884213112 708193062 /nfs/dbraw/zinc/19/30/62/708193062.db2.gz UCBIGRLZYWXGFZ-GXTWGEPZSA-N 1 2 305.378 1.486 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@H](C)C(F)(F)[C@@H](C)C1 ZINC000884429021 708293361 /nfs/dbraw/zinc/29/33/61/708293361.db2.gz WCBSBDZEZKVFKS-DCAQKATOSA-N 1 2 304.337 1.183 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)C[C@@](C)(C(C)C)C2=O)CC1 ZINC000884478416 708311277 /nfs/dbraw/zinc/31/12/77/708311277.db2.gz ASEOKNOFPWPRJZ-INIZCTEOSA-N 1 2 308.422 1.768 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)C[C@@H](CC(C)C)OC)C1 ZINC000885508788 708561957 /nfs/dbraw/zinc/56/19/57/708561957.db2.gz LCSUCTDXTXWXBO-HUUCEWRRSA-N 1 2 316.467 1.065 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)C[C@@H](CC(C)C)OC)C1 ZINC000885508788 708561958 /nfs/dbraw/zinc/56/19/58/708561958.db2.gz LCSUCTDXTXWXBO-HUUCEWRRSA-N 1 2 316.467 1.065 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cccc(N(C)C)c2)C1 ZINC000885512136 708563014 /nfs/dbraw/zinc/56/30/14/708563014.db2.gz RXMGVEZIAVVGGU-AWEZNQCLSA-N 1 2 321.446 1.129 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cccc(N(C)C)c2)C1 ZINC000885512136 708563017 /nfs/dbraw/zinc/56/30/17/708563017.db2.gz RXMGVEZIAVVGGU-AWEZNQCLSA-N 1 2 321.446 1.129 20 30 DDEDLO N#Cc1cnc(C(=O)N[C@@H]2CCC[N@@H+](CCF)C2)c(Cl)c1 ZINC000898497063 708658756 /nfs/dbraw/zinc/65/87/56/708658756.db2.gz ZHUDLELLEIWRTJ-LLVKDONJSA-N 1 2 310.760 1.770 20 30 DDEDLO N#Cc1cnc(C(=O)N[C@@H]2CCC[N@H+](CCF)C2)c(Cl)c1 ZINC000898497063 708658759 /nfs/dbraw/zinc/65/87/59/708658759.db2.gz ZHUDLELLEIWRTJ-LLVKDONJSA-N 1 2 310.760 1.770 20 30 DDEDLO COC(=O)[C@H]1CSCC[N@@H+]1CCCC1(C#N)CCOCC1 ZINC000887077082 708949034 /nfs/dbraw/zinc/94/90/34/708949034.db2.gz DEDGIQCCXVKQFJ-CYBMUJFWSA-N 1 2 312.435 1.677 20 30 DDEDLO COC(=O)[C@H]1CSCC[N@H+]1CCCC1(C#N)CCOCC1 ZINC000887077082 708949037 /nfs/dbraw/zinc/94/90/37/708949037.db2.gz DEDGIQCCXVKQFJ-CYBMUJFWSA-N 1 2 312.435 1.677 20 30 DDEDLO C#CCSCC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000887691255 709104310 /nfs/dbraw/zinc/10/43/10/709104310.db2.gz FXOQVEYOJGVHLK-MRXNPFEDSA-N 1 2 318.442 1.370 20 30 DDEDLO C#CCSCC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000887691255 709104314 /nfs/dbraw/zinc/10/43/14/709104314.db2.gz FXOQVEYOJGVHLK-MRXNPFEDSA-N 1 2 318.442 1.370 20 30 DDEDLO N#Cc1ccc(F)c(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000888370218 709289950 /nfs/dbraw/zinc/28/99/50/709289950.db2.gz BJYQSQVBNGSGKK-BETUJISGSA-N 1 2 318.352 1.682 20 30 DDEDLO N#Cc1ccc(F)c(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000888370218 709289951 /nfs/dbraw/zinc/28/99/51/709289951.db2.gz BJYQSQVBNGSGKK-BETUJISGSA-N 1 2 318.352 1.682 20 30 DDEDLO O=C(NCC#C[C@H]1CCCCO1)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000891121438 710100203 /nfs/dbraw/zinc/10/02/03/710100203.db2.gz KGTCWLVLIAXRAE-SJORKVTESA-N 1 2 320.433 1.319 20 30 DDEDLO O=C(NCC#C[C@H]1CCCCO1)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000891121438 710100206 /nfs/dbraw/zinc/10/02/06/710100206.db2.gz KGTCWLVLIAXRAE-SJORKVTESA-N 1 2 320.433 1.319 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(Cl)c2)C1 ZINC000891605758 710246687 /nfs/dbraw/zinc/24/66/87/710246687.db2.gz HNOXOSIDXLRZOT-CQSZACIVSA-N 1 2 319.792 1.492 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(Cl)c2)C1 ZINC000891605758 710246690 /nfs/dbraw/zinc/24/66/90/710246690.db2.gz HNOXOSIDXLRZOT-CQSZACIVSA-N 1 2 319.792 1.492 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc3[nH]ccc3c2)C1 ZINC000891608175 710247061 /nfs/dbraw/zinc/24/70/61/710247061.db2.gz XOEPBKWRBKXIRU-OAHLLOKOSA-N 1 2 324.384 1.320 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc3[nH]ccc3c2)C1 ZINC000891608175 710247063 /nfs/dbraw/zinc/24/70/63/710247063.db2.gz XOEPBKWRBKXIRU-OAHLLOKOSA-N 1 2 324.384 1.320 20 30 DDEDLO C=CC[C@H](CO)C[NH2+]Cc1ncc(Br)cc1O ZINC000893284426 710585722 /nfs/dbraw/zinc/58/57/22/710585722.db2.gz RPTHEJYHLGIZHJ-VIFPVBQESA-N 1 2 301.184 1.824 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H]([NH2+]Cc2cc[nH]c(=O)c2)[C@@H](C#N)C1 ZINC000893576655 710720095 /nfs/dbraw/zinc/72/00/95/710720095.db2.gz RWXFSDPTAQEPBW-STQMWFEESA-N 1 2 318.377 1.636 20 30 DDEDLO CON=Cc1ccc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)cc1 ZINC000913442181 713218095 /nfs/dbraw/zinc/21/80/95/713218095.db2.gz YKRZAPRACYHGNX-HNNXBMFYSA-N 1 2 313.361 1.177 20 30 DDEDLO Cc1cccc(S(=O)(=O)NC[C@@H](C)Cn2cc[nH+]c2)c1C#N ZINC000903150369 711048363 /nfs/dbraw/zinc/04/83/63/711048363.db2.gz SNYKKKFJBFGVMD-GFCCVEGCSA-N 1 2 318.402 1.678 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(F)c(C#N)c1 ZINC000928652446 713252207 /nfs/dbraw/zinc/25/22/07/713252207.db2.gz ZPQUTWFULLVNFF-AWEZNQCLSA-N 1 2 305.353 1.538 20 30 DDEDLO C#CC[NH+]1CCC(NC(=O)c2[nH]nc3c2CCCCC3)CC1 ZINC000928652923 713252640 /nfs/dbraw/zinc/25/26/40/713252640.db2.gz RKSHFXRFAGTAIY-UHFFFAOYSA-N 1 2 300.406 1.506 20 30 DDEDLO C=C(C)COc1cc(C[N@@H+]2C[C@H](O)C[C@H]2C(N)=O)ccc1OC ZINC000895368550 711495879 /nfs/dbraw/zinc/49/58/79/711495879.db2.gz SBQXGXAZPOXNAQ-KGLIPLIRSA-N 1 2 320.389 1.071 20 30 DDEDLO C=C(C)COc1cc(C[N@H+]2C[C@H](O)C[C@H]2C(N)=O)ccc1OC ZINC000895368550 711495880 /nfs/dbraw/zinc/49/58/80/711495880.db2.gz SBQXGXAZPOXNAQ-KGLIPLIRSA-N 1 2 320.389 1.071 20 30 DDEDLO CC(C)(C#N)c1ccc(C[NH+]2CC(C3CS(=O)(=O)C3)C2)cc1 ZINC000895423942 711509228 /nfs/dbraw/zinc/50/92/28/711509228.db2.gz GTXCGTUFVNMZKT-UHFFFAOYSA-N 1 2 318.442 1.964 20 30 DDEDLO C=C(C)C[C@@H]([NH2+]C1CC(n2cc([N+](=O)[O-])cn2)C1)C(=O)OCC ZINC000895591555 711552373 /nfs/dbraw/zinc/55/23/73/711552373.db2.gz AUAQHGAZJPGDQD-ORHYLEIMSA-N 1 2 322.365 1.982 20 30 DDEDLO CCc1nnc(N[C@H](CC)C[NH+]2CCOCC2)c(C#N)c1CC ZINC000895804310 711610747 /nfs/dbraw/zinc/61/07/47/711610747.db2.gz IZROCYICSNSXOS-CYBMUJFWSA-N 1 2 317.437 1.996 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000906010282 712219865 /nfs/dbraw/zinc/21/98/65/712219865.db2.gz FMNOQHLGJUKXLC-HOCLYGCPSA-N 1 2 303.406 1.859 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000907136063 712496027 /nfs/dbraw/zinc/49/60/27/712496027.db2.gz HFUZEFRBKJPQHV-ZDUSSCGKSA-N 1 2 301.371 1.158 20 30 DDEDLO N#Cc1cc(CS(=O)(=O)N2CC(n3cc[nH+]c3)C2)cs1 ZINC000914350981 713382605 /nfs/dbraw/zinc/38/26/05/713382605.db2.gz DEBZAMYNPWMGNN-UHFFFAOYSA-N 1 2 308.388 1.203 20 30 DDEDLO N#Cc1cc(CS(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)cs1 ZINC000914398067 713389676 /nfs/dbraw/zinc/38/96/76/713389676.db2.gz WXVCBJXTLKJPFF-NSHDSACASA-N 1 2 322.415 1.251 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccccc2C#N)C[C@@H]1C(C)(C)C ZINC000919590132 713618098 /nfs/dbraw/zinc/61/80/98/713618098.db2.gz BBJJKXLWGDZUGG-OAHLLOKOSA-N 1 2 321.446 1.909 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccccc2C#N)C[C@@H]1C(C)(C)C ZINC000919590132 713618100 /nfs/dbraw/zinc/61/81/00/713618100.db2.gz BBJJKXLWGDZUGG-OAHLLOKOSA-N 1 2 321.446 1.909 20 30 DDEDLO C#CC1(F)CN(C(=O)c2ccc(NCCn3cc[nH+]c3)cc2)C1 ZINC000919967931 713643883 /nfs/dbraw/zinc/64/38/83/713643883.db2.gz QHUXGOAFZSYCRT-UHFFFAOYSA-N 1 2 312.348 1.793 20 30 DDEDLO N#CC[C@@H](O)C[NH+]1CCN([C@@H](CO)c2ccc(Cl)cc2)CC1 ZINC000929626724 713654302 /nfs/dbraw/zinc/65/43/02/713654302.db2.gz OUOAOBFSDIUXOA-CVEARBPZSA-N 1 2 323.824 1.266 20 30 DDEDLO C#CCC[N@@H+](Cc1ccc(OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000920415972 713667682 /nfs/dbraw/zinc/66/76/82/713667682.db2.gz OOJYDCJMMUVZKF-HNNXBMFYSA-N 1 2 307.415 1.708 20 30 DDEDLO C#CCC[N@H+](Cc1ccc(OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000920415972 713667683 /nfs/dbraw/zinc/66/76/83/713667683.db2.gz OOJYDCJMMUVZKF-HNNXBMFYSA-N 1 2 307.415 1.708 20 30 DDEDLO C=C(C)COCCNC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000921480855 713781937 /nfs/dbraw/zinc/78/19/37/713781937.db2.gz UPLVHRQRVLYAMF-UHFFFAOYSA-N 1 2 312.439 1.597 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C)C(=O)Nc2ccnn2C(C)C)CC1 ZINC000931143204 714014047 /nfs/dbraw/zinc/01/40/47/714014047.db2.gz XENHITYOGGYLRS-CYBMUJFWSA-N 1 2 304.394 1.251 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cc(C#N)ccc1F ZINC000931712957 714167228 /nfs/dbraw/zinc/16/72/28/714167228.db2.gz MPPUJGAQHGEQNN-GFCCVEGCSA-N 1 2 320.368 1.882 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1sccc1C#N ZINC000931830694 714193014 /nfs/dbraw/zinc/19/30/14/714193014.db2.gz BOGIXSSUXKJFRH-GFCCVEGCSA-N 1 2 308.407 1.852 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1sccc1C#N ZINC000931830694 714193016 /nfs/dbraw/zinc/19/30/16/714193016.db2.gz BOGIXSSUXKJFRH-GFCCVEGCSA-N 1 2 308.407 1.852 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[NH2+][C@H](c2ncccn2)C2CC2)c1 ZINC000932361378 714317900 /nfs/dbraw/zinc/31/79/00/714317900.db2.gz VBEKLXVWJBXSSD-KRWDZBQOSA-N 1 2 321.384 1.705 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2conc2C(F)(F)F)C1 ZINC000923560125 714403645 /nfs/dbraw/zinc/40/36/45/714403645.db2.gz NNZVQJPURCKNMN-SECBINFHSA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2conc2C(F)(F)F)C1 ZINC000923560125 714403646 /nfs/dbraw/zinc/40/36/46/714403646.db2.gz NNZVQJPURCKNMN-SECBINFHSA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C2(c3ccccc3)CC(=O)C2)C1 ZINC000923560203 714403718 /nfs/dbraw/zinc/40/37/18/714403718.db2.gz QCHQBVOWMCUYOG-MRXNPFEDSA-N 1 2 310.397 1.501 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C2(c3ccccc3)CC(=O)C2)C1 ZINC000923560203 714403720 /nfs/dbraw/zinc/40/37/20/714403720.db2.gz QCHQBVOWMCUYOG-MRXNPFEDSA-N 1 2 310.397 1.501 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000923564457 714406664 /nfs/dbraw/zinc/40/66/64/714406664.db2.gz RDXHKTSMNXYGQP-CQSZACIVSA-N 1 2 309.373 1.299 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000923564457 714406667 /nfs/dbraw/zinc/40/66/67/714406667.db2.gz RDXHKTSMNXYGQP-CQSZACIVSA-N 1 2 309.373 1.299 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC000923567881 714408871 /nfs/dbraw/zinc/40/88/71/714408871.db2.gz WTMBLHLJUNSWTE-QWHCGFSZSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC000923567881 714408874 /nfs/dbraw/zinc/40/88/74/714408874.db2.gz WTMBLHLJUNSWTE-QWHCGFSZSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]c(C)c(C(C)=O)c2CC)C1 ZINC000923587256 714418443 /nfs/dbraw/zinc/41/84/43/714418443.db2.gz XHGNNSARGIXAHQ-CQSZACIVSA-N 1 2 315.417 1.916 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]c(C)c(C(C)=O)c2CC)C1 ZINC000923587256 714418444 /nfs/dbraw/zinc/41/84/44/714418444.db2.gz XHGNNSARGIXAHQ-CQSZACIVSA-N 1 2 315.417 1.916 20 30 DDEDLO O=C(NCC#CCO)N1CCC(c2[nH+]cc3n2CCCC3)CC1 ZINC000923769547 714467127 /nfs/dbraw/zinc/46/71/27/714467127.db2.gz FDCSUTNMKKTMEF-UHFFFAOYSA-N 1 2 316.405 1.104 20 30 DDEDLO C=CCC1(O)CCN(C(=O)/C=C(/C)C[NH+]2CCOCC2)CC1 ZINC000924439326 714582232 /nfs/dbraw/zinc/58/22/32/714582232.db2.gz KFTKSYWXADADJY-SQFISAMPSA-N 1 2 308.422 1.195 20 30 DDEDLO NC(=O)[C@@H](c1ccccc1)[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000933649904 714638996 /nfs/dbraw/zinc/63/89/96/714638996.db2.gz JCZVKNSHKWCGEG-OAHLLOKOSA-N 1 2 300.358 1.551 20 30 DDEDLO CC(C)(C)[C@@H]1CNC(=O)C[N@@H+]1CCOc1cccc(C#N)c1 ZINC000933825001 714676794 /nfs/dbraw/zinc/67/67/94/714676794.db2.gz BZVPVSWMBPGDNJ-HNNXBMFYSA-N 1 2 301.390 1.784 20 30 DDEDLO CC(C)(C)[C@@H]1CNC(=O)C[N@H+]1CCOc1cccc(C#N)c1 ZINC000933825001 714676800 /nfs/dbraw/zinc/67/68/00/714676800.db2.gz BZVPVSWMBPGDNJ-HNNXBMFYSA-N 1 2 301.390 1.784 20 30 DDEDLO CO[C@@H]1CCOC[C@H]1[NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000934039759 714728724 /nfs/dbraw/zinc/72/87/24/714728724.db2.gz BHMAOOIKMPVVTC-ZIAGYGMSSA-N 1 2 314.345 1.502 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1Cc2ncccc2N2CCC[C@H]2C1 ZINC000934492798 714833008 /nfs/dbraw/zinc/83/30/08/714833008.db2.gz GRZVSTUDVUYZAG-XJKSGUPXSA-N 1 2 301.390 1.984 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1Cc2ncccc2N2CCC[C@H]2C1 ZINC000934492798 714833009 /nfs/dbraw/zinc/83/30/09/714833009.db2.gz GRZVSTUDVUYZAG-XJKSGUPXSA-N 1 2 301.390 1.984 20 30 DDEDLO Cn1cc(C[N@@H+]2CC[C@@H](O)[C@@H](F)C2)c(-c2ccc(C#N)cc2)n1 ZINC000934571074 714854027 /nfs/dbraw/zinc/85/40/27/714854027.db2.gz ONDAIJCWBIEMCL-JKSUJKDBSA-N 1 2 314.364 1.863 20 30 DDEDLO Cn1cc(C[N@H+]2CC[C@@H](O)[C@@H](F)C2)c(-c2ccc(C#N)cc2)n1 ZINC000934571074 714854029 /nfs/dbraw/zinc/85/40/29/714854029.db2.gz ONDAIJCWBIEMCL-JKSUJKDBSA-N 1 2 314.364 1.863 20 30 DDEDLO CC#CC[NH2+][C@H](CO)c1ccccc1I ZINC000934828431 714911820 /nfs/dbraw/zinc/91/18/20/714911820.db2.gz QOVKNEKJPIECAM-GFCCVEGCSA-N 1 2 315.154 1.938 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N(C)[C@@H](C)C[NH+]1CCOCC1 ZINC000935712778 715120586 /nfs/dbraw/zinc/12/05/86/715120586.db2.gz JCGCJSJLBUVAAD-AWEZNQCLSA-N 1 2 301.390 1.659 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccnc(-n3ccnc3)c2)C1 ZINC000957399801 715882420 /nfs/dbraw/zinc/88/24/20/715882420.db2.gz YLHONCQUGNRIKG-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncc3n2CCCC3)C1 ZINC000957411340 715887694 /nfs/dbraw/zinc/88/76/94/715887694.db2.gz XFWBLRBTGCVODZ-UHFFFAOYSA-N 1 2 300.406 1.389 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cn2ccc(C(F)(F)F)n2)CC1 ZINC000957567953 715954625 /nfs/dbraw/zinc/95/46/25/715954625.db2.gz IXKLQJROPOAFAG-UHFFFAOYSA-N 1 2 302.300 1.232 20 30 DDEDLO C[N@@H+](Cc1cscn1)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960613113 716630293 /nfs/dbraw/zinc/63/02/93/716630293.db2.gz LNMMMRISEIMFDR-HNNXBMFYSA-N 1 2 303.431 1.979 20 30 DDEDLO C[N@H+](Cc1cscn1)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960613113 716630295 /nfs/dbraw/zinc/63/02/95/716630295.db2.gz LNMMMRISEIMFDR-HNNXBMFYSA-N 1 2 303.431 1.979 20 30 DDEDLO CCn1cc(C[N@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)nn1 ZINC000960612817 716630518 /nfs/dbraw/zinc/63/05/18/716630518.db2.gz JVEJXXKVHXJWNB-MRXNPFEDSA-N 1 2 315.421 1.134 20 30 DDEDLO CCn1cc(C[N@@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)nn1 ZINC000960612817 716630521 /nfs/dbraw/zinc/63/05/21/716630521.db2.gz JVEJXXKVHXJWNB-MRXNPFEDSA-N 1 2 315.421 1.134 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(C#N)c1 ZINC000958617517 716667234 /nfs/dbraw/zinc/66/72/34/716667234.db2.gz HMKITWUJRNBMKU-NHAGDIPZSA-N 1 2 311.345 1.043 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(C#N)c1 ZINC000958617517 716667237 /nfs/dbraw/zinc/66/72/37/716667237.db2.gz HMKITWUJRNBMKU-NHAGDIPZSA-N 1 2 311.345 1.043 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccc(CC)o3)CC2)C1 ZINC000941463370 717181532 /nfs/dbraw/zinc/18/15/32/717181532.db2.gz SYCHOQBFOAMCRK-UHFFFAOYSA-N 1 2 315.417 1.307 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)C2CCOCC2)C1 ZINC000964628951 717336806 /nfs/dbraw/zinc/33/68/06/717336806.db2.gz VTGWIDVAZPPZEF-FZMZJTMJSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)C2CCOCC2)C1 ZINC000964628951 717336799 /nfs/dbraw/zinc/33/67/99/717336799.db2.gz VTGWIDVAZPPZEF-FZMZJTMJSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[NH2+]C1CC2(CC(NC(=O)c3[nH]ncc3F)C2)C1 ZINC000964933132 717482173 /nfs/dbraw/zinc/48/21/73/717482173.db2.gz DNYKUIXEIPIWNM-UHFFFAOYSA-N 1 2 312.776 1.932 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cn[nH]c1 ZINC000965374629 717634501 /nfs/dbraw/zinc/63/45/01/717634501.db2.gz STZSGOABOJXKPW-CXAGYDPISA-N 1 2 323.400 1.922 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cn[nH]c1 ZINC000965374629 717634505 /nfs/dbraw/zinc/63/45/05/717634505.db2.gz STZSGOABOJXKPW-CXAGYDPISA-N 1 2 323.400 1.922 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000942513486 717712086 /nfs/dbraw/zinc/71/20/86/717712086.db2.gz BLICYCWWUMAPSO-MLGOLLRUSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3nnc(C)[nH]3)C[C@H]2C)C1 ZINC000942608601 717776028 /nfs/dbraw/zinc/77/60/28/717776028.db2.gz XNFZLYNBFJALCO-OCCSQVGLSA-N 1 2 317.437 1.796 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3nnc(C)[nH]3)C[C@H]2C)C1 ZINC000942608601 717776030 /nfs/dbraw/zinc/77/60/30/717776030.db2.gz XNFZLYNBFJALCO-OCCSQVGLSA-N 1 2 317.437 1.796 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC000965846134 717780480 /nfs/dbraw/zinc/78/04/80/717780480.db2.gz VUYZCSHWIUYFSW-GWCFXTLKSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC000965846134 717780487 /nfs/dbraw/zinc/78/04/87/717780487.db2.gz VUYZCSHWIUYFSW-GWCFXTLKSA-N 1 2 309.797 1.980 20 30 DDEDLO C[C@H]1CN(CC#N)CC[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC000944121976 718257713 /nfs/dbraw/zinc/25/77/13/718257713.db2.gz NSLCXXXUOJPVCJ-DZGCQCFKSA-N 1 2 324.388 1.231 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2nc3cccnc3s2)C1 ZINC000966724703 718641587 /nfs/dbraw/zinc/64/15/87/718641587.db2.gz SFYITHJRHKPGQJ-WCQYABFASA-N 1 2 314.414 1.765 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2nc3cccnc3s2)C1 ZINC000966724703 718641591 /nfs/dbraw/zinc/64/15/91/718641591.db2.gz SFYITHJRHKPGQJ-WCQYABFASA-N 1 2 314.414 1.765 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2cn[nH]n2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947484013 719180769 /nfs/dbraw/zinc/18/07/69/719180769.db2.gz GTLGFALTPSLKKC-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2cn[nH]n2)CC[N@H+]1Cc1ccccc1C#N ZINC000947484013 719180771 /nfs/dbraw/zinc/18/07/71/719180771.db2.gz GTLGFALTPSLKKC-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2cnn[nH]2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947484013 719180774 /nfs/dbraw/zinc/18/07/74/719180774.db2.gz GTLGFALTPSLKKC-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2cnn[nH]2)CC[N@H+]1Cc1ccccc1C#N ZINC000947484013 719180778 /nfs/dbraw/zinc/18/07/78/719180778.db2.gz GTLGFALTPSLKKC-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC000968467281 719602533 /nfs/dbraw/zinc/60/25/33/719602533.db2.gz ZMNQQKLRBXMFII-JOYOIKCWSA-N 1 2 314.349 1.143 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc(NC(C)=O)cn2)CC1 ZINC000948661258 719625587 /nfs/dbraw/zinc/62/55/87/719625587.db2.gz NTXXFFPQBLFDHQ-UHFFFAOYSA-N 1 2 302.378 1.374 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc(OC)nn2)C1 ZINC000968508571 719632089 /nfs/dbraw/zinc/63/20/89/719632089.db2.gz BIVHFPGWSDAPEG-GWCFXTLKSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc(OC)nn2)C1 ZINC000968508571 719632094 /nfs/dbraw/zinc/63/20/94/719632094.db2.gz BIVHFPGWSDAPEG-GWCFXTLKSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC000968593648 719682703 /nfs/dbraw/zinc/68/27/03/719682703.db2.gz YWIAYGAZZJDGMH-GWCFXTLKSA-N 1 2 321.812 1.855 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC000968593648 719682706 /nfs/dbraw/zinc/68/27/06/719682706.db2.gz YWIAYGAZZJDGMH-GWCFXTLKSA-N 1 2 321.812 1.855 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC000968627428 719699822 /nfs/dbraw/zinc/69/98/22/719699822.db2.gz YPLVBLLLWZDCDJ-CYBMUJFWSA-N 1 2 300.362 1.051 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1c[nH]nc1C ZINC000948946745 719796378 /nfs/dbraw/zinc/79/63/78/719796378.db2.gz OSDUDZBRFMSEDS-QGZVFWFLSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1c[nH]nc1C ZINC000948946745 719796379 /nfs/dbraw/zinc/79/63/79/719796379.db2.gz OSDUDZBRFMSEDS-QGZVFWFLSA-N 1 2 308.385 1.681 20 30 DDEDLO C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)[C@@H]1CCCS1(=O)=O ZINC000969153819 719979566 /nfs/dbraw/zinc/97/95/66/719979566.db2.gz PMENBLICDKNDJS-KGLIPLIRSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CC[N@H+]1CCCCC[C@@H]1CNC(=O)[C@@H]1CCCS1(=O)=O ZINC000969153819 719979570 /nfs/dbraw/zinc/97/95/70/719979570.db2.gz PMENBLICDKNDJS-KGLIPLIRSA-N 1 2 314.451 1.110 20 30 DDEDLO C[C@@H](NC(=O)c1cn(C)cn1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969497574 720160571 /nfs/dbraw/zinc/16/05/71/720160571.db2.gz VRSRYCFXMXLPBV-CYBMUJFWSA-N 1 2 323.400 1.542 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cccc3n[nH]nc32)C1 ZINC000969732286 720269612 /nfs/dbraw/zinc/26/96/12/720269612.db2.gz BIJDKEPGCOZNED-SNVBAGLBSA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc3n(n2)CCO3)C1 ZINC000969850903 720533702 /nfs/dbraw/zinc/53/37/02/720533702.db2.gz FWPIRLRGWISJFR-JTQLQIEISA-N 1 2 310.785 1.078 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cccc(C(N)=O)c2)C1 ZINC000969986004 720586297 /nfs/dbraw/zinc/58/62/97/720586297.db2.gz UXQZTVHPBRWZSS-LLVKDONJSA-N 1 2 321.808 1.588 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnn(CCF)c2)C1 ZINC000970012734 720598316 /nfs/dbraw/zinc/59/83/16/720598316.db2.gz NHXFVUBMSRCMMF-NSHDSACASA-N 1 2 314.792 1.655 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC000970177557 720654587 /nfs/dbraw/zinc/65/45/87/720654587.db2.gz XYKCGPFXYFREDS-NZBPQXDJSA-N 1 2 312.841 1.991 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cccc(=O)n2C)C1 ZINC000970403580 720748638 /nfs/dbraw/zinc/74/86/38/720748638.db2.gz CRNSJYJNQLBIDZ-NSHDSACASA-N 1 2 309.797 1.188 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cncc(OC)n2)C1 ZINC000970534808 720801710 /nfs/dbraw/zinc/80/17/10/720801710.db2.gz IOEMARQDGVBHTB-SNVBAGLBSA-N 1 2 310.785 1.288 20 30 DDEDLO C=CCN1CC(N(CC)C(=O)[C@H]2COCC[N@H+]2CCCC)C1 ZINC000950989269 720865868 /nfs/dbraw/zinc/86/58/68/720865868.db2.gz JFFFALASNKWITM-MRXNPFEDSA-N 1 2 309.454 1.206 20 30 DDEDLO C=CCN1CC(N(CC)C(=O)[C@H]2COCC[N@@H+]2CCCC)C1 ZINC000950989269 720865869 /nfs/dbraw/zinc/86/58/69/720865869.db2.gz JFFFALASNKWITM-MRXNPFEDSA-N 1 2 309.454 1.206 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ncccc2OC)C1 ZINC000970798346 720939860 /nfs/dbraw/zinc/93/98/60/720939860.db2.gz RWFWDXFZFJEBKK-LLVKDONJSA-N 1 2 309.797 1.893 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC000970815607 720945914 /nfs/dbraw/zinc/94/59/14/720945914.db2.gz GOPSWJSKCQLFOR-YPMHNXCESA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2c[nH]nc2[C@@H]2CCCO2)C1 ZINC000951365937 721003668 /nfs/dbraw/zinc/00/36/68/721003668.db2.gz UGZWCLLHSFBOHJ-HNNXBMFYSA-N 1 2 318.421 1.984 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC000951557621 721072348 /nfs/dbraw/zinc/07/23/48/721072348.db2.gz NWWQAIQSYUGJLN-ZDUSSCGKSA-N 1 2 303.410 1.333 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccnc(N(C)C)c2)C1 ZINC000952391711 721434163 /nfs/dbraw/zinc/43/41/63/721434163.db2.gz OGSSPSRYBXTQAA-UHFFFAOYSA-N 1 2 300.406 1.317 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCCOC3)C2)C1 ZINC000972616795 735355377 /nfs/dbraw/zinc/35/53/77/735355377.db2.gz HETIAJZGGZVOHR-FUHWJXTLSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCCOC3)C2)C1 ZINC000972616795 735355382 /nfs/dbraw/zinc/35/53/82/735355382.db2.gz HETIAJZGGZVOHR-FUHWJXTLSA-N 1 2 322.449 1.683 20 30 DDEDLO CN(CC#N)[C@@H]1CCCN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001027573528 738421960 /nfs/dbraw/zinc/42/19/60/738421960.db2.gz HQQIKMWPMXFXSG-OLZOCXBDSA-N 1 2 301.394 1.276 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001027831580 738708489 /nfs/dbraw/zinc/70/84/89/738708489.db2.gz RTDYIHVSIWRZQE-GXTWGEPZSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001027831580 738708491 /nfs/dbraw/zinc/70/84/91/738708491.db2.gz RTDYIHVSIWRZQE-GXTWGEPZSA-N 1 2 324.388 1.632 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@@H]2C[C@H](C)CCN2C(=O)[C@@H](C)C#N)o1 ZINC001087135846 733463990 /nfs/dbraw/zinc/46/39/90/733463990.db2.gz BGEZPBXLVWSGES-MDZLAQPJSA-N 1 2 305.382 1.254 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnc(C)nc1OC ZINC001027925506 738818136 /nfs/dbraw/zinc/81/81/36/738818136.db2.gz ZLPIVMVQZPQJPE-GFCCVEGCSA-N 1 2 324.812 1.740 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc(C)nc1OC ZINC001027925506 738818141 /nfs/dbraw/zinc/81/81/41/738818141.db2.gz ZLPIVMVQZPQJPE-GFCCVEGCSA-N 1 2 324.812 1.740 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCCS1(=O)=O ZINC001027928635 738822825 /nfs/dbraw/zinc/82/28/25/738822825.db2.gz VBPGNPLGLRGYGK-UONOGXRCSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCCS1(=O)=O ZINC001027928635 738822828 /nfs/dbraw/zinc/82/28/28/738822828.db2.gz VBPGNPLGLRGYGK-UONOGXRCSA-N 1 2 314.451 1.110 20 30 DDEDLO N#Cc1nc(Br)cnc1NCc1cc[nH+]c(N)c1 ZINC001167617880 734836122 /nfs/dbraw/zinc/83/61/22/734836122.db2.gz ZVLVDNIJERZKJT-UHFFFAOYSA-N 1 2 305.139 1.700 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cnc(C4CC4)s3)[C@H]2C1 ZINC001083254478 734908075 /nfs/dbraw/zinc/90/80/75/734908075.db2.gz VDBDROFIQOIQID-QWHCGFSZSA-N 1 2 319.430 1.732 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cnc(C4CC4)s3)[C@H]2C1 ZINC001083254478 734908077 /nfs/dbraw/zinc/90/80/77/734908077.db2.gz VDBDROFIQOIQID-QWHCGFSZSA-N 1 2 319.430 1.732 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnc2n[nH]cc2c1 ZINC001027962185 738872421 /nfs/dbraw/zinc/87/24/21/738872421.db2.gz NKVRSVBPTBILRY-ZDUSSCGKSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnc2n[nH]cc2c1 ZINC001027962185 738872423 /nfs/dbraw/zinc/87/24/23/738872423.db2.gz NKVRSVBPTBILRY-ZDUSSCGKSA-N 1 2 319.796 1.905 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1cc(OC)ns1 ZINC001024445051 735832548 /nfs/dbraw/zinc/83/25/48/735832548.db2.gz GYCLGRBGNIYCHV-GFCCVEGCSA-N 1 2 307.419 1.759 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1cc(OC)ns1 ZINC001024445051 735832550 /nfs/dbraw/zinc/83/25/50/735832550.db2.gz GYCLGRBGNIYCHV-GFCCVEGCSA-N 1 2 307.419 1.759 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4c(c3)CCC4)[C@H]2C1 ZINC001083271608 736046295 /nfs/dbraw/zinc/04/62/95/736046295.db2.gz RUDZYLRLMBFTGR-RBUKOAKNSA-N 1 2 324.424 1.724 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4c(c3)CCC4)[C@H]2C1 ZINC001083271608 736046299 /nfs/dbraw/zinc/04/62/99/736046299.db2.gz RUDZYLRLMBFTGR-RBUKOAKNSA-N 1 2 324.424 1.724 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@]3(CNC(=O)O3)C2)c(C#N)c1 ZINC001137704723 736273377 /nfs/dbraw/zinc/27/33/77/736273377.db2.gz RSGIWJDMUGYBQX-INIZCTEOSA-N 1 2 301.346 1.641 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@]3(CNC(=O)O3)C2)c(C#N)c1 ZINC001137704723 736273379 /nfs/dbraw/zinc/27/33/79/736273379.db2.gz RSGIWJDMUGYBQX-INIZCTEOSA-N 1 2 301.346 1.641 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)CSCC#N)CC2)cc[nH+]1 ZINC001111979922 736317247 /nfs/dbraw/zinc/31/72/47/736317247.db2.gz OQNPLOYGYIIFJB-ZDUSSCGKSA-N 1 2 319.434 1.517 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCCC[C@@H]1[C@@H]1CCCN1CC#N ZINC001025287976 736408388 /nfs/dbraw/zinc/40/83/88/736408388.db2.gz IGKNGNQMTUHALM-JKSUJKDBSA-N 1 2 315.421 1.332 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCC[C@@H]1[C@@H]1CCCN1CC#N ZINC001025293813 736410981 /nfs/dbraw/zinc/41/09/81/736410981.db2.gz USNLOUGIZZPBAO-JKSUJKDBSA-N 1 2 315.421 1.630 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)oc2nc[nH]c(=O)c21 ZINC001038345902 737077414 /nfs/dbraw/zinc/07/74/14/737077414.db2.gz RVSNOJFJAGKDPY-NSHDSACASA-N 1 2 314.345 1.064 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)oc2nc[nH]c(=O)c21 ZINC001038345902 737077421 /nfs/dbraw/zinc/07/74/21/737077421.db2.gz RVSNOJFJAGKDPY-NSHDSACASA-N 1 2 314.345 1.064 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4c3CCC4)[C@H]2C1 ZINC001083289317 737092536 /nfs/dbraw/zinc/09/25/36/737092536.db2.gz GXGCZHKKECEMTF-ZWKOTPCHSA-N 1 2 310.397 1.334 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4c3CCC4)[C@H]2C1 ZINC001083289317 737092539 /nfs/dbraw/zinc/09/25/39/737092539.db2.gz GXGCZHKKECEMTF-ZWKOTPCHSA-N 1 2 310.397 1.334 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-n2cccn2)cc1 ZINC001038355276 737145111 /nfs/dbraw/zinc/14/51/11/737145111.db2.gz YJRHMEWZEZVELG-KRWDZBQOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-n2cccn2)cc1 ZINC001038355276 737145113 /nfs/dbraw/zinc/14/51/13/737145113.db2.gz YJRHMEWZEZVELG-KRWDZBQOSA-N 1 2 308.385 1.700 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3coc4ccccc34)[C@H]2C1 ZINC001083291887 737233507 /nfs/dbraw/zinc/23/35/07/737233507.db2.gz DMPPEQKLDHGCHM-DOTOQJQBSA-N 1 2 310.353 1.591 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3coc4ccccc34)[C@H]2C1 ZINC001083291887 737233510 /nfs/dbraw/zinc/23/35/10/737233510.db2.gz DMPPEQKLDHGCHM-DOTOQJQBSA-N 1 2 310.353 1.591 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001104871030 737314316 /nfs/dbraw/zinc/31/43/16/737314316.db2.gz HABKEAVYVHKVQO-MRVWCRGKSA-N 1 2 324.388 1.739 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001104871030 737314320 /nfs/dbraw/zinc/31/43/20/737314320.db2.gz HABKEAVYVHKVQO-MRVWCRGKSA-N 1 2 324.388 1.739 20 30 DDEDLO C[C@@]1(O)COCC[N@H+](Cc2sc(N)c(C#N)c2Cl)C1 ZINC001141106771 737387555 /nfs/dbraw/zinc/38/75/55/737387555.db2.gz HVTNLXWDGJAQNQ-LBPRGKRZSA-N 1 2 301.799 1.439 20 30 DDEDLO C[C@@]1(O)COCC[N@@H+](Cc2sc(N)c(C#N)c2Cl)C1 ZINC001141106771 737387557 /nfs/dbraw/zinc/38/75/57/737387557.db2.gz HVTNLXWDGJAQNQ-LBPRGKRZSA-N 1 2 301.799 1.439 20 30 DDEDLO C[N@H+](Cc1nccs1)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405240 738269133 /nfs/dbraw/zinc/26/91/33/738269133.db2.gz FRAKXYLATFSCGB-CQSZACIVSA-N 1 2 303.431 1.979 20 30 DDEDLO C[N@@H+](Cc1nccs1)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405240 738269135 /nfs/dbraw/zinc/26/91/35/738269135.db2.gz FRAKXYLATFSCGB-CQSZACIVSA-N 1 2 303.431 1.979 20 30 DDEDLO CN(CC#N)[C@H]1CCCN(C(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001027422084 738278640 /nfs/dbraw/zinc/27/86/40/738278640.db2.gz WRDRDKNFAKUNCM-HNNXBMFYSA-N 1 2 315.421 1.208 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1nc2ccccn2c1F ZINC001038877436 739052831 /nfs/dbraw/zinc/05/28/31/739052831.db2.gz FLZUURVSTHUHPX-LBPRGKRZSA-N 1 2 300.337 1.301 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1nc2ccccn2c1F ZINC001038877436 739052835 /nfs/dbraw/zinc/05/28/35/739052835.db2.gz FLZUURVSTHUHPX-LBPRGKRZSA-N 1 2 300.337 1.301 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1nc(CC(F)(F)F)no1 ZINC001126343768 739251402 /nfs/dbraw/zinc/25/14/02/739251402.db2.gz GXTFAEYISRUCAZ-UHFFFAOYSA-N 1 2 318.299 1.184 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1c(C)nn(C)c1F ZINC001028290808 739303260 /nfs/dbraw/zinc/30/32/60/739303260.db2.gz NUHXEKIHDQAJNS-NSHDSACASA-N 1 2 314.792 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1c(C)nn(C)c1F ZINC001028290808 739303262 /nfs/dbraw/zinc/30/32/62/739303262.db2.gz NUHXEKIHDQAJNS-NSHDSACASA-N 1 2 314.792 1.814 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001035369466 751433647 /nfs/dbraw/zinc/43/36/47/751433647.db2.gz CMVARHHYEFOQBH-LSDHHAIUSA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001035369466 751433652 /nfs/dbraw/zinc/43/36/52/751433652.db2.gz CMVARHHYEFOQBH-LSDHHAIUSA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cc(CC)n(C)n1 ZINC001028350479 739387462 /nfs/dbraw/zinc/38/74/62/739387462.db2.gz IMRUPYZYPKIQPR-ZDUSSCGKSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc(CC)n(C)n1 ZINC001028350479 739387464 /nfs/dbraw/zinc/38/74/64/739387464.db2.gz IMRUPYZYPKIQPR-ZDUSSCGKSA-N 1 2 310.829 1.929 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)C=C(C)C ZINC001211807552 739560764 /nfs/dbraw/zinc/56/07/64/739560764.db2.gz BXELCBXWOMKYOI-HUUCEWRRSA-N 1 2 319.430 1.901 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)C=C(C)C ZINC001211807552 739560769 /nfs/dbraw/zinc/56/07/69/739560769.db2.gz BXELCBXWOMKYOI-HUUCEWRRSA-N 1 2 319.430 1.901 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001126385669 739682140 /nfs/dbraw/zinc/68/21/40/739682140.db2.gz XNEOSTIWAXGLIN-ZBEGNZNMSA-N 1 2 324.425 1.461 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccncc2)on1 ZINC001032594340 751477134 /nfs/dbraw/zinc/47/71/34/751477134.db2.gz BVFAMSKSCZETNK-GJZGRUSLSA-N 1 2 322.368 1.659 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccncc2)on1 ZINC001032594340 751477137 /nfs/dbraw/zinc/47/71/37/751477137.db2.gz BVFAMSKSCZETNK-GJZGRUSLSA-N 1 2 322.368 1.659 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn3cc(C)ccc3n2)C1 ZINC001035420968 751479067 /nfs/dbraw/zinc/47/90/67/751479067.db2.gz PUHHIODTSNDRJQ-CQSZACIVSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn3cc(C)ccc3n2)C1 ZINC001035420968 751479071 /nfs/dbraw/zinc/47/90/71/751479071.db2.gz PUHHIODTSNDRJQ-CQSZACIVSA-N 1 2 314.389 1.259 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnc3ccccn32)C1 ZINC001035426119 751484208 /nfs/dbraw/zinc/48/42/08/751484208.db2.gz YEPZHHMCEVNKSK-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnc3ccccn32)C1 ZINC001035426119 751484213 /nfs/dbraw/zinc/48/42/13/751484213.db2.gz YEPZHHMCEVNKSK-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(CC)nnc2C)C1 ZINC001035457160 751505608 /nfs/dbraw/zinc/50/56/08/751505608.db2.gz DZIRUEPHRLSRPV-HNNXBMFYSA-N 1 2 318.421 1.354 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(CC)nnc2C)C1 ZINC001035457160 751505612 /nfs/dbraw/zinc/50/56/12/751505612.db2.gz DZIRUEPHRLSRPV-HNNXBMFYSA-N 1 2 318.421 1.354 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc2n1CCCCC2 ZINC001038411224 740116370 /nfs/dbraw/zinc/11/63/70/740116370.db2.gz PRWNCCAHMHYASL-CQSZACIVSA-N 1 2 300.406 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc2n1CCCCC2 ZINC001038411224 740116372 /nfs/dbraw/zinc/11/63/72/740116372.db2.gz PRWNCCAHMHYASL-CQSZACIVSA-N 1 2 300.406 1.437 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccn3ccccc23)C1 ZINC001035441696 751518187 /nfs/dbraw/zinc/51/81/87/751518187.db2.gz DWOSHTPRQHCEKU-OAHLLOKOSA-N 1 2 311.385 1.393 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccn3ccccc23)C1 ZINC001035441696 751518190 /nfs/dbraw/zinc/51/81/90/751518190.db2.gz DWOSHTPRQHCEKU-OAHLLOKOSA-N 1 2 311.385 1.393 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3nccc(C)n3)[C@@H]2C1 ZINC001075745704 740206200 /nfs/dbraw/zinc/20/62/00/740206200.db2.gz QNIPQUCUVJQBRF-QWHCGFSZSA-N 1 2 306.797 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3nccc(C)n3)[C@@H]2C1 ZINC001075745704 740206201 /nfs/dbraw/zinc/20/62/01/740206201.db2.gz QNIPQUCUVJQBRF-QWHCGFSZSA-N 1 2 306.797 1.684 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001035445071 751521864 /nfs/dbraw/zinc/52/18/64/751521864.db2.gz DZJDLEIPKIMIEY-HRCADAONSA-N 1 2 308.422 1.052 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001035445071 751521870 /nfs/dbraw/zinc/52/18/70/751521870.db2.gz DZJDLEIPKIMIEY-HRCADAONSA-N 1 2 308.422 1.052 20 30 DDEDLO CC[C@H]1CN(C(=O)C(C)(C)n2c[nH+]c(C)c2)CC[C@@H]1NCC#N ZINC001037872231 751522555 /nfs/dbraw/zinc/52/25/55/751522555.db2.gz NUEITXXCNPCSCD-GJZGRUSLSA-N 1 2 317.437 1.667 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)(C)C)on2)C1 ZINC001035442870 751519934 /nfs/dbraw/zinc/51/99/34/751519934.db2.gz RESQMMHBFOOMCP-CYBMUJFWSA-N 1 2 321.421 1.979 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)(C)C)on2)C1 ZINC001035442870 751519938 /nfs/dbraw/zinc/51/99/38/751519938.db2.gz RESQMMHBFOOMCP-CYBMUJFWSA-N 1 2 321.421 1.979 20 30 DDEDLO CC[C@@H]1CN(C(=O)CCc2[nH+]ccn2C)CC[C@H]1NCC#N ZINC001037896550 751544216 /nfs/dbraw/zinc/54/42/16/751544216.db2.gz XZFAFOUWWORMKY-ZIAGYGMSSA-N 1 2 303.410 1.093 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2occ3c2CCC3)C1 ZINC001035461813 751547643 /nfs/dbraw/zinc/54/76/43/751547643.db2.gz RVJLPVJXKXOEIW-AWEZNQCLSA-N 1 2 304.390 1.775 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2occ3c2CCC3)C1 ZINC001035461813 751547648 /nfs/dbraw/zinc/54/76/48/751547648.db2.gz RVJLPVJXKXOEIW-AWEZNQCLSA-N 1 2 304.390 1.775 20 30 DDEDLO N#Cc1c(F)cccc1N[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001059250276 740481098 /nfs/dbraw/zinc/48/10/98/740481098.db2.gz NIKATWHTSQJZKX-HAQNSBGRSA-N 1 2 313.336 1.653 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001035466934 751551855 /nfs/dbraw/zinc/55/18/55/751551855.db2.gz OHBIBLILWLRZOP-CQSZACIVSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001035466934 751551859 /nfs/dbraw/zinc/55/18/59/751551859.db2.gz OHBIBLILWLRZOP-CQSZACIVSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccnc2OC)C1 ZINC001035492880 751575683 /nfs/dbraw/zinc/57/56/83/751575683.db2.gz RKIRYNOZSRBAHX-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccnc2OC)C1 ZINC001035492880 751575688 /nfs/dbraw/zinc/57/56/88/751575688.db2.gz RKIRYNOZSRBAHX-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(F)ccc(C)c2F)C1 ZINC001035497195 751580193 /nfs/dbraw/zinc/58/01/93/751580193.db2.gz VBGNTQPDUBAOHH-CYBMUJFWSA-N 1 2 322.355 1.727 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(F)ccc(C)c2F)C1 ZINC001035497195 751580197 /nfs/dbraw/zinc/58/01/97/751580197.db2.gz VBGNTQPDUBAOHH-CYBMUJFWSA-N 1 2 322.355 1.727 20 30 DDEDLO CSc1nc(C[N@@H+]2CC[C@@H](O)[C@](C)(CO)C2)ccc1C#N ZINC001141932333 740950620 /nfs/dbraw/zinc/95/06/20/740950620.db2.gz YFOHWTRFQCWCPB-HIFRSBDPSA-N 1 2 307.419 1.240 20 30 DDEDLO CSc1nc(C[N@H+]2CC[C@@H](O)[C@](C)(CO)C2)ccc1C#N ZINC001141932333 740950623 /nfs/dbraw/zinc/95/06/23/740950623.db2.gz YFOHWTRFQCWCPB-HIFRSBDPSA-N 1 2 307.419 1.240 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC001029679243 741220069 /nfs/dbraw/zinc/22/00/69/741220069.db2.gz YGRNIOCPMVYPBS-JQWIXIFHSA-N 1 2 307.423 1.287 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC001029679243 741220070 /nfs/dbraw/zinc/22/00/70/741220070.db2.gz YGRNIOCPMVYPBS-JQWIXIFHSA-N 1 2 307.423 1.287 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029802213 741319658 /nfs/dbraw/zinc/31/96/58/741319658.db2.gz XXQQCQINBXOPIB-CYBMUJFWSA-N 1 2 305.426 1.606 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029802213 741319661 /nfs/dbraw/zinc/31/96/61/741319661.db2.gz XXQQCQINBXOPIB-CYBMUJFWSA-N 1 2 305.426 1.606 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2CCCCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001088281168 741319993 /nfs/dbraw/zinc/31/99/93/741319993.db2.gz ITAIGWMTTGRIOX-OLZOCXBDSA-N 1 2 319.409 1.652 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCC[NH2+][C@@H](C)c1noc(C)n1 ZINC001168101886 741447375 /nfs/dbraw/zinc/44/73/75/741447375.db2.gz ZIPRNGVRUKAMCM-UBHSHLNASA-N 1 2 322.409 1.516 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2n[nH]c3c2C[C@@H](C)CC3)C1 ZINC001035565348 751647908 /nfs/dbraw/zinc/64/79/08/751647908.db2.gz JMVWGSVBMFVHOP-STQMWFEESA-N 1 2 318.421 1.151 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2n[nH]c3c2C[C@@H](C)CC3)C1 ZINC001035565348 751647911 /nfs/dbraw/zinc/64/79/11/751647911.db2.gz JMVWGSVBMFVHOP-STQMWFEESA-N 1 2 318.421 1.151 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3ncsc3c2)C1 ZINC001035598206 751656511 /nfs/dbraw/zinc/65/65/11/751656511.db2.gz RECPZTDGGDUFFR-ZDUSSCGKSA-N 1 2 317.414 1.913 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3ncsc3c2)C1 ZINC001035598206 751656519 /nfs/dbraw/zinc/65/65/19/751656519.db2.gz RECPZTDGGDUFFR-ZDUSSCGKSA-N 1 2 317.414 1.913 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccnc(OC)c2)C[C@H]1OC ZINC001211997397 741932086 /nfs/dbraw/zinc/93/20/86/741932086.db2.gz SXXBBALTVYQAGD-HUUCEWRRSA-N 1 2 319.405 1.372 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccnc(OC)c2)C[C@H]1OC ZINC001211997397 741932090 /nfs/dbraw/zinc/93/20/90/741932090.db2.gz SXXBBALTVYQAGD-HUUCEWRRSA-N 1 2 319.405 1.372 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3[nH]ccc3s2)C1 ZINC001035590335 751684545 /nfs/dbraw/zinc/68/45/45/751684545.db2.gz UGLKAPMMXSMCPC-LBPRGKRZSA-N 1 2 317.414 1.683 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc3[nH]ccc3s2)C1 ZINC001035590335 751684548 /nfs/dbraw/zinc/68/45/48/751684548.db2.gz UGLKAPMMXSMCPC-LBPRGKRZSA-N 1 2 317.414 1.683 20 30 DDEDLO CCc1nc(C)c(C[N@@H+]2C[C@@H](NC(=O)[C@H](C)C#N)[C@H](OC)C2)o1 ZINC001212041091 741950708 /nfs/dbraw/zinc/95/07/08/741950708.db2.gz DRPFEPGNBOJCCE-MPKXVKKWSA-N 1 2 320.393 1.021 20 30 DDEDLO CCc1nc(C)c(C[N@H+]2C[C@@H](NC(=O)[C@H](C)C#N)[C@H](OC)C2)o1 ZINC001212041091 741950712 /nfs/dbraw/zinc/95/07/12/741950712.db2.gz DRPFEPGNBOJCCE-MPKXVKKWSA-N 1 2 320.393 1.021 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001035627190 751699267 /nfs/dbraw/zinc/69/92/67/751699267.db2.gz KBBDIDPZRMCQKP-GJZGRUSLSA-N 1 2 308.422 1.196 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001035627190 751699271 /nfs/dbraw/zinc/69/92/71/751699271.db2.gz KBBDIDPZRMCQKP-GJZGRUSLSA-N 1 2 308.422 1.196 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(C(C)C)s2)C1 ZINC001035630499 751703353 /nfs/dbraw/zinc/70/33/53/751703353.db2.gz NLLCRJUNTYGHTN-ZDUSSCGKSA-N 1 2 321.446 1.720 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnc(C(C)C)s2)C1 ZINC001035630499 751703360 /nfs/dbraw/zinc/70/33/60/751703360.db2.gz NLLCRJUNTYGHTN-ZDUSSCGKSA-N 1 2 321.446 1.720 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncnc3[nH]ccc32)[C@H]1C ZINC001088707049 742220050 /nfs/dbraw/zinc/22/00/50/742220050.db2.gz KIIGJEQNHUXJLO-PWSUYJOCSA-N 1 2 319.796 1.855 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncnc3[nH]ccc32)[C@H]1C ZINC001088707049 742220054 /nfs/dbraw/zinc/22/00/54/742220054.db2.gz KIIGJEQNHUXJLO-PWSUYJOCSA-N 1 2 319.796 1.855 20 30 DDEDLO CC(C)Cc1noc(C[NH2+]CCNC(=O)C#CC(C)(C)C)n1 ZINC001126893061 742440406 /nfs/dbraw/zinc/44/04/06/742440406.db2.gz DPGRMRMKRWOQAI-UHFFFAOYSA-N 1 2 306.410 1.523 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3ccnc(C)n3)[C@@H]2C1 ZINC001076583627 742797617 /nfs/dbraw/zinc/79/76/17/742797617.db2.gz ANTSKIJAEUYANA-GXTWGEPZSA-N 1 2 306.797 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccnc(C)n3)[C@@H]2C1 ZINC001076583627 742797618 /nfs/dbraw/zinc/79/76/18/742797618.db2.gz ANTSKIJAEUYANA-GXTWGEPZSA-N 1 2 306.797 1.684 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)CCC)CC2)C1 ZINC001105682982 743085991 /nfs/dbraw/zinc/08/59/91/743085991.db2.gz OWMRCGARUJAIAV-UHFFFAOYSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)c2ccn[nH]2)CC1 ZINC001002374538 743089096 /nfs/dbraw/zinc/08/90/96/743089096.db2.gz FURGCQDUQPXIKV-UHFFFAOYSA-N 1 2 313.199 1.513 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2scnc2C2CCCC2)C1 ZINC001077317385 743401043 /nfs/dbraw/zinc/40/10/43/743401043.db2.gz ONODIRWNPGTYAJ-CHWSQXEVSA-N 1 2 321.446 1.762 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2scnc2C2CCCC2)C1 ZINC001077317385 743401046 /nfs/dbraw/zinc/40/10/46/743401046.db2.gz ONODIRWNPGTYAJ-CHWSQXEVSA-N 1 2 321.446 1.762 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(CCCC)cc2)C1 ZINC001077340371 743414115 /nfs/dbraw/zinc/41/41/15/743414115.db2.gz AILWTRBWTPWXBD-IAGOWNOFSA-N 1 2 300.402 1.437 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(CCCC)cc2)C1 ZINC001077340371 743414123 /nfs/dbraw/zinc/41/41/23/743414123.db2.gz AILWTRBWTPWXBD-IAGOWNOFSA-N 1 2 300.402 1.437 20 30 DDEDLO CCN(C(=O)C#CC(C)C)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102800039 743479052 /nfs/dbraw/zinc/47/90/52/743479052.db2.gz AAWCZZJNACVDTO-HNNXBMFYSA-N 1 2 300.406 1.872 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001182108596 743479945 /nfs/dbraw/zinc/47/99/45/743479945.db2.gz ORKSCYDJFNWYPI-CQSZACIVSA-N 1 2 316.405 1.831 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CC[C@@H](C)OC)c2C1 ZINC001128311870 743560005 /nfs/dbraw/zinc/56/00/05/743560005.db2.gz CUGQJPSAOOLPJA-CQSZACIVSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CC[C@@H](C)OC)c2C1 ZINC001128311870 743560009 /nfs/dbraw/zinc/56/00/09/743560009.db2.gz CUGQJPSAOOLPJA-CQSZACIVSA-N 1 2 320.437 1.706 20 30 DDEDLO COC(=O)c1cc(C#N)cc(NC(=O)[C@@H]2CC[N@@H+]2C(C)C)c1 ZINC001182861640 743740351 /nfs/dbraw/zinc/74/03/51/743740351.db2.gz CPWZHLWKDOZMFQ-AWEZNQCLSA-N 1 2 301.346 1.766 20 30 DDEDLO COC(=O)c1cc(C#N)cc(NC(=O)[C@@H]2CC[N@H+]2C(C)C)c1 ZINC001182861640 743740355 /nfs/dbraw/zinc/74/03/55/743740355.db2.gz CPWZHLWKDOZMFQ-AWEZNQCLSA-N 1 2 301.346 1.766 20 30 DDEDLO Cc1nc(N2CCC(C3(NC(=O)[C@@H](C)C#N)CC3)CC2)cc[nH+]1 ZINC001127122058 743796897 /nfs/dbraw/zinc/79/68/97/743796897.db2.gz FMDGAGXKMGZWKR-LBPRGKRZSA-N 1 2 313.405 1.810 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC2C[NH+](CCCc3ccsc3)C2)c1 ZINC001030196103 743928058 /nfs/dbraw/zinc/92/80/58/743928058.db2.gz KCXGCZGDNBYXIG-UHFFFAOYSA-N 1 2 314.414 1.995 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)[C@H]2CCc3[nH+]c(C)[nH]c3C2)C1 ZINC001030553260 744258945 /nfs/dbraw/zinc/25/89/45/744258945.db2.gz UMSVABZARUSCGW-NSHDSACASA-N 1 2 308.813 1.376 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)[C@H]2CCc3[nH]c(C)[nH+]c3C2)C1 ZINC001030553260 744258948 /nfs/dbraw/zinc/25/89/48/744258948.db2.gz UMSVABZARUSCGW-NSHDSACASA-N 1 2 308.813 1.376 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2cc(C#N)ccc2OC)C1 ZINC001186109231 744345183 /nfs/dbraw/zinc/34/51/83/744345183.db2.gz FXVHWYIKRQUQBK-LBPRGKRZSA-N 1 2 317.345 1.000 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2cc(C#N)ccc2OC)C1 ZINC001186109231 744345187 /nfs/dbraw/zinc/34/51/87/744345187.db2.gz FXVHWYIKRQUQBK-LBPRGKRZSA-N 1 2 317.345 1.000 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@H]1C[N@H+](C)Cc1ccn(C)n1 ZINC001089139699 744585174 /nfs/dbraw/zinc/58/51/74/744585174.db2.gz JZRFDHVGPYYPHW-ZFWWWQNUSA-N 1 2 303.410 1.393 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCCC[C@H]1C[N@@H+](C)Cc1ccn(C)n1 ZINC001089139699 744585178 /nfs/dbraw/zinc/58/51/78/744585178.db2.gz JZRFDHVGPYYPHW-ZFWWWQNUSA-N 1 2 303.410 1.393 20 30 DDEDLO CCn1ccnc1C[N@H+](C)C[C@@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001089140048 744586070 /nfs/dbraw/zinc/58/60/70/744586070.db2.gz VWVHJWDITQDKDK-CABCVRRESA-N 1 2 317.437 1.876 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)C[C@@H]1CCCCN1C(=O)[C@H](C)C#N ZINC001089140048 744586074 /nfs/dbraw/zinc/58/60/74/744586074.db2.gz VWVHJWDITQDKDK-CABCVRRESA-N 1 2 317.437 1.876 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001188302165 744693597 /nfs/dbraw/zinc/69/35/97/744693597.db2.gz UVMGAWLLWQOIDF-WCQYABFASA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(C(C)C)n2)C1 ZINC001189364710 744887084 /nfs/dbraw/zinc/88/70/84/744887084.db2.gz UHUPJKIGSIJLLS-MRXNPFEDSA-N 1 2 320.437 1.699 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(C(C)C)n2)C1 ZINC001189364710 744887086 /nfs/dbraw/zinc/88/70/86/744887086.db2.gz UHUPJKIGSIJLLS-MRXNPFEDSA-N 1 2 320.437 1.699 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001189918101 745032710 /nfs/dbraw/zinc/03/27/10/745032710.db2.gz NCSVOKARLREMDP-GDBMZVCRSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001189918101 745032716 /nfs/dbraw/zinc/03/27/16/745032716.db2.gz NCSVOKARLREMDP-GDBMZVCRSA-N 1 2 307.394 1.343 20 30 DDEDLO C=CCC1(S(=O)(=O)Nc2cnc3c(c2)C[N@H+](C)CC3)CC1 ZINC001190772880 745325768 /nfs/dbraw/zinc/32/57/68/745325768.db2.gz MAXCNJUYOHDWJO-UHFFFAOYSA-N 1 2 307.419 1.920 20 30 DDEDLO C=CCC1(S(=O)(=O)Nc2cnc3c(c2)C[N@@H+](C)CC3)CC1 ZINC001190772880 745325773 /nfs/dbraw/zinc/32/57/73/745325773.db2.gz MAXCNJUYOHDWJO-UHFFFAOYSA-N 1 2 307.419 1.920 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cnn3cc(OC)ccc23)C1 ZINC001031113379 745403412 /nfs/dbraw/zinc/40/34/12/745403412.db2.gz HEFHZHHMOLYSQY-UHFFFAOYSA-N 1 2 300.362 1.333 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)c2ccc(C#CC(C)(C)O)cc2)c1 ZINC001191187568 745454324 /nfs/dbraw/zinc/45/43/24/745454324.db2.gz XSMJZLAWMJBLIL-UHFFFAOYSA-N 1 2 311.385 1.515 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2nc(Cl)cs2)[C@@H](O)C1 ZINC001083727076 745539501 /nfs/dbraw/zinc/53/95/01/745539501.db2.gz KBQBTKGPHTYSTN-BDAKNGLRSA-N 1 2 301.799 1.148 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2nc(Cl)cs2)[C@@H](O)C1 ZINC001083727076 745539504 /nfs/dbraw/zinc/53/95/04/745539504.db2.gz KBQBTKGPHTYSTN-BDAKNGLRSA-N 1 2 301.799 1.148 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001191912157 745639084 /nfs/dbraw/zinc/63/90/84/745639084.db2.gz NMYUWPZTBWUORH-KGLIPLIRSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001191912157 745639086 /nfs/dbraw/zinc/63/90/86/745639086.db2.gz NMYUWPZTBWUORH-KGLIPLIRSA-N 1 2 305.426 1.450 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CCNc1ncccc1C#N ZINC001106396100 745657777 /nfs/dbraw/zinc/65/77/77/745657777.db2.gz ZWUSWQDAOKIPPB-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)=C(C)C)C2 ZINC001110444711 745716467 /nfs/dbraw/zinc/71/64/67/745716467.db2.gz FCCSTKCSDHRFLF-DGAVXFQQSA-N 1 2 323.412 1.662 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)=C(C)C)C2 ZINC001110444711 745716471 /nfs/dbraw/zinc/71/64/71/745716471.db2.gz FCCSTKCSDHRFLF-DGAVXFQQSA-N 1 2 323.412 1.662 20 30 DDEDLO N#CCS(=O)(=O)N1CC[NH+]([C@H]2CCCc3ccccc32)CC1 ZINC001192967483 745949696 /nfs/dbraw/zinc/94/96/96/745949696.db2.gz ZMKKPFHUJKZVKA-INIZCTEOSA-N 1 2 319.430 1.535 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](Cc3ccccc3C)C2)cn1 ZINC001031278654 746121011 /nfs/dbraw/zinc/12/10/11/746121011.db2.gz VHHHQKVVMYWSNK-UHFFFAOYSA-N 1 2 305.381 1.986 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccc(OC)nn2)[C@@H]1C ZINC000994176712 746476459 /nfs/dbraw/zinc/47/64/59/746476459.db2.gz WCDTYNAIIGIHRZ-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(OC)nn2)[C@@H]1C ZINC000994176712 746476463 /nfs/dbraw/zinc/47/64/63/746476463.db2.gz WCDTYNAIIGIHRZ-RYUDHWBXSA-N 1 2 324.812 1.820 20 30 DDEDLO Cc1nocc1C[N@@H+]1CCCN(C(=O)CSCC#N)CC1 ZINC001194913215 746485938 /nfs/dbraw/zinc/48/59/38/746485938.db2.gz SSUZYXRFJCXPRP-UHFFFAOYSA-N 1 2 308.407 1.274 20 30 DDEDLO Cc1nocc1C[N@H+]1CCCN(C(=O)CSCC#N)CC1 ZINC001194913215 746485942 /nfs/dbraw/zinc/48/59/42/746485942.db2.gz SSUZYXRFJCXPRP-UHFFFAOYSA-N 1 2 308.407 1.274 20 30 DDEDLO C=CCCCCC[N@H+]1CCCN(C(=O)CS(C)(=O)=O)CC1 ZINC001195053446 746517100 /nfs/dbraw/zinc/51/71/00/746517100.db2.gz LSWDQBQAFPZRDM-UHFFFAOYSA-N 1 2 316.467 1.312 20 30 DDEDLO C=CCCCCC[N@@H+]1CCCN(C(=O)CS(C)(=O)=O)CC1 ZINC001195053446 746517102 /nfs/dbraw/zinc/51/71/02/746517102.db2.gz LSWDQBQAFPZRDM-UHFFFAOYSA-N 1 2 316.467 1.312 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(C(=O)OCC)cc2)CC1 ZINC001195096371 746527738 /nfs/dbraw/zinc/52/77/38/746527738.db2.gz NJBIEJMZSQPWOM-UHFFFAOYSA-N 1 2 302.374 1.807 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2ccoc2CC)CC1 ZINC001195674584 746667950 /nfs/dbraw/zinc/66/79/50/746667950.db2.gz HYDWXYOFQCGPCU-UHFFFAOYSA-N 1 2 319.405 1.292 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2ccoc2CC)CC1 ZINC001195674584 746667954 /nfs/dbraw/zinc/66/79/54/746667954.db2.gz HYDWXYOFQCGPCU-UHFFFAOYSA-N 1 2 319.405 1.292 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cc(C)n(C)n2)CC1 ZINC001195652148 746673250 /nfs/dbraw/zinc/67/32/50/746673250.db2.gz JNXHCTMTAPFNBT-KRWDZBQOSA-N 1 2 320.437 1.090 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+](Cc2cc(C)n(C)n2)CC1 ZINC001195652148 746673255 /nfs/dbraw/zinc/67/32/55/746673255.db2.gz JNXHCTMTAPFNBT-KRWDZBQOSA-N 1 2 320.437 1.090 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ccc(OC)c(OCC)c2)C1 ZINC001031361764 746679614 /nfs/dbraw/zinc/67/96/14/746679614.db2.gz CSRXRNOLQZTHAT-UHFFFAOYSA-N 1 2 302.374 1.531 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)CCCC)CC1 ZINC001195716385 746684270 /nfs/dbraw/zinc/68/42/70/746684270.db2.gz BCQVPEMESVHFID-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)CCCC)CC1 ZINC001195716385 746684273 /nfs/dbraw/zinc/68/42/73/746684273.db2.gz BCQVPEMESVHFID-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cc(C)no2)CC1 ZINC001195791696 746705651 /nfs/dbraw/zinc/70/56/51/746705651.db2.gz JBYYXIHHHRMVNG-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cc(C)no2)CC1 ZINC001195791696 746705654 /nfs/dbraw/zinc/70/56/54/746705654.db2.gz JBYYXIHHHRMVNG-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@@H+](CC(=O)N(C)CC)CC1 ZINC001195949938 746747984 /nfs/dbraw/zinc/74/79/84/746747984.db2.gz HPHBHKQSLOKYNM-UHFFFAOYSA-N 1 2 321.465 1.767 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@H+](CC(=O)N(C)CC)CC1 ZINC001195949938 746747989 /nfs/dbraw/zinc/74/79/89/746747989.db2.gz HPHBHKQSLOKYNM-UHFFFAOYSA-N 1 2 321.465 1.767 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1ccncc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089379007 746752611 /nfs/dbraw/zinc/75/26/11/746752611.db2.gz ANGCOMRALPHDBD-VXGBXAGGSA-N 1 2 312.377 1.036 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1)Nc1nccnc1C#N ZINC001089380756 746758648 /nfs/dbraw/zinc/75/86/48/746758648.db2.gz NNSRMTIYBYBLSD-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001196288890 746828268 /nfs/dbraw/zinc/82/82/68/746828268.db2.gz XKXAWHJZHSGACU-CQSZACIVSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001196288890 746828272 /nfs/dbraw/zinc/82/82/72/746828272.db2.gz XKXAWHJZHSGACU-CQSZACIVSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2[nH]c(C)cc2C)CC1 ZINC001196294805 746829234 /nfs/dbraw/zinc/82/92/34/746829234.db2.gz CFUVEISWYXDPDN-UHFFFAOYSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2[nH]c(C)cc2C)CC1 ZINC001196294805 746829239 /nfs/dbraw/zinc/82/92/39/746829239.db2.gz CFUVEISWYXDPDN-UHFFFAOYSA-N 1 2 318.421 1.082 20 30 DDEDLO CN(c1ccc(C#N)cn1)[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001061216815 746893457 /nfs/dbraw/zinc/89/34/57/746893457.db2.gz BSZYSYRBKLYHPA-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)c3ccccn3)C2)ccc1F ZINC001031506046 746922444 /nfs/dbraw/zinc/92/24/44/746922444.db2.gz JDUOXINXSIQHQE-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@H+](Cc2cncn2C)CC1 ZINC001196852885 746990940 /nfs/dbraw/zinc/99/09/40/746990940.db2.gz DYSFFXARSWYSKH-LSDHHAIUSA-N 1 2 304.438 1.913 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cncn2C)CC1 ZINC001196852885 746990944 /nfs/dbraw/zinc/99/09/44/746990944.db2.gz DYSFFXARSWYSKH-LSDHHAIUSA-N 1 2 304.438 1.913 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCCC[C@H]2C)CC1 ZINC001196943902 747017078 /nfs/dbraw/zinc/01/70/78/747017078.db2.gz XFDXFSYIKNRGJR-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCCC[C@H]2C)CC1 ZINC001196943902 747017085 /nfs/dbraw/zinc/01/70/85/747017085.db2.gz XFDXFSYIKNRGJR-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+](CC(=O)NCCC)CC2)CCC1 ZINC001197055195 747038493 /nfs/dbraw/zinc/03/84/93/747038493.db2.gz LRFOUJGXDVCLFY-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+](CC(=O)NCCC)CC2)CCC1 ZINC001197055195 747038496 /nfs/dbraw/zinc/03/84/96/747038496.db2.gz LRFOUJGXDVCLFY-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)CSCC#N)[C@@H](C)C2)cc[nH+]1 ZINC001110502284 747372176 /nfs/dbraw/zinc/37/21/76/747372176.db2.gz ODBVIBKDXJXGEJ-AAEUAGOBSA-N 1 2 319.434 1.833 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)o1 ZINC000998746389 752192605 /nfs/dbraw/zinc/19/26/05/752192605.db2.gz NMISBPBDAHXALI-VXGBXAGGSA-N 1 2 305.382 1.433 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]([NH2+]CCF)c1ccccc1OC ZINC001198724461 747579227 /nfs/dbraw/zinc/57/92/27/747579227.db2.gz VWFVNZYSDNIKRX-UKRRQHHQSA-N 1 2 322.380 1.450 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000998744559 752195286 /nfs/dbraw/zinc/19/52/86/752195286.db2.gz BZLSWCHAGKWUCZ-NWDGAFQWSA-N 1 2 305.382 1.262 20 30 DDEDLO CN(C(=O)c1cnn(C)c1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001032907581 747620945 /nfs/dbraw/zinc/62/09/45/747620945.db2.gz RUFPGQJCXOBASK-KRWDZBQOSA-N 1 2 323.400 1.638 20 30 DDEDLO CN(C(=O)c1cnn(C)c1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001032907581 747620947 /nfs/dbraw/zinc/62/09/47/747620947.db2.gz RUFPGQJCXOBASK-KRWDZBQOSA-N 1 2 323.400 1.638 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3cc(C)on3)CC2)nc1 ZINC001004005717 747905819 /nfs/dbraw/zinc/90/58/19/747905819.db2.gz WRZBZWFWYMYXFK-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C[C@H]2COC(=O)C2)C1 ZINC001033010237 747910988 /nfs/dbraw/zinc/91/09/88/747910988.db2.gz MNBCGVJIQZHMRQ-VXGBXAGGSA-N 1 2 300.786 1.225 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C[C@H]2COC(=O)C2)C1 ZINC001033010237 747910992 /nfs/dbraw/zinc/91/09/92/747910992.db2.gz MNBCGVJIQZHMRQ-VXGBXAGGSA-N 1 2 300.786 1.225 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cc(C)ncn3)C2)cc1 ZINC001031892023 748133542 /nfs/dbraw/zinc/13/35/42/748133542.db2.gz JHYPIUMRKAMJEP-UHFFFAOYSA-N 1 2 320.396 1.628 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC001032015285 748428531 /nfs/dbraw/zinc/42/85/31/748428531.db2.gz LYNAHRXAOSVSMO-UHFFFAOYSA-N 1 2 320.780 1.683 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2cn[nH]c(=O)c2)CC1 ZINC001004463055 748449356 /nfs/dbraw/zinc/44/93/56/748449356.db2.gz IQFBOPMDUYAGKH-AWEZNQCLSA-N 1 2 315.377 1.022 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2cn[nH]c(=O)c2)CC1 ZINC001004463055 748449358 /nfs/dbraw/zinc/44/93/58/748449358.db2.gz IQFBOPMDUYAGKH-AWEZNQCLSA-N 1 2 315.377 1.022 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC([NH+]3CCCC3)C2)cc1C#N ZINC001201302926 748471283 /nfs/dbraw/zinc/47/12/83/748471283.db2.gz AQTWNGSBMLDETQ-UHFFFAOYSA-N 1 2 305.403 1.335 20 30 DDEDLO CO[C@@H](C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1)C(C)C ZINC001004526470 748516156 /nfs/dbraw/zinc/51/61/56/748516156.db2.gz AAAXRZCCMCTZTB-JKSUJKDBSA-N 1 2 307.438 1.884 20 30 DDEDLO CO[C@@H](C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1)C(C)C ZINC001004526470 748516162 /nfs/dbraw/zinc/51/61/62/748516162.db2.gz AAAXRZCCMCTZTB-JKSUJKDBSA-N 1 2 307.438 1.884 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2nccs2)CC1 ZINC001004577107 748560901 /nfs/dbraw/zinc/56/09/01/748560901.db2.gz PKYVCDWXPBYDJP-CYBMUJFWSA-N 1 2 304.419 1.983 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2nccs2)CC1 ZINC001004577107 748560905 /nfs/dbraw/zinc/56/09/05/748560905.db2.gz PKYVCDWXPBYDJP-CYBMUJFWSA-N 1 2 304.419 1.983 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+][C@@H](C)c1csnn1)OCC ZINC001128539823 748765321 /nfs/dbraw/zinc/76/53/21/748765321.db2.gz LEWFULLMLFCYNF-AAEUAGOBSA-N 1 2 312.439 1.676 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+][C@@H](C)c1nnc(CC)o1)OCC ZINC001128540015 748765356 /nfs/dbraw/zinc/76/53/56/748765356.db2.gz NYRBVNHOXHMNQK-QWHCGFSZSA-N 1 2 324.425 1.770 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccnc(F)c2)[C@H](O)C1 ZINC001090115726 748872811 /nfs/dbraw/zinc/87/28/11/748872811.db2.gz VPEOWHKRVMKNJH-VXGBXAGGSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccnc(F)c2)[C@H](O)C1 ZINC001090115726 748872812 /nfs/dbraw/zinc/87/28/12/748872812.db2.gz VPEOWHKRVMKNJH-VXGBXAGGSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCn3cncc3C2)C1 ZINC001033209026 748936965 /nfs/dbraw/zinc/93/69/65/748936965.db2.gz QEUFPJWTIJABGX-KBPBESRZSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCn3cncc3C2)C1 ZINC001033209026 748936969 /nfs/dbraw/zinc/93/69/69/748936969.db2.gz QEUFPJWTIJABGX-KBPBESRZSA-N 1 2 322.840 1.731 20 30 DDEDLO Cc1cc(N(C)[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001056871351 761903847 /nfs/dbraw/zinc/90/38/47/761903847.db2.gz JGMIHGOISNBMBZ-SMDDNHRTSA-N 1 2 313.405 1.859 20 30 DDEDLO C[C@]1(NC(=O)CCn2cc[nH+]c2)CCN(c2ccncc2C#N)C1 ZINC001110801763 749023685 /nfs/dbraw/zinc/02/36/85/749023685.db2.gz ALCZSWJFHHHAOX-KRWDZBQOSA-N 1 2 324.388 1.325 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C[C@H]3C=CCC3)nn2)C1 ZINC001107125272 749170778 /nfs/dbraw/zinc/17/07/78/749170778.db2.gz QWTMGAJTLRMXGO-AWEZNQCLSA-N 1 2 315.421 1.683 20 30 DDEDLO Cc1cnn(C)c1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038604201 749183547 /nfs/dbraw/zinc/18/35/47/749183547.db2.gz RGDJTBHPRDNEAP-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cnn(C)c1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038604201 749183553 /nfs/dbraw/zinc/18/35/53/749183553.db2.gz RGDJTBHPRDNEAP-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CN(C(=O)C#CC2CC2)CC1(C)C)c1csnn1 ZINC000995800007 749232934 /nfs/dbraw/zinc/23/29/34/749232934.db2.gz UHXFOLXURULFLX-SMDDNHRTSA-N 1 2 318.446 1.839 20 30 DDEDLO C=C1CCC(C(=O)N(C)[C@@H]2CC[N@H+](Cc3cnnn3C)C2)CC1 ZINC001033389946 749299356 /nfs/dbraw/zinc/29/93/56/749299356.db2.gz XLBMRZFKFOYSBF-OAHLLOKOSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C1CCC(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3cnnn3C)C2)CC1 ZINC001033389946 749299359 /nfs/dbraw/zinc/29/93/59/749299359.db2.gz XLBMRZFKFOYSBF-OAHLLOKOSA-N 1 2 317.437 1.594 20 30 DDEDLO C=CCNC(=O)N1CCC2(CC1)C(=O)N(CC(C)C)CC[N@@H+]2C ZINC001202564876 749429700 /nfs/dbraw/zinc/42/97/00/749429700.db2.gz QSKDBYZJIONCLE-UHFFFAOYSA-N 1 2 322.453 1.147 20 30 DDEDLO C=CCNC(=O)N1CCC2(CC1)C(=O)N(CC(C)C)CC[N@H+]2C ZINC001202564876 749429704 /nfs/dbraw/zinc/42/97/04/749429704.db2.gz QSKDBYZJIONCLE-UHFFFAOYSA-N 1 2 322.453 1.147 20 30 DDEDLO Cc1c[nH]c(C(=O)N(C)[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001033493912 749437421 /nfs/dbraw/zinc/43/74/21/749437421.db2.gz BMWRVVSHYVKVHW-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1c[nH]c(C(=O)N(C)[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001033493912 749437425 /nfs/dbraw/zinc/43/74/25/749437425.db2.gz BMWRVVSHYVKVHW-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)C(F)(F)F)nn2)C1 ZINC001107196162 749551749 /nfs/dbraw/zinc/55/17/49/749551749.db2.gz HAUJBYVHIDAPRH-SECBINFHSA-N 1 2 317.315 1.135 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnn3cc[nH]c23)C1 ZINC001033613431 749594611 /nfs/dbraw/zinc/59/46/11/749594611.db2.gz LPLJSGKELFJGSZ-NSHDSACASA-N 1 2 307.785 1.561 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnn3cc[nH]c23)C1 ZINC001033613431 749594615 /nfs/dbraw/zinc/59/46/15/749594615.db2.gz LPLJSGKELFJGSZ-NSHDSACASA-N 1 2 307.785 1.561 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC/C=C\CNc1ccc(C#N)nc1 ZINC001107262577 749701203 /nfs/dbraw/zinc/70/12/03/749701203.db2.gz BTAHDDZRSFEPMF-IHWYPQMZSA-N 1 2 310.361 1.014 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H](N(C)C(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC001033689635 749729184 /nfs/dbraw/zinc/72/91/84/749729184.db2.gz DTRMAYBYLPRFGO-OAHLLOKOSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC001033689635 749729189 /nfs/dbraw/zinc/72/91/89/749729189.db2.gz DTRMAYBYLPRFGO-OAHLLOKOSA-N 1 2 323.400 1.641 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc(CC)n(C)n2)C1 ZINC001033708112 749747603 /nfs/dbraw/zinc/74/76/03/749747603.db2.gz UTYQBFLDBSTADI-CYBMUJFWSA-N 1 2 310.829 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(CC)n(C)n2)C1 ZINC001033708112 749747608 /nfs/dbraw/zinc/74/76/08/749747608.db2.gz UTYQBFLDBSTADI-CYBMUJFWSA-N 1 2 310.829 1.881 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC/C=C\CNc1ccc(C#N)cn1 ZINC001107298167 749753061 /nfs/dbraw/zinc/75/30/61/749753061.db2.gz SROGZOCXUXMDCB-IHWYPQMZSA-N 1 2 324.388 1.404 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc(N(C)C)c2)C1 ZINC001108363732 761994919 /nfs/dbraw/zinc/99/49/19/761994919.db2.gz BDQXGQJVJYFKFG-SFHVURJKSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc(N(C)C)c2)C1 ZINC001108363732 761994922 /nfs/dbraw/zinc/99/49/22/761994922.db2.gz BDQXGQJVJYFKFG-SFHVURJKSA-N 1 2 317.433 1.759 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001033831879 749953382 /nfs/dbraw/zinc/95/33/82/749953382.db2.gz BICLHYNRILGGJL-JKSUJKDBSA-N 1 2 314.433 1.776 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001033831879 749953385 /nfs/dbraw/zinc/95/33/85/749953385.db2.gz BICLHYNRILGGJL-JKSUJKDBSA-N 1 2 314.433 1.776 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(COC)cc2)C1 ZINC001108388529 762007394 /nfs/dbraw/zinc/00/73/94/762007394.db2.gz COXFANBCOPVKKC-SFHVURJKSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(COC)cc2)C1 ZINC001108388529 762007407 /nfs/dbraw/zinc/00/74/07/762007407.db2.gz COXFANBCOPVKKC-SFHVURJKSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CNc2[nH+]cnc3c2cnn3C)C1 ZINC001098505272 750162252 /nfs/dbraw/zinc/16/22/52/750162252.db2.gz IHRFAEYLLNVNSK-LBPRGKRZSA-N 1 2 314.393 1.590 20 30 DDEDLO C#CC[NH2+]C1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC000996872904 750247383 /nfs/dbraw/zinc/24/73/83/750247383.db2.gz CQTZTOBJSINRTC-UHFFFAOYSA-N 1 2 309.373 1.299 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001110984323 750280608 /nfs/dbraw/zinc/28/06/08/750280608.db2.gz DCYRFYYOPRJMFI-BSXFFOKHSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001110984323 750280611 /nfs/dbraw/zinc/28/06/11/750280611.db2.gz DCYRFYYOPRJMFI-BSXFFOKHSA-N 1 2 321.465 1.836 20 30 DDEDLO N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001039434936 762029093 /nfs/dbraw/zinc/02/90/93/762029093.db2.gz CCOCBZVHZRRDNU-RDBSUJKOSA-N 1 2 313.405 1.418 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)C=C(C)C)CC2 ZINC001127932129 750716930 /nfs/dbraw/zinc/71/69/30/750716930.db2.gz MJDYTESDVZAKQM-AWEZNQCLSA-N 1 2 315.421 1.178 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(COC)s1 ZINC001039478381 762066464 /nfs/dbraw/zinc/06/64/64/762066464.db2.gz MCFFDMBPSTUJGZ-OLZOCXBDSA-N 1 2 319.430 1.602 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(COC)s1 ZINC001039478381 762066472 /nfs/dbraw/zinc/06/64/72/762066472.db2.gz MCFFDMBPSTUJGZ-OLZOCXBDSA-N 1 2 319.430 1.602 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](CC)C(N)=O ZINC001032422774 750789398 /nfs/dbraw/zinc/78/93/98/750789398.db2.gz LESMQGAXQGTNMG-SRVKXCTJSA-N 1 2 313.829 1.314 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](CC)C(N)=O ZINC001032422774 750789403 /nfs/dbraw/zinc/78/94/03/750789403.db2.gz LESMQGAXQGTNMG-SRVKXCTJSA-N 1 2 313.829 1.314 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1-c1ccccc1 ZINC001032433230 750821992 /nfs/dbraw/zinc/82/19/92/750821992.db2.gz LXWVOJVZJLFFDG-GJZGRUSLSA-N 1 2 307.353 1.874 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1-c1ccccc1 ZINC001032433230 750821999 /nfs/dbraw/zinc/82/19/99/750821999.db2.gz LXWVOJVZJLFFDG-GJZGRUSLSA-N 1 2 307.353 1.874 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114681635 750981433 /nfs/dbraw/zinc/98/14/33/750981433.db2.gz WRLQTIMUEOOUKT-JYAVWHMHSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114681635 750981435 /nfs/dbraw/zinc/98/14/35/750981435.db2.gz WRLQTIMUEOOUKT-JYAVWHMHSA-N 1 2 318.421 1.781 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001114693159 750995505 /nfs/dbraw/zinc/99/55/05/750995505.db2.gz VTFQKSRDZSTMHW-FTYKPCCVSA-N 1 2 304.394 1.698 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001114693159 750995507 /nfs/dbraw/zinc/99/55/07/750995507.db2.gz VTFQKSRDZSTMHW-FTYKPCCVSA-N 1 2 304.394 1.698 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2onc(C)c2c1 ZINC001032553577 751286185 /nfs/dbraw/zinc/28/61/85/751286185.db2.gz GABXRDVGQLYNOS-KBPBESRZSA-N 1 2 310.357 1.453 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2onc(C)c2c1 ZINC001032553577 751286186 /nfs/dbraw/zinc/28/61/86/751286186.db2.gz GABXRDVGQLYNOS-KBPBESRZSA-N 1 2 310.357 1.453 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC#CC ZINC001032673599 752704046 /nfs/dbraw/zinc/70/40/46/752704046.db2.gz ZIGWXSDQYZXVLJ-QAETUUGQSA-N 1 2 302.418 1.666 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#CC ZINC001032673599 752704050 /nfs/dbraw/zinc/70/40/50/752704050.db2.gz ZIGWXSDQYZXVLJ-QAETUUGQSA-N 1 2 302.418 1.666 20 30 DDEDLO CN(C[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1)c1ccc(C#N)nc1 ZINC001062129291 752790930 /nfs/dbraw/zinc/79/09/30/752790930.db2.gz LCJXFPUBYXBNGY-CQSZACIVSA-N 1 2 324.388 1.135 20 30 DDEDLO Cc1nc(N(C)CC2CCN(C(=O)C#CC3CC3)CC2)cc[nH+]1 ZINC001062216194 752829670 /nfs/dbraw/zinc/82/96/70/752829670.db2.gz AMJXGOABUIGTLX-UHFFFAOYSA-N 1 2 312.417 1.873 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001108165381 752963855 /nfs/dbraw/zinc/96/38/55/752963855.db2.gz FIMBCEFAFRYARX-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001108165381 752963863 /nfs/dbraw/zinc/96/38/63/752963863.db2.gz FIMBCEFAFRYARX-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001009189021 753103489 /nfs/dbraw/zinc/10/34/89/753103489.db2.gz NZAUIQLSCVTOEJ-TZMCWYRMSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001009189021 753103494 /nfs/dbraw/zinc/10/34/94/753103494.db2.gz NZAUIQLSCVTOEJ-TZMCWYRMSA-N 1 2 322.840 1.848 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H](OCC)C(C)C)C1 ZINC001107993724 753357038 /nfs/dbraw/zinc/35/70/38/753357038.db2.gz XFGJQNBWURXTLR-RDJZCZTQSA-N 1 2 312.454 1.831 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](OCC)C(C)C)C1 ZINC001107993724 753357043 /nfs/dbraw/zinc/35/70/43/753357043.db2.gz XFGJQNBWURXTLR-RDJZCZTQSA-N 1 2 312.454 1.831 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001077930189 753441201 /nfs/dbraw/zinc/44/12/01/753441201.db2.gz RLHVCFYAZGYIPR-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001077930189 753441203 /nfs/dbraw/zinc/44/12/03/753441203.db2.gz RLHVCFYAZGYIPR-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO CCn1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)cn1 ZINC001010395933 753861344 /nfs/dbraw/zinc/86/13/44/753861344.db2.gz FHVLTWXRBSIWHF-QGZVFWFLSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)cn1 ZINC001010395933 753861349 /nfs/dbraw/zinc/86/13/49/753861349.db2.gz FHVLTWXRBSIWHF-QGZVFWFLSA-N 1 2 323.400 1.779 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1cncnc1 ZINC001010707431 754112433 /nfs/dbraw/zinc/11/24/33/754112433.db2.gz DBBKKYBXCAMNQA-KRWDZBQOSA-N 1 2 306.369 1.332 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cncnc1 ZINC001010707431 754112441 /nfs/dbraw/zinc/11/24/41/754112441.db2.gz DBBKKYBXCAMNQA-KRWDZBQOSA-N 1 2 306.369 1.332 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C[C@H]2C)cc[nH+]1 ZINC001063729766 754300120 /nfs/dbraw/zinc/30/01/20/754300120.db2.gz OUDNAFLEUDECBU-RISCZKNCSA-N 1 2 324.388 1.948 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001011063128 754334177 /nfs/dbraw/zinc/33/41/77/754334177.db2.gz MQRWMVNECFTMSL-UHFFFAOYSA-N 1 2 304.394 1.225 20 30 DDEDLO Cc1nc(N2CCC[C@@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)cc[nH+]1 ZINC001064557775 754712451 /nfs/dbraw/zinc/71/24/51/754712451.db2.gz RXPXSRFDTVALPJ-CXAGYDPISA-N 1 2 313.405 1.764 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)nc(C)c2)[C@H](O)C1 ZINC001090294855 754776473 /nfs/dbraw/zinc/77/64/73/754776473.db2.gz IGBODKBVMUFLFN-HUUCEWRRSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)nc(C)c2)[C@H](O)C1 ZINC001090294855 754776476 /nfs/dbraw/zinc/77/64/76/754776476.db2.gz IGBODKBVMUFLFN-HUUCEWRRSA-N 1 2 323.824 1.616 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001064924929 754958200 /nfs/dbraw/zinc/95/82/00/754958200.db2.gz CHXOHAODLBNPIS-AWEZNQCLSA-N 1 2 310.361 1.253 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001079589902 755473892 /nfs/dbraw/zinc/47/38/92/755473892.db2.gz RFNJKJUGUXWFKV-LALPHHSUSA-N 1 2 324.388 1.488 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001079589902 755473896 /nfs/dbraw/zinc/47/38/96/755473896.db2.gz RFNJKJUGUXWFKV-LALPHHSUSA-N 1 2 324.388 1.488 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001013933721 755545628 /nfs/dbraw/zinc/54/56/28/755545628.db2.gz MVEMOIDWEPZEII-QWHCGFSZSA-N 1 2 316.405 1.414 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2C)C1 ZINC001080266886 755789430 /nfs/dbraw/zinc/78/94/30/755789430.db2.gz DNVIHQGJGYQRLM-CNVPUSNMSA-N 1 2 301.228 1.988 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2C)C1 ZINC001080266886 755789431 /nfs/dbraw/zinc/78/94/31/755789431.db2.gz DNVIHQGJGYQRLM-CNVPUSNMSA-N 1 2 301.228 1.988 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001080288686 755827755 /nfs/dbraw/zinc/82/77/55/755827755.db2.gz AMHYJYRHHFJKDX-UONOGXRCSA-N 1 2 318.421 1.401 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@H]2C)ccc1C#N ZINC001067113400 756333726 /nfs/dbraw/zinc/33/37/26/756333726.db2.gz MJNZLHWFXMLFAU-DOMZBBRYSA-N 1 2 324.388 1.417 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)[C@H]2CCCCO2)[C@H](OC)C1 ZINC001081680955 756427046 /nfs/dbraw/zinc/42/70/46/756427046.db2.gz RGRCKOTWTQUFIV-RBSFLKMASA-N 1 2 308.422 1.030 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)[C@H]2CCCCO2)[C@H](OC)C1 ZINC001081680955 756427050 /nfs/dbraw/zinc/42/70/50/756427050.db2.gz RGRCKOTWTQUFIV-RBSFLKMASA-N 1 2 308.422 1.030 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2n[nH]cc2F)C1 ZINC001015671202 756454185 /nfs/dbraw/zinc/45/41/85/756454185.db2.gz VIULNUZNNDHACW-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2n[nH]cc2F)C1 ZINC001015671202 756454188 /nfs/dbraw/zinc/45/41/88/756454188.db2.gz VIULNUZNNDHACW-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001015722121 756495030 /nfs/dbraw/zinc/49/50/30/756495030.db2.gz SZVVWRFLYXTCFJ-IMJJTQAJSA-N 1 2 318.421 1.010 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001015722121 756495035 /nfs/dbraw/zinc/49/50/35/756495035.db2.gz SZVVWRFLYXTCFJ-IMJJTQAJSA-N 1 2 318.421 1.010 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnn3ccccc23)C1 ZINC001015864466 756598521 /nfs/dbraw/zinc/59/85/21/756598521.db2.gz ZRVKCGSDRXPYMN-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnn3ccccc23)C1 ZINC001015864466 756598525 /nfs/dbraw/zinc/59/85/25/756598525.db2.gz ZRVKCGSDRXPYMN-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)cccc2Cl)[C@H](OC)C1 ZINC001082098105 756628749 /nfs/dbraw/zinc/62/87/49/756628749.db2.gz QXMLRFPKJBYHPJ-ZIAGYGMSSA-N 1 2 306.793 1.711 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(C)cccc2Cl)[C@H](OC)C1 ZINC001082098105 756628751 /nfs/dbraw/zinc/62/87/51/756628751.db2.gz QXMLRFPKJBYHPJ-ZIAGYGMSSA-N 1 2 306.793 1.711 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(CC)c[nH]c2=O)C1 ZINC001015923918 756653476 /nfs/dbraw/zinc/65/34/76/756653476.db2.gz VHMILQKBLGFSBP-LBPRGKRZSA-N 1 2 309.797 1.906 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(CC)c[nH]c2=O)C1 ZINC001015923918 756653478 /nfs/dbraw/zinc/65/34/78/756653478.db2.gz VHMILQKBLGFSBP-LBPRGKRZSA-N 1 2 309.797 1.906 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cnc3ccccc3c2)[C@H](OC)C1 ZINC001082178183 756663696 /nfs/dbraw/zinc/66/36/96/756663696.db2.gz USZGQXZZBIEAAB-IAGOWNOFSA-N 1 2 309.369 1.297 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cnc3ccccc3c2)[C@H](OC)C1 ZINC001082178183 756663698 /nfs/dbraw/zinc/66/36/98/756663698.db2.gz USZGQXZZBIEAAB-IAGOWNOFSA-N 1 2 309.369 1.297 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2scnc2C2CC2)[C@H](OC)C1 ZINC001082213940 756687269 /nfs/dbraw/zinc/68/72/69/756687269.db2.gz IJQBRJBQCGRZNV-CHWSQXEVSA-N 1 2 319.430 1.473 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2scnc2C2CC2)[C@H](OC)C1 ZINC001082213940 756687271 /nfs/dbraw/zinc/68/72/71/756687271.db2.gz IJQBRJBQCGRZNV-CHWSQXEVSA-N 1 2 319.430 1.473 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)cccc2Cl)[C@H](OC)C1 ZINC001082340051 756746765 /nfs/dbraw/zinc/74/67/65/756746765.db2.gz RJMUTWSIBQJDMM-ZIAGYGMSSA-N 1 2 324.783 1.931 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(F)cccc2Cl)[C@H](OC)C1 ZINC001082340051 756746768 /nfs/dbraw/zinc/74/67/68/756746768.db2.gz RJMUTWSIBQJDMM-ZIAGYGMSSA-N 1 2 324.783 1.931 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)cn2CC)[C@H](OC)C1 ZINC001082373695 756758423 /nfs/dbraw/zinc/75/84/23/756758423.db2.gz XVFCUZZVFAMMSD-TZMCWYRMSA-N 1 2 309.797 1.224 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)cn2CC)[C@H](OC)C1 ZINC001082373695 756758427 /nfs/dbraw/zinc/75/84/27/756758427.db2.gz XVFCUZZVFAMMSD-TZMCWYRMSA-N 1 2 309.797 1.224 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C23CC(C(F)(F)F)(C2)C3)[C@H](OC)C1 ZINC001082386445 756764131 /nfs/dbraw/zinc/76/41/31/756764131.db2.gz HKIMJUITBVMTCX-IENTYSSBSA-N 1 2 316.323 1.168 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C23CC(C(F)(F)F)(C2)C3)[C@H](OC)C1 ZINC001082386445 756764133 /nfs/dbraw/zinc/76/41/33/756764133.db2.gz HKIMJUITBVMTCX-IENTYSSBSA-N 1 2 316.323 1.168 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2sc(COC)nc2C)C1 ZINC001016118617 756770357 /nfs/dbraw/zinc/77/03/57/756770357.db2.gz DHNWOBNCXFYHFI-GFCCVEGCSA-N 1 2 307.419 1.425 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2sc(COC)nc2C)C1 ZINC001016118617 756770361 /nfs/dbraw/zinc/77/03/61/756770361.db2.gz DHNWOBNCXFYHFI-GFCCVEGCSA-N 1 2 307.419 1.425 20 30 DDEDLO Cc1nonc1C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001016413353 757016264 /nfs/dbraw/zinc/01/62/64/757016264.db2.gz DXWFOXDAIKUQQW-SNVBAGLBSA-N 1 2 317.374 1.316 20 30 DDEDLO Cc1nonc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001016413353 757016269 /nfs/dbraw/zinc/01/62/69/757016269.db2.gz DXWFOXDAIKUQQW-SNVBAGLBSA-N 1 2 317.374 1.316 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@H]1O ZINC001090394711 757033756 /nfs/dbraw/zinc/03/37/56/757033756.db2.gz FEKIDDFDBUELIJ-ZIAGYGMSSA-N 1 2 304.394 1.034 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3cccc(CC)c3)[C@H]2C1 ZINC001083123763 757118523 /nfs/dbraw/zinc/11/85/23/757118523.db2.gz RHRWYDLTKZXNRJ-ZWKOTPCHSA-N 1 2 312.413 1.336 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3cccc(CC)c3)[C@H]2C1 ZINC001083123763 757118525 /nfs/dbraw/zinc/11/85/25/757118525.db2.gz RHRWYDLTKZXNRJ-ZWKOTPCHSA-N 1 2 312.413 1.336 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(Cl)ccc3F)[C@H]2C1 ZINC001083136089 757150348 /nfs/dbraw/zinc/15/03/48/757150348.db2.gz GJCFGQDTAUSUMH-LSDHHAIUSA-N 1 2 322.767 1.638 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(Cl)ccc3F)[C@H]2C1 ZINC001083136089 757150351 /nfs/dbraw/zinc/15/03/51/757150351.db2.gz GJCFGQDTAUSUMH-LSDHHAIUSA-N 1 2 322.767 1.638 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cn[nH]n2)C3)cc1 ZINC001097412293 757158309 /nfs/dbraw/zinc/15/83/09/757158309.db2.gz QUURVLGUXLIRMC-FMKPAKJESA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1ccc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cn[nH]n2)C3)cc1 ZINC001097412293 757158311 /nfs/dbraw/zinc/15/83/11/757158311.db2.gz QUURVLGUXLIRMC-FMKPAKJESA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cnn[nH]2)C3)cc1 ZINC001097412293 757158313 /nfs/dbraw/zinc/15/83/13/757158313.db2.gz QUURVLGUXLIRMC-FMKPAKJESA-N 1 2 322.372 1.212 20 30 DDEDLO N#Cc1ccc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cnn[nH]2)C3)cc1 ZINC001097412293 757158315 /nfs/dbraw/zinc/15/83/15/757158315.db2.gz QUURVLGUXLIRMC-FMKPAKJESA-N 1 2 322.372 1.212 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3cc4ccccc4o3)[C@H]2C1 ZINC001083157986 757169026 /nfs/dbraw/zinc/16/90/26/757169026.db2.gz AAADEXPSQXICIB-FUHWJXTLSA-N 1 2 324.380 1.520 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3cc4ccccc4o3)[C@H]2C1 ZINC001083157986 757169029 /nfs/dbraw/zinc/16/90/29/757169029.db2.gz AAADEXPSQXICIB-FUHWJXTLSA-N 1 2 324.380 1.520 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccoc3C3CC3)[C@H]2C1 ZINC001083176199 757180056 /nfs/dbraw/zinc/18/00/56/757180056.db2.gz GZFVCXTXDDRLNR-LSDHHAIUSA-N 1 2 300.358 1.315 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccoc3C3CC3)[C@H]2C1 ZINC001083176199 757180059 /nfs/dbraw/zinc/18/00/59/757180059.db2.gz GZFVCXTXDDRLNR-LSDHHAIUSA-N 1 2 300.358 1.315 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)c(Cl)c3)[C@H]2C1 ZINC001083179055 757183725 /nfs/dbraw/zinc/18/37/25/757183725.db2.gz CBGSVHWGKHMNBQ-LSDHHAIUSA-N 1 2 322.767 1.638 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)c(Cl)c3)[C@H]2C1 ZINC001083179055 757183728 /nfs/dbraw/zinc/18/37/28/757183728.db2.gz CBGSVHWGKHMNBQ-LSDHHAIUSA-N 1 2 322.767 1.638 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@H]2CNC(=O)Cc2c[nH]c[nH+]2)nc1 ZINC001097466423 757192941 /nfs/dbraw/zinc/19/29/41/757192941.db2.gz KAOAWPSIYSPBHM-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3ccccc3C)CCC2)[C@@H](O)C1 ZINC001084003102 757241980 /nfs/dbraw/zinc/24/19/80/757241980.db2.gz SUFLSEJZWYFUSB-SJORKVTESA-N 1 2 312.413 1.211 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3ccccc3C)CCC2)[C@@H](O)C1 ZINC001084003102 757241984 /nfs/dbraw/zinc/24/19/84/757241984.db2.gz SUFLSEJZWYFUSB-SJORKVTESA-N 1 2 312.413 1.211 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CC[C@@H](C)CC)[C@@H](n2ccnn2)C1 ZINC001129337814 762534749 /nfs/dbraw/zinc/53/47/49/762534749.db2.gz YRCAEXYJKPZJFU-ZNMIVQPWSA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CC[C@@H](C)CC)[C@@H](n2ccnn2)C1 ZINC001129337814 762534752 /nfs/dbraw/zinc/53/47/52/762534752.db2.gz YRCAEXYJKPZJFU-ZNMIVQPWSA-N 1 2 303.410 1.079 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H]2CN(C(=O)c3ccon3)[C@@H]2C1 ZINC001084210942 757347217 /nfs/dbraw/zinc/34/72/17/757347217.db2.gz DTZFTAPPINXXQH-NVXWUHKLSA-N 1 2 322.368 1.893 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccon3)[C@@H]2C1 ZINC001084210942 757347229 /nfs/dbraw/zinc/34/72/29/757347229.db2.gz DTZFTAPPINXXQH-NVXWUHKLSA-N 1 2 322.368 1.893 20 30 DDEDLO COc1cccc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001084235852 757436707 /nfs/dbraw/zinc/43/67/07/757436707.db2.gz PZUHUEPHFLJSTR-GZBFAFLISA-N 1 2 314.389 1.283 20 30 DDEDLO COc1cccc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001084235852 757436717 /nfs/dbraw/zinc/43/67/17/757436717.db2.gz PZUHUEPHFLJSTR-GZBFAFLISA-N 1 2 314.389 1.283 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(OCC)nc1 ZINC001017595448 758063885 /nfs/dbraw/zinc/06/38/85/758063885.db2.gz FPMBPDYKUPAMPF-IYBDPMFKSA-N 1 2 313.401 1.792 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(OCC)nc1 ZINC001017595448 758063894 /nfs/dbraw/zinc/06/38/94/758063894.db2.gz FPMBPDYKUPAMPF-IYBDPMFKSA-N 1 2 313.401 1.792 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccc(C(F)F)n1 ZINC001017605203 758071324 /nfs/dbraw/zinc/07/13/24/758071324.db2.gz ULDQVYFCVZZJDT-TXEJJXNPSA-N 1 2 308.332 1.129 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccc(C(F)F)n1 ZINC001017605203 758071333 /nfs/dbraw/zinc/07/13/33/758071333.db2.gz ULDQVYFCVZZJDT-TXEJJXNPSA-N 1 2 308.332 1.129 20 30 DDEDLO N#CCN1CCC[C@@H]([C@@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001053099316 758150340 /nfs/dbraw/zinc/15/03/40/758150340.db2.gz UXOTUWINGDBJHS-ZBFHGGJFSA-N 1 2 315.421 1.569 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cn[nH]n2)c1 ZINC001017697657 758162429 /nfs/dbraw/zinc/16/24/29/758162429.db2.gz GGNNBSWAXNYVBD-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cn[nH]n2)c1 ZINC001017697657 758162435 /nfs/dbraw/zinc/16/24/35/758162435.db2.gz GGNNBSWAXNYVBD-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COc2ccccc2C1 ZINC001017719629 758180474 /nfs/dbraw/zinc/18/04/74/758180474.db2.gz HWDLEGVAXHIMKK-OKZBNKHCSA-N 1 2 324.424 1.936 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COc2ccccc2C1 ZINC001017719629 758180477 /nfs/dbraw/zinc/18/04/77/758180477.db2.gz HWDLEGVAXHIMKK-OKZBNKHCSA-N 1 2 324.424 1.936 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)c(C)nc1C ZINC001017723853 758183366 /nfs/dbraw/zinc/18/33/66/758183366.db2.gz OWIPTBTVTSBCOP-GASCZTMLSA-N 1 2 300.406 1.877 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)c(C)nc1C ZINC001017723853 758183370 /nfs/dbraw/zinc/18/33/70/758183370.db2.gz OWIPTBTVTSBCOP-GASCZTMLSA-N 1 2 300.406 1.877 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccccc1F)CCO2 ZINC001053130985 758189210 /nfs/dbraw/zinc/18/92/10/758189210.db2.gz PIFGRSLSBMUQDJ-UHFFFAOYSA-N 1 2 304.365 1.929 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCOC[C@H]1OCC ZINC001017744904 758202128 /nfs/dbraw/zinc/20/21/28/758202128.db2.gz LEQZOBBBMMMPEA-WCXIOVBPSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCOC[C@H]1OCC ZINC001017744904 758202139 /nfs/dbraw/zinc/20/21/39/758202139.db2.gz LEQZOBBBMMMPEA-WCXIOVBPSA-N 1 2 320.433 1.127 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC(C)(C)C[N@H+]1Cc1cn(C)nn1 ZINC001098965928 758315739 /nfs/dbraw/zinc/31/57/39/758315739.db2.gz CYQLSIDHELSHTB-OAHLLOKOSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC(C)(C)C[N@@H+]1Cc1cn(C)nn1 ZINC001098965928 758315745 /nfs/dbraw/zinc/31/57/45/758315745.db2.gz CYQLSIDHELSHTB-OAHLLOKOSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCN1CC2(C1)CN(C(=O)c1cc3c[nH+]ccc3[nH]1)CCO2 ZINC001053309120 758363417 /nfs/dbraw/zinc/36/34/17/758363417.db2.gz ZNNAPVNOOQCXRV-UHFFFAOYSA-N 1 2 312.373 1.276 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1ccc(F)cn1)CCO2 ZINC001053314682 758367917 /nfs/dbraw/zinc/36/79/17/758367917.db2.gz VGLKRXFCVMQUSH-UHFFFAOYSA-N 1 2 319.380 1.253 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCOC2(C[NH+](C[C@H](C)OC)C2)C1 ZINC001053366089 758405736 /nfs/dbraw/zinc/40/57/36/758405736.db2.gz AVTZJTDYUIEFHB-AWEZNQCLSA-N 1 2 310.438 1.537 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1cccnc1 ZINC001017985944 758442267 /nfs/dbraw/zinc/44/22/67/758442267.db2.gz OMIJKFSXOQYUKU-XWTMOSNGSA-N 1 2 309.413 1.884 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1cccnc1 ZINC001017985944 758442270 /nfs/dbraw/zinc/44/22/70/758442270.db2.gz OMIJKFSXOQYUKU-XWTMOSNGSA-N 1 2 309.413 1.884 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1csc2cncn21 ZINC001018016898 758478726 /nfs/dbraw/zinc/47/87/26/758478726.db2.gz RIVLDTSVHXCPEV-TXEJJXNPSA-N 1 2 302.403 1.871 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1csc2cncn21 ZINC001018016898 758478729 /nfs/dbraw/zinc/47/87/29/758478729.db2.gz RIVLDTSVHXCPEV-TXEJJXNPSA-N 1 2 302.403 1.871 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CCOC3(C[NH+](CC)C3)C2)cc1 ZINC001053460460 758479495 /nfs/dbraw/zinc/47/94/95/758479495.db2.gz BOTQLWRBLTWVEB-UHFFFAOYSA-N 1 2 314.385 1.245 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCOC2(C[NH+](C(C)C)C2)C1 ZINC001053468669 758484080 /nfs/dbraw/zinc/48/40/80/758484080.db2.gz AQHQNRRGLYGXLG-GJZGRUSLSA-N 1 2 308.422 1.289 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2cccc(OC)c12 ZINC001018069694 758522139 /nfs/dbraw/zinc/52/21/39/758522139.db2.gz SEZWRFSHRBOKJJ-OKILXGFUSA-N 1 2 324.384 1.265 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2cccc(OC)c12 ZINC001018069694 758522142 /nfs/dbraw/zinc/52/21/42/758522142.db2.gz SEZWRFSHRBOKJJ-OKILXGFUSA-N 1 2 324.384 1.265 20 30 DDEDLO C=C[C@@H](C(=O)N1CCOC2(C[NH+](CC)C2)C1)c1ccccc1 ZINC001053539034 758543279 /nfs/dbraw/zinc/54/32/79/758543279.db2.gz LZOGDAQAKREFKO-MRXNPFEDSA-N 1 2 300.402 1.889 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1[C@@H](C)CCC[C@@H]1C ZINC001018107160 758552665 /nfs/dbraw/zinc/55/26/65/758552665.db2.gz KNZCHMLNVAHHLB-YHUYYLMFSA-N 1 2 319.449 1.637 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1[C@@H](C)CCC[C@@H]1C ZINC001018107160 758552669 /nfs/dbraw/zinc/55/26/69/758552669.db2.gz KNZCHMLNVAHHLB-YHUYYLMFSA-N 1 2 319.449 1.637 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CC3(C)CCCC3)CC2=O)C1 ZINC001108540982 762640826 /nfs/dbraw/zinc/64/08/26/762640826.db2.gz LMOQKSZWIQEIEO-AWEZNQCLSA-N 1 2 319.449 1.544 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1coc(CC2CC2)n1 ZINC001018119321 758562572 /nfs/dbraw/zinc/56/25/72/758562572.db2.gz LRHOKJAEMOAUBQ-GASCZTMLSA-N 1 2 313.401 1.939 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1coc(CC2CC2)n1 ZINC001018119321 758562578 /nfs/dbraw/zinc/56/25/78/758562578.db2.gz LRHOKJAEMOAUBQ-GASCZTMLSA-N 1 2 313.401 1.939 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001018169740 758599282 /nfs/dbraw/zinc/59/92/82/758599282.db2.gz MVRUWLLPTNYTAP-CWRNSKLLSA-N 1 2 312.417 1.213 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001018169740 758599287 /nfs/dbraw/zinc/59/92/87/758599287.db2.gz MVRUWLLPTNYTAP-CWRNSKLLSA-N 1 2 312.417 1.213 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C(C)C)c1 ZINC001018168831 758599416 /nfs/dbraw/zinc/59/94/16/758599416.db2.gz KRDYXSYKTBKVOJ-IYBDPMFKSA-N 1 2 300.406 1.776 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C(C)C)c1 ZINC001018168831 758599422 /nfs/dbraw/zinc/59/94/22/758599422.db2.gz KRDYXSYKTBKVOJ-IYBDPMFKSA-N 1 2 300.406 1.776 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccncc1C)O2 ZINC001053596156 758617817 /nfs/dbraw/zinc/61/78/17/758617817.db2.gz LVMLRIUBQONJRI-CQSZACIVSA-N 1 2 301.390 1.539 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CC(C)C)c1C ZINC001018223998 758646113 /nfs/dbraw/zinc/64/61/13/758646113.db2.gz FSDGKZNGTRCFIA-IYBDPMFKSA-N 1 2 314.433 1.770 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CC(C)C)c1C ZINC001018223998 758646116 /nfs/dbraw/zinc/64/61/16/758646116.db2.gz FSDGKZNGTRCFIA-IYBDPMFKSA-N 1 2 314.433 1.770 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C(C)C)on1)O2 ZINC001053634137 758650155 /nfs/dbraw/zinc/65/01/55/758650155.db2.gz LDBVQNDARQBFKX-ZDUSSCGKSA-N 1 2 319.405 1.947 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(C)n[nH]c1C)O2 ZINC001053680856 758690341 /nfs/dbraw/zinc/69/03/41/758690341.db2.gz AQDRDUCZGQKGLJ-AWEZNQCLSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncoc1C(C)C)O2 ZINC001053686920 758697190 /nfs/dbraw/zinc/69/71/90/758697190.db2.gz CJYGBHHROGIEHC-ZDUSSCGKSA-N 1 2 319.405 1.947 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]c(=O)n(C)c2c1 ZINC001018352805 758752063 /nfs/dbraw/zinc/75/20/63/758752063.db2.gz GWABGGBKOQHQPJ-OKILXGFUSA-N 1 2 324.384 1.201 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]c(=O)n(C)c2c1 ZINC001018352805 758752072 /nfs/dbraw/zinc/75/20/72/758752072.db2.gz GWABGGBKOQHQPJ-OKILXGFUSA-N 1 2 324.384 1.201 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(=O)C3CCC3)C2)CC1 ZINC001018363040 758760529 /nfs/dbraw/zinc/76/05/29/758760529.db2.gz KKWOVVUVWBNIRS-INIZCTEOSA-N 1 2 319.449 1.355 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(=O)[C@@H]3C[C@H]3C)C2)CC1 ZINC001018368816 758764694 /nfs/dbraw/zinc/76/46/94/758764694.db2.gz GGZCVQQWFIQHKH-BZUAXINKSA-N 1 2 319.449 1.211 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H]1C[C@H]1C1CC1)CO2 ZINC001053876003 758910030 /nfs/dbraw/zinc/91/00/30/758910030.db2.gz RKQZZISZRFAFIM-JYJNAYRXSA-N 1 2 302.418 1.405 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C3CC3)on1)CO2 ZINC001053885406 758919431 /nfs/dbraw/zinc/91/94/31/758919431.db2.gz LOSCTEVXPIJKPX-ZDUSSCGKSA-N 1 2 315.373 1.148 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)(C)C1CCC1)CO2 ZINC001053885011 758919972 /nfs/dbraw/zinc/91/99/72/758919972.db2.gz ZEVMORSNCQBACU-OAHLLOKOSA-N 1 2 304.434 1.796 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)CC1CC(OCC)C1)CO2 ZINC001053902654 758935260 /nfs/dbraw/zinc/93/52/60/758935260.db2.gz GWCYJYOXCBDGSE-PCKAHOCUSA-N 1 2 320.433 1.174 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1Cc3ccccc3C1)CO2 ZINC001053936151 758971869 /nfs/dbraw/zinc/97/18/69/758971869.db2.gz FOTOTWRUEHFUHX-GOSISDBHSA-N 1 2 324.424 1.384 20 30 DDEDLO Cc1nsc(N[C@H](C)CNC(=O)Cn2cc[nH+]c2)c1C#N ZINC001097922780 759025093 /nfs/dbraw/zinc/02/50/93/759025093.db2.gz ZMLXVWCTQVNZNK-SECBINFHSA-N 1 2 304.379 1.137 20 30 DDEDLO C=C/C(C)=C\CC(=O)N[C@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108563830 762690346 /nfs/dbraw/zinc/69/03/46/762690346.db2.gz VCOCXAOGALJGDD-XXUROBRHSA-N 1 2 317.433 1.486 20 30 DDEDLO C#CC[NH2+][C@@H]1CCCC[C@H]1NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001085294559 759239156 /nfs/dbraw/zinc/23/91/56/759239156.db2.gz RGPLHUVNMJRKNP-HZPDHXFCSA-N 1 2 323.400 1.736 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1cccc(F)c1C#N ZINC001098307405 759319097 /nfs/dbraw/zinc/31/90/97/759319097.db2.gz ZMIVFVVSLYSVKB-JTQLQIEISA-N 1 2 301.325 1.580 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2C[C@H](C)N(C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001069114834 767857301 /nfs/dbraw/zinc/85/73/01/767857301.db2.gz XBPNBVLIORHKSV-DZGCQCFKSA-N 1 2 324.388 1.560 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)c2ccoc2)C1=O ZINC001085486679 759499295 /nfs/dbraw/zinc/49/92/95/759499295.db2.gz HBJYYPHVECIKSU-HUUCEWRRSA-N 1 2 317.389 1.213 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)c2ccoc2)C1=O ZINC001085486679 759499303 /nfs/dbraw/zinc/49/93/03/759499303.db2.gz HBJYYPHVECIKSU-HUUCEWRRSA-N 1 2 317.389 1.213 20 30 DDEDLO Cc1nc(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#Cc2ccccc2)c[nH]1 ZINC001085520641 759618804 /nfs/dbraw/zinc/61/88/04/759618804.db2.gz UGIPPHGRMYMJJJ-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO Cc1nc(C(=O)N(C)C[C@H]2CC[N@H+]2CC#Cc2ccccc2)c[nH]1 ZINC001085520641 759618810 /nfs/dbraw/zinc/61/88/10/759618810.db2.gz UGIPPHGRMYMJJJ-QGZVFWFLSA-N 1 2 322.412 1.916 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccccn1)C(=O)c1ccc(C#N)[nH]1 ZINC001085552557 759692878 /nfs/dbraw/zinc/69/28/78/759692878.db2.gz HJGNLCFRGBLMCK-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccccn1)C(=O)c1ccc(C#N)[nH]1 ZINC001085552557 759692881 /nfs/dbraw/zinc/69/28/81/759692881.db2.gz HJGNLCFRGBLMCK-OAHLLOKOSA-N 1 2 309.373 1.628 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@@H+](Cc3ncc(C)o3)C2)nc1 ZINC001046780839 767918984 /nfs/dbraw/zinc/91/89/84/767918984.db2.gz BRJGSLHJMIXOQS-GOSISDBHSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@H+](Cc3ncc(C)o3)C2)nc1 ZINC001046780839 767918989 /nfs/dbraw/zinc/91/89/89/767918989.db2.gz BRJGSLHJMIXOQS-GOSISDBHSA-N 1 2 324.384 1.754 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@H]3C[C@@H](C2)N(C(=O)C#CC2CC2)C3)cc[nH+]1 ZINC001054821305 760110408 /nfs/dbraw/zinc/11/04/08/760110408.db2.gz FKNFWELVQUBALH-XHSDSOJGSA-N 1 2 310.401 1.990 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc2ccc(OC)cc2[nH]1 ZINC001085755243 760172414 /nfs/dbraw/zinc/17/24/14/760172414.db2.gz AQKHACJTERWQTC-CQSZACIVSA-N 1 2 311.385 1.956 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc2ccc(OC)cc2[nH]1 ZINC001085755243 760172415 /nfs/dbraw/zinc/17/24/15/760172415.db2.gz AQKHACJTERWQTC-CQSZACIVSA-N 1 2 311.385 1.956 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@@H]2CCN(C)C2=O)CC1 ZINC001085802697 760260261 /nfs/dbraw/zinc/26/02/61/760260261.db2.gz ZTSUCSPFYSEKQL-JKSUJKDBSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@@H]2CCN(C)C2=O)CC1 ZINC001085802697 760260263 /nfs/dbraw/zinc/26/02/63/760260263.db2.gz ZTSUCSPFYSEKQL-JKSUJKDBSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1C ZINC001085823629 760312167 /nfs/dbraw/zinc/31/21/67/760312167.db2.gz NXMPLSTZBLICON-XHSDSOJGSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1C ZINC001085823629 760312178 /nfs/dbraw/zinc/31/21/78/760312178.db2.gz NXMPLSTZBLICON-XHSDSOJGSA-N 1 2 319.405 1.607 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1cnn(C)n1 ZINC001085870639 760419662 /nfs/dbraw/zinc/41/96/62/760419662.db2.gz ZHBFQGIAUMPQOG-OAHLLOKOSA-N 1 2 324.388 1.033 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1cnn(C)n1 ZINC001085870639 760419668 /nfs/dbraw/zinc/41/96/68/760419668.db2.gz ZHBFQGIAUMPQOG-OAHLLOKOSA-N 1 2 324.388 1.033 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c2c1CCCCC2 ZINC001085969064 760625760 /nfs/dbraw/zinc/62/57/60/760625760.db2.gz UNEUGHHVMQAZEF-CYBMUJFWSA-N 1 2 300.406 1.458 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c2c1CCCCC2 ZINC001085969064 760625764 /nfs/dbraw/zinc/62/57/64/760625764.db2.gz UNEUGHHVMQAZEF-CYBMUJFWSA-N 1 2 300.406 1.458 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c2c1CCCCC2 ZINC001085969053 760626101 /nfs/dbraw/zinc/62/61/01/760626101.db2.gz UHAGJVIEOZYVED-CQSZACIVSA-N 1 2 314.433 1.848 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c2c1CCCCC2 ZINC001085969053 760626104 /nfs/dbraw/zinc/62/61/04/760626104.db2.gz UHAGJVIEOZYVED-CQSZACIVSA-N 1 2 314.433 1.848 20 30 DDEDLO Cc1ccncc1C[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038154370 760861460 /nfs/dbraw/zinc/86/14/60/760861460.db2.gz ROEKNKKMAWPBQJ-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1ccncc1C[N@H+]1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038154370 760861462 /nfs/dbraw/zinc/86/14/62/760861462.db2.gz ROEKNKKMAWPBQJ-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO CCn1ncc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038232366 760934307 /nfs/dbraw/zinc/93/43/07/760934307.db2.gz XFMSCOJUGGFSCZ-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1ncc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)n1 ZINC001038232366 760934308 /nfs/dbraw/zinc/93/43/08/760934308.db2.gz XFMSCOJUGGFSCZ-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(CC)ncnc1CC ZINC001038709916 761313752 /nfs/dbraw/zinc/31/37/52/761313752.db2.gz JGJLEUBDBGFIMO-ZDUSSCGKSA-N 1 2 300.406 1.429 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(CC)ncnc1CC ZINC001038709916 761313758 /nfs/dbraw/zinc/31/37/58/761313758.db2.gz JGJLEUBDBGFIMO-ZDUSSCGKSA-N 1 2 300.406 1.429 20 30 DDEDLO N#Cc1cnccc1NC[C@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001069510879 768040094 /nfs/dbraw/zinc/04/00/94/768040094.db2.gz QTABKAIFOAKNDN-GXTWGEPZSA-N 1 2 324.388 1.038 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)Cc2ccco2)C1 ZINC001108272470 761574110 /nfs/dbraw/zinc/57/41/10/761574110.db2.gz IHSCVFAMYQUYQG-PBHICJAKSA-N 1 2 306.406 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)Cc2ccco2)C1 ZINC001108272470 761574117 /nfs/dbraw/zinc/57/41/17/761574117.db2.gz IHSCVFAMYQUYQG-PBHICJAKSA-N 1 2 306.406 1.851 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1n[nH]c(=O)c2ccccc21 ZINC001038947295 761580734 /nfs/dbraw/zinc/58/07/34/761580734.db2.gz FEQWGGJDTFPWIL-LBPRGKRZSA-N 1 2 310.357 1.163 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1n[nH]c(=O)c2ccccc21 ZINC001038947295 761580737 /nfs/dbraw/zinc/58/07/37/761580737.db2.gz FEQWGGJDTFPWIL-LBPRGKRZSA-N 1 2 310.357 1.163 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001000231845 761589428 /nfs/dbraw/zinc/58/94/28/761589428.db2.gz LRSRQPOBZDDVNJ-MJBXVCDLSA-N 1 2 320.393 1.050 20 30 DDEDLO Cn1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n1 ZINC001039021775 761658264 /nfs/dbraw/zinc/65/82/64/761658264.db2.gz KMRYNQYAZMHSEG-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)n1 ZINC001039021775 761658265 /nfs/dbraw/zinc/65/82/65/761658265.db2.gz KMRYNQYAZMHSEG-HNNXBMFYSA-N 1 2 309.373 1.296 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)c3cc(C#N)c[nH]3)CC2(C)C)cc[nH+]1 ZINC001069554675 768058801 /nfs/dbraw/zinc/05/88/01/768058801.db2.gz IMXAVXHFOGZXOU-CQSZACIVSA-N 1 2 324.388 1.948 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108566813 762709479 /nfs/dbraw/zinc/70/94/79/762709479.db2.gz OGMHNNWQOZNABA-HUUCEWRRSA-N 1 2 319.449 1.566 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)[C@@H](C)CC)CC1 ZINC001131390110 768108951 /nfs/dbraw/zinc/10/89/51/768108951.db2.gz AXCIHSQVDIEMLZ-HOTGVXAUSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)[C@@H](C)CC)CC1 ZINC001131390110 768108957 /nfs/dbraw/zinc/10/89/57/768108957.db2.gz AXCIHSQVDIEMLZ-HOTGVXAUSA-N 1 2 323.481 1.895 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncc(F)c1 ZINC001109080231 763317495 /nfs/dbraw/zinc/31/74/95/763317495.db2.gz ONDHFFSVBBOOOX-PUPMMZHASA-N 1 2 302.353 1.602 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncc(F)c1 ZINC001109080231 763317503 /nfs/dbraw/zinc/31/75/03/763317503.db2.gz ONDHFFSVBBOOOX-PUPMMZHASA-N 1 2 302.353 1.602 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC(Nc2ccc(C#N)nc2)CC1 ZINC001057348610 763487108 /nfs/dbraw/zinc/48/71/08/763487108.db2.gz QHERKMBYLSQIOO-UHFFFAOYSA-N 1 2 324.388 1.332 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)[C@H](C)C2)cc[nH+]1 ZINC001067383231 763590363 /nfs/dbraw/zinc/59/03/63/763590363.db2.gz HKPOKEWLCXGJMY-BXUZGUMPSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)o1 ZINC001042057477 763600693 /nfs/dbraw/zinc/60/06/93/763600693.db2.gz KDEXFRBSKVTHEV-HOTGVXAUSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)o1 ZINC001042057477 763600695 /nfs/dbraw/zinc/60/06/95/763600695.db2.gz KDEXFRBSKVTHEV-HOTGVXAUSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1cc(NC2CC(N(C)C(=O)Cc3c[nH+]c[nH]3)C2)c(C#N)cn1 ZINC001069798114 768148606 /nfs/dbraw/zinc/14/86/06/768148606.db2.gz ZCIRUAXQLSFJAI-UHFFFAOYSA-N 1 2 324.388 1.051 20 30 DDEDLO C=CC[N@H+]1CCC(F)(F)[C@@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001046953725 768148780 /nfs/dbraw/zinc/14/87/80/768148780.db2.gz JTUVUZZZYOXTPO-NSHDSACASA-N 1 2 324.375 1.771 20 30 DDEDLO C=CC[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001046953725 768148785 /nfs/dbraw/zinc/14/87/85/768148785.db2.gz JTUVUZZZYOXTPO-NSHDSACASA-N 1 2 324.375 1.771 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@H]3CCN(CC#N)[C@@H]3C2)c[nH+]1 ZINC001042114319 763667253 /nfs/dbraw/zinc/66/72/53/763667253.db2.gz JPSXDAPYLBYLQV-HUUCEWRRSA-N 1 2 315.421 1.373 20 30 DDEDLO Cc1nc(NCC2CCN(C(=O)c3ccc(C#N)[nH]3)CC2)cc[nH+]1 ZINC001057490010 763826039 /nfs/dbraw/zinc/82/60/39/763826039.db2.gz MGSKMLNXDCLHLA-UHFFFAOYSA-N 1 2 324.388 1.949 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC1CC1)C2 ZINC001109626150 763887033 /nfs/dbraw/zinc/88/70/33/763887033.db2.gz NZXYQVPRTARXEO-PMPSAXMXSA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC1CC1)C2 ZINC001109626150 763887044 /nfs/dbraw/zinc/88/70/44/763887044.db2.gz NZXYQVPRTARXEO-PMPSAXMXSA-N 1 2 317.433 1.038 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(CN(C(=O)CCc3c[nH]nn3)C2)CC1 ZINC001050669417 763895307 /nfs/dbraw/zinc/89/53/07/763895307.db2.gz BRUXKGZXUOJOIO-UHFFFAOYSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(CN(C(=O)CCc3cnn[nH]3)C2)CC1 ZINC001050669417 763895315 /nfs/dbraw/zinc/89/53/15/763895315.db2.gz BRUXKGZXUOJOIO-UHFFFAOYSA-N 1 2 323.828 1.414 20 30 DDEDLO CC(C)(C(=O)N1CC[C@@H]2CCN(CC#N)[C@H]2C1)c1c[nH+]c[nH]1 ZINC001042314532 763904285 /nfs/dbraw/zinc/90/42/85/763904285.db2.gz RQBGCMKKOMZPKN-STQMWFEESA-N 1 2 301.394 1.134 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCCC ZINC001109656165 763916756 /nfs/dbraw/zinc/91/67/56/763916756.db2.gz HINOZWDQJJQJSZ-PMPSAXMXSA-N 1 2 321.465 1.981 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCCC ZINC001109656165 763916763 /nfs/dbraw/zinc/91/67/63/763916763.db2.gz HINOZWDQJJQJSZ-PMPSAXMXSA-N 1 2 321.465 1.981 20 30 DDEDLO Cc1nc(N[C@H]2CCCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001057599659 764089657 /nfs/dbraw/zinc/08/96/57/764089657.db2.gz HGOGASJTOURKND-ZDUSSCGKSA-N 1 2 310.361 1.702 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1oc(C)nc1C ZINC001050858670 764195290 /nfs/dbraw/zinc/19/52/90/764195290.db2.gz JZDXZUJGDFCEHB-AWEZNQCLSA-N 1 2 307.394 1.688 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1oc(C)nc1C ZINC001050858670 764195295 /nfs/dbraw/zinc/19/52/95/764195295.db2.gz JZDXZUJGDFCEHB-AWEZNQCLSA-N 1 2 307.394 1.688 20 30 DDEDLO C=CCN1CCOC[C@@H]1CNC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001050919164 764279446 /nfs/dbraw/zinc/27/94/46/764279446.db2.gz CVQAIZLFJSCOSM-HNNXBMFYSA-N 1 2 314.389 1.259 20 30 DDEDLO C[C@H]1CN(c2ccc(C#N)cn2)CC[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067404027 764299346 /nfs/dbraw/zinc/29/93/46/764299346.db2.gz VBRVXJSGUJSVIG-ZFWWWQNUSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C)c1Cl ZINC001050987958 764387339 /nfs/dbraw/zinc/38/73/39/764387339.db2.gz YZUIHZQQCQCCKR-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C)c1Cl ZINC001050987958 764387342 /nfs/dbraw/zinc/38/73/42/764387342.db2.gz YZUIHZQQCQCCKR-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)CC[NH+]1CC(N(C)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001042724857 764391627 /nfs/dbraw/zinc/39/16/27/764391627.db2.gz DXWKQLXBFAXTOW-HNNXBMFYSA-N 1 2 302.422 1.938 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc2[nH]ccc2n1 ZINC001051026281 764431428 /nfs/dbraw/zinc/43/14/28/764431428.db2.gz PQXOODQJAAFBEN-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc2[nH]ccc2n1 ZINC001051026281 764431434 /nfs/dbraw/zinc/43/14/34/764431434.db2.gz PQXOODQJAAFBEN-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc[nH]c1CCC ZINC001051075840 764481109 /nfs/dbraw/zinc/48/11/09/764481109.db2.gz NIRRFKDERKZULY-AWEZNQCLSA-N 1 2 305.422 1.974 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc[nH]c1CCC ZINC001051075840 764481114 /nfs/dbraw/zinc/48/11/14/764481114.db2.gz NIRRFKDERKZULY-AWEZNQCLSA-N 1 2 305.422 1.974 20 30 DDEDLO Cc1cc(N2CCC[C@H](NC(=O)[C@@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001096151277 768218421 /nfs/dbraw/zinc/21/84/21/768218421.db2.gz INMMMFJTAUBWTF-FZMZJTMJSA-N 1 2 313.405 1.907 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc2cccnn21 ZINC001051147794 764554702 /nfs/dbraw/zinc/55/47/02/764554702.db2.gz PCQHLFLRFJSCBH-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc2cccnn21 ZINC001051147794 764554709 /nfs/dbraw/zinc/55/47/09/764554709.db2.gz PCQHLFLRFJSCBH-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(CCC)on1 ZINC001051186403 764594982 /nfs/dbraw/zinc/59/49/82/764594982.db2.gz MYGMVDUANUUMLV-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(CCC)on1 ZINC001051186403 764594987 /nfs/dbraw/zinc/59/49/87/764594987.db2.gz MYGMVDUANUUMLV-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(C(C)C)nc1C ZINC001051205317 764617999 /nfs/dbraw/zinc/61/79/99/764617999.db2.gz CSEGPRGCUDAVRR-OAHLLOKOSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(C(C)C)nc1C ZINC001051205317 764618009 /nfs/dbraw/zinc/61/80/09/764618009.db2.gz CSEGPRGCUDAVRR-OAHLLOKOSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@H]1O ZINC001112714641 764636593 /nfs/dbraw/zinc/63/65/93/764636593.db2.gz HPVGCWYSKHZYMW-HUUCEWRRSA-N 1 2 318.421 1.281 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@@H]1O ZINC001112714639 764636655 /nfs/dbraw/zinc/63/66/55/764636655.db2.gz HPVGCWYSKHZYMW-CABCVRRESA-N 1 2 318.421 1.281 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)c3c[nH]c(C#N)c3)C[C@H]2C)cc[nH+]1 ZINC001043104702 764674103 /nfs/dbraw/zinc/67/41/03/764674103.db2.gz FNKKHKIRGSEICB-QMTHXVAHSA-N 1 2 310.361 1.557 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)C[C@H]2CC[C@H](C(F)(F)F)O2)C1 ZINC001043419385 764894300 /nfs/dbraw/zinc/89/43/00/764894300.db2.gz IHNNMSVQLSNGTM-VXGBXAGGSA-N 1 2 306.328 1.815 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)C2CCC2)[C@@H](n2ccnn2)C1 ZINC001069957210 768252148 /nfs/dbraw/zinc/25/21/48/768252148.db2.gz ULGMGPSJMVPHMQ-IMJJTQAJSA-N 1 2 315.421 1.079 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)C2CCC2)[C@@H](n2ccnn2)C1 ZINC001069957210 768252150 /nfs/dbraw/zinc/25/21/50/768252150.db2.gz ULGMGPSJMVPHMQ-IMJJTQAJSA-N 1 2 315.421 1.079 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C)nc3onc(C)c32)C1 ZINC001043497963 764940493 /nfs/dbraw/zinc/94/04/93/764940493.db2.gz DATFMBGZPHAGNI-UHFFFAOYSA-N 1 2 312.373 1.619 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001043542717 764966526 /nfs/dbraw/zinc/96/65/26/764966526.db2.gz XKWFDWWAUHBYGV-BXUZGUMPSA-N 1 2 310.361 1.178 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2C[C@H](C)Cc3cn[nH]c32)C1 ZINC001043621537 765009674 /nfs/dbraw/zinc/00/96/74/765009674.db2.gz HNSCDAIISJHDOA-IUODEOHRSA-N 1 2 302.422 1.794 20 30 DDEDLO C=C1CCC(C(=O)N(C)C2C[NH+](CCc3ccnn3C)C2)CC1 ZINC001043751426 765078054 /nfs/dbraw/zinc/07/80/54/765078054.db2.gz IKRXURQEROQLDI-UHFFFAOYSA-N 1 2 316.449 1.852 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001112997285 765118172 /nfs/dbraw/zinc/11/81/72/765118172.db2.gz WWBLYTMRKSBWQF-QWRGUYRKSA-N 1 2 302.382 1.635 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnn(-c3ccccn3)c2)C1 ZINC001043995653 765218411 /nfs/dbraw/zinc/21/84/11/765218411.db2.gz GJMZYKWPSAZHCJ-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(F)cccc2NC(C)=O)C1 ZINC001043998268 765220006 /nfs/dbraw/zinc/22/00/06/765220006.db2.gz BZCUNJDHBQGBLA-UHFFFAOYSA-N 1 2 317.364 1.564 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cn1)[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001113098681 765264661 /nfs/dbraw/zinc/26/46/61/765264661.db2.gz MWFZIZRRAUGCHR-NEPJUHHUSA-N 1 2 312.377 1.235 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3cccc(F)c3)C2)CC1 ZINC001051974472 765334150 /nfs/dbraw/zinc/33/41/50/765334150.db2.gz HLTQPBAUIMAMNS-KRWDZBQOSA-N 1 2 315.392 1.291 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3cccc(C)c3)C2)CC1 ZINC001051974751 765334967 /nfs/dbraw/zinc/33/49/67/765334967.db2.gz KISLSIKEPLNDLA-SFHVURJKSA-N 1 2 311.429 1.460 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccccc3C)C2)CC1 ZINC001051976237 765336605 /nfs/dbraw/zinc/33/66/05/765336605.db2.gz BKPXYLMAIFERSE-KRWDZBQOSA-N 1 2 311.429 1.460 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccc(F)cn3)C2)CC1 ZINC001052017889 765388725 /nfs/dbraw/zinc/38/87/25/765388725.db2.gz XVDMPHYVOOJOBA-HNNXBMFYSA-N 1 2 318.396 1.239 20 30 DDEDLO CC[C@H](F)C[NH+]1CCN([C@H]2CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001052025623 765401886 /nfs/dbraw/zinc/40/18/86/765401886.db2.gz IQSWVKHUWKVSQU-IRXDYDNUSA-N 1 2 321.440 1.366 20 30 DDEDLO CC[C@H](F)CN1CC[NH+]([C@H]2CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001052025623 765401889 /nfs/dbraw/zinc/40/18/89/765401889.db2.gz IQSWVKHUWKVSQU-IRXDYDNUSA-N 1 2 321.440 1.366 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cn2ccc(C(F)(F)F)n2)C1 ZINC001044268354 765437138 /nfs/dbraw/zinc/43/71/38/765437138.db2.gz MPYYURWABYKRGN-UHFFFAOYSA-N 1 2 316.327 1.621 20 30 DDEDLO CC#CCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H](F)C(C)C)C2)CC1 ZINC001052066728 765439477 /nfs/dbraw/zinc/43/94/77/765439477.db2.gz XUADZNSXHZVXTH-HOTGVXAUSA-N 1 2 309.429 1.222 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3CC4(CC4)C3)C2)CC1 ZINC001052080562 765453968 /nfs/dbraw/zinc/45/39/68/765453968.db2.gz DDNVNQSPDPYKGN-INIZCTEOSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(NC(C)=O)c(F)c2)C1 ZINC001044376349 765519516 /nfs/dbraw/zinc/51/95/16/765519516.db2.gz UWDFBTIIKYIVMN-UHFFFAOYSA-N 1 2 317.364 1.564 20 30 DDEDLO C[C@H]1C[C@H](NCC#N)CCN1C(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001044808526 765827105 /nfs/dbraw/zinc/82/71/05/765827105.db2.gz MIJWQKLEIRJOBN-UONOGXRCSA-N 1 2 324.388 1.374 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113671191 766007905 /nfs/dbraw/zinc/00/79/05/766007905.db2.gz MPAFRVHCWDTHPZ-CQSZACIVSA-N 1 2 322.412 1.950 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C4CC4)n3)C[C@H]21 ZINC001114198697 766771062 /nfs/dbraw/zinc/77/10/62/766771062.db2.gz HIGOTYYBPVXORK-QLPKVWCKSA-N 1 2 313.401 1.902 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C4CC4)n3)C[C@H]21 ZINC001114198697 766771071 /nfs/dbraw/zinc/77/10/71/766771071.db2.gz HIGOTYYBPVXORK-QLPKVWCKSA-N 1 2 313.401 1.902 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)cs1 ZINC001114234064 766797837 /nfs/dbraw/zinc/79/78/37/766797837.db2.gz FHLDSUAEIVYDKN-FOLVSLTJSA-N 1 2 303.431 1.657 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)cs1 ZINC001114234064 766797845 /nfs/dbraw/zinc/79/78/45/766797845.db2.gz FHLDSUAEIVYDKN-FOLVSLTJSA-N 1 2 303.431 1.657 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)CC2)C1 ZINC001046015092 766809840 /nfs/dbraw/zinc/80/98/40/766809840.db2.gz KKGGLZUYVLZHFX-MWDXBVQZSA-N 1 2 316.449 1.165 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C4CC4)n3)C[C@H]21 ZINC001114256335 766827929 /nfs/dbraw/zinc/82/79/29/766827929.db2.gz YVLYECZWEQXJQJ-QLPKVWCKSA-N 1 2 311.385 1.512 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C4CC4)n3)C[C@H]21 ZINC001114256335 766827939 /nfs/dbraw/zinc/82/79/39/766827939.db2.gz YVLYECZWEQXJQJ-QLPKVWCKSA-N 1 2 311.385 1.512 20 30 DDEDLO C[C@H](C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C1CCCC1 ZINC001046078212 766893026 /nfs/dbraw/zinc/89/30/26/766893026.db2.gz VHSMZKZSYHQWEB-DOTOQJQBSA-N 1 2 318.465 1.555 20 30 DDEDLO CC1(C)CN(c2ncccc2C#N)C[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001068139878 766946091 /nfs/dbraw/zinc/94/60/91/766946091.db2.gz KBSLQNAURCWTBR-CQSZACIVSA-N 1 2 324.388 1.250 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001046225306 767214073 /nfs/dbraw/zinc/21/40/73/767214073.db2.gz ZIMKAIPPLYGZEC-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001046225306 767214075 /nfs/dbraw/zinc/21/40/75/767214075.db2.gz ZIMKAIPPLYGZEC-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](CNC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001046325373 767424227 /nfs/dbraw/zinc/42/42/27/767424227.db2.gz LFRLAGNLRDPQOZ-BJHJDKERSA-N 1 2 310.361 1.605 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cccn3nnnc23)C1 ZINC001046342906 767444581 /nfs/dbraw/zinc/44/45/81/767444581.db2.gz XEICPMMRKUBSOI-AWEZNQCLSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cccn3nnnc23)C1 ZINC001046342906 767444588 /nfs/dbraw/zinc/44/45/88/767444588.db2.gz XEICPMMRKUBSOI-AWEZNQCLSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001096103435 767623553 /nfs/dbraw/zinc/62/35/53/767623553.db2.gz RBPOYPMVJNQKKB-KRWDZBQOSA-N 1 2 318.421 1.539 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@]2(C)CC[N@@H+](Cc3ncc(C)o3)C2)c1 ZINC001046606395 767732478 /nfs/dbraw/zinc/73/24/78/767732478.db2.gz DGVKKFDGYDKLEH-GOSISDBHSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@]2(C)CC[N@H+](Cc3ncc(C)o3)C2)c1 ZINC001046606395 767732483 /nfs/dbraw/zinc/73/24/83/767732483.db2.gz DGVKKFDGYDKLEH-GOSISDBHSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnc3cccnn32)C1 ZINC001046683805 767803190 /nfs/dbraw/zinc/80/31/90/767803190.db2.gz MHYQCGPMQIDQOY-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnc3cccnn32)C1 ZINC001046683805 767803198 /nfs/dbraw/zinc/80/31/98/767803198.db2.gz MHYQCGPMQIDQOY-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2CC3(CCC3)C2)[C@@H](O)C1 ZINC001090496888 767813273 /nfs/dbraw/zinc/81/32/73/767813273.db2.gz SEMBQTPUHDXYJL-KGLIPLIRSA-N 1 2 312.841 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2CC3(CCC3)C2)[C@@H](O)C1 ZINC001090496888 767813281 /nfs/dbraw/zinc/81/32/81/767813281.db2.gz SEMBQTPUHDXYJL-KGLIPLIRSA-N 1 2 312.841 1.871 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047308106 768391109 /nfs/dbraw/zinc/39/11/09/768391109.db2.gz GZRSRCKMAVXOGQ-HOTGVXAUSA-N 1 2 301.390 1.218 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047308106 768391117 /nfs/dbraw/zinc/39/11/17/768391117.db2.gz GZRSRCKMAVXOGQ-HOTGVXAUSA-N 1 2 301.390 1.218 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CNC(=O)CCC)CC[C@@H]1C ZINC001131862212 768419650 /nfs/dbraw/zinc/41/96/50/768419650.db2.gz SMNFDSFMSHDQBP-QWHCGFSZSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CNC(=O)CCC)CC[C@@H]1C ZINC001131862212 768419653 /nfs/dbraw/zinc/41/96/53/768419653.db2.gz SMNFDSFMSHDQBP-QWHCGFSZSA-N 1 2 315.845 1.624 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3ccncc3s2)C1 ZINC001047408830 768458721 /nfs/dbraw/zinc/45/87/21/768458721.db2.gz FBJSCXHXEIKCSW-STQMWFEESA-N 1 2 317.414 1.599 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3ccncc3s2)C1 ZINC001047408830 768458724 /nfs/dbraw/zinc/45/87/24/768458724.db2.gz FBJSCXHXEIKCSW-STQMWFEESA-N 1 2 317.414 1.599 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2ccc(OC)nn2)C1 ZINC001131900226 768464523 /nfs/dbraw/zinc/46/45/23/768464523.db2.gz VHDLVEDECBWTRL-ZIAGYGMSSA-N 1 2 318.421 1.921 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001131900226 768464526 /nfs/dbraw/zinc/46/45/26/768464526.db2.gz VHDLVEDECBWTRL-ZIAGYGMSSA-N 1 2 318.421 1.921 20 30 DDEDLO C#CC[NH+]1CC2(C1)CN(C(=O)[C@H](C)CC)C[C@@H]2c1nnc(C)[nH]1 ZINC001070441410 768484680 /nfs/dbraw/zinc/48/46/80/768484680.db2.gz UFNBXGAYYVQHHO-TZMCWYRMSA-N 1 2 315.421 1.020 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(CC3CC3)s2)C1 ZINC001047469554 768499342 /nfs/dbraw/zinc/49/93/42/768499342.db2.gz WIEMUWPAIFERJA-STQMWFEESA-N 1 2 321.446 1.399 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(CC3CC3)s2)C1 ZINC001047469554 768499347 /nfs/dbraw/zinc/49/93/47/768499347.db2.gz WIEMUWPAIFERJA-STQMWFEESA-N 1 2 321.446 1.399 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001131946369 768503454 /nfs/dbraw/zinc/50/34/54/768503454.db2.gz ITINARCHGKWYQY-DOMZBBRYSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001131946369 768503459 /nfs/dbraw/zinc/50/34/59/768503459.db2.gz ITINARCHGKWYQY-DOMZBBRYSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132068533 768604122 /nfs/dbraw/zinc/60/41/22/768604122.db2.gz GWEWBALICIFBCD-UONOGXRCSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132068533 768604125 /nfs/dbraw/zinc/60/41/25/768604125.db2.gz GWEWBALICIFBCD-UONOGXRCSA-N 1 2 320.437 1.198 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(Cl)s2)C1 ZINC001047618778 768616410 /nfs/dbraw/zinc/61/64/10/768616410.db2.gz OAABSVLIJVUOSI-QWRGUYRKSA-N 1 2 312.822 1.542 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(Cl)s2)C1 ZINC001047618778 768616417 /nfs/dbraw/zinc/61/64/17/768616417.db2.gz OAABSVLIJVUOSI-QWRGUYRKSA-N 1 2 312.822 1.542 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCc2[nH+]ccn2C1)Nc1ncccc1C#N ZINC001098125213 768639154 /nfs/dbraw/zinc/63/91/54/768639154.db2.gz BANOXLMMMBLIOZ-TZMCWYRMSA-N 1 2 324.388 1.329 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132214926 768680438 /nfs/dbraw/zinc/68/04/38/768680438.db2.gz PICJERNJMAYTEZ-ZIAGYGMSSA-N 1 2 320.437 1.198 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132214926 768680441 /nfs/dbraw/zinc/68/04/41/768680441.db2.gz PICJERNJMAYTEZ-ZIAGYGMSSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001071057480 768920120 /nfs/dbraw/zinc/92/01/20/768920120.db2.gz RLBLGSWZTYJRKQ-CYBMUJFWSA-N 1 2 304.394 1.334 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[NH2+]Cc1nc(CCOC)no1 ZINC001132649668 769065219 /nfs/dbraw/zinc/06/52/19/769065219.db2.gz AAJKYAYHIFJVQY-GFCCVEGCSA-N 1 2 310.398 1.067 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc(OC)ncn2)CC[C@H]1C ZINC001071514250 769543353 /nfs/dbraw/zinc/54/33/53/769543353.db2.gz LXRRCVAJHQDTCU-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc(OC)ncn2)CC[C@H]1C ZINC001071514250 769543359 /nfs/dbraw/zinc/54/33/59/769543359.db2.gz LXRRCVAJHQDTCU-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001133380403 769761737 /nfs/dbraw/zinc/76/17/37/769761737.db2.gz FMWREFMHAOXRQV-BLLLJJGKSA-N 1 2 324.425 1.975 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001133383324 769763229 /nfs/dbraw/zinc/76/32/29/769763229.db2.gz NNNZXNVTESAHDU-OAHLLOKOSA-N 1 2 324.450 1.910 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccnc(OC)n2)CC[C@H]1C ZINC001071675206 769844966 /nfs/dbraw/zinc/84/49/66/769844966.db2.gz CSUNTOUYRXECPK-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccnc(OC)n2)CC[C@H]1C ZINC001071675206 769844979 /nfs/dbraw/zinc/84/49/79/769844979.db2.gz CSUNTOUYRXECPK-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001158894842 770024336 /nfs/dbraw/zinc/02/43/36/770024336.db2.gz SKQXAUYBXAYUCV-UHFFFAOYSA-N 1 2 322.457 1.626 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001071787455 770071568 /nfs/dbraw/zinc/07/15/68/770071568.db2.gz OPSMUMLEBQRPOC-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sc(COC)nc1C)C2 ZINC001096443454 770131836 /nfs/dbraw/zinc/13/18/36/770131836.db2.gz CMRJLFXXHDTDAY-AGIUHOORSA-N 1 2 319.430 1.566 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sc(COC)nc1C)C2 ZINC001096443454 770131838 /nfs/dbraw/zinc/13/18/38/770131838.db2.gz CMRJLFXXHDTDAY-AGIUHOORSA-N 1 2 319.430 1.566 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001096492517 770473662 /nfs/dbraw/zinc/47/36/62/770473662.db2.gz VZJDWLNDIJESPF-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001096492517 770473666 /nfs/dbraw/zinc/47/36/66/770473666.db2.gz VZJDWLNDIJESPF-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1nccs1 ZINC001049381735 770760518 /nfs/dbraw/zinc/76/05/18/770760518.db2.gz LTHBFHFSSYHXKS-FRRDWIJNSA-N 1 2 304.419 1.868 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1nccs1 ZINC001049381735 770760525 /nfs/dbraw/zinc/76/05/25/770760525.db2.gz LTHBFHFSSYHXKS-FRRDWIJNSA-N 1 2 304.419 1.868 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1nccs1 ZINC001049381737 770762208 /nfs/dbraw/zinc/76/22/08/770762208.db2.gz LTHBFHFSSYHXKS-UPJWGTAASA-N 1 2 304.419 1.868 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1nccs1 ZINC001049381737 770762216 /nfs/dbraw/zinc/76/22/16/770762216.db2.gz LTHBFHFSSYHXKS-UPJWGTAASA-N 1 2 304.419 1.868 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001072453724 770920187 /nfs/dbraw/zinc/92/01/87/770920187.db2.gz NYNJLEPJIGWROT-HUUCEWRRSA-N 1 2 316.405 1.040 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C1=NC(=O)N(C)C1 ZINC001049691336 771089993 /nfs/dbraw/zinc/08/99/93/771089993.db2.gz WFARAXVEFJUJKL-UONOGXRCSA-N 1 2 304.394 1.381 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C1=NC(=O)N(C)C1 ZINC001049691336 771089995 /nfs/dbraw/zinc/08/99/95/771089995.db2.gz WFARAXVEFJUJKL-UONOGXRCSA-N 1 2 304.394 1.381 20 30 DDEDLO C#CCOCCC(=O)NC[C@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096728650 771420531 /nfs/dbraw/zinc/42/05/31/771420531.db2.gz RSFBUJXYAFQKCO-AWEZNQCLSA-N 1 2 302.378 1.132 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001096922665 771515826 /nfs/dbraw/zinc/51/58/26/771515826.db2.gz NYPPZNHVSMEHPW-OCCSQVGLSA-N 1 2 304.394 1.779 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001097149357 771641169 /nfs/dbraw/zinc/64/11/69/771641169.db2.gz SHLUIFUWPOVEAG-TXEJJXNPSA-N 1 2 304.398 1.271 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(N)=O)c1ccccc1 ZINC001111443813 771657511 /nfs/dbraw/zinc/65/75/11/771657511.db2.gz XHKGEISKWZHNIR-QXSJWSMHSA-N 1 2 313.401 1.163 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(N)=O)c1ccccc1 ZINC001111443813 771657514 /nfs/dbraw/zinc/65/75/14/771657514.db2.gz XHKGEISKWZHNIR-QXSJWSMHSA-N 1 2 313.401 1.163 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC1=CC[N@H+](Cc2cnns2)CC1 ZINC001159587571 771713478 /nfs/dbraw/zinc/71/34/78/771713478.db2.gz UFIBQFPVUHUMOE-NSHDSACASA-N 1 2 305.407 1.336 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC1=CC[N@@H+](Cc2cnns2)CC1 ZINC001159587571 771713481 /nfs/dbraw/zinc/71/34/81/771713481.db2.gz UFIBQFPVUHUMOE-NSHDSACASA-N 1 2 305.407 1.336 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC2=CCOCC2)[C@@H](O)C1 ZINC001090544797 771981272 /nfs/dbraw/zinc/98/12/72/771981272.db2.gz XJIHXUJDTQBHFB-KBPBESRZSA-N 1 2 314.813 1.027 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC2=CCOCC2)[C@@H](O)C1 ZINC001090544797 771981277 /nfs/dbraw/zinc/98/12/77/771981277.db2.gz XJIHXUJDTQBHFB-KBPBESRZSA-N 1 2 314.813 1.027 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(Cl)[nH]2)[C@H](O)C1 ZINC001090560508 771990946 /nfs/dbraw/zinc/99/09/46/771990946.db2.gz UYWBKOIKPXGWGE-GXSJLCMTSA-N 1 2 318.204 1.586 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(Cl)[nH]2)[C@H](O)C1 ZINC001090560508 771990949 /nfs/dbraw/zinc/99/09/49/771990949.db2.gz UYWBKOIKPXGWGE-GXSJLCMTSA-N 1 2 318.204 1.586 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c[nH]cc2C2CC2)[C@H](O)C1 ZINC001090690134 772112354 /nfs/dbraw/zinc/11/23/54/772112354.db2.gz MKGHAIAZXWEVKH-HUUCEWRRSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c[nH]cc2C2CC2)[C@H](O)C1 ZINC001090690134 772112357 /nfs/dbraw/zinc/11/23/57/772112357.db2.gz MKGHAIAZXWEVKH-HUUCEWRRSA-N 1 2 323.824 1.810 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C ZINC001171025690 772131886 /nfs/dbraw/zinc/13/18/86/772131886.db2.gz GVCAJWSOZHHXAC-RBSFLKMASA-N 1 2 308.422 1.823 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C ZINC001171025690 772131887 /nfs/dbraw/zinc/13/18/87/772131887.db2.gz GVCAJWSOZHHXAC-RBSFLKMASA-N 1 2 308.422 1.823 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2nocc2C)CCCO1 ZINC001149361703 772443227 /nfs/dbraw/zinc/44/32/27/772443227.db2.gz JYIXFFIUUYCKIZ-CQSZACIVSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2nocc2C)CCCO1 ZINC001149361703 772443230 /nfs/dbraw/zinc/44/32/30/772443230.db2.gz JYIXFFIUUYCKIZ-CQSZACIVSA-N 1 2 307.394 1.656 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC2(C1)CC[N@H+](Cc1ccon1)C2 ZINC001147422154 773139635 /nfs/dbraw/zinc/13/96/35/773139635.db2.gz CIQHPVHTVAJWFR-HNNXBMFYSA-N 1 2 305.378 1.036 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC2(C1)CC[N@@H+](Cc1ccon1)C2 ZINC001147422154 773139639 /nfs/dbraw/zinc/13/96/39/773139639.db2.gz CIQHPVHTVAJWFR-HNNXBMFYSA-N 1 2 305.378 1.036 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C[C@@H]2CCOC2)C1 ZINC001149446742 773364797 /nfs/dbraw/zinc/36/47/97/773364797.db2.gz IQXDRAFFRGNGPD-UONOGXRCSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C[C@@H]2CCOC2)C1 ZINC001149446742 773364804 /nfs/dbraw/zinc/36/48/04/773364804.db2.gz IQXDRAFFRGNGPD-UONOGXRCSA-N 1 2 316.829 1.373 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccsc3)C[C@H]21 ZINC001074158799 773681652 /nfs/dbraw/zinc/68/16/52/773681652.db2.gz UXOUXZPDFMLUDM-HUUCEWRRSA-N 1 2 304.415 1.687 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccsc3)C[C@H]21 ZINC001074158799 773681654 /nfs/dbraw/zinc/68/16/54/773681654.db2.gz UXOUXZPDFMLUDM-HUUCEWRRSA-N 1 2 304.415 1.687 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001074168012 773691204 /nfs/dbraw/zinc/69/12/04/773691204.db2.gz HPZPOZJGKJQOBV-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC3CCC3)C[C@@H]21 ZINC001074204963 773726582 /nfs/dbraw/zinc/72/65/82/773726582.db2.gz KYQODXHMVCABRD-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC3CCC3)C[C@@H]21 ZINC001074204963 773726584 /nfs/dbraw/zinc/72/65/84/773726584.db2.gz KYQODXHMVCABRD-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3coc(C)c3)C[C@@H]21 ZINC001074226594 773750954 /nfs/dbraw/zinc/75/09/54/773750954.db2.gz SQHASEPHIBVKMF-JKSUJKDBSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3coc(C)c3)C[C@@H]21 ZINC001074226594 773750959 /nfs/dbraw/zinc/75/09/59/773750959.db2.gz SQHASEPHIBVKMF-JKSUJKDBSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CC4(CCC4)C3)C[C@H]21 ZINC001074314243 773816318 /nfs/dbraw/zinc/81/63/18/773816318.db2.gz BNJKGXXFYLCWLU-SJORKVTESA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CC4(CCC4)C3)C[C@H]21 ZINC001074314243 773816324 /nfs/dbraw/zinc/81/63/24/773816324.db2.gz BNJKGXXFYLCWLU-SJORKVTESA-N 1 2 316.445 1.892 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3n[nH]cc3F)C[C@H]21 ZINC001074331393 773827264 /nfs/dbraw/zinc/82/72/64/773827264.db2.gz GOJTWRPWEFGBDP-OLZOCXBDSA-N 1 2 308.357 1.040 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3n[nH]cc3F)C[C@H]21 ZINC001074331393 773827268 /nfs/dbraw/zinc/82/72/68/773827268.db2.gz GOJTWRPWEFGBDP-OLZOCXBDSA-N 1 2 308.357 1.040 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CC4(CC4)C3)C[C@H]21 ZINC001074336545 773834004 /nfs/dbraw/zinc/83/40/04/773834004.db2.gz RKPIQBVKRMOFJD-CVEARBPZSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CC4(CC4)C3)C[C@H]21 ZINC001074336545 773834011 /nfs/dbraw/zinc/83/40/11/773834011.db2.gz RKPIQBVKRMOFJD-CVEARBPZSA-N 1 2 302.418 1.502 20 30 DDEDLO C=C(Cl)CN1CCO[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C[C@@H]21 ZINC001074346890 773844025 /nfs/dbraw/zinc/84/40/25/773844025.db2.gz VZQLWAXHDKOKON-KBPBESRZSA-N 1 2 324.812 1.006 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nnc(C(C)(C)C)o2)[C@@H]1C ZINC001074568547 774001096 /nfs/dbraw/zinc/00/10/96/774001096.db2.gz RWWSTIZWYCVRCQ-WOPDTQHZSA-N 1 2 319.409 1.606 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC1CC(Nc2ccc(C#N)cn2)C1 ZINC001092231753 774019795 /nfs/dbraw/zinc/01/97/95/774019795.db2.gz ZBNCKMXDXWCJKX-UHFFFAOYSA-N 1 2 324.388 1.534 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cncc(C)c1)c1nccn12 ZINC001092356666 774072345 /nfs/dbraw/zinc/07/23/45/774072345.db2.gz KHQHXVMUTJIYQY-OAHLLOKOSA-N 1 2 323.400 1.658 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1CC(C)C1)c1nccn12 ZINC001092357393 774072371 /nfs/dbraw/zinc/07/23/71/774072371.db2.gz SQYIVCSAAXGGSM-RUXDESIVSA-N 1 2 300.406 1.687 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC13CCC3)c1nccn12 ZINC001092399219 774101455 /nfs/dbraw/zinc/10/14/55/774101455.db2.gz AZGVJKCTNTXMII-ZIAGYGMSSA-N 1 2 312.417 1.831 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1nccs1)c1nccn12 ZINC001092406400 774104022 /nfs/dbraw/zinc/10/40/22/774104022.db2.gz UIHWNAHGMGTOQC-NSHDSACASA-N 1 2 315.402 1.411 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001075044755 774317703 /nfs/dbraw/zinc/31/77/03/774317703.db2.gz ANOPCLXEUNNHLU-CABCVRRESA-N 1 2 318.421 1.735 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@H]1C ZINC001075211317 774425236 /nfs/dbraw/zinc/42/52/36/774425236.db2.gz RWVYSVYXFRLHEE-KGLIPLIRSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098678851 774639748 /nfs/dbraw/zinc/63/97/48/774639748.db2.gz OGKDZCJWZFSECE-CQSZACIVSA-N 1 2 323.416 1.713 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cccnn3)CC2)C1 ZINC001093588373 774868884 /nfs/dbraw/zinc/86/88/84/774868884.db2.gz LQYGZSMSCHOPKP-UHFFFAOYSA-N 1 2 316.405 1.358 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CCO[C@H]3C)CC2)C1 ZINC001093588524 774870287 /nfs/dbraw/zinc/87/02/87/774870287.db2.gz PMOWAFVIAJDUMR-BBRMVZONSA-N 1 2 308.422 1.289 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)Cc3ccc[nH]3)CC2)C1 ZINC001093599037 774903829 /nfs/dbraw/zinc/90/38/29/774903829.db2.gz OFCPYEQMCGKNNF-UHFFFAOYSA-N 1 2 303.406 1.435 20 30 DDEDLO CC1(C)CC[C@H](CNC(=O)CCCn2cc[nH+]c2)N(CC#N)C1 ZINC001099364368 774964556 /nfs/dbraw/zinc/96/45/56/774964556.db2.gz JTRYAWILGXAEAX-OAHLLOKOSA-N 1 2 317.437 1.794 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CN(C(=O)Cc3ncn[nH]3)CC[C@@H]21 ZINC001036865069 774990549 /nfs/dbraw/zinc/99/05/49/774990549.db2.gz IANAOYXIWQYYKX-RYUDHWBXSA-N 1 2 309.801 1.023 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CN(C(=O)Cc3ncn[nH]3)CC[C@@H]21 ZINC001036865069 774990557 /nfs/dbraw/zinc/99/05/57/774990557.db2.gz IANAOYXIWQYYKX-RYUDHWBXSA-N 1 2 309.801 1.023 20 30 DDEDLO CCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C[C@H]1O ZINC001099626950 775076515 /nfs/dbraw/zinc/07/65/15/775076515.db2.gz HEFAFZLRNWHBGZ-JKSUJKDBSA-N 1 2 319.380 1.549 20 30 DDEDLO CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C[C@H]1O ZINC001099626950 775076522 /nfs/dbraw/zinc/07/65/22/775076522.db2.gz HEFAFZLRNWHBGZ-JKSUJKDBSA-N 1 2 319.380 1.549 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CSC(C)C)[C@@H](O)C1 ZINC001099678236 775130961 /nfs/dbraw/zinc/13/09/61/775130961.db2.gz IDOBFGIHVXJLIM-NEPJUHHUSA-N 1 2 306.859 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CSC(C)C)[C@@H](O)C1 ZINC001099678236 775130966 /nfs/dbraw/zinc/13/09/66/775130966.db2.gz IDOBFGIHVXJLIM-NEPJUHHUSA-N 1 2 306.859 1.432 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C[C@@H]1O ZINC001099947767 775459913 /nfs/dbraw/zinc/45/99/13/775459913.db2.gz ZWUOZCCEQYLXIJ-CABCVRRESA-N 1 2 320.437 1.074 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccn(C)n2)C[C@@H]1O ZINC001099947767 775459920 /nfs/dbraw/zinc/45/99/20/775459920.db2.gz ZWUOZCCEQYLXIJ-CABCVRRESA-N 1 2 320.437 1.074 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099960058 775483867 /nfs/dbraw/zinc/48/38/67/775483867.db2.gz NHGOKEANNGKZLG-STQMWFEESA-N 1 2 309.435 1.407 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099960058 775483872 /nfs/dbraw/zinc/48/38/72/775483872.db2.gz NHGOKEANNGKZLG-STQMWFEESA-N 1 2 309.435 1.407 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3CCCCC3)nn2)C1 ZINC001094271394 775615314 /nfs/dbraw/zinc/61/53/14/775615314.db2.gz VOTJFUCHOOYEJK-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3CC4(CCC4)C3)nn2)C1 ZINC001094310964 775664221 /nfs/dbraw/zinc/66/42/21/775664221.db2.gz BOLMLATXOZGRKN-UHFFFAOYSA-N 1 2 315.421 1.517 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@H]3C(C)C)nn2)C1 ZINC001094332098 775714924 /nfs/dbraw/zinc/71/49/24/775714924.db2.gz KRPLEZHJVVZJTD-JKSUJKDBSA-N 1 2 315.421 1.066 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2NCCCNC(=O)C#CC(C)(C)C ZINC001094401898 775813703 /nfs/dbraw/zinc/81/37/03/775813703.db2.gz OZXAVYMORDFWQR-UHFFFAOYSA-N 1 2 314.393 1.331 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@@H]2CCc3[nH+]ccn3C2)cn1 ZINC001094656621 776175246 /nfs/dbraw/zinc/17/52/46/776175246.db2.gz PFDFIWZYWSQXBK-CYBMUJFWSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094709967 776178653 /nfs/dbraw/zinc/17/86/53/776178653.db2.gz CNYAHZMWGQCXGA-GFCCVEGCSA-N 1 2 316.409 1.884 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C=C3CCC3)CC2=O)C1 ZINC001094721889 776189909 /nfs/dbraw/zinc/18/99/09/776189909.db2.gz MRAFIWGBPQGZPN-CQSZACIVSA-N 1 2 303.406 1.074 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3ccc(C)s3)CC2=O)C1 ZINC001094693116 776203541 /nfs/dbraw/zinc/20/35/41/776203541.db2.gz LULZORAJSVYHFJ-LBPRGKRZSA-N 1 2 319.430 1.257 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3C[C@H]3CC)CC2=O)C1 ZINC001094790433 776281283 /nfs/dbraw/zinc/28/12/83/776281283.db2.gz JLZULCGMJZHJAJ-UMVBOHGHSA-N 1 2 305.422 1.010 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1[C@@H]1CCCN(C(=O)c2ccccc2)CC1 ZINC001171886789 776504343 /nfs/dbraw/zinc/50/43/43/776504343.db2.gz DOQOPTCVWFJYHR-SJORKVTESA-N 1 2 312.417 1.479 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+]([C@H](C)Cc2ccc(C#N)cc2)CCO1 ZINC001172319748 776747280 /nfs/dbraw/zinc/74/72/80/776747280.db2.gz QVPUUMQSHSUINQ-CZUORRHYSA-N 1 2 302.374 1.753 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+]([C@H](C)Cc2ccc(C#N)cc2)CCO1 ZINC001172319748 776747282 /nfs/dbraw/zinc/74/72/82/776747282.db2.gz QVPUUMQSHSUINQ-CZUORRHYSA-N 1 2 302.374 1.753 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001101002690 776811224 /nfs/dbraw/zinc/81/12/24/776811224.db2.gz UXOSZYRRHDKYED-ZDUSSCGKSA-N 1 2 324.388 1.170 20 30 DDEDLO Cc1nc(N2CC[C@@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001101007297 776817536 /nfs/dbraw/zinc/81/75/36/776817536.db2.gz IXEFCAIGYXMARS-ZDUSSCGKSA-N 1 2 324.388 1.170 20 30 DDEDLO CCCN(CCNC(=O)Cc1[nH]cc[nH+]1)c1ccc(C#N)cn1 ZINC001101172514 776964508 /nfs/dbraw/zinc/96/45/08/776964508.db2.gz NCJAJKFOHIAIOF-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](CC)OCC)c1nccn12 ZINC001101613900 777305259 /nfs/dbraw/zinc/30/52/59/777305259.db2.gz KAVCKVYQOUKVPM-ZIAGYGMSSA-N 1 2 318.421 1.456 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C\C1CC1)c1nccn12 ZINC001101620185 777313944 /nfs/dbraw/zinc/31/39/44/777313944.db2.gz DRYGYBIUYPJKLM-XHPSBEMXSA-N 1 2 310.401 1.445 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001101848082 777599841 /nfs/dbraw/zinc/59/98/41/777599841.db2.gz ULXYWFFSDSOVSR-CQSZACIVSA-N 1 2 324.388 1.283 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101900171 777660276 /nfs/dbraw/zinc/66/02/76/777660276.db2.gz JPYJWQDLDHCTMI-UHFFFAOYSA-N 1 2 316.409 1.836 20 30 DDEDLO C[C@@H](CC(=O)N(C)CCNc1ccc(C#N)nc1)n1cc[nH+]c1 ZINC001101975914 777757029 /nfs/dbraw/zinc/75/70/29/777757029.db2.gz SVUDWQCXNLZEEX-ZDUSSCGKSA-N 1 2 312.377 1.671 20 30 DDEDLO C[C@H](CC(=O)N(C)CCNc1ccncc1C#N)n1cc[nH+]c1 ZINC001101975948 777757168 /nfs/dbraw/zinc/75/71/68/777757168.db2.gz VEYHSFQHIWTRQZ-CYBMUJFWSA-N 1 2 312.377 1.093 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N(C)CCNc1ccc(C#N)cn1 ZINC001101991988 777773300 /nfs/dbraw/zinc/77/33/00/777773300.db2.gz UNQFODWMMMHXHZ-GFCCVEGCSA-N 1 2 312.377 1.425 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N(C)CCNc1ccc(C#N)cn1 ZINC001101991988 777773309 /nfs/dbraw/zinc/77/33/09/777773309.db2.gz UNQFODWMMMHXHZ-GFCCVEGCSA-N 1 2 312.377 1.425 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2cccc(C(F)(F)F)n2)CC1 ZINC001175995138 777988717 /nfs/dbraw/zinc/98/87/17/777988717.db2.gz FPLJJTUIKCTGAK-UHFFFAOYSA-N 1 2 313.323 1.973 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]2OCC[N@H+](CCC#C)[C@@H]2C1 ZINC001176990060 778376245 /nfs/dbraw/zinc/37/62/45/778376245.db2.gz YSDSQVRXLBFIAB-SJORKVTESA-N 1 2 302.418 1.505 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCC#C)[C@@H]2C1 ZINC001176990060 778376251 /nfs/dbraw/zinc/37/62/51/778376251.db2.gz YSDSQVRXLBFIAB-SJORKVTESA-N 1 2 302.418 1.505 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOCC=C)[C@@H]2C1 ZINC001177007192 778386994 /nfs/dbraw/zinc/38/69/94/778386994.db2.gz XVRMFOKDDQZEDJ-IAGOWNOFSA-N 1 2 320.433 1.294 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2OCC[N@H+](CCOCC=C)[C@@H]2C1 ZINC001177007192 778387001 /nfs/dbraw/zinc/38/70/01/778387001.db2.gz XVRMFOKDDQZEDJ-IAGOWNOFSA-N 1 2 320.433 1.294 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCC(F)F)C[C@@H]21 ZINC001177050177 778413398 /nfs/dbraw/zinc/41/33/98/778413398.db2.gz YGYDRHQSGWTNNX-STQMWFEESA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCC(F)F)C[C@@H]21 ZINC001177050177 778413403 /nfs/dbraw/zinc/41/34/03/778413403.db2.gz YGYDRHQSGWTNNX-STQMWFEESA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)COCC(F)F)C[C@H]21 ZINC001177055381 778416008 /nfs/dbraw/zinc/41/60/08/778416008.db2.gz LTHSSSKXVHNQOZ-OLZOCXBDSA-N 1 2 318.364 1.146 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)COCC(F)F)C[C@H]21 ZINC001177055381 778416011 /nfs/dbraw/zinc/41/60/11/778416011.db2.gz LTHSSSKXVHNQOZ-OLZOCXBDSA-N 1 2 318.364 1.146 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CC)C[C@H]21 ZINC001177074430 778429004 /nfs/dbraw/zinc/42/90/04/778429004.db2.gz WNGMBUAWOJGMFW-HZPDHXFCSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CC)C[C@H]21 ZINC001177074430 778429007 /nfs/dbraw/zinc/42/90/07/778429007.db2.gz WNGMBUAWOJGMFW-HZPDHXFCSA-N 1 2 324.465 1.927 20 30 DDEDLO CCN(C(=O)Cc1c[nH+]c[nH]1)[C@H]1CCN(c2ccncc2C#N)C1 ZINC001102835636 778434446 /nfs/dbraw/zinc/43/44/46/778434446.db2.gz XEIZDSHDPSTGPJ-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(CC(C)C)n1 ZINC001177277985 778529611 /nfs/dbraw/zinc/52/96/11/778529611.db2.gz ZERJXHUVFPRIEW-ZDUSSCGKSA-N 1 2 324.425 1.455 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NCC1(Nc2ccc(C#N)nc2)CC1 ZINC001110206001 778550977 /nfs/dbraw/zinc/55/09/77/778550977.db2.gz SEAHYPDGMCYNPK-UHFFFAOYSA-N 1 2 324.388 1.380 20 30 DDEDLO C#CC[N@H+]1C[C@@H](C)[C@H](CCNC(=O)c2cncc3nc[nH]c32)C1 ZINC001103010000 778556039 /nfs/dbraw/zinc/55/60/39/778556039.db2.gz WSEUQGMXZJGNFQ-CHWSQXEVSA-N 1 2 311.389 1.279 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](C)[C@H](CCNC(=O)c2cncc3nc[nH]c32)C1 ZINC001103010000 778556048 /nfs/dbraw/zinc/55/60/48/778556048.db2.gz WSEUQGMXZJGNFQ-CHWSQXEVSA-N 1 2 311.389 1.279 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](CCNC(=O)C(F)C(F)(F)F)C1 ZINC001103011386 778557741 /nfs/dbraw/zinc/55/77/41/778557741.db2.gz BCBPAWCCFDOQNM-IJLUTSLNSA-N 1 2 308.319 1.984 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](CCNC(=O)C(F)C(F)(F)F)C1 ZINC001103011386 778557747 /nfs/dbraw/zinc/55/77/47/778557747.db2.gz BCBPAWCCFDOQNM-IJLUTSLNSA-N 1 2 308.319 1.984 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001103011386 778557754 /nfs/dbraw/zinc/55/77/54/778557754.db2.gz BCBPAWCCFDOQNM-IJLUTSLNSA-N 1 2 308.319 1.984 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](CCNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001103011386 778557757 /nfs/dbraw/zinc/55/77/57/778557757.db2.gz BCBPAWCCFDOQNM-IJLUTSLNSA-N 1 2 308.319 1.984 20 30 DDEDLO CC[C@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1ncccc1C#N ZINC001103056904 778604867 /nfs/dbraw/zinc/60/48/67/778604867.db2.gz XWVFXIIOZIORGG-CYBMUJFWSA-N 1 2 312.377 1.616 20 30 DDEDLO O=C(C#Cc1cccnc1)N1CC[NH+](CCc2ccncc2)CC1 ZINC001177776694 778714542 /nfs/dbraw/zinc/71/45/42/778714542.db2.gz DZUJKJTWJKTQGU-UHFFFAOYSA-N 1 2 320.396 1.215 20 30 DDEDLO COCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C#N)ccc1F ZINC001110223328 779152955 /nfs/dbraw/zinc/15/29/55/779152955.db2.gz JDDNLTIVFHYWQD-BMFZPTHFSA-N 1 2 317.364 1.565 20 30 DDEDLO COCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C#N)ccc1F ZINC001110223328 779152957 /nfs/dbraw/zinc/15/29/57/779152957.db2.gz JDDNLTIVFHYWQD-BMFZPTHFSA-N 1 2 317.364 1.565 20 30 DDEDLO C[C@H](CNC(=O)CCn1cc[nH+]c1)CNc1ncccc1C#N ZINC001104153419 779339110 /nfs/dbraw/zinc/33/91/10/779339110.db2.gz ZWMCCKBGIGSYPK-CYBMUJFWSA-N 1 2 312.377 1.404 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](C)N(C)C(=O)OC(C)(C)C)CC1 ZINC001179593649 779422974 /nfs/dbraw/zinc/42/29/74/779422974.db2.gz DILUHZANKKRPKM-ZDUSSCGKSA-N 1 2 311.426 1.572 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2n[nH]c(=O)c3ccccc23)CC1 ZINC001180196426 779669420 /nfs/dbraw/zinc/66/94/20/779669420.db2.gz WBODFCFDGUTPQG-UHFFFAOYSA-N 1 2 312.373 1.208 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)[C@@H]1C ZINC001180268710 779686778 /nfs/dbraw/zinc/68/67/78/779686778.db2.gz GJQXIJKNIUEMCY-AVGNSLFASA-N 1 2 322.409 1.302 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001115324377 780037575 /nfs/dbraw/zinc/03/75/75/780037575.db2.gz PQFKDBHXTVVUCV-HALDLXJZSA-N 1 2 319.405 1.431 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001115324377 780037584 /nfs/dbraw/zinc/03/75/84/780037584.db2.gz PQFKDBHXTVVUCV-HALDLXJZSA-N 1 2 319.405 1.431 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001115331913 780045289 /nfs/dbraw/zinc/04/52/89/780045289.db2.gz GKRMBTDGZIZHHJ-SPWCGHHHSA-N 1 2 305.378 1.121 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001115331913 780045298 /nfs/dbraw/zinc/04/52/98/780045298.db2.gz GKRMBTDGZIZHHJ-SPWCGHHHSA-N 1 2 305.378 1.121 20 30 DDEDLO C[C@@H](CN(C)C(=O)Cn1cc[nH+]c1)Nc1ncc(C#N)cc1F ZINC001115766343 780413209 /nfs/dbraw/zinc/41/32/09/780413209.db2.gz FCCHLBYRRXKFJB-NSHDSACASA-N 1 2 316.340 1.248 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@H+](C)CC(=O)N[C@@H](C)c1ccco1 ZINC001267194957 837513849 /nfs/dbraw/zinc/51/38/49/837513849.db2.gz JBZJRGYBQUSVLT-AWEZNQCLSA-N 1 2 319.405 1.164 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@@H+](C)CC(=O)N[C@@H](C)c1ccco1 ZINC001267194957 837513857 /nfs/dbraw/zinc/51/38/57/837513857.db2.gz JBZJRGYBQUSVLT-AWEZNQCLSA-N 1 2 319.405 1.164 20 30 DDEDLO CC1(C)C(C)(C)C1(C)C(=O)NCC[NH+]1CCN(CC#N)CC1 ZINC001266299727 836078879 /nfs/dbraw/zinc/07/88/79/836078879.db2.gz XFJDYAYUPSROHK-UHFFFAOYSA-N 1 2 306.454 1.316 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)C1(C)CCC(C)CC1 ZINC001266365112 836176253 /nfs/dbraw/zinc/17/62/53/836176253.db2.gz NUDICQBMNSBHPF-UHFFFAOYSA-N 1 2 309.454 1.553 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)C1(C)CCC(C)CC1 ZINC001266365112 836176255 /nfs/dbraw/zinc/17/62/55/836176255.db2.gz NUDICQBMNSBHPF-UHFFFAOYSA-N 1 2 309.454 1.553 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CCC[N@@H+]1Cc1coc(C)n1 ZINC001266465397 836303682 /nfs/dbraw/zinc/30/36/82/836303682.db2.gz VMGOPAFORVQVNG-SWLSCSKDSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CCC[N@H+]1Cc1coc(C)n1 ZINC001266465397 836303696 /nfs/dbraw/zinc/30/36/96/836303696.db2.gz VMGOPAFORVQVNG-SWLSCSKDSA-N 1 2 305.378 1.102 20 30 DDEDLO CC(C)c1noc([C@H](C)[NH2+]CCN(C)C(=O)C#CC2CC2)n1 ZINC001267371256 837930950 /nfs/dbraw/zinc/93/09/50/837930950.db2.gz ITOLQRWVHNCDEF-LBPRGKRZSA-N 1 2 304.394 1.715 20 30 DDEDLO CCCSCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#CCOC ZINC001267388978 837970286 /nfs/dbraw/zinc/97/02/86/837970286.db2.gz HLGYJQCLAKQKKR-GASCZTMLSA-N 1 2 310.463 1.455 20 30 DDEDLO CCCSCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#CCOC ZINC001267388978 837970295 /nfs/dbraw/zinc/97/02/95/837970295.db2.gz HLGYJQCLAKQKKR-GASCZTMLSA-N 1 2 310.463 1.455 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@H]2Cc3ccccc3O2)C1 ZINC001267648075 838572052 /nfs/dbraw/zinc/57/20/52/838572052.db2.gz ZVZVVVWOIUIGKI-MRXNPFEDSA-N 1 2 316.401 1.383 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CC(CNC(=O)CC2CCC2)C1 ZINC001267677837 838628932 /nfs/dbraw/zinc/62/89/32/838628932.db2.gz CKWDYUDPRBMEHJ-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C#CCCCC(=O)NCC1C[NH+](Cc2cnn(C(C)(C)C)c2)C1 ZINC001267679716 838631075 /nfs/dbraw/zinc/63/10/75/838631075.db2.gz SWXGEWRBGOTVBF-UHFFFAOYSA-N 1 2 316.449 1.990 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)OCc1ccc(C)cc1 ZINC001267711119 838697310 /nfs/dbraw/zinc/69/73/10/838697310.db2.gz KMXRPXXEWUJMMD-NJAFHUGGSA-N 1 2 312.413 1.818 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)OCc1ccc(C)cc1 ZINC001267711119 838697316 /nfs/dbraw/zinc/69/73/16/838697316.db2.gz KMXRPXXEWUJMMD-NJAFHUGGSA-N 1 2 312.413 1.818 20 30 DDEDLO C=CCOCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(CC)s1 ZINC001267716970 838716683 /nfs/dbraw/zinc/71/66/83/838716683.db2.gz UPTLLZQJQILCOU-STQMWFEESA-N 1 2 321.446 1.807 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(CC)s1 ZINC001267716970 838716690 /nfs/dbraw/zinc/71/66/90/838716690.db2.gz UPTLLZQJQILCOU-STQMWFEESA-N 1 2 321.446 1.807 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2cc(C(C)C)on2)C1 ZINC001267727652 838757331 /nfs/dbraw/zinc/75/73/31/838757331.db2.gz SVFGPERVADOPJR-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2cc(C(C)C)on2)C1 ZINC001267727652 838757336 /nfs/dbraw/zinc/75/73/36/838757336.db2.gz SVFGPERVADOPJR-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2C2CC2)C1 ZINC001268027017 839431262 /nfs/dbraw/zinc/43/12/62/839431262.db2.gz RDBORXQVRLJLAB-OWCLPIDISA-N 1 2 308.422 1.052 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2C2CC2)C1 ZINC001268027017 839431266 /nfs/dbraw/zinc/43/12/66/839431266.db2.gz RDBORXQVRLJLAB-OWCLPIDISA-N 1 2 308.422 1.052 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)CCC1CCCC1 ZINC001268230189 839864545 /nfs/dbraw/zinc/86/45/45/839864545.db2.gz NNVCETDPDMMAAN-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)CCC1CCCC1 ZINC001268230189 839864547 /nfs/dbraw/zinc/86/45/47/839864547.db2.gz NNVCETDPDMMAAN-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)CCCOc2ccc(C)cc2)C1 ZINC001268482101 840276622 /nfs/dbraw/zinc/27/66/22/840276622.db2.gz NZLIVHPBDGJISX-UHFFFAOYSA-N 1 2 300.402 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cn(C2CCC2)nn1 ZINC001422162279 840516274 /nfs/dbraw/zinc/51/62/74/840516274.db2.gz PEDURWUDHXFYCQ-UHFFFAOYSA-N 1 2 311.817 1.759 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cn(C2CCC2)nn1 ZINC001422162279 840516277 /nfs/dbraw/zinc/51/62/77/840516277.db2.gz PEDURWUDHXFYCQ-UHFFFAOYSA-N 1 2 311.817 1.759 20 30 DDEDLO CCCc1cc(C(=O)N(C)CC[N@H+](C)CC#CCOC)n[nH]1 ZINC001272081158 844566202 /nfs/dbraw/zinc/56/62/02/844566202.db2.gz ZXMQGJKDFADOAA-UHFFFAOYSA-N 1 2 306.410 1.016 20 30 DDEDLO CCCc1cc(C(=O)N(C)CC[N@@H+](C)CC#CCOC)n[nH]1 ZINC001272081158 844566210 /nfs/dbraw/zinc/56/62/10/844566210.db2.gz ZXMQGJKDFADOAA-UHFFFAOYSA-N 1 2 306.410 1.016 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)C[N@H+](C)Cc1nc(C)c(C)o1 ZINC001268729398 840723987 /nfs/dbraw/zinc/72/39/87/840723987.db2.gz GFTRKQFYHURWNS-RISCZKNCSA-N 1 2 307.394 1.266 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)C[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001268729398 840723992 /nfs/dbraw/zinc/72/39/92/840723992.db2.gz GFTRKQFYHURWNS-RISCZKNCSA-N 1 2 307.394 1.266 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](C)C(=O)NCCC ZINC001268947274 841047160 /nfs/dbraw/zinc/04/71/60/841047160.db2.gz IVZCZYZYZMYGBK-ILXRZTDVSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](C)C(=O)NCCC ZINC001268947274 841047174 /nfs/dbraw/zinc/04/71/74/841047174.db2.gz IVZCZYZYZMYGBK-ILXRZTDVSA-N 1 2 321.465 1.789 20 30 DDEDLO C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)CCc1[nH]cc[nH+]1 ZINC001269176017 841298011 /nfs/dbraw/zinc/29/80/11/841298011.db2.gz RHEAHOHDCFRCEA-ZIAGYGMSSA-N 1 2 316.405 1.510 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H](N(C)C(=O)C#CC(C)(C)C)C2)nn1C ZINC001269239725 841403394 /nfs/dbraw/zinc/40/33/94/841403394.db2.gz CWIINZSEGINZDH-MRXNPFEDSA-N 1 2 316.449 1.811 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H](N(C)C(=O)C#CC(C)(C)C)C2)nn1C ZINC001269239725 841403401 /nfs/dbraw/zinc/40/34/01/841403401.db2.gz CWIINZSEGINZDH-MRXNPFEDSA-N 1 2 316.449 1.811 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001269286402 841470588 /nfs/dbraw/zinc/47/05/88/841470588.db2.gz NRWPYOMNQQBPKF-YJBOKZPZSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001269286402 841470592 /nfs/dbraw/zinc/47/05/92/841470592.db2.gz NRWPYOMNQQBPKF-YJBOKZPZSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)CCC2(C)CC2)C1=O ZINC001269326447 841520285 /nfs/dbraw/zinc/52/02/85/841520285.db2.gz KFCKGILXIZLCAL-GJZGRUSLSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)CCC2(C)CC2)C1=O ZINC001269326447 841520292 /nfs/dbraw/zinc/52/02/92/841520292.db2.gz KFCKGILXIZLCAL-GJZGRUSLSA-N 1 2 319.449 1.544 20 30 DDEDLO CC[C@@H](CNC(=O)C#CC1CC1)[NH2+][C@H](C)c1nc(COC)no1 ZINC001269402167 841610010 /nfs/dbraw/zinc/61/00/10/841610010.db2.gz JIRFCCVQPVVXST-YPMHNXCESA-N 1 2 320.393 1.175 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1([NH2+]Cc2cnn(CC)n2)CC1 ZINC001269464910 841664445 /nfs/dbraw/zinc/66/44/45/841664445.db2.gz QOSFYFBINSJVAZ-UHFFFAOYSA-N 1 2 305.426 1.639 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@@H]2CCC[C@H](OC)C2)C1 ZINC001269840006 842098046 /nfs/dbraw/zinc/09/80/46/842098046.db2.gz VAORKPFKPULQJV-ZBFHGGJFSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCCCC(=O)NCC1(O)C[NH+](CC2CC(F)(F)C2)C1 ZINC001271342734 843509489 /nfs/dbraw/zinc/50/94/89/843509489.db2.gz BADVNIFZVGBHSJ-UHFFFAOYSA-N 1 2 302.365 1.551 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1(O)C[NH+](C[C@@H]2CC(C)(C)CO2)C1 ZINC001271351588 843515613 /nfs/dbraw/zinc/51/56/13/843515613.db2.gz ZWEAUIXMQLHALQ-WMLDXEAASA-N 1 2 324.465 1.567 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cc(C)c(CC)s2)C1 ZINC001271387950 843547519 /nfs/dbraw/zinc/54/75/19/843547519.db2.gz LAKLWQYLRBAMOT-UHFFFAOYSA-N 1 2 306.431 1.419 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001286016329 843639887 /nfs/dbraw/zinc/63/98/87/843639887.db2.gz AAMUOQSHJPGQRR-UHFFFAOYSA-N 1 2 304.394 1.224 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1COCCN1Cc1c[nH+]cn1C ZINC001326648370 861510175 /nfs/dbraw/zinc/51/01/75/861510175.db2.gz SZHAHEIOLBHNFT-ZBFHGGJFSA-N 1 2 320.437 1.339 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)COCCC)C1 ZINC001149317988 861542913 /nfs/dbraw/zinc/54/29/13/861542913.db2.gz PXERJPYLMQSENB-CYBMUJFWSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)COCCC)C1 ZINC001149317988 861542921 /nfs/dbraw/zinc/54/29/21/861542921.db2.gz PXERJPYLMQSENB-CYBMUJFWSA-N 1 2 304.818 1.373 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CC[C@@H](CCNCC#N)C1)n1cc[nH+]c1 ZINC001272465904 846163979 /nfs/dbraw/zinc/16/39/79/846163979.db2.gz MXYFTNTYGWGVCO-HZPDHXFCSA-N 1 2 317.437 1.822 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cc(C)c(O)c(C)c1)C2 ZINC001272631274 846431499 /nfs/dbraw/zinc/43/14/99/846431499.db2.gz HQWXDXBRLCPAAA-UHFFFAOYSA-N 1 2 316.401 1.608 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](CCOc1ccccc1)C2 ZINC001272667746 846538685 /nfs/dbraw/zinc/53/86/85/846538685.db2.gz VTIIECMDHPTHKA-UHFFFAOYSA-N 1 2 302.374 1.165 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001409914387 846592152 /nfs/dbraw/zinc/59/21/52/846592152.db2.gz LPUVUBWYGNRKAK-MFKMUULPSA-N 1 2 301.818 1.090 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)COCC[N@@H+](Cc1cn(C)cn1)C2 ZINC001272733254 846734535 /nfs/dbraw/zinc/73/45/35/846734535.db2.gz KFDQCHUDMUWPRI-QGZVFWFLSA-N 1 2 318.421 1.047 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)COCC[N@H+](Cc1cn(C)cn1)C2 ZINC001272733254 846734545 /nfs/dbraw/zinc/73/45/45/846734545.db2.gz KFDQCHUDMUWPRI-QGZVFWFLSA-N 1 2 318.421 1.047 20 30 DDEDLO Cc1ncccc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032362626 847036081 /nfs/dbraw/zinc/03/60/81/847036081.db2.gz LBFRDRHVKNMCHE-IRXDYDNUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1ncccc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032362626 847036086 /nfs/dbraw/zinc/03/60/86/847036086.db2.gz LBFRDRHVKNMCHE-IRXDYDNUSA-N 1 2 321.384 1.689 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1nccn1CC ZINC001272826482 847511887 /nfs/dbraw/zinc/51/18/87/847511887.db2.gz INETYKRQJJEUHC-QGZVFWFLSA-N 1 2 300.406 1.493 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1nccn1CC ZINC001272826482 847511895 /nfs/dbraw/zinc/51/18/95/847511895.db2.gz INETYKRQJJEUHC-QGZVFWFLSA-N 1 2 300.406 1.493 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2ccnc(Cl)c2)C1=O ZINC001272878180 847581643 /nfs/dbraw/zinc/58/16/43/847581643.db2.gz GTVUKABNWMPXPQ-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2ccnc(Cl)c2)C1=O ZINC001272878180 847581649 /nfs/dbraw/zinc/58/16/49/847581649.db2.gz GTVUKABNWMPXPQ-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001034212939 848119181 /nfs/dbraw/zinc/11/91/81/848119181.db2.gz RYFCHWKPHJWASP-CABCVRRESA-N 1 2 314.433 1.824 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001034212939 848119186 /nfs/dbraw/zinc/11/91/86/848119186.db2.gz RYFCHWKPHJWASP-CABCVRRESA-N 1 2 314.433 1.824 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@@H]1CCCCN(CC#N)C1 ZINC001034269882 848212973 /nfs/dbraw/zinc/21/29/73/848212973.db2.gz WGCUSHLGBZHDOM-CQSZACIVSA-N 1 2 302.378 1.127 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001034294763 848275779 /nfs/dbraw/zinc/27/57/79/848275779.db2.gz XQASIDFZUYVQRB-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001034294763 848275790 /nfs/dbraw/zinc/27/57/90/848275790.db2.gz XQASIDFZUYVQRB-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccoc2Cl)[C@H](O)C1 ZINC001090205740 848300200 /nfs/dbraw/zinc/30/02/00/848300200.db2.gz ITWXDEGVIDHDQJ-WDEREUQCSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccoc2Cl)[C@H](O)C1 ZINC001090205740 848300208 /nfs/dbraw/zinc/30/02/08/848300208.db2.gz ITWXDEGVIDHDQJ-WDEREUQCSA-N 1 2 319.188 1.851 20 30 DDEDLO Cc1cc(C)c(C[NH+]2CC3(C2)COCC(=O)N3CCCC#N)[nH]1 ZINC001273185509 848651610 /nfs/dbraw/zinc/65/16/10/848651610.db2.gz WWLWWJJJTXCDBE-UHFFFAOYSA-N 1 2 316.405 1.349 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@]12CCC[C@@H]1[N@H+](Cc1nccs1)CC2 ZINC001410883672 849907137 /nfs/dbraw/zinc/90/71/37/849907137.db2.gz MJJCDRMORRVJQC-TYNCELHUSA-N 1 2 304.419 1.916 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@]12CCC[C@@H]1[N@@H+](Cc1nccs1)CC2 ZINC001410883672 849907145 /nfs/dbraw/zinc/90/71/45/849907145.db2.gz MJJCDRMORRVJQC-TYNCELHUSA-N 1 2 304.419 1.916 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H](CC(C)C)OC)O2 ZINC001327366026 862107568 /nfs/dbraw/zinc/10/75/68/862107568.db2.gz WCMWSSGJBYGTIO-CVEARBPZSA-N 1 2 324.465 1.973 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@@H](C)n1cncn1)C(C)C ZINC001411180522 850331917 /nfs/dbraw/zinc/33/19/17/850331917.db2.gz BDIQNPJPJREWIS-CYBMUJFWSA-N 1 2 313.833 1.760 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@@H](C)n1cncn1)C(C)C ZINC001411180522 850331924 /nfs/dbraw/zinc/33/19/24/850331924.db2.gz BDIQNPJPJREWIS-CYBMUJFWSA-N 1 2 313.833 1.760 20 30 DDEDLO C#CCN1CC[C@]2(CCCN(c3cc[nH+]c(OC)c3)CC2)C1=O ZINC001273585593 851115525 /nfs/dbraw/zinc/11/55/25/851115525.db2.gz RUCFXMVHYGXMAW-GOSISDBHSA-N 1 2 313.401 1.932 20 30 DDEDLO C#CCN1c2ccccc2[C@]2(CC[N@@H+](Cc3noc(C)n3)C2)C1=O ZINC001273770971 851325999 /nfs/dbraw/zinc/32/59/99/851325999.db2.gz UUBGHTZRDBEIRF-GOSISDBHSA-N 1 2 322.368 1.502 20 30 DDEDLO C#CCN1c2ccccc2[C@]2(CC[N@H+](Cc3noc(C)n3)C2)C1=O ZINC001273770971 851326008 /nfs/dbraw/zinc/32/60/08/851326008.db2.gz UUBGHTZRDBEIRF-GOSISDBHSA-N 1 2 322.368 1.502 20 30 DDEDLO COC(C)(C)C[N@@H+]1C[C@]2(F)CN(CCCC#N)C(=O)[C@]2(F)C1 ZINC001273810187 851370932 /nfs/dbraw/zinc/37/09/32/851370932.db2.gz WKFFMUBSHQGFNB-LSDHHAIUSA-N 1 2 315.364 1.290 20 30 DDEDLO COC(C)(C)C[N@H+]1C[C@]2(F)CN(CCCC#N)C(=O)[C@]2(F)C1 ZINC001273810187 851370937 /nfs/dbraw/zinc/37/09/37/851370937.db2.gz WKFFMUBSHQGFNB-LSDHHAIUSA-N 1 2 315.364 1.290 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3ccc(Cl)o3)C2)OCC1=O ZINC001274138147 851968317 /nfs/dbraw/zinc/96/83/17/851968317.db2.gz HRGOPPKWGPCMKT-OAHLLOKOSA-N 1 2 310.781 1.922 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3ccc(Cl)o3)C2)OCC1=O ZINC001274138147 851968324 /nfs/dbraw/zinc/96/83/24/851968324.db2.gz HRGOPPKWGPCMKT-OAHLLOKOSA-N 1 2 310.781 1.922 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1c(C)cc(F)cc1C)C2 ZINC001274224317 852052978 /nfs/dbraw/zinc/05/29/78/852052978.db2.gz XQHJGRDTEQSHSH-UHFFFAOYSA-N 1 2 316.376 1.489 20 30 DDEDLO C=CCC[C@H](O)C[NH+]1CC2(C1)CN(CC1CCCC1)C(=O)CO2 ZINC001274627526 852459175 /nfs/dbraw/zinc/45/91/75/852459175.db2.gz LWESOSGUXYSZST-INIZCTEOSA-N 1 2 322.449 1.417 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)C[NH2+]Cc2nc(N(C)C)no2)C1 ZINC001274913318 852683233 /nfs/dbraw/zinc/68/32/33/852683233.db2.gz NZIAKZQIXNFGLY-LLVKDONJSA-N 1 2 307.398 1.086 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@@H]2CCCN2C(=O)CSCC#N)no1 ZINC001275087152 852797577 /nfs/dbraw/zinc/79/75/77/852797577.db2.gz JMSQFZXXHIEFHO-AWEZNQCLSA-N 1 2 322.434 1.663 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)CSCC#N)no1 ZINC001275087152 852797586 /nfs/dbraw/zinc/79/75/86/852797586.db2.gz JMSQFZXXHIEFHO-AWEZNQCLSA-N 1 2 322.434 1.663 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H](C)C[N@H+](C)[C@@H](C)c2ncccn2)c1 ZINC001275648508 853491421 /nfs/dbraw/zinc/49/14/21/853491421.db2.gz LCLSZHUIEOFQDB-KGLIPLIRSA-N 1 2 323.400 1.664 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H](C)C[N@@H+](C)[C@@H](C)c2ncccn2)c1 ZINC001275648508 853491424 /nfs/dbraw/zinc/49/14/24/853491424.db2.gz LCLSZHUIEOFQDB-KGLIPLIRSA-N 1 2 323.400 1.664 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]([NH2+]Cc2nnc(CC)o2)[C@@H](C)C1 ZINC001327846149 862509716 /nfs/dbraw/zinc/50/97/16/862509716.db2.gz XSLGVZPTTNYKBR-STQMWFEESA-N 1 2 304.394 1.372 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)[N@@H+](C)Cc1cnnn1CC ZINC001275904849 853922888 /nfs/dbraw/zinc/92/28/88/853922888.db2.gz ORIIRBNEWINPKJ-AWEZNQCLSA-N 1 2 305.426 1.428 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)[N@H+](C)Cc1cnnn1CC ZINC001275904849 853922893 /nfs/dbraw/zinc/92/28/93/853922893.db2.gz ORIIRBNEWINPKJ-AWEZNQCLSA-N 1 2 305.426 1.428 20 30 DDEDLO C[C@@H](CNC(=O)c1nnc[nH]1)[N@H+](C)CC#Cc1ccc(F)cc1 ZINC001275963623 854025071 /nfs/dbraw/zinc/02/50/71/854025071.db2.gz QXYVUIBWSHWKIJ-LBPRGKRZSA-N 1 2 315.352 1.046 20 30 DDEDLO C[C@@H](CNC(=O)c1nnc[nH]1)[N@@H+](C)CC#Cc1ccc(F)cc1 ZINC001275963623 854025079 /nfs/dbraw/zinc/02/50/79/854025079.db2.gz QXYVUIBWSHWKIJ-LBPRGKRZSA-N 1 2 315.352 1.046 20 30 DDEDLO C[C@@H](CNC(=O)c1ncn[nH]1)[N@H+](C)CC#Cc1ccc(F)cc1 ZINC001275963623 854025083 /nfs/dbraw/zinc/02/50/83/854025083.db2.gz QXYVUIBWSHWKIJ-LBPRGKRZSA-N 1 2 315.352 1.046 20 30 DDEDLO C[C@@H](CNC(=O)c1ncn[nH]1)[N@@H+](C)CC#Cc1ccc(F)cc1 ZINC001275963623 854025088 /nfs/dbraw/zinc/02/50/88/854025088.db2.gz QXYVUIBWSHWKIJ-LBPRGKRZSA-N 1 2 315.352 1.046 20 30 DDEDLO C[C@@H](CNC(=O)c1nc[nH]n1)[N@H+](C)CC#Cc1ccc(F)cc1 ZINC001275963623 854025094 /nfs/dbraw/zinc/02/50/94/854025094.db2.gz QXYVUIBWSHWKIJ-LBPRGKRZSA-N 1 2 315.352 1.046 20 30 DDEDLO C[C@@H](CNC(=O)c1nc[nH]n1)[N@@H+](C)CC#Cc1ccc(F)cc1 ZINC001275963623 854025098 /nfs/dbraw/zinc/02/50/98/854025098.db2.gz QXYVUIBWSHWKIJ-LBPRGKRZSA-N 1 2 315.352 1.046 20 30 DDEDLO N#C[C@H]1C[C@H]1C(=O)N(CCn1cc[nH+]c1)C1CCSCC1 ZINC001412098910 854215015 /nfs/dbraw/zinc/21/50/15/854215015.db2.gz NOJKFZLVIBYEHE-TZMCWYRMSA-N 1 2 304.419 1.767 20 30 DDEDLO Cc1ccc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)[nH]1 ZINC001276073656 854706621 /nfs/dbraw/zinc/70/66/21/854706621.db2.gz DMMKBTHJAHIHAM-UHFFFAOYSA-N 1 2 309.417 1.851 20 30 DDEDLO C=CCC[NH+]1CC(CCO)(NC(=O)c2coc(C(F)F)c2)C1 ZINC001276075726 854708702 /nfs/dbraw/zinc/70/87/02/854708702.db2.gz NZLYGPBKXOHZSK-UHFFFAOYSA-N 1 2 314.332 1.960 20 30 DDEDLO Cn1c[nH+]c(CCNS(=O)(=O)Cc2cc(C#N)ccc2F)c1 ZINC001413367962 856718732 /nfs/dbraw/zinc/71/87/32/856718732.db2.gz KRQVWAZPVYLLCI-UHFFFAOYSA-N 1 2 322.365 1.093 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072602904 857502465 /nfs/dbraw/zinc/50/24/65/857502465.db2.gz MWLWMMJDUNQMTC-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)NC1(C)CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001073169748 858154631 /nfs/dbraw/zinc/15/46/31/858154631.db2.gz FWSIUKNTNXELDD-UHFFFAOYSA-N 1 2 318.421 1.737 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@H+](Cc2cc(C#N)ccc2F)CCCO1 ZINC001073501654 858387591 /nfs/dbraw/zinc/38/75/91/858387591.db2.gz PCVITQQLONCVMY-OAHLLOKOSA-N 1 2 305.353 1.424 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2F)CCCO1 ZINC001073501654 858387598 /nfs/dbraw/zinc/38/75/98/858387598.db2.gz PCVITQQLONCVMY-OAHLLOKOSA-N 1 2 305.353 1.424 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](OC)C3CC3)n2CC)CC1 ZINC001121740698 858586660 /nfs/dbraw/zinc/58/66/60/858586660.db2.gz YXIPGMPGMUPBMF-CQSZACIVSA-N 1 2 303.410 1.151 20 30 DDEDLO C#CCN1CCN(c2nnc([C@H]3CCCC[N@@H+]3C)n2CC)CC1 ZINC001121879764 858618496 /nfs/dbraw/zinc/61/84/96/858618496.db2.gz RBRIHZSILMLYMK-OAHLLOKOSA-N 1 2 316.453 1.210 20 30 DDEDLO C#CCN1CCN(c2nnc([C@H]3CCCC[N@H+]3C)n2CC)CC1 ZINC001121879764 858618498 /nfs/dbraw/zinc/61/84/98/858618498.db2.gz RBRIHZSILMLYMK-OAHLLOKOSA-N 1 2 316.453 1.210 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)C(=O)NCC[NH2+]Cc1cnon1 ZINC001151906309 863046022 /nfs/dbraw/zinc/04/60/22/863046022.db2.gz FHPARYSTUNGNDH-HNNXBMFYSA-N 1 2 314.389 1.710 20 30 DDEDLO C=CC[NH+]1CCC(CO)(NC(=O)c2cccc3nc[nH]c32)CC1 ZINC001328554430 863060419 /nfs/dbraw/zinc/06/04/19/863060419.db2.gz GJSFCWBSXOJMQN-UHFFFAOYSA-N 1 2 314.389 1.306 20 30 DDEDLO C=CCN(C)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001123419584 859229450 /nfs/dbraw/zinc/22/94/50/859229450.db2.gz DCURMWGYOUHJEY-XJKSGUPXSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCN(C)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC1CC1 ZINC001123419584 859229457 /nfs/dbraw/zinc/22/94/57/859229457.db2.gz DCURMWGYOUHJEY-XJKSGUPXSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001125252320 859933958 /nfs/dbraw/zinc/93/39/58/859933958.db2.gz AFGKISOZAYKMHQ-UHFFFAOYSA-N 1 2 322.409 1.211 20 30 DDEDLO C=CCn1cc(C[N@@H+]2Cc3ncn(C)c3[C@H](COC)C2)cn1 ZINC001139769515 860476169 /nfs/dbraw/zinc/47/61/69/860476169.db2.gz GXFWUHPDOUAARD-AWEZNQCLSA-N 1 2 301.394 1.548 20 30 DDEDLO C=CCn1cc(C[N@H+]2Cc3ncn(C)c3[C@H](COC)C2)cn1 ZINC001139769515 860476173 /nfs/dbraw/zinc/47/61/73/860476173.db2.gz GXFWUHPDOUAARD-AWEZNQCLSA-N 1 2 301.394 1.548 20 30 DDEDLO C=CCn1cc(C[NH+]2CCN(c3nccc(OC)n3)CC2)cn1 ZINC001139772424 860477975 /nfs/dbraw/zinc/47/79/75/860477975.db2.gz JMCQXBKSCYTTLS-UHFFFAOYSA-N 1 2 314.393 1.190 20 30 DDEDLO CC[N@H+](Cc1cn(C(C)C)nn1)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152450318 863355934 /nfs/dbraw/zinc/35/59/34/863355934.db2.gz XHNIUBVABVIKIT-QWHCGFSZSA-N 1 2 306.414 1.345 20 30 DDEDLO CC[N@@H+](Cc1cn(C(C)C)nn1)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152450318 863355944 /nfs/dbraw/zinc/35/59/44/863355944.db2.gz XHNIUBVABVIKIT-QWHCGFSZSA-N 1 2 306.414 1.345 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1coc(C)n1 ZINC001157209922 863654094 /nfs/dbraw/zinc/65/40/94/863654094.db2.gz QSEPOMMSQPEAHE-CYBMUJFWSA-N 1 2 309.410 1.902 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1coc(C)n1 ZINC001157209922 863654101 /nfs/dbraw/zinc/65/41/01/863654101.db2.gz QSEPOMMSQPEAHE-CYBMUJFWSA-N 1 2 309.410 1.902 20 30 DDEDLO CC[N@H+](Cc1nccc(C)n1)[C@H](C)CNC(=O)C#CC(C)C ZINC001153207723 863764330 /nfs/dbraw/zinc/76/43/30/863764330.db2.gz REJXUJHUHKSEPX-OAHLLOKOSA-N 1 2 302.422 1.771 20 30 DDEDLO CC[N@@H+](Cc1nccc(C)n1)[C@H](C)CNC(=O)C#CC(C)C ZINC001153207723 863764334 /nfs/dbraw/zinc/76/43/34/863764334.db2.gz REJXUJHUHKSEPX-OAHLLOKOSA-N 1 2 302.422 1.771 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1(CCO)C[NH+](C[C@H]2CCCCO2)C1 ZINC001329682397 863789290 /nfs/dbraw/zinc/78/92/90/863789290.db2.gz UELNEYPUVSHNJO-OAHLLOKOSA-N 1 2 324.465 1.711 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1snnc1C ZINC001153306833 863816194 /nfs/dbraw/zinc/81/61/94/863816194.db2.gz UUYDJTMAQDYHMY-DGCLKSJQSA-N 1 2 324.450 1.211 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1snnc1C ZINC001153306833 863816204 /nfs/dbraw/zinc/81/62/04/863816204.db2.gz UUYDJTMAQDYHMY-DGCLKSJQSA-N 1 2 324.450 1.211 20 30 DDEDLO C[C@H](CC(=O)NC[C@@H]1CCCCCN1CC#N)n1cc[nH+]c1 ZINC001329935652 863955242 /nfs/dbraw/zinc/95/52/42/863955242.db2.gz GCWCKCAWDFHLKG-CABCVRRESA-N 1 2 303.410 1.719 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCCN(c2[nH+]ccc3[nH]cc(C#N)c32)C1 ZINC001158002264 864348454 /nfs/dbraw/zinc/34/84/54/864348454.db2.gz ZSMCVVOPWJHRSB-GFCCVEGCSA-N 1 2 312.377 1.675 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2nc(-c3ccncc3)ccc2C#N)C[NH2+]1 ZINC001158256761 864518857 /nfs/dbraw/zinc/51/88/57/864518857.db2.gz SBSSUAPYNSCTHC-HIFRSBDPSA-N 1 2 323.356 1.331 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC001330777674 864595920 /nfs/dbraw/zinc/59/59/20/864595920.db2.gz JTHJTXQDHBCNRS-OAHLLOKOSA-N 1 2 301.390 1.372 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC001330777674 864595925 /nfs/dbraw/zinc/59/59/25/864595925.db2.gz JTHJTXQDHBCNRS-OAHLLOKOSA-N 1 2 301.390 1.372 20 30 DDEDLO C#CCN1CCC[C@@H](NC(=O)N(C)CCCn2cc[nH+]c2C)C1 ZINC001330915024 864700072 /nfs/dbraw/zinc/70/00/72/864700072.db2.gz CYHLOJCEFCGQQE-MRXNPFEDSA-N 1 2 317.437 1.321 20 30 DDEDLO C#CCCCC(=O)NCC1([NH2+]Cc2nnc(C3CC3)[nH]2)CC1 ZINC001277049741 881872239 /nfs/dbraw/zinc/87/22/39/881872239.db2.gz RYYGRQLJICRUSX-UHFFFAOYSA-N 1 2 301.394 1.224 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C[C@H]1C ZINC001331956540 865458377 /nfs/dbraw/zinc/45/83/77/865458377.db2.gz FJSVEUBCYFATFR-YPMHNXCESA-N 1 2 304.394 1.992 20 30 DDEDLO N#CCN1CC=C(CCNC(=O)[C@@H]2CCc3c[nH+]cn3C2)CC1 ZINC001160523924 865908990 /nfs/dbraw/zinc/90/89/90/865908990.db2.gz OROQZCPFHRGUBQ-OAHLLOKOSA-N 1 2 313.405 1.107 20 30 DDEDLO CC#CCCCC(=O)NCCC1=CC[N@H+](Cc2cnon2)CC1 ZINC001160953992 866217901 /nfs/dbraw/zinc/21/79/01/866217901.db2.gz NPBWTTYIMHYCAO-UHFFFAOYSA-N 1 2 316.405 1.902 20 30 DDEDLO CC#CCCCC(=O)NCCC1=CC[N@@H+](Cc2cnon2)CC1 ZINC001160953992 866217915 /nfs/dbraw/zinc/21/79/15/866217915.db2.gz NPBWTTYIMHYCAO-UHFFFAOYSA-N 1 2 316.405 1.902 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CC(=O)Nc2cc(OC)ccc2OC)C1 ZINC001320038375 866441743 /nfs/dbraw/zinc/44/17/43/866441743.db2.gz RBPMCOXGWURXCM-AWEZNQCLSA-N 1 2 320.389 1.919 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CC(=O)Nc2cc(OC)ccc2OC)C1 ZINC001320038375 866441752 /nfs/dbraw/zinc/44/17/52/866441752.db2.gz RBPMCOXGWURXCM-AWEZNQCLSA-N 1 2 320.389 1.919 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)CC(C)(C)CC(F)F ZINC001323272532 866489293 /nfs/dbraw/zinc/48/92/93/866489293.db2.gz KCWSDWUNEWQHPB-ZDUSSCGKSA-N 1 2 316.392 1.898 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)CC(C)(C)CC(F)F ZINC001323272532 866489297 /nfs/dbraw/zinc/48/92/97/866489297.db2.gz KCWSDWUNEWQHPB-ZDUSSCGKSA-N 1 2 316.392 1.898 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2ncccc2OC)C1 ZINC001206674742 866523878 /nfs/dbraw/zinc/52/38/78/866523878.db2.gz VKRXZAGLSIFNQG-CYBMUJFWSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2ncccc2OC)C1 ZINC001206674742 866523889 /nfs/dbraw/zinc/52/38/89/866523889.db2.gz VKRXZAGLSIFNQG-CYBMUJFWSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)N[C@@](CC)(C(C)C)C2=O)C1 ZINC001320307689 866631629 /nfs/dbraw/zinc/63/16/29/866631629.db2.gz CKYIYISMHYBOGP-CJNGLKHVSA-N 1 2 309.410 1.577 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)N[C@@](CC)(C(C)C)C2=O)C1 ZINC001320307689 866631643 /nfs/dbraw/zinc/63/16/43/866631643.db2.gz CKYIYISMHYBOGP-CJNGLKHVSA-N 1 2 309.410 1.577 20 30 DDEDLO C[C@H](C#N)C(=O)NC/C=C/C[NH2+]Cc1nc(-c2ccco2)no1 ZINC001320999591 867193129 /nfs/dbraw/zinc/19/31/29/867193129.db2.gz VTLUJSSDPKPYQO-KXMPLOMGSA-N 1 2 315.333 1.251 20 30 DDEDLO C#CCN(C(=O)CC)C1CC[NH+]([C@H](C)c2nc(C)no2)CC1 ZINC001324352956 867219442 /nfs/dbraw/zinc/21/94/42/867219442.db2.gz MFBDDOWZGNLQFG-GFCCVEGCSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCCCC(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001324561200 867359306 /nfs/dbraw/zinc/35/93/06/867359306.db2.gz USEJOHAROFTVBF-QGZVFWFLSA-N 1 2 316.449 1.312 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(C)C(=O)Cn1cc[nH+]c1 ZINC001334475689 867602394 /nfs/dbraw/zinc/60/23/94/867602394.db2.gz BJBUABSKQCKTGX-KGLIPLIRSA-N 1 2 306.410 1.449 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@]1(O)CC[N@H+](Cc2ncc(C)o2)C1 ZINC001325101882 867772067 /nfs/dbraw/zinc/77/20/67/867772067.db2.gz JUMSYGJARHAFFY-INIZCTEOSA-N 1 2 307.394 1.392 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001325101882 867772075 /nfs/dbraw/zinc/77/20/75/867772075.db2.gz JUMSYGJARHAFFY-INIZCTEOSA-N 1 2 307.394 1.392 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001325101906 867772860 /nfs/dbraw/zinc/77/28/60/867772860.db2.gz ZIWAVAGBIKHXOX-AWEZNQCLSA-N 1 2 316.405 1.683 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001325101907 867773085 /nfs/dbraw/zinc/77/30/85/867773085.db2.gz ZIWAVAGBIKHXOX-CQSZACIVSA-N 1 2 316.405 1.683 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@@H](C)[C@@H](CNCC#N)C2)c[nH+]1 ZINC001325532457 868114123 /nfs/dbraw/zinc/11/41/23/868114123.db2.gz UDHIWJBYGFKQKD-OCCSQVGLSA-N 1 2 303.410 1.134 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(-c2ccc3c(C#N)c[nH]c3n2)cn1 ZINC001163411033 868428370 /nfs/dbraw/zinc/42/83/70/868428370.db2.gz BKROYVFHTKTIOT-ZDUSSCGKSA-N 1 2 324.344 1.053 20 30 DDEDLO COc1cc2c(cc1Cl)ncnc2N1CC[NH2+]C[C@H]1C#N ZINC001164652228 869340939 /nfs/dbraw/zinc/34/09/39/869340939.db2.gz PZSRVABJXLETSH-SECBINFHSA-N 1 2 303.753 1.594 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001337133974 869341818 /nfs/dbraw/zinc/34/18/18/869341818.db2.gz KHXCTFHEZZHROU-GFCCVEGCSA-N 1 2 304.394 1.027 20 30 DDEDLO Cc1cc(N2CC[NH+](CC(=O)N3CCCC3)CC2)ncc1C#N ZINC001165057905 869354877 /nfs/dbraw/zinc/35/48/77/869354877.db2.gz SUGWDAGWAOAWBZ-UHFFFAOYSA-N 1 2 313.405 1.006 20 30 DDEDLO Cc1ccc(CC#N)c(N2CC([N@@H+]3CCOC(C)(C)C3)C2)n1 ZINC001165128299 869395343 /nfs/dbraw/zinc/39/53/43/869395343.db2.gz PILZKHFVHHTVHH-UHFFFAOYSA-N 1 2 300.406 1.756 20 30 DDEDLO Cc1ccc(CC#N)c(N2CC([N@H+]3CCOC(C)(C)C3)C2)n1 ZINC001165128299 869395348 /nfs/dbraw/zinc/39/53/48/869395348.db2.gz PILZKHFVHHTVHH-UHFFFAOYSA-N 1 2 300.406 1.756 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001337256627 869404524 /nfs/dbraw/zinc/40/45/24/869404524.db2.gz HJPLGWRJYYQZCJ-JHJVBQTASA-N 1 2 304.394 1.443 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001337256627 869404528 /nfs/dbraw/zinc/40/45/28/869404528.db2.gz HJPLGWRJYYQZCJ-JHJVBQTASA-N 1 2 304.394 1.443 20 30 DDEDLO Cc1cnc(N2CC([NH+]3C[C@H](C)O[C@@H](C)C3)C2)c(C=NO)c1 ZINC001165203904 869424821 /nfs/dbraw/zinc/42/48/21/869424821.db2.gz XQFOONPYMMTJRQ-STQMWFEESA-N 1 2 304.394 1.496 20 30 DDEDLO N#CCc1cc[nH+]c(N2C[C@@H]3[C@H](C2)OCCN3c2ncccn2)c1 ZINC001165593508 869647363 /nfs/dbraw/zinc/64/73/63/869647363.db2.gz IWRUYQRPGUICLJ-CABCVRRESA-N 1 2 322.372 1.032 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001316976797 870039575 /nfs/dbraw/zinc/03/95/75/870039575.db2.gz UQEHRLJJGKYWPF-OAHLLOKOSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001316976797 870039583 /nfs/dbraw/zinc/03/95/83/870039583.db2.gz UQEHRLJJGKYWPF-OAHLLOKOSA-N 1 2 321.465 1.533 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]([NH2+]Cc1nc(C)no1)C1CC1 ZINC001317019366 870126628 /nfs/dbraw/zinc/12/66/28/870126628.db2.gz ORWWHUPTNHJGLW-CYBMUJFWSA-N 1 2 306.410 1.965 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001297776879 870177939 /nfs/dbraw/zinc/17/79/39/870177939.db2.gz LJQTVRDKSDTPDE-GFCCVEGCSA-N 1 2 320.437 1.731 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](NC(=O)Cn1cc[nH+]c1)C(C)C ZINC001297979326 870227733 /nfs/dbraw/zinc/22/77/33/870227733.db2.gz LYEQCBZDAIRPGR-AWEZNQCLSA-N 1 2 306.410 1.496 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCO[C@@H](CC)C1 ZINC001339091567 870342952 /nfs/dbraw/zinc/34/29/52/870342952.db2.gz XPGIYHZPQDRPDT-ZDUSSCGKSA-N 1 2 316.409 1.404 20 30 DDEDLO CC1(C)CC(C(=O)NC[C@@H]2CCCC[N@@H+]2CC(=O)NCC#N)C1 ZINC001317171552 870409338 /nfs/dbraw/zinc/40/93/38/870409338.db2.gz UVLFTQWBQQGSAZ-AWEZNQCLSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CC(C(=O)NC[C@@H]2CCCC[N@H+]2CC(=O)NCC#N)C1 ZINC001317171552 870409344 /nfs/dbraw/zinc/40/93/44/870409344.db2.gz UVLFTQWBQQGSAZ-AWEZNQCLSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCN(c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC)C1CC1 ZINC001339352317 870456839 /nfs/dbraw/zinc/45/68/39/870456839.db2.gz APXACSGJRLEIOO-CJNGLKHVSA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCN(c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC)C1CC1 ZINC001339352317 870456844 /nfs/dbraw/zinc/45/68/44/870456844.db2.gz APXACSGJRLEIOO-CJNGLKHVSA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001317203364 870462988 /nfs/dbraw/zinc/46/29/88/870462988.db2.gz YXTDLCBYYOMJKN-CABCVRRESA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCC[N@H+](Cc2cnn(CC)n2)C1 ZINC001317203364 870462994 /nfs/dbraw/zinc/46/29/94/870462994.db2.gz YXTDLCBYYOMJKN-CABCVRRESA-N 1 2 319.453 1.981 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)[NH2+][C@@H](C)c2csnn2)cn1 ZINC001317280294 870571589 /nfs/dbraw/zinc/57/15/89/870571589.db2.gz QIFOFEGBDPECES-QWRGUYRKSA-N 1 2 315.402 1.384 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001298674968 870668639 /nfs/dbraw/zinc/66/86/39/870668639.db2.gz JYEOYACVKPVSLG-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCN1C(=O)CCc1c[nH+]cn1C ZINC001298989851 870810330 /nfs/dbraw/zinc/81/03/30/870810330.db2.gz SDXLRVQNOZEATM-AWEZNQCLSA-N 1 2 304.394 1.036 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)CCNC(=O)[C@@H]1CC1(F)F ZINC001317443849 870847192 /nfs/dbraw/zinc/84/71/92/870847192.db2.gz MAPUENVRKXSDRB-LBPRGKRZSA-N 1 2 317.380 1.114 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)CCNC(=O)[C@@H]1CC1(F)F ZINC001317443849 870847202 /nfs/dbraw/zinc/84/72/02/870847202.db2.gz MAPUENVRKXSDRB-LBPRGKRZSA-N 1 2 317.380 1.114 20 30 DDEDLO CC#CCCCC(=O)N(CC)[C@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001340163135 870930534 /nfs/dbraw/zinc/93/05/34/870930534.db2.gz MQDRJIWMBHBSSU-CQSZACIVSA-N 1 2 318.421 1.499 20 30 DDEDLO COC(=O)c1ccc(C)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001226489890 882460941 /nfs/dbraw/zinc/46/09/41/882460941.db2.gz XKTLZHKAICOAEC-NQPNPBMCSA-N 1 2 303.358 1.773 20 30 DDEDLO COC(=O)c1ccc(C)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001226489890 882460956 /nfs/dbraw/zinc/46/09/56/882460956.db2.gz XKTLZHKAICOAEC-NQPNPBMCSA-N 1 2 303.358 1.773 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2CC)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001340572322 871204503 /nfs/dbraw/zinc/20/45/03/871204503.db2.gz QZPQQSKNWUACLI-VBQJREDUSA-N 1 2 319.453 1.755 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2CC)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001340572322 871204518 /nfs/dbraw/zinc/20/45/18/871204518.db2.gz QZPQQSKNWUACLI-VBQJREDUSA-N 1 2 319.453 1.755 20 30 DDEDLO CC(C)N(CC#N)CCCNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001317694228 871419232 /nfs/dbraw/zinc/41/92/32/871419232.db2.gz QWZXTVFABKALHZ-AWEZNQCLSA-N 1 2 303.410 1.186 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CCC(CNCC#N)CC3)ccn12 ZINC001205285626 871483304 /nfs/dbraw/zinc/48/33/04/871483304.db2.gz ZLITXHGCIMUWQQ-UHFFFAOYSA-N 1 2 311.389 1.608 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2nc(C)c(C)s2)C1 ZINC001317991526 871674388 /nfs/dbraw/zinc/67/43/88/871674388.db2.gz HSEMAOKXAFBSGT-AWEZNQCLSA-N 1 2 321.446 1.604 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2nc(C)c(C)s2)C1 ZINC001317991526 871674389 /nfs/dbraw/zinc/67/43/89/871674389.db2.gz HSEMAOKXAFBSGT-AWEZNQCLSA-N 1 2 321.446 1.604 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@H+]1CC=C(Cl)Cl ZINC001317504431 871699265 /nfs/dbraw/zinc/69/92/65/871699265.db2.gz GZZQFLUMIYSPRW-GFCCVEGCSA-N 1 2 319.232 1.926 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@@H+]1CC=C(Cl)Cl ZINC001317504431 871699269 /nfs/dbraw/zinc/69/92/69/871699269.db2.gz GZZQFLUMIYSPRW-GFCCVEGCSA-N 1 2 319.232 1.926 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2c3c[nH]nc3ccc2C)C1 ZINC001318038092 871704465 /nfs/dbraw/zinc/70/44/65/871704465.db2.gz MHSBRRKRAPZOMY-UHFFFAOYSA-N 1 2 314.389 1.488 20 30 DDEDLO COCCC(=O)N[C@@H]1C[N@H+](Cc2cc(F)ccc2C#N)C[C@H]1C ZINC001205697962 871711027 /nfs/dbraw/zinc/71/10/27/871711027.db2.gz PGEGBVMGOGVXAH-MLGOLLRUSA-N 1 2 319.380 1.670 20 30 DDEDLO COCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(F)ccc2C#N)C[C@H]1C ZINC001205697962 871711039 /nfs/dbraw/zinc/71/10/39/871711039.db2.gz PGEGBVMGOGVXAH-MLGOLLRUSA-N 1 2 319.380 1.670 20 30 DDEDLO C=C(C)CCC(=O)NCC1C[NH+]([C@@H](C)C(=O)NC2CCCC2)C1 ZINC001318126774 871766002 /nfs/dbraw/zinc/76/60/02/871766002.db2.gz JUYYSDOJKMXUCL-AWEZNQCLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001318273818 871901060 /nfs/dbraw/zinc/90/10/60/871901060.db2.gz YTORDWUGMRUFTK-CABCVRRESA-N 1 2 309.454 1.551 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001318273818 871901067 /nfs/dbraw/zinc/90/10/67/871901067.db2.gz YTORDWUGMRUFTK-CABCVRRESA-N 1 2 309.454 1.551 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]2CCC(NC(=O)[C@@H](C)C#N)CC2)s1 ZINC001226639415 882560245 /nfs/dbraw/zinc/56/02/45/882560245.db2.gz BXXIZHWPSAWSTD-UWVGGRQHSA-N 1 2 307.423 1.648 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2cccn2C(C)C)C1 ZINC001318371151 871967495 /nfs/dbraw/zinc/96/74/95/871967495.db2.gz NNSNTBUCNJUUDS-CQSZACIVSA-N 1 2 318.421 1.175 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2cccn2C(C)C)C1 ZINC001318371151 871967505 /nfs/dbraw/zinc/96/75/05/871967505.db2.gz NNSNTBUCNJUUDS-CQSZACIVSA-N 1 2 318.421 1.175 20 30 DDEDLO CCCc1noc(C[NH2+][C@H](CC)CNC(=O)C#CC(C)C)n1 ZINC001318520276 872127283 /nfs/dbraw/zinc/12/72/83/872127283.db2.gz XHPIGUBEAQOMTD-CYBMUJFWSA-N 1 2 306.410 1.666 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccn(C)n2)C1 ZINC001316941187 872424146 /nfs/dbraw/zinc/42/41/46/872424146.db2.gz MGINKMOSJLUFMU-OAHLLOKOSA-N 1 2 320.437 1.484 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CCC[N@H+](Cc2ccn(C)n2)C1 ZINC001316941187 872424149 /nfs/dbraw/zinc/42/41/49/872424149.db2.gz MGINKMOSJLUFMU-OAHLLOKOSA-N 1 2 320.437 1.484 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001319307798 872558170 /nfs/dbraw/zinc/55/81/70/872558170.db2.gz BIXICMVDCLXATF-AEFFLSMTSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001319307798 872558183 /nfs/dbraw/zinc/55/81/83/872558183.db2.gz BIXICMVDCLXATF-AEFFLSMTSA-N 1 2 322.449 1.752 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1CN(Cc2c[nH+]cn2C)CCO1 ZINC001319319266 872569719 /nfs/dbraw/zinc/56/97/19/872569719.db2.gz PZVPNDUCCSOTAD-GDBMZVCRSA-N 1 2 320.437 1.339 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@@H+](Cc2nonc2C)C[C@H]1C ZINC001206778669 872569930 /nfs/dbraw/zinc/56/99/30/872569930.db2.gz FLUCHMKRNCZMIA-TZMCWYRMSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@H+](Cc2nonc2C)C[C@H]1C ZINC001206778669 872569950 /nfs/dbraw/zinc/56/99/50/872569950.db2.gz FLUCHMKRNCZMIA-TZMCWYRMSA-N 1 2 322.409 1.297 20 30 DDEDLO C=C(C)Cn1c(N(C)CC2CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001343402598 872610033 /nfs/dbraw/zinc/61/00/33/872610033.db2.gz ABZOZURPQZMMOO-RHSMWYFYSA-N 1 2 319.453 1.612 20 30 DDEDLO C=C(C)Cn1c(N(C)CC2CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001343402598 872610047 /nfs/dbraw/zinc/61/00/47/872610047.db2.gz ABZOZURPQZMMOO-RHSMWYFYSA-N 1 2 319.453 1.612 20 30 DDEDLO CC(C)CNC(=O)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001206948746 872831464 /nfs/dbraw/zinc/83/14/64/872831464.db2.gz ZFWMPHJKPDOAJH-HUUCEWRRSA-N 1 2 321.465 1.245 20 30 DDEDLO CC(C)CNC(=O)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001206948746 872831468 /nfs/dbraw/zinc/83/14/68/872831468.db2.gz ZFWMPHJKPDOAJH-HUUCEWRRSA-N 1 2 321.465 1.245 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001344269821 872971091 /nfs/dbraw/zinc/97/10/91/872971091.db2.gz FNUBXZRATNXUFR-UONOGXRCSA-N 1 2 316.405 1.013 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)COCc2ccncc2)C1 ZINC001381811711 882723949 /nfs/dbraw/zinc/72/39/49/882723949.db2.gz LDVXQYCEGMGETI-CYBMUJFWSA-N 1 2 323.824 1.787 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@H]1CCOC1 ZINC001345625324 873458815 /nfs/dbraw/zinc/45/88/15/873458815.db2.gz FQFZQDMYNSYZJG-ZNMIVQPWSA-N 1 2 317.437 1.539 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@H]1CCOC1 ZINC001345625324 873458820 /nfs/dbraw/zinc/45/88/20/873458820.db2.gz FQFZQDMYNSYZJG-ZNMIVQPWSA-N 1 2 317.437 1.539 20 30 DDEDLO C=CCC1(S(=O)(=O)N2CCC[C@@]3(C2)C[N@H+](C)CCO3)CC1 ZINC001277169688 882744065 /nfs/dbraw/zinc/74/40/65/882744065.db2.gz FGFXWTCOVWQIMR-AWEZNQCLSA-N 1 2 314.451 1.222 20 30 DDEDLO C=CCC1(S(=O)(=O)N2CCC[C@@]3(C2)C[N@@H+](C)CCO3)CC1 ZINC001277169688 882744074 /nfs/dbraw/zinc/74/40/74/882744074.db2.gz FGFXWTCOVWQIMR-AWEZNQCLSA-N 1 2 314.451 1.222 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001207859722 873617016 /nfs/dbraw/zinc/61/70/16/873617016.db2.gz CAWQHYDCWGHXOW-ZDUSSCGKSA-N 1 2 306.435 1.812 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001207935230 873675474 /nfs/dbraw/zinc/67/54/74/873675474.db2.gz ORJQGHFDPNEZAV-CYBMUJFWSA-N 1 2 304.394 1.516 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001207945090 873677237 /nfs/dbraw/zinc/67/72/37/873677237.db2.gz WPZIVULQJODYDL-CYBMUJFWSA-N 1 2 304.394 1.516 20 30 DDEDLO C#CCCCCNC(=O)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC001346481078 873770673 /nfs/dbraw/zinc/77/06/73/873770673.db2.gz KTRGMOBMONUFRN-LSDHHAIUSA-N 1 2 316.405 1.212 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nncn2C)C[C@H]1C ZINC001208374553 874081495 /nfs/dbraw/zinc/08/14/95/874081495.db2.gz MNLLVFXOFUUHHW-RBSFLKMASA-N 1 2 317.437 1.506 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nncn2C)C[C@H]1C ZINC001208374553 874081509 /nfs/dbraw/zinc/08/15/09/874081509.db2.gz MNLLVFXOFUUHHW-RBSFLKMASA-N 1 2 317.437 1.506 20 30 DDEDLO COCC#CC(=O)Nc1ccccc1C[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC001347323255 874098919 /nfs/dbraw/zinc/09/89/19/874098919.db2.gz NOZZWPUULITEMM-GJZGRUSLSA-N 1 2 316.401 1.884 20 30 DDEDLO C=CCN(C(=O)C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1)C1CC1 ZINC001348395793 874533616 /nfs/dbraw/zinc/53/36/16/874533616.db2.gz XFZDPTDMDNAMPC-OAHLLOKOSA-N 1 2 315.373 1.238 20 30 DDEDLO COC(=O)CC[C@@H]1COCC[N@@H+]1CCc1ccc(C#N)cc1 ZINC001208930024 874534721 /nfs/dbraw/zinc/53/47/21/874534721.db2.gz YTHQNWKOZBWKRW-MRXNPFEDSA-N 1 2 302.374 1.755 20 30 DDEDLO COC(=O)CC[C@@H]1COCC[N@H+]1CCc1ccc(C#N)cc1 ZINC001208930024 874534729 /nfs/dbraw/zinc/53/47/29/874534729.db2.gz YTHQNWKOZBWKRW-MRXNPFEDSA-N 1 2 302.374 1.755 20 30 DDEDLO CC(=O)Nc1cc(C)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001227182841 882897755 /nfs/dbraw/zinc/89/77/55/882897755.db2.gz QWUUTAJBSMRPKF-BSTOKRDTSA-N 1 2 302.374 1.945 20 30 DDEDLO CC(=O)Nc1cc(C)ccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001227182841 882897770 /nfs/dbraw/zinc/89/77/70/882897770.db2.gz QWUUTAJBSMRPKF-BSTOKRDTSA-N 1 2 302.374 1.945 20 30 DDEDLO COCCOCN1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C#N)ccn1 ZINC001276688726 875502651 /nfs/dbraw/zinc/50/26/51/875502651.db2.gz QLHQIPVGXAUMIP-CALCHBBNSA-N 1 2 316.405 1.222 20 30 DDEDLO COCCOCN1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C#N)ccn1 ZINC001276688726 875502669 /nfs/dbraw/zinc/50/26/69/875502669.db2.gz QLHQIPVGXAUMIP-CALCHBBNSA-N 1 2 316.405 1.222 20 30 DDEDLO C=CC(C)(C)C(=O)NCCCNC(=O)c1cccc2[nH+]ccn21 ZINC001350282593 875612203 /nfs/dbraw/zinc/61/22/03/875612203.db2.gz CBOVYPJTARVQCH-UHFFFAOYSA-N 1 2 314.389 1.783 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nccn2CC)CC1 ZINC001227298732 882959352 /nfs/dbraw/zinc/95/93/52/882959352.db2.gz DYZBDXCBZFPZTE-AWEZNQCLSA-N 1 2 318.421 1.022 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCCOCC)C1 ZINC001211293122 875743522 /nfs/dbraw/zinc/74/35/22/875743522.db2.gz VRAAUPZECQJQNO-MRVWCRGKSA-N 1 2 318.845 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCCOCC)C1 ZINC001211293122 875743528 /nfs/dbraw/zinc/74/35/28/875743528.db2.gz VRAAUPZECQJQNO-MRVWCRGKSA-N 1 2 318.845 1.617 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+](Cc2nccs2)CC1 ZINC001227299313 882959750 /nfs/dbraw/zinc/95/97/50/882959750.db2.gz PIQVJTWZJSUOPV-GFCCVEGCSA-N 1 2 307.419 1.262 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)nc2)C[C@H]1OC ZINC001213357248 875907143 /nfs/dbraw/zinc/90/71/43/875907143.db2.gz LHCKTUJCJKLQBK-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)nc2)C[C@H]1OC ZINC001213357248 875907150 /nfs/dbraw/zinc/90/71/50/875907150.db2.gz LHCKTUJCJKLQBK-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CN(Cc2c[nH+]cn2C)C[C@H]1OC ZINC001213496419 875952565 /nfs/dbraw/zinc/95/25/65/875952565.db2.gz QDMAIZDMXVWQMN-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO CCC(CC)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213631345 876004247 /nfs/dbraw/zinc/00/42/47/876004247.db2.gz HSBCDJQEAZRGAI-HZPDHXFCSA-N 1 2 310.438 1.278 20 30 DDEDLO CCC(CC)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213631345 876004260 /nfs/dbraw/zinc/00/42/60/876004260.db2.gz HSBCDJQEAZRGAI-HZPDHXFCSA-N 1 2 310.438 1.278 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2CN(C(=O)C#CC(C)C)C[C@H]2C)n1 ZINC001214578681 876402259 /nfs/dbraw/zinc/40/22/59/876402259.db2.gz XVTBUDHBKDWTLX-CHWSQXEVSA-N 1 2 304.394 1.228 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]cn2C)C1 ZINC001352935075 877022384 /nfs/dbraw/zinc/02/23/84/877022384.db2.gz ZOIHQLPIRPKCTK-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C=C(C)C ZINC001276780884 877448843 /nfs/dbraw/zinc/44/88/43/877448843.db2.gz AGIDXXQTBVUKLH-CVEARBPZSA-N 1 2 321.465 1.956 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C=C(C)C ZINC001276780884 877448848 /nfs/dbraw/zinc/44/88/48/877448848.db2.gz AGIDXXQTBVUKLH-CVEARBPZSA-N 1 2 321.465 1.956 20 30 DDEDLO Cc1cccnc1C[N@@H+]1CC[C@@H]1CNC(=O)CSCC#N ZINC001276792995 877669033 /nfs/dbraw/zinc/66/90/33/877669033.db2.gz NXLGEWFVVSVBGD-CYBMUJFWSA-N 1 2 304.419 1.337 20 30 DDEDLO Cc1cccnc1C[N@H+]1CC[C@@H]1CNC(=O)CSCC#N ZINC001276792995 877669043 /nfs/dbraw/zinc/66/90/43/877669043.db2.gz NXLGEWFVVSVBGD-CYBMUJFWSA-N 1 2 304.419 1.337 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)CC(C)C)[C@H]2C1 ZINC001218866808 877775924 /nfs/dbraw/zinc/77/59/24/877775924.db2.gz RGPGFRVBFUJDAD-IXDOHACOSA-N 1 2 322.449 1.230 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)CC(C)C)[C@H]2C1 ZINC001218866808 877775935 /nfs/dbraw/zinc/77/59/35/877775935.db2.gz RGPGFRVBFUJDAD-IXDOHACOSA-N 1 2 322.449 1.230 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](F)CCCCCC)[C@H]2C1 ZINC001218976131 877845850 /nfs/dbraw/zinc/84/58/50/877845850.db2.gz LKQGZMSYDKOORW-HRCADAONSA-N 1 2 310.413 1.840 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](F)CCCCCC)[C@H]2C1 ZINC001218976131 877845863 /nfs/dbraw/zinc/84/58/63/877845863.db2.gz LKQGZMSYDKOORW-HRCADAONSA-N 1 2 310.413 1.840 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)CCCc1nc(C)no1 ZINC001379789404 878032364 /nfs/dbraw/zinc/03/23/64/878032364.db2.gz YHMUTVBDBIRTEE-NSHDSACASA-N 1 2 314.817 1.890 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)CCCc1nc(C)no1 ZINC001379789404 878032381 /nfs/dbraw/zinc/03/23/81/878032381.db2.gz YHMUTVBDBIRTEE-NSHDSACASA-N 1 2 314.817 1.890 20 30 DDEDLO C/C(=C/C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)C1CC1 ZINC001219279329 878078134 /nfs/dbraw/zinc/07/81/34/878078134.db2.gz NXQQYYSPHRFASG-IWCZSELASA-N 1 2 324.424 1.556 20 30 DDEDLO C/C(=C/C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)C1CC1 ZINC001219279329 878078150 /nfs/dbraw/zinc/07/81/50/878078150.db2.gz NXQQYYSPHRFASG-IWCZSELASA-N 1 2 324.424 1.556 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@@H]2CCC[C@@H]2OC)CC1 ZINC001300685863 878138409 /nfs/dbraw/zinc/13/84/09/878138409.db2.gz TVGWYRKFRPPJIO-HOTGVXAUSA-N 1 2 317.437 1.157 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC3CC3)n2CC(=C)Cl)CC1 ZINC001300703718 878186465 /nfs/dbraw/zinc/18/64/65/878186465.db2.gz QMTOLOYGRHAMBE-UHFFFAOYSA-N 1 2 319.840 1.738 20 30 DDEDLO Cc1nnc(C[NH+]2CCC([C@@H](C)NC(=O)[C@H](C)C#N)CC2)o1 ZINC001380123439 878844460 /nfs/dbraw/zinc/84/44/60/878844460.db2.gz TYRIMBODDDQDBN-GHMZBOCLSA-N 1 2 305.382 1.254 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@@H]1O ZINC001220292038 878889339 /nfs/dbraw/zinc/88/93/39/878889339.db2.gz MHCCBSOZUHWMQT-HLLBOEOZSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@@H]1O ZINC001220292038 878889355 /nfs/dbraw/zinc/88/93/55/878889355.db2.gz MHCCBSOZUHWMQT-HLLBOEOZSA-N 1 2 303.406 1.345 20 30 DDEDLO CCCCC[C@H](C)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001220498003 879067493 /nfs/dbraw/zinc/06/74/93/879067493.db2.gz PMCIIXGVCYXQLA-BBWFWOEESA-N 1 2 324.465 1.404 20 30 DDEDLO CCCCC[C@H](C)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001220498003 879067508 /nfs/dbraw/zinc/06/75/08/879067508.db2.gz PMCIIXGVCYXQLA-BBWFWOEESA-N 1 2 324.465 1.404 20 30 DDEDLO CCc1nnc([C@@H](C)[NH+]2CCC(C)(NC(=O)[C@H](C)C#N)CC2)[nH]1 ZINC001380300805 879273785 /nfs/dbraw/zinc/27/37/85/879273785.db2.gz UMAQISCWYZWBEB-VXGBXAGGSA-N 1 2 318.425 1.558 20 30 DDEDLO C=CC[C@@H](C(=O)N[C@@H]1C[N@@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221029664 879459147 /nfs/dbraw/zinc/45/91/47/879459147.db2.gz ZKRTYZKUKBGFSK-OAGGEKHMSA-N 1 2 306.381 1.477 20 30 DDEDLO C=CC[C@@H](C(=O)N[C@@H]1C[N@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221029664 879459151 /nfs/dbraw/zinc/45/91/51/879459151.db2.gz ZKRTYZKUKBGFSK-OAGGEKHMSA-N 1 2 306.381 1.477 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(Cl)o3)[C@@H]2C1 ZINC001221268036 879632367 /nfs/dbraw/zinc/63/23/67/879632367.db2.gz KBJIBTQTDOKTDF-CHWSQXEVSA-N 1 2 322.792 1.729 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(Cl)o3)[C@@H]2C1 ZINC001221268036 879632371 /nfs/dbraw/zinc/63/23/71/879632371.db2.gz KBJIBTQTDOKTDF-CHWSQXEVSA-N 1 2 322.792 1.729 20 30 DDEDLO C#CCCCCC(=O)N(C)CCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001357202224 879854820 /nfs/dbraw/zinc/85/48/20/879854820.db2.gz VFMIGEBQTJNJCJ-UHFFFAOYSA-N 1 2 304.394 1.063 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001221514832 879905750 /nfs/dbraw/zinc/90/57/50/879905750.db2.gz NFRBXHRTSLELMD-ARFHVFGLSA-N 1 2 312.417 1.874 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001221514832 879905756 /nfs/dbraw/zinc/90/57/56/879905756.db2.gz NFRBXHRTSLELMD-ARFHVFGLSA-N 1 2 312.417 1.874 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC(C)C)C[C@H]21 ZINC001221782387 880076409 /nfs/dbraw/zinc/07/64/09/880076409.db2.gz ANMDNLZTDXFPKQ-HZPDHXFCSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC(C)C)C[C@H]21 ZINC001221782387 880076418 /nfs/dbraw/zinc/07/64/18/880076418.db2.gz ANMDNLZTDXFPKQ-HZPDHXFCSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C3(C)CC=CC3)[C@@H]2C1 ZINC001221788267 880081749 /nfs/dbraw/zinc/08/17/49/880081749.db2.gz MDWWTRVBTWGWDY-HUUCEWRRSA-N 1 2 317.433 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C3(C)CC=CC3)[C@@H]2C1 ZINC001221788267 880081764 /nfs/dbraw/zinc/08/17/64/880081764.db2.gz MDWWTRVBTWGWDY-HUUCEWRRSA-N 1 2 317.433 1.178 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001358543541 880519051 /nfs/dbraw/zinc/51/90/51/880519051.db2.gz RBRLQAGKGAFFBO-YNEHKIRRSA-N 1 2 318.421 1.357 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001380909607 880645764 /nfs/dbraw/zinc/64/57/64/880645764.db2.gz MSLRCPBKBNGRNX-DTWKUNHWSA-N 1 2 318.698 1.456 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC001380909607 880645773 /nfs/dbraw/zinc/64/57/73/880645773.db2.gz MSLRCPBKBNGRNX-DTWKUNHWSA-N 1 2 318.698 1.456 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001223027997 880790586 /nfs/dbraw/zinc/79/05/86/880790586.db2.gz XBVFSFREJXBUMH-MRXNPFEDSA-N 1 2 322.409 1.033 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001276860460 880804888 /nfs/dbraw/zinc/80/48/88/880804888.db2.gz JJIFVZXOENSPBP-LSDHHAIUSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001276860460 880804903 /nfs/dbraw/zinc/80/49/03/880804903.db2.gz JJIFVZXOENSPBP-LSDHHAIUSA-N 1 2 321.465 1.789 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(CNC(=O)C#CC3CC3)CC2)s1 ZINC001223130906 880843959 /nfs/dbraw/zinc/84/39/59/880843959.db2.gz VHIWDKDUOYVLBZ-UHFFFAOYSA-N 1 2 318.446 1.588 20 30 DDEDLO C=C(C)CCC(=O)NCC1CC[NH+](Cc2ncnn2CC)CC1 ZINC001223352949 880929300 /nfs/dbraw/zinc/92/93/00/880929300.db2.gz JYWVHKASCQNDAB-UHFFFAOYSA-N 1 2 319.453 1.983 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@](C)(NC(=O)Cc2c[nH+]cn2C)C1 ZINC001358799894 880934792 /nfs/dbraw/zinc/93/47/92/880934792.db2.gz LCJROSVKRBAHNQ-QGZVFWFLSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001276915977 881078924 /nfs/dbraw/zinc/07/89/24/881078924.db2.gz HOXWQKBQXBQLBN-PBHICJAKSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001276915977 881078939 /nfs/dbraw/zinc/07/89/39/881078939.db2.gz HOXWQKBQXBQLBN-PBHICJAKSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224051296 881193155 /nfs/dbraw/zinc/19/31/55/881193155.db2.gz SAQRDFXCVXWAJR-UHFFFAOYSA-N 1 2 305.426 1.356 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001288056893 912701535 /nfs/dbraw/zinc/70/15/35/912701535.db2.gz RXCIYNJAQZGHIL-QWHCGFSZSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CCC[N@H+](C)Cc1nccn1C ZINC001280865574 884154813 /nfs/dbraw/zinc/15/48/13/884154813.db2.gz KRYAEBWGKOJFRG-CABCVRRESA-N 1 2 320.437 1.292 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CCC[N@@H+](C)Cc1nccn1C ZINC001280865574 884154818 /nfs/dbraw/zinc/15/48/18/884154818.db2.gz KRYAEBWGKOJFRG-CABCVRRESA-N 1 2 320.437 1.292 20 30 DDEDLO CN(C(=O)C#CC(C)(C)C)C1CC(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001288309593 912826765 /nfs/dbraw/zinc/82/67/65/912826765.db2.gz KNQDETVCOFUWHE-UHFFFAOYSA-N 1 2 316.405 1.107 20 30 DDEDLO COCC1(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)CC1 ZINC001230575361 884608678 /nfs/dbraw/zinc/60/86/78/884608678.db2.gz NLUXPJQMNMJQSC-HNNXBMFYSA-N 1 2 305.422 1.640 20 30 DDEDLO COCC1(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)CC1 ZINC001230575361 884608685 /nfs/dbraw/zinc/60/86/85/884608685.db2.gz NLUXPJQMNMJQSC-HNNXBMFYSA-N 1 2 305.422 1.640 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C\CC ZINC001231006293 885131301 /nfs/dbraw/zinc/13/13/01/885131301.db2.gz SQTPMDBUTQYYGJ-WZDYWLCFSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C\CC ZINC001231006293 885131310 /nfs/dbraw/zinc/13/13/10/885131310.db2.gz SQTPMDBUTQYYGJ-WZDYWLCFSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC(CC)CC ZINC001231121745 885251148 /nfs/dbraw/zinc/25/11/48/885251148.db2.gz HUKFFPRIUGJDTL-OAHLLOKOSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC(CC)CC ZINC001231121745 885251164 /nfs/dbraw/zinc/25/11/64/885251164.db2.gz HUKFFPRIUGJDTL-OAHLLOKOSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC=C)CC=C ZINC001231180122 885332882 /nfs/dbraw/zinc/33/28/82/885332882.db2.gz ZRORVPMDLUDTDF-INIZCTEOSA-N 1 2 319.449 1.686 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC=C)CC=C ZINC001231180122 885332900 /nfs/dbraw/zinc/33/29/00/885332900.db2.gz ZRORVPMDLUDTDF-INIZCTEOSA-N 1 2 319.449 1.686 20 30 DDEDLO Cc1nsc(C)c1C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231245769 885424828 /nfs/dbraw/zinc/42/48/28/885424828.db2.gz AZZILFKNVTZFIE-GXFFZTMASA-N 1 2 306.435 1.952 20 30 DDEDLO Cc1nsc(C)c1C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231245769 885424836 /nfs/dbraw/zinc/42/48/36/885424836.db2.gz AZZILFKNVTZFIE-GXFFZTMASA-N 1 2 306.435 1.952 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001231246360 885427242 /nfs/dbraw/zinc/42/72/42/885427242.db2.gz FYWIBPACPCDTAV-RYUDHWBXSA-N 1 2 302.403 1.812 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001231246360 885427249 /nfs/dbraw/zinc/42/72/49/885427249.db2.gz FYWIBPACPCDTAV-RYUDHWBXSA-N 1 2 302.403 1.812 20 30 DDEDLO CC[N@@H+]1CC[C@H]1C(=O)Nc1ccccc1-n1ncc(C#N)c1N ZINC001363178989 885651527 /nfs/dbraw/zinc/65/15/27/885651527.db2.gz XSEUIVXEANOWIB-AWEZNQCLSA-N 1 2 310.361 1.359 20 30 DDEDLO CC[N@H+]1CC[C@H]1C(=O)Nc1ccccc1-n1ncc(C#N)c1N ZINC001363178989 885651542 /nfs/dbraw/zinc/65/15/42/885651542.db2.gz XSEUIVXEANOWIB-AWEZNQCLSA-N 1 2 310.361 1.359 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)C1C[NH+](CCn2nc(C)nc2C)C1 ZINC001277645085 886226884 /nfs/dbraw/zinc/22/68/84/886226884.db2.gz QLRDSTKLOYAING-HNNXBMFYSA-N 1 2 319.453 1.640 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2C[NH+](CCOC[C@@H]3CCCO3)C2)C1 ZINC001277660700 886342025 /nfs/dbraw/zinc/34/20/25/886342025.db2.gz ZAXZLSFBPXHRQA-INIZCTEOSA-N 1 2 322.449 1.681 20 30 DDEDLO N#Cc1cc(C[N@@H+]2C[C@H](O)[C@H](CO)C2)ccc1Br ZINC001232893111 886656974 /nfs/dbraw/zinc/65/69/74/886656974.db2.gz BNYRXMFLOPLQOD-AAEUAGOBSA-N 1 2 311.179 1.106 20 30 DDEDLO N#Cc1cc(C[N@H+]2C[C@H](O)[C@H](CO)C2)ccc1Br ZINC001232893111 886656983 /nfs/dbraw/zinc/65/69/83/886656983.db2.gz BNYRXMFLOPLQOD-AAEUAGOBSA-N 1 2 311.179 1.106 20 30 DDEDLO CN(C)c1ccc(CN2CC([N@@H+]3CC[C@H](F)C3)C2)c(F)c1C#N ZINC001233101948 886785034 /nfs/dbraw/zinc/78/50/34/886785034.db2.gz KYSRJMMECFWGAR-ZDUSSCGKSA-N 1 2 320.387 1.991 20 30 DDEDLO CN(C)c1ccc(CN2CC([N@H+]3CC[C@H](F)C3)C2)c(F)c1C#N ZINC001233101948 886785048 /nfs/dbraw/zinc/78/50/48/886785048.db2.gz KYSRJMMECFWGAR-ZDUSSCGKSA-N 1 2 320.387 1.991 20 30 DDEDLO CC(C)CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2O)CC1 ZINC001233387040 886966532 /nfs/dbraw/zinc/96/65/32/886966532.db2.gz RMBCAZNXJBKDDE-UHFFFAOYSA-N 1 2 301.390 1.954 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001288888063 913114822 /nfs/dbraw/zinc/11/48/22/913114822.db2.gz TZBAJWLJPYVSRI-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO COc1ccc2c(c1)[nH]nc2O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001233615887 887155419 /nfs/dbraw/zinc/15/54/19/887155419.db2.gz MHZOUBOXGGUAMA-BSRWDCPYSA-N 1 2 301.346 1.563 20 30 DDEDLO COc1ccc2c(c1)[nH]nc2O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001233615887 887155425 /nfs/dbraw/zinc/15/54/25/887155425.db2.gz MHZOUBOXGGUAMA-BSRWDCPYSA-N 1 2 301.346 1.563 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)n1cccc1 ZINC001233742891 887269033 /nfs/dbraw/zinc/26/90/33/887269033.db2.gz RYWGHEZKYZAEMN-JKSUJKDBSA-N 1 2 303.406 1.232 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)n1cccc1 ZINC001233742891 887269045 /nfs/dbraw/zinc/26/90/45/887269045.db2.gz RYWGHEZKYZAEMN-JKSUJKDBSA-N 1 2 303.406 1.232 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@@H](O)CC12CCC2 ZINC001363808654 887280521 /nfs/dbraw/zinc/28/05/21/887280521.db2.gz JBEDVPCGAQMPNM-GFCCVEGCSA-N 1 2 305.403 1.938 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@@H](O)CC12CCC2 ZINC001363808654 887280535 /nfs/dbraw/zinc/28/05/35/887280535.db2.gz JBEDVPCGAQMPNM-GFCCVEGCSA-N 1 2 305.403 1.938 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(S(=O)(=O)CC2(CC#N)CC2)C1 ZINC001363858641 887413318 /nfs/dbraw/zinc/41/33/18/887413318.db2.gz HUIQDCFZNQATBB-CYBMUJFWSA-N 1 2 322.434 1.623 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)Cc1ccoc1 ZINC001233899721 887444346 /nfs/dbraw/zinc/44/43/46/887444346.db2.gz ZEVRNFYQVQZODF-HIFRSBDPSA-N 1 2 319.405 1.046 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)Cc1ccoc1 ZINC001233899721 887444352 /nfs/dbraw/zinc/44/43/52/887444352.db2.gz ZEVRNFYQVQZODF-HIFRSBDPSA-N 1 2 319.405 1.046 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1CC ZINC001233979296 887522091 /nfs/dbraw/zinc/52/20/91/887522091.db2.gz ZAFBOORUVHTOEL-PBHICJAKSA-N 1 2 321.421 1.597 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1CC ZINC001233979296 887522098 /nfs/dbraw/zinc/52/20/98/887522098.db2.gz ZAFBOORUVHTOEL-PBHICJAKSA-N 1 2 321.421 1.597 20 30 DDEDLO Cn1ccc(=O)c(O[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)c1 ZINC001234029356 887568266 /nfs/dbraw/zinc/56/82/66/887568266.db2.gz OSVGOGNXBUQZJH-MRXNPFEDSA-N 1 2 309.369 1.910 20 30 DDEDLO Cn1ccc(=O)c(O[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001234029356 887568268 /nfs/dbraw/zinc/56/82/68/887568268.db2.gz OSVGOGNXBUQZJH-MRXNPFEDSA-N 1 2 309.369 1.910 20 30 DDEDLO CC(C)N(C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234194509 887736147 /nfs/dbraw/zinc/73/61/47/887736147.db2.gz DONDWLFDCUYSQW-HNNXBMFYSA-N 1 2 321.465 1.435 20 30 DDEDLO CC(C)N(C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234194509 887736160 /nfs/dbraw/zinc/73/61/60/887736160.db2.gz DONDWLFDCUYSQW-HNNXBMFYSA-N 1 2 321.465 1.435 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C2(C)CC2)C1=O ZINC001234453857 887984756 /nfs/dbraw/zinc/98/47/56/887984756.db2.gz DLAIZVQRPFSTNL-KGLIPLIRSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C2(C)CC2)C1=O ZINC001234453857 887984763 /nfs/dbraw/zinc/98/47/63/887984763.db2.gz DLAIZVQRPFSTNL-KGLIPLIRSA-N 1 2 305.422 1.106 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@@H]1C[C@@H]1OCC ZINC001234462661 887989316 /nfs/dbraw/zinc/98/93/16/887989316.db2.gz ABDFSBZKYAAGLR-NEPJUHHUSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@@H]1C[C@@H]1OCC ZINC001234462661 887989328 /nfs/dbraw/zinc/98/93/28/887989328.db2.gz ABDFSBZKYAAGLR-NEPJUHHUSA-N 1 2 319.243 1.758 20 30 DDEDLO C[N@H+](CCC[NH+]1CCCC1)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001364395924 888536425 /nfs/dbraw/zinc/53/64/25/888536425.db2.gz GYJOQXKJMSIRLZ-UHFFFAOYSA-N 1 2 314.393 1.224 20 30 DDEDLO C[N@@H+](CCC[NH+]1CCCC1)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001364395924 888536431 /nfs/dbraw/zinc/53/64/31/888536431.db2.gz GYJOQXKJMSIRLZ-UHFFFAOYSA-N 1 2 314.393 1.224 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)Cc1nnc2n1CCCC2 ZINC001235436614 888639046 /nfs/dbraw/zinc/63/90/46/888639046.db2.gz OJJBTDRGAFGWCL-UHFFFAOYSA-N 1 2 305.426 1.519 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)Cc1nnc2n1CCCC2 ZINC001235436614 888639060 /nfs/dbraw/zinc/63/90/60/888639060.db2.gz OJJBTDRGAFGWCL-UHFFFAOYSA-N 1 2 305.426 1.519 20 30 DDEDLO COC1(c2nccn2C)CC[NH+](C[C@H](C#N)CCC#N)CC1 ZINC001364503856 888788987 /nfs/dbraw/zinc/78/89/87/888788987.db2.gz WSINWOZLYVQPIE-AWEZNQCLSA-N 1 2 301.394 1.801 20 30 DDEDLO CC(C)C[C@@H](C(=O)N(C)C[C@H]1CCN1CC#N)n1cc[nH+]c1 ZINC001235632415 888820714 /nfs/dbraw/zinc/82/07/14/888820714.db2.gz IDSUNSFLJHVFKY-CABCVRRESA-N 1 2 303.410 1.527 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)[C@@H](C)c1nc(C2CC2)no1 ZINC001235698166 888892172 /nfs/dbraw/zinc/89/21/72/888892172.db2.gz JDXJZHJOQSPKPW-LBPRGKRZSA-N 1 2 322.409 1.649 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)[C@@H](C)c1nc(C2CC2)no1 ZINC001235698166 888892182 /nfs/dbraw/zinc/89/21/82/888892182.db2.gz JDXJZHJOQSPKPW-LBPRGKRZSA-N 1 2 322.409 1.649 20 30 DDEDLO Cn1ccc(C[N@H+](C)C[C@@H](O)COc2ccc(CC#N)cc2)n1 ZINC001364547854 888900725 /nfs/dbraw/zinc/90/07/25/888900725.db2.gz KROVJTQIYJMOPU-MRXNPFEDSA-N 1 2 314.389 1.358 20 30 DDEDLO Cn1ccc(C[N@@H+](C)C[C@@H](O)COc2ccc(CC#N)cc2)n1 ZINC001364547854 888900736 /nfs/dbraw/zinc/90/07/36/888900736.db2.gz KROVJTQIYJMOPU-MRXNPFEDSA-N 1 2 314.389 1.358 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCC[C@](C#N)(c3ccccn3)C2)CC1 ZINC001364777064 889393731 /nfs/dbraw/zinc/39/37/31/889393731.db2.gz RKMLAPPGNZUFCJ-MAUKXSAKSA-N 1 2 310.401 1.994 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCC[C@](C#N)(c3ccccn3)C2)CC1 ZINC001364777064 889393739 /nfs/dbraw/zinc/39/37/39/889393739.db2.gz RKMLAPPGNZUFCJ-MAUKXSAKSA-N 1 2 310.401 1.994 20 30 DDEDLO C[N@@H+]1CCO[C@]2(CCCN(CC(=O)c3ccc(C#N)cc3)C2)C1 ZINC001278074561 889562977 /nfs/dbraw/zinc/56/29/77/889562977.db2.gz GQUVOSIHBQFREK-GOSISDBHSA-N 1 2 313.401 1.538 20 30 DDEDLO C[N@H+]1CCO[C@]2(CCCN(CC(=O)c3ccc(C#N)cc3)C2)C1 ZINC001278074561 889562988 /nfs/dbraw/zinc/56/29/88/889562988.db2.gz GQUVOSIHBQFREK-GOSISDBHSA-N 1 2 313.401 1.538 20 30 DDEDLO CCn1c[nH+]cc1CN1CCN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC001237588896 889722602 /nfs/dbraw/zinc/72/26/02/889722602.db2.gz ZICCHDCYXCICAA-CYBMUJFWSA-N 1 2 319.409 1.848 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC001364971118 889786100 /nfs/dbraw/zinc/78/61/00/889786100.db2.gz URNBKAHBOKPLSH-UHFFFAOYSA-N 1 2 314.345 1.547 20 30 DDEDLO C=CC1(CC(=O)NC[C@@H](CO)[NH2+]Cc2ccon2)CCCCC1 ZINC001278172600 889963696 /nfs/dbraw/zinc/96/36/96/889963696.db2.gz WYPUCYJOZSURRV-HNNXBMFYSA-N 1 2 321.421 1.768 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC2(C[C@@H]2C(=O)NCC2COC2)C1 ZINC001278239158 890237864 /nfs/dbraw/zinc/23/78/64/890237864.db2.gz GWUNKHQYIDQXAE-MRXNPFEDSA-N 1 2 311.385 1.143 20 30 DDEDLO N#Cc1cc(-c2nc3c(c(N4CCOCC4)n2)C[NH2+]C3)ccc1O ZINC001242563876 891452946 /nfs/dbraw/zinc/45/29/46/891452946.db2.gz NFSAPJCOUZSDPP-UHFFFAOYSA-N 1 2 323.356 1.161 20 30 DDEDLO C=CCCC(=O)N1C[C@H]([NH2+]Cc2nc(CCOC)no2)C[C@@H]1C ZINC001278355858 891558063 /nfs/dbraw/zinc/55/80/63/891558063.db2.gz NMIAOIWGVREAQE-QWHCGFSZSA-N 1 2 322.409 1.304 20 30 DDEDLO CN(C[C@@H]1CCN1Cc1ccccc1C#N)C(=O)Cn1cc[nH+]c1 ZINC001365799729 891592882 /nfs/dbraw/zinc/59/28/82/891592882.db2.gz BRSNQCLIGZYSBD-KRWDZBQOSA-N 1 2 323.400 1.488 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CCOC2(C[NH+](CCC)C2)C1)OCC ZINC001280900021 893110726 /nfs/dbraw/zinc/11/07/26/893110726.db2.gz QJQGKCKWBQQDIU-HNNXBMFYSA-N 1 2 310.438 1.681 20 30 DDEDLO CCc1cc(C#N)ccc1C[NH+]1CCN(C(=O)N(C)C)CC1 ZINC001248742752 893684837 /nfs/dbraw/zinc/68/48/37/893684837.db2.gz HVKYXRCLRKKCON-UHFFFAOYSA-N 1 2 300.406 1.920 20 30 DDEDLO C[N@H+](CCNC(=O)c1cccnn1)Cc1ccc(C#N)cc1F ZINC001366572383 894102213 /nfs/dbraw/zinc/10/22/13/894102213.db2.gz CIINQZPYDSUCPP-UHFFFAOYSA-N 1 2 313.336 1.349 20 30 DDEDLO C[N@@H+](CCNC(=O)c1cccnn1)Cc1ccc(C#N)cc1F ZINC001366572383 894102221 /nfs/dbraw/zinc/10/22/21/894102221.db2.gz CIINQZPYDSUCPP-UHFFFAOYSA-N 1 2 313.336 1.349 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCCn2cnc(C(=O)OCC)c2C1 ZINC001252588555 895292064 /nfs/dbraw/zinc/29/20/64/895292064.db2.gz CXRAOSGEKKYJDF-CYBMUJFWSA-N 1 2 307.394 1.593 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCCn2cnc(C(=O)OCC)c2C1 ZINC001252588555 895292066 /nfs/dbraw/zinc/29/20/66/895292066.db2.gz CXRAOSGEKKYJDF-CYBMUJFWSA-N 1 2 307.394 1.593 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)CCC(=O)N(CC)CC)C1 ZINC001366990128 895503985 /nfs/dbraw/zinc/50/39/85/895503985.db2.gz SRIHLDAAXPRXIM-UHFFFAOYSA-N 1 2 315.845 1.436 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1C[C@@H](O)C(C)C ZINC001253758774 896063099 /nfs/dbraw/zinc/06/30/99/896063099.db2.gz BRGSMRKWHUELHA-LSDHHAIUSA-N 1 2 308.426 1.417 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1C[C@@H](O)C(C)C ZINC001253758774 896063118 /nfs/dbraw/zinc/06/31/18/896063118.db2.gz BRGSMRKWHUELHA-LSDHHAIUSA-N 1 2 308.426 1.417 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)c2cc(CCCCC)n[nH]2)C1 ZINC001278914453 897293972 /nfs/dbraw/zinc/29/39/72/897293972.db2.gz WFQOWEQSACUEOR-UHFFFAOYSA-N 1 2 320.437 1.495 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccc3c(c2)CCCC3)C1 ZINC001278927437 897358591 /nfs/dbraw/zinc/35/85/91/897358591.db2.gz RUNVOZOQBAPYMZ-UHFFFAOYSA-N 1 2 312.413 1.365 20 30 DDEDLO C[C@H](C[NH2+]Cc1nc(C2CC2)no1)NC(=O)c1ccc(C#N)[nH]1 ZINC001367667664 897406098 /nfs/dbraw/zinc/40/60/98/897406098.db2.gz PXXGVOUNNCNGBX-SECBINFHSA-N 1 2 314.349 1.055 20 30 DDEDLO C[C@@H]1C[C@@H](N2CC[NH2+]C[C@H]2C#N)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001257584693 897886655 /nfs/dbraw/zinc/88/66/55/897886655.db2.gz YDTVODINORFCTE-LXTVHRRPSA-N 1 2 322.453 1.960 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C[NH2+]Cc1csnn1)C1CCCC1 ZINC001368137971 898786468 /nfs/dbraw/zinc/78/64/68/898786468.db2.gz QVIXIRCUKKEQHQ-GWCFXTLKSA-N 1 2 307.423 1.462 20 30 DDEDLO C[C@H](CNC(=O)[C@H](C)C#N)[NH2+]Cc1nnc(C(F)(F)F)s1 ZINC001390315401 899150161 /nfs/dbraw/zinc/15/01/61/899150161.db2.gz QHPAYXQPMYVRIA-RNFRBKRXSA-N 1 2 321.328 1.311 20 30 DDEDLO C=CCC1(O)CN(C(=O)[C@@H](C(CC)CC)[NH+]2CCOCC2)C1 ZINC001263932357 900823616 /nfs/dbraw/zinc/82/36/16/900823616.db2.gz FXZODDHPWQRSMC-OAHLLOKOSA-N 1 2 310.438 1.273 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)c(F)c1 ZINC001264365318 901043732 /nfs/dbraw/zinc/04/37/32/901043732.db2.gz VLYXHPDFZVJUHC-MRXNPFEDSA-N 1 2 318.392 1.930 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)c(F)c1 ZINC001264365318 901043742 /nfs/dbraw/zinc/04/37/42/901043742.db2.gz VLYXHPDFZVJUHC-MRXNPFEDSA-N 1 2 318.392 1.930 20 30 DDEDLO Cc1cc(C[NH+]2CCC(N(C)C(=O)C#CC(C)C)CC2)nn1C ZINC001265192265 901686760 /nfs/dbraw/zinc/68/67/60/901686760.db2.gz WCZHWAWJENSZGK-UHFFFAOYSA-N 1 2 316.449 1.811 20 30 DDEDLO CCc1ccccc1CC(=O)NCC[NH+]1CCN(CC#N)CC1 ZINC001265259642 901782187 /nfs/dbraw/zinc/78/21/87/901782187.db2.gz HGCQMAHWKJQDMX-UHFFFAOYSA-N 1 2 314.433 1.049 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2ncoc2C(C)(C)C)C1 ZINC001265310759 901866763 /nfs/dbraw/zinc/86/67/63/901866763.db2.gz UYEIFHZSXGPJJR-CYBMUJFWSA-N 1 2 319.405 1.426 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2ncoc2C(C)(C)C)C1 ZINC001265310759 901866769 /nfs/dbraw/zinc/86/67/69/901866769.db2.gz UYEIFHZSXGPJJR-CYBMUJFWSA-N 1 2 319.405 1.426 20 30 DDEDLO N#Cc1ccccc1CN1CC[NH+](CCNC(=O)C2CC2)CC1 ZINC001369871248 902127273 /nfs/dbraw/zinc/12/72/73/902127273.db2.gz CHXFKLAWRJVBDQ-UHFFFAOYSA-N 1 2 312.417 1.202 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@H]1CC[N@H+](CC(=O)NCCC(C)(C)C)C1 ZINC001391608548 902132747 /nfs/dbraw/zinc/13/27/47/902132747.db2.gz FVNXMWPDXICRPF-KGLIPLIRSA-N 1 2 322.453 1.231 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NCCC(C)(C)C)C1 ZINC001391608548 902132752 /nfs/dbraw/zinc/13/27/52/902132752.db2.gz FVNXMWPDXICRPF-KGLIPLIRSA-N 1 2 322.453 1.231 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001265584548 902162194 /nfs/dbraw/zinc/16/21/94/902162194.db2.gz PEWKMFLOQDAUDC-RYUDHWBXSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001265584548 902162202 /nfs/dbraw/zinc/16/22/02/902162202.db2.gz PEWKMFLOQDAUDC-RYUDHWBXSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001265584548 902162209 /nfs/dbraw/zinc/16/22/09/902162209.db2.gz PEWKMFLOQDAUDC-RYUDHWBXSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001265584548 902162217 /nfs/dbraw/zinc/16/22/17/902162217.db2.gz PEWKMFLOQDAUDC-RYUDHWBXSA-N 1 2 324.318 1.365 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H](C)CNC(=O)CSCC#N)n1 ZINC001265755489 902369645 /nfs/dbraw/zinc/36/96/45/902369645.db2.gz YJZUJUXQRNYFNZ-SNVBAGLBSA-N 1 2 311.411 1.044 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@@H+](C)Cc2coc(C)n2)nc1 ZINC001265956484 902658831 /nfs/dbraw/zinc/65/88/31/902658831.db2.gz ORUMYYHPIAXXLN-UHFFFAOYSA-N 1 2 312.373 1.611 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@H+](C)Cc2coc(C)n2)nc1 ZINC001265956484 902658839 /nfs/dbraw/zinc/65/88/39/902658839.db2.gz ORUMYYHPIAXXLN-UHFFFAOYSA-N 1 2 312.373 1.611 20 30 DDEDLO CCN(CC#N)CCCNC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1 ZINC001266046051 902832132 /nfs/dbraw/zinc/83/21/32/902832132.db2.gz VVTBZQPWCFMJLH-HNNXBMFYSA-N 1 2 318.425 1.142 20 30 DDEDLO CCN(CC#N)CCCNC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1 ZINC001266046051 902832142 /nfs/dbraw/zinc/83/21/42/902832142.db2.gz VVTBZQPWCFMJLH-HNNXBMFYSA-N 1 2 318.425 1.142 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C[C@H](C)N(C)C(=O)c2c[nH]c(C#N)c2)n1 ZINC001392060706 903198028 /nfs/dbraw/zinc/19/80/28/903198028.db2.gz MCCKRBARPJMABZ-UWVGGRQHSA-N 1 2 316.365 1.389 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CC ZINC001316603266 903625638 /nfs/dbraw/zinc/62/56/38/903625638.db2.gz OYNKSUNTUDUGDS-OAHLLOKOSA-N 1 2 323.481 1.990 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CC ZINC001316603266 903625646 /nfs/dbraw/zinc/62/56/46/903625646.db2.gz OYNKSUNTUDUGDS-OAHLLOKOSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001280504014 903741826 /nfs/dbraw/zinc/74/18/26/903741826.db2.gz OMARCSBBCQNHNE-CXAGYDPISA-N 1 2 320.437 1.908 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001280504014 903741836 /nfs/dbraw/zinc/74/18/36/903741836.db2.gz OMARCSBBCQNHNE-CXAGYDPISA-N 1 2 320.437 1.908 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001280537196 903774968 /nfs/dbraw/zinc/77/49/68/903774968.db2.gz UCGXQZIVQOPXHO-WBMJQRKESA-N 1 2 306.410 1.518 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001293879003 914749422 /nfs/dbraw/zinc/74/94/22/914749422.db2.gz GDOBOGAIVOGGMD-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C[C@@H](C)CC)C2)CC1 ZINC001280681210 903917117 /nfs/dbraw/zinc/91/71/17/903917117.db2.gz ZNCVHBUBLONFTH-IRXDYDNUSA-N 1 2 305.466 1.664 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ncnn2C)CC1 ZINC001280733438 903968086 /nfs/dbraw/zinc/96/80/86/903968086.db2.gz OKJJSCTWTVLXMF-CQSZACIVSA-N 1 2 303.410 1.089 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2ncnn2C)CC1 ZINC001280733438 903968099 /nfs/dbraw/zinc/96/80/99/903968099.db2.gz OKJJSCTWTVLXMF-CQSZACIVSA-N 1 2 303.410 1.089 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@@H](C)NC(=O)c2c[nH]c(C#N)c2)o1 ZINC001392473704 904210902 /nfs/dbraw/zinc/21/09/02/904210902.db2.gz AWTXUELCNHZGCI-SNVBAGLBSA-N 1 2 316.365 1.301 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001281139852 904463791 /nfs/dbraw/zinc/46/37/91/904463791.db2.gz JCERZUCGHYKKER-KBPBESRZSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001281149009 904476734 /nfs/dbraw/zinc/47/67/34/904476734.db2.gz MPKMNGKOZDWRRX-STQMWFEESA-N 1 2 318.421 1.660 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H](NC(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001281173229 904524869 /nfs/dbraw/zinc/52/48/69/904524869.db2.gz UCZMXAMUBLJPEY-KBPBESRZSA-N 1 2 318.421 1.591 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)C[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001281441416 904852811 /nfs/dbraw/zinc/85/28/11/904852811.db2.gz FYGOZSWVTILCDB-KGLIPLIRSA-N 1 2 318.421 1.401 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)C[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001281441416 904852832 /nfs/dbraw/zinc/85/28/32/904852832.db2.gz FYGOZSWVTILCDB-KGLIPLIRSA-N 1 2 318.421 1.401 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCCCC[N@H+]1Cc1cnn(C)n1 ZINC001282666194 905931006 /nfs/dbraw/zinc/93/10/06/905931006.db2.gz KHHBJPHVIQKRTD-INIZCTEOSA-N 1 2 317.437 1.479 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCCCC[N@@H+]1Cc1cnn(C)n1 ZINC001282666194 905931025 /nfs/dbraw/zinc/93/10/25/905931025.db2.gz KHHBJPHVIQKRTD-INIZCTEOSA-N 1 2 317.437 1.479 20 30 DDEDLO CCn1ccc(C[NH+]2CC([C@@H](C)NC(=O)CSCC#N)C2)n1 ZINC001282690443 905950793 /nfs/dbraw/zinc/95/07/93/905950793.db2.gz SVHGSEUJZSBVFL-GFCCVEGCSA-N 1 2 321.450 1.096 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)C1C[NH+](CC(=O)NCC(C)(C)C)C1 ZINC001282716814 905982759 /nfs/dbraw/zinc/98/27/59/905982759.db2.gz NDAJHPIHYVARGB-AWEZNQCLSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001372385793 907179642 /nfs/dbraw/zinc/17/96/42/907179642.db2.gz VXBMHJVPAUEHJC-LBPRGKRZSA-N 1 2 301.818 1.093 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001372385793 907179652 /nfs/dbraw/zinc/17/96/52/907179652.db2.gz VXBMHJVPAUEHJC-LBPRGKRZSA-N 1 2 301.818 1.093 20 30 DDEDLO C=C(Cl)CN1CC2(C1)CC[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)O2 ZINC001393668293 907650928 /nfs/dbraw/zinc/65/09/28/907650928.db2.gz LAWMIOFYBOFJEY-ZDUSSCGKSA-N 1 2 324.812 1.054 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001283881079 908123787 /nfs/dbraw/zinc/12/37/87/908123787.db2.gz SYOHEORJUMGNCI-CYBMUJFWSA-N 1 2 316.405 1.027 20 30 DDEDLO C[C@H](NC(=O)c1ccc(C#N)[nH]1)[C@H](C)[NH2+]Cc1csnn1 ZINC001393920089 908248565 /nfs/dbraw/zinc/24/85/65/908248565.db2.gz FNZUMTJOYHSOCB-IUCAKERBSA-N 1 2 304.379 1.034 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284024448 908333384 /nfs/dbraw/zinc/33/33/84/908333384.db2.gz ULGALEPEVXBUGB-ZDUSSCGKSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284024448 908333401 /nfs/dbraw/zinc/33/34/01/908333401.db2.gz ULGALEPEVXBUGB-ZDUSSCGKSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@@H]1[N@H+](Cc1nonc1C)CC2 ZINC001284049911 908391106 /nfs/dbraw/zinc/39/11/06/908391106.db2.gz FUYJRBUOUMCSAJ-RDJZCZTQSA-N 1 2 316.405 1.795 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@@H]1[N@@H+](Cc1nonc1C)CC2 ZINC001284049911 908391123 /nfs/dbraw/zinc/39/11/23/908391123.db2.gz FUYJRBUOUMCSAJ-RDJZCZTQSA-N 1 2 316.405 1.795 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cnn2cccnc12)C1CC1 ZINC001372845397 908414597 /nfs/dbraw/zinc/41/45/97/908414597.db2.gz VXHAVKMFHNRJBE-UHFFFAOYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cnn2cccnc12)C1CC1 ZINC001372845397 908414602 /nfs/dbraw/zinc/41/46/02/908414602.db2.gz VXHAVKMFHNRJBE-UHFFFAOYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](C)NC(=O)Cc2c[nH+]cn2C)CC1 ZINC001284321346 908823524 /nfs/dbraw/zinc/82/35/24/908823524.db2.gz PZLDXWDFNQBRJW-ZDUSSCGKSA-N 1 2 318.421 1.330 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCN(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001284529659 909149292 /nfs/dbraw/zinc/14/92/92/909149292.db2.gz LQHCJYDLJMWIJO-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(CCNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001284753559 909444722 /nfs/dbraw/zinc/44/47/22/909444722.db2.gz OMSPXZHJAVIFRA-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC1CN(Cc2ccccc2C#N)C1 ZINC001373462766 909922120 /nfs/dbraw/zinc/92/21/20/909922120.db2.gz NVIFJMBPDNCTTK-CYBMUJFWSA-N 1 2 323.400 1.461 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC1CN(Cc2ccccc2C#N)C1 ZINC001373462766 909922133 /nfs/dbraw/zinc/92/21/33/909922133.db2.gz NVIFJMBPDNCTTK-CYBMUJFWSA-N 1 2 323.400 1.461 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](CC)NC(=O)Cc1[nH]c[nH+]c1C ZINC001285084395 910047556 /nfs/dbraw/zinc/04/75/56/910047556.db2.gz ZVASYZGIARDBIY-GFCCVEGCSA-N 1 2 306.410 1.484 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@H](OC)c1cnn(C)c1 ZINC001375078913 914886722 /nfs/dbraw/zinc/88/67/22/914886722.db2.gz GCNNCWGXLPCCDP-YPMHNXCESA-N 1 2 314.817 1.297 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@@H](OC)c1cnn(C)c1 ZINC001375078913 914886732 /nfs/dbraw/zinc/88/67/32/914886732.db2.gz GCNNCWGXLPCCDP-YPMHNXCESA-N 1 2 314.817 1.297 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](NC(=O)[C@@H]2CCc3[nH+]ccn3C2)C1 ZINC001295181414 915628496 /nfs/dbraw/zinc/62/84/96/915628496.db2.gz FYHMRBQUTSIUDY-MCIONIFRSA-N 1 2 316.405 1.175 20 30 DDEDLO CO[C@H](C)c1nc(C[NH2+]C2(CNC(=O)[C@H](C)C#N)CC2)cs1 ZINC001375401125 915824884 /nfs/dbraw/zinc/82/48/84/915824884.db2.gz BSDXJRGGLMXURT-GHMZBOCLSA-N 1 2 322.434 1.749 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001297055187 916695438 /nfs/dbraw/zinc/69/54/38/916695438.db2.gz DOTUKNKLDBLGSL-ZDUSSCGKSA-N 1 2 318.421 1.764 20 30 DDEDLO C=CCC1(C(=O)N2CC(NC(=O)Cn3cc[nH+]c3)C2)CCCC1 ZINC001297084905 916720406 /nfs/dbraw/zinc/72/04/06/916720406.db2.gz MZVSXPGOQPTQGS-UHFFFAOYSA-N 1 2 316.405 1.347 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001297251224 916838505 /nfs/dbraw/zinc/83/85/05/916838505.db2.gz ICJHPWGTHBBFRF-CYBMUJFWSA-N 1 2 318.421 1.438 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)[C@H](C)CSC)C1 ZINC001376447103 918511345 /nfs/dbraw/zinc/51/13/45/918511345.db2.gz ATALETLKPSIIAD-RISCZKNCSA-N 1 2 320.886 1.681 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)[C@H](C)CSC)C1 ZINC001376447103 918511353 /nfs/dbraw/zinc/51/13/53/918511353.db2.gz ATALETLKPSIIAD-RISCZKNCSA-N 1 2 320.886 1.681 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@@H](C)CNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001376714131 919144142 /nfs/dbraw/zinc/14/41/42/919144142.db2.gz QDILJAWQHZIWOZ-UWVGGRQHSA-N 1 2 316.365 1.301 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCC2([NH2+]Cc3cnsn3)CCC2)c1 ZINC001376729384 919193913 /nfs/dbraw/zinc/19/39/13/919193913.db2.gz MOQNQBXXSZUBMU-UHFFFAOYSA-N 1 2 316.390 1.180 20 30 DDEDLO CC[N@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1nc(C)c(C)o1 ZINC001377297911 920953733 /nfs/dbraw/zinc/95/37/33/920953733.db2.gz DLKCHSMWIOXBCE-UHFFFAOYSA-N 1 2 315.377 1.743 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1nc(C)c(C)o1 ZINC001377297911 920953750 /nfs/dbraw/zinc/95/37/50/920953750.db2.gz DLKCHSMWIOXBCE-UHFFFAOYSA-N 1 2 315.377 1.743 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@H+](Cc2nc(C3CC3)no2)C1 ZINC001377433745 921889237 /nfs/dbraw/zinc/88/92/37/921889237.db2.gz CBMQJNVJEOHCLM-WDEREUQCSA-N 1 2 303.366 1.045 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@@H+](Cc2nc(C3CC3)no2)C1 ZINC001377433745 921889241 /nfs/dbraw/zinc/88/92/41/921889241.db2.gz CBMQJNVJEOHCLM-WDEREUQCSA-N 1 2 303.366 1.045 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@H+](Cc2nc(C3CC3)no2)C1 ZINC001377433743 921889672 /nfs/dbraw/zinc/88/96/72/921889672.db2.gz CBMQJNVJEOHCLM-QWRGUYRKSA-N 1 2 303.366 1.045 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@@H+](Cc2nc(C3CC3)no2)C1 ZINC001377433743 921889677 /nfs/dbraw/zinc/88/96/77/921889677.db2.gz CBMQJNVJEOHCLM-QWRGUYRKSA-N 1 2 303.366 1.045 20 30 DDEDLO CC[C@@]1(C)COCC[N@@H+]1CC(=O)NC(=O)NCC(F)(F)F ZINC000330502027 529533442 /nfs/dbraw/zinc/53/34/42/529533442.db2.gz BEFRPIGIPJELIE-NSHDSACASA-N 1 2 311.304 1.080 20 30 DDEDLO CC[C@@]1(C)COCC[N@H+]1CC(=O)NC(=O)NCC(F)(F)F ZINC000330502027 529533444 /nfs/dbraw/zinc/53/34/44/529533444.db2.gz BEFRPIGIPJELIE-NSHDSACASA-N 1 2 311.304 1.080 20 30 DDEDLO N#Cc1cccc(OCC[N@H+]2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000328911205 231383716 /nfs/dbraw/zinc/38/37/16/231383716.db2.gz NZTYAFRBRGGCPQ-CYBMUJFWSA-N 1 2 300.318 1.013 20 30 DDEDLO N#Cc1cccc(OCC[N@@H+]2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000328911205 231383719 /nfs/dbraw/zinc/38/37/19/231383719.db2.gz NZTYAFRBRGGCPQ-CYBMUJFWSA-N 1 2 300.318 1.013 20 30 DDEDLO CCCN(CC(=O)OCC)Cc1c[nH+]c2ccc(C#N)cn12 ZINC000092380401 185314822 /nfs/dbraw/zinc/31/48/22/185314822.db2.gz AKBDUEDWGPCSJG-UHFFFAOYSA-N 1 2 300.362 1.981 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)N1CC[NH+](CCn2cncn2)CC1 ZINC000328998494 539300249 /nfs/dbraw/zinc/30/02/49/539300249.db2.gz KNSWOENTEHTBNQ-CQSZACIVSA-N 1 2 320.441 1.183 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[NH+]1CCN(CCn2cncn2)CC1 ZINC000328998494 539300250 /nfs/dbraw/zinc/30/02/50/539300250.db2.gz KNSWOENTEHTBNQ-CQSZACIVSA-N 1 2 320.441 1.183 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)C(C)(C)C1 ZINC000292810528 529775528 /nfs/dbraw/zinc/77/55/28/529775528.db2.gz ZUFCXTRFLBALBT-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)C(C)(C)C1 ZINC000292810528 529775529 /nfs/dbraw/zinc/77/55/29/529775529.db2.gz ZUFCXTRFLBALBT-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc(NC(=O)C2CC2)cc1 ZINC000414119640 529868327 /nfs/dbraw/zinc/86/83/27/529868327.db2.gz DNQISUTUOROHOR-OAHLLOKOSA-N 1 2 317.389 1.620 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc(NC(=O)C2CC2)cc1 ZINC000414119640 529868328 /nfs/dbraw/zinc/86/83/28/529868328.db2.gz DNQISUTUOROHOR-OAHLLOKOSA-N 1 2 317.389 1.620 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000414118932 529868519 /nfs/dbraw/zinc/86/85/19/529868519.db2.gz CAPABAUZPJBKQE-LLVKDONJSA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000414118932 529868520 /nfs/dbraw/zinc/86/85/20/529868520.db2.gz CAPABAUZPJBKQE-LLVKDONJSA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000414134940 529868568 /nfs/dbraw/zinc/86/85/68/529868568.db2.gz HVRCRRMXUSWBDD-JTQLQIEISA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000414134940 529868569 /nfs/dbraw/zinc/86/85/69/529868569.db2.gz HVRCRRMXUSWBDD-JTQLQIEISA-N 1 2 313.741 1.833 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[NH+]1CCN(c2nccs2)CC1 ZINC000615772164 362433197 /nfs/dbraw/zinc/43/31/97/362433197.db2.gz MFKXSYZBRYSSBS-LBPRGKRZSA-N 1 2 319.434 1.216 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000615793772 362442944 /nfs/dbraw/zinc/44/29/44/362442944.db2.gz ZFKIIONCLFGABM-GJZGRUSLSA-N 1 2 317.437 1.103 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)N[C@@H]1CC(=O)N(C(C)(C)C)C1 ZINC000330706984 530027048 /nfs/dbraw/zinc/02/70/48/530027048.db2.gz PHTVQWJWXIGVOW-LLVKDONJSA-N 1 2 307.398 1.165 20 30 DDEDLO CN(c1ccccc1)c1cc(NCCn2cnc(C#N)n2)[nH+]cn1 ZINC000432170099 530036254 /nfs/dbraw/zinc/03/62/54/530036254.db2.gz PKZMQZLICVAOHF-UHFFFAOYSA-N 1 2 320.360 1.820 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@H+]3CCSC[C@@H]3CO)o2)cc1 ZINC000330842394 232108095 /nfs/dbraw/zinc/10/80/95/232108095.db2.gz MSIFQYPUIQIDKW-ZDUSSCGKSA-N 1 2 316.386 1.518 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@@H+]3CCSC[C@@H]3CO)o2)cc1 ZINC000330842394 232108097 /nfs/dbraw/zinc/10/80/97/232108097.db2.gz MSIFQYPUIQIDKW-ZDUSSCGKSA-N 1 2 316.386 1.518 20 30 DDEDLO N#CCSCC(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000048373369 352537373 /nfs/dbraw/zinc/53/73/73/352537373.db2.gz APSKQHXXAFEUFN-UHFFFAOYSA-N 1 2 304.419 1.945 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000331357378 233163379 /nfs/dbraw/zinc/16/33/79/233163379.db2.gz TWGNJHXZYRLIGG-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cccc(OCC#N)c1 ZINC000048509247 352541682 /nfs/dbraw/zinc/54/16/82/352541682.db2.gz IFRFVMPIJZYBHA-ZDUSSCGKSA-N 1 2 318.377 1.431 20 30 DDEDLO Cc1cc(C)c(C#N)c(N2CC[NH+](CC(=O)NC(C)C)CC2)n1 ZINC000253373660 186302024 /nfs/dbraw/zinc/30/20/24/186302024.db2.gz JTVPGSNQTTXPIJ-UHFFFAOYSA-N 1 2 315.421 1.217 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000016432145 352161385 /nfs/dbraw/zinc/16/13/85/352161385.db2.gz MLDFWLAHNFXPTG-UHFFFAOYSA-N 1 2 318.377 1.015 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cccc([N+](=O)[O-])c2)CC1 ZINC000016432145 352161389 /nfs/dbraw/zinc/16/13/89/352161389.db2.gz MLDFWLAHNFXPTG-UHFFFAOYSA-N 1 2 318.377 1.015 20 30 DDEDLO N#CC1(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)CCCC1 ZINC000032459335 352280093 /nfs/dbraw/zinc/28/00/93/352280093.db2.gz XUMXPGOPXZJRJF-UHFFFAOYSA-N 1 2 314.389 1.618 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3csnn3)CC2)cc1 ZINC000056141816 352761533 /nfs/dbraw/zinc/76/15/33/352761533.db2.gz ZESDAFNTBHKTRK-UHFFFAOYSA-N 1 2 313.386 1.368 20 30 DDEDLO N#CC1CC[NH+](CC(=O)NC[C@H]2COc3ccccc3O2)CC1 ZINC000057906258 352834207 /nfs/dbraw/zinc/83/42/07/352834207.db2.gz ONEXRLVTQOGGQT-AWEZNQCLSA-N 1 2 315.373 1.178 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000066310900 352987932 /nfs/dbraw/zinc/98/79/32/352987932.db2.gz KPOPSQZVNDJTHO-OAHLLOKOSA-N 1 2 303.406 1.707 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(C(=O)C2CCCCC2)CC1 ZINC000066866465 353020359 /nfs/dbraw/zinc/02/03/59/353020359.db2.gz JAOXCCJXMNXEKK-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO CCN(C(=O)[C@@H]1C[N@H+](C)CCO1)[C@H](C)c1ccc(C#N)cc1 ZINC000174661050 198366164 /nfs/dbraw/zinc/36/61/64/198366164.db2.gz QLWYLOTUPNGYRL-CJNGLKHVSA-N 1 2 301.390 1.798 20 30 DDEDLO CCN(C(=O)[C@@H]1C[N@@H+](C)CCO1)[C@H](C)c1ccc(C#N)cc1 ZINC000174661050 198366166 /nfs/dbraw/zinc/36/61/66/198366166.db2.gz QLWYLOTUPNGYRL-CJNGLKHVSA-N 1 2 301.390 1.798 20 30 DDEDLO C=CC[C@H](C)NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000076297822 353411672 /nfs/dbraw/zinc/41/16/72/353411672.db2.gz NMBCALMDHYUQNY-JTQLQIEISA-N 1 2 300.362 1.901 20 30 DDEDLO CCNC(=O)C[N@H+](C)[C@H](C)C(=O)N(C)C1(C#N)CCC(C)CC1 ZINC000077377362 353466019 /nfs/dbraw/zinc/46/60/19/353466019.db2.gz UMQBRRLJRYKAFQ-XOYHFGRZSA-N 1 2 322.453 1.374 20 30 DDEDLO CCNC(=O)C[N@@H+](C)[C@H](C)C(=O)N(C)C1(C#N)CCC(C)CC1 ZINC000077377362 353466022 /nfs/dbraw/zinc/46/60/22/353466022.db2.gz UMQBRRLJRYKAFQ-XOYHFGRZSA-N 1 2 322.453 1.374 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](Cc2cccc(C(N)=O)c2)CC1 ZINC000078593379 353523789 /nfs/dbraw/zinc/52/37/89/353523789.db2.gz KCKRMDRGIBQPTP-UHFFFAOYSA-N 1 2 321.384 1.374 20 30 DDEDLO C[C@@H](O)[C@@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000089931392 353784053 /nfs/dbraw/zinc/78/40/53/353784053.db2.gz ZOTZMSXSVOMSGM-UKRRQHHQSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H](O)[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000089931392 353784056 /nfs/dbraw/zinc/78/40/56/353784056.db2.gz ZOTZMSXSVOMSGM-UKRRQHHQSA-N 1 2 322.430 1.425 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)N(C)C[C@@H](O)C[NH+]1CCOCC1 ZINC000181096496 354267572 /nfs/dbraw/zinc/26/75/72/354267572.db2.gz LMWMPDOMOCFRDJ-LSDHHAIUSA-N 1 2 313.442 1.066 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC000185187662 354280621 /nfs/dbraw/zinc/28/06/21/354280621.db2.gz PURHFGDHADNBTA-VIFPVBQESA-N 1 2 309.370 1.030 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1ccccc1SCC(N)=O ZINC000579022409 354715176 /nfs/dbraw/zinc/71/51/76/354715176.db2.gz WLLHZWVPDDDPRF-LLVKDONJSA-N 1 2 320.418 1.484 20 30 DDEDLO COc1cccc(SCC(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000579543876 354720461 /nfs/dbraw/zinc/72/04/61/354720461.db2.gz RHVXXZSKKPJMHT-OAHLLOKOSA-N 1 2 307.419 1.747 20 30 DDEDLO N#CCC[NH2+]Cc1ccccc1OCC(=O)NC[C@@H]1CCCO1 ZINC000579703568 354722485 /nfs/dbraw/zinc/72/24/85/354722485.db2.gz NHDJCMGVXIJDFQ-HNNXBMFYSA-N 1 2 317.389 1.364 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)NCCc1c[nH+]cn1C ZINC000401766876 354667130 /nfs/dbraw/zinc/66/71/30/354667130.db2.gz YVUWKMIZIBSGNG-UHFFFAOYSA-N 1 2 304.375 1.121 20 30 DDEDLO CO[C@]1(CO)CCC[N@@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000402754180 354669907 /nfs/dbraw/zinc/66/99/07/354669907.db2.gz BQKVRRZKKBGAJN-SUMWQHHRSA-N 1 2 317.389 1.359 20 30 DDEDLO CO[C@]1(CO)CCC[N@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000402754180 354669910 /nfs/dbraw/zinc/66/99/10/354669910.db2.gz BQKVRRZKKBGAJN-SUMWQHHRSA-N 1 2 317.389 1.359 20 30 DDEDLO N#CCC(=O)N1CCN(c2nc(C3CC3)[nH+]c3c2CCC3)CC1 ZINC000581895080 354738611 /nfs/dbraw/zinc/73/86/11/354738611.db2.gz RRPQKRWTINQFSE-UHFFFAOYSA-N 1 2 311.389 1.405 20 30 DDEDLO C[C@@]1(C#N)CCCN(C(=O)NCC2([NH+]3CCOCC3)CC2)C1 ZINC000617953716 363405884 /nfs/dbraw/zinc/40/58/84/363405884.db2.gz IKYPHJJQQLTHRH-HNNXBMFYSA-N 1 2 306.410 1.186 20 30 DDEDLO C[C@H]1CO[C@H](C(F)(F)F)C[N@@H+]1CCC(=O)N(C)CCC#N ZINC000586777467 354864620 /nfs/dbraw/zinc/86/46/20/354864620.db2.gz PQJWMNCAKAFTTP-QWRGUYRKSA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@H]1CO[C@H](C(F)(F)F)C[N@H+]1CCC(=O)N(C)CCC#N ZINC000586777467 354864622 /nfs/dbraw/zinc/86/46/22/354864622.db2.gz PQJWMNCAKAFTTP-QWRGUYRKSA-N 1 2 307.316 1.400 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)C[C@@H]1C ZINC000589902507 355030332 /nfs/dbraw/zinc/03/03/32/355030332.db2.gz NKUQLVMQRMYGMH-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)C[C@@H]1C ZINC000589902507 355030335 /nfs/dbraw/zinc/03/03/35/355030335.db2.gz NKUQLVMQRMYGMH-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CS(=O)(=O)NC1CC[NH+](Cc2cccc(F)c2C#N)CC1 ZINC000589982968 355037123 /nfs/dbraw/zinc/03/71/23/355037123.db2.gz JAKQTUYXEQFZJG-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO Cc1nc(CNC[C@@H](O)C[N@H+](C)CCC#N)nc2ccccc12 ZINC000591734226 355374938 /nfs/dbraw/zinc/37/49/38/355374938.db2.gz KOFDCETYQLVGMT-CQSZACIVSA-N 1 2 313.405 1.234 20 30 DDEDLO Cc1nc(CNC[C@@H](O)C[N@@H+](C)CCC#N)nc2ccccc12 ZINC000591734226 355374940 /nfs/dbraw/zinc/37/49/40/355374940.db2.gz KOFDCETYQLVGMT-CQSZACIVSA-N 1 2 313.405 1.234 20 30 DDEDLO CC(=O)Nc1cc(NC[C@H](O)C[N@H+](C)CCC#N)ccc1Cl ZINC000591773354 355382666 /nfs/dbraw/zinc/38/26/66/355382666.db2.gz HGMUHJCCOJEJKY-ZDUSSCGKSA-N 1 2 324.812 1.917 20 30 DDEDLO CC(=O)Nc1cc(NC[C@H](O)C[N@@H+](C)CCC#N)ccc1Cl ZINC000591773354 355382668 /nfs/dbraw/zinc/38/26/68/355382668.db2.gz HGMUHJCCOJEJKY-ZDUSSCGKSA-N 1 2 324.812 1.917 20 30 DDEDLO Cc1cc(N[C@@H]2CCN(c3ccccc3C(N)=O)C2)c(C#N)c[nH+]1 ZINC000591819939 355390900 /nfs/dbraw/zinc/39/09/00/355390900.db2.gz WUMRTOMTTHLHFQ-CQSZACIVSA-N 1 2 321.384 1.473 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@@H+]1Cc1ccc(C#N)cc1Cl ZINC000591647774 355351582 /nfs/dbraw/zinc/35/15/82/355351582.db2.gz WQTLXUDSEHYZED-MRXNPFEDSA-N 1 2 321.808 1.939 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@H+]1Cc1ccc(C#N)cc1Cl ZINC000591647774 355351587 /nfs/dbraw/zinc/35/15/87/355351587.db2.gz WQTLXUDSEHYZED-MRXNPFEDSA-N 1 2 321.808 1.939 20 30 DDEDLO CCC[N@H+](CC(=O)NC1(C#N)CCC1)[C@@H](CC)C(=O)OCC ZINC000347176555 283103357 /nfs/dbraw/zinc/10/33/57/283103357.db2.gz WMAKZWHAVCDPMM-ZDUSSCGKSA-N 1 2 309.410 1.603 20 30 DDEDLO CCC[N@@H+](CC(=O)NC1(C#N)CCC1)[C@@H](CC)C(=O)OCC ZINC000347176555 283103359 /nfs/dbraw/zinc/10/33/59/283103359.db2.gz WMAKZWHAVCDPMM-ZDUSSCGKSA-N 1 2 309.410 1.603 20 30 DDEDLO N#Cc1cnccc1C[NH+]1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC000592069331 355482366 /nfs/dbraw/zinc/48/23/66/355482366.db2.gz QBVHFOXZBARXSJ-UHFFFAOYSA-N 1 2 321.384 1.374 20 30 DDEDLO CNC(=O)CCOC1CC[NH+](Cc2ccncc2C#N)CC1 ZINC000592072289 355483437 /nfs/dbraw/zinc/48/34/37/355483437.db2.gz SUZACIXQNNIYGV-UHFFFAOYSA-N 1 2 302.378 1.070 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2cc(Cl)c3c(c2)OCCO3)C1 ZINC000592148125 355513680 /nfs/dbraw/zinc/51/36/80/355513680.db2.gz QYPOKAQOAXVTMJ-OAHLLOKOSA-N 1 2 308.765 1.962 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2cc(Cl)c3c(c2)OCCO3)C1 ZINC000592148125 355513682 /nfs/dbraw/zinc/51/36/82/355513682.db2.gz QYPOKAQOAXVTMJ-OAHLLOKOSA-N 1 2 308.765 1.962 20 30 DDEDLO C[C@H](C(=O)N1CCCc2ccccc21)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148270 355515069 /nfs/dbraw/zinc/51/50/69/355515069.db2.gz SYJXMNDWONYWCC-KDOFPFPSSA-N 1 2 313.401 1.705 20 30 DDEDLO C[C@H](C(=O)N1CCCc2ccccc21)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148270 355515071 /nfs/dbraw/zinc/51/50/71/355515071.db2.gz SYJXMNDWONYWCC-KDOFPFPSSA-N 1 2 313.401 1.705 20 30 DDEDLO COCCOc1cccc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000593154315 355812662 /nfs/dbraw/zinc/81/26/62/355812662.db2.gz HNEOTNHOAHIPFP-QGZVFWFLSA-N 1 2 319.405 1.214 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ncccc1Br ZINC000593153052 355813179 /nfs/dbraw/zinc/81/31/79/355813179.db2.gz FNKZSVHQIMWEHU-LBPRGKRZSA-N 1 2 311.183 1.418 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@H](OC)C[C@H]2CC(=O)OCC)nn1 ZINC000593974457 356078018 /nfs/dbraw/zinc/07/80/18/356078018.db2.gz BZGHOXUUXZJZET-LSDHHAIUSA-N 1 2 322.409 1.397 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@H](OC)C[C@H]2CC(=O)OCC)nn1 ZINC000593974457 356078025 /nfs/dbraw/zinc/07/80/25/356078025.db2.gz BZGHOXUUXZJZET-LSDHHAIUSA-N 1 2 322.409 1.397 20 30 DDEDLO CN(C)C(=O)COC1C[NH+](Cc2ccc(C(C)(C)C#N)cc2)C1 ZINC000594605619 356263070 /nfs/dbraw/zinc/26/30/70/356263070.db2.gz CKMDNOZXKPTASQ-UHFFFAOYSA-N 1 2 315.417 1.777 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+]([C@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C1 ZINC000594659895 356278770 /nfs/dbraw/zinc/27/87/70/356278770.db2.gz JNRXXPRXHPXADF-ZBFHGGJFSA-N 1 2 322.368 1.816 20 30 DDEDLO N#CC[C@H]1CCC[N@H+]([C@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C1 ZINC000594659895 356278774 /nfs/dbraw/zinc/27/87/74/356278774.db2.gz JNRXXPRXHPXADF-ZBFHGGJFSA-N 1 2 322.368 1.816 20 30 DDEDLO CCN1CC[C@@H]([NH+]2CCN(c3ccsc3C#N)CC2)C1=O ZINC000595635324 356587961 /nfs/dbraw/zinc/58/79/61/356587961.db2.gz GYJIBWJKECOYML-CYBMUJFWSA-N 1 2 304.419 1.363 20 30 DDEDLO C[C@@]1(C2CC2)C[N@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000595618583 356580312 /nfs/dbraw/zinc/58/03/12/356580312.db2.gz QVQVEWBEWXTXRK-SFHVURJKSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@@]1(C2CC2)C[N@@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000595618583 356580314 /nfs/dbraw/zinc/58/03/14/356580314.db2.gz QVQVEWBEWXTXRK-SFHVURJKSA-N 1 2 313.401 1.675 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@@](O)(C3CC3)C2)c(C#N)c1C ZINC000595750340 356634473 /nfs/dbraw/zinc/63/44/73/356634473.db2.gz MAIDNXNQEBLXQB-KRWDZBQOSA-N 1 2 317.389 1.944 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@@](O)(C3CC3)C2)c(C#N)c1C ZINC000595750340 356634478 /nfs/dbraw/zinc/63/44/78/356634478.db2.gz MAIDNXNQEBLXQB-KRWDZBQOSA-N 1 2 317.389 1.944 20 30 DDEDLO COC(=O)[C@@](C)(CCF)[NH2+]CCCC1(C#N)CCOCC1 ZINC000595772818 356646388 /nfs/dbraw/zinc/64/63/88/356646388.db2.gz AVCSQJZOJNUHFU-CQSZACIVSA-N 1 2 300.374 1.968 20 30 DDEDLO C[C@@H]1COC2(CCCC2)C[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000595816956 356662261 /nfs/dbraw/zinc/66/22/61/356662261.db2.gz ZVMUNAMKHWPOER-OAHLLOKOSA-N 1 2 318.421 1.676 20 30 DDEDLO C[C@@H]1COC2(CCCC2)C[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000595816956 356662264 /nfs/dbraw/zinc/66/22/64/356662264.db2.gz ZVMUNAMKHWPOER-OAHLLOKOSA-N 1 2 318.421 1.676 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000595804419 356657954 /nfs/dbraw/zinc/65/79/54/356657954.db2.gz FZXDVCRBQTWIQU-KRWDZBQOSA-N 1 2 318.373 1.721 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000595804419 356657960 /nfs/dbraw/zinc/65/79/60/356657960.db2.gz FZXDVCRBQTWIQU-KRWDZBQOSA-N 1 2 318.373 1.721 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@@H+]1Cc1cc(C#N)ccc1OC ZINC000595808441 356659843 /nfs/dbraw/zinc/65/98/43/356659843.db2.gz TVNCQIFOCFMDQL-KRWDZBQOSA-N 1 2 318.373 1.721 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@H+]1Cc1cc(C#N)ccc1OC ZINC000595808441 356659846 /nfs/dbraw/zinc/65/98/46/356659846.db2.gz TVNCQIFOCFMDQL-KRWDZBQOSA-N 1 2 318.373 1.721 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC1=CCSC1 ZINC000595887147 356692026 /nfs/dbraw/zinc/69/20/26/356692026.db2.gz BEMMJRVOQPPHSV-UHFFFAOYSA-N 1 2 322.455 1.937 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC1=CCSC1 ZINC000595887147 356692030 /nfs/dbraw/zinc/69/20/30/356692030.db2.gz BEMMJRVOQPPHSV-UHFFFAOYSA-N 1 2 322.455 1.937 20 30 DDEDLO C=C[C@H](CO)[NH2+][C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000595864195 356682795 /nfs/dbraw/zinc/68/27/95/356682795.db2.gz VRFGQLPARORSON-MWLCHTKSSA-N 1 2 304.306 1.842 20 30 DDEDLO C[C@](C#N)(NC(=O)C[NH2+][C@@H](CO)c1ccc(F)cc1F)C1CC1 ZINC000595865615 356684009 /nfs/dbraw/zinc/68/40/09/356684009.db2.gz CDPAOVBNGHRBDN-GOEBONIOSA-N 1 2 323.343 1.396 20 30 DDEDLO Cn1ncc(C#N)c1N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000596052681 356754558 /nfs/dbraw/zinc/75/45/58/356754558.db2.gz GZCHFDVFNWSVIP-UHFFFAOYSA-N 1 2 306.373 1.486 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC1(C#N)CCCCC1 ZINC000597441087 357177002 /nfs/dbraw/zinc/17/70/02/357177002.db2.gz ISUITRODHIXOJS-ZDUSSCGKSA-N 1 2 318.377 1.474 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC1(C#N)CCCCC1 ZINC000597441087 357177005 /nfs/dbraw/zinc/17/70/05/357177005.db2.gz ISUITRODHIXOJS-ZDUSSCGKSA-N 1 2 318.377 1.474 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1ccc(C#N)n1C)C(C)(C)CO ZINC000597847726 357356992 /nfs/dbraw/zinc/35/69/92/357356992.db2.gz RHEPPUIYIZKVMB-CYBMUJFWSA-N 1 2 315.377 1.120 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)COc1ccccc1C#N ZINC000597999855 357415808 /nfs/dbraw/zinc/41/58/08/357415808.db2.gz SPKWSTKJIADBTC-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)COc1ccccc1C#N ZINC000597999855 357415811 /nfs/dbraw/zinc/41/58/11/357415811.db2.gz SPKWSTKJIADBTC-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)c3ccccn3)CC2)cc1C#N ZINC000276820697 213113618 /nfs/dbraw/zinc/11/36/18/213113618.db2.gz ZJWDSIYGKZJMFP-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO C[C@@H](NC(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C)c1[nH+]ccn1C ZINC000598463753 357592657 /nfs/dbraw/zinc/59/26/57/357592657.db2.gz BDDVFNNACSEHIE-BZNIZROVSA-N 1 2 320.393 1.859 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1C[N@H+](C[C@H](O)CC(C)(C)C#N)CCO1 ZINC000598594704 357645211 /nfs/dbraw/zinc/64/52/11/357645211.db2.gz IERJLLPPEDNFOQ-CHWSQXEVSA-N 1 2 312.410 1.330 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1C[N@@H+](C[C@H](O)CC(C)(C)C#N)CCO1 ZINC000598594704 357645215 /nfs/dbraw/zinc/64/52/15/357645215.db2.gz IERJLLPPEDNFOQ-CHWSQXEVSA-N 1 2 312.410 1.330 20 30 DDEDLO Cc1nnc(CNC(=O)[C@H](C)[NH+]2CCSCC2)n1C1CC1 ZINC000329657023 223010478 /nfs/dbraw/zinc/01/04/78/223010478.db2.gz GOPKWPKNHJQQPO-JTQLQIEISA-N 1 2 309.439 1.815 20 30 DDEDLO COC(=O)c1conc1C(C)(C)[NH2+]C[C@H](O)CC(C)(C)C#N ZINC000598621076 357657649 /nfs/dbraw/zinc/65/76/49/357657649.db2.gz FIELKYWXHRLGJW-SNVBAGLBSA-N 1 2 309.366 1.587 20 30 DDEDLO CO[C@@H]1C[N@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C[C@@H]1OC ZINC000276779764 213103479 /nfs/dbraw/zinc/10/34/79/213103479.db2.gz AJCWCGZFVYLWTJ-BETUJISGSA-N 1 2 323.418 1.521 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C[C@@H]1OC ZINC000276779764 213103481 /nfs/dbraw/zinc/10/34/81/213103481.db2.gz AJCWCGZFVYLWTJ-BETUJISGSA-N 1 2 323.418 1.521 20 30 DDEDLO COc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c2ccccc2n1 ZINC000598836532 357739856 /nfs/dbraw/zinc/73/98/56/357739856.db2.gz LQCNSNUBIHHSSK-KRWDZBQOSA-N 1 2 312.373 1.817 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)Nc2cncnc2)CC1 ZINC000329779748 223027449 /nfs/dbraw/zinc/02/74/49/223027449.db2.gz RFUOCQXYNINNAY-UHFFFAOYSA-N 1 2 300.366 1.710 20 30 DDEDLO CC#CCNC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000179301992 199012446 /nfs/dbraw/zinc/01/24/46/199012446.db2.gz VQGROHLPRQDYHQ-INIZCTEOSA-N 1 2 301.390 1.382 20 30 DDEDLO CCOC(=O)[C@H](C)[N@H+](C)C[C@@H](O)COc1ccccc1C#N ZINC000599326410 357895272 /nfs/dbraw/zinc/89/52/72/357895272.db2.gz LVOKLCIUXZYGBQ-GXTWGEPZSA-N 1 2 306.362 1.181 20 30 DDEDLO CCOC(=O)[C@H](C)[N@@H+](C)C[C@@H](O)COc1ccccc1C#N ZINC000599326410 357895276 /nfs/dbraw/zinc/89/52/76/357895276.db2.gz LVOKLCIUXZYGBQ-GXTWGEPZSA-N 1 2 306.362 1.181 20 30 DDEDLO COC(=O)[C@H]([NH2+]C[C@H](O)COc1ccccc1C#N)C(C)(C)C ZINC000599340087 357900975 /nfs/dbraw/zinc/90/09/75/357900975.db2.gz AXRHYALSCAHNHP-ZFWWWQNUSA-N 1 2 320.389 1.475 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)Nc1cc(C#N)cn1C(C)(C)C ZINC000599782411 358057853 /nfs/dbraw/zinc/05/78/53/358057853.db2.gz BHFOFMPKPSVXFH-ZDUSSCGKSA-N 1 2 319.409 1.567 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)Nc1cc(C#N)cn1C(C)(C)C ZINC000599782411 358057858 /nfs/dbraw/zinc/05/78/58/358057858.db2.gz BHFOFMPKPSVXFH-ZDUSSCGKSA-N 1 2 319.409 1.567 20 30 DDEDLO CN1CCCC[C@@H]([N@H+](C)Cc2ccc(C#N)cc2[N+](=O)[O-])C1=O ZINC000601974340 358761439 /nfs/dbraw/zinc/76/14/39/358761439.db2.gz IGUOPWKIWZCXOT-CQSZACIVSA-N 1 2 316.361 1.909 20 30 DDEDLO CN1CCCC[C@@H]([N@@H+](C)Cc2ccc(C#N)cc2[N+](=O)[O-])C1=O ZINC000601974340 358761442 /nfs/dbraw/zinc/76/14/42/358761442.db2.gz IGUOPWKIWZCXOT-CQSZACIVSA-N 1 2 316.361 1.909 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCO[C@@H](C(F)F)CC1)C1CC1 ZINC000601978092 358763762 /nfs/dbraw/zinc/76/37/62/358763762.db2.gz XICPOQFYPSTISR-BXUZGUMPSA-N 1 2 301.337 1.151 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCO[C@@H](C(F)F)CC1)C1CC1 ZINC000601978092 358763763 /nfs/dbraw/zinc/76/37/63/358763763.db2.gz XICPOQFYPSTISR-BXUZGUMPSA-N 1 2 301.337 1.151 20 30 DDEDLO Cc1nc[nH]c1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000602031982 358784794 /nfs/dbraw/zinc/78/47/94/358784794.db2.gz BLGRPZGYJJXHHF-UHFFFAOYSA-N 1 2 309.373 1.548 20 30 DDEDLO Cn1ccnc1[C@H](C1CC1)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000602433789 358973637 /nfs/dbraw/zinc/97/36/37/358973637.db2.gz CKRBGNXHELORKO-KRWDZBQOSA-N 1 2 322.416 1.960 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3ncccc3C#N)CC2)c1C ZINC000602436230 358974852 /nfs/dbraw/zinc/97/48/52/358974852.db2.gz XFQSMNHOLHNDFV-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)s1 ZINC000602619010 359077314 /nfs/dbraw/zinc/07/73/14/359077314.db2.gz VQWAPZRNAIULHV-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO C=CCOC[C@H]([NH2+][C@H]1CCc2cccnc2CC1)C(=O)OC ZINC000602644188 359094964 /nfs/dbraw/zinc/09/49/64/359094964.db2.gz WPLFEYDOEUVTJM-HOCLYGCPSA-N 1 2 304.390 1.663 20 30 DDEDLO Cc1cc(C)nc(N2CC[NH+](Cc3cccc(C#N)n3)CC2)n1 ZINC000602600846 359064742 /nfs/dbraw/zinc/06/47/42/359064742.db2.gz HWKNWJQCEHJMHC-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO CCOC(=O)c1ncc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)s1 ZINC000602850503 359237705 /nfs/dbraw/zinc/23/77/05/359237705.db2.gz PWJOVBYWRHNRJH-VXGBXAGGSA-N 1 2 322.434 1.738 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854579 359241049 /nfs/dbraw/zinc/24/10/49/359241049.db2.gz CAMWKCNXDBSBHF-JYYAWHABSA-N 1 2 319.453 1.348 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854579 359241053 /nfs/dbraw/zinc/24/10/53/359241053.db2.gz CAMWKCNXDBSBHF-JYYAWHABSA-N 1 2 319.453 1.348 20 30 DDEDLO Cc1ccsc1CNC(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602860481 359246350 /nfs/dbraw/zinc/24/63/50/359246350.db2.gz IQTQZEJCAFQRIC-KBPBESRZSA-N 1 2 320.462 1.591 20 30 DDEDLO CC(C)CCNC(=O)C1CCN(C(=O)C[NH2+][C@@H](C)CC#N)CC1 ZINC000602864390 359248731 /nfs/dbraw/zinc/24/87/31/359248731.db2.gz SKMADDNIAKZJLK-AWEZNQCLSA-N 1 2 322.453 1.279 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)Nc1cc(Cl)ccc1-n1cncn1 ZINC000602868142 359253835 /nfs/dbraw/zinc/25/38/35/359253835.db2.gz HCIKMQXKUCAOHU-SNVBAGLBSA-N 1 2 318.768 1.751 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000602936752 359306881 /nfs/dbraw/zinc/30/68/81/359306881.db2.gz FQDDZFCOTLMMRT-RDJZCZTQSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@H+]1CCOc1ccc(C#N)cc1 ZINC000602936752 359306885 /nfs/dbraw/zinc/30/68/85/359306885.db2.gz FQDDZFCOTLMMRT-RDJZCZTQSA-N 1 2 318.373 1.589 20 30 DDEDLO Cn1cc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2ccncc2C#N)cn1 ZINC000602944671 359312373 /nfs/dbraw/zinc/31/23/73/359312373.db2.gz WWPDAFPDTZBKBT-INIZCTEOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2ccncc2C#N)cn1 ZINC000602944671 359312375 /nfs/dbraw/zinc/31/23/75/359312375.db2.gz WWPDAFPDTZBKBT-INIZCTEOSA-N 1 2 324.388 1.081 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@@H+]1CCOc1cccc(C#N)c1 ZINC000602956715 359320837 /nfs/dbraw/zinc/32/08/37/359320837.db2.gz VCKIWWMGSUMESH-WBVHZDCISA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@H+]1CCOc1cccc(C#N)c1 ZINC000602956715 359320842 /nfs/dbraw/zinc/32/08/42/359320842.db2.gz VCKIWWMGSUMESH-WBVHZDCISA-N 1 2 318.373 1.589 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1C[C@@H](CO)[C@H](C(F)(F)F)C1 ZINC000602987603 359339977 /nfs/dbraw/zinc/33/99/77/359339977.db2.gz LVLPNOJHCNFKRO-LOWVWBTDSA-N 1 2 321.343 1.143 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](CO)[C@H](C(F)(F)F)C1 ZINC000602987603 359339981 /nfs/dbraw/zinc/33/99/81/359339981.db2.gz LVLPNOJHCNFKRO-LOWVWBTDSA-N 1 2 321.343 1.143 20 30 DDEDLO N#Cc1cc(NC(=O)N2CC[NH+](Cc3ccncc3)CC2)ccn1 ZINC000603139805 359428737 /nfs/dbraw/zinc/42/87/37/359428737.db2.gz QOFRHVGESFKISP-UHFFFAOYSA-N 1 2 322.372 1.698 20 30 DDEDLO N#Cc1ccc(N2CCC(Nc3cc(CO)cc[nH+]3)CC2)nc1 ZINC000603288445 359543971 /nfs/dbraw/zinc/54/39/71/359543971.db2.gz KBFMJRVDDNPNBJ-UHFFFAOYSA-N 1 2 309.373 1.921 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(Cc2ccns2)c1=O ZINC000603337165 359577522 /nfs/dbraw/zinc/57/75/22/359577522.db2.gz VTFXJNYHEIOOQW-UHFFFAOYSA-N 1 2 316.386 1.057 20 30 DDEDLO N#Cc1ccccc1N1CCN(C(=O)CCCn2cc[nH+]c2)CC1 ZINC000604568915 359772315 /nfs/dbraw/zinc/77/23/15/359772315.db2.gz QDMCGUDNBLOFND-UHFFFAOYSA-N 1 2 323.400 1.884 20 30 DDEDLO N#Cc1csc(C[NH2+]Cc2ccnc(N3CCOCC3)c2)n1 ZINC000565746462 304088002 /nfs/dbraw/zinc/08/80/02/304088002.db2.gz XZYPMBJHHOOWQX-UHFFFAOYSA-N 1 2 315.402 1.536 20 30 DDEDLO O=C(N[C@H]1CCN(c2ccccc2)C1)N1CCn2cc[nH+]c2C1 ZINC000329824739 223035992 /nfs/dbraw/zinc/03/59/92/223035992.db2.gz HVSOZXXMFNHFFV-AWEZNQCLSA-N 1 2 311.389 1.892 20 30 DDEDLO CO[C@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@@H]1C ZINC000189333105 200383254 /nfs/dbraw/zinc/38/32/54/200383254.db2.gz QUUGSFSGWSYXNR-BBRMVZONSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@@H]1C ZINC000189333105 200383256 /nfs/dbraw/zinc/38/32/56/200383256.db2.gz QUUGSFSGWSYXNR-BBRMVZONSA-N 1 2 322.430 1.689 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)[C@@H]1CCCN1c1ccc(C#N)cc1 ZINC000609131227 360263115 /nfs/dbraw/zinc/26/31/15/360263115.db2.gz GZOKDXYBQWVGAN-HNNXBMFYSA-N 1 2 309.373 1.577 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000609486273 360313653 /nfs/dbraw/zinc/31/36/53/360313653.db2.gz HBMIUJMXVNLBAH-ZBFHGGJFSA-N 1 2 306.454 1.599 20 30 DDEDLO COc1cc(OC)cc(N2CC[C@@H]([NH2+][C@H](C)CC#N)C2=O)c1 ZINC000610483082 360447718 /nfs/dbraw/zinc/44/77/18/360447718.db2.gz YLJCEYTXYFKBRH-IAQYHMDHSA-N 1 2 303.362 1.701 20 30 DDEDLO N#C[C@H]1CN(Cc2cn3cc(Br)ccc3[nH+]2)CCO1 ZINC000610944733 360578233 /nfs/dbraw/zinc/57/82/33/360578233.db2.gz QFTPCAVFTNHNLD-LBPRGKRZSA-N 1 2 321.178 1.821 20 30 DDEDLO COC(=O)c1cc(C[NH+]2CCN(CCC#N)CC2)c(C)s1 ZINC000611173642 360646717 /nfs/dbraw/zinc/64/67/17/360646717.db2.gz DZGNMFPDQARAJQ-UHFFFAOYSA-N 1 2 307.419 1.874 20 30 DDEDLO C[C@@H](C(=O)N(C)Cc1ccccc1)[NH+]1CCN(CCC#N)CC1 ZINC000611175524 360648829 /nfs/dbraw/zinc/64/88/29/360648829.db2.gz HFKVWLRAOZCIBT-INIZCTEOSA-N 1 2 314.433 1.565 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[NH+]2CC3(C2)CC(F)(F)C3)cc1 ZINC000611180437 360651674 /nfs/dbraw/zinc/65/16/74/360651674.db2.gz XPHTXYYDLDLKSN-UHFFFAOYSA-N 1 2 305.328 1.906 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CCN2C[C@H]3CC[C@@H](C2)O3)CC1 ZINC000611432110 360719956 /nfs/dbraw/zinc/71/99/56/360719956.db2.gz NOZWTXCTNKIZTQ-BBWFWOEESA-N 1 2 306.454 1.015 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CCC[C@@](C)(C#N)C2)c[nH+]1 ZINC000612099044 360918596 /nfs/dbraw/zinc/91/85/96/360918596.db2.gz ISZHNVYCNVQYMU-INIZCTEOSA-N 1 2 301.394 1.983 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)N[C@H]1[C@@H]2COC[C@@H]21 ZINC000330361604 223105005 /nfs/dbraw/zinc/10/50/05/223105005.db2.gz YOAVRXPRXWGWQH-JYAVWHMHSA-N 1 2 318.377 1.007 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(C(=O)N2CCC(C)CC2)CC1 ZINC000619716258 364126752 /nfs/dbraw/zinc/12/67/52/364126752.db2.gz DINFXDIVIYIVNC-HNNXBMFYSA-N 1 2 308.422 1.685 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(NC(=O)c2ccccc2)CC1 ZINC000619716040 364126889 /nfs/dbraw/zinc/12/68/89/364126889.db2.gz LAWVJRNMDCSUED-HNNXBMFYSA-N 1 2 302.374 1.608 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@H](C(=O)Nc2cccc(C)n2)C1 ZINC000619719109 364129736 /nfs/dbraw/zinc/12/97/36/364129736.db2.gz WHCWFGLCVSMVDA-KBPBESRZSA-N 1 2 317.389 1.768 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@H](C(=O)Nc2cccc(C)n2)C1 ZINC000619719109 364129737 /nfs/dbraw/zinc/12/97/37/364129737.db2.gz WHCWFGLCVSMVDA-KBPBESRZSA-N 1 2 317.389 1.768 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000625622287 367054613 /nfs/dbraw/zinc/05/46/13/367054613.db2.gz IMDIIVDYNJXOIJ-CYBMUJFWSA-N 1 2 318.421 1.455 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@]2(CC(=O)c3ccccc3O2)C1 ZINC000625799277 367148196 /nfs/dbraw/zinc/14/81/96/367148196.db2.gz LIRNVSXBQJINES-GOSISDBHSA-N 1 2 314.385 1.789 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@]2(CC(=O)c3ccccc3O2)C1 ZINC000625799277 367148198 /nfs/dbraw/zinc/14/81/98/367148198.db2.gz LIRNVSXBQJINES-GOSISDBHSA-N 1 2 314.385 1.789 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC[C@@H]([C@@H](O)C(F)(F)F)C2)CCC1 ZINC000347148659 223367659 /nfs/dbraw/zinc/36/76/59/223367659.db2.gz GRSHWQCTZSDTCA-ZYHUDNBSSA-N 1 2 319.327 1.184 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC[C@@H]([C@@H](O)C(F)(F)F)C2)CCC1 ZINC000347148659 223367660 /nfs/dbraw/zinc/36/76/60/223367660.db2.gz GRSHWQCTZSDTCA-ZYHUDNBSSA-N 1 2 319.327 1.184 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)NC(C)(C)c1[nH]cc[nH+]1 ZINC000566363088 304148976 /nfs/dbraw/zinc/14/89/76/304148976.db2.gz FUYIZERLDGHPDE-UHFFFAOYSA-N 1 2 314.345 1.720 20 30 DDEDLO CCCn1cc[nH+]c1CCCN(C)S(=O)(=O)CC1(C#N)CC1 ZINC000192244290 284131794 /nfs/dbraw/zinc/13/17/94/284131794.db2.gz IXZASSSOPWLFDG-UHFFFAOYSA-N 1 2 324.450 1.791 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+](CC(=O)NC2CC2)CC1 ZINC000266157106 205144942 /nfs/dbraw/zinc/14/49/42/205144942.db2.gz MJMPQWBOIFZQKR-UHFFFAOYSA-N 1 2 302.353 1.098 20 30 DDEDLO COC(=O)[C@@]1(F)CC[N@H+](CCOc2ccc(C#N)cc2OC)C1 ZINC000267646408 206193462 /nfs/dbraw/zinc/19/34/62/206193462.db2.gz JWEHVIBUWJXYJT-MRXNPFEDSA-N 1 2 322.336 1.533 20 30 DDEDLO COC(=O)[C@@]1(F)CC[N@@H+](CCOc2ccc(C#N)cc2OC)C1 ZINC000267646408 206193468 /nfs/dbraw/zinc/19/34/68/206193468.db2.gz JWEHVIBUWJXYJT-MRXNPFEDSA-N 1 2 322.336 1.533 20 30 DDEDLO Cc1ncc(S(=O)(=O)N2CCOc3cc[nH+]cc32)cc1C#N ZINC000339156104 250318199 /nfs/dbraw/zinc/31/81/99/250318199.db2.gz VAKKPWQQBBKYLC-UHFFFAOYSA-N 1 2 316.342 1.244 20 30 DDEDLO N#Cc1cnc(N2CC[NH+](Cc3cn4ccccc4n3)CC2)cn1 ZINC000106463270 194217391 /nfs/dbraw/zinc/21/73/91/194217391.db2.gz YZYHDUFIHLBUTA-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#Cc1cnc(N2CCN(Cc3cn4ccccc4[nH+]3)CC2)cn1 ZINC000106463270 194217394 /nfs/dbraw/zinc/21/73/94/194217394.db2.gz YZYHDUFIHLBUTA-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO COc1ccc(OC)c(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)c1 ZINC000329916756 532967656 /nfs/dbraw/zinc/96/76/56/532967656.db2.gz DVVLEVHLHKKPOX-UKRRQHHQSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1ccc(OC)c(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)c1 ZINC000329916756 532967661 /nfs/dbraw/zinc/96/76/61/532967661.db2.gz DVVLEVHLHKKPOX-UKRRQHHQSA-N 1 2 321.377 1.264 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)NCC2CCCCC2)CC1 ZINC000341998263 533109683 /nfs/dbraw/zinc/10/96/83/533109683.db2.gz UKVIIDCXOJZSJW-UHFFFAOYSA-N 1 2 307.438 1.935 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCOC[C@@H]1C[C@H](C)O ZINC000157475155 197190103 /nfs/dbraw/zinc/19/01/03/197190103.db2.gz HZSGRKLLZDFANI-ZFWWWQNUSA-N 1 2 320.389 1.417 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCOC[C@@H]1C[C@H](C)O ZINC000157475155 197190105 /nfs/dbraw/zinc/19/01/05/197190105.db2.gz HZSGRKLLZDFANI-ZFWWWQNUSA-N 1 2 320.389 1.417 20 30 DDEDLO C[C@H](CC(=O)N1CCN(c2ccc(C#N)cn2)CC1)n1cc[nH+]c1 ZINC000567998701 304261046 /nfs/dbraw/zinc/26/10/46/304261046.db2.gz XUDGZYRFODVRNC-CQSZACIVSA-N 1 2 324.388 1.450 20 30 DDEDLO COc1cc[nH+]c(CN2CCN(c3nccnc3C#N)C[C@@H]2C)c1 ZINC000156841289 197145127 /nfs/dbraw/zinc/14/51/27/197145127.db2.gz WCAAFMRGFQHRPQ-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO COC(=O)C(C)(C)N1CC[NH+](Cc2cc(C#N)ccc2F)CC1 ZINC000494459230 533154642 /nfs/dbraw/zinc/15/46/42/533154642.db2.gz WQAWSBIPRAISBA-UHFFFAOYSA-N 1 2 319.380 1.767 20 30 DDEDLO C=CCOc1ccc(C[N@H+](C)CCS(C)(=O)=O)cc1OC ZINC000155174236 197029707 /nfs/dbraw/zinc/02/97/07/197029707.db2.gz DJNKBHAQBDBKAX-UHFFFAOYSA-N 1 2 313.419 1.736 20 30 DDEDLO C=CCOc1ccc(C[N@@H+](C)CCS(C)(=O)=O)cc1OC ZINC000155174236 197029709 /nfs/dbraw/zinc/02/97/09/197029709.db2.gz DJNKBHAQBDBKAX-UHFFFAOYSA-N 1 2 313.419 1.736 20 30 DDEDLO CCc1nnc(N2CC[C@H]([NH+]3CCOCC3)C2)c(C#N)c1CC ZINC000120795341 195239471 /nfs/dbraw/zinc/23/94/71/195239471.db2.gz VGQUXWXDWANSKT-ZDUSSCGKSA-N 1 2 315.421 1.384 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)[C@@H](C)C1 ZINC000489869779 285328241 /nfs/dbraw/zinc/32/82/41/285328241.db2.gz ASHOGNFHVFEGMZ-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)[C@@H](C)C1 ZINC000489869779 285328242 /nfs/dbraw/zinc/32/82/42/285328242.db2.gz ASHOGNFHVFEGMZ-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO C[C@@H](C(=O)N[C@H](C#N)c1ccc(F)cc1)[NH+]1CCSCC1 ZINC000331297895 533302008 /nfs/dbraw/zinc/30/20/08/533302008.db2.gz ZKPYECFUUMCIIY-SMDDNHRTSA-N 1 2 307.394 1.944 20 30 DDEDLO COCC1(C#N)CC[NH+](CC2(CS(C)(=O)=O)CC2)CC1 ZINC000341983172 292208038 /nfs/dbraw/zinc/20/80/38/292208038.db2.gz FANSCELXJKHYCG-UHFFFAOYSA-N 1 2 300.424 1.063 20 30 DDEDLO COc1ccccc1N1CC[C@@H](O[NH+]=C(N)[C@@H]2CCCO2)C1=O ZINC000283760994 286501591 /nfs/dbraw/zinc/50/15/91/286501591.db2.gz AZJOZGDNRNOOEN-UONOGXRCSA-N 1 2 319.361 1.268 20 30 DDEDLO C[C@@H](NC(=O)c1c(F)cc(C#N)cc1F)[C@H](C)[NH+]1CCOCC1 ZINC000152139291 407570923 /nfs/dbraw/zinc/57/09/23/407570923.db2.gz PXMKEYNHUZTLFK-MNOVXSKESA-N 1 2 323.343 1.675 20 30 DDEDLO C[C@H]1CCCC[C@H]1NC(=O)NC(=O)C[NH+]1CCC(C#N)CC1 ZINC000057907117 299809881 /nfs/dbraw/zinc/80/98/81/299809881.db2.gz WTVDGZMYDFHTGT-GXTWGEPZSA-N 1 2 306.410 1.626 20 30 DDEDLO COCC[N@H+](Cc1cccc(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000071113387 406832470 /nfs/dbraw/zinc/83/24/70/406832470.db2.gz KGTAUGFWWSRUDL-OAHLLOKOSA-N 1 2 308.403 1.194 20 30 DDEDLO COCC[N@@H+](Cc1cccc(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000071113387 406832473 /nfs/dbraw/zinc/83/24/73/406832473.db2.gz KGTAUGFWWSRUDL-OAHLLOKOSA-N 1 2 308.403 1.194 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+]1CCOc1ccc(C#N)cc1OC ZINC000077250549 406981480 /nfs/dbraw/zinc/98/14/80/406981480.db2.gz KAROCCGYNGBLCZ-ZDUSSCGKSA-N 1 2 304.346 1.583 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+]1CCOc1ccc(C#N)cc1OC ZINC000077250549 406981482 /nfs/dbraw/zinc/98/14/82/406981482.db2.gz KAROCCGYNGBLCZ-ZDUSSCGKSA-N 1 2 304.346 1.583 20 30 DDEDLO N#CCSCC(=O)NCc1ccc(Cn2cc[nH+]c2)cc1 ZINC000042040656 407011939 /nfs/dbraw/zinc/01/19/39/407011939.db2.gz CRDATDWMUYYNLM-UHFFFAOYSA-N 1 2 300.387 1.804 20 30 DDEDLO Cn1c(Cl)cnc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000078689009 407041047 /nfs/dbraw/zinc/04/10/47/407041047.db2.gz JHLZWQGUWRNXOM-UHFFFAOYSA-N 1 2 311.817 1.121 20 30 DDEDLO CC[C@H]1C(=O)NCC[N@H+]1CCOc1ccc(C#N)cc1OC ZINC000077318348 406984089 /nfs/dbraw/zinc/98/40/89/406984089.db2.gz NGERRJOXAMYIHD-ZDUSSCGKSA-N 1 2 303.362 1.156 20 30 DDEDLO CC[C@H]1C(=O)NCC[N@@H+]1CCOc1ccc(C#N)cc1OC ZINC000077318348 406984091 /nfs/dbraw/zinc/98/40/91/406984091.db2.gz NGERRJOXAMYIHD-ZDUSSCGKSA-N 1 2 303.362 1.156 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000055080238 407193679 /nfs/dbraw/zinc/19/36/79/407193679.db2.gz XRJBDLKDINNGRO-ZDUSSCGKSA-N 1 2 307.419 1.663 20 30 DDEDLO N#Cc1ccc2ncc(C[NH+]3CCC(c4nc[nH]n4)CC3)n2c1 ZINC000093646139 407199990 /nfs/dbraw/zinc/19/99/90/407199990.db2.gz INRMTZFFRMENQZ-UHFFFAOYSA-N 1 2 307.361 1.704 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000101554487 407311634 /nfs/dbraw/zinc/31/16/34/407311634.db2.gz XQRMDYTYSMOJRR-OAHLLOKOSA-N 1 2 314.433 1.937 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccc(CC#N)cc1)[C@@H](C)[NH+]1CCOCC1 ZINC000104985768 407352743 /nfs/dbraw/zinc/35/27/43/407352743.db2.gz VJPYGPUGPQWJGH-ZIAGYGMSSA-N 1 2 316.405 1.983 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccc(C#N)cc1)[C@@H]1CCCCNC1=O ZINC000105609693 407354156 /nfs/dbraw/zinc/35/41/56/407354156.db2.gz BZJWMIPWSRPAIT-CQSZACIVSA-N 1 2 300.362 1.097 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccc(C#N)cc1)[C@@H]1CCCCNC1=O ZINC000105609693 407354158 /nfs/dbraw/zinc/35/41/58/407354158.db2.gz BZJWMIPWSRPAIT-CQSZACIVSA-N 1 2 300.362 1.097 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C[C@H]1O ZINC000271013539 407469678 /nfs/dbraw/zinc/46/96/78/407469678.db2.gz ZZMRXJIZWDIABM-MPKXVKKWSA-N 1 2 305.378 1.798 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C[C@H]1O ZINC000271013539 407469685 /nfs/dbraw/zinc/46/96/85/407469685.db2.gz ZZMRXJIZWDIABM-MPKXVKKWSA-N 1 2 305.378 1.798 20 30 DDEDLO CC[N@H+](CCC#N)Cc1cc(C(=O)OC)cc(C(=O)OC)c1 ZINC000271054871 407489577 /nfs/dbraw/zinc/48/95/77/407489577.db2.gz QWZHJRLICUADBE-UHFFFAOYSA-N 1 2 304.346 1.995 20 30 DDEDLO CC[N@@H+](CCC#N)Cc1cc(C(=O)OC)cc(C(=O)OC)c1 ZINC000271054871 407489580 /nfs/dbraw/zinc/48/95/80/407489580.db2.gz QWZHJRLICUADBE-UHFFFAOYSA-N 1 2 304.346 1.995 20 30 DDEDLO Cc1nc(C(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)ccc1C#N ZINC000170886473 407545081 /nfs/dbraw/zinc/54/50/81/407545081.db2.gz XKKKELRYUGZNSM-AAEUAGOBSA-N 1 2 302.378 1.101 20 30 DDEDLO CC[C@H](C)NC(=O)[C@@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000178978024 407680427 /nfs/dbraw/zinc/68/04/27/407680427.db2.gz CYQDTXXWPWXFCG-WDEREUQCSA-N 1 2 305.378 1.562 20 30 DDEDLO Cc1nc(C2([NH2+]CCC(=O)N(C)CCC#N)CCCC2)no1 ZINC000131844116 407756988 /nfs/dbraw/zinc/75/69/88/407756988.db2.gz YLXXCZQJMWMUND-UHFFFAOYSA-N 1 2 305.382 1.499 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC(C(F)(F)F)(C(F)(F)F)C1 ZINC000117350732 407830345 /nfs/dbraw/zinc/83/03/45/407830345.db2.gz UWHPDKNQZYHEGS-QMMMGPOBSA-N 1 2 316.245 1.941 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC(C(F)(F)F)(C(F)(F)F)C1 ZINC000117350732 407830348 /nfs/dbraw/zinc/83/03/48/407830348.db2.gz UWHPDKNQZYHEGS-QMMMGPOBSA-N 1 2 316.245 1.941 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1C[C@@H](CO)OC[C@H]1C ZINC000153494019 407834952 /nfs/dbraw/zinc/83/49/52/407834952.db2.gz BGWVAWCDSQOMJI-OCCSQVGLSA-N 1 2 306.362 1.027 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1C[C@@H](CO)OC[C@H]1C ZINC000153494019 407834956 /nfs/dbraw/zinc/83/49/56/407834956.db2.gz BGWVAWCDSQOMJI-OCCSQVGLSA-N 1 2 306.362 1.027 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1ccccc1OC(F)F ZINC000117868810 407867752 /nfs/dbraw/zinc/86/77/52/407867752.db2.gz IAXCMWKALRXENT-UHFFFAOYSA-N 1 2 315.320 1.409 20 30 DDEDLO C[C@H]([NH2+]Cc1nnc2n1CCCC2)C(=O)Nc1cccc(C#N)c1 ZINC000173638017 407892022 /nfs/dbraw/zinc/89/20/22/407892022.db2.gz UBGXIPNBFLLVAY-LBPRGKRZSA-N 1 2 324.388 1.603 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCc2ccccc2[C@@H]1CO)C1CC1 ZINC000268142472 407911343 /nfs/dbraw/zinc/91/13/43/407911343.db2.gz QFULRXYKJJWHJZ-FUHWJXTLSA-N 1 2 313.401 1.387 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCc2ccccc2[C@@H]1CO)C1CC1 ZINC000268142472 407911348 /nfs/dbraw/zinc/91/13/48/407911348.db2.gz QFULRXYKJJWHJZ-FUHWJXTLSA-N 1 2 313.401 1.387 20 30 DDEDLO COCCCNC(=O)C[N@H+](C)Cc1cc(C#N)ccc1OC ZINC000154527549 408048704 /nfs/dbraw/zinc/04/87/04/408048704.db2.gz XTIQZJJUPFYQHP-UHFFFAOYSA-N 1 2 305.378 1.151 20 30 DDEDLO COCCCNC(=O)C[N@@H+](C)Cc1cc(C#N)ccc1OC ZINC000154527549 408048709 /nfs/dbraw/zinc/04/87/09/408048709.db2.gz XTIQZJJUPFYQHP-UHFFFAOYSA-N 1 2 305.378 1.151 20 30 DDEDLO CNC(=O)[C@H]1C[N@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000182473291 408171777 /nfs/dbraw/zinc/17/17/77/408171777.db2.gz SXFAFTCGNOOETE-MRXNPFEDSA-N 1 2 317.389 1.316 20 30 DDEDLO CNC(=O)[C@H]1C[N@@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000182473291 408171783 /nfs/dbraw/zinc/17/17/83/408171783.db2.gz SXFAFTCGNOOETE-MRXNPFEDSA-N 1 2 317.389 1.316 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)Cc1ccc(C#N)cc1 ZINC000273421612 408190332 /nfs/dbraw/zinc/19/03/32/408190332.db2.gz HKOYACANOWTNBN-UHFFFAOYSA-N 1 2 318.402 1.607 20 30 DDEDLO Cn1cc[nH+]c1C[C@H]1CCCN(S(=O)(=O)CCCC#N)C1 ZINC000122137440 408254904 /nfs/dbraw/zinc/25/49/04/408254904.db2.gz ZDPLZPSBIVFZKJ-CYBMUJFWSA-N 1 2 310.423 1.308 20 30 DDEDLO CCCCNC(=O)[C@@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158185744 408330306 /nfs/dbraw/zinc/33/03/06/408330306.db2.gz YZRAADCBXHNYOP-LLVKDONJSA-N 1 2 305.378 1.563 20 30 DDEDLO C[C@@H]1CCCCN1C(=O)CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158184822 408331133 /nfs/dbraw/zinc/33/11/33/408331133.db2.gz ZOYXHUQBFQQINE-GFCCVEGCSA-N 1 2 317.389 1.659 20 30 DDEDLO NC(=NOCc1ccon1)c1cccc(C[NH+]2CCOCC2)c1 ZINC000156961160 408283230 /nfs/dbraw/zinc/28/32/30/408283230.db2.gz OEYXKJMAVFGFBP-UHFFFAOYSA-N 1 2 316.361 1.344 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC000176080464 408283256 /nfs/dbraw/zinc/28/32/56/408283256.db2.gz IGMACXHTTVTVBW-CQSZACIVSA-N 1 2 302.378 1.213 20 30 DDEDLO COCc1noc([C@@H](C)O[NH+]=C(N)c2ccc3c(c2)CCO3)n1 ZINC000182948566 408290497 /nfs/dbraw/zinc/29/04/97/408290497.db2.gz WCQCOBMQLDOREK-SECBINFHSA-N 1 2 318.333 1.549 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc(Br)cn2)CC1 ZINC000263906008 408309383 /nfs/dbraw/zinc/30/93/83/408309383.db2.gz RXAJAIQRWDRBQK-UHFFFAOYSA-N 1 2 322.206 1.625 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1C[C@@H](C)[NH+](C)[C@H](C)C1 ZINC000135260456 162097571 /nfs/dbraw/zinc/09/75/71/162097571.db2.gz FEHCQSSTPXKUCW-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000269756257 408417512 /nfs/dbraw/zinc/41/75/12/408417512.db2.gz BALZTGQMZYINLF-ZDUSSCGKSA-N 1 2 316.386 1.850 20 30 DDEDLO C=CCOc1ccc(C(=O)NCc2[nH+]ccn2C)cc1OC ZINC000264315935 408439637 /nfs/dbraw/zinc/43/96/37/408439637.db2.gz OZOXJXGRLSZBAP-UHFFFAOYSA-N 1 2 301.346 1.924 20 30 DDEDLO N#Cc1ccncc1N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000269926910 408470161 /nfs/dbraw/zinc/47/01/61/408470161.db2.gz CAUYRVLJMOIWCW-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](Cc2cn3ccccc3n2)CC1 ZINC000269926910 408470167 /nfs/dbraw/zinc/47/01/67/408470167.db2.gz CAUYRVLJMOIWCW-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Nc1ccncc1F ZINC000184165506 408561319 /nfs/dbraw/zinc/56/13/19/408561319.db2.gz CVLUSLRRQCRCOJ-UHFFFAOYSA-N 1 2 321.356 1.300 20 30 DDEDLO N#Cc1cc(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)ccn1 ZINC000192483715 408596002 /nfs/dbraw/zinc/59/60/02/408596002.db2.gz MUBZHEKFSZYIOG-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1CC#Cc1ccccc1 ZINC000192068675 408537347 /nfs/dbraw/zinc/53/73/47/408537347.db2.gz CYQBZSTVVLOXMG-MRXNPFEDSA-N 1 2 317.396 1.910 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1CC#Cc1ccccc1 ZINC000192068675 408537352 /nfs/dbraw/zinc/53/73/52/408537352.db2.gz CYQBZSTVVLOXMG-MRXNPFEDSA-N 1 2 317.396 1.910 20 30 DDEDLO C=C(C)CC[NH+]1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000274812194 408539938 /nfs/dbraw/zinc/53/99/38/408539938.db2.gz YEVOFVRJVICHIR-UHFFFAOYSA-N 1 2 313.423 1.564 20 30 DDEDLO Cc1cc(=O)[nH]c([C@H](C)[NH+]2CCN(c3ccc(C#N)cn3)CC2)n1 ZINC000192551687 408607878 /nfs/dbraw/zinc/60/78/78/408607878.db2.gz RYKUSAPXMZPFBZ-ZDUSSCGKSA-N 1 2 324.388 1.641 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)N2CCC(n3cc[nH+]c3)CC2)cc1 ZINC000177432009 408615654 /nfs/dbraw/zinc/61/56/54/408615654.db2.gz KJRXCKRYAQFEFC-UHFFFAOYSA-N 1 2 323.356 1.557 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(-n3cccn3)ccn2)CC1 ZINC000265704297 408753544 /nfs/dbraw/zinc/75/35/44/408753544.db2.gz ZQMSDYIRDBHVLR-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1=O ZINC000281302494 408878069 /nfs/dbraw/zinc/87/80/69/408878069.db2.gz JBTPMXDBHNDNGY-INIZCTEOSA-N 1 2 324.384 1.731 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1=O ZINC000281302499 408878374 /nfs/dbraw/zinc/87/83/74/408878374.db2.gz JBTPMXDBHNDNGY-MRXNPFEDSA-N 1 2 324.384 1.731 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(F)cc2C(=O)OC)C1=O ZINC000281285232 408878410 /nfs/dbraw/zinc/87/84/10/408878410.db2.gz IZLGRYKUAHJDPV-HNNXBMFYSA-N 1 2 320.364 1.831 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(F)cc2C(=O)OC)C1=O ZINC000281285232 408878412 /nfs/dbraw/zinc/87/84/12/408878412.db2.gz IZLGRYKUAHJDPV-HNNXBMFYSA-N 1 2 320.364 1.831 20 30 DDEDLO C#CCOc1cccc(NC(=O)[C@@H](c2c[nH+]cn2C)N(C)C)c1 ZINC000280882515 408852453 /nfs/dbraw/zinc/85/24/53/408852453.db2.gz GEFPPPUNHCQYEN-MRXNPFEDSA-N 1 2 312.373 1.674 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCC(C(=O)C(F)(F)F)CC2)C1=O ZINC000281445064 408886656 /nfs/dbraw/zinc/88/66/56/408886656.db2.gz UHWNFRAAAHWNDV-LLVKDONJSA-N 1 2 304.312 1.617 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnnn1CC(F)(F)F)c1ccc(C#N)cc1 ZINC000277364198 408927887 /nfs/dbraw/zinc/92/78/87/408927887.db2.gz BCSWHKVOWIRLML-SECBINFHSA-N 1 2 310.283 1.958 20 30 DDEDLO COCCN1CCC[N@H+](Cc2ccc(F)c(C#N)c2)CC1=O ZINC000190767727 163157428 /nfs/dbraw/zinc/15/74/28/163157428.db2.gz VOFQXHLQPXBZBW-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO COCCN1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)CC1=O ZINC000190767727 163157431 /nfs/dbraw/zinc/15/74/31/163157431.db2.gz VOFQXHLQPXBZBW-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO C=CC[N@@H+](CCS(=O)(=O)N(C)C)Cc1ccc(OC)cc1 ZINC000286162703 408934788 /nfs/dbraw/zinc/93/47/88/408934788.db2.gz YBNNDDHDJGZGCU-UHFFFAOYSA-N 1 2 312.435 1.575 20 30 DDEDLO C=CC[N@H+](CCS(=O)(=O)N(C)C)Cc1ccc(OC)cc1 ZINC000286162703 408934791 /nfs/dbraw/zinc/93/47/91/408934791.db2.gz YBNNDDHDJGZGCU-UHFFFAOYSA-N 1 2 312.435 1.575 20 30 DDEDLO C=CC[N@@H+](CCC#N)CCC(=O)NC(=O)NCC(F)(F)F ZINC000292162324 408993507 /nfs/dbraw/zinc/99/35/07/408993507.db2.gz LBPGTGXLVANTMO-UHFFFAOYSA-N 1 2 306.288 1.166 20 30 DDEDLO C=CC[N@H+](CCC#N)CCC(=O)NC(=O)NCC(F)(F)F ZINC000292162324 408993511 /nfs/dbraw/zinc/99/35/11/408993511.db2.gz LBPGTGXLVANTMO-UHFFFAOYSA-N 1 2 306.288 1.166 20 30 DDEDLO C=CCn1c(C)nnc1N1CCN(C2=[NH+]CC(C)(C)S2)CC1 ZINC000282125915 408995728 /nfs/dbraw/zinc/99/57/28/408995728.db2.gz AFCLVPJTOVXHPA-UHFFFAOYSA-N 1 2 320.466 1.776 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CC[C@@H](CS(C)(=O)=O)C1 ZINC000292199624 408999495 /nfs/dbraw/zinc/99/94/95/408999495.db2.gz LSCSJHKVLAGWOM-CYBMUJFWSA-N 1 2 308.403 1.433 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CC[C@@H](CS(C)(=O)=O)C1 ZINC000292199624 408999496 /nfs/dbraw/zinc/99/94/96/408999496.db2.gz LSCSJHKVLAGWOM-CYBMUJFWSA-N 1 2 308.403 1.433 20 30 DDEDLO C#CCN(C)C(=O)N[C@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000292260436 409011993 /nfs/dbraw/zinc/01/19/93/409011993.db2.gz GAQJBJSFAQXREN-AWEZNQCLSA-N 1 2 300.337 1.923 20 30 DDEDLO COc1cc(C[NH+]2CCN(C(=O)C(C)C)CC2)ccc1C#N ZINC000282229430 409016077 /nfs/dbraw/zinc/01/60/77/409016077.db2.gz IKNNWCWIACODRP-UHFFFAOYSA-N 1 2 301.390 1.867 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC[NH+]([C@@H](C)CC(=O)OC)CC1 ZINC000293140948 409057573 /nfs/dbraw/zinc/05/75/73/409057573.db2.gz GTFNNOVSDLOODC-NSHDSACASA-N 1 2 304.337 1.294 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CC(=O)NCC2(C)C)cc(OC)c1O ZINC000282688994 409061071 /nfs/dbraw/zinc/06/10/71/409061071.db2.gz IVKKDOOXUUJRBW-UHFFFAOYSA-N 1 2 304.390 1.840 20 30 DDEDLO C=CCc1cc(C[N@H+]2CC(=O)NCC2(C)C)cc(OC)c1O ZINC000282688994 409061075 /nfs/dbraw/zinc/06/10/75/409061075.db2.gz IVKKDOOXUUJRBW-UHFFFAOYSA-N 1 2 304.390 1.840 20 30 DDEDLO CC#CC[N@H+](C)CCCS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000293179319 409063013 /nfs/dbraw/zinc/06/30/13/409063013.db2.gz PNYJVQJCAGNMAS-UHFFFAOYSA-N 1 2 322.430 1.764 20 30 DDEDLO CC#CC[N@@H+](C)CCCS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000293179319 409063018 /nfs/dbraw/zinc/06/30/18/409063018.db2.gz PNYJVQJCAGNMAS-UHFFFAOYSA-N 1 2 322.430 1.764 20 30 DDEDLO COc1ccc(OC)c2c1C[N@H+](CCOCCC#N)C[C@H]2O ZINC000293386225 409103006 /nfs/dbraw/zinc/10/30/06/409103006.db2.gz GOODGWUGQOEJJU-CYBMUJFWSA-N 1 2 306.362 1.483 20 30 DDEDLO COc1ccc(OC)c2c1C[N@@H+](CCOCCC#N)C[C@H]2O ZINC000293386225 409103009 /nfs/dbraw/zinc/10/30/09/409103009.db2.gz GOODGWUGQOEJJU-CYBMUJFWSA-N 1 2 306.362 1.483 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@H](C)c1nc(C(F)(F)F)cs1 ZINC000278940456 409117116 /nfs/dbraw/zinc/11/71/16/409117116.db2.gz NMPLCQDXQZRXFH-HTQZYQBOSA-N 1 2 305.325 1.950 20 30 DDEDLO CCc1cc(N)nc(SCC(=O)N[C@](C)(C#N)C(C)C)[nH+]1 ZINC000279048529 409138774 /nfs/dbraw/zinc/13/87/74/409138774.db2.gz GWTWVGXNZCYXBQ-CQSZACIVSA-N 1 2 307.423 1.768 20 30 DDEDLO NC(=[NH+]O[C@H]1CCCN(c2ccccc2F)C1=O)[C@H]1CCCO1 ZINC000283755343 409209715 /nfs/dbraw/zinc/20/97/15/409209715.db2.gz AHYNCXKMSFDBGT-KGLIPLIRSA-N 1 2 321.352 1.789 20 30 DDEDLO COc1ccc(NC(=O)CO[NH+]=C(N)[C@H]2CCCO2)c(OC)c1 ZINC000283859721 409230023 /nfs/dbraw/zinc/23/00/23/409230023.db2.gz CGDJFICMZLHKMU-GFCCVEGCSA-N 1 2 323.349 1.110 20 30 DDEDLO C[C@H](CF)NC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000289252426 409238716 /nfs/dbraw/zinc/23/87/16/409238716.db2.gz UMYINZJLPNGMDB-CYBMUJFWSA-N 1 2 304.369 1.743 20 30 DDEDLO CN(C)S(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(C#N)s2)C1 ZINC000289368205 409255877 /nfs/dbraw/zinc/25/58/77/409255877.db2.gz LBUJNNSCKOBBQY-ZDUSSCGKSA-N 1 2 313.448 1.476 20 30 DDEDLO CN(C)S(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC000289368205 409255879 /nfs/dbraw/zinc/25/58/79/409255879.db2.gz LBUJNNSCKOBBQY-ZDUSSCGKSA-N 1 2 313.448 1.476 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000294994290 409307467 /nfs/dbraw/zinc/30/74/67/409307467.db2.gz PMOHCUYNXHWDQN-AWEZNQCLSA-N 1 2 304.365 1.508 20 30 DDEDLO CCOC[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000290207373 409320284 /nfs/dbraw/zinc/32/02/84/409320284.db2.gz HBDHOBIHTTYBBK-AWEZNQCLSA-N 1 2 323.418 1.085 20 30 DDEDLO CCOC[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000290207373 409320289 /nfs/dbraw/zinc/32/02/89/409320289.db2.gz HBDHOBIHTTYBBK-AWEZNQCLSA-N 1 2 323.418 1.085 20 30 DDEDLO COC(=O)c1cnoc1CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000285045152 409395469 /nfs/dbraw/zinc/39/54/69/409395469.db2.gz GDEZWBVDVRLHJX-UHFFFAOYSA-N 1 2 317.301 1.233 20 30 DDEDLO C[C@@H]1CCc2ccccc2N1C(=O)CO[NH+]=C(N)[C@@H]1CCCO1 ZINC000284458194 409342422 /nfs/dbraw/zinc/34/24/22/409342422.db2.gz QMTDWNYDPFJSJO-DOMZBBRYSA-N 1 2 317.389 1.822 20 30 DDEDLO C=CCn1cccc1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000342291938 409567367 /nfs/dbraw/zinc/56/73/67/409567367.db2.gz RRSYFAJRKNFADJ-LSDHHAIUSA-N 1 2 303.406 1.609 20 30 DDEDLO C[N@H+](CC[C@@H]1CCCO1)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000342582311 409741408 /nfs/dbraw/zinc/74/14/08/409741408.db2.gz GUTTVDRQBXSWOK-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO C[N@@H+](CC[C@@H]1CCCO1)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000342582311 409741416 /nfs/dbraw/zinc/74/14/16/409741416.db2.gz GUTTVDRQBXSWOK-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO C=CCOCC(=O)N[C@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000354294494 409751451 /nfs/dbraw/zinc/75/14/51/409751451.db2.gz BWGHSSBFTPYIEV-HNNXBMFYSA-N 1 2 303.337 1.967 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@H+](C)[C@@H](C)[C@H]2C)cc1C#N ZINC000356981723 409765038 /nfs/dbraw/zinc/76/50/38/409765038.db2.gz RRMWJBWSWSQTFS-VHSXEESVSA-N 1 2 320.418 1.545 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@@H+](C)[C@@H](C)[C@H]2C)cc1C#N ZINC000356981723 409765046 /nfs/dbraw/zinc/76/50/46/409765046.db2.gz RRMWJBWSWSQTFS-VHSXEESVSA-N 1 2 320.418 1.545 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CC[C@](F)(CO)C1)CCC2 ZINC000328717122 409960092 /nfs/dbraw/zinc/96/00/92/409960092.db2.gz UKNJKKZSOGIMFN-SWLSCSKDSA-N 1 2 310.373 1.389 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)N[C@@H]1CCC(=O)NC12CCC2 ZINC000328712833 409960258 /nfs/dbraw/zinc/96/02/58/409960258.db2.gz CLHAOUIOLRQSCB-LLVKDONJSA-N 1 2 305.382 1.808 20 30 DDEDLO CC1(C)SC[C@H]1NC(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000328739640 409967022 /nfs/dbraw/zinc/96/70/22/409967022.db2.gz LJIDQDHWEJNEIL-LLVKDONJSA-N 1 2 301.456 1.495 20 30 DDEDLO CC1(C)SC[C@H]1NC(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000328739640 409967028 /nfs/dbraw/zinc/96/70/28/409967028.db2.gz LJIDQDHWEJNEIL-LLVKDONJSA-N 1 2 301.456 1.495 20 30 DDEDLO CC(C)[C@@H](NC(=O)CCc1c[nH+]cn1C)C(=O)N1CCOCC1 ZINC000328782949 409979947 /nfs/dbraw/zinc/97/99/47/409979947.db2.gz NHIROUZTTHYUHD-OAHLLOKOSA-N 1 2 322.409 1.193 20 30 DDEDLO Cc1ccc(-n2cc[nH+]c2)c(C(=O)NCCn2cnc(C#N)n2)c1 ZINC000342894021 409979880 /nfs/dbraw/zinc/97/98/80/409979880.db2.gz SJUHQAMDZHNNJU-UHFFFAOYSA-N 1 2 321.344 1.074 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)NC[C@@H]2CCCS2)CCN1C ZINC000328634543 409940931 /nfs/dbraw/zinc/94/09/31/409940931.db2.gz UDHPTCJVYVZYMA-QWHCGFSZSA-N 1 2 323.466 1.518 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)NC[C@H]2CCCC(F)(F)C2)C1 ZINC000328670519 409948442 /nfs/dbraw/zinc/94/84/42/409948442.db2.gz MRVZOUMWHQNAOL-NWDGAFQWSA-N 1 2 305.369 1.646 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)NC[C@H]2CCCC(F)(F)C2)C1 ZINC000328670519 409948449 /nfs/dbraw/zinc/94/84/49/409948449.db2.gz MRVZOUMWHQNAOL-NWDGAFQWSA-N 1 2 305.369 1.646 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000297849164 410024128 /nfs/dbraw/zinc/02/41/28/410024128.db2.gz MGRGRFSXCUTFRP-ZDUSSCGKSA-N 1 2 304.394 1.540 20 30 DDEDLO C[C@@H](NC(=O)C1CCCC1)C(=O)N(C)CC[NH+]1CCOCC1 ZINC000328870894 409998060 /nfs/dbraw/zinc/99/80/60/409998060.db2.gz PVDOFUZSURFROW-CYBMUJFWSA-N 1 2 311.426 1.312 20 30 DDEDLO C[C@@H](C(=O)NC1CCN(c2cccc[nH+]2)CC1)N1CCOCC1 ZINC000328879480 409998413 /nfs/dbraw/zinc/99/84/13/409998413.db2.gz VFEIFUCEGWXPPW-AWEZNQCLSA-N 1 2 318.421 1.728 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2cncc3ccccc32)CC1 ZINC000351641488 410128755 /nfs/dbraw/zinc/12/87/55/410128755.db2.gz DORIPLPVTDMATI-UHFFFAOYSA-N 1 2 310.401 1.659 20 30 DDEDLO Cc1nccc(NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)n1 ZINC000329501710 410331990 /nfs/dbraw/zinc/33/19/90/410331990.db2.gz GJNNEIXMDZBQPQ-ZDUSSCGKSA-N 1 2 305.382 1.127 20 30 DDEDLO C[C@H](NC(=O)[C@H]1COCC[N@@H+]1CC1CCC1)C(=O)N1CCCC1 ZINC000329525421 410345128 /nfs/dbraw/zinc/34/51/28/410345128.db2.gz SORTXVMEJDADKQ-DZGCQCFKSA-N 1 2 323.437 1.455 20 30 DDEDLO C[C@H](NC(=O)[C@H]1COCC[N@H+]1CC1CCC1)C(=O)N1CCCC1 ZINC000329525421 410345132 /nfs/dbraw/zinc/34/51/32/410345132.db2.gz SORTXVMEJDADKQ-DZGCQCFKSA-N 1 2 323.437 1.455 20 30 DDEDLO CCN(CCC#N)C(=O)CN1CC[NH+](Cc2ccco2)CC1 ZINC000298685543 410347388 /nfs/dbraw/zinc/34/73/88/410347388.db2.gz BQSMJLPHZLOCDU-UHFFFAOYSA-N 1 2 304.394 1.159 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CN(c2ccccc2)C1=O)[NH+]1CCSCC1 ZINC000329424474 410290423 /nfs/dbraw/zinc/29/04/23/410290423.db2.gz FNIWRDPLNCYSGX-OCCSQVGLSA-N 1 2 319.430 1.796 20 30 DDEDLO C[N@@H+](Cc1cn2ccccc2c1C#N)C[C@H]1CCS(=O)(=O)C1 ZINC000329593699 410374575 /nfs/dbraw/zinc/37/45/75/410374575.db2.gz USQMNMNZTNAQFN-CYBMUJFWSA-N 1 2 317.414 1.677 20 30 DDEDLO C[N@H+](Cc1cn2ccccc2c1C#N)C[C@H]1CCS(=O)(=O)C1 ZINC000329593699 410374578 /nfs/dbraw/zinc/37/45/78/410374578.db2.gz USQMNMNZTNAQFN-CYBMUJFWSA-N 1 2 317.414 1.677 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H]([NH+]=C([O-])N1CCC[C@@H]1CO)C2 ZINC000329591536 410375557 /nfs/dbraw/zinc/37/55/57/410375557.db2.gz YZZGNRSCFNPVTB-QWHCGFSZSA-N 1 2 320.437 1.866 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)NCCCc1cccc(C)[nH+]1 ZINC000351993134 410397364 /nfs/dbraw/zinc/39/73/64/410397364.db2.gz HJCTUKLKWMMGTM-UHFFFAOYSA-N 1 2 310.423 1.393 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNS(=O)(=O)N(C)CCC#N ZINC000355254084 410401561 /nfs/dbraw/zinc/40/15/61/410401561.db2.gz PHATUMAYZDRWSW-UHFFFAOYSA-N 1 2 321.406 1.025 20 30 DDEDLO Cc1noc([C@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000299052042 410499197 /nfs/dbraw/zinc/49/91/97/410499197.db2.gz QNRIQDYUGQGHAK-XJKSGUPXSA-N 1 2 319.409 1.612 20 30 DDEDLO Cc1noc([C@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000299052042 410499204 /nfs/dbraw/zinc/49/92/04/410499204.db2.gz QNRIQDYUGQGHAK-XJKSGUPXSA-N 1 2 319.409 1.612 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CCOC[C@H](C2CCC2)C1 ZINC000330192960 410579401 /nfs/dbraw/zinc/57/94/01/410579401.db2.gz ADPNYVFYPOELBH-ZIAGYGMSSA-N 1 2 318.421 1.930 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CCOC[C@H](C2CCC2)C1 ZINC000330192960 410579406 /nfs/dbraw/zinc/57/94/06/410579406.db2.gz ADPNYVFYPOELBH-ZIAGYGMSSA-N 1 2 318.421 1.930 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)c2cc(O)ccc2[N+](=O)[O-])CC1 ZINC000352269862 410591472 /nfs/dbraw/zinc/59/14/72/410591472.db2.gz ZJKKWYPSCGITSZ-UHFFFAOYSA-N 1 2 305.334 1.681 20 30 DDEDLO COc1cc(CNC(=O)C(C)(C)[NH+]2CCOCC2)ccc1C#N ZINC000358985987 410544076 /nfs/dbraw/zinc/54/40/76/410544076.db2.gz LJMYEEPYBYRQAX-UHFFFAOYSA-N 1 2 317.389 1.294 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NCC(F)(F)F)[NH+]1CCC(F)(C#N)CC1 ZINC000352457430 410659730 /nfs/dbraw/zinc/65/97/30/410659730.db2.gz CXZKPWAEIKIJAR-QMMMGPOBSA-N 1 2 324.278 1.091 20 30 DDEDLO COc1ccc(Cn2c3ccccc3[nH]c2=[NH+]CCO)cc1C#N ZINC000340076064 410658115 /nfs/dbraw/zinc/65/81/15/410658115.db2.gz UXYNGRPBDRBVRA-UHFFFAOYSA-N 1 2 322.368 2.369 20 30 DDEDLO COc1ccccc1[C@@H]1CN(C(=O)c2ccc(C#N)o2)CC[NH2+]1 ZINC000352985916 410717687 /nfs/dbraw/zinc/71/76/87/410717687.db2.gz LLFRUXMRHKPHIU-AWEZNQCLSA-N 1 2 311.341 1.947 20 30 DDEDLO CC[C@H]1CN(C(=O)c2ccnc(C#N)c2)C[C@@H]1[NH+]1CCOCC1 ZINC000331057056 410921873 /nfs/dbraw/zinc/92/18/73/410921873.db2.gz LLAMWUZKSGTMIJ-BBRMVZONSA-N 1 2 314.389 1.136 20 30 DDEDLO C[C@@H]1CC[C@@H](C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)O1 ZINC000331054220 410922670 /nfs/dbraw/zinc/92/26/70/410922670.db2.gz NXSPYIBPPSEHIV-PBHICJAKSA-N 1 2 313.401 1.770 20 30 DDEDLO COC(=O)C[C@H](C)[NH+]1CCN(C(=O)c2cscc2C#N)CC1 ZINC000341732676 411052045 /nfs/dbraw/zinc/05/20/45/411052045.db2.gz BZTCRMTZPGEVHI-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO CN(CCOCc1ccc(C#N)cc1)C(=O)CCc1c[nH+]c[nH]1 ZINC000356532227 411075665 /nfs/dbraw/zinc/07/56/65/411075665.db2.gz IWADRSMUZBJMGT-UHFFFAOYSA-N 1 2 312.373 1.889 20 30 DDEDLO CN(CCOCc1ccc(C#N)cc1)C(=O)CCc1c[nH]c[nH+]1 ZINC000356532227 411075670 /nfs/dbraw/zinc/07/56/70/411075670.db2.gz IWADRSMUZBJMGT-UHFFFAOYSA-N 1 2 312.373 1.889 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356418267 411022359 /nfs/dbraw/zinc/02/23/59/411022359.db2.gz BCMBILNDWVYETP-UHFFFAOYSA-N 1 2 303.410 1.175 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356418267 411022365 /nfs/dbraw/zinc/02/23/65/411022365.db2.gz BCMBILNDWVYETP-UHFFFAOYSA-N 1 2 303.410 1.175 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[NH2+]CC(F)(F)CO ZINC000580563970 422942248 /nfs/dbraw/zinc/94/22/48/422942248.db2.gz ICMFNYVKUTVEQQ-CYBMUJFWSA-N 1 2 301.333 1.372 20 30 DDEDLO C[C@@H](CNS(=O)(=O)c1ccc(C#N)cc1)Cn1cc[nH+]c1 ZINC000360498741 418450700 /nfs/dbraw/zinc/45/07/00/418450700.db2.gz CWUUTYHJIQXMIV-LBPRGKRZSA-N 1 2 304.375 1.369 20 30 DDEDLO N#Cc1c(F)ccc(C[N@@H+]2CC[C@@H]3OCC(=O)N[C@@H]3C2)c1Cl ZINC000373969010 418479354 /nfs/dbraw/zinc/47/93/54/418479354.db2.gz OLLKUHTZZNHWOL-OLZOCXBDSA-N 1 2 323.755 1.440 20 30 DDEDLO N#Cc1c(F)ccc(C[N@H+]2CC[C@@H]3OCC(=O)N[C@@H]3C2)c1Cl ZINC000373969010 418479355 /nfs/dbraw/zinc/47/93/55/418479355.db2.gz OLLKUHTZZNHWOL-OLZOCXBDSA-N 1 2 323.755 1.440 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCO[C@H](COC(C)C)C1 ZINC000366740681 418510971 /nfs/dbraw/zinc/51/09/71/418510971.db2.gz TUBVEFQCMWBWCY-CVEARBPZSA-N 1 2 310.438 1.701 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCO[C@H](COC(C)C)C1 ZINC000366740681 418510974 /nfs/dbraw/zinc/51/09/74/418510974.db2.gz TUBVEFQCMWBWCY-CVEARBPZSA-N 1 2 310.438 1.701 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCOc2cc(OC)ccc2C1 ZINC000374775659 418561628 /nfs/dbraw/zinc/56/16/28/418561628.db2.gz KFLJIBJZZZUUJL-UHFFFAOYSA-N 1 2 317.389 1.652 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCOc2cc(OC)ccc2C1 ZINC000374775659 418561631 /nfs/dbraw/zinc/56/16/31/418561631.db2.gz KFLJIBJZZZUUJL-UHFFFAOYSA-N 1 2 317.389 1.652 20 30 DDEDLO COCCO[C@H]1CC[N@@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000189650503 222049995 /nfs/dbraw/zinc/04/99/95/222049995.db2.gz RZZUZPJXJAEXDW-HIFRSBDPSA-N 1 2 317.389 1.623 20 30 DDEDLO COCCO[C@H]1CC[N@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000189650503 222049998 /nfs/dbraw/zinc/04/99/98/222049998.db2.gz RZZUZPJXJAEXDW-HIFRSBDPSA-N 1 2 317.389 1.623 20 30 DDEDLO CCCNC(=O)[C@@H](C)[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000367260532 418576874 /nfs/dbraw/zinc/57/68/74/418576874.db2.gz PRDGFBRTIXNKCT-CQSZACIVSA-N 1 2 300.406 1.853 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC000192137721 222120552 /nfs/dbraw/zinc/12/05/52/222120552.db2.gz GVLMHDFFZSYGBP-QGZVFWFLSA-N 1 2 323.400 1.751 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC000192137721 222120556 /nfs/dbraw/zinc/12/05/56/222120556.db2.gz GVLMHDFFZSYGBP-QGZVFWFLSA-N 1 2 323.400 1.751 20 30 DDEDLO C=CCOC[C@H](NCc1c[nH+]c2c(C)cccn12)C(=O)OC ZINC000361106204 418584144 /nfs/dbraw/zinc/58/41/44/418584144.db2.gz DTAGMJBZXYZGEW-AWEZNQCLSA-N 1 2 303.362 1.477 20 30 DDEDLO C[C@H](C(=O)NC(=O)Nc1ccccc1)[NH+]1CCC(C#N)CC1 ZINC000195335389 222199249 /nfs/dbraw/zinc/19/92/49/222199249.db2.gz IIZKSPCLXBERKS-GFCCVEGCSA-N 1 2 300.362 1.959 20 30 DDEDLO CC[N@H+]1CCC[C@H]1CNC(=[NH2+])NCCCCNc1cccc[nH+]1 ZINC000264234417 222328658 /nfs/dbraw/zinc/32/86/58/222328658.db2.gz CVAKIYOFVOMYSP-HNNXBMFYSA-N 1 2 318.469 1.662 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000264709065 222334954 /nfs/dbraw/zinc/33/49/54/222334954.db2.gz AWPPTNZJCHRFNV-UHFFFAOYSA-N 1 2 318.402 1.655 20 30 DDEDLO C=CCS(=O)(=O)CCNc1cc(-c2ccncc2)[nH+]c(C)n1 ZINC000367823388 418639892 /nfs/dbraw/zinc/63/98/92/418639892.db2.gz OVPNCDQMUXOTIY-UHFFFAOYSA-N 1 2 318.402 1.860 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ncc(F)cn3)CC2)cc1F ZINC000361772996 418708551 /nfs/dbraw/zinc/70/85/51/418708551.db2.gz ZNNNOBFUADADQG-UHFFFAOYSA-N 1 2 315.327 1.949 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)Nc1c[nH+]ccc1-n1ccnn1 ZINC000377481641 418710880 /nfs/dbraw/zinc/71/08/80/418710880.db2.gz PMPKBKOZXGWLRH-IUODEOHRSA-N 1 2 313.361 1.972 20 30 DDEDLO COCC[C@H]1COCCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000368269680 418712506 /nfs/dbraw/zinc/71/25/06/418712506.db2.gz MRHDRYHRFXKGTA-AWEZNQCLSA-N 1 2 300.362 1.443 20 30 DDEDLO COCC[C@H]1COCC[N@@H+]1Cc1cnc2ccc(C#N)cn12 ZINC000368269680 418712508 /nfs/dbraw/zinc/71/25/08/418712508.db2.gz MRHDRYHRFXKGTA-AWEZNQCLSA-N 1 2 300.362 1.443 20 30 DDEDLO COCC[C@H]1COCC[N@H+]1Cc1cnc2ccc(C#N)cn12 ZINC000368269680 418712510 /nfs/dbraw/zinc/71/25/10/418712510.db2.gz MRHDRYHRFXKGTA-AWEZNQCLSA-N 1 2 300.362 1.443 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2C[C@H]3[C@H](CO)[C@H]3C2)c(C#N)c1C ZINC000368335863 418719203 /nfs/dbraw/zinc/71/92/03/418719203.db2.gz IZUUEPZFAZEOOE-ITGUQSILSA-N 1 2 305.403 1.345 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2C[C@H]3[C@H](CO)[C@H]3C2)c(C#N)c1C ZINC000368335863 418719206 /nfs/dbraw/zinc/71/92/06/418719206.db2.gz IZUUEPZFAZEOOE-ITGUQSILSA-N 1 2 305.403 1.345 20 30 DDEDLO C#CC[C@H](C)NC(=O)N[C@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000375564329 418657786 /nfs/dbraw/zinc/65/77/86/418657786.db2.gz KHZLYBZJZQYLQB-JSGCOSHPSA-N 1 2 304.394 1.599 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CCO)Cc1ccc(C)o1 ZINC000361532836 418672272 /nfs/dbraw/zinc/67/22/72/418672272.db2.gz ZPCXTVVXQQQJAF-ZDUSSCGKSA-N 1 2 307.394 1.391 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CCO)Cc1ccc(C)o1 ZINC000361532836 418672273 /nfs/dbraw/zinc/67/22/73/418672273.db2.gz ZPCXTVVXQQQJAF-ZDUSSCGKSA-N 1 2 307.394 1.391 20 30 DDEDLO CC(C)[C@]1(CO)CC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000368068533 418684773 /nfs/dbraw/zinc/68/47/73/418684773.db2.gz IRPSANYXHPHKPL-OAHLLOKOSA-N 1 2 307.419 1.899 20 30 DDEDLO CC(C)[C@]1(CO)CC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000368068533 418684776 /nfs/dbraw/zinc/68/47/76/418684776.db2.gz IRPSANYXHPHKPL-OAHLLOKOSA-N 1 2 307.419 1.899 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCN3C(=O)OC[C@@H]3C2)cc1OC ZINC000378345350 418721499 /nfs/dbraw/zinc/72/14/99/418721499.db2.gz JKEXRUJCDHLHNS-AWEZNQCLSA-N 1 2 318.373 1.896 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCN3C(=O)OC[C@@H]3C2)cc1OC ZINC000378345350 418721501 /nfs/dbraw/zinc/72/15/01/418721501.db2.gz JKEXRUJCDHLHNS-AWEZNQCLSA-N 1 2 318.373 1.896 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000368185737 418700723 /nfs/dbraw/zinc/70/07/23/418700723.db2.gz OSQOAWSXVBFKSQ-CQSZACIVSA-N 1 2 308.426 1.479 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000368185737 418700725 /nfs/dbraw/zinc/70/07/25/418700725.db2.gz OSQOAWSXVBFKSQ-CQSZACIVSA-N 1 2 308.426 1.479 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000368193907 418701720 /nfs/dbraw/zinc/70/17/20/418701720.db2.gz GEUOJYBQRMVBNM-XUJVJEKNSA-N 1 2 304.394 1.547 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000368193907 418701722 /nfs/dbraw/zinc/70/17/22/418701722.db2.gz GEUOJYBQRMVBNM-XUJVJEKNSA-N 1 2 304.394 1.547 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC[N@H+](C)[C@@H](C)[C@H]1C ZINC000361971750 418732773 /nfs/dbraw/zinc/73/27/73/418732773.db2.gz DQJOYWJPHHBKFF-NWDGAFQWSA-N 1 2 323.418 1.280 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC[N@@H+](C)[C@@H](C)[C@H]1C ZINC000361971750 418732776 /nfs/dbraw/zinc/73/27/76/418732776.db2.gz DQJOYWJPHHBKFF-NWDGAFQWSA-N 1 2 323.418 1.280 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CCCC[C@H](CO)C1 ZINC000370227791 418742217 /nfs/dbraw/zinc/74/22/17/418742217.db2.gz OGTIZBZUOMMYGF-GOEBONIOSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CCCC[C@H](CO)C1 ZINC000370227791 418742219 /nfs/dbraw/zinc/74/22/19/418742219.db2.gz OGTIZBZUOMMYGF-GOEBONIOSA-N 1 2 302.374 1.867 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCO[C@@H](COCC2CC2)C1 ZINC000362605443 418756350 /nfs/dbraw/zinc/75/63/50/418756350.db2.gz FQDGNUJEBOLMTG-DOTOQJQBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCO[C@@H](COCC2CC2)C1 ZINC000362605443 418756353 /nfs/dbraw/zinc/75/63/53/418756353.db2.gz FQDGNUJEBOLMTG-DOTOQJQBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(C#N)c(C)c2)CC1 ZINC000362962225 418761874 /nfs/dbraw/zinc/76/18/74/418761874.db2.gz BHADHTJAWWSOIV-UHFFFAOYSA-N 1 2 312.417 1.287 20 30 DDEDLO C=CCC1(C(=O)N2CCC(N3CC[N@@H+](C)CC3=O)CC2)CCC1 ZINC000364583368 418789885 /nfs/dbraw/zinc/78/98/85/418789885.db2.gz SELHTTLJRGZPRT-UHFFFAOYSA-N 1 2 319.449 1.498 20 30 DDEDLO C=CCC1(C(=O)N2CCC(N3CC[N@H+](C)CC3=O)CC2)CCC1 ZINC000364583368 418789887 /nfs/dbraw/zinc/78/98/87/418789887.db2.gz SELHTTLJRGZPRT-UHFFFAOYSA-N 1 2 319.449 1.498 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@H+]1CCC[C@H](n2cc([N+](=O)[O-])cn2)C1 ZINC000372289353 418851624 /nfs/dbraw/zinc/85/16/24/418851624.db2.gz OUHPNJBANPQPMX-STQMWFEESA-N 1 2 302.338 1.872 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@@H+]1CCC[C@H](n2cc([N+](=O)[O-])cn2)C1 ZINC000372289353 418851627 /nfs/dbraw/zinc/85/16/27/418851627.db2.gz OUHPNJBANPQPMX-STQMWFEESA-N 1 2 302.338 1.872 20 30 DDEDLO COc1ccccc1-c1ncc(C[NH+]2CCN(CC#N)CC2)cn1 ZINC000430226320 420092573 /nfs/dbraw/zinc/09/25/73/420092573.db2.gz OGXCVBPTXUBHTH-UHFFFAOYSA-N 1 2 323.400 1.793 20 30 DDEDLO CN(C(=O)c1cscc1C#N)C(C)(C)C[NH+]1CCOCC1 ZINC000416030667 420242274 /nfs/dbraw/zinc/24/22/74/420242274.db2.gz SHCRWHUTZINXHY-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO Cc1cc(CNS(=O)(=O)c2cnc(C)c(C#N)c2)cc(C)[nH+]1 ZINC000420704433 420362902 /nfs/dbraw/zinc/36/29/02/420362902.db2.gz WMJJEEJLKWOGJU-UHFFFAOYSA-N 1 2 316.386 1.752 20 30 DDEDLO C=CCn1cc(CNC(=O)NCCCNc2cccc[nH+]2)nn1 ZINC000425456222 420390640 /nfs/dbraw/zinc/39/06/40/420390640.db2.gz WETZMKMOAKOMKB-UHFFFAOYSA-N 1 2 315.381 1.161 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000439588961 420524784 /nfs/dbraw/zinc/52/47/84/420524784.db2.gz PDOHFFHREYYIHD-CQSZACIVSA-N 1 2 321.425 1.692 20 30 DDEDLO CC(C)c1ncc(C[N@H+](C)CC(=O)N[C@@](C)(C#N)C2CC2)cn1 ZINC000440473298 420578174 /nfs/dbraw/zinc/57/81/74/420578174.db2.gz XFLQUVAEJMDGQU-KRWDZBQOSA-N 1 2 315.421 1.840 20 30 DDEDLO CC(C)c1ncc(C[N@@H+](C)CC(=O)N[C@@](C)(C#N)C2CC2)cn1 ZINC000440473298 420578179 /nfs/dbraw/zinc/57/81/79/420578179.db2.gz XFLQUVAEJMDGQU-KRWDZBQOSA-N 1 2 315.421 1.840 20 30 DDEDLO CC#CCNC(=O)N1CC[C@@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000441133218 420634431 /nfs/dbraw/zinc/63/44/31/420634431.db2.gz LZQYVXKYQOFLEU-MRXNPFEDSA-N 1 2 309.410 1.115 20 30 DDEDLO C=CCC(C)(C)CNC(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000458814446 420740810 /nfs/dbraw/zinc/74/08/10/420740810.db2.gz UXOIOELSESSQNT-UHFFFAOYSA-N 1 2 305.426 1.839 20 30 DDEDLO N#CCCCNS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000443039851 420737549 /nfs/dbraw/zinc/73/75/49/420737549.db2.gz CDPWLLPWLHXABT-UHFFFAOYSA-N 1 2 304.375 1.513 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000448647669 420878914 /nfs/dbraw/zinc/87/89/14/420878914.db2.gz JSCRSTXXQMDNED-QGPMSJSTSA-N 1 2 313.401 1.726 20 30 DDEDLO C=CCC1(C(=O)NCC[NH+]2CCN(C(C)=O)CC2)CCCCC1 ZINC000448701003 420883835 /nfs/dbraw/zinc/88/38/35/420883835.db2.gz RGYSFJKNGDOVGD-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO COc1cc(F)cc(CN(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000448991701 420931129 /nfs/dbraw/zinc/93/11/29/420931129.db2.gz JRTNWYLJPOIWMO-UHFFFAOYSA-N 1 2 321.396 1.882 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)c1 ZINC000456007018 421088312 /nfs/dbraw/zinc/08/83/12/421088312.db2.gz RCCBKBGGDTZGOO-MRXNPFEDSA-N 1 2 314.389 1.805 20 30 DDEDLO CN(C)C(=O)OC[C@H]1CCCC[N@@H+]1CC(=O)NC1(C#N)CCC1 ZINC000495815303 421070303 /nfs/dbraw/zinc/07/03/03/421070303.db2.gz YKKMAEXXLZPDAL-CYBMUJFWSA-N 1 2 322.409 1.102 20 30 DDEDLO CN(C)C(=O)OC[C@H]1CCCC[N@H+]1CC(=O)NC1(C#N)CCC1 ZINC000495815303 421070306 /nfs/dbraw/zinc/07/03/06/421070306.db2.gz YKKMAEXXLZPDAL-CYBMUJFWSA-N 1 2 322.409 1.102 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)c1 ZINC000455958743 421080667 /nfs/dbraw/zinc/08/06/67/421080667.db2.gz SOODFMFOMFQUHF-INIZCTEOSA-N 1 2 314.389 1.851 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)c1 ZINC000455958743 421080673 /nfs/dbraw/zinc/08/06/73/421080673.db2.gz SOODFMFOMFQUHF-INIZCTEOSA-N 1 2 314.389 1.851 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)CCO1 ZINC000488309555 421081824 /nfs/dbraw/zinc/08/18/24/421081824.db2.gz DZPHOWPMXAEUIU-CQSZACIVSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)CCO1 ZINC000488309555 421081830 /nfs/dbraw/zinc/08/18/30/421081830.db2.gz DZPHOWPMXAEUIU-CQSZACIVSA-N 1 2 320.368 1.930 20 30 DDEDLO N#Cc1cnc(N2CC[C@@H](Oc3cc[nH+]cc3)C2)c([N+](=O)[O-])c1 ZINC000450377236 421190621 /nfs/dbraw/zinc/19/06/21/421190621.db2.gz ILMQUHMNSGEBSN-CYBMUJFWSA-N 1 2 311.301 1.914 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)/C=C\c2[nH+]ccn2CC)c1 ZINC000492254442 421219264 /nfs/dbraw/zinc/21/92/64/421219264.db2.gz WZKBHUBYYIPSCR-HJWRWDBZSA-N 1 2 322.368 1.652 20 30 DDEDLO C#C[C@H](CCC)NC(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000491570216 421200016 /nfs/dbraw/zinc/20/00/16/421200016.db2.gz ZTHBXEYWMLXXHT-MRXNPFEDSA-N 1 2 300.406 1.711 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](Cc3nnc(C4CC4)o3)C2)nc1 ZINC000562363143 421356474 /nfs/dbraw/zinc/35/64/74/421356474.db2.gz GMUWLLKZVVSVOX-CYBMUJFWSA-N 1 2 311.345 1.867 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](Cc3nnc(C4CC4)o3)C2)nc1 ZINC000562363143 421356476 /nfs/dbraw/zinc/35/64/76/421356476.db2.gz GMUWLLKZVVSVOX-CYBMUJFWSA-N 1 2 311.345 1.867 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2nccc3ccccc32)CC1 ZINC000527832091 421447554 /nfs/dbraw/zinc/44/75/54/421447554.db2.gz JNOGGMFVEPEQBR-UHFFFAOYSA-N 1 2 310.401 1.659 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@@H+]1CCO[C@@H](C2CC2)C1 ZINC000528457650 421492153 /nfs/dbraw/zinc/49/21/53/421492153.db2.gz FRKJAVHVMBTIPP-QGZVFWFLSA-N 1 2 324.380 1.397 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@H+]1CCO[C@@H](C2CC2)C1 ZINC000528457650 421492156 /nfs/dbraw/zinc/49/21/56/421492156.db2.gz FRKJAVHVMBTIPP-QGZVFWFLSA-N 1 2 324.380 1.397 20 30 DDEDLO CC(F)(F)CCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000563440746 421508425 /nfs/dbraw/zinc/50/84/25/421508425.db2.gz RRZJGVUNHQCFAV-UHFFFAOYSA-N 1 2 311.332 1.597 20 30 DDEDLO N#CCC[NH2+]CC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000565300601 421597121 /nfs/dbraw/zinc/59/71/21/421597121.db2.gz WWWBNBZBDQLMBT-UHFFFAOYSA-N 1 2 306.797 1.492 20 30 DDEDLO COCC[C@@H]1COCC[N@@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000517034344 421592093 /nfs/dbraw/zinc/59/20/93/421592093.db2.gz VSIJIGLJCRAAOS-CQSZACIVSA-N 1 2 305.334 1.704 20 30 DDEDLO COCC[C@@H]1COCC[N@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000517034344 421592096 /nfs/dbraw/zinc/59/20/96/421592096.db2.gz VSIJIGLJCRAAOS-CQSZACIVSA-N 1 2 305.334 1.704 20 30 DDEDLO N#C[C@H](CO)NC(=O)[C@H]1CCC[N@@H+]1Cc1ccc(Cl)cc1 ZINC000529470220 421538493 /nfs/dbraw/zinc/53/84/93/421538493.db2.gz VIPGYDGTTTUERX-ZIAGYGMSSA-N 1 2 307.781 1.305 20 30 DDEDLO N#C[C@H](CO)NC(=O)[C@H]1CCC[N@H+]1Cc1ccc(Cl)cc1 ZINC000529470220 421538496 /nfs/dbraw/zinc/53/84/96/421538496.db2.gz VIPGYDGTTTUERX-ZIAGYGMSSA-N 1 2 307.781 1.305 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000568252560 421618417 /nfs/dbraw/zinc/61/84/17/421618417.db2.gz XDXBLBYWNSHWJC-CYBMUJFWSA-N 1 2 304.394 1.177 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1c(C#N)cccc1[N+](=O)[O-] ZINC000569790719 421627946 /nfs/dbraw/zinc/62/79/46/421627946.db2.gz VGVRTBJOJCRUJF-LBPRGKRZSA-N 1 2 304.306 1.052 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1c(C#N)cccc1[N+](=O)[O-] ZINC000569790719 421627948 /nfs/dbraw/zinc/62/79/48/421627948.db2.gz VGVRTBJOJCRUJF-LBPRGKRZSA-N 1 2 304.306 1.052 20 30 DDEDLO COC(=O)C[C@H](C)[NH+]1CCN(C(=O)C2(C#N)CC(C)C2)CC1 ZINC000532070681 421648014 /nfs/dbraw/zinc/64/80/14/421648014.db2.gz PAJGYMJXASZKGB-UYJPIKCFSA-N 1 2 307.394 1.022 20 30 DDEDLO CN1CCC[C@H]([NH+]2CCN([C@@H](C#N)c3ccccc3)CC2)C1=O ZINC000537610461 421733457 /nfs/dbraw/zinc/73/34/57/421733457.db2.gz PORDGQNTVXQDPJ-IRXDYDNUSA-N 1 2 312.417 1.490 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](CCO)Cc1cnn(CC)c1 ZINC000520305739 421744797 /nfs/dbraw/zinc/74/47/97/421744797.db2.gz SBAUZMNQVBGTGS-UHFFFAOYSA-N 1 2 308.426 1.122 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](CCO)Cc1cnn(CC)c1 ZINC000520305739 421744800 /nfs/dbraw/zinc/74/48/00/421744800.db2.gz SBAUZMNQVBGTGS-UHFFFAOYSA-N 1 2 308.426 1.122 20 30 DDEDLO C[N@H+](CCN1CCCC1=O)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000522005642 421811039 /nfs/dbraw/zinc/81/10/39/421811039.db2.gz FMFAGYAJRIIKKV-UHFFFAOYSA-N 1 2 302.334 1.521 20 30 DDEDLO C[N@@H+](CCN1CCCC1=O)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000522005642 421811045 /nfs/dbraw/zinc/81/10/45/421811045.db2.gz FMFAGYAJRIIKKV-UHFFFAOYSA-N 1 2 302.334 1.521 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])C[C@H]1CCS(=O)(=O)C1 ZINC000572885623 421879184 /nfs/dbraw/zinc/87/91/84/421879184.db2.gz HTVODGWFRDKQSR-GFCCVEGCSA-N 1 2 323.374 1.333 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])C[C@H]1CCS(=O)(=O)C1 ZINC000572885623 421879187 /nfs/dbraw/zinc/87/91/87/421879187.db2.gz HTVODGWFRDKQSR-GFCCVEGCSA-N 1 2 323.374 1.333 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000573283431 421941471 /nfs/dbraw/zinc/94/14/71/421941471.db2.gz NEMQTWFGTBGCOY-UHFFFAOYSA-N 1 2 321.446 1.475 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](CCO)[C@H]2CCO[C@@H]2C)c1C#N ZINC000581463843 421977670 /nfs/dbraw/zinc/97/76/70/421977670.db2.gz VCHYXHDENOKMOR-WBMJQRKESA-N 1 2 318.373 1.317 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](CCO)[C@H]2CCO[C@@H]2C)c1C#N ZINC000581463843 421977677 /nfs/dbraw/zinc/97/76/77/421977677.db2.gz VCHYXHDENOKMOR-WBMJQRKESA-N 1 2 318.373 1.317 20 30 DDEDLO CC#CCN(C)C(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000637411252 422200953 /nfs/dbraw/zinc/20/09/53/422200953.db2.gz LLJSBWRLDPHSNX-UHFFFAOYSA-N 1 2 303.410 1.985 20 30 DDEDLO Cc1nn(C)c(C)c1C[C@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000576044282 422363446 /nfs/dbraw/zinc/36/34/46/422363446.db2.gz NVUBUVNORKCBPP-MEDUHNTESA-N 1 2 305.426 1.176 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)N1CCN(Cc2cccs2)CC1 ZINC000576289499 422372968 /nfs/dbraw/zinc/37/29/68/422372968.db2.gz GQIVPQNJDFGWHR-CQSZACIVSA-N 1 2 320.462 1.674 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)CC(=O)NCc1ccccc1 ZINC000576862588 422378228 /nfs/dbraw/zinc/37/82/28/422378228.db2.gz BIGRIXFLUUBJTO-UHFFFAOYSA-N 1 2 317.433 1.659 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)CC(=O)NCc1ccccc1 ZINC000576862588 422378234 /nfs/dbraw/zinc/37/82/34/422378234.db2.gz BIGRIXFLUUBJTO-UHFFFAOYSA-N 1 2 317.433 1.659 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000577580725 422385854 /nfs/dbraw/zinc/38/58/54/422385854.db2.gz LKKOOLZZBDDHIY-KGLIPLIRSA-N 1 2 300.362 1.785 20 30 DDEDLO N#C[C@H]1CSCCN1C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000602133925 422431489 /nfs/dbraw/zinc/43/14/89/422431489.db2.gz UMEHYBIBQWUGNM-UWVGGRQHSA-N 1 2 318.324 1.844 20 30 DDEDLO C=CCN(Cc1cc2c(c[nH+]1)OCCO2)[C@H]1CCS(=O)(=O)C1 ZINC000637963043 422512873 /nfs/dbraw/zinc/51/28/73/422512873.db2.gz RTPQQTXUZXOXEY-ZDUSSCGKSA-N 1 2 324.402 1.028 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)NC2CCC(C)CC2)nn1 ZINC000640806425 423201439 /nfs/dbraw/zinc/20/14/39/423201439.db2.gz APDYSYXJSQONJL-UHFFFAOYSA-N 1 2 303.410 1.086 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc3c(c(Cl)c2)OCO3)nn1 ZINC000640897406 423261998 /nfs/dbraw/zinc/26/19/98/423261998.db2.gz ISSLQWWHVLURQV-UHFFFAOYSA-N 1 2 318.764 1.821 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)c2nc(-c3cccnc3)no2)nn1 ZINC000640900821 423264906 /nfs/dbraw/zinc/26/49/06/423264906.db2.gz TXAGKHDFQAVHBF-GFCCVEGCSA-N 1 2 323.360 1.445 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2noc(-c3cncc(C)c3)n2)nn1 ZINC000641144051 423416962 /nfs/dbraw/zinc/41/69/62/423416962.db2.gz GYFAQGZFMAOSEX-UHFFFAOYSA-N 1 2 323.360 1.193 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@H](CC)O[C@@]3(CCOC3)C2)nn1 ZINC000653654144 423567473 /nfs/dbraw/zinc/56/74/73/423567473.db2.gz BFRVBXGKMFLSEM-HOTGVXAUSA-N 1 2 306.410 1.624 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@H](CC)O[C@@]3(CCOC3)C2)nn1 ZINC000653654144 423567478 /nfs/dbraw/zinc/56/74/78/423567478.db2.gz BFRVBXGKMFLSEM-HOTGVXAUSA-N 1 2 306.410 1.624 20 30 DDEDLO C=C(C)[C@H](CO)[N@@H+]1CCN(Cc2ccc(Cl)cc2)C(=O)C1 ZINC000649319547 423749147 /nfs/dbraw/zinc/74/91/47/423749147.db2.gz SIUZTCMFWNYTKZ-HNNXBMFYSA-N 1 2 308.809 1.921 20 30 DDEDLO C=C(C)[C@H](CO)[N@H+]1CCN(Cc2ccc(Cl)cc2)C(=O)C1 ZINC000649319547 423749153 /nfs/dbraw/zinc/74/91/53/423749153.db2.gz SIUZTCMFWNYTKZ-HNNXBMFYSA-N 1 2 308.809 1.921 20 30 DDEDLO C=CCCOCCNc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000663751089 424139305 /nfs/dbraw/zinc/13/93/05/424139305.db2.gz XDGSCDSIPGWGQF-UHFFFAOYSA-N 1 2 306.410 1.832 20 30 DDEDLO C=CCCOCCNc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000663751089 424139317 /nfs/dbraw/zinc/13/93/17/424139317.db2.gz XDGSCDSIPGWGQF-UHFFFAOYSA-N 1 2 306.410 1.832 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH2+][C@@H](CC)c1nnc2n1CCCCC2 ZINC000661938542 424274018 /nfs/dbraw/zinc/27/40/18/424274018.db2.gz RIZGAWGRGQROPE-STQMWFEESA-N 1 2 305.426 1.736 20 30 DDEDLO C=CCNC(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000660205883 424595896 /nfs/dbraw/zinc/59/58/96/424595896.db2.gz KIAZYEUXURYEGK-INIZCTEOSA-N 1 2 302.422 1.288 20 30 DDEDLO C=CCNC(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000660205883 424595901 /nfs/dbraw/zinc/59/59/01/424595901.db2.gz KIAZYEUXURYEGK-INIZCTEOSA-N 1 2 302.422 1.288 20 30 DDEDLO CCCCC[N@H+](CC(N)=O)CC(=O)NCc1cccc(C#N)c1 ZINC000356447389 266024045 /nfs/dbraw/zinc/02/40/45/266024045.db2.gz PCLAXUZMMPYZOI-UHFFFAOYSA-N 1 2 316.405 1.152 20 30 DDEDLO CCCCC[N@@H+](CC(N)=O)CC(=O)NCc1cccc(C#N)c1 ZINC000356447389 266024054 /nfs/dbraw/zinc/02/40/54/266024054.db2.gz PCLAXUZMMPYZOI-UHFFFAOYSA-N 1 2 316.405 1.152 20 30 DDEDLO CC[C@@H](C(=O)OC)N1CC[NH+](Cc2ccccc2C#N)CC1 ZINC000352669067 266352418 /nfs/dbraw/zinc/35/24/18/266352418.db2.gz XDBUPLCWCATRQL-INIZCTEOSA-N 1 2 301.390 1.627 20 30 DDEDLO C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(F)cc1C#N ZINC000356077800 267252376 /nfs/dbraw/zinc/25/23/76/267252376.db2.gz LJBMAFUVKCDJQX-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(F)cc1C#N ZINC000356077800 267252378 /nfs/dbraw/zinc/25/23/78/267252378.db2.gz LJBMAFUVKCDJQX-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H]1[C@H](C)[N@H+](CCC(=O)Nc2sccc2C#N)CCN1C ZINC000357010280 267252447 /nfs/dbraw/zinc/25/24/47/267252447.db2.gz WXVPLGPONZRFPS-RYUDHWBXSA-N 1 2 306.435 1.973 20 30 DDEDLO C[C@H]1[C@H](C)[N@@H+](CCC(=O)Nc2sccc2C#N)CCN1C ZINC000357010280 267252448 /nfs/dbraw/zinc/25/24/48/267252448.db2.gz WXVPLGPONZRFPS-RYUDHWBXSA-N 1 2 306.435 1.973 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CSc1ccc(C#N)cc1 ZINC000515062960 267281991 /nfs/dbraw/zinc/28/19/91/267281991.db2.gz OFMNNWJYLKTIKG-HNNXBMFYSA-N 1 2 302.403 1.610 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H]2Cn2cc[nH+]c2)s1 ZINC000368535563 268191685 /nfs/dbraw/zinc/19/16/85/268191685.db2.gz XUKPGLOWRHHESD-LLVKDONJSA-N 1 2 322.415 1.670 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC000371121219 268267144 /nfs/dbraw/zinc/26/71/44/268267144.db2.gz ZGIDMNRHJZKLLV-HNNXBMFYSA-N 1 2 300.362 1.497 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000363976203 268284432 /nfs/dbraw/zinc/28/44/32/268284432.db2.gz MRGFTJWHHSBZCY-LBPRGKRZSA-N 1 2 302.359 1.807 20 30 DDEDLO N#Cc1ccnc(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)c1 ZINC000283133553 268289448 /nfs/dbraw/zinc/28/94/48/268289448.db2.gz ZUFWDBQRARXJSM-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO CC(=O)N1CCC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000332247274 278003767 /nfs/dbraw/zinc/00/37/67/278003767.db2.gz XFECXJMKSNCPJI-OAHLLOKOSA-N 1 2 300.362 1.689 20 30 DDEDLO CC(=O)N1CCC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000332247274 278003769 /nfs/dbraw/zinc/00/37/69/278003769.db2.gz XFECXJMKSNCPJI-OAHLLOKOSA-N 1 2 300.362 1.689 20 30 DDEDLO Cc1nccc(NC(=O)N2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)n1 ZINC000329249393 279166251 /nfs/dbraw/zinc/16/62/51/279166251.db2.gz TTXKNUUIXYARNI-WCQYABFASA-N 1 2 305.382 1.126 20 30 DDEDLO C[C@@H]1CN(c2cccc(C#N)c2[N+](=O)[O-])C[C@@H]1[NH+]1CCOCC1 ZINC000450370681 288264097 /nfs/dbraw/zinc/26/40/97/288264097.db2.gz AIGVDNCWUOMSDE-DOMZBBRYSA-N 1 2 316.361 1.623 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000332352234 289041792 /nfs/dbraw/zinc/04/17/92/289041792.db2.gz SUTPFQIALZAULG-UHFFFAOYSA-N 1 2 314.455 1.027 20 30 DDEDLO C[C@H](NC(=O)Nc1cccc(C#N)n1)[C@H](C)[NH+]1CCOCC1 ZINC000574808244 304685666 /nfs/dbraw/zinc/68/56/66/304685666.db2.gz AEUIKERWXRHLGS-RYUDHWBXSA-N 1 2 303.366 1.184 20 30 DDEDLO Cc1nsc(NC(=O)N[C@@H](C)C[NH+]2CCOCC2)c1C#N ZINC000294283005 302863331 /nfs/dbraw/zinc/86/33/31/302863331.db2.gz SWQJWLDLOQJLMD-VIFPVBQESA-N 1 2 309.395 1.165 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@@H+]3CCOC4(CCC4)C3)C2=O)cc1 ZINC000154282256 303194834 /nfs/dbraw/zinc/19/48/34/303194834.db2.gz NMNBXJUAOQCQEJ-INIZCTEOSA-N 1 2 311.385 1.918 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@H+]3CCOC4(CCC4)C3)C2=O)cc1 ZINC000154282256 303194835 /nfs/dbraw/zinc/19/48/35/303194835.db2.gz NMNBXJUAOQCQEJ-INIZCTEOSA-N 1 2 311.385 1.918 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC[C@@H](Nc2ccc(C#N)nc2)C1=O ZINC000543047814 303453273 /nfs/dbraw/zinc/45/32/73/303453273.db2.gz CJTJKXHJXVTZEG-CQSZACIVSA-N 1 2 310.361 1.290 20 30 DDEDLO C[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@@H](C)S1=O ZINC000577125073 308364996 /nfs/dbraw/zinc/36/49/96/308364996.db2.gz ZJHAFGALTSHHHY-VXGBXAGGSA-N 1 2 302.403 1.547 20 30 DDEDLO CCOc1ccc(OCC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000573272412 331957489 /nfs/dbraw/zinc/95/74/89/331957489.db2.gz QNCLHZURLKRFJB-INIZCTEOSA-N 1 2 305.378 1.424 20 30 DDEDLO C[C@H](c1cccc(F)c1)[NH+]1CCN(CC(=O)NCC#N)CC1 ZINC000159492881 332190265 /nfs/dbraw/zinc/19/02/65/332190265.db2.gz HIAZZXWJKABZEQ-CYBMUJFWSA-N 1 2 304.369 1.144 20 30 DDEDLO CS(=O)(=O)c1ccc(F)c(C[NH2+]C[C@@H](C#N)CCC#N)c1 ZINC000583452584 333282627 /nfs/dbraw/zinc/28/26/27/333282627.db2.gz QQXHRWGHYRDHRF-LLVKDONJSA-N 1 2 309.366 1.762 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000342937446 334590715 /nfs/dbraw/zinc/59/07/15/334590715.db2.gz REUIDEZQUZHVRK-UHFFFAOYSA-N 1 2 314.389 1.731 20 30 DDEDLO Cc1nc(N[C@H](C)CN(C)C(=O)Cc2c[nH+]c[nH]2)ccc1C#N ZINC001120712833 782103069 /nfs/dbraw/zinc/10/30/69/782103069.db2.gz TUWDKEUUWARSLK-LLVKDONJSA-N 1 2 312.377 1.486 20 30 DDEDLO C=CCc1cc(C[N@@H+]2C[C@@H]3COC[C@H](C2)O3)cc(OC)c1O ZINC000521453699 337038249 /nfs/dbraw/zinc/03/82/49/337038249.db2.gz YKCRRGGTTIVFFC-GASCZTMLSA-N 1 2 305.374 1.729 20 30 DDEDLO C=CCc1cc(C[N@H+]2C[C@@H]3COC[C@H](C2)O3)cc(OC)c1O ZINC000521453699 337038250 /nfs/dbraw/zinc/03/82/50/337038250.db2.gz YKCRRGGTTIVFFC-GASCZTMLSA-N 1 2 305.374 1.729 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC000376806844 337041693 /nfs/dbraw/zinc/04/16/93/337041693.db2.gz MVAJTXWETHEKNZ-UHFFFAOYSA-N 1 2 321.465 1.629 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC000376806844 337041694 /nfs/dbraw/zinc/04/16/94/337041694.db2.gz MVAJTXWETHEKNZ-UHFFFAOYSA-N 1 2 321.465 1.629 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000582523207 337104459 /nfs/dbraw/zinc/10/44/59/337104459.db2.gz FFUMWIDGNUTJIU-ZDUSSCGKSA-N 1 2 315.421 1.378 20 30 DDEDLO Cn1c[nH+]cc1CCNS(=O)(=O)c1ccc(Cl)c(C#N)c1 ZINC000583774991 337332239 /nfs/dbraw/zinc/33/22/39/337332239.db2.gz RGZLMUWOKCPJNZ-UHFFFAOYSA-N 1 2 324.793 1.466 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2sc3nccn3c2C)CC1 ZINC000270695154 337641961 /nfs/dbraw/zinc/64/19/61/337641961.db2.gz XRRYSQWYBXFBRK-UHFFFAOYSA-N 1 2 302.403 1.485 20 30 DDEDLO C#CCN(C(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1)C1CCCC1 ZINC000120580179 337877838 /nfs/dbraw/zinc/87/78/38/337877838.db2.gz JMSWFUGLDCDRGJ-GJZGRUSLSA-N 1 2 307.438 1.683 20 30 DDEDLO N#CC1(CN2CC[NH+](Cc3ccc4c(c3)OCCO4)CC2)CC1 ZINC000537258136 337929341 /nfs/dbraw/zinc/92/93/41/337929341.db2.gz CRAHGSGYAOFQCQ-UHFFFAOYSA-N 1 2 313.401 1.879 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[NH+]1CCC(OC(=O)N(C)C)CC1 ZINC000495792137 339992091 /nfs/dbraw/zinc/99/20/91/339992091.db2.gz HBXHUWDCFDVUAH-INIZCTEOSA-N 1 2 324.425 1.203 20 30 DDEDLO C[C@@H](NC(=O)N1CCC(CC#N)CC1)[C@@H](C)[NH+]1CCOCC1 ZINC000543783685 341058554 /nfs/dbraw/zinc/05/85/54/341058554.db2.gz ZJUIJKOAPQFPLY-ZIAGYGMSSA-N 1 2 308.426 1.431 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1ccc(OC(F)F)cc1 ZINC000564873147 341511890 /nfs/dbraw/zinc/51/18/90/341511890.db2.gz UJQPNQRDTFBBRG-OAHLLOKOSA-N 1 2 311.332 1.791 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)C(=O)N(C)Cc2[nH+]ccn2C)C1 ZINC000658440450 484356244 /nfs/dbraw/zinc/35/62/44/484356244.db2.gz XWCMLYRASJPZJL-CYBMUJFWSA-N 1 2 304.394 1.193 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000668091008 484946138 /nfs/dbraw/zinc/94/61/38/484946138.db2.gz XRBBQNVNFMSQKC-UONOGXRCSA-N 1 2 304.394 1.587 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@H](OCC(F)(F)F)C2)C1=O ZINC000684889028 486452997 /nfs/dbraw/zinc/45/29/97/486452997.db2.gz DXDRUZOOLBHONS-RYUDHWBXSA-N 1 2 306.328 1.817 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@H](OCC(F)(F)F)C2)C1=O ZINC000684889028 486452999 /nfs/dbraw/zinc/45/29/99/486452999.db2.gz DXDRUZOOLBHONS-RYUDHWBXSA-N 1 2 306.328 1.817 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)N(C)Cc2ccccc2)CC1 ZINC000677479561 486477559 /nfs/dbraw/zinc/47/75/59/486477559.db2.gz PNBQURUXZPRXTE-KRWDZBQOSA-N 1 2 317.433 1.793 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)NCCNc1cccc[nH+]1 ZINC000431827917 533721397 /nfs/dbraw/zinc/72/13/97/533721397.db2.gz KJFKMDDPNOGINZ-UHFFFAOYSA-N 1 2 323.356 1.429 20 30 DDEDLO C[C@H]1COC(C)(C)C[N@@H+]1CC(=O)NC(=O)Nc1ccccc1 ZINC000331132065 534018906 /nfs/dbraw/zinc/01/89/06/534018906.db2.gz JNXQWGQJLZMUPH-LBPRGKRZSA-N 1 2 305.378 1.848 20 30 DDEDLO C[C@H]1COC(C)(C)C[N@H+]1CC(=O)NC(=O)Nc1ccccc1 ZINC000331132065 534018910 /nfs/dbraw/zinc/01/89/10/534018910.db2.gz JNXQWGQJLZMUPH-LBPRGKRZSA-N 1 2 305.378 1.848 20 30 DDEDLO Cc1[nH+]c[nH]c1CNC(=O)[C@H]1CCO[C@@H]1c1cnn(C)c1C ZINC000330282956 534719004 /nfs/dbraw/zinc/71/90/04/534719004.db2.gz VVLDKGRQXRJMAE-FZMZJTMJSA-N 1 2 303.366 1.994 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CCOC2(CCCC2)C1 ZINC000329690816 534763352 /nfs/dbraw/zinc/76/33/52/534763352.db2.gz GODNDJIPHAQAGN-GFCCVEGCSA-N 1 2 304.394 1.826 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CCOC2(CCCC2)C1 ZINC000329690816 534763353 /nfs/dbraw/zinc/76/33/53/534763353.db2.gz GODNDJIPHAQAGN-GFCCVEGCSA-N 1 2 304.394 1.826 20 30 DDEDLO C=C(C)CS(=O)(=O)NCCc1[nH+]ccn1Cc1ccccc1 ZINC000435430151 526681980 /nfs/dbraw/zinc/68/19/80/526681980.db2.gz YSMBCRLSFFOENQ-UHFFFAOYSA-N 1 2 319.430 1.969 20 30 DDEDLO C#CCN(CC#CC)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000490761658 526852249 /nfs/dbraw/zinc/85/22/49/526852249.db2.gz AWHZKQKXPXKTOC-UHFFFAOYSA-N 1 2 312.417 1.117 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCC(=O)NC(C)(C)C)Cc1ccccc1 ZINC000491180532 526883363 /nfs/dbraw/zinc/88/33/63/526883363.db2.gz MOCAYHICZIGDCW-UHFFFAOYSA-N 1 2 315.417 1.153 20 30 DDEDLO C#CC[N@H+](CC(=O)NCC(=O)NC(C)(C)C)Cc1ccccc1 ZINC000491180532 526883367 /nfs/dbraw/zinc/88/33/67/526883367.db2.gz MOCAYHICZIGDCW-UHFFFAOYSA-N 1 2 315.417 1.153 20 30 DDEDLO C#CCN(Cc1ccc(F)cc1)C(=O)[C@H](C)[NH+]1CCOCC1 ZINC000491179079 526905970 /nfs/dbraw/zinc/90/59/70/526905970.db2.gz DBUDYZCEFBMZSB-AWEZNQCLSA-N 1 2 304.365 1.508 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccccc2SC)CC1 ZINC000490759270 526951506 /nfs/dbraw/zinc/95/15/06/526951506.db2.gz AQJRBVLOXNHAIG-UHFFFAOYSA-N 1 2 303.431 1.598 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2c(C)cccc2OC)CC1 ZINC000491629950 526952217 /nfs/dbraw/zinc/95/22/17/526952217.db2.gz MLRXSTAFJUGDKL-UHFFFAOYSA-N 1 2 301.390 1.193 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccnc3)n2C2CC2)CC1 ZINC000491779506 526956504 /nfs/dbraw/zinc/95/65/04/526956504.db2.gz JFGFXEYFLNDWKU-UHFFFAOYSA-N 1 2 308.389 1.430 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](CCc2ccccc2Cl)CC1 ZINC000491709422 527003159 /nfs/dbraw/zinc/00/31/59/527003159.db2.gz OXGJWVJNARANOD-UHFFFAOYSA-N 1 2 319.836 1.250 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)C[NH+]2CCN(C)CC2)CCOCC1 ZINC000424646640 527058068 /nfs/dbraw/zinc/05/80/68/527058068.db2.gz JNEMHWSKYQEUDU-OAHLLOKOSA-N 1 2 309.454 1.111 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000352745416 527095906 /nfs/dbraw/zinc/09/59/06/527095906.db2.gz JQCXODILEHKZCZ-ZDUSSCGKSA-N 1 2 301.350 1.385 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CCNC(=O)[C@@H]1CC ZINC000349740195 527264455 /nfs/dbraw/zinc/26/44/55/527264455.db2.gz AMUFGOCHOFPPCK-CVEARBPZSA-N 1 2 318.417 1.365 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CCNC(=O)[C@@H]1CC ZINC000349740195 527264459 /nfs/dbraw/zinc/26/44/59/527264459.db2.gz AMUFGOCHOFPPCK-CVEARBPZSA-N 1 2 318.417 1.365 20 30 DDEDLO C#C[C@H](CCC)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000491348703 527372042 /nfs/dbraw/zinc/37/20/42/527372042.db2.gz FBFQFJKXFAERAQ-UKRRQHHQSA-N 1 2 318.421 1.401 20 30 DDEDLO C#C[C@H](CCC)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000491348703 527372044 /nfs/dbraw/zinc/37/20/44/527372044.db2.gz FBFQFJKXFAERAQ-UKRRQHHQSA-N 1 2 318.421 1.401 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000433010258 527391075 /nfs/dbraw/zinc/39/10/75/527391075.db2.gz BLWFFUUFKPCECJ-ZIAGYGMSSA-N 1 2 306.410 1.596 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCOc1ccc(/C=C\C(=O)OC)cc1 ZINC000491770954 527427878 /nfs/dbraw/zinc/42/78/78/527427878.db2.gz QQYZNBLZQXYGRE-HWYHOQROSA-N 1 2 315.369 1.586 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCOc1ccc(/C=C\C(=O)OC)cc1 ZINC000491770954 527427881 /nfs/dbraw/zinc/42/78/81/527427881.db2.gz QQYZNBLZQXYGRE-HWYHOQROSA-N 1 2 315.369 1.586 20 30 DDEDLO CCC[C@]1(CO)CCN(C([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)C1 ZINC000329735938 528516057 /nfs/dbraw/zinc/51/60/57/528516057.db2.gz LFBLSDXMMIBILD-WBMJQRKESA-N 1 2 306.410 1.666 20 30 DDEDLO CCC[C@]1(CO)CCN(C([O-])=[NH+][C@@H]2CCc3[nH+]c[nH]c3C2)C1 ZINC000329735938 528516067 /nfs/dbraw/zinc/51/60/67/528516067.db2.gz LFBLSDXMMIBILD-WBMJQRKESA-N 1 2 306.410 1.666 20 30 DDEDLO CC(C)[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000330738059 528745776 /nfs/dbraw/zinc/74/57/76/528745776.db2.gz NFSRDPVQUYDGCS-INIZCTEOSA-N 1 2 301.390 1.531 20 30 DDEDLO CC(C)[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000330738059 528745779 /nfs/dbraw/zinc/74/57/79/528745779.db2.gz NFSRDPVQUYDGCS-INIZCTEOSA-N 1 2 301.390 1.531 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000299342578 529158474 /nfs/dbraw/zinc/15/84/74/529158474.db2.gz KDFQXPCDWMZTRL-ZDUSSCGKSA-N 1 2 318.396 1.734 20 30 DDEDLO CN(CC[NH2+]C1CC[NH+](Cc2ccc(C#N)cc2)CC1)C(=O)[O-] ZINC000833871937 606007917 /nfs/dbraw/zinc/00/79/17/606007917.db2.gz CSKGFYYYPCPMPK-UHFFFAOYSA-N 1 2 316.405 1.722 20 30 DDEDLO Cc1cc[nH+]c(NCCNc2ncccc2C#N)c1-c1nn[nH]n1 ZINC000826313452 609540737 /nfs/dbraw/zinc/54/07/37/609540737.db2.gz HHDTVOKWDSQYOB-UHFFFAOYSA-N 1 2 321.348 1.361 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CC[C@@H]2CN(CC#N)C[C@H]2C1 ZINC000980212693 696709555 /nfs/dbraw/zinc/70/95/55/696709555.db2.gz FGHXBPHCOCANBP-MGPQQGTHSA-N 1 2 301.394 1.001 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC000972247456 695188998 /nfs/dbraw/zinc/18/89/98/695188998.db2.gz UGZXWTRQGKINDQ-PBHICJAKSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC000972247456 695189000 /nfs/dbraw/zinc/18/90/00/695189000.db2.gz UGZXWTRQGKINDQ-PBHICJAKSA-N 1 2 319.453 1.933 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3C=CCC3)C2)C1 ZINC000972247691 695189311 /nfs/dbraw/zinc/18/93/11/695189311.db2.gz GHWWKSKUPPNWCY-FUHWJXTLSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3C=CCC3)C2)C1 ZINC000972247691 695189313 /nfs/dbraw/zinc/18/93/13/695189313.db2.gz GHWWKSKUPPNWCY-FUHWJXTLSA-N 1 2 302.418 1.669 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)oc3C)C2)C1 ZINC000972253682 695191934 /nfs/dbraw/zinc/19/19/34/695191934.db2.gz RPTHTNCEPPHKQJ-KRWDZBQOSA-N 1 2 304.390 1.999 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)oc3C)C2)C1 ZINC000972253682 695191938 /nfs/dbraw/zinc/19/19/38/695191938.db2.gz RPTHTNCEPPHKQJ-KRWDZBQOSA-N 1 2 304.390 1.999 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)C1 ZINC000972322764 695215990 /nfs/dbraw/zinc/21/59/90/695215990.db2.gz DTNFUIMIQUKFTB-MUQADHOPSA-N 1 2 302.418 1.359 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)C1 ZINC000972322764 695215991 /nfs/dbraw/zinc/21/59/91/695215991.db2.gz DTNFUIMIQUKFTB-MUQADHOPSA-N 1 2 302.418 1.359 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CC(F)(F)C3)C2)C1 ZINC000972332052 695219082 /nfs/dbraw/zinc/21/90/82/695219082.db2.gz JIRLXKUMSUTUPV-AWEZNQCLSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CC(F)(F)C3)C2)C1 ZINC000972332052 695219083 /nfs/dbraw/zinc/21/90/83/695219083.db2.gz JIRLXKUMSUTUPV-AWEZNQCLSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)nc(C)c3)C2)C1 ZINC000972400359 695240366 /nfs/dbraw/zinc/24/03/66/695240366.db2.gz UGNWGAQJIDSWIG-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)nc(C)c3)C2)C1 ZINC000972400359 695240368 /nfs/dbraw/zinc/24/03/68/695240368.db2.gz UGNWGAQJIDSWIG-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3ccsc3)C2)C1 ZINC000972412896 695242926 /nfs/dbraw/zinc/24/29/26/695242926.db2.gz BPICVYQXORRCBG-QGZVFWFLSA-N 1 2 318.442 1.617 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3ccsc3)C2)C1 ZINC000972412896 695242928 /nfs/dbraw/zinc/24/29/28/695242928.db2.gz BPICVYQXORRCBG-QGZVFWFLSA-N 1 2 318.442 1.617 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCC3(F)F)C2)C1 ZINC000972428986 695248384 /nfs/dbraw/zinc/24/83/84/695248384.db2.gz SVQXJIQERQQREW-ZFWWWQNUSA-N 1 2 312.360 1.358 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCC3(F)F)C2)C1 ZINC000972428986 695248385 /nfs/dbraw/zinc/24/83/85/695248385.db2.gz SVQXJIQERQQREW-ZFWWWQNUSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3C=CCCC3)C2)C1 ZINC000972430166 695249342 /nfs/dbraw/zinc/24/93/42/695249342.db2.gz BBOWCPCRGXWWKQ-FUHWJXTLSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3C=CCCC3)C2)C1 ZINC000972430166 695249343 /nfs/dbraw/zinc/24/93/43/695249343.db2.gz BBOWCPCRGXWWKQ-FUHWJXTLSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3CC(C)(C)CO3)C2)C1 ZINC000972463797 695256920 /nfs/dbraw/zinc/25/69/20/695256920.db2.gz XTSIJOKKRAPHFG-QAPCUYQASA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3CC(C)(C)CO3)C2)C1 ZINC000972463797 695256921 /nfs/dbraw/zinc/25/69/21/695256921.db2.gz XTSIJOKKRAPHFG-QAPCUYQASA-N 1 2 320.433 1.128 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccn(CC)c3C)C2)C1 ZINC000972512963 695269613 /nfs/dbraw/zinc/26/96/13/695269613.db2.gz CJSJINUOTHXJGA-GOSISDBHSA-N 1 2 317.433 1.919 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccn(CC)c3C)C2)C1 ZINC000972512963 695269617 /nfs/dbraw/zinc/26/96/17/695269617.db2.gz CJSJINUOTHXJGA-GOSISDBHSA-N 1 2 317.433 1.919 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CCC(OC)CC3)C2)C1 ZINC000972523941 695272703 /nfs/dbraw/zinc/27/27/03/695272703.db2.gz MNLFWYAYZUOVSJ-HTWSVDAQSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CCC(OC)CC3)C2)C1 ZINC000972523941 695272705 /nfs/dbraw/zinc/27/27/05/695272705.db2.gz MNLFWYAYZUOVSJ-HTWSVDAQSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3=CCOCC3)C2)C1 ZINC000972536870 695275508 /nfs/dbraw/zinc/27/55/08/695275508.db2.gz BUUJIGYKJYEODN-QGZVFWFLSA-N 1 2 306.406 1.213 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3=CCOCC3)C2)C1 ZINC000972536870 695275510 /nfs/dbraw/zinc/27/55/10/695275510.db2.gz BUUJIGYKJYEODN-QGZVFWFLSA-N 1 2 306.406 1.213 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3cncs3)C2)C1 ZINC000972552193 695280023 /nfs/dbraw/zinc/28/00/23/695280023.db2.gz KYUMPIZBBAMICK-MRXNPFEDSA-N 1 2 319.430 1.012 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3cncs3)C2)C1 ZINC000972552193 695280025 /nfs/dbraw/zinc/28/00/25/695280025.db2.gz KYUMPIZBBAMICK-MRXNPFEDSA-N 1 2 319.430 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C(C)(C)C1 ZINC000974695560 695713728 /nfs/dbraw/zinc/71/37/28/695713728.db2.gz IGVDZUGNTINJHW-SNVBAGLBSA-N 1 2 312.801 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C(C)(C)C1 ZINC000974695560 695713729 /nfs/dbraw/zinc/71/37/29/695713729.db2.gz IGVDZUGNTINJHW-SNVBAGLBSA-N 1 2 312.801 1.616 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC000008474348 696035271 /nfs/dbraw/zinc/03/52/71/696035271.db2.gz VGCFFMBJBBRUPE-CYBMUJFWSA-N 1 2 318.377 1.408 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)C(C)(C)C(=O)OCC)CC1 ZINC000798616628 700080813 /nfs/dbraw/zinc/08/08/13/700080813.db2.gz JCIXKJBLZSDPSZ-UHFFFAOYSA-N 1 2 323.389 1.033 20 30 DDEDLO N#CCN1C[C@@H]2[C@@H](CNC(=O)c3cccc(-n4cc[nH+]c4)c3)[C@@H]2C1 ZINC000978927079 696476672 /nfs/dbraw/zinc/47/66/72/696476672.db2.gz GXQHIQVHMVWSHN-OSYLJGHBSA-N 1 2 321.384 1.303 20 30 DDEDLO N#Cc1cccc(-n2ccc(C(=O)OCCn3cc[nH+]c3)n2)c1 ZINC000798801446 700098403 /nfs/dbraw/zinc/09/84/03/700098403.db2.gz PMTHEXUZKMCMJT-UHFFFAOYSA-N 1 2 307.313 1.797 20 30 DDEDLO C[N@H+](CC(=O)[C@@H](C#N)C(=O)NC1CCCC1)[C@@H]1CCSC1 ZINC000123749162 696734857 /nfs/dbraw/zinc/73/48/57/696734857.db2.gz GXKVNUCUCCSIPG-CHWSQXEVSA-N 1 2 309.435 1.191 20 30 DDEDLO C[N@@H+](CC(=O)[C@@H](C#N)C(=O)NC1CCCC1)[C@@H]1CCSC1 ZINC000123749162 696734859 /nfs/dbraw/zinc/73/48/59/696734859.db2.gz GXKVNUCUCCSIPG-CHWSQXEVSA-N 1 2 309.435 1.191 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCc2nc(C)no2)CC1 ZINC000981721694 696890710 /nfs/dbraw/zinc/89/07/10/696890710.db2.gz KFVJTSBHSDAQIB-UHFFFAOYSA-N 1 2 312.801 1.597 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCc2nc(C)no2)CC1 ZINC000981721694 696890713 /nfs/dbraw/zinc/89/07/13/696890713.db2.gz KFVJTSBHSDAQIB-UHFFFAOYSA-N 1 2 312.801 1.597 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)cn1 ZINC000980848872 696917189 /nfs/dbraw/zinc/91/71/89/696917189.db2.gz CPOLCWOADNKTGG-OCCSQVGLSA-N 1 2 301.394 1.540 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)cn1 ZINC000980848872 696917193 /nfs/dbraw/zinc/91/71/93/696917193.db2.gz CPOLCWOADNKTGG-OCCSQVGLSA-N 1 2 301.394 1.540 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)C[C@@H]2COC(=O)C2)CC1 ZINC000980971225 696966336 /nfs/dbraw/zinc/96/63/36/696966336.db2.gz ICOVGQCWFIEDTO-LBPRGKRZSA-N 1 2 300.786 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)C[C@@H]2COC(=O)C2)CC1 ZINC000980971225 696966339 /nfs/dbraw/zinc/96/63/39/696966339.db2.gz ICOVGQCWFIEDTO-LBPRGKRZSA-N 1 2 300.786 1.226 20 30 DDEDLO NC(=[NH+]OCC(=O)NCC1CCC1)c1ccc2c(c1)CCO2 ZINC000158225552 696987940 /nfs/dbraw/zinc/98/79/40/696987940.db2.gz WGOOTPRZJJCTQN-UHFFFAOYSA-N 1 2 303.362 1.175 20 30 DDEDLO N#Cc1cccc(OCC(=O)N2CCC(n3cc[nH+]c3)CC2)c1 ZINC000748541762 700124450 /nfs/dbraw/zinc/12/44/50/700124450.db2.gz USBSWMNMRRZDNH-UHFFFAOYSA-N 1 2 310.357 1.997 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)c2ccn(C)c(=O)c2)CC1 ZINC000981470022 697105344 /nfs/dbraw/zinc/10/53/44/697105344.db2.gz RFOURRWHSVNSTJ-UHFFFAOYSA-N 1 2 309.797 1.286 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)c2ccn(C)c(=O)c2)CC1 ZINC000981470022 697105347 /nfs/dbraw/zinc/10/53/47/697105347.db2.gz RFOURRWHSVNSTJ-UHFFFAOYSA-N 1 2 309.797 1.286 20 30 DDEDLO CS(=O)(=O)c1cccc(N[NH2+]C2=CC(=O)N3CCCC[C@H]23)c1 ZINC000799486038 700144753 /nfs/dbraw/zinc/14/47/53/700144753.db2.gz XRQOQLUNIQVGAB-CQSZACIVSA-N 1 2 321.402 1.643 20 30 DDEDLO Cc1cccc(S(=O)(=O)NCCc2c[nH+]cn2C)c1C#N ZINC000772940488 697696138 /nfs/dbraw/zinc/69/61/38/697696138.db2.gz JBRDACSSFBCWDT-UHFFFAOYSA-N 1 2 304.375 1.121 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H](CO)C[C@H](OCCOC)C1 ZINC000773963876 697828255 /nfs/dbraw/zinc/82/82/55/697828255.db2.gz MVDCQIRXUBAQOC-NEPJUHHUSA-N 1 2 308.216 1.241 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H](CO)C[C@H](OCCOC)C1 ZINC000773963876 697828257 /nfs/dbraw/zinc/82/82/57/697828257.db2.gz MVDCQIRXUBAQOC-NEPJUHHUSA-N 1 2 308.216 1.241 20 30 DDEDLO CCCCCCCCNC(=O)C(=O)N1CC[NH+](C2CC2)CC1 ZINC000774766076 697926434 /nfs/dbraw/zinc/92/64/34/697926434.db2.gz DKKZKICPYIVJNL-UHFFFAOYSA-N 1 2 309.454 1.770 20 30 DDEDLO C#C[C@@H](NC(=O)Nc1ccc(C)[nH+]c1N(C)C)[C@H]1CCCO1 ZINC000775682994 698023259 /nfs/dbraw/zinc/02/32/59/698023259.db2.gz NNFRIHSIOZOHJC-TZMCWYRMSA-N 1 2 302.378 1.758 20 30 DDEDLO C[C@]1(c2cccc(C#N)c2)NC(=O)N(C[N@H+]2CC=CCC2)C1=O ZINC000778577432 698348925 /nfs/dbraw/zinc/34/89/25/698348925.db2.gz UEDWLFUUPLLHAH-QGZVFWFLSA-N 1 2 310.357 1.545 20 30 DDEDLO C[C@]1(c2cccc(C#N)c2)NC(=O)N(C[N@@H+]2CC=CCC2)C1=O ZINC000778577432 698348929 /nfs/dbraw/zinc/34/89/29/698348929.db2.gz UEDWLFUUPLLHAH-QGZVFWFLSA-N 1 2 310.357 1.545 20 30 DDEDLO Cc1onc(CC(=O)NCc2ccc(-n3cc[nH+]c3)cc2)c1C#N ZINC000779485894 698427712 /nfs/dbraw/zinc/42/77/12/698427712.db2.gz VRKYMXJTSSFJOQ-UHFFFAOYSA-N 1 2 321.340 1.899 20 30 DDEDLO C[C@H]1C[C@H]([NH2+]Cc2nc(C3CC3)no2)CN1C(=O)C#CC1CC1 ZINC000988827315 698477750 /nfs/dbraw/zinc/47/77/50/698477750.db2.gz RUELZUUKSUCJCH-FZMZJTMJSA-N 1 2 314.389 1.439 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)NCCc2cn3c([nH+]2)CCCC3)cc1 ZINC000780882674 698567410 /nfs/dbraw/zinc/56/74/10/698567410.db2.gz OOTXWPRUVFAFGW-QGZVFWFLSA-N 1 2 324.384 1.483 20 30 DDEDLO C[C@@H]1CCN(S(=O)(=O)CC(C)(C)C#N)C[C@@H]1n1cc[nH+]c1 ZINC000790037064 699436190 /nfs/dbraw/zinc/43/61/90/699436190.db2.gz MAFHMYCYSBEENS-OLZOCXBDSA-N 1 2 310.423 1.646 20 30 DDEDLO CNS(=O)(=O)c1cccc(C(C)=NNc2[nH+]ccn2C)c1 ZINC000790305662 699453159 /nfs/dbraw/zinc/45/31/59/699453159.db2.gz LZVVFCSFZJZOAS-UHFFFAOYSA-N 1 2 307.379 1.164 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CCN(c3cccc[nH+]3)CC2)nc1 ZINC000728813187 699456850 /nfs/dbraw/zinc/45/68/50/699456850.db2.gz WYPFUUXABNSXTR-UHFFFAOYSA-N 1 2 306.369 1.857 20 30 DDEDLO CCOc1ccc(/C=[NH+]/CCCN2C(=O)CCC2=O)c(O)c1 ZINC000729936403 699495777 /nfs/dbraw/zinc/49/57/77/699495777.db2.gz RRQPRPAYKAMLGG-GZTJUZNOSA-N 1 2 304.346 1.749 20 30 DDEDLO C=CCNC(=O)[C@H](C)OC(=O)[C@H]1CCC[N@@H+]1Cc1ccccc1 ZINC000732356524 699557069 /nfs/dbraw/zinc/55/70/69/699557069.db2.gz JBKGRJODWMWYFY-GOEBONIOSA-N 1 2 316.401 1.885 20 30 DDEDLO C=CCNC(=O)[C@H](C)OC(=O)[C@H]1CCC[N@H+]1Cc1ccccc1 ZINC000732356524 699557071 /nfs/dbraw/zinc/55/70/71/699557071.db2.gz JBKGRJODWMWYFY-GOEBONIOSA-N 1 2 316.401 1.885 20 30 DDEDLO C[C@@H](OC(=O)[C@H]1CCCC[N@@H+]1C)C(=O)Nc1cccc(C#N)c1 ZINC000741144930 699817026 /nfs/dbraw/zinc/81/70/26/699817026.db2.gz HCHSKZVOXUKCSK-IUODEOHRSA-N 1 2 315.373 1.913 20 30 DDEDLO C[C@@H](OC(=O)[C@H]1CCCC[N@H+]1C)C(=O)Nc1cccc(C#N)c1 ZINC000741144930 699817029 /nfs/dbraw/zinc/81/70/29/699817029.db2.gz HCHSKZVOXUKCSK-IUODEOHRSA-N 1 2 315.373 1.913 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(CC(=O)OC)c1 ZINC000763816893 700949992 /nfs/dbraw/zinc/94/99/92/700949992.db2.gz JLOSWKXHVGPMLE-HNNXBMFYSA-N 1 2 300.358 1.438 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(CC(=O)OC)c1 ZINC000763816893 700949994 /nfs/dbraw/zinc/94/99/94/700949994.db2.gz JLOSWKXHVGPMLE-HNNXBMFYSA-N 1 2 300.358 1.438 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)CC1=NNS(=O)(=O)c1ccc(F)cc1 ZINC000764722275 700983571 /nfs/dbraw/zinc/98/35/71/700983571.db2.gz NVOAVVBFZXEWGR-SNVBAGLBSA-N 1 2 311.382 1.574 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)CC1=NNS(=O)(=O)c1ccc(F)cc1 ZINC000764722275 700983572 /nfs/dbraw/zinc/98/35/72/700983572.db2.gz NVOAVVBFZXEWGR-SNVBAGLBSA-N 1 2 311.382 1.574 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@H](c2[nH+]ccn2C)C1)[C@H]1CCCO1 ZINC000767862098 701142038 /nfs/dbraw/zinc/14/20/38/701142038.db2.gz VLJBBXFEIUSWOW-SOUVJXGZSA-N 1 2 316.405 1.490 20 30 DDEDLO C#C[C@@H](C)NC(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000806184789 701429077 /nfs/dbraw/zinc/42/90/77/701429077.db2.gz MDTPCXUHRNRTLG-CYBMUJFWSA-N 1 2 314.389 1.217 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000866106808 706619200 /nfs/dbraw/zinc/61/92/00/706619200.db2.gz OHTRTIMFYGJGMV-LBPRGKRZSA-N 1 2 322.434 1.635 20 30 DDEDLO C=CCN1CCN(C[N@@H+](C)CCOc2ccc(OC)cc2)C1=O ZINC000839953029 701874891 /nfs/dbraw/zinc/87/48/91/701874891.db2.gz MJILJFCFUWARFP-UHFFFAOYSA-N 1 2 319.405 1.887 20 30 DDEDLO C=CCN1CCN(C[N@H+](C)CCOc2ccc(OC)cc2)C1=O ZINC000839953029 701874895 /nfs/dbraw/zinc/87/48/95/701874895.db2.gz MJILJFCFUWARFP-UHFFFAOYSA-N 1 2 319.405 1.887 20 30 DDEDLO CC1(C#N)CC[NH+](CN2C(=O)[C@]3(CCCO3)[C@@H]2C2CC2)CC1 ZINC000840042680 701933204 /nfs/dbraw/zinc/93/32/04/701933204.db2.gz PDXIOVYHTUYCCH-YOEHRIQHSA-N 1 2 303.406 1.740 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CC3(CCC3)[C@@H]2[C@H]2CCCO2)C1=O ZINC000840076156 701955311 /nfs/dbraw/zinc/95/53/11/701955311.db2.gz OQOKAEUYRXLWSC-CABCVRRESA-N 1 2 305.422 1.901 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CC3(CCC3)[C@@H]2[C@H]2CCCO2)C1=O ZINC000840076156 701955314 /nfs/dbraw/zinc/95/53/14/701955314.db2.gz OQOKAEUYRXLWSC-CABCVRRESA-N 1 2 305.422 1.901 20 30 DDEDLO Cn1cc([C@H](O)C[C@@H]2CCC[N@@H+]2Cc2nc(C#N)cs2)cn1 ZINC000811467949 701991696 /nfs/dbraw/zinc/99/16/96/701991696.db2.gz CLVWVSBBWTXKRE-UONOGXRCSA-N 1 2 317.418 1.836 20 30 DDEDLO Cn1cc([C@H](O)C[C@@H]2CCC[N@H+]2Cc2nc(C#N)cs2)cn1 ZINC000811467949 701991699 /nfs/dbraw/zinc/99/16/99/701991699.db2.gz CLVWVSBBWTXKRE-UONOGXRCSA-N 1 2 317.418 1.836 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)N[C@](C)(CCC(=O)OC)C1=O)C(C)C ZINC000811736744 702035141 /nfs/dbraw/zinc/03/51/41/702035141.db2.gz VWZPNDNTXGYTKN-OAHLLOKOSA-N 1 2 311.382 1.104 20 30 DDEDLO C=CC[N@H+](CN1C(=O)N[C@](C)(CCC(=O)OC)C1=O)C(C)C ZINC000811736744 702035147 /nfs/dbraw/zinc/03/51/47/702035147.db2.gz VWZPNDNTXGYTKN-OAHLLOKOSA-N 1 2 311.382 1.104 20 30 DDEDLO C#CCSCCNc1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000840267993 702049378 /nfs/dbraw/zinc/04/93/78/702049378.db2.gz SFBHDZOHWZVKLI-OLZOCXBDSA-N 1 2 306.435 1.462 20 30 DDEDLO C#CCSCCNc1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000840267993 702049385 /nfs/dbraw/zinc/04/93/85/702049385.db2.gz SFBHDZOHWZVKLI-OLZOCXBDSA-N 1 2 306.435 1.462 20 30 DDEDLO CO[C@H]1CC[C@@H](C)[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000879323076 706649477 /nfs/dbraw/zinc/64/94/77/706649477.db2.gz XBHBTHOHKYOGEO-HIFRSBDPSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@H]1CC[C@@H](C)[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000879323076 706649478 /nfs/dbraw/zinc/64/94/78/706649478.db2.gz XBHBTHOHKYOGEO-HIFRSBDPSA-N 1 2 322.430 1.831 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000840768745 702233432 /nfs/dbraw/zinc/23/34/32/702233432.db2.gz PZUZYPWBWIUBLB-SNVBAGLBSA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cccc(Cl)c1[N+](=O)[O-] ZINC000840768745 702233438 /nfs/dbraw/zinc/23/34/38/702233438.db2.gz PZUZYPWBWIUBLB-SNVBAGLBSA-N 1 2 313.741 1.833 20 30 DDEDLO C=CCNC(=O)[C@H](C)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000879451218 706683883 /nfs/dbraw/zinc/68/38/83/706683883.db2.gz ULVSWGFTWMPHIH-HNNXBMFYSA-N 1 2 317.433 1.504 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@H+](Cc3cccc(C#N)c3F)C2)C1 ZINC000879484177 706692683 /nfs/dbraw/zinc/69/26/83/706692683.db2.gz QVLGZCJRIJXIPU-MRXNPFEDSA-N 1 2 317.320 1.591 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@@H+](Cc3cccc(C#N)c3F)C2)C1 ZINC000879484177 706692687 /nfs/dbraw/zinc/69/26/87/706692687.db2.gz QVLGZCJRIJXIPU-MRXNPFEDSA-N 1 2 317.320 1.591 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000844134315 702976134 /nfs/dbraw/zinc/97/61/34/702976134.db2.gz IJWNVIXDUIBRKE-HNNXBMFYSA-N 1 2 309.369 1.774 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccon1)C[C@H](O)c1cccc(C#N)c1 ZINC000844369495 703021359 /nfs/dbraw/zinc/02/13/59/703021359.db2.gz XVEJNIBUFHNMTO-ZDUSSCGKSA-N 1 2 300.318 1.150 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccon1)C[C@H](O)c1cccc(C#N)c1 ZINC000844369495 703021362 /nfs/dbraw/zinc/02/13/62/703021362.db2.gz XVEJNIBUFHNMTO-ZDUSSCGKSA-N 1 2 300.318 1.150 20 30 DDEDLO C=C[C@@H](C)ONC(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000844565571 703044693 /nfs/dbraw/zinc/04/46/93/703044693.db2.gz ZFGOIVIRBKIDBN-LLVKDONJSA-N 1 2 306.366 1.854 20 30 DDEDLO COC/C(C)=C\C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000845094132 703107577 /nfs/dbraw/zinc/10/75/77/703107577.db2.gz LOHWPQZDFKHKPU-PTNGSMBKSA-N 1 2 313.401 1.795 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)NCc1c[nH+]cn1C ZINC000845200342 703127442 /nfs/dbraw/zinc/12/74/42/703127442.db2.gz FTTOKAXFHDLXMA-HNNXBMFYSA-N 1 2 313.361 1.167 20 30 DDEDLO C[Si](C)(C)c1ccc(C[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000846953834 703356968 /nfs/dbraw/zinc/35/69/68/703356968.db2.gz DHDNIFZBRAQRAH-UHFFFAOYSA-N 1 2 315.493 1.790 20 30 DDEDLO Cc1nn(-c2ccccc2F)cc1C=NNC1=[NH+]C[C@H](C)N1 ZINC000848408334 703547334 /nfs/dbraw/zinc/54/73/34/703547334.db2.gz JYMGJGVRRZJOSZ-JTQLQIEISA-N 1 2 300.341 1.591 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)OC[C@H]2Cc2ccccc2)CC1 ZINC000848475038 703554598 /nfs/dbraw/zinc/55/45/98/703554598.db2.gz JCRXVFAWAIKRNZ-QGZVFWFLSA-N 1 2 313.401 1.258 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CCCC[C@@H]2[NH+]2CCOCC2)co1 ZINC000866671407 706759944 /nfs/dbraw/zinc/75/99/44/706759944.db2.gz RRJFRVPJIRXENN-CABCVRRESA-N 1 2 303.362 1.524 20 30 DDEDLO N#CCOc1ccc(C[N@H+]2CCC[C@H]3OCCNC(=O)[C@H]32)cc1 ZINC000879718237 706768676 /nfs/dbraw/zinc/76/86/76/706768676.db2.gz KZGDOAMSOQZPCF-CVEARBPZSA-N 1 2 315.373 1.068 20 30 DDEDLO N#CCOc1ccc(C[N@@H+]2CCC[C@H]3OCCNC(=O)[C@H]32)cc1 ZINC000879718237 706768679 /nfs/dbraw/zinc/76/86/79/706768679.db2.gz KZGDOAMSOQZPCF-CVEARBPZSA-N 1 2 315.373 1.068 20 30 DDEDLO N#CCOc1ccc(C[N@H+]2CCC[C@H]3OCCNC(=O)[C@@H]32)cc1 ZINC000879718239 706768772 /nfs/dbraw/zinc/76/87/72/706768772.db2.gz KZGDOAMSOQZPCF-HZPDHXFCSA-N 1 2 315.373 1.068 20 30 DDEDLO N#CCOc1ccc(C[N@@H+]2CCC[C@H]3OCCNC(=O)[C@@H]32)cc1 ZINC000879718239 706768774 /nfs/dbraw/zinc/76/87/74/706768774.db2.gz KZGDOAMSOQZPCF-HZPDHXFCSA-N 1 2 315.373 1.068 20 30 DDEDLO CCOC(=O)C1=C(C[N@@H+]2CCC[C@H](CC#N)C2)NC(=O)N[C@@H]1C ZINC000852318826 704010535 /nfs/dbraw/zinc/01/05/35/704010535.db2.gz CCPKQGXUCAEUPT-VXGBXAGGSA-N 1 2 320.393 1.131 20 30 DDEDLO CCOC(=O)C1=C(C[N@H+]2CCC[C@H](CC#N)C2)NC(=O)N[C@@H]1C ZINC000852318826 704010538 /nfs/dbraw/zinc/01/05/38/704010538.db2.gz CCPKQGXUCAEUPT-VXGBXAGGSA-N 1 2 320.393 1.131 20 30 DDEDLO C[C@@H]1C[N@H+](CCN2CCC[C@@](C#N)(c3ccccn3)C2)CCO1 ZINC000852332668 704014281 /nfs/dbraw/zinc/01/42/81/704014281.db2.gz UUFUEHTWGUUYQN-AEFFLSMTSA-N 1 2 314.433 1.659 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN2CCC[C@@](C#N)(c3ccccn3)C2)CCO1 ZINC000852332668 704014283 /nfs/dbraw/zinc/01/42/83/704014283.db2.gz UUFUEHTWGUUYQN-AEFFLSMTSA-N 1 2 314.433 1.659 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@H](CO)c1cccc(Cl)c1Cl ZINC000852674471 704097797 /nfs/dbraw/zinc/09/77/97/704097797.db2.gz BYGLNBIIIYCYOW-JOYOIKCWSA-N 1 2 315.200 1.754 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc(OCC(C)(C)O)cc1 ZINC000819457565 704129172 /nfs/dbraw/zinc/12/91/72/704129172.db2.gz WYOPZYPABFBIJP-OAHLLOKOSA-N 1 2 321.421 1.455 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc(OCC(C)(C)O)cc1 ZINC000819457565 704129173 /nfs/dbraw/zinc/12/91/73/704129173.db2.gz WYOPZYPABFBIJP-OAHLLOKOSA-N 1 2 321.421 1.455 20 30 DDEDLO COc1ccc(CNC(=O)/C=C/C[NH+]2CCOCC2)cc1C#N ZINC000866854153 706825990 /nfs/dbraw/zinc/82/59/90/706825990.db2.gz LLLIWKRSTYENPX-NSCUHMNNSA-N 1 2 315.373 1.071 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000858166585 704672663 /nfs/dbraw/zinc/67/26/63/704672663.db2.gz UDIMBJJQSSHUQJ-AWEZNQCLSA-N 1 2 319.405 1.935 20 30 DDEDLO C#Cc1cccnc1NC[C@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000858687821 704745268 /nfs/dbraw/zinc/74/52/68/704745268.db2.gz SSFUQKBOYKYVRR-KRWDZBQOSA-N 1 2 301.390 1.091 20 30 DDEDLO C#Cc1cccnc1NC[C@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000858687821 704745270 /nfs/dbraw/zinc/74/52/70/704745270.db2.gz SSFUQKBOYKYVRR-KRWDZBQOSA-N 1 2 301.390 1.091 20 30 DDEDLO C#CC[C@H](COC)Nc1nc(C)[nH+]c(N[C@@H](CC#C)COC)n1 ZINC000858860363 704765282 /nfs/dbraw/zinc/76/52/82/704765282.db2.gz IVJPHTPRAJORSS-OKILXGFUSA-N 1 2 317.393 1.080 20 30 DDEDLO C#CC[C@H](COC)Nc1nc(N[C@@H](CC#C)COC)nc(C)[nH+]1 ZINC000858860363 704765283 /nfs/dbraw/zinc/76/52/83/704765283.db2.gz IVJPHTPRAJORSS-OKILXGFUSA-N 1 2 317.393 1.080 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@H](CNC(=O)NCCC#N)C2)c1 ZINC000875541276 705423756 /nfs/dbraw/zinc/42/37/56/705423756.db2.gz ZXXKWGBIDWJEJJ-MRXNPFEDSA-N 1 2 316.405 1.409 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@H](CNC(=O)NCCC#N)C2)c1 ZINC000875541276 705423758 /nfs/dbraw/zinc/42/37/58/705423758.db2.gz ZXXKWGBIDWJEJJ-MRXNPFEDSA-N 1 2 316.405 1.409 20 30 DDEDLO C[NH+](C)CCONC(=O)C1(c2ccc(C#N)cc2)CCCC1 ZINC000861857039 705587593 /nfs/dbraw/zinc/58/75/93/705587593.db2.gz RGOVTSGIHRKNQI-UHFFFAOYSA-N 1 2 301.390 1.979 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000826551150 705812198 /nfs/dbraw/zinc/81/21/98/705812198.db2.gz JYJJQQSLNWEZMF-FUHWJXTLSA-N 1 2 318.417 1.249 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000826551150 705812201 /nfs/dbraw/zinc/81/22/01/705812201.db2.gz JYJJQQSLNWEZMF-FUHWJXTLSA-N 1 2 318.417 1.249 20 30 DDEDLO Cc1onc(CC(=O)NC[C@H]2c3ccccc3C[N@H+]2C)c1C#N ZINC000826711304 705854424 /nfs/dbraw/zinc/85/44/24/705854424.db2.gz YYQJVDPWZJAGRN-INIZCTEOSA-N 1 2 310.357 1.700 20 30 DDEDLO Cc1onc(CC(=O)NC[C@H]2c3ccccc3C[N@@H+]2C)c1C#N ZINC000826711304 705854429 /nfs/dbraw/zinc/85/44/29/705854429.db2.gz YYQJVDPWZJAGRN-INIZCTEOSA-N 1 2 310.357 1.700 20 30 DDEDLO N#Cc1cnc(Cl)c(C[NH+]2CCC(OCCCO)CC2)c1 ZINC000876771259 705868264 /nfs/dbraw/zinc/86/82/64/705868264.db2.gz PJLOLCJHNPDJLL-UHFFFAOYSA-N 1 2 309.797 1.970 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2ccc(C#N)c(OC)c2)CC1 ZINC000827157870 705942100 /nfs/dbraw/zinc/94/21/00/705942100.db2.gz KIAMYCGMLCPJKM-UHFFFAOYSA-N 1 2 317.389 1.361 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)Cc1cc(C#N)cnc1Cl ZINC000877484507 706119424 /nfs/dbraw/zinc/11/94/24/706119424.db2.gz CDUUVNYSUNBDEM-LBPRGKRZSA-N 1 2 308.813 1.759 20 30 DDEDLO N#CCC[NH2+]Cc1ccc(S(N)(=O)=O)c(Br)c1 ZINC000877484117 706119672 /nfs/dbraw/zinc/11/96/72/706119672.db2.gz VVOZSKPAWPOKFY-UHFFFAOYSA-N 1 2 318.196 1.100 20 30 DDEDLO C[C@]1(CO)C[N@@H+](Cc2cc(C#N)cnc2Cl)C[C@@]1(C)CO ZINC000877656557 706172062 /nfs/dbraw/zinc/17/20/62/706172062.db2.gz LZMCCKLJQZQULF-GASCZTMLSA-N 1 2 309.797 1.419 20 30 DDEDLO C[C@]1(CO)C[N@H+](Cc2cc(C#N)cnc2Cl)C[C@@]1(C)CO ZINC000877656557 706172066 /nfs/dbraw/zinc/17/20/66/706172066.db2.gz LZMCCKLJQZQULF-GASCZTMLSA-N 1 2 309.797 1.419 20 30 DDEDLO C=C(C)C[C@@H]([NH2+]C1CC(n2cc([N+](=O)[O-])cn2)C1)C(=O)OC ZINC000877689363 706179846 /nfs/dbraw/zinc/17/98/46/706179846.db2.gz FOADBGJEOYTJBN-GCZXYKMCSA-N 1 2 308.338 1.592 20 30 DDEDLO COC(=O)/C=C/c1ccc(C[NH+]2CCN(CCC#N)CC2)o1 ZINC000877748116 706197435 /nfs/dbraw/zinc/19/74/35/706197435.db2.gz RPVJGRYFFZIGAI-AATRIKPKSA-N 1 2 303.362 1.497 20 30 DDEDLO C[C@]12C[N@H+](Cc3cccc(C#N)c3)C[C@@]1(C)CS(=O)(=O)C2 ZINC000877815267 706223340 /nfs/dbraw/zinc/22/33/40/706223340.db2.gz VYMUUTHZHGMUGS-IYBDPMFKSA-N 1 2 304.415 1.815 20 30 DDEDLO C[C@]12C[N@@H+](Cc3cccc(C#N)c3)C[C@@]1(C)CS(=O)(=O)C2 ZINC000877815267 706223341 /nfs/dbraw/zinc/22/33/41/706223341.db2.gz VYMUUTHZHGMUGS-IYBDPMFKSA-N 1 2 304.415 1.815 20 30 DDEDLO CCOC(=O)[C@@H](C)C1C[NH+](Cc2cnc3c(C#N)cnn3c2)C1 ZINC000877829562 706226025 /nfs/dbraw/zinc/22/60/25/706226025.db2.gz WSXGSVRDLSQSCF-NSHDSACASA-N 1 2 313.361 1.232 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(OC)cc1)CN1CC2(COC2)CC1=O ZINC000872566938 707483884 /nfs/dbraw/zinc/48/38/84/707483884.db2.gz ZTXHATMGLYATNA-UHFFFAOYSA-N 1 2 316.401 1.890 20 30 DDEDLO C=CC[N@H+](Cc1ccc(OC)cc1)CN1CC2(COC2)CC1=O ZINC000872566938 707483886 /nfs/dbraw/zinc/48/38/86/707483886.db2.gz ZTXHATMGLYATNA-UHFFFAOYSA-N 1 2 316.401 1.890 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@H](C(C)(C)O)C1 ZINC000880146646 706892326 /nfs/dbraw/zinc/89/23/26/706892326.db2.gz NOVWVXYLWQYOGK-CABCVRRESA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@H](C(C)(C)O)C1 ZINC000880146646 706892329 /nfs/dbraw/zinc/89/23/29/706892329.db2.gz NOVWVXYLWQYOGK-CABCVRRESA-N 1 2 302.374 1.865 20 30 DDEDLO CN(c1cccc(C#N)c1)[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC000880655240 707048982 /nfs/dbraw/zinc/04/89/82/707048982.db2.gz BPAHBSLQZRHFKK-KRWDZBQOSA-N 1 2 323.400 1.951 20 30 DDEDLO CN(c1cccc(C#N)c1)[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC000880655240 707048985 /nfs/dbraw/zinc/04/89/85/707048985.db2.gz BPAHBSLQZRHFKK-KRWDZBQOSA-N 1 2 323.400 1.951 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)C(=O)OCC ZINC000881756525 707354203 /nfs/dbraw/zinc/35/42/03/707354203.db2.gz WYXYGTRSFBVJRK-JHJVBQTASA-N 1 2 305.378 1.538 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNc1ccc(C#N)cc1[N+](=O)[O-] ZINC000872772108 707593579 /nfs/dbraw/zinc/59/35/79/707593579.db2.gz QNELERMSWMFLOT-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNc1ccc(C#N)cc1[N+](=O)[O-] ZINC000872772108 707593582 /nfs/dbraw/zinc/59/35/82/707593582.db2.gz QNELERMSWMFLOT-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO Cc1ccc(NC[C@@]2(CO)COCC3(CCC3)[N@H+]2C)c(C#N)n1 ZINC000882650498 707733212 /nfs/dbraw/zinc/73/32/12/707733212.db2.gz AUXBPCHFTATFHE-QGZVFWFLSA-N 1 2 316.405 1.289 20 30 DDEDLO Cc1ccc(NC[C@@]2(CO)COCC3(CCC3)[N@@H+]2C)c(C#N)n1 ZINC000882650498 707733213 /nfs/dbraw/zinc/73/32/13/707733213.db2.gz AUXBPCHFTATFHE-QGZVFWFLSA-N 1 2 316.405 1.289 20 30 DDEDLO C=CCC[C@@H](NC(=O)C(C)(C)n1c[nH+]c(C)c1)C(=O)OCC ZINC000909272037 712979420 /nfs/dbraw/zinc/97/94/20/712979420.db2.gz AHUOWAWUIQWIFK-CYBMUJFWSA-N 1 2 307.394 1.941 20 30 DDEDLO C#CC[C@H]([NH2+][C@H]1CC[C@@H](C(F)(F)C(=O)OCC)C1)C(=O)OC ZINC000839167564 708032700 /nfs/dbraw/zinc/03/27/00/708032700.db2.gz FOELAJFJKDLXMJ-WOPDTQHZSA-N 1 2 317.332 1.508 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(Cc1ccccc1)C1CC1 ZINC000884066777 708124574 /nfs/dbraw/zinc/12/45/74/708124574.db2.gz ISSIZPCBAWAHBH-HNNXBMFYSA-N 1 2 302.374 1.624 20 30 DDEDLO C/C(=C/C(=O)NCC1(C#N)CCCCC1)C[NH+]1CCOCC1 ZINC000912528908 713030977 /nfs/dbraw/zinc/03/09/77/713030977.db2.gz UQYIKERSWYQMLM-PTNGSMBKSA-N 1 2 305.422 1.855 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)N[C@@H](CO)c1c[nH+]cn1C ZINC000912612438 713050155 /nfs/dbraw/zinc/05/01/55/713050155.db2.gz NMNUSQQQXLENQG-HNNXBMFYSA-N 1 2 312.373 1.301 20 30 DDEDLO C#CC[C@H]1CC[N@@H+](CC(=O)N=c2cc[nH]n2[C@H](C)C2CC2)C1 ZINC000886363077 708749595 /nfs/dbraw/zinc/74/95/95/708749595.db2.gz ZODPFXTWVKHKFQ-KGLIPLIRSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[C@H]1CC[N@H+](CC(=O)N=c2cc[nH]n2[C@H](C)C2CC2)C1 ZINC000886363077 708749596 /nfs/dbraw/zinc/74/95/96/708749596.db2.gz ZODPFXTWVKHKFQ-KGLIPLIRSA-N 1 2 300.406 1.560 20 30 DDEDLO C[C@]1(C#N)CN(C(=O)Cc2ccc(-n3cc[nH+]c3)cc2)CCO1 ZINC000887691909 709104856 /nfs/dbraw/zinc/10/48/56/709104856.db2.gz ASOBVNJJTVYEAY-KRWDZBQOSA-N 1 2 310.357 1.556 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2cc(C#N)ccc2F)CCO1 ZINC000888356934 709287130 /nfs/dbraw/zinc/28/71/30/709287130.db2.gz ZHNBZVIOTCYTGP-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2cc(C#N)ccc2F)CCO1 ZINC000888356934 709287133 /nfs/dbraw/zinc/28/71/33/709287133.db2.gz ZHNBZVIOTCYTGP-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@@H+](Cc1csc(C#N)c1)CC2 ZINC000892135928 710378340 /nfs/dbraw/zinc/37/83/40/710378340.db2.gz VPPSZYZGADVQDZ-UHFFFAOYSA-N 1 2 300.387 1.755 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@H+](Cc1csc(C#N)c1)CC2 ZINC000892135928 710378342 /nfs/dbraw/zinc/37/83/42/710378342.db2.gz VPPSZYZGADVQDZ-UHFFFAOYSA-N 1 2 300.387 1.755 20 30 DDEDLO C[C@@]12C(=O)N(C[N@@H+]3CCC[C@@H](CC#N)C3)C(=O)[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000902312881 710711381 /nfs/dbraw/zinc/71/13/81/710711381.db2.gz VHSGACJONWYYQY-DTFBLWLJSA-N 1 2 313.401 1.767 20 30 DDEDLO C[C@@]12C(=O)N(C[N@H+]3CCC[C@@H](CC#N)C3)C(=O)[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000902312881 710711384 /nfs/dbraw/zinc/71/13/84/710711384.db2.gz VHSGACJONWYYQY-DTFBLWLJSA-N 1 2 313.401 1.767 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](N(C)c2cccc(C#N)c2)C1 ZINC000912254654 711247984 /nfs/dbraw/zinc/24/79/84/711247984.db2.gz FDKMADFDUKKLJM-MRXNPFEDSA-N 1 2 323.400 1.870 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2cc(F)cc(F)c2)no1 ZINC000904088222 711377712 /nfs/dbraw/zinc/37/77/12/711377712.db2.gz QMFGIUPWUVAVEW-LBPRGKRZSA-N 1 2 323.299 1.538 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)CC#N)CC2)c(-c2cccnc2)n1 ZINC000895065426 711393846 /nfs/dbraw/zinc/39/38/46/711393846.db2.gz CWWCGDXGNLJSIZ-UHFFFAOYSA-N 1 2 324.388 1.040 20 30 DDEDLO COC(=O)c1ccc(Cl)c(C[NH2+][C@H]2CCCN(O)C2=O)c1 ZINC000895159447 711436880 /nfs/dbraw/zinc/43/68/80/711436880.db2.gz FSKWPZQWVXFWLC-LBPRGKRZSA-N 1 2 312.753 1.596 20 30 DDEDLO C#CCOCCNC(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC000907343096 712548599 /nfs/dbraw/zinc/54/85/99/712548599.db2.gz AMNNLMVMFHWNKD-UHFFFAOYSA-N 1 2 312.373 1.375 20 30 DDEDLO C#C[C@@H](NC(=O)c1cccn1CC[NH+]1CCOCC1)C(C)C ZINC000907965084 712656704 /nfs/dbraw/zinc/65/67/04/712656704.db2.gz ADUIWUCQLCAZDA-OAHLLOKOSA-N 1 2 303.406 1.208 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000929801162 713692624 /nfs/dbraw/zinc/69/26/24/713692624.db2.gz VZEJYNSVHKRXCO-OAHLLOKOSA-N 1 2 302.374 1.939 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000929801162 713692630 /nfs/dbraw/zinc/69/26/30/713692630.db2.gz VZEJYNSVHKRXCO-OAHLLOKOSA-N 1 2 302.374 1.939 20 30 DDEDLO C[C@]([NH2+]C[C@H](O)CC#N)(C(N)=O)c1cccc(C(F)(F)F)c1 ZINC000930018188 713737075 /nfs/dbraw/zinc/73/70/75/713737075.db2.gz MLLKIAYDFQXVCV-DGCLKSJQSA-N 1 2 315.295 1.270 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000930019870 713737607 /nfs/dbraw/zinc/73/76/07/713737607.db2.gz XWKSFEBNFGCKHZ-ZDUSSCGKSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000930019870 713737609 /nfs/dbraw/zinc/73/76/09/713737609.db2.gz XWKSFEBNFGCKHZ-ZDUSSCGKSA-N 1 2 302.378 1.709 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@H](C)[N@@H+]2Cc2cncc(C#N)c2)O1 ZINC000930352620 713812043 /nfs/dbraw/zinc/81/20/43/713812043.db2.gz AUPHPLUTROCLPL-SRMUXQRQSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@H](C)[N@H+]2Cc2cncc(C#N)c2)O1 ZINC000930352620 713812046 /nfs/dbraw/zinc/81/20/46/713812046.db2.gz AUPHPLUTROCLPL-SRMUXQRQSA-N 1 2 315.373 1.637 20 30 DDEDLO Cc1nc2sccn2c(=O)c1CC[N@@H+]1CCC[C@H](C#N)C1 ZINC000932155136 714272971 /nfs/dbraw/zinc/27/29/71/714272971.db2.gz XCERDYHOESJVLR-GFCCVEGCSA-N 1 2 302.403 1.843 20 30 DDEDLO Cc1nc2sccn2c(=O)c1CC[N@H+]1CCC[C@H](C#N)C1 ZINC000932155136 714272972 /nfs/dbraw/zinc/27/29/72/714272972.db2.gz XCERDYHOESJVLR-GFCCVEGCSA-N 1 2 302.403 1.843 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(C)c(C(=O)OC)o2)C1 ZINC000923549014 714397048 /nfs/dbraw/zinc/39/70/48/714397048.db2.gz DMYSYMDZROTVER-GFCCVEGCSA-N 1 2 304.346 1.202 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(C)c(C(=O)OC)o2)C1 ZINC000923549014 714397049 /nfs/dbraw/zinc/39/70/49/714397049.db2.gz DMYSYMDZROTVER-GFCCVEGCSA-N 1 2 304.346 1.202 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(=O)c3ccccc3n2C)C1 ZINC000923554091 714399529 /nfs/dbraw/zinc/39/95/29/714399529.db2.gz MNBCUCGMFAHBHK-AWEZNQCLSA-N 1 2 323.396 1.366 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(=O)c3ccccc3n2C)C1 ZINC000923554091 714399532 /nfs/dbraw/zinc/39/95/32/714399532.db2.gz MNBCUCGMFAHBHK-AWEZNQCLSA-N 1 2 323.396 1.366 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc(C3OCCO3)s2)C1 ZINC000923561728 714404458 /nfs/dbraw/zinc/40/44/58/714404458.db2.gz KJAGKBUPXBWQJA-LLVKDONJSA-N 1 2 321.402 1.016 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc(C3OCCO3)s2)C1 ZINC000923561728 714404460 /nfs/dbraw/zinc/40/44/60/714404460.db2.gz KJAGKBUPXBWQJA-LLVKDONJSA-N 1 2 321.402 1.016 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)Cn2ccc(=O)c3ccccc32)C1 ZINC000923580915 714415268 /nfs/dbraw/zinc/41/52/68/714415268.db2.gz FLZNWRAQKPJAGQ-OAHLLOKOSA-N 1 2 323.396 1.215 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)Cn2ccc(=O)c3ccccc32)C1 ZINC000923580915 714415270 /nfs/dbraw/zinc/41/52/70/714415270.db2.gz FLZNWRAQKPJAGQ-OAHLLOKOSA-N 1 2 323.396 1.215 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932886435 714430766 /nfs/dbraw/zinc/43/07/66/714430766.db2.gz BDWWRAJLUFKOEL-MNOVXSKESA-N 1 2 322.331 1.193 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](CC[C@@H]3CCOC3=O)CCO2)cc1 ZINC000933629093 714634419 /nfs/dbraw/zinc/63/44/19/714634419.db2.gz RNLFNDBKKFPNAD-CVEARBPZSA-N 1 2 300.358 1.885 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](CC[C@@H]3CCOC3=O)CCO2)cc1 ZINC000933629093 714634423 /nfs/dbraw/zinc/63/44/23/714634423.db2.gz RNLFNDBKKFPNAD-CVEARBPZSA-N 1 2 300.358 1.885 20 30 DDEDLO CN(Cc1ccco1)C(=O)C[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000933654391 714639955 /nfs/dbraw/zinc/63/99/55/714639955.db2.gz UXBIOXUHUVTOGK-UHFFFAOYSA-N 1 2 318.373 1.576 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCOC[C@@H]1C[C@H]1CCCO1 ZINC000933674978 714645575 /nfs/dbraw/zinc/64/55/75/714645575.db2.gz FPUUFXITMWYLBS-LSDHHAIUSA-N 1 2 309.410 1.018 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCOC[C@@H]1C[C@H]1CCCO1 ZINC000933674978 714645576 /nfs/dbraw/zinc/64/55/76/714645576.db2.gz FPUUFXITMWYLBS-LSDHHAIUSA-N 1 2 309.410 1.018 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000934513706 714839993 /nfs/dbraw/zinc/83/99/93/714839993.db2.gz SLXMEWPQDPYPAT-KRWDZBQOSA-N 1 2 322.412 1.976 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000934513706 714839994 /nfs/dbraw/zinc/83/99/94/714839994.db2.gz SLXMEWPQDPYPAT-KRWDZBQOSA-N 1 2 322.412 1.976 20 30 DDEDLO CC#CCC[N@@H+]1C[C@@H]2[C@](C(F)(F)F)(C1)CCCS2(=O)=O ZINC000934785214 714901427 /nfs/dbraw/zinc/90/14/27/714901427.db2.gz PRYQCHUDJKPPLE-VXGBXAGGSA-N 1 2 309.353 1.841 20 30 DDEDLO CC#CCC[N@H+]1C[C@@H]2[C@](C(F)(F)F)(C1)CCCS2(=O)=O ZINC000934785214 714901429 /nfs/dbraw/zinc/90/14/29/714901429.db2.gz PRYQCHUDJKPPLE-VXGBXAGGSA-N 1 2 309.353 1.841 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2scc3c2OCCO3)CC1 ZINC000957050180 715723359 /nfs/dbraw/zinc/72/33/59/715723359.db2.gz ZKMLUQDSBZDWGW-UHFFFAOYSA-N 1 2 308.403 1.853 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC000938654417 715939619 /nfs/dbraw/zinc/93/96/19/715939619.db2.gz YQPIWOWYQQABKF-AWEZNQCLSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC000938779965 715999597 /nfs/dbraw/zinc/99/95/97/715999597.db2.gz MBPKKYKQCXJFFW-AWEZNQCLSA-N 1 2 316.405 1.299 20 30 DDEDLO N#Cc1ccccc1CN1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC000957899425 716221423 /nfs/dbraw/zinc/22/14/23/716221423.db2.gz APPVFWLBSZWXIF-UHFFFAOYSA-N 1 2 309.373 1.099 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2cnc(C)s2)[C@H](O)C1 ZINC000957923072 716232860 /nfs/dbraw/zinc/23/28/60/716232860.db2.gz TUUPCKADQYNSLV-GHMZBOCLSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2cnc(C)s2)[C@H](O)C1 ZINC000957923072 716232865 /nfs/dbraw/zinc/23/28/65/716232865.db2.gz TUUPCKADQYNSLV-GHMZBOCLSA-N 1 2 315.826 1.227 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cccc3nc(C)[nH]c32)C1 ZINC000958571126 716631763 /nfs/dbraw/zinc/63/17/63/716631763.db2.gz RSIOSDQNERMKLS-SWLSCSKDSA-N 1 2 314.389 1.080 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cccc3nc(C)[nH]c32)C1 ZINC000958571126 716631767 /nfs/dbraw/zinc/63/17/67/716631767.db2.gz RSIOSDQNERMKLS-SWLSCSKDSA-N 1 2 314.389 1.080 20 30 DDEDLO N#CCN1CC[C@H]([C@H]2CCCN(C(=O)CCc3[nH]cc[nH+]3)C2)C1 ZINC000961161118 716842615 /nfs/dbraw/zinc/84/26/15/716842615.db2.gz UENJHDWSIGOWLV-GJZGRUSLSA-N 1 2 315.421 1.426 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nonc4C)C[C@H]32)cc1 ZINC000961445274 716949367 /nfs/dbraw/zinc/94/93/67/716949367.db2.gz UMZQVBPRSZQCCO-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nonc4C)C[C@H]32)cc1 ZINC000961445274 716949369 /nfs/dbraw/zinc/94/93/69/716949369.db2.gz UMZQVBPRSZQCCO-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000940851555 716993241 /nfs/dbraw/zinc/99/32/41/716993241.db2.gz YEVWFESWWXNPIR-UONOGXRCSA-N 1 2 315.421 1.186 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000941306429 717151732 /nfs/dbraw/zinc/15/17/32/717151732.db2.gz PZGQPHGKUZLRRB-GFCCVEGCSA-N 1 2 324.812 1.379 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC[C@H]3CCC)CC2)C1 ZINC000941465084 717182247 /nfs/dbraw/zinc/18/22/47/717182247.db2.gz NBZVHRUPGUJYRE-SJLPKXTDSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3CC4(CC4)C3)CC2)C1 ZINC000941563307 717211164 /nfs/dbraw/zinc/21/11/64/717211164.db2.gz FIPRRBLRBIRHGO-UHFFFAOYSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CC3CCCCCC3)CC2)C1 ZINC000941579439 717217217 /nfs/dbraw/zinc/21/72/17/717217217.db2.gz BBTGMPVOYPQPMH-UHFFFAOYSA-N 1 2 317.477 1.809 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cc(C)on4)C[C@H]32)nc1 ZINC000962323885 717405946 /nfs/dbraw/zinc/40/59/46/717405946.db2.gz MIBQUEBEYFIAGE-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cc(C)on4)C[C@H]32)nc1 ZINC000962323885 717405948 /nfs/dbraw/zinc/40/59/48/717405948.db2.gz MIBQUEBEYFIAGE-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC000945438984 718462089 /nfs/dbraw/zinc/46/20/89/718462089.db2.gz LYSUGDNHBRLXNW-KGLIPLIRSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000966661098 718619844 /nfs/dbraw/zinc/61/98/44/718619844.db2.gz FJMKDGUBJFGKON-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876667 718690521 /nfs/dbraw/zinc/69/05/21/718690521.db2.gz YBHLYVVEPDWKCK-PWSUYJOCSA-N 1 2 304.419 1.489 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876667 718690523 /nfs/dbraw/zinc/69/05/23/718690523.db2.gz YBHLYVVEPDWKCK-PWSUYJOCSA-N 1 2 304.419 1.489 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2snnc2CC)C1 ZINC000967278294 718812364 /nfs/dbraw/zinc/81/23/64/718812364.db2.gz CHQRVBIRCVTNRU-DGCLKSJQSA-N 1 2 306.435 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2snnc2CC)C1 ZINC000967278294 718812366 /nfs/dbraw/zinc/81/23/66/718812366.db2.gz CHQRVBIRCVTNRU-DGCLKSJQSA-N 1 2 306.435 1.564 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC000967438885 718897033 /nfs/dbraw/zinc/89/70/33/718897033.db2.gz VYVLKEJPCAGWOK-NXEZZACHSA-N 1 2 315.295 1.767 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC000967438885 718897036 /nfs/dbraw/zinc/89/70/36/718897036.db2.gz VYVLKEJPCAGWOK-NXEZZACHSA-N 1 2 315.295 1.767 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1)c1csnn1 ZINC000968442237 719589722 /nfs/dbraw/zinc/58/97/22/719589722.db2.gz UKHWPCCALNWOGZ-GXSJLCMTSA-N 1 2 316.390 1.303 20 30 DDEDLO C#CCN1CC[C@H](C)[C@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)C1 ZINC000968477759 719607780 /nfs/dbraw/zinc/60/77/80/719607780.db2.gz WGJPAAYVMGQUTK-WMLDXEAASA-N 1 2 323.400 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968636257 719706276 /nfs/dbraw/zinc/70/62/76/719706276.db2.gz YPZCDEBPOHIJSL-LRDDRELGSA-N 1 2 313.405 1.974 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968636257 719706282 /nfs/dbraw/zinc/70/62/82/719706282.db2.gz YPZCDEBPOHIJSL-LRDDRELGSA-N 1 2 313.405 1.974 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1 ZINC000968924401 719874719 /nfs/dbraw/zinc/87/47/19/719874719.db2.gz GFTKLIAGAAUKAA-GFCCVEGCSA-N 1 2 317.418 1.530 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3(C)CC=CC3)CC2)C1 ZINC000949464138 720105839 /nfs/dbraw/zinc/10/58/39/720105839.db2.gz WMTPYYDUWPUQRN-UHFFFAOYSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3(C)CC=CC3)CC2)C1 ZINC000949464138 720105844 /nfs/dbraw/zinc/10/58/44/720105844.db2.gz WMTPYYDUWPUQRN-UHFFFAOYSA-N 1 2 302.418 1.669 20 30 DDEDLO CCN(C(=O)c1c[nH]c(C#N)c1)C1C[NH+](C[C@H]2CC[C@H](C)O2)C1 ZINC000949918670 720415733 /nfs/dbraw/zinc/41/57/33/720415733.db2.gz BBORCHJDURRDNH-BLLLJJGKSA-N 1 2 316.405 1.600 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+](CCc2cnn(C)c2)CC1 ZINC000950051528 720468636 /nfs/dbraw/zinc/46/86/36/720468636.db2.gz ONZGVNVPSZPQGM-KRWDZBQOSA-N 1 2 304.438 1.709 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)c2ccn3c(C)c[nH+]c3c2)C1 ZINC000950096888 720488836 /nfs/dbraw/zinc/48/88/36/720488836.db2.gz GTYKBXZQJSQODY-UHFFFAOYSA-N 1 2 310.401 1.812 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([NH2+]Cc3nc(COC)no3)C2)C1 ZINC000969879491 720545488 /nfs/dbraw/zinc/54/54/88/720545488.db2.gz YMFMOXZSNVVEIK-GFCCVEGCSA-N 1 2 320.393 1.263 20 30 DDEDLO CC#CC[NH+]1CC([C@@H](C)NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC000970081261 720620693 /nfs/dbraw/zinc/62/06/93/720620693.db2.gz DITDDCYOHKMQHM-CYBMUJFWSA-N 1 2 323.396 1.602 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)N(CC(C)C)C2)C1 ZINC000950462770 720643635 /nfs/dbraw/zinc/64/36/35/720643635.db2.gz ONXCXNVZGMZHOG-HNNXBMFYSA-N 1 2 321.465 1.600 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(C(C)(C)C)nn2)C1 ZINC000950484188 720653391 /nfs/dbraw/zinc/65/33/91/720653391.db2.gz KUOVUGBINHLUDG-UHFFFAOYSA-N 1 2 303.410 1.203 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC000950609542 720703012 /nfs/dbraw/zinc/70/30/12/720703012.db2.gz WKWRJDVWYKSIOR-UHFFFAOYSA-N 1 2 304.394 1.109 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc3c(c2)nnn3C)C1 ZINC000950894681 720816929 /nfs/dbraw/zinc/81/69/29/720816929.db2.gz UAKZLBMTOJLMGV-UHFFFAOYSA-N 1 2 314.393 1.086 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnc(OC)nc2)C1 ZINC000970682089 720886000 /nfs/dbraw/zinc/88/60/00/720886000.db2.gz RGPFSEXACMJFCC-JTQLQIEISA-N 1 2 310.785 1.288 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000951531705 721061488 /nfs/dbraw/zinc/06/14/88/721061488.db2.gz NUXOFEOKVPDROH-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000951535673 721061957 /nfs/dbraw/zinc/06/19/57/721061957.db2.gz XSYRTCXTCKLUDP-ZDUSSCGKSA-N 1 2 304.394 1.203 20 30 DDEDLO CO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971159061 721146003 /nfs/dbraw/zinc/14/60/03/721146003.db2.gz XHNJLVGCMODARH-WBMJQRKESA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971159061 721146006 /nfs/dbraw/zinc/14/60/06/721146006.db2.gz XHNJLVGCMODARH-WBMJQRKESA-N 1 2 319.380 1.765 20 30 DDEDLO CC(C)n1ccc(C[N@H+](C)[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000971211092 721180406 /nfs/dbraw/zinc/18/04/06/721180406.db2.gz NFDOSPFYEQMETB-UKRRQHHQSA-N 1 2 303.410 1.656 20 30 DDEDLO CC(C)n1ccc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000971211092 721180410 /nfs/dbraw/zinc/18/04/10/721180410.db2.gz NFDOSPFYEQMETB-UKRRQHHQSA-N 1 2 303.410 1.656 20 30 DDEDLO CCc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)cs1 ZINC000971211638 721181007 /nfs/dbraw/zinc/18/10/07/721181007.db2.gz UCIHUCDLBMHTIP-DGCLKSJQSA-N 1 2 306.435 1.898 20 30 DDEDLO CCc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)cs1 ZINC000971211638 721181011 /nfs/dbraw/zinc/18/10/11/721181011.db2.gz UCIHUCDLBMHTIP-DGCLKSJQSA-N 1 2 306.435 1.898 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000951950702 721251996 /nfs/dbraw/zinc/25/19/96/721251996.db2.gz ITSKROKHTGFBQF-ZDUSSCGKSA-N 1 2 316.405 1.416 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)co1 ZINC000971363708 721258656 /nfs/dbraw/zinc/25/86/56/721258656.db2.gz CUUMNLPVPXNOJL-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)co1 ZINC000971363708 721258660 /nfs/dbraw/zinc/25/86/60/721258660.db2.gz CUUMNLPVPXNOJL-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001023628146 735359629 /nfs/dbraw/zinc/35/96/29/735359629.db2.gz YQEZAXZPRKBXKG-CYBMUJFWSA-N 1 2 324.384 1.410 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001023628146 735359632 /nfs/dbraw/zinc/35/96/32/735359632.db2.gz YQEZAXZPRKBXKG-CYBMUJFWSA-N 1 2 324.384 1.410 20 30 DDEDLO C[C@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1ccncc1C#N ZINC001098153452 732318323 /nfs/dbraw/zinc/31/83/23/732318323.db2.gz SNRLNMVNUGVTJC-GFCCVEGCSA-N 1 2 320.356 1.253 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125314626 732697635 /nfs/dbraw/zinc/69/76/35/732697635.db2.gz BTYITOYAWLQJNL-AWEZNQCLSA-N 1 2 320.437 1.643 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001027814278 738688556 /nfs/dbraw/zinc/68/85/56/738688556.db2.gz LCVLKIKPDGMEPU-GXTWGEPZSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CCC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001027814278 738688558 /nfs/dbraw/zinc/68/85/58/738688558.db2.gz LCVLKIKPDGMEPU-GXTWGEPZSA-N 1 2 324.388 1.632 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@H+](Cc3ncccn3)CC[C@H]21 ZINC001021584966 733342911 /nfs/dbraw/zinc/34/29/11/733342911.db2.gz NGXMQNAEZOANAG-DLBZAZTESA-N 1 2 324.428 1.703 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@@H+](Cc3ncccn3)CC[C@H]21 ZINC001021584966 733342913 /nfs/dbraw/zinc/34/29/13/733342913.db2.gz NGXMQNAEZOANAG-DLBZAZTESA-N 1 2 324.428 1.703 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCCC[C@@H]1[C@H]1CCN(CC#N)C1 ZINC001039256032 733383027 /nfs/dbraw/zinc/38/30/27/733383027.db2.gz HNCJMZAOZQXTMH-GOEBONIOSA-N 1 2 315.421 1.189 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CCC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001027836339 738714351 /nfs/dbraw/zinc/71/43/51/738714351.db2.gz QNPDKBGEUKLTMQ-SWLSCSKDSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CCC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001027836339 738714353 /nfs/dbraw/zinc/71/43/53/738714353.db2.gz QNPDKBGEUKLTMQ-SWLSCSKDSA-N 1 2 324.388 1.632 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccnn1C ZINC001111490193 734529036 /nfs/dbraw/zinc/52/90/36/734529036.db2.gz XKMGPVODITVIIZ-XOUADPBQSA-N 1 2 318.421 1.233 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccnn1C ZINC001111490193 734529040 /nfs/dbraw/zinc/52/90/40/734529040.db2.gz XKMGPVODITVIIZ-XOUADPBQSA-N 1 2 318.421 1.233 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCCC1 ZINC001104402079 734701375 /nfs/dbraw/zinc/70/13/75/734701375.db2.gz RQKOBWGWNUISPZ-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO N#CCNCC1(CCNC(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC001167600999 734800278 /nfs/dbraw/zinc/80/02/78/734800278.db2.gz BSYXPYGWEQXRJK-UHFFFAOYSA-N 1 2 323.400 1.886 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)cc3C)[C@H]2C1 ZINC001083254441 734908136 /nfs/dbraw/zinc/90/81/36/734908136.db2.gz SEQGBULCECTBEX-DLBZAZTESA-N 1 2 316.376 1.683 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)cc3C)[C@H]2C1 ZINC001083254441 734908139 /nfs/dbraw/zinc/90/81/39/734908139.db2.gz SEQGBULCECTBEX-DLBZAZTESA-N 1 2 316.376 1.683 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@@H]2CNC(=O)c2ncn[nH]2)cc1 ZINC001024718531 736044265 /nfs/dbraw/zinc/04/42/65/736044265.db2.gz UXAXURLARQAPJV-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@@H]2CNC(=O)c2ncn[nH]2)cc1 ZINC001024718531 736044268 /nfs/dbraw/zinc/04/42/68/736044268.db2.gz UXAXURLARQAPJV-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO Cc1nc(NCC[C@@H]2CCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001111593649 736135396 /nfs/dbraw/zinc/13/53/96/736135396.db2.gz LNMGFRKACSLKGH-CYBMUJFWSA-N 1 2 319.434 1.692 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(C)n(C)n3)[C@@H]2C1 ZINC001075594879 738927560 /nfs/dbraw/zinc/92/75/60/738927560.db2.gz SPRSCHHRNPOGOV-GXTWGEPZSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(C)n(C)n3)[C@@H]2C1 ZINC001075594879 738927563 /nfs/dbraw/zinc/92/75/63/738927563.db2.gz SPRSCHHRNPOGOV-GXTWGEPZSA-N 1 2 308.813 1.627 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCC[C@H]1[C@H]1CCCN1CC#N ZINC001025293810 736410905 /nfs/dbraw/zinc/41/09/05/736410905.db2.gz USNLOUGIZZPBAO-CVEARBPZSA-N 1 2 315.421 1.630 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCc3ccc(C)o3)[C@H]2C1 ZINC001083283140 736753430 /nfs/dbraw/zinc/75/34/30/736753430.db2.gz LFPISENOWCLWFT-JKSUJKDBSA-N 1 2 302.374 1.065 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCc3ccc(C)o3)[C@H]2C1 ZINC001083283140 736753431 /nfs/dbraw/zinc/75/34/31/736753431.db2.gz LFPISENOWCLWFT-JKSUJKDBSA-N 1 2 302.374 1.065 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)cc[nH+]1 ZINC001058675924 737065936 /nfs/dbraw/zinc/06/59/36/737065936.db2.gz CXMAFHWSCWGXCJ-JSGCOSHPSA-N 1 2 301.394 1.620 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](Nc1cc[nH+]c(C)n1)C(C)C ZINC001105224696 737632025 /nfs/dbraw/zinc/63/20/25/737632025.db2.gz JWKAYAORVVYIRP-CQSZACIVSA-N 1 2 304.394 1.378 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H]1CNC(=O)c1cnco1 ZINC001028057195 738975099 /nfs/dbraw/zinc/97/50/99/738975099.db2.gz FFDBOSSJTWEIMW-OAHLLOKOSA-N 1 2 310.357 1.941 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnco1 ZINC001028057195 738975102 /nfs/dbraw/zinc/97/51/02/738975102.db2.gz FFDBOSSJTWEIMW-OAHLLOKOSA-N 1 2 310.357 1.941 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)C(C)C)C2)nn1 ZINC001105337230 738037949 /nfs/dbraw/zinc/03/79/49/738037949.db2.gz WWNBTVMZLHOFAG-CYBMUJFWSA-N 1 2 303.410 1.066 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)C3CCCC3)C2)nn1 ZINC001105359630 739160878 /nfs/dbraw/zinc/16/08/78/739160878.db2.gz PVARRJMJGMLAIL-CYBMUJFWSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1nc(-c2ccsc2)no1 ZINC001126343572 739250688 /nfs/dbraw/zinc/25/06/88/739250688.db2.gz BJIOJXASCPCWOX-UHFFFAOYSA-N 1 2 318.402 1.807 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001035370933 751435677 /nfs/dbraw/zinc/43/56/77/751435677.db2.gz QSXITNAKXOJMOL-KGLIPLIRSA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001035370933 751435680 /nfs/dbraw/zinc/43/56/80/751435680.db2.gz QSXITNAKXOJMOL-KGLIPLIRSA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)cc1 ZINC001028311135 739337167 /nfs/dbraw/zinc/33/71/67/739337167.db2.gz GJRDPSLQYATLGF-AWEZNQCLSA-N 1 2 321.808 1.732 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)cc1 ZINC001028311135 739337169 /nfs/dbraw/zinc/33/71/69/739337169.db2.gz GJRDPSLQYATLGF-AWEZNQCLSA-N 1 2 321.808 1.732 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1nc(CCC(C)C)no1 ZINC001126357362 739364259 /nfs/dbraw/zinc/36/42/59/739364259.db2.gz YFKXBEOLYKYGOE-UHFFFAOYSA-N 1 2 306.410 1.668 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)nnc2C)C1 ZINC001035382150 751452360 /nfs/dbraw/zinc/45/23/60/751452360.db2.gz YSNPWRCFGQWXMA-AWEZNQCLSA-N 1 2 304.394 1.100 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)nnc2C)C1 ZINC001035382150 751452363 /nfs/dbraw/zinc/45/23/63/751452363.db2.gz YSNPWRCFGQWXMA-AWEZNQCLSA-N 1 2 304.394 1.100 20 30 DDEDLO Cc1nc(N(C)CCN(C)C(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001105372095 739714519 /nfs/dbraw/zinc/71/45/19/739714519.db2.gz SMWNAAIHVIEODT-GFCCVEGCSA-N 1 2 315.421 1.718 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2sc(C)cc2C)C1 ZINC001035380355 751477467 /nfs/dbraw/zinc/47/74/67/751477467.db2.gz HUSPZTBWSXOTDE-CQSZACIVSA-N 1 2 306.431 1.819 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2sc(C)cc2C)C1 ZINC001035380355 751477469 /nfs/dbraw/zinc/47/74/69/751477469.db2.gz HUSPZTBWSXOTDE-CQSZACIVSA-N 1 2 306.431 1.819 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3oc(C)nc3c2)C1 ZINC001035407588 751490332 /nfs/dbraw/zinc/49/03/32/751490332.db2.gz ALRDNQHDFIBWQK-AWEZNQCLSA-N 1 2 315.373 1.753 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3oc(C)nc3c2)C1 ZINC001035407588 751490334 /nfs/dbraw/zinc/49/03/34/751490334.db2.gz ALRDNQHDFIBWQK-AWEZNQCLSA-N 1 2 315.373 1.753 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@H](Nc2ccc(C#N)nc2)C1 ZINC001059088006 739903312 /nfs/dbraw/zinc/90/33/12/739903312.db2.gz KEBLQCROLDPPIG-WFASDCNBSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@H](Nc2ccc(C#N)nc2)C1 ZINC001059088006 739903315 /nfs/dbraw/zinc/90/33/15/739903315.db2.gz KEBLQCROLDPPIG-WFASDCNBSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(F)c(F)cc2F)C1 ZINC001035488583 751543010 /nfs/dbraw/zinc/54/30/10/751543010.db2.gz TYWBWUCTJYMCTA-JTQLQIEISA-N 1 2 314.307 1.721 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(F)c(F)cc2F)C1 ZINC001035488583 751543013 /nfs/dbraw/zinc/54/30/13/751543013.db2.gz TYWBWUCTJYMCTA-JTQLQIEISA-N 1 2 314.307 1.721 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001035509642 751566162 /nfs/dbraw/zinc/56/61/62/751566162.db2.gz ACLAWANRDNXIJL-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001035509642 751566166 /nfs/dbraw/zinc/56/61/66/751566166.db2.gz ACLAWANRDNXIJL-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2n[nH]cc2F)[C@H]1CC ZINC001087715671 740719325 /nfs/dbraw/zinc/71/93/25/740719325.db2.gz WDDKYHMGYIZWJZ-WDEREUQCSA-N 1 2 300.765 1.884 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2n[nH]cc2F)[C@H]1CC ZINC001087715671 740719326 /nfs/dbraw/zinc/71/93/26/740719326.db2.gz WDDKYHMGYIZWJZ-WDEREUQCSA-N 1 2 300.765 1.884 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3ccc(F)cc32)C1 ZINC001035492306 751575109 /nfs/dbraw/zinc/57/51/09/751575109.db2.gz XBNXKFZFLOMBBZ-ZBFHGGJFSA-N 1 2 304.365 1.468 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3ccc(F)cc32)C1 ZINC001035492306 751575113 /nfs/dbraw/zinc/57/51/13/751575113.db2.gz XBNXKFZFLOMBBZ-ZBFHGGJFSA-N 1 2 304.365 1.468 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001211880450 740772984 /nfs/dbraw/zinc/77/29/84/740772984.db2.gz VGMLUYVELFORQV-KBAYOESNSA-N 1 2 314.429 1.900 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001211880450 740772988 /nfs/dbraw/zinc/77/29/88/740772988.db2.gz VGMLUYVELFORQV-KBAYOESNSA-N 1 2 314.429 1.900 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2nc(C)ncc2Cl)C1 ZINC001035537295 751582371 /nfs/dbraw/zinc/58/23/71/751582371.db2.gz OAEDGRVMJGEEPM-GFCCVEGCSA-N 1 2 324.812 1.445 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2nc(C)ncc2Cl)C1 ZINC001035537295 751582374 /nfs/dbraw/zinc/58/23/74/751582374.db2.gz OAEDGRVMJGEEPM-GFCCVEGCSA-N 1 2 324.812 1.445 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@H]3CCN(CC#N)C[C@H]3C2)c[nH+]1 ZINC001088010899 740945671 /nfs/dbraw/zinc/94/56/71/740945671.db2.gz PLFIRYGCUAOWSZ-CABCVRRESA-N 1 2 315.421 1.231 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3(C(C)C)CC3)C2)nn1 ZINC001098716220 740985842 /nfs/dbraw/zinc/98/58/42/740985842.db2.gz UVNYXRXMVNRHPH-OAHLLOKOSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ncoc2C2CC2)C1 ZINC001035569797 751623484 /nfs/dbraw/zinc/62/34/84/751623484.db2.gz KPSPAWVREBLEMR-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ncoc2C2CC2)C1 ZINC001035569797 751623489 /nfs/dbraw/zinc/62/34/89/751623489.db2.gz KPSPAWVREBLEMR-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@@H](Nc3cc[nH+]c(C)n3)C2)cn1 ZINC001059683432 741539262 /nfs/dbraw/zinc/53/92/62/741539262.db2.gz MTENDJYPSLTEDK-GASCZTMLSA-N 1 2 307.357 1.534 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@@H](Nc3cc[nH+]c(C)n3)C2)nc1 ZINC001059683595 741539468 /nfs/dbraw/zinc/53/94/68/741539468.db2.gz WHDAVXLDLDVREP-OKILXGFUSA-N 1 2 307.357 1.534 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCOC2)[C@H]1C ZINC001088488063 741601066 /nfs/dbraw/zinc/60/10/66/741601066.db2.gz RKXKWGVGCNTKJI-UTUOFQBUSA-N 1 2 317.227 1.511 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCOC2)[C@H]1C ZINC001088488063 741601067 /nfs/dbraw/zinc/60/10/67/741601067.db2.gz RKXKWGVGCNTKJI-UTUOFQBUSA-N 1 2 317.227 1.511 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(F)cc2F)C1 ZINC001035595532 751652601 /nfs/dbraw/zinc/65/26/01/751652601.db2.gz OLLJBOMOTMDAON-CYBMUJFWSA-N 1 2 308.328 1.419 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(F)cc2F)C1 ZINC001035595532 751652605 /nfs/dbraw/zinc/65/26/05/751652605.db2.gz OLLJBOMOTMDAON-CYBMUJFWSA-N 1 2 308.328 1.419 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2cncn2)c1 ZINC001032618290 751660388 /nfs/dbraw/zinc/66/03/88/751660388.db2.gz QMUYGLXYQKMYMC-IRXDYDNUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2cncn2)c1 ZINC001032618290 751660392 /nfs/dbraw/zinc/66/03/92/751660392.db2.gz QMUYGLXYQKMYMC-IRXDYDNUSA-N 1 2 321.384 1.189 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1OC ZINC001212029950 741948685 /nfs/dbraw/zinc/94/86/85/741948685.db2.gz NLQANIJAOFPEFL-HZPDHXFCSA-N 1 2 322.380 1.344 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1OC ZINC001212029950 741948688 /nfs/dbraw/zinc/94/86/88/741948688.db2.gz NLQANIJAOFPEFL-HZPDHXFCSA-N 1 2 322.380 1.344 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CCC(C)C)nn1 ZINC001032624707 751701041 /nfs/dbraw/zinc/70/10/41/751701041.db2.gz SLRHAMOMYCHPIO-GJZGRUSLSA-N 1 2 315.421 1.246 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CCC(C)C)nn1 ZINC001032624707 751701044 /nfs/dbraw/zinc/70/10/44/751701044.db2.gz SLRHAMOMYCHPIO-GJZGRUSLSA-N 1 2 315.421 1.246 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001060039534 742258970 /nfs/dbraw/zinc/25/89/70/742258970.db2.gz WKNYKIRVHAFTJL-CQSZACIVSA-N 1 2 324.388 1.500 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2nccc3ccsc32)[C@@H](O)C1 ZINC001083541395 742516506 /nfs/dbraw/zinc/51/65/06/742516506.db2.gz DOVABSAZKQWILC-OLZOCXBDSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2nccc3ccsc32)[C@@H](O)C1 ZINC001083541395 742516508 /nfs/dbraw/zinc/51/65/08/742516508.db2.gz DOVABSAZKQWILC-OLZOCXBDSA-N 1 2 315.398 1.095 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)C3CC3)CC2)C1 ZINC001105680434 742666221 /nfs/dbraw/zinc/66/62/21/742666221.db2.gz CRJGDTYPFZBJFP-UHFFFAOYSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cnn(-c4cc[nH+]cc4)c3)[C@@H]2C1 ZINC001076406354 742709322 /nfs/dbraw/zinc/70/93/22/742709322.db2.gz FPKXNEFFQAXRAD-WMLDXEAASA-N 1 2 321.384 1.047 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cnn(-c4cc[nH+]cc4)c3)[C@@H]2C1 ZINC001076406354 742709326 /nfs/dbraw/zinc/70/93/26/742709326.db2.gz FPKXNEFFQAXRAD-WMLDXEAASA-N 1 2 321.384 1.047 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cnn(-c4ccncc4)c3)[C@@H]2C1 ZINC001076406354 742709335 /nfs/dbraw/zinc/70/93/35/742709335.db2.gz FPKXNEFFQAXRAD-WMLDXEAASA-N 1 2 321.384 1.047 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+]Cc2ncc(C(C)C)o2)C1 ZINC001181618093 743275400 /nfs/dbraw/zinc/27/54/00/743275400.db2.gz LBROQENEQXWMKF-CYBMUJFWSA-N 1 2 307.394 1.691 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NCC[NH2+]Cc1nc(N(C)C)no1 ZINC001128209246 743287672 /nfs/dbraw/zinc/28/76/72/743287672.db2.gz SORNIRBPHCSQJA-UHFFFAOYSA-N 1 2 315.805 1.120 20 30 DDEDLO Cn1ccc(C[NH+]2CCC(NC(=O)c3c[nH]c(C#N)c3)CC2)n1 ZINC001002639307 743302209 /nfs/dbraw/zinc/30/22/09/743302209.db2.gz OSTALSQYLNHVCP-UHFFFAOYSA-N 1 2 312.377 1.014 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCc2cc(C)ccc2O1 ZINC001038511445 743460407 /nfs/dbraw/zinc/46/04/07/743460407.db2.gz NQLSFSPKWRWGDS-AEFFLSMTSA-N 1 2 312.413 1.902 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCc2cc(C)ccc2O1 ZINC001038511445 743460413 /nfs/dbraw/zinc/46/04/13/743460413.db2.gz NQLSFSPKWRWGDS-AEFFLSMTSA-N 1 2 312.413 1.902 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001061175231 743529983 /nfs/dbraw/zinc/52/99/83/743529983.db2.gz GYZVJPFQRIZZMR-ABAIWWIYSA-N 1 2 315.421 1.989 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](C)Cc2ccc3c(c2)OCO3)CC1 ZINC001182409195 743605613 /nfs/dbraw/zinc/60/56/13/743605613.db2.gz YLEQNPYIZXRTJU-AWEZNQCLSA-N 1 2 316.401 1.924 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)o1 ZINC001182415300 743618001 /nfs/dbraw/zinc/61/80/01/743618001.db2.gz XXUYIJXNGPHIDC-CYBMUJFWSA-N 1 2 318.421 1.933 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](OCC)C3CC3)CC2)C1 ZINC001105708492 743619616 /nfs/dbraw/zinc/61/96/16/743619616.db2.gz KWRIVGQTXOMKIS-KRWDZBQOSA-N 1 2 322.449 1.679 20 30 DDEDLO Cc1c[nH]c(CCNC(=O)Cc2c[nH]c3cccc(C#N)c23)[nH+]1 ZINC001182583059 743669795 /nfs/dbraw/zinc/66/97/95/743669795.db2.gz LAPKLXKZPXFGMY-UHFFFAOYSA-N 1 2 307.357 1.973 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1 ZINC001182841859 743737822 /nfs/dbraw/zinc/73/78/22/743737822.db2.gz WKVBGZYACPXTJL-CYBMUJFWSA-N 1 2 306.435 1.997 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001060257587 743743521 /nfs/dbraw/zinc/74/35/21/743743521.db2.gz LDYFVJJYGIZSLV-HNNXBMFYSA-N 1 2 324.388 1.065 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccnn2CC(F)(F)F)C1 ZINC001030486289 744194923 /nfs/dbraw/zinc/19/49/23/744194923.db2.gz OZPCIWJMGQZAIQ-UHFFFAOYSA-N 1 2 302.300 1.436 20 30 DDEDLO C[C@H]1CN(C(=O)CCCn2cc[nH+]c2)CC[C@H]1CNCC#N ZINC001185790330 744286649 /nfs/dbraw/zinc/28/66/49/744286649.db2.gz ZPTJXXLJMYGXKN-GJZGRUSLSA-N 1 2 303.410 1.261 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2coc(C)n2)[C@@H]1C ZINC001088868389 744293589 /nfs/dbraw/zinc/29/35/89/744293589.db2.gz AORMVUPQQFPDJV-FWYOQMDTSA-N 1 2 319.405 1.653 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2coc(C)n2)[C@@H]1C ZINC001088868389 744293591 /nfs/dbraw/zinc/29/35/91/744293591.db2.gz AORMVUPQQFPDJV-FWYOQMDTSA-N 1 2 319.405 1.653 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@H]3CC3(C)C)C2)nn1 ZINC001185915897 744314188 /nfs/dbraw/zinc/31/41/88/744314188.db2.gz MLGVEYWPLIXYNF-HUUCEWRRSA-N 1 2 315.421 1.211 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001185993084 744318924 /nfs/dbraw/zinc/31/89/24/744318924.db2.gz VPUMILMAOIOCPE-HNNXBMFYSA-N 1 2 323.416 1.857 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2ccc(NC(C)=O)cc2)C1 ZINC001030624967 744342770 /nfs/dbraw/zinc/34/27/70/744342770.db2.gz DKLQAZJILFHCNL-UHFFFAOYSA-N 1 2 301.390 1.564 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cn1nccc1C)CC2 ZINC001035807605 751911483 /nfs/dbraw/zinc/91/14/83/751911483.db2.gz MAYKGRURIYWTLC-UHFFFAOYSA-N 1 2 322.840 1.868 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2CCOc3c(F)cccc32)C1 ZINC001030654148 744387114 /nfs/dbraw/zinc/38/71/14/744387114.db2.gz WQWYDOLJTVHSIQ-CQSZACIVSA-N 1 2 302.349 1.516 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001187865762 744622432 /nfs/dbraw/zinc/62/24/32/744622432.db2.gz TVKZZGHGUNINCK-VNHYZAJKSA-N 1 2 319.430 1.214 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001187865762 744622434 /nfs/dbraw/zinc/62/24/34/744622434.db2.gz TVKZZGHGUNINCK-VNHYZAJKSA-N 1 2 319.430 1.214 20 30 DDEDLO Cc1nc(N[C@@H](C)C[C@H](C)NC(=O)CSCC#N)cc[nH+]1 ZINC001089244505 744771694 /nfs/dbraw/zinc/77/16/94/744771694.db2.gz PBKMBHZQVXIWJA-QWRGUYRKSA-N 1 2 307.423 1.737 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)COCCOC)C2 ZINC001110403361 744782775 /nfs/dbraw/zinc/78/27/75/744782775.db2.gz PKXGXUOOPCBSJH-UPJWGTAASA-N 1 2 302.802 1.123 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)COCCOC)C2 ZINC001110403361 744782776 /nfs/dbraw/zinc/78/27/76/744782776.db2.gz PKXGXUOOPCBSJH-UPJWGTAASA-N 1 2 302.802 1.123 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001188854986 744785021 /nfs/dbraw/zinc/78/50/21/744785021.db2.gz AJMSEWPGHHPLLH-GOEBONIOSA-N 1 2 301.390 1.901 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001188854986 744785023 /nfs/dbraw/zinc/78/50/23/744785023.db2.gz AJMSEWPGHHPLLH-GOEBONIOSA-N 1 2 301.390 1.901 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001089251182 744788099 /nfs/dbraw/zinc/78/80/99/744788099.db2.gz UNFUYMBANDUHSC-RYUDHWBXSA-N 1 2 320.441 1.907 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001188999383 744807116 /nfs/dbraw/zinc/80/71/16/744807116.db2.gz MLINLRMCSHFLIE-UONOGXRCSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001188999383 744807118 /nfs/dbraw/zinc/80/71/18/744807118.db2.gz MLINLRMCSHFLIE-UONOGXRCSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001189243630 744852034 /nfs/dbraw/zinc/85/20/34/744852034.db2.gz XCBBKXZGWRPGCC-GDBMZVCRSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001189243630 744852036 /nfs/dbraw/zinc/85/20/36/744852036.db2.gz XCBBKXZGWRPGCC-GDBMZVCRSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ccon2)C1 ZINC001189243005 744852403 /nfs/dbraw/zinc/85/24/03/744852403.db2.gz OMLDJWVEUBPERC-ZFWWWQNUSA-N 1 2 307.394 1.689 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ccon2)C1 ZINC001189243005 744852404 /nfs/dbraw/zinc/85/24/04/744852404.db2.gz OMLDJWVEUBPERC-ZFWWWQNUSA-N 1 2 307.394 1.689 20 30 DDEDLO C#Cc1cncc(C(=O)NC2C[NH+](C[C@H]3CC(C)(C)CO3)C2)c1 ZINC001030928560 744858538 /nfs/dbraw/zinc/85/85/38/744858538.db2.gz YJWNCQIFFHYYEK-MRXNPFEDSA-N 1 2 313.401 1.292 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001189364699 744887030 /nfs/dbraw/zinc/88/70/30/744887030.db2.gz UHDTXYGUXXLSCW-AAEUAGOBSA-N 1 2 308.382 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001189364699 744887033 /nfs/dbraw/zinc/88/70/33/744887033.db2.gz UHDTXYGUXXLSCW-AAEUAGOBSA-N 1 2 308.382 1.174 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2snnc2C)C1 ZINC001189916944 745031194 /nfs/dbraw/zinc/03/11/94/745031194.db2.gz BJTHGHGNJOCCCK-IUODEOHRSA-N 1 2 324.450 1.206 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2snnc2C)C1 ZINC001189916944 745031201 /nfs/dbraw/zinc/03/12/01/745031201.db2.gz BJTHGHGNJOCCCK-IUODEOHRSA-N 1 2 324.450 1.206 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2snnc2C)C1 ZINC001189926014 745039358 /nfs/dbraw/zinc/03/93/58/745039358.db2.gz JQSPNUDNQPKIDQ-ZDUSSCGKSA-N 1 2 306.435 1.683 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2snnc2C)C1 ZINC001189926014 745039356 /nfs/dbraw/zinc/03/93/56/745039356.db2.gz JQSPNUDNQPKIDQ-ZDUSSCGKSA-N 1 2 306.435 1.683 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2c(O)cc(OC)cc2OC)CC1 ZINC001190128396 745125377 /nfs/dbraw/zinc/12/53/77/745125377.db2.gz GJXHXCJVEUEQDU-UHFFFAOYSA-N 1 2 306.362 1.353 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001190424693 745216196 /nfs/dbraw/zinc/21/61/96/745216196.db2.gz CONYYMFWXVQXBP-LSDHHAIUSA-N 1 2 316.405 1.102 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001190424693 745216200 /nfs/dbraw/zinc/21/62/00/745216200.db2.gz CONYYMFWXVQXBP-LSDHHAIUSA-N 1 2 316.405 1.102 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cnn(C)c2)[C@H]1C ZINC000993110489 745540679 /nfs/dbraw/zinc/54/06/79/745540679.db2.gz TYHKJMFHFSQMBP-TZMCWYRMSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cnn(C)c2)[C@H]1C ZINC000993110489 745540682 /nfs/dbraw/zinc/54/06/82/745540682.db2.gz TYHKJMFHFSQMBP-TZMCWYRMSA-N 1 2 310.829 1.684 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001112374109 745781352 /nfs/dbraw/zinc/78/13/52/745781352.db2.gz AAQHUSHOUYXDEY-CQSZACIVSA-N 1 2 318.421 1.709 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)cn1 ZINC001007152853 752039552 /nfs/dbraw/zinc/03/95/52/752039552.db2.gz ROPGLOFNCFKFMV-HNNXBMFYSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1ncc(C[N@H+]2CCC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)cn1 ZINC001007152853 752039557 /nfs/dbraw/zinc/03/95/57/752039557.db2.gz ROPGLOFNCFKFMV-HNNXBMFYSA-N 1 2 324.388 1.379 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCc3nn(C)cc32)C1 ZINC001015620766 745920083 /nfs/dbraw/zinc/92/00/83/745920083.db2.gz ZKECIIWPUPMCQU-CHWSQXEVSA-N 1 2 322.840 1.783 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCc3nn(C)cc32)C1 ZINC001015620766 745920085 /nfs/dbraw/zinc/92/00/85/745920085.db2.gz ZKECIIWPUPMCQU-CHWSQXEVSA-N 1 2 322.840 1.783 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1ccc(C#N)nc1)NC(=O)Cn1cc[nH+]c1 ZINC001089343546 745960165 /nfs/dbraw/zinc/96/01/65/745960165.db2.gz DZRABNDGINIINB-STQMWFEESA-N 1 2 312.377 1.545 20 30 DDEDLO CC(C)[C@H]([NH2+]Cc1cncc(C#N)c1)c1nc(-c2nc[nH]n2)no1 ZINC001193155073 745999484 /nfs/dbraw/zinc/99/94/84/745999484.db2.gz RPCPSUNAYFYKLP-LBPRGKRZSA-N 1 2 324.348 1.608 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccn(C)c(=O)c2)[C@H]1C ZINC000993494660 746176107 /nfs/dbraw/zinc/17/61/07/746176107.db2.gz DVRNBYHTKXCBTO-TZMCWYRMSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccn(C)c(=O)c2)[C@H]1C ZINC000993494660 746176109 /nfs/dbraw/zinc/17/61/09/746176109.db2.gz DVRNBYHTKXCBTO-TZMCWYRMSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NC(C)=O)C1 ZINC000993623834 746260480 /nfs/dbraw/zinc/26/04/80/746260480.db2.gz NBSMKJXXFFDUFY-ZIAGYGMSSA-N 1 2 318.421 1.453 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)cn2)C1 ZINC001194397565 746367960 /nfs/dbraw/zinc/36/79/60/746367960.db2.gz XFKRQXDZFYNZDD-GJZGRUSLSA-N 1 2 318.421 1.409 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)cn2)C1 ZINC001194397565 746367965 /nfs/dbraw/zinc/36/79/65/746367965.db2.gz XFKRQXDZFYNZDD-GJZGRUSLSA-N 1 2 318.421 1.409 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)c2ccoc2C)CC1 ZINC001194762215 746460034 /nfs/dbraw/zinc/46/00/34/746460034.db2.gz SDOFDFWAPRFTKB-CYBMUJFWSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)c2ccoc2C)CC1 ZINC001194762215 746460037 /nfs/dbraw/zinc/46/00/37/746460037.db2.gz SDOFDFWAPRFTKB-CYBMUJFWSA-N 1 2 319.405 1.427 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1O ZINC001195338827 746578453 /nfs/dbraw/zinc/57/84/53/746578453.db2.gz PUSYIVHCDQPLHM-HZPDHXFCSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1O ZINC001195338827 746578455 /nfs/dbraw/zinc/57/84/55/746578455.db2.gz PUSYIVHCDQPLHM-HZPDHXFCSA-N 1 2 319.405 1.228 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2oc(C)cc2C)CC1 ZINC001195444281 746601712 /nfs/dbraw/zinc/60/17/12/746601712.db2.gz PSMGJWQZLAWDCL-UHFFFAOYSA-N 1 2 304.390 1.694 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2oc(C)cc2C)CC1 ZINC001195444281 746601715 /nfs/dbraw/zinc/60/17/15/746601715.db2.gz PSMGJWQZLAWDCL-UHFFFAOYSA-N 1 2 304.390 1.694 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cscc2C)CC1 ZINC001195434605 746613713 /nfs/dbraw/zinc/61/37/13/746613713.db2.gz OKZUPHNQCCLHIY-UHFFFAOYSA-N 1 2 306.431 1.854 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cscc2C)CC1 ZINC001195434605 746613715 /nfs/dbraw/zinc/61/37/15/746613715.db2.gz OKZUPHNQCCLHIY-UHFFFAOYSA-N 1 2 306.431 1.854 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195525612 746637466 /nfs/dbraw/zinc/63/74/66/746637466.db2.gz PJGBCDNKXVPVGA-KCPJHIHWSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195525612 746637469 /nfs/dbraw/zinc/63/74/69/746637469.db2.gz PJGBCDNKXVPVGA-KCPJHIHWSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@H]1O ZINC001195548863 746642139 /nfs/dbraw/zinc/64/21/39/746642139.db2.gz IXFHPEWNLUPLNQ-DAXOMENPSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@H]1O ZINC001195548863 746642146 /nfs/dbraw/zinc/64/21/46/746642146.db2.gz IXFHPEWNLUPLNQ-DAXOMENPSA-N 1 2 318.421 1.049 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195775314 746701976 /nfs/dbraw/zinc/70/19/76/746701976.db2.gz VEYVRQKBAQQTDT-OAHLLOKOSA-N 1 2 307.438 1.095 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195775314 746701981 /nfs/dbraw/zinc/70/19/81/746701981.db2.gz VEYVRQKBAQQTDT-OAHLLOKOSA-N 1 2 307.438 1.095 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](Cc2c(C)nnn2CC)CC1 ZINC001196010008 746754656 /nfs/dbraw/zinc/75/46/56/746754656.db2.gz IWZQIHMQZBEFLA-UHFFFAOYSA-N 1 2 319.453 1.997 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](Cc2c(C)nnn2CC)CC1 ZINC001196010008 746754659 /nfs/dbraw/zinc/75/46/59/746754659.db2.gz IWZQIHMQZBEFLA-UHFFFAOYSA-N 1 2 319.453 1.997 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1ccc(C#N)nn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089380085 746757285 /nfs/dbraw/zinc/75/72/85/746757285.db2.gz HCVACKFCYOOBGX-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2Cc3cc(C)c(C)cc3O2)C1 ZINC001031417221 746777844 /nfs/dbraw/zinc/77/78/44/746777844.db2.gz BMBZLFRDTRHEOW-QGZVFWFLSA-N 1 2 300.402 1.983 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CCC(C)(F)F)CC1 ZINC001196309403 746821578 /nfs/dbraw/zinc/82/15/78/746821578.db2.gz DGVMKBVJCFHNLC-UHFFFAOYSA-N 1 2 317.380 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CCC(C)(F)F)CC1 ZINC001196309403 746821583 /nfs/dbraw/zinc/82/15/83/746821583.db2.gz DGVMKBVJCFHNLC-UHFFFAOYSA-N 1 2 317.380 1.258 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)CC(F)F)CC1 ZINC001196557395 746905122 /nfs/dbraw/zinc/90/51/22/746905122.db2.gz ODKWDKCAUCWFDC-GFCCVEGCSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)CC(F)F)CC1 ZINC001196557395 746905126 /nfs/dbraw/zinc/90/51/26/746905126.db2.gz ODKWDKCAUCWFDC-GFCCVEGCSA-N 1 2 317.380 1.114 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(C3CC3)on2)CC1 ZINC001196680844 746920139 /nfs/dbraw/zinc/92/01/39/746920139.db2.gz GVLBQDSGOCJAEA-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(C3CC3)on2)CC1 ZINC001196680844 746920145 /nfs/dbraw/zinc/92/01/45/746920145.db2.gz GVLBQDSGOCJAEA-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H](F)C(C)C)CC1 ZINC001196799441 746966066 /nfs/dbraw/zinc/96/60/66/746966066.db2.gz NCGPHGHTPQOVNA-KGLIPLIRSA-N 1 2 313.417 1.206 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@H](F)C(C)C)CC1 ZINC001196799441 746966070 /nfs/dbraw/zinc/96/60/70/746966070.db2.gz NCGPHGHTPQOVNA-KGLIPLIRSA-N 1 2 313.417 1.206 20 30 DDEDLO O=C(N[C@@H]1[C@H]2C[N@H+](CCC(F)(F)F)C[C@H]21)C(F)C(F)(F)F ZINC001114311671 747028348 /nfs/dbraw/zinc/02/83/48/747028348.db2.gz REKRVHOZPZKYJK-VGRMVHKJSA-N 1 2 322.224 1.886 20 30 DDEDLO O=C(N[C@@H]1[C@H]2C[N@@H+](CCC(F)(F)F)C[C@H]21)C(F)C(F)(F)F ZINC001114311671 747028353 /nfs/dbraw/zinc/02/83/53/747028353.db2.gz REKRVHOZPZKYJK-VGRMVHKJSA-N 1 2 322.224 1.886 20 30 DDEDLO O=C(N[C@@H]1[C@H]2C[N@H+](CCC(F)(F)F)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001114311671 747028361 /nfs/dbraw/zinc/02/83/61/747028361.db2.gz REKRVHOZPZKYJK-VGRMVHKJSA-N 1 2 322.224 1.886 20 30 DDEDLO O=C(N[C@@H]1[C@H]2C[N@@H+](CCC(F)(F)F)C[C@H]21)[C@@H](F)C(F)(F)F ZINC001114311671 747028365 /nfs/dbraw/zinc/02/83/65/747028365.db2.gz REKRVHOZPZKYJK-VGRMVHKJSA-N 1 2 322.224 1.886 20 30 DDEDLO CCO[C@H]1C[C@@H]1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031567093 747054035 /nfs/dbraw/zinc/05/40/35/747054035.db2.gz XMMXDNWSINODSD-IRXDYDNUSA-N 1 2 313.401 1.531 20 30 DDEDLO C#CCCCCCC(=O)NCc1cnn2c1C[N@H+](CC)CC2 ZINC001128438403 747116672 /nfs/dbraw/zinc/11/66/72/747116672.db2.gz YTCVDHWJKLWKIR-UHFFFAOYSA-N 1 2 302.422 1.919 20 30 DDEDLO C#CCCCCCC(=O)NCc1cnn2c1C[N@@H+](CC)CC2 ZINC001128438403 747116676 /nfs/dbraw/zinc/11/66/76/747116676.db2.gz YTCVDHWJKLWKIR-UHFFFAOYSA-N 1 2 302.422 1.919 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CC23CCCC3)CC1 ZINC001197698784 747241144 /nfs/dbraw/zinc/24/11/44/747241144.db2.gz LJATXRHXMLCYBA-HNNXBMFYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CC23CCCC3)CC1 ZINC001197698784 747241147 /nfs/dbraw/zinc/24/11/47/747241147.db2.gz LJATXRHXMLCYBA-HNNXBMFYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)[C@H]2CCCc3nn[nH]c32)CC1 ZINC001003515633 747262276 /nfs/dbraw/zinc/26/22/76/747262276.db2.gz IUUGYCGVJBYKSM-LBPRGKRZSA-N 1 2 323.828 1.558 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CC[C@H](C)CC2)CC1 ZINC001197898958 747302155 /nfs/dbraw/zinc/30/21/55/747302155.db2.gz JUPNONRPHOTFEK-WKILWMFISA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CC[C@H](C)CC2)CC1 ZINC001197898958 747302163 /nfs/dbraw/zinc/30/21/63/747302163.db2.gz JUPNONRPHOTFEK-WKILWMFISA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCC(=O)NCCC)CC1 ZINC001197862594 747303696 /nfs/dbraw/zinc/30/36/96/747303696.db2.gz UYBKDUNDCUZZDQ-UHFFFAOYSA-N 1 2 315.845 1.580 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCC(=O)NCCC)CC1 ZINC001197862594 747303702 /nfs/dbraw/zinc/30/37/02/747303702.db2.gz UYBKDUNDCUZZDQ-UHFFFAOYSA-N 1 2 315.845 1.580 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001007496830 752173906 /nfs/dbraw/zinc/17/39/06/752173906.db2.gz RZQDYXZCDLHPRC-STQMWFEESA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001007496830 752173909 /nfs/dbraw/zinc/17/39/09/752173909.db2.gz RZQDYXZCDLHPRC-STQMWFEESA-N 1 2 313.829 1.236 20 30 DDEDLO CCc1onc(C)c1C(=O)N1CCC[N@H+](CC#CCOC)CC1 ZINC001198071153 747371861 /nfs/dbraw/zinc/37/18/61/747371861.db2.gz LODXCWTXROSRDP-UHFFFAOYSA-N 1 2 319.405 1.343 20 30 DDEDLO CCc1onc(C)c1C(=O)N1CCC[N@@H+](CC#CCOC)CC1 ZINC001198071153 747371869 /nfs/dbraw/zinc/37/18/69/747371869.db2.gz LODXCWTXROSRDP-UHFFFAOYSA-N 1 2 319.405 1.343 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C(C)(CC)CC)CC1 ZINC001198175205 747400295 /nfs/dbraw/zinc/40/02/95/747400295.db2.gz BCKGQRHWOMWWCN-OAHLLOKOSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C(C)(CC)CC)CC1 ZINC001198175205 747400299 /nfs/dbraw/zinc/40/02/99/747400299.db2.gz BCKGQRHWOMWWCN-OAHLLOKOSA-N 1 2 321.465 1.485 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH2+][C@H](CNC(C)=O)c1ccccc1OC ZINC001198463625 747500918 /nfs/dbraw/zinc/50/09/18/747500918.db2.gz POCAFZPFSKSKHF-IUODEOHRSA-N 1 2 319.405 1.153 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(Cl)cn2C)C1 ZINC001108061637 747667024 /nfs/dbraw/zinc/66/70/24/747667024.db2.gz QSARULVSPLWQJW-MRXNPFEDSA-N 1 2 323.824 1.523 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(Cl)cn2C)C1 ZINC001108061637 747667029 /nfs/dbraw/zinc/66/70/29/747667029.db2.gz QSARULVSPLWQJW-MRXNPFEDSA-N 1 2 323.824 1.523 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3ncccn3)CC2)nc1 ZINC001004003898 747903866 /nfs/dbraw/zinc/90/38/66/747903866.db2.gz OHTGVZHCBMPHQF-UHFFFAOYSA-N 1 2 321.384 1.247 20 30 DDEDLO Cc1ncc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001110540410 747951652 /nfs/dbraw/zinc/95/16/52/747951652.db2.gz QJXHLXKUCMRFAC-ZACQAIPSSA-N 1 2 310.401 1.420 20 30 DDEDLO Cc1ncc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001110540410 747951656 /nfs/dbraw/zinc/95/16/56/747951656.db2.gz QJXHLXKUCMRFAC-ZACQAIPSSA-N 1 2 310.401 1.420 20 30 DDEDLO Cn1cncc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)(C)C)C2 ZINC001110543305 748029772 /nfs/dbraw/zinc/02/97/72/748029772.db2.gz LPKZDKOSMNXVJJ-BMFZPTHFSA-N 1 2 314.433 1.691 20 30 DDEDLO Cn1cncc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)(C)C)C2 ZINC001110543305 748029780 /nfs/dbraw/zinc/02/97/80/748029780.db2.gz LPKZDKOSMNXVJJ-BMFZPTHFSA-N 1 2 314.433 1.691 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001110547625 748126004 /nfs/dbraw/zinc/12/60/04/748126004.db2.gz BJGVNHDYZIYIQH-JSGCOSHPSA-N 1 2 304.394 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2scnc2C)[C@@H](O)C1 ZINC001090092718 748222904 /nfs/dbraw/zinc/22/29/04/748222904.db2.gz GKQMFQBGMAZUBU-QWRGUYRKSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2scnc2C)[C@@H](O)C1 ZINC001090092718 748222915 /nfs/dbraw/zinc/22/29/15/748222915.db2.gz GKQMFQBGMAZUBU-QWRGUYRKSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001033090592 748240465 /nfs/dbraw/zinc/24/04/65/748240465.db2.gz GYKQJBIAUKYWMS-RAIGVLPGSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001033090592 748240467 /nfs/dbraw/zinc/24/04/67/748240467.db2.gz GYKQJBIAUKYWMS-RAIGVLPGSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CO[C@@H]1CCOC1)C2 ZINC001110554902 748291331 /nfs/dbraw/zinc/29/13/31/748291331.db2.gz PZGOFRBQWVXMFL-SYQHCUMBSA-N 1 2 314.813 1.266 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CO[C@@H]1CCOC1)C2 ZINC001110554902 748291338 /nfs/dbraw/zinc/29/13/38/748291338.db2.gz PZGOFRBQWVXMFL-SYQHCUMBSA-N 1 2 314.813 1.266 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2C[NH+](Cc3conc3C)C2)c1 ZINC001031963953 748312438 /nfs/dbraw/zinc/31/24/38/748312438.db2.gz ZRFIPRKZKPUMFM-UHFFFAOYSA-N 1 2 310.357 1.221 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2nn(C)cc2Cl)C1 ZINC001033110757 748374354 /nfs/dbraw/zinc/37/43/54/748374354.db2.gz JMDZQCWICGTYFO-SNVBAGLBSA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2nn(C)cc2Cl)C1 ZINC001033110757 748374359 /nfs/dbraw/zinc/37/43/59/748374359.db2.gz JMDZQCWICGTYFO-SNVBAGLBSA-N 1 2 317.220 1.972 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001108086687 748751235 /nfs/dbraw/zinc/75/12/35/748751235.db2.gz SVRUFIALVPFRBH-RYRKJORJSA-N 1 2 320.433 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001108086687 748751239 /nfs/dbraw/zinc/75/12/39/748751239.db2.gz SVRUFIALVPFRBH-RYRKJORJSA-N 1 2 320.433 1.032 20 30 DDEDLO C#CCN(C(=O)C#CC(C)C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110624267 748838964 /nfs/dbraw/zinc/83/89/64/748838964.db2.gz CYDBOWMBYHEOCK-UHFFFAOYSA-N 1 2 324.428 1.875 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(C(C)C)no1 ZINC001153161045 748858874 /nfs/dbraw/zinc/85/88/74/748858874.db2.gz WPMMGINGDPPXHB-RYUDHWBXSA-N 1 2 310.398 1.551 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108321607 761897887 /nfs/dbraw/zinc/89/78/87/761897887.db2.gz ZHDNNXKSOOGUHM-LBPRGKRZSA-N 1 2 318.425 1.356 20 30 DDEDLO C[C@@]1(NC(=O)CCc2[nH]cc[nH+]2)CCN(c2ccc(C#N)cn2)C1 ZINC001110751915 748980685 /nfs/dbraw/zinc/98/06/85/748980685.db2.gz KQLGXFJCWZYFIR-QGZVFWFLSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@@](C)(NC(=O)Cn3cc[nH+]c3)C2)n1 ZINC001110768180 748995560 /nfs/dbraw/zinc/99/55/60/748995560.db2.gz PCUPHBNVFANVAA-QGZVFWFLSA-N 1 2 324.388 1.243 20 30 DDEDLO Cc1cc(N2CC[C@](C)(NC(=O)Cn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001110768161 748995791 /nfs/dbraw/zinc/99/57/91/748995791.db2.gz OVNQRNDKMTYKSS-KRWDZBQOSA-N 1 2 324.388 1.243 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCCC[C@H]2OC)C1 ZINC001032219415 749013546 /nfs/dbraw/zinc/01/35/46/749013546.db2.gz YTBPAFQZOGWCOQ-ZIAGYGMSSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114326462 749058706 /nfs/dbraw/zinc/05/87/06/749058706.db2.gz RYJFXULIUYTDKR-FICVDOATSA-N 1 2 318.446 1.853 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114326462 749058711 /nfs/dbraw/zinc/05/87/11/749058711.db2.gz RYJFXULIUYTDKR-FICVDOATSA-N 1 2 318.446 1.853 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+][C@H](C)c1nnc(CC)o1 ZINC001125255381 749083916 /nfs/dbraw/zinc/08/39/16/749083916.db2.gz CVIXSYYBAUBAQP-GFCCVEGCSA-N 1 2 310.398 1.382 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C[C@@H]3C=CCC3)nn2)C1 ZINC001107125273 749171012 /nfs/dbraw/zinc/17/10/12/749171012.db2.gz QWTMGAJTLRMXGO-CQSZACIVSA-N 1 2 315.421 1.683 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CCC(C)C)nn2)C1 ZINC001107124925 749171842 /nfs/dbraw/zinc/17/18/42/749171842.db2.gz BANNHHFMMXKXTL-UHFFFAOYSA-N 1 2 305.426 1.763 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cscn2)[C@H](O)C1 ZINC001090134796 749197791 /nfs/dbraw/zinc/19/77/91/749197791.db2.gz REMZPNPQJLQEIW-GXSJLCMTSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cscn2)[C@H](O)C1 ZINC001090134796 749197794 /nfs/dbraw/zinc/19/77/94/749197794.db2.gz REMZPNPQJLQEIW-GXSJLCMTSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115251066 749197459 /nfs/dbraw/zinc/19/74/59/749197459.db2.gz LWPOPQHMFKTGAR-UONOGXRCSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cn2ccc(C)cc2=O)C1 ZINC001033471419 749397172 /nfs/dbraw/zinc/39/71/72/749397172.db2.gz UPKSMVZWRJPDBN-AWEZNQCLSA-N 1 2 323.824 1.442 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cn2ccc(C)cc2=O)C1 ZINC001033471419 749397174 /nfs/dbraw/zinc/39/71/74/749397174.db2.gz UPKSMVZWRJPDBN-AWEZNQCLSA-N 1 2 323.824 1.442 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2CC3(C2)CCOCC3)C1 ZINC001108341124 761947319 /nfs/dbraw/zinc/94/73/19/761947319.db2.gz SGFKHFJRWXEJMK-KRWDZBQOSA-N 1 2 322.449 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2CC3(C2)CCOCC3)C1 ZINC001108341124 761947322 /nfs/dbraw/zinc/94/73/22/761947322.db2.gz SGFKHFJRWXEJMK-KRWDZBQOSA-N 1 2 322.449 1.586 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001039348786 761954152 /nfs/dbraw/zinc/95/41/52/761954152.db2.gz CVQBCZCRAOXOKU-RTXFEEFZSA-N 1 2 319.434 1.572 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001039348786 761954155 /nfs/dbraw/zinc/95/41/55/761954155.db2.gz CVQBCZCRAOXOKU-RTXFEEFZSA-N 1 2 319.434 1.572 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cn(C(C)C)nn2)C1 ZINC001033539915 749513848 /nfs/dbraw/zinc/51/38/48/749513848.db2.gz LRBHHRVMSVTSCT-LBPRGKRZSA-N 1 2 311.817 1.758 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cn(C(C)C)nn2)C1 ZINC001033539915 749513853 /nfs/dbraw/zinc/51/38/53/749513853.db2.gz LRBHHRVMSVTSCT-LBPRGKRZSA-N 1 2 311.817 1.758 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cn(CCC)nn2)C1 ZINC001033543796 749519747 /nfs/dbraw/zinc/51/97/47/749519747.db2.gz IRIOZANXNGUAEH-GFCCVEGCSA-N 1 2 311.817 1.587 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cn(CCC)nn2)C1 ZINC001033543796 749519748 /nfs/dbraw/zinc/51/97/48/749519748.db2.gz IRIOZANXNGUAEH-GFCCVEGCSA-N 1 2 311.817 1.587 20 30 DDEDLO C=C/C(C)=C\CC(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107192601 749536231 /nfs/dbraw/zinc/53/62/31/749536231.db2.gz RLMBQPNCGCUSHV-NSIKDUERSA-N 1 2 315.421 1.850 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@H](C=C)CC)nn2)C1 ZINC001107198577 749563842 /nfs/dbraw/zinc/56/38/42/749563842.db2.gz LQPQGWVBPPLBBF-CYBMUJFWSA-N 1 2 303.410 1.539 20 30 DDEDLO C=CCCC(=O)NC1CCN(c2[nH+]cnc3c2cnn3C)CC1 ZINC001095395763 749698332 /nfs/dbraw/zinc/69/83/32/749698332.db2.gz RFFXPYCRWJPURA-UHFFFAOYSA-N 1 2 314.393 1.415 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001039375372 761976604 /nfs/dbraw/zinc/97/66/04/761976604.db2.gz ASMJRYSZBVJWNN-OWCLPIDISA-N 1 2 312.417 1.528 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001039375372 761976607 /nfs/dbraw/zinc/97/66/07/761976607.db2.gz ASMJRYSZBVJWNN-OWCLPIDISA-N 1 2 312.417 1.528 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066758003 749951619 /nfs/dbraw/zinc/95/16/19/749951619.db2.gz CKXWKVQDPNBMAU-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc(CC)c1Cl)C2 ZINC001095551015 750034599 /nfs/dbraw/zinc/03/45/99/750034599.db2.gz WZMJURPNEMELLW-USWWRNFRSA-N 1 2 306.797 1.594 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc(CC)c1Cl)C2 ZINC001095551015 750034605 /nfs/dbraw/zinc/03/46/05/750034605.db2.gz WZMJURPNEMELLW-USWWRNFRSA-N 1 2 306.797 1.594 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC/C=C/CNc1ccc(C#N)cn1 ZINC001107548956 750121980 /nfs/dbraw/zinc/12/19/80/750121980.db2.gz DBQZAKPYAMALNL-YWVDXFKGSA-N 1 2 324.388 1.639 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC/C=C/CNc1ccc(C#N)cn1 ZINC001107548956 750121984 /nfs/dbraw/zinc/12/19/84/750121984.db2.gz DBQZAKPYAMALNL-YWVDXFKGSA-N 1 2 324.388 1.639 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CCC)[nH]n1 ZINC001039414044 762011200 /nfs/dbraw/zinc/01/12/00/762011200.db2.gz KKIROSOOCNTGFV-CABCVRRESA-N 1 2 300.406 1.674 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CCC)[nH]n1 ZINC001039414044 762011210 /nfs/dbraw/zinc/01/12/10/762011210.db2.gz KKIROSOOCNTGFV-CABCVRRESA-N 1 2 300.406 1.674 20 30 DDEDLO N#Cc1cccnc1NC/C=C/CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001107572431 750151179 /nfs/dbraw/zinc/15/11/79/750151179.db2.gz YZLZROYJXPLKQD-GEYXIOIISA-N 1 2 322.372 1.564 20 30 DDEDLO N#Cc1cccnc1NC/C=C/CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001107572431 750151183 /nfs/dbraw/zinc/15/11/83/750151183.db2.gz YZLZROYJXPLKQD-GEYXIOIISA-N 1 2 322.372 1.564 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001110933094 750239123 /nfs/dbraw/zinc/23/91/23/750239123.db2.gz FYAJWZPPCXQFIH-XOUADPBQSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001110933094 750239128 /nfs/dbraw/zinc/23/91/28/750239128.db2.gz FYAJWZPPCXQFIH-XOUADPBQSA-N 1 2 317.437 1.639 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032338539 750254593 /nfs/dbraw/zinc/25/45/93/750254593.db2.gz XLXVDQPDBDRIQW-GJZGRUSLSA-N 1 2 324.388 1.027 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032338539 750254595 /nfs/dbraw/zinc/25/45/95/750254595.db2.gz XLXVDQPDBDRIQW-GJZGRUSLSA-N 1 2 324.388 1.027 20 30 DDEDLO Cc1ccncc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032338523 750254731 /nfs/dbraw/zinc/25/47/31/750254731.db2.gz WTOXNGKKZQLBOJ-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1ccncc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032338523 750254733 /nfs/dbraw/zinc/25/47/33/750254733.db2.gz WTOXNGKKZQLBOJ-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3conc3C)C[C@H]2O)C1 ZINC001077641702 750255976 /nfs/dbraw/zinc/25/59/76/750255976.db2.gz BZNVBWFHRLWLEK-ZIAGYGMSSA-N 1 2 305.378 1.001 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3conc3C)C[C@H]2O)C1 ZINC001077641702 750255979 /nfs/dbraw/zinc/25/59/79/750255979.db2.gz BZNVBWFHRLWLEK-ZIAGYGMSSA-N 1 2 305.378 1.001 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cncc(C)c3)C[C@H]2O)C1 ZINC001077641908 750256078 /nfs/dbraw/zinc/25/60/78/750256078.db2.gz NLQJYQHQGTVJQF-HZPDHXFCSA-N 1 2 315.417 1.408 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cncc(C)c3)C[C@H]2O)C1 ZINC001077641908 750256080 /nfs/dbraw/zinc/25/60/80/750256080.db2.gz NLQJYQHQGTVJQF-HZPDHXFCSA-N 1 2 315.417 1.408 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001077738422 750468173 /nfs/dbraw/zinc/46/81/73/750468173.db2.gz BESDIRHTGIJFHO-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCC(=O)N[C@@]1(CO)CCCN(c2cc[nH+]c(C)n2)C1 ZINC001111338534 750482650 /nfs/dbraw/zinc/48/26/50/750482650.db2.gz SSXLMPSLCARCGF-INIZCTEOSA-N 1 2 304.394 1.199 20 30 DDEDLO C=CCCC(=O)N[C@]1(CO)CCCN(c2cc[nH+]c(C)n2)C1 ZINC001111338536 750482749 /nfs/dbraw/zinc/48/27/49/750482749.db2.gz SSXLMPSLCARCGF-MRXNPFEDSA-N 1 2 304.394 1.199 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)n1 ZINC001039450933 762042429 /nfs/dbraw/zinc/04/24/29/762042429.db2.gz BGURBUNEMNLWCY-NEPJUHHUSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)n1 ZINC001039450933 762042441 /nfs/dbraw/zinc/04/24/41/762042441.db2.gz BGURBUNEMNLWCY-NEPJUHHUSA-N 1 2 309.801 1.247 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccncc2C)C1 ZINC001107929342 750657153 /nfs/dbraw/zinc/65/71/53/750657153.db2.gz QBMIPWVTCAMHIN-QGZVFWFLSA-N 1 2 301.390 1.234 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccncc2C)C1 ZINC001107929342 750657156 /nfs/dbraw/zinc/65/71/56/750657156.db2.gz QBMIPWVTCAMHIN-QGZVFWFLSA-N 1 2 301.390 1.234 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(F)cc1F ZINC001032432143 750820885 /nfs/dbraw/zinc/82/08/85/750820885.db2.gz NMWBOBZYNZLKSP-KBPBESRZSA-N 1 2 320.339 1.652 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(F)cc1F ZINC001032432143 750820894 /nfs/dbraw/zinc/82/08/94/750820894.db2.gz NMWBOBZYNZLKSP-KBPBESRZSA-N 1 2 320.339 1.652 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCCO[C@H]2C(C)C)C1 ZINC001107953014 750847679 /nfs/dbraw/zinc/84/76/79/750847679.db2.gz OKQZZIDPWYWRIX-BQFCYCMXSA-N 1 2 324.465 1.831 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2CCCO[C@H]2C(C)C)C1 ZINC001107953014 750847689 /nfs/dbraw/zinc/84/76/89/750847689.db2.gz OKQZZIDPWYWRIX-BQFCYCMXSA-N 1 2 324.465 1.831 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1C(CC)CC ZINC001032444272 750859240 /nfs/dbraw/zinc/85/92/40/750859240.db2.gz TXKRHWLRXPOQRJ-GJZGRUSLSA-N 1 2 300.406 1.776 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1C(CC)CC ZINC001032444272 750859251 /nfs/dbraw/zinc/85/92/51/750859251.db2.gz TXKRHWLRXPOQRJ-GJZGRUSLSA-N 1 2 300.406 1.776 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)CC1CCC1)CC2 ZINC001127971656 750935960 /nfs/dbraw/zinc/93/59/60/750935960.db2.gz NBOWQSZXOLUEAL-CQSZACIVSA-N 1 2 315.421 1.012 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(NC(C)=O)n1 ZINC001032495263 751065943 /nfs/dbraw/zinc/06/59/43/751065943.db2.gz KDHJRLKLXOBTAN-QWRGUYRKSA-N 1 2 306.391 1.186 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(NC(C)=O)n1 ZINC001032495263 751065951 /nfs/dbraw/zinc/06/59/51/751065951.db2.gz KDHJRLKLXOBTAN-QWRGUYRKSA-N 1 2 306.391 1.186 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COc2ccccc2C1 ZINC001032508967 751120989 /nfs/dbraw/zinc/12/09/89/751120989.db2.gz WZAAGPVKJNIWQX-ULQDDVLXSA-N 1 2 310.397 1.546 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COc2ccccc2C1 ZINC001032508967 751120992 /nfs/dbraw/zinc/12/09/92/751120992.db2.gz WZAAGPVKJNIWQX-ULQDDVLXSA-N 1 2 310.397 1.546 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1([C@@H]2CCCCO2)CCC1 ZINC001032516666 751170181 /nfs/dbraw/zinc/17/01/81/751170181.db2.gz JIYPVMRGJSVMSS-JYJNAYRXSA-N 1 2 302.418 1.644 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1([C@@H]2CCCCO2)CCC1 ZINC001032516666 751170186 /nfs/dbraw/zinc/17/01/86/751170186.db2.gz JIYPVMRGJSVMSS-JYJNAYRXSA-N 1 2 302.418 1.644 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@]12CCOc1ccccc12 ZINC001032516717 751171873 /nfs/dbraw/zinc/17/18/73/751171873.db2.gz KDANMBRMXJIXBN-PYWIUJKZSA-N 1 2 322.408 1.645 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@]12CCOc1ccccc12 ZINC001032516717 751171875 /nfs/dbraw/zinc/17/18/75/751171875.db2.gz KDANMBRMXJIXBN-PYWIUJKZSA-N 1 2 322.408 1.645 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]cn1C ZINC001077813331 751177594 /nfs/dbraw/zinc/17/75/94/751177594.db2.gz IFKRFTYGNYKCNX-AWEZNQCLSA-N 1 2 318.421 1.023 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1-c1ccoc1 ZINC001032538318 751223533 /nfs/dbraw/zinc/22/35/33/751223533.db2.gz OXYSPKMUDAFZOQ-KBPBESRZSA-N 1 2 310.357 1.212 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1-c1ccoc1 ZINC001032538318 751223535 /nfs/dbraw/zinc/22/35/35/751223535.db2.gz OXYSPKMUDAFZOQ-KBPBESRZSA-N 1 2 310.357 1.212 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC#Cc2ccccc2)C1 ZINC001108413358 762123842 /nfs/dbraw/zinc/12/38/42/762123842.db2.gz XHOREBVTUZTPNP-FQEVSTJZSA-N 1 2 324.424 1.659 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC#Cc2ccccc2)C1 ZINC001108413358 762123844 /nfs/dbraw/zinc/12/38/44/762123844.db2.gz XHOREBVTUZTPNP-FQEVSTJZSA-N 1 2 324.424 1.659 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999118177 752543070 /nfs/dbraw/zinc/54/30/70/752543070.db2.gz RKBWYLXEPVNGPJ-OCCSQVGLSA-N 1 2 316.405 1.687 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)[C@@H](C)Cc3c[nH]c[nH+]3)C2)C1 ZINC000999293147 752797026 /nfs/dbraw/zinc/79/70/26/752797026.db2.gz PZSHPVODGPBIGD-LBPRGKRZSA-N 1 2 316.405 1.272 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)[C@@H](C)Cc3c[nH+]c[nH]3)C2)C1 ZINC000999293147 752797030 /nfs/dbraw/zinc/79/70/30/752797030.db2.gz PZSHPVODGPBIGD-LBPRGKRZSA-N 1 2 316.405 1.272 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001062364189 752906420 /nfs/dbraw/zinc/90/64/20/752906420.db2.gz KCOIRZBPXCWNMA-OAHLLOKOSA-N 1 2 316.405 1.109 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)c3nnc[nH]3)C2)cc1 ZINC001008923901 752985438 /nfs/dbraw/zinc/98/54/38/752985438.db2.gz JGVQLRZXJCKBIO-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)c3nnc[nH]3)C2)cc1 ZINC001008923901 752985443 /nfs/dbraw/zinc/98/54/43/752985443.db2.gz JGVQLRZXJCKBIO-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)c3ncn[nH]3)C2)cc1 ZINC001008923901 752985451 /nfs/dbraw/zinc/98/54/51/752985451.db2.gz JGVQLRZXJCKBIO-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)c3ncn[nH]3)C2)cc1 ZINC001008923901 752985454 /nfs/dbraw/zinc/98/54/54/752985454.db2.gz JGVQLRZXJCKBIO-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@H](NC(=O)c3nnc[nH]3)C2)c1 ZINC001008925868 752987315 /nfs/dbraw/zinc/98/73/15/752987315.db2.gz OEJDLSNNESBCJC-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@H](NC(=O)c3nnc[nH]3)C2)c1 ZINC001008925868 752987320 /nfs/dbraw/zinc/98/73/20/752987320.db2.gz OEJDLSNNESBCJC-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@H](NC(=O)c3ncn[nH]3)C2)c1 ZINC001008925868 752987328 /nfs/dbraw/zinc/98/73/28/752987328.db2.gz OEJDLSNNESBCJC-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@H](NC(=O)c3ncn[nH]3)C2)c1 ZINC001008925868 752987335 /nfs/dbraw/zinc/98/73/35/752987335.db2.gz OEJDLSNNESBCJC-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccoc1Br ZINC001032718229 753079866 /nfs/dbraw/zinc/07/98/66/753079866.db2.gz PEUGGHNAJCBUPA-QWRGUYRKSA-N 1 2 323.190 1.964 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccoc1Br ZINC001032718229 753079870 /nfs/dbraw/zinc/07/98/70/753079870.db2.gz PEUGGHNAJCBUPA-QWRGUYRKSA-N 1 2 323.190 1.964 20 30 DDEDLO N#Cc1cnccc1N1C[C@@H]2C[C@H]1CN2C(=O)CCn1cc[nH+]c1 ZINC001062552658 753107952 /nfs/dbraw/zinc/10/79/52/753107952.db2.gz KTXZIMVUSGUBLX-GJZGRUSLSA-N 1 2 322.372 1.030 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ncccc1C#N ZINC001039767961 762209211 /nfs/dbraw/zinc/20/92/11/762209211.db2.gz TZKIFCOSMMKLPG-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(N(C)C(=O)c2n[nH]cc2F)CC1 ZINC001005948087 753407388 /nfs/dbraw/zinc/40/73/88/753407388.db2.gz AQVAIIIBNJXSLI-UHFFFAOYSA-N 1 2 300.765 1.838 20 30 DDEDLO Cc1cnc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)cn1 ZINC001009994591 753530004 /nfs/dbraw/zinc/53/00/04/753530004.db2.gz PEQFMNGHRLTGPO-KRWDZBQOSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1cnc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)cn1 ZINC001009994591 753530009 /nfs/dbraw/zinc/53/00/09/753530009.db2.gz PEQFMNGHRLTGPO-KRWDZBQOSA-N 1 2 320.396 1.641 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCC1(CNc2cc[nH+]c(C)n2)CC1 ZINC001089964806 753660399 /nfs/dbraw/zinc/66/03/99/753660399.db2.gz ZVZSMVLEBRBJDR-CYBMUJFWSA-N 1 2 316.405 1.522 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1ccc(F)cc1F ZINC001032792499 753776805 /nfs/dbraw/zinc/77/68/05/753776805.db2.gz DRYSQTCRBIMRBN-UBHSHLNASA-N 1 2 304.340 1.987 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1ccc(F)cc1F ZINC001032792499 753776810 /nfs/dbraw/zinc/77/68/10/753776810.db2.gz DRYSQTCRBIMRBN-UBHSHLNASA-N 1 2 304.340 1.987 20 30 DDEDLO Cc1cc(CC(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001010538388 754006343 /nfs/dbraw/zinc/00/63/43/754006343.db2.gz VVEZQVSZDOJJGN-INIZCTEOSA-N 1 2 323.400 1.523 20 30 DDEDLO Cc1cc(CC(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001010538388 754006354 /nfs/dbraw/zinc/00/63/54/754006354.db2.gz VVEZQVSZDOJJGN-INIZCTEOSA-N 1 2 323.400 1.523 20 30 DDEDLO Cc1cc(CC(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)n[nH]1 ZINC001010538388 754006359 /nfs/dbraw/zinc/00/63/59/754006359.db2.gz VVEZQVSZDOJJGN-INIZCTEOSA-N 1 2 323.400 1.523 20 30 DDEDLO Cc1cc(CC(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n[nH]1 ZINC001010538388 754006364 /nfs/dbraw/zinc/00/63/64/754006364.db2.gz VVEZQVSZDOJJGN-INIZCTEOSA-N 1 2 323.400 1.523 20 30 DDEDLO Cc1nc(N2CCCC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001063175422 754022006 /nfs/dbraw/zinc/02/20/06/754022006.db2.gz YGAVAEGIJUOKKR-AWEZNQCLSA-N 1 2 324.388 1.774 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001063567013 754238216 /nfs/dbraw/zinc/23/82/16/754238216.db2.gz XHADNENXBDGXFQ-CQSZACIVSA-N 1 2 310.361 1.322 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1C[C@H](NC(=O)c2[nH]ncc2F)C12CCC2 ZINC001078718730 754340012 /nfs/dbraw/zinc/34/00/12/754340012.db2.gz UNQQJZQOVFPOIZ-MNOVXSKESA-N 1 2 312.776 1.932 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCC[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001064111965 754520373 /nfs/dbraw/zinc/52/03/73/754520373.db2.gz DRABAQIDNHBWLU-KGLIPLIRSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001064111967 754520467 /nfs/dbraw/zinc/52/04/67/754520467.db2.gz DRABAQIDNHBWLU-ZIAGYGMSSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCC[C@@H]2NC(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001064114622 754521964 /nfs/dbraw/zinc/52/19/64/754521964.db2.gz VLSXPCJIQBFPHG-KGLIPLIRSA-N 1 2 324.388 1.616 20 30 DDEDLO Cc1nc(N2CC[C@H]3CC[C@@H](C2)N3C(=O)C#CC2CC2)cc[nH+]1 ZINC001064475440 754689669 /nfs/dbraw/zinc/68/96/69/754689669.db2.gz TUTLZVZBMRHZTO-CVEARBPZSA-N 1 2 310.401 1.768 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn3c2CCC3)C1 ZINC001079812998 755587289 /nfs/dbraw/zinc/58/72/89/755587289.db2.gz KPCQLNLSQATEFM-ZWNOBZJWSA-N 1 2 308.813 1.632 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn3c2CCC3)C1 ZINC001079812998 755587292 /nfs/dbraw/zinc/58/72/92/755587292.db2.gz KPCQLNLSQATEFM-ZWNOBZJWSA-N 1 2 308.813 1.632 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@@H+](Cc2ccon2)C[C@H]1C ZINC001079854372 755609094 /nfs/dbraw/zinc/60/90/94/755609094.db2.gz IHRDTFMBHHUZPH-UKRRQHHQSA-N 1 2 314.389 1.912 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@H+](Cc2ccon2)C[C@H]1C ZINC001079854372 755609095 /nfs/dbraw/zinc/60/90/95/755609095.db2.gz IHRDTFMBHHUZPH-UKRRQHHQSA-N 1 2 314.389 1.912 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc3nc[nH]c3n2)C1 ZINC001079937005 755659983 /nfs/dbraw/zinc/65/99/83/755659983.db2.gz MBUDJGYLSVEJGC-NOZJJQNGSA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc3nc[nH]c3n2)C1 ZINC001079937005 755659987 /nfs/dbraw/zinc/65/99/87/755659987.db2.gz MBUDJGYLSVEJGC-NOZJJQNGSA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001014429116 755732212 /nfs/dbraw/zinc/73/22/12/755732212.db2.gz DNHREYGHADACJJ-CHWSQXEVSA-N 1 2 308.813 1.773 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001014429116 755732214 /nfs/dbraw/zinc/73/22/14/755732214.db2.gz DNHREYGHADACJJ-CHWSQXEVSA-N 1 2 308.813 1.773 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001014597347 755819859 /nfs/dbraw/zinc/81/98/59/755819859.db2.gz UVSLBRHXDQQECF-SNVBAGLBSA-N 1 2 305.769 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001014597347 755819863 /nfs/dbraw/zinc/81/98/63/755819863.db2.gz UVSLBRHXDQQECF-SNVBAGLBSA-N 1 2 305.769 1.515 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cn3c(n2)CCCC3)C1 ZINC001014616777 755832083 /nfs/dbraw/zinc/83/20/83/755832083.db2.gz JXRDJTWKCLFBTC-GFCCVEGCSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cn3c(n2)CCCC3)C1 ZINC001014616777 755832088 /nfs/dbraw/zinc/83/20/88/755832088.db2.gz JXRDJTWKCLFBTC-GFCCVEGCSA-N 1 2 308.813 1.776 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)COc1ccnc(Cl)c1 ZINC001156319693 762428726 /nfs/dbraw/zinc/42/87/26/762428726.db2.gz AUGKXYXUFWKKJD-VIFPVBQESA-N 1 2 302.712 1.504 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@]2(C1)CCC[N@H+](Cc1cnon1)C2 ZINC001040197864 762434996 /nfs/dbraw/zinc/43/49/96/762434996.db2.gz OGJCKBHYQGJLIO-QGZVFWFLSA-N 1 2 314.389 1.298 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@]2(C1)CCC[N@@H+](Cc1cnon1)C2 ZINC001040197864 762434998 /nfs/dbraw/zinc/43/49/98/762434998.db2.gz OGJCKBHYQGJLIO-QGZVFWFLSA-N 1 2 314.389 1.298 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ncccc2OC)C1 ZINC001080845928 756129866 /nfs/dbraw/zinc/12/98/66/756129866.db2.gz DZVNOXARAUIYQA-ZYHUDNBSSA-N 1 2 309.797 1.893 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ncccc2OC)C1 ZINC001080845928 756129870 /nfs/dbraw/zinc/12/98/70/756129870.db2.gz DZVNOXARAUIYQA-ZYHUDNBSSA-N 1 2 309.797 1.893 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H](NC(=O)c3cc(C)co3)C2)C1=O ZINC001015585046 756389733 /nfs/dbraw/zinc/38/97/33/756389733.db2.gz PAAAPFFTJIXEBR-KGLIPLIRSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H](NC(=O)c3cc(C)co3)C2)C1=O ZINC001015585046 756389738 /nfs/dbraw/zinc/38/97/38/756389738.db2.gz PAAAPFFTJIXEBR-KGLIPLIRSA-N 1 2 317.389 1.179 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(Cl)c2C)[C@H](OC)C1 ZINC001081710743 756438845 /nfs/dbraw/zinc/43/88/45/756438845.db2.gz DVKCXPXFKIDNJR-HUUCEWRRSA-N 1 2 306.793 1.711 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(Cl)c2C)[C@H](OC)C1 ZINC001081710743 756438849 /nfs/dbraw/zinc/43/88/49/756438849.db2.gz DVKCXPXFKIDNJR-HUUCEWRRSA-N 1 2 306.793 1.711 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)nc3)C2)c1 ZINC001015678215 756460291 /nfs/dbraw/zinc/46/02/91/756460291.db2.gz DTLWYFXKOPXKRQ-KRWDZBQOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)nc3)C2)c1 ZINC001015678215 756460293 /nfs/dbraw/zinc/46/02/93/756460293.db2.gz DTLWYFXKOPXKRQ-KRWDZBQOSA-N 1 2 321.384 1.166 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)noc2C(C)C)[C@H](OC)C1 ZINC001081817088 756493806 /nfs/dbraw/zinc/49/38/06/756493806.db2.gz BKCCFPFBUGYGCI-ZIAGYGMSSA-N 1 2 319.405 1.559 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(C)noc2C(C)C)[C@H](OC)C1 ZINC001081817088 756493811 /nfs/dbraw/zinc/49/38/11/756493811.db2.gz BKCCFPFBUGYGCI-ZIAGYGMSSA-N 1 2 319.405 1.559 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001015726797 756499115 /nfs/dbraw/zinc/49/91/15/756499115.db2.gz SIWAZJFRDWOWFC-XQQFMLRXSA-N 1 2 322.434 1.128 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001015726797 756499118 /nfs/dbraw/zinc/49/91/18/756499118.db2.gz SIWAZJFRDWOWFC-XQQFMLRXSA-N 1 2 322.434 1.128 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1ccnnc1 ZINC001015747367 756514289 /nfs/dbraw/zinc/51/42/89/756514289.db2.gz AOOOHPWJGRXATN-KRWDZBQOSA-N 1 2 306.369 1.332 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1ccnnc1 ZINC001015747367 756514293 /nfs/dbraw/zinc/51/42/93/756514293.db2.gz AOOOHPWJGRXATN-KRWDZBQOSA-N 1 2 306.369 1.332 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)c2c(F)cccc2F)[C@H](OC)C1 ZINC001081947108 756558888 /nfs/dbraw/zinc/55/88/88/756558888.db2.gz LELXQSDUDGMTKM-KCPJHIHWSA-N 1 2 322.355 1.517 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)c2c(F)cccc2F)[C@H](OC)C1 ZINC001081947108 756558893 /nfs/dbraw/zinc/55/88/93/756558893.db2.gz LELXQSDUDGMTKM-KCPJHIHWSA-N 1 2 322.355 1.517 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+]([C@@H](C)c3cnccn3)C2)cn1 ZINC001016032389 756733088 /nfs/dbraw/zinc/73/30/88/756733088.db2.gz YOLTZJKDAJGHAZ-BBRMVZONSA-N 1 2 321.384 1.418 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+]([C@@H](C)c3cnccn3)C2)cn1 ZINC001016032389 756733090 /nfs/dbraw/zinc/73/30/90/756733090.db2.gz YOLTZJKDAJGHAZ-BBRMVZONSA-N 1 2 321.384 1.418 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cccc(C)c3o2)[C@H](OC)C1 ZINC001082384807 756763608 /nfs/dbraw/zinc/76/36/08/756763608.db2.gz MNBINWXOSDIIRF-GDBMZVCRSA-N 1 2 312.369 1.803 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3cccc(C)c3o2)[C@H](OC)C1 ZINC001082384807 756763612 /nfs/dbraw/zinc/76/36/12/756763612.db2.gz MNBINWXOSDIIRF-GDBMZVCRSA-N 1 2 312.369 1.803 20 30 DDEDLO CCCCc1noc(C[NH2+][C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)n1 ZINC001082451234 756791458 /nfs/dbraw/zinc/79/14/58/756791458.db2.gz UHEAPYVXGAIVSJ-YNEHKIRRSA-N 1 2 319.409 1.508 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC001082451408 756792127 /nfs/dbraw/zinc/79/21/27/756792127.db2.gz YXWFFNDAYRUSES-DJLDLDEBSA-N 1 2 313.308 1.103 20 30 DDEDLO N#Cc1cc(C(=O)N2CCO[C@@H]3C[N@@H+](C/C=C/Cl)C[C@@H]32)c[nH]1 ZINC001083057603 757107788 /nfs/dbraw/zinc/10/77/88/757107788.db2.gz RUWYICXYSVBOEP-OHVOQOPOSA-N 1 2 320.780 1.164 20 30 DDEDLO N#Cc1cc(C(=O)N2CCO[C@@H]3C[N@H+](C/C=C/Cl)C[C@@H]32)c[nH]1 ZINC001083057603 757107792 /nfs/dbraw/zinc/10/77/92/757107792.db2.gz RUWYICXYSVBOEP-OHVOQOPOSA-N 1 2 320.780 1.164 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCn3cccn3)[C@@H]2C1 ZINC001084197392 757384402 /nfs/dbraw/zinc/38/44/02/757384402.db2.gz SYJUEVCPWPQZLB-ZIAGYGMSSA-N 1 2 308.813 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCn3cccn3)[C@@H]2C1 ZINC001084197392 757384413 /nfs/dbraw/zinc/38/44/13/757384413.db2.gz SYJUEVCPWPQZLB-ZIAGYGMSSA-N 1 2 308.813 1.558 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2CC(NC(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001016992548 757537620 /nfs/dbraw/zinc/53/76/20/757537620.db2.gz ZTCWPMOXPRPBAP-UHFFFAOYSA-N 1 2 316.405 1.414 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)s1 ZINC001017071529 757614743 /nfs/dbraw/zinc/61/47/43/757614743.db2.gz NRQQHMOSSHTMFV-DGCLKSJQSA-N 1 2 321.450 1.677 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)s1 ZINC001017071529 757614748 /nfs/dbraw/zinc/61/47/48/757614748.db2.gz NRQQHMOSSHTMFV-DGCLKSJQSA-N 1 2 321.450 1.677 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@H](C)CCC)CC2=O)C1 ZINC001108521826 762580953 /nfs/dbraw/zinc/58/09/53/762580953.db2.gz JSWNNHRRTQTEPH-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C2CC2)n(C)n1 ZINC001017602633 758070184 /nfs/dbraw/zinc/07/01/84/758070184.db2.gz YFFBIXFFGAYMIY-OKILXGFUSA-N 1 2 300.406 1.772 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C2CC2)n(C)n1 ZINC001017602633 758070197 /nfs/dbraw/zinc/07/01/97/758070197.db2.gz YFFBIXFFGAYMIY-OKILXGFUSA-N 1 2 300.406 1.772 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@@H](C)[C@@H](Nc3cc[nH+]c(C)n3)C2)c1 ZINC001067197608 758102884 /nfs/dbraw/zinc/10/28/84/758102884.db2.gz MALZHPFJZZUYKO-WBMJQRKESA-N 1 2 321.384 1.734 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)cc(=O)oc1C ZINC001017720945 758182389 /nfs/dbraw/zinc/18/23/89/758182389.db2.gz KIOSUQVDVKWCPK-OKILXGFUSA-N 1 2 300.358 1.179 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)cc(=O)oc1C ZINC001017720945 758182396 /nfs/dbraw/zinc/18/23/96/758182396.db2.gz KIOSUQVDVKWCPK-OKILXGFUSA-N 1 2 300.358 1.179 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H]2C[C@@H]2C)C1=O ZINC001017815329 758268695 /nfs/dbraw/zinc/26/86/95/758268695.db2.gz WWXNMJPHWWFBOZ-ARKGTOAJSA-N 1 2 317.433 1.105 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H]2C[C@@H]2C)C1=O ZINC001017815329 758268701 /nfs/dbraw/zinc/26/87/01/758268701.db2.gz WWXNMJPHWWFBOZ-ARKGTOAJSA-N 1 2 317.433 1.105 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(c2cc(C)no2)CC1 ZINC001017847541 758294339 /nfs/dbraw/zinc/29/43/39/758294339.db2.gz QTWGPIZODYECFY-GASCZTMLSA-N 1 2 313.401 1.713 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(c2cc(C)no2)CC1 ZINC001017847541 758294346 /nfs/dbraw/zinc/29/43/46/758294346.db2.gz QTWGPIZODYECFY-GASCZTMLSA-N 1 2 313.401 1.713 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCC[C@@H]1OC)CCO2 ZINC001053318608 758371004 /nfs/dbraw/zinc/37/10/04/758371004.db2.gz JEDLETDDPIOJMF-GJZGRUSLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1occc1C)CCO2 ZINC001053422671 758450280 /nfs/dbraw/zinc/45/02/80/758450280.db2.gz OQTOJNNCFYPEPH-UHFFFAOYSA-N 1 2 304.390 1.620 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2c(cnn2C)c1 ZINC001018099293 758545884 /nfs/dbraw/zinc/54/58/84/758545884.db2.gz IZMBLRNIXCHJLN-IYBDPMFKSA-N 1 2 323.400 1.280 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2c(cnn2C)c1 ZINC001018099293 758545890 /nfs/dbraw/zinc/54/58/90/758545890.db2.gz IZMBLRNIXCHJLN-IYBDPMFKSA-N 1 2 323.400 1.280 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCCC[C@H]1OC)CCO2 ZINC001053546468 758551996 /nfs/dbraw/zinc/55/19/96/758551996.db2.gz OMKXDQUAALMHPN-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(F)nc1)O2 ZINC001053582686 758595224 /nfs/dbraw/zinc/59/52/24/758595224.db2.gz KACUVZRBPNMBNQ-AWEZNQCLSA-N 1 2 319.380 1.760 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CCC3(C[NH+](CC=C)C3)O2)c1 ZINC001053662918 758675460 /nfs/dbraw/zinc/67/54/60/758675460.db2.gz ZYCAMMDCRPCNLG-INIZCTEOSA-N 1 2 311.385 1.212 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C(C)C)n[nH]1)O2 ZINC001053663187 758675904 /nfs/dbraw/zinc/67/59/04/758675904.db2.gz JAKVSTDJBILPEA-ZDUSSCGKSA-N 1 2 318.421 1.682 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C3CC3)n[nH]1)O2 ZINC001053665839 758678780 /nfs/dbraw/zinc/67/87/80/758678780.db2.gz YIZQGRHIYXXOTB-ZDUSSCGKSA-N 1 2 316.405 1.436 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC3(C[NH+](CC=C)C3)O2)nc1 ZINC001053687404 758696987 /nfs/dbraw/zinc/69/69/87/758696987.db2.gz PMTHLCVDXLKFTJ-HNNXBMFYSA-N 1 2 311.385 1.212 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cn(CC)cn1)O2 ZINC001053690975 758699912 /nfs/dbraw/zinc/69/99/12/758699912.db2.gz QHZVPYWVJGPDOK-ZDUSSCGKSA-N 1 2 304.394 1.052 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1[nH]cnc1CC)O2 ZINC001053693522 758702706 /nfs/dbraw/zinc/70/27/06/758702706.db2.gz FNDQMADGWYDKMB-CYBMUJFWSA-N 1 2 318.421 1.511 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1sc(C)cc1C)CO2 ZINC001053815518 758843692 /nfs/dbraw/zinc/84/36/92/758843692.db2.gz OLURDCKAOAYGAA-AWEZNQCLSA-N 1 2 318.442 1.961 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(F)ccc1F)CO2 ZINC001053830196 758860406 /nfs/dbraw/zinc/86/04/06/758860406.db2.gz UEESEVBAZKANPT-CYBMUJFWSA-N 1 2 320.339 1.561 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C13CCC(CC1)C3)CO2 ZINC001053846538 758876732 /nfs/dbraw/zinc/87/67/32/758876732.db2.gz IIQMRKLVANCWIY-ISXOHVOVSA-N 1 2 302.418 1.550 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@H]1CC(C)C)CO2 ZINC001053931411 758968089 /nfs/dbraw/zinc/96/80/89/758968089.db2.gz XNZFIKLIGJUZKK-OWCLPIDISA-N 1 2 304.434 1.651 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C=C)cc1)CO2 ZINC001053935275 758969917 /nfs/dbraw/zinc/96/99/17/758969917.db2.gz JSYZYSFLZXXCII-KRWDZBQOSA-N 1 2 310.397 1.926 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)c1ccc(C)o1)CO2 ZINC001053948482 758985447 /nfs/dbraw/zinc/98/54/47/758985447.db2.gz NIKZMCSNBNGXRM-CABCVRRESA-N 1 2 316.401 1.674 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(CC)nn1C)CO2 ZINC001053964782 759000790 /nfs/dbraw/zinc/00/07/90/759000790.db2.gz NTSPCQJBEKDTET-AWEZNQCLSA-N 1 2 318.421 1.132 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnoc1C1CC1)CO2 ZINC001053971504 759008134 /nfs/dbraw/zinc/00/81/34/759008134.db2.gz MAMNWDZDIWNJOH-CYBMUJFWSA-N 1 2 315.373 1.148 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2COC3(C[NH+](CC(=C)C)C3)C2)cn1 ZINC001053985218 759026578 /nfs/dbraw/zinc/02/65/78/759026578.db2.gz LRBLDZCXBCJETL-MRXNPFEDSA-N 1 2 311.385 1.212 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)c1cccnc1)CO2 ZINC001053985799 759028631 /nfs/dbraw/zinc/02/86/31/759028631.db2.gz ZNSBGTBUKJPXKW-ZBFHGGJFSA-N 1 2 315.417 1.721 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccccc1Cl)CO2 ZINC001053991479 759037322 /nfs/dbraw/zinc/03/73/22/759037322.db2.gz VEMBPIHQPMZLOS-ZDUSSCGKSA-N 1 2 318.804 1.936 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccccc1F)CO2 ZINC001053999282 759046255 /nfs/dbraw/zinc/04/62/55/759046255.db2.gz DOTSRZBNNTXFBT-OAHLLOKOSA-N 1 2 316.376 1.351 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc3ccccc3[nH]1)CO2 ZINC001054003420 759049817 /nfs/dbraw/zinc/04/98/17/759049817.db2.gz SOFISEIWIKSBPP-HNNXBMFYSA-N 1 2 323.396 1.764 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)nc1C)CO2 ZINC001054016148 759063611 /nfs/dbraw/zinc/06/36/11/759063611.db2.gz VQVGPJBZAQLBIN-OAHLLOKOSA-N 1 2 315.417 1.848 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(CC)n(C)n1)CO2 ZINC001054024293 759074957 /nfs/dbraw/zinc/07/49/57/759074957.db2.gz KSZQKLAIIDCLJV-ZDUSSCGKSA-N 1 2 318.421 1.132 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cccn1C ZINC001054033326 759087273 /nfs/dbraw/zinc/08/72/73/759087273.db2.gz QVDAIJSGLKBATF-KRWDZBQOSA-N 1 2 307.397 1.815 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cccn1C ZINC001054033326 759087289 /nfs/dbraw/zinc/08/72/89/759087289.db2.gz QVDAIJSGLKBATF-KRWDZBQOSA-N 1 2 307.397 1.815 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccnn1C ZINC001054034199 759088984 /nfs/dbraw/zinc/08/89/84/759088984.db2.gz JTSQJYJCYYSEKV-QGZVFWFLSA-N 1 2 322.412 1.600 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccnn1C ZINC001054034199 759088988 /nfs/dbraw/zinc/08/89/88/759088988.db2.gz JTSQJYJCYYSEKV-QGZVFWFLSA-N 1 2 322.412 1.600 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1n[nH]cc1C ZINC001054041403 759100448 /nfs/dbraw/zinc/10/04/48/759100448.db2.gz LNTMXNVNJDCUBD-QGZVFWFLSA-N 1 2 322.412 1.898 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1n[nH]cc1C ZINC001054041403 759100452 /nfs/dbraw/zinc/10/04/52/759100452.db2.gz LNTMXNVNJDCUBD-QGZVFWFLSA-N 1 2 322.412 1.898 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cn(CC)cn1 ZINC001054058570 759112413 /nfs/dbraw/zinc/11/24/13/759112413.db2.gz PXXXJRFBHZOMBB-QGZVFWFLSA-N 1 2 322.412 1.693 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cn(CC)cn1 ZINC001054058570 759112417 /nfs/dbraw/zinc/11/24/17/759112417.db2.gz PXXXJRFBHZOMBB-QGZVFWFLSA-N 1 2 322.412 1.693 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC001018679711 759126560 /nfs/dbraw/zinc/12/65/60/759126560.db2.gz QMBMATNODTWHMU-SKDRFNHKSA-N 1 2 314.349 1.143 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(C1)CCCN(C(=O)C(F)C(F)(F)F)C2 ZINC001054135738 759209706 /nfs/dbraw/zinc/20/97/06/759209706.db2.gz JCIIFBVWBGTTIP-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(C1)CCCN(C(=O)C(F)C(F)(F)F)C2 ZINC001054135738 759209714 /nfs/dbraw/zinc/20/97/14/759209714.db2.gz JCIIFBVWBGTTIP-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(C1)CCCN(C(=O)[C@H](F)C(F)(F)F)C2 ZINC001054135738 759209721 /nfs/dbraw/zinc/20/97/21/759209721.db2.gz JCIIFBVWBGTTIP-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(C1)CCCN(C(=O)[C@H](F)C(F)(F)F)C2 ZINC001054135738 759209724 /nfs/dbraw/zinc/20/97/24/759209724.db2.gz JCIIFBVWBGTTIP-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](Nc2ccncc2C#N)C[C@@H]1C ZINC001069129843 767865070 /nfs/dbraw/zinc/86/50/70/767865070.db2.gz LTFBJKLHVGUQDL-FZMZJTMJSA-N 1 2 324.388 1.051 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccccc1C#N)C(=O)[C@H]1CCOC1 ZINC001085507744 759572678 /nfs/dbraw/zinc/57/26/78/759572678.db2.gz MVNUHDFBAXMQBN-IRXDYDNUSA-N 1 2 313.401 1.627 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccccc1C#N)C(=O)[C@H]1CCOC1 ZINC001085507744 759572683 /nfs/dbraw/zinc/57/26/83/759572683.db2.gz MVNUHDFBAXMQBN-IRXDYDNUSA-N 1 2 313.401 1.627 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556545 759701017 /nfs/dbraw/zinc/70/10/17/759701017.db2.gz UBJMRVKWMFQGQE-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556545 759701023 /nfs/dbraw/zinc/70/10/23/759701023.db2.gz UBJMRVKWMFQGQE-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO C[C@@]1(NC(=O)c2cccc(-n3cc[nH+]c3)c2)CCN(CC#N)C1 ZINC001046747291 767883301 /nfs/dbraw/zinc/88/33/01/767883301.db2.gz UQFQXIVDWNRQAE-QGZVFWFLSA-N 1 2 309.373 1.590 20 30 DDEDLO CC#CC[N@@H+]1CC[C@](C)(NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001046748832 767886018 /nfs/dbraw/zinc/88/60/18/767886018.db2.gz ZODCQBKCJWNTMM-SFHVURJKSA-N 1 2 323.400 1.689 20 30 DDEDLO CC#CC[N@H+]1CC[C@](C)(NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001046748832 767886024 /nfs/dbraw/zinc/88/60/24/767886024.db2.gz ZODCQBKCJWNTMM-SFHVURJKSA-N 1 2 323.400 1.689 20 30 DDEDLO CCOC(=O)CN(CCC#N)Cc1c[nH+]c2ccc(C)cn12 ZINC001137310731 759747814 /nfs/dbraw/zinc/74/78/14/759747814.db2.gz OAKCYMNDWDAMSU-UHFFFAOYSA-N 1 2 300.362 1.922 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)n(C(F)F)n1 ZINC001085620562 759876067 /nfs/dbraw/zinc/87/60/67/759876067.db2.gz RSERIBAFGCZMAD-GFCCVEGCSA-N 1 2 310.348 1.756 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)n(C(F)F)n1 ZINC001085620562 759876077 /nfs/dbraw/zinc/87/60/77/759876077.db2.gz RSERIBAFGCZMAD-GFCCVEGCSA-N 1 2 310.348 1.756 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(-c2cccnc2)c1 ZINC001085636392 759904943 /nfs/dbraw/zinc/90/49/43/759904943.db2.gz PVFSTAGLAMKYSK-MRXNPFEDSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(-c2cccnc2)c1 ZINC001085636392 759904949 /nfs/dbraw/zinc/90/49/49/759904949.db2.gz PVFSTAGLAMKYSK-MRXNPFEDSA-N 1 2 309.373 1.047 20 30 DDEDLO C[C@@H]1CN(C(=O)C#CC2CC2)C[C@H]1[NH2+]Cc1nnc(C2CC2)o1 ZINC001054636607 759928274 /nfs/dbraw/zinc/92/82/74/759928274.db2.gz KULBUYOCFGRNBP-BXUZGUMPSA-N 1 2 314.389 1.297 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-n2cccn2)nc1 ZINC001085753781 760159501 /nfs/dbraw/zinc/15/95/01/760159501.db2.gz PFHVPPQRXHUKSW-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-n2cccn2)nc1 ZINC001085753781 760159505 /nfs/dbraw/zinc/15/95/05/760159505.db2.gz PFHVPPQRXHUKSW-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001054977127 760251594 /nfs/dbraw/zinc/25/15/94/760251594.db2.gz URMPYVYLULCBTQ-NEPJUHHUSA-N 1 2 321.425 1.284 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cccnc2C)C1 ZINC001108212919 760380593 /nfs/dbraw/zinc/38/05/93/760380593.db2.gz JQEYGACGOORQPB-SFHVURJKSA-N 1 2 315.417 1.163 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cccnc2C)C1 ZINC001108212919 760380603 /nfs/dbraw/zinc/38/06/03/760380603.db2.gz JQEYGACGOORQPB-SFHVURJKSA-N 1 2 315.417 1.163 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnn1C1CCOCC1 ZINC001085862549 760404958 /nfs/dbraw/zinc/40/49/58/760404958.db2.gz CIEVYHAGFPGVAN-OAHLLOKOSA-N 1 2 316.405 1.014 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnn1C1CCOCC1 ZINC001085862549 760404961 /nfs/dbraw/zinc/40/49/61/760404961.db2.gz CIEVYHAGFPGVAN-OAHLLOKOSA-N 1 2 316.405 1.014 20 30 DDEDLO N#Cc1ccc(NCC[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001066329942 760418911 /nfs/dbraw/zinc/41/89/11/760418911.db2.gz QCYKYEICDYBCIH-CQSZACIVSA-N 1 2 324.388 1.500 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-n2ccnc2)ccn1 ZINC001085906427 760499520 /nfs/dbraw/zinc/49/95/20/760499520.db2.gz IPAFRKIXUYTWNQ-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-n2ccnc2)ccn1 ZINC001085906427 760499524 /nfs/dbraw/zinc/49/95/24/760499524.db2.gz IPAFRKIXUYTWNQ-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001046830745 767960771 /nfs/dbraw/zinc/96/07/71/767960771.db2.gz XLBXIHDFNQCRAT-INIZCTEOSA-N 1 2 321.808 1.732 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001046830745 767960773 /nfs/dbraw/zinc/96/07/73/767960773.db2.gz XLBXIHDFNQCRAT-INIZCTEOSA-N 1 2 321.808 1.732 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)OCCO2 ZINC001085968141 760624248 /nfs/dbraw/zinc/62/42/48/760624248.db2.gz CTYFJJDTVCJEFB-CQSZACIVSA-N 1 2 300.358 1.237 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)OCCO2 ZINC001085968141 760624252 /nfs/dbraw/zinc/62/42/52/760624252.db2.gz CTYFJJDTVCJEFB-CQSZACIVSA-N 1 2 300.358 1.237 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc(OC)c(Cl)c1 ZINC001085984248 760662273 /nfs/dbraw/zinc/66/22/73/760662273.db2.gz YJFPYQRXQFGDKK-LBPRGKRZSA-N 1 2 307.781 1.523 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc(OC)c(Cl)c1 ZINC001085984248 760662277 /nfs/dbraw/zinc/66/22/77/760662277.db2.gz YJFPYQRXQFGDKK-LBPRGKRZSA-N 1 2 307.781 1.523 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CCC(F)(F)F)CC1 ZINC001131345409 768002221 /nfs/dbraw/zinc/00/22/21/768002221.db2.gz YKIKNIRIOYWBGD-UHFFFAOYSA-N 1 2 321.343 1.165 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CCC(F)(F)F)CC1 ZINC001131345409 768002224 /nfs/dbraw/zinc/00/22/24/768002224.db2.gz YKIKNIRIOYWBGD-UHFFFAOYSA-N 1 2 321.343 1.165 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)n([C@@H](C)C2CC2)n1 ZINC001038444175 761123180 /nfs/dbraw/zinc/12/31/80/761123180.db2.gz YUGQKAZUVWNQAX-DZGCQCFKSA-N 1 2 300.406 1.600 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)n([C@@H](C)C2CC2)n1 ZINC001038444175 761123183 /nfs/dbraw/zinc/12/31/83/761123183.db2.gz YUGQKAZUVWNQAX-DZGCQCFKSA-N 1 2 300.406 1.600 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)N(C)C(=O)C2 ZINC001038472776 761145632 /nfs/dbraw/zinc/14/56/32/761145632.db2.gz FVOCJXVZUZOQJC-HNNXBMFYSA-N 1 2 311.385 1.033 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2c(c1)N(C)C(=O)C2 ZINC001038472776 761145634 /nfs/dbraw/zinc/14/56/34/761145634.db2.gz FVOCJXVZUZOQJC-HNNXBMFYSA-N 1 2 311.385 1.033 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2[C@H]3CN(c4cc[nH+]c(C)n4)C[C@H]32)c1 ZINC001056403496 761162999 /nfs/dbraw/zinc/16/29/99/761162999.db2.gz LDHNVHUTCUIJJQ-QLPKVWCKSA-N 1 2 319.368 1.026 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc3ccccn3c2)C1 ZINC001108258265 761253429 /nfs/dbraw/zinc/25/34/29/761253429.db2.gz PSOQZLSKICUCHX-SFHVURJKSA-N 1 2 313.401 1.946 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc3ccccn3c2)C1 ZINC001108258265 761253438 /nfs/dbraw/zinc/25/34/38/761253438.db2.gz PSOQZLSKICUCHX-SFHVURJKSA-N 1 2 313.401 1.946 20 30 DDEDLO Cc1nc(NC[C@H]2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001069465545 768029543 /nfs/dbraw/zinc/02/95/43/768029543.db2.gz GZMQGVSMZRFKLR-QWHCGFSZSA-N 1 2 324.388 1.995 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cncs1 ZINC001038714983 761319208 /nfs/dbraw/zinc/31/92/08/761319208.db2.gz ZNLVUXFBDANKHD-BNOWGMLFSA-N 1 2 307.419 1.425 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cncs1 ZINC001038714983 761319215 /nfs/dbraw/zinc/31/92/15/761319215.db2.gz ZNLVUXFBDANKHD-BNOWGMLFSA-N 1 2 307.419 1.425 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001069483305 768034241 /nfs/dbraw/zinc/03/42/41/768034241.db2.gz HQMMIQUQQWWCRU-QWHCGFSZSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001069483559 768034625 /nfs/dbraw/zinc/03/46/25/768034625.db2.gz KQZYDKIQBBRYDL-GXTWGEPZSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001069510110 768039734 /nfs/dbraw/zinc/03/97/34/768039734.db2.gz FNCVJSXKOFLOFX-TZMCWYRMSA-N 1 2 324.388 1.616 20 30 DDEDLO Cc1conc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038885935 761510177 /nfs/dbraw/zinc/51/01/77/761510177.db2.gz GMJMNMQTKGRILY-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1conc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038885935 761510181 /nfs/dbraw/zinc/51/01/81/761510181.db2.gz GMJMNMQTKGRILY-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(NC(=O)NC)cc1 ZINC001039061084 761700287 /nfs/dbraw/zinc/70/02/87/761700287.db2.gz OVVSPCYQGLZJAI-HNNXBMFYSA-N 1 2 314.389 1.265 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(NC(=O)NC)cc1 ZINC001039061084 761700290 /nfs/dbraw/zinc/70/02/90/761700290.db2.gz OVVSPCYQGLZJAI-HNNXBMFYSA-N 1 2 314.389 1.265 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(-n2ccnn2)c1 ZINC001039086049 761724691 /nfs/dbraw/zinc/72/46/91/761724691.db2.gz YKBPEPBICVHHEW-INIZCTEOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(-n2ccnn2)c1 ZINC001039086049 761724696 /nfs/dbraw/zinc/72/46/96/761724696.db2.gz YKBPEPBICVHHEW-INIZCTEOSA-N 1 2 309.373 1.095 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CC(CC)CC)CC2=O)C1 ZINC001108583401 762749246 /nfs/dbraw/zinc/74/92/46/762749246.db2.gz MYDFZVZFUUVYGY-CQSZACIVSA-N 1 2 307.438 1.400 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCN(c2ccncc2C#N)CC1 ZINC001057162462 762809179 /nfs/dbraw/zinc/80/91/79/762809179.db2.gz IZJGKJYOVGCMES-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO Cc1cc(N2CCCN(C(=O)Cc3[nH]cc[nH+]3)CC2)c(C#N)cn1 ZINC001057167856 762823358 /nfs/dbraw/zinc/82/33/58/762823358.db2.gz CWFXUFKZBIIGNE-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO N#Cc1cnccc1N1CCCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001057208389 762942231 /nfs/dbraw/zinc/94/22/31/762942231.db2.gz AZEUGGSTSDYRKG-UHFFFAOYSA-N 1 2 324.388 1.279 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CCCC#CC)CC1 ZINC001131374013 768099212 /nfs/dbraw/zinc/09/92/12/768099212.db2.gz INCOGAAZIAZZHC-UHFFFAOYSA-N 1 2 305.422 1.017 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CCCC#CC)CC1 ZINC001131374013 768099220 /nfs/dbraw/zinc/09/92/20/768099220.db2.gz INCOGAAZIAZZHC-UHFFFAOYSA-N 1 2 305.422 1.017 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001108855509 763013853 /nfs/dbraw/zinc/01/38/53/763013853.db2.gz SFFOKRCKJRURGH-OLZOCXBDSA-N 1 2 306.410 1.707 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCCN(C(=O)c3cnn(C)n3)[C@@H]2C1 ZINC001050161786 763243002 /nfs/dbraw/zinc/24/30/02/763243002.db2.gz HNEAJHDZXMOMFN-WCQYABFASA-N 1 2 309.801 1.104 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCCN(C(=O)c3cnn(C)n3)[C@@H]2C1 ZINC001050161786 763243009 /nfs/dbraw/zinc/24/30/09/763243009.db2.gz HNEAJHDZXMOMFN-WCQYABFASA-N 1 2 309.801 1.104 20 30 DDEDLO Cc1nc(N2C[C@@H](C)[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001041842960 763399638 /nfs/dbraw/zinc/39/96/38/763399638.db2.gz RIXVOYOXXPXBMT-QMTHXVAHSA-N 1 2 310.361 1.240 20 30 DDEDLO CC(C)(C)NC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109251744 763516960 /nfs/dbraw/zinc/51/69/60/763516960.db2.gz JDVCWHTWAZITHX-ILXRZTDVSA-N 1 2 317.433 1.036 20 30 DDEDLO CC(C)(C)NC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109251744 763516966 /nfs/dbraw/zinc/51/69/66/763516966.db2.gz JDVCWHTWAZITHX-ILXRZTDVSA-N 1 2 317.433 1.036 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@H+](Cc3cnns3)[C@@H]2C1 ZINC001042057327 763600737 /nfs/dbraw/zinc/60/07/37/763600737.db2.gz IMDRIWSOBAFLNK-DZGCQCFKSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@@H+](Cc3cnns3)[C@@H]2C1 ZINC001042057327 763600742 /nfs/dbraw/zinc/60/07/42/763600742.db2.gz IMDRIWSOBAFLNK-DZGCQCFKSA-N 1 2 316.430 1.374 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C(C)(C)C)C2 ZINC001109451073 763720562 /nfs/dbraw/zinc/72/05/62/763720562.db2.gz AJRSDLSNQDSMFY-XGUBFFRZSA-N 1 2 321.465 1.692 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C(C)(C)C)C2 ZINC001109451073 763720570 /nfs/dbraw/zinc/72/05/70/763720570.db2.gz AJRSDLSNQDSMFY-XGUBFFRZSA-N 1 2 321.465 1.692 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3CC[N@H+](Cc4cnon4)[C@@H]3C2)C1 ZINC001042223249 763783974 /nfs/dbraw/zinc/78/39/74/763783974.db2.gz CFIIWQJTECZJOA-DZGCQCFKSA-N 1 2 316.405 1.849 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3CC[N@@H+](Cc4cnon4)[C@@H]3C2)C1 ZINC001042223249 763783980 /nfs/dbraw/zinc/78/39/80/763783980.db2.gz CFIIWQJTECZJOA-DZGCQCFKSA-N 1 2 316.405 1.849 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3cccc4[nH]cnc43)C[C@@H]21 ZINC001042282794 763857088 /nfs/dbraw/zinc/85/70/88/763857088.db2.gz GPNSZPKLHNPBBA-BBRMVZONSA-N 1 2 308.385 1.733 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3cccc4[nH]cnc43)C[C@@H]21 ZINC001042282794 763857100 /nfs/dbraw/zinc/85/71/00/763857100.db2.gz GPNSZPKLHNPBBA-BBRMVZONSA-N 1 2 308.385 1.733 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)CCC)C2 ZINC001109662698 763926425 /nfs/dbraw/zinc/92/64/25/763926425.db2.gz UZUPZUFTPQMHCY-ILXRZTDVSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)CCC)C2 ZINC001109662698 763926431 /nfs/dbraw/zinc/92/64/31/763926431.db2.gz UZUPZUFTPQMHCY-ILXRZTDVSA-N 1 2 321.465 1.836 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@H]21 ZINC001042350979 763951499 /nfs/dbraw/zinc/95/14/99/763951499.db2.gz LUMKZWFKBKBJCL-BLLLJJGKSA-N 1 2 309.373 1.128 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@H]21 ZINC001042350979 763951506 /nfs/dbraw/zinc/95/15/06/763951506.db2.gz LUMKZWFKBKBJCL-BLLLJJGKSA-N 1 2 309.373 1.128 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](COC)OC)C2 ZINC001109696375 763958974 /nfs/dbraw/zinc/95/89/74/763958974.db2.gz ZFHXQHUISHOSDF-MROQNXINSA-N 1 2 302.802 1.122 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](COC)OC)C2 ZINC001109696375 763958980 /nfs/dbraw/zinc/95/89/80/763958980.db2.gz ZFHXQHUISHOSDF-MROQNXINSA-N 1 2 302.802 1.122 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnc(C)cn1 ZINC001050802395 764098442 /nfs/dbraw/zinc/09/84/42/764098442.db2.gz JKJNPHHLTMCBDV-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnc(C)cn1 ZINC001050802395 764098452 /nfs/dbraw/zinc/09/84/52/764098452.db2.gz JKJNPHHLTMCBDV-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](CNc1ccc(C#N)cn1)C1CC1 ZINC001109882274 764155800 /nfs/dbraw/zinc/15/58/00/764155800.db2.gz VALNLZZRRSUATG-HNNXBMFYSA-N 1 2 324.388 1.534 20 30 DDEDLO C[C@@H](F)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001050880022 764226412 /nfs/dbraw/zinc/22/64/12/764226412.db2.gz KJOVZRDRKXTOHV-BXUZGUMPSA-N 1 2 308.357 1.065 20 30 DDEDLO C[C@@H](F)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001050880022 764226419 /nfs/dbraw/zinc/22/64/19/764226419.db2.gz KJOVZRDRKXTOHV-BXUZGUMPSA-N 1 2 308.357 1.065 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1CC ZINC001050894172 764244752 /nfs/dbraw/zinc/24/47/52/764244752.db2.gz HLWNVBCKIZLAKL-AWEZNQCLSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1CC ZINC001050894172 764244753 /nfs/dbraw/zinc/24/47/53/764244753.db2.gz HLWNVBCKIZLAKL-AWEZNQCLSA-N 1 2 306.410 1.300 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001057684112 764328303 /nfs/dbraw/zinc/32/83/03/764328303.db2.gz NJSCWVWBSBMQEP-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3nc(C)c[nH]3)c2C1 ZINC001069877180 768206747 /nfs/dbraw/zinc/20/67/47/768206747.db2.gz ILBFSACOWUBGLE-UHFFFAOYSA-N 1 2 314.393 1.236 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3nc(C)c[nH]3)c2C1 ZINC001069877180 768206750 /nfs/dbraw/zinc/20/67/50/768206750.db2.gz ILBFSACOWUBGLE-UHFFFAOYSA-N 1 2 314.393 1.236 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CC)cc1 ZINC001050989828 764388807 /nfs/dbraw/zinc/38/88/07/764388807.db2.gz WRBSVJQMUNIKGU-HNNXBMFYSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CC)cc1 ZINC001050989828 764388813 /nfs/dbraw/zinc/38/88/13/764388813.db2.gz WRBSVJQMUNIKGU-HNNXBMFYSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001051053644 764456038 /nfs/dbraw/zinc/45/60/38/764456038.db2.gz DCZFCRNDBLYHGV-OAHLLOKOSA-N 1 2 320.437 1.434 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001051053644 764456045 /nfs/dbraw/zinc/45/60/45/764456045.db2.gz DCZFCRNDBLYHGV-OAHLLOKOSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnc(C2CC2)nc1 ZINC001051154465 764561674 /nfs/dbraw/zinc/56/16/74/764561674.db2.gz VZQXNEQTIPQNAE-OAHLLOKOSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnc(C2CC2)nc1 ZINC001051154465 764561684 /nfs/dbraw/zinc/56/16/84/764561684.db2.gz VZQXNEQTIPQNAE-OAHLLOKOSA-N 1 2 316.405 1.361 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(OC)c(OC)c2C)C1 ZINC001043029457 764598802 /nfs/dbraw/zinc/59/88/02/764598802.db2.gz PUVLACAAHZNTQQ-UHFFFAOYSA-N 1 2 316.401 1.792 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](C[C@@H](O)c2cccc(OC)c2)CC1 ZINC001112688604 764599445 /nfs/dbraw/zinc/59/94/45/764599445.db2.gz UIUDGZGNFUADBT-QGZVFWFLSA-N 1 2 318.417 1.839 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1coc(C2CCC2)n1 ZINC001051203230 764615059 /nfs/dbraw/zinc/61/50/59/764615059.db2.gz PFBCTHKPXDVOPY-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1coc(C2CCC2)n1 ZINC001051203230 764615070 /nfs/dbraw/zinc/61/50/70/764615070.db2.gz PFBCTHKPXDVOPY-CQSZACIVSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)onc1CC ZINC001051239466 764662587 /nfs/dbraw/zinc/66/25/87/764662587.db2.gz ALTDIYVXQFYOIR-CQSZACIVSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)onc1CC ZINC001051239466 764662593 /nfs/dbraw/zinc/66/25/93/764662593.db2.gz ALTDIYVXQFYOIR-CQSZACIVSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1nn(CC)nc1C ZINC001051272801 764696852 /nfs/dbraw/zinc/69/68/52/764696852.db2.gz KALXCZGXBBSMHQ-CQSZACIVSA-N 1 2 321.425 1.003 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1nn(CC)nc1C ZINC001051272801 764696858 /nfs/dbraw/zinc/69/68/58/764696858.db2.gz KALXCZGXBBSMHQ-CQSZACIVSA-N 1 2 321.425 1.003 20 30 DDEDLO N#Cc1ccc(NCC2CC(NC(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001112873839 764912632 /nfs/dbraw/zinc/91/26/32/764912632.db2.gz BWZQDRWFGWXLFY-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NCC2CC(NC(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001112873839 764912639 /nfs/dbraw/zinc/91/26/39/764912639.db2.gz BWZQDRWFGWXLFY-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(COC)CCCCC2)CC1 ZINC001112933374 764991375 /nfs/dbraw/zinc/99/13/75/764991375.db2.gz QBJZLWKXMLNDIH-UHFFFAOYSA-N 1 2 324.465 1.930 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2C[NH+](CCc3ccnn3C)C2)C1 ZINC001043812357 765111122 /nfs/dbraw/zinc/11/11/22/765111122.db2.gz ZQRJIERINRGCHY-UHFFFAOYSA-N 1 2 302.422 1.462 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnc(C3CC3)[nH]c2=O)C1 ZINC001044014490 765233232 /nfs/dbraw/zinc/23/32/32/765233232.db2.gz WZQHVNCEWLIMRV-UHFFFAOYSA-N 1 2 302.378 1.392 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@H]2Cc3ccccc3O2)CC1 ZINC001113080919 765243048 /nfs/dbraw/zinc/24/30/48/765243048.db2.gz ZQWKLKMJKPIOCZ-QGZVFWFLSA-N 1 2 316.401 1.337 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccccn3)C2)CC1 ZINC001051970200 765327559 /nfs/dbraw/zinc/32/75/59/765327559.db2.gz ZKZAEMIJKMEAPS-OAHLLOKOSA-N 1 2 300.406 1.100 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccsc3)C2)CC1 ZINC001051974292 765333743 /nfs/dbraw/zinc/33/37/43/765333743.db2.gz DISFZTAICWHXKG-OAHLLOKOSA-N 1 2 303.431 1.213 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001113155930 765346497 /nfs/dbraw/zinc/34/64/97/765346497.db2.gz SZLWUFHKGRAFPL-WDEREUQCSA-N 1 2 312.377 1.533 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)c3cc(Cl)c[nH]3)C2)CC1 ZINC001051983383 765347348 /nfs/dbraw/zinc/34/73/48/765347348.db2.gz NZBNVDHJSYPABG-ZDUSSCGKSA-N 1 2 321.812 1.024 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[NH+](CCOC2CCC2)CC1 ZINC001113164640 765360309 /nfs/dbraw/zinc/36/03/09/765360309.db2.gz VCMKVYSRTDULGG-SFHVURJKSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3oc(C)cc3C)C2)CC1 ZINC001052006195 765373305 /nfs/dbraw/zinc/37/33/05/765373305.db2.gz NNKRFEASDWGBQE-MRXNPFEDSA-N 1 2 317.433 1.915 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3CC3(C)C)C2)CC1 ZINC001052013478 765381527 /nfs/dbraw/zinc/38/15/27/765381527.db2.gz ZSJAULCZFKJPPH-JKSUJKDBSA-N 1 2 305.466 1.827 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+](C[C@H](O)CC(F)(F)F)CC1 ZINC001113190682 765387693 /nfs/dbraw/zinc/38/76/93/765387693.db2.gz SSNVFPUWIFXIHT-LLVKDONJSA-N 1 2 308.344 1.656 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C3=CCOCC3)C2)CC1 ZINC001052016981 765389230 /nfs/dbraw/zinc/38/92/30/765389230.db2.gz CHPXDIYMGINCDP-QGZVFWFLSA-N 1 2 319.449 1.128 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)CN2Cc3ccccc3C2=O)C1 ZINC001044202351 765390885 /nfs/dbraw/zinc/39/08/85/765390885.db2.gz SJYQESZHFWMNAU-UHFFFAOYSA-N 1 2 313.401 1.361 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H](C)c3ccco3)C2)CC1 ZINC001052082674 765456029 /nfs/dbraw/zinc/45/60/29/765456029.db2.gz VDSTYHUCOLMTJK-CVEARBPZSA-N 1 2 315.417 1.235 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3CC34CCC4)C2)CC1 ZINC001052091044 765463078 /nfs/dbraw/zinc/46/30/78/765463078.db2.gz BQZWIQWICPWKBJ-IAGOWNOFSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)(CC)CC)C2)CC1 ZINC001052119108 765483560 /nfs/dbraw/zinc/48/35/60/765483560.db2.gz KCVRBUHPBCYBQS-INIZCTEOSA-N 1 2 305.466 1.664 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001052187121 765561550 /nfs/dbraw/zinc/56/15/50/765561550.db2.gz GWGPDQIMDHQXOR-UONOGXRCSA-N 1 2 304.394 1.060 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001113360158 765610540 /nfs/dbraw/zinc/61/05/40/765610540.db2.gz OWNZWZNCGBNXPG-SJORKVTESA-N 1 2 322.449 1.538 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](CCOCCC(C)C)CC1 ZINC001113361328 765613344 /nfs/dbraw/zinc/61/33/44/765613344.db2.gz PRORWWNTAWKRJS-SJORKVTESA-N 1 2 324.465 1.784 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1cnc(C#N)cn1 ZINC001113352519 765616915 /nfs/dbraw/zinc/61/69/15/765616915.db2.gz UEKNXYRCOQJVMT-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1cnc(C#N)cn1 ZINC001113352519 765616917 /nfs/dbraw/zinc/61/69/17/765616917.db2.gz UEKNXYRCOQJVMT-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113582639 765913050 /nfs/dbraw/zinc/91/30/50/765913050.db2.gz LYMFNTYRXJUWFT-DIFFPNOSSA-N 1 2 320.437 1.670 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)C(C)(C)NC(=O)NCCC)CC1 ZINC001113680269 766011285 /nfs/dbraw/zinc/01/12/85/766011285.db2.gz FTGDRTPRKMHAEQ-UHFFFAOYSA-N 1 2 310.442 1.195 20 30 DDEDLO N#Cc1ccc(N2CCC(NC(=O)Cc3[nH]cc[nH+]3)CC2)nc1 ZINC001057893780 766124078 /nfs/dbraw/zinc/12/40/78/766124078.db2.gz LRAATSWBYWBJQO-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)C1CCN(c2ccc(C#N)nc2)CC1 ZINC001058058671 766202386 /nfs/dbraw/zinc/20/23/86/766202386.db2.gz XOTKOCMAXIKZKQ-UHFFFAOYSA-N 1 2 324.388 1.277 20 30 DDEDLO C[C@H]1CCN(c2ccc(C#N)cn2)C[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067965717 766843188 /nfs/dbraw/zinc/84/31/88/766843188.db2.gz QHNAGCYUFOBXJP-DZGCQCFKSA-N 1 2 324.388 1.181 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C34CCC(CC3)C4)CC2)C1 ZINC001046042169 766849602 /nfs/dbraw/zinc/84/96/02/766849602.db2.gz WMPAVKVVPSLGTF-PQUAAJSLSA-N 1 2 316.449 1.309 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C[C@@H](CC)C(C)C)[C@@H](n2ccnn2)C1 ZINC001129396807 766869450 /nfs/dbraw/zinc/86/94/50/766869450.db2.gz MORYSHBSRQTESN-OAGGEKHMSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C[C@@H](CC)C(C)C)[C@@H](n2ccnn2)C1 ZINC001129396807 766869460 /nfs/dbraw/zinc/86/94/60/766869460.db2.gz MORYSHBSRQTESN-OAGGEKHMSA-N 1 2 317.437 1.325 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)CC1CC1 ZINC001121609885 782591591 /nfs/dbraw/zinc/59/15/91/782591591.db2.gz PGDZYOIWPLKQOB-KGLIPLIRSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)CC1CC1 ZINC001121609885 782591602 /nfs/dbraw/zinc/59/16/02/782591602.db2.gz PGDZYOIWPLKQOB-KGLIPLIRSA-N 1 2 305.426 1.438 20 30 DDEDLO C[C@@H]1C[C@@H](CNc2ccc(C#N)nc2)CN1C(=O)Cn1cc[nH+]c1 ZINC001068335287 767119718 /nfs/dbraw/zinc/11/97/18/767119718.db2.gz JKUTZPAJDZWFRI-KGLIPLIRSA-N 1 2 324.388 1.499 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001046498081 767630150 /nfs/dbraw/zinc/63/01/50/767630150.db2.gz KPEHQYDNRQCYTO-IOASZLSFSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001046498081 767630154 /nfs/dbraw/zinc/63/01/54/767630154.db2.gz KPEHQYDNRQCYTO-IOASZLSFSA-N 1 2 322.840 1.857 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)[C@@H]2C)ccc1C#N ZINC001068866131 767669447 /nfs/dbraw/zinc/66/94/47/767669447.db2.gz YYTSXOXFIYWADS-UKRRQHHQSA-N 1 2 324.388 1.560 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001046631702 767756494 /nfs/dbraw/zinc/75/64/94/767756494.db2.gz JMEJKRGVRITBRR-JKIFEVAISA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2ncc(C)o2)C1 ZINC001046631702 767756498 /nfs/dbraw/zinc/75/64/98/767756498.db2.gz JMEJKRGVRITBRR-JKIFEVAISA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CNC(=O)CCC)CC[C@@H]1C ZINC001131862213 768419513 /nfs/dbraw/zinc/41/95/13/768419513.db2.gz SMNFDSFMSHDQBP-STQMWFEESA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CNC(=O)CCC)CC[C@@H]1C ZINC001131862213 768419515 /nfs/dbraw/zinc/41/95/15/768419515.db2.gz SMNFDSFMSHDQBP-STQMWFEESA-N 1 2 315.845 1.624 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+]Cc1ncc(CC)o1 ZINC001131867824 768444300 /nfs/dbraw/zinc/44/43/00/768444300.db2.gz WMUXKZZCGWISML-INIZCTEOSA-N 1 2 309.410 1.672 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096186125 768480285 /nfs/dbraw/zinc/48/02/85/768480285.db2.gz PDQGOLFFYFTGFA-AWEZNQCLSA-N 1 2 304.394 1.463 20 30 DDEDLO CCCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132018619 768576509 /nfs/dbraw/zinc/57/65/09/768576509.db2.gz XZHDTJNMEOVTER-CABCVRRESA-N 1 2 307.438 1.141 20 30 DDEDLO CCCNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132018619 768576511 /nfs/dbraw/zinc/57/65/11/768576511.db2.gz XZHDTJNMEOVTER-CABCVRRESA-N 1 2 307.438 1.141 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132068836 768604245 /nfs/dbraw/zinc/60/42/45/768604245.db2.gz KMVLRPZQOPWPHR-KGLIPLIRSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132068836 768604251 /nfs/dbraw/zinc/60/42/51/768604251.db2.gz KMVLRPZQOPWPHR-KGLIPLIRSA-N 1 2 319.453 1.887 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CC)c(C)s2)C1 ZINC001047621416 768618123 /nfs/dbraw/zinc/61/81/23/768618123.db2.gz NVSXFUGSABFWJS-GJZGRUSLSA-N 1 2 320.458 1.759 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CC)c(C)s2)C1 ZINC001047621416 768618128 /nfs/dbraw/zinc/61/81/28/768618128.db2.gz NVSXFUGSABFWJS-GJZGRUSLSA-N 1 2 320.458 1.759 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C2(C)CCCC2)CC[C@@H]1C ZINC001132201463 768684686 /nfs/dbraw/zinc/68/46/86/768684686.db2.gz YUHOAPWRGOHOAG-LSDHHAIUSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C2(C)CCCC2)CC[C@@H]1C ZINC001132201463 768684689 /nfs/dbraw/zinc/68/46/89/768684689.db2.gz YUHOAPWRGOHOAG-LSDHHAIUSA-N 1 2 319.449 1.285 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2cc(C)co2)CC[C@@H]1C ZINC001132236162 768707536 /nfs/dbraw/zinc/70/75/36/768707536.db2.gz ZDMIAULFRHJERA-UONOGXRCSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2cc(C)co2)CC[C@@H]1C ZINC001132236162 768707539 /nfs/dbraw/zinc/70/75/39/768707539.db2.gz ZDMIAULFRHJERA-UONOGXRCSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCOCC[N@H+]1C[C@@H](NC(=O)c2[nH]ncc2F)CC[C@@H]1C ZINC001132272812 768729907 /nfs/dbraw/zinc/72/99/07/768729907.db2.gz BYGIRQBCUPYEJQ-RYUDHWBXSA-N 1 2 310.373 1.334 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@@H](NC(=O)c2[nH]ncc2F)CC[C@@H]1C ZINC001132272812 768729910 /nfs/dbraw/zinc/72/99/10/768729910.db2.gz BYGIRQBCUPYEJQ-RYUDHWBXSA-N 1 2 310.373 1.334 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[C@@H](C)[N@H+](CC(=O)NCCC)C2)C1 ZINC001132274678 768732121 /nfs/dbraw/zinc/73/21/21/768732121.db2.gz YDSNPMLJHGWUSP-CABCVRRESA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[C@@H](C)[N@@H+](CC(=O)NCCC)C2)C1 ZINC001132274678 768732126 /nfs/dbraw/zinc/73/21/26/768732126.db2.gz YDSNPMLJHGWUSP-CABCVRRESA-N 1 2 321.465 1.838 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001132304133 768747667 /nfs/dbraw/zinc/74/76/67/768747667.db2.gz GUVGBJMSWXXMNJ-UHFFFAOYSA-N 1 2 306.410 1.830 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2CC(C)C2)CC1 ZINC001070952969 768807797 /nfs/dbraw/zinc/80/77/97/768807797.db2.gz RGEXLTSWRMVDPP-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2CC(C)C2)CC1 ZINC001070952969 768807802 /nfs/dbraw/zinc/80/78/02/768807802.db2.gz RGEXLTSWRMVDPP-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nonc1C)C2 ZINC001096265965 768846951 /nfs/dbraw/zinc/84/69/51/768846951.db2.gz BRTFCFPLCQNBCT-WXHSDQCUSA-N 1 2 310.785 1.395 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nonc1C)C2 ZINC001096265965 768846965 /nfs/dbraw/zinc/84/69/65/768846965.db2.gz BRTFCFPLCQNBCT-WXHSDQCUSA-N 1 2 310.785 1.395 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC[C@H](C)C2)CC1 ZINC001071005807 768870611 /nfs/dbraw/zinc/87/06/11/768870611.db2.gz JDBXBYCGGADTMS-GJZGRUSLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC[C@H](C)C2)CC1 ZINC001071005807 768870627 /nfs/dbraw/zinc/87/06/27/768870627.db2.gz JDBXBYCGGADTMS-GJZGRUSLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@]2(C1)CN(C(C)=O)C[C@H](C)O2 ZINC001071110566 768956965 /nfs/dbraw/zinc/95/69/65/768956965.db2.gz QJPZXVXEWNPCKJ-AAEUAGOBSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@]2(C1)CN(C(C)=O)C[C@H](C)O2 ZINC001071110566 768956970 /nfs/dbraw/zinc/95/69/70/768956970.db2.gz QJPZXVXEWNPCKJ-AAEUAGOBSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001132569529 768992636 /nfs/dbraw/zinc/99/26/36/768992636.db2.gz TWHACKFPFRLWTN-VXGBXAGGSA-N 1 2 318.377 1.994 20 30 DDEDLO Cc1cc(N2CC[C@@H](NC(=O)CCc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001096376045 769494897 /nfs/dbraw/zinc/49/48/97/769494897.db2.gz XQHVPUNJJUXQLQ-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C1CCC(C(=O)NCC[NH2+]Cc2nc(CCOC)no2)CC1 ZINC001133368821 769753170 /nfs/dbraw/zinc/75/31/70/769753170.db2.gz IMUOGVXXZOCLLS-UHFFFAOYSA-N 1 2 322.409 1.211 20 30 DDEDLO C#CCCCC(=O)N1C[C@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@@H](C)C1 ZINC001071987233 770391155 /nfs/dbraw/zinc/39/11/55/770391155.db2.gz DKYWGALVGKCQKZ-WFASDCNBSA-N 1 2 316.405 1.027 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001072480886 770954926 /nfs/dbraw/zinc/95/49/26/770954926.db2.gz JVAORBJWNJEHOI-SMDDNHRTSA-N 1 2 304.394 1.190 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891237 771237129 /nfs/dbraw/zinc/23/71/29/771237129.db2.gz DUWNHHMRYNOYQN-SJORKVTESA-N 1 2 323.400 1.660 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891237 771237131 /nfs/dbraw/zinc/23/71/31/771237131.db2.gz DUWNHHMRYNOYQN-SJORKVTESA-N 1 2 323.400 1.660 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049890993 771237893 /nfs/dbraw/zinc/23/78/93/771237893.db2.gz CGZMCZSTXNGBCF-JKSUJKDBSA-N 1 2 311.389 1.823 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049890993 771237895 /nfs/dbraw/zinc/23/78/95/771237895.db2.gz CGZMCZSTXNGBCF-JKSUJKDBSA-N 1 2 311.389 1.823 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC001049895562 771240336 /nfs/dbraw/zinc/24/03/36/771240336.db2.gz IZIIMYROBDNTNU-DLBZAZTESA-N 1 2 324.384 1.982 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC001049895562 771240337 /nfs/dbraw/zinc/24/03/37/771240337.db2.gz IZIIMYROBDNTNU-DLBZAZTESA-N 1 2 324.384 1.982 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)co1 ZINC001049999939 771354095 /nfs/dbraw/zinc/35/40/95/771354095.db2.gz PHUIVWUXMPNBNX-OSAQELSMSA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)co1 ZINC001049999939 771354100 /nfs/dbraw/zinc/35/41/00/771354100.db2.gz PHUIVWUXMPNBNX-OSAQELSMSA-N 1 2 302.378 1.566 20 30 DDEDLO N#Cc1ccc(N[C@@H](CNC(=O)CCc2c[nH]c[nH+]2)C2CC2)cn1 ZINC001096840507 771480049 /nfs/dbraw/zinc/48/00/49/771480049.db2.gz XYTUHTLYXSXAAZ-INIZCTEOSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(N[C@@H](CNC(=O)CCc2c[nH+]c[nH]2)C2CC2)cn1 ZINC001096840507 771480052 /nfs/dbraw/zinc/48/00/52/771480052.db2.gz XYTUHTLYXSXAAZ-INIZCTEOSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@H+](Cc2cscn2)CC1 ZINC001159595170 771724703 /nfs/dbraw/zinc/72/47/03/771724703.db2.gz WTQAAOVAHBBDTF-GFCCVEGCSA-N 1 2 304.419 1.941 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@@H+](Cc2cscn2)CC1 ZINC001159595170 771724707 /nfs/dbraw/zinc/72/47/07/771724707.db2.gz WTQAAOVAHBBDTF-GFCCVEGCSA-N 1 2 304.419 1.941 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccccc2OC)[C@H](O)C1 ZINC001090742879 772154376 /nfs/dbraw/zinc/15/43/76/772154376.db2.gz CKPWNXKUTVZHEC-ZIAGYGMSSA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccccc2OC)[C@H](O)C1 ZINC001090742879 772154381 /nfs/dbraw/zinc/15/43/81/772154381.db2.gz CKPWNXKUTVZHEC-ZIAGYGMSSA-N 1 2 324.808 1.613 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](Cc2cncc(F)c2)CCCO1 ZINC001149339445 772288398 /nfs/dbraw/zinc/28/83/98/772288398.db2.gz CBLZJOVFDZDIMQ-INIZCTEOSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](Cc2cncc(F)c2)CCCO1 ZINC001149339445 772288402 /nfs/dbraw/zinc/28/84/02/772288402.db2.gz CBLZJOVFDZDIMQ-INIZCTEOSA-N 1 2 321.396 1.894 20 30 DDEDLO N#Cc1cccc(Cl)c1C[NH+]1CCC2(CC1)NC(=O)NC2=O ZINC001143730738 772299294 /nfs/dbraw/zinc/29/92/94/772299294.db2.gz AAJGKOYWNUDSMG-UHFFFAOYSA-N 1 2 318.764 1.386 20 30 DDEDLO N#Cc1cncc(C[N@H+]2C[C@@H]3CCN(C(=O)c4ccco4)[C@@H]3C2)c1 ZINC001144080339 772396260 /nfs/dbraw/zinc/39/62/60/772396260.db2.gz ITQWXHIPTFFXAU-JKSUJKDBSA-N 1 2 322.368 1.893 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2C[C@@H]3CCN(C(=O)c4ccco4)[C@@H]3C2)c1 ZINC001144080339 772396263 /nfs/dbraw/zinc/39/62/63/772396263.db2.gz ITQWXHIPTFFXAU-JKSUJKDBSA-N 1 2 322.368 1.893 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2ccc3cc[nH]c3n2)CC1 ZINC001144179774 772433932 /nfs/dbraw/zinc/43/39/32/772433932.db2.gz SUMAHJCZORDWNJ-UHFFFAOYSA-N 1 2 319.372 1.547 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1Nc1cc[nH+]c(C)n1 ZINC001091282167 772654554 /nfs/dbraw/zinc/65/45/54/772654554.db2.gz ZGISDFFKEBCOEV-NWANDNLSSA-N 1 2 316.405 1.663 20 30 DDEDLO Cc1c(NC(=[NH2+])c2ccc(C(N)=O)cc2)cnc2nccnc12 ZINC001171256039 772746102 /nfs/dbraw/zinc/74/61/02/772746102.db2.gz GDNQOLYSZCDXSV-UHFFFAOYSA-N 1 2 306.329 1.469 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H]1C[C@H](Nc2ccc(C#N)nc2)C1 ZINC001091468422 772775667 /nfs/dbraw/zinc/77/56/67/772775667.db2.gz ICWAIZNTOKVFFQ-NNUKFRKNSA-N 1 2 324.388 1.236 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC3(CN(C(=O)CSCC#N)C3)C2)o1 ZINC001147026417 773020529 /nfs/dbraw/zinc/02/05/29/773020529.db2.gz SBVMDBQYYXERGB-UHFFFAOYSA-N 1 2 320.418 1.274 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC3(CN(C(=O)CSCC#N)C3)C2)o1 ZINC001147026417 773020534 /nfs/dbraw/zinc/02/05/34/773020534.db2.gz SBVMDBQYYXERGB-UHFFFAOYSA-N 1 2 320.418 1.274 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC2(C1)CC[N@H+](Cc1cscn1)C2 ZINC001147422677 773140303 /nfs/dbraw/zinc/14/03/03/773140303.db2.gz VVVJWEOMFXFMKD-OAHLLOKOSA-N 1 2 321.446 1.505 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC2(C1)CC[N@@H+](Cc1cscn1)C2 ZINC001147422677 773140310 /nfs/dbraw/zinc/14/03/10/773140310.db2.gz VVVJWEOMFXFMKD-OAHLLOKOSA-N 1 2 321.446 1.505 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CCC2(CN(C(=O)C#CC(C)C)C2)C1 ZINC001147498518 773153615 /nfs/dbraw/zinc/15/36/15/773153615.db2.gz BUCBGOQSRUAUCS-UHFFFAOYSA-N 1 2 314.433 1.597 20 30 DDEDLO CCn1ccnc1C[N@H+]1CCC2(CN(C(=O)C#CC(C)C)C2)C1 ZINC001147498518 773153617 /nfs/dbraw/zinc/15/36/17/773153617.db2.gz BUCBGOQSRUAUCS-UHFFFAOYSA-N 1 2 314.433 1.597 20 30 DDEDLO C#CC[N@@H+]1CCCO[C@@H](CNC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001073640196 773254956 /nfs/dbraw/zinc/25/49/56/773254956.db2.gz ZABIGVJXBLFAMV-NSHDSACASA-N 1 2 324.812 1.079 20 30 DDEDLO C#CC[N@H+]1CCCO[C@@H](CNC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001073640196 773254959 /nfs/dbraw/zinc/25/49/59/773254959.db2.gz ZABIGVJXBLFAMV-NSHDSACASA-N 1 2 324.812 1.079 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cn(CC)nn1)C2 ZINC001148199555 773376626 /nfs/dbraw/zinc/37/66/26/773376626.db2.gz JPMABUPRZDIWAU-UHFFFAOYSA-N 1 2 317.437 1.689 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cn(CC)nn1)C2 ZINC001148199555 773376634 /nfs/dbraw/zinc/37/66/34/773376634.db2.gz JPMABUPRZDIWAU-UHFFFAOYSA-N 1 2 317.437 1.689 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)no3)C[C@@H]21 ZINC001074170417 773692331 /nfs/dbraw/zinc/69/23/31/773692331.db2.gz NFRQRSXUPWAJGT-KBPBESRZSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)no3)C[C@@H]21 ZINC001074170417 773692337 /nfs/dbraw/zinc/69/23/37/773692337.db2.gz NFRQRSXUPWAJGT-KBPBESRZSA-N 1 2 305.378 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C(/C)C3CC3)C[C@H]21 ZINC001074184298 773705563 /nfs/dbraw/zinc/70/55/63/773705563.db2.gz KCBUISSFXQBSBI-RICGDEPASA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C(/C)C3CC3)C[C@H]21 ZINC001074184298 773705567 /nfs/dbraw/zinc/70/55/67/773705567.db2.gz KCBUISSFXQBSBI-RICGDEPASA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC(F)(F)F)C[C@@H]21 ZINC001074184914 773705858 /nfs/dbraw/zinc/70/58/58/773705858.db2.gz UDDPMMBNSYPOEV-NWDGAFQWSA-N 1 2 304.312 1.264 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(F)(F)F)C[C@@H]21 ZINC001074184914 773705861 /nfs/dbraw/zinc/70/58/61/773705861.db2.gz UDDPMMBNSYPOEV-NWDGAFQWSA-N 1 2 304.312 1.264 20 30 DDEDLO C#CCN1CCO[C@@H]2CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@H]21 ZINC001074279042 773789628 /nfs/dbraw/zinc/78/96/28/773789628.db2.gz FEYVNOGSVBGWDY-IAGOWNOFSA-N 1 2 324.384 1.111 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCO)[C@@H]3C2)CC1 ZINC001074326447 773824690 /nfs/dbraw/zinc/82/46/90/773824690.db2.gz FLRMJXSDZDVJHM-SJORKVTESA-N 1 2 322.449 1.417 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]3OCC[N@H+](CCCO)[C@@H]3C2)CC1 ZINC001074326447 773824696 /nfs/dbraw/zinc/82/46/96/773824696.db2.gz FLRMJXSDZDVJHM-SJORKVTESA-N 1 2 322.449 1.417 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)COC(C)(C)C)C1 ZINC001149579315 773846648 /nfs/dbraw/zinc/84/66/48/773846648.db2.gz CUIIOFPFWHKZCQ-CYBMUJFWSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)COC(C)(C)C)C1 ZINC001149579315 773846652 /nfs/dbraw/zinc/84/66/52/773846652.db2.gz CUIIOFPFWHKZCQ-CYBMUJFWSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092165201 773969439 /nfs/dbraw/zinc/96/94/39/773969439.db2.gz URUNLJASYUUSTO-UONOGXRCSA-N 1 2 304.394 1.197 20 30 DDEDLO Cc1nc(N2C[C@@H](CNC(=O)CSCC#N)[C@H](C)C2)cc[nH+]1 ZINC001092335506 774084602 /nfs/dbraw/zinc/08/46/02/774084602.db2.gz ZZLGUFHLBGXKKC-DGCLKSJQSA-N 1 2 319.434 1.230 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccnc(C)c1)c1nccn12 ZINC001092404213 774103082 /nfs/dbraw/zinc/10/30/82/774103082.db2.gz PYDQWPISFVYDAF-HNNXBMFYSA-N 1 2 323.400 1.658 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@@H](CNc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001092665353 774211301 /nfs/dbraw/zinc/21/13/01/774211301.db2.gz RDQGJLWRSVJVEU-UMVBOHGHSA-N 1 2 316.405 1.330 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001074957320 774265034 /nfs/dbraw/zinc/26/50/34/774265034.db2.gz ANWGBPVIZLMJKJ-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCC[C@]3(NC(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001093084965 774383508 /nfs/dbraw/zinc/38/35/08/774383508.db2.gz CRMNYWPFSPLABL-YJBOKZPZSA-N 1 2 312.417 1.920 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@@H]1C ZINC001075211318 774425014 /nfs/dbraw/zinc/42/50/14/774425014.db2.gz RWVYSVYXFRLHEE-UONOGXRCSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@@H]1C ZINC001075211188 774425328 /nfs/dbraw/zinc/42/53/28/774425328.db2.gz OSIPYNREEHZDGN-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](CNC(=O)c2n[nH]nc2-c2ccccc2)[C@H](C)C1 ZINC001093232071 774542959 /nfs/dbraw/zinc/54/29/59/774542959.db2.gz SXYZFVCULGHOGR-UKRRQHHQSA-N 1 2 323.400 1.403 20 30 DDEDLO C#CC[N@H+]1C[C@@H](CNC(=O)c2n[nH]nc2-c2ccccc2)[C@H](C)C1 ZINC001093232071 774542961 /nfs/dbraw/zinc/54/29/61/774542961.db2.gz SXYZFVCULGHOGR-UKRRQHHQSA-N 1 2 323.400 1.403 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001098918288 774720267 /nfs/dbraw/zinc/72/02/67/774720267.db2.gz GFBJIFBOZGJTMT-UGSOOPFHSA-N 1 2 312.417 1.506 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001098918288 774720270 /nfs/dbraw/zinc/72/02/70/774720270.db2.gz GFBJIFBOZGJTMT-UGSOOPFHSA-N 1 2 312.417 1.506 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)Cc3cccnc3)CC2)C1 ZINC001093528462 774780677 /nfs/dbraw/zinc/78/06/77/774780677.db2.gz JNEIQXRTHIRHPM-UHFFFAOYSA-N 1 2 315.417 1.502 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1nccnc1C#N ZINC001099032651 774784715 /nfs/dbraw/zinc/78/47/15/774784715.db2.gz WBALWAWNHSRNFD-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCOC[C@H]3C)CC2)C1 ZINC001093570160 774842568 /nfs/dbraw/zinc/84/25/68/774842568.db2.gz NUZMOJXCOSHGIQ-RHSMWYFYSA-N 1 2 322.449 1.537 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC2(COC)CC2)[C@@H](O)C1 ZINC001099816389 775316194 /nfs/dbraw/zinc/31/61/94/775316194.db2.gz XHDNXNWFOGSSEZ-STQMWFEESA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC2(COC)CC2)[C@@H](O)C1 ZINC001099816389 775316198 /nfs/dbraw/zinc/31/61/98/775316198.db2.gz XHDNXNWFOGSSEZ-STQMWFEESA-N 1 2 316.829 1.107 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099824157 775330677 /nfs/dbraw/zinc/33/06/77/775330677.db2.gz PUVKRAUETJILGF-NWDGAFQWSA-N 1 2 319.232 1.516 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099824157 775330690 /nfs/dbraw/zinc/33/06/90/775330690.db2.gz PUVKRAUETJILGF-NWDGAFQWSA-N 1 2 319.232 1.516 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCOCC2CC2)[C@H](O)C1 ZINC001099830019 775337784 /nfs/dbraw/zinc/33/77/84/775337784.db2.gz LZAGDXXBGCJFHJ-ZIAGYGMSSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCOCC2CC2)[C@H](O)C1 ZINC001099830019 775337795 /nfs/dbraw/zinc/33/77/95/775337795.db2.gz LZAGDXXBGCJFHJ-ZIAGYGMSSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C[C@H]1O ZINC001099855075 775356991 /nfs/dbraw/zinc/35/69/91/775356991.db2.gz CACQASJAVJSTLQ-JKSUJKDBSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C[C@H]1O ZINC001099855075 775357002 /nfs/dbraw/zinc/35/70/02/775357002.db2.gz CACQASJAVJSTLQ-JKSUJKDBSA-N 1 2 320.437 1.136 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)CCCF)[C@H](O)C1 ZINC001099955641 775475027 /nfs/dbraw/zinc/47/50/27/775475027.db2.gz XKANHUKPHSVRAT-HZPDHXFCSA-N 1 2 319.380 1.359 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)CCCF)[C@H](O)C1 ZINC001099955641 775475034 /nfs/dbraw/zinc/47/50/34/775475034.db2.gz XKANHUKPHSVRAT-HZPDHXFCSA-N 1 2 319.380 1.359 20 30 DDEDLO Cc1cc(N(C)CCNC(=O)c2cc(C#N)c[nH]2)nc(C2CC2)[nH+]1 ZINC001100012448 775567638 /nfs/dbraw/zinc/56/76/38/775567638.db2.gz UVPAVPRHSTYMFQ-UHFFFAOYSA-N 1 2 324.388 1.728 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccsc3)nn2)C1 ZINC001094273212 775620530 /nfs/dbraw/zinc/62/05/30/775620530.db2.gz MGTDHBNDFZNVCT-UHFFFAOYSA-N 1 2 303.391 1.312 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)C#CC2CC2)c2c([nH+]1)CCCC2 ZINC001100061277 775634297 /nfs/dbraw/zinc/63/42/97/775634297.db2.gz VUOWEIOHULWFSX-UHFFFAOYSA-N 1 2 312.417 1.630 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3Cc4ccccc43)nn2)C1 ZINC001094314991 775670014 /nfs/dbraw/zinc/67/00/14/775670014.db2.gz GFGRAHCJUYFKBU-QGZVFWFLSA-N 1 2 323.400 1.277 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3CCCCC3)CC2=O)C1 ZINC001094697048 776206986 /nfs/dbraw/zinc/20/69/86/776206986.db2.gz FJDCNUFAOBQWFW-HNNXBMFYSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3CC34CCCC4)CC2=O)C1 ZINC001094800771 776255027 /nfs/dbraw/zinc/25/50/27/776255027.db2.gz CKIXTWMAPCETQR-ZFWWWQNUSA-N 1 2 317.433 1.154 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCCOCC)C2 ZINC001110162678 776262123 /nfs/dbraw/zinc/26/21/23/776262123.db2.gz HVRCJGIMYWFNBF-RDBSUJKOSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCCOCC)C2 ZINC001110162678 776262129 /nfs/dbraw/zinc/26/21/29/776262129.db2.gz HVRCJGIMYWFNBF-RDBSUJKOSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCCNc1cc[nH+]c(C)n1 ZINC001094830861 776361336 /nfs/dbraw/zinc/36/13/36/776361336.db2.gz YKTCITDJVBCXAI-ZFWWWQNUSA-N 1 2 304.394 1.684 20 30 DDEDLO CCc1nc(N2CCC[C@H]2CNC(=O)[C@H](C)C#N)cc(C)[nH+]1 ZINC001100810156 776577108 /nfs/dbraw/zinc/57/71/08/776577108.db2.gz PKVCPMPRXKKXNT-YPMHNXCESA-N 1 2 301.394 1.592 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001100919058 776704247 /nfs/dbraw/zinc/70/42/47/776704247.db2.gz NVWHBVVHSSPQLZ-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001100919058 776704252 /nfs/dbraw/zinc/70/42/52/776704252.db2.gz NVWHBVVHSSPQLZ-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](CNC(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001101034383 776842683 /nfs/dbraw/zinc/84/26/83/776842683.db2.gz VEPBDKXNYFRCRT-OAHLLOKOSA-N 1 2 324.388 1.183 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](CNC(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001101069745 776876459 /nfs/dbraw/zinc/87/64/59/776876459.db2.gz XUQAXQQRDFHLNU-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](CNC(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001101069745 776876464 /nfs/dbraw/zinc/87/64/64/776876464.db2.gz XUQAXQQRDFHLNU-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)CCC(C)C)c1nccn12 ZINC001101607071 777297385 /nfs/dbraw/zinc/29/73/85/777297385.db2.gz FBOVRMNQYQIKED-HNNXBMFYSA-N 1 2 314.433 1.915 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)CSC)c1nccn12 ZINC001101613742 777305055 /nfs/dbraw/zinc/30/50/55/777305055.db2.gz GTQYFQLDTMHKJH-STQMWFEESA-N 1 2 320.462 1.640 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](OC)C(C)C)c1nccn12 ZINC001101640468 777337692 /nfs/dbraw/zinc/33/76/92/777337692.db2.gz AQDUVKMTRKZYGZ-ZIAGYGMSSA-N 1 2 318.421 1.312 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)C(C)C)c1nccn12 ZINC001101646346 777342761 /nfs/dbraw/zinc/34/27/61/777342761.db2.gz NJJPNIVPCZDVHQ-KBPBESRZSA-N 1 2 302.422 1.933 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001101947207 777714040 /nfs/dbraw/zinc/71/40/40/777714040.db2.gz DWCDOSQHNDBSTG-ZDUSSCGKSA-N 1 2 324.388 1.667 20 30 DDEDLO C=CCOCC(=O)NCC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102293575 778019312 /nfs/dbraw/zinc/01/93/12/778019312.db2.gz RZCTZFWGJUNFHV-AWEZNQCLSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCOC(=O)N1CCC(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001176835966 778267912 /nfs/dbraw/zinc/26/79/12/778267912.db2.gz IFCCTEWNUGGNQQ-UHFFFAOYSA-N 1 2 306.366 1.103 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)COCC3CC3)C[C@H]21 ZINC001176925937 778315108 /nfs/dbraw/zinc/31/51/08/778315108.db2.gz ZTHBVLOCXCHOEQ-CVEARBPZSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)COCC3CC3)C[C@H]21 ZINC001176925937 778315113 /nfs/dbraw/zinc/31/51/13/778315113.db2.gz ZTHBVLOCXCHOEQ-CVEARBPZSA-N 1 2 308.422 1.291 20 30 DDEDLO CCOCCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)C)C[C@@H]21 ZINC001177019424 778395473 /nfs/dbraw/zinc/39/54/73/778395473.db2.gz LYJQRDYEULAETC-DLBZAZTESA-N 1 2 322.449 1.374 20 30 DDEDLO CCOCCC[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)C)C[C@@H]21 ZINC001177019424 778395479 /nfs/dbraw/zinc/39/54/79/778395479.db2.gz LYJQRDYEULAETC-DLBZAZTESA-N 1 2 322.449 1.374 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)C)C[C@@H]21 ZINC001177019740 778396079 /nfs/dbraw/zinc/39/60/79/778396079.db2.gz RFNOUMUUHJVGPB-DLBZAZTESA-N 1 2 320.433 1.150 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)C)C[C@@H]21 ZINC001177019740 778396084 /nfs/dbraw/zinc/39/60/84/778396084.db2.gz RFNOUMUUHJVGPB-DLBZAZTESA-N 1 2 320.433 1.150 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CC)C[C@@H]21 ZINC001177074429 778429128 /nfs/dbraw/zinc/42/91/28/778429128.db2.gz WNGMBUAWOJGMFW-HOTGVXAUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CC)C[C@@H]21 ZINC001177074429 778429131 /nfs/dbraw/zinc/42/91/31/778429131.db2.gz WNGMBUAWOJGMFW-HOTGVXAUSA-N 1 2 324.465 1.927 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1[nH]c[nH+]c1C)Nc1ccc(C#N)nc1 ZINC001103146969 778665853 /nfs/dbraw/zinc/66/58/53/778665853.db2.gz FMLIJPLAPYQNQS-LBPRGKRZSA-N 1 2 312.377 1.534 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1c[nH+]cn1C)Nc1ccc(C#N)cn1 ZINC001103150792 778669839 /nfs/dbraw/zinc/66/98/39/778669839.db2.gz DSFKVZCKYKQULH-ZDUSSCGKSA-N 1 2 312.377 1.236 20 30 DDEDLO Cc1c[nH+]c2n1CCC21CCN(C(=O)C#Cc2cccnc2)CC1 ZINC001177777560 778714566 /nfs/dbraw/zinc/71/45/66/778714566.db2.gz QPSYXPSPRJLBNA-UHFFFAOYSA-N 1 2 320.396 1.902 20 30 DDEDLO O=C(C#Cc1ccccn1)N1CC[NH+](CCc2ccncc2)CC1 ZINC001177801605 778741561 /nfs/dbraw/zinc/74/15/61/778741561.db2.gz BSNUXTVSXBEPDR-UHFFFAOYSA-N 1 2 320.396 1.215 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1(CNc2cc[nH+]c(C)n2)CCCC1 ZINC001103442360 778869872 /nfs/dbraw/zinc/86/98/72/778869872.db2.gz NSKGYOJQBYAYTQ-ZDUSSCGKSA-N 1 2 316.405 1.664 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)[C@H](CNc1ccc(C#N)cn1)C1CC1 ZINC001115524982 780212344 /nfs/dbraw/zinc/21/23/44/780212344.db2.gz IWVRVIWKPPHIFF-OAHLLOKOSA-N 1 2 324.388 1.499 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)C[C@H](C)Nc1ncccc1C#N ZINC001115802230 780445653 /nfs/dbraw/zinc/44/56/53/780445653.db2.gz XDMLFCHTOKCOAK-NSHDSACASA-N 1 2 312.377 1.486 20 30 DDEDLO C=CC[C@@H]([NH2+]C1CCN(c2cnccn2)CC1)C(=O)OCC ZINC001116774786 780555916 /nfs/dbraw/zinc/55/59/16/780555916.db2.gz FUWIUKXKMHHPJT-CQSZACIVSA-N 1 2 304.394 1.543 20 30 DDEDLO C#CCN(C)c1cnc(-c2noc([C@@H](C)n3cc[nH+]c3)n2)cn1 ZINC001117985753 780975548 /nfs/dbraw/zinc/97/55/48/780975548.db2.gz WBZNKWDXOINAQO-LLVKDONJSA-N 1 2 309.333 1.402 20 30 DDEDLO C=CC[C@@H]1NC(=O)N(Cc2ccccc2Cn2cc[nH+]c2)C1=O ZINC001119626739 781540930 /nfs/dbraw/zinc/54/09/30/781540930.db2.gz DLUBUQLSKWPFLZ-HNNXBMFYSA-N 1 2 310.357 1.928 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)C1CCC2(CC2)CC1 ZINC001267207176 837535029 /nfs/dbraw/zinc/53/50/29/837535029.db2.gz BCHYMGRCFHAJIG-UHFFFAOYSA-N 1 2 307.438 1.307 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)C1CCC2(CC2)CC1 ZINC001267207176 837535032 /nfs/dbraw/zinc/53/50/32/837535032.db2.gz BCHYMGRCFHAJIG-UHFFFAOYSA-N 1 2 307.438 1.307 20 30 DDEDLO CC[N@H+](CCNC(=O)C#CC(C)C)CC(=O)Nc1cc(C)no1 ZINC001267241195 837589164 /nfs/dbraw/zinc/58/91/64/837589164.db2.gz NNBTXNHIOUIMRP-UHFFFAOYSA-N 1 2 320.393 1.019 20 30 DDEDLO CC[N@@H+](CCNC(=O)C#CC(C)C)CC(=O)Nc1cc(C)no1 ZINC001267241195 837589171 /nfs/dbraw/zinc/58/91/71/837589171.db2.gz NNBTXNHIOUIMRP-UHFFFAOYSA-N 1 2 320.393 1.019 20 30 DDEDLO CC1(C)CCC[C@H]1C(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001267273308 837717676 /nfs/dbraw/zinc/71/76/76/837717676.db2.gz WKAZWXBZAPFGPH-KBPBESRZSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001267273308 837717680 /nfs/dbraw/zinc/71/76/80/837717680.db2.gz WKAZWXBZAPFGPH-KBPBESRZSA-N 1 2 320.437 1.033 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+](Cc2nnc(C(C)C)[nH]2)C1 ZINC001267292296 837761808 /nfs/dbraw/zinc/76/18/08/837761808.db2.gz VZJTUWTVZGSKTN-CQSZACIVSA-N 1 2 317.437 1.670 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+](Cc2nnc(C(C)C)[nH]2)C1 ZINC001267292296 837761811 /nfs/dbraw/zinc/76/18/11/837761811.db2.gz VZJTUWTVZGSKTN-CQSZACIVSA-N 1 2 317.437 1.670 20 30 DDEDLO Cc1ccc(C(=O)NCC[NH+]2CCN(CC#N)CC2)c(F)c1 ZINC001266294644 836067701 /nfs/dbraw/zinc/06/77/01/836067701.db2.gz GBPRZYAAEYFJSV-UHFFFAOYSA-N 1 2 304.369 1.005 20 30 DDEDLO Cc1cccn2cc(CC(=O)NC[C@H]3CCCN3CC#N)[nH+]c12 ZINC001266486206 836335783 /nfs/dbraw/zinc/33/57/83/836335783.db2.gz MMELIQLWLRZYAA-OAHLLOKOSA-N 1 2 311.389 1.289 20 30 DDEDLO CCCC[C@@H](C)C(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001266840681 836912126 /nfs/dbraw/zinc/91/21/26/836912126.db2.gz YZZLCCAEPMRRDE-HUUCEWRRSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC[C@@H](C)C(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001266840681 836912131 /nfs/dbraw/zinc/91/21/31/836912131.db2.gz YZZLCCAEPMRRDE-HUUCEWRRSA-N 1 2 322.453 1.423 20 30 DDEDLO C#CCC1(C(=O)N(C)CC[NH2+]Cc2nnc(C)o2)CCCCC1 ZINC001267477064 838142811 /nfs/dbraw/zinc/14/28/11/838142811.db2.gz XQJGMIDKZKQJBR-UHFFFAOYSA-N 1 2 318.421 1.900 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001267615008 838473431 /nfs/dbraw/zinc/47/34/31/838473431.db2.gz YKQZHBYVVABREL-OAHLLOKOSA-N 1 2 321.465 1.531 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@H+]1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001267615008 838473435 /nfs/dbraw/zinc/47/34/35/838473435.db2.gz YKQZHBYVVABREL-OAHLLOKOSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCOCC(=O)NC1C[NH+](Cc2cccc(N(C)C)c2)C1 ZINC001267642494 838560315 /nfs/dbraw/zinc/56/03/15/838560315.db2.gz FZWVFVHUTVPIMW-UHFFFAOYSA-N 1 2 303.406 1.256 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1C[NH+](Cc2nc(C)sc2C)C1 ZINC001267680955 838634447 /nfs/dbraw/zinc/63/44/47/838634447.db2.gz DESKAVJXFWTYDS-LLVKDONJSA-N 1 2 321.446 1.346 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001267748072 838836940 /nfs/dbraw/zinc/83/69/40/838836940.db2.gz OMCIHPCTWJQAAU-UONOGXRCSA-N 1 2 305.426 1.591 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001267748072 838836947 /nfs/dbraw/zinc/83/69/47/838836947.db2.gz OMCIHPCTWJQAAU-UONOGXRCSA-N 1 2 305.426 1.591 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)[C@H]1CC[N@H+](Cc2ncc(C)o2)C1 ZINC001267786173 838975905 /nfs/dbraw/zinc/97/59/05/838975905.db2.gz ZICRMNGXOQWIFL-YOEHRIQHSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)[C@H]1CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001267786173 838975909 /nfs/dbraw/zinc/97/59/09/838975909.db2.gz ZICRMNGXOQWIFL-YOEHRIQHSA-N 1 2 321.421 1.733 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C[C@@H](C)c2c(C)noc2C)C1 ZINC001268024252 839411208 /nfs/dbraw/zinc/41/12/08/839411208.db2.gz ATHVFUHCZUEUKI-DOMZBBRYSA-N 1 2 321.421 1.788 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C[C@@H](C)c2c(C)noc2C)C1 ZINC001268024252 839411214 /nfs/dbraw/zinc/41/12/14/839411214.db2.gz ATHVFUHCZUEUKI-DOMZBBRYSA-N 1 2 321.421 1.788 20 30 DDEDLO CC#CC[NH2+]C[C@H](C)N(C)C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001268078160 839654263 /nfs/dbraw/zinc/65/42/63/839654263.db2.gz WAEPILJTSFEJNS-ZDUSSCGKSA-N 1 2 311.389 1.545 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](O)C[NH2+]Cc2csnn2)CCCC1 ZINC001268339028 840019849 /nfs/dbraw/zinc/01/98/49/840019849.db2.gz QOWVKGGKYRFJQP-CYBMUJFWSA-N 1 2 324.450 1.241 20 30 DDEDLO COCc1noc([C@@H](C)[NH2+]C[C@H](C)NC(=O)C#CC(C)C)n1 ZINC001268685618 840665448 /nfs/dbraw/zinc/66/54/48/840665448.db2.gz GVNPUIDPJTWHCO-NWDGAFQWSA-N 1 2 308.382 1.031 20 30 DDEDLO C#CC(=O)N1C[C@H]2C[C@@]2(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001268850787 840904217 /nfs/dbraw/zinc/90/42/17/840904217.db2.gz AVTXEMLWSQFECP-FZKQIMNGSA-N 1 2 320.352 1.293 20 30 DDEDLO C=CCN1CC[C@]2(CCN(C(=O)[C@@H](C)n3cc[nH+]c3)C2)C1=O ZINC001269157229 841272237 /nfs/dbraw/zinc/27/22/37/841272237.db2.gz IZCIAFASYGSHRJ-CZUORRHYSA-N 1 2 302.378 1.081 20 30 DDEDLO C=CCN1CC[C@@]2(CCCN2C(=O)[C@@H](C)n2cc[nH+]c2)C1=O ZINC001269158443 841279520 /nfs/dbraw/zinc/27/95/20/841279520.db2.gz ZOYKSNXLJWKSII-CJNGLKHVSA-N 1 2 302.378 1.224 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+]1[C@H](C)C(=O)N1CCCCCC1 ZINC001269204400 841338911 /nfs/dbraw/zinc/33/89/11/841338911.db2.gz CMMBCDAFNPQETO-CVEARBPZSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)C(=O)N1CCCCCC1 ZINC001269204400 841338921 /nfs/dbraw/zinc/33/89/21/841338921.db2.gz CMMBCDAFNPQETO-CVEARBPZSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001269222179 841372507 /nfs/dbraw/zinc/37/25/07/841372507.db2.gz UUWCKFFRGOUEPG-AWEZNQCLSA-N 1 2 304.394 1.484 20 30 DDEDLO C=CCCC(=O)N(C)[C@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001269222179 841372518 /nfs/dbraw/zinc/37/25/18/841372518.db2.gz UUWCKFFRGOUEPG-AWEZNQCLSA-N 1 2 304.394 1.484 20 30 DDEDLO C#CCN1CC[C@@]2(CCCN2C(=O)c2cc3c[nH+]ccc3[nH]2)C1=O ZINC001269386874 841590073 /nfs/dbraw/zinc/59/00/73/841590073.db2.gz RGQAASACNHZDNG-SFHVURJKSA-N 1 2 322.368 1.403 20 30 DDEDLO C=CCCOCC(=O)NC1(C)CC[NH+](Cc2nnc(C)o2)CC1 ZINC001270267080 842441810 /nfs/dbraw/zinc/44/18/10/842441810.db2.gz WWGSLVSQVHAFAI-UHFFFAOYSA-N 1 2 322.409 1.441 20 30 DDEDLO CC(C(=O)N[C@]1(C)CC[N@H+](CC(=O)NCC#N)C1)=C1CCCC1 ZINC001270565165 842674502 /nfs/dbraw/zinc/67/45/02/842674502.db2.gz BZNHOUDEMOOQIY-QGZVFWFLSA-N 1 2 318.421 1.097 20 30 DDEDLO CC(C(=O)N[C@]1(C)CC[N@@H+](CC(=O)NCC#N)C1)=C1CCCC1 ZINC001270565165 842674508 /nfs/dbraw/zinc/67/45/08/842674508.db2.gz BZNHOUDEMOOQIY-QGZVFWFLSA-N 1 2 318.421 1.097 20 30 DDEDLO C=C1CC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cccc(=O)[nH]2)C1 ZINC001270590502 842694828 /nfs/dbraw/zinc/69/48/28/842694828.db2.gz PQOVGLLFEWHMRY-IYBDPMFKSA-N 1 2 313.401 1.929 20 30 DDEDLO C=C1CC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cccc(=O)[nH]2)C1 ZINC001270590502 842694836 /nfs/dbraw/zinc/69/48/36/842694836.db2.gz PQOVGLLFEWHMRY-IYBDPMFKSA-N 1 2 313.401 1.929 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)c2ncccc2C)C1 ZINC001270638990 842767466 /nfs/dbraw/zinc/76/74/66/842767466.db2.gz UYDXGJMNEIHXJP-OAHLLOKOSA-N 1 2 319.405 1.023 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)c2ncccc2C)C1 ZINC001270638990 842767470 /nfs/dbraw/zinc/76/74/70/842767470.db2.gz UYDXGJMNEIHXJP-OAHLLOKOSA-N 1 2 319.405 1.023 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H](C)Oc2cccc(F)c2)C1 ZINC001270648114 842771777 /nfs/dbraw/zinc/77/17/77/842771777.db2.gz AHNNEFUUQMXKML-XJKSGUPXSA-N 1 2 322.380 1.596 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H](C)Oc2cccc(F)c2)C1 ZINC001270648114 842771785 /nfs/dbraw/zinc/77/17/85/842771785.db2.gz AHNNEFUUQMXKML-XJKSGUPXSA-N 1 2 322.380 1.596 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)C(C)(C)C(C)C)C1 ZINC001270651206 842775695 /nfs/dbraw/zinc/77/56/95/842775695.db2.gz UAXXWSCYRHPAPJ-HNNXBMFYSA-N 1 2 312.454 1.688 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)C(C)(C)C(C)C)C1 ZINC001270651206 842775702 /nfs/dbraw/zinc/77/57/02/842775702.db2.gz UAXXWSCYRHPAPJ-HNNXBMFYSA-N 1 2 312.454 1.688 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)COc2ccc(C)cc2C)C1 ZINC001270699962 842827818 /nfs/dbraw/zinc/82/78/18/842827818.db2.gz AAKBJJTUCQUNFR-MRXNPFEDSA-N 1 2 318.417 1.685 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)COc2ccc(C)cc2C)C1 ZINC001270699962 842827825 /nfs/dbraw/zinc/82/78/25/842827825.db2.gz AAKBJJTUCQUNFR-MRXNPFEDSA-N 1 2 318.417 1.685 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@]1(O)CC[N@H+](Cc2ccn(C)n2)C1 ZINC001271184058 843391438 /nfs/dbraw/zinc/39/14/38/843391438.db2.gz HZANTYLMDZSTTR-DLBZAZTESA-N 1 2 320.437 1.075 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@]1(O)CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001271184058 843391448 /nfs/dbraw/zinc/39/14/48/843391448.db2.gz HZANTYLMDZSTTR-DLBZAZTESA-N 1 2 320.437 1.075 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C(C)(C)C(F)(F)F ZINC001272158838 844669676 /nfs/dbraw/zinc/66/96/76/844669676.db2.gz XHYHLWMRAIVLMK-UHFFFAOYSA-N 1 2 323.359 1.267 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)C(C)(C)C(F)(F)F ZINC001272158838 844669679 /nfs/dbraw/zinc/66/96/79/844669679.db2.gz XHYHLWMRAIVLMK-UHFFFAOYSA-N 1 2 323.359 1.267 20 30 DDEDLO CCO[C@@H](C[N@@H+]1CCOC[C@H]1CNC(=O)C#CC1CC1)C1CC1 ZINC001326633518 861495584 /nfs/dbraw/zinc/49/55/84/861495584.db2.gz ODXFHHSPRAQCSG-SJORKVTESA-N 1 2 320.433 1.032 20 30 DDEDLO CCO[C@@H](C[N@H+]1CCOC[C@H]1CNC(=O)C#CC1CC1)C1CC1 ZINC001326633518 861495590 /nfs/dbraw/zinc/49/55/90/861495590.db2.gz ODXFHHSPRAQCSG-SJORKVTESA-N 1 2 320.433 1.032 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@@H+](CCCSC)C[C@@]2(F)C1=O ZINC001272464359 846162888 /nfs/dbraw/zinc/16/28/88/846162888.db2.gz PHDRTWBYDFOAMP-UONOGXRCSA-N 1 2 304.406 1.890 20 30 DDEDLO C=C(C)CN1C[C@@]2(F)C[N@H+](CCCSC)C[C@@]2(F)C1=O ZINC001272464359 846162891 /nfs/dbraw/zinc/16/28/91/846162891.db2.gz PHDRTWBYDFOAMP-UONOGXRCSA-N 1 2 304.406 1.890 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](O)C[NH2+][C@H](C)c2csnn2)CCC1 ZINC001272514425 846254699 /nfs/dbraw/zinc/25/46/99/846254699.db2.gz VNOFSBJABPSKNF-VXGBXAGGSA-N 1 2 324.450 1.412 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CCNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001077678187 846956068 /nfs/dbraw/zinc/95/60/68/846956068.db2.gz CTPPUBQCGMYWFG-LBPRGKRZSA-N 1 2 318.421 1.628 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H](C[NH2+][C@H](C)c2nc(C)no2)C1 ZINC001326987262 861773719 /nfs/dbraw/zinc/77/37/19/861773719.db2.gz AWHPHUSFJKXXOM-OCCSQVGLSA-N 1 2 322.409 1.612 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)CCc1ccc(F)cc1 ZINC001272869553 847571761 /nfs/dbraw/zinc/57/17/61/847571761.db2.gz SXWDTFGJVJQRNQ-QGZVFWFLSA-N 1 2 318.392 1.599 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)CCc1ccc(F)cc1 ZINC001272869553 847571773 /nfs/dbraw/zinc/57/17/73/847571773.db2.gz SXWDTFGJVJQRNQ-QGZVFWFLSA-N 1 2 318.392 1.599 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc(OC)n1 ZINC001272894572 847602248 /nfs/dbraw/zinc/60/22/48/847602248.db2.gz RXFHZKOTYQTQHV-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc(OC)n1 ZINC001272894572 847602255 /nfs/dbraw/zinc/60/22/55/847602255.db2.gz RXFHZKOTYQTQHV-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2([NH2+]Cc3nnc(C)o3)CCC2)C1 ZINC001273154535 848589703 /nfs/dbraw/zinc/58/97/03/848589703.db2.gz PQOJNVPMIUSCFR-UHFFFAOYSA-N 1 2 304.394 1.863 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2Cc2cnc(SC)nc2)C1=O ZINC001273384882 849775381 /nfs/dbraw/zinc/77/53/81/849775381.db2.gz UZEYZXGVNOJIGN-MRXNPFEDSA-N 1 2 318.446 1.951 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2Cc2cnc(SC)nc2)C1=O ZINC001273384882 849775384 /nfs/dbraw/zinc/77/53/84/849775384.db2.gz UZEYZXGVNOJIGN-MRXNPFEDSA-N 1 2 318.446 1.951 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC(C)(C)C)CC2)n1 ZINC001273418241 850176376 /nfs/dbraw/zinc/17/63/76/850176376.db2.gz NIWMXWZOZKANOR-CQSZACIVSA-N 1 2 318.421 1.898 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@@H](NC(=O)C#CC(C)(C)C)CC2)n1 ZINC001273418241 850176378 /nfs/dbraw/zinc/17/63/78/850176378.db2.gz NIWMXWZOZKANOR-CQSZACIVSA-N 1 2 318.421 1.898 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1COC2(C[NH+](CC3=CCCCC3)C2)C1 ZINC001327385780 862126578 /nfs/dbraw/zinc/12/65/78/862126578.db2.gz DMLXLJIEKMRLOV-KRWDZBQOSA-N 1 2 314.429 1.860 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cccc(C#N)c2F)C1=O ZINC001273640594 851176534 /nfs/dbraw/zinc/17/65/34/851176534.db2.gz WMOPPUXDULTDNX-GOSISDBHSA-N 1 2 311.360 1.897 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cccc(C#N)c2F)C1=O ZINC001273640594 851176543 /nfs/dbraw/zinc/17/65/43/851176543.db2.gz WMOPPUXDULTDNX-GOSISDBHSA-N 1 2 311.360 1.897 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)COC1CCCCC1)CO2 ZINC001273705640 851247536 /nfs/dbraw/zinc/24/75/36/851247536.db2.gz UZRDCEZUWCHPEU-OAHLLOKOSA-N 1 2 322.449 1.871 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)CCc1ccon1 ZINC001327507242 862223512 /nfs/dbraw/zinc/22/35/12/862223512.db2.gz JEYPXZWCHAPEOJ-SFHVURJKSA-N 1 2 323.396 1.784 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)CCc1ccon1 ZINC001327507242 862223531 /nfs/dbraw/zinc/22/35/31/862223531.db2.gz JEYPXZWCHAPEOJ-SFHVURJKSA-N 1 2 323.396 1.784 20 30 DDEDLO Cc1noc(C)c1CNC(=O)[C@H]1CC12CC[NH+](CCC#N)CC2 ZINC001274030974 851869814 /nfs/dbraw/zinc/86/98/14/851869814.db2.gz CUWTWDGKDIEOBD-OAHLLOKOSA-N 1 2 316.405 1.923 20 30 DDEDLO Cc1noc(C)c1CN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2CCC#N ZINC001274031097 851870265 /nfs/dbraw/zinc/87/02/65/851870265.db2.gz HOTOKGNJGMYUJC-HUUCEWRRSA-N 1 2 302.378 1.770 20 30 DDEDLO Cc1noc(C)c1CN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2CCC#N ZINC001274031097 851870269 /nfs/dbraw/zinc/87/02/69/851870269.db2.gz HOTOKGNJGMYUJC-HUUCEWRRSA-N 1 2 302.378 1.770 20 30 DDEDLO N#CCCCN1CC[C@@]2(CCC[N@H+]2Cc2cncc(O)c2)C1=O ZINC001274283774 852123169 /nfs/dbraw/zinc/12/31/69/852123169.db2.gz LDIGKGLRQOFWAC-KRWDZBQOSA-N 1 2 314.389 1.658 20 30 DDEDLO N#CCCCN1CC[C@@]2(CCC[N@@H+]2Cc2cncc(O)c2)C1=O ZINC001274283774 852123180 /nfs/dbraw/zinc/12/31/80/852123180.db2.gz LDIGKGLRQOFWAC-KRWDZBQOSA-N 1 2 314.389 1.658 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@H+](Cc3nnc[nH]3)CC2)C1=O ZINC001274355317 852183804 /nfs/dbraw/zinc/18/38/04/852183804.db2.gz DPRHLVJBLIAIIC-MRXNPFEDSA-N 1 2 303.410 1.585 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@@H+](Cc3nnc[nH]3)CC2)C1=O ZINC001274355317 852183809 /nfs/dbraw/zinc/18/38/09/852183809.db2.gz DPRHLVJBLIAIIC-MRXNPFEDSA-N 1 2 303.410 1.585 20 30 DDEDLO C=CCC1(C(=O)N2CC(N(C)C(=O)Cc3c[nH+]c[nH]3)C2)CCC1 ZINC001299416541 852757677 /nfs/dbraw/zinc/75/76/77/852757677.db2.gz QMNIFMIANBPCSO-UHFFFAOYSA-N 1 2 316.405 1.368 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]1C[N@H+](C)CCS(C)(=O)=O ZINC001275135684 852831734 /nfs/dbraw/zinc/83/17/34/852831734.db2.gz MZORZMAQRWAHQC-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]1C[N@@H+](C)CCS(C)(=O)=O ZINC001275135684 852831739 /nfs/dbraw/zinc/83/17/39/852831739.db2.gz MZORZMAQRWAHQC-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO O=C(C#CC1CC1)NC1CC(C[NH2+]Cc2noc(C3CC3)n2)C1 ZINC001275227299 852911769 /nfs/dbraw/zinc/91/17/69/852911769.db2.gz RWQSVMRRZWSUCU-UHFFFAOYSA-N 1 2 314.389 1.345 20 30 DDEDLO C[N@H+]1CCC12CN(C(=O)c1ccc(C#N)c(Br)c1)C2 ZINC001275775322 853727667 /nfs/dbraw/zinc/72/76/67/853727667.db2.gz ZGNFPXPRGSFPRG-UHFFFAOYSA-N 1 2 320.190 1.851 20 30 DDEDLO C[N@@H+]1CCC12CN(C(=O)c1ccc(C#N)c(Br)c1)C2 ZINC001275775322 853727661 /nfs/dbraw/zinc/72/76/61/853727661.db2.gz ZGNFPXPRGSFPRG-UHFFFAOYSA-N 1 2 320.190 1.851 20 30 DDEDLO N#CC1(C(=O)N2CC3(C2)C[NH+](Cc2ccoc2)C3)CCOCC1 ZINC001275944031 853999749 /nfs/dbraw/zinc/99/97/49/853999749.db2.gz YLJRMTMZLNSHCC-UHFFFAOYSA-N 1 2 315.373 1.244 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2COC[C@H](C1)N2Cc1ccc[nH]1 ZINC001275965346 854029222 /nfs/dbraw/zinc/02/92/22/854029222.db2.gz BROVVCTUPJZFFX-KDURUIRLSA-N 1 2 322.412 1.972 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2COC[C@H](C1)N2Cc1ccc[nH]1 ZINC001275965346 854029225 /nfs/dbraw/zinc/02/92/25/854029225.db2.gz BROVVCTUPJZFFX-KDURUIRLSA-N 1 2 322.412 1.972 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C[C@H]2CCC(C)(C)CO2)C1 ZINC001276069215 854699745 /nfs/dbraw/zinc/69/97/45/854699745.db2.gz JZKPIEXOOIGEFH-OAHLLOKOSA-N 1 2 322.449 1.158 20 30 DDEDLO Cc1n[nH]c(C(=O)NCCC[NH2+]Cc2ccccc2C#N)c1C ZINC001155970638 862601868 /nfs/dbraw/zinc/60/18/68/862601868.db2.gz GATVNYIOEHLDGD-UHFFFAOYSA-N 1 2 311.389 1.808 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+](C)CCn2cnnc2)c(C#N)c1C ZINC001413066540 856320293 /nfs/dbraw/zinc/32/02/93/856320293.db2.gz ZMMQUGLROJWWDM-UHFFFAOYSA-N 1 2 318.406 1.399 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+](C)CCn2cnnc2)c(C#N)c1C ZINC001413066540 856320296 /nfs/dbraw/zinc/32/02/96/856320296.db2.gz ZMMQUGLROJWWDM-UHFFFAOYSA-N 1 2 318.406 1.399 20 30 DDEDLO N#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cccc2[nH+]ccn21 ZINC001049590354 857025970 /nfs/dbraw/zinc/02/59/70/857025970.db2.gz SBRBPSMJHBRMGO-KGLIPLIRSA-N 1 2 309.373 1.537 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072562721 857452799 /nfs/dbraw/zinc/45/27/99/857452799.db2.gz CGVDYLWGVRDWHI-LSDHHAIUSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072602875 857502406 /nfs/dbraw/zinc/50/24/06/857502406.db2.gz MNTLBWAHBZQPQI-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccc(C)nc2)C1 ZINC001073536635 858421195 /nfs/dbraw/zinc/42/11/95/858421195.db2.gz CLDVFFIIMIQGIV-HNNXBMFYSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccc(C)nc2)C1 ZINC001073536635 858421204 /nfs/dbraw/zinc/42/12/04/858421204.db2.gz CLDVFFIIMIQGIV-HNNXBMFYSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cncc(C)c2)C1 ZINC001073563891 858443764 /nfs/dbraw/zinc/44/37/64/858443764.db2.gz MDDFZVGOPBKSBE-HNNXBMFYSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cncc(C)c2)C1 ZINC001073563891 858443767 /nfs/dbraw/zinc/44/37/67/858443767.db2.gz MDDFZVGOPBKSBE-HNNXBMFYSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2nccc(C)n2)C1 ZINC001073588588 858466449 /nfs/dbraw/zinc/46/64/49/858466449.db2.gz CXCFDXKZELCRSA-ZDUSSCGKSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2nccc(C)n2)C1 ZINC001073588588 858466451 /nfs/dbraw/zinc/46/64/51/858466451.db2.gz CXCFDXKZELCRSA-ZDUSSCGKSA-N 1 2 324.812 1.358 20 30 DDEDLO C=CCn1c(N(CC)CC(C)C)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121709718 858578592 /nfs/dbraw/zinc/57/85/92/858578592.db2.gz VXJPRXVRMAIIII-YOEHRIQHSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(CC)CC(C)C)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001121709718 858578593 /nfs/dbraw/zinc/57/85/93/858578593.db2.gz VXJPRXVRMAIIII-YOEHRIQHSA-N 1 2 321.469 1.858 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)C3CCCC3)n2CC)CC1 ZINC001122050154 858672146 /nfs/dbraw/zinc/67/21/46/858672146.db2.gz PZFNPIUBRWPDRP-HNNXBMFYSA-N 1 2 317.437 1.277 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](Nc2[nH+]cnc3c2cnn3C)[C@H]1C ZINC001122951050 859022766 /nfs/dbraw/zinc/02/27/66/859022766.db2.gz QHELAUAYHKGIQU-YPMHNXCESA-N 1 2 314.393 1.731 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@H]1CCOC1 ZINC001123231356 859137896 /nfs/dbraw/zinc/13/78/96/859137896.db2.gz QYWRMHRTKAKHAB-HUUCEWRRSA-N 1 2 317.437 1.541 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@H]1CCOC1 ZINC001123231356 859137898 /nfs/dbraw/zinc/13/78/98/859137898.db2.gz QYWRMHRTKAKHAB-HUUCEWRRSA-N 1 2 317.437 1.541 20 30 DDEDLO C=CCCC(=O)NCC[NH2+]Cc1nnc([C@@H]2CCCOC2)o1 ZINC001124640464 859757766 /nfs/dbraw/zinc/75/77/66/859757766.db2.gz HGFYZJFNUMPGIY-GFCCVEGCSA-N 1 2 308.382 1.136 20 30 DDEDLO C=CCOc1ccccc1C[NH+]1CCN(CC(=O)OCC)CC1 ZINC001139027445 860258859 /nfs/dbraw/zinc/25/88/59/860258859.db2.gz YUFOKFGDRVJVCC-UHFFFAOYSA-N 1 2 318.417 1.932 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cnc(N)s3)CC2)c1 ZINC001139228006 860326134 /nfs/dbraw/zinc/32/61/34/860326134.db2.gz QOMMNWGRXDKJJX-UHFFFAOYSA-N 1 2 300.391 1.314 20 30 DDEDLO N#Cc1c(Cl)cccc1C[NH+]1CCC2(CC1)COCC(=O)N2 ZINC001139687441 860451472 /nfs/dbraw/zinc/45/14/72/860451472.db2.gz RGLJRXBCJFQTKR-UHFFFAOYSA-N 1 2 319.792 1.693 20 30 DDEDLO C#CC[NH+]1CCN(Cc2c(C)n(C)n(-c3ccccc3)c2=O)CC1 ZINC001140849458 860712238 /nfs/dbraw/zinc/71/22/38/860712238.db2.gz WSDXTDJOPRWUNY-UHFFFAOYSA-N 1 2 324.428 1.235 20 30 DDEDLO C=CC[N@H+]1CCC[C@](CO)(NC(=O)c2nc(Cl)cs2)C1 ZINC001325851113 860883630 /nfs/dbraw/zinc/88/36/30/860883630.db2.gz ZAQASTPYGFRPBZ-ZDUSSCGKSA-N 1 2 315.826 1.539 20 30 DDEDLO C=CC[N@@H+]1CCC[C@](CO)(NC(=O)c2nc(Cl)cs2)C1 ZINC001325851113 860883637 /nfs/dbraw/zinc/88/36/37/860883637.db2.gz ZAQASTPYGFRPBZ-ZDUSSCGKSA-N 1 2 315.826 1.539 20 30 DDEDLO CC[N@H+](Cc1ncc(C)o1)[C@H](C)CNC(=O)CSCC#N ZINC001151965331 863083963 /nfs/dbraw/zinc/08/39/63/863083963.db2.gz KIPZAXZHLDIHNP-LLVKDONJSA-N 1 2 310.423 1.566 20 30 DDEDLO CC[N@@H+](Cc1ncc(C)o1)[C@H](C)CNC(=O)CSCC#N ZINC001151965331 863083968 /nfs/dbraw/zinc/08/39/68/863083968.db2.gz KIPZAXZHLDIHNP-LLVKDONJSA-N 1 2 310.423 1.566 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cn(CC)nn1 ZINC001328707542 863160979 /nfs/dbraw/zinc/16/09/79/863160979.db2.gz QQEDDAVBPGAOMY-OAHLLOKOSA-N 1 2 305.426 1.687 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cn(CC)nn1 ZINC001328707542 863160984 /nfs/dbraw/zinc/16/09/84/863160984.db2.gz QQEDDAVBPGAOMY-OAHLLOKOSA-N 1 2 305.426 1.687 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)[C@@H](C)OC ZINC001152154098 863191468 /nfs/dbraw/zinc/19/14/68/863191468.db2.gz UJDAGPAVMQQHSL-GHMZBOCLSA-N 1 2 307.232 1.757 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)[C@@H](C)OC ZINC001152154098 863191471 /nfs/dbraw/zinc/19/14/71/863191471.db2.gz UJDAGPAVMQQHSL-GHMZBOCLSA-N 1 2 307.232 1.757 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncccn1 ZINC001157216881 863658674 /nfs/dbraw/zinc/65/86/74/863658674.db2.gz BECCALHWMAHKCK-ZIAGYGMSSA-N 1 2 306.410 1.394 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncccn1 ZINC001157216881 863658680 /nfs/dbraw/zinc/65/86/80/863658680.db2.gz BECCALHWMAHKCK-ZIAGYGMSSA-N 1 2 306.410 1.394 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1cscn1 ZINC001153090447 863701528 /nfs/dbraw/zinc/70/15/28/863701528.db2.gz HYHCOMVYLHSTEH-IUODEOHRSA-N 1 2 311.451 1.797 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cscn1 ZINC001153090447 863701536 /nfs/dbraw/zinc/70/15/36/863701536.db2.gz HYHCOMVYLHSTEH-IUODEOHRSA-N 1 2 311.451 1.797 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@H](C)Cc2ccccc2)C1 ZINC001329717773 863808577 /nfs/dbraw/zinc/80/85/77/863808577.db2.gz JAWXPOLREDYKRX-MRXNPFEDSA-N 1 2 314.429 1.442 20 30 DDEDLO CCCC1(C(=O)N[C@@H]2C[N@H+](CC(=O)NCC#N)CC2(C)C)CC1 ZINC001330229656 864175612 /nfs/dbraw/zinc/17/56/12/864175612.db2.gz HXACSCWPYFFKKJ-CYBMUJFWSA-N 1 2 320.437 1.033 20 30 DDEDLO CCCC1(C(=O)N[C@@H]2C[N@@H+](CC(=O)NCC#N)CC2(C)C)CC1 ZINC001330229656 864175620 /nfs/dbraw/zinc/17/56/20/864175620.db2.gz HXACSCWPYFFKKJ-CYBMUJFWSA-N 1 2 320.437 1.033 20 30 DDEDLO COCC#CC[NH2+]C[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001330599691 864479729 /nfs/dbraw/zinc/47/97/29/864479729.db2.gz QXSXYCGODSCEMF-GMTAPVOTSA-N 1 2 310.291 1.021 20 30 DDEDLO COCC#CC[NH2+]C[C@H]1C[C@H](NC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001330599691 864479738 /nfs/dbraw/zinc/47/97/38/864479738.db2.gz QXSXYCGODSCEMF-GMTAPVOTSA-N 1 2 310.291 1.021 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)o1 ZINC001159593830 865419420 /nfs/dbraw/zinc/41/94/20/865419420.db2.gz JQFUNOPBSPBPON-VXGBXAGGSA-N 1 2 317.393 1.737 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)o1 ZINC001159593830 865419429 /nfs/dbraw/zinc/41/94/29/865419429.db2.gz JQFUNOPBSPBPON-VXGBXAGGSA-N 1 2 317.393 1.737 20 30 DDEDLO C#CCCCCC(=O)NCCC1=CC[N@H+](Cc2cnon2)CC1 ZINC001159859132 865564944 /nfs/dbraw/zinc/56/49/44/865564944.db2.gz JMNRGZKMLBZSQF-UHFFFAOYSA-N 1 2 316.405 1.902 20 30 DDEDLO C#CCCCCC(=O)NCCC1=CC[N@@H+](Cc2cnon2)CC1 ZINC001159859132 865564954 /nfs/dbraw/zinc/56/49/54/865564954.db2.gz JMNRGZKMLBZSQF-UHFFFAOYSA-N 1 2 316.405 1.902 20 30 DDEDLO CCOC(=O)c1c(C)cc(N[C@@H]2C(=O)N(O)C[C@@H]2C)[nH+]c1C ZINC001160174792 865710836 /nfs/dbraw/zinc/71/08/36/865710836.db2.gz PADRRPCBGWCTPJ-ZANVPECISA-N 1 2 307.350 1.523 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C(=O)C1CCCCC1)C(C)C ZINC001332689709 866069220 /nfs/dbraw/zinc/06/92/20/866069220.db2.gz XQKNHYYDTAXVBL-UHFFFAOYSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C(=O)C1CCCCC1)C(C)C ZINC001332689709 866069234 /nfs/dbraw/zinc/06/92/34/866069234.db2.gz XQKNHYYDTAXVBL-UHFFFAOYSA-N 1 2 321.465 1.485 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCC[C@@H](C)C1 ZINC001323199782 866424303 /nfs/dbraw/zinc/42/43/03/866424303.db2.gz RRUXFCBTNCIJJX-CABCVRRESA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCC[C@@H](C)C1 ZINC001323199782 866424311 /nfs/dbraw/zinc/42/43/11/866424311.db2.gz RRUXFCBTNCIJJX-CABCVRRESA-N 1 2 307.438 1.402 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@]1(C)OCCc2ccccc21 ZINC001323372187 866567837 /nfs/dbraw/zinc/56/78/37/866567837.db2.gz SDXRLUSYFIAQCF-QFBILLFUSA-N 1 2 312.413 1.688 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@]1(C)OCCc2ccccc21 ZINC001323372187 866567840 /nfs/dbraw/zinc/56/78/40/866567840.db2.gz SDXRLUSYFIAQCF-QFBILLFUSA-N 1 2 312.413 1.688 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001333309067 866615725 /nfs/dbraw/zinc/61/57/25/866615725.db2.gz CZVPABSKMWYUDQ-AWEZNQCLSA-N 1 2 315.421 1.872 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001333309067 866615733 /nfs/dbraw/zinc/61/57/33/866615733.db2.gz CZVPABSKMWYUDQ-AWEZNQCLSA-N 1 2 315.421 1.872 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C1C[NH+](CCc2cnn(C)c2)C1 ZINC001323896369 866931673 /nfs/dbraw/zinc/93/16/73/866931673.db2.gz QZWOXNMDZBWBSQ-CQSZACIVSA-N 1 2 320.437 1.086 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)[C@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001334565677 867671841 /nfs/dbraw/zinc/67/18/41/867671841.db2.gz GDTRDBGBXJNMSR-OLZOCXBDSA-N 1 2 306.410 1.518 20 30 DDEDLO Cc1noc(C[NH2+]C[C@@H](C)NC(=O)CC#Cc2ccccc2)n1 ZINC001321750201 867770780 /nfs/dbraw/zinc/77/07/80/867770780.db2.gz LVWYBKFZYJJAPN-CYBMUJFWSA-N 1 2 312.373 1.414 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC001322182642 868031556 /nfs/dbraw/zinc/03/15/56/868031556.db2.gz DOFZISDGMGVDMQ-CYBMUJFWSA-N 1 2 304.394 1.471 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)C[N@H+](C)CC(=O)Nc2ccon2)C1 ZINC001322243867 868074431 /nfs/dbraw/zinc/07/44/31/868074431.db2.gz JBMFMJFVSZBGCD-GFCCVEGCSA-N 1 2 320.393 1.406 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)C[N@@H+](C)CC(=O)Nc2ccon2)C1 ZINC001322243867 868074448 /nfs/dbraw/zinc/07/44/48/868074448.db2.gz JBMFMJFVSZBGCD-GFCCVEGCSA-N 1 2 320.393 1.406 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001164360327 869151459 /nfs/dbraw/zinc/15/14/59/869151459.db2.gz ITFPXERNERNAAE-CYBMUJFWSA-N 1 2 322.457 1.626 20 30 DDEDLO COc1ccc(C(F)(F)N2CC[NH2+]C[C@@H]2C#N)nc1Cl ZINC001164651940 869340686 /nfs/dbraw/zinc/34/06/86/869340686.db2.gz NAMKPXCNWVTTLW-QMMMGPOBSA-N 1 2 302.712 1.590 20 30 DDEDLO C[C@H]1CCCN(C(=O)c2ccc(N3CC[NH2+]C[C@H]3C#N)nc2)C1 ZINC001164660288 869345040 /nfs/dbraw/zinc/34/50/40/869345040.db2.gz BQRJWOYSBRRIAI-DZGCQCFKSA-N 1 2 313.405 1.255 20 30 DDEDLO N#Cc1ccc(N2CCc3onc(Cn4cc[nH+]c4)c3C2)nc1 ZINC001165547791 869637631 /nfs/dbraw/zinc/63/76/31/869637631.db2.gz QHHJUEUGVSIBIO-UHFFFAOYSA-N 1 2 306.329 1.749 20 30 DDEDLO Cc1nc(C#N)cc(N2CCc3onc(Cn4cc[nH+]c4)c3C2)n1 ZINC001165548970 869638699 /nfs/dbraw/zinc/63/86/99/869638699.db2.gz SKLRTDQBNLUVFS-UHFFFAOYSA-N 1 2 321.344 1.452 20 30 DDEDLO C=C[C@H](COC)NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC001338164842 869843100 /nfs/dbraw/zinc/84/31/00/869843100.db2.gz MACKNGPVPGSHHH-CQSZACIVSA-N 1 2 300.362 1.873 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@H](C)c2ccccc2F)CC1 ZINC001316972526 870023118 /nfs/dbraw/zinc/02/31/18/870023118.db2.gz SAIYPTMXSNOEPN-OAHLLOKOSA-N 1 2 317.408 1.296 20 30 DDEDLO C=C[C@@H](CC(=O)N[C@H]1CC[N@@H+](CC(N)=O)C1)c1ccccc1 ZINC001316995754 870096566 /nfs/dbraw/zinc/09/65/66/870096566.db2.gz SEKAFRPDZMFMSD-ZFWWWQNUSA-N 1 2 301.390 1.022 20 30 DDEDLO C=C[C@@H](CC(=O)N[C@H]1CC[N@H+](CC(N)=O)C1)c1ccccc1 ZINC001316995754 870096572 /nfs/dbraw/zinc/09/65/72/870096572.db2.gz SEKAFRPDZMFMSD-ZFWWWQNUSA-N 1 2 301.390 1.022 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@@H]2C[N@@H+]3CCCC[C@H]3CO2)n1C ZINC001338672423 870108904 /nfs/dbraw/zinc/10/89/04/870108904.db2.gz ZGHPCCSVLWSYMK-KBPBESRZSA-N 1 2 305.426 1.753 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@@H]2C[N@H+]3CCCC[C@H]3CO2)n1C ZINC001338672423 870108908 /nfs/dbraw/zinc/10/89/08/870108908.db2.gz ZGHPCCSVLWSYMK-KBPBESRZSA-N 1 2 305.426 1.753 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)[C@H](C)COC ZINC001317047453 870163018 /nfs/dbraw/zinc/16/30/18/870163018.db2.gz VBASWAJCSSLCNA-TWMKSMIVSA-N 1 2 322.449 1.230 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)[C@H](C)COC ZINC001317047453 870163028 /nfs/dbraw/zinc/16/30/28/870163028.db2.gz VBASWAJCSSLCNA-TWMKSMIVSA-N 1 2 322.449 1.230 20 30 DDEDLO C=CC(C)(C)Cc1nnc(N(C)CC[NH+]2CCOCC2)n1C ZINC001338796568 870171545 /nfs/dbraw/zinc/17/15/45/870171545.db2.gz BPUCPGJSHMJSIH-UHFFFAOYSA-N 1 2 307.442 1.338 20 30 DDEDLO N#CCN1CC[C@H]2[C@@H](CCCN2C(=O)CCCn2cc[nH+]c2)C1 ZINC001317099285 870244105 /nfs/dbraw/zinc/24/41/05/870244105.db2.gz AEILBMGPLJWEMN-HOTGVXAUSA-N 1 2 315.421 1.500 20 30 DDEDLO COC(=O)C[C@@H]1CN(c2[nH+]ccc3ccc(C#N)cc32)CCO1 ZINC001166833666 870294754 /nfs/dbraw/zinc/29/47/54/870294754.db2.gz UMQLTLSJBWVQPM-CQSZACIVSA-N 1 2 311.341 1.875 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)/C=C/CC)n2CC=C)CC1 ZINC001339136327 870362552 /nfs/dbraw/zinc/36/25/52/870362552.db2.gz PDLTZAROQDXLND-MVGZEHJDSA-N 1 2 315.421 1.219 20 30 DDEDLO N#Cc1nccnc1N1CCN(c2cc(N)cc(Cl)[nH+]2)CC1 ZINC001166919519 870374427 /nfs/dbraw/zinc/37/44/27/870374427.db2.gz UPKJCYHVRPCGCK-UHFFFAOYSA-N 1 2 315.768 1.305 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1Cc1ccccc1O ZINC001203552006 870635946 /nfs/dbraw/zinc/63/59/46/870635946.db2.gz WTSGSFHPCUNVBR-OAHLLOKOSA-N 1 2 314.389 1.823 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1Cc1ccccc1O ZINC001203552006 870635957 /nfs/dbraw/zinc/63/59/57/870635957.db2.gz WTSGSFHPCUNVBR-OAHLLOKOSA-N 1 2 314.389 1.823 20 30 DDEDLO C=C(C)CCC(=O)NCC[N@@H+](C)CC(=O)N1C[C@H](C)C[C@@H](C)C1 ZINC001317446490 870850673 /nfs/dbraw/zinc/85/06/73/870850673.db2.gz GVNXTXRGQYKDJX-HZPDHXFCSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)CCC(=O)NCC[N@H+](C)CC(=O)N1C[C@H](C)C[C@@H](C)C1 ZINC001317446490 870850693 /nfs/dbraw/zinc/85/06/93/870850693.db2.gz GVNXTXRGQYKDJX-HZPDHXFCSA-N 1 2 323.481 1.895 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H]1CCCC[C@H]1CC ZINC001317468135 870887923 /nfs/dbraw/zinc/88/79/23/870887923.db2.gz OOBMREZPLIICQA-HUUCEWRRSA-N 1 2 307.438 1.000 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H]1CCCC[C@H]1CC ZINC001317468135 870887934 /nfs/dbraw/zinc/88/79/34/870887934.db2.gz OOBMREZPLIICQA-HUUCEWRRSA-N 1 2 307.438 1.000 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1coc(C)n1 ZINC001317504299 870939699 /nfs/dbraw/zinc/93/96/99/870939699.db2.gz FOZHZLDIWXQPHU-HNNXBMFYSA-N 1 2 305.378 1.104 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1coc(C)n1 ZINC001317504299 870939712 /nfs/dbraw/zinc/93/97/12/870939712.db2.gz FOZHZLDIWXQPHU-HNNXBMFYSA-N 1 2 305.378 1.104 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3C[C@@H](C2)N3C[C@H]2CCCCO2)cc1C#N ZINC001276443939 870958879 /nfs/dbraw/zinc/95/88/79/870958879.db2.gz PNJWQULEKDLIBT-FGTMMUONSA-N 1 2 314.433 1.724 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3C[C@@H](C2)N3C[C@H]2CCCCO2)cc1C#N ZINC001276443939 870958892 /nfs/dbraw/zinc/95/88/92/870958892.db2.gz PNJWQULEKDLIBT-FGTMMUONSA-N 1 2 314.433 1.724 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@H](C)[C@H](F)C1 ZINC001340257995 870993045 /nfs/dbraw/zinc/99/30/45/870993045.db2.gz DHOQAXQBHALCPJ-FQUUOJAGSA-N 1 2 323.416 1.386 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@H](C)[C@H](F)C1 ZINC001340257995 870993050 /nfs/dbraw/zinc/99/30/50/870993050.db2.gz DHOQAXQBHALCPJ-FQUUOJAGSA-N 1 2 323.416 1.386 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCSC[C@H]1C ZINC001340375698 871067681 /nfs/dbraw/zinc/06/76/81/871067681.db2.gz OPAHCMHWEADUFX-UPJWGTAASA-N 1 2 323.466 1.143 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCSC[C@H]1C ZINC001340375698 871067692 /nfs/dbraw/zinc/06/76/92/871067692.db2.gz OPAHCMHWEADUFX-UPJWGTAASA-N 1 2 323.466 1.143 20 30 DDEDLO COC(=O)N1CCC[N@@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC001340785942 871350855 /nfs/dbraw/zinc/35/08/55/871350855.db2.gz KYJNBMQYGJMBHG-XJKSGUPXSA-N 1 2 324.425 1.203 20 30 DDEDLO COC(=O)N1CCC[N@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC001340785942 871350871 /nfs/dbraw/zinc/35/08/71/871350871.db2.gz KYJNBMQYGJMBHG-XJKSGUPXSA-N 1 2 324.425 1.203 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H](C)c1cccc(F)c1 ZINC001317472362 871451178 /nfs/dbraw/zinc/45/11/78/871451178.db2.gz YLYHZBRCUJGEHI-CYBMUJFWSA-N 1 2 321.396 1.279 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@H](C)c1cccc(F)c1 ZINC001317472362 871451185 /nfs/dbraw/zinc/45/11/85/871451185.db2.gz YLYHZBRCUJGEHI-CYBMUJFWSA-N 1 2 321.396 1.279 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](C[N@@H+](C)Cc2nnc(C3CC3)[nH]2)C1 ZINC001317829570 871555274 /nfs/dbraw/zinc/55/52/74/871555274.db2.gz BRHLBHAUSSFTGE-CYBMUJFWSA-N 1 2 317.437 1.929 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](C[N@H+](C)Cc2nnc(C3CC3)[nH]2)C1 ZINC001317829570 871555293 /nfs/dbraw/zinc/55/52/93/871555293.db2.gz BRHLBHAUSSFTGE-CYBMUJFWSA-N 1 2 317.437 1.929 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CCC2CCCC2)C1 ZINC001317919144 871624411 /nfs/dbraw/zinc/62/44/11/871624411.db2.gz ZTHRUFXJJYTUFK-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CCC2CCCC2)C1 ZINC001317919144 871624427 /nfs/dbraw/zinc/62/44/27/871624427.db2.gz ZTHRUFXJJYTUFK-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001317930880 871630792 /nfs/dbraw/zinc/63/07/92/871630792.db2.gz PBNCUFVYIAXRCQ-JKSUJKDBSA-N 1 2 321.465 1.531 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001317930880 871630799 /nfs/dbraw/zinc/63/07/99/871630799.db2.gz PBNCUFVYIAXRCQ-JKSUJKDBSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C2CCC(=C)CC2)C1 ZINC001317943406 871640611 /nfs/dbraw/zinc/64/06/11/871640611.db2.gz JUUSUNKXCPONBQ-MRXNPFEDSA-N 1 2 317.433 1.063 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2CCC(=C)CC2)C1 ZINC001317943406 871640632 /nfs/dbraw/zinc/64/06/32/871640632.db2.gz JUUSUNKXCPONBQ-MRXNPFEDSA-N 1 2 317.433 1.063 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@]2(CC)CCNC2=O)C1 ZINC001317973507 871665897 /nfs/dbraw/zinc/66/58/97/871665897.db2.gz YPQKUFZMEWILHI-WFASDCNBSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@]2(CC)CCNC2=O)C1 ZINC001317973507 871665911 /nfs/dbraw/zinc/66/59/11/871665911.db2.gz YPQKUFZMEWILHI-WFASDCNBSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C1CCN(c2nnc(C[NH+]3CCCC3)n2CCOC)CC1 ZINC001341604027 871731732 /nfs/dbraw/zinc/73/17/32/871731732.db2.gz IVXCLMNDZPZQGE-UHFFFAOYSA-N 1 2 305.426 1.677 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@@H](NC(=O)Cn2cc[nH+]c2)C12CCC2 ZINC001341861808 871862315 /nfs/dbraw/zinc/86/23/15/871862315.db2.gz GLVOVHIHXDZRGC-ZIAGYGMSSA-N 1 2 316.405 1.393 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2cc3n(n2)CCC3)C1 ZINC001318255149 871887471 /nfs/dbraw/zinc/88/74/71/871887471.db2.gz OIWSPZWEUMVDFC-AWEZNQCLSA-N 1 2 302.422 1.876 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2cc3n(n2)CCC3)C1 ZINC001318255149 871887490 /nfs/dbraw/zinc/88/74/90/871887490.db2.gz OIWSPZWEUMVDFC-AWEZNQCLSA-N 1 2 302.422 1.876 20 30 DDEDLO CCc1nnc([C@@H](C)[NH+]2CCC(NC(=O)[C@@H](C)C#N)CC2)o1 ZINC001226639433 882559942 /nfs/dbraw/zinc/55/99/42/882559942.db2.gz CCWIQWDRAWTAAN-WDEREUQCSA-N 1 2 305.382 1.433 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@@H+](Cc2cccc(Cl)n2)C1 ZINC001318331366 871937492 /nfs/dbraw/zinc/93/74/92/871937492.db2.gz PRIVXQZKPJSBOH-CQSZACIVSA-N 1 2 321.808 1.465 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@H+](Cc2cccc(Cl)n2)C1 ZINC001318331366 871937501 /nfs/dbraw/zinc/93/75/01/871937501.db2.gz PRIVXQZKPJSBOH-CQSZACIVSA-N 1 2 321.808 1.465 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)[C@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001318399193 871994658 /nfs/dbraw/zinc/99/46/58/871994658.db2.gz DODBJODMTJQAJW-WMLDXEAASA-N 1 2 321.421 1.651 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001318399193 871994665 /nfs/dbraw/zinc/99/46/65/871994665.db2.gz DODBJODMTJQAJW-WMLDXEAASA-N 1 2 321.421 1.651 20 30 DDEDLO C=CCOCc1ncn2c1C[N@H+](Cc1cn(C)cn1)CCC2 ZINC001206214336 872138813 /nfs/dbraw/zinc/13/88/13/872138813.db2.gz VJANUWOETDEGEE-UHFFFAOYSA-N 1 2 301.394 1.725 20 30 DDEDLO C=CCOCc1ncn2c1C[N@@H+](Cc1cn(C)cn1)CCC2 ZINC001206214336 872138824 /nfs/dbraw/zinc/13/88/24/872138824.db2.gz VJANUWOETDEGEE-UHFFFAOYSA-N 1 2 301.394 1.725 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)NCC#C[C@@H]2CCCCO2)C1 ZINC001342590736 872282903 /nfs/dbraw/zinc/28/29/03/872282903.db2.gz UUJJCYUPLKTBTF-GJZGRUSLSA-N 1 2 316.405 1.492 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](O)CC)n2CC(=C)C)CC1 ZINC001342993577 872462672 /nfs/dbraw/zinc/46/26/72/872462672.db2.gz QEHITGSZOKNSLH-AWEZNQCLSA-N 1 2 303.410 1.053 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)/C=C\c2ccco2)C1 ZINC001319289328 872540661 /nfs/dbraw/zinc/54/06/61/872540661.db2.gz KEHBOZLJZVPLTE-KJPDOMRESA-N 1 2 320.389 1.312 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)/C=C\c2ccco2)C1 ZINC001319289328 872540673 /nfs/dbraw/zinc/54/06/73/872540673.db2.gz KEHBOZLJZVPLTE-KJPDOMRESA-N 1 2 320.389 1.312 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)C2(CC)CCCC2)C1 ZINC001319330256 872579921 /nfs/dbraw/zinc/57/99/21/872579921.db2.gz QPLWQRWWKQLUQS-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)C2(CC)CCCC2)C1 ZINC001319330256 872579931 /nfs/dbraw/zinc/57/99/31/872579931.db2.gz QPLWQRWWKQLUQS-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N1CCO[C@H](C#N)C1 ZINC001343457644 872632276 /nfs/dbraw/zinc/63/22/76/872632276.db2.gz QNGXKOBDGYNQNI-ZIAGYGMSSA-N 1 2 316.409 1.350 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N1CCO[C@H](C#N)C1 ZINC001343457644 872632294 /nfs/dbraw/zinc/63/22/94/872632294.db2.gz QNGXKOBDGYNQNI-ZIAGYGMSSA-N 1 2 316.409 1.350 20 30 DDEDLO COCC(=O)N[C@@H](C)C1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001381776782 882647463 /nfs/dbraw/zinc/64/74/63/882647463.db2.gz XXTXWZHAOJIMPI-NSHDSACASA-N 1 2 305.353 1.280 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001206915837 872761464 /nfs/dbraw/zinc/76/14/64/872761464.db2.gz LVHZDKNECSIMRJ-ZLENFMNRSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001206915837 872761473 /nfs/dbraw/zinc/76/14/73/872761473.db2.gz LVHZDKNECSIMRJ-ZLENFMNRSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CCN(C)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC(C)C ZINC001344500868 873022966 /nfs/dbraw/zinc/02/29/66/873022966.db2.gz ROBKDVBAFQGOJW-CJNGLKHVSA-N 1 2 307.442 1.468 20 30 DDEDLO C=CCN(C)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC(C)C ZINC001344500868 873022983 /nfs/dbraw/zinc/02/29/83/873022983.db2.gz ROBKDVBAFQGOJW-CJNGLKHVSA-N 1 2 307.442 1.468 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001208010432 873747434 /nfs/dbraw/zinc/74/74/34/873747434.db2.gz JTRBXFZHMKKEJB-GFCCVEGCSA-N 1 2 306.435 1.668 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@H]([NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001208028451 873769733 /nfs/dbraw/zinc/76/97/33/873769733.db2.gz FBGTZAHVLJVOBI-JSGCOSHPSA-N 1 2 320.393 1.060 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCC)n2CCCOCC)CC1 ZINC001346796803 873898271 /nfs/dbraw/zinc/89/82/71/873898271.db2.gz INHRIFLVLQBLAT-UHFFFAOYSA-N 1 2 319.453 1.412 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cnc2nccnc2c1 ZINC001378061327 874041750 /nfs/dbraw/zinc/04/17/50/874041750.db2.gz CIVUOMASVXGNRO-LLVKDONJSA-N 1 2 319.796 1.827 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cnc2nccnc2c1 ZINC001378061327 874041764 /nfs/dbraw/zinc/04/17/64/874041764.db2.gz CIVUOMASVXGNRO-LLVKDONJSA-N 1 2 319.796 1.827 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)Cn1cc[nH+]c1 ZINC001347233916 874067305 /nfs/dbraw/zinc/06/73/05/874067305.db2.gz OBILWEWVDOYCCY-RDBSUJKOSA-N 1 2 316.405 1.343 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC001378070863 874074514 /nfs/dbraw/zinc/07/45/14/874074514.db2.gz OPHXMKMKHHFKNF-QWHCGFSZSA-N 1 2 312.801 1.051 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC001378070863 874074528 /nfs/dbraw/zinc/07/45/28/874074528.db2.gz OPHXMKMKHHFKNF-QWHCGFSZSA-N 1 2 312.801 1.051 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nonc2C)C[C@H]1C ZINC001208388138 874097873 /nfs/dbraw/zinc/09/78/73/874097873.db2.gz HVHNUOGSUQRAFE-OUCADQQQSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nonc2C)C[C@H]1C ZINC001208388138 874097882 /nfs/dbraw/zinc/09/78/82/874097882.db2.gz HVHNUOGSUQRAFE-OUCADQQQSA-N 1 2 306.410 1.917 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+]([C@H](C)c2nnc(C)[nH]2)CC1 ZINC001227084838 882817068 /nfs/dbraw/zinc/81/70/68/882817068.db2.gz LVZVHHZVYVVSJP-GFCCVEGCSA-N 1 2 303.410 1.558 20 30 DDEDLO CCC[C@@H](C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C)C(C)C ZINC001209031019 874618727 /nfs/dbraw/zinc/61/87/27/874618727.db2.gz YRVWBILGKRJRAM-RBSFLKMASA-N 1 2 322.453 1.135 20 30 DDEDLO CCC[C@@H](C(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C)C(C)C ZINC001209031019 874618715 /nfs/dbraw/zinc/61/87/15/874618715.db2.gz YRVWBILGKRJRAM-RBSFLKMASA-N 1 2 322.453 1.135 20 30 DDEDLO CCOC(=O)c1c(C)[nH]c(C[NH+]2CCN(CCC#N)CC2)c1C ZINC001209606820 875001450 /nfs/dbraw/zinc/00/14/50/875001450.db2.gz MGVUTYOGGYWBLV-UHFFFAOYSA-N 1 2 318.421 1.840 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)Cc2ccc(OC)cn2)C1 ZINC001378543243 875092264 /nfs/dbraw/zinc/09/22/64/875092264.db2.gz HCRWPANLOHACKO-GFCCVEGCSA-N 1 2 323.824 1.822 20 30 DDEDLO C=CCOc1cccc(NS(=O)(=O)CCn2cc[nH+]c2)c1 ZINC001211242336 875725952 /nfs/dbraw/zinc/72/59/52/875725952.db2.gz RDZHEGKFTUCAGT-UHFFFAOYSA-N 1 2 307.375 1.890 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@H]1OC ZINC001213496388 875950642 /nfs/dbraw/zinc/95/06/42/875950642.db2.gz OVEHWWMPEZTSHR-HZPDHXFCSA-N 1 2 317.433 1.999 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@H]1OC ZINC001213496388 875950662 /nfs/dbraw/zinc/95/06/62/875950662.db2.gz OVEHWWMPEZTSHR-HZPDHXFCSA-N 1 2 317.433 1.999 20 30 DDEDLO CC[C@H](C)CCC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213611927 875995678 /nfs/dbraw/zinc/99/56/78/875995678.db2.gz QKTYTFZCNZVXDT-ARFHVFGLSA-N 1 2 310.438 1.278 20 30 DDEDLO CC[C@H](C)CCC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213611927 875995701 /nfs/dbraw/zinc/99/57/01/875995701.db2.gz QKTYTFZCNZVXDT-ARFHVFGLSA-N 1 2 310.438 1.278 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001352820651 876954411 /nfs/dbraw/zinc/95/44/11/876954411.db2.gz HWUAWFBJOYNCQF-OAHLLOKOSA-N 1 2 316.405 1.040 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C2)o1 ZINC001219598165 878379955 /nfs/dbraw/zinc/37/99/55/878379955.db2.gz LRYBAHNLKDGMHR-KGLIPLIRSA-N 1 2 319.405 1.002 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C2)o1 ZINC001219598165 878379967 /nfs/dbraw/zinc/37/99/67/878379967.db2.gz LRYBAHNLKDGMHR-KGLIPLIRSA-N 1 2 319.405 1.002 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1O ZINC001219702433 878464165 /nfs/dbraw/zinc/46/41/65/878464165.db2.gz MYARNXZWZAQRCK-KWKBKKAHSA-N 1 2 307.221 1.679 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1O ZINC001219702433 878464166 /nfs/dbraw/zinc/46/41/66/878464166.db2.gz MYARNXZWZAQRCK-KWKBKKAHSA-N 1 2 307.221 1.679 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219716070 878474926 /nfs/dbraw/zinc/47/49/26/878474926.db2.gz ZCYVFQFTWRPXDB-MSOLQXFVSA-N 1 2 312.413 1.556 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219716070 878474938 /nfs/dbraw/zinc/47/49/38/878474938.db2.gz ZCYVFQFTWRPXDB-MSOLQXFVSA-N 1 2 312.413 1.556 20 30 DDEDLO C=CCn1c(-c2[nH]cnc2C)nnc1N1CC[N@@H+](CC)[C@@H](C)C1 ZINC001355921483 878781331 /nfs/dbraw/zinc/78/13/31/878781331.db2.gz WGBUEFJHLGFDMW-LBPRGKRZSA-N 1 2 315.425 1.693 20 30 DDEDLO C=CCn1c(-c2[nH]cnc2C)nnc1N1CC[N@H+](CC)[C@@H](C)C1 ZINC001355921483 878781347 /nfs/dbraw/zinc/78/13/47/878781347.db2.gz WGBUEFJHLGFDMW-LBPRGKRZSA-N 1 2 315.425 1.693 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@@H]1O ZINC001220203545 878817012 /nfs/dbraw/zinc/81/70/12/878817012.db2.gz JRVSLICVACMMNN-CFVMTHIKSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@@H]1O ZINC001220203545 878817036 /nfs/dbraw/zinc/81/70/36/878817036.db2.gz JRVSLICVACMMNN-CFVMTHIKSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220292055 878890279 /nfs/dbraw/zinc/89/02/79/878890279.db2.gz MSGNAPCBSNISDJ-HLLBOEOZSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@@H]1O ZINC001220292055 878890294 /nfs/dbraw/zinc/89/02/94/878890294.db2.gz MSGNAPCBSNISDJ-HLLBOEOZSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H]2CNC(=O)Cn2cc[nH+]c2)C1 ZINC001356353675 879010667 /nfs/dbraw/zinc/01/06/67/879010667.db2.gz ZJMGMHZFZSFAFG-AWEZNQCLSA-N 1 2 316.405 1.347 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C=C(CC)CC ZINC001276821026 879703496 /nfs/dbraw/zinc/70/34/96/879703496.db2.gz FAPRYSOUOQFCAN-ZFWWWQNUSA-N 1 2 305.422 1.061 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C=C(CC)CC ZINC001276821026 879703511 /nfs/dbraw/zinc/70/35/11/879703511.db2.gz FAPRYSOUOQFCAN-ZFWWWQNUSA-N 1 2 305.422 1.061 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](CNC(=O)Cn1cc[nH+]c1)C(C)C ZINC001357103908 879793050 /nfs/dbraw/zinc/79/30/50/879793050.db2.gz SUICIGKHSCIOCS-CYBMUJFWSA-N 1 2 306.410 1.352 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[C@@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001357318027 879908567 /nfs/dbraw/zinc/90/85/67/879908567.db2.gz KDUNLVCBPIEPRT-CABCVRRESA-N 1 2 318.421 1.641 20 30 DDEDLO C#CCC1(C(=O)N2C[C@H]3CC[N@@H+](CCF)C[C@H]32)CCOCC1 ZINC001221608780 879969538 /nfs/dbraw/zinc/96/95/38/879969538.db2.gz JZKKCXFIXFBKTK-HUUCEWRRSA-N 1 2 308.397 1.309 20 30 DDEDLO C#CCC1(C(=O)N2C[C@H]3CC[N@H+](CCF)C[C@H]32)CCOCC1 ZINC001221608780 879969546 /nfs/dbraw/zinc/96/95/46/879969546.db2.gz JZKKCXFIXFBKTK-HUUCEWRRSA-N 1 2 308.397 1.309 20 30 DDEDLO C=CCCC1(C(=O)N2C[C@H]3CC[N@@H+](CC(=O)NC)C[C@H]32)CC1 ZINC001221794293 880089585 /nfs/dbraw/zinc/08/95/85/880089585.db2.gz ZRGYWKUEQSSCDC-ZIAGYGMSSA-N 1 2 305.422 1.012 20 30 DDEDLO C=CCCC1(C(=O)N2C[C@H]3CC[N@H+](CC(=O)NC)C[C@H]32)CC1 ZINC001221794293 880089598 /nfs/dbraw/zinc/08/95/98/880089598.db2.gz ZRGYWKUEQSSCDC-ZIAGYGMSSA-N 1 2 305.422 1.012 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H]3CC34CCC4)[C@@H]2C1 ZINC001221836735 880113252 /nfs/dbraw/zinc/11/32/52/880113252.db2.gz LGGLRQWMTFOFDY-RBSFLKMASA-N 1 2 317.433 1.012 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3CC34CCC4)[C@@H]2C1 ZINC001221836735 880113257 /nfs/dbraw/zinc/11/32/57/880113257.db2.gz LGGLRQWMTFOFDY-RBSFLKMASA-N 1 2 317.433 1.012 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]([NH2+][C@H](C)c2nc(C)no2)C1 ZINC001222067358 880212303 /nfs/dbraw/zinc/21/23/03/880212303.db2.gz GQKWMUNTUIYMIP-TZMCWYRMSA-N 1 2 322.409 1.612 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1([NH2+]Cc2ncc(C3CC3)o2)CCC1 ZINC001380852743 880527842 /nfs/dbraw/zinc/52/78/42/880527842.db2.gz NTWFZOVFIKSUFZ-LLVKDONJSA-N 1 2 302.378 1.840 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H](CC)C1 ZINC001358576040 880547889 /nfs/dbraw/zinc/54/78/89/880547889.db2.gz NFDROGIESWPVEV-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001358681486 880752783 /nfs/dbraw/zinc/75/27/83/880752783.db2.gz FLQCEXYHWOMTRE-UHFFFAOYSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](NC(=O)COC)C(C)(C)C1 ZINC001381130078 881088196 /nfs/dbraw/zinc/08/81/96/881088196.db2.gz GUJIMAGOPCJMEH-NSHDSACASA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](NC(=O)COC)C(C)(C)C1 ZINC001381130078 881088226 /nfs/dbraw/zinc/08/82/26/881088226.db2.gz GUJIMAGOPCJMEH-NSHDSACASA-N 1 2 319.243 1.758 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001288004648 912658377 /nfs/dbraw/zinc/65/83/77/912658377.db2.gz CMDATAKBJICAKZ-UONOGXRCSA-N 1 2 316.405 1.107 20 30 DDEDLO N#Cc1cccc2c(C[N@@H+]3CCc4onc(C(N)=O)c4C3)c[nH]c21 ZINC001276953896 881250341 /nfs/dbraw/zinc/25/03/41/881250341.db2.gz RNARSRRDAAZVDV-UHFFFAOYSA-N 1 2 321.340 1.685 20 30 DDEDLO N#Cc1cccc2c(C[N@H+]3CCc4onc(C(N)=O)c4C3)c[nH]c21 ZINC001276953896 881250349 /nfs/dbraw/zinc/25/03/49/881250349.db2.gz RNARSRRDAAZVDV-UHFFFAOYSA-N 1 2 321.340 1.685 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H](C)NC(=O)c1cccc2[nH+]ccn21 ZINC001382196996 883617484 /nfs/dbraw/zinc/61/74/84/883617484.db2.gz JFLUSHXWNNVTEE-VXGBXAGGSA-N 1 2 313.361 1.071 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@H]23)o1 ZINC001382324925 883822956 /nfs/dbraw/zinc/82/29/56/883822956.db2.gz HONUOYMALLZBGB-BFQNTYOBSA-N 1 2 317.393 1.405 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@H]23)o1 ZINC001382324925 883822964 /nfs/dbraw/zinc/82/29/64/883822964.db2.gz HONUOYMALLZBGB-BFQNTYOBSA-N 1 2 317.393 1.405 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)COCC(F)F)[C@@H]1C ZINC001382342706 883863097 /nfs/dbraw/zinc/86/30/97/883863097.db2.gz QPAUMFCFLVDQMG-QWRGUYRKSA-N 1 2 310.772 1.990 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)COCC(F)F)[C@@H]1C ZINC001382342706 883863111 /nfs/dbraw/zinc/86/31/11/883863111.db2.gz QPAUMFCFLVDQMG-QWRGUYRKSA-N 1 2 310.772 1.990 20 30 DDEDLO C=CCOCC(=O)N1CCC([N@@H+](C)[C@@H](C)c2csnn2)CC1 ZINC001277386044 883975180 /nfs/dbraw/zinc/97/51/80/883975180.db2.gz ZQOUBDKLJLSCDV-LBPRGKRZSA-N 1 2 324.450 1.725 20 30 DDEDLO C=CCOCC(=O)N1CCC([N@H+](C)[C@@H](C)c2csnn2)CC1 ZINC001277386044 883975189 /nfs/dbraw/zinc/97/51/89/883975189.db2.gz ZQOUBDKLJLSCDV-LBPRGKRZSA-N 1 2 324.450 1.725 20 30 DDEDLO COC(=O)Cc1ccc(O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001229799201 884168147 /nfs/dbraw/zinc/16/81/47/884168147.db2.gz XCOKJVLQSOSACE-GYZAEQSUSA-N 1 2 319.357 1.099 20 30 DDEDLO COC(=O)Cc1ccc(O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001229799201 884168154 /nfs/dbraw/zinc/16/81/54/884168154.db2.gz XCOKJVLQSOSACE-GYZAEQSUSA-N 1 2 319.357 1.099 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C#N)ccc1F ZINC001230568193 884593032 /nfs/dbraw/zinc/59/30/32/884593032.db2.gz GXSYLISPUZBPLL-OAHLLOKOSA-N 1 2 319.380 1.767 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C#N)ccc1F ZINC001230568193 884593047 /nfs/dbraw/zinc/59/30/47/884593047.db2.gz GXSYLISPUZBPLL-OAHLLOKOSA-N 1 2 319.380 1.767 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230568449 884593244 /nfs/dbraw/zinc/59/32/44/884593244.db2.gz MUHHVONVOYPOBJ-OAHLLOKOSA-N 1 2 319.380 1.767 20 30 DDEDLO COCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230568449 884593254 /nfs/dbraw/zinc/59/32/54/884593254.db2.gz MUHHVONVOYPOBJ-OAHLLOKOSA-N 1 2 319.380 1.767 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)CCC(C)C)C1=O ZINC001230731278 884804642 /nfs/dbraw/zinc/80/46/42/884804642.db2.gz UOBJORUVLSOOTQ-HOTGVXAUSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CCC(C)C)C1=O ZINC001230731278 884804653 /nfs/dbraw/zinc/80/46/53/884804653.db2.gz UOBJORUVLSOOTQ-HOTGVXAUSA-N 1 2 321.465 1.742 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(Cl)c[nH]1 ZINC001230735586 884812055 /nfs/dbraw/zinc/81/20/55/884812055.db2.gz VWSKDSHCNBCVOF-ZDUSSCGKSA-N 1 2 309.797 1.464 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(Cl)c[nH]1 ZINC001230735586 884812069 /nfs/dbraw/zinc/81/20/69/884812069.db2.gz VWSKDSHCNBCVOF-ZDUSSCGKSA-N 1 2 309.797 1.464 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)nc1 ZINC001230769848 884854528 /nfs/dbraw/zinc/85/45/28/884854528.db2.gz GRNBPWZGBBPZJI-MRXNPFEDSA-N 1 2 301.390 1.186 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)nc1 ZINC001230769848 884854541 /nfs/dbraw/zinc/85/45/41/884854541.db2.gz GRNBPWZGBBPZJI-MRXNPFEDSA-N 1 2 301.390 1.186 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccccn1)C(=O)CSCC#N ZINC001230814268 884913056 /nfs/dbraw/zinc/91/30/56/884913056.db2.gz AZFXUIBQXOIWCU-AWEZNQCLSA-N 1 2 304.419 1.371 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccccn1)C(=O)CSCC#N ZINC001230814268 884913076 /nfs/dbraw/zinc/91/30/76/884913076.db2.gz AZFXUIBQXOIWCU-AWEZNQCLSA-N 1 2 304.419 1.371 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)/C=C(/C)C1CC1 ZINC001230948002 885076909 /nfs/dbraw/zinc/07/69/09/885076909.db2.gz JYBJWWWORQZWTP-WVUXNXBLSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C(/C)C1CC1 ZINC001230948002 885076919 /nfs/dbraw/zinc/07/69/19/885076919.db2.gz JYBJWWWORQZWTP-WVUXNXBLSA-N 1 2 305.422 1.178 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@H](C)CCC ZINC001231130849 885269988 /nfs/dbraw/zinc/26/99/88/885269988.db2.gz KVLIHFQFKKIJAJ-HUUCEWRRSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@H](C)CCC ZINC001231130849 885270001 /nfs/dbraw/zinc/27/00/01/885270001.db2.gz KVLIHFQFKKIJAJ-HUUCEWRRSA-N 1 2 307.438 1.095 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1CCOCC(F)(F)F ZINC001231170329 885320923 /nfs/dbraw/zinc/32/09/23/885320923.db2.gz OTGCBOHFRNJNBC-LBPRGKRZSA-N 1 2 324.343 1.301 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1CCOCC(F)(F)F ZINC001231170329 885320945 /nfs/dbraw/zinc/32/09/45/885320945.db2.gz OTGCBOHFRNJNBC-LBPRGKRZSA-N 1 2 324.343 1.301 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccnc(N(C)C)c1 ZINC001231247809 885431215 /nfs/dbraw/zinc/43/12/15/885431215.db2.gz RLWSZALYNUMUSU-UKRRQHHQSA-N 1 2 315.421 1.340 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccnc(N(C)C)c1 ZINC001231247809 885431220 /nfs/dbraw/zinc/43/12/20/885431220.db2.gz RLWSZALYNUMUSU-UKRRQHHQSA-N 1 2 315.421 1.340 20 30 DDEDLO COC(=O)[C@@H](COC1CCC1)[N@H+](C)C[C@@H](O)CC1(C#N)CCC1 ZINC001363206931 885713923 /nfs/dbraw/zinc/71/39/23/885713923.db2.gz PGONBBZAWWPIGZ-DZGCQCFKSA-N 1 2 324.421 1.474 20 30 DDEDLO COC(=O)[C@@H](COC1CCC1)[N@@H+](C)C[C@@H](O)CC1(C#N)CCC1 ZINC001363206931 885713933 /nfs/dbraw/zinc/71/39/33/885713933.db2.gz PGONBBZAWWPIGZ-DZGCQCFKSA-N 1 2 324.421 1.474 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001374469565 912972127 /nfs/dbraw/zinc/97/21/27/912972127.db2.gz BTPNMEHXFIVRHD-GFCCVEGCSA-N 1 2 302.353 1.747 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001374469565 912972139 /nfs/dbraw/zinc/97/21/39/912972139.db2.gz BTPNMEHXFIVRHD-GFCCVEGCSA-N 1 2 302.353 1.747 20 30 DDEDLO Cc1ncoc1C[NH+]1CC2(C[C@H]2C(=O)N2CC(CC#N)C2)C1 ZINC001277602506 886035383 /nfs/dbraw/zinc/03/53/83/886035383.db2.gz QCTMXOOAQXBGMS-ZDUSSCGKSA-N 1 2 300.362 1.177 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc[nH]c1C ZINC001233529293 887080084 /nfs/dbraw/zinc/08/00/84/887080084.db2.gz JJXITJHABJQTRZ-KGLIPLIRSA-N 1 2 318.421 1.160 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1cc[nH]c1C ZINC001233529293 887080099 /nfs/dbraw/zinc/08/00/99/887080099.db2.gz JJXITJHABJQTRZ-KGLIPLIRSA-N 1 2 318.421 1.160 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)C1=COCCO1 ZINC001233551875 887105631 /nfs/dbraw/zinc/10/56/31/887105631.db2.gz DDPAMWXITUELFY-UHFFFAOYSA-N 1 2 319.199 1.221 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)C1=COCCO1 ZINC001233551875 887105635 /nfs/dbraw/zinc/10/56/35/887105635.db2.gz DDPAMWXITUELFY-UHFFFAOYSA-N 1 2 319.199 1.221 20 30 DDEDLO C[N@@H+]1C2(CCC2)COC[C@@]1(CO)CNc1cc(F)ccc1C#N ZINC001363744988 887124284 /nfs/dbraw/zinc/12/42/84/887124284.db2.gz TXYAUOMORNBEPB-KRWDZBQOSA-N 1 2 319.380 1.725 20 30 DDEDLO C[N@H+]1C2(CCC2)COC[C@@]1(CO)CNc1cc(F)ccc1C#N ZINC001363744988 887124294 /nfs/dbraw/zinc/12/42/94/887124294.db2.gz TXYAUOMORNBEPB-KRWDZBQOSA-N 1 2 319.380 1.725 20 30 DDEDLO Cc1ccnc(NC(=O)C[N@H+](C)C[C@H](O)c2cccc(C#N)c2)c1 ZINC001363806912 887274376 /nfs/dbraw/zinc/27/43/76/887274376.db2.gz LDCMJMGEWCARIS-INIZCTEOSA-N 1 2 324.384 1.866 20 30 DDEDLO Cc1ccnc(NC(=O)C[N@@H+](C)C[C@H](O)c2cccc(C#N)c2)c1 ZINC001363806912 887274393 /nfs/dbraw/zinc/27/43/93/887274393.db2.gz LDCMJMGEWCARIS-INIZCTEOSA-N 1 2 324.384 1.866 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H](OCC)[C@H]1CCOC1 ZINC001374523170 913144229 /nfs/dbraw/zinc/14/42/29/913144229.db2.gz YSFULUFVQUUCCY-UONOGXRCSA-N 1 2 318.845 1.571 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H](OCC)[C@H]1CCOC1 ZINC001374523170 913144239 /nfs/dbraw/zinc/14/42/39/913144239.db2.gz YSFULUFVQUUCCY-UONOGXRCSA-N 1 2 318.845 1.571 20 30 DDEDLO COc1cc[nH+]cc1Cn1c(C)nnc1N1CC[C@@](F)(C#N)C1 ZINC001396097404 913151620 /nfs/dbraw/zinc/15/16/20/913151620.db2.gz HELTYRZJXPFNFT-OAHLLOKOSA-N 1 2 316.340 1.480 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CC ZINC001233966888 887508242 /nfs/dbraw/zinc/50/82/42/887508242.db2.gz RQBDWIPWXBWYPC-OAHLLOKOSA-N 1 2 307.438 1.191 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CC ZINC001233966888 887508252 /nfs/dbraw/zinc/50/82/52/887508252.db2.gz RQBDWIPWXBWYPC-OAHLLOKOSA-N 1 2 307.438 1.191 20 30 DDEDLO CCN(C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234196390 887737816 /nfs/dbraw/zinc/73/78/16/887737816.db2.gz SPVDGVKQTNXIDL-CQSZACIVSA-N 1 2 307.438 1.047 20 30 DDEDLO CCN(C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234196390 887737828 /nfs/dbraw/zinc/73/78/28/887737828.db2.gz SPVDGVKQTNXIDL-CQSZACIVSA-N 1 2 307.438 1.047 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001234554568 888087758 /nfs/dbraw/zinc/08/77/58/888087758.db2.gz KYXIDMZRWSHXJW-AWEZNQCLSA-N 1 2 312.841 1.948 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001234554568 888087746 /nfs/dbraw/zinc/08/77/46/888087746.db2.gz KYXIDMZRWSHXJW-AWEZNQCLSA-N 1 2 312.841 1.948 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@@H](C)C1CC1 ZINC001234626222 888151930 /nfs/dbraw/zinc/15/19/30/888151930.db2.gz RATRTYUXCLLDMB-GOEBONIOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@@H](C)C1CC1 ZINC001234626222 888151937 /nfs/dbraw/zinc/15/19/37/888151937.db2.gz RATRTYUXCLLDMB-GOEBONIOSA-N 1 2 321.465 1.790 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCC(=C)C ZINC001234625615 888152750 /nfs/dbraw/zinc/15/27/50/888152750.db2.gz NALXTEZVUWJZTF-CABCVRRESA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCC(=C)C ZINC001234625615 888152758 /nfs/dbraw/zinc/15/27/58/888152758.db2.gz NALXTEZVUWJZTF-CABCVRRESA-N 1 2 305.422 1.013 20 30 DDEDLO COc1cccc(-c2noc([C@H](C)[NH2+]C[C@H](O)CC#N)n2)c1 ZINC001364380561 888498466 /nfs/dbraw/zinc/49/84/66/888498466.db2.gz HHCFEJFROJKKAZ-CMPLNLGQSA-N 1 2 302.334 1.670 20 30 DDEDLO CNC(=O)NC1CC[NH+](Cc2c(F)cc(C#N)cc2F)CC1 ZINC001235660038 888852279 /nfs/dbraw/zinc/85/22/79/888852279.db2.gz CQSUUUNGJIIGBB-UHFFFAOYSA-N 1 2 308.332 1.730 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1C[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001290063617 913371489 /nfs/dbraw/zinc/37/14/89/913371489.db2.gz GSZDLSAUPWVLPG-GASCZTMLSA-N 1 2 316.405 1.088 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@H]2C[C@@H](NC(=O)[C@H](C)C#N)C2)c(C)[nH+]1 ZINC001386023360 890167997 /nfs/dbraw/zinc/16/79/97/890167997.db2.gz JRFBEFORMFNHBK-HONMWMINSA-N 1 2 314.389 1.544 20 30 DDEDLO CCCC[N@@H+]1Cc2ccnn2CC[C@H]1C(=O)NC1(C#N)CCC1 ZINC001278224040 890186499 /nfs/dbraw/zinc/18/64/99/890186499.db2.gz KQZRHAWSGKCOAC-HNNXBMFYSA-N 1 2 315.421 1.820 20 30 DDEDLO CCCC[N@H+]1Cc2ccnn2CC[C@H]1C(=O)NC1(C#N)CCC1 ZINC001278224040 890186506 /nfs/dbraw/zinc/18/65/06/890186506.db2.gz KQZRHAWSGKCOAC-HNNXBMFYSA-N 1 2 315.421 1.820 20 30 DDEDLO N#CCNC[C@@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C1CCCC1 ZINC001278253061 890281685 /nfs/dbraw/zinc/28/16/85/890281685.db2.gz UFYSJRKZOLEZQB-LSDHHAIUSA-N 1 2 315.421 1.234 20 30 DDEDLO Cc1cc(C#N)nc(-c2cccc(C(=O)N3CC[NH+](C)CC3)c2)n1 ZINC001241875785 891283757 /nfs/dbraw/zinc/28/37/57/891283757.db2.gz QIFCXYLLVZMIIW-UHFFFAOYSA-N 1 2 321.384 1.711 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCc1c[nH]c(C(C)C)[nH+]1 ZINC001365741239 891434619 /nfs/dbraw/zinc/43/46/19/891434619.db2.gz JJAFIZUCHPOGSN-LLVKDONJSA-N 1 2 313.427 1.349 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCc1c[nH+]c(C(C)C)[nH]1 ZINC001365741239 891434626 /nfs/dbraw/zinc/43/46/26/891434626.db2.gz JJAFIZUCHPOGSN-LLVKDONJSA-N 1 2 313.427 1.349 20 30 DDEDLO CN(CCNC(=O)Cn1cc[nH+]c1)c1nc(C#N)c(Cl)s1 ZINC001365771751 891512579 /nfs/dbraw/zinc/51/25/79/891512579.db2.gz QDNDKAPCPDFUKO-UHFFFAOYSA-N 1 2 324.797 1.117 20 30 DDEDLO CCc1noc([C@@H](C)[NH+]2CCC(N(C)C(=O)[C@H](C)C#N)CC2)n1 ZINC001365920477 891918182 /nfs/dbraw/zinc/91/81/82/891918182.db2.gz XEQNOBNDVHUCPV-VXGBXAGGSA-N 1 2 319.409 1.775 20 30 DDEDLO CCN(CC[NH+]1CCOCC1)C(=S)Nc1ccc(C#N)cc1 ZINC001245903752 892266577 /nfs/dbraw/zinc/26/65/77/892266577.db2.gz NEQPXXKRSHTRDO-UHFFFAOYSA-N 1 2 318.446 1.909 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@H](CNC(=O)[C@H](C)C#N)C2CC2)n1 ZINC001366034908 892287130 /nfs/dbraw/zinc/28/71/30/892287130.db2.gz ZGWLYRBNYONMGG-DGCLKSJQSA-N 1 2 319.409 1.412 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@H](CNC(=O)[C@@H](C)C#N)C2CC2)n1 ZINC001366034912 892288014 /nfs/dbraw/zinc/28/80/14/892288014.db2.gz ZGWLYRBNYONMGG-WCQYABFASA-N 1 2 319.409 1.412 20 30 DDEDLO C=C(C)CCC(=O)N[C@@]12CCC[C@@H]1[N@H+](Cc1nncn1C)CC2 ZINC001278453571 892666704 /nfs/dbraw/zinc/66/67/04/892666704.db2.gz WOGUIHUXKDFRPK-WMLDXEAASA-N 1 2 317.437 1.785 20 30 DDEDLO C=C(C)CCC(=O)N[C@@]12CCC[C@@H]1[N@@H+](Cc1nncn1C)CC2 ZINC001278453571 892666716 /nfs/dbraw/zinc/66/67/16/892666716.db2.gz WOGUIHUXKDFRPK-WMLDXEAASA-N 1 2 317.437 1.785 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001278510648 893755221 /nfs/dbraw/zinc/75/52/21/893755221.db2.gz NVWGSZWGYYVRPW-KGLIPLIRSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001278510648 893755223 /nfs/dbraw/zinc/75/52/23/893755223.db2.gz NVWGSZWGYYVRPW-KGLIPLIRSA-N 1 2 320.437 1.198 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(C)(C)CC=C)[C@@H]1C ZINC001278520369 894050149 /nfs/dbraw/zinc/05/01/49/894050149.db2.gz FPPNWERUJPOWLT-GJZGRUSLSA-N 1 2 319.449 1.307 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(C)(C)CC=C)[C@@H]1C ZINC001278520369 894050166 /nfs/dbraw/zinc/05/01/66/894050166.db2.gz FPPNWERUJPOWLT-GJZGRUSLSA-N 1 2 319.449 1.307 20 30 DDEDLO CC[N@H+](CCNC(=O)[C@@H](C)C#N)CC(=O)Nc1ccccc1F ZINC001366602640 894209539 /nfs/dbraw/zinc/20/95/39/894209539.db2.gz HIFHPUCAMUWYKA-LBPRGKRZSA-N 1 2 320.368 1.362 20 30 DDEDLO CC[N@@H+](CCNC(=O)[C@@H](C)C#N)CC(=O)Nc1ccccc1F ZINC001366602640 894209550 /nfs/dbraw/zinc/20/95/50/894209550.db2.gz HIFHPUCAMUWYKA-LBPRGKRZSA-N 1 2 320.368 1.362 20 30 DDEDLO OC1(C[NH2+]CC(F)(F)C(F)(F)C(F)(F)F)CCOCC1 ZINC001252972658 895592445 /nfs/dbraw/zinc/59/24/45/895592445.db2.gz GIQGNNGZNYMPRC-UHFFFAOYSA-N 1 2 313.213 1.950 20 30 DDEDLO C=C[C@@H](O)C[N@@H+]1Cc2nnn(CC3CC3)c2[C@H](COCC)C1 ZINC001253576307 895901393 /nfs/dbraw/zinc/90/13/93/895901393.db2.gz LWJCBWICHJBALM-UONOGXRCSA-N 1 2 306.410 1.171 20 30 DDEDLO C=C[C@@H](O)C[N@H+]1Cc2nnn(CC3CC3)c2[C@H](COCC)C1 ZINC001253576307 895901404 /nfs/dbraw/zinc/90/14/04/895901404.db2.gz LWJCBWICHJBALM-UONOGXRCSA-N 1 2 306.410 1.171 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccc(C#N)cc1F)C(=O)c1ccon1 ZINC001367502365 896943910 /nfs/dbraw/zinc/94/39/10/896943910.db2.gz OUUZPRBOUJBNBA-UHFFFAOYSA-N 1 2 316.336 1.889 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccc(C#N)cc1F)C(=O)c1ccon1 ZINC001367502365 896943919 /nfs/dbraw/zinc/94/39/19/896943919.db2.gz OUUZPRBOUJBNBA-UHFFFAOYSA-N 1 2 316.336 1.889 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H]1CCc2cnn(C)c2C1 ZINC001367535966 897086759 /nfs/dbraw/zinc/08/67/59/897086759.db2.gz RZKOFHFUANPUOW-ZDUSSCGKSA-N 1 2 324.856 1.668 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H]1CCc2cnn(C)c2C1 ZINC001367535966 897086770 /nfs/dbraw/zinc/08/67/70/897086770.db2.gz RZKOFHFUANPUOW-ZDUSSCGKSA-N 1 2 324.856 1.668 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)CCN1CCCC1=O ZINC001367553441 897147637 /nfs/dbraw/zinc/14/76/37/897147637.db2.gz SMJYSERBUTZOPK-UHFFFAOYSA-N 1 2 301.818 1.142 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)CCN1CCCC1=O ZINC001367553441 897147642 /nfs/dbraw/zinc/14/76/42/897147642.db2.gz SMJYSERBUTZOPK-UHFFFAOYSA-N 1 2 301.818 1.142 20 30 DDEDLO C=C[C@@H]1C[C@@]1([NH2+]C1CCN(c2ncccn2)CC1)C(=O)OCC ZINC001256049677 897247506 /nfs/dbraw/zinc/24/75/06/897247506.db2.gz GKSILLXDLHPZBM-DYVFJYSZSA-N 1 2 316.405 1.543 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H](C)CNC(=O)[C@H](C)C#N)c(C)[nH+]1 ZINC001389455268 897250118 /nfs/dbraw/zinc/25/01/18/897250118.db2.gz IBPHTGNDKYTRAS-PWSUYJOCSA-N 1 2 302.378 1.401 20 30 DDEDLO CS(=O)(=O)N1CCC([N@H+](CCC#N)Cc2cccnc2)CC1 ZINC001257232315 897782892 /nfs/dbraw/zinc/78/28/92/897782892.db2.gz BEDCGXCCMDLXRW-UHFFFAOYSA-N 1 2 322.434 1.221 20 30 DDEDLO CS(=O)(=O)N1CCC([N@@H+](CCC#N)Cc2cccnc2)CC1 ZINC001257232315 897782898 /nfs/dbraw/zinc/78/28/98/897782898.db2.gz BEDCGXCCMDLXRW-UHFFFAOYSA-N 1 2 322.434 1.221 20 30 DDEDLO C=C(Br)C[NH+]1CCC(C)(NC(=O)[C@H](C)OC)CC1 ZINC001368123833 898755406 /nfs/dbraw/zinc/75/54/06/898755406.db2.gz RDPUGFZNUUIXPE-NSHDSACASA-N 1 2 319.243 1.901 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnn(CC)c1)O2 ZINC001261373935 899522022 /nfs/dbraw/zinc/52/20/22/899522022.db2.gz RSLQRIBIBAXWAG-AWEZNQCLSA-N 1 2 304.394 1.052 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC[C@@H]1CCCC1(F)F ZINC001262040264 899907592 /nfs/dbraw/zinc/90/75/92/899907592.db2.gz KMNKSZTTZKGCOJ-QWRGUYRKSA-N 1 2 304.337 1.375 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1ncn(C(C)(C)C)n1 ZINC001390966913 900604517 /nfs/dbraw/zinc/60/45/17/900604517.db2.gz YXTOPCSAXBYJAJ-UHFFFAOYSA-N 1 2 313.833 1.837 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1ncn(C(C)(C)C)n1 ZINC001390966913 900604520 /nfs/dbraw/zinc/60/45/20/900604520.db2.gz YXTOPCSAXBYJAJ-UHFFFAOYSA-N 1 2 313.833 1.837 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](OC)c1cccc(OC)c1 ZINC001263805718 900716110 /nfs/dbraw/zinc/71/61/10/900716110.db2.gz UUSPXQDJKAYHQW-RDJZCZTQSA-N 1 2 316.401 1.549 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](OC)c1cccc(OC)c1 ZINC001263805718 900716121 /nfs/dbraw/zinc/71/61/21/900716121.db2.gz UUSPXQDJKAYHQW-RDJZCZTQSA-N 1 2 316.401 1.549 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)CSCC#N)CC[N@@H+]1Cc1nocc1C ZINC001264045337 900890294 /nfs/dbraw/zinc/89/02/94/900890294.db2.gz AIHUHYPAOVOPKL-GXTWGEPZSA-N 1 2 322.434 1.709 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)CSCC#N)CC[N@H+]1Cc1nocc1C ZINC001264045337 900890305 /nfs/dbraw/zinc/89/03/05/900890305.db2.gz AIHUHYPAOVOPKL-GXTWGEPZSA-N 1 2 322.434 1.709 20 30 DDEDLO CC(C)CC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001264168412 900989006 /nfs/dbraw/zinc/98/90/06/900989006.db2.gz TUZOKIYFJRFHGB-KGLIPLIRSA-N 1 2 322.453 1.277 20 30 DDEDLO CC(C)CC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001264168412 900989016 /nfs/dbraw/zinc/98/90/16/900989016.db2.gz TUZOKIYFJRFHGB-KGLIPLIRSA-N 1 2 322.453 1.277 20 30 DDEDLO C=C(Cl)CN(CCNC(=O)[C@@H]1CCn2c[nH+]cc2C1)C1CC1 ZINC001391176492 901142725 /nfs/dbraw/zinc/14/27/25/901142725.db2.gz UNLPGQJWAYLRHP-CYBMUJFWSA-N 1 2 322.840 1.779 20 30 DDEDLO Cc1cc(C[N@@H+](C)CCOCCN(C)C(=O)C#CC(C)C)no1 ZINC001264663806 901259068 /nfs/dbraw/zinc/25/90/68/901259068.db2.gz RJFWXFZGGZETMC-UHFFFAOYSA-N 1 2 321.421 1.549 20 30 DDEDLO Cc1cc(C[N@H+](C)CCOCCN(C)C(=O)C#CC(C)C)no1 ZINC001264663806 901259081 /nfs/dbraw/zinc/25/90/81/901259081.db2.gz RJFWXFZGGZETMC-UHFFFAOYSA-N 1 2 321.421 1.549 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)CCCNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001264977545 901375361 /nfs/dbraw/zinc/37/53/61/901375361.db2.gz NSPXQARVXWDUET-JTQLQIEISA-N 1 2 316.365 1.391 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)CCCNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001264977545 901375367 /nfs/dbraw/zinc/37/53/67/901375367.db2.gz NSPXQARVXWDUET-JTQLQIEISA-N 1 2 316.365 1.391 20 30 DDEDLO CN(C(=O)C#CC(C)(C)C)C1CC[NH+](Cc2ncccn2)CC1 ZINC001265192174 901686079 /nfs/dbraw/zinc/68/60/79/901686079.db2.gz RSUJJROBLIKUDB-UHFFFAOYSA-N 1 2 314.433 1.949 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265205292 901701027 /nfs/dbraw/zinc/70/10/27/901701027.db2.gz GHSKUWWCXVIBEC-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265205292 901701037 /nfs/dbraw/zinc/70/10/37/901701037.db2.gz GHSKUWWCXVIBEC-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001265213479 901716661 /nfs/dbraw/zinc/71/66/61/901716661.db2.gz SUTKDXJDTSCCFR-CVEARBPZSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001265213479 901716679 /nfs/dbraw/zinc/71/66/79/901716679.db2.gz SUTKDXJDTSCCFR-CVEARBPZSA-N 1 2 321.465 1.387 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)CCn2cccc2)C1 ZINC001391517366 901895226 /nfs/dbraw/zinc/89/52/26/901895226.db2.gz SHNQAVYJPMFTLV-UHFFFAOYSA-N 1 2 322.412 1.998 20 30 DDEDLO CCCCC(=O)N(CCC)C1CC[NH+](CC(=O)NCC#N)CC1 ZINC001265336045 901897570 /nfs/dbraw/zinc/89/75/70/901897570.db2.gz OMXGTQZWGZALOR-UHFFFAOYSA-N 1 2 322.453 1.519 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001391545514 901962958 /nfs/dbraw/zinc/96/29/58/901962958.db2.gz QLCZAAIMPGCJAO-JKSUJKDBSA-N 1 2 301.390 1.266 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001391545514 901962963 /nfs/dbraw/zinc/96/29/63/901962963.db2.gz QLCZAAIMPGCJAO-JKSUJKDBSA-N 1 2 301.390 1.266 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@H](CNC(=O)C#CC3CC3)C2)n1 ZINC001265582232 902159006 /nfs/dbraw/zinc/15/90/06/902159006.db2.gz NXGKORIXXMCTOF-CQSZACIVSA-N 1 2 302.378 1.120 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@H](CNC(=O)C#CC3CC3)C2)n1 ZINC001265582232 902159012 /nfs/dbraw/zinc/15/90/12/902159012.db2.gz NXGKORIXXMCTOF-CQSZACIVSA-N 1 2 302.378 1.120 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001265704969 902319755 /nfs/dbraw/zinc/31/97/55/902319755.db2.gz CKEIGJRYVNRGGQ-UONOGXRCSA-N 1 2 319.453 1.744 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001265704969 902319769 /nfs/dbraw/zinc/31/97/69/902319769.db2.gz CKEIGJRYVNRGGQ-UONOGXRCSA-N 1 2 319.453 1.744 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@@H]1CCC[N@H+](Cc2ncnn2C)C1 ZINC001265706405 902321181 /nfs/dbraw/zinc/32/11/81/902321181.db2.gz VMIWNPZWVMNFLN-HUUCEWRRSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@@H]1CCC[N@@H+](Cc2ncnn2C)C1 ZINC001265706405 902321192 /nfs/dbraw/zinc/32/11/92/902321192.db2.gz VMIWNPZWVMNFLN-HUUCEWRRSA-N 1 2 319.453 1.888 20 30 DDEDLO CCc1cnc(C[N@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)o1 ZINC001279519113 903296599 /nfs/dbraw/zinc/29/65/99/903296599.db2.gz CUFDIGDFXYTHGV-GFCCVEGCSA-N 1 2 302.378 1.645 20 30 DDEDLO CCc1cnc(C[N@@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)o1 ZINC001279519113 903296609 /nfs/dbraw/zinc/29/66/09/903296609.db2.gz CUFDIGDFXYTHGV-GFCCVEGCSA-N 1 2 302.378 1.645 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280318013 903592237 /nfs/dbraw/zinc/59/22/37/903592237.db2.gz LBXJXJIMWBYMHI-NWDGAFQWSA-N 1 2 306.410 1.564 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280318013 903592248 /nfs/dbraw/zinc/59/22/48/903592248.db2.gz LBXJXJIMWBYMHI-NWDGAFQWSA-N 1 2 306.410 1.564 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([NH+]2CCN(CC(=C)C)CC2)C1 ZINC001280679451 903914256 /nfs/dbraw/zinc/91/42/56/903914256.db2.gz SOICOAVCGMPAAM-WMZOPIPTSA-N 1 2 321.465 1.108 20 30 DDEDLO C=CCC[N@H+]1CC[C@H]1CN(C)C(=O)[C@]1(C)CCCS1(=O)=O ZINC001316605412 903943259 /nfs/dbraw/zinc/94/32/59/903943259.db2.gz XEANVHBTFTXSIP-ZFWWWQNUSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@]1(C)CCCS1(=O)=O ZINC001316605412 903943266 /nfs/dbraw/zinc/94/32/66/903943266.db2.gz XEANVHBTFTXSIP-ZFWWWQNUSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCCC(=O)N[C@@]12CCC[C@H]1N(C(=O)Cn1cc[nH+]c1)CC2 ZINC001281184339 904539751 /nfs/dbraw/zinc/53/97/51/904539751.db2.gz LHCUDLHTASHSKP-RHSMWYFYSA-N 1 2 316.405 1.489 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001281214221 904572969 /nfs/dbraw/zinc/57/29/69/904572969.db2.gz HFVAPEKIXWGGLQ-UONOGXRCSA-N 1 2 318.421 1.591 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]1CN(C)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001282038565 905451942 /nfs/dbraw/zinc/45/19/42/905451942.db2.gz PKTNZMCYXUJCQG-UKRRQHHQSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]1CN(C)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001282038565 905451946 /nfs/dbraw/zinc/45/19/46/905451946.db2.gz PKTNZMCYXUJCQG-UKRRQHHQSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)[C@@H](C)[NH2+]Cc2nc(COC)no2)C1 ZINC001282185962 905602448 /nfs/dbraw/zinc/60/24/48/905602448.db2.gz RSJFBKBRVBOPRX-VXGBXAGGSA-N 1 2 322.409 1.555 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001282518377 905844803 /nfs/dbraw/zinc/84/48/03/905844803.db2.gz OAODUMIRUGTFBU-JKSUJKDBSA-N 1 2 315.417 1.314 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[N@@H+](Cc2cc(C)n(C)n2)CC1(C)C ZINC001282802300 906058349 /nfs/dbraw/zinc/05/83/49/906058349.db2.gz ZYNPJKVUIZNCAW-INIZCTEOSA-N 1 2 316.449 1.859 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[N@H+](Cc2cc(C)n(C)n2)CC1(C)C ZINC001282802300 906058364 /nfs/dbraw/zinc/05/83/64/906058364.db2.gz ZYNPJKVUIZNCAW-INIZCTEOSA-N 1 2 316.449 1.859 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001283337800 907164639 /nfs/dbraw/zinc/16/46/39/907164639.db2.gz DWDAULCBUKADMX-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](NC(=O)CCn3cc[nH+]c3)C2)C1 ZINC001283361342 907217483 /nfs/dbraw/zinc/21/74/83/907217483.db2.gz KGVVULLDICQJJD-CQSZACIVSA-N 1 2 316.405 1.347 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](CO)[NH2+][C@@H](C)c1ncc(C)o1 ZINC001283791948 907966139 /nfs/dbraw/zinc/96/61/39/907966139.db2.gz INKGCJJOXMHEJU-QWHCGFSZSA-N 1 2 309.410 1.713 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001283863581 908093959 /nfs/dbraw/zinc/09/39/59/908093959.db2.gz JUXSNEVBDOHDJP-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001283863581 908093979 /nfs/dbraw/zinc/09/39/79/908093979.db2.gz JUXSNEVBDOHDJP-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001283880917 908123357 /nfs/dbraw/zinc/12/33/57/908123357.db2.gz IZHIJPWTRDDNNW-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1[N@H+](Cc1ncnn1CC)CC2 ZINC001284043668 908374996 /nfs/dbraw/zinc/37/49/96/908374996.db2.gz FDJSDUHRXKUZKP-PBHICJAKSA-N 1 2 317.437 1.877 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1[N@@H+](Cc1ncnn1CC)CC2 ZINC001284043668 908375012 /nfs/dbraw/zinc/37/50/12/908375012.db2.gz FDJSDUHRXKUZKP-PBHICJAKSA-N 1 2 317.437 1.877 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1[N@H+](Cc1cn(CC)nn1)CC2 ZINC001284047238 908382941 /nfs/dbraw/zinc/38/29/41/908382941.db2.gz XGPQYQWEFGNKJE-WBVHZDCISA-N 1 2 317.437 1.877 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1[N@@H+](Cc1cn(CC)nn1)CC2 ZINC001284047238 908382953 /nfs/dbraw/zinc/38/29/53/908382953.db2.gz XGPQYQWEFGNKJE-WBVHZDCISA-N 1 2 317.437 1.877 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)CCc1nc(C)no1)C1CC1 ZINC001372845228 908413583 /nfs/dbraw/zinc/41/35/83/908413583.db2.gz IUJCPNWZRFOUPW-UHFFFAOYSA-N 1 2 312.801 1.644 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)CCc1nc(C)no1)C1CC1 ZINC001372845228 908413594 /nfs/dbraw/zinc/41/35/94/908413594.db2.gz IUJCPNWZRFOUPW-UHFFFAOYSA-N 1 2 312.801 1.644 20 30 DDEDLO CO[C@@H](C)CC(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001373504866 910037531 /nfs/dbraw/zinc/03/75/31/910037531.db2.gz ULQRWQPJCJXSIR-ZDUSSCGKSA-N 1 2 301.390 1.531 20 30 DDEDLO CC(C)C#CC(=O)NC[C@](C)(NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001285147257 910119298 /nfs/dbraw/zinc/11/92/98/910119298.db2.gz JDJPWZRYCFDTOU-KRWDZBQOSA-N 1 2 316.405 1.013 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC1CC(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001285270624 910286688 /nfs/dbraw/zinc/28/66/88/910286688.db2.gz XWZISNNGCDFGSI-HSBZDZAISA-N 1 2 318.421 1.566 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1ccncc1Cl ZINC001394764719 910488758 /nfs/dbraw/zinc/48/87/58/910488758.db2.gz DKGZZMWSUFZGED-AAEUAGOBSA-N 1 2 324.812 1.146 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1ccncc1Cl ZINC001394764719 910488770 /nfs/dbraw/zinc/48/87/70/910488770.db2.gz DKGZZMWSUFZGED-AAEUAGOBSA-N 1 2 324.812 1.146 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@@H]1C[C@H]1C)Cc1ccccc1C#N ZINC001394828431 910678841 /nfs/dbraw/zinc/67/88/41/910678841.db2.gz AETDLZRAXAXKCY-RHSMWYFYSA-N 1 2 315.417 1.779 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@@H]1C[C@H]1C)Cc1ccccc1C#N ZINC001394828431 910678845 /nfs/dbraw/zinc/67/88/45/910678845.db2.gz AETDLZRAXAXKCY-RHSMWYFYSA-N 1 2 315.417 1.779 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001285652071 910975381 /nfs/dbraw/zinc/97/53/81/910975381.db2.gz SBOFFICAWAQNHW-QWHCGFSZSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001285652071 910975409 /nfs/dbraw/zinc/97/54/09/910975409.db2.gz SBOFFICAWAQNHW-QWHCGFSZSA-N 1 2 318.421 1.566 20 30 DDEDLO C#CCCCCC(=O)N(C)[C@H](C)CNC(=O)Cn1cc[nH+]c1 ZINC001285732959 911121435 /nfs/dbraw/zinc/12/14/35/911121435.db2.gz GNURLIVHXJNXCR-CQSZACIVSA-N 1 2 304.394 1.040 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]1CNC(=O)Cc1[nH]c[nH+]c1C ZINC001285881145 911361059 /nfs/dbraw/zinc/36/10/59/911361059.db2.gz KZLTXGUXFXMBCP-ZDUSSCGKSA-N 1 2 304.394 1.334 20 30 DDEDLO C=CCCC(=O)N(C)C1CN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001285999283 911538648 /nfs/dbraw/zinc/53/86/48/911538648.db2.gz OKQPOLYBXCXENW-CYBMUJFWSA-N 1 2 316.405 1.465 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1ccc2nnn(C)c2c1 ZINC001375143828 915094895 /nfs/dbraw/zinc/09/48/95/915094895.db2.gz NCCGLUMHNKBBES-LLVKDONJSA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1ccc2nnn(C)c2c1 ZINC001375143828 915094911 /nfs/dbraw/zinc/09/49/11/915094911.db2.gz NCCGLUMHNKBBES-LLVKDONJSA-N 1 2 321.812 1.771 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001295033709 915521476 /nfs/dbraw/zinc/52/14/76/915521476.db2.gz MNWHSMIAPOGYLX-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001295202473 915647249 /nfs/dbraw/zinc/64/72/49/915647249.db2.gz GYVKMHYGYVGLPD-OKILXGFUSA-N 1 2 316.405 1.155 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001295202473 915647257 /nfs/dbraw/zinc/64/72/57/915647257.db2.gz GYVKMHYGYVGLPD-OKILXGFUSA-N 1 2 316.405 1.155 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)CCNC(=O)Cc1c[nH+]cn1C ZINC001296160202 916260556 /nfs/dbraw/zinc/26/05/56/916260556.db2.gz GCWFYVWMEQBNAA-MRXNPFEDSA-N 1 2 306.410 1.140 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)/C(C)=C\C)CC1 ZINC001296825569 916579773 /nfs/dbraw/zinc/57/97/73/916579773.db2.gz XCQJVLWTIIWNDG-UUASQNMZSA-N 1 2 307.438 1.521 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CN(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC001296839918 916589123 /nfs/dbraw/zinc/58/91/23/916589123.db2.gz IBQSRVSPNWIQRZ-CQSZACIVSA-N 1 2 318.421 1.236 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)CCc1[nH]cc[nH+]1 ZINC001297284735 916865276 /nfs/dbraw/zinc/86/52/76/916865276.db2.gz FYNROBVBWNSAQS-STQMWFEESA-N 1 2 302.378 1.120 20 30 DDEDLO CCC(=O)NCCN1CC[NH+](Cc2ccc(C#N)s2)CC1 ZINC001376070707 917615826 /nfs/dbraw/zinc/61/58/26/917615826.db2.gz OJJDHTBWCKUQSM-UHFFFAOYSA-N 1 2 306.435 1.264 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1CC(C[NH2+]Cc2noc(C(C)(C)C)n2)C1 ZINC001377690101 922712001 /nfs/dbraw/zinc/71/20/01/922712001.db2.gz PQSICVMIJMVWHT-UNXYVOJBSA-N 1 2 319.409 1.511 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000278523752 214211428 /nfs/dbraw/zinc/21/14/28/214211428.db2.gz CWHPYNLHIWKTJD-SFHVURJKSA-N 1 2 318.417 1.537 20 30 DDEDLO Cc1ccc(C(N)=[NH+]OC[C@H]2CCCS(=O)(=O)C2)cc1F ZINC000284479596 222618313 /nfs/dbraw/zinc/61/83/13/222618313.db2.gz RRLMOECLFXDKHM-LLVKDONJSA-N 1 2 314.382 1.596 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCCC[N@@H+]1CCOc1ccccc1C#N ZINC000451657955 231154446 /nfs/dbraw/zinc/15/44/46/231154446.db2.gz LUOXMPUNNZCBKW-OAHLLOKOSA-N 1 2 322.430 1.836 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCCC[N@H+]1CCOc1ccccc1C#N ZINC000451657955 231154449 /nfs/dbraw/zinc/15/44/49/231154449.db2.gz LUOXMPUNNZCBKW-OAHLLOKOSA-N 1 2 322.430 1.836 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)c1ccc(C#N)cc1 ZINC000451927884 231237133 /nfs/dbraw/zinc/23/71/33/231237133.db2.gz CFIXCCKPIVFFAT-NSHDSACASA-N 1 2 304.375 1.814 20 30 DDEDLO Cc1noc([C@H]2C[N@@H+](Cc3cc(C#N)cs3)CCN2C)n1 ZINC000328957266 231398697 /nfs/dbraw/zinc/39/86/97/231398697.db2.gz PHQPTQFABNDHPP-CYBMUJFWSA-N 1 2 303.391 1.800 20 30 DDEDLO Cc1noc([C@H]2C[N@H+](Cc3cc(C#N)cs3)CCN2C)n1 ZINC000328957266 231398699 /nfs/dbraw/zinc/39/86/99/231398699.db2.gz PHQPTQFABNDHPP-CYBMUJFWSA-N 1 2 303.391 1.800 20 30 DDEDLO CC[C@H](NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1)c1nc(C)cs1 ZINC000329971048 529580327 /nfs/dbraw/zinc/58/03/27/529580327.db2.gz VGVUGUUKUPBVFA-AVGNSLFASA-N 1 2 324.450 1.831 20 30 DDEDLO CC[C@H](NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1)c1nc(C)cs1 ZINC000329971048 529580331 /nfs/dbraw/zinc/58/03/31/529580331.db2.gz VGVUGUUKUPBVFA-AVGNSLFASA-N 1 2 324.450 1.831 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)C[C@@H]2Oc3ccccc3NC2=O)C1 ZINC000328662841 539298263 /nfs/dbraw/zinc/29/82/63/539298263.db2.gz AVRKJDGPKFRJQL-RISCZKNCSA-N 1 2 319.361 1.713 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)C[C@@H]2Oc3ccccc3NC2=O)C1 ZINC000328662841 539298264 /nfs/dbraw/zinc/29/82/64/539298264.db2.gz AVRKJDGPKFRJQL-RISCZKNCSA-N 1 2 319.361 1.713 20 30 DDEDLO O=C(Nc1ccc(C2CC2)nn1)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000328767537 539298915 /nfs/dbraw/zinc/29/89/15/539298915.db2.gz BKHIWCYHEVRSKD-CYBMUJFWSA-N 1 2 324.388 2.354 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)N[C@@H]2CCCOC2)C1 ZINC000329741358 529782304 /nfs/dbraw/zinc/78/23/04/529782304.db2.gz OOZOHRMFYCUMKZ-HUUCEWRRSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)N[C@@H]2CCCOC2)C1 ZINC000329741358 529782305 /nfs/dbraw/zinc/78/23/05/529782305.db2.gz OOZOHRMFYCUMKZ-HUUCEWRRSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(CC#N)cc3)C[C@H]21 ZINC000329932299 529786174 /nfs/dbraw/zinc/78/61/74/529786174.db2.gz PKBHWUMBCHXTBO-HUUCEWRRSA-N 1 2 300.362 1.299 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(CC#N)cc3)C[C@H]21 ZINC000329932299 529786175 /nfs/dbraw/zinc/78/61/75/529786175.db2.gz PKBHWUMBCHXTBO-HUUCEWRRSA-N 1 2 300.362 1.299 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@@H]21 ZINC000329787104 529790982 /nfs/dbraw/zinc/79/09/82/529790982.db2.gz QXPQTGZPOGEBNB-KBPBESRZSA-N 1 2 304.325 1.258 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@@H]21 ZINC000329787104 529790983 /nfs/dbraw/zinc/79/09/83/529790983.db2.gz QXPQTGZPOGEBNB-KBPBESRZSA-N 1 2 304.325 1.258 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cccc2c1OC(F)(F)O2 ZINC000414159487 529868430 /nfs/dbraw/zinc/86/84/30/529868430.db2.gz WCINRORZDYGWKC-SNVBAGLBSA-N 1 2 314.288 1.593 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cccc2c1OC(F)(F)O2 ZINC000414159487 529868431 /nfs/dbraw/zinc/86/84/31/529868431.db2.gz WCINRORZDYGWKC-SNVBAGLBSA-N 1 2 314.288 1.593 20 30 DDEDLO CNC(=O)c1cccc(NC(=O)[C@H](C)[NH2+][C@@H]2CCC[C@@H]2C#N)c1 ZINC000459457324 529950199 /nfs/dbraw/zinc/95/01/99/529950199.db2.gz DDRBQBMCBXSAGG-NJZAAPMLSA-N 1 2 314.389 1.655 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)NC2CCOCC2)C1 ZINC000330024291 530026414 /nfs/dbraw/zinc/02/64/14/530026414.db2.gz AGOBPFGPIANWFA-CQSZACIVSA-N 1 2 319.409 1.076 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)NC2CCOCC2)C1 ZINC000330024291 530026415 /nfs/dbraw/zinc/02/64/15/530026415.db2.gz AGOBPFGPIANWFA-CQSZACIVSA-N 1 2 319.409 1.076 20 30 DDEDLO CCC1(CC)C[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)CC[S@]1=O ZINC000185231440 282377276 /nfs/dbraw/zinc/37/72/76/282377276.db2.gz FZQFVOKDBAJERQ-ZSEKCTLFSA-N 1 2 313.467 1.370 20 30 DDEDLO CCC1(CC)C[N@H+]([C@@H](C)C(=O)N(C)CCC#N)CC[S@]1=O ZINC000185231440 282377281 /nfs/dbraw/zinc/37/72/81/282377281.db2.gz FZQFVOKDBAJERQ-ZSEKCTLFSA-N 1 2 313.467 1.370 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000186046813 186234985 /nfs/dbraw/zinc/23/49/85/186234985.db2.gz OZMNNLIWCRLHRN-RDJZCZTQSA-N 1 2 315.417 1.689 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000186065943 186237586 /nfs/dbraw/zinc/23/75/86/186237586.db2.gz RHBSLSGCBBZFCU-QWHCGFSZSA-N 1 2 307.419 1.442 20 30 DDEDLO CCC[C@@H](C(=O)OCC)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000172210038 198058332 /nfs/dbraw/zinc/05/83/32/198058332.db2.gz KZYXFHGEBNXKPF-HNNXBMFYSA-N 1 2 316.405 1.807 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2csc([N+](=O)[O-])c2)CC1 ZINC000047265465 352488664 /nfs/dbraw/zinc/48/86/64/352488664.db2.gz HMOSZKGLNBCNSD-UHFFFAOYSA-N 1 2 324.406 1.076 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cc(F)cc(F)c2)CC1 ZINC000064432599 352937274 /nfs/dbraw/zinc/93/72/74/352937274.db2.gz BUADCHPLZYTZPA-UHFFFAOYSA-N 1 2 314.357 1.294 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCOC2(CCCCC2)C1 ZINC000072716658 353211776 /nfs/dbraw/zinc/21/17/76/353211776.db2.gz UGOCYQZJJFPITE-UHFFFAOYSA-N 1 2 318.421 1.677 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCOC2(CCCCC2)C1 ZINC000072716658 353211780 /nfs/dbraw/zinc/21/17/80/353211780.db2.gz UGOCYQZJJFPITE-UHFFFAOYSA-N 1 2 318.421 1.677 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCc1ccccc1COCCC ZINC000174048115 198285351 /nfs/dbraw/zinc/28/53/51/198285351.db2.gz KNMYDMSNWSAIAS-UHFFFAOYSA-N 1 2 312.413 1.798 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@]2(CNC(=O)C2)C1 ZINC000072831656 353219647 /nfs/dbraw/zinc/21/96/47/353219647.db2.gz QYISXBAKDLVKPE-HNNXBMFYSA-N 1 2 318.402 1.160 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@]2(CNC(=O)C2)C1 ZINC000072831656 353219651 /nfs/dbraw/zinc/21/96/51/353219651.db2.gz QYISXBAKDLVKPE-HNNXBMFYSA-N 1 2 318.402 1.160 20 30 DDEDLO N#CCCN(C(=O)Cn1cc[nH+]c1)c1ccc2c(c1)OCCO2 ZINC000073180651 353241933 /nfs/dbraw/zinc/24/19/33/353241933.db2.gz DROSFBZGCFLONQ-UHFFFAOYSA-N 1 2 312.329 1.601 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)C1 ZINC000076708585 353435209 /nfs/dbraw/zinc/43/52/09/353435209.db2.gz PVRXTDVCLWZXSU-HNNXBMFYSA-N 1 2 320.437 1.272 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)C1 ZINC000076708585 353435212 /nfs/dbraw/zinc/43/52/12/353435212.db2.gz PVRXTDVCLWZXSU-HNNXBMFYSA-N 1 2 320.437 1.272 20 30 DDEDLO CC(C)OCC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000141397534 354140645 /nfs/dbraw/zinc/14/06/45/354140645.db2.gz NUPWJISMDGHLNL-UHFFFAOYSA-N 1 2 301.390 1.627 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1ncc[nH]1 ZINC000287445601 354435125 /nfs/dbraw/zinc/43/51/25/354435125.db2.gz LDYJVFUCSNTAHI-IUODEOHRSA-N 1 2 309.373 1.733 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000315328076 354492069 /nfs/dbraw/zinc/49/20/69/354492069.db2.gz MLOINBZZIXRNLF-GFCCVEGCSA-N 1 2 302.378 1.295 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000315328076 354492071 /nfs/dbraw/zinc/49/20/71/354492071.db2.gz MLOINBZZIXRNLF-GFCCVEGCSA-N 1 2 302.378 1.295 20 30 DDEDLO O=C(NCC#Cc1cccc(F)c1)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000581917163 354738309 /nfs/dbraw/zinc/73/83/09/354738309.db2.gz LMSMRMHGFBGFDA-AWEZNQCLSA-N 1 2 312.348 1.757 20 30 DDEDLO O=C(NCC#Cc1cccc(F)c1)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000581917163 354738310 /nfs/dbraw/zinc/73/83/10/354738310.db2.gz LMSMRMHGFBGFDA-AWEZNQCLSA-N 1 2 312.348 1.757 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N[C@H]2CCc3c[nH+]cn3C2)cc1 ZINC000587051152 354879309 /nfs/dbraw/zinc/87/93/09/354879309.db2.gz HNYJRJXJKLJWDZ-HOTGVXAUSA-N 1 2 319.368 1.568 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N[C@H]2CCc3c[nH+]cn3C2)c1 ZINC000590374907 355078176 /nfs/dbraw/zinc/07/81/76/355078176.db2.gz VTUJWKOTZYFFRN-AWEZNQCLSA-N 1 2 309.373 1.566 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CCN2CCc3[nH+]c[nH]c3C2)cc1 ZINC000590598786 355126100 /nfs/dbraw/zinc/12/61/00/355126100.db2.gz ALOQQVOTFSXVPL-UHFFFAOYSA-N 1 2 316.386 1.113 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)N1CCc2[nH+]c[nH]c2C1 ZINC000590601268 355126626 /nfs/dbraw/zinc/12/66/26/355126626.db2.gz RZFNGTKHUBDSFB-GFCCVEGCSA-N 1 2 301.394 1.499 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CN[C@H]1COc2cc(F)cc(F)c21 ZINC000591760645 355379549 /nfs/dbraw/zinc/37/95/49/355379549.db2.gz ODNUWZMRHQUELX-AAEUAGOBSA-N 1 2 311.332 1.194 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CN[C@H]1COc2cc(F)cc(F)c21 ZINC000591760645 355379550 /nfs/dbraw/zinc/37/95/50/355379550.db2.gz ODNUWZMRHQUELX-AAEUAGOBSA-N 1 2 311.332 1.194 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1cccc(Cn2cccn2)c1 ZINC000591773490 355382343 /nfs/dbraw/zinc/38/23/43/355382343.db2.gz AFGHNVBUEBWPES-KRWDZBQOSA-N 1 2 313.405 1.550 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1cccc(Cn2cccn2)c1 ZINC000591773490 355382345 /nfs/dbraw/zinc/38/23/45/355382345.db2.gz AFGHNVBUEBWPES-KRWDZBQOSA-N 1 2 313.405 1.550 20 30 DDEDLO COC(=O)CC[N@@H+](Cc1ccncc1C#N)C[C@@H]1CCCO1 ZINC000592068531 355482587 /nfs/dbraw/zinc/48/25/87/355482587.db2.gz BLNVMCOHNBVHBP-HNNXBMFYSA-N 1 2 303.362 1.497 20 30 DDEDLO COC(=O)CC[N@H+](Cc1ccncc1C#N)C[C@@H]1CCCO1 ZINC000592068531 355482590 /nfs/dbraw/zinc/48/25/90/355482590.db2.gz BLNVMCOHNBVHBP-HNNXBMFYSA-N 1 2 303.362 1.497 20 30 DDEDLO N#Cc1cc(N2CC[C@H]([NH+]3CCOCC3)C2)ccc1[N+](=O)[O-] ZINC000156422140 283106894 /nfs/dbraw/zinc/10/68/94/283106894.db2.gz ULBSMLMGEPHYJJ-AWEZNQCLSA-N 1 2 302.334 1.377 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1OCCNC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149513 355516233 /nfs/dbraw/zinc/51/62/33/355516233.db2.gz QIYXFGLTUQCFDD-VYDXJSESSA-N 1 2 323.437 1.048 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1OCCNC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149513 355516236 /nfs/dbraw/zinc/51/62/36/355516236.db2.gz QIYXFGLTUQCFDD-VYDXJSESSA-N 1 2 323.437 1.048 20 30 DDEDLO CCc1ccc(CNC(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)s1 ZINC000592152669 355518731 /nfs/dbraw/zinc/51/87/31/355518731.db2.gz ZWFQWYXXWPUHBH-HNNXBMFYSA-N 1 2 307.419 1.277 20 30 DDEDLO CCc1ccc(CNC(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)s1 ZINC000592152669 355518733 /nfs/dbraw/zinc/51/87/33/355518733.db2.gz ZWFQWYXXWPUHBH-HNNXBMFYSA-N 1 2 307.419 1.277 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)[C@H]2CCc3c[nH+]cn3C2)cc1 ZINC000592946278 355747523 /nfs/dbraw/zinc/74/75/23/355747523.db2.gz ZUJBSHULGUCKQX-AWEZNQCLSA-N 1 2 310.357 1.512 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cc4n(n3)CCC4)CC2)c1 ZINC000592998750 355765595 /nfs/dbraw/zinc/76/55/95/355765595.db2.gz VEIMMYMBJHYSMC-UHFFFAOYSA-N 1 2 308.389 1.418 20 30 DDEDLO Cn1nccc1[C@@H]1COCC[N@@H+]1CCCC1(C#N)CCOCC1 ZINC000593112685 355803082 /nfs/dbraw/zinc/80/30/82/355803082.db2.gz SPFXPQBUCWILFZ-INIZCTEOSA-N 1 2 318.421 1.894 20 30 DDEDLO Cn1nccc1[C@@H]1COCC[N@H+]1CCCC1(C#N)CCOCC1 ZINC000593112685 355803087 /nfs/dbraw/zinc/80/30/87/355803087.db2.gz SPFXPQBUCWILFZ-INIZCTEOSA-N 1 2 318.421 1.894 20 30 DDEDLO COc1ccc(OCC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1C ZINC000593154235 355813005 /nfs/dbraw/zinc/81/30/05/355813005.db2.gz BDOYPFAECHBPOE-MRXNPFEDSA-N 1 2 305.378 1.343 20 30 DDEDLO Cc1nn(CC2CC2)c(C)c1CC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000593153496 355813201 /nfs/dbraw/zinc/81/32/01/355813201.db2.gz RZQMOBIYFXVTRV-QGZVFWFLSA-N 1 2 317.437 1.413 20 30 DDEDLO Cc1cc2c(cc1C)[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)CO2 ZINC000593154809 355813770 /nfs/dbraw/zinc/81/37/70/355813770.db2.gz GPIJSEPOQGZKGU-PBHICJAKSA-N 1 2 301.390 1.740 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)N1CCN(Cc2ccsc2)CC1 ZINC000594010973 356085811 /nfs/dbraw/zinc/08/58/11/356085811.db2.gz ZVNWKKAVSQSSCD-OAHLLOKOSA-N 1 2 320.462 1.674 20 30 DDEDLO CCOC1CC(CNC(=O)CCCCC#N)([NH+]2CCOCC2)C1 ZINC000594012295 356087157 /nfs/dbraw/zinc/08/71/57/356087157.db2.gz GSWGHPQPQRXYPH-UHFFFAOYSA-N 1 2 323.437 1.456 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2nncn2C)CC1 ZINC000594024113 356092740 /nfs/dbraw/zinc/09/27/40/356092740.db2.gz ZAMQOJIBQFRAMA-UHFFFAOYSA-N 1 2 312.377 1.018 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N1Cc2ccc(C#N)cc2C1 ZINC000594209881 356140203 /nfs/dbraw/zinc/14/02/03/356140203.db2.gz YAVZWIRRMJOVQW-CYBMUJFWSA-N 1 2 314.389 1.304 20 30 DDEDLO N#Cc1ccc(-n2cc([C@H]3COCC[NH2+]3)nn2)cc1C(F)(F)F ZINC000594657726 356278077 /nfs/dbraw/zinc/27/80/77/356278077.db2.gz SLNAXOKOQGGVMB-CYBMUJFWSA-N 1 2 323.278 1.819 20 30 DDEDLO COc1cccc2cc(OC[C@@H](O)C[N@H+](C)CCC#N)cnc21 ZINC000594716105 356294487 /nfs/dbraw/zinc/29/44/87/356294487.db2.gz JEGYDMGTEOPTIC-AWEZNQCLSA-N 1 2 315.373 1.829 20 30 DDEDLO COc1cccc2cc(OC[C@@H](O)C[N@@H+](C)CCC#N)cnc21 ZINC000594716105 356294491 /nfs/dbraw/zinc/29/44/91/356294491.db2.gz JEGYDMGTEOPTIC-AWEZNQCLSA-N 1 2 315.373 1.829 20 30 DDEDLO Cc1c[nH]c(C[NH2+][C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)n1 ZINC000594935577 356358741 /nfs/dbraw/zinc/35/87/41/356358741.db2.gz BCVBDGKKRGEBTR-OAHLLOKOSA-N 1 2 309.373 1.481 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N[C@@H](C)c1[nH+]ccn1C ZINC000595384547 356473624 /nfs/dbraw/zinc/47/36/24/356473624.db2.gz XGIDCUUVHSVDGH-JTQLQIEISA-N 1 2 320.374 1.340 20 30 DDEDLO COC(=O)C[C@@](C)([NH2+]Cc1ccncc1C#N)c1ccncc1 ZINC000595483836 356512152 /nfs/dbraw/zinc/51/21/52/356512152.db2.gz NKYYCAIERBICTJ-QGZVFWFLSA-N 1 2 310.357 1.916 20 30 DDEDLO CN(CCS(=O)(=O)c1ccc(C#N)cc1)Cc1c[nH+]c[nH]1 ZINC000595596842 356568517 /nfs/dbraw/zinc/56/85/17/356568517.db2.gz OSRCXDNCLJPVDF-UHFFFAOYSA-N 1 2 304.375 1.187 20 30 DDEDLO CN(CCS(=O)(=O)c1ccc(C#N)cc1)Cc1c[nH]c[nH+]1 ZINC000595596842 356568521 /nfs/dbraw/zinc/56/85/21/356568521.db2.gz OSRCXDNCLJPVDF-UHFFFAOYSA-N 1 2 304.375 1.187 20 30 DDEDLO C[C@H]1COC2(CCC2)C[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000595673096 356603451 /nfs/dbraw/zinc/60/34/51/356603451.db2.gz AJQPBVXWDFKPLO-AWEZNQCLSA-N 1 2 304.394 1.286 20 30 DDEDLO C[C@H]1COC2(CCC2)C[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000595673096 356603454 /nfs/dbraw/zinc/60/34/54/356603454.db2.gz AJQPBVXWDFKPLO-AWEZNQCLSA-N 1 2 304.394 1.286 20 30 DDEDLO Cn1c[nH+]cc1CCN(c1cccc(C#N)c1)S(C)(=O)=O ZINC000596245747 356830797 /nfs/dbraw/zinc/83/07/97/356830797.db2.gz DMBYLBNKKKKEJB-UHFFFAOYSA-N 1 2 304.375 1.300 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000596126952 356783375 /nfs/dbraw/zinc/78/33/75/356783375.db2.gz QMWOKDPHJBVAFX-UONOGXRCSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000596126952 356783376 /nfs/dbraw/zinc/78/33/76/356783376.db2.gz QMWOKDPHJBVAFX-UONOGXRCSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2cc(C#N)ccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596430680 356877033 /nfs/dbraw/zinc/87/70/33/356877033.db2.gz NIOSDNUWJNWUFJ-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2cc(C#N)ccc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596430680 356877035 /nfs/dbraw/zinc/87/70/35/356877035.db2.gz NIOSDNUWJNWUFJ-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO N#Cc1cnc(N2CC[NH+](Cc3cccnc3)CC2)c([N+](=O)[O-])c1 ZINC000296607501 283232435 /nfs/dbraw/zinc/23/24/35/283232435.db2.gz TXONIHSYIBGDLS-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO C[C@@H](CC#N)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000597933856 357387792 /nfs/dbraw/zinc/38/77/92/357387792.db2.gz RJTDWVCQRHLLSR-UAGQMJEPSA-N 1 2 313.401 1.648 20 30 DDEDLO C[C@@H](CC#N)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000597933856 357387795 /nfs/dbraw/zinc/38/77/95/357387795.db2.gz RJTDWVCQRHLLSR-UAGQMJEPSA-N 1 2 313.401 1.648 20 30 DDEDLO C[C@H](C#N)N(C(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1)C1CC1 ZINC000598127266 357468945 /nfs/dbraw/zinc/46/89/45/357468945.db2.gz ACUYKBGXRJAQCF-PBHICJAKSA-N 1 2 313.401 1.790 20 30 DDEDLO C[C@H](C#N)N(C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1)C1CC1 ZINC000598127266 357468950 /nfs/dbraw/zinc/46/89/50/357468950.db2.gz ACUYKBGXRJAQCF-PBHICJAKSA-N 1 2 313.401 1.790 20 30 DDEDLO C[C@H](NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1)c1cncc(F)c1 ZINC000329609274 223001275 /nfs/dbraw/zinc/00/12/75/223001275.db2.gz NIQVSKQLFKOSAW-LEWSCRJBSA-N 1 2 308.357 1.211 20 30 DDEDLO C[C@H](NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1)c1cncc(F)c1 ZINC000329609274 223001276 /nfs/dbraw/zinc/00/12/76/223001276.db2.gz NIQVSKQLFKOSAW-LEWSCRJBSA-N 1 2 308.357 1.211 20 30 DDEDLO Cc1nn(C)c(C)c1[C@H]1COCC[N@@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598599568 357648648 /nfs/dbraw/zinc/64/86/48/357648648.db2.gz KDUZLNXJYNYJEL-HUUCEWRRSA-N 1 2 320.437 1.711 20 30 DDEDLO Cc1nn(C)c(C)c1[C@H]1COCC[N@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598599568 357648649 /nfs/dbraw/zinc/64/86/49/357648649.db2.gz KDUZLNXJYNYJEL-HUUCEWRRSA-N 1 2 320.437 1.711 20 30 DDEDLO COC(=O)c1conc1C(C)(C)[NH2+]C[C@@H](O)CC(C)(C)C#N ZINC000598621074 357657763 /nfs/dbraw/zinc/65/77/63/357657763.db2.gz FIELKYWXHRLGJW-JTQLQIEISA-N 1 2 309.366 1.587 20 30 DDEDLO Cc1cccc([C@H]2C(=O)NCC[N@H+]2C[C@H](O)CC(C)(C)C#N)c1 ZINC000598622224 357658588 /nfs/dbraw/zinc/65/85/88/357658588.db2.gz GNJCJOKPHNGWBF-CVEARBPZSA-N 1 2 315.417 1.769 20 30 DDEDLO Cc1cccc([C@H]2C(=O)NCC[N@@H+]2C[C@H](O)CC(C)(C)C#N)c1 ZINC000598622224 357658593 /nfs/dbraw/zinc/65/85/93/357658593.db2.gz GNJCJOKPHNGWBF-CVEARBPZSA-N 1 2 315.417 1.769 20 30 DDEDLO CC(C)(C(=O)N[C@H]1Cc2c[nH+]cn2C1)c1cc(F)cc(C#N)c1 ZINC000598947543 357771098 /nfs/dbraw/zinc/77/10/98/357771098.db2.gz MSJVDKSACFJXHE-AWEZNQCLSA-N 1 2 312.348 1.913 20 30 DDEDLO Cc1cc(NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)cc(C#N)n1 ZINC000599185390 357842688 /nfs/dbraw/zinc/84/26/88/357842688.db2.gz SEFZIVIBGQNGFD-GOSISDBHSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cc(NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)cc(C#N)n1 ZINC000599185390 357842689 /nfs/dbraw/zinc/84/26/89/357842689.db2.gz SEFZIVIBGQNGFD-GOSISDBHSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C[C@@H](O)COc2ccccc2C#N)n1 ZINC000599359665 357908639 /nfs/dbraw/zinc/90/86/39/357908639.db2.gz RXUCRDOZHWFTPT-GXFFZTMASA-N 1 2 302.334 1.340 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000600681452 358281865 /nfs/dbraw/zinc/28/18/65/358281865.db2.gz HNPNANGDPKLNNY-NVXWUHKLSA-N 1 2 316.405 1.338 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000600681452 358281867 /nfs/dbraw/zinc/28/18/67/358281867.db2.gz HNPNANGDPKLNNY-NVXWUHKLSA-N 1 2 316.405 1.338 20 30 DDEDLO CNC(=O)C[NH+]1CCN(c2ncc(C#N)c3ccsc32)CC1 ZINC000601100931 358401809 /nfs/dbraw/zinc/40/18/09/358401809.db2.gz PXOQSBCWQKVPRV-UHFFFAOYSA-N 1 2 315.402 1.036 20 30 DDEDLO N#C[C@H]1CSCCN1C(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000601408875 358540299 /nfs/dbraw/zinc/54/02/99/358540299.db2.gz VSPPXPCWZCKJLB-HNNXBMFYSA-N 1 2 312.398 1.882 20 30 DDEDLO C[C@@H]1C[C@@H](NS(=O)(=O)c2ccccc2CC#N)c2[nH+]ccn21 ZINC000601431290 358550467 /nfs/dbraw/zinc/55/04/67/358550467.db2.gz JSSPTOBVMIPMQO-DGCLKSJQSA-N 1 2 316.386 1.933 20 30 DDEDLO C[C@@H](CC#N)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000601856729 358717675 /nfs/dbraw/zinc/71/76/75/358717675.db2.gz ZRGPEDSSQXNHPR-LBPRGKRZSA-N 1 2 304.419 1.801 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(Cc2cccc(C#N)n2)CC1 ZINC000602446106 358981402 /nfs/dbraw/zinc/98/14/02/358981402.db2.gz ULJTZFBCBABGEK-UHFFFAOYSA-N 1 2 311.389 1.876 20 30 DDEDLO N#Cc1csc(CN2CC[NH+](CCc3cnccn3)CC2)n1 ZINC000602743553 359161636 /nfs/dbraw/zinc/16/16/36/359161636.db2.gz SPUKHQCDIDZJHS-UHFFFAOYSA-N 1 2 314.418 1.165 20 30 DDEDLO C[C@@H](C(=O)NCc1cccs1)[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856506 359242145 /nfs/dbraw/zinc/24/21/45/359242145.db2.gz KDOHMQFENPHLBT-MJBXVCDLSA-N 1 2 320.462 1.671 20 30 DDEDLO C[C@@H](C(=O)NCc1cccs1)[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856506 359242148 /nfs/dbraw/zinc/24/21/48/359242148.db2.gz KDOHMQFENPHLBT-MJBXVCDLSA-N 1 2 320.462 1.671 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)NCCc1ccc(OC(F)F)cc1 ZINC000602863294 359247562 /nfs/dbraw/zinc/24/75/62/359247562.db2.gz MPCKGJXFKDTXOT-LLVKDONJSA-N 1 2 311.332 1.838 20 30 DDEDLO C[C@@H](CC#N)[NH2+][C@@H](C)C(=O)N1CCN(c2ccccc2)CC1 ZINC000602864067 359249322 /nfs/dbraw/zinc/24/93/22/359249322.db2.gz QAVCFRIKIRZPOU-GJZGRUSLSA-N 1 2 300.406 1.615 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)Nc2cccc(C#N)c2)C[C@@H](C)N1CC#N ZINC000602865025 359249814 /nfs/dbraw/zinc/24/98/14/359249814.db2.gz SAZPGABNDWSKBY-ZIAGYGMSSA-N 1 2 311.389 1.415 20 30 DDEDLO CC(C)C[C@@H](C(=O)NC[C@@H]1CCCN(CC#N)C1)n1cc[nH+]c1 ZINC000602897931 359280194 /nfs/dbraw/zinc/28/01/94/359280194.db2.gz UYZDNTHKJWWMCU-HOTGVXAUSA-N 1 2 317.437 1.822 20 30 DDEDLO C[N@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)[C@@H]1CCC[C@H]1C#N ZINC000602977999 359337389 /nfs/dbraw/zinc/33/73/89/359337389.db2.gz KCNQKSLINAOCIQ-HRCADAONSA-N 1 2 313.401 1.730 20 30 DDEDLO C[N@@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)[C@@H]1CCC[C@H]1C#N ZINC000602977999 359337394 /nfs/dbraw/zinc/33/73/94/359337394.db2.gz KCNQKSLINAOCIQ-HRCADAONSA-N 1 2 313.401 1.730 20 30 DDEDLO Cc1cccn2c(NC(=O)N3CCN(CCC#N)CC3)c[nH+]c12 ZINC000603057107 359380353 /nfs/dbraw/zinc/38/03/53/359380353.db2.gz NNKHMBXNGOTWQG-UHFFFAOYSA-N 1 2 312.377 1.706 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@H](C)N(CC#N)[C@@H](C)C3)ccn12 ZINC000603087871 359395355 /nfs/dbraw/zinc/39/53/55/359395355.db2.gz AGDNMLHJQSGOOL-KBPBESRZSA-N 1 2 311.389 1.701 20 30 DDEDLO N#Cc1ccc(CCn2cc(C[NH+]3CCSCC3)nn2)cc1 ZINC000603247569 359513365 /nfs/dbraw/zinc/51/33/65/359513365.db2.gz MWCPSCXYRVBDKC-UHFFFAOYSA-N 1 2 313.430 1.941 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N2CC(CC#N)C2)C1 ZINC000603386605 359608065 /nfs/dbraw/zinc/60/80/65/359608065.db2.gz HIBNMDCCDQNKKS-UHFFFAOYSA-N 1 2 305.403 1.209 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N2CC(CC#N)C2)C1 ZINC000603386605 359608067 /nfs/dbraw/zinc/60/80/67/359608067.db2.gz HIBNMDCCDQNKKS-UHFFFAOYSA-N 1 2 305.403 1.209 20 30 DDEDLO CC1(CO)CC[NH+]([C@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000186894393 200027348 /nfs/dbraw/zinc/02/73/48/200027348.db2.gz FOTWSROFYZGZGY-ZDUSSCGKSA-N 1 2 319.430 1.819 20 30 DDEDLO N#Cc1cccc(CNC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)c1 ZINC000188573360 200268389 /nfs/dbraw/zinc/26/83/89/200268389.db2.gz HPFYZVPUNSWTMI-QGZVFWFLSA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1cccc(CNC(=O)[C@H]2COCC[N@H+]2C2CCCC2)c1 ZINC000188573360 200268391 /nfs/dbraw/zinc/26/83/91/200268391.db2.gz HPFYZVPUNSWTMI-QGZVFWFLSA-N 1 2 313.401 1.818 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)CCc2c[nH+]cn2C)c1 ZINC000188681923 200286337 /nfs/dbraw/zinc/28/63/37/200286337.db2.gz XMPKCASZXWKZHR-UHFFFAOYSA-N 1 2 324.384 1.431 20 30 DDEDLO Cc1nc(CN2CC[NH+](Cc3ccnc(C#N)c3)CC2)oc1C ZINC000610992654 360593833 /nfs/dbraw/zinc/59/38/33/360593833.db2.gz XJTYOFWATSCDHO-UHFFFAOYSA-N 1 2 311.389 1.876 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)CNc2ccc(C#N)cc2)C1 ZINC000611124191 360629224 /nfs/dbraw/zinc/62/92/24/360629224.db2.gz QTYUFVJNEMOYMQ-CQSZACIVSA-N 1 2 309.373 1.720 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000611551536 360756236 /nfs/dbraw/zinc/75/62/36/360756236.db2.gz MMLXICYXKMMULN-MRXNPFEDSA-N 1 2 313.380 1.942 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@@H+]2CC[C@@H](C)[C@H](F)C2)CC1 ZINC000611501923 360738144 /nfs/dbraw/zinc/73/81/44/360738144.db2.gz KOVZVDMPUXNHSA-OAGGEKHMSA-N 1 2 324.444 1.359 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@H+]2CC[C@@H](C)[C@H](F)C2)CC1 ZINC000611501923 360738145 /nfs/dbraw/zinc/73/81/45/360738145.db2.gz KOVZVDMPUXNHSA-OAGGEKHMSA-N 1 2 324.444 1.359 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)N1CCO[C@@H](C2CC2)C1 ZINC000330131992 223078207 /nfs/dbraw/zinc/07/82/07/223078207.db2.gz LFMQXMYIIWLINF-OAHLLOKOSA-N 1 2 318.421 1.787 20 30 DDEDLO C[C@H]1Cc2ccccc2N1C(=O)C[N@H+](C)CCNC(=O)N(C)C ZINC000330703854 223138356 /nfs/dbraw/zinc/13/83/56/223138356.db2.gz QIALHIFFICQTGR-ZDUSSCGKSA-N 1 2 318.421 1.372 20 30 DDEDLO C[C@H]1Cc2ccccc2N1C(=O)C[N@@H+](C)CCNC(=O)N(C)C ZINC000330703854 223138359 /nfs/dbraw/zinc/13/83/59/223138359.db2.gz QIALHIFFICQTGR-ZDUSSCGKSA-N 1 2 318.421 1.372 20 30 DDEDLO COCC1(C#N)CCN(C(=O)N[C@@H](C)Cn2cc[nH+]c2)CC1 ZINC000341258576 223287054 /nfs/dbraw/zinc/28/70/54/223287054.db2.gz KMFVJZMLRSRMJI-ZDUSSCGKSA-N 1 2 305.382 1.233 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)nc1 ZINC000619569545 364064043 /nfs/dbraw/zinc/06/40/43/364064043.db2.gz JXIPGMVRTXXIQU-UHFFFAOYSA-N 1 2 304.357 1.542 20 30 DDEDLO C=C[C@@H]([NH2+]C1CCN(c2cc(CC)ncn2)CC1)C(=O)OC ZINC000619702838 364120999 /nfs/dbraw/zinc/12/09/99/364120999.db2.gz SZMATAOPZAVENT-CQSZACIVSA-N 1 2 304.394 1.325 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@@H+]2CCOCC23CC3)cc1 ZINC000619814359 364158674 /nfs/dbraw/zinc/15/86/74/364158674.db2.gz NHDORSCRIMUHDF-HNNXBMFYSA-N 1 2 302.374 1.357 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@H+]2CCOCC23CC3)cc1 ZINC000619814359 364158681 /nfs/dbraw/zinc/15/86/81/364158681.db2.gz NHDORSCRIMUHDF-HNNXBMFYSA-N 1 2 302.374 1.357 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@H](O)C(C)(C)C2)c(C#N)c1C ZINC000275435195 212329786 /nfs/dbraw/zinc/32/97/86/212329786.db2.gz JMSCWEYHCCXNPC-ZDUSSCGKSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@H](O)C(C)(C)C2)c(C#N)c1C ZINC000275435195 212329788 /nfs/dbraw/zinc/32/97/88/212329788.db2.gz JMSCWEYHCCXNPC-ZDUSSCGKSA-N 1 2 305.378 1.799 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2C[C@@H](C)O[C@@H](C(=O)OC)C2)cc1 ZINC000092013756 193142258 /nfs/dbraw/zinc/14/22/58/193142258.db2.gz XISMWHCMFAPZBI-CZUORRHYSA-N 1 2 303.358 1.461 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2C[C@@H](C)O[C@@H](C(=O)OC)C2)cc1 ZINC000092013756 193142259 /nfs/dbraw/zinc/14/22/59/193142259.db2.gz XISMWHCMFAPZBI-CZUORRHYSA-N 1 2 303.358 1.461 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)N1CCC(F)(C#N)CC1)C1CC1 ZINC000347269980 223369034 /nfs/dbraw/zinc/36/90/34/223369034.db2.gz KFSIQUZNNXXXGB-LBPRGKRZSA-N 1 2 305.357 1.908 20 30 DDEDLO C#CCCNC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1F ZINC000265029617 204354077 /nfs/dbraw/zinc/35/40/77/204354077.db2.gz FZFIRACVLNYDJL-AWEZNQCLSA-N 1 2 300.337 1.971 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3cccnn3)CC2)ccc1C#N ZINC000282471571 217019219 /nfs/dbraw/zinc/01/92/19/217019219.db2.gz WIGFKDZPHJDHOH-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCc1nc(-c2ccco2)no1 ZINC000563809355 335023588 /nfs/dbraw/zinc/02/35/88/335023588.db2.gz DMKOTGZJEKWTAE-OAHLLOKOSA-N 1 2 317.349 1.222 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNC(=O)[C@H](C)n1cnc(C#N)n1 ZINC000336880841 249281604 /nfs/dbraw/zinc/28/16/04/249281604.db2.gz AJJOJMHVEAWZOO-NSHDSACASA-N 1 2 323.360 1.185 20 30 DDEDLO N#Cc1cccc(NC(=O)CC[N@@H+]2CCN(C3CC3)C(=O)C2)c1 ZINC000337193261 249382793 /nfs/dbraw/zinc/38/27/93/249382793.db2.gz YCPLRDDVCYFTNB-UHFFFAOYSA-N 1 2 312.373 1.193 20 30 DDEDLO N#Cc1cccc(NC(=O)CC[N@H+]2CCN(C3CC3)C(=O)C2)c1 ZINC000337193261 249382795 /nfs/dbraw/zinc/38/27/95/249382795.db2.gz YCPLRDDVCYFTNB-UHFFFAOYSA-N 1 2 312.373 1.193 20 30 DDEDLO C#Cc1cccc(NC(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)c1 ZINC000104989199 194062205 /nfs/dbraw/zinc/06/22/05/194062205.db2.gz NKNBRWXTAAEDSU-KGLIPLIRSA-N 1 2 301.390 1.899 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000159489876 197357449 /nfs/dbraw/zinc/35/74/49/197357449.db2.gz WVQVBZVRRREXAG-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@H]21 ZINC000284911865 218231329 /nfs/dbraw/zinc/23/13/29/218231329.db2.gz SOXZEJDHOBDIKI-HUUCEWRRSA-N 1 2 318.352 1.634 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@H]21 ZINC000284911865 218231332 /nfs/dbraw/zinc/23/13/32/218231332.db2.gz SOXZEJDHOBDIKI-HUUCEWRRSA-N 1 2 318.352 1.634 20 30 DDEDLO CC(=O)[C@H](NC(=O)C[N@H+](C)Cc1cccc(C#N)c1)C(C)C ZINC000106054291 194183308 /nfs/dbraw/zinc/18/33/08/194183308.db2.gz SMANCBXRGMBZNU-QGZVFWFLSA-N 1 2 301.390 1.720 20 30 DDEDLO CC(=O)[C@H](NC(=O)C[N@@H+](C)Cc1cccc(C#N)c1)C(C)C ZINC000106054291 194183310 /nfs/dbraw/zinc/18/33/10/194183310.db2.gz SMANCBXRGMBZNU-QGZVFWFLSA-N 1 2 301.390 1.720 20 30 DDEDLO CC#CC[N@@H+](CC)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000158305016 197261140 /nfs/dbraw/zinc/26/11/40/197261140.db2.gz PVJVUOJZQIZLSW-UHFFFAOYSA-N 1 2 315.417 1.807 20 30 DDEDLO CC#CC[N@H+](CC)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000158305016 197261142 /nfs/dbraw/zinc/26/11/42/197261142.db2.gz PVJVUOJZQIZLSW-UHFFFAOYSA-N 1 2 315.417 1.807 20 30 DDEDLO CN(C(=O)c1sccc1C#N)C(C)(C)C[NH+]1CCOCC1 ZINC000414055028 224296079 /nfs/dbraw/zinc/29/60/79/224296079.db2.gz WCPGMHAODIQDPG-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000313884686 533268955 /nfs/dbraw/zinc/26/89/55/533268955.db2.gz NZNJFJQQFRCAGH-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000313884686 533268957 /nfs/dbraw/zinc/26/89/57/533268957.db2.gz NZNJFJQQFRCAGH-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO C[C@@H](CNC(=O)c1c[nH]c2cccnc12)[NH+]1CCN(C)CC1 ZINC000329861132 533362337 /nfs/dbraw/zinc/36/23/37/533362337.db2.gz QBAUTCDVKKDUEF-LBPRGKRZSA-N 1 2 301.394 1.503 20 30 DDEDLO C[C@H](CC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1)NC(=O)C1CCCC1 ZINC000329913760 533641802 /nfs/dbraw/zinc/64/18/02/533641802.db2.gz CBEVRCZGVPMDHQ-SNPRPXQTSA-N 1 2 323.437 1.453 20 30 DDEDLO C[C@H](CC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1)NC(=O)C1CCCC1 ZINC000329913760 533641808 /nfs/dbraw/zinc/64/18/08/533641808.db2.gz CBEVRCZGVPMDHQ-SNPRPXQTSA-N 1 2 323.437 1.453 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H]2CC[C@@H](C)C2)CC1 ZINC000128775417 407569704 /nfs/dbraw/zinc/56/97/04/407569704.db2.gz AJUWWBBXGQRWJE-CVEARBPZSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H]2CC[C@@H](C)C2)CC1 ZINC000128775417 407569710 /nfs/dbraw/zinc/56/97/10/407569710.db2.gz AJUWWBBXGQRWJE-CVEARBPZSA-N 1 2 319.449 1.096 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@H](c2ccccc2)C1 ZINC000074159369 406904277 /nfs/dbraw/zinc/90/42/77/406904277.db2.gz GSWDEDPTCIAURQ-HOCLYGCPSA-N 1 2 301.390 1.820 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@H](c2ccccc2)C1 ZINC000074159369 406904278 /nfs/dbraw/zinc/90/42/78/406904278.db2.gz GSWDEDPTCIAURQ-HOCLYGCPSA-N 1 2 301.390 1.820 20 30 DDEDLO C=C(C)CN(CC)C(=O)Cn1c2ccccc2[nH+]c1NCCO ZINC000078460143 407030207 /nfs/dbraw/zinc/03/02/07/407030207.db2.gz BAUOUNDBNKDFJN-UHFFFAOYSA-N 1 2 316.405 1.865 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCOc1cc(C)ccc1C ZINC000078670444 407040074 /nfs/dbraw/zinc/04/00/74/407040074.db2.gz OIBXDNZVKGCXCT-UHFFFAOYSA-N 1 2 307.394 1.886 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCC(C(=O)c2ccc(O)cc2)CC1 ZINC000078658093 407040514 /nfs/dbraw/zinc/04/05/14/407040514.db2.gz OCOJBUSNBYZRFN-ZDUSSCGKSA-N 1 2 314.385 1.425 20 30 DDEDLO C=CCc1ccc(OC[C@@H](O)C[NH+]2CCOCC2)c(OC)c1 ZINC000046345068 407059751 /nfs/dbraw/zinc/05/97/51/407059751.db2.gz KVMCFPSRKVSBKA-HNNXBMFYSA-N 1 2 307.390 1.496 20 30 DDEDLO N#CCCCOc1cccc(C[N@@H+]2CCO[C@@H](C(N)=O)C2)c1 ZINC000092735150 407191601 /nfs/dbraw/zinc/19/16/01/407191601.db2.gz MWHQFBKXPKFFAD-OAHLLOKOSA-N 1 2 303.362 1.055 20 30 DDEDLO N#CCCCOc1cccc(C[N@H+]2CCO[C@@H](C(N)=O)C2)c1 ZINC000092735150 407191603 /nfs/dbraw/zinc/19/16/03/407191603.db2.gz MWHQFBKXPKFFAD-OAHLLOKOSA-N 1 2 303.362 1.055 20 30 DDEDLO NC(Cc1ccccc1Cl)=[NH+]OCC(=O)N1CCOCC1 ZINC000058498030 407221993 /nfs/dbraw/zinc/22/19/93/407221993.db2.gz NLTBLRAWKJKUKR-UHFFFAOYSA-N 1 2 311.769 1.030 20 30 DDEDLO CCCCNC(=O)C[N@H+](CCOC)Cc1ccc(C#N)cc1 ZINC000063754403 407235598 /nfs/dbraw/zinc/23/55/98/407235598.db2.gz FUAFNHNMEDRBJS-UHFFFAOYSA-N 1 2 303.406 1.923 20 30 DDEDLO CCCCNC(=O)C[N@@H+](CCOC)Cc1ccc(C#N)cc1 ZINC000063754403 407235599 /nfs/dbraw/zinc/23/55/99/407235599.db2.gz FUAFNHNMEDRBJS-UHFFFAOYSA-N 1 2 303.406 1.923 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[N@H+](C)C(C)C)cc1C#N ZINC000101956217 407318009 /nfs/dbraw/zinc/31/80/09/407318009.db2.gz SBCRVFBJXDSKLW-UHFFFAOYSA-N 1 2 308.407 1.038 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[N@@H+](C)C(C)C)cc1C#N ZINC000101956217 407318011 /nfs/dbraw/zinc/31/80/11/407318011.db2.gz SBCRVFBJXDSKLW-UHFFFAOYSA-N 1 2 308.407 1.038 20 30 DDEDLO CCOC(=O)C[N@H+](C[C@H](O)COc1ccc(C#N)cc1)C1CC1 ZINC000098143005 407306522 /nfs/dbraw/zinc/30/65/22/407306522.db2.gz SNYFEOXTAZCYKG-HNNXBMFYSA-N 1 2 318.373 1.325 20 30 DDEDLO CCOC(=O)C[N@@H+](C[C@H](O)COc1ccc(C#N)cc1)C1CC1 ZINC000098143005 407306524 /nfs/dbraw/zinc/30/65/24/407306524.db2.gz SNYFEOXTAZCYKG-HNNXBMFYSA-N 1 2 318.373 1.325 20 30 DDEDLO C=CC[N@@H+](CCNS(C)(=O)=O)Cc1cccc([N+](=O)[O-])c1 ZINC000107299820 407372074 /nfs/dbraw/zinc/37/20/74/407372074.db2.gz ZYWILLVCXWIFNS-UHFFFAOYSA-N 1 2 313.379 1.132 20 30 DDEDLO C=CC[N@H+](CCNS(C)(=O)=O)Cc1cccc([N+](=O)[O-])c1 ZINC000107299820 407372075 /nfs/dbraw/zinc/37/20/75/407372075.db2.gz ZYWILLVCXWIFNS-UHFFFAOYSA-N 1 2 313.379 1.132 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)C1CCOCC1 ZINC000125482894 407389700 /nfs/dbraw/zinc/38/97/00/407389700.db2.gz CIMAEOWNSYRCDZ-HNNXBMFYSA-N 1 2 323.437 1.124 20 30 DDEDLO C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@@H+]1CCNC(=O)CC1 ZINC000126171163 407406757 /nfs/dbraw/zinc/40/67/57/407406757.db2.gz FTIGYZGLCHYVDV-UUCFBXCCSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@H+]1CCNC(=O)CC1 ZINC000126171163 407406759 /nfs/dbraw/zinc/40/67/59/407406759.db2.gz FTIGYZGLCHYVDV-UUCFBXCCSA-N 1 2 320.437 1.128 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NC[C@H](C)[NH+]1CCOCC1 ZINC000178563752 407493055 /nfs/dbraw/zinc/49/30/55/407493055.db2.gz CNYRCCQJMPAVPW-LBPRGKRZSA-N 1 2 302.378 1.709 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)C#Cc2ccccc2)CC1 ZINC000267141534 407764772 /nfs/dbraw/zinc/76/47/72/407764772.db2.gz QIIYRZNBSAOBFT-UHFFFAOYSA-N 1 2 300.402 1.607 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1C[C@H](CO)OC[C@H]1C ZINC000153494243 407835286 /nfs/dbraw/zinc/83/52/86/407835286.db2.gz BGWVAWCDSQOMJI-TZMCWYRMSA-N 1 2 306.362 1.027 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1C[C@H](CO)OC[C@H]1C ZINC000153494243 407835291 /nfs/dbraw/zinc/83/52/91/407835291.db2.gz BGWVAWCDSQOMJI-TZMCWYRMSA-N 1 2 306.362 1.027 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@H+](C)Cc1ccc(OC)c(C#N)c1 ZINC000135078298 407972790 /nfs/dbraw/zinc/97/27/90/407972790.db2.gz LJPDROLOZNJWNI-GFCCVEGCSA-N 1 2 310.419 1.822 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@@H+](C)Cc1ccc(OC)c(C#N)c1 ZINC000135078298 407972795 /nfs/dbraw/zinc/97/27/95/407972795.db2.gz LJPDROLOZNJWNI-GFCCVEGCSA-N 1 2 310.419 1.822 20 30 DDEDLO C#CCCCNC(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000174124862 407917119 /nfs/dbraw/zinc/91/71/19/407917119.db2.gz YMGLITJBGYRRGG-UHFFFAOYSA-N 1 2 306.435 1.774 20 30 DDEDLO C#CCCCNC(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000174124862 407917129 /nfs/dbraw/zinc/91/71/29/407917129.db2.gz YMGLITJBGYRRGG-UHFFFAOYSA-N 1 2 306.435 1.774 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCC(=O)N2CCCCCC2)c1 ZINC000174211264 407919815 /nfs/dbraw/zinc/91/98/15/407919815.db2.gz SGZLHDSZMBCKCV-UHFFFAOYSA-N 1 2 305.378 1.735 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)[nH]1 ZINC000268459711 408053534 /nfs/dbraw/zinc/05/35/34/408053534.db2.gz KMJIOBNHQNQYPC-WBMJQRKESA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)[nH]1 ZINC000268459711 408053543 /nfs/dbraw/zinc/05/35/43/408053543.db2.gz KMJIOBNHQNQYPC-WBMJQRKESA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)n1 ZINC000268459711 408053551 /nfs/dbraw/zinc/05/35/51/408053551.db2.gz KMJIOBNHQNQYPC-WBMJQRKESA-N 1 2 316.409 1.101 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)n1 ZINC000268459711 408053559 /nfs/dbraw/zinc/05/35/59/408053559.db2.gz KMJIOBNHQNQYPC-WBMJQRKESA-N 1 2 316.409 1.101 20 30 DDEDLO CC#CCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000154534563 408047316 /nfs/dbraw/zinc/04/73/16/408047316.db2.gz FSNXPRWIYGDUMV-AWEZNQCLSA-N 1 2 303.381 1.476 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000245704917 408049197 /nfs/dbraw/zinc/04/91/97/408049197.db2.gz BUFVSOVDQNSGHY-GFCCVEGCSA-N 1 2 311.393 1.101 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000245704917 408049205 /nfs/dbraw/zinc/04/92/05/408049205.db2.gz BUFVSOVDQNSGHY-GFCCVEGCSA-N 1 2 311.393 1.101 20 30 DDEDLO Cc1cc(NC(=O)CO[NH+]=C(N)c2ccc3c(c2)CCO3)no1 ZINC000158165446 408330552 /nfs/dbraw/zinc/33/05/52/408330552.db2.gz HWDFHWGUXYUNHQ-UHFFFAOYSA-N 1 2 316.317 1.194 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@H+](CC)C[C@@H](C)C#N)CC1 ZINC000157629917 408309311 /nfs/dbraw/zinc/30/93/11/408309311.db2.gz RLWYZNJJUXRIAX-KBPBESRZSA-N 1 2 323.437 1.658 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@@H+](CC)C[C@@H](C)C#N)CC1 ZINC000157629917 408309318 /nfs/dbraw/zinc/30/93/18/408309318.db2.gz RLWYZNJJUXRIAX-KBPBESRZSA-N 1 2 323.437 1.658 20 30 DDEDLO C=C1CC[NH+]([C@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000264451143 408497546 /nfs/dbraw/zinc/49/75/46/408497546.db2.gz IEIGQKXRBCZJFM-GFCCVEGCSA-N 1 2 323.418 1.313 20 30 DDEDLO Cc1ccc(NC(=O)N(CCC#N)CC[NH+]2CCOCC2)nc1 ZINC000184206104 408569198 /nfs/dbraw/zinc/56/91/98/408569198.db2.gz OJZBMQHRSQNEDZ-UHFFFAOYSA-N 1 2 317.393 1.470 20 30 DDEDLO C=C(C)CS(=O)(=O)NCC(C)(C)[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC000184330734 408593028 /nfs/dbraw/zinc/59/30/28/408593028.db2.gz MVPPJSXEYLYCDS-STQMWFEESA-N 1 2 304.456 1.370 20 30 DDEDLO Cc1[nH]nc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)c1C ZINC000265271097 408600171 /nfs/dbraw/zinc/60/01/71/408600171.db2.gz VZFPUXODLROTPW-UHFFFAOYSA-N 1 2 323.400 1.856 20 30 DDEDLO CC(C)(C#N)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000161985671 408657006 /nfs/dbraw/zinc/65/70/06/408657006.db2.gz NZRYNMYGCHKENB-CVEARBPZSA-N 1 2 313.401 1.648 20 30 DDEDLO CC(C)(C#N)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000161985671 408657013 /nfs/dbraw/zinc/65/70/13/408657013.db2.gz NZRYNMYGCHKENB-CVEARBPZSA-N 1 2 313.401 1.648 20 30 DDEDLO CCCCNC(=O)[C@@H](C)O[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000178147622 408780904 /nfs/dbraw/zinc/78/09/04/408780904.db2.gz VAYGRNOAEHCFDI-GFCCVEGCSA-N 1 2 307.394 1.831 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N2CCc3ccccc32)C1=O ZINC000281282808 408878556 /nfs/dbraw/zinc/87/85/56/408878556.db2.gz IVELUMGJFVVVDJ-INIZCTEOSA-N 1 2 313.401 1.294 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N2CCc3ccccc32)C1=O ZINC000281282808 408878559 /nfs/dbraw/zinc/87/85/59/408878559.db2.gz IVELUMGJFVVVDJ-INIZCTEOSA-N 1 2 313.401 1.294 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN([C@@H](C)c3nccs3)CC2)C1=O ZINC000281412145 408884599 /nfs/dbraw/zinc/88/45/99/408884599.db2.gz RDIJLGLGHZXPHT-UONOGXRCSA-N 1 2 320.462 1.609 20 30 DDEDLO C[C@]([NH2+]CC(=O)Nc1cccc(C#N)c1)(C(N)=O)c1ccccc1 ZINC000195226753 163312938 /nfs/dbraw/zinc/31/29/38/163312938.db2.gz GCFPVDLLFCGJCF-GOSISDBHSA-N 1 2 322.368 1.487 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3cccc(F)c3F)CC2)C1=O ZINC000281976920 408965559 /nfs/dbraw/zinc/96/55/59/408965559.db2.gz LRBFOCFAGIFPQR-OAHLLOKOSA-N 1 2 321.371 1.874 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@H]2C(=O)NCc2ccco2)C1=O ZINC000281987748 408966894 /nfs/dbraw/zinc/96/68/94/408966894.db2.gz MPKOGKKXNOUZEF-GJZGRUSLSA-N 1 2 317.389 1.147 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@H]2C(=O)NCc2ccco2)C1=O ZINC000281987748 408966898 /nfs/dbraw/zinc/96/68/98/408966898.db2.gz MPKOGKKXNOUZEF-GJZGRUSLSA-N 1 2 317.389 1.147 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccc(Cl)cc2)C1=O ZINC000282005697 408969700 /nfs/dbraw/zinc/96/97/00/408969700.db2.gz NZRQXMKHLICPBX-CQSZACIVSA-N 1 2 321.808 1.997 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccc(Cl)cc2)C1=O ZINC000282005697 408969702 /nfs/dbraw/zinc/96/97/02/408969702.db2.gz NZRQXMKHLICPBX-CQSZACIVSA-N 1 2 321.808 1.997 20 30 DDEDLO N#CCCCCNC(=O)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000286685520 408997379 /nfs/dbraw/zinc/99/73/79/408997379.db2.gz IYJVMBSSDODUCY-LBPRGKRZSA-N 1 2 303.366 1.273 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cn(C3CC3)c(C)cc2=O)CC1 ZINC000287410229 409032710 /nfs/dbraw/zinc/03/27/10/409032710.db2.gz CGHPNJZWGKGIQY-UHFFFAOYSA-N 1 2 313.401 1.273 20 30 DDEDLO C#CC[N@H+](C)CCCNc1cc(C(C)=O)ccc1S(C)(=O)=O ZINC000292685978 409039414 /nfs/dbraw/zinc/03/94/14/409039414.db2.gz FAPOVXSGXNILNB-UHFFFAOYSA-N 1 2 322.430 1.660 20 30 DDEDLO C#CC[N@@H+](C)CCCNc1cc(C(C)=O)ccc1S(C)(=O)=O ZINC000292685978 409039417 /nfs/dbraw/zinc/03/94/17/409039417.db2.gz FAPOVXSGXNILNB-UHFFFAOYSA-N 1 2 322.430 1.660 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](Oc2ncccc2F)C1 ZINC000278832116 409098387 /nfs/dbraw/zinc/09/83/87/409098387.db2.gz JTOGEEJEENSQFF-QWHCGFSZSA-N 1 2 305.353 1.202 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](Oc2ncccc2F)C1 ZINC000278832116 409098388 /nfs/dbraw/zinc/09/83/88/409098388.db2.gz JTOGEEJEENSQFF-QWHCGFSZSA-N 1 2 305.353 1.202 20 30 DDEDLO N#CCCn1ccc(NC(=O)C2CC[NH+](CC(F)F)CC2)n1 ZINC000288172468 409158786 /nfs/dbraw/zinc/15/87/86/409158786.db2.gz WVTPLKYNRLWZHA-UHFFFAOYSA-N 1 2 311.336 1.712 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)NCCCn2cc[nH+]c2)cc1 ZINC000279974224 409253719 /nfs/dbraw/zinc/25/37/19/409253719.db2.gz NPCWRBMIPTUAOI-MRXNPFEDSA-N 1 2 307.357 1.644 20 30 DDEDLO O=c1nc([C@H]2CCC[N@@H+](CC#Cc3cccc(Cl)c3)C2)[nH][nH]1 ZINC000289386403 409259592 /nfs/dbraw/zinc/25/95/92/409259592.db2.gz JQLIZDLJOYNFMZ-ZDUSSCGKSA-N 1 2 316.792 1.983 20 30 DDEDLO O=c1nc([C@H]2CCC[N@H+](CC#Cc3cccc(Cl)c3)C2)[nH][nH]1 ZINC000289386403 409259594 /nfs/dbraw/zinc/25/95/94/409259594.db2.gz JQLIZDLJOYNFMZ-ZDUSSCGKSA-N 1 2 316.792 1.983 20 30 DDEDLO Cc1sccc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000294460830 409291433 /nfs/dbraw/zinc/29/14/33/409291433.db2.gz HJTJCFAHSXMGQS-UHFFFAOYSA-N 1 2 307.419 1.745 20 30 DDEDLO C=CCOc1ccc(CC(=O)N[C@H](C)C[NH+]2CCOCC2)cc1 ZINC000294626339 409295835 /nfs/dbraw/zinc/29/58/35/409295835.db2.gz WTZWGADLZKEGTI-OAHLLOKOSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCC[N@@H+]1CCc2sccc2C1 ZINC000284951403 409372626 /nfs/dbraw/zinc/37/26/26/409372626.db2.gz JWCSURVQKHGYPM-UHFFFAOYSA-N 1 2 321.446 1.257 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCC[N@H+]1CCc2sccc2C1 ZINC000284951403 409372629 /nfs/dbraw/zinc/37/26/29/409372629.db2.gz JWCSURVQKHGYPM-UHFFFAOYSA-N 1 2 321.446 1.257 20 30 DDEDLO NC(=[NH+]OCc1ccn(-c2ccccc2F)n1)[C@H]1CCCO1 ZINC000284560356 409347354 /nfs/dbraw/zinc/34/73/54/409347354.db2.gz WJYQXFYKJUPBFS-CQSZACIVSA-N 1 2 304.325 1.979 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Nc1ccc(C#N)cc1 ZINC000285567965 409491784 /nfs/dbraw/zinc/49/17/84/409491784.db2.gz HNYXRWDQKQOYIB-CJNGLKHVSA-N 1 2 314.389 1.885 20 30 DDEDLO N#Cc1ccccc1C[N@@H+](CCC(F)(F)F)C[C@@H](O)CO ZINC000354041427 409609958 /nfs/dbraw/zinc/60/99/58/409609958.db2.gz UABAPIMQSBRKSZ-CYBMUJFWSA-N 1 2 302.296 1.666 20 30 DDEDLO N#Cc1ccccc1C[N@H+](CCC(F)(F)F)C[C@@H](O)CO ZINC000354041427 409609964 /nfs/dbraw/zinc/60/99/64/409609964.db2.gz UABAPIMQSBRKSZ-CYBMUJFWSA-N 1 2 302.296 1.666 20 30 DDEDLO C[C@@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H]1CO ZINC000305158402 409706998 /nfs/dbraw/zinc/70/69/98/409706998.db2.gz DGKZTWRIBHOFEF-CJNGLKHVSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H]1CO ZINC000305158402 409707006 /nfs/dbraw/zinc/70/70/06/409707006.db2.gz DGKZTWRIBHOFEF-CJNGLKHVSA-N 1 2 322.430 1.425 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@H+](C)[C@H](C)[C@@H]2C)cc1C#N ZINC000356981725 409765254 /nfs/dbraw/zinc/76/52/54/409765254.db2.gz RRMWJBWSWSQTFS-ZJUUUORDSA-N 1 2 320.418 1.545 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@@H+](C)[C@H](C)[C@@H]2C)cc1C#N ZINC000356981725 409765259 /nfs/dbraw/zinc/76/52/59/409765259.db2.gz RRMWJBWSWSQTFS-ZJUUUORDSA-N 1 2 320.418 1.545 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)nc1 ZINC000338296048 409883135 /nfs/dbraw/zinc/88/31/35/409883135.db2.gz LPMDDEGAKMBQIH-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CCOC(=O)CN(Cc1c[nH+]c2ccc(C#N)cn12)C(C)C ZINC000342778009 409897859 /nfs/dbraw/zinc/89/78/59/409897859.db2.gz AQWMGVIXHMNIDS-UHFFFAOYSA-N 1 2 300.362 1.979 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N[C@@H]1CCO[C@@H]1C1CC1)[NH+]1CCOCC1 ZINC000328841700 409992642 /nfs/dbraw/zinc/99/26/42/409992642.db2.gz PQAIAOVGAVCRLU-CTHBEMJXSA-N 1 2 311.426 1.167 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)ccn1 ZINC000342863865 409954180 /nfs/dbraw/zinc/95/41/80/409954180.db2.gz JPKZYOVIEQPMPN-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NCCc1nc(C)no1)CCC2 ZINC000328688568 409956930 /nfs/dbraw/zinc/95/69/30/409956930.db2.gz CRJZXPRORODVHE-LBPRGKRZSA-N 1 2 318.381 1.507 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[N@@H+](Cc2ccccc2)C[C@@H]1C ZINC000297723451 409976007 /nfs/dbraw/zinc/97/60/07/409976007.db2.gz NJNURQZEMPINEP-HNNXBMFYSA-N 1 2 315.417 1.364 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[N@H+](Cc2ccccc2)C[C@@H]1C ZINC000297723451 409976014 /nfs/dbraw/zinc/97/60/14/409976014.db2.gz NJNURQZEMPINEP-HNNXBMFYSA-N 1 2 315.417 1.364 20 30 DDEDLO CCN1CCN(C(=O)NCc2ccoc2)C[C@H]1c1[nH]cc[nH+]1 ZINC000328646159 409944537 /nfs/dbraw/zinc/94/45/37/409944537.db2.gz ZZDDPPIASRICAH-ZDUSSCGKSA-N 1 2 303.366 1.796 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)[C@H]1CCc2n[nH]nc2C1 ZINC000328917371 410007847 /nfs/dbraw/zinc/00/78/47/410007847.db2.gz AVNJXDFISFVKDH-JTQLQIEISA-N 1 2 300.366 1.599 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)[C@@H]1CCO[C@H]1c1nccn1C ZINC000328972234 410027451 /nfs/dbraw/zinc/02/74/51/410027451.db2.gz VIAIMEDXVLMKFF-BFHYXJOUSA-N 1 2 322.409 1.175 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)[C@H]1CCN(C)C1=O ZINC000329100562 410100531 /nfs/dbraw/zinc/10/05/31/410100531.db2.gz ZNFYNBVJLALYLH-OLZOCXBDSA-N 1 2 306.410 1.720 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)[C@H]1CCN(C)C1=O ZINC000329100562 410100536 /nfs/dbraw/zinc/10/05/36/410100536.db2.gz ZNFYNBVJLALYLH-OLZOCXBDSA-N 1 2 306.410 1.720 20 30 DDEDLO O=C(NC[C@]1(O)CCSC1)C1([NH+]2CCOCC2)CCC1 ZINC000329117929 410112098 /nfs/dbraw/zinc/11/20/98/410112098.db2.gz UUOUHBFZYVWBTK-CYBMUJFWSA-N 1 2 300.424 1.066 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)[C@@H]2CCO[C@H](C)C2)CC1 ZINC000329232429 410182365 /nfs/dbraw/zinc/18/23/65/410182365.db2.gz LHXMPKFBJQDAHG-MGPQQGTHSA-N 1 2 323.437 1.453 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)c3ccc(C4(C#N)CC4)cc3)C[C@H]21 ZINC000329508944 410335993 /nfs/dbraw/zinc/33/59/93/410335993.db2.gz XDCDEULHLKBGBA-CVEARBPZSA-N 1 2 311.385 1.397 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)c3ccc(C4(C#N)CC4)cc3)C[C@H]21 ZINC000329508944 410335998 /nfs/dbraw/zinc/33/59/98/410335998.db2.gz XDCDEULHLKBGBA-CVEARBPZSA-N 1 2 311.385 1.397 20 30 DDEDLO CCOC(=O)[C@@H](CC)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355098885 410312795 /nfs/dbraw/zinc/31/27/95/410312795.db2.gz FKPMCUBNLSAMHT-CQSZACIVSA-N 1 2 302.378 1.417 20 30 DDEDLO Cn1ncc(C(=O)Nc2ccc(Cn3cc[nH+]c3)cn2)c1C#N ZINC000343381441 410411166 /nfs/dbraw/zinc/41/11/66/410411166.db2.gz ZNDZSHYUSKDPLG-UHFFFAOYSA-N 1 2 307.317 1.184 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(Cc2ncc[nH]2)CC1 ZINC000358461318 410474888 /nfs/dbraw/zinc/47/48/88/410474888.db2.gz XHXKHURMBXWMFR-HNNXBMFYSA-N 1 2 317.437 1.116 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)CCc1ccc(C#N)cc1 ZINC000339730074 410422806 /nfs/dbraw/zinc/42/28/06/410422806.db2.gz IXWPINJABFNQCJ-UHFFFAOYSA-N 1 2 301.390 1.281 20 30 DDEDLO O=C(Nc1cc2n(n1)CCCC2)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000329775766 410441140 /nfs/dbraw/zinc/44/11/40/410441140.db2.gz JLQPILKYJGRWEJ-JTQLQIEISA-N 1 2 300.366 1.826 20 30 DDEDLO O=C(Nc1cc2n(n1)CCCC2)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000329775766 410441147 /nfs/dbraw/zinc/44/11/47/410441147.db2.gz JLQPILKYJGRWEJ-JTQLQIEISA-N 1 2 300.366 1.826 20 30 DDEDLO CNS(=O)(=O)CCC[N@@H+]1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000336857662 410645568 /nfs/dbraw/zinc/64/55/68/410645568.db2.gz UFCOPLKPGZKMBO-HZPDHXFCSA-N 1 2 321.446 1.697 20 30 DDEDLO CNS(=O)(=O)CCC[N@H+]1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000336857662 410645574 /nfs/dbraw/zinc/64/55/74/410645574.db2.gz UFCOPLKPGZKMBO-HZPDHXFCSA-N 1 2 321.446 1.697 20 30 DDEDLO COc1cccc(CNC(=O)C[NH+]2CCC(F)(C#N)CC2)c1 ZINC000352466716 410658478 /nfs/dbraw/zinc/65/84/78/410658478.db2.gz DYYZYQQUDIQRBR-UHFFFAOYSA-N 1 2 305.353 1.639 20 30 DDEDLO Cn1c[nH+]cc1C[S@@](=O)CC(=O)Nc1cccc(C#N)c1 ZINC000343849472 410746963 /nfs/dbraw/zinc/74/69/63/410746963.db2.gz YAHUDTKGDTXBDH-OAQYLSRUSA-N 1 2 302.359 1.179 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+](C)[C@H]1COC[C@H]1O ZINC000352805937 410679980 /nfs/dbraw/zinc/67/99/80/410679980.db2.gz SYAGEODHUZNQTQ-UONOGXRCSA-N 1 2 322.430 1.595 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+](C)[C@H]1COC[C@H]1O ZINC000352805937 410679986 /nfs/dbraw/zinc/67/99/86/410679986.db2.gz SYAGEODHUZNQTQ-UONOGXRCSA-N 1 2 322.430 1.595 20 30 DDEDLO N#Cc1csc(CNc2cnn(CC[NH+]3CCOCC3)c2)n1 ZINC000352800483 410681340 /nfs/dbraw/zinc/68/13/40/410681340.db2.gz QDURYYFDXGTFLE-UHFFFAOYSA-N 1 2 318.406 1.156 20 30 DDEDLO COCCN(c1cc[nH+]cc1)S(=O)(=O)CC1(C#N)CCCC1 ZINC000352875236 410684997 /nfs/dbraw/zinc/68/49/97/410684997.db2.gz XGVAKXWHJPZERY-UHFFFAOYSA-N 1 2 323.418 1.948 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)c2nc[nH+]c(N)c2Cl)c1 ZINC000302853485 410875833 /nfs/dbraw/zinc/87/58/33/410875833.db2.gz QWAWWJUJRPTGBV-UHFFFAOYSA-N 1 2 315.764 1.768 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+](C)CC(=O)NCc1cccs1 ZINC000353267999 410892381 /nfs/dbraw/zinc/89/23/81/410892381.db2.gz XCOFWBBFGUXZSP-GFCCVEGCSA-N 1 2 322.434 1.057 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+](C)CC(=O)NCc1cccs1 ZINC000353267999 410892385 /nfs/dbraw/zinc/89/23/85/410892385.db2.gz XCOFWBBFGUXZSP-GFCCVEGCSA-N 1 2 322.434 1.057 20 30 DDEDLO N#Cc1ccccc1N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC000337653811 410920838 /nfs/dbraw/zinc/92/08/38/410920838.db2.gz MOEFYEFNLRQPDN-UHFFFAOYSA-N 1 2 309.373 1.494 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)CCCC#N)c[nH+]2)CCO1 ZINC000360007588 410999224 /nfs/dbraw/zinc/99/92/24/410999224.db2.gz QTEIWILXAZRKIF-CYBMUJFWSA-N 1 2 302.378 1.617 20 30 DDEDLO CC(C)OC[C@@H](O)C[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000341813962 411060451 /nfs/dbraw/zinc/06/04/51/411060451.db2.gz LUWUBKBMKHJYBH-DLBZAZTESA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@@H](O)C[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000341813962 411060457 /nfs/dbraw/zinc/06/04/57/411060457.db2.gz LUWUBKBMKHJYBH-DLBZAZTESA-N 1 2 304.390 1.717 20 30 DDEDLO CC(=O)[C@@](C)(O)C[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000331293628 411062851 /nfs/dbraw/zinc/06/28/51/411062851.db2.gz BYCZKDAKPPDOOW-INIZCTEOSA-N 1 2 305.353 1.159 20 30 DDEDLO C=CC[NH+]1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000356607061 411105826 /nfs/dbraw/zinc/10/58/26/411105826.db2.gz QXDTXRMDDNRQQP-HNNXBMFYSA-N 1 2 317.437 1.028 20 30 DDEDLO Cn1ncc(C(=O)N2CC[NH+](Cc3cccs3)CC2)c1C#N ZINC000353689271 411111122 /nfs/dbraw/zinc/11/11/22/411111122.db2.gz ZGHOOYQWWFPXLT-UHFFFAOYSA-N 1 2 315.402 1.311 20 30 DDEDLO N#C[C@@H]1C[N@@H+](Cc2ccnc(N)c2)C[C@@]12c1ccccc1NC2=O ZINC000373278270 418417698 /nfs/dbraw/zinc/41/76/98/418417698.db2.gz MZBWNODLJJFOTQ-ACJLOTCBSA-N 1 2 319.368 1.509 20 30 DDEDLO N#C[C@@H]1C[N@H+](Cc2ccnc(N)c2)C[C@@]12c1ccccc1NC2=O ZINC000373278270 418417700 /nfs/dbraw/zinc/41/77/00/418417700.db2.gz MZBWNODLJJFOTQ-ACJLOTCBSA-N 1 2 319.368 1.509 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)NC[C@H]1CCn2cc[nH+]c2C1 ZINC000373336975 418421312 /nfs/dbraw/zinc/42/13/12/418421312.db2.gz JNQMEYIGOYIYMT-SQWLQELKSA-N 1 2 303.406 1.933 20 30 DDEDLO C#CCC(C)(C)C(=O)N1CCC[C@H](CN2CC[NH+](C)CC2)C1 ZINC000373348247 418423418 /nfs/dbraw/zinc/42/34/18/418423418.db2.gz OAONKBLFFYUCCH-MRXNPFEDSA-N 1 2 305.466 1.522 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](C)CC(=O)N(C)C1CCCCC1 ZINC000048045382 418464465 /nfs/dbraw/zinc/46/44/65/418464465.db2.gz NSLHXLPXXBDISL-UHFFFAOYSA-N 1 2 321.465 1.910 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](C)CC(=O)N(C)C1CCCCC1 ZINC000048045382 418464468 /nfs/dbraw/zinc/46/44/68/418464468.db2.gz NSLHXLPXXBDISL-UHFFFAOYSA-N 1 2 321.465 1.910 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCC(N2CCO[C@H](C)C2)CC1 ZINC000373920986 418473064 /nfs/dbraw/zinc/47/30/64/418473064.db2.gz KEDJXIOZVPHULX-MRXNPFEDSA-N 1 2 323.481 1.596 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@H]3COC[C@H]32)c(C#N)c1C ZINC000374306791 418516217 /nfs/dbraw/zinc/51/62/17/418516217.db2.gz ALNHDPIBCBCTNL-GXTWGEPZSA-N 1 2 303.362 1.817 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@H]3COC[C@H]32)c(C#N)c1C ZINC000374306791 418516220 /nfs/dbraw/zinc/51/62/20/418516220.db2.gz ALNHDPIBCBCTNL-GXTWGEPZSA-N 1 2 303.362 1.817 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)c2cccc(F)c2C#N)CCO1 ZINC000188917385 222031164 /nfs/dbraw/zinc/03/11/64/222031164.db2.gz YECDDHTWYOWFFC-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)c2cccc(F)c2C#N)CCO1 ZINC000188917385 222031166 /nfs/dbraw/zinc/03/11/66/222031166.db2.gz YECDDHTWYOWFFC-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCCN(CCC)C(=O)C1 ZINC000189430403 222045813 /nfs/dbraw/zinc/04/58/13/222045813.db2.gz LNZJQKMYNXCINS-HNNXBMFYSA-N 1 2 309.454 1.744 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCCN(CCC)C(=O)C1 ZINC000189430403 222045817 /nfs/dbraw/zinc/04/58/17/222045817.db2.gz LNZJQKMYNXCINS-HNNXBMFYSA-N 1 2 309.454 1.744 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCN(C)C(=O)[C@H]2C)cc(OC)c1O ZINC000190083370 222066328 /nfs/dbraw/zinc/06/63/28/222066328.db2.gz NWRJFDIWMKFCNX-GFCCVEGCSA-N 1 2 304.390 1.792 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCN(C)C(=O)[C@H]2C)cc(OC)c1O ZINC000190083370 222066333 /nfs/dbraw/zinc/06/63/33/222066333.db2.gz NWRJFDIWMKFCNX-GFCCVEGCSA-N 1 2 304.390 1.792 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCC(O)(c2ccccc2Cl)CC1 ZINC000193149806 222145235 /nfs/dbraw/zinc/14/52/35/222145235.db2.gz FTEOVQUXYRGRHN-CYBMUJFWSA-N 1 2 320.820 1.761 20 30 DDEDLO C=CCOC[C@H](NCc1cn2cc(Cl)ccc2[nH+]1)C(=O)OC ZINC000361107937 418585906 /nfs/dbraw/zinc/58/59/06/418585906.db2.gz BEMAKKSLGQXUSP-ZDUSSCGKSA-N 1 2 323.780 1.822 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCc1ccnc(-n2cc[nH+]c2)c1 ZINC000194644066 222180257 /nfs/dbraw/zinc/18/02/57/222180257.db2.gz RCFANKYTTIRAAC-CYBMUJFWSA-N 1 2 300.362 1.865 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@H]([C@H]2CCCO2)C1 ZINC000247371615 222228818 /nfs/dbraw/zinc/22/88/18/222228818.db2.gz PEOQNASJPWQNQB-XHSDSOJGSA-N 1 2 308.422 1.455 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@H]([C@H]2CCCO2)C1 ZINC000247371615 222228822 /nfs/dbraw/zinc/22/88/22/222228822.db2.gz PEOQNASJPWQNQB-XHSDSOJGSA-N 1 2 308.422 1.455 20 30 DDEDLO COC(=O)c1ccc(CO[NH+]=C(N)C(C)(C)NC(C)=O)cc1 ZINC000264830481 222336775 /nfs/dbraw/zinc/33/67/75/222336775.db2.gz TZPHVRNEKGWBAT-UHFFFAOYSA-N 1 2 307.350 1.177 20 30 DDEDLO C[S@](=O)C1(CNC([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)CCC1 ZINC000328598636 418605971 /nfs/dbraw/zinc/60/59/71/418605971.db2.gz BHPAYEXFLDQSQW-FIKIJFGZSA-N 1 2 310.423 1.003 20 30 DDEDLO C[S@](=O)C1(C[NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)CCC1 ZINC000328598636 418605974 /nfs/dbraw/zinc/60/59/74/418605974.db2.gz BHPAYEXFLDQSQW-FIKIJFGZSA-N 1 2 310.423 1.003 20 30 DDEDLO COC(=O)C[C@H]1C[N@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC000267697546 222398014 /nfs/dbraw/zinc/39/80/14/222398014.db2.gz TWXWNVVDNDBRRH-HNNXBMFYSA-N 1 2 304.346 1.353 20 30 DDEDLO COC(=O)C[C@H]1C[N@@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC000267697546 222398017 /nfs/dbraw/zinc/39/80/17/222398017.db2.gz TWXWNVVDNDBRRH-HNNXBMFYSA-N 1 2 304.346 1.353 20 30 DDEDLO CCCCNc1cc(N2CCC(C#N)(COC)CC2)nc(N)[nH+]1 ZINC000343142929 418631293 /nfs/dbraw/zinc/63/12/93/418631293.db2.gz UOXBRZBLZZLTIK-UHFFFAOYSA-N 1 2 318.425 2.027 20 30 DDEDLO CCCCNc1cc(N2CCC(C#N)(COC)CC2)[nH+]c(N)n1 ZINC000343142929 418631298 /nfs/dbraw/zinc/63/12/98/418631298.db2.gz UOXBRZBLZZLTIK-UHFFFAOYSA-N 1 2 318.425 2.027 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)[C@H]2CC(F)(F)C[NH2+]2)c1 ZINC000375442448 418639461 /nfs/dbraw/zinc/63/94/61/418639461.db2.gz FGNZIGTXEUFMNC-CYBMUJFWSA-N 1 2 321.327 1.062 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@](O)(C3CC3)C2)c(C#N)c1C ZINC000377184137 418706926 /nfs/dbraw/zinc/70/69/26/418706926.db2.gz KXKWUMDOUKOFPO-INIZCTEOSA-N 1 2 303.362 1.553 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@](O)(C3CC3)C2)c(C#N)c1C ZINC000377184137 418706929 /nfs/dbraw/zinc/70/69/29/418706929.db2.gz KXKWUMDOUKOFPO-INIZCTEOSA-N 1 2 303.362 1.553 20 30 DDEDLO C=CCCC[C@H](C)NS(=O)(=O)CCC[NH+]1CCOCC1 ZINC000361821826 418714467 /nfs/dbraw/zinc/71/44/67/418714467.db2.gz XHMPYMOFHUQOMM-AWEZNQCLSA-N 1 2 304.456 1.373 20 30 DDEDLO C=CCc1ccc(OC[C@H](O)C[N@@H+]2CCO[C@H]3C[C@H]32)c(OC)c1 ZINC000368334657 418719245 /nfs/dbraw/zinc/71/92/45/418719245.db2.gz WSSNIKHSQSKHDQ-INMHGKMJSA-N 1 2 319.401 1.637 20 30 DDEDLO C=CCc1ccc(OC[C@H](O)C[N@H+]2CCO[C@H]3C[C@H]32)c(OC)c1 ZINC000368334657 418719247 /nfs/dbraw/zinc/71/92/47/418719247.db2.gz WSSNIKHSQSKHDQ-INMHGKMJSA-N 1 2 319.401 1.637 20 30 DDEDLO N#CC1(c2ccccn2)CC[NH+](Cc2n[nH]c(=O)s2)CC1 ZINC000368498283 418720799 /nfs/dbraw/zinc/72/07/99/418720799.db2.gz GNRRDVOEEJFNOE-UHFFFAOYSA-N 1 2 301.375 1.696 20 30 DDEDLO CCO[C@@H](C)c1noc(CN2CCC[N@H+](CCC#N)CC2)n1 ZINC000363116362 418762259 /nfs/dbraw/zinc/76/22/59/418762259.db2.gz HUFWDYVCJYLZBM-ZDUSSCGKSA-N 1 2 307.398 1.588 20 30 DDEDLO CCO[C@@H](C)c1noc(CN2CCC[N@@H+](CCC#N)CC2)n1 ZINC000363116362 418762263 /nfs/dbraw/zinc/76/22/63/418762263.db2.gz HUFWDYVCJYLZBM-ZDUSSCGKSA-N 1 2 307.398 1.588 20 30 DDEDLO CCO[C@@H](C)c1noc(C[N@@H+]2CCCN(CCC#N)CC2)n1 ZINC000363116362 418762264 /nfs/dbraw/zinc/76/22/64/418762264.db2.gz HUFWDYVCJYLZBM-ZDUSSCGKSA-N 1 2 307.398 1.588 20 30 DDEDLO CCO[C@@H](C)c1noc(C[N@H+]2CCCN(CCC#N)CC2)n1 ZINC000363116362 418762265 /nfs/dbraw/zinc/76/22/65/418762265.db2.gz HUFWDYVCJYLZBM-ZDUSSCGKSA-N 1 2 307.398 1.588 20 30 DDEDLO Cc1ccnc(N2CC[NH+]([C@@H]3CCCCNC3=O)CC2)c1C#N ZINC000363679094 418768350 /nfs/dbraw/zinc/76/83/50/418768350.db2.gz RPDXEZNNBROOAL-OAHLLOKOSA-N 1 2 313.405 1.052 20 30 DDEDLO N#C[C@@H]1CCC[C@H](Nc2cnn(CC[NH+]3CCOCC3)c2)C1 ZINC000373065714 418926413 /nfs/dbraw/zinc/92/64/13/418926413.db2.gz JWPQFQBNCOEJNF-CABCVRRESA-N 1 2 303.410 1.710 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)NCc1ccc(C#N)s1)[NH+]1CCOCC1 ZINC000420915101 419351480 /nfs/dbraw/zinc/35/14/80/419351480.db2.gz KYRQMQQBRXUHFY-NWDGAFQWSA-N 1 2 322.434 1.528 20 30 DDEDLO Cc1cc(NC[C@H](N2CCOCC2)C(F)(F)F)c(C#N)c[nH+]1 ZINC000425252739 228399871 /nfs/dbraw/zinc/39/98/71/228399871.db2.gz IYCWQNKTYBOFSA-ZDUSSCGKSA-N 1 2 314.311 1.359 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@]2(C1)CC(=O)c1ccccc1O2 ZINC000411974552 419535105 /nfs/dbraw/zinc/53/51/05/419535105.db2.gz AMAZVHFQIQWHOV-KRWDZBQOSA-N 1 2 300.358 1.399 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@]2(C1)CC(=O)c1ccccc1O2 ZINC000411974552 419535115 /nfs/dbraw/zinc/53/51/15/419535115.db2.gz AMAZVHFQIQWHOV-KRWDZBQOSA-N 1 2 300.358 1.399 20 30 DDEDLO COC(=O)[C@@]1(C)CCC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000412060094 419604940 /nfs/dbraw/zinc/60/49/40/419604940.db2.gz DWGLPPVKKPXGQJ-HNNXBMFYSA-N 1 2 321.402 1.833 20 30 DDEDLO COC(=O)[C@@]1(C)CCC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000412060094 419604948 /nfs/dbraw/zinc/60/49/48/419604948.db2.gz DWGLPPVKKPXGQJ-HNNXBMFYSA-N 1 2 321.402 1.833 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000437635528 420416239 /nfs/dbraw/zinc/41/62/39/420416239.db2.gz PEVAGRXSSWVLSS-ZBFHGGJFSA-N 1 2 318.421 1.928 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C(=O)OCC ZINC000456608645 420513472 /nfs/dbraw/zinc/51/34/72/420513472.db2.gz NREUTGSAQNUVJN-TZMCWYRMSA-N 1 2 305.378 1.460 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)N1CC[C@@H](Oc2ccc[nH+]c2N(C)C)C1 ZINC000450882456 420551241 /nfs/dbraw/zinc/55/12/41/420551241.db2.gz NTTXXDKCRSFUHT-PBFPGSCMSA-N 1 2 314.389 1.677 20 30 DDEDLO N#CCCN(Cc1ccccn1)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000440964257 420623753 /nfs/dbraw/zinc/62/37/53/420623753.db2.gz XOBARSQKUZBKPD-AWEZNQCLSA-N 1 2 309.373 1.783 20 30 DDEDLO CCOC(=O)CC[C@H](O)C[NH+]1CC(Oc2ccc(C#N)cc2)C1 ZINC000453011990 420700670 /nfs/dbraw/zinc/70/06/70/420700670.db2.gz OROMGIHFGKGJFS-AWEZNQCLSA-N 1 2 318.373 1.325 20 30 DDEDLO COCCO[C@H]1C[C@H](CO)C[N@H+](Cc2ccc(F)cc2C#N)C1 ZINC000447382761 420769908 /nfs/dbraw/zinc/76/99/08/420769908.db2.gz JHDSMDVQDCNMEH-GUYCJALGSA-N 1 2 322.380 1.543 20 30 DDEDLO COCCO[C@H]1C[C@H](CO)C[N@@H+](Cc2ccc(F)cc2C#N)C1 ZINC000447382761 420769911 /nfs/dbraw/zinc/76/99/11/420769911.db2.gz JHDSMDVQDCNMEH-GUYCJALGSA-N 1 2 322.380 1.543 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)CNc1ccc(C#N)cc1 ZINC000447656675 420790539 /nfs/dbraw/zinc/79/05/39/420790539.db2.gz AYHIBKZVFXGLCH-CQSZACIVSA-N 1 2 316.405 1.149 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000448789386 420897755 /nfs/dbraw/zinc/89/77/55/420897755.db2.gz AKRXZZVBLYNRJZ-KDOFPFPSSA-N 1 2 312.417 1.922 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000448647670 420877666 /nfs/dbraw/zinc/87/76/66/420877666.db2.gz JSCRSTXXQMDNED-UWWQBHOKSA-N 1 2 313.401 1.726 20 30 DDEDLO COc1ccc(-n2cc(C[NH+]3CCN(CC#N)CC3)cn2)cc1 ZINC000449018673 420936403 /nfs/dbraw/zinc/93/64/03/420936403.db2.gz OQZYPKRDMLCPFV-UHFFFAOYSA-N 1 2 311.389 1.522 20 30 DDEDLO C[C@H](NC(=O)Nc1cccc(CC#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000455921997 421077929 /nfs/dbraw/zinc/07/79/29/421077929.db2.gz QIBIBTZXQYKTEC-KBPBESRZSA-N 1 2 316.405 1.983 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3nccc(C#N)n3)c[nH+]2)CCO1 ZINC000450252804 421172150 /nfs/dbraw/zinc/17/21/50/421172150.db2.gz AULBINPHAQLWJG-GFCCVEGCSA-N 1 2 310.361 1.580 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000523058397 421231239 /nfs/dbraw/zinc/23/12/39/421231239.db2.gz JBPAQYVBFBDBIU-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000523058397 421231242 /nfs/dbraw/zinc/23/12/42/421231242.db2.gz JBPAQYVBFBDBIU-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO COc1cc(C[N@@H+]2CCN3C(=O)OCC[C@H]3C2)ccc1C#N ZINC000496745903 421309585 /nfs/dbraw/zinc/30/95/85/421309585.db2.gz PSVQKOQAOMFBBT-AWEZNQCLSA-N 1 2 301.346 1.593 20 30 DDEDLO COc1cc(C[N@H+]2CCN3C(=O)OCC[C@H]3C2)ccc1C#N ZINC000496745903 421309587 /nfs/dbraw/zinc/30/95/87/421309587.db2.gz PSVQKOQAOMFBBT-AWEZNQCLSA-N 1 2 301.346 1.593 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](Cc3nnc(C4CC4)o3)C2)nc1 ZINC000562363151 421356370 /nfs/dbraw/zinc/35/63/70/421356370.db2.gz GMUWLLKZVVSVOX-ZDUSSCGKSA-N 1 2 311.345 1.867 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](Cc3nnc(C4CC4)o3)C2)nc1 ZINC000562363151 421356372 /nfs/dbraw/zinc/35/63/72/421356372.db2.gz GMUWLLKZVVSVOX-ZDUSSCGKSA-N 1 2 311.345 1.867 20 30 DDEDLO CC[NH+]1CCN(C(=O)[C@H](C)SCc2ncc(C#N)cn2)CC1 ZINC000515145152 421482210 /nfs/dbraw/zinc/48/22/10/421482210.db2.gz VYANJSGYLVYBRU-LBPRGKRZSA-N 1 2 319.434 1.134 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cn1)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000563350430 421489323 /nfs/dbraw/zinc/48/93/23/421489323.db2.gz NFEFYJQICWNYFN-HUUCEWRRSA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cn1)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000563350430 421489326 /nfs/dbraw/zinc/48/93/26/421489326.db2.gz NFEFYJQICWNYFN-HUUCEWRRSA-N 1 2 307.419 1.741 20 30 DDEDLO N#Cc1ccc(N[C@H](C[NH+]2CCOCC2)c2ccccc2)nc1N ZINC000565220873 421596894 /nfs/dbraw/zinc/59/68/94/421596894.db2.gz GTOKVPPOEBKLOA-MRXNPFEDSA-N 1 2 323.400 2.021 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)C[C@H](CC#N)c2ccccc2)CCO1 ZINC000530278292 421590957 /nfs/dbraw/zinc/59/09/57/421590957.db2.gz QCRHLXYDHCLNKH-RDJZCZTQSA-N 1 2 315.417 1.911 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)C[C@H](CC#N)c2ccccc2)CCO1 ZINC000530278292 421590959 /nfs/dbraw/zinc/59/09/59/421590959.db2.gz QCRHLXYDHCLNKH-RDJZCZTQSA-N 1 2 315.417 1.911 20 30 DDEDLO CC(=O)N[C@H](CC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1cccs1 ZINC000566563496 421607182 /nfs/dbraw/zinc/60/71/82/421607182.db2.gz DQTHPQPBDBBPMI-DOMZBBRYSA-N 1 2 322.434 1.275 20 30 DDEDLO CCNC(=O)c1cccc(C)c1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000567092972 421610987 /nfs/dbraw/zinc/61/09/87/421610987.db2.gz WNNDHAQSSPSRAH-AWEZNQCLSA-N 1 2 319.405 1.330 20 30 DDEDLO CCNC(=O)c1cccc(C)c1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000567092972 421610990 /nfs/dbraw/zinc/61/09/90/421610990.db2.gz WNNDHAQSSPSRAH-AWEZNQCLSA-N 1 2 319.405 1.330 20 30 DDEDLO COc1ccccc1OCCC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000567995686 421616538 /nfs/dbraw/zinc/61/65/38/421616538.db2.gz SCNHIYGYUPWPOO-INIZCTEOSA-N 1 2 305.378 1.424 20 30 DDEDLO CC[C@](C)(CO)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000570292648 421636700 /nfs/dbraw/zinc/63/67/00/421636700.db2.gz UCZZXUUWKWEGQT-GOSISDBHSA-N 1 2 315.417 1.611 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cnc(OC)c(Cl)c2)C1=O ZINC000532205589 421651444 /nfs/dbraw/zinc/65/14/44/421651444.db2.gz PFLPLEPSRZYTFQ-ZDUSSCGKSA-N 1 2 309.797 1.962 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cnc(OC)c(Cl)c2)C1=O ZINC000532205589 421651447 /nfs/dbraw/zinc/65/14/47/421651447.db2.gz PFLPLEPSRZYTFQ-ZDUSSCGKSA-N 1 2 309.797 1.962 20 30 DDEDLO COc1c(N)[nH+]cnc1N1CCC(C#N)(c2ccccn2)CC1 ZINC000565841922 421600547 /nfs/dbraw/zinc/60/05/47/421600547.db2.gz ATMWTVLNHCKALK-UHFFFAOYSA-N 1 2 310.361 1.524 20 30 DDEDLO COc1c(N)nc[nH+]c1N1CCC(C#N)(c2ccccn2)CC1 ZINC000565841922 421600551 /nfs/dbraw/zinc/60/05/51/421600551.db2.gz ATMWTVLNHCKALK-UHFFFAOYSA-N 1 2 310.361 1.524 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCC[C@@]12CCNC2=O)C1(C#N)CCCCC1 ZINC000565958824 421601756 /nfs/dbraw/zinc/60/17/56/421601756.db2.gz DQVGSZMTPUVAJB-KRWDZBQOSA-N 1 2 318.421 1.026 20 30 DDEDLO CN(C(=O)C[N@H+]1CCC[C@@]12CCNC2=O)C1(C#N)CCCCC1 ZINC000565958824 421601760 /nfs/dbraw/zinc/60/17/60/421601760.db2.gz DQVGSZMTPUVAJB-KRWDZBQOSA-N 1 2 318.421 1.026 20 30 DDEDLO Cc1cc(NCC(=O)N[C@H]2CCOc3ccccc32)c(C#N)c[nH+]1 ZINC000519644352 421712966 /nfs/dbraw/zinc/71/29/66/421712966.db2.gz VAADVHMZVWAVES-HNNXBMFYSA-N 1 2 322.368 1.736 20 30 DDEDLO CN(Cc1[nH+]ccn1CC(F)(F)F)C(=O)NCC1(C#N)CC1 ZINC000519680763 421715781 /nfs/dbraw/zinc/71/57/81/421715781.db2.gz OYMGLIKOSISHGX-UHFFFAOYSA-N 1 2 315.299 1.891 20 30 DDEDLO CCn1cc(C[N@H+](C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C)cn1 ZINC000533418150 421673046 /nfs/dbraw/zinc/67/30/46/421673046.db2.gz BKEJIEIIDPLOFM-CZUORRHYSA-N 1 2 305.426 1.778 20 30 DDEDLO CCn1cc(C[N@@H+](C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C)cn1 ZINC000533418150 421673049 /nfs/dbraw/zinc/67/30/49/421673049.db2.gz BKEJIEIIDPLOFM-CZUORRHYSA-N 1 2 305.426 1.778 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(OCC(F)F)nc1 ZINC000571048873 421683572 /nfs/dbraw/zinc/68/35/72/421683572.db2.gz AYJFLYDBHLUEJP-AWEZNQCLSA-N 1 2 312.320 1.299 20 30 DDEDLO CN(CCOCc1ccc(C#N)cc1)C(=O)Cc1c[nH+]cn1C ZINC000519849827 421734425 /nfs/dbraw/zinc/73/44/25/421734425.db2.gz JWKBRNIHIZVPKF-UHFFFAOYSA-N 1 2 312.373 1.509 20 30 DDEDLO COC(=O)c1ccc(C[NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)cc1 ZINC000522371899 421823730 /nfs/dbraw/zinc/82/37/30/421823730.db2.gz GZYQBOUEBDJSSK-MRXNPFEDSA-N 1 2 323.352 1.661 20 30 DDEDLO C[C@@H]1CC[C@H](Cn2cc(C[NH+]3CCOCC3)cc(C#N)c2=O)O1 ZINC000521462490 421789229 /nfs/dbraw/zinc/78/92/29/421789229.db2.gz IYOKUPNOUVUPJY-CZUORRHYSA-N 1 2 317.389 1.120 20 30 DDEDLO Cn1c[nH+]c(CCNS(=O)(=O)Cc2ccc(CC#N)cc2)c1 ZINC000572837983 421871694 /nfs/dbraw/zinc/87/16/94/421871694.db2.gz LZPDOLGVJVXWIJ-UHFFFAOYSA-N 1 2 318.402 1.148 20 30 DDEDLO Cc1nc(N[C@H]2CCCN(Cc3[nH+]ccn3C)C2=O)ccc1C#N ZINC000581996175 422084506 /nfs/dbraw/zinc/08/45/06/422084506.db2.gz WUBQAELQRURACA-AWEZNQCLSA-N 1 2 324.388 1.598 20 30 DDEDLO Cc1nnsc1C[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000574088780 422059758 /nfs/dbraw/zinc/05/97/58/422059758.db2.gz HQPRWGSZECHNDR-UHFFFAOYSA-N 1 2 300.391 1.435 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)N2CCC(CCC#N)CC2)CCO1 ZINC000574913420 422213299 /nfs/dbraw/zinc/21/32/99/422213299.db2.gz OKIVSKGQGFIVRS-UHFFFAOYSA-N 1 2 322.453 1.823 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)N2CCC(CCC#N)CC2)CCO1 ZINC000574913420 422213301 /nfs/dbraw/zinc/21/33/01/422213301.db2.gz OKIVSKGQGFIVRS-UHFFFAOYSA-N 1 2 322.453 1.823 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000628575094 422233762 /nfs/dbraw/zinc/23/37/62/422233762.db2.gz BISMVLRIIZTULC-DOTOQJQBSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000628575094 422233766 /nfs/dbraw/zinc/23/37/66/422233766.db2.gz BISMVLRIIZTULC-DOTOQJQBSA-N 1 2 310.438 1.321 20 30 DDEDLO CC(=O)NCC[N@@H+](C)[C@H](C)C(=O)N(CCC#N)c1ccccc1 ZINC000575294698 422286708 /nfs/dbraw/zinc/28/67/08/422286708.db2.gz XECQYMBZOYSIQE-CQSZACIVSA-N 1 2 316.405 1.390 20 30 DDEDLO CC(=O)NCC[N@H+](C)[C@H](C)C(=O)N(CCC#N)c1ccccc1 ZINC000575294698 422286712 /nfs/dbraw/zinc/28/67/12/422286712.db2.gz XECQYMBZOYSIQE-CQSZACIVSA-N 1 2 316.405 1.390 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000632386329 422297155 /nfs/dbraw/zinc/29/71/55/422297155.db2.gz XRNBVCHHTGWGGX-CQSZACIVSA-N 1 2 302.440 1.079 20 30 DDEDLO Cc1nn(C)c(C)c1C[C@@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000576044280 422363330 /nfs/dbraw/zinc/36/33/30/422363330.db2.gz NVUBUVNORKCBPP-BDJLRTHQSA-N 1 2 305.426 1.176 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCNC(=O)[C@@H]2CC2CCCCC2)C1=O ZINC000576217778 422371640 /nfs/dbraw/zinc/37/16/40/422371640.db2.gz LOABPPDEYOCYHQ-HOTGVXAUSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCNC(=O)[C@@H]2CC2CCCCC2)C1=O ZINC000576217778 422371643 /nfs/dbraw/zinc/37/16/43/422371643.db2.gz LOABPPDEYOCYHQ-HOTGVXAUSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000635766456 422326229 /nfs/dbraw/zinc/32/62/29/422326229.db2.gz NRBNBLNYTVXHFT-GHMZBOCLSA-N 1 2 305.300 1.677 20 30 DDEDLO N#Cc1c(N)n[nH]c1CCCNC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000610027016 422464821 /nfs/dbraw/zinc/46/48/21/422464821.db2.gz WJZQOQZNWUTLHP-UHFFFAOYSA-N 1 2 324.348 1.266 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000645938945 423251388 /nfs/dbraw/zinc/25/13/88/423251388.db2.gz ZVZQJSYUHOGTMU-KBPBESRZSA-N 1 2 315.408 1.825 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000645938945 423251396 /nfs/dbraw/zinc/25/13/96/423251396.db2.gz ZVZQJSYUHOGTMU-KBPBESRZSA-N 1 2 315.408 1.825 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(C)[C@@H](C)CC(C)C)nn1 ZINC000640972646 423307033 /nfs/dbraw/zinc/30/70/33/423307033.db2.gz KYKGQYXMCMVUGG-AWEZNQCLSA-N 1 2 305.426 1.284 20 30 DDEDLO CCNC(=O)C[N@H+](CC)[C@H](C)C(=O)Nc1ccccc1C#N ZINC000008309551 264256198 /nfs/dbraw/zinc/25/61/98/264256198.db2.gz BAOUBAJVJWDHLX-GFCCVEGCSA-N 1 2 302.378 1.343 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)[C@H](C)C(=O)Nc1ccccc1C#N ZINC000008309551 264256199 /nfs/dbraw/zinc/25/61/99/264256199.db2.gz BAOUBAJVJWDHLX-GFCCVEGCSA-N 1 2 302.378 1.343 20 30 DDEDLO C=CCN(C(=O)NCCc1cn2c([nH+]1)CCCC2)[C@@H](C)COC ZINC000663686979 424079956 /nfs/dbraw/zinc/07/99/56/424079956.db2.gz RLHQSKGUEOYJME-AWEZNQCLSA-N 1 2 320.437 1.994 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCc1ccc(N(CC)CC)[nH+]c1 ZINC000645155294 424197273 /nfs/dbraw/zinc/19/72/73/424197273.db2.gz XMZKVYQFVMPDHM-HNNXBMFYSA-N 1 2 318.421 1.501 20 30 DDEDLO C=CCNC(=O)C[NH2+]Cc1c(F)cncc1Br ZINC000657427699 424342867 /nfs/dbraw/zinc/34/28/67/424342867.db2.gz DXLJFRYRQDCZBH-UHFFFAOYSA-N 1 2 302.147 1.375 20 30 DDEDLO CC#CC[C@@H](CO)Nc1nc2c(cnn2C(C)(C)C)c(N)[nH+]1 ZINC000664057129 424366293 /nfs/dbraw/zinc/36/62/93/424366293.db2.gz WRUSNPZUTNKVRG-JTQLQIEISA-N 1 2 302.382 1.350 20 30 DDEDLO C=CCCOCCNC(=O)C(=O)Nc1ccn2cc(C)[nH+]c2c1 ZINC000657759350 424505139 /nfs/dbraw/zinc/50/51/39/424505139.db2.gz PDGFWKUOCJNJET-UHFFFAOYSA-N 1 2 316.361 1.290 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+]C(C)(C)c1nc(C)cc(=O)[nH]1 ZINC000660746704 424762127 /nfs/dbraw/zinc/76/21/27/424762127.db2.gz LYFRSBXUPVHMQQ-UHFFFAOYSA-N 1 2 304.394 1.516 20 30 DDEDLO CCN(CCOC)c1cccc(Cn2c(C)nc(C#N)c2C#N)[nH+]1 ZINC000351666244 266128660 /nfs/dbraw/zinc/12/86/60/266128660.db2.gz ALIMFMJNMYDTHC-UHFFFAOYSA-N 1 2 324.388 1.851 20 30 DDEDLO COC(=O)[C@@H]([NH2+]CCC(=O)Nc1sccc1C#N)C1CC1 ZINC000348189895 266641196 /nfs/dbraw/zinc/64/11/96/266641196.db2.gz QDKZFYUJTZLQAI-LBPRGKRZSA-N 1 2 307.375 1.490 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Cc1c(F)cccc1C#N)[NH+]1CCOCC1 ZINC000352260902 267206531 /nfs/dbraw/zinc/20/65/31/267206531.db2.gz FZFWEWTYIKPLRQ-QWHCGFSZSA-N 1 2 319.380 1.465 20 30 DDEDLO C[C@H]1[C@@H]([NH+]2CCOCC2)CCN1C(=O)c1ccc(C#N)c(O)c1 ZINC000356709190 267250888 /nfs/dbraw/zinc/25/08/88/267250888.db2.gz NQBGXPSFWHRLDW-WFASDCNBSA-N 1 2 315.373 1.199 20 30 DDEDLO CN(Cc1cccc(C#N)c1)C(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000522294188 267317395 /nfs/dbraw/zinc/31/73/95/267317395.db2.gz CDYCNPRAIMAXKD-UHFFFAOYSA-N 1 2 318.340 1.806 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(S(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000378264688 267829971 /nfs/dbraw/zinc/82/99/71/267829971.db2.gz CQKKALXKKQDOSK-ZDUSSCGKSA-N 1 2 316.386 1.470 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@H+]1C[C@H](O)C2(CC2)C1 ZINC000375322569 268099993 /nfs/dbraw/zinc/09/99/93/268099993.db2.gz ZEKCFEFGRXWVKU-ZDUSSCGKSA-N 1 2 305.765 1.607 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1C[C@H](O)C2(CC2)C1 ZINC000375322569 268099995 /nfs/dbraw/zinc/09/99/95/268099995.db2.gz ZEKCFEFGRXWVKU-ZDUSSCGKSA-N 1 2 305.765 1.607 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCOCC23CCCC3)c1 ZINC000367175748 268251847 /nfs/dbraw/zinc/25/18/47/268251847.db2.gz ALNGZHMOCGVVON-UHFFFAOYSA-N 1 2 313.401 1.819 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCOCC23CCCC3)c1 ZINC000367175748 268251849 /nfs/dbraw/zinc/25/18/49/268251849.db2.gz ALNGZHMOCGVVON-UHFFFAOYSA-N 1 2 313.401 1.819 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2ccc(C#N)s2)[C@H](C)C1 ZINC000341459233 271078857 /nfs/dbraw/zinc/07/88/57/271078857.db2.gz CSYPDMSFJILGNQ-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2ccc(C#N)s2)[C@H](C)C1 ZINC000341459233 271078859 /nfs/dbraw/zinc/07/88/59/271078859.db2.gz CSYPDMSFJILGNQ-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)Nc1cnc(C#N)c(Cl)c1 ZINC000455788162 276945396 /nfs/dbraw/zinc/94/53/96/276945396.db2.gz OFFOALNSSWFCJX-UHFFFAOYSA-N 1 2 323.784 1.403 20 30 DDEDLO CC(C)(C#N)c1ccccc1C[N@@H+]1CCSC[C@@H]1C(N)=O ZINC000271593390 278259921 /nfs/dbraw/zinc/25/99/21/278259921.db2.gz SAVMGLGFXUVUJS-CQSZACIVSA-N 1 2 303.431 1.890 20 30 DDEDLO CC(C)(C#N)c1ccccc1C[N@H+]1CCSC[C@@H]1C(N)=O ZINC000271593390 278259922 /nfs/dbraw/zinc/25/99/22/278259922.db2.gz SAVMGLGFXUVUJS-CQSZACIVSA-N 1 2 303.431 1.890 20 30 DDEDLO O=C1NC[C@@H]2C[N@H+](CC#Cc3ccc(C(F)(F)F)cc3)CCN12 ZINC000175899202 280291876 /nfs/dbraw/zinc/29/18/76/280291876.db2.gz JKBKSRIRODELBT-CQSZACIVSA-N 1 2 323.318 1.766 20 30 DDEDLO O=C1NC[C@@H]2C[N@@H+](CC#Cc3ccc(C(F)(F)F)cc3)CCN12 ZINC000175899202 280291878 /nfs/dbraw/zinc/29/18/78/280291878.db2.gz JKBKSRIRODELBT-CQSZACIVSA-N 1 2 323.318 1.766 20 30 DDEDLO Cc1cc(N2CC[NH+](Cc3ccc(C#N)o3)CC2)n2ncnc2n1 ZINC000133916471 281519758 /nfs/dbraw/zinc/51/97/58/281519758.db2.gz IXCYFDPSTGFQAP-UHFFFAOYSA-N 1 2 323.360 1.220 20 30 DDEDLO Cn1ccc(N2CCC[C@@H]([NH+]3CCC(F)(C#N)CC3)C2=O)n1 ZINC000352484683 294346069 /nfs/dbraw/zinc/34/60/69/294346069.db2.gz SDIXXXSUNMMICD-GFCCVEGCSA-N 1 2 305.357 1.243 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cccnc3)CC2)c1[N+](=O)[O-] ZINC000268533432 294979142 /nfs/dbraw/zinc/97/91/42/294979142.db2.gz XSZUHSBHXDXXLI-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO N#Cc1sc(N2CCC[C@@H]2C[NH+]2CCOCC2)nc1Cl ZINC000278713978 295004902 /nfs/dbraw/zinc/00/49/02/295004902.db2.gz OGAHNARRFLSJRW-SNVBAGLBSA-N 1 2 312.826 1.969 20 30 DDEDLO C[C@H](C#N)CN(C)S(=O)(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000281755592 297952999 /nfs/dbraw/zinc/95/29/99/297952999.db2.gz JKMGSABXGRKUPM-VXGBXAGGSA-N 1 2 311.411 1.273 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]C[C@@H](O)COc2ccc(C#N)cc2)o1 ZINC000544510763 307716383 /nfs/dbraw/zinc/71/63/83/307716383.db2.gz YOTBBRBKLNRFGG-ZWNOBZJWSA-N 1 2 302.334 1.340 20 30 DDEDLO C[C@]1(F)C[NH2+]CCN(S(=O)(=O)c2ccc(C#N)cc2F)C1 ZINC000574157305 308263362 /nfs/dbraw/zinc/26/33/62/308263362.db2.gz WMLUUEFXVZVYQG-ZDUSSCGKSA-N 1 2 315.345 1.019 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000518216888 331997428 /nfs/dbraw/zinc/99/74/28/331997428.db2.gz NPICTOFOLJSUTL-UHFFFAOYSA-N 1 2 318.421 1.626 20 30 DDEDLO Cn1nc(-c2ccccc2)cc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000584294863 332221530 /nfs/dbraw/zinc/22/15/30/332221530.db2.gz IIEVIDQKNVURGS-KRWDZBQOSA-N 1 2 311.389 1.661 20 30 DDEDLO Cc1cc(N)nc(SCC(=O)NC2(C#N)CCCCC2)[nH+]1 ZINC000007049171 333002201 /nfs/dbraw/zinc/00/22/01/333002201.db2.gz LNZWDMTZOWHZTA-UHFFFAOYSA-N 1 2 305.407 1.802 20 30 DDEDLO N#CCC1(CS(=O)(=O)N2CCC(n3cc[nH+]c3)CC2)CC1 ZINC000580257418 333042403 /nfs/dbraw/zinc/04/24/03/333042403.db2.gz LDSHZPCNXULVPX-UHFFFAOYSA-N 1 2 308.407 1.544 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC=C(c2cccnc2)C1 ZINC000289433579 334165265 /nfs/dbraw/zinc/16/52/65/334165265.db2.gz VXPMPUPVNHPRGT-UHFFFAOYSA-N 1 2 323.400 1.827 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCC=C(c2cccnc2)C1 ZINC000289433579 334165267 /nfs/dbraw/zinc/16/52/67/334165267.db2.gz VXPMPUPVNHPRGT-UHFFFAOYSA-N 1 2 323.400 1.827 20 30 DDEDLO CC(=O)N1CCc2cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)ccc21 ZINC000583669088 336286365 /nfs/dbraw/zinc/28/63/65/336286365.db2.gz CPQGPPWSCIKCBD-KRWDZBQOSA-N 1 2 314.389 1.169 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC(CCC#N)CC1 ZINC000567106698 337448579 /nfs/dbraw/zinc/44/85/79/337448579.db2.gz YZKIVOCXIQDLFH-AWEZNQCLSA-N 1 2 308.426 1.432 20 30 DDEDLO CC#CCNC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1OC ZINC000136049424 337453527 /nfs/dbraw/zinc/45/35/27/337453527.db2.gz JQZCYPROVJPMLS-HNNXBMFYSA-N 1 2 312.373 1.841 20 30 DDEDLO C[N@@H+]1CCN(C(=O)CCCC#N)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000496681748 340010472 /nfs/dbraw/zinc/01/04/72/340010472.db2.gz DEQOJKVNGXWGHL-CYBMUJFWSA-N 1 2 324.425 1.348 20 30 DDEDLO C[N@H+]1CCN(C(=O)CCCC#N)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000496681748 340010473 /nfs/dbraw/zinc/01/04/73/340010473.db2.gz DEQOJKVNGXWGHL-CYBMUJFWSA-N 1 2 324.425 1.348 20 30 DDEDLO N#CCc1cc[nH+]c(NCc2cn(Cc3cccnc3)nn2)c1 ZINC000545928659 341146799 /nfs/dbraw/zinc/14/67/99/341146799.db2.gz RZVOOHJAXVQICV-UHFFFAOYSA-N 1 2 305.345 1.795 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@H](Oc2ccccc2Cl)C1 ZINC000133165314 341159411 /nfs/dbraw/zinc/15/94/11/341159411.db2.gz DIYLTDMDMYUNAP-LBPRGKRZSA-N 1 2 307.781 1.823 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@H](Oc2ccccc2Cl)C1 ZINC000133165314 341159412 /nfs/dbraw/zinc/15/94/12/341159412.db2.gz DIYLTDMDMYUNAP-LBPRGKRZSA-N 1 2 307.781 1.823 20 30 DDEDLO CCCCc1nc(CN(CCC#N)CC[NH+]2CCOCC2)no1 ZINC000081211962 341282174 /nfs/dbraw/zinc/28/21/74/341282174.db2.gz JBQUFWCYOXRHPL-UHFFFAOYSA-N 1 2 321.425 1.460 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](CC)C[C@@H](O)C(F)(F)F ZINC000249424134 341397503 /nfs/dbraw/zinc/39/75/03/341397503.db2.gz FBLFAGXXHKYYPK-GHMZBOCLSA-N 1 2 309.332 1.240 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CC)C[C@@H](O)C(F)(F)F ZINC000249424134 341397504 /nfs/dbraw/zinc/39/75/04/341397504.db2.gz FBLFAGXXHKYYPK-GHMZBOCLSA-N 1 2 309.332 1.240 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCc1nc(C2CCCC2)no1 ZINC000566977707 341573230 /nfs/dbraw/zinc/57/32/30/341573230.db2.gz YKQUXHRBHGIFNW-MRXNPFEDSA-N 1 2 319.409 1.620 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCC(c3[nH]cc[nH+]3)CC2)CCC1 ZINC000575908995 341813984 /nfs/dbraw/zinc/81/39/84/341813984.db2.gz VPSLCJSGIFPRKO-UHFFFAOYSA-N 1 2 308.407 1.613 20 30 DDEDLO CCC(=O)c1ccc(OCC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000576759080 341861864 /nfs/dbraw/zinc/86/18/64/341861864.db2.gz RHLNTDLAWQBTCJ-KRWDZBQOSA-N 1 2 317.389 1.618 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)COc1ccc2c(c1)CCCC2 ZINC000577378137 341906915 /nfs/dbraw/zinc/90/69/15/341906915.db2.gz LNZVGKLPFGFYLM-SFHVURJKSA-N 1 2 315.417 1.904 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H](C)C(=O)NCc2ccccc2)nn1 ZINC000657327305 484345618 /nfs/dbraw/zinc/34/56/18/484345618.db2.gz IRCMCRIHKBTKNC-AWEZNQCLSA-N 1 2 313.405 1.649 20 30 DDEDLO C=CCOCCCNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000660953899 484827660 /nfs/dbraw/zinc/82/76/60/484827660.db2.gz ZOSJZSROTUBLLS-AWEZNQCLSA-N 1 2 304.394 1.552 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000665617362 484973243 /nfs/dbraw/zinc/97/32/43/484973243.db2.gz HEFSFIITFGUWAL-AWEZNQCLSA-N 1 2 318.421 1.666 20 30 DDEDLO C=C[C@@](C)(O)C(=O)Nc1cccc([C@H](C)[NH+]2CCOCC2)c1 ZINC000668270023 485066679 /nfs/dbraw/zinc/06/66/79/485066679.db2.gz XWEDBXIMXGUGLX-SUMWQHHRSA-N 1 2 304.390 1.955 20 30 DDEDLO C=C[C@](C)(O)C(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000666434925 485216532 /nfs/dbraw/zinc/21/65/32/485216532.db2.gz GUQWSEUKRAHTOJ-AHIWAGSCSA-N 1 2 319.405 1.248 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCc1[nH+]ccn1CC(C)C ZINC000669146165 485402535 /nfs/dbraw/zinc/40/25/35/485402535.db2.gz QJGHXFRZIXQOGL-AWEZNQCLSA-N 1 2 306.410 1.626 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)N(C)Cc2ccccc2)CC1 ZINC000677479272 486477744 /nfs/dbraw/zinc/47/77/44/486477744.db2.gz LCUKZDNVPKGNIX-UHFFFAOYSA-N 1 2 315.417 1.506 20 30 DDEDLO COC(=O)C[C@H]1CCC[N@@H+]1Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459364325 533723170 /nfs/dbraw/zinc/72/31/70/533723170.db2.gz KKHDINMOIZJAIU-GFCCVEGCSA-N 1 2 303.318 1.994 20 30 DDEDLO COC(=O)C[C@H]1CCC[N@H+]1Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459364325 533723173 /nfs/dbraw/zinc/72/31/73/533723173.db2.gz KKHDINMOIZJAIU-GFCCVEGCSA-N 1 2 303.318 1.994 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cccc(CC#N)c1 ZINC000455909951 534062466 /nfs/dbraw/zinc/06/24/66/534062466.db2.gz CGCLIRVSJPOENK-CYBMUJFWSA-N 1 2 302.378 1.595 20 30 DDEDLO C[C@H](CNC(=O)NCC#Cc1cccc(F)c1)[NH+]1CCOCC1 ZINC000338006906 534097556 /nfs/dbraw/zinc/09/75/56/534097556.db2.gz YYBXAEDEMBZWNJ-CQSZACIVSA-N 1 2 319.380 1.197 20 30 DDEDLO N#CCc1ccc(C[N@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000342757034 534716816 /nfs/dbraw/zinc/71/68/16/534716816.db2.gz VWPGANQMBBNFGL-MRXNPFEDSA-N 1 2 304.415 1.904 20 30 DDEDLO N#CCc1ccc(C[N@@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000342757034 534716827 /nfs/dbraw/zinc/71/68/27/534716827.db2.gz VWPGANQMBBNFGL-MRXNPFEDSA-N 1 2 304.415 1.904 20 30 DDEDLO Cc1nc(N2CCN(C(=O)N[C@H]3CCC[C@H]3C#N)CC2)cc[nH+]1 ZINC000332129963 534754744 /nfs/dbraw/zinc/75/47/44/534754744.db2.gz JQZSBLRUKVPXNU-KBPBESRZSA-N 1 2 314.393 1.309 20 30 DDEDLO C=CC[N@H+](CCC(=O)N1CCCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000330648073 526271569 /nfs/dbraw/zinc/27/15/69/526271569.db2.gz GADVHYVCPULFAT-AWEZNQCLSA-N 1 2 314.451 1.064 20 30 DDEDLO C=CC[N@@H+](CCC(=O)N1CCCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000330648073 526271573 /nfs/dbraw/zinc/27/15/73/526271573.db2.gz GADVHYVCPULFAT-AWEZNQCLSA-N 1 2 314.451 1.064 20 30 DDEDLO C=CCN(CCOC)C(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000346667816 526295109 /nfs/dbraw/zinc/29/51/09/526295109.db2.gz GUXKIFOVVKTYTI-HUUCEWRRSA-N 1 2 303.406 1.815 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2cccc(F)c2)C1 ZINC000330956653 526403337 /nfs/dbraw/zinc/40/33/37/526403337.db2.gz VBFOUECOTHZAIU-ZWNOBZJWSA-N 1 2 308.357 1.360 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2cccc(F)c2)C1 ZINC000330956653 526403341 /nfs/dbraw/zinc/40/33/41/526403341.db2.gz VBFOUECOTHZAIU-ZWNOBZJWSA-N 1 2 308.357 1.360 20 30 DDEDLO C=CCN1CC[C@@H](N(C)c2[nH+]c3ccc(F)cc3n2C)C1=O ZINC000431408766 526474087 /nfs/dbraw/zinc/47/40/87/526474087.db2.gz WTTYHFNVWPRONQ-CYBMUJFWSA-N 1 2 302.353 1.936 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCO[C@@H](COc3ccccc3)C2)C1=O ZINC000337205681 526509776 /nfs/dbraw/zinc/50/97/76/526509776.db2.gz LBCVEFIPSIVOMI-SJORKVTESA-N 1 2 316.401 1.553 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCO[C@@H](COc3ccccc3)C2)C1=O ZINC000337205681 526509780 /nfs/dbraw/zinc/50/97/80/526509780.db2.gz LBCVEFIPSIVOMI-SJORKVTESA-N 1 2 316.401 1.553 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494309733 526542218 /nfs/dbraw/zinc/54/22/18/526542218.db2.gz CRYKUDUOTVCNIW-UONOGXRCSA-N 1 2 309.410 1.326 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494309733 526542220 /nfs/dbraw/zinc/54/22/20/526542220.db2.gz CRYKUDUOTVCNIW-UONOGXRCSA-N 1 2 309.410 1.326 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[S@](=O)C[C@H](C)C1 ZINC000369347653 526543083 /nfs/dbraw/zinc/54/30/83/526543083.db2.gz YDSFNAZORRLDSD-CKNLXJGOSA-N 1 2 300.468 1.500 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[S@](=O)C[C@H](C)C1 ZINC000369347653 526543087 /nfs/dbraw/zinc/54/30/87/526543087.db2.gz YDSFNAZORRLDSD-CKNLXJGOSA-N 1 2 300.468 1.500 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH+]1CCC(OC)(C(F)(F)F)CC1 ZINC000419309992 526588295 /nfs/dbraw/zinc/58/82/95/526588295.db2.gz BXXKWKJXWLGYBE-UHFFFAOYSA-N 1 2 323.315 1.042 20 30 DDEDLO CC(C)(C)[C@@H](O)CNC(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000330809611 526640117 /nfs/dbraw/zinc/64/01/17/526640117.db2.gz LEPKLEOGKOTVQA-LBPRGKRZSA-N 1 2 301.431 1.008 20 30 DDEDLO CC(C)(C)[C@@H](O)CNC(=O)NCC[N@H+]1CCOCC1(C)C ZINC000330809611 526640125 /nfs/dbraw/zinc/64/01/25/526640125.db2.gz LEPKLEOGKOTVQA-LBPRGKRZSA-N 1 2 301.431 1.008 20 30 DDEDLO C#CC[N@H+](Cc1ccc2c(c1)CCC2)[C@H]1CCS(=O)(=O)C1 ZINC000490865775 526908179 /nfs/dbraw/zinc/90/81/79/526908179.db2.gz HIDIOXMDHSLIGB-KRWDZBQOSA-N 1 2 303.427 1.798 20 30 DDEDLO C#CC[N@@H+](Cc1ccc2c(c1)CCC2)[C@H]1CCS(=O)(=O)C1 ZINC000490865775 526908188 /nfs/dbraw/zinc/90/81/88/526908188.db2.gz HIDIOXMDHSLIGB-KRWDZBQOSA-N 1 2 303.427 1.798 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)C(=O)Nc1c[nH+]ccc1OC ZINC000491770269 526908446 /nfs/dbraw/zinc/90/84/46/526908446.db2.gz ODKFXHKDHQAQTF-UHFFFAOYSA-N 1 2 323.352 1.691 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(C)c(C(C)=O)c2)CC1 ZINC000491750668 526951263 /nfs/dbraw/zinc/95/12/63/526951263.db2.gz VJRKCPNQXXNMMV-UHFFFAOYSA-N 1 2 313.401 1.387 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC[C@H](C)Cn1cc[nH+]c1 ZINC000342100234 526963784 /nfs/dbraw/zinc/96/37/84/526963784.db2.gz VBEXTCNXJTUQNX-ZDUSSCGKSA-N 1 2 300.362 1.909 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2noc(-c3ccccc3)n2)CC1 ZINC000491099857 526972211 /nfs/dbraw/zinc/97/22/11/526972211.db2.gz DFACSLHMNAKSPK-UHFFFAOYSA-N 1 2 324.384 1.698 20 30 DDEDLO CC(=O)c1ccc(C#N)cc1N1C[C@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000302104525 527231122 /nfs/dbraw/zinc/23/11/22/527231122.db2.gz MVAXRAQPTYKEQQ-SCLBCKFNSA-N 1 2 313.401 1.918 20 30 DDEDLO CC(=O)c1ccc(F)c(F)c1OC[C@H](O)C[N@H+](C)CCC#N ZINC000414121295 527285423 /nfs/dbraw/zinc/28/54/23/527285423.db2.gz GJICIRUAYVLBIP-LLVKDONJSA-N 1 2 312.316 1.753 20 30 DDEDLO CC(=O)c1ccc(F)c(F)c1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000414121295 527285432 /nfs/dbraw/zinc/28/54/32/527285432.db2.gz GJICIRUAYVLBIP-LLVKDONJSA-N 1 2 312.316 1.753 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1ccc(OCC)cc1[N+](=O)[O-] ZINC000491371674 527330828 /nfs/dbraw/zinc/33/08/28/527330828.db2.gz GGKZRZZDBRIWCP-NSHDSACASA-N 1 2 305.334 1.886 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1ccc(OCC)cc1[N+](=O)[O-] ZINC000491371674 527330830 /nfs/dbraw/zinc/33/08/30/527330830.db2.gz GGKZRZZDBRIWCP-NSHDSACASA-N 1 2 305.334 1.886 20 30 DDEDLO C=C[C@@H](C)NC(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000330890285 527395211 /nfs/dbraw/zinc/39/52/11/527395211.db2.gz ORJYCOBUQINFKT-GFCCVEGCSA-N 1 2 317.393 1.370 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000457786347 527427971 /nfs/dbraw/zinc/42/79/71/527427971.db2.gz MKCZMUSYMHFSQU-HNNXBMFYSA-N 1 2 318.421 1.677 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@H](O)COc1ccc2ccccc2c1 ZINC000491759865 527428970 /nfs/dbraw/zinc/42/89/70/527428970.db2.gz OEFLWWIWFLKGAB-ROUUACIJSA-N 1 2 311.381 1.914 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@H](O)COc1ccc2ccccc2c1 ZINC000491759865 527428978 /nfs/dbraw/zinc/42/89/78/527428978.db2.gz OEFLWWIWFLKGAB-ROUUACIJSA-N 1 2 311.381 1.914 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000456768840 527432796 /nfs/dbraw/zinc/43/27/96/527432796.db2.gz OVTQKIWJJAZCIS-QLFBSQMISA-N 1 2 303.406 1.597 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000413316886 527473475 /nfs/dbraw/zinc/47/34/75/527473475.db2.gz LVFGEFPVLWNBJF-MGPQQGTHSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000413316886 527473480 /nfs/dbraw/zinc/47/34/80/527473480.db2.gz LVFGEFPVLWNBJF-MGPQQGTHSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000333636893 527485074 /nfs/dbraw/zinc/48/50/74/527485074.db2.gz BIJWJALVBSURRH-KGLIPLIRSA-N 1 2 302.378 1.025 20 30 DDEDLO CC(C)[C@@H](C#N)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000345642740 528349017 /nfs/dbraw/zinc/34/90/17/528349017.db2.gz VHVHFRHJEBBELD-CQSZACIVSA-N 1 2 318.402 1.758 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)N[C@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000451945508 528717479 /nfs/dbraw/zinc/71/74/79/528717479.db2.gz ISGGSSVYXGQKSW-UTUOFQBUSA-N 1 2 311.411 1.205 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)Nc2nnc(-c3ccco3)o2)CC1 ZINC000356460315 528841170 /nfs/dbraw/zinc/84/11/70/528841170.db2.gz RTWRBHMWWRJSRC-UHFFFAOYSA-N 1 2 315.333 1.894 20 30 DDEDLO CCN1CCN(C(=O)NCc2cc(C)no2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000329949476 529107510 /nfs/dbraw/zinc/10/75/10/529107510.db2.gz HKDKURKZEAMCDH-CYBMUJFWSA-N 1 2 318.381 1.499 20 30 DDEDLO CCN1CCN(C(=O)c2cc(C#N)cs2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000433105340 529133823 /nfs/dbraw/zinc/13/38/23/529133823.db2.gz OFSZPXWMUAOZTK-GFCCVEGCSA-N 1 2 315.402 1.862 20 30 DDEDLO CCN1CCN(C(=O)c2cc(C#N)cs2)C[C@H]1c1[nH]cc[nH+]1 ZINC000433105343 529133891 /nfs/dbraw/zinc/13/38/91/529133891.db2.gz OFSZPXWMUAOZTK-LBPRGKRZSA-N 1 2 315.402 1.862 20 30 DDEDLO CC[N@@H+](Cc1cc(-c2nn[nH]n2)ccc1OC)C[C@H](C)C#N ZINC000825428457 607523029 /nfs/dbraw/zinc/52/30/29/607523029.db2.gz NPDYAAFJOOHQOQ-LLVKDONJSA-N 1 2 300.366 1.857 20 30 DDEDLO CC[N@H+](Cc1cc(-c2nn[nH]n2)ccc1OC)C[C@H](C)C#N ZINC000825428457 607523030 /nfs/dbraw/zinc/52/30/30/607523030.db2.gz NPDYAAFJOOHQOQ-LLVKDONJSA-N 1 2 300.366 1.857 20 30 DDEDLO C#CCOCCC(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC000122590144 696723537 /nfs/dbraw/zinc/72/35/37/696723537.db2.gz WFGQWBJBIDSFRQ-UHFFFAOYSA-N 1 2 302.374 1.497 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](CC)SC)C2)C1 ZINC000972347688 695223438 /nfs/dbraw/zinc/22/34/38/695223438.db2.gz WVLKVAOVLRMHQE-HOCLYGCPSA-N 1 2 310.463 1.455 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](CC)SC)C2)C1 ZINC000972347688 695223439 /nfs/dbraw/zinc/22/34/39/695223439.db2.gz WVLKVAOVLRMHQE-HOCLYGCPSA-N 1 2 310.463 1.455 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)cs3)C2)C1 ZINC000972373158 695233190 /nfs/dbraw/zinc/23/31/90/695233190.db2.gz IPEMFUJVICQXHI-KRWDZBQOSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)cs3)C2)C1 ZINC000972373158 695233192 /nfs/dbraw/zinc/23/31/92/695233192.db2.gz IPEMFUJVICQXHI-KRWDZBQOSA-N 1 2 318.442 1.997 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3OCC[C@H]3C(C)C)C2)C1 ZINC000972387588 695237633 /nfs/dbraw/zinc/23/76/33/695237633.db2.gz SGMWLXUVRWPUPK-XYJFISCASA-N 1 2 322.449 1.537 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3OCC[C@H]3C(C)C)C2)C1 ZINC000972387588 695237634 /nfs/dbraw/zinc/23/76/34/695237634.db2.gz SGMWLXUVRWPUPK-XYJFISCASA-N 1 2 322.449 1.537 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)C2)C1 ZINC000972461403 695256618 /nfs/dbraw/zinc/25/66/18/695256618.db2.gz RPDTVXLDWFEJTH-IIDMSEBBSA-N 1 2 304.434 1.605 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)C2)C1 ZINC000972461403 695256619 /nfs/dbraw/zinc/25/66/19/695256619.db2.gz RPDTVXLDWFEJTH-IIDMSEBBSA-N 1 2 304.434 1.605 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C3CCC3)C2)C1 ZINC000972483861 695261013 /nfs/dbraw/zinc/26/10/13/695261013.db2.gz KLBZNWLHOMFHIZ-SFHVURJKSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C3CCC3)C2)C1 ZINC000972483861 695261014 /nfs/dbraw/zinc/26/10/14/695261014.db2.gz KLBZNWLHOMFHIZ-SFHVURJKSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CC4(CCC4)C3)C2)C1 ZINC000972504907 695267107 /nfs/dbraw/zinc/26/71/07/695267107.db2.gz QWFUXVFHFMIHOB-LJQANCHMSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CC4(CCC4)C3)C2)C1 ZINC000972504907 695267108 /nfs/dbraw/zinc/26/71/08/695267108.db2.gz QWFUXVFHFMIHOB-LJQANCHMSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc4n[nH]cc4c3)C2)C1 ZINC000972525146 695272617 /nfs/dbraw/zinc/27/26/17/695272617.db2.gz YUECIKUKWNJTGX-SFHVURJKSA-N 1 2 324.384 1.113 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc4n[nH]cc4c3)C2)C1 ZINC000972525146 695272627 /nfs/dbraw/zinc/27/26/27/695272627.db2.gz YUECIKUKWNJTGX-SFHVURJKSA-N 1 2 324.384 1.113 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C)CC(F)(F)C3)C2)C1 ZINC000972635039 695305483 /nfs/dbraw/zinc/30/54/83/695305483.db2.gz OOSMPYDPDMMCEI-OAHLLOKOSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C)CC(F)(F)C3)C2)C1 ZINC000972635039 695305484 /nfs/dbraw/zinc/30/54/84/695305484.db2.gz OOSMPYDPDMMCEI-OAHLLOKOSA-N 1 2 312.360 1.358 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(Cl)cn3)C2)C1 ZINC000972647756 695308501 /nfs/dbraw/zinc/30/85/01/695308501.db2.gz SXFGUVZXUSVAGL-INIZCTEOSA-N 1 2 321.808 1.838 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(Cl)cn3)C2)C1 ZINC000972647756 695308504 /nfs/dbraw/zinc/30/85/04/695308504.db2.gz SXFGUVZXUSVAGL-INIZCTEOSA-N 1 2 321.808 1.838 20 30 DDEDLO N#Cc1cccc(NC(=O)CO[NH+]=C(N)c2ccc(F)cc2)c1 ZINC000029464312 696110613 /nfs/dbraw/zinc/11/06/13/696110613.db2.gz VJRDLJVUHMWMSA-UHFFFAOYSA-N 1 2 312.304 1.973 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@]2(C)CCOC2)C(C)(C)C1 ZINC000977403652 696146463 /nfs/dbraw/zinc/14/64/63/696146463.db2.gz OIYHSDDDXYJICM-IUODEOHRSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@]2(C)CCOC2)C(C)(C)C1 ZINC000977403652 696146465 /nfs/dbraw/zinc/14/64/65/696146465.db2.gz OIYHSDDDXYJICM-IUODEOHRSA-N 1 2 300.830 1.992 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)N2C[C@H](C)C[C@H](C)C2)cc1 ZINC000054230075 696274103 /nfs/dbraw/zinc/27/41/03/696274103.db2.gz ZBYPZYZQNQJFHO-BETUJISGSA-N 1 2 319.405 1.837 20 30 DDEDLO C[C@H](O[NH+]=C(N)Cc1ccccc1)C(=O)NCC(F)(F)F ZINC000072001934 696392942 /nfs/dbraw/zinc/39/29/42/696392942.db2.gz PGTXUCOSLZRLIW-VIFPVBQESA-N 1 2 303.284 1.585 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000747669200 700081019 /nfs/dbraw/zinc/08/10/19/700081019.db2.gz GRVNYKXFNRXXKL-JTQLQIEISA-N 1 2 311.245 1.156 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000747669200 700081020 /nfs/dbraw/zinc/08/10/20/700081020.db2.gz GRVNYKXFNRXXKL-JTQLQIEISA-N 1 2 311.245 1.156 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)CCc2cncn2C)C1 ZINC000980294563 696732357 /nfs/dbraw/zinc/73/23/57/696732357.db2.gz FGFZXJLUHNCOPD-CQSZACIVSA-N 1 2 310.829 1.638 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)CCc2cncn2C)C1 ZINC000980294563 696732359 /nfs/dbraw/zinc/73/23/59/696732359.db2.gz FGFZXJLUHNCOPD-CQSZACIVSA-N 1 2 310.829 1.638 20 30 DDEDLO C#CCNC(=O)N1CC[NH+](CCOc2ccc(Cl)cc2)CC1 ZINC000135838464 696852039 /nfs/dbraw/zinc/85/20/39/696852039.db2.gz AREGBKSZQTVQME-UHFFFAOYSA-N 1 2 321.808 1.679 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)[C@@H]2CCOC2)CC1 ZINC000980801485 696894290 /nfs/dbraw/zinc/89/42/90/696894290.db2.gz GWHNQRTVNLPSAI-QGZVFWFLSA-N 1 2 313.401 1.629 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)[C@@H]2CCOC2)CC1 ZINC000980801485 696894293 /nfs/dbraw/zinc/89/42/93/696894293.db2.gz GWHNQRTVNLPSAI-QGZVFWFLSA-N 1 2 313.401 1.629 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cc2ccc(OC)cn2)CC1 ZINC000981819951 696929879 /nfs/dbraw/zinc/92/98/79/696929879.db2.gz FKGYLWGNMYFEJA-UHFFFAOYSA-N 1 2 323.824 1.920 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cc2ccc(OC)cn2)CC1 ZINC000981819951 696929881 /nfs/dbraw/zinc/92/98/81/696929881.db2.gz FKGYLWGNMYFEJA-UHFFFAOYSA-N 1 2 323.824 1.920 20 30 DDEDLO Cc1oncc1C[N@@H+]1CCCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000980917642 696943793 /nfs/dbraw/zinc/94/37/93/696943793.db2.gz UKQUQSVSSAKUBT-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO Cc1oncc1C[N@H+]1CCCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000980917642 696943796 /nfs/dbraw/zinc/94/37/96/696943796.db2.gz UKQUQSVSSAKUBT-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@@H+](CC(=O)N(C)C)CC2)CC1 ZINC000981884730 696955072 /nfs/dbraw/zinc/95/50/72/696955072.db2.gz HKIUPENULJJTAD-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@H+](CC(=O)N(C)C)CC2)CC1 ZINC000981884730 696955073 /nfs/dbraw/zinc/95/50/73/696955073.db2.gz HKIUPENULJJTAD-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@@H+](C/C=C/Cl)CC2)c1 ZINC000981925622 696971497 /nfs/dbraw/zinc/97/14/97/696971497.db2.gz KAWXYNOBZGVGKS-HWKANZROSA-N 1 2 303.793 1.963 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@H+](C/C=C/Cl)CC2)c1 ZINC000981925622 696971498 /nfs/dbraw/zinc/97/14/98/696971498.db2.gz KAWXYNOBZGVGKS-HWKANZROSA-N 1 2 303.793 1.963 20 30 DDEDLO COCCc1noc(CO[NH+]=C(N)c2ccc(F)c(C)c2)n1 ZINC000174124797 697384848 /nfs/dbraw/zinc/38/48/48/697384848.db2.gz ASAIDZVLGAEKSL-UHFFFAOYSA-N 1 2 308.313 1.543 20 30 DDEDLO CN(CC(F)(F)F)C(=O)CO[NH+]=C(N)Cc1ccccc1 ZINC000177475535 697412873 /nfs/dbraw/zinc/41/28/73/697412873.db2.gz WZBFSJIGFJJTBN-UHFFFAOYSA-N 1 2 303.284 1.539 20 30 DDEDLO C[C@@H]1[C@H]([NH2+]Cc2cnsn2)CCN1C(=O)c1ccc(C#N)[nH]1 ZINC000986164847 697713129 /nfs/dbraw/zinc/71/31/29/697713129.db2.gz BPLWQMIICHNFEU-BXKDBHETSA-N 1 2 316.390 1.131 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)[C@H]2C)n1 ZINC000986251857 697753395 /nfs/dbraw/zinc/75/33/95/697753395.db2.gz AYPPNVMFPLAXRA-JSGCOSHPSA-N 1 2 316.405 1.685 20 30 DDEDLO C=CCc1cc(C[N@H+]2CC(=O)N(C)[C@@H](C)C2)c(O)c(OC)c1 ZINC000799955398 700177007 /nfs/dbraw/zinc/17/70/07/700177007.db2.gz JPYAOOZWSQHIKI-LBPRGKRZSA-N 1 2 304.390 1.792 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CC(=O)N(C)[C@@H](C)C2)c(O)c(OC)c1 ZINC000799955398 700177009 /nfs/dbraw/zinc/17/70/09/700177009.db2.gz JPYAOOZWSQHIKI-LBPRGKRZSA-N 1 2 304.390 1.792 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[NH+]1CCC(C(=O)NCCO)CC1 ZINC000775583356 698011795 /nfs/dbraw/zinc/01/17/95/698011795.db2.gz UELICZPQKODWJX-UHFFFAOYSA-N 1 2 321.808 1.532 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(C)n([C@H](C)C3CC3)n2)CC1 ZINC000777377107 698183997 /nfs/dbraw/zinc/18/39/97/698183997.db2.gz BPAQJHOLNWWKHY-OAHLLOKOSA-N 1 2 314.433 1.944 20 30 DDEDLO N#CCOc1ccc(CC(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000779094838 698395429 /nfs/dbraw/zinc/39/54/29/698395429.db2.gz FSBVAKCLYVQMPG-CQSZACIVSA-N 1 2 310.357 1.459 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H]2C[C@H](C)N(C(=O)C#CC3CC3)C2)n1 ZINC000988827570 698478256 /nfs/dbraw/zinc/47/82/56/698478256.db2.gz SNECRKYIILYQIX-JSGCOSHPSA-N 1 2 316.405 1.685 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=Cc2cnn(Cc3ccc(F)cc3)c2)N1 ZINC000780243446 698500039 /nfs/dbraw/zinc/50/00/39/698500039.db2.gz UDZAVEYTJSZMJO-LLVKDONJSA-N 1 2 300.341 1.342 20 30 DDEDLO CC(C)N1CC[N@H+](CCCOc2ccc(C#N)cc2)CC1=O ZINC000780371591 698512754 /nfs/dbraw/zinc/51/27/54/698512754.db2.gz AWJOZZARKMZDEO-UHFFFAOYSA-N 1 2 301.390 1.880 20 30 DDEDLO CC(C)N1CC[N@@H+](CCCOc2ccc(C#N)cc2)CC1=O ZINC000780371591 698512755 /nfs/dbraw/zinc/51/27/55/698512755.db2.gz AWJOZZARKMZDEO-UHFFFAOYSA-N 1 2 301.390 1.880 20 30 DDEDLO C#CCCS(=O)(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000279553774 698537737 /nfs/dbraw/zinc/53/77/37/698537737.db2.gz WTFPWMXELCATOM-UHFFFAOYSA-N 1 2 307.419 1.515 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@@H](Cc3ccccc3)OC2=O)CC1 ZINC000781139255 698592513 /nfs/dbraw/zinc/59/25/13/698592513.db2.gz VLFSIDWODQJMNC-QGZVFWFLSA-N 1 2 313.401 1.258 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3cc(C)no3)CC2)cn1 ZINC000989512626 698652209 /nfs/dbraw/zinc/65/22/09/698652209.db2.gz PPDUMZFFUYNTLP-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3cc(C)no3)CC2)cn1 ZINC000989512626 698652213 /nfs/dbraw/zinc/65/22/13/698652213.db2.gz PPDUMZFFUYNTLP-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO N#CCC(=O)Nc1ccc(C(=O)OCCCn2cc[nH+]c2)cc1 ZINC000782043308 698677997 /nfs/dbraw/zinc/67/79/97/698677997.db2.gz WKNOPOBOYGFNJC-UHFFFAOYSA-N 1 2 312.329 1.982 20 30 DDEDLO CO[C@@H](C)CON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000782321328 698708909 /nfs/dbraw/zinc/70/89/09/698708909.db2.gz NXECABBDVBALSX-ZDUSSCGKSA-N 1 2 307.394 1.191 20 30 DDEDLO CCCNC(=O)CO[NH+]=C(N)c1ccc(Br)cc1 ZINC000782949421 698788192 /nfs/dbraw/zinc/78/81/92/698788192.db2.gz HKFFBYLRUJAEEF-UHFFFAOYSA-N 1 2 314.183 1.612 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(-c2ncn(C)n2)c1 ZINC000783613336 698859054 /nfs/dbraw/zinc/85/90/54/698859054.db2.gz LTIPCLPKHIQIHT-HNNXBMFYSA-N 1 2 309.373 1.518 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(-c2ncn(C)n2)c1 ZINC000783613336 698859061 /nfs/dbraw/zinc/85/90/61/698859061.db2.gz LTIPCLPKHIQIHT-HNNXBMFYSA-N 1 2 309.373 1.518 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(OCCOC)cc1 ZINC000730106326 699501627 /nfs/dbraw/zinc/50/16/27/699501627.db2.gz YGWFZNOQUVEHLO-INIZCTEOSA-N 1 2 302.374 1.748 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(OCCOC)cc1 ZINC000730106326 699501629 /nfs/dbraw/zinc/50/16/29/699501629.db2.gz YGWFZNOQUVEHLO-INIZCTEOSA-N 1 2 302.374 1.748 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(COCCOC)c1 ZINC000730278457 699506053 /nfs/dbraw/zinc/50/60/53/699506053.db2.gz RXLVCRCVWRLQNE-KRWDZBQOSA-N 1 2 316.401 1.886 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(COCCOC)c1 ZINC000730278457 699506054 /nfs/dbraw/zinc/50/60/54/699506054.db2.gz RXLVCRCVWRLQNE-KRWDZBQOSA-N 1 2 316.401 1.886 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@]1(O)CCCc2ccccc21 ZINC000731370491 699527546 /nfs/dbraw/zinc/52/75/46/699527546.db2.gz SEXQXUKZPZXCCO-HKUYNNGSSA-N 1 2 312.413 1.424 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@]1(O)CCCc2ccccc21 ZINC000731370491 699527548 /nfs/dbraw/zinc/52/75/48/699527548.db2.gz SEXQXUKZPZXCCO-HKUYNNGSSA-N 1 2 312.413 1.424 20 30 DDEDLO Cc1ncc2c(n1)CCC[C@@H]2[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000734850961 699664519 /nfs/dbraw/zinc/66/45/19/699664519.db2.gz PBTCDBXHOFCDFO-IRXDYDNUSA-N 1 2 321.384 1.850 20 30 DDEDLO CCOC(=O)[C@H]1C[N@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000741253635 699825426 /nfs/dbraw/zinc/82/54/26/699825426.db2.gz LSVFRBKBGBOVPC-MRXNPFEDSA-N 1 2 318.373 1.591 20 30 DDEDLO CCOC(=O)[C@H]1C[N@@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000741253635 699825428 /nfs/dbraw/zinc/82/54/28/699825428.db2.gz LSVFRBKBGBOVPC-MRXNPFEDSA-N 1 2 318.373 1.591 20 30 DDEDLO C[C@@H]1CN(c2cccc(F)c2)CC[N@@H+]1CC(=O)NCCC#N ZINC000795190873 699850663 /nfs/dbraw/zinc/85/06/63/699850663.db2.gz YEOOZIQDVLOWHW-CYBMUJFWSA-N 1 2 304.369 1.366 20 30 DDEDLO C[C@@H]1CN(c2cccc(F)c2)CC[N@H+]1CC(=O)NCCC#N ZINC000795190873 699850666 /nfs/dbraw/zinc/85/06/66/699850666.db2.gz YEOOZIQDVLOWHW-CYBMUJFWSA-N 1 2 304.369 1.366 20 30 DDEDLO C#CCNC(=O)c1ccc(N2CCC[C@@H](n3cc[nH+]c3)C2)nc1 ZINC000742400464 699877230 /nfs/dbraw/zinc/87/72/30/699877230.db2.gz BBXXEACVBKUSEG-OAHLLOKOSA-N 1 2 309.373 1.483 20 30 DDEDLO C=CCOC[C@H]([NH2+]CC1CCC2(CC1)OCCO2)C(=O)OC ZINC000796016027 699907234 /nfs/dbraw/zinc/90/72/34/699907234.db2.gz URWUTUKDXNOSLB-AWEZNQCLSA-N 1 2 313.394 1.254 20 30 DDEDLO NC(=NOCC1CCC1)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000753821992 700459975 /nfs/dbraw/zinc/45/99/75/700459975.db2.gz DZJDUFCFHVNNRV-UHFFFAOYSA-N 1 2 303.406 1.956 20 30 DDEDLO COC(=O)c1cccc(COC(=O)[C@H]2CCCC[N@@H+]2C)c1C#N ZINC000756194747 700607385 /nfs/dbraw/zinc/60/73/85/700607385.db2.gz GGIRZGKAWLCIFY-OAHLLOKOSA-N 1 2 316.357 1.872 20 30 DDEDLO COC(=O)c1cccc(COC(=O)[C@H]2CCCC[N@H+]2C)c1C#N ZINC000756194747 700607388 /nfs/dbraw/zinc/60/73/88/700607388.db2.gz GGIRZGKAWLCIFY-OAHLLOKOSA-N 1 2 316.357 1.872 20 30 DDEDLO CCCCNC(=O)[C@H]1CCC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758201402 700689230 /nfs/dbraw/zinc/68/92/30/700689230.db2.gz AMCDXFBDTKEDAK-HNNXBMFYSA-N 1 2 316.405 1.306 20 30 DDEDLO CCCCNC(=O)[C@H]1CCC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758201402 700689231 /nfs/dbraw/zinc/68/92/31/700689231.db2.gz AMCDXFBDTKEDAK-HNNXBMFYSA-N 1 2 316.405 1.306 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCN(CC)C(=O)C2)c(O)c(OC)c1 ZINC000758275902 700695852 /nfs/dbraw/zinc/69/58/52/700695852.db2.gz JTVWBOSIQYLSKM-UHFFFAOYSA-N 1 2 304.390 1.793 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCN(CC)C(=O)C2)c(O)c(OC)c1 ZINC000758275902 700695854 /nfs/dbraw/zinc/69/58/54/700695854.db2.gz JTVWBOSIQYLSKM-UHFFFAOYSA-N 1 2 304.390 1.793 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(Cc2ccc(F)c(C#N)c2)CC1 ZINC000758710859 700719826 /nfs/dbraw/zinc/71/98/26/700719826.db2.gz DNOYQLGJHZBFAD-NRFANRHFSA-N 1 2 309.410 1.193 20 30 DDEDLO CC(C)Oc1cc(C[N@H+](CC(=O)NCCC#N)C2CC2)ccn1 ZINC000767458961 701108541 /nfs/dbraw/zinc/10/85/41/701108541.db2.gz DKPPMGBFOBMSRS-UHFFFAOYSA-N 1 2 316.405 1.863 20 30 DDEDLO CC(C)Oc1cc(C[N@@H+](CC(=O)NCCC#N)C2CC2)ccn1 ZINC000767458961 701108542 /nfs/dbraw/zinc/10/85/42/701108542.db2.gz DKPPMGBFOBMSRS-UHFFFAOYSA-N 1 2 316.405 1.863 20 30 DDEDLO C=CCOC[C@H]1NC(=O)N(Cc2[nH+]cc(C)c(OC)c2C)C1=O ZINC000804149037 701167645 /nfs/dbraw/zinc/16/76/45/701167645.db2.gz HJPCARDFQJRMCC-CYBMUJFWSA-N 1 2 319.361 1.330 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1nc2cc([N+](=O)[O-])ccc2[nH]1)[C@H]1CCCO1 ZINC000769790705 701255870 /nfs/dbraw/zinc/25/58/70/701255870.db2.gz WUXREKHVXJGNGY-BXUZGUMPSA-N 1 2 300.318 1.742 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCC#Cc2cccc(Cl)c2)C1 ZINC000805469510 701383487 /nfs/dbraw/zinc/38/34/87/701383487.db2.gz GNVHGNGETKONPN-OAHLLOKOSA-N 1 2 307.777 1.955 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCC#Cc2cccc(Cl)c2)C1 ZINC000805469510 701383488 /nfs/dbraw/zinc/38/34/88/701383488.db2.gz GNVHGNGETKONPN-OAHLLOKOSA-N 1 2 307.777 1.955 20 30 DDEDLO Cc1nsc(N2CC[NH+](CCC(=O)C3CC3)CC2)c1C#N ZINC000810065033 701718979 /nfs/dbraw/zinc/71/89/79/701718979.db2.gz DVZNCOSZFUWMQA-UHFFFAOYSA-N 1 2 304.419 1.814 20 30 DDEDLO CC(C)Cc1nc(C#N)c(N=NCc2c[nH+]c(N(C)C)n2C)o1 ZINC000811639626 702019592 /nfs/dbraw/zinc/01/95/92/702019592.db2.gz CSIALKAITMJUEY-UHFFFAOYSA-N 1 2 315.381 1.990 20 30 DDEDLO CO[C@H]1CC[C@H](C)[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000879321921 706649496 /nfs/dbraw/zinc/64/94/96/706649496.db2.gz GTBSPKHJRLGCBU-ZFWWWQNUSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@H]1CC[C@H](C)[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000879321921 706649499 /nfs/dbraw/zinc/64/94/99/706649499.db2.gz GTBSPKHJRLGCBU-ZFWWWQNUSA-N 1 2 322.430 1.831 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000840756643 702230102 /nfs/dbraw/zinc/23/01/02/702230102.db2.gz LIRQEOVPBRVJFP-SNVBAGLBSA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000840756643 702230106 /nfs/dbraw/zinc/23/01/06/702230106.db2.gz LIRQEOVPBRVJFP-SNVBAGLBSA-N 1 2 313.741 1.833 20 30 DDEDLO C#CCOCCC(=O)OCc1cccc(C[NH+]2CCOCC2)c1 ZINC000817183295 702301933 /nfs/dbraw/zinc/30/19/33/702301933.db2.gz AQDRFSOWZGMQNA-UHFFFAOYSA-N 1 2 317.385 1.602 20 30 DDEDLO C[C@@H]([NH2+]C[C@@H]1CCN(S(C)(=O)=O)C1)c1cccc(C#N)c1O ZINC000866310772 706670596 /nfs/dbraw/zinc/67/05/96/706670596.db2.gz PNXRQQXPRKXWHB-NEPJUHHUSA-N 1 2 323.418 1.196 20 30 DDEDLO C#CC[C@@H]1CCC[N@@H+](Cn2cc(C)n(-c3cc(C)on3)c2=O)C1 ZINC000842630189 702745924 /nfs/dbraw/zinc/74/59/24/702745924.db2.gz ZLUHKGICELFCFL-OAHLLOKOSA-N 1 2 314.389 1.937 20 30 DDEDLO C#CC[C@@H]1CCC[N@H+](Cn2cc(C)n(-c3cc(C)on3)c2=O)C1 ZINC000842630189 702745927 /nfs/dbraw/zinc/74/59/27/702745927.db2.gz ZLUHKGICELFCFL-OAHLLOKOSA-N 1 2 314.389 1.937 20 30 DDEDLO C#CC[C@H]1CCC[N@@H+](Cn2nc(C(=O)OC)cc2C(=O)OC)C1 ZINC000842629708 702745993 /nfs/dbraw/zinc/74/59/93/702745993.db2.gz QEXRIMAAYTVETR-LBPRGKRZSA-N 1 2 319.361 1.149 20 30 DDEDLO C#CC[C@H]1CCC[N@H+](Cn2nc(C(=O)OC)cc2C(=O)OC)C1 ZINC000842629708 702745994 /nfs/dbraw/zinc/74/59/94/702745994.db2.gz QEXRIMAAYTVETR-LBPRGKRZSA-N 1 2 319.361 1.149 20 30 DDEDLO COC[C@@H](C[N@@H+]1CCO[C@H](C)C1)OC(=O)c1cccc(C#N)c1 ZINC000842962185 702794322 /nfs/dbraw/zinc/79/43/22/702794322.db2.gz MNHJONCCOJQLBX-CZUORRHYSA-N 1 2 318.373 1.451 20 30 DDEDLO COC[C@@H](C[N@H+]1CCO[C@H](C)C1)OC(=O)c1cccc(C#N)c1 ZINC000842962185 702794325 /nfs/dbraw/zinc/79/43/25/702794325.db2.gz MNHJONCCOJQLBX-CZUORRHYSA-N 1 2 318.373 1.451 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585367 706728191 /nfs/dbraw/zinc/72/81/91/706728191.db2.gz WPKHCKMQUZMEIU-AWEZNQCLSA-N 1 2 314.433 1.983 20 30 DDEDLO CCN1C[C@@H](C[NH+]2CCN(c3ccccc3C#N)CC2)OC1=O ZINC000846793964 703332316 /nfs/dbraw/zinc/33/23/16/703332316.db2.gz WQDMKSQHENUNEV-OAHLLOKOSA-N 1 2 314.389 1.521 20 30 DDEDLO C=CCCn1cc(C[N@H+](C)C[C@@H](O)c2cccc(C#N)c2)nn1 ZINC000847010743 703365237 /nfs/dbraw/zinc/36/52/37/703365237.db2.gz VMOIZRQQPHQXOH-QGZVFWFLSA-N 1 2 311.389 1.891 20 30 DDEDLO C=CCCn1cc(C[N@@H+](C)C[C@@H](O)c2cccc(C#N)c2)nn1 ZINC000847010743 703365238 /nfs/dbraw/zinc/36/52/38/703365238.db2.gz VMOIZRQQPHQXOH-QGZVFWFLSA-N 1 2 311.389 1.891 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000847028412 703368323 /nfs/dbraw/zinc/36/83/23/703368323.db2.gz YALCUKBKLIETMA-CQSZACIVSA-N 1 2 302.399 1.677 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000847028412 703368324 /nfs/dbraw/zinc/36/83/24/703368324.db2.gz YALCUKBKLIETMA-CQSZACIVSA-N 1 2 302.399 1.677 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)c1 ZINC000847361797 703407346 /nfs/dbraw/zinc/40/73/46/703407346.db2.gz MXUFQAJVDJCGQD-ZDUSSCGKSA-N 1 2 324.384 1.667 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)c1 ZINC000847361797 703407347 /nfs/dbraw/zinc/40/73/47/703407347.db2.gz MXUFQAJVDJCGQD-ZDUSSCGKSA-N 1 2 324.384 1.667 20 30 DDEDLO CC(C)(C)n1nnc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)n1 ZINC000848510677 703559621 /nfs/dbraw/zinc/55/96/21/703559621.db2.gz UVTOMWZYFLJLNF-UHFFFAOYSA-N 1 2 316.365 1.200 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](C[C@@H](O)c2ccccn2)CC1 ZINC000852075411 703918027 /nfs/dbraw/zinc/91/80/27/703918027.db2.gz BDHIDXTUFLGZCW-QGZVFWFLSA-N 1 2 309.373 1.204 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[NH+](Cc3ccc(O)cc3)CC2)c1 ZINC000870133506 703923113 /nfs/dbraw/zinc/92/31/13/703923113.db2.gz AEBWVRXUXUTYAH-UHFFFAOYSA-N 1 2 321.380 1.727 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](N3CCOC3=O)C2)c([N+](=O)[O-])c1 ZINC000852441488 704050646 /nfs/dbraw/zinc/05/06/46/704050646.db2.gz GQOLCZKBOCHDAP-ZDUSSCGKSA-N 1 2 316.317 1.493 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](N3CCOC3=O)C2)c([N+](=O)[O-])c1 ZINC000852441488 704050649 /nfs/dbraw/zinc/05/06/49/704050649.db2.gz GQOLCZKBOCHDAP-ZDUSSCGKSA-N 1 2 316.317 1.493 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)Nc1nnc(-c2ccco2)o1 ZINC000852750920 704113694 /nfs/dbraw/zinc/11/36/94/704113694.db2.gz JJKCYFDUMSZRFV-UHFFFAOYSA-N 1 2 318.333 1.240 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)Nc1nnc(-c2ccco2)o1 ZINC000852750920 704113697 /nfs/dbraw/zinc/11/36/97/704113697.db2.gz JJKCYFDUMSZRFV-UHFFFAOYSA-N 1 2 318.333 1.240 20 30 DDEDLO C=CC[NH+](CC=C)[C@H](C)C(=O)Nc1ccccc1-c1nn[nH]n1 ZINC000819740408 704166536 /nfs/dbraw/zinc/16/65/36/704166536.db2.gz ZEKZTOUKYYTBPW-GFCCVEGCSA-N 1 2 312.377 1.868 20 30 DDEDLO C=C[C@H](O)C(=O)N1CC[NH+]([C@@H](CC)c2ccc(F)cc2)CC1 ZINC000831867604 706795683 /nfs/dbraw/zinc/79/56/83/706795683.db2.gz DQNUGFVIADJVGX-HOTGVXAUSA-N 1 2 306.381 1.968 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000820020581 704204683 /nfs/dbraw/zinc/20/46/83/704204683.db2.gz CLMUMOFWQKWMSN-HZPDHXFCSA-N 1 2 311.385 1.822 20 30 DDEDLO Cn1nncc1N[NH+]=Cc1ccc(N2CCC(O)CC2)cc1 ZINC000853352213 704236137 /nfs/dbraw/zinc/23/61/37/704236137.db2.gz MGBXQOWIBMRYEF-UHFFFAOYSA-N 1 2 300.366 1.222 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C2(CCOCC2)[C@H]1C1CC1)[C@@H](C)COC ZINC000853533740 704261253 /nfs/dbraw/zinc/26/12/53/704261253.db2.gz BXUBNVGCAGPDHU-GOEBONIOSA-N 1 2 322.449 1.884 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C2(CCOCC2)[C@H]1C1CC1)[C@@H](C)COC ZINC000853533740 704261255 /nfs/dbraw/zinc/26/12/55/704261255.db2.gz BXUBNVGCAGPDHU-GOEBONIOSA-N 1 2 322.449 1.884 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C(C)(C)[C@@H]1[C@H]1CCCO1 ZINC000853621146 704288112 /nfs/dbraw/zinc/28/81/12/704288112.db2.gz RBRCTRNEBQSONB-CABCVRRESA-N 1 2 308.422 1.332 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C(C)(C)[C@@H]1[C@H]1CCCO1 ZINC000853621146 704288113 /nfs/dbraw/zinc/28/81/13/704288113.db2.gz RBRCTRNEBQSONB-CABCVRRESA-N 1 2 308.422 1.332 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000821015144 704359659 /nfs/dbraw/zinc/35/96/59/704359659.db2.gz HNOPYGSIOBLQCZ-MRXNPFEDSA-N 1 2 313.401 1.804 20 30 DDEDLO N#CC[C@@H]1CC[C@H](NC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000821195934 704380169 /nfs/dbraw/zinc/38/01/69/704380169.db2.gz GLOWOUDMRPERMH-JONQDZQNSA-N 1 2 321.421 1.065 20 30 DDEDLO Cc1ccc(C[N@@H+]2CC[C@H]3CS(=O)(=O)C[C@H]3C2)cc1C#N ZINC000879936850 706825484 /nfs/dbraw/zinc/82/54/84/706825484.db2.gz LLIOHUAQJGGAJU-GOEBONIOSA-N 1 2 304.415 1.733 20 30 DDEDLO Cc1ccc(C[N@H+]2CC[C@H]3CS(=O)(=O)C[C@H]3C2)cc1C#N ZINC000879936850 706825486 /nfs/dbraw/zinc/82/54/86/706825486.db2.gz LLIOHUAQJGGAJU-GOEBONIOSA-N 1 2 304.415 1.733 20 30 DDEDLO C#CCCOC(=O)N1CCC[N@@H+](CC(=O)N(CC)CC)CC1 ZINC000858895402 704769419 /nfs/dbraw/zinc/76/94/19/704769419.db2.gz PCLUJBMJBYQFRP-UHFFFAOYSA-N 1 2 309.410 1.022 20 30 DDEDLO C#CCCOC(=O)N1CCC[N@H+](CC(=O)N(CC)CC)CC1 ZINC000858895402 704769420 /nfs/dbraw/zinc/76/94/20/704769420.db2.gz PCLUJBMJBYQFRP-UHFFFAOYSA-N 1 2 309.410 1.022 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[NH+]1CC(C(F)(F)F)C1 ZINC000859200605 704819638 /nfs/dbraw/zinc/81/96/38/704819638.db2.gz GVWSPYFWNMPMGS-LBPRGKRZSA-N 1 2 300.280 1.792 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(CC#N)cc1 ZINC000874708091 705141991 /nfs/dbraw/zinc/14/19/91/705141991.db2.gz RMLOBMDCYXVQHM-INIZCTEOSA-N 1 2 301.390 1.593 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(CC#N)cc1 ZINC000874708091 705141992 /nfs/dbraw/zinc/14/19/92/705141992.db2.gz RMLOBMDCYXVQHM-INIZCTEOSA-N 1 2 301.390 1.593 20 30 DDEDLO COCC[N@H+](Cc1ccc(-c2nn[nH]n2)cc1)CC1(C#N)CC1 ZINC000826159037 705779507 /nfs/dbraw/zinc/77/95/07/705779507.db2.gz NTYGQQODFRVYGA-UHFFFAOYSA-N 1 2 312.377 1.619 20 30 DDEDLO COCC[N@@H+](Cc1ccc(-c2nn[nH]n2)cc1)CC1(C#N)CC1 ZINC000826159037 705779511 /nfs/dbraw/zinc/77/95/11/705779511.db2.gz NTYGQQODFRVYGA-UHFFFAOYSA-N 1 2 312.377 1.619 20 30 DDEDLO CC[C@@H](C#N)Oc1cc(C[NH2+]Cc2ncnn2C)ccc1OC ZINC000877029432 705965591 /nfs/dbraw/zinc/96/55/91/705965591.db2.gz BZSJZPSYZMTROR-ZDUSSCGKSA-N 1 2 315.377 1.794 20 30 DDEDLO C[C@H]1C[N@H+](Cc2cc(C#N)ccc2N(C)C)CC[S@@](=O)C1 ZINC000877478668 706118155 /nfs/dbraw/zinc/11/81/55/706118155.db2.gz PLVGGNLIHZLKIE-YEJXKQKISA-N 1 2 305.447 1.825 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2cc(C#N)ccc2N(C)C)CC[S@@](=O)C1 ZINC000877478668 706118158 /nfs/dbraw/zinc/11/81/58/706118158.db2.gz PLVGGNLIHZLKIE-YEJXKQKISA-N 1 2 305.447 1.825 20 30 DDEDLO CON(C)C(=O)C1CC[NH+](Cc2cc(C#N)cnc2Cl)CC1 ZINC000877544131 706137813 /nfs/dbraw/zinc/13/78/13/706137813.db2.gz MMMJXJQIAHDUKP-UHFFFAOYSA-N 1 2 322.796 1.838 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)C#Cc2cccs2)C[C@@H]1C ZINC000828405614 706173463 /nfs/dbraw/zinc/17/34/63/706173463.db2.gz OBCLFJWXKCJHGM-ZDUSSCGKSA-N 1 2 320.414 1.195 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)C#Cc2cccs2)C[C@@H]1C ZINC000828405614 706173466 /nfs/dbraw/zinc/17/34/66/706173466.db2.gz OBCLFJWXKCJHGM-ZDUSSCGKSA-N 1 2 320.414 1.195 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(OC)ccc(F)c2OC)CC1 ZINC000865085262 706345279 /nfs/dbraw/zinc/34/52/79/706345279.db2.gz MBRRQUBWUNWXCF-UHFFFAOYSA-N 1 2 320.364 1.624 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H](C(F)(F)F)O[C@@H](C)C1 ZINC000880061532 706867734 /nfs/dbraw/zinc/86/77/34/706867734.db2.gz WHQKUUOEWVHDMV-NWDGAFQWSA-N 1 2 321.343 1.790 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@H](C(F)(F)F)O[C@@H](C)C1 ZINC000880061532 706867737 /nfs/dbraw/zinc/86/77/37/706867737.db2.gz WHQKUUOEWVHDMV-NWDGAFQWSA-N 1 2 321.343 1.790 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cc(F)cc(C#N)c2)C[C@H]1C ZINC000867009075 706871149 /nfs/dbraw/zinc/87/11/49/706871149.db2.gz OSNZWLYJJNKYTA-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cc(F)cc(C#N)c2)C[C@H]1C ZINC000867009075 706871153 /nfs/dbraw/zinc/87/11/53/706871153.db2.gz OSNZWLYJJNKYTA-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2cc(F)cc(C#N)c2)CC[N@H+]1C ZINC000867049530 706882027 /nfs/dbraw/zinc/88/20/27/706882027.db2.gz LNVWXPATUDGHIA-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2cc(F)cc(C#N)c2)CC[N@@H+]1C ZINC000867049530 706882029 /nfs/dbraw/zinc/88/20/29/706882029.db2.gz LNVWXPATUDGHIA-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2nnc(C3CC3)n2C2CC2)CC1 ZINC000880481256 706987916 /nfs/dbraw/zinc/98/79/16/706987916.db2.gz PRZVIWMYMFRSON-UHFFFAOYSA-N 1 2 300.406 1.841 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)Nc2cccc(CC#N)n2)C1 ZINC000881149370 707138072 /nfs/dbraw/zinc/13/80/72/707138072.db2.gz QFXZIKQUCFIDHE-GFCCVEGCSA-N 1 2 310.361 1.903 20 30 DDEDLO CC[C@@H]([NH2+][C@H]1CCc2nnn(C)c2C1)c1cccc(C#N)c1O ZINC000927146111 712946910 /nfs/dbraw/zinc/94/69/10/712946910.db2.gz ZUIFNGDCEOJKHL-GXTWGEPZSA-N 1 2 311.389 1.991 20 30 DDEDLO COC(=O)c1cccc2c(C=NNC3=[NH+]C[C@H](C)N3)ccnc12 ZINC000872364979 707391837 /nfs/dbraw/zinc/39/18/37/707391837.db2.gz YJOVTTDHMBAQMA-JTQLQIEISA-N 1 2 311.345 1.293 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000883487887 708014062 /nfs/dbraw/zinc/01/40/62/708014062.db2.gz AAFCPNJEOCAQOK-OAHLLOKOSA-N 1 2 316.405 1.812 20 30 DDEDLO C=CCN(C(=O)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1)C(C)C ZINC000839245540 708038669 /nfs/dbraw/zinc/03/86/69/708038669.db2.gz ASAGBKNOEHFKAN-LSDHHAIUSA-N 1 2 318.421 1.716 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1ccc(Cl)cc1 ZINC000884053104 708118669 /nfs/dbraw/zinc/11/86/69/708118669.db2.gz LTYBPESTGURBCJ-ZDUSSCGKSA-N 1 2 310.781 1.745 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)CCOc1ccc(C)cc1 ZINC000884061631 708122626 /nfs/dbraw/zinc/12/26/26/708122626.db2.gz NYCRTRBBFIZZOQ-HNNXBMFYSA-N 1 2 320.389 1.279 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)c1ccc2c(c1)OCO2 ZINC000884064375 708123720 /nfs/dbraw/zinc/12/37/20/708123720.db2.gz FRZFPGROSGMGNT-JQWIXIFHSA-N 1 2 320.345 1.039 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc2c(c1)CCCO2 ZINC000884118811 708147256 /nfs/dbraw/zinc/14/72/56/708147256.db2.gz QMSBYPKJRQVLPO-ZDUSSCGKSA-N 1 2 304.346 1.397 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1cccc(C)c1C ZINC000884142433 708158454 /nfs/dbraw/zinc/15/84/54/708158454.db2.gz ZMSHIUDDTFJEIV-HIFRSBDPSA-N 1 2 304.390 1.927 20 30 DDEDLO C#CCN1CCC[C@H](NC(=O)NCCCCn2cc[nH+]c2C)C1 ZINC000884171182 708170814 /nfs/dbraw/zinc/17/08/14/708170814.db2.gz PBZXBOUVRHHMMA-INIZCTEOSA-N 1 2 317.437 1.369 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@](C)(CC)c1nccs1 ZINC000884224866 708198522 /nfs/dbraw/zinc/19/85/22/708198522.db2.gz AYBSLUWWHGMGKI-HZMBPMFUSA-N 1 2 311.407 1.331 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1nc(C(C)C)cs1 ZINC000884229804 708201246 /nfs/dbraw/zinc/20/12/46/708201246.db2.gz AQLMHIYPOZQYKH-JTQLQIEISA-N 1 2 311.407 1.329 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](c1ccccn1)C1CCC1 ZINC000884432514 708294893 /nfs/dbraw/zinc/29/48/93/708294893.db2.gz HFLVNNOOJBRLEL-XJKSGUPXSA-N 1 2 317.389 1.486 20 30 DDEDLO C#CC[C@H]1CCN(S(=O)(=O)c2cccc3c2CC[N@@H+](C)C3)C1 ZINC000885470741 708553047 /nfs/dbraw/zinc/55/30/47/708553047.db2.gz KGWGYGXWLCQJSC-AWEZNQCLSA-N 1 2 318.442 1.708 20 30 DDEDLO C#CC[C@H]1CCN(S(=O)(=O)c2cccc3c2CC[N@H+](C)C3)C1 ZINC000885470741 708553049 /nfs/dbraw/zinc/55/30/49/708553049.db2.gz KGWGYGXWLCQJSC-AWEZNQCLSA-N 1 2 318.442 1.708 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccccc2C)C1 ZINC000885511309 708563103 /nfs/dbraw/zinc/56/31/03/708563103.db2.gz FNFMBYHBUNYJTB-MRXNPFEDSA-N 1 2 306.431 1.512 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccccc2C)C1 ZINC000885511309 708563105 /nfs/dbraw/zinc/56/31/05/708563105.db2.gz FNFMBYHBUNYJTB-MRXNPFEDSA-N 1 2 306.431 1.512 20 30 DDEDLO CCOC(=O)[C@H]([NH2+]C[C@@H](O)CC1(C#N)CCC1)C1CCOCC1 ZINC000886131535 708702613 /nfs/dbraw/zinc/70/26/13/708702613.db2.gz AUOQIKDKKWLPQS-LSDHHAIUSA-N 1 2 324.421 1.379 20 30 DDEDLO CCOC(=O)[C@@H]([NH2+]C[C@H](O)CC1(C#N)CCC1)C1CCOCC1 ZINC000886131532 708702665 /nfs/dbraw/zinc/70/26/65/708702665.db2.gz AUOQIKDKKWLPQS-CABCVRRESA-N 1 2 324.421 1.379 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000887733240 709113836 /nfs/dbraw/zinc/11/38/36/709113836.db2.gz NMWJBKPAPJTKOQ-QGZVFWFLSA-N 1 2 324.384 1.824 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC000909925962 709691724 /nfs/dbraw/zinc/69/17/24/709691724.db2.gz BDYFMNDTYCMFMI-QGZVFWFLSA-N 1 2 324.384 1.469 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000891405941 710188621 /nfs/dbraw/zinc/18/86/21/710188621.db2.gz GSMJTUAPLYJZDZ-ZDUSSCGKSA-N 1 2 316.361 1.025 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(Cc2csc(C#N)c2)CC1 ZINC000891543100 710231574 /nfs/dbraw/zinc/23/15/74/710231574.db2.gz PCRSVAORCGRAGY-GFCCVEGCSA-N 1 2 318.446 1.404 20 30 DDEDLO O=C(NCC#C[C@@H]1CCCCO1)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000894307097 711059660 /nfs/dbraw/zinc/05/96/60/711059660.db2.gz BDXJBZVCDDFWGN-KGLIPLIRSA-N 1 2 302.378 1.070 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)c3ccc(C#N)s3)CC[NH2+]2)cn1 ZINC000913466356 713228000 /nfs/dbraw/zinc/22/80/00/713228000.db2.gz FISPCMURBGHYDQ-LBPRGKRZSA-N 1 2 301.375 1.140 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)/C=C\c1ccccc1C#N ZINC000928643557 713247519 /nfs/dbraw/zinc/24/75/19/713247519.db2.gz IWXGQSFEXYYHKI-QWPQOLDESA-N 1 2 313.401 1.798 20 30 DDEDLO C=CCC1(O)CC[NH+](Cc2cn(C[C@H]3CCCO3)nn2)CC1 ZINC000895828340 711618936 /nfs/dbraw/zinc/61/89/36/711618936.db2.gz VLNHJUCUPWRYFW-OAHLLOKOSA-N 1 2 306.410 1.360 20 30 DDEDLO N#Cc1cccc(OCC(=O)N2CCN(c3cccc[nH+]3)CC2)c1 ZINC000913580645 713266786 /nfs/dbraw/zinc/26/67/86/713266786.db2.gz FELHASACAOYALY-UHFFFAOYSA-N 1 2 322.368 1.681 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)/N=C\c1c(CO)cnc(C)c1O ZINC000905002075 711908437 /nfs/dbraw/zinc/90/84/37/711908437.db2.gz FNCXAMQRRWGYHA-BBSXFRJVSA-N 1 2 307.394 1.118 20 30 DDEDLO N#Cc1cccc(NC(=O)c2cccn2CC[NH+]2CCOCC2)c1 ZINC000905077880 711929122 /nfs/dbraw/zinc/92/91/22/711929122.db2.gz IHOYHZSIODQXAP-UHFFFAOYSA-N 1 2 324.384 1.944 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)NCC(C)(C)n2cc[nH+]c2)cc1 ZINC000907312241 712540609 /nfs/dbraw/zinc/54/06/09/712540609.db2.gz BJEBGMPKKLJHMP-UHFFFAOYSA-N 1 2 303.387 1.578 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000908649553 712828910 /nfs/dbraw/zinc/82/89/10/712828910.db2.gz AFNOCGMBJQWFIK-DZGCQCFKSA-N 1 2 301.390 1.972 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC000928720976 713471344 /nfs/dbraw/zinc/47/13/44/713471344.db2.gz POEDVBFJRGWHRW-CYBMUJFWSA-N 1 2 310.361 1.322 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc(C)nn2)C1 ZINC000966046266 717906812 /nfs/dbraw/zinc/90/68/12/717906812.db2.gz KZFMGYHKIOLISZ-IINYFYTJSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc(C)nn2)C1 ZINC000966046266 717906815 /nfs/dbraw/zinc/90/68/15/717906815.db2.gz KZFMGYHKIOLISZ-IINYFYTJSA-N 1 2 308.813 1.978 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)CCSCC1 ZINC000929367351 713598423 /nfs/dbraw/zinc/59/84/23/713598423.db2.gz ZQYAZUQSLMBIBQ-CQSZACIVSA-N 1 2 323.462 1.347 20 30 DDEDLO CCCC[N@H+](Cc1cncc(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000929971139 713724998 /nfs/dbraw/zinc/72/49/98/713724998.db2.gz MJSIQMJIWGSJTQ-HNNXBMFYSA-N 1 2 307.419 1.742 20 30 DDEDLO CCCC[N@@H+](Cc1cncc(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000929971139 713725000 /nfs/dbraw/zinc/72/50/00/713725000.db2.gz MJSIQMJIWGSJTQ-HNNXBMFYSA-N 1 2 307.419 1.742 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)NCC1CCC(C#N)CC1 ZINC000922348191 714026366 /nfs/dbraw/zinc/02/63/66/714026366.db2.gz HEFZTLWHJSBZMP-UYSNPLJNSA-N 1 2 322.453 1.726 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCc2c(C)cc(C#N)cc2C)C1 ZINC000922492806 714070284 /nfs/dbraw/zinc/07/02/84/714070284.db2.gz FLDWVMFTYUEOBR-INIZCTEOSA-N 1 2 302.374 1.939 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCc2c(C)cc(C#N)cc2C)C1 ZINC000922492806 714070285 /nfs/dbraw/zinc/07/02/85/714070285.db2.gz FLDWVMFTYUEOBR-INIZCTEOSA-N 1 2 302.374 1.939 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC000931385753 714080923 /nfs/dbraw/zinc/08/09/23/714080923.db2.gz UEDLXKYESMSCJR-INIZCTEOSA-N 1 2 311.389 1.869 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cccc(C#N)n1 ZINC000931805141 714188998 /nfs/dbraw/zinc/18/89/98/714188998.db2.gz BSKMAVNGBLRHHX-GFCCVEGCSA-N 1 2 303.366 1.186 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+](C)Cc1ncc(Br)cn1 ZINC000931871174 714201126 /nfs/dbraw/zinc/20/11/26/714201126.db2.gz WEUMOLODPOFHEP-NSHDSACASA-N 1 2 300.200 1.998 20 30 DDEDLO C=CCC[C@H](O)C[N@H+](C)Cc1ncc(Br)cn1 ZINC000931871174 714201128 /nfs/dbraw/zinc/20/11/28/714201128.db2.gz WEUMOLODPOFHEP-NSHDSACASA-N 1 2 300.200 1.998 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)no1 ZINC000931952570 714219591 /nfs/dbraw/zinc/21/95/91/714219591.db2.gz KXJDMJSBWDRWQT-GHJWDPDVSA-N 1 2 319.409 1.610 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)no1 ZINC000931952570 714219592 /nfs/dbraw/zinc/21/95/92/714219592.db2.gz KXJDMJSBWDRWQT-GHJWDPDVSA-N 1 2 319.409 1.610 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000932054536 714247963 /nfs/dbraw/zinc/24/79/63/714247963.db2.gz JREAYEUIMNOUIC-KBPBESRZSA-N 1 2 301.390 1.706 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CC[C@H](c2ncc(C(=O)OC)s2)C1 ZINC000932671145 714383614 /nfs/dbraw/zinc/38/36/14/714383614.db2.gz MTGMDAAQYVGKCR-QWRGUYRKSA-N 1 2 324.402 1.837 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CC[C@H](c2ncc(C(=O)OC)s2)C1 ZINC000932671145 714383616 /nfs/dbraw/zinc/38/36/16/714383616.db2.gz MTGMDAAQYVGKCR-QWRGUYRKSA-N 1 2 324.402 1.837 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)N[C@H](CC#N)C(F)(F)F ZINC000932918602 714437513 /nfs/dbraw/zinc/43/75/13/714437513.db2.gz SWKWNIRSKLJVFE-SNVBAGLBSA-N 1 2 322.331 1.241 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)N[C@H](CC#N)C(F)(F)F ZINC000932918602 714437514 /nfs/dbraw/zinc/43/75/14/714437514.db2.gz SWKWNIRSKLJVFE-SNVBAGLBSA-N 1 2 322.331 1.241 20 30 DDEDLO O=C(NCC#CCO)N[C@H]1CC[C@H](Nc2cccc[nH+]2)CC1 ZINC000923757690 714465196 /nfs/dbraw/zinc/46/51/96/714465196.db2.gz AOZHGPFIGKKCRB-HDJSIYSDSA-N 1 2 302.378 1.100 20 30 DDEDLO CCn1c[nH+]c2c1CCN(CC(=O)NCc1cccc(C#N)c1)C2 ZINC000933261603 714549106 /nfs/dbraw/zinc/54/91/06/714549106.db2.gz GRNDZJVNBSFSIH-UHFFFAOYSA-N 1 2 323.400 1.449 20 30 DDEDLO COC[C@@H]1COCC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000933333387 714564806 /nfs/dbraw/zinc/56/48/06/714564806.db2.gz OUPIVAPUVSZHDG-GFCCVEGCSA-N 1 2 309.391 1.296 20 30 DDEDLO COC[C@@H]1COCC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000933333387 714564809 /nfs/dbraw/zinc/56/48/09/714564809.db2.gz OUPIVAPUVSZHDG-GFCCVEGCSA-N 1 2 309.391 1.296 20 30 DDEDLO CC(C)OC[C@@H](O)C[N@@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933625591 714633864 /nfs/dbraw/zinc/63/38/64/714633864.db2.gz QUKVLGRYQNNRIR-DLBZAZTESA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@@H](O)C[N@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933625591 714633865 /nfs/dbraw/zinc/63/38/65/714633865.db2.gz QUKVLGRYQNNRIR-DLBZAZTESA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)S(=O)(=O)CC[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000933648418 714638688 /nfs/dbraw/zinc/63/86/88/714638688.db2.gz AIPSNNJRDSCEHC-UHFFFAOYSA-N 1 2 301.408 1.147 20 30 DDEDLO Cc1cccc(N(CCC#N)C(=O)C[N@@H+]2CC[C@H]2CO)c1C ZINC000934025945 714725203 /nfs/dbraw/zinc/72/52/03/714725203.db2.gz IJEZEJQMVQWSFP-HNNXBMFYSA-N 1 2 301.390 1.617 20 30 DDEDLO Cc1cccc(N(CCC#N)C(=O)C[N@H+]2CC[C@H]2CO)c1C ZINC000934025945 714725207 /nfs/dbraw/zinc/72/52/07/714725207.db2.gz IJEZEJQMVQWSFP-HNNXBMFYSA-N 1 2 301.390 1.617 20 30 DDEDLO C#Cc1ccc(NC(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)cc1 ZINC000934933537 714932596 /nfs/dbraw/zinc/93/25/96/714932596.db2.gz FRLQFYLJSCVYPQ-KBPBESRZSA-N 1 2 301.390 1.899 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([NH+]3CCN(C(C)=O)CC3)C2)C1 ZINC000956568635 715472842 /nfs/dbraw/zinc/47/28/42/715472842.db2.gz ATGGNNDNMWSESP-HNNXBMFYSA-N 1 2 305.422 1.108 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@@H]2CCO[C@H](C(C)C)C2)CC1 ZINC000957102396 715746652 /nfs/dbraw/zinc/74/66/52/715746652.db2.gz CLOJBLPVWUSGHW-SJORKVTESA-N 1 2 306.450 1.995 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cc3c([nH]c2=O)CCOC3)C1 ZINC000957117787 715756890 /nfs/dbraw/zinc/75/68/90/715756890.db2.gz GAWLGANSSAISIJ-UHFFFAOYSA-N 1 2 317.389 1.192 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2ccc(Cl)o2)C1 ZINC000957881945 716216039 /nfs/dbraw/zinc/21/60/39/716216039.db2.gz HUWGHPCXQKNGDP-UWVGGRQHSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2ccc(Cl)o2)C1 ZINC000957881945 716216045 /nfs/dbraw/zinc/21/60/45/716216045.db2.gz HUWGHPCXQKNGDP-UWVGGRQHSA-N 1 2 319.188 1.708 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cc(C#N)c[nH]2)o1 ZINC000958500725 716597783 /nfs/dbraw/zinc/59/77/83/716597783.db2.gz TYBBOVXWAVWOBR-JYAVWHMHSA-N 1 2 311.345 1.043 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cc(C#N)c[nH]2)o1 ZINC000958500725 716597787 /nfs/dbraw/zinc/59/77/87/716597787.db2.gz TYBBOVXWAVWOBR-JYAVWHMHSA-N 1 2 311.345 1.043 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960613904 716631516 /nfs/dbraw/zinc/63/15/16/716631516.db2.gz ONPKPJPGZOVQER-CQSZACIVSA-N 1 2 318.446 1.683 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC000960613904 716631518 /nfs/dbraw/zinc/63/15/18/716631518.db2.gz ONPKPJPGZOVQER-CQSZACIVSA-N 1 2 318.446 1.683 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCCC34CC4)CC2)C1 ZINC000941455337 717180255 /nfs/dbraw/zinc/18/02/55/717180255.db2.gz OGSVNSRURWARKA-QGZVFWFLSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C34CCC(CC3)C4)CC2)C1 ZINC000941461092 717181183 /nfs/dbraw/zinc/18/11/83/717181183.db2.gz YMLVHAQZPDFXBW-UHFFFAOYSA-N 1 2 301.434 1.028 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCCN(C(=O)Cn3cc[nH+]c3)CC2)C1 ZINC000941504562 717188462 /nfs/dbraw/zinc/18/84/62/717188462.db2.gz RHMCMGSZJLTEJG-UHFFFAOYSA-N 1 2 316.405 1.300 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CC[C@H](C)CC3)CC2)C1 ZINC000941645259 717241867 /nfs/dbraw/zinc/24/18/67/717241867.db2.gz MRFCZPXARHYZPF-WKILWMFISA-N 1 2 303.450 1.274 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CC[C@@H](C)[C@H](NC(C)=O)C2)c1 ZINC000964579935 717310928 /nfs/dbraw/zinc/31/09/28/717310928.db2.gz UZRDPXWCRTUXAU-CXAGYDPISA-N 1 2 313.401 1.453 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CC[C@@H](C)[C@H](NC(C)=O)C2)c1 ZINC000964579935 717310933 /nfs/dbraw/zinc/31/09/33/717310933.db2.gz UZRDPXWCRTUXAU-CXAGYDPISA-N 1 2 313.401 1.453 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC000965232614 717579340 /nfs/dbraw/zinc/57/93/40/717579340.db2.gz ISAKUFJJYNBUGW-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000311 717855561 /nfs/dbraw/zinc/85/55/61/717855561.db2.gz NIZMROMPAKPLAJ-JOYOIKCWSA-N 1 2 311.817 1.235 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000311 717855566 /nfs/dbraw/zinc/85/55/66/717855566.db2.gz NIZMROMPAKPLAJ-JOYOIKCWSA-N 1 2 311.817 1.235 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC000966661582 718619824 /nfs/dbraw/zinc/61/98/24/718619824.db2.gz FUGKYGJKXRYDRJ-AAEUAGOBSA-N 1 2 304.394 1.128 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC000966748936 718648773 /nfs/dbraw/zinc/64/87/73/718648773.db2.gz DDQJRWMQLGXPNV-JQWIXIFHSA-N 1 2 308.813 1.699 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC000966748936 718648778 /nfs/dbraw/zinc/64/87/78/718648778.db2.gz DDQJRWMQLGXPNV-JQWIXIFHSA-N 1 2 308.813 1.699 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2nc3c(s2)CCC3)C1 ZINC000967386614 718864686 /nfs/dbraw/zinc/86/46/86/718864686.db2.gz YGVLAOWEIQDFHU-YPMHNXCESA-N 1 2 303.431 1.705 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2nc3c(s2)CCC3)C1 ZINC000967386614 718864691 /nfs/dbraw/zinc/86/46/91/718864691.db2.gz YGVLAOWEIQDFHU-YPMHNXCESA-N 1 2 303.431 1.705 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967723549 718988241 /nfs/dbraw/zinc/98/82/41/718988241.db2.gz OTZFDESOQMPMHP-KOLCDFICSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967723549 718988244 /nfs/dbraw/zinc/98/82/44/718988244.db2.gz OTZFDESOQMPMHP-KOLCDFICSA-N 1 2 312.801 1.318 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cnon3)[C@@H](C)C2)cc1 ZINC000947612429 719226792 /nfs/dbraw/zinc/22/67/92/719226792.db2.gz VUWZNSUCOUIHDN-XJKSGUPXSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnon3)[C@@H](C)C2)cc1 ZINC000947612429 719226795 /nfs/dbraw/zinc/22/67/95/719226795.db2.gz VUWZNSUCOUIHDN-XJKSGUPXSA-N 1 2 324.384 1.834 20 30 DDEDLO CN(C(=O)[C@@H]1CCc2[nH+]ccn2C1)[C@@H]1CCCN(CC#N)CC1 ZINC000948556221 719546184 /nfs/dbraw/zinc/54/61/84/719546184.db2.gz GPXRAPCKYCBVHA-HUUCEWRRSA-N 1 2 315.421 1.282 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cncc(OC)n2)C1 ZINC000968390980 719552734 /nfs/dbraw/zinc/55/27/34/719552734.db2.gz OQBOPGMEDNARRZ-GWCFXTLKSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cncc(OC)n2)C1 ZINC000968390980 719552739 /nfs/dbraw/zinc/55/27/39/719552739.db2.gz OQBOPGMEDNARRZ-GWCFXTLKSA-N 1 2 324.812 1.678 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1n[nH]c(C)c1C ZINC000948898795 719773431 /nfs/dbraw/zinc/77/34/31/719773431.db2.gz LTSYSECSPNXLGO-QGZVFWFLSA-N 1 2 322.412 1.989 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1n[nH]c(C)c1C ZINC000948898795 719773434 /nfs/dbraw/zinc/77/34/34/719773434.db2.gz LTSYSECSPNXLGO-QGZVFWFLSA-N 1 2 322.412 1.989 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn(CC)n1 ZINC000948916475 719784945 /nfs/dbraw/zinc/78/49/45/719784945.db2.gz WXNNXAZITNGXKI-KRWDZBQOSA-N 1 2 323.400 1.260 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn(CC)n1 ZINC000948916475 719784947 /nfs/dbraw/zinc/78/49/47/719784947.db2.gz WXNNXAZITNGXKI-KRWDZBQOSA-N 1 2 323.400 1.260 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccn(CC)n1 ZINC000948965276 719812330 /nfs/dbraw/zinc/81/23/30/719812330.db2.gz IGECRIWCTIUTQX-GOSISDBHSA-N 1 2 322.412 1.865 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccn(CC)n1 ZINC000948965276 719812338 /nfs/dbraw/zinc/81/23/38/719812338.db2.gz IGECRIWCTIUTQX-GOSISDBHSA-N 1 2 322.412 1.865 20 30 DDEDLO C=CCC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nc[nH]n1 ZINC000948970267 719815760 /nfs/dbraw/zinc/81/57/60/719815760.db2.gz VPCIGAMETSCHMX-HNNXBMFYSA-N 1 2 311.389 1.710 20 30 DDEDLO C=CCC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nc[nH]n1 ZINC000948970267 719815766 /nfs/dbraw/zinc/81/57/66/719815766.db2.gz VPCIGAMETSCHMX-HNNXBMFYSA-N 1 2 311.389 1.710 20 30 DDEDLO N#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000968913104 719863417 /nfs/dbraw/zinc/86/34/17/719863417.db2.gz BMQHCRHWNNLDKN-LSDHHAIUSA-N 1 2 315.421 1.330 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(F)nc3)CC2)C1 ZINC000949319762 720014636 /nfs/dbraw/zinc/01/46/36/720014636.db2.gz AHJFKMXLRGENBY-UHFFFAOYSA-N 1 2 317.364 1.161 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(F)nc3)CC2)C1 ZINC000949319762 720014641 /nfs/dbraw/zinc/01/46/41/720014641.db2.gz AHJFKMXLRGENBY-UHFFFAOYSA-N 1 2 317.364 1.161 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cc[nH]c3C)CC2)C1 ZINC000949335766 720024215 /nfs/dbraw/zinc/02/42/15/720024215.db2.gz GFNRKZPHKMGYPG-UHFFFAOYSA-N 1 2 301.390 1.263 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cc[nH]c3C)CC2)C1 ZINC000949335766 720024216 /nfs/dbraw/zinc/02/42/16/720024216.db2.gz GFNRKZPHKMGYPG-UHFFFAOYSA-N 1 2 301.390 1.263 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H](CC)SC)CC2)C1 ZINC000949340392 720026727 /nfs/dbraw/zinc/02/67/27/720026727.db2.gz MKTZWSORYAHIRK-CQSZACIVSA-N 1 2 310.463 1.455 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H](CC)SC)CC2)C1 ZINC000949340392 720026729 /nfs/dbraw/zinc/02/67/29/720026729.db2.gz MKTZWSORYAHIRK-CQSZACIVSA-N 1 2 310.463 1.455 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H](C)[C@@H]3CCCO3)CC2)C1 ZINC000949466958 720107540 /nfs/dbraw/zinc/10/75/40/720107540.db2.gz XQUXWJHTHIROEH-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H](C)[C@@H]3CCCO3)CC2)C1 ZINC000949466958 720107542 /nfs/dbraw/zinc/10/75/42/720107542.db2.gz XQUXWJHTHIROEH-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO Cc1conc1C[NH+]1CC([C@H](C)NC(=O)c2c[nH]c(C#N)c2)C1 ZINC000969527112 720174693 /nfs/dbraw/zinc/17/46/93/720174693.db2.gz TZAWCFUTESGVJZ-NSHDSACASA-N 1 2 313.361 1.433 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccc3nc[nH]c3n2)C1 ZINC000969944293 720569227 /nfs/dbraw/zinc/56/92/27/720569227.db2.gz MEYPKHNYDMCPSR-JTQLQIEISA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC000950433344 720630404 /nfs/dbraw/zinc/63/04/04/720630404.db2.gz BDQVDFBKSYFIDV-GFCCVEGCSA-N 1 2 308.813 1.726 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2nn3c(c2C)OCCC3)C1 ZINC000950480451 720651677 /nfs/dbraw/zinc/65/16/77/720651677.db2.gz JZBJROPFJDYXCI-UHFFFAOYSA-N 1 2 318.421 1.696 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2[nH]nc(C)c2C(F)(F)F)CC1 ZINC000950485682 720653902 /nfs/dbraw/zinc/65/39/02/720653902.db2.gz VQGCBMPXEMYSAK-UHFFFAOYSA-N 1 2 302.300 1.681 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC000950497461 720658398 /nfs/dbraw/zinc/65/83/98/720658398.db2.gz RYWXCMRCDOGHPX-CQSZACIVSA-N 1 2 314.433 1.690 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC000950500633 720659606 /nfs/dbraw/zinc/65/96/06/720659606.db2.gz HIDZNXBXVMFLOM-CYBMUJFWSA-N 1 2 300.406 1.300 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc3c(c2)nnn3C)C1 ZINC000950624566 720709848 /nfs/dbraw/zinc/70/98/48/720709848.db2.gz PBMZOUIRDCYPBF-UHFFFAOYSA-N 1 2 313.405 1.691 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)N[C@H](CC(C)C)C2)C1 ZINC000950687082 720735534 /nfs/dbraw/zinc/73/55/34/720735534.db2.gz JWPMOWFRMWCINI-HUUCEWRRSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2COc3ccccc3C2)C1 ZINC000950818475 720784355 /nfs/dbraw/zinc/78/43/55/720784355.db2.gz RPRNULXEBCPTLO-HNNXBMFYSA-N 1 2 300.402 1.956 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC000970724542 720910488 /nfs/dbraw/zinc/91/04/88/720910488.db2.gz FMDVWRUXPCMZNP-NWDGAFQWSA-N 1 2 322.840 1.704 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cccn(C)c2=O)C1 ZINC000970754148 720924098 /nfs/dbraw/zinc/92/40/98/720924098.db2.gz PUDYWUJSAIQZPJ-NSHDSACASA-N 1 2 309.797 1.188 20 30 DDEDLO CO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971159059 721146035 /nfs/dbraw/zinc/14/60/35/721146035.db2.gz XHNJLVGCMODARH-LRDDRELGSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971159059 721146037 /nfs/dbraw/zinc/14/60/37/721146037.db2.gz XHNJLVGCMODARH-LRDDRELGSA-N 1 2 319.380 1.765 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC(C3CC3)C3CC3)C2)C1 ZINC000972696699 735485761 /nfs/dbraw/zinc/48/57/61/735485761.db2.gz YLMXPQSOLIJMST-IBGZPJMESA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC(C3CC3)C3CC3)C2)C1 ZINC000972696699 735485764 /nfs/dbraw/zinc/48/57/64/735485764.db2.gz YLMXPQSOLIJMST-IBGZPJMESA-N 1 2 316.445 1.749 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@H]([N@H+](C)Cc2cn(C)nn2)C1 ZINC001027560000 738405984 /nfs/dbraw/zinc/40/59/84/738405984.db2.gz NATSHCPQYDQNMU-DOTOQJQBSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@H]([N@@H+](C)Cc2cn(C)nn2)C1 ZINC001027560000 738405987 /nfs/dbraw/zinc/40/59/87/738405987.db2.gz NATSHCPQYDQNMU-DOTOQJQBSA-N 1 2 319.453 1.840 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001207860575 732298478 /nfs/dbraw/zinc/29/84/78/732298478.db2.gz MYVQFSCXGDCIFH-CYBMUJFWSA-N 1 2 306.435 1.812 20 30 DDEDLO CC(C)(C)c1noc(C[NH2+]CCNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001125391111 733146331 /nfs/dbraw/zinc/14/63/31/733146331.db2.gz TXEYAOBOIOXSSC-UHFFFAOYSA-N 1 2 316.365 1.086 20 30 DDEDLO Cc1ccnc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n1 ZINC001038208723 733565943 /nfs/dbraw/zinc/56/59/43/733565943.db2.gz PGDYBYGRTJTELL-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ccnc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)n1 ZINC001038208723 733565946 /nfs/dbraw/zinc/56/59/46/733565946.db2.gz PGDYBYGRTJTELL-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnc2[nH]cnc2c1 ZINC001027908709 738794830 /nfs/dbraw/zinc/79/48/30/738794830.db2.gz DERJLVMRCREELR-LBPRGKRZSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnc2[nH]cnc2c1 ZINC001027908709 738794831 /nfs/dbraw/zinc/79/48/31/738794831.db2.gz DERJLVMRCREELR-LBPRGKRZSA-N 1 2 319.796 1.905 20 30 DDEDLO Cc1noc(C[NH2+]C[C@@H]2C[C@H](C)CCN2C(=O)C#CC2CC2)n1 ZINC001087164415 734401129 /nfs/dbraw/zinc/40/11/29/734401129.db2.gz XWBYMEFCLKXGSR-DOMZBBRYSA-N 1 2 316.405 1.508 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncn1C ZINC001111489643 734415596 /nfs/dbraw/zinc/41/55/96/734415596.db2.gz GUELHRAGHFDELM-XOUADPBQSA-N 1 2 318.421 1.233 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncn1C ZINC001111489643 734415599 /nfs/dbraw/zinc/41/55/99/734415599.db2.gz GUELHRAGHFDELM-XOUADPBQSA-N 1 2 318.421 1.233 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)C3(F)CCCCC3)[C@H]2C1 ZINC001083239808 734681711 /nfs/dbraw/zinc/68/17/11/734681711.db2.gz DBMBATKXLVNVES-LSDHHAIUSA-N 1 2 308.397 1.594 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)C3(F)CCCCC3)[C@H]2C1 ZINC001083239808 734681714 /nfs/dbraw/zinc/68/17/14/734681714.db2.gz DBMBATKXLVNVES-LSDHHAIUSA-N 1 2 308.397 1.594 20 30 DDEDLO COC(OC)c1c(/C=N/O)ccnc1NCc1cc[nH+]c(N)c1 ZINC001167617542 734836192 /nfs/dbraw/zinc/83/61/92/734836192.db2.gz SXSBHVAYIIMIDS-AWQFTUOYSA-N 1 2 317.349 1.770 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001023368641 735203141 /nfs/dbraw/zinc/20/31/41/735203141.db2.gz SBHJGMPDZNKSPQ-KGLIPLIRSA-N 1 2 300.406 1.291 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001023368641 735203142 /nfs/dbraw/zinc/20/31/42/735203142.db2.gz SBHJGMPDZNKSPQ-KGLIPLIRSA-N 1 2 300.406 1.291 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H]1CNC(=O)c1cc(C(N)=O)cs1 ZINC001024818394 736111190 /nfs/dbraw/zinc/11/11/90/736111190.db2.gz UXVKTOQQDYMQKO-LBPRGKRZSA-N 1 2 305.403 1.065 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1cc(C(N)=O)cs1 ZINC001024818394 736111193 /nfs/dbraw/zinc/11/11/93/736111193.db2.gz UXVKTOQQDYMQKO-LBPRGKRZSA-N 1 2 305.403 1.065 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1cnc(COC)s1 ZINC001024826438 736114514 /nfs/dbraw/zinc/11/45/14/736114514.db2.gz RZAJLPGBZZEDAY-GFCCVEGCSA-N 1 2 307.419 1.507 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1cnc(COC)s1 ZINC001024826438 736114515 /nfs/dbraw/zinc/11/45/15/736114515.db2.gz RZAJLPGBZZEDAY-GFCCVEGCSA-N 1 2 307.419 1.507 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCO[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111947857 736172663 /nfs/dbraw/zinc/17/26/63/736172663.db2.gz BCFBLNUNNIHXIL-GXTWGEPZSA-N 1 2 320.393 1.015 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3CC4CCC3CC4)[C@H]2C1 ZINC001083284274 736793464 /nfs/dbraw/zinc/79/34/64/736793464.db2.gz BAIZWZSDTKNXBL-LMNGEROHSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3CC4CCC3CC4)[C@H]2C1 ZINC001083284274 736793467 /nfs/dbraw/zinc/79/34/67/736793467.db2.gz BAIZWZSDTKNXBL-LMNGEROHSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C3CC(c4ccccc4)C3)[C@H]2C1 ZINC001083288443 737069175 /nfs/dbraw/zinc/06/91/75/737069175.db2.gz GNZUIXKSQPHMIT-WZOJCFFYSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C3CC(c4ccccc4)C3)[C@H]2C1 ZINC001083288443 737069180 /nfs/dbraw/zinc/06/91/80/737069180.db2.gz GNZUIXKSQPHMIT-WZOJCFFYSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](CNc1cc[nH+]c(C)n1)CC(C)C ZINC001104968023 737424201 /nfs/dbraw/zinc/42/42/01/737424201.db2.gz RVHGMOJCCFICHT-UKRRQHHQSA-N 1 2 318.421 1.766 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](OC)C3CCCCC3)[C@H]2C1 ZINC001083299700 737650037 /nfs/dbraw/zinc/65/00/37/737650037.db2.gz JFSMIFHIJDXKDS-BBWFWOEESA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](OC)C3CCCCC3)[C@H]2C1 ZINC001083299700 737650041 /nfs/dbraw/zinc/65/00/41/737650041.db2.gz JFSMIFHIJDXKDS-BBWFWOEESA-N 1 2 320.433 1.127 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001028140184 739082825 /nfs/dbraw/zinc/08/28/25/739082825.db2.gz JULXLNZNLNERHC-FRRDWIJNSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001028140184 739082830 /nfs/dbraw/zinc/08/28/30/739082830.db2.gz JULXLNZNLNERHC-FRRDWIJNSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H]2CCN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001075620089 739220499 /nfs/dbraw/zinc/22/04/99/739220499.db2.gz YWXQEAPNRGTDAS-ADEWGFFLSA-N 1 2 312.211 1.587 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H]2CCN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001075620089 739220502 /nfs/dbraw/zinc/22/05/02/739220502.db2.gz YWXQEAPNRGTDAS-ADEWGFFLSA-N 1 2 312.211 1.587 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncc(OC)cc2F)C1 ZINC001035393962 751464142 /nfs/dbraw/zinc/46/41/42/751464142.db2.gz GMJXCWKCLXGLOG-CYBMUJFWSA-N 1 2 323.368 1.236 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncc(OC)cc2F)C1 ZINC001035393962 751464145 /nfs/dbraw/zinc/46/41/45/751464145.db2.gz GMJXCWKCLXGLOG-CYBMUJFWSA-N 1 2 323.368 1.236 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(F)ccc2F)C1 ZINC001035399224 751468641 /nfs/dbraw/zinc/46/86/41/751468641.db2.gz RKAMFDFUOQNRQT-CYBMUJFWSA-N 1 2 308.328 1.419 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(F)ccc2F)C1 ZINC001035399224 751468646 /nfs/dbraw/zinc/46/86/46/751468646.db2.gz RKAMFDFUOQNRQT-CYBMUJFWSA-N 1 2 308.328 1.419 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(CC)n2)C1 ZINC001035398794 751468680 /nfs/dbraw/zinc/46/86/80/751468680.db2.gz YWMVJFLJCFVFQY-OAHLLOKOSA-N 1 2 301.390 1.098 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccc(CC)n2)C1 ZINC001035398794 751468684 /nfs/dbraw/zinc/46/86/84/751468684.db2.gz YWMVJFLJCFVFQY-OAHLLOKOSA-N 1 2 301.390 1.098 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cnc(C3CC3)o2)C1 ZINC001035401241 751471301 /nfs/dbraw/zinc/47/13/01/751471301.db2.gz XEVHACKFDPHHAS-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cnc(C3CC3)o2)C1 ZINC001035401241 751471306 /nfs/dbraw/zinc/47/13/06/751471306.db2.gz XEVHACKFDPHHAS-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3oc(=O)[nH]c3c2)C1 ZINC001035413298 751495787 /nfs/dbraw/zinc/49/57/87/751495787.db2.gz ZMXUYGYLDUHEFP-LBPRGKRZSA-N 1 2 317.345 1.150 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3oc(=O)[nH]c3c2)C1 ZINC001035413298 751495792 /nfs/dbraw/zinc/49/57/92/751495792.db2.gz ZMXUYGYLDUHEFP-LBPRGKRZSA-N 1 2 317.345 1.150 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2nccc3occc32)C1 ZINC001035452913 751502137 /nfs/dbraw/zinc/50/21/37/751502137.db2.gz JRDLXIATXXNBEC-CYBMUJFWSA-N 1 2 313.357 1.282 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2nccc3occc32)C1 ZINC001035452913 751502144 /nfs/dbraw/zinc/50/21/44/751502144.db2.gz JRDLXIATXXNBEC-CYBMUJFWSA-N 1 2 313.357 1.282 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098690430 740103051 /nfs/dbraw/zinc/10/30/51/740103051.db2.gz OENFAHUOXOQCSN-WMLDXEAASA-N 1 2 318.421 1.539 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccnc2C(F)F)C1 ZINC001035442963 751519802 /nfs/dbraw/zinc/51/98/02/751519802.db2.gz ZFIADCGGYBBNGR-GFCCVEGCSA-N 1 2 323.343 1.473 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccnc2C(F)F)C1 ZINC001035442963 751519806 /nfs/dbraw/zinc/51/98/06/751519806.db2.gz ZFIADCGGYBBNGR-GFCCVEGCSA-N 1 2 323.343 1.473 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@@H]1CCc2[nH+]c(C)[nH]c2C1 ZINC001038429786 740371534 /nfs/dbraw/zinc/37/15/34/740371534.db2.gz JHBROHKGNQBDSR-CHWSQXEVSA-N 1 2 322.840 1.766 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@@H]1CCc2[nH]c(C)[nH+]c2C1 ZINC001038429786 740371539 /nfs/dbraw/zinc/37/15/39/740371539.db2.gz JHBROHKGNQBDSR-CHWSQXEVSA-N 1 2 322.840 1.766 20 30 DDEDLO CCc1cnc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)o1 ZINC001075776388 740429389 /nfs/dbraw/zinc/42/93/89/740429389.db2.gz LQGPHSZHFLOHEA-GOEBONIOSA-N 1 2 313.401 1.683 20 30 DDEDLO CCc1cnc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)o1 ZINC001075776388 740429391 /nfs/dbraw/zinc/42/93/91/740429391.db2.gz LQGPHSZHFLOHEA-GOEBONIOSA-N 1 2 313.401 1.683 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc3ccccc3nn2)C1 ZINC001035463622 751548280 /nfs/dbraw/zinc/54/82/80/751548280.db2.gz KTOQGSREZGCQJO-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc3ccccc3nn2)C1 ZINC001035463622 751548284 /nfs/dbraw/zinc/54/82/84/751548284.db2.gz KTOQGSREZGCQJO-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(F)ccc2OC)C1 ZINC001035468860 751553473 /nfs/dbraw/zinc/55/34/73/751553473.db2.gz HOZZDQOQBJRJDR-CYBMUJFWSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(F)ccc2OC)C1 ZINC001035468860 751553478 /nfs/dbraw/zinc/55/34/78/751553478.db2.gz HOZZDQOQBJRJDR-CYBMUJFWSA-N 1 2 308.353 1.451 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@]2(F)CCOC2)[C@H]1CC ZINC001087700555 740713506 /nfs/dbraw/zinc/71/35/06/740713506.db2.gz PYFJVIRFJSLEHQ-OUCADQQQSA-N 1 2 304.793 1.837 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@]2(F)CCOC2)[C@H]1CC ZINC001087700555 740713508 /nfs/dbraw/zinc/71/35/08/740713508.db2.gz PYFJVIRFJSLEHQ-OUCADQQQSA-N 1 2 304.793 1.837 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)[C@H]1CC ZINC001087780702 740748052 /nfs/dbraw/zinc/74/80/52/740748052.db2.gz UNFYBTMNAIVYHC-UHOFOFEASA-N 1 2 314.433 1.750 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)[C@H]1CC ZINC001087780702 740748053 /nfs/dbraw/zinc/74/80/53/740748053.db2.gz UNFYBTMNAIVYHC-UHOFOFEASA-N 1 2 314.433 1.750 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC001059426729 740916267 /nfs/dbraw/zinc/91/62/67/740916267.db2.gz QEZLGEVTRSIIBH-JOCQHMNTSA-N 1 2 310.361 1.287 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccn3ccnc23)C1 ZINC001035544784 751592012 /nfs/dbraw/zinc/59/20/12/751592012.db2.gz KSNPRWCFKWJLMZ-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccn3ccnc23)C1 ZINC001035544784 751592019 /nfs/dbraw/zinc/59/20/19/751592019.db2.gz KSNPRWCFKWJLMZ-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](n3cc(C[NH2+]CCF)nn3)C2)C1 ZINC001098715970 740972464 /nfs/dbraw/zinc/97/24/64/740972464.db2.gz MWAWVHXSSVCCPS-CQSZACIVSA-N 1 2 321.400 1.467 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)ccc2F)C1 ZINC001035531085 751611779 /nfs/dbraw/zinc/61/17/79/751611779.db2.gz YYWRQHUJVHPYGV-AWEZNQCLSA-N 1 2 304.365 1.588 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)ccc2F)C1 ZINC001035531085 751611785 /nfs/dbraw/zinc/61/17/85/751611785.db2.gz YYWRQHUJVHPYGV-AWEZNQCLSA-N 1 2 304.365 1.588 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](C/C=C\Cl)C[C@H]2OC)CCC1 ZINC001212393426 751618576 /nfs/dbraw/zinc/61/85/76/751618576.db2.gz NAOJQKIUMUAQQU-RFGQWYDFSA-N 1 2 310.825 1.748 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](C/C=C\Cl)C[C@H]2OC)CCC1 ZINC001212393426 751618580 /nfs/dbraw/zinc/61/85/80/751618580.db2.gz NAOJQKIUMUAQQU-RFGQWYDFSA-N 1 2 310.825 1.748 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3cnccc3c2)C1 ZINC001035566496 751620001 /nfs/dbraw/zinc/62/00/01/751620001.db2.gz GUPNCPZYZGSMIF-KRWDZBQOSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3cnccc3c2)C1 ZINC001035566496 751620008 /nfs/dbraw/zinc/62/00/08/751620008.db2.gz GUPNCPZYZGSMIF-KRWDZBQOSA-N 1 2 311.385 1.851 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001059551857 741271217 /nfs/dbraw/zinc/27/12/17/741271217.db2.gz RTMKJFBBGJFDPA-AWEZNQCLSA-N 1 2 316.405 1.111 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2ncnn2CC)C1 ZINC001029801579 741318131 /nfs/dbraw/zinc/31/81/31/741318131.db2.gz OWTQEMBKOOQPOY-AWEZNQCLSA-N 1 2 319.453 1.791 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](C[N@H+](C)Cc2ncnn2CC)C1 ZINC001029801579 741318133 /nfs/dbraw/zinc/31/81/33/741318133.db2.gz OWTQEMBKOOQPOY-AWEZNQCLSA-N 1 2 319.453 1.791 20 30 DDEDLO N#CCN[C@H]1CCCCCN(C(=O)c2cccc3[nH+]ccn32)C1 ZINC001088346357 741421358 /nfs/dbraw/zinc/42/13/58/741421358.db2.gz MXIJWPXQMLKLNA-AWEZNQCLSA-N 1 2 311.389 1.832 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H](C)C(C)C)C2)nn1 ZINC001098740379 741551437 /nfs/dbraw/zinc/55/14/37/741551437.db2.gz QAEGFOXECDDTJX-HIFRSBDPSA-N 1 2 303.410 1.066 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccccn2)c1 ZINC001032617622 751658251 /nfs/dbraw/zinc/65/82/51/751658251.db2.gz JNAPTJQUWDRJDH-ROUUACIJSA-N 1 2 318.380 1.557 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccccn2)c1 ZINC001032617622 751658256 /nfs/dbraw/zinc/65/82/56/751658256.db2.gz JNAPTJQUWDRJDH-ROUUACIJSA-N 1 2 318.380 1.557 20 30 DDEDLO C#CC[N@H+]1CCC[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001040216872 741947195 /nfs/dbraw/zinc/94/71/95/741947195.db2.gz QPVBOZXOEIIOLS-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001040216872 741947196 /nfs/dbraw/zinc/94/71/96/741947196.db2.gz QPVBOZXOEIIOLS-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@H+]1CCC[C@@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001040216872 741947198 /nfs/dbraw/zinc/94/71/98/741947198.db2.gz QPVBOZXOEIIOLS-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001040216872 741947199 /nfs/dbraw/zinc/94/71/99/741947199.db2.gz QPVBOZXOEIIOLS-WCQYABFASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C=C\C(C)(C)C)C2)nn1 ZINC001098645956 741996146 /nfs/dbraw/zinc/99/61/46/741996146.db2.gz YUULSCCULWVOAU-DDJMYBDESA-N 1 2 315.421 1.377 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cn(C)nc2C)[C@H]1C ZINC001088626235 742026899 /nfs/dbraw/zinc/02/68/99/742026899.db2.gz BTUXZSWROFWZNY-OCCSQVGLSA-N 1 2 310.829 1.603 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cn(C)nc2C)[C@H]1C ZINC001088626235 742026901 /nfs/dbraw/zinc/02/69/01/742026901.db2.gz BTUXZSWROFWZNY-OCCSQVGLSA-N 1 2 310.829 1.603 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+]Cc1noc(-c2ccccc2)n1 ZINC001126833718 742329999 /nfs/dbraw/zinc/32/99/99/742329999.db2.gz BERKNNPOSRDITB-UHFFFAOYSA-N 1 2 312.373 1.602 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3[nH]c(=O)oc3c2)C1 ZINC001035615925 751721895 /nfs/dbraw/zinc/72/18/95/751721895.db2.gz CHMNQOHVSVBQRO-GFCCVEGCSA-N 1 2 317.345 1.150 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3[nH]c(=O)oc3c2)C1 ZINC001035615925 751721899 /nfs/dbraw/zinc/72/18/99/751721899.db2.gz CHMNQOHVSVBQRO-GFCCVEGCSA-N 1 2 317.345 1.150 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)COC2CCCCC2)C1 ZINC001108042329 743009546 /nfs/dbraw/zinc/00/95/46/743009546.db2.gz MIVFDHHCPBZNTP-GOSISDBHSA-N 1 2 322.449 1.566 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)COC2CCCCC2)C1 ZINC001108042329 743009553 /nfs/dbraw/zinc/00/95/53/743009553.db2.gz MIVFDHHCPBZNTP-GOSISDBHSA-N 1 2 322.449 1.566 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H](O)[C@H](NC(=O)C=C2CCC2)C1 ZINC001076868862 743067812 /nfs/dbraw/zinc/06/78/12/743067812.db2.gz GHJUPBHNOGOQRH-IAGOWNOFSA-N 1 2 311.385 1.330 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)C=C2CCC2)C1 ZINC001076868862 743067819 /nfs/dbraw/zinc/06/78/19/743067819.db2.gz GHJUPBHNOGOQRH-IAGOWNOFSA-N 1 2 311.385 1.330 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CCNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001077016078 743180836 /nfs/dbraw/zinc/18/08/36/743180836.db2.gz ZJVWCYUACXYTLP-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CCNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001077016078 743180839 /nfs/dbraw/zinc/18/08/39/743180839.db2.gz ZJVWCYUACXYTLP-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)CCCn1cc[nH+]c1 ZINC001077169863 743291182 /nfs/dbraw/zinc/29/11/82/743291182.db2.gz QHFNITZJSAKLTF-AWEZNQCLSA-N 1 2 306.410 1.641 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNC(=O)Cn1cc[nH+]c1 ZINC001077187006 743303573 /nfs/dbraw/zinc/30/35/73/743303573.db2.gz XXNUIYMPDYYKOU-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO CCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001089981137 743374349 /nfs/dbraw/zinc/37/43/49/743374349.db2.gz OYBMWTHXMPKKBB-CABCVRRESA-N 1 2 305.353 1.159 20 30 DDEDLO CCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001089981137 743374361 /nfs/dbraw/zinc/37/43/61/743374361.db2.gz OYBMWTHXMPKKBB-CABCVRRESA-N 1 2 305.353 1.159 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1)OCC ZINC001182973231 743764436 /nfs/dbraw/zinc/76/44/36/743764436.db2.gz BZWJGUTVHPFVSL-OLZOCXBDSA-N 1 2 324.450 1.600 20 30 DDEDLO N#Cc1ccc(C(=O)NC2C[NH+](CCc3cccs3)C2)[nH]1 ZINC001030224226 743960626 /nfs/dbraw/zinc/96/06/26/743960626.db2.gz JEVWIHVYLNVPBZ-UHFFFAOYSA-N 1 2 300.387 1.605 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)nc1 ZINC001060313082 744022403 /nfs/dbraw/zinc/02/24/03/744022403.db2.gz NZTUVQCDCAGXLB-OAHLLOKOSA-N 1 2 324.388 1.712 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC1C[NH+](C[C@H]2CCCCO2)C1 ZINC001030332494 744055876 /nfs/dbraw/zinc/05/58/76/744055876.db2.gz MWXYZSIEQCXWBR-OAGGEKHMSA-N 1 2 308.422 1.337 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccns2)C[C@H]1OC ZINC001212187091 744262804 /nfs/dbraw/zinc/26/28/04/744262804.db2.gz JTMUJTVQNXZJDV-ZIAGYGMSSA-N 1 2 307.419 1.262 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccns2)C[C@H]1OC ZINC001212187091 744262808 /nfs/dbraw/zinc/26/28/08/744262808.db2.gz JTMUJTVQNXZJDV-ZIAGYGMSSA-N 1 2 307.419 1.262 20 30 DDEDLO C[C@@H]1CN(C(=O)CCCn2cc[nH+]c2)CC[C@@H]1CNCC#N ZINC001185790331 744286572 /nfs/dbraw/zinc/28/65/72/744286572.db2.gz ZPTJXXLJMYGXKN-HUUCEWRRSA-N 1 2 303.410 1.261 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C(N)=O)nc2)[C@H]1C ZINC001089075142 744492108 /nfs/dbraw/zinc/49/21/08/744492108.db2.gz POAQOCJYVZYCNO-PWSUYJOCSA-N 1 2 322.796 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C(N)=O)nc2)[C@H]1C ZINC001089075142 744492110 /nfs/dbraw/zinc/49/21/10/744492110.db2.gz POAQOCJYVZYCNO-PWSUYJOCSA-N 1 2 322.796 1.126 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cn(C)nc2-c2ccoc2)C1 ZINC001030727918 744538531 /nfs/dbraw/zinc/53/85/31/744538531.db2.gz GZTGYLATOFIIMQ-UHFFFAOYSA-N 1 2 300.362 1.670 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001077499642 744647609 /nfs/dbraw/zinc/64/76/09/744647609.db2.gz OYQYOJAAKCSGLE-KGLIPLIRSA-N 1 2 320.437 1.576 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@@H]3CCCc4[nH+]c[nH]c43)CCC[C@@H]12 ZINC000992165287 744650607 /nfs/dbraw/zinc/65/06/07/744650607.db2.gz DQSJIUAWZUGQPY-SUYBPPKGSA-N 1 2 313.405 1.466 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001188309506 744694480 /nfs/dbraw/zinc/69/44/80/744694480.db2.gz AAIGBIOMYJYKKB-DGCLKSJQSA-N 1 2 320.393 1.229 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188973837 744802457 /nfs/dbraw/zinc/80/24/57/744802457.db2.gz JKZBHLVMSGWUHT-ZYHUDNBSSA-N 1 2 323.422 1.229 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188973837 744802459 /nfs/dbraw/zinc/80/24/59/744802459.db2.gz JKZBHLVMSGWUHT-ZYHUDNBSSA-N 1 2 323.422 1.229 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1oc(C)nc1C)C2 ZINC001110417142 744964771 /nfs/dbraw/zinc/96/47/71/744964771.db2.gz LVKPDGDQFXZSTI-KFWWJZLASA-N 1 2 317.389 1.276 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1oc(C)nc1C)C2 ZINC001110417142 744964775 /nfs/dbraw/zinc/96/47/75/744964775.db2.gz LVKPDGDQFXZSTI-KFWWJZLASA-N 1 2 317.389 1.276 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(CC)n2)C1 ZINC001189925338 745037952 /nfs/dbraw/zinc/03/79/52/745037952.db2.gz AJKMUFNLRGKKTN-MRXNPFEDSA-N 1 2 302.422 1.739 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(CC)n2)C1 ZINC001189925338 745037959 /nfs/dbraw/zinc/03/79/59/745037959.db2.gz AJKMUFNLRGKKTN-MRXNPFEDSA-N 1 2 302.422 1.739 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)oc1C ZINC001190047028 745088679 /nfs/dbraw/zinc/08/86/79/745088679.db2.gz NRYYYGDKXJPEKK-HNNXBMFYSA-N 1 2 303.406 1.984 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)oc1C ZINC001190047028 745088685 /nfs/dbraw/zinc/08/86/85/745088685.db2.gz NRYYYGDKXJPEKK-HNNXBMFYSA-N 1 2 303.406 1.984 20 30 DDEDLO N#CCCN1CCN(C(=O)c2ccccc2-n2cc[nH+]c2)CC1 ZINC001190096859 745098465 /nfs/dbraw/zinc/09/84/65/745098465.db2.gz DPWUEBHEMHPRFV-UHFFFAOYSA-N 1 2 309.373 1.544 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001190105556 745102373 /nfs/dbraw/zinc/10/23/73/745102373.db2.gz OEZXDVQLUVYRDE-ZFWWWQNUSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001190105556 745102374 /nfs/dbraw/zinc/10/23/74/745102374.db2.gz OEZXDVQLUVYRDE-ZFWWWQNUSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)CC=C(Cl)Cl)C1 ZINC001190114205 745119974 /nfs/dbraw/zinc/11/99/74/745119974.db2.gz PBNXKGSIDDNNPO-GFCCVEGCSA-N 1 2 319.232 1.878 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)CC=C(Cl)Cl)C1 ZINC001190114205 745119976 /nfs/dbraw/zinc/11/99/76/745119976.db2.gz PBNXKGSIDDNNPO-GFCCVEGCSA-N 1 2 319.232 1.878 20 30 DDEDLO N#Cc1ccc(F)c(S(=O)(=O)N2CC([NH+]3CCCCC3)C2)c1 ZINC001190636656 745281313 /nfs/dbraw/zinc/28/13/13/745281313.db2.gz ROEBDKWGKHJKAP-UHFFFAOYSA-N 1 2 323.393 1.556 20 30 DDEDLO CC(C)(C)CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191069058 745417260 /nfs/dbraw/zinc/41/72/60/745417260.db2.gz SNXHCWOZMXKXHU-IAGOWNOFSA-N 1 2 314.429 1.636 20 30 DDEDLO CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191069058 745417265 /nfs/dbraw/zinc/41/72/65/745417265.db2.gz SNXHCWOZMXKXHU-IAGOWNOFSA-N 1 2 314.429 1.636 20 30 DDEDLO C/C(=C/C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)C1CC1 ZINC001191409476 745502447 /nfs/dbraw/zinc/50/24/47/745502447.db2.gz NXQQYYSPHRFASG-QOZZVNKNSA-N 1 2 324.424 1.556 20 30 DDEDLO C/C(=C/C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)C1CC1 ZINC001191409476 745502450 /nfs/dbraw/zinc/50/24/50/745502450.db2.gz NXQQYYSPHRFASG-QOZZVNKNSA-N 1 2 324.424 1.556 20 30 DDEDLO C#CC[C@H](OC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1)C(C)C ZINC001122761733 745718861 /nfs/dbraw/zinc/71/88/61/745718861.db2.gz APCLDSBGQOCXDD-PMPSAXMXSA-N 1 2 309.406 1.457 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cc(C)n[nH]2)[C@H]1C ZINC000993206917 745822854 /nfs/dbraw/zinc/82/28/54/745822854.db2.gz ULMIODPXBIUKBL-OCCSQVGLSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cc(C)n[nH]2)[C@H]1C ZINC000993206917 745822862 /nfs/dbraw/zinc/82/28/62/745822862.db2.gz ULMIODPXBIUKBL-OCCSQVGLSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cc(C)[nH]n2)[C@H]1C ZINC000993206917 745822868 /nfs/dbraw/zinc/82/28/68/745822868.db2.gz ULMIODPXBIUKBL-OCCSQVGLSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cc(C)[nH]n2)[C@H]1C ZINC000993206917 745822874 /nfs/dbraw/zinc/82/28/74/745822874.db2.gz ULMIODPXBIUKBL-OCCSQVGLSA-N 1 2 310.829 1.982 20 30 DDEDLO C[C@@H](CCNc1ccncc1C#N)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106621202 745846763 /nfs/dbraw/zinc/84/67/63/745846763.db2.gz YSNDJTMKBDBCRZ-LBPRGKRZSA-N 1 2 312.377 1.038 20 30 DDEDLO C[C@@H](CCNc1ccncc1C#N)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106621202 745846771 /nfs/dbraw/zinc/84/67/71/745846771.db2.gz YSNDJTMKBDBCRZ-LBPRGKRZSA-N 1 2 312.377 1.038 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC1C[NH+]([C@@H](C)COC)C1 ZINC001031231963 745951691 /nfs/dbraw/zinc/95/16/91/745951691.db2.gz SCTQALAOKJFYKT-LBPRGKRZSA-N 1 2 305.378 1.095 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2nnc(C)[nH]2)[C@@H]1C ZINC000993324170 745990397 /nfs/dbraw/zinc/99/03/97/745990397.db2.gz GWKNCPVFRUJCAS-CMPLNLGQSA-N 1 2 311.817 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2nnc(C)[nH]2)[C@@H]1C ZINC000993324170 745990399 /nfs/dbraw/zinc/99/03/99/745990399.db2.gz GWKNCPVFRUJCAS-CMPLNLGQSA-N 1 2 311.817 1.377 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](CCc3cccs3)C2)nc1 ZINC001031279128 746123649 /nfs/dbraw/zinc/12/36/49/746123649.db2.gz OJKRUVBCJKCLCU-UHFFFAOYSA-N 1 2 311.410 1.781 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@@H]1C ZINC000993463402 746173517 /nfs/dbraw/zinc/17/35/17/746173517.db2.gz NBEWYJCVOPWSHF-QWRGUYRKSA-N 1 2 312.801 1.759 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@@H]1C ZINC000993463402 746173520 /nfs/dbraw/zinc/17/35/20/746173520.db2.gz NBEWYJCVOPWSHF-QWRGUYRKSA-N 1 2 312.801 1.759 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@H]1C ZINC000993771074 746321052 /nfs/dbraw/zinc/32/10/52/746321052.db2.gz GDEMBVCVARKAMT-GHMZBOCLSA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@H]1C ZINC000993771074 746321058 /nfs/dbraw/zinc/32/10/58/746321058.db2.gz GDEMBVCVARKAMT-GHMZBOCLSA-N 1 2 312.801 1.461 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001194388608 746364655 /nfs/dbraw/zinc/36/46/55/746364655.db2.gz SJSJZKPHABXDRW-ZDUSSCGKSA-N 1 2 323.393 1.309 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001194388608 746364660 /nfs/dbraw/zinc/36/46/60/746364660.db2.gz SJSJZKPHABXDRW-ZDUSSCGKSA-N 1 2 323.393 1.309 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001194396446 746366494 /nfs/dbraw/zinc/36/64/94/746366494.db2.gz CYQUDUHQUJNITB-GOEBONIOSA-N 1 2 320.437 1.352 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001194396446 746366498 /nfs/dbraw/zinc/36/64/98/746366498.db2.gz CYQUDUHQUJNITB-GOEBONIOSA-N 1 2 320.437 1.352 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCN(C(=O)C=C(C)C)CC1 ZINC001194739718 746442930 /nfs/dbraw/zinc/44/29/30/746442930.db2.gz UAARMKOCHKHPDP-UHFFFAOYSA-N 1 2 320.437 1.249 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCN(C(=O)C=C(C)C)CC1 ZINC001194739718 746442932 /nfs/dbraw/zinc/44/29/32/746442932.db2.gz UAARMKOCHKHPDP-UHFFFAOYSA-N 1 2 320.437 1.249 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001007322362 752095868 /nfs/dbraw/zinc/09/58/68/752095868.db2.gz UMHOIXJBBBMGJC-AWEZNQCLSA-N 1 2 323.824 1.490 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001007322362 752095871 /nfs/dbraw/zinc/09/58/71/752095871.db2.gz UMHOIXJBBBMGJC-AWEZNQCLSA-N 1 2 323.824 1.490 20 30 DDEDLO CC(C)S(=O)(=O)CC[N@H+](C)CCOCc1ccc(C#N)cc1 ZINC001194791766 746456446 /nfs/dbraw/zinc/45/64/46/746456446.db2.gz NGQMMAZYVSTWHE-UHFFFAOYSA-N 1 2 324.446 1.830 20 30 DDEDLO CC(C)S(=O)(=O)CC[N@@H+](C)CCOCc1ccc(C#N)cc1 ZINC001194791766 746456450 /nfs/dbraw/zinc/45/64/50/746456450.db2.gz NGQMMAZYVSTWHE-UHFFFAOYSA-N 1 2 324.446 1.830 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3cc(C)no3)C[C@@H]2O)CC1 ZINC001083750453 746578112 /nfs/dbraw/zinc/57/81/12/746578112.db2.gz XJYWRYRRMIIHNS-CVEARBPZSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3cc(C)no3)C[C@@H]2O)CC1 ZINC001083750453 746578114 /nfs/dbraw/zinc/57/81/14/746578114.db2.gz XJYWRYRRMIIHNS-CVEARBPZSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@H]1O ZINC001195525430 746637364 /nfs/dbraw/zinc/63/73/64/746637364.db2.gz NSKLUEOTGMFHDP-FVQBIDKESA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@H]1O ZINC001195525430 746637368 /nfs/dbraw/zinc/63/73/68/746637368.db2.gz NSKLUEOTGMFHDP-FVQBIDKESA-N 1 2 303.406 1.345 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)NC(CC)CC)CC1 ZINC001195645031 746663707 /nfs/dbraw/zinc/66/37/07/746663707.db2.gz HAMPBDJHGIKKPH-UHFFFAOYSA-N 1 2 321.465 1.629 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)NC(CC)CC)CC1 ZINC001195645031 746663710 /nfs/dbraw/zinc/66/37/10/746663710.db2.gz HAMPBDJHGIKKPH-UHFFFAOYSA-N 1 2 321.465 1.629 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)c2coc(C)c2)CC1 ZINC001195613276 746667626 /nfs/dbraw/zinc/66/76/26/746667626.db2.gz XCVIKADGVCNAMM-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)c2coc(C)c2)CC1 ZINC001195613276 746667627 /nfs/dbraw/zinc/66/76/27/746667627.db2.gz XCVIKADGVCNAMM-CQSZACIVSA-N 1 2 319.405 1.427 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CC(=O)N(C3CCCC3)C2)C1 ZINC001031372632 746707597 /nfs/dbraw/zinc/70/75/97/746707597.db2.gz LGYGSFQPMCFHMI-CYBMUJFWSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cc(C)no3)C[C@@H]2O)C1 ZINC001083756174 746714764 /nfs/dbraw/zinc/71/47/64/746714764.db2.gz GSSGRKXTLYVKIG-KGLIPLIRSA-N 1 2 305.378 1.001 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cc(C)no3)C[C@@H]2O)C1 ZINC001083756174 746714766 /nfs/dbraw/zinc/71/47/66/746714766.db2.gz GSSGRKXTLYVKIG-KGLIPLIRSA-N 1 2 305.378 1.001 20 30 DDEDLO C=CC[NH+]1CCN(C(=S)Nc2cccc(C(=O)OC)c2)CC1 ZINC001195829308 746719274 /nfs/dbraw/zinc/71/92/74/746719274.db2.gz VDXQHMPOEUQPDK-UHFFFAOYSA-N 1 2 319.430 1.974 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cnc(COC)s2)[C@H]1C ZINC000994523426 746737368 /nfs/dbraw/zinc/73/73/68/746737368.db2.gz XAFLDEDUXIMVSM-NEPJUHHUSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc(COC)s2)[C@H]1C ZINC000994523426 746737372 /nfs/dbraw/zinc/73/73/72/746737372.db2.gz XAFLDEDUXIMVSM-NEPJUHHUSA-N 1 2 307.419 1.505 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(OC(F)(F)F)nc2)CC1 ZINC001196034414 746767626 /nfs/dbraw/zinc/76/76/26/746767626.db2.gz UMDZHZNRZZUZAT-UHFFFAOYSA-N 1 2 315.295 1.924 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@H]2CCC2(C)C)CC1 ZINC001196829192 746975142 /nfs/dbraw/zinc/97/51/42/746975142.db2.gz DMUWUDYQIGTGOH-LSDHHAIUSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@H]2CCC2(C)C)CC1 ZINC001196829192 746975145 /nfs/dbraw/zinc/97/51/45/746975145.db2.gz DMUWUDYQIGTGOH-LSDHHAIUSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H]2CCC2(C)C)CC1 ZINC001196829189 746975211 /nfs/dbraw/zinc/97/52/11/746975211.db2.gz DMUWUDYQIGTGOH-CABCVRRESA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@H]2CCC2(C)C)CC1 ZINC001196829189 746975212 /nfs/dbraw/zinc/97/52/12/746975212.db2.gz DMUWUDYQIGTGOH-CABCVRRESA-N 1 2 319.449 1.095 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)C2=COCCC2)C1 ZINC001031573361 747078286 /nfs/dbraw/zinc/07/82/86/747078286.db2.gz YRNZYEBPFOTXBY-UHFFFAOYSA-N 1 2 311.385 1.801 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2csc(C)c2)CC1 ZINC001197453257 747176991 /nfs/dbraw/zinc/17/69/91/747176991.db2.gz CDVNNLWVDLCNAE-UHFFFAOYSA-N 1 2 321.446 1.507 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2csc(C)c2)CC1 ZINC001197453257 747176998 /nfs/dbraw/zinc/17/69/98/747176998.db2.gz CDVNNLWVDLCNAE-UHFFFAOYSA-N 1 2 321.446 1.507 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)[C@H](C)CC)CC1 ZINC001197586507 747213347 /nfs/dbraw/zinc/21/33/47/747213347.db2.gz IKKXZRLUPXBRRX-CABCVRRESA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)[C@H](C)CC)CC1 ZINC001197586507 747213350 /nfs/dbraw/zinc/21/33/50/747213350.db2.gz IKKXZRLUPXBRRX-CABCVRRESA-N 1 2 309.454 1.505 20 30 DDEDLO CC[C@@H](CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O)C(C)(C)C ZINC001197742795 747256355 /nfs/dbraw/zinc/25/63/55/747256355.db2.gz IQAKIIOCEUGUNK-ARFHVFGLSA-N 1 2 324.465 1.260 20 30 DDEDLO CC[C@@H](CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O)C(C)(C)C ZINC001197742795 747256356 /nfs/dbraw/zinc/25/63/56/747256356.db2.gz IQAKIIOCEUGUNK-ARFHVFGLSA-N 1 2 324.465 1.260 20 30 DDEDLO CCn1nccc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031633512 747268035 /nfs/dbraw/zinc/26/80/35/747268035.db2.gz WYOMHNIRYHJJOW-UHFFFAOYSA-N 1 2 323.400 1.636 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC[NH+](Cc3ncccn3)CC2)C1 ZINC001003595731 747310661 /nfs/dbraw/zinc/31/06/61/747310661.db2.gz CZWRQEFYMXDUIU-UHFFFAOYSA-N 1 2 300.406 1.914 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1CC ZINC001152370447 747314789 /nfs/dbraw/zinc/31/47/89/747314789.db2.gz GMMUERIAJDNLTN-CQSZACIVSA-N 1 2 308.426 1.432 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1CC ZINC001152370447 747314797 /nfs/dbraw/zinc/31/47/97/747314797.db2.gz GMMUERIAJDNLTN-CQSZACIVSA-N 1 2 308.426 1.432 20 30 DDEDLO CCn1ncc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)n1 ZINC001031654222 747322450 /nfs/dbraw/zinc/32/24/50/747322450.db2.gz JOTLCTNIFNKCRV-UHFFFAOYSA-N 1 2 324.388 1.031 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C3(CF)CC3)c2C1 ZINC001128480978 747424251 /nfs/dbraw/zinc/42/42/51/747424251.db2.gz IALOMVCEQJCVEJ-UHFFFAOYSA-N 1 2 306.385 1.641 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C3(CF)CC3)c2C1 ZINC001128480978 747424256 /nfs/dbraw/zinc/42/42/56/747424256.db2.gz IALOMVCEQJCVEJ-UHFFFAOYSA-N 1 2 306.385 1.641 20 30 DDEDLO C=CC[NH+]1CCN(C(=S)Nc2ccc3c(c2)OCO3)CC1 ZINC001198273807 747445018 /nfs/dbraw/zinc/44/50/18/747445018.db2.gz UCYSSKXPGMXTAC-UHFFFAOYSA-N 1 2 305.403 1.916 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+]([C@@H](C)c2cnccn2)CC1 ZINC001198324057 747452372 /nfs/dbraw/zinc/45/23/72/747452372.db2.gz KMJKIBCUQADSIY-HNNXBMFYSA-N 1 2 318.421 1.665 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+]([C@@H](C)c2cnccn2)CC1 ZINC001198324057 747452378 /nfs/dbraw/zinc/45/23/78/747452378.db2.gz KMJKIBCUQADSIY-HNNXBMFYSA-N 1 2 318.421 1.665 20 30 DDEDLO C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCCC[N@H+]1C ZINC001089657279 747601527 /nfs/dbraw/zinc/60/15/27/747601527.db2.gz BWSHSUXLEGKEKB-JKSUJKDBSA-N 1 2 305.466 1.711 20 30 DDEDLO C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCCC[N@@H+]1C ZINC001089657279 747601531 /nfs/dbraw/zinc/60/15/31/747601531.db2.gz BWSHSUXLEGKEKB-JKSUJKDBSA-N 1 2 305.466 1.711 20 30 DDEDLO C#CC[NH2+][C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@@H]1C ZINC001036140940 752202256 /nfs/dbraw/zinc/20/22/56/752202256.db2.gz MFZYWEVPLDSUSF-XJKSGUPXSA-N 1 2 323.400 1.545 20 30 DDEDLO CN(C(=O)c1ccn[nH]1)[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001032925677 747671903 /nfs/dbraw/zinc/67/19/03/747671903.db2.gz OWRUZKQPAGUUCH-LLVKDONJSA-N 1 2 315.402 1.689 20 30 DDEDLO CN(C(=O)c1ccn[nH]1)[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001032925677 747671906 /nfs/dbraw/zinc/67/19/06/747671906.db2.gz OWRUZKQPAGUUCH-LLVKDONJSA-N 1 2 315.402 1.689 20 30 DDEDLO CCN(c1ccncc1C#N)[C@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001089754689 747735943 /nfs/dbraw/zinc/73/59/43/747735943.db2.gz TZXLOAAGRURLMX-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cccc(F)c2)C[C@H]1O ZINC001199314055 747786742 /nfs/dbraw/zinc/78/67/42/747786742.db2.gz OCUBRRHBMCVPLQ-APHBMKBZSA-N 1 2 322.380 1.078 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(F)c2)C[C@H]1O ZINC001199314055 747786746 /nfs/dbraw/zinc/78/67/46/747786746.db2.gz OCUBRRHBMCVPLQ-APHBMKBZSA-N 1 2 322.380 1.078 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)Oc2cccc(F)c2)[C@H](OC)C1 ZINC001212319094 747844790 /nfs/dbraw/zinc/84/47/90/747844790.db2.gz YYHYLBHVJYVZLK-DAXOMENPSA-N 1 2 320.364 1.042 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)Oc2cccc(F)c2)[C@H](OC)C1 ZINC001212319094 747844794 /nfs/dbraw/zinc/84/47/94/747844794.db2.gz YYHYLBHVJYVZLK-DAXOMENPSA-N 1 2 320.364 1.042 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CC[C@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001033019687 747931912 /nfs/dbraw/zinc/93/19/12/747931912.db2.gz CJDLABZHDUNVDD-DOMZBBRYSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CC[C@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001033019687 747931918 /nfs/dbraw/zinc/93/19/18/747931918.db2.gz CJDLABZHDUNVDD-DOMZBBRYSA-N 1 2 324.388 1.584 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ncoc1[C@H]1CCCO1 ZINC001038592788 748004508 /nfs/dbraw/zinc/00/45/08/748004508.db2.gz NPARPJAWNFOJPQ-QWHCGFSZSA-N 1 2 303.362 1.354 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ncoc1[C@H]1CCCO1 ZINC001038592788 748004517 /nfs/dbraw/zinc/00/45/17/748004517.db2.gz NPARPJAWNFOJPQ-QWHCGFSZSA-N 1 2 303.362 1.354 20 30 DDEDLO C=CCCCC(=O)N(CC)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106945455 748207300 /nfs/dbraw/zinc/20/73/00/748207300.db2.gz VTCODDQTPLCLAX-UHFFFAOYSA-N 1 2 320.441 1.863 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCc3cnn(C)c3C2)C1 ZINC001031923422 748220454 /nfs/dbraw/zinc/22/04/54/748220454.db2.gz IOYZVIZDTUQBDX-ZDUSSCGKSA-N 1 2 322.840 1.326 20 30 DDEDLO Cn1cc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)cn1 ZINC001004341260 748333332 /nfs/dbraw/zinc/33/33/32/748333332.db2.gz VMUFXFCINMFRCI-OAHLLOKOSA-N 1 2 301.394 1.260 20 30 DDEDLO Cn1cc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)cn1 ZINC001004341260 748333338 /nfs/dbraw/zinc/33/33/38/748333338.db2.gz VMUFXFCINMFRCI-OAHLLOKOSA-N 1 2 301.394 1.260 20 30 DDEDLO CN1CCN(C(=[NH2+])Nc2ncc(C(F)(F)F)cc2C#N)CC1 ZINC001168734870 748377037 /nfs/dbraw/zinc/37/70/37/748377037.db2.gz CLYVNWZUGLSHTI-UHFFFAOYSA-N 1 2 312.299 1.566 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)n(C)n1 ZINC001004373457 748378960 /nfs/dbraw/zinc/37/89/60/748378960.db2.gz WLROZIHSMOIUGM-OAHLLOKOSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)n(C)n1 ZINC001004373457 748378966 /nfs/dbraw/zinc/37/89/66/748378966.db2.gz WLROZIHSMOIUGM-OAHLLOKOSA-N 1 2 315.421 1.569 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2cc(F)c[nH]2)CC1 ZINC001004486562 748470680 /nfs/dbraw/zinc/47/06/80/748470680.db2.gz WJDFUYFVXQWVNF-HNNXBMFYSA-N 1 2 304.369 1.994 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2cc(F)c[nH]2)CC1 ZINC001004486562 748470682 /nfs/dbraw/zinc/47/06/82/748470682.db2.gz WJDFUYFVXQWVNF-HNNXBMFYSA-N 1 2 304.369 1.994 20 30 DDEDLO CCN(CCNc1ccc(C#N)cn1)C(=O)CCc1c[nH]c[nH+]1 ZINC001106980702 748472606 /nfs/dbraw/zinc/47/26/06/748472606.db2.gz GSNSGIVKQZZHPL-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO CCN(CCNc1ccc(C#N)cn1)C(=O)CCc1c[nH+]c[nH]1 ZINC001106980702 748472611 /nfs/dbraw/zinc/47/26/11/748472611.db2.gz GSNSGIVKQZZHPL-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO C[C@]1(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)CCOC1 ZINC001004589348 748571110 /nfs/dbraw/zinc/57/11/10/748571110.db2.gz CGLNLJBEGDBQTI-RDJZCZTQSA-N 1 2 305.422 1.640 20 30 DDEDLO C[C@]1(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)CCOC1 ZINC001004589348 748571115 /nfs/dbraw/zinc/57/11/15/748571115.db2.gz CGLNLJBEGDBQTI-RDJZCZTQSA-N 1 2 305.422 1.640 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001033178760 748726406 /nfs/dbraw/zinc/72/64/06/748726406.db2.gz YQJATQLHFGAIIK-CHWSQXEVSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001033178760 748726409 /nfs/dbraw/zinc/72/64/09/748726409.db2.gz YQJATQLHFGAIIK-CHWSQXEVSA-N 1 2 313.829 1.140 20 30 DDEDLO N#CCN1CC[C@H]([C@@H]2CCCCN2C(=O)Cn2cc[nH+]c2)C1 ZINC001039253795 761892316 /nfs/dbraw/zinc/89/23/16/761892316.db2.gz OJPKGNDRDGXTDG-GJZGRUSLSA-N 1 2 301.394 1.110 20 30 DDEDLO C=CCCC(=O)NC/C=C\CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001107077366 748944855 /nfs/dbraw/zinc/94/48/55/748944855.db2.gz XNTBUHMEEYYBGH-FPLPWBNLSA-N 1 2 304.398 1.297 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)CN2CCCCC2=O)C1 ZINC001033212612 748948290 /nfs/dbraw/zinc/94/82/90/748948290.db2.gz BEGCQGSMJFCLML-ZDUSSCGKSA-N 1 2 313.829 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)CN2CCCCC2=O)C1 ZINC001033212612 748948295 /nfs/dbraw/zinc/94/82/95/748948295.db2.gz BEGCQGSMJFCLML-ZDUSSCGKSA-N 1 2 313.829 1.284 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@@H]1C[C@H]1C1CC1 ZINC001083849717 748981427 /nfs/dbraw/zinc/98/14/27/748981427.db2.gz VSQVLSMLNCVUIX-MANSERQUSA-N 1 2 324.424 1.246 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)[C@@H]1C[C@H]1C1CC1 ZINC001083849717 748981431 /nfs/dbraw/zinc/98/14/31/748981431.db2.gz VSQVLSMLNCVUIX-MANSERQUSA-N 1 2 324.424 1.246 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@]1(C)CCN(c2ncccc2C#N)C1 ZINC001110772384 748999226 /nfs/dbraw/zinc/99/92/26/748999226.db2.gz WBGIUNCBBLSODR-KRWDZBQOSA-N 1 2 324.388 1.014 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114473097 749256316 /nfs/dbraw/zinc/25/63/16/749256316.db2.gz SYAWTSYSKUARGW-GXTWGEPZSA-N 1 2 316.405 1.154 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001108337184 761937779 /nfs/dbraw/zinc/93/77/79/761937779.db2.gz VAUAQJQOGAIGEP-KRWDZBQOSA-N 1 2 303.406 1.510 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001108337184 761937783 /nfs/dbraw/zinc/93/77/83/761937783.db2.gz VAUAQJQOGAIGEP-KRWDZBQOSA-N 1 2 303.406 1.510 20 30 DDEDLO Cc1cnoc1C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001015937304 749346835 /nfs/dbraw/zinc/34/68/35/749346835.db2.gz BUMKNSFHIKGLSM-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1cnoc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001015937304 749346842 /nfs/dbraw/zinc/34/68/42/749346842.db2.gz BUMKNSFHIKGLSM-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1cnoc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015937895 749347666 /nfs/dbraw/zinc/34/76/66/749347666.db2.gz IERZVYNNTGXKOX-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1cnoc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015937895 749347679 /nfs/dbraw/zinc/34/76/79/749347679.db2.gz IERZVYNNTGXKOX-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO C=CCNC(=O)N1CCC2(CC1)C[N@H+](Cc1ccoc1)CCO2 ZINC001202564079 749434333 /nfs/dbraw/zinc/43/43/33/749434333.db2.gz KNHMOOAAFGDBJW-UHFFFAOYSA-N 1 2 319.405 1.842 20 30 DDEDLO C=CCNC(=O)N1CCC2(CC1)C[N@@H+](Cc1ccoc1)CCO2 ZINC001202564079 749434339 /nfs/dbraw/zinc/43/43/39/749434339.db2.gz KNHMOOAAFGDBJW-UHFFFAOYSA-N 1 2 319.405 1.842 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)C3CCC3)nn2)C1 ZINC001107182732 749499631 /nfs/dbraw/zinc/49/96/31/749499631.db2.gz YXMLDSSRDSILOV-ZDUSSCGKSA-N 1 2 315.421 1.211 20 30 DDEDLO C=C/C(C)=C/CC(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107192600 749536110 /nfs/dbraw/zinc/53/61/10/749536110.db2.gz RLMBQPNCGCUSHV-MKMNVTDBSA-N 1 2 315.421 1.850 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CCCC3CC3)nn2)C1 ZINC001107197878 749560301 /nfs/dbraw/zinc/56/03/01/749560301.db2.gz LGOAVJBWZRUFKL-UHFFFAOYSA-N 1 2 303.410 1.517 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H](CC)CCC)nn2)C1 ZINC001107224807 749650427 /nfs/dbraw/zinc/65/04/27/749650427.db2.gz DBYLOYYBHXECOW-CQSZACIVSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001033676914 749702160 /nfs/dbraw/zinc/70/21/60/749702160.db2.gz XQFASTKFXKGJSF-OCCSQVGLSA-N 1 2 322.840 1.800 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001033676914 749702165 /nfs/dbraw/zinc/70/21/65/749702165.db2.gz XQFASTKFXKGJSF-OCCSQVGLSA-N 1 2 322.840 1.800 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095409041 749726367 /nfs/dbraw/zinc/72/63/67/749726367.db2.gz UJPVMMKKFHGGDE-ZDUSSCGKSA-N 1 2 318.421 1.851 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](C)[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001005308361 749786585 /nfs/dbraw/zinc/78/65/85/749786585.db2.gz SKSZHAPBXZXALE-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO Cc1nc(N2CCC(NC(=O)C#CC(C)(C)C)CC2)cc[nH+]1 ZINC001095412561 749804894 /nfs/dbraw/zinc/80/48/94/749804894.db2.gz DDTWTWQSQMAPAW-UHFFFAOYSA-N 1 2 300.406 1.920 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C(F)(F)F)no1)C2 ZINC001095576706 750052056 /nfs/dbraw/zinc/05/20/56/750052056.db2.gz CZRDZKBADCHKKU-UTLUCORTSA-N 1 2 313.279 1.662 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C(F)(F)F)no1)C2 ZINC001095576706 750052060 /nfs/dbraw/zinc/05/20/60/750052060.db2.gz CZRDZKBADCHKKU-UTLUCORTSA-N 1 2 313.279 1.662 20 30 DDEDLO C[C@@H](CC(=O)NC[C@]12CCC[C@H]1N(CC#N)CC2)n1cc[nH+]c1 ZINC001107561515 750139574 /nfs/dbraw/zinc/13/95/74/750139574.db2.gz OBOJBCMNYSXRAQ-ZMSDIMECSA-N 1 2 315.421 1.719 20 30 DDEDLO Cc1nc(N2CCC[C@@](C)(CNC(=O)[C@@H](C)C#N)C2)cc[nH+]1 ZINC001110927994 750233085 /nfs/dbraw/zinc/23/30/85/750233085.db2.gz KCAQUAKYQCCAMV-LRDDRELGSA-N 1 2 301.394 1.667 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnns1)C2 ZINC001111729447 750273546 /nfs/dbraw/zinc/27/35/46/750273546.db2.gz PLTWRBDZIDPTTQ-BBRMVZONSA-N 1 2 318.446 1.812 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnns1)C2 ZINC001111729447 750273550 /nfs/dbraw/zinc/27/35/50/750273550.db2.gz PLTWRBDZIDPTTQ-BBRMVZONSA-N 1 2 318.446 1.812 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NC)CCC1 ZINC001110987130 750283370 /nfs/dbraw/zinc/28/33/70/750283370.db2.gz JBSMNOZKXKZLQC-MCIONIFRSA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NC)CCC1 ZINC001110987130 750283379 /nfs/dbraw/zinc/28/33/79/750283379.db2.gz JBSMNOZKXKZLQC-MCIONIFRSA-N 1 2 305.422 1.200 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)[C@H]2COCCO2)C1 ZINC001034104270 750407627 /nfs/dbraw/zinc/40/76/27/750407627.db2.gz JQJINCHOJIZWMS-QWHCGFSZSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)[C@H]2COCCO2)C1 ZINC001034104270 750407630 /nfs/dbraw/zinc/40/76/30/750407630.db2.gz JQJINCHOJIZWMS-QWHCGFSZSA-N 1 2 302.802 1.125 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]nnc2c1 ZINC001039470072 762059345 /nfs/dbraw/zinc/05/93/45/762059345.db2.gz ZCFIAODHWJDWMM-KGLIPLIRSA-N 1 2 311.389 1.823 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]nnc2c1 ZINC001039470072 762059352 /nfs/dbraw/zinc/05/93/52/762059352.db2.gz ZCFIAODHWJDWMM-KGLIPLIRSA-N 1 2 311.389 1.823 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@H](C)CNc1cc[nH+]c(C)n1 ZINC001107938988 750716101 /nfs/dbraw/zinc/71/61/01/750716101.db2.gz JOLJKWQUVIREOY-BXUZGUMPSA-N 1 2 319.409 1.173 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001108148549 750780902 /nfs/dbraw/zinc/78/09/02/750780902.db2.gz NSNKUWFSASOJFL-VXGBXAGGSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001108148549 750780904 /nfs/dbraw/zinc/78/09/04/750780904.db2.gz NSNKUWFSASOJFL-VXGBXAGGSA-N 1 2 312.377 1.472 20 30 DDEDLO Cc1nc(NC[C@@H]2C[C@@H](C)N(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001114668050 750959605 /nfs/dbraw/zinc/95/96/05/750959605.db2.gz YNRDRTCPWCSRHQ-YPMHNXCESA-N 1 2 319.434 1.691 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C(C)(C)C)c1 ZINC001032491138 751039728 /nfs/dbraw/zinc/03/97/28/751039728.db2.gz LYNVUBVBLURNSO-GJZGRUSLSA-N 1 2 300.406 1.560 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C(C)(C)C)c1 ZINC001032491138 751039734 /nfs/dbraw/zinc/03/97/34/751039734.db2.gz LYNVUBVBLURNSO-GJZGRUSLSA-N 1 2 300.406 1.560 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2nccs2)C1 ZINC001108407817 762101243 /nfs/dbraw/zinc/10/12/43/762101243.db2.gz CCWUCHZFGSPKHU-HNNXBMFYSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2nccs2)C1 ZINC001108407817 762101251 /nfs/dbraw/zinc/10/12/51/762101251.db2.gz CCWUCHZFGSPKHU-HNNXBMFYSA-N 1 2 309.435 1.469 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)C1(C)CC1)CC2 ZINC001127990985 751196048 /nfs/dbraw/zinc/19/60/48/751196048.db2.gz MNYJHMWRNCYVRD-CYBMUJFWSA-N 1 2 315.421 1.012 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc(C3CC3)no2)CCC1 ZINC001129120931 751296379 /nfs/dbraw/zinc/29/63/79/751296379.db2.gz KDXKSWJXTLWDOV-UHFFFAOYSA-N 1 2 302.378 1.346 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCc2nnc(C[NH2+]CCF)n2CC1 ZINC001128041478 752542132 /nfs/dbraw/zinc/54/21/32/752542132.db2.gz ZFBXJXRFYUZIQE-ZDUSSCGKSA-N 1 2 323.416 1.515 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001062363571 752913651 /nfs/dbraw/zinc/91/36/51/752913651.db2.gz MINSELNPAUZZPG-CQSZACIVSA-N 1 2 304.394 1.416 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001060884930 753113817 /nfs/dbraw/zinc/11/38/17/753113817.db2.gz CHRSCZKOVVBALI-ZDUSSCGKSA-N 1 2 310.361 1.110 20 30 DDEDLO Cc1nc[nH]c1C(=O)N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001009749891 753338016 /nfs/dbraw/zinc/33/80/16/753338016.db2.gz PBMJXUKRSWHBCT-KRWDZBQOSA-N 1 2 322.412 1.964 20 30 DDEDLO Cc1nc[nH]c1C(=O)N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001009749891 753338023 /nfs/dbraw/zinc/33/80/23/753338023.db2.gz PBMJXUKRSWHBCT-KRWDZBQOSA-N 1 2 322.412 1.964 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccccc1F ZINC001032779155 753610070 /nfs/dbraw/zinc/61/00/70/753610070.db2.gz ADEIZUXPLIYOHC-KBPBESRZSA-N 1 2 302.349 1.513 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccccc1F ZINC001032779155 753610076 /nfs/dbraw/zinc/61/00/76/753610076.db2.gz ADEIZUXPLIYOHC-KBPBESRZSA-N 1 2 302.349 1.513 20 30 DDEDLO C#CCCCCC(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001062826434 753745359 /nfs/dbraw/zinc/74/53/59/753745359.db2.gz XAVAYRHCPMZYRI-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H](NC(=O)c3cnns3)C2)c1 ZINC001010320465 753760122 /nfs/dbraw/zinc/76/01/22/753760122.db2.gz WFBGMHKYWOZWCQ-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H](NC(=O)c3cnns3)C2)c1 ZINC001010320465 753760128 /nfs/dbraw/zinc/76/01/28/753760128.db2.gz WFBGMHKYWOZWCQ-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001063203211 754039991 /nfs/dbraw/zinc/03/99/91/754039991.db2.gz CCJROVPRUIGVBX-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCOC(C)C)[C@@H](O)C1 ZINC001099693484 754117795 /nfs/dbraw/zinc/11/77/95/754117795.db2.gz SODHVIDKZRZLKC-OLZOCXBDSA-N 1 2 304.818 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCOC(C)C)[C@@H](O)C1 ZINC001099693484 754117799 /nfs/dbraw/zinc/11/77/99/754117799.db2.gz SODHVIDKZRZLKC-OLZOCXBDSA-N 1 2 304.818 1.105 20 30 DDEDLO CN(c1ccc(C#N)nc1)[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001056997606 762310542 /nfs/dbraw/zinc/31/05/42/762310542.db2.gz FPRXAYOEXQONDU-MRXNPFEDSA-N 1 2 324.388 1.277 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccns2)[C@@H](O)C1 ZINC001090304546 754811813 /nfs/dbraw/zinc/81/18/13/754811813.db2.gz UIJKTDDFFZVPHP-ZJUUUORDSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccns2)[C@@H](O)C1 ZINC001090304546 754811815 /nfs/dbraw/zinc/81/18/15/754811815.db2.gz UIJKTDDFFZVPHP-ZJUUUORDSA-N 1 2 301.799 1.061 20 30 DDEDLO Cc1nonc1C[N@H+]1CCC[C@@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001040121532 762395054 /nfs/dbraw/zinc/39/50/54/762395054.db2.gz SBWHAFMPSDWRHN-BLLLJJGKSA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCC[C@@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001040121532 762395057 /nfs/dbraw/zinc/39/50/57/762395057.db2.gz SBWHAFMPSDWRHN-BLLLJJGKSA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001014366330 755698964 /nfs/dbraw/zinc/69/89/64/755698964.db2.gz BKPKWQJBFJSPIV-NSHDSACASA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001014366330 755698966 /nfs/dbraw/zinc/69/89/66/755698966.db2.gz BKPKWQJBFJSPIV-NSHDSACASA-N 1 2 315.402 1.656 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2occc2C[NH+](C)C)C1 ZINC001080346059 755860781 /nfs/dbraw/zinc/86/07/81/755860781.db2.gz KUUQBHFRGHNGIH-UKRRQHHQSA-N 1 2 303.406 1.415 20 30 DDEDLO CC#CCN1C[C@@H](C)[C@H](NC(=O)c2occc2C[NH+](C)C)C1 ZINC001080346059 755860793 /nfs/dbraw/zinc/86/07/93/755860793.db2.gz KUUQBHFRGHNGIH-UKRRQHHQSA-N 1 2 303.406 1.415 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001015109526 756127305 /nfs/dbraw/zinc/12/73/05/756127305.db2.gz VEAVAOMOQKNPNO-SNVBAGLBSA-N 1 2 305.769 1.466 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001015109526 756127307 /nfs/dbraw/zinc/12/73/07/756127307.db2.gz VEAVAOMOQKNPNO-SNVBAGLBSA-N 1 2 305.769 1.466 20 30 DDEDLO Cn1cncc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015138064 756141002 /nfs/dbraw/zinc/14/10/02/756141002.db2.gz XPVZFMUUCVNDBK-INIZCTEOSA-N 1 2 308.385 1.276 20 30 DDEDLO Cn1cncc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015138064 756141005 /nfs/dbraw/zinc/14/10/05/756141005.db2.gz XPVZFMUUCVNDBK-INIZCTEOSA-N 1 2 308.385 1.276 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001015813731 756568588 /nfs/dbraw/zinc/56/85/88/756568588.db2.gz XVAGCUKBRRNONL-VXGBXAGGSA-N 1 2 305.403 1.432 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001015813731 756568592 /nfs/dbraw/zinc/56/85/92/756568592.db2.gz XVAGCUKBRRNONL-VXGBXAGGSA-N 1 2 305.403 1.432 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)n1 ZINC001015819760 756570212 /nfs/dbraw/zinc/57/02/12/756570212.db2.gz QIXNUUHKFMHCNW-NSHDSACASA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1c[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)n1 ZINC001015819760 756570217 /nfs/dbraw/zinc/57/02/17/756570217.db2.gz QIXNUUHKFMHCNW-NSHDSACASA-N 1 2 315.402 1.656 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2ccc(C)cc2)[C@H](OC)C1 ZINC001082333147 756735304 /nfs/dbraw/zinc/73/53/04/756735304.db2.gz QPQKMZWPLQDNIJ-IAGOWNOFSA-N 1 2 314.429 1.721 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2ccc(C)cc2)[C@H](OC)C1 ZINC001082333147 756735305 /nfs/dbraw/zinc/73/53/05/756735305.db2.gz QPQKMZWPLQDNIJ-IAGOWNOFSA-N 1 2 314.429 1.721 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C/C=C\Cl)C[C@H]2OC)nc1 ZINC001082333596 756735644 /nfs/dbraw/zinc/73/56/44/756735644.db2.gz PSGALHFMBAAWJE-DGPIVGRDSA-N 1 2 319.792 1.244 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C/C=C\Cl)C[C@H]2OC)nc1 ZINC001082333596 756735645 /nfs/dbraw/zinc/73/56/45/756735645.db2.gz PSGALHFMBAAWJE-DGPIVGRDSA-N 1 2 319.792 1.244 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2scnc2Cl)[C@H](OC)C1 ZINC001082372922 756757811 /nfs/dbraw/zinc/75/78/11/756757811.db2.gz HJQKOMLYBTWSCP-RKDXNWHRSA-N 1 2 301.799 1.412 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2scnc2Cl)[C@H](OC)C1 ZINC001082372922 756757812 /nfs/dbraw/zinc/75/78/12/756757812.db2.gz HJQKOMLYBTWSCP-RKDXNWHRSA-N 1 2 301.799 1.412 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc3c([nH]c2=O)CCC3)C1 ZINC001016250580 756866537 /nfs/dbraw/zinc/86/65/37/756866537.db2.gz PBDSULOAUSXUQZ-LBPRGKRZSA-N 1 2 321.808 1.833 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc3c([nH]c2=O)CCC3)C1 ZINC001016250580 756866544 /nfs/dbraw/zinc/86/65/44/756866544.db2.gz PBDSULOAUSXUQZ-LBPRGKRZSA-N 1 2 321.808 1.833 20 30 DDEDLO CC[C@@H](F)C[N@@H+]1C[C@H]2OCCN(C(=O)c3c[nH]c(C#N)c3)[C@H]2C1 ZINC001083057170 757108577 /nfs/dbraw/zinc/10/85/77/757108577.db2.gz VURQOPHNSKCOQL-VHDGCEQUSA-N 1 2 320.368 1.160 20 30 DDEDLO CC[C@@H](F)C[N@H+]1C[C@H]2OCCN(C(=O)c3c[nH]c(C#N)c3)[C@H]2C1 ZINC001083057170 757108579 /nfs/dbraw/zinc/10/85/79/757108579.db2.gz VURQOPHNSKCOQL-VHDGCEQUSA-N 1 2 320.368 1.160 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C(F)F)ncn3)[C@H]2C1 ZINC001083120560 757122202 /nfs/dbraw/zinc/12/22/02/757122202.db2.gz SJAXBQCHHMKYHM-QWHCGFSZSA-N 1 2 324.331 1.125 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C(F)F)ncn3)[C@H]2C1 ZINC001083120560 757122208 /nfs/dbraw/zinc/12/22/08/757122208.db2.gz SJAXBQCHHMKYHM-QWHCGFSZSA-N 1 2 324.331 1.125 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C(F)F)c2)[C@@H](O)C1 ZINC001083977879 757200064 /nfs/dbraw/zinc/20/00/64/757200064.db2.gz SIZNWHVVLBDYBK-KGLIPLIRSA-N 1 2 308.328 1.422 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C(F)F)c2)[C@@H](O)C1 ZINC001083977879 757200069 /nfs/dbraw/zinc/20/00/69/757200069.db2.gz SIZNWHVVLBDYBK-KGLIPLIRSA-N 1 2 308.328 1.422 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001097486094 757204516 /nfs/dbraw/zinc/20/45/16/757204516.db2.gz WXIQSLQIJBYDQV-RHSMWYFYSA-N 1 2 318.421 1.905 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001097495436 757212291 /nfs/dbraw/zinc/21/22/91/757212291.db2.gz MVXQTAQFOQKONL-ZFWWWQNUSA-N 1 2 316.405 1.616 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1O ZINC001083998569 757226118 /nfs/dbraw/zinc/22/61/18/757226118.db2.gz DCIUEDHSSZZLGJ-RTWZZBQXSA-N 1 2 318.804 1.124 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1O ZINC001083998569 757226125 /nfs/dbraw/zinc/22/61/25/757226125.db2.gz DCIUEDHSSZZLGJ-RTWZZBQXSA-N 1 2 318.804 1.124 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nc3c(s1)CCC3)C2 ZINC001097542426 757234014 /nfs/dbraw/zinc/23/40/14/757234014.db2.gz OTNCGWXQJOVHDP-RTXFEEFZSA-N 1 2 301.415 1.600 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nc3c(s1)CCC3)C2 ZINC001097542426 757234016 /nfs/dbraw/zinc/23/40/16/757234016.db2.gz OTNCGWXQJOVHDP-RTXFEEFZSA-N 1 2 301.415 1.600 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2csc(CC(C)C)n2)[C@@H](O)C1 ZINC001084114139 757271192 /nfs/dbraw/zinc/27/11/92/757271192.db2.gz HWCBOOBJCBHLEK-OCCSQVGLSA-N 1 2 321.446 1.140 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2csc(CC(C)C)n2)[C@@H](O)C1 ZINC001084114139 757271197 /nfs/dbraw/zinc/27/11/97/757271197.db2.gz HWCBOOBJCBHLEK-OCCSQVGLSA-N 1 2 321.446 1.140 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(C[C@@H]2NC(=O)C2=NC(=O)N(C)C2)CC1 ZINC001016811796 757371811 /nfs/dbraw/zinc/37/18/11/757371811.db2.gz XJXGXBVKHZGLDC-LBPRGKRZSA-N 1 2 324.812 1.463 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)n3cccn3)[C@@H]2C1 ZINC001084202406 757389187 /nfs/dbraw/zinc/38/91/87/757389187.db2.gz KULRVFCZSSOMKK-MGPQQGTHSA-N 1 2 308.813 1.729 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)n3cccn3)[C@@H]2C1 ZINC001084202406 757389199 /nfs/dbraw/zinc/38/91/99/757389199.db2.gz KULRVFCZSSOMKK-MGPQQGTHSA-N 1 2 308.813 1.729 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CC[N@@H+]([C@@H](C)C(N)=O)C[C@H]21 ZINC001084371814 757524184 /nfs/dbraw/zinc/52/41/84/757524184.db2.gz YCQBOHIKHGXKCM-MVWJERBFSA-N 1 2 313.829 1.172 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CC[N@H+]([C@@H](C)C(N)=O)C[C@H]21 ZINC001084371814 757524194 /nfs/dbraw/zinc/52/41/94/757524194.db2.gz YCQBOHIKHGXKCM-MVWJERBFSA-N 1 2 313.829 1.172 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001052732801 757699583 /nfs/dbraw/zinc/69/95/83/757699583.db2.gz BTHDYRSUQHDTOD-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CC[C@@]2(C1)CCCN(CC#N)C2 ZINC001040516598 762573734 /nfs/dbraw/zinc/57/37/34/762573734.db2.gz WJNRIFGZLNXJAU-KRWDZBQOSA-N 1 2 315.421 1.191 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)c2ncn[nH]2)CC1 ZINC001052800030 757782237 /nfs/dbraw/zinc/78/22/37/757782237.db2.gz AXJJLNRQAAFGBK-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)c2ncn[nH]2)CC1 ZINC001052800030 757782244 /nfs/dbraw/zinc/78/22/44/757782244.db2.gz AXJJLNRQAAFGBK-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)c2nc[nH]n2)CC1 ZINC001052800030 757782253 /nfs/dbraw/zinc/78/22/53/757782253.db2.gz AXJJLNRQAAFGBK-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)c2nc[nH]n2)CC1 ZINC001052800030 757782261 /nfs/dbraw/zinc/78/22/61/757782261.db2.gz AXJJLNRQAAFGBK-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO O=C(c1cnon1)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084731348 757832316 /nfs/dbraw/zinc/83/23/16/757832316.db2.gz ULZUULAWHIMRQS-NVXWUHKLSA-N 1 2 322.368 1.268 20 30 DDEDLO O=C(c1cnon1)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084731348 757832329 /nfs/dbraw/zinc/83/23/29/757832329.db2.gz ULZUULAWHIMRQS-NVXWUHKLSA-N 1 2 322.368 1.268 20 30 DDEDLO Cc1nc(N2C[C@@H](CNC(=O)c3ccc(C#N)[nH]3)[C@H](C)C2)cc[nH+]1 ZINC001052861063 757891696 /nfs/dbraw/zinc/89/16/96/757891696.db2.gz DECGDZJPPWGPTM-DGCLKSJQSA-N 1 2 324.388 1.487 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C[C@@H](C)C(C)C)CC2=O)C1 ZINC001108518545 762592015 /nfs/dbraw/zinc/59/20/15/762592015.db2.gz ZHQRDFKCMDYKAR-HUUCEWRRSA-N 1 2 321.465 1.646 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(CC(C)C)n1 ZINC001017589922 758056413 /nfs/dbraw/zinc/05/64/13/758056413.db2.gz LHHONRQLPNZBIA-GASCZTMLSA-N 1 2 300.406 1.461 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(CC(C)C)n1 ZINC001017589922 758056418 /nfs/dbraw/zinc/05/64/18/758056418.db2.gz LHHONRQLPNZBIA-GASCZTMLSA-N 1 2 300.406 1.461 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)nc1OC ZINC001017603214 758070534 /nfs/dbraw/zinc/07/05/34/758070534.db2.gz KISPDWOEWCBWLZ-BETUJISGSA-N 1 2 302.378 1.268 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)nc1OC ZINC001017603214 758070538 /nfs/dbraw/zinc/07/05/38/758070538.db2.gz KISPDWOEWCBWLZ-BETUJISGSA-N 1 2 302.378 1.268 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@H]1C(C)C ZINC001017605942 758072987 /nfs/dbraw/zinc/07/29/87/758072987.db2.gz LKMDFIWAYVSCMV-TWMKSMIVSA-N 1 2 304.434 1.746 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@H]1C(C)C ZINC001017605942 758072996 /nfs/dbraw/zinc/07/29/96/758072996.db2.gz LKMDFIWAYVSCMV-TWMKSMIVSA-N 1 2 304.434 1.746 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)[C@H]3CCCc4[nH]ncc43)C[C@@H]21 ZINC001084940400 758079557 /nfs/dbraw/zinc/07/95/57/758079557.db2.gz BDOHEKUEQOILON-KEYYUXOJSA-N 1 2 312.417 1.386 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)[C@H]3CCCc4[nH]ncc43)C[C@@H]21 ZINC001084940400 758079565 /nfs/dbraw/zinc/07/95/65/758079565.db2.gz BDOHEKUEQOILON-KEYYUXOJSA-N 1 2 312.417 1.386 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncc(C)cc1OC ZINC001017625521 758095427 /nfs/dbraw/zinc/09/54/27/758095427.db2.gz HRLUHDKQSGFKHW-OKILXGFUSA-N 1 2 301.390 1.873 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncc(C)cc1OC ZINC001017625521 758095435 /nfs/dbraw/zinc/09/54/35/758095435.db2.gz HRLUHDKQSGFKHW-OKILXGFUSA-N 1 2 301.390 1.873 20 30 DDEDLO N#CCN1CCC[C@@H]([C@@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001053059554 758119189 /nfs/dbraw/zinc/11/91/89/758119189.db2.gz VVVFVTZPHXLIRB-HZPDHXFCSA-N 1 2 315.421 1.357 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2n(n1)CCCO2 ZINC001017726453 758186016 /nfs/dbraw/zinc/18/60/16/758186016.db2.gz XRHFIADGHNDRQF-BETUJISGSA-N 1 2 302.378 1.140 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2n(n1)CCCO2 ZINC001017726453 758186020 /nfs/dbraw/zinc/18/60/20/758186020.db2.gz XRHFIADGHNDRQF-BETUJISGSA-N 1 2 302.378 1.140 20 30 DDEDLO CC[C@H]([NH2+]CCN1CCC(O)CC1)c1cccc(C#N)c1O ZINC000822658664 758226191 /nfs/dbraw/zinc/22/61/91/758226191.db2.gz MBEPHPLXBHABCZ-INIZCTEOSA-N 1 2 303.406 1.761 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2c1nc(C)cc2C ZINC001017785568 758238918 /nfs/dbraw/zinc/23/89/18/758238918.db2.gz VNWLREJWVGMZSL-GASCZTMLSA-N 1 2 323.400 1.268 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2c1nc(C)cc2C ZINC001017785568 758238924 /nfs/dbraw/zinc/23/89/24/758238924.db2.gz VNWLREJWVGMZSL-GASCZTMLSA-N 1 2 323.400 1.268 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)n1cccc1)CCO2 ZINC001053221627 758277974 /nfs/dbraw/zinc/27/79/74/758277974.db2.gz QBQQVJLRRHVLDW-OAHLLOKOSA-N 1 2 303.406 1.538 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001053269193 758325213 /nfs/dbraw/zinc/32/52/13/758325213.db2.gz WCKAHERFMLCNER-STQMWFEESA-N 1 2 318.421 1.375 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ocnc1CC)CCO2 ZINC001053422501 758450723 /nfs/dbraw/zinc/45/07/23/758450723.db2.gz KYYWHKMZMGAXAX-UHFFFAOYSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(C)c1OC ZINC001018014870 758475749 /nfs/dbraw/zinc/47/57/49/758475749.db2.gz PMASIEIROFGHLX-BETUJISGSA-N 1 2 304.394 1.212 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(C)c1OC ZINC001018014870 758475753 /nfs/dbraw/zinc/47/57/53/758475753.db2.gz PMASIEIROFGHLX-BETUJISGSA-N 1 2 304.394 1.212 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1oc(CC)nc1C)CCO2 ZINC001053495841 758500924 /nfs/dbraw/zinc/50/09/24/758500924.db2.gz ZLBKJDPIQQPFTR-UHFFFAOYSA-N 1 2 319.405 1.648 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnccc1C)O2 ZINC001053585943 758601683 /nfs/dbraw/zinc/60/16/83/758601683.db2.gz LGRJAIGWCHRRSW-CQSZACIVSA-N 1 2 301.390 1.539 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1[C@@H]3CCC[C@@H]31)O2 ZINC001053588343 758604955 /nfs/dbraw/zinc/60/49/55/758604955.db2.gz TUGJOIKENRYTHZ-WCVJEAGWSA-N 1 2 304.434 1.958 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2c(cccc2C)O1 ZINC001018181662 758608423 /nfs/dbraw/zinc/60/84/23/758608423.db2.gz REJDYQCUEAFKKT-JTDSTZFVSA-N 1 2 324.424 1.997 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2c(cccc2C)O1 ZINC001018181662 758608427 /nfs/dbraw/zinc/60/84/27/758608427.db2.gz REJDYQCUEAFKKT-JTDSTZFVSA-N 1 2 324.424 1.997 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1n[nH]cc1F)O2 ZINC001053657491 758670117 /nfs/dbraw/zinc/67/01/17/758670117.db2.gz IMFQQHIHYFWSJQ-NSHDSACASA-N 1 2 308.357 1.088 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC=C(C)C)C2)C1 ZINC001108548595 762650143 /nfs/dbraw/zinc/65/01/43/762650143.db2.gz RLBPNHQLPAWFNT-OAHLLOKOSA-N 1 2 319.449 1.710 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(C)n[nH]c1C)O2 ZINC001053681793 758691234 /nfs/dbraw/zinc/69/12/34/758691234.db2.gz UNBWKOZOHDXTKY-ZDUSSCGKSA-N 1 2 304.394 1.176 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CC13CCOCC3)O2 ZINC001053705326 758713457 /nfs/dbraw/zinc/71/34/57/758713457.db2.gz ZNYNYPGLQTUOGJ-GJZGRUSLSA-N 1 2 320.433 1.339 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1C1CC1 ZINC001018337676 758739879 /nfs/dbraw/zinc/73/98/79/758739879.db2.gz MFHRUUQFXFNRTJ-GASCZTMLSA-N 1 2 312.417 1.610 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1C1CC1 ZINC001018337676 758739884 /nfs/dbraw/zinc/73/98/84/758739884.db2.gz MFHRUUQFXFNRTJ-GASCZTMLSA-N 1 2 312.417 1.610 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CCCC3(CC3)C1)CO2 ZINC001053799846 758828929 /nfs/dbraw/zinc/82/89/29/758828929.db2.gz CMLKKZURIOKJFY-HOTGVXAUSA-N 1 2 316.445 1.940 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccncc1C)CO2 ZINC001053801281 758830279 /nfs/dbraw/zinc/83/02/79/758830279.db2.gz JLPUWSLKXMIMPK-INIZCTEOSA-N 1 2 315.417 1.468 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(CC)ccn1)CO2 ZINC001053814447 758841919 /nfs/dbraw/zinc/84/19/19/758841919.db2.gz NJUAHQNANFQNAZ-HNNXBMFYSA-N 1 2 313.401 1.240 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)C(F)F)C1 ZINC001108199765 758940153 /nfs/dbraw/zinc/94/01/53/758940153.db2.gz PVNQTKCVZAIVTA-OAHLLOKOSA-N 1 2 302.365 1.508 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C(C)(C)C(F)F)C1 ZINC001108199765 758940148 /nfs/dbraw/zinc/94/01/48/758940148.db2.gz PVNQTKCVZAIVTA-OAHLLOKOSA-N 1 2 302.365 1.508 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]c(=O)cc1C)CO2 ZINC001053922661 758958116 /nfs/dbraw/zinc/95/81/16/758958116.db2.gz GBWOOWXAADEIKU-ZDUSSCGKSA-N 1 2 317.389 1.245 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)c1ccco1)CO2 ZINC001053922804 758958270 /nfs/dbraw/zinc/95/82/70/758958270.db2.gz JJDWGIFZOYTLJC-UONOGXRCSA-N 1 2 302.374 1.366 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ocnc1C1CC1)CO2 ZINC001053925242 758960066 /nfs/dbraw/zinc/96/00/66/758960066.db2.gz HMWUISLXOFIMBG-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@H]1CC(C)C)CO2 ZINC001053931410 758968350 /nfs/dbraw/zinc/96/83/50/758968350.db2.gz XNZFIKLIGJUZKK-BZUAXINKSA-N 1 2 304.434 1.651 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)c1ccccc1)CO2 ZINC001053985065 759026839 /nfs/dbraw/zinc/02/68/39/759026839.db2.gz KPLKKQGUUMENDE-RDJZCZTQSA-N 1 2 312.413 1.773 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H]1CCCOC1)CO2 ZINC001054011953 759060542 /nfs/dbraw/zinc/06/05/42/759060542.db2.gz SBJZQMGWHJBPOC-LSDHHAIUSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)nc1C)CO2 ZINC001054016274 759065576 /nfs/dbraw/zinc/06/55/76/759065576.db2.gz YPQXMMLIHVQWFK-OAHLLOKOSA-N 1 2 313.401 1.295 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC3CCC1CC3)CO2 ZINC001054019510 759069389 /nfs/dbraw/zinc/06/93/89/759069389.db2.gz XIIWXCCZZOURMU-BHUNQDJPSA-N 1 2 316.445 1.796 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnc(CC)s1)CO2 ZINC001054021074 759071217 /nfs/dbraw/zinc/07/12/17/759071217.db2.gz BQCOGWQQKJJGGK-GFCCVEGCSA-N 1 2 321.446 1.855 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)C1CCOCC1)CO2 ZINC001054025695 759075994 /nfs/dbraw/zinc/07/59/94/759075994.db2.gz FSJOWWGPNYMYML-HOCLYGCPSA-N 1 2 322.449 1.585 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C)n(C)n1 ZINC001054035305 759090906 /nfs/dbraw/zinc/09/09/06/759090906.db2.gz JMVTZLPBILUUMO-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C)n(C)n1 ZINC001054035305 759090914 /nfs/dbraw/zinc/09/09/14/759090914.db2.gz JMVTZLPBILUUMO-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnnc(C)c1 ZINC001054038707 759095908 /nfs/dbraw/zinc/09/59/08/759095908.db2.gz JYSGTSULNLCWOZ-GOSISDBHSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnnc(C)c1 ZINC001054038707 759095916 /nfs/dbraw/zinc/09/59/16/759095916.db2.gz JYSGTSULNLCWOZ-GOSISDBHSA-N 1 2 320.396 1.575 20 30 DDEDLO C=CC[C@H](Nc1cc(C(=O)OC)cc2[nH+]c[nH]c21)C(=O)OC ZINC001169841195 762695523 /nfs/dbraw/zinc/69/55/23/762695523.db2.gz IKACZYHNOQKSRS-JTQLQIEISA-N 1 2 303.318 1.879 20 30 DDEDLO C[C@H](CC(=O)N1CCC[C@]2(CCN(CC#N)C2)C1)n1cc[nH+]c1 ZINC001054205502 759291582 /nfs/dbraw/zinc/29/15/82/759291582.db2.gz SHSURRXDUORIHI-NVXWUHKLSA-N 1 2 315.421 1.672 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)Cc1c[nH+]cn1C ZINC001069122055 767860753 /nfs/dbraw/zinc/86/07/53/767860753.db2.gz MZKKXEXZTUUZEG-GXTWGEPZSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@H](Nc2ccc(C#N)nc2)C[C@H]1C ZINC001069128987 767864081 /nfs/dbraw/zinc/86/40/81/767864081.db2.gz CAAXJXQSCSKAJU-IAQYHMDHSA-N 1 2 324.388 1.629 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)c2ccoc2)C1=O ZINC001085486678 759499006 /nfs/dbraw/zinc/49/90/06/759499006.db2.gz HBJYYPHVECIKSU-GJZGRUSLSA-N 1 2 317.389 1.213 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)c2ccoc2)C1=O ZINC001085486678 759499016 /nfs/dbraw/zinc/49/90/16/759499016.db2.gz HBJYYPHVECIKSU-GJZGRUSLSA-N 1 2 317.389 1.213 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cncs1)C(=O)c1cc(C#N)c[nH]1 ZINC001085534340 759646410 /nfs/dbraw/zinc/64/64/10/759646410.db2.gz IITBYXRVFZHVMV-GFCCVEGCSA-N 1 2 315.402 1.689 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cncs1)C(=O)c1cc(C#N)c[nH]1 ZINC001085534340 759646415 /nfs/dbraw/zinc/64/64/15/759646415.db2.gz IITBYXRVFZHVMV-GFCCVEGCSA-N 1 2 315.402 1.689 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(-c2ccccc2)cn1 ZINC001085609752 759830952 /nfs/dbraw/zinc/83/09/52/759830952.db2.gz ZBMUVTJFTBYNEL-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(-c2ccccc2)cn1 ZINC001085609752 759830958 /nfs/dbraw/zinc/83/09/58/759830958.db2.gz ZBMUVTJFTBYNEL-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)nn1C ZINC001085616034 759856073 /nfs/dbraw/zinc/85/60/73/759856073.db2.gz BTGMJDPBUXJERL-JTQLQIEISA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)nn1C ZINC001085616034 759856077 /nfs/dbraw/zinc/85/60/77/759856077.db2.gz BTGMJDPBUXJERL-JTQLQIEISA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)cc1NC(C)=O ZINC001085629936 759884988 /nfs/dbraw/zinc/88/49/88/759884988.db2.gz GRYCAYBMTLYXQT-CQSZACIVSA-N 1 2 317.364 1.564 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)cc1NC(C)=O ZINC001085629936 759884997 /nfs/dbraw/zinc/88/49/97/759884997.db2.gz GRYCAYBMTLYXQT-CQSZACIVSA-N 1 2 317.364 1.564 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](CC)C(N)=O ZINC001085641751 759917313 /nfs/dbraw/zinc/91/73/13/759917313.db2.gz VZXKVJJJKGEMGI-UONOGXRCSA-N 1 2 318.421 1.084 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](CC)C(N)=O ZINC001085641751 759917317 /nfs/dbraw/zinc/91/73/17/759917317.db2.gz VZXKVJJJKGEMGI-UONOGXRCSA-N 1 2 318.421 1.084 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001085705235 760074464 /nfs/dbraw/zinc/07/44/64/760074464.db2.gz KRKVEMVDRKMCBR-CQSZACIVSA-N 1 2 323.396 1.698 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001085705235 760074472 /nfs/dbraw/zinc/07/44/72/760074472.db2.gz KRKVEMVDRKMCBR-CQSZACIVSA-N 1 2 323.396 1.698 20 30 DDEDLO Cc1n[nH]nc1C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001085753868 760159490 /nfs/dbraw/zinc/15/94/90/760159490.db2.gz SFMPMQULSLMTFW-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1n[nH]nc1C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001085753868 760159494 /nfs/dbraw/zinc/15/94/94/760159494.db2.gz SFMPMQULSLMTFW-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccn(C)c2CC)C1 ZINC001108213641 760386143 /nfs/dbraw/zinc/38/61/43/760386143.db2.gz PQMIQMPXGKWDMP-KRWDZBQOSA-N 1 2 305.422 1.594 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccn(C)c2CC)C1 ZINC001108213641 760386154 /nfs/dbraw/zinc/38/61/54/760386154.db2.gz PQMIQMPXGKWDMP-KRWDZBQOSA-N 1 2 305.422 1.594 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sc(N(C)C)nc1C)C2 ZINC001097987643 760481076 /nfs/dbraw/zinc/48/10/76/760481076.db2.gz IXRCCAZIUJUCHM-UPJWGTAASA-N 1 2 318.446 1.486 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sc(N(C)C)nc1C)C2 ZINC001097987643 760481085 /nfs/dbraw/zinc/48/10/85/760481085.db2.gz IXRCCAZIUJUCHM-UPJWGTAASA-N 1 2 318.446 1.486 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1scnc1C1CC1)C2 ZINC001098001234 760596206 /nfs/dbraw/zinc/59/62/06/760596206.db2.gz PMDXEYZKCDNPML-UPJWGTAASA-N 1 2 301.415 1.989 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1scnc1C1CC1)C2 ZINC001098001234 760596209 /nfs/dbraw/zinc/59/62/09/760596209.db2.gz PMDXEYZKCDNPML-UPJWGTAASA-N 1 2 301.415 1.989 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(-c2ccccc2)n1 ZINC001085963492 760619828 /nfs/dbraw/zinc/61/98/28/760619828.db2.gz IRUAIXUVBXMPQY-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(-c2ccccc2)n1 ZINC001085963492 760619831 /nfs/dbraw/zinc/61/98/31/760619831.db2.gz IRUAIXUVBXMPQY-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OC(C)C)cn1 ZINC001086007034 760706277 /nfs/dbraw/zinc/70/62/77/760706277.db2.gz UKLHGCSQBMNWDV-AWEZNQCLSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OC(C)C)cn1 ZINC001086007034 760706281 /nfs/dbraw/zinc/70/62/81/760706281.db2.gz UKLHGCSQBMNWDV-AWEZNQCLSA-N 1 2 301.390 1.648 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2CCC(F)(F)F)c[nH]1 ZINC001038175824 760890525 /nfs/dbraw/zinc/89/05/25/760890525.db2.gz UAVBEZPVLDRHGJ-NSHDSACASA-N 1 2 300.284 1.643 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2CCC(F)(F)F)c[nH]1 ZINC001038175824 760890534 /nfs/dbraw/zinc/89/05/34/760890534.db2.gz UAVBEZPVLDRHGJ-NSHDSACASA-N 1 2 300.284 1.643 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCCc2cn[nH]c21 ZINC001038264756 760959519 /nfs/dbraw/zinc/95/95/19/760959519.db2.gz YNZUXOXDSDIKII-HUUCEWRRSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCCc2cn[nH]c21 ZINC001038264756 760959521 /nfs/dbraw/zinc/95/95/21/760959521.db2.gz YNZUXOXDSDIKII-HUUCEWRRSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001046872137 768000181 /nfs/dbraw/zinc/00/01/81/768000181.db2.gz AZIHDFYBDLAPPI-HNNXBMFYSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001046872137 768000188 /nfs/dbraw/zinc/00/01/88/768000188.db2.gz AZIHDFYBDLAPPI-HNNXBMFYSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001038423808 761106118 /nfs/dbraw/zinc/10/61/18/761106118.db2.gz FTUWOZPKYKICRR-CHWSQXEVSA-N 1 2 308.813 1.389 20 30 DDEDLO Cn1cncc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038470734 761144868 /nfs/dbraw/zinc/14/48/68/761144868.db2.gz NLFRKIUWRALCDP-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cncc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038470734 761144870 /nfs/dbraw/zinc/14/48/70/761144870.db2.gz NLFRKIUWRALCDP-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ccc(C#N)nc1 ZINC001069415466 768016261 /nfs/dbraw/zinc/01/62/61/768016261.db2.gz QHOPNEWODQLGGZ-LRDDRELGSA-N 1 2 324.388 1.393 20 30 DDEDLO Cc1ncc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n1C ZINC001038631718 761241382 /nfs/dbraw/zinc/24/13/82/761241382.db2.gz BDLLWSPDGREFHQ-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1ncc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)n1C ZINC001038631718 761241385 /nfs/dbraw/zinc/24/13/85/761241385.db2.gz BDLLWSPDGREFHQ-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001098320122 761298197 /nfs/dbraw/zinc/29/81/97/761298197.db2.gz OTHGPFYNNHSEKC-NWDGAFQWSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001098320122 761298200 /nfs/dbraw/zinc/29/82/00/761298200.db2.gz OTHGPFYNNHSEKC-NWDGAFQWSA-N 1 2 312.377 1.472 20 30 DDEDLO CCn1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n1 ZINC001038777779 761399748 /nfs/dbraw/zinc/39/97/48/761399748.db2.gz BZPMGGPHHUDXPO-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)n1 ZINC001038777779 761399755 /nfs/dbraw/zinc/39/97/55/761399755.db2.gz BZPMGGPHHUDXPO-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(OC)cc(OC)c1 ZINC001038959719 761593810 /nfs/dbraw/zinc/59/38/10/761593810.db2.gz DZTAKULLGQQUTJ-AWEZNQCLSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(OC)cc(OC)c1 ZINC001038959719 761593812 /nfs/dbraw/zinc/59/38/12/761593812.db2.gz DZTAKULLGQQUTJ-AWEZNQCLSA-N 1 2 302.374 1.531 20 30 DDEDLO N#CCN[C@H]1C[C@H](CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001086440961 761613766 /nfs/dbraw/zinc/61/37/66/761613766.db2.gz YHNUOUXACBKOSM-CTYIDZIISA-N 1 2 309.373 1.494 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cncc(Cl)c2)C1 ZINC001108278193 761646717 /nfs/dbraw/zinc/64/67/17/761646717.db2.gz ITSLGBDPFLJKCE-OAHLLOKOSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cncc(Cl)c2)C1 ZINC001108278193 761646719 /nfs/dbraw/zinc/64/67/19/761646719.db2.gz ITSLGBDPFLJKCE-OAHLLOKOSA-N 1 2 309.797 1.742 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)nc1 ZINC001056810505 761660888 /nfs/dbraw/zinc/66/08/88/761660888.db2.gz QUOPGAFYAKXZAN-MGPQQGTHSA-N 1 2 322.372 1.493 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)nc1 ZINC001056810505 761660891 /nfs/dbraw/zinc/66/08/91/761660891.db2.gz QUOPGAFYAKXZAN-MGPQQGTHSA-N 1 2 322.372 1.493 20 30 DDEDLO N#CCN1CC[C@@H](C2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)C1 ZINC001039161301 761787819 /nfs/dbraw/zinc/78/78/19/761787819.db2.gz HLLHTIADOXKWCT-CQSZACIVSA-N 1 2 301.394 1.036 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)C(C)C)CC2=O)C1 ZINC001108580884 762743896 /nfs/dbraw/zinc/74/38/96/762743896.db2.gz VEIQJJDCYHZVCU-UONOGXRCSA-N 1 2 307.438 1.256 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001108583553 762750362 /nfs/dbraw/zinc/75/03/62/762750362.db2.gz XTSYJHCUWVAPHF-STQMWFEESA-N 1 2 324.388 1.329 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CC(CC)CC)CC2=O)C1 ZINC001108583625 762750460 /nfs/dbraw/zinc/75/04/60/762750460.db2.gz OIHJKLXZYCOKBV-HNNXBMFYSA-N 1 2 321.465 1.790 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001108589161 762774162 /nfs/dbraw/zinc/77/41/62/762774162.db2.gz XFHGDGZYKPUTPX-GXTWGEPZSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@H](CC(=O)N[C@@H](C)CNc1ccc(C#N)cn1)n1cc[nH+]c1 ZINC001108721364 762891420 /nfs/dbraw/zinc/89/14/20/762891420.db2.gz DXHWMLGSVZRYQJ-QWHCGFSZSA-N 1 2 312.377 1.718 20 30 DDEDLO Cc1nsc(NC[C@H](C)NC(=O)CCc2c[nH]c[nH+]2)c1C#N ZINC001108763061 762906898 /nfs/dbraw/zinc/90/68/98/762906898.db2.gz OUBGVWMARNNILL-VIFPVBQESA-N 1 2 318.406 1.596 20 30 DDEDLO Cc1nsc(NC[C@H](C)NC(=O)CCc2c[nH+]c[nH]2)c1C#N ZINC001108763061 762906902 /nfs/dbraw/zinc/90/69/02/762906902.db2.gz OUBGVWMARNNILL-VIFPVBQESA-N 1 2 318.406 1.596 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CN(C)c1ccncc1C#N ZINC001109043688 763258955 /nfs/dbraw/zinc/25/89/55/763258955.db2.gz CXPANJBTWAMVEK-LLVKDONJSA-N 1 2 312.377 1.168 20 30 DDEDLO COc1ccnc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H](C)C#N)C3)c1 ZINC001109078981 763315490 /nfs/dbraw/zinc/31/54/90/763315490.db2.gz USNMDTFGXMKUKA-OYNZBZHQSA-N 1 2 314.389 1.471 20 30 DDEDLO COc1ccnc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H](C)C#N)C3)c1 ZINC001109078981 763315501 /nfs/dbraw/zinc/31/55/01/763315501.db2.gz USNMDTFGXMKUKA-OYNZBZHQSA-N 1 2 314.389 1.471 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)C ZINC001109249502 763489597 /nfs/dbraw/zinc/48/95/97/763489597.db2.gz CQXQCFOLTFTVKG-PMPSAXMXSA-N 1 2 319.449 1.140 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)C ZINC001109249502 763489603 /nfs/dbraw/zinc/48/96/03/763489603.db2.gz CQXQCFOLTFTVKG-PMPSAXMXSA-N 1 2 319.449 1.140 20 30 DDEDLO C[C@H](CN(C)c1ccc(C#N)cn1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001109294698 763555470 /nfs/dbraw/zinc/55/54/70/763555470.db2.gz WWGJKHHKFHJVAE-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@H](CN(C)c1ccc(C#N)cn1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001109294698 763555482 /nfs/dbraw/zinc/55/54/82/763555482.db2.gz WWGJKHHKFHJVAE-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001109350799 763592208 /nfs/dbraw/zinc/59/22/08/763592208.db2.gz DHVRUZGFYSARIH-SNPRPXQTSA-N 1 2 303.410 1.393 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001109350799 763592217 /nfs/dbraw/zinc/59/22/17/763592217.db2.gz DHVRUZGFYSARIH-SNPRPXQTSA-N 1 2 303.410 1.393 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109351379 763592937 /nfs/dbraw/zinc/59/29/37/763592937.db2.gz XNYYMGYQHIJAPK-ILXRZTDVSA-N 1 2 307.438 1.590 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109351379 763592943 /nfs/dbraw/zinc/59/29/43/763592943.db2.gz XNYYMGYQHIJAPK-ILXRZTDVSA-N 1 2 307.438 1.590 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2c[nH+]cn2C)CCN1c1ncccc1C#N ZINC001050455345 763616381 /nfs/dbraw/zinc/61/63/81/763616381.db2.gz WFESSZHOQUUNPD-DOMZBBRYSA-N 1 2 324.388 1.013 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C(C)(C)C)C2 ZINC001109451072 763719956 /nfs/dbraw/zinc/71/99/56/763719956.db2.gz AJRSDLSNQDSMFY-KBXIAJHMSA-N 1 2 321.465 1.692 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C(C)(C)C)C2 ZINC001109451072 763719960 /nfs/dbraw/zinc/71/99/60/763719960.db2.gz AJRSDLSNQDSMFY-KBXIAJHMSA-N 1 2 321.465 1.692 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CCCNc2cc[nH+]c(C)n2)nc1 ZINC001109492604 763757545 /nfs/dbraw/zinc/75/75/45/763757545.db2.gz IXNVXWBZRMPNSM-UHFFFAOYSA-N 1 2 309.373 1.736 20 30 DDEDLO Cc1nc(N[C@@H]2CCCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001057617417 764141405 /nfs/dbraw/zinc/14/14/05/764141405.db2.gz DOPKCQGEDOMAIF-CYBMUJFWSA-N 1 2 310.361 1.702 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096150620 768207346 /nfs/dbraw/zinc/20/73/46/768207346.db2.gz UOUCILFHMQUOGP-HIFRSBDPSA-N 1 2 318.421 1.851 20 30 DDEDLO CC#CCN1CCOC[C@@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001050980356 764379242 /nfs/dbraw/zinc/37/92/42/764379242.db2.gz RZEHSSCZDRLFCN-AWEZNQCLSA-N 1 2 312.373 1.017 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1Cc2ccccc2O1 ZINC001051018594 764421551 /nfs/dbraw/zinc/42/15/51/764421551.db2.gz YAEPTZGKTKHESO-NVXWUHKLSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1Cc2ccccc2O1 ZINC001051018594 764421556 /nfs/dbraw/zinc/42/15/56/764421556.db2.gz YAEPTZGKTKHESO-NVXWUHKLSA-N 1 2 316.401 1.383 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2[nH]ncc2C(F)(F)F)C1 ZINC001042889799 764511085 /nfs/dbraw/zinc/51/10/85/764511085.db2.gz OTFPLWWYUXIHCC-UHFFFAOYSA-N 1 2 300.284 1.208 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1[nH]c(C)nc1C ZINC001051121633 764522545 /nfs/dbraw/zinc/52/25/45/764522545.db2.gz VMNAHSXYBWCZJH-CQSZACIVSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1[nH]c(C)nc1C ZINC001051121633 764522555 /nfs/dbraw/zinc/52/25/55/764522555.db2.gz VMNAHSXYBWCZJH-CQSZACIVSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)CCOC)C[C@H](C)O2 ZINC001131620000 768221190 /nfs/dbraw/zinc/22/11/90/768221190.db2.gz AKTQDFRTJFPYPI-ZFWWWQNUSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)CCOC)C[C@H](C)O2 ZINC001131620000 768221194 /nfs/dbraw/zinc/22/11/94/768221194.db2.gz AKTQDFRTJFPYPI-ZFWWWQNUSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCC(=O)N1)C2 ZINC001096152165 768221856 /nfs/dbraw/zinc/22/18/56/768221856.db2.gz NFWGKVUHBUEKOG-NDBYEHHHSA-N 1 2 311.813 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCC(=O)N1)C2 ZINC001096152165 768221860 /nfs/dbraw/zinc/22/18/60/768221860.db2.gz NFWGKVUHBUEKOG-NDBYEHHHSA-N 1 2 311.813 1.129 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CCc2ccc(F)c(F)c2)CC1 ZINC001112708675 764629188 /nfs/dbraw/zinc/62/91/88/764629188.db2.gz RZXHPXAISRECHJ-UHFFFAOYSA-N 1 2 324.371 1.854 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1Cc2cccnc2C1 ZINC001051227625 764647800 /nfs/dbraw/zinc/64/78/00/764647800.db2.gz PIWHJGRKQJATII-HOTGVXAUSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1Cc2cccnc2C1 ZINC001051227625 764647808 /nfs/dbraw/zinc/64/78/08/764647808.db2.gz PIWHJGRKQJATII-HOTGVXAUSA-N 1 2 315.417 1.190 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2c3c[nH]nc3CC[C@@H]2C)C1 ZINC001043385661 764876844 /nfs/dbraw/zinc/87/68/44/764876844.db2.gz KRMLYRGZFSJNGW-LRDDRELGSA-N 1 2 302.422 1.794 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C(C)(C)[C@@H]2CCCCO2)CC1 ZINC001112859948 764885295 /nfs/dbraw/zinc/88/52/95/764885295.db2.gz KAWYRYVGEMYUPG-INIZCTEOSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C)nc(C)c2)CC1 ZINC001112888380 764926815 /nfs/dbraw/zinc/92/68/15/764926815.db2.gz ZGSCOUKWCLILSL-UHFFFAOYSA-N 1 2 303.406 1.659 20 30 DDEDLO C=C1CCC(C(=O)N(C)C2C[NH+](CCn3cncn3)C2)CC1 ZINC001043759859 765083612 /nfs/dbraw/zinc/08/36/12/765083612.db2.gz MJZKKWWJAJTVDR-UHFFFAOYSA-N 1 2 303.410 1.167 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)nc1)[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001112993567 765102866 /nfs/dbraw/zinc/10/28/66/765102866.db2.gz JUSQBXFDNOSLNH-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccnc(-n3cccn3)c2)C1 ZINC001043835118 765122721 /nfs/dbraw/zinc/12/27/21/765122721.db2.gz RYJSSAACSJAFHA-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(N(C)C)nc2)CC1 ZINC001113057109 765191752 /nfs/dbraw/zinc/19/17/52/765191752.db2.gz CWXXTOSGFZINEQ-UHFFFAOYSA-N 1 2 318.421 1.108 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCN2C(=O)C2CC2)C1 ZINC001044014033 765232258 /nfs/dbraw/zinc/23/22/58/765232258.db2.gz FLAUHVWUZATKEH-HNNXBMFYSA-N 1 2 305.422 1.106 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnn3cccc(OC)c23)C1 ZINC001044060268 765263616 /nfs/dbraw/zinc/26/36/16/765263616.db2.gz XIRNZHGNSDEWRN-UHFFFAOYSA-N 1 2 314.389 1.675 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2ccccc2NC(C)=O)C1 ZINC001044068607 765269330 /nfs/dbraw/zinc/26/93/30/765269330.db2.gz BUCHZIYIVVUMCV-UHFFFAOYSA-N 1 2 301.390 1.516 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2occc2Cl)[C@@H](n2ccnn2)C1 ZINC001070018130 768278751 /nfs/dbraw/zinc/27/87/51/768278751.db2.gz UTQDHPGYNBCBOV-NEPJUHHUSA-N 1 2 321.768 1.366 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2occc2Cl)[C@@H](n2ccnn2)C1 ZINC001070018130 768278753 /nfs/dbraw/zinc/27/87/53/768278753.db2.gz UTQDHPGYNBCBOV-NEPJUHHUSA-N 1 2 321.768 1.366 20 30 DDEDLO C[C@@H](NC(=O)Cc1[nH]cc[nH+]1)[C@@H](C)Nc1cccc(F)c1C#N ZINC001113155023 765344888 /nfs/dbraw/zinc/34/48/88/765344888.db2.gz LYAPIBLJTMDRAD-GHMZBOCLSA-N 1 2 315.352 1.968 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cccc(C)n3)C2)CC1 ZINC001051982515 765346769 /nfs/dbraw/zinc/34/67/69/765346769.db2.gz GFPSEFFMVOHGPR-MRXNPFEDSA-N 1 2 314.433 1.408 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[NH+](CCOCC2CC2)CC1 ZINC001113164599 765361054 /nfs/dbraw/zinc/36/10/54/765361054.db2.gz SXZMJGBBEGRZRY-GOSISDBHSA-N 1 2 324.465 1.786 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)CC1 ZINC001052005450 765372082 /nfs/dbraw/zinc/37/20/82/765372082.db2.gz AZJSENYGBFLROW-WNRNVDISSA-N 1 2 315.461 1.274 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@]34C[C@H]3CCC4)C2)CC1 ZINC001052053556 765424704 /nfs/dbraw/zinc/42/47/04/765424704.db2.gz NDRCFXVCEXPYSB-ZHALLVOQSA-N 1 2 317.477 1.971 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3CCC3(C)C)C2)CC1 ZINC001052064767 765437236 /nfs/dbraw/zinc/43/72/36/765437236.db2.gz HALCEBPPCKQVMX-CVEARBPZSA-N 1 2 303.450 1.274 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3occc3CC)C2)CC1 ZINC001052074941 765446484 /nfs/dbraw/zinc/44/64/84/765446484.db2.gz PJWASRASLXJDGZ-INIZCTEOSA-N 1 2 317.433 1.860 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3occc3CC)C2)CC1 ZINC001052075179 765447049 /nfs/dbraw/zinc/44/70/49/765447049.db2.gz VVNSOHVBAQKSDG-INIZCTEOSA-N 1 2 315.417 1.307 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3occc3CC)C2)CC1 ZINC001052075179 765447051 /nfs/dbraw/zinc/44/70/51/765447051.db2.gz VVNSOHVBAQKSDG-INIZCTEOSA-N 1 2 315.417 1.307 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1ccncc1C#N ZINC001113351991 765615922 /nfs/dbraw/zinc/61/59/22/765615922.db2.gz LEAQNULOWQKMJL-RYUDHWBXSA-N 1 2 312.377 1.036 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1ccncc1C#N ZINC001113351991 765615926 /nfs/dbraw/zinc/61/59/26/765615926.db2.gz LEAQNULOWQKMJL-RYUDHWBXSA-N 1 2 312.377 1.036 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+](CCc2cnn(C)c2)CC1 ZINC001113388824 765651276 /nfs/dbraw/zinc/65/12/76/765651276.db2.gz SMLSQRAGQYBING-UHFFFAOYSA-N 1 2 304.438 1.709 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001057728771 765705348 /nfs/dbraw/zinc/70/53/48/765705348.db2.gz RSRBYIISBOANFO-OAHLLOKOSA-N 1 2 324.388 1.643 20 30 DDEDLO C=CCCC[NH+]1CCN(C(=O)[C@@H]2CCc3[nH]nnc3C2)CC1 ZINC001113533125 765830895 /nfs/dbraw/zinc/83/08/95/765830895.db2.gz UNECMAVUGGDWSO-CYBMUJFWSA-N 1 2 303.410 1.020 20 30 DDEDLO C=CCCC[NH+]1CCN(C(=O)[C@@H]2CCc3nn[nH]c3C2)CC1 ZINC001113533125 765830903 /nfs/dbraw/zinc/83/09/03/765830903.db2.gz UNECMAVUGGDWSO-CYBMUJFWSA-N 1 2 303.410 1.020 20 30 DDEDLO C=CCC[C@@H](C)[NH+]1CCN(C(=O)[C@H]2CCc3[nH]nnc3C2)CC1 ZINC001113532995 765831741 /nfs/dbraw/zinc/83/17/41/765831741.db2.gz OFOZBIFBVUXYJJ-KGLIPLIRSA-N 1 2 317.437 1.409 20 30 DDEDLO C=CCC[C@@H](C)[NH+]1CCN(C(=O)[C@H]2CCc3nn[nH]c3C2)CC1 ZINC001113532995 765831749 /nfs/dbraw/zinc/83/17/49/765831749.db2.gz OFOZBIFBVUXYJJ-KGLIPLIRSA-N 1 2 317.437 1.409 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2coc(CCC)n2)CC1 ZINC001113534571 765834492 /nfs/dbraw/zinc/83/44/92/765834492.db2.gz HVDGMPPROGVOPW-UHFFFAOYSA-N 1 2 307.394 1.588 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)c2ccccc2)[C@@H](n2ccnn2)C1 ZINC001070078068 768331310 /nfs/dbraw/zinc/33/13/10/768331310.db2.gz UJJWOEMORABAEQ-UAGQMJEPSA-N 1 2 323.400 1.057 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)c2ccccc2)[C@@H](n2ccnn2)C1 ZINC001070078068 768331317 /nfs/dbraw/zinc/33/13/17/768331317.db2.gz UJJWOEMORABAEQ-UAGQMJEPSA-N 1 2 323.400 1.057 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113640220 765965831 /nfs/dbraw/zinc/96/58/31/765965831.db2.gz RBXMURZERHVJJS-GFCCVEGCSA-N 1 2 320.437 1.935 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)N(C)c1ccc(C#N)nc1 ZINC001113682065 766014554 /nfs/dbraw/zinc/01/45/54/766014554.db2.gz QUNJAYBKZOAYQL-LLVKDONJSA-N 1 2 312.377 1.168 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccoc2)CC[C@H]1C ZINC001131788323 768357971 /nfs/dbraw/zinc/35/79/71/768357971.db2.gz RKYXKSRBZKFXOG-TZMCWYRMSA-N 1 2 305.378 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccoc2)CC[C@H]1C ZINC001131788323 768357976 /nfs/dbraw/zinc/35/79/76/768357976.db2.gz RKYXKSRBZKFXOG-TZMCWYRMSA-N 1 2 305.378 1.165 20 30 DDEDLO CN(C(=O)C1CCC1)[C@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047280658 768367021 /nfs/dbraw/zinc/36/70/21/768367021.db2.gz IGYKINFTMZZEES-IRXDYDNUSA-N 1 2 313.401 1.362 20 30 DDEDLO CN(C(=O)C1CCC1)[C@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047280658 768367028 /nfs/dbraw/zinc/36/70/28/768367028.db2.gz IGYKINFTMZZEES-IRXDYDNUSA-N 1 2 313.401 1.362 20 30 DDEDLO Cc1cc(N2CCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001058190136 766298322 /nfs/dbraw/zinc/29/83/22/766298322.db2.gz XVHCCIXZNJBQJL-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)OCC ZINC001113920196 766403635 /nfs/dbraw/zinc/40/36/35/766403635.db2.gz SAKVJPQWOJVSHH-WYUUTHIRSA-N 1 2 317.227 1.366 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)OCC ZINC001113920196 766403644 /nfs/dbraw/zinc/40/36/44/766403644.db2.gz SAKVJPQWOJVSHH-WYUUTHIRSA-N 1 2 317.227 1.366 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCC[C@H]2NC(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001045575388 766412398 /nfs/dbraw/zinc/41/23/98/766412398.db2.gz WVBJSUKMZGRJHF-ZIAGYGMSSA-N 1 2 324.388 1.758 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001113999692 766513108 /nfs/dbraw/zinc/51/31/08/766513108.db2.gz RNIKGPWUHAPUEJ-RZFFKMDDSA-N 1 2 321.446 1.671 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001113999692 766513113 /nfs/dbraw/zinc/51/31/13/766513113.db2.gz RNIKGPWUHAPUEJ-RZFFKMDDSA-N 1 2 321.446 1.671 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnnn3C3CC3)C[C@H]21 ZINC001114024804 766535683 /nfs/dbraw/zinc/53/56/83/766535683.db2.gz FTDHNVSZYQWQDF-PBOSXPJTSA-N 1 2 316.409 1.082 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnnn3C3CC3)C[C@H]21 ZINC001114024804 766535691 /nfs/dbraw/zinc/53/56/91/766535691.db2.gz FTDHNVSZYQWQDF-PBOSXPJTSA-N 1 2 316.409 1.082 20 30 DDEDLO Cc1nc(N2CC[C@@H](C)[C@@H](NC(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001114018959 766541553 /nfs/dbraw/zinc/54/15/53/766541553.db2.gz NCFZEYTUVJXKCL-HIFRSBDPSA-N 1 2 300.406 1.775 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC[C@@H]1C ZINC001114030091 766561937 /nfs/dbraw/zinc/56/19/37/766561937.db2.gz IOSQFVBUMINSCK-DZGCQCFKSA-N 1 2 318.421 1.709 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccncc1C#N ZINC001067577855 766620578 /nfs/dbraw/zinc/62/05/78/766620578.db2.gz OOUVKOVTGUBUST-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO CN(C[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1)c1ccc(C#N)cn1 ZINC001067771893 766693360 /nfs/dbraw/zinc/69/33/60/766693360.db2.gz ISOCUVSMZIJZNC-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@H]2CN(C(=O)C#CC3CC3)CCO2)cc[nH+]1 ZINC001068230720 767020031 /nfs/dbraw/zinc/02/00/31/767020031.db2.gz JOIFIFKBKJGVHF-SWLSCSKDSA-N 1 2 314.389 1.226 20 30 DDEDLO C[C@@H]1C[C@@H](CNc2ncccc2C#N)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068376409 767220501 /nfs/dbraw/zinc/22/05/01/767220501.db2.gz UJURQMDVTSSMOU-OLZOCXBDSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[NH2+]Cc1nc(C)no1 ZINC001130371084 767470964 /nfs/dbraw/zinc/47/09/64/767470964.db2.gz BOUBHPARDNIUDO-UHFFFAOYSA-N 1 2 316.361 1.462 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnn3c2OCCC3)C1 ZINC001046449241 767585015 /nfs/dbraw/zinc/58/50/15/767585015.db2.gz ZWFHRYRYFIWZGL-OAHLLOKOSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnn3c2OCCC3)C1 ZINC001046449241 767585019 /nfs/dbraw/zinc/58/50/19/767585019.db2.gz ZWFHRYRYFIWZGL-OAHLLOKOSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccnc(OC)n2)C1 ZINC001046477583 767612283 /nfs/dbraw/zinc/61/22/83/767612283.db2.gz TYVQSTYKIBXOIB-AWEZNQCLSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccnc(OC)n2)C1 ZINC001046477583 767612286 /nfs/dbraw/zinc/61/22/86/767612286.db2.gz TYVQSTYKIBXOIB-AWEZNQCLSA-N 1 2 310.785 1.432 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](Nc2ccncc2C#N)[C@H]1C ZINC001068873034 767672710 /nfs/dbraw/zinc/67/27/10/767672710.db2.gz WAUHTOQSWUHWBI-TZMCWYRMSA-N 1 2 324.388 1.051 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001068971320 767736928 /nfs/dbraw/zinc/73/69/28/767736928.db2.gz GGZQUWAYOOCAHV-SWLSCSKDSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001068971320 767736933 /nfs/dbraw/zinc/73/69/33/767736933.db2.gz GGZQUWAYOOCAHV-SWLSCSKDSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001046708317 767827974 /nfs/dbraw/zinc/82/79/74/767827974.db2.gz BXDVMMLZENSCNI-OAHLLOKOSA-N 1 2 324.812 1.210 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001046708317 767827981 /nfs/dbraw/zinc/82/79/81/767827981.db2.gz BXDVMMLZENSCNI-OAHLLOKOSA-N 1 2 324.812 1.210 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CC(C)(C)C)C[N@@H+]1CC(=O)NCC#N ZINC001131816974 768399788 /nfs/dbraw/zinc/39/97/88/768399788.db2.gz JBDQUGVBQPRBIO-QWHCGFSZSA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CC(C)(C)C)C[N@H+]1CC(=O)NCC#N ZINC001131816974 768399796 /nfs/dbraw/zinc/39/97/96/768399796.db2.gz JBDQUGVBQPRBIO-QWHCGFSZSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(CC)C(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001131834352 768413878 /nfs/dbraw/zinc/41/38/78/768413878.db2.gz NIANGBPCEOSSLB-GXTWGEPZSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(CC)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001131834352 768413880 /nfs/dbraw/zinc/41/38/80/768413880.db2.gz NIANGBPCEOSSLB-GXTWGEPZSA-N 1 2 308.426 1.031 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CNC(=O)CC)CC[C@@H]1C ZINC001131909016 768472656 /nfs/dbraw/zinc/47/26/56/768472656.db2.gz RHDVSRXSRUKGLJ-NWDGAFQWSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CNC(=O)CC)CC[C@@H]1C ZINC001131909016 768472651 /nfs/dbraw/zinc/47/26/51/768472651.db2.gz RHDVSRXSRUKGLJ-NWDGAFQWSA-N 1 2 301.818 1.234 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001131947178 768506266 /nfs/dbraw/zinc/50/62/66/768506266.db2.gz QOAHLDYXIHABMB-HIFRSBDPSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001131947178 768506268 /nfs/dbraw/zinc/50/62/68/768506268.db2.gz QOAHLDYXIHABMB-HIFRSBDPSA-N 1 2 320.437 1.400 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N(C)[C@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047492158 768516698 /nfs/dbraw/zinc/51/66/98/768516698.db2.gz XRUCBHOKBBRWSQ-HJPIBITLSA-N 1 2 319.430 1.279 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N(C)[C@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047492158 768516707 /nfs/dbraw/zinc/51/67/07/768516707.db2.gz XRUCBHOKBBRWSQ-HJPIBITLSA-N 1 2 319.430 1.279 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2nccs2)C1 ZINC001132041293 768583494 /nfs/dbraw/zinc/58/34/94/768583494.db2.gz BNARRPOHBAOAMM-UONOGXRCSA-N 1 2 321.446 1.652 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2nccs2)C1 ZINC001132041293 768583499 /nfs/dbraw/zinc/58/34/99/768583499.db2.gz BNARRPOHBAOAMM-UONOGXRCSA-N 1 2 321.446 1.652 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2oc(CC)cc2C)C1 ZINC001047597671 768598646 /nfs/dbraw/zinc/59/86/46/768598646.db2.gz CCOBRGIOJJDVPA-GJZGRUSLSA-N 1 2 304.390 1.291 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2oc(CC)cc2C)C1 ZINC001047597671 768598652 /nfs/dbraw/zinc/59/86/52/768598652.db2.gz CCOBRGIOJJDVPA-GJZGRUSLSA-N 1 2 304.390 1.291 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132047580 768600605 /nfs/dbraw/zinc/60/06/05/768600605.db2.gz YJQZGBQPMKBRHA-FZMZJTMJSA-N 1 2 318.446 1.729 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132047580 768600607 /nfs/dbraw/zinc/60/06/07/768600607.db2.gz YJQZGBQPMKBRHA-FZMZJTMJSA-N 1 2 318.446 1.729 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCc2[nH+]ccn2C1)Nc1ccc(C#N)nc1 ZINC001098125317 768645769 /nfs/dbraw/zinc/64/57/69/768645769.db2.gz CYXIKQHAJFHFGH-OLZOCXBDSA-N 1 2 324.388 1.329 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C(C)(C)NC(C)=O)CC[C@H]1C ZINC001132365937 768780371 /nfs/dbraw/zinc/78/03/71/768780371.db2.gz SYGRZGCPJCURTA-YPMHNXCESA-N 1 2 315.845 1.623 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)NC(C)=O)CC[C@H]1C ZINC001132365937 768780376 /nfs/dbraw/zinc/78/03/76/768780376.db2.gz SYGRZGCPJCURTA-YPMHNXCESA-N 1 2 315.845 1.623 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)C(C)(C)CS(C)(=O)=O)CC[C@H]1C ZINC001132532754 768962764 /nfs/dbraw/zinc/96/27/64/768962764.db2.gz GIBXREBMLKUGGR-OLZOCXBDSA-N 1 2 316.467 1.212 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)CS(C)(=O)=O)CC[C@H]1C ZINC001132532754 768962768 /nfs/dbraw/zinc/96/27/68/768962768.db2.gz GIBXREBMLKUGGR-OLZOCXBDSA-N 1 2 316.467 1.212 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001132572472 768994245 /nfs/dbraw/zinc/99/42/45/768994245.db2.gz AKFQVGZRPGAYNA-NEPJUHHUSA-N 1 2 306.410 1.686 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH+]1CCN(c2nccc(C)n2)CC1 ZINC001096293488 769057703 /nfs/dbraw/zinc/05/77/03/769057703.db2.gz MHFCSOUDSZBARR-UHFFFAOYSA-N 1 2 317.437 1.235 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001132662528 769071652 /nfs/dbraw/zinc/07/16/52/769071652.db2.gz FHJJTRSXCSDDMP-CHWSQXEVSA-N 1 2 324.425 1.975 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC001096300511 769089167 /nfs/dbraw/zinc/08/91/67/769089167.db2.gz WCMHVBZBQZZINO-GJZGRUSLSA-N 1 2 317.437 1.173 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071181392 769109979 /nfs/dbraw/zinc/10/99/79/769109979.db2.gz NQQUSOMMWGHIOA-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001096337944 769258025 /nfs/dbraw/zinc/25/80/25/769258025.db2.gz GZLOCUVJFHINDG-TZMCWYRMSA-N 1 2 304.394 1.461 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc3n(n2)CCO3)CC[C@@H]1C ZINC001071571809 769645676 /nfs/dbraw/zinc/64/56/76/769645676.db2.gz LZHSFFCCFVERCB-RYUDHWBXSA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc3n(n2)CCO3)CC[C@@H]1C ZINC001071571809 769645681 /nfs/dbraw/zinc/64/56/81/769645681.db2.gz LZHSFFCCFVERCB-RYUDHWBXSA-N 1 2 324.812 1.611 20 30 DDEDLO C[C@H]1CCN(C(=O)CCCn2cc[nH+]c2)C[C@H]1CNCC#N ZINC001133363323 769747207 /nfs/dbraw/zinc/74/72/07/769747207.db2.gz GUYNPIHKFCLKKE-LSDHHAIUSA-N 1 2 303.410 1.261 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[NH2+]Cc1nc(CCOC)no1 ZINC001133378972 769760775 /nfs/dbraw/zinc/76/07/75/769760775.db2.gz PVFPMMVEFFFPDS-HNNXBMFYSA-N 1 2 310.398 1.067 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2c[nH+]cn2C)C1 ZINC001071786921 770070988 /nfs/dbraw/zinc/07/09/88/770070988.db2.gz KOTYRJRQGJFEQC-UKRRQHHQSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[C@@H](C)[N@@H+](Cc3cnnn3C)C2)C1 ZINC001071807154 770105711 /nfs/dbraw/zinc/10/57/11/770105711.db2.gz NOMVENLDIHTMIO-ZIAGYGMSSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[C@@H](C)[N@H+](Cc3cnnn3C)C2)C1 ZINC001071807154 770105716 /nfs/dbraw/zinc/10/57/16/770105716.db2.gz NOMVENLDIHTMIO-ZIAGYGMSSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[N@H+](Cc4nnc(C)[nH]4)C[C@@H]3C2)C1 ZINC001049043697 770284023 /nfs/dbraw/zinc/28/40/23/770284023.db2.gz RKFARCUJRLHMIV-OKILXGFUSA-N 1 2 315.421 1.360 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[N@@H+](Cc4nnc(C)[nH]4)C[C@@H]3C2)C1 ZINC001049043697 770284033 /nfs/dbraw/zinc/28/40/33/770284033.db2.gz RKFARCUJRLHMIV-OKILXGFUSA-N 1 2 315.421 1.360 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cncn2C)CC[C@H]1C ZINC001071989325 770396914 /nfs/dbraw/zinc/39/69/14/770396914.db2.gz OWXVKQIGVYKVFT-OLZOCXBDSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cncn2C)CC[C@H]1C ZINC001071989325 770396924 /nfs/dbraw/zinc/39/69/24/770396924.db2.gz OWXVKQIGVYKVFT-OLZOCXBDSA-N 1 2 310.829 1.684 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2sc(COC)nc2C)CC[C@@H]1C ZINC001072030771 770450501 /nfs/dbraw/zinc/45/05/01/770450501.db2.gz VPBHDCVMPCFNHI-AAEUAGOBSA-N 1 2 321.446 1.814 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2sc(COC)nc2C)CC[C@@H]1C ZINC001072030771 770450506 /nfs/dbraw/zinc/45/05/06/770450506.db2.gz VPBHDCVMPCFNHI-AAEUAGOBSA-N 1 2 321.446 1.814 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001049475709 770903543 /nfs/dbraw/zinc/90/35/43/770903543.db2.gz AQJMSXUDKQUFLI-KBRIMQKVSA-N 1 2 312.417 1.528 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001049475709 770903554 /nfs/dbraw/zinc/90/35/54/770903554.db2.gz AQJMSXUDKQUFLI-KBRIMQKVSA-N 1 2 312.417 1.528 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001072498909 770983962 /nfs/dbraw/zinc/98/39/62/770983962.db2.gz PULUHUYLNLDAOM-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)CCc2[nH+]ccn2C)C[C@H]1C ZINC001072510035 770999835 /nfs/dbraw/zinc/99/98/35/770999835.db2.gz JCAQNSTWEWZILJ-KGLIPLIRSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+]Cc1nncs1 ZINC001135065073 771303939 /nfs/dbraw/zinc/30/39/39/771303939.db2.gz ULAWGZQCRIWFQE-AAEUAGOBSA-N 1 2 310.423 1.115 20 30 DDEDLO Cc1nc(N[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C2CC2)ccc1C#N ZINC001096820139 771467817 /nfs/dbraw/zinc/46/78/17/771467817.db2.gz OAQAJGARALPXAX-OAHLLOKOSA-N 1 2 324.388 1.534 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001097018719 771581120 /nfs/dbraw/zinc/58/11/20/771581120.db2.gz ZDSQGVJHSRDLTL-JOCQHMNTSA-N 1 2 310.361 1.368 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001097174676 771659637 /nfs/dbraw/zinc/65/96/37/771659637.db2.gz OGRLNDQJUVYDRO-TXEJJXNPSA-N 1 2 312.377 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2occc2CC)[C@H](O)C1 ZINC001090548324 771981184 /nfs/dbraw/zinc/98/11/84/771981184.db2.gz XAUOVAFNQOPTPI-QWHCGFSZSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2occc2CC)[C@H](O)C1 ZINC001090548324 771981188 /nfs/dbraw/zinc/98/11/88/771981188.db2.gz XAUOVAFNQOPTPI-QWHCGFSZSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)[nH]c2C)[C@H](O)C1 ZINC001090597888 772027062 /nfs/dbraw/zinc/02/70/62/772027062.db2.gz VRKFQALIYKTXCT-ZIAGYGMSSA-N 1 2 311.813 1.549 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)[nH]c2C)[C@H](O)C1 ZINC001090597888 772027066 /nfs/dbraw/zinc/02/70/66/772027066.db2.gz VRKFQALIYKTXCT-ZIAGYGMSSA-N 1 2 311.813 1.549 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C)CCOCC2)[C@H](O)C1 ZINC001090642804 772067023 /nfs/dbraw/zinc/06/70/23/772067023.db2.gz ZZEIANYTEUGEBU-CHWSQXEVSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C)CCOCC2)[C@H](O)C1 ZINC001090642804 772067029 /nfs/dbraw/zinc/06/70/29/772067029.db2.gz ZZEIANYTEUGEBU-CHWSQXEVSA-N 1 2 316.829 1.107 20 30 DDEDLO CCOC(=O)CN(CCC#N)Cc1c[nH+]c2c(C)cccn12 ZINC001136965947 772228764 /nfs/dbraw/zinc/22/87/64/772228764.db2.gz GEHILZJWSHWAFT-UHFFFAOYSA-N 1 2 300.362 1.922 20 30 DDEDLO O=C(NC1=CC(=O)CCC1)[C@H]1[C@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143677822 772292037 /nfs/dbraw/zinc/29/20/37/772292037.db2.gz QWTBHZRCIRCOKX-IAGOWNOFSA-N 1 2 314.385 1.375 20 30 DDEDLO O=C(NC1=CC(=O)CCC1)[C@H]1[C@H](O)CC[N@H+]1Cc1ccccc1 ZINC001143677822 772292038 /nfs/dbraw/zinc/29/20/38/772292038.db2.gz QWTBHZRCIRCOKX-IAGOWNOFSA-N 1 2 314.385 1.375 20 30 DDEDLO N#Cc1cncc(C[NH+]2CC3(CN(c4ccccc4)C3=O)C2)c1 ZINC001144079206 772396134 /nfs/dbraw/zinc/39/61/34/772396134.db2.gz CZCDUPRSWBSAIG-UHFFFAOYSA-N 1 2 304.353 1.802 20 30 DDEDLO C=C(C(=O)N1CCN(c2cc[nH+]c(OC)c2)CC1)C(F)(F)F ZINC001144209676 772441359 /nfs/dbraw/zinc/44/13/59/772441359.db2.gz NZWNGJAVQIPXOS-UHFFFAOYSA-N 1 2 315.295 1.857 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001091411003 772733130 /nfs/dbraw/zinc/73/31/30/772733130.db2.gz NKOFXJBWNZGWBS-XBXGTLAGSA-N 1 2 324.388 1.534 20 30 DDEDLO NC(=O)c1ccc(C(=[NH2+])Nc2cnc(OCC3CC3)nc2)cc1 ZINC001171258937 772753086 /nfs/dbraw/zinc/75/30/86/772753086.db2.gz QUBFRKYXAZJIRX-UHFFFAOYSA-N 1 2 311.345 1.401 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC2(C1)CC[N@H+](Cc1nccs1)C2 ZINC001147439648 773146911 /nfs/dbraw/zinc/14/69/11/773146911.db2.gz FLHFDDWNOHQDAW-HNNXBMFYSA-N 1 2 321.446 1.505 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC2(C1)CC[N@@H+](Cc1nccs1)C2 ZINC001147439648 773146912 /nfs/dbraw/zinc/14/69/12/773146912.db2.gz FLHFDDWNOHQDAW-HNNXBMFYSA-N 1 2 321.446 1.505 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CN(C(=O)[C@@H]3CCCc4[nH]ncc43)C[C@]2(C)C1 ZINC001091672127 773326989 /nfs/dbraw/zinc/32/69/89/773326989.db2.gz ICUSCNBTHGXFRA-LBTNJELSSA-N 1 2 312.417 1.243 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CN(C(=O)[C@@H]3CCCc4[nH]ncc43)C[C@]2(C)C1 ZINC001091672127 773326994 /nfs/dbraw/zinc/32/69/94/773326994.db2.gz ICUSCNBTHGXFRA-LBTNJELSSA-N 1 2 312.417 1.243 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nc3c(o2)CCCC3)cn1 ZINC001148389128 773450253 /nfs/dbraw/zinc/45/02/53/773450253.db2.gz DCBLGDCVFHDONW-UHFFFAOYSA-N 1 2 324.384 1.449 20 30 DDEDLO Cn1ccc(C[N@H+](C)C[C@H]2CCCCN2C(=O)C#CC2CC2)n1 ZINC001074012600 773584038 /nfs/dbraw/zinc/58/40/38/773584038.db2.gz CTUOKBZFHOSHNY-QGZVFWFLSA-N 1 2 314.433 1.646 20 30 DDEDLO Cn1ccc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)C#CC2CC2)n1 ZINC001074012600 773584043 /nfs/dbraw/zinc/58/40/43/773584043.db2.gz CTUOKBZFHOSHNY-QGZVFWFLSA-N 1 2 314.433 1.646 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001074187529 773708390 /nfs/dbraw/zinc/70/83/90/773708390.db2.gz IOXPXDROEFRVMS-HZPDHXFCSA-N 1 2 318.421 1.600 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001074187529 773708394 /nfs/dbraw/zinc/70/83/94/773708394.db2.gz IOXPXDROEFRVMS-HZPDHXFCSA-N 1 2 318.421 1.600 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccccc3)C[C@H]21 ZINC001074279257 773789821 /nfs/dbraw/zinc/78/98/21/773789821.db2.gz IVVRDHVWGAIDQH-MSOLQXFVSA-N 1 2 312.413 1.554 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccccc3)C[C@H]21 ZINC001074279257 773789826 /nfs/dbraw/zinc/78/98/26/773789826.db2.gz IVVRDHVWGAIDQH-MSOLQXFVSA-N 1 2 312.413 1.554 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCOCC3)C[C@H]21 ZINC001074353547 773849402 /nfs/dbraw/zinc/84/94/02/773849402.db2.gz FSJZJPKVDHIORS-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCOCC3)C[C@H]21 ZINC001074353547 773849404 /nfs/dbraw/zinc/84/94/04/773849404.db2.gz FSJZJPKVDHIORS-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccnc(C)c3)C[C@H]21 ZINC001074366640 773859547 /nfs/dbraw/zinc/85/95/47/773859547.db2.gz XLRRUMBMYPQSSD-SJORKVTESA-N 1 2 315.417 1.881 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccnc(C)c3)C[C@H]21 ZINC001074366640 773859550 /nfs/dbraw/zinc/85/95/50/773859550.db2.gz XLRRUMBMYPQSSD-SJORKVTESA-N 1 2 315.417 1.881 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccn3CC)C[C@@H]21 ZINC001074406009 773900400 /nfs/dbraw/zinc/90/04/00/773900400.db2.gz ZFTFJKQIHQDJPD-DLBZAZTESA-N 1 2 317.433 1.999 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccn3CC)C[C@@H]21 ZINC001074406009 773900404 /nfs/dbraw/zinc/90/04/04/773900404.db2.gz ZFTFJKQIHQDJPD-DLBZAZTESA-N 1 2 317.433 1.999 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3c[nH]cc3C)C[C@@H]21 ZINC001074410237 773905842 /nfs/dbraw/zinc/90/58/42/773905842.db2.gz OGFABAHPMXQVQP-JKSUJKDBSA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c[nH]cc3C)C[C@@H]21 ZINC001074410237 773905847 /nfs/dbraw/zinc/90/58/47/773905847.db2.gz OGFABAHPMXQVQP-JKSUJKDBSA-N 1 2 301.390 1.262 20 30 DDEDLO Cc1nc(N2C[C@@H](CNC(=O)[C@H](C)C#N)[C@H](C)C2)c(C)c(C)[nH+]1 ZINC001092337012 774086646 /nfs/dbraw/zinc/08/66/46/774086646.db2.gz WYMIMFVYVMPDBN-UEKVPHQBSA-N 1 2 315.421 1.750 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001075016687 774300320 /nfs/dbraw/zinc/30/03/20/774300320.db2.gz FBZJLEREOAWSLV-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCN(c2nc(C)[nH+]c(C)c2C)CC1 ZINC001093161143 774485097 /nfs/dbraw/zinc/48/50/97/774485097.db2.gz QQWMUVUFEIWEBG-CQSZACIVSA-N 1 2 318.421 1.642 20 30 DDEDLO Cc1nc(N[C@H](C)CNC(=O)CCc2c[nH]c[nH+]2)ccc1C#N ZINC001098344192 774543552 /nfs/dbraw/zinc/54/35/52/774543552.db2.gz GNYAHGDOKYXODJ-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO Cc1nc(N[C@H](C)CNC(=O)CCc2c[nH+]c[nH]2)ccc1C#N ZINC001098344192 774543555 /nfs/dbraw/zinc/54/35/55/774543555.db2.gz GNYAHGDOKYXODJ-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc(COC)s1)C2 ZINC001098513029 774600190 /nfs/dbraw/zinc/60/01/90/774600190.db2.gz OLSIVWPMAQHDSW-UPJWGTAASA-N 1 2 319.430 1.648 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc(COC)s1)C2 ZINC001098513029 774600194 /nfs/dbraw/zinc/60/01/94/774600194.db2.gz OLSIVWPMAQHDSW-UPJWGTAASA-N 1 2 319.430 1.648 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cnn(C)c3C)CC2)C1 ZINC001093507695 774752316 /nfs/dbraw/zinc/75/23/16/774752316.db2.gz BWODDRVLQRWINW-UHFFFAOYSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3CCCOC3)CC2)C1 ZINC001093515357 774765331 /nfs/dbraw/zinc/76/53/31/774765331.db2.gz SKVGASYGRMECCS-HNNXBMFYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CCCO[C@@H]3C)CC2)C1 ZINC001093526872 774779061 /nfs/dbraw/zinc/77/90/61/774779061.db2.gz FSCQFFSWRPTFKP-PBHICJAKSA-N 1 2 322.449 1.679 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)cn1 ZINC001099031870 774783554 /nfs/dbraw/zinc/78/35/54/774783554.db2.gz LSTSLOHYNWUXMQ-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C#CCCCC(=O)NCC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001099046651 774792408 /nfs/dbraw/zinc/79/24/08/774792408.db2.gz QFGRMVCGNYTDJR-GFCCVEGCSA-N 1 2 318.425 1.356 20 30 DDEDLO C[N@@H+]1CCc2ncnc(NCCNC(=O)C#CC(C)(C)C)c2C1 ZINC001093610322 774914145 /nfs/dbraw/zinc/91/41/45/774914145.db2.gz VCAAHVYEYLGOES-UHFFFAOYSA-N 1 2 315.421 1.042 20 30 DDEDLO C[N@H+]1CCc2ncnc(NCCNC(=O)C#CC(C)(C)C)c2C1 ZINC001093610322 774914149 /nfs/dbraw/zinc/91/41/49/774914149.db2.gz VCAAHVYEYLGOES-UHFFFAOYSA-N 1 2 315.421 1.042 20 30 DDEDLO C#CC[NH2+][C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C(F)(F)F ZINC001099254582 774919413 /nfs/dbraw/zinc/91/94/13/774919413.db2.gz NBTWRJBBLZYKAJ-BWZBUEFSSA-N 1 2 320.208 1.499 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(F)ccc2F)C[C@H]1O ZINC001099726735 775191519 /nfs/dbraw/zinc/19/15/19/775191519.db2.gz JNLKMCUMDASHOH-JKSUJKDBSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cc(F)ccc2F)C[C@H]1O ZINC001099726735 775191526 /nfs/dbraw/zinc/19/15/26/775191526.db2.gz JNLKMCUMDASHOH-JKSUJKDBSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)c(C)s2)C[C@H]1O ZINC001099727396 775193348 /nfs/dbraw/zinc/19/33/48/775193348.db2.gz OPPZLWUXVYLOBR-ZIAGYGMSSA-N 1 2 323.462 1.778 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)c(C)s2)C[C@H]1O ZINC001099727396 775193357 /nfs/dbraw/zinc/19/33/57/775193357.db2.gz OPPZLWUXVYLOBR-ZIAGYGMSSA-N 1 2 323.462 1.778 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C(F)F)CC2)[C@@H](O)C1 ZINC001099829199 775334691 /nfs/dbraw/zinc/33/46/91/775334691.db2.gz CXZCKPLOMSFDRX-ZJUUUORDSA-N 1 2 308.756 1.336 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C(F)F)CC2)[C@@H](O)C1 ZINC001099829199 775334705 /nfs/dbraw/zinc/33/47/05/775334705.db2.gz CXZCKPLOMSFDRX-ZJUUUORDSA-N 1 2 308.756 1.336 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCNc1[nH+]cnc2c1cnn2C ZINC001094031002 775357652 /nfs/dbraw/zinc/35/76/52/775357652.db2.gz DLKNTSBXUNZEKY-UHFFFAOYSA-N 1 2 302.382 1.494 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094050901 775374713 /nfs/dbraw/zinc/37/47/13/775374713.db2.gz LBBUHZSOKNUWKB-ZDUSSCGKSA-N 1 2 317.437 1.595 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094050901 775374724 /nfs/dbraw/zinc/37/47/24/775374724.db2.gz LBBUHZSOKNUWKB-ZDUSSCGKSA-N 1 2 317.437 1.595 20 30 DDEDLO Cc1nc(NCCNC(=O)c2cc3c[nH+]ccc3[nH]2)ccc1C#N ZINC001094236683 775573485 /nfs/dbraw/zinc/57/34/85/775573485.db2.gz XFQAHOOQYODSLW-UHFFFAOYSA-N 1 2 320.356 1.980 20 30 DDEDLO CN(CCNC(=O)CCc1[nH]cc[nH+]1)c1cccc(F)c1C#N ZINC001100042476 775608925 /nfs/dbraw/zinc/60/89/25/775608925.db2.gz AFXILQNSVRRPCT-UHFFFAOYSA-N 1 2 315.352 1.606 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@H]3C(C)C)nn2)C1 ZINC001094331576 775713472 /nfs/dbraw/zinc/71/34/72/775713472.db2.gz BULRFTIXYKTUBQ-JKSUJKDBSA-N 1 2 317.437 1.619 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC34CCC4)nn2)C1 ZINC001094323900 775750332 /nfs/dbraw/zinc/75/03/32/775750332.db2.gz JFHZYQXWTNJXTF-HNNXBMFYSA-N 1 2 315.421 1.517 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094710285 776178952 /nfs/dbraw/zinc/17/89/52/776178952.db2.gz JYSAKXSJUKKAAB-GFCCVEGCSA-N 1 2 320.441 1.767 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3(C4CC4)CCC3)CC2=O)C1 ZINC001094765941 776224693 /nfs/dbraw/zinc/22/46/93/776224693.db2.gz DERDXZWRGQYIAC-AWEZNQCLSA-N 1 2 317.433 1.154 20 30 DDEDLO CCN(CCNC(=O)Cc1[nH]c[nH+]c1C)c1ncccc1C#N ZINC001100577851 776322297 /nfs/dbraw/zinc/32/22/97/776322297.db2.gz UTEOBZTYPSAHPI-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H]1CCCN1c1ncccc1C#N ZINC001100849757 776630274 /nfs/dbraw/zinc/63/02/74/776630274.db2.gz YBMJBCVAUZGWKY-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO C[C@H]1C[N@@H+]([C@@H]2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)CCO1 ZINC001172980172 776950633 /nfs/dbraw/zinc/95/06/33/776950633.db2.gz LDQDTIIFHBNAAR-MJBXVCDLSA-N 1 2 309.410 1.999 20 30 DDEDLO C[C@H]1C[N@H+]([C@@H]2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)CCO1 ZINC001172980172 776950642 /nfs/dbraw/zinc/95/06/42/776950642.db2.gz LDQDTIIFHBNAAR-MJBXVCDLSA-N 1 2 309.410 1.999 20 30 DDEDLO N#Cc1ccc(N(CCNC(=O)CCc2c[nH]c[nH+]2)C2CC2)nc1 ZINC001101463149 777154787 /nfs/dbraw/zinc/15/47/87/777154787.db2.gz HXXPOLCHGKJFKY-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N(CCNC(=O)CCc2c[nH+]c[nH]2)C2CC2)nc1 ZINC001101463149 777154793 /nfs/dbraw/zinc/15/47/93/777154793.db2.gz HXXPOLCHGKJFKY-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc[n+]([O-])cc1)C2 ZINC001095140756 777161357 /nfs/dbraw/zinc/16/13/57/777161357.db2.gz LZTJJYJNKOFMAV-RDBSUJKOSA-N 1 2 307.781 1.408 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc[n+]([O-])cc1)C2 ZINC001095140756 777161362 /nfs/dbraw/zinc/16/13/62/777161362.db2.gz LZTJJYJNKOFMAV-RDBSUJKOSA-N 1 2 307.781 1.408 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C(C)=C/CC)c1nccn12 ZINC001101613668 777305211 /nfs/dbraw/zinc/30/52/11/777305211.db2.gz FOCLKCYEFRPNGF-KEQVLUGWSA-N 1 2 312.417 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](CC)SC)c1nccn12 ZINC001101620684 777313645 /nfs/dbraw/zinc/31/36/45/777313645.db2.gz QEURSKRCDWAWAP-CHWSQXEVSA-N 1 2 320.462 1.783 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101916302 777675805 /nfs/dbraw/zinc/67/58/05/777675805.db2.gz VBAPMRXTPFEXQD-GFCCVEGCSA-N 1 2 316.409 1.836 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1C[N@@H+](Cc2nncs2)C[C@H]1C ZINC001101922011 777683136 /nfs/dbraw/zinc/68/31/36/777683136.db2.gz OVSMXSJLLRTGFV-LALPHHSUSA-N 1 2 324.450 1.049 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1C[N@H+](Cc2nncs2)C[C@H]1C ZINC001101922011 777683138 /nfs/dbraw/zinc/68/31/38/777683138.db2.gz OVSMXSJLLRTGFV-LALPHHSUSA-N 1 2 324.450 1.049 20 30 DDEDLO C[C@@H](CCCCNCC#N)NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001175227094 777720772 /nfs/dbraw/zinc/72/07/72/777720772.db2.gz QPGJEOZUIIRACH-STQMWFEESA-N 1 2 303.410 1.618 20 30 DDEDLO CN(CCNc1ccncc1C#N)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001102023324 777804373 /nfs/dbraw/zinc/80/43/73/777804373.db2.gz PXTZIVVPSGWAJQ-UHFFFAOYSA-N 1 2 320.356 1.436 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(c2nc(C)ns2)CC1 ZINC001102414276 778124606 /nfs/dbraw/zinc/12/46/06/778124606.db2.gz UXQQMULRFMRGQM-UHFFFAOYSA-N 1 2 323.466 1.393 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@H+](Cc1ccn(C)n1)CC2 ZINC001206271271 778134510 /nfs/dbraw/zinc/13/45/10/778134510.db2.gz SSXIZCFDGBEPDJ-UHFFFAOYSA-N 1 2 301.394 1.415 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@@H+](Cc1ccn(C)n1)CC2 ZINC001206271271 778134513 /nfs/dbraw/zinc/13/45/13/778134513.db2.gz SSXIZCFDGBEPDJ-UHFFFAOYSA-N 1 2 301.394 1.415 20 30 DDEDLO CNC(=O)c1cc(C#N)cc(C)c1NC(=O)CCn1cc[nH+]c1 ZINC001176879016 778287952 /nfs/dbraw/zinc/28/79/52/778287952.db2.gz YGUCHCWIIYHMNN-UHFFFAOYSA-N 1 2 311.345 1.452 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCOC(C)C)C[C@@H]21 ZINC001176925903 778315054 /nfs/dbraw/zinc/31/50/54/778315054.db2.gz ZFZVSUIADVMYSB-HOTGVXAUSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCOC(C)C)C[C@@H]21 ZINC001176925903 778315061 /nfs/dbraw/zinc/31/50/61/778315061.db2.gz ZFZVSUIADVMYSB-HOTGVXAUSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCOCCCC(=O)N(C)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102700112 778326804 /nfs/dbraw/zinc/32/68/04/778326804.db2.gz KPHPNBBZLKVHPI-HNNXBMFYSA-N 1 2 318.421 1.805 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CCCC3)C[C@@H]21 ZINC001176895021 778327864 /nfs/dbraw/zinc/32/78/64/778327864.db2.gz WFMQQNFOACJUBW-IRXDYDNUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CCCC3)C[C@@H]21 ZINC001176895021 778327871 /nfs/dbraw/zinc/32/78/71/778327871.db2.gz WFMQQNFOACJUBW-IRXDYDNUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCC)C[C@@H]21 ZINC001176917441 778340389 /nfs/dbraw/zinc/34/03/89/778340389.db2.gz IQKHRDRJZNDFJO-HOTGVXAUSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCC)C[C@@H]21 ZINC001176917441 778340398 /nfs/dbraw/zinc/34/03/98/778340398.db2.gz IQKHRDRJZNDFJO-HOTGVXAUSA-N 1 2 310.438 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/C(C)(C)C)C[C@@H]21 ZINC001176955036 778345343 /nfs/dbraw/zinc/34/53/43/778345343.db2.gz MGBOCBVHUWEBHD-KBUFDJFCSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/C(C)(C)C)C[C@@H]21 ZINC001176955036 778345348 /nfs/dbraw/zinc/34/53/48/778345348.db2.gz MGBOCBVHUWEBHD-KBUFDJFCSA-N 1 2 304.434 1.914 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCOCC)[C@@H]2C1 ZINC001177062055 778421955 /nfs/dbraw/zinc/42/19/55/778421955.db2.gz RGWIJLRFYPKSBL-HZPDHXFCSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCOCC)[C@@H]2C1 ZINC001177062055 778421959 /nfs/dbraw/zinc/42/19/59/778421959.db2.gz RGWIJLRFYPKSBL-HZPDHXFCSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCCNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)OC(C)(C)C ZINC001177091830 778442808 /nfs/dbraw/zinc/44/28/08/778442808.db2.gz JTTWANPTQMZEJF-ZDUSSCGKSA-N 1 2 322.409 1.928 20 30 DDEDLO C=CCCCNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)OC(C)(C)C ZINC001177091830 778442814 /nfs/dbraw/zinc/44/28/14/778442814.db2.gz JTTWANPTQMZEJF-ZDUSSCGKSA-N 1 2 322.409 1.928 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCC[NH2+]CC(F)(F)C(F)F ZINC001177276634 778529240 /nfs/dbraw/zinc/52/92/40/778529240.db2.gz FTJUIYOFIGFCPP-SECBINFHSA-N 1 2 300.296 1.574 20 30 DDEDLO C#CCC[NH+]1CC(O)(CN(Cc2ccccc2)C(=O)C2CC2)C1 ZINC001177495897 778620421 /nfs/dbraw/zinc/62/04/21/778620421.db2.gz LBTWLQUDKYRBIK-UHFFFAOYSA-N 1 2 312.413 1.495 20 30 DDEDLO CC[C@H](CNC(=O)C#CC(C)C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001103081618 778627214 /nfs/dbraw/zinc/62/72/14/778627214.db2.gz OYTIVVMNAFXISD-CYBMUJFWSA-N 1 2 318.425 1.212 20 30 DDEDLO O=C(C#Cc1cccnc1)N1CC[C@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001177776639 778714399 /nfs/dbraw/zinc/71/43/99/778714399.db2.gz IHFNVXOBMIQNTK-HNNXBMFYSA-N 1 2 319.355 1.765 20 30 DDEDLO Cc1nc(NC[C@@](C)(NC(=O)CSCC#N)C2CC2)cc[nH+]1 ZINC001103500026 778905425 /nfs/dbraw/zinc/90/54/25/778905425.db2.gz FSUBXCROYPSSTK-OAHLLOKOSA-N 1 2 319.434 1.739 20 30 DDEDLO C[C@](CNc1ncccc1C#N)(NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001103536347 778930469 /nfs/dbraw/zinc/93/04/69/778930469.db2.gz PEQRNSUOQSUWFT-QGZVFWFLSA-N 1 2 324.388 1.547 20 30 DDEDLO Cc1nc(NC[C@H](C)CNC(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001103898513 779147079 /nfs/dbraw/zinc/14/70/79/779147079.db2.gz NNAZFXIZOMZAEQ-RYUDHWBXSA-N 1 2 315.421 1.988 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001104053306 779273500 /nfs/dbraw/zinc/27/35/00/779273500.db2.gz WSPIPPKFDAPGFX-NSHDSACASA-N 1 2 312.377 1.392 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001111920528 779560690 /nfs/dbraw/zinc/56/06/90/779560690.db2.gz RINMLGUGRCWNSY-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001111920528 779560698 /nfs/dbraw/zinc/56/06/98/779560698.db2.gz RINMLGUGRCWNSY-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO C=CCCOCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ccon1)C2 ZINC001112015126 779597739 /nfs/dbraw/zinc/59/77/39/779597739.db2.gz HRAAXRXLRMCOTJ-YOEHRIQHSA-N 1 2 319.405 1.738 20 30 DDEDLO C=CCCOCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ccon1)C2 ZINC001112015126 779597743 /nfs/dbraw/zinc/59/77/43/779597743.db2.gz HRAAXRXLRMCOTJ-YOEHRIQHSA-N 1 2 319.405 1.738 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ccon1)C2 ZINC001112017345 779597811 /nfs/dbraw/zinc/59/78/11/779597811.db2.gz BXUUOEFZGVPXGA-KEYYUXOJSA-N 1 2 319.405 1.736 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ccon1)C2 ZINC001112017345 779597817 /nfs/dbraw/zinc/59/78/17/779597817.db2.gz BXUUOEFZGVPXGA-KEYYUXOJSA-N 1 2 319.405 1.736 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC001117348744 780732212 /nfs/dbraw/zinc/73/22/12/780732212.db2.gz QFEDMNJVHSYMIW-KBPBESRZSA-N 1 2 316.405 1.247 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC1CC1)[NH2+]Cc1noc(C2CC2)n1 ZINC001267124762 837391581 /nfs/dbraw/zinc/39/15/81/837391581.db2.gz BRAKNYDOFYIEKZ-NSHDSACASA-N 1 2 302.378 1.345 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[N@@H+](C)Cc1c(C)nnn1CC ZINC001267215832 837547594 /nfs/dbraw/zinc/54/75/94/837547594.db2.gz PPLUFMHLFFIILB-MRXNPFEDSA-N 1 2 307.442 1.757 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[N@H+](C)Cc1c(C)nnn1CC ZINC001267215832 837547600 /nfs/dbraw/zinc/54/76/00/837547600.db2.gz PPLUFMHLFFIILB-MRXNPFEDSA-N 1 2 307.442 1.757 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[N@@H+](C)Cc1c(C)nnn1CC ZINC001267215830 837548443 /nfs/dbraw/zinc/54/84/43/837548443.db2.gz PPLUFMHLFFIILB-INIZCTEOSA-N 1 2 307.442 1.757 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[N@H+](C)Cc1c(C)nnn1CC ZINC001267215830 837548448 /nfs/dbraw/zinc/54/84/48/837548448.db2.gz PPLUFMHLFFIILB-INIZCTEOSA-N 1 2 307.442 1.757 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](C)[C@H](C)c1ncc(C)o1 ZINC001282391727 836061529 /nfs/dbraw/zinc/06/15/29/836061529.db2.gz RCSLWHATMPZTIK-TZMCWYRMSA-N 1 2 307.394 1.520 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](C)[C@H](C)c1ncc(C)o1 ZINC001282391727 836061539 /nfs/dbraw/zinc/06/15/39/836061539.db2.gz RCSLWHATMPZTIK-TZMCWYRMSA-N 1 2 307.394 1.520 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@@H+](CC(=O)NC(C)C)C2)CCC1 ZINC001266339872 836142244 /nfs/dbraw/zinc/14/22/44/836142244.db2.gz ZRHUWLZQSOTXBG-AWEZNQCLSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@H+](CC(=O)NC(C)C)C2)CCC1 ZINC001266339872 836142250 /nfs/dbraw/zinc/14/22/50/836142250.db2.gz ZRHUWLZQSOTXBG-AWEZNQCLSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1ccc(C(C)C)cc1 ZINC001266351818 836159555 /nfs/dbraw/zinc/15/95/55/836159555.db2.gz BFVNPCHABCLLGP-UHFFFAOYSA-N 1 2 317.433 1.774 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1ccc(C(C)C)cc1 ZINC001266351818 836159557 /nfs/dbraw/zinc/15/95/57/836159557.db2.gz BFVNPCHABCLLGP-UHFFFAOYSA-N 1 2 317.433 1.774 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@H+]1[C@@H](C)c1ncccn1 ZINC001266453337 836278950 /nfs/dbraw/zinc/27/89/50/836278950.db2.gz DAZVCMOTAVODEQ-UONOGXRCSA-N 1 2 304.394 1.321 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCC[N@@H+]1[C@@H](C)c1ncccn1 ZINC001266453337 836278962 /nfs/dbraw/zinc/27/89/62/836278962.db2.gz DAZVCMOTAVODEQ-UONOGXRCSA-N 1 2 304.394 1.321 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H]2CCC[N@H+]2CC(N)=O)CCCCC1 ZINC001266495480 836346244 /nfs/dbraw/zinc/34/62/44/836346244.db2.gz NHTKWNCBBCNMME-AWEZNQCLSA-N 1 2 305.422 1.026 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H]2CCC[N@@H+]2CC(N)=O)CCCCC1 ZINC001266495480 836346256 /nfs/dbraw/zinc/34/62/56/836346256.db2.gz NHTKWNCBBCNMME-AWEZNQCLSA-N 1 2 305.422 1.026 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([NH2+]Cc2nc([C@@H](C)OC)no2)C1 ZINC001266530036 836422255 /nfs/dbraw/zinc/42/22/55/836422255.db2.gz VIPLAHVBCGHBSH-OLZOCXBDSA-N 1 2 322.409 1.824 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001266743900 836765354 /nfs/dbraw/zinc/76/53/54/836765354.db2.gz STSFVYPKPXUWNC-CQSZACIVSA-N 1 2 305.426 1.592 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[N@H+](Cc2cnn(CC)n2)C1 ZINC001266743900 836765361 /nfs/dbraw/zinc/76/53/61/836765361.db2.gz STSFVYPKPXUWNC-CQSZACIVSA-N 1 2 305.426 1.592 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+][C@H](C)c2nnc(CC)o2)C1 ZINC001267026253 837213157 /nfs/dbraw/zinc/21/31/57/837213157.db2.gz BMGDGLWLMWMFMQ-OLZOCXBDSA-N 1 2 322.409 1.476 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]([N@H+](C)Cc2nonc2C)C1 ZINC001267106118 837358912 /nfs/dbraw/zinc/35/89/12/837358912.db2.gz OQFJFIYWJRLJHL-CJNGLKHVSA-N 1 2 322.409 1.128 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]([N@@H+](C)Cc2nonc2C)C1 ZINC001267106118 837358924 /nfs/dbraw/zinc/35/89/24/837358924.db2.gz OQFJFIYWJRLJHL-CJNGLKHVSA-N 1 2 322.409 1.128 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnoc1C ZINC001267405668 838001965 /nfs/dbraw/zinc/00/19/65/838001965.db2.gz OXFPXWGVIWNWIH-BMFZPTHFSA-N 1 2 317.389 1.197 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnoc1C ZINC001267405668 838001969 /nfs/dbraw/zinc/00/19/69/838001969.db2.gz OXFPXWGVIWNWIH-BMFZPTHFSA-N 1 2 317.389 1.197 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001267573445 838338184 /nfs/dbraw/zinc/33/81/84/838338184.db2.gz RFVWTEZDRJQNBW-HUUCEWRRSA-N 1 2 306.454 1.268 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@H]1CC12CCCC2 ZINC001267575308 838343637 /nfs/dbraw/zinc/34/36/37/838343637.db2.gz AEULYZNDEKPZTM-OAHLLOKOSA-N 1 2 304.438 1.166 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)CC[C@@H]2CCCO2)CC1 ZINC001267606004 838430166 /nfs/dbraw/zinc/43/01/66/838430166.db2.gz KIWGHAQRTXILIX-INIZCTEOSA-N 1 2 309.454 1.208 20 30 DDEDLO CCC(CC)[C@H](C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001267628372 838529735 /nfs/dbraw/zinc/52/97/35/838529735.db2.gz ZKFLGGRCUPZAAH-ZFWWWQNUSA-N 1 2 322.453 1.279 20 30 DDEDLO CCC(CC)[C@H](C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001267628372 838529740 /nfs/dbraw/zinc/52/97/40/838529740.db2.gz ZKFLGGRCUPZAAH-ZFWWWQNUSA-N 1 2 322.453 1.279 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cn3cc(C)ccc3n2)C1 ZINC001267650600 838579376 /nfs/dbraw/zinc/57/93/76/838579376.db2.gz PHQRRQBMQUWFPW-UHFFFAOYSA-N 1 2 314.389 1.259 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1C[NH+](Cc2cc(F)cc(F)c2)C1 ZINC001267682423 838636448 /nfs/dbraw/zinc/63/64/48/838636448.db2.gz WPMXFQWCRGBCGU-LBPRGKRZSA-N 1 2 322.355 1.551 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ncccc1C ZINC001267704025 838680405 /nfs/dbraw/zinc/68/04/05/838680405.db2.gz CITLKRDMYAOKAM-GJZGRUSLSA-N 1 2 301.390 1.378 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ncccc1C ZINC001267704025 838680410 /nfs/dbraw/zinc/68/04/10/838680410.db2.gz CITLKRDMYAOKAM-GJZGRUSLSA-N 1 2 301.390 1.378 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCC ZINC001267702311 838680498 /nfs/dbraw/zinc/68/04/98/838680498.db2.gz IGJUFBBSHPTHKT-HOTGVXAUSA-N 1 2 321.465 1.886 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCC ZINC001267702311 838680500 /nfs/dbraw/zinc/68/05/00/838680500.db2.gz IGJUFBBSHPTHKT-HOTGVXAUSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccnc(OC)c1 ZINC001267704156 838681341 /nfs/dbraw/zinc/68/13/41/838681341.db2.gz HMVPRRNCKYNNRX-GJZGRUSLSA-N 1 2 301.390 1.842 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccnc(OC)c1 ZINC001267704156 838681344 /nfs/dbraw/zinc/68/13/44/838681344.db2.gz HMVPRRNCKYNNRX-GJZGRUSLSA-N 1 2 301.390 1.842 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(CCOCC)CCC1 ZINC001267706308 838685177 /nfs/dbraw/zinc/68/51/77/838685177.db2.gz JGOXDSPPKJFEMW-HOTGVXAUSA-N 1 2 304.434 1.892 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(CCOCC)CCC1 ZINC001267706308 838685179 /nfs/dbraw/zinc/68/51/79/838685179.db2.gz JGOXDSPPKJFEMW-HOTGVXAUSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001267740873 838809557 /nfs/dbraw/zinc/80/95/57/838809557.db2.gz XXFHFQHLJXGBGT-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC2CCC2)C1 ZINC001267740873 838809565 /nfs/dbraw/zinc/80/95/65/838809565.db2.gz XXFHFQHLJXGBGT-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2ncoc2C2CC2)C1 ZINC001267750912 838852984 /nfs/dbraw/zinc/85/29/84/838852984.db2.gz RHCYPGBPKYWHPP-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2ncoc2C2CC2)C1 ZINC001267750912 838852997 /nfs/dbraw/zinc/85/29/97/838852997.db2.gz RHCYPGBPKYWHPP-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)CC3CCCC3)C2)nn1 ZINC001105144748 839119083 /nfs/dbraw/zinc/11/90/83/839119083.db2.gz KPMSZVVYIRRALE-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]([NH2+]Cc2nc(C)no2)C[C@H]1C ZINC001284004395 839358989 /nfs/dbraw/zinc/35/89/89/839358989.db2.gz SDXWOOSWWDYPCV-TZMCWYRMSA-N 1 2 304.394 1.651 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc(OC)c(OC)c2)C1 ZINC001268479352 840273137 /nfs/dbraw/zinc/27/31/37/840273137.db2.gz WNVUURUADOPFKY-UHFFFAOYSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@@]2(C)CCC[C@H]2CC)C1 ZINC001268842877 840892022 /nfs/dbraw/zinc/89/20/22/840892022.db2.gz CHRPAYAZYRHUSF-QAPCUYQASA-N 1 2 321.465 1.553 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2cc[nH]c2C(C)C)C1 ZINC001268867490 840922486 /nfs/dbraw/zinc/92/24/86/840922486.db2.gz OGKYYBAMYQECNW-UHFFFAOYSA-N 1 2 318.421 1.102 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)C(C)(C)[C@H]1CCCCO1 ZINC001269235834 841400947 /nfs/dbraw/zinc/40/09/47/841400947.db2.gz PUQOYWYSYRCFRO-JKSUJKDBSA-N 1 2 322.449 1.422 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)C(C)(C)[C@H]1CCCCO1 ZINC001269235834 841400955 /nfs/dbraw/zinc/40/09/55/841400955.db2.gz PUQOYWYSYRCFRO-JKSUJKDBSA-N 1 2 322.449 1.422 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)[C@H]1C[C@@H]1C ZINC001269271502 841449811 /nfs/dbraw/zinc/44/98/11/841449811.db2.gz AFXRUMYFIZUSOZ-ZNMIVQPWSA-N 1 2 305.422 1.034 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1C[C@@H]1C ZINC001269271502 841449814 /nfs/dbraw/zinc/44/98/14/841449814.db2.gz AFXRUMYFIZUSOZ-ZNMIVQPWSA-N 1 2 305.422 1.034 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@H+]2[C@H](C)C(=O)NC2CC2)CCC1 ZINC001269292064 841479426 /nfs/dbraw/zinc/47/94/26/841479426.db2.gz NWFCVTXEZNMRGK-HIFRSBDPSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@@H+]2[C@H](C)C(=O)NC2CC2)CCC1 ZINC001269292064 841479430 /nfs/dbraw/zinc/47/94/30/841479430.db2.gz NWFCVTXEZNMRGK-HIFRSBDPSA-N 1 2 319.449 1.590 20 30 DDEDLO CC[C@H](CNC(=O)C#CC(C)C)[NH2+]Cc1nc(CC2CC2)no1 ZINC001269396169 841598562 /nfs/dbraw/zinc/59/85/62/841598562.db2.gz CMWKIJVETFVFNX-CQSZACIVSA-N 1 2 318.421 1.666 20 30 DDEDLO C=CCCC(=O)N1CC[C@]2(C1)CCC[N@@H+](Cc1cnn(C)n1)C2 ZINC001269686070 841933927 /nfs/dbraw/zinc/93/39/27/841933927.db2.gz LZCHQODELCYFPW-QGZVFWFLSA-N 1 2 317.437 1.596 20 30 DDEDLO C=CCCC(=O)N1CC[C@]2(C1)CCC[N@H+](Cc1cnn(C)n1)C2 ZINC001269686070 841933934 /nfs/dbraw/zinc/93/39/34/841933934.db2.gz LZCHQODELCYFPW-QGZVFWFLSA-N 1 2 317.437 1.596 20 30 DDEDLO O=C(/C=C/c1ccc(F)cc1)C(=O)N[C@H]1CCn2c[nH+]cc2C1 ZINC001142905573 861301989 /nfs/dbraw/zinc/30/19/89/861301989.db2.gz JCRPOCFLVIWZDU-ZRFDWSJLSA-N 1 2 313.332 1.736 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001271185453 843393539 /nfs/dbraw/zinc/39/35/39/843393539.db2.gz ZWAOVOGVNPQJLG-BLLLJJGKSA-N 1 2 322.409 1.348 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001271185453 843393547 /nfs/dbraw/zinc/39/35/47/843393547.db2.gz ZWAOVOGVNPQJLG-BLLLJJGKSA-N 1 2 322.409 1.348 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CCc2ccc(CC)cc2)C1 ZINC001271388446 843547656 /nfs/dbraw/zinc/54/76/56/843547656.db2.gz XMKZYOPDPDCNKY-UHFFFAOYSA-N 1 2 316.445 1.921 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H](OCC)C(C)C ZINC001280405915 843695780 /nfs/dbraw/zinc/69/57/80/843695780.db2.gz HGDWQYWIVWBCDE-JKSUJKDBSA-N 1 2 312.454 1.831 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H](OCC)C(C)C ZINC001280405915 843695784 /nfs/dbraw/zinc/69/57/84/843695784.db2.gz HGDWQYWIVWBCDE-JKSUJKDBSA-N 1 2 312.454 1.831 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@H]1COCC[N@@H+]1CC[C@H]1CCOC1 ZINC001326635299 861498023 /nfs/dbraw/zinc/49/80/23/861498023.db2.gz WBSBCEUVLNBNIO-JKSUJKDBSA-N 1 2 322.449 1.280 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@H]1COCC[N@H+]1CC[C@H]1CCOC1 ZINC001326635299 861498027 /nfs/dbraw/zinc/49/80/27/861498027.db2.gz WBSBCEUVLNBNIO-JKSUJKDBSA-N 1 2 322.449 1.280 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@@H+](C)[C@@H](C)CNC(=O)[C@@H](C)C#N ZINC001409500822 845191473 /nfs/dbraw/zinc/19/14/73/845191473.db2.gz HHTIVQHTYQEOCK-KBPBESRZSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@H+](C)[C@@H](C)CNC(=O)[C@@H](C)C#N ZINC001409500822 845191477 /nfs/dbraw/zinc/19/14/77/845191477.db2.gz HHTIVQHTYQEOCK-KBPBESRZSA-N 1 2 316.405 1.530 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H](C)[N@H+](C)Cc1cnc(Cl)s1 ZINC001409496885 845198584 /nfs/dbraw/zinc/19/85/84/845198584.db2.gz DGQUHRLYHZHGHQ-IUCAKERBSA-N 1 2 300.815 1.893 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H](C)[N@@H+](C)Cc1cnc(Cl)s1 ZINC001409496885 845198585 /nfs/dbraw/zinc/19/85/85/845198585.db2.gz DGQUHRLYHZHGHQ-IUCAKERBSA-N 1 2 300.815 1.893 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CC(F)(F)C1 ZINC001233529439 846047544 /nfs/dbraw/zinc/04/75/44/846047544.db2.gz LSEQANXQYQECLK-CYBMUJFWSA-N 1 2 300.349 1.214 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C1CC(F)(F)C1 ZINC001233529439 846047552 /nfs/dbraw/zinc/04/75/52/846047552.db2.gz LSEQANXQYQECLK-CYBMUJFWSA-N 1 2 300.349 1.214 20 30 DDEDLO CCCCCCCC[NH+]1CC2(C1)CN(C1COC1)C(=O)CO2 ZINC001272479041 846188695 /nfs/dbraw/zinc/18/86/95/846188695.db2.gz UATAWTAHRSLXQJ-UHFFFAOYSA-N 1 2 310.438 1.659 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cc(F)ccc1C)C2 ZINC001272615729 846411957 /nfs/dbraw/zinc/41/19/57/846411957.db2.gz ALFBNPBOYOVDCU-UHFFFAOYSA-N 1 2 302.349 1.181 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3ccncc3F)C2)OCC1=O ZINC001272765093 847393775 /nfs/dbraw/zinc/39/37/75/847393775.db2.gz DXDXXNSZWHGZEF-MRXNPFEDSA-N 1 2 305.353 1.210 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3ccncc3F)C2)OCC1=O ZINC001272765093 847393783 /nfs/dbraw/zinc/39/37/83/847393783.db2.gz DXDXXNSZWHGZEF-MRXNPFEDSA-N 1 2 305.353 1.210 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cn3cccc(C)c3n2)C1=O ZINC001272820549 847501105 /nfs/dbraw/zinc/50/11/05/847501105.db2.gz AVIMKSAOTHIZEE-IBGZPJMESA-N 1 2 322.412 1.843 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cn3cccc(C)c3n2)C1=O ZINC001272820549 847501110 /nfs/dbraw/zinc/50/11/10/847501110.db2.gz AVIMKSAOTHIZEE-IBGZPJMESA-N 1 2 322.412 1.843 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@H+]2Cc2cc(C)n(C)n2)C1=O ZINC001272883040 847589724 /nfs/dbraw/zinc/58/97/24/847589724.db2.gz REMIYCXDUADOHB-KRWDZBQOSA-N 1 2 302.422 1.872 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@@H+]2Cc2cc(C)n(C)n2)C1=O ZINC001272883040 847589731 /nfs/dbraw/zinc/58/97/31/847589731.db2.gz REMIYCXDUADOHB-KRWDZBQOSA-N 1 2 302.422 1.872 20 30 DDEDLO Cc1ncsc1C[NH+]1CC2(CN(C(=O)[C@@H]3C[C@@H]3C#N)C2)C1 ZINC001272886365 847590450 /nfs/dbraw/zinc/59/04/50/847590450.db2.gz LNDGMGUHMNDMQX-VXGBXAGGSA-N 1 2 302.403 1.255 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncc(F)c2)[C@H](O)C1 ZINC001090192437 848057425 /nfs/dbraw/zinc/05/74/25/848057425.db2.gz QWUZULPKMITTDG-QWHCGFSZSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncc(F)c2)[C@H](O)C1 ZINC001090192437 848057432 /nfs/dbraw/zinc/05/74/32/848057432.db2.gz QWUZULPKMITTDG-QWHCGFSZSA-N 1 2 313.760 1.138 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCOC2(C[NH+](CCCOC)C2)C1 ZINC001327320774 862059695 /nfs/dbraw/zinc/05/96/95/862059695.db2.gz YYKJADFKWKTADU-UHFFFAOYSA-N 1 2 322.449 1.705 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CCC2(C[NH+](CCOCC)C2)O1 ZINC001327358199 862099605 /nfs/dbraw/zinc/09/96/05/862099605.db2.gz DYSBMBDSDUWSMM-MRXNPFEDSA-N 1 2 322.449 1.566 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[NH2+][C@H](C)c1noc(C)n1 ZINC001155446678 862100486 /nfs/dbraw/zinc/10/04/86/862100486.db2.gz NOBCDRDNGMVUNL-NEPJUHHUSA-N 1 2 310.398 1.516 20 30 DDEDLO CC(C)c1ocnc1C[N@H+](C)C[C@H](O)CN(C)C(=O)[C@@H](C)C#N ZINC001411167189 850312244 /nfs/dbraw/zinc/31/22/44/850312244.db2.gz PGXOBAYNUNCTMP-STQMWFEESA-N 1 2 322.409 1.209 20 30 DDEDLO CC(C)c1ocnc1C[N@@H+](C)C[C@H](O)CN(C)C(=O)[C@@H](C)C#N ZINC001411167189 850312253 /nfs/dbraw/zinc/31/22/53/850312253.db2.gz PGXOBAYNUNCTMP-STQMWFEESA-N 1 2 322.409 1.209 20 30 DDEDLO C#CCN1CC2(C[NH+](CCCc3cccc(C#N)c3)C2)OCC1=O ZINC001273439387 850473330 /nfs/dbraw/zinc/47/33/30/850473330.db2.gz HLRKHDIJPODRTM-UHFFFAOYSA-N 1 2 323.396 1.037 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C1(COCC)CC1)CO2 ZINC001327397473 862137524 /nfs/dbraw/zinc/13/75/24/862137524.db2.gz QZXOWIUCUICRBF-AWEZNQCLSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)CCn1cccc1)O2 ZINC001273669684 851205801 /nfs/dbraw/zinc/20/58/01/851205801.db2.gz XDFINXZRIMHIAE-HNNXBMFYSA-N 1 2 303.406 1.414 20 30 DDEDLO C=CCN1CCC2(CC[NH+](Cc3ncc(F)cn3)CC2)C1=O ZINC001273941884 851534431 /nfs/dbraw/zinc/53/44/31/851534431.db2.gz YSNKACPWRVMDEN-UHFFFAOYSA-N 1 2 304.369 1.616 20 30 DDEDLO CC(C)n1ncnc1C[NH2+]C/C=C\CNC(=O)C#CC1CC1 ZINC001274014841 851849389 /nfs/dbraw/zinc/84/93/89/851849389.db2.gz DZETZIHNNRIHBN-ARJAWSKDSA-N 1 2 301.394 1.034 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3[C@H]2CCC(=O)N3CCCC#N)s1 ZINC001274523160 852359988 /nfs/dbraw/zinc/35/99/88/852359988.db2.gz DYTATTHKJNVZPS-CHWSQXEVSA-N 1 2 319.434 1.716 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3[C@H]2CCC(=O)N3CCCC#N)s1 ZINC001274523160 852359994 /nfs/dbraw/zinc/35/99/94/852359994.db2.gz DYTATTHKJNVZPS-CHWSQXEVSA-N 1 2 319.434 1.716 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1C[C@]2(F)CN(CC(=C)C)C(=O)[C@]2(F)C1 ZINC001274616533 852447299 /nfs/dbraw/zinc/44/72/99/852447299.db2.gz JQFKHFXGFRXBCZ-QLFBSQMISA-N 1 2 300.349 1.074 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1C[C@]2(F)CN(CC(=C)C)C(=O)[C@]2(F)C1 ZINC001274616533 852447305 /nfs/dbraw/zinc/44/73/05/852447305.db2.gz JQFKHFXGFRXBCZ-QLFBSQMISA-N 1 2 300.349 1.074 20 30 DDEDLO N#Cc1cncc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccc[nH]2)c1 ZINC001274779043 852595983 /nfs/dbraw/zinc/59/59/83/852595983.db2.gz ZWIYAXSLJANVTG-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1cncc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccc[nH]2)c1 ZINC001274779043 852595988 /nfs/dbraw/zinc/59/59/88/852595988.db2.gz ZWIYAXSLJANVTG-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO N#CCc1cccc(C(=O)N2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)c1 ZINC001275110211 852815329 /nfs/dbraw/zinc/81/53/29/852815329.db2.gz ZQTOEFAYMHCMFA-UHFFFAOYSA-N 1 2 321.384 1.434 20 30 DDEDLO Cc1cc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)[nH]n1 ZINC001275209389 852897864 /nfs/dbraw/zinc/89/78/64/852897864.db2.gz VLRGKOWLLDVZKT-UHFFFAOYSA-N 1 2 310.405 1.246 20 30 DDEDLO CN(C)C(=O)C[N@H+]1C[C@H]2CC[C@@H](C1)N2Cc1ccc(C#N)cc1 ZINC001275828884 853830215 /nfs/dbraw/zinc/83/02/15/853830215.db2.gz KZCQIMXEDKHCQU-CALCHBBNSA-N 1 2 312.417 1.295 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2Cc1ccc(C#N)cc1 ZINC001275828884 853830218 /nfs/dbraw/zinc/83/02/18/853830218.db2.gz KZCQIMXEDKHCQU-CALCHBBNSA-N 1 2 312.417 1.295 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccnnc2)cc1C#N ZINC001275882099 853904605 /nfs/dbraw/zinc/90/46/05/853904605.db2.gz IOJPERFBFLFOTJ-CALCHBBNSA-N 1 2 322.416 1.536 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccnnc2)cc1C#N ZINC001275882099 853904609 /nfs/dbraw/zinc/90/46/09/853904609.db2.gz IOJPERFBFLFOTJ-CALCHBBNSA-N 1 2 322.416 1.536 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1CCCCC[N@@H+]1Cc1cnon1 ZINC001276333254 855672056 /nfs/dbraw/zinc/67/20/56/855672056.db2.gz FSYNIJNPPMOFHR-OAHLLOKOSA-N 1 2 322.409 1.523 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1CCCCC[N@H+]1Cc1cnon1 ZINC001276333254 855672064 /nfs/dbraw/zinc/67/20/64/855672064.db2.gz FSYNIJNPPMOFHR-OAHLLOKOSA-N 1 2 322.409 1.523 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2nnc(C)[nH]2)[C@@H](C)C1 ZINC001328237836 862798305 /nfs/dbraw/zinc/79/83/05/862798305.db2.gz CDYDVZHEKYCIAT-DZGCQCFKSA-N 1 2 317.437 1.776 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nnc(C)[nH]2)[C@@H](C)C1 ZINC001328237836 862798309 /nfs/dbraw/zinc/79/83/09/862798309.db2.gz CDYDVZHEKYCIAT-DZGCQCFKSA-N 1 2 317.437 1.776 20 30 DDEDLO CCCc1cc(C#N)c(N[C@@H]2C[NH2+][C@H](C(=O)OC)C2)nc1Cl ZINC001156249062 862860520 /nfs/dbraw/zinc/86/05/20/862860520.db2.gz XBNZZTSNSKIKPV-RYUDHWBXSA-N 1 2 322.796 1.875 20 30 DDEDLO C=CCCCC(=O)NC1(C)CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001073127227 858122881 /nfs/dbraw/zinc/12/28/81/858122881.db2.gz HWNMNEAZZUWJFI-UHFFFAOYSA-N 1 2 318.421 1.737 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cnccn2)C1 ZINC001073522881 858410925 /nfs/dbraw/zinc/41/09/25/858410925.db2.gz NMAGTQFHTYSBLC-LBPRGKRZSA-N 1 2 310.785 1.050 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cnccn2)C1 ZINC001073522881 858410921 /nfs/dbraw/zinc/41/09/21/858410921.db2.gz NMAGTQFHTYSBLC-LBPRGKRZSA-N 1 2 310.785 1.050 20 30 DDEDLO C[C@@H]([NH2+]CCNC(=O)CSCC#N)c1ncc(C(C)(C)C)o1 ZINC001123799417 859420347 /nfs/dbraw/zinc/42/03/47/859420347.db2.gz QVYXMHHYPINAMF-LLVKDONJSA-N 1 2 324.450 1.996 20 30 DDEDLO C#CCCC[N@@H+]1CCc2cc(C(=O)NCc3cnc[nH]3)[nH]c2C1 ZINC001276978304 881432239 /nfs/dbraw/zinc/43/22/39/881432239.db2.gz KOCQLSLTNBYFIG-UHFFFAOYSA-N 1 2 311.389 1.439 20 30 DDEDLO C#CCCC[N@H+]1CCc2cc(C(=O)NCc3cnc[nH]3)[nH]c2C1 ZINC001276978304 881432247 /nfs/dbraw/zinc/43/22/47/881432247.db2.gz KOCQLSLTNBYFIG-UHFFFAOYSA-N 1 2 311.389 1.439 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCN3C(=O)OC[C@H]3C2)cc1OC ZINC001138348542 860065816 /nfs/dbraw/zinc/06/58/16/860065816.db2.gz KXTUWXQOCKJHPT-CQSZACIVSA-N 1 2 316.357 1.344 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCN3C(=O)OC[C@H]3C2)cc1OC ZINC001138348542 860065825 /nfs/dbraw/zinc/06/58/25/860065825.db2.gz KXTUWXQOCKJHPT-CQSZACIVSA-N 1 2 316.357 1.344 20 30 DDEDLO CNc1ncccc1C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC001138693828 860162971 /nfs/dbraw/zinc/16/29/71/860162971.db2.gz RAJNOOMBSNMOGW-UHFFFAOYSA-N 1 2 308.389 1.712 20 30 DDEDLO CN1CCO[C@H]2C[N@H+](Cc3sc(N)c(C#N)c3Cl)C[C@H]21 ZINC001141108846 860787506 /nfs/dbraw/zinc/78/75/06/860787506.db2.gz BUYRXJOUMABFAC-ZJUUUORDSA-N 1 2 312.826 1.370 20 30 DDEDLO CN1CCO[C@H]2C[N@@H+](Cc3sc(N)c(C#N)c3Cl)C[C@H]21 ZINC001141108846 860787510 /nfs/dbraw/zinc/78/75/10/860787510.db2.gz BUYRXJOUMABFAC-ZJUUUORDSA-N 1 2 312.826 1.370 20 30 DDEDLO C=CCCC(=O)N[C@]1(CO)CCC[N@H+](Cc2ccns2)C1 ZINC001325820456 860856276 /nfs/dbraw/zinc/85/62/76/860856276.db2.gz SMZYKWLOMLIYDC-OAHLLOKOSA-N 1 2 309.435 1.552 20 30 DDEDLO C=CCCC(=O)N[C@]1(CO)CCC[N@@H+](Cc2ccns2)C1 ZINC001325820456 860856284 /nfs/dbraw/zinc/85/62/84/860856284.db2.gz SMZYKWLOMLIYDC-OAHLLOKOSA-N 1 2 309.435 1.552 20 30 DDEDLO C=CCn1cc(C(=O)N2CCC[C@H](Cc3[nH+]ccn3C)C2)nn1 ZINC001328564208 863067910 /nfs/dbraw/zinc/06/79/10/863067910.db2.gz XUCIUZOAIKCXQE-CYBMUJFWSA-N 1 2 314.393 1.293 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)c1ccn[nH]1 ZINC001151996787 863100796 /nfs/dbraw/zinc/10/07/96/863100796.db2.gz MDWKTXUHCCWEAR-SNVBAGLBSA-N 1 2 315.215 1.759 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[N@H+](CC)Cc2ncccn2)nc1 ZINC001156475726 863082057 /nfs/dbraw/zinc/08/20/57/863082057.db2.gz RVAOAKBPMNMTBQ-CQSZACIVSA-N 1 2 323.400 1.493 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2ncccn2)nc1 ZINC001156475726 863082068 /nfs/dbraw/zinc/08/20/68/863082068.db2.gz RVAOAKBPMNMTBQ-CQSZACIVSA-N 1 2 323.400 1.493 20 30 DDEDLO C=C[C@@H](C(=O)NCC[NH2+]Cc1nnc(CC)o1)c1ccccc1 ZINC001151990407 863094667 /nfs/dbraw/zinc/09/46/67/863094667.db2.gz HHRMMZFHMFPRQQ-CQSZACIVSA-N 1 2 314.389 1.808 20 30 DDEDLO C=C[C@@H](C(=O)NCC[NH2+]Cc1cnsn1)c1ccccc1 ZINC001151990231 863095510 /nfs/dbraw/zinc/09/55/10/863095510.db2.gz DNXHOABEYXTURU-CQSZACIVSA-N 1 2 302.403 1.714 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)c1ccn[nH]1 ZINC001151996787 863100795 /nfs/dbraw/zinc/10/07/95/863100795.db2.gz MDWKTXUHCCWEAR-SNVBAGLBSA-N 1 2 315.215 1.759 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)[C@H]1CCOC1 ZINC001152259961 863241061 /nfs/dbraw/zinc/24/10/61/863241061.db2.gz UTDQIAKCRXAKMK-NEPJUHHUSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)[C@H]1CCOC1 ZINC001152259961 863241072 /nfs/dbraw/zinc/24/10/72/863241072.db2.gz UTDQIAKCRXAKMK-NEPJUHHUSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2cc(C)nc(C)c2)C1 ZINC001329668874 863780765 /nfs/dbraw/zinc/78/07/65/863780765.db2.gz VGUHTYPNUDGPMZ-UHFFFAOYSA-N 1 2 303.406 1.441 20 30 DDEDLO CCn1cc(C[N@H+](CC)[C@H](C)CNC(=O)C#CC(C)(C)C)nn1 ZINC001153266455 863796603 /nfs/dbraw/zinc/79/66/03/863796603.db2.gz SAMQZFYDOWMXGT-CQSZACIVSA-N 1 2 319.453 1.674 20 30 DDEDLO CCn1cc(C[N@@H+](CC)[C@H](C)CNC(=O)C#CC(C)(C)C)nn1 ZINC001153266455 863796609 /nfs/dbraw/zinc/79/66/09/863796609.db2.gz SAMQZFYDOWMXGT-CQSZACIVSA-N 1 2 319.453 1.674 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C[C@H](C)c2ccco2)C1 ZINC001329707324 863802292 /nfs/dbraw/zinc/80/22/92/863802292.db2.gz FYFINYWQZCXZTA-AWEZNQCLSA-N 1 2 304.390 1.350 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001330012576 864020476 /nfs/dbraw/zinc/02/04/76/864020476.db2.gz KCQWZEKJJPLMAE-NWANDNLSSA-N 1 2 321.465 1.407 20 30 DDEDLO COCC#CC[NH2+]C[C@H]1C[C@H](NC(=O)C(F)C(F)(F)F)C1 ZINC001330599690 864479352 /nfs/dbraw/zinc/47/93/52/864479352.db2.gz QXSXYCGODSCEMF-DCAQKATOSA-N 1 2 310.291 1.021 20 30 DDEDLO COCC#CC[NH2+]C[C@H]1C[C@H](NC(=O)[C@H](F)C(F)(F)F)C1 ZINC001330599690 864479361 /nfs/dbraw/zinc/47/93/61/864479361.db2.gz QXSXYCGODSCEMF-DCAQKATOSA-N 1 2 310.291 1.021 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](Cc2ccc(S(N)(=O)=O)s2)C1 ZINC001330619435 864491534 /nfs/dbraw/zinc/49/15/34/864491534.db2.gz OGEHDRDEQUWXCE-SNVBAGLBSA-N 1 2 302.421 1.172 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](Cc2ccc(S(N)(=O)=O)s2)C1 ZINC001330619435 864491536 /nfs/dbraw/zinc/49/15/36/864491536.db2.gz OGEHDRDEQUWXCE-SNVBAGLBSA-N 1 2 302.421 1.172 20 30 DDEDLO CC#CC[N@H+](C)C[C@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001158433864 864653235 /nfs/dbraw/zinc/65/32/35/864653235.db2.gz AOHKTMGYNGLOGC-CYBMUJFWSA-N 1 2 304.394 1.076 20 30 DDEDLO CC#CC[N@@H+](C)C[C@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001158433864 864653250 /nfs/dbraw/zinc/65/32/50/864653250.db2.gz AOHKTMGYNGLOGC-CYBMUJFWSA-N 1 2 304.394 1.076 20 30 DDEDLO C[C@H](c1nncn1C)[N@@H+]1CC=C(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001159587681 865415939 /nfs/dbraw/zinc/41/59/39/865415939.db2.gz WNWVGLQFUQIFRE-QWHCGFSZSA-N 1 2 316.409 1.174 20 30 DDEDLO C[C@H](c1nncn1C)[N@H+]1CC=C(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001159587681 865415945 /nfs/dbraw/zinc/41/59/45/865415945.db2.gz WNWVGLQFUQIFRE-QWHCGFSZSA-N 1 2 316.409 1.174 20 30 DDEDLO CC(C)C#CC(=O)NCCC1=CC[N@H+](Cc2ncccn2)CC1 ZINC001160054934 865656591 /nfs/dbraw/zinc/65/65/91/865656591.db2.gz NHAJERNURVPSFX-UHFFFAOYSA-N 1 2 312.417 1.774 20 30 DDEDLO CC(C)C#CC(=O)NCCC1=CC[N@@H+](Cc2ncccn2)CC1 ZINC001160054934 865656598 /nfs/dbraw/zinc/65/65/98/865656598.db2.gz NHAJERNURVPSFX-UHFFFAOYSA-N 1 2 312.417 1.774 20 30 DDEDLO COC(=O)c1ccc2cc[nH+]c(N[C@@H]3C(=O)N(O)C[C@@H]3C)c2c1 ZINC001160174785 865710328 /nfs/dbraw/zinc/71/03/28/865710328.db2.gz ORFYUJSDCKPPDB-ZANVPECISA-N 1 2 315.329 1.669 20 30 DDEDLO C#CCCCC(=O)N(C)CC[N@@H+](C)Cc1cc(OC)ns1 ZINC001319991419 866421055 /nfs/dbraw/zinc/42/10/55/866421055.db2.gz MHOARULDTYSXNJ-UHFFFAOYSA-N 1 2 309.435 1.845 20 30 DDEDLO C#CCCCC(=O)N(C)CC[N@H+](C)Cc1cc(OC)ns1 ZINC001319991419 866421059 /nfs/dbraw/zinc/42/10/59/866421059.db2.gz MHOARULDTYSXNJ-UHFFFAOYSA-N 1 2 309.435 1.845 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CC[N@H+]1Cc1oc(CC)nc1C ZINC001323198160 866422942 /nfs/dbraw/zinc/42/29/42/866422942.db2.gz HOSDVGLWLDJNQZ-ZDUSSCGKSA-N 1 2 307.394 1.439 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CC[N@@H+]1Cc1oc(CC)nc1C ZINC001323198160 866422949 /nfs/dbraw/zinc/42/29/49/866422949.db2.gz HOSDVGLWLDJNQZ-ZDUSSCGKSA-N 1 2 307.394 1.439 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)Cc1cn2c(C)csc2n1 ZINC001323279500 866496819 /nfs/dbraw/zinc/49/68/19/866496819.db2.gz UWFIEDBRQLFRLW-ZDUSSCGKSA-N 1 2 302.403 1.070 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)Cc1cn2c(C)csc2n1 ZINC001323279500 866496821 /nfs/dbraw/zinc/49/68/21/866496821.db2.gz UWFIEDBRQLFRLW-ZDUSSCGKSA-N 1 2 302.403 1.070 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCCC ZINC001323280499 866496844 /nfs/dbraw/zinc/49/68/44/866496844.db2.gz ZISMBDPMKMNBSN-UONOGXRCSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCC ZINC001323280499 866496848 /nfs/dbraw/zinc/49/68/48/866496848.db2.gz ZISMBDPMKMNBSN-UONOGXRCSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)CC(C)=C(C)C)C1=O ZINC001323286340 866500150 /nfs/dbraw/zinc/50/01/50/866500150.db2.gz DZSSQZQCLUWYTB-CVEARBPZSA-N 1 2 319.449 1.710 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)CC(C)=C(C)C)C1=O ZINC001323286340 866500167 /nfs/dbraw/zinc/50/01/67/866500167.db2.gz DZSSQZQCLUWYTB-CVEARBPZSA-N 1 2 319.449 1.710 20 30 DDEDLO C[C@H]1COCC[C@H]1C(=O)N1CCC([N@H+](CC#N)CC2CC2)CC1 ZINC001323733172 866804346 /nfs/dbraw/zinc/80/43/46/866804346.db2.gz CXJPTOUHUOAYAZ-WMLDXEAASA-N 1 2 319.449 1.886 20 30 DDEDLO C[C@H]1COCC[C@H]1C(=O)N1CCC([N@@H+](CC#N)CC2CC2)CC1 ZINC001323733172 866804369 /nfs/dbraw/zinc/80/43/69/866804369.db2.gz CXJPTOUHUOAYAZ-WMLDXEAASA-N 1 2 319.449 1.886 20 30 DDEDLO COCCC(=O)NC1CC[NH+](Cc2cc(F)ccc2C#N)CC1 ZINC001225780853 882022531 /nfs/dbraw/zinc/02/25/31/882022531.db2.gz WTVVNUJDPUMJQZ-UHFFFAOYSA-N 1 2 319.380 1.814 20 30 DDEDLO CC(C)c1nsc(C[NH2+]C/C=C\CNC(=O)[C@H](C)C#N)n1 ZINC001320999255 867193296 /nfs/dbraw/zinc/19/32/96/867193296.db2.gz TZHIYORHJANYFX-DOGVGXBMSA-N 1 2 307.423 1.583 20 30 DDEDLO C#CCN(C(=O)C1CC1)C1CC[NH+](Cc2ncc(C)cn2)CC1 ZINC001324352985 867221407 /nfs/dbraw/zinc/22/14/07/867221407.db2.gz MJQOSOFDJLTFRS-UHFFFAOYSA-N 1 2 312.417 1.621 20 30 DDEDLO C=CC[N@H+]1CCC[C@](C)(CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001324937763 867643260 /nfs/dbraw/zinc/64/32/60/867643260.db2.gz ZQJTVTHOEJMJBX-QGZVFWFLSA-N 1 2 313.405 1.976 20 30 DDEDLO C=CC[N@@H+]1CCC[C@](C)(CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001324937763 867643266 /nfs/dbraw/zinc/64/32/66/867643266.db2.gz ZQJTVTHOEJMJBX-QGZVFWFLSA-N 1 2 313.405 1.976 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CO[C@@H]2CCC[C@H](C)C2)C1 ZINC001325286164 867914844 /nfs/dbraw/zinc/91/48/44/867914844.db2.gz UHBZJRGKEWDGPW-LSDHHAIUSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001381546695 882134341 /nfs/dbraw/zinc/13/43/41/882134341.db2.gz TZIIGFCUVNYLCX-XDQVBPFNSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001381546695 882134352 /nfs/dbraw/zinc/13/43/52/882134352.db2.gz TZIIGFCUVNYLCX-XDQVBPFNSA-N 1 2 300.830 1.991 20 30 DDEDLO CCOC(=O)c1cccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001226060703 882184471 /nfs/dbraw/zinc/18/44/71/882184471.db2.gz HPLINYJYBNERJG-JGPUMOJJSA-N 1 2 303.358 1.855 20 30 DDEDLO CCOC(=O)c1cccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001226060703 882184478 /nfs/dbraw/zinc/18/44/78/882184478.db2.gz HPLINYJYBNERJG-JGPUMOJJSA-N 1 2 303.358 1.855 20 30 DDEDLO CC#CCCCC(=O)NCCC[NH2+]Cc1noc(C(C)C)n1 ZINC001164263099 869071068 /nfs/dbraw/zinc/07/10/68/869071068.db2.gz WBVIIMISTWONBB-UHFFFAOYSA-N 1 2 306.410 1.983 20 30 DDEDLO N#CCc1ccnc(N2CCc3onc(Cn4cc[nH+]c4)c3C2)c1 ZINC001165547090 869638326 /nfs/dbraw/zinc/63/83/26/869638326.db2.gz JEJCFAKDYNIFBT-UHFFFAOYSA-N 1 2 320.356 1.943 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[C@H](C)N(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001338151167 869836841 /nfs/dbraw/zinc/83/68/41/869836841.db2.gz DVXWJBNJILHWAP-DZGCQCFKSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001338281357 869901938 /nfs/dbraw/zinc/90/19/38/869901938.db2.gz CDDMASYQGZIRTG-LSDHHAIUSA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(Cc2cscn2)CC1 ZINC001316961861 869998445 /nfs/dbraw/zinc/99/84/45/869998445.db2.gz FZMFRVVECLNWQG-UHFFFAOYSA-N 1 2 308.451 1.343 20 30 DDEDLO CC(C)N(CCN(C)C(=O)C#CC1CC1)C(=O)Cc1[nH]cc[nH+]1 ZINC001338777471 870164924 /nfs/dbraw/zinc/16/49/24/870164924.db2.gz XFIPVKCYEIRJBU-UHFFFAOYSA-N 1 2 316.405 1.061 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCC1(C[NH2+]Cc2nnn(C)n2)CC1 ZINC001166746464 870218477 /nfs/dbraw/zinc/21/84/77/870218477.db2.gz FWRWNMZKMJREBT-CYBMUJFWSA-N 1 2 320.441 1.189 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncnc4ccc(F)cc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226371600 882389718 /nfs/dbraw/zinc/38/97/18/882389718.db2.gz PFYALDSRFAHXRQ-BSRWDCPYSA-N 1 2 301.321 1.760 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncnc4ccc(F)cc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226371600 882389737 /nfs/dbraw/zinc/38/97/37/882389737.db2.gz PFYALDSRFAHXRQ-BSRWDCPYSA-N 1 2 301.321 1.760 20 30 DDEDLO CCOC(=O)[C@@H]1CN(c2[nH+]ccc3ccc(C#N)cc32)CCO1 ZINC001166827869 870283252 /nfs/dbraw/zinc/28/32/52/870283252.db2.gz LTFZUSMOMUSTDB-HNNXBMFYSA-N 1 2 311.341 1.875 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1CCC[N@H+](Cc2ncnn2C)C1 ZINC001317135239 870331671 /nfs/dbraw/zinc/33/16/71/870331671.db2.gz IIYUXIQUAFDWPG-GJZGRUSLSA-N 1 2 319.453 1.746 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1CCC[N@@H+](Cc2ncnn2C)C1 ZINC001317135239 870331683 /nfs/dbraw/zinc/33/16/83/870331683.db2.gz IIYUXIQUAFDWPG-GJZGRUSLSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)[C@H]1CC[C@@H]1OC ZINC001339211539 870406309 /nfs/dbraw/zinc/40/63/09/870406309.db2.gz QKDUOXFVVUBFSW-STQMWFEESA-N 1 2 316.409 1.402 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)C3CCC3)n2CC)CC1 ZINC001339295733 870438509 /nfs/dbraw/zinc/43/85/09/870438509.db2.gz HLIPPMHTHHAZFU-AWEZNQCLSA-N 1 2 301.438 1.957 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2[nH]ncc2F)C1 ZINC001317211217 870477817 /nfs/dbraw/zinc/47/78/17/870477817.db2.gz XIWJCVHUSPXJQN-CHWSQXEVSA-N 1 2 322.384 1.029 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2[nH]ncc2F)C1 ZINC001317211217 870477822 /nfs/dbraw/zinc/47/78/22/870477822.db2.gz XIWJCVHUSPXJQN-CHWSQXEVSA-N 1 2 322.384 1.029 20 30 DDEDLO Cc1cc(C(=O)N2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)ncc1C#N ZINC001361814388 882424616 /nfs/dbraw/zinc/42/46/16/882424616.db2.gz IWKIAIBZTDHGJJ-DZGCQCFKSA-N 1 2 314.389 1.197 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001298672685 870667236 /nfs/dbraw/zinc/66/72/36/870667236.db2.gz ISUPGJOLPOYOKW-DYVFJYSZSA-N 1 2 320.437 1.576 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@H+](Cc2cccc(C#N)c2)CC1 ZINC001203760816 870743414 /nfs/dbraw/zinc/74/34/14/870743414.db2.gz ORDJNOYPROJDQZ-HNNXBMFYSA-N 1 2 300.358 1.902 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@@H+](Cc2cccc(C#N)c2)CC1 ZINC001203760816 870743427 /nfs/dbraw/zinc/74/34/27/870743427.db2.gz ORDJNOYPROJDQZ-HNNXBMFYSA-N 1 2 300.358 1.902 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001317386203 870750852 /nfs/dbraw/zinc/75/08/52/870750852.db2.gz XOCIVEGUXQFNIB-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001317386203 870750865 /nfs/dbraw/zinc/75/08/65/870750865.db2.gz XOCIVEGUXQFNIB-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)CCNC(=O)c1cncs1 ZINC001317439704 870840312 /nfs/dbraw/zinc/84/03/12/870840312.db2.gz TZBLNCLJJIRHCZ-UHFFFAOYSA-N 1 2 324.450 1.229 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)CCNC(=O)c1cncs1 ZINC001317439704 870840321 /nfs/dbraw/zinc/84/03/21/870840321.db2.gz TZBLNCLJJIRHCZ-UHFFFAOYSA-N 1 2 324.450 1.229 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1csc(C(C)C)n1 ZINC001317465749 870886370 /nfs/dbraw/zinc/88/63/70/870886370.db2.gz UKTCMINIWLZBAI-UHFFFAOYSA-N 1 2 324.450 1.230 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1csc(C(C)C)n1 ZINC001317465749 870886383 /nfs/dbraw/zinc/88/63/83/870886383.db2.gz UKTCMINIWLZBAI-UHFFFAOYSA-N 1 2 324.450 1.230 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CC[N@@H+](Cc3cnn(C)n3)C2)C1 ZINC001317529822 871004923 /nfs/dbraw/zinc/00/49/23/871004923.db2.gz BTXWPGZWPMRXJS-CYBMUJFWSA-N 1 2 303.410 1.110 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CC[N@H+](Cc3cnn(C)n3)C2)C1 ZINC001317529822 871004936 /nfs/dbraw/zinc/00/49/36/871004936.db2.gz BTXWPGZWPMRXJS-CYBMUJFWSA-N 1 2 303.410 1.110 20 30 DDEDLO CCc1nnc(N(C)[C@@H](C)CC#N)n1CC[N@@H+]1CCOC[C@H]1C ZINC001381676807 882465903 /nfs/dbraw/zinc/46/59/03/882465903.db2.gz OPFNSAGLBQTOQV-UONOGXRCSA-N 1 2 320.441 1.300 20 30 DDEDLO CCc1nnc(N(C)[C@@H](C)CC#N)n1CC[N@H+]1CCOC[C@H]1C ZINC001381676807 882465911 /nfs/dbraw/zinc/46/59/11/882465911.db2.gz OPFNSAGLBQTOQV-UONOGXRCSA-N 1 2 320.441 1.300 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)[C@H](O)CC)n2CC=C)CC1 ZINC001340302792 871020106 /nfs/dbraw/zinc/02/01/06/871020106.db2.gz QGVMILRDAAPQHK-HUUCEWRRSA-N 1 2 317.437 1.094 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2CC)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001340572323 871203043 /nfs/dbraw/zinc/20/30/43/871203043.db2.gz QZPQQSKNWUACLI-ZQIUZPCESA-N 1 2 319.453 1.755 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2CC)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001340572323 871203054 /nfs/dbraw/zinc/20/30/54/871203054.db2.gz QZPQQSKNWUACLI-ZQIUZPCESA-N 1 2 319.453 1.755 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1C[NH+](CCOc2ccccc2C)C1 ZINC001318018488 871690372 /nfs/dbraw/zinc/69/03/72/871690372.db2.gz PNTUNHOZBHFGKU-GOSISDBHSA-N 1 2 318.417 1.501 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC1CN(CC#Cc2ccccc2)C1 ZINC001318070011 871724845 /nfs/dbraw/zinc/72/48/45/871724845.db2.gz RTXQZCSUBYAMBL-HNNXBMFYSA-N 1 2 322.412 1.440 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC1CN(CC#Cc2ccccc2)C1 ZINC001318070011 871724849 /nfs/dbraw/zinc/72/48/49/871724849.db2.gz RTXQZCSUBYAMBL-HNNXBMFYSA-N 1 2 322.412 1.440 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)CC ZINC001318187404 871803233 /nfs/dbraw/zinc/80/32/33/871803233.db2.gz LDXWWGWJDIZZDZ-JYJNAYRXSA-N 1 2 319.449 1.518 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)CC ZINC001318187404 871803241 /nfs/dbraw/zinc/80/32/41/871803241.db2.gz LDXWWGWJDIZZDZ-JYJNAYRXSA-N 1 2 319.449 1.518 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2cccnc2OC)C1 ZINC001318309668 871921062 /nfs/dbraw/zinc/92/10/62/871921062.db2.gz WGSZJZABWDHNQD-HNNXBMFYSA-N 1 2 319.405 1.373 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+](Cc2cccnc2OC)C1 ZINC001318309668 871921066 /nfs/dbraw/zinc/92/10/66/871921066.db2.gz WGSZJZABWDHNQD-HNNXBMFYSA-N 1 2 319.405 1.373 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(C)CC1 ZINC001342060932 871977930 /nfs/dbraw/zinc/97/79/30/871977930.db2.gz BASCYGRJURZSJC-KGLIPLIRSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(C)CC1 ZINC001342060932 871977950 /nfs/dbraw/zinc/97/79/50/871977950.db2.gz BASCYGRJURZSJC-KGLIPLIRSA-N 1 2 305.426 1.438 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](N(C)C(=O)c2scnc2C)C1 ZINC001318390722 871982359 /nfs/dbraw/zinc/98/23/59/871982359.db2.gz UMMGVMPIKLMSKZ-ZDUSSCGKSA-N 1 2 307.419 1.248 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](N(C)C(=O)c2scnc2C)C1 ZINC001318390722 871982368 /nfs/dbraw/zinc/98/23/68/871982368.db2.gz UMMGVMPIKLMSKZ-ZDUSSCGKSA-N 1 2 307.419 1.248 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@H]1C[C@H](C)CO1)C2 ZINC001316806212 872078343 /nfs/dbraw/zinc/07/83/43/872078343.db2.gz QJEKBEOOPKBPDY-WCQYABFASA-N 1 2 319.430 1.176 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2CCCC[N@@H+]2C)n1CCOC ZINC001342654704 872319299 /nfs/dbraw/zinc/31/92/99/872319299.db2.gz BPEVHNLTPQXAGT-CQSZACIVSA-N 1 2 305.426 1.541 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2CCCC[N@H+]2C)n1CCOC ZINC001342654704 872319316 /nfs/dbraw/zinc/31/93/16/872319316.db2.gz BPEVHNLTPQXAGT-CQSZACIVSA-N 1 2 305.426 1.541 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001206957665 872846848 /nfs/dbraw/zinc/84/68/48/872846848.db2.gz HUXPPNNPYOVIRU-IUODEOHRSA-N 1 2 319.405 1.268 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001206957665 872846861 /nfs/dbraw/zinc/84/68/61/872846861.db2.gz HUXPPNNPYOVIRU-IUODEOHRSA-N 1 2 319.405 1.268 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC001344958685 873196991 /nfs/dbraw/zinc/19/69/91/873196991.db2.gz INVOTPXCGMBPTH-UONOGXRCSA-N 1 2 316.405 1.683 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@H]2CCC[N@@H+]2C)n1CCCOCC ZINC001346975863 873958334 /nfs/dbraw/zinc/95/83/34/873958334.db2.gz FYIDOWOQNYKNMY-HUUCEWRRSA-N 1 2 319.453 1.929 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@H]2CCC[N@H+]2C)n1CCCOCC ZINC001346975863 873958340 /nfs/dbraw/zinc/95/83/40/873958340.db2.gz FYIDOWOQNYKNMY-HUUCEWRRSA-N 1 2 319.453 1.929 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2C)C[C@H]1C ZINC001208294425 873968150 /nfs/dbraw/zinc/96/81/50/873968150.db2.gz FMZAAUOIKWMOKM-TZMCWYRMSA-N 1 2 305.426 1.354 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2C)C[C@H]1C ZINC001208294425 873968159 /nfs/dbraw/zinc/96/81/59/873968159.db2.gz FMZAAUOIKWMOKM-TZMCWYRMSA-N 1 2 305.426 1.354 20 30 DDEDLO CC#CCCCC(=O)N1CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001348239952 874444365 /nfs/dbraw/zinc/44/43/65/874444365.db2.gz UTXJYCMNOBGKFK-UHFFFAOYSA-N 1 2 316.405 1.207 20 30 DDEDLO CC#CCCCC(=O)N1CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001348239952 874444374 /nfs/dbraw/zinc/44/43/74/874444374.db2.gz UTXJYCMNOBGKFK-UHFFFAOYSA-N 1 2 316.405 1.207 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+](Cc2cc(C)on2)CC1 ZINC001227113184 882843918 /nfs/dbraw/zinc/84/39/18/882843918.db2.gz AUKVFLAUPHLFCY-MRXNPFEDSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)o2)CC1 ZINC001227123596 882851495 /nfs/dbraw/zinc/85/14/95/882851495.db2.gz FTRNSBOWUAXQKQ-ZBEGNZNMSA-N 1 2 322.409 1.347 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+](Cc2coc(C)n2)CC1 ZINC001227124469 882855101 /nfs/dbraw/zinc/85/51/01/882855101.db2.gz UBZWQISMEAIGSS-MRXNPFEDSA-N 1 2 307.394 1.391 20 30 DDEDLO C[C@@H](C#N)C(=O)NCc1ccc(C[NH2+]Cc2csnn2)cc1 ZINC001378460814 874920074 /nfs/dbraw/zinc/92/00/74/874920074.db2.gz NOPALKFGKZSYCH-NSHDSACASA-N 1 2 315.402 1.604 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)CCC1 ZINC001350608634 875784022 /nfs/dbraw/zinc/78/40/22/875784022.db2.gz SPQCLWCYNRAZAN-CYBMUJFWSA-N 1 2 316.405 1.416 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2ncccn2)C[C@H]1C ZINC001211422138 875800197 /nfs/dbraw/zinc/80/01/97/875800197.db2.gz DTLKYPLJBZRIQM-RBSFLKMASA-N 1 2 318.421 1.567 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2ncccn2)C[C@H]1C ZINC001211422138 875800214 /nfs/dbraw/zinc/80/02/14/875800214.db2.gz DTLKYPLJBZRIQM-RBSFLKMASA-N 1 2 318.421 1.567 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CN(Cc2c[nH+]cn2C)C[C@H]1OC ZINC001213257932 875862458 /nfs/dbraw/zinc/86/24/58/875862458.db2.gz AZRZSRHBMWQXPO-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@H+](CC#CCOC)C[C@H]2OC)CCCC1 ZINC001213659693 876010230 /nfs/dbraw/zinc/01/02/30/876010230.db2.gz VPXINEVBTIUZQL-HZPDHXFCSA-N 1 2 322.449 1.422 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@@H+](CC#CCOC)C[C@H]2OC)CCCC1 ZINC001213659693 876010248 /nfs/dbraw/zinc/01/02/48/876010248.db2.gz VPXINEVBTIUZQL-HZPDHXFCSA-N 1 2 322.449 1.422 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)Cn1cc(Cl)cn1 ZINC001379103568 876410942 /nfs/dbraw/zinc/41/09/42/876410942.db2.gz UGUJUTRRHNJXMJ-UHFFFAOYSA-N 1 2 305.209 1.679 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)Cn1cc(Cl)cn1 ZINC001379103568 876410949 /nfs/dbraw/zinc/41/09/49/876410949.db2.gz UGUJUTRRHNJXMJ-UHFFFAOYSA-N 1 2 305.209 1.679 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)[C@H](CC#N)c1ccccc1 ZINC001362111716 883068667 /nfs/dbraw/zinc/06/86/67/883068667.db2.gz GJSIZBKMFNWNKL-GDBMZVCRSA-N 1 2 301.390 1.521 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)[C@H](CC#N)c1ccccc1 ZINC001362111716 883068687 /nfs/dbraw/zinc/06/86/87/883068687.db2.gz GJSIZBKMFNWNKL-GDBMZVCRSA-N 1 2 301.390 1.521 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001352910358 877003576 /nfs/dbraw/zinc/00/35/76/877003576.db2.gz ZZTMBDRFKLCIQN-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(NC(=O)CCc3cn[nH]n3)CCC[C@H]12 ZINC001379629487 877579076 /nfs/dbraw/zinc/57/90/76/877579076.db2.gz NSPMIQXGHQZGEC-DZGCQCFKSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(NC(=O)CCc3cn[nH]n3)CCC[C@H]12 ZINC001379629487 877579088 /nfs/dbraw/zinc/57/90/88/877579088.db2.gz NSPMIQXGHQZGEC-DZGCQCFKSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(NC(=O)CCc3c[nH]nn3)CCC[C@H]12 ZINC001379629487 877579101 /nfs/dbraw/zinc/57/91/01/877579101.db2.gz NSPMIQXGHQZGEC-DZGCQCFKSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(NC(=O)CCc3c[nH]nn3)CCC[C@H]12 ZINC001379629487 877579114 /nfs/dbraw/zinc/57/91/14/877579114.db2.gz NSPMIQXGHQZGEC-DZGCQCFKSA-N 1 2 323.828 1.603 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219121330 877940127 /nfs/dbraw/zinc/94/01/27/877940127.db2.gz ZKRAASDCPVBJPD-SJORKVTESA-N 1 2 318.392 1.385 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219121330 877940135 /nfs/dbraw/zinc/94/01/35/877940135.db2.gz ZKRAASDCPVBJPD-SJORKVTESA-N 1 2 318.392 1.385 20 30 DDEDLO O=C(/C=C/C1CC1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219428268 878201847 /nfs/dbraw/zinc/20/18/47/878201847.db2.gz JTNHPEHLAJWBSN-KNJKRIMISA-N 1 2 310.397 1.166 20 30 DDEDLO O=C(/C=C/C1CC1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219428268 878201859 /nfs/dbraw/zinc/20/18/59/878201859.db2.gz JTNHPEHLAJWBSN-KNJKRIMISA-N 1 2 310.397 1.166 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001219706805 878467990 /nfs/dbraw/zinc/46/79/90/878467990.db2.gz OUGFWHBDGLCKIO-KGLIPLIRSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001219706805 878467999 /nfs/dbraw/zinc/46/79/99/878467999.db2.gz OUGFWHBDGLCKIO-KGLIPLIRSA-N 1 2 321.421 1.555 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2CCCCCCC2)[C@@H](O)C1 ZINC001219979174 878629600 /nfs/dbraw/zinc/62/96/00/878629600.db2.gz VHXHOIHWIRVBMZ-SJORKVTESA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2CCCCCCC2)[C@@H](O)C1 ZINC001219979174 878629606 /nfs/dbraw/zinc/62/96/06/878629606.db2.gz VHXHOIHWIRVBMZ-SJORKVTESA-N 1 2 322.449 1.158 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)CC1CCC1 ZINC001355920586 878776766 /nfs/dbraw/zinc/77/67/66/878776766.db2.gz UDGOTPVFHGPVDP-CABCVRRESA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)CC1CCC1 ZINC001355920586 878776776 /nfs/dbraw/zinc/77/67/76/878776776.db2.gz UDGOTPVFHGPVDP-CABCVRRESA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC[C@H](C2CC2)C1 ZINC001355919002 878776835 /nfs/dbraw/zinc/77/68/35/878776835.db2.gz GJEHLYHQADPYLK-ZNMIVQPWSA-N 1 2 317.437 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC[C@H](C2CC2)C1 ZINC001355919002 878776847 /nfs/dbraw/zinc/77/68/47/878776847.db2.gz GJEHLYHQADPYLK-ZNMIVQPWSA-N 1 2 317.437 1.438 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220201275 878814606 /nfs/dbraw/zinc/81/46/06/878814606.db2.gz KSHNJHYWKAHNRQ-DFBGVHRSSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220201275 878814610 /nfs/dbraw/zinc/81/46/10/878814610.db2.gz KSHNJHYWKAHNRQ-DFBGVHRSSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1C[C@H]2[C@@H](C1)C2(F)F ZINC001356152427 878891608 /nfs/dbraw/zinc/89/16/08/878891608.db2.gz DHGWBROVYHNMQO-PHIMTYICSA-N 1 2 320.347 1.490 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(CC)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001356207291 878920856 /nfs/dbraw/zinc/92/08/56/878920856.db2.gz IKPCEHDIUMYWOX-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(CC)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001356207291 878920861 /nfs/dbraw/zinc/92/08/61/878920861.db2.gz IKPCEHDIUMYWOX-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1O)c1ccccc1 ZINC001221044914 879467713 /nfs/dbraw/zinc/46/77/13/879467713.db2.gz PRDJXUVNKBJAEN-ZMFSOPNWSA-N 1 2 320.820 1.870 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1O)c1ccccc1 ZINC001221044914 879467721 /nfs/dbraw/zinc/46/77/21/879467721.db2.gz PRDJXUVNKBJAEN-ZMFSOPNWSA-N 1 2 320.820 1.870 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](CC)NC(=O)Cc1[nH]cc[nH+]1 ZINC001356921176 879588739 /nfs/dbraw/zinc/58/87/39/879588739.db2.gz HUENRQBFBPQMGZ-WBMJQRKESA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCC(=O)NC[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C(C)C ZINC001357087295 879769550 /nfs/dbraw/zinc/76/95/50/879769550.db2.gz ANNMYEZBGUICKA-MGPQQGTHSA-N 1 2 318.421 1.736 20 30 DDEDLO C=CCCC(=O)NC[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C(C)C ZINC001357087295 879769557 /nfs/dbraw/zinc/76/95/57/879769557.db2.gz ANNMYEZBGUICKA-MGPQQGTHSA-N 1 2 318.421 1.736 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@H+](Cc3cncc(F)c3)C[C@H]21 ZINC001221529694 879923778 /nfs/dbraw/zinc/92/37/78/879923778.db2.gz MJHSXFXPSOYIIQ-NVXWUHKLSA-N 1 2 315.392 1.913 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@@H+](Cc3cncc(F)c3)C[C@H]21 ZINC001221529694 879923789 /nfs/dbraw/zinc/92/37/89/879923789.db2.gz MJHSXFXPSOYIIQ-NVXWUHKLSA-N 1 2 315.392 1.913 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@H+](Cc3cnns3)[C@H]2C1 ZINC001222432462 880464680 /nfs/dbraw/zinc/46/46/80/880464680.db2.gz PJAYPTODGPVMOY-OCCSQVGLSA-N 1 2 306.435 1.927 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnns3)[C@H]2C1 ZINC001222432462 880464688 /nfs/dbraw/zinc/46/46/88/880464688.db2.gz PJAYPTODGPVMOY-OCCSQVGLSA-N 1 2 306.435 1.927 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]1CNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001358618023 880630581 /nfs/dbraw/zinc/63/05/81/880630581.db2.gz CFTSEGRNNYIPPL-KBPBESRZSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1(CNC(=O)CCn2cc[nH+]c2)CC1 ZINC001358678833 880748967 /nfs/dbraw/zinc/74/89/67/880748967.db2.gz FWQFKMAGEILCPY-UHFFFAOYSA-N 1 2 318.421 1.641 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(CNC(=O)C#CC(C)C)CC2)s1 ZINC001223096770 880827159 /nfs/dbraw/zinc/82/71/59/880827159.db2.gz OMJHVQJUUFFRTG-UHFFFAOYSA-N 1 2 320.462 1.834 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@](C)(NC(=O)Cn2cc[nH+]c2)C1 ZINC001358799238 880934934 /nfs/dbraw/zinc/93/49/34/880934934.db2.gz WZSSLKIQKYCPFZ-QGZVFWFLSA-N 1 2 318.421 1.593 20 30 DDEDLO CCc1cc(C[NH+]2CC3(C[C@@H]3C(=O)NC3(C#N)CCC3)C2)on1 ZINC001277382940 883956052 /nfs/dbraw/zinc/95/60/52/883956052.db2.gz GDSMSOZZBSTMJC-CQSZACIVSA-N 1 2 314.389 1.621 20 30 DDEDLO COCC(C)(C)C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230578917 884612392 /nfs/dbraw/zinc/61/23/92/884612392.db2.gz ACXUIHLDXKYOJT-HNNXBMFYSA-N 1 2 307.438 1.886 20 30 DDEDLO COCC(C)(C)C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230578917 884612403 /nfs/dbraw/zinc/61/24/03/884612403.db2.gz ACXUIHLDXKYOJT-HNNXBMFYSA-N 1 2 307.438 1.886 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)/C=C/c1ccco1 ZINC001230639202 884683435 /nfs/dbraw/zinc/68/34/35/884683435.db2.gz UFNFMFAZZJIBTI-UZYOAWRESA-N 1 2 317.389 1.128 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C/c1ccco1 ZINC001230639202 884683444 /nfs/dbraw/zinc/68/34/44/884683444.db2.gz UFNFMFAZZJIBTI-UZYOAWRESA-N 1 2 317.389 1.128 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CCCC ZINC001230795424 884887357 /nfs/dbraw/zinc/88/73/57/884887357.db2.gz MEEHIHRJNGRMAO-INIZCTEOSA-N 1 2 321.465 1.910 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CCCC ZINC001230795424 884887365 /nfs/dbraw/zinc/88/73/65/884887365.db2.gz MEEHIHRJNGRMAO-INIZCTEOSA-N 1 2 321.465 1.910 20 30 DDEDLO Cn1c2ccc(Cl)nc2nc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001231038272 885153705 /nfs/dbraw/zinc/15/37/05/885153705.db2.gz AQDLLSLQCFXUIQ-PINQPDRWSA-N 1 2 320.780 1.613 20 30 DDEDLO Cn1c2ccc(Cl)nc2nc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001231038272 885153717 /nfs/dbraw/zinc/15/37/17/885153717.db2.gz AQDLLSLQCFXUIQ-PINQPDRWSA-N 1 2 320.780 1.613 20 30 DDEDLO Cn1c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)nc2cnc(Cl)nc21 ZINC001231108563 885235113 /nfs/dbraw/zinc/23/51/13/885235113.db2.gz JFHBTZFFCWFMNS-FRQULTQSSA-N 1 2 321.768 1.008 20 30 DDEDLO Cn1c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)nc2cnc(Cl)nc21 ZINC001231108563 885235125 /nfs/dbraw/zinc/23/51/25/885235125.db2.gz JFHBTZFFCWFMNS-FRQULTQSSA-N 1 2 321.768 1.008 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)CC1CC1 ZINC001231114580 885248934 /nfs/dbraw/zinc/24/89/34/885248934.db2.gz PBGYTXIBRVHUMF-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)CC1CC1 ZINC001231114580 885248952 /nfs/dbraw/zinc/24/89/52/885248952.db2.gz PBGYTXIBRVHUMF-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC001231247559 885430042 /nfs/dbraw/zinc/43/00/42/885430042.db2.gz OWTXIERUZPTAKQ-IUODEOHRSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC001231247559 885430050 /nfs/dbraw/zinc/43/00/50/885430050.db2.gz OWTXIERUZPTAKQ-IUODEOHRSA-N 1 2 314.364 1.890 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2CN(C)C(=O)[C@@H](C)C#N)cn1 ZINC001231247980 885432803 /nfs/dbraw/zinc/43/28/03/885432803.db2.gz SJOSHTQSGXCPDE-JSGCOSHPSA-N 1 2 302.378 1.283 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2CN(C)C(=O)[C@@H](C)C#N)cn1 ZINC001231247980 885432807 /nfs/dbraw/zinc/43/28/07/885432807.db2.gz SJOSHTQSGXCPDE-JSGCOSHPSA-N 1 2 302.378 1.283 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cncc(C)c1 ZINC001231376391 885570752 /nfs/dbraw/zinc/57/07/52/885570752.db2.gz CTXWGTKRIWIJCD-MRXNPFEDSA-N 1 2 301.390 1.186 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cncc(C)c1 ZINC001231376391 885570758 /nfs/dbraw/zinc/57/07/58/885570758.db2.gz CTXWGTKRIWIJCD-MRXNPFEDSA-N 1 2 301.390 1.186 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)Cc1ccon1 ZINC001231388670 885587992 /nfs/dbraw/zinc/58/79/92/885587992.db2.gz RGHFBWQQMLHLDO-SFHVURJKSA-N 1 2 323.396 1.802 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)Cc1ccon1 ZINC001231388670 885588005 /nfs/dbraw/zinc/58/80/05/885588005.db2.gz RGHFBWQQMLHLDO-SFHVURJKSA-N 1 2 323.396 1.802 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001288536341 912965893 /nfs/dbraw/zinc/96/58/93/912965893.db2.gz VCXJSUAPWHULCG-QWHCGFSZSA-N 1 2 320.437 1.574 20 30 DDEDLO COc1cc(C#N)ccc1CN1CC([N@@H+]2CCOC(C)(C)C2)C1 ZINC001231620324 885765630 /nfs/dbraw/zinc/76/56/30/885765630.db2.gz VSPONIFIDGOKIH-UHFFFAOYSA-N 1 2 315.417 1.862 20 30 DDEDLO COc1cc(C#N)ccc1CN1CC([N@H+]2CCOC(C)(C)C2)C1 ZINC001231620324 885765641 /nfs/dbraw/zinc/76/56/41/885765641.db2.gz VSPONIFIDGOKIH-UHFFFAOYSA-N 1 2 315.417 1.862 20 30 DDEDLO CC(C)(C)OC(=O)NC1(CO)CC[NH+](CC2(C#N)CC2)CC1 ZINC001363234158 885783305 /nfs/dbraw/zinc/78/33/05/885783305.db2.gz OUJDHRVPGBIMCM-UHFFFAOYSA-N 1 2 309.410 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cnc(N(C)C)cn1 ZINC001374491544 913042636 /nfs/dbraw/zinc/04/26/36/913042636.db2.gz IDILZRUANLVHQM-UHFFFAOYSA-N 1 2 311.817 1.299 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cnc(N(C)C)cn1 ZINC001374491544 913042655 /nfs/dbraw/zinc/04/26/55/913042655.db2.gz IDILZRUANLVHQM-UHFFFAOYSA-N 1 2 311.817 1.299 20 30 DDEDLO C[N@@H+]1CCN(C(=O)c2cccc(SCC#N)c2)C[C@H]1CO ZINC001363564405 886645393 /nfs/dbraw/zinc/64/53/93/886645393.db2.gz UHJDQYVPJKHQMZ-ZDUSSCGKSA-N 1 2 305.403 1.051 20 30 DDEDLO C[N@H+]1CCN(C(=O)c2cccc(SCC#N)c2)C[C@H]1CO ZINC001363564405 886645396 /nfs/dbraw/zinc/64/53/96/886645396.db2.gz UHJDQYVPJKHQMZ-ZDUSSCGKSA-N 1 2 305.403 1.051 20 30 DDEDLO COC(=O)[C@@H]1COCCC12C[NH+](Cc1ccc(C)cc1C#N)C2 ZINC001232967332 886699683 /nfs/dbraw/zinc/69/96/83/886699683.db2.gz IVWSACKXALJVCB-INIZCTEOSA-N 1 2 314.385 1.878 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccnn1C ZINC001233490530 887034073 /nfs/dbraw/zinc/03/40/73/887034073.db2.gz RNZPUCPEYLEFBT-MRXNPFEDSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccnn1C ZINC001233490530 887034084 /nfs/dbraw/zinc/03/40/84/887034084.db2.gz RNZPUCPEYLEFBT-MRXNPFEDSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CC2CCC2)C1=O ZINC001233521544 887072125 /nfs/dbraw/zinc/07/21/25/887072125.db2.gz WDTFPLMNNRYWCD-HZPDHXFCSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CC2CCC2)C1=O ZINC001233521544 887072142 /nfs/dbraw/zinc/07/21/42/887072142.db2.gz WDTFPLMNNRYWCD-HZPDHXFCSA-N 1 2 319.449 1.496 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CC[NH+](CCNC(=O)C2CC2)CC1 ZINC001363778495 887198235 /nfs/dbraw/zinc/19/82/35/887198235.db2.gz HOEXWOXPNQOZQY-QGZVFWFLSA-N 1 2 312.417 1.395 20 30 DDEDLO CCc1[nH]ccc1C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001234030924 887571899 /nfs/dbraw/zinc/57/18/99/887571899.db2.gz ZLWPYIYVJIZCIG-AWEZNQCLSA-N 1 2 303.406 1.373 20 30 DDEDLO CCc1[nH]ccc1C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001234030924 887571906 /nfs/dbraw/zinc/57/19/06/887571906.db2.gz ZLWPYIYVJIZCIG-AWEZNQCLSA-N 1 2 303.406 1.373 20 30 DDEDLO CCn1ccnc1C[N@H+](C)CCCNC(=O)CSCC#N ZINC001234147036 887685960 /nfs/dbraw/zinc/68/59/60/887685960.db2.gz XZQITVNSVUXPKR-UHFFFAOYSA-N 1 2 309.439 1.098 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)CCCNC(=O)CSCC#N ZINC001234147036 887685963 /nfs/dbraw/zinc/68/59/63/887685963.db2.gz XZQITVNSVUXPKR-UHFFFAOYSA-N 1 2 309.439 1.098 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)CSCC#N ZINC001234146087 887686149 /nfs/dbraw/zinc/68/61/49/887686149.db2.gz COLYVZMJWRQQOH-UHFFFAOYSA-N 1 2 320.256 1.590 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)CSCC#N ZINC001234146087 887686154 /nfs/dbraw/zinc/68/61/54/887686154.db2.gz COLYVZMJWRQQOH-UHFFFAOYSA-N 1 2 320.256 1.590 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178040 887715848 /nfs/dbraw/zinc/71/58/48/887715848.db2.gz HXCUBHQWHUAMMS-OAHLLOKOSA-N 1 2 301.390 1.738 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178040 887715862 /nfs/dbraw/zinc/71/58/62/887715862.db2.gz HXCUBHQWHUAMMS-OAHLLOKOSA-N 1 2 301.390 1.738 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1cnns1 ZINC001234480888 888018119 /nfs/dbraw/zinc/01/81/19/888018119.db2.gz OZLKIFYPZRTELF-UHFFFAOYSA-N 1 2 319.228 1.498 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1cnns1 ZINC001234480888 888018109 /nfs/dbraw/zinc/01/81/09/888018109.db2.gz OZLKIFYPZRTELF-UHFFFAOYSA-N 1 2 319.228 1.498 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)CCC(=C)C)C1=O ZINC001234615331 888144578 /nfs/dbraw/zinc/14/45/78/888144578.db2.gz ZYWRQWGKFKSCPG-HOTGVXAUSA-N 1 2 319.449 1.662 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CCC(=C)C)C1=O ZINC001234615331 888144584 /nfs/dbraw/zinc/14/45/84/888144584.db2.gz ZYWRQWGKFKSCPG-HOTGVXAUSA-N 1 2 319.449 1.662 20 30 DDEDLO C[N@H+](Cc1cc(C#N)ccn1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC001364340066 888417249 /nfs/dbraw/zinc/41/72/49/888417249.db2.gz VYIXLNGDFLWKIV-LBPRGKRZSA-N 1 2 312.295 1.548 20 30 DDEDLO C[N@@H+](Cc1cc(C#N)ccn1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC001364340066 888417255 /nfs/dbraw/zinc/41/72/55/888417255.db2.gz VYIXLNGDFLWKIV-LBPRGKRZSA-N 1 2 312.295 1.548 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cnn(C)c2)CCC1 ZINC001235210092 888479187 /nfs/dbraw/zinc/47/91/87/888479187.db2.gz PSWXDWYVZZNFBJ-INIZCTEOSA-N 1 2 314.433 1.646 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cnn(C)c2)CCC1 ZINC001235210092 888479194 /nfs/dbraw/zinc/47/91/94/888479194.db2.gz PSWXDWYVZZNFBJ-INIZCTEOSA-N 1 2 314.433 1.646 20 30 DDEDLO COC1(CC(=O)N2CC3(C2)CCC[N@H+](CCC#N)C3)CCC1 ZINC001277936947 888549446 /nfs/dbraw/zinc/54/94/46/888549446.db2.gz WEZQFPATALKIHX-UHFFFAOYSA-N 1 2 305.422 1.784 20 30 DDEDLO COC1(CC(=O)N2CC3(C2)CCC[N@@H+](CCC#N)C3)CCC1 ZINC001277936947 888549452 /nfs/dbraw/zinc/54/94/52/888549452.db2.gz WEZQFPATALKIHX-UHFFFAOYSA-N 1 2 305.422 1.784 20 30 DDEDLO N#CCC(=O)N1CC[NH+](C[C@@H]2COC3(CCCCC3)O2)CC1 ZINC001364412680 888578114 /nfs/dbraw/zinc/57/81/14/888578114.db2.gz URCLMPMJRJYCPB-CQSZACIVSA-N 1 2 307.394 1.120 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)COCC1CCCC1 ZINC001235440928 888645207 /nfs/dbraw/zinc/64/52/07/888645207.db2.gz ZYMVYCQPEHAZCN-QGZVFWFLSA-N 1 2 322.449 1.376 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)COCC1CCCC1 ZINC001235440928 888645215 /nfs/dbraw/zinc/64/52/15/888645215.db2.gz ZYMVYCQPEHAZCN-QGZVFWFLSA-N 1 2 322.449 1.376 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CCN1C(=O)Cn1cc[nH+]c1 ZINC001289968098 913300803 /nfs/dbraw/zinc/30/08/03/913300803.db2.gz BNFBKFGUTCWDKY-CQSZACIVSA-N 1 2 318.421 1.545 20 30 DDEDLO C[C@H]1C[C@@H](O)C[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC001364727536 889284975 /nfs/dbraw/zinc/28/49/75/889284975.db2.gz KCGFCGHCQUNORV-CYZMBNFOSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@H]1C[C@@H](O)C[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC001364727536 889284992 /nfs/dbraw/zinc/28/49/92/889284992.db2.gz KCGFCGHCQUNORV-CYZMBNFOSA-N 1 2 305.403 1.428 20 30 DDEDLO C#CCN(C(=O)C1CCC1)C1CC[NH+](Cc2nccn2C)CC1 ZINC001278106993 889672385 /nfs/dbraw/zinc/67/23/85/889672385.db2.gz ADUPGIKHCKBFGF-UHFFFAOYSA-N 1 2 314.433 1.646 20 30 DDEDLO C#CCN(C(=O)CC1CC1)C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001278107270 889674858 /nfs/dbraw/zinc/67/48/58/889674858.db2.gz INNIVRDJHXRARA-UHFFFAOYSA-N 1 2 314.433 1.646 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[C@H](C)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001290338683 913411423 /nfs/dbraw/zinc/41/14/23/913411423.db2.gz JNFYHPKWSUZLMJ-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCSC12C[NH+](Cc1ccccc1C#N)C2 ZINC001278239495 890241610 /nfs/dbraw/zinc/24/16/10/890241610.db2.gz SHUBCKHVRGWOSZ-HNNXBMFYSA-N 1 2 315.442 1.954 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)[C@H]1C ZINC001278245035 890255990 /nfs/dbraw/zinc/25/59/90/890255990.db2.gz YBTUEVRTQBPGJW-OCCSQVGLSA-N 1 2 304.394 1.651 20 30 DDEDLO N#Cc1ccnc(-c2ccc(OCC[NH+]3CCOCC3)cc2)n1 ZINC001240447774 890930340 /nfs/dbraw/zinc/93/03/40/890930340.db2.gz SOUMYKNGMMKLBO-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO CN1CC[NH+](CCNc2ccc(-c3ccncc3C#N)cn2)CC1 ZINC001241560189 891202455 /nfs/dbraw/zinc/20/24/55/891202455.db2.gz KKIIOLCKJUSESN-UHFFFAOYSA-N 1 2 322.416 1.675 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC001365688636 891328387 /nfs/dbraw/zinc/32/83/87/891328387.db2.gz UISAYCJEMOKOEI-AWEZNQCLSA-N 1 2 312.373 1.393 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@]1(C)CC[N@H+](Cc2ncc(C)o2)C1 ZINC001278387625 891898077 /nfs/dbraw/zinc/89/80/77/891898077.db2.gz CTXIWLHRFQQRGB-BBRMVZONSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@]1(C)CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001278387625 891898100 /nfs/dbraw/zinc/89/81/00/891898100.db2.gz CTXIWLHRFQQRGB-BBRMVZONSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@@H+](Cc2ccn(CC)n2)C1 ZINC001278451287 892655291 /nfs/dbraw/zinc/65/52/91/892655291.db2.gz USVGVQVYUQCRDW-QGZVFWFLSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@H+](Cc2ccn(CC)n2)C1 ZINC001278451287 892655310 /nfs/dbraw/zinc/65/53/10/892655310.db2.gz USVGVQVYUQCRDW-QGZVFWFLSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC(C)C)[C@@H]1C ZINC001278516613 893994444 /nfs/dbraw/zinc/99/44/44/893994444.db2.gz VFCQYXQRUTYNMS-CABCVRRESA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC(C)C)[C@@H]1C ZINC001278516613 893994457 /nfs/dbraw/zinc/99/44/57/893994457.db2.gz VFCQYXQRUTYNMS-CABCVRRESA-N 1 2 323.481 1.940 20 30 DDEDLO CC[C@@H](CNC(=O)c1[nH]ncc1F)[NH2+]Cc1ccc(C#N)s1 ZINC001367126693 895943587 /nfs/dbraw/zinc/94/35/87/895943587.db2.gz JPIGHMFMOFBYPK-VIFPVBQESA-N 1 2 321.381 1.780 20 30 DDEDLO C=CCC1(C(=O)NCCNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CCC1 ZINC001292920854 914093894 /nfs/dbraw/zinc/09/38/94/914093894.db2.gz WVKQWTARUURTAO-CHWSQXEVSA-N 1 2 316.405 1.492 20 30 DDEDLO C=CCC1(C(=O)NCCNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CCC1 ZINC001292920854 914093916 /nfs/dbraw/zinc/09/39/16/914093916.db2.gz WVKQWTARUURTAO-CHWSQXEVSA-N 1 2 316.405 1.492 20 30 DDEDLO CC[C@H](CC#N)[N@@H+]1C[C@@H](OCC2CCOCC2)[C@H]2COC[C@H]21 ZINC001255167385 896762643 /nfs/dbraw/zinc/76/26/43/896762643.db2.gz ADGGDISKFBUNFK-YYIAUSFCSA-N 1 2 308.422 1.821 20 30 DDEDLO CC[C@H](CC#N)[N@H+]1C[C@@H](OCC2CCOCC2)[C@H]2COC[C@H]21 ZINC001255167385 896762648 /nfs/dbraw/zinc/76/26/48/896762648.db2.gz ADGGDISKFBUNFK-YYIAUSFCSA-N 1 2 308.422 1.821 20 30 DDEDLO CCCC(=O)NCCN1CC[NH+](Cc2ccc(C#N)s2)CC1 ZINC001389383873 897086403 /nfs/dbraw/zinc/08/64/03/897086403.db2.gz ABXPDQGEBFZRSA-UHFFFAOYSA-N 1 2 320.462 1.654 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)Oc2ccccn2)C1 ZINC001389523538 897442442 /nfs/dbraw/zinc/44/24/42/897442442.db2.gz ZXJJCTOZWYRZOE-OLZOCXBDSA-N 1 2 309.797 1.792 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)Oc2ccccn2)C1 ZINC001389523538 897442449 /nfs/dbraw/zinc/44/24/49/897442449.db2.gz ZXJJCTOZWYRZOE-OLZOCXBDSA-N 1 2 309.797 1.792 20 30 DDEDLO CC(C)[N@@H+]1CCN(S(=O)(=O)c2ccccc2C#N)C[C@@H]1C ZINC001258256829 898120222 /nfs/dbraw/zinc/12/02/22/898120222.db2.gz OFMNDIMJMXXFMQ-ZDUSSCGKSA-N 1 2 307.419 1.661 20 30 DDEDLO CC(C)[N@H+]1CCN(S(=O)(=O)c2ccccc2C#N)C[C@@H]1C ZINC001258256829 898120230 /nfs/dbraw/zinc/12/02/30/898120230.db2.gz OFMNDIMJMXXFMQ-ZDUSSCGKSA-N 1 2 307.419 1.661 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C[C@H](C)NC(=O)c2c[nH]c(C#N)c2)n1 ZINC001374883270 914230444 /nfs/dbraw/zinc/23/04/44/914230444.db2.gz IDXIWTGLZXFVFJ-DTWKUNHWSA-N 1 2 302.338 1.047 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]([C@H](C)NC(=O)Cc2nnc[nH]2)C1 ZINC001390163468 898828416 /nfs/dbraw/zinc/82/84/16/898828416.db2.gz RBUROGZOVYNZFK-NWDGAFQWSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]([C@H](C)NC(=O)Cc2nnc[nH]2)C1 ZINC001390163468 898828408 /nfs/dbraw/zinc/82/84/08/898828408.db2.gz RBUROGZOVYNZFK-NWDGAFQWSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]([C@H](C)NC(=O)Cc2nnc[nH]2)C1 ZINC001390163470 898828556 /nfs/dbraw/zinc/82/85/56/898828556.db2.gz RBUROGZOVYNZFK-RYUDHWBXSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]([C@H](C)NC(=O)Cc2nnc[nH]2)C1 ZINC001390163470 898828563 /nfs/dbraw/zinc/82/85/63/898828563.db2.gz RBUROGZOVYNZFK-RYUDHWBXSA-N 1 2 311.817 1.316 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[NH+](CC[C@H]2CC2(Cl)Cl)CC1 ZINC001261279489 899446949 /nfs/dbraw/zinc/44/69/49/899446949.db2.gz YTESGVJUMQSPNL-MNOVXSKESA-N 1 2 304.221 1.874 20 30 DDEDLO COCC#CC(=O)Nc1cccc([C@@H](C)[NH+]2CCOCC2)c1 ZINC001261678206 899695828 /nfs/dbraw/zinc/69/58/28/899695828.db2.gz PCHIGWXFGPJIQU-CQSZACIVSA-N 1 2 302.374 1.668 20 30 DDEDLO COc1cc2c(cc1C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1)OCO2 ZINC001262112516 899950001 /nfs/dbraw/zinc/95/00/01/899950001.db2.gz LKNXSCMIJZJTQP-STQMWFEESA-N 1 2 317.389 1.842 20 30 DDEDLO C[N@H+](CCNC(=O)[C@@H]1CC1(F)F)Cc1ccc(C#N)cc1F ZINC001390760011 900117361 /nfs/dbraw/zinc/11/73/61/900117361.db2.gz OSOCEOCFUDXMLM-LBPRGKRZSA-N 1 2 311.307 1.901 20 30 DDEDLO C[N@@H+](CCNC(=O)[C@@H]1CC1(F)F)Cc1ccc(C#N)cc1F ZINC001390760011 900117370 /nfs/dbraw/zinc/11/73/70/900117370.db2.gz OSOCEOCFUDXMLM-LBPRGKRZSA-N 1 2 311.307 1.901 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@H]2C[C@H](O)C[N@H+]2C)n1CC=C ZINC001262947096 900432331 /nfs/dbraw/zinc/43/23/31/900432331.db2.gz FAYANNZAERFHEL-UONOGXRCSA-N 1 2 303.410 1.380 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@H]2C[C@H](O)C[N@@H+]2C)n1CC=C ZINC001262947096 900432338 /nfs/dbraw/zinc/43/23/38/900432338.db2.gz FAYANNZAERFHEL-UONOGXRCSA-N 1 2 303.410 1.380 20 30 DDEDLO CC[N@H+](CCNC(=O)[C@H](C)C#N)CC(=O)Nc1ccccc1 ZINC001390883287 900436974 /nfs/dbraw/zinc/43/69/74/900436974.db2.gz CULIFRPOFXKVMA-CYBMUJFWSA-N 1 2 302.378 1.223 20 30 DDEDLO CC[N@@H+](CCNC(=O)[C@H](C)C#N)CC(=O)Nc1ccccc1 ZINC001390883287 900436977 /nfs/dbraw/zinc/43/69/77/900436977.db2.gz CULIFRPOFXKVMA-CYBMUJFWSA-N 1 2 302.378 1.223 20 30 DDEDLO CN(CC#N)C[C@@H]1CCCCN1C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001264743111 901324622 /nfs/dbraw/zinc/32/46/22/901324622.db2.gz SQTUBLCUAGHZJJ-RRFJBIMHSA-N 1 2 315.421 1.360 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[N@H+](C)Cc1ncc(Cl)s1 ZINC001264971298 901361696 /nfs/dbraw/zinc/36/16/96/901361696.db2.gz OVHNGSLBWKUYNC-VIFPVBQESA-N 1 2 300.815 1.894 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[N@@H+](C)Cc1ncc(Cl)s1 ZINC001264971298 901361716 /nfs/dbraw/zinc/36/17/16/901361716.db2.gz OVHNGSLBWKUYNC-VIFPVBQESA-N 1 2 300.815 1.894 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NC2CC2)C1 ZINC001265224852 901738150 /nfs/dbraw/zinc/73/81/50/901738150.db2.gz LNVPZVNMKRVPHX-RHSMWYFYSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC2CC2)C1 ZINC001265224852 901738163 /nfs/dbraw/zinc/73/81/63/901738163.db2.gz LNVPZVNMKRVPHX-RHSMWYFYSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(Cc2ccc(F)cn2)CC1 ZINC001265257802 901779803 /nfs/dbraw/zinc/77/98/03/901779803.db2.gz OJUBBLLYVZXXAB-UHFFFAOYSA-N 1 2 320.412 1.421 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@H](CC)CC2CCCC2)CC1 ZINC001265264191 901786081 /nfs/dbraw/zinc/78/60/81/901786081.db2.gz IHIWHQRDBUTMJH-GOSISDBHSA-N 1 2 319.493 1.960 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001265307896 901862698 /nfs/dbraw/zinc/86/26/98/901862698.db2.gz IDVVYPHTMDSYAF-XJKSGUPXSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001265307896 901862714 /nfs/dbraw/zinc/86/27/14/901862714.db2.gz IDVVYPHTMDSYAF-XJKSGUPXSA-N 1 2 308.426 1.033 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC(=C)C ZINC001265406871 901979991 /nfs/dbraw/zinc/97/99/91/901979991.db2.gz IAMLFFRRJZXBBH-OAGGEKHMSA-N 1 2 317.433 1.156 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCC(=C)C ZINC001265406871 901980006 /nfs/dbraw/zinc/98/00/06/901980006.db2.gz IAMLFFRRJZXBBH-OAGGEKHMSA-N 1 2 317.433 1.156 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H](C)OCc2ccncc2)C1 ZINC001391589474 902082448 /nfs/dbraw/zinc/08/24/48/902082448.db2.gz NSAOSVQYFDMIAO-UKRRQHHQSA-N 1 2 323.824 1.930 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)OCc2ccncc2)C1 ZINC001391589474 902082460 /nfs/dbraw/zinc/08/24/60/902082460.db2.gz NSAOSVQYFDMIAO-UKRRQHHQSA-N 1 2 323.824 1.930 20 30 DDEDLO C#CCCCC(=O)NC1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1nncs1 ZINC001265514766 902085509 /nfs/dbraw/zinc/08/55/09/902085509.db2.gz SYSHKCPRXFFBPG-AGUYFDCRSA-N 1 2 318.446 1.953 20 30 DDEDLO C#CCCCC(=O)NC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1nncs1 ZINC001265514766 902085518 /nfs/dbraw/zinc/08/55/18/902085518.db2.gz SYSHKCPRXFFBPG-AGUYFDCRSA-N 1 2 318.446 1.953 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@@H+]([C@H](C)c2nnc(C)[nH]2)C1 ZINC001265577529 902150755 /nfs/dbraw/zinc/15/07/55/902150755.db2.gz JERSEMNVVGMBPL-OCCSQVGLSA-N 1 2 321.425 1.205 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@H+]([C@H](C)c2nnc(C)[nH]2)C1 ZINC001265577529 902150762 /nfs/dbraw/zinc/15/07/62/902150762.db2.gz JERSEMNVVGMBPL-OCCSQVGLSA-N 1 2 321.425 1.205 20 30 DDEDLO C[C@H](CNC(=O)C#CC(C)(C)C)[NH2+]Cc1nc(C(F)F)no1 ZINC001265773375 902394350 /nfs/dbraw/zinc/39/43/50/902394350.db2.gz UPFYLYXDXZYGGA-SECBINFHSA-N 1 2 314.336 1.651 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@H](C)CNC(=O)C#CC(C)(C)C)n1 ZINC001265773127 902394487 /nfs/dbraw/zinc/39/44/87/902394487.db2.gz PLBVZVZGUXDOKE-NEPJUHHUSA-N 1 2 306.410 1.837 20 30 DDEDLO CCCC[C@H](CNC(=O)Cc1[nH]c[nH+]c1C)NC(=O)[C@H](C)C#N ZINC001370064400 902478903 /nfs/dbraw/zinc/47/89/03/902478903.db2.gz WRNFNMCWTKJAIZ-DGCLKSJQSA-N 1 2 319.409 1.212 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@H](C)C#N ZINC001375017714 914654610 /nfs/dbraw/zinc/65/46/10/914654610.db2.gz JKTCCUZZKNTCFY-UONOGXRCSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1ccccc1NC(=O)C[N@H+](C)C[C@@H](C)NC(=O)[C@@H](C)C#N ZINC001375017714 914654618 /nfs/dbraw/zinc/65/46/18/914654618.db2.gz JKTCCUZZKNTCFY-UONOGXRCSA-N 1 2 316.405 1.530 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1C[C@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001370299434 902888830 /nfs/dbraw/zinc/88/88/30/902888830.db2.gz RWXUGSUXBILAIH-XUXIUFHCSA-N 1 2 317.393 1.005 20 30 DDEDLO CC(C)(C)C#CC(=O)NCCC[N@H+](Cc1cnon1)C1CC1 ZINC001266135683 903000961 /nfs/dbraw/zinc/00/09/61/903000961.db2.gz UAJSRUPWZVAELW-UHFFFAOYSA-N 1 2 304.394 1.590 20 30 DDEDLO CC(C)(C)C#CC(=O)NCCC[N@@H+](Cc1cnon1)C1CC1 ZINC001266135683 903000974 /nfs/dbraw/zinc/00/09/74/903000974.db2.gz UAJSRUPWZVAELW-UHFFFAOYSA-N 1 2 304.394 1.590 20 30 DDEDLO CCc1nnc(C[N@H+](CCCNC(=O)C#CC2CC2)C2CC2)o1 ZINC001266135608 903001244 /nfs/dbraw/zinc/00/12/44/903001244.db2.gz QRYGWJRXPXGVNY-UHFFFAOYSA-N 1 2 316.405 1.516 20 30 DDEDLO CCc1nnc(C[N@@H+](CCCNC(=O)C#CC2CC2)C2CC2)o1 ZINC001266135608 903001254 /nfs/dbraw/zinc/00/12/54/903001254.db2.gz QRYGWJRXPXGVNY-UHFFFAOYSA-N 1 2 316.405 1.516 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001266204614 903104851 /nfs/dbraw/zinc/10/48/51/903104851.db2.gz FDVKRCJDYYGTBJ-GJZGRUSLSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001266204614 903104859 /nfs/dbraw/zinc/10/48/59/903104859.db2.gz FDVKRCJDYYGTBJ-GJZGRUSLSA-N 1 2 322.453 1.423 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC(C)C)C2)sn1 ZINC001266214140 903126238 /nfs/dbraw/zinc/12/62/38/903126238.db2.gz BEQCWTWWKNHXON-CYBMUJFWSA-N 1 2 321.446 1.892 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H](NC(=O)C#CC(C)C)C2)sn1 ZINC001266214140 903126250 /nfs/dbraw/zinc/12/62/50/903126250.db2.gz BEQCWTWWKNHXON-CYBMUJFWSA-N 1 2 321.446 1.892 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](CCCS(C)(=O)=O)C1 ZINC001266233593 903159738 /nfs/dbraw/zinc/15/97/38/903159738.db2.gz NLXYLWXLJWVCQI-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CCCS(C)(=O)=O)C1 ZINC001266233593 903159744 /nfs/dbraw/zinc/15/97/44/903159744.db2.gz NLXYLWXLJWVCQI-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001279605395 903347913 /nfs/dbraw/zinc/34/79/13/903347913.db2.gz BKKUDQZSMAZVLX-GXTWGEPZSA-N 1 2 306.435 1.927 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001279605395 903347919 /nfs/dbraw/zinc/34/79/19/903347919.db2.gz BKKUDQZSMAZVLX-GXTWGEPZSA-N 1 2 306.435 1.927 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CN(C)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001281027715 904330592 /nfs/dbraw/zinc/33/05/92/904330592.db2.gz RRPAIJOYXZGTEO-GFCCVEGCSA-N 1 2 306.410 1.617 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H](C)CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001281059692 904365522 /nfs/dbraw/zinc/36/55/22/904365522.db2.gz SHVMGDFRDRKYGS-QWHCGFSZSA-N 1 2 306.410 1.518 20 30 DDEDLO CC(C)c1cnc(C[NH2+][C@@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001371166388 904394732 /nfs/dbraw/zinc/39/47/32/904394732.db2.gz PKJNSBBGWUVGDX-NSHDSACASA-N 1 2 315.377 1.906 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H]1C ZINC001281153574 904486827 /nfs/dbraw/zinc/48/68/27/904486827.db2.gz MITCSUYYWBUNIN-WCQYABFASA-N 1 2 304.394 1.270 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001281153575 904487430 /nfs/dbraw/zinc/48/74/30/904487430.db2.gz MITCSUYYWBUNIN-YPMHNXCESA-N 1 2 304.394 1.270 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001281208580 904564434 /nfs/dbraw/zinc/56/44/34/904564434.db2.gz ULUPOGCZMVLWND-UONOGXRCSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1ccnc2c1ncn2C ZINC001392690779 904919123 /nfs/dbraw/zinc/91/91/23/904919123.db2.gz NBJOLHKDPLVDFK-LLVKDONJSA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1ccnc2c1ncn2C ZINC001392690779 904919128 /nfs/dbraw/zinc/91/91/28/904919128.db2.gz NBJOLHKDPLVDFK-LLVKDONJSA-N 1 2 321.812 1.771 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)N(CC)C(=O)Cn1cc[nH+]c1 ZINC001281492880 904934786 /nfs/dbraw/zinc/93/47/86/904934786.db2.gz NWNQGJHZWKIREE-OAHLLOKOSA-N 1 2 318.421 1.430 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H](C[NH2+]Cc1nc(C2CCC2)no1)C1CC1 ZINC001392775105 905216497 /nfs/dbraw/zinc/21/64/97/905216497.db2.gz VJBMWICLDZOLNY-GXFFZTMASA-N 1 2 317.393 1.481 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@@H](NC(=O)[C@H](C)C#N)C2CC2)n1 ZINC001392775165 905217563 /nfs/dbraw/zinc/21/75/63/905217563.db2.gz WCIKNGQSIJBDQU-ZYHUDNBSSA-N 1 2 305.382 1.337 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1C[C@@H]([NH2+][C@@H](C)c2noc(C)n2)C1 ZINC001316615870 905363444 /nfs/dbraw/zinc/36/34/44/905363444.db2.gz MTJQGNHTJMGZML-CNXAATOLSA-N 1 2 322.409 1.251 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc2nc(COC)no2)C1 ZINC001282185959 905603208 /nfs/dbraw/zinc/60/32/08/905603208.db2.gz RSJFBKBRVBOPRX-NEPJUHHUSA-N 1 2 322.409 1.555 20 30 DDEDLO CC#CC[NH+]1CC([C@@H](C)NC(=O)CCCn2ccnc2C)C1 ZINC001282738812 906002884 /nfs/dbraw/zinc/00/28/84/906002884.db2.gz HZEXXKVVMMTNSZ-CQSZACIVSA-N 1 2 302.422 1.432 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)C1(COC)CCOCC1 ZINC001372329605 907047216 /nfs/dbraw/zinc/04/72/16/907047216.db2.gz GRCYAWUIGSDHKX-UHFFFAOYSA-N 1 2 318.845 1.620 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)C1(COC)CCOCC1 ZINC001372329605 907047225 /nfs/dbraw/zinc/04/72/25/907047225.db2.gz GRCYAWUIGSDHKX-UHFFFAOYSA-N 1 2 318.845 1.620 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]([NH2+]Cc2nc(N(C)C)no2)C[C@H]1C ZINC001283969348 908249006 /nfs/dbraw/zinc/24/90/06/908249006.db2.gz NWVPLWWPBPVHRF-CHWSQXEVSA-N 1 2 319.409 1.018 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@H+](Cc2ncc(C)o2)[C@H]1C ZINC001284127754 908518823 /nfs/dbraw/zinc/51/88/23/908518823.db2.gz FBOUPJNNOUTGBK-GJZGRUSLSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@@H+](Cc2ncc(C)o2)[C@H]1C ZINC001284127754 908518829 /nfs/dbraw/zinc/51/88/29/908518829.db2.gz FBOUPJNNOUTGBK-GJZGRUSLSA-N 1 2 319.405 1.492 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001284204940 908642933 /nfs/dbraw/zinc/64/29/33/908642933.db2.gz AZKVAVGZAWUNLE-CYBMUJFWSA-N 1 2 318.421 1.349 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)sn1 ZINC001394292346 909281014 /nfs/dbraw/zinc/28/10/14/909281014.db2.gz QXOVOKQJZOUQND-ZYHUDNBSSA-N 1 2 322.434 1.638 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)sn1 ZINC001394292346 909281023 /nfs/dbraw/zinc/28/10/23/909281023.db2.gz QXOVOKQJZOUQND-ZYHUDNBSSA-N 1 2 322.434 1.638 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)Cc1c[nH+]c[nH]1 ZINC001285007847 909931985 /nfs/dbraw/zinc/93/19/85/909931985.db2.gz VFMKDWZWOVAFGY-SNPRPXQTSA-N 1 2 316.405 1.366 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001285022301 909951231 /nfs/dbraw/zinc/95/12/31/909951231.db2.gz AGNZXRFZULQUPA-CQSZACIVSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H](OCC)[C@H]2CCOC2)C1 ZINC001373528490 910093893 /nfs/dbraw/zinc/09/38/93/910093893.db2.gz WSQCZYLDAZKXNK-KBPBESRZSA-N 1 2 316.829 1.229 20 30 DDEDLO C=CCCCC(=O)N(C)CCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001285382967 910445177 /nfs/dbraw/zinc/44/51/77/910445177.db2.gz OWKDECZYWVCFJW-UHFFFAOYSA-N 1 2 306.410 1.534 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](C)CNC(=O)CCc1[nH]cc[nH+]1 ZINC001285690860 911043933 /nfs/dbraw/zinc/04/39/33/911043933.db2.gz WTFKZYBCVHVKSL-WBMJQRKESA-N 1 2 306.410 1.566 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CNC(=O)CCc2[nH+]ccn2C)C1 ZINC001285776826 911191535 /nfs/dbraw/zinc/19/15/35/911191535.db2.gz HUPFCQYVSXOKHQ-ZDUSSCGKSA-N 1 2 318.421 1.330 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C(C)(C)C1 ZINC001286237334 911869390 /nfs/dbraw/zinc/86/93/90/911869390.db2.gz PJLSFIQSFVUNHA-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001294748626 915337647 /nfs/dbraw/zinc/33/76/47/915337647.db2.gz OVKKNIWSRMAUKA-LSDHHAIUSA-N 1 2 318.421 1.908 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C2CC2)C1 ZINC001294922225 915463487 /nfs/dbraw/zinc/46/34/87/915463487.db2.gz DIYRVMUXBMPFHR-CYBMUJFWSA-N 1 2 316.405 1.320 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@H](C)C#N)CC[N@@H+]1Cc1nn(CC)nc1C ZINC001375605081 916451233 /nfs/dbraw/zinc/45/12/33/916451233.db2.gz PHHGREBQEDIVGP-OSAQELSMSA-N 1 2 318.425 1.235 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@H](C)C#N)CC[N@H+]1Cc1nn(CC)nc1C ZINC001375605081 916451241 /nfs/dbraw/zinc/45/12/41/916451241.db2.gz PHHGREBQEDIVGP-OSAQELSMSA-N 1 2 318.425 1.235 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](CN(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001296864234 916604605 /nfs/dbraw/zinc/60/46/05/916604605.db2.gz BTJBTLUCYOUKJK-CYBMUJFWSA-N 1 2 318.421 1.471 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001376033123 917521950 /nfs/dbraw/zinc/52/19/50/917521950.db2.gz RCUPCPZRHSAIIF-SWLSCSKDSA-N 1 2 319.380 1.813 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001376033123 917521958 /nfs/dbraw/zinc/52/19/58/917521958.db2.gz RCUPCPZRHSAIIF-SWLSCSKDSA-N 1 2 319.380 1.813 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)C#N)C1 ZINC001376039099 917539064 /nfs/dbraw/zinc/53/90/64/917539064.db2.gz TWXGEKORKYYKAK-CHWSQXEVSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H](C)C#N)C1 ZINC001376039099 917539080 /nfs/dbraw/zinc/53/90/80/917539080.db2.gz TWXGEKORKYYKAK-CHWSQXEVSA-N 1 2 308.426 1.031 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2c(C)nc[nH]c2=O)C1 ZINC001376128541 917751061 /nfs/dbraw/zinc/75/10/61/917751061.db2.gz BDGPPPXQFMZJJA-LBPRGKRZSA-N 1 2 324.812 1.366 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2c(C)nc[nH]c2=O)C1 ZINC001376128541 917751068 /nfs/dbraw/zinc/75/10/68/917751068.db2.gz BDGPPPXQFMZJJA-LBPRGKRZSA-N 1 2 324.812 1.366 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)CC(F)(F)F)C1 ZINC001376464501 918551707 /nfs/dbraw/zinc/55/17/07/918551707.db2.gz URLRIHQJZMBVAG-NSHDSACASA-N 1 2 314.735 1.634 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)CC(F)(F)F)C1 ZINC001376464501 918551713 /nfs/dbraw/zinc/55/17/13/918551713.db2.gz URLRIHQJZMBVAG-NSHDSACASA-N 1 2 314.735 1.634 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)[C@H](C)C(C)C)C1 ZINC001376494431 918618571 /nfs/dbraw/zinc/61/85/71/918618571.db2.gz QSDMUCGHFUOCLA-UKRRQHHQSA-N 1 2 302.846 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)[C@H](C)C(C)C)C1 ZINC001376494431 918618580 /nfs/dbraw/zinc/61/85/80/918618580.db2.gz QSDMUCGHFUOCLA-UKRRQHHQSA-N 1 2 302.846 1.974 20 30 DDEDLO C=CCN(C)C(=O)C(=O)NC[C@@H](c1ccccc1Cl)[NH+](C)C ZINC000283272631 222601734 /nfs/dbraw/zinc/60/17/34/222601734.db2.gz FZUQFUFAKBMNKY-AWEZNQCLSA-N 1 2 323.824 1.703 20 30 DDEDLO C[C@H]1C[NH+]=C(N2CCN(C(=O)C#Cc3ccccc3)CC2)S1 ZINC000081839300 192330787 /nfs/dbraw/zinc/33/07/87/192330787.db2.gz MDWKZZLZXMXFBK-AWEZNQCLSA-N 1 2 313.426 1.674 20 30 DDEDLO C[N@H+](Cc1cnccn1)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000083206613 185219370 /nfs/dbraw/zinc/21/93/70/185219370.db2.gz UODYPUPQFSKLJC-CYBMUJFWSA-N 1 2 313.386 1.647 20 30 DDEDLO C[N@@H+](Cc1cnccn1)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000083206613 185219371 /nfs/dbraw/zinc/21/93/71/185219371.db2.gz UODYPUPQFSKLJC-CYBMUJFWSA-N 1 2 313.386 1.647 20 30 DDEDLO C[C@H]1C[C@@H](O)C[N@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000452072449 231291073 /nfs/dbraw/zinc/29/10/73/231291073.db2.gz WTOZHQBGKHJELV-QWHCGFSZSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@H]1C[C@@H](O)C[N@@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000452072449 231291076 /nfs/dbraw/zinc/29/10/76/231291076.db2.gz WTOZHQBGKHJELV-QWHCGFSZSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@@H]1COCC[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000328670398 231299923 /nfs/dbraw/zinc/29/99/23/231299923.db2.gz GLASJYAXVQOVAB-WCQYABFASA-N 1 2 305.403 1.693 20 30 DDEDLO C[C@@H]1COCC[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000328670398 231299927 /nfs/dbraw/zinc/29/99/27/231299927.db2.gz GLASJYAXVQOVAB-WCQYABFASA-N 1 2 305.403 1.693 20 30 DDEDLO CCc1cncc(C(=O)NCC[NH+]2CCN(C(C)=O)CC2)c1 ZINC000330982285 529665027 /nfs/dbraw/zinc/66/50/27/529665027.db2.gz JMXFWQSQMGFFBA-UHFFFAOYSA-N 1 2 304.394 1.113 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N[C@H]2CCC(=O)NC2(C)C)c[nH+]1 ZINC000330425502 529778774 /nfs/dbraw/zinc/77/87/74/529778774.db2.gz GGAYPBATTKBQLV-LBPRGKRZSA-N 1 2 319.409 1.208 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NCc3coc4ccccc34)C[C@H]21 ZINC000329959591 529785076 /nfs/dbraw/zinc/78/50/76/529785076.db2.gz RFKNSSGTECXSMB-GDBMZVCRSA-N 1 2 315.373 1.862 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NCc3coc4ccccc34)C[C@H]21 ZINC000329959591 529785077 /nfs/dbraw/zinc/78/50/77/529785077.db2.gz RFKNSSGTECXSMB-GDBMZVCRSA-N 1 2 315.373 1.862 20 30 DDEDLO CN1CCO[C@@H]2C[N@H+](CC(=O)NC(=O)Nc3ccccc3)C[C@H]21 ZINC000329974922 529786832 /nfs/dbraw/zinc/78/68/32/529786832.db2.gz XTSQDCDGEWJLQB-ZIAGYGMSSA-N 1 2 318.377 1.203 20 30 DDEDLO CN1CCO[C@@H]2C[N@@H+](CC(=O)NC(=O)Nc3ccccc3)C[C@H]21 ZINC000329974922 529786833 /nfs/dbraw/zinc/78/68/33/529786833.db2.gz XTSQDCDGEWJLQB-ZIAGYGMSSA-N 1 2 318.377 1.203 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CC(C)(C)[C@@H]2[C@H]2CCCO2)C1 ZINC000329639495 529788140 /nfs/dbraw/zinc/78/81/40/529788140.db2.gz ZHCRBOVOCALNAU-MCIONIFRSA-N 1 2 311.426 1.120 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CC(C)(C)[C@@H]2[C@H]2CCCO2)C1 ZINC000329639495 529788142 /nfs/dbraw/zinc/78/81/42/529788142.db2.gz ZHCRBOVOCALNAU-MCIONIFRSA-N 1 2 311.426 1.120 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CSCc1ccc2c(c1)OCO2 ZINC000414125108 529868809 /nfs/dbraw/zinc/86/88/09/529868809.db2.gz MBRFYNPUNIXHJN-ZDUSSCGKSA-N 1 2 308.403 1.855 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CSCc1ccc2c(c1)OCO2 ZINC000414125108 529868810 /nfs/dbraw/zinc/86/88/10/529868810.db2.gz MBRFYNPUNIXHJN-ZDUSSCGKSA-N 1 2 308.403 1.855 20 30 DDEDLO C[NH2+]Cc1noc([C@H]2CCN(c3ccc(C#N)cc3F)C2)n1 ZINC000414646740 530013038 /nfs/dbraw/zinc/01/30/38/530013038.db2.gz RZUINFBQNNCNKW-NSHDSACASA-N 1 2 301.325 1.794 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCOC[C@@H]1c1ccc(F)cc1 ZINC000451798674 282395452 /nfs/dbraw/zinc/39/54/52/282395452.db2.gz MDSNTLHDZDKAEB-MRXNPFEDSA-N 1 2 319.380 1.961 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCOC[C@@H]1c1ccc(F)cc1 ZINC000451798674 282395453 /nfs/dbraw/zinc/39/54/53/282395453.db2.gz MDSNTLHDZDKAEB-MRXNPFEDSA-N 1 2 319.380 1.961 20 30 DDEDLO Cc1ccnc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)c1 ZINC000616761029 362807850 /nfs/dbraw/zinc/80/78/50/362807850.db2.gz YZWLDQFXZHSFBL-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)c1 ZINC000616761029 362807859 /nfs/dbraw/zinc/80/78/59/362807859.db2.gz YZWLDQFXZHSFBL-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@H](c1[nH+]ccn1C)C(C)(C)CO)OCC ZINC000616864841 362851493 /nfs/dbraw/zinc/85/14/93/362851493.db2.gz MHVFSAFKURDSGQ-UKRRQHHQSA-N 1 2 323.437 1.967 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000175682144 186187833 /nfs/dbraw/zinc/18/78/33/186187833.db2.gz RMDSWDBBBVJJTM-CYBMUJFWSA-N 1 2 309.373 1.800 20 30 DDEDLO C=CC[C@@H](C)NC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000172056548 198032320 /nfs/dbraw/zinc/03/23/20/198032320.db2.gz ASTZTUWZXUIKTH-CYBMUJFWSA-N 1 2 304.394 1.682 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CCC[C@@H](OCCO)C2)c1C ZINC000172299500 198073903 /nfs/dbraw/zinc/07/39/03/198073903.db2.gz VSYNYIZNNSDXAA-MRXNPFEDSA-N 1 2 320.433 1.947 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CCC[C@@H](OCCO)C2)c1C ZINC000172299500 198073904 /nfs/dbraw/zinc/07/39/04/198073904.db2.gz VSYNYIZNNSDXAA-MRXNPFEDSA-N 1 2 320.433 1.947 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000007923877 352129390 /nfs/dbraw/zinc/12/93/90/352129390.db2.gz XGQJPPCXJBYBIO-CYBMUJFWSA-N 1 2 308.426 1.174 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000007923877 352129391 /nfs/dbraw/zinc/12/93/91/352129391.db2.gz XGQJPPCXJBYBIO-CYBMUJFWSA-N 1 2 308.426 1.174 20 30 DDEDLO Cc1cc(C)n(CCC/[NH+]=C/c2c(O)c(C)ncc2CO)n1 ZINC000005110797 352113433 /nfs/dbraw/zinc/11/34/33/352113433.db2.gz FXHLHJRQZXLPST-RQZCQDPDSA-N 1 2 302.378 1.910 20 30 DDEDLO Cc1nc(C(=O)N2CC[NH+](Cc3ccncc3)CC2)ccc1C#N ZINC000028874841 352232435 /nfs/dbraw/zinc/23/24/35/352232435.db2.gz WOFYQTYBVJSJGO-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2csc(CCC)n2)CC1 ZINC000043609025 352370216 /nfs/dbraw/zinc/37/02/16/352370216.db2.gz JOKQEHPSAKMDHX-UHFFFAOYSA-N 1 2 322.478 1.515 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)[C@@H](C)Oc1ccc(C#N)cc1 ZINC000046886511 352469015 /nfs/dbraw/zinc/46/90/15/352469015.db2.gz UGHIOUBRPIJDKW-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO Cc1cc([C@H]2CCC[N@@H+]2CC(=O)N[C@@](C)(C#N)C2CC2)on1 ZINC000052008871 352598716 /nfs/dbraw/zinc/59/87/16/352598716.db2.gz PDDVBQXPNUQQCE-CJNGLKHVSA-N 1 2 302.378 1.928 20 30 DDEDLO Cc1cc([C@H]2CCC[N@H+]2CC(=O)N[C@@](C)(C#N)C2CC2)on1 ZINC000052008871 352598718 /nfs/dbraw/zinc/59/87/18/352598718.db2.gz PDDVBQXPNUQQCE-CJNGLKHVSA-N 1 2 302.378 1.928 20 30 DDEDLO COC(=O)N1CC[NH+](CCC(=O)Nc2sccc2C#N)CC1 ZINC000053372994 352651063 /nfs/dbraw/zinc/65/10/63/352651063.db2.gz PBWWSJQBHCMWIL-UHFFFAOYSA-N 1 2 322.390 1.332 20 30 DDEDLO CC[C@@H](C)[N@H+](C)CCNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000066126245 352979416 /nfs/dbraw/zinc/97/94/16/352979416.db2.gz KCMLOLPHIHVRBG-SNVBAGLBSA-N 1 2 322.434 1.841 20 30 DDEDLO CC[C@@H](C)[N@@H+](C)CCNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000066126245 352979417 /nfs/dbraw/zinc/97/94/17/352979417.db2.gz KCMLOLPHIHVRBG-SNVBAGLBSA-N 1 2 322.434 1.841 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(Cl)cn2)CC1 ZINC000066869269 353020503 /nfs/dbraw/zinc/02/05/03/353020503.db2.gz FJKUSWGLYMYJJE-LBPRGKRZSA-N 1 2 308.813 1.548 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CC(N)=O)CC2)cc1C(F)(F)F ZINC000067348372 353044839 /nfs/dbraw/zinc/04/48/39/353044839.db2.gz RHFFDVFVVDMADI-UHFFFAOYSA-N 1 2 312.295 1.184 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(C(=O)C(C)(C)C)CC1 ZINC000075636228 353373184 /nfs/dbraw/zinc/37/31/84/353373184.db2.gz PIWWYUSXQUDWBV-HNNXBMFYSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(C(=O)N(C)C)CC1 ZINC000075699606 353376457 /nfs/dbraw/zinc/37/64/57/353376457.db2.gz GTECVXKWFOPJDR-AWEZNQCLSA-N 1 2 310.442 1.099 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](CC(=O)OC)C1CCOCC1 ZINC000076118179 353400484 /nfs/dbraw/zinc/40/04/84/353400484.db2.gz AHRKAKRVSMEWOX-UHFFFAOYSA-N 1 2 312.410 1.065 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](CC(=O)OC)C1CCOCC1 ZINC000076118179 353400485 /nfs/dbraw/zinc/40/04/85/353400485.db2.gz AHRKAKRVSMEWOX-UHFFFAOYSA-N 1 2 312.410 1.065 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](C2CCCCC2)C[C@@H]1C(=O)OC ZINC000076179764 353403299 /nfs/dbraw/zinc/40/32/99/353403299.db2.gz KWDXSJPOMSMKNY-HUUCEWRRSA-N 1 2 308.422 1.732 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](C2CCCCC2)C[C@@H]1C(=O)OC ZINC000076179764 353403301 /nfs/dbraw/zinc/40/33/01/353403301.db2.gz KWDXSJPOMSMKNY-HUUCEWRRSA-N 1 2 308.422 1.732 20 30 DDEDLO Cc1ncoc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000081112032 353652824 /nfs/dbraw/zinc/65/28/24/353652824.db2.gz UVIBDNSENSWLPV-UHFFFAOYSA-N 1 2 310.357 1.813 20 30 DDEDLO CC[N@H+](CCC#N)[C@@H](C)C(=O)NCc1ccc(OC)c(OC)c1 ZINC000081040500 353648431 /nfs/dbraw/zinc/64/84/31/353648431.db2.gz DXYZQMDRQSMIOO-ZDUSSCGKSA-N 1 2 319.405 1.944 20 30 DDEDLO CC[N@@H+](CCC#N)[C@@H](C)C(=O)NCc1ccc(OC)c(OC)c1 ZINC000081040500 353648433 /nfs/dbraw/zinc/64/84/33/353648433.db2.gz DXYZQMDRQSMIOO-ZDUSSCGKSA-N 1 2 319.405 1.944 20 30 DDEDLO CN(C)c1cccc(CN(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000081158173 353654993 /nfs/dbraw/zinc/65/49/93/353654993.db2.gz FPDBQSIOIGZBFB-UHFFFAOYSA-N 1 2 316.449 1.800 20 30 DDEDLO CN(C)c1cccc(C[N@H+](CCC#N)CCN2CCOCC2)c1 ZINC000081158173 353654995 /nfs/dbraw/zinc/65/49/95/353654995.db2.gz FPDBQSIOIGZBFB-UHFFFAOYSA-N 1 2 316.449 1.800 20 30 DDEDLO CN(C)c1cccc(C[N@@H+](CCC#N)CCN2CCOCC2)c1 ZINC000081158173 353654997 /nfs/dbraw/zinc/65/49/97/353654997.db2.gz FPDBQSIOIGZBFB-UHFFFAOYSA-N 1 2 316.449 1.800 20 30 DDEDLO N#Cc1cnn2c1NC[C@H](CNc1ccc(N3CCCC3)c[nH+]1)C2 ZINC000107497382 353945573 /nfs/dbraw/zinc/94/55/73/353945573.db2.gz YAPJILFOXMYRHI-ZDUSSCGKSA-N 1 2 323.404 1.904 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3OCC#N)C[C@H]21 ZINC000225464560 354317938 /nfs/dbraw/zinc/31/79/38/354317938.db2.gz ZMEIXLNAPXWBFB-GDBMZVCRSA-N 1 2 315.373 1.134 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3OCC#N)C[C@H]21 ZINC000225464560 354317941 /nfs/dbraw/zinc/31/79/41/354317941.db2.gz ZMEIXLNAPXWBFB-GDBMZVCRSA-N 1 2 315.373 1.134 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C[C@@H](O)COc1ccccc1C#N)C(C)C ZINC000314621501 354486935 /nfs/dbraw/zinc/48/69/35/354486935.db2.gz WRRBQUQBNHBICC-HIFRSBDPSA-N 1 2 306.362 1.085 20 30 DDEDLO Cc1ccc(NC(=O)N(CC2CC2)C[C@H]2C[N@H+](C)CCO2)cn1 ZINC000328642542 354557271 /nfs/dbraw/zinc/55/72/71/354557271.db2.gz RCFHDHSHQCKYEW-MRXNPFEDSA-N 1 2 318.421 1.978 20 30 DDEDLO Cc1ccc(NC(=O)N(CC2CC2)C[C@H]2C[N@@H+](C)CCO2)cn1 ZINC000328642542 354557273 /nfs/dbraw/zinc/55/72/73/354557273.db2.gz RCFHDHSHQCKYEW-MRXNPFEDSA-N 1 2 318.421 1.978 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnc(-c2ccncc2)s1 ZINC000578753813 354711890 /nfs/dbraw/zinc/71/18/90/354711890.db2.gz HFAJHKDAIWYGSR-OAHLLOKOSA-N 1 2 315.402 1.779 20 30 DDEDLO COC(=O)c1oc([C@@H](C)[NH+]2CCN(C(=O)CC#N)CC2)cc1C ZINC000581020441 354729296 /nfs/dbraw/zinc/72/92/96/354729296.db2.gz XEZODYQZYZYNAS-GFCCVEGCSA-N 1 2 319.361 1.494 20 30 DDEDLO Cc1cc(C#N)cc(N(CC2CC2)C[C@@H]2C[N@H+](C)CCO2)n1 ZINC000588026447 354895813 /nfs/dbraw/zinc/89/58/13/354895813.db2.gz XRZJNGMYUSJLID-INIZCTEOSA-N 1 2 300.406 1.809 20 30 DDEDLO Cc1cc(C#N)cc(N(CC2CC2)C[C@@H]2C[N@@H+](C)CCO2)n1 ZINC000588026447 354895819 /nfs/dbraw/zinc/89/58/19/354895819.db2.gz XRZJNGMYUSJLID-INIZCTEOSA-N 1 2 300.406 1.809 20 30 DDEDLO Cc1ncc(S(=O)(=O)Nc2cc[nH+]c(C)c2Cl)cc1C#N ZINC000589976704 355036085 /nfs/dbraw/zinc/03/60/85/355036085.db2.gz IMWPNZHOCJJLER-UHFFFAOYSA-N 1 2 322.777 1.841 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3oc(C4CC4)nc3C#N)CC2)ncn1 ZINC000589984946 355036959 /nfs/dbraw/zinc/03/69/59/355036959.db2.gz NUNPUDZFKXTLGW-UHFFFAOYSA-N 1 2 324.388 1.844 20 30 DDEDLO CC[C@](C)([NH2+]C[C@@H](O)COc1ccc(CC#N)cc1)C(=O)OC ZINC000589989994 355037647 /nfs/dbraw/zinc/03/76/47/355037647.db2.gz AFRZCWURUBBEKN-PBHICJAKSA-N 1 2 320.389 1.424 20 30 DDEDLO N#CC1(CC(=O)NC[C@H]2C[N@H+](Cc3ccccc3)CCO2)CC1 ZINC000590133058 355053082 /nfs/dbraw/zinc/05/30/82/355053082.db2.gz CNWZVPKQNKRVQL-INIZCTEOSA-N 1 2 313.401 1.697 20 30 DDEDLO N#CC1(CC(=O)NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)CC1 ZINC000590133058 355053084 /nfs/dbraw/zinc/05/30/84/355053084.db2.gz CNWZVPKQNKRVQL-INIZCTEOSA-N 1 2 313.401 1.697 20 30 DDEDLO C[C@@H]1CCc2ccccc2N1C(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592145308 355510650 /nfs/dbraw/zinc/51/06/50/355510650.db2.gz SCBUWBOTJDDHKP-KDOFPFPSSA-N 1 2 313.401 1.705 20 30 DDEDLO C[C@@H]1CCc2ccccc2N1C(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592145308 355510653 /nfs/dbraw/zinc/51/06/53/355510653.db2.gz SCBUWBOTJDDHKP-KDOFPFPSSA-N 1 2 313.401 1.705 20 30 DDEDLO CC(C)[C@H](NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1)c1ccccc1 ZINC000592146336 355511918 /nfs/dbraw/zinc/51/19/18/355511918.db2.gz BQZSKXMUMCLKHV-ZWKOTPCHSA-N 1 2 315.417 1.850 20 30 DDEDLO CC(C)[C@H](NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1)c1ccccc1 ZINC000592146336 355511922 /nfs/dbraw/zinc/51/19/22/355511922.db2.gz BQZSKXMUMCLKHV-ZWKOTPCHSA-N 1 2 315.417 1.850 20 30 DDEDLO COC(=O)c1ccc(Cl)c(C[N@@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592150697 355517819 /nfs/dbraw/zinc/51/78/19/355517819.db2.gz GLZXJHGXFYVQAW-HNNXBMFYSA-N 1 2 308.765 1.977 20 30 DDEDLO COC(=O)c1ccc(Cl)c(C[N@H+]2CC[C@@](O)(CC#N)C2)c1 ZINC000592150697 355517823 /nfs/dbraw/zinc/51/78/23/355517823.db2.gz GLZXJHGXFYVQAW-HNNXBMFYSA-N 1 2 308.765 1.977 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@@H]2CCCN(c3cccc(F)c3)C2=O)C1 ZINC000592151144 355517933 /nfs/dbraw/zinc/51/79/33/355517933.db2.gz MUQJQWAOSCQRBL-WBVHZDCISA-N 1 2 317.364 1.672 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@@H]2CCCN(c3cccc(F)c3)C2=O)C1 ZINC000592151144 355517937 /nfs/dbraw/zinc/51/79/37/355517937.db2.gz MUQJQWAOSCQRBL-WBVHZDCISA-N 1 2 317.364 1.672 20 30 DDEDLO Cc1onc(CC(=O)NCCc2cn3c(cccc3C)[nH+]2)c1C#N ZINC000592937420 355745892 /nfs/dbraw/zinc/74/58/92/355745892.db2.gz AZHBLPLZYFMAGU-UHFFFAOYSA-N 1 2 323.356 1.712 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](CC(=O)OC(C)(C)C)CC2CC2)C1=O ZINC000593070779 355786374 /nfs/dbraw/zinc/78/63/74/355786374.db2.gz MANJPECYGFEJEV-CQSZACIVSA-N 1 2 308.422 1.827 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](CC(=O)OC(C)(C)C)CC2CC2)C1=O ZINC000593070779 355786376 /nfs/dbraw/zinc/78/63/76/355786376.db2.gz MANJPECYGFEJEV-CQSZACIVSA-N 1 2 308.422 1.827 20 30 DDEDLO Cn1ccc(N2CCC[C@@H]([N@@H+]3Cc4cccc(C#N)c4C3)C2=O)n1 ZINC000593121179 355806319 /nfs/dbraw/zinc/80/63/19/355806319.db2.gz YJVLREBYUNQBJC-MRXNPFEDSA-N 1 2 321.384 1.803 20 30 DDEDLO Cn1ccc(N2CCC[C@@H]([N@H+]3Cc4cccc(C#N)c4C3)C2=O)n1 ZINC000593121179 355806321 /nfs/dbraw/zinc/80/63/21/355806321.db2.gz YJVLREBYUNQBJC-MRXNPFEDSA-N 1 2 321.384 1.803 20 30 DDEDLO Cc1[nH]nc2nc(C)cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c12 ZINC000593154391 355812570 /nfs/dbraw/zinc/81/25/70/355812570.db2.gz JLGMVLHTZHZDEA-HNNXBMFYSA-N 1 2 300.366 1.148 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cnn(-c2ccc(F)cc2)c1 ZINC000593153218 355812924 /nfs/dbraw/zinc/81/29/24/355812924.db2.gz DRNHBYUEYLLZPZ-MRXNPFEDSA-N 1 2 315.352 1.585 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@H]2C[C@@H]([NH+](C)C)C2)cc1C#N ZINC000594405305 356199059 /nfs/dbraw/zinc/19/90/59/356199059.db2.gz XGPKIWLVDWHVGK-JGZJWPJOSA-N 1 2 320.418 1.451 20 30 DDEDLO Cc1cn2ccc(NC(=O)C(=O)NCC3(CC#N)CC3)cc2[nH+]1 ZINC000595027963 356374443 /nfs/dbraw/zinc/37/44/43/356374443.db2.gz RBYMBOUSPITEDM-UHFFFAOYSA-N 1 2 311.345 1.391 20 30 DDEDLO C[N@@H+]1CCc2nc(NC(=O)c3cc4n(n3)CCCO4)sc2C1 ZINC000330353821 283174821 /nfs/dbraw/zinc/17/48/21/283174821.db2.gz OWTSSUUTBWZKLP-UHFFFAOYSA-N 1 2 319.390 1.746 20 30 DDEDLO C[N@H+]1CCc2nc(NC(=O)c3cc4n(n3)CCCO4)sc2C1 ZINC000330353821 283174824 /nfs/dbraw/zinc/17/48/24/283174824.db2.gz OWTSSUUTBWZKLP-UHFFFAOYSA-N 1 2 319.390 1.746 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CC(=O)Nc2nnc(-c3ccco3)o2)C1 ZINC000595620707 356581339 /nfs/dbraw/zinc/58/13/39/356581339.db2.gz PEAJQZXRYOUGJI-NSHDSACASA-N 1 2 315.333 1.894 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CC(=O)Nc2nnc(-c3ccco3)o2)C1 ZINC000595620707 356581341 /nfs/dbraw/zinc/58/13/41/356581341.db2.gz PEAJQZXRYOUGJI-NSHDSACASA-N 1 2 315.333 1.894 20 30 DDEDLO C[C@H](NC(=O)NCc1ccc(C#N)cc1F)c1[nH+]ccn1C ZINC000595845176 356674627 /nfs/dbraw/zinc/67/46/27/356674627.db2.gz LBDJFIAROWEAGD-JTQLQIEISA-N 1 2 301.325 1.991 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(N)=O ZINC000459427057 283212611 /nfs/dbraw/zinc/21/26/11/283212611.db2.gz JLQNWOCDTBLMTC-SLEUVZQESA-N 1 2 314.345 1.552 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(N)=O ZINC000459427057 283212614 /nfs/dbraw/zinc/21/26/14/283212614.db2.gz JLQNWOCDTBLMTC-SLEUVZQESA-N 1 2 314.345 1.552 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(C[C@@H]2C[C@H]3C[C@H]3C2)c1=O ZINC000596023557 356748203 /nfs/dbraw/zinc/74/82/03/356748203.db2.gz ZJGXSKXKWMZMCM-RBVVOMGSSA-N 1 2 313.401 1.598 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1nc(C#N)c(Cl)s1 ZINC000596232656 356826018 /nfs/dbraw/zinc/82/60/18/356826018.db2.gz RGMXMRQSXHMSMX-ZJUUUORDSA-N 1 2 312.826 1.968 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2c(C#N)cccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000596426872 356876458 /nfs/dbraw/zinc/87/64/58/356876458.db2.gz CKLNCVMXBGHNFY-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2c(C#N)cccc2[N+](=O)[O-])[C@H](C)CO1 ZINC000596426872 356876464 /nfs/dbraw/zinc/87/64/64/356876464.db2.gz CKLNCVMXBGHNFY-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNc1nc2c(cc1C#N)CCC2 ZINC000596433322 356878891 /nfs/dbraw/zinc/87/88/91/356878891.db2.gz UDABXBVGSSZADR-OLZOCXBDSA-N 1 2 300.406 1.963 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNc1nc2c(cc1C#N)CCC2 ZINC000596433322 356878892 /nfs/dbraw/zinc/87/88/92/356878892.db2.gz UDABXBVGSSZADR-OLZOCXBDSA-N 1 2 300.406 1.963 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1cccc(C#N)c1 ZINC000596453355 356884260 /nfs/dbraw/zinc/88/42/60/356884260.db2.gz JYBMATHIPIVEKV-OLZOCXBDSA-N 1 2 302.378 1.789 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1cccc(C#N)c1 ZINC000596453355 356884263 /nfs/dbraw/zinc/88/42/63/356884263.db2.gz JYBMATHIPIVEKV-OLZOCXBDSA-N 1 2 302.378 1.789 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCC2CCC(C#N)CC2)[C@@H](C)CO1 ZINC000596595767 356929628 /nfs/dbraw/zinc/92/96/28/356929628.db2.gz FWJOCXONNQCRQG-QRNKSROTSA-N 1 2 322.453 1.725 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCC2CCC(C#N)CC2)[C@@H](C)CO1 ZINC000596595767 356929630 /nfs/dbraw/zinc/92/96/30/356929630.db2.gz FWJOCXONNQCRQG-QRNKSROTSA-N 1 2 322.453 1.725 20 30 DDEDLO N#CCCN(Cc1cccnc1)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000596965136 357028941 /nfs/dbraw/zinc/02/89/41/357028941.db2.gz REIXDLNUQGJBSK-OAHLLOKOSA-N 1 2 309.373 1.783 20 30 DDEDLO C[C@H](CC#N)C(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000597209854 357093823 /nfs/dbraw/zinc/09/38/23/357093823.db2.gz DOEXKJSYSCHOTP-NVXWUHKLSA-N 1 2 315.417 1.596 20 30 DDEDLO N#Cc1c(F)cccc1C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000597706820 357294927 /nfs/dbraw/zinc/29/49/27/357294927.db2.gz JUQZEQFMGKOXES-ZDUSSCGKSA-N 1 2 317.364 1.634 20 30 DDEDLO N#CCc1cccc(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)c1 ZINC000597709609 357296326 /nfs/dbraw/zinc/29/63/26/357296326.db2.gz JUJJQRCWDBZUFJ-KRWDZBQOSA-N 1 2 313.401 1.689 20 30 DDEDLO CC(C)(C#N)CC(=O)NCc1nc(C[NH+]2CCCCC2)no1 ZINC000597622298 357259525 /nfs/dbraw/zinc/25/95/25/357259525.db2.gz SSVZKJMWIBZVIO-UHFFFAOYSA-N 1 2 305.382 1.612 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1ccc(CC#N)cc1 ZINC000598001308 357417700 /nfs/dbraw/zinc/41/77/00/357417700.db2.gz YZXDPDSCNCRQTE-KGLIPLIRSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1ccc(CC#N)cc1 ZINC000598001308 357417706 /nfs/dbraw/zinc/41/77/06/357417706.db2.gz YZXDPDSCNCRQTE-KGLIPLIRSA-N 1 2 301.390 1.592 20 30 DDEDLO COC1(C)CCN(C(=O)NCC2([NH+]3CCOCC3)CC2)CC1 ZINC000329617692 223002170 /nfs/dbraw/zinc/00/21/70/223002170.db2.gz LSHCLXMWEWMWLK-UHFFFAOYSA-N 1 2 311.426 1.266 20 30 DDEDLO CC(C)c1nnc([C@H]2C[N@H+](C[C@@H](O)CC(C)(C)C#N)CCO2)o1 ZINC000598610941 357652254 /nfs/dbraw/zinc/65/22/54/357652254.db2.gz KSIUNRBUHBBTHT-QWHCGFSZSA-N 1 2 322.409 1.867 20 30 DDEDLO CC(C)c1nnc([C@H]2C[N@@H+](C[C@@H](O)CC(C)(C)C#N)CCO2)o1 ZINC000598610941 357652257 /nfs/dbraw/zinc/65/22/57/357652257.db2.gz KSIUNRBUHBBTHT-QWHCGFSZSA-N 1 2 322.409 1.867 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[NH2+][C@H](C(C)C)C2)cc1C#N ZINC000598569687 357636355 /nfs/dbraw/zinc/63/63/55/357636355.db2.gz CNWDVJGJIPRIQK-LBPRGKRZSA-N 1 2 320.418 1.451 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N(C)C(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000599192817 357846247 /nfs/dbraw/zinc/84/62/47/357846247.db2.gz IGJRZPLHKOEPDR-CZUORRHYSA-N 1 2 316.405 1.591 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N(C)C(=O)NC[C@@H]1COCC[N@H+]1C ZINC000599192817 357846249 /nfs/dbraw/zinc/84/62/49/357846249.db2.gz IGJRZPLHKOEPDR-CZUORRHYSA-N 1 2 316.405 1.591 20 30 DDEDLO CC(C)OCc1nc([C@H](C)[NH2+]C[C@@H](O)CC2(C#N)CC2)no1 ZINC000599424462 357929692 /nfs/dbraw/zinc/92/96/92/357929692.db2.gz QCGWXGTXIZDSKZ-RYUDHWBXSA-N 1 2 308.382 1.700 20 30 DDEDLO N#C[C@H](C1CC1)n1nnc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000601077624 358397846 /nfs/dbraw/zinc/39/78/46/358397846.db2.gz XJIFVINOZICIQP-CVEARBPZSA-N 1 2 324.388 1.721 20 30 DDEDLO N#C[C@H](C1CC1)n1nnc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000601077624 358397849 /nfs/dbraw/zinc/39/78/49/358397849.db2.gz XJIFVINOZICIQP-CVEARBPZSA-N 1 2 324.388 1.721 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccccc1CC#N ZINC000601575449 358608213 /nfs/dbraw/zinc/60/82/13/358608213.db2.gz UTVPJOWNVQGTRN-ZBFHGGJFSA-N 1 2 313.401 1.688 20 30 DDEDLO N#Cc1cc(C(=O)N2CCc3[nH]c[nH+]c3C23CCOCC3)c[nH]1 ZINC000601654037 358639100 /nfs/dbraw/zinc/63/91/00/358639100.db2.gz MLSUQURQGPNEKU-UHFFFAOYSA-N 1 2 311.345 1.314 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2ccccc2CC#N)[C@@H](C)CO1 ZINC000601667071 358645732 /nfs/dbraw/zinc/64/57/32/358645732.db2.gz QAAIHHNWMDADLT-KBPBESRZSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2ccccc2CC#N)[C@@H](C)CO1 ZINC000601667071 358645735 /nfs/dbraw/zinc/64/57/35/358645735.db2.gz QAAIHHNWMDADLT-KBPBESRZSA-N 1 2 301.390 1.592 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H](C[NH+]2CCOCC2)c2ccccc2)c[nH]1 ZINC000181162468 199259352 /nfs/dbraw/zinc/25/93/52/199259352.db2.gz JBJYGJKMRJTHDW-KRWDZBQOSA-N 1 2 324.384 1.690 20 30 DDEDLO CC[C@H](C#N)S(=O)(=O)Nc1cnn(C2CC[NH+](C)CC2)c1 ZINC000601979590 358763913 /nfs/dbraw/zinc/76/39/13/358763913.db2.gz PYSDREAFBZBIMK-CYBMUJFWSA-N 1 2 311.411 1.194 20 30 DDEDLO Cc1cn2ccc(C(=O)NCC3CCN(CC#N)CC3)cc2[nH+]1 ZINC000602108851 358818543 /nfs/dbraw/zinc/81/85/43/358818543.db2.gz JYMNTRPPFHUGFH-UHFFFAOYSA-N 1 2 311.389 1.608 20 30 DDEDLO N#Cc1cccnc1N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CC1 ZINC000602047646 358791268 /nfs/dbraw/zinc/79/12/68/358791268.db2.gz RXLMMUHTTBPFAJ-ZIAGYGMSSA-N 1 2 322.372 1.129 20 30 DDEDLO N#Cc1cccnc1N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC000602047646 358791269 /nfs/dbraw/zinc/79/12/69/358791269.db2.gz RXLMMUHTTBPFAJ-ZIAGYGMSSA-N 1 2 322.372 1.129 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC(Nc2ccccc2C#N)CC1 ZINC000602184800 358870424 /nfs/dbraw/zinc/87/04/24/358870424.db2.gz ZLKICXYIWLFGMY-UHFFFAOYSA-N 1 2 323.400 1.937 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)Cn1cc(C#N)c2ccccc2c1=O ZINC000602420324 358965972 /nfs/dbraw/zinc/96/59/72/358965972.db2.gz CWISTQIFRZIWEF-CQSZACIVSA-N 1 2 310.357 1.080 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)Cn1cc(C#N)c2ccccc2c1=O ZINC000602420324 358965974 /nfs/dbraw/zinc/96/59/74/358965974.db2.gz CWISTQIFRZIWEF-CQSZACIVSA-N 1 2 310.357 1.080 20 30 DDEDLO Cc1ncc([N+](=O)[O-])n1CC[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000602794139 359196573 /nfs/dbraw/zinc/19/65/73/359196573.db2.gz GIMUEALZCVKDIT-CQSZACIVSA-N 1 2 320.397 1.266 20 30 DDEDLO CN1CC[N@H+](Cc2cccc(OCCCC#N)c2)[C@H](CO)C1 ZINC000602716920 359145584 /nfs/dbraw/zinc/14/55/84/359145584.db2.gz VDQYGKDREUSJRF-INIZCTEOSA-N 1 2 303.406 1.477 20 30 DDEDLO CN1CC[N@@H+](Cc2cccc(OCCCC#N)c2)[C@H](CO)C1 ZINC000602716920 359145588 /nfs/dbraw/zinc/14/55/88/359145588.db2.gz VDQYGKDREUSJRF-INIZCTEOSA-N 1 2 303.406 1.477 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@H+](Cc2ccc(C#N)c(OC)c2)CCO1 ZINC000602745094 359162849 /nfs/dbraw/zinc/16/28/49/359162849.db2.gz CYRYPLJSUGNLJE-QGZVFWFLSA-N 1 2 318.373 1.721 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@@H+](Cc2ccc(C#N)c(OC)c2)CCO1 ZINC000602745094 359162855 /nfs/dbraw/zinc/16/28/55/359162855.db2.gz CYRYPLJSUGNLJE-QGZVFWFLSA-N 1 2 318.373 1.721 20 30 DDEDLO C[C@H](NC(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)c1cccs1 ZINC000602856434 359242500 /nfs/dbraw/zinc/24/25/00/359242500.db2.gz JRLMJWONJXXNGH-MJBXVCDLSA-N 1 2 320.462 1.843 20 30 DDEDLO C[C@H](NC(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)c1cccs1 ZINC000602856434 359242503 /nfs/dbraw/zinc/24/25/03/359242503.db2.gz JRLMJWONJXXNGH-MJBXVCDLSA-N 1 2 320.462 1.843 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCCC2=CCCCC2)C[C@H](C)N1CC#N ZINC000602857855 359243983 /nfs/dbraw/zinc/24/39/83/359243983.db2.gz WFXCSBXYCYUGBI-IYBDPMFKSA-N 1 2 318.465 1.911 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCCC2=CCCCC2)C[C@H](C)N1CC#N ZINC000602857855 359243988 /nfs/dbraw/zinc/24/39/88/359243988.db2.gz WFXCSBXYCYUGBI-IYBDPMFKSA-N 1 2 318.465 1.911 20 30 DDEDLO C[C@H]1C[NH+](Cc2nc(-c3ccoc3)no2)C[C@H](C)N1CC#N ZINC000602859844 359245927 /nfs/dbraw/zinc/24/59/27/359245927.db2.gz ZQBLKOLJNVGPRX-RYUDHWBXSA-N 1 2 301.350 1.748 20 30 DDEDLO C[C@@H](NC(=O)[C@H](C)[NH+]1CCN(CCC#N)CC1)c1ccccc1 ZINC000602798613 359200498 /nfs/dbraw/zinc/20/04/98/359200498.db2.gz MDMUQWZFMQTZIQ-CVEARBPZSA-N 1 2 314.433 1.784 20 30 DDEDLO Cc1cc(NC(=O)N2C[C@H](C)N(CC#N)[C@@H](C)C2)c(C)c[nH+]1 ZINC000602922198 359295768 /nfs/dbraw/zinc/29/57/68/359295768.db2.gz LVWLMGVUZDDKEF-KBPBESRZSA-N 1 2 301.394 1.570 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC[C@@H]2c2cn[nH]c2)CCCCC1 ZINC000602881700 359265145 /nfs/dbraw/zinc/26/51/45/359265145.db2.gz ROHDDGWBCGZKKO-CQSZACIVSA-N 1 2 301.394 1.889 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC[C@@H]2c2cn[nH]c2)CCCCC1 ZINC000602881700 359265147 /nfs/dbraw/zinc/26/51/47/359265147.db2.gz ROHDDGWBCGZKKO-CQSZACIVSA-N 1 2 301.394 1.889 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+](C)[C@H]2CCC[C@@H]2C#N)C1 ZINC000602977092 359336154 /nfs/dbraw/zinc/33/61/54/359336154.db2.gz XWZFFLJRMBGJCO-KFWWJZLASA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+](C)[C@H]2CCC[C@@H]2C#N)C1 ZINC000602977092 359336159 /nfs/dbraw/zinc/33/61/59/359336159.db2.gz XWZFFLJRMBGJCO-KFWWJZLASA-N 1 2 321.421 1.412 20 30 DDEDLO COC1CC[NH+](Cc2cn(Cc3ccccc3C#N)nn2)CC1 ZINC000603175076 359457658 /nfs/dbraw/zinc/45/76/58/359457658.db2.gz XBTQWFWUXCREEB-UHFFFAOYSA-N 1 2 311.389 1.809 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCOc2ccccc2[N+](=O)[O-])nn1 ZINC000603199449 359475069 /nfs/dbraw/zinc/47/50/69/359475069.db2.gz AWMQWRANOWFXQK-UHFFFAOYSA-N 1 2 315.333 1.378 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCOc2ccccc2C1 ZINC000603869855 359690619 /nfs/dbraw/zinc/69/06/19/359690619.db2.gz RMINRYJLMOSDFW-LBPRGKRZSA-N 1 2 303.362 1.281 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCOc2ccccc2C1 ZINC000603869855 359690621 /nfs/dbraw/zinc/69/06/21/359690621.db2.gz RMINRYJLMOSDFW-LBPRGKRZSA-N 1 2 303.362 1.281 20 30 DDEDLO O=C(C[N@H+]1C[C@H]2CC[C@@H](C1)O2)NC[C@H]1COc2ccccc2O1 ZINC000329812906 223032903 /nfs/dbraw/zinc/03/29/03/223032903.db2.gz SJOFREZCEHUALL-MJBXVCDLSA-N 1 2 318.373 1.646 20 30 DDEDLO O=C(C[N@@H+]1C[C@H]2CC[C@@H](C1)O2)NC[C@H]1COc2ccccc2O1 ZINC000329812906 223032906 /nfs/dbraw/zinc/03/29/06/223032906.db2.gz SJOFREZCEHUALL-MJBXVCDLSA-N 1 2 318.373 1.646 20 30 DDEDLO C[C@H](NC(=O)c1ccc(C#N)c(O)c1)[C@H](C)[NH+]1CCOCC1 ZINC000188450431 200248677 /nfs/dbraw/zinc/24/86/77/200248677.db2.gz RRFNKKMOORAHFN-RYUDHWBXSA-N 1 2 303.362 1.103 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[NH2+][C@@H]1CCC[C@H]1C#N ZINC000329832140 223035690 /nfs/dbraw/zinc/03/56/90/223035690.db2.gz KHCACBBLMDMKJY-DZGCQCFKSA-N 1 2 303.410 1.347 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNC(=O)C[C@@H]2CCCO2)cn1 ZINC000329922660 223047175 /nfs/dbraw/zinc/04/71/75/223047175.db2.gz GNLSIWFBGGXUCO-KKUMJFAQSA-N 1 2 324.400 1.858 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNC(=O)C[C@@H]2CCCO2)cn1 ZINC000329922660 223047178 /nfs/dbraw/zinc/04/71/78/223047178.db2.gz GNLSIWFBGGXUCO-KKUMJFAQSA-N 1 2 324.400 1.858 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3nc4c(cc3C#N)CCC4)CC2)cn1 ZINC000609258453 360278051 /nfs/dbraw/zinc/27/80/51/360278051.db2.gz WYMDOJFTMJHWBY-UHFFFAOYSA-N 1 2 322.416 1.498 20 30 DDEDLO COC(=O)[C@H]1CCC[N@@H+]1C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000609709309 360347033 /nfs/dbraw/zinc/34/70/33/360347033.db2.gz UVAKQNYMJNYNTD-GDBMZVCRSA-N 1 2 318.373 1.130 20 30 DDEDLO COC(=O)[C@H]1CCC[N@H+]1C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000609709309 360347036 /nfs/dbraw/zinc/34/70/36/360347036.db2.gz UVAKQNYMJNYNTD-GDBMZVCRSA-N 1 2 318.373 1.130 20 30 DDEDLO COC(=O)C(C)(C)[NH2+]C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000609806744 360352490 /nfs/dbraw/zinc/35/24/90/360352490.db2.gz JZNRWAFKWRMYHK-CYBMUJFWSA-N 1 2 306.362 1.034 20 30 DDEDLO C[C@H](c1nc(-c2cccnc2)no1)[NH+]1CCN(CCC#N)CC1 ZINC000611176263 360649510 /nfs/dbraw/zinc/64/95/10/360649510.db2.gz XSRUEADNANNKKC-CYBMUJFWSA-N 1 2 312.377 1.724 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)N(C)CCC#N)C[C@@H](C(F)(F)F)O1 ZINC000611302612 360695361 /nfs/dbraw/zinc/69/53/61/360695361.db2.gz CRQQHEZSZWSOKD-QWRGUYRKSA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)N(C)CCC#N)C[C@@H](C(F)(F)F)O1 ZINC000611302612 360695364 /nfs/dbraw/zinc/69/53/64/360695364.db2.gz CRQQHEZSZWSOKD-QWRGUYRKSA-N 1 2 307.316 1.400 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@H+](Cc3cccc(C#N)c3F)CCN2C1=O ZINC000611372008 360706893 /nfs/dbraw/zinc/70/68/93/360706893.db2.gz CYNVCMXMQRIBRI-CYBMUJFWSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@H]2C[N@@H+](Cc3cccc(C#N)c3F)CCN2C1=O ZINC000611372008 360706895 /nfs/dbraw/zinc/70/68/95/360706895.db2.gz CYNVCMXMQRIBRI-CYBMUJFWSA-N 1 2 316.336 1.166 20 30 DDEDLO CC[C@H](C(N)=O)[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000611552371 360756453 /nfs/dbraw/zinc/75/64/53/360756453.db2.gz XCJDDLRYJBOIMQ-CABCVRRESA-N 1 2 304.369 1.272 20 30 DDEDLO Cc1c(Cl)cnc(N2CC[NH+](CC(=O)N(C)C)CC2)c1C#N ZINC000612066737 360909042 /nfs/dbraw/zinc/90/90/42/360909042.db2.gz ZWXWNCRHLUNXLP-UHFFFAOYSA-N 1 2 321.812 1.125 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[N@@H+]1CCC[C@@](C)(C#N)C1 ZINC000612405563 361000750 /nfs/dbraw/zinc/00/07/50/361000750.db2.gz AGRXSSSPDYQSFW-KRWDZBQOSA-N 1 2 317.437 1.691 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C[N@H+]1CCC[C@@](C)(C#N)C1 ZINC000612405563 361000753 /nfs/dbraw/zinc/00/07/53/361000753.db2.gz AGRXSSSPDYQSFW-KRWDZBQOSA-N 1 2 317.437 1.691 20 30 DDEDLO COc1cnc(NC(=O)NCC[N@@H+]2CCOC(C)(C)C2)s1 ZINC000330723625 223140244 /nfs/dbraw/zinc/14/02/44/223140244.db2.gz IKWWVWPCVBEGDW-UHFFFAOYSA-N 1 2 314.411 1.588 20 30 DDEDLO COc1cnc(NC(=O)NCC[N@H+]2CCOC(C)(C)C2)s1 ZINC000330723625 223140247 /nfs/dbraw/zinc/14/02/47/223140247.db2.gz IKWWVWPCVBEGDW-UHFFFAOYSA-N 1 2 314.411 1.588 20 30 DDEDLO Cn1nc(C(C)(C)C)cc1NC(=O)C[NH2+][C@@H]1CCC[C@H]1C#N ZINC000331356860 223204261 /nfs/dbraw/zinc/20/42/61/223204261.db2.gz SMBAYZOZYTXBBY-NWDGAFQWSA-N 1 2 303.410 1.938 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)N[C@@H]2CC[C@H](C#N)C2)CCN1C ZINC000331795667 223208957 /nfs/dbraw/zinc/20/89/57/223208957.db2.gz VYXOMWOODTYZSA-BFHYXJOUSA-N 1 2 316.409 1.110 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)C1=CCCCCC1 ZINC000332055637 223211265 /nfs/dbraw/zinc/21/12/65/223211265.db2.gz ZGHOMBRBAHUDCI-UHFFFAOYSA-N 1 2 305.422 1.951 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCO[C@@H](C3CCC3)C2)CCCCC1 ZINC000334035888 223225891 /nfs/dbraw/zinc/22/58/91/223225891.db2.gz NHGYQCTVYLNGEI-OAHLLOKOSA-N 1 2 305.422 1.830 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCO[C@@H](C3CCC3)C2)CCCCC1 ZINC000334035888 223225895 /nfs/dbraw/zinc/22/58/95/223225895.db2.gz NHGYQCTVYLNGEI-OAHLLOKOSA-N 1 2 305.422 1.830 20 30 DDEDLO CCn1nccc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000613943666 361637469 /nfs/dbraw/zinc/63/74/69/361637469.db2.gz ZFCCKZBOSJYAMM-UHFFFAOYSA-N 1 2 323.400 1.733 20 30 DDEDLO N#Cc1ccc(CNC(=O)NCCn2cc[nH+]c2)cc1Cl ZINC000619743847 364137640 /nfs/dbraw/zinc/13/76/40/364137640.db2.gz OFVZZIFSFICNLJ-UHFFFAOYSA-N 1 2 303.753 1.908 20 30 DDEDLO C[C@@H]1CC[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)C[C@@H]1F ZINC000625312932 366843812 /nfs/dbraw/zinc/84/38/12/366843812.db2.gz HJNKBJFZNZUOSR-CJNGLKHVSA-N 1 2 314.360 1.966 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)C[C@@H]1F ZINC000625312932 366843815 /nfs/dbraw/zinc/84/38/15/366843815.db2.gz HJNKBJFZNZUOSR-CJNGLKHVSA-N 1 2 314.360 1.966 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@H]2CS(=O)(=O)Cc3ccccc32)C1=O ZINC000621354847 364818386 /nfs/dbraw/zinc/81/83/86/364818386.db2.gz WMMAJEIXNIEEDD-CABCVRRESA-N 1 2 320.414 1.033 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000182915308 335004743 /nfs/dbraw/zinc/00/47/43/335004743.db2.gz XBUTWIGAEMBSDL-CQSZACIVSA-N 1 2 304.394 1.418 20 30 DDEDLO C=CC[N@@H+](C)CC(=O)Nc1cc(C(=O)OC)cc(C(=O)OC)c1 ZINC000275058005 212098854 /nfs/dbraw/zinc/09/88/54/212098854.db2.gz OGUJBJIBNVVFJB-UHFFFAOYSA-N 1 2 320.345 1.316 20 30 DDEDLO C=CC[N@H+](C)CC(=O)Nc1cc(C(=O)OC)cc(C(=O)OC)c1 ZINC000275058005 212098855 /nfs/dbraw/zinc/09/88/55/212098855.db2.gz OGUJBJIBNVVFJB-UHFFFAOYSA-N 1 2 320.345 1.316 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000274823878 211962038 /nfs/dbraw/zinc/96/20/38/211962038.db2.gz QOZFMSAZVMOIPH-BXUZGUMPSA-N 1 2 300.337 1.970 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)cc1 ZINC000267373522 206018769 /nfs/dbraw/zinc/01/87/69/206018769.db2.gz MRPMPEHJMFEDII-CYBMUJFWSA-N 1 2 323.356 1.626 20 30 DDEDLO N#Cc1ncccc1N1CC[NH+](CC(=O)N2CCCCC2)CC1 ZINC000273975589 211231809 /nfs/dbraw/zinc/23/18/09/211231809.db2.gz HBZBYZUOCGARCI-UHFFFAOYSA-N 1 2 313.405 1.088 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCCN(C=O)CC2)cc1Cl ZINC000273012232 210391044 /nfs/dbraw/zinc/39/10/44/210391044.db2.gz VWLUSNOKZXPINJ-UHFFFAOYSA-N 1 2 320.780 1.314 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCCN(C=O)CC2)cc1Cl ZINC000273012232 210391046 /nfs/dbraw/zinc/39/10/46/210391046.db2.gz VWLUSNOKZXPINJ-UHFFFAOYSA-N 1 2 320.780 1.314 20 30 DDEDLO C#CCCCCNC(=O)C(=O)Nc1ccc(-n2cc[nH+]c2)nc1 ZINC000159047279 197321724 /nfs/dbraw/zinc/32/17/24/197321724.db2.gz VKLISNZQSNUPJB-UHFFFAOYSA-N 1 2 311.345 1.126 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cc(C#N)ccc2C)[C@H](C)C1 ZINC000285342579 285326345 /nfs/dbraw/zinc/32/63/45/285326345.db2.gz OYYNAPFWBLGZFP-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cc(C#N)ccc2C)[C@H](C)C1 ZINC000285342579 285326350 /nfs/dbraw/zinc/32/63/50/285326350.db2.gz OYYNAPFWBLGZFP-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO N#CCCCCS(=O)(=O)NCCc1cn2ccccc2[nH+]1 ZINC000104109794 221496485 /nfs/dbraw/zinc/49/64/85/221496485.db2.gz ZBVXITDQPMTHSD-UHFFFAOYSA-N 1 2 306.391 1.490 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(C(=O)C(C)C)CC1 ZINC000331312962 533269867 /nfs/dbraw/zinc/26/98/67/533269867.db2.gz BBEBTONDRKCWAX-UHFFFAOYSA-N 1 2 301.390 1.867 20 30 DDEDLO C[C@@H](C(=O)Nc1ncccn1)[N@@H+]1C[C@@]2(CCOC2)OC(C)(C)C1 ZINC000330160920 533360774 /nfs/dbraw/zinc/36/07/74/533360774.db2.gz SMXYIYANPTWINW-BLLLJJGKSA-N 1 2 320.393 1.723 20 30 DDEDLO C[C@@H](C(=O)Nc1ncccn1)[N@H+]1C[C@@]2(CCOC2)OC(C)(C)C1 ZINC000330160920 533360782 /nfs/dbraw/zinc/36/07/82/533360782.db2.gz SMXYIYANPTWINW-BLLLJJGKSA-N 1 2 320.393 1.723 20 30 DDEDLO C#C[C@H]1CCCCN1C(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001120513607 781997370 /nfs/dbraw/zinc/99/73/70/781997370.db2.gz NHMOSDYRHAQDBN-AWEZNQCLSA-N 1 2 314.389 1.061 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[NH+]1CCC(CO)CC1 ZINC000071955873 406870667 /nfs/dbraw/zinc/87/06/67/406870667.db2.gz PPBGEFGALSGEQC-UHFFFAOYSA-N 1 2 307.781 1.854 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](C)CC(=O)Nc1c(C)cccc1C ZINC000031156056 406937668 /nfs/dbraw/zinc/93/76/68/406937668.db2.gz BYBUIHZYBXPPNA-CQSZACIVSA-N 1 2 301.390 1.312 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](C)CC(=O)Nc1c(C)cccc1C ZINC000031156056 406937669 /nfs/dbraw/zinc/93/76/69/406937669.db2.gz BYBUIHZYBXPPNA-CQSZACIVSA-N 1 2 301.390 1.312 20 30 DDEDLO C=CCOc1ccccc1C(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000047151679 407070530 /nfs/dbraw/zinc/07/05/30/407070530.db2.gz AZUUHHLRCQEUJT-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+](C)CC(=O)Nc1ccccc1 ZINC000047592774 407076324 /nfs/dbraw/zinc/07/63/24/407076324.db2.gz SJSJMWCXJSSFSY-CYBMUJFWSA-N 1 2 302.378 1.317 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+](C)CC(=O)Nc1ccccc1 ZINC000047592774 407076327 /nfs/dbraw/zinc/07/63/27/407076327.db2.gz SJSJMWCXJSSFSY-CYBMUJFWSA-N 1 2 302.378 1.317 20 30 DDEDLO C=CC[N@@H+](CC(=O)N[C@H](C)C(=O)N(C)C)Cc1ccccc1 ZINC000051400829 407139820 /nfs/dbraw/zinc/13/98/20/407139820.db2.gz MFFHQYDWNIVNEL-CQSZACIVSA-N 1 2 303.406 1.268 20 30 DDEDLO C=CC[N@H+](CC(=O)N[C@H](C)C(=O)N(C)C)Cc1ccccc1 ZINC000051400829 407139823 /nfs/dbraw/zinc/13/98/23/407139823.db2.gz MFFHQYDWNIVNEL-CQSZACIVSA-N 1 2 303.406 1.268 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(Cc2ccc(F)cc2C#N)CC1 ZINC000103139006 407331972 /nfs/dbraw/zinc/33/19/72/407331972.db2.gz CLZZKGCHDZQSGJ-UHFFFAOYSA-N 1 2 318.396 1.340 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000106029722 407358402 /nfs/dbraw/zinc/35/84/02/407358402.db2.gz JBKYJGDQTLDKRI-AWEZNQCLSA-N 1 2 306.410 1.046 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000106868996 407364320 /nfs/dbraw/zinc/36/43/20/407364320.db2.gz HLXPKYHDHKVHDG-CYBMUJFWSA-N 1 2 324.425 1.348 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000106868996 407364321 /nfs/dbraw/zinc/36/43/21/407364321.db2.gz HLXPKYHDHKVHDG-CYBMUJFWSA-N 1 2 324.425 1.348 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)NCC[NH+]1CCOCC1 ZINC000124673375 407365539 /nfs/dbraw/zinc/36/55/39/407365539.db2.gz MBJJBGQVLQEUFZ-UHFFFAOYSA-N 1 2 320.389 1.322 20 30 DDEDLO N#Cc1cc(F)ccc1S(=O)(=O)N1CC[NH+](CC2CC2)CC1 ZINC000151840894 407510194 /nfs/dbraw/zinc/51/01/94/407510194.db2.gz YSGMUFWJZWZKBT-UHFFFAOYSA-N 1 2 323.393 1.414 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C(C)(C)C ZINC000152076178 407555225 /nfs/dbraw/zinc/55/52/25/407555225.db2.gz VQBNZFQZBHVVRH-MRXNPFEDSA-N 1 2 311.426 1.704 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C(C)(C)C ZINC000152076178 407555232 /nfs/dbraw/zinc/55/52/32/407555232.db2.gz VQBNZFQZBHVVRH-MRXNPFEDSA-N 1 2 311.426 1.704 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000271201386 407574485 /nfs/dbraw/zinc/57/44/85/407574485.db2.gz WYNSAFYAWVVPIK-UHFFFAOYSA-N 1 2 315.417 1.080 20 30 DDEDLO C#CCOc1ccc(CN2CC[NH+](C(C)(C)C(N)=O)CC2)cc1 ZINC000271201386 407574491 /nfs/dbraw/zinc/57/44/91/407574491.db2.gz WYNSAFYAWVVPIK-UHFFFAOYSA-N 1 2 315.417 1.080 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1C[C@@H](c2ccc(F)cc2)O[C@@H](C)C1 ZINC000266019488 407527354 /nfs/dbraw/zinc/52/73/54/407527354.db2.gz OMLSBEPRINZPTQ-XEZPLFJOSA-N 1 2 304.365 1.725 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1C[C@@H](c2ccc(F)cc2)O[C@@H](C)C1 ZINC000266019488 407527362 /nfs/dbraw/zinc/52/73/62/407527362.db2.gz OMLSBEPRINZPTQ-XEZPLFJOSA-N 1 2 304.365 1.725 20 30 DDEDLO C=CCCS(=O)(=O)NCC(C)(C)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000130028179 407647120 /nfs/dbraw/zinc/64/71/20/407647120.db2.gz BDPFIFYVTBGWGZ-CHWSQXEVSA-N 1 2 304.456 1.370 20 30 DDEDLO N#Cc1ccc(C(=O)NC2CCN(c3cccc[nH+]3)CC2)cn1 ZINC000115028837 407651817 /nfs/dbraw/zinc/65/18/17/407651817.db2.gz LCRDTVJOTBBWEI-UHFFFAOYSA-N 1 2 307.357 1.747 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Nc1cccc(F)c1 ZINC000115171021 407655613 /nfs/dbraw/zinc/65/56/13/407655613.db2.gz IFNQTSDILFFIEP-UHFFFAOYSA-N 1 2 320.368 1.905 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)[nH]1 ZINC000130494568 407695536 /nfs/dbraw/zinc/69/55/36/407695536.db2.gz FJNZAWNGDPILIN-AWEZNQCLSA-N 1 2 302.378 1.213 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1nc(CC(=O)OCC)cs1 ZINC000267252037 407805864 /nfs/dbraw/zinc/80/58/64/407805864.db2.gz GRSMLIYQEHBMDV-UHFFFAOYSA-N 1 2 309.391 1.142 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1nc(CC(=O)OCC)cs1 ZINC000267252037 407805869 /nfs/dbraw/zinc/80/58/69/407805869.db2.gz GRSMLIYQEHBMDV-UHFFFAOYSA-N 1 2 309.391 1.142 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)NCC(=O)Nc1ccccc1CC ZINC000267304540 407827343 /nfs/dbraw/zinc/82/73/43/407827343.db2.gz LBLMIDJOLXELMT-UHFFFAOYSA-N 1 2 301.390 1.259 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)NCC(=O)Nc1ccccc1CC ZINC000267304540 407827346 /nfs/dbraw/zinc/82/73/46/407827346.db2.gz LBLMIDJOLXELMT-UHFFFAOYSA-N 1 2 301.390 1.259 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC(C(F)(F)F)(C(F)(F)F)C1 ZINC000117350735 407831967 /nfs/dbraw/zinc/83/19/67/407831967.db2.gz UWHPDKNQZYHEGS-MRVPVSSYSA-N 1 2 316.245 1.941 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC(C(F)(F)F)(C(F)(F)F)C1 ZINC000117350735 407831973 /nfs/dbraw/zinc/83/19/73/407831973.db2.gz UWHPDKNQZYHEGS-MRVPVSSYSA-N 1 2 316.245 1.941 20 30 DDEDLO C=C1CC[NH+](C[C@@](C)(O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000272299415 407857207 /nfs/dbraw/zinc/85/72/07/407857207.db2.gz QBAQRTAMKKBIAT-MRXNPFEDSA-N 1 2 309.431 1.950 20 30 DDEDLO CCN(C)C(=O)C[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000267992441 407858547 /nfs/dbraw/zinc/85/85/47/407858547.db2.gz JRYWQGXPJARUMY-MRXNPFEDSA-N 1 2 300.406 1.347 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(CC#N)cc3)C[C@@H]21 ZINC000134544937 407907686 /nfs/dbraw/zinc/90/76/86/407907686.db2.gz PKBHWUMBCHXTBO-LSDHHAIUSA-N 1 2 300.362 1.299 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(CC#N)cc3)C[C@@H]21 ZINC000134544937 407907690 /nfs/dbraw/zinc/90/76/90/407907690.db2.gz PKBHWUMBCHXTBO-LSDHHAIUSA-N 1 2 300.362 1.299 20 30 DDEDLO Cn1cc[nH+]c1-c1cccc(NC(=O)C(=O)NCCCC#N)c1 ZINC000273120728 408065899 /nfs/dbraw/zinc/06/58/99/408065899.db2.gz ORRMMQGWVXRWBF-UHFFFAOYSA-N 1 2 311.345 1.446 20 30 DDEDLO C[C@H](O[NH+]=C(N)CCO)C(=O)Nc1ccccc1C(F)(F)F ZINC000136067281 408072468 /nfs/dbraw/zinc/07/24/68/408072468.db2.gz TZLQLTDKEFQBRH-QMMMGPOBSA-N 1 2 319.283 1.704 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cc(C#N)cs1 ZINC000154751857 408079695 /nfs/dbraw/zinc/07/96/95/408079695.db2.gz LOGAIMQKKDWPQE-UHFFFAOYSA-N 1 2 304.419 1.668 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N(C)Cc1ccc(C#N)cc1 ZINC000119605950 408105818 /nfs/dbraw/zinc/10/58/18/408105818.db2.gz AYEBHDWJGAKNRL-UHFFFAOYSA-N 1 2 324.340 1.559 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)Cc1ccc(OCC#N)cc1 ZINC000155003549 408113736 /nfs/dbraw/zinc/11/37/36/408113736.db2.gz JTBJJYAOIPXSGN-UHFFFAOYSA-N 1 2 312.373 1.877 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](C)[C@H](C)[C@H](C)S(C)(=O)=O ZINC000120968589 408150635 /nfs/dbraw/zinc/15/06/35/408150635.db2.gz CDYOFICSMYDMFV-NEPJUHHUSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](C)[C@H](C)[C@H](C)S(C)(=O)=O ZINC000120968589 408150639 /nfs/dbraw/zinc/15/06/39/408150639.db2.gz CDYOFICSMYDMFV-NEPJUHHUSA-N 1 2 310.419 1.820 20 30 DDEDLO C=CC[C@H](CO)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000273566840 408249935 /nfs/dbraw/zinc/24/99/35/408249935.db2.gz JTHZMDHYTJDFRN-OAHLLOKOSA-N 1 2 304.390 1.186 20 30 DDEDLO CCC[C@@H](C)NC(=O)[C@@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158159850 408330257 /nfs/dbraw/zinc/33/02/57/408330257.db2.gz ILJKFKKLDKIQKZ-VXGBXAGGSA-N 1 2 319.405 1.952 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cc(F)ccc2C#N)CC1 ZINC000158287915 408332632 /nfs/dbraw/zinc/33/26/32/408332632.db2.gz YWPQDMHIMJVRFA-UHFFFAOYSA-N 1 2 316.380 1.117 20 30 DDEDLO C[C@@H]1CC(O)C[C@@H](C)[NH+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000191172735 408380224 /nfs/dbraw/zinc/38/02/24/408380224.db2.gz ZCKBWMZBVRARJB-CHWSQXEVSA-N 1 2 322.430 1.566 20 30 DDEDLO N#Cc1ccc([C@H](SCC[NH+]2CCOCC2)C(N)=O)cc1 ZINC000160066131 408453977 /nfs/dbraw/zinc/45/39/77/408453977.db2.gz IOUDHRPHENUHMT-AWEZNQCLSA-N 1 2 305.403 1.150 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)NCc1c(C)nn(-c2ccccc2)c1C ZINC000192228968 408560732 /nfs/dbraw/zinc/56/07/32/408560732.db2.gz GAVQLTSPGOJAGV-UHFFFAOYSA-N 1 2 310.401 1.670 20 30 DDEDLO C#CC[N@H+](C)CC(=O)NCc1c(C)nn(-c2ccccc2)c1C ZINC000192228968 408560739 /nfs/dbraw/zinc/56/07/39/408560739.db2.gz GAVQLTSPGOJAGV-UHFFFAOYSA-N 1 2 310.401 1.670 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@H]1C[C@@H]1c1ccco1 ZINC000162517166 408709594 /nfs/dbraw/zinc/70/95/94/408709594.db2.gz AHQSCMLBBMYTRT-GJZGRUSLSA-N 1 2 317.389 1.458 20 30 DDEDLO COCC[NH+]1CCN(C(=O)[C@@H](C)Oc2ccccc2C#N)CC1 ZINC000192943829 408674980 /nfs/dbraw/zinc/67/49/80/408674980.db2.gz VICOUFPRTRYRAM-CQSZACIVSA-N 1 2 317.389 1.116 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2c(C#N)nnc3ccccc32)CC1 ZINC000265748615 408768566 /nfs/dbraw/zinc/76/85/66/408768566.db2.gz BIXPSNMOEUWBJT-UHFFFAOYSA-N 1 2 324.388 1.077 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@@H](NC(=O)c2ccc(C#N)cc2)CCO1 ZINC000276232205 408826762 /nfs/dbraw/zinc/82/67/62/408826762.db2.gz KAXVRPILLBQPJB-HOCLYGCPSA-N 1 2 310.357 1.942 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)Nc2ccccc2)C1=O ZINC000281405822 408885116 /nfs/dbraw/zinc/88/51/16/408885116.db2.gz QVWSLZBWMFGOMI-DZGCQCFKSA-N 1 2 301.390 1.732 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)Nc2ccccc2)C1=O ZINC000281405822 408885121 /nfs/dbraw/zinc/88/51/21/408885121.db2.gz QVWSLZBWMFGOMI-DZGCQCFKSA-N 1 2 301.390 1.732 20 30 DDEDLO Cc1n[nH]c(C)c1C[NH+]1CCN(c2snc(C)c2C#N)CC1 ZINC000291618942 408895090 /nfs/dbraw/zinc/89/50/90/408895090.db2.gz RLTDAHYOYPYHPN-UHFFFAOYSA-N 1 2 316.434 1.985 20 30 DDEDLO C#CCN(CC#N)C(=O)[C@@H](c1ccccc1)[NH+]1CCSCC1 ZINC000281616224 408897722 /nfs/dbraw/zinc/89/77/22/408897722.db2.gz XLAVLJKFJZBBSB-MRXNPFEDSA-N 1 2 313.426 1.762 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)C(=O)Nc1ccc(C)[nH+]c1C ZINC000281743703 408922052 /nfs/dbraw/zinc/92/20/52/408922052.db2.gz KLEPYCGVVZMBTM-UKRRQHHQSA-N 1 2 317.389 1.734 20 30 DDEDLO CC(C)O[C@H](CO[NH+]=C(N)CN1CCOCC1)c1ccccc1 ZINC000291825322 408929370 /nfs/dbraw/zinc/92/93/70/408929370.db2.gz FIFMTAOGLPYXGC-MRXNPFEDSA-N 1 2 321.421 1.774 20 30 DDEDLO Cc1cc(C)c(C#N)c(N2C[C@@H]([NH+]3CCOCC3)C[C@H]2C)n1 ZINC000286274637 408954633 /nfs/dbraw/zinc/95/46/33/408954633.db2.gz HOFMYZYOAXMOCO-CABCVRRESA-N 1 2 300.406 1.870 20 30 DDEDLO CC(C)(C#N)c1ccc(N2C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C2=O)cc1 ZINC000286384010 408974387 /nfs/dbraw/zinc/97/43/87/408974387.db2.gz QIJBQVPMCFNKSA-AWEZNQCLSA-N 1 2 323.356 1.878 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@@H](O)COc1cccc([N+](=O)[O-])c1 ZINC000292083875 408976801 /nfs/dbraw/zinc/97/68/01/408976801.db2.gz DSWXPBCXHJSEMX-CQSZACIVSA-N 1 2 305.334 1.736 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@@H](O)COc1cccc([N+](=O)[O-])c1 ZINC000292083875 408976802 /nfs/dbraw/zinc/97/68/02/408976802.db2.gz DSWXPBCXHJSEMX-CQSZACIVSA-N 1 2 305.334 1.736 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCCC[C@@H](S(C)(=O)=O)C1 ZINC000277645458 408983873 /nfs/dbraw/zinc/98/38/73/408983873.db2.gz CMNXZGBQPBEIEA-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCCC[C@@H](S(C)(=O)=O)C1 ZINC000277645458 408983877 /nfs/dbraw/zinc/98/38/77/408983877.db2.gz CMNXZGBQPBEIEA-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO C=CCN1CC[C@H](N2CC[NH+](Cc3c(C)noc3C)CC2)C1=O ZINC000282092741 408989077 /nfs/dbraw/zinc/98/90/77/408989077.db2.gz VIEWFYFZLXVKEO-INIZCTEOSA-N 1 2 318.421 1.196 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(Cc3c(C)noc3C)CC2)C1=O ZINC000282092741 408989079 /nfs/dbraw/zinc/98/90/79/408989079.db2.gz VIEWFYFZLXVKEO-INIZCTEOSA-N 1 2 318.421 1.196 20 30 DDEDLO C#CCC(CC#C)C(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000277867772 409026062 /nfs/dbraw/zinc/02/60/62/409026062.db2.gz ZRATUUFPRWKLSF-UHFFFAOYSA-N 1 2 315.442 1.840 20 30 DDEDLO C#CCC(CC#C)C(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000277867772 409026065 /nfs/dbraw/zinc/02/60/65/409026065.db2.gz ZRATUUFPRWKLSF-UHFFFAOYSA-N 1 2 315.442 1.840 20 30 DDEDLO C=CCOCCN1CC[NH+](CCOc2cccc(OC)c2)CC1 ZINC000292852283 409044112 /nfs/dbraw/zinc/04/41/12/409044112.db2.gz QPZDMNWQAKGMSW-UHFFFAOYSA-N 1 2 320.433 1.894 20 30 DDEDLO C=CCc1cc(C[N@H+]2CC(=O)N(C)[C@@H](C)C2)cc(OC)c1O ZINC000282841922 409064495 /nfs/dbraw/zinc/06/44/95/409064495.db2.gz VSHRYGBDOBMTLJ-LBPRGKRZSA-N 1 2 304.390 1.792 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CC(=O)N(C)[C@@H](C)C2)cc(OC)c1O ZINC000282841922 409064497 /nfs/dbraw/zinc/06/44/97/409064497.db2.gz VSHRYGBDOBMTLJ-LBPRGKRZSA-N 1 2 304.390 1.792 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(C)nsc2NC2CC2)CC1 ZINC000293281565 409080549 /nfs/dbraw/zinc/08/05/49/409080549.db2.gz CPKMBDPRIPPFGN-UHFFFAOYSA-N 1 2 318.446 1.807 20 30 DDEDLO CCCCCCCCNC(=O)C(=O)N(C)Cc1[nH+]ccn1C ZINC000283120333 409092176 /nfs/dbraw/zinc/09/21/76/409092176.db2.gz ANAOFCUXMONHIX-UHFFFAOYSA-N 1 2 308.426 1.855 20 30 DDEDLO CO/N=C/C(=O)N1CCc2c([nH+]cn2CCc2ccccc2)C1 ZINC000283584177 409180439 /nfs/dbraw/zinc/18/04/39/409180439.db2.gz XDLASMYBOGPZCM-YBFXNURJSA-N 1 2 312.373 1.643 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000289270957 409240904 /nfs/dbraw/zinc/24/09/04/409240904.db2.gz ROELOUSVDZUMIY-UHFFFAOYSA-N 1 2 300.362 1.375 20 30 DDEDLO C#Cc1ccc(CNC(=O)[C@@H]2COCC[N@@H+]2CC2CCC2)cc1 ZINC000295521578 409328621 /nfs/dbraw/zinc/32/86/21/409328621.db2.gz QPDOJNCTDJPYQH-SFHVURJKSA-N 1 2 312.413 1.785 20 30 DDEDLO C#Cc1ccc(CNC(=O)[C@@H]2COCC[N@H+]2CC2CCC2)cc1 ZINC000295521578 409328627 /nfs/dbraw/zinc/32/86/27/409328627.db2.gz QPDOJNCTDJPYQH-SFHVURJKSA-N 1 2 312.413 1.785 20 30 DDEDLO COc1nccc(C[NH+]2CCN([C@H](C#N)c3ccccc3)CC2)n1 ZINC000294532727 409292732 /nfs/dbraw/zinc/29/27/32/409292732.db2.gz HITYEGNXVYKNMC-QGZVFWFLSA-N 1 2 323.400 1.868 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1ncc(C#N)cc1[N+](=O)[O-] ZINC000295727462 409366199 /nfs/dbraw/zinc/36/61/99/409366199.db2.gz VJGQUBVCZIMWFQ-WCQYABFASA-N 1 2 317.349 1.161 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@@H+]1CCOC[C@@H](O)C1 ZINC000295789811 409371545 /nfs/dbraw/zinc/37/15/45/409371545.db2.gz ZLEIMHRHINRRJK-KBPBESRZSA-N 1 2 324.446 1.344 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@H+]1CCOC[C@@H](O)C1 ZINC000295789811 409371555 /nfs/dbraw/zinc/37/15/55/409371555.db2.gz ZLEIMHRHINRRJK-KBPBESRZSA-N 1 2 324.446 1.344 20 30 DDEDLO COC(=O)C[C@@H](C)[NH+]1CCN(C(=O)c2cc(C#N)oc2C)CC1 ZINC000280595765 409372623 /nfs/dbraw/zinc/37/26/23/409372623.db2.gz IWWUOGMWYUMIDU-LLVKDONJSA-N 1 2 319.361 1.169 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1C[C@H](C)O[C@]2(CCOC2)C1 ZINC000280636633 409390257 /nfs/dbraw/zinc/39/02/57/409390257.db2.gz XVEXFPGSEMQOAU-SUMWQHHRSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1C[C@H](C)O[C@]2(CCOC2)C1 ZINC000280636633 409390262 /nfs/dbraw/zinc/39/02/62/409390262.db2.gz XVEXFPGSEMQOAU-SUMWQHHRSA-N 1 2 302.374 1.947 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000285445758 409449704 /nfs/dbraw/zinc/44/97/04/409449704.db2.gz IPISIIKWHVRRAR-GFCCVEGCSA-N 1 2 305.382 1.365 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)C(=O)Nc1ccc(C#N)cc1)C1CC1 ZINC000356849570 409658636 /nfs/dbraw/zinc/65/86/36/409658636.db2.gz PYGBRWKAPHCBIV-AWEZNQCLSA-N 1 2 323.356 1.498 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C(=O)OCC)c(C)[nH]2)C1=O ZINC000297039165 409771883 /nfs/dbraw/zinc/77/18/83/409771883.db2.gz XUXZDWBYXTWPDJ-OAHLLOKOSA-N 1 2 319.405 1.719 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C(=O)OCC)c(C)[nH]2)C1=O ZINC000297039165 409771895 /nfs/dbraw/zinc/77/18/95/409771895.db2.gz XUXZDWBYXTWPDJ-OAHLLOKOSA-N 1 2 319.405 1.719 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)N[C@H]1CCC(=O)NC12CCC2 ZINC000328712834 409960046 /nfs/dbraw/zinc/96/00/46/409960046.db2.gz CLHAOUIOLRQSCB-NSHDSACASA-N 1 2 305.382 1.808 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)NCC2(C)CCOCC2)C1 ZINC000328772365 409975533 /nfs/dbraw/zinc/97/55/33/409975533.db2.gz BDAWNYHTEYCQPF-ZDUSSCGKSA-N 1 2 306.410 1.940 20 30 DDEDLO C[C@H](CN1CCCC1=O)NC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000328797920 409982310 /nfs/dbraw/zinc/98/23/10/409982310.db2.gz WFKLNTSSXNALPV-CHWSQXEVSA-N 1 2 319.409 1.134 20 30 DDEDLO CC[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)S(=O)(=O)C2CC2)C1 ZINC000328816977 409985341 /nfs/dbraw/zinc/98/53/41/409985341.db2.gz OTRZIFYZUDCYMB-LLVKDONJSA-N 1 2 302.440 1.783 20 30 DDEDLO CC[N@H+]1CCC[C@@H](NC(=O)C(C)(C)S(=O)(=O)C2CC2)C1 ZINC000328816977 409985346 /nfs/dbraw/zinc/98/53/46/409985346.db2.gz OTRZIFYZUDCYMB-LLVKDONJSA-N 1 2 302.440 1.783 20 30 DDEDLO Cc1c(CNC(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)cnn1C ZINC000328818680 409986218 /nfs/dbraw/zinc/98/62/18/409986218.db2.gz HTPZXRXTSAHMDX-GFCCVEGCSA-N 1 2 302.382 1.366 20 30 DDEDLO CCN1CCN(C(=O)Nc2ccc(F)cn2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328617249 409936567 /nfs/dbraw/zinc/93/65/67/409936567.db2.gz COCHMOAKCFZUNA-GFCCVEGCSA-N 1 2 318.356 1.868 20 30 DDEDLO CCN1CCN(C(=O)NCc2c[nH]nc2C)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328628795 409939789 /nfs/dbraw/zinc/93/97/89/409939789.db2.gz ODMAQCZGBRVUDL-CYBMUJFWSA-N 1 2 317.397 1.234 20 30 DDEDLO Cn1nncc1C(=O)N[C@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000328650121 409944451 /nfs/dbraw/zinc/94/44/51/409944451.db2.gz IXOGWOOBNGEOAN-JTQLQIEISA-N 1 2 302.382 1.629 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@@H]1CCCNC1=O)CCC2 ZINC000328645038 409946581 /nfs/dbraw/zinc/94/65/81/409946581.db2.gz GFIRTJPFQOVZBA-NWDGAFQWSA-N 1 2 305.382 1.691 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3cccc4c3OCO4)C[C@@H]21 ZINC000328933474 410014241 /nfs/dbraw/zinc/01/42/41/410014241.db2.gz BYENIYQKVOKPIZ-QWHCGFSZSA-N 1 2 319.361 1.366 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3cccc4c3OCO4)C[C@@H]21 ZINC000328933474 410014244 /nfs/dbraw/zinc/01/42/44/410014244.db2.gz BYENIYQKVOKPIZ-QWHCGFSZSA-N 1 2 319.361 1.366 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000342920407 410000090 /nfs/dbraw/zinc/00/00/90/410000090.db2.gz UMVYGBLZZRXAQM-UHFFFAOYSA-N 1 2 300.362 1.293 20 30 DDEDLO CCc1nc(CNC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)cs1 ZINC000328883649 410001783 /nfs/dbraw/zinc/00/17/83/410001783.db2.gz NLELRMPWUXVESB-OLZOCXBDSA-N 1 2 324.450 1.524 20 30 DDEDLO CCc1nc(CNC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)cs1 ZINC000328883649 410001790 /nfs/dbraw/zinc/00/17/90/410001790.db2.gz NLELRMPWUXVESB-OLZOCXBDSA-N 1 2 324.450 1.524 20 30 DDEDLO O=C(C[NH+]1CCN(c2cncc(F)c2)CC1)NC1CCOCC1 ZINC000328886390 410002271 /nfs/dbraw/zinc/00/22/71/410002271.db2.gz OUFSCTLSNDVFCL-UHFFFAOYSA-N 1 2 322.384 1.478 20 30 DDEDLO Cc1csc([C@H](C)NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)n1 ZINC000328891502 410002615 /nfs/dbraw/zinc/00/26/15/410002615.db2.gz SJTBIKSTKNFYJA-AVGNSLFASA-N 1 2 324.450 1.831 20 30 DDEDLO Cc1csc([C@H](C)NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)n1 ZINC000328891502 410002622 /nfs/dbraw/zinc/00/26/22/410002622.db2.gz SJTBIKSTKNFYJA-AVGNSLFASA-N 1 2 324.450 1.831 20 30 DDEDLO CCc1noc(C)c1NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000329001971 410046408 /nfs/dbraw/zinc/04/64/08/410046408.db2.gz XQHLRMHBNSIDOV-OLZOCXBDSA-N 1 2 308.382 1.496 20 30 DDEDLO CCc1noc(C)c1NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000329001971 410046411 /nfs/dbraw/zinc/04/64/11/410046411.db2.gz XQHLRMHBNSIDOV-OLZOCXBDSA-N 1 2 308.382 1.496 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2cn3c([nH+]2)CCCC3)cc1 ZINC000332303630 410053309 /nfs/dbraw/zinc/05/33/09/410053309.db2.gz LMVNKZOFVAGIKS-UHFFFAOYSA-N 1 2 316.386 1.570 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H](F)C[C@@H]2CNc2cccc(C#N)n2)cn1 ZINC000357620835 410138375 /nfs/dbraw/zinc/13/83/75/410138375.db2.gz WONMMMSEDNCXKX-UKRRQHHQSA-N 1 2 314.368 1.711 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H](F)C[C@@H]2CNc2cccc(C#N)n2)cn1 ZINC000357620835 410138382 /nfs/dbraw/zinc/13/83/82/410138382.db2.gz WONMMMSEDNCXKX-UKRRQHHQSA-N 1 2 314.368 1.711 20 30 DDEDLO CC(C)[C@@H]1C[C@H](NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)CCO1 ZINC000329160284 410138848 /nfs/dbraw/zinc/13/88/48/410138848.db2.gz YBNFDDPEHWCTHI-QPSCCSFWSA-N 1 2 311.426 1.119 20 30 DDEDLO CC(C)[C@@H]1C[C@H](NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)CCO1 ZINC000329160284 410138854 /nfs/dbraw/zinc/13/88/54/410138854.db2.gz YBNFDDPEHWCTHI-QPSCCSFWSA-N 1 2 311.426 1.119 20 30 DDEDLO Cc1nnccc1NC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000329240964 410186149 /nfs/dbraw/zinc/18/61/49/410186149.db2.gz NCQLWRYNMYBEJM-DGCLKSJQSA-N 1 2 305.382 1.126 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CC(C)(C)OC3(C)C)C[C@H]21 ZINC000329243322 410186781 /nfs/dbraw/zinc/18/67/81/410186781.db2.gz OYIRHOKFNGAYRF-JHJVBQTASA-N 1 2 311.426 1.261 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CC(C)(C)OC3(C)C)C[C@H]21 ZINC000329243322 410186786 /nfs/dbraw/zinc/18/67/86/410186786.db2.gz OYIRHOKFNGAYRF-JHJVBQTASA-N 1 2 311.426 1.261 20 30 DDEDLO CCCc1cnc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)s1 ZINC000329274546 410207797 /nfs/dbraw/zinc/20/77/97/410207797.db2.gz KMZHKKABDYTIQJ-NEPJUHHUSA-N 1 2 310.423 1.656 20 30 DDEDLO CCCc1cnc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)s1 ZINC000329274546 410207802 /nfs/dbraw/zinc/20/78/02/410207802.db2.gz KMZHKKABDYTIQJ-NEPJUHHUSA-N 1 2 310.423 1.656 20 30 DDEDLO C[N@H+](Cc1ccc(Br)cc1C#N)[C@H]1CCNC1=O ZINC000346971836 410287733 /nfs/dbraw/zinc/28/77/33/410287733.db2.gz BJFWCMKHCPTENO-LBPRGKRZSA-N 1 2 308.179 1.641 20 30 DDEDLO C[N@@H+](Cc1ccc(Br)cc1C#N)[C@H]1CCNC1=O ZINC000346971836 410287739 /nfs/dbraw/zinc/28/77/39/410287739.db2.gz BJFWCMKHCPTENO-LBPRGKRZSA-N 1 2 308.179 1.641 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)[C@H]1CCCOC1 ZINC000329392628 410273039 /nfs/dbraw/zinc/27/30/39/410273039.db2.gz UERYEPNIHQHBRB-KBPBESRZSA-N 1 2 313.442 1.416 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)[C@H]1CCCOC1 ZINC000329392628 410273044 /nfs/dbraw/zinc/27/30/44/410273044.db2.gz UERYEPNIHQHBRB-KBPBESRZSA-N 1 2 313.442 1.416 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@H]1CC(=O)N(C(C)(C)C)C1 ZINC000329320915 410229144 /nfs/dbraw/zinc/22/91/44/410229144.db2.gz RJRAIULAMBMJAG-ZIAGYGMSSA-N 1 2 324.469 1.226 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000329564449 410362587 /nfs/dbraw/zinc/36/25/87/410362587.db2.gz NWKVPKJAIFUXEF-YUTCNCBUSA-N 1 2 304.394 1.336 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000298817146 410412248 /nfs/dbraw/zinc/41/22/48/410412248.db2.gz WLTAPBNEQUFFKN-PWSUYJOCSA-N 1 2 319.361 1.590 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000298817146 410412255 /nfs/dbraw/zinc/41/22/55/410412255.db2.gz WLTAPBNEQUFFKN-PWSUYJOCSA-N 1 2 319.361 1.590 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNc2ccc(C(F)(F)F)c(C#N)n2)C1 ZINC000333360536 410502793 /nfs/dbraw/zinc/50/27/93/410502793.db2.gz UDTZWKWLEXBCPX-SNVBAGLBSA-N 1 2 313.327 1.630 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNc2ccc(C(F)(F)F)c(C#N)n2)C1 ZINC000333360536 410502797 /nfs/dbraw/zinc/50/27/97/410502797.db2.gz UDTZWKWLEXBCPX-SNVBAGLBSA-N 1 2 313.327 1.630 20 30 DDEDLO Cc1ocnc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000299196828 410565315 /nfs/dbraw/zinc/56/53/15/410565315.db2.gz PBRUOLIHPNKSPI-UHFFFAOYSA-N 1 2 310.357 1.813 20 30 DDEDLO N#Cc1cccc([C@@H]2CN(C(=O)CCc3[nH]cc[nH+]3)CCO2)c1 ZINC000355550437 410574892 /nfs/dbraw/zinc/57/48/92/410574892.db2.gz HWBKVIXHGWORTN-HNNXBMFYSA-N 1 2 310.357 1.814 20 30 DDEDLO CN(C[C@@H]1CCC[N@H+](C[C@@H](C#N)CCC#N)C1)S(C)(=O)=O ZINC000352543640 410664823 /nfs/dbraw/zinc/66/48/23/410664823.db2.gz HQELGNDEIOJSTJ-KGLIPLIRSA-N 1 2 312.439 1.033 20 30 DDEDLO CN(C[C@@H]1CCC[N@@H+](C[C@@H](C#N)CCC#N)C1)S(C)(=O)=O ZINC000352543640 410664830 /nfs/dbraw/zinc/66/48/30/410664830.db2.gz HQELGNDEIOJSTJ-KGLIPLIRSA-N 1 2 312.439 1.033 20 30 DDEDLO CS(=O)(=O)c1ccc(F)c(C[NH2+]Cc2ccc(C#N)o2)c1 ZINC000337256390 410674621 /nfs/dbraw/zinc/67/46/21/410674621.db2.gz NKONTEVWDTXTCK-UHFFFAOYSA-N 1 2 308.334 1.984 20 30 DDEDLO CS(=O)(=O)NCC[N@H+](Cc1cc(C#N)ccc1F)C1CC1 ZINC000340218188 410764398 /nfs/dbraw/zinc/76/43/98/410764398.db2.gz QQQSYKOIDWDCHJ-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO CS(=O)(=O)NCC[N@@H+](Cc1cc(C#N)ccc1F)C1CC1 ZINC000340218188 410764403 /nfs/dbraw/zinc/76/44/03/410764403.db2.gz QQQSYKOIDWDCHJ-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO C[N@H+](Cc1nnc(-c2cccc(C#N)c2)o1)CC(C)(CO)CO ZINC000340205131 410750084 /nfs/dbraw/zinc/75/00/84/410750084.db2.gz OJEWOJRKCPWDHA-UHFFFAOYSA-N 1 2 316.361 1.031 20 30 DDEDLO C[N@@H+](Cc1nnc(-c2cccc(C#N)c2)o1)CC(C)(CO)CO ZINC000340205131 410750091 /nfs/dbraw/zinc/75/00/91/410750091.db2.gz OJEWOJRKCPWDHA-UHFFFAOYSA-N 1 2 316.361 1.031 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000356358547 410985579 /nfs/dbraw/zinc/98/55/79/410985579.db2.gz FGCIBNPNIJSHBD-OAHLLOKOSA-N 1 2 303.406 1.998 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]CC(=O)NCc2cccc(C#N)c2)s1 ZINC000353665708 411104251 /nfs/dbraw/zinc/10/42/51/411104251.db2.gz PGDYCJKWWDVZRZ-SNVBAGLBSA-N 1 2 315.402 1.685 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000645339424 422997266 /nfs/dbraw/zinc/99/72/66/422997266.db2.gz SHUAMCRIVUQVRO-HNNXBMFYSA-N 1 2 314.389 1.259 20 30 DDEDLO C#CCNC(=O)c1ccccc1NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000151778733 221621856 /nfs/dbraw/zinc/62/18/56/221621856.db2.gz PCPFRWKFAJBQQH-CYBMUJFWSA-N 1 2 322.368 1.447 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](C2(C(N)=O)CCCCC2)CC1 ZINC000373340959 418422020 /nfs/dbraw/zinc/42/20/20/418422020.db2.gz UTDOZPDNUVUPCC-UHFFFAOYSA-N 1 2 319.449 1.512 20 30 DDEDLO COc1ccccc1CCCO[NH+]=C(N)C(C)(C)NC(C)=O ZINC000180659925 221905392 /nfs/dbraw/zinc/90/53/92/221905392.db2.gz GYGFCFHGGJGMCY-UHFFFAOYSA-N 1 2 307.394 1.831 20 30 DDEDLO COCCN(Cc1ccc(C#N)cc1)C(=O)CCc1c[nH+]c[nH]1 ZINC000192595447 418525629 /nfs/dbraw/zinc/52/56/29/418525629.db2.gz UTKBOPVCTKDGQY-UHFFFAOYSA-N 1 2 312.373 1.889 20 30 DDEDLO COCCN(Cc1ccc(C#N)cc1)C(=O)CCc1c[nH]c[nH+]1 ZINC000192595447 418525634 /nfs/dbraw/zinc/52/56/34/418525634.db2.gz UTKBOPVCTKDGQY-UHFFFAOYSA-N 1 2 312.373 1.889 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCC[C@H]1c1ccnn1C ZINC000366871101 418529647 /nfs/dbraw/zinc/52/96/47/418529647.db2.gz TYUPSPHBFAQERY-HNNXBMFYSA-N 1 2 303.410 1.709 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCC[C@H]1c1ccnn1C ZINC000366871101 418529650 /nfs/dbraw/zinc/52/96/50/418529650.db2.gz TYUPSPHBFAQERY-HNNXBMFYSA-N 1 2 303.410 1.709 20 30 DDEDLO C=CCCCCCN(C)c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000186068463 221955252 /nfs/dbraw/zinc/95/52/52/221955252.db2.gz IRLVFJHBUZDIHC-UHFFFAOYSA-N 1 2 317.437 1.938 20 30 DDEDLO C=CCCCCCN(C)c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000186068463 221955258 /nfs/dbraw/zinc/95/52/58/221955258.db2.gz IRLVFJHBUZDIHC-UHFFFAOYSA-N 1 2 317.437 1.938 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH2+][C@H](c2ccccc2Cl)C1 ZINC000374867706 418572181 /nfs/dbraw/zinc/57/21/81/418572181.db2.gz FHXYGCDFMLIBQR-HNNXBMFYSA-N 1 2 306.793 1.853 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000374565118 418540739 /nfs/dbraw/zinc/54/07/39/418540739.db2.gz FBRKEMCVFPEOFR-AWEZNQCLSA-N 1 2 315.421 1.236 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[NH2+][C@H](c2cccc(F)c2)C1 ZINC000367070855 418556505 /nfs/dbraw/zinc/55/65/05/418556505.db2.gz HKPHQIPCCNTAKA-HNNXBMFYSA-N 1 2 312.348 1.823 20 30 DDEDLO C#CCCCC(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000191891650 222111980 /nfs/dbraw/zinc/11/19/80/222111980.db2.gz LAKKXYZUENKVBG-UHFFFAOYSA-N 1 2 310.401 1.782 20 30 DDEDLO CC#CCNC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000190536007 222082631 /nfs/dbraw/zinc/08/26/31/222082631.db2.gz HTIASAOCNBEPOL-UHFFFAOYSA-N 1 2 310.357 1.529 20 30 DDEDLO Cc1ccc(CS(=O)(=O)CCC(=O)N(C)CCC#N)c(C)[nH+]1 ZINC000190951415 222092460 /nfs/dbraw/zinc/09/24/60/222092460.db2.gz INKAZHDKWTVCTE-UHFFFAOYSA-N 1 2 323.418 1.375 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CCC[C@@H]1C[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000248511526 222236073 /nfs/dbraw/zinc/23/60/73/222236073.db2.gz BJJOAFBPVBLWGD-RBSFLKMASA-N 1 2 316.467 1.466 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@H]2C[N@@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000248771770 222237291 /nfs/dbraw/zinc/23/72/91/222237291.db2.gz AJVXDNPXOCMZOK-MAQMMGONSA-N 1 2 319.405 1.068 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@H]2C[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000248771770 222237293 /nfs/dbraw/zinc/23/72/93/222237293.db2.gz AJVXDNPXOCMZOK-MAQMMGONSA-N 1 2 319.405 1.068 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000330810382 418612649 /nfs/dbraw/zinc/61/26/49/418612649.db2.gz JFKKSSFNDGXAOL-CYBMUJFWSA-N 1 2 309.414 1.586 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1NC(=O)CC[C@H]1Nc1ccc(F)cc1C#N ZINC000375365043 418630640 /nfs/dbraw/zinc/63/06/40/418630640.db2.gz FJFKKLVBICDCFZ-CZUORRHYSA-N 1 2 313.336 1.863 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)[C@H]1COCC[N@@H+]1CC1CCC1 ZINC000377163174 418706785 /nfs/dbraw/zinc/70/67/85/418706785.db2.gz QAYNEXCLFMDPSS-OAHLLOKOSA-N 1 2 307.438 1.933 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)[C@H]1COCC[N@H+]1CC1CCC1 ZINC000377163174 418706787 /nfs/dbraw/zinc/70/67/87/418706787.db2.gz QAYNEXCLFMDPSS-OAHLLOKOSA-N 1 2 307.438 1.933 20 30 DDEDLO CO/N=C/C(=O)N[C@H](c1[nH+]ccn1C)c1ccc(OC)cc1 ZINC000377704727 418713627 /nfs/dbraw/zinc/71/36/27/418713627.db2.gz YKWWCUSWXJKYOH-FBJMNJBLSA-N 1 2 302.334 1.267 20 30 DDEDLO N#Cc1ccc(CN2CC[N@@H+](C[C@H]3CCCCO3)CC2=O)cc1 ZINC000377851796 418716390 /nfs/dbraw/zinc/71/63/90/418716390.db2.gz WMCYNVLGSSKVKB-QGZVFWFLSA-N 1 2 313.401 1.772 20 30 DDEDLO N#Cc1ccc(CN2CC[N@H+](C[C@H]3CCCCO3)CC2=O)cc1 ZINC000377851796 418716391 /nfs/dbraw/zinc/71/63/91/418716391.db2.gz WMCYNVLGSSKVKB-QGZVFWFLSA-N 1 2 313.401 1.772 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)COc2ccc(C#N)cc2)C1 ZINC000378264050 418720349 /nfs/dbraw/zinc/72/03/49/418720349.db2.gz JTCQOIFBJUJAMJ-AWEZNQCLSA-N 1 2 310.357 1.687 20 30 DDEDLO N#Cc1ccc(CN2CC[N@@H+](CCn3cccn3)CC2=O)cc1 ZINC000361491186 418663220 /nfs/dbraw/zinc/66/32/20/418663220.db2.gz VRAYPUYKRGUUSN-UHFFFAOYSA-N 1 2 309.373 1.099 20 30 DDEDLO N#Cc1ccc(CN2CC[N@H+](CCn3cccn3)CC2=O)cc1 ZINC000361491186 418663221 /nfs/dbraw/zinc/66/32/21/418663221.db2.gz VRAYPUYKRGUUSN-UHFFFAOYSA-N 1 2 309.373 1.099 20 30 DDEDLO CC(C)(C#N)CC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000376119471 418692951 /nfs/dbraw/zinc/69/29/51/418692951.db2.gz WPBSVQWBYHWDET-OAHLLOKOSA-N 1 2 311.451 1.250 20 30 DDEDLO CC(C)(C#N)CCC[N@@H+]1CCn2c(nn(CC(F)F)c2=O)C1 ZINC000369304962 418732393 /nfs/dbraw/zinc/73/23/93/418732393.db2.gz INPVYNHERFRZID-UHFFFAOYSA-N 1 2 313.352 1.455 20 30 DDEDLO CC(C)(C#N)CCC[N@H+]1CCn2c(nn(CC(F)F)c2=O)C1 ZINC000369304962 418732394 /nfs/dbraw/zinc/73/23/94/418732394.db2.gz INPVYNHERFRZID-UHFFFAOYSA-N 1 2 313.352 1.455 20 30 DDEDLO C#CC[C@@H](C)NC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000369896546 418739329 /nfs/dbraw/zinc/73/93/29/418739329.db2.gz RWFOWLDBIHKHAQ-NVXWUHKLSA-N 1 2 315.417 1.598 20 30 DDEDLO C#CC[C@@H](C)NC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000369896546 418739330 /nfs/dbraw/zinc/73/93/30/418739330.db2.gz RWFOWLDBIHKHAQ-NVXWUHKLSA-N 1 2 315.417 1.598 20 30 DDEDLO CSC[C@@](C)(C#N)NC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000370675152 418746806 /nfs/dbraw/zinc/74/68/06/418746806.db2.gz CDWRDYDCRBEYDF-XJKCOSOUSA-N 1 2 318.446 1.915 20 30 DDEDLO Cc1n[nH]c(C)c1[C@@H]1COCC[N@H+]1CCC(=O)N(C)CCC#N ZINC000362361570 418753543 /nfs/dbraw/zinc/75/35/43/418753543.db2.gz RMKWIJPFSDQZFG-AWEZNQCLSA-N 1 2 319.409 1.162 20 30 DDEDLO Cc1n[nH]c(C)c1[C@@H]1COCC[N@@H+]1CCC(=O)N(C)CCC#N ZINC000362361570 418753546 /nfs/dbraw/zinc/75/35/46/418753546.db2.gz RMKWIJPFSDQZFG-AWEZNQCLSA-N 1 2 319.409 1.162 20 30 DDEDLO C=C[C@H](C)NC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000362371212 418753604 /nfs/dbraw/zinc/75/36/04/418753604.db2.gz PZYOSZWKOUAKRO-GOEBONIOSA-N 1 2 303.406 1.934 20 30 DDEDLO C#CCC(C)(C)C(=O)NC1CCN(c2cc(C)[nH+]cn2)CC1 ZINC000363843366 418770050 /nfs/dbraw/zinc/77/00/50/418770050.db2.gz MEXLEWHLPWVTSC-UHFFFAOYSA-N 1 2 300.406 1.920 20 30 DDEDLO C=CCOCCNC(=O)NCc1ccc(-n2cc[nH+]c2)nc1 ZINC000378609966 418725303 /nfs/dbraw/zinc/72/53/03/418725303.db2.gz UJJNFWAZYXTQCK-UHFFFAOYSA-N 1 2 301.350 1.269 20 30 DDEDLO Cc1cc(N2CCC(NC(=O)C#Cc3ccccc3)CC2)nc[nH+]1 ZINC000371912523 418825518 /nfs/dbraw/zinc/82/55/18/418825518.db2.gz UDFPWCHMISSOTP-UHFFFAOYSA-N 1 2 320.396 1.922 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)NCc1ccc(C#N)s1 ZINC000422217097 419883572 /nfs/dbraw/zinc/88/35/72/419883572.db2.gz KJEYYGULCYDXSX-LBPRGKRZSA-N 1 2 322.434 1.482 20 30 DDEDLO Cc1cc(N2CCC[C@@H](CNS(C)(=O)=O)C2)c(C#N)c[nH+]1 ZINC000425209174 420328239 /nfs/dbraw/zinc/32/82/39/420328239.db2.gz QWPMFYWDBLDNDH-LBPRGKRZSA-N 1 2 308.407 1.027 20 30 DDEDLO CCN1CCN(C(=O)N[C@@H]2CC[C@H](C#N)C2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000425611207 420423468 /nfs/dbraw/zinc/42/34/68/420423468.db2.gz OECPZJSURZBRIR-BFHYXJOUSA-N 1 2 316.409 1.490 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCC[C@H](O)[C@@H]2C(=O)OC)cc1 ZINC000438552454 420459671 /nfs/dbraw/zinc/45/96/71/420459671.db2.gz IBVMFYMDFSXRLC-JKSUJKDBSA-N 1 2 305.374 1.750 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCC[C@H](O)[C@@H]2C(=O)OC)cc1 ZINC000438552454 420459674 /nfs/dbraw/zinc/45/96/74/420459674.db2.gz IBVMFYMDFSXRLC-JKSUJKDBSA-N 1 2 305.374 1.750 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H]2CC[N@H+](C)[C@@H](C)C2)cc1C#N ZINC000439948105 420546531 /nfs/dbraw/zinc/54/65/31/420546531.db2.gz GUEXIDNHPUSLEC-ONGXEEELSA-N 1 2 320.418 1.593 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H]2CC[N@@H+](C)[C@@H](C)C2)cc1C#N ZINC000439948105 420546537 /nfs/dbraw/zinc/54/65/37/420546537.db2.gz GUEXIDNHPUSLEC-ONGXEEELSA-N 1 2 320.418 1.593 20 30 DDEDLO CN(CC[N@H+](C)CC(=O)NC1(C#N)CCC1)C(=O)OC(C)(C)C ZINC000492571835 420595697 /nfs/dbraw/zinc/59/56/97/420595697.db2.gz GHOOQYWKAOUMEN-UHFFFAOYSA-N 1 2 324.425 1.348 20 30 DDEDLO CN(CC[N@@H+](C)CC(=O)NC1(C#N)CCC1)C(=O)OC(C)(C)C ZINC000492571835 420595698 /nfs/dbraw/zinc/59/56/98/420595698.db2.gz GHOOQYWKAOUMEN-UHFFFAOYSA-N 1 2 324.425 1.348 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)NCC1CCC(C#N)CC1 ZINC000440995519 420625700 /nfs/dbraw/zinc/62/57/00/420625700.db2.gz DIZVTNQERNVEJN-WLYUNCDWSA-N 1 2 308.426 1.336 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)NCC1CCC(C#N)CC1 ZINC000440995519 420625703 /nfs/dbraw/zinc/62/57/03/420625703.db2.gz DIZVTNQERNVEJN-WLYUNCDWSA-N 1 2 308.426 1.336 20 30 DDEDLO C=CCC(C)(C)CNC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000458806738 420739362 /nfs/dbraw/zinc/73/93/62/420739362.db2.gz DDAJZWLFSBDFFA-UHFFFAOYSA-N 1 2 319.453 1.850 20 30 DDEDLO N#CCN(C(=O)c1ccc([N+](=O)[O-])c(-n2cc[nH+]c2)c1)C1CC1 ZINC000448789156 420897202 /nfs/dbraw/zinc/89/72/02/420897202.db2.gz XXXJEAFMYFODOI-UHFFFAOYSA-N 1 2 311.301 1.909 20 30 DDEDLO COC(=O)Nc1cccc(NC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000496045101 421124426 /nfs/dbraw/zinc/12/44/26/421124426.db2.gz LWMSRZQDAMPNOP-CQSZACIVSA-N 1 2 306.366 1.483 20 30 DDEDLO COC(=O)Nc1cccc(NC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000496045101 421124428 /nfs/dbraw/zinc/12/44/28/421124428.db2.gz LWMSRZQDAMPNOP-CQSZACIVSA-N 1 2 306.366 1.483 20 30 DDEDLO N#Cc1cccc(NC[C@H]2C[N@@H+](C3CC3)CCO2)c1[N+](=O)[O-] ZINC000450315518 421181517 /nfs/dbraw/zinc/18/15/17/421181517.db2.gz FITWUGOFVCFLSW-ZDUSSCGKSA-N 1 2 302.334 1.742 20 30 DDEDLO N#Cc1cccc(NC[C@H]2C[N@H+](C3CC3)CCO2)c1[N+](=O)[O-] ZINC000450315518 421181519 /nfs/dbraw/zinc/18/15/19/421181519.db2.gz FITWUGOFVCFLSW-ZDUSSCGKSA-N 1 2 302.334 1.742 20 30 DDEDLO N#CCC1CC[NH+]([C@@H]2CC(=O)N(CC(F)(F)F)C2=O)CC1 ZINC000562580168 421384020 /nfs/dbraw/zinc/38/40/20/421384020.db2.gz DAHJHLGRBQTUNX-SNVBAGLBSA-N 1 2 303.284 1.302 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cnc(C)nc3)CC2)c1C#N ZINC000527315917 421377657 /nfs/dbraw/zinc/37/76/57/421377657.db2.gz XXZNLRXCUNMKJV-UHFFFAOYSA-N 1 2 311.393 1.021 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000528776686 421515353 /nfs/dbraw/zinc/51/53/53/421515353.db2.gz PMZZGRYNIGJMBS-CABCVRRESA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000528776686 421515355 /nfs/dbraw/zinc/51/53/55/421515355.db2.gz PMZZGRYNIGJMBS-CABCVRRESA-N 1 2 320.414 1.589 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000563365449 421493081 /nfs/dbraw/zinc/49/30/81/421493081.db2.gz CQBFHKMTAHRPLT-SNVBAGLBSA-N 1 2 317.328 1.022 20 30 DDEDLO CCc1ccc(C[NH+]2CCN(c3c(C#N)c(C)nn3C)CC2)nc1 ZINC000549610576 421503508 /nfs/dbraw/zinc/50/35/08/421503508.db2.gz OPSCHKJDFMOHGM-UHFFFAOYSA-N 1 2 324.432 1.880 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@@H](c2ccc(Cl)cc2)[NH+](C)C)c1C#N ZINC000565213706 421597225 /nfs/dbraw/zinc/59/72/25/421597225.db2.gz NFQOLFVILBIXIN-CYBMUJFWSA-N 1 2 317.780 2.485 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1cccc(C[S@](C)=O)c1 ZINC000564722963 421592673 /nfs/dbraw/zinc/59/26/73/421592673.db2.gz AHDOZMGJFKCRLV-BTYIYWSLSA-N 1 2 309.435 1.183 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1cccc(C[S@](C)=O)c1 ZINC000564722963 421592677 /nfs/dbraw/zinc/59/26/77/421592677.db2.gz AHDOZMGJFKCRLV-BTYIYWSLSA-N 1 2 309.435 1.183 20 30 DDEDLO N#CCC1(O)CN(C(=O)C[C@H](c2[nH]cc[nH+]2)c2ccccc2)C1 ZINC000564876705 421594143 /nfs/dbraw/zinc/59/41/43/421594143.db2.gz UQOXIBRFGRQDPS-AWEZNQCLSA-N 1 2 310.357 1.419 20 30 DDEDLO COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000517153453 421597683 /nfs/dbraw/zinc/59/76/83/421597683.db2.gz DTPOHGHZTZCISH-AWEZNQCLSA-N 1 2 306.322 1.177 20 30 DDEDLO COc1cccc2c1OCC(C(=O)N[C@](C)(C#N)C[NH+](C)C)=C2 ZINC000565051360 421595677 /nfs/dbraw/zinc/59/56/77/421595677.db2.gz LPTXOVBQZMEKAX-QGZVFWFLSA-N 1 2 315.373 1.431 20 30 DDEDLO CC[C@@H](CC#N)[NH2+][C@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000566745947 421608177 /nfs/dbraw/zinc/60/81/77/421608177.db2.gz OTKBGLUUTQRTOX-JQWIXIFHSA-N 1 2 321.402 1.922 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[NH2+][C@@H](CCO)C(F)F)cc1 ZINC000517567787 421617962 /nfs/dbraw/zinc/61/79/62/421617962.db2.gz RMQQQAXYPUWLHG-JSGCOSHPSA-N 1 2 314.332 1.098 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N[C@@H]2CCC[C@H](C)[C@@H]2C)C1=O ZINC000532217335 421652362 /nfs/dbraw/zinc/65/23/62/421652362.db2.gz NONKJZSCCHTDEP-JONQDZQNSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N[C@@H]2CCC[C@H](C)[C@@H]2C)C1=O ZINC000532217335 421652366 /nfs/dbraw/zinc/65/23/66/421652366.db2.gz NONKJZSCCHTDEP-JONQDZQNSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)NCc1cn2ccccc2[nH+]1 ZINC000571460653 421718288 /nfs/dbraw/zinc/71/82/88/421718288.db2.gz BAVBSOCHRVOHAH-UHFFFAOYSA-N 1 2 314.389 1.813 20 30 DDEDLO CNS(=O)(=O)c1cccc(C[NH2+]C[C@@H](C#N)CCC#N)c1 ZINC000558506665 421812001 /nfs/dbraw/zinc/81/20/01/421812001.db2.gz CXSFJUBIPOUXBD-CYBMUJFWSA-N 1 2 306.391 1.128 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2cc(-c3ccccc3)no2)CC1 ZINC000572853015 421871094 /nfs/dbraw/zinc/87/10/94/421871094.db2.gz VAQCKXJJRKTNAS-UHFFFAOYSA-N 1 2 310.357 1.899 20 30 DDEDLO N#Cc1ccc(-n2ccc(NC(=O)Cn3cc[nH+]c3)n2)c(F)c1 ZINC000573037934 421901817 /nfs/dbraw/zinc/90/18/17/421901817.db2.gz LNPMPEHGGSFJAG-UHFFFAOYSA-N 1 2 310.292 1.718 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)COc1cccc2cccnc21 ZINC000573567171 421982995 /nfs/dbraw/zinc/98/29/95/421982995.db2.gz JKTZLLDFAWUAEK-KRWDZBQOSA-N 1 2 312.373 1.574 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+]1CCC[C@H](N2CCNC2=O)C1 ZINC000584208613 422283446 /nfs/dbraw/zinc/28/34/46/422283446.db2.gz QEWNYWMSILWAGJ-ZDUSSCGKSA-N 1 2 302.353 1.687 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+]1CCC[C@H](N2CCNC2=O)C1 ZINC000584208613 422283453 /nfs/dbraw/zinc/28/34/53/422283453.db2.gz QEWNYWMSILWAGJ-ZDUSSCGKSA-N 1 2 302.353 1.687 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ccc(C#N)c(N)n3)c[nH+]2)CCO1 ZINC000594544724 422350361 /nfs/dbraw/zinc/35/03/61/422350361.db2.gz CTNHLIIXDWRRNP-GFCCVEGCSA-N 1 2 324.388 1.768 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNc1cc(C#N)nc(C2CC2)n1 ZINC000601114213 422416725 /nfs/dbraw/zinc/41/67/25/422416725.db2.gz MLEBSZBBTFSBJF-UHFFFAOYSA-N 1 2 301.394 1.748 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNc1cc(C#N)nc(C2CC2)n1 ZINC000601114213 422416730 /nfs/dbraw/zinc/41/67/30/422416730.db2.gz MLEBSZBBTFSBJF-UHFFFAOYSA-N 1 2 301.394 1.748 20 30 DDEDLO CCOc1ccccc1CCC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000577956036 422464666 /nfs/dbraw/zinc/46/46/66/422464666.db2.gz PJGKJGSGURASLQ-KRWDZBQOSA-N 1 2 303.406 1.978 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cccnc2C(F)(F)F)nn1 ZINC000641054121 423355271 /nfs/dbraw/zinc/35/52/71/423355271.db2.gz RHBKNOTZNNDHNU-UHFFFAOYSA-N 1 2 309.295 1.853 20 30 DDEDLO CC#CCCCC(=O)NCc1nc(C[NH+]2CCCCC2)no1 ZINC000646104166 423335747 /nfs/dbraw/zinc/33/57/47/423335747.db2.gz XEISCZDUXOPZRY-UHFFFAOYSA-N 1 2 304.394 1.865 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@@H](O)[C@H](Oc3ccccc3)C2)nn1 ZINC000639359184 423472615 /nfs/dbraw/zinc/47/26/15/423472615.db2.gz IODOKLOHOXSHBH-IAGOWNOFSA-N 1 2 314.389 1.478 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@@H](O)[C@H](Oc3ccccc3)C2)nn1 ZINC000639359184 423472618 /nfs/dbraw/zinc/47/26/18/423472618.db2.gz IODOKLOHOXSHBH-IAGOWNOFSA-N 1 2 314.389 1.478 20 30 DDEDLO CC(=O)c1[nH]c(CC[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)nc1C ZINC000639698313 423662442 /nfs/dbraw/zinc/66/24/42/423662442.db2.gz KKZXNLWWZIQRPH-RYUDHWBXSA-N 1 2 303.410 1.381 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)COc2ccc(C)cc2)CC1 ZINC000649273257 423712677 /nfs/dbraw/zinc/71/26/77/423712677.db2.gz IMICGHZRVPUQCV-KRWDZBQOSA-N 1 2 318.417 1.455 20 30 DDEDLO C=CCCNC(=O)N1CC[NH+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000661572484 423909628 /nfs/dbraw/zinc/90/96/28/423909628.db2.gz OTCPDJVZWNGONY-HNNXBMFYSA-N 1 2 322.453 1.291 20 30 DDEDLO Cc1nnc(N2CC[NH+](CC#Cc3ccc(F)cc3)CC2)n1C ZINC000639976413 424015562 /nfs/dbraw/zinc/01/55/62/424015562.db2.gz BHRHJUGREGNVCM-UHFFFAOYSA-N 1 2 313.380 1.436 20 30 DDEDLO C=CCn1cc(CNC(=O)N2CCC[C@H](n3cc[nH+]c3)C2)nn1 ZINC000645037010 424131887 /nfs/dbraw/zinc/13/18/87/424131887.db2.gz VJJNPEQFTZXAJM-AWEZNQCLSA-N 1 2 315.381 1.207 20 30 DDEDLO C=CCNC(=O)[C@H](C)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000640110664 424185605 /nfs/dbraw/zinc/18/56/05/424185605.db2.gz QTDGIFWKZDOMQM-AWEZNQCLSA-N 1 2 303.406 1.201 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(Cc2ccc(O)cc2)CC1 ZINC000640110664 424185613 /nfs/dbraw/zinc/18/56/13/424185613.db2.gz QTDGIFWKZDOMQM-AWEZNQCLSA-N 1 2 303.406 1.201 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2c3c(nn2C)CCCC3)nn1 ZINC000657469362 424364825 /nfs/dbraw/zinc/36/48/25/424364825.db2.gz GUFNZCJFFMGLCM-UHFFFAOYSA-N 1 2 300.410 1.756 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662364945 424589415 /nfs/dbraw/zinc/58/94/15/424589415.db2.gz SIXQJCVWYNXWRJ-DOMZBBRYSA-N 1 2 302.365 1.932 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662364945 424589422 /nfs/dbraw/zinc/58/94/22/424589422.db2.gz SIXQJCVWYNXWRJ-DOMZBBRYSA-N 1 2 302.365 1.932 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCC(F)(F)[C@@H](CO)C1 ZINC000361419874 267138100 /nfs/dbraw/zinc/13/81/00/267138100.db2.gz IGYFRTITCXASEY-DGCLKSJQSA-N 1 2 323.343 1.835 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCC(F)(F)[C@@H](CO)C1 ZINC000361419874 267138102 /nfs/dbraw/zinc/13/81/02/267138102.db2.gz IGYFRTITCXASEY-DGCLKSJQSA-N 1 2 323.343 1.835 20 30 DDEDLO Cc1cc(N2CCC(NC(=O)c3ccc(C#N)nc3)CC2)nc[nH+]1 ZINC000370311820 267335601 /nfs/dbraw/zinc/33/56/01/267335601.db2.gz ZFURLLXVTCLWJH-UHFFFAOYSA-N 1 2 322.372 1.451 20 30 DDEDLO Cc1ccc(C(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@H]2C)cc1C#N ZINC000375910786 267402717 /nfs/dbraw/zinc/40/27/17/267402717.db2.gz BFONWXHVKRPAPC-PBHICJAKSA-N 1 2 313.401 1.802 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC1(c2ccc(C#N)cc2)CCOCC1 ZINC000530059591 268081743 /nfs/dbraw/zinc/08/17/43/268081743.db2.gz DYXVRFKKKNQVJW-UHFFFAOYSA-N 1 2 324.384 1.954 20 30 DDEDLO Cc1[nH]c[nH+]c1CC(=O)NC1(c2ccc(C#N)cc2)CCOCC1 ZINC000530059591 268081746 /nfs/dbraw/zinc/08/17/46/268081746.db2.gz DYXVRFKKKNQVJW-UHFFFAOYSA-N 1 2 324.384 1.954 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CC[C@](O)(C3CC3)C2)c1 ZINC000365851468 268275180 /nfs/dbraw/zinc/27/51/80/268275180.db2.gz DOCPBSDLAPNCBO-MRXNPFEDSA-N 1 2 320.414 1.179 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CC[C@](O)(C3CC3)C2)c1 ZINC000365851468 268275183 /nfs/dbraw/zinc/27/51/83/268275183.db2.gz DOCPBSDLAPNCBO-MRXNPFEDSA-N 1 2 320.414 1.179 20 30 DDEDLO CC(C)(C)[C@@H]1CCN(C([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)C[C@H]1O ZINC000329752815 277232213 /nfs/dbraw/zinc/23/22/13/277232213.db2.gz WKURJIFDHWSLKF-LALPHHSUSA-N 1 2 320.437 1.910 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)CCOCC1 ZINC000424830221 277825089 /nfs/dbraw/zinc/82/50/89/277825089.db2.gz HNAVVRZARAGWSZ-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO CC(=O)Nc1ccc(/C=C\C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000493284519 278189162 /nfs/dbraw/zinc/18/91/62/278189162.db2.gz BJXUZRLAXWFEDL-JEZWAEDTSA-N 1 2 314.389 1.618 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1c1ncc([N+](=O)[O-])cc1C#N ZINC000291269589 279119072 /nfs/dbraw/zinc/11/90/72/279119072.db2.gz ZOWKMHQLTUOWFZ-BXUZGUMPSA-N 1 2 317.349 1.161 20 30 DDEDLO CC(C)[C@@H]1C[C@H](NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)CCO1 ZINC000329636270 280029327 /nfs/dbraw/zinc/02/93/27/280029327.db2.gz YBNFDDPEHWCTHI-CBBWQLFWSA-N 1 2 311.426 1.119 20 30 DDEDLO CC(C)[C@@H]1C[C@H](NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)CCO1 ZINC000329636270 280029329 /nfs/dbraw/zinc/02/93/29/280029329.db2.gz YBNFDDPEHWCTHI-CBBWQLFWSA-N 1 2 311.426 1.119 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCOC[C@@H]1CC1CCC1 ZINC000339770485 280101725 /nfs/dbraw/zinc/10/17/25/280101725.db2.gz FRTUNUUNISHDGQ-DOTOQJQBSA-N 1 2 307.438 1.932 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCOC[C@@H]1CC1CCC1 ZINC000339770485 280101728 /nfs/dbraw/zinc/10/17/28/280101728.db2.gz FRTUNUUNISHDGQ-DOTOQJQBSA-N 1 2 307.438 1.932 20 30 DDEDLO C[C@@H]1[C@H](CO)CCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000412066296 289033351 /nfs/dbraw/zinc/03/33/51/289033351.db2.gz DEGOXDMOKYGZES-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1[C@H](CO)CCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000412066296 289033354 /nfs/dbraw/zinc/03/33/54/289033354.db2.gz DEGOXDMOKYGZES-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCOC[C@H]3c3ncon3)n2c1 ZINC000374822878 301849397 /nfs/dbraw/zinc/84/93/97/301849397.db2.gz FWWODRTVOTXUFC-ZDUSSCGKSA-N 1 2 310.317 1.162 20 30 DDEDLO N#Cc1cnccc1NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000545947761 303505789 /nfs/dbraw/zinc/50/57/89/303505789.db2.gz LXRAOGVKNASCIH-OAHLLOKOSA-N 1 2 314.414 1.922 20 30 DDEDLO CCN1CCN(Cc2nc(C#N)cs2)C[C@H]1c1[nH]cc[nH+]1 ZINC000564537156 307999751 /nfs/dbraw/zinc/99/97/51/307999751.db2.gz QGZKLFHCPSJWEX-LBPRGKRZSA-N 1 2 302.407 1.617 20 30 DDEDLO N#Cc1cc(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)ccc1Cl ZINC000573204368 308233788 /nfs/dbraw/zinc/23/37/88/308233788.db2.gz QNLRPOHRGWUOCE-OKILXGFUSA-N 1 2 319.792 1.805 20 30 DDEDLO N#Cc1cc(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)ccc1Cl ZINC000573204368 308233789 /nfs/dbraw/zinc/23/37/89/308233789.db2.gz QNLRPOHRGWUOCE-OKILXGFUSA-N 1 2 319.792 1.805 20 30 DDEDLO C[C@@H](Nc1ncc2c(c1C#N)CCC2)[C@@H](C)[NH+]1CCOCC1 ZINC000577504228 308393445 /nfs/dbraw/zinc/39/34/45/308393445.db2.gz KTCVLUMXTGSLAP-CHWSQXEVSA-N 1 2 300.406 1.963 20 30 DDEDLO C[C@@H]1C[C@@H](NS(=O)(=O)c2ccc(F)cc2C#N)c2[nH+]ccn21 ZINC000579555791 308539539 /nfs/dbraw/zinc/53/95/39/308539539.db2.gz JQTKRBQJTRDHEE-BXKDBHETSA-N 1 2 320.349 1.878 20 30 DDEDLO N#CCC[NH2+]Cc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000556706426 331726303 /nfs/dbraw/zinc/72/63/03/331726303.db2.gz XHZVQYUWBUEUAP-UHFFFAOYSA-N 1 2 307.419 1.864 20 30 DDEDLO Cc1cccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000060633829 331810013 /nfs/dbraw/zinc/81/00/13/331810013.db2.gz PZKHYPKMHPGJLK-UHFFFAOYSA-N 1 2 301.390 1.683 20 30 DDEDLO N#CCC[C@@H](C#N)C[NH2+][C@@H]1CCN(c2ccc(F)cc2)C1=O ZINC000518500749 332068542 /nfs/dbraw/zinc/06/85/42/332068542.db2.gz ZHYDDXZSHOCFTJ-SWLSCSKDSA-N 1 2 300.337 1.964 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cncc(Br)c1 ZINC000569527149 332124365 /nfs/dbraw/zinc/12/43/65/332124365.db2.gz ARLCPJCYIXXLDP-GFCCVEGCSA-N 1 2 311.183 1.418 20 30 DDEDLO CC(=O)Nc1cccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1C ZINC000565051651 332499699 /nfs/dbraw/zinc/49/96/99/332499699.db2.gz OPDJGPVZCLKYFF-INIZCTEOSA-N 1 2 302.378 1.527 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+](C)[C@@H]1CCNC1=O ZINC000340221994 334151317 /nfs/dbraw/zinc/15/13/17/334151317.db2.gz SMGQOSYGZMYAHY-CYBMUJFWSA-N 1 2 319.430 1.724 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+](C)[C@@H]1CCNC1=O ZINC000340221994 334151318 /nfs/dbraw/zinc/15/13/18/334151318.db2.gz SMGQOSYGZMYAHY-CYBMUJFWSA-N 1 2 319.430 1.724 20 30 DDEDLO C=C(C)C[N@H+](Cc1ccc(CO)o1)[C@@H](C)C(=O)N(C)CCC#N ZINC000352819065 336254616 /nfs/dbraw/zinc/25/46/16/336254616.db2.gz WEWLQVHOHMXGOE-AWEZNQCLSA-N 1 2 319.405 1.911 20 30 DDEDLO C=C(C)C[N@@H+](Cc1ccc(CO)o1)[C@@H](C)C(=O)N(C)CCC#N ZINC000352819065 336254617 /nfs/dbraw/zinc/25/46/17/336254617.db2.gz WEWLQVHOHMXGOE-AWEZNQCLSA-N 1 2 319.405 1.911 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1coc(Br)c1 ZINC000515735253 337995926 /nfs/dbraw/zinc/99/59/26/337995926.db2.gz FCXISVBKPDKJNZ-LLVKDONJSA-N 1 2 300.156 1.616 20 30 DDEDLO C#CC[C@@H]([NH2+]C[C@]1(C)CCN(C(=O)OC(C)(C)C)C1)C(=O)OC ZINC000496338489 340003428 /nfs/dbraw/zinc/00/34/28/340003428.db2.gz DGLAWOWAIHSRHW-DYVFJYSZSA-N 1 2 324.421 1.788 20 30 DDEDLO C[C@@H](CNC(=O)OC(C)(C)C)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000496504477 340006782 /nfs/dbraw/zinc/00/67/82/340006782.db2.gz IUPISWKFBXJNRQ-XHDPSFHLSA-N 1 2 312.414 1.107 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC000134959996 341227374 /nfs/dbraw/zinc/22/73/74/341227374.db2.gz AOUXGCKJGUKQOR-KRWDZBQOSA-N 1 2 317.437 1.317 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCC(C#N)(c3ccccn3)CC2)CCC1 ZINC000554565021 341458281 /nfs/dbraw/zinc/45/82/81/341458281.db2.gz TWZFBQPPSPDJFL-UHFFFAOYSA-N 1 2 323.400 1.501 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1ccccc1Br ZINC000565050975 341515972 /nfs/dbraw/zinc/51/59/72/341515972.db2.gz KAIDIEVQAOVGJQ-AWEZNQCLSA-N 1 2 324.222 1.952 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CSCC(=O)OC(C)(C)C ZINC000575320140 341792262 /nfs/dbraw/zinc/79/22/62/341792262.db2.gz MEGIVQAKOBUTLD-AWEZNQCLSA-N 1 2 315.439 1.021 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1ccc(Br)cc1 ZINC000577324983 341904147 /nfs/dbraw/zinc/90/41/47/341904147.db2.gz CDGIFTNOQPITOG-CQSZACIVSA-N 1 2 324.222 1.952 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+]([C@@H](C)c2cccc(F)c2)CC1 ZINC000668182817 485010745 /nfs/dbraw/zinc/01/07/45/485010745.db2.gz OWEONNAUHVZXDB-SUMWQHHRSA-N 1 2 306.381 1.968 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NC(=O)C(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000668570338 485220037 /nfs/dbraw/zinc/22/00/37/485220037.db2.gz UTDGFGQWOIEUCJ-ZNMIVQPWSA-N 1 2 318.421 1.639 20 30 DDEDLO C[C@H](SCCC#N)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000413017345 534124297 /nfs/dbraw/zinc/12/42/97/534124297.db2.gz NKJMCYBHGCVLCT-ZDUSSCGKSA-N 1 2 304.419 1.766 20 30 DDEDLO O=C(C[NH+]1CCC(Oc2cnccn2)CC1)NC(=O)NC1CC1 ZINC000330177113 534337493 /nfs/dbraw/zinc/33/74/93/534337493.db2.gz CSBPVJZNKSULJP-UHFFFAOYSA-N 1 2 319.365 1.353 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)c1cnc3n[nH]nc3c1)CCC2 ZINC000329675981 534511326 /nfs/dbraw/zinc/51/13/26/534511326.db2.gz PHCPMGFZCCYNNY-JTQLQIEISA-N 1 2 311.349 1.740 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NCCC[S@@](C)=O)CCC2 ZINC000331288057 534511779 /nfs/dbraw/zinc/51/17/79/534511779.db2.gz NBXXSECMMXXWHT-LAJNKCICSA-N 1 2 312.439 1.341 20 30 DDEDLO C=CC[N@H+](CCC(=O)N1CCCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000330648074 526271357 /nfs/dbraw/zinc/27/13/57/526271357.db2.gz GADVHYVCPULFAT-CQSZACIVSA-N 1 2 314.451 1.064 20 30 DDEDLO C=CC[N@@H+](CCC(=O)N1CCCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000330648074 526271363 /nfs/dbraw/zinc/27/13/63/526271363.db2.gz GADVHYVCPULFAT-CQSZACIVSA-N 1 2 314.451 1.064 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@@H](C)c2cccs2)C1 ZINC000330937191 526401345 /nfs/dbraw/zinc/40/13/45/526401345.db2.gz AGFMEVFLSLRKSR-WDEREUQCSA-N 1 2 310.423 1.133 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@@H](C)c2cccs2)C1 ZINC000330937191 526401349 /nfs/dbraw/zinc/40/13/49/526401349.db2.gz AGFMEVFLSLRKSR-WDEREUQCSA-N 1 2 310.423 1.133 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCCC[C@H]2C(=O)NCC(F)F)C1=O ZINC000451803297 526478526 /nfs/dbraw/zinc/47/85/26/526478526.db2.gz QDFOYMOMJJBBJS-NWDGAFQWSA-N 1 2 315.364 1.009 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCCC[C@H]2C(=O)NCC(F)F)C1=O ZINC000451803297 526478532 /nfs/dbraw/zinc/47/85/32/526478532.db2.gz QDFOYMOMJJBBJS-NWDGAFQWSA-N 1 2 315.364 1.009 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCC[C@H]1CS(C)(=O)=O ZINC000451661873 526521624 /nfs/dbraw/zinc/52/16/24/526521624.db2.gz UEXJMSZZNWEHIH-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCC[C@H]1CS(C)(=O)=O ZINC000451661873 526521626 /nfs/dbraw/zinc/52/16/26/526521626.db2.gz UEXJMSZZNWEHIH-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO CC(=O)N1CCC(NC(=O)C[N@H+]2C[C@H](C)O[C@@H](C3CC3)C2)CC1 ZINC000329908672 526525222 /nfs/dbraw/zinc/52/52/22/526525222.db2.gz LYFJTXDHNUDFET-BLLLJJGKSA-N 1 2 323.437 1.453 20 30 DDEDLO CC(=O)N1CCC(NC(=O)C[N@@H+]2C[C@H](C)O[C@@H](C3CC3)C2)CC1 ZINC000329908672 526525224 /nfs/dbraw/zinc/52/52/24/526525224.db2.gz LYFJTXDHNUDFET-BLLLJJGKSA-N 1 2 323.437 1.453 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)C[C@@H]1OCCc2ccccc21 ZINC000337639671 526586287 /nfs/dbraw/zinc/58/62/87/526586287.db2.gz DYQIYERRORTXKA-HNNXBMFYSA-N 1 2 317.389 1.244 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)C[C@@H]1OCCc2ccccc21 ZINC000337639671 526586292 /nfs/dbraw/zinc/58/62/92/526586292.db2.gz DYQIYERRORTXKA-HNNXBMFYSA-N 1 2 317.389 1.244 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCc2cc(F)cc(C)c2C1 ZINC000337443474 526611134 /nfs/dbraw/zinc/61/11/34/526611134.db2.gz HCNLAXRLYDNXSN-LBPRGKRZSA-N 1 2 319.380 1.893 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCc2cc(F)cc(C)c2C1 ZINC000337443474 526611137 /nfs/dbraw/zinc/61/11/37/526611137.db2.gz HCNLAXRLYDNXSN-LBPRGKRZSA-N 1 2 319.380 1.893 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[NH2+][C@@H](c2cccnc2)C1)OCC ZINC000337666598 526745648 /nfs/dbraw/zinc/74/56/48/526745648.db2.gz SXXKVDNIVFNYMN-HZPDHXFCSA-N 1 2 303.406 1.926 20 30 DDEDLO C=CCOCCC[NH+]1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000348051914 526751209 /nfs/dbraw/zinc/75/12/09/526751209.db2.gz SKVYTXUOQIIHDT-UHFFFAOYSA-N 1 2 302.440 1.125 20 30 DDEDLO C#CC[N@@H+](CC(=O)N(C)Cc1cccc(OC)c1OC)C1CC1 ZINC000491514227 526882760 /nfs/dbraw/zinc/88/27/60/526882760.db2.gz HEDOORMJTQNBRP-UHFFFAOYSA-N 1 2 316.401 1.760 20 30 DDEDLO C#CC[N@H+](CC(=O)N(C)Cc1cccc(OC)c1OC)C1CC1 ZINC000491514227 526882767 /nfs/dbraw/zinc/88/27/67/526882767.db2.gz HEDOORMJTQNBRP-UHFFFAOYSA-N 1 2 316.401 1.760 20 30 DDEDLO C#CC[N@@H+](Cc1cccc(COC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000490898259 526908080 /nfs/dbraw/zinc/90/80/80/526908080.db2.gz IUEDMAZTCBOUQT-INIZCTEOSA-N 1 2 307.415 1.455 20 30 DDEDLO C#CC[N@H+](Cc1cccc(COC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000490898259 526908085 /nfs/dbraw/zinc/90/80/85/526908085.db2.gz IUEDMAZTCBOUQT-INIZCTEOSA-N 1 2 307.415 1.455 20 30 DDEDLO C=CC(C)(C)C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000451488059 526948601 /nfs/dbraw/zinc/94/86/01/526948601.db2.gz IEVQUZRFPCCKTJ-UHFFFAOYSA-N 1 2 301.390 1.681 20 30 DDEDLO C=CC(C)(C)C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000451488059 526948611 /nfs/dbraw/zinc/94/86/11/526948611.db2.gz IEVQUZRFPCCKTJ-UHFFFAOYSA-N 1 2 301.390 1.681 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2c(C)cc(C#N)cc2C)CC1 ZINC000490762768 526951493 /nfs/dbraw/zinc/95/14/93/526951493.db2.gz MTFZQPFPOPTXQX-UHFFFAOYSA-N 1 2 311.385 1.331 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC3CC3)n2CC(C)C)CC1 ZINC000491201004 526955221 /nfs/dbraw/zinc/95/52/21/526955221.db2.gz DYQZBHXKKOYUHA-UHFFFAOYSA-N 1 2 301.438 1.642 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CC[NH+]([C@H](C)C(=O)NC2CC2)CC1 ZINC000341432836 527041205 /nfs/dbraw/zinc/04/12/05/527041205.db2.gz MSTGPGROEYDCNY-ZIAGYGMSSA-N 1 2 322.453 1.335 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000341856463 527064048 /nfs/dbraw/zinc/06/40/48/527064048.db2.gz WPNGUHWGKNAMEF-KGLIPLIRSA-N 1 2 306.410 1.553 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)Cc1nc2c(cnn2-c2ccccc2)c(=O)[nH]1 ZINC000491327885 527214185 /nfs/dbraw/zinc/21/41/85/527214185.db2.gz YRDUODCQTRHBMJ-GFCCVEGCSA-N 1 2 307.357 1.975 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)Cc1nc2c(cnn2-c2ccccc2)c(=O)[nH]1 ZINC000491327885 527214190 /nfs/dbraw/zinc/21/41/90/527214190.db2.gz YRDUODCQTRHBMJ-GFCCVEGCSA-N 1 2 307.357 1.975 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCN(c2c[nH+]ccc2C)CC1)C(C)C ZINC000490963568 527272655 /nfs/dbraw/zinc/27/26/55/527272655.db2.gz WAOPFOBTJBJHEN-OAHLLOKOSA-N 1 2 300.406 1.879 20 30 DDEDLO C#C[C@H](CO)[NH2+]CCS(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000491790337 527376533 /nfs/dbraw/zinc/37/65/33/527376533.db2.gz YRASMJAEWQPFJY-CQSZACIVSA-N 1 2 309.431 1.342 20 30 DDEDLO C#C[C@H](NC(=O)N1CCN(c2c[nH+]ccc2C)CC1)C(C)C ZINC000490963567 527387915 /nfs/dbraw/zinc/38/79/15/527387915.db2.gz WAOPFOBTJBJHEN-HNNXBMFYSA-N 1 2 300.406 1.879 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)N[C@@H]1CCCc2ccccc21 ZINC000491264312 527427463 /nfs/dbraw/zinc/42/74/63/527427463.db2.gz FTTPFYZUIGJYHZ-FUHWJXTLSA-N 1 2 312.413 1.904 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)N[C@@H]1CCCc2ccccc21 ZINC000491264312 527427468 /nfs/dbraw/zinc/42/74/68/527427468.db2.gz FTTPFYZUIGJYHZ-FUHWJXTLSA-N 1 2 312.413 1.904 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCc1cn2cc(C)ccc2[nH+]1 ZINC000457919354 527470606 /nfs/dbraw/zinc/47/06/06/527470606.db2.gz IFDHEAQOPYXLQL-CYBMUJFWSA-N 1 2 302.378 1.769 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)[C@H](CC)[N@@H+]1CCO[C@@H](CC)C1 ZINC000424923834 527496829 /nfs/dbraw/zinc/49/68/29/527496829.db2.gz KNDWLDVYIMPPCG-VGWMRTNUSA-N 1 2 310.438 1.583 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)[C@H](CC)[N@H+]1CCO[C@@H](CC)C1 ZINC000424923834 527496834 /nfs/dbraw/zinc/49/68/34/527496834.db2.gz KNDWLDVYIMPPCG-VGWMRTNUSA-N 1 2 310.438 1.583 20 30 DDEDLO CC(C)C[C@@H]1CCC(=O)N(C[C@H](O)C[N@H+](C)CCC#N)C1=O ZINC000414137465 528370199 /nfs/dbraw/zinc/37/01/99/528370199.db2.gz IFQXRWOIIUGKRE-UONOGXRCSA-N 1 2 309.410 1.004 20 30 DDEDLO CC(C)C[C@@H]1CCC(=O)N(C[C@H](O)C[N@@H+](C)CCC#N)C1=O ZINC000414137465 528370208 /nfs/dbraw/zinc/37/02/08/528370208.db2.gz IFQXRWOIIUGKRE-UONOGXRCSA-N 1 2 309.410 1.004 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)NCc1cn2cccc(C)c2[nH+]1 ZINC000336945839 528391060 /nfs/dbraw/zinc/39/10/60/528391060.db2.gz NUEOFFZSNXIIPM-UHFFFAOYSA-N 1 2 321.406 1.213 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC000330461612 528409296 /nfs/dbraw/zinc/40/92/96/528409296.db2.gz LRZAHCSPPZGZNN-CQSZACIVSA-N 1 2 320.441 1.147 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCc1c[nH+]c(C)cc1C ZINC000452041758 528643790 /nfs/dbraw/zinc/64/37/90/528643790.db2.gz MVNIPRPJOMHZML-NSHDSACASA-N 1 2 310.423 1.514 20 30 DDEDLO CCCn1c(C)cc(C(=O)C[N@@H+]2CC[C@H](NC(=O)NC)C2)c1C ZINC000330943161 528811617 /nfs/dbraw/zinc/81/16/17/528811617.db2.gz HJAPBHUGICPMEO-AWEZNQCLSA-N 1 2 320.437 1.905 20 30 DDEDLO CCCn1c(C)cc(C(=O)C[N@H+]2CC[C@H](NC(=O)NC)C2)c1C ZINC000330943161 528811624 /nfs/dbraw/zinc/81/16/24/528811624.db2.gz HJAPBHUGICPMEO-AWEZNQCLSA-N 1 2 320.437 1.905 20 30 DDEDLO CC(C)N(C[C@@H](C)O)C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000331002290 528916268 /nfs/dbraw/zinc/91/62/68/528916268.db2.gz VPVPEXIOLAIHMB-CYBMUJFWSA-N 1 2 301.431 1.102 20 30 DDEDLO CC(C)N(C[C@@H](C)O)C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000331002290 528916272 /nfs/dbraw/zinc/91/62/72/528916272.db2.gz VPVPEXIOLAIHMB-CYBMUJFWSA-N 1 2 301.431 1.102 20 30 DDEDLO CC[C@H]1CN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)CCO1 ZINC000330531482 529259402 /nfs/dbraw/zinc/25/94/02/529259402.db2.gz MOIFHYVJOZDQAD-JTQLQIEISA-N 1 2 320.315 1.970 20 30 DDEDLO CC[N@@H+](Cc1cc(-c2nn[nH]n2)ccc1OC)C[C@@H](C)C#N ZINC000825428458 607523001 /nfs/dbraw/zinc/52/30/01/607523001.db2.gz NPDYAAFJOOHQOQ-NSHDSACASA-N 1 2 300.366 1.857 20 30 DDEDLO CC[N@H+](Cc1cc(-c2nn[nH]n2)ccc1OC)C[C@@H](C)C#N ZINC000825428458 607523003 /nfs/dbraw/zinc/52/30/03/607523003.db2.gz NPDYAAFJOOHQOQ-NSHDSACASA-N 1 2 300.366 1.857 20 30 DDEDLO CCc1cccc(CC)c1NC(=O)[C@H](C)O[NH+]=C(N)CCO ZINC000121359017 696708852 /nfs/dbraw/zinc/70/88/52/696708852.db2.gz BWCJJMJFMAHYDM-NSHDSACASA-N 1 2 307.394 1.810 20 30 DDEDLO CC[N@@H+]1CCCC[C@H]1C(=O)O[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000745087748 699971385 /nfs/dbraw/zinc/97/13/85/699971385.db2.gz RQVSMOWXIBXOGO-GJZGRUSLSA-N 1 2 315.373 1.502 20 30 DDEDLO CC[N@H+]1CCCC[C@H]1C(=O)O[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000745087748 699971386 /nfs/dbraw/zinc/97/13/86/699971386.db2.gz RQVSMOWXIBXOGO-GJZGRUSLSA-N 1 2 315.373 1.502 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)n3cccc3)C2)C1 ZINC000972345449 695222456 /nfs/dbraw/zinc/22/24/56/695222456.db2.gz CUAZAQWVGARWIO-NVXWUHKLSA-N 1 2 303.406 1.538 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)n3cccc3)C2)C1 ZINC000972345449 695222458 /nfs/dbraw/zinc/22/24/58/695222458.db2.gz CUAZAQWVGARWIO-NVXWUHKLSA-N 1 2 303.406 1.538 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3[nH]c(C)cc3C)C2)C1 ZINC000972436756 695251292 /nfs/dbraw/zinc/25/12/92/695251292.db2.gz DDRJRFBJLLQWLX-QGZVFWFLSA-N 1 2 301.390 1.182 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3[nH]c(C)cc3C)C2)C1 ZINC000972436756 695251294 /nfs/dbraw/zinc/25/12/94/695251294.db2.gz DDRJRFBJLLQWLX-QGZVFWFLSA-N 1 2 301.390 1.182 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)c(C)cn3)C2)C1 ZINC000972475503 695259799 /nfs/dbraw/zinc/25/97/99/695259799.db2.gz NMOGPDCBBIXFRH-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)c(C)cn3)C2)C1 ZINC000972475503 695259801 /nfs/dbraw/zinc/25/98/01/695259801.db2.gz NMOGPDCBBIXFRH-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C(F)(F)F)C2)C1 ZINC000972493162 695264482 /nfs/dbraw/zinc/26/44/82/695264482.db2.gz BYOIFJRBWRFRLX-YPMHNXCESA-N 1 2 306.328 1.674 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C(F)(F)F)C2)C1 ZINC000972493162 695264486 /nfs/dbraw/zinc/26/44/86/695264486.db2.gz BYOIFJRBWRFRLX-YPMHNXCESA-N 1 2 306.328 1.674 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCC3(C)C)C2)C1 ZINC000972510927 695268342 /nfs/dbraw/zinc/26/83/42/695268342.db2.gz QMWDPIMKXSHAKR-CRAIPNDOSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCC3(C)C)C2)C1 ZINC000972510927 695268345 /nfs/dbraw/zinc/26/83/45/695268345.db2.gz QMWDPIMKXSHAKR-CRAIPNDOSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3occc3CC)C2)C1 ZINC000972537493 695276168 /nfs/dbraw/zinc/27/61/68/695276168.db2.gz IJYRDYYZTYFDOV-GOSISDBHSA-N 1 2 316.401 1.782 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3occc3CC)C2)C1 ZINC000972537493 695276169 /nfs/dbraw/zinc/27/61/69/695276169.db2.gz IJYRDYYZTYFDOV-GOSISDBHSA-N 1 2 316.401 1.782 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccc4c3CCC4)C2)C1 ZINC000972693184 695317276 /nfs/dbraw/zinc/31/72/76/695317276.db2.gz WPZACUOJRVDKAN-FQEVSTJZSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccc4c3CCC4)C2)C1 ZINC000972693184 695317277 /nfs/dbraw/zinc/31/72/77/695317277.db2.gz WPZACUOJRVDKAN-FQEVSTJZSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(CF)cc3)C2)C1 ZINC000972693264 695317935 /nfs/dbraw/zinc/31/79/35/695317935.db2.gz XQASUSQHIUSFNB-SFHVURJKSA-N 1 2 316.376 1.706 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(CF)cc3)C2)C1 ZINC000972693264 695317936 /nfs/dbraw/zinc/31/79/36/695317936.db2.gz XQASUSQHIUSFNB-SFHVURJKSA-N 1 2 316.376 1.706 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cc(C)n[nH]2)C(C)(C)C1 ZINC000973026155 695399298 /nfs/dbraw/zinc/39/92/98/695399298.db2.gz WYZIYZNKTHHZLT-CYBMUJFWSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cc(C)n[nH]2)C(C)(C)C1 ZINC000973026155 695399301 /nfs/dbraw/zinc/39/93/01/695399301.db2.gz WYZIYZNKTHHZLT-CYBMUJFWSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cc(C)[nH]n2)C(C)(C)C1 ZINC000973026155 695399303 /nfs/dbraw/zinc/39/93/03/695399303.db2.gz WYZIYZNKTHHZLT-CYBMUJFWSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cc(C)[nH]n2)C(C)(C)C1 ZINC000973026155 695399307 /nfs/dbraw/zinc/39/93/07/695399307.db2.gz WYZIYZNKTHHZLT-CYBMUJFWSA-N 1 2 310.829 1.840 20 30 DDEDLO C#CCNC(=O)C[N@H+](CCc1ccccn1)Cc1cccnc1 ZINC000797531515 700013861 /nfs/dbraw/zinc/01/38/61/700013861.db2.gz ZKSFAMFOUUTYGE-UHFFFAOYSA-N 1 2 308.385 1.271 20 30 DDEDLO C#CCNC(=O)C[N@@H+](CCc1ccccn1)Cc1cccnc1 ZINC000797531515 700013863 /nfs/dbraw/zinc/01/38/63/700013863.db2.gz ZKSFAMFOUUTYGE-UHFFFAOYSA-N 1 2 308.385 1.271 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CC2OCCCO2)C(C)(C)C1 ZINC000974505060 695682139 /nfs/dbraw/zinc/68/21/39/695682139.db2.gz DAYMFUMGFFMNNM-LBPRGKRZSA-N 1 2 316.829 1.719 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CC2OCCCO2)C(C)(C)C1 ZINC000974505060 695682140 /nfs/dbraw/zinc/68/21/40/695682140.db2.gz DAYMFUMGFFMNNM-LBPRGKRZSA-N 1 2 316.829 1.719 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974638598 695701151 /nfs/dbraw/zinc/70/11/51/695701151.db2.gz FNHJJFDXZZZRTG-NWDGAFQWSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974638598 695701152 /nfs/dbraw/zinc/70/11/52/695701152.db2.gz FNHJJFDXZZZRTG-NWDGAFQWSA-N 1 2 313.829 1.044 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CCC[C@@H](CC(N)=O)C1 ZINC000746675959 700036494 /nfs/dbraw/zinc/03/64/94/700036494.db2.gz RPVMJHFNOUJWMW-XJKSGUPXSA-N 1 2 315.373 1.360 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CCC[C@@H](CC(N)=O)C1 ZINC000746675959 700036496 /nfs/dbraw/zinc/03/64/96/700036496.db2.gz RPVMJHFNOUJWMW-XJKSGUPXSA-N 1 2 315.373 1.360 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C(C)(C)C1 ZINC000977625890 696239243 /nfs/dbraw/zinc/23/92/43/696239243.db2.gz UUWZWAXCUCHNNC-LBPRGKRZSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C(C)(C)C1 ZINC000977625890 696239244 /nfs/dbraw/zinc/23/92/44/696239244.db2.gz UUWZWAXCUCHNNC-LBPRGKRZSA-N 1 2 324.812 1.306 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC000747823989 700088292 /nfs/dbraw/zinc/08/82/92/700088292.db2.gz YYXDYDQZEMJWRI-UHFFFAOYSA-N 1 2 324.384 1.297 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@]2(C)C[N@H+](Cc3cscn3)C[C@]2(C)C1 ZINC000982233029 697068354 /nfs/dbraw/zinc/06/83/54/697068354.db2.gz HULFPHUMBYPMNE-WQVCFCJDSA-N 1 2 318.446 1.973 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@]2(C)C[N@@H+](Cc3cscn3)C[C@]2(C)C1 ZINC000982233029 697068356 /nfs/dbraw/zinc/06/83/56/697068356.db2.gz HULFPHUMBYPMNE-WQVCFCJDSA-N 1 2 318.446 1.973 20 30 DDEDLO C[C@]12CN(C(=O)C#CC3CC3)C[C@@]1(C)C[N@H+](Cc1cnon1)C2 ZINC000982278826 697081900 /nfs/dbraw/zinc/08/19/00/697081900.db2.gz SGBVOWCJPDCSDE-CALCHBBNSA-N 1 2 314.389 1.153 20 30 DDEDLO C[C@]12CN(C(=O)C#CC3CC3)C[C@@]1(C)C[N@@H+](Cc1cnon1)C2 ZINC000982278826 697081901 /nfs/dbraw/zinc/08/19/01/697081901.db2.gz SGBVOWCJPDCSDE-CALCHBBNSA-N 1 2 314.389 1.153 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2ccc(-c3cc[nH]n3)s2)CC1 ZINC000981401123 697086780 /nfs/dbraw/zinc/08/67/80/697086780.db2.gz IAEHRVCBSSTOTK-UHFFFAOYSA-N 1 2 314.414 1.919 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2ccc(-c3cc[nH]n3)s2)CC1 ZINC000981401123 697086782 /nfs/dbraw/zinc/08/67/82/697086782.db2.gz IAEHRVCBSSTOTK-UHFFFAOYSA-N 1 2 314.414 1.919 20 30 DDEDLO O=C(c1cn[nH]n1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000981531835 697120343 /nfs/dbraw/zinc/12/03/43/697120343.db2.gz LPGDNCXMGIRQJX-UHFFFAOYSA-N 1 2 309.373 1.004 20 30 DDEDLO O=C(c1cn[nH]n1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000981531835 697120344 /nfs/dbraw/zinc/12/03/44/697120344.db2.gz LPGDNCXMGIRQJX-UHFFFAOYSA-N 1 2 309.373 1.004 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@H+](CCO)[C@H](C)C1 ZINC000195463148 697744608 /nfs/dbraw/zinc/74/46/08/697744608.db2.gz UZNZNJYVYSOVJH-CQSZACIVSA-N 1 2 306.472 1.285 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@@H+](CCO)[C@H](C)C1 ZINC000195463148 697744610 /nfs/dbraw/zinc/74/46/10/697744610.db2.gz UZNZNJYVYSOVJH-CQSZACIVSA-N 1 2 306.472 1.285 20 30 DDEDLO C[C@@H]1[C@H]([NH2+]Cc2nc(C(F)F)no2)CCN1C(=O)C#CC1CC1 ZINC000986277997 697763642 /nfs/dbraw/zinc/76/36/42/697763642.db2.gz KCWNUCRRWQQLFW-MWLCHTKSSA-N 1 2 324.331 1.500 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C)o1 ZINC000986287899 697768487 /nfs/dbraw/zinc/76/84/87/697768487.db2.gz SROABWKZFTXQOP-YPMHNXCESA-N 1 2 302.378 1.124 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1)[C@@H]1CCCO1 ZINC000775322727 697981821 /nfs/dbraw/zinc/98/18/21/697981821.db2.gz FXAJZADHMNPAND-YESZJQIVSA-N 1 2 322.449 1.420 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=Cc2cnn(Cc3ccccc3Cl)c2)N1 ZINC000779792056 698465569 /nfs/dbraw/zinc/46/55/69/698465569.db2.gz OAMDIKMGNBLZOR-NSHDSACASA-N 1 2 316.796 1.856 20 30 DDEDLO N#Cc1ccc(CNC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)nc1 ZINC000780658859 698545593 /nfs/dbraw/zinc/54/55/93/698545593.db2.gz RSUANOHHIPWBLT-UHFFFAOYSA-N 1 2 310.361 1.766 20 30 DDEDLO N#Cc1ccc(CNC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)nc1 ZINC000780658859 698545595 /nfs/dbraw/zinc/54/55/95/698545595.db2.gz RSUANOHHIPWBLT-UHFFFAOYSA-N 1 2 310.361 1.766 20 30 DDEDLO C[C@@H]1C[C@H](NCC#N)CN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000989635468 698702238 /nfs/dbraw/zinc/70/22/38/698702238.db2.gz MAYYWQJFTISZBX-HIFRSBDPSA-N 1 2 309.373 1.588 20 30 DDEDLO C#CCOCCNC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000784247784 698920965 /nfs/dbraw/zinc/92/09/65/698920965.db2.gz GVCFMATWSKWCMK-UHFFFAOYSA-N 1 2 316.336 1.460 20 30 DDEDLO C#CC(=O)Nc1ccc(N2CC[NH+](C)CC2)c(Br)c1 ZINC000787675662 699229596 /nfs/dbraw/zinc/22/95/96/699229596.db2.gz RVHQWFUGFKYTHF-UHFFFAOYSA-N 1 2 322.206 1.773 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@H](C2OCCO2)C1 ZINC000718976050 699296312 /nfs/dbraw/zinc/29/63/12/699296312.db2.gz SBHPERRWFAOCJW-NSHDSACASA-N 1 2 307.375 1.253 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@H](C2OCCO2)C1 ZINC000718976050 699296314 /nfs/dbraw/zinc/29/63/14/699296314.db2.gz SBHPERRWFAOCJW-NSHDSACASA-N 1 2 307.375 1.253 20 30 DDEDLO N#C[C@@H]1CN(C(=O)Nc2ccc3[nH+]ccn3c2)CCN1C1CC1 ZINC000738951558 699767755 /nfs/dbraw/zinc/76/77/55/699767755.db2.gz XLXRDTJWCNHOLJ-CQSZACIVSA-N 1 2 310.361 1.538 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CCC[C@H]([C@@H]3CCOC3)C2)c1=S ZINC000794635406 699820726 /nfs/dbraw/zinc/82/07/26/699820726.db2.gz VMXUQIBDTKWSDW-QWHCGFSZSA-N 1 2 321.450 1.723 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CCC[C@H]([C@@H]3CCOC3)C2)c1=S ZINC000794635406 699820729 /nfs/dbraw/zinc/82/07/29/699820729.db2.gz VMXUQIBDTKWSDW-QWHCGFSZSA-N 1 2 321.450 1.723 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)CC1N=Nc1ccc(S(C)(=O)=O)cc1 ZINC000755893155 700590086 /nfs/dbraw/zinc/59/00/86/700590086.db2.gz PTLHIMMEZPUDAB-LLVKDONJSA-N 1 2 307.419 1.972 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)CC1N=Nc1ccc(S(C)(=O)=O)cc1 ZINC000755893155 700590091 /nfs/dbraw/zinc/59/00/91/700590091.db2.gz PTLHIMMEZPUDAB-LLVKDONJSA-N 1 2 307.419 1.972 20 30 DDEDLO C#CCCCC(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000757933739 700677045 /nfs/dbraw/zinc/67/70/45/700677045.db2.gz ZYVRYJVCLJHBME-UHFFFAOYSA-N 1 2 313.401 1.816 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000762189617 700884349 /nfs/dbraw/zinc/88/43/49/700884349.db2.gz CZXQVKFNCLYYMZ-OAHLLOKOSA-N 1 2 323.352 1.827 20 30 DDEDLO CC[N@H+](CC(=O)NC)[C@@H](C)C(=O)N(C)C1(C#N)CCC(C)CC1 ZINC000764201379 700963631 /nfs/dbraw/zinc/96/36/31/700963631.db2.gz KJIKTLIPXULPLS-UUCFBXCCSA-N 1 2 322.453 1.374 20 30 DDEDLO CC[N@@H+](CC(=O)NC)[C@@H](C)C(=O)N(C)C1(C#N)CCC(C)CC1 ZINC000764201379 700963632 /nfs/dbraw/zinc/96/36/32/700963632.db2.gz KJIKTLIPXULPLS-UUCFBXCCSA-N 1 2 322.453 1.374 20 30 DDEDLO N#Cc1nc(C2CC2)oc1N1CC[NH+](CCC(=O)C2CC2)CC1 ZINC000767418089 701105549 /nfs/dbraw/zinc/10/55/49/701105549.db2.gz UCWJENCRTPLXNH-UHFFFAOYSA-N 1 2 314.389 1.915 20 30 DDEDLO N#CCOc1cccc(CC(=O)N[C@H]2CCc3c[nH+]cn3C2)c1 ZINC000804333657 701179464 /nfs/dbraw/zinc/17/94/64/701179464.db2.gz OJXSCBLLBAHRTO-AWEZNQCLSA-N 1 2 310.357 1.459 20 30 DDEDLO C#CCNc1nc(N(C)C2CCC2)nc(N(C)C2CCC2)[nH+]1 ZINC000804506262 701196884 /nfs/dbraw/zinc/19/68/84/701196884.db2.gz HOBUELNVXFFZOV-UHFFFAOYSA-N 1 2 300.410 1.316 20 30 DDEDLO C#CCNc1nc(N(C)C2CCC2)[nH+]c(N(C)C2CCC2)n1 ZINC000804506262 701196887 /nfs/dbraw/zinc/19/68/87/701196887.db2.gz HOBUELNVXFFZOV-UHFFFAOYSA-N 1 2 300.410 1.316 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CCC(S(C)(=O)=O)CC2)cc1 ZINC000769485346 701247076 /nfs/dbraw/zinc/24/70/76/701247076.db2.gz OBNZMAPBTGVHRE-UHFFFAOYSA-N 1 2 307.415 1.708 20 30 DDEDLO NC(=O)CON=C1CC[NH+](Cc2c(F)cccc2Cl)CC1 ZINC000771571029 701323963 /nfs/dbraw/zinc/32/39/63/701323963.db2.gz PWRLOGLCDJQPFR-UHFFFAOYSA-N 1 2 313.760 1.933 20 30 DDEDLO CC(=[NH+]N[C@H]1CCS(=O)(=O)C1)c1cc(F)c(N)c(F)c1 ZINC000814680122 701740303 /nfs/dbraw/zinc/74/03/03/701740303.db2.gz BFZFAEOCMMLOQP-VIFPVBQESA-N 1 2 303.334 1.048 20 30 DDEDLO C=CCNC(=O)COC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000810833705 701857860 /nfs/dbraw/zinc/85/78/60/701857860.db2.gz ANIAGKSAXUGSFG-UHFFFAOYSA-N 1 2 313.357 1.319 20 30 DDEDLO Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)OCC1(C#N)COC1 ZINC000811046099 701900848 /nfs/dbraw/zinc/90/08/48/701900848.db2.gz OTUCHBOKXHFNOL-UHFFFAOYSA-N 1 2 321.283 1.706 20 30 DDEDLO N#Cc1ccc(CNC(=O)/C=C/C[NH+]2CCOCC2)cc1Cl ZINC000868107052 701943832 /nfs/dbraw/zinc/94/38/32/701943832.db2.gz OPGXRXXOGQVURP-OWOJBTEDSA-N 1 2 319.792 1.716 20 30 DDEDLO COC[C@]1(C(=O)OC(C)(C)C)C[N@@H+]([C@H](C)CCC#N)CCO1 ZINC000879360138 706660202 /nfs/dbraw/zinc/66/02/02/706660202.db2.gz ZQXSSNYPUAUOHG-CJNGLKHVSA-N 1 2 312.410 1.738 20 30 DDEDLO COC[C@]1(C(=O)OC(C)(C)C)C[N@H+]([C@H](C)CCC#N)CCO1 ZINC000879360138 706660205 /nfs/dbraw/zinc/66/02/05/706660205.db2.gz ZQXSSNYPUAUOHG-CJNGLKHVSA-N 1 2 312.410 1.738 20 30 DDEDLO Cc1ncccc1OC[C@H]1CCC[N@@H+]1Cn1cccc(C#N)c1=O ZINC000841689039 702539156 /nfs/dbraw/zinc/53/91/56/702539156.db2.gz BGOMHEOUNBWGKA-MRXNPFEDSA-N 1 2 324.384 1.924 20 30 DDEDLO Cc1ncccc1OC[C@H]1CCC[N@H+]1Cn1cccc(C#N)c1=O ZINC000841689039 702539159 /nfs/dbraw/zinc/53/91/59/702539159.db2.gz BGOMHEOUNBWGKA-MRXNPFEDSA-N 1 2 324.384 1.924 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000844004499 702953787 /nfs/dbraw/zinc/95/37/87/702953787.db2.gz BXRQJPPRCWYHDO-NVXWUHKLSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000844004499 702953788 /nfs/dbraw/zinc/95/37/88/702953788.db2.gz BXRQJPPRCWYHDO-NVXWUHKLSA-N 1 2 304.434 1.892 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CC(=O)N[C@H](Cc2ccccc2)C(C)=O)C1 ZINC000847032653 703369401 /nfs/dbraw/zinc/36/94/01/703369401.db2.gz YFWXKIKPDGCBPO-FUHWJXTLSA-N 1 2 312.413 1.648 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CC(=O)N[C@H](Cc2ccccc2)C(C)=O)C1 ZINC000847032653 703369402 /nfs/dbraw/zinc/36/94/02/703369402.db2.gz YFWXKIKPDGCBPO-FUHWJXTLSA-N 1 2 312.413 1.648 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CC[C@@H]([C@@H](C)O)C3)[nH+]cn2)C1 ZINC000848751968 703583873 /nfs/dbraw/zinc/58/38/73/703583873.db2.gz XZMODYZVUWXJLL-RBSFLKMASA-N 1 2 300.406 1.533 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CC[C@@H]([C@@H](C)O)C3)nc[nH+]2)C1 ZINC000848751968 703583874 /nfs/dbraw/zinc/58/38/74/703583874.db2.gz XZMODYZVUWXJLL-RBSFLKMASA-N 1 2 300.406 1.533 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=S)Nc2ccccc2C#N)[C@@H](C)CO1 ZINC000849280734 703631173 /nfs/dbraw/zinc/63/11/73/703631173.db2.gz GGEBKPWZOVWGGJ-STQMWFEESA-N 1 2 318.446 1.954 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=S)Nc2ccccc2C#N)[C@@H](C)CO1 ZINC000849280734 703631174 /nfs/dbraw/zinc/63/11/74/703631174.db2.gz GGEBKPWZOVWGGJ-STQMWFEESA-N 1 2 318.446 1.954 20 30 DDEDLO COc1cc(C(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)ccc1C#N ZINC000849901985 703688106 /nfs/dbraw/zinc/68/81/06/703688106.db2.gz OLHBBUXJYSHBBY-GASCZTMLSA-N 1 2 316.357 1.587 20 30 DDEDLO COc1cc(C(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)ccc1C#N ZINC000849901985 703688107 /nfs/dbraw/zinc/68/81/07/703688107.db2.gz OLHBBUXJYSHBBY-GASCZTMLSA-N 1 2 316.357 1.587 20 30 DDEDLO C=C(Br)CNC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000852013390 703904032 /nfs/dbraw/zinc/90/40/32/703904032.db2.gz FIYVYNSBIPGVLH-LLVKDONJSA-N 1 2 318.215 1.011 20 30 DDEDLO C/C(=C/C(=O)NCc1ccc(C)c(C#N)c1)C[NH+]1CCOCC1 ZINC000831784389 706779590 /nfs/dbraw/zinc/77/95/90/706779590.db2.gz BXAUACUARZZVPI-ZROIWOOFSA-N 1 2 313.401 1.761 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccccc1OCC(F)F ZINC000819460857 704129552 /nfs/dbraw/zinc/12/95/52/704129552.db2.gz WTJZZUSRMUIXCS-LBPRGKRZSA-N 1 2 313.348 1.949 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccccc1OCC(F)F ZINC000819460857 704129554 /nfs/dbraw/zinc/12/95/54/704129554.db2.gz WTJZZUSRMUIXCS-LBPRGKRZSA-N 1 2 313.348 1.949 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1cc(C)c(C(=O)OC)o1 ZINC000870797442 704133913 /nfs/dbraw/zinc/13/39/13/704133913.db2.gz NOWOHPSVBNVNJH-ZDUSSCGKSA-N 1 2 304.346 1.088 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1cc(C)c(C(=O)OC)o1 ZINC000870797442 704133917 /nfs/dbraw/zinc/13/39/17/704133917.db2.gz NOWOHPSVBNVNJH-ZDUSSCGKSA-N 1 2 304.346 1.088 20 30 DDEDLO C[N@H+](CC(=O)Nc1nc2ccc(C#N)cc2[nH]1)[C@@H]1CCSC1 ZINC000871072435 704213340 /nfs/dbraw/zinc/21/33/40/704213340.db2.gz LSZGPROGOJSITR-LLVKDONJSA-N 1 2 315.402 1.810 20 30 DDEDLO C[N@@H+](CC(=O)Nc1nc2ccc(C#N)cc2[nH]1)[C@@H]1CCSC1 ZINC000871072435 704213343 /nfs/dbraw/zinc/21/33/43/704213343.db2.gz LSZGPROGOJSITR-LLVKDONJSA-N 1 2 315.402 1.810 20 30 DDEDLO N#Cc1ccc2[nH]c(NC(=O)[C@@H]3CCn4cc[nH+]c4C3)nc2c1 ZINC000871073302 704213372 /nfs/dbraw/zinc/21/33/72/704213372.db2.gz XCKFEIZUBKEVBJ-LLVKDONJSA-N 1 2 306.329 1.832 20 30 DDEDLO C#CCOCCO[NH+]=C(N)c1ccc(OC(F)F)c(OC)c1 ZINC000853645168 704292315 /nfs/dbraw/zinc/29/23/15/704292315.db2.gz PNSLHHMCFYSCEA-UHFFFAOYSA-N 1 2 314.288 1.793 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000854726276 704444638 /nfs/dbraw/zinc/44/46/38/704444638.db2.gz AXOGSGOCDVHHIW-NVXWUHKLSA-N 1 2 310.438 1.538 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N[C@@H]1CCn2c[nH+]cc2C1 ZINC000857962717 704651104 /nfs/dbraw/zinc/65/11/04/704651104.db2.gz MENFLJTWGMPLAM-CYBMUJFWSA-N 1 2 309.325 1.095 20 30 DDEDLO C#CC[C@@H](COC)Nc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000858860989 704765742 /nfs/dbraw/zinc/76/57/42/704765742.db2.gz WUXROEKFKNXNNH-KBPBESRZSA-N 1 2 304.394 1.278 20 30 DDEDLO C#CC[C@@H](COC)Nc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000858860989 704765744 /nfs/dbraw/zinc/76/57/44/704765744.db2.gz WUXROEKFKNXNNH-KBPBESRZSA-N 1 2 304.394 1.278 20 30 DDEDLO C#C[C@H](NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F)[C@H]1CCCO1 ZINC000822072222 704871608 /nfs/dbraw/zinc/87/16/08/704871608.db2.gz GCVIUTOMMSLCIE-QJPTWQEYSA-N 1 2 315.295 1.674 20 30 DDEDLO N#CCOc1ccc(CNC(=O)N[C@H]2Cc3c[nH+]cn3C2)cc1 ZINC000875220232 705319632 /nfs/dbraw/zinc/31/96/32/705319632.db2.gz UXCZJUUUMPEPTO-ZDUSSCGKSA-N 1 2 311.345 1.210 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)C(=O)NOc1cccc(C#N)c1 ZINC000825268943 705636129 /nfs/dbraw/zinc/63/61/29/705636129.db2.gz ZYBFJBGCZOKKDQ-UHFFFAOYSA-N 1 2 303.362 1.327 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000826030819 705760970 /nfs/dbraw/zinc/76/09/70/705760970.db2.gz RLCSMGMWGDFDLC-ZDUSSCGKSA-N 1 2 305.378 1.281 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)c1ccccc1 ZINC000826046467 705763290 /nfs/dbraw/zinc/76/32/90/705763290.db2.gz SFYNRJDTFOEVIC-INIZCTEOSA-N 1 2 315.417 1.771 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)c1ccccc1 ZINC000826046467 705763291 /nfs/dbraw/zinc/76/32/91/705763291.db2.gz SFYNRJDTFOEVIC-INIZCTEOSA-N 1 2 315.417 1.771 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@H](CNC(=O)[C@@H](C)CC#N)C2)cc1 ZINC000826590120 705820509 /nfs/dbraw/zinc/82/05/09/705820509.db2.gz PASQRMOKUVNTGB-DOTOQJQBSA-N 1 2 315.417 1.862 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@H](CNC(=O)[C@@H](C)CC#N)C2)cc1 ZINC000826590120 705820513 /nfs/dbraw/zinc/82/05/13/705820513.db2.gz PASQRMOKUVNTGB-DOTOQJQBSA-N 1 2 315.417 1.862 20 30 DDEDLO C#CC1CCN(c2cc(N3CC[C@H]([C@H](C)O)C3)nc[nH+]2)CC1 ZINC000826710923 705853720 /nfs/dbraw/zinc/85/37/20/705853720.db2.gz UDUMMGZNYWQJCW-ZFWWWQNUSA-N 1 2 300.406 1.533 20 30 DDEDLO C#CC1CCN(c2cc(N3CC[C@H]([C@H](C)O)C3)[nH+]cn2)CC1 ZINC000826710923 705853724 /nfs/dbraw/zinc/85/37/24/705853724.db2.gz UDUMMGZNYWQJCW-ZFWWWQNUSA-N 1 2 300.406 1.533 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[NH+]2CCN([C@@H]3CCOC3)CC2)cc1 ZINC000827120912 705933899 /nfs/dbraw/zinc/93/38/99/705933899.db2.gz WLFSLZPFEMMSBH-DOTOQJQBSA-N 1 2 315.417 1.884 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2cc(C#N)ccc2F)CC1 ZINC000827158911 705941967 /nfs/dbraw/zinc/94/19/67/705941967.db2.gz VMOGQFYVRKQKRD-UHFFFAOYSA-N 1 2 305.353 1.492 20 30 DDEDLO C=CCOC[C@H](NCc1[nH+]cc(C)c(OC)c1C)C(=O)OC ZINC000877495512 706122922 /nfs/dbraw/zinc/12/29/22/706122922.db2.gz XUHCZGGEDNNUBG-AWEZNQCLSA-N 1 2 308.378 1.541 20 30 DDEDLO N#C[C@@]1(C(=O)N2CC[NH+](Cc3ccccc3)CC2)CCCOC1 ZINC000864397251 706156981 /nfs/dbraw/zinc/15/69/81/706156981.db2.gz HQJWXCHISYVXMA-SFHVURJKSA-N 1 2 313.401 1.651 20 30 DDEDLO C=CCNC(=O)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000864421858 706163699 /nfs/dbraw/zinc/16/36/99/706163699.db2.gz QLIIPVCIIOZYBY-MRXNPFEDSA-N 1 2 303.406 1.681 20 30 DDEDLO C=CCNC(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000864421858 706163701 /nfs/dbraw/zinc/16/37/01/706163701.db2.gz QLIIPVCIIOZYBY-MRXNPFEDSA-N 1 2 303.406 1.681 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@H+](Cc3ccc(C#N)c(F)c3)C2)C1 ZINC000877712819 706185872 /nfs/dbraw/zinc/18/58/72/706185872.db2.gz USMBQBGAWKEZJG-INIZCTEOSA-N 1 2 317.320 1.591 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@@H+](Cc3ccc(C#N)c(F)c3)C2)C1 ZINC000877712819 706185874 /nfs/dbraw/zinc/18/58/74/706185874.db2.gz USMBQBGAWKEZJG-INIZCTEOSA-N 1 2 317.320 1.591 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cnc4cnccn34)CC2)cc1 ZINC000877745284 706196473 /nfs/dbraw/zinc/19/64/73/706196473.db2.gz OBCIKPYXMDKNOF-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO CC1(C)OC[C@@H]([C@@H]2C[N@H+](Cc3cccc(C#N)c3)CCO2)O1 ZINC000877784888 706211306 /nfs/dbraw/zinc/21/13/06/706211306.db2.gz NWWINHWHIWKBLZ-HOTGVXAUSA-N 1 2 302.374 1.911 20 30 DDEDLO CC1(C)OC[C@@H]([C@@H]2C[N@@H+](Cc3cccc(C#N)c3)CCO2)O1 ZINC000877784888 706211310 /nfs/dbraw/zinc/21/13/10/706211310.db2.gz NWWINHWHIWKBLZ-HOTGVXAUSA-N 1 2 302.374 1.911 20 30 DDEDLO C#CC[C@H]1CCC[N@@H+](CC(=O)N=c2cc[nH]n2[C@@H](C)C2CC2)C1 ZINC000829803642 706399197 /nfs/dbraw/zinc/39/91/97/706399197.db2.gz AGGUGSKXCAWWGZ-GJZGRUSLSA-N 1 2 314.433 1.950 20 30 DDEDLO C#CC[C@H]1CCC[N@H+](CC(=O)N=c2cc[nH]n2[C@@H](C)C2CC2)C1 ZINC000829803642 706399200 /nfs/dbraw/zinc/39/92/00/706399200.db2.gz AGGUGSKXCAWWGZ-GJZGRUSLSA-N 1 2 314.433 1.950 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCCN(c2ncccc2F)CC1 ZINC000878483699 706403725 /nfs/dbraw/zinc/40/37/25/706403725.db2.gz FCLGFETVEQRIBV-CQSZACIVSA-N 1 2 307.369 1.851 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCCN(c2ncccc2F)CC1 ZINC000878483699 706403726 /nfs/dbraw/zinc/40/37/26/706403726.db2.gz FCLGFETVEQRIBV-CQSZACIVSA-N 1 2 307.369 1.851 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@H]2CC3(CCC3)CO2)n1 ZINC000878526681 706416999 /nfs/dbraw/zinc/41/69/99/706416999.db2.gz WTUOCVRBUNEEDI-QGZVFWFLSA-N 1 2 317.433 1.924 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@H]2CC3(CCC3)CO2)n1 ZINC000878526681 706417000 /nfs/dbraw/zinc/41/70/00/706417000.db2.gz WTUOCVRBUNEEDI-QGZVFWFLSA-N 1 2 317.433 1.924 20 30 DDEDLO N#CCC(=O)N1CC[NH+](C[C@@H]2CC[C@@H](c3ccccc3)O2)CC1 ZINC000878673178 706464853 /nfs/dbraw/zinc/46/48/53/706464853.db2.gz WVNPFJJIBCPESW-IRXDYDNUSA-N 1 2 313.401 1.965 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1cc(C#N)ccc1C ZINC000830162151 706467013 /nfs/dbraw/zinc/46/70/13/706467013.db2.gz GKBRMTDSUJYPBE-OAHLLOKOSA-N 1 2 301.390 1.707 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C(=O)OCC)C2(COC2)C1 ZINC000830269194 706488068 /nfs/dbraw/zinc/48/80/68/706488068.db2.gz NOMCHWFPBHDGQT-JTQLQIEISA-N 1 2 304.184 1.407 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C(=O)OCC)C2(COC2)C1 ZINC000830269194 706488070 /nfs/dbraw/zinc/48/80/70/706488070.db2.gz NOMCHWFPBHDGQT-JTQLQIEISA-N 1 2 304.184 1.407 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(C(=O)OC(C)(C)C)C2)C[C@H](C)N1CC#N ZINC000878773668 706495965 /nfs/dbraw/zinc/49/59/65/706495965.db2.gz ZWQJZPAIRDRVGC-BETUJISGSA-N 1 2 308.426 1.524 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(C(=O)OC(C)(C)C)C2)C[C@H](C)N1CC#N ZINC000878773668 706495967 /nfs/dbraw/zinc/49/59/67/706495967.db2.gz ZWQJZPAIRDRVGC-BETUJISGSA-N 1 2 308.426 1.524 20 30 DDEDLO C#CCOc1ccc(F)cc1NC(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000878803553 706503548 /nfs/dbraw/zinc/50/35/48/706503548.db2.gz COVPDBVAHPEDJA-GFCCVEGCSA-N 1 2 314.320 1.781 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H](C(F)(F)F)O[C@H](C)C1 ZINC000880061531 706867693 /nfs/dbraw/zinc/86/76/93/706867693.db2.gz WHQKUUOEWVHDMV-NEPJUHHUSA-N 1 2 321.343 1.790 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H](C(F)(F)F)O[C@H](C)C1 ZINC000880061531 706867696 /nfs/dbraw/zinc/86/76/96/706867696.db2.gz WHQKUUOEWVHDMV-NEPJUHHUSA-N 1 2 321.343 1.790 20 30 DDEDLO COC(=O)[C@@H]([NH2+]CCc1ccc(C#N)cc1)C1CCOCC1 ZINC000880339851 706946236 /nfs/dbraw/zinc/94/62/36/706946236.db2.gz FYDPIIBHACOBIC-INIZCTEOSA-N 1 2 302.374 1.659 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC000867281335 706947268 /nfs/dbraw/zinc/94/72/68/706947268.db2.gz FETDNESSJUDRCU-MRXNPFEDSA-N 1 2 315.417 1.714 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC000867281335 706947271 /nfs/dbraw/zinc/94/72/71/706947271.db2.gz FETDNESSJUDRCU-MRXNPFEDSA-N 1 2 315.417 1.714 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC000832755357 706976114 /nfs/dbraw/zinc/97/61/14/706976114.db2.gz INUXNEOIEHENLS-CYBMUJFWSA-N 1 2 310.357 1.535 20 30 DDEDLO CC(C)C[C@@H](C(N)=O)[N@H+](C)CC(=O)NCc1cccc(C#N)c1 ZINC000880588602 707029653 /nfs/dbraw/zinc/02/96/53/707029653.db2.gz ZQFBWUNIFKOTKY-HNNXBMFYSA-N 1 2 316.405 1.006 20 30 DDEDLO CC(C)C[C@@H](C(N)=O)[N@@H+](C)CC(=O)NCc1cccc(C#N)c1 ZINC000880588602 707029654 /nfs/dbraw/zinc/02/96/54/707029654.db2.gz ZQFBWUNIFKOTKY-HNNXBMFYSA-N 1 2 316.405 1.006 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@@H+]1CCc2ncncc2C1 ZINC000880598594 707034267 /nfs/dbraw/zinc/03/42/67/707034267.db2.gz SYQQZHFBRBOESB-HNNXBMFYSA-N 1 2 310.357 1.146 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@H+]1CCc2ncncc2C1 ZINC000880598594 707034269 /nfs/dbraw/zinc/03/42/69/707034269.db2.gz SYQQZHFBRBOESB-HNNXBMFYSA-N 1 2 310.357 1.146 20 30 DDEDLO COc1ccc(NC(=O)N[C@@H](C)C[NH+]2CCOCC2)cc1C#N ZINC000880874164 707087918 /nfs/dbraw/zinc/08/79/18/707087918.db2.gz VBCNOENOMUTTNB-LBPRGKRZSA-N 1 2 318.377 1.409 20 30 DDEDLO CCO[C@@H]1CC(=NNC2=[NH+][C@@H]3CCCC[C@H]3N2)[C@H]1n1cccn1 ZINC000834966873 707153406 /nfs/dbraw/zinc/15/34/06/707153406.db2.gz UZDNBIAPVWMTKI-QHSBEEBCSA-N 1 2 316.409 1.449 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=Cc2cn(C)nc2-c2ccc(F)cc2)N1 ZINC000834970881 707153857 /nfs/dbraw/zinc/15/38/57/707153857.db2.gz RVPRPEYTONBJQP-JTQLQIEISA-N 1 2 300.341 1.497 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCN(C)c2ncccc2C1 ZINC000872316954 707378815 /nfs/dbraw/zinc/37/88/15/707378815.db2.gz BXPFYZSHXDOWMV-UHFFFAOYSA-N 1 2 300.406 1.534 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCN(C)c2ncccc2C1 ZINC000872316954 707378820 /nfs/dbraw/zinc/37/88/20/707378820.db2.gz BXPFYZSHXDOWMV-UHFFFAOYSA-N 1 2 300.406 1.534 20 30 DDEDLO C#CCN(CC#CC)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000881943353 707436739 /nfs/dbraw/zinc/43/67/39/707436739.db2.gz YOEWWQAIWQEJTK-UHFFFAOYSA-N 1 2 316.426 1.322 20 30 DDEDLO C#CCN(CC#CC)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000881943353 707436741 /nfs/dbraw/zinc/43/67/41/707436741.db2.gz YOEWWQAIWQEJTK-UHFFFAOYSA-N 1 2 316.426 1.322 20 30 DDEDLO C#CC1(NS(=O)(=O)c2cccc3c2CC[N@@H+](C)C3)CCCC1 ZINC000882776370 707789668 /nfs/dbraw/zinc/78/96/68/707789668.db2.gz UXNQYJNDRFZNPH-UHFFFAOYSA-N 1 2 318.442 1.899 20 30 DDEDLO C#CC1(NS(=O)(=O)c2cccc3c2CC[N@H+](C)C3)CCCC1 ZINC000882776370 707789671 /nfs/dbraw/zinc/78/96/71/707789671.db2.gz UXNQYJNDRFZNPH-UHFFFAOYSA-N 1 2 318.442 1.899 20 30 DDEDLO C#C[C@H]1COCCN1CCCCCC[N@@H+]1CCOC[C@@H]1C#C ZINC000839199082 708035972 /nfs/dbraw/zinc/03/59/72/708035972.db2.gz BBYFZIUHVHAXFO-ROUUACIJSA-N 1 2 304.434 1.215 20 30 DDEDLO C#C[C@H]1COCCN1CCCCCC[N@H+]1CCOC[C@@H]1C#C ZINC000839199082 708035974 /nfs/dbraw/zinc/03/59/74/708035974.db2.gz BBYFZIUHVHAXFO-ROUUACIJSA-N 1 2 304.434 1.215 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)c1cc(C)cc(C)c1 ZINC000884129196 708152766 /nfs/dbraw/zinc/15/27/66/708152766.db2.gz AIVUBDPTACKLND-ZFWWWQNUSA-N 1 2 304.390 1.927 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)c1ccc(C)cc1 ZINC000884146777 708160411 /nfs/dbraw/zinc/16/04/11/708160411.db2.gz RCVHMBKXVBBPCI-HIFRSBDPSA-N 1 2 304.390 1.661 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(OCCC)cc1 ZINC000884309182 708237047 /nfs/dbraw/zinc/23/70/47/708237047.db2.gz ONZCGCAYCNGSCM-AWEZNQCLSA-N 1 2 306.362 1.861 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1ccc(F)cc1C ZINC000884319451 708242700 /nfs/dbraw/zinc/24/27/00/708242700.db2.gz VLOFAORHBACXNU-AWEZNQCLSA-N 1 2 308.353 1.539 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000884379020 708271192 /nfs/dbraw/zinc/27/11/92/708271192.db2.gz LSVUQTQVJFYJGL-KFWWJZLASA-N 1 2 324.421 1.098 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCc2ccc(Cl)cc21 ZINC000884444166 708299536 /nfs/dbraw/zinc/29/95/36/708299536.db2.gz AKRJFNXIFJFRFS-KBPBESRZSA-N 1 2 322.792 1.890 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)N[C@](C)(C3CC3)C2=O)CC1 ZINC000884479177 708311676 /nfs/dbraw/zinc/31/16/76/708311676.db2.gz ZAUPGUIVWSPMOE-OAHLLOKOSA-N 1 2 307.394 1.067 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)N[C@](C)(C(C)C)C2=O)CC1 ZINC000884479143 708311681 /nfs/dbraw/zinc/31/16/81/708311681.db2.gz XZSDVLZRYHJPDW-OAHLLOKOSA-N 1 2 309.410 1.313 20 30 DDEDLO C#C[C@H](NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1)[C@H]1CCCO1 ZINC000897430926 708326032 /nfs/dbraw/zinc/32/60/32/708326032.db2.gz CORZRESOFMNSDL-TUKIKUTGSA-N 1 2 302.378 1.087 20 30 DDEDLO C#C[C@H](NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1)[C@H]1CCCO1 ZINC000897430926 708326036 /nfs/dbraw/zinc/32/60/36/708326036.db2.gz CORZRESOFMNSDL-TUKIKUTGSA-N 1 2 302.378 1.087 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2ccc(C)c(C)c2)C1 ZINC000885512344 708562952 /nfs/dbraw/zinc/56/29/52/708562952.db2.gz VEXVOTPNCZVAAD-KRWDZBQOSA-N 1 2 320.458 1.820 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2ccc(C)c(C)c2)C1 ZINC000885512344 708562956 /nfs/dbraw/zinc/56/29/56/708562956.db2.gz VEXVOTPNCZVAAD-KRWDZBQOSA-N 1 2 320.458 1.820 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(F)c(C)c2)C1 ZINC000885512634 708563547 /nfs/dbraw/zinc/56/35/47/708563547.db2.gz XGRYFJQXCOXFEN-ZDUSSCGKSA-N 1 2 310.394 1.510 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(F)c(C)c2)C1 ZINC000885512634 708563549 /nfs/dbraw/zinc/56/35/49/708563549.db2.gz XGRYFJQXCOXFEN-ZDUSSCGKSA-N 1 2 310.394 1.510 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)C1(c2ccc(C#N)cc2)CC1 ZINC000898890457 708909804 /nfs/dbraw/zinc/90/98/04/708909804.db2.gz ZZLDKYJQRIWKML-AWEZNQCLSA-N 1 2 310.357 1.173 20 30 DDEDLO C=CCOc1ccc(CC(=O)N[C@@H](CO)c2c[nH+]cn2C)cc1 ZINC000898890715 708910290 /nfs/dbraw/zinc/91/02/90/708910290.db2.gz BQXCHNGENBSVCV-HNNXBMFYSA-N 1 2 315.373 1.377 20 30 DDEDLO N#C[C@]1(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)CC12CCCC2 ZINC000899062109 708970114 /nfs/dbraw/zinc/97/01/14/708970114.db2.gz TXOQRFIQWZFXRV-INIZCTEOSA-N 1 2 319.405 1.025 20 30 DDEDLO N#C[C@]1(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)CC12CCCC2 ZINC000899062109 708970117 /nfs/dbraw/zinc/97/01/17/708970117.db2.gz TXOQRFIQWZFXRV-INIZCTEOSA-N 1 2 319.405 1.025 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N[C@@H]1CCC[N@H+](CCF)C1 ZINC000899220633 709024958 /nfs/dbraw/zinc/02/49/58/709024958.db2.gz NDZCOBSZGDRPHD-CYBMUJFWSA-N 1 2 305.353 1.731 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N[C@@H]1CCC[N@@H+](CCF)C1 ZINC000899220633 709024962 /nfs/dbraw/zinc/02/49/62/709024962.db2.gz NDZCOBSZGDRPHD-CYBMUJFWSA-N 1 2 305.353 1.731 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1c2ccccc2OC[C@@H]1F ZINC000928068084 713115371 /nfs/dbraw/zinc/11/53/71/713115371.db2.gz LLCHMLHDPRGCQN-OFQRWUPVSA-N 1 2 302.349 1.672 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1c2ccccc2OC[C@@H]1F ZINC000928068084 713115372 /nfs/dbraw/zinc/11/53/72/713115372.db2.gz LLCHMLHDPRGCQN-OFQRWUPVSA-N 1 2 302.349 1.672 20 30 DDEDLO C#CCOc1cccc(NC(=O)/C=C(/C)C[NH+]2CCOCC2)c1 ZINC000900627582 709685005 /nfs/dbraw/zinc/68/50/05/709685005.db2.gz WHQPEUQZEGEJTD-QINSGFPZSA-N 1 2 314.385 1.916 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2[nH]c3ccccc3c2C#N)CC1 ZINC000900685010 709710557 /nfs/dbraw/zinc/71/05/57/709710557.db2.gz XXLUIGZLBRDUNF-UHFFFAOYSA-N 1 2 312.373 1.444 20 30 DDEDLO C=C(CC[NH+](C)C)C(=O)Nc1nccc(Br)c1O ZINC000913025335 713146340 /nfs/dbraw/zinc/14/63/40/713146340.db2.gz NOTJZRCGXOFVRF-UHFFFAOYSA-N 1 2 314.183 1.996 20 30 DDEDLO O=C(NCC#C[C@@H]1CCCCO1)NCc1ccn2cc[nH+]c2c1 ZINC000893696205 710781893 /nfs/dbraw/zinc/78/18/93/710781893.db2.gz IJINCHXHTYNMSM-HNNXBMFYSA-N 1 2 312.373 1.706 20 30 DDEDLO CC1(C)CN(C(=O)CO[NH+]=C(N)c2ccc(F)cc2)CCO1 ZINC000902694026 710866015 /nfs/dbraw/zinc/86/60/15/710866015.db2.gz XDDVTGLZHDWAFV-UHFFFAOYSA-N 1 2 309.341 1.100 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@@H](Nc3ncccn3)C2)c1 ZINC000903027170 711003044 /nfs/dbraw/zinc/00/30/44/711003044.db2.gz OPWRQFKDWHJLJO-OAHLLOKOSA-N 1 2 322.372 1.473 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CC[C@@H](Nc3ncccn3)C2)c1 ZINC000903027170 711003048 /nfs/dbraw/zinc/00/30/48/711003048.db2.gz OPWRQFKDWHJLJO-OAHLLOKOSA-N 1 2 322.372 1.473 20 30 DDEDLO C=CCC1(NC(=O)/C=C(/C)C[NH+]2CCOCC2)CCOCC1 ZINC000912457314 711336697 /nfs/dbraw/zinc/33/66/97/711336697.db2.gz ZWBQZKBCMFKECW-SQFISAMPSA-N 1 2 308.422 1.506 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(-c2ccc(CC)cc2)no1 ZINC000904081627 711376556 /nfs/dbraw/zinc/37/65/56/711376556.db2.gz PBVOYWVZZYZXRS-ZDUSSCGKSA-N 1 2 301.346 1.898 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2cccnc2Br)CCCN1O ZINC000895158158 711436216 /nfs/dbraw/zinc/43/62/16/711436216.db2.gz XMZJJXHPYCRWIH-VIFPVBQESA-N 1 2 300.156 1.314 20 30 DDEDLO C=CCn1c(C)nn(C[N@@H+]2CC[C@@H](C3OCCO3)C2)c1=S ZINC000905696550 712122247 /nfs/dbraw/zinc/12/22/47/712122247.db2.gz ZEUZQHNYCSHQHX-GFCCVEGCSA-N 1 2 310.423 1.561 20 30 DDEDLO C=CCn1c(C)nn(C[N@H+]2CC[C@@H](C3OCCO3)C2)c1=S ZINC000905696550 712122249 /nfs/dbraw/zinc/12/22/49/712122249.db2.gz ZEUZQHNYCSHQHX-GFCCVEGCSA-N 1 2 310.423 1.561 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2[nH]nc(C)c2C(F)(F)F)CC1 ZINC000906483714 712337369 /nfs/dbraw/zinc/33/73/69/712337369.db2.gz OIZYDCYQSVNTGX-UHFFFAOYSA-N 1 2 314.311 1.518 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@@H]2C[C@@H]2c2cccc(F)c2)CC1 ZINC000906583692 712361489 /nfs/dbraw/zinc/36/14/89/712361489.db2.gz ITSQYBYYPOZSFZ-IAGOWNOFSA-N 1 2 317.408 1.601 20 30 DDEDLO C=CCOC[C@H](NC(=O)c1c(C)cc(C)[nH+]c1C)C(=O)OC ZINC000907928347 712650230 /nfs/dbraw/zinc/65/02/30/712650230.db2.gz RXEGBSHDYDHCME-ZDUSSCGKSA-N 1 2 306.362 1.481 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](Cc2cnn(CCF)c2)CC1 ZINC000929151429 713557346 /nfs/dbraw/zinc/55/73/46/713557346.db2.gz XCLWPQQACUZVCD-UHFFFAOYSA-N 1 2 314.368 1.441 20 30 DDEDLO COc1ccc(C)cc1NC(=O)[C@@H]1CCC[N@@H+]1C[C@H](O)CC#N ZINC000929987804 713729580 /nfs/dbraw/zinc/72/95/80/713729580.db2.gz UNZOCLSCTXSRRU-HIFRSBDPSA-N 1 2 317.389 1.681 20 30 DDEDLO COc1ccc(C)cc1NC(=O)[C@@H]1CCC[N@H+]1C[C@H](O)CC#N ZINC000929987804 713729582 /nfs/dbraw/zinc/72/95/82/713729582.db2.gz UNZOCLSCTXSRRU-HIFRSBDPSA-N 1 2 317.389 1.681 20 30 DDEDLO C#CCN(C(C)C)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000921431260 713768402 /nfs/dbraw/zinc/76/84/02/713768402.db2.gz XVKCTINPIHDGCW-UHFFFAOYSA-N 1 2 306.431 1.707 20 30 DDEDLO C#CCN(C(C)C)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000921431260 713768404 /nfs/dbraw/zinc/76/84/04/713768404.db2.gz XVKCTINPIHDGCW-UHFFFAOYSA-N 1 2 306.431 1.707 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000931147995 714016304 /nfs/dbraw/zinc/01/63/04/714016304.db2.gz NPJPRFLZQWRPAU-CYBMUJFWSA-N 1 2 315.398 1.185 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCCC[C@@H]2CS(N)(=O)=O)ccc1F ZINC000931230842 714043501 /nfs/dbraw/zinc/04/35/01/714043501.db2.gz APGNQSUUKYXHGL-CYBMUJFWSA-N 1 2 311.382 1.340 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCCC[C@@H]2CS(N)(=O)=O)ccc1F ZINC000931230842 714043503 /nfs/dbraw/zinc/04/35/03/714043503.db2.gz APGNQSUUKYXHGL-CYBMUJFWSA-N 1 2 311.382 1.340 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@@H+]2CCC[C@H](C#N)C2)cc1 ZINC000932162648 714275463 /nfs/dbraw/zinc/27/54/63/714275463.db2.gz IOQDPWIGSPJLDY-HIFRSBDPSA-N 1 2 318.373 1.448 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@H+]2CCC[C@H](C#N)C2)cc1 ZINC000932162648 714275466 /nfs/dbraw/zinc/27/54/66/714275466.db2.gz IOQDPWIGSPJLDY-HIFRSBDPSA-N 1 2 318.373 1.448 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCOC[C@@H]1C[C@@H]1CNc2ccccc21 ZINC000923548287 714396935 /nfs/dbraw/zinc/39/69/35/714396935.db2.gz RDTNQTXDKDZLJP-CABCVRRESA-N 1 2 315.417 1.589 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCOC[C@@H]1C[C@@H]1CNc2ccccc21 ZINC000923548287 714396939 /nfs/dbraw/zinc/39/69/39/714396939.db2.gz RDTNQTXDKDZLJP-CABCVRRESA-N 1 2 315.417 1.589 20 30 DDEDLO CCn1c[nH+]c2c1CCN([C@H](C(N)=O)c1ccc(C#N)cc1)C2 ZINC000933258396 714548416 /nfs/dbraw/zinc/54/84/16/714548416.db2.gz QTQHTGZFYZBKQF-INIZCTEOSA-N 1 2 309.373 1.359 20 30 DDEDLO CCn1c[nH+]c2c1CCN([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2 ZINC000933263949 714549466 /nfs/dbraw/zinc/54/94/66/714549466.db2.gz STVIDLKZBBDDAQ-SUMWQHHRSA-N 1 2 317.437 1.704 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@H+](C)Cc1cccc(=O)[nH]1 ZINC000933394257 714581605 /nfs/dbraw/zinc/58/16/05/714581605.db2.gz MRGVWTAPXOPGOG-LRDDRELGSA-N 1 2 304.394 1.662 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@@H+](C)Cc1cccc(=O)[nH]1 ZINC000933394257 714581608 /nfs/dbraw/zinc/58/16/08/714581608.db2.gz MRGVWTAPXOPGOG-LRDDRELGSA-N 1 2 304.394 1.662 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](C[C@@H](O)CC3(O)CCC3)CCO2)cc1 ZINC000933633070 714634888 /nfs/dbraw/zinc/63/48/88/714634888.db2.gz VABDWXQHEVRHEL-IRXDYDNUSA-N 1 2 316.401 1.598 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](C[C@@H](O)CC3(O)CCC3)CCO2)cc1 ZINC000933633070 714634890 /nfs/dbraw/zinc/63/48/90/714634890.db2.gz VABDWXQHEVRHEL-IRXDYDNUSA-N 1 2 316.401 1.598 20 30 DDEDLO O=C1C=COC2(CC[NH+](CC[S@](=O)C(F)(F)F)CC2)C1 ZINC000933644939 714637608 /nfs/dbraw/zinc/63/76/08/714637608.db2.gz XVOQDXDMEAUKEE-FQEVSTJZSA-N 1 2 311.325 1.593 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000924781425 714662825 /nfs/dbraw/zinc/66/28/25/714662825.db2.gz FFEGKGJFFLAERA-NSHDSACASA-N 1 2 312.439 1.331 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1C[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC000934511101 714839253 /nfs/dbraw/zinc/83/92/53/714839253.db2.gz JOXXLDWLKRBFPU-GDBMZVCRSA-N 1 2 307.415 1.611 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1C[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC000934511101 714839255 /nfs/dbraw/zinc/83/92/55/714839255.db2.gz JOXXLDWLKRBFPU-GDBMZVCRSA-N 1 2 307.415 1.611 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@@H](CC)C[NH+]2CCOCC2)C1 ZINC000925610258 714899348 /nfs/dbraw/zinc/89/93/48/714899348.db2.gz FTPIQKDHLDAICZ-CVEARBPZSA-N 1 2 307.438 1.542 20 30 DDEDLO CC[C@@H]([NH2+][C@@H]1CCc2c(nnn2C)C1)c1cccc(C#N)c1O ZINC000926668670 715110021 /nfs/dbraw/zinc/11/00/21/715110021.db2.gz PUKAXERHHNUOLS-TZMCWYRMSA-N 1 2 311.389 1.991 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)C1(C#N)CCSCC1 ZINC000935931827 715138541 /nfs/dbraw/zinc/13/85/41/715138541.db2.gz FDAYIXAFIKMENQ-UONOGXRCSA-N 1 2 323.462 1.345 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC000956602130 715484453 /nfs/dbraw/zinc/48/44/53/715484453.db2.gz WBVSUXIHQIVEOD-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccnc(OC3CCC3)c2)CC1 ZINC000956953251 715680062 /nfs/dbraw/zinc/68/00/62/715680062.db2.gz YJVYYWALLHULJI-UHFFFAOYSA-N 1 2 301.390 1.957 20 30 DDEDLO C=CCN1CC(N(CC)C(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC000957130145 715761661 /nfs/dbraw/zinc/76/16/61/715761661.db2.gz NRMMRJAQHYEFTD-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO N#CCN1CCC2(CC1)CCN(C(=O)CCc1[nH]cc[nH+]1)CC2 ZINC000957541810 715947795 /nfs/dbraw/zinc/94/77/95/715947795.db2.gz GBNJZUFGUIKJQG-UHFFFAOYSA-N 1 2 315.421 1.570 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnn(-c3ccccc3)n2)CC1 ZINC000957603861 715967175 /nfs/dbraw/zinc/96/71/75/715967175.db2.gz MLPZVXZCQQIDJF-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO CC[N@@H+](Cc1csnn1)CC1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC000957692498 716004522 /nfs/dbraw/zinc/00/45/22/716004522.db2.gz VCPGWVHDAZTPLF-LBPRGKRZSA-N 1 2 321.450 1.758 20 30 DDEDLO CC[N@H+](Cc1csnn1)CC1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC000957692498 716004526 /nfs/dbraw/zinc/00/45/26/716004526.db2.gz VCPGWVHDAZTPLF-LBPRGKRZSA-N 1 2 321.450 1.758 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN2CC(F)(F)F)CC1 ZINC000957782800 716040902 /nfs/dbraw/zinc/04/09/02/716040902.db2.gz UUSOEXNRMJVKNE-GFCCVEGCSA-N 1 2 305.344 1.343 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cnnn1CC ZINC000960790339 716691388 /nfs/dbraw/zinc/69/13/88/716691388.db2.gz MHVYISAWBYHGJF-CQSZACIVSA-N 1 2 319.453 1.933 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cnnn1CC ZINC000960790339 716691391 /nfs/dbraw/zinc/69/13/91/716691391.db2.gz MHVYISAWBYHGJF-CQSZACIVSA-N 1 2 319.453 1.933 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cc(C)on4)C[C@H]32)cc1 ZINC000961446003 716950081 /nfs/dbraw/zinc/95/00/81/716950081.db2.gz VQBXIQIRSWNXAE-PIIMJCKOSA-N 1 2 321.380 1.825 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cc(C)on4)C[C@H]32)cc1 ZINC000961446003 716950083 /nfs/dbraw/zinc/95/00/83/716950083.db2.gz VQBXIQIRSWNXAE-PIIMJCKOSA-N 1 2 321.380 1.825 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC[C@@H]3CCC)CC2)C1 ZINC000941465088 717182232 /nfs/dbraw/zinc/18/22/32/717182232.db2.gz NBZVHRUPGUJYRE-WMZOPIPTSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C(C)(C)C3CCC3)CC2)C1 ZINC000941506265 717188762 /nfs/dbraw/zinc/18/87/62/717188762.db2.gz HLGIHJZRLCHUEU-UHFFFAOYSA-N 1 2 303.450 1.274 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000942363033 717638085 /nfs/dbraw/zinc/63/80/85/717638085.db2.gz CRKUPVITBOLFOO-GFCCVEGCSA-N 1 2 302.378 1.026 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccon3)C[C@@H]2C)c1 ZINC000942618414 717784405 /nfs/dbraw/zinc/78/44/05/717784405.db2.gz VLXCGKVBESYYJN-SUMWQHHRSA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3ccon3)C[C@@H]2C)c1 ZINC000942618414 717784409 /nfs/dbraw/zinc/78/44/09/717784409.db2.gz VLXCGKVBESYYJN-SUMWQHHRSA-N 1 2 324.384 1.691 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1ccon1 ZINC000947204942 719073576 /nfs/dbraw/zinc/07/35/76/719073576.db2.gz JMWDYOZKDWFDSX-WCQYABFASA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1ccon1 ZINC000947204942 719073579 /nfs/dbraw/zinc/07/35/79/719073579.db2.gz JMWDYOZKDWFDSX-WCQYABFASA-N 1 2 313.361 1.657 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2nnc3ccccc3c2O)C[C@H]1C ZINC000947771092 719265684 /nfs/dbraw/zinc/26/56/84/719265684.db2.gz GBAKTTCQTVONEY-CHWSQXEVSA-N 1 2 324.384 1.551 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)Cn2cc[nH+]c2C)C[C@H]1C ZINC000948052710 719344206 /nfs/dbraw/zinc/34/42/06/719344206.db2.gz JGNVBLRZSBPVDY-OCCSQVGLSA-N 1 2 310.829 1.913 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2snnc2C(C)C)C1 ZINC000968454691 719596673 /nfs/dbraw/zinc/59/66/73/719596673.db2.gz NHZARNGUZFVEIZ-NEPJUHHUSA-N 1 2 306.435 1.735 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2snnc2C(C)C)C1 ZINC000968454691 719596677 /nfs/dbraw/zinc/59/66/77/719596677.db2.gz NHZARNGUZFVEIZ-NEPJUHHUSA-N 1 2 306.435 1.735 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCO[C@H]2c2cnn(C)c2)CC1 ZINC000948835743 719737793 /nfs/dbraw/zinc/73/77/93/719737793.db2.gz YMYDVCDZXXZGQT-CVEARBPZSA-N 1 2 318.421 1.218 20 30 DDEDLO CC(=O)N1CCC2(CC1)C[N@H+](Cc1ccc(C#N)s1)CCO2 ZINC000949258020 719977836 /nfs/dbraw/zinc/97/78/36/719977836.db2.gz PHHKDMMRITURFO-UHFFFAOYSA-N 1 2 319.430 1.833 20 30 DDEDLO CC(=O)N1CCC2(CC1)C[N@@H+](Cc1ccc(C#N)s1)CCO2 ZINC000949258020 719977840 /nfs/dbraw/zinc/97/78/40/719977840.db2.gz PHHKDMMRITURFO-UHFFFAOYSA-N 1 2 319.430 1.833 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(C)s3)CC2)C1 ZINC000949282720 719992290 /nfs/dbraw/zinc/99/22/90/719992290.db2.gz VMCJTTUHYKZKTA-UHFFFAOYSA-N 1 2 318.442 1.997 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(C)s3)CC2)C1 ZINC000949282720 719992291 /nfs/dbraw/zinc/99/22/91/719992291.db2.gz VMCJTTUHYKZKTA-UHFFFAOYSA-N 1 2 318.442 1.997 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCCO[C@@H]3C)CC2)C1 ZINC000949346794 720032244 /nfs/dbraw/zinc/03/22/44/720032244.db2.gz YGMYVSIBQSJXHY-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCCO[C@@H]3C)CC2)C1 ZINC000949346794 720032246 /nfs/dbraw/zinc/03/22/46/720032246.db2.gz YGMYVSIBQSJXHY-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2c(C)nc(CC)nc2C)CC1 ZINC000949363905 720041057 /nfs/dbraw/zinc/04/10/57/720041057.db2.gz SMHAKXTZXVKQJX-UHFFFAOYSA-N 1 2 314.433 1.366 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC[C@@H]3OC)CC2)C1 ZINC000949401374 720062856 /nfs/dbraw/zinc/06/28/56/720062856.db2.gz ASWYTOMQRGFGPK-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC[C@@H]3OC)CC2)C1 ZINC000949401374 720062860 /nfs/dbraw/zinc/06/28/60/720062860.db2.gz ASWYTOMQRGFGPK-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2c(Cl)cnn2C)C1 ZINC000969679574 720247351 /nfs/dbraw/zinc/24/73/51/720247351.db2.gz GQRSMULNVJOGEW-VIFPVBQESA-N 1 2 317.220 1.876 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([NH2+][C@H](C)c3nnc(C)o3)C2)C1 ZINC000969883199 720546680 /nfs/dbraw/zinc/54/66/80/720546680.db2.gz OXNPSLBKNLVSCH-DGCLKSJQSA-N 1 2 304.394 1.986 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc3c(cn2)OCCO3)C1 ZINC000950528623 720671316 /nfs/dbraw/zinc/67/13/16/720671316.db2.gz YBKMHXLGHSHYHD-UHFFFAOYSA-N 1 2 315.373 1.022 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C(F)(F)F)no2)C1 ZINC000950570778 720688636 /nfs/dbraw/zinc/68/86/36/720688636.db2.gz BKMXTOWHWNMLPR-UHFFFAOYSA-N 1 2 315.295 1.863 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccnc(N(C)C)c2)C1 ZINC000970521902 720796127 /nfs/dbraw/zinc/79/61/27/720796127.db2.gz NCVGSLOOBLWDNS-GFCCVEGCSA-N 1 2 322.840 1.950 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@]23CCO[C@@H]2CCCC3)C1 ZINC000950856594 720796957 /nfs/dbraw/zinc/79/69/57/720796957.db2.gz LAKXSVHVACCFGL-SJLPKXTDSA-N 1 2 304.434 1.892 20 30 DDEDLO C[C@@H](NC(=O)c1cccc(-n2cc[nH+]c2)c1)C1CN(CC#N)C1 ZINC000970681542 720885056 /nfs/dbraw/zinc/88/50/56/720885056.db2.gz NBAMMNYECQXHNG-CYBMUJFWSA-N 1 2 309.373 1.446 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971507509 721328180 /nfs/dbraw/zinc/32/81/80/721328180.db2.gz IYSXVVIBMQUSLL-DZGCQCFKSA-N 1 2 301.390 1.990 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971507509 721328185 /nfs/dbraw/zinc/32/81/85/721328185.db2.gz IYSXVVIBMQUSLL-DZGCQCFKSA-N 1 2 301.390 1.990 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cncnc3CC)C2)C1 ZINC000972683549 735470983 /nfs/dbraw/zinc/47/09/83/735470983.db2.gz NNPJBMZOIWIBBF-QGZVFWFLSA-N 1 2 316.405 1.142 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cncnc3CC)C2)C1 ZINC000972683549 735470984 /nfs/dbraw/zinc/47/09/84/735470984.db2.gz NNPJBMZOIWIBBF-QGZVFWFLSA-N 1 2 316.405 1.142 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCCC(F)(F)C3)[C@H]2C1 ZINC001083190084 732461928 /nfs/dbraw/zinc/46/19/28/732461928.db2.gz YCMFAJKDQGOSCA-HZSPNIEDSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCCC(F)(F)C3)[C@H]2C1 ZINC001083190084 732461929 /nfs/dbraw/zinc/46/19/29/732461929.db2.gz YCMFAJKDQGOSCA-HZSPNIEDSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(Br)cnc2F)CC1 ZINC001140008379 732626110 /nfs/dbraw/zinc/62/61/10/732626110.db2.gz XFPLSYTVGZFSMR-UHFFFAOYSA-N 1 2 312.186 1.734 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H](CCCN2C(=O)CCn2cc[nH+]c2)C1 ZINC001021647227 733400340 /nfs/dbraw/zinc/40/03/40/733400340.db2.gz WXPSHWDODHRSIA-HUUCEWRRSA-N 1 2 301.394 1.110 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001027834109 738710659 /nfs/dbraw/zinc/71/06/59/738710659.db2.gz XVQZZLAGHDXCIH-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001027834109 738710660 /nfs/dbraw/zinc/71/06/60/738710660.db2.gz XVQZZLAGHDXCIH-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1conc1C[N@@H+]1CCC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001027835609 738714041 /nfs/dbraw/zinc/71/40/41/738714041.db2.gz GTSAECYHYXDUIX-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1conc1C[N@H+]1CCC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001027835609 738714045 /nfs/dbraw/zinc/71/40/45/738714045.db2.gz GTSAECYHYXDUIX-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(N(C)CCN(C(=O)C#CC2CC2)C(C)C)cc[nH+]1 ZINC001125510349 733716448 /nfs/dbraw/zinc/71/64/48/733716448.db2.gz WOANOKQBZCMCDQ-UHFFFAOYSA-N 1 2 300.406 1.872 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(Cl)cc2)C1 ZINC000891606910 734423531 /nfs/dbraw/zinc/42/35/31/734423531.db2.gz QKZMQIADEDCJIU-AWEZNQCLSA-N 1 2 319.792 1.492 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(Cl)cc2)C1 ZINC000891606910 734423533 /nfs/dbraw/zinc/42/35/33/734423533.db2.gz QKZMQIADEDCJIU-AWEZNQCLSA-N 1 2 319.792 1.492 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)s1 ZINC001038139862 734744289 /nfs/dbraw/zinc/74/42/89/734744289.db2.gz LTTWDITXVKHNSQ-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)s1 ZINC001038139862 734744294 /nfs/dbraw/zinc/74/42/94/734744294.db2.gz LTTWDITXVKHNSQ-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO COC(=O)[C@H](CS)Nc1ccc(-n2c[nH+]c(C)c2C)nn1 ZINC001167622439 734845073 /nfs/dbraw/zinc/84/50/73/734845073.db2.gz UZHNSZXXJAACOJ-JTQLQIEISA-N 1 2 307.379 1.162 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnn1CC1CCC1 ZINC001038247207 734899130 /nfs/dbraw/zinc/89/91/30/734899130.db2.gz IFAUDKLAOFHOOE-OAHLLOKOSA-N 1 2 300.406 1.511 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnn1CC1CCC1 ZINC001038247207 734899131 /nfs/dbraw/zinc/89/91/31/734899131.db2.gz IFAUDKLAOFHOOE-OAHLLOKOSA-N 1 2 300.406 1.511 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(Cl)c3)[C@H]2C1 ZINC001083255284 734920789 /nfs/dbraw/zinc/92/07/89/734920789.db2.gz NARRGHMCANUDOH-LSDHHAIUSA-N 1 2 304.777 1.498 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(Cl)c3)[C@H]2C1 ZINC001083255284 734920794 /nfs/dbraw/zinc/92/07/94/734920794.db2.gz NARRGHMCANUDOH-LSDHHAIUSA-N 1 2 304.777 1.498 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc(C)n(C)n2)C(C)(C)C1 ZINC000972844909 735679144 /nfs/dbraw/zinc/67/91/44/735679144.db2.gz WRSOCYZEJMKQMI-ZDUSSCGKSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc(C)n(C)n2)C(C)(C)C1 ZINC000972844909 735679145 /nfs/dbraw/zinc/67/91/45/735679145.db2.gz WRSOCYZEJMKQMI-ZDUSSCGKSA-N 1 2 310.829 1.921 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(CC)c1[nH+]cnc2c1cnn2C ZINC001100685076 735946808 /nfs/dbraw/zinc/94/68/08/735946808.db2.gz AWCWMPDGAUMCLK-UHFFFAOYSA-N 1 2 316.409 1.518 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]2[C@@H](NC(=O)c3cn[nH]n3)C(C)(C)[C@@H]21 ZINC001087293741 735962822 /nfs/dbraw/zinc/96/28/22/735962822.db2.gz JFPPRRKTNKVEOR-RAIGVLPGSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]2[C@@H](NC(=O)c3cn[nH]n3)C(C)(C)[C@@H]21 ZINC001087293741 735962825 /nfs/dbraw/zinc/96/28/25/735962825.db2.gz JFPPRRKTNKVEOR-RAIGVLPGSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]2[C@@H](NC(=O)c3cnn[nH]3)C(C)(C)[C@@H]21 ZINC001087293741 735962826 /nfs/dbraw/zinc/96/28/26/735962826.db2.gz JFPPRRKTNKVEOR-RAIGVLPGSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]2[C@@H](NC(=O)c3cnn[nH]3)C(C)(C)[C@@H]21 ZINC001087293741 735962829 /nfs/dbraw/zinc/96/28/29/735962829.db2.gz JFPPRRKTNKVEOR-RAIGVLPGSA-N 1 2 323.828 1.776 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C[C@H]1CCNCC#N ZINC001104665312 736497350 /nfs/dbraw/zinc/49/73/50/736497350.db2.gz VLONHWJGIUEOPK-MGPQQGTHSA-N 1 2 315.421 1.427 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)o1 ZINC001075780333 740449420 /nfs/dbraw/zinc/44/94/20/740449420.db2.gz IGOFLORIJFCUEW-VNQPRFMTSA-N 1 2 313.401 1.990 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)o1 ZINC001075780333 740449423 /nfs/dbraw/zinc/44/94/23/740449423.db2.gz IGOFLORIJFCUEW-VNQPRFMTSA-N 1 2 313.401 1.990 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H](CCNc2cc[nH+]c(C)n2)C1 ZINC001111602306 741063984 /nfs/dbraw/zinc/06/39/84/741063984.db2.gz YFOQHXYJGLYEDI-AWEZNQCLSA-N 1 2 304.394 1.638 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C(C)(C)C(=C)Cl)C2)nn1 ZINC001105249449 737659542 /nfs/dbraw/zinc/65/95/42/737659542.db2.gz VDWGCJGJPBOJGL-UHFFFAOYSA-N 1 2 321.812 1.163 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C(C)(C)C(C)C)C2)nn1 ZINC001105252514 737663466 /nfs/dbraw/zinc/66/34/66/737663466.db2.gz VFGHCCKJJQBXPS-UHFFFAOYSA-N 1 2 303.410 1.066 20 30 DDEDLO C=CCCCC(=O)N1CC(n2cc(C[NH2+]C/C=C\Cl)nn2)C1 ZINC001105317443 737829754 /nfs/dbraw/zinc/82/97/54/737829754.db2.gz YTZQBKNAICUSBT-ALCCZGGFSA-N 1 2 323.828 1.860 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CCC[N@H+](Cc3ccccc3C#N)C2)cn1 ZINC001006710893 738240962 /nfs/dbraw/zinc/24/09/62/738240962.db2.gz HJMVOHJQEXASPA-KRWDZBQOSA-N 1 2 323.400 1.686 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)cn1 ZINC001006710893 738240964 /nfs/dbraw/zinc/24/09/64/738240964.db2.gz HJMVOHJQEXASPA-KRWDZBQOSA-N 1 2 323.400 1.686 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3C[C@H]3C(C)C)C2)nn1 ZINC001105352047 738986116 /nfs/dbraw/zinc/98/61/16/738986116.db2.gz NRKXHPGGSBTXTR-JKSUJKDBSA-N 1 2 315.421 1.066 20 30 DDEDLO Cc1csc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001075621109 739231971 /nfs/dbraw/zinc/23/19/71/739231971.db2.gz ONKOWXYYYZDURY-WCFLWFBJSA-N 1 2 304.419 1.644 20 30 DDEDLO Cc1csc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001075621109 739231977 /nfs/dbraw/zinc/23/19/77/739231977.db2.gz ONKOWXYYYZDURY-WCFLWFBJSA-N 1 2 304.419 1.644 20 30 DDEDLO CC(C)c1ocnc1C[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001075621389 739232904 /nfs/dbraw/zinc/23/29/04/739232904.db2.gz YVEJVUUXUCXYLX-KCQAQPDRSA-N 1 2 316.405 1.990 20 30 DDEDLO CC(C)c1ocnc1C[N@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001075621389 739232907 /nfs/dbraw/zinc/23/29/07/739232907.db2.gz YVEJVUUXUCXYLX-KCQAQPDRSA-N 1 2 316.405 1.990 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001035369638 751433661 /nfs/dbraw/zinc/43/36/61/751433661.db2.gz HQNQPDMLUDOTNR-INIZCTEOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C3CCC3)c2)C1 ZINC001035369638 751433663 /nfs/dbraw/zinc/43/36/63/751433663.db2.gz HQNQPDMLUDOTNR-INIZCTEOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001126384456 739666313 /nfs/dbraw/zinc/66/63/13/739666313.db2.gz OCUYCQJFGHJFKP-BONVTDFDSA-N 1 2 308.382 1.041 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C3CC3)cnn2C)C1 ZINC001035399470 751469267 /nfs/dbraw/zinc/46/92/67/751469267.db2.gz RSTNEHHXFXIAOT-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C3CC3)cnn2C)C1 ZINC001035399470 751469268 /nfs/dbraw/zinc/46/92/68/751469268.db2.gz RSTNEHHXFXIAOT-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001035376139 751472521 /nfs/dbraw/zinc/47/25/21/751472521.db2.gz VUPPFMAEZAJTOJ-CQSZACIVSA-N 1 2 320.437 1.615 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001035376139 751472522 /nfs/dbraw/zinc/47/25/22/751472522.db2.gz VUPPFMAEZAJTOJ-CQSZACIVSA-N 1 2 320.437 1.615 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H](OCC)C(=C)C)C1 ZINC001107979679 751473416 /nfs/dbraw/zinc/47/34/16/751473416.db2.gz LFNIFKDHNAITHR-RDJZCZTQSA-N 1 2 310.438 1.751 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](OCC)C(=C)C)C1 ZINC001107979679 751473425 /nfs/dbraw/zinc/47/34/25/751473425.db2.gz LFNIFKDHNAITHR-RDJZCZTQSA-N 1 2 310.438 1.751 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)(C)C)n[nH]2)C1 ZINC001035428594 751486882 /nfs/dbraw/zinc/48/68/82/751486882.db2.gz DFPIWYNFPSGAJR-CYBMUJFWSA-N 1 2 320.437 1.714 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)(C)C)n[nH]2)C1 ZINC001035428594 751486885 /nfs/dbraw/zinc/48/68/85/751486885.db2.gz DFPIWYNFPSGAJR-CYBMUJFWSA-N 1 2 320.437 1.714 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(C)ncn3)[C@@H]2C1 ZINC001075696462 739974384 /nfs/dbraw/zinc/97/43/84/739974384.db2.gz FJMAPIFGYXEEAS-GXTWGEPZSA-N 1 2 306.797 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(C)ncn3)[C@@H]2C1 ZINC001075696462 739974389 /nfs/dbraw/zinc/97/43/89/739974389.db2.gz FJMAPIFGYXEEAS-GXTWGEPZSA-N 1 2 306.797 1.684 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+](Cc3ncnn3C)C2)CC1 ZINC001028780327 740027306 /nfs/dbraw/zinc/02/73/06/740027306.db2.gz HFLRKLPLNOUNAD-CQSZACIVSA-N 1 2 317.437 1.500 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+](Cc3ncnn3C)C2)CC1 ZINC001028780327 740027311 /nfs/dbraw/zinc/02/73/11/740027311.db2.gz HFLRKLPLNOUNAD-CQSZACIVSA-N 1 2 317.437 1.500 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)CCOC3)C1 ZINC001035442009 751518369 /nfs/dbraw/zinc/51/83/69/751518369.db2.gz IVSXVPVPDYPLML-KRWDZBQOSA-N 1 2 316.401 1.376 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)CCOC3)C1 ZINC001035442009 751518370 /nfs/dbraw/zinc/51/83/70/751518370.db2.gz IVSXVPVPDYPLML-KRWDZBQOSA-N 1 2 316.401 1.376 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(F)c(F)c2F)C1 ZINC001035487488 751541538 /nfs/dbraw/zinc/54/15/38/751541538.db2.gz AQDPKUYFKCZNAZ-SNVBAGLBSA-N 1 2 314.307 1.721 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(F)c(F)c2F)C1 ZINC001035487488 751541540 /nfs/dbraw/zinc/54/15/40/751541540.db2.gz AQDPKUYFKCZNAZ-SNVBAGLBSA-N 1 2 314.307 1.721 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2occ3c2CCC3)C1 ZINC001035461504 751547934 /nfs/dbraw/zinc/54/79/34/751547934.db2.gz PWZOLIWYQZDLFC-AWEZNQCLSA-N 1 2 302.374 1.222 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2occ3c2CCC3)C1 ZINC001035461504 751547938 /nfs/dbraw/zinc/54/79/38/751547938.db2.gz PWZOLIWYQZDLFC-AWEZNQCLSA-N 1 2 302.374 1.222 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)Nc1ccc(C#N)cn1 ZINC001098268165 740484920 /nfs/dbraw/zinc/48/49/20/740484920.db2.gz PYGMTEDGIKWJPS-WCQYABFASA-N 1 2 324.388 1.713 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1ncccn1 ZINC001087548982 740631611 /nfs/dbraw/zinc/63/16/11/740631611.db2.gz ZLAVNHBDFHANTM-LSDHHAIUSA-N 1 2 324.388 1.459 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1ncccn1 ZINC001087548982 740631613 /nfs/dbraw/zinc/63/16/13/740631613.db2.gz ZLAVNHBDFHANTM-LSDHHAIUSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3[C@H](C)C(=O)NC)CC1 ZINC001032605661 751566591 /nfs/dbraw/zinc/56/65/91/751566591.db2.gz FKVWAJHIXPRGHN-SNPRPXQTSA-N 1 2 305.422 1.152 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3[C@H](C)C(=O)NC)CC1 ZINC001032605661 751566599 /nfs/dbraw/zinc/56/65/99/751566599.db2.gz FKVWAJHIXPRGHN-SNPRPXQTSA-N 1 2 305.422 1.152 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1C[N@H+](CC=C(C)C)CCO1 ZINC001035543388 751590782 /nfs/dbraw/zinc/59/07/82/751590782.db2.gz URLNXVKPMFZKGL-ULQDDVLXSA-N 1 2 322.449 1.751 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1C[N@@H+](CC=C(C)C)CCO1 ZINC001035543388 751590787 /nfs/dbraw/zinc/59/07/87/751590787.db2.gz URLNXVKPMFZKGL-ULQDDVLXSA-N 1 2 322.449 1.751 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H](C[N@H+](C)Cc2nnc(C3CC3)[nH]2)C1 ZINC001029677461 741218687 /nfs/dbraw/zinc/21/86/87/741218687.db2.gz MCYVTXOHHCQLOH-VXGBXAGGSA-N 1 2 316.409 1.122 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nnc(C3CC3)[nH]2)C1 ZINC001029677461 741218689 /nfs/dbraw/zinc/21/86/89/741218689.db2.gz MCYVTXOHHCQLOH-VXGBXAGGSA-N 1 2 316.409 1.122 20 30 DDEDLO N#Cc1ccc(-c2noc(CC3=CC[NH2+]CC3(F)F)n2)nc1 ZINC001211902048 741315440 /nfs/dbraw/zinc/31/54/40/741315440.db2.gz SKXVNJYURPKNPW-UHFFFAOYSA-N 1 2 303.272 1.711 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@H](NC(=O)C(F)F)[C@H]1C ZINC001088438388 741499083 /nfs/dbraw/zinc/49/90/83/741499083.db2.gz ASYCEKHFCVECDJ-MFKMUULPSA-N 1 2 323.343 1.911 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@H](NC(=O)C(F)F)[C@H]1C ZINC001088438388 741499086 /nfs/dbraw/zinc/49/90/86/741499086.db2.gz ASYCEKHFCVECDJ-MFKMUULPSA-N 1 2 323.343 1.911 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cn(CCC)nc2C)C1 ZINC001035563566 751645869 /nfs/dbraw/zinc/64/58/69/751645869.db2.gz PUDAUPSPQRMTOI-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cn(CCC)nc2C)C1 ZINC001035563566 751645873 /nfs/dbraw/zinc/64/58/73/751645873.db2.gz PUDAUPSPQRMTOI-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cn(C)nc2C)C1 ZINC001032617778 751659369 /nfs/dbraw/zinc/65/93/69/751659369.db2.gz SPPGJSLIFWBUHN-HOTGVXAUSA-N 1 2 314.433 1.870 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cn(C)nc2C)C1 ZINC001032617778 751659373 /nfs/dbraw/zinc/65/93/73/751659373.db2.gz SPPGJSLIFWBUHN-HOTGVXAUSA-N 1 2 314.433 1.870 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001075884607 741705544 /nfs/dbraw/zinc/70/55/44/741705544.db2.gz HSHOQMQTEXWKID-QWHCGFSZSA-N 1 2 318.421 1.807 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001075887934 741750973 /nfs/dbraw/zinc/75/09/73/741750973.db2.gz LTJLXIMSTAHERW-ZDUSSCGKSA-N 1 2 320.437 1.904 20 30 DDEDLO C=CC1(CC(=O)NCCC[NH2+]Cc2nnn(C)n2)CCCCC1 ZINC001168182817 741753842 /nfs/dbraw/zinc/75/38/42/741753842.db2.gz NQSZAUZCQKRARC-UHFFFAOYSA-N 1 2 320.441 1.333 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2sccc2COC)C1 ZINC001035578293 751667703 /nfs/dbraw/zinc/66/77/03/751667703.db2.gz WAFADICGFIYGKW-AWEZNQCLSA-N 1 2 324.446 1.901 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2sccc2COC)C1 ZINC001035578293 751667710 /nfs/dbraw/zinc/66/77/10/751667710.db2.gz WAFADICGFIYGKW-AWEZNQCLSA-N 1 2 324.446 1.901 20 30 DDEDLO C#CCOCCC(=O)N(C)CCN(C)c1nc(C)[nH+]c(C)c1C ZINC001105469673 741918890 /nfs/dbraw/zinc/91/88/90/741918890.db2.gz YESMLEDIDVNVJK-UHFFFAOYSA-N 1 2 318.421 1.336 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCn3cncc3C2)[C@H]1C ZINC001088674848 742070082 /nfs/dbraw/zinc/07/00/82/742070082.db2.gz ZVXKAGIOUMVQON-IPYPFGDCSA-N 1 2 322.840 1.777 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCn3cncc3C2)[C@H]1C ZINC001088674848 742070088 /nfs/dbraw/zinc/07/00/88/742070088.db2.gz ZVXKAGIOUMVQON-IPYPFGDCSA-N 1 2 322.840 1.777 20 30 DDEDLO Cc1nc(N(C)CCN(C)C(=O)Cc2c[nH+]c[nH]2)ccc1C#N ZINC001105575941 742123827 /nfs/dbraw/zinc/12/38/27/742123827.db2.gz BXTRGFFMPFVELJ-UHFFFAOYSA-N 1 2 312.377 1.122 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c(Cl)cccc2OC)C1 ZINC001035612756 751719092 /nfs/dbraw/zinc/71/90/92/751719092.db2.gz BGANMTHNEVUBAN-GFCCVEGCSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c(Cl)cccc2OC)C1 ZINC001035612756 751719095 /nfs/dbraw/zinc/71/90/95/751719095.db2.gz BGANMTHNEVUBAN-GFCCVEGCSA-N 1 2 324.808 1.965 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnco2)CC[N@@H+]1CC#Cc1ccccc1 ZINC001088778925 742351440 /nfs/dbraw/zinc/35/14/40/742351440.db2.gz BXUGPTRHNKTSLM-ZBFHGGJFSA-N 1 2 309.369 1.919 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnco2)CC[N@H+]1CC#Cc1ccccc1 ZINC001088778925 742351447 /nfs/dbraw/zinc/35/14/47/742351447.db2.gz BXUGPTRHNKTSLM-ZBFHGGJFSA-N 1 2 309.369 1.919 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]3C[N@@H+](CC(=C)Cl)C[C@H]32)c1 ZINC001076222987 742608303 /nfs/dbraw/zinc/60/83/03/742608303.db2.gz BWDNSIXCVYDGIV-GOEBONIOSA-N 1 2 315.804 1.962 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]3C[N@H+](CC(=C)Cl)C[C@H]32)c1 ZINC001076222987 742608304 /nfs/dbraw/zinc/60/83/04/742608304.db2.gz BWDNSIXCVYDGIV-GOEBONIOSA-N 1 2 315.804 1.962 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CCNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001076320177 742662946 /nfs/dbraw/zinc/66/29/46/742662946.db2.gz ZKCGAPUQCGAVNX-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2ccncc2)C1 ZINC001108041949 742981522 /nfs/dbraw/zinc/98/15/22/742981522.db2.gz FSPBCVPEHJLKNG-GOSISDBHSA-N 1 2 315.417 1.245 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2ccncc2)C1 ZINC001108041949 742981527 /nfs/dbraw/zinc/98/15/27/742981527.db2.gz FSPBCVPEHJLKNG-GOSISDBHSA-N 1 2 315.417 1.245 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000998382639 751781492 /nfs/dbraw/zinc/78/14/92/751781492.db2.gz LCMQFOHFPCNVTE-UHFFFAOYSA-N 1 2 310.785 1.058 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)CCn1ccnn1)CC2 ZINC001035695179 751782567 /nfs/dbraw/zinc/78/25/67/751782567.db2.gz GEFGFUDDRGHCDA-UHFFFAOYSA-N 1 2 323.828 1.345 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(CCC)CCC)[C@@H](n2ccnn2)C1 ZINC001129205169 751818321 /nfs/dbraw/zinc/81/83/21/751818321.db2.gz PXVJTJGXVNKIBH-CVEARBPZSA-N 1 2 317.437 1.469 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(CCC)CCC)[C@@H](n2ccnn2)C1 ZINC001129205169 751818333 /nfs/dbraw/zinc/81/83/33/751818333.db2.gz PXVJTJGXVNKIBH-CVEARBPZSA-N 1 2 317.437 1.469 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2csnn2)C1 ZINC001181897910 743383730 /nfs/dbraw/zinc/38/37/30/743383730.db2.gz DMEAXYAQXCLNET-QWHCGFSZSA-N 1 2 324.450 1.772 20 30 DDEDLO CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccccc1C#N ZINC001110257948 743520583 /nfs/dbraw/zinc/52/05/83/743520583.db2.gz SCAKWKFDORYZMU-ZACQAIPSSA-N 1 2 313.401 1.816 20 30 DDEDLO CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccccc1C#N ZINC001110257948 743520588 /nfs/dbraw/zinc/52/05/88/743520588.db2.gz SCAKWKFDORYZMU-ZACQAIPSSA-N 1 2 313.401 1.816 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)noc1C)C2 ZINC001110272271 743555879 /nfs/dbraw/zinc/55/58/79/743555879.db2.gz RHDBGSFWDFZRLX-KFWWJZLASA-N 1 2 317.389 1.276 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)noc1C)C2 ZINC001110272271 743555885 /nfs/dbraw/zinc/55/58/85/743555885.db2.gz RHDBGSFWDFZRLX-KFWWJZLASA-N 1 2 317.389 1.276 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)COCCCC)CC2)C1 ZINC001105699719 743570699 /nfs/dbraw/zinc/57/06/99/743570699.db2.gz DSYMLSGSKUTRRI-UHFFFAOYSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)/C(C)=C/C)CC2)C1 ZINC001105701735 743579829 /nfs/dbraw/zinc/57/98/29/743579829.db2.gz VZEJYVUJGCICEM-PJQLUOCWSA-N 1 2 322.449 1.847 20 30 DDEDLO C#CCOCCC(=O)N1CCC(OC2C[NH+](CC(=C)C)C2)CC1 ZINC001105708503 743619922 /nfs/dbraw/zinc/61/99/22/743619922.db2.gz LJWGUOPAIYPBGU-UHFFFAOYSA-N 1 2 320.433 1.294 20 30 DDEDLO CN(C(=O)CCn1cc[nH+]c1)C1CC(Nc2ccc(C#N)nc2)C1 ZINC001127048270 743675530 /nfs/dbraw/zinc/67/55/30/743675530.db2.gz ZWLHSFOMCLPQPR-UHFFFAOYSA-N 1 2 324.388 1.641 20 30 DDEDLO C=CCCCC(=O)NCC1(Nc2nc(N(C)C)nc(C)[nH+]2)CC1 ZINC001110322557 743719251 /nfs/dbraw/zinc/71/92/51/743719251.db2.gz YNDDPHHHLPFJOI-UHFFFAOYSA-N 1 2 318.425 1.663 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001212143858 743731804 /nfs/dbraw/zinc/73/18/04/743731804.db2.gz SJMGSGHIJYBHSU-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001212143858 743731806 /nfs/dbraw/zinc/73/18/06/743731806.db2.gz SJMGSGHIJYBHSU-HZPDHXFCSA-N 1 2 319.405 1.492 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cn1ccc(C)n1)CC2 ZINC001035799450 751866702 /nfs/dbraw/zinc/86/67/02/751866702.db2.gz SEKSMBBVORDBMK-UHFFFAOYSA-N 1 2 322.840 1.868 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)C[C@H]2COc3ccccc3O2)C1 ZINC001030378989 744090896 /nfs/dbraw/zinc/09/08/96/744090896.db2.gz PHCBCAOYHWARQY-AWEZNQCLSA-N 1 2 300.358 1.040 20 30 DDEDLO Cc1coc(NC(=O)[C@H](CO)[N@H+](C)Cc2ccccc2)c1C#N ZINC001185225084 744184074 /nfs/dbraw/zinc/18/40/74/744184074.db2.gz WHJSLSPDJUCBSQ-HNNXBMFYSA-N 1 2 313.357 1.891 20 30 DDEDLO Cc1coc(NC(=O)[C@H](CO)[N@@H+](C)Cc2ccccc2)c1C#N ZINC001185225084 744184075 /nfs/dbraw/zinc/18/40/75/744184075.db2.gz WHJSLSPDJUCBSQ-HNNXBMFYSA-N 1 2 313.357 1.891 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cc(C)n([C@@H](C)C3CC3)n2)C1 ZINC001030586286 744293403 /nfs/dbraw/zinc/29/34/03/744293403.db2.gz RYAVPUVRFVAQJJ-ZDUSSCGKSA-N 1 2 300.406 1.600 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@H](C)CC)C2)nn1 ZINC001185871802 744297005 /nfs/dbraw/zinc/29/70/05/744297005.db2.gz CPYGWFQEVCRDTM-GDBMZVCRSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)c3ccccc3)C2)nn1 ZINC001185863827 744302324 /nfs/dbraw/zinc/30/23/24/744302324.db2.gz DWZKOKLJVJXICL-QGZVFWFLSA-N 1 2 323.400 1.478 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2nnc[nH]2)CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001088929507 744373437 /nfs/dbraw/zinc/37/34/37/744373437.db2.gz DOICXPFYALGXQF-SKDRFNHKSA-N 1 2 316.390 1.131 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2nnc[nH]2)CC[N@H+]1Cc1ccc(C#N)s1 ZINC001088929507 744373440 /nfs/dbraw/zinc/37/34/40/744373440.db2.gz DOICXPFYALGXQF-SKDRFNHKSA-N 1 2 316.390 1.131 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001187191494 744514465 /nfs/dbraw/zinc/51/44/65/744514465.db2.gz KAAABFVKNFDACB-LSDHHAIUSA-N 1 2 302.422 1.902 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001187191494 744514468 /nfs/dbraw/zinc/51/44/68/744514468.db2.gz KAAABFVKNFDACB-LSDHHAIUSA-N 1 2 302.422 1.902 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)Cc3cccs3)CC2)C1 ZINC001045996879 744569442 /nfs/dbraw/zinc/56/94/42/744569442.db2.gz JRFISZFBBOUNCV-AWEZNQCLSA-N 1 2 318.446 1.033 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)Cc3cccs3)CC2)C1 ZINC001045996881 744569663 /nfs/dbraw/zinc/56/96/63/744569663.db2.gz JRFISZFBBOUNCV-CQSZACIVSA-N 1 2 318.446 1.033 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)no1 ZINC001089139739 744584042 /nfs/dbraw/zinc/58/40/42/744584042.db2.gz LLMHQCNVOWOPDU-DOMZBBRYSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)no1 ZINC001089139739 744584044 /nfs/dbraw/zinc/58/40/44/744584044.db2.gz LLMHQCNVOWOPDU-DOMZBBRYSA-N 1 2 304.394 1.956 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001187706120 744594884 /nfs/dbraw/zinc/59/48/84/744594884.db2.gz CYLGIOCVYMMXQQ-VHDGCEQUSA-N 1 2 316.405 1.775 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001187706120 744594887 /nfs/dbraw/zinc/59/48/87/744594887.db2.gz CYLGIOCVYMMXQQ-VHDGCEQUSA-N 1 2 316.405 1.775 20 30 DDEDLO Cn1ccnc1C[N@H+](C)C[C@@H]1CCCCN1C(=O)C#CC1CC1 ZINC001089174953 744663431 /nfs/dbraw/zinc/66/34/31/744663431.db2.gz MITMHIJYTFQCIK-INIZCTEOSA-N 1 2 314.433 1.646 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)C[C@@H]1CCCCN1C(=O)C#CC1CC1 ZINC001089174953 744663433 /nfs/dbraw/zinc/66/34/33/744663433.db2.gz MITMHIJYTFQCIK-INIZCTEOSA-N 1 2 314.433 1.646 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001188309507 744694257 /nfs/dbraw/zinc/69/42/57/744694257.db2.gz AAIGBIOMYJYKKB-WCQYABFASA-N 1 2 320.393 1.229 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001188903252 744791893 /nfs/dbraw/zinc/79/18/93/744791893.db2.gz NZDNWNLUIHOGMM-JKSUJKDBSA-N 1 2 312.417 1.703 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001188903252 744791898 /nfs/dbraw/zinc/79/18/98/744791898.db2.gz NZDNWNLUIHOGMM-JKSUJKDBSA-N 1 2 312.417 1.703 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188959851 744799676 /nfs/dbraw/zinc/79/96/76/744799676.db2.gz ZSVMIGIGYOTEIS-OLZOCXBDSA-N 1 2 322.434 1.834 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188959851 744799678 /nfs/dbraw/zinc/79/96/78/744799678.db2.gz ZSVMIGIGYOTEIS-OLZOCXBDSA-N 1 2 322.434 1.834 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1C[NH+](C[C@@H](O)c2ccccc2)C1 ZINC001030977110 745008575 /nfs/dbraw/zinc/00/85/75/745008575.db2.gz CFLAQZAZPNTKOT-ZACQAIPSSA-N 1 2 316.401 1.112 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001190094795 745097914 /nfs/dbraw/zinc/09/79/14/745097914.db2.gz NWCHGOCBEMEZCU-ZIAGYGMSSA-N 1 2 319.405 1.308 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001190094795 745097921 /nfs/dbraw/zinc/09/79/21/745097921.db2.gz NWCHGOCBEMEZCU-ZIAGYGMSSA-N 1 2 319.405 1.308 20 30 DDEDLO C=C(C)CC[NH+]1CC(NC(=O)c2nnc3ccccc3c2O)C1 ZINC001031035563 745157674 /nfs/dbraw/zinc/15/76/74/745157674.db2.gz XLHDYHBKKZAQCL-UHFFFAOYSA-N 1 2 312.373 1.716 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2[nH]nc(C(F)(F)F)c2C)C1 ZINC001031046178 745213878 /nfs/dbraw/zinc/21/38/78/745213878.db2.gz AMVNUPFUDXYBFE-UHFFFAOYSA-N 1 2 300.284 1.174 20 30 DDEDLO CC(C)(C)[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)cn1 ZINC001190598427 745269099 /nfs/dbraw/zinc/26/90/99/745269099.db2.gz FRUCSYUBRIFXKU-OAHLLOKOSA-N 1 2 316.405 1.430 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2c[nH]nc2-c2ccsc2)C1 ZINC001031157347 745530777 /nfs/dbraw/zinc/53/07/77/745530777.db2.gz CMBSXKAYVKAKLM-UHFFFAOYSA-N 1 2 300.387 1.576 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncc(OC)cc2F)C1 ZINC001107987135 752051602 /nfs/dbraw/zinc/05/16/02/752051602.db2.gz IQVMLHOLSFJRNL-INIZCTEOSA-N 1 2 323.368 1.236 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncc(OC)cc2F)C1 ZINC001107987135 752051611 /nfs/dbraw/zinc/05/16/11/752051611.db2.gz IQVMLHOLSFJRNL-INIZCTEOSA-N 1 2 323.368 1.236 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001193240518 746027527 /nfs/dbraw/zinc/02/75/27/746027527.db2.gz JPBUSECFTFVFGI-HZPDHXFCSA-N 1 2 319.405 1.413 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001193240518 746027531 /nfs/dbraw/zinc/02/75/31/746027531.db2.gz JPBUSECFTFVFGI-HZPDHXFCSA-N 1 2 319.405 1.413 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1O ZINC001193390493 746087591 /nfs/dbraw/zinc/08/75/91/746087591.db2.gz BBBITBFPFIYBQL-HZPDHXFCSA-N 1 2 306.381 1.843 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1O ZINC001193390493 746087594 /nfs/dbraw/zinc/08/75/94/746087594.db2.gz BBBITBFPFIYBQL-HZPDHXFCSA-N 1 2 306.381 1.843 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)[C@@H]1C ZINC000993560969 746218720 /nfs/dbraw/zinc/21/87/20/746218720.db2.gz YGYNACKKEYLAOQ-DZGCQCFKSA-N 1 2 323.396 1.744 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)[C@@H]1C ZINC000993560969 746218722 /nfs/dbraw/zinc/21/87/22/746218722.db2.gz YGYNACKKEYLAOQ-DZGCQCFKSA-N 1 2 323.396 1.744 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ncc(OC)cn2)[C@H]1C ZINC000993568962 746221964 /nfs/dbraw/zinc/22/19/64/746221964.db2.gz YWLDHMGANXLMRE-DGCLKSJQSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ncc(OC)cn2)[C@H]1C ZINC000993568962 746221967 /nfs/dbraw/zinc/22/19/67/746221967.db2.gz YWLDHMGANXLMRE-DGCLKSJQSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)cn3)C2)C1 ZINC001015676149 746268505 /nfs/dbraw/zinc/26/85/05/746268505.db2.gz HUOWBSCBZFROJW-AWEZNQCLSA-N 1 2 300.406 1.832 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)cn3)C2)C1 ZINC001015676149 746268508 /nfs/dbraw/zinc/26/85/08/746268508.db2.gz HUOWBSCBZFROJW-AWEZNQCLSA-N 1 2 300.406 1.832 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@H]1C ZINC000993771077 746320748 /nfs/dbraw/zinc/32/07/48/746320748.db2.gz GDEMBVCVARKAMT-MNOVXSKESA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@H]1C ZINC000993771077 746320755 /nfs/dbraw/zinc/32/07/55/746320755.db2.gz GDEMBVCVARKAMT-MNOVXSKESA-N 1 2 312.801 1.461 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2c(C)noc2C)CC1 ZINC001194778894 746452773 /nfs/dbraw/zinc/45/27/73/746452773.db2.gz RSRLZLFEVZCNFT-UHFFFAOYSA-N 1 2 305.378 1.089 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2c(C)noc2C)CC1 ZINC001194778894 746452774 /nfs/dbraw/zinc/45/27/74/746452774.db2.gz RSRLZLFEVZCNFT-UHFFFAOYSA-N 1 2 305.378 1.089 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(OC)nn2)[C@@H]1C ZINC000994176707 746476662 /nfs/dbraw/zinc/47/66/62/746476662.db2.gz WCDTYNAIIGIHRZ-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(OC)nn2)[C@@H]1C ZINC000994176707 746476665 /nfs/dbraw/zinc/47/66/65/746476665.db2.gz WCDTYNAIIGIHRZ-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnc(C)cn2)C[C@H]1O ZINC001195732537 746679193 /nfs/dbraw/zinc/67/91/93/746679193.db2.gz APQPJHIJHXSDPG-NUEKZKHPSA-N 1 2 318.421 1.364 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnc(C)cn2)C[C@H]1O ZINC001195732537 746679195 /nfs/dbraw/zinc/67/91/95/746679195.db2.gz APQPJHIJHXSDPG-NUEKZKHPSA-N 1 2 318.421 1.364 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195755083 746686940 /nfs/dbraw/zinc/68/69/40/746686940.db2.gz XFAVVPYRAJUZNY-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195755083 746686942 /nfs/dbraw/zinc/68/69/42/746686942.db2.gz XFAVVPYRAJUZNY-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3ccccn3)C[C@@H]2O)C1 ZINC001083756247 746715437 /nfs/dbraw/zinc/71/54/37/746715437.db2.gz KMCQAACDULUEKS-CABCVRRESA-N 1 2 301.390 1.099 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3ccccn3)C[C@@H]2O)C1 ZINC001083756247 746715439 /nfs/dbraw/zinc/71/54/39/746715439.db2.gz KMCQAACDULUEKS-CABCVRRESA-N 1 2 301.390 1.099 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2cn3c([nH+]2)CCCC3)CC[C@@H]1NCC#N ZINC001036042475 752118675 /nfs/dbraw/zinc/11/86/75/752118675.db2.gz PLUAVRJXWONRDX-ZFWWWQNUSA-N 1 2 315.421 1.112 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2cn3c([nH+]2)CCCC3)CC[C@H]1NCC#N ZINC001036042474 752118980 /nfs/dbraw/zinc/11/89/80/752118980.db2.gz PLUAVRJXWONRDX-UKRRQHHQSA-N 1 2 315.421 1.112 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CN(C)C(=O)C(C)C)CC1 ZINC001196034003 746767650 /nfs/dbraw/zinc/76/76/50/746767650.db2.gz WMFSZJJQIHEPQD-UHFFFAOYSA-N 1 2 315.845 1.388 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CN(C)C(=O)C(C)C)CC1 ZINC001196034003 746767652 /nfs/dbraw/zinc/76/76/52/746767652.db2.gz WMFSZJJQIHEPQD-UHFFFAOYSA-N 1 2 315.845 1.388 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(F)ccc2F)CC1 ZINC001196163069 746789837 /nfs/dbraw/zinc/78/98/37/746789837.db2.gz HCHBHIOXRCPYHM-UHFFFAOYSA-N 1 2 322.355 1.763 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(F)ccc2F)CC1 ZINC001196163069 746789841 /nfs/dbraw/zinc/78/98/41/746789841.db2.gz HCHBHIOXRCPYHM-UHFFFAOYSA-N 1 2 322.355 1.763 20 30 DDEDLO CC(C)(C)C#CC(=O)NCc1cnn2c1C[N@H+](CCCF)CC2 ZINC001128350510 746895086 /nfs/dbraw/zinc/89/50/86/746895086.db2.gz BGMKWOYCVYELDH-UHFFFAOYSA-N 1 2 320.412 1.724 20 30 DDEDLO CC(C)(C)C#CC(=O)NCc1cnn2c1C[N@@H+](CCCF)CC2 ZINC001128350510 746895095 /nfs/dbraw/zinc/89/50/95/746895095.db2.gz BGMKWOYCVYELDH-UHFFFAOYSA-N 1 2 320.412 1.724 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@H](F)C(C)C)CC1 ZINC001196799443 746965931 /nfs/dbraw/zinc/96/59/31/746965931.db2.gz NCGPHGHTPQOVNA-ZIAGYGMSSA-N 1 2 313.417 1.206 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@H](F)C(C)C)CC1 ZINC001196799443 746965937 /nfs/dbraw/zinc/96/59/37/746965937.db2.gz NCGPHGHTPQOVNA-ZIAGYGMSSA-N 1 2 313.417 1.206 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC001196852747 746990755 /nfs/dbraw/zinc/99/07/55/746990755.db2.gz CSVHOGNLRUQXBE-LSDHHAIUSA-N 1 2 323.481 1.894 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC001196852747 746990758 /nfs/dbraw/zinc/99/07/58/746990758.db2.gz CSVHOGNLRUQXBE-LSDHHAIUSA-N 1 2 323.481 1.894 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001196854311 746992015 /nfs/dbraw/zinc/99/20/15/746992015.db2.gz PWQKPBBWBPYHKW-CABCVRRESA-N 1 2 321.465 1.505 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001196854311 746992020 /nfs/dbraw/zinc/99/20/20/746992020.db2.gz PWQKPBBWBPYHKW-CABCVRRESA-N 1 2 321.465 1.505 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)c3ccon3)C2)c1 ZINC001031561204 747039788 /nfs/dbraw/zinc/03/97/88/747039788.db2.gz BZGIEMBBJVOTGQ-UHFFFAOYSA-N 1 2 314.320 1.547 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@]23C[C@@H]2CCCC3)CC1 ZINC001197090496 747047899 /nfs/dbraw/zinc/04/78/99/747047899.db2.gz ADOCUOLRQBKBOK-YJBOKZPZSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@]23C[C@@H]2CCCC3)CC1 ZINC001197090496 747047904 /nfs/dbraw/zinc/04/79/04/747047904.db2.gz ADOCUOLRQBKBOK-YJBOKZPZSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(C(C)C)CC2)CC1 ZINC001197092375 747047962 /nfs/dbraw/zinc/04/79/62/747047962.db2.gz LIPCMEKCAFDBMX-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(C(C)C)CC2)CC1 ZINC001197092375 747047968 /nfs/dbraw/zinc/04/79/68/747047968.db2.gz LIPCMEKCAFDBMX-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@H]1CCN(CC#N)CC(C)(C)C1 ZINC001089541970 747176269 /nfs/dbraw/zinc/17/62/69/747176269.db2.gz PBAZWEJREFWCPD-ZDUSSCGKSA-N 1 2 303.410 1.093 20 30 DDEDLO N#Cc1cccnc1NCC1(CCNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001090003942 747210895 /nfs/dbraw/zinc/21/08/95/747210895.db2.gz SFYLCNBDJXLEEQ-UHFFFAOYSA-N 1 2 324.388 1.617 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=S)Nc1cccc(C#N)c1 ZINC001197734044 747254286 /nfs/dbraw/zinc/25/42/86/747254286.db2.gz XGEUSVMQIBGGLD-UHFFFAOYSA-N 1 2 315.402 1.888 20 30 DDEDLO C#Cc1cncc(C(=O)NC2CC[NH+](Cc3cc(C)on3)CC2)c1 ZINC001003594647 747309305 /nfs/dbraw/zinc/30/93/05/747309305.db2.gz SUQYKWZJFDALBB-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC[NH+](Cc3cnns3)CC2)C1 ZINC001003595683 747310209 /nfs/dbraw/zinc/31/02/09/747310209.db2.gz BFVVOADZYMQMJO-UHFFFAOYSA-N 1 2 306.435 1.975 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)CSCC#N)[C@H](C)C2)cc[nH+]1 ZINC001110502285 747371758 /nfs/dbraw/zinc/37/17/58/747371758.db2.gz ODBVIBKDXJXGEJ-DGCLKSJQSA-N 1 2 319.434 1.833 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2cnsn2)cc1 ZINC001038069481 747406846 /nfs/dbraw/zinc/40/68/46/747406846.db2.gz IVMCGVZUNPZBRS-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cnsn2)cc1 ZINC001038069481 747406849 /nfs/dbraw/zinc/40/68/49/747406849.db2.gz IVMCGVZUNPZBRS-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2nccnc2C)CC1 ZINC001198344256 747459626 /nfs/dbraw/zinc/45/96/26/747459626.db2.gz SINZAPHUWJNCAK-OAHLLOKOSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2nccnc2C)CC1 ZINC001198344256 747459630 /nfs/dbraw/zinc/45/96/30/747459630.db2.gz SINZAPHUWJNCAK-OAHLLOKOSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001060754819 747601410 /nfs/dbraw/zinc/60/14/10/747601410.db2.gz HMHODJLVCCPBDG-SHTZXODSSA-N 1 2 318.421 1.641 20 30 DDEDLO CCN(c1ccncc1C#N)[C@H](C)CNC(=O)Cn1cc[nH+]c1 ZINC001089666461 747616953 /nfs/dbraw/zinc/61/69/53/747616953.db2.gz KDWSFVYJHVPUHG-CYBMUJFWSA-N 1 2 312.377 1.181 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sccc1F)C2 ZINC001110521415 747633976 /nfs/dbraw/zinc/63/39/76/747633976.db2.gz GIWUDABOIHVRFU-BNOWGMLFSA-N 1 2 322.405 1.872 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sccc1F)C2 ZINC001110521415 747633981 /nfs/dbraw/zinc/63/39/81/747633981.db2.gz GIWUDABOIHVRFU-BNOWGMLFSA-N 1 2 322.405 1.872 20 30 DDEDLO CCc1cc(N2CC=C(CNC(=O)[C@@H](C)C#N)CC2)nc(C)[nH+]1 ZINC001127587223 747684579 /nfs/dbraw/zinc/68/45/79/747684579.db2.gz ILMIORFOVPFPIM-LBPRGKRZSA-N 1 2 313.405 1.760 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC000998756032 752208669 /nfs/dbraw/zinc/20/86/69/752208669.db2.gz SYMWHBBGFAVVDF-WCQYABFASA-N 1 2 317.393 1.577 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncccn1 ZINC001152585419 747776804 /nfs/dbraw/zinc/77/68/04/747776804.db2.gz ZBXLLOPJLGYDPN-OAHLLOKOSA-N 1 2 320.437 1.786 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncccn1 ZINC001152585419 747776807 /nfs/dbraw/zinc/77/68/07/747776807.db2.gz ZBXLLOPJLGYDPN-OAHLLOKOSA-N 1 2 320.437 1.786 20 30 DDEDLO C=C(Cl)CN1CC(CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001031768763 747787323 /nfs/dbraw/zinc/78/73/23/747787323.db2.gz NILCTAMKSNRTHD-UHFFFAOYSA-N 1 2 304.781 1.977 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cn(CC(F)(F)F)cn2)CC1 ZINC001199394844 747807862 /nfs/dbraw/zinc/80/78/62/747807862.db2.gz GSQNUKBRQSOXIG-UHFFFAOYSA-N 1 2 302.300 1.389 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@]23CCC[C@H]2OCC3)C1 ZINC001108068057 748223666 /nfs/dbraw/zinc/22/36/66/748223666.db2.gz KEJQPPMGHCXFHT-NXHRZFHOSA-N 1 2 320.433 1.176 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@]23CCC[C@H]2OCC3)C1 ZINC001108068057 748223670 /nfs/dbraw/zinc/22/36/70/748223670.db2.gz KEJQPPMGHCXFHT-NXHRZFHOSA-N 1 2 320.433 1.176 20 30 DDEDLO Cc1ncncc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004344944 748345214 /nfs/dbraw/zinc/34/52/14/748345214.db2.gz GIHNPJYLMSBUIC-MRXNPFEDSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1ncncc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004344944 748345219 /nfs/dbraw/zinc/34/52/19/748345219.db2.gz GIHNPJYLMSBUIC-MRXNPFEDSA-N 1 2 313.405 1.625 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC001004393097 748390702 /nfs/dbraw/zinc/39/07/02/748390702.db2.gz NKKYQESWJBYYAP-MRXNPFEDSA-N 1 2 311.389 1.727 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC001004393097 748390708 /nfs/dbraw/zinc/39/07/08/748390708.db2.gz NKKYQESWJBYYAP-MRXNPFEDSA-N 1 2 311.389 1.727 20 30 DDEDLO CC1(NC(=O)Cc2[nH]cc[nH+]2)CCN(c2ncccc2C#N)CC1 ZINC001110595656 748810645 /nfs/dbraw/zinc/81/06/45/748810645.db2.gz AGWLFQMMMBTDIP-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCCC ZINC001110608569 748820744 /nfs/dbraw/zinc/82/07/44/748820744.db2.gz VMBUJKNFAWWTJC-OAGGEKHMSA-N 1 2 321.465 1.981 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCCC ZINC001110608569 748820745 /nfs/dbraw/zinc/82/07/45/748820745.db2.gz VMBUJKNFAWWTJC-OAGGEKHMSA-N 1 2 321.465 1.981 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(C(C)C)no1 ZINC001153161044 748859427 /nfs/dbraw/zinc/85/94/27/748859427.db2.gz WPMMGINGDPPXHB-NWDGAFQWSA-N 1 2 310.398 1.551 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2n[nH]c3c2CCC3)C1 ZINC001033223981 748980877 /nfs/dbraw/zinc/98/08/77/748980877.db2.gz XCIQOFZGNKKBBT-NSHDSACASA-N 1 2 308.813 1.797 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2n[nH]c3c2CCC3)C1 ZINC001033223981 748980882 /nfs/dbraw/zinc/98/08/82/748980882.db2.gz XCIQOFZGNKKBBT-NSHDSACASA-N 1 2 308.813 1.797 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnc(C)s2)[C@@H](O)C1 ZINC001090129568 749092220 /nfs/dbraw/zinc/09/22/20/749092220.db2.gz NOCLQZOXOMOHMC-MNOVXSKESA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnc(C)s2)[C@@H](O)C1 ZINC001090129568 749092223 /nfs/dbraw/zinc/09/22/23/749092223.db2.gz NOCLQZOXOMOHMC-MNOVXSKESA-N 1 2 315.826 1.369 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cncc(C)c2)C1 ZINC001108100048 749116669 /nfs/dbraw/zinc/11/66/69/749116669.db2.gz QSEQVKYCYOFWMD-KRWDZBQOSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cncc(C)c2)C1 ZINC001108100048 749116673 /nfs/dbraw/zinc/11/66/73/749116673.db2.gz QSEQVKYCYOFWMD-KRWDZBQOSA-N 1 2 303.406 1.326 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001033483308 749409717 /nfs/dbraw/zinc/40/97/17/749409717.db2.gz GZMCILBZNLJSJE-QWHCGFSZSA-N 1 2 319.430 1.774 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC001033483308 749409720 /nfs/dbraw/zinc/40/97/20/749409720.db2.gz GZMCILBZNLJSJE-QWHCGFSZSA-N 1 2 319.430 1.774 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001033629595 749632026 /nfs/dbraw/zinc/63/20/26/749632026.db2.gz PNCNJDATVXAEMJ-CHWSQXEVSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001033629595 749632031 /nfs/dbraw/zinc/63/20/31/749632031.db2.gz PNCNJDATVXAEMJ-CHWSQXEVSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnnn1CC ZINC001039372465 761973943 /nfs/dbraw/zinc/97/39/43/761973943.db2.gz XTRGXOCNBYWXMF-OLZOCXBDSA-N 1 2 323.828 1.729 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnnn1CC ZINC001039372465 761973948 /nfs/dbraw/zinc/97/39/48/761973948.db2.gz XTRGXOCNBYWXMF-OLZOCXBDSA-N 1 2 323.828 1.729 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cccnc2C(N)=O)C1 ZINC001033684817 749713909 /nfs/dbraw/zinc/71/39/09/749713909.db2.gz MIOOUCGCFHYCGX-NSHDSACASA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cccnc2C(N)=O)C1 ZINC001033684817 749713914 /nfs/dbraw/zinc/71/39/14/749713914.db2.gz MIOOUCGCFHYCGX-NSHDSACASA-N 1 2 322.796 1.079 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCCc2ccccn2)C1 ZINC001108357105 761977205 /nfs/dbraw/zinc/97/72/05/761977205.db2.gz XVEHFBWQFKYLTI-SFHVURJKSA-N 1 2 317.433 1.797 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCCc2ccccn2)C1 ZINC001108357105 761977209 /nfs/dbraw/zinc/97/72/09/761977209.db2.gz XVEHFBWQFKYLTI-SFHVURJKSA-N 1 2 317.433 1.797 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2nn(CC)nc2C)C1 ZINC001033709749 749756632 /nfs/dbraw/zinc/75/66/32/749756632.db2.gz KGQGNLHRKDWSJA-GFCCVEGCSA-N 1 2 311.817 1.505 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2nn(CC)nc2C)C1 ZINC001033709749 749756638 /nfs/dbraw/zinc/75/66/38/749756638.db2.gz KGQGNLHRKDWSJA-GFCCVEGCSA-N 1 2 311.817 1.505 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc(C(N)=O)ccn2)C1 ZINC001033713457 749765429 /nfs/dbraw/zinc/76/54/29/749765429.db2.gz GGKOWYIIRDKLAV-LBPRGKRZSA-N 1 2 322.796 1.079 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(C(N)=O)ccn2)C1 ZINC001033713457 749765437 /nfs/dbraw/zinc/76/54/37/749765437.db2.gz GGKOWYIIRDKLAV-LBPRGKRZSA-N 1 2 322.796 1.079 20 30 DDEDLO C[C@@H](CNc1[nH+]cnc2c1cnn2C)NC(=O)C#CC(C)(C)C ZINC001108358430 761980665 /nfs/dbraw/zinc/98/06/65/761980665.db2.gz LEJDSFUCXFXFIN-NSHDSACASA-N 1 2 314.393 1.329 20 30 DDEDLO Cc1nsc(C)c1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)C#N ZINC001032316961 749925401 /nfs/dbraw/zinc/92/54/01/749925401.db2.gz LEHLFRCVKXUQFN-XDTLVQLUSA-N 1 2 304.419 1.705 20 30 DDEDLO Cc1nsc(C)c1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)C#N ZINC001032316961 749925404 /nfs/dbraw/zinc/92/54/04/749925404.db2.gz LEHLFRCVKXUQFN-XDTLVQLUSA-N 1 2 304.419 1.705 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cc(OC)ncn2)C1 ZINC001033831379 749952031 /nfs/dbraw/zinc/95/20/31/749952031.db2.gz UMNIWEMAOQXDIW-GFCCVEGCSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(OC)ncn2)C1 ZINC001033831379 749952035 /nfs/dbraw/zinc/95/20/35/749952035.db2.gz UMNIWEMAOQXDIW-GFCCVEGCSA-N 1 2 324.812 1.774 20 30 DDEDLO N#Cc1cnc(NC/C=C\CNC(=O)Cc2c[nH]c[nH+]2)c(F)c1 ZINC001107539838 750102871 /nfs/dbraw/zinc/10/28/71/750102871.db2.gz UPGOISPAJQNZLH-UPHRSURJSA-N 1 2 314.324 1.142 20 30 DDEDLO CC(C)C#CC(=O)NCC1CC([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001100304763 750128679 /nfs/dbraw/zinc/12/86/79/750128679.db2.gz KRXJVTBFMOCQKL-UHFFFAOYSA-N 1 2 316.405 1.591 20 30 DDEDLO CC(=O)NC[C@@]1(C)C[N@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC001107664827 750335865 /nfs/dbraw/zinc/33/58/65/750335865.db2.gz YDEJVDRUEWFRME-KRWDZBQOSA-N 1 2 317.389 1.316 20 30 DDEDLO CC(=O)NC[C@@]1(C)C[N@@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC001107664827 750335873 /nfs/dbraw/zinc/33/58/73/750335873.db2.gz YDEJVDRUEWFRME-KRWDZBQOSA-N 1 2 317.389 1.316 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(CC)CC)C2 ZINC001111189885 750424188 /nfs/dbraw/zinc/42/41/88/750424188.db2.gz HLGAXWDZXIBIAK-OAGGEKHMSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(CC)CC)C2 ZINC001111189885 750424192 /nfs/dbraw/zinc/42/41/92/750424192.db2.gz HLGAXWDZXIBIAK-OAGGEKHMSA-N 1 2 319.449 1.284 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc([C@@H](C)OC)n1 ZINC001032402470 750587646 /nfs/dbraw/zinc/58/76/46/750587646.db2.gz DCKOSDCRILBDLQ-AGIUHOORSA-N 1 2 319.430 1.773 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc([C@@H](C)OC)n1 ZINC001032402470 750587650 /nfs/dbraw/zinc/58/76/50/750587650.db2.gz DCKOSDCRILBDLQ-AGIUHOORSA-N 1 2 319.430 1.773 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(C)ccc1F ZINC001032404452 750594039 /nfs/dbraw/zinc/59/40/39/750594039.db2.gz IRPKNAVKYZXNOU-GJZGRUSLSA-N 1 2 316.376 1.821 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(C)ccc1F ZINC001032404452 750594043 /nfs/dbraw/zinc/59/40/43/750594043.db2.gz IRPKNAVKYZXNOU-GJZGRUSLSA-N 1 2 316.376 1.821 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CNc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001098506785 750614137 /nfs/dbraw/zinc/61/41/37/750614137.db2.gz ZLKCOHLWMFQRLT-ZDUSSCGKSA-N 1 2 318.425 1.473 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Br)co2)C1 ZINC001077780410 750623291 /nfs/dbraw/zinc/62/32/91/750623291.db2.gz OQQMHQFRBQCVIN-NXEZZACHSA-N 1 2 315.167 1.003 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Br)co2)C1 ZINC001077780410 750623295 /nfs/dbraw/zinc/62/32/95/750623295.db2.gz OQQMHQFRBQCVIN-NXEZZACHSA-N 1 2 315.167 1.003 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)noc2CCC)C1 ZINC001107942843 750766386 /nfs/dbraw/zinc/76/63/86/750766386.db2.gz XAWPNKYPMXRHTE-QGZVFWFLSA-N 1 2 321.421 1.942 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)noc2CCC)C1 ZINC001107942843 750766389 /nfs/dbraw/zinc/76/63/89/750766389.db2.gz XAWPNKYPMXRHTE-QGZVFWFLSA-N 1 2 321.421 1.942 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001108148299 750778916 /nfs/dbraw/zinc/77/89/16/750778916.db2.gz IARXCRSJWZQOQH-VXGBXAGGSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001108148299 750778922 /nfs/dbraw/zinc/77/89/22/750778922.db2.gz IARXCRSJWZQOQH-VXGBXAGGSA-N 1 2 312.377 1.472 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CC[C@H](NC(=O)c2[nH]ncc2F)CC1 ZINC001034733754 750781307 /nfs/dbraw/zinc/78/13/07/750781307.db2.gz XQPNWPZLTSVIPH-MGCOHNPYSA-N 1 2 300.765 1.932 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCCO[C@H]2C=C)C1 ZINC001107951278 750821924 /nfs/dbraw/zinc/82/19/24/750821924.db2.gz LRAAKRQHAIQUSG-HLLBOEOZSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCCO[C@H]2C=C)C1 ZINC001107951278 750821934 /nfs/dbraw/zinc/82/19/34/750821934.db2.gz LRAAKRQHAIQUSG-HLLBOEOZSA-N 1 2 308.422 1.361 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc[nH]c2C(C)C)C1 ZINC001108154230 750847472 /nfs/dbraw/zinc/84/74/72/750847472.db2.gz YFPYNPORKNFSKM-SFHVURJKSA-N 1 2 317.433 1.982 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc[nH]c2C(C)C)C1 ZINC001108154230 750847477 /nfs/dbraw/zinc/84/74/77/750847477.db2.gz YFPYNPORKNFSKM-SFHVURJKSA-N 1 2 317.433 1.982 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N(C)C)cc1F ZINC001032444265 750859270 /nfs/dbraw/zinc/85/92/70/750859270.db2.gz SWKQFMFRMHOMBS-GJZGRUSLSA-N 1 2 315.392 1.814 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N(C)C)cc1F ZINC001032444265 750859278 /nfs/dbraw/zinc/85/92/78/750859278.db2.gz SWKQFMFRMHOMBS-GJZGRUSLSA-N 1 2 315.392 1.814 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)CC(C)(C)CC)[C@@H](n2ccnn2)C1 ZINC001129019822 750943154 /nfs/dbraw/zinc/94/31/54/750943154.db2.gz AGPOBHOKZPPJPT-CABCVRRESA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)CC(C)(C)CC)[C@@H](n2ccnn2)C1 ZINC001129019822 750943156 /nfs/dbraw/zinc/94/31/56/750943156.db2.gz AGPOBHOKZPPJPT-CABCVRRESA-N 1 2 317.437 1.469 20 30 DDEDLO C=CCOCC(=O)N1C[C@H](CNc2cc[nH+]c(C)n2)C[C@@H]1C ZINC001114669956 750967859 /nfs/dbraw/zinc/96/78/59/750967859.db2.gz CCGWYTIICPDAOG-JSGCOSHPSA-N 1 2 304.394 1.637 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc2cnccc2s1 ZINC001032512228 751140410 /nfs/dbraw/zinc/14/04/10/751140410.db2.gz OHJJRAZLVITWKG-RYUDHWBXSA-N 1 2 312.398 1.613 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc2cnccc2s1 ZINC001032512228 751140414 /nfs/dbraw/zinc/14/04/14/751140414.db2.gz OHJJRAZLVITWKG-RYUDHWBXSA-N 1 2 312.398 1.613 20 30 DDEDLO C[C@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CC[C@H]1NCC#N ZINC001036250743 752305028 /nfs/dbraw/zinc/30/50/28/752305028.db2.gz IGDGWWVXXRQMPU-DZGCQCFKSA-N 1 2 324.388 1.231 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cc(F)c(F)cc1F ZINC001032648996 752594044 /nfs/dbraw/zinc/59/40/44/752594044.db2.gz ZYAMWRLSHBIDMM-RYUDHWBXSA-N 1 2 308.303 1.565 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cc(F)c(F)cc1F ZINC001032648996 752594046 /nfs/dbraw/zinc/59/40/46/752594046.db2.gz ZYAMWRLSHBIDMM-RYUDHWBXSA-N 1 2 308.303 1.565 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc(C)ncc1Cl ZINC001032666390 752674212 /nfs/dbraw/zinc/67/42/12/752674212.db2.gz NBGYUMZZTZYAKG-RYUDHWBXSA-N 1 2 304.781 1.360 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc(C)ncc1Cl ZINC001032666390 752674218 /nfs/dbraw/zinc/67/42/18/752674218.db2.gz NBGYUMZZTZYAKG-RYUDHWBXSA-N 1 2 304.781 1.360 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(F)c2ncccc2c1 ZINC001032686194 752723979 /nfs/dbraw/zinc/72/39/79/752723979.db2.gz ICRWELGXMWGHQT-GJZGRUSLSA-N 1 2 309.344 1.906 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(F)c2ncccc2c1 ZINC001032686194 752723985 /nfs/dbraw/zinc/72/39/85/752723985.db2.gz ICRWELGXMWGHQT-GJZGRUSLSA-N 1 2 309.344 1.906 20 30 DDEDLO CN(C[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1)c1ccncc1C#N ZINC001062181723 752814355 /nfs/dbraw/zinc/81/43/55/752814355.db2.gz IDGDYEOUHCYBPM-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001062264438 752851398 /nfs/dbraw/zinc/85/13/98/752851398.db2.gz SYJBXTQGGANGEQ-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](N(C)c2ccc(C#N)nc2)C1 ZINC001056949452 762166141 /nfs/dbraw/zinc/16/61/41/762166141.db2.gz LSRLQQULTSZKQG-HNNXBMFYSA-N 1 2 324.388 1.265 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(N)=O)s2)C1 ZINC001008863186 752950938 /nfs/dbraw/zinc/95/09/38/752950938.db2.gz QLRYXTLPJYVCDS-NSHDSACASA-N 1 2 305.403 1.065 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2ccc(C(N)=O)s2)C1 ZINC001008863186 752950943 /nfs/dbraw/zinc/95/09/43/752950943.db2.gz QLRYXTLPJYVCDS-NSHDSACASA-N 1 2 305.403 1.065 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1ncccn1 ZINC001008948240 753002053 /nfs/dbraw/zinc/00/20/53/753002053.db2.gz CWHLGXIORFAUIL-KRWDZBQOSA-N 1 2 320.396 1.723 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1ncccn1 ZINC001008948240 753002058 /nfs/dbraw/zinc/00/20/58/753002058.db2.gz CWHLGXIORFAUIL-KRWDZBQOSA-N 1 2 320.396 1.723 20 30 DDEDLO N#Cc1ccc(N2C[C@@H]3C[C@H]2CN3C(=O)CCc2[nH]cc[nH+]2)nc1 ZINC001062483957 753052937 /nfs/dbraw/zinc/05/29/37/753052937.db2.gz TXWJQEMIULIQLK-KBPBESRZSA-N 1 2 322.372 1.099 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnc(CC)s2)C1 ZINC001108432855 762182337 /nfs/dbraw/zinc/18/23/37/762182337.db2.gz RCSOLVKUNJXRSQ-HNNXBMFYSA-N 1 2 309.435 1.712 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnc(CC)s2)C1 ZINC001108432855 762182338 /nfs/dbraw/zinc/18/23/38/762182338.db2.gz RCSOLVKUNJXRSQ-HNNXBMFYSA-N 1 2 309.435 1.712 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(C2CCOCC2)n1 ZINC001032719118 753084369 /nfs/dbraw/zinc/08/43/69/753084369.db2.gz XLMGTWPDDYAYTN-KBPBESRZSA-N 1 2 317.389 1.653 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(C2CCOCC2)n1 ZINC001032719118 753084375 /nfs/dbraw/zinc/08/43/75/753084375.db2.gz XLMGTWPDDYAYTN-KBPBESRZSA-N 1 2 317.389 1.653 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001009189010 753103287 /nfs/dbraw/zinc/10/32/87/753103287.db2.gz NZAUIQLSCVTOEJ-GXTWGEPZSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001009189010 753103290 /nfs/dbraw/zinc/10/32/90/753103290.db2.gz NZAUIQLSCVTOEJ-GXTWGEPZSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H](C)N2CCOCC2)C1 ZINC001009765387 753350623 /nfs/dbraw/zinc/35/06/23/753350623.db2.gz ZJCSHHIOFFYMOT-KBPBESRZSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)N2CCOCC2)C1 ZINC001009765387 753350631 /nfs/dbraw/zinc/35/06/31/753350631.db2.gz ZJCSHHIOFFYMOT-KBPBESRZSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001077928895 753437358 /nfs/dbraw/zinc/43/73/58/753437358.db2.gz SODOVSPMUCSAKS-GJZGRUSLSA-N 1 2 320.437 1.887 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1sc(COC)nc1C ZINC001032755784 753494835 /nfs/dbraw/zinc/49/48/35/753494835.db2.gz MKUSRKFYQGOPIU-RYUDHWBXSA-N 1 2 307.419 1.683 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1sc(COC)nc1C ZINC001032755784 753494838 /nfs/dbraw/zinc/49/48/38/753494838.db2.gz MKUSRKFYQGOPIU-RYUDHWBXSA-N 1 2 307.419 1.683 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H](CC)C(N)=O ZINC001039820330 762230721 /nfs/dbraw/zinc/23/07/21/762230721.db2.gz DOXNBYVFANKSNG-HZSPNIEDSA-N 1 2 307.438 1.528 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H](CC)C(N)=O ZINC001039820330 762230725 /nfs/dbraw/zinc/23/07/25/762230725.db2.gz DOXNBYVFANKSNG-HZSPNIEDSA-N 1 2 307.438 1.528 20 30 DDEDLO Cc1c(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)cnn1C ZINC001010201162 753676810 /nfs/dbraw/zinc/67/68/10/753676810.db2.gz WBQOMEWXNSAUNY-QGZVFWFLSA-N 1 2 322.412 1.584 20 30 DDEDLO Cc1c(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)cnn1C ZINC001010201162 753676816 /nfs/dbraw/zinc/67/68/16/753676816.db2.gz WBQOMEWXNSAUNY-QGZVFWFLSA-N 1 2 322.412 1.584 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CCN(C(=O)Cc1[nH]cc[nH+]1)CC2 ZINC001060940196 753687627 /nfs/dbraw/zinc/68/76/27/753687627.db2.gz VFHLQHCTQAAGML-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H](C)CCNC(=O)[C@H](C)C#N)c[nH+]1 ZINC001078135985 753776553 /nfs/dbraw/zinc/77/65/53/753776553.db2.gz AWWCSWDLDQSMME-NEPJUHHUSA-N 1 2 319.409 1.097 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001078243075 753883558 /nfs/dbraw/zinc/88/35/58/753883558.db2.gz RQXZUQHROIWVHU-KZNAEPCWSA-N 1 2 312.413 1.291 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001078243075 753883569 /nfs/dbraw/zinc/88/35/69/753883569.db2.gz RQXZUQHROIWVHU-KZNAEPCWSA-N 1 2 312.413 1.291 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C[C@@H]2C)cc[nH+]1 ZINC001063716264 754313409 /nfs/dbraw/zinc/31/34/09/754313409.db2.gz OGCHQZIDQOXPAI-FZMZJTMJSA-N 1 2 324.388 1.948 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc3occc3[nH]2)[C@@H](O)C1 ZINC001090304663 754812746 /nfs/dbraw/zinc/81/27/46/754812746.db2.gz FJGFFOSZHPXWLR-GWCFXTLKSA-N 1 2 323.780 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc3occc3[nH]2)[C@@H](O)C1 ZINC001090304663 754812748 /nfs/dbraw/zinc/81/27/48/754812748.db2.gz FJGFFOSZHPXWLR-GWCFXTLKSA-N 1 2 323.780 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc(CC)n2)[C@@H](O)C1 ZINC001090327043 754939740 /nfs/dbraw/zinc/93/97/40/754939740.db2.gz OFFLSOKMPARWRJ-HIFRSBDPSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(CC)n2)[C@@H](O)C1 ZINC001090327043 754939743 /nfs/dbraw/zinc/93/97/43/754939743.db2.gz OFFLSOKMPARWRJ-HIFRSBDPSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(CC)n2)[C@@H](O)C1 ZINC001090327045 754939954 /nfs/dbraw/zinc/93/99/54/754939954.db2.gz OFFLSOKMPARWRJ-ZFWWWQNUSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(CC)n2)[C@@H](O)C1 ZINC001090327045 754939958 /nfs/dbraw/zinc/93/99/58/754939958.db2.gz OFFLSOKMPARWRJ-ZFWWWQNUSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccsn2)[C@@H](O)C1 ZINC001090351982 755033108 /nfs/dbraw/zinc/03/31/08/755033108.db2.gz BSWSSELESMECJE-KOLCDFICSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccsn2)[C@@H](O)C1 ZINC001090351982 755033112 /nfs/dbraw/zinc/03/31/12/755033112.db2.gz BSWSSELESMECJE-KOLCDFICSA-N 1 2 301.799 1.061 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001079468027 755361431 /nfs/dbraw/zinc/36/14/31/755361431.db2.gz ICUHXYRRMTXRHG-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001079539221 755414067 /nfs/dbraw/zinc/41/40/67/755414067.db2.gz ATFRKTFEAWIPCO-TYNCELHUSA-N 1 2 324.388 1.488 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001079539221 755414071 /nfs/dbraw/zinc/41/40/71/755414071.db2.gz ATFRKTFEAWIPCO-TYNCELHUSA-N 1 2 324.388 1.488 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001079798090 755591577 /nfs/dbraw/zinc/59/15/77/755591577.db2.gz IMEMBNQKTOZQJZ-KGYLQXTDSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001079798090 755591580 /nfs/dbraw/zinc/59/15/80/755591580.db2.gz IMEMBNQKTOZQJZ-KGYLQXTDSA-N 1 2 313.829 1.044 20 30 DDEDLO CC#CCCCC(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080032102 755691643 /nfs/dbraw/zinc/69/16/43/755691643.db2.gz OGTRBVZAUBMYGK-UHFFFAOYSA-N 1 2 316.405 1.136 20 30 DDEDLO CCn1ncc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001014360057 755695922 /nfs/dbraw/zinc/69/59/22/755695922.db2.gz WVGBQXSKGCXKJF-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1ncc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001014360057 755695923 /nfs/dbraw/zinc/69/59/23/755695923.db2.gz WVGBQXSKGCXKJF-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001080042486 755704704 /nfs/dbraw/zinc/70/47/04/755704704.db2.gz LWQRUEGTKDXMRR-MWLCHTKSSA-N 1 2 306.797 1.624 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001080042486 755704708 /nfs/dbraw/zinc/70/47/08/755704708.db2.gz LWQRUEGTKDXMRR-MWLCHTKSSA-N 1 2 306.797 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001014474425 755750250 /nfs/dbraw/zinc/75/02/50/755750250.db2.gz SXJVAAGXFGOIOE-RDBSUJKOSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001014474425 755750254 /nfs/dbraw/zinc/75/02/54/755750254.db2.gz SXJVAAGXFGOIOE-RDBSUJKOSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080213301 755767865 /nfs/dbraw/zinc/76/78/65/755767865.db2.gz TXOUDNGEXVTOGD-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@H]2C)ccc1C#N ZINC001040172899 762422666 /nfs/dbraw/zinc/42/26/66/762422666.db2.gz NMUWUOKEBADBSW-JSGCOSHPSA-N 1 2 324.388 1.629 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@@H+](Cc3nocc3C)C[C@H]2C)c1 ZINC001080410879 755900580 /nfs/dbraw/zinc/90/05/80/755900580.db2.gz YFXJOABZIARTFQ-MLGOLLRUSA-N 1 2 324.384 1.610 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@H+](Cc3nocc3C)C[C@H]2C)c1 ZINC001080410879 755900584 /nfs/dbraw/zinc/90/05/84/755900584.db2.gz YFXJOABZIARTFQ-MLGOLLRUSA-N 1 2 324.384 1.610 20 30 DDEDLO COc1ccc(C(F)(F)N2CC[NH2+]C[C@@H]2C#N)c(Cl)n1 ZINC001156320291 762430674 /nfs/dbraw/zinc/43/06/74/762430674.db2.gz WBSJQIWPPSSDLP-QMMMGPOBSA-N 1 2 302.712 1.590 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001080796562 756109389 /nfs/dbraw/zinc/10/93/89/756109389.db2.gz FMBGCTYAUPLHCR-ZWNOBZJWSA-N 1 2 323.828 1.345 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001080796562 756109390 /nfs/dbraw/zinc/10/93/90/756109390.db2.gz FMBGCTYAUPLHCR-ZWNOBZJWSA-N 1 2 323.828 1.345 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](CC(=C)Cl)C[C@H]2C)cn1 ZINC001080810469 756110905 /nfs/dbraw/zinc/11/09/05/756110905.db2.gz NGCMXEKMYCHMGO-IAQYHMDHSA-N 1 2 303.793 1.866 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](CC(=C)Cl)C[C@H]2C)cn1 ZINC001080810469 756110907 /nfs/dbraw/zinc/11/09/07/756110907.db2.gz NGCMXEKMYCHMGO-IAQYHMDHSA-N 1 2 303.793 1.866 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001015610936 756410519 /nfs/dbraw/zinc/41/05/19/756410519.db2.gz GAYJZLOXPORJFH-WBVHZDCISA-N 1 2 317.364 1.377 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001015610936 756410521 /nfs/dbraw/zinc/41/05/21/756410521.db2.gz GAYJZLOXPORJFH-WBVHZDCISA-N 1 2 317.364 1.377 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](CC(=O)NC3CCCC3)C2)C1 ZINC001015673846 756456791 /nfs/dbraw/zinc/45/67/91/756456791.db2.gz PWOUFNRJDFPAED-OAHLLOKOSA-N 1 2 319.449 1.592 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NC3CCCC3)C2)C1 ZINC001015673846 756456792 /nfs/dbraw/zinc/45/67/92/756456792.db2.gz PWOUFNRJDFPAED-OAHLLOKOSA-N 1 2 319.449 1.592 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c[nH]ccc-3n2)[C@H](OC)C1 ZINC001081854483 756517873 /nfs/dbraw/zinc/51/78/73/756517873.db2.gz LZDFLDYPFKBIGO-HZPDHXFCSA-N 1 2 312.373 1.015 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3c[nH]ccc-3n2)[C@H](OC)C1 ZINC001081854483 756517877 /nfs/dbraw/zinc/51/78/77/756517877.db2.gz LZDFLDYPFKBIGO-HZPDHXFCSA-N 1 2 312.373 1.015 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001081945742 756558053 /nfs/dbraw/zinc/55/80/53/756558053.db2.gz WPJSJHDUXQFPEI-HNNXBMFYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001081945742 756558059 /nfs/dbraw/zinc/55/80/59/756558059.db2.gz WPJSJHDUXQFPEI-HNNXBMFYSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)c2c(F)cccc2F)[C@H](OC)C1 ZINC001081947109 756558828 /nfs/dbraw/zinc/55/88/28/756558828.db2.gz LELXQSDUDGMTKM-NILFDRSVSA-N 1 2 322.355 1.517 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)c2c(F)cccc2F)[C@H](OC)C1 ZINC001081947109 756558831 /nfs/dbraw/zinc/55/88/31/756558831.db2.gz LELXQSDUDGMTKM-NILFDRSVSA-N 1 2 322.355 1.517 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)cc(C)nc2C)[C@H](OC)C1 ZINC001081965739 756568832 /nfs/dbraw/zinc/56/88/32/756568832.db2.gz DGNONBKZAGFIKM-HUUCEWRRSA-N 1 2 301.390 1.069 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(C)cc(C)nc2C)[C@H](OC)C1 ZINC001081965739 756568836 /nfs/dbraw/zinc/56/88/36/756568836.db2.gz DGNONBKZAGFIKM-HUUCEWRRSA-N 1 2 301.390 1.069 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015862620 756597058 /nfs/dbraw/zinc/59/70/58/756597058.db2.gz OGUBYMAKFKRYFT-KRWDZBQOSA-N 1 2 322.412 1.882 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015862620 756597061 /nfs/dbraw/zinc/59/70/61/756597061.db2.gz OGUBYMAKFKRYFT-KRWDZBQOSA-N 1 2 322.412 1.882 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)ccc2F)[C@H](OC)C1 ZINC001082169825 756658703 /nfs/dbraw/zinc/65/87/03/756658703.db2.gz NRCXHENJZRWGHF-HZPDHXFCSA-N 1 2 304.365 1.586 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)ccc2F)[C@H](OC)C1 ZINC001082169825 756658706 /nfs/dbraw/zinc/65/87/06/756658706.db2.gz NRCXHENJZRWGHF-HZPDHXFCSA-N 1 2 304.365 1.586 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(F)cn3)C2)cn1 ZINC001016027576 756731774 /nfs/dbraw/zinc/73/17/74/756731774.db2.gz QZKYBLFZSYWKRC-QGZVFWFLSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(F)cn3)C2)cn1 ZINC001016027576 756731775 /nfs/dbraw/zinc/73/17/75/756731775.db2.gz QZKYBLFZSYWKRC-QGZVFWFLSA-N 1 2 324.359 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001016227563 756845692 /nfs/dbraw/zinc/84/56/92/756845692.db2.gz BNKDKDGCBFNSMC-ZDUSSCGKSA-N 1 2 307.781 1.342 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001016227563 756845694 /nfs/dbraw/zinc/84/56/94/756845694.db2.gz BNKDKDGCBFNSMC-ZDUSSCGKSA-N 1 2 307.781 1.342 20 30 DDEDLO CN(c1ccc(C#N)nc1)[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001057058848 762501119 /nfs/dbraw/zinc/50/11/19/762501119.db2.gz BTVYQPNOPHUILA-MRXNPFEDSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(c1ccc(C#N)nc1)[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001057058848 762501123 /nfs/dbraw/zinc/50/11/23/762501123.db2.gz BTVYQPNOPHUILA-MRXNPFEDSA-N 1 2 324.388 1.346 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@H]3OCCN(C(=O)C(F)F)[C@H]3C2)c1 ZINC001082972010 756996624 /nfs/dbraw/zinc/99/66/24/756996624.db2.gz GODHFMNEFNCVLL-UONOGXRCSA-N 1 2 321.327 1.235 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@H]3OCCN(C(=O)C(F)F)[C@H]3C2)c1 ZINC001082972010 756996634 /nfs/dbraw/zinc/99/66/34/756996634.db2.gz GODHFMNEFNCVLL-UONOGXRCSA-N 1 2 321.327 1.235 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3sccc3C)[C@H]2C1 ZINC001082982437 757016739 /nfs/dbraw/zinc/01/67/39/757016739.db2.gz WHEUQQCNFKZCQX-UONOGXRCSA-N 1 2 304.415 1.605 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3sccc3C)[C@H]2C1 ZINC001082982437 757016742 /nfs/dbraw/zinc/01/67/42/757016742.db2.gz WHEUQQCNFKZCQX-UONOGXRCSA-N 1 2 304.415 1.605 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]c3CCC)[C@H]2C1 ZINC001083171784 757174753 /nfs/dbraw/zinc/17/47/53/757174753.db2.gz UZKUDBBBWIRPNB-DLBZAZTESA-N 1 2 315.417 1.516 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]c3CCC)[C@H]2C1 ZINC001083171784 757174756 /nfs/dbraw/zinc/17/47/56/757174756.db2.gz UZKUDBBBWIRPNB-DLBZAZTESA-N 1 2 315.417 1.516 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C3CCCC3)c2)[C@@H](O)C1 ZINC001083954045 757199602 /nfs/dbraw/zinc/19/96/02/757199602.db2.gz IICFAZPIHNHICA-MSOLQXFVSA-N 1 2 312.413 1.752 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C3CCCC3)c2)[C@@H](O)C1 ZINC001083954045 757199607 /nfs/dbraw/zinc/19/96/07/757199607.db2.gz IICFAZPIHNHICA-MSOLQXFVSA-N 1 2 312.413 1.752 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)cc(Cl)c2)[C@@H](O)C1 ZINC001083990104 757212247 /nfs/dbraw/zinc/21/22/47/757212247.db2.gz NBLBTFTVWRGMQS-OLZOCXBDSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)cc(Cl)c2)[C@@H](O)C1 ZINC001083990104 757212252 /nfs/dbraw/zinc/21/22/52/757212252.db2.gz NBLBTFTVWRGMQS-OLZOCXBDSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2CCC(CCCC)CC2)[C@@H](O)C1 ZINC001084030361 757234444 /nfs/dbraw/zinc/23/44/44/757234444.db2.gz NXAKBQQKSNBXOC-BACDZXNISA-N 1 2 306.450 1.778 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2CCC(CCCC)CC2)[C@@H](O)C1 ZINC001084030361 757234452 /nfs/dbraw/zinc/23/44/52/757234452.db2.gz NXAKBQQKSNBXOC-BACDZXNISA-N 1 2 306.450 1.778 20 30 DDEDLO C[C@@H](CC(=O)NCCN(C)c1ccncc1C#N)n1cc[nH+]c1 ZINC001100350421 757251138 /nfs/dbraw/zinc/25/11/38/757251138.db2.gz HOKOPVIXQMJJDB-ZDUSSCGKSA-N 1 2 312.377 1.353 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3c(s2)CC[C@H](C)C3)[C@@H](O)C1 ZINC001084046101 757259375 /nfs/dbraw/zinc/25/93/75/757259375.db2.gz RGAGPQMDUJWCRE-YUTCNCBUSA-N 1 2 320.458 1.834 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(s2)CC[C@H](C)C3)[C@@H](O)C1 ZINC001084046101 757259380 /nfs/dbraw/zinc/25/93/80/757259380.db2.gz RGAGPQMDUJWCRE-YUTCNCBUSA-N 1 2 320.458 1.834 20 30 DDEDLO Cc1nc(N[C@@H](C)CNC(=O)C#CC2CC2)c2c([nH+]1)CCCC2 ZINC001097804700 757549363 /nfs/dbraw/zinc/54/93/63/757549363.db2.gz ZDYSZTMJZNXNSO-LBPRGKRZSA-N 1 2 312.417 1.994 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cn[nH]c3-c3ccccn3)[C@@H]2C1 ZINC001084396599 757555753 /nfs/dbraw/zinc/55/57/53/757555753.db2.gz FLQSTHUUBGNQPA-CZUORRHYSA-N 1 2 323.400 1.804 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2CN(C(=O)c3cn[nH]c3-c3ccccn3)[C@@H]2C1 ZINC001084396599 757555757 /nfs/dbraw/zinc/55/57/57/757555757.db2.gz FLQSTHUUBGNQPA-CZUORRHYSA-N 1 2 323.400 1.804 20 30 DDEDLO CCOC(=O)[C@H](CS)[NH2+][C@H]1CCCN(C(=O)OCC)CC1 ZINC001169646433 762567935 /nfs/dbraw/zinc/56/79/35/762567935.db2.gz SRZQYIXKLQIEHV-RYUDHWBXSA-N 1 2 318.439 1.449 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001052866690 757903433 /nfs/dbraw/zinc/90/34/33/757903433.db2.gz IKIYUOMEWSMMKE-CABCVRRESA-N 1 2 318.421 1.450 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cn1ccc(C)n1 ZINC001017584671 758051350 /nfs/dbraw/zinc/05/13/50/758051350.db2.gz QGQUAJMQSQXYGX-OIISXLGYSA-N 1 2 314.433 1.526 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cn1ccc(C)n1 ZINC001017584671 758051357 /nfs/dbraw/zinc/05/13/57/758051357.db2.gz QGQUAJMQSQXYGX-OIISXLGYSA-N 1 2 314.433 1.526 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1CCC ZINC001017589079 758055192 /nfs/dbraw/zinc/05/51/92/758055192.db2.gz QICZJHKXIMRNEG-GASCZTMLSA-N 1 2 300.406 1.605 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1CCC ZINC001017589079 758055199 /nfs/dbraw/zinc/05/51/99/758055199.db2.gz QICZJHKXIMRNEG-GASCZTMLSA-N 1 2 300.406 1.605 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCN(C(=O)c4c[nH]c(C#N)c4)[C@@H]3C2)cc[nH+]1 ZINC001040573491 762602448 /nfs/dbraw/zinc/60/24/48/762602448.db2.gz ABSMKKZRHGLLOP-SWLSCSKDSA-N 1 2 322.372 1.336 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)CCC)CC2=O)C1 ZINC001108527764 762607111 /nfs/dbraw/zinc/60/71/11/762607111.db2.gz OIRJPPZHYUPPIC-UONOGXRCSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CCC3CCC3)CC2=O)C1 ZINC001108533197 762609117 /nfs/dbraw/zinc/60/91/17/762609117.db2.gz QMTAMEWBWQPIOC-HNNXBMFYSA-N 1 2 319.449 1.544 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2c(c1)ncn2C ZINC001017788204 758240558 /nfs/dbraw/zinc/24/05/58/758240558.db2.gz PNPRMLLPABKGPE-GASCZTMLSA-N 1 2 323.400 1.280 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2c(c1)ncn2C ZINC001017788204 758240566 /nfs/dbraw/zinc/24/05/66/758240566.db2.gz PNPRMLLPABKGPE-GASCZTMLSA-N 1 2 323.400 1.280 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1csnc1C)CCO2 ZINC001053226984 758283920 /nfs/dbraw/zinc/28/39/20/758283920.db2.gz HBBGFMBARRYKAY-UHFFFAOYSA-N 1 2 307.419 1.554 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@@H](C)Cc2cn[nH]c21 ZINC001017841571 758288946 /nfs/dbraw/zinc/28/89/46/758288946.db2.gz LACPOXAIHQYYLQ-HNKHHVNMSA-N 1 2 312.417 1.384 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@@H](C)Cc2cn[nH]c21 ZINC001017841571 758288955 /nfs/dbraw/zinc/28/89/55/758288955.db2.gz LACPOXAIHQYYLQ-HNKHHVNMSA-N 1 2 312.417 1.384 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nonc2c1 ZINC001017879973 758333894 /nfs/dbraw/zinc/33/38/94/758333894.db2.gz HXBCUJFHOQLMNG-OKILXGFUSA-N 1 2 310.357 1.535 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nonc2c1 ZINC001017879973 758333903 /nfs/dbraw/zinc/33/39/03/758333903.db2.gz HXBCUJFHOQLMNG-OKILXGFUSA-N 1 2 310.357 1.535 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CC[C@H](OC)C1)CCO2 ZINC001053318340 758369833 /nfs/dbraw/zinc/36/98/33/758369833.db2.gz IKSXPKARGZGPFU-GJZGRUSLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCOC3(C[NH+](C[C@@H](CC)OC)C3)C2)C1 ZINC001053417834 758447033 /nfs/dbraw/zinc/44/70/33/758447033.db2.gz FXCIOFXOQBTULQ-OAHLLOKOSA-N 1 2 322.449 1.681 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCOC3(C[NH+](CCCC)C3)C2)c1 ZINC001053422620 758450700 /nfs/dbraw/zinc/45/07/00/758450700.db2.gz OILNVYXUKCVXBM-UHFFFAOYSA-N 1 2 313.401 1.390 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cccnc1)O2 ZINC001053561479 758568331 /nfs/dbraw/zinc/56/83/31/758568331.db2.gz OLFUTNDKBFEMIV-OAHLLOKOSA-N 1 2 301.390 1.621 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)n1cnc2ccccc21 ZINC001018138144 758572231 /nfs/dbraw/zinc/57/22/31/758572231.db2.gz ZAEMDEQLAHUAOZ-OAGGEKHMSA-N 1 2 322.412 1.906 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)n1cnc2ccccc21 ZINC001018138144 758572237 /nfs/dbraw/zinc/57/22/37/758572237.db2.gz ZAEMDEQLAHUAOZ-OAGGEKHMSA-N 1 2 322.412 1.906 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cncc(F)c1)O2 ZINC001053587375 758602279 /nfs/dbraw/zinc/60/22/79/758602279.db2.gz BGOSHLXWEZLQFW-CQSZACIVSA-N 1 2 305.353 1.370 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Oc1ccccn1 ZINC001018182221 758609694 /nfs/dbraw/zinc/60/96/94/758609694.db2.gz BTCTXZQIXUNUHY-OAGGEKHMSA-N 1 2 313.401 1.547 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Oc1ccccn1 ZINC001018182221 758609698 /nfs/dbraw/zinc/60/96/98/758609698.db2.gz BTCTXZQIXUNUHY-OAGGEKHMSA-N 1 2 313.401 1.547 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1OCC[C@H]1CC)O2 ZINC001053609838 758628707 /nfs/dbraw/zinc/62/87/07/758628707.db2.gz FZGHTTUDELUNBE-ILXRZTDVSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnc(C)n1C)O2 ZINC001053652160 758664933 /nfs/dbraw/zinc/66/49/33/758664933.db2.gz UHWQYIZFESYWCZ-CQSZACIVSA-N 1 2 318.421 1.268 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C(C)(C)C(C)C)CC2=O)C1 ZINC001108548066 762649067 /nfs/dbraw/zinc/64/90/67/762649067.db2.gz DLJYMQCOPKDRBI-AWEZNQCLSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC(C)C)C2)CC1 ZINC001065683146 758701288 /nfs/dbraw/zinc/70/12/88/758701288.db2.gz UYGOVFKTVZSBPL-MRXNPFEDSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)[C@H]3C[C@@H]3C)C2)CC1 ZINC001065708561 758728430 /nfs/dbraw/zinc/72/84/30/758728430.db2.gz FEACBXFPDBLZDG-JYJNAYRXSA-N 1 2 319.449 1.354 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C(C)(C)C)C2)CC1 ZINC001065712586 758729765 /nfs/dbraw/zinc/72/97/65/758729765.db2.gz ASUZMZFQGWXYGP-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(=O)C=C(C)C)C2)CC1 ZINC001018358302 758756670 /nfs/dbraw/zinc/75/66/70/758756670.db2.gz NVRUOEFBKLUJPV-INIZCTEOSA-N 1 2 319.449 1.521 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)CC1CCOCC1)CO2 ZINC001053760968 758778074 /nfs/dbraw/zinc/77/80/74/758778074.db2.gz QKDPAJUYTAIKKJ-OAHLLOKOSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C(F)=C1CCCC1)CO2 ZINC001053775996 758798107 /nfs/dbraw/zinc/79/81/07/758798107.db2.gz MUMNVADBJGVTSG-AWEZNQCLSA-N 1 2 306.381 1.767 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1cnccc1C)CO2 ZINC001053832677 758863694 /nfs/dbraw/zinc/86/36/94/758863694.db2.gz NKQQBWIMYNYKLD-MRXNPFEDSA-N 1 2 315.417 1.468 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnc3[nH]ccc3c1)CO2 ZINC001053841971 758872065 /nfs/dbraw/zinc/87/20/65/758872065.db2.gz YPGGZTRUAHDMJT-OAHLLOKOSA-N 1 2 324.384 1.111 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)CC1CC(OCC)C1)CO2 ZINC001053902653 758934737 /nfs/dbraw/zinc/93/47/37/758934737.db2.gz GWCYJYOXCBDGSE-HWOWSKLDSA-N 1 2 320.433 1.174 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC13CCCC3)CO2 ZINC001053974707 759012558 /nfs/dbraw/zinc/01/25/58/759012558.db2.gz SKYJUDKWIAJJRD-CABCVRRESA-N 1 2 302.418 1.550 20 30 DDEDLO C=CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1csnn1 ZINC001054047559 759105013 /nfs/dbraw/zinc/10/50/13/759105013.db2.gz GSJBASFFNRMEQX-AWEZNQCLSA-N 1 2 314.414 1.881 20 30 DDEDLO C=CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1csnn1 ZINC001054047559 759105019 /nfs/dbraw/zinc/10/50/19/759105019.db2.gz GSJBASFFNRMEQX-AWEZNQCLSA-N 1 2 314.414 1.881 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3[nH]c(C)nc3C)cc2C1 ZINC001054282255 759388602 /nfs/dbraw/zinc/38/86/02/759388602.db2.gz XZGBOJXFINFOEG-UHFFFAOYSA-N 1 2 308.385 1.905 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3[nH]c(C)nc3C)cc2C1 ZINC001054282255 759388613 /nfs/dbraw/zinc/38/86/13/759388613.db2.gz XZGBOJXFINFOEG-UHFFFAOYSA-N 1 2 308.385 1.905 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)Cn1cc[nH+]c1 ZINC001069114930 767857139 /nfs/dbraw/zinc/85/71/39/767857139.db2.gz YEEWTDKKRAXJNS-GXTWGEPZSA-N 1 2 310.361 1.251 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](Nc2ncccc2C#N)C[C@@H]1C ZINC001069129067 767864982 /nfs/dbraw/zinc/86/49/82/767864982.db2.gz CYRNEPUHUZWHQI-FZMZJTMJSA-N 1 2 324.388 1.629 20 30 DDEDLO C=CC[N@H+]1CC[C@@](C)(NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001046748792 767885922 /nfs/dbraw/zinc/88/59/22/767885922.db2.gz YCQMPMIEWDZEIK-QGZVFWFLSA-N 1 2 311.389 1.852 20 30 DDEDLO C=CC[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001046748792 767885930 /nfs/dbraw/zinc/88/59/30/767885930.db2.gz YCQMPMIEWDZEIK-QGZVFWFLSA-N 1 2 311.389 1.852 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001046748831 767885960 /nfs/dbraw/zinc/88/59/60/767885960.db2.gz ZODCQBKCJWNTMM-GOSISDBHSA-N 1 2 323.400 1.689 20 30 DDEDLO CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001046748831 767885967 /nfs/dbraw/zinc/88/59/67/767885967.db2.gz ZODCQBKCJWNTMM-GOSISDBHSA-N 1 2 323.400 1.689 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2nccnc2c1 ZINC001085643908 759921930 /nfs/dbraw/zinc/92/19/30/759921930.db2.gz ASBCGTFIGJQFQZ-CQSZACIVSA-N 1 2 309.373 1.194 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2nccnc2c1 ZINC001085643908 759921937 /nfs/dbraw/zinc/92/19/37/759921937.db2.gz ASBCGTFIGJQFQZ-CQSZACIVSA-N 1 2 309.373 1.194 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2CN(C(=O)C#CC3CC3)C[C@H]2C)n1 ZINC001054639592 759931361 /nfs/dbraw/zinc/93/13/61/759931361.db2.gz UKWQUQFTGLNQBX-TZMCWYRMSA-N 1 2 316.405 1.543 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CCN2Cc2c[nH+]cn2C)cc1 ZINC001085756814 760177031 /nfs/dbraw/zinc/17/70/31/760177031.db2.gz RARXLMQLSNTZRL-KRWDZBQOSA-N 1 2 322.412 1.748 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001085901817 760495404 /nfs/dbraw/zinc/49/54/04/760495404.db2.gz NPHIMZXKEIGULB-UONOGXRCSA-N 1 2 314.433 1.704 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001085901817 760495410 /nfs/dbraw/zinc/49/54/10/760495410.db2.gz NPHIMZXKEIGULB-UONOGXRCSA-N 1 2 314.433 1.704 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nc2ccccn2c1F ZINC001085910137 760518361 /nfs/dbraw/zinc/51/83/61/760518361.db2.gz YTZKAAAILGXDLZ-GFCCVEGCSA-N 1 2 300.337 1.253 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nc2ccccn2c1F ZINC001085910137 760518366 /nfs/dbraw/zinc/51/83/66/760518366.db2.gz YTZKAAAILGXDLZ-GFCCVEGCSA-N 1 2 300.337 1.253 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C(C)(F)F)CC2)[C@@H](O)C1 ZINC001100011215 760574669 /nfs/dbraw/zinc/57/46/69/760574669.db2.gz NCELVRBSHOCLAX-QWRGUYRKSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C(C)(F)F)CC2)[C@@H](O)C1 ZINC001100011215 760574676 /nfs/dbraw/zinc/57/46/76/760574676.db2.gz NCELVRBSHOCLAX-QWRGUYRKSA-N 1 2 322.783 1.726 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3cc(C#N)c[nH]3)CC2)c(C)c(C)[nH+]1 ZINC001055761178 760695601 /nfs/dbraw/zinc/69/56/01/760695601.db2.gz RXUYEDGVHJYLNE-UHFFFAOYSA-N 1 2 324.388 1.564 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2[nH]c(=O)n(C)c2c1 ZINC001086007186 760706198 /nfs/dbraw/zinc/70/61/98/760706198.db2.gz YHPKSDLKNQOCQM-CYBMUJFWSA-N 1 2 312.373 1.058 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2[nH]c(=O)n(C)c2c1 ZINC001086007186 760706206 /nfs/dbraw/zinc/70/62/06/760706206.db2.gz YHPKSDLKNQOCQM-CYBMUJFWSA-N 1 2 312.373 1.058 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)C2=CCCC2)C1=O ZINC001038171800 760884626 /nfs/dbraw/zinc/88/46/26/760884626.db2.gz WLQHUZVAPXJFGV-LSDHHAIUSA-N 1 2 303.406 1.074 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)C2=CCCC2)C1=O ZINC001038171800 760884640 /nfs/dbraw/zinc/88/46/40/760884640.db2.gz WLQHUZVAPXJFGV-LSDHHAIUSA-N 1 2 303.406 1.074 20 30 DDEDLO Cc1cn(C)nc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038247452 760943980 /nfs/dbraw/zinc/94/39/80/760943980.db2.gz FIHJFIWPUCHBPO-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cn(C)nc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038247452 760943984 /nfs/dbraw/zinc/94/39/84/760943984.db2.gz FIHJFIWPUCHBPO-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn(CC(F)F)nc1C ZINC001038376316 761061277 /nfs/dbraw/zinc/06/12/77/761061277.db2.gz SRQYTFADYHQGLH-GFCCVEGCSA-N 1 2 310.348 1.284 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn(CC(F)F)nc1C ZINC001038376316 761061285 /nfs/dbraw/zinc/06/12/85/761061285.db2.gz SRQYTFADYHQGLH-GFCCVEGCSA-N 1 2 310.348 1.284 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)n([C@H](C)C2CC2)n1 ZINC001038442532 761121939 /nfs/dbraw/zinc/12/19/39/761121939.db2.gz OZEJYXCBKRREGY-GDBMZVCRSA-N 1 2 314.433 1.990 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)n([C@H](C)C2CC2)n1 ZINC001038442532 761121944 /nfs/dbraw/zinc/12/19/44/761121944.db2.gz OZEJYXCBKRREGY-GDBMZVCRSA-N 1 2 314.433 1.990 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2[C@H](C)C(=O)NC2CC2)CC1 ZINC001038666344 761265702 /nfs/dbraw/zinc/26/57/02/761265702.db2.gz HYRSGYRGEDHIPQ-CZUORRHYSA-N 1 2 319.449 1.590 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2[C@H](C)C(=O)NC2CC2)CC1 ZINC001038666344 761265709 /nfs/dbraw/zinc/26/57/09/761265709.db2.gz HYRSGYRGEDHIPQ-CZUORRHYSA-N 1 2 319.449 1.590 20 30 DDEDLO CC1(Nc2ccc(C#N)nc2)CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001067265741 761333786 /nfs/dbraw/zinc/33/37/86/761333786.db2.gz KKYVFGLWYJFCOB-UHFFFAOYSA-N 1 2 324.388 1.643 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1ocnc1C ZINC001038798794 761417808 /nfs/dbraw/zinc/41/78/08/761417808.db2.gz SKMSHGFRLDDZKV-YCPHGPKFSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1ocnc1C ZINC001038798794 761417814 /nfs/dbraw/zinc/41/78/14/761417814.db2.gz SKMSHGFRLDDZKV-YCPHGPKFSA-N 1 2 319.405 1.655 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1noc2c1C[C@H](C)CC2 ZINC001038866665 761489766 /nfs/dbraw/zinc/48/97/66/761489766.db2.gz YNTQNXXTDVNYCU-CHWSQXEVSA-N 1 2 301.390 1.627 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1noc2c1C[C@H](C)CC2 ZINC001038866665 761489769 /nfs/dbraw/zinc/48/97/69/761489769.db2.gz YNTQNXXTDVNYCU-CHWSQXEVSA-N 1 2 301.390 1.627 20 30 DDEDLO C#CCOc1ncccc1C(=O)NC[C@H]1CC[N@H+]1C[C@@H](F)CC ZINC001038928183 761556290 /nfs/dbraw/zinc/55/62/90/761556290.db2.gz LDXHGZLFVIYKHY-UONOGXRCSA-N 1 2 319.380 1.646 20 30 DDEDLO C#CCOc1ncccc1C(=O)NC[C@H]1CC[N@@H+]1C[C@@H](F)CC ZINC001038928183 761556297 /nfs/dbraw/zinc/55/62/97/761556297.db2.gz LDXHGZLFVIYKHY-UONOGXRCSA-N 1 2 319.380 1.646 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2c(C)nn(C)c2n1 ZINC001039055310 761696011 /nfs/dbraw/zinc/69/60/11/761696011.db2.gz UYQYRBSWPZVALU-CYBMUJFWSA-N 1 2 311.389 1.104 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2c(C)nn(C)c2n1 ZINC001039055310 761696014 /nfs/dbraw/zinc/69/60/14/761696014.db2.gz UYQYRBSWPZVALU-CYBMUJFWSA-N 1 2 311.389 1.104 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001056837774 761756493 /nfs/dbraw/zinc/75/64/93/761756493.db2.gz RSZLMSDDAJQXHW-CQSZACIVSA-N 1 2 324.388 1.332 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H](C=C)CC)CC2=O)C1 ZINC001108567085 762709770 /nfs/dbraw/zinc/70/97/70/762709770.db2.gz VDEGSJVKFVEDNN-KBPBESRZSA-N 1 2 305.422 1.176 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(CCN(C(=O)[C@H]3CCCc4[nH]ncc43)C2)C1 ZINC001041287982 762935023 /nfs/dbraw/zinc/93/50/23/762935023.db2.gz SHGIBWKUWHWESP-KSSFIOAISA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(CCN(C(=O)[C@H]3CCCc4[nH]ncc43)C2)C1 ZINC001041287982 762935026 /nfs/dbraw/zinc/93/50/26/762935026.db2.gz SHGIBWKUWHWESP-KSSFIOAISA-N 1 2 312.417 1.387 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCCN(C(=O)c3cnn(CC)n3)[C@@H]2C1 ZINC001050041385 763052533 /nfs/dbraw/zinc/05/25/33/763052533.db2.gz DSLPFOYLGFLILC-GXTWGEPZSA-N 1 2 323.828 1.587 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCCN(C(=O)c3cnn(CC)n3)[C@@H]2C1 ZINC001050041385 763052539 /nfs/dbraw/zinc/05/25/39/763052539.db2.gz DSLPFOYLGFLILC-GXTWGEPZSA-N 1 2 323.828 1.587 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001050045407 763057591 /nfs/dbraw/zinc/05/75/91/763057591.db2.gz FQMOFCZDMPZJIX-GOEBONIOSA-N 1 2 315.442 1.979 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001050045407 763057595 /nfs/dbraw/zinc/05/75/95/763057595.db2.gz FQMOFCZDMPZJIX-GOEBONIOSA-N 1 2 315.442 1.979 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCCN(C(=O)c3csnn3)[C@@H]2C1 ZINC001050104478 763140504 /nfs/dbraw/zinc/14/05/04/763140504.db2.gz NTSLFBQZHPHCQL-CMPLNLGQSA-N 1 2 312.826 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCCN(C(=O)c3csnn3)[C@@H]2C1 ZINC001050104478 763140511 /nfs/dbraw/zinc/14/05/11/763140511.db2.gz NTSLFBQZHPHCQL-CMPLNLGQSA-N 1 2 312.826 1.827 20 30 DDEDLO Cc1cc(N(C)C[C@H](C)NC(=O)Cn2cc[nH+]c2)c(C#N)cn1 ZINC001109014816 763231747 /nfs/dbraw/zinc/23/17/47/763231747.db2.gz NMYQFXPRXIHVPV-ZDUSSCGKSA-N 1 2 312.377 1.099 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001109246755 763509072 /nfs/dbraw/zinc/50/90/72/763509072.db2.gz NGZMOEPGZYXRDP-YUTCNCBUSA-N 1 2 304.394 1.317 20 30 DDEDLO C[C@@H](CN(C)c1ccc(C#N)cn1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001109294338 763554544 /nfs/dbraw/zinc/55/45/44/763554544.db2.gz SCDGCPBALGWMPM-IACUBPJLSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@@H](CN(C)c1ccc(C#N)cn1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001109294338 763554551 /nfs/dbraw/zinc/55/45/51/763554551.db2.gz SCDGCPBALGWMPM-IACUBPJLSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2c[nH+]cn2C)CCN1c1ccc(C#N)cn1 ZINC001050449567 763607937 /nfs/dbraw/zinc/60/79/37/763607937.db2.gz HXXRLDBQINQSOY-DOMZBBRYSA-N 1 2 324.388 1.013 20 30 DDEDLO Cc1nc(N[C@H]2CCCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001057612455 764128742 /nfs/dbraw/zinc/12/87/42/764128742.db2.gz UPEBBWUXMXBPFG-ZDUSSCGKSA-N 1 2 310.361 1.702 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](NC(=O)Cn2cc[nH+]c2)C2CC2)n1 ZINC001109865890 764148348 /nfs/dbraw/zinc/14/83/48/764148348.db2.gz KXDUNNNHUQRUPT-HNNXBMFYSA-N 1 2 324.388 1.465 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](CNc1ccc(C#N)nc1)C1CC1 ZINC001109882108 764155829 /nfs/dbraw/zinc/15/58/29/764155829.db2.gz PAXBTERGDGJONX-INIZCTEOSA-N 1 2 324.388 1.534 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3CCC3(F)F)c2C1 ZINC001069864177 768196421 /nfs/dbraw/zinc/19/64/21/768196421.db2.gz DBEPAKFEKIZYTD-ZDUSSCGKSA-N 1 2 324.375 1.936 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3CCC3(F)F)c2C1 ZINC001069864177 768196424 /nfs/dbraw/zinc/19/64/24/768196424.db2.gz DBEPAKFEKIZYTD-ZDUSSCGKSA-N 1 2 324.375 1.936 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3C[C@@H](C)CO3)c2C1 ZINC001069868139 768199708 /nfs/dbraw/zinc/19/97/08/768199708.db2.gz AVPMMJRMGHCJMF-CJNGLKHVSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3C[C@@H](C)CO3)c2C1 ZINC001069868139 768199711 /nfs/dbraw/zinc/19/97/11/768199711.db2.gz AVPMMJRMGHCJMF-CJNGLKHVSA-N 1 2 318.421 1.316 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cn2)CC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067404026 764298668 /nfs/dbraw/zinc/29/86/68/764298668.db2.gz VBRVXJSGUJSVIG-UKRRQHHQSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccn2c1CCCC2 ZINC001050955176 764344932 /nfs/dbraw/zinc/34/49/32/764344932.db2.gz JHBRZADRZVRSJT-OAHLLOKOSA-N 1 2 317.433 1.831 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccn2c1CCCC2 ZINC001050955176 764344941 /nfs/dbraw/zinc/34/49/41/764344941.db2.gz JHBRZADRZVRSJT-OAHLLOKOSA-N 1 2 317.433 1.831 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncc(C)cc1OC ZINC001050958802 764352183 /nfs/dbraw/zinc/35/21/83/764352183.db2.gz WDNVOYACDXJTLU-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncc(C)cc1OC ZINC001050958802 764352190 /nfs/dbraw/zinc/35/21/90/764352190.db2.gz WDNVOYACDXJTLU-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnc2[nH]ccc2c1 ZINC001050972826 764368961 /nfs/dbraw/zinc/36/89/61/764368961.db2.gz QZPJXFSDUFTIKG-OAHLLOKOSA-N 1 2 314.389 1.521 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnc2[nH]ccc2c1 ZINC001050972826 764368965 /nfs/dbraw/zinc/36/89/65/764368965.db2.gz QZPJXFSDUFTIKG-OAHLLOKOSA-N 1 2 314.389 1.521 20 30 DDEDLO CC#CCN1CCOC[C@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001050980357 764379438 /nfs/dbraw/zinc/37/94/38/764379438.db2.gz RZEHSSCZDRLFCN-CQSZACIVSA-N 1 2 312.373 1.017 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nnc1CC ZINC001050990283 764388350 /nfs/dbraw/zinc/38/83/50/764388350.db2.gz DHRWTKLGZUJVIO-CQSZACIVSA-N 1 2 318.421 1.354 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nnc1CC ZINC001050990283 764388358 /nfs/dbraw/zinc/38/83/58/764388358.db2.gz DHRWTKLGZUJVIO-CQSZACIVSA-N 1 2 318.421 1.354 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccn(C3CCOCC3)n2)C1 ZINC001042722614 764389179 /nfs/dbraw/zinc/38/91/79/764389179.db2.gz MXQVAFVXPBXUAJ-UHFFFAOYSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1coc(OCC)n1 ZINC001051006779 764410555 /nfs/dbraw/zinc/41/05/55/764410555.db2.gz ZMWQOLBCOIORDR-GFCCVEGCSA-N 1 2 309.366 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1coc(OCC)n1 ZINC001051006779 764410561 /nfs/dbraw/zinc/41/05/61/764410561.db2.gz ZMWQOLBCOIORDR-GFCCVEGCSA-N 1 2 309.366 1.080 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccs2)[C@@H](n2ccnn2)C1 ZINC001069892285 768216995 /nfs/dbraw/zinc/21/69/95/768216995.db2.gz QNLVAERAPZPUFA-OLZOCXBDSA-N 1 2 315.402 1.018 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccs2)[C@@H](n2ccnn2)C1 ZINC001069892285 768216997 /nfs/dbraw/zinc/21/69/97/768216997.db2.gz QNLVAERAPZPUFA-OLZOCXBDSA-N 1 2 315.402 1.018 20 30 DDEDLO Cc1nc(N2CCC[C@H](N(C)C(=O)[C@H](C)C#N)CC2)cc[nH+]1 ZINC001112625239 764492370 /nfs/dbraw/zinc/49/23/70/764492370.db2.gz PZFUDMQDEINVFE-OCCSQVGLSA-N 1 2 301.394 1.762 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001051132195 764532034 /nfs/dbraw/zinc/53/20/34/764532034.db2.gz YMLBLQWUZQSDEQ-RRFJBIMHSA-N 1 2 304.390 1.776 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001051132195 764532036 /nfs/dbraw/zinc/53/20/36/764532036.db2.gz YMLBLQWUZQSDEQ-RRFJBIMHSA-N 1 2 304.390 1.776 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CCO[C@@H]2CCCC[C@H]2C)CC1 ZINC001112703696 764622457 /nfs/dbraw/zinc/62/24/57/764622457.db2.gz CRGDOUCXCVZHEF-IAGOWNOFSA-N 1 2 324.465 1.929 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn3c(n2)C[C@@H](C)CC3)C1 ZINC001043071746 764637760 /nfs/dbraw/zinc/63/77/60/764637760.db2.gz BCBAWTDUZNTADQ-ZDUSSCGKSA-N 1 2 300.406 1.245 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001051311792 764732239 /nfs/dbraw/zinc/73/22/39/764732239.db2.gz MBLTUQGHHWZSDL-GXTWGEPZSA-N 1 2 318.421 1.580 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+](CCO[C@@H]2CC2(F)F)CC1 ZINC001112775129 764746743 /nfs/dbraw/zinc/74/67/43/764746743.db2.gz HMYWPPSEEBXRPT-CQSZACIVSA-N 1 2 314.376 1.748 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c[nH]nc2-c2ccncc2)C1 ZINC001043214372 764772118 /nfs/dbraw/zinc/77/21/18/764772118.db2.gz AUZPQCIRLHMKAI-UHFFFAOYSA-N 1 2 311.389 1.804 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2sccc2-n2cnnn2)C1 ZINC001043216637 764772690 /nfs/dbraw/zinc/77/26/90/764772690.db2.gz MLCPAUAKYFTINX-UHFFFAOYSA-N 1 2 318.406 1.056 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(C(F)(F)F)ncn2)C1 ZINC001043330931 764840321 /nfs/dbraw/zinc/84/03/21/764840321.db2.gz VEMLEXSHPNOWPV-UHFFFAOYSA-N 1 2 314.311 1.828 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2ccc(F)cc2C)CC1 ZINC001112846005 764860884 /nfs/dbraw/zinc/86/08/84/764860884.db2.gz CFBDNODDWXLLEU-OAHLLOKOSA-N 1 2 318.392 1.817 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2C[NH+](C[C@H]3CCc4ncnn43)C2)C1 ZINC001043811849 765111084 /nfs/dbraw/zinc/11/10/84/765111084.db2.gz TWTCQMQWUFLTMU-CYBMUJFWSA-N 1 2 315.421 1.264 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccncc2CC)CC1 ZINC001113050297 765190604 /nfs/dbraw/zinc/19/06/04/765190604.db2.gz KONLDYQKXOXGNC-UHFFFAOYSA-N 1 2 303.406 1.604 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnnn2-c2ccccc2)C1 ZINC001043986069 765209601 /nfs/dbraw/zinc/20/96/01/765209601.db2.gz SOANVHCRIBSDCQ-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(F)=C3CCCC3)C2)CC1 ZINC001052013162 765382508 /nfs/dbraw/zinc/38/25/08/765382508.db2.gz SBEWAEJKWUMTBE-MRXNPFEDSA-N 1 2 319.424 1.636 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@H]3CC[C@H](C)O3)C2)cn1 ZINC001044205906 765394442 /nfs/dbraw/zinc/39/44/42/765394442.db2.gz NYEUJLQULVWYQS-SUMWQHHRSA-N 1 2 313.401 1.387 20 30 DDEDLO CCc1occc1C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052022916 765397620 /nfs/dbraw/zinc/39/76/20/765397620.db2.gz OVBJGJRPFSPNPG-CQSZACIVSA-N 1 2 316.405 1.198 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@H](C)C3CCC3)C2)CC1 ZINC001052042309 765414410 /nfs/dbraw/zinc/41/44/10/765414410.db2.gz ARYAISSAMTVOIO-AEFFLSMTSA-N 1 2 317.477 1.664 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)N(C3CCCC3)C2)C1 ZINC001044282935 765448111 /nfs/dbraw/zinc/44/81/11/765448111.db2.gz RVHAECSYUNPICJ-CYBMUJFWSA-N 1 2 305.422 1.106 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C3(CF)CCC3)C2)CC1 ZINC001052087480 765458871 /nfs/dbraw/zinc/45/88/71/765458871.db2.gz WWYKCGWIUIVIQM-INIZCTEOSA-N 1 2 323.456 1.921 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[NH+]([C@@H]2CCCN(C)C2=O)CC1 ZINC001113246175 765467513 /nfs/dbraw/zinc/46/75/13/765467513.db2.gz IOGNVTUFXNMFSK-HUUCEWRRSA-N 1 2 307.438 1.354 20 30 DDEDLO CCC1(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)CCCC1 ZINC001052102816 765472633 /nfs/dbraw/zinc/47/26/33/765472633.db2.gz IMZBCNGKAPXSQO-MRXNPFEDSA-N 1 2 318.465 1.699 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3CCC=CCC3)C2)CC1 ZINC001052117930 765483602 /nfs/dbraw/zinc/48/36/02/765483602.db2.gz HXKFJLZSVDZDPS-SFHVURJKSA-N 1 2 315.461 1.585 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C[C@@H](C)C3CC3)C2)CC1 ZINC001052122689 765485548 /nfs/dbraw/zinc/48/55/48/765485548.db2.gz YEGBUJBMPHSBKW-SJLPKXTDSA-N 1 2 317.477 1.664 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@@H](C)Nc1ccc(C#N)nn1 ZINC001113352625 765617441 /nfs/dbraw/zinc/61/74/41/765617441.db2.gz VUQZPLMSZONQHE-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@@H](C)Nc1ccc(C#N)nn1 ZINC001113352625 765617445 /nfs/dbraw/zinc/61/74/45/765617445.db2.gz VUQZPLMSZONQHE-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(CCC)on2)CC1 ZINC001113481770 765723954 /nfs/dbraw/zinc/72/39/54/765723954.db2.gz JETQORRHARJTSC-UHFFFAOYSA-N 1 2 307.394 1.588 20 30 DDEDLO C#CC[NH+]1CCC(C)(NC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001045439333 766308375 /nfs/dbraw/zinc/30/83/75/766308375.db2.gz IWWPNZIQJUKQHV-ZDUSSCGKSA-N 1 2 300.406 1.434 20 30 DDEDLO CC#CC[NH+]1CCC(C)(NC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001045439765 766308390 /nfs/dbraw/zinc/30/83/90/766308390.db2.gz XPOBCRPPWISAAU-AWEZNQCLSA-N 1 2 314.433 1.824 20 30 DDEDLO C#CC[NH+]1CCC(C)(NC(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)CC1 ZINC001045506420 766362407 /nfs/dbraw/zinc/36/24/07/766362407.db2.gz NBBQGBHOLKJFPK-CJNGLKHVSA-N 1 2 314.433 1.680 20 30 DDEDLO C#Cc1cncc(C(=O)NC2(C)CC[NH+](Cc3ccon3)CC2)c1 ZINC001045551480 766396422 /nfs/dbraw/zinc/39/64/22/766396422.db2.gz LZDPYUHHXKJHOY-UHFFFAOYSA-N 1 2 324.384 1.835 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1nccn1C ZINC001045734269 766544329 /nfs/dbraw/zinc/54/43/29/766544329.db2.gz AQNRKNUQAONCKB-MCIONIFRSA-N 1 2 301.394 1.145 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1nccn1C ZINC001045734269 766544333 /nfs/dbraw/zinc/54/43/33/766544333.db2.gz AQNRKNUQAONCKB-MCIONIFRSA-N 1 2 301.394 1.145 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114159334 766711808 /nfs/dbraw/zinc/71/18/08/766711808.db2.gz CUQKGJQLJDSSMX-HWWDLCQESA-N 1 2 312.417 1.525 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114159334 766711814 /nfs/dbraw/zinc/71/18/14/766711814.db2.gz CUQKGJQLJDSSMX-HWWDLCQESA-N 1 2 312.417 1.525 20 30 DDEDLO Cc1ccc(C#N)c(NCC2CC(NC(=O)Cn3cc[nH+]c3)C2)n1 ZINC001067854897 766757199 /nfs/dbraw/zinc/75/71/99/766757199.db2.gz DIUQILFJIGKFEF-UHFFFAOYSA-N 1 2 324.388 1.465 20 30 DDEDLO C[C@@H]1C[C@H](CNc2ccc(C#N)nc2)CN1C(=O)Cn1cc[nH+]c1 ZINC001068335289 767119853 /nfs/dbraw/zinc/11/98/53/767119853.db2.gz JKUTZPAJDZWFRI-ZIAGYGMSSA-N 1 2 324.388 1.499 20 30 DDEDLO Cn1ccc(C[N@@H+]2CC[C@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC001046252566 767302468 /nfs/dbraw/zinc/30/24/68/767302468.db2.gz CTYLHQVMWKUNHS-INIZCTEOSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1ccc(C[N@H+]2CC[C@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC001046252566 767302472 /nfs/dbraw/zinc/30/24/72/767302472.db2.gz CTYLHQVMWKUNHS-INIZCTEOSA-N 1 2 312.377 1.014 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cc(OC)n(C)n2)C1 ZINC001046472797 767607225 /nfs/dbraw/zinc/60/72/25/767607225.db2.gz MMUDXCRAUUFPEB-AWEZNQCLSA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cc(OC)n(C)n2)C1 ZINC001046472797 767607227 /nfs/dbraw/zinc/60/72/27/767607227.db2.gz MMUDXCRAUUFPEB-AWEZNQCLSA-N 1 2 312.801 1.375 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001068828589 767644367 /nfs/dbraw/zinc/64/43/67/767644367.db2.gz GGOWZKYEKLCOND-GXTWGEPZSA-N 1 2 324.388 1.710 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2ccon2)C1 ZINC001132029044 768587643 /nfs/dbraw/zinc/58/76/43/768587643.db2.gz GAFPLGOBPYXDCD-ZIAGYGMSSA-N 1 2 305.378 1.184 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2ccon2)C1 ZINC001132029044 768587644 /nfs/dbraw/zinc/58/76/44/768587644.db2.gz GAFPLGOBPYXDCD-ZIAGYGMSSA-N 1 2 305.378 1.184 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CC)c(CC)o2)C1 ZINC001047598509 768600105 /nfs/dbraw/zinc/60/01/05/768600105.db2.gz MGZCYJFPYURNEK-GJZGRUSLSA-N 1 2 318.417 1.545 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CC)c(CC)o2)C1 ZINC001047598509 768600109 /nfs/dbraw/zinc/60/01/09/768600109.db2.gz MGZCYJFPYURNEK-GJZGRUSLSA-N 1 2 318.417 1.545 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001047612673 768610678 /nfs/dbraw/zinc/61/06/78/768610678.db2.gz LAEWERKGGTZDGQ-GJZGRUSLSA-N 1 2 324.783 1.538 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001047612673 768610684 /nfs/dbraw/zinc/61/06/84/768610684.db2.gz LAEWERKGGTZDGQ-GJZGRUSLSA-N 1 2 324.783 1.538 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001070712496 768689654 /nfs/dbraw/zinc/68/96/54/768689654.db2.gz XZSPSMGJCRDQIK-CYBMUJFWSA-N 1 2 316.405 1.109 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+][C@@H](C)c2csnn2)cc1 ZINC001132222722 768695260 /nfs/dbraw/zinc/69/52/60/768695260.db2.gz HLQZFJNMVBGAKO-NSHDSACASA-N 1 2 300.387 1.600 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C2(C(C)C)CC2)CC[C@H]1C ZINC001132273192 768729501 /nfs/dbraw/zinc/72/95/01/768729501.db2.gz DVUWSTGCCIVULN-HUUCEWRRSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C2(C(C)C)CC2)CC[C@H]1C ZINC001132273192 768729507 /nfs/dbraw/zinc/72/95/07/768729507.db2.gz DVUWSTGCCIVULN-HUUCEWRRSA-N 1 2 319.449 1.141 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)/C=C/c2ccco2)CC1 ZINC001070932145 768791382 /nfs/dbraw/zinc/79/13/82/768791382.db2.gz AQSXHRXOTLUZIP-AATRIKPKSA-N 1 2 317.389 1.129 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)/C=C/c2ccco2)CC1 ZINC001070932145 768791387 /nfs/dbraw/zinc/79/13/87/768791387.db2.gz AQSXHRXOTLUZIP-AATRIKPKSA-N 1 2 317.389 1.129 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC001070991066 768846273 /nfs/dbraw/zinc/84/62/73/768846273.db2.gz DENOJNJWCVTDRG-ZSHCYNCHSA-N 1 2 319.449 1.259 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC001070991066 768846286 /nfs/dbraw/zinc/84/62/86/768846286.db2.gz DENOJNJWCVTDRG-ZSHCYNCHSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@](C)(C=C)CC)CC1 ZINC001070994983 768854052 /nfs/dbraw/zinc/85/40/52/768854052.db2.gz LVGHFYGOTNMWOY-QGZVFWFLSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@](C)(C=C)CC)CC1 ZINC001070994983 768854064 /nfs/dbraw/zinc/85/40/64/768854064.db2.gz LVGHFYGOTNMWOY-QGZVFWFLSA-N 1 2 307.438 1.425 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001071031786 768900273 /nfs/dbraw/zinc/90/02/73/768900273.db2.gz CMKRXSHPOUHLTJ-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C=CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(-c3nnc[nH]3)cc1)C2 ZINC001096280508 768938042 /nfs/dbraw/zinc/93/80/42/768938042.db2.gz MTAPMWGAPIVMOE-PMPSAXMXSA-N 1 2 323.400 1.993 20 30 DDEDLO C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(-c3nnc[nH]3)cc1)C2 ZINC001096280508 768938043 /nfs/dbraw/zinc/93/80/43/768938043.db2.gz MTAPMWGAPIVMOE-PMPSAXMXSA-N 1 2 323.400 1.993 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071176804 769101749 /nfs/dbraw/zinc/10/17/49/769101749.db2.gz BYHJNLMLASMIHT-DYVFJYSZSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[N@@H+](Cc3nn(C)cc3Cl)C[C@@H]2C1 ZINC001048725786 769775886 /nfs/dbraw/zinc/77/58/86/769775886.db2.gz VWYMBZPOZAWIPN-UTUOFQBUSA-N 1 2 321.812 1.123 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[N@H+](Cc3nn(C)cc3Cl)C[C@@H]2C1 ZINC001048725786 769775892 /nfs/dbraw/zinc/77/58/92/769775892.db2.gz VWYMBZPOZAWIPN-UTUOFQBUSA-N 1 2 321.812 1.123 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccc(C#N)nc1 ZINC001048797797 769902964 /nfs/dbraw/zinc/90/29/64/769902964.db2.gz UCHPQKOLJMRATN-LSDHHAIUSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cccc(=O)n2C)CC[C@@H]1C ZINC001071815582 770116956 /nfs/dbraw/zinc/11/69/56/770116956.db2.gz QHWPSMUZLWRRST-STQMWFEESA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cccc(=O)n2C)CC[C@@H]1C ZINC001071815582 770116965 /nfs/dbraw/zinc/11/69/65/770116965.db2.gz QHWPSMUZLWRRST-STQMWFEESA-N 1 2 323.824 1.721 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001072317952 770754925 /nfs/dbraw/zinc/75/49/25/770754925.db2.gz WXCFABKVOWSBCH-ZFWWWQNUSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072317952 770754929 /nfs/dbraw/zinc/75/49/29/770754929.db2.gz WXCFABKVOWSBCH-ZFWWWQNUSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001072317949 770755196 /nfs/dbraw/zinc/75/51/96/770755196.db2.gz WXCFABKVOWSBCH-DZGCQCFKSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072317949 770755203 /nfs/dbraw/zinc/75/52/03/770755203.db2.gz WXCFABKVOWSBCH-DZGCQCFKSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1ccn[nH]1 ZINC001049386945 770767167 /nfs/dbraw/zinc/76/71/67/770767167.db2.gz KOMJLPJNCRFNQK-KBPBESRZSA-N 1 2 308.813 1.770 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1ccn[nH]1 ZINC001049386945 770767170 /nfs/dbraw/zinc/76/71/70/770767170.db2.gz KOMJLPJNCRFNQK-KBPBESRZSA-N 1 2 308.813 1.770 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001072454168 770921249 /nfs/dbraw/zinc/92/12/49/770921249.db2.gz ULMNKWCOPBQIED-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCC[NH2+][C@@H](C)c1ncc(C)o1 ZINC001134817242 771194992 /nfs/dbraw/zinc/19/49/92/771194992.db2.gz RPRITAUQUVRBDE-GXTWGEPZSA-N 1 2 322.409 1.221 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+]Cc1nc(C2CC2)no1 ZINC001135147899 771353560 /nfs/dbraw/zinc/35/35/60/771353560.db2.gz SJLRWSUWMXDRBI-JSGCOSHPSA-N 1 2 320.393 1.134 20 30 DDEDLO N#Cc1ccc(N[C@H](CNC(=O)Cn2cc[nH+]c2)C2CC2)nc1 ZINC001096682707 771391106 /nfs/dbraw/zinc/39/11/06/771391106.db2.gz BQIHVOLEBSTEOQ-CQSZACIVSA-N 1 2 310.361 1.157 20 30 DDEDLO O=C(N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccccc1)c1cn[nH]c1 ZINC001096838526 771479033 /nfs/dbraw/zinc/47/90/33/771479033.db2.gz LVVWCSUZORNEKB-KURKYZTESA-N 1 2 320.396 1.797 20 30 DDEDLO O=C(N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccccc1)c1cn[nH]c1 ZINC001096838526 771479037 /nfs/dbraw/zinc/47/90/37/771479037.db2.gz LVVWCSUZORNEKB-KURKYZTESA-N 1 2 320.396 1.797 20 30 DDEDLO C[C@@H](C[C@H](C)NC(=O)c1cccc(-n2cc[nH+]c2)c1)NCC#N ZINC001135840936 771746728 /nfs/dbraw/zinc/74/67/28/771746728.db2.gz RTBBVWMVIJGARD-KBPBESRZSA-N 1 2 311.389 1.882 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccnc(C)c2)[C@H](O)C1 ZINC001090655800 772080370 /nfs/dbraw/zinc/08/03/70/772080370.db2.gz WDRIFCFTSWYQKI-ZIAGYGMSSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccnc(C)c2)[C@H](O)C1 ZINC001090655800 772080377 /nfs/dbraw/zinc/08/03/77/772080377.db2.gz WDRIFCFTSWYQKI-ZIAGYGMSSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(C)cc2)[C@H](O)C1 ZINC001090755959 772171464 /nfs/dbraw/zinc/17/14/64/772171464.db2.gz ZJZJZVXFVAKIMY-HUUCEWRRSA-N 1 2 308.809 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)cc2)[C@H](O)C1 ZINC001090755959 772171467 /nfs/dbraw/zinc/17/14/67/772171467.db2.gz ZJZJZVXFVAKIMY-HUUCEWRRSA-N 1 2 308.809 1.913 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C)nc2C)[C@@H](O)C1 ZINC001090827241 772246914 /nfs/dbraw/zinc/24/69/14/772246914.db2.gz HPHSKQVPOKPDDZ-GJZGRUSLSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C)nc2C)[C@@H](O)C1 ZINC001090827241 772246916 /nfs/dbraw/zinc/24/69/16/772246916.db2.gz HPHSKQVPOKPDDZ-GJZGRUSLSA-N 1 2 323.824 1.616 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@@H+]([C@H](C)Cc2cccc(C#N)c2)C1 ZINC001171211484 772598022 /nfs/dbraw/zinc/59/80/22/772598022.db2.gz ZYCGRBSFFQZGDK-CZUORRHYSA-N 1 2 314.385 1.943 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@H+]([C@H](C)Cc2cccc(C#N)c2)C1 ZINC001171211484 772598023 /nfs/dbraw/zinc/59/80/23/772598023.db2.gz ZYCGRBSFFQZGDK-CZUORRHYSA-N 1 2 314.385 1.943 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC3(CN(C(=O)CSCC#N)C3)C2)no1 ZINC001147026391 773019966 /nfs/dbraw/zinc/01/99/66/773019966.db2.gz QZMMYNDFGJCRMM-UHFFFAOYSA-N 1 2 320.418 1.274 20 30 DDEDLO Cc1cc(C[N@H+]2CCC3(CN(C(=O)CSCC#N)C3)C2)no1 ZINC001147026391 773019971 /nfs/dbraw/zinc/01/99/71/773019971.db2.gz QZMMYNDFGJCRMM-UHFFFAOYSA-N 1 2 320.418 1.274 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nnc(C)[nH]1)C2 ZINC001147428013 773131055 /nfs/dbraw/zinc/13/10/55/773131055.db2.gz HKIWQWQOVHNYNZ-CYBMUJFWSA-N 1 2 315.421 1.512 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nnc(C)[nH]1)C2 ZINC001147428013 773131059 /nfs/dbraw/zinc/13/10/59/773131059.db2.gz HKIWQWQOVHNYNZ-CYBMUJFWSA-N 1 2 315.421 1.512 20 30 DDEDLO C#CCC1(C(=O)N2CC3(C2)CC[N@H+](Cc2ccon2)C3)CCC1 ZINC001147698544 773206072 /nfs/dbraw/zinc/20/60/72/773206072.db2.gz XLLOKENMAWETCV-UHFFFAOYSA-N 1 2 313.401 1.903 20 30 DDEDLO C#CCC1(C(=O)N2CC3(C2)CC[N@@H+](Cc2ccon2)C3)CCC1 ZINC001147698544 773206075 /nfs/dbraw/zinc/20/60/75/773206075.db2.gz XLLOKENMAWETCV-UHFFFAOYSA-N 1 2 313.401 1.903 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nncn1C)C2 ZINC001148199678 773377258 /nfs/dbraw/zinc/37/72/58/773377258.db2.gz PBVUKRGFZUDHNO-AWEZNQCLSA-N 1 2 317.437 1.767 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nncn1C)C2 ZINC001148199678 773377264 /nfs/dbraw/zinc/37/72/64/773377264.db2.gz PBVUKRGFZUDHNO-AWEZNQCLSA-N 1 2 317.437 1.767 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001073802934 773424697 /nfs/dbraw/zinc/42/46/97/773424697.db2.gz MRCHZAMFESJTIA-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccc(=O)[nH]3)C[C@@H]21 ZINC001074202184 773725224 /nfs/dbraw/zinc/72/52/24/773725224.db2.gz WALIZGKFROEXCY-GJZGRUSLSA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3cccc(=O)[nH]3)C[C@@H]21 ZINC001074202184 773725226 /nfs/dbraw/zinc/72/52/26/773725226.db2.gz WALIZGKFROEXCY-GJZGRUSLSA-N 1 2 317.389 1.279 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccsc3C)C[C@@H]21 ZINC001074233654 773757667 /nfs/dbraw/zinc/75/76/67/773757667.db2.gz UHAJRBVGFVCOQK-JKSUJKDBSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccsc3C)C[C@@H]21 ZINC001074233654 773757670 /nfs/dbraw/zinc/75/76/70/773757670.db2.gz UHAJRBVGFVCOQK-JKSUJKDBSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccsc3C)C[C@H]21 ZINC001074233651 773757681 /nfs/dbraw/zinc/75/76/81/773757681.db2.gz UHAJRBVGFVCOQK-CVEARBPZSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccsc3C)C[C@H]21 ZINC001074233651 773757685 /nfs/dbraw/zinc/75/76/85/773757685.db2.gz UHAJRBVGFVCOQK-CVEARBPZSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)coc3C)C[C@@H]21 ZINC001074233714 773757900 /nfs/dbraw/zinc/75/79/00/773757900.db2.gz VIBJFWVUINXVEF-JKSUJKDBSA-N 1 2 316.401 1.835 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)coc3C)C[C@@H]21 ZINC001074233714 773757902 /nfs/dbraw/zinc/75/79/02/773757902.db2.gz VIBJFWVUINXVEF-JKSUJKDBSA-N 1 2 316.401 1.835 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C[C@@H]1O ZINC001092131438 773956218 /nfs/dbraw/zinc/95/62/18/773956218.db2.gz HTHBRELCCKZMMO-CABCVRRESA-N 1 2 318.421 1.424 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC=CCC1)c1nccn12 ZINC001092348170 774067789 /nfs/dbraw/zinc/06/77/89/774067789.db2.gz PNOIGZWTOPXZPV-HUUCEWRRSA-N 1 2 312.417 1.997 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H]1CCOC1)c1nccn12 ZINC001092356115 774072264 /nfs/dbraw/zinc/07/22/64/774072264.db2.gz GFNYJUBOYVOEQG-KGLIPLIRSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H](CNc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001092652774 774200213 /nfs/dbraw/zinc/20/02/13/774200213.db2.gz ZHVNIEHUMGLLEZ-UKRRQHHQSA-N 1 2 318.421 1.884 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H](C)[C@H](CCNc2cc[nH+]c(C)n2)C1 ZINC001092616773 774200968 /nfs/dbraw/zinc/20/09/68/774200968.db2.gz JTGXVSOELYFCFJ-UKRRQHHQSA-N 1 2 318.421 1.884 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001074887271 774218124 /nfs/dbraw/zinc/21/81/24/774218124.db2.gz SZNJZFLWRBHYGW-CHWSQXEVSA-N 1 2 304.394 1.414 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001075138574 774376762 /nfs/dbraw/zinc/37/67/62/774376762.db2.gz AGVIPIQTZLUTNE-LSDHHAIUSA-N 1 2 318.421 1.735 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CC3(C)CC3)C2)nn1 ZINC001098691226 774644214 /nfs/dbraw/zinc/64/42/14/774644214.db2.gz ZOJCWSZWIVNBGW-HNNXBMFYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098695075 774645835 /nfs/dbraw/zinc/64/58/35/774645835.db2.gz AXMOSIOXAGKMKY-HNNXBMFYSA-N 1 2 318.421 1.805 20 30 DDEDLO C=C(C)C[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)CS(C)(=O)=O ZINC001098943925 774733653 /nfs/dbraw/zinc/73/36/53/774733653.db2.gz FUMQSSTXIWKBKN-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C(C)C[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)CS(C)(=O)=O ZINC001098943925 774733656 /nfs/dbraw/zinc/73/36/56/774733656.db2.gz FUMQSSTXIWKBKN-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C3(C(F)F)CC3)CC2)C1 ZINC001093536664 774792758 /nfs/dbraw/zinc/79/27/58/774792758.db2.gz NQEKICGWRATFMN-UHFFFAOYSA-N 1 2 314.376 1.910 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccns3)CC2)C1 ZINC001093541626 774814428 /nfs/dbraw/zinc/81/44/28/774814428.db2.gz PENBEGGLEABZDF-UHFFFAOYSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3nccs3)CC2)C1 ZINC001093585233 774863142 /nfs/dbraw/zinc/86/31/42/774863142.db2.gz VSQPAOVRSNUPCS-UHFFFAOYSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CCn3cccc3)CC2)C1 ZINC001093587768 774867680 /nfs/dbraw/zinc/86/76/80/774867680.db2.gz BTKZYBSJXIGAHB-UHFFFAOYSA-N 1 2 317.433 1.756 20 30 DDEDLO C#CC[NH2+][C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C(F)(F)F ZINC001099254583 774919395 /nfs/dbraw/zinc/91/93/95/774919395.db2.gz NBTWRJBBLZYKAJ-PRJMDXOYSA-N 1 2 320.208 1.499 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cccc(Cl)n2)C[C@@H]1O ZINC001099726909 775191058 /nfs/dbraw/zinc/19/10/58/775191058.db2.gz KZKSDSFZMQIVCF-KBPBESRZSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cccc(Cl)n2)C[C@@H]1O ZINC001099726909 775191068 /nfs/dbraw/zinc/19/10/68/775191068.db2.gz KZKSDSFZMQIVCF-KBPBESRZSA-N 1 2 323.824 1.753 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1nccnc1C#N ZINC001099765193 775243259 /nfs/dbraw/zinc/24/32/59/775243259.db2.gz MKAMDGTZJLXVRC-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1nccnc1C#N ZINC001099765193 775243267 /nfs/dbraw/zinc/24/32/67/775243267.db2.gz MKAMDGTZJLXVRC-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1cncc(C#N)n1 ZINC001099765468 775243310 /nfs/dbraw/zinc/24/33/10/775243310.db2.gz TZJPGHCVHGMHSR-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1cncc(C#N)n1 ZINC001099765468 775243322 /nfs/dbraw/zinc/24/33/22/775243322.db2.gz TZJPGHCVHGMHSR-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099944357 775454105 /nfs/dbraw/zinc/45/41/05/775454105.db2.gz MKAKBZWDTSDOLI-LSDHHAIUSA-N 1 2 321.396 1.484 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099944357 775454111 /nfs/dbraw/zinc/45/41/11/775454111.db2.gz MKAKBZWDTSDOLI-LSDHHAIUSA-N 1 2 321.396 1.484 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099946894 775458001 /nfs/dbraw/zinc/45/80/01/775458001.db2.gz NECSNOLCXFSZLC-ZIAGYGMSSA-N 1 2 323.462 1.797 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099946894 775458005 /nfs/dbraw/zinc/45/80/05/775458005.db2.gz NECSNOLCXFSZLC-ZIAGYGMSSA-N 1 2 323.462 1.797 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C(F)F)CCC2)[C@@H](O)C1 ZINC001099967768 775495932 /nfs/dbraw/zinc/49/59/32/775495932.db2.gz SRFPQZQUERYPFU-MNOVXSKESA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C(F)F)CCC2)[C@@H](O)C1 ZINC001099967768 775495935 /nfs/dbraw/zinc/49/59/35/775495935.db2.gz SRFPQZQUERYPFU-MNOVXSKESA-N 1 2 322.783 1.726 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099975785 775507552 /nfs/dbraw/zinc/50/75/52/775507552.db2.gz HDBNNLBFHXTHAQ-GDBMZVCRSA-N 1 2 321.421 1.699 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099975785 775507561 /nfs/dbraw/zinc/50/75/61/775507561.db2.gz HDBNNLBFHXTHAQ-GDBMZVCRSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCn2cccc2)[C@H](O)C1 ZINC001100050297 775619355 /nfs/dbraw/zinc/61/93/55/775619355.db2.gz GFPZATYVMVYRRV-ZIAGYGMSSA-N 1 2 311.813 1.182 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCn2cccc2)[C@H](O)C1 ZINC001100050297 775619359 /nfs/dbraw/zinc/61/93/59/775619359.db2.gz GFPZATYVMVYRRV-ZIAGYGMSSA-N 1 2 311.813 1.182 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3CC(C)C3)nn2)C1 ZINC001094282538 775641463 /nfs/dbraw/zinc/64/14/63/775641463.db2.gz UWNGAXABUAFWSP-UHFFFAOYSA-N 1 2 303.410 1.373 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC[C@@H](F)C3)nn2)C1 ZINC001094314624 775671034 /nfs/dbraw/zinc/67/10/34/775671034.db2.gz YULYNUDFPSYFJP-VXGBXAGGSA-N 1 2 307.373 1.075 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CCC[C@@H](F)C3)nn2)C1 ZINC001094291027 775691964 /nfs/dbraw/zinc/69/19/64/775691964.db2.gz MFVFOBIXKRUMQO-CHWSQXEVSA-N 1 2 321.400 1.465 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3C(C)(C)C3(C)C)CC2=O)C1 ZINC001094721351 776188434 /nfs/dbraw/zinc/18/84/34/776188434.db2.gz AFFDKNNZVVATIR-GFCCVEGCSA-N 1 2 319.449 1.256 20 30 DDEDLO CCN(CCNC(=O)[C@@H](C)C#N)c1cc(C)[nH+]c(C2CC2)n1 ZINC001100471607 776201515 /nfs/dbraw/zinc/20/15/15/776201515.db2.gz JXAIXIDKXXZPDH-NSHDSACASA-N 1 2 301.394 1.765 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3CC3(C)C)CC2=O)C1 ZINC001094726488 776228845 /nfs/dbraw/zinc/22/88/45/776228845.db2.gz QRQFHVPQJZYXFW-OCCSQVGLSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3CCC(=C)CC3)CC2=O)C1 ZINC001094780294 776269520 /nfs/dbraw/zinc/26/95/20/776269520.db2.gz XLZIIJKVVVBQSX-OAHLLOKOSA-N 1 2 317.433 1.320 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@H]3CC34CCC4)CC2=O)C1 ZINC001094794197 776286046 /nfs/dbraw/zinc/28/60/46/776286046.db2.gz VKKJDJKXTXJRPL-UKRRQHHQSA-N 1 2 317.433 1.154 20 30 DDEDLO CCN(CCNC(=O)Cn1cc[nH+]c1)c1cc(C)ncc1C#N ZINC001100556164 776302341 /nfs/dbraw/zinc/30/23/41/776302341.db2.gz GECQNRKHEVROTL-UHFFFAOYSA-N 1 2 312.377 1.101 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)C3CCCC3)CC2=O)C1 ZINC001094806251 776305337 /nfs/dbraw/zinc/30/53/37/776305337.db2.gz KMJYSPNQKTUUNX-UKRRQHHQSA-N 1 2 319.449 1.400 20 30 DDEDLO CCN(CCNC(=O)Cc1[nH]cc[nH+]1)c1cccc(F)c1C#N ZINC001100589808 776338551 /nfs/dbraw/zinc/33/85/51/776338551.db2.gz SWGXPOIMPBYYIF-UHFFFAOYSA-N 1 2 315.352 1.606 20 30 DDEDLO C[C@H](CCCCNCC#N)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001171596039 776362187 /nfs/dbraw/zinc/36/21/87/776362187.db2.gz IZZLJPDVNYQNSH-ZIAGYGMSSA-N 1 2 303.410 1.234 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@H](C)CCCCNCC#N)C2 ZINC001171604722 776367051 /nfs/dbraw/zinc/36/70/51/776367051.db2.gz JLXNGZBBKBCSAA-OCCSQVGLSA-N 1 2 317.437 1.611 20 30 DDEDLO Cc1nc(NCCCNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)ccc1C#N ZINC001094866672 776614596 /nfs/dbraw/zinc/61/45/96/776614596.db2.gz BXTUSBLHRDYDTR-ZIAGYGMSSA-N 1 2 324.388 1.707 20 30 DDEDLO Cc1nc(NCCCNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)ccc1C#N ZINC001094866672 776614600 /nfs/dbraw/zinc/61/46/00/776614600.db2.gz BXTUSBLHRDYDTR-ZIAGYGMSSA-N 1 2 324.388 1.707 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2CNC(=O)Cc2c[nH]c[nH+]2)nc1 ZINC001100910940 776695237 /nfs/dbraw/zinc/69/52/37/776695237.db2.gz WMXYISGLUJERFI-AWEZNQCLSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCCn3cc[nH+]c3)CC2)cn1 ZINC001110166997 776939544 /nfs/dbraw/zinc/93/95/44/776939544.db2.gz IARZVPDDYONUCO-UHFFFAOYSA-N 1 2 324.388 1.691 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2C[N@H+](Cc3ncccn3)C[C@@]2(C)C1 ZINC001101229565 777000899 /nfs/dbraw/zinc/00/08/99/777000899.db2.gz GDFHMYQDGVMTCD-QAPCUYQASA-N 1 2 312.417 1.416 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2C[N@@H+](Cc3ncccn3)C[C@@]2(C)C1 ZINC001101229565 777000903 /nfs/dbraw/zinc/00/09/03/777000903.db2.gz GDFHMYQDGVMTCD-QAPCUYQASA-N 1 2 312.417 1.416 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101254448 777013733 /nfs/dbraw/zinc/01/37/33/777013733.db2.gz RQRBWWKONKYOST-CJNGLKHVSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101254448 777013740 /nfs/dbraw/zinc/01/37/40/777013740.db2.gz RQRBWWKONKYOST-CJNGLKHVSA-N 1 2 304.394 1.706 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(CC)c1)C2 ZINC001095247045 777282386 /nfs/dbraw/zinc/28/23/86/777282386.db2.gz RNACMNAODLUKQC-RDBSUJKOSA-N 1 2 308.813 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(CC)c1)C2 ZINC001095247045 777282392 /nfs/dbraw/zinc/28/23/92/777282392.db2.gz RNACMNAODLUKQC-RDBSUJKOSA-N 1 2 308.813 1.991 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001095231126 777284674 /nfs/dbraw/zinc/28/46/74/777284674.db2.gz RTUBOXWOHJRPSV-GFCCVEGCSA-N 1 2 304.394 1.779 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)OCC)c1nccn12 ZINC001101612436 777303104 /nfs/dbraw/zinc/30/31/04/777303104.db2.gz WUVQDGMQPKMUMA-CHWSQXEVSA-N 1 2 304.394 1.066 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(CF)CC1)c1nccn12 ZINC001101642815 777339723 /nfs/dbraw/zinc/33/97/23/777339723.db2.gz MSHYHTTXVYPQDA-LBPRGKRZSA-N 1 2 304.369 1.391 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102443393 778144884 /nfs/dbraw/zinc/14/48/84/778144884.db2.gz IGFZSDOCVCUPQR-HNNXBMFYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1C[N@@H+](Cc2nncs2)C[C@H]1C ZINC001102609356 778237131 /nfs/dbraw/zinc/23/71/31/778237131.db2.gz VJKKSTSATMNFQT-CHWSQXEVSA-N 1 2 324.450 1.315 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1C[N@H+](Cc2nncs2)C[C@H]1C ZINC001102609356 778237136 /nfs/dbraw/zinc/23/71/36/778237136.db2.gz VJKKSTSATMNFQT-CHWSQXEVSA-N 1 2 324.450 1.315 20 30 DDEDLO Cc1nc(N2CC[C@H](N(C)C(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001102702368 778329338 /nfs/dbraw/zinc/32/93/38/778329338.db2.gz RDYNQPJNGMLIKB-YGRLFVJLSA-N 1 2 301.394 1.599 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001102784386 778398380 /nfs/dbraw/zinc/39/83/80/778398380.db2.gz QRZSRQRGHHQADG-IJLUTSLNSA-N 1 2 307.423 1.272 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001102784386 778398387 /nfs/dbraw/zinc/39/83/87/778398387.db2.gz QRZSRQRGHHQADG-IJLUTSLNSA-N 1 2 307.423 1.272 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3(COC)CC3)C[C@H]21 ZINC001177054938 778416181 /nfs/dbraw/zinc/41/61/81/778416181.db2.gz FASRAVASOKSCCX-HUUCEWRRSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(COC)CC3)C[C@H]21 ZINC001177054938 778416184 /nfs/dbraw/zinc/41/61/84/778416184.db2.gz FASRAVASOKSCCX-HUUCEWRRSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCC3CC3)C[C@H]21 ZINC001177073787 778429444 /nfs/dbraw/zinc/42/94/44/778429444.db2.gz SLUFGYOEXKWYAA-IAGOWNOFSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCC3CC3)C[C@H]21 ZINC001177073787 778429450 /nfs/dbraw/zinc/42/94/50/778429450.db2.gz SLUFGYOEXKWYAA-IAGOWNOFSA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCCOCC(=O)NCCC[NH2+]Cc1noc(C(C)C)n1 ZINC001177264687 778521864 /nfs/dbraw/zinc/52/18/64/778521864.db2.gz UTQIEVOBDDDXPV-UHFFFAOYSA-N 1 2 310.398 1.382 20 30 DDEDLO CC[C@H](CNC(=O)Cc1c[nH+]cn1C)Nc1ncccc1C#N ZINC001103151121 778670601 /nfs/dbraw/zinc/67/06/01/778670601.db2.gz MYKXSXLCTOWEIM-CYBMUJFWSA-N 1 2 312.377 1.236 20 30 DDEDLO N#C[C@@H](Cc1cccs1)C(=O)NCC1([NH+]2CCCC2)COC1 ZINC001177915386 778782357 /nfs/dbraw/zinc/78/23/57/778782357.db2.gz MXBBAVJLOVEOPA-CYBMUJFWSA-N 1 2 319.430 1.411 20 30 DDEDLO CC[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001103364678 778821782 /nfs/dbraw/zinc/82/17/82/778821782.db2.gz ROCIOVTWKHYAET-MGPQQGTHSA-N 1 2 324.388 1.787 20 30 DDEDLO CC[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001103364678 778821787 /nfs/dbraw/zinc/82/17/87/778821787.db2.gz ROCIOVTWKHYAET-MGPQQGTHSA-N 1 2 324.388 1.787 20 30 DDEDLO Cc1nc(NCC2(NC(=O)C#CC3CC3)CCOCC2)cc[nH+]1 ZINC001112250914 779674766 /nfs/dbraw/zinc/67/47/66/779674766.db2.gz FLPLUMYYUQLOAX-UHFFFAOYSA-N 1 2 314.389 1.276 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001112302182 779702507 /nfs/dbraw/zinc/70/25/07/779702507.db2.gz JFXKKGVJYDFETF-YPMHNXCESA-N 1 2 314.393 1.588 20 30 DDEDLO C[C@@H](CCCNc1ccncc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115089087 779870118 /nfs/dbraw/zinc/87/01/18/779870118.db2.gz QOEKVDYBKWUMMD-LBPRGKRZSA-N 1 2 312.377 1.038 20 30 DDEDLO CC1(C)CC(=O)N1C[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC001117719778 780887307 /nfs/dbraw/zinc/88/73/07/780887307.db2.gz VKRNPFBSYZKMNE-ZDUSSCGKSA-N 1 2 300.362 1.375 20 30 DDEDLO CC1(C)CC(=O)N1C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC001117719778 780887313 /nfs/dbraw/zinc/88/73/13/780887313.db2.gz VKRNPFBSYZKMNE-ZDUSSCGKSA-N 1 2 300.362 1.375 20 30 DDEDLO C=C(CO)C(=O)N[C@@H]1CCc2[nH+]c(C3CCCCC3)[nH]c2C1 ZINC001119570014 781518421 /nfs/dbraw/zinc/51/84/21/781518421.db2.gz XCDSXDASGJMJNE-CYBMUJFWSA-N 1 2 303.406 1.979 20 30 DDEDLO C=C(CO)C(=O)N[C@@H]1CCc2[nH]c(C3CCCCC3)[nH+]c2C1 ZINC001119570014 781518426 /nfs/dbraw/zinc/51/84/26/781518426.db2.gz XCDSXDASGJMJNE-CYBMUJFWSA-N 1 2 303.406 1.979 20 30 DDEDLO C#CC1(F)CN(C(=O)[C@H]2CCCC[N@@H+]2Cc2ccncc2)C1 ZINC001119601271 781531713 /nfs/dbraw/zinc/53/17/13/781531713.db2.gz ZFISUTFAQHYGTD-OAHLLOKOSA-N 1 2 301.365 1.620 20 30 DDEDLO C#CC1(F)CN(C(=O)[C@H]2CCCC[N@H+]2Cc2ccncc2)C1 ZINC001119601271 781531720 /nfs/dbraw/zinc/53/17/20/781531720.db2.gz ZFISUTFAQHYGTD-OAHLLOKOSA-N 1 2 301.365 1.620 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H]1CNC(=O)c1cccs1 ZINC001267260549 837686426 /nfs/dbraw/zinc/68/64/26/837686426.db2.gz ZLKSVHPWOWGGIJ-STQMWFEESA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H]1CNC(=O)c1cccs1 ZINC001267260549 837686429 /nfs/dbraw/zinc/68/64/29/837686429.db2.gz ZLKSVHPWOWGGIJ-STQMWFEESA-N 1 2 319.430 1.080 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC12CC[NH+](Cc1cnon1)CC2 ZINC001266370683 836183544 /nfs/dbraw/zinc/18/35/44/836183544.db2.gz JKNKNHJWOAHCRZ-CQSZACIVSA-N 1 2 302.378 1.200 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]([NH2+]Cc2nnn(C)n2)C(C)C)CC1 ZINC001271891879 844187034 /nfs/dbraw/zinc/18/70/34/844187034.db2.gz HIMRACZQNMBMJT-AWEZNQCLSA-N 1 2 320.441 1.187 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@@H](C)CNC(=O)C#CC2CC2)n1 ZINC001266937383 837084179 /nfs/dbraw/zinc/08/41/79/837084179.db2.gz HVRONUJCWZPIRU-LBPRGKRZSA-N 1 2 304.394 1.276 20 30 DDEDLO CC(C)c1noc([C@@H](C)[NH2+]CCN(C)C(=O)C#CC2CC2)n1 ZINC001267371255 837930260 /nfs/dbraw/zinc/93/02/60/837930260.db2.gz ITOLQRWVHNCDEF-GFCCVEGCSA-N 1 2 304.394 1.715 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)[C@@H](CC(C)C)OC)CC1 ZINC001267574529 838341508 /nfs/dbraw/zinc/34/15/08/838341508.db2.gz KDVLJOZCUMOMGC-QGZVFWFLSA-N 1 2 323.481 1.147 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@@H]2CCC[C@@H](OC)C2)CC1 ZINC001267612362 838462955 /nfs/dbraw/zinc/46/29/55/838462955.db2.gz GYJLBCJVLSWYJH-IAGOWNOFSA-N 1 2 323.481 1.454 20 30 DDEDLO CCC[C@@H](CC)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001267633029 838540054 /nfs/dbraw/zinc/54/00/54/838540054.db2.gz YSTGQOAJPPQSFQ-KGLIPLIRSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC[C@@H](CC)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001267633029 838540055 /nfs/dbraw/zinc/54/00/55/838540055.db2.gz YSTGQOAJPPQSFQ-KGLIPLIRSA-N 1 2 308.426 1.033 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H](NC(=O)c2ccoc2C)C1 ZINC001267736429 838790446 /nfs/dbraw/zinc/79/04/46/838790446.db2.gz BMAICBNXEWWISC-WCQYABFASA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)c2ccoc2C)C1 ZINC001267736429 838790456 /nfs/dbraw/zinc/79/04/56/838790456.db2.gz BMAICBNXEWWISC-WCQYABFASA-N 1 2 305.378 1.083 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H](N(C)C(=O)C#CC3CC3)C2)on1 ZINC001267762927 838896085 /nfs/dbraw/zinc/89/60/85/838896085.db2.gz USVIARGLHHGJOJ-ZDUSSCGKSA-N 1 2 303.362 1.129 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H](N(C)C(=O)C#CC3CC3)C2)on1 ZINC001267762927 838896100 /nfs/dbraw/zinc/89/61/00/838896100.db2.gz USVIARGLHHGJOJ-ZDUSSCGKSA-N 1 2 303.362 1.129 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)Cc2ccc(F)c(F)c2)C1 ZINC001268026959 839431572 /nfs/dbraw/zinc/43/15/72/839431572.db2.gz MVGYEADRDQJTOR-CYBMUJFWSA-N 1 2 310.344 1.510 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)Cc2ccc(F)c(F)c2)C1 ZINC001268026959 839431578 /nfs/dbraw/zinc/43/15/78/839431578.db2.gz MVGYEADRDQJTOR-CYBMUJFWSA-N 1 2 310.344 1.510 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[N@H+](C)CC(=O)NC(C)(C)C)C1 ZINC001268248486 839888858 /nfs/dbraw/zinc/88/88/58/839888858.db2.gz COAKPSVWRWKZNR-UHFFFAOYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[N@@H+](C)CC(=O)NC(C)(C)C)C1 ZINC001268248486 839888864 /nfs/dbraw/zinc/88/88/64/839888864.db2.gz COAKPSVWRWKZNR-UHFFFAOYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)C=C2CCCCC2)C1 ZINC001268546908 840427971 /nfs/dbraw/zinc/42/79/71/840427971.db2.gz LJEYBOQFECQPLB-UHFFFAOYSA-N 1 2 305.422 1.227 20 30 DDEDLO C=CCCCC(=O)NCC1C[NH+]([C@@H](C)C(=O)NC2CCCC2)C1 ZINC001268866870 840920124 /nfs/dbraw/zinc/92/01/24/840920124.db2.gz CFEXUFWEOOAQFM-AWEZNQCLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1C[NH+](Cc2snnc2C)C1 ZINC001268874181 840928872 /nfs/dbraw/zinc/92/88/72/840928872.db2.gz GSIVPFBMOKYGAP-OAHLLOKOSA-N 1 2 308.451 1.997 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(C)c1C ZINC001268983951 841085332 /nfs/dbraw/zinc/08/53/32/841085332.db2.gz MREJVOQFTWRDSJ-IRXDYDNUSA-N 1 2 312.413 1.852 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(C)c1C ZINC001268983951 841085347 /nfs/dbraw/zinc/08/53/47/841085347.db2.gz MREJVOQFTWRDSJ-IRXDYDNUSA-N 1 2 312.413 1.852 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2cc(C3CC3)on2)C1 ZINC001269046560 841144750 /nfs/dbraw/zinc/14/47/50/841144750.db2.gz QJSCHMACDQYOET-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2cc(C3CC3)on2)C1 ZINC001269046560 841144755 /nfs/dbraw/zinc/14/47/55/841144755.db2.gz QJSCHMACDQYOET-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@]1(C)CCC[C@@H]1C ZINC001272105252 844617684 /nfs/dbraw/zinc/61/76/84/844617684.db2.gz LLLYRHUPHMCKLB-WMLDXEAASA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@]1(C)CCC[C@@H]1C ZINC001272105252 844617689 /nfs/dbraw/zinc/61/76/89/844617689.db2.gz LLLYRHUPHMCKLB-WMLDXEAASA-N 1 2 309.454 1.505 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H]1CC[N@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001269239714 841403994 /nfs/dbraw/zinc/40/39/94/841403994.db2.gz CSOZDFOCXHYXBO-ZBFHGGJFSA-N 1 2 319.449 1.093 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H]1CC[N@@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001269239714 841404001 /nfs/dbraw/zinc/40/40/01/841404001.db2.gz CSOZDFOCXHYXBO-ZBFHGGJFSA-N 1 2 319.449 1.093 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](N(C)C(=O)c2cocc2C)C1 ZINC001269264165 841439778 /nfs/dbraw/zinc/43/97/78/841439778.db2.gz WJCSNXXKIPCXRD-CYBMUJFWSA-N 1 2 305.378 1.037 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cocc2C)C1 ZINC001269264165 841439781 /nfs/dbraw/zinc/43/97/81/841439781.db2.gz WJCSNXXKIPCXRD-CYBMUJFWSA-N 1 2 305.378 1.037 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@H]1CCCN(C)C1=O ZINC001269312751 841502110 /nfs/dbraw/zinc/50/21/10/841502110.db2.gz XNOKWNZKVKDQBO-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@H]1CCCN(C)C1=O ZINC001269312751 841502117 /nfs/dbraw/zinc/50/21/17/841502117.db2.gz XNOKWNZKVKDQBO-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO CCn1ncnc1C[NH2+]C1(CNC(=O)C#CC(C)(C)C)CC1 ZINC001269411554 841618268 /nfs/dbraw/zinc/61/82/68/841618268.db2.gz LEKMBPJOAANJOE-UHFFFAOYSA-N 1 2 303.410 1.086 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CC[C@H]2CC(C)(C)CO2)C1 ZINC001269882133 842128374 /nfs/dbraw/zinc/12/83/74/842128374.db2.gz ZLFRPBCPMOWGGZ-INIZCTEOSA-N 1 2 324.465 1.927 20 30 DDEDLO O=C(/C=C\c1ccc(F)cc1)C(=O)N[C@@H]1CCn2c[nH+]cc2C1 ZINC001142905571 861302935 /nfs/dbraw/zinc/30/29/35/861302935.db2.gz JCRPOCFLVIWZDU-JHAQOBCDSA-N 1 2 313.332 1.736 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@]2(C1)C[N@H+](Cc1ccc[nH]1)CCO2 ZINC001270509155 842630855 /nfs/dbraw/zinc/63/08/55/842630855.db2.gz ZTJHNTRGXQPHEE-PBHICJAKSA-N 1 2 316.405 1.368 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@]2(C1)C[N@@H+](Cc1ccc[nH]1)CCO2 ZINC001270509155 842630864 /nfs/dbraw/zinc/63/08/64/842630864.db2.gz ZTJHNTRGXQPHEE-PBHICJAKSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@@H]1C[N@H+](CC(=C)C)CCO1 ZINC001270664474 842795262 /nfs/dbraw/zinc/79/52/62/842795262.db2.gz FRQHNJFEZOPEKZ-WBVHZDCISA-N 1 2 310.438 1.608 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@@H]1C[N@@H+](CC(=C)C)CCO1 ZINC001270664474 842795270 /nfs/dbraw/zinc/79/52/70/842795270.db2.gz FRQHNJFEZOPEKZ-WBVHZDCISA-N 1 2 310.438 1.608 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)C2(C)CCCCC2)C1 ZINC001270675286 842808312 /nfs/dbraw/zinc/80/83/12/842808312.db2.gz ZCIMKVIVVKFDGK-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)C2(C)CCCCC2)C1 ZINC001270675286 842808320 /nfs/dbraw/zinc/80/83/20/842808320.db2.gz ZCIMKVIVVKFDGK-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280295946 842920561 /nfs/dbraw/zinc/92/05/61/842920561.db2.gz YXLQQGHPBQYHPM-OLZOCXBDSA-N 1 2 304.394 1.011 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280295946 842920571 /nfs/dbraw/zinc/92/05/71/842920571.db2.gz YXLQQGHPBQYHPM-OLZOCXBDSA-N 1 2 304.394 1.011 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001280306081 842949603 /nfs/dbraw/zinc/94/96/03/842949603.db2.gz PTBIQJQFVRFEJI-ZIAGYGMSSA-N 1 2 320.437 1.885 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncccn1 ZINC001154719894 861391102 /nfs/dbraw/zinc/39/11/02/861391102.db2.gz PCKICEDNQXJMJE-OAHLLOKOSA-N 1 2 302.422 1.997 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncccn1 ZINC001154719894 861391114 /nfs/dbraw/zinc/39/11/14/861391114.db2.gz PCKICEDNQXJMJE-OAHLLOKOSA-N 1 2 302.422 1.997 20 30 DDEDLO C[C@H]1CCCN(C(=O)CCc2[nH+]ccn2C)[C@H]1CNCC#N ZINC001271728905 843816281 /nfs/dbraw/zinc/81/62/81/843816281.db2.gz VMDCVZITZRIYJM-KBPBESRZSA-N 1 2 303.410 1.093 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)Cc1ccn(C(C)C)n1 ZINC001326645960 861507651 /nfs/dbraw/zinc/50/76/51/861507651.db2.gz BBVNSKQVLSWKBV-INIZCTEOSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)Cc1ccn(C(C)C)n1 ZINC001326645960 861507658 /nfs/dbraw/zinc/50/76/58/861507658.db2.gz BBVNSKQVLSWKBV-INIZCTEOSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nn1CC ZINC001326659151 861520626 /nfs/dbraw/zinc/52/06/26/861520626.db2.gz WDUPNZWRVBYCCB-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nn1CC ZINC001326659151 861520632 /nfs/dbraw/zinc/52/06/32/861520632.db2.gz WDUPNZWRVBYCCB-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3cccc4ccncc43)C2)OCC1=O ZINC001272630705 846432418 /nfs/dbraw/zinc/43/24/18/846432418.db2.gz YVGLMIIWJNUIBO-UHFFFAOYSA-N 1 2 323.396 1.834 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H](OCC)C2CC2)C1 ZINC001409909119 846556846 /nfs/dbraw/zinc/55/68/46/846556846.db2.gz KBZVQIQJFKGNHD-FZMZJTMJSA-N 1 2 300.830 1.991 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2([NH2+]Cc3ncc(C)o3)CCC2)cn1 ZINC001326912892 861709640 /nfs/dbraw/zinc/70/96/40/861709640.db2.gz BZYMGVRVKIVSCT-UHFFFAOYSA-N 1 2 324.384 1.802 20 30 DDEDLO Cc1cc(C[N@@H+]2CCO[C@@](C)(CNC(=O)[C@@H](C)C#N)C2)cs1 ZINC001107821436 847203787 /nfs/dbraw/zinc/20/37/87/847203787.db2.gz OXAHJCLSMMUJQU-LRDDRELGSA-N 1 2 321.446 1.923 20 30 DDEDLO Cc1cc(C[N@H+]2CCO[C@@](C)(CNC(=O)[C@@H](C)C#N)C2)cs1 ZINC001107821436 847203798 /nfs/dbraw/zinc/20/37/98/847203798.db2.gz OXAHJCLSMMUJQU-LRDDRELGSA-N 1 2 321.446 1.923 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH+]2CCN(CCOCC)CC2)C1 ZINC001327081047 861858360 /nfs/dbraw/zinc/85/83/60/861858360.db2.gz GIFLPBNMEQYZSS-QGZVFWFLSA-N 1 2 321.465 1.045 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@]1(C)CN(Cc2c[nH+]cn2C)CCO1 ZINC001108119757 848092985 /nfs/dbraw/zinc/09/29/85/848092985.db2.gz SEKOMFOAVCBEHK-KRWDZBQOSA-N 1 2 320.437 1.339 20 30 DDEDLO N#CCN1CCCC[C@@H](NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001034399239 848463546 /nfs/dbraw/zinc/46/35/46/848463546.db2.gz LWNDJNUVCXBIHD-OLZOCXBDSA-N 1 2 301.394 1.324 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)C1(C)CCC1)CO2 ZINC001327403337 862144550 /nfs/dbraw/zinc/14/45/50/862144550.db2.gz CJAPLFXSMPGLGH-AWEZNQCLSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1C[N@H+](Cc2ccn(C)n2)CCCO1 ZINC001150223305 862145906 /nfs/dbraw/zinc/14/59/06/862145906.db2.gz BACMPPOMVPTFMJ-INIZCTEOSA-N 1 2 320.437 1.484 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1C[N@@H+](Cc2ccn(C)n2)CCCO1 ZINC001150223305 862145913 /nfs/dbraw/zinc/14/59/13/862145913.db2.gz BACMPPOMVPTFMJ-INIZCTEOSA-N 1 2 320.437 1.484 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](CC(C)C)OC)CO2 ZINC001273712915 851255966 /nfs/dbraw/zinc/25/59/66/851255966.db2.gz KCIXGGODMYNXBW-LSDHHAIUSA-N 1 2 308.422 1.030 20 30 DDEDLO Cc1nnc(C[NH+]2CCC3(C[C@H]3C(=O)NCCCC#N)CC2)o1 ZINC001273791819 851353706 /nfs/dbraw/zinc/35/37/06/851353706.db2.gz AAWMTMVCSZWCDH-ZDUSSCGKSA-N 1 2 317.393 1.400 20 30 DDEDLO C[C@H](C#N)C(=O)NC/C=C\C[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001273910660 851495366 /nfs/dbraw/zinc/49/53/66/851495366.db2.gz QMMOIBLTMSIONV-PBWNMSGQSA-N 1 2 319.409 1.850 20 30 DDEDLO CC(C)(C)OC(=O)CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2CCC#N ZINC001274032562 851872728 /nfs/dbraw/zinc/87/27/28/851872728.db2.gz RXWHIYBDSAAGGX-ZIAGYGMSSA-N 1 2 321.421 1.697 20 30 DDEDLO CC(C)(C)OC(=O)CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2CCC#N ZINC001274032562 851872734 /nfs/dbraw/zinc/87/27/34/851872734.db2.gz RXWHIYBDSAAGGX-ZIAGYGMSSA-N 1 2 321.421 1.697 20 30 DDEDLO N#CCCCC[NH+]1CC2(C1)CN(CCC(F)(F)F)C(=O)CO2 ZINC001274042124 851883063 /nfs/dbraw/zinc/88/30/63/851883063.db2.gz BNPPPUWNYURUCS-UHFFFAOYSA-N 1 2 319.327 1.546 20 30 DDEDLO N#CCCCC[NH+]1CC2(C1)CN(Cc1ccncc1)C(=O)CO2 ZINC001274043705 851883326 /nfs/dbraw/zinc/88/33/26/851883326.db2.gz SZHYUKOAIRQTPQ-UHFFFAOYSA-N 1 2 314.389 1.189 20 30 DDEDLO CCOCCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1[nH]ccc1C#N ZINC001274854347 852642970 /nfs/dbraw/zinc/64/29/70/852642970.db2.gz GFBCVEZXTGVUHQ-HZPDHXFCSA-N 1 2 316.405 1.488 20 30 DDEDLO CCOCCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1[nH]ccc1C#N ZINC001274854347 852642977 /nfs/dbraw/zinc/64/29/77/852642977.db2.gz GFBCVEZXTGVUHQ-HZPDHXFCSA-N 1 2 316.405 1.488 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3C[C@@H](C2)N3Cc2ccncc2O)cc1C#N ZINC001275166388 852852926 /nfs/dbraw/zinc/85/29/26/852852926.db2.gz UOLRIRCKMZHGPX-CALCHBBNSA-N 1 2 323.400 1.456 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3C[C@@H](C2)N3Cc2ccncc2O)cc1C#N ZINC001275166388 852852930 /nfs/dbraw/zinc/85/29/30/852852930.db2.gz UOLRIRCKMZHGPX-CALCHBBNSA-N 1 2 323.400 1.456 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)C[N@H+](C)Cc1c(F)cccc1F ZINC001275561240 853346648 /nfs/dbraw/zinc/34/66/48/853346648.db2.gz YUTDJGFOPAXTOD-OLZOCXBDSA-N 1 2 324.371 1.940 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)C[N@@H+](C)Cc1c(F)cccc1F ZINC001275561240 853346656 /nfs/dbraw/zinc/34/66/56/853346656.db2.gz YUTDJGFOPAXTOD-OLZOCXBDSA-N 1 2 324.371 1.940 20 30 DDEDLO COCCOC[N@@H+]1CCC2(CN(Cc3ccc(C#N)cc3)C2)C1 ZINC001275829086 853831085 /nfs/dbraw/zinc/83/10/85/853831085.db2.gz PJCHOKLQGAGSPD-UHFFFAOYSA-N 1 2 315.417 1.686 20 30 DDEDLO COCCOC[N@H+]1CCC2(CN(Cc3ccc(C#N)cc3)C2)C1 ZINC001275829086 853831088 /nfs/dbraw/zinc/83/10/88/853831088.db2.gz PJCHOKLQGAGSPD-UHFFFAOYSA-N 1 2 315.417 1.686 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)CC2CCCC2)C1 ZINC001276335173 855674075 /nfs/dbraw/zinc/67/40/75/855674075.db2.gz VHTCWNHFQUNZFV-CYBMUJFWSA-N 1 2 307.438 1.305 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001206660142 862760954 /nfs/dbraw/zinc/76/09/54/862760954.db2.gz YCOSDOFZZUPPMN-UMVBOHGHSA-N 1 2 303.410 1.560 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001206660142 862760962 /nfs/dbraw/zinc/76/09/62/862760962.db2.gz YCOSDOFZZUPPMN-UMVBOHGHSA-N 1 2 303.410 1.560 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH2+][C@H](c3ccc(F)cc3)C2)nc1 ZINC001413525495 856932852 /nfs/dbraw/zinc/93/28/52/856932852.db2.gz KPGVWVMKGNHUHI-INIZCTEOSA-N 1 2 310.332 1.879 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)[nH]1 ZINC001072552857 857438558 /nfs/dbraw/zinc/43/85/58/857438558.db2.gz SWOQIDZBTNBGBD-NWDGAFQWSA-N 1 2 316.409 1.122 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)[nH]1 ZINC001072552857 857438563 /nfs/dbraw/zinc/43/85/63/857438563.db2.gz SWOQIDZBTNBGBD-NWDGAFQWSA-N 1 2 316.409 1.122 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC2(CN(C(=O)c3ncccc3O)C2)C1 ZINC001072653519 857559627 /nfs/dbraw/zinc/55/96/27/857559627.db2.gz FYNPHLOTWXFGGH-UHFFFAOYSA-N 1 2 307.781 1.688 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC2(CN(C(=O)c3ncccc3O)C2)C1 ZINC001072653519 857559639 /nfs/dbraw/zinc/55/96/39/857559639.db2.gz FYNPHLOTWXFGGH-UHFFFAOYSA-N 1 2 307.781 1.688 20 30 DDEDLO C=C(C)CCC(=O)NC1(C)CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001073214964 858183046 /nfs/dbraw/zinc/18/30/46/858183046.db2.gz CGHYCYPGRZWSRN-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)NCc1cc[nH+]c(NCC)c1 ZINC001123566389 859303430 /nfs/dbraw/zinc/30/34/30/859303430.db2.gz RTRJETGRFWEOHB-KBPBESRZSA-N 1 2 304.394 1.904 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001124495277 859721890 /nfs/dbraw/zinc/72/18/90/859721890.db2.gz OPIAWYMYDOAOBB-GFCCVEGCSA-N 1 2 324.425 1.554 20 30 DDEDLO C=CCCC(=O)NCC[NH2+]Cc1noc(-c2ccccc2)n1 ZINC001124646474 859762195 /nfs/dbraw/zinc/76/21/95/859762195.db2.gz SLRSNIKTRHWHGH-UHFFFAOYSA-N 1 2 300.362 1.909 20 30 DDEDLO C=CCCC(=O)NCC[NH2+]Cc1csc(N(CC)C(C)=O)n1 ZINC001124646652 859763478 /nfs/dbraw/zinc/76/34/78/859763478.db2.gz XNBHWLSCDUNIKK-UHFFFAOYSA-N 1 2 324.450 1.688 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@@H+](Cc1cnc(N)s1)CC2 ZINC001139227070 860326930 /nfs/dbraw/zinc/32/69/30/860326930.db2.gz LUXYBVOQFJOLEH-UHFFFAOYSA-N 1 2 319.434 1.720 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@H+](Cc1cnc(N)s1)CC2 ZINC001139227070 860326934 /nfs/dbraw/zinc/32/69/34/860326934.db2.gz LUXYBVOQFJOLEH-UHFFFAOYSA-N 1 2 319.434 1.720 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]CCNC(=O)CC#Cc2ccccc2)n1 ZINC001151958420 863079830 /nfs/dbraw/zinc/07/98/30/863079830.db2.gz GYTMYQFGUGIYMH-ZDUSSCGKSA-N 1 2 312.373 1.587 20 30 DDEDLO CC[N@H+](Cc1ccon1)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152628814 863463828 /nfs/dbraw/zinc/46/38/28/863463828.db2.gz TWLLGARSCNVNOZ-LLVKDONJSA-N 1 2 301.350 1.515 20 30 DDEDLO CC[N@@H+](Cc1ccon1)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001152628814 863463833 /nfs/dbraw/zinc/46/38/33/863463833.db2.gz TWLLGARSCNVNOZ-LLVKDONJSA-N 1 2 301.350 1.515 20 30 DDEDLO C=CCn1cc(C[N@H+]2CC[C@H]3C[C@]32C(=O)NC(C)(C)C)cn1 ZINC001277019036 881677577 /nfs/dbraw/zinc/67/75/77/881677577.db2.gz TXDOKWNBGXKWJH-WMLDXEAASA-N 1 2 302.422 1.948 20 30 DDEDLO C=CCn1cc(C[N@@H+]2CC[C@H]3C[C@]32C(=O)NC(C)(C)C)cn1 ZINC001277019036 881677585 /nfs/dbraw/zinc/67/75/85/881677585.db2.gz TXDOKWNBGXKWJH-WMLDXEAASA-N 1 2 302.422 1.948 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)CC2=CCCCC2)C1 ZINC001329973218 863988484 /nfs/dbraw/zinc/98/84/84/863988484.db2.gz UVVUUYDCSWYWOY-CQSZACIVSA-N 1 2 319.449 1.616 20 30 DDEDLO COc1nc(NCC2([NH+]3CCCC3)COC2)c(F)cc1C#N ZINC001157655492 864019885 /nfs/dbraw/zinc/01/98/85/864019885.db2.gz MIFXGRHXFSAVDL-UHFFFAOYSA-N 1 2 306.341 1.378 20 30 DDEDLO COc1cc(N2CCN(c3cc(C#N)cc(C)n3)CC2)cc[nH+]1 ZINC001158098091 864427598 /nfs/dbraw/zinc/42/75/98/864427598.db2.gz KWLILMCFSZWWGI-UHFFFAOYSA-N 1 2 309.373 1.992 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)C(=O)NCCCCn2cc[nH+]c2)CC1 ZINC001330540993 864440176 /nfs/dbraw/zinc/44/01/76/864440176.db2.gz QSXADMKTCXCSQB-SHTZXODSSA-N 1 2 316.405 1.088 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(c3ncnc4ccc(C#N)cc43)C2)C[C@H](C)O1 ZINC001158720493 864853050 /nfs/dbraw/zinc/85/30/50/864853050.db2.gz UBLZOYMRUAOMEK-BETUJISGSA-N 1 2 323.400 1.799 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(c3ncnc4ccc(C#N)cc43)C2)C[C@H](C)O1 ZINC001158720493 864853065 /nfs/dbraw/zinc/85/30/65/864853065.db2.gz UBLZOYMRUAOMEK-BETUJISGSA-N 1 2 323.400 1.799 20 30 DDEDLO CCc1nnc(C[NH2+][C@H](C)CN(C)C(=O)C#CC(C)(C)C)o1 ZINC001331438666 865085107 /nfs/dbraw/zinc/08/51/07/865085107.db2.gz IVEVBUMERUZMAF-GFCCVEGCSA-N 1 2 306.410 1.618 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc(Br)nc3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225572235 881917130 /nfs/dbraw/zinc/91/71/30/881917130.db2.gz VQJVYTULVFBJPA-NJFCNUMCSA-N 1 2 311.179 1.835 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc(Br)nc3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225572235 881917134 /nfs/dbraw/zinc/91/71/34/881917134.db2.gz VQJVYTULVFBJPA-NJFCNUMCSA-N 1 2 311.179 1.835 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H](O)C[N@H+](C)Cc1nocc1C ZINC001332663090 866036786 /nfs/dbraw/zinc/03/67/86/866036786.db2.gz KRTGORDYTNFWJF-RHSMWYFYSA-N 1 2 323.437 1.836 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1nocc1C ZINC001332663090 866036801 /nfs/dbraw/zinc/03/68/01/866036801.db2.gz KRTGORDYTNFWJF-RHSMWYFYSA-N 1 2 323.437 1.836 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C1CC([NH2+]CC(F)(F)C(F)F)C1 ZINC001333070153 866391519 /nfs/dbraw/zinc/39/15/19/866391519.db2.gz DXMZZSCJYZTMSC-WHXUTIOJSA-N 1 2 324.318 1.504 20 30 DDEDLO CN(CC[N@H+](C)CC(=O)NCC#N)C(=O)C1(C)CCCCCC1 ZINC001320008523 866428081 /nfs/dbraw/zinc/42/80/81/866428081.db2.gz IRLKHPFOBHAZMB-UHFFFAOYSA-N 1 2 322.453 1.377 20 30 DDEDLO CN(CC[N@@H+](C)CC(=O)NCC#N)C(=O)C1(C)CCCCCC1 ZINC001320008523 866428083 /nfs/dbraw/zinc/42/80/83/866428083.db2.gz IRLKHPFOBHAZMB-UHFFFAOYSA-N 1 2 322.453 1.377 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1nc2c(s1)C[C@H](C)CC2 ZINC001320136907 866505515 /nfs/dbraw/zinc/50/55/15/866505515.db2.gz MJKJUCLGUYGONE-LLVKDONJSA-N 1 2 314.476 1.958 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)C[C@@]2(CCc3ccccc32)C1=O ZINC001320209610 866561131 /nfs/dbraw/zinc/56/11/31/866561131.db2.gz FCNHKCMULNFESS-HXUWFJFHSA-N 1 2 322.408 1.932 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)C[C@@]2(CCc3ccccc32)C1=O ZINC001320209610 866561145 /nfs/dbraw/zinc/56/11/45/866561145.db2.gz FCNHKCMULNFESS-HXUWFJFHSA-N 1 2 322.408 1.932 20 30 DDEDLO N#CCc1ccc(NS(=O)(=O)c2ccc(C[NH3+])cc2)nc1 ZINC001161746888 866957345 /nfs/dbraw/zinc/95/73/45/866957345.db2.gz OSBUBMKAEBPFKJ-UHFFFAOYSA-N 1 2 302.359 1.407 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@@H](C)c2c(C)noc2C)C1 ZINC001323994429 866998917 /nfs/dbraw/zinc/99/89/17/866998917.db2.gz GYVMNHFOCGHGLE-LBPRGKRZSA-N 1 2 321.421 1.740 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(OC)cc1)CN1C[C@@H]2CCO[C@@H]2C1=O ZINC001333771405 866999339 /nfs/dbraw/zinc/99/93/39/866999339.db2.gz NTKLDQXFVBYWTI-RDJZCZTQSA-N 1 2 316.401 1.888 20 30 DDEDLO C=CC[N@H+](Cc1ccc(OC)cc1)CN1C[C@@H]2CCO[C@@H]2C1=O ZINC001333771405 866999349 /nfs/dbraw/zinc/99/93/49/866999349.db2.gz NTKLDQXFVBYWTI-RDJZCZTQSA-N 1 2 316.401 1.888 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC(C)(C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001334041172 867260447 /nfs/dbraw/zinc/26/04/47/867260447.db2.gz LRFDQNLSCUSAMC-UHFFFAOYSA-N 1 2 318.421 1.212 20 30 DDEDLO C=CCN(C)C(=O)C(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC001324419842 867269782 /nfs/dbraw/zinc/26/97/82/867269782.db2.gz ZXOYQXYFTSHFCC-UHFFFAOYSA-N 1 2 312.373 1.192 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@]1(C)CC[N@H+](CC(=O)NCC#N)C1 ZINC001324572498 867364437 /nfs/dbraw/zinc/36/44/37/867364437.db2.gz HGXWPLXPQJEUIL-WMLDXEAASA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@]1(C)CC[N@@H+](CC(=O)NCC#N)C1 ZINC001324572498 867364458 /nfs/dbraw/zinc/36/44/58/867364458.db2.gz HGXWPLXPQJEUIL-WMLDXEAASA-N 1 2 322.453 1.423 20 30 DDEDLO C#CC[NH2+]C[C@@]1(C)CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001324773309 867513268 /nfs/dbraw/zinc/51/32/68/867513268.db2.gz XFZVLPNGEIQALF-GOSISDBHSA-N 1 2 323.400 1.547 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](C)CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001334365101 867518008 /nfs/dbraw/zinc/51/80/08/867518008.db2.gz FGRLECNZMNACTH-ZIAGYGMSSA-N 1 2 318.421 1.375 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC/C=C/C[NH2+]Cc1ncc(C)o1 ZINC001321363286 867530267 /nfs/dbraw/zinc/53/02/67/867530267.db2.gz MXJBLBQQRPBQPA-VOTSOKGWSA-N 1 2 323.396 1.969 20 30 DDEDLO C#CCCCC(=O)NCC1(C)CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001324854775 867580547 /nfs/dbraw/zinc/58/05/47/867580547.db2.gz RUYWEOYWQXGDKM-UHFFFAOYSA-N 1 2 317.437 1.635 20 30 DDEDLO C#CCCCC(=O)NC[C@]1(O)CC[N@@H+](Cc2csc(C)n2)C1 ZINC001325084431 867758213 /nfs/dbraw/zinc/75/82/13/867758213.db2.gz WZLNSTCCKQRRSU-MRXNPFEDSA-N 1 2 321.446 1.308 20 30 DDEDLO C#CCCCC(=O)NC[C@]1(O)CC[N@H+](Cc2csc(C)n2)C1 ZINC001325084431 867758221 /nfs/dbraw/zinc/75/82/21/867758221.db2.gz WZLNSTCCKQRRSU-MRXNPFEDSA-N 1 2 321.446 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@@H]1CCn2ccnc2C1 ZINC001381540579 882119408 /nfs/dbraw/zinc/11/94/08/882119408.db2.gz MANJQILBSAGMOX-QWHCGFSZSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@@H]1CCn2ccnc2C1 ZINC001381540579 882119411 /nfs/dbraw/zinc/11/94/11/882119411.db2.gz MANJQILBSAGMOX-QWHCGFSZSA-N 1 2 310.829 1.635 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cnc3ccccc3c2)C1 ZINC001325281041 867912377 /nfs/dbraw/zinc/91/23/77/867912377.db2.gz IHFCSZPAXRDQBO-UHFFFAOYSA-N 1 2 309.369 1.035 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC001325707351 868276611 /nfs/dbraw/zinc/27/66/11/868276611.db2.gz XTZUCBLOYXYHAX-HNNXBMFYSA-N 1 2 301.390 1.882 20 30 DDEDLO N#CCC1CN(C(=O)[C@H]2CC23C[NH+](Cc2ccnc(F)c2)C3)C1 ZINC001277096273 882184364 /nfs/dbraw/zinc/18/43/64/882184364.db2.gz IOSNXGPJDQWXJS-CQSZACIVSA-N 1 2 314.364 1.415 20 30 DDEDLO N#CCC1CN(C(=O)[C@@]23C[C@@H]2CC[N@H+]3Cc2ccnc(F)c2)C1 ZINC001277096757 882186318 /nfs/dbraw/zinc/18/63/18/882186318.db2.gz KGYMZWVXRWHRGX-WMLDXEAASA-N 1 2 314.364 1.557 20 30 DDEDLO N#CCC1CN(C(=O)[C@@]23C[C@@H]2CC[N@@H+]3Cc2ccnc(F)c2)C1 ZINC001277096757 882186331 /nfs/dbraw/zinc/18/63/31/882186331.db2.gz KGYMZWVXRWHRGX-WMLDXEAASA-N 1 2 314.364 1.557 20 30 DDEDLO C=C(C)c1cccc(NC(=O)C(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC001336672821 869085693 /nfs/dbraw/zinc/08/56/93/869085693.db2.gz LQOUFKUZVAWUEW-OAHLLOKOSA-N 1 2 324.384 1.986 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CC[N@H+](CCCS(C)(=O)=O)C1 ZINC001316986958 870075850 /nfs/dbraw/zinc/07/58/50/870075850.db2.gz UMDFSWUEBAHYFX-ZIAGYGMSSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CC[N@@H+](CCCS(C)(=O)=O)C1 ZINC001316986958 870075860 /nfs/dbraw/zinc/07/58/60/870075860.db2.gz UMDFSWUEBAHYFX-ZIAGYGMSSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@](C)(CC)CCC)C1 ZINC001316990499 870082457 /nfs/dbraw/zinc/08/24/57/870082457.db2.gz OTAVYOZBQNMZAD-YOEHRIQHSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@](C)(CC)CCC)C1 ZINC001316990499 870082470 /nfs/dbraw/zinc/08/24/70/870082470.db2.gz OTAVYOZBQNMZAD-YOEHRIQHSA-N 1 2 309.454 1.696 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+][C@H](C)c2csnn2)C1 ZINC001317053777 870171825 /nfs/dbraw/zinc/17/18/25/870171825.db2.gz AMJONVZCLYRRJI-OLZOCXBDSA-N 1 2 306.435 1.983 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C(C)(C)C ZINC001338952261 870263721 /nfs/dbraw/zinc/26/37/21/870263721.db2.gz YOHDOGHPPFPZJK-CQSZACIVSA-N 1 2 318.421 1.403 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001317133307 870327719 /nfs/dbraw/zinc/32/77/19/870327719.db2.gz YFYCYORPUWYGPM-CQSZACIVSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001317133307 870327742 /nfs/dbraw/zinc/32/77/42/870327742.db2.gz YFYCYORPUWYGPM-CQSZACIVSA-N 1 2 319.453 1.746 20 30 DDEDLO C=C1CCN(c2nnc([C@H]3C[N@H+](C(C)C)CCO3)n2C)CC1 ZINC001339284502 870436528 /nfs/dbraw/zinc/43/65/28/870436528.db2.gz WNDCZZDTUFMFQX-CQSZACIVSA-N 1 2 305.426 1.753 20 30 DDEDLO C=C1CCN(c2nnc([C@H]3C[N@@H+](C(C)C)CCO3)n2C)CC1 ZINC001339284502 870436532 /nfs/dbraw/zinc/43/65/32/870436532.db2.gz WNDCZZDTUFMFQX-CQSZACIVSA-N 1 2 305.426 1.753 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CC[C@H]1C ZINC001339698834 870640953 /nfs/dbraw/zinc/64/09/53/870640953.db2.gz HVHXNNBKWOIFNL-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCN1C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001298994792 870815214 /nfs/dbraw/zinc/81/52/14/870815214.db2.gz USAAWEMNWRWEKL-UONOGXRCSA-N 1 2 316.405 1.129 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC(C)(C)C ZINC001317499878 870925924 /nfs/dbraw/zinc/92/59/24/870925924.db2.gz QXUXDSSCSSXZFE-OAHLLOKOSA-N 1 2 321.465 1.389 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC(C)(C)C ZINC001317499878 870925928 /nfs/dbraw/zinc/92/59/28/870925928.db2.gz QXUXDSSCSSXZFE-OAHLLOKOSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCn1c([C@@H]2CCC[N@H+]2C)nnc1N1CC[C@@](F)(C#N)C1 ZINC001340491598 871147615 /nfs/dbraw/zinc/14/76/15/871147615.db2.gz JKGMSBMPFTVVCI-SWLSCSKDSA-N 1 2 304.373 1.673 20 30 DDEDLO C=CCn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CC[C@@](F)(C#N)C1 ZINC001340491598 871147621 /nfs/dbraw/zinc/14/76/21/871147621.db2.gz JKGMSBMPFTVVCI-SWLSCSKDSA-N 1 2 304.373 1.673 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[NH2+]Cc2nnc(C3CC3)o2)cc1 ZINC001317615317 871209017 /nfs/dbraw/zinc/20/90/17/871209017.db2.gz HADOLNSTTJGMTB-UHFFFAOYSA-N 1 2 324.384 1.790 20 30 DDEDLO C=CCn1c(N2CCC=C(C)C2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001340700481 871292760 /nfs/dbraw/zinc/29/27/60/871292760.db2.gz DHNOHHSHILMUEU-YOEHRIQHSA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1c(N2CCC=C(C)C2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001340700481 871292773 /nfs/dbraw/zinc/29/27/73/871292773.db2.gz DHNOHHSHILMUEU-YOEHRIQHSA-N 1 2 317.437 1.532 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(C)o1 ZINC001318193165 871813628 /nfs/dbraw/zinc/81/36/28/871813628.db2.gz OGHKBVSVYWZJTM-GJZGRUSLSA-N 1 2 302.374 1.065 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(C)o1 ZINC001318193165 871813647 /nfs/dbraw/zinc/81/36/47/871813647.db2.gz OGHKBVSVYWZJTM-GJZGRUSLSA-N 1 2 302.374 1.065 20 30 DDEDLO C[C@H](C#N)C(=O)NC1CC[NH+](Cc2cc3n(n2)CCCC3)CC1 ZINC001226639763 882560492 /nfs/dbraw/zinc/56/04/92/882560492.db2.gz GHCKFJSVFYMSEE-CYBMUJFWSA-N 1 2 315.421 1.460 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCC=CCCC2)C1 ZINC001318338529 871944202 /nfs/dbraw/zinc/94/42/02/871944202.db2.gz UKLSILHUYLFTCB-JKSUJKDBSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCC=CCCC2)C1 ZINC001318338529 871944211 /nfs/dbraw/zinc/94/42/11/871944211.db2.gz UKLSILHUYLFTCB-JKSUJKDBSA-N 1 2 319.449 1.616 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC001342415557 872198008 /nfs/dbraw/zinc/19/80/08/872198008.db2.gz IRMZROVONHZWOH-CQSZACIVSA-N 1 2 312.373 1.518 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](NC(=O)c2ccoc2C)C1 ZINC001316936873 872412983 /nfs/dbraw/zinc/41/29/83/872412983.db2.gz BAEXMYANRDPVRZ-TZMCWYRMSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](NC(=O)c2ccoc2C)C1 ZINC001316936873 872412994 /nfs/dbraw/zinc/41/29/94/872412994.db2.gz BAEXMYANRDPVRZ-TZMCWYRMSA-N 1 2 319.405 1.473 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCCC2)nnc1N1CCOCC1 ZINC001342979809 872456762 /nfs/dbraw/zinc/45/67/62/872456762.db2.gz QMDDIKJNZCZCPL-UHFFFAOYSA-N 1 2 305.426 1.677 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)nc2)C[C@H]1C ZINC001206607717 872473140 /nfs/dbraw/zinc/47/31/40/872473140.db2.gz XTEQVRQEHBXMRU-TZMCWYRMSA-N 1 2 323.824 1.874 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)nc2)C[C@H]1C ZINC001206607717 872473143 /nfs/dbraw/zinc/47/31/43/872473143.db2.gz XTEQVRQEHBXMRU-TZMCWYRMSA-N 1 2 323.824 1.874 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)C2(CC)CCCC2)C1 ZINC001319330257 872580382 /nfs/dbraw/zinc/58/03/82/872580382.db2.gz QPLWQRWWKQLUQS-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)C2(CC)CCCC2)C1 ZINC001319330257 872580394 /nfs/dbraw/zinc/58/03/94/872580394.db2.gz QPLWQRWWKQLUQS-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO CC(C)NC(=O)N1C[C@H]2C[C@@H](C1)[N@H+]2Cc1ccc(C#N)cc1O ZINC001276493619 872603735 /nfs/dbraw/zinc/60/37/35/872603735.db2.gz KACLVJRNWXYRST-GASCZTMLSA-N 1 2 314.389 1.640 20 30 DDEDLO CC(C)NC(=O)N1C[C@H]2C[C@@H](C1)[N@@H+]2Cc1ccc(C#N)cc1O ZINC001276493619 872603737 /nfs/dbraw/zinc/60/37/37/872603737.db2.gz KACLVJRNWXYRST-GASCZTMLSA-N 1 2 314.389 1.640 20 30 DDEDLO N#Cc1c(Cl)cccc1S(=O)(=O)NCC[NH+]1CC=CC1 ZINC001319409570 872615557 /nfs/dbraw/zinc/61/55/57/872615557.db2.gz NXUGTHSKODZTTM-UHFFFAOYSA-N 1 2 311.794 1.362 20 30 DDEDLO N#CCNCC1CCN(C(=O)c2ccc(-n3cc[nH+]c3)cn2)CC1 ZINC001206869093 872667655 /nfs/dbraw/zinc/66/76/55/872667655.db2.gz RHSFJEZCUPNNQJ-UHFFFAOYSA-N 1 2 324.388 1.233 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2nnc(C)o2)C[C@H]1C ZINC001206911456 872749885 /nfs/dbraw/zinc/74/98/85/872749885.db2.gz QNTKGGTZNDEFIX-MBNYWOFBSA-N 1 2 304.394 1.679 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2nnc(C)o2)C[C@H]1C ZINC001206911456 872749902 /nfs/dbraw/zinc/74/99/02/872749902.db2.gz QNTKGGTZNDEFIX-MBNYWOFBSA-N 1 2 304.394 1.679 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC001344182531 872917038 /nfs/dbraw/zinc/91/70/38/872917038.db2.gz LQJJNMBNPUCPDN-OAHLLOKOSA-N 1 2 318.421 1.689 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@@H]1CCCO1 ZINC001345322676 873354936 /nfs/dbraw/zinc/35/49/36/873354936.db2.gz SVUTUTUWGSLICS-UONOGXRCSA-N 1 2 303.410 1.293 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@@H]1CCCO1 ZINC001345322676 873354951 /nfs/dbraw/zinc/35/49/51/873354951.db2.gz SVUTUTUWGSLICS-UONOGXRCSA-N 1 2 303.410 1.293 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COc2cc(C)on2)C1 ZINC001207637140 873431046 /nfs/dbraw/zinc/43/10/46/873431046.db2.gz AEMORXUQKMQPLZ-BXKDBHETSA-N 1 2 313.785 1.551 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)COc2cc(C)on2)C1 ZINC001207637140 873431048 /nfs/dbraw/zinc/43/10/48/873431048.db2.gz AEMORXUQKMQPLZ-BXKDBHETSA-N 1 2 313.785 1.551 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([NH2+][C@@H](C)c2nc(CC)no2)C1 ZINC001207681076 873475083 /nfs/dbraw/zinc/47/50/83/873475083.db2.gz ZLJVHULLKALFBI-QWHCGFSZSA-N 1 2 322.409 1.476 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2CCCN(C(=O)C#CC(C)C)C2)n1 ZINC001207995598 873726985 /nfs/dbraw/zinc/72/69/85/873726985.db2.gz LVMJJMYTWKKTKZ-AWEZNQCLSA-N 1 2 318.421 1.762 20 30 DDEDLO C#CCC1(C(=O)N2CCC[C@@H]([NH2+]Cc3cnsn3)C2)CCC1 ZINC001208319556 874005194 /nfs/dbraw/zinc/00/51/94/874005194.db2.gz VYBVPOPREFDGBB-CYBMUJFWSA-N 1 2 318.446 1.812 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+]([C@@H](C)c2nc(C)no2)CC1 ZINC001227076006 882815515 /nfs/dbraw/zinc/81/55/15/882815515.db2.gz CQYGJSVWLDZAEP-ZBEGNZNMSA-N 1 2 322.409 1.347 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC001227076423 882816230 /nfs/dbraw/zinc/81/62/30/882816230.db2.gz MJKVQIIKSZBHHQ-HNNXBMFYSA-N 1 2 309.435 1.551 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@](C)(NC(C)=O)C(C)C ZINC001378238281 874509163 /nfs/dbraw/zinc/50/91/63/874509163.db2.gz SOCJPVJZQWDCJF-IUODEOHRSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@](C)(NC(C)=O)C(C)C ZINC001378238281 874509169 /nfs/dbraw/zinc/50/91/69/874509169.db2.gz SOCJPVJZQWDCJF-IUODEOHRSA-N 1 2 317.861 1.726 20 30 DDEDLO CCC[C@H](C(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C)C(C)C ZINC001209031017 874619087 /nfs/dbraw/zinc/61/90/87/874619087.db2.gz YRVWBILGKRJRAM-QLFBSQMISA-N 1 2 322.453 1.135 20 30 DDEDLO CCC[C@H](C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C)C(C)C ZINC001209031017 874619095 /nfs/dbraw/zinc/61/90/95/874619095.db2.gz YRVWBILGKRJRAM-QLFBSQMISA-N 1 2 322.453 1.135 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C2(CNC(=O)[C@H](C)C#N)CCCC2)no1 ZINC001378683830 875412034 /nfs/dbraw/zinc/41/20/34/875412034.db2.gz KATDYTFNNMLBLF-MNOVXSKESA-N 1 2 305.382 1.617 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)on2)C[C@H]1C ZINC001211408679 875795350 /nfs/dbraw/zinc/79/53/50/875795350.db2.gz LBEAXSABDQNQPH-IUODEOHRSA-N 1 2 307.394 1.512 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)on2)C[C@H]1C ZINC001211408679 875795357 /nfs/dbraw/zinc/79/53/57/875795357.db2.gz LBEAXSABDQNQPH-IUODEOHRSA-N 1 2 307.394 1.512 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)C(C)(C)C)[C@H](OC)C1 ZINC001213275978 875871708 /nfs/dbraw/zinc/87/17/08/875871708.db2.gz ZRHCZJKPUYBARA-HUUCEWRRSA-N 1 2 324.465 1.524 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)C(C)(C)C)[C@H](OC)C1 ZINC001213275978 875871714 /nfs/dbraw/zinc/87/17/14/875871714.db2.gz ZRHCZJKPUYBARA-HUUCEWRRSA-N 1 2 324.465 1.524 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](CCF)C[C@H]2OC)CCOCC1 ZINC001213792410 876058694 /nfs/dbraw/zinc/05/86/94/876058694.db2.gz ABZSXPJTSWNAKJ-ZIAGYGMSSA-N 1 2 314.401 1.144 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](CCF)C[C@H]2OC)CCOCC1 ZINC001213792410 876058707 /nfs/dbraw/zinc/05/87/07/876058707.db2.gz ABZSXPJTSWNAKJ-ZIAGYGMSSA-N 1 2 314.401 1.144 20 30 DDEDLO C#CCC1(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3csnn3)C2)CCC1 ZINC001214830180 876475189 /nfs/dbraw/zinc/47/51/89/876475189.db2.gz TYLLOGPJYSVRTC-TZMCWYRMSA-N 1 2 318.446 1.668 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-n1cc(N)c(C#N)n1 ZINC001215547416 876747381 /nfs/dbraw/zinc/74/73/81/876747381.db2.gz STNWTBQJDGGCIU-UHFFFAOYSA-N 1 2 313.361 1.167 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001215696957 876786259 /nfs/dbraw/zinc/78/62/59/876786259.db2.gz PUVSTMOGXXNFMY-DGCLKSJQSA-N 1 2 306.410 1.917 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001215700717 876789187 /nfs/dbraw/zinc/78/91/87/876789187.db2.gz GGQRDRXNBJLXKW-DGCLKSJQSA-N 1 2 306.410 1.917 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)NC)CCCC1 ZINC001352668757 876863055 /nfs/dbraw/zinc/86/30/55/876863055.db2.gz DBZDWSYGDALLFI-ZDUSSCGKSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)NC)CCCC1 ZINC001352668757 876863065 /nfs/dbraw/zinc/86/30/65/876863065.db2.gz DBZDWSYGDALLFI-ZDUSSCGKSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cnc(C)o3)C[C@@H]21 ZINC001217510667 877215401 /nfs/dbraw/zinc/21/54/01/877215401.db2.gz PLTQDKSUDLPNEN-LSDHHAIUSA-N 1 2 305.378 1.361 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cnc(C)o3)C[C@@H]21 ZINC001217510667 877215412 /nfs/dbraw/zinc/21/54/12/877215412.db2.gz PLTQDKSUDLPNEN-LSDHHAIUSA-N 1 2 305.378 1.361 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CC3(C(F)(F)F)CC3)[C@H]2C1 ZINC001218087768 877392802 /nfs/dbraw/zinc/39/28/02/877392802.db2.gz SCLJVTTYJAEDFR-NWDGAFQWSA-N 1 2 316.323 1.264 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CC3(C(F)(F)F)CC3)[C@H]2C1 ZINC001218087768 877392814 /nfs/dbraw/zinc/39/28/14/877392814.db2.gz SCLJVTTYJAEDFR-NWDGAFQWSA-N 1 2 316.323 1.264 20 30 DDEDLO O=C(C[C@H]1CCCO1)NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001276792719 877664053 /nfs/dbraw/zinc/66/40/53/877664053.db2.gz KYEHZGYQHHZFSE-ZWKOTPCHSA-N 1 2 312.413 1.798 20 30 DDEDLO O=C(C[C@H]1CCCO1)NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001276792719 877664068 /nfs/dbraw/zinc/66/40/68/877664068.db2.gz KYEHZGYQHHZFSE-ZWKOTPCHSA-N 1 2 312.413 1.798 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)C[C@H]1CCN(C)C1=O ZINC001379685095 877738243 /nfs/dbraw/zinc/73/82/43/877738243.db2.gz PDYPXPWCTYLNLU-VXGBXAGGSA-N 1 2 301.818 1.044 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)C[C@H]1CCN(C)C1=O ZINC001379685095 877738253 /nfs/dbraw/zinc/73/82/53/877738253.db2.gz PDYPXPWCTYLNLU-VXGBXAGGSA-N 1 2 301.818 1.044 20 30 DDEDLO C#CCN(CC#C)c1nnc(C)n1CCCc1cccc(C)[nH+]1 ZINC001300512738 877749128 /nfs/dbraw/zinc/74/91/28/877749128.db2.gz SNMCDSBPBMEVFG-UHFFFAOYSA-N 1 2 307.401 1.996 20 30 DDEDLO CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219195754 878002029 /nfs/dbraw/zinc/00/20/29/878002029.db2.gz FGYZRLVIFAGYOC-KGLIPLIRSA-N 1 2 321.446 1.717 20 30 DDEDLO CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219195754 878002049 /nfs/dbraw/zinc/00/20/49/878002049.db2.gz FGYZRLVIFAGYOC-KGLIPLIRSA-N 1 2 321.446 1.717 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219332830 878127040 /nfs/dbraw/zinc/12/70/40/878127040.db2.gz IYWSZAKUEDGKCB-CABCVRRESA-N 1 2 308.809 1.968 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@@H]1O ZINC001219332830 878127050 /nfs/dbraw/zinc/12/70/50/878127050.db2.gz IYWSZAKUEDGKCB-CABCVRRESA-N 1 2 308.809 1.968 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001354957035 878338813 /nfs/dbraw/zinc/33/88/13/878338813.db2.gz SRVVBBIOKXMIHX-ZDUSSCGKSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001219707864 878468736 /nfs/dbraw/zinc/46/87/36/878468736.db2.gz JERPWEHZKYNBPS-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001219707864 878468743 /nfs/dbraw/zinc/46/87/43/878468743.db2.gz JERPWEHZKYNBPS-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO CCn1nnc(C)c1C[N@H+](C)CCN(C(=O)[C@H](C)C#N)C(C)C ZINC001379999377 878516931 /nfs/dbraw/zinc/51/69/31/878516931.db2.gz LZDMVDZYZLMGRN-CYBMUJFWSA-N 1 2 320.441 1.435 20 30 DDEDLO CCn1nnc(C)c1C[N@@H+](C)CCN(C(=O)[C@H](C)C#N)C(C)C ZINC001379999377 878516939 /nfs/dbraw/zinc/51/69/39/878516939.db2.gz LZDMVDZYZLMGRN-CYBMUJFWSA-N 1 2 320.441 1.435 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001287630149 912361980 /nfs/dbraw/zinc/36/19/80/912361980.db2.gz ROOFXQMOZFBPKH-AWEZNQCLSA-N 1 2 320.437 1.672 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)c1cccc2[nH+]ccn21 ZINC001355744589 878698693 /nfs/dbraw/zinc/69/86/93/878698693.db2.gz JNEKXYPTUGBBML-UHFFFAOYSA-N 1 2 314.389 1.879 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220201775 878817600 /nfs/dbraw/zinc/81/76/00/878817600.db2.gz YZCNKHGQIJQPLU-GLQYFDAESA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@@H]1O ZINC001220201775 878817616 /nfs/dbraw/zinc/81/76/16/878817616.db2.gz YZCNKHGQIJQPLU-GLQYFDAESA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCn1c(N(C)CCC(C)C)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001356134491 878882297 /nfs/dbraw/zinc/88/22/97/878882297.db2.gz NTSOOLXKOBLWHR-WMLDXEAASA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(C)CCC(C)C)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001356134491 878882304 /nfs/dbraw/zinc/88/23/04/878882304.db2.gz NTSOOLXKOBLWHR-WMLDXEAASA-N 1 2 321.469 1.858 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N(C)CCNC(C)=O ZINC001356211269 878922501 /nfs/dbraw/zinc/92/25/01/878922501.db2.gz YQRQWBSYTZDTBA-AWEZNQCLSA-N 1 2 320.441 1.193 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N(C)CCNC(C)=O ZINC001356211269 878922519 /nfs/dbraw/zinc/92/25/19/878922519.db2.gz YQRQWBSYTZDTBA-AWEZNQCLSA-N 1 2 320.441 1.193 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001356417968 879043556 /nfs/dbraw/zinc/04/35/56/879043556.db2.gz WCUCPCCQWZRAED-YOEHRIQHSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C1CCN(c2nnc(C[N@@H+]3CCC[C@@H](O)C3)n2CC)CC1 ZINC001356500768 879081141 /nfs/dbraw/zinc/08/11/41/879081141.db2.gz FFBJDPGXSYNGKI-CQSZACIVSA-N 1 2 305.426 1.411 20 30 DDEDLO C=C1CCN(c2nnc(C[N@H+]3CCC[C@@H](O)C3)n2CC)CC1 ZINC001356500768 879081149 /nfs/dbraw/zinc/08/11/49/879081149.db2.gz FFBJDPGXSYNGKI-CQSZACIVSA-N 1 2 305.426 1.411 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@](C)(NC(=O)[C@H](C)C#N)C2)sn1 ZINC001380345406 879402168 /nfs/dbraw/zinc/40/21/68/879402168.db2.gz HMIZVDRVKKJNLM-QMTHXVAHSA-N 1 2 308.407 1.392 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@](C)(NC(=O)[C@H](C)C#N)C2)sn1 ZINC001380345406 879402180 /nfs/dbraw/zinc/40/21/80/879402180.db2.gz HMIZVDRVKKJNLM-QMTHXVAHSA-N 1 2 308.407 1.392 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](CC)NC(=O)Cn2cc[nH+]c2)cc1 ZINC001356910109 879572355 /nfs/dbraw/zinc/57/23/55/879572355.db2.gz SCZTVXOFUYNHCY-INIZCTEOSA-N 1 2 324.384 1.189 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](O)(CNC(=O)c2cc(C)sn2)C1 ZINC001380537701 879891956 /nfs/dbraw/zinc/89/19/56/879891956.db2.gz TYBRPIMUDHWDMY-CYBMUJFWSA-N 1 2 315.826 1.371 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](O)(CNC(=O)c2cc(C)sn2)C1 ZINC001380537701 879891976 /nfs/dbraw/zinc/89/19/76/879891976.db2.gz TYBRPIMUDHWDMY-CYBMUJFWSA-N 1 2 315.826 1.371 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cc(C)n(C)n3)C[C@H]21 ZINC001221514076 879902353 /nfs/dbraw/zinc/90/23/53/879902353.db2.gz HANUMIXENMKWRR-NVXWUHKLSA-N 1 2 314.433 1.565 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+](Cc3cc(C)n(C)n3)C[C@H]21 ZINC001221514076 879902358 /nfs/dbraw/zinc/90/23/58/879902358.db2.gz HANUMIXENMKWRR-NVXWUHKLSA-N 1 2 314.433 1.565 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCC3(C)C)[C@@H]2C1 ZINC001221756129 880060360 /nfs/dbraw/zinc/06/03/60/880060360.db2.gz NLNYGKJOVPRXGA-RBSFLKMASA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCC3(C)C)[C@@H]2C1 ZINC001221756129 880060376 /nfs/dbraw/zinc/06/03/76/880060376.db2.gz NLNYGKJOVPRXGA-RBSFLKMASA-N 1 2 319.449 1.258 20 30 DDEDLO CCc1ccoc1C(=O)N1C[C@H]2CC[N@H+](CC#CCOC)C[C@H]21 ZINC001221792789 880089626 /nfs/dbraw/zinc/08/96/26/880089626.db2.gz CWMNCDJMQPIWJY-HZPDHXFCSA-N 1 2 316.401 1.638 20 30 DDEDLO CCc1ccoc1C(=O)N1C[C@H]2CC[N@@H+](CC#CCOC)C[C@H]21 ZINC001221792789 880089640 /nfs/dbraw/zinc/08/96/40/880089640.db2.gz CWMNCDJMQPIWJY-HZPDHXFCSA-N 1 2 316.401 1.638 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](CC)CCC)[C@@H]2C1 ZINC001221899289 880142719 /nfs/dbraw/zinc/14/27/19/880142719.db2.gz NRGQTNXPZHQQIU-ARFHVFGLSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](CC)CCC)[C@@H]2C1 ZINC001221899289 880142723 /nfs/dbraw/zinc/14/27/23/880142723.db2.gz NRGQTNXPZHQQIU-ARFHVFGLSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)C(=O)OCC(COC(=O)C(=C)C)OCCn1cc[nH+]c1 ZINC001222135321 880276359 /nfs/dbraw/zinc/27/63/59/880276359.db2.gz XZDUHTSXUIRJBH-UHFFFAOYSA-N 1 2 322.361 1.507 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnn(C)n3)[C@H]2C1 ZINC001222407461 880445809 /nfs/dbraw/zinc/44/58/09/880445809.db2.gz SYERTXRJOALDMA-HIFRSBDPSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@H+](Cc3cnn(C)n3)[C@H]2C1 ZINC001222407461 880445812 /nfs/dbraw/zinc/44/58/12/880445812.db2.gz SYERTXRJOALDMA-HIFRSBDPSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nnc(C)o3)[C@H]2C1 ZINC001222448096 880474927 /nfs/dbraw/zinc/47/49/27/880474927.db2.gz JCIWUSLQZGZQAA-KGLIPLIRSA-N 1 2 320.393 1.003 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@H+](Cc3nnc(C)o3)[C@H]2C1 ZINC001222448096 880474941 /nfs/dbraw/zinc/47/49/41/880474941.db2.gz JCIWUSLQZGZQAA-KGLIPLIRSA-N 1 2 320.393 1.003 20 30 DDEDLO CCS(=O)(=O)C1CC[NH+](C[C@H](O)c2cccc(C#N)c2)CC1 ZINC001413984225 880478035 /nfs/dbraw/zinc/47/80/35/880478035.db2.gz GDNNPQURUSKDEM-INIZCTEOSA-N 1 2 322.430 1.491 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1CC[NH+](Cc2nc(C3CC3)no2)CC1 ZINC001222640563 880615747 /nfs/dbraw/zinc/61/57/47/880615747.db2.gz APHCKUGDHHFUDR-NSHDSACASA-N 1 2 317.393 1.435 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1CC[NH+](Cc2nnc(C3CC3)o2)CC1 ZINC001222636926 880615993 /nfs/dbraw/zinc/61/59/93/880615993.db2.gz TTXBGEHHMREYDZ-NSHDSACASA-N 1 2 317.393 1.435 20 30 DDEDLO CCn1nc(C)c(C[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)n1 ZINC001222659444 880625988 /nfs/dbraw/zinc/62/59/88/880625988.db2.gz XXQKOOKHQSBCHH-GFCCVEGCSA-N 1 2 318.425 1.094 20 30 DDEDLO CC(C)C#CC(=O)NCC1CC[NH+](Cc2cnns2)CC1 ZINC001223114694 880837023 /nfs/dbraw/zinc/83/70/23/880837023.db2.gz PMLUKSUADKFPIQ-UHFFFAOYSA-N 1 2 306.435 1.526 20 30 DDEDLO CCc1noc(C[NH+]2CCC(CNC(=O)C#CC3CC3)CC2)n1 ZINC001223134130 880845473 /nfs/dbraw/zinc/84/54/73/880845473.db2.gz GDXMUYMFDYLHDH-UHFFFAOYSA-N 1 2 316.405 1.374 20 30 DDEDLO CC(C)[C@H](CCN(C)C(=O)[C@H](C)C#N)NC(=O)Cn1cc[nH+]c1 ZINC001381040975 880907201 /nfs/dbraw/zinc/90/72/01/880907201.db2.gz UICAGLLAXUHFQS-KGLIPLIRSA-N 1 2 319.409 1.032 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001276900897 881006304 /nfs/dbraw/zinc/00/63/04/881006304.db2.gz SMPKQRCJDFMPSU-LSDHHAIUSA-N 1 2 321.465 1.789 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001276900897 881006316 /nfs/dbraw/zinc/00/63/16/881006316.db2.gz SMPKQRCJDFMPSU-LSDHHAIUSA-N 1 2 321.465 1.789 20 30 DDEDLO CC#CCCCC(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224157575 881246013 /nfs/dbraw/zinc/24/60/13/881246013.db2.gz ICSNOWQGLVMQIB-UHFFFAOYSA-N 1 2 317.437 1.337 20 30 DDEDLO Cc1cc(C[NH+]2CC3(CN(c4ccccc4C#N)C3)C2)n(C)n1 ZINC001276954229 881285427 /nfs/dbraw/zinc/28/54/27/881285427.db2.gz ZHNWMXMRSRDBKA-UHFFFAOYSA-N 1 2 307.401 1.922 20 30 DDEDLO C=CCCCC(=O)NCC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001224277256 881305723 /nfs/dbraw/zinc/30/57/23/881305723.db2.gz TWMUUMBWDIEJTG-UHFFFAOYSA-N 1 2 319.453 1.983 20 30 DDEDLO CC(C)c1nc(C[NH2+][C@@H](CNC(=O)[C@@H](C)C#N)C(C)C)no1 ZINC001374415811 912786235 /nfs/dbraw/zinc/78/62/35/912786235.db2.gz QFMIAKCVFWNQOP-RYUDHWBXSA-N 1 2 307.398 1.583 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(C(F)(F)F)cnn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229747515 884144313 /nfs/dbraw/zinc/14/43/13/884144313.db2.gz VMAZAECZJNHSET-ADAFDVPTSA-N 1 2 301.268 1.487 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(C(F)(F)F)cnn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229747515 884144319 /nfs/dbraw/zinc/14/43/19/884144319.db2.gz VMAZAECZJNHSET-ADAFDVPTSA-N 1 2 301.268 1.487 20 30 DDEDLO COCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccc(Cl)cc1 ZINC001230538874 884554991 /nfs/dbraw/zinc/55/49/91/884554991.db2.gz NONMXCGBVJFBHJ-INIZCTEOSA-N 1 2 320.820 1.871 20 30 DDEDLO COCC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccc(Cl)cc1 ZINC001230538874 884555003 /nfs/dbraw/zinc/55/50/03/884555003.db2.gz NONMXCGBVJFBHJ-INIZCTEOSA-N 1 2 320.820 1.871 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)/C=C/c1ccco1 ZINC001230639201 884681582 /nfs/dbraw/zinc/68/15/82/884681582.db2.gz UFNFMFAZZJIBTI-PSKZRQQASA-N 1 2 317.389 1.128 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)/C=C/c1ccco1 ZINC001230639201 884681588 /nfs/dbraw/zinc/68/15/88/884681588.db2.gz UFNFMFAZZJIBTI-PSKZRQQASA-N 1 2 317.389 1.128 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665903 884720234 /nfs/dbraw/zinc/72/02/34/884720234.db2.gz ZCBPKMSWYHLMTD-GOEBONIOSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665903 884720246 /nfs/dbraw/zinc/72/02/46/884720246.db2.gz ZCBPKMSWYHLMTD-GOEBONIOSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CCC1CCCC1 ZINC001230671165 884727930 /nfs/dbraw/zinc/72/79/30/884727930.db2.gz LXAKGWLHSCSNDS-MRXNPFEDSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC1CCCC1 ZINC001230671165 884727942 /nfs/dbraw/zinc/72/79/42/884727942.db2.gz LXAKGWLHSCSNDS-MRXNPFEDSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCCCC ZINC001230781679 884866765 /nfs/dbraw/zinc/86/67/65/884866765.db2.gz MNZYBCUFCNJKQF-LSDHHAIUSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCCCC ZINC001230781679 884866773 /nfs/dbraw/zinc/86/67/73/884866773.db2.gz MNZYBCUFCNJKQF-LSDHHAIUSA-N 1 2 307.438 1.237 20 30 DDEDLO Cc1nocc1C[N@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230814925 884916652 /nfs/dbraw/zinc/91/66/52/884916652.db2.gz IOGXIFOJKMPVQX-CYBMUJFWSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1nocc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230814925 884916668 /nfs/dbraw/zinc/91/66/68/884916668.db2.gz IOGXIFOJKMPVQX-CYBMUJFWSA-N 1 2 308.407 1.273 20 30 DDEDLO COCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001230880748 885004529 /nfs/dbraw/zinc/00/45/29/885004529.db2.gz MBDIUJLBSSBKIS-SFHVURJKSA-N 1 2 314.429 1.997 20 30 DDEDLO COCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001230880748 885004546 /nfs/dbraw/zinc/00/45/46/885004546.db2.gz MBDIUJLBSSBKIS-SFHVURJKSA-N 1 2 314.429 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccnn1C ZINC001231095078 885215227 /nfs/dbraw/zinc/21/52/27/885215227.db2.gz MRLNAHVXLNETFO-ZBFHGGJFSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccnn1C ZINC001231095078 885215238 /nfs/dbraw/zinc/21/52/38/885215238.db2.gz MRLNAHVXLNETFO-ZBFHGGJFSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC1=CCCCC1 ZINC001231198847 885371504 /nfs/dbraw/zinc/37/15/04/885371504.db2.gz UFQAHZSPBJDGRF-INIZCTEOSA-N 1 2 319.449 1.712 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC1=CCCCC1 ZINC001231198847 885371524 /nfs/dbraw/zinc/37/15/24/885371524.db2.gz UFQAHZSPBJDGRF-INIZCTEOSA-N 1 2 319.449 1.712 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CCC(F)(F)F ZINC001231410932 885636943 /nfs/dbraw/zinc/63/69/43/885636943.db2.gz TXVDCUUQBHYULM-NSHDSACASA-N 1 2 321.343 1.164 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CCC(F)(F)F ZINC001231410932 885636954 /nfs/dbraw/zinc/63/69/54/885636954.db2.gz TXVDCUUQBHYULM-NSHDSACASA-N 1 2 321.343 1.164 20 30 DDEDLO COc1nc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)ccc1C ZINC001363572442 886667783 /nfs/dbraw/zinc/66/77/83/886667783.db2.gz CUSORFFMCXSJBR-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1C[C@@H]2CN(Cc3nccs3)C[C@H]2C1 ZINC001233045369 886753624 /nfs/dbraw/zinc/75/36/24/886753624.db2.gz WLBYBRRWOGKMJR-ZIAGYGMSSA-N 1 2 313.430 1.907 20 30 DDEDLO Cc1cc(C#N)ccc1C[N@@H+]1CCOC[C@](O)(C(F)(F)F)C1 ZINC001233079995 886777388 /nfs/dbraw/zinc/77/73/88/886777388.db2.gz AKHLVQZRYHWBHJ-AWEZNQCLSA-N 1 2 314.307 1.992 20 30 DDEDLO Cc1cc(C#N)ccc1C[N@H+]1CCOC[C@](O)(C(F)(F)F)C1 ZINC001233079995 886777392 /nfs/dbraw/zinc/77/73/92/886777392.db2.gz AKHLVQZRYHWBHJ-AWEZNQCLSA-N 1 2 314.307 1.992 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(N(C)C)c(C#N)c2F)C1 ZINC001233102806 886788869 /nfs/dbraw/zinc/78/88/69/886788869.db2.gz OEVIKLSIOWOEIA-CYBMUJFWSA-N 1 2 304.369 1.474 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@H+](Cc2ccc(N(C)C)c(C#N)c2F)C1 ZINC001233102806 886788876 /nfs/dbraw/zinc/78/88/76/886788876.db2.gz OEVIKLSIOWOEIA-CYBMUJFWSA-N 1 2 304.369 1.474 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3c(F)cc(F)cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001233699432 887236504 /nfs/dbraw/zinc/23/65/04/887236504.db2.gz OSQCHMIVDYQBFO-LEGMNPEXSA-N 1 2 312.272 1.864 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3c(F)cc(F)cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001233699432 887236521 /nfs/dbraw/zinc/23/65/21/887236521.db2.gz OSQCHMIVDYQBFO-LEGMNPEXSA-N 1 2 312.272 1.864 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)cn1 ZINC001233937658 887475434 /nfs/dbraw/zinc/47/54/34/887475434.db2.gz PFWNHAQTPNUKOI-DOTOQJQBSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)cn1 ZINC001233937658 887475437 /nfs/dbraw/zinc/47/54/37/887475437.db2.gz PFWNHAQTPNUKOI-DOTOQJQBSA-N 1 2 318.421 1.145 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001234130457 887672985 /nfs/dbraw/zinc/67/29/85/887672985.db2.gz MLSMQDFHBVAWBY-JKSUJKDBSA-N 1 2 321.465 1.339 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001234130457 887672990 /nfs/dbraw/zinc/67/29/90/887672990.db2.gz MLSMQDFHBVAWBY-JKSUJKDBSA-N 1 2 321.465 1.339 20 30 DDEDLO CCN(C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234196389 887737306 /nfs/dbraw/zinc/73/73/06/887737306.db2.gz SPVDGVKQTNXIDL-AWEZNQCLSA-N 1 2 307.438 1.047 20 30 DDEDLO CCN(C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234196389 887737322 /nfs/dbraw/zinc/73/73/22/887737322.db2.gz SPVDGVKQTNXIDL-AWEZNQCLSA-N 1 2 307.438 1.047 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H]1CCN(C)C1=O ZINC001234516116 888044089 /nfs/dbraw/zinc/04/40/89/888044089.db2.gz AQRYEJBOXYDNDS-JKSUJKDBSA-N 1 2 319.449 1.518 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H]1CCN(C)C1=O ZINC001234516116 888044094 /nfs/dbraw/zinc/04/40/94/888044094.db2.gz AQRYEJBOXYDNDS-JKSUJKDBSA-N 1 2 319.449 1.518 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCC(=C)C ZINC001234624299 888150723 /nfs/dbraw/zinc/15/07/23/888150723.db2.gz ASZURLJNCSCXAY-CABCVRRESA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCC(=C)C ZINC001234624299 888150726 /nfs/dbraw/zinc/15/07/26/888150726.db2.gz ASZURLJNCSCXAY-CABCVRRESA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1nccn1CC ZINC001235245190 888498815 /nfs/dbraw/zinc/49/88/15/888498815.db2.gz LJAKLDPSUYOXTJ-OAHLLOKOSA-N 1 2 322.453 1.822 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1nccn1CC ZINC001235245190 888498823 /nfs/dbraw/zinc/49/88/23/888498823.db2.gz LJAKLDPSUYOXTJ-OAHLLOKOSA-N 1 2 322.453 1.822 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1C[C@@H]2[C@H](O)CC[C@@H]2C1 ZINC001364510161 888806052 /nfs/dbraw/zinc/80/60/52/888806052.db2.gz LTFAOLPCZKAEQN-QKPAOTATSA-N 1 2 300.358 1.475 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H]2[C@H](O)CC[C@@H]2C1 ZINC001364510161 888806068 /nfs/dbraw/zinc/80/60/68/888806068.db2.gz LTFAOLPCZKAEQN-QKPAOTATSA-N 1 2 300.358 1.475 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@]2(CCOC2=O)C1 ZINC001364535742 888871941 /nfs/dbraw/zinc/87/19/41/888871941.db2.gz PBNJDZXCIOLQJI-PBHICJAKSA-N 1 2 314.341 1.411 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@]2(CCOC2=O)C1 ZINC001364535742 888871954 /nfs/dbraw/zinc/87/19/54/888871954.db2.gz PBNJDZXCIOLQJI-PBHICJAKSA-N 1 2 314.341 1.411 20 30 DDEDLO C#CCN(C(=O)[C@H]1C[C@H]1C)C1CC[NH+](Cc2cnns2)CC1 ZINC001278115029 889704587 /nfs/dbraw/zinc/70/45/87/889704587.db2.gz FVHYGDCDJREWHV-DOMZBBRYSA-N 1 2 318.446 1.620 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CCC(F)(F)[C@H](CO)C3)cn2c1 ZINC001237609147 889750488 /nfs/dbraw/zinc/75/04/88/889750488.db2.gz NZSUEGZRSKQJQU-LBPRGKRZSA-N 1 2 306.316 1.655 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CCC(F)(F)[C@H](CO)C3)cn2c1 ZINC001237609147 889750499 /nfs/dbraw/zinc/75/04/99/889750499.db2.gz NZSUEGZRSKQJQU-LBPRGKRZSA-N 1 2 306.316 1.655 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3ccncn3)CC2)ccc1C#N ZINC001238414630 890204873 /nfs/dbraw/zinc/20/48/73/890204873.db2.gz XEUJSVVGUYLFEC-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)[C@@H]1C ZINC001278325019 891121469 /nfs/dbraw/zinc/12/14/69/891121469.db2.gz HFGMUMGIHBKCHG-WCQYABFASA-N 1 2 310.423 1.210 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)[C@H]1C ZINC001278325018 891121937 /nfs/dbraw/zinc/12/19/37/891121937.db2.gz HFGMUMGIHBKCHG-DGCLKSJQSA-N 1 2 310.423 1.210 20 30 DDEDLO CCn1ccc(C[N@@H+]2CC[C@](C)(NC(=O)C#CC3CC3)C2)n1 ZINC001278388057 891911227 /nfs/dbraw/zinc/91/12/27/891911227.db2.gz IIZSEBRAWRJCGU-KRWDZBQOSA-N 1 2 300.406 1.397 20 30 DDEDLO CCn1ccc(C[N@H+]2CC[C@](C)(NC(=O)C#CC3CC3)C2)n1 ZINC001278388057 891911238 /nfs/dbraw/zinc/91/12/38/891911238.db2.gz IIZSEBRAWRJCGU-KRWDZBQOSA-N 1 2 300.406 1.397 20 30 DDEDLO C=CCCNC(=S)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001247589382 893243989 /nfs/dbraw/zinc/24/39/89/893243989.db2.gz PFNVJOSQSPGDTK-INIZCTEOSA-N 1 2 319.474 1.928 20 30 DDEDLO C=CCCNC(=S)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001247589382 893244003 /nfs/dbraw/zinc/24/40/03/893244003.db2.gz PFNVJOSQSPGDTK-INIZCTEOSA-N 1 2 319.474 1.928 20 30 DDEDLO C=CCOc1ccc(-c2noc(C[C@H]([NH3+])C(=O)OC)n2)c(F)c1 ZINC001247632217 893267257 /nfs/dbraw/zinc/26/72/57/893267257.db2.gz LYDJIDUXFQAGEV-LBPRGKRZSA-N 1 2 321.308 1.483 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)CSCC#N)CCC[N@@H+]1Cc1ccns1 ZINC001278501078 893564574 /nfs/dbraw/zinc/56/45/74/893564574.db2.gz RBRHELIRTOBART-AAEUAGOBSA-N 1 2 324.475 1.869 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)CSCC#N)CCC[N@H+]1Cc1ccns1 ZINC001278501078 893564590 /nfs/dbraw/zinc/56/45/90/893564590.db2.gz RBRHELIRTOBART-AAEUAGOBSA-N 1 2 324.475 1.869 20 30 DDEDLO C[C@H](O)[C@H]([NH3+])c1nc(-c2ccc(Br)cc2C#N)no1 ZINC001249072829 893808432 /nfs/dbraw/zinc/80/84/32/893808432.db2.gz CDMGLVFRBDIGEF-WKEGUHRASA-N 1 2 323.150 1.751 20 30 DDEDLO COc1ncccc1C[N@H+](C)CCNC(=O)c1ccc(C#N)[nH]1 ZINC001366527863 893889951 /nfs/dbraw/zinc/88/99/51/893889951.db2.gz OEWMVHVLKNMVPF-UHFFFAOYSA-N 1 2 313.361 1.152 20 30 DDEDLO COc1ncccc1C[N@@H+](C)CCNC(=O)c1ccc(C#N)[nH]1 ZINC001366527863 893889969 /nfs/dbraw/zinc/88/99/69/893889969.db2.gz OEWMVHVLKNMVPF-UHFFFAOYSA-N 1 2 313.361 1.152 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](N2CCCS2(=O)=O)C1 ZINC001250379751 894258962 /nfs/dbraw/zinc/25/89/62/894258962.db2.gz MZNIVTARVCMIEI-HNNXBMFYSA-N 1 2 305.403 1.168 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](N2CCCS2(=O)=O)C1 ZINC001250379751 894258985 /nfs/dbraw/zinc/25/89/85/894258985.db2.gz MZNIVTARVCMIEI-HNNXBMFYSA-N 1 2 305.403 1.168 20 30 DDEDLO N#Cc1c(NC[C@@H](O)C[NH+]2CCOCC2)sc2c1CCCC2 ZINC001251001512 894557842 /nfs/dbraw/zinc/55/78/42/894557842.db2.gz KDKJARRVTUHJCZ-GFCCVEGCSA-N 1 2 321.446 1.604 20 30 DDEDLO N#Cc1c2c(sc1NC[C@@H](O)C[NH+]1CCOCC1)CCC2 ZINC001251003008 894559562 /nfs/dbraw/zinc/55/95/62/894559562.db2.gz CFFRZDAJVBRGAB-LLVKDONJSA-N 1 2 307.419 1.213 20 30 DDEDLO Cc1cc(C#N)c(NC[C@@H](O)C[NH+]2CCOCC2)cc1Cl ZINC001251033486 894589461 /nfs/dbraw/zinc/58/94/61/894589461.db2.gz LCQQJRCINXXRDO-CYBMUJFWSA-N 1 2 309.797 1.625 20 30 DDEDLO C=C[C@@](C)(O)C[NH+]1CC2(C1)CN(C(=O)OC(C)(C)C)CCO2 ZINC001252549426 895260096 /nfs/dbraw/zinc/26/00/96/895260096.db2.gz IHLXVMRMQOXONE-OAHLLOKOSA-N 1 2 312.410 1.245 20 30 DDEDLO N#C[C@H]1CN(C[C@]2(O)CC[N@H+](Cc3ccccc3)C2)CCC1=O ZINC001252930395 895560167 /nfs/dbraw/zinc/56/01/67/895560167.db2.gz UUOMKVUCRDYVGC-FUHWJXTLSA-N 1 2 313.401 1.038 20 30 DDEDLO N#C[C@H]1CN(C[C@]2(O)CC[N@@H+](Cc3ccccc3)C2)CCC1=O ZINC001252930395 895560174 /nfs/dbraw/zinc/56/01/74/895560174.db2.gz UUOMKVUCRDYVGC-FUHWJXTLSA-N 1 2 313.401 1.038 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@@H+]2CC[C@@H](C#N)C2)cc1 ZINC001253051101 895621079 /nfs/dbraw/zinc/62/10/79/895621079.db2.gz DPOUPGIHUQDPHI-JKSUJKDBSA-N 1 2 304.390 1.461 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@H+]2CC[C@@H](C#N)C2)cc1 ZINC001253051101 895621088 /nfs/dbraw/zinc/62/10/88/895621088.db2.gz DPOUPGIHUQDPHI-JKSUJKDBSA-N 1 2 304.390 1.461 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CCCOc2ccccc2)C1 ZINC001278927298 897356148 /nfs/dbraw/zinc/35/61/48/897356148.db2.gz PUZWMYNAHUINGX-UHFFFAOYSA-N 1 2 318.417 1.585 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)[C@H]1CCCC[N@@H+]1Cc1ccncc1 ZINC001256532052 897465831 /nfs/dbraw/zinc/46/58/31/897465831.db2.gz GWVOLJUCWHUDPD-DLBZAZTESA-N 1 2 315.417 1.327 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)[C@H]1CCCC[N@H+]1Cc1ccncc1 ZINC001256532052 897465834 /nfs/dbraw/zinc/46/58/34/897465834.db2.gz GWVOLJUCWHUDPD-DLBZAZTESA-N 1 2 315.417 1.327 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H](C[NH2+]Cc1nnn(C)n1)C(C)(C)C ZINC001278988066 897694313 /nfs/dbraw/zinc/69/43/13/897694313.db2.gz APSQURIOQUKBLS-QWHCGFSZSA-N 1 2 322.457 1.433 20 30 DDEDLO COCC[N@H+](CCNC(=O)C#CC(C)C)Cc1cnc(C)s1 ZINC001279040538 897937010 /nfs/dbraw/zinc/93/70/10/897937010.db2.gz FEEYLGAEOCNBMK-UHFFFAOYSA-N 1 2 323.462 1.676 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C#CC(C)C)Cc1cnc(C)s1 ZINC001279040538 897937026 /nfs/dbraw/zinc/93/70/26/897937026.db2.gz FEEYLGAEOCNBMK-UHFFFAOYSA-N 1 2 323.462 1.676 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@]1(C)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001299563332 898527994 /nfs/dbraw/zinc/52/79/94/898527994.db2.gz DKTLYXQPNAJGSW-YOEHRIQHSA-N 1 2 318.421 1.593 20 30 DDEDLO COc1ccc(CS(=O)(=O)NC2(C#N)CC[NH+](C)CC2)cc1 ZINC001259906292 898913462 /nfs/dbraw/zinc/91/34/62/898913462.db2.gz VTUGWJSRVBXLFZ-UHFFFAOYSA-N 1 2 323.418 1.103 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N2CCc3[nH+]ccn3CC2)cc1 ZINC001260042520 899025798 /nfs/dbraw/zinc/02/57/98/899025798.db2.gz ZNKINODSODYFKJ-UHFFFAOYSA-N 1 2 316.386 1.143 20 30 DDEDLO CC[C@@H](CNC(=O)c1cccc2[nH+]ccn21)NC(=O)[C@@H](C)C#N ZINC001390556490 899666207 /nfs/dbraw/zinc/66/62/07/899666207.db2.gz SCNIISPVZOMFGH-RYUDHWBXSA-N 1 2 313.361 1.119 20 30 DDEDLO CC[N@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1nc(C)c(C)o1 ZINC001390899059 900467955 /nfs/dbraw/zinc/46/79/55/900467955.db2.gz FZPZCDCPJYGZTA-UHFFFAOYSA-N 1 2 315.377 1.743 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1nc(C)c(C)o1 ZINC001390899059 900467962 /nfs/dbraw/zinc/46/79/62/900467962.db2.gz FZPZCDCPJYGZTA-UHFFFAOYSA-N 1 2 315.377 1.743 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCCC#N)n2CC(=C)C)CC1 ZINC001263311395 900569650 /nfs/dbraw/zinc/56/96/50/900569650.db2.gz HJXMGVDTUHPQBJ-UHFFFAOYSA-N 1 2 312.421 1.456 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2[C@@H](CC)C(N)=O)CCCC1 ZINC001263823062 900739183 /nfs/dbraw/zinc/73/91/83/900739183.db2.gz GZZMKNRQUQESNQ-CABCVRRESA-N 1 2 321.465 1.920 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@@H](CC)C(N)=O)CCCC1 ZINC001263823062 900739188 /nfs/dbraw/zinc/73/91/88/900739188.db2.gz GZZMKNRQUQESNQ-CABCVRRESA-N 1 2 321.465 1.920 20 30 DDEDLO Cc1conc1C[N@@H+](C)CCOCCN(C)C(=O)C#CC(C)C ZINC001264663787 901260563 /nfs/dbraw/zinc/26/05/63/901260563.db2.gz QZVWHMMUFIYNNP-UHFFFAOYSA-N 1 2 321.421 1.549 20 30 DDEDLO Cc1conc1C[N@H+](C)CCOCCN(C)C(=O)C#CC(C)C ZINC001264663787 901260578 /nfs/dbraw/zinc/26/05/78/901260578.db2.gz QZVWHMMUFIYNNP-UHFFFAOYSA-N 1 2 321.421 1.549 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CCC[N@@H+]([C@@H](C)C(N)=O)C2)CCCCC1 ZINC001265235665 901756527 /nfs/dbraw/zinc/75/65/27/901756527.db2.gz UMKGNVHUUJLXHC-GJZGRUSLSA-N 1 2 319.449 1.415 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CCC[N@H+]([C@@H](C)C(N)=O)C2)CCCCC1 ZINC001265235665 901756537 /nfs/dbraw/zinc/75/65/37/901756537.db2.gz UMKGNVHUUJLXHC-GJZGRUSLSA-N 1 2 319.449 1.415 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)CC1 ZINC001265261113 901783546 /nfs/dbraw/zinc/78/35/46/901783546.db2.gz AQFYYOKGCRBWKW-OSYLJGHBSA-N 1 2 303.450 1.180 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)CC1 ZINC001265264239 901787580 /nfs/dbraw/zinc/78/75/80/901787580.db2.gz JJEIMPVCEHVITC-FVQHAEBGSA-N 1 2 318.465 1.460 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001265301217 901853890 /nfs/dbraw/zinc/85/38/90/901853890.db2.gz GCQZEQOSHCOLBJ-QAPCUYQASA-N 1 2 321.465 1.838 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001265301217 901853901 /nfs/dbraw/zinc/85/39/01/901853901.db2.gz GCQZEQOSHCOLBJ-QAPCUYQASA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@H+](CC(=O)NC3CC3)C2)CCCC1 ZINC001265322056 901883064 /nfs/dbraw/zinc/88/30/64/901883064.db2.gz XBQLAZUNSQUJRY-HNNXBMFYSA-N 1 2 319.449 1.592 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@@H+](CC(=O)NC3CC3)C2)CCCC1 ZINC001265322056 901883076 /nfs/dbraw/zinc/88/30/76/901883076.db2.gz XBQLAZUNSQUJRY-HNNXBMFYSA-N 1 2 319.449 1.592 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCCNC(=O)C(C)(C)C ZINC001265410368 901985311 /nfs/dbraw/zinc/98/53/11/901985311.db2.gz SXFLUKCUNKZDLC-GASCZTMLSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCCNC(=O)C(C)(C)C ZINC001265410368 901985317 /nfs/dbraw/zinc/98/53/17/901985317.db2.gz SXFLUKCUNKZDLC-GASCZTMLSA-N 1 2 319.449 1.237 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCC[N@H+](Cc2nccn2C)C1 ZINC001265582952 902159792 /nfs/dbraw/zinc/15/97/92/902159792.db2.gz XSQFYVFIQLNRQT-OAHLLOKOSA-N 1 2 302.422 1.408 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCC[N@@H+](Cc2nccn2C)C1 ZINC001265582952 902159797 /nfs/dbraw/zinc/15/97/97/902159797.db2.gz XSQFYVFIQLNRQT-OAHLLOKOSA-N 1 2 302.422 1.408 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2cnc(C)cn2)C1 ZINC001266208779 903115191 /nfs/dbraw/zinc/11/51/91/903115191.db2.gz GEFBQCMSVFWNNC-CQSZACIVSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2cnc(C)cn2)C1 ZINC001266208779 903115199 /nfs/dbraw/zinc/11/51/99/903115199.db2.gz GEFBQCMSVFWNNC-CQSZACIVSA-N 1 2 304.394 1.068 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2CCCCCC2)C1 ZINC001266208886 903115435 /nfs/dbraw/zinc/11/54/35/903115435.db2.gz HBYQGDXQQSHEEM-INIZCTEOSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2CCCCCC2)C1 ZINC001266208886 903115441 /nfs/dbraw/zinc/11/54/41/903115441.db2.gz HBYQGDXQQSHEEM-INIZCTEOSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@]2(C)CC=CCC2)C1 ZINC001266218515 903133400 /nfs/dbraw/zinc/13/34/00/903133400.db2.gz RCWXGYPCJLJKSN-MAUKXSAKSA-N 1 2 317.433 1.063 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@]2(C)CC=CCC2)C1 ZINC001266218515 903133409 /nfs/dbraw/zinc/13/34/09/903133409.db2.gz RCWXGYPCJLJKSN-MAUKXSAKSA-N 1 2 317.433 1.063 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@@H](C)C[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001392053763 903181042 /nfs/dbraw/zinc/18/10/42/903181042.db2.gz VWVGDJQKAVJHGV-MNOVXSKESA-N 1 2 307.398 1.463 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)CCc2nccn2C)CC1 ZINC001279607149 903351976 /nfs/dbraw/zinc/35/19/76/903351976.db2.gz HJUFSMYGFANABU-UHFFFAOYSA-N 1 2 322.840 1.854 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)CCc2nccn2C)CC1 ZINC001279607149 903351986 /nfs/dbraw/zinc/35/19/86/903351986.db2.gz HJUFSMYGFANABU-UHFFFAOYSA-N 1 2 322.840 1.854 20 30 DDEDLO C=CC(C)(C)C(=O)N(CCO)CC[NH2+][C@H](C)c1csnn1 ZINC001279791481 903417381 /nfs/dbraw/zinc/41/73/81/903417381.db2.gz GXRNYLANWOYMHM-LLVKDONJSA-N 1 2 312.439 1.222 20 30 DDEDLO C=CCCC(=O)NCC1CC(NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001280015676 903487886 /nfs/dbraw/zinc/48/78/86/903487886.db2.gz SAJVTURZXZUZJY-HSBZDZAISA-N 1 2 318.421 1.566 20 30 DDEDLO C=CCCC(=O)NCC1CC(NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001280015676 903487892 /nfs/dbraw/zinc/48/78/92/903487892.db2.gz SAJVTURZXZUZJY-HSBZDZAISA-N 1 2 318.421 1.566 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@@H]2CCN(C)C2=O)CCC1 ZINC001316601106 903515859 /nfs/dbraw/zinc/51/58/59/903515859.db2.gz AWULBCQALQKNLO-LSDHHAIUSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@@H]2CCN(C)C2=O)CCC1 ZINC001316601106 903515871 /nfs/dbraw/zinc/51/58/71/903515871.db2.gz AWULBCQALQKNLO-LSDHHAIUSA-N 1 2 319.449 1.496 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccc(C#N)cc1F)C(=O)CC(C)(C)O ZINC001392271424 903719916 /nfs/dbraw/zinc/71/99/16/903719916.db2.gz DOCXRUKQUCDELV-UHFFFAOYSA-N 1 2 321.396 1.749 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccc(C#N)cc1F)C(=O)CC(C)(C)O ZINC001392271424 903719929 /nfs/dbraw/zinc/71/99/29/903719929.db2.gz DOCXRUKQUCDELV-UHFFFAOYSA-N 1 2 321.396 1.749 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001280504016 903743573 /nfs/dbraw/zinc/74/35/73/903743573.db2.gz OMARCSBBCQNHNE-GUYCJALGSA-N 1 2 320.437 1.908 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001280504016 903743578 /nfs/dbraw/zinc/74/35/78/903743578.db2.gz OMARCSBBCQNHNE-GUYCJALGSA-N 1 2 320.437 1.908 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001293903473 914759053 /nfs/dbraw/zinc/75/90/53/914759053.db2.gz OMPMTNOETFJBDW-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCC[N@H+](Cc2nnnn2C)CC1 ZINC001280756851 903996363 /nfs/dbraw/zinc/99/63/63/903996363.db2.gz PBPDUUCPCYUBDR-KGLIPLIRSA-N 1 2 320.441 1.283 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCC[N@@H+](Cc2nnnn2C)CC1 ZINC001280756851 903996371 /nfs/dbraw/zinc/99/63/71/903996371.db2.gz PBPDUUCPCYUBDR-KGLIPLIRSA-N 1 2 320.441 1.283 20 30 DDEDLO CC#CCCCC(=O)N(C)CCC[N@H+](C)Cc1nccn1C ZINC001280849178 904113449 /nfs/dbraw/zinc/11/34/49/904113449.db2.gz YVLPDUZHPLSOEG-UHFFFAOYSA-N 1 2 304.438 1.894 20 30 DDEDLO CC#CCCCC(=O)N(C)CCC[N@@H+](C)Cc1nccn1C ZINC001280849178 904113455 /nfs/dbraw/zinc/11/34/55/904113455.db2.gz YVLPDUZHPLSOEG-UHFFFAOYSA-N 1 2 304.438 1.894 20 30 DDEDLO C=CCC[C@@H](C)[NH+]1CC2(C1)CN(C(=O)c1cc(C)[nH]n1)CCO2 ZINC001280889340 904152136 /nfs/dbraw/zinc/15/21/36/904152136.db2.gz NTNYNHZCMKXZHB-CQSZACIVSA-N 1 2 318.421 1.600 20 30 DDEDLO CO[C@@H](C)C[NH+]1CC2(C1)C[C@H](NC(=O)C#CC(C)(C)C)CO2 ZINC001280949271 904233017 /nfs/dbraw/zinc/23/30/17/904233017.db2.gz ZMPKJAGPVRNPEC-KBPBESRZSA-N 1 2 308.422 1.030 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H](C)[NH2+]Cc1noc(-c2ccccc2)n1 ZINC001371145952 904347765 /nfs/dbraw/zinc/34/77/65/904347765.db2.gz YKDWUHLNWJOPDP-VXGBXAGGSA-N 1 2 313.361 1.491 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001282016837 905409161 /nfs/dbraw/zinc/40/91/61/905409161.db2.gz NICVOELQYHJICV-CHWSQXEVSA-N 1 2 318.421 1.708 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CCN1C(=O)Cn1cc[nH+]c1 ZINC001282031196 905436383 /nfs/dbraw/zinc/43/63/83/905436383.db2.gz HZDSXPIPQHRUCC-OAHLLOKOSA-N 1 2 316.405 1.136 20 30 DDEDLO C=CCCCC(=O)NC1(CCO)C[NH+](C[C@H]2CC(C)(C)CO2)C1 ZINC001282529694 905854779 /nfs/dbraw/zinc/85/47/79/905854779.db2.gz LDRTUQRWBIIPJO-OAHLLOKOSA-N 1 2 324.465 1.711 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2c(C)cccc2C)C1 ZINC001282537534 905857019 /nfs/dbraw/zinc/85/70/19/905857019.db2.gz GIUWOLDWQWFHSB-UHFFFAOYSA-N 1 2 300.402 1.493 20 30 DDEDLO C=C(C)C[N@H+]1CCCCC[C@H]1CNC(=O)[C@@H](C)S(C)(=O)=O ZINC001282666263 905931172 /nfs/dbraw/zinc/93/11/72/905931172.db2.gz QJXVSPFPALYOOM-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)C[N@@H+]1CCCCC[C@H]1CNC(=O)[C@@H](C)S(C)(=O)=O ZINC001282666263 905931188 /nfs/dbraw/zinc/93/11/88/905931188.db2.gz QJXVSPFPALYOOM-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)OCCCC)C2)C1 ZINC001282774785 906027901 /nfs/dbraw/zinc/02/79/01/906027901.db2.gz KPQYCIDEEOVOFR-RDJZCZTQSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)OCCCC)C2)C1 ZINC001282774785 906027920 /nfs/dbraw/zinc/02/79/20/906027920.db2.gz KPQYCIDEEOVOFR-RDJZCZTQSA-N 1 2 310.438 1.681 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]CCC[C@@H](C)NC(=O)C#CC(C)C)no1 ZINC001283163561 906773221 /nfs/dbraw/zinc/77/32/21/906773221.db2.gz DYZJBTQWLCLFQS-CHWSQXEVSA-N 1 2 306.410 1.973 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@@H](C[NH2+]Cc1nnn(C)n1)C1CC1 ZINC001283532369 907550898 /nfs/dbraw/zinc/55/08/98/907550898.db2.gz NEOMXCGINLTQAN-XJKSGUPXSA-N 1 2 320.441 1.139 20 30 DDEDLO C=CCCC(=O)N[C@@]12CCC[C@H]1[N@H+](Cc1ncnn1CC)CC2 ZINC001284043669 908375427 /nfs/dbraw/zinc/37/54/27/908375427.db2.gz FDJSDUHRXKUZKP-RHSMWYFYSA-N 1 2 317.437 1.877 20 30 DDEDLO C=CCCC(=O)N[C@@]12CCC[C@H]1[N@@H+](Cc1ncnn1CC)CC2 ZINC001284043669 908375443 /nfs/dbraw/zinc/37/54/43/908375443.db2.gz FDJSDUHRXKUZKP-RHSMWYFYSA-N 1 2 317.437 1.877 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cnc2n[nH]cc2c1)C1CC1 ZINC001372836048 908391597 /nfs/dbraw/zinc/39/15/97/908391597.db2.gz XRTDGDYMBOZVMI-UHFFFAOYSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cnc2n[nH]cc2c1)C1CC1 ZINC001372836048 908391611 /nfs/dbraw/zinc/39/16/11/908391611.db2.gz XRTDGDYMBOZVMI-UHFFFAOYSA-N 1 2 319.796 1.905 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001284271694 908756001 /nfs/dbraw/zinc/75/60/01/908756001.db2.gz XAWPDANUBSXRLA-LBPRGKRZSA-N 1 2 306.410 1.514 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001284293649 908785133 /nfs/dbraw/zinc/78/51/33/908785133.db2.gz HHVRLBAANNWLJM-LLVKDONJSA-N 1 2 306.410 1.484 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001284331684 908839432 /nfs/dbraw/zinc/83/94/32/908839432.db2.gz LTECDMLRNCXRTA-JHJVBQTASA-N 1 2 318.421 1.357 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H](O)C[NH2+]Cc2csnn2)CC1 ZINC001284443187 909009250 /nfs/dbraw/zinc/00/92/50/909009250.db2.gz BELFXRWMALTPQD-AWEZNQCLSA-N 1 2 324.450 1.193 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCCCC[N@@H+]1Cc1cnns1 ZINC001394203016 909065921 /nfs/dbraw/zinc/06/59/21/909065921.db2.gz FIDQGZNCEVIPHW-NEPJUHHUSA-N 1 2 307.423 1.559 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCCCC[N@H+]1Cc1cnns1 ZINC001394203016 909065942 /nfs/dbraw/zinc/06/59/42/909065942.db2.gz FIDQGZNCEVIPHW-NEPJUHHUSA-N 1 2 307.423 1.559 20 30 DDEDLO C#CCCCC(=O)NC1CN(C(=O)c2ccn3c(C)c[nH+]c3c2)C1 ZINC001284897357 909675531 /nfs/dbraw/zinc/67/55/31/909675531.db2.gz VBCMVSTTXNEKOC-UHFFFAOYSA-N 1 2 324.384 1.387 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284914428 909722991 /nfs/dbraw/zinc/72/29/91/909722991.db2.gz AQBGDJXOFCPWFW-MRXNPFEDSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284914428 909722999 /nfs/dbraw/zinc/72/29/99/909722999.db2.gz AQBGDJXOFCPWFW-MRXNPFEDSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N[C@@H](CC)CNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001285072407 910032905 /nfs/dbraw/zinc/03/29/05/910032905.db2.gz WZGHBUYJFOYTSV-AWEZNQCLSA-N 1 2 320.437 1.904 20 30 DDEDLO C=CCCC(=O)N[C@](C)(CNC(=O)Cc1c[nH+]cn1C)C1CC1 ZINC001285132761 910104913 /nfs/dbraw/zinc/10/49/13/910104913.db2.gz YWSHGWSJLQKQHL-QGZVFWFLSA-N 1 2 318.421 1.330 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H](C)NC(=O)Cc1c[nH+]ccc1OC ZINC001285322835 910358661 /nfs/dbraw/zinc/35/86/61/910358661.db2.gz UNAKITXOSVVGKJ-OLZOCXBDSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[C@H]1CNC(=O)CCc1[nH]cc[nH+]1 ZINC001285401428 910469163 /nfs/dbraw/zinc/46/91/63/910469163.db2.gz PEZRNAUNBHPSLD-UONOGXRCSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001285671590 911011259 /nfs/dbraw/zinc/01/12/59/911011259.db2.gz HYNNBOHPWOFWGX-OLZOCXBDSA-N 1 2 306.410 1.186 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@](C)(C[NH2+]Cc1noc(C2CC2)n1)C1CC1 ZINC001373873405 911245908 /nfs/dbraw/zinc/24/59/08/911245908.db2.gz IXWDLQKYHBIMHI-HWPZZCPQSA-N 1 2 317.393 1.481 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001287369734 912207209 /nfs/dbraw/zinc/20/72/09/912207209.db2.gz FVQHMERIBWIHPH-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001294760245 915344934 /nfs/dbraw/zinc/34/49/34/915344934.db2.gz MLNXAEWVFDJRKK-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH+]cn2C)C1 ZINC001294786794 915366469 /nfs/dbraw/zinc/36/64/69/915366469.db2.gz LPKLBJZWOKLKBX-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001295211930 915652470 /nfs/dbraw/zinc/65/24/70/915652470.db2.gz YJTJCQUKQRQQAR-OSRDXIQISA-N 1 2 318.421 1.564 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001295211930 915652487 /nfs/dbraw/zinc/65/24/87/915652487.db2.gz YJTJCQUKQRQQAR-OSRDXIQISA-N 1 2 318.421 1.564 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001296223527 916284763 /nfs/dbraw/zinc/28/47/63/916284763.db2.gz UVSUUYHSBQSTRH-MRXNPFEDSA-N 1 2 306.410 1.438 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](CCN(C)C(=O)[C@@H]2C[C@H]2C)CC1 ZINC001296826109 916582991 /nfs/dbraw/zinc/58/29/91/916582991.db2.gz AEEVFLRAGFURSM-HUUCEWRRSA-N 1 2 307.438 1.211 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)C2CCOCC2)C1 ZINC001376454644 918531331 /nfs/dbraw/zinc/53/13/31/918531331.db2.gz QMEMOJKHSXPOBJ-OAHLLOKOSA-N 1 2 316.829 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)C2CCOCC2)C1 ZINC001376454644 918531342 /nfs/dbraw/zinc/53/13/42/918531342.db2.gz QMEMOJKHSXPOBJ-OAHLLOKOSA-N 1 2 316.829 1.109 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC[N@H+](Cc2nc(C3CC3)no2)C1 ZINC001376532706 918707262 /nfs/dbraw/zinc/70/72/62/918707262.db2.gz LYYGUEPMSRYVTJ-NWDGAFQWSA-N 1 2 317.393 1.435 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC[N@@H+](Cc2nc(C3CC3)no2)C1 ZINC001376532706 918707275 /nfs/dbraw/zinc/70/72/75/918707275.db2.gz LYYGUEPMSRYVTJ-NWDGAFQWSA-N 1 2 317.393 1.435 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@H+](Cc2nccn2C(F)F)C1 ZINC001377441172 921922651 /nfs/dbraw/zinc/92/26/51/921922651.db2.gz RVJJOQMXXKBBGH-MNOVXSKESA-N 1 2 311.336 1.376 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@@H+](Cc2nccn2C(F)F)C1 ZINC001377441172 921922658 /nfs/dbraw/zinc/92/26/58/921922658.db2.gz RVJJOQMXXKBBGH-MNOVXSKESA-N 1 2 311.336 1.376 20 30 DDEDLO Cn1cnc(C(=O)NCC[N@H+](Cc2ccccc2C#N)C2CC2)n1 ZINC001377525073 922223851 /nfs/dbraw/zinc/22/38/51/922223851.db2.gz AXNWWNFSAMLJSG-UHFFFAOYSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cnc(C(=O)NCC[N@@H+](Cc2ccccc2C#N)C2CC2)n1 ZINC001377525073 922223868 /nfs/dbraw/zinc/22/38/68/922223868.db2.gz AXNWWNFSAMLJSG-UHFFFAOYSA-N 1 2 324.388 1.081 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)C[C@H]2CCCO2)C1 ZINC001377962826 923530843 /nfs/dbraw/zinc/53/08/43/923530843.db2.gz XDQXJIIIKLFBTC-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO Cc1cc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cc2)on1 ZINC000163080472 306680955 /nfs/dbraw/zinc/68/09/55/306680955.db2.gz WOLIWURUTHSLIC-UHFFFAOYSA-N 1 2 305.359 1.418 20 30 DDEDLO CCC[N@H+](CC(=O)NCC#N)CC(=O)Nc1ccccc1C ZINC000076668516 185030900 /nfs/dbraw/zinc/03/09/00/185030900.db2.gz DYAVIRRNROWOKA-UHFFFAOYSA-N 1 2 302.378 1.285 20 30 DDEDLO CCC[N@@H+](CC(=O)NCC#N)CC(=O)Nc1ccccc1C ZINC000076668516 185030903 /nfs/dbraw/zinc/03/09/03/185030903.db2.gz DYAVIRRNROWOKA-UHFFFAOYSA-N 1 2 302.378 1.285 20 30 DDEDLO CC[C@H](NC(=O)NC[C@@H]1C[N@H+](C)CCO1)c1c(C)nn(C)c1C ZINC000330942025 529454529 /nfs/dbraw/zinc/45/45/29/529454529.db2.gz ZKHFQDZUSCKDJC-KGLIPLIRSA-N 1 2 323.441 1.322 20 30 DDEDLO CC[C@H](NC(=O)NC[C@@H]1C[N@@H+](C)CCO1)c1c(C)nn(C)c1C ZINC000330942025 529454530 /nfs/dbraw/zinc/45/45/30/529454530.db2.gz ZKHFQDZUSCKDJC-KGLIPLIRSA-N 1 2 323.441 1.322 20 30 DDEDLO CC[C@H](C(=O)N(CCC#N)CCC#N)[N@@H+]1CCO[C@H](CC)C1 ZINC000339250710 529592557 /nfs/dbraw/zinc/59/25/57/529592557.db2.gz IHDXEHGSOLZBHW-HUUCEWRRSA-N 1 2 306.410 1.532 20 30 DDEDLO CC[C@H](C(=O)N(CCC#N)CCC#N)[N@H+]1CCO[C@H](CC)C1 ZINC000339250710 529592558 /nfs/dbraw/zinc/59/25/58/529592558.db2.gz IHDXEHGSOLZBHW-HUUCEWRRSA-N 1 2 306.410 1.532 20 30 DDEDLO O=C(N[C@H]1CCN(c2cccc[nH+]2)C1)N1CC2(C1)CCCOC2 ZINC000329560217 539305633 /nfs/dbraw/zinc/30/56/33/539305633.db2.gz RDTTYSMXWKYPJI-AWEZNQCLSA-N 1 2 316.405 1.687 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000092844003 185345513 /nfs/dbraw/zinc/34/55/13/185345513.db2.gz YLTYHIWQGDGFBH-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000092844003 185345514 /nfs/dbraw/zinc/34/55/14/185345514.db2.gz YLTYHIWQGDGFBH-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CNC(=O)CCOC1CCN(c2cc(C)[nH+]cc2C#N)CC1 ZINC000425236597 529859574 /nfs/dbraw/zinc/85/95/74/529859574.db2.gz QMCMENNRUJNRJV-UHFFFAOYSA-N 1 2 302.378 1.383 20 30 DDEDLO CN(CC(C)(C)O)C(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000330995305 529848150 /nfs/dbraw/zinc/84/81/50/529848150.db2.gz WTVDBHOLHKOJKF-UHFFFAOYSA-N 1 2 308.304 1.562 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)CCCC2=O ZINC000414147721 529868533 /nfs/dbraw/zinc/86/85/33/529868533.db2.gz RVEARHCONLXZEH-CQSZACIVSA-N 1 2 302.374 1.791 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)CCCC2=O ZINC000414147721 529868534 /nfs/dbraw/zinc/86/85/34/529868534.db2.gz RVEARHCONLXZEH-CQSZACIVSA-N 1 2 302.374 1.791 20 30 DDEDLO CNC(=O)c1cccc(NC(=O)[C@H](C)[NH2+][C@H]2CCC[C@@H]2C#N)c1 ZINC000459457322 529950280 /nfs/dbraw/zinc/95/02/80/529950280.db2.gz DDRBQBMCBXSAGG-LNSITVRQSA-N 1 2 314.389 1.655 20 30 DDEDLO CCC(CC)[C@H](C(=O)N1CC[C@](F)(C#N)C1)[NH+]1CCOCC1 ZINC000615825054 362454822 /nfs/dbraw/zinc/45/48/22/362454822.db2.gz GVEBEMKYIGQJKT-ZBFHGGJFSA-N 1 2 311.401 1.588 20 30 DDEDLO C=CCCOCCNC(=O)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000289369863 222684053 /nfs/dbraw/zinc/68/40/53/222684053.db2.gz JKRACNUDEMVNAO-ZDUSSCGKSA-N 1 2 320.393 1.172 20 30 DDEDLO CN(Cc1[nH+]ccn1C)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000299546289 530027321 /nfs/dbraw/zinc/02/73/21/530027321.db2.gz FTLOOIXBIAJPPI-OAHLLOKOSA-N 1 2 309.373 1.529 20 30 DDEDLO Cc1cc(C#N)nc(NC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000286860277 219198759 /nfs/dbraw/zinc/19/87/59/219198759.db2.gz HCVMZBUJMMCVCE-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cc(C#N)nc(NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000286860277 219198762 /nfs/dbraw/zinc/19/87/62/219198762.db2.gz HCVMZBUJMMCVCE-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)c1 ZINC000601224589 358454138 /nfs/dbraw/zinc/45/41/38/358454138.db2.gz HWSDHBZEOJGVMC-INIZCTEOSA-N 1 2 314.389 1.887 20 30 DDEDLO N#CCCN(CCn1cc(Cl)cn1)CC[NH+]1CCOCC1 ZINC000459355553 233126015 /nfs/dbraw/zinc/12/60/15/233126015.db2.gz KDWIKLBIKKDSOO-UHFFFAOYSA-N 1 2 311.817 1.084 20 30 DDEDLO CC[C@@H](C)NC(=O)CN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000023257722 352183352 /nfs/dbraw/zinc/18/33/52/352183352.db2.gz GCWOQXQNMRGFLD-OAHLLOKOSA-N 1 2 314.433 1.591 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2nccs2)CC1 ZINC000054635422 352699836 /nfs/dbraw/zinc/69/98/36/352699836.db2.gz BUKBBRJLLVCUDU-LBPRGKRZSA-N 1 2 307.423 1.026 20 30 DDEDLO C[C@@H](C#N)CN(C)CC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000064797558 352944155 /nfs/dbraw/zinc/94/41/55/352944155.db2.gz WGJSJJPASKWRRP-AWEZNQCLSA-N 1 2 304.394 1.015 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@H+](C)C[C@@H](C)C#N)CC1 ZINC000066469756 352998631 /nfs/dbraw/zinc/99/86/31/352998631.db2.gz MPFFQXJJOYVYTC-STQMWFEESA-N 1 2 309.410 1.268 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@@H+](C)C[C@@H](C)C#N)CC1 ZINC000066469756 352998634 /nfs/dbraw/zinc/99/86/34/352998634.db2.gz MPFFQXJJOYVYTC-STQMWFEESA-N 1 2 309.410 1.268 20 30 DDEDLO CCOC(=O)c1cnc(N2CC[NH+](CCCC#N)CC2)s1 ZINC000075968525 353388664 /nfs/dbraw/zinc/38/86/64/353388664.db2.gz CLRMPXYPDGOHRL-UHFFFAOYSA-N 1 2 308.407 1.746 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C2CCCCC2)C[C@H]1C(=O)OC ZINC000076179756 353403476 /nfs/dbraw/zinc/40/34/76/353403476.db2.gz KWDXSJPOMSMKNY-GJZGRUSLSA-N 1 2 308.422 1.732 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C2CCCCC2)C[C@H]1C(=O)OC ZINC000076179756 353403479 /nfs/dbraw/zinc/40/34/79/353403479.db2.gz KWDXSJPOMSMKNY-GJZGRUSLSA-N 1 2 308.422 1.732 20 30 DDEDLO C#CC[NH+]1CCN(c2ncnc3nc(SC)sc32)CC1 ZINC000089592128 353775790 /nfs/dbraw/zinc/77/57/90/353775790.db2.gz XNIAERZXAULEFV-UHFFFAOYSA-N 1 2 305.432 1.563 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(c2ccc3cc(C#N)ccc3n2)CC1 ZINC000092887245 353873294 /nfs/dbraw/zinc/87/32/94/353873294.db2.gz HSKFIFRKFWAUQT-UHFFFAOYSA-N 1 2 323.400 1.365 20 30 DDEDLO C[C@@H](C#N)CNC(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000182780504 354275469 /nfs/dbraw/zinc/27/54/69/354275469.db2.gz AYEIXNHGRGHZJZ-LBPRGKRZSA-N 1 2 307.423 1.520 20 30 DDEDLO C[C@@H](C#N)CNC(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000182780504 354275473 /nfs/dbraw/zinc/27/54/73/354275473.db2.gz AYEIXNHGRGHZJZ-LBPRGKRZSA-N 1 2 307.423 1.520 20 30 DDEDLO C[C@H](C#N)CNC(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000182780484 354275483 /nfs/dbraw/zinc/27/54/83/354275483.db2.gz AYEIXNHGRGHZJZ-GFCCVEGCSA-N 1 2 307.423 1.520 20 30 DDEDLO C[C@H](C#N)CNC(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000182780484 354275484 /nfs/dbraw/zinc/27/54/84/354275484.db2.gz AYEIXNHGRGHZJZ-GFCCVEGCSA-N 1 2 307.423 1.520 20 30 DDEDLO COc1cc(C[NH+]2CCC(S(C)(=O)=O)CC2)ccc1C#N ZINC000313911913 354482289 /nfs/dbraw/zinc/48/22/89/354482289.db2.gz UISOLDUZTSLGTC-UHFFFAOYSA-N 1 2 308.403 1.576 20 30 DDEDLO C=CCNC(=O)c1cccc(-c2noc(Cc3c[nH+]cn3C)n2)c1 ZINC000350399034 354603329 /nfs/dbraw/zinc/60/33/29/354603329.db2.gz BDRVDRPZJGPVSQ-UHFFFAOYSA-N 1 2 323.356 1.977 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1noc2ccc(F)cc12 ZINC000581729754 354736690 /nfs/dbraw/zinc/73/66/90/354736690.db2.gz JGUXBQQLMHTLLW-OAHLLOKOSA-N 1 2 304.325 1.469 20 30 DDEDLO C[NH+](C)Cc1nc(C(C)(C)NC(=O)CCCCCC#N)no1 ZINC000588306184 354914862 /nfs/dbraw/zinc/91/48/62/354914862.db2.gz NNAMGFBOYACVCW-UHFFFAOYSA-N 1 2 307.398 1.957 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)c2cc(C#N)cn2C)CC1 ZINC000332493039 235030047 /nfs/dbraw/zinc/03/00/47/235030047.db2.gz XJRGHSRLNLEBDW-UHFFFAOYSA-N 1 2 309.373 1.563 20 30 DDEDLO N#Cc1ccncc1N1CCN(C(=O)CCCn2cc[nH+]c2)CC1 ZINC000590047462 355044185 /nfs/dbraw/zinc/04/41/85/355044185.db2.gz RCZAJQSOJRHFCF-UHFFFAOYSA-N 1 2 324.388 1.279 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000590052020 355044699 /nfs/dbraw/zinc/04/46/99/355044699.db2.gz ZADIOHMUEQXOPG-CYBMUJFWSA-N 1 2 311.370 1.935 20 30 DDEDLO N#Cc1csc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000590052020 355044703 /nfs/dbraw/zinc/04/47/03/355044703.db2.gz ZADIOHMUEQXOPG-CYBMUJFWSA-N 1 2 311.370 1.935 20 30 DDEDLO COC(=O)c1n[nH]c2c1C[N@H+](Cc1ccc(C#N)cc1C)CC2 ZINC000590760328 355169591 /nfs/dbraw/zinc/16/95/91/355169591.db2.gz VUHXNXZSFSSGSH-UHFFFAOYSA-N 1 2 310.357 1.935 20 30 DDEDLO COC(=O)c1n[nH]c2c1C[N@@H+](Cc1ccc(C#N)cc1C)CC2 ZINC000590760328 355169595 /nfs/dbraw/zinc/16/95/95/355169595.db2.gz VUHXNXZSFSSGSH-UHFFFAOYSA-N 1 2 310.357 1.935 20 30 DDEDLO Cc1cccc2[nH+]c(CNC(=O)N3CC[C@@](O)(CC#N)C3)cn21 ZINC000591956093 355445727 /nfs/dbraw/zinc/44/57/27/355445727.db2.gz SILXZCDKHMEVGJ-INIZCTEOSA-N 1 2 313.361 1.203 20 30 DDEDLO N#CCCC1CCN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)CC1 ZINC000591957632 355446688 /nfs/dbraw/zinc/44/66/88/355446688.db2.gz RUXLISZQWPGCNU-OAHLLOKOSA-N 1 2 315.421 1.958 20 30 DDEDLO N#CCCC1CCN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)CC1 ZINC000591957632 355446691 /nfs/dbraw/zinc/44/66/91/355446691.db2.gz RUXLISZQWPGCNU-OAHLLOKOSA-N 1 2 315.421 1.958 20 30 DDEDLO COc1ccccc1CN(C)C(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148619 355515484 /nfs/dbraw/zinc/51/54/84/355515484.db2.gz XUADDAZGFGENEJ-KRWDZBQOSA-N 1 2 317.389 1.004 20 30 DDEDLO COc1ccccc1CN(C)C(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148619 355515486 /nfs/dbraw/zinc/51/54/86/355515486.db2.gz XUADDAZGFGENEJ-KRWDZBQOSA-N 1 2 317.389 1.004 20 30 DDEDLO COc1ccc(NC(=O)[C@@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592149483 355516485 /nfs/dbraw/zinc/51/64/85/355516485.db2.gz PRIWXMPJEHNBRP-WBMJQRKESA-N 1 2 303.362 1.373 20 30 DDEDLO COc1ccc(NC(=O)[C@@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592149483 355516490 /nfs/dbraw/zinc/51/64/90/355516490.db2.gz PRIWXMPJEHNBRP-WBMJQRKESA-N 1 2 303.362 1.373 20 30 DDEDLO CCOc1ccc([N+](=O)[O-])cc1C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592151188 355517622 /nfs/dbraw/zinc/51/76/22/355517622.db2.gz NVZNWTPQJGOFFM-OAHLLOKOSA-N 1 2 305.334 1.844 20 30 DDEDLO CCOc1ccc([N+](=O)[O-])cc1C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592151188 355517624 /nfs/dbraw/zinc/51/76/24/355517624.db2.gz NVZNWTPQJGOFFM-OAHLLOKOSA-N 1 2 305.334 1.844 20 30 DDEDLO CCO[C@@H](C)c1nc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)cs1 ZINC000593154423 355813094 /nfs/dbraw/zinc/81/30/94/355813094.db2.gz JWUJXUZUBGCTTJ-NHYWBVRUSA-N 1 2 324.450 1.743 20 30 DDEDLO CC(C)Oc1cccc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000593155525 355813542 /nfs/dbraw/zinc/81/35/42/355813542.db2.gz ZBAMSFCHJMHDLE-QGZVFWFLSA-N 1 2 303.406 1.976 20 30 DDEDLO Cc1ccc(C(=O)N(C)C)cc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000593343564 355858784 /nfs/dbraw/zinc/85/87/84/355858784.db2.gz SZUPLNDECBZRPP-HNNXBMFYSA-N 1 2 319.405 1.282 20 30 DDEDLO Cc1ccc(C(=O)N(C)C)cc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000593343564 355858785 /nfs/dbraw/zinc/85/87/85/355858785.db2.gz SZUPLNDECBZRPP-HNNXBMFYSA-N 1 2 319.405 1.282 20 30 DDEDLO C[C@@H](CNC(=O)c1cc(C#N)cs1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594098007 356112278 /nfs/dbraw/zinc/11/22/78/356112278.db2.gz CTMJWTCFPWHGCA-SDDRHHMPSA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@@H](CNC(=O)c1cc(C#N)cs1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594098007 356112280 /nfs/dbraw/zinc/11/22/80/356112280.db2.gz CTMJWTCFPWHGCA-SDDRHHMPSA-N 1 2 307.419 1.847 20 30 DDEDLO COCC[N@H+](CCc1ccc(C#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000595395971 356477322 /nfs/dbraw/zinc/47/73/22/356477322.db2.gz AFVNUTONJCBNHU-MRXNPFEDSA-N 1 2 322.430 1.236 20 30 DDEDLO COCC[N@@H+](CCc1ccc(C#N)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000595395971 356477323 /nfs/dbraw/zinc/47/73/23/356477323.db2.gz AFVNUTONJCBNHU-MRXNPFEDSA-N 1 2 322.430 1.236 20 30 DDEDLO N#CC[C@@H]1CCCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000595570991 356557011 /nfs/dbraw/zinc/55/70/11/356557011.db2.gz RGWKUOSYCBDPHR-LSDHHAIUSA-N 1 2 313.405 1.996 20 30 DDEDLO COC(=O)[C@H](CC(C)C)NC(=O)C[N@@H+]1CCC[C@H](CC#N)C1 ZINC000595609923 356576119 /nfs/dbraw/zinc/57/61/19/356576119.db2.gz QIWBOVPPLJVTHH-KGLIPLIRSA-N 1 2 309.410 1.316 20 30 DDEDLO COC(=O)[C@H](CC(C)C)NC(=O)C[N@H+]1CCC[C@H](CC#N)C1 ZINC000595609923 356576123 /nfs/dbraw/zinc/57/61/23/356576123.db2.gz QIWBOVPPLJVTHH-KGLIPLIRSA-N 1 2 309.410 1.316 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000595625725 356582082 /nfs/dbraw/zinc/58/20/82/356582082.db2.gz JMXPGBWTZVBAKA-AWEZNQCLSA-N 1 2 308.426 1.432 20 30 DDEDLO CCCNC(=O)[C@@H](C)[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595632353 356585412 /nfs/dbraw/zinc/58/54/12/356585412.db2.gz OBXVYSBCAJLVBA-GFCCVEGCSA-N 1 2 306.435 1.656 20 30 DDEDLO COc1cccc(OC)c1OC[C@H](O)C[N@@H+]1CC[C@@](C)(C#N)C1 ZINC000595842734 356674437 /nfs/dbraw/zinc/67/44/37/356674437.db2.gz ZGSKTBUGGRADPF-DYVFJYSZSA-N 1 2 320.389 1.679 20 30 DDEDLO COc1cccc(OC)c1OC[C@H](O)C[N@H+]1CC[C@@](C)(C#N)C1 ZINC000595842734 356674441 /nfs/dbraw/zinc/67/44/41/356674441.db2.gz ZGSKTBUGGRADPF-DYVFJYSZSA-N 1 2 320.389 1.679 20 30 DDEDLO N#Cc1cc2cccnc2nc1N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000596200372 356811878 /nfs/dbraw/zinc/81/18/78/356811878.db2.gz NHFRHIHOFKXRID-INIZCTEOSA-N 1 2 323.400 1.802 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)N[C@@H]1CC[C@H](C#N)C1)C(C)(C)CO ZINC000596635994 356938204 /nfs/dbraw/zinc/93/82/04/356938204.db2.gz IBGVZMBETQTJKM-XQQFMLRXSA-N 1 2 319.409 1.471 20 30 DDEDLO C[C@H](CC#N)C(=O)N1CC[NH+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000596726223 356962106 /nfs/dbraw/zinc/96/21/06/356962106.db2.gz JMBZHGCUOXFZBO-HUUCEWRRSA-N 1 2 320.437 1.081 20 30 DDEDLO C[C@@H](CC#N)C(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000596679737 356951504 /nfs/dbraw/zinc/95/15/04/356951504.db2.gz LWMHACPRVKCRFV-GOEBONIOSA-N 1 2 301.390 1.726 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)c1cc(F)c(C#N)c(F)c1 ZINC000597087971 357057601 /nfs/dbraw/zinc/05/76/01/357057601.db2.gz KFEKVLTXFKUCCM-UHFFFAOYSA-N 1 2 309.316 1.241 20 30 DDEDLO Cc1csc([C@H](C)CNC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)n1 ZINC000329618875 223002162 /nfs/dbraw/zinc/00/21/62/223002162.db2.gz QQXQDVCBJMGVLQ-KGYLQXTDSA-N 1 2 324.450 1.484 20 30 DDEDLO Cc1csc([C@H](C)CNC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)n1 ZINC000329618875 223002164 /nfs/dbraw/zinc/00/21/64/223002164.db2.gz QQXQDVCBJMGVLQ-KGYLQXTDSA-N 1 2 324.450 1.484 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[NH+]1CCN(C(=O)c2ccco2)CC1 ZINC000598583835 357641098 /nfs/dbraw/zinc/64/10/98/357641098.db2.gz FFOZBWSDMGCBCQ-CYBMUJFWSA-N 1 2 305.378 1.338 20 30 DDEDLO COc1nc(C)cc(C)c1C[N@H+](C)[C@@H](C)C(=O)N(C)CCC#N ZINC000598649384 357670408 /nfs/dbraw/zinc/67/04/08/357670408.db2.gz OHFYSEDANDOCDQ-AWEZNQCLSA-N 1 2 318.421 1.899 20 30 DDEDLO COc1nc(C)cc(C)c1C[N@@H+](C)[C@@H](C)C(=O)N(C)CCC#N ZINC000598649384 357670412 /nfs/dbraw/zinc/67/04/12/357670412.db2.gz OHFYSEDANDOCDQ-AWEZNQCLSA-N 1 2 318.421 1.899 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3nccnn3)CC2)cc1C#N ZINC000599511431 357960042 /nfs/dbraw/zinc/96/00/42/357960042.db2.gz MYVVCTACFOXUGD-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)c1ccncc1 ZINC000601023416 358385405 /nfs/dbraw/zinc/38/54/05/358385405.db2.gz HGELVQMVUVTODW-HNNXBMFYSA-N 1 2 316.405 1.260 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000601887655 358728228 /nfs/dbraw/zinc/72/82/28/358728228.db2.gz HFQDLVJWILAXIO-MRXNPFEDSA-N 1 2 301.394 1.103 20 30 DDEDLO CN(C(=O)C(=O)Nc1ccc2[nH+]ccn2c1)[C@@H]1CCC[C@H]1C#N ZINC000602064506 358797491 /nfs/dbraw/zinc/79/74/91/358797491.db2.gz UOGPIYIRGPNRFI-WCQYABFASA-N 1 2 311.345 1.423 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)C1 ZINC000602142369 358842572 /nfs/dbraw/zinc/84/25/72/358842572.db2.gz PSUBROVOBIMGRC-GXSJLCMTSA-N 1 2 304.247 1.841 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC000602196769 358877310 /nfs/dbraw/zinc/87/73/10/358877310.db2.gz BUTJJWDMDGKRKF-QGZVFWFLSA-N 1 2 300.406 1.708 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2ccc(Cl)cn2)C[C@H](C)N1CC#N ZINC000602858376 359244695 /nfs/dbraw/zinc/24/46/95/359244695.db2.gz AOIZUUQXFKCTTL-TXEJJXNPSA-N 1 2 321.812 1.592 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2ccc(Cl)cn2)C[C@H](C)N1CC#N ZINC000602858376 359244697 /nfs/dbraw/zinc/24/46/97/359244697.db2.gz AOIZUUQXFKCTTL-TXEJJXNPSA-N 1 2 321.812 1.592 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)Nc1ccnn1Cc1cccs1 ZINC000602861688 359246391 /nfs/dbraw/zinc/24/63/91/359246391.db2.gz HNJPGIMUKZGIRY-NSHDSACASA-N 1 2 303.391 1.823 20 30 DDEDLO COc1cc(CNC(=O)C[NH2+][C@H](C)CC#N)cc(OC)c1OC ZINC000602865803 359249949 /nfs/dbraw/zinc/24/99/49/359249949.db2.gz ZAYWNEJCHBPDPT-LLVKDONJSA-N 1 2 321.377 1.220 20 30 DDEDLO Cc1nc(NC(=O)N(CCC#N)CC[NH+]2CCOCC2)cs1 ZINC000602912784 359290962 /nfs/dbraw/zinc/29/09/62/359290962.db2.gz JROYNDMGWKPVSW-UHFFFAOYSA-N 1 2 323.422 1.531 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCC[C@H]2c2cn[nH]c2)cc1 ZINC000602879378 359262572 /nfs/dbraw/zinc/26/25/72/359262572.db2.gz CXDAWESOOWGTKV-INIZCTEOSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCC[C@H]2c2cn[nH]c2)cc1 ZINC000602879378 359262576 /nfs/dbraw/zinc/26/25/76/359262576.db2.gz CXDAWESOOWGTKV-INIZCTEOSA-N 1 2 309.373 1.735 20 30 DDEDLO C[N@H+](CC(=O)N1CCO[C@@H]2CCCC[C@@H]21)[C@@H]1CCC[C@H]1C#N ZINC000602975545 359335080 /nfs/dbraw/zinc/33/50/80/359335080.db2.gz CRZXTSJFFQUHJF-XUWVNRHRSA-N 1 2 305.422 1.780 20 30 DDEDLO C[N@@H+](CC(=O)N1CCO[C@@H]2CCCC[C@@H]21)[C@@H]1CCC[C@H]1C#N ZINC000602975545 359335085 /nfs/dbraw/zinc/33/50/85/359335085.db2.gz CRZXTSJFFQUHJF-XUWVNRHRSA-N 1 2 305.422 1.780 20 30 DDEDLO C[N@H+](CC(=O)N1CCO[C@@H]2CCCC[C@@H]21)[C@H]1CCC[C@@H]1C#N ZINC000602975543 359335729 /nfs/dbraw/zinc/33/57/29/359335729.db2.gz CRZXTSJFFQUHJF-FXUDXRNXSA-N 1 2 305.422 1.780 20 30 DDEDLO C[N@@H+](CC(=O)N1CCO[C@@H]2CCCC[C@@H]21)[C@H]1CCC[C@@H]1C#N ZINC000602975543 359335734 /nfs/dbraw/zinc/33/57/34/359335734.db2.gz CRZXTSJFFQUHJF-FXUDXRNXSA-N 1 2 305.422 1.780 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2csc(C(=O)OC)c2)nn1 ZINC000603222994 359494003 /nfs/dbraw/zinc/49/40/03/359494003.db2.gz XMIQMUIRSOVHMN-UHFFFAOYSA-N 1 2 304.375 1.287 20 30 DDEDLO C[N@H+](CCO)Cc1cn(Cc2ccc(Cl)cc2C#N)nn1 ZINC000603243459 359509972 /nfs/dbraw/zinc/50/99/72/359509972.db2.gz NRAQBTNIDNBJAK-UHFFFAOYSA-N 1 2 305.769 1.276 20 30 DDEDLO C[N@@H+](CCO)Cc1cn(Cc2ccc(Cl)cc2C#N)nn1 ZINC000603243459 359509975 /nfs/dbraw/zinc/50/99/75/359509975.db2.gz NRAQBTNIDNBJAK-UHFFFAOYSA-N 1 2 305.769 1.276 20 30 DDEDLO CCN(CCOC)c1cccc(Cn2cc(CCC#N)nn2)[nH+]1 ZINC000603252053 359517050 /nfs/dbraw/zinc/51/70/50/359517050.db2.gz MXFYZWVIRSCYOX-UHFFFAOYSA-N 1 2 314.393 1.650 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)Nc1c(C#N)cnn1-c1ccccc1 ZINC000603283150 359539830 /nfs/dbraw/zinc/53/98/30/359539830.db2.gz IOWBPKBWHRAVQO-AWEZNQCLSA-N 1 2 311.389 1.877 20 30 DDEDLO N#Cc1cccc2c1CCN2S(=O)(=O)CCn1cc[nH+]c1 ZINC000603365534 359595269 /nfs/dbraw/zinc/59/52/69/359595269.db2.gz IZOYYYMUDVLSNC-UHFFFAOYSA-N 1 2 302.359 1.147 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2cc(C#N)oc2C)[C@@H](C)C1 ZINC000281000519 216013899 /nfs/dbraw/zinc/01/38/99/216013899.db2.gz FYRXWPPQTOCJKR-NSHDSACASA-N 1 2 319.361 1.169 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2cc(C#N)oc2C)[C@@H](C)C1 ZINC000281000519 216013902 /nfs/dbraw/zinc/01/39/02/216013902.db2.gz FYRXWPPQTOCJKR-NSHDSACASA-N 1 2 319.361 1.169 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2cc(C#N)oc2C)[C@H](C)C1 ZINC000281000511 216014421 /nfs/dbraw/zinc/01/44/21/216014421.db2.gz FYRXWPPQTOCJKR-LLVKDONJSA-N 1 2 319.361 1.169 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2cc(C#N)oc2C)[C@H](C)C1 ZINC000281000511 216014424 /nfs/dbraw/zinc/01/44/24/216014424.db2.gz FYRXWPPQTOCJKR-LLVKDONJSA-N 1 2 319.361 1.169 20 30 DDEDLO COC(=O)c1cccc(C[NH+]2CCC(CO)(OC)CC2)c1C#N ZINC000618551176 363668631 /nfs/dbraw/zinc/66/86/31/363668631.db2.gz MBKMGEFKWJJQJW-UHFFFAOYSA-N 1 2 318.373 1.318 20 30 DDEDLO C[C@H]([NH+]=C([O-])NC[C@@H]1CCc2[nH+]ccn2C1)[C@@H]1CCCOC1 ZINC000329904726 223043492 /nfs/dbraw/zinc/04/34/92/223043492.db2.gz REMHQNXMBMDUOO-MELADBBJSA-N 1 2 306.410 1.764 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNC(=O)NCC2CC2)cn1 ZINC000329894700 223042600 /nfs/dbraw/zinc/04/26/00/223042600.db2.gz BMSDWPAMOACKBX-KBPBESRZSA-N 1 2 309.389 1.246 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNC(=O)NCC2CC2)cn1 ZINC000329894700 223042603 /nfs/dbraw/zinc/04/26/03/223042603.db2.gz BMSDWPAMOACKBX-KBPBESRZSA-N 1 2 309.389 1.246 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609538000 360321744 /nfs/dbraw/zinc/32/17/44/360321744.db2.gz GXXSMRJLBPRAHG-SJORKVTESA-N 1 2 320.481 1.965 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)cc1 ZINC000610533931 360462447 /nfs/dbraw/zinc/46/24/47/360462447.db2.gz ZHOKQGBNIKCQTR-OAHLLOKOSA-N 1 2 323.356 1.557 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCC[C@H]2C(=O)NCc2ccco2)ccn1 ZINC000610992911 360593481 /nfs/dbraw/zinc/59/34/81/360593481.db2.gz RPUHTUUWUVLWQZ-INIZCTEOSA-N 1 2 310.357 1.827 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCC[C@H]2C(=O)NCc2ccco2)ccn1 ZINC000610992911 360593484 /nfs/dbraw/zinc/59/34/84/360593484.db2.gz RPUHTUUWUVLWQZ-INIZCTEOSA-N 1 2 310.357 1.827 20 30 DDEDLO COc1ccc(C[NH+]2CCN(CCC#N)CC2)cc1[N+](=O)[O-] ZINC000611173691 360646642 /nfs/dbraw/zinc/64/66/42/360646642.db2.gz GRTSYFVDTVCWFE-UHFFFAOYSA-N 1 2 304.350 1.635 20 30 DDEDLO COC(=O)c1cccc(C[NH+]2CCC(NC(C)=O)CC2)c1C#N ZINC000611128220 360630102 /nfs/dbraw/zinc/63/01/02/360630102.db2.gz CSMQGZBBHASRJL-UHFFFAOYSA-N 1 2 315.373 1.445 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000612064233 360907781 /nfs/dbraw/zinc/90/77/81/360907781.db2.gz SVXIJLMUPNBVBG-AWEZNQCLSA-N 1 2 304.394 1.587 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000612064233 360907784 /nfs/dbraw/zinc/90/77/84/360907784.db2.gz SVXIJLMUPNBVBG-AWEZNQCLSA-N 1 2 304.394 1.587 20 30 DDEDLO Cc1ncc([N+](=O)[O-])cc1C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000330617254 223131262 /nfs/dbraw/zinc/13/12/62/223131262.db2.gz FXAYUEFKLZLGAW-JTQLQIEISA-N 1 2 308.338 1.324 20 30 DDEDLO Cc1ncc([N+](=O)[O-])cc1C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000330617254 223131266 /nfs/dbraw/zinc/13/12/66/223131266.db2.gz FXAYUEFKLZLGAW-JTQLQIEISA-N 1 2 308.338 1.324 20 30 DDEDLO Cc1cnc(C(=O)N[C@H](C)CC[NH+]2CCN(C)CC2)c(O)c1 ZINC000330640389 223132432 /nfs/dbraw/zinc/13/24/32/223132432.db2.gz BKCZWURBGSKHRM-CYBMUJFWSA-N 1 2 306.410 1.426 20 30 DDEDLO Cc1cnc(C(=O)N[C@H](C)CCN2CC[NH+](C)CC2)c(O)c1 ZINC000330640389 223132436 /nfs/dbraw/zinc/13/24/36/223132436.db2.gz BKCZWURBGSKHRM-CYBMUJFWSA-N 1 2 306.410 1.426 20 30 DDEDLO Cc1cnc(C(=O)N[C@@H](C)CC[NH+]2CCN(C)CC2)c(O)c1 ZINC000330640390 223133417 /nfs/dbraw/zinc/13/34/17/223133417.db2.gz BKCZWURBGSKHRM-ZDUSSCGKSA-N 1 2 306.410 1.426 20 30 DDEDLO Cc1cnc(C(=O)N[C@@H](C)CCN2CC[NH+](C)CC2)c(O)c1 ZINC000330640390 223133420 /nfs/dbraw/zinc/13/34/20/223133420.db2.gz BKCZWURBGSKHRM-ZDUSSCGKSA-N 1 2 306.410 1.426 20 30 DDEDLO Cc1cc(C)c(NC(=O)NCc2nc(N(C)C)no2)c(C)[nH+]1 ZINC000331326433 223202490 /nfs/dbraw/zinc/20/24/90/223202490.db2.gz MUCFVGMLAZFMRU-UHFFFAOYSA-N 1 2 304.354 1.982 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)C(=O)N(C)CCC#N)c1nnc2n1CCCCC2 ZINC000613062643 361257229 /nfs/dbraw/zinc/25/72/29/361257229.db2.gz IJJGBMPYDFJMSH-OLZOCXBDSA-N 1 2 318.425 1.416 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000193770448 201121820 /nfs/dbraw/zinc/12/18/20/201121820.db2.gz MYMICDUSTSNTFE-ZDUSSCGKSA-N 1 2 315.421 1.378 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)[N@@H+]2Cc2ccnc(C#N)c2)O1 ZINC000619785085 364150379 /nfs/dbraw/zinc/15/03/79/364150379.db2.gz WLZOFIWRJQEKPQ-FWYOQMDTSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)[N@H+]2Cc2ccnc(C#N)c2)O1 ZINC000619785085 364150385 /nfs/dbraw/zinc/15/03/85/364150385.db2.gz WLZOFIWRJQEKPQ-FWYOQMDTSA-N 1 2 315.373 1.637 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@@H](C)C(=O)NC2(C#N)CCCCC2)n1 ZINC000348100500 223380157 /nfs/dbraw/zinc/38/01/57/223380157.db2.gz ZRBSWBSIFHQTEF-QWRGUYRKSA-N 1 2 305.382 1.760 20 30 DDEDLO C#CCCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000265009144 204338930 /nfs/dbraw/zinc/33/89/30/204338930.db2.gz CFFASDNQIXNSOE-CQSZACIVSA-N 1 2 303.381 1.476 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1C[C@@H](C)O[C@@H](c2ccc(F)cc2)C1 ZINC000266019480 205032365 /nfs/dbraw/zinc/03/23/65/205032365.db2.gz OMLSBEPRINZPTQ-DVOMOZLQSA-N 1 2 304.365 1.725 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1C[C@@H](C)O[C@@H](c2ccc(F)cc2)C1 ZINC000266019480 205032369 /nfs/dbraw/zinc/03/23/69/205032369.db2.gz OMLSBEPRINZPTQ-DVOMOZLQSA-N 1 2 304.365 1.725 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2c(C#N)cnc3ccccc32)CC1 ZINC000266425573 205335933 /nfs/dbraw/zinc/33/59/33/205335933.db2.gz SQVOXTDHXXPCHA-UHFFFAOYSA-N 1 2 323.400 1.682 20 30 DDEDLO C[C@@H]1C[C@H](NS(=O)(=O)c2ccc(C#N)c(F)c2)c2[nH+]ccn21 ZINC000579555959 422788088 /nfs/dbraw/zinc/78/80/88/422788088.db2.gz NAQGQEYSKTYXBI-RNCFNFMXSA-N 1 2 320.349 1.878 20 30 DDEDLO CC(C)CN1C[C@@H]2C[N@H+](Cc3ccc(C#N)cn3)CCN2C1=O ZINC000567363616 304215137 /nfs/dbraw/zinc/21/51/37/304215137.db2.gz NADXKJOHMLXECW-INIZCTEOSA-N 1 2 313.405 1.531 20 30 DDEDLO CC(C)CN1C[C@@H]2C[N@@H+](Cc3ccc(C#N)cn3)CCN2C1=O ZINC000567363616 304215139 /nfs/dbraw/zinc/21/51/39/304215139.db2.gz NADXKJOHMLXECW-INIZCTEOSA-N 1 2 313.405 1.531 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@@H+]3CC[C@](F)(CO)C3)C2=O)cc1 ZINC000338628157 250064923 /nfs/dbraw/zinc/06/49/23/250064923.db2.gz UBLVLQRHOJHVSJ-GDBMZVCRSA-N 1 2 303.337 1.070 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@H+]3CC[C@](F)(CO)C3)C2=O)cc1 ZINC000338628157 250064927 /nfs/dbraw/zinc/06/49/27/250064927.db2.gz UBLVLQRHOJHVSJ-GDBMZVCRSA-N 1 2 303.337 1.070 20 30 DDEDLO CCC(C)(C)NC(=O)C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000060481382 184150543 /nfs/dbraw/zinc/15/05/43/184150543.db2.gz XJTSKSOGEIAARB-UHFFFAOYSA-N 1 2 315.421 1.380 20 30 DDEDLO CO[C@@H]1C[C@H]([NH+]=C([O-])NC[C@@H]2CCc3[nH+]ccn3C2)C1(C)C ZINC000329941218 532954128 /nfs/dbraw/zinc/95/41/28/532954128.db2.gz GPYVGFKBHFQSHY-RWMBFGLXSA-N 1 2 306.410 1.763 20 30 DDEDLO C[C@@H]1CCCN(C(=O)C(=O)N2CCC(C#N)([NH+](C)C)CC2)CC1 ZINC000332363572 296363126 /nfs/dbraw/zinc/36/31/26/296363126.db2.gz YBWGIKVFPSNMJP-CQSZACIVSA-N 1 2 320.437 1.081 20 30 DDEDLO C[C@@H](C(=O)N1CCc2ccc(C#N)cc21)[NH+]1CCSCC1 ZINC000270229699 208295481 /nfs/dbraw/zinc/29/54/81/208295481.db2.gz MODVXLVDJQELKT-LBPRGKRZSA-N 1 2 301.415 1.885 20 30 DDEDLO CC[C@@H](CC#N)NC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000157583786 197199681 /nfs/dbraw/zinc/19/96/81/197199681.db2.gz IYUXTQCUIAIDQD-ZDUSSCGKSA-N 1 2 320.397 1.029 20 30 DDEDLO C[C@@H](CNC(=O)Cn1cc2ccccc2n1)[NH+]1CCOCC1 ZINC000330065966 533363547 /nfs/dbraw/zinc/36/35/47/533363547.db2.gz SKKMUPRMRWYGJR-ZDUSSCGKSA-N 1 2 302.378 1.714 20 30 DDEDLO COC[C@@](C)(CC(=O)OC)[NH2+]Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459395165 533376576 /nfs/dbraw/zinc/37/65/76/533376576.db2.gz UXLNWGGCEUTSFV-OAHLLOKOSA-N 1 2 321.333 1.524 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCCC[C@@H]1CS(C)(=O)=O ZINC000451648003 533494829 /nfs/dbraw/zinc/49/48/29/533494829.db2.gz CVXIZEHANNDDJG-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCCC[C@@H]1CS(C)(=O)=O ZINC000451648003 533494832 /nfs/dbraw/zinc/49/48/32/533494832.db2.gz CVXIZEHANNDDJG-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494141617 533496128 /nfs/dbraw/zinc/49/61/28/533496128.db2.gz KSXYAVYMXPXJLV-CQSZACIVSA-N 1 2 301.346 1.593 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494141617 533496131 /nfs/dbraw/zinc/49/61/31/533496131.db2.gz KSXYAVYMXPXJLV-CQSZACIVSA-N 1 2 301.346 1.593 20 30 DDEDLO COC(=O)c1ccccc1N(C)C(=O)C[NH2+][C@H]1CCC[C@H]1C#N ZINC000459474099 292024974 /nfs/dbraw/zinc/02/49/74/292024974.db2.gz YNCXUPDKLMMTRG-JSGCOSHPSA-N 1 2 315.373 1.718 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1[C@H](CNc2ccc(C#N)nc2)CC(=O)N1C ZINC000569247879 304343638 /nfs/dbraw/zinc/34/36/38/304343638.db2.gz XONQGSKSVGNFSD-XHDPSFHLSA-N 1 2 310.361 1.318 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CCN(C(=O)COC)CC2)c1 ZINC000128680445 407560471 /nfs/dbraw/zinc/56/04/71/407560471.db2.gz KWKKPVGUMCGLHU-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO C=CC[N@@H+](CCNS(=O)(=O)CC)Cc1ccccc1F ZINC000068812431 406666468 /nfs/dbraw/zinc/66/64/68/406666468.db2.gz YJXZKYSXECZGFF-UHFFFAOYSA-N 1 2 300.399 1.753 20 30 DDEDLO C=CC[N@H+](CCNS(=O)(=O)CC)Cc1ccccc1F ZINC000068812431 406666476 /nfs/dbraw/zinc/66/64/76/406666476.db2.gz YJXZKYSXECZGFF-UHFFFAOYSA-N 1 2 300.399 1.753 20 30 DDEDLO C#CCNC(=O)C1CCN(c2ccc3cccc(O)c3[nH+]2)CC1 ZINC000068997794 406673966 /nfs/dbraw/zinc/67/39/66/406673966.db2.gz KZSKZPAIANZEBR-UHFFFAOYSA-N 1 2 309.369 1.906 20 30 DDEDLO C#CCN(CC#C)C(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000075400343 406931465 /nfs/dbraw/zinc/93/14/65/406931465.db2.gz IBEYWEFUBRAZHV-UHFFFAOYSA-N 1 2 308.297 1.489 20 30 DDEDLO C=CC[N@@H+](Cc1ccccc1N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000078048180 407016730 /nfs/dbraw/zinc/01/67/30/407016730.db2.gz UXOFCNBLMQRVMI-OAHLLOKOSA-N 1 2 308.447 1.928 20 30 DDEDLO C=CC[N@H+](Cc1ccccc1N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000078048180 407016734 /nfs/dbraw/zinc/01/67/34/407016734.db2.gz UXOFCNBLMQRVMI-OAHLLOKOSA-N 1 2 308.447 1.928 20 30 DDEDLO Cc1csc(N2CC[NH+]([C@@H](C)C(=O)N(C)CCC#N)CC2)n1 ZINC000047890748 407083310 /nfs/dbraw/zinc/08/33/10/407083310.db2.gz JTSPGLVFMMDJAP-ZDUSSCGKSA-N 1 2 321.450 1.334 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ccccc2)CC1 ZINC000052634806 407153445 /nfs/dbraw/zinc/15/34/45/407153445.db2.gz AJNYPZFELWUSME-OAHLLOKOSA-N 1 2 300.406 1.569 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ccccc2F)CC1 ZINC000052635354 407153704 /nfs/dbraw/zinc/15/37/04/407153704.db2.gz WRPHEVRBSRZEAD-CQSZACIVSA-N 1 2 318.396 1.708 20 30 DDEDLO N#Cc1ccc(C[N@H+](CC(=O)N2CCCC2)C[C@@H]2CCCO2)o1 ZINC000093666854 407199763 /nfs/dbraw/zinc/19/97/63/407199763.db2.gz YFKMKUQDHIJMDT-HNNXBMFYSA-N 1 2 317.389 1.755 20 30 DDEDLO N#Cc1ccc(C[N@@H+](CC(=O)N2CCCC2)C[C@@H]2CCCO2)o1 ZINC000093666854 407199766 /nfs/dbraw/zinc/19/97/66/407199766.db2.gz YFKMKUQDHIJMDT-HNNXBMFYSA-N 1 2 317.389 1.755 20 30 DDEDLO CCCCOC(=O)C[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000067460006 407274033 /nfs/dbraw/zinc/27/40/33/407274033.db2.gz RFYIEOHXFBDPDF-UHFFFAOYSA-N 1 2 316.405 1.809 20 30 DDEDLO CCCCOC(=O)C[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000067460006 407274034 /nfs/dbraw/zinc/27/40/34/407274034.db2.gz RFYIEOHXFBDPDF-UHFFFAOYSA-N 1 2 316.405 1.809 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC(CS(N)(=O)=O)CC2)c(F)c1 ZINC000129914321 407634751 /nfs/dbraw/zinc/63/47/51/407634751.db2.gz LBXLFYDMXCWFCL-UHFFFAOYSA-N 1 2 311.382 1.198 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000129179161 407594248 /nfs/dbraw/zinc/59/42/48/407594248.db2.gz CDZHBXHYRUQQJT-UHFFFAOYSA-N 1 2 307.419 1.217 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000129179161 407594253 /nfs/dbraw/zinc/59/42/53/407594253.db2.gz CDZHBXHYRUQQJT-UHFFFAOYSA-N 1 2 307.419 1.217 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCc2c(F)cccc2C1 ZINC000152819311 407693144 /nfs/dbraw/zinc/69/31/44/407693144.db2.gz ZRXSRGPCTZUZJT-LLVKDONJSA-N 1 2 305.353 1.584 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCc2c(F)cccc2C1 ZINC000152819311 407693154 /nfs/dbraw/zinc/69/31/54/407693154.db2.gz ZRXSRGPCTZUZJT-LLVKDONJSA-N 1 2 305.353 1.584 20 30 DDEDLO Cc1cc(S(=O)(=O)N2C[C@H](C)[NH+](C)[C@@H](C)C2)ccc1C#N ZINC000235661638 407720319 /nfs/dbraw/zinc/72/03/19/407720319.db2.gz YOVXYQLDFAYHDB-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)C[N@H+]1CCOc1ccccc1C#N ZINC000116286839 407742685 /nfs/dbraw/zinc/74/26/85/407742685.db2.gz ILEVIHHLKZQRBU-UONOGXRCSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)C[N@@H+]1CCOc1ccccc1C#N ZINC000116286839 407742690 /nfs/dbraw/zinc/74/26/90/407742690.db2.gz ILEVIHHLKZQRBU-UONOGXRCSA-N 1 2 304.346 1.199 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCN(C(=O)OC(C)(C)C)[C@H](C)[C@@H]1C ZINC000272037860 407751026 /nfs/dbraw/zinc/75/10/26/407751026.db2.gz QNBZJOWOZFYDJP-QWHCGFSZSA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCN(C(=O)OC(C)(C)C)[C@H](C)[C@@H]1C ZINC000272037860 407751033 /nfs/dbraw/zinc/75/10/33/407751033.db2.gz QNBZJOWOZFYDJP-QWHCGFSZSA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2cc(C)[nH]n2)CC1 ZINC000187256669 407837569 /nfs/dbraw/zinc/83/75/69/407837569.db2.gz CTIYSLGXIXJMDR-UHFFFAOYSA-N 1 2 303.410 1.041 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+](CCO)Cc2cccnc2)CCCCC1 ZINC000267922024 407853970 /nfs/dbraw/zinc/85/39/70/407853970.db2.gz RKPYDEUIEOHFJO-UHFFFAOYSA-N 1 2 316.405 1.219 20 30 DDEDLO N#CC1(NC(=O)C[N@H+](CCO)Cc2cccnc2)CCCCC1 ZINC000267922024 407853980 /nfs/dbraw/zinc/85/39/80/407853980.db2.gz RKPYDEUIEOHFJO-UHFFFAOYSA-N 1 2 316.405 1.219 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)N[C@](C)(C#N)C1CC1)c1nnc2ccccn21 ZINC000172613423 407843029 /nfs/dbraw/zinc/84/30/29/407843029.db2.gz RSZACQGANHEDFK-BDJLRTHQSA-N 1 2 312.377 1.188 20 30 DDEDLO COCC[N@@H+]1CCN(C(=O)c2c(F)cc(C#N)cc2F)C[C@@H]1C ZINC000119036552 407979577 /nfs/dbraw/zinc/97/95/77/407979577.db2.gz MXGYWMDQBFEWDP-NSHDSACASA-N 1 2 323.343 1.629 20 30 DDEDLO COCC[N@H+]1CCN(C(=O)c2c(F)cc(C#N)cc2F)C[C@@H]1C ZINC000119036552 407979583 /nfs/dbraw/zinc/97/95/83/407979583.db2.gz MXGYWMDQBFEWDP-NSHDSACASA-N 1 2 323.343 1.629 20 30 DDEDLO COCC[N@H+](CCO)CC(=O)Nc1sc(C)c(C)c1C#N ZINC000135821771 408058494 /nfs/dbraw/zinc/05/84/94/408058494.db2.gz XYDXGHVIORYESO-UHFFFAOYSA-N 1 2 311.407 1.116 20 30 DDEDLO COCC[N@@H+](CCO)CC(=O)Nc1sc(C)c(C)c1C#N ZINC000135821771 408058499 /nfs/dbraw/zinc/05/84/99/408058499.db2.gz XYDXGHVIORYESO-UHFFFAOYSA-N 1 2 311.407 1.116 20 30 DDEDLO C[C@@H](C(=O)NCCCC#N)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000273137282 408072535 /nfs/dbraw/zinc/07/25/35/408072535.db2.gz WNEHMSYIHUGYBA-AWEZNQCLSA-N 1 2 318.396 1.756 20 30 DDEDLO C=CC[C@H](CO)Nc1[nH+]cnc2c1c(Br)nn2C ZINC000273190070 408100548 /nfs/dbraw/zinc/10/05/48/408100548.db2.gz CNTKQVMETFFJIG-SSDOTTSWSA-N 1 2 312.171 1.475 20 30 DDEDLO C=CC[N@@H+](C)Cc1nc2oc(C)c(C(=O)OCC)c2c(N)n1 ZINC000119944376 408115208 /nfs/dbraw/zinc/11/52/08/408115208.db2.gz SHDCQRBJMVOVAA-UHFFFAOYSA-N 1 2 304.350 1.908 20 30 DDEDLO C=CC[N@H+](C)Cc1nc2oc(C)c(C(=O)OCC)c2c(N)n1 ZINC000119944376 408115211 /nfs/dbraw/zinc/11/52/11/408115211.db2.gz SHDCQRBJMVOVAA-UHFFFAOYSA-N 1 2 304.350 1.908 20 30 DDEDLO CC[N@H+](C[C@H](C)C#N)[C@@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000155224072 408138484 /nfs/dbraw/zinc/13/84/84/408138484.db2.gz KNHWXJDFMLRTTL-BDAKNGLRSA-N 1 2 308.304 1.245 20 30 DDEDLO CC[N@@H+](C[C@H](C)C#N)[C@@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000155224072 408138489 /nfs/dbraw/zinc/13/84/89/408138489.db2.gz KNHWXJDFMLRTTL-BDAKNGLRSA-N 1 2 308.304 1.245 20 30 DDEDLO COCC[N@H+](CC(=O)N(C)C)Cc1cc(C#N)ccc1OC ZINC000156182738 408240847 /nfs/dbraw/zinc/24/08/47/408240847.db2.gz MYSDEZSOCQFXCN-UHFFFAOYSA-N 1 2 305.378 1.103 20 30 DDEDLO COCC[N@@H+](CC(=O)N(C)C)Cc1cc(C#N)ccc1OC ZINC000156182738 408240851 /nfs/dbraw/zinc/24/08/51/408240851.db2.gz MYSDEZSOCQFXCN-UHFFFAOYSA-N 1 2 305.378 1.103 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000176107570 408287783 /nfs/dbraw/zinc/28/77/83/408287783.db2.gz CHRTYXHDXCQZST-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO N#Cc1nccnc1N1CCC[N@H+](Cc2cscn2)CC1 ZINC000263889547 408302902 /nfs/dbraw/zinc/30/29/02/408302902.db2.gz RKUXNLWOOFOSHD-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO N#Cc1nccnc1N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000263889547 408302908 /nfs/dbraw/zinc/30/29/08/408302908.db2.gz RKUXNLWOOFOSHD-UHFFFAOYSA-N 1 2 300.391 1.517 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCCN(c3cccc[nH+]3)C2)cn1 ZINC000131018850 162002722 /nfs/dbraw/zinc/00/27/22/162002722.db2.gz OJJGFRWXJNKVLD-HNNXBMFYSA-N 1 2 307.357 1.747 20 30 DDEDLO C[C@@H](O)[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000167739531 162177238 /nfs/dbraw/zinc/17/72/38/162177238.db2.gz PWQWYINUEQRPTP-TZMCWYRMSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H](O)[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000167739531 162177240 /nfs/dbraw/zinc/17/72/40/162177240.db2.gz PWQWYINUEQRPTP-TZMCWYRMSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000169280717 162184093 /nfs/dbraw/zinc/18/40/93/162184093.db2.gz OAKPJCBYCDPAQQ-SNVBAGLBSA-N 1 2 324.793 1.775 20 30 DDEDLO COC(=O)C1([N@H+](C)CC(=O)NC2(C#N)CCCCC2)CCCC1 ZINC000159330730 408399667 /nfs/dbraw/zinc/39/96/67/408399667.db2.gz KDQVIYJEYBGGQT-UHFFFAOYSA-N 1 2 321.421 1.747 20 30 DDEDLO COC(=O)C1([N@@H+](C)CC(=O)NC2(C#N)CCCCC2)CCCC1 ZINC000159330730 408399672 /nfs/dbraw/zinc/39/96/72/408399672.db2.gz KDQVIYJEYBGGQT-UHFFFAOYSA-N 1 2 321.421 1.747 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000160388598 408478904 /nfs/dbraw/zinc/47/89/04/408478904.db2.gz JZVMMUOCPLZLKX-KRWDZBQOSA-N 1 2 316.401 1.044 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000160388598 408478911 /nfs/dbraw/zinc/47/89/11/408478911.db2.gz JZVMMUOCPLZLKX-KRWDZBQOSA-N 1 2 316.401 1.044 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNc1cc(C#N)ccc1[N+](=O)[O-] ZINC000183801346 408491188 /nfs/dbraw/zinc/49/11/88/408491188.db2.gz LQJFVSLGBPTTGS-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNc1cc(C#N)ccc1[N+](=O)[O-] ZINC000183801346 408491192 /nfs/dbraw/zinc/49/11/92/408491192.db2.gz LQJFVSLGBPTTGS-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc([N+](=O)[O-])cc2O)CC1 ZINC000274711420 408505349 /nfs/dbraw/zinc/50/53/49/408505349.db2.gz XZPHGTMGFQTHSE-UHFFFAOYSA-N 1 2 303.318 1.082 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000274873960 408565226 /nfs/dbraw/zinc/56/52/26/408565226.db2.gz ZVVNPJOFYCPVRB-FZMZJTMJSA-N 1 2 300.337 1.970 20 30 DDEDLO C#CC[C@@H](NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C)c1ccccc1 ZINC000270470940 408542842 /nfs/dbraw/zinc/54/28/42/408542842.db2.gz VLCPXMHDMIDLSR-IAGOWNOFSA-N 1 2 314.433 1.296 20 30 DDEDLO C#CC[C@@H](NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)c1ccccc1 ZINC000270470940 408542848 /nfs/dbraw/zinc/54/28/48/408542848.db2.gz VLCPXMHDMIDLSR-IAGOWNOFSA-N 1 2 314.433 1.296 20 30 DDEDLO C#CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N2CCCC2)CC1 ZINC000184949431 408722996 /nfs/dbraw/zinc/72/29/96/408722996.db2.gz AYKPPKQUZVWBQG-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO C#CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N2CCCC2)CC1 ZINC000184949431 408723003 /nfs/dbraw/zinc/72/30/03/408723003.db2.gz AYKPPKQUZVWBQG-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2cc(F)ccc2F)CC1 ZINC000177640997 408675075 /nfs/dbraw/zinc/67/50/75/408675075.db2.gz HFGKFTBEDMXXBB-LBPRGKRZSA-N 1 2 309.360 1.778 20 30 DDEDLO Cc1cccn2cc(CCNS(=O)(=O)CC3(C#N)CC3)[nH+]c12 ZINC000165818824 408813828 /nfs/dbraw/zinc/81/38/28/408813828.db2.gz QYXGXMBEDMARGX-UHFFFAOYSA-N 1 2 318.402 1.408 20 30 DDEDLO C#CC(C)(C)[N@H+](C)CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000286123992 408927844 /nfs/dbraw/zinc/92/78/44/408927844.db2.gz MHFARVZUOPHVMC-UHFFFAOYSA-N 1 2 319.317 1.606 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000286123992 408927847 /nfs/dbraw/zinc/92/78/47/408927847.db2.gz MHFARVZUOPHVMC-UHFFFAOYSA-N 1 2 319.317 1.606 20 30 DDEDLO C[C@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)CCS(=O)(=O)C1 ZINC000192070641 163216482 /nfs/dbraw/zinc/21/64/82/163216482.db2.gz RPLXYPVGOFSNJK-LBPRGKRZSA-N 1 2 318.402 1.072 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H]2CCN(c3ccccc3)C2=O)C1=O ZINC000281884260 408947363 /nfs/dbraw/zinc/94/73/63/408947363.db2.gz DHJLMJBMVXNLHS-JKSUJKDBSA-N 1 2 313.401 1.511 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H]2CCN(c3ccccc3)C2=O)C1=O ZINC000281884260 408947366 /nfs/dbraw/zinc/94/73/66/408947366.db2.gz DHJLMJBMVXNLHS-JKSUJKDBSA-N 1 2 313.401 1.511 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN([C@H](C)c3cccnc3)CC2)C1=O ZINC000282027910 408974659 /nfs/dbraw/zinc/97/46/59/408974659.db2.gz PVAUKIZWYBBNAG-NVXWUHKLSA-N 1 2 314.433 1.547 20 30 DDEDLO C=CCN1CC[C@@H](N2CC[NH+]([C@H](C)c3cccnc3)CC2)C1=O ZINC000282027910 408974660 /nfs/dbraw/zinc/97/46/60/408974660.db2.gz PVAUKIZWYBBNAG-NVXWUHKLSA-N 1 2 314.433 1.547 20 30 DDEDLO Cc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)ncn1 ZINC000292529893 409035279 /nfs/dbraw/zinc/03/52/79/409035279.db2.gz OXPSVPVJFCKGQZ-ZDUSSCGKSA-N 1 2 309.377 1.157 20 30 DDEDLO Cc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)ncn1 ZINC000292529893 409035280 /nfs/dbraw/zinc/03/52/80/409035280.db2.gz OXPSVPVJFCKGQZ-ZDUSSCGKSA-N 1 2 309.377 1.157 20 30 DDEDLO C=C[C@@H](CO)NC(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000292606339 409037535 /nfs/dbraw/zinc/03/75/35/409037535.db2.gz WLFYQPSECVIVFZ-UONOGXRCSA-N 1 2 318.352 1.495 20 30 DDEDLO NC(=[NH+]O[C@H]1CCCN(c2ccccc2F)C1=O)[C@@H]1CCCO1 ZINC000283755342 409209758 /nfs/dbraw/zinc/20/97/58/409209758.db2.gz AHYNCXKMSFDBGT-KBPBESRZSA-N 1 2 321.352 1.789 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000279832227 409249144 /nfs/dbraw/zinc/24/91/44/409249144.db2.gz IZBQNVZEBKDVGM-HNNXBMFYSA-N 1 2 322.315 1.668 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)[C@H]3CC3(F)F)CC2)cc1 ZINC000294191841 409257848 /nfs/dbraw/zinc/25/78/48/409257848.db2.gz BAWHTACUCNGWPU-CQSZACIVSA-N 1 2 305.328 1.858 20 30 DDEDLO CC1CCN(c2ccc(CNS(=O)(=O)CCC#N)c[nH+]2)CC1 ZINC000295211009 409376077 /nfs/dbraw/zinc/37/60/77/409376077.db2.gz PFMDOIQOBULIEP-UHFFFAOYSA-N 1 2 322.434 1.651 20 30 DDEDLO C=CCN(C)C(=O)[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1c[nH+]cn1C ZINC000285276130 409409335 /nfs/dbraw/zinc/40/93/35/409409335.db2.gz SYSWWAURXUTSJX-CZUORRHYSA-N 1 2 316.405 1.507 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCC[C@H](CS(C)(=O)=O)C1 ZINC000320246876 164041007 /nfs/dbraw/zinc/04/10/07/164041007.db2.gz YBRCBQKXWUTWTB-AWEZNQCLSA-N 1 2 322.430 1.823 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCC[C@H](CS(C)(=O)=O)C1 ZINC000320246876 164041009 /nfs/dbraw/zinc/04/10/09/164041009.db2.gz YBRCBQKXWUTWTB-AWEZNQCLSA-N 1 2 322.430 1.823 20 30 DDEDLO CC[N@H+](CCC#N)C[C@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000304681061 409635984 /nfs/dbraw/zinc/63/59/84/409635984.db2.gz DZUUVRSUJKOUHI-AWEZNQCLSA-N 1 2 316.361 1.100 20 30 DDEDLO CC[N@@H+](CCC#N)C[C@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000304681061 409635989 /nfs/dbraw/zinc/63/59/89/409635989.db2.gz DZUUVRSUJKOUHI-AWEZNQCLSA-N 1 2 316.361 1.100 20 30 DDEDLO COC(=O)[C@@H]([NH2+]CCC(=O)Nc1ccc(C#N)cc1)C(C)C ZINC000314892817 409832919 /nfs/dbraw/zinc/83/29/19/409832919.db2.gz AUFIHBNCMLXANG-HNNXBMFYSA-N 1 2 303.362 1.674 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+](C)C[C@H]1CCS(=O)(=O)C1 ZINC000354420657 409844759 /nfs/dbraw/zinc/84/47/59/409844759.db2.gz DYBVIWMTPFDDDW-UONOGXRCSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+](C)C[C@H]1CCS(=O)(=O)C1 ZINC000354420657 409844768 /nfs/dbraw/zinc/84/47/68/409844768.db2.gz DYBVIWMTPFDDDW-UONOGXRCSA-N 1 2 316.467 1.166 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)COc1ccccc1C#N ZINC000308706986 409783906 /nfs/dbraw/zinc/78/39/06/409783906.db2.gz OULSEHAWEFFQOK-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO CN(C(=O)C[N@@H+]1C[C@@H](CO)OC(C)(C)C1)C1(C#N)CCCCC1 ZINC000323563733 409920007 /nfs/dbraw/zinc/92/00/07/409920007.db2.gz RDNICYYUWDEYGI-AWEZNQCLSA-N 1 2 323.437 1.143 20 30 DDEDLO CN(C(=O)C[N@H+]1C[C@@H](CO)OC(C)(C)C1)C1(C#N)CCCCC1 ZINC000323563733 409920016 /nfs/dbraw/zinc/92/00/16/409920016.db2.gz RDNICYYUWDEYGI-AWEZNQCLSA-N 1 2 323.437 1.143 20 30 DDEDLO Cn1cnnc1-c1cncc(NC(=O)[C@H]2CCn3c[nH+]cc3C2)c1 ZINC000328673997 409952821 /nfs/dbraw/zinc/95/28/21/409952821.db2.gz JNLQPUBIRBMDES-NSHDSACASA-N 1 2 323.360 1.924 20 30 DDEDLO O=C(N[C@@H]1CCO[C@@H]1C1CC1)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000328818948 409984707 /nfs/dbraw/zinc/98/47/07/409984707.db2.gz DRRSWOKXOVIPLU-BZUAXINKSA-N 1 2 323.437 1.265 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)CCn1c(C)[nH+]c2ccccc21 ZINC000357341895 409985005 /nfs/dbraw/zinc/98/50/05/409985005.db2.gz IJLMQGDXKQOBGS-MRXNPFEDSA-N 1 2 300.362 1.780 20 30 DDEDLO Cc1[nH+]ccn1C[C@H](C)CNC([O-])=[NH+][C@@H]1CCO[C@@H]1C1CC1 ZINC000328816257 409986351 /nfs/dbraw/zinc/98/63/51/409986351.db2.gz CUDHKFJNQBGECS-KCPJHIHWSA-N 1 2 306.410 1.899 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O)C1CC1 ZINC000328973004 410029911 /nfs/dbraw/zinc/02/99/11/410029911.db2.gz PEGWYIABMGRSQX-QSJFSLAZSA-N 1 2 321.421 1.497 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O)C1CC1 ZINC000328973004 410029916 /nfs/dbraw/zinc/02/99/16/410029916.db2.gz PEGWYIABMGRSQX-QSJFSLAZSA-N 1 2 321.421 1.497 20 30 DDEDLO Cc1noc([C@@H]2CCCCN2C(=O)NCC[NH+]2CCOCC2)n1 ZINC000328973243 410031439 /nfs/dbraw/zinc/03/14/39/410031439.db2.gz AOLRYFCAFSBUPP-ZDUSSCGKSA-N 1 2 323.397 1.151 20 30 DDEDLO C[C@H](C(=O)NCc1ncnn1C(C)(C)C)[NH+]1CCSCC1 ZINC000328855852 409996340 /nfs/dbraw/zinc/99/63/40/409996340.db2.gz XTZHLNFOYGNLMM-LLVKDONJSA-N 1 2 311.455 1.927 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@H](c3cccnc3)C3CC3)C[C@@H]21 ZINC000328878117 409998941 /nfs/dbraw/zinc/99/89/41/409998941.db2.gz IXXXBRJZKRGMFU-XHSDSOJGSA-N 1 2 316.405 1.462 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@H](c3cccnc3)C3CC3)C[C@@H]21 ZINC000328878117 409998948 /nfs/dbraw/zinc/99/89/48/409998948.db2.gz IXXXBRJZKRGMFU-XHSDSOJGSA-N 1 2 316.405 1.462 20 30 DDEDLO C#CC[N@H+](Cc1ccc(F)cc1)[C@@H](C)C(=O)NC[C@@H]1CCCO1 ZINC000298082882 410104100 /nfs/dbraw/zinc/10/41/00/410104100.db2.gz KEPIBDHHBOOFJG-YOEHRIQHSA-N 1 2 318.392 1.945 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(F)cc1)[C@@H](C)C(=O)NC[C@@H]1CCCO1 ZINC000298082882 410104103 /nfs/dbraw/zinc/10/41/03/410104103.db2.gz KEPIBDHHBOOFJG-YOEHRIQHSA-N 1 2 318.392 1.945 20 30 DDEDLO C#CC[N@H+](Cc1ccc(F)cc1)[C@H](C)C(=O)NC[C@H]1CCCO1 ZINC000298082878 410105295 /nfs/dbraw/zinc/10/52/95/410105295.db2.gz KEPIBDHHBOOFJG-RHSMWYFYSA-N 1 2 318.392 1.945 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(F)cc1)[C@H](C)C(=O)NC[C@H]1CCCO1 ZINC000298082878 410105301 /nfs/dbraw/zinc/10/53/01/410105301.db2.gz KEPIBDHHBOOFJG-RHSMWYFYSA-N 1 2 318.392 1.945 20 30 DDEDLO Cn1cc(C[NH+]2CCN(CC(=O)NCC3CCC3)CC2)cn1 ZINC000329182162 410152511 /nfs/dbraw/zinc/15/25/11/410152511.db2.gz FBVBVRRZEIMMHX-UHFFFAOYSA-N 1 2 305.426 1.294 20 30 DDEDLO Cn1cc(CN2CC[NH+](CC(=O)NCC3CCC3)CC2)cn1 ZINC000329182162 410152516 /nfs/dbraw/zinc/15/25/16/410152516.db2.gz FBVBVRRZEIMMHX-UHFFFAOYSA-N 1 2 305.426 1.294 20 30 DDEDLO CN(C)C(=O)Cn1cc(NCc2c[nH+]c3ccc(C#N)cn23)cn1 ZINC000354870131 410140360 /nfs/dbraw/zinc/14/03/60/410140360.db2.gz SXHZMQWINKOLPS-UHFFFAOYSA-N 1 2 323.360 1.103 20 30 DDEDLO Cn1ncc(C(=O)NCC[N@@H+]2CCc3sccc3C2)c1C#N ZINC000354978463 410217685 /nfs/dbraw/zinc/21/76/85/410217685.db2.gz JBJDJTRDRAHRLG-UHFFFAOYSA-N 1 2 315.402 1.141 20 30 DDEDLO Cn1ncc(C(=O)NCC[N@H+]2CCc3sccc3C2)c1C#N ZINC000354978463 410217693 /nfs/dbraw/zinc/21/76/93/410217693.db2.gz JBJDJTRDRAHRLG-UHFFFAOYSA-N 1 2 315.402 1.141 20 30 DDEDLO Cc1nn(C)c(C)c1[NH+]=C([O-])N1CCC[C@H]1Cn1cc[nH+]c1 ZINC000329239011 410186650 /nfs/dbraw/zinc/18/66/50/410186650.db2.gz QANSGBPRFWIYNX-ZDUSSCGKSA-N 1 2 302.382 1.944 20 30 DDEDLO C[C@@H](C#N)C(=O)NCc1cccc(OCC[NH+]2CCOCC2)c1 ZINC000357790089 410250497 /nfs/dbraw/zinc/25/04/97/410250497.db2.gz KYGIZRREYHJHAH-AWEZNQCLSA-N 1 2 317.389 1.173 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CCC[C@H]3C(=O)NC[C@H]32)c[nH+]1 ZINC000329390449 410271633 /nfs/dbraw/zinc/27/16/33/410271633.db2.gz UXYAPMQTNGZZRM-CHWSQXEVSA-N 1 2 317.393 1.612 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000357764287 410235953 /nfs/dbraw/zinc/23/59/53/410235953.db2.gz VRMDKDWABFWAGT-CQSZACIVSA-N 1 2 306.410 1.046 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)c(OC)c1 ZINC000298674374 410341078 /nfs/dbraw/zinc/34/10/78/410341078.db2.gz KPZNMEBLTJLMCO-ZDUSSCGKSA-N 1 2 322.361 1.067 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c(OC)c1 ZINC000298674374 410341082 /nfs/dbraw/zinc/34/10/82/410341082.db2.gz KPZNMEBLTJLMCO-ZDUSSCGKSA-N 1 2 322.361 1.067 20 30 DDEDLO C[C@H]1CN(C(=O)NCc2c[nH+]cn2C)CC2(CCOCC2)O1 ZINC000329543098 410349826 /nfs/dbraw/zinc/34/98/26/410349826.db2.gz FEVUVKMXBKRBBU-LBPRGKRZSA-N 1 2 308.382 1.104 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000329539651 410350391 /nfs/dbraw/zinc/35/03/91/410350391.db2.gz CNGJNJRQVOWSJN-YNEHKIRRSA-N 1 2 304.394 1.539 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000329539651 410350400 /nfs/dbraw/zinc/35/04/00/410350400.db2.gz CNGJNJRQVOWSJN-YNEHKIRRSA-N 1 2 304.394 1.539 20 30 DDEDLO CCOC(=O)[C@](C)(O)C[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000355078063 410295319 /nfs/dbraw/zinc/29/53/19/410295319.db2.gz AETKFEJSQZBGOK-NVXWUHKLSA-N 1 2 318.373 1.246 20 30 DDEDLO CCOC(=O)[C@](C)(O)C[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000355078063 410295325 /nfs/dbraw/zinc/29/53/25/410295325.db2.gz AETKFEJSQZBGOK-NVXWUHKLSA-N 1 2 318.373 1.246 20 30 DDEDLO C[N@H+](Cc1ccc(Br)cc1C#N)[C@H]1COC[C@@H]1O ZINC000347134975 410355029 /nfs/dbraw/zinc/35/50/29/410355029.db2.gz OMXHEVDUWKAJDQ-STQMWFEESA-N 1 2 311.179 1.512 20 30 DDEDLO C[N@@H+](Cc1ccc(Br)cc1C#N)[C@H]1COC[C@@H]1O ZINC000347134975 410355034 /nfs/dbraw/zinc/35/50/34/410355034.db2.gz OMXHEVDUWKAJDQ-STQMWFEESA-N 1 2 311.179 1.512 20 30 DDEDLO O=C(NCc1nnc2n1CCCCC2)N1CCn2c[nH+]cc2C1 ZINC000329602312 410378188 /nfs/dbraw/zinc/37/81/88/410378188.db2.gz KWAAOFUTELTVCB-UHFFFAOYSA-N 1 2 315.381 1.131 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000298783648 410397638 /nfs/dbraw/zinc/39/76/38/410397638.db2.gz HMLYOBZBAPZUNN-NSHDSACASA-N 1 2 321.764 1.645 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000298783648 410397646 /nfs/dbraw/zinc/39/76/46/410397646.db2.gz HMLYOBZBAPZUNN-NSHDSACASA-N 1 2 321.764 1.645 20 30 DDEDLO CN(C)c1ccc(CNC(=O)C[N@H+](CCC#N)CC2CC2)cc1 ZINC000299341227 410587810 /nfs/dbraw/zinc/58/78/10/410587810.db2.gz QKOVJQHPWPDJLE-UHFFFAOYSA-N 1 2 314.433 1.994 20 30 DDEDLO CN(C)c1ccc(CNC(=O)C[N@@H+](CCC#N)CC2CC2)cc1 ZINC000299341227 410587813 /nfs/dbraw/zinc/58/78/13/410587813.db2.gz QKOVJQHPWPDJLE-UHFFFAOYSA-N 1 2 314.433 1.994 20 30 DDEDLO C=CCOc1ccc(C(=O)N[C@@H](C)C[NH+]2CCN(C)CC2)cc1 ZINC000359092134 410556639 /nfs/dbraw/zinc/55/66/39/410556639.db2.gz DLAJKFCHEZTDBV-HNNXBMFYSA-N 1 2 317.433 1.617 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CCCCC1)[NH+]1CCC(F)(C#N)CC1 ZINC000352449226 410659867 /nfs/dbraw/zinc/65/98/67/410659867.db2.gz LVPRUHLPZREJGD-GFCCVEGCSA-N 1 2 324.400 1.861 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)N2CCc3ccccc3C2)CC1 ZINC000352469153 410659453 /nfs/dbraw/zinc/65/94/53/410659453.db2.gz WSUMTBSXEPLPON-UHFFFAOYSA-N 1 2 301.365 1.899 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)c2ccc(C#N)c(O)c2)CC1 ZINC000337292653 410696129 /nfs/dbraw/zinc/69/61/29/410696129.db2.gz ORGBBJPVNCOYIK-UHFFFAOYSA-N 1 2 322.368 1.930 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000330416131 410678391 /nfs/dbraw/zinc/67/83/91/410678391.db2.gz DDCLRLOOUBEUMU-IUODEOHRSA-N 1 2 314.389 1.486 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000330416131 410678396 /nfs/dbraw/zinc/67/83/96/410678396.db2.gz DDCLRLOOUBEUMU-IUODEOHRSA-N 1 2 314.389 1.486 20 30 DDEDLO C=C(C)C[N@@H+](CCNS(C)(=O)=O)Cc1cnn(C(C)C)c1 ZINC000352902522 410687171 /nfs/dbraw/zinc/68/71/71/410687171.db2.gz NMHXGBVQIHDDKE-UHFFFAOYSA-N 1 2 314.455 1.391 20 30 DDEDLO C=C(C)C[N@H+](CCNS(C)(=O)=O)Cc1cnn(C(C)C)c1 ZINC000352902522 410687177 /nfs/dbraw/zinc/68/71/77/410687177.db2.gz NMHXGBVQIHDDKE-UHFFFAOYSA-N 1 2 314.455 1.391 20 30 DDEDLO N#Cc1ccc(OCCNc2[nH+]cnc(-n3cccn3)c2N)cc1 ZINC000301792928 410797456 /nfs/dbraw/zinc/79/74/56/410797456.db2.gz OSXITBLJRATXGS-UHFFFAOYSA-N 1 2 321.344 1.607 20 30 DDEDLO Cn1ncc(C(=O)N2CC[NH2+][C@H](c3cccc(F)c3)C2)c1C#N ZINC000337564217 410865595 /nfs/dbraw/zinc/86/55/95/410865595.db2.gz AKXCGFXNABAVPD-AWEZNQCLSA-N 1 2 313.336 1.218 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)CNc1ccc(C#N)cc1)C1CC1 ZINC000341683639 411050726 /nfs/dbraw/zinc/05/07/26/411050726.db2.gz AKUDPDJBPBJXFV-INIZCTEOSA-N 1 2 309.373 1.971 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCCn2cc[nH+]c2C)cc1C#N ZINC000353690188 411110770 /nfs/dbraw/zinc/11/07/70/411110770.db2.gz OLDHPLXTESTISZ-UHFFFAOYSA-N 1 2 317.374 1.316 20 30 DDEDLO N#Cc1ccc(NCC[NH+]2CCN(C(=O)C3CCC3)CC2)nc1 ZINC000609578079 416655846 /nfs/dbraw/zinc/65/58/46/416655846.db2.gz HNWDMJRIVZWKGM-UHFFFAOYSA-N 1 2 313.405 1.309 20 30 DDEDLO N#Cc1cnc(N[C@H]2CCN(c3cccc[nH+]3)C2)c([N+](=O)[O-])c1 ZINC000297344853 227040082 /nfs/dbraw/zinc/04/00/82/227040082.db2.gz BDPYSQITSULZEA-LBPRGKRZSA-N 1 2 310.317 1.947 20 30 DDEDLO CC(C)CO[C@@H](C)C(N)=[NH+]OCC(=O)NCc1cccs1 ZINC000174227500 221791540 /nfs/dbraw/zinc/79/15/40/221791540.db2.gz LUETYOAYUHYUGE-NSHDSACASA-N 1 2 313.423 1.714 20 30 DDEDLO C=C(C)CS(=O)(=O)N(C)C1CCN(c2cccc[nH+]2)CC1 ZINC000373817886 418463163 /nfs/dbraw/zinc/46/31/63/418463163.db2.gz CLMZWKKOKILARK-UHFFFAOYSA-N 1 2 309.435 1.888 20 30 DDEDLO N#CC1CCC(CNC(=O)[C@@H]2COCC[N@@H+]2CC2CCC2)CC1 ZINC000374206431 418504829 /nfs/dbraw/zinc/50/48/29/418504829.db2.gz SPGZPNJRAVDXOV-DQPZFDDXSA-N 1 2 319.449 1.933 20 30 DDEDLO N#CC1CCC(CNC(=O)[C@@H]2COCC[N@H+]2CC2CCC2)CC1 ZINC000374206431 418504832 /nfs/dbraw/zinc/50/48/32/418504832.db2.gz SPGZPNJRAVDXOV-DQPZFDDXSA-N 1 2 319.449 1.933 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000374355336 418521499 /nfs/dbraw/zinc/52/14/99/418521499.db2.gz FYBIQNMNVJKYCP-HNNXBMFYSA-N 1 2 320.356 1.813 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCSC[C@H]1c1cnn(C)c1 ZINC000191171999 222099161 /nfs/dbraw/zinc/09/91/61/222099161.db2.gz OMDKTTQZQIHYME-AWEZNQCLSA-N 1 2 321.450 1.272 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCSC[C@H]1c1cnn(C)c1 ZINC000191171999 222099164 /nfs/dbraw/zinc/09/91/64/222099164.db2.gz OMDKTTQZQIHYME-AWEZNQCLSA-N 1 2 321.450 1.272 20 30 DDEDLO CC#CCNC(=O)C(=O)Nc1ccc2c(c1)[nH+]c1n2CCCCC1 ZINC000190504429 222080967 /nfs/dbraw/zinc/08/09/67/222080967.db2.gz PGELKYIJTNYQMG-UHFFFAOYSA-N 1 2 324.384 1.841 20 30 DDEDLO COc1ccnc(N2CC[NH+](CC#Cc3ccccc3)CC2)n1 ZINC000191997611 222115715 /nfs/dbraw/zinc/11/57/15/222115715.db2.gz SYMOVXAMXXWQMM-UHFFFAOYSA-N 1 2 308.385 1.659 20 30 DDEDLO C=CCOC[C@@H](NCc1c[nH+]c2c(C)cccn12)C(=O)OC ZINC000361106205 418584438 /nfs/dbraw/zinc/58/44/38/418584438.db2.gz DTAGMJBZXYZGEW-CQSZACIVSA-N 1 2 303.362 1.477 20 30 DDEDLO N#CCCN(CCC#N)C(=O)CN1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000375006696 418587518 /nfs/dbraw/zinc/58/75/18/418587518.db2.gz VXYYALDGXGGIBN-CYBMUJFWSA-N 1 2 300.366 1.203 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@H+](C)[C@H](C)CC#N)cc1 ZINC000247431172 222229035 /nfs/dbraw/zinc/22/90/35/222229035.db2.gz IIPHUSAETYSQQD-TZMCWYRMSA-N 1 2 306.362 1.447 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@@H+](C)[C@H](C)CC#N)cc1 ZINC000247431172 222229036 /nfs/dbraw/zinc/22/90/36/222229036.db2.gz IIPHUSAETYSQQD-TZMCWYRMSA-N 1 2 306.362 1.447 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)OC ZINC000248805533 222237146 /nfs/dbraw/zinc/23/71/46/222237146.db2.gz GUMUQJZERNBYPI-GZBFAFLISA-N 1 2 307.394 1.022 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)OC ZINC000248805533 222237148 /nfs/dbraw/zinc/23/71/48/222237148.db2.gz GUMUQJZERNBYPI-GZBFAFLISA-N 1 2 307.394 1.022 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[C@H]2CCCC[C@H]2[N@@H+]1CC(=O)NCC#N ZINC000249423710 222239703 /nfs/dbraw/zinc/23/97/03/222239703.db2.gz IEEFIANPRMWJHT-MCIONIFRSA-N 1 2 321.421 1.601 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[C@H]2CCCC[C@H]2[N@H+]1CC(=O)NCC#N ZINC000249423710 222239707 /nfs/dbraw/zinc/23/97/07/222239707.db2.gz IEEFIANPRMWJHT-MCIONIFRSA-N 1 2 321.421 1.601 20 30 DDEDLO CCC(C)(C)NC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000330997342 418613744 /nfs/dbraw/zinc/61/37/44/418613744.db2.gz ITCGLCCZTMNXNV-UHFFFAOYSA-N 1 2 309.414 1.730 20 30 DDEDLO CCc1cc(N)nc(S[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)[nH+]1 ZINC000331308515 418614812 /nfs/dbraw/zinc/61/48/12/418614812.db2.gz FUVKLJHSOAXVLE-ZUZCIYMTSA-N 1 2 321.450 2.156 20 30 DDEDLO CC#CCCNC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000361290352 418619713 /nfs/dbraw/zinc/61/97/13/418619713.db2.gz XDQFFUGUBCBPFE-CQSZACIVSA-N 1 2 316.405 1.519 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000377224662 418707288 /nfs/dbraw/zinc/70/72/88/418707288.db2.gz VCUSIVNSPQPMOJ-ZNMIVQPWSA-N 1 2 318.421 1.900 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)C(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000378089255 418718626 /nfs/dbraw/zinc/71/86/26/418718626.db2.gz DLOWKZQFSZEGQF-AWEZNQCLSA-N 1 2 309.373 1.980 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)C(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000378089255 418718628 /nfs/dbraw/zinc/71/86/28/418718628.db2.gz DLOWKZQFSZEGQF-AWEZNQCLSA-N 1 2 309.373 1.980 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(S(=O)(=O)c2ccccc2C#N)C1 ZINC000378266975 418720326 /nfs/dbraw/zinc/72/03/26/418720326.db2.gz YVXLMRWABVZCAM-ZDUSSCGKSA-N 1 2 316.386 1.470 20 30 DDEDLO N#C[C@@H]1C[N@@H+](Cc2cncnc2)C[C@@]12c1ccccc1NC2=O ZINC000376641311 418699759 /nfs/dbraw/zinc/69/97/59/418699759.db2.gz IXESCRCGVCRPIS-DYVFJYSZSA-N 1 2 305.341 1.322 20 30 DDEDLO N#C[C@@H]1C[N@H+](Cc2cncnc2)C[C@@]12c1ccccc1NC2=O ZINC000376641311 418699761 /nfs/dbraw/zinc/69/97/61/418699761.db2.gz IXESCRCGVCRPIS-DYVFJYSZSA-N 1 2 305.341 1.322 20 30 DDEDLO CC(C)S(=O)(=O)CC[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000370296018 418742615 /nfs/dbraw/zinc/74/26/15/418742615.db2.gz YBIGOZJNHGLFRL-UHFFFAOYSA-N 1 2 321.446 1.762 20 30 DDEDLO N#C[C@@H]1CSCCN1C(=O)C[N@@H+]1CCc2sccc2C1 ZINC000370366095 418743344 /nfs/dbraw/zinc/74/33/44/418743344.db2.gz IZDWJYILFLTMST-GFCCVEGCSA-N 1 2 307.444 1.574 20 30 DDEDLO N#C[C@@H]1CSCCN1C(=O)C[N@H+]1CCc2sccc2C1 ZINC000370366095 418743345 /nfs/dbraw/zinc/74/33/45/418743345.db2.gz IZDWJYILFLTMST-GFCCVEGCSA-N 1 2 307.444 1.574 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000362378309 418752774 /nfs/dbraw/zinc/75/27/74/418752774.db2.gz DHLNAPLSFMOBQV-STQMWFEESA-N 1 2 309.435 1.995 20 30 DDEDLO C=CCNC(=O)CNC(=O)[C@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000364258941 418774578 /nfs/dbraw/zinc/77/45/78/418774578.db2.gz HUMYIYYTBBNEHY-NSHDSACASA-N 1 2 304.394 1.056 20 30 DDEDLO C=CCNC(=O)CNC(=O)[C@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000364258941 418774580 /nfs/dbraw/zinc/77/45/80/418774580.db2.gz HUMYIYYTBBNEHY-NSHDSACASA-N 1 2 304.394 1.056 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)c(F)c1 ZINC000371304160 418776188 /nfs/dbraw/zinc/77/61/88/418776188.db2.gz RPBMCLOPMCZZPS-SECBINFHSA-N 1 2 302.284 1.847 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)c(F)c1 ZINC000371304160 418776190 /nfs/dbraw/zinc/77/61/90/418776190.db2.gz RPBMCLOPMCZZPS-SECBINFHSA-N 1 2 302.284 1.847 20 30 DDEDLO Cn1cc(-c2nnc3n2CC[N@@H+](CC(C)(C)CCC#N)C3)cn1 ZINC000371336235 418779984 /nfs/dbraw/zinc/77/99/84/418779984.db2.gz OGTCRZZFHSPYSW-UHFFFAOYSA-N 1 2 313.409 1.824 20 30 DDEDLO Cn1cc(-c2nnc3n2CC[N@H+](CC(C)(C)CCC#N)C3)cn1 ZINC000371336235 418779986 /nfs/dbraw/zinc/77/99/86/418779986.db2.gz OGTCRZZFHSPYSW-UHFFFAOYSA-N 1 2 313.409 1.824 20 30 DDEDLO C[C@@H]1C[C@H](C)CN(C(=O)C[NH+]2CCN(CC3(C#N)CC3)CC2)C1 ZINC000408110263 418788778 /nfs/dbraw/zinc/78/87/78/418788778.db2.gz MZQNYRCIKTWONN-IYBDPMFKSA-N 1 2 318.465 1.412 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@@H](C(=O)N1CCO[C@H](C#N)C1)C2 ZINC000364633381 418795608 /nfs/dbraw/zinc/79/56/08/418795608.db2.gz WIBAKPTYIITKNS-VXGBXAGGSA-N 1 2 302.378 1.389 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@H](C(=O)N1CCO[C@H](C#N)C1)CC2 ZINC000364633381 418795609 /nfs/dbraw/zinc/79/56/09/418795609.db2.gz WIBAKPTYIITKNS-VXGBXAGGSA-N 1 2 302.378 1.389 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NCc2cccc(C#N)c2)C1 ZINC000372885354 418912281 /nfs/dbraw/zinc/91/22/81/418912281.db2.gz VWCPWXBISIJRKC-OAHLLOKOSA-N 1 2 309.373 1.991 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)c2ccccc2C[NH+]2CCOCC2)C1 ZINC000424976605 228342567 /nfs/dbraw/zinc/34/25/67/228342567.db2.gz LATAQYLNGAYJHA-GOEBONIOSA-N 1 2 313.401 1.941 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(c2ccc(C#N)cc2)C1=O)c1ccn(C)n1 ZINC000411829555 419427885 /nfs/dbraw/zinc/42/78/85/419427885.db2.gz XSTXBFNZLUDKHE-BLLLJJGKSA-N 1 2 309.373 1.748 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]([NH+]3CCOCC3)[C@H]2C)CCOCC1 ZINC000424832707 420321560 /nfs/dbraw/zinc/32/15/60/420321560.db2.gz SEBKOXWPOKJYTK-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1c(C#N)cccc1[N+](=O)[O-] ZINC000425255939 420330840 /nfs/dbraw/zinc/33/08/40/420330840.db2.gz QQJUHGBLHBCFKS-JSGCOSHPSA-N 1 2 316.361 1.766 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc(C(=O)OCC)c(C)[nH]2)nn1 ZINC000425354773 420362329 /nfs/dbraw/zinc/36/23/29/420362329.db2.gz NTXOMYCWIOLWPZ-UHFFFAOYSA-N 1 2 303.366 1.567 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CCC[C@H]1c1[nH+]c2c(n1C)CCCC2 ZINC000416468034 420382129 /nfs/dbraw/zinc/38/21/29/420382129.db2.gz DNRYWDMNXPPOJE-RISCZKNCSA-N 1 2 322.434 1.678 20 30 DDEDLO C[C@H](CNC(=O)NCc1ccc(C#N)s1)[NH+]1CCOCC1 ZINC000420812184 420400746 /nfs/dbraw/zinc/40/07/46/420400746.db2.gz XDHUHWYVMAVZSL-LLVKDONJSA-N 1 2 308.407 1.140 20 30 DDEDLO CNC(=O)Cc1noc(C[N@H+](C)[C@@H](C)c2cccc(C#N)c2)n1 ZINC000425537186 420410922 /nfs/dbraw/zinc/41/09/22/420410922.db2.gz PVSGWGFASHTTCL-NSHDSACASA-N 1 2 313.361 1.423 20 30 DDEDLO CNC(=O)Cc1noc(C[N@@H+](C)[C@@H](C)c2cccc(C#N)c2)n1 ZINC000425537186 420410927 /nfs/dbraw/zinc/41/09/27/420410927.db2.gz PVSGWGFASHTTCL-NSHDSACASA-N 1 2 313.361 1.423 20 30 DDEDLO N#Cc1cnc(N(CC[NH+]2CCOCC2)Cc2ccco2)cn1 ZINC000450945577 420567580 /nfs/dbraw/zinc/56/75/80/420567580.db2.gz XFEJPKQUPNWATO-UHFFFAOYSA-N 1 2 313.361 1.280 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(C(=O)NCCCC#N)C1 ZINC000441077352 420630397 /nfs/dbraw/zinc/63/03/97/420630397.db2.gz FYDTURSBURBCEU-INIZCTEOSA-N 1 2 324.425 1.395 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)NCc2cc(C#N)ccc2F)c1 ZINC000458477052 420666908 /nfs/dbraw/zinc/66/69/08/420666908.db2.gz ZNBXKNRHALPVKY-UHFFFAOYSA-N 1 2 301.325 1.473 20 30 DDEDLO N#CC1(c2ccccn2)CCN(C(=O)C=Cc2c[nH]c[nH+]2)CC1 ZINC000492857351 420687797 /nfs/dbraw/zinc/68/77/97/420687797.db2.gz DLTMZRVYIGIPHL-SNAWJCMRSA-N 1 2 307.357 1.902 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1ncc(C#N)cc1Cl ZINC000447662288 420792441 /nfs/dbraw/zinc/79/24/41/420792441.db2.gz ZSBYQLQLWYSEFG-LLVKDONJSA-N 1 2 322.796 1.399 20 30 DDEDLO C=CC(C)(C)CNC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000454235606 420820466 /nfs/dbraw/zinc/82/04/66/420820466.db2.gz RWTJECHQVWQUDC-UHFFFAOYSA-N 1 2 318.421 1.930 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)C(=O)N1CC[NH+](C)CC1 ZINC000460058343 420917345 /nfs/dbraw/zinc/91/73/45/420917345.db2.gz HHEARUYJQOYTEV-UHFFFAOYSA-N 1 2 315.417 1.018 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)/C=C\c1ccc(C#N)cc1 ZINC000493437672 420851146 /nfs/dbraw/zinc/85/11/46/420851146.db2.gz WJXYZWYNJBLMKF-LZNQSLFOSA-N 1 2 313.401 1.751 20 30 DDEDLO CC(C)C[C@H](CNC(=O)c1cc(C#N)ccn1)[NH+]1CCOCC1 ZINC000478691087 420970689 /nfs/dbraw/zinc/97/06/89/420970689.db2.gz SVSXTHUQOHWSGL-OAHLLOKOSA-N 1 2 316.405 1.430 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC[C@]2(CC[N@@H+]2CC(=O)NCC#N)C1 ZINC000495677967 421045986 /nfs/dbraw/zinc/04/59/86/421045986.db2.gz ULAOMHPHBHRRKH-INIZCTEOSA-N 1 2 322.409 1.102 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC[C@]2(CC[N@H+]2CC(=O)NCC#N)C1 ZINC000495677967 421045989 /nfs/dbraw/zinc/04/59/89/421045989.db2.gz ULAOMHPHBHRRKH-INIZCTEOSA-N 1 2 322.409 1.102 20 30 DDEDLO C#CC[C@H]([NH2+]CC1CCN(C(=O)OC(C)(C)C)CC1)C(=O)OC ZINC000495979512 421109562 /nfs/dbraw/zinc/10/95/62/421109562.db2.gz GSQQLDFBQLVSBD-AWEZNQCLSA-N 1 2 324.421 1.788 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N(C)CC[NH+]2CCOCC2)c1 ZINC000455963402 421081879 /nfs/dbraw/zinc/08/18/79/421081879.db2.gz BNZURAZDOLAAJW-UHFFFAOYSA-N 1 2 302.378 1.663 20 30 DDEDLO N#Cc1cc2c(nc1NCCCNc1cccc[nH+]1)CCOC2 ZINC000450252913 421171528 /nfs/dbraw/zinc/17/15/28/421171528.db2.gz LJJUVITZFCXABV-UHFFFAOYSA-N 1 2 309.373 2.335 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@H+](C)CC2(C#N)CCC2)C1 ZINC000450343647 421185594 /nfs/dbraw/zinc/18/55/94/421185594.db2.gz YSXYBISARAULSL-AWEZNQCLSA-N 1 2 321.421 1.414 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@@H+](C)CC2(C#N)CCC2)C1 ZINC000450343647 421185597 /nfs/dbraw/zinc/18/55/97/421185597.db2.gz YSXYBISARAULSL-AWEZNQCLSA-N 1 2 321.421 1.414 20 30 DDEDLO C[C@@H]1C(NNc2cncc[nH+]2)=CC(=O)N1C(=O)OC(C)(C)C ZINC000496351377 421237113 /nfs/dbraw/zinc/23/71/13/421237113.db2.gz YSDPALMNJGNSHZ-SECBINFHSA-N 1 2 305.338 1.800 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@@]1(C#N)CCOC1)C2 ZINC000526017022 421323534 /nfs/dbraw/zinc/32/35/34/421323534.db2.gz KZMOSGPTDMLGNA-BDJLRTHQSA-N 1 2 302.378 1.437 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@@]1(C#N)CCOC1)CC2 ZINC000526017022 421323537 /nfs/dbraw/zinc/32/35/37/421323537.db2.gz KZMOSGPTDMLGNA-BDJLRTHQSA-N 1 2 302.378 1.437 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000547414419 421330333 /nfs/dbraw/zinc/33/03/33/421330333.db2.gz CKPAGIHCJVPAHT-GFCCVEGCSA-N 1 2 318.377 1.409 20 30 DDEDLO N#Cc1ccc(OCC[N@@H+]2CCO[C@@H](C(=O)C3CC3)C2)cc1 ZINC000547843302 421373723 /nfs/dbraw/zinc/37/37/23/421373723.db2.gz UPIYEMTXISTXAO-MRXNPFEDSA-N 1 2 300.358 1.617 20 30 DDEDLO N#Cc1ccc(OCC[N@H+]2CCO[C@@H](C(=O)C3CC3)C2)cc1 ZINC000547843302 421373726 /nfs/dbraw/zinc/37/37/26/421373726.db2.gz UPIYEMTXISTXAO-MRXNPFEDSA-N 1 2 300.358 1.617 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCc3n[nH]c(=O)cc3C2)c1C#N ZINC000548161709 421406775 /nfs/dbraw/zinc/40/67/75/421406775.db2.gz HKNZEIVVPHDLLF-UHFFFAOYSA-N 1 2 324.340 1.399 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCc3n[nH]c(=O)cc3C2)c1C#N ZINC000548161709 421406777 /nfs/dbraw/zinc/40/67/77/421406777.db2.gz HKNZEIVVPHDLLF-UHFFFAOYSA-N 1 2 324.340 1.399 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Nc1cccc(C#N)n1 ZINC000514671460 421445785 /nfs/dbraw/zinc/44/57/85/421445785.db2.gz NUKOBLQHYMKPTH-JSGCOSHPSA-N 1 2 315.377 1.280 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N2CC(n3cc[nH+]c3)C2)cc1 ZINC000527455998 421387439 /nfs/dbraw/zinc/38/74/39/421387439.db2.gz WCLOWSYFWAKSRX-OAHLLOKOSA-N 1 2 305.341 1.521 20 30 DDEDLO CCC(=O)N1CC[N@H+](CCC(=O)Nc2sccc2C#N)C1 ZINC000563619018 421528514 /nfs/dbraw/zinc/52/85/14/421528514.db2.gz HGXOMAWMSXPQRF-UHFFFAOYSA-N 1 2 306.391 1.460 20 30 DDEDLO CCC(=O)N1CC[N@@H+](CCC(=O)Nc2sccc2C#N)C1 ZINC000563619018 421528517 /nfs/dbraw/zinc/52/85/17/421528517.db2.gz HGXOMAWMSXPQRF-UHFFFAOYSA-N 1 2 306.391 1.460 20 30 DDEDLO CCc1noc2ncc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc12 ZINC000563221147 421474156 /nfs/dbraw/zinc/47/41/56/421474156.db2.gz ZNRUZAXATSQMRE-OAHLLOKOSA-N 1 2 301.350 1.359 20 30 DDEDLO C[C@H](CC(=O)N1CCN(c2cnccc2C#N)CC1)n1cc[nH+]c1 ZINC000515489776 421506003 /nfs/dbraw/zinc/50/60/03/421506003.db2.gz IMGDFGWTDYZYTJ-CQSZACIVSA-N 1 2 324.388 1.450 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1cccc(C[S@@](C)=O)c1 ZINC000564722966 421592016 /nfs/dbraw/zinc/59/20/16/421592016.db2.gz AHDOZMGJFKCRLV-YCRPNKLZSA-N 1 2 309.435 1.183 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1cccc(C[S@@](C)=O)c1 ZINC000564722966 421592017 /nfs/dbraw/zinc/59/20/17/421592017.db2.gz AHDOZMGJFKCRLV-YCRPNKLZSA-N 1 2 309.435 1.183 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](CC(=O)N1CCOC[C@H]1C#N)C(F)(F)F ZINC000553158053 421604457 /nfs/dbraw/zinc/60/44/57/421604457.db2.gz JZNHQKOEQDPKOJ-NXEZZACHSA-N 1 2 316.283 1.207 20 30 DDEDLO C[C@H](OCc1ccc(F)cc1)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000517376015 421611113 /nfs/dbraw/zinc/61/11/13/421611113.db2.gz FHLKIAHUEMWDFG-BLLLJJGKSA-N 1 2 307.369 1.691 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)Cc2ccc(CC#N)cc2)C[C@H](C)[N@H+]1C ZINC000567774694 421614660 /nfs/dbraw/zinc/61/46/60/421614660.db2.gz PZRZGQHDCBBLSF-OKILXGFUSA-N 1 2 321.446 1.607 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)Cc2ccc(CC#N)cc2)C[C@H](C)[N@@H+]1C ZINC000567774694 421614663 /nfs/dbraw/zinc/61/46/63/421614663.db2.gz PZRZGQHDCBBLSF-OKILXGFUSA-N 1 2 321.446 1.607 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NC2CCC(C)CC2)C1=O ZINC000532216994 421652279 /nfs/dbraw/zinc/65/22/79/421652279.db2.gz RYQOVSHKNZLUQF-YMAMQOFZSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NC2CCC(C)CC2)C1=O ZINC000532216994 421652281 /nfs/dbraw/zinc/65/22/81/421652281.db2.gz RYQOVSHKNZLUQF-YMAMQOFZSA-N 1 2 307.438 1.400 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc2c(c1)NC(=O)CS2 ZINC000566069310 421602073 /nfs/dbraw/zinc/60/20/73/421602073.db2.gz AYCDNLUFURCZAS-HNNXBMFYSA-N 1 2 318.402 1.304 20 30 DDEDLO CNS(=O)(=O)c1cccc(C[NH2+]C[C@H](C#N)CCC#N)c1 ZINC000558506666 421812421 /nfs/dbraw/zinc/81/24/21/421812421.db2.gz CXSFJUBIPOUXBD-ZDUSSCGKSA-N 1 2 306.391 1.128 20 30 DDEDLO N#CCC[NH2+]Cc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000572112314 421764352 /nfs/dbraw/zinc/76/43/52/421764352.db2.gz CNGKGLTYRRJMAY-UHFFFAOYSA-N 1 2 307.419 1.864 20 30 DDEDLO C=CC[N@H+](Cc1cccc2n[nH]cc21)[C@@H]1CCS(=O)(=O)C1 ZINC000628151861 422060757 /nfs/dbraw/zinc/06/07/57/422060757.db2.gz DIZVTLZINJEQDL-CYBMUJFWSA-N 1 2 305.403 1.738 20 30 DDEDLO C=CC[N@@H+](Cc1cccc2n[nH]cc21)[C@@H]1CCS(=O)(=O)C1 ZINC000628151861 422060758 /nfs/dbraw/zinc/06/07/58/422060758.db2.gz DIZVTLZINJEQDL-CYBMUJFWSA-N 1 2 305.403 1.738 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1cccc(C#N)n1)[NH+]1CCOCC1 ZINC000574808243 422185788 /nfs/dbraw/zinc/18/57/88/422185788.db2.gz AEUIKERWXRHLGS-NWDGAFQWSA-N 1 2 303.366 1.184 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)NC[C@@H]1COCC[N@H+]1C ZINC000637447241 422214942 /nfs/dbraw/zinc/21/49/42/422214942.db2.gz XPDBQQFVZZNJQO-MRXNPFEDSA-N 1 2 303.406 1.715 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000637447241 422214949 /nfs/dbraw/zinc/21/49/49/422214949.db2.gz XPDBQQFVZZNJQO-MRXNPFEDSA-N 1 2 303.406 1.715 20 30 DDEDLO C[C@@H](NC(=O)CC1CCCCC1)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000576403765 422374751 /nfs/dbraw/zinc/37/47/51/422374751.db2.gz YFAYUSGDAFMWKK-CXAGYDPISA-N 1 2 322.453 1.422 20 30 DDEDLO C=CCOc1ccc(CC(=O)NC[C@H]2COCC[N@H+]2C)cc1 ZINC000628914424 422385170 /nfs/dbraw/zinc/38/51/70/422385170.db2.gz LWKNXJZOJQGZAS-HNNXBMFYSA-N 1 2 304.390 1.241 20 30 DDEDLO C=CCOc1ccc(CC(=O)NC[C@H]2COCC[N@@H+]2C)cc1 ZINC000628914424 422385173 /nfs/dbraw/zinc/38/51/73/422385173.db2.gz LWKNXJZOJQGZAS-HNNXBMFYSA-N 1 2 304.390 1.241 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)[C@@H](C)N1CC[NH2+]CC1=O ZINC000637931013 422486423 /nfs/dbraw/zinc/48/64/23/422486423.db2.gz CCNQZNSUWRTUJQ-CQSZACIVSA-N 1 2 301.390 1.022 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000636005873 422556889 /nfs/dbraw/zinc/55/68/89/422556889.db2.gz QMZDFEDUIXVYIF-QWRGUYRKSA-N 1 2 305.300 1.820 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2([NH+]3CCOCC3)CC(OCC)C2)C1 ZINC000632770727 422566809 /nfs/dbraw/zinc/56/68/09/422566809.db2.gz RVGRGUYGFOIOEG-UHFFFAOYSA-N 1 2 322.449 1.729 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N2[C@@H](C)CCC[C@@H]2C)nn1 ZINC000640785705 423182156 /nfs/dbraw/zinc/18/21/56/423182156.db2.gz LROPRXSKKJDXRE-KBPBESRZSA-N 1 2 303.410 1.181 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000645938946 423251528 /nfs/dbraw/zinc/25/15/28/423251528.db2.gz ZVZQJSYUHOGTMU-KGLIPLIRSA-N 1 2 315.408 1.825 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000645938946 423251532 /nfs/dbraw/zinc/25/15/32/423251532.db2.gz ZVZQJSYUHOGTMU-KGLIPLIRSA-N 1 2 315.408 1.825 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)NCCC2CCCCC2)nn1 ZINC000640975074 423307720 /nfs/dbraw/zinc/30/77/20/423307720.db2.gz UCLUFOOVYKRKDC-UHFFFAOYSA-N 1 2 317.437 1.478 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(N3CCCCC3=O)CC2)nn1 ZINC000653570135 423533790 /nfs/dbraw/zinc/53/37/90/423533790.db2.gz BHKLVSSYVVLUMM-UHFFFAOYSA-N 1 2 317.437 1.831 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H](c3n[nH]c(=O)o3)C2)nn1 ZINC000653657318 423567385 /nfs/dbraw/zinc/56/73/85/423567385.db2.gz QVKXCGLNSXWOPQ-LLVKDONJSA-N 1 2 304.354 1.322 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H](c3n[nH]c(=O)o3)C2)nn1 ZINC000653657318 423567404 /nfs/dbraw/zinc/56/74/04/423567404.db2.gz QVKXCGLNSXWOPQ-LLVKDONJSA-N 1 2 304.354 1.322 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@@H](C(F)(F)F)[C@H](CO)C2)nn1 ZINC000653672134 423572981 /nfs/dbraw/zinc/57/29/81/423572981.db2.gz CNFRKHMHGQAFTK-WCQYABFASA-N 1 2 318.343 1.847 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@@H](C(F)(F)F)[C@H](CO)C2)nn1 ZINC000653672134 423572986 /nfs/dbraw/zinc/57/29/86/423572986.db2.gz CNFRKHMHGQAFTK-WCQYABFASA-N 1 2 318.343 1.847 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000649238926 423692833 /nfs/dbraw/zinc/69/28/33/423692833.db2.gz PJCAILDQOPLPHJ-HZPDHXFCSA-N 1 2 311.385 1.822 20 30 DDEDLO C=C(C)[C@@H](CO)[N@@H+]1CCN(Cc2ccc(Cl)cc2)C(=O)C1 ZINC000649319550 423749810 /nfs/dbraw/zinc/74/98/10/423749810.db2.gz SIUZTCMFWNYTKZ-OAHLLOKOSA-N 1 2 308.809 1.921 20 30 DDEDLO C=C(C)[C@@H](CO)[N@H+]1CCN(Cc2ccc(Cl)cc2)C(=O)C1 ZINC000649319550 423749816 /nfs/dbraw/zinc/74/98/16/423749816.db2.gz SIUZTCMFWNYTKZ-OAHLLOKOSA-N 1 2 308.809 1.921 20 30 DDEDLO C=C(C)CN(Cc1ccc(CO)o1)c1nc[nH+]c2c1OCCN2 ZINC000665719088 423767421 /nfs/dbraw/zinc/76/74/21/423767421.db2.gz QISLUVNXRYZWJA-UHFFFAOYSA-N 1 2 316.361 1.949 20 30 DDEDLO C=C(C)CN(Cc1ccc(CO)o1)c1[nH+]cnc2c1OCCN2 ZINC000665719088 423767430 /nfs/dbraw/zinc/76/74/30/423767430.db2.gz QISLUVNXRYZWJA-UHFFFAOYSA-N 1 2 316.361 1.949 20 30 DDEDLO C=CCCNC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000660389448 424669956 /nfs/dbraw/zinc/66/99/56/424669956.db2.gz UKKVCMRXGHNUJL-CYBMUJFWSA-N 1 2 304.394 1.682 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(Cc2nc(C#N)cs2)CC1 ZINC000356079624 266111476 /nfs/dbraw/zinc/11/14/76/266111476.db2.gz BBLSIVGTKZUYQM-UHFFFAOYSA-N 1 2 321.450 1.001 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1cc(C#N)ccc1Cl ZINC000352584808 267068482 /nfs/dbraw/zinc/06/84/82/267068482.db2.gz WKJHQYKXSKYOAQ-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1cc(C#N)ccc1Cl ZINC000352584808 267068486 /nfs/dbraw/zinc/06/84/86/267068486.db2.gz WKJHQYKXSKYOAQ-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Nc1cccc(C#N)c1 ZINC000375841704 267079302 /nfs/dbraw/zinc/07/93/02/267079302.db2.gz SSXDRRDBBRKWSB-CZUORRHYSA-N 1 2 314.389 1.885 20 30 DDEDLO CCOC[C@H]1C[N@H+](CCOc2ccc(C#N)cc2OC)CCO1 ZINC000528722672 267142441 /nfs/dbraw/zinc/14/24/41/267142441.db2.gz RLUBIFFDMNVDJM-OAHLLOKOSA-N 1 2 320.389 1.683 20 30 DDEDLO CCOC[C@H]1C[N@@H+](CCOc2ccc(C#N)cc2OC)CCO1 ZINC000528722672 267142445 /nfs/dbraw/zinc/14/24/45/267142445.db2.gz RLUBIFFDMNVDJM-OAHLLOKOSA-N 1 2 320.389 1.683 20 30 DDEDLO C[C@H]1[C@@H]([NH+]2CCOCC2)CCN1Cc1cccc(C#N)c1F ZINC000352008345 267251353 /nfs/dbraw/zinc/25/13/53/267251353.db2.gz OZHZCDSXLFFXHN-BBRMVZONSA-N 1 2 303.381 1.992 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000356090956 267386163 /nfs/dbraw/zinc/38/61/63/267386163.db2.gz LTEDEMFUCFVRIM-CYBMUJFWSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000356090956 267386168 /nfs/dbraw/zinc/38/61/68/267386168.db2.gz LTEDEMFUCFVRIM-CYBMUJFWSA-N 1 2 302.378 1.709 20 30 DDEDLO N#Cc1ccc(Cl)c(C(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)c1 ZINC000375753630 268094994 /nfs/dbraw/zinc/09/49/94/268094994.db2.gz AUMZMDFDXFJKQQ-CYBMUJFWSA-N 1 2 319.792 1.805 20 30 DDEDLO N#Cc1ccc(Cl)c(C(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)c1 ZINC000375753630 268094995 /nfs/dbraw/zinc/09/49/95/268094995.db2.gz AUMZMDFDXFJKQQ-CYBMUJFWSA-N 1 2 319.792 1.805 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+][C@@H](CO)c2ccsc2)C(N)=O)cc1 ZINC000352033923 268214644 /nfs/dbraw/zinc/21/46/44/268214644.db2.gz WZCJZWAKGFLXMY-KBPBESRZSA-N 1 2 301.371 1.469 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCc3c[nH+]ccc32)c(F)c1 ZINC000530736343 268254079 /nfs/dbraw/zinc/25/40/79/268254079.db2.gz VXDFRWCRGDDHDZ-UHFFFAOYSA-N 1 2 303.318 1.844 20 30 DDEDLO N#Cc1cnc(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c(Cl)c1 ZINC000519806678 268310114 /nfs/dbraw/zinc/31/01/14/268310114.db2.gz VYUDLFNKWSUGIR-TXEJJXNPSA-N 1 2 320.780 1.200 20 30 DDEDLO N#Cc1cnc(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c(Cl)c1 ZINC000519806678 268310117 /nfs/dbraw/zinc/31/01/17/268310117.db2.gz VYUDLFNKWSUGIR-TXEJJXNPSA-N 1 2 320.780 1.200 20 30 DDEDLO N#Cc1cnn(-c2ccc(C(=O)NCCc3[nH]cc[nH+]3)cc2)c1N ZINC000359691533 268316835 /nfs/dbraw/zinc/31/68/35/268316835.db2.gz JQFJYTDFTCVZLR-UHFFFAOYSA-N 1 2 321.344 1.022 20 30 DDEDLO N#Cc1ncccc1S(=O)(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000365426416 268329201 /nfs/dbraw/zinc/32/92/01/268329201.db2.gz HJUCUESDIBLSGZ-NSHDSACASA-N 1 2 303.347 1.202 20 30 DDEDLO C#CC[NH+]1CCN(c2nc3c(cc2[N+](=O)[O-])CCCC3)CC1 ZINC000491732394 275382800 /nfs/dbraw/zinc/38/28/00/275382800.db2.gz JOVTXZDBCMPJDD-UHFFFAOYSA-N 1 2 300.362 1.624 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC#N)C2)CC1 ZINC000376172002 277188612 /nfs/dbraw/zinc/18/86/12/277188612.db2.gz BHIOZMXNKPPJRT-ZDUSSCGKSA-N 1 2 322.409 1.054 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1c1ccc([N+](=O)[O-])cc1C#N ZINC000291260016 278101257 /nfs/dbraw/zinc/10/12/57/278101257.db2.gz YZCHCCNTBSPUIY-IUODEOHRSA-N 1 2 316.361 1.766 20 30 DDEDLO Cc1noc([C@H]2C[N@@H+](Cc3ccc(F)c(C#N)c3)CCN2C)n1 ZINC000332263348 279258118 /nfs/dbraw/zinc/25/81/18/279258118.db2.gz FHYBJKRCDBVPQN-OAHLLOKOSA-N 1 2 315.352 1.878 20 30 DDEDLO Cc1noc([C@H]2C[N@H+](Cc3ccc(F)c(C#N)c3)CCN2C)n1 ZINC000332263348 279258121 /nfs/dbraw/zinc/25/81/21/279258121.db2.gz FHYBJKRCDBVPQN-OAHLLOKOSA-N 1 2 315.352 1.878 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+]Cc2cccc([N+](=O)[O-])c2)C(N)=O)cc1 ZINC000115598506 281078496 /nfs/dbraw/zinc/07/84/96/281078496.db2.gz WKGKEMRQPARWAK-HNNXBMFYSA-N 1 2 310.313 1.783 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+](C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000121133848 281132517 /nfs/dbraw/zinc/13/25/17/281132517.db2.gz UBTKJKSJAVNWIH-OAHLLOKOSA-N 1 2 320.414 1.718 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+](C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000121133848 281132518 /nfs/dbraw/zinc/13/25/18/281132518.db2.gz UBTKJKSJAVNWIH-OAHLLOKOSA-N 1 2 320.414 1.718 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1cccc(C#N)c1[N+](=O)[O-] ZINC000450531018 288527665 /nfs/dbraw/zinc/52/76/65/288527665.db2.gz REDAMYHLXUORBL-TZMCWYRMSA-N 1 2 316.361 1.766 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C\c1ccc2c(c1)OCO2 ZINC000493057498 289204034 /nfs/dbraw/zinc/20/40/34/289204034.db2.gz VGPUYAOXYVDBMG-GMNBZTITSA-N 1 2 301.346 1.389 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C\c1cnn(-c2ccccc2)c1 ZINC000493430849 289219481 /nfs/dbraw/zinc/21/94/81/289219481.db2.gz UOJRVTWUBMRWFX-LPADLIQXSA-N 1 2 323.400 1.846 20 30 DDEDLO C[C@H](c1nncn1C)[N@@H+]1CCCN(c2ccccc2C#N)CC1 ZINC000286690215 290285508 /nfs/dbraw/zinc/28/55/08/290285508.db2.gz BOQACEDBHWBPRG-CQSZACIVSA-N 1 2 310.405 1.960 20 30 DDEDLO C[C@H](c1nncn1C)[N@H+]1CCCN(c2ccccc2C#N)CC1 ZINC000286690215 290285510 /nfs/dbraw/zinc/28/55/10/290285510.db2.gz BOQACEDBHWBPRG-CQSZACIVSA-N 1 2 310.405 1.960 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](CC(N)=O)CC(C)C)c(C#N)c1C ZINC000178702754 294035606 /nfs/dbraw/zinc/03/56/06/294035606.db2.gz ITILLXIYBCSWPW-UHFFFAOYSA-N 1 2 306.366 1.150 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](CC(N)=O)CC(C)C)c(C#N)c1C ZINC000178702754 294035608 /nfs/dbraw/zinc/03/56/08/294035608.db2.gz ITILLXIYBCSWPW-UHFFFAOYSA-N 1 2 306.366 1.150 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+](C)[C@@H]2CCN(C)C2=O)c(C#N)c1C ZINC000265647282 294037704 /nfs/dbraw/zinc/03/77/04/294037704.db2.gz MKEKIOIVBVNYEB-ZWNOBZJWSA-N 1 2 318.377 1.258 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+](C)[C@@H]2CCN(C)C2=O)c(C#N)c1C ZINC000265647282 294037709 /nfs/dbraw/zinc/03/77/09/294037709.db2.gz MKEKIOIVBVNYEB-ZWNOBZJWSA-N 1 2 318.377 1.258 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000573706373 308249699 /nfs/dbraw/zinc/24/96/99/308249699.db2.gz KAHPELRSFBQRSR-AWEZNQCLSA-N 1 2 316.386 1.189 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[NH+]1CCC(CCO)CC1 ZINC000576972461 308353456 /nfs/dbraw/zinc/35/34/56/308353456.db2.gz FSPJTTYFGASWEI-INIZCTEOSA-N 1 2 302.374 1.867 20 30 DDEDLO N#CCC1CCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)CC1 ZINC000570120299 332714582 /nfs/dbraw/zinc/71/45/82/332714582.db2.gz PPARUEHKZAYPGP-UHFFFAOYSA-N 1 2 311.345 1.425 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(C(=O)OCc2ccccc2)CC1 ZINC000497192466 333219733 /nfs/dbraw/zinc/21/97/33/333219733.db2.gz GNMGGGJUJINGPZ-HNNXBMFYSA-N 1 2 317.389 1.869 20 30 DDEDLO N#C[C@@H]1C[N@H+]([C@@H]2CCCCNC2=O)C[C@]12C(=O)Nc1ccccc12 ZINC000340724782 334383519 /nfs/dbraw/zinc/38/35/19/334383519.db2.gz BOJFUVLWPLTNKU-DWQUBVKVSA-N 1 2 324.384 1.001 20 30 DDEDLO N#C[C@@H]1C[N@@H+]([C@@H]2CCCCNC2=O)C[C@]12C(=O)Nc1ccccc12 ZINC000340724782 334383520 /nfs/dbraw/zinc/38/35/20/334383520.db2.gz BOJFUVLWPLTNKU-DWQUBVKVSA-N 1 2 324.384 1.001 20 30 DDEDLO Cc1noc(C2(C)CC[NH+](CC(=O)NC3(C#N)CCC3)CC2)n1 ZINC000526135383 336230501 /nfs/dbraw/zinc/23/05/01/336230501.db2.gz QVNTUISUVFKLOB-UHFFFAOYSA-N 1 2 317.393 1.294 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N1CCC(CCC#N)CC1 ZINC000567106699 337448630 /nfs/dbraw/zinc/44/86/30/337448630.db2.gz YZKIVOCXIQDLFH-CQSZACIVSA-N 1 2 308.426 1.432 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)N2CC(C)(C#N)C2)CC1 ZINC000515733600 337995993 /nfs/dbraw/zinc/99/59/93/337995993.db2.gz WPKZCEYYOCLZPS-UHFFFAOYSA-N 1 2 301.394 1.004 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2cccc(Cl)c2)CC1 ZINC000125849323 340812114 /nfs/dbraw/zinc/81/21/14/340812114.db2.gz RRYNDGASRZOLIS-ZDUSSCGKSA-N 1 2 305.809 1.600 20 30 DDEDLO C[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1ccc([N+](=O)[O-])cc1F ZINC000574162498 341750562 /nfs/dbraw/zinc/75/05/62/341750562.db2.gz LQDWBJRUPVOWMG-BONVTDFDSA-N 1 2 322.340 1.797 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000577154543 341891361 /nfs/dbraw/zinc/89/13/61/341891361.db2.gz OHVGZJOKSZCBLJ-CABCVRRESA-N 1 2 316.405 1.693 20 30 DDEDLO Cc1nc(COC(C)(C)C)oc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000575185959 484348542 /nfs/dbraw/zinc/34/85/42/484348542.db2.gz OWQGDUDKOOGRDZ-MRXNPFEDSA-N 1 2 322.409 1.872 20 30 DDEDLO C=C[C@H](CC(=O)NC[C@@H]1CN2CC[N@@H+]1CCC2)c1ccccc1 ZINC000670407365 484814404 /nfs/dbraw/zinc/81/44/04/484814404.db2.gz PHEZSAMZCMNORA-SJLPKXTDSA-N 1 2 313.445 1.852 20 30 DDEDLO C=C[C@H](CC(=O)NC[C@@H]1CN2CC[N@H+]1CCC2)c1ccccc1 ZINC000670407365 484814408 /nfs/dbraw/zinc/81/44/08/484814408.db2.gz PHEZSAMZCMNORA-SJLPKXTDSA-N 1 2 313.445 1.852 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC[C@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000666848219 485367102 /nfs/dbraw/zinc/36/71/02/485367102.db2.gz LOUZRXDFFMRZKV-AEFFLSMTSA-N 1 2 318.417 1.422 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC000673282676 485404237 /nfs/dbraw/zinc/40/42/37/485404237.db2.gz PKEYWUPFQHOSRS-MRXNPFEDSA-N 1 2 315.417 1.714 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC000673282676 485404241 /nfs/dbraw/zinc/40/42/41/485404241.db2.gz PKEYWUPFQHOSRS-MRXNPFEDSA-N 1 2 315.417 1.714 20 30 DDEDLO C=CCNC(=O)C[N@H+](Cc1ccccc1)C[C@@H](O)C(F)(F)F ZINC000679675390 485970297 /nfs/dbraw/zinc/97/02/97/485970297.db2.gz JMCRLVPXYXFHKP-CYBMUJFWSA-N 1 2 316.323 1.714 20 30 DDEDLO C=CCNC(=O)C[N@@H+](Cc1ccccc1)C[C@@H](O)C(F)(F)F ZINC000679675390 485970302 /nfs/dbraw/zinc/97/03/02/485970302.db2.gz JMCRLVPXYXFHKP-CYBMUJFWSA-N 1 2 316.323 1.714 20 30 DDEDLO C[C@@H](OC[C@@H](O)C[NH+]1CC(O)(CC#N)C1)c1ccc(Cl)cc1 ZINC000424128872 533888687 /nfs/dbraw/zinc/88/86/87/533888687.db2.gz CPRQQOBZJLIOQN-DOMZBBRYSA-N 1 2 324.808 1.739 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H](CC(C)C)[NH+]2CCOCC2)cnn1 ZINC000330945498 534008971 /nfs/dbraw/zinc/00/89/71/534008971.db2.gz KAFHGVIRSBZBGV-OAHLLOKOSA-N 1 2 306.410 1.837 20 30 DDEDLO Cc1cc(C(=O)NCc2[nH+]ccn2CC(F)(F)F)ncc1C#N ZINC000457528399 534063739 /nfs/dbraw/zinc/06/37/39/534063739.db2.gz SDTXYZPOEOOQET-UHFFFAOYSA-N 1 2 323.278 1.951 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CC[C@](O)(C(F)F)C1 ZINC000451440664 534297785 /nfs/dbraw/zinc/29/77/85/534297785.db2.gz XKPXICDHXKHORF-MEBBXXQBSA-N 1 2 309.316 1.587 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CC[C@](O)(C(F)F)C1 ZINC000451440664 534297786 /nfs/dbraw/zinc/29/77/86/534297786.db2.gz XKPXICDHXKHORF-MEBBXXQBSA-N 1 2 309.316 1.587 20 30 DDEDLO CC[C@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000580512617 517803727 /nfs/dbraw/zinc/80/37/27/517803727.db2.gz MFYWBQZFFSGPQI-UONOGXRCSA-N 1 2 315.421 1.378 20 30 DDEDLO Cc1cnc([C@H](C)CNC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)s1 ZINC000329696342 534631631 /nfs/dbraw/zinc/63/16/31/534631631.db2.gz XWXOYXKBLVBIAT-WXHSDQCUSA-N 1 2 324.450 1.484 20 30 DDEDLO Cc1cnc([C@H](C)CNC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)s1 ZINC000329696342 534631636 /nfs/dbraw/zinc/63/16/36/534631636.db2.gz XWXOYXKBLVBIAT-WXHSDQCUSA-N 1 2 324.450 1.484 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2ccc(F)c(F)c2)C1 ZINC000330946927 526401221 /nfs/dbraw/zinc/40/12/21/526401221.db2.gz LHOCNLUTVZVDLV-SNVBAGLBSA-N 1 2 312.320 1.111 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(F)c(F)c2)C1 ZINC000330946927 526401224 /nfs/dbraw/zinc/40/12/24/526401224.db2.gz LHOCNLUTVZVDLV-SNVBAGLBSA-N 1 2 312.320 1.111 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)N(C)c2ccccc2)C1=O ZINC000337202429 526472303 /nfs/dbraw/zinc/47/23/03/526472303.db2.gz FAWWNRFMOWJZEO-GOEBONIOSA-N 1 2 315.417 1.757 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)N(C)c2ccccc2)C1=O ZINC000337202429 526472308 /nfs/dbraw/zinc/47/23/08/526472308.db2.gz FAWWNRFMOWJZEO-GOEBONIOSA-N 1 2 315.417 1.757 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N2[C@H](C)CCC[C@H]2C)C1=O ZINC000337218879 526503033 /nfs/dbraw/zinc/50/30/33/526503033.db2.gz JIUSPGHOSLPKRX-FPCVCCKLSA-N 1 2 321.465 1.883 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N2[C@H](C)CCC[C@H]2C)C1=O ZINC000337218879 526503034 /nfs/dbraw/zinc/50/30/34/526503034.db2.gz JIUSPGHOSLPKRX-FPCVCCKLSA-N 1 2 321.465 1.883 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(C(=O)C3CCCCC3)CC2)C1=O ZINC000337123189 526508246 /nfs/dbraw/zinc/50/82/46/526508246.db2.gz BXKFTQJAEALEIC-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C(C)CN(Cc1ccc(CO)o1)C(=O)Cc1[nH]c[nH+]c1C ZINC000342381717 526555363 /nfs/dbraw/zinc/55/53/63/526555363.db2.gz UCMFYLLVRYNRJT-UHFFFAOYSA-N 1 2 303.362 1.951 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CCC[C@H]1C[NH+]1CCOCC1)OCC ZINC000339365408 526744222 /nfs/dbraw/zinc/74/42/22/526744222.db2.gz JOXFUAKFSOXTDP-JKSUJKDBSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCNC(=O)[C@@H]1Cc1nc2ccccc2o1 ZINC000339098672 526772321 /nfs/dbraw/zinc/77/23/21/526772321.db2.gz ZXBZHJDWKBZEPS-AWEZNQCLSA-N 1 2 315.373 1.373 20 30 DDEDLO C=CCOCC[N@H+]1CCNC(=O)[C@@H]1Cc1nc2ccccc2o1 ZINC000339098672 526772326 /nfs/dbraw/zinc/77/23/26/526772326.db2.gz ZXBZHJDWKBZEPS-AWEZNQCLSA-N 1 2 315.373 1.373 20 30 DDEDLO C=CCOC[C@H]1NC(=O)N(CCCNc2cccc[nH+]2)C1=O ZINC000348497174 526811842 /nfs/dbraw/zinc/81/18/42/526811842.db2.gz JDVFUSFHBSRWRI-GFCCVEGCSA-N 1 2 304.350 1.007 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)NC[C@@H](C)[NH+]1CCN(C)CC1 ZINC000457577925 526824557 /nfs/dbraw/zinc/82/45/57/526824557.db2.gz MNDJAYWGZOVLDU-BZUAXINKSA-N 1 2 309.454 1.110 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)C1([NH+]2CCOCC2)CCCC1 ZINC000373886704 526829248 /nfs/dbraw/zinc/82/92/48/526829248.db2.gz FUHGYWVNVWXFOX-HZPDHXFCSA-N 1 2 322.449 1.729 20 30 DDEDLO C#CCN(Cc1ccc(F)cc1)C(=O)NCCc1cn(C)c[nH+]1 ZINC000491786809 526905910 /nfs/dbraw/zinc/90/59/10/526905910.db2.gz PJXLWCPNOKRLJN-UHFFFAOYSA-N 1 2 314.364 1.947 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cccc(C(C)(F)F)c2)CC1 ZINC000491044191 526951938 /nfs/dbraw/zinc/95/19/38/526951938.db2.gz GIBAJONQXLHVQD-UHFFFAOYSA-N 1 2 321.371 1.988 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2C[C@H]2CCCCO2)CC1 ZINC000491065946 526956156 /nfs/dbraw/zinc/95/61/56/526956156.db2.gz ACMFJQWIASYPLB-OAHLLOKOSA-N 1 2 317.437 1.165 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000341546253 526964302 /nfs/dbraw/zinc/96/43/02/526964302.db2.gz MAXRIFCKVPNTPN-UONOGXRCSA-N 1 2 319.405 1.485 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C2(CC(C)C)CC2)CC1 ZINC000491633467 526990106 /nfs/dbraw/zinc/99/01/06/526990106.db2.gz PUZCTCRAHIPHID-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(CC(C)C)CC2)CC1 ZINC000491633467 526990109 /nfs/dbraw/zinc/99/01/09/526990109.db2.gz PUZCTCRAHIPHID-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN([C@@H](C)c2ccc(F)cc2F)CC1 ZINC000491164694 527003627 /nfs/dbraw/zinc/00/36/27/527003627.db2.gz DRLLRNLGIAEEHN-ZDUSSCGKSA-N 1 2 321.371 1.393 20 30 DDEDLO CC(=O)[C@](C)(O)C[NH+]1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC000331288363 527153486 /nfs/dbraw/zinc/15/34/86/527153486.db2.gz AOHYHJNENVCWJX-MRXNPFEDSA-N 1 2 321.808 1.674 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000491625454 527205623 /nfs/dbraw/zinc/20/56/23/527205623.db2.gz HWZSPSJMVQTOGP-NVXWUHKLSA-N 1 2 315.417 1.723 20 30 DDEDLO C=CCCCS(=O)(=O)N(C)C(C)(C)C[NH+]1CCOCC1 ZINC000416642421 527237229 /nfs/dbraw/zinc/23/72/29/527237229.db2.gz GWNLQNGJWLELHW-UHFFFAOYSA-N 1 2 304.456 1.325 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000491666440 527301119 /nfs/dbraw/zinc/30/11/19/527301119.db2.gz LUIXMSZWEFDBBS-OAHLLOKOSA-N 1 2 314.389 1.225 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000491654323 527301357 /nfs/dbraw/zinc/30/13/57/527301357.db2.gz DRDJFYQIQZXYQM-QGZVFWFLSA-N 1 2 324.384 1.475 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1c(C#N)cnn1-c1ccccc1 ZINC000491816156 527330783 /nfs/dbraw/zinc/33/07/83/527330783.db2.gz MJWLSHQWSDDFLA-ZDUSSCGKSA-N 1 2 307.357 1.636 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1c(C#N)cnn1-c1ccccc1 ZINC000491816156 527330786 /nfs/dbraw/zinc/33/07/86/527330786.db2.gz MJWLSHQWSDDFLA-ZDUSSCGKSA-N 1 2 307.357 1.636 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C[C@@H](CNC(=O)OC(C)(C)C)CC1=O ZINC000491812339 527333440 /nfs/dbraw/zinc/33/34/40/527333440.db2.gz DTBDRAZFQUVMSA-QWHCGFSZSA-N 1 2 309.410 1.271 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C[C@@H](CNC(=O)OC(C)(C)C)CC1=O ZINC000491812339 527333444 /nfs/dbraw/zinc/33/34/44/527333444.db2.gz DTBDRAZFQUVMSA-QWHCGFSZSA-N 1 2 309.410 1.271 20 30 DDEDLO C=CCn1cc(C[NH2+][C@@H](Cc2ccc(Cl)cc2)C(N)=O)nn1 ZINC000424144436 527374606 /nfs/dbraw/zinc/37/46/06/527374606.db2.gz SFBUDYHNZSHGTL-AWEZNQCLSA-N 1 2 319.796 1.304 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCc2ccc(O)cc2C1 ZINC000332679809 527932071 /nfs/dbraw/zinc/93/20/71/527932071.db2.gz HPZZMMYACXYLRD-KRWDZBQOSA-N 1 2 301.390 1.805 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCc2ccc(O)cc2C1 ZINC000332679809 527932074 /nfs/dbraw/zinc/93/20/74/527932074.db2.gz HPZZMMYACXYLRD-KRWDZBQOSA-N 1 2 301.390 1.805 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330747839 528409972 /nfs/dbraw/zinc/40/99/72/528409972.db2.gz GRFWORAJEVLHMW-JJRVBVJISA-N 1 2 322.453 1.420 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330747839 528409981 /nfs/dbraw/zinc/40/99/81/528409981.db2.gz GRFWORAJEVLHMW-JJRVBVJISA-N 1 2 322.453 1.420 20 30 DDEDLO CC(C)[C@@H]1CN(C([O-])=[NH+]C[C@@H]2CCc3[nH+]ccn3C2)CCO1 ZINC000329915966 528710159 /nfs/dbraw/zinc/71/01/59/528710159.db2.gz YPVDCQZBWNIBBW-KBPBESRZSA-N 1 2 306.410 1.716 20 30 DDEDLO CCCC[C@@H](NC(=O)NCC)C(=O)N1CCn2cc[nH+]c2C1 ZINC000331205790 528835191 /nfs/dbraw/zinc/83/51/91/528835191.db2.gz DEWONFRJFYNYDP-GFCCVEGCSA-N 1 2 307.398 1.308 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)SCC[NH+]1CCOCC1 ZINC000342445045 528855087 /nfs/dbraw/zinc/85/50/87/528855087.db2.gz RIHIZHZDMJKNNE-UKRRQHHQSA-N 1 2 313.467 1.495 20 30 DDEDLO C[C@@H](C#N)C[N@H+](CCOc1ccccc1-c1nn[nH]n1)C1CC1 ZINC000824035074 607831440 /nfs/dbraw/zinc/83/14/40/607831440.db2.gz SLCIXVQZPNOTHQ-LBPRGKRZSA-N 1 2 312.377 1.870 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](CCOc1ccccc1-c1nn[nH]n1)C1CC1 ZINC000824035074 607831441 /nfs/dbraw/zinc/83/14/41/607831441.db2.gz SLCIXVQZPNOTHQ-LBPRGKRZSA-N 1 2 312.377 1.870 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCc3cncn3C2)C1 ZINC000971818217 695102240 /nfs/dbraw/zinc/10/22/40/695102240.db2.gz NMGMIGGILOGYOF-DZGCQCFKSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCc3cncn3C2)C1 ZINC000971818217 695102242 /nfs/dbraw/zinc/10/22/42/695102242.db2.gz NMGMIGGILOGYOF-DZGCQCFKSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3oc(C)nc3C)C2)C1 ZINC000972317443 695214679 /nfs/dbraw/zinc/21/46/79/695214679.db2.gz VNUHZTLKWCWGPL-MRXNPFEDSA-N 1 2 305.378 1.394 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3oc(C)nc3C)C2)C1 ZINC000972317443 695214681 /nfs/dbraw/zinc/21/46/81/695214681.db2.gz VNUHZTLKWCWGPL-MRXNPFEDSA-N 1 2 305.378 1.394 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3[nH]ccc3C)C2)C1 ZINC000972363496 695229538 /nfs/dbraw/zinc/22/95/38/695229538.db2.gz ARBRZBDBPODHLL-KRWDZBQOSA-N 1 2 301.390 1.263 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3[nH]ccc3C)C2)C1 ZINC000972363496 695229539 /nfs/dbraw/zinc/22/95/39/695229539.db2.gz ARBRZBDBPODHLL-KRWDZBQOSA-N 1 2 301.390 1.263 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3OCC[C@H]3CC)C2)C1 ZINC000972420634 695245108 /nfs/dbraw/zinc/24/51/08/695245108.db2.gz ABSYWAOSHSNFHS-SOLBZPMBSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3OCC[C@H]3CC)C2)C1 ZINC000972420634 695245109 /nfs/dbraw/zinc/24/51/09/695245109.db2.gz ABSYWAOSHSNFHS-SOLBZPMBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCN1CCO[C@@]2(CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C2)C1 ZINC000972434509 695250207 /nfs/dbraw/zinc/25/02/07/695250207.db2.gz HPKGIEUDRDWLBW-SFHVURJKSA-N 1 2 324.384 1.113 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(F)cn3)C2)C1 ZINC000972435762 695250937 /nfs/dbraw/zinc/25/09/37/695250937.db2.gz QTDHYCPXZKJFTE-QGZVFWFLSA-N 1 2 319.380 1.253 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(F)cn3)C2)C1 ZINC000972435762 695250938 /nfs/dbraw/zinc/25/09/38/695250938.db2.gz QTDHYCPXZKJFTE-QGZVFWFLSA-N 1 2 319.380 1.253 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnn(C)c3CC)C2)C1 ZINC000972450063 695254380 /nfs/dbraw/zinc/25/43/80/695254380.db2.gz QIRIKBMWKWAWTN-QGZVFWFLSA-N 1 2 318.421 1.085 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnn(C)c3CC)C2)C1 ZINC000972450063 695254384 /nfs/dbraw/zinc/25/43/84/695254384.db2.gz QIRIKBMWKWAWTN-QGZVFWFLSA-N 1 2 318.421 1.085 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(COC)o3)C2)C1 ZINC000972457863 695255678 /nfs/dbraw/zinc/25/56/78/695255678.db2.gz GQXXCVIRTDSSLL-QGZVFWFLSA-N 1 2 320.389 1.529 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(COC)o3)C2)C1 ZINC000972457863 695255680 /nfs/dbraw/zinc/25/56/80/695255680.db2.gz GQXXCVIRTDSSLL-QGZVFWFLSA-N 1 2 320.389 1.529 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C(F)(F)F)C2)C1 ZINC000972493173 695264568 /nfs/dbraw/zinc/26/45/68/695264568.db2.gz BZPFCPHPFIAZAZ-GXTWGEPZSA-N 1 2 318.339 1.511 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C(F)(F)F)C2)C1 ZINC000972493173 695264569 /nfs/dbraw/zinc/26/45/69/695264569.db2.gz BZPFCPHPFIAZAZ-GXTWGEPZSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3occc3C)C2)C1 ZINC000972542324 695277601 /nfs/dbraw/zinc/27/76/01/695277601.db2.gz JLBDCQWPNHOTAQ-KRWDZBQOSA-N 1 2 302.374 1.067 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3occc3C)C2)C1 ZINC000972542324 695277602 /nfs/dbraw/zinc/27/76/02/695277602.db2.gz JLBDCQWPNHOTAQ-KRWDZBQOSA-N 1 2 302.374 1.067 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3cncs3)C2)C1 ZINC000972552192 695280233 /nfs/dbraw/zinc/28/02/33/695280233.db2.gz KYUMPIZBBAMICK-INIZCTEOSA-N 1 2 319.430 1.012 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3cncs3)C2)C1 ZINC000972552192 695280237 /nfs/dbraw/zinc/28/02/37/695280237.db2.gz KYUMPIZBBAMICK-INIZCTEOSA-N 1 2 319.430 1.012 20 30 DDEDLO CC1(C)CN(CC#N)C[C@@H]1NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000974618163 695697245 /nfs/dbraw/zinc/69/72/45/695697245.db2.gz NMQRKCUQAFWXKN-AWEZNQCLSA-N 1 2 315.421 1.112 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ncc(OC)cn2)C(C)(C)C1 ZINC000974826695 695743991 /nfs/dbraw/zinc/74/39/91/695743991.db2.gz YBEZCJQNJPPEQT-GFCCVEGCSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ncc(OC)cn2)C(C)(C)C1 ZINC000974826695 695743993 /nfs/dbraw/zinc/74/39/93/695743993.db2.gz YBEZCJQNJPPEQT-GFCCVEGCSA-N 1 2 324.812 1.678 20 30 DDEDLO CC1(C)CN(CC#N)C[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC000977467549 696176643 /nfs/dbraw/zinc/17/66/43/696176643.db2.gz LXBWMUJHKHSRIC-OAHLLOKOSA-N 1 2 324.388 1.231 20 30 DDEDLO COc1ncnc(N)c1C=[NH+]Nc1cccc([N+](=O)[O-])c1C ZINC000747631205 700078776 /nfs/dbraw/zinc/07/87/76/700078776.db2.gz DUDJECVYXMVSTC-UHFFFAOYSA-N 1 2 302.294 1.730 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)Cc1cccc(OCC#N)c1 ZINC000089857235 696579917 /nfs/dbraw/zinc/57/99/17/696579917.db2.gz MCJNUJAKXJYTHD-UHFFFAOYSA-N 1 2 312.373 1.877 20 30 DDEDLO Cn1cc(N2CC[C@@H](O[NH+]=C(N)Cc3ccccc3)C2=O)cn1 ZINC000111176815 696642688 /nfs/dbraw/zinc/64/26/88/696642688.db2.gz MBWJKLWYIQCAJG-CQSZACIVSA-N 1 2 313.361 1.057 20 30 DDEDLO C#CCSCCNC(=O)[C@H](C(C)C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000130415094 696797483 /nfs/dbraw/zinc/79/74/83/696797483.db2.gz UCMUBQCRHNLIBT-ZNMIVQPWSA-N 1 2 312.479 1.603 20 30 DDEDLO C#CCSCCNC(=O)[C@H](C(C)C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000130415094 696797484 /nfs/dbraw/zinc/79/74/84/696797484.db2.gz UCMUBQCRHNLIBT-ZNMIVQPWSA-N 1 2 312.479 1.603 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cn(CCC(C)C)nn2)CC1 ZINC000151478563 696886201 /nfs/dbraw/zinc/88/62/01/696886201.db2.gz YNBUVGDNXAPMDR-UHFFFAOYSA-N 1 2 303.410 1.105 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCN(C(=O)c3ccc(C#N)[nH]3)CC2)ncn1 ZINC000980991941 696973831 /nfs/dbraw/zinc/97/38/31/696973831.db2.gz VNQFRQOIGLFJCN-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO Cc1cc(C[N@H+]2CCCN(C(=O)c3ccc(C#N)[nH]3)CC2)ncn1 ZINC000980991941 696973833 /nfs/dbraw/zinc/97/38/33/696973833.db2.gz VNQFRQOIGLFJCN-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CCC[N@H+](Cc1cnon1)C2 ZINC000981937131 696976473 /nfs/dbraw/zinc/97/64/73/696976473.db2.gz GBCPMKBKAJYQOM-UHFFFAOYSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CCC[N@@H+](Cc1cnon1)C2 ZINC000981937131 696976475 /nfs/dbraw/zinc/97/64/75/696976475.db2.gz GBCPMKBKAJYQOM-UHFFFAOYSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CC[N@@H+](CCOC)C[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC000189420332 697575696 /nfs/dbraw/zinc/57/56/96/697575696.db2.gz DYHITNWSBISXMU-OAHLLOKOSA-N 1 2 313.419 1.258 20 30 DDEDLO C=CC[N@H+](CCOC)C[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC000189420332 697575698 /nfs/dbraw/zinc/57/56/98/697575698.db2.gz DYHITNWSBISXMU-OAHLLOKOSA-N 1 2 313.419 1.258 20 30 DDEDLO CCCCCCCS(=O)(=O)NC[C@H]1C[N@H+](C)CCN1C ZINC000799771230 700165684 /nfs/dbraw/zinc/16/56/84/700165684.db2.gz ZDXDIDBYWFMQBP-AWEZNQCLSA-N 1 2 305.488 1.122 20 30 DDEDLO CCCCCCCS(=O)(=O)NC[C@H]1C[N@@H+](C)CCN1C ZINC000799771230 700165687 /nfs/dbraw/zinc/16/56/87/700165687.db2.gz ZDXDIDBYWFMQBP-AWEZNQCLSA-N 1 2 305.488 1.122 20 30 DDEDLO C[C@H]1[C@@H]([NH2+]Cc2cnsn2)CCN1C(=O)c1cc(C#N)c[nH]1 ZINC000986129361 697695940 /nfs/dbraw/zinc/69/59/40/697695940.db2.gz DIZZAKAFGNFWDB-CABZTGNLSA-N 1 2 316.390 1.131 20 30 DDEDLO C[C@@H]1[C@H]([NH2+]Cc2cnsn2)CCN1C(=O)c1c[nH]c(C#N)c1 ZINC000986185140 697724258 /nfs/dbraw/zinc/72/42/58/697724258.db2.gz NQKAJMGPBNGYDN-NOZJJQNGSA-N 1 2 316.390 1.131 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@H](C(=O)OC(C)(C)C)C[C@H]2CCCC[C@@H]21 ZINC000775845318 698044161 /nfs/dbraw/zinc/04/41/61/698044161.db2.gz PSTCBZCEWPHKMR-ILXRZTDVSA-N 1 2 320.433 1.711 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@H](C(=O)OC(C)(C)C)C[C@H]2CCCC[C@@H]21 ZINC000775845318 698044166 /nfs/dbraw/zinc/04/41/66/698044166.db2.gz PSTCBZCEWPHKMR-ILXRZTDVSA-N 1 2 320.433 1.711 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)N[C@@H](Cn2cc[nH+]c2)C(C)(C)C)n1 ZINC000750612332 700234924 /nfs/dbraw/zinc/23/49/24/700234924.db2.gz CZIBZLAEGJTWSM-LBPRGKRZSA-N 1 2 315.381 1.725 20 30 DDEDLO Cc1onc(CC(=O)N2CCC[C@@H](c3[nH+]ccn3C)C2)c1C#N ZINC000444670575 699175118 /nfs/dbraw/zinc/17/51/18/699175118.db2.gz YMIKKGCEDBZCRE-GFCCVEGCSA-N 1 2 313.361 1.537 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(CC(=O)OC)cc1 ZINC000730274583 699505640 /nfs/dbraw/zinc/50/56/40/699505640.db2.gz IDOHYGWHXGGTGD-HNNXBMFYSA-N 1 2 300.358 1.438 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(CC(=O)OC)cc1 ZINC000730274583 699505641 /nfs/dbraw/zinc/50/56/41/699505641.db2.gz IDOHYGWHXGGTGD-HNNXBMFYSA-N 1 2 300.358 1.438 20 30 DDEDLO N#Cc1c(=O)n(C[N@@H+]2CC[C@H](n3cccn3)C2)cc2c1CCCC2 ZINC000793520856 699762888 /nfs/dbraw/zinc/76/28/88/699762888.db2.gz MGYVWKKLELHKOW-HNNXBMFYSA-N 1 2 323.400 1.700 20 30 DDEDLO N#Cc1c(=O)n(C[N@H+]2CC[C@H](n3cccn3)C2)cc2c1CCCC2 ZINC000793520856 699762889 /nfs/dbraw/zinc/76/28/89/699762889.db2.gz MGYVWKKLELHKOW-HNNXBMFYSA-N 1 2 323.400 1.700 20 30 DDEDLO C=CCNC(=O)COC(=O)[C@H]1CCCC[N@@H+]1Cc1ccccc1 ZINC000741356693 699833601 /nfs/dbraw/zinc/83/36/01/699833601.db2.gz ZTJSTRMEHNSFTM-MRXNPFEDSA-N 1 2 316.401 1.887 20 30 DDEDLO C=CCNC(=O)COC(=O)[C@H]1CCCC[N@H+]1Cc1ccccc1 ZINC000741356693 699833603 /nfs/dbraw/zinc/83/36/03/699833603.db2.gz ZTJSTRMEHNSFTM-MRXNPFEDSA-N 1 2 316.401 1.887 20 30 DDEDLO COc1ccc(OC)c2c1C[N@H+](CCO[C@@H](C)C#N)C[C@@H]2O ZINC000801914533 700352724 /nfs/dbraw/zinc/35/27/24/700352724.db2.gz KEODCKWXKLWXPB-AAEUAGOBSA-N 1 2 306.362 1.481 20 30 DDEDLO COc1ccc(OC)c2c1C[N@@H+](CCO[C@@H](C)C#N)C[C@@H]2O ZINC000801914533 700352727 /nfs/dbraw/zinc/35/27/27/700352727.db2.gz KEODCKWXKLWXPB-AAEUAGOBSA-N 1 2 306.362 1.481 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+](C)C[C@H]1CCC[C@H]1O ZINC000755060101 700547259 /nfs/dbraw/zinc/54/72/59/700547259.db2.gz WGVRDIBDUKXPOQ-OAGGEKHMSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+](C)C[C@H]1CCC[C@H]1O ZINC000755060101 700547261 /nfs/dbraw/zinc/54/72/61/700547261.db2.gz WGVRDIBDUKXPOQ-OAGGEKHMSA-N 1 2 302.374 1.865 20 30 DDEDLO Nc1nc(Cl)c(C=[NH+]NC2CCOCC2)c(N2CCCC2)n1 ZINC000757589393 700665250 /nfs/dbraw/zinc/66/52/50/700665250.db2.gz FDTFBXFNRRQKGD-UHFFFAOYSA-N 1 2 324.816 1.415 20 30 DDEDLO C#CC[C@@H](NC(=O)NCC[N@@H+]1CCO[C@H](C)C1)c1ccccc1 ZINC000757638823 700667361 /nfs/dbraw/zinc/66/73/61/700667361.db2.gz KBSBBONCUGOQSV-NVXWUHKLSA-N 1 2 315.417 1.771 20 30 DDEDLO C#CC[C@@H](NC(=O)NCC[N@H+]1CCO[C@H](C)C1)c1ccccc1 ZINC000757638823 700667362 /nfs/dbraw/zinc/66/73/62/700667362.db2.gz KBSBBONCUGOQSV-NVXWUHKLSA-N 1 2 315.417 1.771 20 30 DDEDLO COCCCNC(=S)N[NH+]=Cc1ccc(N2CCCC2)nc1 ZINC000758368118 700701833 /nfs/dbraw/zinc/70/18/33/700701833.db2.gz SVARQGYRDPCTMO-UHFFFAOYSA-N 1 2 321.450 1.516 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(Cc2ccc(F)c(C#N)c2)CC1 ZINC000758710860 700719849 /nfs/dbraw/zinc/71/98/49/700719849.db2.gz DNOYQLGJHZBFAD-OAQYLSRUSA-N 1 2 309.410 1.193 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](CCCN3CCCC3=O)CCO2)c1 ZINC000761756702 700869021 /nfs/dbraw/zinc/86/90/21/700869021.db2.gz BYEZQNOJUJCGPY-KRWDZBQOSA-N 1 2 313.401 1.944 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](CCCN3CCCC3=O)CCO2)c1 ZINC000761756702 700869022 /nfs/dbraw/zinc/86/90/22/700869022.db2.gz BYEZQNOJUJCGPY-KRWDZBQOSA-N 1 2 313.401 1.944 20 30 DDEDLO C#CCOCCNC(=O)N1CC[NH+]([C@@H](C)c2ccsc2)CC1 ZINC000765305923 701006718 /nfs/dbraw/zinc/00/67/18/701006718.db2.gz POKDVQLPWGUJNC-AWEZNQCLSA-N 1 2 321.446 1.786 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2nnnn2C(C)(C)C)cc1 ZINC000766059825 701036056 /nfs/dbraw/zinc/03/60/56/701036056.db2.gz RLBCNEDOVNADLB-UHFFFAOYSA-N 1 2 304.354 1.274 20 30 DDEDLO N#Cc1ccc(CC(=O)OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000767521976 701113377 /nfs/dbraw/zinc/11/33/77/701113377.db2.gz DQQOYEUMBXOKDH-IYBDPMFKSA-N 1 2 300.358 1.507 20 30 DDEDLO N#Cc1ccc(CC(=O)OCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000767521976 701113379 /nfs/dbraw/zinc/11/33/79/701113379.db2.gz DQQOYEUMBXOKDH-IYBDPMFKSA-N 1 2 300.358 1.507 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2cccc(F)c2)CC1 ZINC000772116628 701343921 /nfs/dbraw/zinc/34/39/21/701343921.db2.gz VNZFEMVNOVHVOC-UHFFFAOYSA-N 1 2 303.333 1.897 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)N[C@@](C)(CCC(=O)OCC)C1=O ZINC000808176726 701505047 /nfs/dbraw/zinc/50/50/47/701505047.db2.gz KATGDVQSIXOLPD-HNNXBMFYSA-N 1 2 311.382 1.106 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)N[C@@](C)(CCC(=O)OCC)C1=O ZINC000808176726 701505048 /nfs/dbraw/zinc/50/50/48/701505048.db2.gz KATGDVQSIXOLPD-HNNXBMFYSA-N 1 2 311.382 1.106 20 30 DDEDLO C#C[C@H](NC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C)[C@H]1CCCO1 ZINC000839517099 701721799 /nfs/dbraw/zinc/72/17/99/701721799.db2.gz NQJUWXHYULBQRV-UONOGXRCSA-N 1 2 315.373 1.242 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)[C@@]2(C#N)CCCOC2)C1 ZINC000867844010 701789091 /nfs/dbraw/zinc/78/90/91/701789091.db2.gz OFUPVIDEGMWKND-CZUORRHYSA-N 1 2 302.378 1.446 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@H]2NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000867893502 701814005 /nfs/dbraw/zinc/81/40/05/701814005.db2.gz RDUCWTYJJAPASX-NLVOMGJBSA-N 1 2 311.385 1.550 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1nccc(C(F)(F)F)n1 ZINC000839838878 701841500 /nfs/dbraw/zinc/84/15/00/701841500.db2.gz FVJKYVJAKLCQEY-JTQLQIEISA-N 1 2 312.295 1.209 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1nccc(C(F)(F)F)n1 ZINC000839838878 701841508 /nfs/dbraw/zinc/84/15/08/701841508.db2.gz FVJKYVJAKLCQEY-JTQLQIEISA-N 1 2 312.295 1.209 20 30 DDEDLO CN(C)c1[nH+]cc(CN=Nc2nc(-c3ccccc3)no2)n1C ZINC000811640897 702019361 /nfs/dbraw/zinc/01/93/61/702019361.db2.gz FAUNTJKGGGFLKS-UHFFFAOYSA-N 1 2 311.349 1.982 20 30 DDEDLO CN(C)c1ncc(C=[NH+]Nc2ccc3c(cc[nH]c3=O)c2)n1C ZINC000811648211 702020835 /nfs/dbraw/zinc/02/08/35/702020835.db2.gz NCHHFJVSTSKGAK-UHFFFAOYSA-N 1 2 310.361 1.774 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@]1(C)OCCc2sccc21 ZINC000812265509 702132769 /nfs/dbraw/zinc/13/27/69/702132769.db2.gz IZHJEAAGNKQTPJ-YOEHRIQHSA-N 1 2 318.442 1.750 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@]1(C)OCCc2sccc21 ZINC000812265509 702132774 /nfs/dbraw/zinc/13/27/74/702132774.db2.gz IZHJEAAGNKQTPJ-YOEHRIQHSA-N 1 2 318.442 1.750 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@H]1CCCN1C(=O)[C@@H](O)c1c[nH+]c[nH]1 ZINC000866234540 706656351 /nfs/dbraw/zinc/65/63/51/706656351.db2.gz NHHOAEFLMJWESS-BMFZPTHFSA-N 1 2 310.357 1.741 20 30 DDEDLO C[C@@H](O)CNc1cc(N[C@@H]2Cc3ccc(C#N)cc3C2)nc[nH+]1 ZINC000866259390 706660470 /nfs/dbraw/zinc/66/04/70/706660470.db2.gz LZWNDRICQZOGNO-IAQYHMDHSA-N 1 2 309.373 1.720 20 30 DDEDLO C[C@@H](O)CNc1cc(N[C@@H]2Cc3ccc(C#N)cc3C2)[nH+]cn1 ZINC000866259390 706660472 /nfs/dbraw/zinc/66/04/72/706660472.db2.gz LZWNDRICQZOGNO-IAQYHMDHSA-N 1 2 309.373 1.720 20 30 DDEDLO C[C@@H]([NH2+]C[C@H](C)CN1CCOCC1)c1cccc(C#N)c1O ZINC000866268624 706661582 /nfs/dbraw/zinc/66/15/82/706661582.db2.gz AFHDWDLXJVFLPJ-UONOGXRCSA-N 1 2 303.406 1.883 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(CC(C)(C)O)C1)c1cccc(C#N)c1O ZINC000866413992 706693121 /nfs/dbraw/zinc/69/31/21/706693121.db2.gz YALLPCHBPZXWIZ-JSGCOSHPSA-N 1 2 303.406 1.760 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](C[C@@H]2CN(C3CC3)C(=O)O2)CC1 ZINC000844310296 703010335 /nfs/dbraw/zinc/01/03/35/703010335.db2.gz QQOPPIUEDCQXIC-HUUCEWRRSA-N 1 2 306.410 1.135 20 30 DDEDLO C[C@@H](CC(=O)OCC(=O)N[C@@](C)(C#N)C1CC1)n1cc[nH+]c1 ZINC000845277677 703137787 /nfs/dbraw/zinc/13/77/87/703137787.db2.gz ABBPKQPOHPWULP-NHYWBVRUSA-N 1 2 304.350 1.186 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+]([C@@H]2CCCNC2=O)CC1 ZINC000879590164 706730137 /nfs/dbraw/zinc/73/01/37/706730137.db2.gz SNHSOPVEWCGYMC-OAHLLOKOSA-N 1 2 302.353 1.098 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@@H](CN3CCOC3=O)C2)nn1 ZINC000846929746 703353124 /nfs/dbraw/zinc/35/31/24/703353124.db2.gz YSKKGWYWNKZAHJ-CYBMUJFWSA-N 1 2 305.382 1.128 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@@H](CN3CCOC3=O)C2)nn1 ZINC000846929746 703353126 /nfs/dbraw/zinc/35/31/26/703353126.db2.gz YSKKGWYWNKZAHJ-CYBMUJFWSA-N 1 2 305.382 1.128 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)[C@H](C)Cc2c[nH]c[nH+]2)c1 ZINC000847361795 703407536 /nfs/dbraw/zinc/40/75/36/703407536.db2.gz MXUFQAJVDJCGQD-CYBMUJFWSA-N 1 2 324.384 1.667 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)[C@H](C)Cc2c[nH+]c[nH]2)c1 ZINC000847361795 703407538 /nfs/dbraw/zinc/40/75/38/703407538.db2.gz MXUFQAJVDJCGQD-CYBMUJFWSA-N 1 2 324.384 1.667 20 30 DDEDLO CC(=NNCCCn1cc[nH+]c1)c1cn(-c2ccccc2)nn1 ZINC000848416257 703548001 /nfs/dbraw/zinc/54/80/01/703548001.db2.gz FAAPVLLMJZPPQY-UHFFFAOYSA-N 1 2 309.377 1.868 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CN2C(=O)C(=O)N(C3CCCC3)C2=O)C1 ZINC000848494381 703557774 /nfs/dbraw/zinc/55/77/74/703557774.db2.gz WUEYBHAFWVRQJX-LBPRGKRZSA-N 1 2 303.362 1.023 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CN2C(=O)C(=O)N(C3CCCC3)C2=O)C1 ZINC000848494381 703557775 /nfs/dbraw/zinc/55/77/75/703557775.db2.gz WUEYBHAFWVRQJX-LBPRGKRZSA-N 1 2 303.362 1.023 20 30 DDEDLO C=CCCn1cc(C[NH2+]CCS(=O)(=O)C(C)(C)C)nn1 ZINC000850134535 703706353 /nfs/dbraw/zinc/70/63/53/703706353.db2.gz CMYHETQBJFJVQE-UHFFFAOYSA-N 1 2 300.428 1.157 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C[C@H](O)c3ccccn3)CC2)cc1 ZINC000851722496 703837059 /nfs/dbraw/zinc/83/70/59/703837059.db2.gz FUWLZEZVIHQEMD-IBGZPJMESA-N 1 2 322.412 1.804 20 30 DDEDLO N#CCOc1ccc(C[N@H+]2CCC[C@@H]3OCCNC(=O)[C@H]32)cc1 ZINC000879718238 706768611 /nfs/dbraw/zinc/76/86/11/706768611.db2.gz KZGDOAMSOQZPCF-HOTGVXAUSA-N 1 2 315.373 1.068 20 30 DDEDLO N#CCOc1ccc(C[N@@H+]2CCC[C@@H]3OCCNC(=O)[C@H]32)cc1 ZINC000879718238 706768614 /nfs/dbraw/zinc/76/86/14/706768614.db2.gz KZGDOAMSOQZPCF-HOTGVXAUSA-N 1 2 315.373 1.068 20 30 DDEDLO N#Cc1sccc1N1CC[NH+](C[C@H](O)c2ccccn2)CC1 ZINC000852343586 704018088 /nfs/dbraw/zinc/01/80/88/704018088.db2.gz YMLCGPRESSHSPT-HNNXBMFYSA-N 1 2 314.414 1.870 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000852633561 704088505 /nfs/dbraw/zinc/08/85/05/704088505.db2.gz GTOGVZOTHVTCAD-NVXWUHKLSA-N 1 2 318.373 1.246 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000852633561 704088508 /nfs/dbraw/zinc/08/85/08/704088508.db2.gz GTOGVZOTHVTCAD-NVXWUHKLSA-N 1 2 318.373 1.246 20 30 DDEDLO C[NH+](C)[C@@H](C(=O)N[C@H]1CCN(O)C1=O)c1ccc(Cl)cc1 ZINC000820132295 704227154 /nfs/dbraw/zinc/22/71/54/704227154.db2.gz OWMPFOGHCDNJHE-NWDGAFQWSA-N 1 2 311.769 1.049 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CN2C(=O)[C@@]3(CCCO3)[C@H]2C2CC2)C1 ZINC000853523800 704259309 /nfs/dbraw/zinc/25/93/09/704259309.db2.gz GZNQFGIFZOZQLE-YSVLISHTSA-N 1 2 303.406 1.740 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CN2C(=O)[C@@]3(CCCO3)[C@H]2C2CC2)C1 ZINC000853523800 704259311 /nfs/dbraw/zinc/25/93/11/704259311.db2.gz GZNQFGIFZOZQLE-YSVLISHTSA-N 1 2 303.406 1.740 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C[C@]2(CCSC2)C1=O)[C@H](C)COC ZINC000853532776 704261331 /nfs/dbraw/zinc/26/13/31/704261331.db2.gz MOSJCZNWVXBYIG-DOMZBBRYSA-N 1 2 312.435 1.349 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C[C@]2(CCSC2)C1=O)[C@H](C)COC ZINC000853532776 704261332 /nfs/dbraw/zinc/26/13/32/704261332.db2.gz MOSJCZNWVXBYIG-DOMZBBRYSA-N 1 2 312.435 1.349 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)[C@@]2(CCCO2)[C@@H]1C1CC1 ZINC000853621340 704287769 /nfs/dbraw/zinc/28/77/69/704287769.db2.gz ZEFSMBZXESXBFR-DOTOQJQBSA-N 1 2 306.406 1.086 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)[C@@]2(CCCO2)[C@@H]1C1CC1 ZINC000853621340 704287772 /nfs/dbraw/zinc/28/77/72/704287772.db2.gz ZEFSMBZXESXBFR-DOTOQJQBSA-N 1 2 306.406 1.086 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000871543719 704312073 /nfs/dbraw/zinc/31/20/73/704312073.db2.gz UASWJEWKDVGRBT-UHFFFAOYSA-N 1 2 308.422 1.712 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(NC(=O)CC(C)(C)C#N)CC1 ZINC000871543861 704312195 /nfs/dbraw/zinc/31/21/95/704312195.db2.gz WBUDXODGXJHNIN-UHFFFAOYSA-N 1 2 323.437 1.849 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000823542765 705264469 /nfs/dbraw/zinc/26/44/69/705264469.db2.gz ZCLNJDYVEVGXEF-MNOVXSKESA-N 1 2 301.268 1.237 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H]2c3ccccc3C[N@H+]2C)nn1 ZINC000826690795 705847067 /nfs/dbraw/zinc/84/70/67/705847067.db2.gz UHNLKWNDGHIWIA-INIZCTEOSA-N 1 2 311.389 1.771 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H]2c3ccccc3C[N@@H+]2C)nn1 ZINC000826690795 705847069 /nfs/dbraw/zinc/84/70/69/705847069.db2.gz UHNLKWNDGHIWIA-INIZCTEOSA-N 1 2 311.389 1.771 20 30 DDEDLO C=C(C)[C@H](CO)[N@H+]1CCO[C@@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000828052837 706110040 /nfs/dbraw/zinc/11/00/40/706110040.db2.gz LRNVZTIPXYSWQY-MELADBBJSA-N 1 2 314.426 1.537 20 30 DDEDLO C=C(C)[C@H](CO)[N@@H+]1CCO[C@@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000828052837 706110041 /nfs/dbraw/zinc/11/00/41/706110041.db2.gz LRNVZTIPXYSWQY-MELADBBJSA-N 1 2 314.426 1.537 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000828335557 706162562 /nfs/dbraw/zinc/16/25/62/706162562.db2.gz DGOGJGXHPMHYFK-HNNXBMFYSA-N 1 2 302.422 1.766 20 30 DDEDLO COC(=O)CCN(CC#N)Cc1cc(-n2cc[nH+]c2)cs1 ZINC000877710018 706184170 /nfs/dbraw/zinc/18/41/70/706184170.db2.gz CJNANLGCIUVYTJ-UHFFFAOYSA-N 1 2 304.375 1.822 20 30 DDEDLO O=C1C=C(NNc2cc(Br)nc[nH+]2)[C@H]2CCCCN12 ZINC000872435721 707409376 /nfs/dbraw/zinc/40/93/76/707409376.db2.gz DLYGGJBBLPHSHM-SECBINFHSA-N 1 2 324.182 1.792 20 30 DDEDLO COC(=O)C1(C#N)CCN(c2cc(NCC3CC3)[nH+]cn2)CC1 ZINC000828969198 706265786 /nfs/dbraw/zinc/26/57/86/706265786.db2.gz DSJCATZJCAGUTQ-UHFFFAOYSA-N 1 2 315.377 1.582 20 30 DDEDLO COC(=O)C1(C#N)CCN(c2cc(NCC3CC3)nc[nH+]2)CC1 ZINC000828969198 706265789 /nfs/dbraw/zinc/26/57/89/706265789.db2.gz DSJCATZJCAGUTQ-UHFFFAOYSA-N 1 2 315.377 1.582 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(C(F)(F)F)ncn2)CC1 ZINC000865086807 706345649 /nfs/dbraw/zinc/34/56/49/706345649.db2.gz ZJRVFZIPLAQUEN-UHFFFAOYSA-N 1 2 312.295 1.277 20 30 DDEDLO COc1ccc(NC(=O)/C=C\C[NH+]2CCOCC2)cc1C#N ZINC000830413534 706513535 /nfs/dbraw/zinc/51/35/35/706513535.db2.gz VMFZVLFOHKMVPG-IHWYPQMZSA-N 1 2 301.346 1.394 20 30 DDEDLO C#C[C@](C)(CC)NC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000878850546 706516680 /nfs/dbraw/zinc/51/66/80/706516680.db2.gz YZBNZXUYBILSPZ-MRXNPFEDSA-N 1 2 319.409 1.139 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC000867279253 706946616 /nfs/dbraw/zinc/94/66/16/706946616.db2.gz XYYRNVDKOVKANT-INIZCTEOSA-N 1 2 313.401 1.490 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC000867279253 706946618 /nfs/dbraw/zinc/94/66/18/706946618.db2.gz XYYRNVDKOVKANT-INIZCTEOSA-N 1 2 313.401 1.490 20 30 DDEDLO CO[C@@H]1COCC[C@H]1[N@H+](C)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000880434773 706974818 /nfs/dbraw/zinc/97/48/18/706974818.db2.gz GLKNCZDBHPUDHK-HUUCEWRRSA-N 1 2 305.334 1.702 20 30 DDEDLO CO[C@@H]1COCC[C@H]1[N@@H+](C)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000880434773 706974820 /nfs/dbraw/zinc/97/48/20/706974820.db2.gz GLKNCZDBHPUDHK-HUUCEWRRSA-N 1 2 305.334 1.702 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000880831617 707082366 /nfs/dbraw/zinc/08/23/66/707082366.db2.gz MXZRQAXUZPUATR-KRWDZBQOSA-N 1 2 310.438 1.321 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000880831617 707082368 /nfs/dbraw/zinc/08/23/68/707082368.db2.gz MXZRQAXUZPUATR-KRWDZBQOSA-N 1 2 310.438 1.321 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cc(CC#N)ccn2)[C@@H](C)CO1 ZINC000871735239 707181547 /nfs/dbraw/zinc/18/15/47/707181547.db2.gz JTDHCASQJQBVIM-QWHCGFSZSA-N 1 2 317.393 1.378 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cc(CC#N)ccn2)[C@@H](C)CO1 ZINC000871735239 707181550 /nfs/dbraw/zinc/18/15/50/707181550.db2.gz JTDHCASQJQBVIM-QWHCGFSZSA-N 1 2 317.393 1.378 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+][C@@H](C)c1c(F)cccc1[N+](=O)[O-] ZINC000872059254 707286079 /nfs/dbraw/zinc/28/60/79/707286079.db2.gz CFFGNJPNNRDJHV-JTQLQIEISA-N 1 2 316.354 1.985 20 30 DDEDLO COCc1cc(NC[C@@H](O)C[N@H+](C)CCC#N)ccc1OC ZINC000872225726 707337768 /nfs/dbraw/zinc/33/77/68/707337768.db2.gz NZAWNUZTKXRAHO-OAHLLOKOSA-N 1 2 307.394 1.460 20 30 DDEDLO COCc1cc(NC[C@@H](O)C[N@@H+](C)CCC#N)ccc1OC ZINC000872225726 707337770 /nfs/dbraw/zinc/33/77/70/707337770.db2.gz NZAWNUZTKXRAHO-OAHLLOKOSA-N 1 2 307.394 1.460 20 30 DDEDLO C=CCONC(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000836259534 707426537 /nfs/dbraw/zinc/42/65/37/707426537.db2.gz LIHMTKLGVCWJDS-UHFFFAOYSA-N 1 2 318.377 1.313 20 30 DDEDLO C#Cc1cccc(CNC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)c1 ZINC000837107432 707579439 /nfs/dbraw/zinc/57/94/39/707579439.db2.gz NIBYWWARLGRJIO-SFHVURJKSA-N 1 2 312.413 1.928 20 30 DDEDLO C#Cc1cccc(CNC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)c1 ZINC000837107432 707579443 /nfs/dbraw/zinc/57/94/43/707579443.db2.gz NIBYWWARLGRJIO-SFHVURJKSA-N 1 2 312.413 1.928 20 30 DDEDLO C#CCC1(O)CCN(C(=O)[C@H](CC(C)C)n2cc[nH+]c2)CC1 ZINC000882529511 707684380 /nfs/dbraw/zinc/68/43/80/707684380.db2.gz MMHGBSOKEMVUGJ-HNNXBMFYSA-N 1 2 303.406 1.847 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)CCc1ccccc1F ZINC000884100009 708138615 /nfs/dbraw/zinc/13/86/15/708138615.db2.gz GVEBZAQJVJBJNV-AWEZNQCLSA-N 1 2 308.353 1.273 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@](C)(CC)c1ccccc1 ZINC000884130833 708153240 /nfs/dbraw/zinc/15/32/40/708153240.db2.gz UYTVPUIQHZXTOD-WMLDXEAASA-N 1 2 304.390 1.875 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H]1CCc2ccccc2C1 ZINC000884145469 708159735 /nfs/dbraw/zinc/15/97/35/708159735.db2.gz LGIMJCOWVAQUQR-BBRMVZONSA-N 1 2 316.401 1.354 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H]1CCCO[C@H]1C(C)C ZINC000884171230 708170465 /nfs/dbraw/zinc/17/04/65/708170465.db2.gz PXEGHCGMRSCNOR-IPYPFGDCSA-N 1 2 312.410 1.000 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)[C@@H]1CC2CCC1CC2 ZINC000884388623 708275503 /nfs/dbraw/zinc/27/55/03/708275503.db2.gz BCVNEKFQDRPCRP-IIZVFWCOSA-N 1 2 308.422 1.764 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)c1cccc2cc[nH]c21 ZINC000884462722 708306861 /nfs/dbraw/zinc/30/68/61/708306861.db2.gz MSYGMLXNODRGOH-LBPRGKRZSA-N 1 2 301.346 1.577 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CC[C@H]([C@@H](C)O)C3)nc[nH+]2)C1 ZINC000884595921 708335489 /nfs/dbraw/zinc/33/54/89/708335489.db2.gz QNXTUVIYJZMXNN-KFWWJZLASA-N 1 2 300.406 1.533 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CC[C@H]([C@@H](C)O)C3)[nH+]cn2)C1 ZINC000884595921 708335492 /nfs/dbraw/zinc/33/54/92/708335492.db2.gz QNXTUVIYJZMXNN-KFWWJZLASA-N 1 2 300.406 1.533 20 30 DDEDLO CC(C)[NH+]1CCN(S(=O)(=O)c2ccc(CCC#N)cc2)CC1 ZINC000884919523 708420024 /nfs/dbraw/zinc/42/00/24/708420024.db2.gz QALCCEZNPYGDFW-UHFFFAOYSA-N 1 2 321.446 1.857 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)Cc2ccc(C)cc2)C1 ZINC000885508265 708561877 /nfs/dbraw/zinc/56/18/77/708561877.db2.gz DNMUYYDLMWFOHT-INIZCTEOSA-N 1 2 306.431 1.512 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)Cc2ccc(C)cc2)C1 ZINC000885508265 708561879 /nfs/dbraw/zinc/56/18/79/708561879.db2.gz DNMUYYDLMWFOHT-INIZCTEOSA-N 1 2 306.431 1.512 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccc(F)cc2)C1 ZINC000885513804 708563250 /nfs/dbraw/zinc/56/32/50/708563250.db2.gz GVIYOOUWZCIXCT-OAHLLOKOSA-N 1 2 310.394 1.343 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccc(F)cc2)C1 ZINC000885513804 708563253 /nfs/dbraw/zinc/56/32/53/708563253.db2.gz GVIYOOUWZCIXCT-OAHLLOKOSA-N 1 2 310.394 1.343 20 30 DDEDLO N#Cc1ccc([C@@H]2CN(C(=O)CCc3[nH]cc[nH+]3)CCO2)cc1 ZINC000887734758 709113998 /nfs/dbraw/zinc/11/39/98/709113998.db2.gz ILEOIJFWATYSKK-HNNXBMFYSA-N 1 2 310.357 1.814 20 30 DDEDLO C#C[C@H]1CCCCN1C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000890650116 709939922 /nfs/dbraw/zinc/93/99/22/709939922.db2.gz KGUFNWATQGAWKT-INIZCTEOSA-N 1 2 312.417 1.218 20 30 DDEDLO CN(C)c1cc(NC2CCN(c3ccc(C#N)cn3)CC2)[nH+]cn1 ZINC000892297361 710412241 /nfs/dbraw/zinc/41/22/41/710412241.db2.gz KJLYDBYXPIBQBD-UHFFFAOYSA-N 1 2 323.404 1.890 20 30 DDEDLO CN(C)c1cc(NC2CCN(c3ccc(C#N)cn3)CC2)nc[nH+]1 ZINC000892297361 710412243 /nfs/dbraw/zinc/41/22/43/710412243.db2.gz KJLYDBYXPIBQBD-UHFFFAOYSA-N 1 2 323.404 1.890 20 30 DDEDLO C#CCn1ccc(CN(CCOC)c2cc(N(C)C)[nH+]cn2)n1 ZINC000893563991 710709662 /nfs/dbraw/zinc/70/96/62/710709662.db2.gz XXKINOCKAQCEKS-UHFFFAOYSA-N 1 2 314.393 1.025 20 30 DDEDLO C#CCn1ccc(CN(CCOC)c2cc(N(C)C)nc[nH+]2)n1 ZINC000893563991 710709666 /nfs/dbraw/zinc/70/96/66/710709666.db2.gz XXKINOCKAQCEKS-UHFFFAOYSA-N 1 2 314.393 1.025 20 30 DDEDLO N#Cc1csc(CNC(=O)N[C@H]2CCn3cc[nH+]c3C2)n1 ZINC000894308267 711060720 /nfs/dbraw/zinc/06/07/20/711060720.db2.gz IVOQCAFFPLKOGY-VIFPVBQESA-N 1 2 302.363 1.025 20 30 DDEDLO CC[C@@H]([NH2+]CCCc1nnnn1C)c1cccc(C#N)c1O ZINC000903350870 711100538 /nfs/dbraw/zinc/10/05/38/711100538.db2.gz FNCJGOZCCNNSRJ-CYBMUJFWSA-N 1 2 300.366 1.461 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1cc(O)cc(C#N)c1 ZINC000928645325 713248474 /nfs/dbraw/zinc/24/84/74/713248474.db2.gz XJMJTIWPSBOLMK-CQSZACIVSA-N 1 2 303.362 1.104 20 30 DDEDLO COc1ccc2nc(C[NH2+][C@H]3CCCN(O)C3=O)sc2c1 ZINC000895159983 711436797 /nfs/dbraw/zinc/43/67/97/711436797.db2.gz JQMUMVJYNQMZTO-NSHDSACASA-N 1 2 307.375 1.775 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2cn(-c3ccc(F)cc3)nn2)CC1 ZINC000895669508 711573669 /nfs/dbraw/zinc/57/36/69/711573669.db2.gz CCLIBOKVDGELBJ-UHFFFAOYSA-N 1 2 314.364 1.757 20 30 DDEDLO N#CC[C@@H](O)C[NH+]1CCN(CCOc2ccc(Cl)cc2)CC1 ZINC000929223591 713570398 /nfs/dbraw/zinc/57/03/98/713570398.db2.gz YRBDVAWPSNWOIV-OAHLLOKOSA-N 1 2 323.824 1.611 20 30 DDEDLO N#CC1CCC(C[N@@H+]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC000930818759 713927998 /nfs/dbraw/zinc/92/79/98/713927998.db2.gz NIJNHBUXLWNXDO-YMAMQOFZSA-N 1 2 312.435 1.206 20 30 DDEDLO N#CC1CCC(C[N@H+]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC000930818759 713928000 /nfs/dbraw/zinc/92/80/00/713928000.db2.gz NIJNHBUXLWNXDO-YMAMQOFZSA-N 1 2 312.435 1.206 20 30 DDEDLO CC(C)C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1cncc(C#N)c1 ZINC000922026748 713941113 /nfs/dbraw/zinc/94/11/13/713941113.db2.gz RVKXRLTWUYPJPT-AWEZNQCLSA-N 1 2 322.434 1.304 20 30 DDEDLO CC(C)C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cncc(C#N)c1 ZINC000922026748 713941115 /nfs/dbraw/zinc/94/11/15/713941115.db2.gz RVKXRLTWUYPJPT-AWEZNQCLSA-N 1 2 322.434 1.304 20 30 DDEDLO CN(C(=O)[C@H]1CCCc2[nH+]c[nH]c21)C1CCC(NCC#N)CC1 ZINC000963901934 717941068 /nfs/dbraw/zinc/94/10/68/717941068.db2.gz CQWYYLCTGPSQMK-RUXDESIVSA-N 1 2 315.421 1.712 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000931143006 714014034 /nfs/dbraw/zinc/01/40/34/714014034.db2.gz DISIYWPJSLFMMR-OAHLLOKOSA-N 1 2 318.804 1.905 20 30 DDEDLO COC(=O)c1ccc(OC)c2c1CC[N@H+](C[C@H](O)CC#N)C2 ZINC000931620942 714140648 /nfs/dbraw/zinc/14/06/48/714140648.db2.gz SNXVXJUBSHUOHG-LLVKDONJSA-N 1 2 304.346 1.114 20 30 DDEDLO COC(=O)c1ccc(OC)c2c1CC[N@@H+](C[C@H](O)CC#N)C2 ZINC000931620942 714140650 /nfs/dbraw/zinc/14/06/50/714140650.db2.gz SNXVXJUBSHUOHG-LLVKDONJSA-N 1 2 304.346 1.114 20 30 DDEDLO CC(C)(C)OC(=O)N1CCN(CC[N@@H+]2CCC[C@@H](C#N)C2)CC1 ZINC000932148683 714271167 /nfs/dbraw/zinc/27/11/67/714271167.db2.gz RFWWAPVFLCQCCO-HNNXBMFYSA-N 1 2 322.453 1.775 20 30 DDEDLO CC(C)(C)OC(=O)N1CCN(CC[N@H+]2CCC[C@@H](C#N)C2)CC1 ZINC000932148683 714271168 /nfs/dbraw/zinc/27/11/68/714271168.db2.gz RFWWAPVFLCQCCO-HNNXBMFYSA-N 1 2 322.453 1.775 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[NH+](CCN2CCC[C@@H](C#N)C2)CC1 ZINC000932148683 714271172 /nfs/dbraw/zinc/27/11/72/714271172.db2.gz RFWWAPVFLCQCCO-HNNXBMFYSA-N 1 2 322.453 1.775 20 30 DDEDLO Cn1nccc1[C@@H]1CCC[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000932269060 714296734 /nfs/dbraw/zinc/29/67/34/714296734.db2.gz GFMJUEPBFALKLG-KRWDZBQOSA-N 1 2 323.400 1.745 20 30 DDEDLO Cn1nccc1[C@@H]1CCC[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000932269060 714296737 /nfs/dbraw/zinc/29/67/37/714296737.db2.gz GFMJUEPBFALKLG-KRWDZBQOSA-N 1 2 323.400 1.745 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)C1 ZINC000923560990 714404116 /nfs/dbraw/zinc/40/41/16/714404116.db2.gz OOYXFSSROYLWQM-GFCCVEGCSA-N 1 2 314.414 1.966 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)C1 ZINC000923560990 714404117 /nfs/dbraw/zinc/40/41/17/714404117.db2.gz OOYXFSSROYLWQM-GFCCVEGCSA-N 1 2 314.414 1.966 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@H]1C[C@@H]1CCCO1 ZINC000933680460 714647206 /nfs/dbraw/zinc/64/72/06/714647206.db2.gz XGERTTORHWWRAZ-CVEARBPZSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@H]1C[C@@H]1CCCO1 ZINC000933680460 714647208 /nfs/dbraw/zinc/64/72/08/714647208.db2.gz XGERTTORHWWRAZ-CVEARBPZSA-N 1 2 310.438 1.681 20 30 DDEDLO COC(=O)C1([C@]2(O)CCC[N@H+](C[C@H](C#N)CCC#N)C2)CCC1 ZINC000933842914 714682613 /nfs/dbraw/zinc/68/26/13/714682613.db2.gz SVLTVPFXXPIKPZ-YOEHRIQHSA-N 1 2 319.405 1.600 20 30 DDEDLO COC(=O)C1([C@]2(O)CCC[N@@H+](C[C@H](C#N)CCC#N)C2)CCC1 ZINC000933842914 714682616 /nfs/dbraw/zinc/68/26/16/714682616.db2.gz SVLTVPFXXPIKPZ-YOEHRIQHSA-N 1 2 319.405 1.600 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CC[C@H]2[C@H]1CCCN2CCO ZINC000934264484 714780122 /nfs/dbraw/zinc/78/01/22/714780122.db2.gz SYUXDKBDXKAWOA-SJORKVTESA-N 1 2 315.417 1.598 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CC[C@H]2[C@H]1CCCN2CCO ZINC000934264484 714780123 /nfs/dbraw/zinc/78/01/23/714780123.db2.gz SYUXDKBDXKAWOA-SJORKVTESA-N 1 2 315.417 1.598 20 30 DDEDLO C#Cc1ccc(NC(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)cc1 ZINC000934917595 714929523 /nfs/dbraw/zinc/92/95/23/714929523.db2.gz DWZXGYCUYUTFER-AWEZNQCLSA-N 1 2 301.390 1.852 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]([NH+]3CCN(C(C)=O)CC3)C2)CC1 ZINC000956571853 715474635 /nfs/dbraw/zinc/47/46/35/715474635.db2.gz TWXPTSWFVQYBGX-QGZVFWFLSA-N 1 2 319.449 1.498 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2ccc(OC)cc2F)CC1 ZINC000957032037 715712739 /nfs/dbraw/zinc/71/27/39/715712739.db2.gz QKJDOWNZMZSWSD-UHFFFAOYSA-N 1 2 304.365 1.544 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncccc2OCC=C)C1 ZINC000957113157 715754188 /nfs/dbraw/zinc/75/41/88/715754188.db2.gz AYESHIUFWBUHRJ-UHFFFAOYSA-N 1 2 313.401 1.816 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)C2C[NH+]([C@@H](C)COC)C2)nc1 ZINC000957294906 715820658 /nfs/dbraw/zinc/82/06/58/715820658.db2.gz WVKIOKYJKUWMSR-ZDUSSCGKSA-N 1 2 301.390 1.244 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)N([C@@H](C)CCC)C2)C1 ZINC000957422109 715895853 /nfs/dbraw/zinc/89/58/53/715895853.db2.gz JLNGCHFLYSKJHT-GJZGRUSLSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)C1 ZINC000938682070 715955975 /nfs/dbraw/zinc/95/59/75/715955975.db2.gz JIFMQBMPGQRARE-ZDUSSCGKSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cc(C)nc3cc(C)nn32)CC1 ZINC000957697573 716005371 /nfs/dbraw/zinc/00/53/71/716005371.db2.gz SOXWVPTYLHVKDV-UHFFFAOYSA-N 1 2 313.405 1.680 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2ccc(Cl)o2)C1 ZINC000957881947 716216216 /nfs/dbraw/zinc/21/62/16/716216216.db2.gz HUWGHPCXQKNGDP-ZJUUUORDSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2ccc(Cl)o2)C1 ZINC000957881947 716216218 /nfs/dbraw/zinc/21/62/18/716216218.db2.gz HUWGHPCXQKNGDP-ZJUUUORDSA-N 1 2 319.188 1.708 20 30 DDEDLO Cc1ccnc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)n1 ZINC000960502174 716584569 /nfs/dbraw/zinc/58/45/69/716584569.db2.gz LSRJWEMGHVQRJP-OCCSQVGLSA-N 1 2 301.394 1.368 20 30 DDEDLO Cc1ccnc(C[N@H+](C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)n1 ZINC000960502174 716584571 /nfs/dbraw/zinc/58/45/71/716584571.db2.gz LSRJWEMGHVQRJP-OCCSQVGLSA-N 1 2 301.394 1.368 20 30 DDEDLO CCn1ccc(C[N@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960611668 716629505 /nfs/dbraw/zinc/62/95/05/716629505.db2.gz CHCCSOMQNXRPGK-QGZVFWFLSA-N 1 2 314.433 1.739 20 30 DDEDLO CCn1ccc(C[N@@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960611668 716629507 /nfs/dbraw/zinc/62/95/07/716629507.db2.gz CHCCSOMQNXRPGK-QGZVFWFLSA-N 1 2 314.433 1.739 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)no1 ZINC000960620829 716634404 /nfs/dbraw/zinc/63/44/04/716634404.db2.gz BDXBCHORJSHGQO-INIZCTEOSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)no1 ZINC000960620829 716634407 /nfs/dbraw/zinc/63/44/07/716634407.db2.gz BDXBCHORJSHGQO-INIZCTEOSA-N 1 2 301.390 1.819 20 30 DDEDLO N#CCN1CC[C@@H]([C@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000961263277 716887819 /nfs/dbraw/zinc/88/78/19/716887819.db2.gz QRESJTIKMYMHGS-UONOGXRCSA-N 1 2 301.394 1.036 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ncc(C)o4)C[C@H]32)C1 ZINC000961688344 717058758 /nfs/dbraw/zinc/05/87/58/717058758.db2.gz CMNPTWMXWDBWEL-NHAGDIPZSA-N 1 2 301.390 1.886 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ncc(C)o4)C[C@H]32)C1 ZINC000961688344 717058760 /nfs/dbraw/zinc/05/87/60/717058760.db2.gz CMNPTWMXWDBWEL-NHAGDIPZSA-N 1 2 301.390 1.886 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(F)c(F)c3)CC2)C1 ZINC000941436673 717177047 /nfs/dbraw/zinc/17/70/47/717177047.db2.gz APPKBJWWYXCZMZ-UHFFFAOYSA-N 1 2 319.355 1.040 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H](F)c3ccccc3)CC2)C1 ZINC000941586963 717220761 /nfs/dbraw/zinc/22/07/61/717220761.db2.gz GINZWYKSHZHCQO-KRWDZBQOSA-N 1 2 315.392 1.159 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ncc(C)o4)C[C@H]32)nc1 ZINC000962327584 717407309 /nfs/dbraw/zinc/40/73/09/717407309.db2.gz ZAFDIRBZRDQJED-HALDLXJZSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ncc(C)o4)C[C@H]32)nc1 ZINC000962327584 717407310 /nfs/dbraw/zinc/40/73/10/717407310.db2.gz ZAFDIRBZRDQJED-HALDLXJZSA-N 1 2 322.368 1.220 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3nncn3C)C[C@@H]2C)C1 ZINC000942603083 717772237 /nfs/dbraw/zinc/77/22/37/717772237.db2.gz RZLNWZOFPCZMHC-KBPBESRZSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3nncn3C)C[C@@H]2C)C1 ZINC000942603083 717772242 /nfs/dbraw/zinc/77/22/42/717772242.db2.gz RZLNWZOFPCZMHC-KBPBESRZSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H](C)[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000966799081 718664522 /nfs/dbraw/zinc/66/45/22/718664522.db2.gz LFQYOMOBPWEGAX-QWHCGFSZSA-N 1 2 316.405 1.272 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966896158 718699291 /nfs/dbraw/zinc/69/92/91/718699291.db2.gz NGEWJDZFLFEDHD-JOYOIKCWSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966896158 718699296 /nfs/dbraw/zinc/69/92/96/718699296.db2.gz NGEWJDZFLFEDHD-JOYOIKCWSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccnnc2C)C1 ZINC000967257452 718800503 /nfs/dbraw/zinc/80/05/03/718800503.db2.gz NBSNZHVXEZWMIL-QMTHXVAHSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccnnc2C)C1 ZINC000967257452 718800506 /nfs/dbraw/zinc/80/05/06/718800506.db2.gz NBSNZHVXEZWMIL-QMTHXVAHSA-N 1 2 308.813 1.978 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@H]2CN(CC#N)C[C@H]2CC1)n1cc[nH+]c1 ZINC000946803415 718913982 /nfs/dbraw/zinc/91/39/82/718913982.db2.gz FYFWQKAPQNJETD-HRCADAONSA-N 1 2 315.421 1.528 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1cnon1 ZINC000947170082 719059477 /nfs/dbraw/zinc/05/94/77/719059477.db2.gz CLLBPOJOCKDRNM-JQWIXIFHSA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1cnon1 ZINC000947170082 719059483 /nfs/dbraw/zinc/05/94/83/719059483.db2.gz CLLBPOJOCKDRNM-JQWIXIFHSA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1cnon1 ZINC000947218006 719076981 /nfs/dbraw/zinc/07/69/81/719076981.db2.gz VAROBGPTOQJHQU-QWRGUYRKSA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1cnon1 ZINC000947218006 719076987 /nfs/dbraw/zinc/07/69/87/719076987.db2.gz VAROBGPTOQJHQU-QWRGUYRKSA-N 1 2 314.349 1.052 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@H]1CCN(CC#N)[C@H](C)C1 ZINC000947436523 719162740 /nfs/dbraw/zinc/16/27/40/719162740.db2.gz JZGZGOMDOYEILX-OCCSQVGLSA-N 1 2 302.378 1.125 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCN1CC#N ZINC000947572549 719215998 /nfs/dbraw/zinc/21/59/98/719215998.db2.gz PPXIWXXXNAZOSB-GOEBONIOSA-N 1 2 323.400 1.979 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC(NC(=O)Cc3c[nH]c[nH+]3)CC2)C1 ZINC000948280662 719431459 /nfs/dbraw/zinc/43/14/59/719431459.db2.gz BKKKPDZCPSZVFS-UHFFFAOYSA-N 1 2 316.405 1.416 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2snnc2C(C)C)C1 ZINC000968454694 719596719 /nfs/dbraw/zinc/59/67/19/719596719.db2.gz NHZARNGUZFVEIZ-VXGBXAGGSA-N 1 2 306.435 1.735 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2snnc2C(C)C)C1 ZINC000968454694 719596723 /nfs/dbraw/zinc/59/67/23/719596723.db2.gz NHZARNGUZFVEIZ-VXGBXAGGSA-N 1 2 306.435 1.735 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC000968501462 719627176 /nfs/dbraw/zinc/62/71/76/719627176.db2.gz VOBVXXICULVUJS-ZWNOBZJWSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC000968501462 719627178 /nfs/dbraw/zinc/62/71/78/719627178.db2.gz VOBVXXICULVUJS-ZWNOBZJWSA-N 1 2 324.812 1.678 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000968617589 719694025 /nfs/dbraw/zinc/69/40/25/719694025.db2.gz FGLKKRQIVRXDAC-DGCLKSJQSA-N 1 2 302.378 1.297 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CC1(F)F ZINC000948916230 719783809 /nfs/dbraw/zinc/78/38/09/719783809.db2.gz QIRLFHVCYRCHQC-LSDHHAIUSA-N 1 2 304.340 1.990 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CC1(F)F ZINC000948916230 719783818 /nfs/dbraw/zinc/78/38/18/719783818.db2.gz QIRLFHVCYRCHQC-LSDHHAIUSA-N 1 2 304.340 1.990 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN2c2nccs2)CC1 ZINC000949472492 720110995 /nfs/dbraw/zinc/11/09/95/720110995.db2.gz BSZUKCNQEIETEV-CYBMUJFWSA-N 1 2 306.435 1.442 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(CCOC)no2)C1 ZINC000969576889 720198491 /nfs/dbraw/zinc/19/84/91/720198491.db2.gz OYDKXKHQKMDCDT-GFCCVEGCSA-N 1 2 322.409 1.161 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cncc3[nH]cnc32)C1 ZINC000969723961 720265749 /nfs/dbraw/zinc/26/57/49/720265749.db2.gz ZFJXLOWMTYCFPM-SNVBAGLBSA-N 1 2 319.796 1.761 20 30 DDEDLO CC#CC[NH+]1CC([C@H](C)NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000970024913 720602400 /nfs/dbraw/zinc/60/24/00/720602400.db2.gz RHUFCIDQVSIEGJ-LBPRGKRZSA-N 1 2 300.406 1.362 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccnc(N(C)C)c2)C1 ZINC000970521904 720796118 /nfs/dbraw/zinc/79/61/18/720796118.db2.gz NCVGSLOOBLWDNS-LBPRGKRZSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cn(C)nc2Cl)C1 ZINC000970722910 720909729 /nfs/dbraw/zinc/90/97/29/720909729.db2.gz SGPFUGXCCFFBHB-VIFPVBQESA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@H]2Cc3cc(F)ccc3O2)CC1 ZINC000951107872 720919893 /nfs/dbraw/zinc/91/98/93/720919893.db2.gz HWCFFYNYDXIQDS-MRXNPFEDSA-N 1 2 304.365 1.850 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2nn(CC)nc2C)C1 ZINC000970986103 721035921 /nfs/dbraw/zinc/03/59/21/721035921.db2.gz PYYYLDDWBPKMDV-JTQLQIEISA-N 1 2 311.817 1.409 20 30 DDEDLO C[N@H+](Cc1coc(C2CC2)n1)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971510438 721330373 /nfs/dbraw/zinc/33/03/73/721330373.db2.gz FHHSZJBDGGZFJQ-MRXNPFEDSA-N 1 2 313.401 1.998 20 30 DDEDLO C[N@@H+](Cc1coc(C2CC2)n1)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971510438 721330375 /nfs/dbraw/zinc/33/03/75/721330375.db2.gz FHHSZJBDGGZFJQ-MRXNPFEDSA-N 1 2 313.401 1.998 20 30 DDEDLO COc1cc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)on1 ZINC000971511108 721331715 /nfs/dbraw/zinc/33/17/15/721331715.db2.gz IVNKOPITUUBKBN-ZDUSSCGKSA-N 1 2 303.362 1.129 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)on1 ZINC000971511108 721331721 /nfs/dbraw/zinc/33/17/21/721331721.db2.gz IVNKOPITUUBKBN-ZDUSSCGKSA-N 1 2 303.362 1.129 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccccc2NC(=O)CC)CC1 ZINC000952320911 721407270 /nfs/dbraw/zinc/40/72/70/721407270.db2.gz MPLLMIZXJVGHJI-UHFFFAOYSA-N 1 2 301.390 1.979 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2C[C@@H]3CCN(C(=O)C(F)F)[C@@H]3C2)c1 ZINC001075472192 735337227 /nfs/dbraw/zinc/33/72/27/735337227.db2.gz QXYANIOCQQKEPR-SMDDNHRTSA-N 1 2 323.318 1.995 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2C[C@@H]3CCN(C(=O)C(F)F)[C@@H]3C2)c1 ZINC001075472192 735337229 /nfs/dbraw/zinc/33/72/29/735337229.db2.gz QXYANIOCQQKEPR-SMDDNHRTSA-N 1 2 323.318 1.995 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C=C)c3ccccc3)C2)C1 ZINC000972681769 735469999 /nfs/dbraw/zinc/46/99/99/735469999.db2.gz ACLOGYQIKXKKFL-QUCCMNQESA-N 1 2 324.424 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C=C)c3ccccc3)C2)C1 ZINC000972681769 735470000 /nfs/dbraw/zinc/47/00/00/735470000.db2.gz ACLOGYQIKXKKFL-QUCCMNQESA-N 1 2 324.424 1.893 20 30 DDEDLO CN(C)c1[nH+]ccc2c1CN(c1ncc([N+](=O)[O-])cc1C#N)CC2 ZINC001120904932 782223809 /nfs/dbraw/zinc/22/38/09/782223809.db2.gz LSIIHZUUXHCDJE-UHFFFAOYSA-N 1 2 324.344 1.885 20 30 DDEDLO C=CCN(CC[N@@H+]1CC[C@](F)(C(=O)OC)C1)C(=O)OCC ZINC001209017752 732558189 /nfs/dbraw/zinc/55/81/89/732558189.db2.gz WVMGJDVZKGIPPH-CQSZACIVSA-N 1 2 302.346 1.218 20 30 DDEDLO C=CCN(CC[N@H+]1CC[C@](F)(C(=O)OC)C1)C(=O)OCC ZINC001209017752 732558191 /nfs/dbraw/zinc/55/81/91/732558191.db2.gz WVMGJDVZKGIPPH-CQSZACIVSA-N 1 2 302.346 1.218 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4[nH]ccc43)[C@H]2C1 ZINC001083201778 733123454 /nfs/dbraw/zinc/12/34/54/733123454.db2.gz GVZJMXHQSKXDMI-DLBZAZTESA-N 1 2 309.369 1.326 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4[nH]ccc43)[C@H]2C1 ZINC001083201778 733123456 /nfs/dbraw/zinc/12/34/56/733123456.db2.gz GVZJMXHQSKXDMI-DLBZAZTESA-N 1 2 309.369 1.326 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2CCC[N@@H+]2Cc2nccs2)c1 ZINC001027812096 738688201 /nfs/dbraw/zinc/68/82/01/738688201.db2.gz DILAVSYDZOFOGA-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2CCC[N@H+]2Cc2nccs2)c1 ZINC001027812096 738688206 /nfs/dbraw/zinc/68/82/06/738688206.db2.gz DILAVSYDZOFOGA-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@H](CCCN2C(=O)c2[nH]nnc2C)C1 ZINC001021648836 733401197 /nfs/dbraw/zinc/40/11/97/733401197.db2.gz NGCLFOCLGHGXNO-OLZOCXBDSA-N 1 2 323.828 1.792 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@H](CCCN2C(=O)c2[nH]nnc2C)C1 ZINC001021648836 733401198 /nfs/dbraw/zinc/40/11/98/733401198.db2.gz NGCLFOCLGHGXNO-OLZOCXBDSA-N 1 2 323.828 1.792 20 30 DDEDLO C#C[C@@H]1CCCCN1C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC001121147866 782379836 /nfs/dbraw/zinc/37/98/36/782379836.db2.gz DGTOYXQZQPQKPJ-CYBMUJFWSA-N 1 2 314.389 1.409 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1CNC(=O)c1cnc([C@H](C)OC)s1 ZINC001027885477 738773390 /nfs/dbraw/zinc/77/33/90/738773390.db2.gz FKUZMULZMPCZCE-NWDGAFQWSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc([C@H](C)OC)s1 ZINC001027885477 738773395 /nfs/dbraw/zinc/77/33/95/738773395.db2.gz FKUZMULZMPCZCE-NWDGAFQWSA-N 1 2 307.419 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cn2c(n1)CCC2 ZINC001027890469 738779018 /nfs/dbraw/zinc/77/90/18/738779018.db2.gz APBYJGIAPQYVHP-GFCCVEGCSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cn2c(n1)CCC2 ZINC001027890469 738779020 /nfs/dbraw/zinc/77/90/20/738779020.db2.gz APBYJGIAPQYVHP-GFCCVEGCSA-N 1 2 308.813 1.776 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(Cl)ccc3C)[C@H]2C1 ZINC001083228416 734518239 /nfs/dbraw/zinc/51/82/39/734518239.db2.gz BFSDPAVHVHRKPZ-JKSUJKDBSA-N 1 2 318.804 1.807 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(Cl)ccc3C)[C@H]2C1 ZINC001083228416 734518243 /nfs/dbraw/zinc/51/82/43/734518243.db2.gz BFSDPAVHVHRKPZ-JKSUJKDBSA-N 1 2 318.804 1.807 20 30 DDEDLO CCN(CCNC(=O)Cn1cc[nH+]c1)c1cccc(F)c1C#N ZINC001100555223 734527846 /nfs/dbraw/zinc/52/78/46/734527846.db2.gz GSSSAEMMUYFCGY-UHFFFAOYSA-N 1 2 315.352 1.537 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213357335 734540848 /nfs/dbraw/zinc/54/08/48/734540848.db2.gz PRAVUCQSTKDXJK-UKRRQHHQSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213357335 734540851 /nfs/dbraw/zinc/54/08/51/734540851.db2.gz PRAVUCQSTKDXJK-UKRRQHHQSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(O)(CNc2cc[nH+]c(C)n2)CC1 ZINC001067482575 734555627 /nfs/dbraw/zinc/55/56/27/734555627.db2.gz WMEDIHVWEUJCFZ-UHFFFAOYSA-N 1 2 318.421 1.763 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2CC[N@H+]2CCC(F)(F)F)c1 ZINC001038139450 734715267 /nfs/dbraw/zinc/71/52/67/734715267.db2.gz JVCVVWSGXJXGLX-JTQLQIEISA-N 1 2 300.284 1.643 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2CC[N@@H+]2CCC(F)(F)F)c1 ZINC001038139450 734715270 /nfs/dbraw/zinc/71/52/70/734715270.db2.gz JVCVVWSGXJXGLX-JTQLQIEISA-N 1 2 300.284 1.643 20 30 DDEDLO C=CCn1cc(C(=O)N2CCC(c3c[nH+]cn3C)CC2)nn1 ZINC001121308491 782451230 /nfs/dbraw/zinc/45/12/30/782451230.db2.gz RZSKIPLAMRIIIZ-UHFFFAOYSA-N 1 2 300.366 1.217 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4[nH]ccc4s3)[C@H]2C1 ZINC001083260253 735165268 /nfs/dbraw/zinc/16/52/68/735165268.db2.gz RRUXVZRTBHBLTF-QWHCGFSZSA-N 1 2 317.414 1.941 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4[nH]ccc4s3)[C@H]2C1 ZINC001083260253 735165269 /nfs/dbraw/zinc/16/52/69/735165269.db2.gz RRUXVZRTBHBLTF-QWHCGFSZSA-N 1 2 317.414 1.941 20 30 DDEDLO C=CCOCC(=O)N(C)CCN(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC001105347051 738893126 /nfs/dbraw/zinc/89/31/26/738893126.db2.gz SKBAPADTWJUBAT-UHFFFAOYSA-N 1 2 318.421 1.760 20 30 DDEDLO C#CCC[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCCc2cn[nH]c21 ZINC001024440134 735829372 /nfs/dbraw/zinc/82/93/72/735829372.db2.gz KOVRNUJNEOTMFM-JKSUJKDBSA-N 1 2 314.433 1.824 20 30 DDEDLO C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCCc2cn[nH]c21 ZINC001024440134 735829374 /nfs/dbraw/zinc/82/93/74/735829374.db2.gz KOVRNUJNEOTMFM-JKSUJKDBSA-N 1 2 314.433 1.824 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(C(F)(F)F)n1 ZINC001038836332 738902765 /nfs/dbraw/zinc/90/27/65/738902765.db2.gz NIJMFLVQWYIYFK-LLVKDONJSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(C(F)(F)F)n1 ZINC001038836332 738902767 /nfs/dbraw/zinc/90/27/67/738902767.db2.gz NIJMFLVQWYIYFK-LLVKDONJSA-N 1 2 311.307 1.928 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)N(C)C ZINC001039821846 735999593 /nfs/dbraw/zinc/99/95/93/735999593.db2.gz VIBDEKJKYXAMAQ-SOUVJXGZSA-N 1 2 321.465 1.741 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H](C)C(=O)N(C)C ZINC001039821846 735999595 /nfs/dbraw/zinc/99/95/95/735999595.db2.gz VIBDEKJKYXAMAQ-SOUVJXGZSA-N 1 2 321.465 1.741 20 30 DDEDLO C=CCOCC(=O)N[C@@H](CNc1cc[nH+]c(C)n1)C(C)(C)C ZINC001125828450 736308974 /nfs/dbraw/zinc/30/89/74/736308974.db2.gz SJZYXFMGMVUMRY-ZDUSSCGKSA-N 1 2 306.410 1.930 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@@H]4CC[C@@H](NCC#N)[C@@H]4C3)ccn12 ZINC001026266693 737270921 /nfs/dbraw/zinc/27/09/21/737270921.db2.gz HYRZTKBWFCBYOQ-ARFHVFGLSA-N 1 2 323.400 1.607 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001008039202 737362415 /nfs/dbraw/zinc/36/24/15/737362415.db2.gz QSUKOOXRUFKYRZ-GFCCVEGCSA-N 1 2 311.817 1.174 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001008039202 737362424 /nfs/dbraw/zinc/36/24/24/737362424.db2.gz QSUKOOXRUFKYRZ-GFCCVEGCSA-N 1 2 311.817 1.174 20 30 DDEDLO CCC(=O)N1CC(n2cc(C[NH2+]CC#Cc3ccccc3)nn2)C1 ZINC001105124326 737553757 /nfs/dbraw/zinc/55/37/57/737553757.db2.gz XZPBXNMEXRJHEB-UHFFFAOYSA-N 1 2 323.400 1.213 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)CC[C@H](C)CC)C2)nn1 ZINC001105349076 738972310 /nfs/dbraw/zinc/97/23/10/738972310.db2.gz DHDNFQDWDGIPPW-CQSZACIVSA-N 1 2 317.437 1.601 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3CC[C@H](C)C3)C2)nn1 ZINC001105336882 738035352 /nfs/dbraw/zinc/03/53/52/738035352.db2.gz NAERRZOCCWBQGS-UONOGXRCSA-N 1 2 315.421 1.211 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)oc1C ZINC001027336639 738208685 /nfs/dbraw/zinc/20/86/85/738208685.db2.gz SLSBHIAPOYEXBK-RISCZKNCSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)oc1C ZINC001027336639 738208687 /nfs/dbraw/zinc/20/86/87/738208687.db2.gz SLSBHIAPOYEXBK-RISCZKNCSA-N 1 2 304.394 1.874 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cccc(N(C)C)c1 ZINC001032582541 751392585 /nfs/dbraw/zinc/39/25/85/751392585.db2.gz CBQWIZBRRRGNKT-ROUUACIJSA-N 1 2 311.429 1.604 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cccc(N(C)C)c1 ZINC001032582541 751392593 /nfs/dbraw/zinc/39/25/93/751392593.db2.gz CBQWIZBRRRGNKT-ROUUACIJSA-N 1 2 311.429 1.604 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)[C@@H](C)CC)C2)nn1 ZINC001105354392 738990094 /nfs/dbraw/zinc/99/00/94/738990094.db2.gz JPGUSOCTYKKWNE-QWHCGFSZSA-N 1 2 303.410 1.066 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)CCC3(C)CC3)C2)nn1 ZINC001105356344 739004087 /nfs/dbraw/zinc/00/40/87/739004087.db2.gz KEAPRTNMZFFQJI-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C(F)(F)F)ccn1 ZINC001038879653 739056295 /nfs/dbraw/zinc/05/62/95/739056295.db2.gz RCVGCKOVLKTIER-LBPRGKRZSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C(F)(F)F)ccn1 ZINC001038879653 739056301 /nfs/dbraw/zinc/05/63/01/739056301.db2.gz RCVGCKOVLKTIER-LBPRGKRZSA-N 1 2 311.307 1.928 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001028140182 739082718 /nfs/dbraw/zinc/08/27/18/739082718.db2.gz JULXLNZNLNERHC-AGIUHOORSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001028140182 739082721 /nfs/dbraw/zinc/08/27/21/739082721.db2.gz JULXLNZNLNERHC-AGIUHOORSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc2ncccn2n1 ZINC001028217826 739181911 /nfs/dbraw/zinc/18/19/11/739181911.db2.gz VTARTEWIUYBZMC-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cc2ncccn2n1 ZINC001028217826 739181913 /nfs/dbraw/zinc/18/19/13/739181913.db2.gz VTARTEWIUYBZMC-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3cnc(Cl)s3)C[C@H]21 ZINC001075620827 739229355 /nfs/dbraw/zinc/22/93/55/739229355.db2.gz FLKVLXBMGLBKMZ-JBLDHEPKSA-N 1 2 324.837 1.989 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3cnc(Cl)s3)C[C@H]21 ZINC001075620827 739229360 /nfs/dbraw/zinc/22/93/60/739229360.db2.gz FLKVLXBMGLBKMZ-JBLDHEPKSA-N 1 2 324.837 1.989 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)nnc2CC)C1 ZINC001035426149 751484263 /nfs/dbraw/zinc/48/42/63/751484263.db2.gz YUXDYVJQBZYPQP-CQSZACIVSA-N 1 2 318.421 1.354 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C)nnc2CC)C1 ZINC001035426149 751484268 /nfs/dbraw/zinc/48/42/68/751484268.db2.gz YUXDYVJQBZYPQP-CQSZACIVSA-N 1 2 318.421 1.354 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(F)c(C)cc2F)C1 ZINC001035410901 751492578 /nfs/dbraw/zinc/49/25/78/751492578.db2.gz YRWGYLKXBGSSHF-CYBMUJFWSA-N 1 2 322.355 1.727 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(F)c(C)cc2F)C1 ZINC001035410901 751492582 /nfs/dbraw/zinc/49/25/82/751492582.db2.gz YRWGYLKXBGSSHF-CYBMUJFWSA-N 1 2 322.355 1.727 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)nc1 ZINC001059108019 740005634 /nfs/dbraw/zinc/00/56/34/740005634.db2.gz QUOPGAFYAKXZAN-BFHYXJOUSA-N 1 2 322.372 1.493 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)nc1 ZINC001059108019 740005640 /nfs/dbraw/zinc/00/56/40/740005640.db2.gz QUOPGAFYAKXZAN-BFHYXJOUSA-N 1 2 322.372 1.493 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2occ3c2CCOC3)C1 ZINC001035456707 751505541 /nfs/dbraw/zinc/50/55/41/751505541.db2.gz LHXHEBAJJSDWFG-AWEZNQCLSA-N 1 2 320.389 1.359 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2occ3c2CCOC3)C1 ZINC001035456707 751505544 /nfs/dbraw/zinc/50/55/44/751505544.db2.gz LHXHEBAJJSDWFG-AWEZNQCLSA-N 1 2 320.389 1.359 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC001035434180 751511634 /nfs/dbraw/zinc/51/16/34/751511634.db2.gz XUISVARYIUUTBK-OAHLLOKOSA-N 1 2 315.417 1.966 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC001035434180 751511637 /nfs/dbraw/zinc/51/16/37/751511637.db2.gz XUISVARYIUUTBK-OAHLLOKOSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098697192 740404491 /nfs/dbraw/zinc/40/44/91/740404491.db2.gz DCFLJNMMNRIOPR-CYBMUJFWSA-N 1 2 309.389 1.323 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@H](C)Nc1cc[nH+]c(C)n1 ZINC001098174178 740581758 /nfs/dbraw/zinc/58/17/58/740581758.db2.gz VECHLIRHPHTQCM-BLLLJJGKSA-N 1 2 306.410 1.930 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2csnn2)[C@H]1CC ZINC001087675854 740702750 /nfs/dbraw/zinc/70/27/50/740702750.db2.gz GZUONTYECNSBRH-GXSJLCMTSA-N 1 2 300.815 1.873 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2csnn2)[C@H]1CC ZINC001087675854 740702752 /nfs/dbraw/zinc/70/27/52/740702752.db2.gz GZUONTYECNSBRH-GXSJLCMTSA-N 1 2 300.815 1.873 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3[C@H](CC)C(N)=O)C1 ZINC001029466089 740868215 /nfs/dbraw/zinc/86/82/15/740868215.db2.gz AJTKZWTUCMOOTD-HZSPNIEDSA-N 1 2 305.422 1.282 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3[C@H](CC)C(N)=O)C1 ZINC001029466089 740868218 /nfs/dbraw/zinc/86/82/18/740868218.db2.gz AJTKZWTUCMOOTD-HZSPNIEDSA-N 1 2 305.422 1.282 20 30 DDEDLO C=CCn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3C[C@H](F)CC)nn1 ZINC001029506748 740983351 /nfs/dbraw/zinc/98/33/51/740983351.db2.gz WIAQVEFRICJFAY-MCIONIFRSA-N 1 2 321.400 1.501 20 30 DDEDLO C=CCn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3C[C@H](F)CC)nn1 ZINC001029506748 740983353 /nfs/dbraw/zinc/98/33/53/740983353.db2.gz WIAQVEFRICJFAY-MCIONIFRSA-N 1 2 321.400 1.501 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCc3ccccc3C2)C1 ZINC001035526511 751605436 /nfs/dbraw/zinc/60/54/36/751605436.db2.gz RGXYRFIWGYWWPS-MSOLQXFVSA-N 1 2 314.429 1.795 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCc3ccccc3C2)C1 ZINC001035526511 751605440 /nfs/dbraw/zinc/60/54/40/751605440.db2.gz RGXYRFIWGYWWPS-MSOLQXFVSA-N 1 2 314.429 1.795 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001035574308 751629504 /nfs/dbraw/zinc/62/95/04/751629504.db2.gz PEMAXATXKPPTLD-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001035574308 751629506 /nfs/dbraw/zinc/62/95/06/751629506.db2.gz PEMAXATXKPPTLD-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO N#CCN[C@@H]1CCCCCN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001088381108 741450461 /nfs/dbraw/zinc/45/04/61/741450461.db2.gz XDCIEWMRIBRUJX-KGLIPLIRSA-N 1 2 315.421 1.714 20 30 DDEDLO N#CCN[C@@H]1CCCCCN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001088381110 741450582 /nfs/dbraw/zinc/45/05/82/741450582.db2.gz XDCIEWMRIBRUJX-ZIAGYGMSSA-N 1 2 315.421 1.714 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn(CC(C)C)c2)C1 ZINC001035559499 751640750 /nfs/dbraw/zinc/64/07/50/751640750.db2.gz IKSRNEFKMLWJJA-MRXNPFEDSA-N 1 2 320.437 1.546 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn(CC(C)C)c2)C1 ZINC001035559499 751640754 /nfs/dbraw/zinc/64/07/54/751640754.db2.gz IKSRNEFKMLWJJA-MRXNPFEDSA-N 1 2 320.437 1.546 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3nccnc32)C1 ZINC001035561565 751643784 /nfs/dbraw/zinc/64/37/84/751643784.db2.gz BDNNGIRBJIEIEX-AWEZNQCLSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3nccnc32)C1 ZINC001035561565 751643785 /nfs/dbraw/zinc/64/37/85/751643785.db2.gz BDNNGIRBJIEIEX-AWEZNQCLSA-N 1 2 324.384 1.084 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC(C[N@H+](C)Cc2csnn2)CC1 ZINC001029946740 741636459 /nfs/dbraw/zinc/63/64/59/741636459.db2.gz VBMSWJCFJQTKPE-NSHDSACASA-N 1 2 307.423 1.368 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC(C[N@@H+](C)Cc2csnn2)CC1 ZINC001029946740 741636461 /nfs/dbraw/zinc/63/64/61/741636461.db2.gz VBMSWJCFJQTKPE-NSHDSACASA-N 1 2 307.423 1.368 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001038473771 741799466 /nfs/dbraw/zinc/79/94/66/741799466.db2.gz AAGXWFAZMPYSJJ-GOEBONIOSA-N 1 2 318.804 1.857 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001038473771 741799467 /nfs/dbraw/zinc/79/94/67/741799467.db2.gz AAGXWFAZMPYSJJ-GOEBONIOSA-N 1 2 318.804 1.857 20 30 DDEDLO C=CCCC(=O)NCC[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001059865353 741803242 /nfs/dbraw/zinc/80/32/42/741803242.db2.gz OKLQGOCIMAGJTC-CQSZACIVSA-N 1 2 304.394 1.204 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001059866418 741809453 /nfs/dbraw/zinc/80/94/53/741809453.db2.gz BIPZKMOTCQUWBJ-CQSZACIVSA-N 1 2 318.421 1.450 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](NC(=O)[C@H]2CCCc3cn[nH]c32)[C@H]1C ZINC001088569226 741842545 /nfs/dbraw/zinc/84/25/45/741842545.db2.gz LGEHLNMNJNHAFQ-SNPRPXQTSA-N 1 2 300.406 1.432 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCc3cn[nH]c32)[C@H]1C ZINC001088569226 741842546 /nfs/dbraw/zinc/84/25/46/741842546.db2.gz LGEHLNMNJNHAFQ-SNPRPXQTSA-N 1 2 300.406 1.432 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncccc2Cl)C1 ZINC001035583420 751676384 /nfs/dbraw/zinc/67/63/84/751676384.db2.gz PYNJFVKYCOBVSE-LBPRGKRZSA-N 1 2 309.797 1.742 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncccc2Cl)C1 ZINC001035583420 751676388 /nfs/dbraw/zinc/67/63/88/751676388.db2.gz PYNJFVKYCOBVSE-LBPRGKRZSA-N 1 2 309.797 1.742 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001126828288 742322184 /nfs/dbraw/zinc/32/21/84/742322184.db2.gz RMGBXMAQIHEODJ-UHFFFAOYSA-N 1 2 302.334 1.195 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2csc(=O)[nH]2)[C@H]1C ZINC001088806296 742405925 /nfs/dbraw/zinc/40/59/25/742405925.db2.gz ZUCFSIZEBLVRLP-BDAKNGLRSA-N 1 2 301.799 1.794 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2csc(=O)[nH]2)[C@H]1C ZINC001088806296 742405926 /nfs/dbraw/zinc/40/59/26/742405926.db2.gz ZUCFSIZEBLVRLP-BDAKNGLRSA-N 1 2 301.799 1.794 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001076530756 742771917 /nfs/dbraw/zinc/77/19/17/742771917.db2.gz FJRLLPJDWDJQAK-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)c2ccon2)CC1 ZINC001002390385 743094835 /nfs/dbraw/zinc/09/48/35/743094835.db2.gz HCOPQVNSUWHWBW-UHFFFAOYSA-N 1 2 314.183 1.777 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(F)c(F)cc(F)c2F)C1 ZINC001077038902 743191017 /nfs/dbraw/zinc/19/10/17/743191017.db2.gz KEFANSIERRCHIH-NXEZZACHSA-N 1 2 318.270 1.204 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(F)c(F)cc(F)c2F)C1 ZINC001077038902 743191021 /nfs/dbraw/zinc/19/10/21/743191021.db2.gz KEFANSIERRCHIH-NXEZZACHSA-N 1 2 318.270 1.204 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001077115849 743254632 /nfs/dbraw/zinc/25/46/32/743254632.db2.gz BDFRBDKYSOTCPB-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC(C)C)no2)C1 ZINC001181644823 743269399 /nfs/dbraw/zinc/26/93/99/743269399.db2.gz ZMYQKGHBMNEKLU-CYBMUJFWSA-N 1 2 322.409 1.161 20 30 DDEDLO C[C@@H](c1ncccn1)[NH+]1CCC(NC(=O)c2ccc(C#N)[nH]2)CC1 ZINC001002619226 743282804 /nfs/dbraw/zinc/28/28/04/743282804.db2.gz FONXRLFRCQWSBU-LBPRGKRZSA-N 1 2 324.388 1.632 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@H+](CCC[C@H](C)O)CC2 ZINC001128259364 743404966 /nfs/dbraw/zinc/40/49/66/743404966.db2.gz ANABZNTXPFNSCK-AWEZNQCLSA-N 1 2 320.437 1.442 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@@H+](CCC[C@H](C)O)CC2 ZINC001128259364 743404970 /nfs/dbraw/zinc/40/49/70/743404970.db2.gz ANABZNTXPFNSCK-AWEZNQCLSA-N 1 2 320.437 1.442 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)/C=C/C3CC3)c2C1 ZINC001128294906 743505047 /nfs/dbraw/zinc/50/50/47/743505047.db2.gz DQFYPHZBRNMESZ-VOTSOKGWSA-N 1 2 300.406 1.857 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)/C=C/C3CC3)c2C1 ZINC001128294906 743505052 /nfs/dbraw/zinc/50/50/52/743505052.db2.gz DQFYPHZBRNMESZ-VOTSOKGWSA-N 1 2 300.406 1.857 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)cc2F)[C@@H](O)C1 ZINC001083618656 743583287 /nfs/dbraw/zinc/58/32/87/743583287.db2.gz MRPLCYKMAWYOFG-KGLIPLIRSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)cc2F)[C@@H](O)C1 ZINC001083618656 743583292 /nfs/dbraw/zinc/58/32/92/743583292.db2.gz MRPLCYKMAWYOFG-KGLIPLIRSA-N 1 2 310.756 1.277 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001105709341 743634062 /nfs/dbraw/zinc/63/40/62/743634062.db2.gz IJCHOPVTAWGLOH-UHFFFAOYSA-N 1 2 310.438 1.273 20 30 DDEDLO CO[C@@H](C[NH+]1CC(NC(=O)c2c[nH]c(C#N)c2)C1)c1ccccc1 ZINC001030234710 743969399 /nfs/dbraw/zinc/96/93/99/743969399.db2.gz CRMVTINGFDZIRE-KRWDZBQOSA-N 1 2 324.384 1.688 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)CCC)C2 ZINC001110346723 743995527 /nfs/dbraw/zinc/99/55/27/743995527.db2.gz KAPVJACHAGXKCF-UPJWGTAASA-N 1 2 313.829 1.377 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)CCC)C2 ZINC001110346723 743995531 /nfs/dbraw/zinc/99/55/31/743995531.db2.gz KAPVJACHAGXKCF-UPJWGTAASA-N 1 2 313.829 1.377 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC1C[NH+](CCOC2CCC2)C1 ZINC001030332046 744055314 /nfs/dbraw/zinc/05/53/14/744055314.db2.gz WMPBYEVOGQSITQ-CVEARBPZSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1(Nc2cc[nH+]c(C)n2)CC1 ZINC001110351212 744071552 /nfs/dbraw/zinc/07/15/52/744071552.db2.gz ITQDLGWPLMCREM-OLZOCXBDSA-N 1 2 302.378 1.437 20 30 DDEDLO CC(C)(C)OC(=O)N(CCC#N)CC(=O)NCCn1cc[nH+]c1 ZINC001184845512 744113154 /nfs/dbraw/zinc/11/31/54/744113154.db2.gz NYSGQSKPGBCRSY-UHFFFAOYSA-N 1 2 321.381 1.150 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001185296198 744200171 /nfs/dbraw/zinc/20/01/71/744200171.db2.gz KMAGTIXOIHYVCC-GFCCVEGCSA-N 1 2 308.382 1.263 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3nocc3C)[C@@H]2C)c1 ZINC001088846736 744200405 /nfs/dbraw/zinc/20/04/05/744200405.db2.gz FCDXUUZXJKVIGT-CJNGLKHVSA-N 1 2 324.384 1.752 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3nocc3C)[C@@H]2C)c1 ZINC001088846736 744200408 /nfs/dbraw/zinc/20/04/08/744200408.db2.gz FCDXUUZXJKVIGT-CJNGLKHVSA-N 1 2 324.384 1.752 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cccnn1)CC2 ZINC001035822043 751900725 /nfs/dbraw/zinc/90/07/25/751900725.db2.gz PFJCHEGGJYUXDY-UHFFFAOYSA-N 1 2 306.797 1.767 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@H]1OC ZINC001212187472 744277409 /nfs/dbraw/zinc/27/74/09/744277409.db2.gz URQGQEWEJUZJMD-NUEKZKHPSA-N 1 2 316.405 1.157 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@H]1OC ZINC001212187472 744277412 /nfs/dbraw/zinc/27/74/12/744277412.db2.gz URQGQEWEJUZJMD-NUEKZKHPSA-N 1 2 316.405 1.157 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C3CCC3)C2)nn1 ZINC001185834337 744292984 /nfs/dbraw/zinc/29/29/84/744292984.db2.gz PKBULFLDVJQYJK-MRXNPFEDSA-N 1 2 315.421 1.355 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001185932719 744316534 /nfs/dbraw/zinc/31/65/34/744316534.db2.gz IDXCJRSVVRGZBM-HNNXBMFYSA-N 1 2 321.400 1.160 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@@H](F)C(C)C)C2)nn1 ZINC001185984964 744317117 /nfs/dbraw/zinc/31/71/17/744317117.db2.gz UUHKYFWHAJIPJB-CABCVRRESA-N 1 2 321.400 1.159 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@H]1C[N@H+](C)Cc1ncccn1 ZINC001089139821 744583829 /nfs/dbraw/zinc/58/38/29/744583829.db2.gz OHOVMRNVEJKGGT-KGLIPLIRSA-N 1 2 301.394 1.449 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@H]1C[N@@H+](C)Cc1ncccn1 ZINC001089139821 744583831 /nfs/dbraw/zinc/58/38/31/744583831.db2.gz OHOVMRNVEJKGGT-KGLIPLIRSA-N 1 2 301.394 1.449 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046049127 744678340 /nfs/dbraw/zinc/67/83/40/744678340.db2.gz KDGQUYBAAKJBPH-OAHLLOKOSA-N 1 2 304.438 1.331 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001188287759 744687700 /nfs/dbraw/zinc/68/77/00/744687700.db2.gz RUDXHZYTYBSFPU-CYBMUJFWSA-N 1 2 320.393 1.230 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C3CC3)o2)C1 ZINC001189371385 744878768 /nfs/dbraw/zinc/87/87/68/744878768.db2.gz QGIMPKCRASGZNE-CQSZACIVSA-N 1 2 319.405 1.787 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C3CC3)o2)C1 ZINC001189371385 744878770 /nfs/dbraw/zinc/87/87/70/744878770.db2.gz QGIMPKCRASGZNE-CQSZACIVSA-N 1 2 319.405 1.787 20 30 DDEDLO N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)CCc3[nH]cc[nH+]3)C[C@@H]21 ZINC000992672156 745100113 /nfs/dbraw/zinc/10/01/13/745100113.db2.gz UVFFELWXRDTKLY-HZSPNIEDSA-N 1 2 301.394 1.083 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1C[C@@H]2CCC[C@@H](NCC#N)[C@H]2C1 ZINC000992752134 745176444 /nfs/dbraw/zinc/17/64/44/745176444.db2.gz GZPYHBNOYJMOIR-SOUVJXGZSA-N 1 2 315.421 1.093 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2c(F)cccc2NC(C)=O)C1 ZINC001031044190 745206908 /nfs/dbraw/zinc/20/69/08/745206908.db2.gz QPCPIZGNTXRGQN-UHFFFAOYSA-N 1 2 303.337 1.221 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1C[C@@H]2CCC[C@@H](NCC#N)[C@H]2C1 ZINC000992867182 745252334 /nfs/dbraw/zinc/25/23/34/745252334.db2.gz AGKCBEGSHUNPOT-CWRNSKLLSA-N 1 2 315.421 1.093 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001190684878 745296162 /nfs/dbraw/zinc/29/61/62/745296162.db2.gz NMQHEBGAVDAWJB-HUUCEWRRSA-N 1 2 305.353 1.159 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001190684878 745296167 /nfs/dbraw/zinc/29/61/67/745296167.db2.gz NMQHEBGAVDAWJB-HUUCEWRRSA-N 1 2 305.353 1.159 20 30 DDEDLO C=CCn1cc(C(=O)NC2C[NH+](Cc3cccc(C)c3)C2)nn1 ZINC001031070269 745300350 /nfs/dbraw/zinc/30/03/50/745300350.db2.gz WCXMVZLXRAZAJH-UHFFFAOYSA-N 1 2 311.389 1.387 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2oc(C(C)C)nc2C)C[C@H]1O ZINC001191715137 745581571 /nfs/dbraw/zinc/58/15/71/745581571.db2.gz QZXYAYIOCLIWNA-ZIAGYGMSSA-N 1 2 321.421 1.734 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(C(C)C)nc2C)C[C@H]1O ZINC001191715137 745581576 /nfs/dbraw/zinc/58/15/76/745581576.db2.gz QZXYAYIOCLIWNA-ZIAGYGMSSA-N 1 2 321.421 1.734 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](CCc2c(F)cccc2F)C[C@H]1O ZINC001191724745 745583701 /nfs/dbraw/zinc/58/37/01/745583701.db2.gz OWJXLGGDTCRQIL-HZPDHXFCSA-N 1 2 324.371 1.635 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](CCc2c(F)cccc2F)C[C@H]1O ZINC001191724745 745583703 /nfs/dbraw/zinc/58/37/03/745583703.db2.gz OWJXLGGDTCRQIL-HZPDHXFCSA-N 1 2 324.371 1.635 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001191868289 745634468 /nfs/dbraw/zinc/63/44/68/745634468.db2.gz RAJVKUSEFOPLPU-GJZGRUSLSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001191868289 745634470 /nfs/dbraw/zinc/63/44/70/745634470.db2.gz RAJVKUSEFOPLPU-GJZGRUSLSA-N 1 2 319.453 1.933 20 30 DDEDLO C[C@@H](CCNc1ncccc1C#N)NC(=O)Cc1c[nH+]cn1C ZINC001106381489 745648509 /nfs/dbraw/zinc/64/85/09/745648509.db2.gz LQJYDDBQATXERK-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001192119948 745695120 /nfs/dbraw/zinc/69/51/20/745695120.db2.gz RPZSCLXBMWENAK-PQMBVPEPSA-N 1 2 317.364 1.325 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001192119948 745695122 /nfs/dbraw/zinc/69/51/22/745695122.db2.gz RPZSCLXBMWENAK-PQMBVPEPSA-N 1 2 317.364 1.325 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)nn1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106620778 745842393 /nfs/dbraw/zinc/84/23/93/745842393.db2.gz MKVMPPAMXRHMAW-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)nn1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106620778 745842399 /nfs/dbraw/zinc/84/23/99/745842399.db2.gz MKVMPPAMXRHMAW-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001194397482 746367288 /nfs/dbraw/zinc/36/72/88/746367288.db2.gz WGVMOWPSPUXTST-ZFWWWQNUSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001194397482 746367293 /nfs/dbraw/zinc/36/72/93/746367293.db2.gz WGVMOWPSPUXTST-ZFWWWQNUSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2CCC[N@H+](CCF)[C@@H]2C)nn1 ZINC000993983952 746410705 /nfs/dbraw/zinc/41/07/05/746410705.db2.gz IBMYIEJQGUVGIP-CHWSQXEVSA-N 1 2 309.389 1.406 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2CCC[N@@H+](CCF)[C@@H]2C)nn1 ZINC000993983952 746410708 /nfs/dbraw/zinc/41/07/08/746410708.db2.gz IBMYIEJQGUVGIP-CHWSQXEVSA-N 1 2 309.389 1.406 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccn(CC3CC3)c2=O)CC1 ZINC001194593706 746416642 /nfs/dbraw/zinc/41/66/42/746416642.db2.gz FDFUPCDHIPGLHL-UHFFFAOYSA-N 1 2 301.390 1.202 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cnc(OC)nc2)[C@@H]1C ZINC000994158826 746468586 /nfs/dbraw/zinc/46/85/86/746468586.db2.gz KOBDLPGXBBYKGT-WCQYABFASA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cnc(OC)nc2)[C@@H]1C ZINC000994158826 746468589 /nfs/dbraw/zinc/46/85/89/746468589.db2.gz KOBDLPGXBBYKGT-WCQYABFASA-N 1 2 324.812 1.820 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC1C[NH+](C[C@@H]2CC[C@H](C)O2)C1 ZINC001031314409 746533822 /nfs/dbraw/zinc/53/38/22/746533822.db2.gz SOCJAUITYPFGGR-KSSFIOAISA-N 1 2 312.413 1.578 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)CCC)CC1 ZINC001195232337 746546405 /nfs/dbraw/zinc/54/64/05/746546405.db2.gz INZGPTTVXPYLEV-OAHLLOKOSA-N 1 2 309.454 1.792 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)CCC)CC1 ZINC001195232337 746546409 /nfs/dbraw/zinc/54/64/09/746546409.db2.gz INZGPTTVXPYLEV-OAHLLOKOSA-N 1 2 309.454 1.792 20 30 DDEDLO O=C(CCCF)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001195373894 746589036 /nfs/dbraw/zinc/58/90/36/746589036.db2.gz MIMIJWDGRNMUSV-HZPDHXFCSA-N 1 2 322.355 1.088 20 30 DDEDLO O=C(CCCF)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001195373894 746589038 /nfs/dbraw/zinc/58/90/38/746589038.db2.gz MIMIJWDGRNMUSV-HZPDHXFCSA-N 1 2 322.355 1.088 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195554376 746630071 /nfs/dbraw/zinc/63/00/71/746630071.db2.gz GRJGBWJOMYVYHJ-NUEKZKHPSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195554376 746630073 /nfs/dbraw/zinc/63/00/73/746630073.db2.gz GRJGBWJOMYVYHJ-NUEKZKHPSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195532009 746638404 /nfs/dbraw/zinc/63/84/04/746638404.db2.gz KSHNJHYWKAHNRQ-NILFDRSVSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195532009 746638407 /nfs/dbraw/zinc/63/84/07/746638407.db2.gz KSHNJHYWKAHNRQ-NILFDRSVSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2ccc3c(c2)OCCO3)C1 ZINC001031353764 746658908 /nfs/dbraw/zinc/65/89/08/746658908.db2.gz NBUHCFKRMNUQCA-UHFFFAOYSA-N 1 2 302.374 1.377 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(CC=C)CC=C)CC1 ZINC001195951093 746747811 /nfs/dbraw/zinc/74/78/11/746747811.db2.gz SBFWVCVVCMEKCA-UHFFFAOYSA-N 1 2 319.449 1.591 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(CC=C)CC=C)CC1 ZINC001195951093 746747816 /nfs/dbraw/zinc/74/78/16/746747816.db2.gz SBFWVCVVCMEKCA-UHFFFAOYSA-N 1 2 319.449 1.591 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001196587284 746913988 /nfs/dbraw/zinc/91/39/88/746913988.db2.gz YKBSCFJWSFNINV-HDMKZQKVSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001196587284 746913992 /nfs/dbraw/zinc/91/39/92/746913992.db2.gz YKBSCFJWSFNINV-HDMKZQKVSA-N 1 2 319.449 1.402 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@@H+](CC(=O)NC(C)C)CC2)CC1 ZINC001197043571 747034429 /nfs/dbraw/zinc/03/44/29/747034429.db2.gz IITUIBUWEROZNW-UHFFFAOYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@H+](CC(=O)NC(C)C)CC2)CC1 ZINC001197043571 747034432 /nfs/dbraw/zinc/03/44/32/747034432.db2.gz IITUIBUWEROZNW-UHFFFAOYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1ccnn1)C2 ZINC001110489031 747055543 /nfs/dbraw/zinc/05/55/43/747055543.db2.gz UPCUZAACGOGTGR-UPJWGTAASA-N 1 2 309.801 1.142 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1ccnn1)C2 ZINC001110489031 747055546 /nfs/dbraw/zinc/05/55/46/747055546.db2.gz UPCUZAACGOGTGR-UPJWGTAASA-N 1 2 309.801 1.142 20 30 DDEDLO C[C@H](C[C@H](C)Nc1cncc(C#N)n1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089489612 747083397 /nfs/dbraw/zinc/08/33/97/747083397.db2.gz JLMBJCWJVVTLOX-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2ncc(OC)cn2)CC1 ZINC001003281649 747097843 /nfs/dbraw/zinc/09/78/43/747097843.db2.gz IUGHGQYTZPGITH-UHFFFAOYSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001007459417 752151356 /nfs/dbraw/zinc/15/13/56/752151356.db2.gz IGILWRYCKHXCKU-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001007459417 752151362 /nfs/dbraw/zinc/15/13/62/752151362.db2.gz IGILWRYCKHXCKU-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC001197291700 747131858 /nfs/dbraw/zinc/13/18/58/747131858.db2.gz KXAQOTIEEDZPSV-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC001197291700 747131860 /nfs/dbraw/zinc/13/18/60/747131860.db2.gz KXAQOTIEEDZPSV-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3nnc(C)o3)CC2)cc1 ZINC001003411751 747189039 /nfs/dbraw/zinc/18/90/39/747189039.db2.gz VOMYRGOWXUCHJV-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO CC#CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1cnns1 ZINC001089609501 747454502 /nfs/dbraw/zinc/45/45/02/747454502.db2.gz GXTPEWZIYXHLDK-GFCCVEGCSA-N 1 2 306.435 1.782 20 30 DDEDLO CC#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1cnns1 ZINC001089609501 747454510 /nfs/dbraw/zinc/45/45/10/747454510.db2.gz GXTPEWZIYXHLDK-GFCCVEGCSA-N 1 2 306.435 1.782 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cncs2)CC1 ZINC001198345071 747460609 /nfs/dbraw/zinc/46/06/09/747460609.db2.gz ZPSLJTSJXXDDLZ-CYBMUJFWSA-N 1 2 309.435 1.769 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cncs2)CC1 ZINC001198345071 747460614 /nfs/dbraw/zinc/46/06/14/747460614.db2.gz ZPSLJTSJXXDDLZ-CYBMUJFWSA-N 1 2 309.435 1.769 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001007521523 752186928 /nfs/dbraw/zinc/18/69/28/752186928.db2.gz LNIUIBBUAYCWKU-ZDUSSCGKSA-N 1 2 310.829 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001007521523 752186934 /nfs/dbraw/zinc/18/69/34/752186934.db2.gz LNIUIBBUAYCWKU-ZDUSSCGKSA-N 1 2 310.829 1.848 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC2(C1)CCN(CC#N)CC2 ZINC001040876856 747590321 /nfs/dbraw/zinc/59/03/21/747590321.db2.gz NOXTUWFCSLSZMM-AWEZNQCLSA-N 1 2 315.421 1.426 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC2(C1)CCN(CC#N)CC2 ZINC001040876856 747590326 /nfs/dbraw/zinc/59/03/26/747590326.db2.gz NOXTUWFCSLSZMM-AWEZNQCLSA-N 1 2 315.421 1.426 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCOC2)C1 ZINC001032942478 747722838 /nfs/dbraw/zinc/72/28/38/747722838.db2.gz MYKBSPHIWLSQNQ-RYUDHWBXSA-N 1 2 317.227 1.464 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCOC2)C1 ZINC001032942478 747722842 /nfs/dbraw/zinc/72/28/42/747722842.db2.gz MYKBSPHIWLSQNQ-RYUDHWBXSA-N 1 2 317.227 1.464 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2ccsn2)C1 ZINC001031759943 747764240 /nfs/dbraw/zinc/76/42/40/747764240.db2.gz OLCDNLPMQBSEQF-UHFFFAOYSA-N 1 2 312.398 1.877 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2nccn2Cc2cccnc2)CC1 ZINC001199398408 747835171 /nfs/dbraw/zinc/83/51/71/747835171.db2.gz GRAGBCGACPVFMU-UHFFFAOYSA-N 1 2 311.389 1.270 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2[C@@H](C)c1cnccn1 ZINC001110534319 747871692 /nfs/dbraw/zinc/87/16/92/747871692.db2.gz WGJYEABXMJUMBZ-HWMZRRJGSA-N 1 2 312.417 1.919 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2[C@@H](C)c1cnccn1 ZINC001110534319 747871701 /nfs/dbraw/zinc/87/17/01/747871701.db2.gz WGJYEABXMJUMBZ-HWMZRRJGSA-N 1 2 312.417 1.919 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)noc2C)[C@@H](O)C1 ZINC001090061832 747950776 /nfs/dbraw/zinc/95/07/76/747950776.db2.gz STFLRMQTFQUQMU-RYUDHWBXSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)noc2C)[C@@H](O)C1 ZINC001090061832 747950782 /nfs/dbraw/zinc/95/07/82/747950782.db2.gz STFLRMQTFQUQMU-RYUDHWBXSA-N 1 2 313.785 1.209 20 30 DDEDLO Cc1cnn(C)c1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031908910 748178519 /nfs/dbraw/zinc/17/85/19/748178519.db2.gz SUJRKYDSKZYOAE-UHFFFAOYSA-N 1 2 323.400 1.462 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2CC(C)(C)CO2)C1 ZINC001108068206 748226656 /nfs/dbraw/zinc/22/66/56/748226656.db2.gz MSMQKXDNBBNLLB-PBHICJAKSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2CC(C)(C)CO2)C1 ZINC001108068206 748226662 /nfs/dbraw/zinc/22/66/62/748226662.db2.gz MSMQKXDNBBNLLB-PBHICJAKSA-N 1 2 310.438 1.585 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2n[nH]cc2F)C1 ZINC001031955310 748292544 /nfs/dbraw/zinc/29/25/44/748292544.db2.gz UYHSPRZNGOFWBC-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)C2CCOCC2)CC1 ZINC001004325875 748324110 /nfs/dbraw/zinc/32/41/10/748324110.db2.gz MEQINHPAHBVKLT-MRXNPFEDSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)C2CCOCC2)CC1 ZINC001004325875 748324118 /nfs/dbraw/zinc/32/41/18/748324118.db2.gz MEQINHPAHBVKLT-MRXNPFEDSA-N 1 2 305.422 1.640 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)Cn2cccn2)CC1 ZINC001004352335 748354931 /nfs/dbraw/zinc/35/49/31/748354931.db2.gz LRIAWXPROVZQGH-HNNXBMFYSA-N 1 2 301.394 1.110 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)Cn2cccn2)CC1 ZINC001004352335 748354940 /nfs/dbraw/zinc/35/49/40/748354940.db2.gz LRIAWXPROVZQGH-HNNXBMFYSA-N 1 2 301.394 1.110 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2ccncn2)C1 ZINC001032060381 748530093 /nfs/dbraw/zinc/53/00/93/748530093.db2.gz QINURCKYRPFLQQ-UHFFFAOYSA-N 1 2 307.357 1.210 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCC[C@@H]([NH2+]Cc3csnn3)C2)c1 ZINC000998848089 752286146 /nfs/dbraw/zinc/28/61/46/752286146.db2.gz DVBWLHRNLCOBNC-LLVKDONJSA-N 1 2 316.390 1.132 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnc3n[nH]nc3c2)C1 ZINC001033155854 748652721 /nfs/dbraw/zinc/65/27/21/748652721.db2.gz XRDLYOXXOQTKPR-NSHDSACASA-N 1 2 320.784 1.252 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnc3n[nH]nc3c2)C1 ZINC001033155854 748652723 /nfs/dbraw/zinc/65/27/23/748652723.db2.gz XRDLYOXXOQTKPR-NSHDSACASA-N 1 2 320.784 1.252 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CC[C@@H]2CCCOC2)C1 ZINC001108312991 761908080 /nfs/dbraw/zinc/90/80/80/761908080.db2.gz VHFSXSGAKCMZGO-DOTOQJQBSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CC[C@@H]2CCCOC2)C1 ZINC001108312991 761908089 /nfs/dbraw/zinc/90/80/89/761908089.db2.gz VHFSXSGAKCMZGO-DOTOQJQBSA-N 1 2 310.438 1.586 20 30 DDEDLO Cc1nc(N2CC[C@](C)(NC(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001110768147 748995686 /nfs/dbraw/zinc/99/56/86/748995686.db2.gz OIADPWWWRBSLEA-KRWDZBQOSA-N 1 2 324.388 1.243 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2n[nH]c(C3CC3)c2Cl)C1 ZINC001033232206 749004989 /nfs/dbraw/zinc/00/49/89/749004989.db2.gz SYNTVTPIGNGZAR-NSHDSACASA-N 1 2 306.797 1.720 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2n[nH]c(C3CC3)c2Cl)C1 ZINC001033232206 749004997 /nfs/dbraw/zinc/00/49/97/749004997.db2.gz SYNTVTPIGNGZAR-NSHDSACASA-N 1 2 306.797 1.720 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1nc(C2CCC2)no1 ZINC001125252861 749074431 /nfs/dbraw/zinc/07/44/31/749074431.db2.gz QIXAFIBAWZANOD-UHFFFAOYSA-N 1 2 322.409 1.526 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114340301 749089428 /nfs/dbraw/zinc/08/94/28/749089428.db2.gz DPHNZIMCDUONLU-CXTNEJHOSA-N 1 2 317.437 1.525 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114340301 749089434 /nfs/dbraw/zinc/08/94/34/749089434.db2.gz DPHNZIMCDUONLU-CXTNEJHOSA-N 1 2 317.437 1.525 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](OC)C2CCC2)C1 ZINC001108100974 749112184 /nfs/dbraw/zinc/11/21/84/749112184.db2.gz BURCLCOJOMPYEP-DOTOQJQBSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](OC)C2CCC2)C1 ZINC001108100974 749112188 /nfs/dbraw/zinc/11/21/88/749112188.db2.gz BURCLCOJOMPYEP-DOTOQJQBSA-N 1 2 308.422 1.032 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2cc(CC)nn2C)C1 ZINC001033282848 749118364 /nfs/dbraw/zinc/11/83/64/749118364.db2.gz QSRUMSCGWSNTJI-CQSZACIVSA-N 1 2 324.856 1.810 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2cc(CC)nn2C)C1 ZINC001033282848 749118371 /nfs/dbraw/zinc/11/83/71/749118371.db2.gz QSRUMSCGWSNTJI-CQSZACIVSA-N 1 2 324.856 1.810 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H](N(C)C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001033319313 749179586 /nfs/dbraw/zinc/17/95/86/749179586.db2.gz CHTBRQFGXPKYIX-MRXNPFEDSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001033319313 749179589 /nfs/dbraw/zinc/17/95/89/749179589.db2.gz CHTBRQFGXPKYIX-MRXNPFEDSA-N 1 2 323.400 1.641 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N1C[C@H](NCC#N)C(C)(C)C1)C2 ZINC000995975812 749311195 /nfs/dbraw/zinc/31/11/95/749311195.db2.gz BSLFDPMUIASTOO-DOMZBBRYSA-N 1 2 315.421 1.173 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N1C[C@H](NCC#N)C(C)(C)C1)CC2 ZINC000995975812 749311200 /nfs/dbraw/zinc/31/12/00/749311200.db2.gz BSLFDPMUIASTOO-DOMZBBRYSA-N 1 2 315.421 1.173 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(=O)[nH]n1 ZINC001039327964 761939139 /nfs/dbraw/zinc/93/91/39/761939139.db2.gz OOGLLNNHTQHJHM-NEPJUHHUSA-N 1 2 322.796 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(=O)[nH]n1 ZINC001039327964 761939143 /nfs/dbraw/zinc/93/91/43/761939143.db2.gz OOGLLNNHTQHJHM-NEPJUHHUSA-N 1 2 322.796 1.614 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001108337733 761939121 /nfs/dbraw/zinc/93/91/21/761939121.db2.gz AQVSZOJQKONSBP-QGZVFWFLSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001108337733 761939129 /nfs/dbraw/zinc/93/91/29/761939129.db2.gz AQVSZOJQKONSBP-QGZVFWFLSA-N 1 2 320.437 1.779 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C[C@H](C)SC)nn2)C1 ZINC001107160959 749457449 /nfs/dbraw/zinc/45/74/49/749457449.db2.gz RGORWZZNDQMEAQ-LBPRGKRZSA-N 1 2 323.466 1.469 20 30 DDEDLO Cc1nc(N2CCC(CNC(=O)C#CC(C)C)CC2)cc[nH+]1 ZINC001095354073 749592885 /nfs/dbraw/zinc/59/28/85/749592885.db2.gz ZFDIESUIYGETNI-UHFFFAOYSA-N 1 2 300.406 1.777 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C(C)(C)C(F)F)nn2)C1 ZINC001107211032 749599901 /nfs/dbraw/zinc/59/99/01/749599901.db2.gz IZCRHEZETMIEIM-UHFFFAOYSA-N 1 2 313.352 1.228 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ncccc2OC)C1 ZINC001033619136 749615804 /nfs/dbraw/zinc/61/58/04/749615804.db2.gz ZKYGGRLTUQYBHW-LBPRGKRZSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ncccc2OC)C1 ZINC001033619136 749615807 /nfs/dbraw/zinc/61/58/07/749615807.db2.gz ZKYGGRLTUQYBHW-LBPRGKRZSA-N 1 2 309.797 1.989 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2ccccc2)C1 ZINC001108362315 761967455 /nfs/dbraw/zinc/96/74/55/761967455.db2.gz MMEFTHHVJYLNDV-LJQANCHMSA-N 1 2 314.429 1.850 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2ccccc2)C1 ZINC001108362315 761967462 /nfs/dbraw/zinc/96/74/62/761967462.db2.gz MMEFTHHVJYLNDV-LJQANCHMSA-N 1 2 314.429 1.850 20 30 DDEDLO Cc1ocnc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032305902 749735715 /nfs/dbraw/zinc/73/57/15/749735715.db2.gz JEBVOBILFBZVIS-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1ocnc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032305902 749735716 /nfs/dbraw/zinc/73/57/16/749735716.db2.gz JEBVOBILFBZVIS-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2sccc2OC)C1 ZINC001108357065 761977539 /nfs/dbraw/zinc/97/75/39/761977539.db2.gz WPKJEWRGHSKCKN-OAHLLOKOSA-N 1 2 310.419 1.763 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2sccc2OC)C1 ZINC001108357065 761977543 /nfs/dbraw/zinc/97/75/43/761977543.db2.gz WPKJEWRGHSKCKN-OAHLLOKOSA-N 1 2 310.419 1.763 20 30 DDEDLO CC[C@H](OC)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032310800 749846247 /nfs/dbraw/zinc/84/62/47/749846247.db2.gz LNMQYGHSTVKIHL-ULQDDVLXSA-N 1 2 313.401 1.768 20 30 DDEDLO CC[C@H](OC)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032310800 749846255 /nfs/dbraw/zinc/84/62/55/749846255.db2.gz LNMQYGHSTVKIHL-ULQDDVLXSA-N 1 2 313.401 1.768 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2[nH]nc3ccccc32)C1 ZINC001108376122 761998171 /nfs/dbraw/zinc/99/81/71/761998171.db2.gz CGDLAHZKWIDWOY-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2[nH]nc3ccccc32)C1 ZINC001108376122 761998173 /nfs/dbraw/zinc/99/81/73/761998173.db2.gz CGDLAHZKWIDWOY-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO N#Cc1ccc(N2CC=C(CNC(=O)Cc3c[nH]c[nH+]3)CC2)cn1 ZINC001127774167 749950120 /nfs/dbraw/zinc/95/01/20/749950120.db2.gz GRIHRBOGOPCOQE-UHFFFAOYSA-N 1 2 322.372 1.172 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)CC2)nc1 ZINC001066759215 749964623 /nfs/dbraw/zinc/96/46/23/749964623.db2.gz PRJFIWRWOYCABF-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(F)cc2)C1 ZINC001108375232 761997136 /nfs/dbraw/zinc/99/71/36/761997136.db2.gz UUWXLWNLYDUODO-GOSISDBHSA-N 1 2 318.392 1.599 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(F)cc2)C1 ZINC001108375232 761997144 /nfs/dbraw/zinc/99/71/44/761997144.db2.gz UUWXLWNLYDUODO-GOSISDBHSA-N 1 2 318.392 1.599 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033854041 749990149 /nfs/dbraw/zinc/99/01/49/749990149.db2.gz SGVBOTSVRDYCMP-OLZOCXBDSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033854041 749990152 /nfs/dbraw/zinc/99/01/52/749990152.db2.gz SGVBOTSVRDYCMP-OLZOCXBDSA-N 1 2 313.829 1.140 20 30 DDEDLO CCN(C(=O)[C@H]1CCc2[nH+]c(C)[nH]c2C1)[C@H]1CCN(CC#N)C1 ZINC001033877994 750018015 /nfs/dbraw/zinc/01/80/15/750018015.db2.gz LNYQQFYBPZMMLE-KBPBESRZSA-N 1 2 315.421 1.269 20 30 DDEDLO CCN(C(=O)[C@H]1CCc2[nH]c(C)[nH+]c2C1)[C@H]1CCN(CC#N)C1 ZINC001033877994 750018021 /nfs/dbraw/zinc/01/80/21/750018021.db2.gz LNYQQFYBPZMMLE-KBPBESRZSA-N 1 2 315.421 1.269 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001034000181 750242171 /nfs/dbraw/zinc/24/21/71/750242171.db2.gz YKXWQSGUMSLXLO-GFCCVEGCSA-N 1 2 324.812 1.403 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001034000181 750242174 /nfs/dbraw/zinc/24/21/74/750242174.db2.gz YKXWQSGUMSLXLO-GFCCVEGCSA-N 1 2 324.812 1.403 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2ccc3c(c2)occc3=O)C1 ZINC001034012237 750250493 /nfs/dbraw/zinc/25/04/93/750250493.db2.gz CZBOVEZFLZRNLC-OAHLLOKOSA-N 1 2 324.380 1.963 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc3c(c2)occc3=O)C1 ZINC001034012237 750250499 /nfs/dbraw/zinc/25/04/99/750250499.db2.gz CZBOVEZFLZRNLC-OAHLLOKOSA-N 1 2 324.380 1.963 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001034015432 750254186 /nfs/dbraw/zinc/25/41/86/750254186.db2.gz OFODEKVYWSLNNJ-OLZOCXBDSA-N 1 2 303.410 1.018 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001034015432 750254191 /nfs/dbraw/zinc/25/41/91/750254191.db2.gz OFODEKVYWSLNNJ-OLZOCXBDSA-N 1 2 303.410 1.018 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001034015432 750254199 /nfs/dbraw/zinc/25/41/99/750254199.db2.gz OFODEKVYWSLNNJ-OLZOCXBDSA-N 1 2 303.410 1.018 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001034015432 750254203 /nfs/dbraw/zinc/25/42/03/750254203.db2.gz OFODEKVYWSLNNJ-OLZOCXBDSA-N 1 2 303.410 1.018 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001110984324 750280854 /nfs/dbraw/zinc/28/08/54/750280854.db2.gz DCYRFYYOPRJMFI-ZXFNITATSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001110984324 750280858 /nfs/dbraw/zinc/28/08/58/750280858.db2.gz DCYRFYYOPRJMFI-ZXFNITATSA-N 1 2 321.465 1.836 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CC)C(=O)c2cnc(COC)s2)C1 ZINC001034053853 750297988 /nfs/dbraw/zinc/29/79/88/750297988.db2.gz CIRVARGMCSMEPC-LBPRGKRZSA-N 1 2 307.419 1.459 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cnc(COC)s2)C1 ZINC001034053853 750297995 /nfs/dbraw/zinc/29/79/95/750297995.db2.gz CIRVARGMCSMEPC-LBPRGKRZSA-N 1 2 307.419 1.459 20 30 DDEDLO CN(c1ncccc1C#N)[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001056906704 762030200 /nfs/dbraw/zinc/03/02/00/762030200.db2.gz NUTIBUCVQBVAAH-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H](NC(=O)c2snnc2C(C)C)C1 ZINC001034453501 750455746 /nfs/dbraw/zinc/45/57/46/750455746.db2.gz MZNXJRDWCLPQDV-LBPRGKRZSA-N 1 2 306.435 1.879 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H](NC(=O)c2snnc2C(C)C)C1 ZINC001034453501 750455749 /nfs/dbraw/zinc/45/57/49/750455749.db2.gz MZNXJRDWCLPQDV-LBPRGKRZSA-N 1 2 306.435 1.879 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCc1[nH+]ccn1C ZINC001077792932 750675863 /nfs/dbraw/zinc/67/58/63/750675863.db2.gz IUELAMFKCMGHIO-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)C(CC)CC)CC2 ZINC001127938907 750795386 /nfs/dbraw/zinc/79/53/86/750795386.db2.gz RBECVTPWPKKPBJ-AWEZNQCLSA-N 1 2 317.437 1.258 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn2cc(C)nc2s1 ZINC001032444564 750858353 /nfs/dbraw/zinc/85/83/53/750858353.db2.gz DAGCMEJMZOBVJN-STQMWFEESA-N 1 2 314.414 1.626 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn2cc(C)nc2s1 ZINC001032444564 750858361 /nfs/dbraw/zinc/85/83/61/750858361.db2.gz DAGCMEJMZOBVJN-STQMWFEESA-N 1 2 314.414 1.626 20 30 DDEDLO C=CCCOCC(=O)NCCCN(CC)c1cc[nH+]c(C)n1 ZINC001095889700 750985311 /nfs/dbraw/zinc/98/53/11/750985311.db2.gz FCLUMUITBKAPOD-UHFFFAOYSA-N 1 2 306.410 1.710 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]cn1C ZINC001077813299 751176054 /nfs/dbraw/zinc/17/60/54/751176054.db2.gz GKUPFOFJDDWXJO-AWEZNQCLSA-N 1 2 318.421 1.167 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001115544764 751203773 /nfs/dbraw/zinc/20/37/73/751203773.db2.gz ZXYORGSHQPZYKZ-HNNXBMFYSA-N 1 2 316.405 1.474 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(CC[N@H+](Cc3cnsn3)C2)CC1 ZINC001035167729 751253132 /nfs/dbraw/zinc/25/31/32/751253132.db2.gz NYLAKRVKURDBJY-LBPRGKRZSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(CC[N@@H+](Cc3cnsn3)C2)CC1 ZINC001035167729 751253134 /nfs/dbraw/zinc/25/31/34/751253134.db2.gz NYLAKRVKURDBJY-LBPRGKRZSA-N 1 2 319.434 1.512 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001032659161 752630765 /nfs/dbraw/zinc/63/07/65/752630765.db2.gz TVBDASOMJDQYNX-DZKIICNBSA-N 1 2 300.333 1.048 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001032659161 752630769 /nfs/dbraw/zinc/63/07/69/752630769.db2.gz TVBDASOMJDQYNX-DZKIICNBSA-N 1 2 300.333 1.048 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](CC(=O)N3CCC3)C2)C1 ZINC001008437197 752728252 /nfs/dbraw/zinc/72/82/52/752728252.db2.gz JFYYMXJIIBNYPL-CQSZACIVSA-N 1 2 305.422 1.156 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](CC(=O)N3CCC3)C2)C1 ZINC001008437197 752728256 /nfs/dbraw/zinc/72/82/56/752728256.db2.gz JFYYMXJIIBNYPL-CQSZACIVSA-N 1 2 305.422 1.156 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)c3cccc4[nH+]ccn43)C2)C1 ZINC000999293605 752797722 /nfs/dbraw/zinc/79/77/22/752797722.db2.gz YAHAVQPJFQCWJJ-UHFFFAOYSA-N 1 2 324.384 1.631 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2csc(C(C)(C)C)n2)C1 ZINC001077835644 752810768 /nfs/dbraw/zinc/81/07/68/752810768.db2.gz ZKHWUZWLIANJDW-DGCLKSJQSA-N 1 2 321.446 1.239 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2csc(C(C)(C)C)n2)C1 ZINC001077835644 752810776 /nfs/dbraw/zinc/81/07/76/752810776.db2.gz ZKHWUZWLIANJDW-DGCLKSJQSA-N 1 2 321.446 1.239 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](N(C)c2ncccc2C#N)C1 ZINC001056949192 762164824 /nfs/dbraw/zinc/16/48/24/762164824.db2.gz COSHWLRRRDWKEN-AWEZNQCLSA-N 1 2 324.388 1.265 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001077930231 753441382 /nfs/dbraw/zinc/44/13/82/753441382.db2.gz SIJDEJFGNMENBS-KBPBESRZSA-N 1 2 306.410 1.496 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(OC)c1OC ZINC001032751952 753466539 /nfs/dbraw/zinc/46/65/39/753466539.db2.gz UOQKWKONSCNNRA-STQMWFEESA-N 1 2 300.358 1.236 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(OC)c1OC ZINC001032751952 753466542 /nfs/dbraw/zinc/46/65/42/753466542.db2.gz UOQKWKONSCNNRA-STQMWFEESA-N 1 2 300.358 1.236 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001108002359 753474199 /nfs/dbraw/zinc/47/41/99/753474199.db2.gz DNPXBNDGDOBVAY-TZMCWYRMSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001108003099 753484741 /nfs/dbraw/zinc/48/47/41/753484741.db2.gz YCFIAKRIYODHCI-TZMCWYRMSA-N 1 2 324.388 1.329 20 30 DDEDLO Cc1cnc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)cn1 ZINC001009998080 753533087 /nfs/dbraw/zinc/53/30/87/753533087.db2.gz CKTOQLBHJAHKHI-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cnc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)cn1 ZINC001009998080 753533090 /nfs/dbraw/zinc/53/30/90/753533090.db2.gz CKTOQLBHJAHKHI-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)[C@H]3CCCO3)C2)c(F)c1 ZINC001010061097 753582378 /nfs/dbraw/zinc/58/23/78/753582378.db2.gz PTIDYGHRSPSCKW-GDBMZVCRSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)[C@H]3CCCO3)C2)c(F)c1 ZINC001010061097 753582382 /nfs/dbraw/zinc/58/23/82/753582382.db2.gz PTIDYGHRSPSCKW-GDBMZVCRSA-N 1 2 317.364 1.567 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Oc1ccc(F)cc1 ZINC001032774212 753586132 /nfs/dbraw/zinc/58/61/32/753586132.db2.gz FIBANTOAMNDASY-BPUTZDHNSA-N 1 2 316.376 1.901 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Oc1ccc(F)cc1 ZINC001032774212 753586139 /nfs/dbraw/zinc/58/61/39/753586139.db2.gz FIBANTOAMNDASY-BPUTZDHNSA-N 1 2 316.376 1.901 20 30 DDEDLO Cc1c(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)cnn1C ZINC001010193078 753670612 /nfs/dbraw/zinc/67/06/12/753670612.db2.gz GKDVYQHFZBSDSU-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1c(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)cnn1C ZINC001010193078 753670617 /nfs/dbraw/zinc/67/06/17/753670617.db2.gz GKDVYQHFZBSDSU-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2[nH]c(C)cc2C)C1 ZINC001108028727 753729892 /nfs/dbraw/zinc/72/98/92/753729892.db2.gz KDIUMMHANCPGNJ-QGZVFWFLSA-N 1 2 303.406 1.476 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2[nH]c(C)cc2C)C1 ZINC001108028727 753729896 /nfs/dbraw/zinc/72/98/96/753729896.db2.gz KDIUMMHANCPGNJ-QGZVFWFLSA-N 1 2 303.406 1.476 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC001078118634 753766432 /nfs/dbraw/zinc/76/64/32/753766432.db2.gz BJGSTJVEAXXFLT-ZIAGYGMSSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC001078118634 753766438 /nfs/dbraw/zinc/76/64/38/753766438.db2.gz BJGSTJVEAXXFLT-ZIAGYGMSSA-N 1 2 310.756 1.277 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2ccc(C#N)c(C(F)(F)F)n2)C[NH2+]1 ZINC001169130508 762246667 /nfs/dbraw/zinc/24/66/67/762246667.db2.gz ZCGANSDWNKPLPJ-BDAKNGLRSA-N 1 2 314.267 1.287 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@@H](N(C)C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001062947951 753854695 /nfs/dbraw/zinc/85/46/95/753854695.db2.gz HJZYYRGBROPUIS-OAHLLOKOSA-N 1 2 324.388 1.196 20 30 DDEDLO Cc1cc(N2CC[C@@H](N(C)C(=O)Cn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001062948467 753855353 /nfs/dbraw/zinc/85/53/53/753855353.db2.gz QBHFDHOOCBWLFO-OAHLLOKOSA-N 1 2 324.388 1.196 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)C)c(Cl)c2)C1 ZINC001078259750 753904891 /nfs/dbraw/zinc/90/48/91/753904891.db2.gz YCIOXFWMIOKCON-HZPDHXFCSA-N 1 2 320.820 1.872 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)C)c(Cl)c2)C1 ZINC001078259750 753904900 /nfs/dbraw/zinc/90/49/00/753904900.db2.gz YCIOXFWMIOKCON-HZPDHXFCSA-N 1 2 320.820 1.872 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001063235278 754055452 /nfs/dbraw/zinc/05/54/52/754055452.db2.gz PPLGDLDEVOFSLO-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC001010661638 754086863 /nfs/dbraw/zinc/08/68/63/754086863.db2.gz AHPSWNZBHHZBMY-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC001010661638 754086869 /nfs/dbraw/zinc/08/68/69/754086869.db2.gz AHPSWNZBHHZBMY-GFCCVEGCSA-N 1 2 315.402 1.656 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1ccc(F)cc1 ZINC001032812224 754228773 /nfs/dbraw/zinc/22/87/73/754228773.db2.gz MJGZYTQEEFIKTK-COXVUDFISA-N 1 2 300.377 1.923 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1ccc(F)cc1 ZINC001032812224 754228777 /nfs/dbraw/zinc/22/87/77/754228777.db2.gz MJGZYTQEEFIKTK-COXVUDFISA-N 1 2 300.377 1.923 20 30 DDEDLO C#CCCCC(=O)NC1(CNC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001063864398 754380730 /nfs/dbraw/zinc/38/07/30/754380730.db2.gz YLCJMOFJQAHQHC-UHFFFAOYSA-N 1 2 316.405 1.219 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001061017060 754442027 /nfs/dbraw/zinc/44/20/27/754442027.db2.gz HKPAKXPOIALMAX-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001061017060 754442030 /nfs/dbraw/zinc/44/20/30/754442030.db2.gz HKPAKXPOIALMAX-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1ccccc1OC ZINC001032818708 754472948 /nfs/dbraw/zinc/47/29/48/754472948.db2.gz NZWJWNIEKYKPQY-GJZGRUSLSA-N 1 2 312.413 1.891 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1ccccc1OC ZINC001032818708 754472950 /nfs/dbraw/zinc/47/29/50/754472950.db2.gz NZWJWNIEKYKPQY-GJZGRUSLSA-N 1 2 312.413 1.891 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CCC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001064139412 754536727 /nfs/dbraw/zinc/53/67/27/754536727.db2.gz DXFJXDQSXDYNNR-BLLLJJGKSA-N 1 2 324.388 1.038 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C2(c3ccccc3F)CC2)[C@@H](O)C1 ZINC001083910126 754775544 /nfs/dbraw/zinc/77/55/44/754775544.db2.gz ZPSPJKGLLFAHEY-CVEARBPZSA-N 1 2 316.376 1.042 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C2(c3ccccc3F)CC2)[C@@H](O)C1 ZINC001083910126 754775548 /nfs/dbraw/zinc/77/55/48/754775548.db2.gz ZPSPJKGLLFAHEY-CVEARBPZSA-N 1 2 316.376 1.042 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc3occc3[nH]2)[C@H](O)C1 ZINC001090304664 754812806 /nfs/dbraw/zinc/81/28/06/754812806.db2.gz FJGFFOSZHPXWLR-GXFFZTMASA-N 1 2 323.780 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc3occc3[nH]2)[C@H](O)C1 ZINC001090304664 754812809 /nfs/dbraw/zinc/81/28/09/754812809.db2.gz FJGFFOSZHPXWLR-GXFFZTMASA-N 1 2 323.780 1.678 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064832398 754874849 /nfs/dbraw/zinc/87/48/49/754874849.db2.gz MZTALSXLXNCCTB-GXTWGEPZSA-N 1 2 324.388 1.710 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)[C@H]2C)cc[nH+]1 ZINC001040103086 762385023 /nfs/dbraw/zinc/38/50/23/762385023.db2.gz OEWAJMDFHGEQSM-GWCFXTLKSA-N 1 2 310.361 1.700 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc(C)nc2OC)C1 ZINC001079815988 755587794 /nfs/dbraw/zinc/58/77/94/755587794.db2.gz KANNPWYVLRFGHH-NOZJJQNGSA-N 1 2 324.812 1.596 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc(C)nc2OC)C1 ZINC001079815988 755587798 /nfs/dbraw/zinc/58/77/98/755587798.db2.gz KANNPWYVLRFGHH-NOZJJQNGSA-N 1 2 324.812 1.596 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1ncc[nH]1 ZINC001014381099 755708910 /nfs/dbraw/zinc/70/89/10/755708910.db2.gz NAZPERYADUMYJW-HNNXBMFYSA-N 1 2 312.348 1.405 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1ncc[nH]1 ZINC001014381099 755708911 /nfs/dbraw/zinc/70/89/11/755708911.db2.gz NAZPERYADUMYJW-HNNXBMFYSA-N 1 2 312.348 1.405 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001014430280 755733178 /nfs/dbraw/zinc/73/31/78/755733178.db2.gz AYIYDTHRLVCMQL-NWDGAFQWSA-N 1 2 308.813 1.773 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001014430280 755733180 /nfs/dbraw/zinc/73/31/80/755733180.db2.gz AYIYDTHRLVCMQL-NWDGAFQWSA-N 1 2 308.813 1.773 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cnn3c2CCCC3)C1 ZINC001014803796 755952521 /nfs/dbraw/zinc/95/25/21/755952521.db2.gz AJJBFDPIKWTRTQ-AWEZNQCLSA-N 1 2 322.840 1.705 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cnn3c2CCCC3)C1 ZINC001014803796 755952525 /nfs/dbraw/zinc/95/25/25/755952525.db2.gz AJJBFDPIKWTRTQ-AWEZNQCLSA-N 1 2 322.840 1.705 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080629655 756012385 /nfs/dbraw/zinc/01/23/85/756012385.db2.gz QQPHJSLTMVHECA-KRWDZBQOSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)CCC(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080629295 756012611 /nfs/dbraw/zinc/01/26/11/756012611.db2.gz DNRPXAONPIVGKL-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCCOC2)C1 ZINC001080682864 756046799 /nfs/dbraw/zinc/04/67/99/756046799.db2.gz BTZJICMTXPCUEE-UXIGCNINSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCCOC2)C1 ZINC001080682864 756046806 /nfs/dbraw/zinc/04/68/06/756046806.db2.gz BTZJICMTXPCUEE-UXIGCNINSA-N 1 2 300.830 1.992 20 30 DDEDLO Cc1nnccc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015117762 756132111 /nfs/dbraw/zinc/13/21/11/756132111.db2.gz GDYGGVYADYXJAA-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nnccc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015117762 756132114 /nfs/dbraw/zinc/13/21/14/756132114.db2.gz GDYGGVYADYXJAA-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001080977922 756162296 /nfs/dbraw/zinc/16/22/96/756162296.db2.gz CZFUCWJXRURZKJ-IFUGULHKSA-N 1 2 322.840 1.704 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001080977922 756162298 /nfs/dbraw/zinc/16/22/98/756162298.db2.gz CZFUCWJXRURZKJ-IFUGULHKSA-N 1 2 322.840 1.704 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3nncn3CC2)C1 ZINC001015328479 756243728 /nfs/dbraw/zinc/24/37/28/756243728.db2.gz WAFOINJZWPDUSY-CHWSQXEVSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCc3nncn3CC2)C1 ZINC001015328479 756243729 /nfs/dbraw/zinc/24/37/29/756243729.db2.gz WAFOINJZWPDUSY-CHWSQXEVSA-N 1 2 323.828 1.174 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cc(C)n(C)n3)C2)cc1 ZINC001015482728 756333158 /nfs/dbraw/zinc/33/31/58/756333158.db2.gz QATZNPSMFCMTSR-QGZVFWFLSA-N 1 2 322.412 1.714 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cc(C)n(C)n3)C2)cc1 ZINC001015482728 756333166 /nfs/dbraw/zinc/33/31/66/756333166.db2.gz QATZNPSMFCMTSR-QGZVFWFLSA-N 1 2 322.412 1.714 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1n[nH]cc1F ZINC001015671420 756454405 /nfs/dbraw/zinc/45/44/05/756454405.db2.gz YAFQSSBRHLYNHY-CQSZACIVSA-N 1 2 312.348 1.405 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1n[nH]cc1F ZINC001015671420 756454410 /nfs/dbraw/zinc/45/44/10/756454410.db2.gz YAFQSSBRHLYNHY-CQSZACIVSA-N 1 2 312.348 1.405 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001015722120 756495193 /nfs/dbraw/zinc/49/51/93/756495193.db2.gz SZVVWRFLYXTCFJ-BMFZPTHFSA-N 1 2 318.421 1.010 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001015722120 756495196 /nfs/dbraw/zinc/49/51/96/756495196.db2.gz SZVVWRFLYXTCFJ-BMFZPTHFSA-N 1 2 318.421 1.010 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)cc(C)cc2F)[C@H](OC)C1 ZINC001081928307 756548443 /nfs/dbraw/zinc/54/84/43/756548443.db2.gz OFHGDDYTVZMHKW-HUUCEWRRSA-N 1 2 322.355 1.726 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(F)cc(C)cc2F)[C@H](OC)C1 ZINC001081928307 756548448 /nfs/dbraw/zinc/54/84/48/756548448.db2.gz OFHGDDYTVZMHKW-HUUCEWRRSA-N 1 2 322.355 1.726 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1ccncn1 ZINC001015874518 756607496 /nfs/dbraw/zinc/60/74/96/756607496.db2.gz ZNXHGCMUQRVNQO-INIZCTEOSA-N 1 2 324.359 1.472 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1ccncn1 ZINC001015874518 756607501 /nfs/dbraw/zinc/60/75/01/756607501.db2.gz ZNXHGCMUQRVNQO-INIZCTEOSA-N 1 2 324.359 1.472 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@]2(C)CCN(C(C)=O)C2)C1 ZINC001015962977 756680865 /nfs/dbraw/zinc/68/08/65/756680865.db2.gz KHILBOPYPSOBFG-ZFWWWQNUSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@]2(C)CCN(C(C)=O)C2)C1 ZINC001015962977 756680868 /nfs/dbraw/zinc/68/08/68/756680868.db2.gz KHILBOPYPSOBFG-ZFWWWQNUSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@]2(CCN(C(=O)c3[nH]nnc3C)C2)C1 ZINC001040322782 762488103 /nfs/dbraw/zinc/48/81/03/762488103.db2.gz MAWBHOFTTBRWBP-OAHLLOKOSA-N 1 2 323.828 1.794 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@]2(CCN(C(=O)c3[nH]nnc3C)C2)C1 ZINC001040322782 762488108 /nfs/dbraw/zinc/48/81/08/762488108.db2.gz MAWBHOFTTBRWBP-OAHLLOKOSA-N 1 2 323.828 1.794 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3nccnc3C)C2)cn1 ZINC001016034114 756733895 /nfs/dbraw/zinc/73/38/95/756733895.db2.gz MVYKKKGTNUVYLU-MRXNPFEDSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3nccnc3C)C2)cn1 ZINC001016034114 756733899 /nfs/dbraw/zinc/73/38/99/756733899.db2.gz MVYKKKGTNUVYLU-MRXNPFEDSA-N 1 2 321.384 1.166 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2sc(COC)nc2C)C1 ZINC001016118618 756770084 /nfs/dbraw/zinc/77/00/84/756770084.db2.gz DHNWOBNCXFYHFI-LBPRGKRZSA-N 1 2 307.419 1.425 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2sc(COC)nc2C)C1 ZINC001016118618 756770087 /nfs/dbraw/zinc/77/00/87/756770087.db2.gz DHNWOBNCXFYHFI-LBPRGKRZSA-N 1 2 307.419 1.425 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cscc3Cl)[C@H]2C1 ZINC001083113921 757118654 /nfs/dbraw/zinc/11/86/54/757118654.db2.gz CFVGJFLIAWGPKD-QWHCGFSZSA-N 1 2 310.806 1.560 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cscc3Cl)[C@H]2C1 ZINC001083113921 757118657 /nfs/dbraw/zinc/11/86/57/757118657.db2.gz CFVGJFLIAWGPKD-QWHCGFSZSA-N 1 2 310.806 1.560 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cc(C)n3)[C@H]2C1 ZINC001083127258 757143779 /nfs/dbraw/zinc/14/37/79/757143779.db2.gz HJDQYQKXOKJWEN-DLBZAZTESA-N 1 2 313.401 1.247 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cc(C)n3)[C@H]2C1 ZINC001083127258 757143783 /nfs/dbraw/zinc/14/37/83/757143783.db2.gz HJDQYQKXOKJWEN-DLBZAZTESA-N 1 2 313.401 1.247 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(=O)n(C)c1)C2 ZINC001097417373 757163464 /nfs/dbraw/zinc/16/34/64/757163464.db2.gz NRUDQKSQZPGABQ-MCIONIFRSA-N 1 2 321.808 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(=O)n(C)c1)C2 ZINC001097417373 757163468 /nfs/dbraw/zinc/16/34/68/757163468.db2.gz NRUDQKSQZPGABQ-MCIONIFRSA-N 1 2 321.808 1.473 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2OCCN(C(=O)c3cc(CCC)[nH]n3)[C@H]2C1 ZINC001083159241 757168767 /nfs/dbraw/zinc/16/87/67/757168767.db2.gz PYLSACRDDZSMLX-JKSUJKDBSA-N 1 2 318.421 1.464 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2Cl)[C@@H](O)C1 ZINC001083990694 757212392 /nfs/dbraw/zinc/21/23/92/757212392.db2.gz DVROJCPGWDUKSY-OLZOCXBDSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2Cl)[C@@H](O)C1 ZINC001083990694 757212398 /nfs/dbraw/zinc/21/23/98/757212398.db2.gz DVROJCPGWDUKSY-OLZOCXBDSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099794674 757248651 /nfs/dbraw/zinc/24/86/51/757248651.db2.gz PZRQYGIQVCJQJD-HUUCEWRRSA-N 1 2 321.446 1.388 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099794674 757248659 /nfs/dbraw/zinc/24/86/59/757248659.db2.gz PZRQYGIQVCJQJD-HUUCEWRRSA-N 1 2 321.446 1.388 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3ccc(Cl)nc3)C[C@H]21 ZINC001084235587 757435472 /nfs/dbraw/zinc/43/54/72/757435472.db2.gz ICNYNQJVJWBOGR-MRVWCRGKSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccc(Cl)nc3)C[C@H]21 ZINC001084235587 757435483 /nfs/dbraw/zinc/43/54/83/757435483.db2.gz ICNYNQJVJWBOGR-MRVWCRGKSA-N 1 2 318.808 1.927 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@H]2CC[N@@H+](Cc3ccc(F)cn3)C[C@H]21 ZINC001084334043 757496214 /nfs/dbraw/zinc/49/62/14/757496214.db2.gz LZVMEJVIOAMYMQ-RHSMWYFYSA-N 1 2 313.376 1.667 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@H]2CC[N@H+](Cc3ccc(F)cn3)C[C@H]21 ZINC001084334043 757496221 /nfs/dbraw/zinc/49/62/21/757496221.db2.gz LZVMEJVIOAMYMQ-RHSMWYFYSA-N 1 2 313.376 1.667 20 30 DDEDLO Cc1nc(N[C@H](C)CNC(=O)CCc2[nH]cc[nH+]2)ccc1C#N ZINC001097793118 757528805 /nfs/dbraw/zinc/52/88/05/757528805.db2.gz WMJJHJUOYGDOEV-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)[C@@H]2C1 ZINC001084675120 757763501 /nfs/dbraw/zinc/76/35/01/757763501.db2.gz OPNGPCFSTIBXHP-RYPNDVFKSA-N 1 2 310.825 1.839 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)[C@@H]2C1 ZINC001084675120 757763505 /nfs/dbraw/zinc/76/35/05/757763505.db2.gz OPNGPCFSTIBXHP-RYPNDVFKSA-N 1 2 310.825 1.839 20 30 DDEDLO O=C(c1nc[nH]n1)N1C[C@H]2CC[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084728406 757828777 /nfs/dbraw/zinc/82/87/77/757828777.db2.gz FHCWVBRNYTWMOK-HZPDHXFCSA-N 1 2 321.384 1.003 20 30 DDEDLO O=C(c1nc[nH]n1)N1C[C@H]2CC[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001084728406 757828793 /nfs/dbraw/zinc/82/87/93/757828793.db2.gz FHCWVBRNYTWMOK-HZPDHXFCSA-N 1 2 321.384 1.003 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C2CCC2)c1 ZINC001017550200 758017916 /nfs/dbraw/zinc/01/79/16/758017916.db2.gz UGJZOWROFJRKIP-CALCHBBNSA-N 1 2 312.417 1.920 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C2CCC2)c1 ZINC001017550200 758017928 /nfs/dbraw/zinc/01/79/28/758017928.db2.gz UGJZOWROFJRKIP-CALCHBBNSA-N 1 2 312.417 1.920 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)o1 ZINC001084906544 758046817 /nfs/dbraw/zinc/04/68/17/758046817.db2.gz XBLSWEXUPJYKDS-YUTCNCBUSA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)o1 ZINC001084906544 758046818 /nfs/dbraw/zinc/04/68/18/758046818.db2.gz XBLSWEXUPJYKDS-YUTCNCBUSA-N 1 2 302.378 1.566 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCC[C@H](OC)C1 ZINC001017607478 758075897 /nfs/dbraw/zinc/07/58/97/758075897.db2.gz YRDHMBDZYPSBHD-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCC[C@H](OC)C1 ZINC001017607478 758075905 /nfs/dbraw/zinc/07/59/05/758075905.db2.gz YRDHMBDZYPSBHD-NXOAAHMSSA-N 1 2 304.434 1.890 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)C(C)C)[C@H](O)C1 ZINC001099852832 758089619 /nfs/dbraw/zinc/08/96/19/758089619.db2.gz FVVGWGSQYAPKIC-QWHCGFSZSA-N 1 2 302.846 1.973 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)C(C)C)[C@H](O)C1 ZINC001099852832 758089626 /nfs/dbraw/zinc/08/96/26/758089626.db2.gz FVVGWGSQYAPKIC-QWHCGFSZSA-N 1 2 302.846 1.973 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CCF)c1 ZINC001017693259 758158087 /nfs/dbraw/zinc/15/80/87/758158087.db2.gz HPDBRSAVPGQSGP-GASCZTMLSA-N 1 2 304.369 1.165 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CCF)c1 ZINC001017693259 758158098 /nfs/dbraw/zinc/15/80/98/758158098.db2.gz HPDBRSAVPGQSGP-GASCZTMLSA-N 1 2 304.369 1.165 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)c(OC)c[nH]1 ZINC001017736712 758196376 /nfs/dbraw/zinc/19/63/76/758196376.db2.gz YORLRMOSKLCBDX-BETUJISGSA-N 1 2 317.389 1.248 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)c(OC)c[nH]1 ZINC001017736712 758196379 /nfs/dbraw/zinc/19/63/79/758196379.db2.gz YORLRMOSKLCBDX-BETUJISGSA-N 1 2 317.389 1.248 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCc1ccco1)CCO2 ZINC001053152632 758208705 /nfs/dbraw/zinc/20/87/05/758208705.db2.gz DDJPPLXWCPSTLP-UHFFFAOYSA-N 1 2 304.390 1.702 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1ccoc1 ZINC001017767584 758217345 /nfs/dbraw/zinc/21/73/45/758217345.db2.gz OKJDZQJEYHEURU-OKILXGFUSA-N 1 2 310.357 1.592 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1-c1ccoc1 ZINC001017767584 758217349 /nfs/dbraw/zinc/21/73/49/758217349.db2.gz OKJDZQJEYHEURU-OKILXGFUSA-N 1 2 310.357 1.592 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(C)c1Cl ZINC001017781987 758233514 /nfs/dbraw/zinc/23/35/14/758233514.db2.gz RHKOMENVKSXRFE-BETUJISGSA-N 1 2 320.824 1.694 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(C)c1Cl ZINC001017781987 758233522 /nfs/dbraw/zinc/23/35/22/758233522.db2.gz RHKOMENVKSXRFE-BETUJISGSA-N 1 2 320.824 1.694 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001017840183 758288355 /nfs/dbraw/zinc/28/83/55/758288355.db2.gz AGKFQJRIMXANIB-GUTXKFCHSA-N 1 2 314.389 1.183 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001017840183 758288365 /nfs/dbraw/zinc/28/83/65/758288365.db2.gz AGKFQJRIMXANIB-GUTXKFCHSA-N 1 2 314.389 1.183 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@H](C)Cc2cn[nH]c21 ZINC001017841576 758289602 /nfs/dbraw/zinc/28/96/02/758289602.db2.gz LACPOXAIHQYYLQ-OJLVUWQFSA-N 1 2 312.417 1.384 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@H](C)Cc2cn[nH]c21 ZINC001017841576 758289610 /nfs/dbraw/zinc/28/96/10/758289610.db2.gz LACPOXAIHQYYLQ-OJLVUWQFSA-N 1 2 312.417 1.384 20 30 DDEDLO O=C(C#CC1CC1)N1CCOC2(C[NH+](CCCC3CCC3)C2)C1 ZINC001053250534 758306045 /nfs/dbraw/zinc/30/60/45/758306045.db2.gz OAFJQCYTWDDAGI-UHFFFAOYSA-N 1 2 316.445 1.893 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C)cc(C)n1)CCO2 ZINC001053321207 758373882 /nfs/dbraw/zinc/37/38/82/758373882.db2.gz ALOQARJMDYGZHL-UHFFFAOYSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnn(C)c1CC)CCO2 ZINC001053327357 758377850 /nfs/dbraw/zinc/37/78/50/758377850.db2.gz CLBIRQUIYNQJKV-UHFFFAOYSA-N 1 2 318.421 1.085 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC12CC(OCC)C2 ZINC001017939986 758409811 /nfs/dbraw/zinc/40/98/11/758409811.db2.gz UWPGRNZEDFZRNG-UIEBLEIFSA-N 1 2 316.445 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC12CC(OCC)C2 ZINC001017939986 758409814 /nfs/dbraw/zinc/40/98/14/758409814.db2.gz UWPGRNZEDFZRNG-UIEBLEIFSA-N 1 2 316.445 1.890 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCOC2(C[NH+](C[C@@H](CC)OC)C2)C1 ZINC001053375128 758411383 /nfs/dbraw/zinc/41/13/83/758411383.db2.gz ZWPDFWZGDUTCEO-CQSZACIVSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCOC2(C[NH+](C[C@H](C)OC)C2)C1 ZINC001053408940 758439231 /nfs/dbraw/zinc/43/92/31/758439231.db2.gz QEUYWDUANWBNNH-GOEBONIOSA-N 1 2 310.438 1.537 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nn1CC ZINC001017990601 758446698 /nfs/dbraw/zinc/44/66/98/758446698.db2.gz IWNTYWZXQNZZHE-GASCZTMLSA-N 1 2 300.406 1.524 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nn1CC ZINC001017990601 758446702 /nfs/dbraw/zinc/44/67/02/758446702.db2.gz IWNTYWZXQNZZHE-GASCZTMLSA-N 1 2 300.406 1.524 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2cc(C)cnc12 ZINC001018010883 758471730 /nfs/dbraw/zinc/47/17/30/758471730.db2.gz WNUCIINFKOTRAT-GASCZTMLSA-N 1 2 323.400 1.350 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2cc(C)cnc12 ZINC001018010883 758471734 /nfs/dbraw/zinc/47/17/34/758471734.db2.gz WNUCIINFKOTRAT-GASCZTMLSA-N 1 2 323.400 1.350 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)C1CCOCC1)O2 ZINC001053558641 758564829 /nfs/dbraw/zinc/56/48/29/758564829.db2.gz HOPXPOIOCGYHGC-OAHLLOKOSA-N 1 2 308.422 1.339 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C(C)C)c1C ZINC001018165454 758593335 /nfs/dbraw/zinc/59/33/35/758593335.db2.gz JWTDJWJBUHBIHP-GASCZTMLSA-N 1 2 300.406 1.694 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C(C)C)c1C ZINC001018165454 758593343 /nfs/dbraw/zinc/59/33/43/758593343.db2.gz JWTDJWJBUHBIHP-GASCZTMLSA-N 1 2 300.406 1.694 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCCOCC1)O2 ZINC001053595929 758616360 /nfs/dbraw/zinc/61/63/60/758616360.db2.gz GZFOSGMUQICQFI-HZPDHXFCSA-N 1 2 322.449 1.729 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCCO[C@H]1C=C)O2 ZINC001053600392 758622031 /nfs/dbraw/zinc/62/20/31/758622031.db2.gz HRCWZMUIXKBTHB-XHSDSOJGSA-N 1 2 320.433 1.503 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(F)cncc1F)O2 ZINC001053606380 758626326 /nfs/dbraw/zinc/62/63/26/758626326.db2.gz SGOJAYXCWXKJRG-NSHDSACASA-N 1 2 323.343 1.509 20 30 DDEDLO CC(C)=CC[NH+]1CC(N2C[C@H](NC(=O)C#CC(C)C)CC2=O)C1 ZINC001108543629 762646253 /nfs/dbraw/zinc/64/62/53/762646253.db2.gz YOVMCGVBXZOZQH-OAHLLOKOSA-N 1 2 317.433 1.013 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1csc(C)n1 ZINC001018245598 758663304 /nfs/dbraw/zinc/66/33/04/758663304.db2.gz JAVBOMGOOGWSJJ-GASCZTMLSA-N 1 2 303.431 1.693 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1csc(C)n1 ZINC001018245598 758663311 /nfs/dbraw/zinc/66/33/11/758663311.db2.gz JAVBOMGOOGWSJJ-GASCZTMLSA-N 1 2 303.431 1.693 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccn(C)c1C)O2 ZINC001053659286 758673116 /nfs/dbraw/zinc/67/31/16/758673116.db2.gz OWMRVUYOSACTED-CQSZACIVSA-N 1 2 303.406 1.483 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1C[C@H]3CC[C@@H]1O3)O2 ZINC001053667070 758678388 /nfs/dbraw/zinc/67/83/88/758678388.db2.gz MFVZBKRAOISKKF-TUVASFSCSA-N 1 2 306.406 1.090 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(F)nc1)CO2 ZINC001053759311 758776507 /nfs/dbraw/zinc/77/65/07/758776507.db2.gz HUNBNTBPEZETDM-CYBMUJFWSA-N 1 2 305.353 1.370 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2COC3(C[NH+](CCCF)C3)C2)cc1 ZINC001053889175 758923745 /nfs/dbraw/zinc/92/37/45/758923745.db2.gz CNNSIXFKOGZGAA-MRXNPFEDSA-N 1 2 316.376 1.601 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CCC(C)(C)C1)CO2 ZINC001053921012 758957108 /nfs/dbraw/zinc/95/71/08/758957108.db2.gz WXTWRKHPJJOEQV-CABCVRRESA-N 1 2 304.434 1.796 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]c3cccnc13)CO2 ZINC001053981583 759020642 /nfs/dbraw/zinc/02/06/42/759020642.db2.gz OWMSXOWTOMRHPT-CYBMUJFWSA-N 1 2 324.384 1.159 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(Cl)cn1)CO2 ZINC001053988566 759031919 /nfs/dbraw/zinc/03/19/19/759031919.db2.gz MMEKMNBAZLOHJI-CYBMUJFWSA-N 1 2 319.792 1.331 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(C3CCCC3)no2)C1 ZINC001018631730 759050755 /nfs/dbraw/zinc/05/07/55/759050755.db2.gz JNIHMZBQHMFNNT-YPMHNXCESA-N 1 2 317.393 1.577 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccnnc1C ZINC001054046920 759103177 /nfs/dbraw/zinc/10/31/77/759103177.db2.gz RXYQJSGTBWHGIA-QGZVFWFLSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccnnc1C ZINC001054046920 759103182 /nfs/dbraw/zinc/10/31/82/759103182.db2.gz RXYQJSGTBWHGIA-QGZVFWFLSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@H+]1CC[C@](C)(NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001046740554 767873447 /nfs/dbraw/zinc/87/34/47/767873447.db2.gz VSCLTNLQNYISLC-PXAZEXFGSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CC[N@@H+]1CC[C@](C)(NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001046740554 767873453 /nfs/dbraw/zinc/87/34/53/767873453.db2.gz VSCLTNLQNYISLC-PXAZEXFGSA-N 1 2 300.406 1.362 20 30 DDEDLO Cc1ncoc1C[N@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556450 759700644 /nfs/dbraw/zinc/70/06/44/759700644.db2.gz QQJLONULHJECQT-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556450 759700649 /nfs/dbraw/zinc/70/06/49/759700649.db2.gz QQJLONULHJECQT-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(NC(C)=O)cn1 ZINC001085632873 759908929 /nfs/dbraw/zinc/90/89/29/759908929.db2.gz GKUXXQZFVBVLLE-HNNXBMFYSA-N 1 2 314.389 1.210 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(NC(C)=O)cn1 ZINC001085632873 759908937 /nfs/dbraw/zinc/90/89/37/759908937.db2.gz GKUXXQZFVBVLLE-HNNXBMFYSA-N 1 2 314.389 1.210 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnn1-c1ccccc1 ZINC001085676383 759977347 /nfs/dbraw/zinc/97/73/47/759977347.db2.gz OJNGQEBWENNDSW-MRXNPFEDSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnn1-c1ccccc1 ZINC001085676383 759977349 /nfs/dbraw/zinc/97/73/49/759977349.db2.gz OJNGQEBWENNDSW-MRXNPFEDSA-N 1 2 308.385 1.652 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c2c1CCCC2 ZINC001085692989 760045295 /nfs/dbraw/zinc/04/52/95/760045295.db2.gz VOTKPKUACYKFIB-CYBMUJFWSA-N 1 2 300.406 1.458 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c2c1CCCC2 ZINC001085692989 760045305 /nfs/dbraw/zinc/04/53/05/760045305.db2.gz VOTKPKUACYKFIB-CYBMUJFWSA-N 1 2 300.406 1.458 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccnc1N1CCCC1 ZINC001085704481 760066079 /nfs/dbraw/zinc/06/60/79/760066079.db2.gz RAVHXCCBSDBGQQ-OAHLLOKOSA-N 1 2 312.417 1.461 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccnc1N1CCCC1 ZINC001085704481 760066092 /nfs/dbraw/zinc/06/60/92/760066092.db2.gz RAVHXCCBSDBGQQ-OAHLLOKOSA-N 1 2 312.417 1.461 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2CC(=O)N(C)C)CC1 ZINC001085798416 760251053 /nfs/dbraw/zinc/25/10/53/760251053.db2.gz QPSRKHAPQXTUEY-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC(=O)N(C)C)CC1 ZINC001085798416 760251058 /nfs/dbraw/zinc/25/10/58/760251058.db2.gz QPSRKHAPQXTUEY-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)C(F)F)[C@@H](O)C1 ZINC001099998147 760334296 /nfs/dbraw/zinc/33/42/96/760334296.db2.gz BAMOIARKTUOQJQ-UWVGGRQHSA-N 1 2 310.772 1.582 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)C(F)F)[C@@H](O)C1 ZINC001099998147 760334290 /nfs/dbraw/zinc/33/42/90/760334290.db2.gz BAMOIARKTUOQJQ-UWVGGRQHSA-N 1 2 310.772 1.582 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1noc2c1CCCCC2 ZINC001085849229 760355284 /nfs/dbraw/zinc/35/52/84/760355284.db2.gz RWGNKBWAUXTGGP-ZDUSSCGKSA-N 1 2 301.390 1.723 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1noc2c1CCCCC2 ZINC001085849229 760355296 /nfs/dbraw/zinc/35/52/96/760355296.db2.gz RWGNKBWAUXTGGP-ZDUSSCGKSA-N 1 2 301.390 1.723 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)ccn1 ZINC001085907456 760503343 /nfs/dbraw/zinc/50/33/43/760503343.db2.gz AUQUACWIVYQAFM-LBPRGKRZSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)ccn1 ZINC001085907456 760503348 /nfs/dbraw/zinc/50/33/48/760503348.db2.gz AUQUACWIVYQAFM-LBPRGKRZSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1csc(C(F)(F)F)n1 ZINC001085909518 760517127 /nfs/dbraw/zinc/51/71/27/760517127.db2.gz LEACELIFHBYKPG-VIFPVBQESA-N 1 2 317.336 1.941 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1csc(C(F)(F)F)n1 ZINC001085909518 760517131 /nfs/dbraw/zinc/51/71/31/760517131.db2.gz LEACELIFHBYKPG-VIFPVBQESA-N 1 2 317.336 1.941 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(F)ccc(OC)c1F ZINC001085935037 760566315 /nfs/dbraw/zinc/56/63/15/760566315.db2.gz TZTRGETWZCBYBI-NSHDSACASA-N 1 2 308.328 1.753 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(F)ccc(OC)c1F ZINC001085935037 760566318 /nfs/dbraw/zinc/56/63/18/760566318.db2.gz TZTRGETWZCBYBI-NSHDSACASA-N 1 2 308.328 1.753 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc2[nH]c(=O)oc21 ZINC001086006387 760703875 /nfs/dbraw/zinc/70/38/75/760703875.db2.gz DDLKOHFIGWECIV-LBPRGKRZSA-N 1 2 313.357 1.703 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc2[nH]c(=O)oc21 ZINC001086006387 760703884 /nfs/dbraw/zinc/70/38/84/760703884.db2.gz DDLKOHFIGWECIV-LBPRGKRZSA-N 1 2 313.357 1.703 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001046858886 767990615 /nfs/dbraw/zinc/99/06/15/767990615.db2.gz ZZIJQXQXLQMSBI-DOMZBBRYSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001046858886 767990619 /nfs/dbraw/zinc/99/06/19/767990619.db2.gz ZZIJQXQXLQMSBI-DOMZBBRYSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CNC(=O)c1cnc([C@@H](C)OC)s1 ZINC001038246220 760942155 /nfs/dbraw/zinc/94/21/55/760942155.db2.gz BPNZYOZAXFBARY-VXGBXAGGSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc([C@@H](C)OC)s1 ZINC001038246220 760942158 /nfs/dbraw/zinc/94/21/58/760942158.db2.gz BPNZYOZAXFBARY-VXGBXAGGSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CNC(=O)c1cnc([C@@H](C)OC)s1 ZINC001038246217 760943124 /nfs/dbraw/zinc/94/31/24/760943124.db2.gz BPNZYOZAXFBARY-NEPJUHHUSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1cnc([C@@H](C)OC)s1 ZINC001038246217 760943131 /nfs/dbraw/zinc/94/31/31/760943131.db2.gz BPNZYOZAXFBARY-NEPJUHHUSA-N 1 2 307.419 1.678 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)ccnc1OCC ZINC001038549973 761191491 /nfs/dbraw/zinc/19/14/91/761191491.db2.gz JYGBQYINVLMLOQ-AWEZNQCLSA-N 1 2 301.390 1.616 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)ccnc1OCC ZINC001038549973 761191493 /nfs/dbraw/zinc/19/14/93/761191493.db2.gz JYGBQYINVLMLOQ-AWEZNQCLSA-N 1 2 301.390 1.616 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(Br)co1 ZINC001038773608 761364395 /nfs/dbraw/zinc/36/43/95/761364395.db2.gz SFGTUYPDTORNLP-LLVKDONJSA-N 1 2 311.179 1.870 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(Br)co1 ZINC001038773608 761364406 /nfs/dbraw/zinc/36/44/06/761364406.db2.gz SFGTUYPDTORNLP-LLVKDONJSA-N 1 2 311.179 1.870 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@@H](Nc2ncccc2C#N)C1)n1cc[nH+]c1 ZINC001056792806 761433784 /nfs/dbraw/zinc/43/37/84/761433784.db2.gz HFPYAELUZKOWQG-UKRRQHHQSA-N 1 2 324.388 1.814 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001056796301 761435870 /nfs/dbraw/zinc/43/58/70/761435870.db2.gz KEBLQCROLDPPIG-SWLSCSKDSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001056796301 761435877 /nfs/dbraw/zinc/43/58/77/761435877.db2.gz KEBLQCROLDPPIG-SWLSCSKDSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(C2CCCCC2)c1 ZINC001038889883 761516357 /nfs/dbraw/zinc/51/63/57/761516357.db2.gz MLDXXMQMHOCWOL-INIZCTEOSA-N 1 2 300.406 1.826 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(C2CCCCC2)c1 ZINC001038889883 761516364 /nfs/dbraw/zinc/51/63/64/761516364.db2.gz MLDXXMQMHOCWOL-INIZCTEOSA-N 1 2 300.406 1.826 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc2c(s1)CCOC2 ZINC001038939691 761569951 /nfs/dbraw/zinc/56/99/51/761569951.db2.gz RWUZHWSNWWYYMC-CYBMUJFWSA-N 1 2 304.415 1.648 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc2c(s1)CCOC2 ZINC001038939691 761569957 /nfs/dbraw/zinc/56/99/57/761569957.db2.gz RWUZHWSNWWYYMC-CYBMUJFWSA-N 1 2 304.415 1.648 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2c(C)nn(C)c2n1 ZINC001039055311 761695815 /nfs/dbraw/zinc/69/58/15/761695815.db2.gz UYQYRBSWPZVALU-ZDUSSCGKSA-N 1 2 311.389 1.104 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2c(C)nn(C)c2n1 ZINC001039055311 761695823 /nfs/dbraw/zinc/69/58/23/761695823.db2.gz UYQYRBSWPZVALU-ZDUSSCGKSA-N 1 2 311.389 1.104 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(C)(C)CC(C)C)CC2=O)C1 ZINC001108584185 762754592 /nfs/dbraw/zinc/75/45/92/762754592.db2.gz BORCRUHZHRCUHV-CQSZACIVSA-N 1 2 321.465 1.646 20 30 DDEDLO N#CCN[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)CCCn1cc[nH+]c1)C2 ZINC001108836444 762991427 /nfs/dbraw/zinc/99/14/27/762991427.db2.gz UMWTYPRQCXRAGV-ZNMIVQPWSA-N 1 2 301.394 1.156 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@]2(CC[N@H+](Cc3cnon3)C2)C1 ZINC001041545383 763123364 /nfs/dbraw/zinc/12/33/64/763123364.db2.gz VLZWBLQOWAXQNV-INIZCTEOSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@]2(CC[N@@H+](Cc3cnon3)C2)C1 ZINC001041545383 763123368 /nfs/dbraw/zinc/12/33/68/763123368.db2.gz VLZWBLQOWAXQNV-INIZCTEOSA-N 1 2 304.394 1.706 20 30 DDEDLO N#CCN1CC[C@@]2(CCN(C(=O)[C@@H]3CCCc4[nH+]c[nH]c43)C2)C1 ZINC001041664556 763206861 /nfs/dbraw/zinc/20/68/61/763206861.db2.gz OCPDGEBGYHRSRB-CXAGYDPISA-N 1 2 313.405 1.278 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CN(C)c1ncccc1C#N ZINC001109044226 763261096 /nfs/dbraw/zinc/26/10/96/763261096.db2.gz PYNCDCUBLQHARG-NSHDSACASA-N 1 2 312.377 1.168 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)C1CC(Nc2ccc(C#N)cn2)C1 ZINC001069764111 768132089 /nfs/dbraw/zinc/13/20/89/768132089.db2.gz BUNVNITXXXCOHI-UHFFFAOYSA-N 1 2 310.361 1.320 20 30 DDEDLO Cc1cnc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC(C)C)C3)cn1 ZINC001109249959 763495180 /nfs/dbraw/zinc/49/51/80/763495180.db2.gz MHPOAHIJJBYSHS-IKGGRYGDSA-N 1 2 312.417 1.666 20 30 DDEDLO Cc1cnc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC(C)C)C3)cn1 ZINC001109249959 763495186 /nfs/dbraw/zinc/49/51/86/763495186.db2.gz MHPOAHIJJBYSHS-IKGGRYGDSA-N 1 2 312.417 1.666 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001109257607 763522792 /nfs/dbraw/zinc/52/27/92/763522792.db2.gz DVMRPENELCWCCV-SNPRPXQTSA-N 1 2 301.415 1.778 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001109257607 763522801 /nfs/dbraw/zinc/52/28/01/763522801.db2.gz DVMRPENELCWCCV-SNPRPXQTSA-N 1 2 301.415 1.778 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]13C[C@H]1CCC3)C2 ZINC001109540762 763795500 /nfs/dbraw/zinc/79/55/00/763795500.db2.gz LCGLUNFPIDPUIO-KAYRBKQESA-N 1 2 317.433 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]13C[C@H]1CCC3)C2 ZINC001109540762 763795504 /nfs/dbraw/zinc/79/55/04/763795504.db2.gz LCGLUNFPIDPUIO-KAYRBKQESA-N 1 2 317.433 1.200 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCCC ZINC001109601569 763850357 /nfs/dbraw/zinc/85/03/57/763850357.db2.gz FRICEAUSYDLYIO-ILXRZTDVSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCCC ZINC001109601569 763850370 /nfs/dbraw/zinc/85/03/70/763850370.db2.gz FRICEAUSYDLYIO-ILXRZTDVSA-N 1 2 321.465 1.836 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3cc(-c4ccoc4)[nH]n3)C[C@H]21 ZINC001042357168 763960966 /nfs/dbraw/zinc/96/09/66/763960966.db2.gz KDFDNPXSQGVQHJ-CXAGYDPISA-N 1 2 324.384 1.839 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cc(-c4ccoc4)[nH]n3)C[C@H]21 ZINC001042357168 763960973 /nfs/dbraw/zinc/96/09/73/763960973.db2.gz KDFDNPXSQGVQHJ-CXAGYDPISA-N 1 2 324.384 1.839 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(F)c1 ZINC001050847223 764172986 /nfs/dbraw/zinc/17/29/86/764172986.db2.gz JXMZPAMTWILZNM-AWEZNQCLSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(F)c1 ZINC001050847223 764172988 /nfs/dbraw/zinc/17/29/88/764172988.db2.gz JXMZPAMTWILZNM-AWEZNQCLSA-N 1 2 307.369 1.618 20 30 DDEDLO C=CCCN1CCOC[C@@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001050980090 764377065 /nfs/dbraw/zinc/37/70/65/764377065.db2.gz KIPKJQMZYAKRCQ-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc2c[nH]ccc-2n1 ZINC001050980090 764377071 /nfs/dbraw/zinc/37/70/71/764377071.db2.gz KIPKJQMZYAKRCQ-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc2c[nH]ccc-2n1 ZINC001050980090 764377076 /nfs/dbraw/zinc/37/70/76/764377076.db2.gz KIPKJQMZYAKRCQ-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnc(OC(C)C)cn2)C1 ZINC001042721437 764386605 /nfs/dbraw/zinc/38/66/05/764386605.db2.gz AVXGFCIYKXBHDA-UHFFFAOYSA-N 1 2 304.394 1.596 20 30 DDEDLO CN(C(=O)c1cc[nH]c1)C1C[NH+](Cc2ccc(OCC#N)cc2)C1 ZINC001042763401 764424306 /nfs/dbraw/zinc/42/43/06/764424306.db2.gz HIXWZQLNSZIXMV-UHFFFAOYSA-N 1 2 324.384 1.873 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001051030549 764436478 /nfs/dbraw/zinc/43/64/78/764436478.db2.gz BMOJIHIAKXAHQB-YHUYYLMFSA-N 1 2 308.422 1.195 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001051030549 764436485 /nfs/dbraw/zinc/43/64/85/764436485.db2.gz BMOJIHIAKXAHQB-YHUYYLMFSA-N 1 2 308.422 1.195 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCc2cccnc21 ZINC001051084398 764489753 /nfs/dbraw/zinc/48/97/53/764489753.db2.gz QXUAUDCCLOFYBT-CVEARBPZSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCc2cccnc21 ZINC001051084398 764489762 /nfs/dbraw/zinc/48/97/62/764489762.db2.gz QXUAUDCCLOFYBT-CVEARBPZSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001051086100 764490537 /nfs/dbraw/zinc/49/05/37/764490537.db2.gz IKMJWVFUVWNMFC-WBVHZDCISA-N 1 2 318.392 1.859 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001051086100 764490546 /nfs/dbraw/zinc/49/05/46/764490546.db2.gz IKMJWVFUVWNMFC-WBVHZDCISA-N 1 2 318.392 1.859 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccnc1C ZINC001051090811 764496045 /nfs/dbraw/zinc/49/60/45/764496045.db2.gz IHQBTMIIYCQFHB-OAHLLOKOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cccnc1C ZINC001051090811 764496049 /nfs/dbraw/zinc/49/60/49/764496049.db2.gz IHQBTMIIYCQFHB-OAHLLOKOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCO[C@H]1C(=C)C ZINC001051152908 764558827 /nfs/dbraw/zinc/55/88/27/764558827.db2.gz OKABNCOQDHQTQJ-ULQDDVLXSA-N 1 2 322.449 1.751 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCO[C@H]1C(=C)C ZINC001051152908 764558831 /nfs/dbraw/zinc/55/88/31/764558831.db2.gz OKABNCOQDHQTQJ-ULQDDVLXSA-N 1 2 322.449 1.751 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](C[C@H](O)c2cccc(OC)c2)CC1 ZINC001112688603 764599745 /nfs/dbraw/zinc/59/97/45/764599745.db2.gz UIUDGZGNFUADBT-KRWDZBQOSA-N 1 2 318.417 1.839 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(CC)on1 ZINC001051212680 764627117 /nfs/dbraw/zinc/62/71/17/764627117.db2.gz RATRCWIJGWTTST-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(CC)on1 ZINC001051212680 764627129 /nfs/dbraw/zinc/62/71/29/764627129.db2.gz RATRCWIJGWTTST-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(F)cc1F ZINC001051233729 764654790 /nfs/dbraw/zinc/65/47/90/764654790.db2.gz FMHALDOIQMYKRE-ZDUSSCGKSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(F)cc1F ZINC001051233729 764654793 /nfs/dbraw/zinc/65/47/93/764654793.db2.gz FMHALDOIQMYKRE-ZDUSSCGKSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)COCC)C[C@@H](C)O2 ZINC001131640074 768234264 /nfs/dbraw/zinc/23/42/64/768234264.db2.gz YAGBLPDAFMMZTI-HIFRSBDPSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)COCC)C[C@@H](C)O2 ZINC001131640074 768234269 /nfs/dbraw/zinc/23/42/69/768234269.db2.gz YAGBLPDAFMMZTI-HIFRSBDPSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2cn3cc(C)sc3n2)C1 ZINC001043220102 764775095 /nfs/dbraw/zinc/77/50/95/764775095.db2.gz VVXBHQRZYGZKCT-UHFFFAOYSA-N 1 2 318.446 1.965 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112800590 764788602 /nfs/dbraw/zinc/78/86/02/764788602.db2.gz RCVYSDNBLHPROX-ZFWWWQNUSA-N 1 2 316.405 1.251 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@H]1Nc1ncccc1C#N ZINC001043251674 764791737 /nfs/dbraw/zinc/79/17/37/764791737.db2.gz MFJIAAMAFLCWSO-IUODEOHRSA-N 1 2 324.388 1.188 20 30 DDEDLO C=CCC[NH+]1CC(N(C)C(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001043258914 764795707 /nfs/dbraw/zinc/79/57/07/764795707.db2.gz QADKNRCVXCUJTI-UHFFFAOYSA-N 1 2 311.385 1.860 20 30 DDEDLO Cc1noc(CCC[NH+]2CCN(C(=O)C#CC(C)C)CC2)n1 ZINC001112832436 764825158 /nfs/dbraw/zinc/82/51/58/764825158.db2.gz XAWPMSBPCKQDRJ-UHFFFAOYSA-N 1 2 304.394 1.114 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C[C@H]2CCOC[C@H]2OCC)C1 ZINC001043374311 764868110 /nfs/dbraw/zinc/86/81/10/764868110.db2.gz BGHZKMIKWQUTKO-GDBMZVCRSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCN2c2nccs2)C1 ZINC001043458984 764918225 /nfs/dbraw/zinc/91/82/25/764918225.db2.gz NXPBGPRWMJHUQR-AWEZNQCLSA-N 1 2 320.462 1.831 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001112904473 764945406 /nfs/dbraw/zinc/94/54/06/764945406.db2.gz VPRGSDNTPYKHOY-MRXNPFEDSA-N 1 2 310.438 1.683 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc3nc(C)n(C)c3c2)C1 ZINC001043514244 764955604 /nfs/dbraw/zinc/95/56/04/764955604.db2.gz VNNQAKXWXKMDHM-UHFFFAOYSA-N 1 2 310.401 1.661 20 30 DDEDLO C=CCC[NH+]1CC(N(C)C(=O)[C@H]2C[C@H](C)Cc3c[nH]nc32)C1 ZINC001043623281 765010836 /nfs/dbraw/zinc/01/08/36/765010836.db2.gz UCCDTFLZSLPZCX-DOMZBBRYSA-N 1 2 302.422 1.794 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@@H](C)Nc1nccnc1C#N ZINC001112993763 765103685 /nfs/dbraw/zinc/10/36/85/765103685.db2.gz MSHJNYUXBIDHKV-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@@H](C)NC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001113083387 765238918 /nfs/dbraw/zinc/23/89/18/765238918.db2.gz ZAUNTHKBGDIMDZ-NWDGAFQWSA-N 1 2 312.377 1.464 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnc(Cl)n2C)CC1 ZINC001113099945 765267092 /nfs/dbraw/zinc/26/70/92/765267092.db2.gz SUGHQHAZGODFGQ-UHFFFAOYSA-N 1 2 312.801 1.034 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(C)c(C)n2)CC1 ZINC001113111377 765271009 /nfs/dbraw/zinc/27/10/09/765271009.db2.gz VEQRCPZBOAVNPJ-UHFFFAOYSA-N 1 2 303.406 1.659 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccc(F)cc3)C2)CC1 ZINC001051968666 765325656 /nfs/dbraw/zinc/32/56/56/765325656.db2.gz DTFDPPNDBRXPSQ-QGZVFWFLSA-N 1 2 315.392 1.291 20 30 DDEDLO C=CCCC[NH+]1CCN(C(=O)C[C@H]2CCCS2(=O)=O)CC1 ZINC001113158688 765348675 /nfs/dbraw/zinc/34/86/75/765348675.db2.gz HCUBURNCYUZJOU-CQSZACIVSA-N 1 2 314.451 1.064 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3CC(C)C3)C2)CC1 ZINC001052011646 765380048 /nfs/dbraw/zinc/38/00/48/765380048.db2.gz XCHVBPNGQWSJSL-OFLPRAFFSA-N 1 2 303.450 1.274 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3csnc3C)C2)CC1 ZINC001052012140 765381360 /nfs/dbraw/zinc/38/13/60/765381360.db2.gz DIGQMOHLYFQWJJ-AWEZNQCLSA-N 1 2 320.462 1.470 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@]2(C)CCOc3ccccc32)C1 ZINC001044192947 765382109 /nfs/dbraw/zinc/38/21/09/765382109.db2.gz NWOKSKMXBNOQFW-IBGZPJMESA-N 1 2 312.413 1.893 20 30 DDEDLO CC#CCN1CC[NH+]([C@H]2CCN(C(=O)[C@H](CC)SC)C2)CC1 ZINC001052015611 765385835 /nfs/dbraw/zinc/38/58/35/765385835.db2.gz SHFGRPSLANMHPH-HOTGVXAUSA-N 1 2 323.506 1.370 20 30 DDEDLO C#CCOc1ncccc1C(=O)N(C)C1C[NH+](CC=C(C)C)C1 ZINC001044202526 765391941 /nfs/dbraw/zinc/39/19/41/765391941.db2.gz WNDGIOFDNFFYSZ-UHFFFAOYSA-N 1 2 313.401 1.816 20 30 DDEDLO CC(C)(C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1)C1CCC1 ZINC001052057957 765430188 /nfs/dbraw/zinc/43/01/88/765430188.db2.gz VSYWOBCKHYMCLC-INIZCTEOSA-N 1 2 318.465 1.555 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3cocc3C)C2)CC1 ZINC001052063383 765436028 /nfs/dbraw/zinc/43/60/28/765436028.db2.gz UPROCALWZDZENF-HNNXBMFYSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3cocc3C)C2)CC1 ZINC001052063383 765436032 /nfs/dbraw/zinc/43/60/32/765436032.db2.gz UPROCALWZDZENF-HNNXBMFYSA-N 1 2 301.390 1.053 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2Oc3ccccc3O[C@H]2C)C1 ZINC001044279734 765443772 /nfs/dbraw/zinc/44/37/72/765443772.db2.gz GDQAFXSMCAISMG-GUYCJALGSA-N 1 2 316.401 1.934 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(C)CCCCC3)C2)CC1 ZINC001052074483 765446901 /nfs/dbraw/zinc/44/69/01/765446901.db2.gz FBKKSKHGWQFIIP-KRWDZBQOSA-N 1 2 317.477 1.809 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C3(CC)CC3)C2)CC1 ZINC001052079903 765452671 /nfs/dbraw/zinc/45/26/71/765452671.db2.gz QLYYKJDUTHKQGE-INIZCTEOSA-N 1 2 305.466 1.971 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc3c(c2)OCCO3)C1 ZINC001044291362 765455194 /nfs/dbraw/zinc/45/51/94/765455194.db2.gz YGGMBHSFIJEGGB-UHFFFAOYSA-N 1 2 300.358 1.237 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H](C)C(C)(F)F)C2)CC1 ZINC001052081967 765455461 /nfs/dbraw/zinc/45/54/61/765455461.db2.gz HDGNBFGKVVGWKN-UONOGXRCSA-N 1 2 313.392 1.129 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3C3CC3)C2)CC1 ZINC001052096749 765467059 /nfs/dbraw/zinc/46/70/59/765467059.db2.gz OKKIHJNJPWLFPW-FGTMMUONSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccnc(-n3ccnc3)c2)C1 ZINC001044310357 765472785 /nfs/dbraw/zinc/47/27/85/765472785.db2.gz UKIPRDVZNAZNIF-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[NH+](CCc2cnn(C)c2)CC1 ZINC001113243466 765476937 /nfs/dbraw/zinc/47/69/37/765476937.db2.gz IOCMPHFXILIPBZ-OAHLLOKOSA-N 1 2 304.438 1.709 20 30 DDEDLO Cc1nsc(N[C@H](C)[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001113314965 765556809 /nfs/dbraw/zinc/55/68/09/765556809.db2.gz WSTVVLKRNMENID-RKDXNWHRSA-N 1 2 318.406 1.594 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnc(C3CC3)nc2)CC1 ZINC001113405821 765667869 /nfs/dbraw/zinc/66/78/69/765667869.db2.gz DBSBFQRCQBFRCA-UHFFFAOYSA-N 1 2 316.405 1.314 20 30 DDEDLO C=CCC[C@H](C)[NH+]1CCN(C(=O)[C@H]2CCc3[nH]nnc3C2)CC1 ZINC001113532994 765830761 /nfs/dbraw/zinc/83/07/61/765830761.db2.gz OFOZBIFBVUXYJJ-KBPBESRZSA-N 1 2 317.437 1.409 20 30 DDEDLO C=CCC[C@H](C)[NH+]1CCN(C(=O)[C@H]2CCc3nn[nH]c3C2)CC1 ZINC001113532994 765830764 /nfs/dbraw/zinc/83/07/64/765830764.db2.gz OFOZBIFBVUXYJJ-KBPBESRZSA-N 1 2 317.437 1.409 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2oc(CCC)nc2C)CC1 ZINC001113543752 765834882 /nfs/dbraw/zinc/83/48/82/765834882.db2.gz BPRDFZIQQZFBBA-UHFFFAOYSA-N 1 2 321.421 1.896 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CCCN(CC#N)CC2)c[nH+]1 ZINC001052487557 765878299 /nfs/dbraw/zinc/87/82/99/765878299.db2.gz ACQVDPGBUQDBQT-AWEZNQCLSA-N 1 2 303.410 1.421 20 30 DDEDLO C=CCC[NH+]1CCN(C(=O)C(C)(C)NC(=O)C(F)(F)F)CC1 ZINC001113672232 766008755 /nfs/dbraw/zinc/00/87/55/766008755.db2.gz PRVQNHRALBFVKD-UHFFFAOYSA-N 1 2 321.343 1.164 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H]1C[NH2+]Cc1nnc(C)o1 ZINC001045207779 766156671 /nfs/dbraw/zinc/15/66/71/766156671.db2.gz IEIJNKLUWRVRMT-MJBXVCDLSA-N 1 2 320.393 1.050 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCCC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001045511908 766365911 /nfs/dbraw/zinc/36/59/11/766365911.db2.gz JQGJJCUFSGXUMH-HUUCEWRRSA-N 1 2 324.388 1.689 20 30 DDEDLO CC(C)(C)C(=O)C(=O)N[C@@H]1[C@H]2C[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001114076838 766611424 /nfs/dbraw/zinc/61/14/24/766611424.db2.gz IMMSTMVDLTWMCG-FVQHAEBGSA-N 1 2 324.424 1.700 20 30 DDEDLO CC(C)(C)C(=O)C(=O)N[C@@H]1[C@H]2C[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001114076838 766611425 /nfs/dbraw/zinc/61/14/25/766611425.db2.gz IMMSTMVDLTWMCG-FVQHAEBGSA-N 1 2 324.424 1.700 20 30 DDEDLO N#Cc1cnccc1N(CCCNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001096049088 766629359 /nfs/dbraw/zinc/62/93/59/766629359.db2.gz RYCZVLHGWLAJKT-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO CN(C[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1)c1ccncc1C#N ZINC001067771441 766692598 /nfs/dbraw/zinc/69/25/98/766692598.db2.gz ADIRPSMUJAQKNU-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO Cc1cccc(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)c1 ZINC001045999333 766784311 /nfs/dbraw/zinc/78/43/11/766784311.db2.gz NTTNSWCGQACBIK-KRWDZBQOSA-N 1 2 312.417 1.351 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001114260703 766831950 /nfs/dbraw/zinc/83/19/50/766831950.db2.gz RQWRVDZEULOABP-JYAVWHMHSA-N 1 2 303.431 1.739 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001114260703 766831960 /nfs/dbraw/zinc/83/19/60/766831960.db2.gz RQWRVDZEULOABP-JYAVWHMHSA-N 1 2 303.431 1.739 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C3CCC=CCC3)CC2)C1 ZINC001046084858 766896242 /nfs/dbraw/zinc/89/62/42/766896242.db2.gz WNTBYJOKXOBNAV-QGZVFWFLSA-N 1 2 316.449 1.475 20 30 DDEDLO CCC(C)(CC)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046086409 766899252 /nfs/dbraw/zinc/89/92/52/766899252.db2.gz NTIUTVXHZNWHCS-HNNXBMFYSA-N 1 2 306.454 1.555 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)[C@@H]1CCSC1 ZINC001121607625 782589870 /nfs/dbraw/zinc/58/98/70/782589870.db2.gz APJWRZZJUNUCIS-AGIUHOORSA-N 1 2 323.466 1.143 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)[C@@H]1CCSC1 ZINC001121607625 782589875 /nfs/dbraw/zinc/58/98/75/782589875.db2.gz APJWRZZJUNUCIS-AGIUHOORSA-N 1 2 323.466 1.143 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@@](C)(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001046252549 767302579 /nfs/dbraw/zinc/30/25/79/767302579.db2.gz CLXZNMXVCYFGLF-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@@](C)(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001046252549 767302584 /nfs/dbraw/zinc/30/25/84/767302584.db2.gz CLXZNMXVCYFGLF-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@H]1C[C@H](CNc2ccc(C#N)cn2)C1 ZINC001068506897 767383197 /nfs/dbraw/zinc/38/31/97/767383197.db2.gz ZRVXDGKRXZZQSI-HDJSIYSDSA-N 1 2 324.388 1.236 20 30 DDEDLO CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001046386527 767496975 /nfs/dbraw/zinc/49/69/75/767496975.db2.gz GTVQEXCCMJZRSN-OAHLLOKOSA-N 1 2 308.813 1.843 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@](C)(NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001046386527 767496977 /nfs/dbraw/zinc/49/69/77/767496977.db2.gz GTVQEXCCMJZRSN-OAHLLOKOSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001046429875 767551702 /nfs/dbraw/zinc/55/17/02/767551702.db2.gz VVQXKIXAGZTLMG-DOMZBBRYSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001046429875 767551704 /nfs/dbraw/zinc/55/17/04/767551704.db2.gz VVQXKIXAGZTLMG-DOMZBBRYSA-N 1 2 313.829 1.188 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001047309046 768392816 /nfs/dbraw/zinc/39/28/16/768392816.db2.gz XLWVJHIAJFQSAQ-HOTGVXAUSA-N 1 2 319.380 1.357 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001047309046 768392825 /nfs/dbraw/zinc/39/28/25/768392825.db2.gz XLWVJHIAJFQSAQ-HOTGVXAUSA-N 1 2 319.380 1.357 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(F)cc(C)cc2F)C1 ZINC001047452295 768488490 /nfs/dbraw/zinc/48/84/90/768488490.db2.gz HITOIBXNORDZSE-GJZGRUSLSA-N 1 2 322.355 1.414 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(F)cc(C)cc2F)C1 ZINC001047452295 768488495 /nfs/dbraw/zinc/48/84/95/768488495.db2.gz HITOIBXNORDZSE-GJZGRUSLSA-N 1 2 322.355 1.414 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2nc(Cl)cs2)C1 ZINC001047503123 768524831 /nfs/dbraw/zinc/52/48/31/768524831.db2.gz VWSUJMHDIKOSAV-IUCAKERBSA-N 1 2 301.799 1.100 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2nc(Cl)cs2)C1 ZINC001047503123 768524834 /nfs/dbraw/zinc/52/48/34/768524834.db2.gz VWSUJMHDIKOSAV-IUCAKERBSA-N 1 2 301.799 1.100 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@H]2C)nn1C ZINC001132021900 768558767 /nfs/dbraw/zinc/55/87/67/768558767.db2.gz RHYXWDNOPMFUOO-ZBFHGGJFSA-N 1 2 316.449 1.857 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@H]2C)nn1C ZINC001132021900 768558773 /nfs/dbraw/zinc/55/87/73/768558773.db2.gz RHYXWDNOPMFUOO-ZBFHGGJFSA-N 1 2 316.449 1.857 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CC)c(CC)o2)C1 ZINC001047598670 768600078 /nfs/dbraw/zinc/60/00/78/768600078.db2.gz QJIKZRWHZMXNDP-KBPBESRZSA-N 1 2 304.390 1.155 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CC)c(CC)o2)C1 ZINC001047598670 768600085 /nfs/dbraw/zinc/60/00/85/768600085.db2.gz QJIKZRWHZMXNDP-KBPBESRZSA-N 1 2 304.390 1.155 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132047578 768600389 /nfs/dbraw/zinc/60/03/89/768600389.db2.gz YJQZGBQPMKBRHA-BXUZGUMPSA-N 1 2 318.446 1.729 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132047578 768600396 /nfs/dbraw/zinc/60/03/96/768600396.db2.gz YJQZGBQPMKBRHA-BXUZGUMPSA-N 1 2 318.446 1.729 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCc2c[nH+]cn2C1)Nc1ccc(C#N)cn1 ZINC001098125782 768660225 /nfs/dbraw/zinc/66/02/25/768660225.db2.gz NDKGVURQVHVFAX-OCCSQVGLSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC(C)C)C1 ZINC001132227695 768698831 /nfs/dbraw/zinc/69/88/31/768698831.db2.gz HTNXJYMHKPVAPZ-GJZGRUSLSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC(C)C)C1 ZINC001132227695 768698833 /nfs/dbraw/zinc/69/88/33/768698833.db2.gz HTNXJYMHKPVAPZ-GJZGRUSLSA-N 1 2 323.481 1.940 20 30 DDEDLO CCCC1(C(=O)N[C@@H]2CC[C@@H](C)[N@H+](CC(=O)NCC#N)C2)CC1 ZINC001132304931 768747815 /nfs/dbraw/zinc/74/78/15/768747815.db2.gz LIXQKMVWPTXIAG-ZIAGYGMSSA-N 1 2 320.437 1.176 20 30 DDEDLO CCCC1(C(=O)N[C@@H]2CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C2)CC1 ZINC001132304931 768747818 /nfs/dbraw/zinc/74/78/18/768747818.db2.gz LIXQKMVWPTXIAG-ZIAGYGMSSA-N 1 2 320.437 1.176 20 30 DDEDLO CCC(=O)NCC[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC001096260003 768801555 /nfs/dbraw/zinc/80/15/55/768801555.db2.gz VRWLWCGCHJOFDP-UHFFFAOYSA-N 1 2 304.369 1.346 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH+]1CCN(c2cccc(F)n2)CC1 ZINC001096283383 768947909 /nfs/dbraw/zinc/94/79/09/768947909.db2.gz CTLPZBNPYUZUPZ-UHFFFAOYSA-N 1 2 318.396 1.118 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071177229 769103547 /nfs/dbraw/zinc/10/35/47/769103547.db2.gz SBPRNLNGNNSAFL-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CN2CCOCC2)CC[C@H]1C ZINC001071439724 769435148 /nfs/dbraw/zinc/43/51/48/769435148.db2.gz HUWBSZXBKRHUKX-KGLIPLIRSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CN2CCOCC2)CC[C@H]1C ZINC001071439724 769435154 /nfs/dbraw/zinc/43/51/54/769435154.db2.gz HUWBSZXBKRHUKX-KGLIPLIRSA-N 1 2 315.845 1.040 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CN1CC#N ZINC001071528407 769568748 /nfs/dbraw/zinc/56/87/48/769568748.db2.gz TULXFBMNMSFKCE-KBPBESRZSA-N 1 2 315.421 1.255 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnc[nH]c2=O)CC[C@H]1C ZINC001071600136 769691703 /nfs/dbraw/zinc/69/17/03/769691703.db2.gz HGGWMIVJFRIKPC-MNOVXSKESA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnc[nH]c2=O)CC[C@H]1C ZINC001071600136 769691709 /nfs/dbraw/zinc/69/17/09/769691709.db2.gz HGGWMIVJFRIKPC-MNOVXSKESA-N 1 2 310.785 1.517 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nnc(OCC)s2)CCC1 ZINC001133399037 769769597 /nfs/dbraw/zinc/76/95/97/769769597.db2.gz ZPOCXQVAZUWYCH-UHFFFAOYSA-N 1 2 324.450 1.889 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cccc(=O)n2C)CC[C@@H]1C ZINC001071815579 770117069 /nfs/dbraw/zinc/11/70/69/770117069.db2.gz QHWPSMUZLWRRST-QWHCGFSZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cccc(=O)n2C)CC[C@@H]1C ZINC001071815579 770117078 /nfs/dbraw/zinc/11/70/78/770117078.db2.gz QHWPSMUZLWRRST-QWHCGFSZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001096457876 770193471 /nfs/dbraw/zinc/19/34/71/770193471.db2.gz XBFXQXZPTGWCPJ-RHSMWYFYSA-N 1 2 318.421 1.709 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001071929484 770302608 /nfs/dbraw/zinc/30/26/08/770302608.db2.gz QHAUTWFQFCRJOV-GJZGRUSLSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001071999610 770412870 /nfs/dbraw/zinc/41/28/70/770412870.db2.gz PDNBZBVVROIDLG-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(=O)n(C)n2)CC[C@H]1C ZINC001072052442 770486938 /nfs/dbraw/zinc/48/69/38/770486938.db2.gz MXENGJIXEAUHNX-VXGBXAGGSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(=O)n(C)n2)CC[C@H]1C ZINC001072052442 770486942 /nfs/dbraw/zinc/48/69/42/770486942.db2.gz MXENGJIXEAUHNX-VXGBXAGGSA-N 1 2 324.812 1.116 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072111012 770555320 /nfs/dbraw/zinc/55/53/20/770555320.db2.gz GRGFBLGBAOSKSH-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001072219889 770675090 /nfs/dbraw/zinc/67/50/90/770675090.db2.gz ZNIHWCCEVKDPGC-DZGCQCFKSA-N 1 2 318.421 1.282 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)no1 ZINC001049382063 770762244 /nfs/dbraw/zinc/76/22/44/770762244.db2.gz MNVFPHSVEKLGEN-KCPJHIHWSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)no1 ZINC001049382063 770762248 /nfs/dbraw/zinc/76/22/48/770762248.db2.gz MNVFPHSVEKLGEN-KCPJHIHWSA-N 1 2 302.378 1.708 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001072498910 770984036 /nfs/dbraw/zinc/98/40/36/770984036.db2.gz PULUHUYLNLDAOM-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+]Cc1nc(C)c(C)o1 ZINC001135058298 771296747 /nfs/dbraw/zinc/29/67/47/771296747.db2.gz LGPWGWYKESRPJH-HOCLYGCPSA-N 1 2 321.421 1.869 20 30 DDEDLO Cc1nc(N[C@@H](CNC(=O)c2cc(C#N)c[nH]2)C2CC2)cc[nH+]1 ZINC001096623515 771357302 /nfs/dbraw/zinc/35/73/02/771357302.db2.gz KGTMBLCUNFLHNZ-AWEZNQCLSA-N 1 2 310.361 1.605 20 30 DDEDLO N#Cc1cnccc1N[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)C1CC1 ZINC001096646420 771369271 /nfs/dbraw/zinc/36/92/71/771369271.db2.gz NCOIJVBTMXPWDN-HNNXBMFYSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1cccnc1N[C@H](CNC(=O)CCc1[nH]cc[nH+]1)C1CC1 ZINC001096645849 771369462 /nfs/dbraw/zinc/36/94/62/771369462.db2.gz FAGDGMMNMYNZRM-CQSZACIVSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)n1cccn1)C2 ZINC001096834352 771477256 /nfs/dbraw/zinc/47/72/56/771477256.db2.gz LYKGREXWLXGENL-DGAVXFQQSA-N 1 2 308.813 1.918 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)n1cccn1)C2 ZINC001096834352 771477259 /nfs/dbraw/zinc/47/72/59/771477259.db2.gz LYKGREXWLXGENL-DGAVXFQQSA-N 1 2 308.813 1.918 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H](Nc2cc(C)[nH+]c(C3CC3)n2)C1 ZINC001096993723 771561537 /nfs/dbraw/zinc/56/15/37/771561537.db2.gz NCZQEXYOIGGYSV-HDJSIYSDSA-N 1 2 316.405 1.924 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(Cl)[nH]2)[C@@H](O)C1 ZINC001090560511 771990634 /nfs/dbraw/zinc/99/06/34/771990634.db2.gz UYWBKOIKPXGWGE-ONGXEEELSA-N 1 2 318.204 1.586 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(Cl)[nH]2)[C@@H](O)C1 ZINC001090560511 771990635 /nfs/dbraw/zinc/99/06/35/771990635.db2.gz UYWBKOIKPXGWGE-ONGXEEELSA-N 1 2 318.204 1.586 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001090747131 772157830 /nfs/dbraw/zinc/15/78/30/772157830.db2.gz LNVLPOUKMYVPIU-CVEARBPZSA-N 1 2 315.417 1.656 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001090747131 772157832 /nfs/dbraw/zinc/15/78/32/772157832.db2.gz LNVLPOUKMYVPIU-CVEARBPZSA-N 1 2 315.417 1.656 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CCOCC)C1 ZINC001149357040 772392110 /nfs/dbraw/zinc/39/21/10/772392110.db2.gz WWPZPQNJAKYCFV-ZDUSSCGKSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CCOCC)C1 ZINC001149357040 772392113 /nfs/dbraw/zinc/39/21/13/772392113.db2.gz WWPZPQNJAKYCFV-ZDUSSCGKSA-N 1 2 304.818 1.373 20 30 DDEDLO COc1cccc([C@@H](C#N)NC(=O)CCc2[nH]cc[nH+]2)c1OC ZINC001144586327 772571735 /nfs/dbraw/zinc/57/17/35/772571735.db2.gz YJIITRILNPOWQX-GFCCVEGCSA-N 1 2 314.345 1.741 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(CC)o1)C2 ZINC001147427987 773131235 /nfs/dbraw/zinc/13/12/35/773131235.db2.gz GKMLSTJYVXEMHW-UHFFFAOYSA-N 1 2 316.405 1.470 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nnc(CC)o1)C2 ZINC001147427987 773131237 /nfs/dbraw/zinc/13/12/37/773131237.db2.gz GKMLSTJYVXEMHW-UHFFFAOYSA-N 1 2 316.405 1.470 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1ccon1)C2 ZINC001148095251 773344220 /nfs/dbraw/zinc/34/42/20/773344220.db2.gz FXKHJYQBYWEIOI-UHFFFAOYSA-N 1 2 301.390 1.903 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1ccon1)C2 ZINC001148095251 773344228 /nfs/dbraw/zinc/34/42/28/773344228.db2.gz FXKHJYQBYWEIOI-UHFFFAOYSA-N 1 2 301.390 1.903 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001148137923 773363745 /nfs/dbraw/zinc/36/37/45/773363745.db2.gz IVMIOTBZYRPHQJ-KBPBESRZSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001148137923 773363755 /nfs/dbraw/zinc/36/37/55/773363755.db2.gz IVMIOTBZYRPHQJ-KBPBESRZSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)o1)C2 ZINC001148194038 773375270 /nfs/dbraw/zinc/37/52/70/773375270.db2.gz PSBVDDAIDJYBCG-UHFFFAOYSA-N 1 2 304.394 1.769 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)o1)C2 ZINC001148194038 773375279 /nfs/dbraw/zinc/37/52/79/773375279.db2.gz PSBVDDAIDJYBCG-UHFFFAOYSA-N 1 2 304.394 1.769 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H]2OCC[C@H]2C)C1 ZINC001073776147 773400191 /nfs/dbraw/zinc/40/01/91/773400191.db2.gz BRCFEEJZWDNXSZ-BNOWGMLFSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H]2OCC[C@H]2C)C1 ZINC001073776147 773400195 /nfs/dbraw/zinc/40/01/95/773400195.db2.gz BRCFEEJZWDNXSZ-BNOWGMLFSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(COCC)CC2)[C@H](O)C1 ZINC001099895850 773682969 /nfs/dbraw/zinc/68/29/69/773682969.db2.gz ABPPAKRGXBSRMK-CHWSQXEVSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(COCC)CC2)[C@H](O)C1 ZINC001099895850 773682972 /nfs/dbraw/zinc/68/29/72/773682972.db2.gz ABPPAKRGXBSRMK-CHWSQXEVSA-N 1 2 316.829 1.107 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCc3ccoc3)C[C@H]21 ZINC001074209434 773733345 /nfs/dbraw/zinc/73/33/45/773733345.db2.gz XVNJBCGNRRYYRD-SJORKVTESA-N 1 2 316.401 1.537 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCc3ccoc3)C[C@H]21 ZINC001074209434 773733346 /nfs/dbraw/zinc/73/33/46/773733346.db2.gz XVNJBCGNRRYYRD-SJORKVTESA-N 1 2 316.401 1.537 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001074264285 773777266 /nfs/dbraw/zinc/77/72/66/773777266.db2.gz UPDBQULHABOZNJ-TZMCWYRMSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3onc(C)c3C)C[C@@H]21 ZINC001074274420 773786213 /nfs/dbraw/zinc/78/62/13/773786213.db2.gz UBUBXQPLCDVCRJ-GJZGRUSLSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3onc(C)c3C)C[C@@H]21 ZINC001074274420 773786218 /nfs/dbraw/zinc/78/62/18/773786218.db2.gz UBUBXQPLCDVCRJ-GJZGRUSLSA-N 1 2 319.405 1.783 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C#C)cc3)C[C@@H]21 ZINC001074312271 773814968 /nfs/dbraw/zinc/81/49/68/773814968.db2.gz KSSFTMNNTOYFPW-OALUTQOASA-N 1 2 322.408 1.607 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C#C)cc3)C[C@@H]21 ZINC001074312271 773814976 /nfs/dbraw/zinc/81/49/76/773814976.db2.gz KSSFTMNNTOYFPW-OALUTQOASA-N 1 2 322.408 1.607 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CC4(CCC4)C3)C[C@@H]21 ZINC001074314240 773815690 /nfs/dbraw/zinc/81/56/90/773815690.db2.gz BNJKGXXFYLCWLU-DLBZAZTESA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CC4(CCC4)C3)C[C@@H]21 ZINC001074314240 773815694 /nfs/dbraw/zinc/81/56/94/773815694.db2.gz BNJKGXXFYLCWLU-DLBZAZTESA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccnc3C)C[C@@H]21 ZINC001074327160 773824632 /nfs/dbraw/zinc/82/46/32/773824632.db2.gz PTGTYXQLYOVDCX-DLBZAZTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccnc3C)C[C@@H]21 ZINC001074327160 773824636 /nfs/dbraw/zinc/82/46/36/773824636.db2.gz PTGTYXQLYOVDCX-DLBZAZTESA-N 1 2 313.401 1.329 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3c[nH]cc3C)C[C@H]21 ZINC001074409702 773904828 /nfs/dbraw/zinc/90/48/28/773904828.db2.gz GRUAZYSVTCPNNP-CVEARBPZSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3c[nH]cc3C)C[C@H]21 ZINC001074409702 773904830 /nfs/dbraw/zinc/90/48/30/773904830.db2.gz GRUAZYSVTCPNNP-CVEARBPZSA-N 1 2 303.406 1.815 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCc2c[nH]c[nH+]2)CCN1c1ccncc1C#N ZINC001092063230 773932813 /nfs/dbraw/zinc/93/28/13/773932813.db2.gz IVJMTXHOMYYKLM-DOMZBBRYSA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCc2c[nH+]c[nH]2)CCN1c1ccncc1C#N ZINC001092063230 773932814 /nfs/dbraw/zinc/93/28/14/773932814.db2.gz IVJMTXHOMYYKLM-DOMZBBRYSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092181908 773986663 /nfs/dbraw/zinc/98/66/63/773986663.db2.gz NJHIGDXDLOHTBQ-KBPBESRZSA-N 1 2 318.421 1.443 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ocnc1C)c1nccn12 ZINC001092360778 774075782 /nfs/dbraw/zinc/07/57/82/774075782.db2.gz YOHWRFVZYOGFDZ-GFCCVEGCSA-N 1 2 313.361 1.251 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CC(OC)C1)c1nccn12 ZINC001092374673 774108321 /nfs/dbraw/zinc/10/83/21/774108321.db2.gz DBHQLCZVSXAQTD-JXQTWKCFSA-N 1 2 316.405 1.066 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1CN(c1cc[nH+]c(C)n1)C2 ZINC001093069450 774376773 /nfs/dbraw/zinc/37/67/73/774376773.db2.gz NWCMIHUXTBLJQL-YOEHRIQHSA-N 1 2 316.405 1.463 20 30 DDEDLO Cc1nc(N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)c(C)c(C)[nH+]1 ZINC001093256322 774549359 /nfs/dbraw/zinc/54/93/59/774549359.db2.gz QZNGPMDROYPOEQ-QLPKVWCKSA-N 1 2 312.417 1.612 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cnns3)CC2)C1 ZINC001093511762 774759433 /nfs/dbraw/zinc/75/94/33/774759433.db2.gz DPCPWAHMDCGVOK-UHFFFAOYSA-N 1 2 308.407 1.030 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C3CCC(O)CC3)CC2)C1 ZINC001093531517 774784601 /nfs/dbraw/zinc/78/46/01/774784601.db2.gz ZMHBTBYLNMCQDI-UHFFFAOYSA-N 1 2 322.449 1.415 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3n[nH]cc3C)CC2)C1 ZINC001093534508 774788491 /nfs/dbraw/zinc/78/84/91/774788491.db2.gz WFPYJYIIRNKJCZ-UHFFFAOYSA-N 1 2 318.421 1.600 20 30 DDEDLO C[C@H](CCNC(=O)C#CC1CC1)Nc1[nH+]cnc2c1cnn2C ZINC001099086463 774820998 /nfs/dbraw/zinc/82/09/98/774820998.db2.gz ABUSMKXLILXBNH-LLVKDONJSA-N 1 2 312.377 1.083 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@@H]2CCc3c[nH+]cn3C2)ccc1C#N ZINC001093959490 775272640 /nfs/dbraw/zinc/27/26/40/775272640.db2.gz YPQBCJMZNIYLMC-CQSZACIVSA-N 1 2 324.388 1.249 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CC[N@@H+](CC(=C)Cl)C[C@@H]1O ZINC001099959309 775480438 /nfs/dbraw/zinc/48/04/38/775480438.db2.gz FBVRCGDAVSAWAH-GJZGRUSLSA-N 1 2 312.841 1.874 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CC[N@H+](CC(=C)Cl)C[C@@H]1O ZINC001099959309 775480444 /nfs/dbraw/zinc/48/04/44/775480444.db2.gz FBVRCGDAVSAWAH-GJZGRUSLSA-N 1 2 312.841 1.874 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099960056 775484056 /nfs/dbraw/zinc/48/40/56/775484056.db2.gz NHGOKEANNGKZLG-OLZOCXBDSA-N 1 2 309.435 1.407 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099960056 775484060 /nfs/dbraw/zinc/48/40/60/775484060.db2.gz NHGOKEANNGKZLG-OLZOCXBDSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3ccc(C)o3)nn2)C1 ZINC001094273631 775620632 /nfs/dbraw/zinc/62/06/32/775620632.db2.gz UPGDCTSPMWNCQD-UHFFFAOYSA-N 1 2 315.377 1.542 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3c(C)coc3C)nn2)C1 ZINC001094288205 775687672 /nfs/dbraw/zinc/68/76/72/775687672.db2.gz IQTPTUGMFUHTSL-UHFFFAOYSA-N 1 2 315.377 1.461 20 30 DDEDLO Cc1nsc(NCCCNC(=O)Cc2[nH]c[nH+]c2C)c1C#N ZINC001094523541 775981867 /nfs/dbraw/zinc/98/18/67/775981867.db2.gz BZSNJJQPLSCECY-UHFFFAOYSA-N 1 2 318.406 1.516 20 30 DDEDLO N#Cc1ccc(OCCNc2[nH+]cnc3[nH]cc(C(N)=O)c32)cc1 ZINC001171485398 776294722 /nfs/dbraw/zinc/29/47/22/776294722.db2.gz LJAMDXQNAYLXDC-UHFFFAOYSA-N 1 2 322.328 1.371 20 30 DDEDLO CCc1cc(N2CCC[C@H]2CNC(=O)[C@H](C)C#N)nc(C)[nH+]1 ZINC001100810707 776577758 /nfs/dbraw/zinc/57/77/58/776577758.db2.gz ZEKPFJIVWSHGGM-RISCZKNCSA-N 1 2 301.394 1.592 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001100885338 776667999 /nfs/dbraw/zinc/66/79/99/776667999.db2.gz XJIQEJVHJYFVKZ-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100953247 776754754 /nfs/dbraw/zinc/75/47/54/776754754.db2.gz FPACFWWUOHHEAO-HNNXBMFYSA-N 1 2 318.421 1.710 20 30 DDEDLO CCCN(CCNC(=O)Cc1c[nH]c[nH+]1)c1ccncc1C#N ZINC001101245717 777009909 /nfs/dbraw/zinc/00/99/09/777009909.db2.gz ALKFSBLYVFUQDG-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO Cc1nc(N(CCNC(=O)[C@@H](C)C#N)C2CC2)c(C)c(C)[nH+]1 ZINC001101319788 777065092 /nfs/dbraw/zinc/06/50/92/777065092.db2.gz GZQUWSGEPCBYTE-JTQLQIEISA-N 1 2 301.394 1.647 20 30 DDEDLO C=CCCOCC(=O)N1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001095184458 777223320 /nfs/dbraw/zinc/22/33/20/777223320.db2.gz SMGJOCNBAJHFNQ-UHFFFAOYSA-N 1 2 304.394 1.416 20 30 DDEDLO CCOC(=O)c1nnc2n1CC[N@H+](C1CCC(C)(C#N)CC1)C2 ZINC001173599755 777273244 /nfs/dbraw/zinc/27/32/44/777273244.db2.gz QKQOQUDIYYFTOA-UHFFFAOYSA-N 1 2 317.393 1.743 20 30 DDEDLO CCOC(=O)c1nnc2n1CC[N@@H+](C1CCC(C)(C#N)CC1)C2 ZINC001173599755 777273246 /nfs/dbraw/zinc/27/32/46/777273246.db2.gz QKQOQUDIYYFTOA-UHFFFAOYSA-N 1 2 317.393 1.743 20 30 DDEDLO C#Cc1ccccc1CC(=O)N(C)CCNc1cc[nH+]c(C)n1 ZINC001101718336 777430842 /nfs/dbraw/zinc/43/08/42/777430842.db2.gz RMRPDYOTJCKLAD-UHFFFAOYSA-N 1 2 308.385 1.879 20 30 DDEDLO C=CCCCC(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101922352 777682619 /nfs/dbraw/zinc/68/26/19/777682619.db2.gz BRQICPOSKBJBGF-UHFFFAOYSA-N 1 2 302.382 1.590 20 30 DDEDLO C[N@@H+]1CCCn2nc(C(=O)Nc3ccc(O)c(C#N)c3)cc2C1 ZINC001175088279 777687735 /nfs/dbraw/zinc/68/77/35/777687735.db2.gz LLXZWPUCBIRDRO-UHFFFAOYSA-N 1 2 311.345 1.548 20 30 DDEDLO C[N@H+]1CCCn2nc(C(=O)Nc3ccc(O)c(C#N)c3)cc2C1 ZINC001175088279 777687737 /nfs/dbraw/zinc/68/77/37/777687737.db2.gz LLXZWPUCBIRDRO-UHFFFAOYSA-N 1 2 311.345 1.548 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001101947596 777717435 /nfs/dbraw/zinc/71/74/35/777717435.db2.gz XNIDQPJHLWUDJT-CYBMUJFWSA-N 1 2 324.388 1.667 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1C[N@H+](Cc2nncs2)C[C@H]1C ZINC001101949183 777719103 /nfs/dbraw/zinc/71/91/03/777719103.db2.gz XGKXJAMKVKNRJO-CHWSQXEVSA-N 1 2 306.435 1.382 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1C[N@@H+](Cc2nncs2)C[C@H]1C ZINC001101949183 777719107 /nfs/dbraw/zinc/71/91/07/777719107.db2.gz XGKXJAMKVKNRJO-CHWSQXEVSA-N 1 2 306.435 1.382 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N(C)CCNc1ccc(C#N)nc1 ZINC001101991650 777774200 /nfs/dbraw/zinc/77/42/00/777774200.db2.gz DFKLIJLIWNCFLX-LBPRGKRZSA-N 1 2 312.377 1.425 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N(C)CCNc1ccc(C#N)nc1 ZINC001101991650 777774209 /nfs/dbraw/zinc/77/42/09/777774209.db2.gz DFKLIJLIWNCFLX-LBPRGKRZSA-N 1 2 312.377 1.425 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001102031620 777816684 /nfs/dbraw/zinc/81/66/84/777816684.db2.gz SYDHQERIGZALAP-ZIAGYGMSSA-N 1 2 310.361 1.350 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001102031620 777816696 /nfs/dbraw/zinc/81/66/96/777816696.db2.gz SYDHQERIGZALAP-ZIAGYGMSSA-N 1 2 310.361 1.350 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)CCNc2ccnc(C#N)n2)c(C)[nH+]1 ZINC001102061221 777844301 /nfs/dbraw/zinc/84/43/01/777844301.db2.gz JUNGVDMDEPFZRI-UHFFFAOYSA-N 1 2 324.388 1.275 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)CCc2cnn[nH]2)[C@H](C)C1 ZINC001102383592 778109012 /nfs/dbraw/zinc/10/90/12/778109012.db2.gz IXVLONHUEMNJHO-ZYHUDNBSSA-N 1 2 311.817 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)CCc2cnn[nH]2)[C@H](C)C1 ZINC001102383592 778109016 /nfs/dbraw/zinc/10/90/16/778109016.db2.gz IXVLONHUEMNJHO-ZYHUDNBSSA-N 1 2 311.817 1.174 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOC(C)C)[C@H]2C1 ZINC001176987517 778369452 /nfs/dbraw/zinc/36/94/52/778369452.db2.gz DYDZRKJPVLLYCS-IRXDYDNUSA-N 1 2 322.449 1.517 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOC(C)C)[C@H]2C1 ZINC001176987517 778369456 /nfs/dbraw/zinc/36/94/56/778369456.db2.gz DYDZRKJPVLLYCS-IRXDYDNUSA-N 1 2 322.449 1.517 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3(OC)CCC3)C[C@H]21 ZINC001177004764 778384858 /nfs/dbraw/zinc/38/48/58/778384858.db2.gz BNRWTDKUIUIYDN-CVEARBPZSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3(OC)CCC3)C[C@H]21 ZINC001177004764 778384864 /nfs/dbraw/zinc/38/48/64/778384864.db2.gz BNRWTDKUIUIYDN-CVEARBPZSA-N 1 2 320.433 1.271 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3(COCC)CC3)C[C@@H]21 ZINC001177040971 778404377 /nfs/dbraw/zinc/40/43/77/778404377.db2.gz FPGKKEWFFHNJLS-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(COCC)CC3)C[C@@H]21 ZINC001177040971 778404380 /nfs/dbraw/zinc/40/43/80/778404380.db2.gz FPGKKEWFFHNJLS-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102806754 778416886 /nfs/dbraw/zinc/41/68/86/778416886.db2.gz OFLCPETUMJPTDB-PBHICJAKSA-N 1 2 318.421 1.539 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CC)[C@@H]3C2)CCOCC1 ZINC001177139545 778459424 /nfs/dbraw/zinc/45/94/24/778459424.db2.gz ROLIGJJMPUJIEJ-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]3OCC[N@H+](CC)[C@@H]3C2)CCOCC1 ZINC001177139545 778459430 /nfs/dbraw/zinc/45/94/30/778459430.db2.gz ROLIGJJMPUJIEJ-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO CC[C@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1cnc(C#N)cn1 ZINC001103056096 778602306 /nfs/dbraw/zinc/60/23/06/778602306.db2.gz BKBSXUJTDKEPMN-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO N#Cc1cccc2[nH]nc(NC(=O)[C@@H]3CCc4[nH+]ccn4C3)c21 ZINC001178101412 778870801 /nfs/dbraw/zinc/87/08/01/778870801.db2.gz XSPBZYAZLPYRSX-LLVKDONJSA-N 1 2 306.329 1.832 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001103815242 779068059 /nfs/dbraw/zinc/06/80/59/779068059.db2.gz OCTOEWNYWVLMGX-CQSZACIVSA-N 1 2 302.378 1.228 20 30 DDEDLO C[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)CNc1ccc(C#N)nc1 ZINC001103942855 779192636 /nfs/dbraw/zinc/19/26/36/779192636.db2.gz ARAHCNKVNPPCDJ-GFCCVEGCSA-N 1 2 312.377 1.473 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnon1)C2 ZINC001111742568 779459527 /nfs/dbraw/zinc/45/95/27/779459527.db2.gz FNDQTSKWAXBVOJ-GUYCJALGSA-N 1 2 316.405 1.590 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnon1)C2 ZINC001111742568 779459535 /nfs/dbraw/zinc/45/95/35/779459535.db2.gz FNDQTSKWAXBVOJ-GUYCJALGSA-N 1 2 316.405 1.590 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001111993219 779592417 /nfs/dbraw/zinc/59/24/17/779592417.db2.gz AJECGTKRHOFEQI-ZFWWWQNUSA-N 1 2 318.421 1.851 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001112302689 779703837 /nfs/dbraw/zinc/70/38/37/779703837.db2.gz SKKQWWMIRSQNHM-YPMHNXCESA-N 1 2 318.425 1.471 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001115333283 780047455 /nfs/dbraw/zinc/04/74/55/780047455.db2.gz VWTNISATTKQWSK-OJLVUWQFSA-N 1 2 318.421 1.041 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001115333283 780047464 /nfs/dbraw/zinc/04/74/64/780047464.db2.gz VWTNISATTKQWSK-OJLVUWQFSA-N 1 2 318.421 1.041 20 30 DDEDLO C=CCCC(=O)NCC[N@@H+](C)CC(=O)N[C@@H]1CCCC[C@H]1C ZINC001267188173 837500178 /nfs/dbraw/zinc/50/01/78/837500178.db2.gz LQTIVZXVBJSDEL-HUUCEWRRSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCCC(=O)NCC[N@H+](C)CC(=O)N[C@@H]1CCCC[C@H]1C ZINC001267188173 837500185 /nfs/dbraw/zinc/50/01/85/837500185.db2.gz LQTIVZXVBJSDEL-HUUCEWRRSA-N 1 2 309.454 1.696 20 30 DDEDLO CC1CCN(C(=O)C[N@H+](C)CCNC(=O)C#CC(C)(C)C)CC1 ZINC001267193818 837510357 /nfs/dbraw/zinc/51/03/57/837510357.db2.gz DHTMEFXFBBEOCW-UHFFFAOYSA-N 1 2 321.465 1.342 20 30 DDEDLO CC1CCN(C(=O)C[N@@H+](C)CCNC(=O)C#CC(C)(C)C)CC1 ZINC001267193818 837510361 /nfs/dbraw/zinc/51/03/61/837510361.db2.gz DHTMEFXFBBEOCW-UHFFFAOYSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H]1CCC[C@H]1CCC ZINC001267204830 837530288 /nfs/dbraw/zinc/53/02/88/837530288.db2.gz MZPNDTQVSCFCOQ-HUUCEWRRSA-N 1 2 307.438 1.000 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H]1CCC[C@H]1CCC ZINC001267204830 837530296 /nfs/dbraw/zinc/53/02/96/837530296.db2.gz MZPNDTQVSCFCOQ-HUUCEWRRSA-N 1 2 307.438 1.000 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+](Cc2nc(N(C)C)no2)C1 ZINC001267288247 837753712 /nfs/dbraw/zinc/75/37/12/837753712.db2.gz PFGVTMVWUVLACP-GFCCVEGCSA-N 1 2 307.398 1.040 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+](Cc2nc(N(C)C)no2)C1 ZINC001267288247 837753721 /nfs/dbraw/zinc/75/37/21/837753721.db2.gz PFGVTMVWUVLACP-GFCCVEGCSA-N 1 2 307.398 1.040 20 30 DDEDLO C#CCOCCC(=O)NCC[N@@H+](C)Cc1cc(O)ccc1Cl ZINC001266275152 836026339 /nfs/dbraw/zinc/02/63/39/836026339.db2.gz PBCAMMCLANSPEH-UHFFFAOYSA-N 1 2 324.808 1.634 20 30 DDEDLO C#CCOCCC(=O)NCC[N@H+](C)Cc1cc(O)ccc1Cl ZINC001266275152 836026351 /nfs/dbraw/zinc/02/63/51/836026351.db2.gz PBCAMMCLANSPEH-UHFFFAOYSA-N 1 2 324.808 1.634 20 30 DDEDLO COc1cccc(C[N@@H+]2CC[C@H](NC(=O)CSCC#N)C2)n1 ZINC001266302818 836084052 /nfs/dbraw/zinc/08/40/52/836084052.db2.gz VCRPJJLXHQXSAG-ZDUSSCGKSA-N 1 2 320.418 1.037 20 30 DDEDLO COc1cccc(C[N@H+]2CC[C@H](NC(=O)CSCC#N)C2)n1 ZINC001266302818 836084057 /nfs/dbraw/zinc/08/40/57/836084057.db2.gz VCRPJJLXHQXSAG-ZDUSSCGKSA-N 1 2 320.418 1.037 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2ccncc2Cl)C1 ZINC001266317825 836110248 /nfs/dbraw/zinc/11/02/48/836110248.db2.gz VEZJCLOKXQWOSN-BBRMVZONSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2ccncc2Cl)C1 ZINC001266317825 836110253 /nfs/dbraw/zinc/11/02/53/836110253.db2.gz VEZJCLOKXQWOSN-BBRMVZONSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H]1CCCC(F)(F)C1 ZINC001266324157 836121890 /nfs/dbraw/zinc/12/18/90/836121890.db2.gz WZCSVEXLCXHTBI-GFCCVEGCSA-N 1 2 317.380 1.162 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H]1CCCC(F)(F)C1 ZINC001266324157 836121892 /nfs/dbraw/zinc/12/18/92/836121892.db2.gz WZCSVEXLCXHTBI-GFCCVEGCSA-N 1 2 317.380 1.162 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@H+](CC(=O)NCC3CC3)C2)CCC1 ZINC001266339726 836141903 /nfs/dbraw/zinc/14/19/03/836141903.db2.gz WJTMVEUZULXXHU-HNNXBMFYSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@@H+](CC(=O)NCC3CC3)C2)CCC1 ZINC001266339726 836141908 /nfs/dbraw/zinc/14/19/08/836141908.db2.gz WJTMVEUZULXXHU-HNNXBMFYSA-N 1 2 319.449 1.450 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001266341658 836143717 /nfs/dbraw/zinc/14/37/17/836143717.db2.gz LMIBLBTZWBIMHG-CXAGYDPISA-N 1 2 318.421 1.778 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001266341658 836143718 /nfs/dbraw/zinc/14/37/18/836143718.db2.gz LMIBLBTZWBIMHG-CXAGYDPISA-N 1 2 318.421 1.778 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCCC[C@H]2CNC(=O)C#CC2CC2)cn1 ZINC001266842493 836915189 /nfs/dbraw/zinc/91/51/89/836915189.db2.gz ORGLDDMVRGXSAA-KRWDZBQOSA-N 1 2 312.417 1.669 20 30 DDEDLO Cc1ncc(C[N@H+]2CCCC[C@H]2CNC(=O)C#CC2CC2)cn1 ZINC001266842493 836915202 /nfs/dbraw/zinc/91/52/02/836915202.db2.gz ORGLDDMVRGXSAA-KRWDZBQOSA-N 1 2 312.417 1.669 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001266842858 836915949 /nfs/dbraw/zinc/91/59/49/836915949.db2.gz VWFJOFRMXZBJNT-OAHLLOKOSA-N 1 2 319.449 1.309 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001266842858 836915956 /nfs/dbraw/zinc/91/59/56/836915956.db2.gz VWFJOFRMXZBJNT-OAHLLOKOSA-N 1 2 319.449 1.309 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC([N@H+](C)Cc2nncs2)CC1 ZINC001283302085 837107599 /nfs/dbraw/zinc/10/75/99/837107599.db2.gz QSVDFODRXDCZPI-HNNXBMFYSA-N 1 2 324.450 1.288 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC([N@@H+](C)Cc2nncs2)CC1 ZINC001283302085 837107606 /nfs/dbraw/zinc/10/76/06/837107606.db2.gz QSVDFODRXDCZPI-HNNXBMFYSA-N 1 2 324.450 1.288 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001267004363 837173071 /nfs/dbraw/zinc/17/30/71/837173071.db2.gz KJVQPUHNXZSMPT-NSHDSACASA-N 1 2 323.422 1.140 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(C(=O)CSCC#N)C1)c1csnn1 ZINC001267004667 837173907 /nfs/dbraw/zinc/17/39/07/837173907.db2.gz SPRIHLSMIPPBFN-ZJUUUORDSA-N 1 2 311.436 1.046 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)CCOCCNC(=O)[C@H](C)C#N)o1 ZINC001283360519 837188491 /nfs/dbraw/zinc/18/84/91/837188491.db2.gz WKUYMUIHCLBUFG-YPMHNXCESA-N 1 2 308.382 1.268 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)CCOCCNC(=O)[C@H](C)C#N)o1 ZINC001283360519 837188495 /nfs/dbraw/zinc/18/84/95/837188495.db2.gz WKUYMUIHCLBUFG-YPMHNXCESA-N 1 2 308.382 1.268 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001267016323 837197485 /nfs/dbraw/zinc/19/74/85/837197485.db2.gz BNKGGFRTLCNVJW-ZDUSSCGKSA-N 1 2 319.409 1.020 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001267586852 838373353 /nfs/dbraw/zinc/37/33/53/838373353.db2.gz AUSCHNRBWRFTGD-OAHLLOKOSA-N 1 2 317.437 1.289 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001267586852 838373359 /nfs/dbraw/zinc/37/33/59/838373359.db2.gz AUSCHNRBWRFTGD-OAHLLOKOSA-N 1 2 317.437 1.289 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001267623609 838516772 /nfs/dbraw/zinc/51/67/72/838516772.db2.gz UNWSBYPZBROGIF-HNNXBMFYSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC2CCC2)C1 ZINC001267623609 838516778 /nfs/dbraw/zinc/51/67/78/838516778.db2.gz UNWSBYPZBROGIF-HNNXBMFYSA-N 1 2 307.438 1.450 20 30 DDEDLO COCC#CC[N@@H+](C)C[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267653361 838586263 /nfs/dbraw/zinc/58/62/63/838586263.db2.gz QFWFWBOAUQXPMD-NWDGAFQWSA-N 1 2 324.318 1.317 20 30 DDEDLO COCC#CC[N@H+](C)C[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267653361 838586260 /nfs/dbraw/zinc/58/62/60/838586260.db2.gz QFWFWBOAUQXPMD-NWDGAFQWSA-N 1 2 324.318 1.317 20 30 DDEDLO COCC#CC[N@H+](C)C[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001267653361 838586268 /nfs/dbraw/zinc/58/62/68/838586268.db2.gz QFWFWBOAUQXPMD-NWDGAFQWSA-N 1 2 324.318 1.317 20 30 DDEDLO COCC#CC[N@@H+](C)C[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001267653361 838586272 /nfs/dbraw/zinc/58/62/72/838586272.db2.gz QFWFWBOAUQXPMD-NWDGAFQWSA-N 1 2 324.318 1.317 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cn(CCC)nc2C)C1 ZINC001267663516 838603514 /nfs/dbraw/zinc/60/35/14/838603514.db2.gz QKUFDLGCPAPENK-UHFFFAOYSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CCC[C@@H](C(=O)NC1C[NH+](C[C@H](C)O)C1)c1ccccc1 ZINC001267673065 838619840 /nfs/dbraw/zinc/61/98/40/838619840.db2.gz VULCUKYRJRWHHZ-WMLDXEAASA-N 1 2 302.418 1.918 20 30 DDEDLO C=CC[C@@H](C(=O)NCC1C[NH+]([C@@H](C)C(N)=O)C1)c1ccccc1 ZINC001267699561 838671873 /nfs/dbraw/zinc/67/18/73/838671873.db2.gz XBHDKZLSGNTCIN-XJKSGUPXSA-N 1 2 315.417 1.268 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(F)cc1 ZINC001267704330 838681136 /nfs/dbraw/zinc/68/11/36/838681136.db2.gz NAVQHGUMGAJSIC-HOTGVXAUSA-N 1 2 304.365 1.813 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(F)cc1 ZINC001267704330 838681143 /nfs/dbraw/zinc/68/11/43/838681143.db2.gz NAVQHGUMGAJSIC-HOTGVXAUSA-N 1 2 304.365 1.813 20 30 DDEDLO C#CCOCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1c(C)noc1C ZINC001267706093 838685201 /nfs/dbraw/zinc/68/52/01/838685201.db2.gz BIOLXOVLHGDBKV-GJZGRUSLSA-N 1 2 317.389 1.116 20 30 DDEDLO C#CCOCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1c(C)noc1C ZINC001267706093 838685202 /nfs/dbraw/zinc/68/52/02/838685202.db2.gz BIOLXOVLHGDBKV-GJZGRUSLSA-N 1 2 317.389 1.116 20 30 DDEDLO CN(C(=O)CSCC#N)[C@@H]1CC[N@H+](Cc2cncc(F)c2)C1 ZINC001267756821 838871128 /nfs/dbraw/zinc/87/11/28/838871128.db2.gz NIDQEGMZCLIYET-CQSZACIVSA-N 1 2 322.409 1.510 20 30 DDEDLO CN(C(=O)CSCC#N)[C@@H]1CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001267756821 838871134 /nfs/dbraw/zinc/87/11/34/838871134.db2.gz NIDQEGMZCLIYET-CQSZACIVSA-N 1 2 322.409 1.510 20 30 DDEDLO CCN(C(=O)CC1CCCC1)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267780722 838963808 /nfs/dbraw/zinc/96/38/08/838963808.db2.gz UKSNCRIGMWNEBY-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO CCN(C(=O)CC1CCCC1)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267780722 838963817 /nfs/dbraw/zinc/96/38/17/838963817.db2.gz UKSNCRIGMWNEBY-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@@H]1CCCC[C@]1(CNCC#N)NC(=O)CCc1c[nH+]cn1C ZINC001267873941 839107289 /nfs/dbraw/zinc/10/72/89/839107289.db2.gz NNUQZIZSULCXOF-RHSMWYFYSA-N 1 2 317.437 1.531 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nnn(C)n1 ZINC001267955720 839261407 /nfs/dbraw/zinc/26/14/07/839261407.db2.gz FGBZSIPFKSZOGJ-CQSZACIVSA-N 1 2 318.425 1.059 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1C[N@H+](CCOCC2CC2)CCO1 ZINC001268023654 839408153 /nfs/dbraw/zinc/40/81/53/839408153.db2.gz NXEZRIJNWLPNCZ-INIZCTEOSA-N 1 2 324.465 1.832 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1C[N@@H+](CCOCC2CC2)CCO1 ZINC001268023654 839408161 /nfs/dbraw/zinc/40/81/61/839408161.db2.gz NXEZRIJNWLPNCZ-INIZCTEOSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@H]2CCC[C@@H](OC)C2)C1 ZINC001268318302 839991314 /nfs/dbraw/zinc/99/13/14/839991314.db2.gz RIMICMFLGUZXNH-GOEBONIOSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C2(COC)CCCCC2)C1 ZINC001268318205 839991983 /nfs/dbraw/zinc/99/19/83/839991983.db2.gz PYBLYUVJEUKUFX-UHFFFAOYSA-N 1 2 310.438 1.586 20 30 DDEDLO CCc1nnc([C@@H](C)[NH2+]C[C@H](C)NC(=O)C#CC(C)(C)C)o1 ZINC001268688355 840675592 /nfs/dbraw/zinc/67/55/92/840675592.db2.gz DUAGDQMWIZFYLH-NWDGAFQWSA-N 1 2 306.410 1.837 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)C[NH2+]Cc2nc(N(C)C)no2)CCC1 ZINC001268703534 840691404 /nfs/dbraw/zinc/69/14/04/840691404.db2.gz OBKIRVCCAGNNPO-LBPRGKRZSA-N 1 2 321.425 1.476 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]CCCN(C)C(=O)CSCC#N)no1 ZINC001268781355 840788945 /nfs/dbraw/zinc/78/89/45/840788945.db2.gz CNCCMLOXIIIOLK-JTQLQIEISA-N 1 2 311.411 1.134 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1C[NH+](Cc2cnc(C)nc2)C1 ZINC001268874690 840929999 /nfs/dbraw/zinc/92/99/99/840929999.db2.gz VRQXVBAXYZKYLY-KRWDZBQOSA-N 1 2 302.422 1.935 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@H](NC(=O)C#CC2CC2)C2CC2)n1 ZINC001269066519 841171308 /nfs/dbraw/zinc/17/13/08/841171308.db2.gz HAPKNBOCEMLEMV-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CC[N@H+]1Cc1ccc(OC)nc1 ZINC001269222799 841372828 /nfs/dbraw/zinc/37/28/28/841372828.db2.gz IRBGXDPAOXYCFA-MRXNPFEDSA-N 1 2 315.417 1.974 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(OC)nc1 ZINC001269222799 841372835 /nfs/dbraw/zinc/37/28/35/841372835.db2.gz IRBGXDPAOXYCFA-MRXNPFEDSA-N 1 2 315.417 1.974 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001269239638 841403459 /nfs/dbraw/zinc/40/34/59/841403459.db2.gz CHVIKUWGIBTQHW-HUUCEWRRSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001269239638 841403465 /nfs/dbraw/zinc/40/34/65/841403465.db2.gz CHVIKUWGIBTQHW-HUUCEWRRSA-N 1 2 316.405 1.110 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H](N(C)C(=O)C#CC3CC3)C2)sn1 ZINC001269241463 841408436 /nfs/dbraw/zinc/40/84/36/841408436.db2.gz VVKZARATQSDHDN-ZDUSSCGKSA-N 1 2 319.430 1.598 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H](N(C)C(=O)C#CC3CC3)C2)sn1 ZINC001269241463 841408438 /nfs/dbraw/zinc/40/84/38/841408438.db2.gz VVKZARATQSDHDN-ZDUSSCGKSA-N 1 2 319.430 1.598 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@H]1CC[N@H+](Cc2cc(C)on2)C1 ZINC001269314319 841508860 /nfs/dbraw/zinc/50/88/60/841508860.db2.gz SAFYIURYBKNVJZ-HNNXBMFYSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@H]1CC[N@@H+](Cc2cc(C)on2)C1 ZINC001269314319 841508864 /nfs/dbraw/zinc/50/88/64/841508864.db2.gz SAFYIURYBKNVJZ-HNNXBMFYSA-N 1 2 307.394 1.608 20 30 DDEDLO N#CCNCCC1CCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)CC1 ZINC001269877633 842124559 /nfs/dbraw/zinc/12/45/59/842124559.db2.gz QNXYHVZFXYLYDJ-OAHLLOKOSA-N 1 2 315.421 1.187 20 30 DDEDLO C#CCN1CC[C@]2(CCCN2C(=O)c2c[nH]c3cc[nH+]cc23)C1=O ZINC001270139633 842327740 /nfs/dbraw/zinc/32/77/40/842327740.db2.gz XWZJAFXOMWSKHW-GOSISDBHSA-N 1 2 322.368 1.403 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001279129950 842534425 /nfs/dbraw/zinc/53/44/25/842534425.db2.gz UXDKQLDLGVUIBL-AWEZNQCLSA-N 1 2 317.437 1.191 20 30 DDEDLO N#CCCC(=O)N1CCC2(CC1)C[N@H+](Cc1ccoc1)CCO2 ZINC001143194467 861407553 /nfs/dbraw/zinc/40/75/53/861407553.db2.gz WUTASYPSQWJASL-UHFFFAOYSA-N 1 2 317.389 1.777 20 30 DDEDLO N#CCCC(=O)N1CCC2(CC1)C[N@@H+](Cc1ccoc1)CCO2 ZINC001143194467 861407558 /nfs/dbraw/zinc/40/75/58/861407558.db2.gz WUTASYPSQWJASL-UHFFFAOYSA-N 1 2 317.389 1.777 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1COCC[N@@H+]1CCC[C@@H]1CCOC1 ZINC001280398802 843576549 /nfs/dbraw/zinc/57/65/49/843576549.db2.gz BBUXGXJLJWKWAO-HZPDHXFCSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1COCC[N@H+]1CCC[C@@H]1CCOC1 ZINC001280398802 843576554 /nfs/dbraw/zinc/57/65/54/843576554.db2.gz BBUXGXJLJWKWAO-HZPDHXFCSA-N 1 2 310.438 1.586 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@H]1CCc2cnn(C)c2C1 ZINC001409614120 845422470 /nfs/dbraw/zinc/42/24/70/845422470.db2.gz NQXUGRJPCFGBBY-OLZOCXBDSA-N 1 2 324.856 1.714 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@H]1CCc2cnn(C)c2C1 ZINC001409614120 845422484 /nfs/dbraw/zinc/42/24/84/845422484.db2.gz NQXUGRJPCFGBBY-OLZOCXBDSA-N 1 2 324.856 1.714 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH+]cn1C ZINC001280527972 846037878 /nfs/dbraw/zinc/03/78/78/846037878.db2.gz YKLKFGKSOVQJCR-UONOGXRCSA-N 1 2 320.437 1.528 20 30 DDEDLO CSCCC[N@@H+]1C[C@]2(F)CN(CCCC#N)C(=O)[C@]2(F)C1 ZINC001272459278 846156075 /nfs/dbraw/zinc/15/60/75/846156075.db2.gz JKZBXJOIWSUFHY-UONOGXRCSA-N 1 2 317.405 1.618 20 30 DDEDLO CSCCC[N@H+]1C[C@]2(F)CN(CCCC#N)C(=O)[C@]2(F)C1 ZINC001272459278 846156078 /nfs/dbraw/zinc/15/60/78/846156078.db2.gz JKZBXJOIWSUFHY-UONOGXRCSA-N 1 2 317.405 1.618 20 30 DDEDLO C#CCCCNc1ccc(CN2C(=O)NC(C)(C)C2=O)c[nH+]1 ZINC001155017794 861650865 /nfs/dbraw/zinc/65/08/65/861650865.db2.gz BKQBGJNCAFJPIX-UHFFFAOYSA-N 1 2 300.362 1.737 20 30 DDEDLO Cc1ncccc1C[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107819129 847194934 /nfs/dbraw/zinc/19/49/34/847194934.db2.gz LLASWNAMQOKDGG-DYVFJYSZSA-N 1 2 316.405 1.257 20 30 DDEDLO Cc1ncccc1C[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107819129 847194939 /nfs/dbraw/zinc/19/49/39/847194939.db2.gz LLASWNAMQOKDGG-DYVFJYSZSA-N 1 2 316.405 1.257 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)nc2)C1 ZINC001107821367 847225613 /nfs/dbraw/zinc/22/56/13/847225613.db2.gz NWOMHUZTMVVKHD-INIZCTEOSA-N 1 2 305.353 1.065 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)nc2)C1 ZINC001107821367 847225600 /nfs/dbraw/zinc/22/56/00/847225600.db2.gz NWOMHUZTMVVKHD-INIZCTEOSA-N 1 2 305.353 1.065 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1cncc(F)c1)C2 ZINC001272796477 847438604 /nfs/dbraw/zinc/43/86/04/847438604.db2.gz ZYBLYEHYMXMSHT-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1cncc(F)c1)C2 ZINC001272796477 847438608 /nfs/dbraw/zinc/43/86/08/847438608.db2.gz ZYBLYEHYMXMSHT-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)C1(COC)CCCCC1 ZINC001272858683 847558632 /nfs/dbraw/zinc/55/86/32/847558632.db2.gz CKOJTJGZERBWJD-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)C1(COC)CCCCC1 ZINC001272858683 847558634 /nfs/dbraw/zinc/55/86/34/847558634.db2.gz CKOJTJGZERBWJD-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@]23CCN(CCCC#N)C3=O)nn1C ZINC001272882161 847588668 /nfs/dbraw/zinc/58/86/68/847588668.db2.gz FJVJYPQRKWOSGA-QGZVFWFLSA-N 1 2 315.421 1.599 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@]23CCN(CCCC#N)C3=O)nn1C ZINC001272882161 847588676 /nfs/dbraw/zinc/58/86/76/847588676.db2.gz FJVJYPQRKWOSGA-QGZVFWFLSA-N 1 2 315.421 1.599 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@H](NC(=O)C#CC(C)C)CC2)[nH]1 ZINC001327124758 861908827 /nfs/dbraw/zinc/90/88/27/861908827.db2.gz CGWIVZPGSUYJEK-AWEZNQCLSA-N 1 2 303.410 1.243 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@H](NC(=O)C#CC(C)C)CC2)[nH]1 ZINC001327124758 861908843 /nfs/dbraw/zinc/90/88/43/861908843.db2.gz CGWIVZPGSUYJEK-AWEZNQCLSA-N 1 2 303.410 1.243 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2Cc2ccnc(SC)n2)C1=O ZINC001273281354 849326073 /nfs/dbraw/zinc/32/60/73/849326073.db2.gz FOKOZQPZCZXVPP-MRXNPFEDSA-N 1 2 318.446 1.951 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2Cc2ccnc(SC)n2)C1=O ZINC001273281354 849326081 /nfs/dbraw/zinc/32/60/81/849326081.db2.gz FOKOZQPZCZXVPP-MRXNPFEDSA-N 1 2 318.446 1.951 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc(C)c(OC)c1)C2 ZINC001273307185 849382716 /nfs/dbraw/zinc/38/27/16/849382716.db2.gz SEXLNQNWBZXTGL-UHFFFAOYSA-N 1 2 314.385 1.050 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1ccc(Cl)nn1 ZINC001273347017 849606916 /nfs/dbraw/zinc/60/69/16/849606916.db2.gz SSMXIQIXHCOWDE-CHWSQXEVSA-N 1 2 306.797 1.881 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1ccc(Cl)nn1 ZINC001273347017 849606922 /nfs/dbraw/zinc/60/69/22/849606922.db2.gz SSMXIQIXHCOWDE-CHWSQXEVSA-N 1 2 306.797 1.881 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)CCCOCC)C2)CC1 ZINC001273376554 849739639 /nfs/dbraw/zinc/73/96/39/849739639.db2.gz WCBDQNCLTOACPL-KRWDZBQOSA-N 1 2 321.465 1.045 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCOC2(C[NH+](CCCCOC)C2)C1 ZINC001327331330 862069537 /nfs/dbraw/zinc/06/95/37/862069537.db2.gz MWEOHUYRWCAYBI-MRXNPFEDSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[NH2+]Cc1noc(CCC)n1 ZINC001155427768 862074246 /nfs/dbraw/zinc/07/42/46/862074246.db2.gz VLXJBLZFIYZBQP-CYBMUJFWSA-N 1 2 324.425 1.599 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@](C)(O)C1CC1)CO2 ZINC001327385503 862125984 /nfs/dbraw/zinc/12/59/84/862125984.db2.gz BTVATOZTCLGAKK-ZBFHGGJFSA-N 1 2 308.422 1.073 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H](C)C1CC1)CO2 ZINC001327424788 862164346 /nfs/dbraw/zinc/16/43/46/862164346.db2.gz FPGMGLHKFYUFOD-HOCLYGCPSA-N 1 2 322.449 1.585 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)CCC[N@H+](C)Cc1nccs1 ZINC001273507720 851038231 /nfs/dbraw/zinc/03/82/31/851038231.db2.gz KTWSRMCNJCLIDW-HNNXBMFYSA-N 1 2 311.451 1.751 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)CCC[N@@H+](C)Cc1nccs1 ZINC001273507720 851038236 /nfs/dbraw/zinc/03/82/36/851038236.db2.gz KTWSRMCNJCLIDW-HNNXBMFYSA-N 1 2 311.451 1.751 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@H+](Cc3cc(C)sn3)C2)OCC1=O ZINC001273632793 851170259 /nfs/dbraw/zinc/17/02/59/851170259.db2.gz TVMATZNCVLJBNT-MRXNPFEDSA-N 1 2 321.446 1.831 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@@H+](Cc3cc(C)sn3)C2)OCC1=O ZINC001273632793 851170264 /nfs/dbraw/zinc/17/02/64/851170264.db2.gz TVMATZNCVLJBNT-MRXNPFEDSA-N 1 2 321.446 1.831 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2CC(=O)c1ccc(C#N)cc1 ZINC001273727545 851279941 /nfs/dbraw/zinc/27/99/41/851279941.db2.gz PCFHJVZLEJGHMK-IAGOWNOFSA-N 1 2 321.380 1.439 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2CC(=O)c1ccc(C#N)cc1 ZINC001273727545 851279952 /nfs/dbraw/zinc/27/99/52/851279952.db2.gz PCFHJVZLEJGHMK-IAGOWNOFSA-N 1 2 321.380 1.439 20 30 DDEDLO C#CCN1c2ccccc2[C@@]2(CC[N@@H+](Cc3noc(C)n3)C2)C1=O ZINC001273770972 851325096 /nfs/dbraw/zinc/32/50/96/851325096.db2.gz UUBGHTZRDBEIRF-SFHVURJKSA-N 1 2 322.368 1.502 20 30 DDEDLO C#CCN1c2ccccc2[C@@]2(CC[N@H+](Cc3noc(C)n3)C2)C1=O ZINC001273770972 851325109 /nfs/dbraw/zinc/32/51/09/851325109.db2.gz UUBGHTZRDBEIRF-SFHVURJKSA-N 1 2 322.368 1.502 20 30 DDEDLO C#CCC1(C(=O)N(CC)CC[NH2+]Cc2cnon2)CCCCC1 ZINC001273778909 851333657 /nfs/dbraw/zinc/33/36/57/851333657.db2.gz SHHUTLNZPNFDTM-UHFFFAOYSA-N 1 2 318.421 1.982 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)COCCC=C ZINC001273825114 851387692 /nfs/dbraw/zinc/38/76/92/851387692.db2.gz NEQIJQLUIGKUCF-GOSISDBHSA-N 1 2 312.413 1.755 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)COCCC=C ZINC001273825114 851387702 /nfs/dbraw/zinc/38/77/02/851387702.db2.gz NEQIJQLUIGKUCF-GOSISDBHSA-N 1 2 312.413 1.755 20 30 DDEDLO C=C(C)CN1CCC2(CC[NH+](Cc3nncn3C)CC2)C1=O ZINC001273825703 851390743 /nfs/dbraw/zinc/39/07/43/851390743.db2.gz JYUBZELQYPXPSI-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C[C@H](C#N)C(=O)NC/C=C/C[NH2+]Cc1nnc(-c2ccco2)o1 ZINC001273911628 851491601 /nfs/dbraw/zinc/49/16/01/851491601.db2.gz UVUPDIDDGNDFQD-KXMPLOMGSA-N 1 2 315.333 1.251 20 30 DDEDLO Cc1noc(CNC(=O)[C@H]2CC23CC[NH+](CCC#N)CC3)n1 ZINC001274031988 851871806 /nfs/dbraw/zinc/87/18/06/851871806.db2.gz DDIZDXQPPIIHOK-GFCCVEGCSA-N 1 2 303.366 1.010 20 30 DDEDLO Cc1ncc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)cc1C#N ZINC001274092937 851923088 /nfs/dbraw/zinc/92/30/88/851923088.db2.gz SBOMSBMWPXEDJH-UHFFFAOYSA-N 1 2 321.384 1.548 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCC[C@@]23CCN(CC(F)F)C3=O)ccn1 ZINC001274284476 852124413 /nfs/dbraw/zinc/12/44/13/852124413.db2.gz YKRSQQDTMHYKOE-INIZCTEOSA-N 1 2 320.343 1.785 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCC[C@@]23CCN(CC(F)F)C3=O)ccn1 ZINC001274284476 852124421 /nfs/dbraw/zinc/12/44/21/852124421.db2.gz YKRSQQDTMHYKOE-INIZCTEOSA-N 1 2 320.343 1.785 20 30 DDEDLO N#Cc1cccnc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc[nH]1 ZINC001274591074 852422040 /nfs/dbraw/zinc/42/20/40/852422040.db2.gz LGLPJQKCAWZZHT-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1cccnc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc[nH]1 ZINC001274591074 852422050 /nfs/dbraw/zinc/42/20/50/852422050.db2.gz LGLPJQKCAWZZHT-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO C=CCC[C@H](O)C[NH+]1CC2(C1)CN(CC=C(C)C)C(=O)CO2 ZINC001274621975 852456905 /nfs/dbraw/zinc/45/69/05/852456905.db2.gz ZVLZABTVLNEIQO-HNNXBMFYSA-N 1 2 308.422 1.193 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2nncn2C)[C@H](C)C1 ZINC001274632646 852468711 /nfs/dbraw/zinc/46/87/11/852468711.db2.gz ASZITXFIDXNVOB-ZIAGYGMSSA-N 1 2 319.453 1.887 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2nncn2C)[C@H](C)C1 ZINC001274632646 852468716 /nfs/dbraw/zinc/46/87/16/852468716.db2.gz ASZITXFIDXNVOB-ZIAGYGMSSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CCN1CC[C@@]2(CCCN2c2nc(N(C)CC)cc[nH+]2)C1=O ZINC001274738350 852566910 /nfs/dbraw/zinc/56/69/10/852566910.db2.gz XTYNTQOOUGPORX-KRWDZBQOSA-N 1 2 315.421 1.690 20 30 DDEDLO COCC#CC[N@@H+]1Cc2ccc(CNC(=O)c3cn[nH]c3)cc2C1 ZINC001327683320 862384235 /nfs/dbraw/zinc/38/42/35/862384235.db2.gz ZHPDRPYKKIZNPU-UHFFFAOYSA-N 1 2 324.384 1.305 20 30 DDEDLO COCC#CC[N@H+]1Cc2ccc(CNC(=O)c3cn[nH]c3)cc2C1 ZINC001327683320 862384250 /nfs/dbraw/zinc/38/42/50/862384250.db2.gz ZHPDRPYKKIZNPU-UHFFFAOYSA-N 1 2 324.384 1.305 20 30 DDEDLO Cn1cccc1C[NH+]1CC2(CCN2Cc2cc(C#N)n(C)c2)C1 ZINC001275234412 852919296 /nfs/dbraw/zinc/91/92/96/852919296.db2.gz QPOVRYUNRMRILP-UHFFFAOYSA-N 1 2 309.417 1.696 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H]1C[N@H+](CC(=C)Cl)CCCO1 ZINC001150811171 862477002 /nfs/dbraw/zinc/47/70/02/862477002.db2.gz NDPHUQRQWCGQES-UONOGXRCSA-N 1 2 316.829 1.537 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H]1C[N@@H+](CC(=C)Cl)CCCO1 ZINC001150811171 862477010 /nfs/dbraw/zinc/47/70/10/862477010.db2.gz NDPHUQRQWCGQES-UONOGXRCSA-N 1 2 316.829 1.537 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)CCc1cnn(C)c1C ZINC001444997520 853574417 /nfs/dbraw/zinc/57/44/17/853574417.db2.gz YZHTVROFRXJPDI-UHFFFAOYSA-N 1 2 312.845 1.804 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)CCc1cnn(C)c1C ZINC001444997520 853574424 /nfs/dbraw/zinc/57/44/24/853574424.db2.gz YZHTVROFRXJPDI-UHFFFAOYSA-N 1 2 312.845 1.804 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](CCNC(=O)[C@@H](C)C#N)C(C)C ZINC001412318288 854429094 /nfs/dbraw/zinc/42/90/94/854429094.db2.gz CIDPLYAERNXJFT-WCQYABFASA-N 1 2 319.409 1.067 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C(C)(C)C(F)(F)F)C1 ZINC001276100936 854764780 /nfs/dbraw/zinc/76/47/80/854764780.db2.gz JTVMECJSCQKEFL-UHFFFAOYSA-N 1 2 306.328 1.151 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([C@@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001111212961 855187200 /nfs/dbraw/zinc/18/72/00/855187200.db2.gz INUKXCHRHLEKHK-OCCSQVGLSA-N 1 2 304.394 1.637 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1c1nc2ccccc2nc1N1CCCCC1 ZINC001156327763 862938839 /nfs/dbraw/zinc/93/88/39/862938839.db2.gz KVXHCXYEIOTWOV-AWEZNQCLSA-N 1 2 322.416 1.922 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072801662 857718604 /nfs/dbraw/zinc/71/86/04/857718604.db2.gz XBUWQNVXBKTKOR-ZFWWWQNUSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N1CCC(C)(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001072982404 857964996 /nfs/dbraw/zinc/96/49/96/857964996.db2.gz LRZBDZOYXXHQHH-UHFFFAOYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@H]1CCCC(=O)N1)C(C)C ZINC001442108654 858529221 /nfs/dbraw/zinc/52/92/21/858529221.db2.gz WCZVODUTWSCATR-CYBMUJFWSA-N 1 2 315.845 1.576 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@H]1CCCC(=O)N1)C(C)C ZINC001442108654 858529218 /nfs/dbraw/zinc/52/92/18/858529218.db2.gz WCZVODUTWSCATR-CYBMUJFWSA-N 1 2 315.845 1.576 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224385349 881360160 /nfs/dbraw/zinc/36/01/60/881360160.db2.gz CBIPIPWDOFZGPL-QGZVFWFLSA-N 1 2 319.453 1.746 20 30 DDEDLO C#CCN(C(=O)N[C@H](C)[C@H]1CN(C)CC[N@@H+]1C)C1CCCCC1 ZINC001123693636 859361041 /nfs/dbraw/zinc/36/10/41/859361041.db2.gz BSUAYBHUTVIOLU-NVXWUHKLSA-N 1 2 320.481 1.598 20 30 DDEDLO C#CCN(C(=O)N[C@H](C)[C@H]1CN(C)CC[N@H+]1C)C1CCCCC1 ZINC001123693636 859361051 /nfs/dbraw/zinc/36/10/51/859361051.db2.gz BSUAYBHUTVIOLU-NVXWUHKLSA-N 1 2 320.481 1.598 20 30 DDEDLO N#Cc1c(N)sc(C[N@@H+]2CCN3CCOC[C@@H]3C2)c1Cl ZINC001141106939 860787899 /nfs/dbraw/zinc/78/78/99/860787899.db2.gz LDFHOWPLRXPZQR-VIFPVBQESA-N 1 2 312.826 1.372 20 30 DDEDLO N#Cc1c(N)sc(C[N@H+]2CCN3CCOC[C@@H]3C2)c1Cl ZINC001141106939 860787901 /nfs/dbraw/zinc/78/79/01/860787901.db2.gz LDFHOWPLRXPZQR-VIFPVBQESA-N 1 2 312.826 1.372 20 30 DDEDLO CN(C)C(=O)[C@H]1C[C@@H]2[C@@H](CC[N@@H+]2Cc2ccc(F)cc2C#N)O1 ZINC001141163619 860800932 /nfs/dbraw/zinc/80/09/32/860800932.db2.gz MMZGAMCMXCRJGR-BZUAXINKSA-N 1 2 317.364 1.517 20 30 DDEDLO CN(C)C(=O)[C@H]1C[C@@H]2[C@@H](CC[N@H+]2Cc2ccc(F)cc2C#N)O1 ZINC001141163619 860800936 /nfs/dbraw/zinc/80/09/36/860800936.db2.gz MMZGAMCMXCRJGR-BZUAXINKSA-N 1 2 317.364 1.517 20 30 DDEDLO C=CCCC(=O)N[C@@]1(CO)CCC[N@H+](Cc2ccns2)C1 ZINC001325820455 860858082 /nfs/dbraw/zinc/85/80/82/860858082.db2.gz SMZYKWLOMLIYDC-HNNXBMFYSA-N 1 2 309.435 1.552 20 30 DDEDLO C=CCCC(=O)N[C@@]1(CO)CCC[N@@H+](Cc2ccns2)C1 ZINC001325820455 860858092 /nfs/dbraw/zinc/85/80/92/860858092.db2.gz SMZYKWLOMLIYDC-HNNXBMFYSA-N 1 2 309.435 1.552 20 30 DDEDLO CSc1nc(C[N@@H+]2CCC[C@@H](NC(C)=O)C2)ccc1C#N ZINC001141939641 860976394 /nfs/dbraw/zinc/97/63/94/860976394.db2.gz STAHSWZCEIMKQB-CYBMUJFWSA-N 1 2 304.419 1.776 20 30 DDEDLO CSc1nc(C[N@H+]2CCC[C@@H](NC(C)=O)C2)ccc1C#N ZINC001141939641 860976404 /nfs/dbraw/zinc/97/64/04/860976404.db2.gz STAHSWZCEIMKQB-CYBMUJFWSA-N 1 2 304.419 1.776 20 30 DDEDLO C[C@@H]([NH2+]Cc1csnn1)[C@H](C)NC(=O)c1cc(C#N)c[nH]1 ZINC001381369216 881603501 /nfs/dbraw/zinc/60/35/01/881603501.db2.gz PHJDGSAQKVICPT-BDAKNGLRSA-N 1 2 304.379 1.034 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2n[nH]c3c2CCC(C)(C)C3)CC1 ZINC001154503508 861152220 /nfs/dbraw/zinc/15/22/20/861152220.db2.gz QGZIENKRDOQQEC-UHFFFAOYSA-N 1 2 302.422 1.868 20 30 DDEDLO COc1ccc(C(=NO)NC(=O)c2cccc3[nH+]ccn32)nc1 ZINC001154525922 861176125 /nfs/dbraw/zinc/17/61/25/861176125.db2.gz FHQIKHKGNGUAIZ-UHFFFAOYSA-N 1 2 311.301 1.304 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001158149199 864457560 /nfs/dbraw/zinc/45/75/60/864457560.db2.gz YZIWHRCNKAJEMA-HUUCEWRRSA-N 1 2 315.421 1.282 20 30 DDEDLO N#CC1(c2ccccc2)CC([NH2+]Cc2nnc3c(=O)[nH]ccn23)C1 ZINC001331229865 864956033 /nfs/dbraw/zinc/95/60/33/864956033.db2.gz NJRDVHUWEIQJOS-UHFFFAOYSA-N 1 2 320.356 1.131 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cnc3c(C#N)cnn3c2)C[C@H](C)N1CC#N ZINC001331564806 865158017 /nfs/dbraw/zinc/15/80/17/865158017.db2.gz CTDHGCMNQSKZJR-BETUJISGSA-N 1 2 309.377 1.019 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cnc3c(C#N)cnn3c2)C[C@H](C)N1CC#N ZINC001331564806 865158024 /nfs/dbraw/zinc/15/80/24/865158024.db2.gz CTDHGCMNQSKZJR-BETUJISGSA-N 1 2 309.377 1.019 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCCNc1ncc2nc(C)[nH]c2n1 ZINC001159925412 865601127 /nfs/dbraw/zinc/60/11/27/865601127.db2.gz KSNBSHKGZHETRL-NSHDSACASA-N 1 2 318.381 1.252 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@H+](Cc2cn(C)nn2)CC1 ZINC001159957875 865616311 /nfs/dbraw/zinc/61/63/11/865616311.db2.gz SDAHOTQSLAFYOO-UHFFFAOYSA-N 1 2 315.421 1.257 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@@H+](Cc2cn(C)nn2)CC1 ZINC001159957875 865616314 /nfs/dbraw/zinc/61/63/14/865616314.db2.gz SDAHOTQSLAFYOO-UHFFFAOYSA-N 1 2 315.421 1.257 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C(C)(C)C(N)=O)[C@@H]1C ZINC001332184217 865648306 /nfs/dbraw/zinc/64/83/06/865648306.db2.gz HOLPYCJEFXVPPY-WDEREUQCSA-N 1 2 301.818 1.220 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)C(N)=O)[C@@H]1C ZINC001332184217 865648313 /nfs/dbraw/zinc/64/83/13/865648313.db2.gz HOLPYCJEFXVPPY-WDEREUQCSA-N 1 2 301.818 1.220 20 30 DDEDLO CC(C)Cn1ncnc1C[NH2+]C1(CNC(=O)C#CC2CC2)CC1 ZINC001277054745 881906124 /nfs/dbraw/zinc/90/61/24/881906124.db2.gz IPYKKIIBAGBUEK-UHFFFAOYSA-N 1 2 315.421 1.086 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CC[N@H+]2[C@H]2CCN(CC)C2=O)C1 ZINC001323302542 866512018 /nfs/dbraw/zinc/51/20/18/866512018.db2.gz PBMDAKYNWHJFBF-KGLIPLIRSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CC[N@@H+]2[C@H]2CCN(CC)C2=O)C1 ZINC001323302542 866512033 /nfs/dbraw/zinc/51/20/33/866512033.db2.gz PBMDAKYNWHJFBF-KGLIPLIRSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2ncccc2OC)C1 ZINC001206674743 866524743 /nfs/dbraw/zinc/52/47/43/866524743.db2.gz VKRXZAGLSIFNQG-ZDUSSCGKSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2ncccc2OC)C1 ZINC001206674743 866524747 /nfs/dbraw/zinc/52/47/47/866524747.db2.gz VKRXZAGLSIFNQG-ZDUSSCGKSA-N 1 2 305.378 1.967 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)CCc1cccs1 ZINC001323352461 866552964 /nfs/dbraw/zinc/55/29/64/866552964.db2.gz NKUKVSIPNFQUEP-CQSZACIVSA-N 1 2 306.431 1.521 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)CCc1cccs1 ZINC001323352461 866552977 /nfs/dbraw/zinc/55/29/77/866552977.db2.gz NKUKVSIPNFQUEP-CQSZACIVSA-N 1 2 306.431 1.521 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C[NH2+]Cc1nc(C(F)F)no1 ZINC001321500949 867631819 /nfs/dbraw/zinc/63/18/19/867631819.db2.gz ZFDJPRQRFMQDFO-SECBINFHSA-N 1 2 300.309 1.405 20 30 DDEDLO C[C@@H]1CCc2n[nH]c(C(=O)NC3(C#N)CC[NH+](C)CC3)c2C1 ZINC001334735441 867801776 /nfs/dbraw/zinc/80/17/76/867801776.db2.gz VLILCAOFWAWIIV-LLVKDONJSA-N 1 2 301.394 1.252 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001335067047 868041277 /nfs/dbraw/zinc/04/12/77/868041277.db2.gz JFLVJSVSWVDKJA-SUMWQHHRSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC001325619126 868188704 /nfs/dbraw/zinc/18/87/04/868188704.db2.gz PPIDUNLEKQJGTG-CYBMUJFWSA-N 1 2 304.394 1.209 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1[C@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@H]21 ZINC001335414299 868280911 /nfs/dbraw/zinc/28/09/11/868280911.db2.gz RERFZCRYHRIFQH-QKDCVEJESA-N 1 2 316.405 1.060 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]CC2(CCNC(=O)[C@H](C)C#N)CC2)no1 ZINC001163859597 868760710 /nfs/dbraw/zinc/76/07/10/868760710.db2.gz GQPMHPNQDMGKNM-GHMZBOCLSA-N 1 2 305.382 1.475 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1c1nc2ccccc2nc1N1CCCCC1 ZINC001164661284 869344909 /nfs/dbraw/zinc/34/49/09/869344909.db2.gz KVXHCXYEIOTWOV-CQSZACIVSA-N 1 2 322.416 1.922 20 30 DDEDLO CC[C@H](C)[C@H](C)C(=O)NCC[NH+]1CCN(CC#CCOC)CC1 ZINC001316972422 870026308 /nfs/dbraw/zinc/02/63/08/870026308.db2.gz QIACUMKDBWYELX-IRXDYDNUSA-N 1 2 323.481 1.052 20 30 DDEDLO CC[C@H](C)[C@H](C)C(=O)NCCN1CC[NH+](CC#CCOC)CC1 ZINC001316972422 870026323 /nfs/dbraw/zinc/02/63/23/870026323.db2.gz QIACUMKDBWYELX-IRXDYDNUSA-N 1 2 323.481 1.052 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)c2cc(C)co2)C1 ZINC001316985004 870067438 /nfs/dbraw/zinc/06/74/38/870067438.db2.gz GWPIDWQIGVTJNN-STQMWFEESA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)c2cc(C)co2)C1 ZINC001316985004 870067450 /nfs/dbraw/zinc/06/74/50/870067450.db2.gz GWPIDWQIGVTJNN-STQMWFEESA-N 1 2 305.378 1.083 20 30 DDEDLO CC(C)C#CC(=O)NC1CC(N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001339218735 870408713 /nfs/dbraw/zinc/40/87/13/870408713.db2.gz YPDCNBOPAVZDAF-UHFFFAOYSA-N 1 2 316.405 1.107 20 30 DDEDLO CC(C)C#CC(=O)NC1CC(N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001339218735 870408728 /nfs/dbraw/zinc/40/87/28/870408728.db2.gz YPDCNBOPAVZDAF-UHFFFAOYSA-N 1 2 316.405 1.107 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@@H+](C)CC(=O)N2CCC(C)CC2)C1 ZINC001317458878 870872967 /nfs/dbraw/zinc/87/29/67/870872967.db2.gz FJJFBMOFVVMCDA-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@H+](C)CC(=O)N2CCC(C)CC2)C1 ZINC001317458878 870872979 /nfs/dbraw/zinc/87/29/79/870872979.db2.gz FJJFBMOFVVMCDA-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@@H+](CC)Cc1nc(C)c(C)o1 ZINC001317475590 870896478 /nfs/dbraw/zinc/89/64/78/870896478.db2.gz YUPXOIOYRSEDEB-MRXNPFEDSA-N 1 2 309.410 1.557 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[N@H+](CC)Cc1nc(C)c(C)o1 ZINC001317475590 870896489 /nfs/dbraw/zinc/89/64/89/870896489.db2.gz YUPXOIOYRSEDEB-MRXNPFEDSA-N 1 2 309.410 1.557 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@@](C)(CC)CCC)C1 ZINC001276446971 870985779 /nfs/dbraw/zinc/98/57/79/870985779.db2.gz ASSMYZBORBQWIQ-KDOFPFPSSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCn1c(N2CC[C@@H]3C[C@@H]3C2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001340701154 871292884 /nfs/dbraw/zinc/29/28/84/871292884.db2.gz IJZJXXZOQNMROG-VMUDFCTBSA-N 1 2 317.437 1.222 20 30 DDEDLO C=CCn1c(N2CC[C@@H]3C[C@@H]3C2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001340701154 871292895 /nfs/dbraw/zinc/29/28/95/871292895.db2.gz IJZJXXZOQNMROG-VMUDFCTBSA-N 1 2 317.437 1.222 20 30 DDEDLO C#CCCCC(=O)NCC[N@@H+](C)CC(=O)N[C@H]1CCCC[C@H]1C ZINC001317443506 871332246 /nfs/dbraw/zinc/33/22/46/871332246.db2.gz ASRTVJIJGFPVTG-CVEARBPZSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCCCC(=O)NCC[N@H+](C)CC(=O)N[C@H]1CCCC[C@H]1C ZINC001317443506 871332269 /nfs/dbraw/zinc/33/22/69/871332269.db2.gz ASRTVJIJGFPVTG-CVEARBPZSA-N 1 2 321.465 1.533 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N1CCC1 ZINC001317735125 871453169 /nfs/dbraw/zinc/45/31/69/871453169.db2.gz OOTYOLANKBXKRV-GASCZTMLSA-N 1 2 305.422 1.250 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N1CCC1 ZINC001317735125 871453180 /nfs/dbraw/zinc/45/31/80/871453180.db2.gz OOTYOLANKBXKRV-GASCZTMLSA-N 1 2 305.422 1.250 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](N(CCC)C(=O)C(C)(F)F)C1 ZINC001317749666 871462415 /nfs/dbraw/zinc/46/24/15/871462415.db2.gz ILKQWVXFRPUTDP-LBPRGKRZSA-N 1 2 317.380 1.257 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](N(CCC)C(=O)C(C)(F)F)C1 ZINC001317749666 871462432 /nfs/dbraw/zinc/46/24/32/871462432.db2.gz ILKQWVXFRPUTDP-LBPRGKRZSA-N 1 2 317.380 1.257 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1CC ZINC001341208725 871559316 /nfs/dbraw/zinc/55/93/16/871559316.db2.gz BGQASRZGNULEQZ-CABCVRRESA-N 1 2 317.437 1.683 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2C[N@H+]3CCCC[C@@H]3CO2)n1CC ZINC001341208725 871559322 /nfs/dbraw/zinc/55/93/22/871559322.db2.gz BGQASRZGNULEQZ-CABCVRRESA-N 1 2 317.437 1.683 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)o2)C1 ZINC000756003076 871590544 /nfs/dbraw/zinc/59/05/44/871590544.db2.gz QTROGJJRMYQYNF-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)o2)C1 ZINC000756003076 871590553 /nfs/dbraw/zinc/59/05/53/871590553.db2.gz QTROGJJRMYQYNF-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001317849385 871591479 /nfs/dbraw/zinc/59/14/79/871591479.db2.gz UPOQADILYHFTTO-GJZGRUSLSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001317849385 871591496 /nfs/dbraw/zinc/59/14/96/871591496.db2.gz UPOQADILYHFTTO-GJZGRUSLSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1C ZINC001341296940 871595315 /nfs/dbraw/zinc/59/53/15/871595315.db2.gz JGLWOYGTELEVOZ-RHSMWYFYSA-N 1 2 321.469 1.909 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1C ZINC001341296940 871595322 /nfs/dbraw/zinc/59/53/22/871595322.db2.gz JGLWOYGTELEVOZ-RHSMWYFYSA-N 1 2 321.469 1.909 20 30 DDEDLO CC(C)C#CC(=O)NC1C[NH+](CCCOCC(F)(F)F)C1 ZINC001318019815 871691922 /nfs/dbraw/zinc/69/19/22/871691922.db2.gz DYGQGARDFUROGA-UHFFFAOYSA-N 1 2 306.328 1.415 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cccc(OC)c2C)C1 ZINC001318067338 871724742 /nfs/dbraw/zinc/72/47/42/871724742.db2.gz QJTGBQYGVAZVLK-UHFFFAOYSA-N 1 2 304.390 1.620 20 30 DDEDLO C=C(C)CCC(=O)NCC1C[NH+](Cc2cccnc2OC)C1 ZINC001318126776 871764286 /nfs/dbraw/zinc/76/42/86/871764286.db2.gz JVASLTZLIFKBOC-UHFFFAOYSA-N 1 2 303.406 1.995 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ncn(-c3ccccc3)n2)CC1 ZINC001313445158 871808736 /nfs/dbraw/zinc/80/87/36/871808736.db2.gz KEYJQOKHLFYIBT-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001318399189 871992741 /nfs/dbraw/zinc/99/27/41/871992741.db2.gz DODBJODMTJQAJW-PBHICJAKSA-N 1 2 321.421 1.651 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001318399189 871992751 /nfs/dbraw/zinc/99/27/51/871992751.db2.gz DODBJODMTJQAJW-PBHICJAKSA-N 1 2 321.421 1.651 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCC2CC2)C1 ZINC001206472450 872357355 /nfs/dbraw/zinc/35/73/55/872357355.db2.gz HRZUMMIFRVMMSP-SCDSUCTJSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCC2CC2)C1 ZINC001206472450 872357381 /nfs/dbraw/zinc/35/73/81/872357381.db2.gz HRZUMMIFRVMMSP-SCDSUCTJSA-N 1 2 300.830 1.991 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@]2(C)C=CCC2)C1 ZINC001316948879 872446091 /nfs/dbraw/zinc/44/60/91/872446091.db2.gz IZLYLDYWJZIOPP-YOEHRIQHSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@]2(C)C=CCC2)C1 ZINC001316948879 872446094 /nfs/dbraw/zinc/44/60/94/872446094.db2.gz IZLYLDYWJZIOPP-YOEHRIQHSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CC23CCCC3)C1 ZINC001316955870 872463748 /nfs/dbraw/zinc/46/37/48/872463748.db2.gz MPLMCYATRWMFSG-CABCVRRESA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CC23CCCC3)C1 ZINC001316955870 872463754 /nfs/dbraw/zinc/46/37/54/872463754.db2.gz MPLMCYATRWMFSG-CABCVRRESA-N 1 2 319.449 1.450 20 30 DDEDLO CCCCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001316956178 872466278 /nfs/dbraw/zinc/46/62/78/872466278.db2.gz ZQQKPEZCTJOCDE-AWEZNQCLSA-N 1 2 308.426 1.177 20 30 DDEDLO CCCCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001316956178 872466280 /nfs/dbraw/zinc/46/62/80/872466280.db2.gz ZQQKPEZCTJOCDE-AWEZNQCLSA-N 1 2 308.426 1.177 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001206613902 872478257 /nfs/dbraw/zinc/47/82/57/872478257.db2.gz JIHIBTXOVMROCP-DGCLKSJQSA-N 1 2 309.435 1.591 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001206613902 872478265 /nfs/dbraw/zinc/47/82/65/872478265.db2.gz JIHIBTXOVMROCP-DGCLKSJQSA-N 1 2 309.435 1.591 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@@H]1CCCO1 ZINC001343068151 872488520 /nfs/dbraw/zinc/48/85/20/872488520.db2.gz INOJVWSYROLLFQ-UONOGXRCSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@@H]1CCCO1 ZINC001343068151 872488532 /nfs/dbraw/zinc/48/85/32/872488532.db2.gz INOJVWSYROLLFQ-UONOGXRCSA-N 1 2 305.426 1.846 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001206914555 872758795 /nfs/dbraw/zinc/75/87/95/872758795.db2.gz JMTVKDTWKXGSDZ-ZLENFMNRSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001206914555 872758799 /nfs/dbraw/zinc/75/87/99/872758799.db2.gz JMTVKDTWKXGSDZ-ZLENFMNRSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2nccn2CC)C[C@H]1C ZINC001206914930 872759912 /nfs/dbraw/zinc/75/99/12/872759912.db2.gz XZPJBXUUSXNOPH-CKEIUWERSA-N 1 2 320.437 1.167 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2nccn2CC)C[C@H]1C ZINC001206914930 872759921 /nfs/dbraw/zinc/75/99/21/872759921.db2.gz XZPJBXUUSXNOPH-CKEIUWERSA-N 1 2 320.437 1.167 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC001344182530 872917865 /nfs/dbraw/zinc/91/78/65/872917865.db2.gz LQJJNMBNPUCPDN-HNNXBMFYSA-N 1 2 318.421 1.689 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cncc(OC)n2)C[C@H]1C ZINC001207215666 873127738 /nfs/dbraw/zinc/12/77/38/873127738.db2.gz NVHSBETUQQBQLQ-UKRRQHHQSA-N 1 2 318.421 1.778 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cncc(OC)n2)C[C@H]1C ZINC001207215666 873127742 /nfs/dbraw/zinc/12/77/42/873127742.db2.gz NVHSBETUQQBQLQ-UKRRQHHQSA-N 1 2 318.421 1.778 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2CC)C[C@H]1C ZINC001208389033 874100337 /nfs/dbraw/zinc/10/03/37/874100337.db2.gz XNRIHXRMGHKDES-IIAWOOMASA-N 1 2 319.453 1.837 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2CC)C[C@H]1C ZINC001208389033 874100348 /nfs/dbraw/zinc/10/03/48/874100348.db2.gz XNRIHXRMGHKDES-IIAWOOMASA-N 1 2 319.453 1.837 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)NCCCn1cc[nH+]c1 ZINC001347401595 874127164 /nfs/dbraw/zinc/12/71/64/874127164.db2.gz SQDNTELZKSDTIS-OKILXGFUSA-N 1 2 302.378 1.099 20 30 DDEDLO CCOc1nnc(C[NH2+][C@@H](CC)CNC(=O)[C@H](C)C#N)s1 ZINC001378250841 874546584 /nfs/dbraw/zinc/54/65/84/874546584.db2.gz PEHMTLOALLZEDT-ZJUUUORDSA-N 1 2 311.411 1.081 20 30 DDEDLO C[C@H](CC(C)(C)C)C(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001209208568 874731024 /nfs/dbraw/zinc/73/10/24/874731024.db2.gz JYAKWLKLXUCHPM-MGPQQGTHSA-N 1 2 322.453 1.135 20 30 DDEDLO C[C@H](CC(C)(C)C)C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001209208568 874731031 /nfs/dbraw/zinc/73/10/31/874731031.db2.gz JYAKWLKLXUCHPM-MGPQQGTHSA-N 1 2 322.453 1.135 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001349238595 875054147 /nfs/dbraw/zinc/05/41/47/875054147.db2.gz PFDUMKVUUOUNSU-SUMWQHHRSA-N 1 2 318.421 1.280 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC001349573091 875242067 /nfs/dbraw/zinc/24/20/67/875242067.db2.gz GTFRLIDCCVHQMM-CABCVRRESA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nnc(C)o2)C[C@H]1C ZINC001211422370 875799284 /nfs/dbraw/zinc/79/92/84/875799284.db2.gz HKOYLNBODDRVEA-YRGRVCCFSA-N 1 2 322.409 1.468 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nnc(C)o2)C[C@H]1C ZINC001211422370 875799292 /nfs/dbraw/zinc/79/92/92/875799292.db2.gz HKOYLNBODDRVEA-YRGRVCCFSA-N 1 2 322.409 1.468 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2snnc2C)C[C@H]1C ZINC001211426016 875801934 /nfs/dbraw/zinc/80/19/34/875801934.db2.gz WNZMPBDJIXCDNH-KGYLQXTDSA-N 1 2 324.450 1.374 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2snnc2C)C[C@H]1C ZINC001211426016 875801949 /nfs/dbraw/zinc/80/19/49/875801949.db2.gz WNZMPBDJIXCDNH-KGYLQXTDSA-N 1 2 324.450 1.374 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213258273 875862676 /nfs/dbraw/zinc/86/26/76/875862676.db2.gz JWCODYYJGXJJAV-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213258273 875862681 /nfs/dbraw/zinc/86/26/81/875862681.db2.gz JWCODYYJGXJJAV-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213322739 875899324 /nfs/dbraw/zinc/89/93/24/875899324.db2.gz PQCCGFBXQXIXAL-SQWLQELKSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213322739 875899333 /nfs/dbraw/zinc/89/93/33/875899333.db2.gz PQCCGFBXQXIXAL-SQWLQELKSA-N 1 2 321.421 1.901 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)COc2c(C)cccc2C)[C@H](OC)C1 ZINC001213827317 876073202 /nfs/dbraw/zinc/07/32/02/876073202.db2.gz KLIJSOVKJWEJEN-HZPDHXFCSA-N 1 2 316.401 1.131 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)COc2c(C)cccc2C)[C@H](OC)C1 ZINC001213827317 876073219 /nfs/dbraw/zinc/07/32/19/876073219.db2.gz KLIJSOVKJWEJEN-HZPDHXFCSA-N 1 2 316.401 1.131 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)COc2cc(C)ccc2C)[C@H](OC)C1 ZINC001213850368 876078954 /nfs/dbraw/zinc/07/89/54/876078954.db2.gz ONCAGBZXFAHVMY-NVXWUHKLSA-N 1 2 316.401 1.131 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)COc2cc(C)ccc2C)[C@H](OC)C1 ZINC001213850368 876078970 /nfs/dbraw/zinc/07/89/70/876078970.db2.gz ONCAGBZXFAHVMY-NVXWUHKLSA-N 1 2 316.401 1.131 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](OC)C2CCCCC2)[C@H](OC)C1 ZINC001214005552 876125484 /nfs/dbraw/zinc/12/54/84/876125484.db2.gz UIHWRZREKYEDIH-BZUAXINKSA-N 1 2 308.422 1.030 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](OC)C2CCCCC2)[C@H](OC)C1 ZINC001214005552 876125493 /nfs/dbraw/zinc/12/54/93/876125493.db2.gz UIHWRZREKYEDIH-BZUAXINKSA-N 1 2 308.422 1.030 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001379075502 876323104 /nfs/dbraw/zinc/32/31/04/876323104.db2.gz ZAYZESJVPNFIEW-MELADBBJSA-N 1 2 316.829 1.181 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001379075502 876323114 /nfs/dbraw/zinc/32/31/14/876323114.db2.gz ZAYZESJVPNFIEW-MELADBBJSA-N 1 2 316.829 1.181 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001214466738 876352170 /nfs/dbraw/zinc/35/21/70/876352170.db2.gz XGBYOGVUHKPCFU-TZMCWYRMSA-N 1 2 304.394 1.508 20 30 DDEDLO C=CCCn1cc(C(=O)NCc2ccc(-n3cc[nH+]c3)cc2)nn1 ZINC001351887151 876503261 /nfs/dbraw/zinc/50/32/61/876503261.db2.gz LSLHVVKJDDLJRM-UHFFFAOYSA-N 1 2 322.372 1.970 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@@H](C)NC(=O)c2ccc(C#N)[nH]2)nn1C ZINC001379646007 877632539 /nfs/dbraw/zinc/63/25/39/877632539.db2.gz QBURHQGKFJOCIT-LLVKDONJSA-N 1 2 314.393 1.179 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@@H](C)NC(=O)c2ccc(C#N)[nH]2)nn1C ZINC001379646007 877632557 /nfs/dbraw/zinc/63/25/57/877632557.db2.gz QBURHQGKFJOCIT-LLVKDONJSA-N 1 2 314.393 1.179 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001379811642 878087109 /nfs/dbraw/zinc/08/71/09/878087109.db2.gz NXWMWOHJPSXYPZ-DGCLKSJQSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001379811642 878087128 /nfs/dbraw/zinc/08/71/28/878087128.db2.gz NXWMWOHJPSXYPZ-DGCLKSJQSA-N 1 2 317.861 1.726 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219434980 878212790 /nfs/dbraw/zinc/21/27/90/878212790.db2.gz SAPVUNGQAWVAHM-TYBJXXNJSA-N 1 2 317.364 1.325 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001219434980 878212809 /nfs/dbraw/zinc/21/28/09/878212809.db2.gz SAPVUNGQAWVAHM-TYBJXXNJSA-N 1 2 317.364 1.325 20 30 DDEDLO C=CCCC(=O)NCCC[C@@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001355356597 878519788 /nfs/dbraw/zinc/51/97/88/878519788.db2.gz APEKQYYOKWHURW-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C=C/C(C)=C\CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220169879 878772892 /nfs/dbraw/zinc/77/28/92/878772892.db2.gz VCDAJNWHYYCRJP-DHFGKXGFSA-N 1 2 324.424 1.722 20 30 DDEDLO C=C/C(C)=C\CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220169879 878772905 /nfs/dbraw/zinc/77/29/05/878772905.db2.gz VCDAJNWHYYCRJP-DHFGKXGFSA-N 1 2 324.424 1.722 20 30 DDEDLO C=C(C)Cn1c(N2CC[C@H](C)C2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001355946792 878791214 /nfs/dbraw/zinc/79/12/14/878791214.db2.gz GNSCFWPOJNYBIT-JJRVBVJISA-N 1 2 319.453 1.612 20 30 DDEDLO C=C(C)Cn1c(N2CC[C@H](C)C2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001355946792 878791233 /nfs/dbraw/zinc/79/12/33/878791233.db2.gz GNSCFWPOJNYBIT-JJRVBVJISA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccnc(OC)c2)C[C@@H]1O ZINC001220239565 878841539 /nfs/dbraw/zinc/84/15/39/878841539.db2.gz KAJLIUMGMTZQOO-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccnc(OC)c2)C[C@@H]1O ZINC001220239565 878841551 /nfs/dbraw/zinc/84/15/51/878841551.db2.gz KAJLIUMGMTZQOO-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@@H]1O ZINC001220292401 878890392 /nfs/dbraw/zinc/89/03/92/878890392.db2.gz XCUXJHPYPYGVPD-VYDXJSESSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@@H]1O ZINC001220292401 878890405 /nfs/dbraw/zinc/89/04/05/878890405.db2.gz XCUXJHPYPYGVPD-VYDXJSESSA-N 1 2 321.421 1.501 20 30 DDEDLO C[NH2+][C@@H](CC(C)C)C(=O)N=C(N)c1ccc(C(=O)OC)cc1 ZINC001220579693 879112007 /nfs/dbraw/zinc/11/20/07/879112007.db2.gz ZBINQEMZYCENTE-ZDUSSCGKSA-N 1 2 305.378 1.339 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001356734496 879252167 /nfs/dbraw/zinc/25/21/67/879252167.db2.gz MOVZHUQXEGTBBB-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCCC(=O)N1CC(NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001356762565 879312613 /nfs/dbraw/zinc/31/26/13/879312613.db2.gz XQOSMKVKRCKCEP-CQSZACIVSA-N 1 2 316.405 1.355 20 30 DDEDLO C=CCCC[N@@H+]1C[C@@H](NC(=O)c2n[nH]c3ccccc32)[C@@H](O)C1 ZINC001220885871 879344095 /nfs/dbraw/zinc/34/40/95/879344095.db2.gz BEOJCBMUTTVWSG-CABCVRRESA-N 1 2 314.389 1.304 20 30 DDEDLO C=CCCC[N@H+]1C[C@@H](NC(=O)c2n[nH]c3ccccc32)[C@@H](O)C1 ZINC001220885871 879344114 /nfs/dbraw/zinc/34/41/14/879344114.db2.gz BEOJCBMUTTVWSG-CABCVRRESA-N 1 2 314.389 1.304 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H](CC)NC(=O)Cc1[nH]cc[nH+]1 ZINC001356921174 879590330 /nfs/dbraw/zinc/59/03/30/879590330.db2.gz HUENRQBFBPQMGZ-LRDDRELGSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)CNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001357012509 879697341 /nfs/dbraw/zinc/69/73/41/879697341.db2.gz FJJMPRAXHUIJDA-CYBMUJFWSA-N 1 2 320.437 1.761 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(C)CCN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001357252640 879878931 /nfs/dbraw/zinc/87/89/31/879878931.db2.gz ZWSAQUXVUNEFSU-KGLIPLIRSA-N 1 2 320.437 1.717 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(C)CCN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001357252640 879878950 /nfs/dbraw/zinc/87/89/50/879878950.db2.gz ZWSAQUXVUNEFSU-KGLIPLIRSA-N 1 2 320.437 1.717 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3nocc3C)C[C@H]21 ZINC001221515002 879906703 /nfs/dbraw/zinc/90/67/03/879906703.db2.gz RJEXIHWYHPWYTM-GDBMZVCRSA-N 1 2 301.390 1.819 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+](Cc3nocc3C)C[C@H]21 ZINC001221515002 879906711 /nfs/dbraw/zinc/90/67/11/879906711.db2.gz RJEXIHWYHPWYTM-GDBMZVCRSA-N 1 2 301.390 1.819 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2CCC[N@@H+]2C)n1CCCOCC ZINC001357455045 879976133 /nfs/dbraw/zinc/97/61/33/879976133.db2.gz VWNLZJVXZJAERL-HNNXBMFYSA-N 1 2 319.453 1.931 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2CCC[N@H+]2C)n1CCCOCC ZINC001357455045 879976142 /nfs/dbraw/zinc/97/61/42/879976142.db2.gz VWNLZJVXZJAERL-HNNXBMFYSA-N 1 2 319.453 1.931 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@@H+](CC(N)=O)C[C@H]32)CCCC1 ZINC001222142764 880282560 /nfs/dbraw/zinc/28/25/60/880282560.db2.gz PXMQQDISTQSPKH-ZIAGYGMSSA-N 1 2 305.422 1.141 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@H+](CC(N)=O)C[C@H]32)CCCC1 ZINC001222142764 880282575 /nfs/dbraw/zinc/28/25/75/880282575.db2.gz PXMQQDISTQSPKH-ZIAGYGMSSA-N 1 2 305.422 1.141 20 30 DDEDLO CCOc1nnc(C[NH2+]C2(CNC(=O)[C@@H](C)C#N)CCC2)s1 ZINC001380850680 880523575 /nfs/dbraw/zinc/52/35/75/880523575.db2.gz GKUHPXLNZBXLBY-JTQLQIEISA-N 1 2 323.422 1.225 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1(CNC(=O)CCn2cc[nH+]c2)CC1 ZINC001358678741 880749051 /nfs/dbraw/zinc/74/90/51/880749051.db2.gz BISFGOHFJVYDGG-KBPBESRZSA-N 1 2 318.421 1.496 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC1CC[NH+](Cc2cnon2)CC1 ZINC001223148953 880856650 /nfs/dbraw/zinc/85/66/50/880856650.db2.gz WVABVXUAXDUQCK-UHFFFAOYSA-N 1 2 304.394 1.447 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@](C)(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001358803409 880941737 /nfs/dbraw/zinc/94/17/37/880941737.db2.gz AOTCFFCUPZDQDF-CXAGYDPISA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1C[C@]2(C)C[N@@H+](Cc3cnon3)C[C@]2(C)C1 ZINC001276893856 880979917 /nfs/dbraw/zinc/97/99/17/880979917.db2.gz PNNLABPOINFVOV-IYBDPMFKSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CCCC(=O)N1C[C@]2(C)C[N@H+](Cc3cnon3)C[C@]2(C)C1 ZINC001276893856 880979929 /nfs/dbraw/zinc/97/99/29/880979929.db2.gz PNNLABPOINFVOV-IYBDPMFKSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)C2(C)CC(=C)C2)C1=O ZINC001276923526 881110299 /nfs/dbraw/zinc/11/02/99/881110299.db2.gz JQSUDYBEECCYMK-GJZGRUSLSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)C2(C)CC(=C)C2)C1=O ZINC001276923526 881110305 /nfs/dbraw/zinc/11/03/05/881110305.db2.gz JQSUDYBEECCYMK-GJZGRUSLSA-N 1 2 317.433 1.320 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CC[N@H+]2CC(=O)N(C)C(C)C)C1 ZINC001276923793 881112633 /nfs/dbraw/zinc/11/26/33/881112633.db2.gz NVMWDJCOGGBNKG-AWEZNQCLSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CC[N@@H+]2CC(=O)N(C)C(C)C)C1 ZINC001276923793 881112644 /nfs/dbraw/zinc/11/26/44/881112644.db2.gz NVMWDJCOGGBNKG-AWEZNQCLSA-N 1 2 307.438 1.400 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)NCC(F)F)cc1 ZINC001276930224 881143452 /nfs/dbraw/zinc/14/34/52/881143452.db2.gz KTRHKFPSESQFFT-CJNGLKHVSA-N 1 2 305.328 1.904 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)NCC(F)F)cc1 ZINC001276930224 881143457 /nfs/dbraw/zinc/14/34/57/881143457.db2.gz KTRHKFPSESQFFT-CJNGLKHVSA-N 1 2 305.328 1.904 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@@H]2CC[C@@H](F)C2)CC1 ZINC001359029712 881161391 /nfs/dbraw/zinc/16/13/91/881161391.db2.gz FVBFXOAZQVTUHG-HUUCEWRRSA-N 1 2 305.401 1.480 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccn3)n2CCCC)CC1 ZINC001359033965 881162578 /nfs/dbraw/zinc/16/25/78/881162578.db2.gz XHRGPQMLDDLELY-UHFFFAOYSA-N 1 2 324.432 1.895 20 30 DDEDLO CS(=O)(=O)NC[C@@H]1CC[N@H+](Cc2ccc(F)cc2C#N)C1 ZINC001414038649 881167784 /nfs/dbraw/zinc/16/77/84/881167784.db2.gz QKHZRRADTSLTNL-NSHDSACASA-N 1 2 311.382 1.068 20 30 DDEDLO CS(=O)(=O)NC[C@@H]1CC[N@@H+](Cc2ccc(F)cc2C#N)C1 ZINC001414038649 881167796 /nfs/dbraw/zinc/16/77/96/881167796.db2.gz QKHZRRADTSLTNL-NSHDSACASA-N 1 2 311.382 1.068 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1CC[NH+](CCS(C)(=O)=O)CC1 ZINC001228747413 883651045 /nfs/dbraw/zinc/65/10/45/883651045.db2.gz AMDXOVYWJJFNFZ-OLZOCXBDSA-N 1 2 316.467 1.070 20 30 DDEDLO Cc1cncc(C[NH+]2CC3(C[C@@H]3C(=O)NC3(C#N)CCC3)C2)n1 ZINC001277371052 883899724 /nfs/dbraw/zinc/89/97/24/883899724.db2.gz DPWAQPXOBJZANT-CQSZACIVSA-N 1 2 311.389 1.169 20 30 DDEDLO COc1ccc2[nH]c(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@H+]4C)nc2c1 ZINC001229729504 884131641 /nfs/dbraw/zinc/13/16/41/884131641.db2.gz KIJJEGZXFQDLTH-BSRWDCPYSA-N 1 2 301.346 1.563 20 30 DDEDLO COc1ccc2[nH]c(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@@H+]4C)nc2c1 ZINC001229729504 884131650 /nfs/dbraw/zinc/13/16/50/884131650.db2.gz KIJJEGZXFQDLTH-BSRWDCPYSA-N 1 2 301.346 1.563 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CCc1ccco1 ZINC001230735567 884813258 /nfs/dbraw/zinc/81/32/58/884813258.db2.gz VKMIYAVJKFEQGW-AWEZNQCLSA-N 1 2 319.405 1.047 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CCc1ccco1 ZINC001230735567 884813264 /nfs/dbraw/zinc/81/32/64/884813264.db2.gz VKMIYAVJKFEQGW-AWEZNQCLSA-N 1 2 319.405 1.047 20 30 DDEDLO CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CCC#N ZINC001230795111 884886824 /nfs/dbraw/zinc/88/68/24/884886824.db2.gz DZJFGVXLQAQWLH-OAHLLOKOSA-N 1 2 322.453 1.471 20 30 DDEDLO CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CCC#N ZINC001230795111 884886835 /nfs/dbraw/zinc/88/68/35/884886835.db2.gz DZJFGVXLQAQWLH-OAHLLOKOSA-N 1 2 322.453 1.471 20 30 DDEDLO CC(C)[C@@H](CCNC(=O)[C@@H]1CCn2c[nH+]cc2C1)NCC#N ZINC001277575887 885902141 /nfs/dbraw/zinc/90/21/41/885902141.db2.gz ANVPAPKFSJUATO-UKRRQHHQSA-N 1 2 303.410 1.090 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(Br)nc2C)CC1 ZINC001232323621 886243211 /nfs/dbraw/zinc/24/32/11/886243211.db2.gz IFYXOKJGGRZNSV-UHFFFAOYSA-N 1 2 308.223 1.903 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(OC)ncc2Br)CC1 ZINC001232748462 886561513 /nfs/dbraw/zinc/56/15/13/886561513.db2.gz RMBDHDNEZNQAHQ-UHFFFAOYSA-N 1 2 324.222 1.604 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@H](C)CC ZINC001233761497 887292278 /nfs/dbraw/zinc/29/22/78/887292278.db2.gz LFJXYPRWUCJPIG-HZPDHXFCSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@H](C)CC ZINC001233761497 887292292 /nfs/dbraw/zinc/29/22/92/887292292.db2.gz LFJXYPRWUCJPIG-HZPDHXFCSA-N 1 2 321.465 1.627 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)CN(C)C(=O)C#CC(C)(C)C)o1 ZINC001277820004 887484667 /nfs/dbraw/zinc/48/46/67/887484667.db2.gz UYZNVJMOEUZWKV-NWDGAFQWSA-N 1 2 306.410 1.925 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCC=C ZINC001233947332 887487352 /nfs/dbraw/zinc/48/73/52/887487352.db2.gz KPTSBYFIRLXDAV-CABCVRRESA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCC=C ZINC001233947332 887487364 /nfs/dbraw/zinc/48/73/64/887487364.db2.gz KPTSBYFIRLXDAV-CABCVRRESA-N 1 2 305.422 1.013 20 30 DDEDLO C[N@H+](CCCNC(=O)CSCC#N)Cc1coc(C2CC2)n1 ZINC001234154583 887693323 /nfs/dbraw/zinc/69/33/23/887693323.db2.gz MUQNUFKPABKJOT-UHFFFAOYSA-N 1 2 322.434 1.747 20 30 DDEDLO C[N@@H+](CCCNC(=O)CSCC#N)Cc1coc(C2CC2)n1 ZINC001234154583 887693331 /nfs/dbraw/zinc/69/33/31/887693331.db2.gz MUQNUFKPABKJOT-UHFFFAOYSA-N 1 2 322.434 1.747 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CCn1cc(Cl)cn1)C(=O)C#CC1CC1 ZINC001234177739 887715140 /nfs/dbraw/zinc/71/51/40/887715140.db2.gz DIQGXOLQFBLTJX-OAHLLOKOSA-N 1 2 320.824 1.483 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CCn1cc(Cl)cn1)C(=O)C#CC1CC1 ZINC001234177739 887715151 /nfs/dbraw/zinc/71/51/51/887715151.db2.gz DIQGXOLQFBLTJX-OAHLLOKOSA-N 1 2 320.824 1.483 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCC1 ZINC001234537294 888067799 /nfs/dbraw/zinc/06/77/99/888067799.db2.gz MJXPPKXXRKBRBI-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCC1 ZINC001234537294 888067814 /nfs/dbraw/zinc/06/78/14/888067814.db2.gz MJXPPKXXRKBRBI-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(F)c(C(N)=O)c(F)c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234587866 888123014 /nfs/dbraw/zinc/12/30/14/888123014.db2.gz ZGUQOEJAFMLDNS-PEUDNIOHSA-N 1 2 310.300 1.055 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(F)c(C(N)=O)c(F)c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234587866 888123021 /nfs/dbraw/zinc/12/30/21/888123021.db2.gz ZGUQOEJAFMLDNS-PEUDNIOHSA-N 1 2 310.300 1.055 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)[nH]nc1Cl ZINC001235103444 888409009 /nfs/dbraw/zinc/40/90/09/888409009.db2.gz BHQKKLYKIBPSRW-LBPRGKRZSA-N 1 2 324.812 1.168 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)[nH]nc1Cl ZINC001235103444 888409021 /nfs/dbraw/zinc/40/90/21/888409021.db2.gz BHQKKLYKIBPSRW-LBPRGKRZSA-N 1 2 324.812 1.168 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1nonc1C ZINC001235246780 888503390 /nfs/dbraw/zinc/50/33/90/888503390.db2.gz TWNRGXFSRZRZRF-CYBMUJFWSA-N 1 2 310.398 1.297 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1nonc1C ZINC001235246780 888503398 /nfs/dbraw/zinc/50/33/98/888503398.db2.gz TWNRGXFSRZRZRF-CYBMUJFWSA-N 1 2 310.398 1.297 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)[N@@H+]2Cc2cc(C#N)ccn2)O1 ZINC001364692792 889206865 /nfs/dbraw/zinc/20/68/65/889206865.db2.gz SFRAEPPYPNNWQZ-MWNCTCPHSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)[N@H+]2Cc2cc(C#N)ccn2)O1 ZINC001364692792 889206875 /nfs/dbraw/zinc/20/68/75/889206875.db2.gz SFRAEPPYPNNWQZ-MWNCTCPHSA-N 1 2 315.373 1.637 20 30 DDEDLO C=CCCC(=O)NC[C@@H](CO)[NH2+]Cc1nc2ccccc2o1 ZINC001278053588 889504579 /nfs/dbraw/zinc/50/45/79/889504579.db2.gz ILNHLMPHIBVSIL-LBPRGKRZSA-N 1 2 303.362 1.361 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CCN1Cc1c[nH+]cn1CC ZINC001237593193 889728663 /nfs/dbraw/zinc/72/86/63/889728663.db2.gz KESQYPQNXSUDAU-OAHLLOKOSA-N 1 2 316.409 1.334 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)C#CC(C)C)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001290417823 913428562 /nfs/dbraw/zinc/42/85/62/913428562.db2.gz BJZRCJBGGFTDLC-UONOGXRCSA-N 1 2 316.405 1.107 20 30 DDEDLO N#CCNC[C@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C1CCCC1 ZINC001278249685 890268407 /nfs/dbraw/zinc/26/84/07/890268407.db2.gz FWDFYLDVAROLIG-ZBFHGGJFSA-N 1 2 315.421 1.234 20 30 DDEDLO Cc1[nH]c([C@H]2CCCN2S(=O)(=O)CC2(C#N)CC2)[nH+]c1C ZINC001365737015 891425665 /nfs/dbraw/zinc/42/56/65/891425665.db2.gz OFIGLUOPOJEVEQ-GFCCVEGCSA-N 1 2 308.407 1.797 20 30 DDEDLO C=CCOCC(=O)N1C[C@@H]([NH2+]Cc2nc(C3CC3)no2)C[C@@H]1C ZINC001278354341 891543723 /nfs/dbraw/zinc/54/37/23/891543723.db2.gz BJCRJSUUGRPBTB-AAEUAGOBSA-N 1 2 320.393 1.229 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1cc(=O)[nH]c(CNC(=O)CC2CCC2)n1 ZINC001365859938 891735507 /nfs/dbraw/zinc/73/55/07/891735507.db2.gz GITNXMPLHODZCF-UHFFFAOYSA-N 1 2 324.812 1.831 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)NC(C)=O)[C@H]1C ZINC001365898016 891842267 /nfs/dbraw/zinc/84/22/67/891842267.db2.gz SWEMJOASWXINLH-PWSUYJOCSA-N 1 2 301.818 1.233 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)NC(C)=O)[C@H]1C ZINC001365898016 891842286 /nfs/dbraw/zinc/84/22/86/891842286.db2.gz SWEMJOASWXINLH-PWSUYJOCSA-N 1 2 301.818 1.233 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@]1(C)CC[N@H+](Cc2nccs2)C1 ZINC001278387872 891904522 /nfs/dbraw/zinc/90/45/22/891904522.db2.gz GDFYTOGLJQBGLJ-DOMZBBRYSA-N 1 2 307.419 1.262 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@]1(C)CC[N@@H+](Cc2nccs2)C1 ZINC001278387872 891904533 /nfs/dbraw/zinc/90/45/33/891904533.db2.gz GDFYTOGLJQBGLJ-DOMZBBRYSA-N 1 2 307.419 1.262 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@]1(C)CC[N@H+](Cc2cncc(F)c2)C1 ZINC001278389132 891922452 /nfs/dbraw/zinc/92/24/52/891922452.db2.gz QCQMLJMZRYOSPR-DYVFJYSZSA-N 1 2 319.380 1.340 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@]1(C)CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001278389132 891922460 /nfs/dbraw/zinc/92/24/60/891922460.db2.gz QCQMLJMZRYOSPR-DYVFJYSZSA-N 1 2 319.380 1.340 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCn2cc(C)cn2)C1 ZINC001365954792 892029215 /nfs/dbraw/zinc/02/92/15/892029215.db2.gz YZTIADGOQAEBKS-AWEZNQCLSA-N 1 2 310.829 1.915 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCn2cc(C)cn2)C1 ZINC001365954792 892029234 /nfs/dbraw/zinc/02/92/34/892029234.db2.gz YZTIADGOQAEBKS-AWEZNQCLSA-N 1 2 310.829 1.915 20 30 DDEDLO C=CCCCCCC[C@@H](O)C[NH2+]C1(CC(=O)OCC)CNC1 ZINC001245991605 892309690 /nfs/dbraw/zinc/30/96/90/892309690.db2.gz CWBFLOOLMPGELI-OAHLLOKOSA-N 1 2 312.454 1.759 20 30 DDEDLO CCCCCCCCOC(=O)CN[C@@H]1C[NH2+][C@H](C(=O)OC)C1 ZINC001246309251 892533286 /nfs/dbraw/zinc/53/32/86/892533286.db2.gz NWEZLOHNRMOZLY-KBPBESRZSA-N 1 2 314.426 1.383 20 30 DDEDLO Cc1cc(-c2noc([C@@H]([NH3+])CC[S@](C)=O)n2)ccc1C#N ZINC001249250193 893897524 /nfs/dbraw/zinc/89/75/24/893897524.db2.gz SSVNVXVDCMKIIS-QKVFXAPYSA-N 1 2 304.375 1.685 20 30 DDEDLO C=CCOC[C@@H](O)C[N@H+]1C[C@@H](NC(=O)OC(C)(C)C)[C@@H](F)C1 ZINC001252464884 895174862 /nfs/dbraw/zinc/17/48/62/895174862.db2.gz CFLAJVOKULHNQU-RWMBFGLXSA-N 1 2 318.389 1.097 20 30 DDEDLO C=CCOC[C@@H](O)C[N@@H+]1C[C@@H](NC(=O)OC(C)(C)C)[C@@H](F)C1 ZINC001252464884 895174870 /nfs/dbraw/zinc/17/48/70/895174870.db2.gz CFLAJVOKULHNQU-RWMBFGLXSA-N 1 2 318.389 1.097 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+](CC)Cc2ccccc2F)CC1 ZINC001252581671 895290893 /nfs/dbraw/zinc/29/08/93/895290893.db2.gz ZFFFVRBYDSSHIT-UHFFFAOYSA-N 1 2 317.408 1.425 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+](CC)Cc2ccccc2F)CC1 ZINC001252581671 895290904 /nfs/dbraw/zinc/29/09/04/895290904.db2.gz ZFFFVRBYDSSHIT-UHFFFAOYSA-N 1 2 317.408 1.425 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)C[C@H]2CCNC2=O)C1 ZINC001367075605 895768076 /nfs/dbraw/zinc/76/80/76/895768076.db2.gz QTDPHIMTXFEHIN-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)C[C@H]2CCNC2=O)C1 ZINC001367075605 895768093 /nfs/dbraw/zinc/76/80/93/895768093.db2.gz QTDPHIMTXFEHIN-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001292802378 914013646 /nfs/dbraw/zinc/01/36/46/914013646.db2.gz ZWQBSIBFTOHRLW-OLZOCXBDSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001292802378 914013668 /nfs/dbraw/zinc/01/36/68/914013668.db2.gz ZWQBSIBFTOHRLW-OLZOCXBDSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CC[NH+]1CCN(C[C@](C)(O)C(=O)OCc2ccccc2)CC1 ZINC001253633865 895948073 /nfs/dbraw/zinc/94/80/73/895948073.db2.gz YYBBCXPVWOQRBX-SFHVURJKSA-N 1 2 318.417 1.284 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CC[N@H+](Cc2csnn2)CC(C)(C)C1 ZINC001388833564 896012423 /nfs/dbraw/zinc/01/24/23/896012423.db2.gz DJIMKRHNAPPPNQ-NWDGAFQWSA-N 1 2 321.450 1.805 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CC[N@@H+](Cc2csnn2)CC(C)(C)C1 ZINC001388833564 896012429 /nfs/dbraw/zinc/01/24/29/896012429.db2.gz DJIMKRHNAPPPNQ-NWDGAFQWSA-N 1 2 321.450 1.805 20 30 DDEDLO CC(C)C#CC(=O)NC[C@]1(O)CC[N@H+](CC=C(Cl)Cl)C1 ZINC001278777290 896368287 /nfs/dbraw/zinc/36/82/87/896368287.db2.gz XASUTNHVGCASBX-CQSZACIVSA-N 1 2 319.232 1.518 20 30 DDEDLO CC(C)C#CC(=O)NC[C@]1(O)CC[N@@H+](CC=C(Cl)Cl)C1 ZINC001278777290 896368300 /nfs/dbraw/zinc/36/83/00/896368300.db2.gz XASUTNHVGCASBX-CQSZACIVSA-N 1 2 319.232 1.518 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](O)C[NH2+][C@@H](C)c1nnc(C)o1 ZINC001278790337 896458764 /nfs/dbraw/zinc/45/87/64/896458764.db2.gz DZAQVTGAEQUSHM-AAEUAGOBSA-N 1 2 324.425 1.450 20 30 DDEDLO Cc1cc(C[N@@H+](C)CCN(C)C(=O)c2cc(C#N)c[nH]2)nn1C ZINC001367510244 896979803 /nfs/dbraw/zinc/97/98/03/896979803.db2.gz WTHLWYYWXHRNDP-UHFFFAOYSA-N 1 2 314.393 1.132 20 30 DDEDLO Cc1cc(C[N@H+](C)CCN(C)C(=O)c2cc(C#N)c[nH]2)nn1C ZINC001367510244 896979816 /nfs/dbraw/zinc/97/98/16/896979816.db2.gz WTHLWYYWXHRNDP-UHFFFAOYSA-N 1 2 314.393 1.132 20 30 DDEDLO C=CCOC[C@H]1C[N@@H+]([C@H](C)COC)Cc2nnn(CC3CC3)c21 ZINC001255574426 897020706 /nfs/dbraw/zinc/02/07/06/897020706.db2.gz ZGKAABNAYHGHBF-UKRRQHHQSA-N 1 2 320.437 1.825 20 30 DDEDLO C=CCOC[C@H]1C[N@H+]([C@H](C)COC)Cc2nnn(CC3CC3)c21 ZINC001255574426 897020718 /nfs/dbraw/zinc/02/07/18/897020718.db2.gz ZGKAABNAYHGHBF-UKRRQHHQSA-N 1 2 320.437 1.825 20 30 DDEDLO COC(=O)[C@H]1C[C@H]([NH2+][C@@H](C)CC#N)CN1C(=O)OC(C)(C)C ZINC001256257966 897344603 /nfs/dbraw/zinc/34/46/03/897344603.db2.gz DMJKSZAEMGAGKB-SDDRHHMPSA-N 1 2 311.382 1.429 20 30 DDEDLO C[C@@H]1C[C@H](N2CC[NH2+]C[C@H]2C#N)CCN1C(=O)OC(C)(C)C ZINC001256474334 897448441 /nfs/dbraw/zinc/44/84/41/897448441.db2.gz WMKKIMTXYWDFFU-MGPQQGTHSA-N 1 2 308.426 1.572 20 30 DDEDLO Cc1noc(C[NH+]2CCC([C@@H](C)NC(=O)C#CC(C)C)CC2)n1 ZINC001279079748 898089909 /nfs/dbraw/zinc/08/99/09/898089909.db2.gz VAIOSWGIDAKKEX-CYBMUJFWSA-N 1 2 318.421 1.754 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2c[nH+]cn2C2CCC2)cc1 ZINC001258174804 898093220 /nfs/dbraw/zinc/09/32/20/898093220.db2.gz TTYFKJSEJUDUCK-UHFFFAOYSA-N 1 2 316.386 1.958 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)C[C@H]2[C@H](C(=O)OC)C2(F)F)n1 ZINC001262111083 899949940 /nfs/dbraw/zinc/94/99/40/899949940.db2.gz WLURKVDXADVJLK-QWHCGFSZSA-N 1 2 311.332 1.393 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)C[C@H]2[C@H](C(=O)OC)C2(F)F)n1 ZINC001262111083 899949946 /nfs/dbraw/zinc/94/99/46/899949946.db2.gz WLURKVDXADVJLK-QWHCGFSZSA-N 1 2 311.332 1.393 20 30 DDEDLO COc1cc(C[N@H+](C)CCNC(=O)c2c[nH]c(C#N)c2)sn1 ZINC001390751229 900097589 /nfs/dbraw/zinc/09/75/89/900097589.db2.gz MIZSIYYJBVQGCN-UHFFFAOYSA-N 1 2 319.390 1.213 20 30 DDEDLO COc1cc(C[N@@H+](C)CCNC(=O)c2c[nH]c(C#N)c2)sn1 ZINC001390751229 900097594 /nfs/dbraw/zinc/09/75/94/900097594.db2.gz MIZSIYYJBVQGCN-UHFFFAOYSA-N 1 2 319.390 1.213 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccc(C#N)cc3)n2C)CC1 ZINC001262900058 900411880 /nfs/dbraw/zinc/41/18/80/900411880.db2.gz JJQBJZNHNGDBAT-UHFFFAOYSA-N 1 2 320.400 1.033 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1c1ccccc1 ZINC001263884562 900796773 /nfs/dbraw/zinc/79/67/73/900796773.db2.gz UQQOSNRKPGIVTH-BHYGNILZSA-N 1 2 318.392 1.578 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1c1ccccc1 ZINC001263884562 900796778 /nfs/dbraw/zinc/79/67/78/900796778.db2.gz UQQOSNRKPGIVTH-BHYGNILZSA-N 1 2 318.392 1.578 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1cc(=O)[nH]c(CNC(=O)C(C)=CC)n1 ZINC001264025593 900877397 /nfs/dbraw/zinc/87/73/97/900877397.db2.gz PDRHCEDJKAYVBO-WTKPLQERSA-N 1 2 310.785 1.607 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(C)C)on1 ZINC001264366932 901044077 /nfs/dbraw/zinc/04/40/77/901044077.db2.gz OAQIJMDFSMUVDV-CQSZACIVSA-N 1 2 319.405 1.594 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(C)C)on1 ZINC001264366932 901044085 /nfs/dbraw/zinc/04/40/85/901044085.db2.gz OAQIJMDFSMUVDV-CQSZACIVSA-N 1 2 319.405 1.594 20 30 DDEDLO COC[C@H](C)C(=O)NCC[N@H+](Cc1ccccc1C#N)C1CC1 ZINC001391152327 901072310 /nfs/dbraw/zinc/07/23/10/901072310.db2.gz CFCPOHNNOLMGAA-AWEZNQCLSA-N 1 2 315.417 1.921 20 30 DDEDLO COC[C@H](C)C(=O)NCC[N@@H+](Cc1ccccc1C#N)C1CC1 ZINC001391152327 901072318 /nfs/dbraw/zinc/07/23/18/901072318.db2.gz CFCPOHNNOLMGAA-AWEZNQCLSA-N 1 2 315.417 1.921 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@@H]23)o1 ZINC001369413712 901230745 /nfs/dbraw/zinc/23/07/45/901230745.db2.gz NNGIENFCBUZTPX-IXPVHAAZSA-N 1 2 303.366 1.151 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@@H]23)o1 ZINC001369413712 901230757 /nfs/dbraw/zinc/23/07/57/901230757.db2.gz NNGIENFCBUZTPX-IXPVHAAZSA-N 1 2 303.366 1.151 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CC(C)(C)C2CC2)C1 ZINC001265212438 901713334 /nfs/dbraw/zinc/71/33/34/901713334.db2.gz BRPUJRRVPPKZGK-HNNXBMFYSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CC(C)(C)C2CC2)C1 ZINC001265212438 901713346 /nfs/dbraw/zinc/71/33/46/901713346.db2.gz BRPUJRRVPPKZGK-HNNXBMFYSA-N 1 2 321.465 1.696 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001265212423 901714418 /nfs/dbraw/zinc/71/44/18/901714418.db2.gz BJYRNPNNFGYMOQ-CQSZACIVSA-N 1 2 316.405 1.369 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2cncc(OC)n2)C1 ZINC001265212423 901714427 /nfs/dbraw/zinc/71/44/27/901714427.db2.gz BJYRNPNNFGYMOQ-CQSZACIVSA-N 1 2 316.405 1.369 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001265299963 901848424 /nfs/dbraw/zinc/84/84/24/901848424.db2.gz XHABCLNHIWSYAZ-OAHLLOKOSA-N 1 2 316.405 1.369 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001265299963 901848438 /nfs/dbraw/zinc/84/84/38/901848438.db2.gz XHABCLNHIWSYAZ-OAHLLOKOSA-N 1 2 316.405 1.369 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001265390833 901959398 /nfs/dbraw/zinc/95/93/98/901959398.db2.gz OFLVFWBKOKUXLF-OAHLLOKOSA-N 1 2 319.453 1.842 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001265390833 901959414 /nfs/dbraw/zinc/95/94/14/901959414.db2.gz OFLVFWBKOKUXLF-OAHLLOKOSA-N 1 2 319.453 1.842 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCC[C@H]2CNC(=O)C#CC2CC2)nn1C ZINC001265645104 902243370 /nfs/dbraw/zinc/24/33/70/902243370.db2.gz JNBYVMUEESMHID-KRWDZBQOSA-N 1 2 314.433 1.613 20 30 DDEDLO Cc1cc(C[N@H+]2CCCC[C@H]2CNC(=O)C#CC2CC2)nn1C ZINC001265645104 902243387 /nfs/dbraw/zinc/24/33/87/902243387.db2.gz JNBYVMUEESMHID-KRWDZBQOSA-N 1 2 314.433 1.613 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[NH2+][C@H](C)c1nc(CC)no1 ZINC001265810753 902428104 /nfs/dbraw/zinc/42/81/04/902428104.db2.gz FPMOGPNSTQCWNH-CHWSQXEVSA-N 1 2 306.410 1.981 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1C[N@H+](CCc2ccccc2F)CCO1 ZINC001391984448 903036743 /nfs/dbraw/zinc/03/67/43/903036743.db2.gz QYGRBOXMAIBORR-ZFWWWQNUSA-N 1 2 319.380 1.345 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1C[N@@H+](CCc2ccccc2F)CCO1 ZINC001391984448 903036756 /nfs/dbraw/zinc/03/67/56/903036756.db2.gz QYGRBOXMAIBORR-ZFWWWQNUSA-N 1 2 319.380 1.345 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1CCC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001266218231 903131716 /nfs/dbraw/zinc/13/17/16/903131716.db2.gz NEBDSNDAFOWNPP-MRXNPFEDSA-N 1 2 317.433 1.039 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1CCC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001266218231 903131722 /nfs/dbraw/zinc/13/17/22/903131722.db2.gz NEBDSNDAFOWNPP-MRXNPFEDSA-N 1 2 317.433 1.039 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[NH+]([C@@H](C)c1nnnn1C)CC2 ZINC001280383332 903624022 /nfs/dbraw/zinc/62/40/22/903624022.db2.gz SQTANSSIPFCWJV-ZDUSSCGKSA-N 1 2 318.425 1.162 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001280406780 903643610 /nfs/dbraw/zinc/64/36/10/903643610.db2.gz WVLBFXHJUAXRKA-JZXOWHBKSA-N 1 2 322.449 1.586 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001280406780 903643620 /nfs/dbraw/zinc/64/36/20/903643620.db2.gz WVLBFXHJUAXRKA-JZXOWHBKSA-N 1 2 322.449 1.586 20 30 DDEDLO C#CCCCCC(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH+]cn1C ZINC001280457684 903700452 /nfs/dbraw/zinc/70/04/52/903700452.db2.gz XLNITABBUHKJLK-AWEZNQCLSA-N 1 2 318.421 1.119 20 30 DDEDLO C=CCOCCN1CC[NH+]([C@H]2CCN(C(=O)C=C(C)C)C2)CC1 ZINC001280676893 903912207 /nfs/dbraw/zinc/91/22/07/903912207.db2.gz DOTCCQIUAKHMJW-KRWDZBQOSA-N 1 2 321.465 1.374 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CC[C@H](NC(=O)[C@H](C)C#N)C2)c(C)[nH+]1 ZINC001396942289 914782705 /nfs/dbraw/zinc/78/27/05/914782705.db2.gz ISTZLQLURUJIPE-RISCZKNCSA-N 1 2 314.389 1.497 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)CC(C)(C)COC)CO2 ZINC001280945040 904223526 /nfs/dbraw/zinc/22/35/26/904223526.db2.gz PGOGKVGJWRVYDE-CQSZACIVSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001281019382 904324446 /nfs/dbraw/zinc/32/44/46/904324446.db2.gz APXUTCKWCDXBNT-YNEHKIRRSA-N 1 2 318.421 1.689 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001281019382 904324450 /nfs/dbraw/zinc/32/44/50/904324450.db2.gz APXUTCKWCDXBNT-YNEHKIRRSA-N 1 2 318.421 1.689 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1Cc2ccccc21 ZINC001316609002 904571153 /nfs/dbraw/zinc/57/11/53/904571153.db2.gz ZDFJACSLININRH-AEFFLSMTSA-N 1 2 312.413 1.509 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1Cc2ccccc21 ZINC001316609002 904571172 /nfs/dbraw/zinc/57/11/72/904571172.db2.gz ZDFJACSLININRH-AEFFLSMTSA-N 1 2 312.413 1.509 20 30 DDEDLO C=CC[N@H+]1Cc2ccc(CNC(=O)Cc3n[nH]c(C)n3)cc2C1 ZINC001281292905 904659251 /nfs/dbraw/zinc/65/92/51/904659251.db2.gz JDQIWHHDLWESNB-UHFFFAOYSA-N 1 2 311.389 1.474 20 30 DDEDLO C=CC[N@@H+]1Cc2ccc(CNC(=O)Cc3n[nH]c(C)n3)cc2C1 ZINC001281292905 904659260 /nfs/dbraw/zinc/65/92/60/904659260.db2.gz JDQIWHHDLWESNB-UHFFFAOYSA-N 1 2 311.389 1.474 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C1CC(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001281344513 904703676 /nfs/dbraw/zinc/70/36/76/904703676.db2.gz KNCKDXMSDBCEEL-UHFFFAOYSA-N 1 2 318.421 1.660 20 30 DDEDLO COc1cc(C[N@H+](C)C[C@H](C)NC(=O)c2c[nH]c(C#N)c2)on1 ZINC001392642855 904704630 /nfs/dbraw/zinc/70/46/30/904704630.db2.gz KGERPRMNKOFFBV-JTQLQIEISA-N 1 2 317.349 1.133 20 30 DDEDLO COc1cc(C[N@@H+](C)C[C@H](C)NC(=O)c2c[nH]c(C#N)c2)on1 ZINC001392642855 904704640 /nfs/dbraw/zinc/70/46/40/904704640.db2.gz KGERPRMNKOFFBV-JTQLQIEISA-N 1 2 317.349 1.133 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)C[C@@H]1CCC(=O)NC1 ZINC001392661316 904790137 /nfs/dbraw/zinc/79/01/37/904790137.db2.gz PPFSIZIPZPUWSO-RYUDHWBXSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)C[C@@H]1CCC(=O)NC1 ZINC001392661316 904790151 /nfs/dbraw/zinc/79/01/51/904790151.db2.gz PPFSIZIPZPUWSO-RYUDHWBXSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001281494154 904937336 /nfs/dbraw/zinc/93/73/36/904937336.db2.gz BRIHFRSHDFUXCM-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H](NC(=O)C#CC1CC1)[C@H](C)[NH2+]Cc1nc(C2CCC2)no1 ZINC001282128317 905567368 /nfs/dbraw/zinc/56/73/68/905567368.db2.gz IVQBWGGHDJOPLW-RYUDHWBXSA-N 1 2 316.405 1.733 20 30 DDEDLO C[C@H](CNC(=O)CSCC#N)[N@H+](C)Cc1cc2n(n1)CCC2 ZINC001282356634 905711950 /nfs/dbraw/zinc/71/19/50/905711950.db2.gz SATVEFDKOJYSFS-GFCCVEGCSA-N 1 2 321.450 1.023 20 30 DDEDLO C[C@H](CNC(=O)CSCC#N)[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC001282356634 905711961 /nfs/dbraw/zinc/71/19/61/905711961.db2.gz SATVEFDKOJYSFS-GFCCVEGCSA-N 1 2 321.450 1.023 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C[C@H]2CCCC2(F)F)C1 ZINC001282529337 905850433 /nfs/dbraw/zinc/85/04/33/905850433.db2.gz HXELKNCJGPDHKL-CYBMUJFWSA-N 1 2 314.376 1.388 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1(CCO)C[NH+](C[C@H]2CC[C@@H](C)O2)C1 ZINC001282530278 905854225 /nfs/dbraw/zinc/85/42/25/905854225.db2.gz JDYYKCWUWOETLG-HUUCEWRRSA-N 1 2 324.465 1.709 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H](C)C1C[NH+](Cc2ncc(C)s2)C1 ZINC001282707957 905970558 /nfs/dbraw/zinc/97/05/58/905970558.db2.gz ZTTVKSAREATYJJ-MLGOLLRUSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C/C=C(/C)C=C)C1 ZINC001282733894 905993320 /nfs/dbraw/zinc/99/33/20/905993320.db2.gz JRMVACUVHIYGPZ-SVSAJMPUSA-N 1 2 305.422 1.248 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001282745498 906016063 /nfs/dbraw/zinc/01/60/63/906016063.db2.gz YUDOCOTYYLZWEF-GHMZBOCLSA-N 1 2 317.380 1.016 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1ccc2c(c1)N(C)CCO2 ZINC001372004002 906217509 /nfs/dbraw/zinc/21/75/09/906217509.db2.gz AFFRTSOCLHMVHG-UHFFFAOYSA-N 1 2 323.824 1.929 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1ccc2c(c1)N(C)CCO2 ZINC001372004002 906217521 /nfs/dbraw/zinc/21/75/21/906217521.db2.gz AFFRTSOCLHMVHG-UHFFFAOYSA-N 1 2 323.824 1.929 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001372086585 906425363 /nfs/dbraw/zinc/42/53/63/906425363.db2.gz IVEKTXCVSFITLW-GFCCVEGCSA-N 1 2 312.801 1.675 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001372086585 906425374 /nfs/dbraw/zinc/42/53/74/906425374.db2.gz IVEKTXCVSFITLW-GFCCVEGCSA-N 1 2 312.801 1.675 20 30 DDEDLO C=CCCCC(=O)NCCNC(=O)c1cccc2[nH+]ccn21 ZINC001283112140 906650934 /nfs/dbraw/zinc/65/09/34/906650934.db2.gz YKZHLUAJXPWGID-UHFFFAOYSA-N 1 2 300.362 1.537 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1c(Cl)cnn1C ZINC001372327360 907041885 /nfs/dbraw/zinc/04/18/85/907041885.db2.gz UINYTWMEUAOVOQ-UHFFFAOYSA-N 1 2 305.209 1.878 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1c(Cl)cnn1C ZINC001372327360 907041895 /nfs/dbraw/zinc/04/18/95/907041895.db2.gz UINYTWMEUAOVOQ-UHFFFAOYSA-N 1 2 305.209 1.878 20 30 DDEDLO C=C1CC(C)(C(=O)N(CC)CCCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001283753193 907901810 /nfs/dbraw/zinc/90/18/10/907901810.db2.gz VAWIEFNVJSKCTM-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H](CO)[NH2+]Cc1csc(Cl)n1 ZINC001283757688 907909451 /nfs/dbraw/zinc/90/94/51/907909451.db2.gz VQYCJGGJSZVOAZ-JTQLQIEISA-N 1 2 315.826 1.023 20 30 DDEDLO N#Cc1ccccc1C[N@@H+](CCNC(=O)c1cncnc1)C1CC1 ZINC001372787083 908252105 /nfs/dbraw/zinc/25/21/05/908252105.db2.gz FHEZPIZORZWSAA-UHFFFAOYSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@H+](CCNC(=O)c1cncnc1)C1CC1 ZINC001372787083 908252122 /nfs/dbraw/zinc/25/21/22/908252122.db2.gz FHEZPIZORZWSAA-UHFFFAOYSA-N 1 2 321.384 1.743 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001284099289 908473552 /nfs/dbraw/zinc/47/35/52/908473552.db2.gz YCYLKURCEYXSFZ-BARDWOONSA-N 1 2 318.421 1.495 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+](CC(N)=O)[C@@H]2C)CCCCC1 ZINC001284151498 908552601 /nfs/dbraw/zinc/55/26/01/908552601.db2.gz FVFCOOBPCSGANU-CABCVRRESA-N 1 2 321.465 1.967 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+](CC(N)=O)[C@@H]2C)CCCCC1 ZINC001284151498 908552613 /nfs/dbraw/zinc/55/26/13/908552613.db2.gz FVFCOOBPCSGANU-CABCVRRESA-N 1 2 321.465 1.967 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(CCNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001284753558 909445388 /nfs/dbraw/zinc/44/53/88/909445388.db2.gz OMSPXZHJAVIFRA-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+](CCN(C)C(=O)C=C(C)C)CC1 ZINC001284835639 909550078 /nfs/dbraw/zinc/55/00/78/909550078.db2.gz PCWQDYSKBVCABD-UHFFFAOYSA-N 1 2 321.465 1.912 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1CN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284909819 909710037 /nfs/dbraw/zinc/71/00/37/909710037.db2.gz HPRAMZDUUMGZRZ-LBPRGKRZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1CN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284909819 909710043 /nfs/dbraw/zinc/71/00/43/909710043.db2.gz HPRAMZDUUMGZRZ-LBPRGKRZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1CC(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001285203716 910202974 /nfs/dbraw/zinc/20/29/74/910202974.db2.gz CAPBWYMYTGNNID-UHFFFAOYSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001285348401 910390840 /nfs/dbraw/zinc/39/08/40/910390840.db2.gz WBBNRPXDAKZKHT-CQSZACIVSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001285375925 910440752 /nfs/dbraw/zinc/44/07/52/910440752.db2.gz QHZKWACAVMKCKF-QWHCGFSZSA-N 1 2 320.437 1.954 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001285375925 910440763 /nfs/dbraw/zinc/44/07/63/910440763.db2.gz QHZKWACAVMKCKF-QWHCGFSZSA-N 1 2 320.437 1.954 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001285889052 911370343 /nfs/dbraw/zinc/37/03/43/911370343.db2.gz ZUUKXWQPYQQFGL-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO CC(C)[C@H](CCN(C)C(=O)Cc1c[nH+]c[nH]1)NC(=O)[C@@H](C)C#N ZINC001395226096 911591369 /nfs/dbraw/zinc/59/13/69/911591369.db2.gz UNCUBEGLECVYRX-JSGCOSHPSA-N 1 2 319.409 1.101 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@](C)(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286107286 911700369 /nfs/dbraw/zinc/70/03/69/911700369.db2.gz NZQZSEJNHIYASO-MRXNPFEDSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC001375136209 915072852 /nfs/dbraw/zinc/07/28/52/915072852.db2.gz ZFYXRXGNTWJWFH-WFASDCNBSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC001375136209 915072871 /nfs/dbraw/zinc/07/28/71/915072871.db2.gz ZFYXRXGNTWJWFH-WFASDCNBSA-N 1 2 315.845 1.434 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001294798394 915377784 /nfs/dbraw/zinc/37/77/84/915377784.db2.gz MMCTVDCCJQZLIY-BFHYXJOUSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001294798394 915377804 /nfs/dbraw/zinc/37/78/04/915377804.db2.gz MMCTVDCCJQZLIY-BFHYXJOUSA-N 1 2 316.405 1.587 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)C[C@@H]2CCOC2)s1 ZINC001375292739 915489946 /nfs/dbraw/zinc/48/99/46/915489946.db2.gz DYEWJEUZPYZGSQ-QWHCGFSZSA-N 1 2 319.430 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)C[C@@H]2CCOC2)s1 ZINC001375292739 915489954 /nfs/dbraw/zinc/48/99/54/915489954.db2.gz DYEWJEUZPYZGSQ-QWHCGFSZSA-N 1 2 319.430 1.737 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@@H+]1CCc1c(F)cccc1F ZINC001375294269 915497015 /nfs/dbraw/zinc/49/70/15/915497015.db2.gz JGOHCYNNPMONEE-NWDGAFQWSA-N 1 2 307.344 1.857 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@H+]1CCc1c(F)cccc1F ZINC001375294269 915497025 /nfs/dbraw/zinc/49/70/25/915497025.db2.gz JGOHCYNNPMONEE-NWDGAFQWSA-N 1 2 307.344 1.857 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001295035166 915524894 /nfs/dbraw/zinc/52/48/94/915524894.db2.gz YPGSHOFAJSUHTO-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001295035166 915524903 /nfs/dbraw/zinc/52/49/03/915524903.db2.gz YPGSHOFAJSUHTO-AWEZNQCLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001295531479 915878942 /nfs/dbraw/zinc/87/89/42/915878942.db2.gz AZOHVLMDDLROPB-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001295531479 915878964 /nfs/dbraw/zinc/87/89/64/915878964.db2.gz AZOHVLMDDLROPB-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCCC(=O)NC[C@H](C)NC(=O)c1cccc2[nH+]ccn21 ZINC001295629328 915943752 /nfs/dbraw/zinc/94/37/52/915943752.db2.gz RXPOATUJNPTXDB-ZDUSSCGKSA-N 1 2 314.389 1.925 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001295729295 916022448 /nfs/dbraw/zinc/02/24/48/916022448.db2.gz OMQSGBFPMKOPTJ-YOEHRIQHSA-N 1 2 318.421 1.450 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(CCNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001296618129 916506553 /nfs/dbraw/zinc/50/65/53/916506553.db2.gz IKIFOHXUPYRDAL-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCN(C)C(=O)[C@@H]2C[C@H]2C)CC1 ZINC001296826402 916582374 /nfs/dbraw/zinc/58/23/74/916582374.db2.gz JJKGBOCHXYBEHN-HZPDHXFCSA-N 1 2 319.449 1.049 20 30 DDEDLO Cc1cccc(OCC[NH+]2CC(N(C)C(=O)[C@H](C)C#N)C2)c1 ZINC001375667658 916650314 /nfs/dbraw/zinc/65/03/14/916650314.db2.gz MSCWPIHGQQEHQX-CQSZACIVSA-N 1 2 301.390 1.676 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001376039115 917538826 /nfs/dbraw/zinc/53/88/26/917538826.db2.gz TWXGEKORKYYKAK-STQMWFEESA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001376039115 917538836 /nfs/dbraw/zinc/53/88/36/917538836.db2.gz TWXGEKORKYYKAK-STQMWFEESA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCN1CC[NH+](Cc2ccccc2F)CC1 ZINC001376079181 917635582 /nfs/dbraw/zinc/63/55/82/917635582.db2.gz HWSRDSJMUIWIQZ-AWEZNQCLSA-N 1 2 318.396 1.219 20 30 DDEDLO CCCN(C(=O)[C@H](C)C#N)[C@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001377647312 922568157 /nfs/dbraw/zinc/56/81/57/922568157.db2.gz ISSVQCXAGXVCAM-CABCVRRESA-N 1 2 322.453 1.231 20 30 DDEDLO CCCN(C(=O)[C@H](C)C#N)[C@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001377647312 922568169 /nfs/dbraw/zinc/56/81/69/922568169.db2.gz ISSVQCXAGXVCAM-CABCVRRESA-N 1 2 322.453 1.231 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CC[C@@H](O)[C@H](C)C2)c(C#N)c1C ZINC000249580497 282176213 /nfs/dbraw/zinc/17/62/13/282176213.db2.gz QVGKKRBMSAQGRE-OLUVUFQESA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CC[C@@H](O)[C@H](C)C2)c(C#N)c1C ZINC000249580497 282176215 /nfs/dbraw/zinc/17/62/15/282176215.db2.gz QVGKKRBMSAQGRE-OLUVUFQESA-N 1 2 305.378 1.798 20 30 DDEDLO CC[C@H](C#N)NC(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000340138015 529583206 /nfs/dbraw/zinc/58/32/06/529583206.db2.gz KZKVXEZPZGRKLH-CQSZACIVSA-N 1 2 317.389 1.480 20 30 DDEDLO CC[C@H](C#N)NC(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000340138015 529583210 /nfs/dbraw/zinc/58/32/10/529583210.db2.gz KZKVXEZPZGRKLH-CQSZACIVSA-N 1 2 317.389 1.480 20 30 DDEDLO C[C@@H](C#N)CN(C)S(=O)(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000281755590 295693449 /nfs/dbraw/zinc/69/34/49/295693449.db2.gz JKMGSABXGRKUPM-RYUDHWBXSA-N 1 2 311.411 1.273 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)[C@H]2CCCCC(=O)N2)CC1 ZINC000329828914 539308124 /nfs/dbraw/zinc/30/81/24/539308124.db2.gz DKVXOMPIDWOYKS-CYBMUJFWSA-N 1 2 310.398 1.006 20 30 DDEDLO Cc1nn(C)cc1[C@@H]1CCC[N@@H+]1CC(=O)NC1(C#N)CCC1 ZINC000615224993 362202696 /nfs/dbraw/zinc/20/26/96/362202696.db2.gz IKYMTSKKFFYXOP-AWEZNQCLSA-N 1 2 301.394 1.428 20 30 DDEDLO Cc1nn(C)cc1[C@@H]1CCC[N@H+]1CC(=O)NC1(C#N)CCC1 ZINC000615224993 362202704 /nfs/dbraw/zinc/20/27/04/362202704.db2.gz IKYMTSKKFFYXOP-AWEZNQCLSA-N 1 2 301.394 1.428 20 30 DDEDLO CN(CC(=O)N1CC[NH+](Cc2ccco2)CC1)CC(C)(C)C#N ZINC000433283941 529827877 /nfs/dbraw/zinc/82/78/77/529827877.db2.gz DPOMLTCBKUFXIE-UHFFFAOYSA-N 1 2 318.421 1.405 20 30 DDEDLO CN(CCCCO)C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000330977463 529884135 /nfs/dbraw/zinc/88/41/35/529884135.db2.gz FTSQUFMZMRPZHP-UHFFFAOYSA-N 1 2 306.410 1.669 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000414134941 529868392 /nfs/dbraw/zinc/86/83/92/529868392.db2.gz HVRCRRMXUSWBDD-SNVBAGLBSA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1c(Cl)cccc1[N+](=O)[O-] ZINC000414134941 529868393 /nfs/dbraw/zinc/86/83/93/529868393.db2.gz HVRCRRMXUSWBDD-SNVBAGLBSA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC(C)(C)CO ZINC000451246608 529928182 /nfs/dbraw/zinc/92/81/82/529928182.db2.gz KPXHTAMVXOPLEM-UHFFFAOYSA-N 1 2 310.419 1.282 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC(C)(C)CO ZINC000451246608 529928184 /nfs/dbraw/zinc/92/81/84/529928184.db2.gz KPXHTAMVXOPLEM-UHFFFAOYSA-N 1 2 310.419 1.282 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH2+][C@H]2CCC[C@@H]2C#N)nc1 ZINC000459451132 233210364 /nfs/dbraw/zinc/21/03/64/233210364.db2.gz AKSIBFXKMZWPAM-OLZOCXBDSA-N 1 2 304.375 1.009 20 30 DDEDLO C=CC[N@@H+](Cc1cccc(C#N)c1)C[C@H]1CCS(=O)(=O)C1 ZINC000279964625 215275020 /nfs/dbraw/zinc/27/50/20/215275020.db2.gz INVUDAVOTBNJTN-MRXNPFEDSA-N 1 2 304.415 1.981 20 30 DDEDLO C=CC[N@H+](Cc1cccc(C#N)c1)C[C@H]1CCS(=O)(=O)C1 ZINC000279964625 215275023 /nfs/dbraw/zinc/27/50/23/215275023.db2.gz INVUDAVOTBNJTN-MRXNPFEDSA-N 1 2 304.415 1.981 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[NH+]2CCC(CO)CC2)c1 ZINC000147418542 186039438 /nfs/dbraw/zinc/03/94/38/186039438.db2.gz MNURMGKBBVRGSK-UHFFFAOYSA-N 1 2 308.403 1.036 20 30 DDEDLO CC[C@H](C(N)=O)[NH+]1CCN(c2c(C#N)cnc3ccccc32)CC1 ZINC000171081070 197876896 /nfs/dbraw/zinc/87/68/96/197876896.db2.gz HSGRWMHABVQAIA-MRXNPFEDSA-N 1 2 323.400 1.492 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](CC)CC(=O)Nc1cccc(OC)c1 ZINC000155748176 186108685 /nfs/dbraw/zinc/10/86/85/186108685.db2.gz ZRYMRHMUOYNODF-ZDUSSCGKSA-N 1 2 319.405 1.646 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](CC)CC(=O)Nc1cccc(OC)c1 ZINC000155748176 186108687 /nfs/dbraw/zinc/10/86/87/186108687.db2.gz ZRYMRHMUOYNODF-ZDUSSCGKSA-N 1 2 319.405 1.646 20 30 DDEDLO CC(C)(C)[C@@H]([NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1)C(N)=O ZINC000173344837 186179028 /nfs/dbraw/zinc/17/90/28/186179028.db2.gz WWJCBXKLPWGYED-ZDUSSCGKSA-N 1 2 313.361 1.598 20 30 DDEDLO Cn1cc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)cn1 ZINC000017843702 352165961 /nfs/dbraw/zinc/16/59/61/352165961.db2.gz WQDALEGXPKCMEF-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO CN(C(=O)C[N@H+](C)Cc1cnn(C)c1)C1(C#N)CCCCC1 ZINC000027539367 352218545 /nfs/dbraw/zinc/21/85/45/352218545.db2.gz MCWJGZCADBUQSO-UHFFFAOYSA-N 1 2 303.410 1.537 20 30 DDEDLO CN(C(=O)C[N@@H+](C)Cc1cnn(C)c1)C1(C#N)CCCCC1 ZINC000027539367 352218550 /nfs/dbraw/zinc/21/85/50/352218550.db2.gz MCWJGZCADBUQSO-UHFFFAOYSA-N 1 2 303.410 1.537 20 30 DDEDLO CCN(CCC#N)C(=O)CSc1[nH+]cnc2c1cnn2C ZINC000053713056 352664814 /nfs/dbraw/zinc/66/48/14/352664814.db2.gz PRYQXJAYIMFWIU-UHFFFAOYSA-N 1 2 304.379 1.218 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]3C(=O)NC[C@H]32)c(OC(F)F)c1 ZINC000331849134 234194456 /nfs/dbraw/zinc/19/44/56/234194456.db2.gz VYAHHBHZSDNUBI-CHWSQXEVSA-N 1 2 321.327 1.870 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]3C(=O)NC[C@H]32)c(OC(F)F)c1 ZINC000331849134 234194460 /nfs/dbraw/zinc/19/44/60/234194460.db2.gz VYAHHBHZSDNUBI-CHWSQXEVSA-N 1 2 321.327 1.870 20 30 DDEDLO CC[N@H+](CCOc1ccccc1C#N)[C@H]1CCS(=O)(=O)C1 ZINC000060379801 352869959 /nfs/dbraw/zinc/86/99/59/352869959.db2.gz HTRKYMGBBKPMLO-AWEZNQCLSA-N 1 2 308.403 1.446 20 30 DDEDLO CC[N@@H+](CCOc1ccccc1C#N)[C@H]1CCS(=O)(=O)C1 ZINC000060379801 352869961 /nfs/dbraw/zinc/86/99/61/352869961.db2.gz HTRKYMGBBKPMLO-AWEZNQCLSA-N 1 2 308.403 1.446 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@H](C)[NH+]2CCCC2)cc1C#N ZINC000063732961 352921954 /nfs/dbraw/zinc/92/19/54/352921954.db2.gz FMNFPIMPTLRGFH-JTQLQIEISA-N 1 2 320.418 1.595 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C/c1ccco1 ZINC000060855736 352881122 /nfs/dbraw/zinc/88/11/22/352881122.db2.gz KMBKKYNNSQMVQL-SNAWJCMRSA-N 1 2 303.362 1.367 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH2+]Cc1nnc2n1CCCC2 ZINC000173634615 198234962 /nfs/dbraw/zinc/23/49/62/198234962.db2.gz LVKANUATXUKVCL-CYBMUJFWSA-N 1 2 305.426 1.517 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1C(=O)N1CCSCC1 ZINC000069246642 353152311 /nfs/dbraw/zinc/15/23/11/353152311.db2.gz XMNSANRRKOWSJL-LLVKDONJSA-N 1 2 319.268 1.935 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1C(=O)N1CCSCC1 ZINC000069246642 353152314 /nfs/dbraw/zinc/15/23/14/353152314.db2.gz XMNSANRRKOWSJL-LLVKDONJSA-N 1 2 319.268 1.935 20 30 DDEDLO N#C[C@@H](c1ccccc1)[C@@H]1CCC[N@H+]1CC(=O)N1CCOCC1 ZINC000076153640 353401652 /nfs/dbraw/zinc/40/16/52/353401652.db2.gz HQVMZCBZMUTUOW-IRXDYDNUSA-N 1 2 313.401 1.617 20 30 DDEDLO N#C[C@@H](c1ccccc1)[C@@H]1CCC[N@@H+]1CC(=O)N1CCOCC1 ZINC000076153640 353401655 /nfs/dbraw/zinc/40/16/55/353401655.db2.gz HQVMZCBZMUTUOW-IRXDYDNUSA-N 1 2 313.401 1.617 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@](O)(C(F)(F)F)C2)CCCCC1 ZINC000081050661 353649347 /nfs/dbraw/zinc/64/93/47/353649347.db2.gz QIGJEQHSNMWFCR-CYBMUJFWSA-N 1 2 319.327 1.328 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@](O)(C(F)(F)F)C2)CCCCC1 ZINC000081050661 353649349 /nfs/dbraw/zinc/64/93/49/353649349.db2.gz QIGJEQHSNMWFCR-CYBMUJFWSA-N 1 2 319.327 1.328 20 30 DDEDLO N#C[C@@H]1COCCN1Cc1cn2cc(Br)ccc2[nH+]1 ZINC000081163856 353654925 /nfs/dbraw/zinc/65/49/25/353654925.db2.gz YNZVNYTXECYGHW-GFCCVEGCSA-N 1 2 321.178 1.821 20 30 DDEDLO C#CCN(Cc1cn2cc(C)ccc2[nH+]1)[C@@H]1CCS(=O)(=O)C1 ZINC000084074918 353716645 /nfs/dbraw/zinc/71/66/45/353716645.db2.gz SKSJHDGRRHKJBJ-OAHLLOKOSA-N 1 2 317.414 1.265 20 30 DDEDLO C=CCc1cc(C[N@@H+]2C[C@H](CO)OC[C@@H]2C)cc(OC)c1O ZINC000092041720 353841252 /nfs/dbraw/zinc/84/12/52/353841252.db2.gz MNFXPVCZMNDIAG-SWLSCSKDSA-N 1 2 307.390 1.711 20 30 DDEDLO C=CCc1cc(C[N@H+]2C[C@H](CO)OC[C@@H]2C)cc(OC)c1O ZINC000092041720 353841255 /nfs/dbraw/zinc/84/12/55/353841255.db2.gz MNFXPVCZMNDIAG-SWLSCSKDSA-N 1 2 307.390 1.711 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)N(C(=O)OC(C)(C)C)C[C@H]1C ZINC000093259178 353890438 /nfs/dbraw/zinc/89/04/38/353890438.db2.gz FSQUJLJJUTZYIR-CHWSQXEVSA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)N(C(=O)OC(C)(C)C)C[C@H]1C ZINC000093259178 353890441 /nfs/dbraw/zinc/89/04/41/353890441.db2.gz FSQUJLJJUTZYIR-CHWSQXEVSA-N 1 2 311.426 1.618 20 30 DDEDLO N#Cc1cccnc1N1CCN(C(=O)CCCn2cc[nH+]c2)CC1 ZINC000104221244 353931837 /nfs/dbraw/zinc/93/18/37/353931837.db2.gz MOLCLEXUJJGVOA-UHFFFAOYSA-N 1 2 324.388 1.279 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+]C1(c2noc(C)n2)CCCCC1 ZINC000138832413 354123940 /nfs/dbraw/zinc/12/39/40/354123940.db2.gz CRLZQURLCRQOOY-UHFFFAOYSA-N 1 2 321.381 1.139 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@H](c2[nH+]ccn2C)C1)C1CCOCC1 ZINC000328868030 222904918 /nfs/dbraw/zinc/90/49/18/222904918.db2.gz ONVWSYCZPXGGMC-JSGCOSHPSA-N 1 2 306.410 1.939 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCn3c(nnc3C(F)(F)F)C2)ccn1 ZINC000315764619 354496713 /nfs/dbraw/zinc/49/67/13/354496713.db2.gz CHFAUAPRSNGVKZ-UHFFFAOYSA-N 1 2 308.267 1.579 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCn3c(nnc3C(F)(F)F)C2)ccn1 ZINC000315764619 354496715 /nfs/dbraw/zinc/49/67/15/354496715.db2.gz CHFAUAPRSNGVKZ-UHFFFAOYSA-N 1 2 308.267 1.579 20 30 DDEDLO C=CCC[C@@H]1NC(=O)N(CCc2cn3c([nH+]2)CCCC3)C1=O ZINC000581181490 354731281 /nfs/dbraw/zinc/73/12/81/354731281.db2.gz IMWJEAGUYNRYBB-ZDUSSCGKSA-N 1 2 302.378 1.649 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCOC2(CCCCC2)C1 ZINC000578488524 354708794 /nfs/dbraw/zinc/70/87/94/354708794.db2.gz NPNJRBGOUAVPRD-AWEZNQCLSA-N 1 2 305.422 1.972 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCOC2(CCCCC2)C1 ZINC000578488524 354708797 /nfs/dbraw/zinc/70/87/97/354708797.db2.gz NPNJRBGOUAVPRD-AWEZNQCLSA-N 1 2 305.422 1.972 20 30 DDEDLO Cn1cnc(-c2cccc(OC[C@@H](O)C[N@H+](C)CCC#N)c2)n1 ZINC000578872979 354713370 /nfs/dbraw/zinc/71/33/70/354713370.db2.gz HDAYFLXEELEEEP-AWEZNQCLSA-N 1 2 315.377 1.067 20 30 DDEDLO Cn1cnc(-c2cccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c2)n1 ZINC000578872979 354713372 /nfs/dbraw/zinc/71/33/72/354713372.db2.gz HDAYFLXEELEEEP-AWEZNQCLSA-N 1 2 315.377 1.067 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NC[C@H]1CCN(c2ccccc2)C1 ZINC000579855195 354724181 /nfs/dbraw/zinc/72/41/81/354724181.db2.gz XAKNMGIKAABYLC-HZPDHXFCSA-N 1 2 314.433 1.911 20 30 DDEDLO CCc1ncc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cn2)o1 ZINC000584835093 354778928 /nfs/dbraw/zinc/77/89/28/354778928.db2.gz PIEVBPVLIVZQME-UHFFFAOYSA-N 1 2 320.374 1.067 20 30 DDEDLO C[C@@H](NC(=O)c1cc(O)cc(C#N)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000589179991 354968333 /nfs/dbraw/zinc/96/83/33/354968333.db2.gz STMOWEPYEYRWEU-VXGBXAGGSA-N 1 2 303.362 1.103 20 30 DDEDLO N#C[C@H]1C[N@@H+](Cc2cccnc2)C[C@@]12c1ccccc1NC2=O ZINC000374351485 283068762 /nfs/dbraw/zinc/06/87/62/283068762.db2.gz MMTKWRUINBCYTN-KSSFIOAISA-N 1 2 304.353 1.927 20 30 DDEDLO N#C[C@H]1C[N@H+](Cc2cccnc2)C[C@@]12c1ccccc1NC2=O ZINC000374351485 283068765 /nfs/dbraw/zinc/06/87/65/283068765.db2.gz MMTKWRUINBCYTN-KSSFIOAISA-N 1 2 304.353 1.927 20 30 DDEDLO CC(=O)Nc1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc1Cl ZINC000591773641 355382649 /nfs/dbraw/zinc/38/26/49/355382649.db2.gz WJNMHDAAULREIO-CYBMUJFWSA-N 1 2 324.812 1.917 20 30 DDEDLO CC(=O)Nc1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1Cl ZINC000591773641 355382652 /nfs/dbraw/zinc/38/26/52/355382652.db2.gz WJNMHDAAULREIO-CYBMUJFWSA-N 1 2 324.812 1.917 20 30 DDEDLO N#CC[C@]1(O)CCN(C(=O)NCC[NH+]2Cc3ccccc3C2)C1 ZINC000591936891 355440459 /nfs/dbraw/zinc/44/04/59/355440459.db2.gz JXGNPGRBVXAYJX-KRWDZBQOSA-N 1 2 314.389 1.062 20 30 DDEDLO Cn1nc(C(C)(C)C)cc1NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592150707 355517749 /nfs/dbraw/zinc/51/77/49/355517749.db2.gz GNUAIDSEQZYDIN-INIZCTEOSA-N 1 2 319.409 1.007 20 30 DDEDLO Cn1nc(C(C)(C)C)cc1NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592150707 355517754 /nfs/dbraw/zinc/51/77/54/355517754.db2.gz GNUAIDSEQZYDIN-INIZCTEOSA-N 1 2 319.409 1.007 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)C2(CCC2)CO1 ZINC000593088345 355790995 /nfs/dbraw/zinc/79/09/95/355790995.db2.gz AJAQVKDGSHLVPL-AWEZNQCLSA-N 1 2 305.422 1.972 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C2(CCC2)CO1 ZINC000593088345 355790999 /nfs/dbraw/zinc/79/09/99/355790999.db2.gz AJAQVKDGSHLVPL-AWEZNQCLSA-N 1 2 305.422 1.972 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1nscc1Br ZINC000593153421 355812616 /nfs/dbraw/zinc/81/26/16/355812616.db2.gz OMNVQMPVQBHCSC-JTQLQIEISA-N 1 2 317.212 1.479 20 30 DDEDLO CC(C)(CNC(=O)NCC1(C#N)CCCC1)[NH+]1CCOCC1 ZINC000593296375 355848626 /nfs/dbraw/zinc/84/86/26/355848626.db2.gz XEOOZGPJDXZFBN-UHFFFAOYSA-N 1 2 308.426 1.480 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)N1Cc2cccc(C#N)c2C1 ZINC000593338162 355857100 /nfs/dbraw/zinc/85/71/00/355857100.db2.gz ICZFAIWWPRJRAT-CYBMUJFWSA-N 1 2 314.389 1.304 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)N1Cc2cccc(C#N)c2C1 ZINC000593338162 355857104 /nfs/dbraw/zinc/85/71/04/355857104.db2.gz ICZFAIWWPRJRAT-CYBMUJFWSA-N 1 2 314.389 1.304 20 30 DDEDLO N#C[C@H]1N(C(=O)CCc2[nH]cc[nH+]2)CCC[C@@]12CCCCO2 ZINC000593895872 356046341 /nfs/dbraw/zinc/04/63/41/356046341.db2.gz SKLYCDLFKILQLA-CJNGLKHVSA-N 1 2 302.378 1.796 20 30 DDEDLO N#CCCCCC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000593953259 356072186 /nfs/dbraw/zinc/07/21/86/356072186.db2.gz SVNCWPBYKLFXCA-UHFFFAOYSA-N 1 2 302.378 1.618 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N1CCC[C@H](CC#N)C1 ZINC000595081013 356379650 /nfs/dbraw/zinc/37/96/50/356379650.db2.gz DJNBSXKRBUAKQK-LLVKDONJSA-N 1 2 302.334 1.181 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1CCO[C@@H]1CC1(F)F ZINC000595337505 356453786 /nfs/dbraw/zinc/45/37/86/356453786.db2.gz ATEUFNKNYLXBLU-WCQYABFASA-N 1 2 323.347 1.283 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1CCO[C@@H]1CC1(F)F ZINC000595337505 356453789 /nfs/dbraw/zinc/45/37/89/356453789.db2.gz ATEUFNKNYLXBLU-WCQYABFASA-N 1 2 323.347 1.283 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)NCC2(C#N)CCCCC2)CCO1 ZINC000595545980 356541912 /nfs/dbraw/zinc/54/19/12/356541912.db2.gz CDSAWVGPEBAJPE-UHFFFAOYSA-N 1 2 322.453 1.870 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)NCC2(C#N)CCCCC2)CCO1 ZINC000595545980 356541915 /nfs/dbraw/zinc/54/19/15/356541915.db2.gz CDSAWVGPEBAJPE-UHFFFAOYSA-N 1 2 322.453 1.870 20 30 DDEDLO CN(C)C(=O)Cc1nc(C[N@@H+]2CCC[C@H](CC#N)C2)cs1 ZINC000595614331 356577583 /nfs/dbraw/zinc/57/75/83/356577583.db2.gz KMYRFVMOWDMWSY-GFCCVEGCSA-N 1 2 306.435 1.899 20 30 DDEDLO CN(C)C(=O)Cc1nc(C[N@H+]2CCC[C@H](CC#N)C2)cs1 ZINC000595614331 356577590 /nfs/dbraw/zinc/57/75/90/356577590.db2.gz KMYRFVMOWDMWSY-GFCCVEGCSA-N 1 2 306.435 1.899 20 30 DDEDLO C[C@@]1(C2CC2)C[N@H+](CC(=O)N(CCC#N)CCC#N)CCO1 ZINC000595618637 356580212 /nfs/dbraw/zinc/58/02/12/356580212.db2.gz RWRDDRDNUBKHOC-INIZCTEOSA-N 1 2 304.394 1.143 20 30 DDEDLO C[C@@]1(C2CC2)C[N@@H+](CC(=O)N(CCC#N)CCC#N)CCO1 ZINC000595618637 356580214 /nfs/dbraw/zinc/58/02/14/356580214.db2.gz RWRDDRDNUBKHOC-INIZCTEOSA-N 1 2 304.394 1.143 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+](C)C[C@@H]2COCCO2)c(C#N)c1C ZINC000595737498 356629116 /nfs/dbraw/zinc/62/91/16/356629116.db2.gz QXONMQDQLJVTJU-DGCLKSJQSA-N 1 2 321.377 1.442 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+](C)C[C@@H]2COCCO2)c(C#N)c1C ZINC000595737498 356629121 /nfs/dbraw/zinc/62/91/21/356629121.db2.gz QXONMQDQLJVTJU-DGCLKSJQSA-N 1 2 321.377 1.442 20 30 DDEDLO Cc1cc(NCC[N@H+]2CCOC[C@@H]2C)cc(C#N)c1[N+](=O)[O-] ZINC000596113706 356777123 /nfs/dbraw/zinc/77/71/23/356777123.db2.gz XLKYCPCFUZPTOY-LBPRGKRZSA-N 1 2 304.350 1.908 20 30 DDEDLO Cc1cc(NCC[N@@H+]2CCOC[C@@H]2C)cc(C#N)c1[N+](=O)[O-] ZINC000596113706 356777125 /nfs/dbraw/zinc/77/71/25/356777125.db2.gz XLKYCPCFUZPTOY-LBPRGKRZSA-N 1 2 304.350 1.908 20 30 DDEDLO Cc1cc(N[C@H](C)C[NH+]2CCOCC2)cc(C#N)c1[N+](=O)[O-] ZINC000596076242 356763095 /nfs/dbraw/zinc/76/30/95/356763095.db2.gz NYRLGSUSCBSVOC-GFCCVEGCSA-N 1 2 304.350 1.908 20 30 DDEDLO COC(=O)c1ccc(NCC[N@@H+]2C[C@H](C)OC[C@H]2C)c(C#N)n1 ZINC000596434029 356879624 /nfs/dbraw/zinc/87/96/24/356879624.db2.gz WRKLHCLBQSVXOY-NEPJUHHUSA-N 1 2 318.377 1.261 20 30 DDEDLO COC(=O)c1ccc(NCC[N@H+]2C[C@H](C)OC[C@H]2C)c(C#N)n1 ZINC000596434029 356879626 /nfs/dbraw/zinc/87/96/26/356879626.db2.gz WRKLHCLBQSVXOY-NEPJUHHUSA-N 1 2 318.377 1.261 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1Cc2c[nH]nc2CC(C)(C)C1 ZINC000596597186 356929012 /nfs/dbraw/zinc/92/90/12/356929012.db2.gz KOQSIHZJQLDHRK-LBPRGKRZSA-N 1 2 315.421 1.745 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1Cc2c[nH]nc2CC(C)(C)C1 ZINC000596597186 356929025 /nfs/dbraw/zinc/92/90/25/356929025.db2.gz KOQSIHZJQLDHRK-LBPRGKRZSA-N 1 2 315.421 1.745 20 30 DDEDLO Cc1cc(NCC2(S(C)(=O)=O)CCCCC2)c(C#N)c[nH+]1 ZINC000596474976 356891797 /nfs/dbraw/zinc/89/17/97/356891797.db2.gz VCKOBDHAQRDTMX-UHFFFAOYSA-N 1 2 307.419 1.843 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](Cc2ccnn2CC2CC2)CC1 ZINC000596983288 357033987 /nfs/dbraw/zinc/03/39/87/357033987.db2.gz UEUPAYAVSJLLFH-UHFFFAOYSA-N 1 2 322.416 1.882 20 30 DDEDLO C[C@H](O)C(=O)N1CC[NH+](Cc2ccc(C3(C#N)CC3)cc2)CC1 ZINC000597013665 357040542 /nfs/dbraw/zinc/04/05/42/357040542.db2.gz LFNBDNYBGXHNNB-AWEZNQCLSA-N 1 2 313.401 1.267 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cccc(C#N)n2)[C@@H](C)CO1 ZINC000596932816 357018176 /nfs/dbraw/zinc/01/81/76/357018176.db2.gz CSRSOWNNXSPXKQ-NWDGAFQWSA-N 1 2 303.366 1.184 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cccc(C#N)n2)[C@@H](C)CO1 ZINC000596932816 357018178 /nfs/dbraw/zinc/01/81/78/357018178.db2.gz CSRSOWNNXSPXKQ-NWDGAFQWSA-N 1 2 303.366 1.184 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N(CCC#N)Cc1ccccn1 ZINC000597147511 357072617 /nfs/dbraw/zinc/07/26/17/357072617.db2.gz MQICWIYLMHVRPU-HUUCEWRRSA-N 1 2 309.373 1.861 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1cccc(C#N)n1)C(C)(C)CO ZINC000597844777 357355343 /nfs/dbraw/zinc/35/53/43/357355343.db2.gz BXKSWBVKZDBWOT-ZDUSSCGKSA-N 1 2 313.361 1.176 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1cc(C#N)ccn1)C(C)(C)CO ZINC000597847152 357355936 /nfs/dbraw/zinc/35/59/36/357355936.db2.gz NTUCGWMMFQGFKJ-ZDUSSCGKSA-N 1 2 313.361 1.176 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[NH+]1CCN(C(=O)c2ccco2)CC1 ZINC000598583836 357640514 /nfs/dbraw/zinc/64/05/14/357640514.db2.gz FFOZBWSDMGCBCQ-ZDUSSCGKSA-N 1 2 305.378 1.338 20 30 DDEDLO Cc1cc(=O)n(C)cc1[NH+]=C([O-])N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000329785460 223028937 /nfs/dbraw/zinc/02/89/37/223028937.db2.gz PYNHZOZSAOAKMM-JTQLQIEISA-N 1 2 301.350 1.300 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N[C@@H]2CCn3c[nH+]cc3C2)cc1 ZINC000598948468 357771819 /nfs/dbraw/zinc/77/18/19/357771819.db2.gz QBQHLHZAVFKAKQ-JKSUJKDBSA-N 1 2 319.368 1.568 20 30 DDEDLO C[C@H](NC(=O)NC[C@@H]1COCC[N@@H+]1C)c1ccc(C#N)cc1 ZINC000598977911 357781097 /nfs/dbraw/zinc/78/10/97/357781097.db2.gz PUILKNWZTVLDES-SWLSCSKDSA-N 1 2 302.378 1.249 20 30 DDEDLO C[C@H](NC(=O)NC[C@@H]1COCC[N@H+]1C)c1ccc(C#N)cc1 ZINC000598977911 357781100 /nfs/dbraw/zinc/78/11/00/357781100.db2.gz PUILKNWZTVLDES-SWLSCSKDSA-N 1 2 302.378 1.249 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@]1(C)C(=O)OC(C)(C)C ZINC000599496587 357956783 /nfs/dbraw/zinc/95/67/83/357956783.db2.gz ZPZAJNWPYXCPJB-QGZVFWFLSA-N 1 2 323.437 1.945 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@]1(C)C(=O)OC(C)(C)C ZINC000599496587 357956787 /nfs/dbraw/zinc/95/67/87/357956787.db2.gz ZPZAJNWPYXCPJB-QGZVFWFLSA-N 1 2 323.437 1.945 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H]2CCC[C@]2(CO)C1 ZINC000599639148 358002192 /nfs/dbraw/zinc/00/21/92/358002192.db2.gz ZPQRFODKNXEXEC-XYJFISCASA-N 1 2 314.385 1.867 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@@H]2CCC[C@]2(CO)C1 ZINC000599639148 358002194 /nfs/dbraw/zinc/00/21/94/358002194.db2.gz ZPQRFODKNXEXEC-XYJFISCASA-N 1 2 314.385 1.867 20 30 DDEDLO CCC(C#N)(CC)C(=O)N1CC[N@H+](CCC(=O)OC)[C@@H](C)C1 ZINC000599726293 358040304 /nfs/dbraw/zinc/04/03/04/358040304.db2.gz AWJWDKKZKMPQIT-ZDUSSCGKSA-N 1 2 309.410 1.412 20 30 DDEDLO CCC(C#N)(CC)C(=O)N1CC[N@@H+](CCC(=O)OC)[C@@H](C)C1 ZINC000599726293 358040308 /nfs/dbraw/zinc/04/03/08/358040308.db2.gz AWJWDKKZKMPQIT-ZDUSSCGKSA-N 1 2 309.410 1.412 20 30 DDEDLO CCCN1CCC[N@@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1=O ZINC000332012916 283331429 /nfs/dbraw/zinc/33/14/29/283331429.db2.gz PVUWYRTZTNTWJL-RHSMWYFYSA-N 1 2 322.453 1.374 20 30 DDEDLO CCCN1CCC[N@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1=O ZINC000332012916 283331432 /nfs/dbraw/zinc/33/14/32/283331432.db2.gz PVUWYRTZTNTWJL-RHSMWYFYSA-N 1 2 322.453 1.374 20 30 DDEDLO CCc1nn(Cc2ccc(N(C)C)[nH+]c2)c(=O)c(C#N)c1CC ZINC000600528529 358248913 /nfs/dbraw/zinc/24/89/13/358248913.db2.gz ZETLQAIQBMFQMN-UHFFFAOYSA-N 1 2 311.389 1.749 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000601574867 358607224 /nfs/dbraw/zinc/60/72/24/358607224.db2.gz TZSQDYZMCBEFRA-UHFFFAOYSA-N 1 2 317.433 1.457 20 30 DDEDLO C=CCOc1ccc(CN2CC[NH+](CC(=O)N(C)C)CC2)cc1 ZINC000601574867 358607228 /nfs/dbraw/zinc/60/72/28/358607228.db2.gz TZSQDYZMCBEFRA-UHFFFAOYSA-N 1 2 317.433 1.457 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)[C@@H]3CCc4c[nH+]cn4C3)C2)c1 ZINC000601680894 358652284 /nfs/dbraw/zinc/65/22/84/358652284.db2.gz SMMDBNXAYGTHDC-CQSZACIVSA-N 1 2 321.384 1.640 20 30 DDEDLO C=CCN(Cc1cccc(C#N)c1)C(=O)[C@H]1COCC[N@H+]1CC ZINC000602252353 358900123 /nfs/dbraw/zinc/90/01/23/358900123.db2.gz QVLWWZYTRPNUSF-QGZVFWFLSA-N 1 2 313.401 1.794 20 30 DDEDLO C=CCN(Cc1cccc(C#N)c1)C(=O)[C@H]1COCC[N@@H+]1CC ZINC000602252353 358900127 /nfs/dbraw/zinc/90/01/27/358900127.db2.gz QVLWWZYTRPNUSF-QGZVFWFLSA-N 1 2 313.401 1.794 20 30 DDEDLO C=CCC[C@H]([NH2+][C@@H]1CCCS(=O)(=O)CC1)C(=O)OCC ZINC000602679743 359122435 /nfs/dbraw/zinc/12/24/35/359122435.db2.gz IUIHWWRYADSWIU-OLZOCXBDSA-N 1 2 303.424 1.441 20 30 DDEDLO COc1cc(C[NH+]2CCN(CCC#N)CC2)cc(OC)c1O ZINC000602748312 359166841 /nfs/dbraw/zinc/16/68/41/359166841.db2.gz MBNGCPRGSCECCJ-UHFFFAOYSA-N 1 2 305.378 1.441 20 30 DDEDLO C[C@H](C(=O)NCc1cccs1)[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856497 359242512 /nfs/dbraw/zinc/24/25/12/359242512.db2.gz KDOHMQFENPHLBT-HZSPNIEDSA-N 1 2 320.462 1.671 20 30 DDEDLO C[C@H](C(=O)NCc1cccs1)[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856497 359242514 /nfs/dbraw/zinc/24/25/14/359242514.db2.gz KDOHMQFENPHLBT-HZSPNIEDSA-N 1 2 320.462 1.671 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@H](C)C(=O)N1CCN(c2ccccn2)CC1 ZINC000602867798 359251580 /nfs/dbraw/zinc/25/15/80/359251580.db2.gz DXZFEJMWAOUXKO-ZIAGYGMSSA-N 1 2 301.394 1.010 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCO[C@](C)(C(=O)OCC)C1 ZINC000603002575 359349742 /nfs/dbraw/zinc/34/97/42/359349742.db2.gz ILTBZJZZFZJCBL-PBHICJAKSA-N 1 2 324.421 1.230 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCO[C@](C)(C(=O)OCC)C1 ZINC000603002575 359349745 /nfs/dbraw/zinc/34/97/45/359349745.db2.gz ILTBZJZZFZJCBL-PBHICJAKSA-N 1 2 324.421 1.230 20 30 DDEDLO CNC(=O)C[NH+]1CCN(c2cccc(-n3cccc3)c2C#N)CC1 ZINC000603268750 359528962 /nfs/dbraw/zinc/52/89/62/359528962.db2.gz KPNKDYAYOIHGMB-UHFFFAOYSA-N 1 2 323.400 1.217 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)N1C[C@H]2CC[C@@H](C1)O2 ZINC000329810191 223033181 /nfs/dbraw/zinc/03/31/81/223033181.db2.gz MLUOWCCNCZMOFU-OKILXGFUSA-N 1 2 304.394 1.539 20 30 DDEDLO Cc1ncc(C(=O)NCC[N@H+]2CCOC[C@H]2C)c(C2CC2)n1 ZINC000329851503 223038578 /nfs/dbraw/zinc/03/85/78/223038578.db2.gz TURZYOUFWWCSTR-LLVKDONJSA-N 1 2 304.394 1.688 20 30 DDEDLO Cc1ncc(C(=O)NCC[N@@H+]2CCOC[C@H]2C)c(C2CC2)n1 ZINC000329851503 223038583 /nfs/dbraw/zinc/03/85/83/223038583.db2.gz TURZYOUFWWCSTR-LLVKDONJSA-N 1 2 304.394 1.688 20 30 DDEDLO O=C(NC[C@@H]1CCc2[nH+]ccn2C1)N[C@@H]1CCO[C@H]1C1CC1 ZINC000329907634 223045978 /nfs/dbraw/zinc/04/59/78/223045978.db2.gz LBPJGKYCDBYALJ-LNSITVRQSA-N 1 2 304.394 1.517 20 30 DDEDLO [O-]C(NC[C@@H]1CCc2[nH+]ccn2C1)=[NH+][C@@H]1CCO[C@H]1C1CC1 ZINC000329907634 223045982 /nfs/dbraw/zinc/04/59/82/223045982.db2.gz LBPJGKYCDBYALJ-LNSITVRQSA-N 1 2 304.394 1.517 20 30 DDEDLO [O-]C(N[C@@H]1CCO[C@H]1C1CC1)=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000329907634 223045985 /nfs/dbraw/zinc/04/59/85/223045985.db2.gz LBPJGKYCDBYALJ-LNSITVRQSA-N 1 2 304.394 1.517 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H](n3cc[nH+]c3)C2)cc1 ZINC000609134807 360264215 /nfs/dbraw/zinc/26/42/15/360264215.db2.gz ZPZIXGXEGWHIJR-AWEZNQCLSA-N 1 2 316.386 1.781 20 30 DDEDLO CCc1[nH+]c2ccccc2n1CC(=O)N(CCC#N)CCOC ZINC000609136496 360265208 /nfs/dbraw/zinc/26/52/08/360265208.db2.gz YMKOFHHLEHLFPI-UHFFFAOYSA-N 1 2 314.389 1.987 20 30 DDEDLO COCc1cccc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c1 ZINC000329954076 223052652 /nfs/dbraw/zinc/05/26/52/223052652.db2.gz JTVYCBMXOYZUPB-CABCVRRESA-N 1 2 305.378 1.393 20 30 DDEDLO COCc1cccc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c1 ZINC000329954076 223052655 /nfs/dbraw/zinc/05/26/55/223052655.db2.gz JTVYCBMXOYZUPB-CABCVRRESA-N 1 2 305.378 1.393 20 30 DDEDLO Cc1noc(C)c1C[C@H](C)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329990257 223059121 /nfs/dbraw/zinc/05/91/21/223059121.db2.gz VYHAGSXZFAZSPP-VQISRLSMSA-N 1 2 322.409 1.151 20 30 DDEDLO Cc1noc(C)c1C[C@H](C)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329990257 223059125 /nfs/dbraw/zinc/05/91/25/223059125.db2.gz VYHAGSXZFAZSPP-VQISRLSMSA-N 1 2 322.409 1.151 20 30 DDEDLO CCOC(=O)C(C)(C)[NH2+]C[C@H](O)COc1ccc(CC#N)cc1 ZINC000610944763 360578915 /nfs/dbraw/zinc/57/89/15/360578915.db2.gz YXAZUWVMCCUYAV-AWEZNQCLSA-N 1 2 320.389 1.424 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[NH+]1CCN(CCC#N)CC1 ZINC000611175101 360647603 /nfs/dbraw/zinc/64/76/03/360647603.db2.gz TUUMDFDBWOFOQV-CQSZACIVSA-N 1 2 311.389 1.417 20 30 DDEDLO N#CC1(CN2CC[NH+]([C@@H]3CCN(c4ccccc4)C3=O)CC2)CC1 ZINC000611429907 360718519 /nfs/dbraw/zinc/71/85/19/360718519.db2.gz KZGILXMONVPMIC-QGZVFWFLSA-N 1 2 324.428 1.713 20 30 DDEDLO Cc1[nH+]ccn1C[C@@H]1CCCN1C(=O)N[C@H](C)Cn1cncn1 ZINC000330198674 223086312 /nfs/dbraw/zinc/08/63/12/223086312.db2.gz UJSYPRULJALEIX-OCCSQVGLSA-N 1 2 317.397 1.250 20 30 DDEDLO Cc1nnc([C@H](C)NC([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)s1 ZINC000330201431 223087525 /nfs/dbraw/zinc/08/75/25/223087525.db2.gz XUESAOJOKKKQKT-WCBMZHEXSA-N 1 2 306.395 1.623 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N1CC2(C1)CCOCC2 ZINC000330234003 223091682 /nfs/dbraw/zinc/09/16/82/223091682.db2.gz YENNZPATMKNNBB-ZDUSSCGKSA-N 1 2 304.394 1.787 20 30 DDEDLO COC[C@@H]1CCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)C1 ZINC000330407144 223111918 /nfs/dbraw/zinc/11/19/18/223111918.db2.gz IVAGXPGWTNLWDJ-SNVBAGLBSA-N 1 2 320.315 1.828 20 30 DDEDLO C[C@]1(C#N)CCCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000612268262 360954487 /nfs/dbraw/zinc/95/44/87/360954487.db2.gz MMIWGOLPHJIEDT-MRXNPFEDSA-N 1 2 311.345 1.425 20 30 DDEDLO Cc1cnc(C(=O)NC[C@H](C)CN2CC[NH+](C)CC2)c(O)c1 ZINC000330865224 223150004 /nfs/dbraw/zinc/15/00/04/223150004.db2.gz BUBMEWYWNLHUPC-ZDUSSCGKSA-N 1 2 306.410 1.284 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[NH+]1CCN(S(=O)(=O)N(C)C)CC1 ZINC000612641722 361089934 /nfs/dbraw/zinc/08/99/34/361089934.db2.gz ARKIQJCAJLMWIC-ZDUSSCGKSA-N 1 2 322.434 1.043 20 30 DDEDLO O=C(N[C@H](CO)C[NH+]1CCCCC1)c1cc(F)c(O)c(F)c1 ZINC000331229176 223195634 /nfs/dbraw/zinc/19/56/34/223195634.db2.gz FYTINLKLSAXNBH-NSHDSACASA-N 1 2 314.332 1.822 20 30 DDEDLO N#C[C@H]1CCC[C@@H]1[NH2+]CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000331632660 223207479 /nfs/dbraw/zinc/20/74/79/223207479.db2.gz IPUIPSXGYOIKLL-OCCSQVGLSA-N 1 2 314.345 1.766 20 30 DDEDLO N#CC[C@@H]1CC[C@H](NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000333981398 223225901 /nfs/dbraw/zinc/22/59/01/223225901.db2.gz ARXOSCLBZFQKHF-ZQDZILKHSA-N 1 2 306.410 1.231 20 30 DDEDLO N#CC[C@@H]1CC[C@H](NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000333981398 223225904 /nfs/dbraw/zinc/22/59/04/223225904.db2.gz ARXOSCLBZFQKHF-ZQDZILKHSA-N 1 2 306.410 1.231 20 30 DDEDLO COC[C@@H](C(N)=O)[N@H+](C)CC#Cc1ccc(C(F)(F)F)cc1 ZINC000339357844 223268683 /nfs/dbraw/zinc/26/86/83/223268683.db2.gz MZABXQXVZSXOGK-ZDUSSCGKSA-N 1 2 314.307 1.489 20 30 DDEDLO COC[C@@H](C(N)=O)[N@@H+](C)CC#Cc1ccc(C(F)(F)F)cc1 ZINC000339357844 223268685 /nfs/dbraw/zinc/26/86/85/223268685.db2.gz MZABXQXVZSXOGK-ZDUSSCGKSA-N 1 2 314.307 1.489 20 30 DDEDLO CC(C)CN1C[C@H]2C[N@H+](Cc3ccnc(C#N)c3)CCN2C1=O ZINC000618762083 363753855 /nfs/dbraw/zinc/75/38/55/363753855.db2.gz BVMGUYYLXJSVAX-MRXNPFEDSA-N 1 2 313.405 1.531 20 30 DDEDLO CC(C)CN1C[C@H]2C[N@@H+](Cc3ccnc(C#N)c3)CCN2C1=O ZINC000618762083 363753860 /nfs/dbraw/zinc/75/38/60/363753860.db2.gz BVMGUYYLXJSVAX-MRXNPFEDSA-N 1 2 313.405 1.531 20 30 DDEDLO COC[C@@H]([NH2+][C@H](C)C(=O)NC1(C#N)CCC1)c1ccc(C)o1 ZINC000346538983 223358127 /nfs/dbraw/zinc/35/81/27/223358127.db2.gz YTBWTHHZUOIGFQ-CHWSQXEVSA-N 1 2 305.378 1.816 20 30 DDEDLO Cc1ccc([C@H](C[NH+]2CCOCC2)NC(=O)[C@@H](C)C#N)cc1 ZINC000347061197 223365404 /nfs/dbraw/zinc/36/54/04/223365404.db2.gz DLPNFQNJDWTGTE-HOCLYGCPSA-N 1 2 301.390 1.644 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@H](C)O1 ZINC000264714134 204096440 /nfs/dbraw/zinc/09/64/40/204096440.db2.gz LLXVDIJQSDTKQZ-BBRMVZONSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@H](C)O1 ZINC000264714134 204096444 /nfs/dbraw/zinc/09/64/44/204096444.db2.gz LLXVDIJQSDTKQZ-BBRMVZONSA-N 1 2 301.390 1.674 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2ccc(C#N)c(OC)c2)C[C@@H](C)O1 ZINC000283028710 217395971 /nfs/dbraw/zinc/39/59/71/217395971.db2.gz MVYKOKAVFRIEDL-IAQYHMDHSA-N 1 2 304.346 1.329 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2ccc(C#N)c(OC)c2)C[C@@H](C)O1 ZINC000283028710 217395973 /nfs/dbraw/zinc/39/59/73/217395973.db2.gz MVYKOKAVFRIEDL-IAQYHMDHSA-N 1 2 304.346 1.329 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)c1 ZINC000267384260 206026435 /nfs/dbraw/zinc/02/64/35/206026435.db2.gz NVXXQJRQRPXJOK-ZDUSSCGKSA-N 1 2 323.356 1.626 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000024242415 182378215 /nfs/dbraw/zinc/37/82/15/182378215.db2.gz WMZDEBVQPGCHFW-UHFFFAOYSA-N 1 2 307.825 1.760 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(Cl)cc2)CC1 ZINC000024242415 182378217 /nfs/dbraw/zinc/37/82/17/182378217.db2.gz WMZDEBVQPGCHFW-UHFFFAOYSA-N 1 2 307.825 1.760 20 30 DDEDLO Cn1cc(C[NH+]2CCN(Cc3cccc(C#N)c3F)CC2)cn1 ZINC000340902282 251265413 /nfs/dbraw/zinc/26/54/13/251265413.db2.gz PJHQFIRMHLUODU-UHFFFAOYSA-N 1 2 313.380 1.749 20 30 DDEDLO C#CC[C@H](NC(=O)N(C)CC[NH+]1CCOCC1)c1ccccc1 ZINC000270318917 208393217 /nfs/dbraw/zinc/39/32/17/208393217.db2.gz KIZFGGXSULSUAA-KRWDZBQOSA-N 1 2 315.417 1.725 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1csc(-c2ccccn2)n1 ZINC000272884855 210274016 /nfs/dbraw/zinc/27/40/16/210274016.db2.gz WJUMHAGTTJHZPB-UHFFFAOYSA-N 1 2 323.443 1.896 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)C(C)(F)F ZINC000339587311 533183329 /nfs/dbraw/zinc/18/33/29/533183329.db2.gz QHTUXKVYEXLMBO-LBPRGKRZSA-N 1 2 303.353 1.352 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCN1CCC[C@]2(CCCCO2)[C@H]1C#N ZINC000337226287 297125189 /nfs/dbraw/zinc/12/51/89/297125189.db2.gz FSKCVMLHGKLGGV-BRWVUGGUSA-N 1 2 307.438 1.634 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCN1CCC[C@]2(CCCCO2)[C@H]1C#N ZINC000337226287 297125192 /nfs/dbraw/zinc/12/51/92/297125192.db2.gz FSKCVMLHGKLGGV-BRWVUGGUSA-N 1 2 307.438 1.634 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@H+]2CCCO[C@H](CF)C2)C1=O ZINC000570024086 304389970 /nfs/dbraw/zinc/38/99/70/304389970.db2.gz BTIOGEDLABHUQU-OLZOCXBDSA-N 1 2 323.393 1.785 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@@H+]2CCCO[C@H](CF)C2)C1=O ZINC000570024086 304389971 /nfs/dbraw/zinc/38/99/71/304389971.db2.gz BTIOGEDLABHUQU-OLZOCXBDSA-N 1 2 323.393 1.785 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH+]1CCN(c2ccccc2C)CC1 ZINC000025411610 406898051 /nfs/dbraw/zinc/89/80/51/406898051.db2.gz SYYYGNZPHHKOHZ-UHFFFAOYSA-N 1 2 316.405 1.129 20 30 DDEDLO CN(Cc1cccc(C#N)c1)C(=O)NCC[NH+]1CCOCC1 ZINC000042950447 407015302 /nfs/dbraw/zinc/01/53/02/407015302.db2.gz DPUYUNVIYDTLMS-UHFFFAOYSA-N 1 2 302.378 1.032 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)[C@H]1CCN(c2ccccc2F)C1=O ZINC000078671031 407039679 /nfs/dbraw/zinc/03/96/79/407039679.db2.gz KCHQFACEEIRRQX-AWEZNQCLSA-N 1 2 305.353 1.165 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)[C@H]1CCN(c2ccccc2F)C1=O ZINC000078671031 407039681 /nfs/dbraw/zinc/03/96/81/407039681.db2.gz KCHQFACEEIRRQX-AWEZNQCLSA-N 1 2 305.353 1.165 20 30 DDEDLO C=CCNC(=O)NC[C@@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000047605313 407076888 /nfs/dbraw/zinc/07/68/88/407076888.db2.gz PWDYKCYIDJXCDQ-INIZCTEOSA-N 1 2 303.406 1.854 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@H+](Cc2cnc3ccc(C#N)cn23)C1 ZINC000092705385 407191855 /nfs/dbraw/zinc/19/18/55/407191855.db2.gz MEDVEALVBBKBCA-AWEZNQCLSA-N 1 2 311.389 1.697 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@@H+](Cc2cnc3ccc(C#N)cn23)C1 ZINC000092705385 407191859 /nfs/dbraw/zinc/19/18/59/407191859.db2.gz MEDVEALVBBKBCA-AWEZNQCLSA-N 1 2 311.389 1.697 20 30 DDEDLO CC[N@@H+](CC(=O)NCCOc1ccc(F)cc1)C[C@@H](C)C#N ZINC000064249178 407237585 /nfs/dbraw/zinc/23/75/85/407237585.db2.gz GZEQWNLVNBPCNF-ZDUSSCGKSA-N 1 2 307.369 1.802 20 30 DDEDLO CC[N@H+](CC(=O)NCCOc1ccc(F)cc1)C[C@@H](C)C#N ZINC000064249178 407237586 /nfs/dbraw/zinc/23/75/86/407237586.db2.gz GZEQWNLVNBPCNF-ZDUSSCGKSA-N 1 2 307.369 1.802 20 30 DDEDLO CC1CCN(C(=O)CO[NH+]=C(N)c2ccc(F)c(F)c2)CC1 ZINC000065205625 407240554 /nfs/dbraw/zinc/24/05/54/407240554.db2.gz VILFBWWTBQVYKO-UHFFFAOYSA-N 1 2 311.332 1.860 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](CC(N)=O)[C@H](C)c1ccccc1 ZINC000123886687 407341744 /nfs/dbraw/zinc/34/17/44/407341744.db2.gz UTGCELHBTNFIKW-OAHLLOKOSA-N 1 2 317.433 1.960 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](CC(N)=O)[C@H](C)c1ccccc1 ZINC000123886687 407341746 /nfs/dbraw/zinc/34/17/46/407341746.db2.gz UTGCELHBTNFIKW-OAHLLOKOSA-N 1 2 317.433 1.960 20 30 DDEDLO C=CC[N@H+](Cc1ccc2c(c1)OCCO2)[C@@H]1CCS(=O)(=O)C1 ZINC000108870603 407391565 /nfs/dbraw/zinc/39/15/65/407391565.db2.gz DEOZJOUQWVUNDF-CQSZACIVSA-N 1 2 323.414 1.633 20 30 DDEDLO C=CC[N@@H+](Cc1ccc2c(c1)OCCO2)[C@@H]1CCS(=O)(=O)C1 ZINC000108870603 407391567 /nfs/dbraw/zinc/39/15/67/407391567.db2.gz DEOZJOUQWVUNDF-CQSZACIVSA-N 1 2 323.414 1.633 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(c2c(F)cc(C#N)cc2F)CC1 ZINC000108952406 407392801 /nfs/dbraw/zinc/39/28/01/407392801.db2.gz HYGAOINMXRHOFJ-UHFFFAOYSA-N 1 2 317.343 1.892 20 30 DDEDLO N#CC1(C(=O)NCCc2cn3c([nH+]2)CCCC3)CCOCC1 ZINC000109139481 407395784 /nfs/dbraw/zinc/39/57/84/407395784.db2.gz PIWJFLFGIHRENA-UHFFFAOYSA-N 1 2 302.378 1.198 20 30 DDEDLO N#Cc1ccc(NC(=O)[C@H]2C[N@H+](Cc3ccccc3)CCO2)nc1 ZINC000126497723 407414598 /nfs/dbraw/zinc/41/45/98/407414598.db2.gz SMDLZZUXFAUOTO-MRXNPFEDSA-N 1 2 322.368 1.793 20 30 DDEDLO N#Cc1ccc(NC(=O)[C@H]2C[N@@H+](Cc3ccccc3)CCO2)nc1 ZINC000126497723 407414599 /nfs/dbraw/zinc/41/45/99/407414599.db2.gz SMDLZZUXFAUOTO-MRXNPFEDSA-N 1 2 322.368 1.793 20 30 DDEDLO C=CCCC(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000127524574 407439586 /nfs/dbraw/zinc/43/95/86/407439586.db2.gz QVEJCJHBVPLVBW-ZDUSSCGKSA-N 1 2 311.426 1.620 20 30 DDEDLO C=CCCC(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000127524574 407439587 /nfs/dbraw/zinc/43/95/87/407439587.db2.gz QVEJCJHBVPLVBW-ZDUSSCGKSA-N 1 2 311.426 1.620 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000178674949 407542104 /nfs/dbraw/zinc/54/21/04/407542104.db2.gz FKPXKHCLCAUCGA-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000178674949 407542111 /nfs/dbraw/zinc/54/21/11/407542111.db2.gz FKPXKHCLCAUCGA-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1ccccc1C(=O)NCC(C)C ZINC000266956594 407689563 /nfs/dbraw/zinc/68/95/63/407689563.db2.gz VWCMJIORQOZJKE-UHFFFAOYSA-N 1 2 315.417 1.966 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1ccccc1C(=O)NCC(C)C ZINC000266956594 407689569 /nfs/dbraw/zinc/68/95/69/407689569.db2.gz VWCMJIORQOZJKE-UHFFFAOYSA-N 1 2 315.417 1.966 20 30 DDEDLO Cc1csc(NC(=O)N(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000115632860 407699525 /nfs/dbraw/zinc/69/95/25/407699525.db2.gz CZTBPSAQZPMDQX-UHFFFAOYSA-N 1 2 323.422 1.531 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)Nc2cc(C)on2)cc1C#N ZINC000179192994 407751039 /nfs/dbraw/zinc/75/10/39/407751039.db2.gz KDNPZHBMNBOSCM-UHFFFAOYSA-N 1 2 314.345 1.934 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)Nc2cc(C)on2)cc1C#N ZINC000179192994 407751047 /nfs/dbraw/zinc/75/10/47/407751047.db2.gz KDNPZHBMNBOSCM-UHFFFAOYSA-N 1 2 314.345 1.934 20 30 DDEDLO COc1c(C)c[nH+]c(CN(C)S(=O)(=O)CCCC#N)c1C ZINC000171765115 407764796 /nfs/dbraw/zinc/76/47/96/407764796.db2.gz YCCCEVFAYLDWGL-UHFFFAOYSA-N 1 2 311.407 1.772 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCC[N@H+]1CC(=O)N(CC)C[C@@H](C)C#N ZINC000171995197 407800307 /nfs/dbraw/zinc/80/03/07/407800307.db2.gz OKGAGQWMECTMAK-GJZGRUSLSA-N 1 2 322.453 1.327 20 30 DDEDLO CCN(CC)C(=O)[C@@H]1CCC[N@@H+]1CC(=O)N(CC)C[C@@H](C)C#N ZINC000171995197 407800315 /nfs/dbraw/zinc/80/03/15/407800315.db2.gz OKGAGQWMECTMAK-GJZGRUSLSA-N 1 2 322.453 1.327 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)c1ccccc1C#N ZINC000134549323 407907966 /nfs/dbraw/zinc/90/79/66/407907966.db2.gz ZWJHXRZZLOPKHJ-UHFFFAOYSA-N 1 2 304.375 1.466 20 30 DDEDLO CC1(C#N)CCN(c2cc(N3CCC[C@@H]3CO)nc[nH+]2)CC1 ZINC000272472224 407936822 /nfs/dbraw/zinc/93/68/22/407936822.db2.gz NFHZKXFKGOGOPW-CYBMUJFWSA-N 1 2 301.394 1.568 20 30 DDEDLO CC1(C#N)CCN(c2cc(N3CCC[C@@H]3CO)[nH+]cn2)CC1 ZINC000272472224 407936824 /nfs/dbraw/zinc/93/68/24/407936824.db2.gz NFHZKXFKGOGOPW-CYBMUJFWSA-N 1 2 301.394 1.568 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000134847997 407940493 /nfs/dbraw/zinc/94/04/93/407940493.db2.gz XTARCGQIEPJJPH-CABCVRRESA-N 1 2 300.362 1.413 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000134847997 407940496 /nfs/dbraw/zinc/94/04/96/407940496.db2.gz XTARCGQIEPJJPH-CABCVRRESA-N 1 2 300.362 1.413 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)NCCOc2ccccc2)CC1 ZINC000272588497 407998666 /nfs/dbraw/zinc/99/86/66/407998666.db2.gz PFPKSSLPGBYVQQ-UHFFFAOYSA-N 1 2 301.390 1.807 20 30 DDEDLO Cc1cc(N2CC[C@H]([NH+]3CCC(C)(C#N)CC3)C2=O)n(C)n1 ZINC000272636168 408004151 /nfs/dbraw/zinc/00/41/51/408004151.db2.gz WWACGIBPPTXLCO-ZDUSSCGKSA-N 1 2 301.394 1.460 20 30 DDEDLO COCCO[C@H]1CC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000189664699 408072316 /nfs/dbraw/zinc/07/23/16/408072316.db2.gz NPZXBFRRKVCNOI-LBPRGKRZSA-N 1 2 309.391 1.296 20 30 DDEDLO COCCO[C@H]1CC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000189664699 408072323 /nfs/dbraw/zinc/07/23/23/408072323.db2.gz NPZXBFRRKVCNOI-LBPRGKRZSA-N 1 2 309.391 1.296 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](C)Cc1cn(C)nc1C(F)(F)F ZINC000154979055 408111804 /nfs/dbraw/zinc/11/18/04/408111804.db2.gz OPFBOWSJHCNCAU-VIFPVBQESA-N 1 2 304.316 1.561 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](C)Cc1cn(C)nc1C(F)(F)F ZINC000154979055 408111810 /nfs/dbraw/zinc/11/18/10/408111810.db2.gz OPFBOWSJHCNCAU-VIFPVBQESA-N 1 2 304.316 1.561 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)[C@H](C)[NH+]1CCN(C)CC1 ZINC000273424280 408193155 /nfs/dbraw/zinc/19/31/55/408193155.db2.gz WDVQCEXGRMQQGW-INIZCTEOSA-N 1 2 301.434 1.837 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)c1c[nH]c(C#N)c1 ZINC000182561083 408192036 /nfs/dbraw/zinc/19/20/36/408192036.db2.gz SZMBPAREABUJEX-UHFFFAOYSA-N 1 2 311.345 1.679 20 30 DDEDLO C#CCOc1ccccc1C[NH+]1CCN(C(=O)NCC)CC1 ZINC000121594515 408238587 /nfs/dbraw/zinc/23/85/87/408238587.db2.gz ZGZRIVJDERYECW-UHFFFAOYSA-N 1 2 301.390 1.546 20 30 DDEDLO C=CC[N@@H+](C)CC(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C ZINC000121597307 408239223 /nfs/dbraw/zinc/23/92/23/408239223.db2.gz JBXFRVXVZRSFKR-UHFFFAOYSA-N 1 2 311.407 1.007 20 30 DDEDLO C=CC[N@H+](C)CC(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C ZINC000121597307 408239228 /nfs/dbraw/zinc/23/92/28/408239228.db2.gz JBXFRVXVZRSFKR-UHFFFAOYSA-N 1 2 311.407 1.007 20 30 DDEDLO CCC[C@](C)(O)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000269286713 408252279 /nfs/dbraw/zinc/25/22/79/408252279.db2.gz CPKQVFUZBQADGV-SFHVURJKSA-N 1 2 315.417 1.754 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1ccc(N(CC)CC)[nH+]c1 ZINC000183018440 408307298 /nfs/dbraw/zinc/30/72/98/408307298.db2.gz OVRDKKPLFGGBIE-CQSZACIVSA-N 1 2 306.410 1.664 20 30 DDEDLO C=C(C)C[N@@H+](CC)Cc1cnn([C@]2(C)CCS(=O)(=O)C2)c1 ZINC000159007542 408376660 /nfs/dbraw/zinc/37/66/60/408376660.db2.gz AKBVCEOBRCUPLI-OAHLLOKOSA-N 1 2 311.451 1.815 20 30 DDEDLO C=C(C)C[N@H+](CC)Cc1cnn([C@]2(C)CCS(=O)(=O)C2)c1 ZINC000159007542 408376664 /nfs/dbraw/zinc/37/66/64/408376664.db2.gz AKBVCEOBRCUPLI-OAHLLOKOSA-N 1 2 311.451 1.815 20 30 DDEDLO Cc1ncc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)s1 ZINC000247039278 408394615 /nfs/dbraw/zinc/39/46/15/408394615.db2.gz DPWXGFINNVBWRT-LLVKDONJSA-N 1 2 314.418 1.824 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)s1 ZINC000247039278 408394625 /nfs/dbraw/zinc/39/46/25/408394625.db2.gz DPWXGFINNVBWRT-LLVKDONJSA-N 1 2 314.418 1.824 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)c3cccc(O)c3)CC2)cc1C#N ZINC000191306505 408403944 /nfs/dbraw/zinc/40/39/44/408403944.db2.gz XBQCHNLISLZGLA-UHFFFAOYSA-N 1 2 324.384 1.560 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3cccc(F)c3)CC2)[nH]1 ZINC000269809933 408436759 /nfs/dbraw/zinc/43/67/59/408436759.db2.gz MDRGCISTIGGLCI-UHFFFAOYSA-N 1 2 312.348 1.983 20 30 DDEDLO CC[C@@H](CC#N)NC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000183861234 408502404 /nfs/dbraw/zinc/50/24/04/408502404.db2.gz BVPBWSOEHFJGMG-AWEZNQCLSA-N 1 2 301.394 1.012 20 30 DDEDLO C=CCN(Cc1c(C)[nH+]c2ccccn21)[C@@H]1CCS(=O)(=O)C1 ZINC000160293194 408468844 /nfs/dbraw/zinc/46/88/44/408468844.db2.gz XCVUPSQCOYVLHC-CQSZACIVSA-N 1 2 319.430 1.818 20 30 DDEDLO C=CCNC(=O)C(=O)Nc1cccc([C@@H](C)[NH+]2CCOCC2)c1 ZINC000264399408 408474595 /nfs/dbraw/zinc/47/45/95/408474595.db2.gz ZYLBIBQLIWWESU-CYBMUJFWSA-N 1 2 317.389 1.321 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN([C@@H](C)c2nccs2)CC1 ZINC000184619640 408657497 /nfs/dbraw/zinc/65/74/97/408657497.db2.gz HTFLKUPGZKVPRK-STQMWFEESA-N 1 2 308.451 1.512 20 30 DDEDLO C[C@@H]1CCC[C@@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000162596859 408715804 /nfs/dbraw/zinc/71/58/04/408715804.db2.gz JXUBXBWNZFFIQH-HZPDHXFCSA-N 1 2 307.438 1.887 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@H](C)c1nc(C2CCCCC2)no1 ZINC000185239969 408785185 /nfs/dbraw/zinc/78/51/85/408785185.db2.gz PVDYHIZZQNLVBM-VXGBXAGGSA-N 1 2 304.394 1.906 20 30 DDEDLO COC(=O)[C@@H]1[C@H](O)CCC[N@@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000249778792 408764765 /nfs/dbraw/zinc/76/47/65/408764765.db2.gz KXSLHMNHAYVTDG-MAZHCROVSA-N 1 2 318.373 1.476 20 30 DDEDLO COC(=O)[C@@H]1[C@H](O)CCC[N@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000249778792 408764767 /nfs/dbraw/zinc/76/47/67/408764767.db2.gz KXSLHMNHAYVTDG-MAZHCROVSA-N 1 2 318.373 1.476 20 30 DDEDLO N#CCCCCS(=O)(=O)N[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000166113097 408815688 /nfs/dbraw/zinc/81/56/88/408815688.db2.gz KHXLGSYHPVXWEZ-AWEZNQCLSA-N 1 2 322.434 1.664 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1Cc1cc(C#N)ccc1F ZINC000285895381 408884504 /nfs/dbraw/zinc/88/45/04/408884504.db2.gz KCWATGGEAPDOEI-CJNGLKHVSA-N 1 2 303.381 1.992 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)Cc1ccc(C#N)cc1 ZINC000285664120 408838250 /nfs/dbraw/zinc/83/82/50/408838250.db2.gz FNGMFWIGMWVEBS-YOEHRIQHSA-N 1 2 313.401 1.422 20 30 DDEDLO Cc1ccc2c(c1)C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)CCO2 ZINC000285740118 408854940 /nfs/dbraw/zinc/85/49/40/408854940.db2.gz YDHKINQRPLVITB-SFHVURJKSA-N 1 2 313.401 1.998 20 30 DDEDLO Cc1ccc2c(c1)C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)CCO2 ZINC000285740118 408854944 /nfs/dbraw/zinc/85/49/44/408854944.db2.gz YDHKINQRPLVITB-SFHVURJKSA-N 1 2 313.401 1.998 20 30 DDEDLO N#C[C@@H]1CC[C@H]([NH+]2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000281149793 408873409 /nfs/dbraw/zinc/87/34/09/408873409.db2.gz ZTVSCLDEQHJXEI-CABCVRRESA-N 1 2 319.430 1.685 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1nccn1C ZINC000190631152 163149678 /nfs/dbraw/zinc/14/96/78/163149678.db2.gz AGULZFUCPBERNL-CZUORRHYSA-N 1 2 323.400 1.743 20 30 DDEDLO C[C@@H]1CCN(Cc2c[nH+]c3ccc(C#N)cn23)CCS1(=O)=O ZINC000192052127 163214927 /nfs/dbraw/zinc/21/49/27/163214927.db2.gz LESHRUUQXRDLQN-GFCCVEGCSA-N 1 2 318.402 1.215 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@H](n2cccn2)C1 ZINC000291979702 408957309 /nfs/dbraw/zinc/95/73/09/408957309.db2.gz WEWDSKPPCFGZBU-LBPRGKRZSA-N 1 2 301.375 1.702 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@H](n2cccn2)C1 ZINC000291979702 408957310 /nfs/dbraw/zinc/95/73/10/408957310.db2.gz WEWDSKPPCFGZBU-LBPRGKRZSA-N 1 2 301.375 1.702 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](Cc3cn4cccnc4n3)C2)nc1 ZINC000292145890 408989820 /nfs/dbraw/zinc/98/98/20/408989820.db2.gz JVXAOWKAGQTOOE-HNNXBMFYSA-N 1 2 320.356 1.649 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](Cc3cn4cccnc4n3)C2)nc1 ZINC000292145890 408989823 /nfs/dbraw/zinc/98/98/23/408989823.db2.gz JVXAOWKAGQTOOE-HNNXBMFYSA-N 1 2 320.356 1.649 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@H+](C)Cc1ccc(C#N)c(OC)c1 ZINC000282137741 408998566 /nfs/dbraw/zinc/99/85/66/408998566.db2.gz BTTHLTRMUXHJEP-GFCCVEGCSA-N 1 2 310.419 1.822 20 30 DDEDLO CCS(=O)(=O)C[C@@H](C)[N@@H+](C)Cc1ccc(C#N)c(OC)c1 ZINC000282137741 408998568 /nfs/dbraw/zinc/99/85/68/408998568.db2.gz BTTHLTRMUXHJEP-GFCCVEGCSA-N 1 2 310.419 1.822 20 30 DDEDLO C=C[C@H](CO)NC(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000292454837 409029167 /nfs/dbraw/zinc/02/91/67/409029167.db2.gz ORNDBMBIJVRESX-OAHLLOKOSA-N 1 2 300.362 1.278 20 30 DDEDLO N#Cc1cccc(NC(=O)NCC2([NH+]3CCOCC3)CC2)c1 ZINC000277904325 409032449 /nfs/dbraw/zinc/03/24/49/409032449.db2.gz CWDZFAFRTFZYPG-UHFFFAOYSA-N 1 2 300.362 1.545 20 30 DDEDLO CC(C)(C#N)CC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000278201303 409077064 /nfs/dbraw/zinc/07/70/64/409077064.db2.gz GCPYUHZDTQDJAD-UHFFFAOYSA-N 1 2 302.378 1.474 20 30 DDEDLO COCCn1nnc2c1CC[N@@H+]([C@H](C)c1ccc(C#N)cc1)C2 ZINC000293472268 409120036 /nfs/dbraw/zinc/12/00/36/409120036.db2.gz FCEVUFDNKROXDV-CYBMUJFWSA-N 1 2 311.389 1.915 20 30 DDEDLO COCCn1nnc2c1CC[N@H+]([C@H](C)c1ccc(C#N)cc1)C2 ZINC000293472268 409120039 /nfs/dbraw/zinc/12/00/39/409120039.db2.gz FCEVUFDNKROXDV-CYBMUJFWSA-N 1 2 311.389 1.915 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](Oc2ncccc2F)C1 ZINC000278959180 409120273 /nfs/dbraw/zinc/12/02/73/409120273.db2.gz ZWLJHPKJQGPCHN-QWHCGFSZSA-N 1 2 307.369 1.755 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](Oc2ncccc2F)C1 ZINC000278959180 409120277 /nfs/dbraw/zinc/12/02/77/409120277.db2.gz ZWLJHPKJQGPCHN-QWHCGFSZSA-N 1 2 307.369 1.755 20 30 DDEDLO O=c1nc([C@@H]2CCC[N@@H+](CC#Cc3ccc(Cl)cc3)C2)[nH][nH]1 ZINC000288576651 409171093 /nfs/dbraw/zinc/17/10/93/409171093.db2.gz BCUGOMKODMTWSN-CYBMUJFWSA-N 1 2 316.792 1.983 20 30 DDEDLO O=c1nc([C@@H]2CCC[N@H+](CC#Cc3ccc(Cl)cc3)C2)[nH][nH]1 ZINC000288576651 409171096 /nfs/dbraw/zinc/17/10/96/409171096.db2.gz BCUGOMKODMTWSN-CYBMUJFWSA-N 1 2 316.792 1.983 20 30 DDEDLO CCOC(=O)[C@@H](F)C1C[NH+](Cc2ccc(C#N)c(OC)c2)C1 ZINC000293812189 409184990 /nfs/dbraw/zinc/18/49/90/409184990.db2.gz FFXFVGDYWXMSSZ-HNNXBMFYSA-N 1 2 306.337 1.900 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C#N)Cc1ccc(C#N)cc1)Cn1cc[nH+]c1 ZINC000280174728 409263128 /nfs/dbraw/zinc/26/31/28/409263128.db2.gz COALVLYLEBSBFB-RHSMWYFYSA-N 1 2 321.384 1.890 20 30 DDEDLO N#Cc1cc(-c2nc([C@H]3C[N@@H+]4CCCC[C@H]4CO3)no2)ccn1 ZINC000288968490 409202281 /nfs/dbraw/zinc/20/22/81/409202281.db2.gz BCCRIBJTSQHHQE-UONOGXRCSA-N 1 2 311.345 1.929 20 30 DDEDLO N#Cc1cc(-c2nc([C@H]3C[N@H+]4CCCC[C@H]4CO3)no2)ccn1 ZINC000288968490 409202284 /nfs/dbraw/zinc/20/22/84/409202284.db2.gz BCCRIBJTSQHHQE-UONOGXRCSA-N 1 2 311.345 1.929 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000289459567 409276380 /nfs/dbraw/zinc/27/63/80/409276380.db2.gz LVCMUTRKWMVMSP-MNOVXSKESA-N 1 2 321.343 1.068 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000289459567 409276384 /nfs/dbraw/zinc/27/63/84/409276384.db2.gz LVCMUTRKWMVMSP-MNOVXSKESA-N 1 2 321.343 1.068 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000280263193 409280186 /nfs/dbraw/zinc/28/01/86/409280186.db2.gz PVNHNVUNYRFBAW-UHFFFAOYSA-N 1 2 300.406 1.549 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000294451739 409291092 /nfs/dbraw/zinc/29/10/92/409291092.db2.gz OXSYCQJYKHGAMU-WBVHZDCISA-N 1 2 316.401 1.042 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000294451739 409291093 /nfs/dbraw/zinc/29/10/93/409291093.db2.gz OXSYCQJYKHGAMU-WBVHZDCISA-N 1 2 316.401 1.042 20 30 DDEDLO C=CCOc1ccc(CC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)cc1 ZINC000294470797 409291458 /nfs/dbraw/zinc/29/14/58/409291458.db2.gz CTDGWIRCMIWCHW-MRXNPFEDSA-N 1 2 317.433 1.156 20 30 DDEDLO C=CCOc1ccc(CC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)cc1 ZINC000294470797 409291462 /nfs/dbraw/zinc/29/14/62/409291462.db2.gz CTDGWIRCMIWCHW-MRXNPFEDSA-N 1 2 317.433 1.156 20 30 DDEDLO C[NH+]1CCN(C(=O)c2ccc(Br)cc2C#N)CC1 ZINC000294297882 409274148 /nfs/dbraw/zinc/27/41/48/409274148.db2.gz WGYYSSBVMKMSTJ-UHFFFAOYSA-N 1 2 308.179 1.708 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1ncc(C#N)cc1[N+](=O)[O-] ZINC000295727473 409365178 /nfs/dbraw/zinc/36/51/78/409365178.db2.gz VJGQUBVCZIMWFQ-YPMHNXCESA-N 1 2 317.349 1.161 20 30 DDEDLO Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)N1CCO[C@@H](C#N)C1 ZINC000280592588 409372739 /nfs/dbraw/zinc/37/27/39/409372739.db2.gz TWAFVUIMPGLEKY-JTQLQIEISA-N 1 2 320.299 1.374 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(C)[C@H](Cc3ccccc3)C2)C1=O ZINC000284927092 409372766 /nfs/dbraw/zinc/37/27/66/409372766.db2.gz YBBRBYQMCNEECS-MSOLQXFVSA-N 1 2 313.445 1.632 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(C)[C@H](Cc3ccccc3)C2)C1=O ZINC000284927092 409372771 /nfs/dbraw/zinc/37/27/71/409372771.db2.gz YBBRBYQMCNEECS-MSOLQXFVSA-N 1 2 313.445 1.632 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000290421317 409396109 /nfs/dbraw/zinc/39/61/09/409396109.db2.gz GHVBEFCUXMASDY-KRWDZBQOSA-N 1 2 306.381 1.797 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1CC[NH+](CCOC(C)C)CC1 ZINC000280687156 409409997 /nfs/dbraw/zinc/40/99/97/409409997.db2.gz RDMDRHNBSKQFAO-UHFFFAOYSA-N 1 2 305.378 1.643 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC000338122157 409734653 /nfs/dbraw/zinc/73/46/53/409734653.db2.gz UZKONSDFHHJGKZ-CYBMUJFWSA-N 1 2 300.406 1.232 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+](C)C[C@@H]1CCS(=O)(=O)C1 ZINC000354420649 409843139 /nfs/dbraw/zinc/84/31/39/409843139.db2.gz DYBVIWMTPFDDDW-KGLIPLIRSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+](C)C[C@@H]1CCS(=O)(=O)C1 ZINC000354420649 409843145 /nfs/dbraw/zinc/84/31/45/409843145.db2.gz DYBVIWMTPFDDDW-KGLIPLIRSA-N 1 2 316.467 1.166 20 30 DDEDLO Cc1cc(S(=O)(=O)NCCc2cn(C)c[nH+]2)ccc1C#N ZINC000313473809 409811698 /nfs/dbraw/zinc/81/16/98/409811698.db2.gz PUAOIPCPRAIFOI-UHFFFAOYSA-N 1 2 304.375 1.121 20 30 DDEDLO CS(=O)(=O)N1CC[C@@H](C[N@H+](CCC#N)Cc2ccco2)C1 ZINC000338310705 409896981 /nfs/dbraw/zinc/89/69/81/409896981.db2.gz AQXJHIWYXVHUQC-ZDUSSCGKSA-N 1 2 311.407 1.277 20 30 DDEDLO CS(=O)(=O)N1CC[C@@H](C[N@@H+](CCC#N)Cc2ccco2)C1 ZINC000338310705 409896995 /nfs/dbraw/zinc/89/69/95/409896995.db2.gz AQXJHIWYXVHUQC-ZDUSSCGKSA-N 1 2 311.407 1.277 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CCC[C@H](CO)C1)CCC2 ZINC000328695767 409955545 /nfs/dbraw/zinc/95/55/45/409955545.db2.gz GNCJJSJZSINPGL-KBPBESRZSA-N 1 2 306.410 1.687 20 30 DDEDLO CC(C)(C(=O)NCCc1cn2c(n1)SCC2)[NH+]1CCOCC1 ZINC000328705925 409958621 /nfs/dbraw/zinc/95/86/21/409958621.db2.gz YWDWTBFBUNHRLL-UHFFFAOYSA-N 1 2 324.450 1.599 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CC(=O)N(C(C)(C)C)C1)[NH+]1CCN(C)CC1 ZINC000328792570 409979320 /nfs/dbraw/zinc/97/93/20/409979320.db2.gz RKMZJXOTZWWRFU-KGLIPLIRSA-N 1 2 324.469 1.226 20 30 DDEDLO C[C@@H](C(=O)NCCc1cn2c([nH+]1)CCCC2)N1CCOCC1 ZINC000328855090 409991138 /nfs/dbraw/zinc/99/11/38/409991138.db2.gz JUAGDMQKAXKBPM-ZDUSSCGKSA-N 1 2 306.410 1.439 20 30 DDEDLO Cc1noc([C@@H](NC(=O)c2c[nH+]c(C)[nH]2)C2CCOCC2)n1 ZINC000328610964 409933908 /nfs/dbraw/zinc/93/39/08/409933908.db2.gz JTOSANIYNAEJLB-LBPRGKRZSA-N 1 2 305.338 1.882 20 30 DDEDLO CCN1CCN(C(=O)NCC2(OC)CCC2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328621242 409936311 /nfs/dbraw/zinc/93/63/11/409936311.db2.gz HPKQIBFJIBVTSU-CYBMUJFWSA-N 1 2 321.425 1.571 20 30 DDEDLO Cc1noc(C2CC2)c1NC(=O)N1CC[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000328946408 410016198 /nfs/dbraw/zinc/01/61/98/410016198.db2.gz BFSHOQLZBCVMEY-CHWSQXEVSA-N 1 2 320.393 1.811 20 30 DDEDLO Cc1noc(C2CC2)c1NC(=O)N1CC[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000328946408 410016206 /nfs/dbraw/zinc/01/62/06/410016206.db2.gz BFSHOQLZBCVMEY-CHWSQXEVSA-N 1 2 320.393 1.811 20 30 DDEDLO Cn1c(C#N)ccc1-c1nc([C@H]2C[N@@H+]3CCCC[C@H]3CO2)no1 ZINC000351094790 410034053 /nfs/dbraw/zinc/03/40/53/410034053.db2.gz SROMRZXARZFJTC-GXTWGEPZSA-N 1 2 313.361 1.873 20 30 DDEDLO Cn1c(C#N)ccc1-c1nc([C@H]2C[N@H+]3CCCC[C@H]3CO2)no1 ZINC000351094790 410034058 /nfs/dbraw/zinc/03/40/58/410034058.db2.gz SROMRZXARZFJTC-GXTWGEPZSA-N 1 2 313.361 1.873 20 30 DDEDLO C[C@@H]1CN(C(=O)NC[C@H]2CCCn3cc[nH+]c32)C[C@H]1C(N)=O ZINC000329081573 410092249 /nfs/dbraw/zinc/09/22/49/410092249.db2.gz RSGJZLBHFSCFCV-IJLUTSLNSA-N 1 2 305.382 1.778 20 30 DDEDLO CC(=O)N[C@H]1CCCN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000329152361 410131169 /nfs/dbraw/zinc/13/11/69/410131169.db2.gz SXZQZZDHTCWRRC-ZDUSSCGKSA-N 1 2 313.361 1.848 20 30 DDEDLO O=C(N[C@H]1CCc2c[nH]nc2C1)C1([NH+]2CCOCC2)CCC1 ZINC000329332002 410239835 /nfs/dbraw/zinc/23/98/35/410239835.db2.gz MSHQUFANKBPATD-ZDUSSCGKSA-N 1 2 304.394 1.478 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NC[C@@H]3COc4ccccc43)C[C@H]21 ZINC000329334631 410240853 /nfs/dbraw/zinc/24/08/53/410240853.db2.gz ODALEFRRVMTLAY-XNRPHZJLSA-N 1 2 317.389 1.091 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NC[C@@H]3COc4ccccc43)C[C@H]21 ZINC000329334631 410240859 /nfs/dbraw/zinc/24/08/59/410240859.db2.gz ODALEFRRVMTLAY-XNRPHZJLSA-N 1 2 317.389 1.091 20 30 DDEDLO O=C(CN1CCn2cc[nH+]c2C1)Nc1ccc2c(c1)OCCO2 ZINC000329341453 410242089 /nfs/dbraw/zinc/24/20/89/410242089.db2.gz OZGOQPNPISWHHJ-UHFFFAOYSA-N 1 2 314.345 1.758 20 30 DDEDLO CN(C(=O)N[C@H](c1[nH+]ccn1C)C1CCOCC1)[C@@H]1CCOC1 ZINC000329339300 410244032 /nfs/dbraw/zinc/24/40/32/410244032.db2.gz NOMAMFNKZNUFHH-KGLIPLIRSA-N 1 2 322.409 1.523 20 30 DDEDLO C[C@@H](CNC(=O)C1([NH+]2CCOCC2)CCC1)c1nncn1C ZINC000329322327 410233428 /nfs/dbraw/zinc/23/34/28/410233428.db2.gz JMHPHFIEDNKWPE-LBPRGKRZSA-N 1 2 307.398 1.130 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+](CC(=O)NC[C@@H]3CCCO3)C2)[nH]1 ZINC000329479285 410322270 /nfs/dbraw/zinc/32/22/70/410322270.db2.gz QJWUEGIWGSJJAJ-OLZOCXBDSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+](CC(=O)NC[C@@H]3CCCO3)C2)[nH]1 ZINC000329479285 410322278 /nfs/dbraw/zinc/32/22/78/410322278.db2.gz QJWUEGIWGSJJAJ-OLZOCXBDSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+](CC(=O)NC[C@@H]3CCCO3)C2)n1 ZINC000329479285 410322289 /nfs/dbraw/zinc/32/22/89/410322289.db2.gz QJWUEGIWGSJJAJ-OLZOCXBDSA-N 1 2 307.398 1.428 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+](CC(=O)NC[C@@H]3CCCO3)C2)n1 ZINC000329479285 410322291 /nfs/dbraw/zinc/32/22/91/410322291.db2.gz QJWUEGIWGSJJAJ-OLZOCXBDSA-N 1 2 307.398 1.428 20 30 DDEDLO [O-]C(NCc1noc2c1CCCC2)=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000329566962 410362211 /nfs/dbraw/zinc/36/22/11/410362211.db2.gz SYYGBDUDHRUQMO-LLVKDONJSA-N 1 2 315.377 1.769 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000329581096 410368233 /nfs/dbraw/zinc/36/82/33/410368233.db2.gz UYOWXHBAPFKAAC-CFVMTHIKSA-N 1 2 318.421 1.379 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)CSCc1ccc(C#N)cc1 ZINC000355257159 410403761 /nfs/dbraw/zinc/40/37/61/410403761.db2.gz PZLWGZIKNNYUNP-UHFFFAOYSA-N 1 2 300.387 1.841 20 30 DDEDLO C#CC[NH+](CC#C)[C@H](C)C(=O)NC(=O)Nc1ccc(C)cc1C ZINC000298824200 410413275 /nfs/dbraw/zinc/41/32/75/410413275.db2.gz BEOZLSALBBIQAA-OAHLLOKOSA-N 1 2 311.385 1.908 20 30 DDEDLO Cc1nsc(N2CC[NH+](CCOCC3CC3)CC2)c1C#N ZINC000329786619 410444171 /nfs/dbraw/zinc/44/41/71/410444171.db2.gz QSUXIYHJHFYGBY-UHFFFAOYSA-N 1 2 306.435 1.872 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000299072541 410508282 /nfs/dbraw/zinc/50/82/82/410508282.db2.gz PZJYGGQPIPLYIL-CQSZACIVSA-N 1 2 315.421 1.236 20 30 DDEDLO C=C(C)CN(C)C(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000352154186 410512827 /nfs/dbraw/zinc/51/28/27/410512827.db2.gz REPPQCDDCZQRGZ-UHFFFAOYSA-N 1 2 322.453 1.148 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000299283002 410585380 /nfs/dbraw/zinc/58/53/80/410585380.db2.gz CRDSVQXYYMTIAP-CQSZACIVSA-N 1 2 319.405 1.516 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)Nc2cccc(S(C)(=O)=O)c2)CC1 ZINC000299173016 410555332 /nfs/dbraw/zinc/55/53/32/410555332.db2.gz YXMIYBDQEMNKFI-UHFFFAOYSA-N 1 2 320.414 1.374 20 30 DDEDLO COC(=O)COc1ccc(C[N@H+](C)C[C@H](C)C#N)cc1[N+](=O)[O-] ZINC000355611007 410608007 /nfs/dbraw/zinc/60/80/07/410608007.db2.gz UWEOPEYXNNGIHE-LLVKDONJSA-N 1 2 321.333 1.738 20 30 DDEDLO COC(=O)COc1ccc(C[N@@H+](C)C[C@H](C)C#N)cc1[N+](=O)[O-] ZINC000355611007 410608013 /nfs/dbraw/zinc/60/80/13/410608013.db2.gz UWEOPEYXNNGIHE-LLVKDONJSA-N 1 2 321.333 1.738 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CC[S@](=O)[C@H](C)C1 ZINC000352757215 410676663 /nfs/dbraw/zinc/67/66/63/410676663.db2.gz GGUYDQJXZSSVRH-YGHRGLEHSA-N 1 2 323.458 1.608 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CC[S@](=O)[C@H](C)C1 ZINC000352757215 410676668 /nfs/dbraw/zinc/67/66/68/410676668.db2.gz GGUYDQJXZSSVRH-YGHRGLEHSA-N 1 2 323.458 1.608 20 30 DDEDLO C=CCCN(C)C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000355977510 410756965 /nfs/dbraw/zinc/75/69/65/410756965.db2.gz HHKGPKIQKVAQTK-CQSZACIVSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCN(C)C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000355977510 410756971 /nfs/dbraw/zinc/75/69/71/410756971.db2.gz HHKGPKIQKVAQTK-CQSZACIVSA-N 1 2 306.410 1.518 20 30 DDEDLO COCc1noc(C[N@H+](C)CCOCc2ccc(C#N)cc2)n1 ZINC000344102151 410957742 /nfs/dbraw/zinc/95/77/42/410957742.db2.gz DSGXUEONZQZOOP-UHFFFAOYSA-N 1 2 316.361 1.736 20 30 DDEDLO COCc1noc(C[N@@H+](C)CCOCc2ccc(C#N)cc2)n1 ZINC000344102151 410957750 /nfs/dbraw/zinc/95/77/50/410957750.db2.gz DSGXUEONZQZOOP-UHFFFAOYSA-N 1 2 316.361 1.736 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](CCO)[C@@H]2CCO[C@@H]2C)c(C#N)c1C ZINC000331184280 411004159 /nfs/dbraw/zinc/00/41/59/411004159.db2.gz TVLXFMHUORLXIW-TZMCWYRMSA-N 1 2 321.377 1.178 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](CCO)[C@@H]2CCO[C@@H]2C)c(C#N)c1C ZINC000331184280 411004167 /nfs/dbraw/zinc/00/41/67/411004167.db2.gz TVLXFMHUORLXIW-TZMCWYRMSA-N 1 2 321.377 1.178 20 30 DDEDLO C[C@@H](C[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1)N1CCCC[C@H]1C ZINC000360061531 411025426 /nfs/dbraw/zinc/02/54/26/411025426.db2.gz SBRJJZBIPMCERK-JKIFEVAISA-N 1 2 314.433 1.937 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000491220424 416590223 /nfs/dbraw/zinc/59/02/23/416590223.db2.gz NHNBTSIZCYTVCD-AWEZNQCLSA-N 1 2 319.409 1.139 20 30 DDEDLO CC(C)C[C@@H]1C(=O)NCC[N@H+]1CCOc1cccc(C#N)c1 ZINC000189845784 222059393 /nfs/dbraw/zinc/05/93/93/222059393.db2.gz LXZLEKKLLDICNI-MRXNPFEDSA-N 1 2 301.390 1.784 20 30 DDEDLO CC(C)C[C@@H]1C(=O)NCC[N@@H+]1CCOc1cccc(C#N)c1 ZINC000189845784 222059395 /nfs/dbraw/zinc/05/93/95/222059395.db2.gz LXZLEKKLLDICNI-MRXNPFEDSA-N 1 2 301.390 1.784 20 30 DDEDLO CCN1CCC[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)CC1=O ZINC000190161808 222070014 /nfs/dbraw/zinc/07/00/14/222070014.db2.gz ZBIDSRJTGVYQPS-AWEZNQCLSA-N 1 2 320.437 1.272 20 30 DDEDLO CCN1CCC[N@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)CC1=O ZINC000190161808 222070017 /nfs/dbraw/zinc/07/00/17/222070017.db2.gz ZBIDSRJTGVYQPS-AWEZNQCLSA-N 1 2 320.437 1.272 20 30 DDEDLO CCN(CC#N)CC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000265405744 222355050 /nfs/dbraw/zinc/35/50/50/222355050.db2.gz RUVJFRPLLYSUER-UHFFFAOYSA-N 1 2 306.435 1.238 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2C[C@H](O)C3(CC3)C2)c(C#N)c1C ZINC000375305987 418626824 /nfs/dbraw/zinc/62/68/24/418626824.db2.gz ATXXSFXJGCYHCU-GWCFXTLKSA-N 1 2 303.362 1.552 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2C[C@H](O)C3(CC3)C2)c(C#N)c1C ZINC000375305987 418626826 /nfs/dbraw/zinc/62/68/26/418626826.db2.gz ATXXSFXJGCYHCU-GWCFXTLKSA-N 1 2 303.362 1.552 20 30 DDEDLO OC[C@@H](O)C[N@@H+](CC#Cc1ccccc1)CCC(F)(F)F ZINC000361825085 418714872 /nfs/dbraw/zinc/71/48/72/418714872.db2.gz ITXHPUJUNQSSMG-AWEZNQCLSA-N 1 2 301.308 1.646 20 30 DDEDLO OC[C@@H](O)C[N@H+](CC#Cc1ccccc1)CCC(F)(F)F ZINC000361825085 418714873 /nfs/dbraw/zinc/71/48/73/418714873.db2.gz ITXHPUJUNQSSMG-AWEZNQCLSA-N 1 2 301.308 1.646 20 30 DDEDLO C#CCCCS(=O)(=O)N[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000376323934 418695582 /nfs/dbraw/zinc/69/55/82/418695582.db2.gz RNUWGVYUYDYHHH-AWEZNQCLSA-N 1 2 307.419 1.383 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1Cc2ccccc2[C@@H](n2cccn2)C1 ZINC000369448995 418734069 /nfs/dbraw/zinc/73/40/69/418734069.db2.gz WXBXYPGOHDOSME-YOEHRIQHSA-N 1 2 310.401 1.979 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1Cc2ccccc2[C@@H](n2cccn2)C1 ZINC000369448995 418734073 /nfs/dbraw/zinc/73/40/73/418734073.db2.gz WXBXYPGOHDOSME-YOEHRIQHSA-N 1 2 310.401 1.979 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1nnc(-c2ccco2)o1 ZINC000361998580 418736788 /nfs/dbraw/zinc/73/67/88/418736788.db2.gz DYUVPAYEUVHEAN-UHFFFAOYSA-N 1 2 306.322 1.403 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1nnc(-c2ccco2)o1 ZINC000361998580 418736790 /nfs/dbraw/zinc/73/67/90/418736790.db2.gz DYUVPAYEUVHEAN-UHFFFAOYSA-N 1 2 306.322 1.403 20 30 DDEDLO COC(=O)c1occc1C[NH+]1CCC(n2cnc(C#N)n2)CC1 ZINC000370122579 418741426 /nfs/dbraw/zinc/74/14/26/418741426.db2.gz NUFBAKUPTLFUFB-UHFFFAOYSA-N 1 2 315.333 1.366 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCO[C@@H](c2ccc(C)cc2C)C1 ZINC000363169299 418763252 /nfs/dbraw/zinc/76/32/52/418763252.db2.gz OBMWYXQNKYLGLL-DOTOQJQBSA-N 1 2 300.402 1.815 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCO[C@@H](c2ccc(C)cc2C)C1 ZINC000363169299 418763253 /nfs/dbraw/zinc/76/32/53/418763253.db2.gz OBMWYXQNKYLGLL-DOTOQJQBSA-N 1 2 300.402 1.815 20 30 DDEDLO N#CCCN(Cc1ccccn1)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000368878136 418726520 /nfs/dbraw/zinc/72/65/20/418726520.db2.gz BWONKZHXSDAYRW-CQSZACIVSA-N 1 2 309.373 1.783 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH+]1CCC(c2nc(C)n[nH]2)CC1 ZINC000371969497 418828060 /nfs/dbraw/zinc/82/80/60/418828060.db2.gz PUUYVYMQAAQJNS-GFCCVEGCSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH+]1CCC(c2n[nH]c(C)n2)CC1 ZINC000371969497 418828062 /nfs/dbraw/zinc/82/80/62/418828062.db2.gz PUUYVYMQAAQJNS-GFCCVEGCSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH+]1CCC(c2nnc(C)[nH]2)CC1 ZINC000371969497 418828064 /nfs/dbraw/zinc/82/80/64/418828064.db2.gz PUUYVYMQAAQJNS-GFCCVEGCSA-N 1 2 318.425 1.301 20 30 DDEDLO Cc1cc(N2CCN(c3cccc(C#N)n3)CC2)c(C#N)c[nH+]1 ZINC000425227959 228395531 /nfs/dbraw/zinc/39/55/31/228395531.db2.gz AFKOEYJNIPLDAS-UHFFFAOYSA-N 1 2 304.357 1.855 20 30 DDEDLO Cc1cc(NCCCN2c3ccccc3OCC2=O)c(C#N)c[nH+]1 ZINC000425236562 228396158 /nfs/dbraw/zinc/39/61/58/228396158.db2.gz QFRXMOKGHVKFEY-UHFFFAOYSA-N 1 2 322.368 1.911 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CC[C@H](NC(C)=O)C2)c1C ZINC000420982227 419411584 /nfs/dbraw/zinc/41/15/84/419411584.db2.gz HAEAWDGIYCLWQZ-HNNXBMFYSA-N 1 2 303.406 1.684 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CC[C@H](NC(C)=O)C2)c1C ZINC000420982227 419411594 /nfs/dbraw/zinc/41/15/94/419411594.db2.gz HAEAWDGIYCLWQZ-HNNXBMFYSA-N 1 2 303.406 1.684 20 30 DDEDLO CC[C@@H]1C[NH+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C[C@@H](CC)O1 ZINC000420950622 419381476 /nfs/dbraw/zinc/38/14/76/419381476.db2.gz GLMBAYVVILPECG-BZUAXINKSA-N 1 2 301.390 1.974 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](C(=O)N(C)C)C1 ZINC000411962270 419527663 /nfs/dbraw/zinc/52/76/63/419527663.db2.gz ZLMBJHAVWNXBLZ-LSDHHAIUSA-N 1 2 315.373 1.182 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](C(=O)N(C)C)C1 ZINC000411962270 419527677 /nfs/dbraw/zinc/52/76/77/419527677.db2.gz ZLMBJHAVWNXBLZ-LSDHHAIUSA-N 1 2 315.373 1.182 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CC[C@H](c2n[nH]c(C)n2)C1 ZINC000412036642 419579674 /nfs/dbraw/zinc/57/96/74/419579674.db2.gz VTMPLNIRXMEWHU-JSGCOSHPSA-N 1 2 303.410 1.492 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC[C@H](c2n[nH]c(C)n2)C1 ZINC000412036642 419579684 /nfs/dbraw/zinc/57/96/84/419579684.db2.gz VTMPLNIRXMEWHU-JSGCOSHPSA-N 1 2 303.410 1.492 20 30 DDEDLO C=CC[N@H+](Cc1ncnn1C)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000427011102 419581791 /nfs/dbraw/zinc/58/17/91/419581791.db2.gz BXHLSGBLBRFNNY-CYBMUJFWSA-N 1 2 324.392 1.540 20 30 DDEDLO C=CC[N@@H+](Cc1ncnn1C)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000427011102 419581799 /nfs/dbraw/zinc/58/17/99/419581799.db2.gz BXHLSGBLBRFNNY-CYBMUJFWSA-N 1 2 324.392 1.540 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NCc1ccc(N2CCCCCC2)[nH+]c1 ZINC000416377288 420348594 /nfs/dbraw/zinc/34/85/94/420348594.db2.gz USZPARYQNQGOKR-CYBMUJFWSA-N 1 2 322.434 1.793 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)C(=O)OCC ZINC000456605604 420512036 /nfs/dbraw/zinc/51/20/36/420512036.db2.gz KRTOIKJGIVHNPR-YPMHNXCESA-N 1 2 305.378 1.844 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@@H]1CCCc2[nH]c[nH+]c21)C(=O)OCC ZINC000456605604 420512038 /nfs/dbraw/zinc/51/20/38/420512038.db2.gz KRTOIKJGIVHNPR-YPMHNXCESA-N 1 2 305.378 1.844 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)/C=C\c2ccc(C#N)cc2)CCO1 ZINC000493323102 420819369 /nfs/dbraw/zinc/81/93/69/420819369.db2.gz OFTLQAPKACJWFX-QWPQOLDESA-N 1 2 313.401 1.798 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)/C=C\c2ccc(C#N)cc2)CCO1 ZINC000493323102 420819373 /nfs/dbraw/zinc/81/93/73/420819373.db2.gz OFTLQAPKACJWFX-QWPQOLDESA-N 1 2 313.401 1.798 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C(=O)[C@@]2(C#N)C[C@@H]2C)CC1 ZINC000448708813 420886333 /nfs/dbraw/zinc/88/63/33/420886333.db2.gz OUVPYYWZJONTCK-MEDUHNTESA-N 1 2 302.378 1.485 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)C(C)(C)Cc2ccc(C#N)cc2)C1 ZINC000455178933 420979656 /nfs/dbraw/zinc/97/96/56/420979656.db2.gz HDOYXPMDCUMBKL-MRXNPFEDSA-N 1 2 315.417 1.574 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)C(C)(C)Cc2ccc(C#N)cc2)C1 ZINC000455178933 420979659 /nfs/dbraw/zinc/97/96/59/420979659.db2.gz HDOYXPMDCUMBKL-MRXNPFEDSA-N 1 2 315.417 1.574 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NCCCCNc1cccc[nH+]1 ZINC000455417057 421010175 /nfs/dbraw/zinc/01/01/75/421010175.db2.gz RNVSAOORZAFXPM-KGLIPLIRSA-N 1 2 304.394 1.916 20 30 DDEDLO Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)[C@]2(C#N)C[C@@H]2C)CC1 ZINC000449867626 421101916 /nfs/dbraw/zinc/10/19/16/421101916.db2.gz HZLDEKIFCXIKNU-SJCJKPOMSA-N 1 2 315.421 1.231 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1CC(=O)Nc1cccc(C(=O)OC)n1 ZINC000489462859 421158284 /nfs/dbraw/zinc/15/82/84/421158284.db2.gz LFPHXUDPNQXXRV-LBPRGKRZSA-N 1 2 303.362 1.847 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1CC(=O)Nc1cccc(C(=O)OC)n1 ZINC000489462859 421158287 /nfs/dbraw/zinc/15/82/87/421158287.db2.gz LFPHXUDPNQXXRV-LBPRGKRZSA-N 1 2 303.362 1.847 20 30 DDEDLO C=CCCCS(=O)(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000489884600 421177665 /nfs/dbraw/zinc/17/76/65/421177665.db2.gz JZXCKGLUVSNEOG-KBPBESRZSA-N 1 2 302.440 1.077 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCC[N@@H+](C)CC2(C#N)CCC2)cc1 ZINC000450454524 421200023 /nfs/dbraw/zinc/20/00/23/421200023.db2.gz LOQKAQFAMQXGAG-UHFFFAOYSA-N 1 2 321.446 1.899 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCC[N@H+](C)CC2(C#N)CCC2)cc1 ZINC000450454524 421200025 /nfs/dbraw/zinc/20/00/25/421200025.db2.gz LOQKAQFAMQXGAG-UHFFFAOYSA-N 1 2 321.446 1.899 20 30 DDEDLO Cn1nccc1C1=CC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000560478298 421270905 /nfs/dbraw/zinc/27/09/05/421270905.db2.gz JMQXXBXBPMMEEK-KRWDZBQOSA-N 1 2 321.384 1.607 20 30 DDEDLO Cn1nccc1C1=CC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000560478298 421270908 /nfs/dbraw/zinc/27/09/08/421270908.db2.gz JMQXXBXBPMMEEK-KRWDZBQOSA-N 1 2 321.384 1.607 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2ccc(C(F)(F)F)c(C#N)n2)CCO1 ZINC000562532700 421378439 /nfs/dbraw/zinc/37/84/39/421378439.db2.gz JNDLSZBRKXPVDE-JTQLQIEISA-N 1 2 314.311 2.105 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2ccc(C(F)(F)F)c(C#N)n2)CCO1 ZINC000562532700 421378441 /nfs/dbraw/zinc/37/84/41/421378441.db2.gz JNDLSZBRKXPVDE-JTQLQIEISA-N 1 2 314.311 2.105 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c(F)cccc1C#N)[NH+]1CCOCC1 ZINC000505387875 421416443 /nfs/dbraw/zinc/41/64/43/421416443.db2.gz IUYBMPWWNNDTFY-LBPRGKRZSA-N 1 2 305.353 1.077 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000528793021 421518804 /nfs/dbraw/zinc/51/88/04/421518804.db2.gz WOHBJKZUIHWTET-CQSZACIVSA-N 1 2 304.394 1.744 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000528793021 421518807 /nfs/dbraw/zinc/51/88/07/421518807.db2.gz WOHBJKZUIHWTET-CQSZACIVSA-N 1 2 304.394 1.744 20 30 DDEDLO C[C@@H]1CCN(C(=O)Cc2ccc(C#N)nc2)C[C@H]1n1cc[nH+]c1 ZINC000528826297 421519200 /nfs/dbraw/zinc/51/92/00/421519200.db2.gz JKRCSBABQHEZMQ-CZUORRHYSA-N 1 2 309.373 1.802 20 30 DDEDLO N#Cc1ccc(CC(=O)NCc2[nH+]ccn2CC(F)(F)F)cn1 ZINC000528398676 421485195 /nfs/dbraw/zinc/48/51/95/421485195.db2.gz WHTISKANBMPVLK-UHFFFAOYSA-N 1 2 323.278 1.571 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCc1nnc(C2CCCC2)o1 ZINC000515352671 421495249 /nfs/dbraw/zinc/49/52/49/421495249.db2.gz LFZPTOWJDFYMAM-MRXNPFEDSA-N 1 2 319.409 1.620 20 30 DDEDLO COc1cccc(N2C[C@@H]([NH2+]C[C@@H](C#N)CCC#N)CC2=O)c1 ZINC000552861619 421595980 /nfs/dbraw/zinc/59/59/80/421595980.db2.gz PHXZJFXUUKYXHX-KGLIPLIRSA-N 1 2 312.373 1.834 20 30 DDEDLO CCN1CC[NH+](CC(C)(C)NC(=O)c2ccc(C#N)cn2)CC1 ZINC000563789368 421542315 /nfs/dbraw/zinc/54/23/15/421542315.db2.gz FRDFSIRGWOPDCK-UHFFFAOYSA-N 1 2 315.421 1.099 20 30 DDEDLO CC[C@@H](CC#N)[NH2+][C@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000570737427 421666475 /nfs/dbraw/zinc/66/64/75/421666475.db2.gz VGDBVEBSALLWTE-NEPJUHHUSA-N 1 2 323.418 1.699 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)Cn1nc2c(cc1=O)Cc1ccccc1-2 ZINC000570211232 421631938 /nfs/dbraw/zinc/63/19/38/421631938.db2.gz AGHCTPCFPBGMKV-HNNXBMFYSA-N 1 2 324.384 1.021 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)Cn1nc2c(cc1=O)Cc1ccccc1-2 ZINC000570211232 421631940 /nfs/dbraw/zinc/63/19/40/421631940.db2.gz AGHCTPCFPBGMKV-HNNXBMFYSA-N 1 2 324.384 1.021 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnn(-c2ccccc2F)c1 ZINC000570932567 421676960 /nfs/dbraw/zinc/67/69/60/421676960.db2.gz JWUJVRGRBBTWHL-INIZCTEOSA-N 1 2 315.352 1.585 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCSC[C@@H]2CCO)c([N+](=O)[O-])c1 ZINC000581192798 421917848 /nfs/dbraw/zinc/91/78/48/421917848.db2.gz HBUXFCNXNLKRDY-ZDUSSCGKSA-N 1 2 307.375 1.766 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCSC[C@@H]2CCO)c([N+](=O)[O-])c1 ZINC000581192798 421917851 /nfs/dbraw/zinc/91/78/51/421917851.db2.gz HBUXFCNXNLKRDY-ZDUSSCGKSA-N 1 2 307.375 1.766 20 30 DDEDLO CNC(=O)[C@H]1CCCC[N@@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000581254056 421935604 /nfs/dbraw/zinc/93/56/04/421935604.db2.gz GEZXVVHAEBLQNG-CYBMUJFWSA-N 1 2 302.334 1.567 20 30 DDEDLO CNC(=O)[C@H]1CCCC[N@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000581254056 421935607 /nfs/dbraw/zinc/93/56/07/421935607.db2.gz GEZXVVHAEBLQNG-CYBMUJFWSA-N 1 2 302.334 1.567 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H]1[C@H](C(=O)OC(C)(C)C)C1(C)C ZINC000573687525 421999466 /nfs/dbraw/zinc/99/94/66/421999466.db2.gz AOYWORXZEFNRTR-JKDFXYPNSA-N 1 2 323.437 1.560 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)COc1ccsc1Cl ZINC000583503219 422175174 /nfs/dbraw/zinc/17/51/74/422175174.db2.gz UGPRLJIBMJFJQG-LBPRGKRZSA-N 1 2 301.799 1.740 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccnn1CC(F)(F)F ZINC000575926112 422349029 /nfs/dbraw/zinc/34/90/29/422349029.db2.gz MPQLCDYLATXLPB-NSHDSACASA-N 1 2 303.288 1.019 20 30 DDEDLO C[C@H](NC(=O)CC1CCCCC1)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000576403773 422374167 /nfs/dbraw/zinc/37/41/67/422374167.db2.gz YFAYUSGDAFMWKK-SUMWQHHRSA-N 1 2 322.453 1.422 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc([C@@H]2CCOC2)cc1 ZINC000576865727 422377593 /nfs/dbraw/zinc/37/75/93/422377593.db2.gz KQVSIGQXWTZNSH-NVXWUHKLSA-N 1 2 303.406 1.809 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc([C@@H]2CCOC2)cc1 ZINC000576865727 422377596 /nfs/dbraw/zinc/37/75/96/422377596.db2.gz KQVSIGQXWTZNSH-NVXWUHKLSA-N 1 2 303.406 1.809 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635765586 422323460 /nfs/dbraw/zinc/32/34/60/422323460.db2.gz HEEXUEVGJGTOHQ-DOTOQJQBSA-N 1 2 318.417 1.570 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635765586 422323464 /nfs/dbraw/zinc/32/34/64/422323464.db2.gz HEEXUEVGJGTOHQ-DOTOQJQBSA-N 1 2 318.417 1.570 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(C)cc2F)CC1 ZINC000637880544 422442883 /nfs/dbraw/zinc/44/28/83/422442883.db2.gz QKUOKSNRZYLMSV-UHFFFAOYSA-N 1 2 305.397 1.554 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(C)cc2F)CC1 ZINC000637880544 422442887 /nfs/dbraw/zinc/44/28/87/422442887.db2.gz QKUOKSNRZYLMSV-UHFFFAOYSA-N 1 2 305.397 1.554 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000634508629 422463405 /nfs/dbraw/zinc/46/34/05/422463405.db2.gz GKWXEGJRBMRDQZ-UHFFFAOYSA-N 1 2 302.422 1.766 20 30 DDEDLO CCc1nnc(C[N@H+](C)CCCc2[nH]nc(N)c2C#N)s1 ZINC000612108006 422480623 /nfs/dbraw/zinc/48/06/23/422480623.db2.gz YHISTNWZYLPJHN-UHFFFAOYSA-N 1 2 305.411 1.342 20 30 DDEDLO CCc1nnc(C[N@@H+](C)CCCc2[nH]nc(N)c2C#N)s1 ZINC000612108006 422480627 /nfs/dbraw/zinc/48/06/27/422480627.db2.gz YHISTNWZYLPJHN-UHFFFAOYSA-N 1 2 305.411 1.342 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000635988879 422543379 /nfs/dbraw/zinc/54/33/79/422543379.db2.gz KUJQBDHVHFBEKI-OUAUKWLOSA-N 1 2 303.284 1.836 20 30 DDEDLO C=C1CC(C)(C(=O)Nc2ccc3c(c2)C[N@@H+](CC(N)=O)CC3)C1 ZINC000632794092 422585570 /nfs/dbraw/zinc/58/55/70/422585570.db2.gz HGNCJKWFZZZZOE-UHFFFAOYSA-N 1 2 313.401 1.825 20 30 DDEDLO C=C1CC(C)(C(=O)Nc2ccc3c(c2)C[N@H+](CC(N)=O)CC3)C1 ZINC000632794092 422585573 /nfs/dbraw/zinc/58/55/73/422585573.db2.gz HGNCJKWFZZZZOE-UHFFFAOYSA-N 1 2 313.401 1.825 20 30 DDEDLO C=CCCn1cc(C[NH2+]C[C@@H](n2cncn2)C(F)(F)F)nn1 ZINC000631210800 422604953 /nfs/dbraw/zinc/60/49/53/422604953.db2.gz ZSDRYJRIBJYAOK-LLVKDONJSA-N 1 2 315.303 1.339 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N2CCCC[C@@H]2CC)nn1 ZINC000640817660 423211532 /nfs/dbraw/zinc/21/15/32/423211532.db2.gz OHLAZBQGISTLTE-ZBFHGGJFSA-N 1 2 317.437 1.743 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)NCc2cccs2)nn1 ZINC000640904723 423266513 /nfs/dbraw/zinc/26/65/13/423266513.db2.gz LBWZCJQFANPUHO-LBPRGKRZSA-N 1 2 317.418 1.330 20 30 DDEDLO C=CCCOCCNS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000641396935 423608306 /nfs/dbraw/zinc/60/83/06/423608306.db2.gz BJKRCCVPKKQJNZ-UHFFFAOYSA-N 1 2 324.446 1.546 20 30 DDEDLO C=CCCOCCNS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000641396935 423608309 /nfs/dbraw/zinc/60/83/09/423608309.db2.gz BJKRCCVPKKQJNZ-UHFFFAOYSA-N 1 2 324.446 1.546 20 30 DDEDLO C=CCCNC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000663436995 423825810 /nfs/dbraw/zinc/82/58/10/423825810.db2.gz JNKXIQPFPDMJFR-INIZCTEOSA-N 1 2 303.406 1.763 20 30 DDEDLO C=CCCNC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000663436995 423825826 /nfs/dbraw/zinc/82/58/26/423825826.db2.gz JNKXIQPFPDMJFR-INIZCTEOSA-N 1 2 303.406 1.763 20 30 DDEDLO C=CCN(C(=O)NCC(C)(C)[NH+]1CCOCC1)[C@@H](C)COC ZINC000663441254 423835287 /nfs/dbraw/zinc/83/52/87/423835287.db2.gz WYZQSHZIZIGNJV-AWEZNQCLSA-N 1 2 313.442 1.330 20 30 DDEDLO C=CCCNC(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000661565032 423899260 /nfs/dbraw/zinc/89/92/60/423899260.db2.gz ICIOQSVZKLLLRH-KRWDZBQOSA-N 1 2 316.449 1.678 20 30 DDEDLO C=CCCNC(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000661565032 423899273 /nfs/dbraw/zinc/89/92/73/423899273.db2.gz ICIOQSVZKLLLRH-KRWDZBQOSA-N 1 2 316.449 1.678 20 30 DDEDLO CC#CC[C@@H](CO)Nc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000664056753 424365964 /nfs/dbraw/zinc/36/59/64/424365964.db2.gz SJQREPKJXTZPMR-ZDUSSCGKSA-N 1 2 304.394 1.014 20 30 DDEDLO CC#CC[C@@H](CO)Nc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000664056753 424365969 /nfs/dbraw/zinc/36/59/69/424365969.db2.gz SJQREPKJXTZPMR-ZDUSSCGKSA-N 1 2 304.394 1.014 20 30 DDEDLO C=CC[N@H+](CCOCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000660069806 424500256 /nfs/dbraw/zinc/50/02/56/424500256.db2.gz LCBLUTIRKSDAKS-INIZCTEOSA-N 1 2 309.431 1.878 20 30 DDEDLO C=CC[N@@H+](CCOCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000660069806 424500261 /nfs/dbraw/zinc/50/02/61/424500261.db2.gz LCBLUTIRKSDAKS-INIZCTEOSA-N 1 2 309.431 1.878 20 30 DDEDLO C=CCCn1cc(Cn2c3ccccc3[nH+]c2NCCO)nn1 ZINC000655660221 424616279 /nfs/dbraw/zinc/61/62/79/424616279.db2.gz PKYOAESXUZACCN-UHFFFAOYSA-N 1 2 312.377 1.656 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2c1nc(NCCO)[nH+]c(NC(C)C)n1 ZINC000664571054 424644277 /nfs/dbraw/zinc/64/42/77/424644277.db2.gz DMKILBNDCQFZSM-BETUJISGSA-N 1 2 318.425 1.784 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2c1nc(NC(C)C)nc(NCCO)[nH+]1 ZINC000664571054 424644281 /nfs/dbraw/zinc/64/42/81/424644281.db2.gz DMKILBNDCQFZSM-BETUJISGSA-N 1 2 318.425 1.784 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2c1nc(NCCO)nc(NC(C)C)[nH+]1 ZINC000664571054 424644286 /nfs/dbraw/zinc/64/42/86/424644286.db2.gz DMKILBNDCQFZSM-BETUJISGSA-N 1 2 318.425 1.784 20 30 DDEDLO CCSCC[N@@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000363057302 266344602 /nfs/dbraw/zinc/34/46/02/266344602.db2.gz BZNZVQWNVAYRBW-UHFFFAOYSA-N 1 2 303.431 1.956 20 30 DDEDLO CCSCC[N@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000363057302 266344609 /nfs/dbraw/zinc/34/46/09/266344609.db2.gz BZNZVQWNVAYRBW-UHFFFAOYSA-N 1 2 303.431 1.956 20 30 DDEDLO C[C@H](C(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1)c1cccc(C#N)c1 ZINC000360191318 267127509 /nfs/dbraw/zinc/12/75/09/267127509.db2.gz ZKCGFCMKRGGYSE-AWEZNQCLSA-N 1 2 323.400 1.729 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCC[C@H]2c2cc[nH]n2)c1 ZINC000375671174 268250200 /nfs/dbraw/zinc/25/02/00/268250200.db2.gz FNKZELHTBSKHTL-INIZCTEOSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCC[C@H]2c2cc[nH]n2)c1 ZINC000375671174 268250201 /nfs/dbraw/zinc/25/02/01/268250201.db2.gz FNKZELHTBSKHTL-INIZCTEOSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@@H+]1CCc2nccnc2C1 ZINC000528561896 268297426 /nfs/dbraw/zinc/29/74/26/268297426.db2.gz USZBQQZFLOMRCN-AWEZNQCLSA-N 1 2 310.357 1.146 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@H+]1CCc2nccnc2C1 ZINC000528561896 268297428 /nfs/dbraw/zinc/29/74/28/268297428.db2.gz USZBQQZFLOMRCN-AWEZNQCLSA-N 1 2 310.357 1.146 20 30 DDEDLO N#Cc1ccsc1C(=O)NCC1([NH+]2CCOCC2)CCCC1 ZINC000363638562 268302853 /nfs/dbraw/zinc/30/28/53/268302853.db2.gz JLXPMLQHGGWXTR-UHFFFAOYSA-N 1 2 319.430 1.995 20 30 DDEDLO N#Cc1csc(C(=O)N[C@@H]2CCCC[C@@H]2[NH+]2CCOCC2)c1 ZINC000371985430 268319158 /nfs/dbraw/zinc/31/91/58/268319158.db2.gz FYHOUOUROIUWHY-KGLIPLIRSA-N 1 2 319.430 1.993 20 30 DDEDLO C#CCNC(=O)C1CC[NH+]([C@@H](C(=O)OC)c2ccccc2)CC1 ZINC000491067629 277688682 /nfs/dbraw/zinc/68/86/82/277688682.db2.gz JLMHXNIJUCKBIL-MRXNPFEDSA-N 1 2 314.385 1.362 20 30 DDEDLO CCNC(=O)NC(=O)[C@H](C)[N@@H+]1C[C@H](O)C[C@H]1c1ccc(F)cc1 ZINC000330464955 278169429 /nfs/dbraw/zinc/16/94/29/278169429.db2.gz PLSMOGIQNZSDIG-GDLCADMTSA-N 1 2 323.368 1.372 20 30 DDEDLO CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@H](O)C[C@H]1c1ccc(F)cc1 ZINC000330464955 278169430 /nfs/dbraw/zinc/16/94/30/278169430.db2.gz PLSMOGIQNZSDIG-GDLCADMTSA-N 1 2 323.368 1.372 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC000369315788 280102381 /nfs/dbraw/zinc/10/23/81/280102381.db2.gz WWBMHOYHZLNXJU-GOEBONIOSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC000369315788 280102382 /nfs/dbraw/zinc/10/23/82/280102382.db2.gz WWBMHOYHZLNXJU-GOEBONIOSA-N 1 2 323.437 1.311 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000060480812 280912329 /nfs/dbraw/zinc/91/23/29/280912329.db2.gz PEZXPCABJASYFP-CQSZACIVSA-N 1 2 315.421 1.380 20 30 DDEDLO [O-]C(=[NH+][C@H]1CCn2cc[nH+]c2C1)N1CCOCC2(CCC2)C1 ZINC000330276557 295387863 /nfs/dbraw/zinc/38/78/63/295387863.db2.gz NBNUPJQUGILYPV-ZDUSSCGKSA-N 1 2 304.394 1.614 20 30 DDEDLO Cc1cc(S(=O)(=O)N2C[C@H](C)[N@@H+](C)C[C@H]2C)ccc1C#N ZINC000443877860 301395122 /nfs/dbraw/zinc/39/51/22/301395122.db2.gz OLXDHUZTKLTASZ-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(S(=O)(=O)N2C[C@H](C)[N@H+](C)C[C@H]2C)ccc1C#N ZINC000443877860 301395123 /nfs/dbraw/zinc/39/51/23/301395123.db2.gz OLXDHUZTKLTASZ-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO N#C[C@H]1N(CC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CCC[C@@]12CCCCO2 ZINC000447919113 303172194 /nfs/dbraw/zinc/17/21/94/303172194.db2.gz UEJJUHIWEWCYKW-XDNAFOTISA-N 1 2 319.449 1.777 20 30 DDEDLO N#C[C@H]1N(CC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CCC[C@@]12CCCCO2 ZINC000447919113 303172196 /nfs/dbraw/zinc/17/21/96/303172196.db2.gz UEJJUHIWEWCYKW-XDNAFOTISA-N 1 2 319.449 1.777 20 30 DDEDLO N#Cc1cccc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)c1 ZINC000110953568 303203167 /nfs/dbraw/zinc/20/31/67/303203167.db2.gz ZQJLARHVVFNUEN-KRWDZBQOSA-N 1 2 313.401 1.885 20 30 DDEDLO CCN1CCN(c2ncc(C#N)cc2F)C[C@H]1c1[nH]cc[nH+]1 ZINC000562727376 307942930 /nfs/dbraw/zinc/94/29/30/307942930.db2.gz MKYOXKNYBRXMHS-ZDUSSCGKSA-N 1 2 300.341 1.699 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)n1 ZINC000571321579 308194117 /nfs/dbraw/zinc/19/41/17/308194117.db2.gz FBGBGEITDRTGFB-AWEZNQCLSA-N 1 2 315.377 1.282 20 30 DDEDLO CC[N@H+](Cc1cccc(C(=O)OC)c1C#N)[C@H]1CCNC1=O ZINC000577455093 308388801 /nfs/dbraw/zinc/38/88/01/308388801.db2.gz VVKIMYNHFRPQRM-AWEZNQCLSA-N 1 2 301.346 1.055 20 30 DDEDLO CC[N@@H+](Cc1cccc(C(=O)OC)c1C#N)[C@H]1CCNC1=O ZINC000577455093 308388802 /nfs/dbraw/zinc/38/88/02/308388802.db2.gz VVKIMYNHFRPQRM-AWEZNQCLSA-N 1 2 301.346 1.055 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC3(CC2)CCS(=O)(=O)CC3)nc1 ZINC000578761854 308483728 /nfs/dbraw/zinc/48/37/28/308483728.db2.gz RSMLYXKXLVVYNE-UHFFFAOYSA-N 1 2 319.430 1.744 20 30 DDEDLO Cc1c(C(=O)N[C@](C)(C#N)C[NH+](C)C)cnn1CCC(C)C ZINC000569367951 332064302 /nfs/dbraw/zinc/06/43/02/332064302.db2.gz UUUKNERDCXVBBX-MRXNPFEDSA-N 1 2 305.426 1.811 20 30 DDEDLO CC(=O)Nc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)ccc1F ZINC000584295190 332223706 /nfs/dbraw/zinc/22/37/06/332223706.db2.gz UOUNRUMQADTGDG-HNNXBMFYSA-N 1 2 306.341 1.358 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N(C)[C@H](C)C[NH+]1CCOCC1 ZINC000560565450 332360416 /nfs/dbraw/zinc/36/04/16/332360416.db2.gz HZEMGJLOFHWULG-KFWWJZLASA-N 1 2 311.426 1.082 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccccc1SCC#N)[C@@H]1CCNC1=O ZINC000340221259 334151137 /nfs/dbraw/zinc/15/11/37/334151137.db2.gz OVXSCYPKCBFZBJ-GFCCVEGCSA-N 1 2 318.402 1.061 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccccc1SCC#N)[C@@H]1CCNC1=O ZINC000340221259 334151138 /nfs/dbraw/zinc/15/11/38/334151138.db2.gz OVXSCYPKCBFZBJ-GFCCVEGCSA-N 1 2 318.402 1.061 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NCCCCn1cc[nH+]c1 ZINC000582339301 336934132 /nfs/dbraw/zinc/93/41/32/336934132.db2.gz YJCCVJKJTXYQLL-CABCVRRESA-N 1 2 306.410 1.944 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[NH+]1CCN(CC2(C#N)CC2)CC1 ZINC000582503599 337099254 /nfs/dbraw/zinc/09/92/54/337099254.db2.gz QZAKKOBINATMIN-CQSZACIVSA-N 1 2 304.438 1.355 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCCC[C@H]1COC(=O)NC1CCCC1 ZINC000496725873 340011374 /nfs/dbraw/zinc/01/13/74/340011374.db2.gz GABJZFHYIYHEGC-AWEZNQCLSA-N 1 2 322.409 1.149 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCCC[C@H]1COC(=O)NC1CCCC1 ZINC000496725873 340011375 /nfs/dbraw/zinc/01/13/75/340011375.db2.gz GABJZFHYIYHEGC-AWEZNQCLSA-N 1 2 322.409 1.149 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)OC[C@@H]2Cc2ccccc2)CC1 ZINC000131034833 341056769 /nfs/dbraw/zinc/05/67/69/341056769.db2.gz JCRXVFAWAIKRNZ-KRWDZBQOSA-N 1 2 313.401 1.258 20 30 DDEDLO CC#CCCNC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000564330167 341230541 /nfs/dbraw/zinc/23/05/41/341230541.db2.gz HDRYQOJUZDEHFB-UHFFFAOYSA-N 1 2 322.430 1.165 20 30 DDEDLO N#CCC[C@@H](C#N)C[NH2+][C@H]1CCN(c2ccccc2F)C1=O ZINC000554551456 341457425 /nfs/dbraw/zinc/45/74/25/341457425.db2.gz HXPAJMXZILCCHT-JSGCOSHPSA-N 1 2 300.337 1.964 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C ZINC000331186486 533719051 /nfs/dbraw/zinc/71/90/51/533719051.db2.gz OUJCVJQQFBGLMV-AWEZNQCLSA-N 1 2 301.394 1.438 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)N1CCC[C@@H](O)C1)C1CCOCC1 ZINC000329363218 484421215 /nfs/dbraw/zinc/42/12/15/484421215.db2.gz ZKHJSGAOBARXJC-ZIAGYGMSSA-N 1 2 322.409 1.259 20 30 DDEDLO C=CCOCCNC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000679480362 485898338 /nfs/dbraw/zinc/89/83/38/485898338.db2.gz SFIMQLHNBWLFBF-UHFFFAOYSA-N 1 2 304.394 1.684 20 30 DDEDLO CCC#C[C@H](C)[NH+]1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000677047098 486385981 /nfs/dbraw/zinc/38/59/81/486385981.db2.gz RXRVHQZSFLQPDT-KRWDZBQOSA-N 1 2 305.466 1.809 20 30 DDEDLO CCC#C[C@H](C)N1CC[NH+](CC(=O)N2CCCCCC2)CC1 ZINC000677047098 486385983 /nfs/dbraw/zinc/38/59/83/486385983.db2.gz RXRVHQZSFLQPDT-KRWDZBQOSA-N 1 2 305.466 1.809 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NC[C@H]1CN(C)CC[N@H+]1C ZINC000331186486 533719063 /nfs/dbraw/zinc/71/90/63/533719063.db2.gz OUJCVJQQFBGLMV-AWEZNQCLSA-N 1 2 301.394 1.438 20 30 DDEDLO C[C@]1(C(N)=O)CCN(C(=O)NCC2([NH+]3CCOCC3)CC2)C1 ZINC000329999375 534162426 /nfs/dbraw/zinc/16/24/26/534162426.db2.gz UQPJGJRWEZCBQU-AWEZNQCLSA-N 1 2 310.398 1.012 20 30 DDEDLO Cc1ccn(C2CC[NH+]([C@@H](C(N)=O)c3ccc(C#N)cc3)CC2)n1 ZINC000434497409 534321899 /nfs/dbraw/zinc/32/18/99/534321899.db2.gz NJUCZIXBRYRHLW-QGZVFWFLSA-N 1 2 323.400 1.927 20 30 DDEDLO Cc1nc(CC[N@@H+](C)Cc2c(C#N)cccc2[N+](=O)[O-])no1 ZINC000459358943 534325513 /nfs/dbraw/zinc/32/55/13/534325513.db2.gz LPTOFQZZOUXSGD-UHFFFAOYSA-N 1 2 301.306 1.832 20 30 DDEDLO Cc1nc(CC[N@H+](C)Cc2c(C#N)cccc2[N+](=O)[O-])no1 ZINC000459358943 534325516 /nfs/dbraw/zinc/32/55/16/534325516.db2.gz LPTOFQZZOUXSGD-UHFFFAOYSA-N 1 2 301.306 1.832 20 30 DDEDLO Cc1nc(N2CCC[C@@H](C(=O)NCC3CC3)C2)nc(N(C)C)[nH+]1 ZINC000330057979 534435789 /nfs/dbraw/zinc/43/57/89/534435789.db2.gz YIOPJFGMZVWHOF-CYBMUJFWSA-N 1 2 318.425 1.829 20 30 DDEDLO Cc1nc(N(C)C)nc(N2CCC[C@@H](C(=O)NCC3CC3)C2)[nH+]1 ZINC000330057979 534435795 /nfs/dbraw/zinc/43/57/95/534435795.db2.gz YIOPJFGMZVWHOF-CYBMUJFWSA-N 1 2 318.425 1.829 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1C[C@@H](C)[C@H](CO)C1)CCC2 ZINC000330055215 534511619 /nfs/dbraw/zinc/51/16/19/534511619.db2.gz TZZZURAYHNQOGU-XBFCOCLRSA-N 1 2 306.410 1.543 20 30 DDEDLO CC(C)(C)N1C[C@H](C(=O)NCC2([NH+]3CCOCC3)CC2)CC1=O ZINC000329873033 526295951 /nfs/dbraw/zinc/29/59/51/526295951.db2.gz BVSHPKLKDPSXNK-CYBMUJFWSA-N 1 2 323.437 1.455 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(C(=O)C(C)(C)C#N)C1 ZINC000331271718 526371557 /nfs/dbraw/zinc/37/15/57/526371557.db2.gz LQWPQQGCUBRBOY-MRXNPFEDSA-N 1 2 309.410 1.458 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000330959939 526400883 /nfs/dbraw/zinc/40/08/83/526400883.db2.gz XLDIEJWWYPPPOO-CHWSQXEVSA-N 1 2 301.350 1.342 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000330959939 526400888 /nfs/dbraw/zinc/40/08/88/526400888.db2.gz XLDIEJWWYPPPOO-CHWSQXEVSA-N 1 2 301.350 1.342 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000424709877 526430987 /nfs/dbraw/zinc/43/09/87/526430987.db2.gz HELQUUIBOYJHMW-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(C(=O)OC)cc2F)C1=O ZINC000337201572 526470408 /nfs/dbraw/zinc/47/04/08/526470408.db2.gz DDTQIDQCEQYDQO-OAHLLOKOSA-N 1 2 320.364 1.831 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(C(=O)OC)cc2F)C1=O ZINC000337201572 526470410 /nfs/dbraw/zinc/47/04/10/526470410.db2.gz DDTQIDQCEQYDQO-OAHLLOKOSA-N 1 2 320.364 1.831 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2nc(C)sc2C)CC1 ZINC000443671407 526539495 /nfs/dbraw/zinc/53/94/95/526539495.db2.gz BDSRGDLAXZJKKP-UHFFFAOYSA-N 1 2 308.451 1.180 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)NCc2ccc(N(C)C)[nH+]c2)C1 ZINC000330392844 526845343 /nfs/dbraw/zinc/84/53/43/526845343.db2.gz BODYBSWOIMXBPF-CQSZACIVSA-N 1 2 319.409 1.162 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCc1cccc(COC(C)C)c1 ZINC000490832037 526846059 /nfs/dbraw/zinc/84/60/59/526846059.db2.gz YQJZQTOQIPVVBF-UHFFFAOYSA-N 1 2 312.413 1.796 20 30 DDEDLO C#CC[N@@H+](CC[C@@H](O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000491180859 526901091 /nfs/dbraw/zinc/90/10/91/526901091.db2.gz ODRAENLYTBGUQY-JKSUJKDBSA-N 1 2 307.415 1.232 20 30 DDEDLO C#CC[N@H+](CC[C@@H](O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000491180859 526901092 /nfs/dbraw/zinc/90/10/92/526901092.db2.gz ODRAENLYTBGUQY-JKSUJKDBSA-N 1 2 307.415 1.232 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(F)c([C@H](C)O)c2)CC1 ZINC000491776599 526951294 /nfs/dbraw/zinc/95/12/94/526951294.db2.gz WFXFPIZLTGTWGR-ZDUSSCGKSA-N 1 2 319.380 1.068 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3OCC[C@@H]3C)n2C(C)C)CC1 ZINC000491819147 526957169 /nfs/dbraw/zinc/95/71/69/526957169.db2.gz MSMGZPSJBZDVJL-LSDHHAIUSA-N 1 2 317.437 1.712 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@]2(C)CCC[C@H]2C)CC1 ZINC000491566432 526997588 /nfs/dbraw/zinc/99/75/88/526997588.db2.gz GGLVOWPGSSOXTO-CRAIPNDOSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@]2(C)CCC[C@H]2C)CC1 ZINC000491566432 526997592 /nfs/dbraw/zinc/99/75/92/526997592.db2.gz GGLVOWPGSSOXTO-CRAIPNDOSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCc2ccc(Br)cc2C1 ZINC000491164722 527022003 /nfs/dbraw/zinc/02/20/03/527022003.db2.gz DZOIVXKUKJFOIU-LLVKDONJSA-N 1 2 321.218 1.945 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCc2ccc(Br)cc2C1 ZINC000491164722 527022006 /nfs/dbraw/zinc/02/20/06/527022006.db2.gz DZOIVXKUKJFOIU-LLVKDONJSA-N 1 2 321.218 1.945 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1cccc(C#N)c1 ZINC000414412364 527086968 /nfs/dbraw/zinc/08/69/68/527086968.db2.gz RLHVMKOVIGDEHW-UHFFFAOYSA-N 1 2 302.378 1.791 20 30 DDEDLO CC(=O)c1ccc(C#N)c(NC[C@@](C)(O)C[NH+]2CCOCC2)c1 ZINC000302025688 527226115 /nfs/dbraw/zinc/22/61/15/527226115.db2.gz KMSXHBKZKVXBPY-QGZVFWFLSA-N 1 2 317.389 1.256 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000491680698 527236922 /nfs/dbraw/zinc/23/69/22/527236922.db2.gz JQVISKZVVAHMJT-KBPBESRZSA-N 1 2 321.446 1.832 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)[C@@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000491616687 527305635 /nfs/dbraw/zinc/30/56/35/527305635.db2.gz AGGBCZDCLJRSCD-CHWSQXEVSA-N 1 2 301.390 1.499 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)[C@@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000491616687 527305639 /nfs/dbraw/zinc/30/56/39/527305639.db2.gz AGGBCZDCLJRSCD-CHWSQXEVSA-N 1 2 301.390 1.499 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)Cn1cc(C(=O)OCC)c(C(F)(F)F)n1 ZINC000491676521 527334373 /nfs/dbraw/zinc/33/43/73/527334373.db2.gz OFBGAJUOBULYBI-VIFPVBQESA-N 1 2 303.284 1.990 20 30 DDEDLO C#C[C@H](C)[N@H+](C)Cn1cc(C(=O)OCC)c(C(F)(F)F)n1 ZINC000491676521 527334380 /nfs/dbraw/zinc/33/43/80/527334380.db2.gz OFBGAJUOBULYBI-VIFPVBQESA-N 1 2 303.284 1.990 20 30 DDEDLO CCCC(=O)N1CCC[C@@H]1C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000330334231 527893695 /nfs/dbraw/zinc/89/36/95/527893695.db2.gz YOSFICADYSOWDV-QWHCGFSZSA-N 1 2 304.394 1.946 20 30 DDEDLO CCC[C@@]1(C(=O)OCC)CCC[N@@H+]1CC(=O)NC1(C#N)CCC1 ZINC000347120104 527916657 /nfs/dbraw/zinc/91/66/57/527916657.db2.gz KLLCPACIOMKPTQ-KRWDZBQOSA-N 1 2 321.421 1.747 20 30 DDEDLO CCC[C@@]1(C(=O)OCC)CCC[N@H+]1CC(=O)NC1(C#N)CCC1 ZINC000347120104 527916661 /nfs/dbraw/zinc/91/66/61/527916661.db2.gz KLLCPACIOMKPTQ-KRWDZBQOSA-N 1 2 321.421 1.747 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)CN(C)CC[N@@H+]1CCOC(C)(C)C1 ZINC000434705074 528689204 /nfs/dbraw/zinc/68/92/04/528689204.db2.gz HGNQBKXECPTBTF-OAHLLOKOSA-N 1 2 324.469 1.037 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)CN(C)CC[N@H+]1CCOC(C)(C)C1 ZINC000434705074 528689209 /nfs/dbraw/zinc/68/92/09/528689209.db2.gz HGNQBKXECPTBTF-OAHLLOKOSA-N 1 2 324.469 1.037 20 30 DDEDLO CCc1cccc(C)c1NC(=O)C[N@@H+]1CC[C@@H](NC(=O)NC)C1 ZINC000330954813 529156947 /nfs/dbraw/zinc/15/69/47/529156947.db2.gz STMFOUFRCUDFRL-CQSZACIVSA-N 1 2 318.421 1.704 20 30 DDEDLO CCc1cccc(C)c1NC(=O)C[N@H+]1CC[C@@H](NC(=O)NC)C1 ZINC000330954813 529156950 /nfs/dbraw/zinc/15/69/50/529156950.db2.gz STMFOUFRCUDFRL-CQSZACIVSA-N 1 2 318.421 1.704 20 30 DDEDLO C[C@H](CN(C)C(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001120839567 782176506 /nfs/dbraw/zinc/17/65/06/782176506.db2.gz XYLJUWFOKJESRH-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO C[C@H](CN(C)C(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001120839567 782176511 /nfs/dbraw/zinc/17/65/11/782176511.db2.gz XYLJUWFOKJESRH-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC([NH2+]CCCC(=O)[O-])CC2)cc1 ZINC000821329984 598191753 /nfs/dbraw/zinc/19/17/53/598191753.db2.gz RZFKSLZFPLAEQJ-UHFFFAOYSA-N 1 2 301.390 1.977 20 30 DDEDLO C[C@H]1C[N@H+](C)CC1N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC000820751904 606461113 /nfs/dbraw/zinc/46/11/13/606461113.db2.gz IUUXOFUZVYMRTC-QMMMGPOBSA-N 1 2 318.385 1.502 20 30 DDEDLO C[C@H]1C[N@@H+](C)CC1N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC000820751904 606461114 /nfs/dbraw/zinc/46/11/14/606461114.db2.gz IUUXOFUZVYMRTC-QMMMGPOBSA-N 1 2 318.385 1.502 20 30 DDEDLO C[C@H](c1ccc(F)c(F)c1)N(C)C(=O)CO[NH+]=C(N)CCO ZINC000121345730 696709014 /nfs/dbraw/zinc/70/90/14/696709014.db2.gz QUXYZXLBWWPSPK-SECBINFHSA-N 1 2 315.320 1.155 20 30 DDEDLO CC[N@@H+]1CCCC[C@H]1C(=O)O[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000745087751 699971483 /nfs/dbraw/zinc/97/14/83/699971483.db2.gz RQVSMOWXIBXOGO-LSDHHAIUSA-N 1 2 315.373 1.502 20 30 DDEDLO CC[N@H+]1CCCC[C@H]1C(=O)O[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000745087751 699971484 /nfs/dbraw/zinc/97/14/84/699971484.db2.gz RQVSMOWXIBXOGO-LSDHHAIUSA-N 1 2 315.373 1.502 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)/C=C\c3ccco3)C2)C1 ZINC000972232039 695183963 /nfs/dbraw/zinc/18/39/63/695183963.db2.gz ZBGIRECHNKJQST-MJRGOJFPSA-N 1 2 314.385 1.619 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)/C=C\c3ccco3)C2)C1 ZINC000972232039 695183964 /nfs/dbraw/zinc/18/39/64/695183964.db2.gz ZBGIRECHNKJQST-MJRGOJFPSA-N 1 2 314.385 1.619 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(F)cncc3F)C2)C1 ZINC000972400574 695240294 /nfs/dbraw/zinc/24/02/94/695240294.db2.gz YHJIYUHRWPFAGS-MRXNPFEDSA-N 1 2 323.343 1.463 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(F)cncc3F)C2)C1 ZINC000972400574 695240296 /nfs/dbraw/zinc/24/02/96/695240296.db2.gz YHJIYUHRWPFAGS-MRXNPFEDSA-N 1 2 323.343 1.463 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(Cl)n(C)n3)C2)C1 ZINC000972459647 695256229 /nfs/dbraw/zinc/25/62/29/695256229.db2.gz YBQKGVXFPSHBNP-OAHLLOKOSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(Cl)n(C)n3)C2)C1 ZINC000972459647 695256231 /nfs/dbraw/zinc/25/62/31/695256231.db2.gz YBQKGVXFPSHBNP-OAHLLOKOSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@]34CCC[C@H]3OCC4)C2)C1 ZINC000972461234 695256288 /nfs/dbraw/zinc/25/62/88/695256288.db2.gz PMBBHFLCYCVAJK-NXHRZFHOSA-N 1 2 320.433 1.435 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@]34CCC[C@H]3OCC4)C2)C1 ZINC000972461234 695256289 /nfs/dbraw/zinc/25/62/89/695256289.db2.gz PMBBHFLCYCVAJK-NXHRZFHOSA-N 1 2 320.433 1.435 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(C)cncc3C)C2)C1 ZINC000972463781 695257031 /nfs/dbraw/zinc/25/70/31/695257031.db2.gz XHZSMCVGQAUGEA-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(C)cncc3C)C2)C1 ZINC000972463781 695257032 /nfs/dbraw/zinc/25/70/32/695257032.db2.gz XHZSMCVGQAUGEA-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3CCC)C2)C1 ZINC000972510860 695268488 /nfs/dbraw/zinc/26/84/88/695268488.db2.gz FJYDNZNGXQWMKL-SFHVURJKSA-N 1 2 315.417 1.517 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3CCC)C2)C1 ZINC000972510860 695268490 /nfs/dbraw/zinc/26/84/90/695268490.db2.gz FJYDNZNGXQWMKL-SFHVURJKSA-N 1 2 315.417 1.517 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ncccc3F)C2)C1 ZINC000972519402 695271620 /nfs/dbraw/zinc/27/16/20/695271620.db2.gz TWMVHQBMYRIVCX-KRWDZBQOSA-N 1 2 319.380 1.253 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ncccc3F)C2)C1 ZINC000972519402 695271621 /nfs/dbraw/zinc/27/16/21/695271621.db2.gz TWMVHQBMYRIVCX-KRWDZBQOSA-N 1 2 319.380 1.253 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3=CCOCC3)C2)C1 ZINC000972536869 695275410 /nfs/dbraw/zinc/27/54/10/695275410.db2.gz BUUJIGYKJYEODN-KRWDZBQOSA-N 1 2 306.406 1.213 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3=CCOCC3)C2)C1 ZINC000972536869 695275414 /nfs/dbraw/zinc/27/54/14/695275414.db2.gz BUUJIGYKJYEODN-KRWDZBQOSA-N 1 2 306.406 1.213 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C(C)(F)F)C2)C1 ZINC000972556403 695282136 /nfs/dbraw/zinc/28/21/36/695282136.db2.gz GJFHZMFWSXFKNX-SWLSCSKDSA-N 1 2 300.349 1.214 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C(C)(F)F)C2)C1 ZINC000972556403 695282137 /nfs/dbraw/zinc/28/21/37/695282137.db2.gz GJFHZMFWSXFKNX-SWLSCSKDSA-N 1 2 300.349 1.214 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)C2)C1 ZINC000972563481 695284291 /nfs/dbraw/zinc/28/42/91/695284291.db2.gz WJCZXLSTKSEOPH-PNBKFKSVSA-N 1 2 306.406 1.043 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)C2)C1 ZINC000972563481 695284292 /nfs/dbraw/zinc/28/42/92/695284292.db2.gz WJCZXLSTKSEOPH-PNBKFKSVSA-N 1 2 306.406 1.043 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C(C)C)no3)C2)C1 ZINC000972583265 695290306 /nfs/dbraw/zinc/29/03/06/695290306.db2.gz RTUWITVAVZEHCG-QGZVFWFLSA-N 1 2 319.405 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C(C)C)no3)C2)C1 ZINC000972583265 695290307 /nfs/dbraw/zinc/29/03/07/695290307.db2.gz RTUWITVAVZEHCG-QGZVFWFLSA-N 1 2 319.405 1.901 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(Cl)c3)C2)C1 ZINC000972651715 695309557 /nfs/dbraw/zinc/30/95/57/695309557.db2.gz XQIRIXRKGAZLCW-KRWDZBQOSA-N 1 2 318.804 1.890 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(Cl)c3)C2)C1 ZINC000972651715 695309558 /nfs/dbraw/zinc/30/95/58/695309558.db2.gz XQIRIXRKGAZLCW-KRWDZBQOSA-N 1 2 318.804 1.890 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3cnn(C)c3)C2)C1 ZINC000972702814 695320103 /nfs/dbraw/zinc/32/01/03/695320103.db2.gz MYLSZWYCBZFEBL-RHSMWYFYSA-N 1 2 318.421 1.013 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3cnn(C)c3)C2)C1 ZINC000972702814 695320104 /nfs/dbraw/zinc/32/01/04/695320104.db2.gz MYLSZWYCBZFEBL-RHSMWYFYSA-N 1 2 318.421 1.013 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@H]2CCCOC2)C(C)(C)C1 ZINC000972850862 695342303 /nfs/dbraw/zinc/34/23/03/695342303.db2.gz BKESDXXKVZSBGV-OLZOCXBDSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@H]2CCCOC2)C(C)(C)C1 ZINC000972850862 695342306 /nfs/dbraw/zinc/34/23/06/695342306.db2.gz BKESDXXKVZSBGV-OLZOCXBDSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2nnc(C)[nH]2)C(C)(C)C1 ZINC000974598638 695693356 /nfs/dbraw/zinc/69/33/56/695693356.db2.gz REAIYMGKSFVFHZ-LLVKDONJSA-N 1 2 311.817 1.235 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2nnc(C)[nH]2)C(C)(C)C1 ZINC000974598638 695693358 /nfs/dbraw/zinc/69/33/58/695693358.db2.gz REAIYMGKSFVFHZ-LLVKDONJSA-N 1 2 311.817 1.235 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C[C@@H]2CCNC2=O)C(C)(C)C1 ZINC000974662869 695706716 /nfs/dbraw/zinc/70/67/16/695706716.db2.gz BBZWWZZMFOGWIY-RYUDHWBXSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C[C@@H]2CCNC2=O)C(C)(C)C1 ZINC000974662869 695706717 /nfs/dbraw/zinc/70/67/17/695706717.db2.gz BBZWWZZMFOGWIY-RYUDHWBXSA-N 1 2 313.829 1.092 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CN(CC#N)CC2(C)C)c[nH+]1 ZINC000974726493 695719599 /nfs/dbraw/zinc/71/95/99/695719599.db2.gz BFKBWMMCINYHIF-CYBMUJFWSA-N 1 2 303.410 1.277 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2c(C)nc[nH]c2=O)C(C)(C)C1 ZINC000974939474 695762940 /nfs/dbraw/zinc/76/29/40/695762940.db2.gz CTAGUHSBVFRBHR-LLVKDONJSA-N 1 2 324.812 1.683 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2c(C)nc[nH]c2=O)C(C)(C)C1 ZINC000974939474 695762942 /nfs/dbraw/zinc/76/29/42/695762942.db2.gz CTAGUHSBVFRBHR-LLVKDONJSA-N 1 2 324.812 1.683 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@@H+](Cc3cnn(C)c3)CC2)c1 ZINC000798168592 700046037 /nfs/dbraw/zinc/04/60/37/700046037.db2.gz LCRCQGCZNNFXOE-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[N@H+](Cc3cnn(C)c3)CC2)c1 ZINC000798168592 700046041 /nfs/dbraw/zinc/04/60/41/700046041.db2.gz LCRCQGCZNNFXOE-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO COc1cccc(C(C#N)NC(=O)C[N@H+](C)C(C)C)c1OC ZINC000070037238 696387392 /nfs/dbraw/zinc/38/73/92/696387392.db2.gz NTZNIIFMSAQVCV-CYBMUJFWSA-N 1 2 305.378 1.725 20 30 DDEDLO COc1cccc(C(C#N)NC(=O)C[N@@H+](C)C(C)C)c1OC ZINC000070037238 696387394 /nfs/dbraw/zinc/38/73/94/696387394.db2.gz NTZNIIFMSAQVCV-CYBMUJFWSA-N 1 2 305.378 1.725 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)/C=C(\C)Cc2ccccc2OC)CC1 ZINC000106927617 696628571 /nfs/dbraw/zinc/62/85/71/696628571.db2.gz CPTVXBUYGAIRFR-FOCLMDBBSA-N 1 2 312.413 1.961 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)CCc2cncn2C)C1 ZINC000980294562 696732503 /nfs/dbraw/zinc/73/25/03/696732503.db2.gz FGFZXJLUHNCOPD-AWEZNQCLSA-N 1 2 310.829 1.638 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)CCc2cncn2C)C1 ZINC000980294562 696732505 /nfs/dbraw/zinc/73/25/05/696732505.db2.gz FGFZXJLUHNCOPD-AWEZNQCLSA-N 1 2 310.829 1.638 20 30 DDEDLO C#CC[N@H+]1CCCC2(CN(C(=O)c3cccc4n[nH]cc43)C2)C1 ZINC000981927819 696972686 /nfs/dbraw/zinc/97/26/86/696972686.db2.gz OBVQYJSREZKAMK-UHFFFAOYSA-N 1 2 308.385 1.734 20 30 DDEDLO C#CC[N@@H+]1CCCC2(CN(C(=O)c3cccc4n[nH]cc43)C2)C1 ZINC000981927819 696972688 /nfs/dbraw/zinc/97/26/88/696972688.db2.gz OBVQYJSREZKAMK-UHFFFAOYSA-N 1 2 308.385 1.734 20 30 DDEDLO CON(C)C(=O)CC[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000799148844 700123252 /nfs/dbraw/zinc/12/32/52/700123252.db2.gz UQXVYWYLZKHVTG-HNNXBMFYSA-N 1 2 303.362 1.341 20 30 DDEDLO CON(C)C(=O)CC[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000799148844 700123253 /nfs/dbraw/zinc/12/32/53/700123253.db2.gz UQXVYWYLZKHVTG-HNNXBMFYSA-N 1 2 303.362 1.341 20 30 DDEDLO C#CCC[N@H+]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000981165341 697024122 /nfs/dbraw/zinc/02/41/22/697024122.db2.gz SZBAFBRKRRCRSS-CQSZACIVSA-N 1 2 300.406 1.387 20 30 DDEDLO C#CCC[N@@H+]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000981165341 697024124 /nfs/dbraw/zinc/02/41/24/697024124.db2.gz SZBAFBRKRRCRSS-CQSZACIVSA-N 1 2 300.406 1.387 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1C[C@@]2(C)CN(CC#N)C[C@@]2(C)C1 ZINC000982772456 697178105 /nfs/dbraw/zinc/17/81/05/697178105.db2.gz LBRTUNXLDDCSOX-CALCHBBNSA-N 1 2 315.421 1.047 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+](Cc2ccc(C)o2)C2CC2)CC1 ZINC000748814219 700136160 /nfs/dbraw/zinc/13/61/60/700136160.db2.gz WSAOYYBHKVSVNL-UHFFFAOYSA-N 1 2 315.417 1.330 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+](Cc2ccc(C)o2)C2CC2)CC1 ZINC000748814219 700136163 /nfs/dbraw/zinc/13/61/63/700136163.db2.gz WSAOYYBHKVSVNL-UHFFFAOYSA-N 1 2 315.417 1.330 20 30 DDEDLO C#CCOCCC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000159667840 697311522 /nfs/dbraw/zinc/31/15/22/697311522.db2.gz KADXINREJQPFPG-UHFFFAOYSA-N 1 2 302.374 1.497 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)N2CCN(C(=O)/C(C)=C\C)CC2)CC1 ZINC000985827880 697580302 /nfs/dbraw/zinc/58/03/02/697580302.db2.gz CRLBYCMNGJLUIF-WCSRMQSCSA-N 1 2 320.437 1.020 20 30 DDEDLO CC(C)(C#N)CS(=O)(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000777817326 698224465 /nfs/dbraw/zinc/22/44/65/698224465.db2.gz HVPSAEHOBDSERY-UHFFFAOYSA-N 1 2 322.434 1.651 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)NCC[NH+]2Cc3ccccc3C2)cc1 ZINC000780907705 698570555 /nfs/dbraw/zinc/57/05/55/698570555.db2.gz VVRJSKYXBCTBRW-SFHVURJKSA-N 1 2 321.380 1.724 20 30 DDEDLO CCNC(=O)CO[NH+]=C(N)c1ccc(Br)cc1 ZINC000782949022 698787875 /nfs/dbraw/zinc/78/78/75/698787875.db2.gz PCHRLYAVAYZSFO-UHFFFAOYSA-N 1 2 300.156 1.222 20 30 DDEDLO COc1ccc2[nH]cc(C=NNC3=[NH+]C[C@H](C)N3)c2c1[N+](=O)[O-] ZINC000785460202 699078432 /nfs/dbraw/zinc/07/84/32/699078432.db2.gz AIAOMWNBXXPYAS-QMMMGPOBSA-N 1 2 316.321 1.356 20 30 DDEDLO CC(C)(C#N)CS(=O)(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000790042765 699436634 /nfs/dbraw/zinc/43/66/34/699436634.db2.gz UOHJCJMJZJZVGV-GFCCVEGCSA-N 1 2 308.407 1.129 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(NC(=O)NCC)c1 ZINC000730098464 699500964 /nfs/dbraw/zinc/50/09/64/699500964.db2.gz GRQMWCMGUFQNDF-HNNXBMFYSA-N 1 2 314.389 1.864 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(NC(=O)NCC)c1 ZINC000730098464 699500966 /nfs/dbraw/zinc/50/09/66/699500966.db2.gz GRQMWCMGUFQNDF-HNNXBMFYSA-N 1 2 314.389 1.864 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(NC(C)=O)c(OC)c1 ZINC000730106264 699501806 /nfs/dbraw/zinc/50/18/06/699501806.db2.gz WLDXNKHGWQQUQT-HNNXBMFYSA-N 1 2 315.373 1.690 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(NC(C)=O)c(OC)c1 ZINC000730106264 699501808 /nfs/dbraw/zinc/50/18/08/699501808.db2.gz WLDXNKHGWQQUQT-HNNXBMFYSA-N 1 2 315.373 1.690 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000730270825 699505585 /nfs/dbraw/zinc/50/55/85/699505585.db2.gz GKOLMSXVKRHTQV-AWEZNQCLSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000730270825 699505586 /nfs/dbraw/zinc/50/55/86/699505586.db2.gz GKOLMSXVKRHTQV-AWEZNQCLSA-N 1 2 321.327 1.117 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[NH2+][C@@H](C)c1nnnn1C1CC1 ZINC000792470338 699696835 /nfs/dbraw/zinc/69/68/35/699696835.db2.gz QVHCTJALBQVQJD-ZIBATOQPSA-N 1 2 319.413 1.102 20 30 DDEDLO Cc1cnc([C@@H](C)[NH+]2CCN(c3ccc(C#N)nc3)CC2)cn1 ZINC000794530699 699814567 /nfs/dbraw/zinc/81/45/67/699814567.db2.gz VKJMFBSQUMEYEB-CQSZACIVSA-N 1 2 308.389 1.935 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CCOc2cccc(F)c2C1 ZINC000801133221 700270722 /nfs/dbraw/zinc/27/07/22/700270722.db2.gz CBUAONJKWSMONK-HNNXBMFYSA-N 1 2 302.349 1.644 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCOc2cccc(F)c2C1 ZINC000801133221 700270725 /nfs/dbraw/zinc/27/07/25/700270725.db2.gz CBUAONJKWSMONK-HNNXBMFYSA-N 1 2 302.349 1.644 20 30 DDEDLO C[C@@H](C#N)OCCOC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000801843169 700345130 /nfs/dbraw/zinc/34/51/30/700345130.db2.gz ZSSZKXSDILBBPK-HOCLYGCPSA-N 1 2 318.373 1.359 20 30 DDEDLO C[C@@H](C#N)OCCOC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000801843169 700345134 /nfs/dbraw/zinc/34/51/34/700345134.db2.gz ZSSZKXSDILBBPK-HOCLYGCPSA-N 1 2 318.373 1.359 20 30 DDEDLO CC(C)(O)CCON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000754280057 700494427 /nfs/dbraw/zinc/49/44/27/700494427.db2.gz ICLNDPDXBPVYMR-UHFFFAOYSA-N 1 2 321.421 1.317 20 30 DDEDLO CCC1(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)COC1 ZINC000755064402 700547679 /nfs/dbraw/zinc/54/76/79/700547679.db2.gz REGLVISIFYBHHL-UHFFFAOYSA-N 1 2 313.401 1.629 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C(CC)(CC)CC)CC1 ZINC000760539824 700813282 /nfs/dbraw/zinc/81/32/82/700813282.db2.gz IEFICKWLFZIIPL-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C(CC)(CC)CC)CC1 ZINC000760539824 700813284 /nfs/dbraw/zinc/81/32/84/700813284.db2.gz IEFICKWLFZIIPL-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(c2ncc(Cl)s2)CC1 ZINC000765031077 700995616 /nfs/dbraw/zinc/99/56/16/700995616.db2.gz NFNMQZFKUCMSSR-UHFFFAOYSA-N 1 2 312.826 1.058 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(c2ncc(Cl)s2)CC1 ZINC000765031077 700995619 /nfs/dbraw/zinc/99/56/19/700995619.db2.gz NFNMQZFKUCMSSR-UHFFFAOYSA-N 1 2 312.826 1.058 20 30 DDEDLO O=[N+]([O-])c1ccccc1S(=O)(=O)NN=C1CC[N@@H+]2CCC[C@H]12 ZINC000765580144 701019693 /nfs/dbraw/zinc/01/96/93/701019693.db2.gz HIQOHMNJWOCKLZ-LLVKDONJSA-N 1 2 324.362 1.097 20 30 DDEDLO O=[N+]([O-])c1ccccc1S(=O)(=O)NN=C1CC[N@H+]2CCC[C@H]12 ZINC000765580144 701019695 /nfs/dbraw/zinc/01/96/95/701019695.db2.gz HIQOHMNJWOCKLZ-LLVKDONJSA-N 1 2 324.362 1.097 20 30 DDEDLO COC(=O)[C@]1(C)CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766633115 701057758 /nfs/dbraw/zinc/05/77/58/701057758.db2.gz OWNVPMXQTCMSGU-QGZVFWFLSA-N 1 2 315.373 1.051 20 30 DDEDLO COC(=O)[C@]1(C)CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766633115 701057759 /nfs/dbraw/zinc/05/77/59/701057759.db2.gz OWNVPMXQTCMSGU-QGZVFWFLSA-N 1 2 315.373 1.051 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1ccccc1C(=O)NC(C)C ZINC000769962004 701262272 /nfs/dbraw/zinc/26/22/72/701262272.db2.gz OGAQWTAWPBADFH-CYBMUJFWSA-N 1 2 301.390 1.717 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1ccccc1C(=O)NC(C)C ZINC000769962004 701262273 /nfs/dbraw/zinc/26/22/73/701262273.db2.gz OGAQWTAWPBADFH-CYBMUJFWSA-N 1 2 301.390 1.717 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2ccc(C#N)cc2)CC1 ZINC000772108300 701343598 /nfs/dbraw/zinc/34/35/98/701343598.db2.gz AAYBJEDPGYVHRH-UHFFFAOYSA-N 1 2 310.353 1.629 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)OC(=O)[C@H]1C[N@H+](CC)CCO1 ZINC000805469298 701383670 /nfs/dbraw/zinc/38/36/70/701383670.db2.gz DPKPMGRMUDXRRP-UONOGXRCSA-N 1 2 312.410 1.063 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)OC(=O)[C@H]1C[N@@H+](CC)CCO1 ZINC000805469298 701383672 /nfs/dbraw/zinc/38/36/72/701383672.db2.gz DPKPMGRMUDXRRP-UONOGXRCSA-N 1 2 312.410 1.063 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCc2ccc(OC)c(C#N)c2)C1 ZINC000805476371 701384587 /nfs/dbraw/zinc/38/45/87/701384587.db2.gz NNFYASQKGSMRPU-HNNXBMFYSA-N 1 2 304.346 1.331 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCc2ccc(OC)c(C#N)c2)C1 ZINC000805476371 701384589 /nfs/dbraw/zinc/38/45/89/701384589.db2.gz NNFYASQKGSMRPU-HNNXBMFYSA-N 1 2 304.346 1.331 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)CC[N@@H+]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000807435595 701476879 /nfs/dbraw/zinc/47/68/79/701476879.db2.gz UDZRIKVUQFJCJE-OKILXGFUSA-N 1 2 301.390 1.244 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)CC[N@H+]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000807435595 701476881 /nfs/dbraw/zinc/47/68/81/701476881.db2.gz UDZRIKVUQFJCJE-OKILXGFUSA-N 1 2 301.390 1.244 20 30 DDEDLO C#CCOc1cc(F)ccc1NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000866076344 706612164 /nfs/dbraw/zinc/61/21/64/706612164.db2.gz ORSDRLCRBVTOCV-ARJAWSKDSA-N 1 2 318.348 1.665 20 30 DDEDLO C=CCN(CCC#N)C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000815676499 701911565 /nfs/dbraw/zinc/91/15/65/701911565.db2.gz UAKGBAGHCQPVBN-UHFFFAOYSA-N 1 2 315.377 1.323 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@H](C)O[C@@]3(CCO[C@@H](C)C3)C2)C1=O ZINC000840065203 701947967 /nfs/dbraw/zinc/94/79/67/701947967.db2.gz QRCTUDYBJNVHHJ-YQQAZPJKSA-N 1 2 323.437 1.526 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@H](C)O[C@@]3(CCO[C@@H](C)C3)C2)C1=O ZINC000840065203 701947971 /nfs/dbraw/zinc/94/79/71/701947971.db2.gz QRCTUDYBJNVHHJ-YQQAZPJKSA-N 1 2 323.437 1.526 20 30 DDEDLO C#CCn1cc(CNC(=O)c2cccc(Cn3cc[nH+]c3)c2)cn1 ZINC000816371250 702075626 /nfs/dbraw/zinc/07/56/26/702075626.db2.gz XERAETXJBXZSMQ-UHFFFAOYSA-N 1 2 319.368 1.691 20 30 DDEDLO C=Cc1cc(OC)c(OC[C@H](O)C[N@H+](C)CCC#N)c(OC)c1 ZINC000840757883 702229864 /nfs/dbraw/zinc/22/98/64/702229864.db2.gz AXKOEKJNFVCYPA-CQSZACIVSA-N 1 2 320.389 1.932 20 30 DDEDLO C=Cc1cc(OC)c(OC[C@H](O)C[N@@H+](C)CCC#N)c(OC)c1 ZINC000840757883 702229870 /nfs/dbraw/zinc/22/98/70/702229870.db2.gz AXKOEKJNFVCYPA-CQSZACIVSA-N 1 2 320.389 1.932 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000840759471 702230910 /nfs/dbraw/zinc/23/09/10/702230910.db2.gz XASUTBMENJQTQH-LLVKDONJSA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000840759471 702230912 /nfs/dbraw/zinc/23/09/12/702230912.db2.gz XASUTBMENJQTQH-LLVKDONJSA-N 1 2 313.741 1.833 20 30 DDEDLO C=CCN(C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C(C)C ZINC000813440044 702348210 /nfs/dbraw/zinc/34/82/10/702348210.db2.gz HFBSSLAABOPDEL-HNNXBMFYSA-N 1 2 320.437 1.906 20 30 DDEDLO C=CCN(C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C(C)C ZINC000813440044 702348218 /nfs/dbraw/zinc/34/82/18/702348218.db2.gz HFBSSLAABOPDEL-HNNXBMFYSA-N 1 2 320.437 1.906 20 30 DDEDLO NC(=NOCc1cccc(C[NH+]2CCOCC2)c1)c1ccco1 ZINC000817380508 702365194 /nfs/dbraw/zinc/36/51/94/702365194.db2.gz WIIBHOXQEPYEMK-UHFFFAOYSA-N 1 2 315.373 1.949 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC(F)(F)[C@@H](C(=O)OCC)C1 ZINC000879371417 706666168 /nfs/dbraw/zinc/66/61/68/706666168.db2.gz RSMAKQGBCBYYSK-WDEREUQCSA-N 1 2 304.337 1.197 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC(F)(F)[C@@H](C(=O)OCC)C1 ZINC000879371417 706666169 /nfs/dbraw/zinc/66/61/69/706666169.db2.gz RSMAKQGBCBYYSK-WDEREUQCSA-N 1 2 304.337 1.197 20 30 DDEDLO C[N@H+](CCc1cccc(C#N)c1)Cc1nnnn1CC(F)(F)F ZINC000879433161 706678795 /nfs/dbraw/zinc/67/87/95/706678795.db2.gz QDZPLMLADYQTIN-UHFFFAOYSA-N 1 2 324.310 1.782 20 30 DDEDLO C[N@@H+](CCc1cccc(C#N)c1)Cc1nnnn1CC(F)(F)F ZINC000879433161 706678798 /nfs/dbraw/zinc/67/87/98/706678798.db2.gz QDZPLMLADYQTIN-UHFFFAOYSA-N 1 2 324.310 1.782 20 30 DDEDLO COc1ccc(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)cc1C#N ZINC000844139028 702976782 /nfs/dbraw/zinc/97/67/82/702976782.db2.gz UNUVVJAVCGJGDI-ZDUSSCGKSA-N 1 2 310.357 1.642 20 30 DDEDLO C[C@H](CC(=O)OCC(=O)Nc1cccc(C#N)c1)n1cc[nH+]c1 ZINC000845279085 703138102 /nfs/dbraw/zinc/13/81/02/703138102.db2.gz LDWBDNZSDQDPLJ-GFCCVEGCSA-N 1 2 312.329 1.888 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)c1[nH+]cnc2c1cnn2C ZINC000845612676 703182177 /nfs/dbraw/zinc/18/21/77/703182177.db2.gz XYRYQKZMPMJMBJ-AWEZNQCLSA-N 1 2 308.345 1.405 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000848239171 703530474 /nfs/dbraw/zinc/53/04/74/703530474.db2.gz BLKGYNRNYBQWRE-MLGOLLRUSA-N 1 2 312.373 1.652 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000848239171 703530475 /nfs/dbraw/zinc/53/04/75/703530475.db2.gz BLKGYNRNYBQWRE-MLGOLLRUSA-N 1 2 312.373 1.652 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000870156036 703936430 /nfs/dbraw/zinc/93/64/30/703936430.db2.gz HHEWTRJBWMJFGR-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852331854 704014294 /nfs/dbraw/zinc/01/42/94/704014294.db2.gz MMJJTIIWBYTDFC-SJLPKXTDSA-N 1 2 314.433 1.659 20 30 DDEDLO CO[C@@H]1Cc2ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc2C1 ZINC000819469827 704130729 /nfs/dbraw/zinc/13/07/29/704130729.db2.gz KPDXLDWIZZXIQW-IAGOWNOFSA-N 1 2 303.406 1.418 20 30 DDEDLO CO[C@@H]1Cc2ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc2C1 ZINC000819469827 704130730 /nfs/dbraw/zinc/13/07/30/704130730.db2.gz KPDXLDWIZZXIQW-IAGOWNOFSA-N 1 2 303.406 1.418 20 30 DDEDLO Cc1[nH+]cc(C=NNC(=S)NCCc2ccccn2)n1C ZINC000853299890 704231231 /nfs/dbraw/zinc/23/12/31/704231231.db2.gz YONVPOCNSCIVDH-UHFFFAOYSA-N 1 2 302.407 1.164 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCCC1CS(=O)(=O)C1 ZINC000853522392 704258267 /nfs/dbraw/zinc/25/82/67/704258267.db2.gz MNKAKQXOBOBPDF-UHFFFAOYSA-N 1 2 308.403 1.720 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCCc2ccc(C#N)cc2)CCO1 ZINC000853604611 704283648 /nfs/dbraw/zinc/28/36/48/704283648.db2.gz GLIHXTXYVMUIMH-CQSZACIVSA-N 1 2 316.405 1.121 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCCc2ccc(C#N)cc2)CCO1 ZINC000853604611 704283650 /nfs/dbraw/zinc/28/36/50/704283650.db2.gz GLIHXTXYVMUIMH-CQSZACIVSA-N 1 2 316.405 1.121 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C(C)(C)[C@H]1c1cnn(C)c1 ZINC000853621362 704288121 /nfs/dbraw/zinc/28/81/21/704288121.db2.gz ZYUQMBJOJMHRMQ-OAHLLOKOSA-N 1 2 318.421 1.259 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C(C)(C)[C@H]1c1cnn(C)c1 ZINC000853621362 704288122 /nfs/dbraw/zinc/28/81/22/704288122.db2.gz ZYUQMBJOJMHRMQ-OAHLLOKOSA-N 1 2 318.421 1.259 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1C[C@H](C)OC[C@@H]1C)c1ccccc1 ZINC000820584813 704304733 /nfs/dbraw/zinc/30/47/33/704304733.db2.gz SUHRRJRMNOVCND-ZOBUZTSGSA-N 1 2 315.417 1.769 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1C[C@H](C)OC[C@@H]1C)c1ccccc1 ZINC000820584813 704304736 /nfs/dbraw/zinc/30/47/36/704304736.db2.gz SUHRRJRMNOVCND-ZOBUZTSGSA-N 1 2 315.417 1.769 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000858857955 704765030 /nfs/dbraw/zinc/76/50/30/704765030.db2.gz UOLVMMQDTKTEAD-HUUCEWRRSA-N 1 2 318.421 1.526 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000858857955 704765032 /nfs/dbraw/zinc/76/50/32/704765032.db2.gz UOLVMMQDTKTEAD-HUUCEWRRSA-N 1 2 318.421 1.526 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000859019583 704785448 /nfs/dbraw/zinc/78/54/48/704785448.db2.gz UNOGSCUWWSCIIL-CABCVRRESA-N 1 2 318.421 1.738 20 30 DDEDLO C[C@@H]1CC[C@H](CO)[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000880438854 706976748 /nfs/dbraw/zinc/97/67/48/706976748.db2.gz BUKDJSRXAGJXOL-TZMCWYRMSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@@H]1CC[C@H](CO)[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000880438854 706976749 /nfs/dbraw/zinc/97/67/49/706976749.db2.gz BUKDJSRXAGJXOL-TZMCWYRMSA-N 1 2 308.403 1.177 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@H+](CCO)C[C@@H]1C ZINC000832764873 706978534 /nfs/dbraw/zinc/97/85/34/706978534.db2.gz QHYSEVMSQAYXOC-AWEZNQCLSA-N 1 2 306.472 1.285 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@@H+](CCO)C[C@@H]1C ZINC000832764873 706978536 /nfs/dbraw/zinc/97/85/36/706978536.db2.gz QHYSEVMSQAYXOC-AWEZNQCLSA-N 1 2 306.472 1.285 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CCN(C2CC2)[C@@H](C#N)C1)n1cc[nH+]c1 ZINC000874460499 705075922 /nfs/dbraw/zinc/07/59/22/705075922.db2.gz IWHFAXAGCLCJFS-HOTGVXAUSA-N 1 2 315.421 1.669 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1cccc(F)c1C#N ZINC000874705361 705138660 /nfs/dbraw/zinc/13/86/60/705138660.db2.gz CPPHORDDWREAAX-LBPRGKRZSA-N 1 2 305.353 1.538 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1cccc(F)c1C#N ZINC000874705361 705138661 /nfs/dbraw/zinc/13/86/61/705138661.db2.gz CPPHORDDWREAAX-LBPRGKRZSA-N 1 2 305.353 1.538 20 30 DDEDLO COCC[N@H+](CCC(=O)OC)Cc1cc(C#N)cnc1Cl ZINC000876735712 705848107 /nfs/dbraw/zinc/84/81/07/705848107.db2.gz CEMQLIYPADMCCA-UHFFFAOYSA-N 1 2 311.769 1.618 20 30 DDEDLO COCC[N@@H+](CCC(=O)OC)Cc1cc(C#N)cnc1Cl ZINC000876735712 705848109 /nfs/dbraw/zinc/84/81/09/705848109.db2.gz CEMQLIYPADMCCA-UHFFFAOYSA-N 1 2 311.769 1.618 20 30 DDEDLO Cc1cccc(-c2n[nH]cc2C[NH+]2CCN(C(=O)CC#N)CC2)c1 ZINC000864049445 706051085 /nfs/dbraw/zinc/05/10/85/706051085.db2.gz SJLREIKQTUKNQT-UHFFFAOYSA-N 1 2 323.400 1.943 20 30 DDEDLO CN(C)c1ncc(C[NH+]2CCN(c3ccc(C#N)cc3)CC2)cn1 ZINC000877745406 706196386 /nfs/dbraw/zinc/19/63/86/706196386.db2.gz SUTSOYABYXAZQV-UHFFFAOYSA-N 1 2 322.416 1.736 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)[C@@H]1CCn3c[nH+]cc3C1)C2 ZINC000829496841 706345363 /nfs/dbraw/zinc/34/53/63/706345363.db2.gz BEVSNUUTPDWTHX-GDBMZVCRSA-N 1 2 306.369 1.601 20 30 DDEDLO C=CC(C)(C)CC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000878719215 706479574 /nfs/dbraw/zinc/47/95/74/706479574.db2.gz TZRQDMRQZWLQIP-UHFFFAOYSA-N 1 2 306.410 1.756 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](C(C)(C)O)C1 ZINC000880147561 706893151 /nfs/dbraw/zinc/89/31/51/706893151.db2.gz XKHGKFMLXWDCBJ-CABCVRRESA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](C(C)(C)O)C1 ZINC000880147561 706893152 /nfs/dbraw/zinc/89/31/52/706893152.db2.gz XKHGKFMLXWDCBJ-CABCVRRESA-N 1 2 302.374 1.865 20 30 DDEDLO N#CCCN(C(=O)C[N@H+]1CC=C[C@H]1CO)c1cccc(Cl)c1 ZINC000880484902 706989712 /nfs/dbraw/zinc/98/97/12/706989712.db2.gz VPEMSASNSBYLMF-HNNXBMFYSA-N 1 2 319.792 1.819 20 30 DDEDLO N#CCCN(C(=O)C[N@@H+]1CC=C[C@H]1CO)c1cccc(Cl)c1 ZINC000880484902 706989713 /nfs/dbraw/zinc/98/97/13/706989713.db2.gz VPEMSASNSBYLMF-HNNXBMFYSA-N 1 2 319.792 1.819 20 30 DDEDLO N#CCc1cccc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)n1 ZINC000881142651 707135281 /nfs/dbraw/zinc/13/52/81/707135281.db2.gz MSIKZQNICTXJKU-AWEZNQCLSA-N 1 2 315.377 1.086 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[NH2+]C[C@H]1C(F)F ZINC000871808010 707212674 /nfs/dbraw/zinc/21/26/74/707212674.db2.gz UKUNOTRMOJRJHK-NSHDSACASA-N 1 2 315.345 1.094 20 30 DDEDLO COCCON=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872356106 707388313 /nfs/dbraw/zinc/38/83/13/707388313.db2.gz ZMMMAZYLWYNDMD-UHFFFAOYSA-N 1 2 308.378 1.395 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000872437672 707410725 /nfs/dbraw/zinc/41/07/25/707410725.db2.gz OCVDOPBWXPVOFY-LBPRGKRZSA-N 1 2 304.375 1.430 20 30 DDEDLO C#CCOc1ccc(CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000836870102 707536461 /nfs/dbraw/zinc/53/64/61/707536461.db2.gz NJTRVYIXHLJIPP-OAHLLOKOSA-N 1 2 309.369 1.774 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000837359648 707629163 /nfs/dbraw/zinc/62/91/63/707629163.db2.gz GJUVCPSWBKVQAP-MRXNPFEDSA-N 1 2 310.463 1.360 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1ccc(OC)cc1 ZINC000884051683 708118202 /nfs/dbraw/zinc/11/82/02/708118202.db2.gz OUDKCYNDMIRVQY-AWEZNQCLSA-N 1 2 306.362 1.100 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CC)c1cccc(OC)c1 ZINC000884088841 708134120 /nfs/dbraw/zinc/13/41/20/708134120.db2.gz WQGQIOVENXZXCA-AWEZNQCLSA-N 1 2 306.362 1.495 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc2c1ccn2C ZINC000884121865 708149136 /nfs/dbraw/zinc/14/91/36/708149136.db2.gz AIEZIUFNHYAOPE-LBPRGKRZSA-N 1 2 301.346 1.563 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(F)cc(F)c1OC ZINC000884126575 708151135 /nfs/dbraw/zinc/15/11/35/708151135.db2.gz DTNAHBREZLEVAC-JTQLQIEISA-N 1 2 314.288 1.359 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)Cc1ccc(C)cc1C ZINC000884130426 708153357 /nfs/dbraw/zinc/15/33/57/708153357.db2.gz KAVKQBYVPOJWDB-HOCLYGCPSA-N 1 2 318.417 1.797 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1COc2ccc(Cl)cc21 ZINC000884165436 708167979 /nfs/dbraw/zinc/16/79/79/708167979.db2.gz HHSPRIRTYQMPJE-NWDGAFQWSA-N 1 2 324.764 1.336 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000884411371 708286003 /nfs/dbraw/zinc/28/60/03/708286003.db2.gz LITRPNTZBRIGHT-KKUMJFAQSA-N 1 2 324.421 1.241 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC([C@H]2CCCCO2)CC1 ZINC000884411575 708286033 /nfs/dbraw/zinc/28/60/33/708286033.db2.gz RITXRCGNYVKARD-LSDHHAIUSA-N 1 2 324.421 1.241 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[N@H+](C[C@H](O)CC2(C#N)CCC2)CCO1 ZINC000886030777 708685145 /nfs/dbraw/zinc/68/51/45/708685145.db2.gz UNCDUZKBPIQDPS-KGLIPLIRSA-N 1 2 324.421 1.474 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[N@@H+](C[C@H](O)CC2(C#N)CCC2)CCO1 ZINC000886030777 708685148 /nfs/dbraw/zinc/68/51/48/708685148.db2.gz UNCDUZKBPIQDPS-KGLIPLIRSA-N 1 2 324.421 1.474 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)Cc2ccc(C#N)nc2)n1 ZINC000927759941 713048212 /nfs/dbraw/zinc/04/82/12/713048212.db2.gz BRSPMPRFTUQNFU-UHFFFAOYSA-N 1 2 315.402 1.330 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC[N@@H+]1CCC2(C1)OCCO2 ZINC000899059132 708968536 /nfs/dbraw/zinc/96/85/36/708968536.db2.gz CZUWIEZNSHBCTM-UHFFFAOYSA-N 1 2 315.373 1.045 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC[N@H+]1CCC2(C1)OCCO2 ZINC000899059132 708968537 /nfs/dbraw/zinc/96/85/37/708968537.db2.gz CZUWIEZNSHBCTM-UHFFFAOYSA-N 1 2 315.373 1.045 20 30 DDEDLO C#CC1(O)CCN(C(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)CC1 ZINC000899121892 708992565 /nfs/dbraw/zinc/99/25/65/708992565.db2.gz JMUMLWDCNGRYGM-LLVKDONJSA-N 1 2 315.295 1.363 20 30 DDEDLO CN(C)c1nc(C(F)(F)F)ccc1C=[NH+]N1CCOCC1 ZINC000901126348 709937010 /nfs/dbraw/zinc/93/70/10/709937010.db2.gz HTAGWYLSSMJHHD-UHFFFAOYSA-N 1 2 302.300 1.833 20 30 DDEDLO CC#CC[NH2+]Cc1cc(C(=O)Nc2ccc3c(c2)OCO3)no1 ZINC000901396606 710029705 /nfs/dbraw/zinc/02/97/05/710029705.db2.gz PSEBFZVEYHENBJ-UHFFFAOYSA-N 1 2 313.313 1.769 20 30 DDEDLO C=CCCC[C@@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C(=O)OC ZINC000928318678 713174416 /nfs/dbraw/zinc/17/44/16/713174416.db2.gz XAKCAFUOSIIKKV-GXTWGEPZSA-N 1 2 305.378 1.460 20 30 DDEDLO C[C@]1(C#N)CN(C(=O)NCC[NH+]2Cc3ccccc3C2)CCO1 ZINC000892438537 710436792 /nfs/dbraw/zinc/43/67/92/710436792.db2.gz KHMDTFQSOJUPRA-KRWDZBQOSA-N 1 2 314.389 1.326 20 30 DDEDLO C=CC[C@@H](CO)C[NH2+]Cc1ncc(Br)cc1O ZINC000893284425 710585576 /nfs/dbraw/zinc/58/55/76/710585576.db2.gz RPTHEJYHLGIZHJ-SECBINFHSA-N 1 2 301.184 1.824 20 30 DDEDLO C#CC1CCN(C(=O)c2cccn2CC[NH+]2CCOCC2)CC1 ZINC000911548507 710847381 /nfs/dbraw/zinc/84/73/81/710847381.db2.gz KPFWDNNWWXBEAZ-UHFFFAOYSA-N 1 2 315.417 1.306 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2nc(-c3ccccc3)no2)C1 ZINC000902728936 710881490 /nfs/dbraw/zinc/88/14/90/710881490.db2.gz COQUSKPBRLSRPD-AWEZNQCLSA-N 1 2 310.357 1.564 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2nc(-c3ccccc3)no2)C1 ZINC000902728936 710881492 /nfs/dbraw/zinc/88/14/92/710881492.db2.gz COQUSKPBRLSRPD-AWEZNQCLSA-N 1 2 310.357 1.564 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](C/C=C\c2cccc(F)c2)CC1 ZINC000893914668 710884177 /nfs/dbraw/zinc/88/41/77/710884177.db2.gz KSYSZOKOXSYRAU-XQRVVYSFSA-N 1 2 317.408 1.759 20 30 DDEDLO C=CC[C@H]1CCCC[C@@H]1[NH2+]Cc1nc(CS(C)(=O)=O)n[nH]1 ZINC000903295831 711092260 /nfs/dbraw/zinc/09/22/60/711092260.db2.gz CAOARDBAAJGSMF-RYUDHWBXSA-N 1 2 312.439 1.574 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)c3ccsc3C#N)CC[NH2+]2)cn1 ZINC000913476087 713232459 /nfs/dbraw/zinc/23/24/59/713232459.db2.gz QLZAOYRUHZBEIT-LBPRGKRZSA-N 1 2 301.375 1.140 20 30 DDEDLO CC[C@H](C#N)N(CC)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000903669862 711241013 /nfs/dbraw/zinc/24/10/13/711241013.db2.gz LVNOPHAMXCLCEC-CQSZACIVSA-N 1 2 321.446 1.987 20 30 DDEDLO CC[C@H](C#N)N(CC)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000903669862 711241015 /nfs/dbraw/zinc/24/10/15/711241015.db2.gz LVNOPHAMXCLCEC-CQSZACIVSA-N 1 2 321.446 1.987 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2ccc(C)cc2)no1 ZINC000904085527 711377288 /nfs/dbraw/zinc/37/72/88/711377288.db2.gz LIOKDKLQOBOCGQ-ZDUSSCGKSA-N 1 2 301.346 1.568 20 30 DDEDLO C[C@@]1(Br)C[C@H]1C[NH+]1CCN(C(=O)CC#N)CC1 ZINC000895072861 711397761 /nfs/dbraw/zinc/39/77/61/711397761.db2.gz BHEWPYHPFAQRRP-CMPLNLGQSA-N 1 2 300.200 1.218 20 30 DDEDLO N#Cc1nc(NCC[N@@H+]2CCC3(C2)OCCO3)sc1Cl ZINC000895252597 711466933 /nfs/dbraw/zinc/46/69/33/711466933.db2.gz QLBZMXLSCYPNGU-UHFFFAOYSA-N 1 2 314.798 1.529 20 30 DDEDLO N#Cc1nc(NCC[N@H+]2CCC3(C2)OCCO3)sc1Cl ZINC000895252597 711466934 /nfs/dbraw/zinc/46/69/34/711466934.db2.gz QLBZMXLSCYPNGU-UHFFFAOYSA-N 1 2 314.798 1.529 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2cn(Cc3cccnc3)nn2)CC1 ZINC000895669863 711573548 /nfs/dbraw/zinc/57/35/48/711573548.db2.gz JINSRBNMAAJSFE-UHFFFAOYSA-N 1 2 311.389 1.072 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)/N=C\c1c(CO)cnc(C)c1O ZINC000905002078 711908676 /nfs/dbraw/zinc/90/86/76/711908676.db2.gz FNCXAMQRRWGYHA-WXARJGPPSA-N 1 2 307.394 1.118 20 30 DDEDLO N#C[C@H]1CCCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000914167901 713352040 /nfs/dbraw/zinc/35/20/40/713352040.db2.gz BEIPWPDGEDPTKF-CYBMUJFWSA-N 1 2 301.394 1.707 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)Cc2csc(C#N)c2)CC1(C)C ZINC000917087628 713481743 /nfs/dbraw/zinc/48/17/43/713481743.db2.gz TYOVKDAREUIAKI-UHFFFAOYSA-N 1 2 313.448 1.476 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)Cc2csc(C#N)c2)CC1(C)C ZINC000917087628 713481744 /nfs/dbraw/zinc/48/17/44/713481744.db2.gz TYOVKDAREUIAKI-UHFFFAOYSA-N 1 2 313.448 1.476 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2cncc(C#N)c2)C[C@@H]1C(C)(C)C ZINC000919598611 713620238 /nfs/dbraw/zinc/62/02/38/713620238.db2.gz XPNFEZBRDUBMOP-CQSZACIVSA-N 1 2 322.434 1.304 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2cncc(C#N)c2)C[C@@H]1C(C)(C)C ZINC000919598611 713620239 /nfs/dbraw/zinc/62/02/39/713620239.db2.gz XPNFEZBRDUBMOP-CQSZACIVSA-N 1 2 322.434 1.304 20 30 DDEDLO COC(=O)/C=C(/C)C[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000929985155 713728925 /nfs/dbraw/zinc/72/89/25/713728925.db2.gz ASAONYZYDDAOBM-MLRPBERTSA-N 1 2 313.401 1.988 20 30 DDEDLO COc1ccc2c(c1)C[C@@H](C[NH+]1CCN(C(=O)CC#N)CC1)O2 ZINC000930631913 713882070 /nfs/dbraw/zinc/88/20/70/713882070.db2.gz WZEGQXOZXFBKKK-HNNXBMFYSA-N 1 2 315.373 1.057 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)N(CC(C)C)CC(F)(F)F)CC1 ZINC000931145133 714015088 /nfs/dbraw/zinc/01/50/88/714015088.db2.gz CKVHTGIOUCANHF-UHFFFAOYSA-N 1 2 320.355 1.493 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCCC[C@H]2CS(N)(=O)=O)ccc1F ZINC000931230843 714043691 /nfs/dbraw/zinc/04/36/91/714043691.db2.gz APGNQSUUKYXHGL-ZDUSSCGKSA-N 1 2 311.382 1.340 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCCC[C@H]2CS(N)(=O)=O)ccc1F ZINC000931230843 714043693 /nfs/dbraw/zinc/04/36/93/714043693.db2.gz APGNQSUUKYXHGL-ZDUSSCGKSA-N 1 2 311.382 1.340 20 30 DDEDLO C=CCCn1cc(CNCc2nc(C[NH+](C)C)cs2)nn1 ZINC000922486927 714068474 /nfs/dbraw/zinc/06/84/74/714068474.db2.gz KKJIOTNDIKRBDP-UHFFFAOYSA-N 1 2 306.439 1.662 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@H](C2OCCO2)C1 ZINC000932000022 714229148 /nfs/dbraw/zinc/22/91/48/714229148.db2.gz YHECHYCHRROJQE-HUUCEWRRSA-N 1 2 316.357 1.467 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@H](C2OCCO2)C1 ZINC000932000022 714229150 /nfs/dbraw/zinc/22/91/50/714229150.db2.gz YHECHYCHRROJQE-HUUCEWRRSA-N 1 2 316.357 1.467 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)[C@H](C)C1 ZINC000933173857 714527358 /nfs/dbraw/zinc/52/73/58/714527358.db2.gz AZZIYTJEFDAVKQ-XJKCOSOUSA-N 1 2 309.410 1.314 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)[C@H](C)C1 ZINC000933173857 714527359 /nfs/dbraw/zinc/52/73/59/714527359.db2.gz AZZIYTJEFDAVKQ-XJKCOSOUSA-N 1 2 309.410 1.314 20 30 DDEDLO C=CCC1(O)CCN(C(=O)/C=C(\C)C[NH+]2CCOCC2)CC1 ZINC000924439324 714582319 /nfs/dbraw/zinc/58/23/19/714582319.db2.gz KFTKSYWXADADJY-FYWRMAATSA-N 1 2 308.422 1.195 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)N2CCC[C@H](C#N)C2)n1 ZINC000924793139 714665223 /nfs/dbraw/zinc/66/52/23/714665223.db2.gz WLZKAQNKUKECNS-LLVKDONJSA-N 1 2 307.423 1.650 20 30 DDEDLO C[N@H+](CC(=O)N1CCOC[C@H]1C1CC1)Cc1cc(C#N)cs1 ZINC000933773701 714669353 /nfs/dbraw/zinc/66/93/53/714669353.db2.gz PWPLBCPSTUDXFV-HNNXBMFYSA-N 1 2 319.430 1.689 20 30 DDEDLO C[N@@H+](CC(=O)N1CCOC[C@H]1C1CC1)Cc1cc(C#N)cs1 ZINC000933773701 714669357 /nfs/dbraw/zinc/66/93/57/714669357.db2.gz PWPLBCPSTUDXFV-HNNXBMFYSA-N 1 2 319.430 1.689 20 30 DDEDLO CC(C)CNC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1cc(C#N)cs1 ZINC000933776056 714669494 /nfs/dbraw/zinc/66/94/94/714669494.db2.gz SCNALZLXIVJXNQ-LLVKDONJSA-N 1 2 322.434 1.922 20 30 DDEDLO CC(C)CNC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933776056 714669495 /nfs/dbraw/zinc/66/94/95/714669495.db2.gz SCNALZLXIVJXNQ-LLVKDONJSA-N 1 2 322.434 1.922 20 30 DDEDLO C[C@@H]1CN(C(=O)C[N@H+](C)Cc2cc(C#N)cs2)C[C@H](C)O1 ZINC000933778051 714669716 /nfs/dbraw/zinc/66/97/16/714669716.db2.gz JGMJUKAKBKFPEQ-TXEJJXNPSA-N 1 2 307.419 1.687 20 30 DDEDLO C[C@@H]1CN(C(=O)C[N@@H+](C)Cc2cc(C#N)cs2)C[C@H](C)O1 ZINC000933778051 714669718 /nfs/dbraw/zinc/66/97/18/714669718.db2.gz JGMJUKAKBKFPEQ-TXEJJXNPSA-N 1 2 307.419 1.687 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@@H](CC)C[NH+]1CCOCC1 ZINC000925615267 714900114 /nfs/dbraw/zinc/90/01/14/714900114.db2.gz YLOJPFKTGSYYLX-HRCADAONSA-N 1 2 307.438 1.990 20 30 DDEDLO C#CC[NH2+][C@H](CO)c1ccccc1I ZINC000934828640 714911861 /nfs/dbraw/zinc/91/18/61/714911861.db2.gz CPSMSXCEUHQPQR-LLVKDONJSA-N 1 2 301.127 1.547 20 30 DDEDLO C[C@]1(C#N)CCCN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000935353745 715034971 /nfs/dbraw/zinc/03/49/71/715034971.db2.gz TUDXLCLUQKRFQO-HLLBOEOZSA-N 1 2 321.421 1.018 20 30 DDEDLO C=C1CCC(CNC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@H]3C2)CC1 ZINC000926543342 715084832 /nfs/dbraw/zinc/08/48/32/715084832.db2.gz QLFUAYPHPBIFFJ-JKSUJKDBSA-N 1 2 307.438 1.847 20 30 DDEDLO C=C1CCC(CNC(=O)N2CC[C@H]3OCC[N@H+](C)[C@H]3C2)CC1 ZINC000926543342 715084834 /nfs/dbraw/zinc/08/48/34/715084834.db2.gz QLFUAYPHPBIFFJ-JKSUJKDBSA-N 1 2 307.438 1.847 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(N(C)C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000955011477 715578467 /nfs/dbraw/zinc/57/84/67/715578467.db2.gz DWNJCISETXXBIK-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC000957127294 715760202 /nfs/dbraw/zinc/76/02/02/715760202.db2.gz JGHFNQSLMZRYJM-CYBMUJFWSA-N 1 2 302.422 1.623 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cccc(C(=O)NC)n2)C1 ZINC000957336193 715845956 /nfs/dbraw/zinc/84/59/56/715845956.db2.gz KVRGWZXYKZRQNJ-UHFFFAOYSA-N 1 2 316.405 1.164 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC000939001169 716079795 /nfs/dbraw/zinc/07/97/95/716079795.db2.gz STHZSEDNZZCCJS-CQSZACIVSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(NC(C)=O)ccc2F)CC1 ZINC000957867187 716206124 /nfs/dbraw/zinc/20/61/24/716206124.db2.gz GBOSOTLKHHZZAJ-UHFFFAOYSA-N 1 2 305.353 1.728 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(=O)[nH]c3c2CCCC3)CC1 ZINC000957951135 716247222 /nfs/dbraw/zinc/24/72/22/716247222.db2.gz WBWNDSGENJHAGL-UHFFFAOYSA-N 1 2 301.390 1.610 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cscn4)C[C@H]32)c[nH]1 ZINC000958620928 716669422 /nfs/dbraw/zinc/66/94/22/716669422.db2.gz BUVOTKFNYVLEND-WDNDVIMCSA-N 1 2 313.386 1.203 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cscn4)C[C@H]32)c[nH]1 ZINC000958620928 716669424 /nfs/dbraw/zinc/66/94/24/716669424.db2.gz BUVOTKFNYVLEND-WDNDVIMCSA-N 1 2 313.386 1.203 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)CC3CCCCC3)CC2)C1 ZINC000941301111 717149974 /nfs/dbraw/zinc/14/99/74/717149974.db2.gz LWMGJWHLCHOGBU-UHFFFAOYSA-N 1 2 317.477 1.809 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCN(C3C[NH+](C[C@H](F)CC)C3)CC2)C1 ZINC000941559983 717210760 /nfs/dbraw/zinc/21/07/60/717210760.db2.gz RGWMLAUOAFLTHT-OAHLLOKOSA-N 1 2 323.456 1.919 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C(CC)(CC)CC)CC2)C1 ZINC000941621193 717232124 /nfs/dbraw/zinc/23/21/24/717232124.db2.gz XBCQBVMRLBOWQN-UHFFFAOYSA-N 1 2 305.466 1.664 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4coc(C)n4)C[C@H]32)nc1 ZINC000962338295 717413206 /nfs/dbraw/zinc/41/32/06/717413206.db2.gz BVZNQFDRDFLWPG-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4coc(C)n4)C[C@H]32)nc1 ZINC000962338295 717413211 /nfs/dbraw/zinc/41/32/11/717413211.db2.gz BVZNQFDRDFLWPG-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cn[nH]c2-c2ccccn2)[C@@H](C)C1 ZINC000942113643 717488063 /nfs/dbraw/zinc/48/80/63/717488063.db2.gz QPJJEEQXBCVLTL-ZFWWWQNUSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cn[nH]c2-c2ccccn2)[C@@H](C)C1 ZINC000942113643 717488066 /nfs/dbraw/zinc/48/80/66/717488066.db2.gz QPJJEEQXBCVLTL-ZFWWWQNUSA-N 1 2 323.400 1.545 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)C[C@@H]2C)c1 ZINC000942618412 717784597 /nfs/dbraw/zinc/78/45/97/717784597.db2.gz VLXCGKVBESYYJN-GUYCJALGSA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)C[C@@H]2C)c1 ZINC000942618412 717784604 /nfs/dbraw/zinc/78/46/04/717784604.db2.gz VLXCGKVBESYYJN-GUYCJALGSA-N 1 2 324.384 1.691 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1nnc[nH]1 ZINC000943702366 718176162 /nfs/dbraw/zinc/17/61/62/718176162.db2.gz NCXILLUBXFUODS-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1nnc[nH]1 ZINC000943702366 718176163 /nfs/dbraw/zinc/17/61/63/718176163.db2.gz NCXILLUBXFUODS-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1ncn[nH]1 ZINC000943702366 718176164 /nfs/dbraw/zinc/17/61/64/718176164.db2.gz NCXILLUBXFUODS-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1ncn[nH]1 ZINC000943702366 718176165 /nfs/dbraw/zinc/17/61/65/718176165.db2.gz NCXILLUBXFUODS-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@H]2C)C1 ZINC000966746067 718648062 /nfs/dbraw/zinc/64/80/62/718648062.db2.gz ISFCIYSMNMDMTN-OLZOCXBDSA-N 1 2 316.405 1.272 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC000967943524 719097558 /nfs/dbraw/zinc/09/75/58/719097558.db2.gz JVDHAPREQIUPQS-BXKDBHETSA-N 1 2 324.812 1.683 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC000968410167 719567980 /nfs/dbraw/zinc/56/79/80/719567980.db2.gz CVWZKAIZUPUFQB-JOYOIKCWSA-N 1 2 314.349 1.143 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc3c(c2)occc3=O)C1 ZINC000968554344 719662536 /nfs/dbraw/zinc/66/25/36/719662536.db2.gz UQQINTJGMRIVGV-CJNGLKHVSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc3c(c2)occc3=O)C1 ZINC000968554344 719662539 /nfs/dbraw/zinc/66/25/39/719662539.db2.gz UQQINTJGMRIVGV-CJNGLKHVSA-N 1 2 324.380 1.866 20 30 DDEDLO C=C(C)C[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn[nH]n1 ZINC000948932545 719792086 /nfs/dbraw/zinc/79/20/86/719792086.db2.gz KMUQUPCNCQSUQA-MRXNPFEDSA-N 1 2 311.389 1.710 20 30 DDEDLO C=C(C)C[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn[nH]n1 ZINC000948932545 719792091 /nfs/dbraw/zinc/79/20/91/719792091.db2.gz KMUQUPCNCQSUQA-MRXNPFEDSA-N 1 2 311.389 1.710 20 30 DDEDLO C=C(C)C[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn[nH]1 ZINC000948932545 719792094 /nfs/dbraw/zinc/79/20/94/719792094.db2.gz KMUQUPCNCQSUQA-MRXNPFEDSA-N 1 2 311.389 1.710 20 30 DDEDLO C=C(C)C[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn[nH]1 ZINC000948932545 719792097 /nfs/dbraw/zinc/79/20/97/719792097.db2.gz KMUQUPCNCQSUQA-MRXNPFEDSA-N 1 2 311.389 1.710 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1c(C)n[nH]c1C ZINC000948975280 719819402 /nfs/dbraw/zinc/81/94/02/719819402.db2.gz XIOJVYPIBZVPGI-QGZVFWFLSA-N 1 2 322.412 1.989 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1c(C)n[nH]c1C ZINC000948975280 719819409 /nfs/dbraw/zinc/81/94/09/719819409.db2.gz XIOJVYPIBZVPGI-QGZVFWFLSA-N 1 2 322.412 1.989 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3c(C)ccn3C)CC2)C1 ZINC000949352267 720035452 /nfs/dbraw/zinc/03/54/52/720035452.db2.gz RHZFZVQYINBZLP-UHFFFAOYSA-N 1 2 315.417 1.274 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3c(C)ccn3C)CC2)C1 ZINC000949352267 720035453 /nfs/dbraw/zinc/03/54/53/720035453.db2.gz RHZFZVQYINBZLP-UHFFFAOYSA-N 1 2 315.417 1.274 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc(Cn3ccnn3)o2)CC1 ZINC000949417018 720072758 /nfs/dbraw/zinc/07/27/58/720072758.db2.gz PODDMCSWKVGPTH-UHFFFAOYSA-N 1 2 315.377 1.253 20 30 DDEDLO CC#CC[NH+]1CC([C@@H](C)NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000970024911 720602197 /nfs/dbraw/zinc/60/21/97/720602197.db2.gz RHUFCIDQVSIEGJ-GFCCVEGCSA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CCN1CC([C@@H](C)NC(=O)c2ccn(-c3cc[nH+]cc3)n2)C1 ZINC000970130441 720637234 /nfs/dbraw/zinc/63/72/34/720637234.db2.gz IBJQITOCKGHXQD-CQSZACIVSA-N 1 2 323.400 1.341 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnnn2-c2ccccc2)CC1 ZINC000950908739 720823034 /nfs/dbraw/zinc/82/30/34/720823034.db2.gz KXLLSGRTFFZGPW-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C2C[NH+](Cc3nocc3C)C2)cn1 ZINC000970766510 720928694 /nfs/dbraw/zinc/92/86/94/720928694.db2.gz LPSDGRXSVMBMJS-CYBMUJFWSA-N 1 2 324.384 1.610 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2cccn(C(C)(C)C)c2=O)CC1 ZINC000951571594 721080418 /nfs/dbraw/zinc/08/04/18/721080418.db2.gz MQYGMDWWTUBFNA-UHFFFAOYSA-N 1 2 315.417 1.384 20 30 DDEDLO C[N@H+](Cc1ccccc1C#N)[C@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC000971245331 721199892 /nfs/dbraw/zinc/19/98/92/721199892.db2.gz AUYQYOGAKDJQJE-KRWDZBQOSA-N 1 2 323.400 1.557 20 30 DDEDLO C[N@@H+](Cc1ccccc1C#N)[C@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC000971245331 721199905 /nfs/dbraw/zinc/19/99/05/721199905.db2.gz AUYQYOGAKDJQJE-KRWDZBQOSA-N 1 2 323.400 1.557 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(C)noc3CC)C2)C1 ZINC000972676169 735463321 /nfs/dbraw/zinc/46/33/21/735463321.db2.gz DKQCNSKKIHTYIX-QGZVFWFLSA-N 1 2 319.405 1.648 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(C)noc3CC)C2)C1 ZINC000972676169 735463323 /nfs/dbraw/zinc/46/33/23/735463323.db2.gz DKQCNSKKIHTYIX-QGZVFWFLSA-N 1 2 319.405 1.648 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCCC[C@H]2C[NH2+]Cc2nnn(C)n2)C1 ZINC001025074161 736278911 /nfs/dbraw/zinc/27/89/11/736278911.db2.gz HHFPOHGSUDXZLS-ZDUSSCGKSA-N 1 2 318.425 1.037 20 30 DDEDLO C=CCOCC(=O)NCCN(CC)c1cc(C)[nH+]c(C2CC2)n1 ZINC001100466238 733201286 /nfs/dbraw/zinc/20/12/86/733201286.db2.gz KTWLDRIYRCSDMX-UHFFFAOYSA-N 1 2 318.421 1.808 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C3CCC(F)(F)CC3)[C@H]2C1 ZINC001083205875 733219520 /nfs/dbraw/zinc/21/95/20/733219520.db2.gz XAQRDHZETSMBNK-UONOGXRCSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C3CCC(F)(F)CC3)[C@H]2C1 ZINC001083205875 733219522 /nfs/dbraw/zinc/21/95/22/733219522.db2.gz XAQRDHZETSMBNK-UONOGXRCSA-N 1 2 312.360 1.357 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCCC[C@H]1[C@@H]1CCN(CC#N)C1 ZINC001039256039 733382160 /nfs/dbraw/zinc/38/21/60/733382160.db2.gz HNCJMZAOZQXTMH-ZBFHGGJFSA-N 1 2 315.421 1.189 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]cn1C ZINC001067567477 733388083 /nfs/dbraw/zinc/38/80/83/733388083.db2.gz MISIOIYKZYLYCR-UHFFFAOYSA-N 1 2 320.437 1.626 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3nc(C)ncc3Cl)[C@H]2C1 ZINC001083213497 733531853 /nfs/dbraw/zinc/53/18/53/733531853.db2.gz CHRJQYPAZNAEMS-QWHCGFSZSA-N 1 2 322.796 1.150 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3nc(C)ncc3Cl)[C@H]2C1 ZINC001083213497 733531855 /nfs/dbraw/zinc/53/18/55/733531855.db2.gz CHRJQYPAZNAEMS-QWHCGFSZSA-N 1 2 322.796 1.150 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)C2CC(C)(C)C2)C1=O ZINC001038215728 734387782 /nfs/dbraw/zinc/38/77/82/734387782.db2.gz HLEQLNCCIUDYBV-HUUCEWRRSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)C2CC(C)(C)C2)C1=O ZINC001038215728 734387784 /nfs/dbraw/zinc/38/77/84/734387784.db2.gz HLEQLNCCIUDYBV-HUUCEWRRSA-N 1 2 319.449 1.400 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(F)c(F)c2)C1 ZINC000891607213 734423874 /nfs/dbraw/zinc/42/38/74/734423874.db2.gz SNEDQWOJZPXFOB-LBPRGKRZSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(F)c(F)c2)C1 ZINC000891607213 734423878 /nfs/dbraw/zinc/42/38/78/734423878.db2.gz SNEDQWOJZPXFOB-LBPRGKRZSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cc4cn[nH]c43)[C@H]2C1 ZINC001083223607 734432289 /nfs/dbraw/zinc/43/22/89/734432289.db2.gz GTLSOBNUCVRQOC-JKSUJKDBSA-N 1 2 324.384 1.030 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cc4cn[nH]c43)[C@H]2C1 ZINC001083223607 734432291 /nfs/dbraw/zinc/43/22/91/734432291.db2.gz GTLSOBNUCVRQOC-JKSUJKDBSA-N 1 2 324.384 1.030 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cc4c[nH]nc43)[C@H]2C1 ZINC001083223607 734432295 /nfs/dbraw/zinc/43/22/95/734432295.db2.gz GTLSOBNUCVRQOC-JKSUJKDBSA-N 1 2 324.384 1.030 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cc4c[nH]nc43)[C@H]2C1 ZINC001083223607 734432299 /nfs/dbraw/zinc/43/22/99/734432299.db2.gz GTLSOBNUCVRQOC-JKSUJKDBSA-N 1 2 324.384 1.030 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1csc([C@H](C)OC)n1 ZINC001038250487 734986923 /nfs/dbraw/zinc/98/69/23/734986923.db2.gz DTXIZTPRLZHCPS-NWDGAFQWSA-N 1 2 307.419 1.678 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1csc([C@H](C)OC)n1 ZINC001038250487 734986926 /nfs/dbraw/zinc/98/69/26/734986926.db2.gz DTXIZTPRLZHCPS-NWDGAFQWSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4ccccc4c3)[C@H]2C1 ZINC001083257406 735016619 /nfs/dbraw/zinc/01/66/19/735016619.db2.gz XUZMAFXGNPBFPQ-RBUKOAKNSA-N 1 2 320.392 1.998 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4ccccc4c3)[C@H]2C1 ZINC001083257406 735016623 /nfs/dbraw/zinc/01/66/23/735016623.db2.gz XUZMAFXGNPBFPQ-RBUKOAKNSA-N 1 2 320.392 1.998 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCOC2)C1 ZINC001006889973 735689898 /nfs/dbraw/zinc/68/98/98/735689898.db2.gz DNZXKOAOALIRMU-SJORKVTESA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCOC2)C1 ZINC001006889973 735689902 /nfs/dbraw/zinc/68/99/02/735689902.db2.gz DNZXKOAOALIRMU-SJORKVTESA-N 1 2 313.401 1.675 20 30 DDEDLO CC#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC001024522784 735898239 /nfs/dbraw/zinc/89/82/39/735898239.db2.gz JGHNONDOVYBMSR-CQSZACIVSA-N 1 2 314.433 1.896 20 30 DDEDLO CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC001024522784 735898240 /nfs/dbraw/zinc/89/82/40/735898240.db2.gz JGHNONDOVYBMSR-CQSZACIVSA-N 1 2 314.433 1.896 20 30 DDEDLO CC(C)(C)c1cnc(C[NH2+]CCNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001125818529 736225574 /nfs/dbraw/zinc/22/55/74/736225574.db2.gz KDSGBUSWAVNKQL-UHFFFAOYSA-N 1 2 315.377 1.691 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc[n+]([O-])cc3)[C@@H]2C1 ZINC001075516994 736362571 /nfs/dbraw/zinc/36/25/71/736362571.db2.gz HIEBXQQVENGWBU-UONOGXRCSA-N 1 2 307.781 1.219 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc[n+]([O-])cc3)[C@@H]2C1 ZINC001075516994 736362573 /nfs/dbraw/zinc/36/25/73/736362573.db2.gz HIEBXQQVENGWBU-UONOGXRCSA-N 1 2 307.781 1.219 20 30 DDEDLO C[C@H](CNc1ncc(C#N)cc1F)N(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001104649318 736488312 /nfs/dbraw/zinc/48/83/12/736488312.db2.gz HHLKWQYJTMHBPG-SNVBAGLBSA-N 1 2 316.340 1.317 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@@H]3CC[C@@H](NCC#N)[C@H]3C2)c[nH+]1 ZINC001026294013 737309509 /nfs/dbraw/zinc/30/95/09/737309509.db2.gz MNFRBVROFDEYFS-SOUVJXGZSA-N 1 2 315.421 1.277 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001104871385 737311066 /nfs/dbraw/zinc/31/10/66/737311066.db2.gz NVRRXMXFFKJRPD-IACUBPJLSA-N 1 2 324.388 1.739 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001104871385 737311067 /nfs/dbraw/zinc/31/10/67/737311067.db2.gz NVRRXMXFFKJRPD-IACUBPJLSA-N 1 2 324.388 1.739 20 30 DDEDLO Cc1nc(N2CCC[C@@H]2CNC(=O)[C@H](C)C#N)c(C)c(C)[nH+]1 ZINC001100809465 737523009 /nfs/dbraw/zinc/52/30/09/737523009.db2.gz DAWSRLOTJFDZIY-QMTHXVAHSA-N 1 2 301.394 1.647 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnc(OC)nc1 ZINC001028239241 739212336 /nfs/dbraw/zinc/21/23/36/739212336.db2.gz HWKCLJHDHPAQMX-GFCCVEGCSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc(OC)nc1 ZINC001028239241 739212338 /nfs/dbraw/zinc/21/23/38/739212338.db2.gz HWKCLJHDHPAQMX-GFCCVEGCSA-N 1 2 310.785 1.432 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](Nc2nc(C)ccc2C#N)C1 ZINC001058937466 739254046 /nfs/dbraw/zinc/25/40/46/739254046.db2.gz AQWWDMWGOUWYDL-AWEZNQCLSA-N 1 2 324.388 1.549 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@H+]2Cc2nocc2C)nc1 ZINC001028273163 739264005 /nfs/dbraw/zinc/26/40/05/739264005.db2.gz ZNJXMMQWPCRZAC-OAHLLOKOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2nocc2C)nc1 ZINC001028273163 739264006 /nfs/dbraw/zinc/26/40/06/739264006.db2.gz ZNJXMMQWPCRZAC-OAHLLOKOSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCc2nncn2C1 ZINC001028333613 739368639 /nfs/dbraw/zinc/36/86/39/739368639.db2.gz PAQMJXZXHSYZEE-CHWSQXEVSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCc2nncn2C1 ZINC001028333613 739368642 /nfs/dbraw/zinc/36/86/42/739368642.db2.gz PAQMJXZXHSYZEE-CHWSQXEVSA-N 1 2 323.828 1.174 20 30 DDEDLO Cc1nc(NCCC[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001114892917 751461135 /nfs/dbraw/zinc/46/11/35/751461135.db2.gz APGFXSWZFYZJKQ-LLVKDONJSA-N 1 2 312.377 1.995 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)ccc1C#N ZINC001059016813 739632917 /nfs/dbraw/zinc/63/29/17/739632917.db2.gz XLACPXCZBGDSOI-HNNXBMFYSA-N 1 2 324.388 1.561 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1C[N@H+](CC(=C)C)CCO1 ZINC001035397904 751467639 /nfs/dbraw/zinc/46/76/39/751467639.db2.gz IQXCRXSRBBQWIJ-HNNXBMFYSA-N 1 2 303.406 1.681 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1C[N@@H+](CC(=C)C)CCO1 ZINC001035397904 751467641 /nfs/dbraw/zinc/46/76/41/751467641.db2.gz IQXCRXSRBBQWIJ-HNNXBMFYSA-N 1 2 303.406 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cncc(OC)c2C)C1 ZINC001035422155 751480397 /nfs/dbraw/zinc/48/03/97/751480397.db2.gz YSGXAOXJCBLCMI-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cncc(OC)c2C)C1 ZINC001035422155 751480399 /nfs/dbraw/zinc/48/03/99/751480399.db2.gz YSGXAOXJCBLCMI-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)nn2CC)C1 ZINC001035411495 751492963 /nfs/dbraw/zinc/49/29/63/751492963.db2.gz JOJUSKODBOJSTF-AWEZNQCLSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)nn2CC)C1 ZINC001035411495 751492965 /nfs/dbraw/zinc/49/29/65/751492965.db2.gz JOJUSKODBOJSTF-AWEZNQCLSA-N 1 2 318.421 1.397 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(CC)s2)C1 ZINC001035434285 751511710 /nfs/dbraw/zinc/51/17/10/751511710.db2.gz DRAKXIOMYYRGAK-CYBMUJFWSA-N 1 2 306.431 1.764 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(CC)s2)C1 ZINC001035434285 751511713 /nfs/dbraw/zinc/51/17/13/751511713.db2.gz DRAKXIOMYYRGAK-CYBMUJFWSA-N 1 2 306.431 1.764 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)(C)C)on2)C1 ZINC001035443238 751519683 /nfs/dbraw/zinc/51/96/83/751519683.db2.gz YGMVXDSUQZXYOY-ZDUSSCGKSA-N 1 2 319.405 1.426 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)(C)C)on2)C1 ZINC001035443238 751519687 /nfs/dbraw/zinc/51/96/87/751519687.db2.gz YGMVXDSUQZXYOY-ZDUSSCGKSA-N 1 2 319.405 1.426 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccn3ccnc3c2)C1 ZINC001035447960 751525201 /nfs/dbraw/zinc/52/52/01/751525201.db2.gz LIBZSDWDVBIXAU-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccn3ccnc3c2)C1 ZINC001035447960 751525202 /nfs/dbraw/zinc/52/52/02/751525202.db2.gz LIBZSDWDVBIXAU-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001029007880 740253384 /nfs/dbraw/zinc/25/33/84/740253384.db2.gz NODFCEKPNKAYDO-CQSZACIVSA-N 1 2 323.400 1.547 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001029007880 740253385 /nfs/dbraw/zinc/25/33/85/740253385.db2.gz NODFCEKPNKAYDO-CQSZACIVSA-N 1 2 323.400 1.547 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3ccc(F)cc32)C1 ZINC001035486321 751538100 /nfs/dbraw/zinc/53/81/00/751538100.db2.gz AEDOBWHUTOWHGE-WBVHZDCISA-N 1 2 318.392 1.859 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3ccc(F)cc32)C1 ZINC001035486321 751538101 /nfs/dbraw/zinc/53/81/01/751538101.db2.gz AEDOBWHUTOWHGE-WBVHZDCISA-N 1 2 318.392 1.859 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(F)c2F)C1 ZINC001035487024 751540176 /nfs/dbraw/zinc/54/01/76/751540176.db2.gz HKMBLZAGWVMBMS-LBPRGKRZSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccc(F)c2F)C1 ZINC001035487024 751540178 /nfs/dbraw/zinc/54/01/78/751540178.db2.gz HKMBLZAGWVMBMS-LBPRGKRZSA-N 1 2 310.344 1.972 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21)Nc1ccncc1C#N ZINC001098267232 740406223 /nfs/dbraw/zinc/40/62/23/740406223.db2.gz AECNEEGCLBPGPY-YPMHNXCESA-N 1 2 324.388 1.135 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001075774745 740421723 /nfs/dbraw/zinc/42/17/23/740421723.db2.gz OQQVIEIZJPPJCI-JKSUJKDBSA-N 1 2 312.417 1.349 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001075774745 740421726 /nfs/dbraw/zinc/42/17/26/740421726.db2.gz OQQVIEIZJPPJCI-JKSUJKDBSA-N 1 2 312.417 1.349 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCCCC2(F)F)C1 ZINC001035459392 751544683 /nfs/dbraw/zinc/54/46/83/751544683.db2.gz PEYFVFKBXANZSD-CHWSQXEVSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCCCC2(F)F)C1 ZINC001035459392 751544688 /nfs/dbraw/zinc/54/46/88/751544688.db2.gz PEYFVFKBXANZSD-CHWSQXEVSA-N 1 2 302.365 1.815 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cn(C(C)C)cn2)C1 ZINC001035460258 751546008 /nfs/dbraw/zinc/54/60/08/751546008.db2.gz ZCJGPLHTWJGMHT-AWEZNQCLSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cn(C(C)C)cn2)C1 ZINC001035460258 751546020 /nfs/dbraw/zinc/54/60/20/751546020.db2.gz ZCJGPLHTWJGMHT-AWEZNQCLSA-N 1 2 306.410 1.471 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21)Nc1ccc(C#N)nc1 ZINC001098268309 740487767 /nfs/dbraw/zinc/48/77/67/740487767.db2.gz TYWBTPNGGAYXSU-RISCZKNCSA-N 1 2 324.388 1.713 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cn4c(n3)CCC4)[C@@H]2C1 ZINC001075806526 740590030 /nfs/dbraw/zinc/59/00/30/740590030.db2.gz QJGPZPJWXQSIOZ-GXTWGEPZSA-N 1 2 320.824 1.728 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cn4c(n3)CCC4)[C@@H]2C1 ZINC001075806526 740590031 /nfs/dbraw/zinc/59/00/31/740590031.db2.gz QJGPZPJWXQSIOZ-GXTWGEPZSA-N 1 2 320.824 1.728 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@H](C)C(=O)NC ZINC001029420242 740749601 /nfs/dbraw/zinc/74/96/01/740749601.db2.gz URZMTVQHIDZZRH-MCIONIFRSA-N 1 2 307.438 1.398 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)NC ZINC001029420242 740749604 /nfs/dbraw/zinc/74/96/04/740749604.db2.gz URZMTVQHIDZZRH-MCIONIFRSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3ncccc3c2)C1 ZINC001035494262 751577439 /nfs/dbraw/zinc/57/74/39/751577439.db2.gz XSNQHYYHCROZLW-INIZCTEOSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3ncccc3c2)C1 ZINC001035494262 751577444 /nfs/dbraw/zinc/57/74/44/751577444.db2.gz XSNQHYYHCROZLW-INIZCTEOSA-N 1 2 311.385 1.851 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2C1 ZINC001087973241 740899792 /nfs/dbraw/zinc/89/97/92/740899792.db2.gz PZQNSFSBNTWNEP-WOPDTQHZSA-N 1 2 306.303 1.690 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2C1 ZINC001087973241 740899795 /nfs/dbraw/zinc/89/97/95/740899795.db2.gz PZQNSFSBNTWNEP-WOPDTQHZSA-N 1 2 306.303 1.690 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]2C1 ZINC001087973241 740899798 /nfs/dbraw/zinc/89/97/98/740899798.db2.gz PZQNSFSBNTWNEP-WOPDTQHZSA-N 1 2 306.303 1.690 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)[C@H](F)C(F)(F)F)C[C@@H]2C1 ZINC001087973241 740899800 /nfs/dbraw/zinc/89/98/00/740899800.db2.gz PZQNSFSBNTWNEP-WOPDTQHZSA-N 1 2 306.303 1.690 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001012442337 740944234 /nfs/dbraw/zinc/94/42/34/740944234.db2.gz BBYKQLDWGABWKY-MRXNPFEDSA-N 1 2 304.394 1.225 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)C[C@H](C)O3)C1 ZINC001035545358 751592600 /nfs/dbraw/zinc/59/26/00/751592600.db2.gz JFRGCYIULRJZLB-XJKSGUPXSA-N 1 2 316.401 1.627 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)C[C@H](C)O3)C1 ZINC001035545358 751592603 /nfs/dbraw/zinc/59/26/03/751592603.db2.gz JFRGCYIULRJZLB-XJKSGUPXSA-N 1 2 316.401 1.627 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1coc(-c2ccccn2)n1 ZINC001038462828 741294281 /nfs/dbraw/zinc/29/42/81/741294281.db2.gz MRNUXVRJJJCQCW-CYBMUJFWSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1coc(-c2ccccn2)n1 ZINC001038462828 741294282 /nfs/dbraw/zinc/29/42/82/741294282.db2.gz MRNUXVRJJJCQCW-CYBMUJFWSA-N 1 2 310.357 1.564 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc3c(C)cccn3c2)C1 ZINC001035574920 751629402 /nfs/dbraw/zinc/62/94/02/751629402.db2.gz WEGSJPGFZAJXPG-MRXNPFEDSA-N 1 2 313.401 1.864 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc3c(C)cccn3c2)C1 ZINC001035574920 751629405 /nfs/dbraw/zinc/62/94/05/751629405.db2.gz WEGSJPGFZAJXPG-MRXNPFEDSA-N 1 2 313.401 1.864 20 30 DDEDLO Cc1nc([C@H](C)[N@@H+](C)CC2CCN(C(=O)[C@H](C)C#N)CC2)no1 ZINC001029946915 741636489 /nfs/dbraw/zinc/63/64/89/741636489.db2.gz YLMVIMHZNSZIIW-NEPJUHHUSA-N 1 2 319.409 1.769 20 30 DDEDLO Cc1nc([C@H](C)[N@H+](C)CC2CCN(C(=O)[C@H](C)C#N)CC2)no1 ZINC001029946915 741636490 /nfs/dbraw/zinc/63/64/90/741636490.db2.gz YLMVIMHZNSZIIW-NEPJUHHUSA-N 1 2 319.409 1.769 20 30 DDEDLO Cc1nsc(N[C@H]2C[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)c1C#N ZINC001059848121 741792365 /nfs/dbraw/zinc/79/23/65/741792365.db2.gz YIVHWHRNWHXQRQ-AOOOYVTPSA-N 1 2 316.390 1.348 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnc4n3CCC4)[C@@H]2C1 ZINC001075898333 741811923 /nfs/dbraw/zinc/81/19/23/741811923.db2.gz MLDNKASBFYOSCE-GXTWGEPZSA-N 1 2 320.824 1.728 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnc4n3CCC4)[C@@H]2C1 ZINC001075898333 741811928 /nfs/dbraw/zinc/81/19/28/741811928.db2.gz MLDNKASBFYOSCE-GXTWGEPZSA-N 1 2 320.824 1.728 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)cn1 ZINC001059870896 741813826 /nfs/dbraw/zinc/81/38/26/741813826.db2.gz HSLJXXDRTVOJEA-LXTVHRRPSA-N 1 2 322.372 1.539 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)cn1 ZINC001059870896 741813830 /nfs/dbraw/zinc/81/38/30/741813830.db2.gz HSLJXXDRTVOJEA-LXTVHRRPSA-N 1 2 322.372 1.539 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)nn1C ZINC001038109471 741932874 /nfs/dbraw/zinc/93/28/74/741932874.db2.gz XPKXXYWERKYWLV-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)nn1C ZINC001038109471 741932877 /nfs/dbraw/zinc/93/28/77/741932877.db2.gz XPKXXYWERKYWLV-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212039492 741949771 /nfs/dbraw/zinc/94/97/71/741949771.db2.gz MPZJXYNTXQDXEY-USXIJHARSA-N 1 2 311.385 1.013 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212039492 741949774 /nfs/dbraw/zinc/94/97/74/741949774.db2.gz MPZJXYNTXQDXEY-USXIJHARSA-N 1 2 311.385 1.013 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)N(C)CC3)C1 ZINC001035591047 751684993 /nfs/dbraw/zinc/68/49/93/751684993.db2.gz AHQXICVILQZNRV-INIZCTEOSA-N 1 2 315.417 1.296 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)N(C)CC3)C1 ZINC001035591047 751685000 /nfs/dbraw/zinc/68/50/00/751685000.db2.gz AHQXICVILQZNRV-INIZCTEOSA-N 1 2 315.417 1.296 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(N(C)CC)cc2)C1 ZINC001035618880 751690463 /nfs/dbraw/zinc/69/04/63/751690463.db2.gz OMDWAEADCHYRMK-KRWDZBQOSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(N(C)CC)cc2)C1 ZINC001035618880 751690465 /nfs/dbraw/zinc/69/04/65/751690465.db2.gz OMDWAEADCHYRMK-KRWDZBQOSA-N 1 2 317.433 1.759 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H](CCNc2cc[nH+]c(C)n2)C1 ZINC001111616336 742059887 /nfs/dbraw/zinc/05/98/87/742059887.db2.gz QLKHVJAUODPETB-DZGCQCFKSA-N 1 2 316.405 1.474 20 30 DDEDLO C=CCCCC(=O)N(C)CCN(C)c1[nH+]cnc2c1cnn2C ZINC001105540302 742108322 /nfs/dbraw/zinc/10/83/22/742108322.db2.gz GZMSQGKKIMXBHA-UHFFFAOYSA-N 1 2 316.409 1.614 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CC23CCOCC3)C1 ZINC001035627192 751699047 /nfs/dbraw/zinc/69/90/47/751699047.db2.gz KBBDIDPZRMCQKP-LSDHHAIUSA-N 1 2 308.422 1.196 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CC23CCOCC3)C1 ZINC001035627192 751699049 /nfs/dbraw/zinc/69/90/49/751699049.db2.gz KBBDIDPZRMCQKP-LSDHHAIUSA-N 1 2 308.422 1.196 20 30 DDEDLO CCO[C@H](C)c1noc(C[NH2+]CCNC(=O)C#CC(C)(C)C)n1 ZINC001126916214 742486249 /nfs/dbraw/zinc/48/62/49/742486249.db2.gz OIPHVCNBQPAXJJ-GFCCVEGCSA-N 1 2 322.409 1.422 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC1CC1)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001076433802 742732229 /nfs/dbraw/zinc/73/22/29/742732229.db2.gz QRBFVJDWYXQLJD-LBPRGKRZSA-N 1 2 324.384 1.601 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(C[NH+]([C@@H](C)c3ncccn3)C2)CC1 ZINC001035671760 751777056 /nfs/dbraw/zinc/77/70/56/751777056.db2.gz ZRSCTXMLLAUDOI-KBPBESRZSA-N 1 2 313.405 1.622 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001181106438 743060259 /nfs/dbraw/zinc/06/02/59/743060259.db2.gz DFHPTBLRYYMTGC-LLVKDONJSA-N 1 2 323.422 1.140 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)Cn1cc[nH+]c1 ZINC001077184804 743302481 /nfs/dbraw/zinc/30/24/81/743302481.db2.gz VWTYSPKSLSKVNY-BBRMVZONSA-N 1 2 306.410 1.496 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)C1(C2CC2)CC1 ZINC001077190993 743309797 /nfs/dbraw/zinc/30/97/97/743309797.db2.gz UNRYAWSADSIZSF-QZTJIDSGSA-N 1 2 324.424 1.390 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)C1(C2CC2)CC1 ZINC001077190993 743309810 /nfs/dbraw/zinc/30/98/10/743309810.db2.gz UNRYAWSADSIZSF-QZTJIDSGSA-N 1 2 324.424 1.390 20 30 DDEDLO CC#CC[NH+]1CCC(NC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001002816720 743461799 /nfs/dbraw/zinc/46/17/99/743461799.db2.gz CIUWEBHRJQEEQA-AWEZNQCLSA-N 1 2 300.406 1.434 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC3(COC)CC3)CC2)C1 ZINC001105708713 743621286 /nfs/dbraw/zinc/62/12/86/743621286.db2.gz WFMNANSBJFAWNM-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)NS(=O)(=O)N1CC[NH+](C)CC1 ZINC001212140811 743654451 /nfs/dbraw/zinc/65/44/51/743654451.db2.gz TYJJXTRHYXVQTR-INIZCTEOSA-N 1 2 323.462 1.256 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3cscc3s2)[C@@H](O)C1 ZINC001083651998 743681230 /nfs/dbraw/zinc/68/12/30/743681230.db2.gz WRWFUCACXAENGD-MNOVXSKESA-N 1 2 308.428 1.924 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3cscc3s2)[C@@H](O)C1 ZINC001083651998 743681234 /nfs/dbraw/zinc/68/12/34/743681234.db2.gz WRWFUCACXAENGD-MNOVXSKESA-N 1 2 308.428 1.924 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C3CC3)oc2C2CC2)[C@@H](O)C1 ZINC001083658447 743697218 /nfs/dbraw/zinc/69/72/18/743697218.db2.gz XXGMPVPPDUCYSG-CABCVRRESA-N 1 2 314.385 1.443 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C3CC3)oc2C2CC2)[C@@H](O)C1 ZINC001083658447 743697220 /nfs/dbraw/zinc/69/72/20/743697220.db2.gz XXGMPVPPDUCYSG-CABCVRRESA-N 1 2 314.385 1.443 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)nc2C2CC2)[C@@H](O)C1 ZINC001083674709 743724351 /nfs/dbraw/zinc/72/43/51/743724351.db2.gz PZVWZOGKGICNHG-CVEARBPZSA-N 1 2 313.401 1.066 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)nc2C2CC2)[C@@H](O)C1 ZINC001083674709 743724352 /nfs/dbraw/zinc/72/43/52/743724352.db2.gz PZVWZOGKGICNHG-CVEARBPZSA-N 1 2 313.401 1.066 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001182840959 743738053 /nfs/dbraw/zinc/73/80/53/743738053.db2.gz CKRSIMHBZDLNNS-CYBMUJFWSA-N 1 2 306.435 1.997 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCc3ccccc3[C@H]2O)CC1 ZINC001183390103 743829669 /nfs/dbraw/zinc/82/96/69/743829669.db2.gz LBRRQRSTTHGAKJ-IAGOWNOFSA-N 1 2 300.402 1.613 20 30 DDEDLO C=CC[C@@](C)(NC(=O)[C@@H]1CC[N@@H+]1Cc1ccccc1)C(=O)OC ZINC001183755234 743908162 /nfs/dbraw/zinc/90/81/62/743908162.db2.gz FMAMYLXHEAYBJU-MAUKXSAKSA-N 1 2 316.401 1.885 20 30 DDEDLO C=CC[C@@](C)(NC(=O)[C@@H]1CC[N@H+]1Cc1ccccc1)C(=O)OC ZINC001183755234 743908164 /nfs/dbraw/zinc/90/81/64/743908164.db2.gz FMAMYLXHEAYBJU-MAUKXSAKSA-N 1 2 316.401 1.885 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001185055835 744144569 /nfs/dbraw/zinc/14/45/69/744144569.db2.gz CAEBXYAOBCOGGH-CHWSQXEVSA-N 1 2 306.410 1.925 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)[C@@]1(F)CCOC1)CC2 ZINC001035777031 751892836 /nfs/dbraw/zinc/89/28/36/751892836.db2.gz XXMHBRXJZOTUJV-OAHLLOKOSA-N 1 2 316.804 1.792 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2nccn2C)[C@@H]1C ZINC001088868241 744293641 /nfs/dbraw/zinc/29/36/41/744293641.db2.gz SEEQTIIUCRFCFL-KBXIAJHMSA-N 1 2 318.421 1.090 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2nccn2C)[C@@H]1C ZINC001088868241 744293645 /nfs/dbraw/zinc/29/36/45/744293645.db2.gz SEEQTIIUCRFCFL-KBXIAJHMSA-N 1 2 318.421 1.090 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C3(C)CC3)C2)nn1 ZINC001185941698 744309900 /nfs/dbraw/zinc/30/99/00/744309900.db2.gz BPDNSUNTQTYSHM-OAHLLOKOSA-N 1 2 315.421 1.355 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H]2CCN(C(=O)c3occc3C)[C@@H]2C1 ZINC001186826553 744455075 /nfs/dbraw/zinc/45/50/75/744455075.db2.gz NJGVDPCMZZPOAI-UONOGXRCSA-N 1 2 317.389 1.037 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H]2CCN(C(=O)c3occc3C)[C@@H]2C1 ZINC001186826553 744455076 /nfs/dbraw/zinc/45/50/76/744455076.db2.gz NJGVDPCMZZPOAI-UONOGXRCSA-N 1 2 317.389 1.037 20 30 DDEDLO C=CC[NH+]1CC2(C1)CCN(C(=O)[C@@H]1CCc3[nH]nnc3C1)CC2 ZINC001035834592 751930487 /nfs/dbraw/zinc/93/04/87/751930487.db2.gz MVCLIDHAXCHRAM-CYBMUJFWSA-N 1 2 315.421 1.020 20 30 DDEDLO C=CCOCC[N@H+]1C[C@@H]2CCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001187979153 744642276 /nfs/dbraw/zinc/64/22/76/744642276.db2.gz SZLCGHICYHZFRC-QJPTWQEYSA-N 1 2 324.318 1.622 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@@H]2CCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001187979153 744642278 /nfs/dbraw/zinc/64/22/78/744642278.db2.gz SZLCGHICYHZFRC-QJPTWQEYSA-N 1 2 324.318 1.622 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1[nH]cnc1C)CC2 ZINC001035842719 751938518 /nfs/dbraw/zinc/93/85/18/751938518.db2.gz CUROLZWIDKDMJU-UHFFFAOYSA-N 1 2 322.840 1.938 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001188287789 744687438 /nfs/dbraw/zinc/68/74/38/744687438.db2.gz TZBSTKCNGPFYBH-CYBMUJFWSA-N 1 2 322.409 1.476 20 30 DDEDLO Cc1nc(N[C@H](C)C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001089250791 744787007 /nfs/dbraw/zinc/78/70/07/744787007.db2.gz BKXMQKQILIKHIC-GHMZBOCLSA-N 1 2 312.377 1.994 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001077515705 744804138 /nfs/dbraw/zinc/80/41/38/744804138.db2.gz IZHGHQOUPSMOGC-YEHMFOAPSA-N 1 2 317.364 1.015 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001077515705 744804141 /nfs/dbraw/zinc/80/41/41/744804141.db2.gz IZHGHQOUPSMOGC-YEHMFOAPSA-N 1 2 317.364 1.015 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001006977500 751968201 /nfs/dbraw/zinc/96/82/01/751968201.db2.gz NQXUZTAJFAMJEC-QGZVFWFLSA-N 1 2 323.400 1.605 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001006977500 751968207 /nfs/dbraw/zinc/96/82/07/751968207.db2.gz NQXUZTAJFAMJEC-QGZVFWFLSA-N 1 2 323.400 1.605 20 30 DDEDLO C=C(C)Cc1ccc(C(=O)N2CC[N@@H+](C)C[C@@H]2C(=O)OC)cc1 ZINC001190225324 745144713 /nfs/dbraw/zinc/14/47/13/745144713.db2.gz ZTYIDWNTUYMFEH-MRXNPFEDSA-N 1 2 316.401 1.734 20 30 DDEDLO C=C(C)Cc1ccc(C(=O)N2CC[N@H+](C)C[C@@H]2C(=O)OC)cc1 ZINC001190225324 745144715 /nfs/dbraw/zinc/14/47/15/745144715.db2.gz ZTYIDWNTUYMFEH-MRXNPFEDSA-N 1 2 316.401 1.734 20 30 DDEDLO COc1cc(N2CCN(C(=O)c3ccc(C#N)cn3)CC2)cc[nH+]1 ZINC001190590351 745265509 /nfs/dbraw/zinc/26/55/09/745265509.db2.gz HYICQLNWUCADER-UHFFFAOYSA-N 1 2 323.356 1.319 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CCC[C@@H](NC(C)=O)[C@@H]2C)c1 ZINC000992916693 745290764 /nfs/dbraw/zinc/29/07/64/745290764.db2.gz UMNAZJQDCDCLTG-SUMWQHHRSA-N 1 2 313.401 1.595 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@@H](NC(C)=O)[C@@H]2C)c1 ZINC000992916693 745290771 /nfs/dbraw/zinc/29/07/71/745290771.db2.gz UMNAZJQDCDCLTG-SUMWQHHRSA-N 1 2 313.401 1.595 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001190859376 745358250 /nfs/dbraw/zinc/35/82/50/745358250.db2.gz GPGOQDPJGYHNPK-ZIAGYGMSSA-N 1 2 305.403 1.247 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001190859376 745358253 /nfs/dbraw/zinc/35/82/53/745358253.db2.gz GPGOQDPJGYHNPK-ZIAGYGMSSA-N 1 2 305.403 1.247 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)cn1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106310162 745592375 /nfs/dbraw/zinc/59/23/75/745592375.db2.gz OSLHMFGZJUVXDK-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@@H+](Cc3ccn(C)n3)C2)CC1 ZINC001015660051 746105130 /nfs/dbraw/zinc/10/51/30/746105130.db2.gz QDSHBINGUMWUOW-HNNXBMFYSA-N 1 2 302.422 1.857 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@H+](Cc3ccn(C)n3)C2)CC1 ZINC001015660051 746105133 /nfs/dbraw/zinc/10/51/33/746105133.db2.gz QDSHBINGUMWUOW-HNNXBMFYSA-N 1 2 302.422 1.857 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@@H]1CCCN(CC#N)[C@H]1C ZINC000993486426 746188603 /nfs/dbraw/zinc/18/86/03/746188603.db2.gz FUAGCHVWTBAJHD-GXTWGEPZSA-N 1 2 302.378 1.125 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001007155669 746286639 /nfs/dbraw/zinc/28/66/39/746286639.db2.gz KKHZDZVDPYIWSI-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1ncc(C[N@H+]2CCC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001007155669 746286642 /nfs/dbraw/zinc/28/66/42/746286642.db2.gz KKHZDZVDPYIWSI-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccccc2O)CC1 ZINC001194868689 746476664 /nfs/dbraw/zinc/47/66/64/746476664.db2.gz BEEGQHFVPRJYCQ-UHFFFAOYSA-N 1 2 302.374 1.190 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2cscc2C)CC1 ZINC001195434651 746613594 /nfs/dbraw/zinc/61/35/94/746613594.db2.gz PAZLUKUIJSEAQW-UHFFFAOYSA-N 1 2 321.446 1.507 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2cscc2C)CC1 ZINC001195434651 746613595 /nfs/dbraw/zinc/61/35/95/746613595.db2.gz PAZLUKUIJSEAQW-UHFFFAOYSA-N 1 2 321.446 1.507 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195554996 746630853 /nfs/dbraw/zinc/63/08/53/746630853.db2.gz VNZOLNQMCZLFNN-BPLDGKMQSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195554996 746630855 /nfs/dbraw/zinc/63/08/55/746630855.db2.gz VNZOLNQMCZLFNN-BPLDGKMQSA-N 1 2 323.462 1.715 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2csnc2C)CC1 ZINC001195523569 746636884 /nfs/dbraw/zinc/63/68/84/746636884.db2.gz FPPFQEZPJRPNRZ-UHFFFAOYSA-N 1 2 307.419 1.249 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2csnc2C)CC1 ZINC001195523569 746636885 /nfs/dbraw/zinc/63/68/85/746636885.db2.gz FPPFQEZPJRPNRZ-UHFFFAOYSA-N 1 2 307.419 1.249 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)CC)CC1 ZINC001195541211 746640112 /nfs/dbraw/zinc/64/01/12/746640112.db2.gz OYNRDNWLAXVHEZ-MRXNPFEDSA-N 1 2 321.465 1.629 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)CC)CC1 ZINC001195541211 746640114 /nfs/dbraw/zinc/64/01/14/746640114.db2.gz OYNRDNWLAXVHEZ-MRXNPFEDSA-N 1 2 321.465 1.629 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+](CC(=O)N(C)CC2CC2)CC1 ZINC001195747095 746697962 /nfs/dbraw/zinc/69/79/62/746697962.db2.gz KGPWECZKQYAUSL-UHFFFAOYSA-N 1 2 319.449 1.049 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+](CC(=O)N(C)CC2CC2)CC1 ZINC001195747095 746697964 /nfs/dbraw/zinc/69/79/64/746697964.db2.gz KGPWECZKQYAUSL-UHFFFAOYSA-N 1 2 319.449 1.049 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195923815 746737294 /nfs/dbraw/zinc/73/72/94/746737294.db2.gz MSGNAPCBSNISDJ-BFYDXBDKSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195923815 746737298 /nfs/dbraw/zinc/73/72/98/746737298.db2.gz MSGNAPCBSNISDJ-BFYDXBDKSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@H]1O ZINC001195923617 746737682 /nfs/dbraw/zinc/73/76/82/746737682.db2.gz HSHOZVLKUASFLX-BFYDXBDKSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@H]1O ZINC001195923617 746737685 /nfs/dbraw/zinc/73/76/85/746737685.db2.gz HSHOZVLKUASFLX-BFYDXBDKSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001031422907 746783393 /nfs/dbraw/zinc/78/33/93/746783393.db2.gz RRQLXVMIXXBTGM-UHFFFAOYSA-N 1 2 306.346 1.925 20 30 DDEDLO CCCCC[C@@H](C)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001196701071 746935808 /nfs/dbraw/zinc/93/58/08/746935808.db2.gz PMCIIXGVCYXQLA-BRWVUGGUSA-N 1 2 324.465 1.404 20 30 DDEDLO CCCCC[C@@H](C)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001196701071 746935812 /nfs/dbraw/zinc/93/58/12/746935812.db2.gz PMCIIXGVCYXQLA-BRWVUGGUSA-N 1 2 324.465 1.404 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2Cc3cc(F)ccc3O2)C1 ZINC001043988020 746945245 /nfs/dbraw/zinc/94/52/45/746945245.db2.gz KELUCQIGLAPRHL-INIZCTEOSA-N 1 2 304.365 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001007434190 752140984 /nfs/dbraw/zinc/14/09/84/752140984.db2.gz QNPCJSRFGYVWDD-LLVKDONJSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001007434190 752140988 /nfs/dbraw/zinc/14/09/88/752140988.db2.gz QNPCJSRFGYVWDD-LLVKDONJSA-N 1 2 319.796 1.905 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@]2(C)CCC[C@@H]2C)CC1 ZINC001197061692 747051178 /nfs/dbraw/zinc/05/11/78/747051178.db2.gz FOLOVBHTOIVIJR-MAUKXSAKSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@]2(C)CCC[C@@H]2C)CC1 ZINC001197061692 747051186 /nfs/dbraw/zinc/05/11/86/747051186.db2.gz FOLOVBHTOIVIJR-MAUKXSAKSA-N 1 2 321.465 1.649 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CCC(NC(=O)c2cn[nH]n2)CC1 ZINC001003231807 747066821 /nfs/dbraw/zinc/06/68/21/747066821.db2.gz QNDFOKDDDYORIS-UHFFFAOYSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC001003231807 747066830 /nfs/dbraw/zinc/06/68/30/747066830.db2.gz QNDFOKDDDYORIS-UHFFFAOYSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCC2C[NH+](Cc3ccc(F)cn3)C2)c1 ZINC001031603825 747154911 /nfs/dbraw/zinc/15/49/11/747154911.db2.gz ZJAYDFDVSFZASI-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO Cc1cn(C)nc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031660362 747335411 /nfs/dbraw/zinc/33/54/11/747335411.db2.gz GYHPFMRZLZMOBS-UHFFFAOYSA-N 1 2 323.400 1.462 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2nocc2C)CC1 ZINC001003652870 747351193 /nfs/dbraw/zinc/35/11/93/747351193.db2.gz QNGLRVRRBFPQPZ-ZBFHGGJFSA-N 1 2 319.405 1.655 20 30 DDEDLO CN(C(=O)C(F)F)[C@@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001032857455 747474139 /nfs/dbraw/zinc/47/41/39/747474139.db2.gz WGDYBESJFUYZDX-GFCCVEGCSA-N 1 2 311.307 1.995 20 30 DDEDLO CN(C(=O)C(F)F)[C@@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001032857455 747474141 /nfs/dbraw/zinc/47/41/41/747474141.db2.gz WGDYBESJFUYZDX-GFCCVEGCSA-N 1 2 311.307 1.995 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cn(C(C)(C)C)nn2)C1 ZINC001107987577 752214146 /nfs/dbraw/zinc/21/41/46/752214146.db2.gz PHAIGBBXACZBRX-MRXNPFEDSA-N 1 2 321.425 1.040 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cn(C(C)(C)C)nn2)C1 ZINC001107987577 752214151 /nfs/dbraw/zinc/21/41/51/752214151.db2.gz PHAIGBBXACZBRX-MRXNPFEDSA-N 1 2 321.425 1.040 20 30 DDEDLO C[C@@H]1C[C@H](C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)CO1 ZINC001031789798 747853259 /nfs/dbraw/zinc/85/32/59/747853259.db2.gz PJWOLERBAXOGKD-DYVFJYSZSA-N 1 2 313.401 1.531 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](N(C)C(=O)c3cc(C#N)c[nH]3)C2)cn1 ZINC001032992942 747873734 /nfs/dbraw/zinc/87/37/34/747873734.db2.gz TXRGOHMVKUGSQJ-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](N(C)C(=O)c3cc(C#N)c[nH]3)C2)cn1 ZINC001032992942 747873739 /nfs/dbraw/zinc/87/37/39/747873739.db2.gz TXRGOHMVKUGSQJ-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C1CCC(C(=O)NCC2CN(C(=O)Cn3cc[nH+]c3)C2)CC1 ZINC001004112737 747989076 /nfs/dbraw/zinc/98/90/76/747989076.db2.gz BBWHYLMQFRIVJL-UHFFFAOYSA-N 1 2 316.405 1.204 20 30 DDEDLO CN(C(=O)c1cn(C)nn1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033055485 748104792 /nfs/dbraw/zinc/10/47/92/748104792.db2.gz WWJSRVFGXPBIRE-HNNXBMFYSA-N 1 2 324.388 1.033 20 30 DDEDLO CN(C(=O)c1cn(C)nn1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033055485 748104796 /nfs/dbraw/zinc/10/47/96/748104796.db2.gz WWJSRVFGXPBIRE-HNNXBMFYSA-N 1 2 324.388 1.033 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001110547627 748132734 /nfs/dbraw/zinc/13/27/34/748132734.db2.gz BJGVNHDYZIYIQH-OCCSQVGLSA-N 1 2 304.394 1.779 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCC[C@H](OC)C2)C1 ZINC001031936346 748250024 /nfs/dbraw/zinc/25/00/24/748250024.db2.gz LOIRPPFYNPZFFI-KGLIPLIRSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CCC[NH2+]C[C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CCCO1 ZINC001200555542 748276726 /nfs/dbraw/zinc/27/67/26/748276726.db2.gz RVQXZDHIKLIEPR-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[NH2+]C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200555542 748276722 /nfs/dbraw/zinc/27/67/22/748276722.db2.gz RVQXZDHIKLIEPR-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO Cc1nn(C)cc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004396383 748398402 /nfs/dbraw/zinc/39/84/02/748398402.db2.gz NSYJUQOZQWVQIE-MRXNPFEDSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1nn(C)cc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004396383 748398407 /nfs/dbraw/zinc/39/84/07/748398407.db2.gz NSYJUQOZQWVQIE-MRXNPFEDSA-N 1 2 315.421 1.569 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(C)C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001033143276 748533381 /nfs/dbraw/zinc/53/33/81/748533381.db2.gz ZFHLPCIJPLBJGJ-CYBMUJFWSA-N 1 2 311.389 1.804 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001033143276 748533386 /nfs/dbraw/zinc/53/33/86/748533386.db2.gz ZFHLPCIJPLBJGJ-CYBMUJFWSA-N 1 2 311.389 1.804 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3conc3C)C2)nc1 ZINC001032135334 748727527 /nfs/dbraw/zinc/72/75/27/748727527.db2.gz PYZTUBWEIDZTAW-UHFFFAOYSA-N 1 2 310.357 1.221 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001108086672 748751214 /nfs/dbraw/zinc/75/12/14/748751214.db2.gz SNRGOWZMHOQDLG-VYDXJSESSA-N 1 2 308.422 1.195 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001108086672 748751218 /nfs/dbraw/zinc/75/12/18/748751218.db2.gz SNRGOWZMHOQDLG-VYDXJSESSA-N 1 2 308.422 1.195 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c[nH]nc2C2CC2)C1 ZINC001108319315 761893419 /nfs/dbraw/zinc/89/34/19/761893419.db2.gz RZKMXOSRGRYEMU-MRXNPFEDSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c[nH]nc2C2CC2)C1 ZINC001108319315 761893426 /nfs/dbraw/zinc/89/34/26/761893426.db2.gz RZKMXOSRGRYEMU-MRXNPFEDSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2nc(C)c(C)o2)CCCO1 ZINC001149330793 748858439 /nfs/dbraw/zinc/85/84/39/748858439.db2.gz NMSDRAOBTBTONU-OAHLLOKOSA-N 1 2 321.421 1.965 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2nc(C)c(C)o2)CCCO1 ZINC001149330793 748858440 /nfs/dbraw/zinc/85/84/40/748858440.db2.gz NMSDRAOBTBTONU-OAHLLOKOSA-N 1 2 321.421 1.965 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnoc2C(C)C)C1 ZINC001108321365 761897925 /nfs/dbraw/zinc/89/79/25/761897925.db2.gz XHHMIROEPXOVPS-MRXNPFEDSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnoc2C(C)C)C1 ZINC001108321365 761897930 /nfs/dbraw/zinc/89/79/30/761897930.db2.gz XHHMIROEPXOVPS-MRXNPFEDSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](OCC)C2CCCC2)C1 ZINC001108099012 749093717 /nfs/dbraw/zinc/09/37/17/749093717.db2.gz DXJGKJXJZPFVBK-SJLPKXTDSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](OCC)C2CCCC2)C1 ZINC001108099012 749093722 /nfs/dbraw/zinc/09/37/22/749093722.db2.gz DXJGKJXJZPFVBK-SJLPKXTDSA-N 1 2 324.465 1.975 20 30 DDEDLO CC(F)(F)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032248061 749094053 /nfs/dbraw/zinc/09/40/53/749094053.db2.gz IJOGXPWNZDGCMD-KBPBESRZSA-N 1 2 305.328 1.999 20 30 DDEDLO CC(F)(F)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032248061 749094056 /nfs/dbraw/zinc/09/40/56/749094056.db2.gz IJOGXPWNZDGCMD-KBPBESRZSA-N 1 2 305.328 1.999 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114454866 749236569 /nfs/dbraw/zinc/23/65/69/749236569.db2.gz NVXXVLDMERSGBP-SUMWQHHRSA-N 1 2 318.421 1.443 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001033365210 749253307 /nfs/dbraw/zinc/25/33/07/749253307.db2.gz DAOJFYLWDLHIAJ-NWDGAFQWSA-N 1 2 323.828 1.510 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001033365210 749253311 /nfs/dbraw/zinc/25/33/11/749253311.db2.gz DAOJFYLWDLHIAJ-NWDGAFQWSA-N 1 2 323.828 1.510 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H](C)c2cncnc2)C1 ZINC001033356257 749260621 /nfs/dbraw/zinc/26/06/21/749260621.db2.gz LSNJTDZJOXAMOW-TZMCWYRMSA-N 1 2 308.813 1.865 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H](C)c2cncnc2)C1 ZINC001033356257 749260624 /nfs/dbraw/zinc/26/06/24/749260624.db2.gz LSNJTDZJOXAMOW-TZMCWYRMSA-N 1 2 308.813 1.865 20 30 DDEDLO CCOC(=O)c1ccc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)o1 ZINC000878772145 749356361 /nfs/dbraw/zinc/35/63/61/749356361.db2.gz GPOJRWDQUBJLEL-STQMWFEESA-N 1 2 305.378 1.874 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](N(C)C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC001033500006 749443056 /nfs/dbraw/zinc/44/30/56/749443056.db2.gz DFLOPWXWCMXVGW-JTQLQIEISA-N 1 2 314.311 1.598 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3(C4CC4)CC3)nn2)C1 ZINC001107180438 749496059 /nfs/dbraw/zinc/49/60/59/749496059.db2.gz RYJYNMGDRDKMKG-UHFFFAOYSA-N 1 2 315.421 1.517 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2sc(COC)nc2C)C1 ZINC001033628545 749644071 /nfs/dbraw/zinc/64/40/71/749644071.db2.gz UDRCERUHKVDFAX-GFCCVEGCSA-N 1 2 307.419 1.377 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2sc(COC)nc2C)C1 ZINC001033628545 749644074 /nfs/dbraw/zinc/64/40/74/749644074.db2.gz UDRCERUHKVDFAX-GFCCVEGCSA-N 1 2 307.419 1.377 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C/CNC(=O)Cn2cc[nH+]c2)n1 ZINC001107240125 749681987 /nfs/dbraw/zinc/68/19/87/749681987.db2.gz HHJXMXOJSSXZJW-NSCUHMNNSA-N 1 2 310.361 1.243 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnn3ccncc23)C1 ZINC001014747438 749768148 /nfs/dbraw/zinc/76/81/48/749768148.db2.gz ROGCEYLSUCFFAY-LLVKDONJSA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnn3ccncc23)C1 ZINC001014747438 749768153 /nfs/dbraw/zinc/76/81/53/749768153.db2.gz ROGCEYLSUCFFAY-LLVKDONJSA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)c1ccnn1C)C2 ZINC001095432150 749889399 /nfs/dbraw/zinc/88/93/99/749889399.db2.gz ULHULFWSDHPNBA-XFMPKHEZSA-N 1 2 322.840 1.998 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)c1ccnn1C)C2 ZINC001095432150 749889406 /nfs/dbraw/zinc/88/94/06/749889406.db2.gz ULHULFWSDHPNBA-XFMPKHEZSA-N 1 2 322.840 1.998 20 30 DDEDLO COc1ccc(C)cc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)C#N ZINC001032318430 749949071 /nfs/dbraw/zinc/94/90/71/749949071.db2.gz NHJFVMPYOZXZNI-BPUTZDHNSA-N 1 2 313.401 1.948 20 30 DDEDLO COc1ccc(C)cc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)C#N ZINC001032318430 749949074 /nfs/dbraw/zinc/94/90/74/749949074.db2.gz NHJFVMPYOZXZNI-BPUTZDHNSA-N 1 2 313.401 1.948 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccc(F)cc1)C(F)C(F)(F)F ZINC001127780063 749989736 /nfs/dbraw/zinc/98/97/36/749989736.db2.gz VFRWRXJNQSPMLY-GFCCVEGCSA-N 1 2 320.261 1.783 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccc(F)cc1)[C@@H](F)C(F)(F)F ZINC001127780063 749989739 /nfs/dbraw/zinc/98/97/39/749989739.db2.gz VFRWRXJNQSPMLY-GFCCVEGCSA-N 1 2 320.261 1.783 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2ncc(OC)cn2)C1 ZINC001033892183 750071323 /nfs/dbraw/zinc/07/13/23/750071323.db2.gz KTZZILZEIWUFRW-LBPRGKRZSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2ncc(OC)cn2)C1 ZINC001033892183 750071329 /nfs/dbraw/zinc/07/13/29/750071329.db2.gz KTZZILZEIWUFRW-LBPRGKRZSA-N 1 2 324.812 1.774 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC/C=C\CNc1ncccc1C#N ZINC001107549917 750113726 /nfs/dbraw/zinc/11/37/26/750113726.db2.gz SXUQMQKSAQLGEB-ZRMMWKCHSA-N 1 2 324.388 1.639 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC/C=C\CNc1ncccc1C#N ZINC001107549917 750113731 /nfs/dbraw/zinc/11/37/31/750113731.db2.gz SXUQMQKSAQLGEB-ZRMMWKCHSA-N 1 2 324.388 1.639 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2csc(C)n2)C1 ZINC001108385399 762021813 /nfs/dbraw/zinc/02/18/13/762021813.db2.gz GMFGDZPWGAZBLH-OAHLLOKOSA-N 1 2 309.435 1.387 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2csc(C)n2)C1 ZINC001108385399 762021824 /nfs/dbraw/zinc/02/18/24/762021824.db2.gz GMFGDZPWGAZBLH-OAHLLOKOSA-N 1 2 309.435 1.387 20 30 DDEDLO Cc1nc(NC[C@@H](C)NC(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001107653130 750303406 /nfs/dbraw/zinc/30/34/06/750303406.db2.gz RPBMIQWWMBZMJT-GHMZBOCLSA-N 1 2 301.394 1.740 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC001108112722 750328807 /nfs/dbraw/zinc/32/88/07/750328807.db2.gz ZDMQJYBWJMERIT-QGZVFWFLSA-N 1 2 318.421 1.206 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC001108112722 750328814 /nfs/dbraw/zinc/32/88/14/750328814.db2.gz ZDMQJYBWJMERIT-QGZVFWFLSA-N 1 2 318.421 1.206 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2cnsn2)C1 ZINC001034118901 750425588 /nfs/dbraw/zinc/42/55/88/750425588.db2.gz ZDSBFJQSELQUST-SNVBAGLBSA-N 1 2 300.815 1.875 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2cnsn2)C1 ZINC001034118901 750425584 /nfs/dbraw/zinc/42/55/84/750425584.db2.gz ZDSBFJQSELQUST-SNVBAGLBSA-N 1 2 300.815 1.875 20 30 DDEDLO C=CCOCC(=O)NCCCN(CC)c1nc(C)[nH+]c(C)c1C ZINC001095846231 750564237 /nfs/dbraw/zinc/56/42/37/750564237.db2.gz PBYUTMOIKBGCOJ-UHFFFAOYSA-N 1 2 320.437 1.937 20 30 DDEDLO Cc1nsc(NC[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001107900384 750593657 /nfs/dbraw/zinc/59/36/57/750593657.db2.gz LAVDWPQYSLMARY-MRVPVSSYSA-N 1 2 304.379 1.206 20 30 DDEDLO C[C@@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C1CCN(CC#N)CC1 ZINC000997176036 750654488 /nfs/dbraw/zinc/65/44/88/750654488.db2.gz IRWUDVLWWRWEAO-HIFRSBDPSA-N 1 2 315.421 1.186 20 30 DDEDLO Cc1nsc(NC[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001108136109 750657053 /nfs/dbraw/zinc/65/70/53/750657053.db2.gz NCNHSOZDMCIFMJ-MRVPVSSYSA-N 1 2 304.379 1.206 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCCN1C(=O)CC ZINC001032418405 750731548 /nfs/dbraw/zinc/73/15/48/750731548.db2.gz BAVGUSXKSRENEV-JYJNAYRXSA-N 1 2 317.433 1.086 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCCN1C(=O)CC ZINC001032418405 750731553 /nfs/dbraw/zinc/73/15/53/750731553.db2.gz BAVGUSXKSRENEV-JYJNAYRXSA-N 1 2 317.433 1.086 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](OCC)C2CC2)C1 ZINC001107947576 750796839 /nfs/dbraw/zinc/79/68/39/750796839.db2.gz MSFDIMNDKXIYPE-WBVHZDCISA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](OCC)C2CC2)C1 ZINC001107947576 750796842 /nfs/dbraw/zinc/79/68/42/750796842.db2.gz MSFDIMNDKXIYPE-WBVHZDCISA-N 1 2 308.422 1.032 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001107948484 750804472 /nfs/dbraw/zinc/80/44/72/750804472.db2.gz VGYYBKAFCCGZAQ-OLZOCXBDSA-N 1 2 316.405 1.617 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C(C)(C)[C@H]2CCCCO2)C1 ZINC001107950122 750816206 /nfs/dbraw/zinc/81/62/06/750816206.db2.gz YMEWSBOBNCIKOB-CRAIPNDOSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)[C@H]2CCCCO2)C1 ZINC001107950122 750816212 /nfs/dbraw/zinc/81/62/12/750816212.db2.gz YMEWSBOBNCIKOB-CRAIPNDOSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(F)cc2F)C1 ZINC001107956717 750890373 /nfs/dbraw/zinc/89/03/73/750890373.db2.gz ODLKDCFAJJPJFD-QGZVFWFLSA-N 1 2 324.371 1.900 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(F)cc2F)C1 ZINC001107956717 750890381 /nfs/dbraw/zinc/89/03/81/750890381.db2.gz ODLKDCFAJJPJFD-QGZVFWFLSA-N 1 2 324.371 1.900 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114680803 750983107 /nfs/dbraw/zinc/98/31/07/750983107.db2.gz MROJXICZDLUKTE-MQYQWHSLSA-N 1 2 317.437 1.525 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114680803 750983111 /nfs/dbraw/zinc/98/31/11/750983111.db2.gz MROJXICZDLUKTE-MQYQWHSLSA-N 1 2 317.437 1.525 20 30 DDEDLO CC(C)Cc1noc(C[NH2+]C[C@H]2CCCN2C(=O)[C@H](C)C#N)n1 ZINC001034886234 750983297 /nfs/dbraw/zinc/98/32/97/750983297.db2.gz HQNXAFJLXQOBRB-CHWSQXEVSA-N 1 2 319.409 1.508 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nn(CC)nc3C)C[C@H]21 ZINC001114757969 751053690 /nfs/dbraw/zinc/05/36/90/751053690.db2.gz VAWVUFFTFYRRSN-HALDLXJZSA-N 1 2 317.437 1.509 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nn(CC)nc3C)C[C@H]21 ZINC001114757969 751053693 /nfs/dbraw/zinc/05/36/93/751053693.db2.gz VAWVUFFTFYRRSN-HALDLXJZSA-N 1 2 317.437 1.509 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccncc1 ZINC001032496536 751075086 /nfs/dbraw/zinc/07/50/86/751075086.db2.gz HBRGKSSWOSZVIY-GJZGRUSLSA-N 1 2 321.384 1.394 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccncc1 ZINC001032496536 751075091 /nfs/dbraw/zinc/07/50/91/751075091.db2.gz HBRGKSSWOSZVIY-GJZGRUSLSA-N 1 2 321.384 1.394 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)Cn2ccc(C)n2)C1 ZINC001107969116 751200021 /nfs/dbraw/zinc/20/00/21/751200021.db2.gz LNFQTOYOMNTWAJ-RHSMWYFYSA-N 1 2 320.437 1.221 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)Cn2ccc(C)n2)C1 ZINC001107969116 751200025 /nfs/dbraw/zinc/20/00/25/751200025.db2.gz LNFQTOYOMNTWAJ-RHSMWYFYSA-N 1 2 320.437 1.221 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](C)C(=O)N(C)C ZINC001032557906 751299982 /nfs/dbraw/zinc/29/99/82/751299982.db2.gz WMKIIZPTYAHJQC-IHRRRGAJSA-N 1 2 307.438 1.351 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](C)C(=O)N(C)C ZINC001032557906 751299984 /nfs/dbraw/zinc/29/99/84/751299984.db2.gz WMKIIZPTYAHJQC-IHRRRGAJSA-N 1 2 307.438 1.351 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)nsc2C)C1 ZINC001108427517 762135407 /nfs/dbraw/zinc/13/54/07/762135407.db2.gz ZXHROBSHKNMIPS-HNNXBMFYSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)nsc2C)C1 ZINC001108427517 762135409 /nfs/dbraw/zinc/13/54/09/762135409.db2.gz ZXHROBSHKNMIPS-HNNXBMFYSA-N 1 2 309.435 1.767 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001008493855 752764750 /nfs/dbraw/zinc/76/47/50/752764750.db2.gz PTHJMCJEYCDDNI-STQMWFEESA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001008493855 752764755 /nfs/dbraw/zinc/76/47/55/752764755.db2.gz PTHJMCJEYCDDNI-STQMWFEESA-N 1 2 313.829 1.378 20 30 DDEDLO N#CCN1CCC[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001009071493 753058646 /nfs/dbraw/zinc/05/86/46/753058646.db2.gz DYGLUVQEKBDPAM-HNNXBMFYSA-N 1 2 309.373 1.590 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001009185719 753101805 /nfs/dbraw/zinc/10/18/05/753101805.db2.gz DTXHAYHQPRBAJT-QWHCGFSZSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001009185719 753101810 /nfs/dbraw/zinc/10/18/10/753101810.db2.gz DTXHAYHQPRBAJT-QWHCGFSZSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001009185719 753101814 /nfs/dbraw/zinc/10/18/14/753101814.db2.gz DTXHAYHQPRBAJT-QWHCGFSZSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001009185719 753101817 /nfs/dbraw/zinc/10/18/17/753101817.db2.gz DTXHAYHQPRBAJT-QWHCGFSZSA-N 1 2 303.410 1.066 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CC[C@H](CNc2ncccc2C#N)C1 ZINC001060894747 753292958 /nfs/dbraw/zinc/29/29/58/753292958.db2.gz PXESXFPDHIEGGR-CYBMUJFWSA-N 1 2 324.388 1.190 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ccc(C#N)nc1 ZINC001039767597 762208442 /nfs/dbraw/zinc/20/84/42/762208442.db2.gz OEGWBPOSHSWONN-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3C[C@H](F)CC)nc1 ZINC001032737849 753408905 /nfs/dbraw/zinc/40/89/05/753408905.db2.gz JQTNIGAMJYIZPV-ILXRZTDVSA-N 1 2 301.365 1.710 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3C[C@H](F)CC)nc1 ZINC001032737849 753408911 /nfs/dbraw/zinc/40/89/11/753408911.db2.gz JQTNIGAMJYIZPV-ILXRZTDVSA-N 1 2 301.365 1.710 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1ccccn1 ZINC001009890743 753435924 /nfs/dbraw/zinc/43/59/24/753435924.db2.gz LWINAIAQCHYAIG-KRWDZBQOSA-N 1 2 305.381 1.937 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1ccccn1 ZINC001009890743 753435927 /nfs/dbraw/zinc/43/59/27/753435927.db2.gz LWINAIAQCHYAIG-KRWDZBQOSA-N 1 2 305.381 1.937 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@@H](NC(=O)[C@@H]3CCCO3)C2)c1 ZINC001010053363 753576691 /nfs/dbraw/zinc/57/66/91/753576691.db2.gz FGHHSESEUAEJOS-ZBFHGGJFSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@@H](NC(=O)[C@@H]3CCCO3)C2)c1 ZINC001010053363 753576696 /nfs/dbraw/zinc/57/66/96/753576696.db2.gz FGHHSESEUAEJOS-ZBFHGGJFSA-N 1 2 317.364 1.567 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)on1 ZINC001010156713 753646076 /nfs/dbraw/zinc/64/60/76/753646076.db2.gz YIVGDGYSQORNHG-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)on1 ZINC001010156713 753646081 /nfs/dbraw/zinc/64/60/81/753646081.db2.gz YIVGDGYSQORNHG-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccsc2COC)C1 ZINC001108028572 753725084 /nfs/dbraw/zinc/72/50/84/753725084.db2.gz IFSQJMWRJCWKHD-MRXNPFEDSA-N 1 2 324.446 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccsc2COC)C1 ZINC001108028572 753725088 /nfs/dbraw/zinc/72/50/88/753725088.db2.gz IFSQJMWRJCWKHD-MRXNPFEDSA-N 1 2 324.446 1.901 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cc(OCC)n[nH]2)CC1 ZINC001000827736 762238369 /nfs/dbraw/zinc/23/83/69/762238369.db2.gz AISGVCSEPQWGOY-UHFFFAOYSA-N 1 2 324.812 1.923 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cc(OCC)n[nH]2)CC1 ZINC001000827736 762238374 /nfs/dbraw/zinc/23/83/74/762238374.db2.gz AISGVCSEPQWGOY-UHFFFAOYSA-N 1 2 324.812 1.923 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cccc(F)c2O1 ZINC001032790935 753741192 /nfs/dbraw/zinc/74/11/92/753741192.db2.gz CPIBOHJULFSBRB-KCQAQPDRSA-N 1 2 300.333 1.048 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cccc(F)c2O1 ZINC001032790935 753741199 /nfs/dbraw/zinc/74/11/99/753741199.db2.gz CPIBOHJULFSBRB-KCQAQPDRSA-N 1 2 300.333 1.048 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)[C@@H]3CCOC3)C2)c(F)c1 ZINC001010360629 753808931 /nfs/dbraw/zinc/80/89/31/753808931.db2.gz QHXSBNFXKAULCS-HUUCEWRRSA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)[C@@H]3CCOC3)C2)c(F)c1 ZINC001010360629 753808933 /nfs/dbraw/zinc/80/89/33/753808933.db2.gz QHXSBNFXKAULCS-HUUCEWRRSA-N 1 2 317.364 1.424 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)CC[C@@H]1Nc1ncccc1C#N ZINC001063759909 754324460 /nfs/dbraw/zinc/32/44/60/754324460.db2.gz DEKHHQZCRLWDDF-OCCSQVGLSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064072033 754484224 /nfs/dbraw/zinc/48/42/24/754484224.db2.gz OJNHSUZJJCGSMU-OLZOCXBDSA-N 1 2 318.421 1.566 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(COC)s1 ZINC001032819913 754509950 /nfs/dbraw/zinc/50/99/50/754509950.db2.gz VPJDBDKGUGOZRC-RYUDHWBXSA-N 1 2 305.403 1.212 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(COC)s1 ZINC001032819913 754509952 /nfs/dbraw/zinc/50/99/52/754509952.db2.gz VPJDBDKGUGOZRC-RYUDHWBXSA-N 1 2 305.403 1.212 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001011731120 754693334 /nfs/dbraw/zinc/69/33/34/754693334.db2.gz IAMSDFQEAUJLEH-UHFFFAOYSA-N 1 2 304.394 1.156 20 30 DDEDLO C[C@H]1C[C@H](Nc2ncccc2C#N)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064792060 754858831 /nfs/dbraw/zinc/85/88/31/754858831.db2.gz MEJWTJIIEYAEJL-GXTWGEPZSA-N 1 2 324.388 1.710 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc3ccsc32)[C@@H](O)C1 ZINC001083918529 754900929 /nfs/dbraw/zinc/90/09/29/754900929.db2.gz LLAXTDPJUXPOTL-KGLIPLIRSA-N 1 2 300.383 1.309 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc3ccsc32)[C@@H](O)C1 ZINC001083918529 754900931 /nfs/dbraw/zinc/90/09/31/754900931.db2.gz LLAXTDPJUXPOTL-KGLIPLIRSA-N 1 2 300.383 1.309 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001079473518 755368255 /nfs/dbraw/zinc/36/82/55/755368255.db2.gz PJRXECGGIAFPAV-ZIAGYGMSSA-N 1 2 316.405 1.539 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001079473518 755368260 /nfs/dbraw/zinc/36/82/60/755368260.db2.gz PJRXECGGIAFPAV-ZIAGYGMSSA-N 1 2 316.405 1.539 20 30 DDEDLO C[C@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001040112965 762391009 /nfs/dbraw/zinc/39/10/09/762391009.db2.gz JPVNJCJFOQHXEL-JSGCOSHPSA-N 1 2 324.388 1.710 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H](Nc3cc[nH+]c(C)n3)[C@@H]2C)nc1 ZINC001040158180 762414101 /nfs/dbraw/zinc/41/41/01/762414101.db2.gz BHAGRENFMCDSFD-WFASDCNBSA-N 1 2 321.384 1.876 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001014597345 755820070 /nfs/dbraw/zinc/82/00/70/755820070.db2.gz UVSLBRHXDQQECF-JTQLQIEISA-N 1 2 305.769 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001014597345 755820074 /nfs/dbraw/zinc/82/00/74/755820074.db2.gz UVSLBRHXDQQECF-JTQLQIEISA-N 1 2 305.769 1.515 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2sc3nccn3c2C)C1 ZINC001014761639 755926164 /nfs/dbraw/zinc/92/61/64/755926164.db2.gz SOYDMUQQPFCNON-LBPRGKRZSA-N 1 2 302.403 1.532 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2sc3nccn3c2C)C1 ZINC001014761639 755926165 /nfs/dbraw/zinc/92/61/65/755926165.db2.gz SOYDMUQQPFCNON-LBPRGKRZSA-N 1 2 302.403 1.532 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001015444831 756305667 /nfs/dbraw/zinc/30/56/67/756305667.db2.gz CTDIKSKUSQOANW-LBPRGKRZSA-N 1 2 309.797 1.961 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)cc(C)[nH]c2=O)C1 ZINC001015444831 756305673 /nfs/dbraw/zinc/30/56/73/756305673.db2.gz CTDIKSKUSQOANW-LBPRGKRZSA-N 1 2 309.797 1.961 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cnon3)C2)cc1F ZINC001015876122 756609635 /nfs/dbraw/zinc/60/96/35/756609635.db2.gz FYFLSNCZMRZVDW-LBPRGKRZSA-N 1 2 315.308 1.085 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cnon3)C2)cc1F ZINC001015876122 756609638 /nfs/dbraw/zinc/60/96/38/756609638.db2.gz FYFLSNCZMRZVDW-LBPRGKRZSA-N 1 2 315.308 1.085 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CCC3CCCC3)CC2=O)C1 ZINC001108500208 762483513 /nfs/dbraw/zinc/48/35/13/762483513.db2.gz MTSCLDIDODUNLE-HNNXBMFYSA-N 1 2 319.449 1.544 20 30 DDEDLO Cc1conc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001015950827 756673468 /nfs/dbraw/zinc/67/34/68/756673468.db2.gz HJIVZBSKIUFNGW-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1conc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001015950827 756673469 /nfs/dbraw/zinc/67/34/69/756673469.db2.gz HJIVZBSKIUFNGW-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccn(C(CC)CC)n2)[C@H](OC)C1 ZINC001082199726 756673980 /nfs/dbraw/zinc/67/39/80/756673980.db2.gz ALVUZOYHHHMKMG-HZPDHXFCSA-N 1 2 318.421 1.306 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccn(C(CC)CC)n2)[C@H](OC)C1 ZINC001082199726 756673983 /nfs/dbraw/zinc/67/39/83/756673983.db2.gz ALVUZOYHHHMKMG-HZPDHXFCSA-N 1 2 318.421 1.306 20 30 DDEDLO Cn1cncc1CC(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015996658 756705025 /nfs/dbraw/zinc/70/50/25/756705025.db2.gz MZRRUQQGRJTWKX-MRXNPFEDSA-N 1 2 323.400 1.225 20 30 DDEDLO Cn1cncc1CC(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015996658 756705026 /nfs/dbraw/zinc/70/50/26/756705026.db2.gz MZRRUQQGRJTWKX-MRXNPFEDSA-N 1 2 323.400 1.225 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)cc(OC)c2)[C@H](OC)C1 ZINC001082264249 756714006 /nfs/dbraw/zinc/71/40/06/756714006.db2.gz RDQAQAKUNVPYDQ-HUUCEWRRSA-N 1 2 322.792 1.411 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)cc(OC)c2)[C@H](OC)C1 ZINC001082264249 756714010 /nfs/dbraw/zinc/71/40/10/756714010.db2.gz RDQAQAKUNVPYDQ-HUUCEWRRSA-N 1 2 322.792 1.411 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)o3)C2)nc1 ZINC001016026171 756730530 /nfs/dbraw/zinc/73/05/30/756730530.db2.gz DHJBSAFHJOKEQD-AWEZNQCLSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)o3)C2)nc1 ZINC001016026171 756730535 /nfs/dbraw/zinc/73/05/35/756730535.db2.gz DHJBSAFHJOKEQD-AWEZNQCLSA-N 1 2 310.357 1.364 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2sccc2COC)[C@H](OC)C1 ZINC001082324987 756738811 /nfs/dbraw/zinc/73/88/11/756738811.db2.gz LAVMWTOCCRWXGL-ZIAGYGMSSA-N 1 2 322.430 1.347 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2sccc2COC)[C@H](OC)C1 ZINC001082324987 756738813 /nfs/dbraw/zinc/73/88/13/756738813.db2.gz LAVMWTOCCRWXGL-ZIAGYGMSSA-N 1 2 322.430 1.347 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cnc(C3CC3)s2)[C@H](OC)C1 ZINC001082336966 756743929 /nfs/dbraw/zinc/74/39/29/756743929.db2.gz SQFBZBODUXLXBK-VXGBXAGGSA-N 1 2 307.419 1.636 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cnc(C3CC3)s2)[C@H](OC)C1 ZINC001082336966 756743932 /nfs/dbraw/zinc/74/39/32/756743932.db2.gz SQFBZBODUXLXBK-VXGBXAGGSA-N 1 2 307.419 1.636 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCCC3)[C@H](OC)C1 ZINC001082356797 756749905 /nfs/dbraw/zinc/74/99/05/756749905.db2.gz QNMOCJKCVCSNIJ-ZIAGYGMSSA-N 1 2 318.442 1.689 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCCC3)[C@H](OC)C1 ZINC001082356797 756749908 /nfs/dbraw/zinc/74/99/08/756749908.db2.gz QNMOCJKCVCSNIJ-ZIAGYGMSSA-N 1 2 318.442 1.689 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2nc(C3CC3)nn2C)C1 ZINC001016298597 756913188 /nfs/dbraw/zinc/91/31/88/756913188.db2.gz UKOZUFJUILLGDY-LBPRGKRZSA-N 1 2 323.828 1.178 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2nc(C3CC3)nn2C)C1 ZINC001016298597 756913191 /nfs/dbraw/zinc/91/31/91/756913191.db2.gz UKOZUFJUILLGDY-LBPRGKRZSA-N 1 2 323.828 1.178 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(C(=O)NC)c2)C1 ZINC001016302911 756915053 /nfs/dbraw/zinc/91/50/53/756915053.db2.gz QFONBDCYKWOYSD-AWEZNQCLSA-N 1 2 321.808 1.603 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(C(=O)NC)c2)C1 ZINC001016302911 756915057 /nfs/dbraw/zinc/91/50/57/756915057.db2.gz QFONBDCYKWOYSD-AWEZNQCLSA-N 1 2 321.808 1.603 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CCCCC)CC2=O)C1 ZINC001108506012 762505604 /nfs/dbraw/zinc/50/56/04/762505604.db2.gz IRCIIEFPORYHTC-CQSZACIVSA-N 1 2 307.438 1.544 20 30 DDEDLO C=CCCCC(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001165531116 756954620 /nfs/dbraw/zinc/95/46/20/756954620.db2.gz PHLKYIZOUYWZJI-UHFFFAOYSA-N 1 2 310.398 1.211 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCO[C@@H]2C[N@H+](Cc3cccc(F)c3)C[C@@H]21 ZINC001083026890 757066229 /nfs/dbraw/zinc/06/62/29/757066229.db2.gz QXOZVZOBEAKDAH-VBNZEHGJSA-N 1 2 317.364 1.397 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCO[C@@H]2C[N@@H+](Cc3cccc(F)c3)C[C@@H]21 ZINC001083026890 757066235 /nfs/dbraw/zinc/06/62/35/757066235.db2.gz QXOZVZOBEAKDAH-VBNZEHGJSA-N 1 2 317.364 1.397 20 30 DDEDLO CC[C@@H](F)C[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C#N)c[nH]3)[C@H]2C1 ZINC001083040333 757087963 /nfs/dbraw/zinc/08/79/63/757087963.db2.gz IIGJBKCHIKNBGW-VHDGCEQUSA-N 1 2 320.368 1.160 20 30 DDEDLO CC[C@@H](F)C[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C#N)c[nH]3)[C@H]2C1 ZINC001083040333 757087971 /nfs/dbraw/zinc/08/79/71/757087971.db2.gz IIGJBKCHIKNBGW-VHDGCEQUSA-N 1 2 320.368 1.160 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)[C@@H](O)C1 ZINC001084046656 757259443 /nfs/dbraw/zinc/25/94/43/757259443.db2.gz CDBYIDGCQHFIBZ-SJORKVTESA-N 1 2 311.385 1.193 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)[C@@H](O)C1 ZINC001084046656 757259445 /nfs/dbraw/zinc/25/94/45/757259445.db2.gz CDBYIDGCQHFIBZ-SJORKVTESA-N 1 2 311.385 1.193 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C(CC)CC)CC2=O)C1 ZINC001108511200 762538116 /nfs/dbraw/zinc/53/81/16/762538116.db2.gz MXGKIFRBVYLPGC-CQSZACIVSA-N 1 2 307.438 1.400 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cc[nH]n2)cc1 ZINC001017373228 757874002 /nfs/dbraw/zinc/87/40/02/757874002.db2.gz OTYAVNAXRVLHSV-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cc[nH]n2)cc1 ZINC001017373228 757874012 /nfs/dbraw/zinc/87/40/12/757874012.db2.gz OTYAVNAXRVLHSV-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccn[nH]2)cc1 ZINC001017373228 757874024 /nfs/dbraw/zinc/87/40/24/757874024.db2.gz OTYAVNAXRVLHSV-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccn[nH]2)cc1 ZINC001017373228 757874032 /nfs/dbraw/zinc/87/40/32/757874032.db2.gz OTYAVNAXRVLHSV-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)(C)c3c[nH+]c[nH]3)[C@@H]2C1 ZINC001084776109 757899267 /nfs/dbraw/zinc/89/92/67/757899267.db2.gz IYCANNLFXWKNBS-CHWSQXEVSA-N 1 2 322.840 1.973 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098295808 757933397 /nfs/dbraw/zinc/93/33/97/757933397.db2.gz POSGBUUYTCMQDX-NSHDSACASA-N 1 2 320.441 1.765 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1CC1CCC1 ZINC001017556502 758024685 /nfs/dbraw/zinc/02/46/85/758024685.db2.gz GZWCGJLNRLBLRB-IYBDPMFKSA-N 1 2 312.417 1.605 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1CC1CCC1 ZINC001017556502 758024691 /nfs/dbraw/zinc/02/46/91/758024691.db2.gz GZWCGJLNRLBLRB-IYBDPMFKSA-N 1 2 312.417 1.605 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nn[nH]c21 ZINC001017583467 758050668 /nfs/dbraw/zinc/05/06/68/758050668.db2.gz YQQQEIBUYIKJCI-BETUJISGSA-N 1 2 309.373 1.270 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nn[nH]c21 ZINC001017583467 758050676 /nfs/dbraw/zinc/05/06/76/758050676.db2.gz YQQQEIBUYIKJCI-BETUJISGSA-N 1 2 309.373 1.270 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1Cc2ccccc2O1 ZINC001017582702 758050761 /nfs/dbraw/zinc/05/07/61/758050761.db2.gz PGTWIMJRWWMOJB-IXDOHACOSA-N 1 2 310.397 1.689 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1Cc2ccccc2O1 ZINC001017582702 758050773 /nfs/dbraw/zinc/05/07/73/758050773.db2.gz PGTWIMJRWWMOJB-IXDOHACOSA-N 1 2 310.397 1.689 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2ncncc2C1 ZINC001017610242 758079184 /nfs/dbraw/zinc/07/91/84/758079184.db2.gz CMNDGSPDEHJREE-OIISXLGYSA-N 1 2 324.428 1.280 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2ncncc2C1 ZINC001017610242 758079188 /nfs/dbraw/zinc/07/91/88/758079188.db2.gz CMNDGSPDEHJREE-OIISXLGYSA-N 1 2 324.428 1.280 20 30 DDEDLO C#CC1(O)CCN(C(=O)c2cccc(Cn3cc[nH+]c3)c2)CC1 ZINC000820345489 758094508 /nfs/dbraw/zinc/09/45/08/758094508.db2.gz AOCQMGMXSDIDDD-UHFFFAOYSA-N 1 2 309.369 1.532 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)nnn2C ZINC001017664607 758133010 /nfs/dbraw/zinc/13/30/10/758133010.db2.gz JOONPYFFFUCFFN-GASCZTMLSA-N 1 2 323.400 1.280 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)nnn2C ZINC001017664607 758133019 /nfs/dbraw/zinc/13/30/19/758133019.db2.gz JOONPYFFFUCFFN-GASCZTMLSA-N 1 2 323.400 1.280 20 30 DDEDLO N#CCN1CCC[C@@H]([C@@H]2CCCCN2C(=O)Cn2cc[nH+]c2)C1 ZINC001053112893 758165637 /nfs/dbraw/zinc/16/56/37/758165637.db2.gz YHFODYPYNFWABN-CVEARBPZSA-N 1 2 315.421 1.500 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cccc(CC)n1)CCO2 ZINC001053288165 758343669 /nfs/dbraw/zinc/34/36/69/758343669.db2.gz FCYCYFDTPPIDMA-UHFFFAOYSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn(C)c2c1CCCC2 ZINC001017977654 758434891 /nfs/dbraw/zinc/43/48/91/758434891.db2.gz GMAHBQWUHNLBRR-OKILXGFUSA-N 1 2 314.433 1.774 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn(C)c2c1CCCC2 ZINC001017977654 758434898 /nfs/dbraw/zinc/43/48/98/758434898.db2.gz GMAHBQWUHNLBRR-OKILXGFUSA-N 1 2 314.433 1.774 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCOC[C@H]1C)CCO2 ZINC001053415776 758446101 /nfs/dbraw/zinc/44/61/01/758446101.db2.gz DCTXNTAOEZTIQW-CABCVRRESA-N 1 2 308.422 1.148 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c[nH]c(=O)cc1C)CCO2 ZINC001053424115 758451960 /nfs/dbraw/zinc/45/19/60/758451960.db2.gz ABBIEAQGFOMTPM-UHFFFAOYSA-N 1 2 317.389 1.199 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cccnn21 ZINC001018036712 758494954 /nfs/dbraw/zinc/49/49/54/758494954.db2.gz KQNSUZPJYBLTQI-IYBDPMFKSA-N 1 2 308.385 1.646 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cccnn21 ZINC001018036712 758494957 /nfs/dbraw/zinc/49/49/57/758494957.db2.gz KQNSUZPJYBLTQI-IYBDPMFKSA-N 1 2 308.385 1.646 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001053503300 758508770 /nfs/dbraw/zinc/50/87/70/758508770.db2.gz JTCNWVCOGCCFEA-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001067218046 758514928 /nfs/dbraw/zinc/51/49/28/758514928.db2.gz PWVYOUYSVUIWNG-BLLLJJGKSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001067218046 758514931 /nfs/dbraw/zinc/51/49/31/758514931.db2.gz PWVYOUYSVUIWNG-BLLLJJGKSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(OC)cc1)CCO2 ZINC001053516281 758523862 /nfs/dbraw/zinc/52/38/62/758523862.db2.gz KPSDKUFZUCLLTR-UHFFFAOYSA-N 1 2 316.401 1.798 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1cccc(F)c1)CCO2 ZINC001053526134 758534322 /nfs/dbraw/zinc/53/43/22/758534322.db2.gz YNXJHUXMDFUOCU-UHFFFAOYSA-N 1 2 318.392 1.858 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CCC)nc1C ZINC001018102049 758548559 /nfs/dbraw/zinc/54/85/59/758548559.db2.gz JXQMSVDIVWFIPZ-IYBDPMFKSA-N 1 2 314.433 1.914 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CCC)nc1C ZINC001018102049 758548564 /nfs/dbraw/zinc/54/85/64/758548564.db2.gz JXQMSVDIVWFIPZ-IYBDPMFKSA-N 1 2 314.433 1.914 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c[nH]c(C#N)c1)O2 ZINC001053593365 758612801 /nfs/dbraw/zinc/61/28/01/758612801.db2.gz IFFACMKCFSOCGH-CQSZACIVSA-N 1 2 300.362 1.036 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cncnc1)O2 ZINC001053594451 758613661 /nfs/dbraw/zinc/61/36/61/758613661.db2.gz LYXISHVVRZCKHP-AWEZNQCLSA-N 1 2 302.378 1.016 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1CCC(F)(F)C1)O2 ZINC001053608899 758629212 /nfs/dbraw/zinc/62/92/12/758629212.db2.gz OFNKBHGNCMIQOD-QWHCGFSZSA-N 1 2 314.376 1.957 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1n[nH]c3c1CCC3)O2 ZINC001053621422 758639793 /nfs/dbraw/zinc/63/97/93/758639793.db2.gz GMMNEPSLKJDJHE-LBPRGKRZSA-N 1 2 316.405 1.048 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2oc1=O ZINC001018233339 758655593 /nfs/dbraw/zinc/65/55/93/758655593.db2.gz HQPWMAQYNJHJPM-GASCZTMLSA-N 1 2 322.364 1.715 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2oc1=O ZINC001018233339 758655600 /nfs/dbraw/zinc/65/56/00/758655600.db2.gz HQPWMAQYNJHJPM-GASCZTMLSA-N 1 2 322.364 1.715 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1C[C@H]3C[C@H]3C1)O2 ZINC001053651661 758664114 /nfs/dbraw/zinc/66/41/14/758664114.db2.gz IJQWHRYJZCTEOM-JJXSEGSLSA-N 1 2 304.434 1.958 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1CCC(OC)CC1)O2 ZINC001053655014 758668058 /nfs/dbraw/zinc/66/80/58/758668058.db2.gz ZMYHOWQOYABRGP-GPANFISMSA-N 1 2 322.449 1.727 20 30 DDEDLO C#CCCCCCC(=O)N1CC[NH+]([C@H]2CCN(C(C)=O)C2)CC1 ZINC001065676019 758695622 /nfs/dbraw/zinc/69/56/22/758695622.db2.gz GDWCPSAZMJZMMU-KRWDZBQOSA-N 1 2 319.449 1.335 20 30 DDEDLO Cc1nc(N[C@H](C)[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001065677181 758697149 /nfs/dbraw/zinc/69/71/49/758697149.db2.gz HPJCBRTZAQEBME-YPMHNXCESA-N 1 2 324.388 1.948 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C(C)C)CC2)C1 ZINC001065692188 758710540 /nfs/dbraw/zinc/71/05/40/758710540.db2.gz PWFJFWJFPXQHQC-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3C)C2)CC1 ZINC001065708560 758728378 /nfs/dbraw/zinc/72/83/78/758728378.db2.gz FEACBXFPDBLZDG-BZUAXINKSA-N 1 2 319.449 1.354 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(=O)[C@@H](C)CC)C2)CC1 ZINC001018358155 758756618 /nfs/dbraw/zinc/75/66/18/758756618.db2.gz MHHZTANQYAICBV-JKSUJKDBSA-N 1 2 321.465 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)nc1)CO2 ZINC001053742439 758757082 /nfs/dbraw/zinc/75/70/82/758757082.db2.gz WATOLOWIFMNATG-OAHLLOKOSA-N 1 2 301.390 1.539 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](OC)C1CCCCC1 ZINC001018356710 758757306 /nfs/dbraw/zinc/75/73/06/758757306.db2.gz WOHHUMATWGZXDP-BBWFWOEESA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](OC)C1CCCCC1 ZINC001018356710 758757312 /nfs/dbraw/zinc/75/73/12/758757312.db2.gz WOHHUMATWGZXDP-BBWFWOEESA-N 1 2 304.434 1.890 20 30 DDEDLO C[C@H](Nc1ncccc1C#N)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065780078 758794481 /nfs/dbraw/zinc/79/44/81/758794481.db2.gz PIYFIBWOCSIVLQ-JSGCOSHPSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccc(C)o1)CO2 ZINC001053780896 758805451 /nfs/dbraw/zinc/80/54/51/758805451.db2.gz WOKFWFWZHUABDY-AWEZNQCLSA-N 1 2 304.390 1.666 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)C(CC)CC)CC2=O)C1 ZINC001108553882 762666797 /nfs/dbraw/zinc/66/67/97/762666797.db2.gz XYPBZOSUEVCXMX-UKRRQHHQSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCN1CC2(C1)C[C@H](NC(=O)c1cc3c[nH+]ccc3[nH]1)CO2 ZINC001053848426 758880774 /nfs/dbraw/zinc/88/07/74/758880774.db2.gz ZWBASQZFAWVSCY-ZDUSSCGKSA-N 1 2 312.373 1.322 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1Cc3ccccc3C1)CO2 ZINC001053936152 758971317 /nfs/dbraw/zinc/97/13/17/758971317.db2.gz FOTOTWRUEHFUHX-SFHVURJKSA-N 1 2 324.424 1.384 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cn(CC)nc1C)CO2 ZINC001053943440 758979025 /nfs/dbraw/zinc/97/90/25/758979025.db2.gz GBDZPHASXXMNKG-AWEZNQCLSA-N 1 2 318.421 1.361 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1csc(Cl)c1)CO2 ZINC001053945775 758981880 /nfs/dbraw/zinc/98/18/80/758981880.db2.gz GRMOHOVQGANVJU-GFCCVEGCSA-N 1 2 324.833 1.998 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ncoc1C(C)C)CO2 ZINC001053975049 759013409 /nfs/dbraw/zinc/01/34/09/759013409.db2.gz BEUGSWFKPIDBTR-ZDUSSCGKSA-N 1 2 319.405 1.947 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccccc1C)CO2 ZINC001054004297 759050763 /nfs/dbraw/zinc/05/07/63/759050763.db2.gz LASOSBWJTLDWOS-QGZVFWFLSA-N 1 2 312.413 1.520 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cccc(=O)[nH]1 ZINC001054036106 759091560 /nfs/dbraw/zinc/09/15/60/759091560.db2.gz CHYXYRXVYWFRLE-INIZCTEOSA-N 1 2 321.380 1.577 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cccc(=O)[nH]1 ZINC001054036106 759091567 /nfs/dbraw/zinc/09/15/67/759091567.db2.gz CHYXYRXVYWFRLE-INIZCTEOSA-N 1 2 321.380 1.577 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1c[nH]nc1C ZINC001054048435 759104913 /nfs/dbraw/zinc/10/49/13/759104913.db2.gz BFPFURMVQHTVDN-QGZVFWFLSA-N 1 2 322.412 1.898 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1c[nH]nc1C ZINC001054048435 759104919 /nfs/dbraw/zinc/10/49/19/759104919.db2.gz BFPFURMVQHTVDN-QGZVFWFLSA-N 1 2 322.412 1.898 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1c(C)cnn1C ZINC001054049644 759105588 /nfs/dbraw/zinc/10/55/88/759105588.db2.gz DLOXNSWNURVKDL-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1c(C)cnn1C ZINC001054049644 759105594 /nfs/dbraw/zinc/10/55/94/759105594.db2.gz DLOXNSWNURVKDL-QGZVFWFLSA-N 1 2 322.412 1.518 20 30 DDEDLO C/C(=C\C(=O)N1CCCC(C)(C)[C@@H]1C#N)C[NH+]1CCOCC1 ZINC000826702855 759304025 /nfs/dbraw/zinc/30/40/25/759304025.db2.gz BOVJMJVCTAZVHF-GOFCXVBSSA-N 1 2 305.422 1.806 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cncc(OC)n3)cc2C1 ZINC001054285076 759392002 /nfs/dbraw/zinc/39/20/02/759392002.db2.gz RSYIAULZVAUUBR-UHFFFAOYSA-N 1 2 322.368 1.364 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cncc(OC)n3)cc2C1 ZINC001054285076 759392014 /nfs/dbraw/zinc/39/20/14/759392014.db2.gz RSYIAULZVAUUBR-UHFFFAOYSA-N 1 2 322.368 1.364 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnn(C)c3OC)cc2C1 ZINC001054291003 759397400 /nfs/dbraw/zinc/39/74/00/759397400.db2.gz POBMOZLEIGRHOJ-UHFFFAOYSA-N 1 2 324.384 1.308 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnn(C)c3OC)cc2C1 ZINC001054291003 759397406 /nfs/dbraw/zinc/39/74/06/759397406.db2.gz POBMOZLEIGRHOJ-UHFFFAOYSA-N 1 2 324.384 1.308 20 30 DDEDLO CC(C)C[C@@H](C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131098604 767874461 /nfs/dbraw/zinc/87/44/61/767874461.db2.gz HKPXTEGUVRTIKG-RBSFLKMASA-N 1 2 317.437 1.510 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)c2cc(C#N)c[nH]2)ncn1 ZINC001085534263 759645033 /nfs/dbraw/zinc/64/50/33/759645033.db2.gz GSWNOGCSPKCRCI-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)c2cc(C#N)c[nH]2)ncn1 ZINC001085534263 759645038 /nfs/dbraw/zinc/64/50/38/759645038.db2.gz GSWNOGCSPKCRCI-OAHLLOKOSA-N 1 2 324.388 1.331 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn([C@H](C)COC)nc1C ZINC001085596995 759807498 /nfs/dbraw/zinc/80/74/98/759807498.db2.gz NXDWAGZVDQWPAU-HIFRSBDPSA-N 1 2 318.421 1.178 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn([C@H](C)COC)nc1C ZINC001085596995 759807506 /nfs/dbraw/zinc/80/75/06/759807506.db2.gz NXDWAGZVDQWPAU-HIFRSBDPSA-N 1 2 318.421 1.178 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnn1CC1CCC1 ZINC001085597665 759809393 /nfs/dbraw/zinc/80/93/93/759809393.db2.gz CRCJSLAVESXDGY-OAHLLOKOSA-N 1 2 300.406 1.463 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnn1CC1CCC1 ZINC001085597665 759809400 /nfs/dbraw/zinc/80/94/00/759809400.db2.gz CRCJSLAVESXDGY-OAHLLOKOSA-N 1 2 300.406 1.463 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)n(C(F)F)n1 ZINC001085620563 759875562 /nfs/dbraw/zinc/87/55/62/759875562.db2.gz RSERIBAFGCZMAD-LBPRGKRZSA-N 1 2 310.348 1.756 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)n(C(F)F)n1 ZINC001085620563 759875578 /nfs/dbraw/zinc/87/55/78/759875578.db2.gz RSERIBAFGCZMAD-LBPRGKRZSA-N 1 2 310.348 1.756 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cncn1-c1ccccc1 ZINC001085641002 759917367 /nfs/dbraw/zinc/91/73/67/759917367.db2.gz AUCJJKVUWMAYJW-MRXNPFEDSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cncn1-c1ccccc1 ZINC001085641002 759917369 /nfs/dbraw/zinc/91/73/69/759917369.db2.gz AUCJJKVUWMAYJW-MRXNPFEDSA-N 1 2 308.385 1.652 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001046771556 767910660 /nfs/dbraw/zinc/91/06/60/767910660.db2.gz NKXATCWGZHAXEN-IAQYHMDHSA-N 1 2 323.828 1.243 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001046771556 767910664 /nfs/dbraw/zinc/91/06/64/767910664.db2.gz NKXATCWGZHAXEN-IAQYHMDHSA-N 1 2 323.828 1.243 20 30 DDEDLO C#CC[N@H+]1CC[C@@](C)(NC(=O)c2ccc3c(c2)occc3=O)C1 ZINC001046773690 767913910 /nfs/dbraw/zinc/91/39/10/767913910.db2.gz OPBSQZUHIYCPKN-GOSISDBHSA-N 1 2 310.353 1.620 20 30 DDEDLO C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2ccc3c(c2)occc3=O)C1 ZINC001046773690 767913913 /nfs/dbraw/zinc/91/39/13/767913913.db2.gz OPBSQZUHIYCPKN-GOSISDBHSA-N 1 2 310.353 1.620 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001085707166 760078308 /nfs/dbraw/zinc/07/83/08/760078308.db2.gz FHHYWCDVDGNONE-HOCLYGCPSA-N 1 2 318.804 1.809 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001085707166 760078316 /nfs/dbraw/zinc/07/83/16/760078316.db2.gz FHHYWCDVDGNONE-HOCLYGCPSA-N 1 2 318.804 1.809 20 30 DDEDLO Cc1nc(NCC[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001066303875 760134330 /nfs/dbraw/zinc/13/43/30/760134330.db2.gz UNBAKPRLTWIVFX-CYBMUJFWSA-N 1 2 324.388 1.949 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc2ccccc2nn1 ZINC001085753567 760159448 /nfs/dbraw/zinc/15/94/48/760159448.db2.gz JVWMUCYNJWGOFH-OAHLLOKOSA-N 1 2 308.385 1.799 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc2ccccc2nn1 ZINC001085753567 760159451 /nfs/dbraw/zinc/15/94/51/760159451.db2.gz JVWMUCYNJWGOFH-OAHLLOKOSA-N 1 2 308.385 1.799 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069221127 767924869 /nfs/dbraw/zinc/92/48/69/767924869.db2.gz JLWMBLIUEIAPMV-LRDDRELGSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069221127 767924874 /nfs/dbraw/zinc/92/48/74/767924874.db2.gz JLWMBLIUEIAPMV-LRDDRELGSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001046826573 767956602 /nfs/dbraw/zinc/95/66/02/767956602.db2.gz CWBWJLVYVMHWAF-HNNXBMFYSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001046826573 767956606 /nfs/dbraw/zinc/95/66/06/767956606.db2.gz CWBWJLVYVMHWAF-HNNXBMFYSA-N 1 2 319.796 1.905 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(-n2ccnc2)c1 ZINC001085905917 760510333 /nfs/dbraw/zinc/51/03/33/760510333.db2.gz UJGJHGCFOQTDEV-KRWDZBQOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(-n2ccnc2)c1 ZINC001085905917 760510340 /nfs/dbraw/zinc/51/03/40/760510340.db2.gz UJGJHGCFOQTDEV-KRWDZBQOSA-N 1 2 308.385 1.652 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(Cl)n(C)nc1CC ZINC001085916400 760523595 /nfs/dbraw/zinc/52/35/95/760523595.db2.gz YXFXZQAJLBTXAI-LBPRGKRZSA-N 1 2 322.840 1.806 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(Cl)n(C)nc1CC ZINC001085916400 760523602 /nfs/dbraw/zinc/52/36/02/760523602.db2.gz YXFXZQAJLBTXAI-LBPRGKRZSA-N 1 2 322.840 1.806 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CCN2Cc2c[nH+]cn2C)nc1 ZINC001085930063 760567590 /nfs/dbraw/zinc/56/75/90/760567590.db2.gz MYLOPNBXICXKIY-OAHLLOKOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1OC(C)C ZINC001085953388 760599023 /nfs/dbraw/zinc/59/90/23/760599023.db2.gz ZGEHINIGJGAHRZ-AWEZNQCLSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1OC(C)C ZINC001085953388 760599029 /nfs/dbraw/zinc/59/90/29/760599029.db2.gz ZGEHINIGJGAHRZ-AWEZNQCLSA-N 1 2 301.390 1.648 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(CC)cn2)C1 ZINC001108229082 760653759 /nfs/dbraw/zinc/65/37/59/760653759.db2.gz AJXWNETWYMTSJC-GOSISDBHSA-N 1 2 317.433 1.580 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccc(CC)cn2)C1 ZINC001108229082 760653762 /nfs/dbraw/zinc/65/37/62/760653762.db2.gz AJXWNETWYMTSJC-GOSISDBHSA-N 1 2 317.433 1.580 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001108241590 760917841 /nfs/dbraw/zinc/91/78/41/760917841.db2.gz FHFKZPFVOBFDPN-INIZCTEOSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001108241590 760917844 /nfs/dbraw/zinc/91/78/44/760917844.db2.gz FHFKZPFVOBFDPN-INIZCTEOSA-N 1 2 305.378 1.102 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1oc(CC2CC2)nc1C ZINC001038253354 760949081 /nfs/dbraw/zinc/94/90/81/760949081.db2.gz NKMSWHUHDUYOGM-AWEZNQCLSA-N 1 2 301.390 1.763 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1oc(CC2CC2)nc1C ZINC001038253354 760949086 /nfs/dbraw/zinc/94/90/86/760949086.db2.gz NKMSWHUHDUYOGM-AWEZNQCLSA-N 1 2 301.390 1.763 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(-c2ccn(C)c2)n[nH]1 ZINC001038334423 761018239 /nfs/dbraw/zinc/01/82/39/761018239.db2.gz ZZAKHWLMSMQZKS-CQSZACIVSA-N 1 2 311.389 1.243 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(-c2ccn(C)c2)n[nH]1 ZINC001038334423 761018248 /nfs/dbraw/zinc/01/82/48/761018248.db2.gz ZZAKHWLMSMQZKS-CQSZACIVSA-N 1 2 311.389 1.243 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cncn1-c1ccccc1 ZINC001038354228 761039133 /nfs/dbraw/zinc/03/91/33/761039133.db2.gz RIJYZBAQMHENTD-MRXNPFEDSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cncn1-c1ccccc1 ZINC001038354228 761039142 /nfs/dbraw/zinc/03/91/42/761039142.db2.gz RIJYZBAQMHENTD-MRXNPFEDSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccccc1N(C)C(C)=O ZINC001038566303 761202941 /nfs/dbraw/zinc/20/29/41/761202941.db2.gz CFULRPVMARYBPN-OAHLLOKOSA-N 1 2 313.401 1.497 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccccc1N(C)C(C)=O ZINC001038566303 761202945 /nfs/dbraw/zinc/20/29/45/761202945.db2.gz CFULRPVMARYBPN-OAHLLOKOSA-N 1 2 313.401 1.497 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2[C@@H]2CCN(C)C2=O)CC1 ZINC001038667260 761266798 /nfs/dbraw/zinc/26/67/98/761266798.db2.gz MJIFNUUNPHLPGZ-HUUCEWRRSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2[C@@H]2CCN(C)C2=O)CC1 ZINC001038667260 761266801 /nfs/dbraw/zinc/26/68/01/761266801.db2.gz MJIFNUUNPHLPGZ-HUUCEWRRSA-N 1 2 305.422 1.154 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@H+]2Cc2oc(C)nc2C)c1 ZINC001038684265 761285078 /nfs/dbraw/zinc/28/50/78/761285078.db2.gz IJWPHJSMPAXVGB-INIZCTEOSA-N 1 2 324.384 1.672 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2oc(C)nc2C)c1 ZINC001038684265 761285080 /nfs/dbraw/zinc/28/50/80/761285080.db2.gz IJWPHJSMPAXVGB-INIZCTEOSA-N 1 2 324.384 1.672 20 30 DDEDLO CC(C)(C(=O)N1CC[C@@H](Nc2ncccc2C#N)C1)c1c[nH+]c[nH]1 ZINC001056670682 761330223 /nfs/dbraw/zinc/33/02/23/761330223.db2.gz NUJYUJYZFHJLFT-CYBMUJFWSA-N 1 2 324.388 1.667 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001069476173 768032269 /nfs/dbraw/zinc/03/22/69/768032269.db2.gz REKDJYYKYABHJL-UKRRQHHQSA-N 1 2 324.388 1.547 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(-c2ccccn2)c1 ZINC001038770435 761391271 /nfs/dbraw/zinc/39/12/71/761391271.db2.gz SOUMPSWUMNRIAP-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(-c2ccccn2)c1 ZINC001038770435 761391278 /nfs/dbraw/zinc/39/12/78/761391278.db2.gz SOUMPSWUMNRIAP-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001069484050 768034822 /nfs/dbraw/zinc/03/48/22/768034822.db2.gz QOSLJTRYOLGQBJ-TZMCWYRMSA-N 1 2 324.388 1.616 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2[nH]c(=O)n(C)c2c1 ZINC001039105167 761743593 /nfs/dbraw/zinc/74/35/93/761743593.db2.gz QOHXZNNMOLSJBP-CYBMUJFWSA-N 1 2 312.373 1.106 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2[nH]c(=O)n(C)c2c1 ZINC001039105167 761743595 /nfs/dbraw/zinc/74/35/95/761743595.db2.gz QOHXZNNMOLSJBP-CYBMUJFWSA-N 1 2 312.373 1.106 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@H](C=C)CC)CC2=O)C1 ZINC001108567086 762709512 /nfs/dbraw/zinc/70/95/12/762709512.db2.gz VDEGSJVKFVEDNN-KGLIPLIRSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)CC(C)C)CC2=O)C1 ZINC001108583259 762749711 /nfs/dbraw/zinc/74/97/11/762749711.db2.gz KYZCPYQFIKCTKQ-KBPBESRZSA-N 1 2 307.438 1.256 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H](C)CC(C)C)CC2=O)C1 ZINC001108586079 762763014 /nfs/dbraw/zinc/76/30/14/762763014.db2.gz ZGLKZWLUJNIQLN-GJZGRUSLSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CNC(=O)c2cn(C)ccc2=O)CC1 ZINC001001615725 763000717 /nfs/dbraw/zinc/00/07/17/763000717.db2.gz GEBXEJSUWBQMKQ-UHFFFAOYSA-N 1 2 323.824 1.580 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCCN(c3cc[nH+]c(C)n3)CC2)c1 ZINC001057232510 763002775 /nfs/dbraw/zinc/00/27/75/763002775.db2.gz VYFCPYDDCVRSPJ-UHFFFAOYSA-N 1 2 321.384 1.514 20 30 DDEDLO Cc1nc(N(C)C[C@@H](C)NC(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001108880335 763064099 /nfs/dbraw/zinc/06/40/99/763064099.db2.gz PUFPKIILODZTPX-NWDGAFQWSA-N 1 2 315.421 1.764 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCCN(C(=O)CCc3cn[nH]n3)[C@@H]2C1 ZINC001050142472 763206479 /nfs/dbraw/zinc/20/64/79/763206479.db2.gz AFWFZXSYRNBHKV-GXTWGEPZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCCN(C(=O)CCc3cn[nH]n3)[C@@H]2C1 ZINC001050142472 763206487 /nfs/dbraw/zinc/20/64/87/763206487.db2.gz AFWFZXSYRNBHKV-GXTWGEPZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCCN(C(=O)CCc3c[nH]nn3)[C@@H]2C1 ZINC001050142472 763206496 /nfs/dbraw/zinc/20/64/96/763206496.db2.gz AFWFZXSYRNBHKV-GXTWGEPZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCCN(C(=O)CCc3c[nH]nn3)[C@@H]2C1 ZINC001050142472 763206503 /nfs/dbraw/zinc/20/65/03/763206503.db2.gz AFWFZXSYRNBHKV-GXTWGEPZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C)n(C)n1 ZINC001109046462 763263378 /nfs/dbraw/zinc/26/33/78/763263378.db2.gz QCOFVPACIWNEEE-PMPSAXMXSA-N 1 2 302.422 1.916 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C)n(C)n1 ZINC001109046462 763263381 /nfs/dbraw/zinc/26/33/81/763263381.db2.gz QCOFVPACIWNEEE-PMPSAXMXSA-N 1 2 302.422 1.916 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)C1CC(Nc2ccc(C#N)cn2)C1 ZINC001069759167 768129705 /nfs/dbraw/zinc/12/97/05/768129705.db2.gz NJOXCFJOYHOHBM-UHFFFAOYSA-N 1 2 324.388 1.629 20 30 DDEDLO C[C@H](CN(C)c1ccncc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001109294948 763555996 /nfs/dbraw/zinc/55/59/96/763555996.db2.gz YVMVAFXZJLWVFO-MRVWCRGKSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@H](CN(C)c1ccncc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001109294948 763556007 /nfs/dbraw/zinc/55/60/07/763556007.db2.gz YVMVAFXZJLWVFO-MRVWCRGKSA-N 1 2 324.388 1.421 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC ZINC001109326828 763590392 /nfs/dbraw/zinc/59/03/92/763590392.db2.gz IITNQUMUKDNPDO-ILXRZTDVSA-N 1 2 305.422 1.222 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC ZINC001109326828 763590398 /nfs/dbraw/zinc/59/03/98/763590398.db2.gz IITNQUMUKDNPDO-ILXRZTDVSA-N 1 2 305.422 1.222 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109627595 763889245 /nfs/dbraw/zinc/88/92/45/763889245.db2.gz YMONNGWJHLCNTI-PMPSAXMXSA-N 1 2 319.449 1.428 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109627595 763889261 /nfs/dbraw/zinc/88/92/61/763889261.db2.gz YMONNGWJHLCNTI-PMPSAXMXSA-N 1 2 319.449 1.428 20 30 DDEDLO CN(CCCNc1ncccc1C#N)C(=O)CCc1c[nH]c[nH+]1 ZINC001109652996 763912738 /nfs/dbraw/zinc/91/27/38/763912738.db2.gz JUBGMDREBILJQH-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO CN(CCCNc1ncccc1C#N)C(=O)CCc1c[nH+]c[nH]1 ZINC001109652996 763912744 /nfs/dbraw/zinc/91/27/44/763912744.db2.gz JUBGMDREBILJQH-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO C[C@@H](CC(=O)N1CC2(C1)CCN(CC#N)CC2)n1cc[nH+]c1 ZINC001050684768 763916712 /nfs/dbraw/zinc/91/67/12/763916712.db2.gz RNSZPDUAZRAMJH-AWEZNQCLSA-N 1 2 301.394 1.282 20 30 DDEDLO CCC[N@@H+]1CCn2ncc(CNC(=O)c3c[nH]c(C#N)c3)c2C1 ZINC001069857850 768189863 /nfs/dbraw/zinc/18/98/63/768189863.db2.gz OTPIRCNXZJNTGZ-UHFFFAOYSA-N 1 2 312.377 1.238 20 30 DDEDLO CCC[N@H+]1CCn2ncc(CNC(=O)c3c[nH]c(C#N)c3)c2C1 ZINC001069857850 768189869 /nfs/dbraw/zinc/18/98/69/768189869.db2.gz OTPIRCNXZJNTGZ-UHFFFAOYSA-N 1 2 312.377 1.238 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](CNc1ccc(C#N)cn1)C1CC1 ZINC001109882275 764155945 /nfs/dbraw/zinc/15/59/45/764155945.db2.gz VALNLZZRRSUATG-OAHLLOKOSA-N 1 2 324.388 1.534 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1n[nH]c(C)c1C ZINC001050854513 764187900 /nfs/dbraw/zinc/18/79/00/764187900.db2.gz TWJJEJASEZSTFC-AWEZNQCLSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1n[nH]c(C)c1C ZINC001050854513 764187909 /nfs/dbraw/zinc/18/79/09/764187909.db2.gz TWJJEJASEZSTFC-AWEZNQCLSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)CC[NH+]1CC(N(C)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001042724858 764392543 /nfs/dbraw/zinc/39/25/43/764392543.db2.gz DXWKQLXBFAXTOW-OAHLLOKOSA-N 1 2 302.422 1.938 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C)c1CC ZINC001051002032 764405427 /nfs/dbraw/zinc/40/54/27/764405427.db2.gz ZPBYEAQHWLQDEF-AWEZNQCLSA-N 1 2 320.437 1.379 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C)c1CC ZINC001051002032 764405435 /nfs/dbraw/zinc/40/54/35/764405435.db2.gz ZPBYEAQHWLQDEF-AWEZNQCLSA-N 1 2 320.437 1.379 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051058342 764460574 /nfs/dbraw/zinc/46/05/74/764460574.db2.gz CLTMLYFCLISDSI-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ocnc1CC ZINC001051102911 764506877 /nfs/dbraw/zinc/50/68/77/764506877.db2.gz ONMDKRXDFZSHCG-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ocnc1CC ZINC001051102911 764506879 /nfs/dbraw/zinc/50/68/79/764506879.db2.gz ONMDKRXDFZSHCG-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001096151999 768221152 /nfs/dbraw/zinc/22/11/52/768221152.db2.gz NDVDCTWMYFTYGX-IINYFYTJSA-N 1 2 301.394 1.647 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](C[C@@H](O)c2ccc(F)cc2)CC1 ZINC001112688425 764597718 /nfs/dbraw/zinc/59/77/18/764597718.db2.gz OYBKTZXMWZSMPE-MRXNPFEDSA-N 1 2 306.381 1.970 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc(OC)c1 ZINC001051218834 764633506 /nfs/dbraw/zinc/63/35/06/764633506.db2.gz JOWUZCDLWOWFLG-HNNXBMFYSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc(OC)c1 ZINC001051218834 764633509 /nfs/dbraw/zinc/63/35/09/764633509.db2.gz JOWUZCDLWOWFLG-HNNXBMFYSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1[nH]nc2ccccc21 ZINC001051230593 764651842 /nfs/dbraw/zinc/65/18/42/764651842.db2.gz JRPYLCHFBGAOBP-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1[nH]nc2ccccc21 ZINC001051230593 764651844 /nfs/dbraw/zinc/65/18/44/764651844.db2.gz JRPYLCHFBGAOBP-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(CCOCC)CCC2)CC1 ZINC001112858416 764884110 /nfs/dbraw/zinc/88/41/10/764884110.db2.gz BREANIUPTGKRRN-UHFFFAOYSA-N 1 2 324.465 1.930 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C1C[NH+](CCc2ccns2)C1 ZINC001043921774 765165762 /nfs/dbraw/zinc/16/57/62/765165762.db2.gz AGQLXQYJQUYQTA-CABCVRRESA-N 1 2 321.446 1.419 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc(C(C)C)nc2C)C1 ZINC001044011953 765230785 /nfs/dbraw/zinc/23/07/85/765230785.db2.gz AZYKPJOIPQBHFL-UHFFFAOYSA-N 1 2 300.406 1.688 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C[C@H]2CCCCN2C(C)=O)C1 ZINC001044043049 765250460 /nfs/dbraw/zinc/25/04/60/765250460.db2.gz XNUCFEKBRBYJBV-OAHLLOKOSA-N 1 2 307.438 1.496 20 30 DDEDLO CC(=O)N1CC[C@H](N2CC[NH+](Cc3cccc(C#N)c3)CC2)C1 ZINC001051956450 765308038 /nfs/dbraw/zinc/30/80/38/765308038.db2.gz NXNSZECCKYVGIQ-SFHVURJKSA-N 1 2 312.417 1.297 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3cc(Cl)c[nH]3)C2)CC1 ZINC001051982227 765346337 /nfs/dbraw/zinc/34/63/37/765346337.db2.gz ANQRAZAHCQTBJO-CQSZACIVSA-N 1 2 320.824 1.133 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)CC(C)(C)C)C2)CC1 ZINC001051983885 765349486 /nfs/dbraw/zinc/34/94/86/765349486.db2.gz XKPDNMWOBXUIJC-MRXNPFEDSA-N 1 2 305.466 1.664 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn([C@@H](C)CC)c2C)C1 ZINC001044164853 765357853 /nfs/dbraw/zinc/35/78/53/765357853.db2.gz GVSSHGCVZOAWOY-ZDUSSCGKSA-N 1 2 302.422 1.942 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cncc(C)c3)C2)CC1 ZINC001052003738 765369680 /nfs/dbraw/zinc/36/96/80/765369680.db2.gz AGOORRMAVWDLRZ-KRWDZBQOSA-N 1 2 314.433 1.408 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@@H]3CCCCO3)C2)cn1 ZINC001044204806 765393285 /nfs/dbraw/zinc/39/32/85/765393285.db2.gz GHCUZCSCNIASNC-KRWDZBQOSA-N 1 2 313.401 1.388 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2cccc(NC(C)=O)c2)C1 ZINC001044213258 765400482 /nfs/dbraw/zinc/40/04/82/765400482.db2.gz ASGSIHLPGNHOML-UHFFFAOYSA-N 1 2 301.390 1.516 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3CCC3(C)C)C2)CC1 ZINC001052064770 765437074 /nfs/dbraw/zinc/43/70/74/765437074.db2.gz HALCEBPPCKQVMX-HOTGVXAUSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)(C)C3CC3)C2)CC1 ZINC001052068572 765441690 /nfs/dbraw/zinc/44/16/90/765441690.db2.gz JREPPBWRWHGJKL-KRWDZBQOSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@]3(C)C=CCC3)C2)CC1 ZINC001052067998 765442295 /nfs/dbraw/zinc/44/22/95/765442295.db2.gz SNAYZBYABRAOHU-SJLPKXTDSA-N 1 2 301.434 1.194 20 30 DDEDLO CC1(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)CC=CC1 ZINC001052075321 765446718 /nfs/dbraw/zinc/44/67/18/765446718.db2.gz ZKDGFHHHDHMICX-HNNXBMFYSA-N 1 2 302.422 1.085 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC001113239420 765460013 /nfs/dbraw/zinc/46/00/13/765460013.db2.gz BDFHHKNZZWGCFQ-HNNXBMFYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=CCN1CC(N(C)C(=O)C[N@@H+]2CCc3sccc3C2)C1 ZINC001044326817 765484111 /nfs/dbraw/zinc/48/41/11/765484111.db2.gz TYOUUTJXQYLPJO-UHFFFAOYSA-N 1 2 305.447 1.435 20 30 DDEDLO C=CCN1CC(N(C)C(=O)C[N@H+]2CCc3sccc3C2)C1 ZINC001044326817 765484116 /nfs/dbraw/zinc/48/41/16/765484116.db2.gz TYOUUTJXQYLPJO-UHFFFAOYSA-N 1 2 305.447 1.435 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001113283824 765512623 /nfs/dbraw/zinc/51/26/23/765512623.db2.gz UVGNPSBJORPLMU-INIZCTEOSA-N 1 2 310.438 1.683 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001052182174 765554681 /nfs/dbraw/zinc/55/46/81/765554681.db2.gz SCXHPPRYXFTCCU-OLZOCXBDSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c[nH]nc2C2CC2)CC1 ZINC001113488776 765750686 /nfs/dbraw/zinc/75/06/86/765750686.db2.gz PQJNKRMOOBXORT-UHFFFAOYSA-N 1 2 304.394 1.248 20 30 DDEDLO C#CC[NH2+][C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)[C@H](C)C1 ZINC001044704125 765764470 /nfs/dbraw/zinc/76/44/70/765764470.db2.gz YEFGIRHRBSCYFK-CZUORRHYSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2cccs2)CC[C@@H]1C ZINC001131773077 768342852 /nfs/dbraw/zinc/34/28/52/768342852.db2.gz XRVAGPROUADLKL-STQMWFEESA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2cccs2)CC[C@@H]1C ZINC001131773077 768342857 /nfs/dbraw/zinc/34/28/57/768342857.db2.gz XRVAGPROUADLKL-STQMWFEESA-N 1 2 319.430 1.080 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[NH+](CCc2cnn(CC)c2)CC1 ZINC001113713308 766052628 /nfs/dbraw/zinc/05/26/28/766052628.db2.gz OGTKOFBIRLSBPA-HNNXBMFYSA-N 1 2 320.437 1.181 20 30 DDEDLO C[C@H](CNC(=O)CCn1cc[nH+]c1)N(C)c1ccc(C#N)nc1 ZINC001113822812 766209740 /nfs/dbraw/zinc/20/97/40/766209740.db2.gz UQLXJEQXBHDZOP-CYBMUJFWSA-N 1 2 312.377 1.181 20 30 DDEDLO CN(C(=O)CC1CC1)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047275270 768359241 /nfs/dbraw/zinc/35/92/41/768359241.db2.gz CREPKTQZLSKEHA-ROUUACIJSA-N 1 2 312.413 1.342 20 30 DDEDLO CN(C(=O)CC1CC1)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047275270 768359247 /nfs/dbraw/zinc/35/92/47/768359247.db2.gz CREPKTQZLSKEHA-ROUUACIJSA-N 1 2 312.413 1.342 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)N(C)c1ccc(C#N)nc1 ZINC001113937055 766412379 /nfs/dbraw/zinc/41/23/79/766412379.db2.gz XSMHZAJSJGTTNA-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)N(C)c1ccc(C#N)nc1 ZINC001113937055 766412383 /nfs/dbraw/zinc/41/23/83/766412383.db2.gz XSMHZAJSJGTTNA-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001114149528 766701554 /nfs/dbraw/zinc/70/15/54/766701554.db2.gz WPUQKCJIBHJELR-QLPKVWCKSA-N 1 2 301.390 1.723 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001114149528 766701562 /nfs/dbraw/zinc/70/15/62/766701562.db2.gz WPUQKCJIBHJELR-QLPKVWCKSA-N 1 2 301.390 1.723 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)s1 ZINC001114253087 766820605 /nfs/dbraw/zinc/82/06/05/766820605.db2.gz HSMVOHYGTHTEBF-JWFUOXDNSA-N 1 2 316.430 1.367 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)s1 ZINC001114253087 766820617 /nfs/dbraw/zinc/82/06/17/766820617.db2.gz HSMVOHYGTHTEBF-JWFUOXDNSA-N 1 2 316.430 1.367 20 30 DDEDLO C[C@@H]1CCN(c2ncccc2C#N)C[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067965838 766842841 /nfs/dbraw/zinc/84/28/41/766842841.db2.gz RQZIVAKGCFCFBX-HIFRSBDPSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(=C)CC1 ZINC001121610509 782591200 /nfs/dbraw/zinc/59/12/00/782591200.db2.gz SQVYNPBAWLDOKW-KGLIPLIRSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(=C)CC1 ZINC001121610509 782591205 /nfs/dbraw/zinc/59/12/05/782591205.db2.gz SQVYNPBAWLDOKW-KGLIPLIRSA-N 1 2 303.410 1.358 20 30 DDEDLO Cc1cccc2nc(Cl)c(C#N)c(-n3[nH]c(=O)nc3C[NH3+])c12 ZINC001157940022 767415720 /nfs/dbraw/zinc/41/57/20/767415720.db2.gz GHQDUANPMKZPCR-UHFFFAOYSA-N 1 2 314.736 1.813 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132021918 768558496 /nfs/dbraw/zinc/55/84/96/768558496.db2.gz ROMGZLDCOLJICL-JSGCOSHPSA-N 1 2 320.462 1.975 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132021918 768558502 /nfs/dbraw/zinc/55/85/02/768558502.db2.gz ROMGZLDCOLJICL-JSGCOSHPSA-N 1 2 320.462 1.975 20 30 DDEDLO CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC(C)(C)C)CC[C@@H]1C ZINC001132033544 768579363 /nfs/dbraw/zinc/57/93/63/768579363.db2.gz HVUBUHPGHHBCOB-UONOGXRCSA-N 1 2 307.438 1.141 20 30 DDEDLO CCNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC(C)(C)C)CC[C@@H]1C ZINC001132033544 768579367 /nfs/dbraw/zinc/57/93/67/768579367.db2.gz HVUBUHPGHHBCOB-UONOGXRCSA-N 1 2 307.438 1.141 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[N@@H+]1Cc1cnnn1C ZINC001132033784 768579675 /nfs/dbraw/zinc/57/96/75/768579675.db2.gz KZMOJSWZVNIYDW-UONOGXRCSA-N 1 2 317.437 1.334 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[N@H+]1Cc1cnnn1C ZINC001132033784 768579681 /nfs/dbraw/zinc/57/96/81/768579681.db2.gz KZMOJSWZVNIYDW-UONOGXRCSA-N 1 2 317.437 1.334 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cnnn2CC)C1 ZINC001132219034 768691079 /nfs/dbraw/zinc/69/10/79/768691079.db2.gz ZGDXQCWFZKUHPT-KGLIPLIRSA-N 1 2 319.453 1.979 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2CC)C1 ZINC001132219034 768691082 /nfs/dbraw/zinc/69/10/82/768691082.db2.gz ZGDXQCWFZKUHPT-KGLIPLIRSA-N 1 2 319.453 1.979 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001096238091 768711848 /nfs/dbraw/zinc/71/18/48/768711848.db2.gz RERCVMMPLJTIHX-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001096238091 768711850 /nfs/dbraw/zinc/71/18/50/768711850.db2.gz RERCVMMPLJTIHX-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCCC23CC3)CC1 ZINC001070973121 768823935 /nfs/dbraw/zinc/82/39/35/768823935.db2.gz CUEJHLDEKFJCMI-OAHLLOKOSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCCC23CC3)CC1 ZINC001070973121 768823945 /nfs/dbraw/zinc/82/39/45/768823945.db2.gz CUEJHLDEKFJCMI-OAHLLOKOSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C(=C)C)CC1 ZINC001070985507 768838429 /nfs/dbraw/zinc/83/84/29/768838429.db2.gz PCMVAKMRZWTPBI-UHFFFAOYSA-N 1 2 321.465 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C(=C)C)CC1 ZINC001070985507 768838441 /nfs/dbraw/zinc/83/84/41/768838441.db2.gz PCMVAKMRZWTPBI-UHFFFAOYSA-N 1 2 321.465 1.815 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@]2(C)CCC[C@@H]2C)CC1 ZINC001070996142 768858112 /nfs/dbraw/zinc/85/81/12/768858112.db2.gz JIUPZKCZDHEVOG-YJBOKZPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@]2(C)CCC[C@@H]2C)CC1 ZINC001070996142 768858125 /nfs/dbraw/zinc/85/81/25/768858125.db2.gz JIUPZKCZDHEVOG-YJBOKZPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@@H]2C(C)C)CC1 ZINC001071011664 768878276 /nfs/dbraw/zinc/87/82/76/768878276.db2.gz OIKPGNGXEQWPMD-CVEARBPZSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@@H]2C(C)C)CC1 ZINC001071011664 768878280 /nfs/dbraw/zinc/87/82/80/768878280.db2.gz OIKPGNGXEQWPMD-CVEARBPZSA-N 1 2 321.465 1.505 20 30 DDEDLO N#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(-n3cc[nH+]c3)ccn1)C2 ZINC001096277012 768917890 /nfs/dbraw/zinc/91/78/90/768917890.db2.gz NSSSMZZXEKJXOA-YCPHGPKFSA-N 1 2 322.372 1.126 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(COC)no1 ZINC001132653194 769053959 /nfs/dbraw/zinc/05/39/59/769053959.db2.gz HCQBUKXVINTERR-NEPJUHHUSA-N 1 2 310.398 1.585 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(c2cccc(F)n2)CC1 ZINC001096300427 769086485 /nfs/dbraw/zinc/08/64/85/769086485.db2.gz WRLJWODTYOJAEZ-UHFFFAOYSA-N 1 2 320.412 1.815 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001071210477 769141446 /nfs/dbraw/zinc/14/14/46/769141446.db2.gz CKKCDYYRDBYGKP-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071415561 769401207 /nfs/dbraw/zinc/40/12/07/769401207.db2.gz IQUBKCKCCHFPIB-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](NC(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001096370891 769450914 /nfs/dbraw/zinc/45/09/14/769450914.db2.gz UBJUYEBXSDBFMV-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nc(CC3CC3)no2)CCC1 ZINC001133395583 769774106 /nfs/dbraw/zinc/77/41/06/769774106.db2.gz RBCLZPCBOICZCU-UHFFFAOYSA-N 1 2 318.421 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccnc(OC)n2)CC[C@@H]1C ZINC001071675204 769846442 /nfs/dbraw/zinc/84/64/42/769846442.db2.gz CSUNTOUYRXECPK-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccnc(OC)n2)CC[C@@H]1C ZINC001071675204 769846455 /nfs/dbraw/zinc/84/64/55/769846455.db2.gz CSUNTOUYRXECPK-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2nc(CCCC)no2)C1 ZINC001133569823 770000021 /nfs/dbraw/zinc/00/00/21/770000021.db2.gz DUCSMUHAPFNISR-UHFFFAOYSA-N 1 2 306.410 1.974 20 30 DDEDLO C[C@H](CC(=O)N[C@H]1CCN(c2ccc(C#N)cn2)C1)n1cc[nH+]c1 ZINC001096488787 770450680 /nfs/dbraw/zinc/45/06/80/770450680.db2.gz STZQDJAQNOVYHT-HIFRSBDPSA-N 1 2 324.388 1.496 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001072316886 770753738 /nfs/dbraw/zinc/75/37/38/770753738.db2.gz HPSRNKIHOBADOB-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072316886 770753745 /nfs/dbraw/zinc/75/37/45/770753745.db2.gz HPSRNKIHOBADOB-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)CCc1nc[nH]n1 ZINC001049410011 770792309 /nfs/dbraw/zinc/79/23/09/770792309.db2.gz FWXFKYLEISFIJC-STQMWFEESA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)CCc1nc[nH]n1 ZINC001049410011 770792314 /nfs/dbraw/zinc/79/23/14/770792314.db2.gz FWXFKYLEISFIJC-STQMWFEESA-N 1 2 323.828 1.555 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001072484348 770961603 /nfs/dbraw/zinc/96/16/03/770961603.db2.gz OIZPZNSEDVQHMY-IUODEOHRSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+]Cc1nc(C(C)C)no1 ZINC001135147460 771358753 /nfs/dbraw/zinc/35/87/53/771358753.db2.gz IATRTWKOGHLKDZ-JSGCOSHPSA-N 1 2 322.409 1.380 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001097020781 771583125 /nfs/dbraw/zinc/58/31/25/771583125.db2.gz WJMQIFFHQMKFKP-JOCQHMNTSA-N 1 2 316.409 1.109 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3nocc3C)C[C@H]2O)C1 ZINC001090555745 771987217 /nfs/dbraw/zinc/98/72/17/771987217.db2.gz PCFISIXUSCJCMY-UKRRQHHQSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3nocc3C)C[C@H]2O)C1 ZINC001090555745 771987221 /nfs/dbraw/zinc/98/72/21/771987221.db2.gz PCFISIXUSCJCMY-UKRRQHHQSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(OC)c2)[C@@H](O)C1 ZINC001090757836 772170727 /nfs/dbraw/zinc/17/07/27/772170727.db2.gz QDSQCSNCWYRJEE-GJZGRUSLSA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(OC)c2)[C@@H](O)C1 ZINC001090757836 772170731 /nfs/dbraw/zinc/17/07/31/772170731.db2.gz QDSQCSNCWYRJEE-GJZGRUSLSA-N 1 2 324.808 1.613 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cccc(C[S@](C)=O)c2)CC1 ZINC001136861448 772177408 /nfs/dbraw/zinc/17/74/08/772177408.db2.gz ADVZDFDLAUTNGF-QFIPXVFZSA-N 1 2 318.442 1.346 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cccc(C[S@](C)=O)c2)CC1 ZINC001136861448 772177414 /nfs/dbraw/zinc/17/74/14/772177414.db2.gz ADVZDFDLAUTNGF-QFIPXVFZSA-N 1 2 318.442 1.346 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H](NC(=O)c2n[nH]c3ccccc32)[C@H](O)C1 ZINC001090773254 772189721 /nfs/dbraw/zinc/18/97/21/772189721.db2.gz BSJULIKAXQPWAR-HUUCEWRRSA-N 1 2 314.389 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2n[nH]c3ccccc32)[C@H](O)C1 ZINC001090773254 772189724 /nfs/dbraw/zinc/18/97/24/772189724.db2.gz BSJULIKAXQPWAR-HUUCEWRRSA-N 1 2 314.389 1.304 20 30 DDEDLO N#Cc1cncc(C[NH+]2CCC(NC(=O)C(F)(F)F)CC2)c1 ZINC001144079092 772396291 /nfs/dbraw/zinc/39/62/91/772396291.db2.gz AKNNIXYTHUPHGC-UHFFFAOYSA-N 1 2 312.295 1.596 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100267823 772648208 /nfs/dbraw/zinc/64/82/08/772648208.db2.gz XGJKTKZCAKWCHO-RYUDHWBXSA-N 1 2 316.409 1.374 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccc(C)n1)C2 ZINC001147260681 773094641 /nfs/dbraw/zinc/09/46/41/773094641.db2.gz DSNNBSPFCHMKEF-UHFFFAOYSA-N 1 2 316.405 1.022 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+](Cc1nccc(C)n1)C2 ZINC001147260681 773094645 /nfs/dbraw/zinc/09/46/45/773094645.db2.gz DSNNBSPFCHMKEF-UHFFFAOYSA-N 1 2 316.405 1.022 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+](Cc1nc(C)no1)C2 ZINC001147636385 773187689 /nfs/dbraw/zinc/18/76/89/773187689.db2.gz FSHALEGCHWUPIV-UHFFFAOYSA-N 1 2 304.394 1.769 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C)no1)C2 ZINC001147636385 773187693 /nfs/dbraw/zinc/18/76/93/773187693.db2.gz FSHALEGCHWUPIV-UHFFFAOYSA-N 1 2 304.394 1.769 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074150318 773675344 /nfs/dbraw/zinc/67/53/44/773675344.db2.gz WALWDSGVQFCZSH-KGLIPLIRSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccoc3C)C[C@@H]21 ZINC001074166444 773689429 /nfs/dbraw/zinc/68/94/29/773689429.db2.gz HMKKRTHIGYKEQN-JKSUJKDBSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccoc3C)C[C@@H]21 ZINC001074166444 773689432 /nfs/dbraw/zinc/68/94/32/773689432.db2.gz HMKKRTHIGYKEQN-JKSUJKDBSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)nc3)C[C@H]21 ZINC001074190021 773712834 /nfs/dbraw/zinc/71/28/34/773712834.db2.gz LINCUIPBPLZOLI-HUUCEWRRSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)nc3)C[C@H]21 ZINC001074190021 773712835 /nfs/dbraw/zinc/71/28/35/773712835.db2.gz LINCUIPBPLZOLI-HUUCEWRRSA-N 1 2 317.364 1.159 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(OC)no3)C[C@H]21 ZINC001074218856 773741424 /nfs/dbraw/zinc/74/14/24/773741424.db2.gz GLJYLNPREVRXCR-OLZOCXBDSA-N 1 2 321.377 1.175 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(OC)no3)C[C@H]21 ZINC001074218856 773741427 /nfs/dbraw/zinc/74/14/27/773741427.db2.gz GLJYLNPREVRXCR-OLZOCXBDSA-N 1 2 321.377 1.175 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C4CC4)CC3)C[C@@H]21 ZINC001074273658 773785044 /nfs/dbraw/zinc/78/50/44/773785044.db2.gz MMAPHXKMQGQISY-JKSUJKDBSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C4CC4)CC3)C[C@@H]21 ZINC001074273658 773785047 /nfs/dbraw/zinc/78/50/47/773785047.db2.gz MMAPHXKMQGQISY-JKSUJKDBSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(F)c[nH]3)C[C@H]21 ZINC001074301950 773805392 /nfs/dbraw/zinc/80/53/92/773805392.db2.gz IXDUSGJTGJYSMU-CABCVRRESA-N 1 2 305.353 1.092 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(F)c[nH]3)C[C@H]21 ZINC001074301950 773805395 /nfs/dbraw/zinc/80/53/95/773805395.db2.gz IXDUSGJTGJYSMU-CABCVRRESA-N 1 2 305.353 1.092 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3OCC[N@H+](CCCO)[C@@H]3C2)C1 ZINC001074333864 773830645 /nfs/dbraw/zinc/83/06/45/773830645.db2.gz JHAHOGKMMHLPDL-CABCVRRESA-N 1 2 308.422 1.027 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCO)[C@@H]3C2)C1 ZINC001074333864 773830652 /nfs/dbraw/zinc/83/06/52/773830652.db2.gz JHAHOGKMMHLPDL-CABCVRRESA-N 1 2 308.422 1.027 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3occc3C)C[C@H]21 ZINC001074337071 773835549 /nfs/dbraw/zinc/83/55/49/773835549.db2.gz YIGUTQRBTQBUDB-HZPDHXFCSA-N 1 2 316.401 1.456 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3occc3C)C[C@H]21 ZINC001074337071 773835553 /nfs/dbraw/zinc/83/55/53/773835553.db2.gz YIGUTQRBTQBUDB-HZPDHXFCSA-N 1 2 316.401 1.456 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3nc(C)oc3C)C[C@@H]21 ZINC001074366624 773859189 /nfs/dbraw/zinc/85/91/89/773859189.db2.gz XCOCTIACCFTNLX-LSDHHAIUSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3nc(C)oc3C)C[C@@H]21 ZINC001074366624 773859194 /nfs/dbraw/zinc/85/91/94/773859194.db2.gz XCOCTIACCFTNLX-LSDHHAIUSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)on1)c1nccn12 ZINC001092343154 774092429 /nfs/dbraw/zinc/09/24/29/774092429.db2.gz AUDMHYREHLDBML-ZDUSSCGKSA-N 1 2 313.361 1.251 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cocc1C)c1nccn12 ZINC001092384456 774095886 /nfs/dbraw/zinc/09/58/86/774095886.db2.gz IHKPSSZEBPXSGD-CQSZACIVSA-N 1 2 312.373 1.856 20 30 DDEDLO CCc1nc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC2CC2)C3)cc(C)[nH+]1 ZINC001092965429 774340263 /nfs/dbraw/zinc/34/02/63/774340263.db2.gz ACVJDCZMSHZULS-OAGGEKHMSA-N 1 2 324.428 1.987 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001075088439 774342447 /nfs/dbraw/zinc/34/24/47/774342447.db2.gz JDQHLAIGFKDLQN-OCCSQVGLSA-N 1 2 318.421 1.723 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001075141818 774378450 /nfs/dbraw/zinc/37/84/50/774378450.db2.gz JKIUBTKXONGUMV-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C[C@@H]3CCCO3)CC2)C1 ZINC001093512662 774761016 /nfs/dbraw/zinc/76/10/16/774761016.db2.gz RQRIZTLWEHUACD-INIZCTEOSA-N 1 2 322.449 1.823 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3c[nH]c(C)n3)CC2)C1 ZINC001093517289 774768508 /nfs/dbraw/zinc/76/85/08/774768508.db2.gz HLTCKBJJMQKBES-UHFFFAOYSA-N 1 2 304.394 1.210 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC3(O)CCC3)CC2)C1 ZINC001093532868 774786461 /nfs/dbraw/zinc/78/64/61/774786461.db2.gz KVBBQIOAQHXXSO-UHFFFAOYSA-N 1 2 308.422 1.169 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)Cc3cnoc3)CC2)C1 ZINC001093563855 774834043 /nfs/dbraw/zinc/83/40/43/774834043.db2.gz HUNRXEIHETTWSK-UHFFFAOYSA-N 1 2 305.378 1.095 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](C)[C@H]3CCCO3)CC2)C1 ZINC001093570544 774842700 /nfs/dbraw/zinc/84/27/00/774842700.db2.gz CBKJPOQNFRQXBW-RHSMWYFYSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@]3(C)CCCOC3)CC2)C1 ZINC001093585007 774862984 /nfs/dbraw/zinc/86/29/84/774862984.db2.gz QMNKXJQMSOSSOY-GOSISDBHSA-N 1 2 322.449 1.681 20 30 DDEDLO C[C@@H](CC(=O)NC[C@H]1CCC(C)(C)CN1CC#N)n1cc[nH+]c1 ZINC001099251184 774918658 /nfs/dbraw/zinc/91/86/58/774918658.db2.gz HJASDMINTIIXBQ-LSDHHAIUSA-N 1 2 317.437 1.965 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@H]1CCC(C)(C)CN1CC#N ZINC001099263522 774923599 /nfs/dbraw/zinc/92/35/99/774923599.db2.gz GCWBUDKHERCXLV-UKRRQHHQSA-N 1 2 317.437 1.719 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@H]1CCC(C)(C)CN1CC#N ZINC001099263522 774923600 /nfs/dbraw/zinc/92/36/00/774923600.db2.gz GCWBUDKHERCXLV-UKRRQHHQSA-N 1 2 317.437 1.719 20 30 DDEDLO C[C@@H](CCNC(=O)Cc1[nH]cc[nH+]1)Nc1cccc(F)c1C#N ZINC001099404446 774981634 /nfs/dbraw/zinc/98/16/34/774981634.db2.gz DMLIEBYOPALOQV-NSHDSACASA-N 1 2 315.352 1.970 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099728026 775194125 /nfs/dbraw/zinc/19/41/25/775194125.db2.gz UUFXKRNGICSSBN-GJZGRUSLSA-N 1 2 307.369 1.238 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099728026 775194129 /nfs/dbraw/zinc/19/41/29/775194129.db2.gz UUFXKRNGICSSBN-GJZGRUSLSA-N 1 2 307.369 1.238 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099807325 775304973 /nfs/dbraw/zinc/30/49/73/775304973.db2.gz OJRVHMHWDQLKND-ZIAGYGMSSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099807325 775304980 /nfs/dbraw/zinc/30/49/80/775304980.db2.gz OJRVHMHWDQLKND-ZIAGYGMSSA-N 1 2 321.446 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCOCC2CC2)[C@@H](O)C1 ZINC001099830017 775337471 /nfs/dbraw/zinc/33/74/71/775337471.db2.gz LZAGDXXBGCJFHJ-KGLIPLIRSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCOCC2CC2)[C@@H](O)C1 ZINC001099830017 775337482 /nfs/dbraw/zinc/33/74/82/775337482.db2.gz LZAGDXXBGCJFHJ-KGLIPLIRSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(CC)CCC2)[C@@H](O)C1 ZINC001099965269 775491484 /nfs/dbraw/zinc/49/14/84/775491484.db2.gz WHJBINWOAFFNDQ-OLZOCXBDSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(CC)CCC2)[C@@H](O)C1 ZINC001099965269 775491490 /nfs/dbraw/zinc/49/14/90/775491490.db2.gz WHJBINWOAFFNDQ-OLZOCXBDSA-N 1 2 300.830 1.871 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099976812 775509772 /nfs/dbraw/zinc/50/97/72/775509772.db2.gz RFDXPAUJBJCAPI-HOTGVXAUSA-N 1 2 321.396 1.628 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099976812 775509777 /nfs/dbraw/zinc/50/97/77/775509777.db2.gz RFDXPAUJBJCAPI-HOTGVXAUSA-N 1 2 321.396 1.628 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)NCCNc1ncccc1C#N ZINC001094225826 775596255 /nfs/dbraw/zinc/59/62/55/775596255.db2.gz GXXKKFVEWDBERC-UHFFFAOYSA-N 1 2 311.345 1.128 20 30 DDEDLO CC#CCCCC(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100272253 775918714 /nfs/dbraw/zinc/91/87/14/775918714.db2.gz OESXIDKXSPXCDG-UHFFFAOYSA-N 1 2 314.393 1.109 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100318113 775989629 /nfs/dbraw/zinc/98/96/29/775989629.db2.gz XEABSLKYVMMPGW-MRXNPFEDSA-N 1 2 316.409 1.518 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@@H]2CCn3cc[nH+]c3C2)cn1 ZINC001094655818 776175996 /nfs/dbraw/zinc/17/59/96/776175996.db2.gz AXLPLONILDZFJA-CYBMUJFWSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094713334 776181305 /nfs/dbraw/zinc/18/13/05/776181305.db2.gz ZKCPFEJZDPDSIA-NWDGAFQWSA-N 1 2 320.441 1.622 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3cc(C)c(C)o3)CC2=O)C1 ZINC001094721522 776188448 /nfs/dbraw/zinc/18/84/48/776188448.db2.gz FCNAPSHDYUVRNL-ZDUSSCGKSA-N 1 2 317.389 1.097 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CC3CCCC3)CC2=O)C1 ZINC001094697709 776209011 /nfs/dbraw/zinc/20/90/11/776209011.db2.gz QLYOGLZVLDRGRC-OAHLLOKOSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@H]3CC=CCC3)CC2=O)C1 ZINC001094700702 776211367 /nfs/dbraw/zinc/21/13/67/776211367.db2.gz FYLVRUXVEKRTRO-GJZGRUSLSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3CC(C)(C)C3)CC2=O)C1 ZINC001094733127 776236673 /nfs/dbraw/zinc/23/66/73/776236673.db2.gz GRNKWAMXHJXGQU-CYBMUJFWSA-N 1 2 305.422 1.010 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)c3cocc3C)CC2=O)C1 ZINC001094772425 776262723 /nfs/dbraw/zinc/26/27/23/776262723.db2.gz SVZVTCIUSCJUOR-CYBMUJFWSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3CCC(F)CC3)CC2=O)C1 ZINC001094782034 776272945 /nfs/dbraw/zinc/27/29/45/776272945.db2.gz HZCICWXGIPIOSB-RUXDESIVSA-N 1 2 323.412 1.102 20 30 DDEDLO C=CCOC(=O)[C@H](CC(C)C)[NH2+][C@H]1CCN(C2CC2)C(=O)C1 ZINC001172006956 776555031 /nfs/dbraw/zinc/55/50/31/776555031.db2.gz RWRSGIPLSCYZMD-ZFWWWQNUSA-N 1 2 308.422 1.873 20 30 DDEDLO C=CCOC(=O)[C@H](CC(C)C)[NH2+][C@@H]1CCN(C2CC2)C(=O)C1 ZINC001172006954 776555111 /nfs/dbraw/zinc/55/51/11/776555111.db2.gz RWRSGIPLSCYZMD-HIFRSBDPSA-N 1 2 308.422 1.873 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)cn1 ZINC001094867700 776618026 /nfs/dbraw/zinc/61/80/26/776618026.db2.gz QLUNEPQUSUWYLV-ZIAGYGMSSA-N 1 2 310.361 1.398 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)cn1 ZINC001094867700 776618031 /nfs/dbraw/zinc/61/80/31/776618031.db2.gz QLUNEPQUSUWYLV-ZIAGYGMSSA-N 1 2 310.361 1.398 20 30 DDEDLO Cc1nonc1C[N@H+]1C[C@@H]2CN(C(=O)C#CC(C)C)C[C@]2(C)C1 ZINC001101230253 777000914 /nfs/dbraw/zinc/00/09/14/777000914.db2.gz YUKWMYIHMIVXNW-PBHICJAKSA-N 1 2 316.405 1.318 20 30 DDEDLO Cc1nonc1C[N@@H+]1C[C@@H]2CN(C(=O)C#CC(C)C)C[C@]2(C)C1 ZINC001101230253 777000917 /nfs/dbraw/zinc/00/09/17/777000917.db2.gz YUKWMYIHMIVXNW-PBHICJAKSA-N 1 2 316.405 1.318 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2C[N@@H+](Cc3cnn(C)n3)C[C@@]2(C)C1 ZINC001101253095 777013414 /nfs/dbraw/zinc/01/34/14/777013414.db2.gz DWVBXDJVNOQLHD-PBHICJAKSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2C[N@H+](Cc3cnn(C)n3)C[C@@]2(C)C1 ZINC001101253095 777013422 /nfs/dbraw/zinc/01/34/22/777013422.db2.gz DWVBXDJVNOQLHD-PBHICJAKSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H](N(C)c2nc(C)[nH+]c(C)c2C)C1 ZINC001095094721 777090256 /nfs/dbraw/zinc/09/02/56/777090256.db2.gz DTWMSRDPRRVBMM-OAHLLOKOSA-N 1 2 318.421 1.642 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](F)C(C)C)c1nccn12 ZINC001101640094 777337537 /nfs/dbraw/zinc/33/75/37/777337537.db2.gz WMUQUKWNXYQQKS-OLZOCXBDSA-N 1 2 306.385 1.635 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(CF)CC1)c1nccn12 ZINC001101645010 777341977 /nfs/dbraw/zinc/34/19/77/777341977.db2.gz UXEILJQDNRATKS-ZDUSSCGKSA-N 1 2 316.380 1.228 20 30 DDEDLO N#Cc1nn(-c2ccc(OCC[NH+]3CCOCC3)cc2)cc1N ZINC001174433276 777477544 /nfs/dbraw/zinc/47/75/44/777477544.db2.gz SGCHAQURZNSIMW-UHFFFAOYSA-N 1 2 313.361 1.037 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101913385 777672767 /nfs/dbraw/zinc/67/27/67/777672767.db2.gz HWPDAJKGYOWDOR-RYUDHWBXSA-N 1 2 320.441 1.575 20 30 DDEDLO Cc1nc([C@H](C)[N@H+]2C[C@@H](CNC(=O)C#CC3CC3)[C@H](C)C2)no1 ZINC001101951632 777722165 /nfs/dbraw/zinc/72/21/65/777722165.db2.gz ZUTYDZLEANNTDU-TYNCELHUSA-N 1 2 316.405 1.537 20 30 DDEDLO Cc1nc([C@H](C)[N@@H+]2C[C@@H](CNC(=O)C#CC3CC3)[C@H](C)C2)no1 ZINC001101951632 777722172 /nfs/dbraw/zinc/72/21/72/777722172.db2.gz ZUTYDZLEANNTDU-TYNCELHUSA-N 1 2 316.405 1.537 20 30 DDEDLO C[C@@H](CC(=O)N(C)CCNc1cnc(C#N)cn1)n1cc[nH+]c1 ZINC001101975802 777756214 /nfs/dbraw/zinc/75/62/14/777756214.db2.gz QZOBNXYTEAVYBR-LBPRGKRZSA-N 1 2 313.365 1.066 20 30 DDEDLO CN(CCNc1ccc(C#N)nn1)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001102023583 777805268 /nfs/dbraw/zinc/80/52/68/777805268.db2.gz ZGEUJGCEJZQLIC-UHFFFAOYSA-N 1 2 321.344 1.409 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)CCc1[nH+]ccn1C ZINC001102054764 777840360 /nfs/dbraw/zinc/84/03/60/777840360.db2.gz XPRJHYQLKBMVRH-UHFFFAOYSA-N 1 2 312.377 1.190 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)CCNc2cncc(C#N)n2)c(C)[nH+]1 ZINC001102061385 777843803 /nfs/dbraw/zinc/84/38/03/777843803.db2.gz PCCHOAORXIWBPP-UHFFFAOYSA-N 1 2 324.388 1.853 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(COC)CCC3)C[C@H]21 ZINC001177048547 778410463 /nfs/dbraw/zinc/41/04/63/778410463.db2.gz JPNJXQKGTZJJFC-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(COC)CCC3)C[C@H]21 ZINC001177048547 778410467 /nfs/dbraw/zinc/41/04/67/778410467.db2.gz JPNJXQKGTZJJFC-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)COC)C[C@@H]21 ZINC001177054899 778415651 /nfs/dbraw/zinc/41/56/51/778415651.db2.gz DJWGBKSEVCGESP-JKSUJKDBSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)COC)C[C@@H]21 ZINC001177054899 778415655 /nfs/dbraw/zinc/41/56/55/778415655.db2.gz DJWGBKSEVCGESP-JKSUJKDBSA-N 1 2 322.449 1.374 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCOC(C)C)[C@@H]2C1 ZINC001177061535 778421186 /nfs/dbraw/zinc/42/11/86/778421186.db2.gz MPYZHJUSZRAKPE-HZPDHXFCSA-N 1 2 324.465 1.925 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCOC(C)C)[C@@H]2C1 ZINC001177061535 778421188 /nfs/dbraw/zinc/42/11/88/778421188.db2.gz MPYZHJUSZRAKPE-HZPDHXFCSA-N 1 2 324.465 1.925 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCOC(C)C)[C@H]2C1 ZINC001177061531 778421215 /nfs/dbraw/zinc/42/12/15/778421215.db2.gz MPYZHJUSZRAKPE-HOTGVXAUSA-N 1 2 324.465 1.925 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCOC(C)C)[C@H]2C1 ZINC001177061531 778421216 /nfs/dbraw/zinc/42/12/16/778421216.db2.gz MPYZHJUSZRAKPE-HOTGVXAUSA-N 1 2 324.465 1.925 20 30 DDEDLO CCN(C(=O)Cc1c[nH+]c[nH]1)[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001102835003 778434026 /nfs/dbraw/zinc/43/40/26/778434026.db2.gz IBSLPJFPBOYAHJ-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102857718 778454223 /nfs/dbraw/zinc/45/42/23/778454223.db2.gz GDFCIQQURUVNTJ-RHSMWYFYSA-N 1 2 318.421 1.587 20 30 DDEDLO C=CCN1CCO[C@H]2CCN(C(=O)CCCn3cc[nH+]c3)C[C@@H]21 ZINC001177161552 778468565 /nfs/dbraw/zinc/46/85/65/778468565.db2.gz DHSILYLYEWTKKM-HOTGVXAUSA-N 1 2 318.421 1.151 20 30 DDEDLO C=CCOCC(=O)NCC[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001102905776 778482126 /nfs/dbraw/zinc/48/21/26/778482126.db2.gz LVTPKYIWMPEIFD-OAHLLOKOSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001102905775 778482609 /nfs/dbraw/zinc/48/26/09/778482609.db2.gz LVTPKYIWMPEIFD-HNNXBMFYSA-N 1 2 318.421 1.710 20 30 DDEDLO C[C@H](C(=O)N1CCCN(c2ccc(C#N)cn2)CC1)n1cc[nH+]c1 ZINC001177921670 778785133 /nfs/dbraw/zinc/78/51/33/778785133.db2.gz GFRCSSGFZAUJAA-CQSZACIVSA-N 1 2 324.388 1.450 20 30 DDEDLO C[C@H](C(=O)N1CCN(c2ccccc2C#N)CC1)n1cc[nH+]c1 ZINC001177922296 778785587 /nfs/dbraw/zinc/78/55/87/778785587.db2.gz SANFQDIGDRMWPS-CQSZACIVSA-N 1 2 309.373 1.665 20 30 DDEDLO Cc1nc(NC[C@](C)(NC(=O)c2ccc(C#N)[nH]2)C2CC2)cc[nH+]1 ZINC001103525782 778922011 /nfs/dbraw/zinc/92/20/11/778922011.db2.gz AXXYUWUKRUXMNS-KRWDZBQOSA-N 1 2 324.388 1.995 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)[C@@H]1C ZINC001178503920 779091691 /nfs/dbraw/zinc/09/16/91/779091691.db2.gz XDFLWGHZRBPOSE-KBPBESRZSA-N 1 2 318.421 1.905 20 30 DDEDLO N#C[C@H]1CCCCN1C(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001178992749 779253497 /nfs/dbraw/zinc/25/34/97/779253497.db2.gz VDAUKPWLUIUMPW-SJORKVTESA-N 1 2 313.401 1.792 20 30 DDEDLO N#C[C@H]1CCCCN1C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001178992749 779253502 /nfs/dbraw/zinc/25/35/02/779253502.db2.gz VDAUKPWLUIUMPW-SJORKVTESA-N 1 2 313.401 1.792 20 30 DDEDLO CC#CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnon1)C2 ZINC001111846292 779514073 /nfs/dbraw/zinc/51/40/73/779514073.db2.gz ZVLMLTLPZMYXNN-YOEHRIQHSA-N 1 2 316.405 1.734 20 30 DDEDLO CC#CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnon1)C2 ZINC001111846292 779514076 /nfs/dbraw/zinc/51/40/76/779514076.db2.gz ZVLMLTLPZMYXNN-YOEHRIQHSA-N 1 2 316.405 1.734 20 30 DDEDLO C[C@@H](C#N)C(=O)N1Cc2c[nH+]cn2C[C@@H](COCC2CC2)C1 ZINC001179889593 779516322 /nfs/dbraw/zinc/51/63/22/779516322.db2.gz KNWULQVQFDFXNL-JSGCOSHPSA-N 1 2 302.378 1.428 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001115330751 780042923 /nfs/dbraw/zinc/04/29/23/780042923.db2.gz SPSBHAWEGHCSMK-MUYACECFSA-N 1 2 320.393 1.078 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001115330751 780042932 /nfs/dbraw/zinc/04/29/32/780042932.db2.gz SPSBHAWEGHCSMK-MUYACECFSA-N 1 2 320.393 1.078 20 30 DDEDLO C=CC(C)(C)C(=O)NCCOCCCNc1cc[nH+]c(C)n1 ZINC001115434152 780129054 /nfs/dbraw/zinc/12/90/54/780129054.db2.gz OFJBCDLRISTETL-UHFFFAOYSA-N 1 2 306.410 1.932 20 30 DDEDLO C#CCOCC[N@@H+](C)[C@@H](C)C(=O)Nc1cccc(C(C)=O)c1 ZINC001116401051 780505219 /nfs/dbraw/zinc/50/52/19/780505219.db2.gz BKSZGIBMBZRDIV-ZDUSSCGKSA-N 1 2 302.374 1.798 20 30 DDEDLO C#CCOCC[N@H+](C)[C@@H](C)C(=O)Nc1cccc(C(C)=O)c1 ZINC001116401051 780505227 /nfs/dbraw/zinc/50/52/27/780505227.db2.gz BKSZGIBMBZRDIV-ZDUSSCGKSA-N 1 2 302.374 1.798 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[NH+]([C@H](C)c2ccccc2)CC1 ZINC001120365773 781898654 /nfs/dbraw/zinc/89/86/54/781898654.db2.gz GVMBODBJHMDHOC-OAHLLOKOSA-N 1 2 315.417 1.536 20 30 DDEDLO CC(C)CN(C(=O)C[N@H+](C)CCNC(=O)C#CC1CC1)C(C)C ZINC001267194089 837512736 /nfs/dbraw/zinc/51/27/36/837512736.db2.gz ILZWJCHEWYXBSN-UHFFFAOYSA-N 1 2 321.465 1.341 20 30 DDEDLO CC(C)CN(C(=O)C[N@@H+](C)CCNC(=O)C#CC1CC1)C(C)C ZINC001267194089 837512744 /nfs/dbraw/zinc/51/27/44/837512744.db2.gz ILZWJCHEWYXBSN-UHFFFAOYSA-N 1 2 321.465 1.341 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)C1(CC)CCCCC1 ZINC001267230965 837570897 /nfs/dbraw/zinc/57/08/97/837570897.db2.gz JPFMPWPERBPIMG-UHFFFAOYSA-N 1 2 309.454 1.697 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)C1(CC)CCCCC1 ZINC001267230965 837570902 /nfs/dbraw/zinc/57/09/02/837570902.db2.gz JPFMPWPERBPIMG-UHFFFAOYSA-N 1 2 309.454 1.697 20 30 DDEDLO Cc1cc(C[N@H+](CCNC(=O)C#CC2CC2)C2CC2)nn1C ZINC001267325799 837830924 /nfs/dbraw/zinc/83/09/24/837830924.db2.gz MQTRTQRMDDVUQZ-UHFFFAOYSA-N 1 2 300.406 1.223 20 30 DDEDLO Cc1cc(C[N@@H+](CCNC(=O)C#CC2CC2)C2CC2)nn1C ZINC001267325799 837830932 /nfs/dbraw/zinc/83/09/32/837830932.db2.gz MQTRTQRMDDVUQZ-UHFFFAOYSA-N 1 2 300.406 1.223 20 30 DDEDLO N#CCSCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001266303067 836084261 /nfs/dbraw/zinc/08/42/61/836084261.db2.gz XPNJKNRXUHBKAK-ZDUSSCGKSA-N 1 2 308.382 1.168 20 30 DDEDLO N#CCSCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C1 ZINC001266303067 836084266 /nfs/dbraw/zinc/08/42/66/836084266.db2.gz XPNJKNRXUHBKAK-ZDUSSCGKSA-N 1 2 308.382 1.168 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C(C)(C)C2CCCC2)C1 ZINC001266323318 836120448 /nfs/dbraw/zinc/12/04/48/836120448.db2.gz ZNVGCZRVEJUJQS-HNNXBMFYSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)C2CCCC2)C1 ZINC001266323318 836120452 /nfs/dbraw/zinc/12/04/52/836120452.db2.gz ZNVGCZRVEJUJQS-HNNXBMFYSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CC[NH2+][C@@H](CNC(=O)c1cn[nH]c1-c1cnn(C)c1)C(C)C ZINC001271922527 844209840 /nfs/dbraw/zinc/20/98/40/844209840.db2.gz JFVSIHCHNPCREW-AWEZNQCLSA-N 1 2 316.409 1.340 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@H]1CCC[N@H+](Cc2nnnn2C)C1 ZINC001266894269 837015438 /nfs/dbraw/zinc/01/54/38/837015438.db2.gz HMBQLMOSBNSFSN-KBPBESRZSA-N 1 2 320.441 1.283 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@H]1CCC[N@@H+](Cc2nnnn2C)C1 ZINC001266894269 837015447 /nfs/dbraw/zinc/01/54/47/837015447.db2.gz HMBQLMOSBNSFSN-KBPBESRZSA-N 1 2 320.441 1.283 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@@H](NCC#N)c1ccccc1 ZINC001267523625 838244533 /nfs/dbraw/zinc/24/45/33/838244533.db2.gz VWMVLAWXVXOWOS-XJKSGUPXSA-N 1 2 311.389 1.559 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@@H](NCC#N)c1ccccc1 ZINC001267523625 838244539 /nfs/dbraw/zinc/24/45/39/838244539.db2.gz VWMVLAWXVXOWOS-XJKSGUPXSA-N 1 2 311.389 1.559 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnoc1C ZINC001267529364 838255064 /nfs/dbraw/zinc/25/50/64/838255064.db2.gz ZQARFAUQNVQMSM-GASCZTMLSA-N 1 2 305.378 1.361 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnoc1C ZINC001267529364 838255070 /nfs/dbraw/zinc/25/50/70/838255070.db2.gz ZQARFAUQNVQMSM-GASCZTMLSA-N 1 2 305.378 1.361 20 30 DDEDLO Cc1ccc(F)cc1C(=O)N(C)CC[NH+]1CCN(CC#N)CC1 ZINC001267608927 838447237 /nfs/dbraw/zinc/44/72/37/838447237.db2.gz IIYUBIATRHUYIP-UHFFFAOYSA-N 1 2 318.396 1.347 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC2CC2)C1 ZINC001267613466 838468566 /nfs/dbraw/zinc/46/85/66/838468566.db2.gz JXCLCAQVOVZGQP-OAHLLOKOSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001267613466 838468574 /nfs/dbraw/zinc/46/85/74/838468574.db2.gz JXCLCAQVOVZGQP-OAHLLOKOSA-N 1 2 321.465 1.696 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@H]2CCCC[C@H]2C)CC1 ZINC001267617221 838492844 /nfs/dbraw/zinc/49/28/44/838492844.db2.gz AWIYWFUZPQOCDB-QZTJIDSGSA-N 1 2 319.493 1.912 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001267652898 838583094 /nfs/dbraw/zinc/58/30/94/838583094.db2.gz GVZVBADJYBQHHL-AWEZNQCLSA-N 1 2 305.426 1.452 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001267652898 838583102 /nfs/dbraw/zinc/58/31/02/838583102.db2.gz GVZVBADJYBQHHL-AWEZNQCLSA-N 1 2 305.426 1.452 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C(C)(C)CC(F)(F)F)C1 ZINC001267664374 838606914 /nfs/dbraw/zinc/60/69/14/838606914.db2.gz FORRGNOPPIZQQG-UHFFFAOYSA-N 1 2 308.344 1.968 20 30 DDEDLO COc1cc(C[NH+]2CC(CNC(=O)C#CC(C)C)C2)sn1 ZINC001267680842 838633298 /nfs/dbraw/zinc/63/32/98/838633298.db2.gz ZDWCRNJVFFPMDK-UHFFFAOYSA-N 1 2 307.419 1.359 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001267728695 838763088 /nfs/dbraw/zinc/76/30/88/838763088.db2.gz GRYQLBHRBOPEKC-HOCLYGCPSA-N 1 2 319.449 1.285 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001267728695 838763091 /nfs/dbraw/zinc/76/30/91/838763091.db2.gz GRYQLBHRBOPEKC-HOCLYGCPSA-N 1 2 319.449 1.285 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)NCCC(C)C)C1 ZINC001267741241 838810647 /nfs/dbraw/zinc/81/06/47/838810647.db2.gz OQURGHZSELBLPY-HZPDHXFCSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)NCCC(C)C)C1 ZINC001267741241 838810661 /nfs/dbraw/zinc/81/06/61/838810661.db2.gz OQURGHZSELBLPY-HZPDHXFCSA-N 1 2 321.465 1.387 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2cnc(C3CC3)o2)C1 ZINC001267744570 838821087 /nfs/dbraw/zinc/82/10/87/838821087.db2.gz VMPQNGCZZFEVSG-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2cnc(C3CC3)o2)C1 ZINC001267744570 838821094 /nfs/dbraw/zinc/82/10/94/838821094.db2.gz VMPQNGCZZFEVSG-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C[C@@H](CC(=O)Nc1cnn(-c2ncccc2C#N)c1)n1cc[nH+]c1 ZINC001267796215 838991798 /nfs/dbraw/zinc/99/17/98/838991798.db2.gz YCMQPSBBLQMDAE-LBPRGKRZSA-N 1 2 321.344 1.925 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@H+](CCOCC2CCC2)CCO1 ZINC001268023169 839402962 /nfs/dbraw/zinc/40/29/62/839402962.db2.gz FXYFLUNSLXVGMN-MRXNPFEDSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@@H+](CCOCC2CCC2)CCO1 ZINC001268023169 839402969 /nfs/dbraw/zinc/40/29/69/839402969.db2.gz FXYFLUNSLXVGMN-MRXNPFEDSA-N 1 2 324.465 1.832 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCCC)C1 ZINC001268183627 839808728 /nfs/dbraw/zinc/80/87/28/839808728.db2.gz LTNZJLZRJOMGQH-HNNXBMFYSA-N 1 2 307.438 1.287 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCCC)C1 ZINC001268183627 839808731 /nfs/dbraw/zinc/80/87/31/839808731.db2.gz LTNZJLZRJOMGQH-HNNXBMFYSA-N 1 2 307.438 1.287 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc3c(c2)CCO3)C1 ZINC001268454814 840224590 /nfs/dbraw/zinc/22/45/90/840224590.db2.gz SCKGNCYNRHXORY-UHFFFAOYSA-N 1 2 302.374 1.238 20 30 DDEDLO C=CCOCC(=O)NCC1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001268702726 840689856 /nfs/dbraw/zinc/68/98/56/840689856.db2.gz FKKXPOAVXYSGNQ-UHFFFAOYSA-N 1 2 317.364 1.448 20 30 DDEDLO N#CCSCC(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001269200107 841333028 /nfs/dbraw/zinc/33/30/28/841333028.db2.gz HRTCWHXHPLLASA-HNNXBMFYSA-N 1 2 314.414 1.506 20 30 DDEDLO N#CCSCC(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001269200107 841333037 /nfs/dbraw/zinc/33/30/37/841333037.db2.gz HRTCWHXHPLLASA-HNNXBMFYSA-N 1 2 314.414 1.506 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001269241359 841408418 /nfs/dbraw/zinc/40/84/18/841408418.db2.gz UHYFFXWFPCOZRR-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001269241359 841408420 /nfs/dbraw/zinc/40/84/20/841408420.db2.gz UHYFFXWFPCOZRR-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)C(=O)N(C)C(C)C ZINC001269278322 841459393 /nfs/dbraw/zinc/45/93/93/841459393.db2.gz GXKVGTAUQAGMHI-GJZGRUSLSA-N 1 2 309.454 1.789 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)N(C)C(C)C ZINC001269278322 841459401 /nfs/dbraw/zinc/45/94/01/841459401.db2.gz GXKVGTAUQAGMHI-GJZGRUSLSA-N 1 2 309.454 1.789 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c[nH]nc1C(C)(C)C ZINC001269332649 841529508 /nfs/dbraw/zinc/52/95/08/841529508.db2.gz QLIWWXOQLHVKKY-CYBMUJFWSA-N 1 2 318.421 1.161 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c[nH]nc1C(C)(C)C ZINC001269332649 841529512 /nfs/dbraw/zinc/52/95/12/841529512.db2.gz QLIWWXOQLHVKKY-CYBMUJFWSA-N 1 2 318.421 1.161 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)[C@@H]1CC1(F)F)C(C)C ZINC001284533567 841731260 /nfs/dbraw/zinc/73/12/60/841731260.db2.gz NFHBQGUUESAMFN-LBPRGKRZSA-N 1 2 317.380 1.113 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)[C@@H]1CC1(F)F)C(C)C ZINC001284533567 841731267 /nfs/dbraw/zinc/73/12/67/841731267.db2.gz NFHBQGUUESAMFN-LBPRGKRZSA-N 1 2 317.380 1.113 20 30 DDEDLO C=CCN1CC2(CCC1=O)CCN(C(=O)Cc1c[nH+]c[nH]1)CC2 ZINC001269569456 841776264 /nfs/dbraw/zinc/77/62/64/841776264.db2.gz UKTZRLFBMPTEHQ-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2ncc(F)cc2F)C1 ZINC001269812779 842073204 /nfs/dbraw/zinc/07/32/04/842073204.db2.gz STTSAMOYAUPGBC-UHFFFAOYSA-N 1 2 311.332 1.319 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]1C[NH2+]Cc1nc(CC)no1 ZINC001270034939 842244028 /nfs/dbraw/zinc/24/40/28/842244028.db2.gz SKCXGXBAKOZIRP-ZDUSSCGKSA-N 1 2 304.394 1.516 20 30 DDEDLO O=C(/C=C/c1ccc(F)cc1)C(=O)N[C@@H]1CCn2c[nH+]cc2C1 ZINC001142905570 861300456 /nfs/dbraw/zinc/30/04/56/861300456.db2.gz JCRPOCFLVIWZDU-BOTMBNHJSA-N 1 2 313.332 1.736 20 30 DDEDLO CCCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1[nH]nnc1C ZINC001326647944 861510325 /nfs/dbraw/zinc/51/03/25/861510325.db2.gz OLEHOUXDANQTJD-AWEZNQCLSA-N 1 2 323.441 1.514 20 30 DDEDLO CCCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1[nH]nnc1C ZINC001326647944 861510332 /nfs/dbraw/zinc/51/03/32/861510332.db2.gz OLEHOUXDANQTJD-AWEZNQCLSA-N 1 2 323.441 1.514 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC001077336810 844937560 /nfs/dbraw/zinc/93/75/60/844937560.db2.gz MRPLCYKMAWYOFG-ZIAGYGMSSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC001077336810 844937568 /nfs/dbraw/zinc/93/75/68/844937568.db2.gz MRPLCYKMAWYOFG-ZIAGYGMSSA-N 1 2 310.756 1.277 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cn(C)nc1COC ZINC001409581343 845355458 /nfs/dbraw/zinc/35/54/58/845355458.db2.gz LFMSFROFFILBQD-NSHDSACASA-N 1 2 314.817 1.369 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cn(C)nc1COC ZINC001409581343 845355470 /nfs/dbraw/zinc/35/54/70/845355470.db2.gz LFMSFROFFILBQD-NSHDSACASA-N 1 2 314.817 1.369 20 30 DDEDLO C#CC(C)(C)C(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001272348241 846057161 /nfs/dbraw/zinc/05/71/61/846057161.db2.gz BQNRFYKQLNBKDO-LSDHHAIUSA-N 1 2 314.433 1.595 20 30 DDEDLO C#CC(C)(C)C(=O)N1CC[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001272348241 846057168 /nfs/dbraw/zinc/05/71/68/846057168.db2.gz BQNRFYKQLNBKDO-LSDHHAIUSA-N 1 2 314.433 1.595 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@]2(CC[N@H+](Cc3cnn[nH]3)C2)C1=O ZINC001272570461 846325421 /nfs/dbraw/zinc/32/54/21/846325421.db2.gz SYXOFBMPDXDUFE-GOSISDBHSA-N 1 2 323.400 1.871 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@]2(CC[N@@H+](Cc3cnn[nH]3)C2)C1=O ZINC001272570461 846325432 /nfs/dbraw/zinc/32/54/32/846325432.db2.gz SYXOFBMPDXDUFE-GOSISDBHSA-N 1 2 323.400 1.871 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@]2(CC[N@H+](Cc3c[nH]nn3)C2)C1=O ZINC001272570461 846325443 /nfs/dbraw/zinc/32/54/43/846325443.db2.gz SYXOFBMPDXDUFE-GOSISDBHSA-N 1 2 323.400 1.871 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@]2(CC[N@@H+](Cc3c[nH]nn3)C2)C1=O ZINC001272570461 846325453 /nfs/dbraw/zinc/32/54/53/846325453.db2.gz SYXOFBMPDXDUFE-GOSISDBHSA-N 1 2 323.400 1.871 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@]2(CC[N@H+](Cc3cn[nH]n3)C2)C1=O ZINC001272570461 846325459 /nfs/dbraw/zinc/32/54/59/846325459.db2.gz SYXOFBMPDXDUFE-GOSISDBHSA-N 1 2 323.400 1.871 20 30 DDEDLO C=C(C)CN1c2ccccc2[C@]2(CC[N@@H+](Cc3cn[nH]n3)C2)C1=O ZINC001272570461 846325467 /nfs/dbraw/zinc/32/54/67/846325467.db2.gz SYXOFBMPDXDUFE-GOSISDBHSA-N 1 2 323.400 1.871 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1ccn(C)n1 ZINC001272736084 846739662 /nfs/dbraw/zinc/73/96/62/846739662.db2.gz STEQFXLEJZJQFR-KRWDZBQOSA-N 1 2 302.422 1.953 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1ccn(C)n1 ZINC001272736084 846739673 /nfs/dbraw/zinc/73/96/73/846739673.db2.gz STEQFXLEJZJQFR-KRWDZBQOSA-N 1 2 302.422 1.953 20 30 DDEDLO C[C@H](CNc1nc(Cl)c(C#N)s1)NC(=O)Cn1cc[nH+]c1 ZINC001107812258 847175974 /nfs/dbraw/zinc/17/59/74/847175974.db2.gz GODXVUQBJYNCEV-MRVPVSSYSA-N 1 2 324.797 1.481 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](Cc3ccc(C)cc3O)C2)OCC1=O ZINC001272766247 847398522 /nfs/dbraw/zinc/39/85/22/847398522.db2.gz MUTABDRSFWDOJS-UHFFFAOYSA-N 1 2 316.401 1.690 20 30 DDEDLO C#CCNC(=O)[C@H]1CC12CC[NH+](Cc1c(F)cncc1F)CC2 ZINC001272780378 847419424 /nfs/dbraw/zinc/41/94/24/847419424.db2.gz HAVMOONKGTVRJU-CYBMUJFWSA-N 1 2 319.355 1.711 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cn3ccccc3n2)C1=O ZINC001272843384 847540729 /nfs/dbraw/zinc/54/07/29/847540729.db2.gz KNUWKMIZKLKWKJ-GOSISDBHSA-N 1 2 308.385 1.534 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cn3ccccc3n2)C1=O ZINC001272843384 847540736 /nfs/dbraw/zinc/54/07/36/847540736.db2.gz KNUWKMIZKLKWKJ-GOSISDBHSA-N 1 2 308.385 1.534 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc3occc3c1)C2 ZINC001272880355 847584074 /nfs/dbraw/zinc/58/40/74/847584074.db2.gz GSXXFGXKRIWJMI-UHFFFAOYSA-N 1 2 310.353 1.479 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](CCc1cccc(Cl)c1)C2 ZINC001272892853 847600672 /nfs/dbraw/zinc/60/06/72/847600672.db2.gz HZSPHFDYGPSYOB-UHFFFAOYSA-N 1 2 318.804 1.429 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCO[C@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001327036407 861819086 /nfs/dbraw/zinc/81/90/86/861819086.db2.gz WHBDCOOQPUJPLD-CYBMUJFWSA-N 1 2 322.409 1.297 20 30 DDEDLO CC#CC[N@@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744804 861870489 /nfs/dbraw/zinc/87/04/89/861870489.db2.gz DWPOMOXMARIITN-MNOVXSKESA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[N@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744804 861870507 /nfs/dbraw/zinc/87/05/07/861870507.db2.gz DWPOMOXMARIITN-MNOVXSKESA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[N@@H+]1CCCO[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149744804 861870518 /nfs/dbraw/zinc/87/05/18/861870518.db2.gz DWPOMOXMARIITN-MNOVXSKESA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[N@H+]1CCCO[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149744804 861870531 /nfs/dbraw/zinc/87/05/31/861870531.db2.gz DWPOMOXMARIITN-MNOVXSKESA-N 1 2 310.291 1.117 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N[C@H]1CCCCN(CC#N)C1)C2 ZINC001034279499 848239159 /nfs/dbraw/zinc/23/91/59/848239159.db2.gz UIQZTMVIOPDMAU-KBPBESRZSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N[C@H]1CCCCN(CC#N)C1)CC2 ZINC001034279499 848239170 /nfs/dbraw/zinc/23/91/70/848239170.db2.gz UIQZTMVIOPDMAU-KBPBESRZSA-N 1 2 315.421 1.317 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cnc3ccccc3c1)C2 ZINC001273178210 848636947 /nfs/dbraw/zinc/63/69/47/848636947.db2.gz VBIYSYMUSSJUSZ-UHFFFAOYSA-N 1 2 321.380 1.281 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3cccnc3Cl)C2)OCC1=O ZINC001273192856 848683637 /nfs/dbraw/zinc/68/36/37/848683637.db2.gz HWWCJXXNNKEJJU-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3cccnc3Cl)C2)OCC1=O ZINC001273192856 848683641 /nfs/dbraw/zinc/68/36/41/848683641.db2.gz HWWCJXXNNKEJJU-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCC[N@H+](C)Cc1cn(C(C)C)nn1 ZINC001327201136 861962901 /nfs/dbraw/zinc/96/29/01/861962901.db2.gz QWGPQYHTURRQFJ-UHFFFAOYSA-N 1 2 319.453 1.799 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCC[N@@H+](C)Cc1cn(C(C)C)nn1 ZINC001327201136 861962913 /nfs/dbraw/zinc/96/29/13/861962913.db2.gz QWGPQYHTURRQFJ-UHFFFAOYSA-N 1 2 319.453 1.799 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@@H](C1CC1)N(C)C(=O)C1=NC(=O)N(C)C1 ZINC001410300546 849046492 /nfs/dbraw/zinc/04/64/92/849046492.db2.gz AVBJKFRRGMMMHY-LBPRGKRZSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](CCC)OC)C1 ZINC001150071242 862060950 /nfs/dbraw/zinc/06/09/50/862060950.db2.gz NOYSGNLXVUELAR-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H](CCC)OC)C1 ZINC001150071242 862060968 /nfs/dbraw/zinc/06/09/68/862060968.db2.gz NOYSGNLXVUELAR-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](CCc3cccs3)C[C@@]2(F)C1=O ZINC001273532801 851065711 /nfs/dbraw/zinc/06/57/11/851065711.db2.gz MZHJGXXWAIWAEM-LSDHHAIUSA-N 1 2 310.369 1.498 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](CCc3cccs3)C[C@@]2(F)C1=O ZINC001273532801 851065721 /nfs/dbraw/zinc/06/57/21/851065721.db2.gz MZHJGXXWAIWAEM-LSDHHAIUSA-N 1 2 310.369 1.498 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cc(F)c(F)cc2N)C1=O ZINC001274167282 851996359 /nfs/dbraw/zinc/99/63/59/851996359.db2.gz BFLLQGDNPMLFJB-QGZVFWFLSA-N 1 2 319.355 1.747 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cc(F)c(F)cc2N)C1=O ZINC001274167282 851996365 /nfs/dbraw/zinc/99/63/65/851996365.db2.gz BFLLQGDNPMLFJB-QGZVFWFLSA-N 1 2 319.355 1.747 20 30 DDEDLO C=CCN1CC[C@@]2(CC[N@@H+](Cc3cc(C#N)ccc3O)C2)C1=O ZINC001274666773 852501284 /nfs/dbraw/zinc/50/12/84/852501284.db2.gz HRVMKISVMRPSTR-GOSISDBHSA-N 1 2 311.385 1.874 20 30 DDEDLO C=CCN1CC[C@@]2(CC[N@H+](Cc3cc(C#N)ccc3O)C2)C1=O ZINC001274666773 852501289 /nfs/dbraw/zinc/50/12/89/852501289.db2.gz HRVMKISVMRPSTR-GOSISDBHSA-N 1 2 311.385 1.874 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3cn(CCC)cn3)C2)OCC1=O ZINC001274728459 852558125 /nfs/dbraw/zinc/55/81/25/852558125.db2.gz ISGPOOKWFUAQCK-QGZVFWFLSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3cn(CCC)cn3)C2)OCC1=O ZINC001274728459 852558132 /nfs/dbraw/zinc/55/81/32/852558132.db2.gz ISGPOOKWFUAQCK-QGZVFWFLSA-N 1 2 318.421 1.282 20 30 DDEDLO C#Cc1cccc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)c1 ZINC001274879836 852664073 /nfs/dbraw/zinc/66/40/73/852664073.db2.gz ZBSHHDJEJCFHBG-UHFFFAOYSA-N 1 2 305.381 1.954 20 30 DDEDLO N#Cc1cncc(CN2CC3(C2)C[NH+](Cc2cccc(=O)[nH]2)C3)c1 ZINC001275028236 852753783 /nfs/dbraw/zinc/75/37/83/852753783.db2.gz YUJCPTBNHMWBDO-UHFFFAOYSA-N 1 2 321.384 1.372 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1coc(C)n1 ZINC001275100901 852805823 /nfs/dbraw/zinc/80/58/23/852805823.db2.gz UIOKMDQKZQCRNE-WBVHZDCISA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1coc(C)n1 ZINC001275100901 852805829 /nfs/dbraw/zinc/80/58/29/852805829.db2.gz UIOKMDQKZQCRNE-WBVHZDCISA-N 1 2 321.421 1.733 20 30 DDEDLO Cn1cc(CN2C[C@@H]3COC[C@H](C2)[N@@H+]3CC2CC2)cc1C#N ZINC001275137324 852836009 /nfs/dbraw/zinc/83/60/09/852836009.db2.gz XSSPPRDQIRNOCX-CALCHBBNSA-N 1 2 300.406 1.192 20 30 DDEDLO Cn1cc(CN2C[C@@H]3COC[C@H](C2)[N@H+]3CC2CC2)cc1C#N ZINC001275137324 852836014 /nfs/dbraw/zinc/83/60/14/852836014.db2.gz XSSPPRDQIRNOCX-CALCHBBNSA-N 1 2 300.406 1.192 20 30 DDEDLO Cc1cc(C[NH+]2CC3(CN(Cc4ccc(C#N)nc4)C3)C2)n(C)n1 ZINC001275295995 852962101 /nfs/dbraw/zinc/96/21/01/852962101.db2.gz NNQIKWJGLZUXEO-UHFFFAOYSA-N 1 2 322.416 1.313 20 30 DDEDLO Cc1cc(CN2CC3(C2)C[NH+](Cc2ccc(C#N)nc2)C3)n(C)n1 ZINC001275295995 852962112 /nfs/dbraw/zinc/96/21/12/852962112.db2.gz NNQIKWJGLZUXEO-UHFFFAOYSA-N 1 2 322.416 1.313 20 30 DDEDLO CN1CCO[C@@]2(CCC[N@H+](Cc3cc(C#N)ccc3F)C2)C1 ZINC001275357605 853013598 /nfs/dbraw/zinc/01/35/98/853013598.db2.gz HCTZZFKGQGPOJA-KRWDZBQOSA-N 1 2 303.381 1.994 20 30 DDEDLO CN1CCO[C@@]2(CCC[N@@H+](Cc3cc(C#N)ccc3F)C2)C1 ZINC001275357605 853013608 /nfs/dbraw/zinc/01/36/08/853013608.db2.gz HCTZZFKGQGPOJA-KRWDZBQOSA-N 1 2 303.381 1.994 20 30 DDEDLO CCCC[C@H](C[NH2+]Cc1csnn1)NC(=O)C#CC1CC1 ZINC001276254361 855060171 /nfs/dbraw/zinc/06/01/71/855060171.db2.gz AKVKOUYGGVKZPN-CYBMUJFWSA-N 1 2 306.435 1.716 20 30 DDEDLO Cc1cc(NC(=O)[C@H](c2c[nH+]cn2C)N(C)C)nn1CCC#N ZINC001412780033 855261607 /nfs/dbraw/zinc/26/16/07/855261607.db2.gz DDEBPCFXXSIVKO-AWEZNQCLSA-N 1 2 315.381 1.080 20 30 DDEDLO C#CCCCCC(=O)N1CCN(C2C[NH+](CC#CC)C2)CC1 ZINC001328117166 862705927 /nfs/dbraw/zinc/70/59/27/862705927.db2.gz UCFWIZGHLQDOMQ-UHFFFAOYSA-N 1 2 301.434 1.032 20 30 DDEDLO Cc1cc(C)c(CNC(=O)C(=O)N2CCC[C@H](CC#N)C2)c[nH+]1 ZINC001328123683 862709408 /nfs/dbraw/zinc/70/94/08/862709408.db2.gz BQLFTTXJQBFAGQ-CQSZACIVSA-N 1 2 314.389 1.467 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C1C[NH+](Cc2ccc(Cl)nc2)C1 ZINC001276359170 855714565 /nfs/dbraw/zinc/71/45/65/855714565.db2.gz FROFSRQSDGXFOI-MNOVXSKESA-N 1 2 306.797 1.831 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[N@@H+]1Cc1cnn(C)n1 ZINC001328262648 862836615 /nfs/dbraw/zinc/83/66/15/862836615.db2.gz YNWKATGDEKFFQG-KGLIPLIRSA-N 1 2 317.437 1.334 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[N@H+]1Cc1cnn(C)n1 ZINC001328262648 862836635 /nfs/dbraw/zinc/83/66/35/862836635.db2.gz YNWKATGDEKFFQG-KGLIPLIRSA-N 1 2 317.437 1.334 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001049561807 856979778 /nfs/dbraw/zinc/97/97/78/856979778.db2.gz QPTIICAQSFVWPT-FVQBIDKESA-N 1 2 313.405 1.034 20 30 DDEDLO CCc1cnc(C[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001072559505 857448785 /nfs/dbraw/zinc/44/87/85/857448785.db2.gz FSKFLTLQGJCFRO-GFCCVEGCSA-N 1 2 302.378 1.431 20 30 DDEDLO CCc1cnc(C[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001072559505 857448790 /nfs/dbraw/zinc/44/87/90/857448790.db2.gz FSKFLTLQGJCFRO-GFCCVEGCSA-N 1 2 302.378 1.431 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001072633263 857537772 /nfs/dbraw/zinc/53/77/72/857537772.db2.gz RUPJIDOLCSOFOR-KBPBESRZSA-N 1 2 304.394 1.203 20 30 DDEDLO COc1cc(C(F)(F)N2CC[NH2+]C[C@@H]2C#N)cc(Cl)n1 ZINC001156321282 862931104 /nfs/dbraw/zinc/93/11/04/862931104.db2.gz XQFDSRJNGUCDAW-VIFPVBQESA-N 1 2 302.712 1.590 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)c1nc2ccc(Cl)nc2[nH]1 ZINC001156327938 862939343 /nfs/dbraw/zinc/93/93/43/862939343.db2.gz UGINWZHULLJBRH-ZETCQYMHSA-N 1 2 312.711 1.458 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)[C@H]1C ZINC001122949859 859022336 /nfs/dbraw/zinc/02/23/36/859022336.db2.gz FOMDQZMGLJOCNW-DGCLKSJQSA-N 1 2 318.425 1.614 20 30 DDEDLO C=CCN(C)c1nnc(Cc2[nH+]ccn2C)n1C[C@H]1CCOC1 ZINC001123123848 859097280 /nfs/dbraw/zinc/09/72/80/859097280.db2.gz XYJUUJMFYVLEML-CYBMUJFWSA-N 1 2 316.409 1.261 20 30 DDEDLO C=CCCC(=O)N1C[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C[C@H]1C ZINC001123191172 859123020 /nfs/dbraw/zinc/12/30/20/859123020.db2.gz IUARICFDFSFOKA-DGCLKSJQSA-N 1 2 318.425 1.614 20 30 DDEDLO C=CCOC[C@H]1CCC[C@@]12C[N@H+](Cc1nccnc1N)CCO2 ZINC001140013264 860548191 /nfs/dbraw/zinc/54/81/91/860548191.db2.gz ADNRZAFSBDUFCC-RHSMWYFYSA-N 1 2 318.421 1.633 20 30 DDEDLO C=CCOC[C@H]1CCC[C@@]12C[N@@H+](Cc1nccnc1N)CCO2 ZINC001140013264 860548195 /nfs/dbraw/zinc/54/81/95/860548195.db2.gz ADNRZAFSBDUFCC-RHSMWYFYSA-N 1 2 318.421 1.633 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)nc2)C1 ZINC001140547379 860654625 /nfs/dbraw/zinc/65/46/25/860654625.db2.gz QJNNWCANAOLTEX-OAHLLOKOSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)nc2)C1 ZINC001140547379 860654627 /nfs/dbraw/zinc/65/46/27/860654627.db2.gz QJNNWCANAOLTEX-OAHLLOKOSA-N 1 2 300.362 1.830 20 30 DDEDLO N#Cc1ncc(Nc2cnn(CC[NH+]3CCOCC3)c2)cc1F ZINC001203049527 860657217 /nfs/dbraw/zinc/65/72/17/860657217.db2.gz QPMAYNJXCYDRGE-UHFFFAOYSA-N 1 2 316.340 1.365 20 30 DDEDLO C[C@@H](NC(=O)c1cc(C#N)c[nH]1)[C@@H](C)[NH2+]Cc1csnn1 ZINC001381369232 881601958 /nfs/dbraw/zinc/60/19/58/881601958.db2.gz PHJDGSAQKVICPT-RKDXNWHRSA-N 1 2 304.379 1.034 20 30 DDEDLO Cc1cc(C)c(CNC(=O)C(=O)N2CCC[C@](C)(C#N)C2)c[nH+]1 ZINC001325950506 860985064 /nfs/dbraw/zinc/98/50/64/860985064.db2.gz PRFADFJMFXKYEO-QGZVFWFLSA-N 1 2 314.389 1.467 20 30 DDEDLO C=C(C)C(=O)N1Cc2c[nH+]cn2C[C@@H](COc2cccnc2)C1 ZINC001142509805 861168827 /nfs/dbraw/zinc/16/88/27/861168827.db2.gz LSYNTCFTHYFAHQ-AWEZNQCLSA-N 1 2 312.373 1.892 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1snnc1C ZINC001152370342 863306004 /nfs/dbraw/zinc/30/60/04/863306004.db2.gz APBCMIBLAYAERE-LLVKDONJSA-N 1 2 312.439 1.376 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1snnc1C ZINC001152370342 863306013 /nfs/dbraw/zinc/30/60/13/863306013.db2.gz APBCMIBLAYAERE-LLVKDONJSA-N 1 2 312.439 1.376 20 30 DDEDLO CC[N@H+](Cc1coc(C)n1)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152794422 863541095 /nfs/dbraw/zinc/54/10/95/863541095.db2.gz SMZWDWMSRRZFHK-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO CC[N@@H+](Cc1coc(C)n1)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152794422 863541101 /nfs/dbraw/zinc/54/11/01/863541101.db2.gz SMZWDWMSRRZFHK-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2nc(Cl)nc3[nH]ccc32)CC1 ZINC001157119281 863596694 /nfs/dbraw/zinc/59/66/94/863596694.db2.gz QGCASHFXICIUKY-UHFFFAOYSA-N 1 2 305.769 1.507 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001157189145 863641450 /nfs/dbraw/zinc/64/14/50/863641450.db2.gz GGTDDJOJCDBCHY-MRXNPFEDSA-N 1 2 324.425 1.290 20 30 DDEDLO C[C@@H](CNC(=O)C#CC1CC1)[N@H+](C)Cc1nc2ccccc2n1C ZINC001329430780 863661542 /nfs/dbraw/zinc/66/15/42/863661542.db2.gz FVUHBVCMVKXRCI-AWEZNQCLSA-N 1 2 324.428 1.923 20 30 DDEDLO C[C@@H](CNC(=O)C#CC1CC1)[N@@H+](C)Cc1nc2ccccc2n1C ZINC001329430780 863661545 /nfs/dbraw/zinc/66/15/45/863661545.db2.gz FVUHBVCMVKXRCI-AWEZNQCLSA-N 1 2 324.428 1.923 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)Cc2c(F)cccc2F)C1 ZINC001329693441 863793881 /nfs/dbraw/zinc/79/38/81/863793881.db2.gz PXWFUBMRWRCWPS-UHFFFAOYSA-N 1 2 324.371 1.636 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1C[NH+](Cc2cc3n(n2)CCC3)C1 ZINC001329974434 863987772 /nfs/dbraw/zinc/98/77/72/863987772.db2.gz ZQPPDUDPAGXAGW-ZDUSSCGKSA-N 1 2 302.422 1.732 20 30 DDEDLO CCC(CC)[C@@H](C)C(=O)N[C@H](C)C1C[NH+](CC(=O)NCC#N)C1 ZINC001330021668 864024394 /nfs/dbraw/zinc/02/43/94/864024394.db2.gz MCCKFPNJYILPIU-CHWSQXEVSA-N 1 2 322.453 1.135 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)c2ccc(C)cc2)C1 ZINC001330072743 864064271 /nfs/dbraw/zinc/06/42/71/864064271.db2.gz WQWGVMHVCHBRLE-AWEZNQCLSA-N 1 2 315.417 1.347 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC)c1ccccc1 ZINC001153814873 864129202 /nfs/dbraw/zinc/12/92/02/864129202.db2.gz BGJNDSYOQGETDK-GJZGRUSLSA-N 1 2 312.373 1.153 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC)c1ccccc1 ZINC001153814873 864129209 /nfs/dbraw/zinc/12/92/09/864129209.db2.gz BGJNDSYOQGETDK-GJZGRUSLSA-N 1 2 312.373 1.153 20 30 DDEDLO COc1cc(C)nc(N2CCN(c3cc(CC#N)cc[nH+]3)CC2)n1 ZINC001158075791 864408697 /nfs/dbraw/zinc/40/86/97/864408697.db2.gz PMUGJYRBZZUXHO-UHFFFAOYSA-N 1 2 324.388 1.581 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001158171713 864468936 /nfs/dbraw/zinc/46/89/36/864468936.db2.gz FHWAGJPPCUGQRU-HUUCEWRRSA-N 1 2 315.421 1.282 20 30 DDEDLO C=CCONC(=O)c1cccc(NC(=O)CCn2cc[nH+]c2)c1 ZINC001158208726 864490342 /nfs/dbraw/zinc/49/03/42/864490342.db2.gz POHVOUVUELEUPQ-UHFFFAOYSA-N 1 2 314.345 1.759 20 30 DDEDLO N#Cc1ncc(N2CC[C@@H]([NH+]3CCCC3)C(F)(F)C2)nc1C#N ZINC001158628097 864769521 /nfs/dbraw/zinc/76/95/21/864769521.db2.gz JMKSUJZDJWFFJY-CYBMUJFWSA-N 1 2 318.331 1.530 20 30 DDEDLO C=C(Br)C[N@H+]1CC=C(CCNC(=O)COC)CC1 ZINC001158981710 865014237 /nfs/dbraw/zinc/01/42/37/865014237.db2.gz WSNRNYKDEDJISW-UHFFFAOYSA-N 1 2 317.227 1.680 20 30 DDEDLO C=C(Br)C[N@@H+]1CC=C(CCNC(=O)COC)CC1 ZINC001158981710 865014241 /nfs/dbraw/zinc/01/42/41/865014241.db2.gz WSNRNYKDEDJISW-UHFFFAOYSA-N 1 2 317.227 1.680 20 30 DDEDLO CCc1[nH]c(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)cc1C(=O)OC ZINC001332021280 865509734 /nfs/dbraw/zinc/50/97/34/865509734.db2.gz JLLFEISOWXHKHT-STQMWFEESA-N 1 2 318.421 1.782 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)C1(CC)CC1 ZINC001323304247 866515395 /nfs/dbraw/zinc/51/53/95/866515395.db2.gz WBDRXFRENXAECO-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)C1(CC)CC1 ZINC001323304247 866515411 /nfs/dbraw/zinc/51/54/11/866515411.db2.gz WBDRXFRENXAECO-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCCN(C(=O)C3(F)C[NH2+]C3)C2)cc1 ZINC001161323940 866532652 /nfs/dbraw/zinc/53/26/52/866532652.db2.gz IFVHPWCBNWYCBC-CQSZACIVSA-N 1 2 303.337 1.240 20 30 DDEDLO COCCOC1C[NH+](CCC(=O)Nc2cccc(C#N)c2)C1 ZINC001320442290 866741212 /nfs/dbraw/zinc/74/12/12/866741212.db2.gz FBZQGPYGTKRPIM-UHFFFAOYSA-N 1 2 303.362 1.234 20 30 DDEDLO Cc1nc(C(=O)N2CCC([N@H+](CC#N)CC3CC3)CC2)co1 ZINC001323720033 866792769 /nfs/dbraw/zinc/79/27/69/866792769.db2.gz JSUAHPLEIJTDKW-UHFFFAOYSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1nc(C(=O)N2CCC([N@@H+](CC#N)CC3CC3)CC2)co1 ZINC001323720033 866792785 /nfs/dbraw/zinc/79/27/85/866792785.db2.gz JSUAHPLEIJTDKW-UHFFFAOYSA-N 1 2 302.378 1.823 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cnc3cc[nH]c3c2)C1 ZINC001323945315 866965576 /nfs/dbraw/zinc/96/55/76/866965576.db2.gz AQTCOVQQVDQSDC-UHFFFAOYSA-N 1 2 314.389 1.522 20 30 DDEDLO C#CCN(C(=O)[C@H](C)OC)C1CC[NH+](Cc2cscn2)CC1 ZINC001324373163 867237190 /nfs/dbraw/zinc/23/71/90/867237190.db2.gz AXHTUKZUADSAID-ZDUSSCGKSA-N 1 2 321.446 1.604 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@](C)(NC(=O)c2ccoc2C)C1 ZINC001324572617 867364516 /nfs/dbraw/zinc/36/45/16/867364516.db2.gz IIQYEPXJIAKSEJ-INIZCTEOSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@](C)(NC(=O)c2ccoc2C)C1 ZINC001324572617 867364535 /nfs/dbraw/zinc/36/45/35/867364535.db2.gz IIQYEPXJIAKSEJ-INIZCTEOSA-N 1 2 305.378 1.084 20 30 DDEDLO CC#CCCCC(=O)N[C@@]1(C)CC[N@H+](Cc2ncccn2)C1 ZINC001324642534 867423341 /nfs/dbraw/zinc/42/33/41/867423341.db2.gz XGQKHVIXCVDYAH-KRWDZBQOSA-N 1 2 300.406 1.751 20 30 DDEDLO CC#CCCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2ncccn2)C1 ZINC001324642534 867423351 /nfs/dbraw/zinc/42/33/51/867423351.db2.gz XGQKHVIXCVDYAH-KRWDZBQOSA-N 1 2 300.406 1.751 20 30 DDEDLO COc1cc(C#N)ccc1OCC[NH2+][C@H](C)c1noc(C)n1 ZINC001325322826 867943575 /nfs/dbraw/zinc/94/35/75/867943575.db2.gz ORXUEICHYXHOAA-SNVBAGLBSA-N 1 2 302.334 1.988 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[N@H+](Cc3ncnn3C)C[C@@H]2C1 ZINC001325761774 868332624 /nfs/dbraw/zinc/33/26/24/868332624.db2.gz MDASZDSLQJTEOV-OKILXGFUSA-N 1 2 303.410 1.062 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H]2C[N@@H+](Cc3ncnn3C)C[C@@H]2C1 ZINC001325761774 868332639 /nfs/dbraw/zinc/33/26/39/868332639.db2.gz MDASZDSLQJTEOV-OKILXGFUSA-N 1 2 303.410 1.062 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC001322880242 868441924 /nfs/dbraw/zinc/44/19/24/868441924.db2.gz OIYJYNIVDGAQOE-CHWSQXEVSA-N 1 2 304.394 1.207 20 30 DDEDLO Cc1nnc([C@@H](C)[NH+]2CCC(NC(=O)CSCC#N)CC2)[nH]1 ZINC001226152270 882235460 /nfs/dbraw/zinc/23/54/60/882235460.db2.gz FOMGRARUFQBRTJ-SNVBAGLBSA-N 1 2 322.438 1.012 20 30 DDEDLO C#Cc1ccc(NC(=O)C(=O)N2CCC(n3cc[nH+]c3)CC2)cc1 ZINC001336593263 869037119 /nfs/dbraw/zinc/03/71/19/869037119.db2.gz WJBSFLIIRDXDIV-UHFFFAOYSA-N 1 2 322.368 1.667 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc([N+](=O)[O-])c(F)cc3F)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226179578 882256372 /nfs/dbraw/zinc/25/63/72/882256372.db2.gz CPJKWMRNPGFACC-PUNAFYOISA-N 1 2 312.272 1.864 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc([N+](=O)[O-])c(F)cc3F)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226179578 882256385 /nfs/dbraw/zinc/25/63/85/882256385.db2.gz CPJKWMRNPGFACC-PUNAFYOISA-N 1 2 312.272 1.864 20 30 DDEDLO C=C(C)c1cccc(NC(=O)C(=O)N[C@H]2CCn3cc[nH+]c3C2)c1 ZINC001336672817 869086415 /nfs/dbraw/zinc/08/64/15/869086415.db2.gz LQOUFKUZVAWUEW-HNNXBMFYSA-N 1 2 324.384 1.986 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2ncc(C(F)(F)F)cc2C#N)C[NH2+]1 ZINC001164302646 869106019 /nfs/dbraw/zinc/10/60/19/869106019.db2.gz ZVSBDKOAYZUVCL-UWVGGRQHSA-N 1 2 314.267 1.287 20 30 DDEDLO CSc1nc(Cl)c(C#N)c(N2CC[NH2+]CC(F)(F)C2)n1 ZINC001164489052 869229786 /nfs/dbraw/zinc/22/97/86/869229786.db2.gz YGZNUJMJMQUCOX-UHFFFAOYSA-N 1 2 319.768 1.768 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(F)ccc1N(C)C ZINC001336981188 869242472 /nfs/dbraw/zinc/24/24/72/869242472.db2.gz JRBKXLBRPHJZIY-NSHDSACASA-N 1 2 309.341 1.277 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(c3ncnc4[nH]cc(C#N)c43)C2)CCO1 ZINC001165128679 869397531 /nfs/dbraw/zinc/39/75/31/869397531.db2.gz VVHNWLNSWCRFJK-UHFFFAOYSA-N 1 2 312.377 1.129 20 30 DDEDLO CC1(C)C[N@H+](C2CN(c3ncnc4[nH]cc(C#N)c43)C2)CCO1 ZINC001165128679 869397539 /nfs/dbraw/zinc/39/75/39/869397539.db2.gz VVHNWLNSWCRFJK-UHFFFAOYSA-N 1 2 312.377 1.129 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H]1C ZINC001338017329 869746876 /nfs/dbraw/zinc/74/68/76/869746876.db2.gz MJIGZNUVXHTFRM-JSGCOSHPSA-N 1 2 318.421 1.660 20 30 DDEDLO C=C[C@H](COC)NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001338164758 869843460 /nfs/dbraw/zinc/84/34/60/869843460.db2.gz YCNMNEPZGHSFQM-HZPDHXFCSA-N 1 2 303.406 1.753 20 30 DDEDLO C=C[C@H](COC)NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001338164758 869843472 /nfs/dbraw/zinc/84/34/72/869843472.db2.gz YCNMNEPZGHSFQM-HZPDHXFCSA-N 1 2 303.406 1.753 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@H]3C[C@H](O)C[N@@H+]3C)n2C)C1 ZINC001338425233 869975130 /nfs/dbraw/zinc/97/51/30/869975130.db2.gz ZJCZLSKKOWIAHB-HZSPNIEDSA-N 1 2 305.426 1.345 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@H]3C[C@H](O)C[N@H+]3C)n2C)C1 ZINC001338425233 869975138 /nfs/dbraw/zinc/97/51/38/869975138.db2.gz ZJCZLSKKOWIAHB-HZSPNIEDSA-N 1 2 305.426 1.345 20 30 DDEDLO C[C@H](CC(=O)NCC[NH+]1CCN(CC#N)CC1)c1ccco1 ZINC001316971731 870020968 /nfs/dbraw/zinc/02/09/68/870020968.db2.gz GQJVKBYLOKPECY-CQSZACIVSA-N 1 2 304.394 1.031 20 30 DDEDLO CC[C@H](CNC(=O)Cc1[nH]cc[nH+]1)NC(=O)C#CC(C)(C)C ZINC001297474091 870065904 /nfs/dbraw/zinc/06/59/04/870065904.db2.gz RTGPNXKACSAGKA-GFCCVEGCSA-N 1 2 304.394 1.013 20 30 DDEDLO C=CCOCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)C(C)(C)C ZINC001317043920 870156287 /nfs/dbraw/zinc/15/62/87/870156287.db2.gz DNTLJAVBRZTUCA-OKILXGFUSA-N 1 2 308.422 1.479 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)C(C)(C)C ZINC001317043920 870156291 /nfs/dbraw/zinc/15/62/91/870156291.db2.gz DNTLJAVBRZTUCA-OKILXGFUSA-N 1 2 308.422 1.479 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C(C)C ZINC001317050896 870168151 /nfs/dbraw/zinc/16/81/51/870168151.db2.gz WYGROHRAXYYBNS-QXSJWSMHSA-N 1 2 321.465 1.644 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C(C)C ZINC001317050896 870168158 /nfs/dbraw/zinc/16/81/58/870168158.db2.gz WYGROHRAXYYBNS-QXSJWSMHSA-N 1 2 321.465 1.644 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3coc(C)c3)n2CC=C)CC1 ZINC001338946128 870256557 /nfs/dbraw/zinc/25/65/57/870256557.db2.gz LKTSBNLPZIMUSK-UHFFFAOYSA-N 1 2 311.389 1.788 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H]([NH2+]Cc2nnn(C(C)(C)C)n2)C1 ZINC001317107395 870261984 /nfs/dbraw/zinc/26/19/84/870261984.db2.gz JRSBYFHFDXSIAD-TXEJJXNPSA-N 1 2 306.414 1.131 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001317171044 870408951 /nfs/dbraw/zinc/40/89/51/870408951.db2.gz MFZZZDGQLDRHBX-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001317171044 870408963 /nfs/dbraw/zinc/40/89/63/870408963.db2.gz MFZZZDGQLDRHBX-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CC[NH2+]C[C@H]1CCCCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001317188979 870438902 /nfs/dbraw/zinc/43/89/02/870438902.db2.gz NGQWZWWRQRUSAZ-MRXNPFEDSA-N 1 2 323.400 1.689 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@@H]1CCC[N@H+](Cc2cnon2)C1 ZINC001317202332 870462136 /nfs/dbraw/zinc/46/21/36/870462136.db2.gz FOZHLMUZKANQTR-ZIAGYGMSSA-N 1 2 304.394 1.446 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@@H]1CCC[N@@H+](Cc2cnon2)C1 ZINC001317202332 870462138 /nfs/dbraw/zinc/46/21/38/870462138.db2.gz FOZHLMUZKANQTR-ZIAGYGMSSA-N 1 2 304.394 1.446 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)CCN(C)C2=O ZINC001339647701 870604048 /nfs/dbraw/zinc/60/40/48/870604048.db2.gz UJHLJWWDVDIONX-INIZCTEOSA-N 1 2 311.385 1.351 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)CCN(C)C2=O ZINC001339647701 870604054 /nfs/dbraw/zinc/60/40/54/870604054.db2.gz UJHLJWWDVDIONX-INIZCTEOSA-N 1 2 311.385 1.351 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3cc(C)cc(C)c3)n2C)CC1 ZINC001339699759 870640024 /nfs/dbraw/zinc/64/00/24/870640024.db2.gz LLDFABZTTFUSCC-UHFFFAOYSA-N 1 2 323.444 1.778 20 30 DDEDLO N#CCN[C@@H]1C[C@H](NC(=O)CCCn2cc[nH+]c2)C12CCC2 ZINC001203813139 870773592 /nfs/dbraw/zinc/77/35/92/870773592.db2.gz BKKGHZPNTNSGEK-KGLIPLIRSA-N 1 2 301.394 1.204 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CCN1C(=O)Cn1cc[nH+]c1 ZINC001299094132 870893564 /nfs/dbraw/zinc/89/35/64/870893564.db2.gz AFTLFTUQYNEOJU-CZUORRHYSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCN(C)c1nnc(C(C)C)n1C[C@@H](C)[NH+]1CCOCC1 ZINC001301268376 870983296 /nfs/dbraw/zinc/98/32/96/870983296.db2.gz JOWKFONGCGHTPX-CQSZACIVSA-N 1 2 307.442 1.744 20 30 DDEDLO C=CC1CCN(c2nnc([C@@H]3C[C@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001340402743 871083931 /nfs/dbraw/zinc/08/39/31/871083931.db2.gz WVJDOPKBTAHCRS-KBPBESRZSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CC1CCN(c2nnc([C@@H]3C[C@H](O)C[N@H+]3C)n2CC)CC1 ZINC001340402743 871083945 /nfs/dbraw/zinc/08/39/45/871083945.db2.gz WVJDOPKBTAHCRS-KBPBESRZSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1CCC2(COC2)C1 ZINC001340422855 871098466 /nfs/dbraw/zinc/09/84/66/871098466.db2.gz WDBHQRJYVNGKPD-UHFFFAOYSA-N 1 2 317.437 1.677 20 30 DDEDLO C=CCCOCC(=O)N[C@H](C)C1C[NH+](Cc2ccc(F)cn2)C1 ZINC001276468824 871120519 /nfs/dbraw/zinc/12/05/19/871120519.db2.gz NGWJPVSSZMSJEB-CYBMUJFWSA-N 1 2 321.396 1.750 20 30 DDEDLO C=CCn1c(N(C)CCCC)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001340558266 871196725 /nfs/dbraw/zinc/19/67/25/871196725.db2.gz FGDFCMJMEMRKGN-BBRMVZONSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CCn1c(N(C)CCCC)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001340558266 871196728 /nfs/dbraw/zinc/19/67/28/871196728.db2.gz FGDFCMJMEMRKGN-BBRMVZONSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CCN(c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC=C)C1CC1 ZINC001340573488 871204180 /nfs/dbraw/zinc/20/41/80/871204180.db2.gz YAVRWGRIXKKISP-RHSMWYFYSA-N 1 2 317.437 1.531 20 30 DDEDLO C=CCN(c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC=C)C1CC1 ZINC001340573488 871204195 /nfs/dbraw/zinc/20/41/95/871204195.db2.gz YAVRWGRIXKKISP-RHSMWYFYSA-N 1 2 317.437 1.531 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)c2cc(C)sc2C)CC1 ZINC001317819135 871543780 /nfs/dbraw/zinc/54/37/80/871543780.db2.gz QPJZTWVASMPXIZ-UHFFFAOYSA-N 1 2 319.474 1.688 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+]([C@H](C)C(=O)NCC(C)C)C1 ZINC001317940851 871640683 /nfs/dbraw/zinc/64/06/83/871640683.db2.gz NGPGBSYGPDHSJG-HUUCEWRRSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+]([C@H](C)C(=O)NCC(C)C)C1 ZINC001317940851 871640705 /nfs/dbraw/zinc/64/07/05/871640705.db2.gz NGPGBSYGPDHSJG-HUUCEWRRSA-N 1 2 323.481 1.940 20 30 DDEDLO CCC(C)(CC)C(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001317518771 871727333 /nfs/dbraw/zinc/72/73/33/871727333.db2.gz YUDOBKQOKXILBR-ZDUSSCGKSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC(C)(CC)C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001317518771 871727344 /nfs/dbraw/zinc/72/73/44/871727344.db2.gz YUDOBKQOKXILBR-ZDUSSCGKSA-N 1 2 308.426 1.033 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1CC[NH+](Cc2cc(F)ccc2C#N)CC1 ZINC001226618166 882542062 /nfs/dbraw/zinc/54/20/62/882542062.db2.gz ZHTQBORHTYZUAB-LBPRGKRZSA-N 1 2 314.364 1.938 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CC(CNC(=O)c2cccs2)C1 ZINC001318097264 871743424 /nfs/dbraw/zinc/74/34/24/871743424.db2.gz SQNOFJKQFVFFIE-NSHDSACASA-N 1 2 307.419 1.100 20 30 DDEDLO O=C(CCCF)NCC1C[NH+](CC#Cc2ccc(F)cc2)C1 ZINC001318144635 871776985 /nfs/dbraw/zinc/77/69/85/871776985.db2.gz DIQNAMUIQLVABH-UHFFFAOYSA-N 1 2 306.356 1.975 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@H]2CCCC[N@@H+]2C)n1CCOC ZINC001341899647 871883022 /nfs/dbraw/zinc/88/30/22/871883022.db2.gz UTLWJTZLUXTJKJ-ZIAGYGMSSA-N 1 2 305.426 1.539 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@H]2CCCC[N@H+]2C)n1CCOC ZINC001341899647 871883034 /nfs/dbraw/zinc/88/30/34/871883034.db2.gz UTLWJTZLUXTJKJ-ZIAGYGMSSA-N 1 2 305.426 1.539 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(F)F)n2CC(=C)C)CC1 ZINC001342120550 872018828 /nfs/dbraw/zinc/01/88/28/872018828.db2.gz GASZZOMNKFXBLM-UHFFFAOYSA-N 1 2 309.364 1.417 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)CSCC#N)C1 ZINC001206096029 872055392 /nfs/dbraw/zinc/05/53/92/872055392.db2.gz LHMFORBBHPDAOH-ZYHUDNBSSA-N 1 2 308.407 1.176 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)CSCC#N)C1 ZINC001206096029 872055428 /nfs/dbraw/zinc/05/54/28/872055428.db2.gz LHMFORBBHPDAOH-ZYHUDNBSSA-N 1 2 308.407 1.176 20 30 DDEDLO CC[C@@H](CNC(=O)CSCC#N)[NH2+][C@H](C)c1noc(C)n1 ZINC001318502633 872118952 /nfs/dbraw/zinc/11/89/52/872118952.db2.gz MAPGTHOWQIMZCO-KOLCDFICSA-N 1 2 311.411 1.180 20 30 DDEDLO C=CCOCc1ncn2c1C[N@H+](Cc1ccn(C)n1)CCC2 ZINC001206271258 872173981 /nfs/dbraw/zinc/17/39/81/872173981.db2.gz SEXBXHTUVFPBOF-UHFFFAOYSA-N 1 2 301.394 1.725 20 30 DDEDLO C=CCOCc1ncn2c1C[N@@H+](Cc1ccn(C)n1)CCC2 ZINC001206271258 872173987 /nfs/dbraw/zinc/17/39/87/872173987.db2.gz SEXBXHTUVFPBOF-UHFFFAOYSA-N 1 2 301.394 1.725 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3ccc(C#N)cc3)CC2)cn1 ZINC001206408899 872290044 /nfs/dbraw/zinc/29/00/44/872290044.db2.gz DHBRAQKCNFYWIM-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccon2)C[C@H]1C ZINC001206779114 872572886 /nfs/dbraw/zinc/57/28/86/872572886.db2.gz YJRULUWQOGXNIH-UKRRQHHQSA-N 1 2 307.394 1.594 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@H+](Cc2ccon2)C[C@H]1C ZINC001206779114 872572897 /nfs/dbraw/zinc/57/28/97/872572897.db2.gz YJRULUWQOGXNIH-UKRRQHHQSA-N 1 2 307.394 1.594 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CN(Cc2c[nH+]cn2C)CCO1 ZINC001319323165 872574950 /nfs/dbraw/zinc/57/49/50/872574950.db2.gz VFMGOTMQXVMJBH-HNNXBMFYSA-N 1 2 320.437 1.339 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C(F)=C2CCCC2)C1 ZINC001206868178 872667492 /nfs/dbraw/zinc/66/74/92/872667492.db2.gz HHXMNPHUSCRMLI-TZMCWYRMSA-N 1 2 323.412 1.523 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C(F)=C2CCCC2)C1 ZINC001206868178 872667495 /nfs/dbraw/zinc/66/74/95/872667495.db2.gz HHXMNPHUSCRMLI-TZMCWYRMSA-N 1 2 323.412 1.523 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001344228998 872959179 /nfs/dbraw/zinc/95/91/79/872959179.db2.gz DOLVUGWGDJACCW-RQJABVFESA-N 1 2 318.421 1.421 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001345962522 873572944 /nfs/dbraw/zinc/57/29/44/873572944.db2.gz WRHVXSYOIPYHDB-BBRMVZONSA-N 1 2 305.426 1.364 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001345962522 873572950 /nfs/dbraw/zinc/57/29/50/873572950.db2.gz WRHVXSYOIPYHDB-BBRMVZONSA-N 1 2 305.426 1.364 20 30 DDEDLO CC(C)[N@@H+]1CCC[C@H]1C(=O)[C@@H](C#N)C(=O)Nc1ccccn1 ZINC001346537852 873794166 /nfs/dbraw/zinc/79/41/66/873794166.db2.gz QWXGSZQKNRBMIU-OLZOCXBDSA-N 1 2 300.362 1.602 20 30 DDEDLO CC(C)[N@H+]1CCC[C@H]1C(=O)[C@@H](C#N)C(=O)Nc1ccccn1 ZINC001346537852 873794173 /nfs/dbraw/zinc/79/41/73/873794173.db2.gz QWXGSZQKNRBMIU-OLZOCXBDSA-N 1 2 300.362 1.602 20 30 DDEDLO C=CCNC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C)nn1C ZINC001277172244 882762678 /nfs/dbraw/zinc/76/26/78/882762678.db2.gz HUVDAGVRQGHNKV-OKILXGFUSA-N 1 2 303.410 1.273 20 30 DDEDLO C=CCNC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C)nn1C ZINC001277172244 882762699 /nfs/dbraw/zinc/76/26/99/882762699.db2.gz HUVDAGVRQGHNKV-OKILXGFUSA-N 1 2 303.410 1.273 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cn(C)nn2)C[C@H]1C ZINC001208388992 874098489 /nfs/dbraw/zinc/09/84/89/874098489.db2.gz WBMYGTILMDVRKS-UMVBOHGHSA-N 1 2 305.426 1.354 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cn(C)nn2)C[C@H]1C ZINC001208388992 874098507 /nfs/dbraw/zinc/09/85/07/874098507.db2.gz WBMYGTILMDVRKS-UMVBOHGHSA-N 1 2 305.426 1.354 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)CC1(C)C ZINC001276600890 874575786 /nfs/dbraw/zinc/57/57/86/874575786.db2.gz JHXNNFUATVOZRJ-CQSZACIVSA-N 1 2 320.437 1.199 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)CC1(C)C ZINC001276600890 874575793 /nfs/dbraw/zinc/57/57/93/874575793.db2.gz JHXNNFUATVOZRJ-CQSZACIVSA-N 1 2 320.437 1.199 20 30 DDEDLO CCn1ccc(C[NH+]2CCC(NC(=O)C#CC(C)C)CC2)n1 ZINC001227266110 882944219 /nfs/dbraw/zinc/94/42/19/882944219.db2.gz VLAKPBUDQLUSAA-UHFFFAOYSA-N 1 2 302.422 1.643 20 30 DDEDLO CCc1noc([C@H](C)[NH+]2CCC(NC(=O)C#CC3CC3)CC2)n1 ZINC001227273931 882947812 /nfs/dbraw/zinc/94/78/12/882947812.db2.gz YJZFBUYGEOHBIS-LBPRGKRZSA-N 1 2 316.405 1.687 20 30 DDEDLO COCC#CC(=O)Nc1cc(C[NH+]2CCOCC2)ccc1C ZINC001350761811 875874893 /nfs/dbraw/zinc/87/48/93/875874893.db2.gz RGQQOHRYWWUZPN-UHFFFAOYSA-N 1 2 302.374 1.416 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213317764 875897001 /nfs/dbraw/zinc/89/70/01/875897001.db2.gz VJKMMXNNOQAKCI-FVQBIDKESA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213317764 875897010 /nfs/dbraw/zinc/89/70/10/875897010.db2.gz VJKMMXNNOQAKCI-FVQBIDKESA-N 1 2 320.437 1.338 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213497861 875956688 /nfs/dbraw/zinc/95/66/88/875956688.db2.gz JYYDXFNGGNAETC-UKRRQHHQSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213497861 875956701 /nfs/dbraw/zinc/95/67/01/875956701.db2.gz JYYDXFNGGNAETC-UKRRQHHQSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C1CCC(CNC(=O)C(=O)N[C@H](C)Cn2cc[nH+]c2)CC1 ZINC001351119339 876066421 /nfs/dbraw/zinc/06/64/21/876066421.db2.gz XPDRQXLTHOMDGR-CYBMUJFWSA-N 1 2 304.394 1.250 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C=C(C)C ZINC001276780885 877448742 /nfs/dbraw/zinc/44/87/42/877448742.db2.gz AGIDXXQTBVUKLH-HOTGVXAUSA-N 1 2 321.465 1.956 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C=C(C)C ZINC001276780885 877448743 /nfs/dbraw/zinc/44/87/43/877448743.db2.gz AGIDXXQTBVUKLH-HOTGVXAUSA-N 1 2 321.465 1.956 20 30 DDEDLO C=CCCOCC(=O)N1CCO[C@@H]2C[N@@H+](C[C@H](F)CC)C[C@@H]21 ZINC001219062511 877900339 /nfs/dbraw/zinc/90/03/39/877900339.db2.gz VCJAIRJVFQDMEM-QLFBSQMISA-N 1 2 314.401 1.239 20 30 DDEDLO C=CCCOCC(=O)N1CCO[C@@H]2C[N@H+](C[C@H](F)CC)C[C@@H]21 ZINC001219062511 877900347 /nfs/dbraw/zinc/90/03/47/877900347.db2.gz VCJAIRJVFQDMEM-QLFBSQMISA-N 1 2 314.401 1.239 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)/C=C(/C)C1CC1 ZINC001276801706 877904155 /nfs/dbraw/zinc/90/41/55/877904155.db2.gz SGRJNPOZULNLNK-QQYFDESBSA-N 1 2 305.422 1.224 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)/C=C(/C)C1CC1 ZINC001276801706 877904168 /nfs/dbraw/zinc/90/41/68/877904168.db2.gz SGRJNPOZULNLNK-QQYFDESBSA-N 1 2 305.422 1.224 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@@H]2CCC[C@H]2OC)CC1 ZINC001300685865 878138808 /nfs/dbraw/zinc/13/88/08/878138808.db2.gz TVGWYRKFRPPJIO-JKSUJKDBSA-N 1 2 317.437 1.157 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2CCCOC(C)C)CC1 ZINC001300701304 878178557 /nfs/dbraw/zinc/17/85/57/878178557.db2.gz NYRRRTGYXBQSLR-UHFFFAOYSA-N 1 2 319.453 1.411 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001354912944 878309021 /nfs/dbraw/zinc/30/90/21/878309021.db2.gz QZLCCSHBWFRXQN-AWEZNQCLSA-N 1 2 316.405 1.029 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@@H]1O ZINC001219704824 878465872 /nfs/dbraw/zinc/46/58/72/878465872.db2.gz LFLXYEMPTLIQEO-CABCVRRESA-N 1 2 303.406 1.345 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@@H]1O ZINC001219704824 878465881 /nfs/dbraw/zinc/46/58/81/878465881.db2.gz LFLXYEMPTLIQEO-CABCVRRESA-N 1 2 303.406 1.345 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001219707908 878468707 /nfs/dbraw/zinc/46/87/07/878468707.db2.gz KIALWKVYMZIAKH-KGLIPLIRSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001219707908 878468712 /nfs/dbraw/zinc/46/87/12/878468712.db2.gz KIALWKVYMZIAKH-KGLIPLIRSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)/C(C)=C/CC)[C@@H]2C1 ZINC001221363174 879715967 /nfs/dbraw/zinc/71/59/67/879715967.db2.gz ZYOHVLQKPNTBMY-KUYFCZACSA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)/C(C)=C/CC)[C@@H]2C1 ZINC001221363174 879715984 /nfs/dbraw/zinc/71/59/84/879715984.db2.gz ZYOHVLQKPNTBMY-KUYFCZACSA-N 1 2 305.422 1.178 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC(C)C)C[C@H]21 ZINC001221758845 880059227 /nfs/dbraw/zinc/05/92/27/880059227.db2.gz IQIOYGZWYOFHJZ-BZUAXINKSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC(C)C)C[C@H]21 ZINC001221758845 880059240 /nfs/dbraw/zinc/05/92/40/880059240.db2.gz IQIOYGZWYOFHJZ-BZUAXINKSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC)C[C@H]21 ZINC001221759261 880061578 /nfs/dbraw/zinc/06/15/78/880061578.db2.gz QVIOQLHVQSQGJK-RRFJBIMHSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC)C[C@H]21 ZINC001221759261 880061595 /nfs/dbraw/zinc/06/15/95/880061595.db2.gz QVIOQLHVQSQGJK-RRFJBIMHSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C(C)=C1CCCC1 ZINC001276825021 880066006 /nfs/dbraw/zinc/06/60/06/880066006.db2.gz XPSXSCPQGVNBFG-GOEBONIOSA-N 1 2 319.449 1.758 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C(C)=C1CCCC1 ZINC001276825021 880066020 /nfs/dbraw/zinc/06/60/20/880066020.db2.gz XPSXSCPQGVNBFG-GOEBONIOSA-N 1 2 319.449 1.758 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](CC)CCC)[C@@H]2C1 ZINC001221899290 880140935 /nfs/dbraw/zinc/14/09/35/880140935.db2.gz NRGQTNXPZHQQIU-BZUAXINKSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](CC)CCC)[C@@H]2C1 ZINC001221899290 880140940 /nfs/dbraw/zinc/14/09/40/880140940.db2.gz NRGQTNXPZHQQIU-BZUAXINKSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]2CC[N@@H+](Cc3cncn3C)C[C@H]21 ZINC001222064062 880203505 /nfs/dbraw/zinc/20/35/05/880203505.db2.gz BEGZUEOZSXAGAT-GDBMZVCRSA-N 1 2 318.421 1.046 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]2CC[N@H+](Cc3cncn3C)C[C@H]21 ZINC001222064062 880203519 /nfs/dbraw/zinc/20/35/19/880203519.db2.gz BEGZUEOZSXAGAT-GDBMZVCRSA-N 1 2 318.421 1.046 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001287896563 912557552 /nfs/dbraw/zinc/55/75/52/912557552.db2.gz LAMBMXCZPGLEER-OLZOCXBDSA-N 1 2 304.394 1.414 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1CC ZINC001358580121 880551581 /nfs/dbraw/zinc/55/15/81/880551581.db2.gz OSAYUVVYQYAKKP-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO CCc1nnc([C@@H](C)[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)[nH]1 ZINC001222659359 880625321 /nfs/dbraw/zinc/62/53/21/880625321.db2.gz WDHMRFLRQLBEPI-VXGBXAGGSA-N 1 2 318.425 1.416 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC001358663235 880722522 /nfs/dbraw/zinc/72/25/22/880722522.db2.gz XXLMFTOWIBFJPT-CYBMUJFWSA-N 1 2 304.394 1.565 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001358697088 880774385 /nfs/dbraw/zinc/77/43/85/880774385.db2.gz ASKYOMMLLLQSIQ-GFCCVEGCSA-N 1 2 304.394 1.320 20 30 DDEDLO C#CCCCC(=O)NCC1CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001223012668 880782872 /nfs/dbraw/zinc/78/28/72/880782872.db2.gz MOUXWEZVKBFDNY-UHFFFAOYSA-N 1 2 303.410 1.245 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(CNC(=O)C#CC(C)(C)C)CC2)o1 ZINC001223142807 880852186 /nfs/dbraw/zinc/85/21/86/880852186.db2.gz MLDSINCEIMXWEN-UHFFFAOYSA-N 1 2 318.421 1.756 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@](C)(NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001358797204 880931620 /nfs/dbraw/zinc/93/16/20/880931620.db2.gz KNKHHQKNPZEBPH-QGZVFWFLSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@](C)(NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001358797204 880931627 /nfs/dbraw/zinc/93/16/27/880931627.db2.gz KNKHHQKNPZEBPH-QGZVFWFLSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C(C)(C)C(F)F ZINC001276922769 881110368 /nfs/dbraw/zinc/11/03/68/881110368.db2.gz ACCWDSHQNHPSAA-GHMZBOCLSA-N 1 2 317.380 1.159 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C(C)(C)C(F)F ZINC001276922769 881110377 /nfs/dbraw/zinc/11/03/77/881110377.db2.gz ACCWDSHQNHPSAA-GHMZBOCLSA-N 1 2 317.380 1.159 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224013933 881182115 /nfs/dbraw/zinc/18/21/15/881182115.db2.gz NCKLTLNSWDTSCB-UHFFFAOYSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)[C@H]1C ZINC001287998857 912653648 /nfs/dbraw/zinc/65/36/48/912653648.db2.gz NHZSXPFGZHIKSU-JSGCOSHPSA-N 1 2 304.394 1.414 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]3C[C@]32C(=O)NC2(C#N)CCC2)ccn1 ZINC001277320985 883637825 /nfs/dbraw/zinc/63/78/25/883637825.db2.gz LLNLXZGMGWVFOS-MAUKXSAKSA-N 1 2 310.401 1.917 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]3C[C@]32C(=O)NC2(C#N)CCC2)ccn1 ZINC001277320985 883637829 /nfs/dbraw/zinc/63/78/29/883637829.db2.gz LLNLXZGMGWVFOS-MAUKXSAKSA-N 1 2 310.401 1.917 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1CC[NH+](Cc2nonc2C)CC1 ZINC001228747696 883650218 /nfs/dbraw/zinc/65/02/18/883650218.db2.gz DHYVIAPQTAWNSX-RYUDHWBXSA-N 1 2 306.410 1.917 20 30 DDEDLO CC#CCCCC(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001228779964 883666328 /nfs/dbraw/zinc/66/63/28/883666328.db2.gz WBOFQLKPOIWMJL-UHFFFAOYSA-N 1 2 317.437 1.572 20 30 DDEDLO COc1ccc2ncnc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@H+]4C)c2c1 ZINC001228868061 883704891 /nfs/dbraw/zinc/70/48/91/883704891.db2.gz FRQYETRWPXOLPP-BDOHNGBXSA-N 1 2 313.357 1.630 20 30 DDEDLO COc1ccc2ncnc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@@H+]4C)c2c1 ZINC001228868061 883704898 /nfs/dbraw/zinc/70/48/98/883704898.db2.gz FRQYETRWPXOLPP-BDOHNGBXSA-N 1 2 313.357 1.630 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@@H](O)CN(C)C(=O)C(C)(C)C ZINC001382474882 884086370 /nfs/dbraw/zinc/08/63/70/884086370.db2.gz AOTKYNQPYNBFSG-LLVKDONJSA-N 1 2 321.259 1.692 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@@H](O)CN(C)C(=O)C(C)(C)C ZINC001382474882 884086381 /nfs/dbraw/zinc/08/63/81/884086381.db2.gz AOTKYNQPYNBFSG-LLVKDONJSA-N 1 2 321.259 1.692 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2nocc2C)CC1 ZINC001230411278 884477787 /nfs/dbraw/zinc/47/77/87/884477787.db2.gz BTOHYLUZVDZWJW-UHFFFAOYSA-N 1 2 307.394 1.656 20 30 DDEDLO COC[C@@H](C)CC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230612447 884649676 /nfs/dbraw/zinc/64/96/76/884649676.db2.gz ADFLARPAIGSUDD-HOCLYGCPSA-N 1 2 307.438 1.886 20 30 DDEDLO COC[C@@H](C)CC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230612447 884649680 /nfs/dbraw/zinc/64/96/80/884649680.db2.gz ADFLARPAIGSUDD-HOCLYGCPSA-N 1 2 307.438 1.886 20 30 DDEDLO COC[C@@H](C)CC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230612446 884650113 /nfs/dbraw/zinc/65/01/13/884650113.db2.gz ADFLARPAIGSUDD-GOEBONIOSA-N 1 2 307.438 1.886 20 30 DDEDLO COC[C@@H](C)CC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230612446 884650126 /nfs/dbraw/zinc/65/01/26/884650126.db2.gz ADFLARPAIGSUDD-GOEBONIOSA-N 1 2 307.438 1.886 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)CSCC#N)ncn1 ZINC001230815621 884915376 /nfs/dbraw/zinc/91/53/76/884915376.db2.gz QPMOVKBBIKIEGX-AWEZNQCLSA-N 1 2 319.434 1.075 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)CSCC#N)ncn1 ZINC001230815621 884915391 /nfs/dbraw/zinc/91/53/91/884915391.db2.gz QPMOVKBBIKIEGX-AWEZNQCLSA-N 1 2 319.434 1.075 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCCC[C@@H]1C ZINC001231113224 885244289 /nfs/dbraw/zinc/24/42/89/885244289.db2.gz HLLTWFRZZJVJBD-HOTGVXAUSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCCC[C@@H]1C ZINC001231113224 885244310 /nfs/dbraw/zinc/24/43/10/885244310.db2.gz HLLTWFRZZJVJBD-HOTGVXAUSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1C[C@@H](C)CS(C)(=O)=O ZINC001231179193 885330967 /nfs/dbraw/zinc/33/09/67/885330967.db2.gz QYEAAYWULUWDQB-KGLIPLIRSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1C[C@@H](C)CS(C)(=O)=O ZINC001231179193 885330985 /nfs/dbraw/zinc/33/09/85/885330985.db2.gz QYEAAYWULUWDQB-KGLIPLIRSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@@H](C)CCC ZINC001231179928 885331297 /nfs/dbraw/zinc/33/12/97/885331297.db2.gz XVIMHCCWXFTKBN-GJZGRUSLSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@@H](C)CCC ZINC001231179928 885331320 /nfs/dbraw/zinc/33/13/20/885331320.db2.gz XVIMHCCWXFTKBN-GJZGRUSLSA-N 1 2 309.454 1.790 20 30 DDEDLO COCCOC[N@@H+]1CCC2(CN(c3ccc(C#N)cn3)C2)C1 ZINC001277687170 886489571 /nfs/dbraw/zinc/48/95/71/886489571.db2.gz HIGKRYODAQBHLR-UHFFFAOYSA-N 1 2 302.378 1.086 20 30 DDEDLO COCCOC[N@H+]1CCC2(CN(c3ccc(C#N)cn3)C2)C1 ZINC001277687170 886489585 /nfs/dbraw/zinc/48/95/85/886489585.db2.gz HIGKRYODAQBHLR-UHFFFAOYSA-N 1 2 302.378 1.086 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](CCOCC3CC3)C2)nc1 ZINC001277687023 886490438 /nfs/dbraw/zinc/49/04/38/886490438.db2.gz ZDQJMYNUYJVQSR-UHFFFAOYSA-N 1 2 313.401 1.246 20 30 DDEDLO CCNC(=O)CO[C@@H]1CCC[N@H+](Cc2cc(C#N)ccc2O)C1 ZINC001232678639 886531357 /nfs/dbraw/zinc/53/13/57/886531357.db2.gz LBYBPEWFCAVRTE-OAHLLOKOSA-N 1 2 317.389 1.381 20 30 DDEDLO CCNC(=O)CO[C@@H]1CCC[N@@H+](Cc2cc(C#N)ccc2O)C1 ZINC001232678639 886531370 /nfs/dbraw/zinc/53/13/70/886531370.db2.gz LBYBPEWFCAVRTE-OAHLLOKOSA-N 1 2 317.389 1.381 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@H](NC(=O)c3ccccn3)C2)n1 ZINC001232926901 886678162 /nfs/dbraw/zinc/67/81/62/886678162.db2.gz IALVQQFGGXFXSI-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccccn3)C2)n1 ZINC001232926901 886678167 /nfs/dbraw/zinc/67/81/67/886678167.db2.gz IALVQQFGGXFXSI-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO COCCN1C(=O)CCC12CC[NH+](Cc1[nH]ccc1C#N)CC2 ZINC001233045734 886755970 /nfs/dbraw/zinc/75/59/70/886755970.db2.gz UTSWSBBHHIQCBW-UHFFFAOYSA-N 1 2 316.405 1.490 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1CCC2(CC1)OC(=O)c1ncccc12 ZINC001233047919 886757093 /nfs/dbraw/zinc/75/70/93/886757093.db2.gz QMUHRZYWLMYSRY-UHFFFAOYSA-N 1 2 308.341 1.943 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)c1cnccn1 ZINC001233948361 887490500 /nfs/dbraw/zinc/49/05/00/887490500.db2.gz VEXANXCZNIIBBS-HUUCEWRRSA-N 1 2 300.406 1.874 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)c1cnccn1 ZINC001233948361 887490507 /nfs/dbraw/zinc/49/05/07/887490507.db2.gz VEXANXCZNIIBBS-HUUCEWRRSA-N 1 2 300.406 1.874 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NCC(C)C ZINC001234130454 887671082 /nfs/dbraw/zinc/67/10/82/887671082.db2.gz MLSMQDFHBVAWBY-HZPDHXFCSA-N 1 2 321.465 1.339 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCC(C)C ZINC001234130454 887671086 /nfs/dbraw/zinc/67/10/86/887671086.db2.gz MLSMQDFHBVAWBY-HZPDHXFCSA-N 1 2 321.465 1.339 20 30 DDEDLO CCCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234129204 887672657 /nfs/dbraw/zinc/67/26/57/887672657.db2.gz HXASDAVFLYTSIL-GJZGRUSLSA-N 1 2 307.438 1.093 20 30 DDEDLO CCCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234129204 887672665 /nfs/dbraw/zinc/67/26/65/887672665.db2.gz HXASDAVFLYTSIL-GJZGRUSLSA-N 1 2 307.438 1.093 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234196340 887738262 /nfs/dbraw/zinc/73/82/62/887738262.db2.gz ROSFBWRSMRWZKG-MRXNPFEDSA-N 1 2 316.449 1.811 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234196340 887738268 /nfs/dbraw/zinc/73/82/68/887738268.db2.gz ROSFBWRSMRWZKG-MRXNPFEDSA-N 1 2 316.449 1.811 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnn1C(C)C ZINC001234510232 888037813 /nfs/dbraw/zinc/03/78/13/888037813.db2.gz VJZCRCQMVZJKLL-HNNXBMFYSA-N 1 2 318.421 1.260 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnn1C(C)C ZINC001234510232 888037826 /nfs/dbraw/zinc/03/78/26/888037826.db2.gz VJZCRCQMVZJKLL-HNNXBMFYSA-N 1 2 318.421 1.260 20 30 DDEDLO N#Cc1c(F)cccc1NC1CC[NH+]([C@@H]2CCOC2=O)CC1 ZINC001364174503 888077559 /nfs/dbraw/zinc/07/75/59/888077559.db2.gz VTJCWPXIEBWRCW-OAHLLOKOSA-N 1 2 303.337 1.889 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234545987 888078483 /nfs/dbraw/zinc/07/84/83/888078483.db2.gz JHCBEKROYZDHBS-HUUCEWRRSA-N 1 2 323.481 1.892 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234545987 888078501 /nfs/dbraw/zinc/07/85/01/888078501.db2.gz JHCBEKROYZDHBS-HUUCEWRRSA-N 1 2 323.481 1.892 20 30 DDEDLO C[N@@H+]1CCO[C@H](COc2ccc(Br)c(C#N)n2)C1 ZINC001234640417 888163807 /nfs/dbraw/zinc/16/38/07/888163807.db2.gz IZKXITUUBLOHEO-VIFPVBQESA-N 1 2 312.167 1.425 20 30 DDEDLO C[N@H+]1CCO[C@H](COc2ccc(Br)c(C#N)n2)C1 ZINC001234640417 888163814 /nfs/dbraw/zinc/16/38/14/888163814.db2.gz IZKXITUUBLOHEO-VIFPVBQESA-N 1 2 312.167 1.425 20 30 DDEDLO COc1cccc(-c2noc([C@@H](C)[NH2+]C[C@H](O)CC#N)n2)c1 ZINC001364380562 888498943 /nfs/dbraw/zinc/49/89/43/888498943.db2.gz HHCFEJFROJKKAZ-ZYHUDNBSSA-N 1 2 302.334 1.670 20 30 DDEDLO N#CCC[N@H+]1CCCC2(CN(C(=O)Cn3cc(Cl)cn3)C2)C1 ZINC001277933394 888504380 /nfs/dbraw/zinc/50/43/80/888504380.db2.gz HKCSDUJXJNXKLC-UHFFFAOYSA-N 1 2 321.812 1.375 20 30 DDEDLO N#CCC[N@@H+]1CCCC2(CN(C(=O)Cn3cc(Cl)cn3)C2)C1 ZINC001277933394 888504394 /nfs/dbraw/zinc/50/43/94/888504394.db2.gz HKCSDUJXJNXKLC-UHFFFAOYSA-N 1 2 321.812 1.375 20 30 DDEDLO N#Cc1cc(F)c(C[NH+]2CCC3(CC2)NC(=O)NC3=O)c(F)c1 ZINC001235664365 888860012 /nfs/dbraw/zinc/86/00/12/888860012.db2.gz OGZJOCWMPKJVFS-UHFFFAOYSA-N 1 2 320.299 1.010 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@]2(CCOC2=O)C1 ZINC001364559502 888925339 /nfs/dbraw/zinc/92/53/39/888925339.db2.gz CGKPVTQGYGLCFC-YOEHRIQHSA-N 1 2 314.341 1.411 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@]2(CCOC2=O)C1 ZINC001364559502 888925346 /nfs/dbraw/zinc/92/53/46/888925346.db2.gz CGKPVTQGYGLCFC-YOEHRIQHSA-N 1 2 314.341 1.411 20 30 DDEDLO C#CCN(C(=O)C1CC1)C1CC[NH+](Cc2nccc(C)n2)CC1 ZINC001278107548 889675030 /nfs/dbraw/zinc/67/50/30/889675030.db2.gz UMYLIYXBIGIBGM-UHFFFAOYSA-N 1 2 312.417 1.621 20 30 DDEDLO CCn1c[nH+]cc1CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC001237588900 889722093 /nfs/dbraw/zinc/72/20/93/889722093.db2.gz ZICCHDCYXCICAA-ZDUSSCGKSA-N 1 2 319.409 1.848 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CCC)no2)[C@H]1C ZINC001278234738 890222262 /nfs/dbraw/zinc/22/22/62/890222262.db2.gz SYDQGOLYSMZXPD-CHWSQXEVSA-N 1 2 322.409 1.304 20 30 DDEDLO N#Cc1cncc(-c2ccc(OCC[NH+]3CCOCC3)cc2)n1 ZINC001240447666 890931465 /nfs/dbraw/zinc/93/14/65/890931465.db2.gz NIEBFIKLBWBOIQ-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO N#C[C@H]1CC=C(c2[nH+]c(N3CCOCC3)nc3c2CCN3)CC1 ZINC001243789777 891731450 /nfs/dbraw/zinc/73/14/50/891731450.db2.gz RVBDLZARTLDUSD-LBPRGKRZSA-N 1 2 311.389 1.584 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@]1(C)CC[N@H+](Cc2nc(C)cs2)C1 ZINC001278389109 891921861 /nfs/dbraw/zinc/92/18/61/891921861.db2.gz PVDWXRWTVDBHTB-BBRMVZONSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@]1(C)CC[N@@H+](Cc2nc(C)cs2)C1 ZINC001278389109 891921875 /nfs/dbraw/zinc/92/18/75/891921875.db2.gz PVDWXRWTVDBHTB-BBRMVZONSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CC[N@@H+](C)Cc1cc2c(o1)c(Br)cn(C)c2=O ZINC001249508024 894019274 /nfs/dbraw/zinc/01/92/74/894019274.db2.gz UUMLJBDDMSDSDT-UHFFFAOYSA-N 1 2 309.163 1.959 20 30 DDEDLO C#CC[N@H+](C)Cc1cc2c(o1)c(Br)cn(C)c2=O ZINC001249508024 894019293 /nfs/dbraw/zinc/01/92/93/894019293.db2.gz UUMLJBDDMSDSDT-UHFFFAOYSA-N 1 2 309.163 1.959 20 30 DDEDLO COCCC(=O)NCC1C[NH+](Cc2ccc(C#N)cc2F)C1 ZINC001366957432 895425968 /nfs/dbraw/zinc/42/59/68/895425968.db2.gz OVHIOJHOBLPXLY-UHFFFAOYSA-N 1 2 305.353 1.282 20 30 DDEDLO C=C[C@H](O)C[N@@H+]1Cc2nnn(CC3CC3)c2[C@@H](COCC)C1 ZINC001253576306 895901765 /nfs/dbraw/zinc/90/17/65/895901765.db2.gz LWJCBWICHJBALM-KGLIPLIRSA-N 1 2 306.410 1.171 20 30 DDEDLO C=C[C@H](O)C[N@H+]1Cc2nnn(CC3CC3)c2[C@@H](COCC)C1 ZINC001253576306 895901774 /nfs/dbraw/zinc/90/17/74/895901774.db2.gz LWJCBWICHJBALM-KGLIPLIRSA-N 1 2 306.410 1.171 20 30 DDEDLO C=CCOC[C@H]1C[N@@H+]([C@@H](C)COC)Cc2nnn(CC3CC3)c21 ZINC001255574424 897021518 /nfs/dbraw/zinc/02/15/18/897021518.db2.gz ZGKAABNAYHGHBF-DZGCQCFKSA-N 1 2 320.437 1.825 20 30 DDEDLO C=CCOC[C@H]1C[N@H+]([C@@H](C)COC)Cc2nnn(CC3CC3)c21 ZINC001255574424 897021528 /nfs/dbraw/zinc/02/15/28/897021528.db2.gz ZGKAABNAYHGHBF-DZGCQCFKSA-N 1 2 320.437 1.825 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@@]2(C)CCC(C)=C(C)C2)C1 ZINC001278936351 897435844 /nfs/dbraw/zinc/43/58/44/897435844.db2.gz GXKQTMAYMTXIHT-KRWDZBQOSA-N 1 2 304.434 1.699 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cnc2nccnc2c1 ZINC001367803452 897856259 /nfs/dbraw/zinc/85/62/59/897856259.db2.gz LGEURAKIWMAKST-NSHDSACASA-N 1 2 319.796 1.827 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cnc2nccnc2c1 ZINC001367803452 897856268 /nfs/dbraw/zinc/85/62/68/897856268.db2.gz LGEURAKIWMAKST-NSHDSACASA-N 1 2 319.796 1.827 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccn(CC(F)(F)F)n2)CC1 ZINC001258309846 898134138 /nfs/dbraw/zinc/13/41/38/898134138.db2.gz GQZSNLWOJXECLG-UHFFFAOYSA-N 1 2 314.311 1.227 20 30 DDEDLO C=CCS(=O)(=O)NCc1c[nH+]cn1Cc1ccc(OC)cc1 ZINC001259935750 898952511 /nfs/dbraw/zinc/95/25/11/898952511.db2.gz SNWFPSLFFDELNN-UHFFFAOYSA-N 1 2 321.402 1.545 20 30 DDEDLO Cc1nc(C[N@H+](C)CCNC(=O)c2c[nH]c(C#N)c2)sc1C ZINC001390749980 900094671 /nfs/dbraw/zinc/09/46/71/900094671.db2.gz DIIBUHLEUJMFCH-UHFFFAOYSA-N 1 2 317.418 1.822 20 30 DDEDLO Cc1nc(C[N@@H+](C)CCNC(=O)c2c[nH]c(C#N)c2)sc1C ZINC001390749980 900094685 /nfs/dbraw/zinc/09/46/85/900094685.db2.gz DIIBUHLEUJMFCH-UHFFFAOYSA-N 1 2 317.418 1.822 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(CC)CC1 ZINC001262947489 900431567 /nfs/dbraw/zinc/43/15/67/900431567.db2.gz MSIHKODKMCJDMM-GJZGRUSLSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(CC)CC1 ZINC001262947489 900431576 /nfs/dbraw/zinc/43/15/76/900431576.db2.gz MSIHKODKMCJDMM-GJZGRUSLSA-N 1 2 319.453 1.828 20 30 DDEDLO CC[N@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1ccns1 ZINC001390907232 900483618 /nfs/dbraw/zinc/48/36/18/900483618.db2.gz VNZLXBOMDPJYPX-UHFFFAOYSA-N 1 2 303.391 1.595 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1ccns1 ZINC001390907232 900483627 /nfs/dbraw/zinc/48/36/27/900483627.db2.gz VNZLXBOMDPJYPX-UHFFFAOYSA-N 1 2 303.391 1.595 20 30 DDEDLO CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001263796722 900711165 /nfs/dbraw/zinc/71/11/65/900711165.db2.gz VYDWXGAOHNWCSP-FUHWJXTLSA-N 1 2 314.429 1.996 20 30 DDEDLO CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001263796722 900711172 /nfs/dbraw/zinc/71/11/72/900711172.db2.gz VYDWXGAOHNWCSP-FUHWJXTLSA-N 1 2 314.429 1.996 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2csc(C)n2)[C@@H]1C ZINC001264142324 900975724 /nfs/dbraw/zinc/97/57/24/900975724.db2.gz XBCBTFZQKKIVJC-XUJVJEKNSA-N 1 2 321.446 1.569 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2csc(C)n2)[C@@H]1C ZINC001264142324 900975737 /nfs/dbraw/zinc/97/57/37/900975737.db2.gz XBCBTFZQKKIVJC-XUJVJEKNSA-N 1 2 321.446 1.569 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1(C)CCCC1 ZINC001264367251 901046480 /nfs/dbraw/zinc/04/64/80/901046480.db2.gz UYTOECQAWFNCIN-CABCVRRESA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1(C)CCCC1 ZINC001264367251 901046487 /nfs/dbraw/zinc/04/64/87/901046487.db2.gz UYTOECQAWFNCIN-CABCVRRESA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)CC=C ZINC001264372665 901051758 /nfs/dbraw/zinc/05/17/58/901051758.db2.gz JPGAAFFINQGBSY-CABCVRRESA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)CC=C ZINC001264372665 901051767 /nfs/dbraw/zinc/05/17/67/901051767.db2.gz JPGAAFFINQGBSY-CABCVRRESA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)CC=C ZINC001264372668 901053538 /nfs/dbraw/zinc/05/35/38/901053538.db2.gz JPGAAFFINQGBSY-LSDHHAIUSA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)CC=C ZINC001264372668 901053550 /nfs/dbraw/zinc/05/35/50/901053550.db2.gz JPGAAFFINQGBSY-LSDHHAIUSA-N 1 2 321.465 1.812 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@@H+]([C@H](C)c2cnccn2)C1 ZINC001265213219 901716316 /nfs/dbraw/zinc/71/63/16/901716316.db2.gz NTDACLQOYJDNMD-CABCVRRESA-N 1 2 300.406 1.778 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@H+]([C@H](C)c2cnccn2)C1 ZINC001265213219 901716331 /nfs/dbraw/zinc/71/63/31/901716331.db2.gz NTDACLQOYJDNMD-CABCVRRESA-N 1 2 300.406 1.778 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CCC[N@@H+](Cc2snnc2C)C1 ZINC001265213120 901716433 /nfs/dbraw/zinc/71/64/33/901716433.db2.gz MJXZILFNXSAJKD-WFASDCNBSA-N 1 2 324.450 1.254 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CCC[N@H+](Cc2snnc2C)C1 ZINC001265213120 901716447 /nfs/dbraw/zinc/71/64/47/901716447.db2.gz MJXZILFNXSAJKD-WFASDCNBSA-N 1 2 324.450 1.254 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+](CC(=O)N3CCC3)C2)CCC1 ZINC001265225033 901737668 /nfs/dbraw/zinc/73/76/68/901737668.db2.gz PLSLTBRFHGHXSR-HNNXBMFYSA-N 1 2 319.449 1.546 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+](CC(=O)N3CCC3)C2)CCC1 ZINC001265225033 901737676 /nfs/dbraw/zinc/73/76/76/901737676.db2.gz PLSLTBRFHGHXSR-HNNXBMFYSA-N 1 2 319.449 1.546 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001265584547 902162706 /nfs/dbraw/zinc/16/27/06/902162706.db2.gz PEWKMFLOQDAUDC-NWDGAFQWSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001265584547 902162714 /nfs/dbraw/zinc/16/27/14/902162714.db2.gz PEWKMFLOQDAUDC-NWDGAFQWSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001265584547 902162721 /nfs/dbraw/zinc/16/27/21/902162721.db2.gz PEWKMFLOQDAUDC-NWDGAFQWSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001265584547 902162729 /nfs/dbraw/zinc/16/27/29/902162729.db2.gz PEWKMFLOQDAUDC-NWDGAFQWSA-N 1 2 324.318 1.365 20 30 DDEDLO CC1(C)CC[C@H]1C(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001265651687 902255590 /nfs/dbraw/zinc/25/55/90/902255590.db2.gz NQIIOOIXJSHRLL-KBPBESRZSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CC[C@H]1C(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001265651687 902255603 /nfs/dbraw/zinc/25/56/03/902255603.db2.gz NQIIOOIXJSHRLL-KBPBESRZSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001266239881 903175740 /nfs/dbraw/zinc/17/57/40/903175740.db2.gz KQKZRLONLYULLM-OWCLPIDISA-N 1 2 321.465 1.551 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001266239881 903175745 /nfs/dbraw/zinc/17/57/45/903175745.db2.gz KQKZRLONLYULLM-OWCLPIDISA-N 1 2 321.465 1.551 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280318017 903591885 /nfs/dbraw/zinc/59/18/85/903591885.db2.gz LBXJXJIMWBYMHI-VXGBXAGGSA-N 1 2 306.410 1.564 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280318017 903591893 /nfs/dbraw/zinc/59/18/93/903591893.db2.gz LBXJXJIMWBYMHI-VXGBXAGGSA-N 1 2 306.410 1.564 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CC ZINC001316603631 903701405 /nfs/dbraw/zinc/70/14/05/903701405.db2.gz KJEXEMPTGQOQPS-YJBOKZPZSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CC ZINC001316603631 903701416 /nfs/dbraw/zinc/70/14/16/903701416.db2.gz KJEXEMPTGQOQPS-YJBOKZPZSA-N 1 2 323.481 1.990 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@H](CNC(=O)/C=C(\C)CC)O2 ZINC001280920472 904189023 /nfs/dbraw/zinc/18/90/23/904189023.db2.gz SBYRHNDNWKSEKT-RBFDBLARSA-N 1 2 322.449 1.895 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@H]1CCc2cncn2C1 ZINC001392668937 904819776 /nfs/dbraw/zinc/81/97/76/904819776.db2.gz DXOFXICYWLDJLY-CHWSQXEVSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@@H]1CCc2cncn2C1 ZINC001392668937 904819796 /nfs/dbraw/zinc/81/97/96/904819796.db2.gz DXOFXICYWLDJLY-CHWSQXEVSA-N 1 2 310.829 1.635 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)C#CC1CC1)NC(=O)CCn1cc[nH+]c1 ZINC001281444098 904859323 /nfs/dbraw/zinc/85/93/23/904859323.db2.gz QLKJMYZPYLBYMK-UONOGXRCSA-N 1 2 316.405 1.086 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(CC)C(=O)Cc1[nH]cc[nH+]1 ZINC001281492129 904935180 /nfs/dbraw/zinc/93/51/80/904935180.db2.gz OCFGUYNKXOWKDE-CYBMUJFWSA-N 1 2 320.437 1.908 20 30 DDEDLO C[C@H](CCCCNC(=O)C#CC1CC1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001281575823 905053619 /nfs/dbraw/zinc/05/36/19/905053619.db2.gz VFUOTKGEKHBLEU-CYBMUJFWSA-N 1 2 316.405 1.157 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C(C2CCC2)C2CCC2)C1 ZINC001282529703 905853700 /nfs/dbraw/zinc/85/37/00/905853700.db2.gz LFTCQUOAKKMJFL-UHFFFAOYSA-N 1 2 318.461 1.779 20 30 DDEDLO C=CCOCC[NH+]1CC(CCO)(NC(=O)C2(C)CCCC2)C1 ZINC001282530782 905855520 /nfs/dbraw/zinc/85/55/20/905855520.db2.gz PJVVLLKKUOWLKJ-UHFFFAOYSA-N 1 2 310.438 1.322 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2cncc3ccccc32)C1 ZINC001282545957 905864571 /nfs/dbraw/zinc/86/45/71/905864571.db2.gz XFGAROHSGWWKQA-UHFFFAOYSA-N 1 2 323.396 1.425 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C[C@H](C)C2CC2)C1 ZINC001282739979 906003746 /nfs/dbraw/zinc/00/37/46/906003746.db2.gz MRLHKKPYFNCUIT-QWHCGFSZSA-N 1 2 307.438 1.161 20 30 DDEDLO C=C(Cl)C[N@@H+](C)Cc1ccccc1CNC(=O)c1cn[nH]n1 ZINC001393477823 907104663 /nfs/dbraw/zinc/10/46/63/907104663.db2.gz CJMATXAWXNAABA-UHFFFAOYSA-N 1 2 319.796 1.919 20 30 DDEDLO C=C(Cl)C[N@H+](C)Cc1ccccc1CNC(=O)c1cn[nH]n1 ZINC001393477823 907104673 /nfs/dbraw/zinc/10/46/73/907104673.db2.gz CJMATXAWXNAABA-UHFFFAOYSA-N 1 2 319.796 1.919 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001283470635 907404686 /nfs/dbraw/zinc/40/46/86/907404686.db2.gz CKKCDYYRDBYGKP-ZDUSSCGKSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001283643905 907734781 /nfs/dbraw/zinc/73/47/81/907734781.db2.gz HCZIMXMLVZLCSE-MRXNPFEDSA-N 1 2 306.410 1.519 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C2CC2)C1 ZINC001283962710 908240268 /nfs/dbraw/zinc/24/02/68/908240268.db2.gz ZHJBLWQSIIIANE-CYBMUJFWSA-N 1 2 316.405 1.320 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H]([NH2+]Cc2nc(C)no2)C[C@@H]1C ZINC001284030103 908345977 /nfs/dbraw/zinc/34/59/77/908345977.db2.gz GRGAAPPMKBJMAP-WCQYABFASA-N 1 2 308.382 1.050 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1C[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001284056707 908404362 /nfs/dbraw/zinc/40/43/62/908404362.db2.gz DEQJVTTWPMXRPE-HDJSIYSDSA-N 1 2 318.421 1.639 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001284120367 908506220 /nfs/dbraw/zinc/50/62/20/908506220.db2.gz YVQLYCTWIRWHEY-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO C[C@@H](CNC(=O)CCCn1cc[nH+]c1)NC(=O)C#CC(C)(C)C ZINC001284271621 908755753 /nfs/dbraw/zinc/75/57/53/908755753.db2.gz WEECEQAKRVMRJC-AWEZNQCLSA-N 1 2 318.421 1.334 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@@H](O)CN(C)C(=O)C#CC2CC2)s1 ZINC001284492971 909076942 /nfs/dbraw/zinc/07/69/42/909076942.db2.gz NZZCBKFVULESOA-CQSZACIVSA-N 1 2 321.446 1.116 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@@H](O)CN(C)C(=O)C#CC2CC2)s1 ZINC001284492971 909076957 /nfs/dbraw/zinc/07/69/57/909076957.db2.gz NZZCBKFVULESOA-CQSZACIVSA-N 1 2 321.446 1.116 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@@H]1CC[N@H+](Cc2ncc(Cl)n2C)C1 ZINC001373158143 909107579 /nfs/dbraw/zinc/10/75/79/909107579.db2.gz NVYHMHVJEZPDEM-VXGBXAGGSA-N 1 2 323.828 1.561 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@@H]1CC[N@@H+](Cc2ncc(Cl)n2C)C1 ZINC001373158143 909107583 /nfs/dbraw/zinc/10/75/83/909107583.db2.gz NVYHMHVJEZPDEM-VXGBXAGGSA-N 1 2 323.828 1.561 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CCN(C(=O)C#CC(C)(C)C)C(C)C ZINC001284534166 909154744 /nfs/dbraw/zinc/15/47/44/909154744.db2.gz XZCZJWIMDTUTRC-UHFFFAOYSA-N 1 2 323.481 1.729 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CCN(C(=O)C#CC(C)(C)C)C(C)C ZINC001284534166 909154750 /nfs/dbraw/zinc/15/47/50/909154750.db2.gz XZCZJWIMDTUTRC-UHFFFAOYSA-N 1 2 323.481 1.729 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001394267988 909220283 /nfs/dbraw/zinc/22/02/83/909220283.db2.gz RFWAUYDZVFTVLP-BXUZGUMPSA-N 1 2 315.845 1.336 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCN(CC)C(=O)Cc1[nH]cc[nH+]1 ZINC001284617878 909263130 /nfs/dbraw/zinc/26/31/30/909263130.db2.gz JRXGPDIVDKCVPC-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001373371249 909657488 /nfs/dbraw/zinc/65/74/88/909657488.db2.gz JGCOQHBXHQYQLE-IUODEOHRSA-N 1 2 321.446 1.717 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001373371249 909657503 /nfs/dbraw/zinc/65/75/03/909657503.db2.gz JGCOQHBXHQYQLE-IUODEOHRSA-N 1 2 321.446 1.717 20 30 DDEDLO Cn1ccc(C[N@H+]2CC=C(CNC(=O)C#CC(C)(C)C)CC2)n1 ZINC001284908624 909708032 /nfs/dbraw/zinc/70/80/32/909708032.db2.gz AOBFNBFKWMWTLZ-UHFFFAOYSA-N 1 2 314.433 1.718 20 30 DDEDLO Cn1ccc(C[N@@H+]2CC=C(CNC(=O)C#CC(C)(C)C)CC2)n1 ZINC001284908624 909708046 /nfs/dbraw/zinc/70/80/46/909708046.db2.gz AOBFNBFKWMWTLZ-UHFFFAOYSA-N 1 2 314.433 1.718 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](N(CC)C(=O)Cc2c[nH+]cn2C)C1 ZINC001285028165 909956625 /nfs/dbraw/zinc/95/66/25/909956625.db2.gz YBAOQZDUPHCFTB-AWEZNQCLSA-N 1 2 318.421 1.378 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001285690712 911044743 /nfs/dbraw/zinc/04/47/43/911044743.db2.gz QWEVAQSPDXFJMB-CXAGYDPISA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)NC(=O)c1cccc2[nH+]ccn21 ZINC001285756625 911159126 /nfs/dbraw/zinc/15/91/26/911159126.db2.gz ZAJZOOOOSPEAQL-ZDUSSCGKSA-N 1 2 314.389 1.925 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001285763301 911166526 /nfs/dbraw/zinc/16/65/26/911166526.db2.gz XBNQRASGXZXWLN-LBPRGKRZSA-N 1 2 306.410 1.186 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001285762005 911167098 /nfs/dbraw/zinc/16/70/98/911167098.db2.gz UKVURPRBJOAETK-STQMWFEESA-N 1 2 318.421 1.279 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001286037763 911612371 /nfs/dbraw/zinc/61/23/71/911612371.db2.gz ZTHUHLJWMZXAJS-RYUDHWBXSA-N 1 2 304.394 1.080 20 30 DDEDLO CCCC[C@H](CNC(=O)[C@H](C)C#N)NC(=O)Cc1[nH]c[nH+]c1C ZINC001395242103 911622266 /nfs/dbraw/zinc/62/22/66/911622266.db2.gz BOXAKKSGAPZKJM-DGCLKSJQSA-N 1 2 319.409 1.212 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@]1(C)CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001286093639 911684161 /nfs/dbraw/zinc/68/41/61/911684161.db2.gz XHSBRKRVKAXGLI-KRWDZBQOSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)CCCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001287323040 912183776 /nfs/dbraw/zinc/18/37/76/912183776.db2.gz KOEUADGBFAGCGF-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCN1C(=O)Cc1c[nH+]cn1C ZINC001296406649 916392339 /nfs/dbraw/zinc/39/23/39/916392339.db2.gz WZSSXJCWGQKRKL-ZDUSSCGKSA-N 1 2 304.394 1.036 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001376039104 917539245 /nfs/dbraw/zinc/53/92/45/917539245.db2.gz TWXGEKORKYYKAK-OLZOCXBDSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001376039104 917539253 /nfs/dbraw/zinc/53/92/53/917539253.db2.gz TWXGEKORKYYKAK-OLZOCXBDSA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC[N@H+](Cc2nc(C3CC3)no2)C1 ZINC001376532714 918707141 /nfs/dbraw/zinc/70/71/41/918707141.db2.gz LYYGUEPMSRYVTJ-VXGBXAGGSA-N 1 2 317.393 1.435 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC[N@@H+](Cc2nc(C3CC3)no2)C1 ZINC001376532714 918707150 /nfs/dbraw/zinc/70/71/50/918707150.db2.gz LYYGUEPMSRYVTJ-VXGBXAGGSA-N 1 2 317.393 1.435 20 30 DDEDLO CO[C@H](C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F)C(C)C ZINC001377216024 920662585 /nfs/dbraw/zinc/66/25/85/920662585.db2.gz ZDUFNVORLFPYLZ-INIZCTEOSA-N 1 2 321.396 1.916 20 30 DDEDLO CO[C@H](C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F)C(C)C ZINC001377216024 920662597 /nfs/dbraw/zinc/66/25/97/920662597.db2.gz ZDUFNVORLFPYLZ-INIZCTEOSA-N 1 2 321.396 1.916 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)CNC(=O)c1ccncc1 ZINC001377309563 920996703 /nfs/dbraw/zinc/99/67/03/920996703.db2.gz UADJVUQTRXFSEH-UHFFFAOYSA-N 1 2 324.812 1.002 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)CNC(=O)c1ccncc1 ZINC001377309563 920996713 /nfs/dbraw/zinc/99/67/13/920996713.db2.gz UADJVUQTRXFSEH-UHFFFAOYSA-N 1 2 324.812 1.002 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)o1 ZINC001377321787 921042129 /nfs/dbraw/zinc/04/21/29/921042129.db2.gz QAGOICNTXHZYFQ-VXGBXAGGSA-N 1 2 319.409 1.508 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)o1 ZINC001377321787 921042145 /nfs/dbraw/zinc/04/21/45/921042145.db2.gz QAGOICNTXHZYFQ-VXGBXAGGSA-N 1 2 319.409 1.508 20 30 DDEDLO C=C(Br)C[NH+]1CCC(CO)(NC(=O)CCC)CC1 ZINC001377550258 922304523 /nfs/dbraw/zinc/30/45/23/922304523.db2.gz LXNQRJBQCCXVHP-UHFFFAOYSA-N 1 2 319.243 1.638 20 30 DDEDLO CC[C@]1(CO)CCCN(C([O-])=[NH+][C@H]2CCc3[nH]c[nH+]c3C2)C1 ZINC000329722824 529417947 /nfs/dbraw/zinc/41/79/47/529417947.db2.gz FIQVJSTXCSKHAD-LRDDRELGSA-N 1 2 306.410 1.666 20 30 DDEDLO CC[C@]1(CO)CCCN(C([O-])=[NH+][C@H]2CCc3[nH+]c[nH]c3C2)C1 ZINC000329722824 529417950 /nfs/dbraw/zinc/41/79/50/529417950.db2.gz FIQVJSTXCSKHAD-LRDDRELGSA-N 1 2 306.410 1.666 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CC3(C2)CCCOC3)c[nH+]1 ZINC000329263461 539302198 /nfs/dbraw/zinc/30/21/98/539302198.db2.gz ZUFSNCQCNFCVMV-UHFFFAOYSA-N 1 2 304.394 1.674 20 30 DDEDLO CCc1ncc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cn1 ZINC000414416181 529754127 /nfs/dbraw/zinc/75/41/27/529754127.db2.gz WAJNWSCFEKEPTO-CYBMUJFWSA-N 1 2 323.404 1.411 20 30 DDEDLO CCc1ncc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cn1 ZINC000414416181 529754128 /nfs/dbraw/zinc/75/41/28/529754128.db2.gz WAJNWSCFEKEPTO-CYBMUJFWSA-N 1 2 323.404 1.411 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(CC(=O)Nc3ccc4c(c3)OCO4)C[C@@H]21 ZINC000330362049 529786626 /nfs/dbraw/zinc/78/66/26/529786626.db2.gz ZBQFEQGQKUHULS-SWLSCSKDSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(CC(=O)Nc3ccc4c(c3)OCO4)C[C@@H]21 ZINC000330362049 529786627 /nfs/dbraw/zinc/78/66/27/529786627.db2.gz ZBQFEQGQKUHULS-SWLSCSKDSA-N 1 2 319.361 1.018 20 30 DDEDLO CN1CCO[C@@H]2C[N@H+](CC(=O)Nc3ccc4c(c3)OCO4)C[C@@H]21 ZINC000330362049 529786628 /nfs/dbraw/zinc/78/66/28/529786628.db2.gz ZBQFEQGQKUHULS-SWLSCSKDSA-N 1 2 319.361 1.018 20 30 DDEDLO CN1CCO[C@@H]2C[N@@H+](CC(=O)Nc3ccc4c(c3)OCO4)C[C@@H]21 ZINC000330362049 529786630 /nfs/dbraw/zinc/78/66/30/529786630.db2.gz ZBQFEQGQKUHULS-SWLSCSKDSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)N[C@@H]2CCCOC2)C1 ZINC000329741357 529788293 /nfs/dbraw/zinc/78/82/93/529788293.db2.gz OOZOHRMFYCUMKZ-CABCVRRESA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)N[C@@H]2CCCOC2)C1 ZINC000329741357 529788294 /nfs/dbraw/zinc/78/82/94/529788294.db2.gz OOZOHRMFYCUMKZ-CABCVRRESA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+](CC(=O)NCC#N)[C@H]1CCN(c2ccccc2Cl)C1=O ZINC000348165346 529832704 /nfs/dbraw/zinc/83/27/04/529832704.db2.gz JPWBUNZWNRXWFY-ZDUSSCGKSA-N 1 2 320.780 1.017 20 30 DDEDLO C[N@@H+](CC(=O)NCC#N)[C@H]1CCN(c2ccccc2Cl)C1=O ZINC000348165346 529832705 /nfs/dbraw/zinc/83/27/05/529832705.db2.gz JPWBUNZWNRXWFY-ZDUSSCGKSA-N 1 2 320.780 1.017 20 30 DDEDLO C[N@H+](CCN(Cc1ccccc1)S(C)(=O)=O)CC(C)(C)C#N ZINC000433323635 529904447 /nfs/dbraw/zinc/90/44/47/529904447.db2.gz XRMFKKIWAWNFRN-UHFFFAOYSA-N 1 2 323.462 1.930 20 30 DDEDLO C[N@@H+](CCN(Cc1ccccc1)S(C)(=O)=O)CC(C)(C)C#N ZINC000433323635 529904448 /nfs/dbraw/zinc/90/44/48/529904448.db2.gz XRMFKKIWAWNFRN-UHFFFAOYSA-N 1 2 323.462 1.930 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@@H]2CCCN(C(C)C)C2=O)n1 ZINC000279728256 215096466 /nfs/dbraw/zinc/09/64/66/215096466.db2.gz MWPLIYZEQAFPDM-MRXNPFEDSA-N 1 2 302.422 1.738 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@@H]2CCCN(C(C)C)C2=O)n1 ZINC000279728256 215096470 /nfs/dbraw/zinc/09/64/70/215096470.db2.gz MWPLIYZEQAFPDM-MRXNPFEDSA-N 1 2 302.422 1.738 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000186045624 186235186 /nfs/dbraw/zinc/23/51/86/186235186.db2.gz BLHKUEZJYXZHTJ-GDBMZVCRSA-N 1 2 301.390 1.381 20 30 DDEDLO C=C[C@@H](CC(=O)NC[C@H]1CN(C)CC[N@@H+]1C)c1ccccc1 ZINC000270454856 186394425 /nfs/dbraw/zinc/39/44/25/186394425.db2.gz VMTIVMQZCQHLAP-RDJZCZTQSA-N 1 2 301.434 1.708 20 30 DDEDLO C=C[C@@H](CC(=O)NC[C@H]1CN(C)CC[N@H+]1C)c1ccccc1 ZINC000270454856 186394426 /nfs/dbraw/zinc/39/44/26/186394426.db2.gz VMTIVMQZCQHLAP-RDJZCZTQSA-N 1 2 301.434 1.708 20 30 DDEDLO COC(=O)C1CC[NH+](CC(=O)NC2(C#N)CCCCC2)CC1 ZINC000032831252 290945330 /nfs/dbraw/zinc/94/53/30/290945330.db2.gz VFNYNWPKDROHBW-UHFFFAOYSA-N 1 2 307.394 1.214 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000029858363 352246278 /nfs/dbraw/zinc/24/62/78/352246278.db2.gz JRDKKLSDZMXSHA-ZDUSSCGKSA-N 1 2 316.380 1.510 20 30 DDEDLO Cc1cc(N2CCN(CCC#N)CC2)nc(-c2ccncc2)[nH+]1 ZINC000601262777 358470096 /nfs/dbraw/zinc/47/00/96/358470096.db2.gz ZCKZZGOWPUVCEB-UHFFFAOYSA-N 1 2 308.389 1.883 20 30 DDEDLO CC(C)(C#N)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000042092477 352328997 /nfs/dbraw/zinc/32/89/97/352328997.db2.gz NCXLRETZFDPNNP-HNNXBMFYSA-N 1 2 301.390 1.726 20 30 DDEDLO C=CC[N@H+](CC(=O)NCC(=O)N1CCCC1)Cc1cccs1 ZINC000042475503 352339206 /nfs/dbraw/zinc/33/92/06/352339206.db2.gz VIWBGCZJIGLCCG-UHFFFAOYSA-N 1 2 321.446 1.475 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCC(=O)N1CCCC1)Cc1cccs1 ZINC000042475503 352339208 /nfs/dbraw/zinc/33/92/08/352339208.db2.gz VIWBGCZJIGLCCG-UHFFFAOYSA-N 1 2 321.446 1.475 20 30 DDEDLO COC[C@H]1C[N@H+](CCOc2ccc(C#N)cc2OC)CCO1 ZINC000172890672 198164173 /nfs/dbraw/zinc/16/41/73/198164173.db2.gz WELXWGWXZRKZBJ-CQSZACIVSA-N 1 2 306.362 1.293 20 30 DDEDLO COC[C@H]1C[N@@H+](CCOc2ccc(C#N)cc2OC)CCO1 ZINC000172890672 198164175 /nfs/dbraw/zinc/16/41/75/198164175.db2.gz WELXWGWXZRKZBJ-CQSZACIVSA-N 1 2 306.362 1.293 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000047795260 352512321 /nfs/dbraw/zinc/51/23/21/352512321.db2.gz VIUJCUOYFHILAB-CJNGLKHVSA-N 1 2 322.409 1.005 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000047795260 352512324 /nfs/dbraw/zinc/51/23/24/352512324.db2.gz VIUJCUOYFHILAB-CJNGLKHVSA-N 1 2 322.409 1.005 20 30 DDEDLO Cc1noc([C@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000052861736 352628998 /nfs/dbraw/zinc/62/89/98/352628998.db2.gz QNRIQDYUGQGHAK-BBRMVZONSA-N 1 2 319.409 1.612 20 30 DDEDLO Cc1noc([C@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000052861736 352629001 /nfs/dbraw/zinc/62/90/01/352629001.db2.gz QNRIQDYUGQGHAK-BBRMVZONSA-N 1 2 319.409 1.612 20 30 DDEDLO CC[C@H](C)NC(=O)CO[NH+]=C(N)c1ccc(OC)c(OC)c1 ZINC000060552820 352875582 /nfs/dbraw/zinc/87/55/82/352875582.db2.gz WXYSFABOPMMZKG-JTQLQIEISA-N 1 2 309.366 1.255 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000066316372 352988768 /nfs/dbraw/zinc/98/87/68/352988768.db2.gz DVWOYURHMNUZGL-OAHLLOKOSA-N 1 2 321.421 1.917 20 30 DDEDLO C[NH+](C)[C@H](CNS(=O)(=O)c1cccnc1C#N)c1ccco1 ZINC000277121681 213308310 /nfs/dbraw/zinc/30/83/10/213308310.db2.gz ZEHDYNKNESVJJC-GFCCVEGCSA-N 1 2 320.374 1.127 20 30 DDEDLO COC[C@@H](C)NC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000073383854 353249667 /nfs/dbraw/zinc/24/96/67/353249667.db2.gz YLDMHGBKFDLSCB-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO N#Cc1nccnc1NC1CC[NH+](Cc2cscn2)CC1 ZINC000075721981 353378140 /nfs/dbraw/zinc/37/81/40/353378140.db2.gz ROSBVDAYFGURHH-UHFFFAOYSA-N 1 2 300.391 1.881 20 30 DDEDLO CCNC(=O)C[N@H+](C)[C@@H](C)C(=O)N(C)C1(C#N)CCC(C)CC1 ZINC000077377360 353466142 /nfs/dbraw/zinc/46/61/42/353466142.db2.gz UMQBRRLJRYKAFQ-UUCFBXCCSA-N 1 2 322.453 1.374 20 30 DDEDLO CCNC(=O)C[N@@H+](C)[C@@H](C)C(=O)N(C)C1(C#N)CCC(C)CC1 ZINC000077377360 353466144 /nfs/dbraw/zinc/46/61/44/353466144.db2.gz UMQBRRLJRYKAFQ-UUCFBXCCSA-N 1 2 322.453 1.374 20 30 DDEDLO CCC1(O)CC[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000081515562 353680239 /nfs/dbraw/zinc/68/02/39/353680239.db2.gz INZJZJOKVLYQMZ-UHFFFAOYSA-N 1 2 322.430 1.569 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@H](O)c1ccccc1F ZINC000081531761 353681021 /nfs/dbraw/zinc/68/10/21/353681021.db2.gz XRFPLZXQJQFVSR-KRWDZBQOSA-N 1 2 321.396 1.407 20 30 DDEDLO N#CCN1CCC(CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000081733343 353690057 /nfs/dbraw/zinc/69/00/57/353690057.db2.gz ODULEOZVRWMFEG-UHFFFAOYSA-N 1 2 323.400 1.838 20 30 DDEDLO N#Cc1cc(F)c(S(=O)(=O)N2CCc3c[nH+]ccc32)c(F)c1 ZINC000125999987 354049832 /nfs/dbraw/zinc/04/98/32/354049832.db2.gz LCKIEJYZUPSVCS-UHFFFAOYSA-N 1 2 321.308 1.983 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NCCc1[nH+]ccn1Cc1ccccc1 ZINC000182413932 354273153 /nfs/dbraw/zinc/27/31/53/354273153.db2.gz HLVOELLSPBNSFY-AWEZNQCLSA-N 1 2 311.389 1.933 20 30 DDEDLO CC[C@H](C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000311683659 354476077 /nfs/dbraw/zinc/47/60/77/354476077.db2.gz MSMXYOCJLLYDLP-CVEARBPZSA-N 1 2 301.390 1.553 20 30 DDEDLO CC[C@H](C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000311683659 354476079 /nfs/dbraw/zinc/47/60/79/354476079.db2.gz MSMXYOCJLLYDLP-CVEARBPZSA-N 1 2 301.390 1.553 20 30 DDEDLO Cn1ncc(-c2nc([C@H]3C[N@@H+]4CCCC[C@@H]4CO3)no2)c1C#N ZINC000350192332 354599303 /nfs/dbraw/zinc/59/93/03/354599303.db2.gz VXHJAHWLHNVGTG-ZWNOBZJWSA-N 1 2 314.349 1.268 20 30 DDEDLO Cn1ncc(-c2nc([C@H]3C[N@H+]4CCCC[C@@H]4CO3)no2)c1C#N ZINC000350192332 354599306 /nfs/dbraw/zinc/59/93/06/354599306.db2.gz VXHJAHWLHNVGTG-ZWNOBZJWSA-N 1 2 314.349 1.268 20 30 DDEDLO COC(C)(C)c1nc(C)c(C(=O)N[C@](C)(C#N)C[NH+](C)C)s1 ZINC000578776193 354712527 /nfs/dbraw/zinc/71/25/27/354712527.db2.gz YGPYZDDOBCONBZ-OAHLLOKOSA-N 1 2 324.450 1.907 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000601306271 358488914 /nfs/dbraw/zinc/48/89/14/358488914.db2.gz FEXVENOSPMUXIK-LLVKDONJSA-N 1 2 322.365 1.569 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@H](CNC(=O)CC(F)(F)F)C1 ZINC000586774426 354863568 /nfs/dbraw/zinc/86/35/68/354863568.db2.gz WNSRGAOLXADOKQ-MNOVXSKESA-N 1 2 322.327 1.495 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@H](CNC(=O)CC(F)(F)F)C1 ZINC000586774426 354863572 /nfs/dbraw/zinc/86/35/72/354863572.db2.gz WNSRGAOLXADOKQ-MNOVXSKESA-N 1 2 322.327 1.495 20 30 DDEDLO Cc1cc(N2CCC[C@H](O)C2)c(C#N)c(N2CCC[C@H](O)C2)[nH+]1 ZINC000589103268 354959240 /nfs/dbraw/zinc/95/92/40/354959240.db2.gz VRIFQITXYSCYGT-KBPBESRZSA-N 1 2 316.405 1.184 20 30 DDEDLO Cc1cc(N2CCO[C@@H](C)C2)c(C#N)c(N2CCO[C@@H](C)C2)[nH+]1 ZINC000589104288 354959626 /nfs/dbraw/zinc/95/96/26/354959626.db2.gz IGOWGPZKRMGFMV-KBPBESRZSA-N 1 2 316.405 1.712 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)c1cc(O)cc(C#N)c1 ZINC000589177497 354968596 /nfs/dbraw/zinc/96/85/96/354968596.db2.gz AMWGQZBINYCBED-UHFFFAOYSA-N 1 2 303.362 1.104 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)c1cc(O)cc(C#N)c1 ZINC000589177497 354968597 /nfs/dbraw/zinc/96/85/97/354968597.db2.gz AMWGQZBINYCBED-UHFFFAOYSA-N 1 2 303.362 1.104 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)CC3(C#N)CC3)c[nH+]2)CCO1 ZINC000590154805 355054303 /nfs/dbraw/zinc/05/43/03/355054303.db2.gz HPRCQGULLJLXBO-CYBMUJFWSA-N 1 2 314.389 1.617 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C(=O)OCC ZINC000590882491 355204697 /nfs/dbraw/zinc/20/46/97/355204697.db2.gz PZTDWVOCMIWLLZ-CHWSQXEVSA-N 1 2 305.378 1.460 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)n1 ZINC000592268507 355550734 /nfs/dbraw/zinc/55/07/34/355550734.db2.gz BZVPRCPHOSSIFG-UHFFFAOYSA-N 1 2 311.349 1.026 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(F)cc1F)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592147558 355512707 /nfs/dbraw/zinc/51/27/07/355512707.db2.gz QAOYDUCSOHARQT-ZUZCIYMTSA-N 1 2 309.316 1.642 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(F)cc1F)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592147558 355512708 /nfs/dbraw/zinc/51/27/08/355512708.db2.gz QAOYDUCSOHARQT-ZUZCIYMTSA-N 1 2 309.316 1.642 20 30 DDEDLO C[C@@H](NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1)c1ccc(F)cc1 ZINC000592147284 355512965 /nfs/dbraw/zinc/51/29/65/355512965.db2.gz NEYPBYGMPNYILF-WBMJQRKESA-N 1 2 305.353 1.353 20 30 DDEDLO C[C@@H](NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1)c1ccc(F)cc1 ZINC000592147284 355512967 /nfs/dbraw/zinc/51/29/67/355512967.db2.gz NEYPBYGMPNYILF-WBMJQRKESA-N 1 2 305.353 1.353 20 30 DDEDLO C[C@@H](NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1)c1ccc(Cl)cc1 ZINC000592148524 355515175 /nfs/dbraw/zinc/51/51/75/355515175.db2.gz VZBRWYBCVNAMKS-MLGOLLRUSA-N 1 2 321.808 1.868 20 30 DDEDLO C[C@@H](NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1)c1ccc(Cl)cc1 ZINC000592148524 355515178 /nfs/dbraw/zinc/51/51/78/355515178.db2.gz VZBRWYBCVNAMKS-MLGOLLRUSA-N 1 2 321.808 1.868 20 30 DDEDLO C[C@@H]1CCCC[C@H]1OCCNC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149510 355516701 /nfs/dbraw/zinc/51/67/01/355516701.db2.gz QIYXFGLTUQCFDD-BFYDXBDKSA-N 1 2 323.437 1.048 20 30 DDEDLO C[C@@H]1CCCC[C@H]1OCCNC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149510 355516703 /nfs/dbraw/zinc/51/67/03/355516703.db2.gz QIYXFGLTUQCFDD-BFYDXBDKSA-N 1 2 323.437 1.048 20 30 DDEDLO COc1ccccc1N1CC[C@H]([N@@H+]2CC[C@@](O)(CC#N)C2)C1=O ZINC000592151971 355519081 /nfs/dbraw/zinc/51/90/81/355519081.db2.gz SMECKYYXQQMLEE-YOEHRIQHSA-N 1 2 315.373 1.151 20 30 DDEDLO COc1ccccc1N1CC[C@H]([N@H+]2CC[C@@](O)(CC#N)C2)C1=O ZINC000592151971 355519085 /nfs/dbraw/zinc/51/90/85/355519085.db2.gz SMECKYYXQQMLEE-YOEHRIQHSA-N 1 2 315.373 1.151 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@H]2CCN(c3ccc(F)cc3)C2=O)C1 ZINC000592154148 355519635 /nfs/dbraw/zinc/51/96/35/355519635.db2.gz PVCHSNJVZCHJOG-HOCLYGCPSA-N 1 2 303.337 1.281 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@H]2CCN(c3ccc(F)cc3)C2=O)C1 ZINC000592154148 355519637 /nfs/dbraw/zinc/51/96/37/355519637.db2.gz PVCHSNJVZCHJOG-HOCLYGCPSA-N 1 2 303.337 1.281 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@@H]2CCN(c3ccc(F)cc3)C2=O)C1 ZINC000592154149 355520007 /nfs/dbraw/zinc/52/00/07/355520007.db2.gz PVCHSNJVZCHJOG-ZBFHGGJFSA-N 1 2 303.337 1.281 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@@H]2CCN(c3ccc(F)cc3)C2=O)C1 ZINC000592154149 355520011 /nfs/dbraw/zinc/52/00/11/355520011.db2.gz PVCHSNJVZCHJOG-ZBFHGGJFSA-N 1 2 303.337 1.281 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000593064320 355784791 /nfs/dbraw/zinc/78/47/91/355784791.db2.gz RUDUJHQKJHWAHB-BBRMVZONSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000593064320 355784794 /nfs/dbraw/zinc/78/47/94/355784794.db2.gz RUDUJHQKJHWAHB-BBRMVZONSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H](C#N)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000593389715 355870361 /nfs/dbraw/zinc/87/03/61/355870361.db2.gz ZOEATSCHSITSGH-AWEZNQCLSA-N 1 2 301.390 1.685 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cn1)C[C@H](O)C(F)(F)F ZINC000594018466 356089122 /nfs/dbraw/zinc/08/91/22/356089122.db2.gz WZKFGHPGBKQDKR-LBPRGKRZSA-N 1 2 303.284 1.325 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cn1)C[C@H](O)C(F)(F)F ZINC000594018466 356089125 /nfs/dbraw/zinc/08/91/25/356089125.db2.gz WZKFGHPGBKQDKR-LBPRGKRZSA-N 1 2 303.284 1.325 20 30 DDEDLO COCC[N@@H+](Cc1ccccc1C#N)C[C@H](O)C(F)(F)F ZINC000594018084 356089720 /nfs/dbraw/zinc/08/97/20/356089720.db2.gz RFTMOSWAADSRJT-ZDUSSCGKSA-N 1 2 302.296 1.930 20 30 DDEDLO COCC[N@H+](Cc1ccccc1C#N)C[C@H](O)C(F)(F)F ZINC000594018084 356089723 /nfs/dbraw/zinc/08/97/23/356089723.db2.gz RFTMOSWAADSRJT-ZDUSSCGKSA-N 1 2 302.296 1.930 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000594261368 356156300 /nfs/dbraw/zinc/15/63/00/356156300.db2.gz ZYTSUVZVOXGMPA-HUUCEWRRSA-N 1 2 315.417 1.574 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000594261368 356156302 /nfs/dbraw/zinc/15/63/02/356156302.db2.gz ZYTSUVZVOXGMPA-HUUCEWRRSA-N 1 2 315.417 1.574 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC000594259937 356156313 /nfs/dbraw/zinc/15/63/13/356156313.db2.gz OIOFLHIGRQVGMS-UKRRQHHQSA-N 1 2 303.410 1.281 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC000594259937 356156319 /nfs/dbraw/zinc/15/63/19/356156319.db2.gz OIOFLHIGRQVGMS-UKRRQHHQSA-N 1 2 303.410 1.281 20 30 DDEDLO C[C@@H](CNC(=O)c1cc(C#N)ccn1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594400385 356197488 /nfs/dbraw/zinc/19/74/88/356197488.db2.gz BMINTVBEZNNHSI-RWMBFGLXSA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@@H](CNC(=O)c1cc(C#N)ccn1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594400385 356197491 /nfs/dbraw/zinc/19/74/91/356197491.db2.gz BMINTVBEZNNHSI-RWMBFGLXSA-N 1 2 302.378 1.181 20 30 DDEDLO CC(C)(C)OC(=O)CN1CC[C@@H]([N@H+](CCC#N)CC2CC2)C1=O ZINC000595332608 356451921 /nfs/dbraw/zinc/45/19/21/356451921.db2.gz KWRMQOAPSXQEKE-CQSZACIVSA-N 1 2 321.421 1.555 20 30 DDEDLO CC(C)(C)OC(=O)CN1CC[C@@H]([N@@H+](CCC#N)CC2CC2)C1=O ZINC000595332608 356451926 /nfs/dbraw/zinc/45/19/26/356451926.db2.gz KWRMQOAPSXQEKE-CQSZACIVSA-N 1 2 321.421 1.555 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)nc(C)n1 ZINC000595289617 356434546 /nfs/dbraw/zinc/43/45/46/356434546.db2.gz FYKKBOAOUAWWSF-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO N#Cc1ccc(CNC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)cc1 ZINC000595442774 356495040 /nfs/dbraw/zinc/49/50/40/356495040.db2.gz CDZQGYWBTJAHDJ-MRXNPFEDSA-N 1 2 314.389 1.174 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)NCCc1ccc(C#N)cc1 ZINC000595552388 356546179 /nfs/dbraw/zinc/54/61/79/356546179.db2.gz UQSRQWMEIGJZDC-CQSZACIVSA-N 1 2 316.405 1.121 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)NCCc1ccc(C#N)cc1 ZINC000595552388 356546180 /nfs/dbraw/zinc/54/61/80/356546180.db2.gz UQSRQWMEIGJZDC-CQSZACIVSA-N 1 2 316.405 1.121 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1ccc(C(=O)OC)cc1)[C@H](C)COC ZINC000595645602 356590203 /nfs/dbraw/zinc/59/02/03/356590203.db2.gz GDIAAPNKGDRGMD-CYBMUJFWSA-N 1 2 320.389 1.935 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1ccc(C(=O)OC)cc1)[C@H](C)COC ZINC000595645602 356590204 /nfs/dbraw/zinc/59/02/04/356590204.db2.gz GDIAAPNKGDRGMD-CYBMUJFWSA-N 1 2 320.389 1.935 20 30 DDEDLO C=C[C@@H](C(=O)OC)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000595626087 356583454 /nfs/dbraw/zinc/58/34/54/356583454.db2.gz LKLYNUNIGVNCHG-BBWFWOEESA-N 1 2 316.401 1.299 20 30 DDEDLO C=C[C@@H](C(=O)OC)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000595626087 356583459 /nfs/dbraw/zinc/58/34/59/356583459.db2.gz LKLYNUNIGVNCHG-BBWFWOEESA-N 1 2 316.401 1.299 20 30 DDEDLO Cc1ccccc1C(F)(F)CNC[C@H](O)C[N@H+](C)CCC#N ZINC000596064725 356758621 /nfs/dbraw/zinc/75/86/21/356758621.db2.gz ILRSAYWAJPPCLD-AWEZNQCLSA-N 1 2 311.376 1.883 20 30 DDEDLO Cc1ccccc1C(F)(F)CNC[C@H](O)C[N@@H+](C)CCC#N ZINC000596064725 356758624 /nfs/dbraw/zinc/75/86/24/356758624.db2.gz ILRSAYWAJPPCLD-AWEZNQCLSA-N 1 2 311.376 1.883 20 30 DDEDLO N#Cc1cnc(N2CCC[C@H]([NH+]3CCOCC3)C2)c([N+](=O)[O-])c1 ZINC000596247911 356831911 /nfs/dbraw/zinc/83/19/11/356831911.db2.gz SEXSQUCQHTYBLT-ZDUSSCGKSA-N 1 2 317.349 1.162 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([NH+]3CCOCC3)C2)c([N+](=O)[O-])c1 ZINC000596248042 356832031 /nfs/dbraw/zinc/83/20/31/356832031.db2.gz VWKKLBZYNRQPJV-CQSZACIVSA-N 1 2 316.361 1.767 20 30 DDEDLO COc1ccc(N2C[C@H](Nc3cc(C)[nH+]cc3C#N)CC2=O)cc1 ZINC000596428780 356876479 /nfs/dbraw/zinc/87/64/79/356876479.db2.gz CNQZQCNDYUSIHL-CQSZACIVSA-N 1 2 322.368 1.910 20 30 DDEDLO CCOc1ccc(N2CCN(c3cc(C)[nH+]cc3C#N)CC2)nn1 ZINC000596557067 356916112 /nfs/dbraw/zinc/91/61/12/356916112.db2.gz PBAKCXYFOHJBHG-UHFFFAOYSA-N 1 2 324.388 1.777 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)N[C@H]2CC[C@@H](CC#N)C2)[C@@H](C)CO1 ZINC000596611785 356932741 /nfs/dbraw/zinc/93/27/41/356932741.db2.gz IVOGPBZJXCYPGB-XGUBFFRZSA-N 1 2 308.426 1.477 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)N[C@H]2CC[C@@H](CC#N)C2)[C@@H](C)CO1 ZINC000596611785 356932743 /nfs/dbraw/zinc/93/27/43/356932743.db2.gz IVOGPBZJXCYPGB-XGUBFFRZSA-N 1 2 308.426 1.477 20 30 DDEDLO C[C@@H](CC#N)C(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000596806673 356982316 /nfs/dbraw/zinc/98/23/16/356982316.db2.gz PDQHCWBONMZWLR-MELADBBJSA-N 1 2 316.405 1.861 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N2CCO[C@H](C#N)C2)cc1 ZINC000596671268 356948454 /nfs/dbraw/zinc/94/84/54/356948454.db2.gz GRNRNOHUVSCMIT-HZPDHXFCSA-N 1 2 315.373 1.020 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N2CCO[C@H](C#N)C2)cc1 ZINC000596671268 356948456 /nfs/dbraw/zinc/94/84/56/356948456.db2.gz GRNRNOHUVSCMIT-HZPDHXFCSA-N 1 2 315.373 1.020 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cn(C2CCC2)nn1 ZINC000596983820 357034330 /nfs/dbraw/zinc/03/43/30/357034330.db2.gz KJRNBYKPJVHPNM-UHFFFAOYSA-N 1 2 318.425 1.051 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cc1F ZINC000596909882 357013079 /nfs/dbraw/zinc/01/30/79/357013079.db2.gz BYMQMUOEASPUST-AWEZNQCLSA-N 1 2 318.352 1.636 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000597707395 357294921 /nfs/dbraw/zinc/29/49/21/357294921.db2.gz PRCMADHWSPDPBG-OAHLLOKOSA-N 1 2 314.389 1.199 20 30 DDEDLO CN(Cc1c[nH+]c[nH]1)C(=O)[C@H]1CCCN1c1ccc(C#N)cc1 ZINC000597866531 357363911 /nfs/dbraw/zinc/36/39/11/357363911.db2.gz XOZCFHILNNKBGD-MRXNPFEDSA-N 1 2 309.373 1.909 20 30 DDEDLO CN(Cc1c[nH]c[nH+]1)C(=O)[C@H]1CCCN1c1ccc(C#N)cc1 ZINC000597866531 357363915 /nfs/dbraw/zinc/36/39/15/357363915.db2.gz XOZCFHILNNKBGD-MRXNPFEDSA-N 1 2 309.373 1.909 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2C[C@@H](OC)[C@@H](OC)C2)c1C ZINC000276832921 213122275 /nfs/dbraw/zinc/12/22/75/213122275.db2.gz GUFUWTCIHYSNRG-CALCHBBNSA-N 1 2 306.406 1.819 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2C[C@@H](OC)[C@@H](OC)C2)c1C ZINC000276832921 213122277 /nfs/dbraw/zinc/12/22/77/213122277.db2.gz GUFUWTCIHYSNRG-CALCHBBNSA-N 1 2 306.406 1.819 20 30 DDEDLO CCC(CC)[C@H](C(=O)N1CC[C@](C)(C#N)C1)[NH+]1CCOCC1 ZINC000598407579 357568279 /nfs/dbraw/zinc/56/82/79/357568279.db2.gz DRWPSRLNBBQENK-NVXWUHKLSA-N 1 2 307.438 1.886 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)[N@@H+]1CCC[C@H](C2CC2)C1 ZINC000329615876 223003261 /nfs/dbraw/zinc/00/32/61/223003261.db2.gz DHODALFXAZMQAV-KWCYVHTRSA-N 1 2 314.451 1.641 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)[N@H+]1CCC[C@H](C2CC2)C1 ZINC000329615876 223003263 /nfs/dbraw/zinc/00/32/63/223003263.db2.gz DHODALFXAZMQAV-KWCYVHTRSA-N 1 2 314.451 1.641 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NC[C@H]1CCCCS1 ZINC000329627254 223003743 /nfs/dbraw/zinc/00/37/43/223003743.db2.gz GWFKBTIIFIGNAU-CHWSQXEVSA-N 1 2 301.456 1.496 20 30 DDEDLO CNS(=O)(=O)CC[N@@H+]1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000598597624 357647911 /nfs/dbraw/zinc/64/79/11/357647911.db2.gz ZOCMRDNXCRHMNV-LSDHHAIUSA-N 1 2 307.419 1.307 20 30 DDEDLO CNS(=O)(=O)CC[N@H+]1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000598597624 357647915 /nfs/dbraw/zinc/64/79/15/357647915.db2.gz ZOCMRDNXCRHMNV-LSDHHAIUSA-N 1 2 307.419 1.307 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@@H+]1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000598603230 357649512 /nfs/dbraw/zinc/64/95/12/357649512.db2.gz YSGBRBUSUZEHAQ-ZDUSSCGKSA-N 1 2 304.350 1.649 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@H+]1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000598603230 357649516 /nfs/dbraw/zinc/64/95/16/357649516.db2.gz YSGBRBUSUZEHAQ-ZDUSSCGKSA-N 1 2 304.350 1.649 20 30 DDEDLO Cc1noc(C(C)(C)[NH+]=C([O-])N[C@H]2CCc3[nH]c[nH+]c3C2)n1 ZINC000329696201 223014108 /nfs/dbraw/zinc/01/41/08/223014108.db2.gz BRNVXPXKFYMSQM-VIFPVBQESA-N 1 2 304.354 1.397 20 30 DDEDLO Cc1noc(C(C)(C)[NH+]=C([O-])N[C@H]2CCc3[nH+]c[nH]c3C2)n1 ZINC000329696201 223014110 /nfs/dbraw/zinc/01/41/10/223014110.db2.gz BRNVXPXKFYMSQM-VIFPVBQESA-N 1 2 304.354 1.397 20 30 DDEDLO N#Cc1ccc(C[NH2+][C@@H]2CS(=O)(=O)Cc3ccccc32)o1 ZINC000598675951 357682758 /nfs/dbraw/zinc/68/27/58/357682758.db2.gz BEGPKSIPNSJYNR-OAHLLOKOSA-N 1 2 302.355 1.911 20 30 DDEDLO C[C@H](CNC(=O)N[C@]1(C)CCO[C@H]1C1CC1)[NH+]1CCOCC1 ZINC000329759446 223025529 /nfs/dbraw/zinc/02/55/29/223025529.db2.gz OWTQTKUCMKMTSU-IVMMDQJWSA-N 1 2 311.426 1.168 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1C#N ZINC000599354542 357906614 /nfs/dbraw/zinc/90/66/14/357906614.db2.gz XIYBRDCABXONEI-ZDUSSCGKSA-N 1 2 307.419 1.332 20 30 DDEDLO Cc1ccc(C[N@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1C#N ZINC000599354542 357906619 /nfs/dbraw/zinc/90/66/19/357906619.db2.gz XIYBRDCABXONEI-ZDUSSCGKSA-N 1 2 307.419 1.332 20 30 DDEDLO COC(=O)[C@@](C)(CCF)[NH2+]C[C@H](O)COc1ccccc1C#N ZINC000599469255 357947778 /nfs/dbraw/zinc/94/77/78/357947778.db2.gz UBNYSNWGUQRLJW-XJKSGUPXSA-N 1 2 324.352 1.179 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@@H+]2CCO[C@H](CCF)C2)cc1 ZINC000599644304 358004777 /nfs/dbraw/zinc/00/47/77/358004777.db2.gz QQEMQNGYMJLMJS-GDBMZVCRSA-N 1 2 308.353 1.358 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@H+]2CCO[C@H](CCF)C2)cc1 ZINC000599644304 358004783 /nfs/dbraw/zinc/00/47/83/358004783.db2.gz QQEMQNGYMJLMJS-GDBMZVCRSA-N 1 2 308.353 1.358 20 30 DDEDLO CC(C)(C(=O)N1CC(Nc2cccc(C#N)c2)C1)c1c[nH+]c[nH]1 ZINC000601676864 358650422 /nfs/dbraw/zinc/65/04/22/358650422.db2.gz DNDFPGZYNSMDFA-UHFFFAOYSA-N 1 2 309.373 1.882 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCO[C@@H](C(F)F)CC2)cc1 ZINC000601973702 358760609 /nfs/dbraw/zinc/76/06/09/358760609.db2.gz IWBLAHCIMLAEAI-OLZOCXBDSA-N 1 2 309.316 1.441 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCO[C@@H](C(F)F)CC2)cc1 ZINC000601973702 358760613 /nfs/dbraw/zinc/76/06/13/358760613.db2.gz IWBLAHCIMLAEAI-OLZOCXBDSA-N 1 2 309.316 1.441 20 30 DDEDLO N#CC1(CC(=O)NCc2cc[nH+]c(N3CCSCC3)c2)CC1 ZINC000601853641 358717065 /nfs/dbraw/zinc/71/70/65/358717065.db2.gz AIKWCDJCMXQOQX-UHFFFAOYSA-N 1 2 316.430 1.945 20 30 DDEDLO N#C[C@]1(NC(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)CCSC1 ZINC000602087114 358806983 /nfs/dbraw/zinc/80/69/83/358806983.db2.gz UUDPZNLXAUBFBR-MWLCHTKSSA-N 1 2 318.324 1.892 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000602125523 358831195 /nfs/dbraw/zinc/83/11/95/358831195.db2.gz YWOLJAQQEVNFIH-DOTOQJQBSA-N 1 2 317.389 1.314 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000602125523 358831201 /nfs/dbraw/zinc/83/12/01/358831201.db2.gz YWOLJAQQEVNFIH-DOTOQJQBSA-N 1 2 317.389 1.314 20 30 DDEDLO Cn1ccnc1[C@@H](C1CC1)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000602433422 358973619 /nfs/dbraw/zinc/97/36/19/358973619.db2.gz MTZKFBTVEMIXLC-MRXNPFEDSA-N 1 2 322.416 1.960 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](C1CC1)N1CCN(c2ncccc2C#N)CC1 ZINC000602433422 358973624 /nfs/dbraw/zinc/97/36/24/358973624.db2.gz MTZKFBTVEMIXLC-MRXNPFEDSA-N 1 2 322.416 1.960 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@@H]2NC(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000602303823 358921823 /nfs/dbraw/zinc/92/18/23/358921823.db2.gz YHPRBJYFBLQULO-BBRMVZONSA-N 1 2 307.357 1.666 20 30 DDEDLO C[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)n1ccc(C(F)(F)F)n1 ZINC000602331943 358934012 /nfs/dbraw/zinc/93/40/12/358934012.db2.gz IOCIZXULFSKVBT-BXKDBHETSA-N 1 2 317.315 1.423 20 30 DDEDLO Cc1cccc(CO[C@@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000602335974 358936542 /nfs/dbraw/zinc/93/65/42/358936542.db2.gz WLFGHBGONRUQNZ-WMLDXEAASA-N 1 2 303.406 1.860 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)NC1(c2cccc(C#N)c2)CC1 ZINC000602370357 358949725 /nfs/dbraw/zinc/94/97/25/358949725.db2.gz COOONWSJOKYSSG-HNNXBMFYSA-N 1 2 314.389 1.177 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)NC1(c2cccc(C#N)c2)CC1 ZINC000602370357 358949729 /nfs/dbraw/zinc/94/97/29/358949729.db2.gz COOONWSJOKYSSG-HNNXBMFYSA-N 1 2 314.389 1.177 20 30 DDEDLO CCc1nc(CN2CC[NH+](Cc3cccc(C#N)n3)CC2)no1 ZINC000602477921 359004801 /nfs/dbraw/zinc/00/48/01/359004801.db2.gz YPVYAUNWBCQTTG-UHFFFAOYSA-N 1 2 312.377 1.216 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](C[C@H]2COc3ccccc3O2)CC1 ZINC000602793600 359196185 /nfs/dbraw/zinc/19/61/85/359196185.db2.gz AYUYOCPSFMTSQV-HOTGVXAUSA-N 1 2 315.417 1.992 20 30 DDEDLO C=C(C)C[C@H]([NH2+]Cc1ccc(-n2cncn2)cc1)C(=O)OC ZINC000602735306 359156738 /nfs/dbraw/zinc/15/67/38/359156738.db2.gz URQVVKZVTHCIEE-HNNXBMFYSA-N 1 2 300.362 1.865 20 30 DDEDLO C[C@@H](NC(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)c1cccs1 ZINC000602856415 359242522 /nfs/dbraw/zinc/24/25/22/359242522.db2.gz JRLMJWONJXXNGH-HZSPNIEDSA-N 1 2 320.462 1.843 20 30 DDEDLO C[C@@H](NC(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)c1cccs1 ZINC000602856415 359242525 /nfs/dbraw/zinc/24/25/25/359242525.db2.gz JRLMJWONJXXNGH-HZSPNIEDSA-N 1 2 320.462 1.843 20 30 DDEDLO Cc1c(Cl)cccc1NC(=O)CNC(=O)C[NH2+][C@@H](C)CC#N ZINC000602868940 359253652 /nfs/dbraw/zinc/25/36/52/359253652.db2.gz LCONVKJHSNJJKK-JTQLQIEISA-N 1 2 322.796 1.595 20 30 DDEDLO COc1ccc(C[N@@H+]2CCO[C@H](Cn3ccnn3)C2)cc1C#N ZINC000602906756 359286178 /nfs/dbraw/zinc/28/61/78/359286178.db2.gz JTGDXVQCBYZHOZ-HNNXBMFYSA-N 1 2 313.361 1.059 20 30 DDEDLO COc1ccc(C[N@H+]2CCO[C@H](Cn3ccnn3)C2)cc1C#N ZINC000602906756 359286183 /nfs/dbraw/zinc/28/61/83/359286183.db2.gz JTGDXVQCBYZHOZ-HNNXBMFYSA-N 1 2 313.361 1.059 20 30 DDEDLO Cn1cc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2ccncc2C#N)cn1 ZINC000602944672 359312186 /nfs/dbraw/zinc/31/21/86/359312186.db2.gz WWPDAFPDTZBKBT-MRXNPFEDSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cc(C(=O)NC[C@H]2CCC[N@H+]2Cc2ccncc2C#N)cn1 ZINC000602944672 359312187 /nfs/dbraw/zinc/31/21/87/359312187.db2.gz WWPDAFPDTZBKBT-MRXNPFEDSA-N 1 2 324.388 1.081 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@H+](C)[C@@H]2CCC[C@@H]2C#N)CC1 ZINC000602974587 359334516 /nfs/dbraw/zinc/33/45/16/359334516.db2.gz QJKUKCRWLOFCGG-HUUCEWRRSA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@@H+](C)[C@@H]2CCC[C@@H]2C#N)CC1 ZINC000602974587 359334519 /nfs/dbraw/zinc/33/45/19/359334519.db2.gz QJKUKCRWLOFCGG-HUUCEWRRSA-N 1 2 321.421 1.412 20 30 DDEDLO COc1ccc(CNC(=O)C[N@H+](C)[C@H]2CCC[C@H]2C#N)cc1 ZINC000602974899 359335622 /nfs/dbraw/zinc/33/56/22/359335622.db2.gz VPJKDVKCYRTALH-HOCLYGCPSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccc(CNC(=O)C[N@@H+](C)[C@H]2CCC[C@H]2C#N)cc1 ZINC000602974899 359335626 /nfs/dbraw/zinc/33/56/26/359335626.db2.gz VPJKDVKCYRTALH-HOCLYGCPSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccc(CNC(=O)C[N@H+](C)[C@@H]2CCC[C@H]2C#N)cc1 ZINC000602974898 359336170 /nfs/dbraw/zinc/33/61/70/359336170.db2.gz VPJKDVKCYRTALH-GOEBONIOSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccc(CNC(=O)C[N@@H+](C)[C@@H]2CCC[C@H]2C#N)cc1 ZINC000602974898 359336177 /nfs/dbraw/zinc/33/61/77/359336177.db2.gz VPJKDVKCYRTALH-GOEBONIOSA-N 1 2 301.390 1.935 20 30 DDEDLO C[C@@H](CC#N)N(C)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603281146 359538601 /nfs/dbraw/zinc/53/86/01/359538601.db2.gz TXJRSKPMDVBVQG-LBPRGKRZSA-N 1 2 307.419 1.597 20 30 DDEDLO C[C@@H](CC#N)N(C)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603281146 359538604 /nfs/dbraw/zinc/53/86/04/359538604.db2.gz TXJRSKPMDVBVQG-LBPRGKRZSA-N 1 2 307.419 1.597 20 30 DDEDLO N#CCN1CCC(NC(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)CC1 ZINC000609341123 360298651 /nfs/dbraw/zinc/29/86/51/360298651.db2.gz MKUPQYRCMOKLFC-ZDUSSCGKSA-N 1 2 316.409 1.287 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CC(=O)NC1CCN(CC#N)CC1 ZINC000609328719 360292865 /nfs/dbraw/zinc/29/28/65/360292865.db2.gz RQRTVJMBONNCAV-UHFFFAOYSA-N 1 2 311.389 1.449 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNC(=O)C[C@H]2CCOC2)cn1 ZINC000329981419 223057746 /nfs/dbraw/zinc/05/77/46/223057746.db2.gz NETKKQMPDZWDPM-SNPRPXQTSA-N 1 2 324.400 1.716 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNC(=O)C[C@H]2CCOC2)cn1 ZINC000329981419 223057750 /nfs/dbraw/zinc/05/77/50/223057750.db2.gz NETKKQMPDZWDPM-SNPRPXQTSA-N 1 2 324.400 1.716 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)nc1 ZINC000292408835 223057871 /nfs/dbraw/zinc/05/78/71/223057871.db2.gz OZTYBZZSIFHONJ-AWEZNQCLSA-N 1 2 311.345 1.220 20 30 DDEDLO COCCOCC[NH+]1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000610570542 360472161 /nfs/dbraw/zinc/47/21/61/360472161.db2.gz GXAUPVMXUAKORA-UHFFFAOYSA-N 1 2 320.393 1.209 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@@H+]2CCc3c[nH]nc3C2)cc1 ZINC000611009444 360600977 /nfs/dbraw/zinc/60/09/77/360600977.db2.gz ZBGCWROMCGCIBZ-HNNXBMFYSA-N 1 2 312.373 1.274 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@H+]2CCc3c[nH]nc3C2)cc1 ZINC000611009444 360600982 /nfs/dbraw/zinc/60/09/82/360600982.db2.gz ZBGCWROMCGCIBZ-HNNXBMFYSA-N 1 2 312.373 1.274 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@@H+]2CCc3cn[nH]c3C2)cc1 ZINC000611009444 360600987 /nfs/dbraw/zinc/60/09/87/360600987.db2.gz ZBGCWROMCGCIBZ-HNNXBMFYSA-N 1 2 312.373 1.274 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@H+]2CCc3cn[nH]c3C2)cc1 ZINC000611009444 360600993 /nfs/dbraw/zinc/60/09/93/360600993.db2.gz ZBGCWROMCGCIBZ-HNNXBMFYSA-N 1 2 312.373 1.274 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)N2CCc3ccccc3C2)CC1 ZINC000611173996 360646845 /nfs/dbraw/zinc/64/68/45/360646845.db2.gz BHCPJOKVCSRRSY-UHFFFAOYSA-N 1 2 312.417 1.103 20 30 DDEDLO COc1ccc(C[N@H+](C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cn1 ZINC000611209125 360660558 /nfs/dbraw/zinc/66/05/58/360660558.db2.gz GOKOQCGPDSXMQH-DYVFJYSZSA-N 1 2 318.421 1.965 20 30 DDEDLO COc1ccc(C[N@@H+](C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cn1 ZINC000611209125 360660563 /nfs/dbraw/zinc/66/05/63/360660563.db2.gz GOKOQCGPDSXMQH-DYVFJYSZSA-N 1 2 318.421 1.965 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@H+](Cc3cccc(C#N)c3F)CCN2C1=O ZINC000611372009 360707188 /nfs/dbraw/zinc/70/71/88/360707188.db2.gz CYNVCMXMQRIBRI-ZDUSSCGKSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@@H+](Cc3cccc(C#N)c3F)CCN2C1=O ZINC000611372009 360707190 /nfs/dbraw/zinc/70/71/90/360707190.db2.gz CYNVCMXMQRIBRI-ZDUSSCGKSA-N 1 2 316.336 1.166 20 30 DDEDLO O=C(Nc1cccc2c1OCCO2)N[C@H]1CCn2cc[nH+]c2C1 ZINC000330250366 223094960 /nfs/dbraw/zinc/09/49/60/223094960.db2.gz HCBLHADRQNPGBC-NSHDSACASA-N 1 2 314.345 1.995 20 30 DDEDLO O=C(CN1CCCCCCC1=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330293891 223100157 /nfs/dbraw/zinc/10/01/57/223100157.db2.gz DYAQVFMIEWZOJA-CYBMUJFWSA-N 1 2 304.394 1.947 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1N(C)C(=O)C[N@H+](C)CCNC(=O)N(C)C ZINC000330706303 223139306 /nfs/dbraw/zinc/13/93/06/223139306.db2.gz TZXKVCYICRLHQT-KGLIPLIRSA-N 1 2 312.458 1.431 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1N(C)C(=O)C[N@@H+](C)CCNC(=O)N(C)C ZINC000330706303 223139307 /nfs/dbraw/zinc/13/93/07/223139307.db2.gz TZXKVCYICRLHQT-KGLIPLIRSA-N 1 2 312.458 1.431 20 30 DDEDLO Cc1cc(C)c(NC(=O)N[C@@H](C)C(=O)N2CCOCC2)c(C)[nH+]1 ZINC000331344946 223203857 /nfs/dbraw/zinc/20/38/57/223203857.db2.gz VANNBYQCYGTNJV-ZDUSSCGKSA-N 1 2 320.393 1.580 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)N2CC[NH+](Cc3ccco3)CC2)C1 ZINC000331831558 223208790 /nfs/dbraw/zinc/20/87/90/223208790.db2.gz KBBDAMADQOMFNS-UONOGXRCSA-N 1 2 302.378 1.799 20 30 DDEDLO CNC(=O)[C@H]1CCCC[N@@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000194187213 201194667 /nfs/dbraw/zinc/19/46/67/201194667.db2.gz DUWDDXKJDVWGPE-CQSZACIVSA-N 1 2 300.362 1.097 20 30 DDEDLO CNC(=O)[C@H]1CCCC[N@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000194187213 201194671 /nfs/dbraw/zinc/19/46/71/201194671.db2.gz DUWDDXKJDVWGPE-CQSZACIVSA-N 1 2 300.362 1.097 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@@H](C)C(=O)NCC(F)(F)F)CC1 ZINC000342001180 223299909 /nfs/dbraw/zinc/29/99/09/223299909.db2.gz ZFFTWVXBMLVQTE-JTQLQIEISA-N 1 2 307.316 1.306 20 30 DDEDLO C[C@@H]1CC[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)C[C@H]1F ZINC000625312934 366843795 /nfs/dbraw/zinc/84/37/95/366843795.db2.gz HJNKBJFZNZUOSR-CZUORRHYSA-N 1 2 314.360 1.966 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)C[C@H]1F ZINC000625312934 366843797 /nfs/dbraw/zinc/84/37/97/366843797.db2.gz HJNKBJFZNZUOSR-CZUORRHYSA-N 1 2 314.360 1.966 20 30 DDEDLO N#Cc1cc(NC(=O)C(=O)NCCCn2cc[nH+]c2)ccc1F ZINC000077537520 248218125 /nfs/dbraw/zinc/21/81/25/248218125.db2.gz VENUVQYTEDXEGZ-UHFFFAOYSA-N 1 2 315.308 1.039 20 30 DDEDLO Cc1cc(C(=O)N(C)C(C)(C)C[NH+]2CCOCC2)ncc1C#N ZINC000414044665 291677886 /nfs/dbraw/zinc/67/78/86/291677886.db2.gz UQXLIBPYTNBGBN-UHFFFAOYSA-N 1 2 316.405 1.445 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1C[C@@H](CO)OC(C)(C)C1 ZINC000336873598 249279706 /nfs/dbraw/zinc/27/97/06/249279706.db2.gz SYYBRTKTLYHNOX-WFASDCNBSA-N 1 2 317.389 1.357 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1C[C@@H](CO)OC(C)(C)C1 ZINC000336873598 249279707 /nfs/dbraw/zinc/27/97/07/249279707.db2.gz SYYBRTKTLYHNOX-WFASDCNBSA-N 1 2 317.389 1.357 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc2[nH]c(N(C)C)[nH+]c2c1)n1cnc(C#N)n1 ZINC000338923828 250198671 /nfs/dbraw/zinc/19/86/71/250198671.db2.gz HDBXWUIDQZRYJY-VIFPVBQESA-N 1 2 324.348 1.292 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@@H](O)CN3CCO[C@H](C#N)C3)c2cc1C ZINC000106307449 194194813 /nfs/dbraw/zinc/19/48/13/194194813.db2.gz VVGLBESYEOAGTH-LSDHHAIUSA-N 1 2 314.389 1.238 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)N(C)Cc1[nH+]ccn1C ZINC000119736528 195028127 /nfs/dbraw/zinc/02/81/27/195028127.db2.gz LTVBCCYODODDFD-UHFFFAOYSA-N 1 2 311.345 1.197 20 30 DDEDLO Cc1cc(N[C@H](C)C[NH+]2CCOCC2)c(C#N)cc1[N+](=O)[O-] ZINC000413067692 224130068 /nfs/dbraw/zinc/13/00/68/224130068.db2.gz WYPPKVLEBBFDLP-GFCCVEGCSA-N 1 2 304.350 1.908 20 30 DDEDLO N#Cc1cnc(Nc2cnn(CC[NH+]3CCOCC3)c2)c(F)c1 ZINC000413122468 224138788 /nfs/dbraw/zinc/13/87/88/224138788.db2.gz ZZWLIAQNYPXFLC-UHFFFAOYSA-N 1 2 316.340 1.365 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+](C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000157614524 197201547 /nfs/dbraw/zinc/20/15/47/197201547.db2.gz YGNHZTNFZIAAQC-OAHLLOKOSA-N 1 2 307.415 1.708 20 30 DDEDLO C#CCCOc1ccc(C[N@H+](C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000157614524 197201548 /nfs/dbraw/zinc/20/15/48/197201548.db2.gz YGNHZTNFZIAAQC-OAHLLOKOSA-N 1 2 307.415 1.708 20 30 DDEDLO COCCCNC(=O)C1CC[NH+](Cc2nc(C#N)cs2)CC1 ZINC000356052804 269731518 /nfs/dbraw/zinc/73/15/18/269731518.db2.gz IHINRGBXFITYBH-UHFFFAOYSA-N 1 2 322.434 1.379 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)nc2)CC1 ZINC000122062827 195396251 /nfs/dbraw/zinc/39/62/51/195396251.db2.gz QEWLHMWWHUNLOE-GFCCVEGCSA-N 1 2 308.407 1.058 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCCC[C@H]1CS(C)(=O)=O ZINC000451649014 533270874 /nfs/dbraw/zinc/27/08/74/533270874.db2.gz HCECUHSEGGVOSV-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCCC[C@H]1CS(C)(=O)=O ZINC000451649014 533270880 /nfs/dbraw/zinc/27/08/80/533270880.db2.gz HCECUHSEGGVOSV-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)c2ccc(C#N)s2)C1 ZINC000412367289 533506427 /nfs/dbraw/zinc/50/64/27/533506427.db2.gz MCCZYTXWVXIKCB-NSHDSACASA-N 1 2 321.402 1.235 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNC(=O)c2ccc(C#N)s2)C1 ZINC000412367289 533506431 /nfs/dbraw/zinc/50/64/31/533506431.db2.gz MCCZYTXWVXIKCB-NSHDSACASA-N 1 2 321.402 1.235 20 30 DDEDLO C[C@H](CC#N)[N@H+](C)C[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419235156 533601653 /nfs/dbraw/zinc/60/16/53/533601653.db2.gz MSFGWDBMAQHZPG-TZMCWYRMSA-N 1 2 317.389 1.545 20 30 DDEDLO C[C@H](CC#N)[N@@H+](C)C[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419235156 533601659 /nfs/dbraw/zinc/60/16/59/533601659.db2.gz MSFGWDBMAQHZPG-TZMCWYRMSA-N 1 2 317.389 1.545 20 30 DDEDLO COc1ccc(CNC(=O)C[N@H+](C)CC(C)(C)C#N)cc1OC ZINC000433305225 533579849 /nfs/dbraw/zinc/57/98/49/533579849.db2.gz PGWBRGNXJMUSJP-UHFFFAOYSA-N 1 2 319.405 1.802 20 30 DDEDLO COc1ccc(CNC(=O)C[N@@H+](C)CC(C)(C)C#N)cc1OC ZINC000433305225 533579853 /nfs/dbraw/zinc/57/98/53/533579853.db2.gz PGWBRGNXJMUSJP-UHFFFAOYSA-N 1 2 319.405 1.802 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)C[N@H+](C)Cc1ccc(C#N)cc1 ZINC000073615668 406891687 /nfs/dbraw/zinc/89/16/87/406891687.db2.gz HZCCPCRBNUKWEU-UHFFFAOYSA-N 1 2 311.389 1.979 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)C[N@@H+](C)Cc1ccc(C#N)cc1 ZINC000073615668 406891689 /nfs/dbraw/zinc/89/16/89/406891689.db2.gz HZCCPCRBNUKWEU-UHFFFAOYSA-N 1 2 311.389 1.979 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[NH+]1CCC(O)CC1 ZINC000026372481 406904179 /nfs/dbraw/zinc/90/41/79/406904179.db2.gz LPYPXXSKYHGSAD-JTQLQIEISA-N 1 2 319.430 1.892 20 30 DDEDLO Cn1cc[nH+]c1-c1cccc(NC(=O)N2CCO[C@@H](C#N)C2)c1 ZINC000077445654 406991327 /nfs/dbraw/zinc/99/13/27/406991327.db2.gz XJAOCHGTHZWNBW-AWEZNQCLSA-N 1 2 311.345 1.843 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCCOCC)Cc1cccc(C#N)c1 ZINC000084692090 407093330 /nfs/dbraw/zinc/09/33/30/407093330.db2.gz SUDQCGABRDHQBP-UHFFFAOYSA-N 1 2 301.390 1.699 20 30 DDEDLO C=CC[N@H+](CC(=O)NCCOCC)Cc1cccc(C#N)c1 ZINC000084692090 407093331 /nfs/dbraw/zinc/09/33/31/407093331.db2.gz SUDQCGABRDHQBP-UHFFFAOYSA-N 1 2 301.390 1.699 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)OCC(C)C)CC1 ZINC000101555255 407311137 /nfs/dbraw/zinc/31/11/37/407311137.db2.gz WJRKKNPXXDGEMR-CQSZACIVSA-N 1 2 311.426 1.477 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)OCC(C)C)CC1 ZINC000101555255 407311139 /nfs/dbraw/zinc/31/11/39/407311139.db2.gz WJRKKNPXXDGEMR-CQSZACIVSA-N 1 2 311.426 1.477 20 30 DDEDLO C=CCN(CC=C)C(=O)NC1CC[NH+](C2CC[NH+](C)CC2)CC1 ZINC000127471181 407438067 /nfs/dbraw/zinc/43/80/67/407438067.db2.gz UVBSWAKGBGDMBV-UHFFFAOYSA-N 1 2 320.481 1.929 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC[NH+](C2CC2)CC1 ZINC000185503164 407443564 /nfs/dbraw/zinc/44/35/64/407443564.db2.gz WKAQYTIAKLYVJT-UHFFFAOYSA-N 1 2 321.402 1.036 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@H]2CCc3[nH+]c(C)cn3C2)s1 ZINC000128439446 407535991 /nfs/dbraw/zinc/53/59/91/407535991.db2.gz RHHACEZMTBNHAY-NSHDSACASA-N 1 2 317.418 1.991 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(CC#Cc2ccc(F)cc2)CC1 ZINC000128563350 407547256 /nfs/dbraw/zinc/54/72/56/407547256.db2.gz MPXOKKRYHDAQSA-UHFFFAOYSA-N 1 2 317.408 1.319 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000178777926 407597340 /nfs/dbraw/zinc/59/73/40/407597340.db2.gz KIOMMNWMNXCJLR-CQSZACIVSA-N 1 2 303.381 1.081 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(Cc2cccc(F)c2)CC1 ZINC000178777926 407597346 /nfs/dbraw/zinc/59/73/46/407597346.db2.gz KIOMMNWMNXCJLR-CQSZACIVSA-N 1 2 303.381 1.081 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCC(O)(c2c(F)cccc2F)CC1 ZINC000186506870 407686779 /nfs/dbraw/zinc/68/67/79/407686779.db2.gz HFRPKXATBPUJFV-LBPRGKRZSA-N 1 2 322.355 1.386 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCC[C@]12CCOC2=O ZINC000186598726 407714364 /nfs/dbraw/zinc/71/43/64/407714364.db2.gz PAXRCCMVZDXNKN-QGZVFWFLSA-N 1 2 316.357 1.727 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCC[C@]12CCOC2=O ZINC000186598726 407714371 /nfs/dbraw/zinc/71/43/71/407714371.db2.gz PAXRCCMVZDXNKN-QGZVFWFLSA-N 1 2 316.357 1.727 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(c2cc(C)[nH]n2)CC1 ZINC000187169490 407828168 /nfs/dbraw/zinc/82/81/68/407828168.db2.gz HJJWKLZLHRFEEJ-HNNXBMFYSA-N 1 2 317.437 1.429 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)Cc1nc(N)nc(N(C)C)n1 ZINC000272240581 407830404 /nfs/dbraw/zinc/83/04/04/407830404.db2.gz GPFSXBALXUONEV-UHFFFAOYSA-N 1 2 302.382 1.344 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)Cc1nc(N)nc(N(C)C)n1 ZINC000272240581 407830409 /nfs/dbraw/zinc/83/04/09/407830409.db2.gz GPFSXBALXUONEV-UHFFFAOYSA-N 1 2 302.382 1.344 20 30 DDEDLO N#CCCC[NH+]1CCN([C@@H](C(N)=O)c2ccc(Cl)cc2)CC1 ZINC000272362995 407883435 /nfs/dbraw/zinc/88/34/35/407883435.db2.gz SHQWJRIVAVECSF-OAHLLOKOSA-N 1 2 320.824 1.788 20 30 DDEDLO N#CCCC[NH+]1CCN([C@H](C(N)=O)c2ccc(Cl)cc2)CC1 ZINC000272361869 407883473 /nfs/dbraw/zinc/88/34/73/407883473.db2.gz SHQWJRIVAVECSF-HNNXBMFYSA-N 1 2 320.824 1.788 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CC[NH+](CC(=O)NCC2CC2)CC1 ZINC000174571794 407967690 /nfs/dbraw/zinc/96/76/90/407967690.db2.gz JYZAFZAWUODXTA-QGZVFWFLSA-N 1 2 312.417 1.395 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCO[C@@]2(CCc3ccccc32)C1 ZINC000245512094 408002365 /nfs/dbraw/zinc/00/23/65/408002365.db2.gz MZHAUTILIHUZLE-KSSFIOAISA-N 1 2 300.402 1.851 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCO[C@@]2(CCc3ccccc32)C1 ZINC000245512094 408002374 /nfs/dbraw/zinc/00/23/74/408002374.db2.gz MZHAUTILIHUZLE-KSSFIOAISA-N 1 2 300.402 1.851 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@@H](C)C[NH+]2CCN(C)CC2)cc1 ZINC000119389954 408058764 /nfs/dbraw/zinc/05/87/64/408058764.db2.gz KCQKDSZIVNRICJ-HNNXBMFYSA-N 1 2 315.417 1.064 20 30 DDEDLO CC[N@H+](C[C@@H](C)C#N)[C@@H](C)C(=O)N1CCC(C(=O)OC)CC1 ZINC000155223503 408140447 /nfs/dbraw/zinc/14/04/47/408140447.db2.gz VDPWZKVRRGTUFR-STQMWFEESA-N 1 2 309.410 1.268 20 30 DDEDLO CC[N@@H+](C[C@@H](C)C#N)[C@@H](C)C(=O)N1CCC(C(=O)OC)CC1 ZINC000155223503 408140452 /nfs/dbraw/zinc/14/04/52/408140452.db2.gz VDPWZKVRRGTUFR-STQMWFEESA-N 1 2 309.410 1.268 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCO[C@@H](C(=O)NC)C2)cc1 ZINC000182438382 408160111 /nfs/dbraw/zinc/16/01/11/408160111.db2.gz HHBAYLGDKCVANZ-MRXNPFEDSA-N 1 2 302.374 1.036 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCO[C@@H](C(=O)NC)C2)cc1 ZINC000182438382 408160118 /nfs/dbraw/zinc/16/01/18/408160118.db2.gz HHBAYLGDKCVANZ-MRXNPFEDSA-N 1 2 302.374 1.036 20 30 DDEDLO C=C(C)COCCNC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC000121218995 408164695 /nfs/dbraw/zinc/16/46/95/408164695.db2.gz NSVJRVOHMUJFTG-HNNXBMFYSA-N 1 2 320.437 1.977 20 30 DDEDLO C=C(C)C[N@@H+](CC)CC(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000121244496 408171704 /nfs/dbraw/zinc/17/17/04/408171704.db2.gz SDAUWKANRMSMOX-UHFFFAOYSA-N 1 2 310.419 1.927 20 30 DDEDLO C=C(C)C[N@H+](CC)CC(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000121244496 408171710 /nfs/dbraw/zinc/17/17/10/408171710.db2.gz SDAUWKANRMSMOX-UHFFFAOYSA-N 1 2 310.419 1.927 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@@H](C)[N@@H+]1CCc2sccc2C1 ZINC000268779631 408190999 /nfs/dbraw/zinc/19/09/99/408190999.db2.gz COFTVDOMTXGRJZ-GFCCVEGCSA-N 1 2 314.476 1.990 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@@H](C)[N@H+]1CCc2sccc2C1 ZINC000268779631 408191003 /nfs/dbraw/zinc/19/10/03/408191003.db2.gz COFTVDOMTXGRJZ-GFCCVEGCSA-N 1 2 314.476 1.990 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)C[C@@H]1OCCc2ccccc21 ZINC000263761495 408243468 /nfs/dbraw/zinc/24/34/68/408243468.db2.gz USKOZLJRYCPCLC-KRWDZBQOSA-N 1 2 315.417 1.994 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)C[C@@H]1OCCc2ccccc21 ZINC000263761495 408243475 /nfs/dbraw/zinc/24/34/75/408243475.db2.gz USKOZLJRYCPCLC-KRWDZBQOSA-N 1 2 315.417 1.994 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CCN(C(=O)NCC)CC2)cc1 ZINC000121590227 408234760 /nfs/dbraw/zinc/23/47/60/408234760.db2.gz UEODVGFWAONTQN-UHFFFAOYSA-N 1 2 301.390 1.546 20 30 DDEDLO Cc1nn(C)c(C)c1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000176266089 408326411 /nfs/dbraw/zinc/32/64/11/408326411.db2.gz CQYNFKOZMQCDKJ-UHFFFAOYSA-N 1 2 305.426 1.085 20 30 DDEDLO CC(C)c1nc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)no1 ZINC000158138933 408328324 /nfs/dbraw/zinc/32/83/24/408328324.db2.gz YPCISLGQNIGLDO-UHFFFAOYSA-N 1 2 302.334 1.965 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC(=O)Nc1ccc2c(c1)OCO2)C1CC1 ZINC000274244288 408340078 /nfs/dbraw/zinc/34/00/78/408340078.db2.gz YIIVZJBNEVBWTO-UHFFFAOYSA-N 1 2 315.329 1.161 20 30 DDEDLO C#CC[N@H+](CC(=O)NC(=O)Nc1ccc2c(c1)OCO2)C1CC1 ZINC000274244288 408340085 /nfs/dbraw/zinc/34/00/85/408340085.db2.gz YIIVZJBNEVBWTO-UHFFFAOYSA-N 1 2 315.329 1.161 20 30 DDEDLO C[NH+](C)Cc1ccnc(NS(=O)(=O)c2cccc(C#N)c2)c1 ZINC000176421425 408362749 /nfs/dbraw/zinc/36/27/49/408362749.db2.gz IFPWLJNHPNSRBF-UHFFFAOYSA-N 1 2 316.386 1.816 20 30 DDEDLO CC(C)(CNc1cc(C#N)ccc1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000274335306 408367150 /nfs/dbraw/zinc/36/71/50/408367150.db2.gz OEWUAMWSFAGDOE-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)=C2CCCC2)CC1 ZINC000176619930 408404294 /nfs/dbraw/zinc/40/42/94/408404294.db2.gz WOIKCNVVOBQYMG-UHFFFAOYSA-N 1 2 317.433 1.161 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)=C2CCCC2)CC1 ZINC000176619930 408404301 /nfs/dbraw/zinc/40/43/01/408404301.db2.gz WOIKCNVVOBQYMG-UHFFFAOYSA-N 1 2 317.433 1.161 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CC=C(C(F)(F)F)CC1)C1CC1 ZINC000270232535 408486977 /nfs/dbraw/zinc/48/69/77/408486977.db2.gz UKTZMKMRKSPRIM-ZDUSSCGKSA-N 1 2 301.312 1.989 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CC=C(C(F)(F)F)CC1)C1CC1 ZINC000270232535 408486981 /nfs/dbraw/zinc/48/69/81/408486981.db2.gz UKTZMKMRKSPRIM-ZDUSSCGKSA-N 1 2 301.312 1.989 20 30 DDEDLO C[C@H]1CCN(S(=O)(=O)CC2(C#N)CC2)C[C@H]1n1cc[nH+]c1 ZINC000248621646 408601550 /nfs/dbraw/zinc/60/15/50/408601550.db2.gz CTYMDIDAKXBVAK-QWHCGFSZSA-N 1 2 308.407 1.400 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)N(C)Cc1[nH+]ccn1C ZINC000161988291 408657860 /nfs/dbraw/zinc/65/78/60/408657860.db2.gz HYFLACWNRWRJPM-ZDUSSCGKSA-N 1 2 303.410 1.583 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000265636827 408725323 /nfs/dbraw/zinc/72/53/23/408725323.db2.gz QTQUKZQJOBYRHL-GEGZXQSFSA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000265636827 408725330 /nfs/dbraw/zinc/72/53/30/408725330.db2.gz QTQUKZQJOBYRHL-GEGZXQSFSA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@H]1O ZINC000253192086 408811052 /nfs/dbraw/zinc/81/10/52/408811052.db2.gz YZHPUEMHLLUDIF-IUODEOHRSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@H]1O ZINC000253192086 408811055 /nfs/dbraw/zinc/81/10/55/408811055.db2.gz YZHPUEMHLLUDIF-IUODEOHRSA-N 1 2 308.403 1.035 20 30 DDEDLO N#CC1(CS(=O)(=O)Oc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000165404205 408811723 /nfs/dbraw/zinc/81/17/23/408811723.db2.gz AFZRUEFPFAWXGV-UHFFFAOYSA-N 1 2 303.343 1.885 20 30 DDEDLO C[C@H]([NH2+]CC(=O)NCC#N)c1nc(-c2cccc(F)c2)no1 ZINC000185075096 408752411 /nfs/dbraw/zinc/75/24/11/408752411.db2.gz LECQARQLLMZZMQ-VIFPVBQESA-N 1 2 303.297 1.166 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)Nc2cccc(F)c2)C1=O ZINC000281180160 408874638 /nfs/dbraw/zinc/87/46/38/408874638.db2.gz AWYYSTSCTXCMQF-SWLSCSKDSA-N 1 2 319.380 1.871 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)Nc2cccc(F)c2)C1=O ZINC000281180160 408874641 /nfs/dbraw/zinc/87/46/41/408874641.db2.gz AWYYSTSCTXCMQF-SWLSCSKDSA-N 1 2 319.380 1.871 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)C[C@H](O)c2c(F)cccc2F)C1=O ZINC000281268638 408876794 /nfs/dbraw/zinc/87/67/94/408876794.db2.gz HWOZVUTVNNBOIW-KBPBESRZSA-N 1 2 310.344 1.717 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)C[C@H](O)c2c(F)cccc2F)C1=O ZINC000281268638 408876795 /nfs/dbraw/zinc/87/67/95/408876795.db2.gz HWOZVUTVNNBOIW-KBPBESRZSA-N 1 2 310.344 1.717 20 30 DDEDLO N#C[C@H]1CC[C@@H]([NH+]2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000281149812 408872839 /nfs/dbraw/zinc/87/28/39/408872839.db2.gz ZTVSCLDEQHJXEI-LSDHHAIUSA-N 1 2 319.430 1.685 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)c1ccnc(-n2cc[nH+]c2)c1 ZINC000281642399 408902583 /nfs/dbraw/zinc/90/25/83/408902583.db2.gz YDVTUZDLCHJLSJ-GOEBONIOSA-N 1 2 312.373 1.978 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NCc2ccc(F)cc2)C1=O ZINC000281473965 408887306 /nfs/dbraw/zinc/88/73/06/408887306.db2.gz WCZSLMPEXTZULT-HNNXBMFYSA-N 1 2 319.380 1.161 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NCc2ccc(F)cc2)C1=O ZINC000281473965 408887308 /nfs/dbraw/zinc/88/73/08/408887308.db2.gz WCZSLMPEXTZULT-HNNXBMFYSA-N 1 2 319.380 1.161 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(C)Cc2ccccc2)C1=O ZINC000281488814 408888072 /nfs/dbraw/zinc/88/80/72/408888072.db2.gz XCUSVJVNJIELBE-INIZCTEOSA-N 1 2 315.417 1.364 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(C)Cc2ccccc2)C1=O ZINC000281488814 408888075 /nfs/dbraw/zinc/88/80/75/408888075.db2.gz XCUSVJVNJIELBE-INIZCTEOSA-N 1 2 315.417 1.364 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1nccn1C ZINC000190631173 163149924 /nfs/dbraw/zinc/14/99/24/163149924.db2.gz AGULZFUCPBERNL-BBRMVZONSA-N 1 2 323.400 1.743 20 30 DDEDLO COCCN1CCC[N@H+](Cc2cc(C#N)ccc2OC)CC1=O ZINC000190769461 163158690 /nfs/dbraw/zinc/15/86/90/163158690.db2.gz NWRDQPPDEQHMRD-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO COCCN1CCC[N@@H+](Cc2cc(C#N)ccc2OC)CC1=O ZINC000190769461 163158691 /nfs/dbraw/zinc/15/86/91/163158691.db2.gz NWRDQPPDEQHMRD-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1cc(C#N)ccc1[N+](=O)[O-] ZINC000286219336 408943568 /nfs/dbraw/zinc/94/35/68/408943568.db2.gz CYKUQEWWKNCHNJ-OCCSQVGLSA-N 1 2 316.361 1.766 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(C3=NCC(C)(C)S3)CC2)C1=O ZINC000281931986 408956128 /nfs/dbraw/zinc/95/61/28/408956128.db2.gz IAXQNNNBQYYOOE-ZDUSSCGKSA-N 1 2 322.478 1.272 20 30 DDEDLO C=CCN1CC[C@H](N2CCN(C3=[NH+]CC(C)(C)S3)CC2)C1=O ZINC000281931986 408956130 /nfs/dbraw/zinc/95/61/30/408956130.db2.gz IAXQNNNBQYYOOE-ZDUSSCGKSA-N 1 2 322.478 1.272 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(C(=O)c3ccccc3)CC2)C1=O ZINC000282027604 408975156 /nfs/dbraw/zinc/97/51/56/408975156.db2.gz PRODBPLCCFMGPZ-INIZCTEOSA-N 1 2 313.401 1.231 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(F)cc3c2OCOC3)C1=O ZINC000282101244 408990870 /nfs/dbraw/zinc/99/08/70/408990870.db2.gz WAWUAXQCVBBVIW-HNNXBMFYSA-N 1 2 320.364 1.911 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(F)cc3c2OCOC3)C1=O ZINC000282101244 408990872 /nfs/dbraw/zinc/99/08/72/408990872.db2.gz WAWUAXQCVBBVIW-HNNXBMFYSA-N 1 2 320.364 1.911 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C/c1cncs1 ZINC000282453129 409055943 /nfs/dbraw/zinc/05/59/43/409055943.db2.gz PCYBTVVKYPMQRM-NSCUHMNNSA-N 1 2 320.418 1.231 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)c(OC)c2)CC1 ZINC000282781746 409063221 /nfs/dbraw/zinc/06/32/21/409063221.db2.gz MUOBSRHVMGLEJO-ZDUSSCGKSA-N 1 2 321.396 1.647 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCc1ccc(NC(=O)OC)cc1 ZINC000293184483 409064710 /nfs/dbraw/zinc/06/47/10/409064710.db2.gz PVOZHCKVYUURPF-UHFFFAOYSA-N 1 2 303.362 1.436 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCc1ccc(NC(=O)OC)cc1 ZINC000293184483 409064711 /nfs/dbraw/zinc/06/47/11/409064711.db2.gz PVOZHCKVYUURPF-UHFFFAOYSA-N 1 2 303.362 1.436 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000283537423 409173253 /nfs/dbraw/zinc/17/32/53/409173253.db2.gz PQXROBWXOUGEJN-UHFFFAOYSA-N 1 2 314.389 1.495 20 30 DDEDLO COc1ccc(OC)c(NC(=O)CO[NH+]=C(N)[C@@H]2CCCO2)c1 ZINC000283757538 409210529 /nfs/dbraw/zinc/21/05/29/409210529.db2.gz AMMCSVYDGAOPIX-ZDUSSCGKSA-N 1 2 323.349 1.110 20 30 DDEDLO N#CCCS(=O)(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000289195296 409231124 /nfs/dbraw/zinc/23/11/24/409231124.db2.gz RCEJOQMRCNBRBC-UHFFFAOYSA-N 1 2 308.407 1.405 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[N@@H+](C)[C@@H](Cc2ccccc2)C1 ZINC000284019688 409258592 /nfs/dbraw/zinc/25/85/92/409258592.db2.gz QKEQTRBLCZZWKY-INIZCTEOSA-N 1 2 308.447 1.751 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[N@H+](C)[C@@H](Cc2ccccc2)C1 ZINC000284019688 409258596 /nfs/dbraw/zinc/25/85/96/409258596.db2.gz QKEQTRBLCZZWKY-INIZCTEOSA-N 1 2 308.447 1.751 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000294530187 409292972 /nfs/dbraw/zinc/29/29/72/409292972.db2.gz ZHOQFLLMUQFDSH-HNNXBMFYSA-N 1 2 311.385 1.241 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CCC[C@@H](c3n[nH]c(=O)o3)C2)c1 ZINC000284337596 409327194 /nfs/dbraw/zinc/32/71/94/409327194.db2.gz QYBXRMMZICKLTG-LLVKDONJSA-N 1 2 302.309 1.753 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CCC[C@@H](c3n[nH]c(=O)o3)C2)c1 ZINC000284337596 409327198 /nfs/dbraw/zinc/32/71/98/409327198.db2.gz QYBXRMMZICKLTG-LLVKDONJSA-N 1 2 302.309 1.753 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccn3C)n2C(C)C)CC1 ZINC000295901111 409373276 /nfs/dbraw/zinc/37/32/76/409373276.db2.gz FGLPSHQZIHGBOU-UHFFFAOYSA-N 1 2 312.421 1.620 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000284420818 409341759 /nfs/dbraw/zinc/34/17/59/409341759.db2.gz KETCFBGQPIFCRQ-UHFFFAOYSA-N 1 2 316.405 1.333 20 30 DDEDLO C#CCOc1ccc(CNC(=O)N[C@@H](C)Cn2cc[nH+]c2)cc1 ZINC000296173070 409453776 /nfs/dbraw/zinc/45/37/76/409453776.db2.gz BPKCROLENKVFMP-AWEZNQCLSA-N 1 2 312.373 1.783 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000285469285 409456205 /nfs/dbraw/zinc/45/62/05/409456205.db2.gz LOHSSWFZDGVZQA-UHFFFAOYSA-N 1 2 314.389 1.684 20 30 DDEDLO CC[C@@H]1C(=O)N(CC)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000342769451 164084271 /nfs/dbraw/zinc/08/42/71/164084271.db2.gz RPEPXRUAUJVARU-OAHLLOKOSA-N 1 2 311.389 1.649 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCCC[C@@H]1c1cc[nH]n1 ZINC000285529203 409480235 /nfs/dbraw/zinc/48/02/35/409480235.db2.gz ZSKARNGTCBUKQP-UKRRQHHQSA-N 1 2 303.410 1.945 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCCC[C@@H]1c1cc[nH]n1 ZINC000285529203 409480243 /nfs/dbraw/zinc/48/02/43/409480243.db2.gz ZSKARNGTCBUKQP-UKRRQHHQSA-N 1 2 303.410 1.945 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000338120480 409732601 /nfs/dbraw/zinc/73/26/01/409732601.db2.gz XBFSDMVQOWLNTM-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ccc(C)nc3C)CC2)c1C#N ZINC000349899914 409918063 /nfs/dbraw/zinc/91/80/63/409918063.db2.gz WXZOODODTXHURQ-UHFFFAOYSA-N 1 2 324.432 1.934 20 30 DDEDLO C#CCOc1ccc(CNC(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC000297579638 409934419 /nfs/dbraw/zinc/93/44/19/409934419.db2.gz QYBKQGVFOSXBBA-UHFFFAOYSA-N 1 2 310.357 1.621 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1cc(F)cc2n[nH]nc21 ZINC000328645352 409945286 /nfs/dbraw/zinc/94/52/86/409945286.db2.gz GJOCTRYUTFLKTP-SECBINFHSA-N 1 2 307.329 1.122 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1cc(F)cc2n[nH]nc21 ZINC000328645352 409945293 /nfs/dbraw/zinc/94/52/93/409945293.db2.gz GJOCTRYUTFLKTP-SECBINFHSA-N 1 2 307.329 1.122 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3scnc3C3CC3)C[C@@H]21 ZINC000328921737 410009144 /nfs/dbraw/zinc/00/91/44/410009144.db2.gz MGAAXEBMPIQVHJ-QWRGUYRKSA-N 1 2 308.407 1.581 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3scnc3C3CC3)C[C@@H]21 ZINC000328921737 410009151 /nfs/dbraw/zinc/00/91/51/410009151.db2.gz MGAAXEBMPIQVHJ-QWRGUYRKSA-N 1 2 308.407 1.581 20 30 DDEDLO CN1CCOC[C@@H]1C(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000328935270 410012221 /nfs/dbraw/zinc/01/22/21/410012221.db2.gz FLZJBXJWAWLSIZ-GFCCVEGCSA-N 1 2 308.769 1.775 20 30 DDEDLO Cc1cc(CNC(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)n(C)n1 ZINC000328899174 410005521 /nfs/dbraw/zinc/00/55/21/410005521.db2.gz XEMHHNSBAVEDIJ-GFCCVEGCSA-N 1 2 302.382 1.366 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000297964276 410063570 /nfs/dbraw/zinc/06/35/70/410063570.db2.gz VONULGXPUHQIKP-CABCVRRESA-N 1 2 318.421 1.717 20 30 DDEDLO COC(=O)C(C)(C)[NH+]1CCN(c2cc(C)nc(C#N)c2)CC1 ZINC000343021601 410080346 /nfs/dbraw/zinc/08/03/46/410080346.db2.gz AACBDGAAWPXIBN-UHFFFAOYSA-N 1 2 302.378 1.335 20 30 DDEDLO Cc1n[nH]c(C)c1NC(=O)C[N@H+]1C[C@@H](N2CCOCC2)C[C@H]1C ZINC000329179502 410149728 /nfs/dbraw/zinc/14/97/28/410149728.db2.gz NLLINPXIHWEXQA-RISCZKNCSA-N 1 2 321.425 1.410 20 30 DDEDLO Cc1n[nH]c(C)c1NC(=O)C[N@@H+]1C[C@@H](N2CCOCC2)C[C@H]1C ZINC000329179502 410149736 /nfs/dbraw/zinc/14/97/36/410149736.db2.gz NLLINPXIHWEXQA-RISCZKNCSA-N 1 2 321.425 1.410 20 30 DDEDLO Cc1n[nH]c(C)c1NC(=O)CN1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000329179502 410149743 /nfs/dbraw/zinc/14/97/43/410149743.db2.gz NLLINPXIHWEXQA-RISCZKNCSA-N 1 2 321.425 1.410 20 30 DDEDLO C[C@@H](CNC(=O)CCc1ccc(C#N)cc1)[NH+]1CCN(C)CC1 ZINC000339326627 410097500 /nfs/dbraw/zinc/09/75/00/410097500.db2.gz KXSLVYPADITDHV-HNNXBMFYSA-N 1 2 314.433 1.243 20 30 DDEDLO C#CC[N@H+](Cc1ccc(F)cc1)[C@@H](C)C(=O)NC[C@H]1CCCO1 ZINC000298082880 410104232 /nfs/dbraw/zinc/10/42/32/410104232.db2.gz KEPIBDHHBOOFJG-WMLDXEAASA-N 1 2 318.392 1.945 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(F)cc1)[C@@H](C)C(=O)NC[C@H]1CCCO1 ZINC000298082880 410104238 /nfs/dbraw/zinc/10/42/38/410104238.db2.gz KEPIBDHHBOOFJG-WMLDXEAASA-N 1 2 318.392 1.945 20 30 DDEDLO CC[C@@H]1CN(C(=O)NCC(C)(C)[NH+]2CCOCC2)CCCO1 ZINC000329198030 410161754 /nfs/dbraw/zinc/16/17/54/410161754.db2.gz AGDAUAHWCJTZOT-CQSZACIVSA-N 1 2 313.442 1.512 20 30 DDEDLO O=C(CNC(=O)[C@@H]1CCCCS1)NCC[NH+]1CCOCC1 ZINC000329281766 410210489 /nfs/dbraw/zinc/21/04/89/410210489.db2.gz AEZYPASEIFEMHZ-LBPRGKRZSA-N 1 2 315.439 1.517 20 30 DDEDLO [O-]C(=[NH+]CCc1cnccn1)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000329373691 410263390 /nfs/dbraw/zinc/26/33/90/410263390.db2.gz KTNLIXSQPMGGJU-UHFFFAOYSA-N 1 2 300.366 1.536 20 30 DDEDLO Cn1cc([C@H]2CCN(C(=O)NCc3cn4ccccc4[nH+]3)C2)cn1 ZINC000329300423 410220509 /nfs/dbraw/zinc/22/05/09/410220509.db2.gz MKMPUEVQZUZDJS-ZDUSSCGKSA-N 1 2 324.388 1.971 20 30 DDEDLO COc1cccc([C@H](C)NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)c1 ZINC000329736737 410427358 /nfs/dbraw/zinc/42/73/58/410427358.db2.gz SSGZULYXGSKQJY-VBNZEHGJSA-N 1 2 319.405 1.685 20 30 DDEDLO COc1cccc([C@H](C)NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)c1 ZINC000329736737 410427361 /nfs/dbraw/zinc/42/73/61/410427361.db2.gz SSGZULYXGSKQJY-VBNZEHGJSA-N 1 2 319.405 1.685 20 30 DDEDLO CC(=O)c1ccc(C#N)c(N2CCN(Cc3[nH]cc[nH+]3)CC2)c1 ZINC000347411697 410530662 /nfs/dbraw/zinc/53/06/62/410530662.db2.gz RKVUNAPMEAOWKA-UHFFFAOYSA-N 1 2 309.373 1.806 20 30 DDEDLO N#Cc1cncc(S(=O)(=O)N2CCC(n3cc[nH+]c3)CC2)c1 ZINC000352275618 410593728 /nfs/dbraw/zinc/59/37/28/410593728.db2.gz OGAJZMOWDGHPBI-UHFFFAOYSA-N 1 2 317.374 1.176 20 30 DDEDLO Cc1cc(NC[C@H]2CCC3(CCOCC3)[C@@H]2O)c(C#N)c[nH+]1 ZINC000333462814 410560381 /nfs/dbraw/zinc/56/03/81/410560381.db2.gz LZRCTKWKVDWEDI-CZUORRHYSA-N 1 2 301.390 1.663 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1O ZINC000299906400 410642228 /nfs/dbraw/zinc/64/22/28/410642228.db2.gz ONCYCXKUGOHZDQ-IUODEOHRSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1O ZINC000299906400 410642235 /nfs/dbraw/zinc/64/22/35/410642235.db2.gz ONCYCXKUGOHZDQ-IUODEOHRSA-N 1 2 308.403 1.035 20 30 DDEDLO C=CCCN(C)C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000355977503 410757011 /nfs/dbraw/zinc/75/70/11/410757011.db2.gz HHKGPKIQKVAQTK-AWEZNQCLSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCN(C)C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000355977503 410757020 /nfs/dbraw/zinc/75/70/20/410757020.db2.gz HHKGPKIQKVAQTK-AWEZNQCLSA-N 1 2 306.410 1.518 20 30 DDEDLO C[N@H+](CC(=O)NCC(=O)c1ccc(C#N)cc1)Cc1ccccc1 ZINC000356181256 410881199 /nfs/dbraw/zinc/88/11/99/410881199.db2.gz OJNFLFCJXSHSHH-UHFFFAOYSA-N 1 2 321.380 1.989 20 30 DDEDLO C[N@@H+](CC(=O)NCC(=O)c1ccc(C#N)cc1)Cc1ccccc1 ZINC000356181256 410881206 /nfs/dbraw/zinc/88/12/06/410881206.db2.gz OJNFLFCJXSHSHH-UHFFFAOYSA-N 1 2 321.380 1.989 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](CCO)[C@@H]2CCO[C@H]2C)c(C#N)c1C ZINC000331184274 411003294 /nfs/dbraw/zinc/00/32/94/411003294.db2.gz TVLXFMHUORLXIW-GXTWGEPZSA-N 1 2 321.377 1.178 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](CCO)[C@@H]2CCO[C@H]2C)c(C#N)c1C ZINC000331184274 411003301 /nfs/dbraw/zinc/00/33/01/411003301.db2.gz TVLXFMHUORLXIW-GXTWGEPZSA-N 1 2 321.377 1.178 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2cn3ccccc3[nH+]2)o1 ZINC000344231179 411042270 /nfs/dbraw/zinc/04/22/70/411042270.db2.gz JSDBQGVMWKBZGA-UHFFFAOYSA-N 1 2 302.315 1.277 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000360287089 411121618 /nfs/dbraw/zinc/12/16/18/411121618.db2.gz SEMPTUDJAYBRCY-GDBMZVCRSA-N 1 2 314.389 1.075 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000360287089 411121620 /nfs/dbraw/zinc/12/16/20/411121620.db2.gz SEMPTUDJAYBRCY-GDBMZVCRSA-N 1 2 314.389 1.075 20 30 DDEDLO C=CCC[C@H]1NC(=O)N([C@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000642933139 423007242 /nfs/dbraw/zinc/00/72/42/423007242.db2.gz VMMFMAIIELNCGD-QWHCGFSZSA-N 1 2 300.362 1.547 20 30 DDEDLO N#Cc1cnc(NC[C@H](c2cccs2)[NH+]2CCOCC2)cn1 ZINC000132993210 196302834 /nfs/dbraw/zinc/30/28/34/196302834.db2.gz LMRXIBHGKIUTHF-CYBMUJFWSA-N 1 2 315.402 1.895 20 30 DDEDLO Cc1cc(NC(=O)NCC2([NH+]3CCOCC3)CC2)ncc1C#N ZINC000603112394 416631075 /nfs/dbraw/zinc/63/10/75/416631075.db2.gz HTCOAGPDVVMNEW-UHFFFAOYSA-N 1 2 315.377 1.248 20 30 DDEDLO N#C[C@@H]1C[N@@H+](Cc2ccccn2)C[C@]12c1ccccc1NC2=O ZINC000374356365 418522532 /nfs/dbraw/zinc/52/25/32/418522532.db2.gz HTCHCFJDWKPRLC-FZKQIMNGSA-N 1 2 304.353 1.927 20 30 DDEDLO N#C[C@@H]1C[N@H+](Cc2ccccn2)C[C@]12c1ccccc1NC2=O ZINC000374356365 418522533 /nfs/dbraw/zinc/52/25/33/418522533.db2.gz HTCHCFJDWKPRLC-FZKQIMNGSA-N 1 2 304.353 1.927 20 30 DDEDLO N#C[C@@H]1C[N@@H+](Cc2ccccn2)C[C@@]12c1ccccc1NC2=O ZINC000374356364 418523442 /nfs/dbraw/zinc/52/34/42/418523442.db2.gz HTCHCFJDWKPRLC-ACJLOTCBSA-N 1 2 304.353 1.927 20 30 DDEDLO N#C[C@@H]1C[N@H+](Cc2ccccn2)C[C@@]12c1ccccc1NC2=O ZINC000374356364 418523446 /nfs/dbraw/zinc/52/34/46/418523446.db2.gz HTCHCFJDWKPRLC-ACJLOTCBSA-N 1 2 304.353 1.927 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](C)c1nc(-c2cccc(OC)c2)no1 ZINC000185112279 221946543 /nfs/dbraw/zinc/94/65/43/221946543.db2.gz CXOQSMMZYDLLPS-LLVKDONJSA-N 1 2 316.361 1.698 20 30 DDEDLO Cc1c([C@@H]2CCC[N@H+]2CC(=O)N[C@@](C)(C#N)C(C)C)cnn1C ZINC000367263915 418577989 /nfs/dbraw/zinc/57/79/89/418577989.db2.gz WXCQTUKLKRBYKD-RDJZCZTQSA-N 1 2 317.437 1.920 20 30 DDEDLO Cc1c([C@@H]2CCC[N@@H+]2CC(=O)N[C@@](C)(C#N)C(C)C)cnn1C ZINC000367263915 418577990 /nfs/dbraw/zinc/57/79/90/418577990.db2.gz WXCQTUKLKRBYKD-RDJZCZTQSA-N 1 2 317.437 1.920 20 30 DDEDLO CCc1nnc(C2CC[NH+](CC(=O)N(CC)CCC#N)CC2)[nH]1 ZINC000190654880 222084667 /nfs/dbraw/zinc/08/46/67/222084667.db2.gz QPRGRCYTJKHTGV-UHFFFAOYSA-N 1 2 318.425 1.309 20 30 DDEDLO CCc1n[nH]c(C2CC[NH+](CC(=O)N(CC)CCC#N)CC2)n1 ZINC000190654880 222084670 /nfs/dbraw/zinc/08/46/70/222084670.db2.gz QPRGRCYTJKHTGV-UHFFFAOYSA-N 1 2 318.425 1.309 20 30 DDEDLO CCc1nc(C2CC[NH+](CC(=O)N(CC)CCC#N)CC2)n[nH]1 ZINC000190654880 222084671 /nfs/dbraw/zinc/08/46/71/222084671.db2.gz QPRGRCYTJKHTGV-UHFFFAOYSA-N 1 2 318.425 1.309 20 30 DDEDLO C=CCOC[C@H]([NH2+]C1CCN(CC(F)F)CC1)C(=O)OC ZINC000361108396 418585397 /nfs/dbraw/zinc/58/53/97/418585397.db2.gz KDEXZMODWHJSQX-LBPRGKRZSA-N 1 2 306.353 1.050 20 30 DDEDLO C[S@](=O)C1(CNC([O-])=[NH+][C@H]2CCn3cc[nH+]c3C2)CCC1 ZINC000328598637 418605933 /nfs/dbraw/zinc/60/59/33/418605933.db2.gz BHPAYEXFLDQSQW-MQJDWESPSA-N 1 2 310.423 1.003 20 30 DDEDLO C[S@](=O)C1(C[NH+]=C([O-])N[C@H]2CCn3cc[nH+]c3C2)CCC1 ZINC000328598637 418605937 /nfs/dbraw/zinc/60/59/37/418605937.db2.gz BHPAYEXFLDQSQW-MQJDWESPSA-N 1 2 310.423 1.003 20 30 DDEDLO Cc1nc2n(n1)CCN(C([O-])=[NH+]C[C@H]1CCCn3cc[nH+]c31)C2 ZINC000328600990 418606041 /nfs/dbraw/zinc/60/60/41/418606041.db2.gz DSLCMPHPZHJWTB-GFCCVEGCSA-N 1 2 315.381 1.090 20 30 DDEDLO CC1(CNC(=O)Nc2ccn(CC[NH+]3CCOCC3)n2)CCC1 ZINC000330237348 418611135 /nfs/dbraw/zinc/61/11/35/418611135.db2.gz HPNWXXHUEGNNES-UHFFFAOYSA-N 1 2 321.425 1.731 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000266833204 222363487 /nfs/dbraw/zinc/36/34/87/222363487.db2.gz KPNDMQLKXBVXDR-AWEZNQCLSA-N 1 2 301.390 1.750 20 30 DDEDLO CO[C@H]1CC[N@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000267762460 222398048 /nfs/dbraw/zinc/39/80/48/222398048.db2.gz FRNBTTQSOYKEKC-LBPRGKRZSA-N 1 2 305.403 1.961 20 30 DDEDLO CO[C@H]1CC[N@@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000267762460 222398049 /nfs/dbraw/zinc/39/80/49/222398049.db2.gz FRNBTTQSOYKEKC-LBPRGKRZSA-N 1 2 305.403 1.961 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000377697594 418712935 /nfs/dbraw/zinc/71/29/35/418712935.db2.gz OCTHNHDDDWJXJN-GOEBONIOSA-N 1 2 305.399 1.318 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000377697594 418712939 /nfs/dbraw/zinc/71/29/39/418712939.db2.gz OCTHNHDDDWJXJN-GOEBONIOSA-N 1 2 305.399 1.318 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccsc2Cl)CC1 ZINC000361498428 418664217 /nfs/dbraw/zinc/66/42/17/418664217.db2.gz UFERLZRREYVRKU-UHFFFAOYSA-N 1 2 313.854 1.821 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCCN(C(=O)c3ccco3)CC2)C1=O ZINC000369204890 418730338 /nfs/dbraw/zinc/73/03/38/418730338.db2.gz PYTVFBBIFLPQPE-CQSZACIVSA-N 1 2 317.389 1.214 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCCN(C(=O)c3ccco3)CC2)C1=O ZINC000369204890 418730339 /nfs/dbraw/zinc/73/03/39/418730339.db2.gz PYTVFBBIFLPQPE-CQSZACIVSA-N 1 2 317.389 1.214 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@](C)(CC)CCC)CC1 ZINC000369796950 418737684 /nfs/dbraw/zinc/73/76/84/418737684.db2.gz QPSRNQJUUDOFJG-SFHVURJKSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@](C)(CC)CCC)CC1 ZINC000369796950 418737687 /nfs/dbraw/zinc/73/76/87/418737687.db2.gz QPSRNQJUUDOFJG-SFHVURJKSA-N 1 2 321.465 1.487 20 30 DDEDLO C#Cc1ccc(CNc2cnn(CC[NH+]3CCOCC3)c2)cc1 ZINC000370666818 418746478 /nfs/dbraw/zinc/74/64/78/418746478.db2.gz IXJRUCVLMPQGQH-UHFFFAOYSA-N 1 2 310.401 1.809 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000362797851 418759765 /nfs/dbraw/zinc/75/97/65/418759765.db2.gz NCBBWCLKWAOQBJ-GDBMZVCRSA-N 1 2 301.390 1.711 20 30 DDEDLO COc1ccc(CC(=O)N2CCC[N@H+](CCC#N)CC2)cc1 ZINC000363134379 418762457 /nfs/dbraw/zinc/76/24/57/418762457.db2.gz GOEOTXQKDIBIIC-UHFFFAOYSA-N 1 2 301.390 1.686 20 30 DDEDLO COc1ccc(CC(=O)N2CCC[N@@H+](CCC#N)CC2)cc1 ZINC000363134379 418762459 /nfs/dbraw/zinc/76/24/59/418762459.db2.gz GOEOTXQKDIBIIC-UHFFFAOYSA-N 1 2 301.390 1.686 20 30 DDEDLO CCc1nc([C@H]2CCC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000363375765 418764907 /nfs/dbraw/zinc/76/49/07/418764907.db2.gz CBGRAVPQPJYQSV-STQMWFEESA-N 1 2 319.409 1.572 20 30 DDEDLO CCc1nc([C@H]2CCC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000363375765 418764908 /nfs/dbraw/zinc/76/49/08/418764908.db2.gz CBGRAVPQPJYQSV-STQMWFEESA-N 1 2 319.409 1.572 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H](C)CCC)CC1 ZINC000363537543 418767129 /nfs/dbraw/zinc/76/71/29/418767129.db2.gz ZYFOCGLKJOVUEN-HNNXBMFYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H](C)CCC)CC1 ZINC000363537543 418767130 /nfs/dbraw/zinc/76/71/30/418767130.db2.gz ZYFOCGLKJOVUEN-HNNXBMFYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCCCNC(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC000364294765 418775445 /nfs/dbraw/zinc/77/54/45/418775445.db2.gz VHBYIKBVEFZCJB-UHFFFAOYSA-N 1 2 306.435 1.820 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000411181799 418876912 /nfs/dbraw/zinc/87/69/12/418876912.db2.gz PQQTVGWSDHFZGQ-CYBMUJFWSA-N 1 2 308.426 1.176 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000411181799 418876915 /nfs/dbraw/zinc/87/69/15/418876915.db2.gz PQQTVGWSDHFZGQ-CYBMUJFWSA-N 1 2 308.426 1.176 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCO[C@H](COC(C)C)C1 ZINC000372119589 418837697 /nfs/dbraw/zinc/83/76/97/418837697.db2.gz DFLDQJINWAQCPD-HOTGVXAUSA-N 1 2 312.454 1.925 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCO[C@H](COC(C)C)C1 ZINC000372119589 418837699 /nfs/dbraw/zinc/83/76/99/418837699.db2.gz DFLDQJINWAQCPD-HOTGVXAUSA-N 1 2 312.454 1.925 20 30 DDEDLO CNC(=O)CN1CCC[N@H+](CC#Cc2cccc(Cl)c2)CC1 ZINC000372190895 418843511 /nfs/dbraw/zinc/84/35/11/418843511.db2.gz IJVXKJSDIKVVTH-UHFFFAOYSA-N 1 2 319.836 1.445 20 30 DDEDLO CNC(=O)CN1CCC[N@@H+](CC#Cc2cccc(Cl)c2)CC1 ZINC000372190895 418843513 /nfs/dbraw/zinc/84/35/13/418843513.db2.gz IJVXKJSDIKVVTH-UHFFFAOYSA-N 1 2 319.836 1.445 20 30 DDEDLO C=Cn1cc(C[N@@H+]2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000365827897 418913494 /nfs/dbraw/zinc/91/34/94/418913494.db2.gz SFWLCESOADGFTR-KBXCAEBGSA-N 1 2 319.368 1.829 20 30 DDEDLO C=Cn1cc(C[N@H+]2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000365827897 418913495 /nfs/dbraw/zinc/91/34/95/418913495.db2.gz SFWLCESOADGFTR-KBXCAEBGSA-N 1 2 319.368 1.829 20 30 DDEDLO CN(CC(=O)N[C@@](C)(C#N)C1CC1)Cc1cn2c([nH+]1)CCCC2 ZINC000372993394 418919716 /nfs/dbraw/zinc/91/97/16/418919716.db2.gz RFCVWAJKZNGGOZ-KRWDZBQOSA-N 1 2 315.421 1.460 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000411579400 419350971 /nfs/dbraw/zinc/35/09/71/419350971.db2.gz BTBZWXQSNOKWGH-OLZOCXBDSA-N 1 2 303.362 1.405 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)[nH]1 ZINC000412024910 419570907 /nfs/dbraw/zinc/57/09/07/419570907.db2.gz GCPUKGRRKDGOFQ-NORZTCDRSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)[nH]1 ZINC000412024910 419570914 /nfs/dbraw/zinc/57/09/14/419570914.db2.gz GCPUKGRRKDGOFQ-NORZTCDRSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000412024910 419570918 /nfs/dbraw/zinc/57/09/18/419570918.db2.gz GCPUKGRRKDGOFQ-NORZTCDRSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000412024910 419570922 /nfs/dbraw/zinc/57/09/22/419570922.db2.gz GCPUKGRRKDGOFQ-NORZTCDRSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000412024910 419570926 /nfs/dbraw/zinc/57/09/26/419570926.db2.gz GCPUKGRRKDGOFQ-NORZTCDRSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+]([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000412024910 419570930 /nfs/dbraw/zinc/57/09/30/419570930.db2.gz GCPUKGRRKDGOFQ-NORZTCDRSA-N 1 2 318.425 1.345 20 30 DDEDLO CNC(=O)NC1CC[NH+](Cc2c(C#N)cccc2[N+](=O)[O-])CC1 ZINC000412057122 419602625 /nfs/dbraw/zinc/60/26/25/419602625.db2.gz WLMJVLBXVJXKFI-UHFFFAOYSA-N 1 2 317.349 1.360 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CC[C@@H](O)[C@@H](CO)C2)c1C ZINC000412115486 419678940 /nfs/dbraw/zinc/67/89/40/419678940.db2.gz YNWFBBCUNOHQAD-GDBMZVCRSA-N 1 2 306.406 1.149 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CC[C@@H](O)[C@@H](CO)C2)c1C ZINC000412115486 419678946 /nfs/dbraw/zinc/67/89/46/419678946.db2.gz YNWFBBCUNOHQAD-GDBMZVCRSA-N 1 2 306.406 1.149 20 30 DDEDLO COC(=O)[C@@H](C1CC1)[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000428786227 419934076 /nfs/dbraw/zinc/93/40/76/419934076.db2.gz HLOQDTCWVRUZPF-UKRRQHHQSA-N 1 2 301.346 1.358 20 30 DDEDLO COC(=O)[C@@H](C1CC1)[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000428786227 419934081 /nfs/dbraw/zinc/93/40/81/419934081.db2.gz HLOQDTCWVRUZPF-UKRRQHHQSA-N 1 2 301.346 1.358 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2[C@H](C)CN(C(=O)C(C)(C)C)C[C@@H]2C)C1=O ZINC000429381545 420009308 /nfs/dbraw/zinc/00/93/08/420009308.db2.gz NQSLLYNGCMWYLV-QLFBSQMISA-N 1 2 321.465 1.741 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2[C@H](C)CN(C(=O)C(C)(C)C)C[C@@H]2C)C1=O ZINC000429381545 420009314 /nfs/dbraw/zinc/00/93/14/420009314.db2.gz NQSLLYNGCMWYLV-QLFBSQMISA-N 1 2 321.465 1.741 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000429900035 420058580 /nfs/dbraw/zinc/05/85/80/420058580.db2.gz FCMYPCQKMQEDMX-MRXNPFEDSA-N 1 2 312.479 1.913 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000424929950 420324438 /nfs/dbraw/zinc/32/44/38/420324438.db2.gz CRYOIGUJWQTTJY-YESZJQIVSA-N 1 2 324.465 1.831 20 30 DDEDLO N#Cc1ccc([N+](=O)[O-])c(NC[C@H]2C[N@@H+](C3CC3)CCO2)c1 ZINC000302191751 230151564 /nfs/dbraw/zinc/15/15/64/230151564.db2.gz BSMMDZLDUZKQQB-ZDUSSCGKSA-N 1 2 302.334 1.742 20 30 DDEDLO N#Cc1ccc([N+](=O)[O-])c(NC[C@H]2C[N@H+](C3CC3)CCO2)c1 ZINC000302191751 230151570 /nfs/dbraw/zinc/15/15/70/230151570.db2.gz BSMMDZLDUZKQQB-ZDUSSCGKSA-N 1 2 302.334 1.742 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)N1CC[C@H](Oc2ccc[nH+]c2N(C)C)C1 ZINC000450882455 420550990 /nfs/dbraw/zinc/55/09/90/420550990.db2.gz NTTXXDKCRSFUHT-IIYDPXPESA-N 1 2 314.389 1.677 20 30 DDEDLO O=S1(=O)CC[C@@]2(C1)CCC[N@H+](CC#Cc1ccccc1)C2 ZINC000451741976 420610249 /nfs/dbraw/zinc/61/02/49/420610249.db2.gz OYSVZZHFZHGLAT-KRWDZBQOSA-N 1 2 303.427 1.939 20 30 DDEDLO O=S1(=O)CC[C@@]2(C1)CCC[N@@H+](CC#Cc1ccccc1)C2 ZINC000451741976 420610251 /nfs/dbraw/zinc/61/02/51/420610251.db2.gz OYSVZZHFZHGLAT-KRWDZBQOSA-N 1 2 303.427 1.939 20 30 DDEDLO N#Cc1ccc(C(=O)Nc2ccn(-c3cc[nH+]cc3)n2)cc1O ZINC000442660212 420696050 /nfs/dbraw/zinc/69/60/50/420696050.db2.gz ZFDMTLQUKCZDPQ-UHFFFAOYSA-N 1 2 305.297 2.097 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)CNc1ccc(C#N)cc1 ZINC000447656674 420791718 /nfs/dbraw/zinc/79/17/18/420791718.db2.gz AYHIBKZVFXGLCH-AWEZNQCLSA-N 1 2 316.405 1.149 20 30 DDEDLO C=C(CC)CNC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000454237189 420821855 /nfs/dbraw/zinc/82/18/55/420821855.db2.gz WGZLYWCHPMLFSM-UHFFFAOYSA-N 1 2 304.394 1.684 20 30 DDEDLO COc1ccc(OC)c(/C=C/C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000493385241 420835198 /nfs/dbraw/zinc/83/51/98/420835198.db2.gz MLUKGTQLRPTLNM-NADMHLTPSA-N 1 2 317.389 1.677 20 30 DDEDLO C=CCC[C@H]([NH2+][C@H]1CCC[C@H](S(C)(=O)=O)C1)C(=O)OCC ZINC000449655235 421047019 /nfs/dbraw/zinc/04/70/19/421047019.db2.gz GWLXGCOGYUDAKS-IHRRRGAJSA-N 1 2 317.451 1.830 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000456198606 421117020 /nfs/dbraw/zinc/11/70/20/421117020.db2.gz FSTDRCGFZQYDJZ-OKILXGFUSA-N 1 2 317.389 1.239 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000456198606 421117023 /nfs/dbraw/zinc/11/70/23/421117023.db2.gz FSTDRCGFZQYDJZ-OKILXGFUSA-N 1 2 317.389 1.239 20 30 DDEDLO C#CC[N@@H+](CCS(=O)(=O)N(C)C)[C@H]1CCc2ccccc21 ZINC000491210794 421195444 /nfs/dbraw/zinc/19/54/44/421195444.db2.gz YVYIEUWSGLZBJH-INIZCTEOSA-N 1 2 306.431 1.501 20 30 DDEDLO C#CC[N@H+](CCS(=O)(=O)N(C)C)[C@H]1CCc2ccccc21 ZINC000491210794 421195445 /nfs/dbraw/zinc/19/54/45/421195445.db2.gz YVYIEUWSGLZBJH-INIZCTEOSA-N 1 2 306.431 1.501 20 30 DDEDLO CCc1cc(CCC[N@H+](CCC#N)CCN2CCOCC2)on1 ZINC000450462894 421200761 /nfs/dbraw/zinc/20/07/61/421200761.db2.gz KGEAPUCWVIDULZ-UHFFFAOYSA-N 1 2 320.437 1.717 20 30 DDEDLO CCc1cc(CCC[N@@H+](CCC#N)CCN2CCOCC2)on1 ZINC000450462894 421200763 /nfs/dbraw/zinc/20/07/63/421200763.db2.gz KGEAPUCWVIDULZ-UHFFFAOYSA-N 1 2 320.437 1.717 20 30 DDEDLO CCc1cc(CCCN(CCC#N)CC[NH+]2CCOCC2)on1 ZINC000450462894 421200765 /nfs/dbraw/zinc/20/07/65/421200765.db2.gz KGEAPUCWVIDULZ-UHFFFAOYSA-N 1 2 320.437 1.717 20 30 DDEDLO CC1(C#N)CC[NH+]([C@H]2CC(=O)N(CC(F)(F)F)C2=O)CC1 ZINC000514991003 421470309 /nfs/dbraw/zinc/47/03/09/421470309.db2.gz HWRQYAHUQONGJV-VIFPVBQESA-N 1 2 303.284 1.302 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1ccccc1OC(F)F ZINC000563219971 421474540 /nfs/dbraw/zinc/47/45/40/421474540.db2.gz CSQHGIYVRAABME-HNNXBMFYSA-N 1 2 311.332 1.791 20 30 DDEDLO C[C@H](c1nccc(N)n1)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000564156549 421571921 /nfs/dbraw/zinc/57/19/21/421571921.db2.gz YEHNVRPCNXRGOQ-CQSZACIVSA-N 1 2 322.416 1.809 20 30 DDEDLO COC(=O)c1ccc(NCC(C)(C)[NH+]2CCOCC2)c(C#N)n1 ZINC000517261430 421606783 /nfs/dbraw/zinc/60/67/83/421606783.db2.gz NVNSTTCVPWQWTL-UHFFFAOYSA-N 1 2 318.377 1.263 20 30 DDEDLO N#Cc1c(NCc2[nH+]ccn2CC(F)(F)F)n[nH]c1C1CC1 ZINC000567157405 421610545 /nfs/dbraw/zinc/61/05/45/421610545.db2.gz CVSZKCJHKSSPBW-UHFFFAOYSA-N 1 2 310.283 2.530 20 30 DDEDLO Cc1ccc2ncc(C#N)c(NCC[N@H+]3CCOC[C@H]3C)c2c1 ZINC000517653013 421622251 /nfs/dbraw/zinc/62/22/51/421622251.db2.gz SNVDALQEYAITCG-CQSZACIVSA-N 1 2 310.401 1.969 20 30 DDEDLO Cc1ccc2ncc(C#N)c(NCC[N@@H+]3CCOC[C@H]3C)c2c1 ZINC000517653013 421622254 /nfs/dbraw/zinc/62/22/54/421622254.db2.gz SNVDALQEYAITCG-CQSZACIVSA-N 1 2 310.401 1.969 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)CCO1 ZINC000531270116 421628877 /nfs/dbraw/zinc/62/88/77/421628877.db2.gz UQMITGWEAMSZOP-SNVBAGLBSA-N 1 2 309.316 1.287 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)CCO1 ZINC000531270116 421628879 /nfs/dbraw/zinc/62/88/79/421628879.db2.gz UQMITGWEAMSZOP-SNVBAGLBSA-N 1 2 309.316 1.287 20 30 DDEDLO C[C@H]([NH2+]Cc1nnnn1C1CCOCC1)c1ccc(C#N)cc1 ZINC000554841447 421662752 /nfs/dbraw/zinc/66/27/52/421662752.db2.gz HYFMNCUCJGZBHX-LBPRGKRZSA-N 1 2 312.377 1.747 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3nc4ccccn4c3C#N)CC2)cn1 ZINC000542041259 421817555 /nfs/dbraw/zinc/81/75/55/421817555.db2.gz HJXVGSWVNDVWNU-UHFFFAOYSA-N 1 2 321.388 1.262 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(S(=O)(=O)CC2(C#N)CCC2)C1 ZINC000520894660 421766901 /nfs/dbraw/zinc/76/69/01/421766901.db2.gz KPKIIKZTCRBDEZ-GFCCVEGCSA-N 1 2 308.407 1.233 20 30 DDEDLO C=CCSCCNc1nc(NCCO)c2ccccc2[nH+]1 ZINC000540960857 421780247 /nfs/dbraw/zinc/78/02/47/421780247.db2.gz XXEMFLSDMKBOPV-UHFFFAOYSA-N 1 2 304.419 1.209 20 30 DDEDLO N#CCC1CCN(c2nc(NCCO)c3ccccc3[nH+]2)CC1 ZINC000573516927 421975398 /nfs/dbraw/zinc/97/53/98/421975398.db2.gz BZPATPWAOLTAKX-UHFFFAOYSA-N 1 2 311.389 2.164 20 30 DDEDLO COC(=O)CC1([NH2+]Cc2nnc(-c3ccc(C#N)cc3)o2)CC1 ZINC000581827176 422049367 /nfs/dbraw/zinc/04/93/67/422049367.db2.gz GQEMUKWROUAWQV-UHFFFAOYSA-N 1 2 312.329 1.794 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC000594371834 422345928 /nfs/dbraw/zinc/34/59/28/422345928.db2.gz GFWDUNWNJLXBAG-SNVBAGLBSA-N 1 2 308.345 2.283 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC000594371834 422345935 /nfs/dbraw/zinc/34/59/35/422345935.db2.gz GFWDUNWNJLXBAG-SNVBAGLBSA-N 1 2 308.345 2.283 20 30 DDEDLO C=CC[C@H](CO)NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635797351 422353185 /nfs/dbraw/zinc/35/31/85/422353185.db2.gz RZBYEFSOMRNYBP-ZBFHGGJFSA-N 1 2 304.390 1.323 20 30 DDEDLO C=CC[C@H](CO)NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635797351 422353189 /nfs/dbraw/zinc/35/31/89/422353189.db2.gz RZBYEFSOMRNYBP-ZBFHGGJFSA-N 1 2 304.390 1.323 20 30 DDEDLO CCc1nc(C#N)cc(NCCc2cn3c(cccc3C)[nH+]2)n1 ZINC000596288627 422374410 /nfs/dbraw/zinc/37/44/10/422374410.db2.gz DKLPUDRMRGUDOL-UHFFFAOYSA-N 1 2 306.373 2.521 20 30 DDEDLO C=CCCOCC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000634302739 422378918 /nfs/dbraw/zinc/37/89/18/422378918.db2.gz RJLSZFOSUUAECM-UHFFFAOYSA-N 1 2 321.446 1.844 20 30 DDEDLO CCOc1ccccc1CCC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000577956037 422465415 /nfs/dbraw/zinc/46/54/15/422465415.db2.gz PJGKJGSGURASLQ-QGZVFWFLSA-N 1 2 303.406 1.978 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N[C@@H](C)c2cccs2)nn1 ZINC000640852106 423231603 /nfs/dbraw/zinc/23/16/03/423231603.db2.gz OCZFQJUISWRMRI-LBPRGKRZSA-N 1 2 317.418 1.330 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc(-c3cccc(C)c3)no2)nn1 ZINC000640948326 423291680 /nfs/dbraw/zinc/29/16/80/423291680.db2.gz WLCSDAZNRBMBQZ-UHFFFAOYSA-N 1 2 322.372 1.798 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@]2(CO)CCOc3ccccc32)nn1 ZINC000653661456 423569771 /nfs/dbraw/zinc/56/97/71/423569771.db2.gz SMFBVETVTNQWEL-KRWDZBQOSA-N 1 2 314.389 1.614 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCOC3(CCOCC3)CC2)nn1 ZINC000653619037 423551421 /nfs/dbraw/zinc/55/14/21/423551421.db2.gz GULWQXKAZRYZOL-UHFFFAOYSA-N 1 2 306.410 1.626 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCOC3(CCOCC3)CC2)nn1 ZINC000653619037 423551424 /nfs/dbraw/zinc/55/14/24/423551424.db2.gz GULWQXKAZRYZOL-UHFFFAOYSA-N 1 2 306.410 1.626 20 30 DDEDLO C=C[C@H](O)C(=O)NC[C@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000646669328 423568986 /nfs/dbraw/zinc/56/89/86/423568986.db2.gz IQJRFJIQWKZOPE-CVEARBPZSA-N 1 2 304.390 1.031 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000645149120 424189928 /nfs/dbraw/zinc/18/99/28/424189928.db2.gz KVGFLJURCYFBET-LBPRGKRZSA-N 1 2 320.780 1.561 20 30 DDEDLO CC(=O)c1ccccc1OCC[NH+]1CCN(CCC#N)CC1 ZINC000640139522 424215545 /nfs/dbraw/zinc/21/55/45/424215545.db2.gz LRYXMDWNQRMLBL-UHFFFAOYSA-N 1 2 301.390 1.799 20 30 DDEDLO C=CCN(C(=O)NCC[N@@H+]1CCOC(C)(C)C1)[C@@H](C)COC ZINC000663898956 424266563 /nfs/dbraw/zinc/26/65/63/424266563.db2.gz JKXJHTPAPILYRR-AWEZNQCLSA-N 1 2 313.442 1.330 20 30 DDEDLO C=CCN(C(=O)NCC[N@H+]1CCOC(C)(C)C1)[C@@H](C)COC ZINC000663898956 424266570 /nfs/dbraw/zinc/26/65/70/424266570.db2.gz JKXJHTPAPILYRR-AWEZNQCLSA-N 1 2 313.442 1.330 20 30 DDEDLO CC(=O)c1cccc(OC[C@@H](O)C[N@@H+]2CC[C@@](C)(C#N)C2)c1 ZINC000662081215 424377681 /nfs/dbraw/zinc/37/76/81/424377681.db2.gz WIABWKXFNIQLFG-RDJZCZTQSA-N 1 2 302.374 1.864 20 30 DDEDLO CC(=O)c1cccc(OC[C@@H](O)C[N@H+]2CC[C@@](C)(C#N)C2)c1 ZINC000662081215 424377689 /nfs/dbraw/zinc/37/76/89/424377689.db2.gz WIABWKXFNIQLFG-RDJZCZTQSA-N 1 2 302.374 1.864 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000660880721 424801491 /nfs/dbraw/zinc/80/14/91/424801491.db2.gz BQGBSLDGZACCLW-RDJZCZTQSA-N 1 2 316.449 1.676 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000660880721 424801493 /nfs/dbraw/zinc/80/14/93/424801493.db2.gz BQGBSLDGZACCLW-RDJZCZTQSA-N 1 2 316.449 1.676 20 30 DDEDLO CCNC(=O)CN1CC[NH+](Cc2ccc(C#N)c(C)c2)CC1 ZINC000362969630 266163277 /nfs/dbraw/zinc/16/32/77/266163277.db2.gz NYMPVZNTPUQSDA-UHFFFAOYSA-N 1 2 300.406 1.120 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(Cc2ccc(C#N)c(C)c2)CC1 ZINC000362969630 266163280 /nfs/dbraw/zinc/16/32/80/266163280.db2.gz NYMPVZNTPUQSDA-UHFFFAOYSA-N 1 2 300.406 1.120 20 30 DDEDLO CCCCOCCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000516785701 267010335 /nfs/dbraw/zinc/01/03/35/267010335.db2.gz SOUWXXBKYYZLPE-UHFFFAOYSA-N 1 2 319.405 1.369 20 30 DDEDLO C[C@@H]1C[C@@H](CO)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000398662635 267077769 /nfs/dbraw/zinc/07/77/69/267077769.db2.gz PBHNPTWDEUAQIP-UKRRQHHQSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]1C[C@@H](CO)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000398662635 267077771 /nfs/dbraw/zinc/07/77/71/267077771.db2.gz PBHNPTWDEUAQIP-UKRRQHHQSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H](C[S@@](C)=O)[N@H+](C)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000342631612 267167290 /nfs/dbraw/zinc/16/72/90/267167290.db2.gz GGIPPHMYSRXXGP-RKFFSXRUSA-N 1 2 318.402 1.807 20 30 DDEDLO C[C@H](C[S@@](C)=O)[N@@H+](C)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000342631612 267167296 /nfs/dbraw/zinc/16/72/96/267167296.db2.gz GGIPPHMYSRXXGP-RKFFSXRUSA-N 1 2 318.402 1.807 20 30 DDEDLO Cc1cc(N2CCC(n3cnc(C#N)n3)CC2)nc(C2CC2)[nH+]1 ZINC000366480212 267335886 /nfs/dbraw/zinc/33/58/86/267335886.db2.gz QMNYDQIAXCZPMD-UHFFFAOYSA-N 1 2 309.377 1.967 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+]([C@@H](C)c3ccccn3)CC2)c1C#N ZINC000530360892 268091370 /nfs/dbraw/zinc/09/13/70/268091370.db2.gz FRBVKDYIVNXNEY-AWEZNQCLSA-N 1 2 310.405 1.878 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CC[C@H]3OCC[C@H]3C2)cc1 ZINC000369652599 268188370 /nfs/dbraw/zinc/18/83/70/268188370.db2.gz DNHPLPGKLNRFFI-GOEBONIOSA-N 1 2 320.414 1.443 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CC[C@H]3OCC[C@H]3C2)cc1 ZINC000369652599 268188374 /nfs/dbraw/zinc/18/83/74/268188374.db2.gz DNHPLPGKLNRFFI-GOEBONIOSA-N 1 2 320.414 1.443 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[NH+]2CC([C@@H]3CCOC3)C2)c1 ZINC000366505534 268275826 /nfs/dbraw/zinc/27/58/26/268275826.db2.gz OTBAUSGTSJXZAC-CQSZACIVSA-N 1 2 320.414 1.300 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccnc(-c3ccccc3)n2)CC1 ZINC001120597906 782047762 /nfs/dbraw/zinc/04/77/62/782047762.db2.gz YHYZXGCFTZXJPK-UHFFFAOYSA-N 1 2 320.396 1.925 20 30 DDEDLO C#CCN(C)C(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000490740929 277679931 /nfs/dbraw/zinc/67/99/31/277679931.db2.gz RRKWWLZACYMINC-CZUORRHYSA-N 1 2 316.405 1.201 20 30 DDEDLO C=C(C)CS(=O)(=O)N[C@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000373819531 277780935 /nfs/dbraw/zinc/78/09/35/277780935.db2.gz LPXYQKFMIHNFDB-KBPBESRZSA-N 1 2 302.440 1.125 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@H]([NH+]2CCOCC2)[C@@H]1C ZINC000355440119 277792257 /nfs/dbraw/zinc/79/22/57/277792257.db2.gz LJKITXDWWWWXMN-VGWMRTNUSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@H]1C ZINC000368243316 277806160 /nfs/dbraw/zinc/80/61/60/277806160.db2.gz LJKITXDWWWWXMN-KLHDSHLOSA-N 1 2 308.422 1.289 20 30 DDEDLO CCN1CC[NH+]([C@H]2CCN(C(=O)N[C@@H]3CC[C@H](C#N)C3)C2)CC1 ZINC000333424526 279371423 /nfs/dbraw/zinc/37/14/23/279371423.db2.gz SJFKTGFASUGPCB-XHSDSOJGSA-N 1 2 319.453 1.100 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccccc1C#N ZINC000451931039 288285125 /nfs/dbraw/zinc/28/51/25/288285125.db2.gz KIVIPKQISFQLKO-AWEZNQCLSA-N 1 2 307.419 1.663 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccccc1C#N ZINC000451931039 288285127 /nfs/dbraw/zinc/28/51/27/288285127.db2.gz KIVIPKQISFQLKO-AWEZNQCLSA-N 1 2 307.419 1.663 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1ncc(C#N)cc1[N+](=O)[O-] ZINC000295727448 288527718 /nfs/dbraw/zinc/52/77/18/288527718.db2.gz VJGQUBVCZIMWFQ-DGCLKSJQSA-N 1 2 317.349 1.161 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1c1nccc(C#N)c1[N+](=O)[O-] ZINC000291191826 289048825 /nfs/dbraw/zinc/04/88/25/289048825.db2.gz SWGGXINAFXIAFW-DGCLKSJQSA-N 1 2 317.349 1.161 20 30 DDEDLO C[C@H](CN1CC[NH+](C)CC1)NC(=O)c1cc(O)ccc1[N+](=O)[O-] ZINC000330691749 289599000 /nfs/dbraw/zinc/59/90/00/289599000.db2.gz BFLMXIYSFTWPCI-LLVKDONJSA-N 1 2 322.365 1.241 20 30 DDEDLO [O-]C(=[NH+][C@H]1CCc2[nH]c[nH+]c2C1)N1CCOC2(CCOCC2)C1 ZINC000329746113 295387586 /nfs/dbraw/zinc/38/75/86/295387586.db2.gz YSRQBNKTESEZOH-LBPRGKRZSA-N 1 2 320.393 1.062 20 30 DDEDLO [O-]C(=[NH+][C@H]1CCc2[nH+]c[nH]c2C1)N1CCOC2(CCOCC2)C1 ZINC000329746113 295387587 /nfs/dbraw/zinc/38/75/87/295387587.db2.gz YSRQBNKTESEZOH-LBPRGKRZSA-N 1 2 320.393 1.062 20 30 DDEDLO [O-]C(=[NH+][C@H]1CCc2[nH]c[nH+]c2C1)N1CCOCC2(CCC2)C1 ZINC000329755611 295387698 /nfs/dbraw/zinc/38/76/98/295387698.db2.gz YEEIZVNIBOKNHC-LBPRGKRZSA-N 1 2 304.394 1.684 20 30 DDEDLO [O-]C(=[NH+][C@H]1CCc2[nH+]c[nH]c2C1)N1CCOCC2(CCC2)C1 ZINC000329755611 295387699 /nfs/dbraw/zinc/38/76/99/295387699.db2.gz YEEIZVNIBOKNHC-LBPRGKRZSA-N 1 2 304.394 1.684 20 30 DDEDLO C[N@H+](CCCc1[nH]nc(N)c1C#N)Cc1noc(C2CC2)n1 ZINC000162706234 298201449 /nfs/dbraw/zinc/20/14/49/298201449.db2.gz QLSXNEYXGDDFRW-UHFFFAOYSA-N 1 2 301.354 1.189 20 30 DDEDLO C[N@@H+](CCCc1[nH]nc(N)c1C#N)Cc1noc(C2CC2)n1 ZINC000162706234 298201451 /nfs/dbraw/zinc/20/14/51/298201451.db2.gz QLSXNEYXGDDFRW-UHFFFAOYSA-N 1 2 301.354 1.189 20 30 DDEDLO N#Cc1ccc(F)c(CS(=O)(=O)N2CCc3c[nH+]ccc32)c1 ZINC000164274652 298211967 /nfs/dbraw/zinc/21/19/67/298211967.db2.gz HMCJNWXWVBZBKM-UHFFFAOYSA-N 1 2 317.345 1.985 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)Nc1cnn(-c2ncccc2C#N)c1 ZINC000373823359 301733796 /nfs/dbraw/zinc/73/37/96/301733796.db2.gz CJZWFULWXLXHCU-UHFFFAOYSA-N 1 2 321.344 1.444 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)nc1Cl ZINC000366290307 307070183 /nfs/dbraw/zinc/07/01/83/307070183.db2.gz UHFGPPCQAXOORC-SECBINFHSA-N 1 2 301.737 1.617 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)nc1Cl ZINC000366290307 307070184 /nfs/dbraw/zinc/07/01/84/307070184.db2.gz UHFGPPCQAXOORC-SECBINFHSA-N 1 2 301.737 1.617 20 30 DDEDLO C[C@@H](CC(=O)N1CC(=O)Nc2ccc(C#N)cc21)n1cc[nH+]c1 ZINC000564804851 308006837 /nfs/dbraw/zinc/00/68/37/308006837.db2.gz XBZONBDWUYLBNJ-NSHDSACASA-N 1 2 309.329 1.691 20 30 DDEDLO C[C@H]1CN(c2ccc(Nc3ccnc(C#N)n3)c[nH+]2)C[C@H](C)O1 ZINC000568533779 308122505 /nfs/dbraw/zinc/12/25/05/308122505.db2.gz WSBPUGADRBEDAS-RYUDHWBXSA-N 1 2 310.361 1.522 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCCNC(=O)c1ccsc1 ZINC000558898619 331955898 /nfs/dbraw/zinc/95/58/98/331955898.db2.gz IEYLMVDGLBXDNB-HNNXBMFYSA-N 1 2 322.434 1.218 20 30 DDEDLO C#CCN(Cc1c[nH+]c2c(C)cccn12)[C@@H]1CCS(=O)(=O)C1 ZINC000092194034 332115986 /nfs/dbraw/zinc/11/59/86/332115986.db2.gz LJUBVSVDCNRNIA-CQSZACIVSA-N 1 2 317.414 1.265 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCO[C@H](c2cccc(F)c2)C1 ZINC000092795832 332130911 /nfs/dbraw/zinc/13/09/11/332130911.db2.gz AVIMTYIZIMTIBK-INIZCTEOSA-N 1 2 319.380 1.961 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCO[C@H](c2cccc(F)c2)C1 ZINC000092795832 332130912 /nfs/dbraw/zinc/13/09/12/332130912.db2.gz AVIMTYIZIMTIBK-INIZCTEOSA-N 1 2 319.380 1.961 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@@H]1CCCN(CC(F)(F)F)C1 ZINC000574379950 332354245 /nfs/dbraw/zinc/35/42/45/332354245.db2.gz BSAWPLSARIQJPY-DGCLKSJQSA-N 1 2 320.359 1.221 20 30 DDEDLO Cc1cccc(-n2cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)nn2)c1 ZINC000562322911 332577231 /nfs/dbraw/zinc/57/72/31/332577231.db2.gz ZNFOKBQOKTVOQN-INIZCTEOSA-N 1 2 312.377 1.149 20 30 DDEDLO CC(=O)Nc1cccc(C[NH+]2CCN(C(=O)CC#N)CC2)c1 ZINC000570341877 332741506 /nfs/dbraw/zinc/74/15/06/332741506.db2.gz UFAVYIYOPUQYKM-UHFFFAOYSA-N 1 2 300.362 1.203 20 30 DDEDLO C#CC[C@@H]([NH2+]C1CCC(NC(=O)OC(C)(C)C)CC1)C(=O)OC ZINC000497312178 333235086 /nfs/dbraw/zinc/23/50/86/333235086.db2.gz HZXKEJCEKXJWKJ-JXQTWKCFSA-N 1 2 324.421 1.977 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@H+](C)[C@H]1CCNC1=O ZINC000340223280 334151691 /nfs/dbraw/zinc/15/16/91/334151691.db2.gz QACXKQLSPXARFG-JSGCOSHPSA-N 1 2 321.446 1.472 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@@H+](C)[C@H]1CCNC1=O ZINC000340223280 334151692 /nfs/dbraw/zinc/15/16/92/334151692.db2.gz QACXKQLSPXARFG-JSGCOSHPSA-N 1 2 321.446 1.472 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000340235191 334153729 /nfs/dbraw/zinc/15/37/29/334153729.db2.gz LIPVWHSMVPOKGY-CYBMUJFWSA-N 1 2 318.421 1.625 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@@]2(CCCCO2)[C@@H]1C#N ZINC000548728900 341270934 /nfs/dbraw/zinc/27/09/34/341270934.db2.gz QDRCPTFQQUYPHX-HOCLYGCPSA-N 1 2 302.378 1.416 20 30 DDEDLO C=CCN1C(=O)N(CCn2cc[nH+]c2)C(=O)[C@H]1Cc1ccccc1 ZINC000349274273 341967824 /nfs/dbraw/zinc/96/78/24/341967824.db2.gz MCGMUYWYBZDZCD-MRXNPFEDSA-N 1 2 324.384 1.945 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)C(=O)N2CCn3c[nH+]cc3C2)C1 ZINC000658811965 484490939 /nfs/dbraw/zinc/49/09/39/484490939.db2.gz RGYRWGTWBTXXSL-CYBMUJFWSA-N 1 2 302.378 1.040 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1C[C@H](CO)OC[C@H]1C ZINC000361685854 484524189 /nfs/dbraw/zinc/52/41/89/484524189.db2.gz GUSDREOXFMRNPK-DJIMGWMZSA-N 1 2 321.417 1.236 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1C[C@H](CO)OC[C@H]1C ZINC000361685854 484524193 /nfs/dbraw/zinc/52/41/93/484524193.db2.gz GUSDREOXFMRNPK-DJIMGWMZSA-N 1 2 321.417 1.236 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)Nc1cc[nH+]cc1N(C)C ZINC000670082752 484750240 /nfs/dbraw/zinc/75/02/40/484750240.db2.gz XAOBDRLUNVAVGN-DOMZBBRYSA-N 1 2 304.394 1.672 20 30 DDEDLO C=CCCNC(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000661071359 484888134 /nfs/dbraw/zinc/88/81/34/484888134.db2.gz IKVHKFUEZVIZSW-UHFFFAOYSA-N 1 2 311.426 1.132 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000668091006 484946761 /nfs/dbraw/zinc/94/67/61/484946761.db2.gz XRBBQNVNFMSQKC-KBPBESRZSA-N 1 2 304.394 1.587 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000668191141 485015451 /nfs/dbraw/zinc/01/54/51/485015451.db2.gz YUFLNJGIPYGFNY-LSDHHAIUSA-N 1 2 304.394 1.518 20 30 DDEDLO C=C[C@@H](O)C(=O)N[C@@H]1CCC[N@H+](Cc2c(F)cccc2F)C1 ZINC000668571160 485219912 /nfs/dbraw/zinc/21/99/12/485219912.db2.gz CHEQHZIGSKXKOM-IAQYHMDHSA-N 1 2 310.344 1.592 20 30 DDEDLO C=C[C@@H](O)C(=O)N[C@@H]1CCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC000668571160 485219917 /nfs/dbraw/zinc/21/99/17/485219917.db2.gz CHEQHZIGSKXKOM-IAQYHMDHSA-N 1 2 310.344 1.592 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000673469604 485415322 /nfs/dbraw/zinc/41/53/22/485415322.db2.gz NJXVFKJRUHGQTJ-UONOGXRCSA-N 1 2 322.409 1.379 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000673469604 485415324 /nfs/dbraw/zinc/41/53/24/485415324.db2.gz NJXVFKJRUHGQTJ-UONOGXRCSA-N 1 2 322.409 1.379 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@H](c1cccs1)[NH+]1CCN(C)CC1 ZINC000674971900 485835031 /nfs/dbraw/zinc/83/50/31/485835031.db2.gz NQHXSWCATVQWIY-ZIAGYGMSSA-N 1 2 322.478 1.910 20 30 DDEDLO COCC#CC[N@@H+]1CCc2c(cccc2NS(C)(=O)=O)C1 ZINC000677818210 486548833 /nfs/dbraw/zinc/54/88/33/486548833.db2.gz HNLYTDNSYFKQOK-UHFFFAOYSA-N 1 2 308.403 1.066 20 30 DDEDLO COCC#CC[N@H+]1CCc2c(cccc2NS(C)(=O)=O)C1 ZINC000677818210 486548835 /nfs/dbraw/zinc/54/88/35/486548835.db2.gz HNLYTDNSYFKQOK-UHFFFAOYSA-N 1 2 308.403 1.066 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@]1(C)CO ZINC000595875521 490372576 /nfs/dbraw/zinc/37/25/76/490372576.db2.gz QIZKJMWMNYEZBB-CJNGLKHVSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@]1(C)CO ZINC000595875521 490372582 /nfs/dbraw/zinc/37/25/82/490372582.db2.gz QIZKJMWMNYEZBB-CJNGLKHVSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H](CNS(=O)(=O)c1ccc(C#N)c(F)c1)Cn1cc[nH+]c1 ZINC000414461114 534075040 /nfs/dbraw/zinc/07/50/40/534075040.db2.gz RPSLGNOIJXPQGP-NSHDSACASA-N 1 2 322.365 1.508 20 30 DDEDLO C=CC[N@@H+](CCC(C)(C)C(=O)OC)[C@@H]1CCS(=O)(=O)C1 ZINC000338548264 526273769 /nfs/dbraw/zinc/27/37/69/526273769.db2.gz HYELCCHIUUZOQV-GFCCVEGCSA-N 1 2 303.424 1.251 20 30 DDEDLO C=CC[N@H+](CCC(C)(C)C(=O)OC)[C@@H]1CCS(=O)(=O)C1 ZINC000338548264 526273771 /nfs/dbraw/zinc/27/37/71/526273771.db2.gz HYELCCHIUUZOQV-GFCCVEGCSA-N 1 2 303.424 1.251 20 30 DDEDLO C=CC[N@H+](Cc1ccc(-c2cc[nH]n2)o1)[C@@H]1CCS(=O)(=O)C1 ZINC000435130632 526332657 /nfs/dbraw/zinc/33/26/57/526332657.db2.gz QQURPMCQSQOPIS-GFCCVEGCSA-N 1 2 321.402 1.845 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(-c2cc[nH]n2)o1)[C@@H]1CCS(=O)(=O)C1 ZINC000435130632 526332659 /nfs/dbraw/zinc/33/26/59/526332659.db2.gz QQURPMCQSQOPIS-GFCCVEGCSA-N 1 2 321.402 1.845 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2cc(C)ccc2C)C1 ZINC000330958361 526400741 /nfs/dbraw/zinc/40/07/41/526400741.db2.gz WSEZIYRFJFYDBH-UONOGXRCSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2cc(C)ccc2C)C1 ZINC000330958361 526400747 /nfs/dbraw/zinc/40/07/47/526400747.db2.gz WSEZIYRFJFYDBH-UONOGXRCSA-N 1 2 318.421 1.838 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccccc2C(C)C)C1 ZINC000330943992 526403217 /nfs/dbraw/zinc/40/32/17/526403217.db2.gz HYAZXQYQBIAYFF-ZDUSSCGKSA-N 1 2 318.421 1.956 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccccc2C(C)C)C1 ZINC000330943992 526403220 /nfs/dbraw/zinc/40/32/20/526403220.db2.gz HYAZXQYQBIAYFF-ZDUSSCGKSA-N 1 2 318.421 1.956 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)N2CCCCCC2)C1=O ZINC000337238084 526472544 /nfs/dbraw/zinc/47/25/44/526472544.db2.gz ZDXBGBGIWCBHAT-LSDHHAIUSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)N2CCCCCC2)C1=O ZINC000337238084 526472547 /nfs/dbraw/zinc/47/25/47/526472547.db2.gz ZDXBGBGIWCBHAT-LSDHHAIUSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(C)Cc2cccs2)C1=O ZINC000337233777 526499649 /nfs/dbraw/zinc/49/96/49/526499649.db2.gz WOEAUPNCIKYOEQ-AWEZNQCLSA-N 1 2 321.446 1.425 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(C)Cc2cccs2)C1=O ZINC000337233777 526499652 /nfs/dbraw/zinc/49/96/52/526499652.db2.gz WOEAUPNCIKYOEQ-AWEZNQCLSA-N 1 2 321.446 1.425 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N(C)C2CCCCC2)C1=O ZINC000337218299 526504160 /nfs/dbraw/zinc/50/41/60/526504160.db2.gz IWLDNTVUMWFIGE-ZBFHGGJFSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N(C)C2CCCCC2)C1=O ZINC000337218299 526504167 /nfs/dbraw/zinc/50/41/67/526504167.db2.gz IWLDNTVUMWFIGE-ZBFHGGJFSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@H](c2ccccc2)[C@](F)(CO)C1 ZINC000451275861 526635274 /nfs/dbraw/zinc/63/52/74/526635274.db2.gz YLWRTIKPSFMFIX-YSVLISHTSA-N 1 2 306.381 1.477 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@H](c2ccccc2)[C@](F)(CO)C1 ZINC000451275861 526635276 /nfs/dbraw/zinc/63/52/76/526635276.db2.gz YLWRTIKPSFMFIX-YSVLISHTSA-N 1 2 306.381 1.477 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000342045046 526711150 /nfs/dbraw/zinc/71/11/50/526711150.db2.gz WVFYFFXNNIKWEO-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCOC[C@@H]1NC(=O)N(Cc2cn3ccc(C)cc3[nH+]2)C1=O ZINC000348478915 526799193 /nfs/dbraw/zinc/79/91/93/526799193.db2.gz PHVNBYBUUPOXBU-ZDUSSCGKSA-N 1 2 314.345 1.266 20 30 DDEDLO C#CCN(C)c1cnc(-c2noc(C[C@H](C)n3cc[nH+]c3)n2)cn1 ZINC000491494874 526833699 /nfs/dbraw/zinc/83/36/99/526833699.db2.gz FTGRAIPGFZBHRA-LBPRGKRZSA-N 1 2 323.360 1.596 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000346292878 526869682 /nfs/dbraw/zinc/86/96/82/526869682.db2.gz KFSGOQQCGCBUGP-AWEZNQCLSA-N 1 2 324.446 1.717 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000346292878 526869686 /nfs/dbraw/zinc/86/96/86/526869686.db2.gz KFSGOQQCGCBUGP-AWEZNQCLSA-N 1 2 324.446 1.717 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000330464104 526901194 /nfs/dbraw/zinc/90/11/94/526901194.db2.gz UOXVFPYHUGLCFG-WBMJQRKESA-N 1 2 314.389 1.486 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000330464104 526901199 /nfs/dbraw/zinc/90/11/99/526901199.db2.gz UOXVFPYHUGLCFG-WBMJQRKESA-N 1 2 314.389 1.486 20 30 DDEDLO C#CC[N@@H+](C[C@H](O)CCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000490937097 526903100 /nfs/dbraw/zinc/90/31/00/526903100.db2.gz SGWQEBSJWZKBCH-DLBZAZTESA-N 1 2 321.442 1.102 20 30 DDEDLO C#CC[N@H+](C[C@H](O)CCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000490937097 526903102 /nfs/dbraw/zinc/90/31/02/526903102.db2.gz SGWQEBSJWZKBCH-DLBZAZTESA-N 1 2 321.442 1.102 20 30 DDEDLO C#CCN1CCN(C(=O)C[NH2+][C@H](C)c2c(F)cccc2F)CC1 ZINC000490924752 526950473 /nfs/dbraw/zinc/95/04/73/526950473.db2.gz RGQGEABWLKELKL-CYBMUJFWSA-N 1 2 321.371 1.393 20 30 DDEDLO C#CCNC(=O)C1CC[NH+]([C@@H](C(=O)OC)c2ccsc2)CC1 ZINC000491142606 526971480 /nfs/dbraw/zinc/97/14/80/526971480.db2.gz DAJHAVNTFNJUEZ-CQSZACIVSA-N 1 2 320.414 1.424 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](C)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000491101928 527024067 /nfs/dbraw/zinc/02/40/67/527024067.db2.gz LCFJLEGKAWGXTN-GJZGRUSLSA-N 1 2 315.417 1.276 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](C)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000491101928 527024069 /nfs/dbraw/zinc/02/40/69/527024069.db2.gz LCFJLEGKAWGXTN-GJZGRUSLSA-N 1 2 315.417 1.276 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000341859834 527061967 /nfs/dbraw/zinc/06/19/67/527061967.db2.gz NPKHFBIKPDPIMN-CQSZACIVSA-N 1 2 318.421 1.534 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494573757 527126000 /nfs/dbraw/zinc/12/60/00/527126000.db2.gz UEKRXTLZYIMFDG-OAHLLOKOSA-N 1 2 300.358 1.725 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC000494573757 527126005 /nfs/dbraw/zinc/12/60/05/527126005.db2.gz UEKRXTLZYIMFDG-OAHLLOKOSA-N 1 2 300.358 1.725 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)NCc1cn2ccccc2[nH+]1 ZINC000339704297 527257753 /nfs/dbraw/zinc/25/77/53/527257753.db2.gz ZWRMPYZHCVOQNE-CYBMUJFWSA-N 1 2 314.389 1.812 20 30 DDEDLO C#C[C@H](NC(=O)NCCc1cn(C)c[nH+]1)c1ccc(F)cc1 ZINC000491508177 527393595 /nfs/dbraw/zinc/39/35/95/527393595.db2.gz YRFWOUHPGVVVGH-HNNXBMFYSA-N 1 2 300.337 1.775 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000457516582 527469778 /nfs/dbraw/zinc/46/97/78/527469778.db2.gz JFVITZZXALPGDX-CQSZACIVSA-N 1 2 316.405 1.971 20 30 DDEDLO CCNC(=O)C[NH+]1CCN([C@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348326012 528095417 /nfs/dbraw/zinc/09/54/17/528095417.db2.gz RLGTZZMFWOMLMW-OAHLLOKOSA-N 1 2 320.824 1.658 20 30 DDEDLO CC(C)[C@H](C)NC(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC000330461611 528961375 /nfs/dbraw/zinc/96/13/75/528961375.db2.gz LRZAHCSPPZGZNN-AWEZNQCLSA-N 1 2 320.441 1.147 20 30 DDEDLO CCCNC(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000330739098 528979937 /nfs/dbraw/zinc/97/99/37/528979937.db2.gz PNWBIGNLXOKTCE-UHFFFAOYSA-N 1 2 305.382 1.205 20 30 DDEDLO CCCCn1ncc(NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)c1C ZINC000331337613 529101557 /nfs/dbraw/zinc/10/15/57/529101557.db2.gz VSBMJFAJUVVJJV-CQSZACIVSA-N 1 2 322.457 1.563 20 30 DDEDLO CCCCn1ncc(NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)c1C ZINC000331337613 529101562 /nfs/dbraw/zinc/10/15/62/529101562.db2.gz VSBMJFAJUVVJJV-CQSZACIVSA-N 1 2 322.457 1.563 20 30 DDEDLO CCN1CCN(CC(=O)NC2CCCC2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000329896877 529136473 /nfs/dbraw/zinc/13/64/73/529136473.db2.gz CPYHEYYFPGKFGS-CQSZACIVSA-N 1 2 305.426 1.987 20 30 DDEDLO Cc1c(C(=O)C=Cc2[nH]cc[nH+]2)c(-c2nn[nH]n2)c2n1CCC2 ZINC000737202090 598639282 /nfs/dbraw/zinc/63/92/82/598639282.db2.gz JKBZCNBKNBGMKP-PLNGDYQASA-N 1 2 309.333 1.542 20 30 DDEDLO C=C(C)C[N@@H+](C)[C@H](C)C(=O)Nc1oc(C)c(C)c1-c1nn[nH]n1 ZINC000823872567 609370091 /nfs/dbraw/zinc/37/00/91/609370091.db2.gz AXERNTCHVVIXRQ-SNVBAGLBSA-N 1 2 318.381 1.911 20 30 DDEDLO C=C(C)C[N@H+](C)[C@H](C)C(=O)Nc1oc(C)c(C)c1-c1nn[nH]n1 ZINC000823872567 609370093 /nfs/dbraw/zinc/37/00/93/609370093.db2.gz AXERNTCHVVIXRQ-SNVBAGLBSA-N 1 2 318.381 1.911 20 30 DDEDLO Cc1cc(C)c(NC(=O)[C@H](C)O[NH+]=C(N)CCO)c(Cl)c1 ZINC000121408373 696709695 /nfs/dbraw/zinc/70/96/95/696709695.db2.gz IFMHVYYNSPDKGU-JTQLQIEISA-N 1 2 313.785 1.955 20 30 DDEDLO C[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)NCc1ccc(C#N)cc1 ZINC000745078135 699970388 /nfs/dbraw/zinc/97/03/88/699970388.db2.gz AXTWZTJCDAQEHN-OAHLLOKOSA-N 1 2 315.373 1.202 20 30 DDEDLO C[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)NCc1ccc(C#N)cc1 ZINC000745078135 699970390 /nfs/dbraw/zinc/97/03/90/699970390.db2.gz AXTWZTJCDAQEHN-OAHLLOKOSA-N 1 2 315.373 1.202 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)C(=O)Nc2ccccc2)C1 ZINC000971861610 695111459 /nfs/dbraw/zinc/11/14/59/695111459.db2.gz QFHXXATUJDCPIC-AWEZNQCLSA-N 1 2 321.808 1.910 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)C(=O)Nc2ccccc2)C1 ZINC000971861610 695111461 /nfs/dbraw/zinc/11/14/61/695111461.db2.gz QFHXXATUJDCPIC-AWEZNQCLSA-N 1 2 321.808 1.910 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3occc3C)C2)C1 ZINC000972260746 695194087 /nfs/dbraw/zinc/19/40/87/695194087.db2.gz COVWUPYZPOGIGN-QGZVFWFLSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3occc3C)C2)C1 ZINC000972260746 695194090 /nfs/dbraw/zinc/19/40/90/695194090.db2.gz COVWUPYZPOGIGN-QGZVFWFLSA-N 1 2 302.374 1.528 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cccnc3)C2)C1 ZINC000972347798 695223489 /nfs/dbraw/zinc/22/34/89/695223489.db2.gz ZZNIAMWRHYZMMS-QGZVFWFLSA-N 1 2 301.390 1.113 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cccnc3)C2)C1 ZINC000972347798 695223490 /nfs/dbraw/zinc/22/34/90/695223490.db2.gz ZZNIAMWRHYZMMS-QGZVFWFLSA-N 1 2 301.390 1.113 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C(F)F)CC3)C2)C1 ZINC000972381920 695235437 /nfs/dbraw/zinc/23/54/37/695235437.db2.gz UHGVHJHIZWQABA-CQSZACIVSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C(F)F)CC3)C2)C1 ZINC000972381920 695235440 /nfs/dbraw/zinc/23/54/40/695235440.db2.gz UHGVHJHIZWQABA-CQSZACIVSA-N 1 2 300.349 1.521 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@]3(C2)C[N@H+](CC=C)CCO3)cc1 ZINC000972491935 695264275 /nfs/dbraw/zinc/26/42/75/695264275.db2.gz GBEYSQJKZDMTSD-IBGZPJMESA-N 1 2 310.397 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@]3(C2)C[N@@H+](CC=C)CCO3)cc1 ZINC000972491935 695264276 /nfs/dbraw/zinc/26/42/76/695264276.db2.gz GBEYSQJKZDMTSD-IBGZPJMESA-N 1 2 310.397 1.771 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3Cc4ccccc43)C2)C1 ZINC000972525803 695272632 /nfs/dbraw/zinc/27/26/32/695272632.db2.gz CJSYRDBPPPJALX-PKOBYXMFSA-N 1 2 310.397 1.263 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3Cc4ccccc43)C2)C1 ZINC000972525803 695272635 /nfs/dbraw/zinc/27/26/35/695272635.db2.gz CJSYRDBPPPJALX-PKOBYXMFSA-N 1 2 310.397 1.263 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3occc3Cl)C2)C1 ZINC000972532172 695273982 /nfs/dbraw/zinc/27/39/82/695273982.db2.gz PKSDYFGSSANRKP-INIZCTEOSA-N 1 2 322.792 1.873 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3occc3Cl)C2)C1 ZINC000972532172 695273983 /nfs/dbraw/zinc/27/39/83/695273983.db2.gz PKSDYFGSSANRKP-INIZCTEOSA-N 1 2 322.792 1.873 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccnc3OC)C2)C1 ZINC000972533529 695274696 /nfs/dbraw/zinc/27/46/96/695274696.db2.gz CYKUELUVDBJBRG-KRWDZBQOSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccnc3OC)C2)C1 ZINC000972533529 695274698 /nfs/dbraw/zinc/27/46/98/695274698.db2.gz CYKUELUVDBJBRG-KRWDZBQOSA-N 1 2 317.389 1.193 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3ccsc3)C2)C1 ZINC000972547500 695278718 /nfs/dbraw/zinc/27/87/18/695278718.db2.gz BNMMHVYHTUYESI-YOEHRIQHSA-N 1 2 318.442 1.788 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3ccsc3)C2)C1 ZINC000972547500 695278720 /nfs/dbraw/zinc/27/87/20/695278720.db2.gz BNMMHVYHTUYESI-YOEHRIQHSA-N 1 2 318.442 1.788 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C2CCOCC2)C(C)(C)C1 ZINC000972736782 695326078 /nfs/dbraw/zinc/32/60/78/695326078.db2.gz DOIYVSJSJOIWHC-ZDUSSCGKSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C2CCOCC2)C(C)(C)C1 ZINC000972736782 695326081 /nfs/dbraw/zinc/32/60/81/695326081.db2.gz DOIYVSJSJOIWHC-ZDUSSCGKSA-N 1 2 300.830 1.992 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cc1 ZINC000974349515 695657348 /nfs/dbraw/zinc/65/73/48/695657348.db2.gz LTUFXVVYZLOAPI-GASCZTMLSA-N 1 2 322.368 1.011 20 30 DDEDLO NC(=[NH+]OCC(=O)N1CCc2sccc2C1)c1ccccc1 ZINC000022006507 696075500 /nfs/dbraw/zinc/07/55/00/696075500.db2.gz UNSMOIAIFWZUST-UHFFFAOYSA-N 1 2 315.398 1.970 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H]([C@H](C)[NH2+]Cc2cnsn2)C1 ZINC000979373100 696538437 /nfs/dbraw/zinc/53/84/37/696538437.db2.gz HLNKXLBSPKBFEI-WCQYABFASA-N 1 2 324.450 1.456 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)Nc1cc(F)ccc1F ZINC000092915384 696598085 /nfs/dbraw/zinc/59/80/85/696598085.db2.gz CXICSVXNXAEQIP-UHFFFAOYSA-N 1 2 320.299 1.830 20 30 DDEDLO O=C(c1cnccn1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980644672 696825308 /nfs/dbraw/zinc/82/53/08/696825308.db2.gz GNPRZHQFGLVDSQ-UHFFFAOYSA-N 1 2 320.396 1.676 20 30 DDEDLO O=C(c1cnccn1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980644672 696825312 /nfs/dbraw/zinc/82/53/12/696825312.db2.gz GNPRZHQFGLVDSQ-UHFFFAOYSA-N 1 2 320.396 1.676 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)c1cccc(F)c1C#N ZINC000134548267 696837574 /nfs/dbraw/zinc/83/75/74/696837574.db2.gz BTSIPJCWDKQZLX-UHFFFAOYSA-N 1 2 322.365 1.605 20 30 DDEDLO C#CCC[N@H+]1CCCN(C(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)CC1 ZINC000981644728 696856147 /nfs/dbraw/zinc/85/61/47/696856147.db2.gz UMHNHTFFBJHJJU-RHSMWYFYSA-N 1 2 314.433 1.633 20 30 DDEDLO C#CCC[N@@H+]1CCCN(C(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)CC1 ZINC000981644728 696856151 /nfs/dbraw/zinc/85/61/51/696856151.db2.gz UMHNHTFFBJHJJU-RHSMWYFYSA-N 1 2 314.433 1.633 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[N@@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC000980837849 696910250 /nfs/dbraw/zinc/91/02/50/696910250.db2.gz BBSVMJZUPBNVAF-UONOGXRCSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[N@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC000980837849 696910252 /nfs/dbraw/zinc/91/02/52/696910252.db2.gz BBSVMJZUPBNVAF-UONOGXRCSA-N 1 2 320.437 1.128 20 30 DDEDLO Cn1cc(C(=O)N2CCC[N@H+](Cc3ccccc3C#N)CC2)nn1 ZINC000981100332 697004599 /nfs/dbraw/zinc/00/45/99/697004599.db2.gz GMZUXKSWAFSPJQ-UHFFFAOYSA-N 1 2 324.388 1.035 20 30 DDEDLO Cn1cc(C(=O)N2CCC[N@@H+](Cc3ccccc3C#N)CC2)nn1 ZINC000981100332 697004601 /nfs/dbraw/zinc/00/46/01/697004601.db2.gz GMZUXKSWAFSPJQ-UHFFFAOYSA-N 1 2 324.388 1.035 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)c2ncc[nH]2)CC1 ZINC000981119633 697008969 /nfs/dbraw/zinc/00/89/69/697008969.db2.gz CWQFFXADJJHBEU-UHFFFAOYSA-N 1 2 309.373 1.629 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)c2ncc[nH]2)CC1 ZINC000981119633 697008972 /nfs/dbraw/zinc/00/89/72/697008972.db2.gz CWQFFXADJJHBEU-UHFFFAOYSA-N 1 2 309.373 1.629 20 30 DDEDLO C[C@H](CC(=O)N1CC2(C1)CCCN(CC#N)C2)n1cc[nH+]c1 ZINC000982042077 697012116 /nfs/dbraw/zinc/01/21/16/697012116.db2.gz HEWBOFFOWWKOIA-CQSZACIVSA-N 1 2 301.394 1.282 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cn2cc(C3CC3)nn2)CC1 ZINC000981322600 697065765 /nfs/dbraw/zinc/06/57/65/697065765.db2.gz RCHJOXYLTGBOCY-UHFFFAOYSA-N 1 2 323.828 1.442 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cn2cc(C3CC3)nn2)CC1 ZINC000981322600 697065767 /nfs/dbraw/zinc/06/57/67/697065767.db2.gz RCHJOXYLTGBOCY-UHFFFAOYSA-N 1 2 323.828 1.442 20 30 DDEDLO C#CCOCCC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000159726558 697311695 /nfs/dbraw/zinc/31/16/95/697311695.db2.gz SERGBPDPKHEEGE-UHFFFAOYSA-N 1 2 316.401 1.175 20 30 DDEDLO CN(CC#N)C1CCN(C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC000985644094 697549209 /nfs/dbraw/zinc/54/92/09/697549209.db2.gz UIIMTMMFFQZHMP-CYBMUJFWSA-N 1 2 301.394 1.276 20 30 DDEDLO CCS(=O)(=O)CCCCCO[NH+]=C(N)Cc1cccnc1 ZINC000190675703 697594591 /nfs/dbraw/zinc/59/45/91/697594591.db2.gz PTHJPUPAMSHVOQ-UHFFFAOYSA-N 1 2 313.423 1.518 20 30 DDEDLO CCOCCCNC(=O)[C@@H](C)O[NH+]=C(N)c1ccc(F)cc1 ZINC000749787735 700184746 /nfs/dbraw/zinc/18/47/46/700184746.db2.gz XQLWZQDZGDZEIO-LLVKDONJSA-N 1 2 311.357 1.394 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(Cc2cccc(C#N)c2F)CC1 ZINC000774683245 697911072 /nfs/dbraw/zinc/91/10/72/697911072.db2.gz MOJNZSZNESTTON-NRFANRHFSA-N 1 2 309.410 1.193 20 30 DDEDLO C[C@@H]1C[N@H+](CCOC(=O)/C=C\c2ccccc2C#N)CCO1 ZINC000800125772 700190640 /nfs/dbraw/zinc/19/06/40/700190640.db2.gz WUKQDVWABRWIAD-LMVHVUTASA-N 1 2 300.358 1.835 20 30 DDEDLO C[C@@H]1C[N@@H+](CCOC(=O)/C=C\c2ccccc2C#N)CCO1 ZINC000800125772 700190642 /nfs/dbraw/zinc/19/06/42/700190642.db2.gz WUKQDVWABRWIAD-LMVHVUTASA-N 1 2 300.358 1.835 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)[C@H]1CCCO1 ZINC000776231330 698085563 /nfs/dbraw/zinc/08/55/63/698085563.db2.gz OUVSDMJOVWKDAG-MELADBBJSA-N 1 2 302.378 1.480 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@@H](C(=O)Nc2ccccn2)C1 ZINC000800356020 700217963 /nfs/dbraw/zinc/21/79/63/700217963.db2.gz UISWDTUAUZMKRB-CHWSQXEVSA-N 1 2 303.362 1.460 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@@H](C(=O)Nc2ccccn2)C1 ZINC000800356020 700217966 /nfs/dbraw/zinc/21/79/66/700217966.db2.gz UISWDTUAUZMKRB-CHWSQXEVSA-N 1 2 303.362 1.460 20 30 DDEDLO C[C@@H]1C[C@H]([NH2+]Cc2cnsn2)CN1C(=O)c1c[nH]c(C#N)c1 ZINC000988620198 698420859 /nfs/dbraw/zinc/42/08/59/698420859.db2.gz HMQYAXXUAMZWNS-SKDRFNHKSA-N 1 2 316.390 1.131 20 30 DDEDLO C#CCCCCCC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000779888073 698477338 /nfs/dbraw/zinc/47/73/38/698477338.db2.gz QXJLDNOVZDEOTH-QGZVFWFLSA-N 1 2 324.490 1.894 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)cc1 ZINC000780926587 698572374 /nfs/dbraw/zinc/57/23/74/698572374.db2.gz JVBIHUHATWXJJL-LSDHHAIUSA-N 1 2 310.357 1.721 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCc3ccccc3)n2C)CC1 ZINC000800735917 700242438 /nfs/dbraw/zinc/24/24/38/700242438.db2.gz QXVYUHNULCJHAA-UHFFFAOYSA-N 1 2 309.417 1.356 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCN2CCCC2=O)CC1 ZINC000989681920 698724367 /nfs/dbraw/zinc/72/43/67/698724367.db2.gz BTRKUUZCFWIJFH-UHFFFAOYSA-N 1 2 313.829 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCN2CCCC2=O)CC1 ZINC000989681920 698724369 /nfs/dbraw/zinc/72/43/69/698724369.db2.gz BTRKUUZCFWIJFH-UHFFFAOYSA-N 1 2 313.829 1.286 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@H]3CCn4c[nH+]cc4C3)CCC[C@H]12 ZINC000991447101 699327361 /nfs/dbraw/zinc/32/73/61/699327361.db2.gz NFVBKGDYCWKYJH-JLJPHGGASA-N 1 2 313.405 1.082 20 30 DDEDLO C[C@H]([C@H](C)NC(=S)Nc1ccccc1C#N)[NH+]1CCOCC1 ZINC000729647262 699484373 /nfs/dbraw/zinc/48/43/73/699484373.db2.gz IZCIHYFXQAOXMG-QWHCGFSZSA-N 1 2 318.446 1.954 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cc(Br)c[nH]c1=O ZINC000732063381 699545289 /nfs/dbraw/zinc/54/52/89/699545289.db2.gz OTXFAMPABDPYOT-NSHDSACASA-N 1 2 324.178 1.586 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cc(Br)c[nH]c1=O ZINC000732063381 699545290 /nfs/dbraw/zinc/54/52/90/699545290.db2.gz OTXFAMPABDPYOT-NSHDSACASA-N 1 2 324.178 1.586 20 30 DDEDLO C#CCCNC(=O)c1cccc(NC(=O)CCn2cc[nH+]c2)c1 ZINC000733247102 699585072 /nfs/dbraw/zinc/58/50/72/699585072.db2.gz JZMDWTSYZXCFTH-UHFFFAOYSA-N 1 2 310.357 1.665 20 30 DDEDLO COC(=O)c1cc(N[NH2+]C2=CC(=O)N3CCC[C@H]23)ccc1C ZINC000793089369 699732883 /nfs/dbraw/zinc/73/28/83/699732883.db2.gz ILKSYUJUPLYBNP-CQSZACIVSA-N 1 2 301.346 1.944 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1CCOC[C@@H]1C[C@@H](O)c1ccccc1 ZINC000801929756 700356222 /nfs/dbraw/zinc/35/62/22/700356222.db2.gz YSQLTIHILSVMKQ-HYVNUMGLSA-N 1 2 304.390 1.740 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1CCOC[C@@H]1C[C@@H](O)c1ccccc1 ZINC000801929756 700356226 /nfs/dbraw/zinc/35/62/26/700356226.db2.gz YSQLTIHILSVMKQ-HYVNUMGLSA-N 1 2 304.390 1.740 20 30 DDEDLO C#CC[C@@H](NC(=O)NCC[N@H+]1CCOC[C@@H]1C)c1ccccc1 ZINC000757035195 700653418 /nfs/dbraw/zinc/65/34/18/700653418.db2.gz PYCPSSGDRCOFFH-DOTOQJQBSA-N 1 2 315.417 1.771 20 30 DDEDLO C#CC[C@@H](NC(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1ccccc1 ZINC000757035195 700653419 /nfs/dbraw/zinc/65/34/19/700653419.db2.gz PYCPSSGDRCOFFH-DOTOQJQBSA-N 1 2 315.417 1.771 20 30 DDEDLO C#CCCCNC(=O)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000803345271 701104144 /nfs/dbraw/zinc/10/41/44/701104144.db2.gz VYJLQNVZVYVELB-UHFFFAOYSA-N 1 2 310.357 1.018 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(c2ccc(Cl)cc2C#N)CC1 ZINC000771146531 701311204 /nfs/dbraw/zinc/31/12/04/701311204.db2.gz MXELGRNSIMACMF-HXUWFJFHSA-N 1 2 311.838 1.712 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)O[C@@H](C)C(=O)C(C)(C)C(=O)OC)CC1 ZINC000805453186 701382578 /nfs/dbraw/zinc/38/25/78/701382578.db2.gz ZELWUAQHRSXSFD-LBPRGKRZSA-N 1 2 323.389 1.032 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)Nc1ccc(F)cc1OCC#N ZINC000809879106 701696823 /nfs/dbraw/zinc/69/68/23/701696823.db2.gz FBSDYVQEBHSJPH-UHFFFAOYSA-N 1 2 303.297 1.783 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@@H]2NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000867893504 701813769 /nfs/dbraw/zinc/81/37/69/701813769.db2.gz RDUCWTYJJAPASX-YYZONTRBSA-N 1 2 311.385 1.550 20 30 DDEDLO C#CCSCCNc1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000840267996 702049484 /nfs/dbraw/zinc/04/94/84/702049484.db2.gz SFBHDZOHWZVKLI-QWHCGFSZSA-N 1 2 306.435 1.462 20 30 DDEDLO C#CCSCCNc1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000840267996 702049490 /nfs/dbraw/zinc/04/94/90/702049490.db2.gz SFBHDZOHWZVKLI-QWHCGFSZSA-N 1 2 306.435 1.462 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@H](CNc3cnc(C#N)cn3)C2)c1 ZINC000866189822 706643758 /nfs/dbraw/zinc/64/37/58/706643758.db2.gz DRFQBBJBAVTDDN-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@H](CNc3cnc(C#N)cn3)C2)c1 ZINC000866189822 706643759 /nfs/dbraw/zinc/64/37/59/706643759.db2.gz DRFQBBJBAVTDDN-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000868538069 702185220 /nfs/dbraw/zinc/18/52/20/702185220.db2.gz MXTKKTSIOYZXLZ-ZDUSSCGKSA-N 1 2 323.437 1.504 20 30 DDEDLO CC1(C)[C@@H](O)CC[N@@H+]1Cc1nc2ccccc2c(=O)n1CC#N ZINC000812868516 702254738 /nfs/dbraw/zinc/25/47/38/702254738.db2.gz KXMZFSKJGFEZCS-AWEZNQCLSA-N 1 2 312.373 1.265 20 30 DDEDLO CC1(C)[C@@H](O)CC[N@H+]1Cc1nc2ccccc2c(=O)n1CC#N ZINC000812868516 702254743 /nfs/dbraw/zinc/25/47/43/702254743.db2.gz KXMZFSKJGFEZCS-AWEZNQCLSA-N 1 2 312.373 1.265 20 30 DDEDLO COC[C@]1(C(=O)OC(C)(C)C)C[N@@H+]([C@@H]2CC[C@@H](C#N)C2)CCO1 ZINC000879358239 706659719 /nfs/dbraw/zinc/65/97/19/706659719.db2.gz FZTIQQNXCASCDU-CPUCHLNUSA-N 1 2 324.421 1.738 20 30 DDEDLO COC[C@]1(C(=O)OC(C)(C)C)C[N@H+]([C@@H]2CC[C@@H](C#N)C2)CCO1 ZINC000879358239 706659721 /nfs/dbraw/zinc/65/97/21/706659721.db2.gz FZTIQQNXCASCDU-CPUCHLNUSA-N 1 2 324.421 1.738 20 30 DDEDLO C=CCn1cc(C[NH2+][C@@H](C)c2ccc(N)c([N+](=O)[O-])c2)nn1 ZINC000818347694 702639159 /nfs/dbraw/zinc/63/91/59/702639159.db2.gz UUZDEYROIOSSSC-JTQLQIEISA-N 1 2 302.338 1.805 20 30 DDEDLO C=CCOCCON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000842039875 702662234 /nfs/dbraw/zinc/66/22/34/702662234.db2.gz SROIIUQCAUBENA-MRXNPFEDSA-N 1 2 319.405 1.588 20 30 DDEDLO C=CCOCCON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000842039875 702662237 /nfs/dbraw/zinc/66/22/37/702662237.db2.gz SROIIUQCAUBENA-MRXNPFEDSA-N 1 2 319.405 1.588 20 30 DDEDLO C#CCN1C(=O)C[C@H]([N@@H+]2CCS[C@@H](c3ccccc3)C2)C1=O ZINC000844273116 703002677 /nfs/dbraw/zinc/00/26/77/703002677.db2.gz NOGQGUDRJUILCF-LSDHHAIUSA-N 1 2 314.410 1.537 20 30 DDEDLO C#CCN1C(=O)C[C@H]([N@H+]2CCS[C@@H](c3ccccc3)C2)C1=O ZINC000844273116 703002679 /nfs/dbraw/zinc/00/26/79/703002679.db2.gz NOGQGUDRJUILCF-LSDHHAIUSA-N 1 2 314.410 1.537 20 30 DDEDLO C=C(C)CONC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000844284977 703004552 /nfs/dbraw/zinc/00/45/52/703004552.db2.gz ZDOMIFYCYNUVFX-UHFFFAOYSA-N 1 2 319.405 1.826 20 30 DDEDLO N#Cc1c2c(cn(C[N@H+]3CC[C@@]4(CC4(F)F)C3)c1=O)CCC2 ZINC000844759042 703070208 /nfs/dbraw/zinc/07/02/08/703070208.db2.gz PADMKPBIWILDCS-OAHLLOKOSA-N 1 2 305.328 1.897 20 30 DDEDLO N#Cc1c2c(cn(C[N@@H+]3CC[C@@]4(CC4(F)F)C3)c1=O)CCC2 ZINC000844759042 703070211 /nfs/dbraw/zinc/07/02/11/703070211.db2.gz PADMKPBIWILDCS-OAHLLOKOSA-N 1 2 305.328 1.897 20 30 DDEDLO CC(C)[C@@H](C(=O)OCC(=O)Cc1ccc(C#N)cc1)[NH+](C)C ZINC000845156430 703118892 /nfs/dbraw/zinc/11/88/92/703118892.db2.gz IYLUHFWGJVADQA-INIZCTEOSA-N 1 2 302.374 1.799 20 30 DDEDLO CCCCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585258 706728488 /nfs/dbraw/zinc/72/84/88/706728488.db2.gz UUPHCQJLFKMJKY-HNNXBMFYSA-N 1 2 314.433 1.985 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](CCOc2ccccc2OC)CC1 ZINC000831690722 706754076 /nfs/dbraw/zinc/75/40/76/706754076.db2.gz CFCVKOZINFWOFE-UHFFFAOYSA-N 1 2 318.373 1.853 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000848751429 703583920 /nfs/dbraw/zinc/58/39/20/703583920.db2.gz ZSCRXRKXNHCTDE-CABCVRRESA-N 1 2 300.406 1.535 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000848751429 703583922 /nfs/dbraw/zinc/58/39/22/703583922.db2.gz ZSCRXRKXNHCTDE-CABCVRRESA-N 1 2 300.406 1.535 20 30 DDEDLO CS(=O)(=O)c1ccc([C@H](O)C[N@@H+]2CCC[C@@H](CC#N)C2)cc1 ZINC000852318962 704011603 /nfs/dbraw/zinc/01/16/03/704011603.db2.gz CSGBUBUTHRUFDM-XJKSGUPXSA-N 1 2 322.430 1.749 20 30 DDEDLO CS(=O)(=O)c1ccc([C@H](O)C[N@H+]2CCC[C@@H](CC#N)C2)cc1 ZINC000852318962 704011606 /nfs/dbraw/zinc/01/16/06/704011606.db2.gz CSGBUBUTHRUFDM-XJKSGUPXSA-N 1 2 322.430 1.749 20 30 DDEDLO CS(=O)(=O)c1ccc([C@@H](O)C[N@@H+]2CCC[C@H](CC#N)C2)cc1 ZINC000852318960 704011672 /nfs/dbraw/zinc/01/16/72/704011672.db2.gz CSGBUBUTHRUFDM-CJNGLKHVSA-N 1 2 322.430 1.749 20 30 DDEDLO CS(=O)(=O)c1ccc([C@@H](O)C[N@H+]2CCC[C@H](CC#N)C2)cc1 ZINC000852318960 704011677 /nfs/dbraw/zinc/01/16/77/704011677.db2.gz CSGBUBUTHRUFDM-CJNGLKHVSA-N 1 2 322.430 1.749 20 30 DDEDLO C=CCCn1cc(C(=O)NCc2[nH+]cc(C)c(OC)c2C)nn1 ZINC000819199682 704085251 /nfs/dbraw/zinc/08/52/51/704085251.db2.gz MJGVPSOVBYHEQN-UHFFFAOYSA-N 1 2 315.377 1.805 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[C@H](c2[nH+]ccn2C)C1)C1CCOCC1 ZINC000852643695 704091274 /nfs/dbraw/zinc/09/12/74/704091274.db2.gz YFSLLRGMQCPGQC-GJZGRUSLSA-N 1 2 316.405 1.347 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc(OCC(C)(C)O)cc1 ZINC000819457563 704129128 /nfs/dbraw/zinc/12/91/28/704129128.db2.gz WYOPZYPABFBIJP-HNNXBMFYSA-N 1 2 321.421 1.455 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc(OCC(C)(C)O)cc1 ZINC000819457563 704129130 /nfs/dbraw/zinc/12/91/30/704129130.db2.gz WYOPZYPABFBIJP-HNNXBMFYSA-N 1 2 321.421 1.455 20 30 DDEDLO O=C(C#CC1CC1)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000870997441 704196073 /nfs/dbraw/zinc/19/60/73/704196073.db2.gz NVSLUMOHWGTLOB-UHFFFAOYSA-N 1 2 301.415 1.664 20 30 DDEDLO CCNc1nc(N=NCc2c[nH+]c3n2CCC3)nc(NCC)n1 ZINC000853266530 704226848 /nfs/dbraw/zinc/22/68/48/704226848.db2.gz IHGWAMNJJYNXPM-UHFFFAOYSA-N 1 2 315.385 1.324 20 30 DDEDLO Cc1[nH+]cc(C=NNC(=S)NCCc2cccs2)n1C ZINC000853287297 704229398 /nfs/dbraw/zinc/22/93/98/704229398.db2.gz VIIUKWAOBSBNSY-UHFFFAOYSA-N 1 2 307.448 1.831 20 30 DDEDLO CN(c1ccccc1)[C@@H]1CC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC000853583352 704275633 /nfs/dbraw/zinc/27/56/33/704275633.db2.gz APSBWFHKRPTVOQ-QGZVFWFLSA-N 1 2 308.385 1.888 20 30 DDEDLO CN(c1ccccc1)[C@@H]1CC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC000853583352 704275634 /nfs/dbraw/zinc/27/56/34/704275634.db2.gz APSBWFHKRPTVOQ-QGZVFWFLSA-N 1 2 308.385 1.888 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000853621074 704287946 /nfs/dbraw/zinc/28/79/46/704287946.db2.gz OYTFQQIHGRGSLE-HOTGVXAUSA-N 1 2 320.433 1.476 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000853621074 704287950 /nfs/dbraw/zinc/28/79/50/704287950.db2.gz OYTFQQIHGRGSLE-HOTGVXAUSA-N 1 2 320.433 1.476 20 30 DDEDLO COC(=O)c1cc(C=NNc2[nH+]ccn2C)n2c1CCCC2 ZINC000857210184 704563371 /nfs/dbraw/zinc/56/33/71/704563371.db2.gz WMLZRQAHCGBFMH-UHFFFAOYSA-N 1 2 301.350 1.791 20 30 DDEDLO N#Cc1ccc(OC2CC[NH+](CN3CCC3=O)CC2)c(F)c1 ZINC000857237484 704568461 /nfs/dbraw/zinc/56/84/61/704568461.db2.gz QXHSVNYPJJPLDK-UHFFFAOYSA-N 1 2 303.337 1.730 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000858858639 704765069 /nfs/dbraw/zinc/76/50/69/704765069.db2.gz NEQKXMVZOXJQGU-SOUVJXGZSA-N 1 2 318.421 1.524 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000858858639 704765071 /nfs/dbraw/zinc/76/50/71/704765071.db2.gz NEQKXMVZOXJQGU-SOUVJXGZSA-N 1 2 318.421 1.524 20 30 DDEDLO C#CC[C@@H](COC)Nc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000858860109 704765384 /nfs/dbraw/zinc/76/53/84/704765384.db2.gz YYSVWCRSWZMPCA-KGLIPLIRSA-N 1 2 304.394 1.136 20 30 DDEDLO C#CC[C@@H](COC)Nc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000858860109 704765386 /nfs/dbraw/zinc/76/53/86/704765386.db2.gz YYSVWCRSWZMPCA-KGLIPLIRSA-N 1 2 304.394 1.136 20 30 DDEDLO CN(C[C@@H](O)C[N@@H+]1CCC[C@](C)(C#N)C1)C(=O)OC(C)(C)C ZINC000822842201 705057081 /nfs/dbraw/zinc/05/70/81/705057081.db2.gz XTEUJBCRSGLOGZ-CZUORRHYSA-N 1 2 311.426 1.840 20 30 DDEDLO CN(C[C@@H](O)C[N@H+]1CCC[C@](C)(C#N)C1)C(=O)OC(C)(C)C ZINC000822842201 705057083 /nfs/dbraw/zinc/05/70/83/705057083.db2.gz XTEUJBCRSGLOGZ-CZUORRHYSA-N 1 2 311.426 1.840 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1cc(O)cc(C#N)c1 ZINC000874708401 705142421 /nfs/dbraw/zinc/14/24/21/705142421.db2.gz UECPKJMPZVEPFB-CQSZACIVSA-N 1 2 303.362 1.104 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1cc(O)cc(C#N)c1 ZINC000874708401 705142422 /nfs/dbraw/zinc/14/24/22/705142422.db2.gz UECPKJMPZVEPFB-CQSZACIVSA-N 1 2 303.362 1.104 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1cc(C)c(C#N)cn1 ZINC000874712036 705146687 /nfs/dbraw/zinc/14/66/87/705146687.db2.gz UMKJLYLSAKRDHK-CQSZACIVSA-N 1 2 302.378 1.102 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1cc(C)c(C#N)cn1 ZINC000874712036 705146689 /nfs/dbraw/zinc/14/66/89/705146689.db2.gz UMKJLYLSAKRDHK-CQSZACIVSA-N 1 2 302.378 1.102 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@H](n2cc[nH+]c2)C1)C1CCOCC1 ZINC000823186552 705165860 /nfs/dbraw/zinc/16/58/60/705165860.db2.gz OKKAIOBTJFUPMH-HOTGVXAUSA-N 1 2 316.405 1.658 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@H+]2CCOC[C@H]2CC)CC1 ZINC000876440624 705721397 /nfs/dbraw/zinc/72/13/97/705721397.db2.gz YMYRAYASLTYTGZ-BZUAXINKSA-N 1 2 307.438 1.588 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@@H+]2CCOC[C@H]2CC)CC1 ZINC000876440624 705721399 /nfs/dbraw/zinc/72/13/99/705721399.db2.gz YMYRAYASLTYTGZ-BZUAXINKSA-N 1 2 307.438 1.588 20 30 DDEDLO CCN(CC)C(=O)C[N@H+](C)Cc1cc(C#N)ccc1N(C)C ZINC000876511594 705740821 /nfs/dbraw/zinc/74/08/21/705740821.db2.gz NYZJATHGBXVUEP-UHFFFAOYSA-N 1 2 302.422 1.924 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+](C)Cc1cc(C#N)ccc1N(C)C ZINC000876511594 705740824 /nfs/dbraw/zinc/74/08/24/705740824.db2.gz NYZJATHGBXVUEP-UHFFFAOYSA-N 1 2 302.422 1.924 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)C1 ZINC000826046207 705763356 /nfs/dbraw/zinc/76/33/56/705763356.db2.gz LISJSDITKCMICZ-OWCLPIDISA-N 1 2 307.438 1.541 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@H](CNC(=O)[C@H](C)C#N)C2)cc1 ZINC000826606023 705824644 /nfs/dbraw/zinc/82/46/44/705824644.db2.gz WAPHJALCPLNOMY-GDBMZVCRSA-N 1 2 301.390 1.472 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@H](CNC(=O)[C@H](C)C#N)C2)cc1 ZINC000826606023 705824645 /nfs/dbraw/zinc/82/46/45/705824645.db2.gz WAPHJALCPLNOMY-GDBMZVCRSA-N 1 2 301.390 1.472 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)C2C[C@H]3CCCC[C@@H](C2)C3=O)CC1 ZINC000865085953 706345400 /nfs/dbraw/zinc/34/54/00/706345400.db2.gz RPLNCDGMHUWZNO-SJPCQFCGSA-N 1 2 316.445 1.939 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+](C)CCN(C)C(=O)OC(C)(C)C ZINC000878335137 706363710 /nfs/dbraw/zinc/36/37/10/706363710.db2.gz JQIFTDXNUHPOGJ-GFCCVEGCSA-N 1 2 300.399 1.903 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+](C)CCN(C)C(=O)OC(C)(C)C ZINC000878335137 706363711 /nfs/dbraw/zinc/36/37/11/706363711.db2.gz JQIFTDXNUHPOGJ-GFCCVEGCSA-N 1 2 300.399 1.903 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)c1cc(C(=O)OC)[nH]c1C)[C@H]1CCCO1 ZINC000878454545 706392613 /nfs/dbraw/zinc/39/26/13/706392613.db2.gz YCEFPDVFJAPYAN-IUODEOHRSA-N 1 2 304.346 1.063 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)c1cc(C(=O)OC)[nH]c1C)[C@@H]1CCCO1 ZINC000878454543 706392789 /nfs/dbraw/zinc/39/27/89/706392789.db2.gz YCEFPDVFJAPYAN-DOMZBBRYSA-N 1 2 304.346 1.063 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2ccc(C)cc2C#N)CC1 ZINC000872492797 707443945 /nfs/dbraw/zinc/44/39/45/707443945.db2.gz LNSUTYUBKWMSBL-AWEZNQCLSA-N 1 2 321.446 1.972 20 30 DDEDLO C#CC[C@@H]1CCC[N@@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000829805882 706399598 /nfs/dbraw/zinc/39/95/98/706399598.db2.gz XFRYEVRGNJLUTH-CYBMUJFWSA-N 1 2 317.414 1.744 20 30 DDEDLO C#CC[C@@H]1CCC[N@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000829805882 706399603 /nfs/dbraw/zinc/39/96/03/706399603.db2.gz XFRYEVRGNJLUTH-CYBMUJFWSA-N 1 2 317.414 1.744 20 30 DDEDLO C#CC1CC[NH+](CC(=O)Nc2ccsc2C(=O)OC)CC1 ZINC000830305679 706493582 /nfs/dbraw/zinc/49/35/82/706493582.db2.gz QGHKPZUYTVREQQ-UHFFFAOYSA-N 1 2 306.387 1.818 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@@](C)(c3ccccc3F)C2=O)CC1 ZINC000872557572 707478927 /nfs/dbraw/zinc/47/89/27/707478927.db2.gz OELYIYXQXVAQNB-SFHVURJKSA-N 1 2 315.392 1.134 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](C(C)(C)O)C1 ZINC000880147563 706893100 /nfs/dbraw/zinc/89/31/00/706893100.db2.gz XKHGKFMLXWDCBJ-GJZGRUSLSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](C(C)(C)O)C1 ZINC000880147563 706893103 /nfs/dbraw/zinc/89/31/03/706893103.db2.gz XKHGKFMLXWDCBJ-GJZGRUSLSA-N 1 2 302.374 1.865 20 30 DDEDLO CN(C)S(=O)(=O)c1ccccc1N[NH2+]C=C1CC1(F)F ZINC000834981997 707154609 /nfs/dbraw/zinc/15/46/09/707154609.db2.gz JECJTQAPJWGENL-SECBINFHSA-N 1 2 303.334 1.990 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNc1nc2c(cc1C#N)COCC2 ZINC000872773851 707594845 /nfs/dbraw/zinc/59/48/45/707594845.db2.gz XLCYYFFQVOBJCR-OAHLLOKOSA-N 1 2 316.405 1.549 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNc1nc2c(cc1C#N)COCC2 ZINC000872773851 707594846 /nfs/dbraw/zinc/59/48/46/707594846.db2.gz XLCYYFFQVOBJCR-OAHLLOKOSA-N 1 2 316.405 1.549 20 30 DDEDLO C[C@H](NC(=O)C#CC1CC1)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000837433329 707646149 /nfs/dbraw/zinc/64/61/49/707646149.db2.gz SIRBOFAVINBCOK-MAUKXSAKSA-N 1 2 312.413 1.806 20 30 DDEDLO C[C@H](NC(=O)C#CC1CC1)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000837433329 707646150 /nfs/dbraw/zinc/64/61/50/707646150.db2.gz SIRBOFAVINBCOK-MAUKXSAKSA-N 1 2 312.413 1.806 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000882895927 707842887 /nfs/dbraw/zinc/84/28/87/707842887.db2.gz XPMCUGWBTOAOHQ-HZPDHXFCSA-N 1 2 312.454 1.567 20 30 DDEDLO C=C(C)C[C@@H](CO)Nc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000883005319 707893666 /nfs/dbraw/zinc/89/36/66/707893666.db2.gz KCWMVQQKYCLQCV-ZDUSSCGKSA-N 1 2 306.410 1.567 20 30 DDEDLO C=C(C)C[C@@H](CO)Nc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000883005319 707893669 /nfs/dbraw/zinc/89/36/69/707893669.db2.gz KCWMVQQKYCLQCV-ZDUSSCGKSA-N 1 2 306.410 1.567 20 30 DDEDLO C#Cc1cccc(CNC(=O)N(C)CC[NH+]2CCOCC2)c1 ZINC000838723751 707970550 /nfs/dbraw/zinc/97/05/50/707970550.db2.gz SMCFWYGOYSPUAG-UHFFFAOYSA-N 1 2 301.390 1.142 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)Cc1ccccc1C ZINC000884096382 708136633 /nfs/dbraw/zinc/13/66/33/708136633.db2.gz SZZTXUSTDRBJEM-ZFWWWQNUSA-N 1 2 304.390 1.489 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)CCc1ccc(Cl)cc1 ZINC000884113378 708145330 /nfs/dbraw/zinc/14/53/30/708145330.db2.gz PALDCLPUQBAAGM-AWEZNQCLSA-N 1 2 324.808 1.788 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H](CC)Cc1ccccc1 ZINC000884155498 708163581 /nfs/dbraw/zinc/16/35/81/708163581.db2.gz DHTKZKUUJQUMQN-CVEARBPZSA-N 1 2 318.417 1.913 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H]1C[C@@H]1c1ccccc1 ZINC000884373852 708268841 /nfs/dbraw/zinc/26/88/41/708268841.db2.gz YARVXXPKLNQPPZ-KFWWJZLASA-N 1 2 302.374 1.353 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(Cc1cnn(CC)c1)C(C)C ZINC000884387320 708275315 /nfs/dbraw/zinc/27/53/15/708275315.db2.gz PCPZVMQQPMMKKI-AWEZNQCLSA-N 1 2 322.409 1.087 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(C)c(C)cc1OC ZINC000884399659 708281051 /nfs/dbraw/zinc/28/10/51/708281051.db2.gz KOKNSMRYWQBVBK-LBPRGKRZSA-N 1 2 306.362 1.697 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000897360409 708305046 /nfs/dbraw/zinc/30/50/46/708305046.db2.gz GBYHVOZOOXPYMG-CQSZACIVSA-N 1 2 300.406 1.820 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000897360409 708305050 /nfs/dbraw/zinc/30/50/50/708305050.db2.gz GBYHVOZOOXPYMG-CQSZACIVSA-N 1 2 300.406 1.820 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)CCc2ccccc2)C1 ZINC000885512427 708562936 /nfs/dbraw/zinc/56/29/36/708562936.db2.gz VYVZLYZRHKSVMJ-MRXNPFEDSA-N 1 2 306.431 1.246 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)CCc2ccccc2)C1 ZINC000885512427 708562939 /nfs/dbraw/zinc/56/29/39/708562939.db2.gz VYVZLYZRHKSVMJ-MRXNPFEDSA-N 1 2 306.431 1.246 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(SC)cc2)C1 ZINC000885512051 708562988 /nfs/dbraw/zinc/56/29/88/708562988.db2.gz QXURPWKLSLPQBU-CYBMUJFWSA-N 1 2 324.471 1.784 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(SC)cc2)C1 ZINC000885512051 708562991 /nfs/dbraw/zinc/56/29/91/708562991.db2.gz QXURPWKLSLPQBU-CYBMUJFWSA-N 1 2 324.471 1.784 20 30 DDEDLO CN(C1(C#N)CCC1)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000886401166 708753943 /nfs/dbraw/zinc/75/39/43/708753943.db2.gz QANQFEORLZDUTR-UHFFFAOYSA-N 1 2 319.430 1.741 20 30 DDEDLO CN(C1(C#N)CCC1)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000886401166 708753945 /nfs/dbraw/zinc/75/39/45/708753945.db2.gz QANQFEORLZDUTR-UHFFFAOYSA-N 1 2 319.430 1.741 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC[C@@H](c3ncccn3)C2)cc1 ZINC000886686919 708813939 /nfs/dbraw/zinc/81/39/39/708813939.db2.gz ZXWWGVMHCKTRKU-HZPDHXFCSA-N 1 2 321.384 1.754 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC[C@@H](c3ncccn3)C2)cc1 ZINC000886686919 708813940 /nfs/dbraw/zinc/81/39/40/708813940.db2.gz ZXWWGVMHCKTRKU-HZPDHXFCSA-N 1 2 321.384 1.754 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H](CC)[C@@](F)(C(=O)OCC)C2)C1=O ZINC000886878819 708878406 /nfs/dbraw/zinc/87/84/06/708878406.db2.gz UNIPPRKEUCJZHH-WOSRLPQWSA-N 1 2 312.385 1.387 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H](CC)[C@@](F)(C(=O)OCC)C2)C1=O ZINC000886878819 708878408 /nfs/dbraw/zinc/87/84/08/708878408.db2.gz UNIPPRKEUCJZHH-WOSRLPQWSA-N 1 2 312.385 1.387 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000898893416 708911323 /nfs/dbraw/zinc/91/13/23/708911323.db2.gz CIXYGWVAEMRMRL-CQSZACIVSA-N 1 2 312.373 1.685 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)NOc2cccc(C#N)c2)[nH]n1 ZINC000899166222 709006752 /nfs/dbraw/zinc/00/67/52/709006752.db2.gz LOHNAYICUXMTNJ-HNNXBMFYSA-N 1 2 311.345 1.772 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)NOc2cccc(C#N)c2)[nH]n1 ZINC000899166222 709006757 /nfs/dbraw/zinc/00/67/57/709006757.db2.gz LOHNAYICUXMTNJ-HNNXBMFYSA-N 1 2 311.345 1.772 20 30 DDEDLO CC[C@H](C(=O)N1CCO[C@@](C)(C#N)C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000887687144 709102875 /nfs/dbraw/zinc/10/28/75/709102875.db2.gz WENUELATLDJJBQ-FMKPAKJESA-N 1 2 309.410 1.017 20 30 DDEDLO CC[C@H](C(=O)N1CCO[C@@](C)(C#N)C1)[N@H+]1CCO[C@H](CC)C1 ZINC000887687144 709102877 /nfs/dbraw/zinc/10/28/77/709102877.db2.gz WENUELATLDJJBQ-FMKPAKJESA-N 1 2 309.410 1.017 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1cc(C#N)ccc1F ZINC000888356460 709286918 /nfs/dbraw/zinc/28/69/18/709286918.db2.gz OFRXIDDJJCHQBY-LBPRGKRZSA-N 1 2 319.384 1.455 20 30 DDEDLO C/C(=C\C(=O)N(CCC#N)Cc1ccco1)C[NH+]1CCOCC1 ZINC000900454547 709602387 /nfs/dbraw/zinc/60/23/87/709602387.db2.gz FWXHKLUOLFTPLK-NTCAYCPXSA-N 1 2 317.389 1.800 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(F)ccc2C)C1 ZINC000891606513 710246651 /nfs/dbraw/zinc/24/66/51/710246651.db2.gz OHHFEYVMICYIHJ-AWEZNQCLSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(F)ccc2C)C1 ZINC000891606513 710246653 /nfs/dbraw/zinc/24/66/53/710246653.db2.gz OHHFEYVMICYIHJ-AWEZNQCLSA-N 1 2 317.364 1.286 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2cc(C)cc(C#N)n2)CC1 ZINC000891612337 710248443 /nfs/dbraw/zinc/24/84/43/710248443.db2.gz WMQNYIULSDMIRF-UHFFFAOYSA-N 1 2 315.421 1.252 20 30 DDEDLO COCC[C@H]1CCN(C[N@H+](C)Cc2ccc(C#N)cc2)C1=O ZINC000902048420 710594744 /nfs/dbraw/zinc/59/47/44/710594744.db2.gz FQDVSQDIOVBNOK-MRXNPFEDSA-N 1 2 301.390 1.833 20 30 DDEDLO COCC[C@H]1CCN(C[N@@H+](C)Cc2ccc(C#N)cc2)C1=O ZINC000902048420 710594745 /nfs/dbraw/zinc/59/47/45/710594745.db2.gz FQDVSQDIOVBNOK-MRXNPFEDSA-N 1 2 301.390 1.833 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)c1)CN1C[C@H]([C@@H]2CCCO2)CC1=O ZINC000902048504 710595644 /nfs/dbraw/zinc/59/56/44/710595644.db2.gz HRMJEAIFPXJYNM-SJORKVTESA-N 1 2 313.401 1.975 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)c1)CN1C[C@H]([C@@H]2CCCO2)CC1=O ZINC000902048504 710595650 /nfs/dbraw/zinc/59/56/50/710595650.db2.gz HRMJEAIFPXJYNM-SJORKVTESA-N 1 2 313.401 1.975 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cccc(C#N)c1 ZINC000902940750 710968202 /nfs/dbraw/zinc/96/82/02/710968202.db2.gz YQCFNVGRMYESNU-CQSZACIVSA-N 1 2 302.378 1.791 20 30 DDEDLO CC(C)(C#N)c1ccc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)cc1 ZINC000913452714 713221954 /nfs/dbraw/zinc/22/19/54/713221954.db2.gz KFQJSQPKZFHAOE-INIZCTEOSA-N 1 2 323.400 1.998 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH2+][C@H](c3cnn(C)c3)C2)CCOCC1 ZINC000913455571 713223268 /nfs/dbraw/zinc/22/32/68/713223268.db2.gz BUPNFJTTZGLDRN-HNNXBMFYSA-N 1 2 318.421 1.266 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2c(F)cccc2N2CCCC2)CCCN1O ZINC000895166228 711439971 /nfs/dbraw/zinc/43/99/71/711439971.db2.gz PELBGVIRGQVUNF-AWEZNQCLSA-N 1 2 307.369 1.896 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H]([NH2+]C[C@](C)(O)C(F)F)[C@@H](C#N)C1 ZINC000905211448 711969545 /nfs/dbraw/zinc/96/95/45/711969545.db2.gz DVXMSOSLHZUBHA-BHDSKKPTSA-N 1 2 319.352 1.351 20 30 DDEDLO CC(=O)CCn1cc(C[N@H+](C)CCc2cccc(C#N)c2)nn1 ZINC000906323055 712297937 /nfs/dbraw/zinc/29/79/37/712297937.db2.gz OXYPWXWWEVMGQY-UHFFFAOYSA-N 1 2 311.389 1.803 20 30 DDEDLO CC(=O)CCn1cc(C[N@@H+](C)CCc2cccc(C#N)c2)nn1 ZINC000906323055 712297939 /nfs/dbraw/zinc/29/79/39/712297939.db2.gz OXYPWXWWEVMGQY-UHFFFAOYSA-N 1 2 311.389 1.803 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)cn2)C[C@@H]1C ZINC000907078128 712482575 /nfs/dbraw/zinc/48/25/75/712482575.db2.gz FUBAODULCOJQGW-NWDGAFQWSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)cn2)C[C@@H]1C ZINC000907078128 712482576 /nfs/dbraw/zinc/48/25/76/712482576.db2.gz FUBAODULCOJQGW-NWDGAFQWSA-N 1 2 308.407 1.056 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CCC(C)(C)C2CC2)CC1 ZINC000913970090 713326370 /nfs/dbraw/zinc/32/63/70/713326370.db2.gz NZGBHCAFWAHHBL-UHFFFAOYSA-N 1 2 312.479 1.783 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CCc3c([nH+]c(C)n3CCO)C2)CC1 ZINC000908119693 712692544 /nfs/dbraw/zinc/69/25/44/712692544.db2.gz RIRPKCHNDGZTQK-HNNXBMFYSA-N 1 2 317.433 1.904 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)o2)C[C@@H]1C(C)(C)C ZINC000919598301 713619710 /nfs/dbraw/zinc/61/97/10/713619710.db2.gz VFFINIBKULYIEW-GFCCVEGCSA-N 1 2 311.407 1.502 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)o2)C[C@@H]1C(C)(C)C ZINC000919598301 713619712 /nfs/dbraw/zinc/61/97/12/713619712.db2.gz VFFINIBKULYIEW-GFCCVEGCSA-N 1 2 311.407 1.502 20 30 DDEDLO CC(C)C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccccc1C#N ZINC000922024667 713940435 /nfs/dbraw/zinc/94/04/35/713940435.db2.gz AUNHJZUPUJSQQM-OAHLLOKOSA-N 1 2 321.446 1.909 20 30 DDEDLO CC(C)C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccccc1C#N ZINC000922024667 713940436 /nfs/dbraw/zinc/94/04/36/713940436.db2.gz AUNHJZUPUJSQQM-OAHLLOKOSA-N 1 2 321.446 1.909 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2cc(C)nn2C(C)(C)C)CC1 ZINC000931142925 714014158 /nfs/dbraw/zinc/01/41/58/714014158.db2.gz ARVISTHIOOJAKW-UHFFFAOYSA-N 1 2 318.421 1.345 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000931414964 714091079 /nfs/dbraw/zinc/09/10/79/714091079.db2.gz ICBVAUZKBVLEGL-UHFFFAOYSA-N 1 2 317.389 1.407 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000931414964 714091081 /nfs/dbraw/zinc/09/10/81/714091081.db2.gz ICBVAUZKBVLEGL-UHFFFAOYSA-N 1 2 317.389 1.407 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)CCO1 ZINC000931478090 714107191 /nfs/dbraw/zinc/10/71/91/714107191.db2.gz HGFKKZWDWSBNKU-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)CCO1 ZINC000931478090 714107194 /nfs/dbraw/zinc/10/71/94/714107194.db2.gz HGFKKZWDWSBNKU-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1sccc1C#N ZINC000931830810 714192936 /nfs/dbraw/zinc/19/29/36/714192936.db2.gz DFNFPWSSFWCBNM-GFCCVEGCSA-N 1 2 308.407 1.852 20 30 DDEDLO C[C@@H](NC(=O)Nc1sccc1C#N)[C@@H](C)[NH+]1CCOCC1 ZINC000931848311 714197214 /nfs/dbraw/zinc/19/72/14/714197214.db2.gz XQKVQWXZSAPQDQ-GHMZBOCLSA-N 1 2 308.407 1.850 20 30 DDEDLO Cn1nccc1[C@H]1CCC[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000932269061 714296675 /nfs/dbraw/zinc/29/66/75/714296675.db2.gz GFMJUEPBFALKLG-QGZVFWFLSA-N 1 2 323.400 1.745 20 30 DDEDLO Cn1nccc1[C@H]1CCC[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000932269061 714296678 /nfs/dbraw/zinc/29/66/78/714296678.db2.gz GFMJUEPBFALKLG-QGZVFWFLSA-N 1 2 323.400 1.745 20 30 DDEDLO C#CC[C@H](COC)NC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000923488587 714373472 /nfs/dbraw/zinc/37/34/72/714373472.db2.gz LFOLVZGEFPMLOK-LLVKDONJSA-N 1 2 310.423 1.042 20 30 DDEDLO COC(=O)c1cccc2c1OCC[N@H+](CCO[C@H](C)C#N)C2 ZINC000932655338 714379234 /nfs/dbraw/zinc/37/92/34/714379234.db2.gz OVVXEENAZYKJGW-GFCCVEGCSA-N 1 2 304.346 1.596 20 30 DDEDLO COC(=O)c1cccc2c1OCC[N@@H+](CCO[C@H](C)C#N)C2 ZINC000932655338 714379239 /nfs/dbraw/zinc/37/92/39/714379239.db2.gz OVVXEENAZYKJGW-GFCCVEGCSA-N 1 2 304.346 1.596 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(Cl)c(O)c(OC)c2)C1 ZINC000923587184 714418401 /nfs/dbraw/zinc/41/84/01/714418401.db2.gz MKJCCHILDPQIPQ-LBPRGKRZSA-N 1 2 322.792 1.882 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(Cl)c(O)c(OC)c2)C1 ZINC000923587184 714418403 /nfs/dbraw/zinc/41/84/03/714418403.db2.gz MKJCCHILDPQIPQ-LBPRGKRZSA-N 1 2 322.792 1.882 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C2C[C@H]3CCC[C@@H](C2)C3=O)C1 ZINC000923589044 714419683 /nfs/dbraw/zinc/41/96/83/714419683.db2.gz CBPNVBXVGNBRHC-VFSICIBPSA-N 1 2 302.418 1.596 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C2C[C@H]3CCC[C@@H](C2)C3=O)C1 ZINC000923589044 714419684 /nfs/dbraw/zinc/41/96/84/714419684.db2.gz CBPNVBXVGNBRHC-VFSICIBPSA-N 1 2 302.418 1.596 20 30 DDEDLO C[N@@H+]1CC[C@H](NC(=O)NCC#CCO)[C@H]1c1ccc(F)c(F)c1 ZINC000923775100 714468097 /nfs/dbraw/zinc/46/80/97/714468097.db2.gz IXDBAJHMRDBEKM-LSDHHAIUSA-N 1 2 323.343 1.005 20 30 DDEDLO C[N@H+]1CC[C@H](NC(=O)NCC#CCO)[C@H]1c1ccc(F)c(F)c1 ZINC000923775100 714468098 /nfs/dbraw/zinc/46/80/98/714468098.db2.gz IXDBAJHMRDBEKM-LSDHHAIUSA-N 1 2 323.343 1.005 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)[C@H](C)C1 ZINC000933172998 714527215 /nfs/dbraw/zinc/52/72/15/714527215.db2.gz WUWXGMKKSFIKGQ-TZMCWYRMSA-N 1 2 315.373 1.770 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)[C@H](C)C1 ZINC000933172998 714527217 /nfs/dbraw/zinc/52/72/17/714527217.db2.gz WUWXGMKKSFIKGQ-TZMCWYRMSA-N 1 2 315.373 1.770 20 30 DDEDLO CCOC(=O)COCC[N@@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933629339 714634403 /nfs/dbraw/zinc/63/44/03/714634403.db2.gz ODSUKAAVBZBYMW-MRXNPFEDSA-N 1 2 318.373 1.511 20 30 DDEDLO CCOC(=O)COCC[N@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933629339 714634405 /nfs/dbraw/zinc/63/44/05/714634405.db2.gz ODSUKAAVBZBYMW-MRXNPFEDSA-N 1 2 318.373 1.511 20 30 DDEDLO CC(C)(O)C[C@@H](O)C[N@@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933632092 714635016 /nfs/dbraw/zinc/63/50/16/714635016.db2.gz NOKCAJIBBWZEOO-HZPDHXFCSA-N 1 2 304.390 1.453 20 30 DDEDLO CC(C)(O)C[C@@H](O)C[N@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000933632092 714635017 /nfs/dbraw/zinc/63/50/17/714635017.db2.gz NOKCAJIBBWZEOO-HZPDHXFCSA-N 1 2 304.390 1.453 20 30 DDEDLO CC(C)(C)[C@@H]1CNC(=O)C[N@@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000933824081 714676806 /nfs/dbraw/zinc/67/68/06/714676806.db2.gz INCDJFPKKRXGER-GJZGRUSLSA-N 1 2 301.390 1.438 20 30 DDEDLO CC(C)(C)[C@@H]1CNC(=O)C[N@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000933824081 714676808 /nfs/dbraw/zinc/67/68/08/714676808.db2.gz INCDJFPKKRXGER-GJZGRUSLSA-N 1 2 301.390 1.438 20 30 DDEDLO COc1ccccc1N(CCC#N)C(=O)[C@H](C)[N@@H+]1CC[C@@H]1CO ZINC000934024061 714724521 /nfs/dbraw/zinc/72/45/21/714724521.db2.gz NZSOHJDYMYGKHA-UONOGXRCSA-N 1 2 317.389 1.397 20 30 DDEDLO COc1ccccc1N(CCC#N)C(=O)[C@H](C)[N@H+]1CC[C@@H]1CO ZINC000934024061 714724522 /nfs/dbraw/zinc/72/45/22/714724522.db2.gz NZSOHJDYMYGKHA-UONOGXRCSA-N 1 2 317.389 1.397 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1cnn(C)n1 ZINC000926274516 715040016 /nfs/dbraw/zinc/04/00/16/715040016.db2.gz BAICFEIDRWDEEC-IUODEOHRSA-N 1 2 324.388 1.138 20 30 DDEDLO C=C1CCC(CNC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)CC1 ZINC000926543334 715084766 /nfs/dbraw/zinc/08/47/66/715084766.db2.gz QLFUAYPHPBIFFJ-CVEARBPZSA-N 1 2 307.438 1.847 20 30 DDEDLO C=C1CCC(CNC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)CC1 ZINC000926543334 715084770 /nfs/dbraw/zinc/08/47/70/715084770.db2.gz QLFUAYPHPBIFFJ-CVEARBPZSA-N 1 2 307.438 1.847 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(C)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000954932215 715551130 /nfs/dbraw/zinc/55/11/30/715551130.db2.gz OOUIWOMGGMXATA-UHFFFAOYSA-N 1 2 304.394 1.142 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2c(Cl)nc3ccccn32)CC1 ZINC000957564323 715954018 /nfs/dbraw/zinc/95/40/18/715954018.db2.gz MNSZMOPXFDJMLU-UHFFFAOYSA-N 1 2 304.781 1.932 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@H]2C)C1 ZINC000939996342 716558413 /nfs/dbraw/zinc/55/84/13/716558413.db2.gz PUAMDOIXXDBVKE-TZMCWYRMSA-N 1 2 316.405 1.272 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccs4)C[C@H]32)cc1 ZINC000961441322 716947963 /nfs/dbraw/zinc/94/79/63/716947963.db2.gz LKPKNXFUTMKUON-QLPKVWCKSA-N 1 2 323.421 1.985 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccs4)C[C@H]32)cc1 ZINC000961441322 716947966 /nfs/dbraw/zinc/94/79/66/716947966.db2.gz LKPKNXFUTMKUON-QLPKVWCKSA-N 1 2 323.421 1.985 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC[C@@H]3CC)CC2)C1 ZINC000941553111 717207426 /nfs/dbraw/zinc/20/74/26/717207426.db2.gz LYCZLJDIPLVSJT-DOTOQJQBSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C(C)(C)C(C)(F)F)CC2)C1 ZINC000941653089 717244618 /nfs/dbraw/zinc/24/46/18/717244618.db2.gz AGUFQTWNDJDZQY-UHFFFAOYSA-N 1 2 313.392 1.129 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C(C)(CC)CC)CC2)C1 ZINC000941671292 717250186 /nfs/dbraw/zinc/25/01/86/717250186.db2.gz HEQUPTHXQVMBDD-UHFFFAOYSA-N 1 2 305.466 1.664 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964905220 717472541 /nfs/dbraw/zinc/47/25/41/717472541.db2.gz NUZRKPDVBRUPRL-BXKDBHETSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964905220 717472545 /nfs/dbraw/zinc/47/25/45/717472545.db2.gz NUZRKPDVBRUPRL-BXKDBHETSA-N 1 2 310.785 1.375 20 30 DDEDLO N#CCN1CCC[C@H]1[C@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC000963297944 717730461 /nfs/dbraw/zinc/73/04/61/717730461.db2.gz KDTHRFMVAAUGHO-GJZGRUSLSA-N 1 2 315.421 1.569 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000965891153 717799573 /nfs/dbraw/zinc/79/95/73/717799573.db2.gz RIRLRCNEEHJZCS-IINYFYTJSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000965891153 717799578 /nfs/dbraw/zinc/79/95/78/717799578.db2.gz RIRLRCNEEHJZCS-IINYFYTJSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC000965891153 717799581 /nfs/dbraw/zinc/79/95/81/717799581.db2.gz RIRLRCNEEHJZCS-IINYFYTJSA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC000965891153 717799584 /nfs/dbraw/zinc/79/95/84/717799584.db2.gz RIRLRCNEEHJZCS-IINYFYTJSA-N 1 2 310.829 1.840 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000966666037 718621976 /nfs/dbraw/zinc/62/19/76/718621976.db2.gz JUJTVZLEXXZADD-QWHCGFSZSA-N 1 2 304.394 1.058 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876135 718690090 /nfs/dbraw/zinc/69/00/90/718690090.db2.gz VSLFBYUZMIOSEU-DGCLKSJQSA-N 1 2 318.446 1.879 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876135 718690093 /nfs/dbraw/zinc/69/00/93/718690093.db2.gz VSLFBYUZMIOSEU-DGCLKSJQSA-N 1 2 318.446 1.879 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(=O)c(OC)co2)C1 ZINC000967397412 718868407 /nfs/dbraw/zinc/86/84/07/718868407.db2.gz OPXVNVWBNLFABZ-STQMWFEESA-N 1 2 318.373 1.112 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(=O)c(OC)co2)C1 ZINC000967397412 718868413 /nfs/dbraw/zinc/86/84/13/718868413.db2.gz OPXVNVWBNLFABZ-STQMWFEESA-N 1 2 318.373 1.112 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(=O)c(OC)co2)C1 ZINC000967397408 718869053 /nfs/dbraw/zinc/86/90/53/718869053.db2.gz OPXVNVWBNLFABZ-CHWSQXEVSA-N 1 2 318.373 1.112 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(=O)c(OC)co2)C1 ZINC000967397408 718869056 /nfs/dbraw/zinc/86/90/56/718869056.db2.gz OPXVNVWBNLFABZ-CHWSQXEVSA-N 1 2 318.373 1.112 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1ncccn1 ZINC000947175610 719060902 /nfs/dbraw/zinc/06/09/02/719060902.db2.gz UFBILDATCBVCRS-TZMCWYRMSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1ncccn1 ZINC000947175610 719060906 /nfs/dbraw/zinc/06/09/06/719060906.db2.gz UFBILDATCBVCRS-TZMCWYRMSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2ncn(-c3ccccc3)n2)C1 ZINC000967941964 719095995 /nfs/dbraw/zinc/09/59/95/719095995.db2.gz BIFIRQCDJLPMGX-ZIAGYGMSSA-N 1 2 324.388 1.117 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cn(C)ccc2=O)C[C@H]1C ZINC000947536070 719201376 /nfs/dbraw/zinc/20/13/76/719201376.db2.gz DKSQEGMUFJUGMD-CHWSQXEVSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cn(C)ccc2=O)C[C@H]1C ZINC000947536070 719201377 /nfs/dbraw/zinc/20/13/77/719201377.db2.gz DKSQEGMUFJUGMD-CHWSQXEVSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000947696712 719246655 /nfs/dbraw/zinc/24/66/55/719246655.db2.gz SXOWTGQLGPCQOJ-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000968335217 719515831 /nfs/dbraw/zinc/51/58/31/719515831.db2.gz MVXMUAZIRKMWAV-RNCFNFMXSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000968335217 719515835 /nfs/dbraw/zinc/51/58/35/719515835.db2.gz MVXMUAZIRKMWAV-RNCFNFMXSA-N 1 2 324.812 1.306 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc3c2oc(=O)n3C)CC1 ZINC000948756142 719688632 /nfs/dbraw/zinc/68/86/32/719688632.db2.gz XVPLVVOKNGMBDK-UHFFFAOYSA-N 1 2 301.346 1.075 20 30 DDEDLO CCc1nnc([C@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000968613086 719692030 /nfs/dbraw/zinc/69/20/30/719692030.db2.gz BYHMXIRPTUYGKY-WCQYABFASA-N 1 2 302.378 1.297 20 30 DDEDLO N#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000968913102 719863756 /nfs/dbraw/zinc/86/37/56/719863756.db2.gz BMQHCRHWNNLDKN-GJZGRUSLSA-N 1 2 315.421 1.330 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2cncc(OC)c2)CC1 ZINC000949211367 719959713 /nfs/dbraw/zinc/95/97/13/719959713.db2.gz IKKILVYIHXOCGL-UHFFFAOYSA-N 1 2 301.390 1.190 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cccc(C)c3)CC2)C1 ZINC000949290139 719996418 /nfs/dbraw/zinc/99/64/18/719996418.db2.gz VCLLHVPARWYPFS-UHFFFAOYSA-N 1 2 312.413 1.935 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cccc(C)c3)CC2)C1 ZINC000949290139 719996419 /nfs/dbraw/zinc/99/64/19/719996419.db2.gz VCLLHVPARWYPFS-UHFFFAOYSA-N 1 2 312.413 1.935 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cscn3)CC2)C1 ZINC000949317527 720014279 /nfs/dbraw/zinc/01/42/79/720014279.db2.gz QVHCWRUSAHHBHX-UHFFFAOYSA-N 1 2 305.403 1.083 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cscn3)CC2)C1 ZINC000949317527 720014283 /nfs/dbraw/zinc/01/42/83/720014283.db2.gz QVHCWRUSAHHBHX-UHFFFAOYSA-N 1 2 305.403 1.083 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2c(C)nc(C(C)C)[nH]c2=O)CC1 ZINC000949590019 720193826 /nfs/dbraw/zinc/19/38/26/720193826.db2.gz CGWNRUJLGLDUAZ-UHFFFAOYSA-N 1 2 316.405 1.395 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC000950051679 720468868 /nfs/dbraw/zinc/46/88/68/720468868.db2.gz QMMXUCUTOCSIGZ-KRWDZBQOSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC000969993584 720589933 /nfs/dbraw/zinc/58/99/33/720589933.db2.gz UKPVIVUZGLDXEZ-STQMWFEESA-N 1 2 322.840 1.635 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncnc3sccc32)C1 ZINC000950356883 720594009 /nfs/dbraw/zinc/59/40/09/720594009.db2.gz AQEKMWZQXQSXEO-UHFFFAOYSA-N 1 2 314.414 1.861 20 30 DDEDLO C=C(C)CC[NH+]1CC(N(CC)C(=O)[C@@H]2CN(C(C)C)CCO2)C1 ZINC000951366346 721004437 /nfs/dbraw/zinc/00/44/37/721004437.db2.gz XPDQQYIGWCNQFH-KRWDZBQOSA-N 1 2 323.481 1.595 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CC23CCOCC3)C1 ZINC000970996059 721044983 /nfs/dbraw/zinc/04/49/83/721044983.db2.gz HCZXLUXXWGDMPK-JSGCOSHPSA-N 1 2 312.841 1.992 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)CSC)C1 ZINC000971034224 721070313 /nfs/dbraw/zinc/07/03/13/721070313.db2.gz LEKIKDWDJXTMMD-SNVBAGLBSA-N 1 2 307.257 1.791 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)CSC)C1 ZINC000971034224 721070315 /nfs/dbraw/zinc/07/03/15/721070315.db2.gz LEKIKDWDJXTMMD-SNVBAGLBSA-N 1 2 307.257 1.791 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000951916739 721235626 /nfs/dbraw/zinc/23/56/26/721235626.db2.gz MPXYIOAOQXUKAT-DYVFJYSZSA-N 1 2 318.421 1.662 20 30 DDEDLO C[N@H+](Cc1nccs1)[C@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971371033 721263563 /nfs/dbraw/zinc/26/35/63/721263563.db2.gz BSOZHEXWJLALGO-ZDUSSCGKSA-N 1 2 315.402 1.689 20 30 DDEDLO C[N@@H+](Cc1nccs1)[C@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971371033 721263568 /nfs/dbraw/zinc/26/35/68/721263568.db2.gz BSOZHEXWJLALGO-ZDUSSCGKSA-N 1 2 315.402 1.689 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cc(C)c(C(N)=O)cn2)C1 ZINC000952297154 721397730 /nfs/dbraw/zinc/39/77/30/721397730.db2.gz IWOMLNWVXBGDQR-UHFFFAOYSA-N 1 2 316.405 1.211 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN2C(=O)C2CC2)C1 ZINC000952415386 721441144 /nfs/dbraw/zinc/44/11/44/721441144.db2.gz PTTDZVMUCDKGPK-INIZCTEOSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCCC(=O)NC[C@H](Nc1[nH+]cnc2c1cnn2C)C(C)C ZINC001105134432 737568237 /nfs/dbraw/zinc/56/82/37/737568237.db2.gz ATWVAJGDTDAMFB-ZDUSSCGKSA-N 1 2 316.409 1.882 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3occc3Cl)[C@H]2C1 ZINC001083185453 732321774 /nfs/dbraw/zinc/32/17/74/732321774.db2.gz UBNIPIQSOWOIOY-QWHCGFSZSA-N 1 2 308.765 1.482 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3occc3Cl)[C@H]2C1 ZINC001083185453 732321779 /nfs/dbraw/zinc/32/17/79/732321779.db2.gz UBNIPIQSOWOIOY-QWHCGFSZSA-N 1 2 308.765 1.482 20 30 DDEDLO CC(C)c1nsc(C[NH2+]CCNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001125370883 732921138 /nfs/dbraw/zinc/92/11/38/732921138.db2.gz YEHHNMPOXAYGLG-UHFFFAOYSA-N 1 2 318.406 1.381 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)co1 ZINC001027813147 738689443 /nfs/dbraw/zinc/68/94/43/738689443.db2.gz MRYCRVYVKOBHIF-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(C[N@H+]2CCC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)co1 ZINC001027813147 738689444 /nfs/dbraw/zinc/68/94/44/738689444.db2.gz MRYCRVYVKOBHIF-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1CNC(=O)c1cnc([C@@H](C)OC)s1 ZINC001027885476 738773698 /nfs/dbraw/zinc/77/36/98/738773698.db2.gz FKUZMULZMPCZCE-NEPJUHHUSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1cnc([C@@H](C)OC)s1 ZINC001027885476 738773700 /nfs/dbraw/zinc/77/37/00/738773700.db2.gz FKUZMULZMPCZCE-NEPJUHHUSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1CNC(=O)c1nc2cccnc2s1 ZINC001027934499 738834384 /nfs/dbraw/zinc/83/43/84/738834384.db2.gz RZLMXXAEUSKJFS-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1nc2cccnc2s1 ZINC001027934499 738834388 /nfs/dbraw/zinc/83/43/88/738834388.db2.gz RZLMXXAEUSKJFS-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccoc3CCC)[C@H]2C1 ZINC001083247113 734804440 /nfs/dbraw/zinc/80/44/40/734804440.db2.gz ATXLUYYLUXNVKK-GOEBONIOSA-N 1 2 302.374 1.391 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccoc3CCC)[C@H]2C1 ZINC001083247113 734804442 /nfs/dbraw/zinc/80/44/42/734804442.db2.gz ATXLUYYLUXNVKK-GOEBONIOSA-N 1 2 302.374 1.391 20 30 DDEDLO C#CCNC(=O)c1ccccc1NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001125630234 734846745 /nfs/dbraw/zinc/84/67/45/734846745.db2.gz QOLOCORNPFXMQI-CYBMUJFWSA-N 1 2 310.357 1.836 20 30 DDEDLO C[C@H]1CN(c2ncccc2C#N)CC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067441320 735019741 /nfs/dbraw/zinc/01/97/41/735019741.db2.gz XUIQCXSEEOLZNM-WFASDCNBSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001024316481 735745436 /nfs/dbraw/zinc/74/54/36/735745436.db2.gz QJXSCPXRANJTOC-AWEZNQCLSA-N 1 2 309.797 1.657 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001024316481 735745440 /nfs/dbraw/zinc/74/54/40/735745440.db2.gz QJXSCPXRANJTOC-AWEZNQCLSA-N 1 2 309.797 1.657 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H]1CNC(=O)c1[nH]nc(CC)c1Cl ZINC001024482426 735859425 /nfs/dbraw/zinc/85/94/25/735859425.db2.gz FHMAOEPLVJKCIZ-NSHDSACASA-N 1 2 308.813 1.843 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1[nH]nc(CC)c1Cl ZINC001024482426 735859427 /nfs/dbraw/zinc/85/94/27/735859427.db2.gz FHMAOEPLVJKCIZ-NSHDSACASA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001024494444 735872523 /nfs/dbraw/zinc/87/25/23/735872523.db2.gz NYYHGPCXLLBNSO-LLVKDONJSA-N 1 2 312.801 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001024494444 735872529 /nfs/dbraw/zinc/87/25/29/735872529.db2.gz NYYHGPCXLLBNSO-LLVKDONJSA-N 1 2 312.801 1.761 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@@H]2CNC(=O)c2cn[nH]n2)cc1 ZINC001024525077 735899354 /nfs/dbraw/zinc/89/93/54/735899354.db2.gz HHQJXGKJGRBTFT-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@@H]2CNC(=O)c2cnn[nH]2)cc1 ZINC001024525077 735899358 /nfs/dbraw/zinc/89/93/58/735899358.db2.gz HHQJXGKJGRBTFT-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@@H]2CNC(=O)c2cnn[nH]2)cc1 ZINC001024525077 735899362 /nfs/dbraw/zinc/89/93/62/735899362.db2.gz HHQJXGKJGRBTFT-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@H]2CCCCN2C(=O)[C@H](C)C#N)n1 ZINC001024902009 736159508 /nfs/dbraw/zinc/15/95/08/736159508.db2.gz HCBRAPMFVSQRBU-CHWSQXEVSA-N 1 2 319.409 1.652 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)N(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001104554277 736287398 /nfs/dbraw/zinc/28/73/98/736287398.db2.gz XIYWOLUREUXJMW-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001007603212 736484881 /nfs/dbraw/zinc/48/48/81/736484881.db2.gz JJIYWAWWPVGVNJ-GFCCVEGCSA-N 1 2 324.812 1.333 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001007603212 736484883 /nfs/dbraw/zinc/48/48/83/736484883.db2.gz JJIYWAWWPVGVNJ-GFCCVEGCSA-N 1 2 324.812 1.333 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104766295 737181605 /nfs/dbraw/zinc/18/16/05/737181605.db2.gz GRLBCOOOZXEUOR-NSHDSACASA-N 1 2 320.441 1.717 20 30 DDEDLO C[C@@H](CNc1cccc(F)c1C#N)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001104826472 737252599 /nfs/dbraw/zinc/25/25/99/737252599.db2.gz XJUDACDWERHFCD-NSHDSACASA-N 1 2 315.352 1.922 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@H](NC(=O)C[C@H]2CCCS2(=O)=O)C1 ZINC001008061470 737374145 /nfs/dbraw/zinc/37/41/45/737374145.db2.gz GNOLPPCLTOOTPW-UONOGXRCSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@H](NC(=O)C[C@H]2CCCS2(=O)=O)C1 ZINC001008061470 737374148 /nfs/dbraw/zinc/37/41/48/737374148.db2.gz GNOLPPCLTOOTPW-UONOGXRCSA-N 1 2 314.451 1.110 20 30 DDEDLO Cc1nc(N2CCC[C@H]2CNC(=O)[C@H](C)C#N)c(C)c(C)[nH+]1 ZINC001100809466 737523267 /nfs/dbraw/zinc/52/32/67/737523267.db2.gz DAWSRLOTJFDZIY-YGRLFVJLSA-N 1 2 301.394 1.647 20 30 DDEDLO N#CCNC1CCC(NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001026558917 737661424 /nfs/dbraw/zinc/66/14/24/737661424.db2.gz SPVBHNIDMITQTC-WXRRBKDZSA-N 1 2 301.394 1.370 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105309864 737807433 /nfs/dbraw/zinc/80/74/33/737807433.db2.gz FYWVYYODNZWPLN-GFCCVEGCSA-N 1 2 309.389 1.323 20 30 DDEDLO CN(CCCN(C)c1ccncc1C#N)C(=O)Cn1cc[nH+]c1 ZINC001112100296 737847001 /nfs/dbraw/zinc/84/70/01/737847001.db2.gz MKTYLGDVTFAUEY-UHFFFAOYSA-N 1 2 312.377 1.135 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H](C)CC)C2)nn1 ZINC001098622587 737903472 /nfs/dbraw/zinc/90/34/72/737903472.db2.gz LUOGPPRFZIBHNV-HIFRSBDPSA-N 1 2 303.410 1.211 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)[C@@H]1CCCO1 ZINC001006672091 737921946 /nfs/dbraw/zinc/92/19/46/737921946.db2.gz OZEQNMWWIGYARY-ROUUACIJSA-N 1 2 312.413 1.798 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)[C@@H]1CCCO1 ZINC001006672091 737921948 /nfs/dbraw/zinc/92/19/48/737921948.db2.gz OZEQNMWWIGYARY-ROUUACIJSA-N 1 2 312.413 1.798 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105334631 737959332 /nfs/dbraw/zinc/95/93/32/737959332.db2.gz KIHAQHCCZLMORT-UHFFFAOYSA-N 1 2 315.421 1.377 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)[C@H]2CC2(F)F)C1 ZINC001014381988 742145779 /nfs/dbraw/zinc/14/57/79/742145779.db2.gz GFPPVNFNCCXARK-UONOGXRCSA-N 1 2 305.328 1.904 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)[C@H]2CC2(F)F)C1 ZINC001014381988 742145782 /nfs/dbraw/zinc/14/57/82/742145782.db2.gz GFPPVNFNCCXARK-UONOGXRCSA-N 1 2 305.328 1.904 20 30 DDEDLO Cc1ccnc(C[N@@H+](C)[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001027336494 738208722 /nfs/dbraw/zinc/20/87/22/738208722.db2.gz RJLPUDAJYGLQSL-OCCSQVGLSA-N 1 2 301.394 1.368 20 30 DDEDLO Cc1ccnc(C[N@H+](C)[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001027336494 738208725 /nfs/dbraw/zinc/20/87/25/738208725.db2.gz RJLPUDAJYGLQSL-OCCSQVGLSA-N 1 2 301.394 1.368 20 30 DDEDLO Cc1nonc1C[N@@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405065 738269329 /nfs/dbraw/zinc/26/93/29/738269329.db2.gz AGVSWDXRTUHJRA-CQSZACIVSA-N 1 2 302.378 1.214 20 30 DDEDLO Cc1nonc1C[N@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405065 738269332 /nfs/dbraw/zinc/26/93/32/738269332.db2.gz AGVSWDXRTUHJRA-CQSZACIVSA-N 1 2 302.378 1.214 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cn(CCC)nn1 ZINC001028231245 739202514 /nfs/dbraw/zinc/20/25/14/739202514.db2.gz YXHDTHBVPXTBAI-LBPRGKRZSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cn(CCC)nn1 ZINC001028231245 739202516 /nfs/dbraw/zinc/20/25/16/739202516.db2.gz YXHDTHBVPXTBAI-LBPRGKRZSA-N 1 2 311.817 1.635 20 30 DDEDLO N#CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001087438987 739210577 /nfs/dbraw/zinc/21/05/77/739210577.db2.gz YXISVFZAYKXWGX-IAGOWNOFSA-N 1 2 323.400 1.450 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)c(C)s1 ZINC001075621276 739231307 /nfs/dbraw/zinc/23/13/07/739231307.db2.gz URTFEVWMGQANDP-VZJVUDMVSA-N 1 2 318.446 1.952 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)c(C)s1 ZINC001075621276 739231310 /nfs/dbraw/zinc/23/13/10/739231310.db2.gz URTFEVWMGQANDP-VZJVUDMVSA-N 1 2 318.446 1.952 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1conc1COC ZINC001028256612 739241164 /nfs/dbraw/zinc/24/11/64/739241164.db2.gz LFLPTLLFQMPUTI-NSHDSACASA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1conc1COC ZINC001028256612 739241165 /nfs/dbraw/zinc/24/11/65/739241165.db2.gz LFLPTLLFQMPUTI-NSHDSACASA-N 1 2 313.785 1.768 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+]Cc1nc(C2CCCC2)no1 ZINC001126365281 739458109 /nfs/dbraw/zinc/45/81/09/739458109.db2.gz IJXKYFZEFDIWFK-INIZCTEOSA-N 1 2 322.409 1.260 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cn(C(C)(C)C)nn2)C1 ZINC001035399602 751468824 /nfs/dbraw/zinc/46/88/24/751468824.db2.gz UHKMOKSBVNBJJV-CYBMUJFWSA-N 1 2 321.425 1.040 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cn(C(C)(C)C)nn2)C1 ZINC001035399602 751468830 /nfs/dbraw/zinc/46/88/30/751468830.db2.gz UHKMOKSBVNBJJV-CYBMUJFWSA-N 1 2 321.425 1.040 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098680753 739708710 /nfs/dbraw/zinc/70/87/10/739708710.db2.gz JDGZUPKIEVVVFM-CQSZACIVSA-N 1 2 309.389 1.467 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)ccnc2OCC)C1 ZINC001035457007 751504883 /nfs/dbraw/zinc/50/48/83/751504883.db2.gz CJAGRCPCDRYAHL-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c(C)ccnc2OCC)C1 ZINC001035457007 751504886 /nfs/dbraw/zinc/50/48/86/751504886.db2.gz CJAGRCPCDRYAHL-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+](Cc3nnc(C)[nH]3)C2)CC1 ZINC001028781025 740027461 /nfs/dbraw/zinc/02/74/61/740027461.db2.gz QSUGNZFGAMOVOJ-AWEZNQCLSA-N 1 2 317.437 1.798 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+](Cc3nnc(C)[nH]3)C2)CC1 ZINC001028781025 740027464 /nfs/dbraw/zinc/02/74/64/740027464.db2.gz QSUGNZFGAMOVOJ-AWEZNQCLSA-N 1 2 317.437 1.798 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3c2O[C@@H](C)C3)C1 ZINC001035449291 751526887 /nfs/dbraw/zinc/52/68/87/751526887.db2.gz KVPAWUFDSAPVIG-ZFWWWQNUSA-N 1 2 316.401 1.627 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3c2O[C@@H](C)C3)C1 ZINC001035449291 751526892 /nfs/dbraw/zinc/52/68/92/751526892.db2.gz KVPAWUFDSAPVIG-ZFWWWQNUSA-N 1 2 316.401 1.627 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3n2CCCC3)C1 ZINC001035485676 751538832 /nfs/dbraw/zinc/53/88/32/751538832.db2.gz SPMCXIBMDVQTLK-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnc3n2CCCC3)C1 ZINC001035485676 751538834 /nfs/dbraw/zinc/53/88/34/751538834.db2.gz SPMCXIBMDVQTLK-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001012226259 740408424 /nfs/dbraw/zinc/40/84/24/740408424.db2.gz HDLHURAKQRZLNR-MRXNPFEDSA-N 1 2 304.394 1.225 20 30 DDEDLO N#Cc1cncc(Br)c1NCCCn1cc[nH+]c1 ZINC001161688483 740494268 /nfs/dbraw/zinc/49/42/68/740494268.db2.gz ULQJGSCRHKTRFD-UHFFFAOYSA-N 1 2 306.167 1.836 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001035465999 751551026 /nfs/dbraw/zinc/55/10/26/751551026.db2.gz KKIRIASRWTYBPG-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001035465999 751551028 /nfs/dbraw/zinc/55/10/28/751551028.db2.gz KKIRIASRWTYBPG-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@H]4CN(CC#N)C[C@H]43)ccn12 ZINC001075791514 740508225 /nfs/dbraw/zinc/50/82/25/740508225.db2.gz QYQUGSGUBGUARZ-LSDHHAIUSA-N 1 2 309.373 1.313 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc(OCC)c2)C1 ZINC001035500716 751556888 /nfs/dbraw/zinc/55/68/88/751556888.db2.gz QAZUJGDELJASGR-HNNXBMFYSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccnc(OCC)c2)C1 ZINC001035500716 751556890 /nfs/dbraw/zinc/55/68/90/751556890.db2.gz QAZUJGDELJASGR-HNNXBMFYSA-N 1 2 319.405 1.487 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N1C[C@H]3CC[C@@H](C1)N3CC#N)C2 ZINC001029366173 740604437 /nfs/dbraw/zinc/60/44/37/740604437.db2.gz CZVLJIFZOSFORM-MELADBBJSA-N 1 2 313.405 1.022 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N1C[C@H]3CC[C@@H](C1)N3CC#N)CC2 ZINC001029366173 740604439 /nfs/dbraw/zinc/60/44/39/740604439.db2.gz CZVLJIFZOSFORM-MELADBBJSA-N 1 2 313.405 1.022 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)C1CC1 ZINC001029421468 740755926 /nfs/dbraw/zinc/75/59/26/740755926.db2.gz AXVFUXLJFHQGAP-GASCZTMLSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(C)C1CC1 ZINC001029421468 740755927 /nfs/dbraw/zinc/75/59/27/740755927.db2.gz AXVFUXLJFHQGAP-GASCZTMLSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098706177 740768803 /nfs/dbraw/zinc/76/88/03/740768803.db2.gz UKVKQGYSFRILEH-HIFRSBDPSA-N 1 2 323.416 1.713 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cn3cc[nH+]c3C)C[C@@H]2C1 ZINC001088201523 741104340 /nfs/dbraw/zinc/10/43/40/741104340.db2.gz GXNKWIXWUMNDGX-CABCVRRESA-N 1 2 322.840 1.724 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cn3ccnc3C)C[C@@H]2C1 ZINC001088201523 741104342 /nfs/dbraw/zinc/10/43/42/741104342.db2.gz GXNKWIXWUMNDGX-CABCVRRESA-N 1 2 322.840 1.724 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cn3ccnc3C)C[C@@H]2C1 ZINC001088201523 741104344 /nfs/dbraw/zinc/10/43/44/741104344.db2.gz GXNKWIXWUMNDGX-CABCVRRESA-N 1 2 322.840 1.724 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029828640 741365027 /nfs/dbraw/zinc/36/50/27/741365027.db2.gz HHKFPTOMVUWLGF-WMLDXEAASA-N 1 2 319.453 1.996 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029828640 741365031 /nfs/dbraw/zinc/36/50/31/741365031.db2.gz HHKFPTOMVUWLGF-WMLDXEAASA-N 1 2 319.453 1.996 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(Cl)cc(OC)c2)C1 ZINC001035553437 751636114 /nfs/dbraw/zinc/63/61/14/751636114.db2.gz CLJPJUGAEGQLCP-OAHLLOKOSA-N 1 2 324.808 1.965 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(Cl)cc(OC)c2)C1 ZINC001035553437 751636115 /nfs/dbraw/zinc/63/61/15/751636115.db2.gz CLJPJUGAEGQLCP-OAHLLOKOSA-N 1 2 324.808 1.965 20 30 DDEDLO Cc1ocnc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038106149 741715802 /nfs/dbraw/zinc/71/58/02/741715802.db2.gz JPEDUQSAFSVFFR-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1ocnc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038106149 741715804 /nfs/dbraw/zinc/71/58/04/741715804.db2.gz JPEDUQSAFSVFFR-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ncsc2C(C)C)C1 ZINC001035576431 751664396 /nfs/dbraw/zinc/66/43/96/751664396.db2.gz GPUNMROZPOJJFW-CYBMUJFWSA-N 1 2 321.446 1.720 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ncsc2C(C)C)C1 ZINC001035576431 751664400 /nfs/dbraw/zinc/66/44/00/751664400.db2.gz GPUNMROZPOJJFW-CYBMUJFWSA-N 1 2 321.446 1.720 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3cccnc23)C1 ZINC001035576800 751665004 /nfs/dbraw/zinc/66/50/04/751665004.db2.gz KYAGKIZDCRNJOG-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3cccnc23)C1 ZINC001035576800 751665009 /nfs/dbraw/zinc/66/50/09/751665009.db2.gz KYAGKIZDCRNJOG-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098243767 741890131 /nfs/dbraw/zinc/89/01/31/741890131.db2.gz FGYAXSZAELIMND-ZBEGNZNMSA-N 1 2 316.409 1.882 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212038957 741949487 /nfs/dbraw/zinc/94/94/87/741949487.db2.gz BTTQZMBLPJZRDW-NILFDRSVSA-N 1 2 321.808 1.815 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212038957 741949490 /nfs/dbraw/zinc/94/94/90/741949490.db2.gz BTTQZMBLPJZRDW-NILFDRSVSA-N 1 2 321.808 1.815 20 30 DDEDLO CCc1nc(C)c(C[N@@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)[C@H](OC)C2)o1 ZINC001212041092 741950859 /nfs/dbraw/zinc/95/08/59/741950859.db2.gz DRPFEPGNBOJCCE-ZKYQVNSYSA-N 1 2 320.393 1.021 20 30 DDEDLO CCc1nc(C)c(C[N@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)[C@H](OC)C2)o1 ZINC001212041092 741950861 /nfs/dbraw/zinc/95/08/61/741950861.db2.gz DRPFEPGNBOJCCE-ZKYQVNSYSA-N 1 2 320.393 1.021 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3cccc(C)c3O2)C1 ZINC001035628635 751700408 /nfs/dbraw/zinc/70/04/08/751700408.db2.gz WXMLMHURAFBICK-HOTGVXAUSA-N 1 2 316.401 1.302 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3cccc(C)c3O2)C1 ZINC001035628635 751700411 /nfs/dbraw/zinc/70/04/11/751700411.db2.gz WXMLMHURAFBICK-HOTGVXAUSA-N 1 2 316.401 1.302 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnc(OC)n2)[C@H]1C ZINC001088735999 742265048 /nfs/dbraw/zinc/26/50/48/742265048.db2.gz VRDNOSDSFNBKAJ-MNOVXSKESA-N 1 2 310.785 1.430 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnc(OC)n2)[C@H]1C ZINC001088735999 742265051 /nfs/dbraw/zinc/26/50/51/742265051.db2.gz VRDNOSDSFNBKAJ-MNOVXSKESA-N 1 2 310.785 1.430 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)[C@H]1C ZINC001088764208 742326888 /nfs/dbraw/zinc/32/68/88/742326888.db2.gz QIXBEKWAKBHHSF-SYQHCUMBSA-N 1 2 322.840 1.855 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)[C@H]1C ZINC001088764208 742326894 /nfs/dbraw/zinc/32/68/94/742326894.db2.gz QIXBEKWAKBHHSF-SYQHCUMBSA-N 1 2 322.840 1.855 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3ccc(C(N)=O)o3)[C@@H]2C1 ZINC001076018515 742491430 /nfs/dbraw/zinc/49/14/30/742491430.db2.gz UJOZTNAUJJTEDG-WDEREUQCSA-N 1 2 323.780 1.277 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccc(C(N)=O)o3)[C@@H]2C1 ZINC001076018515 742491431 /nfs/dbraw/zinc/49/14/31/742491431.db2.gz UJOZTNAUJJTEDG-WDEREUQCSA-N 1 2 323.780 1.277 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)[C@H]1COCCO1)CC2 ZINC001035658922 751737011 /nfs/dbraw/zinc/73/70/11/751737011.db2.gz UPYROHBIQBDLRI-CYBMUJFWSA-N 1 2 314.813 1.079 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(C(N)=O)c[nH]3)[C@@H]2C1 ZINC001076406563 742709637 /nfs/dbraw/zinc/70/96/37/742709637.db2.gz QWQRIUGGGPJYBM-GXFFZTMASA-N 1 2 322.796 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(C(N)=O)c[nH]3)[C@@H]2C1 ZINC001076406563 742709640 /nfs/dbraw/zinc/70/96/40/742709640.db2.gz QWQRIUGGGPJYBM-GXFFZTMASA-N 1 2 322.796 1.012 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncccc2CC)C1 ZINC001108042819 743042738 /nfs/dbraw/zinc/04/27/38/743042738.db2.gz XEHFPNYBRUDEGO-QGZVFWFLSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncccc2CC)C1 ZINC001108042819 743042746 /nfs/dbraw/zinc/04/27/46/743042746.db2.gz XEHFPNYBRUDEGO-QGZVFWFLSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CCC2(C[NH+](CC(N)=O)C2)CC1 ZINC001035712441 751798846 /nfs/dbraw/zinc/79/88/46/751798846.db2.gz KJJOIEXSAHBJOW-UHFFFAOYSA-N 1 2 313.829 1.175 20 30 DDEDLO CCN(C(=O)C(C)(C)Oc1ccc(C#N)cc1)C1C[NH+](C)C1 ZINC001181201999 743104005 /nfs/dbraw/zinc/10/40/05/743104005.db2.gz IGPXFZNVICOPBU-UHFFFAOYSA-N 1 2 301.390 1.878 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NCC[NH2+][C@H](C)c1nnc(C)o1 ZINC001128190022 743221331 /nfs/dbraw/zinc/22/13/31/743221331.db2.gz ICGYKYWJMNGJGW-MRVPVSSYSA-N 1 2 300.790 1.924 20 30 DDEDLO C#CCC[NH2+]C[C@]1(C)CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001181564024 743263217 /nfs/dbraw/zinc/26/32/17/743263217.db2.gz IQMPEKOFWQNVLJ-NTZNESFSSA-N 1 2 308.319 1.984 20 30 DDEDLO C#CCC[NH2+]C[C@]1(C)CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1C ZINC001181564024 743263223 /nfs/dbraw/zinc/26/32/23/743263223.db2.gz IQMPEKOFWQNVLJ-NTZNESFSSA-N 1 2 308.319 1.984 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC001181644176 743268205 /nfs/dbraw/zinc/26/82/05/743268205.db2.gz HHHLFURNRJSMGA-GFCCVEGCSA-N 1 2 322.409 1.260 20 30 DDEDLO C#CCC1(C(=O)N[C@@H](C)CCNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001077184677 743301419 /nfs/dbraw/zinc/30/14/19/743301419.db2.gz QPSNAKMNRMFRKL-AWEZNQCLSA-N 1 2 316.405 1.088 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001128263843 743403070 /nfs/dbraw/zinc/40/30/70/743403070.db2.gz JXOATCGODVTYSW-UHFFFAOYSA-N 1 2 312.439 1.745 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001182112797 743480645 /nfs/dbraw/zinc/48/06/45/743480645.db2.gz FKPWNOHDLUPRIC-GXTWGEPZSA-N 1 2 304.394 1.823 20 30 DDEDLO C#C[C@H](C)NC(=O)C[N@@H+]1CCC[C@H](N(C)C(=O)OC(C)(C)C)C1 ZINC001182305463 743560834 /nfs/dbraw/zinc/56/08/34/743560834.db2.gz ISCNMJFKIDRWAD-KBPBESRZSA-N 1 2 323.437 1.456 20 30 DDEDLO C#C[C@H](C)NC(=O)C[N@H+]1CCC[C@H](N(C)C(=O)OC(C)(C)C)C1 ZINC001182305463 743560837 /nfs/dbraw/zinc/56/08/37/743560837.db2.gz ISCNMJFKIDRWAD-KBPBESRZSA-N 1 2 323.437 1.456 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)Nc2[nH+]cnc3c2cnn3C)C1 ZINC001098252494 743569816 /nfs/dbraw/zinc/56/98/16/743569816.db2.gz GKKNMYJKNXWHBA-NSHDSACASA-N 1 2 314.393 1.636 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)/C(C)=C\C)CC2)C1 ZINC001105701737 743579791 /nfs/dbraw/zinc/57/97/91/743579791.db2.gz VZEJYVUJGCICEM-WCSRMQSCSA-N 1 2 322.449 1.847 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([NH2+]Cc2ncc(C3CC3)o2)C1 ZINC001182496359 743648636 /nfs/dbraw/zinc/64/86/36/743648636.db2.gz IUGJOFIXEUDORD-CQSZACIVSA-N 1 2 317.389 1.282 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(C)occ2C(F)(F)F)[C@@H](O)C1 ZINC001083646418 743668378 /nfs/dbraw/zinc/66/83/78/743668378.db2.gz BYXFFJLCUQMNRP-MNOVXSKESA-N 1 2 316.279 1.015 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)occ2C(F)(F)F)[C@@H](O)C1 ZINC001083646418 743668381 /nfs/dbraw/zinc/66/83/81/743668381.db2.gz BYXFFJLCUQMNRP-MNOVXSKESA-N 1 2 316.279 1.015 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CNC(=O)c2ccccc2Cl)CC1 ZINC001183060710 743774196 /nfs/dbraw/zinc/77/41/96/743774196.db2.gz DPHCGIJGFSGYTF-UHFFFAOYSA-N 1 2 321.808 1.400 20 30 DDEDLO CO[C@@H](C[NH+]1CC(NC(=O)c2ccc(C#N)[nH]2)C1)c1ccccc1 ZINC001030224053 743960646 /nfs/dbraw/zinc/96/06/46/743960646.db2.gz HWDZLUQFCHPEFN-KRWDZBQOSA-N 1 2 324.384 1.688 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC1C[NH+](C[C@@H]2CC(C)(C)CO2)C1 ZINC001030332949 744056617 /nfs/dbraw/zinc/05/66/17/744056617.db2.gz VNOHMUMESHUPOJ-XHSDSOJGSA-N 1 2 322.449 1.583 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]([NH2+]Cc3csnn3)C2)CCC1 ZINC001185462841 744229630 /nfs/dbraw/zinc/22/96/30/744229630.db2.gz BUUTWJNELZZLBD-GFCCVEGCSA-N 1 2 306.435 1.975 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001212187259 744272116 /nfs/dbraw/zinc/27/21/16/744272116.db2.gz NMAFKGHDQUZFNN-RTBURBONSA-N 1 2 324.424 1.657 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001212187259 744272119 /nfs/dbraw/zinc/27/21/19/744272119.db2.gz NMAFKGHDQUZFNN-RTBURBONSA-N 1 2 324.424 1.657 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@@H]3C[C@H]3CC)C2)nn1 ZINC001186007771 744324900 /nfs/dbraw/zinc/32/49/00/744324900.db2.gz JSDQEARNYSIBOD-VNQPRFMTSA-N 1 2 315.421 1.211 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC001110388658 744497183 /nfs/dbraw/zinc/49/71/83/744497183.db2.gz HPNACYFBPXJNBD-CHWSQXEVSA-N 1 2 322.372 1.541 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CC1 ZINC001110388658 744497184 /nfs/dbraw/zinc/49/71/84/744497184.db2.gz HPNACYFBPXJNBD-CHWSQXEVSA-N 1 2 322.372 1.541 20 30 DDEDLO COc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H](C)C#N)C3)sn1 ZINC001110394396 744578536 /nfs/dbraw/zinc/57/85/36/744578536.db2.gz ADEMXRILUIQNCQ-YGNMPJRFSA-N 1 2 320.418 1.533 20 30 DDEDLO COc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H](C)C#N)C3)sn1 ZINC001110394396 744578539 /nfs/dbraw/zinc/57/85/39/744578539.db2.gz ADEMXRILUIQNCQ-YGNMPJRFSA-N 1 2 320.418 1.533 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001187569643 744583170 /nfs/dbraw/zinc/58/31/70/744583170.db2.gz VARHTUDENAPQSS-LSDHHAIUSA-N 1 2 316.405 1.604 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001187569643 744583173 /nfs/dbraw/zinc/58/31/73/744583173.db2.gz VARHTUDENAPQSS-LSDHHAIUSA-N 1 2 316.405 1.604 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001189006897 744809350 /nfs/dbraw/zinc/80/93/50/744809350.db2.gz MAGUXHFRBPAEPQ-QLFBSQMISA-N 1 2 317.437 1.765 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001189006897 744809352 /nfs/dbraw/zinc/80/93/52/744809352.db2.gz MAGUXHFRBPAEPQ-QLFBSQMISA-N 1 2 317.437 1.765 20 30 DDEDLO COc1ccc(C#N)c(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)c1 ZINC001189094456 744827081 /nfs/dbraw/zinc/82/70/81/744827081.db2.gz FQJWLMUSZXSWAV-CQSZACIVSA-N 1 2 322.368 1.971 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1C[NH+](C[C@@H]2CC(C)(C)CO2)C1 ZINC001030978762 745010090 /nfs/dbraw/zinc/01/00/90/745010090.db2.gz SLEQLGZFWFHLLH-ZNMIVQPWSA-N 1 2 308.422 1.193 20 30 DDEDLO CCc1noc([C@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190005296 745076880 /nfs/dbraw/zinc/07/68/80/745076880.db2.gz YWHGUEVSIWAGLM-KBPBESRZSA-N 1 2 318.421 1.885 20 30 DDEDLO CCc1noc([C@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190005296 745076889 /nfs/dbraw/zinc/07/68/89/745076889.db2.gz YWHGUEVSIWAGLM-KBPBESRZSA-N 1 2 318.421 1.885 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)cs2)C1 ZINC001190094276 745097171 /nfs/dbraw/zinc/09/71/71/745097171.db2.gz IUSGKEISZSGHHR-UONOGXRCSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)cs2)C1 ZINC001190094276 745097178 /nfs/dbraw/zinc/09/71/78/745097178.db2.gz IUSGKEISZSGHHR-UONOGXRCSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2ncccn2)C1 ZINC001190112272 745118072 /nfs/dbraw/zinc/11/80/72/745118072.db2.gz DSKAEZCDPBKHQA-HUUCEWRRSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2ncccn2)C1 ZINC001190112272 745118073 /nfs/dbraw/zinc/11/80/73/745118073.db2.gz DSKAEZCDPBKHQA-HUUCEWRRSA-N 1 2 316.405 1.110 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)CCNc2cc[nH+]c(C)n2)cn1 ZINC001106401394 745664219 /nfs/dbraw/zinc/66/42/19/745664219.db2.gz RLLLPMMAVDOZLY-GFCCVEGCSA-N 1 2 309.373 1.782 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2nnn(C)c2C)[C@H]1C ZINC000993171170 745704172 /nfs/dbraw/zinc/70/41/72/745704172.db2.gz ABOWADMRFOYOSN-ZYHUDNBSSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2nnn(C)c2C)[C@H]1C ZINC000993171170 745704175 /nfs/dbraw/zinc/70/41/75/745704175.db2.gz ABOWADMRFOYOSN-ZYHUDNBSSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H](c3c[nH+]cn3C)[C@H](NC(C)=O)C2)C1 ZINC000993631036 746262893 /nfs/dbraw/zinc/26/28/93/746262893.db2.gz NCFDLGXKYCTQQK-ZIAGYGMSSA-N 1 2 316.405 1.207 20 30 DDEDLO C#CCN1CCC[C@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)[C@H]1C ZINC000994119335 746453418 /nfs/dbraw/zinc/45/34/18/746453418.db2.gz WASYNXUCDBIOFS-PBHICJAKSA-N 1 2 323.400 1.483 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cncn2C)[C@@H]1C ZINC000994208316 746487465 /nfs/dbraw/zinc/48/74/65/746487465.db2.gz MEOFBBSMOHTHTP-GXTWGEPZSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cncn2C)[C@@H]1C ZINC000994208316 746487466 /nfs/dbraw/zinc/48/74/66/746487466.db2.gz MEOFBBSMOHTHTP-GXTWGEPZSA-N 1 2 310.829 1.684 20 30 DDEDLO CC(C)CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195321248 746563993 /nfs/dbraw/zinc/56/39/93/746563993.db2.gz GHZKFXFWSIXRDD-HUUCEWRRSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195321248 746563998 /nfs/dbraw/zinc/56/39/98/746563998.db2.gz GHZKFXFWSIXRDD-HUUCEWRRSA-N 1 2 322.453 1.231 20 30 DDEDLO Cc1nnc(C[NH+]2CCC3(CCN(C(=O)[C@H](C)C#N)C3)CC2)[nH]1 ZINC001040643057 752106231 /nfs/dbraw/zinc/10/62/31/752106231.db2.gz FOUPMUSYMORUOH-GFCCVEGCSA-N 1 2 316.409 1.087 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195466624 746616491 /nfs/dbraw/zinc/61/64/91/746616491.db2.gz CLVBHIUOWXMLMG-HUUCEWRRSA-N 1 2 321.446 1.306 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001195466624 746616493 /nfs/dbraw/zinc/61/64/93/746616493.db2.gz CLVBHIUOWXMLMG-HUUCEWRRSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](Cc2cnnn2C)CC1 ZINC001195541047 746639968 /nfs/dbraw/zinc/63/99/68/746639968.db2.gz MSCQUUDTXINQMM-UHFFFAOYSA-N 1 2 303.410 1.043 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](Cc2cnnn2C)CC1 ZINC001195541047 746639969 /nfs/dbraw/zinc/63/99/69/746639969.db2.gz MSCQUUDTXINQMM-UHFFFAOYSA-N 1 2 303.410 1.043 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001196287613 746828563 /nfs/dbraw/zinc/82/85/63/746828563.db2.gz HKDUXSWZEQFABU-CABCVRRESA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001196287613 746828572 /nfs/dbraw/zinc/82/85/72/746828572.db2.gz HKDUXSWZEQFABU-CABCVRRESA-N 1 2 321.465 1.341 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2(C)CCCC2)CC1 ZINC001196674643 746932788 /nfs/dbraw/zinc/93/27/88/746932788.db2.gz ZARVCHNFMYLRCY-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(C)CCCC2)CC1 ZINC001196674643 746932790 /nfs/dbraw/zinc/93/27/90/746932790.db2.gz ZARVCHNFMYLRCY-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C#CCC1(C(=O)NCc2cnn3c2C[N@H+](C(C)C)CC3)CCC1 ZINC001128382480 746942527 /nfs/dbraw/zinc/94/25/27/746942527.db2.gz UQZWZMDEDAHBQF-UHFFFAOYSA-N 1 2 314.433 1.917 20 30 DDEDLO C#CCC1(C(=O)NCc2cnn3c2C[N@@H+](C(C)C)CC3)CCC1 ZINC001128382480 746942532 /nfs/dbraw/zinc/94/25/32/746942532.db2.gz UQZWZMDEDAHBQF-UHFFFAOYSA-N 1 2 314.433 1.917 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)N2CCC2)CC1 ZINC001196853085 746990922 /nfs/dbraw/zinc/99/09/22/746990922.db2.gz GTCGNNNLEYDVMR-GJZGRUSLSA-N 1 2 307.438 1.211 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)N2CCC2)CC1 ZINC001196853085 746990924 /nfs/dbraw/zinc/99/09/24/746990924.db2.gz GTCGNNNLEYDVMR-GJZGRUSLSA-N 1 2 307.438 1.211 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@H+](Cc2cncn2C)CC1 ZINC001196852884 746991138 /nfs/dbraw/zinc/99/11/38/746991138.db2.gz DYSFFXARSWYSKH-HUUCEWRRSA-N 1 2 304.438 1.913 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cncn2C)CC1 ZINC001196852884 746991141 /nfs/dbraw/zinc/99/11/41/746991141.db2.gz DYSFFXARSWYSKH-HUUCEWRRSA-N 1 2 304.438 1.913 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cn[nH]c3)C2)c(F)c1 ZINC001031559218 747034409 /nfs/dbraw/zinc/03/44/09/747034409.db2.gz BJGSMGNYCVHSCV-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO Cc1nn(C)cc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031585991 747108231 /nfs/dbraw/zinc/10/82/31/747108231.db2.gz QSTOMPSIVCBQOO-UHFFFAOYSA-N 1 2 322.412 1.442 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)ncn1 ZINC001031619737 747209107 /nfs/dbraw/zinc/20/91/07/747209107.db2.gz KUUVFDBEPXEHMV-UHFFFAOYSA-N 1 2 321.384 1.519 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CCC2(C)CC2)CC1 ZINC001197669978 747229039 /nfs/dbraw/zinc/22/90/39/747229039.db2.gz WNMSYVLTIGGTDE-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CCC2(C)CC2)CC1 ZINC001197669978 747229041 /nfs/dbraw/zinc/22/90/41/747229041.db2.gz WNMSYVLTIGGTDE-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)[C@H]1CC1(F)F ZINC001031655295 747323338 /nfs/dbraw/zinc/32/33/38/747323338.db2.gz AXSBOWJQRMSIEA-OAHLLOKOSA-N 1 2 322.330 1.880 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)c3ncc[nH]3)C2)c1 ZINC001031658451 747330952 /nfs/dbraw/zinc/33/09/52/747330952.db2.gz HCRJASYTPFLAHO-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(F)c2)[C@@H](O)C1 ZINC001090022519 747339397 /nfs/dbraw/zinc/33/93/97/747339397.db2.gz IQIAXRODENXAQQ-KBPBESRZSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(F)c2)[C@@H](O)C1 ZINC001090022519 747339404 /nfs/dbraw/zinc/33/94/04/747339404.db2.gz IQIAXRODENXAQQ-KBPBESRZSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2nonc2C)CC1 ZINC001003649085 747354013 /nfs/dbraw/zinc/35/40/13/747354013.db2.gz FWTSOPFSUJWCBQ-HIFRSBDPSA-N 1 2 320.393 1.050 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001007508741 752179633 /nfs/dbraw/zinc/17/96/33/752179633.db2.gz ULYQEHGGOOFDIK-CQSZACIVSA-N 1 2 310.829 1.604 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001007508741 752179634 /nfs/dbraw/zinc/17/96/34/752179634.db2.gz ULYQEHGGOOFDIK-CQSZACIVSA-N 1 2 310.829 1.604 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccsc2)[C@H](O)C1 ZINC001090041469 747501178 /nfs/dbraw/zinc/50/11/78/747501178.db2.gz BFWSPWYXMNLJCQ-CHWSQXEVSA-N 1 2 314.838 1.595 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccsc2)[C@H](O)C1 ZINC001090041469 747501184 /nfs/dbraw/zinc/50/11/84/747501184.db2.gz BFWSPWYXMNLJCQ-CHWSQXEVSA-N 1 2 314.838 1.595 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(C1)CC[NH+](Cc1nncs1)CC2 ZINC001040644208 752193264 /nfs/dbraw/zinc/19/32/64/752193264.db2.gz NYBDNRIEKGWINS-LBPRGKRZSA-N 1 2 319.434 1.512 20 30 DDEDLO C=C[C@H](CC(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001198917081 747650630 /nfs/dbraw/zinc/65/06/30/747650630.db2.gz CGBXUOLADSXICY-FVQBIDKESA-N 1 2 306.381 1.477 20 30 DDEDLO C=C[C@H](CC(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001198917081 747650634 /nfs/dbraw/zinc/65/06/34/747650634.db2.gz CGBXUOLADSXICY-FVQBIDKESA-N 1 2 306.381 1.477 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CCC=C)c2ccccc2)C1 ZINC001199560780 747895214 /nfs/dbraw/zinc/89/52/14/747895214.db2.gz ZKSSLTZXPWZAPC-KZNAEPCWSA-N 1 2 312.413 1.531 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CCC=C)c2ccccc2)C1 ZINC001199560780 747895222 /nfs/dbraw/zinc/89/52/22/747895222.db2.gz ZKSSLTZXPWZAPC-KZNAEPCWSA-N 1 2 312.413 1.531 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3ncc(C)o3)CC2)nc1 ZINC001004005735 747905848 /nfs/dbraw/zinc/90/58/48/747905848.db2.gz XTMHYBGMWSSSQF-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO C#CCC[NH+]1CC(CNC(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC001031856873 748035023 /nfs/dbraw/zinc/03/50/23/748035023.db2.gz KWGCRZNRBLAFRT-MLGOLLRUSA-N 1 2 300.406 1.147 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@@H](C[NH2+][C@@H](C)c2csnn2)C1 ZINC001200083256 748129496 /nfs/dbraw/zinc/12/94/96/748129496.db2.gz DVCBMDVAKXHMPG-STQMWFEESA-N 1 2 324.450 1.772 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3cc(F)ccc3o2)[C@@H](O)C1 ZINC001083827075 748223691 /nfs/dbraw/zinc/22/36/91/748223691.db2.gz ZMXBVKKLHRRGHX-KGLIPLIRSA-N 1 2 316.332 1.370 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cc(F)ccc3o2)[C@@H](O)C1 ZINC001083827075 748223698 /nfs/dbraw/zinc/22/36/98/748223698.db2.gz ZMXBVKKLHRRGHX-KGLIPLIRSA-N 1 2 316.332 1.370 20 30 DDEDLO CCN(CCNc1nc(C)ccc1C#N)C(=O)Cc1c[nH+]c[nH]1 ZINC001106960993 748337446 /nfs/dbraw/zinc/33/74/46/748337446.db2.gz DZYDWUFMXKBHJM-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO C#CCCCCCC(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111537968 748449969 /nfs/dbraw/zinc/44/99/69/748449969.db2.gz WCTZNAPSHRABFO-UHFFFAOYSA-N 1 2 318.421 1.602 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2ccc[nH]2)CC1 ZINC001004618498 748594495 /nfs/dbraw/zinc/59/44/95/748594495.db2.gz FGLDOCJTLHBCFO-MRXNPFEDSA-N 1 2 300.406 1.784 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2ccc[nH]2)CC1 ZINC001004618498 748594497 /nfs/dbraw/zinc/59/44/97/748594497.db2.gz FGLDOCJTLHBCFO-MRXNPFEDSA-N 1 2 300.406 1.784 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CC[C@@H]1NCC#N ZINC001036243434 752290668 /nfs/dbraw/zinc/29/06/68/752290668.db2.gz ZZUYEBBZDJEQBS-PBHICJAKSA-N 1 2 323.400 1.836 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001110598588 748812547 /nfs/dbraw/zinc/81/25/47/748812547.db2.gz BZHREDYNHKBPOU-YUELXQCFSA-N 1 2 317.437 1.639 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001110598588 748812553 /nfs/dbraw/zinc/81/25/53/748812553.db2.gz BZHREDYNHKBPOU-YUELXQCFSA-N 1 2 317.437 1.639 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC(C)(C)COC)C1 ZINC001108094461 748834307 /nfs/dbraw/zinc/83/43/07/748834307.db2.gz UPFIJXBPTZALBJ-KRWDZBQOSA-N 1 2 310.438 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC(C)(C)COC)C1 ZINC001108094461 748834313 /nfs/dbraw/zinc/83/43/13/748834313.db2.gz UPFIJXBPTZALBJ-KRWDZBQOSA-N 1 2 310.438 1.280 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001033202728 748906091 /nfs/dbraw/zinc/90/60/91/748906091.db2.gz IEMQBWBBLJSMTL-QWHCGFSZSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001033202728 748906097 /nfs/dbraw/zinc/90/60/97/748906097.db2.gz IEMQBWBBLJSMTL-QWHCGFSZSA-N 1 2 313.829 1.140 20 30 DDEDLO C=CCCC(=O)NC/C=C\CNc1[nH+]cnc2c1cnn2C ZINC001107077120 748945337 /nfs/dbraw/zinc/94/53/37/748945337.db2.gz QXYSNMPDECSLBX-WAYWQWQTSA-N 1 2 300.366 1.414 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)o1 ZINC001153326997 748969123 /nfs/dbraw/zinc/96/91/23/748969123.db2.gz IMZPEYHQCPAESO-TZMCWYRMSA-N 1 2 307.394 1.348 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)o1 ZINC001153326997 748969129 /nfs/dbraw/zinc/96/91/29/748969129.db2.gz IMZPEYHQCPAESO-TZMCWYRMSA-N 1 2 307.394 1.348 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@]1(C)CCN(c2ccc(C#N)cn2)C1 ZINC001110771345 748997443 /nfs/dbraw/zinc/99/74/43/748997443.db2.gz CKSLSVIQLVMLJT-KRWDZBQOSA-N 1 2 324.388 1.014 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@@](C)(NC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC001110817468 749040739 /nfs/dbraw/zinc/04/07/39/749040739.db2.gz CVPFOYXHQCMLEC-QGZVFWFLSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114327823 749059296 /nfs/dbraw/zinc/05/92/96/749059296.db2.gz CZNAXGWLOOQHTJ-ATCWAGBWSA-N 1 2 318.421 1.781 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(CC)o3)C[C@H]21 ZINC001114327823 749059297 /nfs/dbraw/zinc/05/92/97/749059297.db2.gz CZNAXGWLOOQHTJ-ATCWAGBWSA-N 1 2 318.421 1.781 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccnc3n[nH]nc32)C1 ZINC001033254570 749064527 /nfs/dbraw/zinc/06/45/27/749064527.db2.gz OJQPZYKXZIOSCO-JTQLQIEISA-N 1 2 320.784 1.252 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccnc3n[nH]nc32)C1 ZINC001033254570 749064530 /nfs/dbraw/zinc/06/45/30/749064530.db2.gz OJQPZYKXZIOSCO-JTQLQIEISA-N 1 2 320.784 1.252 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(C3CC3)CCC2)C1 ZINC001108100959 749112124 /nfs/dbraw/zinc/11/21/24/749112124.db2.gz BJASAEBPXTVVMN-QGZVFWFLSA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2(C3CC3)CCC2)C1 ZINC001108100959 749112127 /nfs/dbraw/zinc/11/21/27/749112127.db2.gz BJASAEBPXTVVMN-QGZVFWFLSA-N 1 2 304.434 1.797 20 30 DDEDLO CCN(CC[NH+]1CCOCC1)Cc1c(F)ccc(C#N)c1F ZINC001143173969 749134181 /nfs/dbraw/zinc/13/41/81/749134181.db2.gz JTSCJQMSKZLROG-UHFFFAOYSA-N 1 2 309.360 1.991 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccc(C#N)c2)no1 ZINC001032267900 749144437 /nfs/dbraw/zinc/14/44/37/749144437.db2.gz GKCXUKFYYIWDBE-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccc(C#N)c2)no1 ZINC001032267900 749144441 /nfs/dbraw/zinc/14/44/41/749144441.db2.gz GKCXUKFYYIWDBE-HOTGVXAUSA-N 1 2 322.368 1.954 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CSC(C)C)nn2)C1 ZINC001107132167 749200616 /nfs/dbraw/zinc/20/06/16/749200616.db2.gz LQDLSJYYROUTRP-UHFFFAOYSA-N 1 2 309.439 1.079 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N1C[C@@H](NCC#N)C(C)(C)C1)C2 ZINC000995975817 749311246 /nfs/dbraw/zinc/31/12/46/749311246.db2.gz BSLFDPMUIASTOO-IUODEOHRSA-N 1 2 315.421 1.173 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N1C[C@@H](NCC#N)C(C)(C)C1)CC2 ZINC000995975817 749311254 /nfs/dbraw/zinc/31/12/54/749311254.db2.gz BSLFDPMUIASTOO-IUODEOHRSA-N 1 2 315.421 1.173 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)/C(C)=C\CC)nn2)C1 ZINC001107138648 749338407 /nfs/dbraw/zinc/33/84/07/749338407.db2.gz IOHMOYFEXXZOIP-QPEQYQDCSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H]3CC[C@@H](C)C3)nn2)C1 ZINC001107192290 749533109 /nfs/dbraw/zinc/53/31/09/749533109.db2.gz FIZMRQSXGITLBB-ZIAGYGMSSA-N 1 2 317.437 1.763 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@H+](CC(=C)Cl)C2)cn1 ZINC001033598964 749586797 /nfs/dbraw/zinc/58/67/97/749586797.db2.gz NMTXKWNRFMOYLK-HNNXBMFYSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@@H+](CC(=C)Cl)C2)cn1 ZINC001033598964 749586802 /nfs/dbraw/zinc/58/68/02/749586802.db2.gz NMTXKWNRFMOYLK-HNNXBMFYSA-N 1 2 303.793 1.962 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CC[C@@H]2CC(C)(C)CO2)C1 ZINC001108366369 761985216 /nfs/dbraw/zinc/98/52/16/761985216.db2.gz TXONKHUAIYVXNU-CRAIPNDOSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CC[C@@H]2CC(C)(C)CO2)C1 ZINC001108366369 761985219 /nfs/dbraw/zinc/98/52/19/761985219.db2.gz TXONKHUAIYVXNU-CRAIPNDOSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098188446 749882226 /nfs/dbraw/zinc/88/22/26/749882226.db2.gz MGOHOCHRPAWNGF-SNVBAGLBSA-N 1 2 302.382 1.492 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2ccnc(OC)n2)C1 ZINC001033895798 750079600 /nfs/dbraw/zinc/07/96/00/750079600.db2.gz SWNMPHYEXLOZLT-GFCCVEGCSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccnc(OC)n2)C1 ZINC001033895798 750079605 /nfs/dbraw/zinc/07/96/05/750079605.db2.gz SWNMPHYEXLOZLT-GFCCVEGCSA-N 1 2 324.812 1.774 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@](C)(C=C)CC)C2 ZINC001110984311 750280876 /nfs/dbraw/zinc/28/08/76/750280876.db2.gz CTTVMVBJVUCQGQ-BSXFFOKHSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@](C)(C=C)CC)C2 ZINC001110984311 750280880 /nfs/dbraw/zinc/28/08/80/750280880.db2.gz CTTVMVBJVUCQGQ-BSXFFOKHSA-N 1 2 317.433 1.060 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3[C@@H](C)C(N)=O)CCC1 ZINC001110987226 750283655 /nfs/dbraw/zinc/28/36/55/750283655.db2.gz KTOFPSNJSVCTKB-DGAVXFQQSA-N 1 2 305.422 1.328 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3[C@@H](C)C(N)=O)CCC1 ZINC001110987226 750283660 /nfs/dbraw/zinc/28/36/60/750283660.db2.gz KTOFPSNJSVCTKB-DGAVXFQQSA-N 1 2 305.422 1.328 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2O[C@@H](C)CC)C1 ZINC001077754672 750522339 /nfs/dbraw/zinc/52/23/39/750522339.db2.gz NABYWDZTDZHWDT-NUEKZKHPSA-N 1 2 316.401 1.272 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2O[C@@H](C)CC)C1 ZINC001077754672 750522341 /nfs/dbraw/zinc/52/23/41/750522341.db2.gz NABYWDZTDZHWDT-NUEKZKHPSA-N 1 2 316.401 1.272 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)C1CCCC1)CC2 ZINC001127917837 750611414 /nfs/dbraw/zinc/61/14/14/750611414.db2.gz INUPFZHPYLMBSS-AWEZNQCLSA-N 1 2 315.421 1.012 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001108137484 750664752 /nfs/dbraw/zinc/66/47/52/750664752.db2.gz VOUPCSWJOXADKG-CHWSQXEVSA-N 1 2 312.377 1.718 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn2c(n1)CCCC2 ZINC001032416596 750691831 /nfs/dbraw/zinc/69/18/31/750691831.db2.gz IWZOYFRXXXSLNH-HOTGVXAUSA-N 1 2 312.417 1.070 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn2c(n1)CCCC2 ZINC001032416596 750691836 /nfs/dbraw/zinc/69/18/36/750691836.db2.gz IWZOYFRXXXSLNH-HOTGVXAUSA-N 1 2 312.417 1.070 20 30 DDEDLO C=C1CCC(C(=O)N2CC(NC(=O)Cc3[nH]c[nH+]c3C)C2)CC1 ZINC000997236379 750715717 /nfs/dbraw/zinc/71/57/17/750715717.db2.gz BSNSIAGYFLQLKN-UHFFFAOYSA-N 1 2 316.405 1.334 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)n1cnc(C)c1 ZINC001032469550 750945583 /nfs/dbraw/zinc/94/55/83/750945583.db2.gz RXILZAHPFUDDQX-GJZGRUSLSA-N 1 2 300.406 1.235 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)n1cnc(C)c1 ZINC001032469550 750945585 /nfs/dbraw/zinc/94/55/85/750945585.db2.gz RXILZAHPFUDDQX-GJZGRUSLSA-N 1 2 300.406 1.235 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C4CC4)o3)C[C@H]21 ZINC001114672946 750973569 /nfs/dbraw/zinc/97/35/69/750973569.db2.gz YJQNTSAKFQFDSA-IMRBUKKESA-N 1 2 316.405 1.706 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C4CC4)o3)C[C@H]21 ZINC001114672946 750973572 /nfs/dbraw/zinc/97/35/72/750973572.db2.gz YJQNTSAKFQFDSA-IMRBUKKESA-N 1 2 316.405 1.706 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)/C(C)=C\C)CC2 ZINC001127977337 751040093 /nfs/dbraw/zinc/04/00/93/751040093.db2.gz IAJLRSSNHIMRRB-MECSIWFOSA-N 1 2 315.421 1.178 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c2ccc(C)cc21 ZINC001032496529 751074938 /nfs/dbraw/zinc/07/49/38/751074938.db2.gz GVYIKRVFNWBLBS-KBPBESRZSA-N 1 2 308.385 1.793 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c2ccc(C)cc21 ZINC001032496529 751074944 /nfs/dbraw/zinc/07/49/44/751074944.db2.gz GVYIKRVFNWBLBS-KBPBESRZSA-N 1 2 308.385 1.793 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(-c2ccccn2)n1 ZINC001032498399 751084084 /nfs/dbraw/zinc/08/40/84/751084084.db2.gz RUMYYEPNYKKLQT-KBPBESRZSA-N 1 2 322.368 1.659 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(-c2ccccn2)n1 ZINC001032498399 751084091 /nfs/dbraw/zinc/08/40/91/751084091.db2.gz RUMYYEPNYKKLQT-KBPBESRZSA-N 1 2 322.368 1.659 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cccn2)nc1 ZINC001032551847 751279465 /nfs/dbraw/zinc/27/94/65/751279465.db2.gz IWFSRSYWFPOFRB-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cccn2)nc1 ZINC001032551847 751279470 /nfs/dbraw/zinc/27/94/70/751279470.db2.gz IWFSRSYWFPOFRB-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cccnn2)c1 ZINC001032696686 752734308 /nfs/dbraw/zinc/73/43/08/752734308.db2.gz OJHNLRYHCCRKCQ-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cccnn2)c1 ZINC001032696686 752734313 /nfs/dbraw/zinc/73/43/13/752734313.db2.gz OJHNLRYHCCRKCQ-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001062198316 752822964 /nfs/dbraw/zinc/82/29/64/752822964.db2.gz HJPIIIFTCNYOIM-CQSZACIVSA-N 1 2 316.405 1.109 20 30 DDEDLO Cc1nc(N(C)CC2CCN(C(=O)[C@H](C)C#N)CC2)cc[nH+]1 ZINC001062207748 752832689 /nfs/dbraw/zinc/83/26/89/752832689.db2.gz OUMBYYFDYDVJIV-GFCCVEGCSA-N 1 2 301.394 1.620 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C3CC3)cnn2C)C1 ZINC001107989314 752876666 /nfs/dbraw/zinc/87/66/66/752876666.db2.gz RIINKOJABPDJAY-KRWDZBQOSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C3CC3)cnn2C)C1 ZINC001107989314 752876672 /nfs/dbraw/zinc/87/66/72/752876672.db2.gz RIINKOJABPDJAY-KRWDZBQOSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@H](NC(=O)c2cnn(-c3ccncc3)c2)C1 ZINC001009017304 753037363 /nfs/dbraw/zinc/03/73/63/753037363.db2.gz WPVAHNRSXXXJTA-OAHLLOKOSA-N 1 2 311.389 1.648 20 30 DDEDLO C=CC[N@H+]1CCC[C@@H](NC(=O)c2cnn(-c3ccncc3)c2)C1 ZINC001009017304 753037365 /nfs/dbraw/zinc/03/73/65/753037365.db2.gz WPVAHNRSXXXJTA-OAHLLOKOSA-N 1 2 311.389 1.648 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC001009142856 753085215 /nfs/dbraw/zinc/08/52/15/753085215.db2.gz FZJIWQVEDWPSHK-AWEZNQCLSA-N 1 2 310.829 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC001009142856 753085222 /nfs/dbraw/zinc/08/52/22/753085222.db2.gz FZJIWQVEDWPSHK-AWEZNQCLSA-N 1 2 310.829 1.779 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001128084915 753241934 /nfs/dbraw/zinc/24/19/34/753241934.db2.gz GDOSTIYDLLXPBN-UHFFFAOYSA-N 1 2 314.336 1.981 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001009650449 753297626 /nfs/dbraw/zinc/29/76/26/753297626.db2.gz NKAYPKLRZJXPAL-ZIAGYGMSSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001009650449 753297634 /nfs/dbraw/zinc/29/76/34/753297634.db2.gz NKAYPKLRZJXPAL-ZIAGYGMSSA-N 1 2 313.829 1.330 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001077877697 753349356 /nfs/dbraw/zinc/34/93/56/753349356.db2.gz CNPUFSJEYURACH-HZPDHXFCSA-N 1 2 300.402 1.392 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001077877697 753349360 /nfs/dbraw/zinc/34/93/60/753349360.db2.gz CNPUFSJEYURACH-HZPDHXFCSA-N 1 2 300.402 1.392 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ccc(C#N)nc1 ZINC001039767598 762208450 /nfs/dbraw/zinc/20/84/50/762208450.db2.gz OEGWBPOSHSWONN-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)cc(OC)c1 ZINC001032751876 753466143 /nfs/dbraw/zinc/46/61/43/753466143.db2.gz PKSIJVOWRNOZAT-KBPBESRZSA-N 1 2 300.358 1.236 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)cc(OC)c1 ZINC001032751876 753466148 /nfs/dbraw/zinc/46/61/48/753466148.db2.gz PKSIJVOWRNOZAT-KBPBESRZSA-N 1 2 300.358 1.236 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1cccnc1 ZINC001009917055 753467722 /nfs/dbraw/zinc/46/77/22/753467722.db2.gz ZNVTYEJKIJSUKL-SFHVURJKSA-N 1 2 305.381 1.937 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cccnc1 ZINC001009917055 753467727 /nfs/dbraw/zinc/46/77/27/753467727.db2.gz ZNVTYEJKIJSUKL-SFHVURJKSA-N 1 2 305.381 1.937 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cccc(C)c2)C1 ZINC001108176773 753480217 /nfs/dbraw/zinc/48/02/17/753480217.db2.gz FEDMJYZDQBBVSP-IBGZPJMESA-N 1 2 314.429 1.768 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cccc(C)c2)C1 ZINC001108176773 753480224 /nfs/dbraw/zinc/48/02/24/753480224.db2.gz FEDMJYZDQBBVSP-IBGZPJMESA-N 1 2 314.429 1.768 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cccc(F)c1 ZINC001032780520 753624913 /nfs/dbraw/zinc/62/49/13/753624913.db2.gz OGZMJHLQJCWNLU-GJZGRUSLSA-N 1 2 302.349 1.513 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cccc(F)c1 ZINC001032780520 753624921 /nfs/dbraw/zinc/62/49/21/753624921.db2.gz OGZMJHLQJCWNLU-GJZGRUSLSA-N 1 2 302.349 1.513 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cccc(F)c2O1 ZINC001032790936 753740687 /nfs/dbraw/zinc/74/06/87/753740687.db2.gz CPIBOHJULFSBRB-YDHLFZDLSA-N 1 2 300.333 1.048 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1Cc2cccc(F)c2O1 ZINC001032790936 753740691 /nfs/dbraw/zinc/74/06/91/753740691.db2.gz CPIBOHJULFSBRB-YDHLFZDLSA-N 1 2 300.333 1.048 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1cocn1 ZINC001010376155 753831981 /nfs/dbraw/zinc/83/19/81/753831981.db2.gz OVGMQKYSFYGFGO-HNNXBMFYSA-N 1 2 313.332 1.670 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1cocn1 ZINC001010376155 753831988 /nfs/dbraw/zinc/83/19/88/753831988.db2.gz OVGMQKYSFYGFGO-HNNXBMFYSA-N 1 2 313.332 1.670 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1ccc(F)c(F)c1 ZINC001032794574 753876521 /nfs/dbraw/zinc/87/65/21/753876521.db2.gz PNSBFQLWWDDWJS-XBFCOCLRSA-N 1 2 304.340 1.987 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1ccc(F)c(F)c1 ZINC001032794574 753876527 /nfs/dbraw/zinc/87/65/27/753876527.db2.gz PNSBFQLWWDDWJS-XBFCOCLRSA-N 1 2 304.340 1.987 20 30 DDEDLO Cn1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)c1 ZINC001010562335 754024352 /nfs/dbraw/zinc/02/43/52/754024352.db2.gz PZFQCRPBOJSIQD-KRWDZBQOSA-N 1 2 308.385 1.901 20 30 DDEDLO Cn1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001010562335 754024358 /nfs/dbraw/zinc/02/43/58/754024358.db2.gz PZFQCRPBOJSIQD-KRWDZBQOSA-N 1 2 308.385 1.901 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)c[nH]1 ZINC001010685766 754098485 /nfs/dbraw/zinc/09/84/85/754098485.db2.gz AQEKZEZOOGELAL-INIZCTEOSA-N 1 2 319.368 1.762 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c[nH]1 ZINC001010685766 754098493 /nfs/dbraw/zinc/09/84/93/754098493.db2.gz AQEKZEZOOGELAL-INIZCTEOSA-N 1 2 319.368 1.762 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnc3ccccn32)C1 ZINC001108038450 754420136 /nfs/dbraw/zinc/42/01/36/754420136.db2.gz SGTNWZMPOQAOCL-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnc3ccccn32)C1 ZINC001108038450 754420138 /nfs/dbraw/zinc/42/01/38/754420138.db2.gz SGTNWZMPOQAOCL-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064072032 754484285 /nfs/dbraw/zinc/48/42/85/754484285.db2.gz OJNHSUZJJCGSMU-CHWSQXEVSA-N 1 2 318.421 1.566 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001064099013 754499745 /nfs/dbraw/zinc/49/97/45/754499745.db2.gz IHVQDRJZLFVSTH-HUUCEWRRSA-N 1 2 324.388 1.547 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn3ncccc23)C1 ZINC001079861573 755611311 /nfs/dbraw/zinc/61/13/11/755611311.db2.gz FRQVZHZVQJRTHA-ZWNOBZJWSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn3ncccc23)C1 ZINC001079861573 755611312 /nfs/dbraw/zinc/61/13/12/755611312.db2.gz FRQVZHZVQJRTHA-ZWNOBZJWSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC001080081048 755713118 /nfs/dbraw/zinc/71/31/18/755713118.db2.gz DZKRGUMFEDKGHT-QMTHXVAHSA-N 1 2 310.829 1.689 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC001080081048 755713123 /nfs/dbraw/zinc/71/31/23/755713123.db2.gz DZKRGUMFEDKGHT-QMTHXVAHSA-N 1 2 310.829 1.689 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001067085149 755853263 /nfs/dbraw/zinc/85/32/63/755853263.db2.gz WPNZZRKYHUWQJP-GXTWGEPZSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@H]1CC[N@@H+](Cc2cncn2C)C1 ZINC001014721066 755906741 /nfs/dbraw/zinc/90/67/41/755906741.db2.gz BPRDNYYSCMLXGD-AWEZNQCLSA-N 1 2 313.405 1.412 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@H]1CC[N@H+](Cc2cncn2C)C1 ZINC001014721066 755906742 /nfs/dbraw/zinc/90/67/42/755906742.db2.gz BPRDNYYSCMLXGD-AWEZNQCLSA-N 1 2 313.405 1.412 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccon2)C[C@H]1C ZINC001080598867 756003656 /nfs/dbraw/zinc/00/36/56/756003656.db2.gz ANZFUFSRHMYRTC-BVUBDWEXSA-N 1 2 319.405 1.592 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccon2)C[C@H]1C ZINC001080598867 756003661 /nfs/dbraw/zinc/00/36/61/756003661.db2.gz ANZFUFSRHMYRTC-BVUBDWEXSA-N 1 2 319.405 1.592 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)C(C)(C)n2c[nH+]c(C)c2)C1 ZINC001014919724 756016216 /nfs/dbraw/zinc/01/62/16/756016216.db2.gz MTIHSVJMSITOTE-CYBMUJFWSA-N 1 2 310.829 1.870 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001015095029 756120280 /nfs/dbraw/zinc/12/02/80/756120280.db2.gz WXGKVZUUCQIKFJ-NSHDSACASA-N 1 2 306.797 1.768 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001015095029 756120285 /nfs/dbraw/zinc/12/02/85/756120285.db2.gz WXGKVZUUCQIKFJ-NSHDSACASA-N 1 2 306.797 1.768 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CC23CCOCC3)C1 ZINC001081059956 756200852 /nfs/dbraw/zinc/20/08/52/756200852.db2.gz NIDZTTIGGKTZKG-MRVWCRGKSA-N 1 2 312.841 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CC23CCOCC3)C1 ZINC001081059956 756200854 /nfs/dbraw/zinc/20/08/54/756200854.db2.gz NIDZTTIGGKTZKG-MRVWCRGKSA-N 1 2 312.841 1.992 20 30 DDEDLO Cc1cncn1CC(=O)N[C@H]1CC[N@H+](Cc2cccc(C#N)c2)C1 ZINC001015285106 756215867 /nfs/dbraw/zinc/21/58/67/756215867.db2.gz DADPXWYLKLZSOT-KRWDZBQOSA-N 1 2 323.400 1.454 20 30 DDEDLO Cc1cncn1CC(=O)N[C@H]1CC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC001015285106 756215871 /nfs/dbraw/zinc/21/58/71/756215871.db2.gz DADPXWYLKLZSOT-KRWDZBQOSA-N 1 2 323.400 1.454 20 30 DDEDLO CC[C@H](F)C[N@@H+]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@H](OC)C1 ZINC001081554793 756379400 /nfs/dbraw/zinc/37/94/00/756379400.db2.gz HEAVZASRPWQCFF-ZLKJLUDKSA-N 1 2 308.357 1.063 20 30 DDEDLO CC[C@H](F)C[N@H+]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@H](OC)C1 ZINC001081554793 756379401 /nfs/dbraw/zinc/37/94/01/756379401.db2.gz HEAVZASRPWQCFF-ZLKJLUDKSA-N 1 2 308.357 1.063 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCc3cnn(C)c3C2)C1 ZINC001015613932 756413302 /nfs/dbraw/zinc/41/33/02/756413302.db2.gz MSRBRXSAFVFJLO-GXTWGEPZSA-N 1 2 322.840 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCc3cnn(C)c3C2)C1 ZINC001015613932 756413305 /nfs/dbraw/zinc/41/33/05/756413305.db2.gz MSRBRXSAFVFJLO-GXTWGEPZSA-N 1 2 322.840 1.468 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CCC(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129332480 762465740 /nfs/dbraw/zinc/46/57/40/762465740.db2.gz GKCGREHEGQGTKF-KGLIPLIRSA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CCC(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129332480 762465745 /nfs/dbraw/zinc/46/57/45/762465745.db2.gz GKCGREHEGQGTKF-KGLIPLIRSA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2scc(C)c2Cl)[C@H](OC)C1 ZINC001081723465 756443046 /nfs/dbraw/zinc/44/30/46/756443046.db2.gz GFRVUEIQTSWTJR-GHMZBOCLSA-N 1 2 312.822 1.772 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2scc(C)c2Cl)[C@H](OC)C1 ZINC001081723465 756443047 /nfs/dbraw/zinc/44/30/47/756443047.db2.gz GFRVUEIQTSWTJR-GHMZBOCLSA-N 1 2 312.822 1.772 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3ccsc3[nH]2)[C@H](OC)C1 ZINC001082004297 756591429 /nfs/dbraw/zinc/59/14/29/756591429.db2.gz FHJSVNYSAVJTEZ-CHWSQXEVSA-N 1 2 303.387 1.292 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3ccsc3[nH]2)[C@H](OC)C1 ZINC001082004297 756591433 /nfs/dbraw/zinc/59/14/33/756591433.db2.gz FHJSVNYSAVJTEZ-CHWSQXEVSA-N 1 2 303.387 1.292 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)cc(F)cc2C)[C@H](OC)C1 ZINC001082015205 756596870 /nfs/dbraw/zinc/59/68/70/756596870.db2.gz IJMSCEVLYIOQMK-HUUCEWRRSA-N 1 2 304.365 1.505 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(C)cc(F)cc2C)[C@H](OC)C1 ZINC001082015205 756596871 /nfs/dbraw/zinc/59/68/71/756596871.db2.gz IJMSCEVLYIOQMK-HUUCEWRRSA-N 1 2 304.365 1.505 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2oc(C(C)C)nc2C)[C@H](OC)C1 ZINC001082216332 756688516 /nfs/dbraw/zinc/68/85/16/756688516.db2.gz UNFAKXQWAKCBHC-CHWSQXEVSA-N 1 2 305.378 1.169 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2oc(C(C)C)nc2C)[C@H](OC)C1 ZINC001082216332 756688522 /nfs/dbraw/zinc/68/85/22/756688522.db2.gz UNFAKXQWAKCBHC-CHWSQXEVSA-N 1 2 305.378 1.169 20 30 DDEDLO C=CCCCC(=O)N1CCCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001082261634 756713455 /nfs/dbraw/zinc/71/34/55/756713455.db2.gz YKPJWLZMXVTPAL-HNNXBMFYSA-N 1 2 318.421 1.806 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3nccnc3C)C2)cn1 ZINC001016034113 756734031 /nfs/dbraw/zinc/73/40/31/756734031.db2.gz MVYKKKGTNUVYLU-INIZCTEOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3nccnc3C)C2)cn1 ZINC001016034113 756734039 /nfs/dbraw/zinc/73/40/39/756734039.db2.gz MVYKKKGTNUVYLU-INIZCTEOSA-N 1 2 321.384 1.166 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2sc(C)nc2C)[C@H](OC)C1 ZINC001082360633 756753066 /nfs/dbraw/zinc/75/30/66/756753066.db2.gz KSTDDCYORKZXNY-CHWSQXEVSA-N 1 2 307.419 1.212 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2sc(C)nc2C)[C@H](OC)C1 ZINC001082360633 756753068 /nfs/dbraw/zinc/75/30/68/756753068.db2.gz KSTDDCYORKZXNY-CHWSQXEVSA-N 1 2 307.419 1.212 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2COc3ccccc3O2)C1 ZINC001016172137 756807446 /nfs/dbraw/zinc/80/74/46/756807446.db2.gz UQLAPKWJZYGSCX-IUODEOHRSA-N 1 2 322.792 1.769 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2COc3ccccc3O2)C1 ZINC001016172137 756807451 /nfs/dbraw/zinc/80/74/51/756807451.db2.gz UQLAPKWJZYGSCX-IUODEOHRSA-N 1 2 322.792 1.769 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc(NC(N)=O)c2)C1 ZINC001016220659 756840343 /nfs/dbraw/zinc/84/03/43/756840343.db2.gz COJJXNCROZIEKL-CYBMUJFWSA-N 1 2 322.796 1.734 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(NC(N)=O)c2)C1 ZINC001016220659 756840348 /nfs/dbraw/zinc/84/03/48/756840348.db2.gz COJJXNCROZIEKL-CYBMUJFWSA-N 1 2 322.796 1.734 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCC(F)(F)F)[C@H](O)C1 ZINC001099757862 756874808 /nfs/dbraw/zinc/87/48/08/756874808.db2.gz KTZHQCQPWJMIRL-NXEZZACHSA-N 1 2 314.735 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCC(F)(F)F)[C@H](O)C1 ZINC001099757862 756874813 /nfs/dbraw/zinc/87/48/13/756874813.db2.gz KTZHQCQPWJMIRL-NXEZZACHSA-N 1 2 314.735 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2nc(C3CC3)nn2C)C1 ZINC001016298596 756913633 /nfs/dbraw/zinc/91/36/33/756913633.db2.gz UKOZUFJUILLGDY-GFCCVEGCSA-N 1 2 323.828 1.178 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2nc(C3CC3)nn2C)C1 ZINC001016298596 756913636 /nfs/dbraw/zinc/91/36/36/756913636.db2.gz UKOZUFJUILLGDY-GFCCVEGCSA-N 1 2 323.828 1.178 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)cc(C)n2)[C@@H](O)C1 ZINC001090380925 756924119 /nfs/dbraw/zinc/92/41/19/756924119.db2.gz HNDOQUMFBOWBCS-ZFWWWQNUSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)cc(C)n2)[C@@H](O)C1 ZINC001090380925 756924124 /nfs/dbraw/zinc/92/41/24/756924124.db2.gz HNDOQUMFBOWBCS-ZFWWWQNUSA-N 1 2 323.824 1.616 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@@H+](CC(=C)Cl)C[C@@H]1O ZINC001099764366 756926295 /nfs/dbraw/zinc/92/62/95/756926295.db2.gz UYYKZBVTAPFXMZ-KBPBESRZSA-N 1 2 316.829 1.273 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@H+](CC(=C)Cl)C[C@@H]1O ZINC001099764366 756926298 /nfs/dbraw/zinc/92/62/98/756926298.db2.gz UYYKZBVTAPFXMZ-KBPBESRZSA-N 1 2 316.829 1.273 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccccc3F)[C@H]2C1 ZINC001082984160 757022146 /nfs/dbraw/zinc/02/21/46/757022146.db2.gz QZRZMJOSNYAGSH-JKSUJKDBSA-N 1 2 302.349 1.374 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccccc3F)[C@H]2C1 ZINC001082984160 757022154 /nfs/dbraw/zinc/02/21/54/757022154.db2.gz QZRZMJOSNYAGSH-JKSUJKDBSA-N 1 2 302.349 1.374 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H](C)C2CCOCC2)C1 ZINC001016431557 757040095 /nfs/dbraw/zinc/04/00/95/757040095.db2.gz HOCMRKKRHJDFSZ-GXTWGEPZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)C2CCOCC2)C1 ZINC001016431557 757040099 /nfs/dbraw/zinc/04/00/99/757040099.db2.gz HOCMRKKRHJDFSZ-GXTWGEPZSA-N 1 2 300.830 1.992 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCCc4ccccc43)[C@H]2C1 ZINC001083121295 757121963 /nfs/dbraw/zinc/12/19/63/757121963.db2.gz DLQFEXAKPKSYRN-CEXWTWQISA-N 1 2 324.424 1.651 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCCc4ccccc43)[C@H]2C1 ZINC001083121295 757121965 /nfs/dbraw/zinc/12/19/65/757121965.db2.gz DLQFEXAKPKSYRN-CEXWTWQISA-N 1 2 324.424 1.651 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccnn3[C@H](C)CC)[C@H]2C1 ZINC001083096473 757133172 /nfs/dbraw/zinc/13/31/72/757133172.db2.gz IIDBFKRYRSMGOP-VNQPRFMTSA-N 1 2 316.405 1.013 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccnn3[C@H](C)CC)[C@H]2C1 ZINC001083096473 757133174 /nfs/dbraw/zinc/13/31/74/757133174.db2.gz IIDBFKRYRSMGOP-VNQPRFMTSA-N 1 2 316.405 1.013 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(F)ccc3F)[C@H]2C1 ZINC001083106065 757140217 /nfs/dbraw/zinc/14/02/17/757140217.db2.gz IJNBDVOWUCFBSU-JKSUJKDBSA-N 1 2 320.339 1.513 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(F)ccc3F)[C@H]2C1 ZINC001083106065 757140220 /nfs/dbraw/zinc/14/02/20/757140220.db2.gz IJNBDVOWUCFBSU-JKSUJKDBSA-N 1 2 320.339 1.513 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3snnc3C3CC3)[C@@H]2C1 ZINC001084425271 757544204 /nfs/dbraw/zinc/54/42/04/757544204.db2.gz GZYDTANGHXCBKK-CHWSQXEVSA-N 1 2 316.430 1.585 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3snnc3C3CC3)[C@@H]2C1 ZINC001084425271 757544209 /nfs/dbraw/zinc/54/42/09/757544209.db2.gz GZYDTANGHXCBKK-CHWSQXEVSA-N 1 2 316.430 1.585 20 30 DDEDLO C[C@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067174451 757665335 /nfs/dbraw/zinc/66/53/35/757665335.db2.gz HOBOZPLHALYUBR-BBRMVZONSA-N 1 2 324.388 1.499 20 30 DDEDLO CCC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](Nc2ncccc2C#N)C1 ZINC001052711569 757676276 /nfs/dbraw/zinc/67/62/76/757676276.db2.gz SYCPULHGLBXTLU-ZIAGYGMSSA-N 1 2 324.388 1.503 20 30 DDEDLO COC(=O)c1cc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)[nH]c1C ZINC001119516159 757771794 /nfs/dbraw/zinc/77/17/94/757771794.db2.gz PSLLTBYUBDIEKY-VXGBXAGGSA-N 1 2 304.394 1.528 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cc(C(N)=O)co2)CC1 ZINC001001138599 762581816 /nfs/dbraw/zinc/58/18/16/762581816.db2.gz DWDQYWOJVKZMEQ-UHFFFAOYSA-N 1 2 323.780 1.493 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cc(C(N)=O)co2)CC1 ZINC001001138599 762581823 /nfs/dbraw/zinc/58/18/23/762581823.db2.gz DWDQYWOJVKZMEQ-UHFFFAOYSA-N 1 2 323.780 1.493 20 30 DDEDLO Cc1cccnc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001017539766 758008143 /nfs/dbraw/zinc/00/81/43/758008143.db2.gz DZYWLCOQSNDVKL-CALCHBBNSA-N 1 2 309.413 1.979 20 30 DDEDLO Cc1cccnc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001017539766 758008153 /nfs/dbraw/zinc/00/81/53/758008153.db2.gz DZYWLCOQSNDVKL-CALCHBBNSA-N 1 2 309.413 1.979 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C2(C)CC2)C1=O ZINC001017575870 758043880 /nfs/dbraw/zinc/04/38/80/758043880.db2.gz LXFSCHFTHZYROT-QLFBSQMISA-N 1 2 317.433 1.249 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C2(C)CC2)C1=O ZINC001017575870 758043890 /nfs/dbraw/zinc/04/38/90/758043890.db2.gz LXFSCHFTHZYROT-QLFBSQMISA-N 1 2 317.433 1.249 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncc(OC)cc1F ZINC001017604252 758069442 /nfs/dbraw/zinc/06/94/42/758069442.db2.gz DXSHBHHGBYKJHE-TXEJJXNPSA-N 1 2 305.353 1.704 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncc(OC)cc1F ZINC001017604252 758069448 /nfs/dbraw/zinc/06/94/48/758069448.db2.gz DXSHBHHGBYKJHE-TXEJJXNPSA-N 1 2 305.353 1.704 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)/C=C\C(C)(C)C)CC2=O)C1 ZINC001108524803 762598309 /nfs/dbraw/zinc/59/83/09/762598309.db2.gz CMSUNAACDTXJIV-FWWRYZNZSA-N 1 2 305.422 1.176 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C(F)F ZINC001017623857 758093824 /nfs/dbraw/zinc/09/38/24/758093824.db2.gz DLIYDEKVANDMKA-TXEJJXNPSA-N 1 2 322.359 1.670 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nc1C(F)F ZINC001017623857 758093826 /nfs/dbraw/zinc/09/38/26/758093826.db2.gz DLIYDEKVANDMKA-TXEJJXNPSA-N 1 2 322.359 1.670 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cc[nH]c2n1 ZINC001017641212 758109062 /nfs/dbraw/zinc/10/90/62/758109062.db2.gz OXPCBNBJTYJWNT-GASCZTMLSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cc[nH]c2n1 ZINC001017641212 758109070 /nfs/dbraw/zinc/10/90/70/758109070.db2.gz OXPCBNBJTYJWNT-GASCZTMLSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001017653899 758122660 /nfs/dbraw/zinc/12/26/60/758122660.db2.gz WNOMARQRHFHBSR-GASCZTMLSA-N 1 2 300.406 1.235 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc(OC)c1C ZINC001017661168 758129422 /nfs/dbraw/zinc/12/94/22/758129422.db2.gz SBGYMDRHHAQOFY-GASCZTMLSA-N 1 2 313.401 1.711 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc(OC)c1C ZINC001017661168 758129429 /nfs/dbraw/zinc/12/94/29/758129429.db2.gz SBGYMDRHHAQOFY-GASCZTMLSA-N 1 2 313.401 1.711 20 30 DDEDLO N#CCN1CCC[C@H]([C@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001053099314 758149818 /nfs/dbraw/zinc/14/98/18/758149818.db2.gz UXOTUWINGDBJHS-GOEBONIOSA-N 1 2 315.421 1.569 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1cc(OC)no1)CCO2 ZINC001053228979 758285556 /nfs/dbraw/zinc/28/55/56/758285556.db2.gz YCXUKUBKUXWEHJ-UHFFFAOYSA-N 1 2 321.377 1.176 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1CCC(OC)CC1 ZINC001017870138 758317164 /nfs/dbraw/zinc/31/71/64/758317164.db2.gz ONMXYYJWXULSPR-HPZPOOBXSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1CCC(OC)CC1 ZINC001017870138 758317176 /nfs/dbraw/zinc/31/71/76/758317176.db2.gz ONMXYYJWXULSPR-HPZPOOBXSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001053305392 758358628 /nfs/dbraw/zinc/35/86/28/758358628.db2.gz DUGIPFMQMWGRQA-CABCVRRESA-N 1 2 318.421 1.450 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccncc1CC)CCO2 ZINC001053322281 758374941 /nfs/dbraw/zinc/37/49/41/758374941.db2.gz WWZUACNSMKJCCB-UHFFFAOYSA-N 1 2 315.417 1.747 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@@H]1CCCC[C@@H]1C ZINC001018017193 758479813 /nfs/dbraw/zinc/47/98/13/758479813.db2.gz UCQUIRLYHWOAOR-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@@H]1CCCC[C@@H]1C ZINC001018017193 758479818 /nfs/dbraw/zinc/47/98/18/758479818.db2.gz UCQUIRLYHWOAOR-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ncccc1OC)CCO2 ZINC001053516618 758523136 /nfs/dbraw/zinc/52/31/36/758523136.db2.gz UBXWWJUEQCFFNF-UHFFFAOYSA-N 1 2 317.389 1.193 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nc2c1cnn2C ZINC001018106480 758552753 /nfs/dbraw/zinc/55/27/53/758552753.db2.gz AJNYIIPGCVYMIM-OKILXGFUSA-N 1 2 323.400 1.199 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nc2c1cnn2C ZINC001018106480 758552756 /nfs/dbraw/zinc/55/27/56/758552756.db2.gz AJNYIIPGCVYMIM-OKILXGFUSA-N 1 2 323.400 1.199 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cccc(=O)[nH]1)O2 ZINC001053586559 758601828 /nfs/dbraw/zinc/60/18/28/758601828.db2.gz UJWLBSFWAUEDQP-CYBMUJFWSA-N 1 2 317.389 1.327 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c[nH]c(C#N)c1)O2 ZINC001053593888 758612775 /nfs/dbraw/zinc/61/27/75/758612775.db2.gz XYAWCKHXKJENGU-HNNXBMFYSA-N 1 2 314.389 1.426 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1nccc(C)n1)O2 ZINC001053596253 758617349 /nfs/dbraw/zinc/61/73/49/758617349.db2.gz OGKAXIFNKCAQNG-AWEZNQCLSA-N 1 2 316.405 1.324 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(Cl)n(C)n1)O2 ZINC001053626181 758644068 /nfs/dbraw/zinc/64/40/68/758644068.db2.gz PGMMNGJCHLTYFO-NSHDSACASA-N 1 2 324.812 1.223 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnc(C)n1C)O2 ZINC001053652158 758665298 /nfs/dbraw/zinc/66/52/98/758665298.db2.gz UHWQYIZFESYWCZ-AWEZNQCLSA-N 1 2 318.421 1.268 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccn(C)c1CC)O2 ZINC001053659878 758673026 /nfs/dbraw/zinc/67/30/26/758673026.db2.gz BCNGRQNVLFHULF-AWEZNQCLSA-N 1 2 317.433 1.737 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108548209 762649113 /nfs/dbraw/zinc/64/91/13/762649113.db2.gz HMRPAHPBHGNDGT-CQSZACIVSA-N 1 2 319.449 1.566 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C3CC3)n[nH]1)O2 ZINC001053665836 758678463 /nfs/dbraw/zinc/67/84/63/758678463.db2.gz YIZQGRHIYXXOTB-CYBMUJFWSA-N 1 2 316.405 1.436 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)C(C)C)C2)CC1 ZINC001065686340 758680938 /nfs/dbraw/zinc/68/09/38/758680938.db2.gz OJEZDGOJEXMERM-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccn(C(C)C)n1)O2 ZINC001053673526 758683630 /nfs/dbraw/zinc/68/36/30/758683630.db2.gz VMORLYDIMGQOEG-CQSZACIVSA-N 1 2 318.421 1.613 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cccnn1)O2 ZINC001053680184 758690962 /nfs/dbraw/zinc/69/09/62/758690962.db2.gz YYFXHLNCJDIPOT-ZDUSSCGKSA-N 1 2 302.378 1.016 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnoc1C1CC1)O2 ZINC001053682174 758691185 /nfs/dbraw/zinc/69/11/85/758691185.db2.gz CHFFZKJKHOPTJS-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)C3CC3)C2)CC1 ZINC001065677423 758697306 /nfs/dbraw/zinc/69/73/06/758697306.db2.gz QYCOPYDERLBAJD-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(CC)on1)O2 ZINC001053690328 758698383 /nfs/dbraw/zinc/69/83/83/758698383.db2.gz HTCHJRDHBOUEHO-AWEZNQCLSA-N 1 2 319.405 1.776 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065703449 758704484 /nfs/dbraw/zinc/70/44/84/758704484.db2.gz CJXLTSNKVFSFHG-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065705221 758705769 /nfs/dbraw/zinc/70/57/69/758705769.db2.gz WTIGMNNXCQDTTE-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccsc1)CO2 ZINC001053727872 758744361 /nfs/dbraw/zinc/74/43/61/758744361.db2.gz ISOCJLNEBKLOTP-AWEZNQCLSA-N 1 2 304.415 1.273 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(=O)[C@H]3C[C@@H]3C)C2)CC1 ZINC001018368817 758765096 /nfs/dbraw/zinc/76/50/96/758765096.db2.gz GGZCVQQWFIQHKH-JYJNAYRXSA-N 1 2 319.449 1.211 20 30 DDEDLO CC(C)=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C#N)c[nH]1)CO2 ZINC001053766328 758785957 /nfs/dbraw/zinc/78/59/57/758785957.db2.gz KZEPADMDQIOWQT-CQSZACIVSA-N 1 2 314.389 1.426 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccnn1C(C)C)CO2 ZINC001053814524 758841895 /nfs/dbraw/zinc/84/18/95/758841895.db2.gz PECZRQJSYPYQHO-CQSZACIVSA-N 1 2 318.421 1.613 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](F)c1ccccc1)CO2 ZINC001053941921 758977920 /nfs/dbraw/zinc/97/79/20/758977920.db2.gz HSWUWTTZNURKCZ-HOTGVXAUSA-N 1 2 316.376 1.680 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)c1cnn(C)c1)CO2 ZINC001054026981 759077384 /nfs/dbraw/zinc/07/73/84/759077384.db2.gz ISTXCFVCRPOJTF-UKRRQHHQSA-N 1 2 318.421 1.059 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066147806 759088089 /nfs/dbraw/zinc/08/80/89/759088089.db2.gz MACZXIUKXWQMHL-AWEZNQCLSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnnn1CC ZINC001054040635 759098630 /nfs/dbraw/zinc/09/86/30/759098630.db2.gz ZDJVUBGEWBJQJT-MRXNPFEDSA-N 1 2 323.400 1.088 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnnn1CC ZINC001054040635 759098637 /nfs/dbraw/zinc/09/86/37/759098637.db2.gz ZDJVUBGEWBJQJT-MRXNPFEDSA-N 1 2 323.400 1.088 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ncccn1 ZINC001054056365 759109665 /nfs/dbraw/zinc/10/96/65/759109665.db2.gz FXTXVRHVVBSZDA-KRWDZBQOSA-N 1 2 320.396 1.657 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ncccn1 ZINC001054056365 759109668 /nfs/dbraw/zinc/10/96/68/759109668.db2.gz FXTXVRHVVBSZDA-KRWDZBQOSA-N 1 2 320.396 1.657 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nc(C)c[nH]1 ZINC001054055316 759109683 /nfs/dbraw/zinc/10/96/83/759109683.db2.gz JKRJOTHJCHTOIB-INIZCTEOSA-N 1 2 308.385 1.508 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nc(C)c[nH]1 ZINC001054055316 759109684 /nfs/dbraw/zinc/10/96/84/759109684.db2.gz JKRJOTHJCHTOIB-INIZCTEOSA-N 1 2 308.385 1.508 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108569685 762697516 /nfs/dbraw/zinc/69/75/16/762697516.db2.gz NMIQKEXRKRJDCZ-KDOFPFPSSA-N 1 2 319.449 1.566 20 30 DDEDLO C/C(=C\C(=O)N[C@]1(C#N)CCC[C@@H](C)C1)C[NH+]1CCOCC1 ZINC000826684539 759300951 /nfs/dbraw/zinc/30/09/51/759300951.db2.gz YDTIDGYIGRLXJP-YLJPPSQVSA-N 1 2 305.422 1.854 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)Cn1cc[nH+]c1 ZINC001069117405 767858846 /nfs/dbraw/zinc/85/88/46/767858846.db2.gz YDXPDDBQOARWGY-GXTWGEPZSA-N 1 2 310.361 1.251 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)c2c[nH]c(C#N)c2)on1 ZINC001085552183 759691001 /nfs/dbraw/zinc/69/10/01/759691001.db2.gz WXTWULNHYKEAIB-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)c2c[nH]c(C#N)c2)on1 ZINC001085552183 759691005 /nfs/dbraw/zinc/69/10/05/759691005.db2.gz WXTWULNHYKEAIB-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc2cc[nH]cc-2c1=O ZINC001085619039 759849505 /nfs/dbraw/zinc/84/95/05/759849505.db2.gz CSAHYEIEMOQLRJ-ZDUSSCGKSA-N 1 2 324.384 1.093 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc2cc[nH]cc-2c1=O ZINC001085619039 759849513 /nfs/dbraw/zinc/84/95/13/759849513.db2.gz CSAHYEIEMOQLRJ-ZDUSSCGKSA-N 1 2 324.384 1.093 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(CC(F)(F)F)n1 ZINC001085658168 759944588 /nfs/dbraw/zinc/94/45/88/759944588.db2.gz KKAIRSQZXQDDMY-LLVKDONJSA-N 1 2 314.311 1.225 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(CC(F)(F)F)n1 ZINC001085658168 759944594 /nfs/dbraw/zinc/94/45/94/759944594.db2.gz KKAIRSQZXQDDMY-LLVKDONJSA-N 1 2 314.311 1.225 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001019498551 759993350 /nfs/dbraw/zinc/99/33/50/759993350.db2.gz GVHQFANRFHBUQK-YDHLFZDLSA-N 1 2 320.393 1.050 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(C(C)C)c1Cl ZINC001085742590 760143530 /nfs/dbraw/zinc/14/35/30/760143530.db2.gz UEUZSXDDSMJWEO-LBPRGKRZSA-N 1 2 308.813 1.897 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(C(C)C)c1Cl ZINC001085742590 760143532 /nfs/dbraw/zinc/14/35/32/760143532.db2.gz UEUZSXDDSMJWEO-LBPRGKRZSA-N 1 2 308.813 1.897 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-n2ccnc2)cc1 ZINC001085746972 760148413 /nfs/dbraw/zinc/14/84/13/760148413.db2.gz VJWKYEHNJNQCGS-KRWDZBQOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-n2ccnc2)cc1 ZINC001085746972 760148414 /nfs/dbraw/zinc/14/84/14/760148414.db2.gz VJWKYEHNJNQCGS-KRWDZBQOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(-c2ccccc2)n1 ZINC001085848799 760353066 /nfs/dbraw/zinc/35/30/66/760353066.db2.gz GRTSLNNXENXHGJ-OAHLLOKOSA-N 1 2 308.385 1.856 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(-c2ccccc2)n1 ZINC001085848799 760353076 /nfs/dbraw/zinc/35/30/76/760353076.db2.gz GRTSLNNXENXHGJ-OAHLLOKOSA-N 1 2 308.385 1.856 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@H]2CC[N@H+]2C[C@@H](F)CC)nn1 ZINC001085863526 760406481 /nfs/dbraw/zinc/40/64/81/760406481.db2.gz CZWZZPKGIABWOI-UONOGXRCSA-N 1 2 323.416 1.749 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2C[C@@H](F)CC)nn1 ZINC001085863526 760406482 /nfs/dbraw/zinc/40/64/82/760406482.db2.gz CZWZZPKGIABWOI-UONOGXRCSA-N 1 2 323.416 1.749 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C/C=C\Cl)nn1 ZINC001085863974 760407692 /nfs/dbraw/zinc/40/76/92/760407692.db2.gz OLBNGCDRIQCFPC-VGVVRCBHSA-N 1 2 323.828 1.753 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2C/C=C\Cl)nn1 ZINC001085863974 760407697 /nfs/dbraw/zinc/40/76/97/760407697.db2.gz OLBNGCDRIQCFPC-VGVVRCBHSA-N 1 2 323.828 1.753 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001085873198 760424364 /nfs/dbraw/zinc/42/43/64/760424364.db2.gz SFBGCFVACQORGC-SECBINFHSA-N 1 2 300.284 1.208 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001085873198 760424369 /nfs/dbraw/zinc/42/43/69/760424369.db2.gz SFBGCFVACQORGC-SECBINFHSA-N 1 2 300.284 1.208 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1ncccn1 ZINC001085884481 760461316 /nfs/dbraw/zinc/46/13/16/760461316.db2.gz COMUVRXESRAUAU-MRXNPFEDSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1ncccn1 ZINC001085884481 760461321 /nfs/dbraw/zinc/46/13/21/760461321.db2.gz COMUVRXESRAUAU-MRXNPFEDSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cccnn1 ZINC001085888501 760475885 /nfs/dbraw/zinc/47/58/85/760475885.db2.gz ZXEDCSHVGIZQHK-QGZVFWFLSA-N 1 2 320.396 1.675 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cccnn1 ZINC001085888501 760475891 /nfs/dbraw/zinc/47/58/91/760475891.db2.gz ZXEDCSHVGIZQHK-QGZVFWFLSA-N 1 2 320.396 1.675 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(CCCC)c1C ZINC001085917985 760527892 /nfs/dbraw/zinc/52/78/92/760527892.db2.gz PVHMIDLSKDVEPY-HNNXBMFYSA-N 1 2 302.422 1.771 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(CCCC)c1C ZINC001085917985 760527897 /nfs/dbraw/zinc/52/78/97/760527897.db2.gz PVHMIDLSKDVEPY-HNNXBMFYSA-N 1 2 302.422 1.771 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001046830746 767961210 /nfs/dbraw/zinc/96/12/10/767961210.db2.gz XLBXIHDFNQCRAT-MRXNPFEDSA-N 1 2 321.808 1.732 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001046830746 767961214 /nfs/dbraw/zinc/96/12/14/767961214.db2.gz XLBXIHDFNQCRAT-MRXNPFEDSA-N 1 2 321.808 1.732 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc(C)nc1C1CC1 ZINC001085953904 760604336 /nfs/dbraw/zinc/60/43/36/760604336.db2.gz MSLFXNQFPRPGSL-HNNXBMFYSA-N 1 2 312.417 1.832 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc(C)nc1C1CC1 ZINC001085953904 760604337 /nfs/dbraw/zinc/60/43/37/760604337.db2.gz MSLFXNQFPRPGSL-HNNXBMFYSA-N 1 2 312.417 1.832 20 30 DDEDLO CCn1nccc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038191852 760907478 /nfs/dbraw/zinc/90/74/78/760907478.db2.gz LKRKIAQQPIBZGU-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1nccc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038191852 760907484 /nfs/dbraw/zinc/90/74/84/760907484.db2.gz LKRKIAQQPIBZGU-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn([C@@H](C)COC)nc1C ZINC001038263632 760957266 /nfs/dbraw/zinc/95/72/66/760957266.db2.gz RUQSWIKATGVURN-DZGCQCFKSA-N 1 2 318.421 1.226 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn([C@@H](C)COC)nc1C ZINC001038263632 760957272 /nfs/dbraw/zinc/95/72/72/760957272.db2.gz RUQSWIKATGVURN-DZGCQCFKSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@@H]1CCN2C(=O)Cc1c[nH+]c[nH]1 ZINC001056299893 761102363 /nfs/dbraw/zinc/10/23/63/761102363.db2.gz GVJFHFARKYBATJ-GJZGRUSLSA-N 1 2 316.405 1.510 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001038424625 761106167 /nfs/dbraw/zinc/10/61/67/761106167.db2.gz SLUMBJLOHVOGNX-JSGCOSHPSA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]1CNC(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001038426337 761107890 /nfs/dbraw/zinc/10/78/90/761107890.db2.gz VBLGULPREFLKLL-GFCCVEGCSA-N 1 2 317.414 1.970 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]1CNC(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001038426337 761107895 /nfs/dbraw/zinc/10/78/95/761107895.db2.gz VBLGULPREFLKLL-GFCCVEGCSA-N 1 2 317.414 1.970 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001038483892 761154209 /nfs/dbraw/zinc/15/42/09/761154209.db2.gz IBPZAVNCOROIQP-HNNXBMFYSA-N 1 2 308.385 1.904 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001038483892 761154214 /nfs/dbraw/zinc/15/42/14/761154214.db2.gz IBPZAVNCOROIQP-HNNXBMFYSA-N 1 2 308.385 1.904 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnc(C3CC3)n2)C1 ZINC001108253290 761164230 /nfs/dbraw/zinc/16/42/30/761164230.db2.gz HISCZMKBEMQTOS-KRWDZBQOSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnc(C3CC3)n2)C1 ZINC001108253290 761164234 /nfs/dbraw/zinc/16/42/34/761164234.db2.gz HISCZMKBEMQTOS-KRWDZBQOSA-N 1 2 316.405 1.361 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)c1cccc2[nH+]ccn21 ZINC001038510116 761165551 /nfs/dbraw/zinc/16/55/51/761165551.db2.gz IXCVSHRAALGEOR-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066445554 761183208 /nfs/dbraw/zinc/18/32/08/761183208.db2.gz JCOBSXLMVCMOIV-AWEZNQCLSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2[C@@H]2CCCNC2=O)CC1 ZINC001038662580 761261302 /nfs/dbraw/zinc/26/13/02/761261302.db2.gz SCMGAHMXKCFDIH-HUUCEWRRSA-N 1 2 305.422 1.202 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2[C@@H]2CCCNC2=O)CC1 ZINC001038662580 761261306 /nfs/dbraw/zinc/26/13/06/761261306.db2.gz SCMGAHMXKCFDIH-HUUCEWRRSA-N 1 2 305.422 1.202 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cncc(C)c1 ZINC001038709450 761310343 /nfs/dbraw/zinc/31/03/43/761310343.db2.gz FIFALWBWCICQGY-BBWFWOEESA-N 1 2 315.417 1.672 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cncc(C)c1 ZINC001038709450 761310346 /nfs/dbraw/zinc/31/03/46/761310346.db2.gz FIFALWBWCICQGY-BBWFWOEESA-N 1 2 315.417 1.672 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001056680899 761338160 /nfs/dbraw/zinc/33/81/60/761338160.db2.gz IVJPSTYRSCSCMO-CYBMUJFWSA-N 1 2 310.361 1.240 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CC[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001056682321 761339340 /nfs/dbraw/zinc/33/93/40/761339340.db2.gz SMFLRAHCWACUGI-OAHLLOKOSA-N 1 2 324.388 1.332 20 30 DDEDLO N#Cc1c(F)cccc1N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001056687582 761344498 /nfs/dbraw/zinc/34/44/98/761344498.db2.gz IVMBZEXISSLAPT-LLVKDONJSA-N 1 2 313.336 1.676 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001038859318 761483652 /nfs/dbraw/zinc/48/36/52/761483652.db2.gz DGFDFOKHDQKVAG-CHWSQXEVSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001038859318 761483658 /nfs/dbraw/zinc/48/36/58/761483658.db2.gz DGFDFOKHDQKVAG-CHWSQXEVSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001038859319 761483793 /nfs/dbraw/zinc/48/37/93/761483793.db2.gz DGFDFOKHDQKVAG-OLZOCXBDSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001038859319 761483797 /nfs/dbraw/zinc/48/37/97/761483797.db2.gz DGFDFOKHDQKVAG-OLZOCXBDSA-N 1 2 300.406 1.362 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2c(C)noc2C)nc1 ZINC001038933768 761563814 /nfs/dbraw/zinc/56/38/14/761563814.db2.gz YMKNSHSFCUQBDC-HNNXBMFYSA-N 1 2 324.384 1.672 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2c(C)noc2C)nc1 ZINC001038933768 761563825 /nfs/dbraw/zinc/56/38/25/761563825.db2.gz YMKNSHSFCUQBDC-HNNXBMFYSA-N 1 2 324.384 1.672 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2ncon2)cc1 ZINC001038940154 761572682 /nfs/dbraw/zinc/57/26/82/761572682.db2.gz XWDBUHRKYDPFME-OAHLLOKOSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2ncon2)cc1 ZINC001038940154 761572688 /nfs/dbraw/zinc/57/26/88/761572688.db2.gz XWDBUHRKYDPFME-OAHLLOKOSA-N 1 2 310.357 1.564 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cnccc2C)nc1 ZINC001038943893 761577126 /nfs/dbraw/zinc/57/71/26/761577126.db2.gz YPGZDFGMGOOVQP-QGZVFWFLSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cnccc2C)nc1 ZINC001038943893 761577131 /nfs/dbraw/zinc/57/71/31/761577131.db2.gz YPGZDFGMGOOVQP-QGZVFWFLSA-N 1 2 320.396 1.771 20 30 DDEDLO CC1(C)CN(C(=O)Cn2cc[nH+]c2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001069573510 768065137 /nfs/dbraw/zinc/06/51/37/768065137.db2.gz DSXVCYANVJEGDL-OAHLLOKOSA-N 1 2 324.388 1.499 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108644629 762820129 /nfs/dbraw/zinc/82/01/29/762820129.db2.gz LXZAULQQIQSAEX-LBPRGKRZSA-N 1 2 314.393 1.474 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108712528 762881620 /nfs/dbraw/zinc/88/16/20/762881620.db2.gz WPJBIXLXLZNBMK-NSHDSACASA-N 1 2 320.441 1.765 20 30 DDEDLO C[C@@H](CNc1nccnc1C#N)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001108777988 762930361 /nfs/dbraw/zinc/93/03/61/762930361.db2.gz QGSPHXAQYXRAHM-JTQLQIEISA-N 1 2 321.344 1.455 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncs1 ZINC001109257995 763524054 /nfs/dbraw/zinc/52/40/54/763524054.db2.gz WUTRIGIBTCNKCC-SNPRPXQTSA-N 1 2 301.415 1.778 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncs1 ZINC001109257995 763524060 /nfs/dbraw/zinc/52/40/60/763524060.db2.gz WUTRIGIBTCNKCC-SNPRPXQTSA-N 1 2 301.415 1.778 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3nnc(C)[nH]3)[C@H]2C1 ZINC001042184809 763750505 /nfs/dbraw/zinc/75/05/05/763750505.db2.gz ZKNRRZZKSFLLIG-KGLIPLIRSA-N 1 2 317.437 1.748 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@H+](Cc3nnc(C)[nH]3)[C@H]2C1 ZINC001042184809 763750508 /nfs/dbraw/zinc/75/05/08/763750508.db2.gz ZKNRRZZKSFLLIG-KGLIPLIRSA-N 1 2 317.437 1.748 20 30 DDEDLO N#Cc1ccc(NC[C@@H](NC(=O)Cn2cc[nH+]c2)C2CC2)nc1 ZINC001109866652 764149013 /nfs/dbraw/zinc/14/90/13/764149013.db2.gz AQYNOONFMGSPBL-CQSZACIVSA-N 1 2 310.361 1.157 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3cc(F)c[nH]3)c2C1 ZINC001069867593 768198595 /nfs/dbraw/zinc/19/85/95/768198595.db2.gz AWWTUMPNIOXYPV-UHFFFAOYSA-N 1 2 317.368 1.672 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3cc(F)c[nH]3)c2C1 ZINC001069867593 768198600 /nfs/dbraw/zinc/19/86/00/768198600.db2.gz AWWTUMPNIOXYPV-UHFFFAOYSA-N 1 2 317.368 1.672 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1csnc1C ZINC001050881676 764229920 /nfs/dbraw/zinc/22/99/20/764229920.db2.gz HVOZYRPPSPYYOR-CYBMUJFWSA-N 1 2 309.435 1.848 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1csnc1C ZINC001050881676 764229925 /nfs/dbraw/zinc/22/99/25/764229925.db2.gz HVOZYRPPSPYYOR-CYBMUJFWSA-N 1 2 309.435 1.848 20 30 DDEDLO N#Cc1cccnc1NC[C@H](NC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001110005346 764285550 /nfs/dbraw/zinc/28/55/50/764285550.db2.gz QEAGYCZWKSVYMS-HNNXBMFYSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cccnc1NC[C@H](NC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001110005346 764285557 /nfs/dbraw/zinc/28/55/57/764285557.db2.gz QEAGYCZWKSVYMS-HNNXBMFYSA-N 1 2 324.388 1.616 20 30 DDEDLO CN(C(=O)c1ccc(C#N)[nH]1)C1C[NH+](C[C@H]2CC(C)(C)CO2)C1 ZINC001042629201 764291999 /nfs/dbraw/zinc/29/19/99/764291999.db2.gz VHOZAOMIUKBFQR-CQSZACIVSA-N 1 2 316.405 1.458 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001050929370 764295727 /nfs/dbraw/zinc/29/57/27/764295727.db2.gz RGGRKSHRKCWQDQ-YYIAUSFCSA-N 1 2 304.434 1.982 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001050929370 764295733 /nfs/dbraw/zinc/29/57/33/764295733.db2.gz RGGRKSHRKCWQDQ-YYIAUSFCSA-N 1 2 304.434 1.982 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1[nH]ccc1C1CC1 ZINC001050949969 764333857 /nfs/dbraw/zinc/33/38/57/764333857.db2.gz SMSHZAJPIOQBAQ-AWEZNQCLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1[nH]ccc1C1CC1 ZINC001050949969 764333862 /nfs/dbraw/zinc/33/38/62/764333862.db2.gz SMSHZAJPIOQBAQ-AWEZNQCLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CCN1CCOC[C@@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001050980614 764378509 /nfs/dbraw/zinc/37/85/09/764378509.db2.gz XYEAHSQYQHJBKP-ZDUSSCGKSA-N 1 2 300.362 1.180 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccnc1OC ZINC001051091465 764497959 /nfs/dbraw/zinc/49/79/59/764497959.db2.gz IQSVNZIYJZOENC-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccnc1OC ZINC001051091465 764497969 /nfs/dbraw/zinc/49/79/69/764497969.db2.gz IQSVNZIYJZOENC-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1Cc2ccncc2C1 ZINC001051111425 764517720 /nfs/dbraw/zinc/51/77/20/764517720.db2.gz QMZPQWUVPBCWGT-RDJZCZTQSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1Cc2ccncc2C1 ZINC001051111425 764517725 /nfs/dbraw/zinc/51/77/25/764517725.db2.gz QMZPQWUVPBCWGT-RDJZCZTQSA-N 1 2 315.417 1.190 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1coc(C2CC2)n1 ZINC001051152536 764558867 /nfs/dbraw/zinc/55/88/67/764558867.db2.gz ITQYXEDEAIVIEN-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1coc(C2CC2)n1 ZINC001051152536 764558872 /nfs/dbraw/zinc/55/88/72/764558872.db2.gz ITQYXEDEAIVIEN-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncccc1F ZINC001051182649 764588646 /nfs/dbraw/zinc/58/86/46/764588646.db2.gz DQGFGIFLUAFILT-ZDUSSCGKSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncccc1F ZINC001051182649 764588652 /nfs/dbraw/zinc/58/86/52/764588652.db2.gz DQGFGIFLUAFILT-ZDUSSCGKSA-N 1 2 307.369 1.618 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]cc1C1CC1 ZINC001051189083 764597765 /nfs/dbraw/zinc/59/77/65/764597765.db2.gz NASUAOOPFNJNLU-AWEZNQCLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]cc1C1CC1 ZINC001051189083 764597771 /nfs/dbraw/zinc/59/77/71/764597771.db2.gz NASUAOOPFNJNLU-AWEZNQCLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncoc1C1CC1 ZINC001051194634 764604887 /nfs/dbraw/zinc/60/48/87/764604887.db2.gz JSZQEBQBRPGHTD-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncoc1C1CC1 ZINC001051194634 764604891 /nfs/dbraw/zinc/60/48/91/764604891.db2.gz JSZQEBQBRPGHTD-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001051287487 764715608 /nfs/dbraw/zinc/71/56/08/764715608.db2.gz ISVMXWGQJZVPGP-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)N[C@@H](CC(C)C)C2)C1 ZINC001043183134 764743365 /nfs/dbraw/zinc/74/33/65/764743365.db2.gz HRAIHMSPOCXNSN-CABCVRRESA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c[nH]nc2[C@@H]2CCCO2)C1 ZINC001043620145 765008685 /nfs/dbraw/zinc/00/86/85/765008685.db2.gz VZJGVJZQRVNUBH-AWEZNQCLSA-N 1 2 304.394 1.594 20 30 DDEDLO C=C(C)CN1CC(N(C)C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC001043619250 765009275 /nfs/dbraw/zinc/00/92/75/765009275.db2.gz LXGPZWAKADLXJD-KRWDZBQOSA-N 1 2 321.465 1.349 20 30 DDEDLO C=C(C)CN1CC(N(C)C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC001043619250 765009284 /nfs/dbraw/zinc/00/92/84/765009284.db2.gz LXGPZWAKADLXJD-KRWDZBQOSA-N 1 2 321.465 1.349 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)COCc2cccc(OC)c2)CC1 ZINC001113064218 765204402 /nfs/dbraw/zinc/20/44/02/765204402.db2.gz YCCIQPGKOFGLHQ-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(F)cccc2NC(N)=O)C1 ZINC001043986603 765210617 /nfs/dbraw/zinc/21/06/17/765210617.db2.gz GFDGIPQSKIXUKX-UHFFFAOYSA-N 1 2 318.352 1.096 20 30 DDEDLO CCOC(=O)c1cc(C#N)c(Cl)nc1N(CC)C1C[NH+](C)C1 ZINC001157455535 765223825 /nfs/dbraw/zinc/22/38/25/765223825.db2.gz OPZHQEAUBFGLQK-UHFFFAOYSA-N 1 2 322.796 1.924 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)c3cccs3)C2)CC1 ZINC001051974721 765335090 /nfs/dbraw/zinc/33/50/90/765335090.db2.gz JNXRINZHXIXGOR-ZDUSSCGKSA-N 1 2 304.419 1.104 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)Cc3ccoc3)C2)CC1 ZINC001052014594 765385004 /nfs/dbraw/zinc/38/50/04/765385004.db2.gz IDUOJDFPEQQQGM-KRWDZBQOSA-N 1 2 315.417 1.064 20 30 DDEDLO CC#CCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H](CC)SC)C2)CC1 ZINC001052015615 765385936 /nfs/dbraw/zinc/38/59/36/765385936.db2.gz SHFGRPSLANMHPH-HZPDHXFCSA-N 1 2 323.506 1.370 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)=C3CCC3)C2)CC1 ZINC001052025602 765401661 /nfs/dbraw/zinc/40/16/61/765401661.db2.gz HYZAOTVZFWETRL-SFHVURJKSA-N 1 2 315.461 1.729 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC001113217748 765423358 /nfs/dbraw/zinc/42/33/58/765423358.db2.gz IVRMLVYCTNKVHP-GJZGRUSLSA-N 1 2 307.438 1.211 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2Cc3ccccc3CO2)C1 ZINC001044248046 765423502 /nfs/dbraw/zinc/42/35/02/765423502.db2.gz CDGWLQHGWWUWNR-KRWDZBQOSA-N 1 2 300.402 1.847 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)(C)C3CCC3)C2)CC1 ZINC001052057818 765430102 /nfs/dbraw/zinc/43/01/02/765430102.db2.gz TVPZLEIWVJBKHN-KRWDZBQOSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3CCC3(C)C)C2)CC1 ZINC001052064331 765436993 /nfs/dbraw/zinc/43/69/93/765436993.db2.gz DDGKFZCGERDCQX-SJORKVTESA-N 1 2 317.477 1.664 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC001113228994 765440450 /nfs/dbraw/zinc/44/04/50/765440450.db2.gz AXZSRFSPRVLHLW-UONOGXRCSA-N 1 2 319.453 1.497 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(CC)CCC3)C2)CC1 ZINC001052066947 765440914 /nfs/dbraw/zinc/44/09/14/765440914.db2.gz ZYRFBTIDWZGPBV-INIZCTEOSA-N 1 2 303.450 1.418 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)C1 ZINC001052080600 765454021 /nfs/dbraw/zinc/45/40/21/765454021.db2.gz DLUXOKDTTHVTAP-HNNXBMFYSA-N 1 2 302.422 1.085 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(CF)CCC3)C2)CC1 ZINC001052089209 765460773 /nfs/dbraw/zinc/46/07/73/765460773.db2.gz PYXSSZIMTLANOD-INIZCTEOSA-N 1 2 321.440 1.368 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCN2C(=O)C(C)C)C1 ZINC001044310520 765472582 /nfs/dbraw/zinc/47/25/82/765472582.db2.gz WQLRVXNUOLXHSP-HNNXBMFYSA-N 1 2 307.438 1.352 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2Cc3cccc(F)c3O2)C1 ZINC001044317028 765477189 /nfs/dbraw/zinc/47/71/89/765477189.db2.gz HBQQGIPBXJARQH-HNNXBMFYSA-N 1 2 302.349 1.295 20 30 DDEDLO C[C@@H](Nc1ccncc1C#N)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001113351989 765615677 /nfs/dbraw/zinc/61/56/77/765615677.db2.gz LEAQNULOWQKMJL-NEPJUHHUSA-N 1 2 312.377 1.036 20 30 DDEDLO C[C@@H](Nc1ccncc1C#N)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001113351989 765615681 /nfs/dbraw/zinc/61/56/81/765615681.db2.gz LEAQNULOWQKMJL-NEPJUHHUSA-N 1 2 312.377 1.036 20 30 DDEDLO C#CCCCCC(=O)NCCOCCCNc1cc[nH+]c(C)n1 ZINC001115405325 765993350 /nfs/dbraw/zinc/99/33/50/765993350.db2.gz HPCOPXMOGGIGOU-UHFFFAOYSA-N 1 2 318.421 1.913 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CCN(c3cc[nH+]c(C)n3)CC2)nc1 ZINC001057886171 766117215 /nfs/dbraw/zinc/11/72/15/766117215.db2.gz BYDICHBGAKDEBD-UHFFFAOYSA-N 1 2 321.384 1.560 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001058412888 766503121 /nfs/dbraw/zinc/50/31/21/766503121.db2.gz FOJFFRKEHHBGSW-QGZVFWFLSA-N 1 2 320.396 1.704 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccc(C#N)cn1 ZINC001067578525 766620279 /nfs/dbraw/zinc/62/02/79/766620279.db2.gz YQLZJVWQMAAMSN-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO CN(C[C@@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1)c1ccncc1C#N ZINC001067771440 766692491 /nfs/dbraw/zinc/69/24/91/766692491.db2.gz ADIRPSMUJAQKNU-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csc(C)n3)C[C@H]21 ZINC001114189223 766737411 /nfs/dbraw/zinc/73/74/11/766737411.db2.gz KZVATJAVALUGDA-XNISGKROSA-N 1 2 321.446 1.325 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csc(C)n3)C[C@H]21 ZINC001114189223 766737416 /nfs/dbraw/zinc/73/74/16/766737416.db2.gz KZVATJAVALUGDA-XNISGKROSA-N 1 2 321.446 1.325 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114199069 766771995 /nfs/dbraw/zinc/77/19/95/766771995.db2.gz NMVPQZJVRPFTJQ-FOCJUVANSA-N 1 2 315.421 1.278 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114199069 766772005 /nfs/dbraw/zinc/77/20/05/766772005.db2.gz NMVPQZJVRPFTJQ-FOCJUVANSA-N 1 2 315.421 1.278 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csc(C)n3)C[C@H]21 ZINC001114263130 766832517 /nfs/dbraw/zinc/83/25/17/766832517.db2.gz OVMBXOIWMOUKPW-BSLXNSKLSA-N 1 2 319.430 1.036 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csc(C)n3)C[C@H]21 ZINC001114263130 766832522 /nfs/dbraw/zinc/83/25/22/766832522.db2.gz OVMBXOIWMOUKPW-BSLXNSKLSA-N 1 2 319.430 1.036 20 30 DDEDLO C[C@H]1CCN(c2ccc(C#N)nc2)C[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067964796 766842142 /nfs/dbraw/zinc/84/21/42/766842142.db2.gz IRHSBZLZSSSNSO-XJKSGUPXSA-N 1 2 324.388 1.181 20 30 DDEDLO C[C@H]1CCN(c2ccc(C#N)nc2)C[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067964793 766843052 /nfs/dbraw/zinc/84/30/52/766843052.db2.gz IRHSBZLZSSSNSO-BBRMVZONSA-N 1 2 324.388 1.181 20 30 DDEDLO C[C@@H](C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C(C)(C)C ZINC001046039298 766846285 /nfs/dbraw/zinc/84/62/85/766846285.db2.gz VLSPMRUZCBUMEK-LSDHHAIUSA-N 1 2 306.454 1.411 20 30 DDEDLO CCC(C)(CC)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046086410 766899382 /nfs/dbraw/zinc/89/93/82/766899382.db2.gz NTIUTVXHZNWHCS-OAHLLOKOSA-N 1 2 306.454 1.555 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+]Cc1nn(C)cc1Cl ZINC001129503075 766907993 /nfs/dbraw/zinc/90/79/93/766907993.db2.gz VOTJNWYXJIZFAP-UHFFFAOYSA-N 1 2 321.812 1.581 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+]Cc1ncc(CC)o1 ZINC001129513332 766920940 /nfs/dbraw/zinc/92/09/40/766920940.db2.gz BURYZKKQNUBMCL-UHFFFAOYSA-N 1 2 302.378 1.744 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](CC)OCC)n2CC=C)CC1 ZINC001121644496 782610806 /nfs/dbraw/zinc/61/08/06/782610806.db2.gz QYESZTJVTQVZFW-OAHLLOKOSA-N 1 2 317.437 1.707 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@H]1C[C@H](CNc2ncccc2C#N)C1 ZINC001068506196 767382359 /nfs/dbraw/zinc/38/23/59/767382359.db2.gz BBOYLCSUFUZRSX-MQMHXKEQSA-N 1 2 324.388 1.236 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[NH2+]Cc2nc(C)no2)cc1 ZINC001130371107 767470941 /nfs/dbraw/zinc/47/09/41/767470941.db2.gz CLMRCEMTLXSZAC-UHFFFAOYSA-N 1 2 316.361 1.462 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[NH2+]Cc1nonc1C ZINC001130374178 767473179 /nfs/dbraw/zinc/47/31/79/767473179.db2.gz KLAKGDXNLZYRIT-UHFFFAOYSA-N 1 2 316.361 1.462 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnc3n2CCC3)C1 ZINC001046384735 767495815 /nfs/dbraw/zinc/49/58/15/767495815.db2.gz GZDJXFZVTKFYOS-HNNXBMFYSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnc3n2CCC3)C1 ZINC001046384735 767495817 /nfs/dbraw/zinc/49/58/17/767495817.db2.gz GZDJXFZVTKFYOS-HNNXBMFYSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnn(CCF)c2)C1 ZINC001046431554 767554911 /nfs/dbraw/zinc/55/49/11/767554911.db2.gz FJPBZWKGJLPLAZ-CQSZACIVSA-N 1 2 314.792 1.799 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnn(CCF)c2)C1 ZINC001046431554 767554914 /nfs/dbraw/zinc/55/49/14/767554914.db2.gz FJPBZWKGJLPLAZ-CQSZACIVSA-N 1 2 314.792 1.799 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)[C@@H]2C)cc[nH+]1 ZINC001068835408 767651980 /nfs/dbraw/zinc/65/19/80/767651980.db2.gz OEWAJMDFHGEQSM-MFKMUULPSA-N 1 2 310.361 1.700 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](CCCS(C)(=O)=O)C1 ZINC001131894695 768459523 /nfs/dbraw/zinc/45/95/23/768459523.db2.gz BKXNZWNWVXVXNO-KBPBESRZSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](C)[N@H+](CCCS(C)(=O)=O)C1 ZINC001131894695 768459528 /nfs/dbraw/zinc/45/95/28/768459528.db2.gz BKXNZWNWVXVXNO-KBPBESRZSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2nocc2C)C1 ZINC001131946108 768504176 /nfs/dbraw/zinc/50/41/76/768504176.db2.gz GUUNXBLHWWYIOB-ZIAGYGMSSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2nocc2C)C1 ZINC001131946108 768504179 /nfs/dbraw/zinc/50/41/79/768504179.db2.gz GUUNXBLHWWYIOB-ZIAGYGMSSA-N 1 2 307.394 1.655 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@H]2C)cn1 ZINC001132021746 768558701 /nfs/dbraw/zinc/55/87/01/768558701.db2.gz PFYGYRHFUWBGDR-RHSMWYFYSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@H]2C)cn1 ZINC001132021746 768558704 /nfs/dbraw/zinc/55/87/04/768558704.db2.gz PFYGYRHFUWBGDR-RHSMWYFYSA-N 1 2 314.433 1.914 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2C(F)F)C1 ZINC001047611437 768609578 /nfs/dbraw/zinc/60/95/78/768609578.db2.gz FCMXEGRBPRDFGM-QWRGUYRKSA-N 1 2 316.373 1.989 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sccc2C(F)F)C1 ZINC001047611437 768609583 /nfs/dbraw/zinc/60/95/83/768609583.db2.gz FCMXEGRBPRDFGM-QWRGUYRKSA-N 1 2 316.373 1.989 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)[C@]23C[C@H]2CCC3)C[C@H]1NCC#N ZINC001070671291 768659368 /nfs/dbraw/zinc/65/93/68/768659368.db2.gz HGRPPBFTWCAROM-VMUDFCTBSA-N 1 2 313.405 1.018 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCc2c[nH+]cn2C1)Nc1ccc(C#N)cn1 ZINC001098125783 768660248 /nfs/dbraw/zinc/66/02/48/768660248.db2.gz NDKGVURQVHVFAX-TZMCWYRMSA-N 1 2 324.388 1.329 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132223867 768696363 /nfs/dbraw/zinc/69/63/63/768696363.db2.gz HQSXISVTVXZBTN-GJZGRUSLSA-N 1 2 320.437 1.342 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132223867 768696371 /nfs/dbraw/zinc/69/63/71/768696371.db2.gz HQSXISVTVXZBTN-GJZGRUSLSA-N 1 2 320.437 1.342 20 30 DDEDLO C=C/C(C)=C/CC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132227994 768698491 /nfs/dbraw/zinc/69/84/91/768698491.db2.gz KFDPQBAMJMNACO-YDYWWVRFSA-N 1 2 318.421 1.118 20 30 DDEDLO C=C/C(C)=C/CC(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132227994 768698500 /nfs/dbraw/zinc/69/85/00/768698500.db2.gz KFDPQBAMJMNACO-YDYWWVRFSA-N 1 2 318.421 1.118 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C2(C)CC(=C)C2)CC[C@H]1C ZINC001132273880 768731151 /nfs/dbraw/zinc/73/11/51/768731151.db2.gz LHHIVDLIPAWDPQ-HUUCEWRRSA-N 1 2 317.433 1.061 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C2(C)CC(=C)C2)CC[C@H]1C ZINC001132273880 768731153 /nfs/dbraw/zinc/73/11/53/768731153.db2.gz LHHIVDLIPAWDPQ-HUUCEWRRSA-N 1 2 317.433 1.061 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC[C@H](C)C2)CC1 ZINC001071005832 768870163 /nfs/dbraw/zinc/87/01/63/768870163.db2.gz KJCYFMIRNWOVEA-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC[C@H](C)C2)CC1 ZINC001071005832 768870174 /nfs/dbraw/zinc/87/01/74/768870174.db2.gz KJCYFMIRNWOVEA-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(c2cc(C)ncn2)CC1 ZINC001096288536 768997804 /nfs/dbraw/zinc/99/78/04/768997804.db2.gz ONRQEBOJYNSQIH-UHFFFAOYSA-N 1 2 317.437 1.380 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH+]1CCN(c2cc(C)ncn2)CC1 ZINC001096293440 769056993 /nfs/dbraw/zinc/05/69/93/769056993.db2.gz JHEBLXXWOWYKIZ-UHFFFAOYSA-N 1 2 317.437 1.235 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)CSCC#N)C2)c(C)c(C)[nH+]1 ZINC001096329723 769203139 /nfs/dbraw/zinc/20/31/39/769203139.db2.gz XHWDELVDKGKEJP-ZDUSSCGKSA-N 1 2 319.434 1.354 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H](N3CC[N@@H+](CC)CC3=O)C2)C1 ZINC001071274029 769220551 /nfs/dbraw/zinc/22/05/51/769220551.db2.gz NLXVBIGUZMLZDR-HNNXBMFYSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@H](N3CC[N@H+](CC)CC3=O)C2)C1 ZINC001071274029 769220559 /nfs/dbraw/zinc/22/05/59/769220559.db2.gz NLXVBIGUZMLZDR-HNNXBMFYSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071417905 769404327 /nfs/dbraw/zinc/40/43/27/769404327.db2.gz BMUJLJCYQVIRNT-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc(=O)n(C)cn2)CC[C@@H]1C ZINC001071579309 769658028 /nfs/dbraw/zinc/65/80/28/769658028.db2.gz BUJXJHILEBRBTJ-RYUDHWBXSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc(=O)n(C)cn2)CC[C@@H]1C ZINC001071579309 769658032 /nfs/dbraw/zinc/65/80/32/769658032.db2.gz BUJXJHILEBRBTJ-RYUDHWBXSA-N 1 2 324.812 1.116 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001096413991 769804370 /nfs/dbraw/zinc/80/43/70/769804370.db2.gz PEIHWMSQPDSSPJ-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2csc(NC(C)=O)n2)C1 ZINC001133566202 769996841 /nfs/dbraw/zinc/99/68/41/769996841.db2.gz XVLGMSWCPWQHFF-UHFFFAOYSA-N 1 2 322.434 1.664 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)CC[C@@H]1C ZINC001071825501 770130381 /nfs/dbraw/zinc/13/03/81/770130381.db2.gz XJNOTQAZTQQUCD-QWRGUYRKSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)CC[C@@H]1C ZINC001071825501 770130386 /nfs/dbraw/zinc/13/03/86/770130386.db2.gz XJNOTQAZTQQUCD-QWRGUYRKSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3ncnn3C)C[C@@H]2C1 ZINC001048976405 770198991 /nfs/dbraw/zinc/19/89/91/770198991.db2.gz IYXBXFUQKJWHEJ-OKILXGFUSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3ncnn3C)C[C@@H]2C1 ZINC001048976405 770198993 /nfs/dbraw/zinc/19/89/93/770198993.db2.gz IYXBXFUQKJWHEJ-OKILXGFUSA-N 1 2 317.437 1.308 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001096505419 770606572 /nfs/dbraw/zinc/60/65/72/770606572.db2.gz JEZSYXPCIJVPCK-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049377805 770756675 /nfs/dbraw/zinc/75/66/75/770756675.db2.gz IPBOWUIZTMQFTE-CYZMBNFOSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049377805 770756682 /nfs/dbraw/zinc/75/66/82/770756682.db2.gz IPBOWUIZTMQFTE-CYZMBNFOSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)no1 ZINC001049472756 770900129 /nfs/dbraw/zinc/90/01/29/770900129.db2.gz VMLPLNRLAWNEFY-DLBZAZTESA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)no1 ZINC001049472756 770900134 /nfs/dbraw/zinc/90/01/34/770900134.db2.gz VMLPLNRLAWNEFY-DLBZAZTESA-N 1 2 313.401 1.962 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+]Cc1ncc(C(C)C)o1 ZINC001135145230 771350042 /nfs/dbraw/zinc/35/00/42/771350042.db2.gz CTPFKJHACRALPN-BBRMVZONSA-N 1 2 321.421 1.985 20 30 DDEDLO N#Cc1cnccc1N[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001096839083 771478819 /nfs/dbraw/zinc/47/88/19/771478819.db2.gz GKDSRPZAMVBJJI-INIZCTEOSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1cnccc1N[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001096839083 771478823 /nfs/dbraw/zinc/47/88/23/771478823.db2.gz GKDSRPZAMVBJJI-INIZCTEOSA-N 1 2 324.388 1.038 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@H]1C[C@H](Nc2ccc(C#N)cn2)C1 ZINC001097052449 771599075 /nfs/dbraw/zinc/59/90/75/771599075.db2.gz RCGVBTAGDDWURT-HDJSIYSDSA-N 1 2 324.388 1.379 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C)CCOCC2)[C@H](O)C1 ZINC001090642807 772066692 /nfs/dbraw/zinc/06/66/92/772066692.db2.gz ZZEIANYTEUGEBU-QWHCGFSZSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C)CCOCC2)[C@H](O)C1 ZINC001090642807 772066697 /nfs/dbraw/zinc/06/66/97/772066697.db2.gz ZZEIANYTEUGEBU-QWHCGFSZSA-N 1 2 316.829 1.107 20 30 DDEDLO CCOC[C@@H]1C[N@H+](Cc2cncc(C#N)c2)Cc2ncn(C)c21 ZINC001144076108 772393265 /nfs/dbraw/zinc/39/32/65/772393265.db2.gz ZDOVDFDVXWRKIJ-HNNXBMFYSA-N 1 2 311.389 1.823 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](Cc2cncc(C#N)c2)Cc2ncn(C)c21 ZINC001144076108 772393271 /nfs/dbraw/zinc/39/32/71/772393271.db2.gz ZDOVDFDVXWRKIJ-HNNXBMFYSA-N 1 2 311.389 1.823 20 30 DDEDLO N#Cc1cncc(C[N@H+]2CCC[C@@H](NC(=O)c3cccnc3)C2)c1 ZINC001144084590 772399605 /nfs/dbraw/zinc/39/96/05/772399605.db2.gz HUZXGAWUMRSWSX-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2CCC[C@@H](NC(=O)c3cccnc3)C2)c1 ZINC001144084590 772399611 /nfs/dbraw/zinc/39/96/11/772399611.db2.gz HUZXGAWUMRSWSX-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCCC[C@H]1Nc1cc[nH+]c(C)n1 ZINC001091244165 772637234 /nfs/dbraw/zinc/63/72/34/772637234.db2.gz QSZSXWITEPZHND-ZIAGYGMSSA-N 1 2 304.394 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](Oc2ccnc(CNC(C)=O)c2)C1 ZINC001091396411 772720225 /nfs/dbraw/zinc/72/02/25/772720225.db2.gz GQZXLIQZQUISRT-HNNXBMFYSA-N 1 2 309.797 1.923 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](Oc2ccnc(CNC(C)=O)c2)C1 ZINC001091396411 772720230 /nfs/dbraw/zinc/72/02/30/772720230.db2.gz GQZXLIQZQUISRT-HNNXBMFYSA-N 1 2 309.797 1.923 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C[C@@H](C)OC)C1 ZINC001149417097 772818254 /nfs/dbraw/zinc/81/82/54/772818254.db2.gz XVSDVFMVHIFUGT-CHWSQXEVSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C[C@@H](C)OC)C1 ZINC001149417097 772818256 /nfs/dbraw/zinc/81/82/56/772818256.db2.gz XVSDVFMVHIFUGT-CHWSQXEVSA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccnc(C)n2)C1 ZINC001073892892 773505695 /nfs/dbraw/zinc/50/56/95/773505695.db2.gz DCVDUIVZEJYNFE-CYBMUJFWSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccnc(C)n2)C1 ZINC001073892892 773505698 /nfs/dbraw/zinc/50/56/98/773505698.db2.gz DCVDUIVZEJYNFE-CYBMUJFWSA-N 1 2 324.812 1.358 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cccs3)C[C@H]21 ZINC001074143795 773669338 /nfs/dbraw/zinc/66/93/38/773669338.db2.gz DUXWZXRXCUTJML-HZPDHXFCSA-N 1 2 318.442 1.616 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cccs3)C[C@H]21 ZINC001074143795 773669339 /nfs/dbraw/zinc/66/93/39/773669339.db2.gz DUXWZXRXCUTJML-HZPDHXFCSA-N 1 2 318.442 1.616 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cnsn3)C[C@H]21 ZINC001074178217 773699675 /nfs/dbraw/zinc/69/96/75/773699675.db2.gz OTOKYQOOVWRTNQ-CHWSQXEVSA-N 1 2 308.407 1.030 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cnsn3)C[C@H]21 ZINC001074178217 773699671 /nfs/dbraw/zinc/69/96/71/773699671.db2.gz OTOKYQOOVWRTNQ-CHWSQXEVSA-N 1 2 308.407 1.030 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cccnc3)C[C@H]21 ZINC001074215192 773737072 /nfs/dbraw/zinc/73/70/72/773737072.db2.gz DHLGXFWAKAFSJL-IAGOWNOFSA-N 1 2 315.417 1.502 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cccnc3)C[C@H]21 ZINC001074215192 773737074 /nfs/dbraw/zinc/73/70/74/773737074.db2.gz DHLGXFWAKAFSJL-IAGOWNOFSA-N 1 2 315.417 1.502 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc[nH]3)C[C@@H]21 ZINC001074225655 773750948 /nfs/dbraw/zinc/75/09/48/773750948.db2.gz GJIWMQSCUUVGDZ-YMPXZSTISA-N 1 2 313.401 1.353 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc[nH]3)C[C@@H]21 ZINC001074225655 773750949 /nfs/dbraw/zinc/75/09/49/773750949.db2.gz GJIWMQSCUUVGDZ-YMPXZSTISA-N 1 2 313.401 1.353 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CC(=C)C3)C[C@@H]21 ZINC001074334595 773831907 /nfs/dbraw/zinc/83/19/07/773831907.db2.gz VFJXNHRQRZPRPS-JKSUJKDBSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CC(=C)C3)C[C@@H]21 ZINC001074334595 773831912 /nfs/dbraw/zinc/83/19/12/773831912.db2.gz VFJXNHRQRZPRPS-JKSUJKDBSA-N 1 2 302.418 1.668 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3nc(C)oc3C)C[C@H]21 ZINC001074366623 773859321 /nfs/dbraw/zinc/85/93/21/773859321.db2.gz XCOCTIACCFTNLX-HUUCEWRRSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3nc(C)oc3C)C[C@H]21 ZINC001074366623 773859326 /nfs/dbraw/zinc/85/93/26/773859326.db2.gz XCOCTIACCFTNLX-HUUCEWRRSA-N 1 2 319.405 1.783 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCn3cccc3)C[C@H]21 ZINC001074371369 773863832 /nfs/dbraw/zinc/86/38/32/773863832.db2.gz JVPIFBQRKXDNTQ-SJORKVTESA-N 1 2 315.417 1.203 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCn3cccc3)C[C@H]21 ZINC001074371369 773863837 /nfs/dbraw/zinc/86/38/37/773863837.db2.gz JVPIFBQRKXDNTQ-SJORKVTESA-N 1 2 315.417 1.203 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nnc(C3CC3)o2)[C@@H]1C ZINC001074567929 774000036 /nfs/dbraw/zinc/00/00/36/774000036.db2.gz CRWWJOPRUQDNSP-NHCYSSNCSA-N 1 2 303.366 1.186 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC=CCC1)c1nccn12 ZINC001092344912 774064911 /nfs/dbraw/zinc/06/49/11/774064911.db2.gz VAXBTDZDIAWRKG-HOTGVXAUSA-N 1 2 324.428 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C#N)c[nH]1)c1nccn12 ZINC001092361324 774075616 /nfs/dbraw/zinc/07/56/16/774075616.db2.gz HALWJUAZJWYSHQ-AWEZNQCLSA-N 1 2 322.372 1.155 20 30 DDEDLO N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(-n3cc[nH+]c3)ccn1)C2 ZINC001098148570 774091053 /nfs/dbraw/zinc/09/10/53/774091053.db2.gz NSSSMZZXEKJXOA-FMKPAKJESA-N 1 2 322.372 1.126 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)co1)c1nccn12 ZINC001092386335 774095507 /nfs/dbraw/zinc/09/55/07/774095507.db2.gz ILXRJUBZNRVXGQ-AWEZNQCLSA-N 1 2 324.384 1.694 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccc[nH]1)c1nccn12 ZINC001092413204 774124723 /nfs/dbraw/zinc/12/47/23/774124723.db2.gz OOMBUHHSERHHLO-OAHLLOKOSA-N 1 2 323.400 1.049 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001075044756 774318848 /nfs/dbraw/zinc/31/88/48/774318848.db2.gz ANOPCLXEUNNHLU-GJZGRUSLSA-N 1 2 318.421 1.735 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001098388118 774558415 /nfs/dbraw/zinc/55/84/15/774558415.db2.gz NVCPECGOLQILCY-RAIGVLPGSA-N 1 2 310.361 1.397 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001098388118 774558419 /nfs/dbraw/zinc/55/84/19/774558419.db2.gz NVCPECGOLQILCY-RAIGVLPGSA-N 1 2 310.361 1.397 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3ccncc3)CC2)C1 ZINC001093499338 774723391 /nfs/dbraw/zinc/72/33/91/774723391.db2.gz OAKZFAYKMBKOBL-UHFFFAOYSA-N 1 2 315.417 1.963 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cnn(CC)c3)CC2)C1 ZINC001093519572 774770273 /nfs/dbraw/zinc/77/02/73/774770273.db2.gz QARNXJMMTDVLDG-UHFFFAOYSA-N 1 2 318.421 1.394 20 30 DDEDLO C[C@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)nc1 ZINC001099032456 774784398 /nfs/dbraw/zinc/78/43/98/774784398.db2.gz UDPITHHGBCQRIP-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001093557921 774882578 /nfs/dbraw/zinc/88/25/78/774882578.db2.gz RPRJEBSBTUBRFW-UHFFFAOYSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099726802 775191671 /nfs/dbraw/zinc/19/16/71/775191671.db2.gz JYKLCIAPZDHOEB-HUUCEWRRSA-N 1 2 307.369 1.238 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099726802 775191677 /nfs/dbraw/zinc/19/16/77/775191677.db2.gz JYKLCIAPZDHOEB-HUUCEWRRSA-N 1 2 307.369 1.238 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)/C=C\C(C)(C)C)[C@H](O)C1 ZINC001099746288 775216324 /nfs/dbraw/zinc/21/63/24/775216324.db2.gz GIMHIYMHPQCVQE-XEBOPQQMSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)/C=C\C(C)(C)C)[C@H](O)C1 ZINC001099746288 775216329 /nfs/dbraw/zinc/21/63/29/775216329.db2.gz GIMHIYMHPQCVQE-XEBOPQQMSA-N 1 2 300.830 1.893 20 30 DDEDLO C[C@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ncccc1C#N ZINC001099765371 775243462 /nfs/dbraw/zinc/24/34/62/775243462.db2.gz QYHZEJKXBAATPG-MRVWCRGKSA-N 1 2 324.388 1.787 20 30 DDEDLO C[C@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ncccc1C#N ZINC001099765371 775243473 /nfs/dbraw/zinc/24/34/73/775243473.db2.gz QYHZEJKXBAATPG-MRVWCRGKSA-N 1 2 324.388 1.787 20 30 DDEDLO N#Cc1nc(NCCNC(=O)CCn2cc[nH+]c2)sc1Cl ZINC001094024792 775349517 /nfs/dbraw/zinc/34/95/17/775349517.db2.gz YPFZDBBGQVRBRV-UHFFFAOYSA-N 1 2 324.797 1.483 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[N@@H+](CC(=C)Cl)C[C@@H]2O)CCC1 ZINC001099874736 775376500 /nfs/dbraw/zinc/37/65/00/775376500.db2.gz PVPNZOHNGRBBGX-KBPBESRZSA-N 1 2 310.825 1.484 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[N@H+](CC(=C)Cl)C[C@@H]2O)CCC1 ZINC001099874736 775376511 /nfs/dbraw/zinc/37/65/11/775376511.db2.gz PVPNZOHNGRBBGX-KBPBESRZSA-N 1 2 310.825 1.484 20 30 DDEDLO C[C@H](CC(=O)NCCNc1ncc(C#N)cc1F)n1cc[nH+]c1 ZINC001094167746 775510876 /nfs/dbraw/zinc/51/08/76/775510876.db2.gz LWQQVOAZIUUSNW-LLVKDONJSA-N 1 2 316.340 1.468 20 30 DDEDLO N#Cc1cnccc1NCCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001094235527 775571908 /nfs/dbraw/zinc/57/19/08/775571908.db2.gz BZKJJGQOCOQEEH-UHFFFAOYSA-N 1 2 306.329 1.093 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccn(C)n2)C[C@H]1O ZINC001100020232 775580580 /nfs/dbraw/zinc/58/05/80/775580580.db2.gz LWVGZTCDBSZBNZ-LSDHHAIUSA-N 1 2 320.437 1.074 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccn(C)n2)C[C@H]1O ZINC001100020232 775580585 /nfs/dbraw/zinc/58/05/85/775580585.db2.gz LWVGZTCDBSZBNZ-LSDHHAIUSA-N 1 2 320.437 1.074 20 30 DDEDLO N#Cc1cnc(NCCNC(=O)CCc2c[nH]c[nH+]2)c(Cl)c1 ZINC001094225213 775593739 /nfs/dbraw/zinc/59/37/39/775593739.db2.gz QOWWXTAIWMGIRJ-UHFFFAOYSA-N 1 2 318.768 1.491 20 30 DDEDLO N#Cc1cnc(NCCNC(=O)CCc2c[nH+]c[nH]2)c(Cl)c1 ZINC001094225213 775593747 /nfs/dbraw/zinc/59/37/47/775593747.db2.gz QOWWXTAIWMGIRJ-UHFFFAOYSA-N 1 2 318.768 1.491 20 30 DDEDLO C[C@@H](CCCCNCC#N)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001171595615 776360370 /nfs/dbraw/zinc/36/03/70/776360370.db2.gz DRTDMTUIRHOJGC-KBPBESRZSA-N 1 2 303.410 1.234 20 30 DDEDLO C[C@@H](CCCCNCC#N)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001171606003 776367013 /nfs/dbraw/zinc/36/70/13/776367013.db2.gz SFXOKOGZRHFXRD-KBPBESRZSA-N 1 2 303.410 1.234 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@@H]1CCCN1c1ccncc1C#N ZINC001100849150 776628549 /nfs/dbraw/zinc/62/85/49/776628549.db2.gz JKUJDLXNFNUQDV-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO Cc1cc(N2CC[C@H](CNC(=O)Cn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001100990123 776800496 /nfs/dbraw/zinc/80/04/96/776800496.db2.gz OGNQPXURXQBNKV-CQSZACIVSA-N 1 2 324.388 1.101 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001101002689 776812391 /nfs/dbraw/zinc/81/23/91/776812391.db2.gz UXOSZYRRHDKYED-CYBMUJFWSA-N 1 2 324.388 1.170 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(C)=O)C3)c1 ZINC001095078222 777074627 /nfs/dbraw/zinc/07/46/27/777074627.db2.gz VVLPGTYZVCCXEW-IKGGRYGDSA-N 1 2 311.385 1.348 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(C)=O)C3)c1 ZINC001095078222 777074633 /nfs/dbraw/zinc/07/46/33/777074633.db2.gz VVLPGTYZVCCXEW-IKGGRYGDSA-N 1 2 311.385 1.348 20 30 DDEDLO CC(C)(CNCC#N)C1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001101536487 777226553 /nfs/dbraw/zinc/22/65/53/777226553.db2.gz LFTCWRHWYGRLHA-UHFFFAOYSA-N 1 2 317.437 1.720 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1cc(C)cn1)C2 ZINC001095213925 777249069 /nfs/dbraw/zinc/24/90/69/777249069.db2.gz CGRNKHBKYDFCKA-RDBSUJKOSA-N 1 2 308.813 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1cc(C)cn1)C2 ZINC001095213925 777249073 /nfs/dbraw/zinc/24/90/73/777249073.db2.gz CGRNKHBKYDFCKA-RDBSUJKOSA-N 1 2 308.813 1.666 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CCCC(C)=O)c1nccn12 ZINC001101605966 777296337 /nfs/dbraw/zinc/29/63/37/777296337.db2.gz LMMCPMJCRKDYKH-AWEZNQCLSA-N 1 2 316.405 1.400 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CSCCC)c1nccn12 ZINC001101610053 777299767 /nfs/dbraw/zinc/29/97/67/777299767.db2.gz DYHBPYRQHOHRKJ-CYBMUJFWSA-N 1 2 320.462 1.784 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCCOC)c1nccn12 ZINC001101609911 777299882 /nfs/dbraw/zinc/29/98/82/777299882.db2.gz BDKGJFRGYCVKAJ-CYBMUJFWSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CC[C@@H](C)OC)c1nccn12 ZINC001101623510 777317699 /nfs/dbraw/zinc/31/76/99/777317699.db2.gz DYPIHWFCNATYNI-KGLIPLIRSA-N 1 2 318.421 1.456 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)(C)CC)c1nccn12 ZINC001101642958 777339557 /nfs/dbraw/zinc/33/95/57/777339557.db2.gz QUDZLDJDVHZRPU-AWEZNQCLSA-N 1 2 314.433 1.915 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001101694775 777401437 /nfs/dbraw/zinc/40/14/37/777401437.db2.gz FZCUKRSDSYUOIC-UHFFFAOYSA-N 1 2 312.377 1.524 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2ncnn2CCF)C[C@H]1C ZINC001101805339 777541712 /nfs/dbraw/zinc/54/17/12/777541712.db2.gz NYYFYFBIVTVSCO-ZIAGYGMSSA-N 1 2 323.416 1.398 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2ncnn2CCF)C[C@H]1C ZINC001101805339 777541719 /nfs/dbraw/zinc/54/17/19/777541719.db2.gz NYYFYFBIVTVSCO-ZIAGYGMSSA-N 1 2 323.416 1.398 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001101847892 777599724 /nfs/dbraw/zinc/59/97/24/777599724.db2.gz PZAUXIZAQACTEY-ZDUSSCGKSA-N 1 2 324.388 1.283 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](CCNC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001102343644 778080751 /nfs/dbraw/zinc/08/07/51/778080751.db2.gz LTYHFBJYMHRNEZ-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CCC3)C[C@H]21 ZINC001176875231 778284738 /nfs/dbraw/zinc/28/47/38/778284738.db2.gz FQBBIOXEFLWFIU-CVEARBPZSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CCC3)C[C@H]21 ZINC001176875231 778284740 /nfs/dbraw/zinc/28/47/40/778284740.db2.gz FQBBIOXEFLWFIU-CVEARBPZSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCCOCC)C[C@H]21 ZINC001176925873 778313785 /nfs/dbraw/zinc/31/37/85/778313785.db2.gz YVJFXVWIKLTGAR-HZPDHXFCSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCOCC)C[C@H]21 ZINC001176925873 778313789 /nfs/dbraw/zinc/31/37/89/778313789.db2.gz YVJFXVWIKLTGAR-HZPDHXFCSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccoc3)C[C@H]21 ZINC001176905966 778332800 /nfs/dbraw/zinc/33/28/00/778332800.db2.gz BPMLQQBEHRSPCV-CVEARBPZSA-N 1 2 320.389 1.398 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccoc3)C[C@H]21 ZINC001176905966 778332808 /nfs/dbraw/zinc/33/28/08/778332808.db2.gz BPMLQQBEHRSPCV-CVEARBPZSA-N 1 2 320.389 1.398 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)C)C[C@H]21 ZINC001177019741 778395579 /nfs/dbraw/zinc/39/55/79/778395579.db2.gz RFNOUMUUHJVGPB-IAGOWNOFSA-N 1 2 320.433 1.150 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)C)C[C@H]21 ZINC001177019741 778395586 /nfs/dbraw/zinc/39/55/86/778395586.db2.gz RFNOUMUUHJVGPB-IAGOWNOFSA-N 1 2 320.433 1.150 20 30 DDEDLO CCN(C(=O)Cn1cc[nH+]c1)[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001102810977 778419898 /nfs/dbraw/zinc/41/98/98/778419898.db2.gz LENHFHCSFGFTRY-INIZCTEOSA-N 1 2 324.388 1.277 20 30 DDEDLO CCN(C(=O)Cc1c[nH+]c[nH]1)[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001102835637 778433681 /nfs/dbraw/zinc/43/36/81/778433681.db2.gz XEIZDSHDPSTGPJ-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCC[NH2+]Cc1nnsc1Cl ZINC001177269567 778525149 /nfs/dbraw/zinc/52/51/49/778525149.db2.gz REAPLWASPIKDHA-SECBINFHSA-N 1 2 318.830 1.379 20 30 DDEDLO CC(C)[NH+]1CC(O)(CN(Cc2ccccc2)C(=O)[C@@H](C)C#N)C1 ZINC001177516054 778631518 /nfs/dbraw/zinc/63/15/18/778631518.db2.gz DVWFVMSNGOQHHU-HNNXBMFYSA-N 1 2 315.417 1.630 20 30 DDEDLO Cc1c[nH+]c2n1CCC21CCN(C(=O)C#Cc2ccccn2)CC1 ZINC001177800980 778741035 /nfs/dbraw/zinc/74/10/35/778741035.db2.gz MWCIFBHRDZQAKO-UHFFFAOYSA-N 1 2 320.396 1.902 20 30 DDEDLO CC[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1cccc(F)c1C#N ZINC001103335188 778798776 /nfs/dbraw/zinc/79/87/76/778798776.db2.gz XABRQEWJJJLTTC-NSHDSACASA-N 1 2 315.352 1.970 20 30 DDEDLO CC[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001103364226 778821503 /nfs/dbraw/zinc/82/15/03/778821503.db2.gz KVDCSBBXHQWQCF-NILFDRSVSA-N 1 2 324.388 1.787 20 30 DDEDLO CC[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001103364226 778821512 /nfs/dbraw/zinc/82/15/12/778821512.db2.gz KVDCSBBXHQWQCF-NILFDRSVSA-N 1 2 324.388 1.787 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(OCC)s2)[C@@H]1C ZINC001178315688 779000696 /nfs/dbraw/zinc/00/06/96/779000696.db2.gz LQSVAUKXQRLODO-RYUDHWBXSA-N 1 2 324.450 1.982 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NC)CCCC1 ZINC001111518188 779383655 /nfs/dbraw/zinc/38/36/55/779383655.db2.gz WEBGTJUBSOBMNO-KFWWJZLASA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NC)CCCC1 ZINC001111518188 779383658 /nfs/dbraw/zinc/38/36/58/779383658.db2.gz WEBGTJUBSOBMNO-KFWWJZLASA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+]([C@@H](C)c1nnnn1C)C2 ZINC001111650559 779417440 /nfs/dbraw/zinc/41/74/40/779417440.db2.gz WVPDMSIJJDAVME-XEZPLFJOSA-N 1 2 318.425 1.208 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+]([C@@H](C)c1nnnn1C)C2 ZINC001111650559 779417443 /nfs/dbraw/zinc/41/74/43/779417443.db2.gz WVPDMSIJJDAVME-XEZPLFJOSA-N 1 2 318.425 1.208 20 30 DDEDLO CC(C)C#CC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnns1)C2 ZINC001111738824 779457782 /nfs/dbraw/zinc/45/77/82/779457782.db2.gz LHBWTAIOHPZBRI-BBRMVZONSA-N 1 2 318.446 1.668 20 30 DDEDLO CC(C)C#CC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnns1)C2 ZINC001111738824 779457784 /nfs/dbraw/zinc/45/77/84/779457784.db2.gz LHBWTAIOHPZBRI-BBRMVZONSA-N 1 2 318.446 1.668 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2COc3c(cccc3OC)C2)CC1 ZINC001180398793 779746618 /nfs/dbraw/zinc/74/66/18/779746618.db2.gz LSUCIWMEQJDONQ-HNNXBMFYSA-N 1 2 316.401 1.577 20 30 DDEDLO C[C@H](CCCNc1ccc(C#N)cn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114983746 779803383 /nfs/dbraw/zinc/80/33/83/779803383.db2.gz QFLHFZBUBOELFO-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)[C@@H](CNc1ccc(C#N)nc1)C1CC1 ZINC001115525487 780213559 /nfs/dbraw/zinc/21/35/59/780213559.db2.gz WATCXOLNNMRYDZ-INIZCTEOSA-N 1 2 324.388 1.499 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)c1ccc(CCC)s1 ZINC001267196891 837517930 /nfs/dbraw/zinc/51/79/30/837517930.db2.gz DLYYKMQTQUSBMN-UHFFFAOYSA-N 1 2 321.446 1.112 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)c1ccc(CCC)s1 ZINC001267196891 837517935 /nfs/dbraw/zinc/51/79/35/837517935.db2.gz DLYYKMQTQUSBMN-UHFFFAOYSA-N 1 2 321.446 1.112 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc(C)s1 ZINC001267259038 837682202 /nfs/dbraw/zinc/68/22/02/837682202.db2.gz MLADFWFYGUSJMV-ZDUSSCGKSA-N 1 2 321.446 1.553 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(C)s1 ZINC001267259038 837682205 /nfs/dbraw/zinc/68/22/05/837682205.db2.gz MLADFWFYGUSJMV-ZDUSSCGKSA-N 1 2 321.446 1.553 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1c[nH]c2ccccc21 ZINC001266293375 836067363 /nfs/dbraw/zinc/06/73/63/836067363.db2.gz VCLAITPWACTDDE-UHFFFAOYSA-N 1 2 314.389 1.132 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1c[nH]c2ccccc21 ZINC001266293375 836067374 /nfs/dbraw/zinc/06/73/74/836067374.db2.gz VCLAITPWACTDDE-UHFFFAOYSA-N 1 2 314.389 1.132 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC001267008982 837180296 /nfs/dbraw/zinc/18/02/96/837180296.db2.gz LKVPIBKECIBAPY-ZDUSSCGKSA-N 1 2 318.421 1.861 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N[C@@H](C)C1CC1 ZINC001267396822 837986700 /nfs/dbraw/zinc/98/67/00/837986700.db2.gz CGVASZLRMAAJFQ-CWRNSKLLSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N[C@@H](C)C1CC1 ZINC001267396822 837986705 /nfs/dbraw/zinc/98/67/05/837986705.db2.gz CGVASZLRMAAJFQ-CWRNSKLLSA-N 1 2 319.449 1.543 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1(CNC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001299185054 844310756 /nfs/dbraw/zinc/31/07/56/844310756.db2.gz VGPPKRWWHNOMJB-MRXNPFEDSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1(CNC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001299185054 844310768 /nfs/dbraw/zinc/31/07/68/844310768.db2.gz VGPPKRWWHNOMJB-MRXNPFEDSA-N 1 2 318.421 1.710 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001267581802 838360966 /nfs/dbraw/zinc/36/09/66/838360966.db2.gz SVUGGWFSSAETRM-OAHLLOKOSA-N 1 2 317.437 1.289 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001267581802 838360977 /nfs/dbraw/zinc/36/09/77/838360977.db2.gz SVUGGWFSSAETRM-OAHLLOKOSA-N 1 2 317.437 1.289 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@H+](C)CCN(C)C(=O)C#CC1CC1 ZINC001272013675 844319841 /nfs/dbraw/zinc/31/98/41/844319841.db2.gz PWCBUOWJWHSGQK-UHFFFAOYSA-N 1 2 307.438 1.095 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@@H+](C)CCN(C)C(=O)C#CC1CC1 ZINC001272013675 844319853 /nfs/dbraw/zinc/31/98/53/844319853.db2.gz PWCBUOWJWHSGQK-UHFFFAOYSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)[C@@]2(C)CCC[C@H]2C)CC1 ZINC001267612240 838462074 /nfs/dbraw/zinc/46/20/74/838462074.db2.gz CJZBTUCNACWELR-AEFFLSMTSA-N 1 2 305.466 1.522 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CCC[N@H+](Cc2conc2C)C1 ZINC001267625974 838521578 /nfs/dbraw/zinc/52/15/78/838521578.db2.gz JPTCDIXAJYCILI-ZFWWWQNUSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CCC[N@@H+](Cc2conc2C)C1 ZINC001267625974 838521583 /nfs/dbraw/zinc/52/15/83/838521583.db2.gz JPTCDIXAJYCILI-ZFWWWQNUSA-N 1 2 305.378 1.102 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](NC(=O)C#CC2CC2)C1 ZINC001267626240 838523096 /nfs/dbraw/zinc/52/30/96/838523096.db2.gz QRPCRWXRHPFRBG-ZBFHGGJFSA-N 1 2 319.449 1.285 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](NC(=O)C#CC2CC2)C1 ZINC001267626240 838523102 /nfs/dbraw/zinc/52/31/02/838523102.db2.gz QRPCRWXRHPFRBG-ZBFHGGJFSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CC[N@@H+](C)C[C@H]1CCN(C(=O)c2c(Cl)[nH]nc2C2CC2)C1 ZINC001267653170 838585510 /nfs/dbraw/zinc/58/55/10/838585510.db2.gz MLGSHQZZUADMKD-LLVKDONJSA-N 1 2 320.824 1.968 20 30 DDEDLO C#CC[N@H+](C)C[C@H]1CCN(C(=O)c2c(Cl)[nH]nc2C2CC2)C1 ZINC001267653170 838585515 /nfs/dbraw/zinc/58/55/15/838585515.db2.gz MLGSHQZZUADMKD-LLVKDONJSA-N 1 2 320.824 1.968 20 30 DDEDLO C=CC[C@H](C(=O)NCC1C[NH+](CC(=O)NC)C1)c1ccccc1 ZINC001267699115 838673325 /nfs/dbraw/zinc/67/33/25/838673325.db2.gz MTLLIZPHWYTLIB-INIZCTEOSA-N 1 2 315.417 1.140 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(F)c1C ZINC001267706490 838685205 /nfs/dbraw/zinc/68/52/05/838685205.db2.gz MKEPSOJZCPQRBT-GJZGRUSLSA-N 1 2 316.376 1.683 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(F)c1C ZINC001267706490 838685206 /nfs/dbraw/zinc/68/52/06/838685206.db2.gz MKEPSOJZCPQRBT-GJZGRUSLSA-N 1 2 316.376 1.683 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)ccc2F)C1 ZINC001267749052 838841934 /nfs/dbraw/zinc/84/19/34/838841934.db2.gz HXOJQXMLJZGZLM-CYBMUJFWSA-N 1 2 319.380 1.240 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)ccc2F)C1 ZINC001267749052 838841955 /nfs/dbraw/zinc/84/19/55/838841955.db2.gz HXOJQXMLJZGZLM-CYBMUJFWSA-N 1 2 319.380 1.240 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CCC[N@H+](Cc2ccns2)C1 ZINC001268193919 839821326 /nfs/dbraw/zinc/82/13/26/839821326.db2.gz FBIRQWAVZLETOW-STQMWFEESA-N 1 2 307.419 1.262 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CCC[N@@H+](Cc2ccns2)C1 ZINC001268193919 839821333 /nfs/dbraw/zinc/82/13/33/839821333.db2.gz FBIRQWAVZLETOW-STQMWFEESA-N 1 2 307.419 1.262 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@H]2C=CCCC2)C1 ZINC001268202392 839834062 /nfs/dbraw/zinc/83/40/62/839834062.db2.gz UGYRMUIEEWLKBD-HOTGVXAUSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@H]2C=CCCC2)C1 ZINC001268202392 839834070 /nfs/dbraw/zinc/83/40/70/839834070.db2.gz UGYRMUIEEWLKBD-HOTGVXAUSA-N 1 2 319.449 1.616 20 30 DDEDLO C#CCOCCC(=O)NC1C[NH+](Cc2cccc(OC)c2C)C1 ZINC001268299119 839965245 /nfs/dbraw/zinc/96/52/45/839965245.db2.gz FADMKTZQHUOWIH-UHFFFAOYSA-N 1 2 316.401 1.344 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CC(CNC(=O)CC2=CCCCC2)C1 ZINC001268703880 840690674 /nfs/dbraw/zinc/69/06/74/840690674.db2.gz RFVWDNIIYKGARG-CQSZACIVSA-N 1 2 317.433 1.063 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1CCCCC1 ZINC001268922710 840993607 /nfs/dbraw/zinc/99/36/07/840993607.db2.gz UCIRXHSWVKSMMC-IRXDYDNUSA-N 1 2 304.434 1.892 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1CCCCC1 ZINC001268922710 840993612 /nfs/dbraw/zinc/99/36/12/840993612.db2.gz UCIRXHSWVKSMMC-IRXDYDNUSA-N 1 2 304.434 1.892 20 30 DDEDLO CCC#CC(=O)N1CC[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001268951257 841031804 /nfs/dbraw/zinc/03/18/04/841031804.db2.gz JYLRQIBHYVQXPO-LSDHHAIUSA-N 1 2 300.406 1.349 20 30 DDEDLO CCC#CC(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001268951257 841031810 /nfs/dbraw/zinc/03/18/10/841031810.db2.gz JYLRQIBHYVQXPO-LSDHHAIUSA-N 1 2 300.406 1.349 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCCN2C(=O)[C@@H](C)n2cc[nH+]c2)C1=O ZINC001269158699 841279583 /nfs/dbraw/zinc/27/95/83/841279583.db2.gz ZRWHTLNLNWVWDY-RHSMWYFYSA-N 1 2 316.405 1.614 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001269206506 841343941 /nfs/dbraw/zinc/34/39/41/841343941.db2.gz YDDAKCNPXADHPO-GJZGRUSLSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001269206506 841343954 /nfs/dbraw/zinc/34/39/54/841343954.db2.gz YDDAKCNPXADHPO-GJZGRUSLSA-N 1 2 321.421 1.963 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CC1(C)C ZINC001269224656 841371744 /nfs/dbraw/zinc/37/17/44/841371744.db2.gz XRNQTDIUXMSVTF-CABCVRRESA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CC1(C)C ZINC001269224656 841371756 /nfs/dbraw/zinc/37/17/56/841371756.db2.gz XRNQTDIUXMSVTF-CABCVRRESA-N 1 2 321.465 1.648 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)[C@@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001269234040 841390998 /nfs/dbraw/zinc/39/09/98/841390998.db2.gz NGGQREACLZRLNS-CJNGLKHVSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001269234040 841391012 /nfs/dbraw/zinc/39/10/12/841391012.db2.gz NGGQREACLZRLNS-CJNGLKHVSA-N 1 2 323.462 1.811 20 30 DDEDLO CCOCCC1(C(=O)NC[C@@H]2CC[N@@H+]2CC#CCOC)CCC1 ZINC001269235943 841400886 /nfs/dbraw/zinc/40/08/86/841400886.db2.gz SCADYJLTBVYGSH-INIZCTEOSA-N 1 2 322.449 1.424 20 30 DDEDLO CCOCCC1(C(=O)NC[C@@H]2CC[N@H+]2CC#CCOC)CCC1 ZINC001269235943 841400893 /nfs/dbraw/zinc/40/08/93/841400893.db2.gz SCADYJLTBVYGSH-INIZCTEOSA-N 1 2 322.449 1.424 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc2ncccc21 ZINC001269266440 841444165 /nfs/dbraw/zinc/44/41/65/841444165.db2.gz BWRCEEFVCHXCPP-HNNXBMFYSA-N 1 2 323.396 1.689 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc2ncccc21 ZINC001269266440 841444170 /nfs/dbraw/zinc/44/41/70/841444170.db2.gz BWRCEEFVCHXCPP-HNNXBMFYSA-N 1 2 323.396 1.689 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001269286400 841470196 /nfs/dbraw/zinc/47/01/96/841470196.db2.gz NRWPYOMNQQBPKF-QAPCUYQASA-N 1 2 321.465 1.792 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001269286400 841470205 /nfs/dbraw/zinc/47/02/05/841470205.db2.gz NRWPYOMNQQBPKF-QAPCUYQASA-N 1 2 321.465 1.792 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)COc1ccc(F)c(F)c1 ZINC001269356736 841561328 /nfs/dbraw/zinc/56/13/28/841561328.db2.gz UQQMYHVOVAZWBO-GFCCVEGCSA-N 1 2 308.328 1.557 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)COc1ccc(F)c(F)c1 ZINC001269356736 841561336 /nfs/dbraw/zinc/56/13/36/841561336.db2.gz UQQMYHVOVAZWBO-GFCCVEGCSA-N 1 2 308.328 1.557 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCCN2C(=O)CCn2cc[nH+]c2)C1=O ZINC001269581059 841787165 /nfs/dbraw/zinc/78/71/65/841787165.db2.gz BGDGUYZJSMISMA-KRWDZBQOSA-N 1 2 316.405 1.443 20 30 DDEDLO C#CCOCCC(=O)N(C)C1C[NH+](Cc2ccc(OC)cc2)C1 ZINC001269787105 842045771 /nfs/dbraw/zinc/04/57/71/842045771.db2.gz GHEXUSZQZGSTNB-UHFFFAOYSA-N 1 2 316.401 1.378 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2C[C@@H]2F)cc1C#N ZINC001270406370 842553040 /nfs/dbraw/zinc/55/30/40/842553040.db2.gz PARMMURVDXUSEY-CLWVCHIJSA-N 1 2 316.380 1.430 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2C[C@@H]2F)cc1C#N ZINC001270406370 842553047 /nfs/dbraw/zinc/55/30/47/842553047.db2.gz PARMMURVDXUSEY-CLWVCHIJSA-N 1 2 316.380 1.430 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H](C)OCCOCC)C1 ZINC001270659694 842786388 /nfs/dbraw/zinc/78/63/88/842786388.db2.gz WCRURLFWLNIEEZ-UKRRQHHQSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H](C)OCCOCC)C1 ZINC001270659694 842786394 /nfs/dbraw/zinc/78/63/94/842786394.db2.gz WCRURLFWLNIEEZ-UKRRQHHQSA-N 1 2 318.845 1.761 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C[C@H]2CCC(F)(F)C2)C1 ZINC001270659170 842787988 /nfs/dbraw/zinc/78/79/88/842787988.db2.gz VIGFRUWDLSQSDH-CHWSQXEVSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C[C@H]2CCC(F)(F)C2)C1 ZINC001270659170 842787991 /nfs/dbraw/zinc/78/79/91/842787991.db2.gz VIGFRUWDLSQSDH-CHWSQXEVSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)c2cocc2C)C1 ZINC001270664722 842795036 /nfs/dbraw/zinc/79/50/36/842795036.db2.gz HRZSBOSSROGRKE-CQSZACIVSA-N 1 2 308.378 1.221 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)c2cocc2C)C1 ZINC001270664722 842795041 /nfs/dbraw/zinc/79/50/41/842795041.db2.gz HRZSBOSSROGRKE-CQSZACIVSA-N 1 2 308.378 1.221 20 30 DDEDLO C[C@H]1C[C@@H](C(=O)N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)C1 ZINC001270687508 842816696 /nfs/dbraw/zinc/81/66/96/842816696.db2.gz IKBAYGCUYCSPFM-OTVXOJSOSA-N 1 2 312.417 1.587 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@H](C)c2ccc(CC)cc2)C1 ZINC001271368156 843528001 /nfs/dbraw/zinc/52/80/01/843528001.db2.gz KPXKVMQYAVUFBK-OAHLLOKOSA-N 1 2 314.429 1.539 20 30 DDEDLO CCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001326627792 861490519 /nfs/dbraw/zinc/49/05/19/861490519.db2.gz OGSZKEOHJOCUTN-OAHLLOKOSA-N 1 2 304.394 1.507 20 30 DDEDLO CCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001326627792 861490527 /nfs/dbraw/zinc/49/05/27/861490527.db2.gz OGSZKEOHJOCUTN-OAHLLOKOSA-N 1 2 304.394 1.507 20 30 DDEDLO N#CCN1CC[C@H]2CN(C(=O)CCCn3cc[nH+]c3)CC[C@@H]21 ZINC001272226197 844777726 /nfs/dbraw/zinc/77/77/26/844777726.db2.gz RGNGRZSJZSVOKE-GJZGRUSLSA-N 1 2 301.394 1.110 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ncc(C)cc2Br)CC1 ZINC001232177155 845923218 /nfs/dbraw/zinc/92/32/18/845923218.db2.gz KHPORPACQPUQLS-UHFFFAOYSA-N 1 2 308.223 1.903 20 30 DDEDLO C=CCN1c2ccccc2[C@]2(CC[N@H+](Cc3cnn[nH]3)C2)C1=O ZINC001272568466 846320915 /nfs/dbraw/zinc/32/09/15/846320915.db2.gz FLJCBKPLHWZRAA-QGZVFWFLSA-N 1 2 309.373 1.481 20 30 DDEDLO C=CCN1c2ccccc2[C@]2(CC[N@@H+](Cc3cnn[nH]3)C2)C1=O ZINC001272568466 846320927 /nfs/dbraw/zinc/32/09/27/846320927.db2.gz FLJCBKPLHWZRAA-QGZVFWFLSA-N 1 2 309.373 1.481 20 30 DDEDLO C=CCN1c2ccccc2[C@]2(CC[N@@H+](Cc3c[nH]nn3)C2)C1=O ZINC001272568466 846320943 /nfs/dbraw/zinc/32/09/43/846320943.db2.gz FLJCBKPLHWZRAA-QGZVFWFLSA-N 1 2 309.373 1.481 20 30 DDEDLO C=CCN1c2ccccc2[C@]2(CC[N@H+](Cc3cn[nH]n3)C2)C1=O ZINC001272568466 846320945 /nfs/dbraw/zinc/32/09/45/846320945.db2.gz FLJCBKPLHWZRAA-QGZVFWFLSA-N 1 2 309.373 1.481 20 30 DDEDLO C=CCN1c2ccccc2[C@]2(CC[N@@H+](Cc3cn[nH]n3)C2)C1=O ZINC001272568466 846320954 /nfs/dbraw/zinc/32/09/54/846320954.db2.gz FLJCBKPLHWZRAA-QGZVFWFLSA-N 1 2 309.373 1.481 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077682155 846966591 /nfs/dbraw/zinc/96/65/91/846966591.db2.gz HBHMNYRNPVJRPD-JSGCOSHPSA-N 1 2 320.437 1.874 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cc(F)c(O)c(F)c2)C1=O ZINC001272781334 847420892 /nfs/dbraw/zinc/42/08/92/847420892.db2.gz CBIKLDJSOPVNAS-KRWDZBQOSA-N 1 2 320.339 1.871 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cc(F)c(O)c(F)c2)C1=O ZINC001272781334 847420898 /nfs/dbraw/zinc/42/08/98/847420898.db2.gz CBIKLDJSOPVNAS-KRWDZBQOSA-N 1 2 320.339 1.871 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3cccc4cccnc43)C2)OCC1=O ZINC001272875941 847576798 /nfs/dbraw/zinc/57/67/98/847576798.db2.gz YFEXXNPDYPDEJE-UHFFFAOYSA-N 1 2 323.396 1.834 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](CC[C@H](C)SC)C[C@@]2(F)C1=O ZINC001273115252 847940971 /nfs/dbraw/zinc/94/09/71/847940971.db2.gz JVADCBZGELDXIS-FPMFFAJLSA-N 1 2 302.390 1.336 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](CC[C@H](C)SC)C[C@@]2(F)C1=O ZINC001273115252 847940979 /nfs/dbraw/zinc/94/09/79/847940979.db2.gz JVADCBZGELDXIS-FPMFFAJLSA-N 1 2 302.390 1.336 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CCCCN(CC#N)C3)ccn12 ZINC001034206956 848113441 /nfs/dbraw/zinc/11/34/41/848113441.db2.gz NHBQUWOZWOQQAE-HNNXBMFYSA-N 1 2 311.389 1.751 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCCC[N@H+](Cc2ccon2)C1 ZINC001034403468 848471901 /nfs/dbraw/zinc/47/19/01/848471901.db2.gz HAUQWCICRDFJAB-IMJJTQAJSA-N 1 2 319.405 1.736 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCCC[N@@H+](Cc2ccon2)C1 ZINC001034403468 848471908 /nfs/dbraw/zinc/47/19/08/848471908.db2.gz HAUQWCICRDFJAB-IMJJTQAJSA-N 1 2 319.405 1.736 20 30 DDEDLO CN1C(=O)COCC12C[NH+](Cc1c[nH]c3c1cccc3C#N)C2 ZINC001273213152 848795596 /nfs/dbraw/zinc/79/55/96/848795596.db2.gz ADGUQFQFUDMYRG-UHFFFAOYSA-N 1 2 310.357 1.083 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccccc1C(F)F)C2 ZINC001273222736 848807149 /nfs/dbraw/zinc/80/71/49/848807149.db2.gz KOBVZQNICXMLFW-UHFFFAOYSA-N 1 2 320.339 1.671 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001273268265 849276534 /nfs/dbraw/zinc/27/65/34/849276534.db2.gz OBECIDIMOJDUMJ-CYBMUJFWSA-N 1 2 308.382 1.051 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2nc3ccccc3n2C)C1=O ZINC001273323069 849522973 /nfs/dbraw/zinc/52/29/73/849522973.db2.gz UFIQWBRNUBGBRW-LJQANCHMSA-N 1 2 322.412 1.773 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2nc3ccccc3n2C)C1=O ZINC001273323069 849522978 /nfs/dbraw/zinc/52/29/78/849522978.db2.gz UFIQWBRNUBGBRW-LJQANCHMSA-N 1 2 322.412 1.773 20 30 DDEDLO CCCC1(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)CCC1 ZINC001273376660 849743014 /nfs/dbraw/zinc/74/30/14/849743014.db2.gz XHWXCQAJPUGNDH-INIZCTEOSA-N 1 2 318.465 1.699 20 30 DDEDLO C=CCN1C[C@@]2(F)CN(c3cc[nH+]c(OC)c3)C[C@@]2(F)C1=O ZINC001273585994 851117489 /nfs/dbraw/zinc/11/74/89/851117489.db2.gz WJGRSLBELVBWKA-HUUCEWRRSA-N 1 2 309.316 1.355 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)CC[C@H]1CCCO1)O2 ZINC001273646695 851179703 /nfs/dbraw/zinc/17/97/03/851179703.db2.gz ONWWZGXBHGAMEP-CVEARBPZSA-N 1 2 322.449 1.871 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)C[C@@H](C)n1cccn1)O2 ZINC001273668268 851203634 /nfs/dbraw/zinc/20/36/34/851203634.db2.gz BEUGZTDPWBJEMK-CABCVRRESA-N 1 2 318.421 1.370 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2ncn3ccccc23)C1=O ZINC001273673592 851210355 /nfs/dbraw/zinc/21/03/55/851210355.db2.gz BLNHLNYVLHWQLG-GOSISDBHSA-N 1 2 308.385 1.534 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2ncn3ccccc23)C1=O ZINC001273673592 851210360 /nfs/dbraw/zinc/21/03/60/851210360.db2.gz BLNHLNYVLHWQLG-GOSISDBHSA-N 1 2 308.385 1.534 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3cccc4cc[nH]c43)C2)OCC1=O ZINC001273683272 851223424 /nfs/dbraw/zinc/22/34/24/851223424.db2.gz ZZZXDWRQNAZEET-UHFFFAOYSA-N 1 2 311.385 1.767 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H](C)n1cncn1 ZINC001327509625 862226863 /nfs/dbraw/zinc/22/68/63/862226863.db2.gz WOUNZKZNGHNHDB-RHSMWYFYSA-N 1 2 323.400 1.015 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H](C)n1cncn1 ZINC001327509625 862226878 /nfs/dbraw/zinc/22/68/78/862226878.db2.gz WOUNZKZNGHNHDB-RHSMWYFYSA-N 1 2 323.400 1.015 20 30 DDEDLO Cc1cnc([C@@H](C)[NH2+]C/C=C/CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001273939922 851532638 /nfs/dbraw/zinc/53/26/38/851532638.db2.gz QHOMCQPIDWDSCH-AAOUONPWSA-N 1 2 313.361 1.820 20 30 DDEDLO COC(=O)[C@@H](C)CN1C[C@@]2(CC1=O)CCCC[N@@H+]2CCC#N ZINC001274031134 851870226 /nfs/dbraw/zinc/87/02/26/851870226.db2.gz IIEJMVZIJFPOOP-BBRMVZONSA-N 1 2 307.394 1.166 20 30 DDEDLO COC(=O)[C@@H](C)CN1C[C@@]2(CC1=O)CCCC[N@H+]2CCC#N ZINC001274031134 851870236 /nfs/dbraw/zinc/87/02/36/851870236.db2.gz IIEJMVZIJFPOOP-BBRMVZONSA-N 1 2 307.394 1.166 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)CCc3cnn(C)n3)cc2C1 ZINC001274034920 851873256 /nfs/dbraw/zinc/87/32/56/851873256.db2.gz BVDLJFYKZINYJK-UHFFFAOYSA-N 1 2 323.400 1.013 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)CCc3cnn(C)n3)cc2C1 ZINC001274034920 851873263 /nfs/dbraw/zinc/87/32/63/851873263.db2.gz BVDLJFYKZINYJK-UHFFFAOYSA-N 1 2 323.400 1.013 20 30 DDEDLO N#CCC[N@H+]1CCOC[C@@]2(CC(=O)N(CC3CCCC3)C2)C1 ZINC001274032461 851873583 /nfs/dbraw/zinc/87/35/83/851873583.db2.gz PNEVUHJVLCSHCG-KRWDZBQOSA-N 1 2 305.422 1.641 20 30 DDEDLO N#CCC[N@@H+]1CCOC[C@@]2(CC(=O)N(CC3CCCC3)C2)C1 ZINC001274032461 851873586 /nfs/dbraw/zinc/87/35/86/851873586.db2.gz PNEVUHJVLCSHCG-KRWDZBQOSA-N 1 2 305.422 1.641 20 30 DDEDLO CC(C)OC(=O)C[NH+]1CCC2(C[C@@H]2C(=O)NCCCC#N)CC1 ZINC001274061923 851897629 /nfs/dbraw/zinc/89/76/29/851897629.db2.gz PBRKPYXLPLSYPM-CQSZACIVSA-N 1 2 321.421 1.460 20 30 DDEDLO N#Cc1cccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)n1 ZINC001274397456 852229168 /nfs/dbraw/zinc/22/91/68/852229168.db2.gz OAYIMZZTUVZMDH-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1cccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)n1 ZINC001274397456 852229175 /nfs/dbraw/zinc/22/91/75/852229175.db2.gz OAYIMZZTUVZMDH-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H](C)C[NH2+]Cc1nnc(C)o1)c1ccccc1 ZINC001275450836 853141326 /nfs/dbraw/zinc/14/13/26/853141326.db2.gz DAZNEPSFUKVLKN-SWLSCSKDSA-N 1 2 314.389 1.942 20 30 DDEDLO Cc1n[nH]cc1C[NH+]1CC2(CCN2Cc2cc(C#N)n(C)c2)C1 ZINC001276068553 854698271 /nfs/dbraw/zinc/69/82/71/854698271.db2.gz YBAFYJVCWVHJTC-UHFFFAOYSA-N 1 2 310.405 1.389 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001049558255 856976118 /nfs/dbraw/zinc/97/61/18/856976118.db2.gz OFXDZITXQSAQQM-VNQPRFMTSA-N 1 2 313.405 1.034 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H]2CC2(C)C)C1 ZINC001073574626 858452847 /nfs/dbraw/zinc/45/28/47/858452847.db2.gz XTXZOEOINRWYNO-QWHCGFSZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H]2CC2(C)C)C1 ZINC001073574626 858452852 /nfs/dbraw/zinc/45/28/52/858452852.db2.gz XTXZOEOINRWYNO-QWHCGFSZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H]2CCCCO2)C1 ZINC001073569834 858448428 /nfs/dbraw/zinc/44/84/28/858448428.db2.gz FQXJSHWMEMKRIG-ZIAGYGMSSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H]2CCCCO2)C1 ZINC001073569834 858448432 /nfs/dbraw/zinc/44/84/32/858448432.db2.gz FQXJSHWMEMKRIG-ZIAGYGMSSA-N 1 2 316.829 1.515 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CC=NO3)n2CC(=C)C)CC1 ZINC001122506170 858856743 /nfs/dbraw/zinc/85/67/43/858856743.db2.gz UTWHFXBAPCSPOA-CQSZACIVSA-N 1 2 314.393 1.057 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](C)N(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001123156759 859108934 /nfs/dbraw/zinc/10/89/34/859108934.db2.gz KJOMTYQPHXLIGI-PWSUYJOCSA-N 1 2 305.407 1.443 20 30 DDEDLO C#CCC1(NC(=O)NCc2cc[nH+]c(NC)c2)CCOCC1 ZINC001123795124 859417622 /nfs/dbraw/zinc/41/76/22/859417622.db2.gz POYIVUQGJYBEPW-UHFFFAOYSA-N 1 2 302.378 1.495 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H](O)CNc2cc[nH+]c(C)n2)CCC1 ZINC001124549714 859733596 /nfs/dbraw/zinc/73/35/96/859733596.db2.gz WDXXGZUPLAFQOD-AWEZNQCLSA-N 1 2 316.405 1.210 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1CC[NH+](Cc2nnnn2C)CC1 ZINC001224682991 881495961 /nfs/dbraw/zinc/49/59/61/881495961.db2.gz PUEPYBURTYMJSZ-UHFFFAOYSA-N 1 2 320.441 1.141 20 30 DDEDLO CS(=O)(=O)[C@@H]1CC[N@H+](Cc2c[nH]c3c2cccc3C#N)C1 ZINC001139703654 860458675 /nfs/dbraw/zinc/45/86/75/860458675.db2.gz RPNKNVIQTZMDIR-CYBMUJFWSA-N 1 2 303.387 1.658 20 30 DDEDLO CS(=O)(=O)[C@@H]1CC[N@@H+](Cc2c[nH]c3c2cccc3C#N)C1 ZINC001139703654 860458679 /nfs/dbraw/zinc/45/86/79/860458679.db2.gz RPNKNVIQTZMDIR-CYBMUJFWSA-N 1 2 303.387 1.658 20 30 DDEDLO C=CCn1cc(C[N@@H+]2Cc3ncn(C)c3[C@H](COCC)C2)cn1 ZINC001139769803 860476301 /nfs/dbraw/zinc/47/63/01/860476301.db2.gz REUOZNJJIZOULB-HNNXBMFYSA-N 1 2 315.421 1.939 20 30 DDEDLO C=CCn1cc(C[N@H+]2Cc3ncn(C)c3[C@H](COCC)C2)cn1 ZINC001139769803 860476304 /nfs/dbraw/zinc/47/63/04/860476304.db2.gz REUOZNJJIZOULB-HNNXBMFYSA-N 1 2 315.421 1.939 20 30 DDEDLO COC(=O)C1(O)CC[NH+](Cc2cc(C#N)ccc2Cl)CC1 ZINC001140604250 860659720 /nfs/dbraw/zinc/65/97/20/860659720.db2.gz WZUYZLXPOWDGHQ-UHFFFAOYSA-N 1 2 308.765 1.712 20 30 DDEDLO COC(=O)CO[C@H]1CC[N@H+](Cc2cc(F)c(C#N)c(F)c2)C1 ZINC001140755800 860692460 /nfs/dbraw/zinc/69/24/60/860692460.db2.gz TXBSZBFWSNHYLK-NSHDSACASA-N 1 2 310.300 1.600 20 30 DDEDLO COC(=O)CO[C@H]1CC[N@@H+](Cc2cc(F)c(C#N)c(F)c2)C1 ZINC001140755800 860692466 /nfs/dbraw/zinc/69/24/66/860692466.db2.gz TXBSZBFWSNHYLK-NSHDSACASA-N 1 2 310.300 1.600 20 30 DDEDLO CN(C)C(=O)NC1CC[NH+](Cc2ccc(F)cc2C#N)CC1 ZINC001141167364 860800330 /nfs/dbraw/zinc/80/03/30/860800330.db2.gz JBYUSILDNTZCLN-UHFFFAOYSA-N 1 2 304.369 1.933 20 30 DDEDLO C#CC[N@H+]1CCC[C@@](CO)(NC(=O)c2ccc(CC)s2)C1 ZINC001325852294 860886800 /nfs/dbraw/zinc/88/68/00/860886800.db2.gz PJDFPRKSYSFHLN-MRXNPFEDSA-N 1 2 306.431 1.500 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@](CO)(NC(=O)c2ccc(CC)s2)C1 ZINC001325852294 860886806 /nfs/dbraw/zinc/88/68/06/860886806.db2.gz PJDFPRKSYSFHLN-MRXNPFEDSA-N 1 2 306.431 1.500 20 30 DDEDLO C=C(C)C(=O)NCCCNc1ccc(Cn2cncn2)c[nH+]1 ZINC001156826184 863370687 /nfs/dbraw/zinc/37/06/87/863370687.db2.gz NIESWXQWUYDRKM-UHFFFAOYSA-N 1 2 300.366 1.216 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)[C@@H](C)[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001328996638 863406640 /nfs/dbraw/zinc/40/66/40/863406640.db2.gz QCNFPBDNUGXDNT-VXGBXAGGSA-N 1 2 324.425 1.553 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC[NH2+]Cc1noc(CCCC)n1 ZINC001157189471 863643767 /nfs/dbraw/zinc/64/37/67/863643767.db2.gz LRCIZHOUJSANOT-INIZCTEOSA-N 1 2 324.425 1.335 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2cc(F)ccc2Cl)C1 ZINC001329693804 863796005 /nfs/dbraw/zinc/79/60/05/863796005.db2.gz KYKCLFITNFIZOA-UHFFFAOYSA-N 1 2 324.783 1.669 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1CC ZINC001153326989 863826389 /nfs/dbraw/zinc/82/63/89/863826389.db2.gz IJQSWVKJRVEQHL-HUUCEWRRSA-N 1 2 320.437 1.268 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1CC ZINC001153326989 863826394 /nfs/dbraw/zinc/82/63/94/863826394.db2.gz IJQSWVKJRVEQHL-HUUCEWRRSA-N 1 2 320.437 1.268 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001153478540 863909586 /nfs/dbraw/zinc/90/95/86/863909586.db2.gz ZXLQMVRKCVOSGM-CYBMUJFWSA-N 1 2 303.410 1.112 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)OCC3CC3)C2)C1 ZINC001330149392 864106725 /nfs/dbraw/zinc/10/67/25/864106725.db2.gz WOYJHDUTWGRGPQ-YOEHRIQHSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)OCC3CC3)C2)C1 ZINC001330149392 864106730 /nfs/dbraw/zinc/10/67/30/864106730.db2.gz WOYJHDUTWGRGPQ-YOEHRIQHSA-N 1 2 308.422 1.291 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H](C)OC)C2)C1 ZINC001330159809 864112560 /nfs/dbraw/zinc/11/25/60/864112560.db2.gz HEVHSROMGFEAEH-RDJZCZTQSA-N 1 2 308.422 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H](C)OC)C2)C1 ZINC001330159809 864112564 /nfs/dbraw/zinc/11/25/64/864112564.db2.gz HEVHSROMGFEAEH-RDJZCZTQSA-N 1 2 308.422 1.128 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2cocc2C)C(C)(C)C1 ZINC001330222662 864170027 /nfs/dbraw/zinc/17/00/27/864170027.db2.gz QLHCEZPJIYCCDS-CQSZACIVSA-N 1 2 319.405 1.330 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2cocc2C)C(C)(C)C1 ZINC001330222662 864170030 /nfs/dbraw/zinc/17/00/30/864170030.db2.gz QLHCEZPJIYCCDS-CQSZACIVSA-N 1 2 319.405 1.330 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2ncccc2C#C[Si](C)(C)C)C[NH2+]1 ZINC001158254620 864516210 /nfs/dbraw/zinc/51/62/10/864516210.db2.gz GECODSAVSVLIOT-KGLIPLIRSA-N 1 2 317.465 1.626 20 30 DDEDLO C[C@H]1C[NH+](C2CN(c3ccc4c(C#N)c[nH]c4n3)C2)C[C@H](C)O1 ZINC001158716213 864846905 /nfs/dbraw/zinc/84/69/05/864846905.db2.gz ITKNIYYPLNEZHU-RYUDHWBXSA-N 1 2 311.389 1.732 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCCC[NH2+][C@@H](C)c1noc(C)n1)OCC ZINC001159148429 865131097 /nfs/dbraw/zinc/13/10/97/865131097.db2.gz GEJYQXKIIRZICA-JSGCOSHPSA-N 1 2 324.425 1.906 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)[C@@H]1C ZINC001331880648 865409996 /nfs/dbraw/zinc/40/99/96/865409996.db2.gz XFGDMABZPGCDBA-GXTWGEPZSA-N 1 2 316.405 1.685 20 30 DDEDLO O=C(C#CC1CC1)NCCC1=CC[N@H+](Cc2cnns2)CC1 ZINC001160081006 865670043 /nfs/dbraw/zinc/67/00/43/865670043.db2.gz BAGJHNYLUAPSIH-UHFFFAOYSA-N 1 2 316.430 1.590 20 30 DDEDLO O=C(C#CC1CC1)NCCC1=CC[N@@H+](Cc2cnns2)CC1 ZINC001160081006 865670046 /nfs/dbraw/zinc/67/00/46/865670046.db2.gz BAGJHNYLUAPSIH-UHFFFAOYSA-N 1 2 316.430 1.590 20 30 DDEDLO C#CC[N@H+]1CC=C(CCNC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001160132019 865689265 /nfs/dbraw/zinc/68/92/65/865689265.db2.gz ZSAPAJUEEBVSQV-OAHLLOKOSA-N 1 2 312.417 1.601 20 30 DDEDLO C#CC[N@@H+]1CC=C(CCNC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001160132019 865689269 /nfs/dbraw/zinc/68/92/69/865689269.db2.gz ZSAPAJUEEBVSQV-OAHLLOKOSA-N 1 2 312.417 1.601 20 30 DDEDLO C[NH+](C)[C@@H](C(=O)NCC1CCN(CC#N)CC1)c1cccnc1 ZINC001225591613 881924772 /nfs/dbraw/zinc/92/47/72/881924772.db2.gz WDOPLJRVYSCQKZ-MRXNPFEDSA-N 1 2 315.421 1.036 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H](O)C[N@@H+](C)[C@@H](C)c1nc(C)no1 ZINC001332634601 866004422 /nfs/dbraw/zinc/00/44/22/866004422.db2.gz ZRONCOUQDZWCKO-JSGCOSHPSA-N 1 2 324.425 1.546 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H](O)C[N@H+](C)[C@@H](C)c1nc(C)no1 ZINC001332634601 866004435 /nfs/dbraw/zinc/00/44/35/866004435.db2.gz ZRONCOUQDZWCKO-JSGCOSHPSA-N 1 2 324.425 1.546 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1ncc(Cl)cc1C#N ZINC001160696958 866042726 /nfs/dbraw/zinc/04/27/26/866042726.db2.gz RYMAROAKTZYIGS-GFCCVEGCSA-N 1 2 319.752 1.536 20 30 DDEDLO N#Cc1c(Cl)ncnc1N[C@@H]1CCC[C@H]1[NH+]1CCOCC1 ZINC001160725434 866073226 /nfs/dbraw/zinc/07/32/26/866073226.db2.gz UVKRGBJXQXXTHA-VXGBXAGGSA-N 1 2 307.785 1.667 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC001323100935 866338958 /nfs/dbraw/zinc/33/89/58/866338958.db2.gz NDCMXNDNSPKAEG-STQMWFEESA-N 1 2 304.394 1.587 20 30 DDEDLO C=CCCCN(CC)C(=O)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC001333081329 866403884 /nfs/dbraw/zinc/40/38/84/866403884.db2.gz DZCUUBHUKONQLG-ZDUSSCGKSA-N 1 2 304.394 1.129 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001381504336 881975638 /nfs/dbraw/zinc/97/56/38/881975638.db2.gz BBBDZKMOCJEWAZ-VIFPVBQESA-N 1 2 318.406 1.297 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001381504336 881975648 /nfs/dbraw/zinc/97/56/48/881975648.db2.gz BBBDZKMOCJEWAZ-VIFPVBQESA-N 1 2 318.406 1.297 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1(C2CC2)CC1 ZINC001323258740 866478755 /nfs/dbraw/zinc/47/87/55/866478755.db2.gz AXDCNACKOQKOQR-JSGCOSHPSA-N 1 2 305.422 1.058 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C1(C2CC2)CC1 ZINC001323258740 866478758 /nfs/dbraw/zinc/47/87/58/866478758.db2.gz AXDCNACKOQKOQR-JSGCOSHPSA-N 1 2 305.422 1.058 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001323273555 866492724 /nfs/dbraw/zinc/49/27/24/866492724.db2.gz ROCZZISBMCMXFY-DOTOQJQBSA-N 1 2 321.421 1.902 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001323273555 866492729 /nfs/dbraw/zinc/49/27/29/866492729.db2.gz ROCZZISBMCMXFY-DOTOQJQBSA-N 1 2 321.421 1.902 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)[C@@H](C)n1cc[nH+]c1 ZINC001320237662 866581784 /nfs/dbraw/zinc/58/17/84/866581784.db2.gz QCMRWEHJAHWKPR-GFCCVEGCSA-N 1 2 321.344 1.844 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2n[nH]c3c2CCCC3)C1 ZINC001323945282 866963999 /nfs/dbraw/zinc/96/39/99/866963999.db2.gz KIPXFJCYHAZAPK-UHFFFAOYSA-N 1 2 318.421 1.247 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CO[C@@H]2CCCC[C@@H]2C)C1 ZINC001323992641 866998251 /nfs/dbraw/zinc/99/82/51/866998251.db2.gz GAWGSQPEPGUEME-DOTOQJQBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC)CC[NH2+]Cc1csnn1 ZINC001320845503 867073368 /nfs/dbraw/zinc/07/33/68/867073368.db2.gz CDBGXDVHLPGVRY-OLZOCXBDSA-N 1 2 310.423 1.067 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C/C=C/CNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001321012335 867210588 /nfs/dbraw/zinc/21/05/88/867210588.db2.gz TWTAEEKXXZQQSX-FSIBCCDJSA-N 1 2 314.349 1.215 20 30 DDEDLO C#CCN(C(=O)C1CC1)C1CC[NH+](Cc2nnc(CC)o2)CC1 ZINC001324353717 867223746 /nfs/dbraw/zinc/22/37/46/867223746.db2.gz UDXULEAMEZYWII-UHFFFAOYSA-N 1 2 316.405 1.468 20 30 DDEDLO Cc1cccc(C[N@@H+]2CC[C@H]3C[C@]32C(=O)NC2(C#N)CCC2)n1 ZINC001277076496 882062261 /nfs/dbraw/zinc/06/22/61/882062261.db2.gz LYKFXNRIHZSREN-KBXCAEBGSA-N 1 2 310.401 1.917 20 30 DDEDLO Cc1cccc(C[N@H+]2CC[C@H]3C[C@]32C(=O)NC2(C#N)CCC2)n1 ZINC001277076496 882062273 /nfs/dbraw/zinc/06/22/73/882062273.db2.gz LYKFXNRIHZSREN-KBXCAEBGSA-N 1 2 310.401 1.917 20 30 DDEDLO C#CC[NH+]1CCC(CNC(=O)C(F)C(F)(F)F)(COC)CC1 ZINC001162288027 867412315 /nfs/dbraw/zinc/41/23/15/867412315.db2.gz DCPZHNKYLWCKBL-NSHDSACASA-N 1 2 324.318 1.365 20 30 DDEDLO C#CC[NH+]1CCC(CNC(=O)[C@H](F)C(F)(F)F)(COC)CC1 ZINC001162288027 867412320 /nfs/dbraw/zinc/41/23/20/867412320.db2.gz DCPZHNKYLWCKBL-NSHDSACASA-N 1 2 324.318 1.365 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC001321599538 867688773 /nfs/dbraw/zinc/68/87/73/867688773.db2.gz XOUFTQBOBHZZFD-ZDUSSCGKSA-N 1 2 318.421 1.349 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cc(Cl)ccc2F)C1 ZINC001325235838 867882036 /nfs/dbraw/zinc/88/20/36/867882036.db2.gz BPXVCSXUHNJQTQ-UHFFFAOYSA-N 1 2 310.756 1.279 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[NH2+]Cc2noc(CC)n2)cc1 ZINC001163734285 868664055 /nfs/dbraw/zinc/66/40/55/868664055.db2.gz MCVCUZRANFKSHP-UHFFFAOYSA-N 1 2 312.373 1.523 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]CC2(CCNC(=O)[C@H](C)C#N)CC2)no1 ZINC001163859598 868760402 /nfs/dbraw/zinc/76/04/02/868760402.db2.gz GQPMHPNQDMGKNM-MNOVXSKESA-N 1 2 305.382 1.475 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2ccc(C(F)(F)F)c(C#N)n2)C[NH2+]1 ZINC001164301946 869104322 /nfs/dbraw/zinc/10/43/22/869104322.db2.gz KYXCIICYFAYXMQ-CBAPKCEASA-N 1 2 314.267 1.287 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2cc(C)c(C#N)c([N+](=O)[O-])c2)C[NH2+]1 ZINC001164311438 869114615 /nfs/dbraw/zinc/11/46/15/869114615.db2.gz MVBNLCUAGSBSNB-JQWIXIFHSA-N 1 2 304.306 1.090 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]CC2(CCNC(=O)C#CC(C)C)CC2)no1 ZINC001164463743 869210076 /nfs/dbraw/zinc/21/00/76/869210076.db2.gz BPJMZFCXQAYFPO-ZDUSSCGKSA-N 1 2 318.421 1.975 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)c1cc(C2CC2)nnc1Cl ZINC001164660654 869344678 /nfs/dbraw/zinc/34/46/78/869344678.db2.gz FXAXDXFPOCCSOT-SECBINFHSA-N 1 2 313.739 1.854 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001337435234 869486409 /nfs/dbraw/zinc/48/64/09/869486409.db2.gz BUBSTCGYNHMPQU-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1nc(N[C@@H]2COCC[C@@H]2NC(=O)CCCC#N)cc[nH+]1 ZINC001337457963 869498804 /nfs/dbraw/zinc/49/88/04/869498804.db2.gz LHBWNNQYDTYIFX-QWHCGFSZSA-N 1 2 303.366 1.165 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@H]1C ZINC001337981046 869727515 /nfs/dbraw/zinc/72/75/15/869727515.db2.gz AQIMXNCPKBMOQM-KBPBESRZSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@H]3C[C@@H](O)C[N@@H+]3C)n2C)C1 ZINC001338425237 869975053 /nfs/dbraw/zinc/97/50/53/869975053.db2.gz ZJCZLSKKOWIAHB-MGPQQGTHSA-N 1 2 305.426 1.345 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@H]3C[C@@H](O)C[N@H+]3C)n2C)C1 ZINC001338425237 869975062 /nfs/dbraw/zinc/97/50/62/869975062.db2.gz ZJCZLSKKOWIAHB-MGPQQGTHSA-N 1 2 305.426 1.345 20 30 DDEDLO C#CCCCC(=O)N[C@H](CC)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001297458761 870055898 /nfs/dbraw/zinc/05/58/98/870055898.db2.gz YAFQPGAWOYDVJO-CYBMUJFWSA-N 1 2 304.394 1.075 20 30 DDEDLO C#CCCCC(=O)N[C@@H](CC)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001297458762 870056907 /nfs/dbraw/zinc/05/69/07/870056907.db2.gz YAFQPGAWOYDVJO-ZDUSSCGKSA-N 1 2 304.394 1.075 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NC(C)(C)C)C1 ZINC001316988444 870074468 /nfs/dbraw/zinc/07/44/68/870074468.db2.gz VFPILWMHDUKYKV-ZDUSSCGKSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)NC(C)(C)C)C1 ZINC001316988444 870074481 /nfs/dbraw/zinc/07/44/81/870074481.db2.gz VFPILWMHDUKYKV-ZDUSSCGKSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1C ZINC001338672433 870109105 /nfs/dbraw/zinc/10/91/05/870109105.db2.gz ZGHPCCSVLWSYMK-ZIAGYGMSSA-N 1 2 305.426 1.753 20 30 DDEDLO C=C(C)CN(C)c1nnc([C@H]2C[N@H+]3CCCC[C@@H]3CO2)n1C ZINC001338672433 870109110 /nfs/dbraw/zinc/10/91/10/870109110.db2.gz ZGHPCCSVLWSYMK-ZIAGYGMSSA-N 1 2 305.426 1.753 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@](C)(CC)C1 ZINC001338773526 870160554 /nfs/dbraw/zinc/16/05/54/870160554.db2.gz PYRCVYGITSPPPG-GRDNDAEWSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@](C)(CC)C1 ZINC001338773526 870160558 /nfs/dbraw/zinc/16/05/58/870160558.db2.gz PYRCVYGITSPPPG-GRDNDAEWSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)C1CCCCC1 ZINC001338775084 870163170 /nfs/dbraw/zinc/16/31/70/870163170.db2.gz UFEJVHXQPIKXSV-GJZGRUSLSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)C1CCCCC1 ZINC001338775084 870163177 /nfs/dbraw/zinc/16/31/77/870163177.db2.gz UFEJVHXQPIKXSV-GJZGRUSLSA-N 1 2 319.453 1.971 20 30 DDEDLO CC(C)[C@@H](CNC(=O)C#CC1CC1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001297972114 870226350 /nfs/dbraw/zinc/22/63/50/870226350.db2.gz WDWRHRCUEZPUAF-OAHLLOKOSA-N 1 2 316.405 1.013 20 30 DDEDLO CC(C)[C@@H](CNC(=O)C#CC1CC1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001297972114 870226357 /nfs/dbraw/zinc/22/63/57/870226357.db2.gz WDWRHRCUEZPUAF-OAHLLOKOSA-N 1 2 316.405 1.013 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H]([C@H](C)NC(=O)c2[nH]ncc2F)C1 ZINC001317211220 870477930 /nfs/dbraw/zinc/47/79/30/870477930.db2.gz XIWJCVHUSPXJQN-STQMWFEESA-N 1 2 322.384 1.029 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H]([C@H](C)NC(=O)c2[nH]ncc2F)C1 ZINC001317211220 870477933 /nfs/dbraw/zinc/47/79/33/870477933.db2.gz XIWJCVHUSPXJQN-STQMWFEESA-N 1 2 322.384 1.029 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1Cc1ccccc1O ZINC001203552005 870635110 /nfs/dbraw/zinc/63/51/10/870635110.db2.gz WTSGSFHPCUNVBR-HNNXBMFYSA-N 1 2 314.389 1.823 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1Cc1ccccc1O ZINC001203552005 870635119 /nfs/dbraw/zinc/63/51/19/870635119.db2.gz WTSGSFHPCUNVBR-HNNXBMFYSA-N 1 2 314.389 1.823 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](C)[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001339738628 870664403 /nfs/dbraw/zinc/66/44/03/870664403.db2.gz MOXTZKAYYKZPSU-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)C(C)C)n2CC=C)CC1 ZINC001339910434 870777581 /nfs/dbraw/zinc/77/75/81/870777581.db2.gz FEIGEQAHZHPWFS-OAHLLOKOSA-N 1 2 301.438 1.979 20 30 DDEDLO CCN(C(=O)C[N@H+](C)CCNC(=O)C#CC(C)(C)C)C1CC1 ZINC001317447974 870856362 /nfs/dbraw/zinc/85/63/62/870856362.db2.gz KLUPPPHWMGDIRJ-UHFFFAOYSA-N 1 2 307.438 1.095 20 30 DDEDLO CCN(C(=O)C[N@@H+](C)CCNC(=O)C#CC(C)(C)C)C1CC1 ZINC001317447974 870856374 /nfs/dbraw/zinc/85/63/74/870856374.db2.gz KLUPPPHWMGDIRJ-UHFFFAOYSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H]1CCCC[C@@H]1CC ZINC001317468137 870887360 /nfs/dbraw/zinc/88/73/60/870887360.db2.gz OOBMREZPLIICQA-LSDHHAIUSA-N 1 2 307.438 1.000 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H]1CCCC[C@@H]1CC ZINC001317468137 870887371 /nfs/dbraw/zinc/88/73/71/870887371.db2.gz OOBMREZPLIICQA-LSDHHAIUSA-N 1 2 307.438 1.000 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nncn2C)[C@@H]1CC ZINC001316731216 871159975 /nfs/dbraw/zinc/15/99/75/871159975.db2.gz WGMULBPJRPDMCP-UONOGXRCSA-N 1 2 303.410 1.088 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nncn2C)[C@@H]1CC ZINC001316731216 871159979 /nfs/dbraw/zinc/15/99/79/871159979.db2.gz WGMULBPJRPDMCP-UONOGXRCSA-N 1 2 303.410 1.088 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC=C ZINC001340569685 871200761 /nfs/dbraw/zinc/20/07/61/871200761.db2.gz DDYRUHMMWJZRFV-PBHICJAKSA-N 1 2 317.437 1.554 20 30 DDEDLO C=CCN(CC=C)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC=C ZINC001340569685 871200783 /nfs/dbraw/zinc/20/07/83/871200783.db2.gz DDYRUHMMWJZRFV-PBHICJAKSA-N 1 2 317.437 1.554 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)CCCCNC(=O)Cc1[nH]cc[nH+]1 ZINC001340686499 871281730 /nfs/dbraw/zinc/28/17/30/871281730.db2.gz BYQCVNVDABINPC-CQSZACIVSA-N 1 2 318.421 1.403 20 30 DDEDLO C=CCn1c(N(CC)CCC)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001340700870 871292817 /nfs/dbraw/zinc/29/28/17/871292817.db2.gz HNJBCUFIFWFVBK-CJNGLKHVSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CCn1c(N(CC)CCC)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001340700870 871292830 /nfs/dbraw/zinc/29/28/30/871292830.db2.gz HNJBCUFIFWFVBK-CJNGLKHVSA-N 1 2 307.442 1.612 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNc1ccc([N+](=O)[O-])c(N)c1C#N ZINC001306961393 871385542 /nfs/dbraw/zinc/38/55/42/871385542.db2.gz XYDIIVLLCRHHOX-JTQLQIEISA-N 1 2 305.338 1.181 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNc1ccc([N+](=O)[O-])c(N)c1C#N ZINC001306961393 871385554 /nfs/dbraw/zinc/38/55/54/871385554.db2.gz XYDIIVLLCRHHOX-JTQLQIEISA-N 1 2 305.338 1.181 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H](C)C[C@H]1C[NH2+]Cc1nc(C)no1 ZINC001316695176 871412901 /nfs/dbraw/zinc/41/29/01/871412901.db2.gz HKGGBKZMOWLKIF-OCCSQVGLSA-N 1 2 322.409 1.297 20 30 DDEDLO C[C@H]1C[NH+](C2CN(Cc3ccc(F)c(C#N)c3)C2)C[C@H](C)O1 ZINC001205502412 871592167 /nfs/dbraw/zinc/59/21/67/871592167.db2.gz UTDKRLHAGXQJBC-STQMWFEESA-N 1 2 303.381 1.991 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCC23CC3)C1 ZINC001317935453 871639063 /nfs/dbraw/zinc/63/90/63/871639063.db2.gz DZBJVYHRFZTMLQ-CABCVRRESA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCC23CC3)C1 ZINC001317935453 871639067 /nfs/dbraw/zinc/63/90/67/871639067.db2.gz DZBJVYHRFZTMLQ-CABCVRRESA-N 1 2 319.449 1.450 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2oc(C)cc2C)C1 ZINC001317964712 871656096 /nfs/dbraw/zinc/65/60/96/871656096.db2.gz SVXOQNKSNWDREJ-HNNXBMFYSA-N 1 2 304.390 1.740 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2oc(C)cc2C)C1 ZINC001317964712 871656110 /nfs/dbraw/zinc/65/61/10/871656110.db2.gz SVXOQNKSNWDREJ-HNNXBMFYSA-N 1 2 304.390 1.740 20 30 DDEDLO C[C@H](C#N)C(=O)NC1CC[NH+](Cc2ncc(C3CC3)o2)CC1 ZINC001226617188 882539713 /nfs/dbraw/zinc/53/97/13/882539713.db2.gz BYIDBYYAAJBCOP-LLVKDONJSA-N 1 2 302.378 1.792 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cccc3oc(C)nc32)C1 ZINC001318093048 871741152 /nfs/dbraw/zinc/74/11/52/871741152.db2.gz IDWJSLUSLGGVAM-UHFFFAOYSA-N 1 2 315.373 1.753 20 30 DDEDLO C[C@H]1C[C@H]1C[N@@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001277143279 882555229 /nfs/dbraw/zinc/55/52/29/882555229.db2.gz SRFCKOKZRJQIRN-AAEUAGOBSA-N 1 2 313.405 1.409 20 30 DDEDLO C[C@H]1C[C@H]1C[N@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001277143279 882555235 /nfs/dbraw/zinc/55/52/35/882555235.db2.gz SRFCKOKZRJQIRN-AAEUAGOBSA-N 1 2 313.405 1.409 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)(C)C)C2)sn1 ZINC001318331917 871936636 /nfs/dbraw/zinc/93/66/36/871936636.db2.gz ZNCAFFSSZNRDQJ-GFCCVEGCSA-N 1 2 321.446 1.892 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)(C)C)C2)sn1 ZINC001318331917 871936645 /nfs/dbraw/zinc/93/66/45/871936645.db2.gz ZNCAFFSSZNRDQJ-GFCCVEGCSA-N 1 2 321.446 1.892 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2coc(C)n2)C[C@H]1C ZINC001206428670 872311097 /nfs/dbraw/zinc/31/10/97/872311097.db2.gz AUUXAVDEOPBOCX-DVOMOZLQSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2coc(C)n2)C[C@H]1C ZINC001206428670 872311116 /nfs/dbraw/zinc/31/11/16/872311116.db2.gz AUUXAVDEOPBOCX-DVOMOZLQSA-N 1 2 321.421 1.901 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2CCCC[N@@H+]2C)n1CCOC ZINC001342654698 872319937 /nfs/dbraw/zinc/31/99/37/872319937.db2.gz BPEVHNLTPQXAGT-AWEZNQCLSA-N 1 2 305.426 1.541 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2CCCC[N@H+]2C)n1CCOC ZINC001342654698 872319950 /nfs/dbraw/zinc/31/99/50/872319950.db2.gz BPEVHNLTPQXAGT-AWEZNQCLSA-N 1 2 305.426 1.541 20 30 DDEDLO C=C(C)Cn1c(N(CC)CC)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001343287883 872565109 /nfs/dbraw/zinc/56/51/09/872565109.db2.gz MJUMYKKCDWFOAH-XJKSGUPXSA-N 1 2 307.442 1.612 20 30 DDEDLO C=C(C)Cn1c(N(CC)CC)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001343287883 872565120 /nfs/dbraw/zinc/56/51/20/872565120.db2.gz MJUMYKKCDWFOAH-XJKSGUPXSA-N 1 2 307.442 1.612 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)N1CCC(c2c[nH+]cn2C)CC1 ZINC001343714731 872729678 /nfs/dbraw/zinc/72/96/78/872729678.db2.gz PWMMHALUAMTLRX-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2nccn2CC)C[C@H]1C ZINC001206912512 872752039 /nfs/dbraw/zinc/75/20/39/872752039.db2.gz PCSFBPDALKVVFC-HUUCEWRRSA-N 1 2 302.422 1.643 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2nccn2CC)C[C@H]1C ZINC001206912512 872752045 /nfs/dbraw/zinc/75/20/45/872752045.db2.gz PCSFBPDALKVVFC-HUUCEWRRSA-N 1 2 302.422 1.643 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)CN(C)C(=O)C2CC2)C1 ZINC001381778238 882649857 /nfs/dbraw/zinc/64/98/57/882649857.db2.gz QDJUERWFXITHTN-NSHDSACASA-N 1 2 313.829 1.044 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1NC(=O)CC1(C)CCCC1 ZINC001206935995 872800764 /nfs/dbraw/zinc/80/07/64/872800764.db2.gz GITIBOUOISXLBJ-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1NC(=O)CC1(C)CCCC1 ZINC001206935995 872800772 /nfs/dbraw/zinc/80/07/72/872800772.db2.gz GITIBOUOISXLBJ-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nccs2)C[C@H]1C ZINC001206963577 872856120 /nfs/dbraw/zinc/85/61/20/872856120.db2.gz IRGAFPMKVWXWNW-JHJVBQTASA-N 1 2 307.419 1.118 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nccs2)C[C@H]1C ZINC001206963577 872856131 /nfs/dbraw/zinc/85/61/31/872856131.db2.gz IRGAFPMKVWXWNW-JHJVBQTASA-N 1 2 307.419 1.118 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]1CN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001344167554 872905778 /nfs/dbraw/zinc/90/57/78/872905778.db2.gz WNGDDANOUBBZCX-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]1CN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001344167554 872905792 /nfs/dbraw/zinc/90/57/92/872905792.db2.gz WNGDDANOUBBZCX-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO CCn1nnc2c1[C@H](COC)C[N@H+](Cc1cc(C#N)n(C)c1)C2 ZINC001207104374 873020013 /nfs/dbraw/zinc/02/00/13/873020013.db2.gz RDSMPMYRWBUPOX-ZDUSSCGKSA-N 1 2 314.393 1.254 20 30 DDEDLO CCn1nnc2c1[C@H](COC)C[N@@H+](Cc1cc(C#N)n(C)c1)C2 ZINC001207104374 873020032 /nfs/dbraw/zinc/02/00/32/873020032.db2.gz RDSMPMYRWBUPOX-ZDUSSCGKSA-N 1 2 314.393 1.254 20 30 DDEDLO C=CCn1cc(C(=O)OCCc2cn3c([nH+]2)CCCC3)nn1 ZINC001344993806 873213265 /nfs/dbraw/zinc/21/32/65/873213265.db2.gz ACSUODFPNNNWSW-UHFFFAOYSA-N 1 2 301.350 1.396 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@H]1CCOC1 ZINC001345412198 873396401 /nfs/dbraw/zinc/39/64/01/873396401.db2.gz JMTKQRYZMIQWFV-ZIAGYGMSSA-N 1 2 303.410 1.151 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@H]1CCOC1 ZINC001345412198 873396413 /nfs/dbraw/zinc/39/64/13/873396413.db2.gz JMTKQRYZMIQWFV-ZIAGYGMSSA-N 1 2 303.410 1.151 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([NH2+][C@H](C)c2nc(CC)no2)C1 ZINC001207681075 873473716 /nfs/dbraw/zinc/47/37/16/873473716.db2.gz ZLJVHULLKALFBI-OLZOCXBDSA-N 1 2 322.409 1.476 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001207943689 873674146 /nfs/dbraw/zinc/67/41/46/873674146.db2.gz CTHQGELIJRWCMJ-AWEZNQCLSA-N 1 2 318.421 1.906 20 30 DDEDLO C=C(CC(C)C)C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC001346308773 873693642 /nfs/dbraw/zinc/69/36/42/873693642.db2.gz WNELKNDIUTZVCE-INIZCTEOSA-N 1 2 312.479 1.913 20 30 DDEDLO CC(C)[N@@H+]1CCC[C@H]1C(=O)[C@H](C#N)C(=O)Nc1ccccn1 ZINC001346537854 873794057 /nfs/dbraw/zinc/79/40/57/873794057.db2.gz QWXGSZQKNRBMIU-STQMWFEESA-N 1 2 300.362 1.602 20 30 DDEDLO CC(C)[N@H+]1CCC[C@H]1C(=O)[C@H](C#N)C(=O)Nc1ccccn1 ZINC001346537854 873794064 /nfs/dbraw/zinc/79/40/64/873794064.db2.gz QWXGSZQKNRBMIU-STQMWFEESA-N 1 2 300.362 1.602 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@H]1CCCCO1 ZINC001347054764 873993568 /nfs/dbraw/zinc/99/35/68/873993568.db2.gz LHMIZSVGDTXOQD-HUUCEWRRSA-N 1 2 317.437 1.683 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@H]1CCCCO1 ZINC001347054764 873993578 /nfs/dbraw/zinc/99/35/78/873993578.db2.gz LHMIZSVGDTXOQD-HUUCEWRRSA-N 1 2 317.437 1.683 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[N@@H+](Cc2cnc(C)cn2)CC1(C)C ZINC001276570872 874302558 /nfs/dbraw/zinc/30/25/58/874302558.db2.gz RBBDNISRQUFWHG-HNNXBMFYSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[N@H+](Cc2cnc(C)cn2)CC1(C)C ZINC001276570872 874302572 /nfs/dbraw/zinc/30/25/72/874302572.db2.gz RBBDNISRQUFWHG-HNNXBMFYSA-N 1 2 318.421 1.314 20 30 DDEDLO C#Cc1cccc(CNC(=O)NC[C@@H](C)[NH+]2CCN(C)CC2)c1 ZINC001348440467 874559016 /nfs/dbraw/zinc/55/90/16/874559016.db2.gz QEGHLDSGOHTDSO-OAHLLOKOSA-N 1 2 314.433 1.103 20 30 DDEDLO Cc1cncc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)c1 ZINC001276623963 874726763 /nfs/dbraw/zinc/72/67/63/874726763.db2.gz OBCNNFRSHSLZPO-UHFFFAOYSA-N 1 2 321.428 1.918 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C(C)(C)NC(C)=O)C1 ZINC001209540524 874948648 /nfs/dbraw/zinc/94/86/48/874948648.db2.gz KEBNCSJVNHXUKM-BXKDBHETSA-N 1 2 301.818 1.090 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C(C)(C)NC(C)=O)C1 ZINC001209540524 874948658 /nfs/dbraw/zinc/94/86/58/874948658.db2.gz KEBNCSJVNHXUKM-BXKDBHETSA-N 1 2 301.818 1.090 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNC(=O)Cc1c[nH+]ccc1OC ZINC001349083764 874963248 /nfs/dbraw/zinc/96/32/48/874963248.db2.gz LUNCZQPOHBGBBW-UHFFFAOYSA-N 1 2 319.405 1.467 20 30 DDEDLO N#Cc1cnc(C(=O)N2CC(Oc3cc[nH+]cc3)C2)c(Cl)c1 ZINC001362048567 882913632 /nfs/dbraw/zinc/91/36/32/882913632.db2.gz RHCFMAQFFYGWEY-UHFFFAOYSA-N 1 2 314.732 1.905 20 30 DDEDLO Cc1cc(C[NH+]2CCC(NC(=O)C#CC(C)C)CC2)nn1C ZINC001227243640 882926891 /nfs/dbraw/zinc/92/68/91/882926891.db2.gz AZIGABVIVFHTLJ-UHFFFAOYSA-N 1 2 302.422 1.469 20 30 DDEDLO Cn1ccc(C[NH+]2CCC(NC(=O)C#CC(C)(C)C)CC2)n1 ZINC001227280330 882949168 /nfs/dbraw/zinc/94/91/68/882949168.db2.gz BGJRZRCSELGDDO-UHFFFAOYSA-N 1 2 302.422 1.550 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(NC(=O)C#CC(C)(C)C)CC1 ZINC001227280350 882950313 /nfs/dbraw/zinc/95/03/13/882950313.db2.gz BTYPKBLEFXNHME-UHFFFAOYSA-N 1 2 302.422 1.550 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213389818 875918735 /nfs/dbraw/zinc/91/87/35/875918735.db2.gz IHEFBOJBQFWMPH-INMHGKMJSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001213389818 875918739 /nfs/dbraw/zinc/91/87/39/875918739.db2.gz IHEFBOJBQFWMPH-INMHGKMJSA-N 1 2 320.437 1.338 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001214283420 876257501 /nfs/dbraw/zinc/25/75/01/876257501.db2.gz ZDYBGPWJRZALFH-YRGRVCCFSA-N 1 2 322.409 1.296 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC[C@@H]1CCC ZINC001351605191 876344646 /nfs/dbraw/zinc/34/46/46/876344646.db2.gz RXLWLLUWTRCVBY-KKUMJFAQSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC[C@@H]1CCC ZINC001351605191 876344655 /nfs/dbraw/zinc/34/46/55/876344655.db2.gz RXLWLLUWTRCVBY-KKUMJFAQSA-N 1 2 319.453 1.971 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001214741454 876456033 /nfs/dbraw/zinc/45/60/33/876456033.db2.gz MCXRVDHVOSXVIN-CHWSQXEVSA-N 1 2 306.410 1.925 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)CCC(C)(C)C)[C@H]2C1 ZINC001218970588 877841676 /nfs/dbraw/zinc/84/16/76/877841676.db2.gz LEDDPFSQCQQGAJ-OWCLPIDISA-N 1 2 306.450 1.994 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)CCC(C)(C)C)[C@H]2C1 ZINC001218970588 877841691 /nfs/dbraw/zinc/84/16/91/877841691.db2.gz LEDDPFSQCQQGAJ-OWCLPIDISA-N 1 2 306.450 1.994 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219201290 878008107 /nfs/dbraw/zinc/00/81/07/878008107.db2.gz ZTPSNOMKUUZOQF-SJORKVTESA-N 1 2 318.392 1.529 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@@H]1O ZINC001219201290 878008124 /nfs/dbraw/zinc/00/81/24/878008124.db2.gz ZTPSNOMKUUZOQF-SJORKVTESA-N 1 2 318.392 1.529 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219209132 878017015 /nfs/dbraw/zinc/01/70/15/878017015.db2.gz XLLHJAVLFJMGLX-GDLCADMTSA-N 1 2 307.419 1.327 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219209132 878017034 /nfs/dbraw/zinc/01/70/34/878017034.db2.gz XLLHJAVLFJMGLX-GDLCADMTSA-N 1 2 307.419 1.327 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001354549821 878064704 /nfs/dbraw/zinc/06/47/04/878064704.db2.gz KWULCGNLMCLYKR-CYBMUJFWSA-N 1 2 314.389 1.925 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001354867735 878286451 /nfs/dbraw/zinc/28/64/51/878286451.db2.gz QCZSRIQVJHBQJD-AWEZNQCLSA-N 1 2 318.421 1.450 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCNC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001355607147 878644005 /nfs/dbraw/zinc/64/40/05/878644005.db2.gz QSOYCGPJQZQKIY-CQSZACIVSA-N 1 2 318.421 1.765 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1([NH2+]Cc2nnc(C3CC3)s2)CC1 ZINC001380067366 878707747 /nfs/dbraw/zinc/70/77/47/878707747.db2.gz LAXGZNLGOGJMMY-SECBINFHSA-N 1 2 305.407 1.314 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001355956893 878795290 /nfs/dbraw/zinc/79/52/90/878795290.db2.gz BBTBBUIYHPUAKR-VBQJREDUSA-N 1 2 317.437 1.224 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC(=C)C ZINC001355956893 878795306 /nfs/dbraw/zinc/79/53/06/878795306.db2.gz BBTBBUIYHPUAKR-VBQJREDUSA-N 1 2 317.437 1.224 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220203939 878816383 /nfs/dbraw/zinc/81/63/83/878816383.db2.gz VNZOLNQMCZLFNN-CFVMTHIKSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220203939 878816395 /nfs/dbraw/zinc/81/63/95/878816395.db2.gz VNZOLNQMCZLFNN-CFVMTHIKSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001287710680 912410712 /nfs/dbraw/zinc/41/07/12/912410712.db2.gz RTDFAELGZODYMW-PXAZEXFGSA-N 1 2 320.437 1.826 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)CC2CCCC2)[C@@H](O)C1 ZINC001220469972 879045193 /nfs/dbraw/zinc/04/51/93/879045193.db2.gz OERWWVNCYLKSHM-UAGQMJEPSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)CC2CCCC2)[C@@H](O)C1 ZINC001220469972 879045204 /nfs/dbraw/zinc/04/52/04/879045204.db2.gz OERWWVNCYLKSHM-UAGQMJEPSA-N 1 2 322.449 1.014 20 30 DDEDLO C#CCCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@@H](C)CC)CC1 ZINC001356699864 879204525 /nfs/dbraw/zinc/20/45/25/879204525.db2.gz WFSGIHGMOZRNMZ-INIZCTEOSA-N 1 2 321.465 1.439 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001356737370 879258088 /nfs/dbraw/zinc/25/80/88/879258088.db2.gz GEARLCCYLWGCIR-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC[C@H](C(=O)N[C@@H]1C[N@@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221029665 879459617 /nfs/dbraw/zinc/45/96/17/879459617.db2.gz ZKRTYZKUKBGFSK-XHSDSOJGSA-N 1 2 306.381 1.477 20 30 DDEDLO C=CC[C@H](C(=O)N[C@@H]1C[N@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221029665 879459623 /nfs/dbraw/zinc/45/96/23/879459623.db2.gz ZKRTYZKUKBGFSK-XHSDSOJGSA-N 1 2 306.381 1.477 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1O)c1ccccc1 ZINC001221044912 879466292 /nfs/dbraw/zinc/46/62/92/879466292.db2.gz PRDJXUVNKBJAEN-IJQNJLKZSA-N 1 2 320.820 1.870 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1O)c1ccccc1 ZINC001221044912 879466311 /nfs/dbraw/zinc/46/63/11/879466311.db2.gz PRDJXUVNKBJAEN-IJQNJLKZSA-N 1 2 320.820 1.870 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2(C)CCC(C)CC2)[C@@H](O)C1 ZINC001221124838 879517810 /nfs/dbraw/zinc/51/78/10/879517810.db2.gz YQSXLECIWSPPDX-NDUQDZPOSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2(C)CCC(C)CC2)[C@@H](O)C1 ZINC001221124838 879517828 /nfs/dbraw/zinc/51/78/28/879517828.db2.gz YQSXLECIWSPPDX-NDUQDZPOSA-N 1 2 322.449 1.014 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NC(=O)Cc1[nH]cc[nH+]1 ZINC001356910463 879572495 /nfs/dbraw/zinc/57/24/95/879572495.db2.gz ZGIDUYFSMJUWMH-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](O)(CNC(=O)c2oc(C)nc2C)C1 ZINC001380527716 879868124 /nfs/dbraw/zinc/86/81/24/879868124.db2.gz UECQCMCZVTVWIN-CQSZACIVSA-N 1 2 313.785 1.211 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](O)(CNC(=O)c2oc(C)nc2C)C1 ZINC001380527716 879868135 /nfs/dbraw/zinc/86/81/35/879868135.db2.gz UECQCMCZVTVWIN-CQSZACIVSA-N 1 2 313.785 1.211 20 30 DDEDLO C#CCC1(C(=O)NC[C@@H](C)NC(=O)CCn2cc[nH+]c2)CCC1 ZINC001358284745 880391116 /nfs/dbraw/zinc/39/11/16/880391116.db2.gz DZYQJERPEDBDMY-CQSZACIVSA-N 1 2 316.405 1.088 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001358528197 880492635 /nfs/dbraw/zinc/49/26/35/880492635.db2.gz OOKXKTFRUDAXFK-OLZOCXBDSA-N 1 2 306.410 1.186 20 30 DDEDLO C[C@H]([NH2+][C@@H](C)c1nc(N)nc(N(C)C)n1)c1ccc(C#N)cc1 ZINC001413992589 880517810 /nfs/dbraw/zinc/51/78/10/880517810.db2.gz XKMVUDBWZTYOAK-QWRGUYRKSA-N 1 2 311.393 1.803 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nncn3C)[C@H]2C1 ZINC001222780739 880696495 /nfs/dbraw/zinc/69/64/95/880696495.db2.gz BIYPFTLOPPAJED-CABCVRRESA-N 1 2 317.437 1.594 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[N@H+](Cc3nncn3C)[C@H]2C1 ZINC001222780739 880696503 /nfs/dbraw/zinc/69/65/03/880696503.db2.gz BIYPFTLOPPAJED-CABCVRRESA-N 1 2 317.437 1.594 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[N@H+](Cc3nnc(C)[nH]3)[C@H]2C1 ZINC001222789167 880698922 /nfs/dbraw/zinc/69/89/22/880698922.db2.gz FYIPBIJHFSSALR-CABCVRRESA-N 1 2 317.437 1.892 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nnc(C)[nH]3)[C@H]2C1 ZINC001222789167 880698928 /nfs/dbraw/zinc/69/89/28/880698928.db2.gz FYIPBIJHFSSALR-CABCVRRESA-N 1 2 317.437 1.892 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCC[N@H+](C)Cc1nc(CC2CC2)no1 ZINC001380950413 880727489 /nfs/dbraw/zinc/72/74/89/880727489.db2.gz TXLMHVGHOYRQJN-LBPRGKRZSA-N 1 2 319.409 1.462 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CCC[N@@H+](C)Cc1nc(CC2CC2)no1 ZINC001380950413 880727496 /nfs/dbraw/zinc/72/74/96/880727496.db2.gz TXLMHVGHOYRQJN-LBPRGKRZSA-N 1 2 319.409 1.462 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001276860454 880803395 /nfs/dbraw/zinc/80/33/95/880803395.db2.gz JJIFVZXOENSPBP-CABCVRRESA-N 1 2 321.465 1.789 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001276860454 880803413 /nfs/dbraw/zinc/80/34/13/880803413.db2.gz JJIFVZXOENSPBP-CABCVRRESA-N 1 2 321.465 1.789 20 30 DDEDLO Cc1noc(C[NH+]2CCC(CNC(=O)C#CC3CC3)CC2)n1 ZINC001223130502 880842574 /nfs/dbraw/zinc/84/25/74/880842574.db2.gz NTEOKVCTLWMJSS-UHFFFAOYSA-N 1 2 302.378 1.120 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](C)N(C(=O)CCn2cc[nH+]c2)C1 ZINC001287943474 912613831 /nfs/dbraw/zinc/61/38/31/912613831.db2.gz PWGSIJORTFPLDB-CABCVRRESA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)[nH]c1C ZINC001276930527 881143214 /nfs/dbraw/zinc/14/32/14/881143214.db2.gz HEJONGVQSPDHDH-UONOGXRCSA-N 1 2 318.421 1.126 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)[nH]c1C ZINC001276930527 881143224 /nfs/dbraw/zinc/14/32/24/881143224.db2.gz HEJONGVQSPDHDH-UONOGXRCSA-N 1 2 318.421 1.126 20 30 DDEDLO COCCOC[N@@H+]1C[C@H]2C[C@@H](C1)N(c1ccc(C#N)cc1)C2 ZINC001276960572 881307479 /nfs/dbraw/zinc/30/74/79/881307479.db2.gz MMXKCOQWAMTKBY-WBVHZDCISA-N 1 2 301.390 1.689 20 30 DDEDLO COCCOC[N@H+]1C[C@H]2C[C@@H](C1)N(c1ccc(C#N)cc1)C2 ZINC001276960572 881307492 /nfs/dbraw/zinc/30/74/92/881307492.db2.gz MMXKCOQWAMTKBY-WBVHZDCISA-N 1 2 301.390 1.689 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1CC[NH+](CCS(C)(=O)=O)CC1 ZINC001228747414 883650731 /nfs/dbraw/zinc/65/07/31/883650731.db2.gz AMDXOVYWJJFNFZ-QWHCGFSZSA-N 1 2 316.467 1.070 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CS(=O)(=O)CC ZINC001277369071 883890943 /nfs/dbraw/zinc/89/09/43/883890943.db2.gz RCIWTIZRGOCWLN-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CS(=O)(=O)CC ZINC001277369071 883890956 /nfs/dbraw/zinc/89/09/56/883890956.db2.gz RCIWTIZRGOCWLN-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](Oc2nnccc2C#N)C1 ZINC001229605428 884068769 /nfs/dbraw/zinc/06/87/69/884068769.db2.gz AIHFAOXYBDSVFP-INIZCTEOSA-N 1 2 305.341 1.873 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](Oc2nnccc2C#N)C1 ZINC001229605428 884068788 /nfs/dbraw/zinc/06/87/88/884068788.db2.gz AIHFAOXYBDSVFP-INIZCTEOSA-N 1 2 305.341 1.873 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001230415681 884482510 /nfs/dbraw/zinc/48/25/10/884482510.db2.gz WMYWHRZBAHQRMQ-KBPBESRZSA-N 1 2 318.421 1.709 20 30 DDEDLO CC(=O)CCCC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230507359 884526542 /nfs/dbraw/zinc/52/65/42/884526542.db2.gz DSEYKEVTNKYRQN-INIZCTEOSA-N 1 2 305.422 1.972 20 30 DDEDLO CC(=O)CCCC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230507359 884526545 /nfs/dbraw/zinc/52/65/45/884526545.db2.gz DSEYKEVTNKYRQN-INIZCTEOSA-N 1 2 305.422 1.972 20 30 DDEDLO N#Cc1cc(CNC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)ccn1 ZINC001362766272 884587807 /nfs/dbraw/zinc/58/78/07/884587807.db2.gz MVVSNRKDLAHQOH-INIZCTEOSA-N 1 2 314.389 1.213 20 30 DDEDLO N#Cc1cc(CNC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)ccn1 ZINC001362766272 884587812 /nfs/dbraw/zinc/58/78/12/884587812.db2.gz MVVSNRKDLAHQOH-INIZCTEOSA-N 1 2 314.389 1.213 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C(C)(C)F)C1=O ZINC001230609208 884649488 /nfs/dbraw/zinc/64/94/88/884649488.db2.gz YHVIHSWDXIKPSX-OLZOCXBDSA-N 1 2 311.401 1.054 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C(C)(C)F)C1=O ZINC001230609208 884649484 /nfs/dbraw/zinc/64/94/84/884649484.db2.gz YHVIHSWDXIKPSX-OLZOCXBDSA-N 1 2 311.401 1.054 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1CCCCC1 ZINC001230637582 884679335 /nfs/dbraw/zinc/67/93/35/884679335.db2.gz IFQCYMCMQMSJHY-HOCLYGCPSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1CCCCC1 ZINC001230637582 884679343 /nfs/dbraw/zinc/67/93/43/884679343.db2.gz IFQCYMCMQMSJHY-HOCLYGCPSA-N 1 2 321.465 1.790 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001230939230 885065245 /nfs/dbraw/zinc/06/52/45/885065245.db2.gz SKTDDUKDVBKSQR-VXGBXAGGSA-N 1 2 307.419 1.687 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001230939230 885065256 /nfs/dbraw/zinc/06/52/56/885065256.db2.gz SKTDDUKDVBKSQR-VXGBXAGGSA-N 1 2 307.419 1.687 20 30 DDEDLO CC(C)OCC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231054681 885168437 /nfs/dbraw/zinc/16/84/37/885168437.db2.gz XFVYPIWGUKKBIX-GOSISDBHSA-N 1 2 314.429 1.996 20 30 DDEDLO CC(C)OCC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231054681 885168440 /nfs/dbraw/zinc/16/84/40/885168440.db2.gz XFVYPIWGUKKBIX-GOSISDBHSA-N 1 2 314.429 1.996 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CCN1Cc1c[nH+]cn1C ZINC001231094844 885215542 /nfs/dbraw/zinc/21/55/42/885215542.db2.gz KBLXQVJJELKKNF-HUUCEWRRSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)CC[C@H]1C ZINC001288473106 912919423 /nfs/dbraw/zinc/91/94/23/912919423.db2.gz WQDHPVTXFRVVSR-ZIAGYGMSSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(OC)ccn1 ZINC001231225118 885396990 /nfs/dbraw/zinc/39/69/90/885396990.db2.gz AGBPCENESCRSNR-OAHLLOKOSA-N 1 2 319.405 1.326 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(OC)ccn1 ZINC001231225118 885397001 /nfs/dbraw/zinc/39/70/01/885397001.db2.gz AGBPCENESCRSNR-OAHLLOKOSA-N 1 2 319.405 1.326 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001231231902 885408143 /nfs/dbraw/zinc/40/81/43/885408143.db2.gz AGPGMNHHHPOAQW-ZDUSSCGKSA-N 1 2 319.430 1.855 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001231231902 885408151 /nfs/dbraw/zinc/40/81/51/885408151.db2.gz AGPGMNHHHPOAQW-ZDUSSCGKSA-N 1 2 319.430 1.855 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231294128 885476639 /nfs/dbraw/zinc/47/66/39/885476639.db2.gz VASSPBMIAVXPSE-DGCLKSJQSA-N 1 2 304.394 1.738 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231294128 885476648 /nfs/dbraw/zinc/47/66/48/885476648.db2.gz VASSPBMIAVXPSE-DGCLKSJQSA-N 1 2 304.394 1.738 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@H]2Cc3ccccc32)C1 ZINC001277651406 886266772 /nfs/dbraw/zinc/26/67/72/886266772.db2.gz CQVPHYYYXNIRPO-KRWDZBQOSA-N 1 2 300.402 1.672 20 30 DDEDLO COc1cc(C)c(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)cn1 ZINC001232352699 886274845 /nfs/dbraw/zinc/27/48/45/886274845.db2.gz RUSVEIWHPSRCIL-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO C=CCCC[N@H+]1CCc2[nH]nc(C(=O)N(C)CCOC)c2C1 ZINC001277759886 886781508 /nfs/dbraw/zinc/78/15/08/886781508.db2.gz LMJHHXBMMOXKQW-UHFFFAOYSA-N 1 2 306.410 1.452 20 30 DDEDLO C=CCCC[N@@H+]1CCc2[nH]nc(C(=O)N(C)CCOC)c2C1 ZINC001277759886 886781496 /nfs/dbraw/zinc/78/14/96/886781496.db2.gz LMJHHXBMMOXKQW-UHFFFAOYSA-N 1 2 306.410 1.452 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[NH+]2CCC(c3ncccn3)CC2)cc1 ZINC001363832443 887341740 /nfs/dbraw/zinc/34/17/40/887341740.db2.gz GRGHJFRRWZMRBC-MRXNPFEDSA-N 1 2 321.384 1.754 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NC(C)(C)C ZINC001233948308 887489602 /nfs/dbraw/zinc/48/96/02/887489602.db2.gz UWUIIDMZLPEOGE-HUUCEWRRSA-N 1 2 321.465 1.626 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NC(C)(C)C ZINC001233948308 887489611 /nfs/dbraw/zinc/48/96/11/887489611.db2.gz UWUIIDMZLPEOGE-HUUCEWRRSA-N 1 2 321.465 1.626 20 30 DDEDLO N#Cc1cc(Br)ccc1C[N@H+]1C[C@H]2C[C@@]2(C(N)=O)C1 ZINC001277828078 887600340 /nfs/dbraw/zinc/60/03/40/887600340.db2.gz IJUWAXUFVNQVBW-BXUZGUMPSA-N 1 2 320.190 1.628 20 30 DDEDLO N#Cc1cc(Br)ccc1C[N@@H+]1C[C@H]2C[C@@]2(C(N)=O)C1 ZINC001277828078 887600350 /nfs/dbraw/zinc/60/03/50/887600350.db2.gz IJUWAXUFVNQVBW-BXUZGUMPSA-N 1 2 320.190 1.628 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NC(C)(C)C ZINC001234132960 887675209 /nfs/dbraw/zinc/67/52/09/887675209.db2.gz YHJHVPUXJUMNQL-GJZGRUSLSA-N 1 2 321.465 1.482 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NC(C)(C)C ZINC001234132960 887675212 /nfs/dbraw/zinc/67/52/12/887675212.db2.gz YHJHVPUXJUMNQL-GJZGRUSLSA-N 1 2 321.465 1.482 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178917 887717841 /nfs/dbraw/zinc/71/78/41/887717841.db2.gz QDOFKTZRFKQDPP-INIZCTEOSA-N 1 2 300.406 1.175 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178917 887717853 /nfs/dbraw/zinc/71/78/53/887717853.db2.gz QDOFKTZRFKQDPP-INIZCTEOSA-N 1 2 300.406 1.175 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234196326 887737566 /nfs/dbraw/zinc/73/75/66/887737566.db2.gz RIADSVMCYMPJKT-HNNXBMFYSA-N 1 2 321.465 1.437 20 30 DDEDLO CCN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234196326 887737579 /nfs/dbraw/zinc/73/75/79/887737579.db2.gz RIADSVMCYMPJKT-HNNXBMFYSA-N 1 2 321.465 1.437 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196291 887739504 /nfs/dbraw/zinc/73/95/04/887739504.db2.gz QZTTZJFWIUUOAM-GDBMZVCRSA-N 1 2 319.449 1.189 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196291 887739510 /nfs/dbraw/zinc/73/95/10/887739510.db2.gz QZTTZJFWIUUOAM-GDBMZVCRSA-N 1 2 319.449 1.189 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234215915 887755133 /nfs/dbraw/zinc/75/51/33/887755133.db2.gz QYQUXWCLNKTFOZ-GJZGRUSLSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234215915 887755146 /nfs/dbraw/zinc/75/51/46/887755146.db2.gz QYQUXWCLNKTFOZ-GJZGRUSLSA-N 1 2 319.449 1.259 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001234216098 887756567 /nfs/dbraw/zinc/75/65/67/887756567.db2.gz SKZARRAPWUWNKL-UONOGXRCSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001234216098 887756583 /nfs/dbraw/zinc/75/65/83/887756583.db2.gz SKZARRAPWUWNKL-UONOGXRCSA-N 1 2 305.378 1.054 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@H]1CCNC1=O ZINC001234517025 888047873 /nfs/dbraw/zinc/04/78/73/888047873.db2.gz ICZIOHAGKARSNH-CABCVRRESA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@H]1CCNC1=O ZINC001234517025 888047888 /nfs/dbraw/zinc/04/78/88/888047888.db2.gz ICZIOHAGKARSNH-CABCVRRESA-N 1 2 305.422 1.176 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC1CC1 ZINC001234538081 888070954 /nfs/dbraw/zinc/07/09/54/888070954.db2.gz VADABTFZYWXEOP-CQSZACIVSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC1CC1 ZINC001234538081 888070967 /nfs/dbraw/zinc/07/09/67/888070967.db2.gz VADABTFZYWXEOP-CQSZACIVSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CC ZINC001234538246 888071325 /nfs/dbraw/zinc/07/13/25/888071325.db2.gz WXMOMZRNARGORB-HNNXBMFYSA-N 1 2 323.481 1.990 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CC ZINC001234538246 888071341 /nfs/dbraw/zinc/07/13/41/888071341.db2.gz WXMOMZRNARGORB-HNNXBMFYSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@@H](C)CC ZINC001234603477 888134932 /nfs/dbraw/zinc/13/49/32/888134932.db2.gz CYVOCKLYXLRODQ-GJZGRUSLSA-N 1 2 309.454 1.790 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@@H](C)CC ZINC001234603477 888134935 /nfs/dbraw/zinc/13/49/35/888134935.db2.gz CYVOCKLYXLRODQ-GJZGRUSLSA-N 1 2 309.454 1.790 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1ncoc1C ZINC001235000298 888321448 /nfs/dbraw/zinc/32/14/48/888321448.db2.gz KWVMORCKEHNAKL-UHFFFAOYSA-N 1 2 316.199 1.943 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1ncoc1C ZINC001235000298 888321457 /nfs/dbraw/zinc/32/14/57/888321457.db2.gz KWVMORCKEHNAKL-UHFFFAOYSA-N 1 2 316.199 1.943 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)[C@@H](C)c1nncn1C ZINC001235230486 888493736 /nfs/dbraw/zinc/49/37/36/888493736.db2.gz UROTWFAJPOTZQU-KBPBESRZSA-N 1 2 323.441 1.295 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)[C@@H](C)c1nncn1C ZINC001235230486 888493742 /nfs/dbraw/zinc/49/37/42/888493742.db2.gz UROTWFAJPOTZQU-KBPBESRZSA-N 1 2 323.441 1.295 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1nccc(C)n1 ZINC001235246422 888501036 /nfs/dbraw/zinc/50/10/36/888501036.db2.gz QYABHUQWJQIKIA-OAHLLOKOSA-N 1 2 320.437 1.704 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1nccc(C)n1 ZINC001235246422 888501051 /nfs/dbraw/zinc/50/10/51/888501051.db2.gz QYABHUQWJQIKIA-OAHLLOKOSA-N 1 2 320.437 1.704 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@H](c3ncccn3)C2)c1 ZINC001364503152 888785834 /nfs/dbraw/zinc/78/58/34/888785834.db2.gz IUQROKWJSQUECK-AWEZNQCLSA-N 1 2 307.357 1.776 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CC[C@H](c3ncccn3)C2)c1 ZINC001364503152 888785843 /nfs/dbraw/zinc/78/58/43/888785843.db2.gz IUQROKWJSQUECK-AWEZNQCLSA-N 1 2 307.357 1.776 20 30 DDEDLO COC1(c2nccn2C)CC[NH+](C[C@@H](C#N)CCC#N)CC1 ZINC001364504050 888788877 /nfs/dbraw/zinc/78/88/77/888788877.db2.gz WSINWOZLYVQPIE-CQSZACIVSA-N 1 2 301.394 1.801 20 30 DDEDLO CC(C)(C#N)c1ccc(C(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)cc1 ZINC001364538718 888880660 /nfs/dbraw/zinc/88/06/60/888880660.db2.gz DXKACKBYIIRAST-HNNXBMFYSA-N 1 2 312.373 1.544 20 30 DDEDLO CC(C)(C#N)c1ccc(C(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)cc1 ZINC001364538718 888880671 /nfs/dbraw/zinc/88/06/71/888880671.db2.gz DXKACKBYIIRAST-HNNXBMFYSA-N 1 2 312.373 1.544 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1(C2CC2)CC1 ZINC001235700403 888899413 /nfs/dbraw/zinc/89/94/13/888899413.db2.gz KMJDLDZJUKMOAE-UKRRQHHQSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1(C2CC2)CC1 ZINC001235700403 888899428 /nfs/dbraw/zinc/89/94/28/888899428.db2.gz KMJDLDZJUKMOAE-UKRRQHHQSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@H]1C=CCCC1 ZINC001235777603 888939616 /nfs/dbraw/zinc/93/96/16/888939616.db2.gz LLWRNKYXAYLTBF-HOTGVXAUSA-N 1 2 319.449 1.568 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@H]1C=CCCC1 ZINC001235777603 888939633 /nfs/dbraw/zinc/93/96/33/888939633.db2.gz LLWRNKYXAYLTBF-HOTGVXAUSA-N 1 2 319.449 1.568 20 30 DDEDLO N#Cc1cc(CS(=O)(=O)N2CCc3c[nH+]ccc32)cs1 ZINC001364815341 889472747 /nfs/dbraw/zinc/47/27/47/889472747.db2.gz ZDJHCQQDCMNGMQ-UHFFFAOYSA-N 1 2 305.384 1.907 20 30 DDEDLO COc1cc(C[NH2+]Cc2ccc(S(N)(=O)=O)o2)ccc1C#N ZINC001364889803 889615503 /nfs/dbraw/zinc/61/55/03/889615503.db2.gz FAGSCSZPKAIMTA-UHFFFAOYSA-N 1 2 321.358 1.097 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)Cc1cccc(C#N)c1 ZINC001364965200 889773071 /nfs/dbraw/zinc/77/30/71/889773071.db2.gz WZCLRTXTEWDKEA-GASCZTMLSA-N 1 2 305.403 1.166 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)Cc1cccc(C#N)c1 ZINC001364965200 889773072 /nfs/dbraw/zinc/77/30/72/889773072.db2.gz WZCLRTXTEWDKEA-GASCZTMLSA-N 1 2 305.403 1.166 20 30 DDEDLO C#CCN(C(=O)c1cccc2nn[nH]c21)C1CC[NH+](CC#C)CC1 ZINC001278157587 889915124 /nfs/dbraw/zinc/91/51/24/889915124.db2.gz PCZGYZHRGIIOCW-UHFFFAOYSA-N 1 2 321.384 1.131 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2CCN(C(=O)C#CC(C)C)[C@@H]2C)o1 ZINC001278251963 890277762 /nfs/dbraw/zinc/27/77/62/890277762.db2.gz SDPMXZHDUJWZCY-OLZOCXBDSA-N 1 2 304.394 1.370 20 30 DDEDLO CCCCCCC[C@H](C)NC(=O)N1CC[NH2+]C[C@H]1C(=O)OC ZINC001239273660 890581908 /nfs/dbraw/zinc/58/19/08/890581908.db2.gz HZOFZDUBSSGQCZ-KBPBESRZSA-N 1 2 313.442 1.892 20 30 DDEDLO N#CC1(NC(=O)[C@H]2CC23C[NH+](Cc2cccc(F)n2)C3)CCC1 ZINC001278299063 890673820 /nfs/dbraw/zinc/67/38/20/890673820.db2.gz MFFFRYGHFVYUHE-CYBMUJFWSA-N 1 2 314.364 1.605 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@]1(C)CC[N@H+](Cc2cncc(F)c2)C1 ZINC001278389134 891922426 /nfs/dbraw/zinc/92/24/26/891922426.db2.gz QCQMLJMZRYOSPR-SUMWQHHRSA-N 1 2 319.380 1.340 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@]1(C)CC[N@@H+](Cc2cncc(F)c2)C1 ZINC001278389134 891922434 /nfs/dbraw/zinc/92/24/34/891922434.db2.gz QCQMLJMZRYOSPR-SUMWQHHRSA-N 1 2 319.380 1.340 20 30 DDEDLO CC[C@H](OC)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365981614 892120616 /nfs/dbraw/zinc/12/06/16/892120616.db2.gz YWJKMLDKBFQKHJ-HOCLYGCPSA-N 1 2 319.380 1.813 20 30 DDEDLO CC[C@H](OC)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365981614 892120624 /nfs/dbraw/zinc/12/06/24/892120624.db2.gz YWJKMLDKBFQKHJ-HOCLYGCPSA-N 1 2 319.380 1.813 20 30 DDEDLO N#Cc1ccn2ncc(C[NH+]3CCN(c4cccnc4)CC3)c2c1 ZINC001249081928 893808551 /nfs/dbraw/zinc/80/85/51/893808551.db2.gz AVUCRLXUOJWBLQ-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@H+](CCS(C)(=O)=O)[C@@H]1C ZINC001278521222 894058202 /nfs/dbraw/zinc/05/82/02/894058202.db2.gz MMWSOTIHUARVES-ZIAGYGMSSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@@H+](CCS(C)(=O)=O)[C@@H]1C ZINC001278521222 894058214 /nfs/dbraw/zinc/05/82/14/894058214.db2.gz MMWSOTIHUARVES-ZIAGYGMSSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCC[N@H+](CCS(C)(=O)=O)[C@H]1C ZINC001278521217 894058540 /nfs/dbraw/zinc/05/85/40/894058540.db2.gz MMWSOTIHUARVES-KBPBESRZSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCC[N@@H+](CCS(C)(=O)=O)[C@H]1C ZINC001278521217 894058561 /nfs/dbraw/zinc/05/85/61/894058561.db2.gz MMWSOTIHUARVES-KBPBESRZSA-N 1 2 316.467 1.356 20 30 DDEDLO CC1(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)CCN(CC#N)CC1 ZINC001278616351 894508461 /nfs/dbraw/zinc/50/84/61/894508461.db2.gz XUMYKDVUHMZGJV-CQSZACIVSA-N 1 2 315.421 1.187 20 30 DDEDLO C=CCOC[C@H](O)CN1CC[N@@H+]2CCc3ccccc3[C@H]2C1 ZINC001252470544 895183511 /nfs/dbraw/zinc/18/35/11/895183511.db2.gz LVFIQUFNQUSGGH-SJLPKXTDSA-N 1 2 302.418 1.465 20 30 DDEDLO C=CCOC[C@H](O)CN1CC[N@H+]2CCc3ccccc3[C@H]2C1 ZINC001252470544 895183522 /nfs/dbraw/zinc/18/35/22/895183522.db2.gz LVFIQUFNQUSGGH-SJLPKXTDSA-N 1 2 302.418 1.465 20 30 DDEDLO C=CCOC[C@H](O)C[NH2+][C@H](C(=O)OC(C)(C)C)[C@@H](C)CC ZINC001252488549 895208870 /nfs/dbraw/zinc/20/88/70/895208870.db2.gz PLNDGQHSXLJYAZ-MJBXVCDLSA-N 1 2 301.427 1.896 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001367071323 895750350 /nfs/dbraw/zinc/75/03/50/895750350.db2.gz MFJIURINZJEDMZ-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001367071323 895750362 /nfs/dbraw/zinc/75/03/62/895750362.db2.gz MFJIURINZJEDMZ-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@]1(O)CC[N@H+](CC=C(Cl)Cl)C1 ZINC001278777289 896366726 /nfs/dbraw/zinc/36/67/26/896366726.db2.gz XASUTNHVGCASBX-AWEZNQCLSA-N 1 2 319.232 1.518 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@]1(O)CC[N@@H+](CC=C(Cl)Cl)C1 ZINC001278777289 896366740 /nfs/dbraw/zinc/36/67/40/896366740.db2.gz XASUTNHVGCASBX-AWEZNQCLSA-N 1 2 319.232 1.518 20 30 DDEDLO N#Cc1ccccc1OC1CC[NH+]([C@H]2CCS(=O)(=O)C2)CC1 ZINC001254342782 896378819 /nfs/dbraw/zinc/37/88/19/896378819.db2.gz WVINGIURLVESRJ-AWEZNQCLSA-N 1 2 320.414 1.589 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1[C@H]1CCSC1 ZINC001255285097 896828705 /nfs/dbraw/zinc/82/87/05/896828705.db2.gz LROQCTBJCDJZGH-GXTWGEPZSA-N 1 2 308.451 1.905 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1[C@H]1CCSC1 ZINC001255285097 896828722 /nfs/dbraw/zinc/82/87/22/896828722.db2.gz LROQCTBJCDJZGH-GXTWGEPZSA-N 1 2 308.451 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H]1CCn2cc(C)nc2C1 ZINC001367519307 897018581 /nfs/dbraw/zinc/01/85/81/897018581.db2.gz AEKXVFAEBQNDPB-AWEZNQCLSA-N 1 2 324.856 1.897 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H]1CCn2cc(C)nc2C1 ZINC001367519307 897018594 /nfs/dbraw/zinc/01/85/94/897018594.db2.gz AEKXVFAEBQNDPB-AWEZNQCLSA-N 1 2 324.856 1.897 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001278884093 897108254 /nfs/dbraw/zinc/10/82/54/897108254.db2.gz YYMRJMXMOTXYEB-QGZVFWFLSA-N 1 2 314.429 1.846 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CCc2ccc(C)cc2C)C1 ZINC001278892031 897136672 /nfs/dbraw/zinc/13/66/72/897136672.db2.gz VVNISCRFYYOHCK-UHFFFAOYSA-N 1 2 316.445 1.975 20 30 DDEDLO CCCC[NH+]1CCN(S(=O)(=O)c2cccc(C#N)c2)CC1 ZINC001259121215 898478774 /nfs/dbraw/zinc/47/87/74/898478774.db2.gz IEQDMVRGHGDXGF-UHFFFAOYSA-N 1 2 307.419 1.665 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)CCC(=O)NCC ZINC001390105512 898692705 /nfs/dbraw/zinc/69/27/05/898692705.db2.gz INFKFHRTJHQYNU-CYBMUJFWSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)CCC(=O)NCC ZINC001390105512 898692721 /nfs/dbraw/zinc/69/27/21/898692721.db2.gz INFKFHRTJHQYNU-CYBMUJFWSA-N 1 2 315.845 1.626 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)N[C@@H](CC)C[NH+]2CCOCC2)C1 ZINC001262201657 900012264 /nfs/dbraw/zinc/01/22/64/900012264.db2.gz BSLUNBQCRYMDOL-LSDHHAIUSA-N 1 2 311.426 1.084 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H](CCC)NC(N)=O ZINC001391063437 900804960 /nfs/dbraw/zinc/80/49/60/900804960.db2.gz BVRGNILZUQZQHF-VXGBXAGGSA-N 1 2 316.833 1.157 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H](CCC)NC(N)=O ZINC001391063437 900804967 /nfs/dbraw/zinc/80/49/67/900804967.db2.gz BVRGNILZUQZQHF-VXGBXAGGSA-N 1 2 316.833 1.157 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[C@@H]([NH2+]Cc2nnn(C(C)(C)C)n2)C1 ZINC001264387214 901075528 /nfs/dbraw/zinc/07/55/28/901075528.db2.gz HGVCFMLRZSDNCU-BETUJISGSA-N 1 2 320.441 1.379 20 30 DDEDLO COc1nscc1C[N@H+](C)CCCNC(=O)C#CC1CC1 ZINC001264984826 901392770 /nfs/dbraw/zinc/39/27/70/901392770.db2.gz BUHDCGMANDSKPO-UHFFFAOYSA-N 1 2 307.419 1.503 20 30 DDEDLO COc1nscc1C[N@@H+](C)CCCNC(=O)C#CC1CC1 ZINC001264984826 901392781 /nfs/dbraw/zinc/39/27/81/901392781.db2.gz BUHDCGMANDSKPO-UHFFFAOYSA-N 1 2 307.419 1.503 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283791 901821039 /nfs/dbraw/zinc/82/10/39/901821039.db2.gz JAZBQIBDMXEMDH-HUUCEWRRSA-N 1 2 321.465 1.531 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283791 901821045 /nfs/dbraw/zinc/82/10/45/901821045.db2.gz JAZBQIBDMXEMDH-HUUCEWRRSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]([NH2+][C@@H](C)c1noc(C)n1)C1CC1 ZINC001265352774 901916400 /nfs/dbraw/zinc/91/64/00/901916400.db2.gz XVBZYVQOJMZJSB-SMDDNHRTSA-N 1 2 304.394 1.727 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@@H+](Cc2cn(CC)nn2)C1 ZINC001265582176 902159952 /nfs/dbraw/zinc/15/99/52/902159952.db2.gz MYVPVSLANZDDEC-OAHLLOKOSA-N 1 2 317.437 1.430 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@H+](Cc2cn(CC)nn2)C1 ZINC001265582176 902159959 /nfs/dbraw/zinc/15/99/59/902159959.db2.gz MYVPVSLANZDDEC-OAHLLOKOSA-N 1 2 317.437 1.430 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](CCCNC(=O)[C@H](C)C#N)C2CC2)[nH]1 ZINC001266126388 902988593 /nfs/dbraw/zinc/98/85/93/902988593.db2.gz WKXHYTFXAIMDMK-MNOVXSKESA-N 1 2 304.398 1.305 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](CCCNC(=O)[C@H](C)C#N)C2CC2)[nH]1 ZINC001266126388 902988605 /nfs/dbraw/zinc/98/86/05/902988605.db2.gz WKXHYTFXAIMDMK-MNOVXSKESA-N 1 2 304.398 1.305 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@H](CC)C(N)=O)CCC1 ZINC001316601131 903500062 /nfs/dbraw/zinc/50/00/62/903500062.db2.gz BDDFXYCTJHIGBC-UONOGXRCSA-N 1 2 307.438 1.529 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@H](CC)C(N)=O)CCC1 ZINC001316601131 903500066 /nfs/dbraw/zinc/50/00/66/903500066.db2.gz BDDFXYCTJHIGBC-UONOGXRCSA-N 1 2 307.438 1.529 20 30 DDEDLO C=CCCC(=O)NC[C@H]1COCC[N@@H+]1CCC[C@H]1CCOC1 ZINC001280398801 903634521 /nfs/dbraw/zinc/63/45/21/903634521.db2.gz BBUXGXJLJWKWAO-HOTGVXAUSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCCC(=O)NC[C@H]1COCC[N@H+]1CCC[C@H]1CCOC1 ZINC001280398801 903634532 /nfs/dbraw/zinc/63/45/32/903634532.db2.gz BBUXGXJLJWKWAO-HOTGVXAUSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)C1(CCOC)CCC1 ZINC001280421862 903659752 /nfs/dbraw/zinc/65/97/52/903659752.db2.gz RSBBPUGSGUDPGG-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)C1(CCOC)CCC1 ZINC001280421862 903659762 /nfs/dbraw/zinc/65/97/62/903659762.db2.gz RSBBPUGSGUDPGG-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H](C)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001280487785 903731444 /nfs/dbraw/zinc/73/14/44/903731444.db2.gz UQPISEHDGXZGDR-UONOGXRCSA-N 1 2 318.421 1.355 20 30 DDEDLO C#CCCCC(=O)N(C)[C@H](C)CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001280487785 903731454 /nfs/dbraw/zinc/73/14/54/903731454.db2.gz UQPISEHDGXZGDR-UONOGXRCSA-N 1 2 318.421 1.355 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCC[C@@H]2CNC(=O)[C@H](C)C#N)nn1 ZINC001370842127 903830008 /nfs/dbraw/zinc/83/00/08/903830008.db2.gz HUMBGMNDTJEPGV-TZMCWYRMSA-N 1 2 317.393 1.116 20 30 DDEDLO COc1ccc(C[N@H+]2CCCC[C@@H]2CNC(=O)[C@H](C)C#N)nn1 ZINC001370842127 903830013 /nfs/dbraw/zinc/83/00/13/903830013.db2.gz HUMBGMNDTJEPGV-TZMCWYRMSA-N 1 2 317.393 1.116 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCC2(C[NH+](CCOC)C2)O1 ZINC001280918170 904187593 /nfs/dbraw/zinc/18/75/93/904187593.db2.gz GISALUUGLVCQLE-OAHLLOKOSA-N 1 2 308.422 1.176 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](C)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001280983236 904272847 /nfs/dbraw/zinc/27/28/47/904272847.db2.gz GOOLQGCMFALGDZ-UONOGXRCSA-N 1 2 318.421 1.375 20 30 DDEDLO C#CCCCCC(=O)N[C@H](C)CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001281032050 904336194 /nfs/dbraw/zinc/33/61/94/904336194.db2.gz KQWIZKYBRQEDEN-CYBMUJFWSA-N 1 2 318.421 1.417 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@@H]1N(C(=O)Cc1c[nH+]c[nH]1)CC2 ZINC001281185194 904542565 /nfs/dbraw/zinc/54/25/65/904542565.db2.gz QSCLJHRZTNOMTN-YOEHRIQHSA-N 1 2 316.405 1.558 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001281495669 904937536 /nfs/dbraw/zinc/93/75/36/904937536.db2.gz VIUBGVHMQBXEDL-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(OCCOC)c1 ZINC001316611582 904954296 /nfs/dbraw/zinc/95/42/96/904954296.db2.gz UXSLWHOUKBFQKB-INIZCTEOSA-N 1 2 316.401 1.491 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(OCCOC)c1 ZINC001316611582 904954301 /nfs/dbraw/zinc/95/43/01/904954301.db2.gz UXSLWHOUKBFQKB-INIZCTEOSA-N 1 2 316.401 1.491 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2cc(F)c(C)cc2F)C1 ZINC001282524493 905848300 /nfs/dbraw/zinc/84/83/00/905848300.db2.gz ZGEUOZGRJLBNHU-UHFFFAOYSA-N 1 2 322.355 1.463 20 30 DDEDLO COc1nscc1C[N@@H+]1CCC[C@H]1CNC(=O)[C@H](C)C#N ZINC001377390141 921203703 /nfs/dbraw/zinc/20/37/03/921203703.db2.gz VOFDGVZGANQHMT-PWSUYJOCSA-N 1 2 308.407 1.392 20 30 DDEDLO COc1nscc1C[N@H+]1CCC[C@H]1CNC(=O)[C@H](C)C#N ZINC001377390141 921203710 /nfs/dbraw/zinc/20/37/10/921203710.db2.gz VOFDGVZGANQHMT-PWSUYJOCSA-N 1 2 308.407 1.392 20 30 DDEDLO C=CC1(CC(=O)N[C@H]2C[N@@H+](CC(N)=O)CC2(C)C)CCCCC1 ZINC001282834840 906085106 /nfs/dbraw/zinc/08/51/06/906085106.db2.gz YTUYFRPYAKZPGO-AWEZNQCLSA-N 1 2 321.465 1.825 20 30 DDEDLO C=CC1(CC(=O)N[C@H]2C[N@H+](CC(N)=O)CC2(C)C)CCCCC1 ZINC001282834840 906085121 /nfs/dbraw/zinc/08/51/21/906085121.db2.gz YTUYFRPYAKZPGO-AWEZNQCLSA-N 1 2 321.465 1.825 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]1(C)CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001393107883 906216419 /nfs/dbraw/zinc/21/64/19/906216419.db2.gz SXDJJOJEGDJUKS-SJKOYZFVSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]1(C)CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001393107883 906216435 /nfs/dbraw/zinc/21/64/35/906216435.db2.gz SXDJJOJEGDJUKS-SJKOYZFVSA-N 1 2 314.364 1.938 20 30 DDEDLO C#CCCCC(=O)NCCNC(=O)c1c(C)cc(C)[nH+]c1C ZINC001282987838 906408336 /nfs/dbraw/zinc/40/83/36/906408336.db2.gz CSSNFDYVQUPTOX-UHFFFAOYSA-N 1 2 301.390 1.656 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001283354676 907201367 /nfs/dbraw/zinc/20/13/67/907201367.db2.gz CDLYIFXIGRLGAB-CYBMUJFWSA-N 1 2 304.394 1.334 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001283846251 908054925 /nfs/dbraw/zinc/05/49/25/908054925.db2.gz AAYXGOJJNIUQSC-KBPBESRZSA-N 1 2 304.394 1.518 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001283865308 908096080 /nfs/dbraw/zinc/09/60/80/908096080.db2.gz XLRSLXGVUQVNES-LSDHHAIUSA-N 1 2 318.421 1.908 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]([NH2+]Cc2nc(N(C)C)no2)C[C@H]1C ZINC001283969351 908247248 /nfs/dbraw/zinc/24/72/48/908247248.db2.gz NWVPLWWPBPVHRF-OLZOCXBDSA-N 1 2 319.409 1.018 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(C#N)[nH]1)[C@@H](C)[NH2+]Cc1csnn1 ZINC001393920092 908248912 /nfs/dbraw/zinc/24/89/12/908248912.db2.gz FNZUMTJOYHSOCB-RKDXNWHRSA-N 1 2 304.379 1.034 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001284000358 908287153 /nfs/dbraw/zinc/28/71/53/908287153.db2.gz CEYGXBZIFVNNRS-KGLIPLIRSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CCC)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001373092430 908941905 /nfs/dbraw/zinc/94/19/05/908941905.db2.gz XZXBRMQPGCEVHM-LBPRGKRZSA-N 1 2 324.812 1.861 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CCC)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001373092430 908941916 /nfs/dbraw/zinc/94/19/16/908941916.db2.gz XZXBRMQPGCEVHM-LBPRGKRZSA-N 1 2 324.812 1.861 20 30 DDEDLO C#CCCCC(=O)NCCN(C)C(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001284515617 909124760 /nfs/dbraw/zinc/12/47/60/909124760.db2.gz BIIPCYBQDUWJGO-UHFFFAOYSA-N 1 2 318.421 1.305 20 30 DDEDLO C=C(C)CCC(=O)N1CC(NC(=O)CCc2c[nH+]cn2C)C1 ZINC001284932388 909758856 /nfs/dbraw/zinc/75/88/56/909758856.db2.gz KDHBWFHAVHMKCK-UHFFFAOYSA-N 1 2 304.394 1.036 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](CC)CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001285072134 910033105 /nfs/dbraw/zinc/03/31/05/910033105.db2.gz WLMFCJJFZITJHZ-BFHYXJOUSA-N 1 2 318.421 1.881 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](CC)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001285072134 910033121 /nfs/dbraw/zinc/03/31/21/910033121.db2.gz WLMFCJJFZITJHZ-BFHYXJOUSA-N 1 2 318.421 1.881 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](CC)NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001285096635 910063874 /nfs/dbraw/zinc/06/38/74/910063874.db2.gz ZIEFWKQEYRQNRT-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCCC(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCCC1 ZINC001285122268 910091969 /nfs/dbraw/zinc/09/19/69/910091969.db2.gz OXMQJVQZULCQNU-UHFFFAOYSA-N 1 2 318.421 1.785 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001285235808 910254661 /nfs/dbraw/zinc/25/46/61/910254661.db2.gz TVCDDGVUPUNQDN-CYBMUJFWSA-N 1 2 320.437 1.433 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@@H]1C[C@@H]1C)Cc1ccccc1C#N ZINC001394828436 910678801 /nfs/dbraw/zinc/67/88/01/910678801.db2.gz AETDLZRAXAXKCY-WMLDXEAASA-N 1 2 315.417 1.779 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@@H]1C[C@@H]1C)Cc1ccccc1C#N ZINC001394828436 910678808 /nfs/dbraw/zinc/67/88/08/910678808.db2.gz AETDLZRAXAXKCY-WMLDXEAASA-N 1 2 315.417 1.779 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](C)NC(=O)CCn2cc[nH+]c2)CCC1 ZINC001285757845 911158443 /nfs/dbraw/zinc/15/84/43/911158443.db2.gz STWFPXSBBUHZSH-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001285765492 911172442 /nfs/dbraw/zinc/17/24/42/911172442.db2.gz ISUPGJOLPOYOKW-SUMWQHHRSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001285871953 911340435 /nfs/dbraw/zinc/34/04/35/911340435.db2.gz HDICTOWHDJZSPJ-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001285871953 911340452 /nfs/dbraw/zinc/34/04/52/911340452.db2.gz HDICTOWHDJZSPJ-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO CCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)N(CC)CCC#N)C1 ZINC001376026139 917503898 /nfs/dbraw/zinc/50/38/98/917503898.db2.gz AZZMJZXHZVJMLG-OAHLLOKOSA-N 1 2 322.453 1.519 20 30 DDEDLO CCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N(CC)CCC#N)C1 ZINC001376026139 917503912 /nfs/dbraw/zinc/50/39/12/917503912.db2.gz AZZMJZXHZVJMLG-OAHLLOKOSA-N 1 2 322.453 1.519 20 30 DDEDLO CCCN(C(=O)[C@H](C)C#N)C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001376176163 917879371 /nfs/dbraw/zinc/87/93/71/917879371.db2.gz BGDZMYQYDLWCHQ-CQSZACIVSA-N 1 2 317.437 1.783 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H]1CC12CC(OCC)C2 ZINC001377220380 920676085 /nfs/dbraw/zinc/67/60/85/920676085.db2.gz BAJRXVNYGCZKPX-OWYJLGKBSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H]1CC12CC(OCC)C2 ZINC001377220380 920676097 /nfs/dbraw/zinc/67/60/97/920676097.db2.gz BAJRXVNYGCZKPX-OWYJLGKBSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)c2nccc(C)n2)CC1 ZINC001377548526 922298397 /nfs/dbraw/zinc/29/83/97/922298397.db2.gz OFCZVLGHBFZBMN-UHFFFAOYSA-N 1 2 324.812 1.094 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1CC[C@](C)(O)C1 ZINC000248344240 282079164 /nfs/dbraw/zinc/07/91/64/282079164.db2.gz LHWNRHPVWDLQDI-HWPZZCPQSA-N 1 2 319.430 1.892 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1CC[C@](C)(O)C1 ZINC000248344240 282079167 /nfs/dbraw/zinc/07/91/67/282079167.db2.gz LHWNRHPVWDLQDI-HWPZZCPQSA-N 1 2 319.430 1.892 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@H](c3ccccc3C)CC2=O)CC1 ZINC000076918923 185055353 /nfs/dbraw/zinc/05/53/53/185055353.db2.gz YRDPKXSGQPNEPK-QGZVFWFLSA-N 1 2 311.429 1.519 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)s1 ZINC000328387457 231230981 /nfs/dbraw/zinc/23/09/81/231230981.db2.gz JCGXVJFRMJHINL-UHFFFAOYSA-N 1 2 311.432 1.479 20 30 DDEDLO CCn1cccc(C[NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)c1=O ZINC000432507277 529539757 /nfs/dbraw/zinc/53/97/57/529539757.db2.gz ZTMHOOZGNXQENM-HNNXBMFYSA-N 1 2 310.357 1.056 20 30 DDEDLO CCc1ccc(-c2noc([C@H](C)[NH2+]CC(=O)NCC#N)n2)cc1 ZINC000348537818 529579633 /nfs/dbraw/zinc/57/96/33/529579633.db2.gz YKZKIIABUAEZGT-NSHDSACASA-N 1 2 313.361 1.589 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)C(=O)c2ccc3c(c2)CCC3)CC1 ZINC000623220155 365731820 /nfs/dbraw/zinc/73/18/20/365731820.db2.gz XMMFHLHFIHXLSJ-UHFFFAOYSA-N 1 2 311.385 1.462 20 30 DDEDLO CN1CC[N@H+](C)C[C@H]1CNC(=O)C1C[C@H]2CCC[C@@H](C1)C2=O ZINC000329392037 539304034 /nfs/dbraw/zinc/30/40/34/539304034.db2.gz VQQCVHMWRDQTMF-IZGVIRRGSA-N 1 2 307.438 1.584 20 30 DDEDLO CN1CC[N@@H+](C)C[C@H]1CNC(=O)C1C[C@H]2CCC[C@@H](C1)C2=O ZINC000329392037 539304036 /nfs/dbraw/zinc/30/40/36/539304036.db2.gz VQQCVHMWRDQTMF-IZGVIRRGSA-N 1 2 307.438 1.584 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccc(C#N)cc3)C[C@@H]21 ZINC000328902356 529784649 /nfs/dbraw/zinc/78/46/49/529784649.db2.gz GMZKKCVTYNHIGU-DLBZAZTESA-N 1 2 313.401 1.422 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)CCc3ccc(C#N)cc3)C[C@@H]21 ZINC000328902356 529784650 /nfs/dbraw/zinc/78/46/50/529784650.db2.gz GMZKKCVTYNHIGU-DLBZAZTESA-N 1 2 313.401 1.422 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)CNC(=O)CC3CCCCC3)C[C@H]21 ZINC000329948319 529785795 /nfs/dbraw/zinc/78/57/95/529785795.db2.gz YXXWAXRHFCKSTF-HUUCEWRRSA-N 1 2 323.437 1.455 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)CNC(=O)CC3CCCCC3)C[C@H]21 ZINC000329948319 529785796 /nfs/dbraw/zinc/78/57/96/529785796.db2.gz YXXWAXRHFCKSTF-HUUCEWRRSA-N 1 2 323.437 1.455 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC000329619630 529813828 /nfs/dbraw/zinc/81/38/28/529813828.db2.gz TZYNWXNJWRPCRU-LBPRGKRZSA-N 1 2 311.407 1.571 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000615531929 362322115 /nfs/dbraw/zinc/32/21/15/362322115.db2.gz SJGSFSVQHSSKDV-HNNXBMFYSA-N 1 2 305.357 1.409 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)c2ccccc2C[NH+]2CCOCC2)C1 ZINC000615824604 362454970 /nfs/dbraw/zinc/45/49/70/362454970.db2.gz KFDXQPFPLDRYLM-KRWDZBQOSA-N 1 2 317.364 1.597 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH2+][C@@H]2CCC[C@H]2C#N)nc1 ZINC000459451133 233210543 /nfs/dbraw/zinc/21/05/43/233210543.db2.gz AKSIBFXKMZWPAM-QWHCGFSZSA-N 1 2 304.375 1.009 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000179714209 186209329 /nfs/dbraw/zinc/20/93/29/186209329.db2.gz RYEMSSWULXIKGG-GOSISDBHSA-N 1 2 316.401 1.426 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000179714209 186209331 /nfs/dbraw/zinc/20/93/31/186209331.db2.gz RYEMSSWULXIKGG-GOSISDBHSA-N 1 2 316.401 1.426 20 30 DDEDLO C#CCCOc1ccc(C(=O)N[C@@H](C)C[NH+]2CCOCC2)cc1 ZINC000294662805 222791110 /nfs/dbraw/zinc/79/11/10/222791110.db2.gz ZHJOSZKSVAWDEB-HNNXBMFYSA-N 1 2 316.401 1.539 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)cc1 ZINC000172105253 198039971 /nfs/dbraw/zinc/03/99/71/198039971.db2.gz UVOMPLLLMZZFMH-UHFFFAOYSA-N 1 2 305.403 1.417 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN([C@H]3CCCCNC3=O)CC2)cc1 ZINC000022805741 352180726 /nfs/dbraw/zinc/18/07/26/352180726.db2.gz BDFLPWQOEXBXST-KRWDZBQOSA-N 1 2 312.417 1.345 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000172744744 198145447 /nfs/dbraw/zinc/14/54/47/198145447.db2.gz NEXTXIONSZILKF-UHFFFAOYSA-N 1 2 302.374 1.485 20 30 DDEDLO Cc1onc(CC(=O)N2CC[C@H](C)[C@H](n3cc[nH+]c3)C2)c1C#N ZINC000331756610 234086667 /nfs/dbraw/zinc/08/66/67/234086667.db2.gz MFWXEIAAEIYRDB-XHDPSFHLSA-N 1 2 313.361 1.703 20 30 DDEDLO C=CCN(CC=C)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000045968248 352432046 /nfs/dbraw/zinc/43/20/46/352432046.db2.gz VYKYINHLTOKXBO-UHFFFAOYSA-N 1 2 316.405 1.802 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH+]1CCN(c2nccs2)CC1 ZINC000052358923 352609315 /nfs/dbraw/zinc/60/93/15/352609315.db2.gz USBUQYXLEMHUNO-CYBMUJFWSA-N 1 2 321.450 1.273 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)c2ccsc2)CC1 ZINC000054363020 352689411 /nfs/dbraw/zinc/68/94/11/352689411.db2.gz FVDSTDFBFHWNHM-ZDUSSCGKSA-N 1 2 321.446 1.587 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)c2ccsc2)CC1 ZINC000054363020 352689418 /nfs/dbraw/zinc/68/94/18/352689418.db2.gz FVDSTDFBFHWNHM-ZDUSSCGKSA-N 1 2 321.446 1.587 20 30 DDEDLO CC[C@H](C)[N@H+](C)CCNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000066126246 352979261 /nfs/dbraw/zinc/97/92/61/352979261.db2.gz KCMLOLPHIHVRBG-JTQLQIEISA-N 1 2 322.434 1.841 20 30 DDEDLO CC[C@H](C)[N@@H+](C)CCNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000066126246 352979266 /nfs/dbraw/zinc/97/92/66/352979266.db2.gz KCMLOLPHIHVRBG-JTQLQIEISA-N 1 2 322.434 1.841 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000066310898 352987866 /nfs/dbraw/zinc/98/78/66/352987866.db2.gz KPOPSQZVNDJTHO-HNNXBMFYSA-N 1 2 303.406 1.707 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CCC[C@@H]2Cn2cc[nH+]c2)c1 ZINC000173729425 198245376 /nfs/dbraw/zinc/24/53/76/198245376.db2.gz ZFJHIFCFAKWJPN-CQSZACIVSA-N 1 2 316.386 1.608 20 30 DDEDLO CC(C)(C)NC(=O)C[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000070791357 353183599 /nfs/dbraw/zinc/18/35/99/353183599.db2.gz VEYCYZUTLYXFQR-UHFFFAOYSA-N 1 2 300.406 1.595 20 30 DDEDLO C[C@@H]1CC[N@H+](C[C@H](O)COc2ccc(C#N)cc2)CC[S@@]1=O ZINC000277105641 213295692 /nfs/dbraw/zinc/29/56/92/213295692.db2.gz GUYJEKUSOCCGMI-OXDBHQQFSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[N@@H+](C[C@H](O)COc2ccc(C#N)cc2)CC[S@@]1=O ZINC000277105641 213295694 /nfs/dbraw/zinc/29/56/94/213295694.db2.gz GUYJEKUSOCCGMI-OXDBHQQFSA-N 1 2 322.430 1.141 20 30 DDEDLO N#C[C@@H](c1ccccc1)[C@H]1CCC[N@H+]1CC(=O)N1CCOCC1 ZINC000076153644 353401589 /nfs/dbraw/zinc/40/15/89/353401589.db2.gz HQVMZCBZMUTUOW-DLBZAZTESA-N 1 2 313.401 1.617 20 30 DDEDLO N#C[C@@H](c1ccccc1)[C@H]1CCC[N@@H+]1CC(=O)N1CCOCC1 ZINC000076153644 353401592 /nfs/dbraw/zinc/40/15/92/353401592.db2.gz HQVMZCBZMUTUOW-DLBZAZTESA-N 1 2 313.401 1.617 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[NH+]1CCC(OCCCO)CC1 ZINC000154980747 354210333 /nfs/dbraw/zinc/21/03/33/354210333.db2.gz AKKZTVYDOMYPPB-UHFFFAOYSA-N 1 2 323.418 1.422 20 30 DDEDLO C[C@@H](C#N)CNC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000182378322 354271497 /nfs/dbraw/zinc/27/14/97/354271497.db2.gz ZHTVIOSTGQAJRD-HOCLYGCPSA-N 1 2 316.405 1.519 20 30 DDEDLO C=CCOc1ccc(CNC(=O)NC[C@@H]2COCC[N@H+]2C)cc1 ZINC000624038849 366160750 /nfs/dbraw/zinc/16/07/50/366160750.db2.gz SPJKQIXSQGLTKA-OAHLLOKOSA-N 1 2 319.405 1.381 20 30 DDEDLO C=CCOc1ccc(CNC(=O)NC[C@@H]2COCC[N@@H+]2C)cc1 ZINC000624038849 366160755 /nfs/dbraw/zinc/16/07/55/366160755.db2.gz SPJKQIXSQGLTKA-OAHLLOKOSA-N 1 2 319.405 1.381 20 30 DDEDLO Cc1cn(-c2ccccc2)nc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000578992655 354714437 /nfs/dbraw/zinc/71/44/37/354714437.db2.gz OZCIIEHZJXDERP-KRWDZBQOSA-N 1 2 311.389 1.754 20 30 DDEDLO Cc1cc(C)c([N+](=O)[O-])cc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000580717693 354726338 /nfs/dbraw/zinc/72/63/38/354726338.db2.gz HRTHZRNSIMULKX-OAHLLOKOSA-N 1 2 304.350 1.785 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2C[C@@H]3C[C@H]2CS3)cc1 ZINC000584825061 354776680 /nfs/dbraw/zinc/77/66/80/354776680.db2.gz MSKFNLHUNBTFSR-STQMWFEESA-N 1 2 308.428 1.522 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2C[C@@H]3C[C@H]2CS3)cc1 ZINC000584825061 354776682 /nfs/dbraw/zinc/77/66/82/354776682.db2.gz MSKFNLHUNBTFSR-STQMWFEESA-N 1 2 308.428 1.522 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+](C)CCn1cc(Br)cn1 ZINC000588986381 354954867 /nfs/dbraw/zinc/95/48/67/354954867.db2.gz GDEVHJZLVGGXRH-SNVBAGLBSA-N 1 2 302.172 1.305 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+](C)CCn1cc(Br)cn1 ZINC000588986381 354954869 /nfs/dbraw/zinc/95/48/69/354954869.db2.gz GDEVHJZLVGGXRH-SNVBAGLBSA-N 1 2 302.172 1.305 20 30 DDEDLO Cc1ccsc1[C@H](CO)[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000494644451 235067156 /nfs/dbraw/zinc/06/71/56/235067156.db2.gz BNLXKOKGUNUYDJ-UONOGXRCSA-N 1 2 315.398 1.778 20 30 DDEDLO N#Cc1cscc1C(=O)N1CC[NH+](C[C@@H]2CCCO2)CC1 ZINC000590085435 355047071 /nfs/dbraw/zinc/04/70/71/355047071.db2.gz VBMBHMRVHFVVCM-ZDUSSCGKSA-N 1 2 305.403 1.557 20 30 DDEDLO CC(C)C[N@H+](CC(N)=O)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000073605667 191331850 /nfs/dbraw/zinc/33/18/50/191331850.db2.gz CATKTRKZLVPIRG-UHFFFAOYSA-N 1 2 322.796 1.593 20 30 DDEDLO CC(C)C[N@@H+](CC(N)=O)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000073605667 191331853 /nfs/dbraw/zinc/33/18/53/191331853.db2.gz CATKTRKZLVPIRG-UHFFFAOYSA-N 1 2 322.796 1.593 20 30 DDEDLO Cc1cc(C)c(NC(=O)C(=O)N2CC[C@@](F)(C#N)C2)c(C)[nH+]1 ZINC000591023319 355235073 /nfs/dbraw/zinc/23/50/73/355235073.db2.gz ZRZOWLSPYNBDDB-OAHLLOKOSA-N 1 2 304.325 1.410 20 30 DDEDLO COC[C@]1(C(N)=O)CCC[N@@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000591627318 355343753 /nfs/dbraw/zinc/34/37/53/355343753.db2.gz CSBMLPMWIURWJF-INIZCTEOSA-N 1 2 303.362 1.033 20 30 DDEDLO COC[C@]1(C(N)=O)CCC[N@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000591627318 355343756 /nfs/dbraw/zinc/34/37/56/355343756.db2.gz CSBMLPMWIURWJF-INIZCTEOSA-N 1 2 303.362 1.033 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000592130097 355508444 /nfs/dbraw/zinc/50/84/44/355508444.db2.gz PRNXLRYZVOMZCT-GXTWGEPZSA-N 1 2 314.380 1.066 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000592130097 355508446 /nfs/dbraw/zinc/50/84/46/355508446.db2.gz PRNXLRYZVOMZCT-GXTWGEPZSA-N 1 2 314.380 1.066 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592144962 355510365 /nfs/dbraw/zinc/51/03/65/355510365.db2.gz LEKBUDAOFZYNCJ-BLLLJJGKSA-N 1 2 303.362 1.373 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592144962 355510367 /nfs/dbraw/zinc/51/03/67/355510367.db2.gz LEKBUDAOFZYNCJ-BLLLJJGKSA-N 1 2 303.362 1.373 20 30 DDEDLO Cc1cccc(C)c1NC(=O)[C@H](C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592146800 355512791 /nfs/dbraw/zinc/51/27/91/355512791.db2.gz KNXSOCPRXSDLRW-WMLDXEAASA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1cccc(C)c1NC(=O)[C@H](C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592146800 355512794 /nfs/dbraw/zinc/51/27/94/355512794.db2.gz KNXSOCPRXSDLRW-WMLDXEAASA-N 1 2 301.390 1.981 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(Cl)cn1)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592149762 355516789 /nfs/dbraw/zinc/51/67/89/355516789.db2.gz WDTDWEOEHAFHBY-IINYFYTJSA-N 1 2 308.769 1.412 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(Cl)cn1)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592149762 355516791 /nfs/dbraw/zinc/51/67/91/355516791.db2.gz WDTDWEOEHAFHBY-IINYFYTJSA-N 1 2 308.769 1.412 20 30 DDEDLO COC(=O)[C@@H]1CCCCC[N@@H+]1Cc1cnc2c(C#N)cnn2c1 ZINC000592504633 355604759 /nfs/dbraw/zinc/60/47/59/355604759.db2.gz ASRAVJSPCOETSY-AWEZNQCLSA-N 1 2 313.361 1.519 20 30 DDEDLO COC(=O)[C@@H]1CCCCC[N@H+]1Cc1cnc2c(C#N)cnn2c1 ZINC000592504633 355604763 /nfs/dbraw/zinc/60/47/63/355604763.db2.gz ASRAVJSPCOETSY-AWEZNQCLSA-N 1 2 313.361 1.519 20 30 DDEDLO CC(C)(C(=O)NCc1ccc(CC#N)cc1)[NH+]1CCOCC1 ZINC000592824487 355704453 /nfs/dbraw/zinc/70/44/53/355704453.db2.gz MYCGLBZVWJQVQV-UHFFFAOYSA-N 1 2 301.390 1.480 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCO[C@@H]([C@H]3CCCO3)C2)c([N+](=O)[O-])c1 ZINC000593015362 355770032 /nfs/dbraw/zinc/77/00/32/355770032.db2.gz ULXYUMFSGWUDBE-HZPDHXFCSA-N 1 2 317.345 1.846 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCO[C@@H]([C@H]3CCCO3)C2)c([N+](=O)[O-])c1 ZINC000593015362 355770033 /nfs/dbraw/zinc/77/00/33/355770033.db2.gz ULXYUMFSGWUDBE-HZPDHXFCSA-N 1 2 317.345 1.846 20 30 DDEDLO Cc1ccc(Cl)c(OCC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000593156225 355813662 /nfs/dbraw/zinc/81/36/62/355813662.db2.gz MZPUWNRQWKLPJU-HNNXBMFYSA-N 1 2 309.797 1.987 20 30 DDEDLO N#Cc1cccc2c1CN(C(=O)N[C@@H]1CCn3cc[nH+]c3C1)C2 ZINC000593395191 355871806 /nfs/dbraw/zinc/87/18/06/355871806.db2.gz RFUDIBFZYAFSNW-CQSZACIVSA-N 1 2 307.357 1.795 20 30 DDEDLO COCC[N@@H+](Cc1cc(C#N)cs1)C[C@H](O)C(F)(F)F ZINC000594609025 356265417 /nfs/dbraw/zinc/26/54/17/356265417.db2.gz MIDVBFOGDULADG-NSHDSACASA-N 1 2 308.325 1.991 20 30 DDEDLO COCC[N@H+](Cc1cc(C#N)cs1)C[C@H](O)C(F)(F)F ZINC000594609025 356265418 /nfs/dbraw/zinc/26/54/18/356265418.db2.gz MIDVBFOGDULADG-NSHDSACASA-N 1 2 308.325 1.991 20 30 DDEDLO CC[N@H+](C[C@@H](C)C#N)[C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595305796 356441333 /nfs/dbraw/zinc/44/13/33/356441333.db2.gz QWLOKYJZKJOIQG-QWHCGFSZSA-N 1 2 309.410 1.411 20 30 DDEDLO CC[N@@H+](C[C@@H](C)C#N)[C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595305796 356441337 /nfs/dbraw/zinc/44/13/37/356441337.db2.gz QWLOKYJZKJOIQG-QWHCGFSZSA-N 1 2 309.410 1.411 20 30 DDEDLO CCN1CC[C@H]([NH+]2CCN([C@@H](C#N)c3ccccc3)CC2)C1=O ZINC000595376508 356470802 /nfs/dbraw/zinc/47/08/02/356470802.db2.gz JRQMAXNRVIJGOR-IRXDYDNUSA-N 1 2 312.417 1.490 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](Cc2ccc(N(C)C)nc2)CC1 ZINC000595397534 356477552 /nfs/dbraw/zinc/47/75/52/356477552.db2.gz KTWBZQUFFWDHGJ-MRXNPFEDSA-N 1 2 301.438 1.813 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@@H]3COC[C@]3(C)C2)c(C#N)c1C ZINC000595759958 356641213 /nfs/dbraw/zinc/64/12/13/356641213.db2.gz RIUALDIACCAUOU-WBMJQRKESA-N 1 2 303.362 1.675 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@@H]3COC[C@]3(C)C2)c(C#N)c1C ZINC000595759958 356641215 /nfs/dbraw/zinc/64/12/15/356641215.db2.gz RIUALDIACCAUOU-WBMJQRKESA-N 1 2 303.362 1.675 20 30 DDEDLO C[C@@]1(C#N)CC[N@H+](C[C@@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000595836463 356671423 /nfs/dbraw/zinc/67/14/23/356671423.db2.gz YFFMJEHOPUSVRY-HIFRSBDPSA-N 1 2 305.334 1.570 20 30 DDEDLO C[C@@]1(C#N)CC[N@@H+](C[C@@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000595836463 356671425 /nfs/dbraw/zinc/67/14/25/356671425.db2.gz YFFMJEHOPUSVRY-HIFRSBDPSA-N 1 2 305.334 1.570 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CC[C@@H](N2CCCC2=O)C1 ZINC000459381547 283212526 /nfs/dbraw/zinc/21/25/26/283212526.db2.gz CMFXZEUAGSQBEY-CYBMUJFWSA-N 1 2 314.345 1.663 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CC[C@@H](N2CCCC2=O)C1 ZINC000459381547 283212532 /nfs/dbraw/zinc/21/25/32/283212532.db2.gz CMFXZEUAGSQBEY-CYBMUJFWSA-N 1 2 314.345 1.663 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCc2cccc(C#N)c2)[C@H](C)CO1 ZINC000596126012 356783354 /nfs/dbraw/zinc/78/33/54/356783354.db2.gz GZSORGPBVUTUPD-ZIAGYGMSSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCc2cccc(C#N)c2)[C@H](C)CO1 ZINC000596126012 356783356 /nfs/dbraw/zinc/78/33/56/356783356.db2.gz GZSORGPBVUTUPD-ZIAGYGMSSA-N 1 2 316.405 1.467 20 30 DDEDLO N#Cc1cncnc1N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000596349048 356862048 /nfs/dbraw/zinc/86/20/48/356862048.db2.gz OFQBCBKHSLRYRM-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO N#Cc1cncnc1N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000596349048 356862052 /nfs/dbraw/zinc/86/20/52/356862052.db2.gz OFQBCBKHSLRYRM-SJORKVTESA-N 1 2 321.384 1.438 20 30 DDEDLO C[C@H](CC#N)C(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000597209856 357093184 /nfs/dbraw/zinc/09/31/84/357093184.db2.gz DOEXKJSYSCHOTP-WBVHZDCISA-N 1 2 315.417 1.596 20 30 DDEDLO N#Cc1c(F)cc(C(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)cc1F ZINC000597413849 357169908 /nfs/dbraw/zinc/16/99/08/357169908.db2.gz RRICNURMEGTZKU-VIFPVBQESA-N 1 2 302.284 1.847 20 30 DDEDLO N#Cc1c(F)cc(C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)cc1F ZINC000597413849 357169913 /nfs/dbraw/zinc/16/99/13/357169913.db2.gz RRICNURMEGTZKU-VIFPVBQESA-N 1 2 302.284 1.847 20 30 DDEDLO CCN(C(=O)c1cc(C#N)c(SC)[nH]c1=O)[C@H]1CC[N@H+](C)C1 ZINC000597944473 357393610 /nfs/dbraw/zinc/39/36/10/357393610.db2.gz DGERQOOCFKMFOF-NSHDSACASA-N 1 2 320.418 1.547 20 30 DDEDLO CCN(C(=O)c1cc(C#N)c(SC)[nH]c1=O)[C@H]1CC[N@@H+](C)C1 ZINC000597944473 357393616 /nfs/dbraw/zinc/39/36/16/357393616.db2.gz DGERQOOCFKMFOF-NSHDSACASA-N 1 2 320.418 1.547 20 30 DDEDLO Cc1nc(C(C)(C)[NH+]=C([O-])N[C@@H]2CCc3[nH]c[nH+]c3C2)no1 ZINC000329665722 223010971 /nfs/dbraw/zinc/01/09/71/223010971.db2.gz QAUNCWXVTOYRAB-SECBINFHSA-N 1 2 304.354 1.397 20 30 DDEDLO Cc1nc(C(C)(C)[NH+]=C([O-])N[C@@H]2CCc3[nH+]c[nH]c3C2)no1 ZINC000329665722 223010974 /nfs/dbraw/zinc/01/09/74/223010974.db2.gz QAUNCWXVTOYRAB-SECBINFHSA-N 1 2 304.354 1.397 20 30 DDEDLO O=C(CC1CCCC1)NCC(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000329697850 223014945 /nfs/dbraw/zinc/01/49/45/223014945.db2.gz CKYIIOJFROXMLU-OAHLLOKOSA-N 1 2 323.437 1.456 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C[C@H](n1cccn1)C(F)(F)F ZINC000598836207 357739920 /nfs/dbraw/zinc/73/99/20/357739920.db2.gz CPCHPPYZCLBJHU-CMPLNLGQSA-N 1 2 317.315 1.337 20 30 DDEDLO N#Cc1cnc(C(=O)N[C@H]2CCn3c[nH+]cc3C2)c(Cl)c1 ZINC000598945870 357769695 /nfs/dbraw/zinc/76/96/95/357769695.db2.gz DAJGPBPRHYGBLN-JTQLQIEISA-N 1 2 301.737 1.548 20 30 DDEDLO CCOC(=O)C(C)(C)[NH2+]C[C@H](O)COc1ccccc1C#N ZINC000599280100 357873175 /nfs/dbraw/zinc/87/31/75/357873175.db2.gz BVSCLJQZFZPMKK-ZDUSSCGKSA-N 1 2 306.362 1.229 20 30 DDEDLO COc1cc(CNC(=O)[C@@H]2CCn3cc(C)[nH+]c3C2)ccc1C#N ZINC000599961058 358090987 /nfs/dbraw/zinc/09/09/87/358090987.db2.gz TWNDAFXXOXEBLQ-CQSZACIVSA-N 1 2 324.384 1.951 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)C1(c2cc(F)cc(C#N)c2)CC1 ZINC000600423027 358216374 /nfs/dbraw/zinc/21/63/74/358216374.db2.gz ZPNZKNQLEGNTBD-OAHLLOKOSA-N 1 2 317.364 1.176 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)C1(c2cc(F)cc(C#N)c2)CC1 ZINC000600423027 358216377 /nfs/dbraw/zinc/21/63/77/358216377.db2.gz ZPNZKNQLEGNTBD-OAHLLOKOSA-N 1 2 317.364 1.176 20 30 DDEDLO N#CC1(C(=O)NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)CCC1 ZINC000600685487 358282891 /nfs/dbraw/zinc/28/28/91/358282891.db2.gz VADVWSNOSYUABS-HNNXBMFYSA-N 1 2 314.389 1.092 20 30 DDEDLO N#CC1(C(=O)NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)CCC1 ZINC000600685487 358282893 /nfs/dbraw/zinc/28/28/93/358282893.db2.gz VADVWSNOSYUABS-HNNXBMFYSA-N 1 2 314.389 1.092 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2cc(C#N)cs2)[C@@H](C)C1 ZINC000180878418 199222611 /nfs/dbraw/zinc/22/26/11/199222611.db2.gz IEWNGLJAOBAOBE-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2cc(C#N)cs2)[C@@H](C)C1 ZINC000180878418 199222612 /nfs/dbraw/zinc/22/26/12/199222612.db2.gz IEWNGLJAOBAOBE-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccccc2CC#N)C[C@H](C)[N@H+]1C ZINC000601422413 358545918 /nfs/dbraw/zinc/54/59/18/358545918.db2.gz USTXCPVDLKASCO-BETUJISGSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccccc2CC#N)C[C@H](C)[N@@H+]1C ZINC000601422413 358545920 /nfs/dbraw/zinc/54/59/20/358545920.db2.gz USTXCPVDLKASCO-BETUJISGSA-N 1 2 307.419 1.466 20 30 DDEDLO CC(C)(C#N)CC(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000601728018 358666549 /nfs/dbraw/zinc/66/65/49/358666549.db2.gz LDVWFZNKNRTVIO-UHFFFAOYSA-N 1 2 301.390 1.976 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N(C)[C@@H]1CCC[C@H]1C#N ZINC000602077887 358803442 /nfs/dbraw/zinc/80/34/42/358803442.db2.gz UXZHAURGAQSIBB-CMPLNLGQSA-N 1 2 302.334 1.179 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000602124086 358830146 /nfs/dbraw/zinc/83/01/46/358830146.db2.gz VHDZRARSXHMNSE-ONGXEEELSA-N 1 2 304.272 1.421 20 30 DDEDLO N#Cc1ccncc1N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CC1 ZINC000602158957 358853988 /nfs/dbraw/zinc/85/39/88/358853988.db2.gz IFTHFRLPXJNYGN-ZIAGYGMSSA-N 1 2 322.372 1.129 20 30 DDEDLO N#Cc1ccncc1N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC000602158957 358853993 /nfs/dbraw/zinc/85/39/93/358853993.db2.gz IFTHFRLPXJNYGN-ZIAGYGMSSA-N 1 2 322.372 1.129 20 30 DDEDLO COc1ncc(C[NH+]2CCN(C(=O)CC#N)CC2)c2ccccc21 ZINC000602843602 359232193 /nfs/dbraw/zinc/23/21/93/359232193.db2.gz YHDXBZOJIWIQTF-UHFFFAOYSA-N 1 2 324.384 1.801 20 30 DDEDLO COC(=O)c1cc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)cs1 ZINC000602851655 359238767 /nfs/dbraw/zinc/23/87/67/359238767.db2.gz WSNJYWOSURSYAX-VXGBXAGGSA-N 1 2 307.419 1.953 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)N(C)Cc2cccs2)C[C@@H](C)N1CC#N ZINC000602854305 359240492 /nfs/dbraw/zinc/24/04/92/359240492.db2.gz BCOXGIVGXDJRND-ZIAGYGMSSA-N 1 2 320.462 1.625 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)NCc2ccccc2F)C[C@@H](C)N1CC#N ZINC000602857835 359244137 /nfs/dbraw/zinc/24/41/37/359244137.db2.gz VQHGDCXYJVSYBT-ZIAGYGMSSA-N 1 2 318.396 1.360 20 30 DDEDLO C[C@H]1C[NH+](Cc2cn(-c3ccccc3)nn2)C[C@H](C)N1CC#N ZINC000602858196 359245199 /nfs/dbraw/zinc/24/51/99/359245199.db2.gz PYLQONOPKBBKNB-GJZGRUSLSA-N 1 2 310.405 1.685 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2cccc(F)c2)C[C@H](C)N1CC#N ZINC000602858117 359245224 /nfs/dbraw/zinc/24/52/24/359245224.db2.gz ZHIOSZFPZDGFCM-BETUJISGSA-N 1 2 304.369 1.682 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2cccc(F)c2)C[C@H](C)N1CC#N ZINC000602858117 359245228 /nfs/dbraw/zinc/24/52/28/359245228.db2.gz ZHIOSZFPZDGFCM-BETUJISGSA-N 1 2 304.369 1.682 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)NC(C2CC2)C2CC2)C[C@@H](C)N1CC#N ZINC000602861902 359247597 /nfs/dbraw/zinc/24/75/97/359247597.db2.gz MPDABYQAMOGSGN-CHWSQXEVSA-N 1 2 304.438 1.209 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(Cc2nc[nH]n2)CC1 ZINC000602868194 359253827 /nfs/dbraw/zinc/25/38/27/359253827.db2.gz UJLYPBUHAJFGLR-UHFFFAOYSA-N 1 2 312.377 1.003 20 30 DDEDLO CCn1nc(C)c(C[N@H+](C)[C@H](C)C(=O)N(C)CCC#N)c1C ZINC000602925254 359297665 /nfs/dbraw/zinc/29/76/65/359297665.db2.gz IJRSMCGWDGODJD-CQSZACIVSA-N 1 2 305.426 1.712 20 30 DDEDLO CCn1nc(C)c(C[N@@H+](C)[C@H](C)C(=O)N(C)CCC#N)c1C ZINC000602925254 359297669 /nfs/dbraw/zinc/29/76/69/359297669.db2.gz IJRSMCGWDGODJD-CQSZACIVSA-N 1 2 305.426 1.712 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@@H+]2CCC[C@@H]2c2ncccn2)cc1 ZINC000602897554 359279115 /nfs/dbraw/zinc/27/91/15/359279115.db2.gz KVFRXYCINLROND-DOTOQJQBSA-N 1 2 324.384 1.925 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCC[C@@H]2c2ncccn2)cc1 ZINC000602897554 359279116 /nfs/dbraw/zinc/27/91/16/359279116.db2.gz KVFRXYCINLROND-DOTOQJQBSA-N 1 2 324.384 1.925 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NC1CCCCC1)[C@H]1CCC[C@@H]1C#N ZINC000602978930 359337129 /nfs/dbraw/zinc/33/71/29/359337129.db2.gz YRSPJBSJPLKJKP-OCCSQVGLSA-N 1 2 306.410 1.769 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NC1CCCCC1)[C@H]1CCC[C@@H]1C#N ZINC000602978930 359337134 /nfs/dbraw/zinc/33/71/34/359337134.db2.gz YRSPJBSJPLKJKP-OCCSQVGLSA-N 1 2 306.410 1.769 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCO[C@@](C)(C(=O)OCC)C1 ZINC000603002576 359350238 /nfs/dbraw/zinc/35/02/38/359350238.db2.gz ILTBZJZZFZJCBL-RHSMWYFYSA-N 1 2 324.421 1.230 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCO[C@@](C)(C(=O)OCC)C1 ZINC000603002576 359350241 /nfs/dbraw/zinc/35/02/41/359350241.db2.gz ILTBZJZZFZJCBL-RHSMWYFYSA-N 1 2 324.421 1.230 20 30 DDEDLO Cc1cn2c([nH+]1)C[C@H](C(=O)N1C[C@H](C)N(CC#N)[C@@H](C)C1)CC2 ZINC000603096321 359400377 /nfs/dbraw/zinc/40/03/77/359400377.db2.gz WGOJTCLIFCQKOC-SOUVJXGZSA-N 1 2 315.421 1.199 20 30 DDEDLO N#CCCN(Cc1ccccn1)S(=O)(=O)CCn1cc[nH+]c1 ZINC000603279817 359537687 /nfs/dbraw/zinc/53/76/87/359537687.db2.gz IFMXKBCFKWVWEU-UHFFFAOYSA-N 1 2 319.390 1.024 20 30 DDEDLO COc1ccc(Cn2cc[nH+]c2CN2CCOCC2)cc1C#N ZINC000603421097 359630769 /nfs/dbraw/zinc/63/07/69/359630769.db2.gz TYDSSJVDPMLWAZ-UHFFFAOYSA-N 1 2 312.373 1.644 20 30 DDEDLO CCC(CC)C(=O)N1CC[NH+]([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000603555195 359658191 /nfs/dbraw/zinc/65/81/91/359658191.db2.gz DYLWEKHWTTWBHX-AWEZNQCLSA-N 1 2 322.453 1.327 20 30 DDEDLO C[C@@H](c1nnnn1C)[N@@H+]1CCCN(c2ccccc2C#N)CC1 ZINC000188733022 200295797 /nfs/dbraw/zinc/29/57/97/200295797.db2.gz NXTNLTIGEQKQCD-ZDUSSCGKSA-N 1 2 311.393 1.355 20 30 DDEDLO C[C@@H](c1nnnn1C)[N@H+]1CCCN(c2ccccc2C#N)CC1 ZINC000188733022 200295799 /nfs/dbraw/zinc/29/57/99/200295799.db2.gz NXTNLTIGEQKQCD-ZDUSSCGKSA-N 1 2 311.393 1.355 20 30 DDEDLO O=C(C[N@H+]1C[C@H]2CC[C@@H](C1)O2)NC[C@H]1Cc2ccccc2O1 ZINC000329852081 223038219 /nfs/dbraw/zinc/03/82/19/223038219.db2.gz UJQRYSOTUPSWKC-QLFBSQMISA-N 1 2 302.374 1.810 20 30 DDEDLO O=C(C[N@@H+]1C[C@H]2CC[C@@H](C1)O2)NC[C@H]1Cc2ccccc2O1 ZINC000329852081 223038224 /nfs/dbraw/zinc/03/82/24/223038224.db2.gz UJQRYSOTUPSWKC-QLFBSQMISA-N 1 2 302.374 1.810 20 30 DDEDLO CCCCCNC(=O)[C@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609484340 360312426 /nfs/dbraw/zinc/31/24/26/360312426.db2.gz AUKDWAHTHUYMMS-HOTGVXAUSA-N 1 2 308.470 1.847 20 30 DDEDLO N#Cc1c(NC(=O)CCCn2cc[nH+]c2)nc2n1CCS2 ZINC000609814645 360352297 /nfs/dbraw/zinc/35/22/97/360352297.db2.gz BMBBPDHDLMTCSS-UHFFFAOYSA-N 1 2 302.363 1.476 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N2CCC[C@@H](C)C2)CC1 ZINC000609538302 360321388 /nfs/dbraw/zinc/32/13/88/360321388.db2.gz PWRTZOJANNAQOG-CVEARBPZSA-N 1 2 306.454 1.411 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@H]1Cc2ccccc21 ZINC000610114614 360383068 /nfs/dbraw/zinc/38/30/68/360383068.db2.gz VSCMSTKKMPFZOR-KRWDZBQOSA-N 1 2 313.401 1.401 20 30 DDEDLO CNC(=O)C[NH+]1CCN(c2c(C#N)cnc3c(C)cccc32)CC1 ZINC000610245602 360396602 /nfs/dbraw/zinc/39/66/02/360396602.db2.gz ZFFLNVVVJRHGCY-UHFFFAOYSA-N 1 2 323.400 1.283 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000610566299 360472265 /nfs/dbraw/zinc/47/22/65/360472265.db2.gz QAZSVWHOFXXGHJ-BBRMVZONSA-N 1 2 318.396 1.485 20 30 DDEDLO Cc1nn(C)c(-n2cc[nH+]c2C)c1C(=O)N[C@@H](C)c1nc[nH]n1 ZINC000330016068 223063777 /nfs/dbraw/zinc/06/37/77/223063777.db2.gz OZXZAYVNCKZXSK-VIFPVBQESA-N 1 2 314.353 1.407 20 30 DDEDLO Cc1nn(C)c(-n2cc[nH+]c2C)c1C(=O)N[C@@H](C)c1nnc[nH]1 ZINC000330016068 223063779 /nfs/dbraw/zinc/06/37/79/223063779.db2.gz OZXZAYVNCKZXSK-VIFPVBQESA-N 1 2 314.353 1.407 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC000610863897 360555611 /nfs/dbraw/zinc/55/56/11/360555611.db2.gz WYSFVBOZOPZZGD-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC000610863897 360555613 /nfs/dbraw/zinc/55/56/13/360555613.db2.gz WYSFVBOZOPZZGD-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000330045776 223068398 /nfs/dbraw/zinc/06/83/98/223068398.db2.gz DMQDDFFFPRJIND-SNVBAGLBSA-N 1 2 318.299 1.472 20 30 DDEDLO Cn1ccnc1C[N@H+](C)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000611244583 360677641 /nfs/dbraw/zinc/67/76/41/360677641.db2.gz BJHZRSFJJDEHDR-OAHLLOKOSA-N 1 2 314.389 1.358 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000611244583 360677645 /nfs/dbraw/zinc/67/76/45/360677645.db2.gz BJHZRSFJJDEHDR-OAHLLOKOSA-N 1 2 314.389 1.358 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)c1ncc(C#N)cc1Cl)CC2 ZINC000611894592 360852468 /nfs/dbraw/zinc/85/24/68/360852468.db2.gz AJDMCQIEPJFLMK-UHFFFAOYSA-N 1 2 301.737 1.768 20 30 DDEDLO Cc1nnc([C@H](C)NC([O-])=[NH+][C@H]2CCn3cc[nH+]c3C2)s1 ZINC000330201432 223088024 /nfs/dbraw/zinc/08/80/24/223088024.db2.gz XUESAOJOKKKQKT-WPRPVWTQSA-N 1 2 306.395 1.623 20 30 DDEDLO C[C@@H](CC#N)N(C)S(=O)(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000330431290 223115824 /nfs/dbraw/zinc/11/58/24/223115824.db2.gz WDHSISWRQHEZNN-GXTWGEPZSA-N 1 2 324.406 1.013 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1CC2CCC1CC2 ZINC000339586017 223270770 /nfs/dbraw/zinc/27/07/70/223270770.db2.gz DRXZNGADWQUENM-OFLPRAFFSA-N 1 2 319.449 1.887 20 30 DDEDLO Cn1cc(C[N@@H+]2CCCN(C(=O)c3ccnc(C#N)c3)CC2)cn1 ZINC000193174012 201002389 /nfs/dbraw/zinc/00/23/89/201002389.db2.gz KMRIAZBVXGZLPW-UHFFFAOYSA-N 1 2 324.388 1.035 20 30 DDEDLO Cn1cc(C[N@H+]2CCCN(C(=O)c3ccnc(C#N)c3)CC2)cn1 ZINC000193174012 201002392 /nfs/dbraw/zinc/00/23/92/201002392.db2.gz KMRIAZBVXGZLPW-UHFFFAOYSA-N 1 2 324.388 1.035 20 30 DDEDLO C=C[C@@H](C(=O)OC)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000619700201 364120174 /nfs/dbraw/zinc/12/01/74/364120174.db2.gz ZSKFWWNEYXKBEP-HNNXBMFYSA-N 1 2 314.389 1.180 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCN3C(=O)NC[C@H]3C2)cc1OC ZINC000091864843 193120261 /nfs/dbraw/zinc/12/02/61/193120261.db2.gz KJFYMTZCWLOCRC-AWEZNQCLSA-N 1 2 317.389 1.469 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCN3C(=O)NC[C@H]3C2)cc1OC ZINC000091864843 193120263 /nfs/dbraw/zinc/12/02/63/193120263.db2.gz KJFYMTZCWLOCRC-AWEZNQCLSA-N 1 2 317.389 1.469 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000625622288 367054028 /nfs/dbraw/zinc/05/40/28/367054028.db2.gz IMDIIVDYNJXOIJ-ZDUSSCGKSA-N 1 2 318.421 1.455 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+](CC)[C@H](C)CS(C)(=O)=O ZINC000093391025 193243110 /nfs/dbraw/zinc/24/31/10/193243110.db2.gz DYWYXCJNGHLUPM-CQSZACIVSA-N 1 2 309.431 1.954 20 30 DDEDLO C#CCOc1ccccc1C[N@H+](CC)[C@H](C)CS(C)(=O)=O ZINC000093391025 193243111 /nfs/dbraw/zinc/24/31/11/193243111.db2.gz DYWYXCJNGHLUPM-CQSZACIVSA-N 1 2 309.431 1.954 20 30 DDEDLO CN(C(=O)C1CC[NH+](Cc2nccn2C)CC1)C1(C#N)CCC1 ZINC000370751652 223634957 /nfs/dbraw/zinc/63/49/57/223634957.db2.gz XLEITMDTEATCFP-UHFFFAOYSA-N 1 2 315.421 1.537 20 30 DDEDLO Cn1cc(C[N@H+](C)[C@H]2CCN(c3ccc(C#N)cc3)C2=O)cn1 ZINC000028748526 248183399 /nfs/dbraw/zinc/18/33/99/248183399.db2.gz PGYGTPRVARDASN-INIZCTEOSA-N 1 2 309.373 1.529 20 30 DDEDLO Cn1cc(C[N@@H+](C)[C@H]2CCN(c3ccc(C#N)cc3)C2=O)cn1 ZINC000028748526 248183401 /nfs/dbraw/zinc/18/34/01/248183401.db2.gz PGYGTPRVARDASN-INIZCTEOSA-N 1 2 309.373 1.529 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@]3(CCS(=O)(=O)C3)C2)c1 ZINC000333514973 249007640 /nfs/dbraw/zinc/00/76/40/249007640.db2.gz FXFVXGNMVNDUDT-MRXNPFEDSA-N 1 2 304.415 1.959 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@]3(CCS(=O)(=O)C3)C2)c1 ZINC000333514973 249007642 /nfs/dbraw/zinc/00/76/42/249007642.db2.gz FXFVXGNMVNDUDT-MRXNPFEDSA-N 1 2 304.415 1.959 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](CCOCC(F)(F)F)CC2)c1 ZINC000336856072 249261911 /nfs/dbraw/zinc/26/19/11/249261911.db2.gz KETNYPOLXSTCAZ-UHFFFAOYSA-N 1 2 314.311 1.654 20 30 DDEDLO C[C@H](C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1)n1cnc(C#N)n1 ZINC000336999932 249307044 /nfs/dbraw/zinc/30/70/44/249307044.db2.gz RNNYDCQGNRRULH-LLVKDONJSA-N 1 2 321.344 1.417 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CC[NH+](C/C=C/c2ccccc2)CC1 ZINC000042287636 183249840 /nfs/dbraw/zinc/24/98/40/183249840.db2.gz QWYWXFZYSOJDBC-PYUISTEWSA-N 1 2 311.429 1.455 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(C/C=C/c2ccccc2)CC1 ZINC000042287636 183249842 /nfs/dbraw/zinc/24/98/42/183249842.db2.gz QWYWXFZYSOJDBC-PYUISTEWSA-N 1 2 311.429 1.455 20 30 DDEDLO C[C@@H](NC(=O)NCc1cccc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000105119664 194076201 /nfs/dbraw/zinc/07/62/01/194076201.db2.gz WOXMANGVFKAOQA-KGLIPLIRSA-N 1 2 316.405 1.467 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@@H+]3CC[C@@](F)(CO)C3)C2=O)cc1 ZINC000338628160 250065072 /nfs/dbraw/zinc/06/50/72/250065072.db2.gz UBLVLQRHOJHVSJ-ZBFHGGJFSA-N 1 2 303.337 1.070 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@H+]3CC[C@@](F)(CO)C3)C2=O)cc1 ZINC000338628160 250065076 /nfs/dbraw/zinc/06/50/76/250065076.db2.gz UBLVLQRHOJHVSJ-ZBFHGGJFSA-N 1 2 303.337 1.070 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)c1cc(C#N)ccc1Cl ZINC000285053678 218272270 /nfs/dbraw/zinc/27/22/70/218272270.db2.gz FZDPXXXUCUECNV-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)c1ccc(F)c(C#N)c1 ZINC000285303419 218373130 /nfs/dbraw/zinc/37/31/30/218373130.db2.gz LLIGHTLXEZZUOS-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)c1ccc(F)c(C#N)c1 ZINC000285303419 218373131 /nfs/dbraw/zinc/37/31/31/218373131.db2.gz LLIGHTLXEZZUOS-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO N#Cc1cccc(CN2CCC[C@H]2C[NH+]2CCOCC2)c1F ZINC000340893858 251262876 /nfs/dbraw/zinc/26/28/76/251262876.db2.gz LCMSNDOKBFIBBX-INIZCTEOSA-N 1 2 303.381 1.994 20 30 DDEDLO C[C@@H](C(=O)NCc1nc(C(F)(F)F)n[nH]1)[NH+]1CCSCC1 ZINC000330076274 533096422 /nfs/dbraw/zinc/09/64/22/533096422.db2.gz MGEJTHLQTLJBIY-ZETCQYMHSA-N 1 2 323.344 1.717 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C[C@H](C#N)CCC#N)CC1 ZINC000157925931 197227071 /nfs/dbraw/zinc/22/70/71/197227071.db2.gz PUHBNUAIVLTADH-HNNXBMFYSA-N 1 2 301.394 1.853 20 30 DDEDLO CS(=O)(=O)CC[N@H+](CCOc1cccc(C#N)c1)C1CC1 ZINC000156708707 197134590 /nfs/dbraw/zinc/13/45/90/197134590.db2.gz PKPRQELTFUJIDK-UHFFFAOYSA-N 1 2 308.403 1.446 20 30 DDEDLO CS(=O)(=O)CC[N@@H+](CCOc1cccc(C#N)c1)C1CC1 ZINC000156708707 197134592 /nfs/dbraw/zinc/13/45/92/197134592.db2.gz PKPRQELTFUJIDK-UHFFFAOYSA-N 1 2 308.403 1.446 20 30 DDEDLO C[NH+](C)[C@H](CNS(=O)(=O)c1cncc(C#N)c1)c1ccco1 ZINC000290004043 221373281 /nfs/dbraw/zinc/37/32/81/221373281.db2.gz ZHLHKUWJRQOMJK-CYBMUJFWSA-N 1 2 320.374 1.127 20 30 DDEDLO COCC[C@](C)(C#N)NC(=O)[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000377373366 533318832 /nfs/dbraw/zinc/31/88/32/533318832.db2.gz NXVUASAEZADKTB-RHSMWYFYSA-N 1 2 316.405 1.733 20 30 DDEDLO C[C@H](CC#N)NC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000348219461 533616197 /nfs/dbraw/zinc/61/61/97/533616197.db2.gz ZMYSABJHKJANOC-GFCCVEGCSA-N 1 2 323.418 1.054 20 30 DDEDLO Cc1nc(C(=O)N2CC[NH+](Cc3ccccn3)CC2)ccc1C#N ZINC000032823650 406954033 /nfs/dbraw/zinc/95/40/33/406954033.db2.gz PPBPCKXIMMSZBL-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO N#Cc1ncn(Cc2cn3cc(Br)ccc3[nH+]2)n1 ZINC000048501774 407099634 /nfs/dbraw/zinc/09/96/34/407099634.db2.gz ONOKGXWWMMGYSY-UHFFFAOYSA-N 1 2 303.123 1.608 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000046599166 407062110 /nfs/dbraw/zinc/06/21/10/407062110.db2.gz RRRBUWNNIGKDKL-GFCCVEGCSA-N 1 2 304.350 1.787 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000046599166 407062111 /nfs/dbraw/zinc/06/21/11/407062111.db2.gz RRRBUWNNIGKDKL-GFCCVEGCSA-N 1 2 304.350 1.787 20 30 DDEDLO C[C@@H]1C[NH+]=C(N2CCN(C(=O)c3cc(C#N)cs3)CC2)S1 ZINC000084302469 407087015 /nfs/dbraw/zinc/08/70/15/407087015.db2.gz IURHLJKWEYECCZ-SNVBAGLBSA-N 1 2 320.443 1.869 20 30 DDEDLO CCNC(=O)COc1ccc(C[N@H+](C)[C@H](C)CC#N)cc1OC ZINC000093091124 407195935 /nfs/dbraw/zinc/19/59/35/407195935.db2.gz RPTOIYAGMWEYHI-CYBMUJFWSA-N 1 2 319.405 1.944 20 30 DDEDLO CCNC(=O)COc1ccc(C[N@@H+](C)[C@H](C)CC#N)cc1OC ZINC000093091124 407195938 /nfs/dbraw/zinc/19/59/38/407195938.db2.gz RPTOIYAGMWEYHI-CYBMUJFWSA-N 1 2 319.405 1.944 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1CCCN(C(=O)C2(C#N)CCCCC2)CC1 ZINC000098116282 407306101 /nfs/dbraw/zinc/30/61/01/407306101.db2.gz VOMXRSHZHDLMIE-UHFFFAOYSA-N 1 2 320.437 1.083 20 30 DDEDLO CN(C)C(=O)C[N@H+]1CCCN(C(=O)C2(C#N)CCCCC2)CC1 ZINC000098116282 407306102 /nfs/dbraw/zinc/30/61/02/407306102.db2.gz VOMXRSHZHDLMIE-UHFFFAOYSA-N 1 2 320.437 1.083 20 30 DDEDLO C=CCCOCCNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000122661418 407307729 /nfs/dbraw/zinc/30/77/29/407307729.db2.gz BQEWJYIMSFZVRL-HNNXBMFYSA-N 1 2 311.426 1.085 20 30 DDEDLO C=C(C)COCCNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000123621027 407334052 /nfs/dbraw/zinc/33/40/52/407334052.db2.gz VOUBUSSXCHCRAM-AWEZNQCLSA-N 1 2 304.394 1.552 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1ccc(C#N)cn1)[NH+]1CCOCC1 ZINC000107440909 407374797 /nfs/dbraw/zinc/37/47/97/407374797.db2.gz PXUQCLVFLGUYFG-OAHLLOKOSA-N 1 2 302.378 1.040 20 30 DDEDLO N#CC1(C(=O)NCc2ccc(N3CCCC3)[nH+]c2)CCOCC1 ZINC000109139471 407395561 /nfs/dbraw/zinc/39/55/61/407395561.db2.gz DQUQZHIZVDBXMN-UHFFFAOYSA-N 1 2 314.389 1.618 20 30 DDEDLO COC[C@@H](C)NC(=O)NC(=O)C[N@H+](CCC#N)CC(C)(C)C ZINC000111250640 407403053 /nfs/dbraw/zinc/40/30/53/407403053.db2.gz BDNJRTGNTXYYCQ-GFCCVEGCSA-N 1 2 312.414 1.109 20 30 DDEDLO COC[C@@H](C)NC(=O)NC(=O)C[N@@H+](CCC#N)CC(C)(C)C ZINC000111250640 407403055 /nfs/dbraw/zinc/40/30/55/407403055.db2.gz BDNJRTGNTXYYCQ-GFCCVEGCSA-N 1 2 312.414 1.109 20 30 DDEDLO C[C@@H]1CC[C@H](CC(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000126595343 407417207 /nfs/dbraw/zinc/41/72/07/407417207.db2.gz WKNIDSLVMKYZTM-CVEARBPZSA-N 1 2 307.438 1.887 20 30 DDEDLO N#CCOc1ccccc1C(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000127582354 407442343 /nfs/dbraw/zinc/44/23/43/407442343.db2.gz RKKZJYMXRXPCRD-CQSZACIVSA-N 1 2 315.373 1.182 20 30 DDEDLO N#CCOc1ccccc1C(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000127582354 407442351 /nfs/dbraw/zinc/44/23/51/407442351.db2.gz RKKZJYMXRXPCRD-CQSZACIVSA-N 1 2 315.373 1.182 20 30 DDEDLO N#CCOc1ccccc1C(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000127582617 407442751 /nfs/dbraw/zinc/44/27/51/407442751.db2.gz RKKZJYMXRXPCRD-AWEZNQCLSA-N 1 2 315.373 1.182 20 30 DDEDLO N#CCOc1ccccc1C(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000127582617 407442757 /nfs/dbraw/zinc/44/27/57/407442757.db2.gz RKKZJYMXRXPCRD-AWEZNQCLSA-N 1 2 315.373 1.182 20 30 DDEDLO Cn1cc[nH+]c1C[C@@H]1CCCN(C(=O)c2cc(C#N)cn2C)C1 ZINC000151522373 407450552 /nfs/dbraw/zinc/45/05/52/407450552.db2.gz SYDFINARIBVBNK-ZDUSSCGKSA-N 1 2 311.389 1.725 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1ccc(CC#N)cc1 ZINC000171073318 407587371 /nfs/dbraw/zinc/58/73/71/407587371.db2.gz GMGGEGNAPWKOAH-UHFFFAOYSA-N 1 2 316.405 1.985 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1ccc(CC#N)cc1 ZINC000171073318 407587375 /nfs/dbraw/zinc/58/73/75/407587375.db2.gz GMGGEGNAPWKOAH-UHFFFAOYSA-N 1 2 316.405 1.985 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)[nH]1 ZINC000152302330 407607361 /nfs/dbraw/zinc/60/73/61/407607361.db2.gz CFYYQKVTOSMQRC-MRXNPFEDSA-N 1 2 324.384 1.517 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)[nH]1 ZINC000152302330 407607368 /nfs/dbraw/zinc/60/73/68/407607368.db2.gz CFYYQKVTOSMQRC-MRXNPFEDSA-N 1 2 324.384 1.517 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1CCNC(=O)C1(C)C ZINC000114898067 407631708 /nfs/dbraw/zinc/63/17/08/407631708.db2.gz DBTCYYBRHNHRBK-HNNXBMFYSA-N 1 2 318.417 1.365 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1CCNC(=O)C1(C)C ZINC000114898067 407631715 /nfs/dbraw/zinc/63/17/15/407631715.db2.gz DBTCYYBRHNHRBK-HNNXBMFYSA-N 1 2 318.417 1.365 20 30 DDEDLO CCC[N@H+](Cc1cc(C#N)ccc1OC)[C@H]1CCS(=O)(=O)C1 ZINC000178913419 407653247 /nfs/dbraw/zinc/65/32/47/407653247.db2.gz GSVYXLUDLSFWOM-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO CCC[N@@H+](Cc1cc(C#N)ccc1OC)[C@H]1CCS(=O)(=O)C1 ZINC000178913419 407653254 /nfs/dbraw/zinc/65/32/54/407653254.db2.gz GSVYXLUDLSFWOM-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000266939765 407683621 /nfs/dbraw/zinc/68/36/21/407683621.db2.gz QZSSIHRQZLASFP-UHFFFAOYSA-N 1 2 305.290 1.217 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000266939765 407683624 /nfs/dbraw/zinc/68/36/24/407683624.db2.gz QZSSIHRQZLASFP-UHFFFAOYSA-N 1 2 305.290 1.217 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@@H+]1CCC[C@@]12CCOC2=O ZINC000186694054 407748099 /nfs/dbraw/zinc/74/80/99/407748099.db2.gz CFOFHFBJOHJWIC-HNNXBMFYSA-N 1 2 319.386 1.730 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@H+]1CCC[C@@]12CCOC2=O ZINC000186694054 407748106 /nfs/dbraw/zinc/74/81/06/407748106.db2.gz CFOFHFBJOHJWIC-HNNXBMFYSA-N 1 2 319.386 1.730 20 30 DDEDLO COCCO[C@H]1CCC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000179336008 407771298 /nfs/dbraw/zinc/77/12/98/407771298.db2.gz HHNXBXDDSAEJEY-ZDUSSCGKSA-N 1 2 323.418 1.686 20 30 DDEDLO COCCO[C@H]1CCC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000179336008 407771304 /nfs/dbraw/zinc/77/13/04/407771304.db2.gz HHNXBXDDSAEJEY-ZDUSSCGKSA-N 1 2 323.418 1.686 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000268030550 407864297 /nfs/dbraw/zinc/86/42/97/407864297.db2.gz KMPDRBRIJVLSQG-CQSZACIVSA-N 1 2 313.405 1.086 20 30 DDEDLO C=CCN(C)C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000153661191 407869933 /nfs/dbraw/zinc/86/99/33/407869933.db2.gz YMGFCPBKPZWWIJ-INIZCTEOSA-N 1 2 303.406 1.887 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CNc3ccc(CNC(N)=O)cc3)n2c1 ZINC000180049485 407849225 /nfs/dbraw/zinc/84/92/25/407849225.db2.gz PGFCZBULIURFEM-UHFFFAOYSA-N 1 2 320.356 1.986 20 30 DDEDLO C=CCN(c1ccccc1)S(=O)(=O)CCC[NH+]1CCOCC1 ZINC000272547753 407975972 /nfs/dbraw/zinc/97/59/72/407975972.db2.gz QXTAOKKZTZYODZ-UHFFFAOYSA-N 1 2 324.446 1.731 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCN(CC(F)(F)F)[C@@H](C)C1 ZINC000245727951 408052053 /nfs/dbraw/zinc/05/20/53/408052053.db2.gz SKZJFJPWWFUCGR-ZDUSSCGKSA-N 1 2 321.387 1.979 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCN(CC(F)(F)F)[C@@H](C)C1 ZINC000245727951 408052057 /nfs/dbraw/zinc/05/20/57/408052057.db2.gz SKZJFJPWWFUCGR-ZDUSSCGKSA-N 1 2 321.387 1.979 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCCN(C(=O)C4CC4)CC3)n2c1 ZINC000119050059 407983789 /nfs/dbraw/zinc/98/37/89/407983789.db2.gz FWVRCZKDHQYHBP-UHFFFAOYSA-N 1 2 323.400 1.650 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCCN(C(=O)C4CC4)CC3)n2c1 ZINC000119050059 407983796 /nfs/dbraw/zinc/98/37/96/407983796.db2.gz FWVRCZKDHQYHBP-UHFFFAOYSA-N 1 2 323.400 1.650 20 30 DDEDLO C#CC[N@@H+](CCCc1cccc(OC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000119071069 407986136 /nfs/dbraw/zinc/98/61/36/407986136.db2.gz YLZPWMDDARPDKW-MRXNPFEDSA-N 1 2 321.442 1.750 20 30 DDEDLO C#CC[N@H+](CCCc1cccc(OC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000119071069 407986140 /nfs/dbraw/zinc/98/61/40/407986140.db2.gz YLZPWMDDARPDKW-MRXNPFEDSA-N 1 2 321.442 1.750 20 30 DDEDLO Cc1cc(NC(=O)C[N@H+](C)C[C@H](O)c2ccc(C#N)cc2)no1 ZINC000268584901 408108146 /nfs/dbraw/zinc/10/81/46/408108146.db2.gz DJWWFZWOVRJXBN-AWEZNQCLSA-N 1 2 314.345 1.459 20 30 DDEDLO Cc1cc(NC(=O)C[N@@H+](C)C[C@H](O)c2ccc(C#N)cc2)no1 ZINC000268584901 408108154 /nfs/dbraw/zinc/10/81/54/408108154.db2.gz DJWWFZWOVRJXBN-AWEZNQCLSA-N 1 2 314.345 1.459 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)N2C[C@@H](C)C[C@H](C)C2)cs1 ZINC000121055004 408154132 /nfs/dbraw/zinc/15/41/32/408154132.db2.gz YYOWOJZRRWJQMO-QWRGUYRKSA-N 1 2 324.450 1.787 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H](Oc2ccncc2)C1 ZINC000156376590 408252301 /nfs/dbraw/zinc/25/23/01/408252301.db2.gz FVFUWNVOQGCVFO-DOTOQJQBSA-N 1 2 316.405 1.589 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@H](Oc2ccncc2)C1 ZINC000156376590 408252311 /nfs/dbraw/zinc/25/23/11/408252311.db2.gz FVFUWNVOQGCVFO-DOTOQJQBSA-N 1 2 316.405 1.589 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@H](S(C)(=O)=O)C2)cc1C#N ZINC000274153001 408311682 /nfs/dbraw/zinc/31/16/82/408311682.db2.gz LEBYTOUHXVBCEB-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@H](S(C)(=O)=O)C2)cc1C#N ZINC000274153001 408311687 /nfs/dbraw/zinc/31/16/87/408311687.db2.gz LEBYTOUHXVBCEB-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO Cn1cc[nH+]c1CNS(=O)(=O)c1ccc(C#N)c(Cl)c1 ZINC000169226476 162183765 /nfs/dbraw/zinc/18/37/65/162183765.db2.gz YWGOTTXXCXWBIL-UHFFFAOYSA-N 1 2 310.766 1.424 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)c1ccc(CC#N)cc1 ZINC000270297988 408490007 /nfs/dbraw/zinc/49/00/07/408490007.db2.gz NHAKBNMINRVVCD-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)c1ccc(CC#N)cc1 ZINC000270297988 408490011 /nfs/dbraw/zinc/49/00/11/408490011.db2.gz NHAKBNMINRVVCD-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO CC[C@@H]1C[C@@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)CCO1 ZINC000183974156 408522358 /nfs/dbraw/zinc/52/23/58/408522358.db2.gz PHRFYJIBPIFKGW-JKSUJKDBSA-N 1 2 323.437 1.266 20 30 DDEDLO CCOC(=O)Nc1ccc(NC(=O)Cn2cc[nH+]c2)cc1C#N ZINC000161224907 408576484 /nfs/dbraw/zinc/57/64/84/408576484.db2.gz DCRRZVLUMDQWIJ-UHFFFAOYSA-N 1 2 313.317 1.962 20 30 DDEDLO N#Cc1csc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC000177501084 408639492 /nfs/dbraw/zinc/63/94/92/408639492.db2.gz BJYSTANWTFFVPZ-CYBMUJFWSA-N 1 2 305.403 1.557 20 30 DDEDLO C=CCS(=O)(=O)CCN[C@@H](c1[nH]cc[nH+]1)c1ccc(F)cc1 ZINC000275725045 408660089 /nfs/dbraw/zinc/66/00/89/408660089.db2.gz OHDBDUMILXEUCU-CQSZACIVSA-N 1 2 323.393 1.829 20 30 DDEDLO C[C@H]1CCC[C@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)C1 ZINC000162596724 408716800 /nfs/dbraw/zinc/71/68/00/408716800.db2.gz JXUBXBWNZFFIQH-HOTGVXAUSA-N 1 2 307.438 1.887 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)[C@H]2CCN(C(C)C)C2=O)c1 ZINC000177658189 408679677 /nfs/dbraw/zinc/67/96/77/408679677.db2.gz LHCDNAJTZXGXOV-INIZCTEOSA-N 1 2 313.401 1.548 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)[C@H]2CCN(C(C)C)C2=O)c1 ZINC000177658189 408679682 /nfs/dbraw/zinc/67/96/82/408679682.db2.gz LHCDNAJTZXGXOV-INIZCTEOSA-N 1 2 313.401 1.548 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCO[C@@]2(CCOC2)C1)C1(C#N)CCCCC1 ZINC000185199543 408778617 /nfs/dbraw/zinc/77/86/17/408778617.db2.gz QSOKNKWVECNGQY-KRWDZBQOSA-N 1 2 321.421 1.163 20 30 DDEDLO CN(C(=O)C[N@H+]1CCO[C@@]2(CCOC2)C1)C1(C#N)CCCCC1 ZINC000185199543 408778620 /nfs/dbraw/zinc/77/86/20/408778620.db2.gz QSOKNKWVECNGQY-KRWDZBQOSA-N 1 2 321.421 1.163 20 30 DDEDLO Cc1nc(Nc2ccc(N3CCNC(=O)C3)[nH+]c2)ccc1C#N ZINC000291637120 408898911 /nfs/dbraw/zinc/89/89/11/408898911.db2.gz MCTXBQBREMUZCD-UHFFFAOYSA-N 1 2 308.345 1.337 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000291654672 408902179 /nfs/dbraw/zinc/90/21/79/408902179.db2.gz CEWGUIQXNWWBHE-GFCCVEGCSA-N 1 2 321.344 1.288 20 30 DDEDLO Cc1nc(N2CC[NH+](CC(=O)N3CCCC3)CC2)ccc1C#N ZINC000291690665 408907749 /nfs/dbraw/zinc/90/77/49/408907749.db2.gz SIMZSRSRMABMIW-UHFFFAOYSA-N 1 2 313.405 1.006 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCSC[C@@H]2c2cnn(C)c2)C1=O ZINC000281500619 408888886 /nfs/dbraw/zinc/88/88/86/408888886.db2.gz YAZGCMINNCUVGM-ZIAGYGMSSA-N 1 2 306.435 1.297 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCSC[C@@H]2c2cnn(C)c2)C1=O ZINC000281500619 408888891 /nfs/dbraw/zinc/88/88/91/408888891.db2.gz YAZGCMINNCUVGM-ZIAGYGMSSA-N 1 2 306.435 1.297 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000281550058 408889755 /nfs/dbraw/zinc/88/97/55/408889755.db2.gz ZVZQYURARKKVOW-AWEZNQCLSA-N 1 2 303.410 1.971 20 30 DDEDLO COC(=O)[C@H]1[C@H](O)CCC[N@@H+]1CCCOc1ccc(C#N)cc1 ZINC000189589559 163107540 /nfs/dbraw/zinc/10/75/40/163107540.db2.gz FJNXGBKHUJTSKC-HZPDHXFCSA-N 1 2 318.373 1.325 20 30 DDEDLO COC(=O)[C@H]1[C@H](O)CCC[N@H+]1CCCOc1ccc(C#N)cc1 ZINC000189589559 163107543 /nfs/dbraw/zinc/10/75/43/163107543.db2.gz FJNXGBKHUJTSKC-HZPDHXFCSA-N 1 2 318.373 1.325 20 30 DDEDLO COCCN1CCC[N@H+](Cc2ccc(C#N)c(F)c2)CC1=O ZINC000192217770 163219850 /nfs/dbraw/zinc/21/98/50/163219850.db2.gz NGTRRLGEKFENBG-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO COCCN1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)CC1=O ZINC000192217770 163219853 /nfs/dbraw/zinc/21/98/53/163219853.db2.gz NGTRRLGEKFENBG-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO C#CCC(CC#C)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000277401180 408934092 /nfs/dbraw/zinc/93/40/92/408934092.db2.gz LAXSTPHWWYIDLR-UHFFFAOYSA-N 1 2 311.385 1.197 20 30 DDEDLO N#Cc1cccc(CNC(=O)NCC2([NH+]3CCOCC3)CC2)c1 ZINC000277487390 408949331 /nfs/dbraw/zinc/94/93/31/408949331.db2.gz QCWPDOIEFXPRFF-UHFFFAOYSA-N 1 2 314.389 1.222 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(Cc3csc(C)n3)CC2)C1=O ZINC000281901057 408950250 /nfs/dbraw/zinc/95/02/50/408950250.db2.gz FRENBOXWMCCIBH-OAHLLOKOSA-N 1 2 320.462 1.356 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccoc2Br)CC1 ZINC000277714106 408997626 /nfs/dbraw/zinc/99/76/26/408997626.db2.gz JASYPAOWOSLZSP-UHFFFAOYSA-N 1 2 311.179 1.823 20 30 DDEDLO C#CCN(C)C(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1F ZINC000292299644 409018957 /nfs/dbraw/zinc/01/89/57/409018957.db2.gz HRJHGRHVUKKLIL-AWEZNQCLSA-N 1 2 300.337 1.923 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N1Cc2ccccc2C[C@H]1C(=O)OC ZINC000293250772 409076131 /nfs/dbraw/zinc/07/61/31/409076131.db2.gz TYPIMRYEJUHOCU-INIZCTEOSA-N 1 2 314.385 1.068 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N1Cc2ccccc2C[C@H]1C(=O)OC ZINC000293250772 409076134 /nfs/dbraw/zinc/07/61/34/409076134.db2.gz TYPIMRYEJUHOCU-INIZCTEOSA-N 1 2 314.385 1.068 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CCN(C2=[NH+]C[C@@H](C)S2)CC1 ZINC000293460383 409118109 /nfs/dbraw/zinc/11/81/09/409118109.db2.gz YSXMOJOSFMKWCJ-SNVBAGLBSA-N 1 2 303.378 1.833 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2cc(C#N)ccn2)C1 ZINC000287991197 409127965 /nfs/dbraw/zinc/12/79/65/409127965.db2.gz WDWCJXYBGVFXTQ-HNNXBMFYSA-N 1 2 314.389 1.136 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2cc(C#N)ccn2)C1 ZINC000287991197 409127967 /nfs/dbraw/zinc/12/79/67/409127967.db2.gz WDWCJXYBGVFXTQ-HNNXBMFYSA-N 1 2 314.389 1.136 20 30 DDEDLO C#Cc1ccc(CN2CC[NH+](CC(=O)N3CCCC3)CC2)cc1 ZINC000289049835 409212562 /nfs/dbraw/zinc/21/25/62/409212562.db2.gz IHYLJZJSEVZTCF-UHFFFAOYSA-N 1 2 311.429 1.408 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N2CC[NH+](C3CC3)CC2)cc1 ZINC000279549823 409235614 /nfs/dbraw/zinc/23/56/14/409235614.db2.gz NZDCNEQRVPMKCU-INIZCTEOSA-N 1 2 308.385 1.547 20 30 DDEDLO COc1cc(C(N)=[NH+]OCC(=O)N(C)C)cc(Cl)c1OC ZINC000289345845 409252301 /nfs/dbraw/zinc/25/23/01/409252301.db2.gz GNFGPILPQNURGI-UHFFFAOYSA-N 1 2 315.757 1.082 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000280239809 409276621 /nfs/dbraw/zinc/27/66/21/409276621.db2.gz JXRXKAUOEICJGO-CQSZACIVSA-N 1 2 315.417 1.938 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(C#N)c(F)c1 ZINC000290234639 409330117 /nfs/dbraw/zinc/33/01/17/409330117.db2.gz SAHJPXPZNLMHDT-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO CC(C)OCc1nc([C@@H](C)[NH2+]CCC(=O)N(C)CCC#N)no1 ZINC000289679615 409284738 /nfs/dbraw/zinc/28/47/38/409284738.db2.gz AUOLBMPWTIRCHJ-GFCCVEGCSA-N 1 2 323.397 1.407 20 30 DDEDLO COCC1=CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000290056491 409294903 /nfs/dbraw/zinc/29/49/03/409294903.db2.gz VLUVVKCFRVUOJX-UHFFFAOYSA-N 1 2 321.402 1.005 20 30 DDEDLO COCC1=CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000290056491 409294905 /nfs/dbraw/zinc/29/49/05/409294905.db2.gz VLUVVKCFRVUOJX-UHFFFAOYSA-N 1 2 321.402 1.005 20 30 DDEDLO C=CCOCC[NH+]1CCN(c2nnc(C(F)(F)F)s2)CC1 ZINC000294695375 409297263 /nfs/dbraw/zinc/29/72/63/409297263.db2.gz UEOFYTYCSZAALM-UHFFFAOYSA-N 1 2 322.356 1.882 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000280406345 409307625 /nfs/dbraw/zinc/30/76/25/409307625.db2.gz YGCHDYZIWGURGJ-UHFFFAOYSA-N 1 2 315.417 1.892 20 30 DDEDLO C=CCCCCNC(=O)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000290287055 409348428 /nfs/dbraw/zinc/34/84/28/409348428.db2.gz BERNHXXWDGYXTA-AWEZNQCLSA-N 1 2 316.405 1.249 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000285505585 409471187 /nfs/dbraw/zinc/47/11/87/409471187.db2.gz FPILCKYFESPADZ-UHFFFAOYSA-N 1 2 316.405 1.380 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@H]1[C@@H](O)C(F)(F)F)C1CC1 ZINC000331615749 409696253 /nfs/dbraw/zinc/69/62/53/409696253.db2.gz FYZRBKORWTZWQB-CYZMBNFOSA-N 1 2 319.327 1.182 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@H]1[C@@H](O)C(F)(F)F)C1CC1 ZINC000331615749 409696259 /nfs/dbraw/zinc/69/62/59/409696259.db2.gz FYZRBKORWTZWQB-CYZMBNFOSA-N 1 2 319.327 1.182 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCCC[C@H]2CCO)c1 ZINC000305246192 409742706 /nfs/dbraw/zinc/74/27/06/409742706.db2.gz DNQRLUXCWSBVBP-HNNXBMFYSA-N 1 2 322.430 1.569 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCCC[C@H]2CCO)c1 ZINC000305246192 409742712 /nfs/dbraw/zinc/74/27/12/409742712.db2.gz DNQRLUXCWSBVBP-HNNXBMFYSA-N 1 2 322.430 1.569 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1C[C@@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000346128738 409803075 /nfs/dbraw/zinc/80/30/75/409803075.db2.gz XSORLDYSHGPBPX-QXSJWSMHSA-N 1 2 308.422 1.147 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)NCCCCn1cc[nH+]c1 ZINC000318675280 409880022 /nfs/dbraw/zinc/88/00/22/409880022.db2.gz MHRBWNLKWAIXOT-UHFFFAOYSA-N 1 2 318.402 1.822 20 30 DDEDLO COC[C@@H]1CN(C(=O)NC[C@H]2CCCn3cc[nH+]c32)CCO1 ZINC000328616763 409936106 /nfs/dbraw/zinc/93/61/06/409936106.db2.gz SVJCKISCAOCWIL-OLZOCXBDSA-N 1 2 308.382 1.022 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCC[C@@H]3CCCCO3)C[C@H]21 ZINC000328894901 410003014 /nfs/dbraw/zinc/00/30/14/410003014.db2.gz UZHSKSMDNPDFEP-RRFJBIMHSA-N 1 2 311.426 1.265 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCC[C@@H]3CCCCO3)C[C@H]21 ZINC000328894901 410003020 /nfs/dbraw/zinc/00/30/20/410003020.db2.gz UZHSKSMDNPDFEP-RRFJBIMHSA-N 1 2 311.426 1.265 20 30 DDEDLO Cc1nc(NC(=O)[C@H](C(C)C)[N@H+]2C[C@@H](C)O[C@@H](C)C2)nn1C ZINC000329284440 410214442 /nfs/dbraw/zinc/21/44/42/410214442.db2.gz OLPWYUAIHGGWGK-LOWVWBTDSA-N 1 2 309.414 1.845 20 30 DDEDLO Cc1nc(NC(=O)[C@H](C(C)C)[N@@H+]2C[C@@H](C)O[C@@H](C)C2)nn1C ZINC000329284440 410214444 /nfs/dbraw/zinc/21/44/44/410214444.db2.gz OLPWYUAIHGGWGK-LOWVWBTDSA-N 1 2 309.414 1.845 20 30 DDEDLO CC(C)N1CC[C@@H]([NH+]2CCN(c3cccc(C#N)n3)CC2)C1=O ZINC000355131889 410330019 /nfs/dbraw/zinc/33/00/19/410330019.db2.gz VGDFKPSWGJLIHC-OAHLLOKOSA-N 1 2 313.405 1.085 20 30 DDEDLO CCC[N@H+](CC(=O)NC1(C#N)CCC1)[C@H]1CCS(=O)(=O)C1 ZINC000329456419 410307813 /nfs/dbraw/zinc/30/78/13/410307813.db2.gz MYEHADNCHZBYNH-LBPRGKRZSA-N 1 2 313.423 1.288 20 30 DDEDLO CCC[N@@H+](CC(=O)NC1(C#N)CCC1)[C@H]1CCS(=O)(=O)C1 ZINC000329456419 410307818 /nfs/dbraw/zinc/30/78/18/410307818.db2.gz MYEHADNCHZBYNH-LBPRGKRZSA-N 1 2 313.423 1.288 20 30 DDEDLO Cn1ncc(C(=O)NCc2ccc(-n3cc[nH+]c3)c(F)c2)c1C#N ZINC000355113432 410320783 /nfs/dbraw/zinc/32/07/83/410320783.db2.gz FLKALPUYQQSHMM-UHFFFAOYSA-N 1 2 324.319 1.547 20 30 DDEDLO O=C(NCCc1cn2ccccc2[nH+]1)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000329587138 410373145 /nfs/dbraw/zinc/37/31/45/410373145.db2.gz XETPYJICYUNUFY-CFVMTHIKSA-N 1 2 314.389 1.494 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(Cc2ncc[nH]2)CC1 ZINC000358461297 410476105 /nfs/dbraw/zinc/47/61/05/410476105.db2.gz XGKDYNFJWDBTDB-OAHLLOKOSA-N 1 2 319.453 1.340 20 30 DDEDLO CC[C@H](C)[C@@H](OC)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000355453757 410523722 /nfs/dbraw/zinc/52/37/22/410523722.db2.gz FQIVPMXAURJBFM-LSDHHAIUSA-N 1 2 311.426 1.122 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCO[C@]3(CCc4ccccc43)C2)C1=O ZINC000337196989 410660047 /nfs/dbraw/zinc/66/00/47/410660047.db2.gz XZBWLRGFIDOXJX-IEBWSBKVSA-N 1 2 312.413 1.947 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCO[C@]3(CCc4ccccc43)C2)C1=O ZINC000337196989 410660050 /nfs/dbraw/zinc/66/00/50/410660050.db2.gz XZBWLRGFIDOXJX-IEBWSBKVSA-N 1 2 312.413 1.947 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)c2ccc(C#N)cc2C)CCO1 ZINC000347604178 410628082 /nfs/dbraw/zinc/62/80/82/410628082.db2.gz YTSALIIMTBIYIC-HNNXBMFYSA-N 1 2 301.390 1.707 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)c2ccc(C#N)cc2C)CCO1 ZINC000347604178 410628087 /nfs/dbraw/zinc/62/80/87/410628087.db2.gz YTSALIIMTBIYIC-HNNXBMFYSA-N 1 2 301.390 1.707 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NC[C@H](c1ccco1)[NH+]1CCCCC1 ZINC000352412721 410655090 /nfs/dbraw/zinc/65/50/90/410655090.db2.gz IFLRUESEDLDAQO-QWHCGFSZSA-N 1 2 311.407 1.638 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](CC(=C)C)Cc2ccc(CO)o2)C1=O ZINC000352799923 410681120 /nfs/dbraw/zinc/68/11/20/410681120.db2.gz NVDNGWXPDCKFTQ-INIZCTEOSA-N 1 2 304.390 1.937 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](CC(=C)C)Cc2ccc(CO)o2)C1=O ZINC000352799923 410681125 /nfs/dbraw/zinc/68/11/25/410681125.db2.gz NVDNGWXPDCKFTQ-INIZCTEOSA-N 1 2 304.390 1.937 20 30 DDEDLO C=C(CNC(=O)NCC[N@H+]1CCOC[C@@H]1C)c1ccccc1 ZINC000352896291 410687969 /nfs/dbraw/zinc/68/79/69/410687969.db2.gz AGPKCSGWWYKKKU-HNNXBMFYSA-N 1 2 303.406 1.720 20 30 DDEDLO C=C(CNC(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1ccccc1 ZINC000352896291 410687979 /nfs/dbraw/zinc/68/79/79/410687979.db2.gz AGPKCSGWWYKKKU-HNNXBMFYSA-N 1 2 303.406 1.720 20 30 DDEDLO C=CCNC(=O)N1CCC[C@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000353181657 410832755 /nfs/dbraw/zinc/83/27/55/410832755.db2.gz LSJUGUIRCRYUOA-ZDUSSCGKSA-N 1 2 307.398 1.560 20 30 DDEDLO C=CCNC(=O)N1CCC[C@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000353181657 410832761 /nfs/dbraw/zinc/83/27/61/410832761.db2.gz LSJUGUIRCRYUOA-ZDUSSCGKSA-N 1 2 307.398 1.560 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@H](S(C)(=O)=O)CC2)cc1C#N ZINC000337508269 410833033 /nfs/dbraw/zinc/83/30/33/410833033.db2.gz HCMAEYPUJXXVEO-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@H](S(C)(=O)=O)CC2)cc1C#N ZINC000337508269 410833037 /nfs/dbraw/zinc/83/30/37/410833037.db2.gz HCMAEYPUJXXVEO-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1cncc(C#N)c1 ZINC000451928293 287190860 /nfs/dbraw/zinc/19/08/60/287190860.db2.gz DIIDLTBHLOISGY-CYBMUJFWSA-N 1 2 308.407 1.058 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1cncc(C#N)c1 ZINC000451928293 287190861 /nfs/dbraw/zinc/19/08/61/287190861.db2.gz DIIDLTBHLOISGY-CYBMUJFWSA-N 1 2 308.407 1.058 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356471146 411049139 /nfs/dbraw/zinc/04/91/39/411049139.db2.gz HMRBWEZQDWHYDI-UHFFFAOYSA-N 1 2 305.426 1.399 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356471146 411049143 /nfs/dbraw/zinc/04/91/43/411049143.db2.gz HMRBWEZQDWHYDI-UHFFFAOYSA-N 1 2 305.426 1.399 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2cn3ccccc3[nH+]2)cn1 ZINC000337828950 411023616 /nfs/dbraw/zinc/02/36/16/411023616.db2.gz YZULLBWHZQZBKW-UHFFFAOYSA-N 1 2 313.342 1.079 20 30 DDEDLO Cc1cc(C)c(NC(=O)C(=O)NCC2(C#N)CCC2)c(C)[nH+]1 ZINC000419808222 226382213 /nfs/dbraw/zinc/38/22/13/226382213.db2.gz NDXKOIAQMMZRFE-UHFFFAOYSA-N 1 2 300.362 1.755 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCN(c2ccccc2)C(=O)C1 ZINC000360612324 418477204 /nfs/dbraw/zinc/47/72/04/418477204.db2.gz PKUSYZHLHOBWMD-UHFFFAOYSA-N 1 2 314.389 1.097 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCN(c2ccccc2)C(=O)C1 ZINC000360612324 418477207 /nfs/dbraw/zinc/47/72/07/418477207.db2.gz PKUSYZHLHOBWMD-UHFFFAOYSA-N 1 2 314.389 1.097 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CC(n3cc([C@H](C)O)nn3)C2)cc1 ZINC000374807356 418564147 /nfs/dbraw/zinc/56/41/47/418564147.db2.gz PHUYMQHFMNZYMT-ZDUSSCGKSA-N 1 2 312.373 1.400 20 30 DDEDLO NC(COc1cc(F)cc(F)c1)=[NH+]OCCOCC(F)F ZINC000189377920 222043343 /nfs/dbraw/zinc/04/33/43/222043343.db2.gz WUPBDCQEWZAOKC-UHFFFAOYSA-N 1 2 310.247 1.914 20 30 DDEDLO Cn1nccc1CC[N@@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000367258377 418577061 /nfs/dbraw/zinc/57/70/61/418577061.db2.gz PUNAOGMADNNUQA-UHFFFAOYSA-N 1 2 323.400 1.179 20 30 DDEDLO Cn1nccc1CC[N@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000367258377 418577064 /nfs/dbraw/zinc/57/70/64/418577064.db2.gz PUNAOGMADNNUQA-UHFFFAOYSA-N 1 2 323.400 1.179 20 30 DDEDLO C=C(C)CN(C)C(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000361102808 418583953 /nfs/dbraw/zinc/58/39/53/418583953.db2.gz PHPHUOVKDGIMQZ-CYBMUJFWSA-N 1 2 319.409 1.129 20 30 DDEDLO C=CCOC[C@H]([NH2+][C@H]1CCc2c(cccc2OC)C1)C(=O)OC ZINC000361112881 418586507 /nfs/dbraw/zinc/58/65/07/418586507.db2.gz JZALXAHRFXUUBP-HOCLYGCPSA-N 1 2 319.401 1.886 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)Cc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000246209779 222221091 /nfs/dbraw/zinc/22/10/91/222221091.db2.gz AZDAAHFBTOJXRK-HOTGVXAUSA-N 1 2 309.431 1.265 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)Cc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000246209779 222221092 /nfs/dbraw/zinc/22/10/92/222221092.db2.gz AZDAAHFBTOJXRK-HOTGVXAUSA-N 1 2 309.431 1.265 20 30 DDEDLO CC#CCCNC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000361208280 418605968 /nfs/dbraw/zinc/60/59/68/418605968.db2.gz INJNZUHVSYRGBC-QGZVFWFLSA-N 1 2 315.417 1.600 20 30 DDEDLO CC#CCCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000361208280 418605972 /nfs/dbraw/zinc/60/59/72/418605972.db2.gz INJNZUHVSYRGBC-QGZVFWFLSA-N 1 2 315.417 1.600 20 30 DDEDLO N#Cc1c(Cl)cccc1S(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC000377795347 418714936 /nfs/dbraw/zinc/71/49/36/418714936.db2.gz BPFBLNNLLIEAFO-UHFFFAOYSA-N 1 2 322.777 1.613 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CCCN(Cc2[nH+]ccn2CC)CC1 ZINC000377901931 418716789 /nfs/dbraw/zinc/71/67/89/418716789.db2.gz OXDTXHPVSMSMJD-HNNXBMFYSA-N 1 2 317.437 1.532 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CCC[N@@H+](Cc2nccn2CC)CC1 ZINC000377901931 418716790 /nfs/dbraw/zinc/71/67/90/418716790.db2.gz OXDTXHPVSMSMJD-HNNXBMFYSA-N 1 2 317.437 1.532 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CCC[N@H+](Cc2nccn2CC)CC1 ZINC000377901931 418716792 /nfs/dbraw/zinc/71/67/92/418716792.db2.gz OXDTXHPVSMSMJD-HNNXBMFYSA-N 1 2 317.437 1.532 20 30 DDEDLO Cc1cc(C#N)cc(N2CCC[C@@H](CN3CC[NH+](C)CC3)C2)n1 ZINC000376190737 418694124 /nfs/dbraw/zinc/69/41/24/418694124.db2.gz WIJLOLAUJUVKMH-INIZCTEOSA-N 1 2 313.449 1.726 20 30 DDEDLO CN(Cc1cn2c([nH+]1)CCCC2)S(=O)(=O)c1ccc(C#N)o1 ZINC000376344034 418696292 /nfs/dbraw/zinc/69/62/92/418696292.db2.gz NHLJQMXFJYLMGK-UHFFFAOYSA-N 1 2 320.374 1.505 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[NH2+][C@@H](c2ccncc2)C1 ZINC000368181937 418699794 /nfs/dbraw/zinc/69/97/94/418699794.db2.gz WWKHMMCWYUGJLH-GOSISDBHSA-N 1 2 305.381 1.779 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN([C@H]2CCOC2)CC1 ZINC000362032737 418742586 /nfs/dbraw/zinc/74/25/86/418742586.db2.gz PNGWBDLVTXBPFX-CVEARBPZSA-N 1 2 309.454 1.206 20 30 DDEDLO N#C[C@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)nc2)CCN1C1CC1 ZINC000370999128 418756238 /nfs/dbraw/zinc/75/62/38/418756238.db2.gz LQZMBYXQNZPXJF-HNNXBMFYSA-N 1 2 322.372 1.080 20 30 DDEDLO CCc1nc([C@@H]2CCC[N@@H+](CC(=O)N(CC)CCC#N)C2)no1 ZINC000363088378 418762224 /nfs/dbraw/zinc/76/22/24/418762224.db2.gz YLGHPLNBCPFIGI-CYBMUJFWSA-N 1 2 319.409 1.574 20 30 DDEDLO CCc1nc([C@@H]2CCC[N@H+](CC(=O)N(CC)CCC#N)C2)no1 ZINC000363088378 418762225 /nfs/dbraw/zinc/76/22/25/418762225.db2.gz YLGHPLNBCPFIGI-CYBMUJFWSA-N 1 2 319.409 1.574 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000404071847 418769516 /nfs/dbraw/zinc/76/95/16/418769516.db2.gz LWPVAVGMHWZGLC-CYBMUJFWSA-N 1 2 310.394 1.954 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000404071847 418769518 /nfs/dbraw/zinc/76/95/18/418769518.db2.gz LWPVAVGMHWZGLC-CYBMUJFWSA-N 1 2 310.394 1.954 20 30 DDEDLO Cc1nn(C)cc1[C@H]1CCC[N@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000363927353 418771571 /nfs/dbraw/zinc/77/15/71/418771571.db2.gz XLTNXRXFBDQIKE-WBVHZDCISA-N 1 2 317.437 1.920 20 30 DDEDLO Cc1nn(C)cc1[C@H]1CCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000363927353 418771573 /nfs/dbraw/zinc/77/15/73/418771573.db2.gz XLTNXRXFBDQIKE-WBVHZDCISA-N 1 2 317.437 1.920 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@H](NC(=O)C2CCC2)C1 ZINC000364187515 418774485 /nfs/dbraw/zinc/77/44/85/418774485.db2.gz BBAHHTUMEQGMDW-PBHICJAKSA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@@H](NC(=O)C2CCC2)C1 ZINC000364187515 418774488 /nfs/dbraw/zinc/77/44/88/418774488.db2.gz BBAHHTUMEQGMDW-PBHICJAKSA-N 1 2 320.437 1.031 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1[C@H]1CCCC1=O ZINC000365044572 418832625 /nfs/dbraw/zinc/83/26/25/418832625.db2.gz HEEIWJKNNRDXRV-HUUCEWRRSA-N 1 2 308.422 1.481 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1[C@H]1CCCC1=O ZINC000365044572 418832627 /nfs/dbraw/zinc/83/26/27/418832627.db2.gz HEEIWJKNNRDXRV-HUUCEWRRSA-N 1 2 308.422 1.481 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](CC(=O)Nc2sccc2C#N)CC1(C)C ZINC000364763013 418809530 /nfs/dbraw/zinc/80/95/30/418809530.db2.gz COEDCVUZQYVELS-NSHDSACASA-N 1 2 321.402 1.689 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](CC(=O)Nc2sccc2C#N)CC1(C)C ZINC000364763013 418809531 /nfs/dbraw/zinc/80/95/31/418809531.db2.gz COEDCVUZQYVELS-NSHDSACASA-N 1 2 321.402 1.689 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC000371853269 418822419 /nfs/dbraw/zinc/82/24/19/418822419.db2.gz QBVKTLGOUGXJEM-VIFPVBQESA-N 1 2 315.171 1.220 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC000371853269 418822421 /nfs/dbraw/zinc/82/24/21/418822421.db2.gz QBVKTLGOUGXJEM-VIFPVBQESA-N 1 2 315.171 1.220 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC000365272744 418848884 /nfs/dbraw/zinc/84/88/84/418848884.db2.gz FFDZHTUPOJOAIH-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC000365272744 418848885 /nfs/dbraw/zinc/84/88/85/418848885.db2.gz FFDZHTUPOJOAIH-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO COC(=O)c1conc1C(C)(C)[NH2+]CCC(=O)N(C)CCC#N ZINC000425594163 420422489 /nfs/dbraw/zinc/42/24/89/420422489.db2.gz XYBMVJKKIWSHCO-UHFFFAOYSA-N 1 2 322.365 1.048 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)[C@H](C)[C@H](C)O1 ZINC000446067322 230226275 /nfs/dbraw/zinc/22/62/75/230226275.db2.gz APQHLZYFVSNPFY-MCIONIFRSA-N 1 2 301.390 1.672 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)[C@H](C)[C@H](C)O1 ZINC000446067322 230226278 /nfs/dbraw/zinc/22/62/78/230226278.db2.gz APQHLZYFVSNPFY-MCIONIFRSA-N 1 2 301.390 1.672 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000458569517 420687520 /nfs/dbraw/zinc/68/75/20/420687520.db2.gz KPGRVWIPIIYTJF-UONOGXRCSA-N 1 2 306.410 1.695 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)/C=C/c2ccc(C#N)cc2)CCO1 ZINC000493323101 420819005 /nfs/dbraw/zinc/81/90/05/420819005.db2.gz OFTLQAPKACJWFX-OZSKJFCKSA-N 1 2 313.401 1.798 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)/C=C/c2ccc(C#N)cc2)CCO1 ZINC000493323101 420819008 /nfs/dbraw/zinc/81/90/08/420819008.db2.gz OFTLQAPKACJWFX-OZSKJFCKSA-N 1 2 313.401 1.798 20 30 DDEDLO C=C(CC)CNC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000454235067 420820787 /nfs/dbraw/zinc/82/07/87/420820787.db2.gz QQEBOSOBMPYAGI-UHFFFAOYSA-N 1 2 304.394 1.684 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000479018977 420973007 /nfs/dbraw/zinc/97/30/07/420973007.db2.gz JHXJGWQUBBUNNL-QGZVFWFLSA-N 1 2 322.449 1.705 20 30 DDEDLO Cc1nc(N)c(CNC(=O)/C=C/c2ccc(OCC#N)cc2)c[nH+]1 ZINC000493760313 420935264 /nfs/dbraw/zinc/93/52/64/420935264.db2.gz SMAPLPGPFGINSO-QPJJXVBHSA-N 1 2 323.356 1.599 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)c1 ZINC000456010625 421087983 /nfs/dbraw/zinc/08/79/83/421087983.db2.gz UNBIVWFSEUQLBN-OAHLLOKOSA-N 1 2 301.394 1.234 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)c1 ZINC000456010625 421087984 /nfs/dbraw/zinc/08/79/84/421087984.db2.gz UNBIVWFSEUQLBN-OAHLLOKOSA-N 1 2 301.394 1.234 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1ncc(C#N)cc1Cl ZINC000449845644 421094751 /nfs/dbraw/zinc/09/47/51/421094751.db2.gz REIDASGEPYWOIY-JTQLQIEISA-N 1 2 308.769 1.057 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1ncc(C#N)cc1Cl ZINC000449845644 421094755 /nfs/dbraw/zinc/09/47/55/421094755.db2.gz REIDASGEPYWOIY-JTQLQIEISA-N 1 2 308.769 1.057 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](C(C)(C)C(N)=O)CC2)CCCCC1 ZINC000449996732 421125767 /nfs/dbraw/zinc/12/57/67/421125767.db2.gz QPSIZPJAAGKEEJ-UHFFFAOYSA-N 1 2 321.465 1.921 20 30 DDEDLO CC(C)(CNc1ncc(C#N)cc1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000450219792 421165840 /nfs/dbraw/zinc/16/58/40/421165840.db2.gz ZFSGNLZOCDLFCO-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO COCC[N@H+](Cc1ccnn1C)Cc1cc(C#N)ccc1OC ZINC000489878303 421177671 /nfs/dbraw/zinc/17/76/71/421177671.db2.gz BCSKWTLEDSZUKM-UHFFFAOYSA-N 1 2 314.389 1.949 20 30 DDEDLO COCC[N@@H+](Cc1ccnn1C)Cc1cc(C#N)ccc1OC ZINC000489878303 421177673 /nfs/dbraw/zinc/17/76/73/421177673.db2.gz BCSKWTLEDSZUKM-UHFFFAOYSA-N 1 2 314.389 1.949 20 30 DDEDLO N#Cc1cnccc1NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000546653564 421300888 /nfs/dbraw/zinc/30/08/88/421300888.db2.gz DFXISTQLRSIRDC-SFHVURJKSA-N 1 2 308.385 1.861 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)[C@H](C)C[S@](C)=O)c1C#N ZINC000546640133 421301290 /nfs/dbraw/zinc/30/12/90/421301290.db2.gz IFPJZIUXGNQFOZ-FIKIJFGZSA-N 1 2 308.403 1.544 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)[C@H](C)C[S@](C)=O)c1C#N ZINC000546640133 421301296 /nfs/dbraw/zinc/30/12/96/421301296.db2.gz IFPJZIUXGNQFOZ-FIKIJFGZSA-N 1 2 308.403 1.544 20 30 DDEDLO COC(C)(C)C[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000514753077 421451657 /nfs/dbraw/zinc/45/16/57/421451657.db2.gz CBRVXSIACQWEAT-UHFFFAOYSA-N 1 2 311.407 1.084 20 30 DDEDLO COC(C)(C)C[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000514753077 421451659 /nfs/dbraw/zinc/45/16/59/421451659.db2.gz CBRVXSIACQWEAT-UHFFFAOYSA-N 1 2 311.407 1.084 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)Nc2ccc(Cl)c(F)c2)C1 ZINC000528540227 421497669 /nfs/dbraw/zinc/49/76/69/421497669.db2.gz XQEQMABMGWOJKS-AWEZNQCLSA-N 1 2 311.744 1.768 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)Nc2ccc(Cl)c(F)c2)C1 ZINC000528540227 421497673 /nfs/dbraw/zinc/49/76/73/421497673.db2.gz XQEQMABMGWOJKS-AWEZNQCLSA-N 1 2 311.744 1.768 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000517512450 421615326 /nfs/dbraw/zinc/61/53/26/421615326.db2.gz UGQSZLXZCCFSGE-KGLIPLIRSA-N 1 2 304.394 1.680 20 30 DDEDLO C[C@H](C#N)CNC(=O)N(CC[NH+]1CCOCC1)Cc1ccco1 ZINC000569203895 421624995 /nfs/dbraw/zinc/62/49/95/421624995.db2.gz KRIUMVYDYYNWBZ-CQSZACIVSA-N 1 2 320.393 1.283 20 30 DDEDLO Cc1c(C(=O)N[C@](C)(C#N)C[NH+](C)C)cnn1-c1ccccc1 ZINC000571169144 421693789 /nfs/dbraw/zinc/69/37/89/421693789.db2.gz FALDNXQRBUIJIK-QGZVFWFLSA-N 1 2 311.389 1.754 20 30 DDEDLO CC[C@H](C)[N@H+](CC(=O)OC)Cc1cnc2c(C#N)cnn2c1 ZINC000520583370 421756758 /nfs/dbraw/zinc/75/67/58/421756758.db2.gz NDJFULULQQBZLG-NSHDSACASA-N 1 2 301.350 1.374 20 30 DDEDLO CC[C@H](C)[N@@H+](CC(=O)OC)Cc1cnc2c(C#N)cnn2c1 ZINC000520583370 421756761 /nfs/dbraw/zinc/75/67/61/421756761.db2.gz NDJFULULQQBZLG-NSHDSACASA-N 1 2 301.350 1.374 20 30 DDEDLO C=CCCC(C)(C)CNC(=O)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000636884521 421882097 /nfs/dbraw/zinc/88/20/97/421882097.db2.gz ZMHSMNRZNIDSHQ-CYBMUJFWSA-N 1 2 318.421 1.423 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)COc1ccc(Cl)c(F)c1 ZINC000573972773 422042032 /nfs/dbraw/zinc/04/20/32/422042032.db2.gz OJHLOJJWSLXBSW-CQSZACIVSA-N 1 2 313.760 1.818 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)COC/C=C\c1ccccc1 ZINC000573729734 422006506 /nfs/dbraw/zinc/00/65/06/422006506.db2.gz LLMIOWWWGJYGDH-CGQZWFTASA-N 1 2 301.390 1.676 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000574061230 422057496 /nfs/dbraw/zinc/05/74/96/422057496.db2.gz FGRPERGICZSBIG-JSGCOSHPSA-N 1 2 321.421 1.139 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1nc(NCCO)c2ccccc2[nH+]1 ZINC000583065636 422158176 /nfs/dbraw/zinc/15/81/76/422158176.db2.gz RCUDDHXMINHHTI-KGLIPLIRSA-N 1 2 300.362 1.789 20 30 DDEDLO Cc1cc(NC(=O)Cc2cn3c([nH+]2)CCCC3)nn1CCC#N ZINC000593574137 422340030 /nfs/dbraw/zinc/34/00/30/422340030.db2.gz LPHCDFNPPYZBRW-UHFFFAOYSA-N 1 2 312.377 1.819 20 30 DDEDLO N#CC[C@H]1CCCN(c2nc(NCCO)c3ccccc3[nH+]2)C1 ZINC000596330839 422375769 /nfs/dbraw/zinc/37/57/69/422375769.db2.gz OGRYTOYOWPWRJM-CYBMUJFWSA-N 1 2 311.389 2.164 20 30 DDEDLO N#CC1(CN2CC[NH+](CC(=O)N3CCCCC3)CC2)CCC1 ZINC000576911229 422379488 /nfs/dbraw/zinc/37/94/88/422379488.db2.gz CHUJCWQZTFRHLM-UHFFFAOYSA-N 1 2 304.438 1.310 20 30 DDEDLO C[N@H+](CC#CCN1C(=O)c2ccccc2C1=O)[C@H]1CCC[C@H]1C#N ZINC000602981919 422440373 /nfs/dbraw/zinc/44/03/73/422440373.db2.gz LLXYUHAWCQEEIC-YOEHRIQHSA-N 1 2 321.380 1.910 20 30 DDEDLO C[N@@H+](CC#CCN1C(=O)c2ccccc2C1=O)[C@H]1CCC[C@H]1C#N ZINC000602981919 422440377 /nfs/dbraw/zinc/44/03/77/422440377.db2.gz LLXYUHAWCQEEIC-YOEHRIQHSA-N 1 2 321.380 1.910 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC=C(c2ccccn2)C1)C1CC1 ZINC000577461048 422385695 /nfs/dbraw/zinc/38/56/95/422385695.db2.gz QFOBMWUYMSEVPO-GOSISDBHSA-N 1 2 310.401 1.979 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC=C(c2ccccn2)C1)C1CC1 ZINC000577461048 422385700 /nfs/dbraw/zinc/38/57/00/422385700.db2.gz QFOBMWUYMSEVPO-GOSISDBHSA-N 1 2 310.401 1.979 20 30 DDEDLO C=CC[C@H](C)NC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000633020931 422713579 /nfs/dbraw/zinc/71/35/79/422713579.db2.gz UGQWPSMKABOABQ-AWEZNQCLSA-N 1 2 303.410 1.311 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccc(C)cc2C)nn1 ZINC000640764753 423172999 /nfs/dbraw/zinc/17/29/99/423172999.db2.gz YTRZNKHXWVBICI-UHFFFAOYSA-N 1 2 311.389 1.647 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc3c(cn2)OCCO3)C1=O ZINC000639133080 423324846 /nfs/dbraw/zinc/32/48/46/423324846.db2.gz XTHNDNDEZQDDKU-ZDUSSCGKSA-N 1 2 303.362 1.072 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc3c(cn2)OCCO3)C1=O ZINC000639133080 423324853 /nfs/dbraw/zinc/32/48/53/423324853.db2.gz XTHNDNDEZQDDKU-ZDUSSCGKSA-N 1 2 303.362 1.072 20 30 DDEDLO C=CCCn1cc(CN2C[C@@H]([NH+]3CCOCC3)C[C@H]2C)nn1 ZINC000653672180 423573299 /nfs/dbraw/zinc/57/32/99/423573299.db2.gz FZEUBINTFCFXQP-ZBFHGGJFSA-N 1 2 305.426 1.149 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@@H](N3CCOCC3)C[C@H]2C)nn1 ZINC000653672180 423573304 /nfs/dbraw/zinc/57/33/04/423573304.db2.gz FZEUBINTFCFXQP-ZBFHGGJFSA-N 1 2 305.426 1.149 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@@H](N3CCOCC3)C[C@H]2C)nn1 ZINC000653672180 423573311 /nfs/dbraw/zinc/57/33/11/423573311.db2.gz FZEUBINTFCFXQP-ZBFHGGJFSA-N 1 2 305.426 1.149 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000649186748 423666216 /nfs/dbraw/zinc/66/62/16/423666216.db2.gz CTOHGAGYKBRHIK-INIZCTEOSA-N 1 2 316.401 1.023 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCc1cn2cc(C)ccc2[nH+]1 ZINC000645197964 424229422 /nfs/dbraw/zinc/22/94/22/424229422.db2.gz FCJVKFJSCRGEPL-ZDUSSCGKSA-N 1 2 300.362 1.216 20 30 DDEDLO CCN1CCN(c2nnc(C)c(C)c2C#N)C[C@@H]1c1[nH]cc[nH+]1 ZINC000366537786 266153220 /nfs/dbraw/zinc/15/32/20/266153220.db2.gz MQVBGOKBFJUIHI-CQSZACIVSA-N 1 2 311.393 1.571 20 30 DDEDLO CC[C@@H](C(=O)OC)N1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC000352662591 266352490 /nfs/dbraw/zinc/35/24/90/266352490.db2.gz ZSTXFMGHZHFIDT-INIZCTEOSA-N 1 2 301.390 1.627 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000355088980 267199846 /nfs/dbraw/zinc/19/98/46/267199846.db2.gz PGPOMQLOPNMINU-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000355088980 267199854 /nfs/dbraw/zinc/19/98/54/267199854.db2.gz PGPOMQLOPNMINU-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO Cc1cc(N2CC[NH+]([C@H](C)C(=O)NC3CC3)CC2)cc(C#N)n1 ZINC000341435954 267339662 /nfs/dbraw/zinc/33/96/62/267339662.db2.gz UXIDSNIJJMXUMP-CYBMUJFWSA-N 1 2 313.405 1.051 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@H+]3CCC[C@H]4C(=O)NC[C@H]43)o2)cc1 ZINC000366903252 268028962 /nfs/dbraw/zinc/02/89/62/268028962.db2.gz NZJVRJKJSYFDDK-ZIAGYGMSSA-N 1 2 323.356 1.319 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@@H+]3CCC[C@H]4C(=O)NC[C@H]43)o2)cc1 ZINC000366903252 268028965 /nfs/dbraw/zinc/02/89/65/268028965.db2.gz NZJVRJKJSYFDDK-ZIAGYGMSSA-N 1 2 323.356 1.319 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)cc1F ZINC000519180201 268161046 /nfs/dbraw/zinc/16/10/46/268161046.db2.gz LEBYLHKWKBMIAG-LLVKDONJSA-N 1 2 316.311 1.912 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](CC(=O)NCC3CCC3)C2)nc1 ZINC000366420762 268184758 /nfs/dbraw/zinc/18/47/58/268184758.db2.gz PORBYGSTGNDXQW-HNNXBMFYSA-N 1 2 314.389 1.323 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](CC(=O)NCC3CCC3)C2)nc1 ZINC000366420762 268184760 /nfs/dbraw/zinc/18/47/60/268184760.db2.gz PORBYGSTGNDXQW-HNNXBMFYSA-N 1 2 314.389 1.323 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+]Cc2ccc(Cl)cn2)C(N)=O)cc1 ZINC000344480405 268214754 /nfs/dbraw/zinc/21/47/54/268214754.db2.gz FPDYMPVZZLGGSO-AWEZNQCLSA-N 1 2 300.749 1.923 20 30 DDEDLO N#Cc1cccc(CCNC(=O)NC[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000374269837 268243884 /nfs/dbraw/zinc/24/38/84/268243884.db2.gz CDEKTCMGMAHDJX-MRXNPFEDSA-N 1 2 323.400 1.859 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCC[C@@H]2c2cc[nH]n2)c1 ZINC000375671176 268249582 /nfs/dbraw/zinc/24/95/82/268249582.db2.gz FNKZELHTBSKHTL-MRXNPFEDSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCC[C@@H]2c2cc[nH]n2)c1 ZINC000375671176 268249585 /nfs/dbraw/zinc/24/95/85/268249585.db2.gz FNKZELHTBSKHTL-MRXNPFEDSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1ccnc(C(=O)NCc2[nH+]ccn2CC(F)(F)F)c1 ZINC000353163807 268289232 /nfs/dbraw/zinc/28/92/32/268289232.db2.gz OBXCSOIFSTTZEG-UHFFFAOYSA-N 1 2 309.251 1.642 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@H]2CCC[N@@H+]2C)cc1C#N ZINC000341566471 271121529 /nfs/dbraw/zinc/12/15/29/271121529.db2.gz RKXXURSFFSGTTJ-SNVBAGLBSA-N 1 2 306.391 1.205 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@H]2CCC[N@H+]2C)cc1C#N ZINC000341566471 271121532 /nfs/dbraw/zinc/12/15/32/271121532.db2.gz RKXXURSFFSGTTJ-SNVBAGLBSA-N 1 2 306.391 1.205 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@H]2CCc3ccc(F)cc32)CC1 ZINC000361316153 276898467 /nfs/dbraw/zinc/89/84/67/276898467.db2.gz OZDGPPRXPKPMRU-KRWDZBQOSA-N 1 2 317.408 1.733 20 30 DDEDLO C[C@@H]1Oc2ccccc2[C@@H]1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329636323 279066562 /nfs/dbraw/zinc/06/65/62/279066562.db2.gz YDKNZNZWBULUGV-DDUYRFODSA-N 1 2 317.389 1.437 20 30 DDEDLO C[C@@H]1Oc2ccccc2[C@@H]1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329636323 279066564 /nfs/dbraw/zinc/06/65/64/279066564.db2.gz YDKNZNZWBULUGV-DDUYRFODSA-N 1 2 317.389 1.437 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)CN(C)CC[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000195314779 279214103 /nfs/dbraw/zinc/21/41/03/279214103.db2.gz BFJKMVJPWUSNMS-HRCADAONSA-N 1 2 324.469 1.036 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)CN(C)CC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000195314779 279214104 /nfs/dbraw/zinc/21/41/04/279214104.db2.gz BFJKMVJPWUSNMS-HRCADAONSA-N 1 2 324.469 1.036 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)N1CCC(C)(C#N)CC1 ZINC000355962639 281284945 /nfs/dbraw/zinc/28/49/45/281284945.db2.gz NUFPLVCXFMKXBV-UHFFFAOYSA-N 1 2 308.426 1.432 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)N1CCC(C)(C#N)CC1 ZINC000355962639 281284948 /nfs/dbraw/zinc/28/49/48/281284948.db2.gz NUFPLVCXFMKXBV-UHFFFAOYSA-N 1 2 308.426 1.432 20 30 DDEDLO CN(C)[C@H](C(=O)N[C@@H]1CCC(=O)N[C@@H]1C1CC1)c1c[nH+]cn1C ZINC000329093337 289342638 /nfs/dbraw/zinc/34/26/38/289342638.db2.gz ANFKVXBYQMNYPA-DFBGVHRSSA-N 1 2 319.409 1.877 20 30 DDEDLO Cn1cc[nH+]c1CN1C[C@@H](F)C[C@H]1CNC(=O)C1(C#N)CCC1 ZINC000329986830 302988316 /nfs/dbraw/zinc/98/83/16/302988316.db2.gz SDWIWDKNGSOOTH-STQMWFEESA-N 1 2 319.384 1.983 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCCC[C@H]2[C@@H](O)C(F)(F)F)CCC1 ZINC000346962409 303145294 /nfs/dbraw/zinc/14/52/94/303145294.db2.gz GEMHSKAUVNZADA-CMPLNLGQSA-N 1 2 319.327 1.327 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCCC[C@H]2[C@@H](O)C(F)(F)F)CCC1 ZINC000346962409 303145296 /nfs/dbraw/zinc/14/52/96/303145296.db2.gz GEMHSKAUVNZADA-CMPLNLGQSA-N 1 2 319.327 1.327 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCC[C@@]4(CNC(=O)O4)C3)n2c1 ZINC000372740319 307174190 /nfs/dbraw/zinc/17/41/90/307174190.db2.gz MFZHWOJIURRIEX-MRXNPFEDSA-N 1 2 311.345 1.280 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCC[C@@]4(CNC(=O)O4)C3)n2c1 ZINC000372740319 307174191 /nfs/dbraw/zinc/17/41/91/307174191.db2.gz MFZHWOJIURRIEX-MRXNPFEDSA-N 1 2 311.345 1.280 20 30 DDEDLO N#Cc1cccc(NC(=O)[C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000571287708 308193888 /nfs/dbraw/zinc/19/38/88/308193888.db2.gz VJLQJOIKXKKUTH-MRXNPFEDSA-N 1 2 322.368 1.793 20 30 DDEDLO N#Cc1cccc(NC(=O)[C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000571287708 308193889 /nfs/dbraw/zinc/19/38/89/308193889.db2.gz VJLQJOIKXKKUTH-MRXNPFEDSA-N 1 2 322.368 1.793 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)[C@@H]2CCC(=O)c3ccccc32)CC1 ZINC000559570917 332271679 /nfs/dbraw/zinc/27/16/79/332271679.db2.gz QCKZLCROMFBZNE-OAHLLOKOSA-N 1 2 311.385 1.851 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)[C@@]2(C#N)C[C@H]2C)nc[nH+]1 ZINC000560178697 332317202 /nfs/dbraw/zinc/31/72/02/332317202.db2.gz UEBWVMVBVXMXBE-XHBSWPGZSA-N 1 2 314.393 1.153 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)[C@@]2(C#N)C[C@H]2C)[nH+]cn1 ZINC000560178697 332317204 /nfs/dbraw/zinc/31/72/04/332317204.db2.gz UEBWVMVBVXMXBE-XHBSWPGZSA-N 1 2 314.393 1.153 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@H](C)c1nc(C)c(C(=O)OCC)s1 ZINC000151740754 332608898 /nfs/dbraw/zinc/60/88/98/332608898.db2.gz WAPSURBSDAGNQP-GHMZBOCLSA-N 1 2 323.418 1.417 20 30 DDEDLO Cn1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c2ccccc2c1=O ZINC000582789181 337166407 /nfs/dbraw/zinc/16/64/07/337166407.db2.gz TVIZYSXTTXUKHV-KRWDZBQOSA-N 1 2 312.373 1.112 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCOC[C@@H]1C1CCC1 ZINC000583022973 337226140 /nfs/dbraw/zinc/22/61/40/337226140.db2.gz NFQJACKDMWXHKD-OAHLLOKOSA-N 1 2 304.394 1.143 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCOC[C@@H]1C1CCC1 ZINC000583022973 337226141 /nfs/dbraw/zinc/22/61/41/337226141.db2.gz NFQJACKDMWXHKD-OAHLLOKOSA-N 1 2 304.394 1.143 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cccc(OCCC)c2)CC1 ZINC000085272157 338007943 /nfs/dbraw/zinc/00/79/43/338007943.db2.gz WZTOPAKOWKWZIO-UHFFFAOYSA-N 1 2 315.417 1.665 20 30 DDEDLO CN(C)C(=O)O[C@H]1CC[N@H+](CC(=O)NC2(C#N)CCCCC2)C1 ZINC000496469029 340006087 /nfs/dbraw/zinc/00/60/87/340006087.db2.gz KMMORLIPKYGGLK-ZDUSSCGKSA-N 1 2 322.409 1.102 20 30 DDEDLO CN(C)C(=O)O[C@H]1CC[N@@H+](CC(=O)NC2(C#N)CCCCC2)C1 ZINC000496469029 340006088 /nfs/dbraw/zinc/00/60/88/340006088.db2.gz KMMORLIPKYGGLK-ZDUSSCGKSA-N 1 2 322.409 1.102 20 30 DDEDLO COC(=O)C[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1CCCC1 ZINC000134246867 341210690 /nfs/dbraw/zinc/21/06/90/341210690.db2.gz HCQDKYZVBMQJFI-INIZCTEOSA-N 1 2 309.410 1.458 20 30 DDEDLO COC(=O)C[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1CCCC1 ZINC000134246867 341210691 /nfs/dbraw/zinc/21/06/91/341210691.db2.gz HCQDKYZVBMQJFI-INIZCTEOSA-N 1 2 309.410 1.458 20 30 DDEDLO C=C(C)Cn1c(C)nnc1N1CC[NH+](Cc2ccccn2)CC1 ZINC000549320038 341291195 /nfs/dbraw/zinc/29/11/95/341291195.db2.gz FURHEEHRSZRJFN-UHFFFAOYSA-N 1 2 312.421 1.880 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000576947091 341871284 /nfs/dbraw/zinc/87/12/84/341871284.db2.gz JBWPMTZOTMNOLB-MLGOLLRUSA-N 1 2 300.362 1.286 20 30 DDEDLO C=CCn1c(COC)nnc1N1CC[NH+](Cc2ccco2)CC1 ZINC000669814312 484652496 /nfs/dbraw/zinc/65/24/96/484652496.db2.gz BQNMITWADZGXTJ-UHFFFAOYSA-N 1 2 317.393 1.526 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H]2CC(=O)N(C3CCCCC3)C2)nn1 ZINC000667981173 484889972 /nfs/dbraw/zinc/88/99/72/484889972.db2.gz FXKQRQZPDYLCDC-CQSZACIVSA-N 1 2 317.437 1.877 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CC(Oc2cc[nH+]cc2)C1 ZINC000668084958 484943222 /nfs/dbraw/zinc/94/32/22/484943222.db2.gz AHJOKFFGHZGYDJ-OAHLLOKOSA-N 1 2 303.362 1.142 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NC(=O)C(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000668570335 485218618 /nfs/dbraw/zinc/21/86/18/485218618.db2.gz UTDGFGQWOIEUCJ-KFWWJZLASA-N 1 2 318.421 1.639 20 30 DDEDLO COCC#CC[NH+]1CCN([C@@H](CO)c2ccc(Cl)cc2)CC1 ZINC000677817982 486547249 /nfs/dbraw/zinc/54/72/49/486547249.db2.gz RVSMPKMLJFQEJU-KRWDZBQOSA-N 1 2 322.836 1.641 20 30 DDEDLO COCC#CCN1CC[NH+]([C@@H](CO)c2ccc(Cl)cc2)CC1 ZINC000677817982 486547253 /nfs/dbraw/zinc/54/72/53/486547253.db2.gz RVSMPKMLJFQEJU-KRWDZBQOSA-N 1 2 322.836 1.641 20 30 DDEDLO C[C@@H](OC[C@H](O)C[NH+]1CC(O)(CC#N)C1)c1ccc(Cl)cc1 ZINC000424128876 533897296 /nfs/dbraw/zinc/89/72/96/533897296.db2.gz CPRQQOBZJLIOQN-IUODEOHRSA-N 1 2 324.808 1.739 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@]2(C#N)CC23CCCC3)C[C@@H]1[NH+]1CCOCC1 ZINC000333601107 534382430 /nfs/dbraw/zinc/38/24/30/534382430.db2.gz VEHNHMMCEMQJFZ-VKJFTORMSA-N 1 2 317.433 1.640 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)NCCC[S@@](C)=O)CC1 ZINC000330962959 534422922 /nfs/dbraw/zinc/42/29/22/534422922.db2.gz AWMPHJAQBCCDCN-JOCHJYFZSA-N 1 2 324.450 1.195 20 30 DDEDLO O=C(NCc1ccn2cc[nH+]c2c1)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000329762608 534757619 /nfs/dbraw/zinc/75/76/19/534757619.db2.gz SVYWTPUGIZTASB-IHRRRGAJSA-N 1 2 300.362 1.451 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)N1CC2(C1)CCOCC2 ZINC000330179321 534757675 /nfs/dbraw/zinc/75/76/75/534757675.db2.gz FGWWDRCPEBKHNL-UHFFFAOYSA-N 1 2 304.394 1.746 20 30 DDEDLO CC#CCCNC(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000361643044 526320530 /nfs/dbraw/zinc/32/05/30/526320530.db2.gz LJALEVAFTKOZRK-HNNXBMFYSA-N 1 2 300.406 1.883 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N2CCCC[C@H]2CC)C1=O ZINC000337234726 526503078 /nfs/dbraw/zinc/50/30/78/526503078.db2.gz XCWNPEGCGCMCFB-OAGGEKHMSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N2CCCC[C@H]2CC)C1=O ZINC000337234726 526503083 /nfs/dbraw/zinc/50/30/83/526503083.db2.gz XCWNPEGCGCMCFB-OAGGEKHMSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N2C[C@H](C)C[C@@H](C)C2)C1=O ZINC000337219010 526503237 /nfs/dbraw/zinc/50/32/37/526503237.db2.gz JMTWSYQNBYIPOY-FPCVCCKLSA-N 1 2 321.465 1.598 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N2C[C@H](C)C[C@@H](C)C2)C1=O ZINC000337219010 526503240 /nfs/dbraw/zinc/50/32/40/526503240.db2.gz JMTWSYQNBYIPOY-FPCVCCKLSA-N 1 2 321.465 1.598 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000343685849 526708835 /nfs/dbraw/zinc/70/88/35/526708835.db2.gz UPPSZKURYZMOIT-HOTGVXAUSA-N 1 2 310.438 1.538 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CCC[C@H]1C[NH+]1CCOCC1)OCC ZINC000339365400 526808648 /nfs/dbraw/zinc/80/86/48/526808648.db2.gz JOXFUAKFSOXTDP-HOTGVXAUSA-N 1 2 310.438 1.681 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCCCOc1ccccc1F)C1CC1 ZINC000491682869 526882359 /nfs/dbraw/zinc/88/23/59/526882359.db2.gz VIUSLPUPIFSYCN-UHFFFAOYSA-N 1 2 304.365 1.808 20 30 DDEDLO C#CC[N@H+](CC(=O)NCCCOc1ccccc1F)C1CC1 ZINC000491682869 526882368 /nfs/dbraw/zinc/88/23/68/526882368.db2.gz VIUSLPUPIFSYCN-UHFFFAOYSA-N 1 2 304.365 1.808 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2c(C)ccnc2Cl)CC1 ZINC000490923916 526951960 /nfs/dbraw/zinc/95/19/60/526951960.db2.gz NCZPFAKZCVOORL-UHFFFAOYSA-N 1 2 307.781 1.200 20 30 DDEDLO C#CC[NH+]1CCN(CN2CC[C@@H](Cc3ccccc3)C2=O)CC1 ZINC000491810990 526952637 /nfs/dbraw/zinc/95/26/37/526952637.db2.gz AVEIRTTUBBAUDN-SFHVURJKSA-N 1 2 311.429 1.286 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(F)(F)F)n2C2CC2)CC1 ZINC000491755275 526956426 /nfs/dbraw/zinc/95/64/26/526956426.db2.gz ZICPDJHBRMNXAX-UHFFFAOYSA-N 1 2 313.327 1.473 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCS3)n2C2CC2)CC1 ZINC000491673292 526957540 /nfs/dbraw/zinc/95/75/40/526957540.db2.gz IIHSIYZRHQVJMT-CQSZACIVSA-N 1 2 317.462 1.936 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)CNc1cccc(C#N)c1 ZINC000413953171 527083419 /nfs/dbraw/zinc/08/34/19/527083419.db2.gz FVLOOOGICGHCTQ-UHFFFAOYSA-N 1 2 316.405 1.197 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000341847694 527173627 /nfs/dbraw/zinc/17/36/27/527173627.db2.gz MKYXBVLDLBCINV-JTQLQIEISA-N 1 2 320.315 1.429 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@H](O)c2ccccc2)n1 ZINC000491820542 527191407 /nfs/dbraw/zinc/19/14/07/527191407.db2.gz RZXZWSQFFPSLQA-SFHVURJKSA-N 1 2 313.401 1.698 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@H](O)c2ccccc2)n1 ZINC000491820542 527191411 /nfs/dbraw/zinc/19/14/11/527191411.db2.gz RZXZWSQFFPSLQA-SFHVURJKSA-N 1 2 313.401 1.698 20 30 DDEDLO CC(C)(C#N)C(=O)Nc1ccc2[nH]c(N3CCOCC3)[nH+]c2c1 ZINC000331457085 527452000 /nfs/dbraw/zinc/45/20/00/527452000.db2.gz DCZMPTRFTIAZPE-UHFFFAOYSA-N 1 2 313.361 1.888 20 30 DDEDLO C=CCCc1ccccc1NC(=O)C(=O)N1CC[N@@H+](C)C[C@H]1C ZINC000337570215 527498106 /nfs/dbraw/zinc/49/81/06/527498106.db2.gz YQHDRACTAHJQBI-CQSZACIVSA-N 1 2 315.417 1.906 20 30 DDEDLO C=CCCc1ccccc1NC(=O)C(=O)N1CC[N@H+](C)C[C@H]1C ZINC000337570215 527498108 /nfs/dbraw/zinc/49/81/08/527498108.db2.gz YQHDRACTAHJQBI-CQSZACIVSA-N 1 2 315.417 1.906 20 30 DDEDLO CCCn1nc(C)c(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)c1C ZINC000330945817 528868870 /nfs/dbraw/zinc/86/88/70/528868870.db2.gz ADAWMAKCIDGHQY-LBPRGKRZSA-N 1 2 308.426 1.935 20 30 DDEDLO CCCn1nc(C)c(C(=O)NCC[N@H+]2CCOC[C@@H]2C)c1C ZINC000330945817 528868876 /nfs/dbraw/zinc/86/88/76/528868876.db2.gz ADAWMAKCIDGHQY-LBPRGKRZSA-N 1 2 308.426 1.935 20 30 DDEDLO CCC1(CC)CC(=O)N(C[C@@H](O)C[N@H+](C)CCC#N)C(=O)C1 ZINC000414130145 529034169 /nfs/dbraw/zinc/03/41/69/529034169.db2.gz WMOJWQIYKQYLIN-ZDUSSCGKSA-N 1 2 309.410 1.148 20 30 DDEDLO CCC1(CC)CC(=O)N(C[C@@H](O)C[N@@H+](C)CCC#N)C(=O)C1 ZINC000414130145 529034174 /nfs/dbraw/zinc/03/41/74/529034174.db2.gz WMOJWQIYKQYLIN-ZDUSSCGKSA-N 1 2 309.410 1.148 20 30 DDEDLO CCN1CCN(C(=O)NCC(F)(F)F)C[C@H]1c1[nH]cc[nH+]1 ZINC000331024706 529107324 /nfs/dbraw/zinc/10/73/24/529107324.db2.gz NVEBJWNUMIFMSA-VIFPVBQESA-N 1 2 305.304 1.565 20 30 DDEDLO COCC[NH+]1CCC([NH2+][C@@H](C(=O)[O-])c2cccc(C#N)c2)CC1 ZINC000831745932 601307221 /nfs/dbraw/zinc/30/72/21/601307221.db2.gz SWYKLEGITNATOH-MRXNPFEDSA-N 1 2 317.389 1.384 20 30 DDEDLO C[C@H]1CC(N=Nc2oc(C(C)(C)C)nc2-c2nn[nH]n2)C[N@@H+]1C ZINC000820641892 606776752 /nfs/dbraw/zinc/77/67/52/606776752.db2.gz FGQCCAOIQABYSM-QMMMGPOBSA-N 1 2 318.385 1.644 20 30 DDEDLO C[C@H]1CC(N=Nc2oc(C(C)(C)C)nc2-c2nn[nH]n2)C[N@H+]1C ZINC000820641892 606776754 /nfs/dbraw/zinc/77/67/54/606776754.db2.gz FGQCCAOIQABYSM-QMMMGPOBSA-N 1 2 318.385 1.644 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)OC(=O)[C@H]1CCCC[N@@H+]1C ZINC000745081459 699970633 /nfs/dbraw/zinc/97/06/33/699970633.db2.gz ZJLKTTAKGKEYHN-ZENOOKHLSA-N 1 2 309.410 1.457 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)OC(=O)[C@H]1CCCC[N@H+]1C ZINC000745081459 699970635 /nfs/dbraw/zinc/97/06/35/699970635.db2.gz ZJLKTTAKGKEYHN-ZENOOKHLSA-N 1 2 309.410 1.457 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC000971822549 695103554 /nfs/dbraw/zinc/10/35/54/695103554.db2.gz WHAJWIIPBGWTAB-ZIAGYGMSSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC000971822549 695103556 /nfs/dbraw/zinc/10/35/56/695103556.db2.gz WHAJWIIPBGWTAB-ZIAGYGMSSA-N 1 2 322.840 1.731 20 30 DDEDLO CN(CC#N)[C@H]1CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000972027150 695142027 /nfs/dbraw/zinc/14/20/27/695142027.db2.gz PFXJSFRFSRMVPE-INIZCTEOSA-N 1 2 309.373 1.542 20 30 DDEDLO C=CC[N@@H+](C)[C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000972064350 695149857 /nfs/dbraw/zinc/14/98/57/695149857.db2.gz SKUUZANDEXYIPK-HNNXBMFYSA-N 1 2 311.389 1.804 20 30 DDEDLO C=CC[N@H+](C)[C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000972064350 695149858 /nfs/dbraw/zinc/14/98/58/695149858.db2.gz SKUUZANDEXYIPK-HNNXBMFYSA-N 1 2 311.389 1.804 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC000972247458 695188959 /nfs/dbraw/zinc/18/89/59/695188959.db2.gz UGZXWTRQGKINDQ-RHSMWYFYSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC000972247458 695188960 /nfs/dbraw/zinc/18/89/60/695188960.db2.gz UGZXWTRQGKINDQ-RHSMWYFYSA-N 1 2 319.453 1.933 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C3CCC3)C2)C1 ZINC000972431055 695249476 /nfs/dbraw/zinc/24/94/76/695249476.db2.gz MFDWAJRDYFKXMD-QAPCUYQASA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C3CCC3)C2)C1 ZINC000972431055 695249479 /nfs/dbraw/zinc/24/94/79/695249479.db2.gz MFDWAJRDYFKXMD-QAPCUYQASA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C(C)(F)F)C2)C1 ZINC000972556017 695281629 /nfs/dbraw/zinc/28/16/29/695281629.db2.gz BZVAKLJICAQYOW-XJKSGUPXSA-N 1 2 314.376 1.604 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C(C)(F)F)C2)C1 ZINC000972556017 695281631 /nfs/dbraw/zinc/28/16/31/695281631.db2.gz BZVAKLJICAQYOW-XJKSGUPXSA-N 1 2 314.376 1.604 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)nn3CC)C2)C1 ZINC000972568595 695285545 /nfs/dbraw/zinc/28/55/45/695285545.db2.gz CBQHWBLFTFVRQT-KRWDZBQOSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)nn3CC)C2)C1 ZINC000972568595 695285546 /nfs/dbraw/zinc/28/55/46/695285546.db2.gz CBQHWBLFTFVRQT-KRWDZBQOSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3nc(CC)oc3C)C2)C1 ZINC000972595786 695293845 /nfs/dbraw/zinc/29/38/45/695293845.db2.gz FWEVMHGIJFSYHJ-KRWDZBQOSA-N 1 2 319.405 1.648 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3nc(CC)oc3C)C2)C1 ZINC000972595786 695293847 /nfs/dbraw/zinc/29/38/47/695293847.db2.gz FWEVMHGIJFSYHJ-KRWDZBQOSA-N 1 2 319.405 1.648 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(C)nc3C)C2)C1 ZINC000972602594 695295311 /nfs/dbraw/zinc/29/53/11/695295311.db2.gz OBPDKHMJXNRSLT-KRWDZBQOSA-N 1 2 316.405 1.196 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(C)nc3C)C2)C1 ZINC000972602594 695295312 /nfs/dbraw/zinc/29/53/12/695295312.db2.gz OBPDKHMJXNRSLT-KRWDZBQOSA-N 1 2 316.405 1.196 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cn2cc(C)cn2)C(C)(C)C1 ZINC000972843993 695341326 /nfs/dbraw/zinc/34/13/26/695341326.db2.gz JYXRKPMLTIMTKO-CYBMUJFWSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cn2cc(C)cn2)C(C)(C)C1 ZINC000972843993 695341328 /nfs/dbraw/zinc/34/13/28/695341328.db2.gz JYXRKPMLTIMTKO-CYBMUJFWSA-N 1 2 310.829 1.771 20 30 DDEDLO COc1cccc([C@H](C#N)NC(=O)Cn2cc[nH+]c2)c1OC ZINC000746224256 700011874 /nfs/dbraw/zinc/01/18/74/700011874.db2.gz GXRWEYFNXMSNQP-LBPRGKRZSA-N 1 2 300.318 1.281 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2ccn(C)n2)C(C)(C)C1 ZINC000974575106 695688452 /nfs/dbraw/zinc/68/84/52/695688452.db2.gz IAZWOMJQTFTUJK-ZDUSSCGKSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2ccn(C)n2)C(C)(C)C1 ZINC000974575106 695688454 /nfs/dbraw/zinc/68/84/54/695688454.db2.gz IAZWOMJQTFTUJK-ZDUSSCGKSA-N 1 2 310.829 1.542 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cnc([C@@H](C)OC)s2)C(C)(C)C1 ZINC000974600633 695693931 /nfs/dbraw/zinc/69/39/31/695693931.db2.gz HMQAFGMNQGAUGU-DGCLKSJQSA-N 1 2 321.446 1.924 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cnc([C@@H](C)OC)s2)C(C)(C)C1 ZINC000974600633 695693929 /nfs/dbraw/zinc/69/39/29/695693929.db2.gz HMQAFGMNQGAUGU-DGCLKSJQSA-N 1 2 321.446 1.924 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C[C@H]21 ZINC000974728055 695719858 /nfs/dbraw/zinc/71/98/58/695719858.db2.gz KBSOSJGLUWNRPZ-TZMCWYRMSA-N 1 2 316.405 1.224 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C(C)(C)C1 ZINC000974998006 695775311 /nfs/dbraw/zinc/77/53/11/695775311.db2.gz AFLHVYDMOGGAOP-NSHDSACASA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C(C)(C)C1 ZINC000974998006 695775312 /nfs/dbraw/zinc/77/53/12/695775312.db2.gz AFLHVYDMOGGAOP-NSHDSACASA-N 1 2 312.801 1.318 20 30 DDEDLO CC1(C)C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1cnon1 ZINC000977381498 696137528 /nfs/dbraw/zinc/13/75/28/696137528.db2.gz KWWZNLJYOSEAIP-MRXNPFEDSA-N 1 2 324.384 1.562 20 30 DDEDLO CC1(C)C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1cnon1 ZINC000977381498 696137530 /nfs/dbraw/zinc/13/75/30/696137530.db2.gz KWWZNLJYOSEAIP-MRXNPFEDSA-N 1 2 324.384 1.562 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2sc(COC)nc2C)C(C)(C)C1 ZINC000977537899 696204865 /nfs/dbraw/zinc/20/48/65/696204865.db2.gz VZNSZYAKQYHZEM-GFCCVEGCSA-N 1 2 321.446 1.671 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2sc(COC)nc2C)C(C)(C)C1 ZINC000977537899 696204867 /nfs/dbraw/zinc/20/48/67/696204867.db2.gz VZNSZYAKQYHZEM-GFCCVEGCSA-N 1 2 321.446 1.671 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H]([C@H](C)[NH2+]Cc2cnsn2)C1 ZINC000979373097 696538447 /nfs/dbraw/zinc/53/84/47/696538447.db2.gz HLNKXLBSPKBFEI-AAEUAGOBSA-N 1 2 324.450 1.456 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2n[nH]c(C3CC3)c2Cl)CC1 ZINC000981545491 696812795 /nfs/dbraw/zinc/81/27/95/696812795.db2.gz FLQQAWUPHBNUKJ-UHFFFAOYSA-N 1 2 306.797 1.722 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2n[nH]c(C3CC3)c2Cl)CC1 ZINC000981545491 696812799 /nfs/dbraw/zinc/81/27/99/696812799.db2.gz FLQQAWUPHBNUKJ-UHFFFAOYSA-N 1 2 306.797 1.722 20 30 DDEDLO C[C@H](O[NH+]=C(N)Cc1cccnc1)C(=O)N1CCCCCC1 ZINC000137635150 696858847 /nfs/dbraw/zinc/85/88/47/696858847.db2.gz ZXJSSHORFKLHMT-ZDUSSCGKSA-N 1 2 304.394 1.704 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)NCc1ccccc1F ZINC000137639354 696859002 /nfs/dbraw/zinc/85/90/02/696859002.db2.gz ABJJBDIUSTXJBD-UHFFFAOYSA-N 1 2 316.336 1.368 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC000981670362 696867941 /nfs/dbraw/zinc/86/79/41/696867941.db2.gz SIUUOYVQRXFBSN-GFCCVEGCSA-N 1 2 302.378 1.567 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC000981670362 696867944 /nfs/dbraw/zinc/86/79/44/696867944.db2.gz SIUUOYVQRXFBSN-GFCCVEGCSA-N 1 2 302.378 1.567 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccc(C#N)s2)CC1 ZINC000980786716 696889059 /nfs/dbraw/zinc/88/90/59/696889059.db2.gz WJMXWHPDGFEQAB-LBPRGKRZSA-N 1 2 307.419 1.689 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)s2)CC1 ZINC000980786716 696889062 /nfs/dbraw/zinc/88/90/62/696889062.db2.gz WJMXWHPDGFEQAB-LBPRGKRZSA-N 1 2 307.419 1.689 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCC3(CN(C(=O)C#CC4CC4)C3)C2)no1 ZINC000981759255 696904948 /nfs/dbraw/zinc/90/49/48/696904948.db2.gz PIQJJWWIGJIJMR-UHFFFAOYSA-N 1 2 313.401 1.821 20 30 DDEDLO Cc1cc(C[N@H+]2CCCC3(CN(C(=O)C#CC4CC4)C3)C2)no1 ZINC000981759255 696904950 /nfs/dbraw/zinc/90/49/50/696904950.db2.gz PIQJJWWIGJIJMR-UHFFFAOYSA-N 1 2 313.401 1.821 20 30 DDEDLO C=CCNC(=O)[C@@H](C)OC(=O)[C@@H]([NH2+]C1CCOCC1)C(C)C ZINC000748472867 700120486 /nfs/dbraw/zinc/12/04/86/700120486.db2.gz SQEVDBGPUINAJK-OCCSQVGLSA-N 1 2 312.410 1.014 20 30 DDEDLO CCc1nnc([C@H](C)O[NH+]=C(N)c2ccc3c(c2)CCO3)o1 ZINC000158149974 696987121 /nfs/dbraw/zinc/98/71/21/696987121.db2.gz UMJFEJBKIUTROR-VIFPVBQESA-N 1 2 302.334 1.965 20 30 DDEDLO CS(=O)(=O)CCNN=C1CCCC[C@H]1[NH+]1CCCCC1 ZINC000748788221 700135193 /nfs/dbraw/zinc/13/51/93/700135193.db2.gz PJKQQIFVILIILY-CQSZACIVSA-N 1 2 301.456 1.405 20 30 DDEDLO CCC[N@H+](CC(=O)NCCC#N)[C@H](C)C(=O)Nc1ccccc1 ZINC000749395318 700161368 /nfs/dbraw/zinc/16/13/68/700161368.db2.gz FDEKGMCJDNGVEE-CQSZACIVSA-N 1 2 316.405 1.755 20 30 DDEDLO CCC[N@@H+](CC(=O)NCCC#N)[C@H](C)C(=O)Nc1ccccc1 ZINC000749395318 700161371 /nfs/dbraw/zinc/16/13/71/700161371.db2.gz FDEKGMCJDNGVEE-CQSZACIVSA-N 1 2 316.405 1.755 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)NCCCNc2cccc[nH+]2)c1 ZINC000193722502 697678652 /nfs/dbraw/zinc/67/86/52/697678652.db2.gz WTCUAAMCLXQOPC-UHFFFAOYSA-N 1 2 322.368 1.620 20 30 DDEDLO C#C[C@@H](NC(=O)c1cccc(Cn2cc[nH+]c2)c1)[C@H]1CCCO1 ZINC000772887387 697689355 /nfs/dbraw/zinc/68/93/55/697689355.db2.gz WHECDSRMICCJDM-IAGOWNOFSA-N 1 2 309.369 1.842 20 30 DDEDLO CO[C@H](C)CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000749564145 700170454 /nfs/dbraw/zinc/17/04/54/700170454.db2.gz QRGOUKXKVOLIMS-CQSZACIVSA-N 1 2 301.390 1.627 20 30 DDEDLO C#C[C@H]([NH2+]Cc1csc(-c2cnn(C)c2)n1)[C@H]1CCCO1 ZINC000775786680 698032813 /nfs/dbraw/zinc/03/28/13/698032813.db2.gz ZVBATPKMFNAUCU-UONOGXRCSA-N 1 2 302.403 1.814 20 30 DDEDLO COC[C@@H](C)N[NH+]=Cc1c(C)nn2c1[nH]c1ccccc1c2=O ZINC000777901813 698231010 /nfs/dbraw/zinc/23/10/10/698231010.db2.gz FVUKIZJTNJQXPC-SNVBAGLBSA-N 1 2 313.361 1.443 20 30 DDEDLO C#CCCS(=O)(=O)N1CCC(c2[nH+]cc3n2CCCC3)CC1 ZINC000289116156 698566372 /nfs/dbraw/zinc/56/63/72/698566372.db2.gz IHLCRAXPEHXZKM-UHFFFAOYSA-N 1 2 321.446 1.752 20 30 DDEDLO C#CC[NH2+][C@@H]1C[C@@H](C)N(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000989286950 698578231 /nfs/dbraw/zinc/57/82/31/698578231.db2.gz AUTSZPRCZNNLNZ-IUODEOHRSA-N 1 2 309.373 1.298 20 30 DDEDLO C=C[C@H](C(=O)N1CCC[N@@H+]([C@H](C)C(N)=O)CC1)c1ccccc1 ZINC000989720063 698739784 /nfs/dbraw/zinc/73/97/84/698739784.db2.gz OPWIXSZNGAQRJD-ZBFHGGJFSA-N 1 2 315.417 1.364 20 30 DDEDLO C=C[C@H](C(=O)N1CCC[N@H+]([C@H](C)C(N)=O)CC1)c1ccccc1 ZINC000989720063 698739786 /nfs/dbraw/zinc/73/97/86/698739786.db2.gz OPWIXSZNGAQRJD-ZBFHGGJFSA-N 1 2 315.417 1.364 20 30 DDEDLO C[C@H]([NH2+]CC(=O)NCCC#N)c1nc(C(F)(F)F)cs1 ZINC000786152146 699129911 /nfs/dbraw/zinc/12/99/11/699129911.db2.gz DHCDUFDMYVVWKL-ZETCQYMHSA-N 1 2 306.313 1.842 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)/C=C\c1ccccc1C#N ZINC000790131777 699444707 /nfs/dbraw/zinc/44/47/07/699444707.db2.gz KQIOGYMSESIKTN-LZNQSLFOSA-N 1 2 313.401 1.751 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[NH+]2CCC(n3cccn3)CC2)cc1 ZINC000734486179 699652936 /nfs/dbraw/zinc/65/29/36/699652936.db2.gz HBLMMFJYHASGRD-INIZCTEOSA-N 1 2 309.373 1.618 20 30 DDEDLO CO[C@@H](C[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1)C(F)(F)F ZINC000734506095 699653312 /nfs/dbraw/zinc/65/33/12/699653312.db2.gz BXXVLDGHZKNDMO-WDEREUQCSA-N 1 2 301.268 1.252 20 30 DDEDLO C[C@H](OC(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)Nc1ccccc1C#N ZINC000741147568 699817935 /nfs/dbraw/zinc/81/79/35/699817935.db2.gz VLNOWXRDBFSDAZ-WFASDCNBSA-N 1 2 315.373 1.913 20 30 DDEDLO C[C@H](OC(=O)[C@@H]1CCCC[N@H+]1C)C(=O)Nc1ccccc1C#N ZINC000741147568 699817938 /nfs/dbraw/zinc/81/79/38/699817938.db2.gz VLNOWXRDBFSDAZ-WFASDCNBSA-N 1 2 315.373 1.913 20 30 DDEDLO CSCCCON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000742356934 699875107 /nfs/dbraw/zinc/87/51/07/699875107.db2.gz KBDXUMWQXRQINE-UHFFFAOYSA-N 1 2 323.462 1.909 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)OC(=O)CCc1ccc(N)[nH+]c1 ZINC000751457464 700291344 /nfs/dbraw/zinc/29/13/44/700291344.db2.gz XZSVXWFIRMAWDA-CYBMUJFWSA-N 1 2 317.389 1.729 20 30 DDEDLO C[C@@H](C#N)OCC[N@@H+]1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000801935866 700356710 /nfs/dbraw/zinc/35/67/10/700356710.db2.gz LVHYZEYVAFNDGM-ZDUSSCGKSA-N 1 2 323.418 1.197 20 30 DDEDLO C[C@@H](C#N)OCC[N@H+]1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000801935866 700356715 /nfs/dbraw/zinc/35/67/15/700356715.db2.gz LVHYZEYVAFNDGM-ZDUSSCGKSA-N 1 2 323.418 1.197 20 30 DDEDLO C(=NNC1=[NH+][C@H]2CCCC[C@@H]2N1)c1cc(-c2cccnc2)[nH]n1 ZINC000753935382 700468849 /nfs/dbraw/zinc/46/88/49/700468849.db2.gz OCICQMDNGXJJDI-KBPBESRZSA-N 1 2 309.377 1.666 20 30 DDEDLO N#CCOc1ccc(Cl)cc1C[N@@H+]1CCc2nn[nH]c2C1 ZINC000759530307 700756479 /nfs/dbraw/zinc/75/64/79/700756479.db2.gz NNDQTZXYCJEQMT-UHFFFAOYSA-N 1 2 303.753 1.919 20 30 DDEDLO N#CCOc1ccc(Cl)cc1C[N@H+]1CCc2nn[nH]c2C1 ZINC000759530307 700756481 /nfs/dbraw/zinc/75/64/81/700756481.db2.gz NNDQTZXYCJEQMT-UHFFFAOYSA-N 1 2 303.753 1.919 20 30 DDEDLO CC[C@@H](C(=O)[C@H](C#N)C(=O)Nc1ccccn1)[NH+](CC)CC ZINC000765965830 701032478 /nfs/dbraw/zinc/03/24/78/701032478.db2.gz FPCRWNFQZUZSKU-STQMWFEESA-N 1 2 302.378 1.849 20 30 DDEDLO C=C(C)COc1cc(C[N@@H+]2CCCNC(=O)C2)ccc1OC ZINC000769116137 701232756 /nfs/dbraw/zinc/23/27/56/701232756.db2.gz SXEMGJIKSZXYRD-UHFFFAOYSA-N 1 2 304.390 1.972 20 30 DDEDLO C=C(C)COc1cc(C[N@H+]2CCCNC(=O)C2)ccc1OC ZINC000769116137 701232758 /nfs/dbraw/zinc/23/27/58/701232758.db2.gz SXEMGJIKSZXYRD-UHFFFAOYSA-N 1 2 304.390 1.972 20 30 DDEDLO CC1(C)Cc2nc(N)sc2C(=[NH+]NCCS(C)(=O)=O)C1 ZINC000814848357 701758264 /nfs/dbraw/zinc/75/82/64/701758264.db2.gz SXEPTWOLBDZUAD-UHFFFAOYSA-N 1 2 316.452 1.036 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CCC[C@H](CO)CC1 ZINC000815276846 701836082 /nfs/dbraw/zinc/83/60/82/701836082.db2.gz JRHUYICIAXLPBJ-GOEBONIOSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CCC[C@H](CO)CC1 ZINC000815276846 701836086 /nfs/dbraw/zinc/83/60/86/701836086.db2.gz JRHUYICIAXLPBJ-GOEBONIOSA-N 1 2 302.374 1.867 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1sccc1CC#N ZINC000867931111 701837599 /nfs/dbraw/zinc/83/75/99/701837599.db2.gz KJJIULFRCMMUMO-UHFFFAOYSA-N 1 2 321.446 1.997 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1sccc1CC#N ZINC000867931111 701837606 /nfs/dbraw/zinc/83/76/06/701837606.db2.gz KJJIULFRCMMUMO-UHFFFAOYSA-N 1 2 321.446 1.997 20 30 DDEDLO CC1(C#N)CC[NH+](CN2C(=O)[C@@]3(CCCO3)[C@@H]2C2CC2)CC1 ZINC000840042677 701933188 /nfs/dbraw/zinc/93/31/88/701933188.db2.gz PDXIOVYHTUYCCH-WMLDXEAASA-N 1 2 303.406 1.740 20 30 DDEDLO C#CCCNC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000868252827 702023556 /nfs/dbraw/zinc/02/35/56/702023556.db2.gz LMDASIPUNXZXIE-UHFFFAOYSA-N 1 2 309.410 1.115 20 30 DDEDLO Nc1nc(Cl)c(C=[NH+]N[C@@H]2CCC[C@H]2O)c(N2CCCC2)n1 ZINC000817332998 702342785 /nfs/dbraw/zinc/34/27/85/702342785.db2.gz KWVYIPAIRRXLGK-GHMZBOCLSA-N 1 2 324.816 1.149 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CC[C@](F)(C#N)C1 ZINC000869105090 702462851 /nfs/dbraw/zinc/46/28/51/702462851.db2.gz FAPISPPCTAPIHF-ZBFHGGJFSA-N 1 2 302.353 1.820 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CC[C@](F)(C#N)C1 ZINC000869105090 702462853 /nfs/dbraw/zinc/46/28/53/702462853.db2.gz FAPISPPCTAPIHF-ZBFHGGJFSA-N 1 2 302.353 1.820 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585026 706728395 /nfs/dbraw/zinc/72/83/95/706728395.db2.gz PRQKMUHMQSSALL-HNNXBMFYSA-N 1 2 314.433 1.841 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@H+]2CC[C@@H](O)[C@@H](O)C2)c1 ZINC000879609101 706736105 /nfs/dbraw/zinc/73/61/05/706736105.db2.gz MSUQOBWAOKWDHY-OLZOCXBDSA-N 1 2 311.179 1.248 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@@H+]2CC[C@@H](O)[C@@H](O)C2)c1 ZINC000879609101 706736108 /nfs/dbraw/zinc/73/61/08/706736108.db2.gz MSUQOBWAOKWDHY-OLZOCXBDSA-N 1 2 311.179 1.248 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CC(=O)N[C@H](Cc2ccccc2)C(C)=O)C1 ZINC000847032654 703369390 /nfs/dbraw/zinc/36/93/90/703369390.db2.gz YFWXKIKPDGCBPO-SJLPKXTDSA-N 1 2 312.413 1.648 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CC(=O)N[C@H](Cc2ccccc2)C(C)=O)C1 ZINC000847032654 703369391 /nfs/dbraw/zinc/36/93/91/703369391.db2.gz YFWXKIKPDGCBPO-SJLPKXTDSA-N 1 2 312.413 1.648 20 30 DDEDLO Cn1nc(Br)cc1C=NNCCCn1cc[nH+]c1 ZINC000848417341 703548260 /nfs/dbraw/zinc/54/82/60/703548260.db2.gz VQNQJMLYFHQYAA-UHFFFAOYSA-N 1 2 311.187 1.393 20 30 DDEDLO CN(C)c1ccc2c(c1)NC(=O)C2=NNCCCn1cc[nH+]c1 ZINC000848417242 703548292 /nfs/dbraw/zinc/54/82/92/703548292.db2.gz QWRDZKVRSAFOFY-UHFFFAOYSA-N 1 2 312.377 1.285 20 30 DDEDLO C#CC[N@@H+](Cc1cccc(CC)c1O)[C@@H]1CCS(=O)(=O)C1 ZINC000848882501 703597709 /nfs/dbraw/zinc/59/77/09/703597709.db2.gz RHWDLKDURIUGNX-OAHLLOKOSA-N 1 2 307.415 1.577 20 30 DDEDLO C#CC[N@H+](Cc1cccc(CC)c1O)[C@@H]1CCS(=O)(=O)C1 ZINC000848882501 703597711 /nfs/dbraw/zinc/59/77/11/703597711.db2.gz RHWDLKDURIUGNX-OAHLLOKOSA-N 1 2 307.415 1.577 20 30 DDEDLO N#CC1(CC(=O)OCC2CN(c3cccc[nH+]3)C2)CCOCC1 ZINC000851099437 703780225 /nfs/dbraw/zinc/78/02/25/703780225.db2.gz SGEOPPROIRNLIO-UHFFFAOYSA-N 1 2 315.373 1.771 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC000851711432 703834985 /nfs/dbraw/zinc/83/49/85/703834985.db2.gz ZZRZTDXGAGJZAG-UHFFFAOYSA-N 1 2 306.793 1.748 20 30 DDEDLO COC(=O)N(C)c1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000819428383 704123641 /nfs/dbraw/zinc/12/36/41/704123641.db2.gz ZUUNLKUGMINRGE-OAHLLOKOSA-N 1 2 320.393 1.507 20 30 DDEDLO COC(=O)N(C)c1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000819428383 704123643 /nfs/dbraw/zinc/12/36/43/704123643.db2.gz ZUUNLKUGMINRGE-OAHLLOKOSA-N 1 2 320.393 1.507 20 30 DDEDLO C#Cc1cccnc1N1CCN(c2[nH+]cnc3c2cnn3C)CC1 ZINC000853128264 704201918 /nfs/dbraw/zinc/20/19/18/704201918.db2.gz PEBUOIXSTAOCEL-UHFFFAOYSA-N 1 2 319.372 1.066 20 30 DDEDLO C[N@H+](CCCNC(=O)OC(C)(C)C)Cn1cccc(C#N)c1=O ZINC000853521323 704258345 /nfs/dbraw/zinc/25/83/45/704258345.db2.gz XTJKGJJAFOXAQE-UHFFFAOYSA-N 1 2 320.393 1.524 20 30 DDEDLO C[N@@H+](CCCNC(=O)OC(C)(C)C)Cn1cccc(C#N)c1=O ZINC000853521323 704258348 /nfs/dbraw/zinc/25/83/48/704258348.db2.gz XTJKGJJAFOXAQE-UHFFFAOYSA-N 1 2 320.393 1.524 20 30 DDEDLO C=CC[N@H+](CN1C(=O)[C@]2(CCCO2)[C@@H]1C1CC1)[C@H](C)COC ZINC000853535150 704261955 /nfs/dbraw/zinc/26/19/55/704261955.db2.gz PUEUQRDYMZUWBY-KMFMINBZSA-N 1 2 308.422 1.637 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)[C@]2(CCCO2)[C@@H]1C1CC1)[C@H](C)COC ZINC000853535150 704261961 /nfs/dbraw/zinc/26/19/61/704261961.db2.gz PUEUQRDYMZUWBY-KMFMINBZSA-N 1 2 308.422 1.637 20 30 DDEDLO COC(=O)CCN(CC#N)C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000871347492 704272023 /nfs/dbraw/zinc/27/20/23/704272023.db2.gz DYUZPKFMUWKYEC-UHFFFAOYSA-N 1 2 300.318 1.172 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)[C@@]2(CCCO2)[C@H]1C1CC1 ZINC000853621341 704288108 /nfs/dbraw/zinc/28/81/08/704288108.db2.gz ZEFSMBZXESXBFR-NVXWUHKLSA-N 1 2 306.406 1.086 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)[C@@]2(CCCO2)[C@H]1C1CC1 ZINC000853621341 704288109 /nfs/dbraw/zinc/28/81/09/704288109.db2.gz ZEFSMBZXESXBFR-NVXWUHKLSA-N 1 2 306.406 1.086 20 30 DDEDLO C#CCOCCOC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000853899209 704332330 /nfs/dbraw/zinc/33/23/30/704332330.db2.gz LDHIOWFHEXEYFO-INIZCTEOSA-N 1 2 303.358 1.080 20 30 DDEDLO C#CCOCCOC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000853899209 704332332 /nfs/dbraw/zinc/33/23/32/704332332.db2.gz LDHIOWFHEXEYFO-INIZCTEOSA-N 1 2 303.358 1.080 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000855303259 704478213 /nfs/dbraw/zinc/47/82/13/704478213.db2.gz YHPHXBPVFJVCJN-SFHVURJKSA-N 1 2 323.400 1.832 20 30 DDEDLO N#Cc1sccc1N1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000855335971 704479042 /nfs/dbraw/zinc/47/90/42/704479042.db2.gz GBIBSNIEYOXMMZ-UHFFFAOYSA-N 1 2 301.375 1.234 20 30 DDEDLO N#Cc1cccc(C2(NC(=O)Cc3[nH]cc[nH+]3)CCOCC2)c1 ZINC000855874893 704504258 /nfs/dbraw/zinc/50/42/58/704504258.db2.gz ZALMFRFOVQRHNC-UHFFFAOYSA-N 1 2 310.357 1.646 20 30 DDEDLO C#CC[C@@H](CCOC)Nc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000858858960 704765053 /nfs/dbraw/zinc/76/50/53/704765053.db2.gz WFZBSGYQQJHFBW-AWEZNQCLSA-N 1 2 318.421 1.668 20 30 DDEDLO C#CC[C@@H](CCOC)Nc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000858858960 704765054 /nfs/dbraw/zinc/76/50/54/704765054.db2.gz WFZBSGYQQJHFBW-AWEZNQCLSA-N 1 2 318.421 1.668 20 30 DDEDLO C#CC[C@@H](CCOC)Nc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000858857280 704765065 /nfs/dbraw/zinc/76/50/65/704765065.db2.gz RBICSRCCTZHBCE-GJZGRUSLSA-N 1 2 318.421 1.668 20 30 DDEDLO C#CC[C@@H](CCOC)Nc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000858857280 704765066 /nfs/dbraw/zinc/76/50/66/704765066.db2.gz RBICSRCCTZHBCE-GJZGRUSLSA-N 1 2 318.421 1.668 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(Cl)nn(C)c2Cl)CC1 ZINC000859002961 704782962 /nfs/dbraw/zinc/78/29/62/704782962.db2.gz CUUYZNKUSMMZKN-UHFFFAOYSA-N 1 2 315.204 1.508 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000822681328 705005707 /nfs/dbraw/zinc/00/57/07/705005707.db2.gz ZFESJENVBUMSPT-MNOVXSKESA-N 1 2 303.284 1.267 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1cc(C)c(C#N)cn1 ZINC000874712035 705146495 /nfs/dbraw/zinc/14/64/95/705146495.db2.gz UMKJLYLSAKRDHK-AWEZNQCLSA-N 1 2 302.378 1.102 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1cc(C)c(C#N)cn1 ZINC000874712035 705146499 /nfs/dbraw/zinc/14/64/99/705146499.db2.gz UMKJLYLSAKRDHK-AWEZNQCLSA-N 1 2 302.378 1.102 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)[C@@]1(C#N)CC12CCCC2 ZINC000874712148 705146631 /nfs/dbraw/zinc/14/66/31/705146631.db2.gz URLVFEKJNXWFSH-YOEHRIQHSA-N 1 2 305.422 1.687 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)[C@@]1(C#N)CC12CCCC2 ZINC000874712148 705146632 /nfs/dbraw/zinc/14/66/32/705146632.db2.gz URLVFEKJNXWFSH-YOEHRIQHSA-N 1 2 305.422 1.687 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)Nc2cc(CC#N)ccn2)C1 ZINC000871725674 707177884 /nfs/dbraw/zinc/17/78/84/707177884.db2.gz ISFWOAPLEJBTLJ-ZDUSSCGKSA-N 1 2 310.361 1.903 20 30 DDEDLO C#CCOc1ccc(CNC(=O)N[C@H]2Cc3c[nH+]cn3C2)cc1 ZINC000875642817 705459639 /nfs/dbraw/zinc/45/96/39/705459639.db2.gz QFTMBTXOVZEBHP-AWEZNQCLSA-N 1 2 310.357 1.319 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)C(=O)Nc2c(F)cccc2F)CC1 ZINC000825077590 705594872 /nfs/dbraw/zinc/59/48/72/705594872.db2.gz BPKKKBXMNKVUPC-UHFFFAOYSA-N 1 2 321.327 1.071 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)N[C@@H]1Cc3c[nH+]cn3C1)C2 ZINC000876278896 705677007 /nfs/dbraw/zinc/67/70/07/705677007.db2.gz IPJJGFLSIZSKST-HUUCEWRRSA-N 1 2 307.357 1.146 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@@H](CNC(=O)C(C)(C)C#N)C2)c1 ZINC000826568571 705816712 /nfs/dbraw/zinc/81/67/12/705816712.db2.gz IKZKEEIBMKHUJS-INIZCTEOSA-N 1 2 315.417 1.862 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@@H](CNC(=O)C(C)(C)C#N)C2)c1 ZINC000826568571 705816715 /nfs/dbraw/zinc/81/67/15/705816715.db2.gz IKZKEEIBMKHUJS-INIZCTEOSA-N 1 2 315.417 1.862 20 30 DDEDLO CC(=[NH+]NC[C@H]1COc2ccccc2O1)c1csc(N)n1 ZINC000863200525 705878205 /nfs/dbraw/zinc/87/82/05/705878205.db2.gz FQWSYCFEAXHXCK-JTQLQIEISA-N 1 2 304.375 1.879 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC(N2CC[NH+](C)CC2)CC1 ZINC000827449299 706003741 /nfs/dbraw/zinc/00/37/41/706003741.db2.gz CRPVHUTUQLIXAT-UHFFFAOYSA-N 1 2 305.466 1.993 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@H+](Cc3ccc(C#N)cc3F)C2)C1 ZINC000877715628 706187275 /nfs/dbraw/zinc/18/72/75/706187275.db2.gz ZGXVKBHGZDPXAR-INIZCTEOSA-N 1 2 317.320 1.591 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@@H+](Cc3ccc(C#N)cc3F)C2)C1 ZINC000877715628 706187276 /nfs/dbraw/zinc/18/72/76/706187276.db2.gz ZGXVKBHGZDPXAR-INIZCTEOSA-N 1 2 317.320 1.591 20 30 DDEDLO N#C[C@H](NC(=O)/C=C\C[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000864685138 706232896 /nfs/dbraw/zinc/23/28/96/706232896.db2.gz FXJFJFQHHVDFHT-OYADATRGSA-N 1 2 303.337 1.395 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000878159809 706310188 /nfs/dbraw/zinc/31/01/88/706310188.db2.gz WRMHJCVXMXECSL-YESZJQIVSA-N 1 2 307.438 1.492 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2ccc3c(C#N)c[nH]c3c2)CCO1 ZINC000865092328 706347335 /nfs/dbraw/zinc/34/73/35/706347335.db2.gz OCGJMLJQVHGYJR-LBPRGKRZSA-N 1 2 312.373 1.490 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2ccc3c(C#N)c[nH]c3c2)CCO1 ZINC000865092328 706347338 /nfs/dbraw/zinc/34/73/38/706347338.db2.gz OCGJMLJQVHGYJR-LBPRGKRZSA-N 1 2 312.373 1.490 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1cc(C#N)ccc1C ZINC000830162150 706467072 /nfs/dbraw/zinc/46/70/72/706467072.db2.gz GKBRMTDSUJYPBE-HNNXBMFYSA-N 1 2 301.390 1.707 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+](C)C[C@H](C)NC(=O)OC(C)(C)C ZINC000879063395 706576883 /nfs/dbraw/zinc/57/68/83/706576883.db2.gz MTTAYPDMHKZEEU-NWDGAFQWSA-N 1 2 300.399 1.949 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+](C)C[C@H](C)NC(=O)OC(C)(C)C ZINC000879063395 706576885 /nfs/dbraw/zinc/57/68/85/706576885.db2.gz MTTAYPDMHKZEEU-NWDGAFQWSA-N 1 2 300.399 1.949 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1cc(C(F)(F)F)cc(C#N)n1 ZINC000865971505 706580603 /nfs/dbraw/zinc/58/06/03/706580603.db2.gz GKHVZWSASCOTEB-LLVKDONJSA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1cc(C(F)(F)F)cc(C#N)n1 ZINC000865971505 706580604 /nfs/dbraw/zinc/58/06/04/706580604.db2.gz GKHVZWSASCOTEB-LLVKDONJSA-N 1 2 300.284 1.715 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@@H](C(F)(F)F)CC1 ZINC000880046535 706863003 /nfs/dbraw/zinc/86/30/03/706863003.db2.gz XTOSXTVMMJTVRL-WDEREUQCSA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@@H](C(F)(F)F)CC1 ZINC000880046535 706863006 /nfs/dbraw/zinc/86/30/06/706863006.db2.gz XTOSXTVMMJTVRL-WDEREUQCSA-N 1 2 307.316 1.400 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1ccnc(C#N)c1 ZINC000880533709 707008618 /nfs/dbraw/zinc/00/86/18/707008618.db2.gz FWXGVCZJQNRXBH-CQSZACIVSA-N 1 2 303.366 1.186 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1ccnc(C#N)c1 ZINC000880533709 707008622 /nfs/dbraw/zinc/00/86/22/707008622.db2.gz FWXGVCZJQNRXBH-CQSZACIVSA-N 1 2 303.366 1.186 20 30 DDEDLO C(=NNc1cc[nH+]c2ccccc12)c1cn(C[C@H]2CCOC2)nn1 ZINC000872349957 707387109 /nfs/dbraw/zinc/38/71/09/707387109.db2.gz IYUJJEQLFQVQKA-CYBMUJFWSA-N 1 2 322.372 1.731 20 30 DDEDLO COC(=O)c1cccc2c(C=NNC3=[NH+]C[C@@H](C)N3)ccnc12 ZINC000872364980 707391930 /nfs/dbraw/zinc/39/19/30/707391930.db2.gz YJOVTTDHMBAQMA-SNVBAGLBSA-N 1 2 311.345 1.293 20 30 DDEDLO C#Cc1cccc(N(CC)S(=O)(=O)CCn2cc[nH+]c2)c1 ZINC000881860088 707395842 /nfs/dbraw/zinc/39/58/42/707395842.db2.gz MSSPDZUTHCLGOX-UHFFFAOYSA-N 1 2 303.387 1.721 20 30 DDEDLO Cc1cc(C)c(CNC(=O)N2CCN(C3CC3)[C@@H](C#N)C2)c[nH+]1 ZINC000836658805 707499211 /nfs/dbraw/zinc/49/92/11/707499211.db2.gz RGTQTHZFIFRJLC-INIZCTEOSA-N 1 2 313.405 1.580 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC(C(F)(F)F)CC1 ZINC000884069221 708125448 /nfs/dbraw/zinc/12/54/48/708125448.db2.gz VTLXVGHHLJXAFK-JTQLQIEISA-N 1 2 308.300 1.234 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2ccccc2[C@H]1C ZINC000884130102 708153406 /nfs/dbraw/zinc/15/34/06/708153406.db2.gz ZSWQGOUNBGNKLD-DOMZBBRYSA-N 1 2 302.374 1.579 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H]1C[C@H](OCC)C1(C)C ZINC000884150653 708161546 /nfs/dbraw/zinc/16/15/46/708161546.db2.gz RSNTWSYHQVOUSG-XQQFMLRXSA-N 1 2 312.410 1.095 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2cc(OC)ccc2C1 ZINC000884409146 708285159 /nfs/dbraw/zinc/28/51/59/708285159.db2.gz BERCWEDDIJWKCT-HNNXBMFYSA-N 1 2 318.373 1.027 20 30 DDEDLO C#CC[C@@H]1CCN(c2nc(NC)nc(N3CC[C@@H](CC#C)C3)[nH+]2)C1 ZINC000884594753 708335032 /nfs/dbraw/zinc/33/50/32/708335032.db2.gz QVHCRFRMRPJGNN-HUUCEWRRSA-N 1 2 324.432 1.034 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)C[C@H]2CCC(F)(F)C2)C1 ZINC000885508845 708561782 /nfs/dbraw/zinc/56/17/82/708561782.db2.gz MQOURQXSYODMNI-STQMWFEESA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)C[C@H]2CCC(F)(F)C2)C1 ZINC000885508845 708561784 /nfs/dbraw/zinc/56/17/84/708561784.db2.gz MQOURQXSYODMNI-STQMWFEESA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(OCC)cc2)C1 ZINC000885508323 708561940 /nfs/dbraw/zinc/56/19/40/708561940.db2.gz FLKRNXFCRSBDRW-CQSZACIVSA-N 1 2 322.430 1.461 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(OCC)cc2)C1 ZINC000885508323 708561943 /nfs/dbraw/zinc/56/19/43/708561943.db2.gz FLKRNXFCRSBDRW-CQSZACIVSA-N 1 2 322.430 1.461 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2C)C1 ZINC000885511917 708562913 /nfs/dbraw/zinc/56/29/13/708562913.db2.gz OTSUMOYCLGRMKI-CYBMUJFWSA-N 1 2 310.394 1.510 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2C)C1 ZINC000885511917 708562914 /nfs/dbraw/zinc/56/29/14/708562914.db2.gz OTSUMOYCLGRMKI-CYBMUJFWSA-N 1 2 310.394 1.510 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@@H+]1C[C@H](O)CC1(C#N)CCC1 ZINC000886116221 708701119 /nfs/dbraw/zinc/70/11/19/708701119.db2.gz CWJIKVWJIRULCJ-MCIONIFRSA-N 1 2 310.394 1.084 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@H+]1C[C@H](O)CC1(C#N)CCC1 ZINC000886116221 708701121 /nfs/dbraw/zinc/70/11/21/708701121.db2.gz CWJIKVWJIRULCJ-MCIONIFRSA-N 1 2 310.394 1.084 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)c2cccc(C#N)n2)n1 ZINC000927764205 713049801 /nfs/dbraw/zinc/04/98/01/713049801.db2.gz WIMRPIRDXYBCJL-UHFFFAOYSA-N 1 2 301.375 1.401 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@](CO)(C(F)(F)F)C1 ZINC000886793650 708845812 /nfs/dbraw/zinc/84/58/12/708845812.db2.gz QETZRJAZYQCFJH-STQMWFEESA-N 1 2 321.343 1.288 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@](CO)(C(F)(F)F)C1 ZINC000886793650 708845814 /nfs/dbraw/zinc/84/58/14/708845814.db2.gz QETZRJAZYQCFJH-STQMWFEESA-N 1 2 321.343 1.288 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCc2cc(O)c(OC)cc2C1 ZINC000887103671 708955996 /nfs/dbraw/zinc/95/59/96/708955996.db2.gz HYOZWFKNNKBRFL-UHFFFAOYSA-N 1 2 316.401 1.960 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCc2cc(O)c(OC)cc2C1 ZINC000887103671 708955998 /nfs/dbraw/zinc/95/59/98/708955998.db2.gz HYOZWFKNNKBRFL-UHFFFAOYSA-N 1 2 316.401 1.960 20 30 DDEDLO N#Cc1ccc([C@@H]2CN(C(=O)CCn3cc[nH+]c3)CCO2)cc1 ZINC000887729304 709112881 /nfs/dbraw/zinc/11/28/81/709112881.db2.gz LXUZKRVYFMJENN-INIZCTEOSA-N 1 2 310.357 1.745 20 30 DDEDLO N#Cc1ccc([C@H]2CN(C(=O)CCn3cc[nH+]c3)CCO2)cc1 ZINC000887729305 709112935 /nfs/dbraw/zinc/11/29/35/709112935.db2.gz LXUZKRVYFMJENN-MRXNPFEDSA-N 1 2 310.357 1.745 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000890250654 709801021 /nfs/dbraw/zinc/80/10/21/709801021.db2.gz JQXKMMGSXQBRQS-HOTGVXAUSA-N 1 2 314.389 1.861 20 30 DDEDLO Cc1cccn2cc(CCNS(=O)(=O)CC(C)(C)C#N)[nH+]c12 ZINC000914214981 713360333 /nfs/dbraw/zinc/36/03/33/713360333.db2.gz ZLRQXOFYQMSRKG-UHFFFAOYSA-N 1 2 320.418 1.654 20 30 DDEDLO C=C(Br)CNc1cc(N[C@H](CC)CO)nc[nH+]1 ZINC000892035415 710357338 /nfs/dbraw/zinc/35/73/38/710357338.db2.gz IPHSKXDJZSCEJL-SECBINFHSA-N 1 2 301.188 1.980 20 30 DDEDLO C=C(Br)CNc1cc(N[C@H](CC)CO)[nH+]cn1 ZINC000892035415 710357340 /nfs/dbraw/zinc/35/73/40/710357340.db2.gz IPHSKXDJZSCEJL-SECBINFHSA-N 1 2 301.188 1.980 20 30 DDEDLO CCn1c[nH+]c2c1CCN(Cn1nc(CC#N)n(C)c1=S)C2 ZINC000902556739 710793899 /nfs/dbraw/zinc/79/38/99/710793899.db2.gz CZRQWSDWYAJPHI-UHFFFAOYSA-N 1 2 317.422 1.249 20 30 DDEDLO CO[C@@H]1CC[C@H]1[N@H+](C)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000902638258 710832703 /nfs/dbraw/zinc/83/27/03/710832703.db2.gz MADAANJREGFVJD-HZPDHXFCSA-N 1 2 301.390 1.665 20 30 DDEDLO CO[C@@H]1CC[C@H]1[N@@H+](C)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000902638258 710832707 /nfs/dbraw/zinc/83/27/07/710832707.db2.gz MADAANJREGFVJD-HZPDHXFCSA-N 1 2 301.390 1.665 20 30 DDEDLO C=CCOc1ccccc1C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC000913442518 713218132 /nfs/dbraw/zinc/21/81/32/713218132.db2.gz JJAKVRFSIWEZTN-OAHLLOKOSA-N 1 2 312.373 1.761 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@@H]2CCC3(C[C@H]2F)OCCO3)n1 ZINC000894109597 710971307 /nfs/dbraw/zinc/97/13/07/710971307.db2.gz RSXXWZHTFYZOMA-HZPDHXFCSA-N 1 2 321.396 1.972 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@@H]2CCC3(C[C@H]2F)OCCO3)n1 ZINC000894109597 710971309 /nfs/dbraw/zinc/97/13/09/710971309.db2.gz RSXXWZHTFYZOMA-HZPDHXFCSA-N 1 2 321.396 1.972 20 30 DDEDLO Cn1nc(Br)nc1C[NH2+]Cc1cccc(C#N)c1 ZINC000894171588 710997628 /nfs/dbraw/zinc/99/76/28/710997628.db2.gz JQAGZCJLSGIZHL-UHFFFAOYSA-N 1 2 306.167 1.739 20 30 DDEDLO Cc1ccc(C(=O)N2CC[NH2+][C@@H](c3cnn(C)c3)C2)cc1C#N ZINC000913459892 713225553 /nfs/dbraw/zinc/22/55/53/713225553.db2.gz CFRLAASNWAFQEU-MRXNPFEDSA-N 1 2 309.373 1.387 20 30 DDEDLO C[C@@H](Nc1cc(C#N)ccc1[N+](=O)[O-])[C@@H]1CN(C)CC[N@@H+]1C ZINC000895247063 711464519 /nfs/dbraw/zinc/46/45/19/711464519.db2.gz SFNMOIHJVPCCMS-ABAIWWIYSA-N 1 2 303.366 1.513 20 30 DDEDLO C[C@@H](Nc1cc(C#N)ccc1[N+](=O)[O-])[C@@H]1CN(C)CC[N@H+]1C ZINC000895247063 711464522 /nfs/dbraw/zinc/46/45/22/711464522.db2.gz SFNMOIHJVPCCMS-ABAIWWIYSA-N 1 2 303.366 1.513 20 30 DDEDLO CCOCc1nc(C)cc(NNC2=CC(=O)N3CCCC[C@@H]23)[nH+]1 ZINC000905498735 712055920 /nfs/dbraw/zinc/05/59/20/712055920.db2.gz IMZZEJKNOFCNHD-ZDUSSCGKSA-N 1 2 317.393 1.874 20 30 DDEDLO N#C[C@@H]1CCC[C@H](OC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000905929846 712190060 /nfs/dbraw/zinc/19/00/60/712190060.db2.gz SFZPDJZXHZFJAO-QXSJWSMHSA-N 1 2 322.405 1.492 20 30 DDEDLO C#CCCCCNC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000906971660 712453275 /nfs/dbraw/zinc/45/32/75/712453275.db2.gz NNRGIDREYCIEEU-UHFFFAOYSA-N 1 2 303.406 1.354 20 30 DDEDLO CC(C)(CNC(=O)C1(C#N)CCSCC1)[NH+]1CCOCC1 ZINC000929339965 713592912 /nfs/dbraw/zinc/59/29/12/713592912.db2.gz JAUGKXLPCCUNBT-UHFFFAOYSA-N 1 2 311.451 1.250 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccc(CC#N)cc1 ZINC000929429213 713608641 /nfs/dbraw/zinc/60/86/41/713608641.db2.gz YDVWYEGJKPVXQJ-CQSZACIVSA-N 1 2 316.405 1.937 20 30 DDEDLO CC[C@@](O)(C[NH+]1CCN([C@@H](C#N)C(C)C)CC1)C(F)(F)F ZINC000930116519 713760253 /nfs/dbraw/zinc/76/02/53/713760253.db2.gz YFSCZXGWPKPIAN-QWHCGFSZSA-N 1 2 307.360 1.856 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2cccc(F)c2F)CC1 ZINC000921439046 713771445 /nfs/dbraw/zinc/77/14/45/713771445.db2.gz PVLSJEDXTVGZHA-UHFFFAOYSA-N 1 2 314.357 1.341 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2nn(C)cc2C)C1 ZINC000966077599 717932659 /nfs/dbraw/zinc/93/26/59/717932659.db2.gz PYVCHJLCFAIQEM-GXFFZTMASA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2nn(C)cc2C)C1 ZINC000966077599 717932663 /nfs/dbraw/zinc/93/26/63/717932663.db2.gz PYVCHJLCFAIQEM-GXFFZTMASA-N 1 2 310.829 1.921 20 30 DDEDLO C=CCCn1cc(CN[C@@H]2CCC[N@@H+]3CCSC[C@@H]23)nn1 ZINC000922522759 714077648 /nfs/dbraw/zinc/07/76/48/714077648.db2.gz FLMINYDGPWACQS-CABCVRRESA-N 1 2 307.467 1.524 20 30 DDEDLO C=CCCn1cc(CN[C@@H]2CCC[N@H+]3CCSC[C@@H]23)nn1 ZINC000922522759 714077651 /nfs/dbraw/zinc/07/76/51/714077651.db2.gz FLMINYDGPWACQS-CABCVRRESA-N 1 2 307.467 1.524 20 30 DDEDLO Cn1cc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2cncc(C#N)c2)cn1 ZINC000931425142 714092951 /nfs/dbraw/zinc/09/29/51/714092951.db2.gz FTURFANGWCMUPW-MRXNPFEDSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cc(C(=O)NC[C@H]2CCC[N@H+]2Cc2cncc(C#N)c2)cn1 ZINC000931425142 714092952 /nfs/dbraw/zinc/09/29/52/714092952.db2.gz FTURFANGWCMUPW-MRXNPFEDSA-N 1 2 324.388 1.081 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NCC[N@@H+]2CCO[C@@H](C)C2)c1 ZINC000931701427 714164692 /nfs/dbraw/zinc/16/46/92/714164692.db2.gz RPCTZYZINOLWMV-ZDUSSCGKSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)NCC[N@H+]2CCO[C@@H](C)C2)c1 ZINC000931701427 714164693 /nfs/dbraw/zinc/16/46/93/714164693.db2.gz RPCTZYZINOLWMV-ZDUSSCGKSA-N 1 2 302.378 1.709 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H]1C(=O)NC(C)(C)C ZINC000932438757 714331194 /nfs/dbraw/zinc/33/11/94/714331194.db2.gz UFLPGNQUQAEVOM-KBPBESRZSA-N 1 2 322.453 1.374 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@H]1C(=O)NC(C)(C)C ZINC000932438757 714331198 /nfs/dbraw/zinc/33/11/98/714331198.db2.gz UFLPGNQUQAEVOM-KBPBESRZSA-N 1 2 322.453 1.374 20 30 DDEDLO C[C@H](c1ccsc1)[NH+]1CCN(C(=O)NCC#CCO)CC1 ZINC000923772304 714467582 /nfs/dbraw/zinc/46/75/82/714467582.db2.gz RHAARVAWHIBRPX-CYBMUJFWSA-N 1 2 307.419 1.132 20 30 DDEDLO O=C(NCC#CCO)N1CC[NH+](C/C=C/c2ccccc2)CC1 ZINC000923775945 714468318 /nfs/dbraw/zinc/46/83/18/714468318.db2.gz MWYUFQFZZLKUQF-RMKNXTFCSA-N 1 2 313.401 1.023 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000924036576 714512443 /nfs/dbraw/zinc/51/24/43/714512443.db2.gz RDDHPQJRUPIVAE-HOTGVXAUSA-N 1 2 312.373 1.308 20 30 DDEDLO C=CCCC[C@@H]1NC(=O)N([C@H](C)[C@H](C)[NH+]2CCOCC2)C1=O ZINC000924596388 714615342 /nfs/dbraw/zinc/61/53/42/714615342.db2.gz ZEHQKTCZIHKCNT-MJBXVCDLSA-N 1 2 309.410 1.372 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](C[C@H]3CCC4(COC4)O3)CCO2)cc1 ZINC000933633013 714634905 /nfs/dbraw/zinc/63/49/05/714634905.db2.gz UIETZMVBKQMNTN-SJORKVTESA-N 1 2 314.385 1.880 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](C[C@H]3CCC4(COC4)O3)CCO2)cc1 ZINC000933633013 714634906 /nfs/dbraw/zinc/63/49/06/714634906.db2.gz UIETZMVBKQMNTN-SJORKVTESA-N 1 2 314.385 1.880 20 30 DDEDLO Cn1cc(N2CC[C@H]([N@H+](C)Cc3cc(C#N)cs3)C2=O)cn1 ZINC000933778933 714669928 /nfs/dbraw/zinc/66/99/28/714669928.db2.gz VWINGUPONVTNSV-AWEZNQCLSA-N 1 2 315.402 1.591 20 30 DDEDLO Cn1cc(N2CC[C@H]([N@@H+](C)Cc3cc(C#N)cs3)C2=O)cn1 ZINC000933778933 714669930 /nfs/dbraw/zinc/66/99/30/714669930.db2.gz VWINGUPONVTNSV-AWEZNQCLSA-N 1 2 315.402 1.591 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]2C2OCCO2)c([N+](=O)[O-])c1 ZINC000933902882 714697343 /nfs/dbraw/zinc/69/73/43/714697343.db2.gz OSEILKAHRIYDGB-CYBMUJFWSA-N 1 2 303.318 1.804 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]2C2OCCO2)c([N+](=O)[O-])c1 ZINC000933902882 714697344 /nfs/dbraw/zinc/69/73/44/714697344.db2.gz OSEILKAHRIYDGB-CYBMUJFWSA-N 1 2 303.318 1.804 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2CCO ZINC000934264476 714779973 /nfs/dbraw/zinc/77/99/73/714779973.db2.gz SYUXDKBDXKAWOA-DLBZAZTESA-N 1 2 315.417 1.598 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CC[C@@H]2[C@@H]1CCCN2CCO ZINC000934264476 714779975 /nfs/dbraw/zinc/77/99/75/714779975.db2.gz SYUXDKBDXKAWOA-DLBZAZTESA-N 1 2 315.417 1.598 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000955114006 715630878 /nfs/dbraw/zinc/63/08/78/715630878.db2.gz UEHUAMCXAYJAOK-UHFFFAOYSA-N 1 2 304.394 1.224 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H](NC(=O)c2cccc3[nH+]ccn32)C1 ZINC000938268308 715780026 /nfs/dbraw/zinc/78/00/26/715780026.db2.gz IZNYLJUJDWWCNE-AWEZNQCLSA-N 1 2 322.368 1.078 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2c(C(F)(F)F)cnn2C)CC1 ZINC000957511714 715934011 /nfs/dbraw/zinc/93/40/11/715934011.db2.gz JCFRUBIWWKIPTE-UHFFFAOYSA-N 1 2 302.300 1.383 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2ccc(OC)c(OC)c2)CC1 ZINC000957525192 715941367 /nfs/dbraw/zinc/94/13/67/715941367.db2.gz IEMSKGXRWJVYPB-UHFFFAOYSA-N 1 2 316.401 1.414 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3csnn3)C2)C1 ZINC000939102200 716134692 /nfs/dbraw/zinc/13/46/92/716134692.db2.gz GENRRNKOAONTBJ-DGCLKSJQSA-N 1 2 306.435 1.831 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000939308376 716188610 /nfs/dbraw/zinc/18/86/10/716188610.db2.gz VLEXZQYKLYDQDH-CYBMUJFWSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2ccoc2Cl)[C@H](O)C1 ZINC000958008615 716273037 /nfs/dbraw/zinc/27/30/37/716273037.db2.gz BAIZWXMHGNJFSF-MWLCHTKSSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2ccoc2Cl)[C@H](O)C1 ZINC000958008615 716273042 /nfs/dbraw/zinc/27/30/42/716273042.db2.gz BAIZWXMHGNJFSF-MWLCHTKSSA-N 1 2 319.188 1.708 20 30 DDEDLO CCc1nnc(C[NH2+]C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)o1 ZINC000959927034 716359096 /nfs/dbraw/zinc/35/90/96/716359096.db2.gz ZADFBAQHNXAGLG-RYUDHWBXSA-N 1 2 305.382 1.262 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2occ3c2CCC3)C1 ZINC000958290662 716452942 /nfs/dbraw/zinc/45/29/42/716452942.db2.gz FJDFBASICJGHTK-OCCSQVGLSA-N 1 2 324.808 1.543 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2occ3c2CCC3)C1 ZINC000958290662 716452944 /nfs/dbraw/zinc/45/29/44/716452944.db2.gz FJDFBASICJGHTK-OCCSQVGLSA-N 1 2 324.808 1.543 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC=CC1 ZINC000958453223 716567717 /nfs/dbraw/zinc/56/77/17/716567717.db2.gz GPJDKODDBLQOBN-ITGUQSILSA-N 1 2 311.223 1.908 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC=CC1 ZINC000958453223 716567721 /nfs/dbraw/zinc/56/77/21/716567721.db2.gz GPJDKODDBLQOBN-ITGUQSILSA-N 1 2 311.223 1.908 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)c(C)o1 ZINC000960503206 716585603 /nfs/dbraw/zinc/58/56/03/716585603.db2.gz PPFKRQBJYXWZBF-SMDDNHRTSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)c(C)o1 ZINC000960503206 716585605 /nfs/dbraw/zinc/58/56/05/716585605.db2.gz PPFKRQBJYXWZBF-SMDDNHRTSA-N 1 2 304.394 1.874 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2cc(CC)on2)C1 ZINC000958602592 716655324 /nfs/dbraw/zinc/65/53/24/716655324.db2.gz IQCIEXBITDEZHV-MFKMUULPSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2cc(CC)on2)C1 ZINC000958602592 716655325 /nfs/dbraw/zinc/65/53/25/716655325.db2.gz IQCIEXBITDEZHV-MFKMUULPSA-N 1 2 313.785 1.012 20 30 DDEDLO N#CCN1CC[C@@H]([C@H]2CCCN(C(=O)CCc3[nH]cc[nH+]3)C2)C1 ZINC000961161124 716843131 /nfs/dbraw/zinc/84/31/31/716843131.db2.gz UENJHDWSIGOWLV-LSDHHAIUSA-N 1 2 315.421 1.426 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000940844295 716987315 /nfs/dbraw/zinc/98/73/15/716987315.db2.gz GTUDLEJAPZFDDS-ZIAGYGMSSA-N 1 2 315.421 1.186 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+]([C@H](C)c4nnc(C)o4)C[C@H]32)C1 ZINC000961736281 717079692 /nfs/dbraw/zinc/07/96/92/717079692.db2.gz WCFPOOFRMHAHIZ-ZZVYKPCYSA-N 1 2 316.405 1.842 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+]([C@H](C)c4nnc(C)o4)C[C@H]32)C1 ZINC000961736281 717079696 /nfs/dbraw/zinc/07/96/96/717079696.db2.gz WCFPOOFRMHAHIZ-ZZVYKPCYSA-N 1 2 316.405 1.842 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000941308912 717152349 /nfs/dbraw/zinc/15/23/49/717152349.db2.gz IAKPGNOVLDMPFE-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC000941386696 717166503 /nfs/dbraw/zinc/16/65/03/717166503.db2.gz PVVMLCKGUXWNKO-ZYHUDNBSSA-N 1 2 311.345 1.053 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccn4ccccc34)CC2)C1 ZINC000941479618 717184432 /nfs/dbraw/zinc/18/44/32/717184432.db2.gz MEMQPKOFORJLLJ-UHFFFAOYSA-N 1 2 322.412 1.015 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3C[C@]3(C)C(C)C)CC2)C1 ZINC000941623720 717233803 /nfs/dbraw/zinc/23/38/03/717233803.db2.gz ZYNNFTPECFJEIS-IEBWSBKVSA-N 1 2 317.477 1.520 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3C[C@H]3C(C)C)CC2)C1 ZINC000941628483 717235858 /nfs/dbraw/zinc/23/58/58/717235858.db2.gz VQXQSXADSMSPNL-IRXDYDNUSA-N 1 2 303.450 1.130 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(C4CC4)cn3)CC2)C1 ZINC000941654612 717245550 /nfs/dbraw/zinc/24/55/50/717245550.db2.gz JXWSQGUZIRWYDF-UHFFFAOYSA-N 1 2 324.428 1.034 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2cncc3nc[nH]c32)[C@H](C)C1 ZINC000942063666 717459902 /nfs/dbraw/zinc/45/99/02/717459902.db2.gz MLOQBGAMNURQGC-OCCSQVGLSA-N 1 2 311.389 1.421 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2cncc3nc[nH]c32)[C@H](C)C1 ZINC000942063666 717459904 /nfs/dbraw/zinc/45/99/04/717459904.db2.gz MLOQBGAMNURQGC-OCCSQVGLSA-N 1 2 311.389 1.421 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)c(C)[nH+]1 ZINC000942068067 717462525 /nfs/dbraw/zinc/46/25/25/717462525.db2.gz QYOXREINNOKSQK-SMDDNHRTSA-N 1 2 314.389 1.497 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(C(=O)Cc3c[nH+]cn3C)C2)C1 ZINC000942359536 717635514 /nfs/dbraw/zinc/63/55/14/717635514.db2.gz FMHUVDGVHNTJRI-CYBMUJFWSA-N 1 2 316.405 1.036 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC000965420394 717645959 /nfs/dbraw/zinc/64/59/59/717645959.db2.gz SXPMNKOJXAHDCC-HZMBPMFUSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC000965420394 717645961 /nfs/dbraw/zinc/64/59/61/717645961.db2.gz SXPMNKOJXAHDCC-HZMBPMFUSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cnon3)C[C@@H]2C)C1 ZINC000942607467 717774574 /nfs/dbraw/zinc/77/45/74/717774574.db2.gz GECOGPBPWXRPHK-GXTWGEPZSA-N 1 2 304.394 1.753 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cnon3)C[C@@H]2C)C1 ZINC000942607467 717774577 /nfs/dbraw/zinc/77/45/77/717774577.db2.gz GECOGPBPWXRPHK-GXTWGEPZSA-N 1 2 304.394 1.753 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H](C)[C@@H](NC(=O)Cn3cc[nH+]c3)C2)C1 ZINC000966796053 718663470 /nfs/dbraw/zinc/66/34/70/718663470.db2.gz SZLSUYOIQQXDLM-KGLIPLIRSA-N 1 2 316.405 1.203 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2sc(COC)nc2C)C1 ZINC000968597293 719683628 /nfs/dbraw/zinc/68/36/28/719683628.db2.gz VLEOTSDWEBKTLK-AAEUAGOBSA-N 1 2 321.446 1.671 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2sc(COC)nc2C)C1 ZINC000968597293 719683631 /nfs/dbraw/zinc/68/36/31/719683631.db2.gz VLEOTSDWEBKTLK-AAEUAGOBSA-N 1 2 321.446 1.671 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnn(CC(F)(F)F)c2)CC1 ZINC000948779098 719703398 /nfs/dbraw/zinc/70/33/98/719703398.db2.gz IGQIRIOSFFEDCN-UHFFFAOYSA-N 1 2 302.300 1.389 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn(C)c1 ZINC000948889842 719768720 /nfs/dbraw/zinc/76/87/20/719768720.db2.gz HILHXSFHZBQSPJ-SFHVURJKSA-N 1 2 322.412 1.773 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn(C)c1 ZINC000948889842 719768724 /nfs/dbraw/zinc/76/87/24/719768724.db2.gz HILHXSFHZBQSPJ-SFHVURJKSA-N 1 2 322.412 1.773 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1c(C)ncn1C ZINC000948929779 719790421 /nfs/dbraw/zinc/79/04/21/719790421.db2.gz TWOLZYBQHHOPKY-QGZVFWFLSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1c(C)ncn1C ZINC000948929779 719790424 /nfs/dbraw/zinc/79/04/24/719790424.db2.gz TWOLZYBQHHOPKY-QGZVFWFLSA-N 1 2 322.412 1.691 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2sccc2-n2cnnn2)CC1 ZINC000949083779 719898074 /nfs/dbraw/zinc/89/80/74/719898074.db2.gz MLLPYEATMOILHP-UHFFFAOYSA-N 1 2 318.406 1.058 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cc[nH]c3CC)CC2)C1 ZINC000949355140 720036432 /nfs/dbraw/zinc/03/64/32/720036432.db2.gz GXTHCYATWCTLEV-UHFFFAOYSA-N 1 2 315.417 1.517 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cc[nH]c3CC)CC2)C1 ZINC000949355140 720036434 /nfs/dbraw/zinc/03/64/34/720036434.db2.gz GXTHCYATWCTLEV-UHFFFAOYSA-N 1 2 315.417 1.517 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CCC[C@H]3OC)CC2)C1 ZINC000949401377 720063148 /nfs/dbraw/zinc/06/31/48/720063148.db2.gz ASWYTOMQRGFGPK-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CCC[C@H]3OC)CC2)C1 ZINC000949401377 720063152 /nfs/dbraw/zinc/06/31/52/720063152.db2.gz ASWYTOMQRGFGPK-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+][C@H](C)c3nnc(C)o3)C2)cc1 ZINC000969548703 720185984 /nfs/dbraw/zinc/18/59/84/720185984.db2.gz UIYJIHJINXWGGC-MLGOLLRUSA-N 1 2 324.384 1.925 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC000969563582 720193134 /nfs/dbraw/zinc/19/31/34/720193134.db2.gz FCUORMIKVZCWHT-GFCCVEGCSA-N 1 2 306.410 1.925 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC000969587609 720202618 /nfs/dbraw/zinc/20/26/18/720202618.db2.gz UUHCKSPVBCPUJG-LLVKDONJSA-N 1 2 308.382 1.119 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC000969788018 720293208 /nfs/dbraw/zinc/29/32/08/720293208.db2.gz HUASBKCGDBFOMO-NWDGAFQWSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC000950331114 720580479 /nfs/dbraw/zinc/58/04/79/720580479.db2.gz LYRBQEJYNROCFJ-HNNXBMFYSA-N 1 2 302.422 1.938 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@@H]2COCC[N@H+]2CCCC)C1 ZINC000950990549 720866768 /nfs/dbraw/zinc/86/67/68/720866768.db2.gz UTPVMFPPGJEKFE-KRWDZBQOSA-N 1 2 321.465 1.043 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@@H]2COCC[N@@H+]2CCCC)C1 ZINC000950990549 720866774 /nfs/dbraw/zinc/86/67/74/720866774.db2.gz UTPVMFPPGJEKFE-KRWDZBQOSA-N 1 2 321.465 1.043 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc3c(c2)ncn3C)C1 ZINC000951130304 720928665 /nfs/dbraw/zinc/92/86/65/720928665.db2.gz PZTOSOXAWKAATI-UHFFFAOYSA-N 1 2 313.405 1.691 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(-c3cccnc3)on2)C1 ZINC000951563833 721076580 /nfs/dbraw/zinc/07/65/80/721076580.db2.gz IYLCRNAXKGMBCM-UHFFFAOYSA-N 1 2 324.384 1.906 20 30 DDEDLO CO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971159058 721146151 /nfs/dbraw/zinc/14/61/51/721146151.db2.gz XHNJLVGCMODARH-BLLLJJGKSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971159058 721146153 /nfs/dbraw/zinc/14/61/53/721146153.db2.gz XHNJLVGCMODARH-BLLLJJGKSA-N 1 2 319.380 1.765 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnn3cc(C)cnc23)C1 ZINC000952380682 721429287 /nfs/dbraw/zinc/42/92/87/721429287.db2.gz KUBHMCQKBUCQIT-UHFFFAOYSA-N 1 2 313.405 1.760 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@]3(C)CCCOC3)C2)C1 ZINC000972616589 735355196 /nfs/dbraw/zinc/35/51/96/735355196.db2.gz AXHONYSLWGOQGC-DLBZAZTESA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@]3(C)CCCOC3)C2)C1 ZINC000972616589 735355198 /nfs/dbraw/zinc/35/51/98/735355198.db2.gz AXHONYSLWGOQGC-DLBZAZTESA-N 1 2 308.422 1.292 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H]([N@H+](C)Cc3ccon3)C2)nc1 ZINC001027656234 738516941 /nfs/dbraw/zinc/51/69/41/738516941.db2.gz MOQYRNOYJNNXSL-MRXNPFEDSA-N 1 2 324.384 1.788 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H]([N@@H+](C)Cc3ccon3)C2)nc1 ZINC001027656234 738516944 /nfs/dbraw/zinc/51/69/44/738516944.db2.gz MOQYRNOYJNNXSL-MRXNPFEDSA-N 1 2 324.384 1.788 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3cccs3)[C@H]2C1 ZINC001083190787 732482614 /nfs/dbraw/zinc/48/26/14/732482614.db2.gz YULPMFDBRPDOFU-MELADBBJSA-N 1 2 304.415 1.396 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3cccs3)[C@H]2C1 ZINC001083190787 732482616 /nfs/dbraw/zinc/48/26/16/732482616.db2.gz YULPMFDBRPDOFU-MELADBBJSA-N 1 2 304.415 1.396 20 30 DDEDLO C=CCCC[N@H+]1CC(=O)NC[C@@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001209157351 732615117 /nfs/dbraw/zinc/61/51/17/732615117.db2.gz URUJYMNHNUBHFK-QGZVFWFLSA-N 1 2 323.437 1.764 20 30 DDEDLO C=CCCC[N@@H+]1CC(=O)NC[C@@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001209157351 732615121 /nfs/dbraw/zinc/61/51/21/732615121.db2.gz URUJYMNHNUBHFK-QGZVFWFLSA-N 1 2 323.437 1.764 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@H+](Cc3cnon3)CC[C@@H]21 ZINC001021582253 733340449 /nfs/dbraw/zinc/34/04/49/733340449.db2.gz VHFCWLCEQSIIBY-HOCLYGCPSA-N 1 2 314.389 1.296 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@@H+](Cc3cnon3)CC[C@@H]21 ZINC001021582253 733340452 /nfs/dbraw/zinc/34/04/52/733340452.db2.gz VHFCWLCEQSIIBY-HOCLYGCPSA-N 1 2 314.389 1.296 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCCC[C@@H]1[C@@H]1CCN(CC#N)C1 ZINC001039256030 733383209 /nfs/dbraw/zinc/38/32/09/733383209.db2.gz HNCJMZAOZQXTMH-GDBMZVCRSA-N 1 2 315.421 1.189 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2nccs2)[nH]1 ZINC001027833572 738710255 /nfs/dbraw/zinc/71/02/55/738710255.db2.gz NZZSDXTVWKVXCK-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2CCC[N@H+]2Cc2nccs2)[nH]1 ZINC001027833572 738710256 /nfs/dbraw/zinc/71/02/56/738710256.db2.gz NZZSDXTVWKVXCK-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@H](NC(=O)[C@H]3CCCO3)C2)c1 ZINC001010053359 738734090 /nfs/dbraw/zinc/73/40/90/738734090.db2.gz FGHHSESEUAEJOS-GOEBONIOSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@H](NC(=O)[C@H]3CCCO3)C2)c1 ZINC001010053359 738734091 /nfs/dbraw/zinc/73/40/91/738734091.db2.gz FGHHSESEUAEJOS-GOEBONIOSA-N 1 2 317.364 1.567 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3ccc(Cl)s3)[C@H]2C1 ZINC001083217016 733634211 /nfs/dbraw/zinc/63/42/11/733634211.db2.gz CNGAQZCKEUXJPN-QWHCGFSZSA-N 1 2 324.833 1.489 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3ccc(Cl)s3)[C@H]2C1 ZINC001083217016 733634216 /nfs/dbraw/zinc/63/42/16/733634216.db2.gz CNGAQZCKEUXJPN-QWHCGFSZSA-N 1 2 324.833 1.489 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cccc2n[nH]nc21 ZINC001027902528 738790466 /nfs/dbraw/zinc/79/04/66/738790466.db2.gz RPBMZEYUYQDEGH-LLVKDONJSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cccc2n[nH]nc21 ZINC001027902528 738790469 /nfs/dbraw/zinc/79/04/69/738790469.db2.gz RPBMZEYUYQDEGH-LLVKDONJSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cccn2nnnc12 ZINC001027906994 738793017 /nfs/dbraw/zinc/79/30/17/738793017.db2.gz URTDABOOAFULRU-LLVKDONJSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cccn2nnnc12 ZINC001027906994 738793018 /nfs/dbraw/zinc/79/30/18/738793018.db2.gz URTDABOOAFULRU-LLVKDONJSA-N 1 2 320.784 1.071 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3nc(CC)oc3C)[C@H]2C1 ZINC001083221191 734378631 /nfs/dbraw/zinc/37/86/31/734378631.db2.gz PODQWUBPTJKFMO-QWHCGFSZSA-N 1 2 305.378 1.257 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3nc(CC)oc3C)[C@H]2C1 ZINC001083221191 734378634 /nfs/dbraw/zinc/37/86/34/734378634.db2.gz PODQWUBPTJKFMO-QWHCGFSZSA-N 1 2 305.378 1.257 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@@H]2C[C@H](C)CCN2C(=O)C#CC2CC2)o1 ZINC001087164048 734401271 /nfs/dbraw/zinc/40/12/71/734401271.db2.gz FMPNJQYEBQZIHC-DOMZBBRYSA-N 1 2 316.405 1.508 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCC[C@H](NC(=O)c2ccccc2)C1 ZINC001167482432 734402311 /nfs/dbraw/zinc/40/23/11/734402311.db2.gz KNCIORCVHSCOIC-JKSUJKDBSA-N 1 2 316.401 1.999 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCC[C@H](NC(=O)c2ccccc2)C1 ZINC001167482432 734402314 /nfs/dbraw/zinc/40/23/14/734402314.db2.gz KNCIORCVHSCOIC-JKSUJKDBSA-N 1 2 316.401 1.999 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001011062100 734445750 /nfs/dbraw/zinc/44/57/50/734445750.db2.gz QNOPYMJZWLFTSE-QGZVFWFLSA-N 1 2 318.421 1.615 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)[C@@H]1CC1(F)F ZINC001038230065 734537818 /nfs/dbraw/zinc/53/78/18/734537818.db2.gz RTDLZEHIJIDERV-CABCVRRESA-N 1 2 304.340 1.884 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)[C@@H]1CC1(F)F ZINC001038230065 734537821 /nfs/dbraw/zinc/53/78/21/734537821.db2.gz RTDLZEHIJIDERV-CABCVRRESA-N 1 2 304.340 1.884 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1snnc1C1CC1 ZINC001027948208 738849860 /nfs/dbraw/zinc/84/98/60/738849860.db2.gz BYMSPHKKMLGGGH-GFCCVEGCSA-N 1 2 304.419 1.633 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1snnc1C1CC1 ZINC001027948208 738849866 /nfs/dbraw/zinc/84/98/66/738849866.db2.gz BYMSPHKKMLGGGH-GFCCVEGCSA-N 1 2 304.419 1.633 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCO[C@@H]1CC1(F)F ZINC001032562301 751343152 /nfs/dbraw/zinc/34/31/52/751343152.db2.gz OTYYAQDKKOQDLZ-RWMBFGLXSA-N 1 2 314.376 1.908 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCO[C@@H]1CC1(F)F ZINC001032562301 751343161 /nfs/dbraw/zinc/34/31/61/751343161.db2.gz OTYYAQDKKOQDLZ-RWMBFGLXSA-N 1 2 314.376 1.908 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001024598137 735970968 /nfs/dbraw/zinc/97/09/68/735970968.db2.gz AOLOWRSKULSCBZ-NSHDSACASA-N 1 2 312.801 1.463 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001024598137 735970969 /nfs/dbraw/zinc/97/09/69/735970969.db2.gz AOLOWRSKULSCBZ-NSHDSACASA-N 1 2 312.801 1.463 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104538924 736205721 /nfs/dbraw/zinc/20/57/21/736205721.db2.gz XSJGNIGMMJBMBG-LBPRGKRZSA-N 1 2 314.393 1.426 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)c3ccco3)C2)nn1 ZINC001098600628 736529613 /nfs/dbraw/zinc/52/96/13/736529613.db2.gz DMQWFTDINXVQAO-AWEZNQCLSA-N 1 2 313.361 1.071 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@H]2C[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)no1 ZINC001020091009 736989468 /nfs/dbraw/zinc/98/94/68/736989468.db2.gz ANXLVDBTVGXDKP-GGZOMVNGSA-N 1 2 314.349 1.189 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)N(C)C(=O)CCn1cc[nH+]c1 ZINC001104749378 737048045 /nfs/dbraw/zinc/04/80/45/737048045.db2.gz UKPVESQVLRUTHI-ZDUSSCGKSA-N 1 2 312.377 1.499 20 30 DDEDLO Cc1nc(N(C)CCCN(C)C(=O)CSCC#N)cc[nH+]1 ZINC001112044020 737119968 /nfs/dbraw/zinc/11/99/68/737119968.db2.gz ADURMNXEWRDTJV-UHFFFAOYSA-N 1 2 307.423 1.327 20 30 DDEDLO C=CCCCC(=O)N(C)[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104783903 737205504 /nfs/dbraw/zinc/20/55/04/737205504.db2.gz BCEUASLGDURLEO-GFCCVEGCSA-N 1 2 320.441 1.861 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)CCCN(C)c2cc[nH+]c(C)n2)c1 ZINC001112172948 740919833 /nfs/dbraw/zinc/91/98/33/740919833.db2.gz CZXMOCCONFDXLT-UHFFFAOYSA-N 1 2 323.400 1.760 20 30 DDEDLO CC(C)[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001105294251 737744063 /nfs/dbraw/zinc/74/40/63/737744063.db2.gz PVDQOOQRQBECOU-AWEZNQCLSA-N 1 2 312.377 1.472 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3CCCC3(C)C)C2)nn1 ZINC001105303711 737792859 /nfs/dbraw/zinc/79/28/59/737792859.db2.gz PWBZBIBNSVYDTM-OAHLLOKOSA-N 1 2 315.421 1.211 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C(C)(C)CCC)C2)nn1 ZINC001105317177 737829255 /nfs/dbraw/zinc/82/92/55/737829255.db2.gz LSGNAMPVFKQXEG-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105318511 737839922 /nfs/dbraw/zinc/83/99/22/737839922.db2.gz CSKNVWZROGUPTI-QGZVFWFLSA-N 1 2 315.421 1.377 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001125951197 737912785 /nfs/dbraw/zinc/91/27/85/737912785.db2.gz KJQBKWCEMIFMKT-UHFFFAOYSA-N 1 2 322.434 1.501 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCCN(C(=O)COC)C1 ZINC001027257728 738140873 /nfs/dbraw/zinc/14/08/73/738140873.db2.gz BDXIEJQWRWJZFM-NSHDSACASA-N 1 2 305.216 1.464 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCCN(C(=O)COC)C1 ZINC001027257728 738140875 /nfs/dbraw/zinc/14/08/75/738140875.db2.gz BDXIEJQWRWJZFM-NSHDSACASA-N 1 2 305.216 1.464 20 30 DDEDLO CN(CC#N)[C@@H]1CCCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001027510177 738361246 /nfs/dbraw/zinc/36/12/46/738361246.db2.gz QVJYQHCQJAVSFN-QGZVFWFLSA-N 1 2 323.400 1.932 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098196742 739020146 /nfs/dbraw/zinc/02/01/46/739020146.db2.gz VEMFAPYRVBNDCQ-NWDGAFQWSA-N 1 2 320.441 1.765 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(N(C)C)cc2F)C1 ZINC001035394157 751464125 /nfs/dbraw/zinc/46/41/25/751464125.db2.gz MRNOJWZTESQUGN-AWEZNQCLSA-N 1 2 321.396 1.508 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(N(C)C)cc2F)C1 ZINC001035394157 751464130 /nfs/dbraw/zinc/46/41/30/751464130.db2.gz MRNOJWZTESQUGN-AWEZNQCLSA-N 1 2 321.396 1.508 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncc(C)cc2OC)C1 ZINC001035395317 751465485 /nfs/dbraw/zinc/46/54/85/751465485.db2.gz CJBYCKILXPEJRQ-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncc(C)cc2OC)C1 ZINC001035395317 751465492 /nfs/dbraw/zinc/46/54/92/751465492.db2.gz CJBYCKILXPEJRQ-CQSZACIVSA-N 1 2 319.405 1.405 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)CCCN(C)c1cc[nH+]c(C)n1 ZINC001112125531 739721124 /nfs/dbraw/zinc/72/11/24/739721124.db2.gz HELLDJVHFRTYKP-ZDUSSCGKSA-N 1 2 304.394 1.108 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ncc(C)cc2C)C1 ZINC001035456601 751505488 /nfs/dbraw/zinc/50/54/88/751505488.db2.gz RFSYXDUVJWNXKN-HNNXBMFYSA-N 1 2 301.390 1.152 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ncc(C)cc2C)C1 ZINC001035456601 751505491 /nfs/dbraw/zinc/50/54/91/751505491.db2.gz RFSYXDUVJWNXKN-HNNXBMFYSA-N 1 2 301.390 1.152 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2nc(C)c(C)nc2C)C1 ZINC001035438438 751515441 /nfs/dbraw/zinc/51/54/41/751515441.db2.gz MIVBUYJEEHYBJF-HNNXBMFYSA-N 1 2 318.421 1.409 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2nc(C)c(C)nc2C)C1 ZINC001035438438 751515444 /nfs/dbraw/zinc/51/54/44/751515444.db2.gz MIVBUYJEEHYBJF-HNNXBMFYSA-N 1 2 318.421 1.409 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCc3cccnc32)C1 ZINC001035487832 751541136 /nfs/dbraw/zinc/54/11/36/751541136.db2.gz NWRXVTKQNCYKFN-GJZGRUSLSA-N 1 2 301.390 1.114 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCc3cccnc32)C1 ZINC001035487832 751541140 /nfs/dbraw/zinc/54/11/40/751541140.db2.gz NWRXVTKQNCYKFN-GJZGRUSLSA-N 1 2 301.390 1.114 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C(C)(C)C(=C)C)C2)nn1 ZINC001098698058 740420818 /nfs/dbraw/zinc/42/08/18/740420818.db2.gz PCQVBOJOZDYWSG-HNNXBMFYSA-N 1 2 315.421 1.377 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001098698117 740423272 /nfs/dbraw/zinc/42/32/72/740423272.db2.gz QGOWZQKQPZYGKT-OAHLLOKOSA-N 1 2 315.421 1.377 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)on2)C1 ZINC001035464484 751549989 /nfs/dbraw/zinc/54/99/89/751549989.db2.gz ZRPIWDXWOGSZFA-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C3CC3)on2)C1 ZINC001035464484 751549991 /nfs/dbraw/zinc/54/99/91/751549991.db2.gz ZRPIWDXWOGSZFA-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3ccsc3c2)C1 ZINC001035466534 751552046 /nfs/dbraw/zinc/55/20/46/751552046.db2.gz HNXRGHMAAZUDGV-ZDUSSCGKSA-N 1 2 317.414 1.913 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3ccsc3c2)C1 ZINC001035466534 751552049 /nfs/dbraw/zinc/55/20/49/751552049.db2.gz HNXRGHMAAZUDGV-ZDUSSCGKSA-N 1 2 317.414 1.913 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H](F)C(C)C)C2)nn1 ZINC001098700518 740540794 /nfs/dbraw/zinc/54/07/94/740540794.db2.gz OBKPGFBDSFQTHT-CABCVRRESA-N 1 2 321.400 1.159 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccn(C)c2CC)C1 ZINC001035507698 751564772 /nfs/dbraw/zinc/56/47/72/751564772.db2.gz MGMRMCJVIJKKKF-AWEZNQCLSA-N 1 2 305.422 1.594 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccn(C)c2CC)C1 ZINC001035507698 751564779 /nfs/dbraw/zinc/56/47/79/751564779.db2.gz MGMRMCJVIJKKKF-AWEZNQCLSA-N 1 2 305.422 1.594 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(OC)c2C)C1 ZINC001035526913 751605764 /nfs/dbraw/zinc/60/57/64/751605764.db2.gz UIYXRNLHFSRCCJ-AWEZNQCLSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(OC)c2C)C1 ZINC001035526913 751605770 /nfs/dbraw/zinc/60/57/70/751605770.db2.gz UIYXRNLHFSRCCJ-AWEZNQCLSA-N 1 2 304.390 1.620 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2noc3c2CCCC3)C1 ZINC001035530092 751610787 /nfs/dbraw/zinc/61/07/87/751610787.db2.gz RTRMNEGTKJCTNA-CYBMUJFWSA-N 1 2 319.405 1.560 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2noc3c2CCCC3)C1 ZINC001035530092 751610791 /nfs/dbraw/zinc/61/07/91/751610791.db2.gz RTRMNEGTKJCTNA-CYBMUJFWSA-N 1 2 319.405 1.560 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2snnc2C(C)C)C1 ZINC001035553465 751636078 /nfs/dbraw/zinc/63/60/78/751636078.db2.gz CWWWHKDNHQEHMC-LBPRGKRZSA-N 1 2 324.450 1.668 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2snnc2C(C)C)C1 ZINC001035553465 751636079 /nfs/dbraw/zinc/63/60/79/751636079.db2.gz CWWWHKDNHQEHMC-LBPRGKRZSA-N 1 2 324.450 1.668 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CCCCC[C@H](NCC#N)C1 ZINC001088351675 741427429 /nfs/dbraw/zinc/42/74/29/741427429.db2.gz IRADEHTWFIONLG-AWEZNQCLSA-N 1 2 303.410 1.237 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2n[nH]c3c2C[C@H](C)CC3)C1 ZINC001035565342 751647412 /nfs/dbraw/zinc/64/74/12/751647412.db2.gz JMVWGSVBMFVHOP-CHWSQXEVSA-N 1 2 318.421 1.151 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2n[nH]c3c2C[C@H](C)CC3)C1 ZINC001035565342 751647419 /nfs/dbraw/zinc/64/74/19/751647419.db2.gz JMVWGSVBMFVHOP-CHWSQXEVSA-N 1 2 318.421 1.151 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001035593702 751649006 /nfs/dbraw/zinc/64/90/06/751649006.db2.gz YZTOHIDXIRDHFC-INIZCTEOSA-N 1 2 315.417 1.966 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001035593702 751649007 /nfs/dbraw/zinc/64/90/07/751649007.db2.gz YZTOHIDXIRDHFC-INIZCTEOSA-N 1 2 315.417 1.966 20 30 DDEDLO Cc1cc(NCCN(C)C(=O)CSCC#N)nc(C2CC2)[nH+]1 ZINC001101514739 741684953 /nfs/dbraw/zinc/68/49/53/741684953.db2.gz PUTNGYAMHZAZNP-UHFFFAOYSA-N 1 2 319.434 1.790 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3ccccc3o2)C1 ZINC001035603305 751662216 /nfs/dbraw/zinc/66/22/16/751662216.db2.gz QZYULMWZEOSZSW-HNNXBMFYSA-N 1 2 312.369 1.887 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc3ccccc3o2)C1 ZINC001035603305 751662218 /nfs/dbraw/zinc/66/22/18/751662218.db2.gz QZYULMWZEOSZSW-HNNXBMFYSA-N 1 2 312.369 1.887 20 30 DDEDLO C#CCCCC(=O)NCC[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001059867228 741811503 /nfs/dbraw/zinc/81/15/03/741811503.db2.gz KVDKUMOCNITCCX-OAHLLOKOSA-N 1 2 316.405 1.041 20 30 DDEDLO Cc1cc[nH]c1C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083436884 741882654 /nfs/dbraw/zinc/88/26/54/741882654.db2.gz PUVPCKCOISQTJE-SJORKVTESA-N 1 2 323.396 1.150 20 30 DDEDLO Cc1cc[nH]c1C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083436884 741882655 /nfs/dbraw/zinc/88/26/55/741882655.db2.gz PUVPCKCOISQTJE-SJORKVTESA-N 1 2 323.396 1.150 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnc3[nH]cnc32)[C@H]1C ZINC001088597712 741921196 /nfs/dbraw/zinc/92/11/96/741921196.db2.gz TXICNEKRJGUMMW-PWSUYJOCSA-N 1 2 319.796 1.903 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnc3[nH]cnc32)[C@H]1C ZINC001088597712 741921197 /nfs/dbraw/zinc/92/11/97/741921197.db2.gz TXICNEKRJGUMMW-PWSUYJOCSA-N 1 2 319.796 1.903 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3c(cn2)OCCC3)C1 ZINC001035589707 751683247 /nfs/dbraw/zinc/68/32/47/751683247.db2.gz NVPYYBDMZIKLGJ-AWEZNQCLSA-N 1 2 317.389 1.023 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc3c(cn2)OCCC3)C1 ZINC001035589707 751683251 /nfs/dbraw/zinc/68/32/51/751683251.db2.gz NVPYYBDMZIKLGJ-AWEZNQCLSA-N 1 2 317.389 1.023 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2csc(C3CC3)n2)C1 ZINC001035619462 751690517 /nfs/dbraw/zinc/69/05/17/751690517.db2.gz KVQLXGKPTXJJGG-ZDUSSCGKSA-N 1 2 319.430 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2csc(C3CC3)n2)C1 ZINC001035619462 751690520 /nfs/dbraw/zinc/69/05/20/751690520.db2.gz KVQLXGKPTXJJGG-ZDUSSCGKSA-N 1 2 319.430 1.474 20 30 DDEDLO CN(CCN(C)c1ccc(C#N)nc1)C(=O)CCc1c[nH]c[nH+]1 ZINC001105589143 742133644 /nfs/dbraw/zinc/13/36/44/742133644.db2.gz WVIOTPFPGMJFPO-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO CN(CCN(C)c1ccc(C#N)nc1)C(=O)CCc1c[nH+]c[nH]1 ZINC001105589143 742133645 /nfs/dbraw/zinc/13/36/45/742133645.db2.gz WVIOTPFPGMJFPO-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCC3)[C@H]1C ZINC001088698609 742195375 /nfs/dbraw/zinc/19/53/75/742195375.db2.gz ZCVVAIBYMSAEEJ-OCCSQVGLSA-N 1 2 300.406 1.504 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCC3)[C@H]1C ZINC001088698609 742195378 /nfs/dbraw/zinc/19/53/78/742195378.db2.gz ZCVVAIBYMSAEEJ-OCCSQVGLSA-N 1 2 300.406 1.504 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001035614674 751719765 /nfs/dbraw/zinc/71/97/65/751719765.db2.gz SYMUWPWQMTZSCB-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001035614674 751719769 /nfs/dbraw/zinc/71/97/69/751719769.db2.gz SYMUWPWQMTZSCB-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](CCNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060066103 742380501 /nfs/dbraw/zinc/38/05/01/742380501.db2.gz JBEROMVOFAATNB-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cn(CC)cn3)[C@@H]2C1 ZINC001076467025 742743061 /nfs/dbraw/zinc/74/30/61/742743061.db2.gz ZCPOIWVKNVFTLQ-GXTWGEPZSA-N 1 2 308.813 1.802 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cn(CC)cn3)[C@@H]2C1 ZINC001076467025 742743065 /nfs/dbraw/zinc/74/30/65/742743065.db2.gz ZCPOIWVKNVFTLQ-GXTWGEPZSA-N 1 2 308.813 1.802 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)Nc2c(C#N)cccc2C(F)(F)F)C1 ZINC001180763171 742927439 /nfs/dbraw/zinc/92/74/39/742927439.db2.gz XRUGMYNAUJCIRR-LLVKDONJSA-N 1 2 313.279 1.846 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)Nc2c(C#N)cccc2C(F)(F)F)C1 ZINC001180763171 742927442 /nfs/dbraw/zinc/92/74/42/742927442.db2.gz XRUGMYNAUJCIRR-LLVKDONJSA-N 1 2 313.279 1.846 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@H]2CCCO2)CC1 ZINC001002298488 743013308 /nfs/dbraw/zinc/01/33/08/743013308.db2.gz VBHVSPCEHHOUJW-GFCCVEGCSA-N 1 2 317.227 1.655 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3CC(C)(C)C3)C2)nn1 ZINC001098667120 743051962 /nfs/dbraw/zinc/05/19/62/743051962.db2.gz QZVDCMYIBLDBTI-OAHLLOKOSA-N 1 2 315.421 1.211 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077016739 743173491 /nfs/dbraw/zinc/17/34/91/743173491.db2.gz ABYCWDDBISMZNR-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077016739 743173497 /nfs/dbraw/zinc/17/34/97/743173497.db2.gz ABYCWDDBISMZNR-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001061108054 743262738 /nfs/dbraw/zinc/26/27/38/743262738.db2.gz RZWQUCAKJFCYTM-OLZOCXBDSA-N 1 2 324.388 1.758 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001061108056 743263046 /nfs/dbraw/zinc/26/30/46/743263046.db2.gz RZWQUCAKJFCYTM-STQMWFEESA-N 1 2 324.388 1.758 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C[C@H]3CCOC3)c2C1 ZINC001128278693 743458557 /nfs/dbraw/zinc/45/85/57/743458557.db2.gz QXCZEWYXBXVFFY-CQSZACIVSA-N 1 2 318.421 1.318 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C[C@H]3CCOC3)c2C1 ZINC001128278693 743458561 /nfs/dbraw/zinc/45/85/61/743458561.db2.gz QXCZEWYXBXVFFY-CQSZACIVSA-N 1 2 318.421 1.318 20 30 DDEDLO Cc1ncncc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038085908 743595851 /nfs/dbraw/zinc/59/58/51/743595851.db2.gz IQXCSJWLFKQHKI-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ncncc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038085908 743595857 /nfs/dbraw/zinc/59/58/57/743595857.db2.gz IQXCSJWLFKQHKI-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@H]2CCOC2)cc1F ZINC001038099412 743754122 /nfs/dbraw/zinc/75/41/22/743754122.db2.gz XFHHYRQHAXAGRT-LSDHHAIUSA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@H]2CCOC2)cc1F ZINC001038099412 743754123 /nfs/dbraw/zinc/75/41/23/743754123.db2.gz XFHHYRQHAXAGRT-LSDHHAIUSA-N 1 2 317.364 1.424 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[C@@H]([NH2+]Cc2nc(C)no2)C1)OCC ZINC001182953960 743754878 /nfs/dbraw/zinc/75/48/78/743754878.db2.gz MPSWYEITOACNMV-KGLIPLIRSA-N 1 2 322.409 1.440 20 30 DDEDLO CC#CCCCC(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105721107 743759185 /nfs/dbraw/zinc/75/91/85/743759185.db2.gz PUSLGQCRBZRJRX-UHFFFAOYSA-N 1 2 322.449 1.518 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCN(C(=O)Cc3[nH]c[nH+]c3C)CC2)C1 ZINC001011849316 743792594 /nfs/dbraw/zinc/79/25/94/743792594.db2.gz NVFHVBMHLQDVOM-UHFFFAOYSA-N 1 2 316.405 1.288 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@@H]2CC[N@@H+]2Cc2ccccc2)cn1 ZINC001183758261 743897670 /nfs/dbraw/zinc/89/76/70/743897670.db2.gz RXJYCSUYRQBNLP-KRWDZBQOSA-N 1 2 306.369 1.844 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@@H]2CC[N@H+]2Cc2ccccc2)cn1 ZINC001183758261 743897673 /nfs/dbraw/zinc/89/76/73/743897673.db2.gz RXJYCSUYRQBNLP-KRWDZBQOSA-N 1 2 306.369 1.844 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)C[C@H]2COc3ccccc3O2)C1 ZINC001030379064 744091316 /nfs/dbraw/zinc/09/13/16/744091316.db2.gz SAATUJCRXMUPAS-AWEZNQCLSA-N 1 2 302.374 1.593 20 30 DDEDLO C=CCCc1ccc(C(=O)NCCc2[nH]cc(C)[nH+]2)c(=O)[nH]1 ZINC001185363262 744207236 /nfs/dbraw/zinc/20/72/36/744207236.db2.gz XVLHLZHXUBGTFJ-UHFFFAOYSA-N 1 2 300.362 1.910 20 30 DDEDLO Cc1c(F)cccc1S(=O)(=O)NC1(C#N)CC[NH+](C)CC1 ZINC001185868347 744302914 /nfs/dbraw/zinc/30/29/14/744302914.db2.gz LFESEKPJIAMYBO-UHFFFAOYSA-N 1 2 311.382 1.400 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CCC3CC3)C2)nn1 ZINC001185908741 744306485 /nfs/dbraw/zinc/30/64/85/744306485.db2.gz ZMDMCAZIDCCPLM-INIZCTEOSA-N 1 2 315.421 1.355 20 30 DDEDLO C=CC[NH+]1CC2(C1)CCN(C(=O)c1ccc3[nH]nnc3c1)CC2 ZINC001035847983 751919255 /nfs/dbraw/zinc/91/92/55/751919255.db2.gz KJAJLGSPUYGECL-UHFFFAOYSA-N 1 2 311.389 1.682 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nnc(C)n3CC)C[C@H]21 ZINC001187192362 744514340 /nfs/dbraw/zinc/51/43/40/744514340.db2.gz XRHKOENESHJHHO-LSDHHAIUSA-N 1 2 317.437 1.605 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+](Cc3nnc(C)n3CC)C[C@H]21 ZINC001187192362 744514344 /nfs/dbraw/zinc/51/43/44/744514344.db2.gz XRHKOENESHJHHO-LSDHHAIUSA-N 1 2 317.437 1.605 20 30 DDEDLO CCn1ccc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)n1 ZINC001089139797 744583874 /nfs/dbraw/zinc/58/38/74/744583874.db2.gz NOASXQZVHFAKFN-ZBFHGGJFSA-N 1 2 317.437 1.876 20 30 DDEDLO CCn1ccc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)[C@H](C)C#N)n1 ZINC001089139797 744583875 /nfs/dbraw/zinc/58/38/75/744583875.db2.gz NOASXQZVHFAKFN-ZBFHGGJFSA-N 1 2 317.437 1.876 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001187736464 744601606 /nfs/dbraw/zinc/60/16/06/744601606.db2.gz HRQAORDPCSVXMZ-JKSUJKDBSA-N 1 2 312.417 1.621 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001187736464 744601608 /nfs/dbraw/zinc/60/16/08/744601608.db2.gz HRQAORDPCSVXMZ-JKSUJKDBSA-N 1 2 312.417 1.621 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001187756129 744605289 /nfs/dbraw/zinc/60/52/89/744605289.db2.gz WTDPUOJCSYSXRM-JJRVBVJISA-N 1 2 319.405 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001187756129 744605292 /nfs/dbraw/zinc/60/52/92/744605292.db2.gz WTDPUOJCSYSXRM-JJRVBVJISA-N 1 2 319.405 1.343 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001077497987 744642975 /nfs/dbraw/zinc/64/29/75/744642975.db2.gz CICFAJGPYXSTNY-AWEZNQCLSA-N 1 2 318.421 1.167 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)nc1 ZINC001188957912 744798976 /nfs/dbraw/zinc/79/89/76/744798976.db2.gz BPJCZXCLMRQKQU-ZDUSSCGKSA-N 1 2 319.434 1.075 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)nc1 ZINC001188957912 744798979 /nfs/dbraw/zinc/79/89/79/744798979.db2.gz BPJCZXCLMRQKQU-ZDUSSCGKSA-N 1 2 319.434 1.075 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188973832 744802554 /nfs/dbraw/zinc/80/25/54/744802554.db2.gz JKZBHLVMSGWUHT-CMPLNLGQSA-N 1 2 323.422 1.229 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188973832 744802556 /nfs/dbraw/zinc/80/25/56/744802556.db2.gz JKZBHLVMSGWUHT-CMPLNLGQSA-N 1 2 323.422 1.229 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001189919243 745035025 /nfs/dbraw/zinc/03/50/25/745035025.db2.gz ZTWWTZDFLVESGU-PBHICJAKSA-N 1 2 321.421 1.651 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001189919243 745035031 /nfs/dbraw/zinc/03/50/31/745035031.db2.gz ZTWWTZDFLVESGU-PBHICJAKSA-N 1 2 321.421 1.651 20 30 DDEDLO COc1cc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)on1 ZINC001190084894 745107398 /nfs/dbraw/zinc/10/73/98/745107398.db2.gz TVTZNSAVECCTOB-CYBMUJFWSA-N 1 2 319.405 1.765 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)on1 ZINC001190084894 745107400 /nfs/dbraw/zinc/10/74/00/745107400.db2.gz TVTZNSAVECCTOB-CYBMUJFWSA-N 1 2 319.405 1.765 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H]2CCC[C@H](NCC#N)[C@@H]2C1 ZINC000992870905 745261004 /nfs/dbraw/zinc/26/10/04/745261004.db2.gz JAWYCAXQRSNRJA-MJBXVCDLSA-N 1 2 301.394 1.001 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC1C[NH+](Cc2ccccc2)C1 ZINC001031088422 745348852 /nfs/dbraw/zinc/34/88/52/745348852.db2.gz VSLKQUYILLWIFK-IRXDYDNUSA-N 1 2 300.402 1.968 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001191128672 745432001 /nfs/dbraw/zinc/43/20/01/745432001.db2.gz ZTPSNOMKUUZOQF-IAGOWNOFSA-N 1 2 318.392 1.529 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001191128672 745432002 /nfs/dbraw/zinc/43/20/02/745432002.db2.gz ZTPSNOMKUUZOQF-IAGOWNOFSA-N 1 2 318.392 1.529 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)cn1 ZINC001007153964 752039434 /nfs/dbraw/zinc/03/94/34/752039434.db2.gz YKHVKQBWPGOUHS-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)cn1 ZINC001007153964 752039443 /nfs/dbraw/zinc/03/94/43/752039443.db2.gz YKHVKQBWPGOUHS-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1nsc(C)c1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)C#CC(C)C)C1 ZINC001192751614 745893231 /nfs/dbraw/zinc/89/32/31/745893231.db2.gz CVINOYZOGWKZTL-HUUCEWRRSA-N 1 2 321.446 1.081 20 30 DDEDLO Cc1nsc(C)c1C[N@H+]1C[C@@H](O)[C@H](NC(=O)C#CC(C)C)C1 ZINC001192751614 745893234 /nfs/dbraw/zinc/89/32/34/745893234.db2.gz CVINOYZOGWKZTL-HUUCEWRRSA-N 1 2 321.446 1.081 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(OC(F)(F)F)cn2)CC1 ZINC001192848943 745915963 /nfs/dbraw/zinc/91/59/63/745915963.db2.gz JBSPHDYYSKINIJ-UHFFFAOYSA-N 1 2 315.295 1.924 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001193399682 746091647 /nfs/dbraw/zinc/09/16/47/746091647.db2.gz AQKJBXBGJNBABD-HUUCEWRRSA-N 1 2 319.430 1.637 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001193399682 746091650 /nfs/dbraw/zinc/09/16/50/746091650.db2.gz AQKJBXBGJNBABD-HUUCEWRRSA-N 1 2 319.430 1.637 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cn[nH]c(=O)c2)[C@@H]1C ZINC000993469021 746164367 /nfs/dbraw/zinc/16/43/67/746164367.db2.gz WLCOJEFRQUVMPQ-CMPLNLGQSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cn[nH]c(=O)c2)[C@@H]1C ZINC000993469021 746164369 /nfs/dbraw/zinc/16/43/69/746164369.db2.gz WLCOJEFRQUVMPQ-CMPLNLGQSA-N 1 2 310.785 1.517 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(F)cc(C(=O)OC)c2)CC1 ZINC001194117483 746279566 /nfs/dbraw/zinc/27/95/66/746279566.db2.gz BIMOSQCKVDBYQG-UHFFFAOYSA-N 1 2 306.337 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2ccc(C)n2)[C@@H]1C ZINC000993862411 746347585 /nfs/dbraw/zinc/34/75/85/746347585.db2.gz ZHUPQLMKGHXUDM-UONOGXRCSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2ccc(C)n2)[C@@H]1C ZINC000993862411 746347593 /nfs/dbraw/zinc/34/75/93/746347593.db2.gz ZHUPQLMKGHXUDM-UONOGXRCSA-N 1 2 310.829 1.913 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(CC)n2)C1 ZINC001194388828 746363999 /nfs/dbraw/zinc/36/39/99/746363999.db2.gz XIGWTBKCGLXIFR-INIZCTEOSA-N 1 2 320.437 1.528 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)Cc2ccn(CC)n2)C1 ZINC001194388828 746364004 /nfs/dbraw/zinc/36/40/04/746364004.db2.gz XIGWTBKCGLXIFR-INIZCTEOSA-N 1 2 320.437 1.528 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1O ZINC001195568076 746655893 /nfs/dbraw/zinc/65/58/93/746655893.db2.gz WLNGKCSAHZXLKH-NWANDNLSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1O ZINC001195568076 746655894 /nfs/dbraw/zinc/65/58/94/746655894.db2.gz WLNGKCSAHZXLKH-NWANDNLSSA-N 1 2 307.394 1.247 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(C)cs2)CC1 ZINC001195727410 746677735 /nfs/dbraw/zinc/67/77/35/746677735.db2.gz JXHAPAJUYMPSQO-UHFFFAOYSA-N 1 2 306.431 1.854 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(C)cs2)CC1 ZINC001195727410 746677739 /nfs/dbraw/zinc/67/77/39/746677739.db2.gz JXHAPAJUYMPSQO-UHFFFAOYSA-N 1 2 306.431 1.854 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cnc(C)s3)C[C@@H]2O)C1 ZINC001083756124 746714608 /nfs/dbraw/zinc/71/46/08/746714608.db2.gz DKARYFRWPYSOJJ-KGLIPLIRSA-N 1 2 321.446 1.469 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cnc(C)s3)C[C@@H]2O)C1 ZINC001083756124 746714615 /nfs/dbraw/zinc/71/46/15/746714615.db2.gz DKARYFRWPYSOJJ-KGLIPLIRSA-N 1 2 321.446 1.469 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)C(C)(C)C)CC1 ZINC001196287614 746828535 /nfs/dbraw/zinc/82/85/35/746828535.db2.gz HKDUXSWZEQFABU-GJZGRUSLSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@H](C)C(C)(C)C)CC1 ZINC001196287614 746828541 /nfs/dbraw/zinc/82/85/41/746828541.db2.gz HKDUXSWZEQFABU-GJZGRUSLSA-N 1 2 321.465 1.341 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCOCCNc1cc[nH+]c(C)n1 ZINC001127438468 746900416 /nfs/dbraw/zinc/90/04/16/746900416.db2.gz BNNYKTJBJKBDBN-UHFFFAOYSA-N 1 2 306.410 1.884 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)NCC1C[NH+](CC#Cc2ccc(F)cc2)C1 ZINC001031521847 746948521 /nfs/dbraw/zinc/94/85/21/746948521.db2.gz LPJVSKNFTOLPJM-GUYCJALGSA-N 1 2 300.377 1.881 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@H](F)C(C)C)CC1 ZINC001196799442 746966134 /nfs/dbraw/zinc/96/61/34/746966134.db2.gz NCGPHGHTPQOVNA-UONOGXRCSA-N 1 2 313.417 1.206 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@H](F)C(C)C)CC1 ZINC001196799442 746966139 /nfs/dbraw/zinc/96/61/39/746966139.db2.gz NCGPHGHTPQOVNA-UONOGXRCSA-N 1 2 313.417 1.206 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001197082845 747058293 /nfs/dbraw/zinc/05/82/93/747058293.db2.gz IWKVWHSSHSMBIP-HUUCEWRRSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001197082845 747058297 /nfs/dbraw/zinc/05/82/97/747058297.db2.gz IWKVWHSSHSMBIP-HUUCEWRRSA-N 1 2 307.438 1.259 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)[C@@H]3CCOC3)C2)c1 ZINC001031571838 747073909 /nfs/dbraw/zinc/07/39/09/747073909.db2.gz YPYMHDYCQNTPTA-CQSZACIVSA-N 1 2 317.364 1.282 20 30 DDEDLO N#Cc1ccc(C(=O)NCC2C[NH+](Cc3ccc(F)cn3)C2)[nH]1 ZINC001031621293 747216608 /nfs/dbraw/zinc/21/66/08/747216608.db2.gz XCVZXNWDDKVPQF-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO CCc1nc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)co1 ZINC001031623665 747233958 /nfs/dbraw/zinc/23/39/58/747233958.db2.gz JXSHRMKJNQBFQX-UHFFFAOYSA-N 1 2 323.396 1.950 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001003649106 747353988 /nfs/dbraw/zinc/35/39/88/747353988.db2.gz GJANSHQINGFLID-CVEARBPZSA-N 1 2 318.421 1.092 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H](C)C2CC2)CC1 ZINC001198267341 747420457 /nfs/dbraw/zinc/42/04/57/747420457.db2.gz XJFQGHILQNOSQU-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H](C)C2CC2)CC1 ZINC001198267341 747420466 /nfs/dbraw/zinc/42/04/66/747420466.db2.gz XJFQGHILQNOSQU-CQSZACIVSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CCCC)C2 ZINC001110506636 747457247 /nfs/dbraw/zinc/45/72/47/747457247.db2.gz ZAIQDYVFTDFBBV-FPCVCCKLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CCCC)C2 ZINC001110506636 747457253 /nfs/dbraw/zinc/45/72/53/747457253.db2.gz ZAIQDYVFTDFBBV-FPCVCCKLSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2conc2CC)CC1 ZINC001198342823 747458117 /nfs/dbraw/zinc/45/81/17/747458117.db2.gz BLKHRHBTXFNKOO-CQSZACIVSA-N 1 2 321.421 1.862 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2conc2CC)CC1 ZINC001198342823 747458119 /nfs/dbraw/zinc/45/81/19/747458119.db2.gz BLKHRHBTXFNKOO-CQSZACIVSA-N 1 2 321.421 1.862 20 30 DDEDLO C=CCOCC(=O)NC[C@H]([NH2+]CCF)c1ccccc1OC ZINC001198604781 747545847 /nfs/dbraw/zinc/54/58/47/747545847.db2.gz AOHSACQRFQHDGV-AWEZNQCLSA-N 1 2 310.369 1.614 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]([NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC000998749399 752202103 /nfs/dbraw/zinc/20/21/03/752202103.db2.gz ZSIKWAGZUNJTSH-NEPJUHHUSA-N 1 2 319.409 1.607 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@H](C[NH2+][C@H](C)c2nnc(C)o2)C1 ZINC001200101169 748123097 /nfs/dbraw/zinc/12/30/97/748123097.db2.gz RXPIPZBYWBWKSY-TZMCWYRMSA-N 1 2 322.409 1.612 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cc(C)no3)C2)cc1 ZINC001031892778 748139574 /nfs/dbraw/zinc/13/95/74/748139574.db2.gz SKJCGVNOXZBDKP-UHFFFAOYSA-N 1 2 309.369 1.826 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077573144 748181670 /nfs/dbraw/zinc/18/16/70/748181670.db2.gz ATAKEKCKNXUSJT-AWEZNQCLSA-N 1 2 320.437 1.887 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077574637 748268835 /nfs/dbraw/zinc/26/88/35/748268835.db2.gz MHPVSVVEOLRZER-GJZGRUSLSA-N 1 2 320.437 1.887 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(C2CC2)no1 ZINC001124488229 748279218 /nfs/dbraw/zinc/27/92/18/748279218.db2.gz LWIXBGAGBZSQEC-LLVKDONJSA-N 1 2 308.382 1.134 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)CC2OCCCO2)CC1 ZINC001004414311 748411822 /nfs/dbraw/zinc/41/18/22/748411822.db2.gz OJZYPHLBGMJMGA-OAHLLOKOSA-N 1 2 321.421 1.366 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)CC2OCCCO2)CC1 ZINC001004414311 748411823 /nfs/dbraw/zinc/41/18/23/748411823.db2.gz OJZYPHLBGMJMGA-OAHLLOKOSA-N 1 2 321.421 1.366 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCC[C@@H](C(N)=O)C2)C1 ZINC001032019658 748441718 /nfs/dbraw/zinc/44/17/18/748441718.db2.gz HDBVWANZQWFGJK-CHWSQXEVSA-N 1 2 313.829 1.079 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2ccns2)CC1 ZINC001004457014 748449082 /nfs/dbraw/zinc/44/90/82/748449082.db2.gz JIHISQLDXYLIFQ-CYBMUJFWSA-N 1 2 304.419 1.983 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2ccns2)CC1 ZINC001004457014 748449086 /nfs/dbraw/zinc/44/90/86/748449086.db2.gz JIHISQLDXYLIFQ-CYBMUJFWSA-N 1 2 304.419 1.983 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001033124320 748473490 /nfs/dbraw/zinc/47/34/90/748473490.db2.gz NXINFUNPWRAFLM-QWHCGFSZSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001033124320 748473496 /nfs/dbraw/zinc/47/34/96/748473496.db2.gz NXINFUNPWRAFLM-QWHCGFSZSA-N 1 2 313.829 1.140 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)s1 ZINC001038600500 748488339 /nfs/dbraw/zinc/48/83/39/748488339.db2.gz QNBOBTDGGZLFDE-BSLXNSKLSA-N 1 2 317.414 1.203 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)s1 ZINC001038600500 748488345 /nfs/dbraw/zinc/48/83/45/748488345.db2.gz QNBOBTDGGZLFDE-BSLXNSKLSA-N 1 2 317.414 1.203 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)c1 ZINC001004545394 748538386 /nfs/dbraw/zinc/53/83/86/748538386.db2.gz ZQYQDMBZAJGPIM-GOSISDBHSA-N 1 2 322.412 1.903 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)c1 ZINC001004545394 748538389 /nfs/dbraw/zinc/53/83/89/748538389.db2.gz ZQYQDMBZAJGPIM-GOSISDBHSA-N 1 2 322.412 1.903 20 30 DDEDLO CCn1cnc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)c1 ZINC001004598147 748577112 /nfs/dbraw/zinc/57/71/12/748577112.db2.gz USDATTIJBRFEIV-MRXNPFEDSA-N 1 2 315.421 1.743 20 30 DDEDLO CCn1cnc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)c1 ZINC001004598147 748577114 /nfs/dbraw/zinc/57/71/14/748577114.db2.gz USDATTIJBRFEIV-MRXNPFEDSA-N 1 2 315.421 1.743 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC([C@@H]2CCCN2CC#N)CC1 ZINC001004609023 748584483 /nfs/dbraw/zinc/58/44/83/748584483.db2.gz DEIRMSJAMRDIJS-INIZCTEOSA-N 1 2 315.421 1.487 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(C)cc2OC)C1 ZINC001047551623 748995064 /nfs/dbraw/zinc/99/50/64/748995064.db2.gz SYFGEQMMHWFHKQ-STQMWFEESA-N 1 2 322.430 1.206 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(C)cc2OC)C1 ZINC001047551623 748995068 /nfs/dbraw/zinc/99/50/68/748995068.db2.gz SYFGEQMMHWFHKQ-STQMWFEESA-N 1 2 322.430 1.206 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnn3c2OCCC3)C1 ZINC001033235213 749010978 /nfs/dbraw/zinc/01/09/78/749010978.db2.gz ROYRYWQXFYVCFX-LBPRGKRZSA-N 1 2 324.812 1.564 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnn3c2OCCC3)C1 ZINC001033235213 749010980 /nfs/dbraw/zinc/01/09/80/749010980.db2.gz ROYRYWQXFYVCFX-LBPRGKRZSA-N 1 2 324.812 1.564 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc(OC)ccn2)C1 ZINC001033324001 749190646 /nfs/dbraw/zinc/19/06/46/749190646.db2.gz LIYXJVMVMFHVKI-GFCCVEGCSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(OC)ccn2)C1 ZINC001033324001 749190650 /nfs/dbraw/zinc/19/06/50/749190650.db2.gz LIYXJVMVMFHVKI-GFCCVEGCSA-N 1 2 309.797 1.989 20 30 DDEDLO Cc1noc(C[NH2+][C@H]2CN(C(=O)C#CC3CC3)CC2(C)C)n1 ZINC000995773624 749216986 /nfs/dbraw/zinc/21/69/86/749216986.db2.gz DIDYXZPCAAWVPW-ZDUSSCGKSA-N 1 2 302.378 1.118 20 30 DDEDLO CC1(C)CN(C(=O)C#CC2CC2)C[C@H]1[NH2+]Cc1cnsn1 ZINC000995799376 749233003 /nfs/dbraw/zinc/23/30/03/749233003.db2.gz RHFSMWRQBLOBRU-CYBMUJFWSA-N 1 2 304.419 1.278 20 30 DDEDLO Cc1nc(NC[C@H](C)NC(=O)CCc2[nH]cc[nH+]2)ccc1C#N ZINC001108337816 761938988 /nfs/dbraw/zinc/93/89/88/761938988.db2.gz NVJBLDIYDVHIKR-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CC[N@H+](Cc2cc(C)on2)C1 ZINC001033431060 749352079 /nfs/dbraw/zinc/35/20/79/749352079.db2.gz AFIKLSKBUHLULG-XHSDSOJGSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CC[N@@H+](Cc2cc(C)on2)C1 ZINC001033431060 749352081 /nfs/dbraw/zinc/35/20/81/749352081.db2.gz AFIKLSKBUHLULG-XHSDSOJGSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)/C=C/C(C)(C)C)nn2)C1 ZINC001107145908 749399793 /nfs/dbraw/zinc/39/97/93/749399793.db2.gz NSKZLDHXQDPYEO-VOTSOKGWSA-N 1 2 317.437 1.929 20 30 DDEDLO CN(C(=O)c1ncccn1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033527802 749479643 /nfs/dbraw/zinc/47/96/43/749479643.db2.gz XPQVURKBRRUWSS-MRXNPFEDSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C(=O)c1ncccn1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033527802 749479651 /nfs/dbraw/zinc/47/96/51/749479651.db2.gz XPQVURKBRRUWSS-MRXNPFEDSA-N 1 2 321.384 1.695 20 30 DDEDLO CCn1ccc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)n1 ZINC001039348824 761954189 /nfs/dbraw/zinc/95/41/89/761954189.db2.gz FQFJTLSRBUSKIX-IMJJTQAJSA-N 1 2 315.421 1.628 20 30 DDEDLO CCn1ccc(C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)n1 ZINC001039348824 761954195 /nfs/dbraw/zinc/95/41/95/761954195.db2.gz FQFJTLSRBUSKIX-IMJJTQAJSA-N 1 2 315.421 1.628 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)cn1 ZINC001039348760 761954696 /nfs/dbraw/zinc/95/46/96/761954696.db2.gz BVDBATQBSUJUFT-WQVCFCJDSA-N 1 2 313.405 1.510 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)cn1 ZINC001039348760 761954702 /nfs/dbraw/zinc/95/47/02/761954702.db2.gz BVDBATQBSUJUFT-WQVCFCJDSA-N 1 2 313.405 1.510 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)CC(=C)C)nn2)C1 ZINC001107198904 749566823 /nfs/dbraw/zinc/56/68/23/749566823.db2.gz XGRMLGGDFLHAMK-AWEZNQCLSA-N 1 2 315.421 1.377 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2ccc3c(c2)occc3=O)C1 ZINC001033592301 749577987 /nfs/dbraw/zinc/57/79/87/749577987.db2.gz YMMRAEHZMFNSLX-AWEZNQCLSA-N 1 2 310.353 1.573 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2ccc3c(c2)occc3=O)C1 ZINC001033592301 749577989 /nfs/dbraw/zinc/57/79/89/749577989.db2.gz YMMRAEHZMFNSLX-AWEZNQCLSA-N 1 2 310.353 1.573 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2nn(CC)nc2C)C1 ZINC001033709750 749756560 /nfs/dbraw/zinc/75/65/60/749756560.db2.gz KGQGNLHRKDWSJA-LBPRGKRZSA-N 1 2 311.817 1.505 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2nn(CC)nc2C)C1 ZINC001033709750 749756563 /nfs/dbraw/zinc/75/65/63/749756563.db2.gz KGQGNLHRKDWSJA-LBPRGKRZSA-N 1 2 311.817 1.505 20 30 DDEDLO Cc1nc(N2CCC(C)(CNC(=O)C#CC3CC3)CC2)cc[nH+]1 ZINC001110879004 749804241 /nfs/dbraw/zinc/80/42/41/749804241.db2.gz XRVJDJFDPZGNCP-UHFFFAOYSA-N 1 2 312.417 1.921 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cccc(OC)c2)C1 ZINC001108376149 761998188 /nfs/dbraw/zinc/99/81/88/761998188.db2.gz CUFOZPMWGYCEFH-GOSISDBHSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cccc(OC)c2)C1 ZINC001108376149 761998190 /nfs/dbraw/zinc/99/81/90/761998190.db2.gz CUFOZPMWGYCEFH-GOSISDBHSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(F)cccc2F)C1 ZINC001108364097 761994894 /nfs/dbraw/zinc/99/48/94/761994894.db2.gz MTNROTYZFMNPRK-INIZCTEOSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(F)cccc2F)C1 ZINC001108364097 761994897 /nfs/dbraw/zinc/99/48/97/761994897.db2.gz MTNROTYZFMNPRK-INIZCTEOSA-N 1 2 310.344 1.972 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1CC ZINC001039401061 761999624 /nfs/dbraw/zinc/99/96/24/761999624.db2.gz ICBKFBQOSHTLHF-OLZOCXBDSA-N 1 2 318.446 1.803 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1CC ZINC001039401061 761999627 /nfs/dbraw/zinc/99/96/27/761999627.db2.gz ICBKFBQOSHTLHF-OLZOCXBDSA-N 1 2 318.446 1.803 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)cc2F)C1 ZINC001108374054 762005277 /nfs/dbraw/zinc/00/52/77/762005277.db2.gz FLDFSTLBSWSEEE-INIZCTEOSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)cc2F)C1 ZINC001108374054 762005286 /nfs/dbraw/zinc/00/52/86/762005286.db2.gz FLDFSTLBSWSEEE-INIZCTEOSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cnn3cc[nH]c23)C1 ZINC001034024497 750292737 /nfs/dbraw/zinc/29/27/37/750292737.db2.gz DIYCEEDQULSCDD-GFCCVEGCSA-N 1 2 321.812 1.951 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cnn3cc[nH]c23)C1 ZINC001034024497 750292742 /nfs/dbraw/zinc/29/27/42/750292742.db2.gz DIYCEEDQULSCDD-GFCCVEGCSA-N 1 2 321.812 1.951 20 30 DDEDLO C[C@]1(CNC(=O)C(F)F)C[N@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107671368 750330483 /nfs/dbraw/zinc/33/04/83/750330483.db2.gz OHYRAKJZDAHJEG-INIZCTEOSA-N 1 2 323.343 1.530 20 30 DDEDLO C[C@]1(CNC(=O)C(F)F)C[N@@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107671368 750330490 /nfs/dbraw/zinc/33/04/90/750330490.db2.gz OHYRAKJZDAHJEG-INIZCTEOSA-N 1 2 323.343 1.530 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nccs1)C2 ZINC001111175094 750408033 /nfs/dbraw/zinc/40/80/33/750408033.db2.gz OHDNLCYOLKBUCK-UPJWGTAASA-N 1 2 305.403 1.128 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nccs1)C2 ZINC001111175094 750408037 /nfs/dbraw/zinc/40/80/37/750408037.db2.gz OHDNLCYOLKBUCK-UPJWGTAASA-N 1 2 305.403 1.128 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001032405223 750599866 /nfs/dbraw/zinc/59/98/66/750599866.db2.gz DFAKUOORNSQADI-SOUVJXGZSA-N 1 2 300.406 1.691 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001032405223 750599867 /nfs/dbraw/zinc/59/98/67/750599867.db2.gz DFAKUOORNSQADI-SOUVJXGZSA-N 1 2 300.406 1.691 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCO[C@H]1C(C)C ZINC001032411116 750628144 /nfs/dbraw/zinc/62/81/44/750628144.db2.gz IJZJIZDLOFCVSB-QAETUUGQSA-N 1 2 304.434 1.746 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCO[C@H]1C(C)C ZINC001032411116 750628147 /nfs/dbraw/zinc/62/81/47/750628147.db2.gz IJZJIZDLOFCVSB-QAETUUGQSA-N 1 2 304.434 1.746 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccncc2C)C1 ZINC001107929341 750656841 /nfs/dbraw/zinc/65/68/41/750656841.db2.gz QBMIPWVTCAMHIN-KRWDZBQOSA-N 1 2 301.390 1.234 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccncc2C)C1 ZINC001107929341 750656842 /nfs/dbraw/zinc/65/68/42/750656842.db2.gz QBMIPWVTCAMHIN-KRWDZBQOSA-N 1 2 301.390 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C)coc2C)[C@@H](O)C1 ZINC001090235033 750671043 /nfs/dbraw/zinc/67/10/43/750671043.db2.gz CBLLVJOAAUXCAA-OLZOCXBDSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)coc2C)[C@@H](O)C1 ZINC001090235033 750671049 /nfs/dbraw/zinc/67/10/49/750671049.db2.gz CBLLVJOAAUXCAA-OLZOCXBDSA-N 1 2 312.797 1.814 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@]2(C)CCCc3ccccc32)[C@@H](O)C1 ZINC001083864376 750752285 /nfs/dbraw/zinc/75/22/85/750752285.db2.gz MHYPZFTVDSNJGD-ZIFCJYIRSA-N 1 2 312.413 1.075 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@]2(C)CCCc3ccccc32)[C@@H](O)C1 ZINC001083864376 750752291 /nfs/dbraw/zinc/75/22/91/750752291.db2.gz MHYPZFTVDSNJGD-ZIFCJYIRSA-N 1 2 312.413 1.075 20 30 DDEDLO C[C@@H](NC(=O)[C@H]1CCCc2[nH+]c[nH]c21)C1CCN(CC#N)CC1 ZINC000997296471 750756433 /nfs/dbraw/zinc/75/64/33/750756433.db2.gz AJNSUAVGXMGOPN-OCCSQVGLSA-N 1 2 315.421 1.570 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001107956483 750884686 /nfs/dbraw/zinc/88/46/86/750884686.db2.gz KSCIGGRZPINENW-PWSUYJOCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@](C)(CNC(=O)C(F)C(F)(F)F)C1 ZINC001107956483 750884690 /nfs/dbraw/zinc/88/46/90/750884690.db2.gz KSCIGGRZPINENW-PWSUYJOCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001107956483 750884693 /nfs/dbraw/zinc/88/46/93/750884693.db2.gz KSCIGGRZPINENW-PWSUYJOCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001107956483 750884699 /nfs/dbraw/zinc/88/46/99/750884699.db2.gz KSCIGGRZPINENW-PWSUYJOCSA-N 1 2 312.307 1.670 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(-c2ccco2)c1 ZINC001032465895 750894354 /nfs/dbraw/zinc/89/43/54/750894354.db2.gz APDOTHCBEFEURL-GJZGRUSLSA-N 1 2 307.353 1.874 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(-c2ccco2)c1 ZINC001032465895 750894363 /nfs/dbraw/zinc/89/43/63/750894363.db2.gz APDOTHCBEFEURL-GJZGRUSLSA-N 1 2 307.353 1.874 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nc(OC)c2)C1 ZINC001108163812 750920412 /nfs/dbraw/zinc/92/04/12/750920412.db2.gz PLUMLUGFLHHVMW-QGZVFWFLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nc(OC)c2)C1 ZINC001108163812 750920417 /nfs/dbraw/zinc/92/04/17/750920417.db2.gz PLUMLUGFLHHVMW-QGZVFWFLSA-N 1 2 319.405 1.405 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CCC[N@H+](Cc3ccccc3C#N)C2)nn1 ZINC001007257208 750934711 /nfs/dbraw/zinc/93/47/11/750934711.db2.gz WLRRYHDBJPJZDB-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)nn1 ZINC001007257208 750934715 /nfs/dbraw/zinc/93/47/15/750934715.db2.gz WLRRYHDBJPJZDB-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO C#CC[NH+]1CCC([C@H](C)NC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000997583087 751132078 /nfs/dbraw/zinc/13/20/78/751132078.db2.gz CUUUIKWJSZSIQX-DZGCQCFKSA-N 1 2 314.433 1.680 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1c(F)cccc1F ZINC001032521004 751182697 /nfs/dbraw/zinc/18/26/97/751182697.db2.gz QJIOXXLDIYISGV-AGIUHOORSA-N 1 2 304.340 1.987 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1c(F)cccc1F ZINC001032521004 751182704 /nfs/dbraw/zinc/18/27/04/751182704.db2.gz QJIOXXLDIYISGV-AGIUHOORSA-N 1 2 304.340 1.987 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](F)Cc2ccccc2)C1 ZINC001108416330 762109020 /nfs/dbraw/zinc/10/90/20/762109020.db2.gz RCIHBIPJGJCTIR-FUHWJXTLSA-N 1 2 320.408 1.960 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](F)Cc2ccccc2)C1 ZINC001108416330 762109029 /nfs/dbraw/zinc/10/90/29/762109029.db2.gz RCIHBIPJGJCTIR-FUHWJXTLSA-N 1 2 320.408 1.960 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(CC[N@H+](Cc3csnn3)C2)CC1 ZINC001035167556 751253608 /nfs/dbraw/zinc/25/36/08/751253608.db2.gz KILJWJQDQBIDBM-LBPRGKRZSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(CC[N@@H+](Cc3csnn3)C2)CC1 ZINC001035167556 751253611 /nfs/dbraw/zinc/25/36/11/751253611.db2.gz KILJWJQDQBIDBM-LBPRGKRZSA-N 1 2 319.434 1.512 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nnc(C3CC3)o2)CCC1 ZINC001129121291 751296765 /nfs/dbraw/zinc/29/67/65/751296765.db2.gz RKZRNWIXFBRRKE-UHFFFAOYSA-N 1 2 302.378 1.346 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc(C)c(C)o2)CCOCC1 ZINC001129116619 751302085 /nfs/dbraw/zinc/30/20/85/751302085.db2.gz DISLMZMKXLHSQC-UHFFFAOYSA-N 1 2 319.405 1.317 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC#Cc2ccccc2)C1 ZINC001108413360 762123746 /nfs/dbraw/zinc/12/37/46/762123746.db2.gz XHOREBVTUZTPNP-HXUWFJFHSA-N 1 2 324.424 1.659 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC#Cc2ccccc2)C1 ZINC001108413360 762123749 /nfs/dbraw/zinc/12/37/49/762123749.db2.gz XHOREBVTUZTPNP-HXUWFJFHSA-N 1 2 324.424 1.659 20 30 DDEDLO C=C1CCC(C(=O)NC2CN(C(=O)Cc3[nH]c[nH+]c3C)C2)CC1 ZINC000999092213 752502206 /nfs/dbraw/zinc/50/22/06/752502206.db2.gz DJAQGGPHHKYLIB-UHFFFAOYSA-N 1 2 316.405 1.334 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1scnc1COC ZINC001032671095 752667676 /nfs/dbraw/zinc/66/76/76/752667676.db2.gz CALGJALUTBDMIS-RYUDHWBXSA-N 1 2 305.403 1.212 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1scnc1COC ZINC001032671095 752667680 /nfs/dbraw/zinc/66/76/80/752667680.db2.gz CALGJALUTBDMIS-RYUDHWBXSA-N 1 2 305.403 1.212 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccccc1OC(C)C ZINC001032681897 752709932 /nfs/dbraw/zinc/70/99/32/752709932.db2.gz QOULAQVJWXFTHA-IRXDYDNUSA-N 1 2 312.413 1.935 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccccc1OC(C)C ZINC001032681897 752709937 /nfs/dbraw/zinc/70/99/37/752709937.db2.gz QOULAQVJWXFTHA-IRXDYDNUSA-N 1 2 312.413 1.935 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc2c1cnn2C ZINC001032703984 752762462 /nfs/dbraw/zinc/76/24/62/752762462.db2.gz MOXHJNAPGQNQPO-KBPBESRZSA-N 1 2 323.400 1.199 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc2c1cnn2C ZINC001032703984 752762469 /nfs/dbraw/zinc/76/24/69/752762469.db2.gz MOXHJNAPGQNQPO-KBPBESRZSA-N 1 2 323.400 1.199 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](CC)CC(F)F)C1 ZINC001107988417 752790397 /nfs/dbraw/zinc/79/03/97/752790397.db2.gz CMCMNRJJNTWIKL-CZUORRHYSA-N 1 2 316.392 1.898 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](CC)CC(F)F)C1 ZINC001107988417 752790402 /nfs/dbraw/zinc/79/04/02/752790402.db2.gz CMCMNRJJNTWIKL-CZUORRHYSA-N 1 2 316.392 1.898 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001008680411 752864849 /nfs/dbraw/zinc/86/48/49/752864849.db2.gz GJEHREMWOHPMBN-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001008680411 752864855 /nfs/dbraw/zinc/86/48/55/752864855.db2.gz GJEHREMWOHPMBN-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)c2ncccn2)C1 ZINC001008951890 753004453 /nfs/dbraw/zinc/00/44/53/753004453.db2.gz RFESYKVLGRCPAS-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)c2ncccn2)C1 ZINC001008951890 753004460 /nfs/dbraw/zinc/00/44/60/753004460.db2.gz RFESYKVLGRCPAS-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO CN(C(=O)c1cccc(-n2cc[nH+]c2)c1)C1CC(NCC#N)C1 ZINC000999638986 753033687 /nfs/dbraw/zinc/03/36/87/753033687.db2.gz SDBYQKNVCKYMJU-UHFFFAOYSA-N 1 2 309.373 1.588 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ccc3c(=O)ccoc3c2)C1 ZINC001009225659 753117777 /nfs/dbraw/zinc/11/77/77/753117777.db2.gz OCVYGLSQVRRQNE-AWEZNQCLSA-N 1 2 310.353 1.620 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc3c(=O)ccoc3c2)C1 ZINC001009225659 753117781 /nfs/dbraw/zinc/11/77/81/753117781.db2.gz OCVYGLSQVRRQNE-AWEZNQCLSA-N 1 2 310.353 1.620 20 30 DDEDLO Cc1nonc1C(=O)N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001009727328 753328644 /nfs/dbraw/zinc/32/86/44/753328644.db2.gz YSCMFQUOKDBTPL-MRXNPFEDSA-N 1 2 324.384 1.624 20 30 DDEDLO Cc1nonc1C(=O)N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001009727328 753328647 /nfs/dbraw/zinc/32/86/47/753328647.db2.gz YSCMFQUOKDBTPL-MRXNPFEDSA-N 1 2 324.384 1.624 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H](OCC)C(C)C)C1 ZINC001107993177 753354258 /nfs/dbraw/zinc/35/42/58/753354258.db2.gz JOTFMXQWVSLYQO-SJLPKXTDSA-N 1 2 324.465 1.668 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](OCC)C(C)C)C1 ZINC001107993177 753354261 /nfs/dbraw/zinc/35/42/61/753354261.db2.gz JOTFMXQWVSLYQO-SJLPKXTDSA-N 1 2 324.465 1.668 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc3cccn3cn2)C1 ZINC001108019629 753535357 /nfs/dbraw/zinc/53/53/57/753535357.db2.gz CIQZLGBYRPXYSR-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc3cccn3cn2)C1 ZINC001108019629 753535364 /nfs/dbraw/zinc/53/53/64/753535364.db2.gz CIQZLGBYRPXYSR-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)c2ccncc2)C1 ZINC001108022396 753566690 /nfs/dbraw/zinc/56/66/90/753566690.db2.gz HZRJDRNAWYXGAK-MAUKXSAKSA-N 1 2 317.433 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)c2ccncc2)C1 ZINC001108022396 753566698 /nfs/dbraw/zinc/56/66/98/753566698.db2.gz HZRJDRNAWYXGAK-MAUKXSAKSA-N 1 2 317.433 1.968 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3ccccc3F)CCC2)C1 ZINC001077988424 753587102 /nfs/dbraw/zinc/58/71/02/753587102.db2.gz TUDHLILCTCUNCO-HZPDHXFCSA-N 1 2 316.376 1.042 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3ccccc3F)CCC2)C1 ZINC001077988424 753587109 /nfs/dbraw/zinc/58/71/09/753587109.db2.gz TUDHLILCTCUNCO-HZPDHXFCSA-N 1 2 316.376 1.042 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(C)c(C)c1 ZINC001032780284 753623792 /nfs/dbraw/zinc/62/37/92/753623792.db2.gz CNEUXGJUZQLDHJ-IRXDYDNUSA-N 1 2 312.413 1.991 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(C)c(C)c1 ZINC001032780284 753623797 /nfs/dbraw/zinc/62/37/97/753623797.db2.gz CNEUXGJUZQLDHJ-IRXDYDNUSA-N 1 2 312.413 1.991 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1c(C)nn(C)c1C ZINC001032790953 753740979 /nfs/dbraw/zinc/74/09/79/753740979.db2.gz DEUJKPXSTRNBCS-RCBQFDQVSA-N 1 2 314.433 1.134 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1c(C)nn(C)c1C ZINC001032790953 753740982 /nfs/dbraw/zinc/74/09/82/753740982.db2.gz DEUJKPXSTRNBCS-RCBQFDQVSA-N 1 2 314.433 1.134 20 30 DDEDLO CO/N=C/c1cc(N[C@H]2C[NH2+][C@H](C(=O)OC)C2)cc(F)c1F ZINC001169137421 762251232 /nfs/dbraw/zinc/25/12/32/762251232.db2.gz VULYGRPOBQGTKP-DNRKYNCYSA-N 1 2 313.304 1.261 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001063235279 754054996 /nfs/dbraw/zinc/05/49/96/754054996.db2.gz PPLGDLDEVOFSLO-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1cncnc1 ZINC001010713428 754117869 /nfs/dbraw/zinc/11/78/69/754117869.db2.gz SAILNRWAGZXSMX-QGZVFWFLSA-N 1 2 324.359 1.472 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1cncnc1 ZINC001010713428 754117873 /nfs/dbraw/zinc/11/78/73/754117873.db2.gz SAILNRWAGZXSMX-QGZVFWFLSA-N 1 2 324.359 1.472 20 30 DDEDLO N#Cc1cnccc1N[C@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001063382401 754120166 /nfs/dbraw/zinc/12/01/66/754120166.db2.gz ZLBMVLXGMZSWFV-HDJSIYSDSA-N 1 2 324.388 1.180 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2C[C@@H](NCC#N)C23CCC3)c[nH+]1 ZINC001078657351 754255440 /nfs/dbraw/zinc/25/54/40/754255440.db2.gz VITMEZKLBYOHIV-KGLIPLIRSA-N 1 2 315.421 1.467 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C[C@@H]2C)cc[nH+]1 ZINC001063716746 754313361 /nfs/dbraw/zinc/31/33/61/754313361.db2.gz RVWRWEALVPVBGA-XHDPSFHLSA-N 1 2 324.388 1.948 20 30 DDEDLO Cc1nc(NC[C@H]2CCC[C@@H]2NC(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001064075397 754485563 /nfs/dbraw/zinc/48/55/63/754485563.db2.gz DUALMSMCGRDPCZ-KGLIPLIRSA-N 1 2 324.388 1.995 20 30 DDEDLO C#CCCCC(=O)N(CC)C1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001079680731 755520203 /nfs/dbraw/zinc/52/02/03/755520203.db2.gz GMOVSMQXHFTRPJ-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001079990745 755667344 /nfs/dbraw/zinc/66/73/44/755667344.db2.gz LDHOETZKGHPVGI-MRVWCRGKSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001079990745 755667348 /nfs/dbraw/zinc/66/73/48/755667348.db2.gz LDHOETZKGHPVGI-MRVWCRGKSA-N 1 2 322.840 1.635 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](Nc2ccc(C#N)cn2)[C@@H]1C ZINC001040156574 762412736 /nfs/dbraw/zinc/41/27/36/762412736.db2.gz BUQJBHWYQXWTPU-JSGCOSHPSA-N 1 2 324.388 1.629 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3cnnn3C)C[C@H]2C)cc1 ZINC001080244750 755781777 /nfs/dbraw/zinc/78/17/77/755781777.db2.gz KATFYEGISHPJLQ-CXAGYDPISA-N 1 2 323.400 1.047 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3cnnn3C)C[C@H]2C)cc1 ZINC001080244750 755781780 /nfs/dbraw/zinc/78/17/80/755781780.db2.gz KATFYEGISHPJLQ-CXAGYDPISA-N 1 2 323.400 1.047 20 30 DDEDLO CCOC(=O)c1c(C)nc(Cl)cc1N1CC[NH2+]C[C@@H]1C#N ZINC001156319737 762429628 /nfs/dbraw/zinc/42/96/28/762429628.db2.gz CPIMOBIRSNCBFG-JTQLQIEISA-N 1 2 308.769 1.522 20 30 DDEDLO CCc1n[nH]cc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015057395 756097092 /nfs/dbraw/zinc/09/70/92/756097092.db2.gz JDTOZHJJSNIKDI-OAHLLOKOSA-N 1 2 323.400 1.848 20 30 DDEDLO CCc1n[nH]cc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015057395 756097093 /nfs/dbraw/zinc/09/70/93/756097093.db2.gz JDTOZHJJSNIKDI-OAHLLOKOSA-N 1 2 323.400 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001015168029 756156213 /nfs/dbraw/zinc/15/62/13/756156213.db2.gz RXIHPDUISKFIIC-UONOGXRCSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001015168029 756156216 /nfs/dbraw/zinc/15/62/16/756156216.db2.gz RXIHPDUISKFIIC-UONOGXRCSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001081009157 756173894 /nfs/dbraw/zinc/17/38/94/756173894.db2.gz VNZRPBZVYLMAGO-LERXQTSPSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001081009157 756173898 /nfs/dbraw/zinc/17/38/98/756173898.db2.gz VNZRPBZVYLMAGO-LERXQTSPSA-N 1 2 313.829 1.186 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](NC(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC001015299086 756227365 /nfs/dbraw/zinc/22/73/65/756227365.db2.gz IEDOLAVFUZBQJZ-JTQLQIEISA-N 1 2 314.311 1.564 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](NC(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC001015299086 756227368 /nfs/dbraw/zinc/22/73/68/756227368.db2.gz IEDOLAVFUZBQJZ-JTQLQIEISA-N 1 2 314.311 1.564 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cc[nH]c1 ZINC001081716888 756441570 /nfs/dbraw/zinc/44/15/70/756441570.db2.gz YYMRQNDLPLVJGT-IAGOWNOFSA-N 1 2 324.384 1.516 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cc[nH]c1 ZINC001081716888 756441573 /nfs/dbraw/zinc/44/15/73/756441573.db2.gz YYMRQNDLPLVJGT-IAGOWNOFSA-N 1 2 324.384 1.516 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cc(OC)no3)C2)C1 ZINC001015676370 756458820 /nfs/dbraw/zinc/45/88/20/756458820.db2.gz KDSRHSYWODMIOV-LBPRGKRZSA-N 1 2 305.378 1.730 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cc(OC)no3)C2)C1 ZINC001015676370 756458823 /nfs/dbraw/zinc/45/88/23/756458823.db2.gz KDSRHSYWODMIOV-LBPRGKRZSA-N 1 2 305.378 1.730 20 30 DDEDLO C=CC[N@H+]1CC[C@H](NC(=O)c2cc(C[NH+]3CCCC3)on2)C1 ZINC001015713634 756489246 /nfs/dbraw/zinc/48/92/46/756489246.db2.gz PZWCNJXGPAAKDG-ZDUSSCGKSA-N 1 2 304.394 1.261 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](NC(=O)c2cc(CN3CCCC3)on2)C1 ZINC001015713634 756489250 /nfs/dbraw/zinc/48/92/50/756489250.db2.gz PZWCNJXGPAAKDG-ZDUSSCGKSA-N 1 2 304.394 1.261 20 30 DDEDLO C=CC[N@H+]1CC[C@H](NC(=O)c2cc(CN3CCCC3)on2)C1 ZINC001015713634 756489254 /nfs/dbraw/zinc/48/92/54/756489254.db2.gz PZWCNJXGPAAKDG-ZDUSSCGKSA-N 1 2 304.394 1.261 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@@H+](C/C=C/Cl)C[C@H]2OC)c1 ZINC001082118225 756638285 /nfs/dbraw/zinc/63/82/85/756638285.db2.gz MSAQINDVTIKYQB-DPOFCPOHSA-N 1 2 319.792 1.244 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2C[N@H+](C/C=C/Cl)C[C@H]2OC)c1 ZINC001082118225 756638289 /nfs/dbraw/zinc/63/82/89/756638289.db2.gz MSAQINDVTIKYQB-DPOFCPOHSA-N 1 2 319.792 1.244 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3sccc3c2)[C@H](OC)C1 ZINC001082288900 756724227 /nfs/dbraw/zinc/72/42/27/756724227.db2.gz DLNCZJICKJOYRV-HUUCEWRRSA-N 1 2 314.410 1.964 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3sccc3c2)[C@H](OC)C1 ZINC001082288900 756724232 /nfs/dbraw/zinc/72/42/32/756724232.db2.gz DLNCZJICKJOYRV-HUUCEWRRSA-N 1 2 314.410 1.964 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3[nH]ccc3s2)[C@H](OC)C1 ZINC001082345854 756741165 /nfs/dbraw/zinc/74/11/65/756741165.db2.gz FKZWZTKFOAAIJJ-CHWSQXEVSA-N 1 2 317.414 1.682 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3[nH]ccc3s2)[C@H](OC)C1 ZINC001082345854 756741166 /nfs/dbraw/zinc/74/11/66/756741166.db2.gz FKZWZTKFOAAIJJ-CHWSQXEVSA-N 1 2 317.414 1.682 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001016105414 756764505 /nfs/dbraw/zinc/76/45/05/756764505.db2.gz VBZXJMLWWBVFNI-UONOGXRCSA-N 1 2 305.809 1.739 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001016105414 756764509 /nfs/dbraw/zinc/76/45/09/756764509.db2.gz VBZXJMLWWBVFNI-UONOGXRCSA-N 1 2 305.809 1.739 20 30 DDEDLO C[C@H](CC(=O)N[C@H]1C[C@@H](Nc2ncccc2C#N)C1)n1cc[nH+]c1 ZINC001097254601 757020965 /nfs/dbraw/zinc/02/09/65/757020965.db2.gz PGQWMWFURUDLFC-YUELXQCFSA-N 1 2 324.388 1.860 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](NC(=O)CCc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001097262787 757029634 /nfs/dbraw/zinc/02/96/34/757029634.db2.gz ZZAYFPHLIAKLQE-GASCZTMLSA-N 1 2 324.388 1.677 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](NC(=O)CCc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001097262787 757029645 /nfs/dbraw/zinc/02/96/45/757029645.db2.gz ZZAYFPHLIAKLQE-GASCZTMLSA-N 1 2 324.388 1.677 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]c3CCC)[C@H]2C1 ZINC001083171851 757174451 /nfs/dbraw/zinc/17/44/51/757174451.db2.gz YKHWINLDLJAGSP-JKSUJKDBSA-N 1 2 301.390 1.126 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]c3CCC)[C@H]2C1 ZINC001083171851 757174460 /nfs/dbraw/zinc/17/44/60/757174460.db2.gz YKHWINLDLJAGSP-JKSUJKDBSA-N 1 2 301.390 1.126 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cnc(CC(C)C)s2)[C@@H](O)C1 ZINC001084114473 757269918 /nfs/dbraw/zinc/26/99/18/757269918.db2.gz YHLVHYJCPPYOQS-NEPJUHHUSA-N 1 2 309.435 1.303 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cnc(CC(C)C)s2)[C@@H](O)C1 ZINC001084114473 757269927 /nfs/dbraw/zinc/26/99/27/757269927.db2.gz YHLVHYJCPPYOQS-NEPJUHHUSA-N 1 2 309.435 1.303 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc([C@H](C)CC)no3)[C@@H]2C1 ZINC001084707806 757797214 /nfs/dbraw/zinc/79/72/14/757797214.db2.gz DBOYDJLRWWBKSK-UMVBOHGHSA-N 1 2 301.390 1.968 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc([C@H](C)CC)no3)[C@@H]2C1 ZINC001084707806 757797219 /nfs/dbraw/zinc/79/72/19/757797219.db2.gz DBOYDJLRWWBKSK-UMVBOHGHSA-N 1 2 301.390 1.968 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C=C(C)C)C1=O ZINC001017324675 757826969 /nfs/dbraw/zinc/82/69/69/757826969.db2.gz PNSSFBDIVRNZAQ-XHSDSOJGSA-N 1 2 317.433 1.415 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C=C(C)C)C1=O ZINC001017324675 757826980 /nfs/dbraw/zinc/82/69/80/757826980.db2.gz PNSSFBDIVRNZAQ-XHSDSOJGSA-N 1 2 317.433 1.415 20 30 DDEDLO CC[C@@H](F)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(C#N)c1 ZINC001017489871 757971258 /nfs/dbraw/zinc/97/12/58/757971258.db2.gz OGKYFHFQLCUVNB-YUELXQCFSA-N 1 2 304.369 1.923 20 30 DDEDLO CC[C@@H](F)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(C#N)c1 ZINC001017489871 757971261 /nfs/dbraw/zinc/97/12/61/757971261.db2.gz OGKYFHFQLCUVNB-YUELXQCFSA-N 1 2 304.369 1.923 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1C(F)F ZINC001017582820 758050099 /nfs/dbraw/zinc/05/00/99/758050099.db2.gz CLIHOJMJKWVEFE-TXEJJXNPSA-N 1 2 308.332 1.590 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1C(F)F ZINC001017582820 758050106 /nfs/dbraw/zinc/05/01/06/758050106.db2.gz CLIHOJMJKWVEFE-TXEJJXNPSA-N 1 2 308.332 1.590 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cc[nH]c2c1 ZINC001017650527 758119645 /nfs/dbraw/zinc/11/96/45/758119645.db2.gz QHBVNSXHFMAKQB-GASCZTMLSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cc[nH]c2c1 ZINC001017650527 758119654 /nfs/dbraw/zinc/11/96/54/758119654.db2.gz QHBVNSXHFMAKQB-GASCZTMLSA-N 1 2 308.385 1.875 20 30 DDEDLO C[C@@H](F)CC[NH+]1CC2(C1)CN(C(=O)c1cc(C#N)c[nH]1)CCO2 ZINC001053210155 758267959 /nfs/dbraw/zinc/26/79/59/758267959.db2.gz WUMPBQICNVOECR-GFCCVEGCSA-N 1 2 320.368 1.161 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001053273110 758327875 /nfs/dbraw/zinc/32/78/75/758327875.db2.gz LLBSOBOPDPGGEW-QWHCGFSZSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)C(C)(F)F)CCO2 ZINC001053434026 758458050 /nfs/dbraw/zinc/45/80/50/758458050.db2.gz VLEHTMSNIPIORQ-GFCCVEGCSA-N 1 2 302.365 1.767 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](OC)C1CCCC1)CCO2 ZINC001053520652 758528524 /nfs/dbraw/zinc/52/85/24/758528524.db2.gz VTLUEXPRXBGRFT-MRXNPFEDSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1OCCc2ccccc21 ZINC001018191492 758616192 /nfs/dbraw/zinc/61/61/92/758616192.db2.gz AUXYEAVUAZSGAO-ZIFCJYIRSA-N 1 2 324.424 1.999 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1OCCc2ccccc21 ZINC001018191492 758616197 /nfs/dbraw/zinc/61/61/97/758616197.db2.gz AUXYEAVUAZSGAO-ZIFCJYIRSA-N 1 2 324.424 1.999 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cn(CC)nc1C)O2 ZINC001053669600 758680971 /nfs/dbraw/zinc/68/09/71/758680971.db2.gz CXSVOSVFYNAQNC-AWEZNQCLSA-N 1 2 318.421 1.361 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnoc1CC)O2 ZINC001053671997 758682320 /nfs/dbraw/zinc/68/23/20/758682320.db2.gz JRMYKWRZYIXGDA-LBPRGKRZSA-N 1 2 305.378 1.386 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccn(CC)n1)O2 ZINC001053674896 758684521 /nfs/dbraw/zinc/68/45/21/758684521.db2.gz YQQNCXOQEIORDF-CYBMUJFWSA-N 1 2 304.394 1.052 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC001065675197 758694635 /nfs/dbraw/zinc/69/46/35/758694635.db2.gz NOPQZWNECCYXQI-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065711436 758729811 /nfs/dbraw/zinc/72/98/11/758729811.db2.gz MJYJZOUIMLQSOY-HZPDHXFCSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1cccc(C)c1)CO2 ZINC001053909223 758943987 /nfs/dbraw/zinc/94/39/87/758943987.db2.gz CNOAHDXLJYVJLR-KRWDZBQOSA-N 1 2 312.413 1.520 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CCC(F)CC1)CO2 ZINC001053917263 758951706 /nfs/dbraw/zinc/95/17/06/758951706.db2.gz GHMOKYNGMKNMKP-YMAMQOFZSA-N 1 2 308.397 1.498 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc3ccccn3c1)CO2 ZINC001053946156 758982864 /nfs/dbraw/zinc/98/28/64/758982864.db2.gz OUWFDZMRCTVMCI-MRXNPFEDSA-N 1 2 323.396 1.536 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1oc(CC)cc1C)CO2 ZINC001053982519 759023810 /nfs/dbraw/zinc/02/38/10/759023810.db2.gz LJHZWGRAKONQHT-CQSZACIVSA-N 1 2 316.401 1.747 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccc(C)nc1)CO2 ZINC001054006465 759053852 /nfs/dbraw/zinc/05/38/52/759053852.db2.gz JLWFCBGESWOKMI-MRXNPFEDSA-N 1 2 315.417 1.468 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnco1 ZINC001054049278 759104437 /nfs/dbraw/zinc/10/44/37/759104437.db2.gz UEDARWBQQOKCNF-MRXNPFEDSA-N 1 2 309.369 1.855 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnco1 ZINC001054049278 759104442 /nfs/dbraw/zinc/10/44/42/759104442.db2.gz UEDARWBQQOKCNF-MRXNPFEDSA-N 1 2 309.369 1.855 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1CC[C@@H](C)O1 ZINC001054054307 759107722 /nfs/dbraw/zinc/10/77/22/759107722.db2.gz NMANEFIPCLTXNJ-FHLIZLRMSA-N 1 2 312.413 1.730 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1CC[C@@H](C)O1 ZINC001054054307 759107727 /nfs/dbraw/zinc/10/77/27/759107727.db2.gz NMANEFIPCLTXNJ-FHLIZLRMSA-N 1 2 312.413 1.730 20 30 DDEDLO CC(C)c1noc([C@H](C)[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001018819654 759302731 /nfs/dbraw/zinc/30/27/31/759302731.db2.gz ZGMFFWAOYQZYMC-JSGCOSHPSA-N 1 2 316.405 1.858 20 30 DDEDLO C/C(=C/C(=O)N1CCCC(C)(C)[C@H]1C#N)C[NH+]1CCOCC1 ZINC000826702856 759303762 /nfs/dbraw/zinc/30/37/62/759303762.db2.gz BOVJMJVCTAZVHF-QKXCFHHRSA-N 1 2 305.422 1.806 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccc(C#C)nc3)cc2C1 ZINC001054290849 759397430 /nfs/dbraw/zinc/39/74/30/759397430.db2.gz XPOCWSLQOMXMHQ-UHFFFAOYSA-N 1 2 315.376 1.942 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccc(C#C)nc3)cc2C1 ZINC001054290849 759397441 /nfs/dbraw/zinc/39/74/41/759397441.db2.gz XPOCWSLQOMXMHQ-UHFFFAOYSA-N 1 2 315.376 1.942 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)C2CCC2)C1=O ZINC001085451924 759410292 /nfs/dbraw/zinc/41/02/92/759410292.db2.gz CUMKYBWBXCSYGC-LSDHHAIUSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C2CCC2)C1=O ZINC001085451924 759410295 /nfs/dbraw/zinc/41/02/95/759410295.db2.gz CUMKYBWBXCSYGC-LSDHHAIUSA-N 1 2 305.422 1.106 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cncs1)C(=O)c1c[nH]c(C#N)c1 ZINC001085555973 759699690 /nfs/dbraw/zinc/69/96/90/759699690.db2.gz FVJWXMNBBMOJCK-ZDUSSCGKSA-N 1 2 315.402 1.689 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cncs1)C(=O)c1c[nH]c(C#N)c1 ZINC001085555973 759699693 /nfs/dbraw/zinc/69/96/93/759699693.db2.gz FVJWXMNBBMOJCK-ZDUSSCGKSA-N 1 2 315.402 1.689 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn2c1cccc2OC ZINC001085626553 759895019 /nfs/dbraw/zinc/89/50/19/759895019.db2.gz OYRRGGUCWJWJGO-CYBMUJFWSA-N 1 2 312.373 1.122 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn2c1cccc2OC ZINC001085626553 759895032 /nfs/dbraw/zinc/89/50/32/759895032.db2.gz OYRRGGUCWJWJGO-CYBMUJFWSA-N 1 2 312.373 1.122 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)n([C@H](C)C2CC2)n1 ZINC001085684764 760008324 /nfs/dbraw/zinc/00/83/24/760008324.db2.gz ARNXIXBGTBXHSH-ZBFHGGJFSA-N 1 2 314.433 1.942 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)n([C@H](C)C2CC2)n1 ZINC001085684764 760008325 /nfs/dbraw/zinc/00/83/25/760008325.db2.gz ARNXIXBGTBXHSH-ZBFHGGJFSA-N 1 2 314.433 1.942 20 30 DDEDLO Cc1cc(N[C@H]2C[C@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)c(C#N)cn1 ZINC001069215491 767920877 /nfs/dbraw/zinc/92/08/77/767920877.db2.gz UUCJWMHTBDMBMA-WFASDCNBSA-N 1 2 324.388 1.051 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1noc2c1CC(C)(C)CC2 ZINC001085740354 760138801 /nfs/dbraw/zinc/13/88/01/760138801.db2.gz OXKBVIVDWMSYSY-ZDUSSCGKSA-N 1 2 315.417 1.969 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1noc2c1CC(C)(C)CC2 ZINC001085740354 760138803 /nfs/dbraw/zinc/13/88/03/760138803.db2.gz OXKBVIVDWMSYSY-ZDUSSCGKSA-N 1 2 315.417 1.969 20 30 DDEDLO C=CCCC(=O)N1CCC(CC)(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001069239428 767934794 /nfs/dbraw/zinc/93/47/94/767934794.db2.gz NUWMXLPKHUPNKR-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(C)c1C(F)F ZINC001085918479 760529121 /nfs/dbraw/zinc/52/91/21/760529121.db2.gz FBJYTBLIYRBYOX-LLVKDONJSA-N 1 2 310.348 1.527 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(C)c1C(F)F ZINC001085918479 760529128 /nfs/dbraw/zinc/52/91/28/760529128.db2.gz FBJYTBLIYRBYOX-LLVKDONJSA-N 1 2 310.348 1.527 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccn(C2CCOCC2)n1 ZINC001038261348 760954753 /nfs/dbraw/zinc/95/47/53/760954753.db2.gz JSSZKEFGEWOZML-OAHLLOKOSA-N 1 2 316.405 1.062 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccn(C2CCOCC2)n1 ZINC001038261348 760954760 /nfs/dbraw/zinc/95/47/60/760954760.db2.gz JSSZKEFGEWOZML-OAHLLOKOSA-N 1 2 316.405 1.062 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn(CC)nc1C1CC1 ZINC001038301090 760987117 /nfs/dbraw/zinc/98/71/17/760987117.db2.gz LWUVQBWKMFPHPJ-AWEZNQCLSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn(CC)nc1C1CC1 ZINC001038301090 760987120 /nfs/dbraw/zinc/98/71/20/760987120.db2.gz LWUVQBWKMFPHPJ-AWEZNQCLSA-N 1 2 300.406 1.608 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)CCCC)CC1 ZINC001131354272 768010121 /nfs/dbraw/zinc/01/01/21/768010121.db2.gz XIFDPRQUFQTIAS-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)CCCC)CC1 ZINC001131354272 768010130 /nfs/dbraw/zinc/01/01/30/768010130.db2.gz XIFDPRQUFQTIAS-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCN(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC001100042678 761157766 /nfs/dbraw/zinc/15/77/66/761157766.db2.gz JQAKPEZZRYANDM-QGZVFWFLSA-N 1 2 318.421 1.542 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ccc(C#N)cn1 ZINC001069415749 768016406 /nfs/dbraw/zinc/01/64/06/768016406.db2.gz UPJDUAXLYBLWJM-DOMZBBRYSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2[C@H](C)C(=O)N(C)C)CC1 ZINC001038667977 761268486 /nfs/dbraw/zinc/26/84/86/761268486.db2.gz QRSDENUZOMQTNT-HIFRSBDPSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2[C@H](C)C(=O)N(C)C)CC1 ZINC001038667977 761268490 /nfs/dbraw/zinc/26/84/90/761268490.db2.gz QRSDENUZOMQTNT-HIFRSBDPSA-N 1 2 307.438 1.400 20 30 DDEDLO N#Cc1c(F)cccc1N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001056657221 761320725 /nfs/dbraw/zinc/32/07/25/761320725.db2.gz JTVNVGWOGDHAJR-GFCCVEGCSA-N 1 2 313.336 1.607 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc(-c2ccccc2)[nH]1 ZINC001038753309 761365962 /nfs/dbraw/zinc/36/59/62/761365962.db2.gz KOWSZPBPLALUTR-OAHLLOKOSA-N 1 2 308.385 1.904 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc(-c2ccccc2)[nH]1 ZINC001038753309 761365970 /nfs/dbraw/zinc/36/59/70/761365970.db2.gz KOWSZPBPLALUTR-OAHLLOKOSA-N 1 2 308.385 1.904 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038813134 761429829 /nfs/dbraw/zinc/42/98/29/761429829.db2.gz AAFYHJGNYZFAQG-MRXNPFEDSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038813134 761429831 /nfs/dbraw/zinc/42/98/31/761429831.db2.gz AAFYHJGNYZFAQG-MRXNPFEDSA-N 1 2 323.400 1.903 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(C)nc1OCC ZINC001038859398 761483608 /nfs/dbraw/zinc/48/36/08/761483608.db2.gz GDAGKVOMDXHDDS-AWEZNQCLSA-N 1 2 301.390 1.616 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(C)nc1OCC ZINC001038859398 761483612 /nfs/dbraw/zinc/48/36/12/761483612.db2.gz GDAGKVOMDXHDDS-AWEZNQCLSA-N 1 2 301.390 1.616 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2CCC(F)(F)F)nc1 ZINC001038930772 761559327 /nfs/dbraw/zinc/55/93/27/761559327.db2.gz IWIRLIBOOFTTPU-LBPRGKRZSA-N 1 2 311.307 1.819 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2CCC(F)(F)F)nc1 ZINC001038930772 761559334 /nfs/dbraw/zinc/55/93/34/761559334.db2.gz IWIRLIBOOFTTPU-LBPRGKRZSA-N 1 2 311.307 1.819 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cncc(C)c2)nc1 ZINC001038942952 761575724 /nfs/dbraw/zinc/57/57/24/761575724.db2.gz MLSLPFCFPSIGGF-QGZVFWFLSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cncc(C)c2)nc1 ZINC001038942952 761575730 /nfs/dbraw/zinc/57/57/30/761575730.db2.gz MLSLPFCFPSIGGF-QGZVFWFLSA-N 1 2 320.396 1.771 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nc(C)n2)C1 ZINC001108275430 761595222 /nfs/dbraw/zinc/59/52/22/761595222.db2.gz DEYJNZNQXNQHCP-INIZCTEOSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nc(C)n2)C1 ZINC001108275430 761595227 /nfs/dbraw/zinc/59/52/27/761595227.db2.gz DEYJNZNQXNQHCP-INIZCTEOSA-N 1 2 304.394 1.100 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn2c1C[C@H](C)CC2 ZINC001038975638 761609509 /nfs/dbraw/zinc/60/95/09/761609509.db2.gz KACBOULXAUMKAG-KGLIPLIRSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn2c1C[C@H](C)CC2 ZINC001038975638 761609514 /nfs/dbraw/zinc/60/95/14/761609514.db2.gz KACBOULXAUMKAG-KGLIPLIRSA-N 1 2 300.406 1.293 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001039020731 761658000 /nfs/dbraw/zinc/65/80/00/761658000.db2.gz GTAAVKJCPTZOON-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001039020731 761658004 /nfs/dbraw/zinc/65/80/04/761658004.db2.gz GTAAVKJCPTZOON-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1Cc2cc(C)c(C)cc2O1 ZINC001039045035 761686014 /nfs/dbraw/zinc/68/60/14/761686014.db2.gz CMRNCBBSQQGYOS-WMZOPIPTSA-N 1 2 312.413 1.821 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1Cc2cc(C)c(C)cc2O1 ZINC001039045035 761686017 /nfs/dbraw/zinc/68/60/17/761686017.db2.gz CMRNCBBSQQGYOS-WMZOPIPTSA-N 1 2 312.413 1.821 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CC[C@H](C)CC)CC1 ZINC001131390893 768109906 /nfs/dbraw/zinc/10/99/06/768109906.db2.gz PLZYZCBHYRDKKS-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CC[C@H](C)CC)CC1 ZINC001131390893 768109911 /nfs/dbraw/zinc/10/99/11/768109911.db2.gz PLZYZCBHYRDKKS-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCCN(C(=O)c3nonc3C)[C@@H]2C1 ZINC001050213649 763332693 /nfs/dbraw/zinc/33/26/93/763332693.db2.gz HQJQUYPHXZKFRI-NWDGAFQWSA-N 1 2 310.785 1.667 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCCN(C(=O)c3nonc3C)[C@@H]2C1 ZINC001050213649 763332703 /nfs/dbraw/zinc/33/27/03/763332703.db2.gz HQJQUYPHXZKFRI-NWDGAFQWSA-N 1 2 310.785 1.667 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001050269888 763395039 /nfs/dbraw/zinc/39/50/39/763395039.db2.gz YWKMXMBWNJDZNB-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CCN(c2ccc(C#N)nc2)[C@@H]1C ZINC001050502481 763678402 /nfs/dbraw/zinc/67/84/02/763678402.db2.gz ITSJFUUOOCYEAD-DOMZBBRYSA-N 1 2 324.388 1.311 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001109592282 763846012 /nfs/dbraw/zinc/84/60/12/763846012.db2.gz MZQURJBJTZKGMJ-ILXRZTDVSA-N 1 2 319.449 1.589 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001109592282 763846020 /nfs/dbraw/zinc/84/60/20/763846020.db2.gz MZQURJBJTZKGMJ-ILXRZTDVSA-N 1 2 319.449 1.589 20 30 DDEDLO C=C(C)C(=O)NCCCNc1cc(OC)c(C(=O)OC)c[nH+]1 ZINC001156821487 763903187 /nfs/dbraw/zinc/90/31/87/763903187.db2.gz ZVADKCXQTUIKMB-UHFFFAOYSA-N 1 2 307.350 1.371 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109906657 764193010 /nfs/dbraw/zinc/19/30/10/764193010.db2.gz FZHPVBNYUUKVJP-RISCZKNCSA-N 1 2 302.378 1.130 20 30 DDEDLO CN(C(=O)c1ccc(C#N)[nH]1)C1C[NH+](C[C@@H]2CC(C)(C)CO2)C1 ZINC001042629200 764291502 /nfs/dbraw/zinc/29/15/02/764291502.db2.gz VHOZAOMIUKBFQR-AWEZNQCLSA-N 1 2 316.405 1.458 20 30 DDEDLO CN(C(=O)c1c[nH]c(C#N)c1)C1C[NH+](CCc2ccns2)C1 ZINC001042639017 764300396 /nfs/dbraw/zinc/30/03/96/764300396.db2.gz ZJKJZTSKAVLMSN-UHFFFAOYSA-N 1 2 315.402 1.342 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnn1CC(C)C ZINC001050943786 764319079 /nfs/dbraw/zinc/31/90/79/764319079.db2.gz QWLJTLKKVHMYIU-OAHLLOKOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnn1CC(C)C ZINC001050943786 764319087 /nfs/dbraw/zinc/31/90/87/764319087.db2.gz QWLJTLKKVHMYIU-OAHLLOKOSA-N 1 2 320.437 1.546 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn(C(C)(C)C)nc2C)C1 ZINC001042711522 764378904 /nfs/dbraw/zinc/37/89/04/764378904.db2.gz UXAGDPNFVFCPCZ-UHFFFAOYSA-N 1 2 302.422 1.726 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3[nH]cnc3C)c2C1 ZINC001069884308 768212855 /nfs/dbraw/zinc/21/28/55/768212855.db2.gz CZVMYFFDDWJEDC-UHFFFAOYSA-N 1 2 314.393 1.236 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3[nH]cnc3C)c2C1 ZINC001069884308 768212857 /nfs/dbraw/zinc/21/28/57/768212857.db2.gz CZVMYFFDDWJEDC-UHFFFAOYSA-N 1 2 314.393 1.236 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnc(Cl)n1C ZINC001051028151 764433414 /nfs/dbraw/zinc/43/34/14/764433414.db2.gz HZIPCKXOSHMCHN-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnc(Cl)n1C ZINC001051028151 764433420 /nfs/dbraw/zinc/43/34/20/764433420.db2.gz HZIPCKXOSHMCHN-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001051086058 764490978 /nfs/dbraw/zinc/49/09/78/764490978.db2.gz IKMJWVFUVWNMFC-NVXWUHKLSA-N 1 2 318.392 1.859 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001051086058 764490986 /nfs/dbraw/zinc/49/09/86/764490986.db2.gz IKMJWVFUVWNMFC-NVXWUHKLSA-N 1 2 318.392 1.859 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccn(C)c1CC ZINC001051113595 764518312 /nfs/dbraw/zinc/51/83/12/764518312.db2.gz YKLOVEQTWUVOKN-CQSZACIVSA-N 1 2 305.422 1.594 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccn(C)c1CC ZINC001051113595 764518328 /nfs/dbraw/zinc/51/83/28/764518328.db2.gz YKLOVEQTWUVOKN-CQSZACIVSA-N 1 2 305.422 1.594 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cccnc1 ZINC001051125762 764526820 /nfs/dbraw/zinc/52/68/20/764526820.db2.gz VYUCYNMGQVDUKJ-YESZJQIVSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cccnc1 ZINC001051125762 764526825 /nfs/dbraw/zinc/52/68/25/764526825.db2.gz VYUCYNMGQVDUKJ-YESZJQIVSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)C1Cc2ccccc2C1 ZINC001051133074 764533485 /nfs/dbraw/zinc/53/34/85/764533485.db2.gz BOURLLXDJIRTLS-GOSISDBHSA-N 1 2 314.429 1.795 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)C1Cc2ccccc2C1 ZINC001051133074 764533487 /nfs/dbraw/zinc/53/34/87/764533487.db2.gz BOURLLXDJIRTLS-GOSISDBHSA-N 1 2 314.429 1.795 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CCCC[C@H]2n2cccn2)C1 ZINC001042959692 764554158 /nfs/dbraw/zinc/55/41/58/764554158.db2.gz YGFAHIXOUPNDDJ-JKSUJKDBSA-N 1 2 302.422 1.943 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2COc3ccccc3C2)C1 ZINC001043269989 764799530 /nfs/dbraw/zinc/79/95/30/764799530.db2.gz HRZLUYXAWOHDDV-OAHLLOKOSA-N 1 2 300.402 1.956 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(-c3ccccc3)n[nH]2)C1 ZINC001043275097 764803120 /nfs/dbraw/zinc/80/31/20/764803120.db2.gz ZGFLNVUBVQQHAD-UHFFFAOYSA-N 1 2 308.385 1.856 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001112898642 764941448 /nfs/dbraw/zinc/94/14/48/764941448.db2.gz WJKLUBWBJZSDAA-INIZCTEOSA-N 1 2 324.465 1.929 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)C2(n3cnnn3)CCCCC2)C1 ZINC001043508114 764953579 /nfs/dbraw/zinc/95/35/79/764953579.db2.gz PKUDMSMLUBBPAL-UHFFFAOYSA-N 1 2 318.425 1.051 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@@H](C)[C@H](Nc3cc[nH+]c(C)n3)C2)c1 ZINC001043511028 764954700 /nfs/dbraw/zinc/95/47/00/764954700.db2.gz MALZHPFJZZUYKO-MLGOLLRUSA-N 1 2 321.384 1.734 20 30 DDEDLO C[C@@H](Nc1cnc(C#N)cn1)[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001112994124 765105490 /nfs/dbraw/zinc/10/54/90/765105490.db2.gz SDLPVQAZDDXFHN-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)C2CC2)[C@@H](n2ccnn2)C1 ZINC001070003209 768274216 /nfs/dbraw/zinc/27/42/16/768274216.db2.gz PZKHBQVOOBJEFS-CABCVRRESA-N 1 2 315.421 1.079 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)C2CC2)[C@@H](n2ccnn2)C1 ZINC001070003209 768274219 /nfs/dbraw/zinc/27/42/19/768274219.db2.gz PZKHBQVOOBJEFS-CABCVRRESA-N 1 2 315.421 1.079 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCO[C@@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001051803971 765175400 /nfs/dbraw/zinc/17/54/00/765175400.db2.gz ULDSBBRWVCIVEN-BBRMVZONSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccccc2COC)CC1 ZINC001113063228 765203640 /nfs/dbraw/zinc/20/36/40/765203640.db2.gz BEDJPHFZOMSBFQ-UHFFFAOYSA-N 1 2 318.417 1.793 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc3c2OCCCO3)C1 ZINC001044090799 765293542 /nfs/dbraw/zinc/29/35/42/765293542.db2.gz RAGHBOFDQHNSEV-UHFFFAOYSA-N 1 2 314.385 1.627 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[C@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131716474 768281560 /nfs/dbraw/zinc/28/15/60/768281560.db2.gz IGDJVBHFFUKKOT-CVEARBPZSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[C@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131716474 768281565 /nfs/dbraw/zinc/28/15/65/768281565.db2.gz IGDJVBHFFUKKOT-CVEARBPZSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C[C@H]3C=CCC3)C2)CC1 ZINC001051977818 765338596 /nfs/dbraw/zinc/33/85/96/765338596.db2.gz HBUMSZDENMEKCV-ROUUACIJSA-N 1 2 315.461 1.585 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc(C)nc3)C2)CC1 ZINC001051985705 765351037 /nfs/dbraw/zinc/35/10/37/765351037.db2.gz CNNAACHTHYBSOA-QGZVFWFLSA-N 1 2 314.433 1.408 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3CCCOC3)C2)CC1 ZINC001051996823 765363843 /nfs/dbraw/zinc/36/38/43/765363843.db2.gz VFGHBZFEHDJQHK-SJORKVTESA-N 1 2 321.465 1.208 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C[C@@H]3CCOC3)C2)CC1 ZINC001052003380 765368779 /nfs/dbraw/zinc/36/87/79/765368779.db2.gz SQXNDRRJDXOKTF-IRXDYDNUSA-N 1 2 321.465 1.208 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)CC3CCC3)C2)CC1 ZINC001052004038 765370700 /nfs/dbraw/zinc/37/07/00/765370700.db2.gz ICWQNQJFHAHUDY-KRWDZBQOSA-N 1 2 305.466 1.971 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3coc(C)n3)C2)CC1 ZINC001052018184 765389862 /nfs/dbraw/zinc/38/98/62/765389862.db2.gz FSNPCDMNMVFVIY-AWEZNQCLSA-N 1 2 304.394 1.001 20 30 DDEDLO Cc1sccc1C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052024903 765399315 /nfs/dbraw/zinc/39/93/15/765399315.db2.gz QCYHHMNWFQGVMV-AWEZNQCLSA-N 1 2 318.446 1.412 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3cc(C)cs3)C2)CC1 ZINC001052024642 765399938 /nfs/dbraw/zinc/39/99/38/765399938.db2.gz ITULJCAXGCFHBU-OAHLLOKOSA-N 1 2 317.458 1.522 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cc(C)cs3)C2)CC1 ZINC001052024642 765399946 /nfs/dbraw/zinc/39/99/46/765399946.db2.gz ITULJCAXGCFHBU-OAHLLOKOSA-N 1 2 317.458 1.522 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(C4CC4)CC3)C2)CC1 ZINC001052041654 765414077 /nfs/dbraw/zinc/41/40/77/765414077.db2.gz OFCULDPIJNKJSV-MRXNPFEDSA-N 1 2 301.434 1.028 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3[nH]c(C)nc3C)C2)CC1 ZINC001052083187 765456230 /nfs/dbraw/zinc/45/62/30/765456230.db2.gz LQMDIBTYQNVROB-HNNXBMFYSA-N 1 2 317.437 1.045 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C3CC4(CC4)C3)C2)CC1 ZINC001052082576 765456314 /nfs/dbraw/zinc/45/63/14/765456314.db2.gz UWKRWZIARMBBGV-QGZVFWFLSA-N 1 2 317.477 1.971 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc3n[nH]cc3c2)CC1 ZINC001113266050 765503475 /nfs/dbraw/zinc/50/34/75/765503475.db2.gz KGMRIMGIUVWXFG-UHFFFAOYSA-N 1 2 314.389 1.523 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2cccc3nsnc32)C1 ZINC001044384772 765526215 /nfs/dbraw/zinc/52/62/15/765526215.db2.gz CZWIVXAYGPKYLU-UHFFFAOYSA-N 1 2 302.403 1.562 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H](C)[C@H](C)Nc2cc[nH+]c(C)n2)c1 ZINC001113288959 765529569 /nfs/dbraw/zinc/52/95/69/765529569.db2.gz QJOLWKFDZZBOMS-RYUDHWBXSA-N 1 2 309.373 1.780 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C)nc(C)n2)CC1 ZINC001113427523 765683363 /nfs/dbraw/zinc/68/33/63/765683363.db2.gz YWIIVWKZWRQUND-UHFFFAOYSA-N 1 2 304.394 1.054 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C(C)(C)c2cnn(C)c2)CC1 ZINC001113478018 765734301 /nfs/dbraw/zinc/73/43/01/765734301.db2.gz RZJFFIKIAYKKGU-UHFFFAOYSA-N 1 2 320.437 1.045 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C(N)=O)c[nH]1)C2 ZINC001098113376 768317719 /nfs/dbraw/zinc/31/77/19/768317719.db2.gz UOQQIXQMCFGRSZ-WZRBSPASSA-N 1 2 322.796 1.201 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C(N)=O)c[nH]1)C2 ZINC001098113376 768317724 /nfs/dbraw/zinc/31/77/24/768317724.db2.gz UOQQIXQMCFGRSZ-WZRBSPASSA-N 1 2 322.796 1.201 20 30 DDEDLO Cc1cc(N[C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)c(C#N)cn1 ZINC001057765079 765843027 /nfs/dbraw/zinc/84/30/27/765843027.db2.gz MQISEWHEPMZFKR-CQSZACIVSA-N 1 2 324.388 1.052 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCOCCCC)CC2)nc1 ZINC001113557651 765861714 /nfs/dbraw/zinc/86/17/14/765861714.db2.gz HNMSBLSZJFAKDW-UHFFFAOYSA-N 1 2 315.417 1.637 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)cc(C)c2)[C@@H](n2ccnn2)C1 ZINC001070083199 768332563 /nfs/dbraw/zinc/33/25/63/768332563.db2.gz AOHATYKSJBHSCU-SJORKVTESA-N 1 2 323.400 1.183 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)cc(C)c2)[C@@H](n2ccnn2)C1 ZINC001070083199 768332570 /nfs/dbraw/zinc/33/25/70/768332570.db2.gz AOHATYKSJBHSCU-SJORKVTESA-N 1 2 323.400 1.183 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)C1CCN(c2ccncc2C#N)CC1 ZINC001058058657 766202086 /nfs/dbraw/zinc/20/20/86/766202086.db2.gz WZSPEZVBMXEBIZ-UHFFFAOYSA-N 1 2 324.388 1.277 20 30 DDEDLO CCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001113818375 766211816 /nfs/dbraw/zinc/21/18/16/766211816.db2.gz AVOXKOUDWYIAAJ-QLPKVWCKSA-N 1 2 317.364 1.280 20 30 DDEDLO CCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001113818375 766211820 /nfs/dbraw/zinc/21/18/20/766211820.db2.gz AVOXKOUDWYIAAJ-QLPKVWCKSA-N 1 2 317.364 1.280 20 30 DDEDLO CCOC(=O)[C@@H](C#N)Nc1ccc(-n2c[nH+]c(C)c2C)nn1 ZINC001170369199 766268958 /nfs/dbraw/zinc/26/89/58/766268958.db2.gz SJRJPIPQLBGEDR-LLVKDONJSA-N 1 2 300.322 1.146 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001058243174 766321618 /nfs/dbraw/zinc/32/16/18/766321618.db2.gz XNSFEKHXFBGHRS-ZNMIVQPWSA-N 1 2 316.405 1.461 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH]c[nH+]1)N(C)c1ccc(C#N)nc1 ZINC001113937054 766412420 /nfs/dbraw/zinc/41/24/20/766412420.db2.gz XSMHZAJSJGTTNA-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH+]c[nH]1)N(C)c1ccc(C#N)nc1 ZINC001113937054 766412423 /nfs/dbraw/zinc/41/24/23/766412423.db2.gz XSMHZAJSJGTTNA-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)n3CCC)C[C@H]21 ZINC001114004488 766522256 /nfs/dbraw/zinc/52/22/56/766522256.db2.gz JGDFHWDKIVPDOF-HALDLXJZSA-N 1 2 317.437 1.509 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)n3CCC)C[C@H]21 ZINC001114004488 766522260 /nfs/dbraw/zinc/52/22/60/766522260.db2.gz JGDFHWDKIVPDOF-HALDLXJZSA-N 1 2 317.437 1.509 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCCN(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001067716636 766648433 /nfs/dbraw/zinc/64/84/33/766648433.db2.gz HEMLTBQVCXNECN-UHFFFAOYSA-N 1 2 318.421 1.546 20 30 DDEDLO CN(C[C@H]1CCCN1C(=O)Cn1cc[nH+]c1)c1ncccc1C#N ZINC001067765525 766690151 /nfs/dbraw/zinc/69/01/51/766690151.db2.gz OPIIXIXFDVMECB-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO CCc1noc([C@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)n1 ZINC001114257671 766828520 /nfs/dbraw/zinc/82/85/20/766828520.db2.gz DMBSPFPBGWYOAK-CNXAATOLSA-N 1 2 314.389 1.153 20 30 DDEDLO CCc1noc([C@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)n1 ZINC001114257671 766828528 /nfs/dbraw/zinc/82/85/28/766828528.db2.gz DMBSPFPBGWYOAK-CNXAATOLSA-N 1 2 314.389 1.153 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1[C@H]2C[N@H+](C/C(Cl)=C\Cl)C[C@@H]12 ZINC001114271706 766839475 /nfs/dbraw/zinc/83/94/75/766839475.db2.gz ZSYDPSQKCYPVEB-UAOTZLBZSA-N 1 2 317.216 1.390 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1[C@H]2C[N@@H+](C/C(Cl)=C\Cl)C[C@@H]12 ZINC001114271706 766839485 /nfs/dbraw/zinc/83/94/85/766839485.db2.gz ZSYDPSQKCYPVEB-UAOTZLBZSA-N 1 2 317.216 1.390 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](CCCC)CNc1cc[nH+]c(C)n1 ZINC001114281384 766849476 /nfs/dbraw/zinc/84/94/76/766849476.db2.gz FLBDTPUVVBWJFP-HNNXBMFYSA-N 1 2 318.421 1.912 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)[C@@H]3Cc4ccccc43)CC2)C1 ZINC001046058667 766869184 /nfs/dbraw/zinc/86/91/84/766869184.db2.gz QGSSZAZTOCRRCV-FUHWJXTLSA-N 1 2 324.428 1.068 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001068092429 766898222 /nfs/dbraw/zinc/89/82/22/766898222.db2.gz VRNZCKCNTBEAOY-KRWDZBQOSA-N 1 2 320.437 1.861 20 30 DDEDLO Cc1nc(N2C[C@@H](NC(=O)c3cc(C#N)c[nH]3)C(C)(C)C2)cc[nH+]1 ZINC001068103468 766913040 /nfs/dbraw/zinc/91/30/40/766913040.db2.gz MCNPJALWDJCPHX-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)no1 ZINC001046252784 767302652 /nfs/dbraw/zinc/30/26/52/767302652.db2.gz JYJVYCHJNUBAKX-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)no1 ZINC001046252784 767302653 /nfs/dbraw/zinc/30/26/53/767302653.db2.gz JYJVYCHJNUBAKX-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO CC#CC[N@@H+]1CC[C@](C)(NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001046443319 767578521 /nfs/dbraw/zinc/57/85/21/767578521.db2.gz XRLQAHIATLTCQS-KRWDZBQOSA-N 1 2 300.406 1.506 20 30 DDEDLO CC#CC[N@H+]1CC[C@](C)(NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001046443319 767578526 /nfs/dbraw/zinc/57/85/26/767578526.db2.gz XRLQAHIATLTCQS-KRWDZBQOSA-N 1 2 300.406 1.506 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@H]2C)n1 ZINC001068963257 767730743 /nfs/dbraw/zinc/73/07/43/767730743.db2.gz QPTHJGCHIXNJTH-SWLSCSKDSA-N 1 2 324.388 1.629 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001046632111 767756546 /nfs/dbraw/zinc/75/65/46/767756546.db2.gz RNAGCZKOCBIBKJ-HLLBOEOZSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2cc(C)on2)C1 ZINC001046632111 767756548 /nfs/dbraw/zinc/75/65/48/767756548.db2.gz RNAGCZKOCBIBKJ-HLLBOEOZSA-N 1 2 319.405 1.655 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2scnc2C)CC[C@H]1C ZINC001131825555 768392399 /nfs/dbraw/zinc/39/23/99/768392399.db2.gz KCKVZYKNFRDDPX-OCCSQVGLSA-N 1 2 321.446 1.684 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2scnc2C)CC[C@H]1C ZINC001131825555 768392408 /nfs/dbraw/zinc/39/24/08/768392408.db2.gz KCKVZYKNFRDDPX-OCCSQVGLSA-N 1 2 321.446 1.684 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CSCC#N)C[N@@H+]1Cc1ccnn1C ZINC001131825939 768393576 /nfs/dbraw/zinc/39/35/76/768393576.db2.gz MNXDBEQZTUBUEH-QWHCGFSZSA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)CSCC#N)C[N@H+]1Cc1ccnn1C ZINC001131825939 768393583 /nfs/dbraw/zinc/39/35/83/768393583.db2.gz MNXDBEQZTUBUEH-QWHCGFSZSA-N 1 2 321.450 1.146 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CNC(=O)C2CC2)CC[C@H]1C ZINC001131830836 768411483 /nfs/dbraw/zinc/41/14/83/768411483.db2.gz VSYAGDCHDVVDPT-YPMHNXCESA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CNC(=O)C2CC2)CC[C@H]1C ZINC001131830836 768411488 /nfs/dbraw/zinc/41/14/88/768411488.db2.gz VSYAGDCHDVVDPT-YPMHNXCESA-N 1 2 313.829 1.234 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3ccccc3nc2C)C1 ZINC001047398141 768450740 /nfs/dbraw/zinc/45/07/40/768450740.db2.gz FXEBDHCCQMMRTH-ROUUACIJSA-N 1 2 323.396 1.294 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3ccccc3nc2C)C1 ZINC001047398141 768450744 /nfs/dbraw/zinc/45/07/44/768450744.db2.gz FXEBDHCCQMMRTH-ROUUACIJSA-N 1 2 323.396 1.294 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)c(F)cc2F)C1 ZINC001047426524 768468566 /nfs/dbraw/zinc/46/85/66/768468566.db2.gz VOWBLHIJZPSOFY-GJZGRUSLSA-N 1 2 308.328 1.024 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)c(F)cc2F)C1 ZINC001047426524 768468572 /nfs/dbraw/zinc/46/85/72/768468572.db2.gz VOWBLHIJZPSOFY-GJZGRUSLSA-N 1 2 308.328 1.024 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3cccnc3s2)C1 ZINC001047586052 768590744 /nfs/dbraw/zinc/59/07/44/768590744.db2.gz GRDQSZFRLQYTBD-STQMWFEESA-N 1 2 317.414 1.599 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3cccnc3s2)C1 ZINC001047586052 768590747 /nfs/dbraw/zinc/59/07/47/768590747.db2.gz GRDQSZFRLQYTBD-STQMWFEESA-N 1 2 317.414 1.599 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132065627 768615049 /nfs/dbraw/zinc/61/50/49/768615049.db2.gz WXPOMZMLMCAOPE-KGLIPLIRSA-N 1 2 322.453 1.277 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132065627 768615051 /nfs/dbraw/zinc/61/50/51/768615051.db2.gz WXPOMZMLMCAOPE-KGLIPLIRSA-N 1 2 322.453 1.277 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)CC2CCC2)C[C@H]1NCC#N ZINC001070635646 768635132 /nfs/dbraw/zinc/63/51/32/768635132.db2.gz PYISOVNIDGVDPX-ZIAGYGMSSA-N 1 2 301.394 1.018 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132223868 768695938 /nfs/dbraw/zinc/69/59/38/768695938.db2.gz HQSXISVTVXZBTN-HUUCEWRRSA-N 1 2 320.437 1.342 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132223868 768695941 /nfs/dbraw/zinc/69/59/41/768695941.db2.gz HQSXISVTVXZBTN-HUUCEWRRSA-N 1 2 320.437 1.342 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CC(=O)N(C)C)CC[C@@H]1C ZINC001132346074 768775784 /nfs/dbraw/zinc/77/57/84/768775784.db2.gz UKMHZPWPJMHVSB-RYUDHWBXSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CC(=O)N(C)C)CC[C@@H]1C ZINC001132346074 768775786 /nfs/dbraw/zinc/77/57/86/768775786.db2.gz UKMHZPWPJMHVSB-RYUDHWBXSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)CS(=O)(=O)C(C)C)CC[C@H]1C ZINC001132493158 768925501 /nfs/dbraw/zinc/92/55/01/768925501.db2.gz JRXYWDPQUIDMSB-KGLIPLIRSA-N 1 2 316.467 1.355 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)CS(=O)(=O)C(C)C)CC[C@H]1C ZINC001132493158 768925507 /nfs/dbraw/zinc/92/55/07/768925507.db2.gz JRXYWDPQUIDMSB-KGLIPLIRSA-N 1 2 316.467 1.355 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCCC(N)=O)CC[C@H]1C ZINC001132536959 768971302 /nfs/dbraw/zinc/97/13/02/768971302.db2.gz KGAAAYXCMAQNBA-VXGBXAGGSA-N 1 2 301.818 1.364 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCCC(N)=O)CC[C@H]1C ZINC001132536959 768971304 /nfs/dbraw/zinc/97/13/04/768971304.db2.gz KGAAAYXCMAQNBA-VXGBXAGGSA-N 1 2 301.818 1.364 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001071268900 769214028 /nfs/dbraw/zinc/21/40/28/769214028.db2.gz DLBYECJOPCGKDR-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001071268900 769214035 /nfs/dbraw/zinc/21/40/35/769214035.db2.gz DLBYECJOPCGKDR-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001096370894 769450723 /nfs/dbraw/zinc/45/07/23/769450723.db2.gz UBJUYEBXSDBFMV-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C1CCC(C(=O)NCC[NH2+]Cc2nc([C@H](C)OC)no2)CC1 ZINC001133368692 769751117 /nfs/dbraw/zinc/75/11/17/769751117.db2.gz DSGXEMAZEGANAX-LBPRGKRZSA-N 1 2 322.409 1.729 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2nc([C@@H](C)OCC)no2)C1 ZINC001133566343 769996707 /nfs/dbraw/zinc/99/67/07/769996707.db2.gz ZWNCGUYQNYPRQG-GFCCVEGCSA-N 1 2 322.409 1.729 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001096457877 770193291 /nfs/dbraw/zinc/19/32/91/770193291.db2.gz XBFXQXZPTGWCPJ-WMLDXEAASA-N 1 2 318.421 1.709 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)co1 ZINC001049368815 770744056 /nfs/dbraw/zinc/74/40/56/770744056.db2.gz BVBMOWDDUFESOX-BYCMXARLSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)co1 ZINC001049368815 770744060 /nfs/dbraw/zinc/74/40/60/770744060.db2.gz BVBMOWDDUFESOX-BYCMXARLSA-N 1 2 302.378 1.708 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)CCCn2cc[nH+]c2)C[C@@H]1C ZINC001072372972 770791327 /nfs/dbraw/zinc/79/13/27/770791327.db2.gz PALNBJRTJUFJSN-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+][C@@H](C)c1csnn1 ZINC001135065119 771303385 /nfs/dbraw/zinc/30/33/85/771303385.db2.gz VNZKPDQLRANADF-OBJOEFQTSA-N 1 2 324.450 1.676 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096728463 771419626 /nfs/dbraw/zinc/41/96/26/771419626.db2.gz PSJUVOTWMNHJGX-FZMZJTMJSA-N 1 2 302.378 1.130 20 30 DDEDLO N#Cc1ccc(N[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C2CC2)nc1 ZINC001096820148 771467617 /nfs/dbraw/zinc/46/76/17/771467617.db2.gz OGZRYLRQBSJPCV-AWEZNQCLSA-N 1 2 310.361 1.226 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001097094638 771621218 /nfs/dbraw/zinc/62/12/18/771621218.db2.gz SYAJGOPEXAHDRP-HAQNSBGRSA-N 1 2 314.393 1.779 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@H](Nc2ccc(C#N)cn2)C1 ZINC001097105782 771625640 /nfs/dbraw/zinc/62/56/40/771625640.db2.gz DWYPMERHBYEZGA-MRVWCRGKSA-N 1 2 324.388 1.614 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@H](Nc2ccc(C#N)cn2)C1 ZINC001097105782 771625644 /nfs/dbraw/zinc/62/56/44/771625644.db2.gz DWYPMERHBYEZGA-MRVWCRGKSA-N 1 2 324.388 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccnc2C)[C@@H](O)C1 ZINC001090532464 771935532 /nfs/dbraw/zinc/93/55/32/771935532.db2.gz RSMPSOMARPRULY-KBPBESRZSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccnc2C)[C@@H](O)C1 ZINC001090532464 771935535 /nfs/dbraw/zinc/93/55/35/771935535.db2.gz RSMPSOMARPRULY-KBPBESRZSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2occc2Cl)[C@@H](O)C1 ZINC001090537630 771972796 /nfs/dbraw/zinc/97/27/96/771972796.db2.gz AYGXZOBRGISRRN-MNOVXSKESA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2occc2Cl)[C@@H](O)C1 ZINC001090537630 771972798 /nfs/dbraw/zinc/97/27/98/771972798.db2.gz AYGXZOBRGISRRN-MNOVXSKESA-N 1 2 319.188 1.851 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](CNC(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001091352498 772701044 /nfs/dbraw/zinc/70/10/44/772701044.db2.gz WITDWJVFNCGOSP-OTVXOJSOSA-N 1 2 324.388 1.465 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](C)COC)C1 ZINC001149391786 772715677 /nfs/dbraw/zinc/71/56/77/772715677.db2.gz QMFMVAQIMKOTIO-YPMHNXCESA-N 1 2 304.818 1.229 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H](C)COC)C1 ZINC001149391786 772715680 /nfs/dbraw/zinc/71/56/80/772715680.db2.gz QMFMVAQIMKOTIO-YPMHNXCESA-N 1 2 304.818 1.229 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001091386786 772723399 /nfs/dbraw/zinc/72/33/99/772723399.db2.gz HBTNCXCFJZKRKK-GASCZTMLSA-N 1 2 324.388 1.547 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091605889 772962479 /nfs/dbraw/zinc/96/24/79/772962479.db2.gz HCWARFXUJYBZIF-VHDGCEQUSA-N 1 2 316.405 1.520 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001147514076 773156817 /nfs/dbraw/zinc/15/68/17/773156817.db2.gz BDEZSSICSUTFDA-CYBMUJFWSA-N 1 2 316.405 1.633 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001147514076 773156820 /nfs/dbraw/zinc/15/68/20/773156820.db2.gz BDEZSSICSUTFDA-CYBMUJFWSA-N 1 2 316.405 1.633 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)[nH]1 ZINC001147514416 773157419 /nfs/dbraw/zinc/15/74/19/773157419.db2.gz LYHGCNLCSBKQSN-CYBMUJFWSA-N 1 2 315.421 1.368 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)[nH]1 ZINC001147514416 773157424 /nfs/dbraw/zinc/15/74/24/773157424.db2.gz LYHGCNLCSBKQSN-CYBMUJFWSA-N 1 2 315.421 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H]2C[C@H]2C2CC2)C1 ZINC001073812738 773437549 /nfs/dbraw/zinc/43/75/49/773437549.db2.gz BXQSQQFRTWQQQJ-QLFBSQMISA-N 1 2 312.841 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H]2C[C@H]2C2CC2)C1 ZINC001073812738 773437550 /nfs/dbraw/zinc/43/75/50/773437550.db2.gz BXQSQQFRTWQQQJ-QLFBSQMISA-N 1 2 312.841 1.992 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1ccon1)C2 ZINC001148874068 773610974 /nfs/dbraw/zinc/61/09/74/773610974.db2.gz ICDXTFJUKGPIHD-CYBMUJFWSA-N 1 2 305.378 1.300 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1ccon1)C2 ZINC001148874068 773610978 /nfs/dbraw/zinc/61/09/78/773610978.db2.gz ICDXTFJUKGPIHD-CYBMUJFWSA-N 1 2 305.378 1.300 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@H](C)C1 ZINC001074090831 773625333 /nfs/dbraw/zinc/62/53/33/773625333.db2.gz REIIKSWLKHFANE-OCCSQVGLSA-N 1 2 318.421 1.580 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@@H]21 ZINC001074242518 773766721 /nfs/dbraw/zinc/76/67/21/773766721.db2.gz YYGDXUFEDWZTTI-STQMWFEESA-N 1 2 312.360 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@@H]21 ZINC001074242518 773766726 /nfs/dbraw/zinc/76/67/26/773766726.db2.gz YYGDXUFEDWZTTI-STQMWFEESA-N 1 2 312.360 1.357 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cncs3)C[C@@H]21 ZINC001074271645 773783373 /nfs/dbraw/zinc/78/33/73/773783373.db2.gz PKSUXNNZIIUJFM-LSDHHAIUSA-N 1 2 319.430 1.011 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cncs3)C[C@@H]21 ZINC001074271645 773783378 /nfs/dbraw/zinc/78/33/78/773783378.db2.gz PKSUXNNZIIUJFM-LSDHHAIUSA-N 1 2 319.430 1.011 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@@H]21 ZINC001074320070 773820523 /nfs/dbraw/zinc/82/05/23/773820523.db2.gz WNICXTRXQZEJDG-JZAWBGDQSA-N 1 2 304.434 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@@H]21 ZINC001074320070 773820524 /nfs/dbraw/zinc/82/05/24/773820524.db2.gz WNICXTRXQZEJDG-JZAWBGDQSA-N 1 2 304.434 1.910 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC(C)(F)F)C[C@@H]21 ZINC001074329774 773825186 /nfs/dbraw/zinc/82/51/86/773825186.db2.gz PWDUAEZAKWQZQC-STQMWFEESA-N 1 2 300.349 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC(C)(F)F)C[C@@H]21 ZINC001074329774 773825187 /nfs/dbraw/zinc/82/51/87/773825187.db2.gz PWDUAEZAKWQZQC-STQMWFEESA-N 1 2 300.349 1.357 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3conc3CC)C[C@@H]21 ZINC001074336510 773834071 /nfs/dbraw/zinc/83/40/71/773834071.db2.gz QRHFJCNEQRYEKJ-HOTGVXAUSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3conc3CC)C[C@@H]21 ZINC001074336510 773834077 /nfs/dbraw/zinc/83/40/77/773834077.db2.gz QRHFJCNEQRYEKJ-HOTGVXAUSA-N 1 2 319.405 1.728 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CN(C(=O)CCc3cn[nH]n3)C[C@]2(C)C1 ZINC001092028190 773926262 /nfs/dbraw/zinc/92/62/62/773926262.db2.gz JRVRRYWUYHMCGD-DOMZBBRYSA-N 1 2 323.828 1.270 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CN(C(=O)CCc3cn[nH]n3)C[C@]2(C)C1 ZINC001092028190 773926270 /nfs/dbraw/zinc/92/62/70/773926270.db2.gz JRVRRYWUYHMCGD-DOMZBBRYSA-N 1 2 323.828 1.270 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CN(C(=O)CCc3c[nH]nn3)C[C@]2(C)C1 ZINC001092028190 773926277 /nfs/dbraw/zinc/92/62/77/773926277.db2.gz JRVRRYWUYHMCGD-DOMZBBRYSA-N 1 2 323.828 1.270 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CN(C(=O)CCc3c[nH]nn3)C[C@]2(C)C1 ZINC001092028190 773926284 /nfs/dbraw/zinc/92/62/84/773926284.db2.gz JRVRRYWUYHMCGD-DOMZBBRYSA-N 1 2 323.828 1.270 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092144457 773956601 /nfs/dbraw/zinc/95/66/01/773956601.db2.gz ZNBRFXXZGXSJSO-LSDHHAIUSA-N 1 2 316.405 1.034 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092165200 773969675 /nfs/dbraw/zinc/96/96/75/773969675.db2.gz URUNLJASYUUSTO-KGLIPLIRSA-N 1 2 304.394 1.197 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(CC3CC3)no2)[C@@H]1C ZINC001074567931 774000321 /nfs/dbraw/zinc/00/03/21/774000321.db2.gz CVFCLFIQANIYAZ-GVXVVHGQSA-N 1 2 317.393 1.261 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCC1CC(Nc2ncccc2C#N)C1 ZINC001092233102 774021896 /nfs/dbraw/zinc/02/18/96/774021896.db2.gz LYORUERSSZQIEP-UHFFFAOYSA-N 1 2 324.388 1.236 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ncoc1C)c1nccn12 ZINC001092351561 774069632 /nfs/dbraw/zinc/06/96/32/774069632.db2.gz CLTDLUXGSLLGFG-LBPRGKRZSA-N 1 2 313.361 1.251 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CCC1(F)F)c1nccn12 ZINC001092378390 774094348 /nfs/dbraw/zinc/09/43/48/774094348.db2.gz MWPNLUSSSJZNKR-NEPJUHHUSA-N 1 2 322.359 1.686 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1C[C@H]3C[C@H]3C1)c1nccn12 ZINC001092389754 774098636 /nfs/dbraw/zinc/09/86/36/774098636.db2.gz XQEHCNKBQYKZML-YJNKXOJESA-N 1 2 312.417 1.687 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1oncc1C)c1nccn12 ZINC001092405690 774104259 /nfs/dbraw/zinc/10/42/59/774104259.db2.gz JZQVEVFGXJYBHW-GFCCVEGCSA-N 1 2 313.361 1.251 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1[nH]ccc1C)c1nccn12 ZINC001092366296 774105588 /nfs/dbraw/zinc/10/55/88/774105588.db2.gz QUDJRIWXLVSGGV-CYBMUJFWSA-N 1 2 311.389 1.591 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]cc1C)c1nccn12 ZINC001092412214 774124711 /nfs/dbraw/zinc/12/47/11/774124711.db2.gz BVSUBSKDFRHBTC-AWEZNQCLSA-N 1 2 311.389 1.591 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)C2=NC(=O)N(C)C2)[C@H](C)C1 ZINC001092937112 774332913 /nfs/dbraw/zinc/33/29/13/774332913.db2.gz OTVMQZUXWDQELS-MWLCHTKSSA-N 1 2 312.801 1.176 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)C2=NC(=O)N(C)C2)[C@H](C)C1 ZINC001092937112 774332922 /nfs/dbraw/zinc/33/29/22/774332922.db2.gz OTVMQZUXWDQELS-MWLCHTKSSA-N 1 2 312.801 1.176 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@@H]3[C@H](CCN3CC#N)C2)c[nH+]1 ZINC001036749181 774470896 /nfs/dbraw/zinc/47/08/96/774470896.db2.gz RKZXFUAHFRUKAE-HUUCEWRRSA-N 1 2 315.421 1.373 20 30 DDEDLO Cc1cc(N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001093235800 774545981 /nfs/dbraw/zinc/54/59/81/774545981.db2.gz UAPVFDZXNJDQPR-GCVYGYKWSA-N 1 2 311.389 1.373 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CCCC3CC3)C2)nn1 ZINC001098706306 774648035 /nfs/dbraw/zinc/64/80/35/774648035.db2.gz XVNKCEUNJKPSKI-INIZCTEOSA-N 1 2 315.421 1.355 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CC3(F)F)CC2)C1 ZINC001093533653 774787665 /nfs/dbraw/zinc/78/76/65/774787665.db2.gz UAOBLKSJIPWSLJ-CYBMUJFWSA-N 1 2 300.349 1.519 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001099047636 774793182 /nfs/dbraw/zinc/79/31/82/774793182.db2.gz ZRGPRIRDRGQWHH-LBPRGKRZSA-N 1 2 314.393 1.474 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2ccco2)[C@H](O)C1 ZINC001099653870 775101341 /nfs/dbraw/zinc/10/13/41/775101341.db2.gz ICIXIMGYWBPRIA-ZIAGYGMSSA-N 1 2 312.797 1.516 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2ccco2)[C@H](O)C1 ZINC001099653870 775101343 /nfs/dbraw/zinc/10/13/43/775101343.db2.gz ICIXIMGYWBPRIA-ZIAGYGMSSA-N 1 2 312.797 1.516 20 30 DDEDLO C=CCn1cc(C(=O)NCC[C@@H](C)Nc2cc[nH+]c(C)n2)nn1 ZINC001099721563 775181655 /nfs/dbraw/zinc/18/16/55/775181655.db2.gz CIOIJKZLTKRQTB-LLVKDONJSA-N 1 2 315.381 1.183 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099730666 775198081 /nfs/dbraw/zinc/19/80/81/775198081.db2.gz WMQACUBDJSVCMG-GHMZBOCLSA-N 1 2 307.221 1.823 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099730666 775198088 /nfs/dbraw/zinc/19/80/88/775198088.db2.gz WMQACUBDJSVCMG-GHMZBOCLSA-N 1 2 307.221 1.823 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1CC[N@@H+](CC(=C)Cl)C[C@@H]1O ZINC001099959308 775480120 /nfs/dbraw/zinc/48/01/20/775480120.db2.gz FBVRCGDAVSAWAH-CABCVRRESA-N 1 2 312.841 1.874 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1CC[N@H+](CC(=C)Cl)C[C@@H]1O ZINC001099959308 775480130 /nfs/dbraw/zinc/48/01/30/775480130.db2.gz FBVRCGDAVSAWAH-CABCVRRESA-N 1 2 312.841 1.874 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3=CCCC3)nn2)C1 ZINC001094283894 775646185 /nfs/dbraw/zinc/64/61/85/775646185.db2.gz NUGHDRBYIBMHEW-UHFFFAOYSA-N 1 2 301.394 1.437 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@@]3(C)CC)nn2)C1 ZINC001094331560 775713401 /nfs/dbraw/zinc/71/34/01/775713401.db2.gz BQLGOAFMQFQHMA-GDBMZVCRSA-N 1 2 303.410 1.373 20 30 DDEDLO N#Cc1sc(NCCCNC(=O)Cn2cc[nH+]c2)nc1Cl ZINC001094472319 775927928 /nfs/dbraw/zinc/92/79/28/775927928.db2.gz ZECMZYCGQYKVLI-UHFFFAOYSA-N 1 2 324.797 1.483 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)C3CC3)CC2=O)C1 ZINC001094719189 776185834 /nfs/dbraw/zinc/18/58/34/776185834.db2.gz BCIBHWQTGIBLQU-TZMCWYRMSA-N 1 2 305.422 1.010 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@H]3[C@@H]4CCC[C@@H]43)CC2=O)C1 ZINC001094719527 776187102 /nfs/dbraw/zinc/18/71/02/776187102.db2.gz DVMCMDWVKJMQDV-UTXMOHQDSA-N 1 2 317.433 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3ccc(Cl)o3)CC2=O)C1 ZINC001094703572 776214738 /nfs/dbraw/zinc/21/47/38/776214738.db2.gz BXCWXJKBTCXCHF-JTQLQIEISA-N 1 2 323.780 1.134 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3CC(C)(C)C3)CC2=O)C1 ZINC001094734445 776236515 /nfs/dbraw/zinc/23/65/15/776236515.db2.gz ZDYWPLYTTBTGGW-CQSZACIVSA-N 1 2 319.449 1.400 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCCCNc1ccc(C#N)nc1 ZINC001094792905 776283810 /nfs/dbraw/zinc/28/38/10/776283810.db2.gz XBONIIGJSRLOKD-HUUCEWRRSA-N 1 2 324.388 1.409 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3csc(C)c3)CC2=O)C1 ZINC001094795501 776288183 /nfs/dbraw/zinc/28/81/83/776288183.db2.gz QYGNYJSBPYJAGU-ZDUSSCGKSA-N 1 2 319.430 1.257 20 30 DDEDLO C[C@@H](CC(=O)NCCCNc1ccc(C#N)nn1)n1cc[nH+]c1 ZINC001094824551 776347808 /nfs/dbraw/zinc/34/78/08/776347808.db2.gz QCELFOWWXZINIV-LBPRGKRZSA-N 1 2 313.365 1.114 20 30 DDEDLO Nc1ccccc1C(=NO)Nc1ccc(C[NH+]2CC(O)C2)cc1 ZINC001212670067 776812087 /nfs/dbraw/zinc/81/20/87/776812087.db2.gz FMKURTZOYIDPBA-UHFFFAOYSA-N 1 2 312.373 1.693 20 30 DDEDLO CCOC(=O)[C@H](CS)[NH2+][C@H]1CCC[C@@H](C(=O)OC)CC1 ZINC001172763976 776884124 /nfs/dbraw/zinc/88/41/24/776884124.db2.gz BPANNQNOGPLZRH-WOPDTQHZSA-N 1 2 303.424 1.559 20 30 DDEDLO N#Cc1cnccc1N(CCNC(=O)CCn1cc[nH+]c1)C1CC1 ZINC001101417094 777128325 /nfs/dbraw/zinc/12/83/25/777128325.db2.gz ZAAHFYVYCYVPMN-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO CC(C)(CNCC#N)C1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001101579858 777271363 /nfs/dbraw/zinc/27/13/63/777271363.db2.gz ZDLZSBRDBGUJSV-UHFFFAOYSA-N 1 2 303.410 1.330 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)SC)c1nccn12 ZINC001101611787 777301669 /nfs/dbraw/zinc/30/16/69/777301669.db2.gz JMHQBLBOHPBBQQ-NWDGAFQWSA-N 1 2 306.435 1.393 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCSCC)c1nccn12 ZINC001101611470 777302098 /nfs/dbraw/zinc/30/20/98/777302098.db2.gz CJOGTLICHMZQOC-CYBMUJFWSA-N 1 2 320.462 1.784 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)CSC)c1nccn12 ZINC001101613741 777305618 /nfs/dbraw/zinc/30/56/18/777305618.db2.gz GTQYFQLDTMHKJH-QWHCGFSZSA-N 1 2 320.462 1.640 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC2(C[NH+](CC#CC)C2)n2ccnc21 ZINC001101639041 777336961 /nfs/dbraw/zinc/33/69/61/777336961.db2.gz FEVYIRSUOWPYKZ-CQSZACIVSA-N 1 2 312.417 1.691 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC2(C[NH+](CC=C)C2)n2ccnc21 ZINC001101654028 777347620 /nfs/dbraw/zinc/34/76/20/777347620.db2.gz JINPJAQALUVJFJ-AWEZNQCLSA-N 1 2 316.405 1.234 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001101848185 777599208 /nfs/dbraw/zinc/59/92/08/777599208.db2.gz WXJKUOVQMGHNGN-CQSZACIVSA-N 1 2 324.388 1.283 20 30 DDEDLO CN(CCNc1ccncc1C#N)C(=O)c1cccc2[nH+]ccn21 ZINC001101855091 777608020 /nfs/dbraw/zinc/60/80/20/777608020.db2.gz YEDFFDORIYMTLD-UHFFFAOYSA-N 1 2 320.356 1.207 20 30 DDEDLO C[C@H](CC(=O)N(C)CCNc1ccc(C#N)cn1)n1cc[nH+]c1 ZINC001101975946 777756785 /nfs/dbraw/zinc/75/67/85/777756785.db2.gz VDEZUBBKFBDSKU-CYBMUJFWSA-N 1 2 312.377 1.671 20 30 DDEDLO N#Cc1cnccc1N1CC[C@H](CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001102338827 778075842 /nfs/dbraw/zinc/07/58/42/778075842.db2.gz VSTFCQFZSQIRQJ-AWEZNQCLSA-N 1 2 324.388 1.183 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102443333 778144827 /nfs/dbraw/zinc/14/48/27/778144827.db2.gz GZPHITHDHBFFHW-UKRRQHHQSA-N 1 2 318.421 1.661 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCCOCC)C[C@@H]21 ZINC001176925872 778313676 /nfs/dbraw/zinc/31/36/76/778313676.db2.gz YVJFXVWIKLTGAR-HOTGVXAUSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCCOCC)C[C@@H]21 ZINC001176925872 778313686 /nfs/dbraw/zinc/31/36/86/778313686.db2.gz YVJFXVWIKLTGAR-HOTGVXAUSA-N 1 2 310.438 1.681 20 30 DDEDLO Cc1nc(N2CC[C@@H](N(C)C(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001102702366 778329034 /nfs/dbraw/zinc/32/90/34/778329034.db2.gz RDYNQPJNGMLIKB-IINYFYTJSA-N 1 2 301.394 1.599 20 30 DDEDLO Cc1nc(N2CC[C@H](N(C)C(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001102702365 778329045 /nfs/dbraw/zinc/32/90/45/778329045.db2.gz RDYNQPJNGMLIKB-HZMBPMFUSA-N 1 2 301.394 1.599 20 30 DDEDLO CN(C(=O)CCn1cc[nH+]c1)[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001102748403 778366520 /nfs/dbraw/zinc/36/65/20/778366520.db2.gz LIPZKVGRHRMRGW-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCOC)[C@H]2C1 ZINC001177102466 778447618 /nfs/dbraw/zinc/44/76/18/778447618.db2.gz WCDCTLRZDBBPNY-GJZGRUSLSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCOC)[C@H]2C1 ZINC001177102466 778447620 /nfs/dbraw/zinc/44/76/20/778447620.db2.gz WCDCTLRZDBBPNY-GJZGRUSLSA-N 1 2 310.438 1.537 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1CCNC(=O)C#CC1CC1 ZINC001102962880 778507383 /nfs/dbraw/zinc/50/73/83/778507383.db2.gz BHQKRJVFSMANER-TZMCWYRMSA-N 1 2 318.446 1.526 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1CCNC(=O)C#CC1CC1 ZINC001102962880 778507386 /nfs/dbraw/zinc/50/73/86/778507386.db2.gz BHQKRJVFSMANER-TZMCWYRMSA-N 1 2 318.446 1.526 20 30 DDEDLO CC[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccncc1C#N ZINC001103363906 778819333 /nfs/dbraw/zinc/81/93/33/778819333.db2.gz CYPBCVYLWPKQQA-MGPQQGTHSA-N 1 2 324.388 1.209 20 30 DDEDLO CC[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccncc1C#N ZINC001103363906 778819341 /nfs/dbraw/zinc/81/93/41/778819341.db2.gz CYPBCVYLWPKQQA-MGPQQGTHSA-N 1 2 324.388 1.209 20 30 DDEDLO N#Cc1ccc(NCC2(NC(=O)Cc3c[nH]c[nH+]3)CCCC2)nc1 ZINC001103461161 778877889 /nfs/dbraw/zinc/87/78/89/778877889.db2.gz RDNTVSPEJDYRJC-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)CNc2cc[nH+]c(C)n2)cn1 ZINC001104028071 779253799 /nfs/dbraw/zinc/25/37/99/779253799.db2.gz NJZIMISMONAAPT-LBPRGKRZSA-N 1 2 309.373 1.639 20 30 DDEDLO Cc1nsc(NC[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001104052737 779272541 /nfs/dbraw/zinc/27/25/41/779272541.db2.gz ILJVYPDBKVMGNV-SECBINFHSA-N 1 2 318.406 1.453 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nonc1C)C2 ZINC001111648730 779416844 /nfs/dbraw/zinc/41/68/44/779416844.db2.gz LSEIMWRTHPHOLU-BBRMVZONSA-N 1 2 304.394 1.815 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nonc1C)C2 ZINC001111648730 779416847 /nfs/dbraw/zinc/41/68/47/779416847.db2.gz LSEIMWRTHPHOLU-BBRMVZONSA-N 1 2 304.394 1.815 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)C#CC3CC3)C2)nc1 ZINC001111740631 779458686 /nfs/dbraw/zinc/45/86/86/779458686.db2.gz GZZISIDOYHEZKU-LPHOPBHVSA-N 1 2 324.428 1.669 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)C#CC3CC3)C2)nc1 ZINC001111740631 779458694 /nfs/dbraw/zinc/45/86/94/779458694.db2.gz GZZISIDOYHEZKU-LPHOPBHVSA-N 1 2 324.428 1.669 20 30 DDEDLO CC#CCCCC(=O)N1CCO[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111957869 779578852 /nfs/dbraw/zinc/57/88/52/779578852.db2.gz GBCGTPKRLSRXPJ-HNNXBMFYSA-N 1 2 316.405 1.618 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001112302688 779704074 /nfs/dbraw/zinc/70/40/74/779704074.db2.gz SKKQWWMIRSQNHM-WCQYABFASA-N 1 2 318.425 1.471 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)[C@H](CNc1ncccc1C#N)C1CC1 ZINC001115576941 780245295 /nfs/dbraw/zinc/24/52/95/780245295.db2.gz JHDSPJGYBDIXSO-OAHLLOKOSA-N 1 2 324.388 1.568 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)CN(C)C(=O)Cn2cc[nH+]c2)n1 ZINC001115767144 780413037 /nfs/dbraw/zinc/41/30/37/780413037.db2.gz ZEGNFSFTTZOKKN-CYBMUJFWSA-N 1 2 312.377 1.417 20 30 DDEDLO C[C@@H](CN(C)C(=O)Cc1c[nH+]cn1C)Nc1ncccc1C#N ZINC001115779681 780425109 /nfs/dbraw/zinc/42/51/09/780425109.db2.gz ROQNMRMENGFOFY-LBPRGKRZSA-N 1 2 312.377 1.188 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H](C)Nc2cc[nH+]c(C)n2)cn1 ZINC001115793000 780436202 /nfs/dbraw/zinc/43/62/02/780436202.db2.gz NGRKTZLZNVBLNY-LBPRGKRZSA-N 1 2 309.373 1.734 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)C1(C(F)F)CCCC1 ZINC001267214013 837546941 /nfs/dbraw/zinc/54/69/41/837546941.db2.gz YHJUTZKCUNUMIC-UHFFFAOYSA-N 1 2 317.380 1.162 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)C1(C(F)F)CCCC1 ZINC001267214013 837546947 /nfs/dbraw/zinc/54/69/47/837546947.db2.gz YHJUTZKCUNUMIC-UHFFFAOYSA-N 1 2 317.380 1.162 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCC[N@H+]1Cc1ncc(C)cn1 ZINC001267266386 837703127 /nfs/dbraw/zinc/70/31/27/837703127.db2.gz IORWRGGHKCDOOU-WMLDXEAASA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1ncc(C)cn1 ZINC001267266386 837703132 /nfs/dbraw/zinc/70/31/32/837703132.db2.gz IORWRGGHKCDOOU-WMLDXEAASA-N 1 2 318.421 1.193 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@H]2CCCC[C@H]2C2CC2)CC1 ZINC001266290334 836062980 /nfs/dbraw/zinc/06/29/80/836062980.db2.gz WFTGESBTGQJLCN-ROUUACIJSA-N 1 2 317.477 1.570 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001266322482 836118557 /nfs/dbraw/zinc/11/85/57/836118557.db2.gz IEYGNFLQZMFOGX-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001266322482 836118563 /nfs/dbraw/zinc/11/85/63/836118563.db2.gz IEYGNFLQZMFOGX-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccc(F)cc2F)C1 ZINC001266355414 836164533 /nfs/dbraw/zinc/16/45/33/836164533.db2.gz HSKKIJMNIYFYRC-LBPRGKRZSA-N 1 2 323.343 1.071 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccc(F)cc2F)C1 ZINC001266355414 836164536 /nfs/dbraw/zinc/16/45/36/836164536.db2.gz HSKKIJMNIYFYRC-LBPRGKRZSA-N 1 2 323.343 1.071 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1C1CC1 ZINC001266496818 836349431 /nfs/dbraw/zinc/34/94/31/836349431.db2.gz ZYOGJVAXVXYSAF-VVLHAWIVSA-N 1 2 302.418 1.358 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1C1CC1 ZINC001266496818 836349439 /nfs/dbraw/zinc/34/94/39/836349439.db2.gz ZYOGJVAXVXYSAF-VVLHAWIVSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(CC)o2)C1 ZINC001266509094 836376972 /nfs/dbraw/zinc/37/69/72/836376972.db2.gz MCSPLRMFTGFFAT-AWEZNQCLSA-N 1 2 318.421 1.764 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CC[N@H+](Cc2nnc(CC)o2)C1 ZINC001266509094 836376986 /nfs/dbraw/zinc/37/69/86/836376986.db2.gz MCSPLRMFTGFFAT-AWEZNQCLSA-N 1 2 318.421 1.764 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+]([C@H](C)c2nnc(CC)[nH]2)C1 ZINC001266511604 836385644 /nfs/dbraw/zinc/38/56/44/836385644.db2.gz OKRBOLOTZMWWIC-ZIAGYGMSSA-N 1 2 317.437 1.670 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+]([C@H](C)c2nnc(CC)[nH]2)C1 ZINC001266511604 836385656 /nfs/dbraw/zinc/38/56/56/836385656.db2.gz OKRBOLOTZMWWIC-ZIAGYGMSSA-N 1 2 317.437 1.670 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC[NH2+]Cc1nc(C2CCC2)no1 ZINC001266692456 836679247 /nfs/dbraw/zinc/67/92/47/836679247.db2.gz SFKKLRJZLJRUTP-UHFFFAOYSA-N 1 2 304.394 1.545 20 30 DDEDLO CCCCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#CCOC ZINC001267397547 837987280 /nfs/dbraw/zinc/98/72/80/837987280.db2.gz XEKYBIZCEWCURW-YESZJQIVSA-N 1 2 322.449 1.517 20 30 DDEDLO CCCCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#CCOC ZINC001267397547 837987286 /nfs/dbraw/zinc/98/72/86/837987286.db2.gz XEKYBIZCEWCURW-YESZJQIVSA-N 1 2 322.449 1.517 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NC[C@@H](NCC#N)c1ccccc1 ZINC001267523624 838244969 /nfs/dbraw/zinc/24/49/69/838244969.db2.gz VWMVLAWXVXOWOS-CZUORRHYSA-N 1 2 311.389 1.559 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NC[C@@H](NCC#N)c1ccccc1 ZINC001267523624 838244976 /nfs/dbraw/zinc/24/49/76/838244976.db2.gz VWMVLAWXVXOWOS-CZUORRHYSA-N 1 2 311.389 1.559 20 30 DDEDLO Cc1nc([C@H](C)[N@@H+]2CC[C@@H](CCNC(=O)C#CC3CC3)C2)no1 ZINC001267531647 838259343 /nfs/dbraw/zinc/25/93/43/838259343.db2.gz WHINWNVLYCPDPY-SWLSCSKDSA-N 1 2 316.405 1.681 20 30 DDEDLO Cc1nc([C@H](C)[N@H+]2CC[C@@H](CCNC(=O)C#CC3CC3)C2)no1 ZINC001267531647 838259349 /nfs/dbraw/zinc/25/93/49/838259349.db2.gz WHINWNVLYCPDPY-SWLSCSKDSA-N 1 2 316.405 1.681 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)c2ccccc2C)CC1 ZINC001267573437 838338171 /nfs/dbraw/zinc/33/81/71/838338171.db2.gz GAHXBHUWVKPCGO-UHFFFAOYSA-N 1 2 313.445 1.708 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(OC)no2)C1 ZINC001267611774 838458684 /nfs/dbraw/zinc/45/86/84/838458684.db2.gz JHHCMYRSRKBRLF-WBMJQRKESA-N 1 2 323.393 1.091 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CCC[N@H+](Cc2cc(OC)no2)C1 ZINC001267611774 838458687 /nfs/dbraw/zinc/45/86/87/838458687.db2.gz JHHCMYRSRKBRLF-WBMJQRKESA-N 1 2 323.393 1.091 20 30 DDEDLO C=CCOCC(=O)NCC1C[NH+](Cc2ccc(C#N)c(F)c2)C1 ZINC001267679586 838632111 /nfs/dbraw/zinc/63/21/11/838632111.db2.gz RXYWXRANPCPCNX-UHFFFAOYSA-N 1 2 317.364 1.448 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001267747971 838835661 /nfs/dbraw/zinc/83/56/61/838835661.db2.gz JITAACSTZNNRDG-HUUCEWRRSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001267747971 838835674 /nfs/dbraw/zinc/83/56/74/838835674.db2.gz JITAACSTZNNRDG-HUUCEWRRSA-N 1 2 309.454 1.694 20 30 DDEDLO CC1(C)CCCC[C@@H]1C(=O)N[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267753894 838856106 /nfs/dbraw/zinc/85/61/06/838856106.db2.gz QTVLWAPVJMNMNW-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CCCC[C@@H]1C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267753894 838856113 /nfs/dbraw/zinc/85/61/13/838856113.db2.gz QTVLWAPVJMNMNW-ZIAGYGMSSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@H](CC(=O)Nc1cnn(-c2ncccc2C#N)c1)n1cc[nH+]c1 ZINC001267796214 838991831 /nfs/dbraw/zinc/99/18/31/838991831.db2.gz YCMQPSBBLQMDAE-GFCCVEGCSA-N 1 2 321.344 1.925 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001267925476 839203534 /nfs/dbraw/zinc/20/35/34/839203534.db2.gz QHUQZWOQQFENLH-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC2CCC2)C1 ZINC001267925476 839203541 /nfs/dbraw/zinc/20/35/41/839203541.db2.gz QHUQZWOQQFENLH-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)c2occc2C)C1 ZINC001268016806 839379722 /nfs/dbraw/zinc/37/97/22/839379722.db2.gz GSGSJVZPDJPTKJ-AWEZNQCLSA-N 1 2 308.378 1.221 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)c2occc2C)C1 ZINC001268016806 839379736 /nfs/dbraw/zinc/37/97/36/839379736.db2.gz GSGSJVZPDJPTKJ-AWEZNQCLSA-N 1 2 308.378 1.221 20 30 DDEDLO COCC#CC[N@H+](C)CCN(C)C(=O)c1cccc2[nH]cnc21 ZINC001268251939 839897922 /nfs/dbraw/zinc/89/79/22/839897922.db2.gz LMIFMWGQAFTZCM-UHFFFAOYSA-N 1 2 314.389 1.217 20 30 DDEDLO COCC#CC[N@@H+](C)CCN(C)C(=O)c1cccc2[nH]cnc21 ZINC001268251939 839897928 /nfs/dbraw/zinc/89/79/28/839897928.db2.gz LMIFMWGQAFTZCM-UHFFFAOYSA-N 1 2 314.389 1.217 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CC(CNC(=O)CC2(C)CCCC2)C1 ZINC001268825170 840871129 /nfs/dbraw/zinc/87/11/29/840871129.db2.gz LZPWCEMKDNGWGL-AWEZNQCLSA-N 1 2 319.449 1.143 20 30 DDEDLO N#CCCC(=O)N1CC[C@H]2C[C@]21C(=O)Nc1cccc2[nH+]ccn21 ZINC001269024136 841120328 /nfs/dbraw/zinc/12/03/28/841120328.db2.gz PKZUISOAPMZACB-YVEFUNNKSA-N 1 2 323.356 1.568 20 30 DDEDLO CCC(CC)NC(=O)[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001269126662 841238528 /nfs/dbraw/zinc/23/85/28/841238528.db2.gz WSFXKVLDDUKQIA-GDBMZVCRSA-N 1 2 321.465 1.530 20 30 DDEDLO CCC(CC)NC(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001269126662 841238538 /nfs/dbraw/zinc/23/85/38/841238538.db2.gz WSFXKVLDDUKQIA-GDBMZVCRSA-N 1 2 321.465 1.530 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1nccc2cccnc21 ZINC001269247020 841417233 /nfs/dbraw/zinc/41/72/33/841417233.db2.gz FHDIZAWAVRDAIT-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1nccc2cccnc21 ZINC001269247020 841417234 /nfs/dbraw/zinc/41/72/34/841417234.db2.gz FHDIZAWAVRDAIT-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO CCCc1nc(C[NH2+]CC[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)no1 ZINC001326225512 861212955 /nfs/dbraw/zinc/21/29/55/861212955.db2.gz VBVXUYXYDWLDBQ-QWHCGFSZSA-N 1 2 319.409 1.510 20 30 DDEDLO N#Cc1cc(N2CC[NH+](Cc3cccc([N+](=O)[O-])c3)CC2)ncn1 ZINC001326396226 861330796 /nfs/dbraw/zinc/33/07/96/861330796.db2.gz GGEKMKRCFXXHIW-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO CCCCOCC[N@@H+]1CCO[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001270649832 842774785 /nfs/dbraw/zinc/77/47/85/842774785.db2.gz HFAHYJORWXFDBT-INIZCTEOSA-N 1 2 324.465 1.670 20 30 DDEDLO CCCCOCC[N@H+]1CCO[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001270649832 842774788 /nfs/dbraw/zinc/77/47/88/842774788.db2.gz HFAHYJORWXFDBT-INIZCTEOSA-N 1 2 324.465 1.670 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)CN2CCc3ccccc32)C1 ZINC001270700125 842827136 /nfs/dbraw/zinc/82/71/36/842827136.db2.gz BVUQXJXHTQNEBW-MRXNPFEDSA-N 1 2 315.417 1.052 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)CN2CCc3ccccc32)C1 ZINC001270700125 842827146 /nfs/dbraw/zinc/82/71/46/842827146.db2.gz BVUQXJXHTQNEBW-MRXNPFEDSA-N 1 2 315.417 1.052 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H](C)C[NH2+]Cc2nc(C)no2)cn1 ZINC001271170145 843370574 /nfs/dbraw/zinc/37/05/74/843370574.db2.gz HLNAJGPMINRTPB-LLVKDONJSA-N 1 2 313.361 1.005 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1(O)C[NH+](C[C@H]2CC(C)(C)CO2)C1 ZINC001271342803 843509127 /nfs/dbraw/zinc/50/91/27/843509127.db2.gz DFACSSOCKPIGIP-HUUCEWRRSA-N 1 2 324.465 1.567 20 30 DDEDLO O=C(/C=C/c1ccc(F)cc1)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC001154809201 861474825 /nfs/dbraw/zinc/47/48/25/861474825.db2.gz RWNALHPKXUUFNG-BOTMBNHJSA-N 1 2 313.332 1.736 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCO1 ZINC001326610009 861476169 /nfs/dbraw/zinc/47/61/69/861476169.db2.gz BHWDKUGJWNUQNS-HZPDHXFCSA-N 1 2 310.438 1.729 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCO1 ZINC001326610009 861476175 /nfs/dbraw/zinc/47/61/75/861476175.db2.gz BHWDKUGJWNUQNS-HZPDHXFCSA-N 1 2 310.438 1.729 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccncn1 ZINC001326658383 861516774 /nfs/dbraw/zinc/51/67/74/861516774.db2.gz ITLVIXWSKJAMPS-HNNXBMFYSA-N 1 2 318.421 1.654 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccncn1 ZINC001326658383 861516789 /nfs/dbraw/zinc/51/67/89/861516789.db2.gz ITLVIXWSKJAMPS-HNNXBMFYSA-N 1 2 318.421 1.654 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+]2Cc2ccnc(OC)n2)C1=O ZINC001272636767 846436205 /nfs/dbraw/zinc/43/62/05/846436205.db2.gz HESCSNWCGQUXLV-INIZCTEOSA-N 1 2 302.378 1.238 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+]2Cc2ccnc(OC)n2)C1=O ZINC001272636767 846436207 /nfs/dbraw/zinc/43/62/07/846436207.db2.gz HESCSNWCGQUXLV-INIZCTEOSA-N 1 2 302.378 1.238 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)Cc2cccnc2)C1 ZINC001149541374 861698576 /nfs/dbraw/zinc/69/85/76/861698576.db2.gz NFLFPYIDHKKTQR-OAHLLOKOSA-N 1 2 323.824 1.584 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)Cc2cccnc2)C1 ZINC001149541374 861698588 /nfs/dbraw/zinc/69/85/88/861698588.db2.gz NFLFPYIDHKKTQR-OAHLLOKOSA-N 1 2 323.824 1.584 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077678642 846940922 /nfs/dbraw/zinc/94/09/22/846940922.db2.gz QFOKJKWIIUHCND-LBPRGKRZSA-N 1 2 306.410 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CC(C)(C)O)C1 ZINC001149631615 861765813 /nfs/dbraw/zinc/76/58/13/861765813.db2.gz KOPWANNCOLWFES-GFCCVEGCSA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CC(C)(C)O)C1 ZINC001149631615 861765821 /nfs/dbraw/zinc/76/58/21/861765821.db2.gz KOPWANNCOLWFES-GFCCVEGCSA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001077719285 847268540 /nfs/dbraw/zinc/26/85/40/847268540.db2.gz BBDKYBQUKUMGDN-LBPRGKRZSA-N 1 2 320.437 1.874 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3ncccc3F)C2)OCC1=O ZINC001272795787 847438741 /nfs/dbraw/zinc/43/87/41/847438741.db2.gz RAJITKAEZCEDAY-MRXNPFEDSA-N 1 2 305.353 1.210 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3ncccc3F)C2)OCC1=O ZINC001272795787 847438747 /nfs/dbraw/zinc/43/87/47/847438747.db2.gz RAJITKAEZCEDAY-MRXNPFEDSA-N 1 2 305.353 1.210 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3cc(Cl)ccn3)C2)OCC1=O ZINC001272966246 847717484 /nfs/dbraw/zinc/71/74/84/847717484.db2.gz PUNRRYPQIWIYFF-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3cc(Cl)ccn3)C2)OCC1=O ZINC001272966246 847717487 /nfs/dbraw/zinc/71/74/87/847717487.db2.gz PUNRRYPQIWIYFF-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cc(Cl)ccn2)C1=O ZINC001272966006 847717895 /nfs/dbraw/zinc/71/78/95/847717895.db2.gz NEQMWGUJDPIVPO-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cc(Cl)ccn2)C1=O ZINC001272966006 847717904 /nfs/dbraw/zinc/71/79/04/847717904.db2.gz NEQMWGUJDPIVPO-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc3ccccc3n1)C2 ZINC001273005989 847771039 /nfs/dbraw/zinc/77/10/39/847771039.db2.gz NHMMFPVZIROPIX-UHFFFAOYSA-N 1 2 321.380 1.281 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CC2(O)CCC2)C1 ZINC001149708112 861832292 /nfs/dbraw/zinc/83/22/92/861832292.db2.gz PCLVNVYKFQWAAA-CYBMUJFWSA-N 1 2 316.829 1.251 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CC2(O)CCC2)C1 ZINC001149708112 861832314 /nfs/dbraw/zinc/83/23/14/861832314.db2.gz PCLVNVYKFQWAAA-CYBMUJFWSA-N 1 2 316.829 1.251 20 30 DDEDLO Cn1ncc(C(F)(F)F)c1C[NH2+]CC1(C#N)CCOCC1 ZINC001364666231 847827045 /nfs/dbraw/zinc/82/70/45/847827045.db2.gz ZCWLQRLZYVGEGR-UHFFFAOYSA-N 1 2 302.300 1.849 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@H](NC(=O)C#CC(C)C)CC2)[nH]1 ZINC001327124759 861908165 /nfs/dbraw/zinc/90/81/65/861908165.db2.gz CGWIVZPGSUYJEK-CQSZACIVSA-N 1 2 303.410 1.243 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC(C)C)CC2)[nH]1 ZINC001327124759 861908177 /nfs/dbraw/zinc/90/81/77/861908177.db2.gz CGWIVZPGSUYJEK-CQSZACIVSA-N 1 2 303.410 1.243 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@@H](NC(=O)c3nc[nH]n3)C2)cc1 ZINC001034448220 848512164 /nfs/dbraw/zinc/51/21/64/848512164.db2.gz OUDFDIIBVGEKCQ-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@@H](NC(=O)c3nc[nH]n3)C2)cc1 ZINC001034448220 848512166 /nfs/dbraw/zinc/51/21/66/848512166.db2.gz OUDFDIIBVGEKCQ-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)c1cn(CC)nn1)C(C)C ZINC001411196405 850375882 /nfs/dbraw/zinc/37/58/82/850375882.db2.gz PJGMMTJEYUSKIG-UHFFFAOYSA-N 1 2 313.833 1.833 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)c1cn(CC)nn1)C(C)C ZINC001411196405 850375885 /nfs/dbraw/zinc/37/58/85/850375885.db2.gz PJGMMTJEYUSKIG-UHFFFAOYSA-N 1 2 313.833 1.833 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+](C)[C@@H](C)CNC(=O)[C@H](C)C#N ZINC001440905440 850579324 /nfs/dbraw/zinc/57/93/24/850579324.db2.gz BCUMQBSOGZWLIN-ZJUUUORDSA-N 1 2 311.817 1.478 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+](C)[C@@H](C)CNC(=O)[C@H](C)C#N ZINC001440905440 850579332 /nfs/dbraw/zinc/57/93/32/850579332.db2.gz BCUMQBSOGZWLIN-ZJUUUORDSA-N 1 2 311.817 1.478 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1cn(C(C)(C)C)nn1 ZINC001273597630 851130125 /nfs/dbraw/zinc/13/01/25/851130125.db2.gz VAXPKFXPCPKEEL-HUUCEWRRSA-N 1 2 317.437 1.784 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cn(C(C)(C)C)nn1 ZINC001273597630 851130131 /nfs/dbraw/zinc/13/01/31/851130131.db2.gz VAXPKFXPCPKEEL-HUUCEWRRSA-N 1 2 317.437 1.784 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)C1CCC1)CO2 ZINC001273703734 851244825 /nfs/dbraw/zinc/24/48/25/851244825.db2.gz FHBGGYKRAXYDII-ZBFHGGJFSA-N 1 2 322.449 1.585 20 30 DDEDLO Cc1conc1C[NH2+][C@]1(CO)CCCN(C(=O)C#CC(C)C)C1 ZINC001327562324 862274592 /nfs/dbraw/zinc/27/45/92/862274592.db2.gz GFPMGQLUTRHWRY-QGZVFWFLSA-N 1 2 319.405 1.086 20 30 DDEDLO N#CCCCC[N@@H+]1C[C@]2(F)CN(Cc3cnoc3)C(=O)[C@]2(F)C1 ZINC001274042158 851883262 /nfs/dbraw/zinc/88/32/62/851883262.db2.gz CADNQKJXJHXSHV-LSDHHAIUSA-N 1 2 324.331 1.443 20 30 DDEDLO N#CCCCC[N@H+]1C[C@]2(F)CN(Cc3cnoc3)C(=O)[C@]2(F)C1 ZINC001274042158 851883265 /nfs/dbraw/zinc/88/32/65/851883265.db2.gz CADNQKJXJHXSHV-LSDHHAIUSA-N 1 2 324.331 1.443 20 30 DDEDLO N#CCCCN1CC[C@@]2(CCC[N@@H+]2Cc2cc(C#N)ccn2)C1=O ZINC001274579103 852412999 /nfs/dbraw/zinc/41/29/99/852412999.db2.gz FFCMQSYIUSBPIA-SFHVURJKSA-N 1 2 323.400 1.824 20 30 DDEDLO N#CCCCN1CC[C@@]2(CCC[N@H+]2Cc2cc(C#N)ccn2)C1=O ZINC001274579103 852413003 /nfs/dbraw/zinc/41/30/03/852413003.db2.gz FFCMQSYIUSBPIA-SFHVURJKSA-N 1 2 323.400 1.824 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)C[C@H]2C)nc1 ZINC001274623230 852454651 /nfs/dbraw/zinc/45/46/51/852454651.db2.gz KAMSTKDEKSEFKX-HZPDHXFCSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)C[C@H]2C)nc1 ZINC001274623230 852454657 /nfs/dbraw/zinc/45/46/57/852454657.db2.gz KAMSTKDEKSEFKX-HZPDHXFCSA-N 1 2 314.433 1.914 20 30 DDEDLO N#CC1(C(=O)N2CC3(C2)C[NH+](Cc2ccco2)C3)CCOCC1 ZINC001275234605 852920640 /nfs/dbraw/zinc/92/06/40/852920640.db2.gz LDKJSVMYXQLVFI-UHFFFAOYSA-N 1 2 315.373 1.244 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)C(=O)N1CCC(C)CC1 ZINC001275946217 854000848 /nfs/dbraw/zinc/00/08/48/854000848.db2.gz RPJBHZPNJIBXJR-ZDUSSCGKSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)C(=O)N1CCC(C)CC1 ZINC001275946217 854000854 /nfs/dbraw/zinc/00/08/54/854000854.db2.gz RPJBHZPNJIBXJR-ZDUSSCGKSA-N 1 2 315.845 1.434 20 30 DDEDLO Cc1nn(C)cc1C[NH+]1CC2(CCN2Cc2cc(C#N)n(C)c2)C1 ZINC001276200890 854949906 /nfs/dbraw/zinc/94/99/06/854949906.db2.gz ROHSPZYXLJGSGE-UHFFFAOYSA-N 1 2 324.432 1.399 20 30 DDEDLO N#Cc1cc(CN2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)ccc1F ZINC001276238525 855027727 /nfs/dbraw/zinc/02/77/27/855027727.db2.gz MUAUZEUYJNOSLW-UHFFFAOYSA-N 1 2 311.364 1.738 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(O)CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001111045785 855140579 /nfs/dbraw/zinc/14/05/79/855140579.db2.gz SLLXLSOWQZSVGN-KRWDZBQOSA-N 1 2 318.421 1.425 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCCCC[N@@H+]1Cc1cnn(C)n1 ZINC001276299842 855145540 /nfs/dbraw/zinc/14/55/40/855145540.db2.gz NGUUOOJSUXNURC-INIZCTEOSA-N 1 2 317.437 1.335 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCCCC[N@H+]1Cc1cnn(C)n1 ZINC001276299842 855145542 /nfs/dbraw/zinc/14/55/42/855145542.db2.gz NGUUOOJSUXNURC-INIZCTEOSA-N 1 2 317.437 1.335 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nonc2C)[C@H](C)C1 ZINC001328238062 862798510 /nfs/dbraw/zinc/79/85/10/862798510.db2.gz DHFRNOPHTNSIJZ-TZMCWYRMSA-N 1 2 304.394 1.651 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nonc2C)[C@H](C)C1 ZINC001328238062 862798516 /nfs/dbraw/zinc/79/85/16/862798516.db2.gz DHFRNOPHTNSIJZ-TZMCWYRMSA-N 1 2 304.394 1.651 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072602903 857501978 /nfs/dbraw/zinc/50/19/78/857501978.db2.gz MWLWMMJDUNQMTC-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H](OC)C1CC1 ZINC001328469067 862992816 /nfs/dbraw/zinc/99/28/16/862992816.db2.gz ONWDEUMZFYONIW-QZTJIDSGSA-N 1 2 312.413 1.760 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H](OC)C1CC1 ZINC001328469067 862992827 /nfs/dbraw/zinc/99/28/27/862992827.db2.gz ONWDEUMZFYONIW-QZTJIDSGSA-N 1 2 312.413 1.760 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCC(F)(F)C3)n2CC)CC1 ZINC001121801072 858599706 /nfs/dbraw/zinc/59/97/06/858599706.db2.gz HYTHAVOEDFRQDE-CYBMUJFWSA-N 1 2 323.391 1.956 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1CCCOCC ZINC001122605831 858908681 /nfs/dbraw/zinc/90/86/81/858908681.db2.gz KQSSFIWONSCXRG-AWEZNQCLSA-N 1 2 305.426 1.541 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@H+]2C)n1CCCOCC ZINC001122605831 858908684 /nfs/dbraw/zinc/90/86/84/858908684.db2.gz KQSSFIWONSCXRG-AWEZNQCLSA-N 1 2 305.426 1.541 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H](Nc2cc[nH+]c(C)n2)C(C)(C)C1 ZINC001123971312 859504202 /nfs/dbraw/zinc/50/42/02/859504202.db2.gz DQPXXDUIXXBRQV-AWEZNQCLSA-N 1 2 316.405 1.474 20 30 DDEDLO N#CC1CN(Cc2cccc(OCC[NH+]3CCOCC3)c2)C1 ZINC001139759445 860472911 /nfs/dbraw/zinc/47/29/11/860472911.db2.gz FUXCCWUBWFEUCM-UHFFFAOYSA-N 1 2 301.390 1.353 20 30 DDEDLO C=CCn1cc(C[N@@H+]2Cc3nnn(CC)c3[C@H](COC)C2)cn1 ZINC001139767880 860476453 /nfs/dbraw/zinc/47/64/53/860476453.db2.gz COQHRNGBMLLDHB-AWEZNQCLSA-N 1 2 316.409 1.426 20 30 DDEDLO C=CCn1cc(C[N@H+]2Cc3nnn(CC)c3[C@H](COC)C2)cn1 ZINC001139767880 860476459 /nfs/dbraw/zinc/47/64/59/860476459.db2.gz COQHRNGBMLLDHB-AWEZNQCLSA-N 1 2 316.409 1.426 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cccc(O)c2Br)CC1 ZINC001140550851 860655325 /nfs/dbraw/zinc/65/53/25/860655325.db2.gz MFHMDLWLGCPBMW-UHFFFAOYSA-N 1 2 309.207 1.906 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC001325852564 860887664 /nfs/dbraw/zinc/88/76/64/860887664.db2.gz VQWWIOHHTOITIG-UHFFFAOYSA-N 1 2 314.389 1.384 20 30 DDEDLO CSc1nc(CN2CC[C@H]([NH+]3CCOCC3)C2)ccc1C#N ZINC001141939364 860974144 /nfs/dbraw/zinc/97/41/44/860974144.db2.gz OOVMFNBBIGYFBR-HNNXBMFYSA-N 1 2 318.446 1.582 20 30 DDEDLO CSc1nc(C[N@@H+]2CCC[C@H]2C(=O)N(C)C)ccc1C#N ZINC001141941260 860975941 /nfs/dbraw/zinc/97/59/41/860975941.db2.gz BPHOKSREFUTBBF-ZDUSSCGKSA-N 1 2 304.419 1.728 20 30 DDEDLO CSc1nc(C[N@H+]2CCC[C@H]2C(=O)N(C)C)ccc1C#N ZINC001141941260 860975945 /nfs/dbraw/zinc/97/59/45/860975945.db2.gz BPHOKSREFUTBBF-ZDUSSCGKSA-N 1 2 304.419 1.728 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@H+]1CCOC[C@H]1C)c1ccccc1 ZINC001325964284 860995358 /nfs/dbraw/zinc/99/53/58/860995358.db2.gz KBSIJDARQYILTO-GDBMZVCRSA-N 1 2 301.390 1.381 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@@H+]1CCOC[C@H]1C)c1ccccc1 ZINC001325964284 860995372 /nfs/dbraw/zinc/99/53/72/860995372.db2.gz KBSIJDARQYILTO-GDBMZVCRSA-N 1 2 301.390 1.381 20 30 DDEDLO CCCc1nc(C[NH2+]CCCNC(=O)c2ccc(C#N)[nH]2)no1 ZINC001156703411 863275141 /nfs/dbraw/zinc/27/51/41/863275141.db2.gz OKFYMLPJAWNTBL-UHFFFAOYSA-N 1 2 316.365 1.132 20 30 DDEDLO N#Cc1ccccc1CNc1cc(N2CCC[C@@H]2C(N)=O)nc[nH+]1 ZINC001156791789 863344973 /nfs/dbraw/zinc/34/49/73/863344973.db2.gz VMQIWKHXIQGGDV-CQSZACIVSA-N 1 2 322.372 1.414 20 30 DDEDLO N#Cc1ccccc1CNc1cc(N2CCC[C@@H]2C(N)=O)[nH+]cn1 ZINC001156791789 863344983 /nfs/dbraw/zinc/34/49/83/863344983.db2.gz VMQIWKHXIQGGDV-CQSZACIVSA-N 1 2 322.372 1.414 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001153161512 863738976 /nfs/dbraw/zinc/73/89/76/863738976.db2.gz ZCQDIHTYCRDGGJ-WDEREUQCSA-N 1 2 308.382 1.305 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)cn1 ZINC001153331594 863830304 /nfs/dbraw/zinc/83/03/04/863830304.db2.gz IGLJHIJXJQTSGO-CABCVRRESA-N 1 2 318.421 1.150 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)cn1 ZINC001153331594 863830307 /nfs/dbraw/zinc/83/03/07/863830307.db2.gz IGLJHIJXJQTSGO-CABCVRRESA-N 1 2 318.421 1.150 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)CC2=CCCCC2)C1 ZINC001329973548 863988318 /nfs/dbraw/zinc/98/83/18/863988318.db2.gz VKKCVRKMDYGVHV-CQSZACIVSA-N 1 2 317.433 1.063 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2c(C)nc3ccc(F)cn32)CC1 ZINC001154014065 864317479 /nfs/dbraw/zinc/31/74/79/864317479.db2.gz JZUTUXNVOACDAS-UHFFFAOYSA-N 1 2 302.353 1.726 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCCC[C@@H]1CN(C)CC#N ZINC001158571444 864738082 /nfs/dbraw/zinc/73/80/82/864738082.db2.gz JJQGEPSYGSYGRM-DZGCQCFKSA-N 1 2 303.410 1.425 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCCC[C@@H]1CN(C)CC#N ZINC001158571444 864738083 /nfs/dbraw/zinc/73/80/83/864738083.db2.gz JJQGEPSYGSYGRM-DZGCQCFKSA-N 1 2 303.410 1.425 20 30 DDEDLO COCc1noc([C@H](C)[NH2+][C@H](C)CN(C)C(=O)C#CC(C)C)n1 ZINC001331439118 865084760 /nfs/dbraw/zinc/08/47/60/865084760.db2.gz DNGNSRUWKIZDIZ-OLZOCXBDSA-N 1 2 322.409 1.373 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CC=C(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001159587687 865415575 /nfs/dbraw/zinc/41/55/75/865415575.db2.gz WPUPMBILZLWXRG-UONOGXRCSA-N 1 2 313.405 1.836 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CC=C(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001159587687 865415580 /nfs/dbraw/zinc/41/55/80/865415580.db2.gz WPUPMBILZLWXRG-UONOGXRCSA-N 1 2 313.405 1.836 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@]3(NC(=O)C#CC(C)C)CCC[C@@H]23)o1 ZINC001332087685 865567318 /nfs/dbraw/zinc/56/73/18/865567318.db2.gz CJZNDNSCJIXPGL-PBHICJAKSA-N 1 2 316.405 1.651 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@]3(NC(=O)C#CC(C)C)CCC[C@@H]23)o1 ZINC001332087685 865567325 /nfs/dbraw/zinc/56/73/25/865567325.db2.gz CJZNDNSCJIXPGL-PBHICJAKSA-N 1 2 316.405 1.651 20 30 DDEDLO C#CCOCCOCCNc1ccc(CC(=O)OCC)c[nH+]1 ZINC001160674047 866012145 /nfs/dbraw/zinc/01/21/45/866012145.db2.gz YDQUTCBANXBMOT-UHFFFAOYSA-N 1 2 306.362 1.266 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1coc(C)n1 ZINC001332648570 866021249 /nfs/dbraw/zinc/02/12/49/866021249.db2.gz QPPXQIYPCSJWAO-HNNXBMFYSA-N 1 2 323.437 1.836 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1coc(C)n1 ZINC001332648570 866021263 /nfs/dbraw/zinc/02/12/63/866021263.db2.gz QPPXQIYPCSJWAO-HNNXBMFYSA-N 1 2 323.437 1.836 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1ccc(Cl)c(C#N)n1 ZINC001160690394 866026824 /nfs/dbraw/zinc/02/68/24/866026824.db2.gz BLDHFHGRLMBLHN-LLVKDONJSA-N 1 2 319.752 1.536 20 30 DDEDLO N#Cc1c(Cl)ncnc1N[C@H]1CCC[C@@H]1[NH+]1CCOCC1 ZINC001160725433 866074525 /nfs/dbraw/zinc/07/45/25/866074525.db2.gz UVKRGBJXQXXTHA-RYUDHWBXSA-N 1 2 307.785 1.667 20 30 DDEDLO COc1nc(N[C@H]2CCC[C@H]2[NH+]2CCOCC2)c(F)cc1C#N ZINC001160726354 866077460 /nfs/dbraw/zinc/07/74/60/866077460.db2.gz SDORBYVOYZFRAW-UONOGXRCSA-N 1 2 320.368 1.766 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)C1CC[NH+](Cc2nnnn2C)CC1 ZINC001332949476 866281977 /nfs/dbraw/zinc/28/19/77/866281977.db2.gz GIDKMEDBKUEODU-CYBMUJFWSA-N 1 2 320.441 1.283 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCC(C)C ZINC001323286296 866500676 /nfs/dbraw/zinc/50/06/76/866500676.db2.gz DTTUMWLNHTWJKX-HZPDHXFCSA-N 1 2 321.465 1.531 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@H+]1[C@H](C)C(=O)NCC(C)C ZINC001323286296 866500686 /nfs/dbraw/zinc/50/06/86/866500686.db2.gz DTTUMWLNHTWJKX-HZPDHXFCSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CC[C@@H](NC(=O)Cc1cn2cccc(C)c2[nH+]1)C(=O)OCC ZINC001320419707 866721576 /nfs/dbraw/zinc/72/15/76/866721576.db2.gz HKARFQYMRASWNV-CQSZACIVSA-N 1 2 315.373 1.809 20 30 DDEDLO Cc1cc(C#N)cnc1NS(=O)(=O)c1ccc(C[NH3+])cc1 ZINC001161751527 866963329 /nfs/dbraw/zinc/96/33/29/866963329.db2.gz HENVGVWLUIQYLH-UHFFFAOYSA-N 1 2 302.359 1.521 20 30 DDEDLO CCCc1cc(C#N)c(NC[C@@H]([NH3+])C(=O)OCC)nc1Cl ZINC001161950645 867133286 /nfs/dbraw/zinc/13/32/86/867133286.db2.gz SICNLUHAYLUSRR-LLVKDONJSA-N 1 2 310.785 1.861 20 30 DDEDLO C=C[C@@H](COC)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC001321092369 867306061 /nfs/dbraw/zinc/30/60/61/867306061.db2.gz DBQYJKYYUHUXBW-HNNXBMFYSA-N 1 2 304.390 1.450 20 30 DDEDLO C=CCC1(C(=O)N(C)[C@H](C)CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001334370879 867521158 /nfs/dbraw/zinc/52/11/58/867521158.db2.gz USLCPRJGJJFZPB-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCn1cnnc1S[C@@H](C)C(=O)N1CC[NH+](CC)CC1 ZINC001321490989 867625483 /nfs/dbraw/zinc/62/54/83/867625483.db2.gz IVNMUTLTVBAAAS-LBPRGKRZSA-N 1 2 309.439 1.109 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001325124766 867794901 /nfs/dbraw/zinc/79/49/01/867794901.db2.gz YTXMTHYFKVDLAW-KRWDZBQOSA-N 1 2 321.421 1.701 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001325124766 867794911 /nfs/dbraw/zinc/79/49/11/867794911.db2.gz YTXMTHYFKVDLAW-KRWDZBQOSA-N 1 2 321.421 1.701 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](C)C[NH2+]Cc1nc(C2CCC2)no1 ZINC001321831332 867819714 /nfs/dbraw/zinc/81/97/14/867819714.db2.gz HYGCREIZQPQXMS-MEDUHNTESA-N 1 2 322.409 1.259 20 30 DDEDLO CC(C)Cc1noc(C[NH2+]C[C@H](C)NC(=O)C#CC(C)(C)C)n1 ZINC001321842373 867827879 /nfs/dbraw/zinc/82/78/79/867827879.db2.gz ONWIMJUIFMJSQF-ZDUSSCGKSA-N 1 2 320.437 1.912 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001335512037 868353492 /nfs/dbraw/zinc/35/34/92/868353492.db2.gz NHUSEOZZQYUJRE-CABCVRRESA-N 1 2 316.405 1.230 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cnn2cc(C)cnc12 ZINC001381590489 882250738 /nfs/dbraw/zinc/25/07/38/882250738.db2.gz VBMYRHPIUPKZFS-LBPRGKRZSA-N 1 2 321.812 1.840 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cnn2cc(C)cnc12 ZINC001381590489 882250757 /nfs/dbraw/zinc/25/07/57/882250757.db2.gz VBMYRHPIUPKZFS-LBPRGKRZSA-N 1 2 321.812 1.840 20 30 DDEDLO Cn1nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cc1C(F)(F)F ZINC001226243648 882302345 /nfs/dbraw/zinc/30/23/45/882302345.db2.gz ZXQCJKZBTQPZBQ-NTBMCGCHSA-N 1 2 303.284 1.430 20 30 DDEDLO Cn1nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cc1C(F)(F)F ZINC001226243648 882302358 /nfs/dbraw/zinc/30/23/58/882302358.db2.gz ZXQCJKZBTQPZBQ-NTBMCGCHSA-N 1 2 303.284 1.430 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001337435232 869486271 /nfs/dbraw/zinc/48/62/71/869486271.db2.gz BUBSTCGYNHMPQU-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001338117499 869813645 /nfs/dbraw/zinc/81/36/45/869813645.db2.gz QIMQFGVJDAELQJ-OLZOCXBDSA-N 1 2 304.394 1.201 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN([C@@H](C)c2csnn2)CC1 ZINC001316963503 870000629 /nfs/dbraw/zinc/00/06/29/870000629.db2.gz ULUWJIUYTLDYDW-ZDUSSCGKSA-N 1 2 323.466 1.299 20 30 DDEDLO CC(C)=C[C@H]1[C@H](C(=O)NCC[NH+]2CCN(CC#N)CC2)C1(C)C ZINC001316966182 870005641 /nfs/dbraw/zinc/00/56/41/870005641.db2.gz YVFIFVWJMMDYAB-JKSUJKDBSA-N 1 2 318.465 1.482 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H](NC(=O)c2cc(C)co2)C1 ZINC001316985002 870068753 /nfs/dbraw/zinc/06/87/53/870068753.db2.gz GWPIDWQIGVTJNN-QWHCGFSZSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)c2cc(C)co2)C1 ZINC001316985002 870068766 /nfs/dbraw/zinc/06/87/66/870068766.db2.gz GWPIDWQIGVTJNN-QWHCGFSZSA-N 1 2 305.378 1.083 20 30 DDEDLO Cc1noc(C[NH+]2CCC3(C[C@H]3NC(=O)C#CC(C)C)CC2)n1 ZINC001316996877 870098611 /nfs/dbraw/zinc/09/86/11/870098611.db2.gz SDWGOUYYGKAJBF-CQSZACIVSA-N 1 2 316.405 1.508 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)N[C@]2(C#N)CCSC2)[nH]n1 ZINC001339038096 870312870 /nfs/dbraw/zinc/31/28/70/870312870.db2.gz UJAWAURQTXLOJP-OCCSQVGLSA-N 1 2 305.407 1.305 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)N[C@]2(C#N)CCSC2)[nH]n1 ZINC001339038096 870312881 /nfs/dbraw/zinc/31/28/81/870312881.db2.gz UJAWAURQTXLOJP-OCCSQVGLSA-N 1 2 305.407 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cscn1 ZINC001317167329 870400398 /nfs/dbraw/zinc/40/03/98/870400398.db2.gz RVJMZVSZBMMNMF-LBPRGKRZSA-N 1 2 322.434 1.030 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)c1cscn1 ZINC001317167329 870400415 /nfs/dbraw/zinc/40/04/15/870400415.db2.gz RVJMZVSZBMMNMF-LBPRGKRZSA-N 1 2 322.434 1.030 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C1CC(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001339204036 870401075 /nfs/dbraw/zinc/40/10/75/870401075.db2.gz JVMSVHKHMDJLDD-UHFFFAOYSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@@H]2CCC[C@@H]2C1 ZINC001339531857 870541606 /nfs/dbraw/zinc/54/16/06/870541606.db2.gz VPUVSQBJZWLSEY-XGUBFFRZSA-N 1 2 317.437 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@@H]2CCC[C@@H]2C1 ZINC001339531857 870541614 /nfs/dbraw/zinc/54/16/14/870541614.db2.gz VPUVSQBJZWLSEY-XGUBFFRZSA-N 1 2 317.437 1.438 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4cncc(O)c4)C3)C2)cc1C#N ZINC001276411493 870588731 /nfs/dbraw/zinc/58/87/31/870588731.db2.gz JZORVHHUWYPMRH-UHFFFAOYSA-N 1 2 323.400 1.315 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001299057385 870866175 /nfs/dbraw/zinc/86/61/75/870866175.db2.gz UIMGOUPGGWVWFB-BFHYXJOUSA-N 1 2 316.405 1.587 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001299057385 870866183 /nfs/dbraw/zinc/86/61/83/870866183.db2.gz UIMGOUPGGWVWFB-BFHYXJOUSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCn1c(N(C)CCCC)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001340558267 871196072 /nfs/dbraw/zinc/19/60/72/871196072.db2.gz FGDFCMJMEMRKGN-CJNGLKHVSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CCn1c(N(C)CCCC)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001340558267 871196087 /nfs/dbraw/zinc/19/60/87/871196087.db2.gz FGDFCMJMEMRKGN-CJNGLKHVSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CCCC(=O)N(Cc1ccccc1)[C@@H]1C[N@@H+](CCF)C[C@H]1O ZINC001205103297 871365736 /nfs/dbraw/zinc/36/57/36/871365736.db2.gz QQJNVKBKXRSLST-IAGOWNOFSA-N 1 2 320.408 1.996 20 30 DDEDLO C=CCCC(=O)N(Cc1ccccc1)[C@@H]1C[N@H+](CCF)C[C@H]1O ZINC001205103297 871365756 /nfs/dbraw/zinc/36/57/56/871365756.db2.gz QQJNVKBKXRSLST-IAGOWNOFSA-N 1 2 320.408 1.996 20 30 DDEDLO CC(C)C[C@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2CC#N)n1cc[nH+]c1 ZINC001317738497 871454387 /nfs/dbraw/zinc/45/43/87/871454387.db2.gz GQXZYTRAIBRTEA-OWCLPIDISA-N 1 2 315.421 1.669 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)Cc2cncc(C)c2)CC1 ZINC001317819562 871547069 /nfs/dbraw/zinc/54/70/69/871547069.db2.gz ASJPHAJGZOPALZ-UHFFFAOYSA-N 1 2 316.449 1.195 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)CCCC1CCCC1 ZINC001317824526 871550793 /nfs/dbraw/zinc/55/07/93/871550793.db2.gz SGGLVQAVORODHW-UHFFFAOYSA-N 1 2 320.481 1.946 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@H](C)CC)C1 ZINC001317972542 871662891 /nfs/dbraw/zinc/66/28/91/871662891.db2.gz FZWJQUGIZJQSEZ-CABCVRRESA-N 1 2 309.454 1.838 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@H](C)CC)C1 ZINC001317972542 871662900 /nfs/dbraw/zinc/66/29/00/871662900.db2.gz FZWJQUGIZJQSEZ-CABCVRRESA-N 1 2 309.454 1.838 20 30 DDEDLO Cc1nnc([C@@H](C)[NH+]2CCC(NC(=O)[C@@H](C)C#N)CC2)s1 ZINC001226639416 882560363 /nfs/dbraw/zinc/56/03/63/882560363.db2.gz BXXIZHWPSAWSTD-VHSXEESVSA-N 1 2 307.423 1.648 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)C#CC3CC3)C2)c(F)c1 ZINC001318330743 871937203 /nfs/dbraw/zinc/93/72/03/871937203.db2.gz DOCIYDLVGUNKLG-MRXNPFEDSA-N 1 2 311.360 1.801 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)C#CC3CC3)C2)c(F)c1 ZINC001318330743 871937207 /nfs/dbraw/zinc/93/72/07/871937207.db2.gz DOCIYDLVGUNKLG-MRXNPFEDSA-N 1 2 311.360 1.801 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001318399191 871993711 /nfs/dbraw/zinc/99/37/11/871993711.db2.gz DODBJODMTJQAJW-RHSMWYFYSA-N 1 2 321.421 1.651 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001318399191 871993724 /nfs/dbraw/zinc/99/37/24/871993724.db2.gz DODBJODMTJQAJW-RHSMWYFYSA-N 1 2 321.421 1.651 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001206160887 872101111 /nfs/dbraw/zinc/10/11/11/872101111.db2.gz DORRBJSOLADDER-RBSFLKMASA-N 1 2 322.453 1.279 20 30 DDEDLO CCCC[C@@H](CC)C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001206160887 872101115 /nfs/dbraw/zinc/10/11/15/872101115.db2.gz DORRBJSOLADDER-RBSFLKMASA-N 1 2 322.453 1.279 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](CC(=O)NCc2ccco2)C[C@H]1C ZINC001206490024 872376004 /nfs/dbraw/zinc/37/60/04/872376004.db2.gz FSBGGWIVIITVBN-UKRRQHHQSA-N 1 2 319.405 1.299 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](CC(=O)NCc2ccco2)C[C@H]1C ZINC001206490024 872376024 /nfs/dbraw/zinc/37/60/24/872376024.db2.gz FSBGGWIVIITVBN-UKRRQHHQSA-N 1 2 319.405 1.299 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)n2)C[C@H]1C ZINC001206607324 872473198 /nfs/dbraw/zinc/47/31/98/872473198.db2.gz OCTQMQNVZFUTHH-TZMCWYRMSA-N 1 2 323.824 1.874 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)n2)C[C@H]1C ZINC001206607324 872473205 /nfs/dbraw/zinc/47/32/05/872473205.db2.gz OCTQMQNVZFUTHH-TZMCWYRMSA-N 1 2 323.824 1.874 20 30 DDEDLO C=CCN(CCOC)c1nnc([C@@H]2CCC[N@@H+]2C)n1CCOC ZINC001343216847 872540377 /nfs/dbraw/zinc/54/03/77/872540377.db2.gz KIYKVUSRXGJTFD-AWEZNQCLSA-N 1 2 323.441 1.330 20 30 DDEDLO C=CCN(CCOC)c1nnc([C@@H]2CCC[N@H+]2C)n1CCOC ZINC001343216847 872540390 /nfs/dbraw/zinc/54/03/90/872540390.db2.gz KIYKVUSRXGJTFD-AWEZNQCLSA-N 1 2 323.441 1.330 20 30 DDEDLO C=C(C)Cn1c(N(C)CC2CC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001343402597 872609993 /nfs/dbraw/zinc/60/99/93/872609993.db2.gz ABZOZURPQZMMOO-PBHICJAKSA-N 1 2 319.453 1.612 20 30 DDEDLO C=C(C)Cn1c(N(C)CC2CC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001343402597 872610002 /nfs/dbraw/zinc/61/00/02/872610002.db2.gz ABZOZURPQZMMOO-PBHICJAKSA-N 1 2 319.453 1.612 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N1CCCOCC1 ZINC001343458471 872634130 /nfs/dbraw/zinc/63/41/30/872634130.db2.gz XOEHEUCPFBVZNQ-CQSZACIVSA-N 1 2 305.426 1.848 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N1CCCOCC1 ZINC001343458471 872634140 /nfs/dbraw/zinc/63/41/40/872634140.db2.gz XOEHEUCPFBVZNQ-CQSZACIVSA-N 1 2 305.426 1.848 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)nn1C ZINC001206939920 872812777 /nfs/dbraw/zinc/81/27/77/872812777.db2.gz ZPIHMEPOBHGCFD-CZUORRHYSA-N 1 2 302.422 1.324 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)nn1C ZINC001206939920 872812780 /nfs/dbraw/zinc/81/27/80/872812780.db2.gz ZPIHMEPOBHGCFD-CZUORRHYSA-N 1 2 302.422 1.324 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]1CN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001344181863 872917569 /nfs/dbraw/zinc/91/75/69/872917569.db2.gz VKTOUAHGTKMBCB-CQSZACIVSA-N 1 2 316.405 1.205 20 30 DDEDLO Cn1cc(C[N@@H+]2CCn3ncc(Br)c3C2)cc1C#N ZINC001207107858 873025732 /nfs/dbraw/zinc/02/57/32/873025732.db2.gz CXARYEYRIVTIHH-UHFFFAOYSA-N 1 2 320.194 1.872 20 30 DDEDLO Cn1cc(C[N@H+]2CCn3ncc(Br)c3C2)cc1C#N ZINC001207107858 873025750 /nfs/dbraw/zinc/02/57/50/873025750.db2.gz CXARYEYRIVTIHH-UHFFFAOYSA-N 1 2 320.194 1.872 20 30 DDEDLO COC(=O)NC1C[NH+](Cc2ccc(N(C)CCC#N)cc2)C1 ZINC001344570524 873042031 /nfs/dbraw/zinc/04/20/31/873042031.db2.gz WWXBGKJVXXGSBC-UHFFFAOYSA-N 1 2 302.378 1.577 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC001344958683 873197019 /nfs/dbraw/zinc/19/70/19/873197019.db2.gz INVOTPXCGMBPTH-KBPBESRZSA-N 1 2 316.405 1.683 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)OCCCC)C2)C1 ZINC001276532011 873258697 /nfs/dbraw/zinc/25/86/97/873258697.db2.gz HZPXKBXKVIPKNI-DOTOQJQBSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)OCCCC)C2)C1 ZINC001276532011 873258713 /nfs/dbraw/zinc/25/87/13/873258713.db2.gz HZPXKBXKVIPKNI-DOTOQJQBSA-N 1 2 308.422 1.128 20 30 DDEDLO C=CCC1(O)C[NH+](Cc2ccc(O[C@@H](C)C(=O)OC)cc2)C1 ZINC001207798390 873575907 /nfs/dbraw/zinc/57/59/07/873575907.db2.gz KXMZECVWRLSEKC-ZDUSSCGKSA-N 1 2 305.374 1.750 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](CC)CC(C)C)C2)C1 ZINC001276549817 873653019 /nfs/dbraw/zinc/65/30/19/873653019.db2.gz WCNALMINYOYAJN-WMZOPIPTSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](CC)CC(C)C)C2)C1 ZINC001276549817 873653026 /nfs/dbraw/zinc/65/30/26/873653026.db2.gz WCNALMINYOYAJN-WMZOPIPTSA-N 1 2 306.450 1.995 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2CCCN(C(=O)C#CC(C)C)C2)n1 ZINC001208005789 873740571 /nfs/dbraw/zinc/74/05/71/873740571.db2.gz ODXNJXXZTXFJES-CYBMUJFWSA-N 1 2 304.394 1.372 20 30 DDEDLO COCC#CC(=O)N1CC[NH+]([C@@H](C)c2cccc(OC)c2)CC1 ZINC001347394882 874123220 /nfs/dbraw/zinc/12/32/20/874123220.db2.gz NYDWQWHDSVXJPE-HNNXBMFYSA-N 1 2 316.401 1.550 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+](Cc2csc(C)n2)CC1 ZINC001227076375 882815037 /nfs/dbraw/zinc/81/50/37/882815037.db2.gz KMFVGJAJJAZXOT-INIZCTEOSA-N 1 2 323.462 1.859 20 30 DDEDLO CCOc1nnc(C[NH2+][C@@H](CC)CNC(=O)[C@@H](C)C#N)s1 ZINC001378250837 874547302 /nfs/dbraw/zinc/54/73/02/874547302.db2.gz PEHMTLOALLZEDT-UWVGGRQHSA-N 1 2 311.411 1.081 20 30 DDEDLO C=CCC1(C(=O)NCc2coc(C[NH+](C)C)n2)CCOCC1 ZINC001348485174 874587461 /nfs/dbraw/zinc/58/74/61/874587461.db2.gz IADPYYOGHAYNAM-UHFFFAOYSA-N 1 2 307.394 1.725 20 30 DDEDLO C[C@@H](CC(C)(C)C)C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001209208567 874730679 /nfs/dbraw/zinc/73/06/79/874730679.db2.gz JYAKWLKLXUCHPM-BFHYXJOUSA-N 1 2 322.453 1.135 20 30 DDEDLO C[C@@H](CC(C)(C)C)C(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001209208567 874730675 /nfs/dbraw/zinc/73/06/75/874730675.db2.gz JYAKWLKLXUCHPM-BFHYXJOUSA-N 1 2 322.453 1.135 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001276677908 875217411 /nfs/dbraw/zinc/21/74/11/875217411.db2.gz LSTVLLIARPYCIX-ZSOGYDGISA-N 1 2 318.421 1.774 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001276677908 875217421 /nfs/dbraw/zinc/21/74/21/875217421.db2.gz LSTVLLIARPYCIX-ZSOGYDGISA-N 1 2 318.421 1.774 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CNC(=O)CC)C(C)(C)C1 ZINC001378645387 875309634 /nfs/dbraw/zinc/30/96/34/875309634.db2.gz RFFNAUDTSSTUSQ-NSHDSACASA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CNC(=O)CC)C(C)(C)C1 ZINC001378645387 875309650 /nfs/dbraw/zinc/30/96/50/875309650.db2.gz RFFNAUDTSSTUSQ-NSHDSACASA-N 1 2 301.818 1.092 20 30 DDEDLO CCc1cnc(C[NH+]2CCC(NC(=O)C#CC(C)C)CC2)o1 ZINC001227232906 882923792 /nfs/dbraw/zinc/92/37/92/882923792.db2.gz MBHSYYWYCIQTDM-UHFFFAOYSA-N 1 2 303.406 1.977 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cncc(F)c2)C[C@H]1C ZINC001211424761 875802876 /nfs/dbraw/zinc/80/28/76/875802876.db2.gz DTPMQRPROLBMHD-XJKCOSOUSA-N 1 2 321.396 1.748 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cncc(F)c2)C[C@H]1C ZINC001211424761 875802885 /nfs/dbraw/zinc/80/28/85/875802885.db2.gz DTPMQRPROLBMHD-XJKCOSOUSA-N 1 2 321.396 1.748 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213263001 875863779 /nfs/dbraw/zinc/86/37/79/875863779.db2.gz RJISTJNIXJMGTO-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213263001 875863791 /nfs/dbraw/zinc/86/37/91/875863791.db2.gz RJISTJNIXJMGTO-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)o2)CC1 ZINC001227342751 882977703 /nfs/dbraw/zinc/97/77/03/882977703.db2.gz WMWNHCWYJDFGTE-RYUDHWBXSA-N 1 2 320.393 1.058 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213626695 876001908 /nfs/dbraw/zinc/00/19/08/876001908.db2.gz FXSFDRKQPDPIJN-NUJGCVRESA-N 1 2 324.465 1.668 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213626695 876001925 /nfs/dbraw/zinc/00/19/25/876001925.db2.gz FXSFDRKQPDPIJN-NUJGCVRESA-N 1 2 324.465 1.668 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2csnn2)C1 ZINC001214466150 876350279 /nfs/dbraw/zinc/35/02/79/876350279.db2.gz GRACZBNWTGJJFQ-TZMCWYRMSA-N 1 2 306.435 1.668 20 30 DDEDLO CC#CC[NH2+]C1(CNC(=O)c2[nH]nc3c2C[C@H](C)CC3)CC1 ZINC001277209737 883021594 /nfs/dbraw/zinc/02/15/94/883021594.db2.gz SEHCHUXBMVVGMB-GFCCVEGCSA-N 1 2 300.406 1.410 20 30 DDEDLO C=CCOCC[NH2+][C@@H]1CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001214672341 876433416 /nfs/dbraw/zinc/43/34/16/876433416.db2.gz IWKMLLWLGXXKCH-MXWKQRLJSA-N 1 2 312.307 1.526 20 30 DDEDLO C=CCOCC[NH2+][C@@H]1CN(C(=O)[C@H](F)C(F)(F)F)C[C@H]1C ZINC001214672341 876433427 /nfs/dbraw/zinc/43/34/27/876433427.db2.gz IWKMLLWLGXXKCH-MXWKQRLJSA-N 1 2 312.307 1.526 20 30 DDEDLO C[C@H]1C[C@H]1C(=O)N1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC001374218890 912229111 /nfs/dbraw/zinc/22/91/11/912229111.db2.gz IFNWNZMBVFIYOB-WMLDXEAASA-N 1 2 313.401 1.889 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C=C(C)C ZINC001276780887 877448253 /nfs/dbraw/zinc/44/82/53/877448253.db2.gz AGIDXXQTBVUKLH-JKSUJKDBSA-N 1 2 321.465 1.956 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C=C(C)C ZINC001276780887 877448269 /nfs/dbraw/zinc/44/82/69/877448269.db2.gz AGIDXXQTBVUKLH-JKSUJKDBSA-N 1 2 321.465 1.956 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@@H](CC)C(C)(C)C)[C@H]2C1 ZINC001218958995 877834694 /nfs/dbraw/zinc/83/46/94/877834694.db2.gz KWBXRUIZWAQNCK-OWCLPIDISA-N 1 2 306.450 1.994 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@@H](CC)C(C)(C)C)[C@H]2C1 ZINC001218958995 877834700 /nfs/dbraw/zinc/83/47/00/877834700.db2.gz KWBXRUIZWAQNCK-OWCLPIDISA-N 1 2 306.450 1.994 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219120808 877938337 /nfs/dbraw/zinc/93/83/37/877938337.db2.gz AETBHEMRDYHNCR-SJORKVTESA-N 1 2 300.402 1.246 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219120808 877938344 /nfs/dbraw/zinc/93/83/44/877938344.db2.gz AETBHEMRDYHNCR-SJORKVTESA-N 1 2 300.402 1.246 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cccc(C(C)C)n2)C[C@@H]1O ZINC001219333217 878126677 /nfs/dbraw/zinc/12/66/77/878126677.db2.gz TXVAUFIUSAYCAW-SJORKVTESA-N 1 2 317.433 1.833 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(C(C)C)n2)C[C@@H]1O ZINC001219333217 878126683 /nfs/dbraw/zinc/12/66/83/878126683.db2.gz TXVAUFIUSAYCAW-SJORKVTESA-N 1 2 317.433 1.833 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CCCc2ccccc2)CC1 ZINC001300685164 878137633 /nfs/dbraw/zinc/13/76/33/878137633.db2.gz IFLJMAUJOLZDNZ-UHFFFAOYSA-N 1 2 323.444 1.975 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc[nH]3)n2CC2(C)CC2)CC1 ZINC001300695442 878163905 /nfs/dbraw/zinc/16/39/05/878163905.db2.gz AYEUTUJYZBHYBW-UHFFFAOYSA-N 1 2 324.432 1.829 20 30 DDEDLO CCC[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219389502 878168564 /nfs/dbraw/zinc/16/85/64/878168564.db2.gz DDIHCSNRXHTMIT-DFBGVHRSSA-N 1 2 321.446 1.717 20 30 DDEDLO CCC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219389502 878168576 /nfs/dbraw/zinc/16/85/76/878168576.db2.gz DDIHCSNRXHTMIT-DFBGVHRSSA-N 1 2 321.446 1.717 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001355190372 878457469 /nfs/dbraw/zinc/45/74/69/878457469.db2.gz WQCYMGXSXGYFKC-CYBMUJFWSA-N 1 2 304.394 1.013 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001355190372 878457480 /nfs/dbraw/zinc/45/74/80/878457480.db2.gz WQCYMGXSXGYFKC-CYBMUJFWSA-N 1 2 304.394 1.013 20 30 DDEDLO CC#CCCCC(=O)NCC[C@@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001355266909 878481737 /nfs/dbraw/zinc/48/17/37/878481737.db2.gz QSNFHNRQEASFQL-CYBMUJFWSA-N 1 2 304.394 1.157 20 30 DDEDLO Cc1cnc([C@H](C)[NH2+]C2(CNC(=O)c3ccc(C#N)[nH]3)CC2)o1 ZINC001380068789 878708895 /nfs/dbraw/zinc/70/88/95/878708895.db2.gz FKRSGBRMKHTJBE-NSHDSACASA-N 1 2 313.361 1.796 20 30 DDEDLO C#C[C@](C)([NH3+])C(=O)NCCCc1nc2ccc(Cl)cc2[nH]1 ZINC001220276982 878873117 /nfs/dbraw/zinc/87/31/17/878873117.db2.gz VVXXVIRLFOSCSD-HNNXBMFYSA-N 1 2 304.781 1.616 20 30 DDEDLO C#CCCCC(=O)NCCN(C(=O)Cc1[nH]c[nH+]c1C)C1CC1 ZINC001356601057 879124570 /nfs/dbraw/zinc/12/45/70/879124570.db2.gz FSVPKOCFOQHHPO-UHFFFAOYSA-N 1 2 316.405 1.171 20 30 DDEDLO CC(C)N(CCCNC(=O)Cc1[nH]cc[nH+]1)C(=O)C#CC1CC1 ZINC001356675479 879187967 /nfs/dbraw/zinc/18/79/67/879187967.db2.gz LXEKNBCRQSXBIU-UHFFFAOYSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001356737068 879254502 /nfs/dbraw/zinc/25/45/02/879254502.db2.gz OUKQMTOLOUMSOO-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221529891 879926527 /nfs/dbraw/zinc/92/65/27/879926527.db2.gz QNWSHYLTIPZPQV-ARFHVFGLSA-N 1 2 319.449 1.093 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221529891 879926543 /nfs/dbraw/zinc/92/65/43/879926543.db2.gz QNWSHYLTIPZPQV-ARFHVFGLSA-N 1 2 319.449 1.093 20 30 DDEDLO Cn1nccc1C[N@@H+]1CC[C@@H]2CN(C(=O)C#CC(C)(C)C)[C@@H]2C1 ZINC001221536551 879932695 /nfs/dbraw/zinc/93/26/95/879932695.db2.gz UEEXZCICKVHDKF-GDBMZVCRSA-N 1 2 314.433 1.502 20 30 DDEDLO Cn1nccc1C[N@H+]1CC[C@@H]2CN(C(=O)C#CC(C)(C)C)[C@@H]2C1 ZINC001221536551 879932704 /nfs/dbraw/zinc/93/27/04/879932704.db2.gz UEEXZCICKVHDKF-GDBMZVCRSA-N 1 2 314.433 1.502 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C(C)(C)C(C)C)[C@@H]2C1 ZINC001221574723 879949024 /nfs/dbraw/zinc/94/90/24/879949024.db2.gz INMONCSGMKZKSD-HUUCEWRRSA-N 1 2 321.465 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(C)C(C)C)[C@@H]2C1 ZINC001221574723 879949038 /nfs/dbraw/zinc/94/90/38/879949038.db2.gz INMONCSGMKZKSD-HUUCEWRRSA-N 1 2 321.465 1.504 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OCCOc2ccccc2C#N)C[N@@H+]1C ZINC001222423944 880458592 /nfs/dbraw/zinc/45/85/92/880458592.db2.gz GLJNWIHHFHQNHZ-KGLIPLIRSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OCCOc2ccccc2C#N)C[N@H+]1C ZINC001222423944 880458601 /nfs/dbraw/zinc/45/86/01/880458601.db2.gz GLJNWIHHFHQNHZ-KGLIPLIRSA-N 1 2 304.346 1.199 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](Cc2nc(CC)no2)CC1 ZINC001222613287 880599941 /nfs/dbraw/zinc/59/99/41/880599941.db2.gz VLHRIYSMJXGAIX-UHFFFAOYSA-N 1 2 306.410 1.926 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001287942268 912609885 /nfs/dbraw/zinc/60/98/85/912609885.db2.gz KKVLUFNWTIORJH-DGCLKSJQSA-N 1 2 304.394 1.332 20 30 DDEDLO C#CCCCC(=O)N1CC(N(C)C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001358732848 880825335 /nfs/dbraw/zinc/82/53/35/880825335.db2.gz IWRHPEGUBYMBIN-CYBMUJFWSA-N 1 2 316.405 1.061 20 30 DDEDLO C#CCCCC(=O)N1CC(N(C)C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001358732848 880825346 /nfs/dbraw/zinc/82/53/46/880825346.db2.gz IWRHPEGUBYMBIN-CYBMUJFWSA-N 1 2 316.405 1.061 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)C)n2C[C@@H](F)COC)CC1 ZINC001359029109 881159547 /nfs/dbraw/zinc/15/95/47/881159547.db2.gz OQMAYLMLWFYQQV-CQSZACIVSA-N 1 2 323.416 1.141 20 30 DDEDLO CC#CCCCC(=O)NCC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001224157927 881247730 /nfs/dbraw/zinc/24/77/30/881247730.db2.gz LSKZAUNUFCVLRK-UHFFFAOYSA-N 1 2 318.421 1.900 20 30 DDEDLO Cc1cc(C[NH+]2CC3(CN(c4cccc(C#N)c4)C3)C2)n(C)n1 ZINC001276958391 881289531 /nfs/dbraw/zinc/28/95/31/881289531.db2.gz MQNMDDSUGATEMM-UHFFFAOYSA-N 1 2 307.401 1.922 20 30 DDEDLO C=CCC(C)(C)C(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001229377522 883951954 /nfs/dbraw/zinc/95/19/54/883951954.db2.gz XPQNKPRYKVROTR-UHFFFAOYSA-N 1 2 305.426 1.498 20 30 DDEDLO CC(C)c1nc(C[NH2+][C@H](CNC(=O)[C@@H](C)C#N)C(C)C)no1 ZINC001374415810 912784162 /nfs/dbraw/zinc/78/41/62/912784162.db2.gz QFMIAKCVFWNQOP-NWDGAFQWSA-N 1 2 307.398 1.583 20 30 DDEDLO C=CCCC(=O)N1CC[C@]2(C1)CCC[N@H+](Cc1cnon1)C2 ZINC001277456672 884508178 /nfs/dbraw/zinc/50/81/78/884508178.db2.gz BEYJRDARJXFDCV-MRXNPFEDSA-N 1 2 304.394 1.850 20 30 DDEDLO C=CCCC(=O)N1CC[C@]2(C1)CCC[N@@H+](Cc1cnon1)C2 ZINC001277456672 884508186 /nfs/dbraw/zinc/50/81/86/884508186.db2.gz BEYJRDARJXFDCV-MRXNPFEDSA-N 1 2 304.394 1.850 20 30 DDEDLO CCC(=O)N[C@H](C)C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230569896 884599566 /nfs/dbraw/zinc/59/95/66/884599566.db2.gz YGUQKAMKCRCUBQ-UKRRQHHQSA-N 1 2 320.437 1.128 20 30 DDEDLO CCC(=O)N[C@H](C)C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230569896 884599578 /nfs/dbraw/zinc/59/95/78/884599578.db2.gz YGUQKAMKCRCUBQ-UKRRQHHQSA-N 1 2 320.437 1.128 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001288454810 912908785 /nfs/dbraw/zinc/90/87/85/912908785.db2.gz CDTIORJOOMPHRI-KBPBESRZSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NCCCC ZINC001231122660 885258894 /nfs/dbraw/zinc/25/88/94/885258894.db2.gz MOONJXHYTAPOAT-HUUCEWRRSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCCCC ZINC001231122660 885258911 /nfs/dbraw/zinc/25/89/11/885258911.db2.gz MOONJXHYTAPOAT-HUUCEWRRSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@@H](C)CCC ZINC001231179930 885334693 /nfs/dbraw/zinc/33/46/93/885334693.db2.gz XVIMHCCWXFTKBN-LSDHHAIUSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@@H](C)CCC ZINC001231179930 885334710 /nfs/dbraw/zinc/33/47/10/885334710.db2.gz XVIMHCCWXFTKBN-LSDHHAIUSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)c1cnccn1 ZINC001231240678 885418214 /nfs/dbraw/zinc/41/82/14/885418214.db2.gz NNCSPQPYNKJYLM-ZIAGYGMSSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)c1cnccn1 ZINC001231240678 885418224 /nfs/dbraw/zinc/41/82/24/885418224.db2.gz NNCSPQPYNKJYLM-ZIAGYGMSSA-N 1 2 304.394 1.273 20 30 DDEDLO COc1cccc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)[C@@H](C)C#N)n1 ZINC001231247085 885426560 /nfs/dbraw/zinc/42/65/60/885426560.db2.gz KSGLUQDRKRVUDS-GXTWGEPZSA-N 1 2 302.378 1.283 20 30 DDEDLO COc1cccc(C[N@H+]2CC[C@@H]2CN(C)C(=O)[C@@H](C)C#N)n1 ZINC001231247085 885426563 /nfs/dbraw/zinc/42/65/63/885426563.db2.gz KSGLUQDRKRVUDS-GXTWGEPZSA-N 1 2 302.378 1.283 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231247664 885429307 /nfs/dbraw/zinc/42/93/07/885429307.db2.gz QDHDEIXDUIRJFM-LSDHHAIUSA-N 1 2 320.437 1.103 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231247664 885429313 /nfs/dbraw/zinc/42/93/13/885429313.db2.gz QDHDEIXDUIRJFM-LSDHHAIUSA-N 1 2 320.437 1.103 20 30 DDEDLO CCOC(=O)CN1CC[NH+](Cc2ccc(C#N)cc2OC)CC1 ZINC001231616444 885763664 /nfs/dbraw/zinc/76/36/64/885763664.db2.gz DLMYLWFUOAPZST-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+]([C@@H]2CCCN(C(C)C)C2=O)CC1 ZINC001363245043 885807888 /nfs/dbraw/zinc/80/78/88/885807888.db2.gz PUMUUUSBIIYXDI-CYBMUJFWSA-N 1 2 307.394 1.165 20 30 DDEDLO Cn1cc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2cc(C#N)ccn2)cn1 ZINC001363276351 885887027 /nfs/dbraw/zinc/88/70/27/885887027.db2.gz ICWJTHLWDCRCNJ-MRXNPFEDSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cc(C(=O)NC[C@H]2CCC[N@H+]2Cc2cc(C#N)ccn2)cn1 ZINC001363276351 885887044 /nfs/dbraw/zinc/88/70/44/885887044.db2.gz ICWJTHLWDCRCNJ-MRXNPFEDSA-N 1 2 324.388 1.081 20 30 DDEDLO CN(C)C(=O)[C@@H]1CC12C[NH+](Cc1sc(N)c(C#N)c1Cl)C2 ZINC001277639958 886203352 /nfs/dbraw/zinc/20/33/52/886203352.db2.gz HCXRFJLMVBVNGO-VIFPVBQESA-N 1 2 324.837 1.765 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2[nH]nc3c2CCC3)C1 ZINC001232430453 886362249 /nfs/dbraw/zinc/36/22/49/886362249.db2.gz APXTUKFMBDBQGS-LBPRGKRZSA-N 1 2 304.394 1.775 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2[nH]nc3c2CCC3)C1 ZINC001232430453 886362263 /nfs/dbraw/zinc/36/22/63/886362263.db2.gz APXTUKFMBDBQGS-LBPRGKRZSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C=C(CC)CC ZINC001233612828 887154158 /nfs/dbraw/zinc/15/41/58/887154158.db2.gz ZFAUVNZHLSKZFH-GOEBONIOSA-N 1 2 319.449 1.403 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C=C(CC)CC ZINC001233612828 887154164 /nfs/dbraw/zinc/15/41/64/887154164.db2.gz ZFAUVNZHLSKZFH-GOEBONIOSA-N 1 2 319.449 1.403 20 30 DDEDLO CN(CC[N@H+](C)Cc1cc(C#N)ccc1F)C(=O)c1nc[nH]n1 ZINC001374542682 913210861 /nfs/dbraw/zinc/21/08/61/913210861.db2.gz DMCRZRSYASGDIJ-UHFFFAOYSA-N 1 2 316.340 1.019 20 30 DDEDLO CN(CC[N@@H+](C)Cc1cc(C#N)ccc1F)C(=O)c1nc[nH]n1 ZINC001374542682 913210878 /nfs/dbraw/zinc/21/08/78/913210878.db2.gz DMCRZRSYASGDIJ-UHFFFAOYSA-N 1 2 316.340 1.019 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CC ZINC001234604708 888135664 /nfs/dbraw/zinc/13/56/64/888135664.db2.gz UGKCJXUAAGDFFM-HNNXBMFYSA-N 1 2 309.454 1.744 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CC ZINC001234604708 888135672 /nfs/dbraw/zinc/13/56/72/888135672.db2.gz UGKCJXUAAGDFFM-HNNXBMFYSA-N 1 2 309.454 1.744 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1cnc(C)[nH]1 ZINC001235784649 888946272 /nfs/dbraw/zinc/94/62/72/888946272.db2.gz IYGWGSWUPIMFBM-UHFFFAOYSA-N 1 2 315.215 1.678 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1cnc(C)[nH]1 ZINC001235784649 888946280 /nfs/dbraw/zinc/94/62/80/888946280.db2.gz IYGWGSWUPIMFBM-UHFFFAOYSA-N 1 2 315.215 1.678 20 30 DDEDLO COC(=O)N1CC[NH+](Cc2cn(C)c3ccc(C#N)cc23)CC1 ZINC001237465004 889649203 /nfs/dbraw/zinc/64/92/03/889649203.db2.gz HGWNGWBQFFGLLO-UHFFFAOYSA-N 1 2 312.373 1.934 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001290079636 913379791 /nfs/dbraw/zinc/37/97/91/913379791.db2.gz FVYZUWUGUNJLCH-BETUJISGSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1[C@H]2CC[C@H]([C@H](O)C2)[C@H]1C(N)=O ZINC001278199336 890121233 /nfs/dbraw/zinc/12/12/33/890121233.db2.gz SVGTUIPVUPKEBY-HWMZRRJGSA-N 1 2 316.401 1.451 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1[C@H]2CC[C@H]([C@H](O)C2)[C@H]1C(N)=O ZINC001278199336 890121238 /nfs/dbraw/zinc/12/12/38/890121238.db2.gz SVGTUIPVUPKEBY-HWMZRRJGSA-N 1 2 316.401 1.451 20 30 DDEDLO COC(=O)C1(O)CC[NH+](Cc2ccc(Cl)c(C#N)c2)CC1 ZINC001238194984 890130873 /nfs/dbraw/zinc/13/08/73/890130873.db2.gz AFHSHXKFGZTCIZ-UHFFFAOYSA-N 1 2 308.765 1.712 20 30 DDEDLO Cn1ccnc1NC(=O)[C@H]1CC12C[NH+](Cc1ccccc1C#N)C2 ZINC001278240458 890248093 /nfs/dbraw/zinc/24/80/93/890248093.db2.gz USQHMODKQGHTAY-OAHLLOKOSA-N 1 2 321.384 1.752 20 30 DDEDLO N#CCNC[C@@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C1CCCC1 ZINC001278249683 890269353 /nfs/dbraw/zinc/26/93/53/890269353.db2.gz FWDFYLDVAROLIG-GOEBONIOSA-N 1 2 315.421 1.234 20 30 DDEDLO Cc1c(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)NC2(C#N)CCC2)cnn1C ZINC001278333723 891240426 /nfs/dbraw/zinc/24/04/26/891240426.db2.gz LZYNIYIMVBQWIK-PBHICJAKSA-N 1 2 313.405 1.255 20 30 DDEDLO Cc1c(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)NC2(C#N)CCC2)cnn1C ZINC001278333723 891240442 /nfs/dbraw/zinc/24/04/42/891240442.db2.gz LZYNIYIMVBQWIK-PBHICJAKSA-N 1 2 313.405 1.255 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cccc(F)c1C#N ZINC001365739920 891432472 /nfs/dbraw/zinc/43/24/72/891432472.db2.gz FSURNDLEFBYVDN-PHIMTYICSA-N 1 2 309.366 1.164 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cccc(F)c1C#N ZINC001365739920 891432481 /nfs/dbraw/zinc/43/24/81/891432481.db2.gz FSURNDLEFBYVDN-PHIMTYICSA-N 1 2 309.366 1.164 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCc1c[nH]c(C(C)C)[nH+]1 ZINC001365741240 891434211 /nfs/dbraw/zinc/43/42/11/891434211.db2.gz JJAFIZUCHPOGSN-NSHDSACASA-N 1 2 313.427 1.349 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCc1c[nH+]c(C(C)C)[nH]1 ZINC001365741240 891434216 /nfs/dbraw/zinc/43/42/16/891434216.db2.gz JJAFIZUCHPOGSN-NSHDSACASA-N 1 2 313.427 1.349 20 30 DDEDLO CN(CCNC(=O)CCCn1cc[nH+]c1)c1ccc(C#N)cn1 ZINC001365770941 891511025 /nfs/dbraw/zinc/51/10/25/891511025.db2.gz ANSPUWVHNULUDB-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H]([NH2+]Cc2cnsn2)C[C@@H]1C ZINC001278425074 892322896 /nfs/dbraw/zinc/32/28/96/892322896.db2.gz ZAWRBNUGDFNMTE-RYUDHWBXSA-N 1 2 310.423 1.210 20 30 DDEDLO CCN(CC[NH2+][C@@H](C)c1nnc(C)o1)C(=O)c1c[nH]c(C#N)c1 ZINC001374711925 913652727 /nfs/dbraw/zinc/65/27/27/913652727.db2.gz NZDCCFVWONUDIE-JTQLQIEISA-N 1 2 316.365 1.391 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001292412241 913665436 /nfs/dbraw/zinc/66/54/36/913665436.db2.gz FILNGOQYUKTMMM-AWEZNQCLSA-N 1 2 304.394 1.156 20 30 DDEDLO C[N@H+](CCNC(=O)Cc1ccon1)Cc1ccc(C#N)cc1F ZINC001366525229 893869220 /nfs/dbraw/zinc/86/92/20/893869220.db2.gz NZQXZIWCODLDAS-UHFFFAOYSA-N 1 2 316.336 1.476 20 30 DDEDLO C[N@@H+](CCNC(=O)Cc1ccon1)Cc1ccc(C#N)cc1F ZINC001366525229 893869237 /nfs/dbraw/zinc/86/92/37/893869237.db2.gz NZQXZIWCODLDAS-UHFFFAOYSA-N 1 2 316.336 1.476 20 30 DDEDLO C=C/C(C)=C/CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)[C@H]1C ZINC001278515493 893947131 /nfs/dbraw/zinc/94/71/31/893947131.db2.gz HZQGKANKBSKKHK-GEJCVMBQSA-N 1 2 318.421 1.118 20 30 DDEDLO C=C/C(C)=C/CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)[C@H]1C ZINC001278515493 893947147 /nfs/dbraw/zinc/94/71/47/893947147.db2.gz HZQGKANKBSKKHK-GEJCVMBQSA-N 1 2 318.421 1.118 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1cnn(C)c1OC ZINC001366641851 894404762 /nfs/dbraw/zinc/40/47/62/894404762.db2.gz RTJSSGLCWORQSY-UHFFFAOYSA-N 1 2 300.790 1.233 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1cnn(C)c1OC ZINC001366641851 894404772 /nfs/dbraw/zinc/40/47/72/894404772.db2.gz RTJSSGLCWORQSY-UHFFFAOYSA-N 1 2 300.790 1.233 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@H+](Cc1cc2n(n1)CCC2)C1CC1 ZINC001366739086 894770707 /nfs/dbraw/zinc/77/07/07/894770707.db2.gz QXJPBWXNCAEYNY-GFCCVEGCSA-N 1 2 301.394 1.070 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@@H+](Cc1cc2n(n1)CCC2)C1CC1 ZINC001366739086 894770719 /nfs/dbraw/zinc/77/07/19/894770719.db2.gz QXJPBWXNCAEYNY-GFCCVEGCSA-N 1 2 301.394 1.070 20 30 DDEDLO C=CCOC[C@H](O)CN1CC[C@@H]([NH+]2CCCCC2)C(F)(F)C1 ZINC001252471035 895184117 /nfs/dbraw/zinc/18/41/17/895184117.db2.gz BATRJIHLVNGPSL-HUUCEWRRSA-N 1 2 318.408 1.745 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCc2c(nnn2CC2CC2)[C@@H]1COC ZINC001252594773 895296314 /nfs/dbraw/zinc/29/63/14/895296314.db2.gz BIGSCEMUCOUPCY-ZBFHGGJFSA-N 1 2 320.437 1.561 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCc2c(nnn2CC2CC2)[C@@H]1COC ZINC001252594773 895296326 /nfs/dbraw/zinc/29/63/26/895296326.db2.gz BIGSCEMUCOUPCY-ZBFHGGJFSA-N 1 2 320.437 1.561 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCc2c(nnn2C)[C@@H]1COCC=C ZINC001252595589 895299211 /nfs/dbraw/zinc/29/92/11/895299211.db2.gz JCYWPPKCUHTTQA-ZFWWWQNUSA-N 1 2 306.410 1.244 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCc2c(nnn2C)[C@@H]1COCC=C ZINC001252595589 895299221 /nfs/dbraw/zinc/29/92/21/895299221.db2.gz JCYWPPKCUHTTQA-ZFWWWQNUSA-N 1 2 306.410 1.244 20 30 DDEDLO C=CC[C@H]([NH2+]C[C@H](O)CCOCc1ccccc1)C(=O)OC ZINC001253290835 895773032 /nfs/dbraw/zinc/77/30/32/895773032.db2.gz GMHRJJQQFXIYRA-CVEARBPZSA-N 1 2 307.390 1.662 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1(O)C[NH+](C[C@@H]2CC[C@H](C)O2)C1 ZINC001278872782 897027799 /nfs/dbraw/zinc/02/77/99/897027799.db2.gz SKGGRRQGWJDLIW-KBPBESRZSA-N 1 2 310.438 1.319 20 30 DDEDLO COCCC(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001389366483 897042727 /nfs/dbraw/zinc/04/27/27/897042727.db2.gz YREWGLRRHMTKBM-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO COCCC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001389366483 897042737 /nfs/dbraw/zinc/04/27/37/897042737.db2.gz YREWGLRRHMTKBM-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)NC(C(C)C)(C(C)C)C2=O)C1 ZINC001256576180 897481653 /nfs/dbraw/zinc/48/16/53/897481653.db2.gz ZIRKAEWTFBFQLB-AWEZNQCLSA-N 1 2 323.437 1.823 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)NC(C(C)C)(C(C)C)C2=O)C1 ZINC001256576180 897481657 /nfs/dbraw/zinc/48/16/57/897481657.db2.gz ZIRKAEWTFBFQLB-AWEZNQCLSA-N 1 2 323.437 1.823 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)C[N@H+](C)CC(=O)Nc1ccccc1F ZINC001367792483 897813284 /nfs/dbraw/zinc/81/32/84/897813284.db2.gz XVACTKZKJHERMV-RYUDHWBXSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)C[N@@H+](C)CC(=O)Nc1ccccc1F ZINC001367792483 897813291 /nfs/dbraw/zinc/81/32/91/897813291.db2.gz XVACTKZKJHERMV-RYUDHWBXSA-N 1 2 320.368 1.360 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@H](C)NC(=O)c1c[nH]c(C#N)c1 ZINC001367797213 897833381 /nfs/dbraw/zinc/83/33/81/897833381.db2.gz SPZRVMYSCADWAH-VIFPVBQESA-N 1 2 318.406 1.297 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@H](C)NC(=O)c1c[nH]c(C#N)c1 ZINC001367797213 897833397 /nfs/dbraw/zinc/83/33/97/897833397.db2.gz SPZRVMYSCADWAH-VIFPVBQESA-N 1 2 318.406 1.297 20 30 DDEDLO C[C@H]1C[C@@H](N2CC[NH2+]C[C@H]2C#N)CCN1C(=O)OC(C)(C)C ZINC001257651062 897902018 /nfs/dbraw/zinc/90/20/18/897902018.db2.gz WMKKIMTXYWDFFU-MELADBBJSA-N 1 2 308.426 1.572 20 30 DDEDLO C[C@@H](C[NH2+][C@@H](C)c1csnn1)NC(=O)c1c[nH]c(C#N)c1 ZINC001374881948 914228007 /nfs/dbraw/zinc/22/80/07/914228007.db2.gz BMAYTORKECGXOE-IUCAKERBSA-N 1 2 304.379 1.207 20 30 DDEDLO C=C(C)Cn1c(N2CCCCC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001263285964 900557774 /nfs/dbraw/zinc/55/77/74/900557774.db2.gz RTVNFCCGUAVGGX-RHSMWYFYSA-N 1 2 319.453 1.756 20 30 DDEDLO C=C(C)Cn1c(N2CCCCC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001263285964 900557785 /nfs/dbraw/zinc/55/77/85/900557785.db2.gz RTVNFCCGUAVGGX-RHSMWYFYSA-N 1 2 319.453 1.756 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@@H]2CCN(CC)C2=O)C1 ZINC001263811918 900726460 /nfs/dbraw/zinc/72/64/60/900726460.db2.gz CJNUARVZUGQIGO-LSDHHAIUSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@@H]2CCN(CC)C2=O)C1 ZINC001263811918 900726468 /nfs/dbraw/zinc/72/64/68/900726468.db2.gz CJNUARVZUGQIGO-LSDHHAIUSA-N 1 2 319.449 1.496 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCC[N@H+](C)CC(=C)Br ZINC001264984832 901394599 /nfs/dbraw/zinc/39/45/99/901394599.db2.gz BWXQGBVUFSBMRO-LBPRGKRZSA-N 1 2 317.227 1.371 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCC[N@@H+](C)CC(=C)Br ZINC001264984832 901394606 /nfs/dbraw/zinc/39/46/06/901394606.db2.gz BWXQGBVUFSBMRO-LBPRGKRZSA-N 1 2 317.227 1.371 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@@H+]([C@@H](C)C(=O)NCC(C)C)C1 ZINC001265213876 901720568 /nfs/dbraw/zinc/72/05/68/901720568.db2.gz ZZXSCXJYSSGEME-HOTGVXAUSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@H+]([C@@H](C)C(=O)NCC(C)C)C1 ZINC001265213876 901720578 /nfs/dbraw/zinc/72/05/78/901720578.db2.gz ZZXSCXJYSSGEME-HOTGVXAUSA-N 1 2 321.465 1.387 20 30 DDEDLO Cc1c(F)cccc1C(=O)NCC[NH+]1CCN(CC#N)CC1 ZINC001265258739 901781713 /nfs/dbraw/zinc/78/17/13/901781713.db2.gz PAFCTWLGYOWIEC-UHFFFAOYSA-N 1 2 304.369 1.005 20 30 DDEDLO C=C(C)C[NH+]1CCN(CCNC(=O)C(F)C(F)(F)F)CC1 ZINC001265259051 901782139 /nfs/dbraw/zinc/78/21/39/901782139.db2.gz WWJBPOAACDJPBN-LLVKDONJSA-N 1 2 311.323 1.197 20 30 DDEDLO C=C(C)C[NH+]1CCN(CCNC(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001265259051 901782142 /nfs/dbraw/zinc/78/21/42/901782142.db2.gz WWJBPOAACDJPBN-LLVKDONJSA-N 1 2 311.323 1.197 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](CC(=O)NCc2ccco2)C1 ZINC001265302205 901852867 /nfs/dbraw/zinc/85/28/67/901852867.db2.gz ZFQVFQVYYOJNIY-AWEZNQCLSA-N 1 2 319.405 1.443 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)NCc2ccco2)C1 ZINC001265302205 901852878 /nfs/dbraw/zinc/85/28/78/901852878.db2.gz ZFQVFQVYYOJNIY-AWEZNQCLSA-N 1 2 319.405 1.443 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001265301787 901854418 /nfs/dbraw/zinc/85/44/18/901854418.db2.gz RNRHEWYBHNAHOA-AWEZNQCLSA-N 1 2 304.394 1.532 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001265301787 901854426 /nfs/dbraw/zinc/85/44/26/901854426.db2.gz RNRHEWYBHNAHOA-AWEZNQCLSA-N 1 2 304.394 1.532 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]([NH2+]Cc1nc(C(F)F)no1)C1CC1 ZINC001370143727 902631024 /nfs/dbraw/zinc/63/10/24/902631024.db2.gz ILYOWGSNKHPQGK-VXNVDRBHSA-N 1 2 313.308 1.151 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@@H]1CCO[C@@H]1C ZINC001265945123 902638014 /nfs/dbraw/zinc/63/80/14/902638014.db2.gz ZPLWYBUZLAIOLF-VXGBXAGGSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@@H]1CCO[C@@H]1C ZINC001265945123 902638018 /nfs/dbraw/zinc/63/80/18/902638018.db2.gz ZPLWYBUZLAIOLF-VXGBXAGGSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001293699660 914645926 /nfs/dbraw/zinc/64/59/26/914645926.db2.gz ZOAYPKBZCCWXLJ-DYVFJYSZSA-N 1 2 318.421 1.614 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)CCCn2ccnc2C)C1 ZINC001266242868 903178062 /nfs/dbraw/zinc/17/80/62/903178062.db2.gz ISVFPVQQRAGKKY-INIZCTEOSA-N 1 2 302.422 1.576 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)CCCn2ccnc2C)C1 ZINC001266242868 903178072 /nfs/dbraw/zinc/17/80/72/903178072.db2.gz ISVFPVQQRAGKKY-INIZCTEOSA-N 1 2 302.422 1.576 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001279613974 903357625 /nfs/dbraw/zinc/35/76/25/903357625.db2.gz YAPVKRGTPXUCLD-DZGCQCFKSA-N 1 2 318.446 1.764 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001279613974 903357647 /nfs/dbraw/zinc/35/76/47/903357647.db2.gz YAPVKRGTPXUCLD-DZGCQCFKSA-N 1 2 318.446 1.764 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)C(C)C ZINC001316599796 903450470 /nfs/dbraw/zinc/45/04/70/903450470.db2.gz FSMDSEBACUBVPD-MRXNPFEDSA-N 1 2 321.465 1.580 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)C(C)C ZINC001316599796 903450481 /nfs/dbraw/zinc/45/04/81/903450481.db2.gz FSMDSEBACUBVPD-MRXNPFEDSA-N 1 2 321.465 1.580 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC(CC[N@H+](C)Cc2csnn2)CC1 ZINC001392188462 903481374 /nfs/dbraw/zinc/48/13/74/903481374.db2.gz OHMCUMPXACXRHR-LBPRGKRZSA-N 1 2 321.450 1.758 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC(CC[N@@H+](C)Cc2csnn2)CC1 ZINC001392188462 903481383 /nfs/dbraw/zinc/48/13/83/903481383.db2.gz OHMCUMPXACXRHR-LBPRGKRZSA-N 1 2 321.450 1.758 20 30 DDEDLO CCC[NH+]1CC2(C1)CN(C(=O)CC#Cc1ccccc1)CCO2 ZINC001280910284 904178903 /nfs/dbraw/zinc/17/89/03/904178903.db2.gz OCDYXVNXRBZLMC-UHFFFAOYSA-N 1 2 312.413 1.751 20 30 DDEDLO C[C@@H](CN(C)C(=O)C[C@H](C)n1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001281005174 904309538 /nfs/dbraw/zinc/30/95/38/904309538.db2.gz UVXAUMDBYURIKW-KBPBESRZSA-N 1 2 316.405 1.211 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@@H]1C ZINC001281124302 904429723 /nfs/dbraw/zinc/42/97/23/904429723.db2.gz AIFDUZCTFAJYIK-STQMWFEESA-N 1 2 304.394 1.332 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001282375114 905724284 /nfs/dbraw/zinc/72/42/84/905724284.db2.gz WWRVJSSWOXXDDJ-OLZOCXBDSA-N 1 2 318.421 1.660 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@]1(C)CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001393107879 906215449 /nfs/dbraw/zinc/21/54/49/906215449.db2.gz SXDJJOJEGDJUKS-PXAZEXFGSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@]1(C)CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001393107879 906215459 /nfs/dbraw/zinc/21/54/59/906215459.db2.gz SXDJJOJEGDJUKS-PXAZEXFGSA-N 1 2 314.364 1.938 20 30 DDEDLO CC[N@H+](CCNC(=O)[C@@H](C)C#N)CC(=O)Nc1ccc(C)cc1 ZINC001372288602 906924802 /nfs/dbraw/zinc/92/48/02/906924802.db2.gz NEGHHJOTQXYZFP-AWEZNQCLSA-N 1 2 316.405 1.531 20 30 DDEDLO CC[N@@H+](CCNC(=O)[C@@H](C)C#N)CC(=O)Nc1ccc(C)cc1 ZINC001372288602 906924807 /nfs/dbraw/zinc/92/48/07/906924807.db2.gz NEGHHJOTQXYZFP-AWEZNQCLSA-N 1 2 316.405 1.531 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001283332844 907151302 /nfs/dbraw/zinc/15/13/02/907151302.db2.gz GCKIVLFQHUQZGY-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001283332844 907151317 /nfs/dbraw/zinc/15/13/17/907151317.db2.gz GCKIVLFQHUQZGY-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](NC(=O)CCc3c[nH]c[nH+]3)C2)C1 ZINC001284033430 908353369 /nfs/dbraw/zinc/35/33/69/908353369.db2.gz QDTMDRCQNXEWEX-AWEZNQCLSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](NC(=O)CCc3c[nH+]c[nH]3)C2)C1 ZINC001284033430 908353381 /nfs/dbraw/zinc/35/33/81/908353381.db2.gz QDTMDRCQNXEWEX-AWEZNQCLSA-N 1 2 316.405 1.416 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001284693561 909363175 /nfs/dbraw/zinc/36/31/75/909363175.db2.gz ZZNSUWXMRCAOMS-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)o1 ZINC001284890718 909659083 /nfs/dbraw/zinc/65/90/83/909659083.db2.gz AHPSDYCQLDKFMH-GHMZBOCLSA-N 1 2 303.366 1.347 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)o1 ZINC001284890718 909659091 /nfs/dbraw/zinc/65/90/91/909659091.db2.gz AHPSDYCQLDKFMH-GHMZBOCLSA-N 1 2 303.366 1.347 20 30 DDEDLO C#CCCCCC(=O)N1CC(NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284909550 909711237 /nfs/dbraw/zinc/71/12/37/909711237.db2.gz CYLYEQISPVUGRV-CYBMUJFWSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCCC(=O)N1CC(NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284909550 909711249 /nfs/dbraw/zinc/71/12/49/909711249.db2.gz CYLYEQISPVUGRV-CYBMUJFWSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)CCC(=O)N1CC(NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001284921598 909738958 /nfs/dbraw/zinc/73/89/58/909738958.db2.gz DUOUEBPTMZERAR-UHFFFAOYSA-N 1 2 312.373 1.860 20 30 DDEDLO CO[C@H](C)CC(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001373504864 910037481 /nfs/dbraw/zinc/03/74/81/910037481.db2.gz ULQRWQPJCJXSIR-CYBMUJFWSA-N 1 2 301.390 1.531 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](NC(=O)Cc1[nH]cc[nH+]1)C(C)C ZINC001285329906 910364384 /nfs/dbraw/zinc/36/43/84/910364384.db2.gz AXTALADCMGQKDK-ZDUSSCGKSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](NC(=O)Cn1cc[nH+]c1)C(C)C ZINC001285345683 910386364 /nfs/dbraw/zinc/38/63/64/910386364.db2.gz UFUNJURHFSZIJR-WMLDXEAASA-N 1 2 320.437 1.742 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001285376308 910442304 /nfs/dbraw/zinc/44/23/04/910442304.db2.gz SCSORQKLKCKUSF-CHWSQXEVSA-N 1 2 306.410 1.708 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001285376308 910442313 /nfs/dbraw/zinc/44/23/13/910442313.db2.gz SCSORQKLKCKUSF-CHWSQXEVSA-N 1 2 306.410 1.708 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001285758809 911161597 /nfs/dbraw/zinc/16/15/97/911161597.db2.gz RNTUJSPGMARIOH-HNNXBMFYSA-N 1 2 318.421 1.430 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001285878595 911355367 /nfs/dbraw/zinc/35/53/67/911355367.db2.gz RTDXSRJRABNOQS-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001294798395 915377401 /nfs/dbraw/zinc/37/74/01/915377401.db2.gz MMCTVDCCJQZLIY-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001294798395 915377420 /nfs/dbraw/zinc/37/74/20/915377420.db2.gz MMCTVDCCJQZLIY-MGPQQGTHSA-N 1 2 316.405 1.587 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001295247040 915677538 /nfs/dbraw/zinc/67/75/38/915677538.db2.gz XCLGNIGZPNXCRO-AWEZNQCLSA-N 1 2 316.405 1.111 20 30 DDEDLO C=C1CC(C)(C(=O)N(CC)CCNC(=O)CCn2cc[nH+]c2)C1 ZINC001296343477 916349750 /nfs/dbraw/zinc/34/97/50/916349750.db2.gz QOBJRBOEFRORHS-UHFFFAOYSA-N 1 2 318.421 1.594 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@@H](C)C(C)C)CC1 ZINC001296824519 916576528 /nfs/dbraw/zinc/57/65/28/916576528.db2.gz JORXHYKHQDDBBI-INIZCTEOSA-N 1 2 323.481 1.847 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+](CCN(C)C(=O)C2CCC2)CC1 ZINC001296825212 916579706 /nfs/dbraw/zinc/57/97/06/916579706.db2.gz PJZNFKRLNWQBPK-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC[N@H+](Cc2nc(C3CC3)no2)C1 ZINC001376532709 918705620 /nfs/dbraw/zinc/70/56/20/918705620.db2.gz LYYGUEPMSRYVTJ-RYUDHWBXSA-N 1 2 317.393 1.435 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCC[N@@H+](Cc2nc(C3CC3)no2)C1 ZINC001376532709 918705631 /nfs/dbraw/zinc/70/56/31/918705631.db2.gz LYYGUEPMSRYVTJ-RYUDHWBXSA-N 1 2 317.393 1.435 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C/c1cnc2ccccc2n1 ZINC000493149810 282128846 /nfs/dbraw/zinc/12/88/46/282128846.db2.gz KMEZANCXLHYXAE-KBOKABMXSA-N 1 2 309.373 1.603 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)C[C@@H](C)O1 ZINC000330702625 529386263 /nfs/dbraw/zinc/38/62/63/529386263.db2.gz OZWXMCUOTNTUMC-CZUORRHYSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)C[C@@H](C)O1 ZINC000330702625 529386265 /nfs/dbraw/zinc/38/62/65/529386265.db2.gz OZWXMCUOTNTUMC-CZUORRHYSA-N 1 2 301.390 1.674 20 30 DDEDLO CO[C@@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@H]1C ZINC000252039158 282208408 /nfs/dbraw/zinc/20/84/08/282208408.db2.gz ZCGMZSZCXQJNJF-CZUORRHYSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@H]1C ZINC000252039158 282208411 /nfs/dbraw/zinc/20/84/11/282208411.db2.gz ZCGMZSZCXQJNJF-CZUORRHYSA-N 1 2 322.430 1.689 20 30 DDEDLO Cc1nc(CN2CC[NH+]([C@@H](C)C(=O)NC3CCCC3)CC2)no1 ZINC000328995284 539300219 /nfs/dbraw/zinc/30/02/19/539300219.db2.gz JLVVPIINKDOHQN-LBPRGKRZSA-N 1 2 321.425 1.783 20 30 DDEDLO CN1C[C@@H](NC(=O)c2cccc(C[NH+]3CCOCC3)c2)CC1=O ZINC000329206515 539301711 /nfs/dbraw/zinc/30/17/11/539301711.db2.gz YFXXUPMOAFWDIJ-HNNXBMFYSA-N 1 2 317.389 1.054 20 30 DDEDLO Cc1cnc([C@@H](C)NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)o1 ZINC000329541271 539305464 /nfs/dbraw/zinc/30/54/64/539305464.db2.gz DIOHXXSQFFJAMQ-KGLIPLIRSA-N 1 2 322.409 1.755 20 30 DDEDLO CO[C@@]1(C(F)(F)F)CCC[N@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC000615231817 362205163 /nfs/dbraw/zinc/20/51/63/362205163.db2.gz BPNLCHKJYNKDEC-ZDUSSCGKSA-N 1 2 319.327 1.592 20 30 DDEDLO CO[C@@]1(C(F)(F)F)CCC[N@@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC000615231817 362205169 /nfs/dbraw/zinc/20/51/69/362205169.db2.gz BPNLCHKJYNKDEC-ZDUSSCGKSA-N 1 2 319.327 1.592 20 30 DDEDLO CN1CC[C@@H](NC(=O)c2ccccc2C[NH+]2CCOCC2)C1=O ZINC000329962122 529792155 /nfs/dbraw/zinc/79/21/55/529792155.db2.gz RZEWIABMPSLURA-OAHLLOKOSA-N 1 2 317.389 1.054 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)NCc1ccc(C#N)c(F)c1 ZINC000459129576 529911104 /nfs/dbraw/zinc/91/11/04/529911104.db2.gz UWTPDKNKPKCROH-UHFFFAOYSA-N 1 2 320.368 1.171 20 30 DDEDLO Cc1ccn2cc(CNC(=O)N3CC[C@](F)(C#N)C3)[nH+]c2c1 ZINC000615540770 362328281 /nfs/dbraw/zinc/32/82/81/362328281.db2.gz YQSPHUWRNGJNAU-HNNXBMFYSA-N 1 2 301.325 1.790 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](CCn2cc(Cl)cn2)CC1 ZINC000459303418 233075447 /nfs/dbraw/zinc/07/54/47/233075447.db2.gz SQXXYMRUACLGFV-UHFFFAOYSA-N 1 2 316.796 1.625 20 30 DDEDLO N#Cc1cnccc1NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000601241012 358459744 /nfs/dbraw/zinc/45/97/44/358459744.db2.gz UUMUTYSXHDRQJG-UHFFFAOYSA-N 1 2 311.414 1.935 20 30 DDEDLO C=CC[N@H+](Cc1ccc2c(c1)CCO2)[C@@H]1CCS(=O)(=O)C1 ZINC000171937808 198011563 /nfs/dbraw/zinc/01/15/63/198011563.db2.gz YEEOICUDNHVKJY-OAHLLOKOSA-N 1 2 307.415 1.797 20 30 DDEDLO C=CC[N@@H+](Cc1ccc2c(c1)CCO2)[C@@H]1CCS(=O)(=O)C1 ZINC000171937808 198011564 /nfs/dbraw/zinc/01/15/64/198011564.db2.gz YEEOICUDNHVKJY-OAHLLOKOSA-N 1 2 307.415 1.797 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000029447084 352239072 /nfs/dbraw/zinc/23/90/72/352239072.db2.gz SWUDYCHSGKCFCU-ZDUSSCGKSA-N 1 2 315.421 1.378 20 30 DDEDLO C[C@H]([NH2+]Cc1nnnn1CC(F)(F)F)c1cccc(C#N)c1 ZINC000617540951 363168169 /nfs/dbraw/zinc/16/81/69/363168169.db2.gz GAYMMTPARMAHFZ-VIFPVBQESA-N 1 2 310.283 1.958 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000029857674 352246650 /nfs/dbraw/zinc/24/66/50/352246650.db2.gz NJPXCQQPIZTJTA-LBPRGKRZSA-N 1 2 316.380 1.486 20 30 DDEDLO C=CCOc1ccc(C(=O)NCCn2cc[nH+]c2)cc1OC ZINC000041979655 352321964 /nfs/dbraw/zinc/32/19/64/352321964.db2.gz MRWHCILISVUWOJ-UHFFFAOYSA-N 1 2 301.346 1.887 20 30 DDEDLO CCC[N@@H+](CC(=O)OC)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000172731641 198142978 /nfs/dbraw/zinc/14/29/78/198142978.db2.gz NCCMTODSANHSQJ-CQSZACIVSA-N 1 2 306.362 1.183 20 30 DDEDLO CCC[N@H+](CC(=O)OC)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000172731641 198142980 /nfs/dbraw/zinc/14/29/80/198142980.db2.gz NCCMTODSANHSQJ-CQSZACIVSA-N 1 2 306.362 1.183 20 30 DDEDLO N#Cc1ccc(NC(=O)C(=O)NCCNc2cccc[nH+]2)cc1 ZINC000049017218 352549183 /nfs/dbraw/zinc/54/91/83/352549183.db2.gz HONZHXZBSZGPAL-UHFFFAOYSA-N 1 2 309.329 1.120 20 30 DDEDLO Cc1ccccc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000060633779 352878254 /nfs/dbraw/zinc/87/82/54/352878254.db2.gz WWJSKYYCEALCQU-UHFFFAOYSA-N 1 2 301.390 1.683 20 30 DDEDLO COCC[NH+](CCOC)CC(=O)N(CCC#N)c1ccccc1 ZINC000060992388 352882831 /nfs/dbraw/zinc/88/28/31/352882831.db2.gz QYWUSEXJEJNYGR-UHFFFAOYSA-N 1 2 319.405 1.528 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C(=O)C(=O)N([C@@H](C)c2ccccc2)C1=O ZINC000491752922 234275177 /nfs/dbraw/zinc/27/51/77/234275177.db2.gz ZSCLMBBVLUIBKW-OLZOCXBDSA-N 1 2 313.357 1.449 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C(=O)C(=O)N([C@@H](C)c2ccccc2)C1=O ZINC000491752922 234275182 /nfs/dbraw/zinc/27/51/82/234275182.db2.gz ZSCLMBBVLUIBKW-OLZOCXBDSA-N 1 2 313.357 1.449 20 30 DDEDLO CC[N@H+](CCC#N)[C@H](C)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000081515017 353680526 /nfs/dbraw/zinc/68/05/26/353680526.db2.gz XVCHEOKQZGWRDB-ZIAGYGMSSA-N 1 2 317.389 1.567 20 30 DDEDLO CC[N@@H+](CCC#N)[C@H](C)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000081515017 353680527 /nfs/dbraw/zinc/68/05/27/353680527.db2.gz XVCHEOKQZGWRDB-ZIAGYGMSSA-N 1 2 317.389 1.567 20 30 DDEDLO COC(=O)[C@@](C)([NH2+]C[C@H](O)COc1ccc(C#N)cc1)C1CC1 ZINC000081210523 353656564 /nfs/dbraw/zinc/65/65/64/353656564.db2.gz FZFMHTWUNMMZGT-YOEHRIQHSA-N 1 2 318.373 1.229 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN(CCCO)CC(F)(F)F)n2c1 ZINC000093043744 353883761 /nfs/dbraw/zinc/88/37/61/353883761.db2.gz NFYRLARKHSLOPW-UHFFFAOYSA-N 1 2 312.295 1.953 20 30 DDEDLO C[C@@H]([NH2+]CCC(=O)N(C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000185186940 354280807 /nfs/dbraw/zinc/28/08/07/354280807.db2.gz KPKOBYZPHJEDBR-LLVKDONJSA-N 1 2 307.398 1.780 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CCOC[C@H]2c2ccccc2)C1 ZINC000329688364 283022813 /nfs/dbraw/zinc/02/28/13/283022813.db2.gz CBTBTNSWOZALRF-CVEARBPZSA-N 1 2 319.405 1.305 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CCOC[C@H]2c2ccccc2)C1 ZINC000329688364 283022815 /nfs/dbraw/zinc/02/28/15/283022815.db2.gz CBTBTNSWOZALRF-CVEARBPZSA-N 1 2 319.405 1.305 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[NH+]2CCC(CCO)CC2)c1 ZINC000400978589 354663287 /nfs/dbraw/zinc/66/32/87/354663287.db2.gz HQXUWSNLQIBYNN-UHFFFAOYSA-N 1 2 322.430 1.426 20 30 DDEDLO C=CCCn1cc(C(=O)N2CC[C@H](c3[nH+]ccn3C)C2)nn1 ZINC000579944503 354725153 /nfs/dbraw/zinc/72/51/53/354725153.db2.gz WXXBGUPMFKUFMV-LBPRGKRZSA-N 1 2 300.366 1.217 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CCc2c[nH+]cn2C1 ZINC000587045288 354879500 /nfs/dbraw/zinc/87/95/00/354879500.db2.gz LFBPCLDUMBIOGT-GXTWGEPZSA-N 1 2 310.357 1.653 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC[C@H](OCC3CC3)C2)cc1 ZINC000494708776 235076294 /nfs/dbraw/zinc/07/62/94/235076294.db2.gz MCVRVVPLMVPHAC-DLBZAZTESA-N 1 2 313.401 1.976 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC[C@H](OCC3CC3)C2)cc1 ZINC000494708776 235076295 /nfs/dbraw/zinc/07/62/95/235076295.db2.gz MCVRVVPLMVPHAC-DLBZAZTESA-N 1 2 313.401 1.976 20 30 DDEDLO N#Cc1ncn(-c2ccccc2NC(=O)CCCn2cc[nH+]c2)n1 ZINC000589802131 355020902 /nfs/dbraw/zinc/02/09/02/355020902.db2.gz LBGRFSIWVYTILQ-UHFFFAOYSA-N 1 2 321.344 1.754 20 30 DDEDLO CCC[C@H](C#N)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000589835108 355023412 /nfs/dbraw/zinc/02/34/12/355023412.db2.gz UBZOTCPETMOLSF-TZMCWYRMSA-N 1 2 319.409 1.292 20 30 DDEDLO CCC[C@H](C#N)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000589835108 355023416 /nfs/dbraw/zinc/02/34/16/355023416.db2.gz UBZOTCPETMOLSF-TZMCWYRMSA-N 1 2 319.409 1.292 20 30 DDEDLO C=C[C@@H]([NH2+][C@H](C)C1CCN(CC(F)(F)F)CC1)C(=O)OC ZINC000590180854 355056722 /nfs/dbraw/zinc/05/67/22/355056722.db2.gz JGLOXJRHUCGOGH-ZYHUDNBSSA-N 1 2 308.344 1.966 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000590745160 355160822 /nfs/dbraw/zinc/16/08/22/355160822.db2.gz BQPBGHLYUVOLMM-UHFFFAOYSA-N 1 2 321.446 1.861 20 30 DDEDLO COCC(COC)N1CC[NH+](Cc2ccc(C#N)cc2C)CC1 ZINC000591041621 355237870 /nfs/dbraw/zinc/23/78/70/355237870.db2.gz KWDMKOYSXDRJJT-UHFFFAOYSA-N 1 2 317.433 1.646 20 30 DDEDLO CCC(=O)N1CC[N@H+](C[C@@H](O)COc2ccc(CC#N)cc2)C1 ZINC000591852504 355395241 /nfs/dbraw/zinc/39/52/41/355395241.db2.gz NZQXCIAKJUYHCX-OAHLLOKOSA-N 1 2 317.389 1.004 20 30 DDEDLO CCC(=O)N1CC[N@@H+](C[C@@H](O)COc2ccc(CC#N)cc2)C1 ZINC000591852504 355395243 /nfs/dbraw/zinc/39/52/43/355395243.db2.gz NZQXCIAKJUYHCX-OAHLLOKOSA-N 1 2 317.389 1.004 20 30 DDEDLO CCOC(=O)C[C@H]1C[C@@H](OC)C[N@@H+]1Cc1ccncc1C#N ZINC000592107491 355501835 /nfs/dbraw/zinc/50/18/35/355501835.db2.gz JKMAKKLQQAJZNW-HUUCEWRRSA-N 1 2 303.362 1.496 20 30 DDEDLO CCOC(=O)C[C@H]1C[C@@H](OC)C[N@H+]1Cc1ccncc1C#N ZINC000592107491 355501836 /nfs/dbraw/zinc/50/18/36/355501836.db2.gz JKMAKKLQQAJZNW-HUUCEWRRSA-N 1 2 303.362 1.496 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@H](C)OC2(CCCC2)C1 ZINC000592191518 355531136 /nfs/dbraw/zinc/53/11/36/355531136.db2.gz DKOCVTGPLZQWRC-KGLIPLIRSA-N 1 2 305.422 1.971 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@H](C)OC2(CCCC2)C1 ZINC000592191518 355531138 /nfs/dbraw/zinc/53/11/38/355531138.db2.gz DKOCVTGPLZQWRC-KGLIPLIRSA-N 1 2 305.422 1.971 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](O)C[N@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000592208707 355539587 /nfs/dbraw/zinc/53/95/87/355539587.db2.gz UVOFSUYWAWHNRK-TZMCWYRMSA-N 1 2 308.765 1.567 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](O)C[N@@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000592208707 355539590 /nfs/dbraw/zinc/53/95/90/355539590.db2.gz UVOFSUYWAWHNRK-TZMCWYRMSA-N 1 2 308.765 1.567 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[NH+]1CC(C)(C(N)=O)C1 ZINC000592136250 355509048 /nfs/dbraw/zinc/50/90/48/355509048.db2.gz UIHJDZCYHSSDCQ-SECBINFHSA-N 1 2 320.780 1.346 20 30 DDEDLO N#CCCOCC[N@H+]1Cc2ccccc2C[C@H]1C(=O)NC1CC1 ZINC000592141702 355509998 /nfs/dbraw/zinc/50/99/98/355509998.db2.gz AKLHHEAXVFALBR-KRWDZBQOSA-N 1 2 313.401 1.622 20 30 DDEDLO N#CCCOCC[N@@H+]1Cc2ccccc2C[C@H]1C(=O)NC1CC1 ZINC000592141702 355510001 /nfs/dbraw/zinc/51/00/01/355510001.db2.gz AKLHHEAXVFALBR-KRWDZBQOSA-N 1 2 313.401 1.622 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2nc(-c3ccc(F)cc3)no2)C1 ZINC000592146254 355511687 /nfs/dbraw/zinc/51/16/87/355511687.db2.gz ARGFMBWNPXWROJ-HNNXBMFYSA-N 1 2 302.309 1.726 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2nc(-c3ccc(F)cc3)no2)C1 ZINC000592146254 355511689 /nfs/dbraw/zinc/51/16/89/355511689.db2.gz ARGFMBWNPXWROJ-HNNXBMFYSA-N 1 2 302.309 1.726 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)NCCCc2ccccc2)C1 ZINC000592147366 355512689 /nfs/dbraw/zinc/51/26/89/355512689.db2.gz KPDVLJRVHDNATP-KRWDZBQOSA-N 1 2 301.390 1.086 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)NCCCc2ccccc2)C1 ZINC000592147366 355512692 /nfs/dbraw/zinc/51/26/92/355512692.db2.gz KPDVLJRVHDNATP-KRWDZBQOSA-N 1 2 301.390 1.086 20 30 DDEDLO CCc1ccc(NC(=O)[C@@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592147846 355514380 /nfs/dbraw/zinc/51/43/80/355514380.db2.gz OYOJZUNIWJZGAL-DYVFJYSZSA-N 1 2 301.390 1.926 20 30 DDEDLO CCc1ccc(NC(=O)[C@@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592147846 355514381 /nfs/dbraw/zinc/51/43/81/355514381.db2.gz OYOJZUNIWJZGAL-DYVFJYSZSA-N 1 2 301.390 1.926 20 30 DDEDLO COc1ccc(C)cc1NC(=O)[C@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149046 355514954 /nfs/dbraw/zinc/51/49/54/355514954.db2.gz HGMFXSXNFXPGHC-GUYCJALGSA-N 1 2 317.389 1.681 20 30 DDEDLO COc1ccc(C)cc1NC(=O)[C@H](C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149046 355514956 /nfs/dbraw/zinc/51/49/56/355514956.db2.gz HGMFXSXNFXPGHC-GUYCJALGSA-N 1 2 317.389 1.681 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CC[C@H](C(=O)NCc2ccccc2)C1 ZINC000592155550 355520911 /nfs/dbraw/zinc/52/09/11/355520911.db2.gz ORVSTMHYBXKVLW-GJZGRUSLSA-N 1 2 302.374 1.352 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CC[C@H](C(=O)NCc2ccccc2)C1 ZINC000592155550 355520916 /nfs/dbraw/zinc/52/09/16/355520916.db2.gz ORVSTMHYBXKVLW-GJZGRUSLSA-N 1 2 302.374 1.352 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@H](C(=O)OC)[C@@H](c2ccccc2)C1 ZINC000593089795 355792048 /nfs/dbraw/zinc/79/20/48/355792048.db2.gz ARDUZHNINSZQMJ-QLFBSQMISA-N 1 2 303.358 1.603 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@H](C(=O)OC)[C@@H](c2ccccc2)C1 ZINC000593089795 355792052 /nfs/dbraw/zinc/79/20/52/355792052.db2.gz ARDUZHNINSZQMJ-QLFBSQMISA-N 1 2 303.358 1.603 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(-c2ccccn2)nc1 ZINC000593153221 355812695 /nfs/dbraw/zinc/81/26/95/355812695.db2.gz DZBNCRZWMSCRNF-KRWDZBQOSA-N 1 2 309.373 1.717 20 30 DDEDLO CC(=O)NC[C@H](CC(=O)N[C@](C)(C#N)C[NH+](C)C)CC(C)C ZINC000593153269 355813186 /nfs/dbraw/zinc/81/31/86/355813186.db2.gz JKNDNALTAWIFIS-GOEBONIOSA-N 1 2 310.442 1.135 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNS(=O)(=O)c1ccsc1C#N ZINC000593574650 355931015 /nfs/dbraw/zinc/93/10/15/355931015.db2.gz VSMGZILTZYDFPC-UHFFFAOYSA-N 1 2 324.431 1.951 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)[C@@H](C)Cc1ccc(C#N)cc1 ZINC000594235692 356147304 /nfs/dbraw/zinc/14/73/04/356147304.db2.gz ZSPSYIIFPKUYQO-GJZGRUSLSA-N 1 2 315.417 1.574 20 30 DDEDLO COC(=O)c1ccc(N[C@H]2CCN(c3cccc[nH+]3)C2)c(C#N)n1 ZINC000594568754 356252233 /nfs/dbraw/zinc/25/22/33/356252233.db2.gz SNIYQWKPRIFKTO-LBPRGKRZSA-N 1 2 323.356 1.826 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)NC(=O)NC[C@@H]1COCC[N@H+]1C ZINC000624210170 366239799 /nfs/dbraw/zinc/23/97/99/366239799.db2.gz FICZRMKOZZDPKD-DLBZAZTESA-N 1 2 317.433 1.804 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)NC(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000624210170 366239801 /nfs/dbraw/zinc/23/98/01/366239801.db2.gz FICZRMKOZZDPKD-DLBZAZTESA-N 1 2 317.433 1.804 20 30 DDEDLO C[C@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)[C@H]1CCCOC1 ZINC000329493512 222985493 /nfs/dbraw/zinc/98/54/93/222985493.db2.gz IBUNFNSTUNMCGR-KBPBESRZSA-N 1 2 313.442 1.416 20 30 DDEDLO Cc1ccc(NC(=O)NC[C@@H]2CCCCS2(=O)=O)c(C)[nH+]1 ZINC000329503481 222986690 /nfs/dbraw/zinc/98/66/90/222986690.db2.gz GUGVWBIYKADLDM-LBPRGKRZSA-N 1 2 311.407 1.992 20 30 DDEDLO N#Cc1ccc(C[N@@H+](CC(=O)Nc2cnccn2)C2CC2)cc1 ZINC000595297324 356438560 /nfs/dbraw/zinc/43/85/60/356438560.db2.gz REZNFKNMWJTPAJ-UHFFFAOYSA-N 1 2 307.357 1.951 20 30 DDEDLO N#Cc1ccc(C[N@H+](CC(=O)Nc2cnccn2)C2CC2)cc1 ZINC000595297324 356438564 /nfs/dbraw/zinc/43/85/64/356438564.db2.gz REZNFKNMWJTPAJ-UHFFFAOYSA-N 1 2 307.357 1.951 20 30 DDEDLO Cc1nc(NC(=O)[C@@H]2C[N@H+](C)CCO2)sc1SCC#N ZINC000081314820 192249491 /nfs/dbraw/zinc/24/94/91/192249491.db2.gz OYDFTAIWHGEUPC-VIFPVBQESA-N 1 2 312.420 1.336 20 30 DDEDLO Cc1nc(NC(=O)[C@@H]2C[N@@H+](C)CCO2)sc1SCC#N ZINC000081314820 192249494 /nfs/dbraw/zinc/24/94/94/192249494.db2.gz OYDFTAIWHGEUPC-VIFPVBQESA-N 1 2 312.420 1.336 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1ccc(C(=O)OC)cc1)[C@@H](C)COC ZINC000595645603 356589991 /nfs/dbraw/zinc/58/99/91/356589991.db2.gz GDIAAPNKGDRGMD-ZDUSSCGKSA-N 1 2 320.389 1.935 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1ccc(C(=O)OC)cc1)[C@@H](C)COC ZINC000595645603 356589995 /nfs/dbraw/zinc/58/99/95/356589995.db2.gz GDIAAPNKGDRGMD-ZDUSSCGKSA-N 1 2 320.389 1.935 20 30 DDEDLO C[C@H](NC(=O)NCc1ccc(C#N)c(F)c1)c1[nH+]ccn1C ZINC000595644750 356590146 /nfs/dbraw/zinc/59/01/46/356590146.db2.gz FECGOZCGTKHKOQ-JTQLQIEISA-N 1 2 301.325 1.991 20 30 DDEDLO COC(=O)C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)C1CCCCC1 ZINC000595662737 356598339 /nfs/dbraw/zinc/59/83/39/356598339.db2.gz ACXACLABOVUAJO-QGZVFWFLSA-N 1 2 321.421 1.603 20 30 DDEDLO COC(=O)C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C1CCCCC1 ZINC000595662737 356598343 /nfs/dbraw/zinc/59/83/43/356598343.db2.gz ACXACLABOVUAJO-QGZVFWFLSA-N 1 2 321.421 1.603 20 30 DDEDLO COC(=O)[C@](C)(CCF)[NH2+]CCC(=O)Nc1ccc(C#N)cc1 ZINC000595776105 356647959 /nfs/dbraw/zinc/64/79/59/356647959.db2.gz PCWFXRXJFRHRSA-INIZCTEOSA-N 1 2 321.352 1.768 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@@H+]1CCOc1cccc(C#N)c1 ZINC000595800837 356655966 /nfs/dbraw/zinc/65/59/66/356655966.db2.gz JEWCGBKHIVSKQB-QGZVFWFLSA-N 1 2 318.373 1.591 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@H+]1CCOc1cccc(C#N)c1 ZINC000595800837 356655970 /nfs/dbraw/zinc/65/59/70/356655970.db2.gz JEWCGBKHIVSKQB-QGZVFWFLSA-N 1 2 318.373 1.591 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CCN(c2nc(N)ns2)CC1 ZINC000267934769 283214116 /nfs/dbraw/zinc/21/41/16/283214116.db2.gz PFZMMGCQJDOTIQ-UHFFFAOYSA-N 1 2 300.391 1.314 20 30 DDEDLO N#Cc1cnc(N2CCC[C@@H]([NH+]3CCOCC3)C2)c([N+](=O)[O-])c1 ZINC000596247610 356830955 /nfs/dbraw/zinc/83/09/55/356830955.db2.gz SEXSQUCQHTYBLT-CYBMUJFWSA-N 1 2 317.349 1.162 20 30 DDEDLO C=CCCSCCNc1nc(NCCO)c2ccccc2[nH+]1 ZINC000596413663 356872567 /nfs/dbraw/zinc/87/25/67/356872567.db2.gz QMVHWCUSDZAXMN-UHFFFAOYSA-N 1 2 318.446 1.599 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N2CCOC[C@H]2C#N)cc1 ZINC000596670706 356948325 /nfs/dbraw/zinc/94/83/25/356948325.db2.gz FMPDOIFFVHEVQY-GDBMZVCRSA-N 1 2 315.373 1.020 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N2CCOC[C@H]2C#N)cc1 ZINC000596670706 356948328 /nfs/dbraw/zinc/94/83/28/356948328.db2.gz FMPDOIFFVHEVQY-GDBMZVCRSA-N 1 2 315.373 1.020 20 30 DDEDLO CN(C[C@@H](O)C[NH+]1CCOCC1)C(=O)CC1(C#N)CCCCC1 ZINC000597362589 357149430 /nfs/dbraw/zinc/14/94/30/357149430.db2.gz NVESGLVDYSYZQJ-OAHLLOKOSA-N 1 2 323.437 1.002 20 30 DDEDLO N#Cc1c(F)cc(C(=O)N[C@@H]2CCc3c[nH+]cn3C2)cc1F ZINC000597641179 357265776 /nfs/dbraw/zinc/26/57/76/357265776.db2.gz FKUKBMHTNVRUJO-SNVBAGLBSA-N 1 2 302.284 1.778 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1ccc(F)c(C#N)c1 ZINC000597998746 357414157 /nfs/dbraw/zinc/41/41/57/357414157.db2.gz VQYLIGXICUTCII-NEPJUHHUSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1ccc(F)c(C#N)c1 ZINC000597998746 357414163 /nfs/dbraw/zinc/41/41/63/357414163.db2.gz VQYLIGXICUTCII-NEPJUHHUSA-N 1 2 305.353 1.536 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC23CCOCC3)c(C#N)c1C ZINC000618318369 363582859 /nfs/dbraw/zinc/58/28/59/363582859.db2.gz VEGSQCXCLWUNJY-UHFFFAOYSA-N 1 2 303.362 1.962 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC23CCOCC3)c(C#N)c1C ZINC000618318369 363582862 /nfs/dbraw/zinc/58/28/62/363582862.db2.gz VEGSQCXCLWUNJY-UHFFFAOYSA-N 1 2 303.362 1.962 20 30 DDEDLO CCC[N@@H+]1CC[C@@H](NC(=O)c2cc(C#N)c(SC)[nH]c2=O)C1 ZINC000598342084 357543827 /nfs/dbraw/zinc/54/38/27/357543827.db2.gz DMDLWABSTUOWRN-LLVKDONJSA-N 1 2 320.418 1.595 20 30 DDEDLO CCC[N@H+]1CC[C@@H](NC(=O)c2cc(C#N)c(SC)[nH]c2=O)C1 ZINC000598342084 357543830 /nfs/dbraw/zinc/54/38/30/357543830.db2.gz DMDLWABSTUOWRN-LLVKDONJSA-N 1 2 320.418 1.595 20 30 DDEDLO C[C@@H]1CCCC[N@@H+]1CCNC(=O)[C@H]1CCCCS1(=O)=O ZINC000329656237 223009745 /nfs/dbraw/zinc/00/97/45/223009745.db2.gz GEJOGZMPIKLVGD-CHWSQXEVSA-N 1 2 302.440 1.785 20 30 DDEDLO C[C@@H]1CCCC[N@H+]1CCNC(=O)[C@H]1CCCCS1(=O)=O ZINC000329656237 223009749 /nfs/dbraw/zinc/00/97/49/223009749.db2.gz GEJOGZMPIKLVGD-CHWSQXEVSA-N 1 2 302.440 1.785 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cncc(Cl)c1 ZINC000598591403 357644640 /nfs/dbraw/zinc/64/46/40/357644640.db2.gz AQFOMYIYDPWAOL-UHFFFAOYSA-N 1 2 308.813 1.783 20 30 DDEDLO Cc1ccccc1[C@@H]1C(=O)NCC[N@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598603080 357649748 /nfs/dbraw/zinc/64/97/48/357649748.db2.gz GGOPPZKPVCZASL-GDBMZVCRSA-N 1 2 315.417 1.769 20 30 DDEDLO Cc1ccccc1[C@@H]1C(=O)NCC[N@@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598603080 357649749 /nfs/dbraw/zinc/64/97/49/357649749.db2.gz GGOPPZKPVCZASL-GDBMZVCRSA-N 1 2 315.417 1.769 20 30 DDEDLO C[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1CO ZINC000598648280 357668942 /nfs/dbraw/zinc/66/89/42/357668942.db2.gz FHXIBSNBVPDBCV-DZGCQCFKSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1CO ZINC000598648280 357668947 /nfs/dbraw/zinc/66/89/47/357668947.db2.gz FHXIBSNBVPDBCV-DZGCQCFKSA-N 1 2 322.430 1.282 20 30 DDEDLO O=C(C[N@H+]1CCSC[C@H]1CO)Nc1cccc2nsnc21 ZINC000329651008 223008405 /nfs/dbraw/zinc/00/84/05/223008405.db2.gz KRNYFHHYALGFDH-SECBINFHSA-N 1 2 324.431 1.689 20 30 DDEDLO O=C(C[N@@H+]1CCSC[C@H]1CO)Nc1cccc2nsnc21 ZINC000329651008 223008409 /nfs/dbraw/zinc/00/84/09/223008409.db2.gz KRNYFHHYALGFDH-SECBINFHSA-N 1 2 324.431 1.689 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCO[C@@H](c3ccco3)C2)CCOCC1 ZINC000599317754 357890577 /nfs/dbraw/zinc/89/05/77/357890577.db2.gz XKORMWDRUJWELT-GDBMZVCRSA-N 1 2 320.389 1.724 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCO[C@@H](c3ccco3)C2)CCOCC1 ZINC000599317754 357890582 /nfs/dbraw/zinc/89/05/82/357890582.db2.gz XKORMWDRUJWELT-GDBMZVCRSA-N 1 2 320.389 1.724 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](Cc2cc(CO)ccc2F)CC1 ZINC000599337221 357900506 /nfs/dbraw/zinc/90/05/06/357900506.db2.gz SAOZKOHEDXXKBG-QGZVFWFLSA-N 1 2 305.397 1.984 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1cc(C#N)ccc1[N+](=O)[O-] ZINC000286219330 291130792 /nfs/dbraw/zinc/13/07/92/291130792.db2.gz CYKUQEWWKNCHNJ-JSGCOSHPSA-N 1 2 316.361 1.766 20 30 DDEDLO Cc1cn2c([nH+]1)C[C@@H](C(=O)NCCc1ccc(C#N)cc1)CC2 ZINC000600092009 358120884 /nfs/dbraw/zinc/12/08/84/358120884.db2.gz BOUDLDRFLHSBMB-INIZCTEOSA-N 1 2 308.385 1.985 20 30 DDEDLO Cc1cc(F)cc(C#N)c1S(=O)(=O)N[C@H](C)c1[nH+]ccn1C ZINC000601470564 358567588 /nfs/dbraw/zinc/56/75/88/358567588.db2.gz WSQJXWAWNKHGAM-SNVBAGLBSA-N 1 2 322.365 1.779 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CCN(CC(=O)N(C)C)CC2)c1 ZINC000601606656 358620392 /nfs/dbraw/zinc/62/03/92/358620392.db2.gz PKVGPZBGKISHIR-UHFFFAOYSA-N 1 2 317.433 1.457 20 30 DDEDLO C=CCOc1cccc(CN2CC[NH+](CC(=O)N(C)C)CC2)c1 ZINC000601606656 358620396 /nfs/dbraw/zinc/62/03/96/358620396.db2.gz PKVGPZBGKISHIR-UHFFFAOYSA-N 1 2 317.433 1.457 20 30 DDEDLO N#C[C@@H]1CN(C(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)CCO1 ZINC000602099778 358812388 /nfs/dbraw/zinc/81/23/88/358812388.db2.gz ZOOLUMJRKUTLBX-ZJUUUORDSA-N 1 2 302.256 1.128 20 30 DDEDLO CN(C(=O)C(=O)Nc1ccc2[nH+]ccn2c1)[C@@H]1CCC[C@@H]1C#N ZINC000602064504 358797313 /nfs/dbraw/zinc/79/73/13/358797313.db2.gz UOGPIYIRGPNRFI-DGCLKSJQSA-N 1 2 311.345 1.423 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000602288576 358914573 /nfs/dbraw/zinc/91/45/73/358914573.db2.gz XCVRBFZEWBLGGP-LBPRGKRZSA-N 1 2 319.434 1.594 20 30 DDEDLO N#Cc1n[nH]cc1NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000602364167 358947675 /nfs/dbraw/zinc/94/76/75/358947675.db2.gz OBYIGDDJDQHBKR-OAHLLOKOSA-N 1 2 311.345 1.121 20 30 DDEDLO N#Cc1n[nH]cc1NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000602364167 358947678 /nfs/dbraw/zinc/94/76/78/358947678.db2.gz OBYIGDDJDQHBKR-OAHLLOKOSA-N 1 2 311.345 1.121 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(Cc2nc(C(C)C)n[nH]2)CC1 ZINC000602862646 359247444 /nfs/dbraw/zinc/24/74/44/359247444.db2.gz KZCJOCBMNZZNOO-ZDUSSCGKSA-N 1 2 306.410 1.910 20 30 DDEDLO Cc1cccc(N2CCN(C(=O)C[NH2+][C@@H](C)CC#N)CC2)c1 ZINC000602864034 359248722 /nfs/dbraw/zinc/24/87/22/359248722.db2.gz PXGYRSZPAKTXOY-HNNXBMFYSA-N 1 2 300.406 1.535 20 30 DDEDLO CNC(=O)c1cc(O[C@H]2CCC[N@H+](CC3(C#N)CC3)C2)ccn1 ZINC000602866491 359249702 /nfs/dbraw/zinc/24/97/02/359249702.db2.gz VQYCZEINKWKPLT-AWEZNQCLSA-N 1 2 314.389 1.588 20 30 DDEDLO CNC(=O)c1cc(O[C@H]2CCC[N@@H+](CC3(C#N)CC3)C2)ccn1 ZINC000602866491 359249704 /nfs/dbraw/zinc/24/97/04/359249704.db2.gz VQYCZEINKWKPLT-AWEZNQCLSA-N 1 2 314.389 1.588 20 30 DDEDLO CCN(CC(=O)NCc1cccs1)C(=O)C[NH2+][C@@H](C)CC#N ZINC000602865227 359250132 /nfs/dbraw/zinc/25/01/32/359250132.db2.gz UYJFCYSAOJALLR-LBPRGKRZSA-N 1 2 322.434 1.105 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NCc1ccco1)[C@@H]1CCC[C@@H]1C#N ZINC000602974717 359333779 /nfs/dbraw/zinc/33/37/79/359333779.db2.gz SHANFVANYMRPAA-DGCLKSJQSA-N 1 2 304.350 1.229 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NCc1ccco1)[C@@H]1CCC[C@@H]1C#N ZINC000602974717 359333785 /nfs/dbraw/zinc/33/37/85/359333785.db2.gz SHANFVANYMRPAA-DGCLKSJQSA-N 1 2 304.350 1.229 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCOc2ccccc2C1 ZINC000603868492 359690594 /nfs/dbraw/zinc/69/05/94/359690594.db2.gz PRCBFEKVJDWGOR-QGZVFWFLSA-N 1 2 301.390 1.935 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCOc2ccccc2C1 ZINC000603868492 359690595 /nfs/dbraw/zinc/69/05/95/359690595.db2.gz PRCBFEKVJDWGOR-QGZVFWFLSA-N 1 2 301.390 1.935 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CC2)C[C@@H]1NC(=O)CS(=O)(=O)C1CCCC1 ZINC000329802547 223031849 /nfs/dbraw/zinc/03/18/49/223031849.db2.gz KLWGZDGVEBZUKK-RISCZKNCSA-N 1 2 314.451 1.783 20 30 DDEDLO C[C@@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)CS(=O)(=O)C1CCCC1 ZINC000329802547 223031852 /nfs/dbraw/zinc/03/18/52/223031852.db2.gz KLWGZDGVEBZUKK-RISCZKNCSA-N 1 2 314.451 1.783 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)c2csc(=O)[nH]2)CC1 ZINC000329903007 223044024 /nfs/dbraw/zinc/04/40/24/223044024.db2.gz IBISWINTVIZEAP-SECBINFHSA-N 1 2 324.406 1.114 20 30 DDEDLO NC(=O)CC1CCN(C([O-])=[NH+]C[C@@H]2CCc3[nH+]ccn3C2)CC1 ZINC000329958895 223053754 /nfs/dbraw/zinc/05/37/54/223053754.db2.gz QGWXSYCXORTEBW-ZDUSSCGKSA-N 1 2 319.409 1.997 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CCN1C(=O)NC[C@@H]1CCCn2cc[nH+]c21 ZINC000329956880 223053972 /nfs/dbraw/zinc/05/39/72/223053972.db2.gz LNYJRKSWWVIGPO-BSAWIPCISA-N 1 2 324.450 1.516 20 30 DDEDLO CCOCCCNC(=O)[C@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609486663 360314079 /nfs/dbraw/zinc/31/40/79/360314079.db2.gz OUIAKGHSWLKCTF-HOTGVXAUSA-N 1 2 324.469 1.083 20 30 DDEDLO N#CCN1CCC(NC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)CC1 ZINC000610586255 360476709 /nfs/dbraw/zinc/47/67/09/360476709.db2.gz XQKFSERNYAWRBG-UHFFFAOYSA-N 1 2 316.409 1.287 20 30 DDEDLO N#CCN1CCC(NC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)CC1 ZINC000610586255 360476713 /nfs/dbraw/zinc/47/67/13/360476713.db2.gz XQKFSERNYAWRBG-UHFFFAOYSA-N 1 2 316.409 1.287 20 30 DDEDLO C[C@H](C(=O)N1[C@H](C)CCC[C@@H]1C)[NH+]1CCN(CCC#N)CC1 ZINC000611175085 360647872 /nfs/dbraw/zinc/64/78/72/360647872.db2.gz WOHXQSJCXRTEPE-OWCLPIDISA-N 1 2 306.454 1.696 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)NCc2cccc(C#N)c2)cn1 ZINC000611209220 360660496 /nfs/dbraw/zinc/66/04/96/360660496.db2.gz HMOIXPVYLQVATO-UHFFFAOYSA-N 1 2 324.384 1.710 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)NCc2cccc(C#N)c2)cn1 ZINC000611209220 360660500 /nfs/dbraw/zinc/66/05/00/360660500.db2.gz HMOIXPVYLQVATO-UHFFFAOYSA-N 1 2 324.384 1.710 20 30 DDEDLO O=C(NCc1nc(C(F)(F)F)n[nH]1)[C@H]1CCn2cc[nH+]c2C1 ZINC000330146859 223079938 /nfs/dbraw/zinc/07/99/38/223079938.db2.gz IFPMKVXKVZHEEH-ZETCQYMHSA-N 1 2 314.271 1.739 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](C[C@H](O)COc2ccc3c(c2)OCO3)C1 ZINC000612163617 360937717 /nfs/dbraw/zinc/93/77/17/360937717.db2.gz XZQYYYITRNDXEB-SUMWQHHRSA-N 1 2 318.373 1.781 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](C[C@H](O)COc2ccc3c(c2)OCO3)C1 ZINC000612163617 360937721 /nfs/dbraw/zinc/93/77/21/360937721.db2.gz XZQYYYITRNDXEB-SUMWQHHRSA-N 1 2 318.373 1.781 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](CCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000612164782 360938411 /nfs/dbraw/zinc/93/84/11/360938411.db2.gz GEQRWANDPIQZLX-OAHLLOKOSA-N 1 2 323.418 1.338 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](CCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000612164782 360938415 /nfs/dbraw/zinc/93/84/15/360938415.db2.gz GEQRWANDPIQZLX-OAHLLOKOSA-N 1 2 323.418 1.338 20 30 DDEDLO [O-]C(=[NH+]C[C@@H]1CCc2[nH+]ccn2C1)N1CC[C@@H](O)C12CCCC2 ZINC000330360988 223105357 /nfs/dbraw/zinc/10/53/57/223105357.db2.gz XTXPDFDXQDWNHS-UONOGXRCSA-N 1 2 318.421 1.739 20 30 DDEDLO CN(C)C(=O)NCC[N@H+](C)CC(=O)N1CCc2sccc2C1 ZINC000330621850 223131181 /nfs/dbraw/zinc/13/11/81/223131181.db2.gz MWTGHXSGRHFNMC-UHFFFAOYSA-N 1 2 324.450 1.040 20 30 DDEDLO CN(C)C(=O)NCC[N@@H+](C)CC(=O)N1CCc2sccc2C1 ZINC000330621850 223131184 /nfs/dbraw/zinc/13/11/84/223131184.db2.gz MWTGHXSGRHFNMC-UHFFFAOYSA-N 1 2 324.450 1.040 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)NCCC#N)c[nH+]2)CCO1 ZINC000331151762 223189651 /nfs/dbraw/zinc/18/96/51/223189651.db2.gz OEEHSAAICKZGOZ-GFCCVEGCSA-N 1 2 303.366 1.224 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)N[C@@H]2CC[C@H](C#N)C2)CC1 ZINC000332115346 223210962 /nfs/dbraw/zinc/21/09/62/223210962.db2.gz HFNAZXAVUZIXPV-LSDHHAIUSA-N 1 2 313.405 1.914 20 30 DDEDLO C[C@]1(C#N)CCCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000619442789 364011357 /nfs/dbraw/zinc/01/13/57/364011357.db2.gz SLLNKVSWSKVHJQ-NVXWUHKLSA-N 1 2 305.422 1.782 20 30 DDEDLO C[C@]1(C#N)CCCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000619442789 364011359 /nfs/dbraw/zinc/01/13/59/364011359.db2.gz SLLNKVSWSKVHJQ-NVXWUHKLSA-N 1 2 305.422 1.782 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)s1 ZINC000619547492 364056340 /nfs/dbraw/zinc/05/63/40/364056340.db2.gz LHWQXYBIXMEUPF-LLVKDONJSA-N 1 2 322.415 1.842 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCc2cc(OC)c(OC)cc2CC1 ZINC000619716890 364128361 /nfs/dbraw/zinc/12/83/61/364128361.db2.gz ZFAKGEYQKOFCLU-AWEZNQCLSA-N 1 2 305.374 1.832 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@H+](C)CCOCCO)c1C#N ZINC000619725008 364133247 /nfs/dbraw/zinc/13/32/47/364133247.db2.gz PPBMZCNHRALMDD-UHFFFAOYSA-N 1 2 314.389 1.493 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@@H+](C)CCOCCO)c1C#N ZINC000619725008 364133252 /nfs/dbraw/zinc/13/32/52/364133252.db2.gz PPBMZCNHRALMDD-UHFFFAOYSA-N 1 2 314.389 1.493 20 30 DDEDLO C=CCCC(=O)NC[C@]1(CO)COCC[N@@H+]1Cc1ccccc1 ZINC000619941248 364210440 /nfs/dbraw/zinc/21/04/40/364210440.db2.gz IYRRPFYEHXJZRG-SFHVURJKSA-N 1 2 318.417 1.332 20 30 DDEDLO C=CCCC(=O)NC[C@]1(CO)COCC[N@H+]1Cc1ccccc1 ZINC000619941248 364210442 /nfs/dbraw/zinc/21/04/42/364210442.db2.gz IYRRPFYEHXJZRG-SFHVURJKSA-N 1 2 318.417 1.332 20 30 DDEDLO N#CC1(F)CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)CC1 ZINC000343238427 223318524 /nfs/dbraw/zinc/31/85/24/223318524.db2.gz ZPASANXBGSFVQA-CYBMUJFWSA-N 1 2 319.384 1.517 20 30 DDEDLO N#CC1(F)CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)CC1 ZINC000343238427 223318527 /nfs/dbraw/zinc/31/85/27/223318527.db2.gz ZPASANXBGSFVQA-CYBMUJFWSA-N 1 2 319.384 1.517 20 30 DDEDLO C[C@@H](C(N)=O)[NH+]1CCN([C@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348328476 223382661 /nfs/dbraw/zinc/38/26/61/223382661.db2.gz KRHOMYWBNMYIPY-SMDDNHRTSA-N 1 2 306.797 1.396 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCN(C2=[NH+]C[C@H](C)S2)CC1 ZINC000266043566 205053274 /nfs/dbraw/zinc/05/32/74/205053274.db2.gz BKUPGEKWAPWTMR-QWHCGFSZSA-N 1 2 311.451 1.603 20 30 DDEDLO C[C@@H](C(=O)NCc1cccc(-n2cc[nH+]c2)c1)n1cnc(C#N)n1 ZINC000337013991 249315161 /nfs/dbraw/zinc/31/51/61/249315161.db2.gz JMHUVKIEBUXDRW-LBPRGKRZSA-N 1 2 321.344 1.213 20 30 DDEDLO Cc1c(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cnn1C ZINC000268941777 207108399 /nfs/dbraw/zinc/10/83/99/207108399.db2.gz KDZWXEYCROQVQD-LBPRGKRZSA-N 1 2 311.393 1.101 20 30 DDEDLO Cc1c(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cnn1C ZINC000268941777 207108403 /nfs/dbraw/zinc/10/84/03/207108403.db2.gz KDZWXEYCROQVQD-LBPRGKRZSA-N 1 2 311.393 1.101 20 30 DDEDLO COC[C@H](C)CNC(=O)N[C@@H]1CCO[C@H](c2c[nH+]cn2C)C1 ZINC000331207698 532960291 /nfs/dbraw/zinc/96/02/91/532960291.db2.gz VERAGIMVZYYDTM-BZPMIXESSA-N 1 2 310.398 1.426 20 30 DDEDLO N#CCC[C@@H](NC(=O)NCC[NH+]1CCOCC1)c1ccccc1 ZINC000158967667 197314942 /nfs/dbraw/zinc/31/49/42/197314942.db2.gz HOPIDCWPZDSZMW-MRXNPFEDSA-N 1 2 316.405 1.663 20 30 DDEDLO C[C@@H](NC(=O)c1cccc(C[NH+]2CCOCC2)c1)C(=O)N(C)C ZINC000330696568 533103940 /nfs/dbraw/zinc/10/39/40/533103940.db2.gz JVCYMXGXJJQLGB-CYBMUJFWSA-N 1 2 319.405 1.300 20 30 DDEDLO COCC[N@H+](CC#Cc1cccc(Cl)c1)CC(=O)N(C)C ZINC000156183009 197092798 /nfs/dbraw/zinc/09/27/98/197092798.db2.gz DZVTWNKKKGQVBZ-UHFFFAOYSA-N 1 2 308.809 1.728 20 30 DDEDLO COCC[N@@H+](CC#Cc1cccc(Cl)c1)CC(=O)N(C)C ZINC000156183009 197092799 /nfs/dbraw/zinc/09/27/99/197092799.db2.gz DZVTWNKKKGQVBZ-UHFFFAOYSA-N 1 2 308.809 1.728 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000120578867 195193996 /nfs/dbraw/zinc/19/39/96/195193996.db2.gz BNJFTKOBVVIQGS-HOCLYGCPSA-N 1 2 318.373 1.325 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000120578867 195193997 /nfs/dbraw/zinc/19/39/97/195193997.db2.gz BNJFTKOBVVIQGS-HOCLYGCPSA-N 1 2 318.373 1.325 20 30 DDEDLO CO[C@H]1COC[C@@H]1[NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000290144268 221444900 /nfs/dbraw/zinc/44/49/00/221444900.db2.gz MYNOOMWGTMEVFO-STQMWFEESA-N 1 2 300.318 1.112 20 30 DDEDLO COc1nccnc1CN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000294622423 533275825 /nfs/dbraw/zinc/27/58/25/533275825.db2.gz YNONXQRLOOKYOO-UHFFFAOYSA-N 1 2 323.400 1.675 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNc2ncc(C#N)cc2F)C1 ZINC000413318219 533505443 /nfs/dbraw/zinc/50/54/43/533505443.db2.gz ZSYKNNPRIRQOOH-NSHDSACASA-N 1 2 306.341 1.389 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNc2ncc(C#N)cc2F)C1 ZINC000413318219 533505448 /nfs/dbraw/zinc/50/54/48/533505448.db2.gz ZSYKNNPRIRQOOH-NSHDSACASA-N 1 2 306.341 1.389 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCCN(C(=O)C4CC4)CC3)cnc12 ZINC000569972120 304388768 /nfs/dbraw/zinc/38/87/68/304388768.db2.gz QPWHSJFGYZLXRG-UHFFFAOYSA-N 1 2 324.388 1.045 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCCN(C(=O)C4CC4)CC3)cnc12 ZINC000569972120 304388769 /nfs/dbraw/zinc/38/87/69/304388769.db2.gz QPWHSJFGYZLXRG-UHFFFAOYSA-N 1 2 324.388 1.045 20 30 DDEDLO Cc1ccc(CC(=O)N(CCC#N)CC[NH+]2CCOCC2)cc1 ZINC000071818979 406846822 /nfs/dbraw/zinc/84/68/22/406846822.db2.gz CUTCEDMYECQPDZ-UHFFFAOYSA-N 1 2 315.417 1.612 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@@H+](CCC#N)CCC(C)C)C1 ZINC000072692104 406883962 /nfs/dbraw/zinc/88/39/62/406883962.db2.gz FMUXIQCXVSBELR-MRXNPFEDSA-N 1 2 322.453 1.375 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@H+](CCC#N)CCC(C)C)C1 ZINC000072692104 406883964 /nfs/dbraw/zinc/88/39/64/406883964.db2.gz FMUXIQCXVSBELR-MRXNPFEDSA-N 1 2 322.453 1.375 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2ccccc2OCC)CC1 ZINC000025511087 406897890 /nfs/dbraw/zinc/89/78/90/406897890.db2.gz GBUJELVHUVSULY-HNNXBMFYSA-N 1 2 315.417 1.345 20 30 DDEDLO N#CC1(C(=O)NCc2ccc[nH+]c2N2CCOCC2)CCCC1 ZINC000030310985 406931468 /nfs/dbraw/zinc/93/14/68/406931468.db2.gz XEILQIUOJTZENO-UHFFFAOYSA-N 1 2 314.389 1.618 20 30 DDEDLO CCN(CC)C(=O)C[N@H+](C)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000078525996 407032383 /nfs/dbraw/zinc/03/23/83/407032383.db2.gz IPUARFGTQXOGNZ-ZDUSSCGKSA-N 1 2 316.405 1.685 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+](C)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000078525996 407032387 /nfs/dbraw/zinc/03/23/87/407032387.db2.gz IPUARFGTQXOGNZ-ZDUSSCGKSA-N 1 2 316.405 1.685 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2ccccc2O)CC1 ZINC000048615063 407102297 /nfs/dbraw/zinc/10/22/97/407102297.db2.gz RTMCQRNDFHFBOH-UHFFFAOYSA-N 1 2 315.417 1.715 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)C1CCCC1 ZINC000083244279 407081866 /nfs/dbraw/zinc/08/18/66/407081866.db2.gz NYVDDMBTCOBOKF-QGZVFWFLSA-N 1 2 321.421 1.603 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C1CCCC1 ZINC000083244279 407081869 /nfs/dbraw/zinc/08/18/69/407081869.db2.gz NYVDDMBTCOBOKF-QGZVFWFLSA-N 1 2 321.421 1.603 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000052635539 407153798 /nfs/dbraw/zinc/15/37/98/407153798.db2.gz MSQYXHVXOCJIGZ-AWEZNQCLSA-N 1 2 318.396 1.708 20 30 DDEDLO Cc1noc([C@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)n1 ZINC000052861738 407159176 /nfs/dbraw/zinc/15/91/76/407159176.db2.gz BZWPKWBTMTUFPR-LRDDRELGSA-N 1 2 317.393 1.366 20 30 DDEDLO Cc1noc([C@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)n1 ZINC000052861738 407159178 /nfs/dbraw/zinc/15/91/78/407159178.db2.gz BZWPKWBTMTUFPR-LRDDRELGSA-N 1 2 317.393 1.366 20 30 DDEDLO COC(=O)[C@H]1CCCC[N@@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000053424207 407168607 /nfs/dbraw/zinc/16/86/07/407168607.db2.gz CDLJGRKNOVZVPW-GOEBONIOSA-N 1 2 318.373 1.325 20 30 DDEDLO COC(=O)[C@H]1CCCC[N@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000053424207 407168609 /nfs/dbraw/zinc/16/86/09/407168609.db2.gz CDLJGRKNOVZVPW-GOEBONIOSA-N 1 2 318.373 1.325 20 30 DDEDLO CCc1nc(CN2CC[NH+](Cc3cc(C#N)cs3)CC2)no1 ZINC000093284065 407197198 /nfs/dbraw/zinc/19/71/98/407197198.db2.gz KQKGFBSZYNLGOM-UHFFFAOYSA-N 1 2 317.418 1.883 20 30 DDEDLO COCc1cccc(CNC(=O)C[NH+]2CCC(C#N)CC2)c1 ZINC000057874360 407218345 /nfs/dbraw/zinc/21/83/45/407218345.db2.gz DHSHDIXUZAZNTR-UHFFFAOYSA-N 1 2 301.390 1.685 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000106868994 407363969 /nfs/dbraw/zinc/36/39/69/407363969.db2.gz HLXPKYHDHKVHDG-ZDUSSCGKSA-N 1 2 324.425 1.348 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000106868994 407363970 /nfs/dbraw/zinc/36/39/70/407363970.db2.gz HLXPKYHDHKVHDG-ZDUSSCGKSA-N 1 2 324.425 1.348 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCCN(S(C)(=O)=O)CC1 ZINC000127477204 407438293 /nfs/dbraw/zinc/43/82/93/407438293.db2.gz ZQASESMHVSRQQS-UHFFFAOYSA-N 1 2 323.418 1.034 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCCN(S(C)(=O)=O)CC1 ZINC000127477204 407438295 /nfs/dbraw/zinc/43/82/95/407438295.db2.gz ZQASESMHVSRQQS-UHFFFAOYSA-N 1 2 323.418 1.034 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)s1 ZINC000178539349 407486780 /nfs/dbraw/zinc/48/67/80/407486780.db2.gz DNRNHZYMCXWHKR-UHFFFAOYSA-N 1 2 324.450 1.582 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@H+]2CCOC(C)(C)C2)s1 ZINC000178539349 407486786 /nfs/dbraw/zinc/48/67/86/407486786.db2.gz DNRNHZYMCXWHKR-UHFFFAOYSA-N 1 2 324.450 1.582 20 30 DDEDLO Cc1nn(C)cc1C[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000271052326 407490349 /nfs/dbraw/zinc/49/03/49/407490349.db2.gz XAGMZEUXXKISGO-UHFFFAOYSA-N 1 2 309.417 1.918 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000127695323 407453820 /nfs/dbraw/zinc/45/38/20/407453820.db2.gz YDOOOHLZYONFBH-WBVHZDCISA-N 1 2 322.355 1.866 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000127695323 407453825 /nfs/dbraw/zinc/45/38/25/407453825.db2.gz YDOOOHLZYONFBH-WBVHZDCISA-N 1 2 322.355 1.866 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1CC[C@@H](O)C1 ZINC000114486244 407584912 /nfs/dbraw/zinc/58/49/12/407584912.db2.gz PEFCSKCBEUHNPO-VHSXEESVSA-N 1 2 305.403 1.502 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1CC[C@@H](O)C1 ZINC000114486244 407584918 /nfs/dbraw/zinc/58/49/18/407584918.db2.gz PEFCSKCBEUHNPO-VHSXEESVSA-N 1 2 305.403 1.502 20 30 DDEDLO CC(C)n1cc(CN(CCC#N)CC[NH+]2CCOCC2)cn1 ZINC000178856030 407627210 /nfs/dbraw/zinc/62/72/10/407627210.db2.gz RBQBUZLTVFNLOJ-UHFFFAOYSA-N 1 2 305.426 1.512 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3cccnc3)CC2)cn1 ZINC000115327266 407667144 /nfs/dbraw/zinc/66/71/44/407667144.db2.gz GARGXZUIRPMGLR-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC[C@@H](n3cccn3)C2)cc1 ZINC000115540587 407688646 /nfs/dbraw/zinc/68/86/46/407688646.db2.gz MHOGAXDXBBJIRU-CVEARBPZSA-N 1 2 309.373 1.618 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC[C@@H](n3cccn3)C2)cc1 ZINC000115540587 407688653 /nfs/dbraw/zinc/68/86/53/407688653.db2.gz MHOGAXDXBBJIRU-CVEARBPZSA-N 1 2 309.373 1.618 20 30 DDEDLO CNc1cc(N2CCC[C@H]2CNC(=O)C(C)(C)C#N)nc[nH+]1 ZINC000173426563 407880732 /nfs/dbraw/zinc/88/07/32/407880732.db2.gz RKCKGHISJRZIIH-NSHDSACASA-N 1 2 302.382 1.153 20 30 DDEDLO CNc1cc(N2CCC[C@H]2CNC(=O)C(C)(C)C#N)[nH+]cn1 ZINC000173426563 407880738 /nfs/dbraw/zinc/88/07/38/407880738.db2.gz RKCKGHISJRZIIH-NSHDSACASA-N 1 2 302.382 1.153 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](CCC)[C@H]1CCS(=O)(=O)C1 ZINC000134391654 407896941 /nfs/dbraw/zinc/89/69/41/407896941.db2.gz GPKMAGHZNJKVMT-AWEZNQCLSA-N 1 2 314.451 1.086 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](CCC)[C@H]1CCS(=O)(=O)C1 ZINC000134391654 407896951 /nfs/dbraw/zinc/89/69/51/407896951.db2.gz GPKMAGHZNJKVMT-AWEZNQCLSA-N 1 2 314.451 1.086 20 30 DDEDLO Cc1ccccc1CNC(=O)CO[NH+]=C(N)[C@@H](C)OCC(C)C ZINC000174223447 407922050 /nfs/dbraw/zinc/92/20/50/407922050.db2.gz QCURXKVXPAZRLC-CQSZACIVSA-N 1 2 321.421 1.961 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C2(CC)CCCC2)CC1 ZINC000119228513 408017274 /nfs/dbraw/zinc/01/72/74/408017274.db2.gz VPFQTBCTLDQGGC-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(CC)CCCC2)CC1 ZINC000119228513 408017280 /nfs/dbraw/zinc/01/72/80/408017280.db2.gz VPFQTBCTLDQGGC-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO CC(C)N1CC[C@H]([NH+]2CCN(c3ccccc3C#N)CC2)C1=O ZINC000175149823 408063607 /nfs/dbraw/zinc/06/36/07/408063607.db2.gz JLVBKUHMCWNUOQ-KRWDZBQOSA-N 1 2 312.417 1.690 20 30 DDEDLO N#CCCCNC(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000273204017 408106128 /nfs/dbraw/zinc/10/61/28/408106128.db2.gz LKRFKRWAAAOIQR-UHFFFAOYSA-N 1 2 302.378 1.944 20 30 DDEDLO C=CCOc1cccc(C[N@@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)c1 ZINC000181929733 408054447 /nfs/dbraw/zinc/05/44/47/408054447.db2.gz CIZAEYROZNNMIH-AWEZNQCLSA-N 1 2 324.446 1.717 20 30 DDEDLO C=CCOc1cccc(C[N@H+]2CCN(S(C)(=O)=O)[C@@H](C)C2)c1 ZINC000181929733 408054453 /nfs/dbraw/zinc/05/44/53/408054453.db2.gz CIZAEYROZNNMIH-AWEZNQCLSA-N 1 2 324.446 1.717 20 30 DDEDLO CC[N@H+](C[C@H](O)c1ccc(C#N)cc1)[C@H](C)CS(C)(=O)=O ZINC000268639017 408131835 /nfs/dbraw/zinc/13/18/35/408131835.db2.gz DDOKDMVMZHMJBH-DOMZBBRYSA-N 1 2 310.419 1.347 20 30 DDEDLO CC[N@@H+](C[C@H](O)c1ccc(C#N)cc1)[C@H](C)CS(C)(=O)=O ZINC000268639017 408131839 /nfs/dbraw/zinc/13/18/39/408131839.db2.gz DDOKDMVMZHMJBH-DOMZBBRYSA-N 1 2 310.419 1.347 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)[C@H](C)[NH+]1CCN(C)CC1 ZINC000273475064 408214017 /nfs/dbraw/zinc/21/40/17/408214017.db2.gz JIAJCRXZEVXQLB-HNNXBMFYSA-N 1 2 305.422 1.473 20 30 DDEDLO CNS(=O)(=O)[C@H]1CC[N@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000156000244 408236031 /nfs/dbraw/zinc/23/60/31/408236031.db2.gz QFSIGLCNQNPEGL-AWEZNQCLSA-N 1 2 312.822 1.315 20 30 DDEDLO CNS(=O)(=O)[C@H]1CC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000156000244 408236034 /nfs/dbraw/zinc/23/60/34/408236034.db2.gz QFSIGLCNQNPEGL-AWEZNQCLSA-N 1 2 312.822 1.315 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1C[C@@H](C)N(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000246722378 408324305 /nfs/dbraw/zinc/32/43/05/408324305.db2.gz KLWMZWLSCFEDLO-HZSPNIEDSA-N 1 2 323.437 1.454 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H](C)N(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000246722378 408324309 /nfs/dbraw/zinc/32/43/09/408324309.db2.gz KLWMZWLSCFEDLO-HZSPNIEDSA-N 1 2 323.437 1.454 20 30 DDEDLO CCC(CC)n1ccc(CO[NH+]=C(N)CN2CCOCC2)n1 ZINC000269348258 408276936 /nfs/dbraw/zinc/27/69/36/408276936.db2.gz RBACWYVPVLDNBY-UHFFFAOYSA-N 1 2 309.414 1.365 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cccc(COC)c2)CC1 ZINC000263882579 408299418 /nfs/dbraw/zinc/29/94/18/408299418.db2.gz NBSPPWOMQRCLFK-UHFFFAOYSA-N 1 2 322.430 1.163 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](CCO)Cc1ccccc1OC ZINC000264002121 408340407 /nfs/dbraw/zinc/34/04/07/408340407.db2.gz BABATBGTILUART-UHFFFAOYSA-N 1 2 320.433 1.914 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](CCO)Cc1ccccc1OC ZINC000264002121 408340410 /nfs/dbraw/zinc/34/04/10/408340410.db2.gz BABATBGTILUART-UHFFFAOYSA-N 1 2 320.433 1.914 20 30 DDEDLO C[C@H](Nc1cc(C#N)ccc1[N+](=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000274255332 408342004 /nfs/dbraw/zinc/34/20/04/408342004.db2.gz LGWVHHJLSGEWMO-RYUDHWBXSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H](O)[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000167739750 162177668 /nfs/dbraw/zinc/17/76/68/162177668.db2.gz PWQWYINUEQRPTP-OCCSQVGLSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H](O)[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000167739750 162177672 /nfs/dbraw/zinc/17/76/72/162177672.db2.gz PWQWYINUEQRPTP-OCCSQVGLSA-N 1 2 308.403 1.035 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](C)C1(C(=O)OC)CCCC1 ZINC000159224074 408390526 /nfs/dbraw/zinc/39/05/26/408390526.db2.gz AUFFUYBUDMYIHG-CYBMUJFWSA-N 1 2 309.410 1.412 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)C1(C(=O)OC)CCCC1 ZINC000159224074 408390532 /nfs/dbraw/zinc/39/05/32/408390532.db2.gz AUFFUYBUDMYIHG-CYBMUJFWSA-N 1 2 309.410 1.412 20 30 DDEDLO Cc1cc(C)n(C[C@H]2C[N@H+](Cc3cc(C#N)n(C)c3)CCO2)n1 ZINC000191342618 408411295 /nfs/dbraw/zinc/41/12/95/408411295.db2.gz OOHYWOUBSDBVND-QGZVFWFLSA-N 1 2 313.405 1.611 20 30 DDEDLO Cc1cc(C)n(C[C@H]2C[N@@H+](Cc3cc(C#N)n(C)c3)CCO2)n1 ZINC000191342618 408411300 /nfs/dbraw/zinc/41/13/00/408411300.db2.gz OOHYWOUBSDBVND-QGZVFWFLSA-N 1 2 313.405 1.611 20 30 DDEDLO Cn1cc(C[N@H+](C)[C@@H]2CCCC[C@@H]2S(C)(=O)=O)cc1C#N ZINC000191400080 408420222 /nfs/dbraw/zinc/42/02/22/408420222.db2.gz BCKRQWGAEPMDNC-CABCVRRESA-N 1 2 309.435 1.684 20 30 DDEDLO Cn1cc(C[N@@H+](C)[C@@H]2CCCC[C@@H]2S(C)(=O)=O)cc1C#N ZINC000191400080 408420226 /nfs/dbraw/zinc/42/02/26/408420226.db2.gz BCKRQWGAEPMDNC-CABCVRRESA-N 1 2 309.435 1.684 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CC=C(C(F)(F)F)CC1 ZINC000270191237 408483481 /nfs/dbraw/zinc/48/34/81/408483481.db2.gz NMKNAXLINDPNLA-UHFFFAOYSA-N 1 2 314.311 1.837 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CC=C(C(F)(F)F)CC1 ZINC000270191237 408483487 /nfs/dbraw/zinc/48/34/87/408483487.db2.gz NMKNAXLINDPNLA-UHFFFAOYSA-N 1 2 314.311 1.837 20 30 DDEDLO C#CCCNC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000265055021 408551927 /nfs/dbraw/zinc/55/19/27/408551927.db2.gz KWOXAXLLEULKAG-CYBMUJFWSA-N 1 2 302.378 1.129 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCC(C(=O)N2CCC[C@H](C)C2)CC1 ZINC000192233160 408560308 /nfs/dbraw/zinc/56/03/08/408560308.db2.gz BQWYYWOJJQYHFN-HNNXBMFYSA-N 1 2 319.449 1.049 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCC(C(=O)N2CCC[C@H](C)C2)CC1 ZINC000192233160 408560312 /nfs/dbraw/zinc/56/03/12/408560312.db2.gz BQWYYWOJJQYHFN-HNNXBMFYSA-N 1 2 319.449 1.049 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3ccnc(N)c3)CC2)c(F)c1 ZINC000193326695 408712206 /nfs/dbraw/zinc/71/22/06/408712206.db2.gz IZHXGYISTMXYRN-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@H](C)c1nc(Cc2ccccc2)no1 ZINC000185383887 408802910 /nfs/dbraw/zinc/80/29/10/408802910.db2.gz AEVFODZXPPYKSR-CHWSQXEVSA-N 1 2 312.373 1.449 20 30 DDEDLO C[C@@]1(O)CC[N@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000177999818 408755327 /nfs/dbraw/zinc/75/53/27/408755327.db2.gz KPUIFAHSCIVAJA-OAHLLOKOSA-N 1 2 305.403 1.697 20 30 DDEDLO C[C@@]1(O)CC[N@@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000177999818 408755332 /nfs/dbraw/zinc/75/53/32/408755332.db2.gz KPUIFAHSCIVAJA-OAHLLOKOSA-N 1 2 305.403 1.697 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCO[C@]2(CCOC2)C1 ZINC000185142240 408767760 /nfs/dbraw/zinc/76/77/60/408767760.db2.gz DOUFQLXEIPJTDJ-WMLDXEAASA-N 1 2 321.421 1.209 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCO[C@]2(CCOC2)C1 ZINC000185142240 408767766 /nfs/dbraw/zinc/76/77/66/408767766.db2.gz DOUFQLXEIPJTDJ-WMLDXEAASA-N 1 2 321.421 1.209 20 30 DDEDLO N#CCCCS(=O)(=O)N[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000166124428 408815677 /nfs/dbraw/zinc/81/56/77/408815677.db2.gz LIEPPOJJCRDCTJ-CYBMUJFWSA-N 1 2 308.407 1.274 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)NCc2cccs2)C1=O ZINC000281407852 408884664 /nfs/dbraw/zinc/88/46/64/408884664.db2.gz QXHDYYCMZOFKMK-TZMCWYRMSA-N 1 2 321.446 1.472 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)NCc2cccs2)C1=O ZINC000281407852 408884668 /nfs/dbraw/zinc/88/46/68/408884668.db2.gz QXHDYYCMZOFKMK-TZMCWYRMSA-N 1 2 321.446 1.472 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)Nc2cc(C)no2)C1=O ZINC000281430801 408884933 /nfs/dbraw/zinc/88/49/33/408884933.db2.gz SKGMBXIICGYFLZ-NWDGAFQWSA-N 1 2 306.366 1.029 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)Nc2cc(C)no2)C1=O ZINC000281430801 408884937 /nfs/dbraw/zinc/88/49/37/408884937.db2.gz SKGMBXIICGYFLZ-NWDGAFQWSA-N 1 2 306.366 1.029 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000290969567 408855362 /nfs/dbraw/zinc/85/53/62/408855362.db2.gz YTBDGJHRVCPAMU-SFHVURJKSA-N 1 2 312.413 1.534 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000291135421 408860315 /nfs/dbraw/zinc/86/03/15/408860315.db2.gz YZYLORMFKRMSEN-RDJZCZTQSA-N 1 2 315.417 1.251 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccccc2CC)C1=O ZINC000281638401 408902480 /nfs/dbraw/zinc/90/24/80/408902480.db2.gz BWEQDONZKAXFTK-INIZCTEOSA-N 1 2 315.417 1.906 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccccc2CC)C1=O ZINC000281638401 408902482 /nfs/dbraw/zinc/90/24/82/408902482.db2.gz BWEQDONZKAXFTK-INIZCTEOSA-N 1 2 315.417 1.906 20 30 DDEDLO C=C[C@@H](CO)[NH2+]Cc1cc(O)c(OC)cc1Br ZINC000291712526 408910757 /nfs/dbraw/zinc/91/07/57/408910757.db2.gz ZYLFYXHNLPKMAB-VIFPVBQESA-N 1 2 302.168 1.800 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H]2CCc3ccccc3NC2=O)C1=O ZINC000281527635 408890328 /nfs/dbraw/zinc/89/03/28/408890328.db2.gz ZTMKTMMEXUJDGX-HZPDHXFCSA-N 1 2 313.401 1.659 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H]2CCc3ccccc3NC2=O)C1=O ZINC000281527635 408890330 /nfs/dbraw/zinc/89/03/30/408890330.db2.gz ZTMKTMMEXUJDGX-HZPDHXFCSA-N 1 2 313.401 1.659 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1ncc([N+](=O)[O-])cc1C#N ZINC000286259439 408950658 /nfs/dbraw/zinc/95/06/58/408950658.db2.gz GNNCIMCVFHGIRA-SMDDNHRTSA-N 1 2 317.349 1.161 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1ncc([N+](=O)[O-])cc1C#N ZINC000286259434 408951221 /nfs/dbraw/zinc/95/12/21/408951221.db2.gz GNNCIMCVFHGIRA-RISCZKNCSA-N 1 2 317.349 1.161 20 30 DDEDLO C#CCN(C)C(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000292186674 408997210 /nfs/dbraw/zinc/99/72/10/408997210.db2.gz BSYQSNJIVJGQJQ-MRXNPFEDSA-N 1 2 301.390 1.335 20 30 DDEDLO CCS(=O)(=O)C1CC[NH+](Cc2ccc(C#N)c(OC)c2)CC1 ZINC000282294881 409028496 /nfs/dbraw/zinc/02/84/96/409028496.db2.gz LXFIXPVZXSKNHY-UHFFFAOYSA-N 1 2 322.430 1.966 20 30 DDEDLO C=CCOc1ccc(CNC(=O)NCc2[nH+]ccn2C)cc1 ZINC000287394414 409030644 /nfs/dbraw/zinc/03/06/44/409030644.db2.gz KFWHDELJJRZWNT-UHFFFAOYSA-N 1 2 300.362 1.984 20 30 DDEDLO C#C[C@H](NC(=O)NCc1ccc[nH+]c1N1CCOCC1)C(C)C ZINC000282326493 409034618 /nfs/dbraw/zinc/03/46/18/409034618.db2.gz UBZNYCXSJNTGHJ-HNNXBMFYSA-N 1 2 316.405 1.375 20 30 DDEDLO COCCN(Cc1ccc(C#N)cc1)C(=O)CCc1[nH]cc[nH+]1 ZINC000287533571 409051378 /nfs/dbraw/zinc/05/13/78/409051378.db2.gz KXYPQEYBQHQKEV-UHFFFAOYSA-N 1 2 312.373 1.889 20 30 DDEDLO Cn1nc([C@H]2CCC[N@@H+]2CCOc2cccc(C#N)c2)nc1N ZINC000283639758 409189926 /nfs/dbraw/zinc/18/99/26/409189926.db2.gz AODNMCNCCQCTAQ-CQSZACIVSA-N 1 2 312.377 1.485 20 30 DDEDLO Cn1nc([C@H]2CCC[N@H+]2CCOc2cccc(C#N)c2)nc1N ZINC000283639758 409189930 /nfs/dbraw/zinc/18/99/30/409189930.db2.gz AODNMCNCCQCTAQ-CQSZACIVSA-N 1 2 312.377 1.485 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Cc1ccc(C#N)cc1 ZINC000279056225 409140872 /nfs/dbraw/zinc/14/08/72/409140872.db2.gz CUFIXGZDGCTGSG-CQSZACIVSA-N 1 2 301.390 1.280 20 30 DDEDLO C=CCN(C)C(=O)C(=O)NCCC[N@@H+](CC)c1ccccc1 ZINC000283598692 409182632 /nfs/dbraw/zinc/18/26/32/409182632.db2.gz UOXMZAFWPDWVGC-UHFFFAOYSA-N 1 2 303.406 1.664 20 30 DDEDLO C=CCN(C)C(=O)C(=O)NCCC[N@H+](CC)c1ccccc1 ZINC000283598692 409182635 /nfs/dbraw/zinc/18/26/35/409182635.db2.gz UOXMZAFWPDWVGC-UHFFFAOYSA-N 1 2 303.406 1.664 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3cccc(C#N)c3)C[C@@H]21 ZINC000284130250 409282460 /nfs/dbraw/zinc/28/24/60/409282460.db2.gz PEHDCRCQQKWTGP-LZCSOBMZSA-N 1 2 311.385 1.503 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3cccc(C#N)c3)C[C@@H]21 ZINC000284130250 409282464 /nfs/dbraw/zinc/28/24/64/409282464.db2.gz PEHDCRCQQKWTGP-LZCSOBMZSA-N 1 2 311.385 1.503 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000294493578 409293430 /nfs/dbraw/zinc/29/34/30/409293430.db2.gz HYEHJUIOEOIAFL-HNNXBMFYSA-N 1 2 306.793 1.853 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000294493578 409293431 /nfs/dbraw/zinc/29/34/31/409293431.db2.gz HYEHJUIOEOIAFL-HNNXBMFYSA-N 1 2 306.793 1.853 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2ccc3c(c2)NC(=O)CO3)n1 ZINC000294720845 409298343 /nfs/dbraw/zinc/29/83/43/409298343.db2.gz PJVHCWKGSOKFGB-UHFFFAOYSA-N 1 2 324.384 1.869 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2ccc3c(c2)NC(=O)CO3)n1 ZINC000294720845 409298345 /nfs/dbraw/zinc/29/83/45/409298345.db2.gz PJVHCWKGSOKFGB-UHFFFAOYSA-N 1 2 324.384 1.869 20 30 DDEDLO C[C@@H](c1ccsc1)[NH+]1CCN(S(=O)(=O)CCC#N)CC1 ZINC000295119965 409329523 /nfs/dbraw/zinc/32/95/23/409329523.db2.gz JRDZZZBZAXGBSL-LBPRGKRZSA-N 1 2 313.448 1.670 20 30 DDEDLO CC(C)[C@@H]([NH2+]CC(=O)N[C@@](C)(C#N)C(C)C)c1nncn1C ZINC000285310994 409409537 /nfs/dbraw/zinc/40/95/37/409409537.db2.gz ICCGKNYFHRVMFK-HIFRSBDPSA-N 1 2 306.414 1.156 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc(C#N)cc1C ZINC000344986049 409584041 /nfs/dbraw/zinc/58/40/41/409584041.db2.gz ICWGOXTTWFJOLP-CQSZACIVSA-N 1 2 312.329 1.104 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc(C#N)cc1C ZINC000344986049 409584046 /nfs/dbraw/zinc/58/40/46/409584046.db2.gz ICWGOXTTWFJOLP-CQSZACIVSA-N 1 2 312.329 1.104 20 30 DDEDLO C[C@H](c1cnn(C)c1)[N@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC000348925255 409595190 /nfs/dbraw/zinc/59/51/90/409595190.db2.gz BVBDHMWVBZKPID-CYBMUJFWSA-N 1 2 311.389 1.601 20 30 DDEDLO C[C@H](c1cnn(C)c1)[N@@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC000348925255 409595200 /nfs/dbraw/zinc/59/52/00/409595200.db2.gz BVBDHMWVBZKPID-CYBMUJFWSA-N 1 2 311.389 1.601 20 30 DDEDLO COC(=O)[C@H]1[C@@H](C(=O)OC)CCC[N@@H+]1Cc1ccc(C#N)cc1 ZINC000354044059 409608223 /nfs/dbraw/zinc/60/82/23/409608223.db2.gz DXCRVDFGYMTYKJ-LSDHHAIUSA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@H]1[C@@H](C(=O)OC)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000354044059 409608234 /nfs/dbraw/zinc/60/82/34/409608234.db2.gz DXCRVDFGYMTYKJ-LSDHHAIUSA-N 1 2 316.357 1.485 20 30 DDEDLO Cc1c(C[N@@H+]2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cnn1C ZINC000338185196 409786216 /nfs/dbraw/zinc/78/62/16/409786216.db2.gz LLSYHXVWMYHAOO-KBXCAEBGSA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1c(C[N@H+]2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cnn1C ZINC000338185196 409786226 /nfs/dbraw/zinc/78/62/26/409786226.db2.gz LLSYHXVWMYHAOO-KBXCAEBGSA-N 1 2 321.384 1.574 20 30 DDEDLO Cc1c[nH+]c(CN2CCN(c3nccnc3C#N)C[C@@H]2C)n1C ZINC000342794543 409909067 /nfs/dbraw/zinc/90/90/67/409909067.db2.gz UJORKTXBAYQSEU-ZDUSSCGKSA-N 1 2 311.393 1.101 20 30 DDEDLO CCC[N@H+](Cc1cn2ccccc2c1C#N)[C@H]1CC(=O)N(C)C1=O ZINC000338333797 409919417 /nfs/dbraw/zinc/91/94/17/409919417.db2.gz XYYCTHTYEZYYAB-INIZCTEOSA-N 1 2 324.384 1.780 20 30 DDEDLO CCC[N@@H+](Cc1cn2ccccc2c1C#N)[C@H]1CC(=O)N(C)C1=O ZINC000338333797 409919425 /nfs/dbraw/zinc/91/94/25/409919425.db2.gz XYYCTHTYEZYYAB-INIZCTEOSA-N 1 2 324.384 1.780 20 30 DDEDLO CCCC[N@@H+]1CCOC[C@H]1C(=O)N1CCC(C#N)(COC)CC1 ZINC000357094939 409869646 /nfs/dbraw/zinc/86/96/46/409869646.db2.gz PWHFBVMMHMQVBF-HNNXBMFYSA-N 1 2 323.437 1.266 20 30 DDEDLO CCCC[N@H+]1CCOC[C@H]1C(=O)N1CCC(C#N)(COC)CC1 ZINC000357094939 409869656 /nfs/dbraw/zinc/86/96/56/409869656.db2.gz PWHFBVMMHMQVBF-HNNXBMFYSA-N 1 2 323.437 1.266 20 30 DDEDLO CCC[C@]1(C)C(=O)NCCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000342767435 409889223 /nfs/dbraw/zinc/88/92/23/409889223.db2.gz BYWCMCLOWZSSQE-QGZVFWFLSA-N 1 2 311.389 1.697 20 30 DDEDLO C[C@@H](NC(=O)C[N@@H+]1CCOC[C@@H](C)C1)C(=O)N1CCCCC1 ZINC000328707022 409960444 /nfs/dbraw/zinc/96/04/44/409960444.db2.gz ZHEXLBMTQYBRGW-UONOGXRCSA-N 1 2 311.426 1.312 20 30 DDEDLO C[C@@H](NC(=O)C[N@H+]1CCOC[C@@H](C)C1)C(=O)N1CCCCC1 ZINC000328707022 409960448 /nfs/dbraw/zinc/96/04/48/409960448.db2.gz ZHEXLBMTQYBRGW-UONOGXRCSA-N 1 2 311.426 1.312 20 30 DDEDLO CC(C)[C@H](NC(=O)c1cn([C@@H]2CCOC2)nn1)c1[nH]cc[nH+]1 ZINC000328753584 409969135 /nfs/dbraw/zinc/96/91/35/409969135.db2.gz NUYWDWMDRKIZMI-PWSUYJOCSA-N 1 2 304.354 1.665 20 30 DDEDLO CC[C@H](NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1)[C@@H]1CCCO1 ZINC000328850511 409993190 /nfs/dbraw/zinc/99/31/90/409993190.db2.gz BNUZZVZTJCODPF-XGUBFFRZSA-N 1 2 311.426 1.263 20 30 DDEDLO CC[C@H](NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1)[C@@H]1CCCO1 ZINC000328850511 409993197 /nfs/dbraw/zinc/99/31/97/409993197.db2.gz BNUZZVZTJCODPF-XGUBFFRZSA-N 1 2 311.426 1.263 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NCC2(C)CCOCC2)C1 ZINC000328772361 409975669 /nfs/dbraw/zinc/97/56/69/409975669.db2.gz BDAWNYHTEYCQPF-CYBMUJFWSA-N 1 2 306.410 1.940 20 30 DDEDLO C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCS(=O)(=O)C2)[C@H]1c1ccccc1 ZINC000328814743 409985673 /nfs/dbraw/zinc/98/56/73/409985673.db2.gz GLSGNWNSNJJYHC-QLFBSQMISA-N 1 2 322.430 1.823 20 30 DDEDLO C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCS(=O)(=O)C2)[C@H]1c1ccccc1 ZINC000328814743 409985676 /nfs/dbraw/zinc/98/56/76/409985676.db2.gz GLSGNWNSNJJYHC-QLFBSQMISA-N 1 2 322.430 1.823 20 30 DDEDLO CN(Cc1cc[nH]n1)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000328827979 409987565 /nfs/dbraw/zinc/98/75/65/409987565.db2.gz TZUOPGHEZOSFNG-LBPRGKRZSA-N 1 2 300.366 1.429 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCc2cnn(C)c2C1)[NH+]1CCN(C)CC1 ZINC000328844393 409991788 /nfs/dbraw/zinc/99/17/88/409991788.db2.gz VCUIYJJQRBVAGF-KGLIPLIRSA-N 1 2 319.453 1.117 20 30 DDEDLO C[C@@H]1CCNC(=O)[C@@H]1NC(=O)NC[C@@H]1CCc2[nH+]ccn2C1 ZINC000328601770 409932566 /nfs/dbraw/zinc/93/25/66/409932566.db2.gz GBSKGYRGCOQZJK-NTZNESFSSA-N 1 2 305.382 1.314 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[NH+](Cc2cccc(C)c2)CC1 ZINC000297778729 409995478 /nfs/dbraw/zinc/99/54/78/409995478.db2.gz IPJWDQNKSLXYQS-UHFFFAOYSA-N 1 2 315.417 1.284 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@H](CS(C)(=O)=O)C1 ZINC000339154022 410065918 /nfs/dbraw/zinc/06/59/18/410065918.db2.gz KCIQOAXPFAFZCY-CQSZACIVSA-N 1 2 316.467 1.168 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@H](CS(C)(=O)=O)C1 ZINC000339154022 410065926 /nfs/dbraw/zinc/06/59/26/410065926.db2.gz KCIQOAXPFAFZCY-CQSZACIVSA-N 1 2 316.467 1.168 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2CCC[C@@H](CO)C2)c(C#N)c1C ZINC000298083781 410104696 /nfs/dbraw/zinc/10/46/96/410104696.db2.gz NHLIUNCDANIIFW-DGCLKSJQSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2CCC[C@@H](CO)C2)c(C#N)c1C ZINC000298083781 410104704 /nfs/dbraw/zinc/10/47/04/410104704.db2.gz NHLIUNCDANIIFW-DGCLKSJQSA-N 1 2 305.378 1.799 20 30 DDEDLO C[C@@H]1CC[N@H+](CC(=O)Nc2ccccc2SCC#N)C[C@H]1O ZINC000357576136 410113434 /nfs/dbraw/zinc/11/34/34/410113434.db2.gz ZBAUBEBECDTYHZ-TZMCWYRMSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC(=O)Nc2ccccc2SCC#N)C[C@H]1O ZINC000357576136 410113443 /nfs/dbraw/zinc/11/34/43/410113443.db2.gz ZBAUBEBECDTYHZ-TZMCWYRMSA-N 1 2 319.430 1.943 20 30 DDEDLO O=C(NC[C@@]1(O)CCSC1)C1([NH+]2CCOCC2)CCC1 ZINC000329117930 410113567 /nfs/dbraw/zinc/11/35/67/410113567.db2.gz UUOUHBFZYVWBTK-ZDUSSCGKSA-N 1 2 300.424 1.066 20 30 DDEDLO C#CC[N@H+](Cc1ccc(F)cc1)[C@@H](C)C(=O)NC(=O)NC(C)C ZINC000298173632 410123602 /nfs/dbraw/zinc/12/36/02/410123602.db2.gz QVYAHKMEBNDHRR-ZDUSSCGKSA-N 1 2 319.380 1.884 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(F)cc1)[C@@H](C)C(=O)NC(=O)NC(C)C ZINC000298173632 410123608 /nfs/dbraw/zinc/12/36/08/410123608.db2.gz QVYAHKMEBNDHRR-ZDUSSCGKSA-N 1 2 319.380 1.884 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)NCCOCC2CC2)CC1 ZINC000329284130 410213461 /nfs/dbraw/zinc/21/34/61/410213461.db2.gz FZQOPMHZRBRNMG-UHFFFAOYSA-N 1 2 318.421 1.853 20 30 DDEDLO CC[N@@H+]1CCOC[C@@H]1C(=O)N1C[C@@H](c2ccccc2)[C@H](C#N)C1 ZINC000329198256 410163059 /nfs/dbraw/zinc/16/30/59/410163059.db2.gz ALAZUTISQRYQAS-IXDOHACOSA-N 1 2 313.401 1.473 20 30 DDEDLO CC[N@H+]1CCOC[C@@H]1C(=O)N1C[C@@H](c2ccccc2)[C@H](C#N)C1 ZINC000329198256 410163064 /nfs/dbraw/zinc/16/30/64/410163064.db2.gz ALAZUTISQRYQAS-IXDOHACOSA-N 1 2 313.401 1.473 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)CC2)no1 ZINC000358224383 410411434 /nfs/dbraw/zinc/41/14/34/410411434.db2.gz PLDJNAMXYKHWDY-WCQYABFASA-N 1 2 305.382 1.351 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)CC2)no1 ZINC000358224383 410411439 /nfs/dbraw/zinc/41/14/39/410411439.db2.gz PLDJNAMXYKHWDY-WCQYABFASA-N 1 2 305.382 1.351 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1CCCS(=O)(=O)C1)[NH+]1CCCCCC1 ZINC000329937001 410490100 /nfs/dbraw/zinc/49/01/00/410490100.db2.gz DLOAKTISNULZIV-CHWSQXEVSA-N 1 2 302.440 1.785 20 30 DDEDLO Cc1nc(NC(=O)[C@H](C)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)sc1C ZINC000329944302 410491504 /nfs/dbraw/zinc/49/15/04/410491504.db2.gz FDVKQOUOEYIAMD-UHTWSYAYSA-N 1 2 324.450 1.751 20 30 DDEDLO Cc1nc(NC(=O)[C@H](C)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)sc1C ZINC000329944302 410491512 /nfs/dbraw/zinc/49/15/12/410491512.db2.gz FDVKQOUOEYIAMD-UHTWSYAYSA-N 1 2 324.450 1.751 20 30 DDEDLO Cc1ccncc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000359186919 410570908 /nfs/dbraw/zinc/57/09/08/410570908.db2.gz CBIPLONQJICIJK-UHFFFAOYSA-N 1 2 302.378 1.078 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CCc2ccccc2)CC1 ZINC000299258154 410582190 /nfs/dbraw/zinc/58/21/90/410582190.db2.gz WJTICAKKFLLTCS-UHFFFAOYSA-N 1 2 306.431 1.200 20 30 DDEDLO C[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1O ZINC000299906401 410641743 /nfs/dbraw/zinc/64/17/43/410641743.db2.gz ONCYCXKUGOHZDQ-SWLSCSKDSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1O ZINC000299906401 410641748 /nfs/dbraw/zinc/64/17/48/410641748.db2.gz ONCYCXKUGOHZDQ-SWLSCSKDSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NC1CCCCC1)[NH+]1CCC(F)(C#N)CC1 ZINC000352449227 410658823 /nfs/dbraw/zinc/65/88/23/410658823.db2.gz LVPRUHLPZREJGD-LBPRGKRZSA-N 1 2 324.400 1.861 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@H](S(C)(=O)=O)CC2)ccc1C#N ZINC000337380922 410746890 /nfs/dbraw/zinc/74/68/90/410746890.db2.gz ARAWLIFISUXWAU-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@H](S(C)(=O)=O)CC2)ccc1C#N ZINC000337380922 410746897 /nfs/dbraw/zinc/74/68/97/410746897.db2.gz ARAWLIFISUXWAU-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)N2CCC(C)(C#N)CC2)CCO1 ZINC000355951212 410745003 /nfs/dbraw/zinc/74/50/03/410745003.db2.gz VVBKTJYRSZPDEI-UHFFFAOYSA-N 1 2 308.426 1.432 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)N2CCC(C)(C#N)CC2)CCO1 ZINC000355951212 410745011 /nfs/dbraw/zinc/74/50/11/410745011.db2.gz VVBKTJYRSZPDEI-UHFFFAOYSA-N 1 2 308.426 1.432 20 30 DDEDLO CN(Cc1ccccc1)C(=O)C[NH2+]Cc1nc(C#N)cs1 ZINC000352837499 410679612 /nfs/dbraw/zinc/67/96/12/410679612.db2.gz BAPXUIHRKCXZQS-UHFFFAOYSA-N 1 2 300.387 1.763 20 30 DDEDLO C=C(C)C[N@@H+](Cc1cnn(C(C)C)c1)[C@H](C)C(=O)NC(N)=O ZINC000352904225 410687405 /nfs/dbraw/zinc/68/74/05/410687405.db2.gz UDQIJBQKWZBGSP-GFCCVEGCSA-N 1 2 307.398 1.426 20 30 DDEDLO C=C(C)C[N@H+](Cc1cnn(C(C)C)c1)[C@H](C)C(=O)NC(N)=O ZINC000352904225 410687412 /nfs/dbraw/zinc/68/74/12/410687412.db2.gz UDQIJBQKWZBGSP-GFCCVEGCSA-N 1 2 307.398 1.426 20 30 DDEDLO COc1ccccc1[C@H]1CN(C(=O)c2ccnc(C#N)c2)CC[NH2+]1 ZINC000337596288 410885872 /nfs/dbraw/zinc/88/58/72/410885872.db2.gz PAVNSOMEVGSEJM-MRXNPFEDSA-N 1 2 322.368 1.749 20 30 DDEDLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)Cn1cnc(C#N)n1 ZINC000337546872 410858922 /nfs/dbraw/zinc/85/89/22/410858922.db2.gz WABHPZNZBAOSEO-UHFFFAOYSA-N 1 2 300.322 1.361 20 30 DDEDLO COC(=O)C[N@H+](CCC(=O)Nc1sccc1C#N)C1CC1 ZINC000348235881 410871141 /nfs/dbraw/zinc/87/11/41/410871141.db2.gz UNUGKWRZSCUCRB-UHFFFAOYSA-N 1 2 307.375 1.586 20 30 DDEDLO COC(=O)C[N@@H+](CCC(=O)Nc1sccc1C#N)C1CC1 ZINC000348235881 410871145 /nfs/dbraw/zinc/87/11/45/410871145.db2.gz UNUGKWRZSCUCRB-UHFFFAOYSA-N 1 2 307.375 1.586 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCC2)[C@@H](CC)CN1C(C)=O ZINC000347066407 287187660 /nfs/dbraw/zinc/18/76/60/287187660.db2.gz ZTLDRLJJSMIVNY-LSDHHAIUSA-N 1 2 320.437 1.270 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)[C@@H](CC)CN1C(C)=O ZINC000347066407 287187662 /nfs/dbraw/zinc/18/76/62/287187662.db2.gz ZTLDRLJJSMIVNY-LSDHHAIUSA-N 1 2 320.437 1.270 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H]2CC[C@@H](O)[C@@H]2C1 ZINC000344059382 410925911 /nfs/dbraw/zinc/92/59/11/410925911.db2.gz LTFAOLPCZKAEQN-JJXSEGSLSA-N 1 2 300.358 1.475 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@@H]2CC[C@@H](O)[C@@H]2C1 ZINC000344059382 410925916 /nfs/dbraw/zinc/92/59/16/410925916.db2.gz LTFAOLPCZKAEQN-JJXSEGSLSA-N 1 2 300.358 1.475 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H](CO)C[C@H]2C)c(C#N)c1C ZINC000344160053 410998628 /nfs/dbraw/zinc/99/86/28/410998628.db2.gz WZMABWLHUSJHKW-ZWNOBZJWSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H](CO)C[C@H]2C)c(C#N)c1C ZINC000344160053 410998631 /nfs/dbraw/zinc/99/86/31/410998631.db2.gz WZMABWLHUSJHKW-ZWNOBZJWSA-N 1 2 305.378 1.799 20 30 DDEDLO CC(C)(C)OC(=O)C[C@@](C)(C#N)C(=O)N1CC[NH+](C2CC2)CC1 ZINC000356466776 411046136 /nfs/dbraw/zinc/04/61/36/411046136.db2.gz UGCYOTXRZLUJDM-KRWDZBQOSA-N 1 2 321.421 1.555 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]CC(=O)N(CCC#N)CCC#N)s1 ZINC000353582952 411072196 /nfs/dbraw/zinc/07/21/96/411072196.db2.gz VMABDTHYEOMVNE-SNVBAGLBSA-N 1 2 306.395 1.153 20 30 DDEDLO CC[C@H](C)[C@@H](NC(=O)C[NH+]1CCC(C)(C#N)CC1)C(=O)OC ZINC000356447393 411037037 /nfs/dbraw/zinc/03/70/37/411037037.db2.gz PFHBZLVKSUVCKO-GXTWGEPZSA-N 1 2 309.410 1.316 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)s1 ZINC000353648600 411098231 /nfs/dbraw/zinc/09/82/31/411098231.db2.gz BLUITCHPARJOAL-BFVZDQMLSA-N 1 2 309.439 1.940 20 30 DDEDLO C=CCSCCNC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000353697911 411112518 /nfs/dbraw/zinc/11/25/18/411112518.db2.gz UGJQRUBAUQWPOE-CYBMUJFWSA-N 1 2 323.466 1.717 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NS(=O)(=O)c1ccc(C#N)o1)C1CC1 ZINC000344791504 411113679 /nfs/dbraw/zinc/11/36/79/411113679.db2.gz ITCMJMIXVVRQGA-GFCCVEGCSA-N 1 2 306.347 1.314 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@H]2C(=O)N2CCOCC2)s1 ZINC000131046321 196134331 /nfs/dbraw/zinc/13/43/31/196134331.db2.gz IBVKAXPVRAHJEE-HNNXBMFYSA-N 1 2 319.430 1.833 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@H]2C(=O)N2CCOCC2)s1 ZINC000131046321 196134333 /nfs/dbraw/zinc/13/43/33/196134333.db2.gz IBVKAXPVRAHJEE-HNNXBMFYSA-N 1 2 319.430 1.833 20 30 DDEDLO CC1(C)CCN(Cc2c[nH+]c3ccc(C#N)cn23)CC[S@@]1=O ZINC000571612156 304474498 /nfs/dbraw/zinc/47/44/98/304474498.db2.gz CJVFQDAVSJHFFD-QFIPXVFZSA-N 1 2 316.430 1.939 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3nccnc3C)CC2)ccc1C#N ZINC000374206412 418503996 /nfs/dbraw/zinc/50/39/96/418503996.db2.gz SLBACVNKPIKYIR-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000374565119 418539859 /nfs/dbraw/zinc/53/98/59/418539859.db2.gz FBRKEMCVFPEOFR-CQSZACIVSA-N 1 2 315.421 1.236 20 30 DDEDLO CC[N@H+](CC(N)=O)CC(=O)N(CCC#N)c1ccc(C)c(C)c1 ZINC000191727784 222108971 /nfs/dbraw/zinc/10/89/71/222108971.db2.gz LHSIZLCDJFSGAJ-UHFFFAOYSA-N 1 2 316.405 1.357 20 30 DDEDLO CC[N@@H+](CC(N)=O)CC(=O)N(CCC#N)c1ccc(C)c(C)c1 ZINC000191727784 222108975 /nfs/dbraw/zinc/10/89/75/222108975.db2.gz LHSIZLCDJFSGAJ-UHFFFAOYSA-N 1 2 316.405 1.357 20 30 DDEDLO CCS(=O)(=O)C1CC[NH+](CCOc2ccccc2C#N)CC1 ZINC000193235673 222146604 /nfs/dbraw/zinc/14/66/04/222146604.db2.gz MXQJOFKIJZZVQD-UHFFFAOYSA-N 1 2 322.430 1.836 20 30 DDEDLO COC(=O)c1ccccc1N(C)C(=O)C[NH+]1CCC(C#N)CC1 ZINC000195335047 222200126 /nfs/dbraw/zinc/20/01/26/222200126.db2.gz LATWFPQXDUVTOG-UHFFFAOYSA-N 1 2 315.373 1.672 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000247371610 222229140 /nfs/dbraw/zinc/22/91/40/222229140.db2.gz PEOQNASJPWQNQB-HRCADAONSA-N 1 2 308.422 1.455 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000247371610 222229142 /nfs/dbraw/zinc/22/91/42/222229142.db2.gz PEOQNASJPWQNQB-HRCADAONSA-N 1 2 308.422 1.455 20 30 DDEDLO CC(C)n1ccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000264900039 222336747 /nfs/dbraw/zinc/33/67/47/222336747.db2.gz FFHQKUOTRNCNGP-UHFFFAOYSA-N 1 2 319.409 1.152 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)C[C@H](C)OC ZINC000291291678 222291295 /nfs/dbraw/zinc/29/12/95/222291295.db2.gz RGCLRFBOUJNWTG-LBPRGKRZSA-N 1 2 311.407 1.084 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)C[C@H](C)OC ZINC000291291678 222291298 /nfs/dbraw/zinc/29/12/98/222291298.db2.gz RGCLRFBOUJNWTG-LBPRGKRZSA-N 1 2 311.407 1.084 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccccc1SCC#N)[C@H]1CCOC1 ZINC000266756042 222363774 /nfs/dbraw/zinc/36/37/74/222363774.db2.gz ROMMZRPEDRSIIM-LBPRGKRZSA-N 1 2 305.403 1.961 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccccc1SCC#N)[C@H]1CCOC1 ZINC000266756042 222363780 /nfs/dbraw/zinc/36/37/80/222363780.db2.gz ROMMZRPEDRSIIM-LBPRGKRZSA-N 1 2 305.403 1.961 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)Cc1ccc(C#N)cc1)CC2 ZINC000377296077 418708463 /nfs/dbraw/zinc/70/84/63/418708463.db2.gz KXVBNPYKXCIAPR-UHFFFAOYSA-N 1 2 316.386 1.409 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Nc1cccc(C#N)c1 ZINC000375841706 418689422 /nfs/dbraw/zinc/68/94/22/418689422.db2.gz SSXDRRDBBRKWSB-XJKSGUPXSA-N 1 2 314.389 1.885 20 30 DDEDLO CC(C)(CCC#N)C[N@@H+]1CCn2c(nn(CC(F)F)c2=O)C1 ZINC000369316053 418731812 /nfs/dbraw/zinc/73/18/12/418731812.db2.gz YGFSKWAALUQFML-UHFFFAOYSA-N 1 2 313.352 1.455 20 30 DDEDLO CC(C)(CCC#N)C[N@H+]1CCn2c(nn(CC(F)F)c2=O)C1 ZINC000369316053 418731814 /nfs/dbraw/zinc/73/18/14/418731814.db2.gz YGFSKWAALUQFML-UHFFFAOYSA-N 1 2 313.352 1.455 20 30 DDEDLO Cc1cc(N2CCC(NC(=O)C3(C#N)CCCC3)CC2)nc[nH+]1 ZINC000370268588 418742667 /nfs/dbraw/zinc/74/26/67/418742667.db2.gz LATHCZXCFGZSHN-UHFFFAOYSA-N 1 2 313.405 1.954 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+](C2(C(N)=O)CCCCC2)CC1 ZINC000362033276 418742837 /nfs/dbraw/zinc/74/28/37/418742837.db2.gz TWNVDHDOCKBTCB-UHFFFAOYSA-N 1 2 319.449 1.512 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)[C@@H](C#N)Cc1ccc(C#N)cc1)CC2 ZINC000370468603 418745160 /nfs/dbraw/zinc/74/51/60/418745160.db2.gz JTPLEDOGOCVPJA-MRXNPFEDSA-N 1 2 319.368 1.788 20 30 DDEDLO CC1(C)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1O ZINC000388163955 418745167 /nfs/dbraw/zinc/74/51/67/418745167.db2.gz JGYVARIVOQIZBG-CQSZACIVSA-N 1 2 308.403 1.035 20 30 DDEDLO CC1(C)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1O ZINC000388163955 418745170 /nfs/dbraw/zinc/74/51/70/418745170.db2.gz JGYVARIVOQIZBG-CQSZACIVSA-N 1 2 308.403 1.035 20 30 DDEDLO Cc1ncsc1C[N@H+]1C[C@@H](F)C[C@H]1CN(C)C(=O)CC#N ZINC000281264104 222546772 /nfs/dbraw/zinc/54/67/72/222546772.db2.gz QSVGBBMEIMXXRL-RYUDHWBXSA-N 1 2 310.398 1.736 20 30 DDEDLO Cc1ncsc1C[N@@H+]1C[C@@H](F)C[C@H]1CN(C)C(=O)CC#N ZINC000281264104 222546773 /nfs/dbraw/zinc/54/67/73/222546773.db2.gz QSVGBBMEIMXXRL-RYUDHWBXSA-N 1 2 310.398 1.736 20 30 DDEDLO CS(=O)(=O)CC1CC[NH+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000404062988 418769858 /nfs/dbraw/zinc/76/98/58/418769858.db2.gz IRBCHIFFLQTULF-UHFFFAOYSA-N 1 2 310.394 1.954 20 30 DDEDLO Cc1cc([C@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)[nH]n1 ZINC000364016008 418771970 /nfs/dbraw/zinc/77/19/70/418771970.db2.gz WPGKMNSGNXOHLG-GUYCJALGSA-N 1 2 315.421 1.706 20 30 DDEDLO Cc1cc([C@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)[nH]n1 ZINC000364016008 418771971 /nfs/dbraw/zinc/77/19/71/418771971.db2.gz WPGKMNSGNXOHLG-GUYCJALGSA-N 1 2 315.421 1.706 20 30 DDEDLO Cc1cc([C@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)n[nH]1 ZINC000364016008 418771973 /nfs/dbraw/zinc/77/19/73/418771973.db2.gz WPGKMNSGNXOHLG-GUYCJALGSA-N 1 2 315.421 1.706 20 30 DDEDLO Cc1cc([C@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)n[nH]1 ZINC000364016008 418771975 /nfs/dbraw/zinc/77/19/75/418771975.db2.gz WPGKMNSGNXOHLG-GUYCJALGSA-N 1 2 315.421 1.706 20 30 DDEDLO Cc1cc(NC(=O)[C@H]2CC[N@@H+]2Cc2ccccc2)nn1CCC#N ZINC000363951792 418772308 /nfs/dbraw/zinc/77/23/08/418772308.db2.gz XCBJAGVYHOYKRY-MRXNPFEDSA-N 1 2 323.400 2.318 20 30 DDEDLO Cc1cc(NC(=O)[C@H]2CC[N@H+]2Cc2ccccc2)nn1CCC#N ZINC000363951792 418772310 /nfs/dbraw/zinc/77/23/10/418772310.db2.gz XCBJAGVYHOYKRY-MRXNPFEDSA-N 1 2 323.400 2.318 20 30 DDEDLO N#CC1CCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)CC1 ZINC000408085464 418785957 /nfs/dbraw/zinc/78/59/57/418785957.db2.gz ZZGAHTASBBHJHY-UHFFFAOYSA-N 1 2 301.394 1.707 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@H]1[C@H]1CCCC1=O ZINC000365044570 418832755 /nfs/dbraw/zinc/83/27/55/418832755.db2.gz HEEIWJKNNRDXRV-CABCVRRESA-N 1 2 308.422 1.481 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@H]1[C@H]1CCCC1=O ZINC000365044570 418832758 /nfs/dbraw/zinc/83/27/58/418832758.db2.gz HEEIWJKNNRDXRV-CABCVRRESA-N 1 2 308.422 1.481 20 30 DDEDLO C=Cn1cc(C[N@@H+]2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000365827902 418913948 /nfs/dbraw/zinc/91/39/48/418913948.db2.gz SFWLCESOADGFTR-RDTXWAMCSA-N 1 2 319.368 1.829 20 30 DDEDLO C=Cn1cc(C[N@H+]2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000365827902 418913950 /nfs/dbraw/zinc/91/39/50/418913950.db2.gz SFWLCESOADGFTR-RDTXWAMCSA-N 1 2 319.368 1.829 20 30 DDEDLO Cc1cc(C)c(NC(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)c(C)[nH+]1 ZINC000425812647 419343181 /nfs/dbraw/zinc/34/31/81/419343181.db2.gz MNOVLNKXXUVJSZ-QWHCGFSZSA-N 1 2 300.362 1.754 20 30 DDEDLO C[C@H](C(=O)N(C)[C@H](C)c1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000425130961 228370221 /nfs/dbraw/zinc/37/02/21/228370221.db2.gz OXLLGSVGQIOXJC-ZIAGYGMSSA-N 1 2 301.390 1.798 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1N1CCN(Cc2[nH]cc[nH+]2)CC1 ZINC000425219497 420327556 /nfs/dbraw/zinc/32/75/56/420327556.db2.gz AQUNRONQPKGHJU-UHFFFAOYSA-N 1 2 312.333 1.512 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CCC(CCCn2cc[nH+]c2)CC1 ZINC000416460188 420380796 /nfs/dbraw/zinc/38/07/96/420380796.db2.gz VVIUKZWWXWGPTH-ZDUSSCGKSA-N 1 2 310.423 1.617 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)[C@H](C)[C@H](C)O1 ZINC000446068823 230227231 /nfs/dbraw/zinc/22/72/31/230227231.db2.gz DMYJGSGPNMCCGE-MCIONIFRSA-N 1 2 301.390 1.672 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)[C@H](C)[C@H](C)O1 ZINC000446068823 230227236 /nfs/dbraw/zinc/22/72/36/230227236.db2.gz DMYJGSGPNMCCGE-MCIONIFRSA-N 1 2 301.390 1.672 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+]Cc2cc(C#N)ccc2F)C(N)=O)cc1 ZINC000439633819 420528386 /nfs/dbraw/zinc/52/83/86/420528386.db2.gz NJRRBYNZBZAYBF-INIZCTEOSA-N 1 2 308.316 1.885 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2cc(OCC)ccc2C1 ZINC000441066733 420630177 /nfs/dbraw/zinc/63/01/77/420630177.db2.gz PIPLKTCLYBXDJR-UHFFFAOYSA-N 1 2 317.389 1.455 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2cc(OCC)ccc2C1 ZINC000441066733 420630180 /nfs/dbraw/zinc/63/01/80/420630180.db2.gz PIPLKTCLYBXDJR-UHFFFAOYSA-N 1 2 317.389 1.455 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)CNc1cccc(C#N)c1 ZINC000447657895 420791017 /nfs/dbraw/zinc/79/10/17/420791017.db2.gz XEFIMVFTLNXMEI-CQSZACIVSA-N 1 2 316.405 1.149 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCN(C(=O)C=Cc3c[nH]c[nH+]3)C2)nc1 ZINC000493282165 420807709 /nfs/dbraw/zinc/80/77/09/420807709.db2.gz GATMGDDVZZMRBH-CTGSTSKSSA-N 1 2 309.329 1.369 20 30 DDEDLO CC[C@@H](C(=O)OC)[NH+]1CCN(c2ccc(C#N)c(C)n2)CC1 ZINC000487774926 421049997 /nfs/dbraw/zinc/04/99/97/421049997.db2.gz YNIRJFFYYZWVJP-AWEZNQCLSA-N 1 2 302.378 1.335 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)c2ccc(C#N)n2C)CC1 ZINC000449866317 421100895 /nfs/dbraw/zinc/10/08/95/421100895.db2.gz DHCHXMWWBBESMS-CQSZACIVSA-N 1 2 323.400 1.811 20 30 DDEDLO C=CCn1cccc1C(=O)N1C[C@@H](C)[C@@H]([NH+]2CCOCC2)C1 ZINC000488754373 421112076 /nfs/dbraw/zinc/11/20/76/421112076.db2.gz UINDADZYQLDRDF-ZBFHGGJFSA-N 1 2 303.406 1.467 20 30 DDEDLO Cc1ncc(S(=O)(=O)NCCNc2cccc[nH+]2)cc1C#N ZINC000489585724 421166955 /nfs/dbraw/zinc/16/69/55/421166955.db2.gz MTMBKTNCHBTYNS-UHFFFAOYSA-N 1 2 317.374 1.047 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCN(c2c[nH+]ccc2C)CC1 ZINC000489861237 421177117 /nfs/dbraw/zinc/17/71/17/421177117.db2.gz PAJWWFVFPBZOBO-UHFFFAOYSA-N 1 2 309.435 1.808 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000523059185 421231736 /nfs/dbraw/zinc/23/17/36/421231736.db2.gz DLKZEIFPVGQCAI-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000523059185 421231740 /nfs/dbraw/zinc/23/17/40/421231740.db2.gz DLKZEIFPVGQCAI-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO N#CCC1CCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)CC1 ZINC000527254895 421371758 /nfs/dbraw/zinc/37/17/58/421371758.db2.gz MRQBQUMEATUGQT-INIZCTEOSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CCC1CCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)CC1 ZINC000527254895 421371759 /nfs/dbraw/zinc/37/17/59/421371759.db2.gz MRQBQUMEATUGQT-INIZCTEOSA-N 1 2 305.422 1.782 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CCN(c3cccc[nH+]3)CC2)cn1 ZINC000527648842 421426805 /nfs/dbraw/zinc/42/68/05/421426805.db2.gz KMTSAPKCLZQSSR-UHFFFAOYSA-N 1 2 307.357 1.240 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ncccc2Cl)CC1 ZINC000528335383 421479970 /nfs/dbraw/zinc/47/99/70/421479970.db2.gz CBGWWNAQFVLCLY-UHFFFAOYSA-N 1 2 308.813 1.155 20 30 DDEDLO Cc1cc(C#N)ccc1C[NH+]1CCN(S(=O)(=O)C2CC2)CC1 ZINC000528615211 421501832 /nfs/dbraw/zinc/50/18/32/421501832.db2.gz XTWBIRPGMHBMFO-UHFFFAOYSA-N 1 2 319.430 1.477 20 30 DDEDLO CNC(=O)NC[C@H]1C[N@@H+]([C@H](C)c2ccc(C#N)cc2)CCO1 ZINC000528629610 421503221 /nfs/dbraw/zinc/50/32/21/421503221.db2.gz WLHBJYPHTNVGCC-DOMZBBRYSA-N 1 2 302.378 1.249 20 30 DDEDLO CNC(=O)NC[C@H]1C[N@H+]([C@H](C)c2ccc(C#N)cc2)CCO1 ZINC000528629610 421503222 /nfs/dbraw/zinc/50/32/22/421503222.db2.gz WLHBJYPHTNVGCC-DOMZBBRYSA-N 1 2 302.378 1.249 20 30 DDEDLO Cc1nc(N)c(CNC(=O)[C@@H](C)Oc2ccc(C#N)cc2)c[nH+]1 ZINC000552188572 421579955 /nfs/dbraw/zinc/57/99/55/421579955.db2.gz SLNSKIRWDHXSPF-SNVBAGLBSA-N 1 2 311.345 1.323 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ncccc3O)CC2)c1C#N ZINC000516215080 421534988 /nfs/dbraw/zinc/53/49/88/421534988.db2.gz DWIUZNUTBBNVIV-UHFFFAOYSA-N 1 2 312.377 1.023 20 30 DDEDLO CCOCCOc1ccccc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000567114050 421610556 /nfs/dbraw/zinc/61/05/56/421610556.db2.gz AGXCMGZEXHNOTG-QGZVFWFLSA-N 1 2 319.405 1.676 20 30 DDEDLO N#C[C@@H]1CN(C(=O)Nc2ccc(Cn3cc[nH+]c3)cn2)CCO1 ZINC000554465194 421642186 /nfs/dbraw/zinc/64/21/86/421642186.db2.gz DBGRSJQULWBWTK-CYBMUJFWSA-N 1 2 312.333 1.083 20 30 DDEDLO CC(C)OC1CC[NH+]([C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000533338716 421672936 /nfs/dbraw/zinc/67/29/36/421672936.db2.gz AZDLTUKMZZYQCN-MRXNPFEDSA-N 1 2 301.390 1.974 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N1CCC(CC#N)CC1 ZINC000541970816 421815281 /nfs/dbraw/zinc/81/52/81/421815281.db2.gz DDWQZHLLJYULJT-UHFFFAOYSA-N 1 2 302.334 1.181 20 30 DDEDLO N#Cc1cccc(CNC(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)c1 ZINC000522220490 421820108 /nfs/dbraw/zinc/82/01/08/421820108.db2.gz SNRRSDRCNFIYDO-UHFFFAOYSA-N 1 2 324.388 1.309 20 30 DDEDLO N#CCC1CC[NH+](CC(=O)N2CC(=O)Nc3ccccc32)CC1 ZINC000541022405 421784671 /nfs/dbraw/zinc/78/46/71/421784671.db2.gz CNBCRMMVPPLEHB-UHFFFAOYSA-N 1 2 312.373 1.597 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000572933284 421887618 /nfs/dbraw/zinc/88/76/18/421887618.db2.gz VAWIXWBTLQRLPI-KEYYUXOJSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000572933284 421887622 /nfs/dbraw/zinc/88/76/22/421887622.db2.gz VAWIXWBTLQRLPI-KEYYUXOJSA-N 1 2 321.421 1.207 20 30 DDEDLO N#Cc1ccc(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)cc1C#N ZINC000581340175 421952345 /nfs/dbraw/zinc/95/23/45/421952345.db2.gz JHKNVNJYXYLPOB-UHFFFAOYSA-N 1 2 315.296 1.658 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1cccc(Br)c1 ZINC000573925327 422033816 /nfs/dbraw/zinc/03/38/16/422033816.db2.gz VQDLUXADRUOLAC-AWEZNQCLSA-N 1 2 324.222 1.952 20 30 DDEDLO CC#CCCNC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000637058873 421981299 /nfs/dbraw/zinc/98/12/99/421981299.db2.gz QOFJUTOLLARCDE-UHFFFAOYSA-N 1 2 318.446 1.847 20 30 DDEDLO C[C@H](O)C[C@@H]1COCCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000581575267 422004512 /nfs/dbraw/zinc/00/45/12/422004512.db2.gz IOXWLNZAVKXPAA-GXTWGEPZSA-N 1 2 300.362 1.178 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000628577486 422236437 /nfs/dbraw/zinc/23/64/37/422236437.db2.gz ZIVZCMAPJTWVKC-KRWDZBQOSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000628577486 422236441 /nfs/dbraw/zinc/23/64/41/422236441.db2.gz ZIVZCMAPJTWVKC-KRWDZBQOSA-N 1 2 310.438 1.321 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)N1CCC(CCC#N)CC1 ZINC000577103722 422381512 /nfs/dbraw/zinc/38/15/12/422381512.db2.gz XVOBDDRKIJQPHQ-CQSZACIVSA-N 1 2 308.426 1.432 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)N1CCC(CCC#N)CC1 ZINC000577103722 422381518 /nfs/dbraw/zinc/38/15/18/422381518.db2.gz XVOBDDRKIJQPHQ-CQSZACIVSA-N 1 2 308.426 1.432 20 30 DDEDLO CC1(C)C[N@H+](CCNc2nc(C#N)c(Cl)s2)CCO1 ZINC000590575610 422319903 /nfs/dbraw/zinc/31/99/03/422319903.db2.gz LMUOGSPWTUYSED-UHFFFAOYSA-N 1 2 300.815 2.191 20 30 DDEDLO CC1(C)C[N@@H+](CCNc2nc(C#N)c(Cl)s2)CCO1 ZINC000590575610 422319909 /nfs/dbraw/zinc/31/99/09/422319909.db2.gz LMUOGSPWTUYSED-UHFFFAOYSA-N 1 2 300.815 2.191 20 30 DDEDLO C=CCC1(CNS(=O)(=O)C[C@@H]2C[N@H+](C)CCO2)CCCC1 ZINC000632418964 422326811 /nfs/dbraw/zinc/32/68/11/422326811.db2.gz SNNWSTZLNCJFCZ-AWEZNQCLSA-N 1 2 316.467 1.373 20 30 DDEDLO C=CCC1(CNS(=O)(=O)C[C@@H]2C[N@@H+](C)CCO2)CCCC1 ZINC000632418964 422326817 /nfs/dbraw/zinc/32/68/17/422326817.db2.gz SNNWSTZLNCJFCZ-AWEZNQCLSA-N 1 2 316.467 1.373 20 30 DDEDLO N#Cc1cc(NCc2cc[nH+]c(N3CCSCC3)c2)ncn1 ZINC000601240956 422422694 /nfs/dbraw/zinc/42/26/94/422422694.db2.gz SLCPNHXEWKEXLB-UHFFFAOYSA-N 1 2 312.402 1.909 20 30 DDEDLO C=CCCn1cc(C(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)nn1 ZINC000577947962 422462588 /nfs/dbraw/zinc/46/25/88/422462588.db2.gz IVWMJWSKOBPWLM-CYBMUJFWSA-N 1 2 300.366 1.945 20 30 DDEDLO C=CCCn1cc(Cn2cc(C[NH+]3CCSCC3)nn2)nn1 ZINC000641183162 423454877 /nfs/dbraw/zinc/45/48/77/423454877.db2.gz VYHVXPHECJFHFW-UHFFFAOYSA-N 1 2 319.438 1.043 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)c2ccccc2OC)CC1 ZINC000649225364 423688692 /nfs/dbraw/zinc/68/86/92/423688692.db2.gz INYZVSWACXJCMV-HNNXBMFYSA-N 1 2 304.390 1.390 20 30 DDEDLO C=CCC[C@H](CO)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000641526830 423696432 /nfs/dbraw/zinc/69/64/32/423696432.db2.gz USJZAFLOOJMMQP-CQSZACIVSA-N 1 2 324.446 1.280 20 30 DDEDLO C=CCC[C@H](CO)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000641526830 423696437 /nfs/dbraw/zinc/69/64/37/423696437.db2.gz USJZAFLOOJMMQP-CQSZACIVSA-N 1 2 324.446 1.280 20 30 DDEDLO C=CCCn1cc(C(=O)NCC[NH+]2Cc3ccccc3C2)nn1 ZINC000644593701 423716584 /nfs/dbraw/zinc/71/65/84/423716584.db2.gz DVAFNTQJACLTBX-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=C[C@H](CO)[NH2+][C@H](C)c1nc(N)nc(Nc2ccccc2)n1 ZINC000662167629 424450656 /nfs/dbraw/zinc/45/06/56/424450656.db2.gz COKILKNYZFYWQH-GHMZBOCLSA-N 1 2 300.366 1.395 20 30 DDEDLO C=C[C@H](CO)[NH2+][C@@H](C)c1nc(N)nc(Nc2ccccc2)n1 ZINC000662167630 424451707 /nfs/dbraw/zinc/45/17/07/424451707.db2.gz COKILKNYZFYWQH-WDEREUQCSA-N 1 2 300.366 1.395 20 30 DDEDLO CCN(CC)c1ccc(CNC(=O)c2cnn(C)c2C#N)c[nH+]1 ZINC000354608207 266121565 /nfs/dbraw/zinc/12/15/65/266121565.db2.gz VEOGFOMGWLOMKW-UHFFFAOYSA-N 1 2 312.377 1.463 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1snc(Cl)c1C#N ZINC000376202030 267080159 /nfs/dbraw/zinc/08/01/59/267080159.db2.gz XZRLMHSSFUEFPD-NXEZZACHSA-N 1 2 312.826 1.968 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N2CCc3c[nH+]ccc32)c(F)c1 ZINC000359984667 268079517 /nfs/dbraw/zinc/07/95/17/268079517.db2.gz CHJHGSZAOJULCU-UHFFFAOYSA-N 1 2 317.345 1.985 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@@H+]2CCOC[C@H]2[C@@H]2CCCO2)cc1 ZINC000368516116 268213989 /nfs/dbraw/zinc/21/39/89/268213989.db2.gz XUQLBDJREAVLMZ-BBWFWOEESA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@H+]2CCOC[C@H]2[C@@H]2CCCO2)cc1 ZINC000368516116 268213990 /nfs/dbraw/zinc/21/39/90/268213990.db2.gz XUQLBDJREAVLMZ-BBWFWOEESA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@]3(C2)NC(=O)NC3=O)c(Cl)c1 ZINC000517484791 268219916 /nfs/dbraw/zinc/21/99/16/268219916.db2.gz VAEKSYYJOGBBQX-OAHLLOKOSA-N 1 2 318.764 1.386 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1F ZINC000292586475 277911380 /nfs/dbraw/zinc/91/13/80/277911380.db2.gz VMZRJWGHCYYPJV-BXUZGUMPSA-N 1 2 318.352 1.495 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)NC2(C#N)CCC(C(C)(C)C)CC2)C1 ZINC000183902867 290075149 /nfs/dbraw/zinc/07/51/49/290075149.db2.gz DZRDACMALZTIBZ-XOYHFGRZSA-N 1 2 307.438 1.932 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)NC2(C#N)CCC(C(C)(C)C)CC2)C1 ZINC000183902867 290075151 /nfs/dbraw/zinc/07/51/51/290075151.db2.gz DZRDACMALZTIBZ-XOYHFGRZSA-N 1 2 307.438 1.932 20 30 DDEDLO Cc1oc(C(C)C)cc1C(=O)NC[C@@H](O)C[NH+]1CCOCC1 ZINC000330581465 294034340 /nfs/dbraw/zinc/03/43/40/294034340.db2.gz QQSDJVVSAMGYSL-CYBMUJFWSA-N 1 2 310.394 1.709 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)N1CC2(C1)CCOCC2 ZINC000330229197 295381354 /nfs/dbraw/zinc/38/13/54/295381354.db2.gz VRZVTTKLISAEHM-CQSZACIVSA-N 1 2 316.405 1.687 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000345735549 298135160 /nfs/dbraw/zinc/13/51/60/298135160.db2.gz CJUXCMYACCNXLQ-GFCCVEGCSA-N 1 2 316.361 1.978 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+](C)Cc1ccccc1[N+](=O)[O-] ZINC000345735549 298135162 /nfs/dbraw/zinc/13/51/62/298135162.db2.gz CJUXCMYACCNXLQ-GFCCVEGCSA-N 1 2 316.361 1.978 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3cnccc3C#N)CC2)c1 ZINC000575813613 304735359 /nfs/dbraw/zinc/73/53/59/304735359.db2.gz TXSPVFOVWKZCTN-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cnccc3C#N)CC2)nc1 ZINC000565575163 308033804 /nfs/dbraw/zinc/03/38/04/308033804.db2.gz HNXDLDPKIHDXFB-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO Cn1cc(C[NH+]2CCN(Cc3nc(C#N)cs3)CC2)cn1 ZINC000565982635 308040134 /nfs/dbraw/zinc/04/01/34/308040134.db2.gz CTEGGOWJQUBOBJ-UHFFFAOYSA-N 1 2 302.407 1.066 20 30 DDEDLO CC(=O)N1CC[N@H+](Cc2cnc3c(C#N)cnn3c2)C(C)(C)C1 ZINC000569693973 308146100 /nfs/dbraw/zinc/14/61/00/308146100.db2.gz CUQBVDZIQRXHMB-UHFFFAOYSA-N 1 2 312.377 1.044 20 30 DDEDLO CC(=O)N1CC[N@@H+](Cc2cnc3c(C#N)cnn3c2)C(C)(C)C1 ZINC000569693973 308146101 /nfs/dbraw/zinc/14/61/01/308146101.db2.gz CUQBVDZIQRXHMB-UHFFFAOYSA-N 1 2 312.377 1.044 20 30 DDEDLO N#CC1CC[NH+]([C@H]2CC(=O)N(CCc3ccccc3)C2=O)CC1 ZINC000519933001 331486979 /nfs/dbraw/zinc/48/69/79/331486979.db2.gz DPKMNBQQHQJAEI-INIZCTEOSA-N 1 2 311.385 1.592 20 30 DDEDLO Cc1cc(=O)cc(C)n1CCCC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000570097704 332711613 /nfs/dbraw/zinc/71/16/13/332711613.db2.gz JVMCLBIWZFTBFB-KRWDZBQOSA-N 1 2 318.421 1.205 20 30 DDEDLO CC[N@@H+](CC(=O)NC(=O)NCc1ccccc1)C[C@@H](C)C#N ZINC000108975277 333216863 /nfs/dbraw/zinc/21/68/63/333216863.db2.gz DRAFDYBOIYKXLP-ZDUSSCGKSA-N 1 2 302.378 1.494 20 30 DDEDLO CC[N@H+](CC(=O)NC(=O)NCc1ccccc1)C[C@@H](C)C#N ZINC000108975277 333216864 /nfs/dbraw/zinc/21/68/64/333216864.db2.gz DRAFDYBOIYKXLP-ZDUSSCGKSA-N 1 2 302.378 1.494 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@H]1CCN(c2ccccc2F)C1 ZINC000563220404 333486303 /nfs/dbraw/zinc/48/63/03/333486303.db2.gz NBAUNFOBMLEVQM-SUMWQHHRSA-N 1 2 318.396 1.612 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCc2nc(N(C)C)no2)cc1 ZINC000289429026 334165272 /nfs/dbraw/zinc/16/52/72/334165272.db2.gz ROHOUESSOVBMEQ-UHFFFAOYSA-N 1 2 305.338 1.176 20 30 DDEDLO Cn1nccc1[C@H](CO)[NH2+]Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000583028599 337230777 /nfs/dbraw/zinc/23/07/77/337230777.db2.gz RWQQTUDJPPKTRL-LBPRGKRZSA-N 1 2 301.306 1.023 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]CC(=O)N(C)C2(C#N)CCCCC2)o1 ZINC000544515367 341084577 /nfs/dbraw/zinc/08/45/77/341084577.db2.gz WYAVECMLVXKOSN-LLVKDONJSA-N 1 2 305.382 1.714 20 30 DDEDLO CC#CCNC(=O)NCc1ccc(N2C[C@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000135117130 341231274 /nfs/dbraw/zinc/23/12/74/341231274.db2.gz GOSQSPRZFMYGJB-KBPBESRZSA-N 1 2 316.405 1.518 20 30 DDEDLO CCCN(CC#N)CC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000172238096 341795402 /nfs/dbraw/zinc/79/54/02/341795402.db2.gz HAJGENQPDDYOQT-UHFFFAOYSA-N 1 2 320.462 1.628 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccnn1CC(F)(F)F ZINC000575926111 341815047 /nfs/dbraw/zinc/81/50/47/341815047.db2.gz MPQLCDYLATXLPB-LLVKDONJSA-N 1 2 303.288 1.019 20 30 DDEDLO C=CCN1C(=O)N(Cc2c[nH+]cn2C)C(=O)[C@H]1Cc1ccccc1 ZINC000349006156 341961656 /nfs/dbraw/zinc/96/16/56/341961656.db2.gz DOPPWTORUIZVSB-MRXNPFEDSA-N 1 2 324.384 1.982 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000666248264 485143115 /nfs/dbraw/zinc/14/31/15/485143115.db2.gz UONPFBVMAWRDBW-AWEZNQCLSA-N 1 2 304.394 1.235 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@H]1CCC[N@H+](Cc2c(F)cccc2F)C1 ZINC000668571161 485220312 /nfs/dbraw/zinc/22/03/12/485220312.db2.gz CHEQHZIGSKXKOM-NHYWBVRUSA-N 1 2 310.344 1.592 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@H]1CCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC000668571161 485220317 /nfs/dbraw/zinc/22/03/17/485220317.db2.gz CHEQHZIGSKXKOM-NHYWBVRUSA-N 1 2 310.344 1.592 20 30 DDEDLO C=C[C@H](O)C(=O)Nc1ccccc1C[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC000666647224 485311106 /nfs/dbraw/zinc/31/11/06/485311106.db2.gz FMGAQBFOVWLJMK-XEZPLFJOSA-N 1 2 304.390 1.781 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000678796197 485688915 /nfs/dbraw/zinc/68/89/15/485688915.db2.gz OKOMUGUMLHZDRM-CQSZACIVSA-N 1 2 317.389 1.193 20 30 DDEDLO Cc1nnc([C@@H]2CCCN(C(=O)NCc3[nH+]ccn3C)C2)[nH]1 ZINC000330093575 534556565 /nfs/dbraw/zinc/55/65/65/534556565.db2.gz ZVZDDXQFUWXXNS-LLVKDONJSA-N 1 2 303.370 1.140 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@H+]3C[C@@H](F)C[C@H]3CO)C2=O)cc1 ZINC000331412615 534791025 /nfs/dbraw/zinc/79/10/25/534791025.db2.gz GSMMRRDKDDOLRY-BPUTZDHNSA-N 1 2 317.364 1.458 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@@H+]3C[C@@H](F)C[C@H]3CO)C2=O)cc1 ZINC000331412615 534791032 /nfs/dbraw/zinc/79/10/32/534791032.db2.gz GSMMRRDKDDOLRY-BPUTZDHNSA-N 1 2 317.364 1.458 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N(C)CC(=O)Nc1cccc(OC)c1 ZINC000339751515 526359090 /nfs/dbraw/zinc/35/90/90/526359090.db2.gz FRDDIUUCBBMCDD-UHFFFAOYSA-N 1 2 317.389 1.047 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N(C)CC(=O)Nc1cccc(OC)c1 ZINC000339751515 526359097 /nfs/dbraw/zinc/35/90/97/526359097.db2.gz FRDDIUUCBBMCDD-UHFFFAOYSA-N 1 2 317.389 1.047 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2sc(C)nc2C)CC1 ZINC000349764773 526538451 /nfs/dbraw/zinc/53/84/51/526538451.db2.gz OEAFRBWASRWMLI-UHFFFAOYSA-N 1 2 308.451 1.180 20 30 DDEDLO C=CCOCCCC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000433100491 526732672 /nfs/dbraw/zinc/73/26/72/526732672.db2.gz BMSDUKPOHBQZPD-AWEZNQCLSA-N 1 2 306.410 1.598 20 30 DDEDLO CC(=O)NC[C@@H]1CCCN(C(=O)NCCCn2cc[nH+]c2)C1 ZINC000330477437 526767548 /nfs/dbraw/zinc/76/75/48/526767548.db2.gz MLKZJCPSKMCEBN-AWEZNQCLSA-N 1 2 307.398 1.035 20 30 DDEDLO CC(=O)NC[C@@H]1CCCN(C([O-])=[NH+]CCCn2cc[nH+]c2)C1 ZINC000330477437 526767556 /nfs/dbraw/zinc/76/75/56/526767556.db2.gz MLKZJCPSKMCEBN-AWEZNQCLSA-N 1 2 307.398 1.035 20 30 DDEDLO C#CC[N@@H+](CCc1ccc(CC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000490850970 526900477 /nfs/dbraw/zinc/90/04/77/526900477.db2.gz CTYCNHYJZFWTBY-QGZVFWFLSA-N 1 2 305.443 1.914 20 30 DDEDLO C#CC[N@H+](CCc1ccc(CC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000490850970 526900481 /nfs/dbraw/zinc/90/04/81/526900481.db2.gz CTYCNHYJZFWTBY-QGZVFWFLSA-N 1 2 305.443 1.914 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C#N)cc2CC)CC1 ZINC000491693110 526951667 /nfs/dbraw/zinc/95/16/67/526951667.db2.gz IKGNELYXZOYHTM-UHFFFAOYSA-N 1 2 311.385 1.277 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(-n3cccn3)cc2)CC1 ZINC000491242643 526951835 /nfs/dbraw/zinc/95/18/35/526951835.db2.gz RFDFZNIMBFJALX-UHFFFAOYSA-N 1 2 324.384 1.029 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N2CCCC2)CC1 ZINC000340289330 526986600 /nfs/dbraw/zinc/98/66/00/526986600.db2.gz FKLGHEPGLSWXLY-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N2CCCC2)CC1 ZINC000340289330 526986607 /nfs/dbraw/zinc/98/66/07/526986607.db2.gz FKLGHEPGLSWXLY-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO CC(=O)Nc1ccc(C[NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)cn1 ZINC000432504826 526987227 /nfs/dbraw/zinc/98/72/27/526987227.db2.gz XMCDOFIPCFQOLR-INIZCTEOSA-N 1 2 323.356 1.228 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C(/C)CCC)CC1 ZINC000490655373 526990324 /nfs/dbraw/zinc/99/03/24/526990324.db2.gz AACYLBCQSQXROW-SQFISAMPSA-N 1 2 305.422 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C(/C)CCC)CC1 ZINC000490655373 526990326 /nfs/dbraw/zinc/99/03/26/526990326.db2.gz AACYLBCQSQXROW-SQFISAMPSA-N 1 2 305.422 1.017 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(Cc2ccc(Cl)cc2F)CC1 ZINC000491289549 527002867 /nfs/dbraw/zinc/00/28/67/527002867.db2.gz ZQUDTQGUFUQHKN-UHFFFAOYSA-N 1 2 323.799 1.346 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@@H](C)c2ccc(Cl)cc2)CC1 ZINC000491164886 527003748 /nfs/dbraw/zinc/00/37/48/527003748.db2.gz GMFXFAIIYSZUPP-AWEZNQCLSA-N 1 2 319.836 1.768 20 30 DDEDLO CC(=O)[C@H](Cc1ccccc1)NC(=O)C[NH2+][C@@H]1CCC[C@@H]1C#N ZINC000459478153 527147074 /nfs/dbraw/zinc/14/70/74/527147074.db2.gz ZGJOHJFKMNIGOT-ZACQAIPSSA-N 1 2 313.401 1.585 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000342168388 527183737 /nfs/dbraw/zinc/18/37/37/527183737.db2.gz VRQPIKDLEPNSJJ-INIZCTEOSA-N 1 2 318.417 1.433 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1 ZINC000491288308 527214591 /nfs/dbraw/zinc/21/45/91/527214591.db2.gz QQUFHWHBFIRCTQ-SNVBAGLBSA-N 1 2 301.346 1.806 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1 ZINC000491288308 527214596 /nfs/dbraw/zinc/21/45/96/527214596.db2.gz QQUFHWHBFIRCTQ-SNVBAGLBSA-N 1 2 301.346 1.806 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1 ZINC000491643449 527330876 /nfs/dbraw/zinc/33/08/76/527330876.db2.gz FUWJTVPFUFUYON-STQMWFEESA-N 1 2 319.380 1.073 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1 ZINC000491643449 527330877 /nfs/dbraw/zinc/33/08/77/527330877.db2.gz FUWJTVPFUFUYON-STQMWFEESA-N 1 2 319.380 1.073 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)N[C@@](C)(c2cccc(C#N)c2)C1=O ZINC000491676928 527333073 /nfs/dbraw/zinc/33/30/73/527333073.db2.gz ZSHRGQXMMZNRDV-SJCJKPOMSA-N 1 2 310.357 1.236 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)N[C@@](C)(c2cccc(C#N)c2)C1=O ZINC000491676928 527333076 /nfs/dbraw/zinc/33/30/76/527333076.db2.gz ZSHRGQXMMZNRDV-SJCJKPOMSA-N 1 2 310.357 1.236 20 30 DDEDLO C#C[C@H](CCC)NC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000491276902 527368015 /nfs/dbraw/zinc/36/80/15/527368015.db2.gz LIUVXDOXINMKGU-MRXNPFEDSA-N 1 2 300.406 1.711 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C(C)C ZINC000491811777 527401257 /nfs/dbraw/zinc/40/12/57/527401257.db2.gz FLXJNOGTZMAVFO-LSDHHAIUSA-N 1 2 318.421 1.257 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C(C)C ZINC000491811777 527401260 /nfs/dbraw/zinc/40/12/60/527401260.db2.gz FLXJNOGTZMAVFO-LSDHHAIUSA-N 1 2 318.421 1.257 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000343980856 527523681 /nfs/dbraw/zinc/52/36/81/527523681.db2.gz OQYLDNCHNACKDF-DYVFJYSZSA-N 1 2 318.421 1.630 20 30 DDEDLO C=C[C@H](CC(=O)N[C@H](CO)C[NH+]1CCOCC1)c1ccccc1 ZINC000339216001 527577878 /nfs/dbraw/zinc/57/78/78/527577878.db2.gz NMRKNCQHQKVUBA-WBVHZDCISA-N 1 2 318.417 1.156 20 30 DDEDLO CCCC[N@@H+]1CCOC[C@H]1C(=O)NCC#Cc1cccc(F)c1 ZINC000338254229 528483361 /nfs/dbraw/zinc/48/33/61/528483361.db2.gz WFYXVRBHDJVYGX-KRWDZBQOSA-N 1 2 318.392 1.794 20 30 DDEDLO CCCC[N@H+]1CCOC[C@H]1C(=O)NCC#Cc1cccc(F)c1 ZINC000338254229 528483368 /nfs/dbraw/zinc/48/33/68/528483368.db2.gz WFYXVRBHDJVYGX-KRWDZBQOSA-N 1 2 318.392 1.794 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)N[C@H]1CC(=O)N(C(C)C)C1 ZINC000331189225 528727222 /nfs/dbraw/zinc/72/72/22/528727222.db2.gz OVIGGTAVKBTRFQ-ZDUSSCGKSA-N 1 2 321.425 1.552 20 30 DDEDLO CC(C)[C@@H]1C[N@H+](CC(=O)NC(=O)Nc2ccccc2)CCO1 ZINC000330718461 528746529 /nfs/dbraw/zinc/74/65/29/528746529.db2.gz AUCZJZMHODINBV-AWEZNQCLSA-N 1 2 305.378 1.705 20 30 DDEDLO CC(C)[C@@H]1C[N@@H+](CC(=O)NC(=O)Nc2ccccc2)CCO1 ZINC000330718461 528746532 /nfs/dbraw/zinc/74/65/32/528746532.db2.gz AUCZJZMHODINBV-AWEZNQCLSA-N 1 2 305.378 1.705 20 30 DDEDLO CC[C@H]1C(=O)N(CC)CC[N@H+]1CCOc1ccccc1C#N ZINC000495236168 529192271 /nfs/dbraw/zinc/19/22/71/529192271.db2.gz ABESLHFCTJHLFM-HNNXBMFYSA-N 1 2 301.390 1.880 20 30 DDEDLO CC[C@H]1C(=O)N(CC)CC[N@@H+]1CCOc1ccccc1C#N ZINC000495236168 529192272 /nfs/dbraw/zinc/19/22/72/529192272.db2.gz ABESLHFCTJHLFM-HNNXBMFYSA-N 1 2 301.390 1.880 20 30 DDEDLO CC[N@H+](C[C@H](C)C#N)C[C@@H](O)c1ccc(-c2nn[nH]n2)cc1 ZINC000821170777 606891943 /nfs/dbraw/zinc/89/19/43/606891943.db2.gz WOROQQLUXFJZSR-BXUZGUMPSA-N 1 2 300.366 1.382 20 30 DDEDLO CC[N@@H+](C[C@H](C)C#N)C[C@@H](O)c1ccc(-c2nn[nH]n2)cc1 ZINC000821170777 606891944 /nfs/dbraw/zinc/89/19/44/606891944.db2.gz WOROQQLUXFJZSR-BXUZGUMPSA-N 1 2 300.366 1.382 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3CCCCO3)C2)C1 ZINC000972332898 695219675 /nfs/dbraw/zinc/21/96/75/695219675.db2.gz NODFDICXGBNRFC-NVXWUHKLSA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H]3CCCCO3)C2)C1 ZINC000972332898 695219677 /nfs/dbraw/zinc/21/96/77/695219677.db2.gz NODFDICXGBNRFC-NVXWUHKLSA-N 1 2 308.422 1.435 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cncc(F)c3)C2)C1 ZINC000972333437 695219693 /nfs/dbraw/zinc/21/96/93/695219693.db2.gz QMDHHNORFKXKEQ-KRWDZBQOSA-N 1 2 317.364 1.161 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cncc(F)c3)C2)C1 ZINC000972333437 695219695 /nfs/dbraw/zinc/21/96/95/695219695.db2.gz QMDHHNORFKXKEQ-KRWDZBQOSA-N 1 2 317.364 1.161 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCC(F)(F)C3)C2)C1 ZINC000972410365 695242407 /nfs/dbraw/zinc/24/24/07/695242407.db2.gz VVIVKNPUSSRPNE-UKRRQHHQSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCC(F)(F)C3)C2)C1 ZINC000972410365 695242410 /nfs/dbraw/zinc/24/24/10/695242410.db2.gz VVIVKNPUSSRPNE-UKRRQHHQSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972475310 695259356 /nfs/dbraw/zinc/25/93/56/695259356.db2.gz MVVKPPVIVJKSFX-BQFCYCMXSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972475310 695259358 /nfs/dbraw/zinc/25/93/58/695259358.db2.gz MVVKPPVIVJKSFX-BQFCYCMXSA-N 1 2 302.418 1.359 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCO[C@H]3CC)C2)C1 ZINC000972478863 695260270 /nfs/dbraw/zinc/26/02/70/695260270.db2.gz MYVRRRISLDGBAK-RYRKJORJSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCO[C@H]3CC)C2)C1 ZINC000972478863 695260272 /nfs/dbraw/zinc/26/02/72/695260272.db2.gz MYVRRRISLDGBAK-RYRKJORJSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(CC)CCC3)C2)C1 ZINC000972510056 695268619 /nfs/dbraw/zinc/26/86/19/695268619.db2.gz JDLYSNLUZNJZOC-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(CC)CCC3)C2)C1 ZINC000972510056 695268620 /nfs/dbraw/zinc/26/86/20/695268620.db2.gz JDLYSNLUZNJZOC-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3cncs3)C2)C1 ZINC000972552007 695279753 /nfs/dbraw/zinc/27/97/53/695279753.db2.gz JNUXZEKXYMEUQW-INIZCTEOSA-N 1 2 321.446 1.565 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3cncs3)C2)C1 ZINC000972552007 695279754 /nfs/dbraw/zinc/27/97/54/695279754.db2.gz JNUXZEKXYMEUQW-INIZCTEOSA-N 1 2 321.446 1.565 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCCCO3)C2)C1 ZINC000972577828 695287956 /nfs/dbraw/zinc/28/79/56/695287956.db2.gz OVVGOLNDPDBVTB-FUHWJXTLSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCCCO3)C2)C1 ZINC000972577828 695287957 /nfs/dbraw/zinc/28/79/57/695287957.db2.gz OVVGOLNDPDBVTB-FUHWJXTLSA-N 1 2 320.433 1.272 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cscn3)C2)C1 ZINC000972585065 695290281 /nfs/dbraw/zinc/29/02/81/695290281.db2.gz GQXXDWKWDSCJRS-OAHLLOKOSA-N 1 2 307.419 1.175 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cscn3)C2)C1 ZINC000972585065 695290282 /nfs/dbraw/zinc/29/02/82/695290282.db2.gz GQXXDWKWDSCJRS-OAHLLOKOSA-N 1 2 307.419 1.175 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)C1 ZINC000972590074 695291645 /nfs/dbraw/zinc/29/16/45/695291645.db2.gz NJNWDVUGIPAKHA-SCTDSRPQSA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)C1 ZINC000972590074 695291646 /nfs/dbraw/zinc/29/16/46/695291646.db2.gz NJNWDVUGIPAKHA-SCTDSRPQSA-N 1 2 316.445 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)n(C)c3C)C2)C1 ZINC000972669867 695314039 /nfs/dbraw/zinc/31/40/39/695314039.db2.gz UGVUCLDGNHPKJD-GOSISDBHSA-N 1 2 317.433 1.745 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)n(C)c3C)C2)C1 ZINC000972669867 695314042 /nfs/dbraw/zinc/31/40/42/695314042.db2.gz UGVUCLDGNHPKJD-GOSISDBHSA-N 1 2 317.433 1.745 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc[nH]c(=O)c2)C(C)(C)C1 ZINC000973025666 695399365 /nfs/dbraw/zinc/39/93/65/695399365.db2.gz STBHXPWYDRWHAM-LBPRGKRZSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc[nH]c(=O)c2)C(C)(C)C1 ZINC000973025666 695399367 /nfs/dbraw/zinc/39/93/67/695399367.db2.gz STBHXPWYDRWHAM-LBPRGKRZSA-N 1 2 309.797 1.980 20 30 DDEDLO N#CCn1cc(NC(=O)[C@@H]2CCC[N@@H+]2Cc2ccccn2)cn1 ZINC000746182534 700009537 /nfs/dbraw/zinc/00/95/37/700009537.db2.gz AUVVVKQJBMLHEN-HNNXBMFYSA-N 1 2 310.361 1.405 20 30 DDEDLO N#CCn1cc(NC(=O)[C@@H]2CCC[N@H+]2Cc2ccccn2)cn1 ZINC000746182534 700009538 /nfs/dbraw/zinc/00/95/38/700009538.db2.gz AUVVVKQJBMLHEN-HNNXBMFYSA-N 1 2 310.361 1.405 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2ccnn2C)C(C)(C)C1 ZINC000974500599 695681093 /nfs/dbraw/zinc/68/10/93/695681093.db2.gz PCGVIYNQFLVJOL-ZDUSSCGKSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2ccnn2C)C(C)(C)C1 ZINC000974500599 695681094 /nfs/dbraw/zinc/68/10/94/695681094.db2.gz PCGVIYNQFLVJOL-ZDUSSCGKSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975046731 695784588 /nfs/dbraw/zinc/78/45/88/695784588.db2.gz XQTBBRDKLKEMSJ-XHDPSFHLSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975046731 695784589 /nfs/dbraw/zinc/78/45/89/695784589.db2.gz XQTBBRDKLKEMSJ-XHDPSFHLSA-N 1 2 313.829 1.092 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)[NH+]1CCC(C#N)CC1 ZINC000057879871 696300579 /nfs/dbraw/zinc/30/05/79/696300579.db2.gz ZHRUEAQKRHWXGJ-JTQLQIEISA-N 1 2 313.361 1.419 20 30 DDEDLO CCN(C(=O)CO[NH+]=C(N)Cc1cccnc1)c1ccccc1 ZINC000092914353 696597799 /nfs/dbraw/zinc/59/77/99/696597799.db2.gz VZLRMIYXNYJRQT-UHFFFAOYSA-N 1 2 312.373 1.966 20 30 DDEDLO NC(Cc1ccccc1)=[NH+]OCC(=O)NCc1ccccc1F ZINC000108446465 696633765 /nfs/dbraw/zinc/63/37/65/696633765.db2.gz JTWWHZAHCDOSBH-UHFFFAOYSA-N 1 2 315.348 1.973 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2cc(=O)c3ccccc3[nH]2)CC1 ZINC000981574870 696825762 /nfs/dbraw/zinc/82/57/62/696825762.db2.gz BUKSIKVIQSQONR-UHFFFAOYSA-N 1 2 323.396 1.699 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2cc(=O)c3ccccc3[nH]2)CC1 ZINC000981574870 696825764 /nfs/dbraw/zinc/82/57/64/696825764.db2.gz BUKSIKVIQSQONR-UHFFFAOYSA-N 1 2 323.396 1.699 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CCN(C(=O)N(C)C)CC2)cc1 ZINC000133607314 696827822 /nfs/dbraw/zinc/82/78/22/696827822.db2.gz QOKBIXYXQYPUQV-UHFFFAOYSA-N 1 2 301.390 1.498 20 30 DDEDLO C[C@H](NC(=O)CO[NH+]=C(N)Cc1cccnc1)c1cccs1 ZINC000137645496 696859205 /nfs/dbraw/zinc/85/92/05/696859205.db2.gz OGGGBUQQXVEKAJ-NSHDSACASA-N 1 2 318.402 1.852 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2csc(-c3ncc[nH]3)n2)CC1 ZINC000981654076 696860521 /nfs/dbraw/zinc/86/05/21/696860521.db2.gz NBBXOPAMIXOSMR-UHFFFAOYSA-N 1 2 315.402 1.314 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2csc(-c3ncc[nH]3)n2)CC1 ZINC000981654076 696860522 /nfs/dbraw/zinc/86/05/22/696860522.db2.gz NBBXOPAMIXOSMR-UHFFFAOYSA-N 1 2 315.402 1.314 20 30 DDEDLO Cc1nc(C[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)oc1C ZINC000981670453 696867767 /nfs/dbraw/zinc/86/77/67/696867767.db2.gz XTEREMBOVBMVTI-LBPRGKRZSA-N 1 2 316.405 1.876 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)oc1C ZINC000981670453 696867771 /nfs/dbraw/zinc/86/77/71/696867771.db2.gz XTEREMBOVBMVTI-LBPRGKRZSA-N 1 2 316.405 1.876 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)c3ccon3)CC2)s1 ZINC000980779957 696886930 /nfs/dbraw/zinc/88/69/30/696886930.db2.gz XNKXNTCPDUEBDK-UHFFFAOYSA-N 1 2 316.386 1.956 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)c3ccon3)CC2)s1 ZINC000980779957 696886932 /nfs/dbraw/zinc/88/69/32/696886932.db2.gz XNKXNTCPDUEBDK-UHFFFAOYSA-N 1 2 316.386 1.956 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCCN(C(=O)[C@H](F)CC)CC2)C1=O ZINC000981761375 696906108 /nfs/dbraw/zinc/90/61/08/696906108.db2.gz POLPAVWFXSZONG-ZIAGYGMSSA-N 1 2 311.401 1.056 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCCN(C(=O)[C@H](F)CC)CC2)C1=O ZINC000981761375 696906112 /nfs/dbraw/zinc/90/61/12/696906112.db2.gz POLPAVWFXSZONG-ZIAGYGMSSA-N 1 2 311.401 1.056 20 30 DDEDLO Cc1nc(C[N@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)sc1C ZINC000980848445 696916433 /nfs/dbraw/zinc/91/64/33/696916433.db2.gz XGIBNQNPQDEYQP-NSHDSACASA-N 1 2 306.435 1.954 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)sc1C ZINC000980848445 696916436 /nfs/dbraw/zinc/91/64/36/696916436.db2.gz XGIBNQNPQDEYQP-NSHDSACASA-N 1 2 306.435 1.954 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[N@H+](Cc2ccns2)CC1 ZINC000981994491 696996882 /nfs/dbraw/zinc/99/68/82/696996882.db2.gz LOSIBSUHIHVZNL-CABCVRRESA-N 1 2 321.446 1.769 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[N@@H+](Cc2ccns2)CC1 ZINC000981994491 696996883 /nfs/dbraw/zinc/99/68/83/696996883.db2.gz LOSIBSUHIHVZNL-CABCVRRESA-N 1 2 321.446 1.769 20 30 DDEDLO C=CC[N@H+](Cc1ccc(OC)cc1)CN1C[C@H](OC)CC1=O ZINC000189080115 697570473 /nfs/dbraw/zinc/57/04/73/697570473.db2.gz YQOVUAPSIWTYIU-MRXNPFEDSA-N 1 2 304.390 1.888 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(OC)cc1)CN1C[C@H](OC)CC1=O ZINC000189080115 697570476 /nfs/dbraw/zinc/57/04/76/697570476.db2.gz YQOVUAPSIWTYIU-MRXNPFEDSA-N 1 2 304.390 1.888 20 30 DDEDLO C[C@@H]1C[N@H+](CCOC(=O)CNc2ccc(C#N)cc2)CCO1 ZINC000800144905 700193992 /nfs/dbraw/zinc/19/39/92/700193992.db2.gz KEYAGLJZUYJJTQ-CYBMUJFWSA-N 1 2 303.362 1.234 20 30 DDEDLO C[C@@H]1C[N@@H+](CCOC(=O)CNc2ccc(C#N)cc2)CCO1 ZINC000800144905 700193994 /nfs/dbraw/zinc/19/39/94/700193994.db2.gz KEYAGLJZUYJJTQ-CYBMUJFWSA-N 1 2 303.362 1.234 20 30 DDEDLO Cc1cc(C#N)ccc1CN1CC[NH+](CC[S@@](C)=O)CC1 ZINC000776798062 698141387 /nfs/dbraw/zinc/14/13/87/698141387.db2.gz SRBCSKFJBAKVAC-OAQYLSRUSA-N 1 2 305.447 1.363 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCC2(CS(C)(=O)=O)CC2)ccc1F ZINC000778591830 698350758 /nfs/dbraw/zinc/35/07/58/698350758.db2.gz DRJGZSOUVRRJJI-UHFFFAOYSA-N 1 2 314.382 1.596 20 30 DDEDLO C[C@@H]1C[C@H]([NH2+]Cc2csnn2)CN1C(=O)c1ccc(C#N)[nH]1 ZINC000988658616 698429706 /nfs/dbraw/zinc/42/97/06/698429706.db2.gz ZFUWLZNFBUPYTE-KOLCDFICSA-N 1 2 316.390 1.131 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2C[C@@H](C)N(C(=O)C#CC3CC3)C2)o1 ZINC000988828872 698478177 /nfs/dbraw/zinc/47/81/77/698478177.db2.gz YFKDVBGETZMHKO-TZMCWYRMSA-N 1 2 316.405 1.685 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccncc2C(F)(F)F)CC1 ZINC000800689970 700239093 /nfs/dbraw/zinc/23/90/93/700239093.db2.gz VUFBJJMYMNHPFK-UHFFFAOYSA-N 1 2 311.307 1.882 20 30 DDEDLO C[C@@H](C(=O)Nc1sccc1C(N)=O)[NH+]1CCC(CC#N)CC1 ZINC000782086955 698682207 /nfs/dbraw/zinc/68/22/07/698682207.db2.gz AAKYVIZZZLWLIB-JTQLQIEISA-N 1 2 320.418 1.800 20 30 DDEDLO C[N@H+](Cc1nc2ccccc2c(=O)n1CC#N)CC1(CO)CC1 ZINC000782601491 698747346 /nfs/dbraw/zinc/74/73/46/698747346.db2.gz PZRQFFXPQGHPSB-UHFFFAOYSA-N 1 2 312.373 1.124 20 30 DDEDLO C[N@@H+](Cc1nc2ccccc2c(=O)n1CC#N)CC1(CO)CC1 ZINC000782601491 698747350 /nfs/dbraw/zinc/74/73/50/698747350.db2.gz PZRQFFXPQGHPSB-UHFFFAOYSA-N 1 2 312.373 1.124 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2C[C@H]3C[C@@]3(CO)C2)cc1Cl ZINC000782621581 698752899 /nfs/dbraw/zinc/75/28/99/698752899.db2.gz TVCSSYPNIJWHAL-ABAIWWIYSA-N 1 2 305.765 1.464 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2C[C@H]3C[C@@]3(CO)C2)cc1Cl ZINC000782621581 698752901 /nfs/dbraw/zinc/75/29/01/698752901.db2.gz TVCSSYPNIJWHAL-ABAIWWIYSA-N 1 2 305.765 1.464 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)N2CC[C@](F)(C#N)C2)c1C ZINC000786117943 699128172 /nfs/dbraw/zinc/12/81/72/699128172.db2.gz VHWWPAZSVLTAFI-HNNXBMFYSA-N 1 2 306.341 1.854 20 30 DDEDLO C#CCCCCC(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000788837568 699341854 /nfs/dbraw/zinc/34/18/54/699341854.db2.gz YATVHDSTBATMGA-UHFFFAOYSA-N 1 2 313.401 1.662 20 30 DDEDLO C#CCCCCC(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000788837568 699341858 /nfs/dbraw/zinc/34/18/58/699341858.db2.gz YATVHDSTBATMGA-UHFFFAOYSA-N 1 2 313.401 1.662 20 30 DDEDLO Cc1ncc(CO)c(/C=N\Cc2c[nH+]c3n2CCCC3)c1O ZINC000790573641 699467361 /nfs/dbraw/zinc/46/73/61/699467361.db2.gz FTGLBMPLBKNFGI-MFOYZWKCSA-N 1 2 300.362 1.740 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(CC(=O)N(C)C)cc1 ZINC000730278357 699506105 /nfs/dbraw/zinc/50/61/05/699506105.db2.gz QIMWCRMYCMIEAG-INIZCTEOSA-N 1 2 313.401 1.353 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(CC(=O)N(C)C)cc1 ZINC000730278357 699506106 /nfs/dbraw/zinc/50/61/06/699506106.db2.gz QIMWCRMYCMIEAG-INIZCTEOSA-N 1 2 313.401 1.353 20 30 DDEDLO CC[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)N(CCC#N)CCC#N ZINC000741147101 699817991 /nfs/dbraw/zinc/81/79/91/699817991.db2.gz GSOGCWVYERWXHE-AWEZNQCLSA-N 1 2 320.393 1.060 20 30 DDEDLO CC[N@H+]1CCCC[C@H]1C(=O)OCC(=O)N(CCC#N)CCC#N ZINC000741147101 699817993 /nfs/dbraw/zinc/81/79/93/699817993.db2.gz GSOGCWVYERWXHE-AWEZNQCLSA-N 1 2 320.393 1.060 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+](C)[C@@H]1CC(C)(C)OC1=O ZINC000795696588 699884567 /nfs/dbraw/zinc/88/45/67/699884567.db2.gz GDUAYSXTLHHLEN-CYBMUJFWSA-N 1 2 318.373 1.972 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+](C)[C@@H]1CC(C)(C)OC1=O ZINC000795696588 699884570 /nfs/dbraw/zinc/88/45/70/699884570.db2.gz GDUAYSXTLHHLEN-CYBMUJFWSA-N 1 2 318.373 1.972 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(C)Cc1nc([C@H](C)OCC)no1 ZINC000742735634 699888183 /nfs/dbraw/zinc/88/81/83/699888183.db2.gz KPOURNPVGZGGEQ-STQMWFEESA-N 1 2 320.393 1.223 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)Cc1nc([C@H](C)OCC)no1 ZINC000742735634 699888185 /nfs/dbraw/zinc/88/81/85/699888185.db2.gz KPOURNPVGZGGEQ-STQMWFEESA-N 1 2 320.393 1.223 20 30 DDEDLO C#CCCC[N@H+]1CCCN(C(=O)OC(C)(C)C)C[C@H]1C(=O)OC ZINC000796680339 699946285 /nfs/dbraw/zinc/94/62/85/699946285.db2.gz DCVMYWKBEOZDDC-AWEZNQCLSA-N 1 2 324.421 1.884 20 30 DDEDLO C#CCCC[N@@H+]1CCCN(C(=O)OC(C)(C)C)C[C@H]1C(=O)OC ZINC000796680339 699946287 /nfs/dbraw/zinc/94/62/87/699946287.db2.gz DCVMYWKBEOZDDC-AWEZNQCLSA-N 1 2 324.421 1.884 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000801918197 700353645 /nfs/dbraw/zinc/35/36/45/700353645.db2.gz YXJOYUSZVVFSJL-GFCCVEGCSA-N 1 2 323.343 1.651 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(C(=O)NC2CCCCC2)CC1 ZINC000801930604 700356090 /nfs/dbraw/zinc/35/60/90/700356090.db2.gz SDXBFQPAMCBGSI-CQSZACIVSA-N 1 2 308.426 1.575 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000802906697 701067618 /nfs/dbraw/zinc/06/76/18/701067618.db2.gz YTEHHJVGAUGBPS-ZDUSSCGKSA-N 1 2 313.317 1.507 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000802906697 701067619 /nfs/dbraw/zinc/06/76/19/701067619.db2.gz YTEHHJVGAUGBPS-ZDUSSCGKSA-N 1 2 313.317 1.507 20 30 DDEDLO COC(=O)c1cccc(CO[NH+]=C(N)Cc2cccnc2)c1C#N ZINC000771673263 701327733 /nfs/dbraw/zinc/32/77/33/701327733.db2.gz AUTNLPZERPTMRC-UHFFFAOYSA-N 1 2 324.340 1.981 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000879155323 706601147 /nfs/dbraw/zinc/60/11/47/706601147.db2.gz ZLDYYPRTXSWKAD-INMHGKMJSA-N 1 2 318.373 1.244 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000879155323 706601151 /nfs/dbraw/zinc/60/11/51/706601151.db2.gz ZLDYYPRTXSWKAD-INMHGKMJSA-N 1 2 318.373 1.244 20 30 DDEDLO CC(=[NH+]NC1CCS(=O)(=O)CC1)c1cc(F)c(N)c(F)c1 ZINC000814895080 701766866 /nfs/dbraw/zinc/76/68/66/701766866.db2.gz VFNRQGSKUQOTRH-UHFFFAOYSA-N 1 2 317.361 1.438 20 30 DDEDLO C=CCOCCOC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000815214401 701825474 /nfs/dbraw/zinc/82/54/74/701825474.db2.gz PMFLUAKJRVXTLX-INIZCTEOSA-N 1 2 305.374 1.633 20 30 DDEDLO C=CCOCCOC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000815214401 701825481 /nfs/dbraw/zinc/82/54/81/701825481.db2.gz PMFLUAKJRVXTLX-INIZCTEOSA-N 1 2 305.374 1.633 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CC[C@@](O)(c3ccc(F)cc3)C2)C1=O ZINC000840041927 701932264 /nfs/dbraw/zinc/93/22/64/701932264.db2.gz VJQITBQLUOZDJS-KRWDZBQOSA-N 1 2 319.380 1.600 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CC[C@@](O)(c3ccc(F)cc3)C2)C1=O ZINC000840041927 701932270 /nfs/dbraw/zinc/93/22/70/701932270.db2.gz VJQITBQLUOZDJS-KRWDZBQOSA-N 1 2 319.380 1.600 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc([N+](=O)[O-])c(F)c1F ZINC000840766886 702232811 /nfs/dbraw/zinc/23/28/11/702232811.db2.gz HMRAXCKYVCWSCB-SECBINFHSA-N 1 2 315.276 1.458 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc([N+](=O)[O-])c(F)c1F ZINC000840766886 702232814 /nfs/dbraw/zinc/23/28/14/702232814.db2.gz HMRAXCKYVCWSCB-SECBINFHSA-N 1 2 315.276 1.458 20 30 DDEDLO C=CCOCC(=O)OCc1cccc(C[NH+]2CCOCC2)c1 ZINC000817183260 702301546 /nfs/dbraw/zinc/30/15/46/702301546.db2.gz ZFZFHBFNNRMSMZ-UHFFFAOYSA-N 1 2 305.374 1.765 20 30 DDEDLO CC[C@H](C(=O)NCc1ccc(C#N)cn1)[N@@H+]1CCO[C@@H](CC)C1 ZINC000813709620 702402948 /nfs/dbraw/zinc/40/29/48/702402948.db2.gz RXMHYGPKSWSHHA-JKSUJKDBSA-N 1 2 316.405 1.459 20 30 DDEDLO CC[C@H](C(=O)NCc1ccc(C#N)cn1)[N@H+]1CCO[C@@H](CC)C1 ZINC000813709620 702402951 /nfs/dbraw/zinc/40/29/51/702402951.db2.gz RXMHYGPKSWSHHA-JKSUJKDBSA-N 1 2 316.405 1.459 20 30 DDEDLO CCOCCOC[C@H](O)C[NH2+][C@@H](C)c1cccc(C#N)c1O ZINC000866348101 706678713 /nfs/dbraw/zinc/67/87/13/706678713.db2.gz ZJPNRINXNIRRSU-GXTWGEPZSA-N 1 2 308.378 1.328 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCO[C@H]3C)n2CC=C)CC1 ZINC000842938646 702792443 /nfs/dbraw/zinc/79/24/43/702792443.db2.gz ONUYMAIAQXBUBN-LSDHHAIUSA-N 1 2 315.421 1.112 20 30 DDEDLO C=CCC(F)(F)C(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000846122450 703240390 /nfs/dbraw/zinc/24/03/90/703240390.db2.gz LHTUGKXITCOQCG-LLVKDONJSA-N 1 2 313.348 1.369 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000846339926 703267077 /nfs/dbraw/zinc/26/70/77/703267077.db2.gz FNEDDWXJANHYMI-HZPDHXFCSA-N 1 2 324.384 1.509 20 30 DDEDLO CCOC(=O)c1cc(C=NNCCCn2cc[nH+]c2)[nH]c1C ZINC000848416919 703547900 /nfs/dbraw/zinc/54/79/00/703547900.db2.gz DAFCTILPFCWVFG-UHFFFAOYSA-N 1 2 303.366 1.710 20 30 DDEDLO C#CCCN(CCOC)C(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000850726563 703747291 /nfs/dbraw/zinc/74/72/91/703747291.db2.gz QMGFZSQPJDODKW-UHFFFAOYSA-N 1 2 317.389 1.444 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+]([C@@H]2C[C@@H]3CCCC[C@H]3NC2=O)CC1 ZINC000852136425 703940193 /nfs/dbraw/zinc/94/01/93/703940193.db2.gz LNIVICZRSJUMMT-BFHYXJOUSA-N 1 2 319.405 1.212 20 30 DDEDLO CCN(C)C(=O)CC[N@@H+]1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852329934 704013813 /nfs/dbraw/zinc/01/38/13/704013813.db2.gz MLVLQLWYFHJEKD-QGZVFWFLSA-N 1 2 300.406 1.807 20 30 DDEDLO CCN(C)C(=O)CC[N@H+]1CCC[C@](C#N)(c2ccccn2)C1 ZINC000852329934 704013816 /nfs/dbraw/zinc/01/38/16/704013816.db2.gz MLVLQLWYFHJEKD-QGZVFWFLSA-N 1 2 300.406 1.807 20 30 DDEDLO N#Cc1sccc1N1CC[NH+](C[C@@H](O)c2ccccn2)CC1 ZINC000852343589 704018239 /nfs/dbraw/zinc/01/82/39/704018239.db2.gz YMLCGPRESSHSPT-OAHLLOKOSA-N 1 2 314.414 1.870 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH2+][C@H]2CCn3ccnc32)cc1 ZINC000819336002 704109134 /nfs/dbraw/zinc/10/91/34/704109134.db2.gz BMHHZCIBIPCGLX-AWEZNQCLSA-N 1 2 316.386 1.263 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccccc1O[C@H]1CCOC1 ZINC000819458110 704129232 /nfs/dbraw/zinc/12/92/32/704129232.db2.gz IAKJWGPUWGLLHJ-GJZGRUSLSA-N 1 2 319.405 1.473 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccccc1O[C@H]1CCOC1 ZINC000819458110 704129233 /nfs/dbraw/zinc/12/92/33/704129233.db2.gz IAKJWGPUWGLLHJ-GJZGRUSLSA-N 1 2 319.405 1.473 20 30 DDEDLO O=S1(=O)Cc2ccccc2C(=NNCC[NH+]2CCCCC2)C1 ZINC000853292322 704230124 /nfs/dbraw/zinc/23/01/24/704230124.db2.gz WFQQXJCXGWHKRT-UHFFFAOYSA-N 1 2 321.446 1.395 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000853621075 704287745 /nfs/dbraw/zinc/28/77/45/704287745.db2.gz OYTFQQIHGRGSLE-HZPDHXFCSA-N 1 2 320.433 1.476 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000853621075 704287748 /nfs/dbraw/zinc/28/77/48/704287748.db2.gz OYTFQQIHGRGSLE-HZPDHXFCSA-N 1 2 320.433 1.476 20 30 DDEDLO C#CC1CCN(c2cc(N3CCC(C)(O)CC3)nc[nH+]2)CC1 ZINC000853708505 704302885 /nfs/dbraw/zinc/30/28/85/704302885.db2.gz BSFNRLBEXIBHTE-UHFFFAOYSA-N 1 2 300.406 1.677 20 30 DDEDLO C#CC1CCN(c2cc(N3CCC(C)(O)CC3)[nH+]cn2)CC1 ZINC000853708505 704302889 /nfs/dbraw/zinc/30/28/89/704302889.db2.gz BSFNRLBEXIBHTE-UHFFFAOYSA-N 1 2 300.406 1.677 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC[C@](OC)(C(F)(F)F)C1 ZINC000880303419 706934168 /nfs/dbraw/zinc/93/41/68/706934168.db2.gz NVNMKMXBLTUUMF-BXKDBHETSA-N 1 2 323.315 1.040 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@](OC)(C(F)(F)F)C1 ZINC000880303419 706934169 /nfs/dbraw/zinc/93/41/69/706934169.db2.gz NVNMKMXBLTUUMF-BXKDBHETSA-N 1 2 323.315 1.040 20 30 DDEDLO CC(C)(C)[C@@H](Cn1cc[nH+]c1)NC(=O)Cc1ccc(C#N)nc1 ZINC000858727226 704748917 /nfs/dbraw/zinc/74/89/17/704748917.db2.gz GLAINKZTGGDRJC-OAHLLOKOSA-N 1 2 311.389 1.923 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000859027906 704787172 /nfs/dbraw/zinc/78/71/72/704787172.db2.gz JBNIMDUTRGMCIN-OAHLLOKOSA-N 1 2 316.405 1.342 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cn[nH]c3)n2CC(C)C)CC1 ZINC000859066521 704792804 /nfs/dbraw/zinc/79/28/04/704792804.db2.gz DULWLXOUQGGLBK-UHFFFAOYSA-N 1 2 313.409 1.079 20 30 DDEDLO Cc1ccc(CN2CC[NH+](CC[S@](C)=O)CC2)cc1C#N ZINC000859195406 704817811 /nfs/dbraw/zinc/81/78/11/704817811.db2.gz OURJISWCXBBHQC-NRFANRHFSA-N 1 2 305.447 1.363 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2C[C@@H]3COC(=O)[C@@H]3C2)c1C ZINC000860158339 705116892 /nfs/dbraw/zinc/11/68/92/705116892.db2.gz LUFHQCQQGFKEOG-UKRRQHHQSA-N 1 2 302.374 1.578 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2C[C@@H]3COC(=O)[C@@H]3C2)c1C ZINC000860158339 705116897 /nfs/dbraw/zinc/11/68/97/705116897.db2.gz LUFHQCQQGFKEOG-UKRRQHHQSA-N 1 2 302.374 1.578 20 30 DDEDLO CC[NH+]1CCN(C(=O)[C@@H](C)SCc2ccc(C#N)o2)CC1 ZINC000871708718 707174655 /nfs/dbraw/zinc/17/46/55/707174655.db2.gz QDYXITYYSXROPK-GFCCVEGCSA-N 1 2 307.419 1.937 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000824965359 705568882 /nfs/dbraw/zinc/56/88/82/705568882.db2.gz MKYUDIFKAFZOSI-BBWFWOEESA-N 1 2 322.449 1.681 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000825079913 705595600 /nfs/dbraw/zinc/59/56/00/705595600.db2.gz ALRYOJCPLDTXBV-DOTOQJQBSA-N 1 2 316.401 1.424 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000825079913 705595603 /nfs/dbraw/zinc/59/56/03/705595603.db2.gz ALRYOJCPLDTXBV-DOTOQJQBSA-N 1 2 316.401 1.424 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CC[C@](O)(CC#N)C1 ZINC000876204210 705656560 /nfs/dbraw/zinc/65/65/60/705656560.db2.gz KQMPEXFWINGTBJ-DOTOQJQBSA-N 1 2 314.389 1.233 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CC[C@](O)(CC#N)C1 ZINC000876204210 705656562 /nfs/dbraw/zinc/65/65/62/705656562.db2.gz KQMPEXFWINGTBJ-DOTOQJQBSA-N 1 2 314.389 1.233 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CCO[C@H](C#N)C1 ZINC000876207993 705659913 /nfs/dbraw/zinc/65/99/13/705659913.db2.gz JJQYXSUVEWCCJS-HIFRSBDPSA-N 1 2 300.362 1.107 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CCO[C@H](C#N)C1 ZINC000876207993 705659915 /nfs/dbraw/zinc/65/99/15/705659915.db2.gz JJQYXSUVEWCCJS-HIFRSBDPSA-N 1 2 300.362 1.107 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@@H](CNC(=O)[C@@H](C)CC#N)C2)cc1 ZINC000826590122 705820385 /nfs/dbraw/zinc/82/03/85/705820385.db2.gz PASQRMOKUVNTGB-RDJZCZTQSA-N 1 2 315.417 1.862 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@@H](CNC(=O)[C@@H](C)CC#N)C2)cc1 ZINC000826590122 705820388 /nfs/dbraw/zinc/82/03/88/705820388.db2.gz PASQRMOKUVNTGB-RDJZCZTQSA-N 1 2 315.417 1.862 20 30 DDEDLO O=S1(=O)CCC[C@H](NN=Cc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000863128460 705863948 /nfs/dbraw/zinc/86/39/48/705863948.db2.gz AAAHNKGRWDUXAW-AWEZNQCLSA-N 1 2 318.402 1.373 20 30 DDEDLO C=C(Br)Cn1cc(CC[NH+]2CCOCC2)nn1 ZINC000881429144 707254258 /nfs/dbraw/zinc/25/42/58/707254258.db2.gz KHVJJBRNCJMRDV-UHFFFAOYSA-N 1 2 301.188 1.061 20 30 DDEDLO CC[N@@H+](C[C@@H](O)c1cc(Br)no1)C[C@H](C)C#N ZINC000878021089 706266294 /nfs/dbraw/zinc/26/62/94/706266294.db2.gz WHGAQYYXUGTPHP-RKDXNWHRSA-N 1 2 302.172 1.952 20 30 DDEDLO CC[N@H+](C[C@@H](O)c1cc(Br)no1)C[C@H](C)C#N ZINC000878021089 706266295 /nfs/dbraw/zinc/26/62/95/706266295.db2.gz WHGAQYYXUGTPHP-RKDXNWHRSA-N 1 2 302.172 1.952 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[NH+]2CCC(CO)(CO)CC2)c1C ZINC000879967645 706837864 /nfs/dbraw/zinc/83/78/64/706837864.db2.gz CBYZQWYZVGFYDA-UHFFFAOYSA-N 1 2 320.433 1.540 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2cc(F)cc(C#N)c2)C(C)(C)C1 ZINC000867036073 706878403 /nfs/dbraw/zinc/87/84/03/706878403.db2.gz QLMGSWYRZORCOM-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2cc(F)cc(C#N)c2)C(C)(C)C1 ZINC000867036073 706878405 /nfs/dbraw/zinc/87/84/05/706878405.db2.gz QLMGSWYRZORCOM-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](C(C)(C)O)C1 ZINC000880147567 706892990 /nfs/dbraw/zinc/89/29/90/706892990.db2.gz XKHGKFMLXWDCBJ-LSDHHAIUSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](C(C)(C)O)C1 ZINC000880147567 706892994 /nfs/dbraw/zinc/89/29/94/706892994.db2.gz XKHGKFMLXWDCBJ-LSDHHAIUSA-N 1 2 302.374 1.865 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000834555556 707085667 /nfs/dbraw/zinc/08/56/67/707085667.db2.gz AHGMXBGUEULRKF-LSDHHAIUSA-N 1 2 318.421 1.597 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(C#N)cc1 ZINC000871760859 707190750 /nfs/dbraw/zinc/19/07/50/707190750.db2.gz SGJCVDZCUIXAHU-HNNXBMFYSA-N 1 2 302.378 1.791 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(C#N)cc1 ZINC000871760859 707190754 /nfs/dbraw/zinc/19/07/54/707190754.db2.gz SGJCVDZCUIXAHU-HNNXBMFYSA-N 1 2 302.378 1.791 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)c2cc(F)ccc2F)nn1 ZINC000881409504 707242696 /nfs/dbraw/zinc/24/26/96/707242696.db2.gz NYCJRAINRBUXLY-OAHLLOKOSA-N 1 2 306.316 1.403 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)c2cc(F)ccc2F)nn1 ZINC000881409503 707242853 /nfs/dbraw/zinc/24/28/53/707242853.db2.gz NYCJRAINRBUXLY-HNNXBMFYSA-N 1 2 306.316 1.403 20 30 DDEDLO C#CCOc1ccc(CNS(=O)(=O)CCn2cc[nH+]c2)cc1 ZINC000882238865 707553476 /nfs/dbraw/zinc/55/34/76/707553476.db2.gz XAIFTRTWBKKYAP-UHFFFAOYSA-N 1 2 319.386 1.015 20 30 DDEDLO C#CCC1(O)CCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)CC1 ZINC000882528579 707683646 /nfs/dbraw/zinc/68/36/46/707683646.db2.gz XHIAQBCETJFNHB-INIZCTEOSA-N 1 2 320.433 1.007 20 30 DDEDLO C#CCC1(O)CCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)CC1 ZINC000882528579 707683648 /nfs/dbraw/zinc/68/36/48/707683648.db2.gz XHIAQBCETJFNHB-INIZCTEOSA-N 1 2 320.433 1.007 20 30 DDEDLO C#CC1(NC(=O)[C@H]2C[N@H+](Cc3ccccc3)CCO2)CCCC1 ZINC000882535295 707685972 /nfs/dbraw/zinc/68/59/72/707685972.db2.gz SKGDZYQLDFQZED-QGZVFWFLSA-N 1 2 312.413 1.950 20 30 DDEDLO C#CC1(NC(=O)[C@H]2C[N@@H+](Cc3ccccc3)CCO2)CCCC1 ZINC000882535295 707685978 /nfs/dbraw/zinc/68/59/78/707685978.db2.gz SKGDZYQLDFQZED-QGZVFWFLSA-N 1 2 312.413 1.950 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@@H+]2CCO[C@H](C)C2)c1 ZINC000838115947 707846958 /nfs/dbraw/zinc/84/69/58/707846958.db2.gz RJOPZAYHCBCFKW-CQSZACIVSA-N 1 2 301.390 1.188 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@H+]2CCO[C@H](C)C2)c1 ZINC000838115947 707846959 /nfs/dbraw/zinc/84/69/59/707846959.db2.gz RJOPZAYHCBCFKW-CQSZACIVSA-N 1 2 301.390 1.188 20 30 DDEDLO C[C@H]([NH2+]CC[C@@H]1CCCS1(=O)=O)c1cccc(C#N)c1O ZINC000883309963 707973670 /nfs/dbraw/zinc/97/36/70/707973670.db2.gz XWCFBWIIICLSLD-AAEUAGOBSA-N 1 2 308.403 1.882 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H](C(F)(F)F)C1 ZINC000884052849 708118879 /nfs/dbraw/zinc/11/88/79/708118879.db2.gz CYBSUEDUBOIHNK-UWVGGRQHSA-N 1 2 308.300 1.234 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccnn1CCC(C)C ZINC000884063332 708123014 /nfs/dbraw/zinc/12/30/14/708123014.db2.gz WCPKQFJMTDDYFZ-LBPRGKRZSA-N 1 2 308.382 1.314 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H](C)c1ccc(C)cc1 ZINC000884076441 708128643 /nfs/dbraw/zinc/12/86/43/708128643.db2.gz DGRKSAZMZRIWNN-ZFWWWQNUSA-N 1 2 304.390 1.961 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)c1cccc(C)c1C ZINC000884142434 708158365 /nfs/dbraw/zinc/15/83/65/708158365.db2.gz ZMSHIUDDTFJEIV-ZFWWWQNUSA-N 1 2 304.390 1.927 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](c1cnn(C)c1)C(C)(C)C ZINC000884170794 708170721 /nfs/dbraw/zinc/17/07/21/708170721.db2.gz GEJKTSAMRGYOEG-JSGCOSHPSA-N 1 2 322.409 1.070 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1C[C@H](OC)C1(CC)CC ZINC000884173573 708172182 /nfs/dbraw/zinc/17/21/82/708172182.db2.gz ZKVBUNRCHQLKRB-AVGNSLFASA-N 1 2 312.410 1.143 20 30 DDEDLO CC1=C[C@@H](C)C[C@@H](C[N@@H+]2C[C@@H]3CS(=O)(=O)C[C@]3(C#N)C2)C1 ZINC000897060435 708219571 /nfs/dbraw/zinc/21/95/71/708219571.db2.gz KWZOIPZZYIVOHR-MIGQKNRLSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=C[C@@H](C)C[C@@H](C[N@H+]2C[C@@H]3CS(=O)(=O)C[C@]3(C#N)C2)C1 ZINC000897060435 708219575 /nfs/dbraw/zinc/21/95/75/708219575.db2.gz KWZOIPZZYIVOHR-MIGQKNRLSA-N 1 2 308.447 1.849 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1C[C@@]1(F)c1ccccc1 ZINC000884369508 708266769 /nfs/dbraw/zinc/26/67/69/708266769.db2.gz GPCDNTVCOOYTBA-HEHGZKQESA-N 1 2 306.337 1.187 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)NCc1nc(C#N)cs1 ZINC000897289166 708280872 /nfs/dbraw/zinc/28/08/72/708280872.db2.gz IYCPYQKRUMYEBE-UHFFFAOYSA-N 1 2 304.379 1.443 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)N[C@](CC)(C(C)C)C2=O)CC1 ZINC000884479021 708311651 /nfs/dbraw/zinc/31/16/51/708311651.db2.gz SVPUDPIJGTYFID-QGZVFWFLSA-N 1 2 323.437 1.704 20 30 DDEDLO C=C1CN(C(=O)C[N@@H+]2CCc3cc(OC)c(OC)cc3C2)C1 ZINC000898698490 708838094 /nfs/dbraw/zinc/83/80/94/708838094.db2.gz NJZXBXBZFXGJNS-UHFFFAOYSA-N 1 2 302.374 1.460 20 30 DDEDLO C=C1CN(C(=O)C[N@H+]2CCc3cc(OC)c(OC)cc3C2)C1 ZINC000898698490 708838098 /nfs/dbraw/zinc/83/80/98/708838098.db2.gz NJZXBXBZFXGJNS-UHFFFAOYSA-N 1 2 302.374 1.460 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)NCCC[NH+]2CCOCC2)cc1 ZINC000899921232 709238222 /nfs/dbraw/zinc/23/82/22/709238222.db2.gz SMSUCVPIRXXQTI-BQYQJAHWSA-N 1 2 316.401 1.572 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)N[C@@H](C)c2n[nH]c(C(C)C)n2)C1 ZINC000890369441 709842502 /nfs/dbraw/zinc/84/25/02/709842502.db2.gz WEIBYLRBWVRIBG-STQMWFEESA-N 1 2 318.425 1.386 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)N[C@@H](C)c2n[nH]c(C(C)C)n2)C1 ZINC000890369441 709842506 /nfs/dbraw/zinc/84/25/06/709842506.db2.gz WEIBYLRBWVRIBG-STQMWFEESA-N 1 2 318.425 1.386 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2c(F)cccc2F)C1 ZINC000891606907 710246867 /nfs/dbraw/zinc/24/68/67/710246867.db2.gz QITWIJXDSHKNFS-NSHDSACASA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC1(O)CN(C(=O)N[C@H](Cc2[nH+]ccn2C)c2ccccc2)C1 ZINC000893318564 710597551 /nfs/dbraw/zinc/59/75/51/710597551.db2.gz NBSMZXQJWPGZPU-OAHLLOKOSA-N 1 2 324.384 1.093 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)cc1 ZINC000913447319 713219538 /nfs/dbraw/zinc/21/95/38/713219538.db2.gz NKTZHIJAEPSBSE-MRXNPFEDSA-N 1 2 310.357 1.208 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2cccc(C)c2)no1 ZINC000904085995 711377224 /nfs/dbraw/zinc/37/72/24/711377224.db2.gz ZCIPJFAHDZJSBO-ZDUSSCGKSA-N 1 2 301.346 1.568 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000895384795 711499715 /nfs/dbraw/zinc/49/97/15/711499715.db2.gz UFEDWMAANZECGO-ZDUSSCGKSA-N 1 2 307.415 1.611 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)Nc1ccc([N+](=O)[O-])cc1C#N ZINC000895800557 711609133 /nfs/dbraw/zinc/60/91/33/711609133.db2.gz AGBDNUSJVPUQLG-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)[C@@H](C)[NH+]1CCSCC1 ZINC000908651189 712829255 /nfs/dbraw/zinc/82/92/55/712829255.db2.gz WTBUWRGIPWABFV-ZIAGYGMSSA-N 1 2 310.463 1.950 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)[C@H](C)C[NH+]1CCOCC1 ZINC000908653812 712829879 /nfs/dbraw/zinc/82/98/79/712829879.db2.gz WNPIHVIOGZSPPF-HUUCEWRRSA-N 1 2 308.422 1.481 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CS(=O)(=O)NCc1c[nH+]cn1C ZINC000915716526 713429148 /nfs/dbraw/zinc/42/91/48/713429148.db2.gz COARCPXZQDXOLG-UHFFFAOYSA-N 1 2 318.402 1.528 20 30 DDEDLO C=CC[N@H+](Cc1nnc2n1c1ccccc1c(=O)n2C)C(C)C ZINC000917166760 713485728 /nfs/dbraw/zinc/48/57/28/713485728.db2.gz FJDQWGDZSATAEH-UHFFFAOYSA-N 1 2 311.389 1.978 20 30 DDEDLO C=CC[N@@H+](Cc1nnc2n1c1ccccc1c(=O)n2C)C(C)C ZINC000917166760 713485729 /nfs/dbraw/zinc/48/57/29/713485729.db2.gz FJDQWGDZSATAEH-UHFFFAOYSA-N 1 2 311.389 1.978 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+]2CC[S@](=O)[C@H](C)[C@H]2C)cc1 ZINC000929678162 713666878 /nfs/dbraw/zinc/66/68/78/713666878.db2.gz BWWSKZSZHUQNSJ-SOHPYSCWSA-N 1 2 318.442 1.838 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+]2CC[S@](=O)[C@H](C)[C@H]2C)cc1 ZINC000929678162 713666880 /nfs/dbraw/zinc/66/68/80/713666880.db2.gz BWWSKZSZHUQNSJ-SOHPYSCWSA-N 1 2 318.442 1.838 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@@H+]1Cc1c(C)cc(C#N)cc1C ZINC000930487887 713847069 /nfs/dbraw/zinc/84/70/69/713847069.db2.gz JVJIESWKIPIYLS-HNNXBMFYSA-N 1 2 302.374 1.939 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@H+]1Cc1c(C)cc(C#N)cc1C ZINC000930487887 713847072 /nfs/dbraw/zinc/84/70/72/713847072.db2.gz JVJIESWKIPIYLS-HNNXBMFYSA-N 1 2 302.374 1.939 20 30 DDEDLO CC(C)(C)c1coc(C[NH2+]CCNC(=O)C2N=CC=CC2=O)n1 ZINC000921741470 713859463 /nfs/dbraw/zinc/85/94/63/713859463.db2.gz ICUZMKBEYWYIHF-PFONDFGASA-N 1 2 318.377 1.588 20 30 DDEDLO CC(C)C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1cccnc1C#N ZINC000922025924 713940751 /nfs/dbraw/zinc/94/07/51/713940751.db2.gz LJRIWNSPPNKGQB-ZDUSSCGKSA-N 1 2 322.434 1.304 20 30 DDEDLO CC(C)C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cccnc1C#N ZINC000922025924 713940752 /nfs/dbraw/zinc/94/07/52/713940752.db2.gz LJRIWNSPPNKGQB-ZDUSSCGKSA-N 1 2 322.434 1.304 20 30 DDEDLO CC(C)C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1cccc(C#N)c1 ZINC000922027272 713941606 /nfs/dbraw/zinc/94/16/06/713941606.db2.gz VRSLJYUWBNBCOE-HNNXBMFYSA-N 1 2 321.446 1.909 20 30 DDEDLO CC(C)C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cccc(C#N)c1 ZINC000922027272 713941607 /nfs/dbraw/zinc/94/16/07/713941607.db2.gz VRSLJYUWBNBCOE-HNNXBMFYSA-N 1 2 321.446 1.909 20 30 DDEDLO C=CCC[N@H+]1CCNC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC000931300752 714060956 /nfs/dbraw/zinc/06/09/56/714060956.db2.gz HPDDTFZJCOTXAC-UHFFFAOYSA-N 1 2 309.410 1.374 20 30 DDEDLO C=CCC[N@@H+]1CCNC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC000931300752 714060958 /nfs/dbraw/zinc/06/09/58/714060958.db2.gz HPDDTFZJCOTXAC-UHFFFAOYSA-N 1 2 309.410 1.374 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1sccc1C#N ZINC000931846475 714196066 /nfs/dbraw/zinc/19/60/66/714196066.db2.gz KWROXXNUXGVJPZ-LLVKDONJSA-N 1 2 307.423 1.377 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)N[C@@H]2CC[C@H](C#N)C2)n1 ZINC000922960682 714198534 /nfs/dbraw/zinc/19/85/34/714198534.db2.gz IRNNOWBVJQRBJF-WDEREUQCSA-N 1 2 307.423 1.696 20 30 DDEDLO C=C[C@H](CC)CC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000931877676 714203464 /nfs/dbraw/zinc/20/34/64/714203464.db2.gz BBFACJDHFDLAHE-CQSZACIVSA-N 1 2 306.410 1.756 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)/C=C/C(=O)c2ccc(C)cc2)C1 ZINC000923551163 714397967 /nfs/dbraw/zinc/39/79/67/714397967.db2.gz KCLMGDRZUNMJKC-DVQDXYAYSA-N 1 2 310.397 1.948 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)/C=C/C(=O)c2ccc(C)cc2)C1 ZINC000923551163 714397968 /nfs/dbraw/zinc/39/79/68/714397968.db2.gz KCLMGDRZUNMJKC-DVQDXYAYSA-N 1 2 310.397 1.948 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2nnc(-c3ccco3)o2)C1 ZINC000923557645 714402240 /nfs/dbraw/zinc/40/22/40/714402240.db2.gz MHBLFUPOMZAJLP-LLVKDONJSA-N 1 2 300.318 1.157 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2nnc(-c3ccco3)o2)C1 ZINC000923557645 714402242 /nfs/dbraw/zinc/40/22/42/714402242.db2.gz MHBLFUPOMZAJLP-LLVKDONJSA-N 1 2 300.318 1.157 20 30 DDEDLO CCn1c[nH+]c2c1CCN([C@H](C)C(=O)NC1(C#N)CCC1)C2 ZINC000933253399 714547655 /nfs/dbraw/zinc/54/76/55/714547655.db2.gz FOMAABYIXIORHE-GFCCVEGCSA-N 1 2 301.394 1.212 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)N1CCc2c([nH+]cn2CC)C1 ZINC000933262705 714549243 /nfs/dbraw/zinc/54/92/43/714549243.db2.gz HQFDYVAHJJPNEZ-AWEZNQCLSA-N 1 2 302.422 1.850 20 30 DDEDLO CC(C)CNC(=O)NC(=O)C[N@H+](C)Cc1cc(C#N)cs1 ZINC000933780015 714670260 /nfs/dbraw/zinc/67/02/60/714670260.db2.gz KJYZQGJJAHHXBL-UHFFFAOYSA-N 1 2 308.407 1.533 20 30 DDEDLO CC(C)CNC(=O)NC(=O)C[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933780015 714670261 /nfs/dbraw/zinc/67/02/61/714670261.db2.gz KJYZQGJJAHHXBL-UHFFFAOYSA-N 1 2 308.407 1.533 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@@H](C)N(CC(F)(F)F)[C@@H](C)C2)C1=O ZINC000934791610 714902694 /nfs/dbraw/zinc/90/26/94/714902694.db2.gz OZMCAQWNMKPYKS-FRRDWIJNSA-N 1 2 319.371 1.730 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@@H](C)N(CC(F)(F)F)[C@@H](C)C2)C1=O ZINC000934791610 714902697 /nfs/dbraw/zinc/90/26/97/714902697.db2.gz OZMCAQWNMKPYKS-FRRDWIJNSA-N 1 2 319.371 1.730 20 30 DDEDLO C[C@H](CC(C)(C)C#N)[NH2+][C@H]1CCCN(c2nccn(C)c2=O)C1 ZINC000926318832 715047633 /nfs/dbraw/zinc/04/76/33/715047633.db2.gz ASIZZMKKRFHFAU-KGLIPLIRSA-N 1 2 317.437 1.667 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)N(C3CCCC3)C2)C1 ZINC000957375872 715868347 /nfs/dbraw/zinc/86/83/47/715868347.db2.gz NSKMCGUGUYVRTL-AWEZNQCLSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)CC1 ZINC000938655855 715940809 /nfs/dbraw/zinc/94/08/09/715940809.db2.gz WZWQHYMQWPYTMT-HNNXBMFYSA-N 1 2 316.405 1.347 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2Oc3ccccc3O[C@H]2C)CC1 ZINC000957622118 715971530 /nfs/dbraw/zinc/97/15/30/715971530.db2.gz ZMFLFOGWQKILAZ-BBRMVZONSA-N 1 2 302.374 1.545 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000939485276 716281509 /nfs/dbraw/zinc/28/15/09/716281509.db2.gz HUJRFNKUUSRIPD-PBHICJAKSA-N 1 2 318.421 1.614 20 30 DDEDLO CCn1ccc(C[N@H+](C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)n1 ZINC000960505488 716588005 /nfs/dbraw/zinc/58/80/05/716588005.db2.gz VXEVQLMRXWEFIR-DZGCQCFKSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccc(C[N@@H+](C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)n1 ZINC000960505488 716588009 /nfs/dbraw/zinc/58/80/09/716588009.db2.gz VXEVQLMRXWEFIR-DZGCQCFKSA-N 1 2 303.410 1.485 20 30 DDEDLO Cn1ccc(C[N@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960628978 716638475 /nfs/dbraw/zinc/63/84/75/716638475.db2.gz ZTWVOYCDKQMGHM-MRXNPFEDSA-N 1 2 300.406 1.256 20 30 DDEDLO Cn1ccc(C[N@@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960628978 716638479 /nfs/dbraw/zinc/63/84/79/716638479.db2.gz ZTWVOYCDKQMGHM-MRXNPFEDSA-N 1 2 300.406 1.256 20 30 DDEDLO N#CCN1CC[C@H]([C@@H]2CCCN(C(=O)CCc3[nH]cc[nH+]3)C2)C1 ZINC000961161114 716843067 /nfs/dbraw/zinc/84/30/67/716843067.db2.gz UENJHDWSIGOWLV-CABCVRRESA-N 1 2 315.421 1.426 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@H]3CCC)CC2)C1 ZINC000941559178 717209727 /nfs/dbraw/zinc/20/97/27/717209727.db2.gz ORKFBFWDQJYRJU-NVXWUHKLSA-N 1 2 303.450 1.274 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC000961838337 717224536 /nfs/dbraw/zinc/22/45/36/717224536.db2.gz XHJJPCDFKVBZFQ-MRLBHPIUSA-N 1 2 319.430 1.281 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC000961838337 717224538 /nfs/dbraw/zinc/22/45/38/717224538.db2.gz XHJJPCDFKVBZFQ-MRLBHPIUSA-N 1 2 319.430 1.281 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cn(C)ccc2=O)[C@@H](C)C1 ZINC000942445545 717680053 /nfs/dbraw/zinc/68/00/53/717680053.db2.gz WDTHBXZFOTZPIF-SMDDNHRTSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cn(C)ccc2=O)[C@@H](C)C1 ZINC000942445545 717680055 /nfs/dbraw/zinc/68/00/55/717680055.db2.gz WDTHBXZFOTZPIF-SMDDNHRTSA-N 1 2 323.824 1.578 20 30 DDEDLO C=CCC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000966238992 718479623 /nfs/dbraw/zinc/47/96/23/718479623.db2.gz VXVQDEKXXROSAQ-SWLSCSKDSA-N 1 2 313.405 1.974 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000966238992 718479627 /nfs/dbraw/zinc/47/96/27/718479627.db2.gz VXVQDEKXXROSAQ-SWLSCSKDSA-N 1 2 313.405 1.974 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC000966659150 718619272 /nfs/dbraw/zinc/61/92/72/718619272.db2.gz CQFVILPWVNFWPF-GXTWGEPZSA-N 1 2 318.421 1.138 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2ncc(C(C)(C)C)o2)C1 ZINC000967730151 718993711 /nfs/dbraw/zinc/99/37/11/718993711.db2.gz WJSPZKDSYFGVGH-VXGBXAGGSA-N 1 2 304.394 1.822 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1cnon1 ZINC000947170083 719059039 /nfs/dbraw/zinc/05/90/39/719059039.db2.gz CLLBPOJOCKDRNM-PWSUYJOCSA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1cnon1 ZINC000947170083 719059046 /nfs/dbraw/zinc/05/90/46/719059046.db2.gz CLLBPOJOCKDRNM-PWSUYJOCSA-N 1 2 314.349 1.052 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccon3)[C@@H](C)C2)cn1 ZINC000947947908 719315558 /nfs/dbraw/zinc/31/55/58/719315558.db2.gz KKSZQABONJLAJL-XJKSGUPXSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccon3)[C@@H](C)C2)cn1 ZINC000947947908 719315561 /nfs/dbraw/zinc/31/55/61/719315561.db2.gz KKSZQABONJLAJL-XJKSGUPXSA-N 1 2 324.384 1.834 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000968335216 719515879 /nfs/dbraw/zinc/51/58/79/719515879.db2.gz MVXMUAZIRKMWAV-NOZJJQNGSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000968335216 719515884 /nfs/dbraw/zinc/51/58/84/719515884.db2.gz MVXMUAZIRKMWAV-NOZJJQNGSA-N 1 2 324.812 1.306 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2[nH]nc3c2cccc3OC)CC1 ZINC000948566891 719552253 /nfs/dbraw/zinc/55/22/53/719552253.db2.gz KTEDPAQHMGWMNE-UHFFFAOYSA-N 1 2 300.362 1.515 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2C[N@@H+](CC(=C)Cl)CC[C@@H]2C)nn1 ZINC000968405539 719564044 /nfs/dbraw/zinc/56/40/44/719564044.db2.gz NMCLCYHRFRCLEY-WCQYABFASA-N 1 2 323.828 1.657 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2C[N@H+](CC(=C)Cl)CC[C@@H]2C)nn1 ZINC000968405539 719564045 /nfs/dbraw/zinc/56/40/45/719564045.db2.gz NMCLCYHRFRCLEY-WCQYABFASA-N 1 2 323.828 1.657 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnn(-c3cccnc3)c2)CC1 ZINC000948739114 719679668 /nfs/dbraw/zinc/67/96/68/719679668.db2.gz ZCMAAVBKVDXZEK-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000968684874 719735186 /nfs/dbraw/zinc/73/51/86/719735186.db2.gz JZUFIRWWBUKCTC-UKRRQHHQSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000968684874 719735189 /nfs/dbraw/zinc/73/51/89/719735189.db2.gz JZUFIRWWBUKCTC-UKRRQHHQSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cncnc1C ZINC000948889951 719769205 /nfs/dbraw/zinc/76/92/05/719769205.db2.gz JNROUABMPDYSEE-GOSISDBHSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cncnc1C ZINC000948889951 719769210 /nfs/dbraw/zinc/76/92/10/719769210.db2.gz JNROUABMPDYSEE-GOSISDBHSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnc(C)n1C ZINC000948950997 719798277 /nfs/dbraw/zinc/79/82/77/719798277.db2.gz QNRSMQPSISGDDW-KRWDZBQOSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnc(C)n1C ZINC000948950997 719798282 /nfs/dbraw/zinc/79/82/82/719798282.db2.gz QNRSMQPSISGDDW-KRWDZBQOSA-N 1 2 322.412 1.691 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cc(C)ccc2OC)CC1 ZINC000949156961 719934942 /nfs/dbraw/zinc/93/49/42/719934942.db2.gz CYIZODSHRDQUFT-UHFFFAOYSA-N 1 2 300.402 1.714 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3=COCCC3)CC2)C1 ZINC000949314579 720010667 /nfs/dbraw/zinc/01/06/67/720010667.db2.gz WBECKJMYJMBFCX-UHFFFAOYSA-N 1 2 304.390 1.007 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3=COCCC3)CC2)C1 ZINC000949314579 720010668 /nfs/dbraw/zinc/01/06/68/720010668.db2.gz WBECKJMYJMBFCX-UHFFFAOYSA-N 1 2 304.390 1.007 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2snnc2C)C1 ZINC000969280375 720038867 /nfs/dbraw/zinc/03/88/67/720038867.db2.gz JQSOIDPYRIVNOQ-MRVPVSSYSA-N 1 2 300.815 1.649 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H](C)C(F)(F)F)CC2)C1 ZINC000949433343 720084864 /nfs/dbraw/zinc/08/48/64/720084864.db2.gz PLVPQTBTLQBPFJ-LBPRGKRZSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H](C)C(F)(F)F)CC2)C1 ZINC000949433343 720084868 /nfs/dbraw/zinc/08/48/68/720084868.db2.gz PLVPQTBTLQBPFJ-LBPRGKRZSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3(C(C)C)CC3)CC2)C1 ZINC000949468559 720108833 /nfs/dbraw/zinc/10/88/33/720108833.db2.gz HYAJXNPWVRJXEE-UHFFFAOYSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3(C(C)C)CC3)CC2)C1 ZINC000949468559 720108836 /nfs/dbraw/zinc/10/88/36/720108836.db2.gz HYAJXNPWVRJXEE-UHFFFAOYSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cnoc3CC)CC2)C1 ZINC000949483324 720116136 /nfs/dbraw/zinc/11/61/36/720116136.db2.gz PWAUJJJDYZDZFD-UHFFFAOYSA-N 1 2 317.389 1.177 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cnoc3CC)CC2)C1 ZINC000949483324 720116140 /nfs/dbraw/zinc/11/61/40/720116140.db2.gz PWAUJJJDYZDZFD-UHFFFAOYSA-N 1 2 317.389 1.177 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+][C@@H](C)c3nnc(C)o3)C2)cc1 ZINC000969548702 720185421 /nfs/dbraw/zinc/18/54/21/720185421.db2.gz UIYJIHJINXWGGC-BLLLJJGKSA-N 1 2 324.384 1.925 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+](CCn2nc(C)cc2C)CC1 ZINC000949667176 720253452 /nfs/dbraw/zinc/25/34/52/720253452.db2.gz OUDDRXXUICRRLP-UHFFFAOYSA-N 1 2 304.438 1.856 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCCN2c2ncccn2)C1 ZINC000950533513 720672774 /nfs/dbraw/zinc/67/27/74/720672774.db2.gz IVMNFJPLPXIMDT-OAHLLOKOSA-N 1 2 315.421 1.164 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cncc(COC)c2)C1 ZINC000950536371 720673773 /nfs/dbraw/zinc/67/37/73/720673773.db2.gz AYAUDHUZPLEWBT-UHFFFAOYSA-N 1 2 303.406 1.950 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC000950808520 720781215 /nfs/dbraw/zinc/78/12/15/720781215.db2.gz MQSFZDWVQPRLHM-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC000950960679 720853085 /nfs/dbraw/zinc/85/30/85/720853085.db2.gz FLSFEOSKDHXQPL-HUUCEWRRSA-N 1 2 302.422 1.632 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@H](C)OC)C1 ZINC000971159518 721146686 /nfs/dbraw/zinc/14/66/86/721146686.db2.gz ZIPHIPCDTOCLCC-QWRGUYRKSA-N 1 2 305.216 1.463 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@H](C)OC)C1 ZINC000971159518 721146689 /nfs/dbraw/zinc/14/66/89/721146689.db2.gz ZIPHIPCDTOCLCC-QWRGUYRKSA-N 1 2 305.216 1.463 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2ccncc2Cl)C1 ZINC000971217911 721185028 /nfs/dbraw/zinc/18/50/28/721185028.db2.gz HNUBWTLNKMOWRB-AAEUAGOBSA-N 1 2 306.797 1.927 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2ccncc2Cl)C1 ZINC000971217911 721185029 /nfs/dbraw/zinc/18/50/29/721185029.db2.gz HNUBWTLNKMOWRB-AAEUAGOBSA-N 1 2 306.797 1.927 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)cs1 ZINC000971504158 721326597 /nfs/dbraw/zinc/32/65/97/721326597.db2.gz KGOLOYFZMSNPDB-HNNXBMFYSA-N 1 2 303.431 1.898 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)cs1 ZINC000971504158 721326599 /nfs/dbraw/zinc/32/65/99/721326599.db2.gz KGOLOYFZMSNPDB-HNNXBMFYSA-N 1 2 303.431 1.898 20 30 DDEDLO O=C(Cc1[nH]cc[nH+]1)N1CCN(CC#Cc2ccccc2)CC1 ZINC000952401207 721437217 /nfs/dbraw/zinc/43/72/17/721437217.db2.gz DCGQMJNPPIUURW-UHFFFAOYSA-N 1 2 308.385 1.148 20 30 DDEDLO C=CC[NH+]1CCN(c2nc(SC)nnc2C(=O)OCC)CC1 ZINC001165031283 721865532 /nfs/dbraw/zinc/86/55/32/721865532.db2.gz BTBJJFALYLWZSQ-UHFFFAOYSA-N 1 2 323.422 1.078 20 30 DDEDLO C=CC[NH+]1CCN(c2ncnc(Cl)c2[C@H](C)C(=O)OC)CC1 ZINC001165034665 721873501 /nfs/dbraw/zinc/87/35/01/721873501.db2.gz MFMPAGLEAHNCNH-NSHDSACASA-N 1 2 324.812 1.715 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc4c(c3)CCC4)C2)C1 ZINC000972676183 735463238 /nfs/dbraw/zinc/46/32/38/735463238.db2.gz DUYZVXGHFHVQGJ-HXUWFJFHSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc4c(c3)CCC4)C2)C1 ZINC000972676183 735463239 /nfs/dbraw/zinc/46/32/39/735463239.db2.gz DUYZVXGHFHVQGJ-HXUWFJFHSA-N 1 2 324.424 1.725 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(CC)cc3)[C@H]2C1 ZINC001083264273 735482146 /nfs/dbraw/zinc/48/21/46/735482146.db2.gz PVMYPHOZAADKJR-ZWKOTPCHSA-N 1 2 312.413 1.798 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(CC)cc3)[C@H]2C1 ZINC001083264273 735482148 /nfs/dbraw/zinc/48/21/48/735482148.db2.gz PVMYPHOZAADKJR-ZWKOTPCHSA-N 1 2 312.413 1.798 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CC4CCC3CC4)C2)C1 ZINC000972696819 735485697 /nfs/dbraw/zinc/48/56/97/735485697.db2.gz ZODFOPMQSNUUAN-WXVPWVKJSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CC4CCC3CC4)C2)C1 ZINC000972696819 735485699 /nfs/dbraw/zinc/48/56/99/735485699.db2.gz ZODFOPMQSNUUAN-WXVPWVKJSA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(F)cccc1NC(N)=O ZINC001038750393 738411480 /nfs/dbraw/zinc/41/14/80/738411480.db2.gz DHUGDRBBAPWSEN-LLVKDONJSA-N 1 2 318.352 1.144 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(F)cccc1NC(N)=O ZINC001038750393 738411481 /nfs/dbraw/zinc/41/14/81/738411481.db2.gz DHUGDRBBAPWSEN-LLVKDONJSA-N 1 2 318.352 1.144 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001009783361 738422931 /nfs/dbraw/zinc/42/29/31/738422931.db2.gz VPTIBOSACWNLJK-OCCSQVGLSA-N 1 2 310.829 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001009783361 738422932 /nfs/dbraw/zinc/42/29/32/738422932.db2.gz VPTIBOSACWNLJK-OCCSQVGLSA-N 1 2 310.829 1.857 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@H](NC(=O)C(F)F)C1 ZINC001009808162 738446226 /nfs/dbraw/zinc/44/62/26/738446226.db2.gz HPTHFJOQRDCXAC-LBPRGKRZSA-N 1 2 309.316 1.522 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@H](NC(=O)C(F)F)C1 ZINC001009808162 738446227 /nfs/dbraw/zinc/44/62/27/738446227.db2.gz HPTHFJOQRDCXAC-LBPRGKRZSA-N 1 2 309.316 1.522 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001027814281 738688584 /nfs/dbraw/zinc/68/85/84/738688584.db2.gz LCVLKIKPDGMEPU-TZMCWYRMSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CCC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001027814281 738688589 /nfs/dbraw/zinc/68/85/89/738688589.db2.gz LCVLKIKPDGMEPU-TZMCWYRMSA-N 1 2 324.388 1.632 20 30 DDEDLO Cc1conc1C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001027814605 738688866 /nfs/dbraw/zinc/68/88/66/738688866.db2.gz OYKWDYKUEAMGNX-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1conc1C[N@H+]1CCC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001027814605 738688867 /nfs/dbraw/zinc/68/88/67/738688867.db2.gz OYKWDYKUEAMGNX-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCc4ccccc4C3)[C@H]2C1 ZINC001083206272 733233961 /nfs/dbraw/zinc/23/39/61/733233961.db2.gz QQDTVHNIHNXGHT-GBESFXJTSA-N 1 2 324.424 1.336 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCc4ccccc4C3)[C@H]2C1 ZINC001083206272 733233962 /nfs/dbraw/zinc/23/39/62/733233962.db2.gz QQDTVHNIHNXGHT-GBESFXJTSA-N 1 2 324.424 1.336 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3ccc(CC)cc3)[C@H]2C1 ZINC001083207544 733324588 /nfs/dbraw/zinc/32/45/88/733324588.db2.gz BHLOEVQAKJMYCY-ZWKOTPCHSA-N 1 2 312.413 1.336 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3ccc(CC)cc3)[C@H]2C1 ZINC001083207544 733324591 /nfs/dbraw/zinc/32/45/91/733324591.db2.gz BHLOEVQAKJMYCY-ZWKOTPCHSA-N 1 2 312.413 1.336 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H](CCCN2C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001021604740 733363398 /nfs/dbraw/zinc/36/33/98/733363398.db2.gz XRTOQVLKTBNEHA-UKRRQHHQSA-N 1 2 301.394 1.179 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H](CCCN2C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001021604740 733363401 /nfs/dbraw/zinc/36/34/01/733363401.db2.gz XRTOQVLKTBNEHA-UKRRQHHQSA-N 1 2 301.394 1.179 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@H](CCCN2C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001021733663 733493469 /nfs/dbraw/zinc/49/34/69/733493469.db2.gz QMKRGIIBDHVETB-RHSMWYFYSA-N 1 2 323.400 1.518 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@H](CCCN2C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001021733663 733493472 /nfs/dbraw/zinc/49/34/72/733493472.db2.gz QMKRGIIBDHVETB-RHSMWYFYSA-N 1 2 323.400 1.518 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C[C@H](C)C(C)C)C2)nn1 ZINC001098632585 738807831 /nfs/dbraw/zinc/80/78/31/738807831.db2.gz DDPLGDXONLWXGM-GOEBONIOSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4c(c3)C=CCC4)[C@H]2C1 ZINC001083221676 734386995 /nfs/dbraw/zinc/38/69/95/734386995.db2.gz MYRHUHXAXUSFNH-RBUKOAKNSA-N 1 2 322.408 1.804 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4c(c3)C=CCC4)[C@H]2C1 ZINC001083221676 734386998 /nfs/dbraw/zinc/38/69/98/734386998.db2.gz MYRHUHXAXUSFNH-RBUKOAKNSA-N 1 2 322.408 1.804 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc2n(n1)CCC2 ZINC001027923798 738814602 /nfs/dbraw/zinc/81/46/02/738814602.db2.gz HWRNQQGBRAHABE-CYBMUJFWSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc2n(n1)CCC2 ZINC001027923798 738814607 /nfs/dbraw/zinc/81/46/07/738814607.db2.gz HWRNQQGBRAHABE-CYBMUJFWSA-N 1 2 308.813 1.776 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001038141222 734971414 /nfs/dbraw/zinc/97/14/14/734971414.db2.gz TYCXNIZBFDJDSE-CYBMUJFWSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001038141222 734971417 /nfs/dbraw/zinc/97/14/17/734971417.db2.gz TYCXNIZBFDJDSE-CYBMUJFWSA-N 1 2 313.361 1.496 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3ccco3)C2)C1 ZINC000972550112 735158868 /nfs/dbraw/zinc/15/88/68/735158868.db2.gz WAMGYTHMFOLLAT-RHSMWYFYSA-N 1 2 302.374 1.320 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3ccco3)C2)C1 ZINC000972550112 735158871 /nfs/dbraw/zinc/15/88/71/735158871.db2.gz WAMGYTHMFOLLAT-RHSMWYFYSA-N 1 2 302.374 1.320 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@]3(C)C[C@H](O)C[N@@H+]3C)n2C)C1 ZINC001121373505 782478740 /nfs/dbraw/zinc/47/87/40/782478740.db2.gz ZUINYVZFJSBFTE-KEYYUXOJSA-N 1 2 319.453 1.519 20 30 DDEDLO C=CC[C@@H]1CCCN(c2nnc([C@]3(C)C[C@H](O)C[N@H+]3C)n2C)C1 ZINC001121373505 782478743 /nfs/dbraw/zinc/47/87/43/782478743.db2.gz ZUINYVZFJSBFTE-KEYYUXOJSA-N 1 2 319.453 1.519 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C3CCOCC3)C2)C1 ZINC000972707420 735557660 /nfs/dbraw/zinc/55/76/60/735557660.db2.gz JFVPOMLJXISRST-QAPCUYQASA-N 1 2 322.449 1.538 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C3CCOCC3)C2)C1 ZINC000972707420 735557665 /nfs/dbraw/zinc/55/76/65/735557665.db2.gz JFVPOMLJXISRST-QAPCUYQASA-N 1 2 322.449 1.538 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H]1CNC(=O)c1nc2cccnc2s1 ZINC001024483404 735858927 /nfs/dbraw/zinc/85/89/27/735858927.db2.gz RRQPVEIAXPUQCM-LBPRGKRZSA-N 1 2 314.414 1.909 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1nc2cccnc2s1 ZINC001024483404 735858929 /nfs/dbraw/zinc/85/89/29/735858929.db2.gz RRQPVEIAXPUQCM-LBPRGKRZSA-N 1 2 314.414 1.909 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)CCN(C)c1cc[nH+]c(C)n1 ZINC001105362101 739314144 /nfs/dbraw/zinc/31/41/44/739314144.db2.gz ASRZSCQRCNXBMD-ZDUSSCGKSA-N 1 2 306.410 1.661 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3sc(C)nc3C)[C@H]2C1 ZINC001083269225 735967979 /nfs/dbraw/zinc/96/79/79/735967979.db2.gz RMKVSSPOAZATLX-QWHCGFSZSA-N 1 2 307.419 1.471 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3sc(C)nc3C)[C@H]2C1 ZINC001083269225 735967981 /nfs/dbraw/zinc/96/79/81/735967981.db2.gz RMKVSSPOAZATLX-QWHCGFSZSA-N 1 2 307.419 1.471 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C4CC4)cc3)[C@H]2C1 ZINC001083281571 736535809 /nfs/dbraw/zinc/53/58/09/736535809.db2.gz SMZCPLHGQFOFHB-ZWKOTPCHSA-N 1 2 310.397 1.722 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C4CC4)cc3)[C@H]2C1 ZINC001083281571 736535814 /nfs/dbraw/zinc/53/58/14/736535814.db2.gz SMZCPLHGQFOFHB-ZWKOTPCHSA-N 1 2 310.397 1.722 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](CNc1cc[nH+]c(C)n1)CC(C)C ZINC001104968021 737424338 /nfs/dbraw/zinc/42/43/38/737424338.db2.gz RVHGMOJCCFICHT-DZGCQCFKSA-N 1 2 318.421 1.766 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](OC)C3CCCCC3)[C@H]2C1 ZINC001083299701 737650061 /nfs/dbraw/zinc/65/00/61/737650061.db2.gz JFSMIFHIJDXKDS-GVDBMIGSSA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](OC)C3CCCCC3)[C@H]2C1 ZINC001083299701 737650063 /nfs/dbraw/zinc/65/00/63/737650063.db2.gz JFSMIFHIJDXKDS-GVDBMIGSSA-N 1 2 320.433 1.127 20 30 DDEDLO C=C(C)CCC(=O)N1CC(n2cc(C[NH2+]C/C=C\Cl)nn2)C1 ZINC001105254020 737666317 /nfs/dbraw/zinc/66/63/17/737666317.db2.gz MLIYTOIPNJUPMN-UTCJRWHESA-N 1 2 323.828 1.860 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@H]3C=CCCC3)C2)nn1 ZINC001105265648 737691287 /nfs/dbraw/zinc/69/12/87/737691287.db2.gz GFOBGAJAPNHADG-AWEZNQCLSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC(n3cc(C[NH2+]CCF)nn3)C2)C1 ZINC001105324246 737859848 /nfs/dbraw/zinc/85/98/48/737859848.db2.gz YAHUQNYJRPOKPA-UHFFFAOYSA-N 1 2 307.373 1.077 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn(CC(F)F)nc1C ZINC001038376318 737972742 /nfs/dbraw/zinc/97/27/42/737972742.db2.gz SRQYTFADYHQGLH-LBPRGKRZSA-N 1 2 310.348 1.284 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn(CC(F)F)nc1C ZINC001038376318 737972745 /nfs/dbraw/zinc/97/27/45/737972745.db2.gz SRQYTFADYHQGLH-LBPRGKRZSA-N 1 2 310.348 1.284 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn(CCC(C)C)nn1 ZINC001038693585 738085845 /nfs/dbraw/zinc/08/58/45/738085845.db2.gz JEVXLHUUKOCMMD-CQSZACIVSA-N 1 2 303.410 1.152 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn(CCC(C)C)nn1 ZINC001038693585 738085848 /nfs/dbraw/zinc/08/58/48/738085848.db2.gz JEVXLHUUKOCMMD-CQSZACIVSA-N 1 2 303.410 1.152 20 30 DDEDLO C[C@@H](c1nncn1C)[N@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406212 738265744 /nfs/dbraw/zinc/26/57/44/738265744.db2.gz OGXWXUNDXQQVAX-ZFWWWQNUSA-N 1 2 315.421 1.212 20 30 DDEDLO C[C@@H](c1nncn1C)[N@@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406212 738265745 /nfs/dbraw/zinc/26/57/45/738265745.db2.gz OGXWXUNDXQQVAX-ZFWWWQNUSA-N 1 2 315.421 1.212 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1nccn1C ZINC001028142813 739087280 /nfs/dbraw/zinc/08/72/80/739087280.db2.gz QRYFQUQAXXMZSY-ZNMIVQPWSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1nccn1C ZINC001028142813 739087282 /nfs/dbraw/zinc/08/72/82/739087282.db2.gz QRYFQUQAXXMZSY-ZNMIVQPWSA-N 1 2 318.421 1.092 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2csnn2)C1 ZINC001207622923 739131256 /nfs/dbraw/zinc/13/12/56/739131256.db2.gz LTXYYICBHQPDCV-STQMWFEESA-N 1 2 324.450 1.600 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001035396908 751466793 /nfs/dbraw/zinc/46/67/93/751466793.db2.gz ZPGLDPDVPCJCLP-STQMWFEESA-N 1 2 302.365 1.815 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001035396908 751466796 /nfs/dbraw/zinc/46/67/96/751466796.db2.gz ZPGLDPDVPCJCLP-STQMWFEESA-N 1 2 302.365 1.815 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001028588575 739704917 /nfs/dbraw/zinc/70/49/17/739704917.db2.gz FPHXQGJLZRBSBG-CQSZACIVSA-N 1 2 323.400 1.547 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001028588575 739704918 /nfs/dbraw/zinc/70/49/18/739704918.db2.gz FPHXQGJLZRBSBG-CQSZACIVSA-N 1 2 323.400 1.547 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC)c(F)c2)C1 ZINC001035402663 751472403 /nfs/dbraw/zinc/47/24/03/751472403.db2.gz NCAFUHDOSCMLGH-CQSZACIVSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC)c(F)c2)C1 ZINC001035402663 751472407 /nfs/dbraw/zinc/47/24/07/751472407.db2.gz NCAFUHDOSCMLGH-CQSZACIVSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2snnc2C2CC2)C1 ZINC001035413187 751494936 /nfs/dbraw/zinc/49/49/36/751494936.db2.gz XJAALEOCEPSNBD-LBPRGKRZSA-N 1 2 322.434 1.422 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2snnc2C2CC2)C1 ZINC001035413187 751494940 /nfs/dbraw/zinc/49/49/40/751494940.db2.gz XJAALEOCEPSNBD-LBPRGKRZSA-N 1 2 322.434 1.422 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC001035434179 751512107 /nfs/dbraw/zinc/51/21/07/751512107.db2.gz XUISVARYIUUTBK-HNNXBMFYSA-N 1 2 315.417 1.966 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC001035434179 751512113 /nfs/dbraw/zinc/51/21/13/751512113.db2.gz XUISVARYIUUTBK-HNNXBMFYSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)ccc2OC)C1 ZINC001035437772 751515484 /nfs/dbraw/zinc/51/54/84/751515484.db2.gz IGQUDYVJIRRJQX-AWEZNQCLSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)ccc2OC)C1 ZINC001035437772 751515487 /nfs/dbraw/zinc/51/54/87/751515487.db2.gz IGQUDYVJIRRJQX-AWEZNQCLSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C)nc2C2CC2)C1 ZINC001035459053 751521918 /nfs/dbraw/zinc/52/19/18/751521918.db2.gz HMZLJDIHKGJWKX-HNNXBMFYSA-N 1 2 315.417 1.884 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C)nc2C2CC2)C1 ZINC001035459053 751521922 /nfs/dbraw/zinc/52/19/22/751521922.db2.gz HMZLJDIHKGJWKX-HNNXBMFYSA-N 1 2 315.417 1.884 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccnc(OCC)c2)C1 ZINC001035500718 751557022 /nfs/dbraw/zinc/55/70/22/751557022.db2.gz QAZUJGDELJASGR-OAHLLOKOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccnc(OCC)c2)C1 ZINC001035500718 751557023 /nfs/dbraw/zinc/55/70/23/751557023.db2.gz QAZUJGDELJASGR-OAHLLOKOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001035464925 751550939 /nfs/dbraw/zinc/55/09/39/751550939.db2.gz AZSIKYOWOKPJIF-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001035464925 751550942 /nfs/dbraw/zinc/55/09/42/751550942.db2.gz AZSIKYOWOKPJIF-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO Cc1nc(N(C)CCN(C)C(=O)C#CC2CC2)c(C)c(C)[nH+]1 ZINC001105395796 740666007 /nfs/dbraw/zinc/66/60/07/740666007.db2.gz CWRNIERHOSMGSR-UHFFFAOYSA-N 1 2 300.406 1.710 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H](C)CC(=C)C)C2)nn1 ZINC001098706263 740771261 /nfs/dbraw/zinc/77/12/61/740771261.db2.gz WPJHDCIZVNEVFO-ZBFHGGJFSA-N 1 2 315.421 1.377 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1c[nH+]cn1C ZINC001032606270 751575852 /nfs/dbraw/zinc/57/58/52/751575852.db2.gz QWTFMIGWXLPCKO-ZQIUZPCESA-N 1 2 302.422 1.808 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C(C)(C)CCC)C2)nn1 ZINC001098711931 740905948 /nfs/dbraw/zinc/90/59/48/740905948.db2.gz ZEKABCMWHHUOIL-HNNXBMFYSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnnc3ccccc32)C1 ZINC001035524180 751602054 /nfs/dbraw/zinc/60/20/54/751602054.db2.gz FOPYPNCSOJVXSY-ZDUSSCGKSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnnc3ccccc32)C1 ZINC001035524180 751602057 /nfs/dbraw/zinc/60/20/57/751602057.db2.gz FOPYPNCSOJVXSY-ZDUSSCGKSA-N 1 2 312.373 1.246 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2sc(C)cc2OC)C1 ZINC001035531735 751612895 /nfs/dbraw/zinc/61/28/95/751612895.db2.gz WFMSWHNZDIWUFN-CYBMUJFWSA-N 1 2 322.430 1.519 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2sc(C)cc2OC)C1 ZINC001035531735 751612898 /nfs/dbraw/zinc/61/28/98/751612898.db2.gz WFMSWHNZDIWUFN-CYBMUJFWSA-N 1 2 322.430 1.519 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](C[N@@H+](C)Cc3nnc(C)[nH]3)C2)C1 ZINC001029837175 741377119 /nfs/dbraw/zinc/37/71/19/741377119.db2.gz YNVIYCQLGAYXPG-AWEZNQCLSA-N 1 2 317.437 1.750 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](C[N@H+](C)Cc3nnc(C)[nH]3)C2)C1 ZINC001029837175 741377122 /nfs/dbraw/zinc/37/71/22/741377122.db2.gz YNVIYCQLGAYXPG-AWEZNQCLSA-N 1 2 317.437 1.750 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3c2ccn3C)C1 ZINC001035549074 751632125 /nfs/dbraw/zinc/63/21/25/751632125.db2.gz CKGFNRVCVYIWTC-CQSZACIVSA-N 1 2 313.401 1.795 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3c2ccn3C)C1 ZINC001035549074 751632127 /nfs/dbraw/zinc/63/21/27/751632127.db2.gz CKGFNRVCVYIWTC-CQSZACIVSA-N 1 2 313.401 1.795 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@]2(C1)CCC[N@H+](Cc1cnns1)C2 ZINC001040127801 741393493 /nfs/dbraw/zinc/39/34/93/741393493.db2.gz VZOOVXSYSKCYLX-IUODEOHRSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@]2(C1)CCC[N@@H+](Cc1cnns1)C2 ZINC001040127801 741393495 /nfs/dbraw/zinc/39/34/95/741393495.db2.gz VZOOVXSYSKCYLX-IUODEOHRSA-N 1 2 319.434 1.512 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(OC)c2F)C1 ZINC001035558153 751639845 /nfs/dbraw/zinc/63/98/45/751639845.db2.gz CDLQXADZVGBBIL-LBPRGKRZSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(OC)c2F)C1 ZINC001035558153 751639852 /nfs/dbraw/zinc/63/98/52/751639852.db2.gz CDLQXADZVGBBIL-LBPRGKRZSA-N 1 2 308.353 1.451 20 30 DDEDLO N#CCNC[C@@]1(c2ccccc2)C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001105443058 741699764 /nfs/dbraw/zinc/69/97/64/741699764.db2.gz VOPPOJVXQGSBKJ-RHNCMZPLSA-N 1 2 323.400 1.282 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2coc(CCC)n2)C1 ZINC001035578226 751667403 /nfs/dbraw/zinc/66/74/03/751667403.db2.gz VLPUHBHNLSPMLM-ZDUSSCGKSA-N 1 2 305.378 1.081 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2coc(CCC)n2)C1 ZINC001035578226 751667405 /nfs/dbraw/zinc/66/74/05/751667405.db2.gz VLPUHBHNLSPMLM-ZDUSSCGKSA-N 1 2 305.378 1.081 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CCC3CC3)C2)nn1 ZINC001098641881 741818955 /nfs/dbraw/zinc/81/89/55/741818955.db2.gz ZLDKKHZTNAJKOX-MRXNPFEDSA-N 1 2 315.421 1.355 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001212097129 741988979 /nfs/dbraw/zinc/98/89/79/741988979.db2.gz NMNAZNZBPKUQEN-CKFVSBPFSA-N 1 2 312.413 1.820 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001212097129 741988984 /nfs/dbraw/zinc/98/89/84/741988984.db2.gz NMNAZNZBPKUQEN-CKFVSBPFSA-N 1 2 312.413 1.820 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(N(C)CC)cc2)C1 ZINC001035618882 751690445 /nfs/dbraw/zinc/69/04/45/751690445.db2.gz OMDWAEADCHYRMK-QGZVFWFLSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(N(C)CC)cc2)C1 ZINC001035618882 751690449 /nfs/dbraw/zinc/69/04/49/751690449.db2.gz OMDWAEADCHYRMK-QGZVFWFLSA-N 1 2 317.433 1.759 20 30 DDEDLO Cc1nn(C)cc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038112827 742217904 /nfs/dbraw/zinc/21/79/04/742217904.db2.gz JMIWYUURKCIFBS-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1nn(C)cc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038112827 742217908 /nfs/dbraw/zinc/21/79/08/742217908.db2.gz JMIWYUURKCIFBS-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001035612610 751718680 /nfs/dbraw/zinc/71/86/80/751718680.db2.gz CJOCUDQXQFRTGT-CYBMUJFWSA-N 1 2 305.378 1.021 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001035612610 751718687 /nfs/dbraw/zinc/71/86/87/751718687.db2.gz CJOCUDQXQFRTGT-CYBMUJFWSA-N 1 2 305.378 1.021 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(CCC)s2)[C@@H](O)C1 ZINC001083495813 742378592 /nfs/dbraw/zinc/37/85/92/742378592.db2.gz QLGRARCTYWXBFL-KGLIPLIRSA-N 1 2 306.431 1.499 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(CCC)s2)[C@@H](O)C1 ZINC001083495813 742378595 /nfs/dbraw/zinc/37/85/95/742378595.db2.gz QLGRARCTYWXBFL-KGLIPLIRSA-N 1 2 306.431 1.499 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cccc(-c4nnc[nH]4)c3)[C@@H]2C1 ZINC001076121164 742549036 /nfs/dbraw/zinc/54/90/36/742549036.db2.gz TYDNDQNKVGGOEK-JKSUJKDBSA-N 1 2 323.400 1.804 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2CCN(C(=O)c3cccc(-c4nnc[nH]4)c3)[C@@H]2C1 ZINC001076121164 742549038 /nfs/dbraw/zinc/54/90/38/742549038.db2.gz TYDNDQNKVGGOEK-JKSUJKDBSA-N 1 2 323.400 1.804 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)CC(C)(C)O)CC2 ZINC001035695748 751783371 /nfs/dbraw/zinc/78/33/71/751783371.db2.gz YFCFCOMOJSIQAP-UHFFFAOYSA-N 1 2 300.830 1.824 20 30 DDEDLO C#CCC[NH+]1CC2(C1)CCN(C(=O)C(F)C(F)(F)F)CC2 ZINC001035709291 751796184 /nfs/dbraw/zinc/79/61/84/751796184.db2.gz DBXGFOLLLRDXMG-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[NH+]1CC2(C1)CCN(C(=O)[C@H](F)C(F)(F)F)CC2 ZINC001035709291 751796188 /nfs/dbraw/zinc/79/61/88/751796188.db2.gz DBXGFOLLLRDXMG-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001181475965 743221097 /nfs/dbraw/zinc/22/10/97/743221097.db2.gz LUDICLMHSWYCAW-NWDGAFQWSA-N 1 2 310.423 1.210 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001077172713 743295276 /nfs/dbraw/zinc/29/52/76/743295276.db2.gz MZWQFMRUSFSNIC-GHMZBOCLSA-N 1 2 309.435 1.402 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001077172713 743295282 /nfs/dbraw/zinc/29/52/82/743295282.db2.gz MZWQFMRUSFSNIC-GHMZBOCLSA-N 1 2 309.435 1.402 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ncc(Cl)s2)C1 ZINC001077381257 743438207 /nfs/dbraw/zinc/43/82/07/743438207.db2.gz HQZIHFMNCWAKBD-RKDXNWHRSA-N 1 2 301.799 1.148 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ncc(Cl)s2)C1 ZINC001077381257 743438210 /nfs/dbraw/zinc/43/82/10/743438210.db2.gz HQZIHFMNCWAKBD-RKDXNWHRSA-N 1 2 301.799 1.148 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccoc1)C2 ZINC001110281718 743581455 /nfs/dbraw/zinc/58/14/55/743581455.db2.gz QIRZWPJHWAITNJ-NGFQHRJXSA-N 1 2 317.389 1.305 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccoc1)C2 ZINC001110281718 743581460 /nfs/dbraw/zinc/58/14/60/743581460.db2.gz QIRZWPJHWAITNJ-NGFQHRJXSA-N 1 2 317.389 1.305 20 30 DDEDLO CC(C)C#CC(=O)NCc1cnn2c1C[N@H+](CC[C@@H](C)F)CC2 ZINC001128346646 743634989 /nfs/dbraw/zinc/63/49/89/743634989.db2.gz AIJZBXZGRCJQHZ-CQSZACIVSA-N 1 2 320.412 1.722 20 30 DDEDLO CC(C)C#CC(=O)NCc1cnn2c1C[N@@H+](CC[C@@H](C)F)CC2 ZINC001128346646 743634993 /nfs/dbraw/zinc/63/49/93/743634993.db2.gz AIJZBXZGRCJQHZ-CQSZACIVSA-N 1 2 320.412 1.722 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2scnc2C(F)F)[C@@H](O)C1 ZINC001083633140 743638047 /nfs/dbraw/zinc/63/80/47/743638047.db2.gz JBWKRBGEPWUDKJ-BDAKNGLRSA-N 1 2 317.361 1.432 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2scnc2C(F)F)[C@@H](O)C1 ZINC001083633140 743638050 /nfs/dbraw/zinc/63/80/50/743638050.db2.gz JBWKRBGEPWUDKJ-BDAKNGLRSA-N 1 2 317.361 1.432 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C3CCCC3)cc2)[C@@H](O)C1 ZINC001083646738 743669773 /nfs/dbraw/zinc/66/97/73/743669773.db2.gz SFBFXIJSLMLJPL-MSOLQXFVSA-N 1 2 312.413 1.752 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C3CCCC3)cc2)[C@@H](O)C1 ZINC001083646738 743669775 /nfs/dbraw/zinc/66/97/75/743669775.db2.gz SFBFXIJSLMLJPL-MSOLQXFVSA-N 1 2 312.413 1.752 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)[C@H](C)c1ccc(C#N)cc1 ZINC001182800425 743719960 /nfs/dbraw/zinc/71/99/60/743719960.db2.gz UDAOSJRBXUQLBM-CYBMUJFWSA-N 1 2 312.373 1.821 20 30 DDEDLO N#CCN1CC2(C1)CCN(C(=O)[C@H]1CCCc3[nH+]c[nH]c31)CC2 ZINC001035794162 751880137 /nfs/dbraw/zinc/88/01/37/751880137.db2.gz ZHVGZTYWRRYTGQ-ZDUSSCGKSA-N 1 2 313.405 1.278 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H]2COc3cc(F)ccc3C2)C1 ZINC001030311727 744040765 /nfs/dbraw/zinc/04/07/65/744040765.db2.gz GHNGLTZAQSGWFB-CYBMUJFWSA-N 1 2 302.349 1.201 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CCC[N@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001006841218 751907573 /nfs/dbraw/zinc/90/75/73/751907573.db2.gz AGVRKPMRBAPPKQ-INIZCTEOSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001006841218 751907579 /nfs/dbraw/zinc/90/75/79/751907579.db2.gz AGVRKPMRBAPPKQ-INIZCTEOSA-N 1 2 323.400 1.984 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2ccc(C)cc2=O)[C@H]1C ZINC001088892753 744347617 /nfs/dbraw/zinc/34/76/17/744347617.db2.gz OZDZVLXPCOMRJY-KGLIPLIRSA-N 1 2 323.824 1.488 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2ccc(C)cc2=O)[C@H]1C ZINC001088892753 744347618 /nfs/dbraw/zinc/34/76/18/744347618.db2.gz OZDZVLXPCOMRJY-KGLIPLIRSA-N 1 2 323.824 1.488 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@H]1C ZINC001089040451 744466144 /nfs/dbraw/zinc/46/61/44/744466144.db2.gz STRNZMPUKIYAND-HIFRSBDPSA-N 1 2 314.433 1.895 20 30 DDEDLO COc1ccccc1Cn1c[nH+]cc1CNC(=O)[C@@H]1C[C@@H]1C#N ZINC001186987831 744475853 /nfs/dbraw/zinc/47/58/53/744475853.db2.gz UVJCCWMWCPYZCN-UKRRQHHQSA-N 1 2 310.357 1.716 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(C(N)=O)n2)[C@H]1C ZINC001089067030 744483440 /nfs/dbraw/zinc/48/34/40/744483440.db2.gz MUFATCXNGJANDR-MNOVXSKESA-N 1 2 322.796 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(C(N)=O)n2)[C@H]1C ZINC001089067030 744483443 /nfs/dbraw/zinc/48/34/43/744483443.db2.gz MUFATCXNGJANDR-MNOVXSKESA-N 1 2 322.796 1.126 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2csc3ccccc23)[C@@H](O)C1 ZINC001083679711 744549342 /nfs/dbraw/zinc/54/93/42/744549342.db2.gz QOFCOYXAFFDOPZ-KGLIPLIRSA-N 1 2 300.383 1.309 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2csc3ccccc23)[C@@H](O)C1 ZINC001083679711 744549343 /nfs/dbraw/zinc/54/93/43/744549343.db2.gz QOFCOYXAFFDOPZ-KGLIPLIRSA-N 1 2 300.383 1.309 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001187591508 744580795 /nfs/dbraw/zinc/58/07/95/744580795.db2.gz PYPMHHLIFAXCSG-DZGCQCFKSA-N 1 2 318.446 1.764 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001187591508 744580799 /nfs/dbraw/zinc/58/07/99/744580799.db2.gz PYPMHHLIFAXCSG-DZGCQCFKSA-N 1 2 318.446 1.764 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001188309825 744687480 /nfs/dbraw/zinc/68/74/80/744687480.db2.gz ILKJMWMOEYNIQY-CHWSQXEVSA-N 1 2 322.409 1.304 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)[C@H]2CCN(C(=O)CC)C2)c1 ZINC001188519587 744711292 /nfs/dbraw/zinc/71/12/92/744711292.db2.gz KJLFEEIENYUJLA-INIZCTEOSA-N 1 2 313.401 1.549 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)[C@H]2CCN(C(=O)CC)C2)c1 ZINC001188519587 744711295 /nfs/dbraw/zinc/71/12/95/744711295.db2.gz KJLFEEIENYUJLA-INIZCTEOSA-N 1 2 313.401 1.549 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccnc(Br)c2)CC1 ZINC001188612001 744727960 /nfs/dbraw/zinc/72/79/60/744727960.db2.gz CVMJVEAFDXPPSR-UHFFFAOYSA-N 1 2 310.195 1.788 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)nc1 ZINC001188957911 744798922 /nfs/dbraw/zinc/79/89/22/744798922.db2.gz BPJCZXCLMRQKQU-CYBMUJFWSA-N 1 2 319.434 1.075 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)nc1 ZINC001188957911 744798924 /nfs/dbraw/zinc/79/89/24/744798924.db2.gz BPJCZXCLMRQKQU-CYBMUJFWSA-N 1 2 319.434 1.075 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)co1 ZINC001188958364 744799237 /nfs/dbraw/zinc/79/92/37/744799237.db2.gz GPDFDNGYWAZVRL-CYBMUJFWSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)co1 ZINC001188958364 744799239 /nfs/dbraw/zinc/79/92/39/744799239.db2.gz GPDFDNGYWAZVRL-CYBMUJFWSA-N 1 2 308.407 1.273 20 30 DDEDLO C=C1CC(C)(C(=O)NC2C[NH+](Cc3ccc(CO)cc3)C2)C1 ZINC001030924329 744845002 /nfs/dbraw/zinc/84/50/02/744845002.db2.gz NPJXCHRXGLTABW-UHFFFAOYSA-N 1 2 300.402 1.836 20 30 DDEDLO C#Cc1cncc(C(=O)NC2C[NH+](Cc3cccc(C)c3)C2)c1 ZINC001030927994 744854148 /nfs/dbraw/zinc/85/41/48/744854148.db2.gz DLGQICJKJYYUQL-UHFFFAOYSA-N 1 2 305.381 1.986 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001189918102 745032899 /nfs/dbraw/zinc/03/28/99/745032899.db2.gz NCSVOKARLREMDP-GOEBONIOSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001189918102 745032904 /nfs/dbraw/zinc/03/29/04/745032904.db2.gz NCSVOKARLREMDP-GOEBONIOSA-N 1 2 307.394 1.343 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)Cc2nocc2C)C1 ZINC001190112761 745119111 /nfs/dbraw/zinc/11/91/11/745119111.db2.gz FUHNSKCKRKPDJC-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001190112761 745119114 /nfs/dbraw/zinc/11/91/14/745119114.db2.gz FUHNSKCKRKPDJC-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO COC(=O)[C@H](C)NC(=O)Nc1sc2c(c1C#N)CC[N@@H+](C)C2 ZINC001190505778 745233062 /nfs/dbraw/zinc/23/30/62/745233062.db2.gz MMATVIDDJYSPON-QMMMGPOBSA-N 1 2 322.390 1.291 20 30 DDEDLO COC(=O)[C@H](C)NC(=O)Nc1sc2c(c1C#N)CC[N@H+](C)C2 ZINC001190505778 745233066 /nfs/dbraw/zinc/23/30/66/745233066.db2.gz MMATVIDDJYSPON-QMMMGPOBSA-N 1 2 322.390 1.291 20 30 DDEDLO CC(C)(C(=O)N1C[C@@H]2CCC[C@@H](NCC#N)[C@@H]2C1)c1c[nH+]c[nH]1 ZINC000992861114 745256781 /nfs/dbraw/zinc/25/67/81/745256781.db2.gz VCEIDOXDJQOYJZ-BFHYXJOUSA-N 1 2 315.421 1.428 20 30 DDEDLO Cc1cc(Cl)ccc1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001191855140 745618103 /nfs/dbraw/zinc/61/81/03/745618103.db2.gz XCJVOYZGUDVKRH-NILFDRSVSA-N 1 2 321.808 1.469 20 30 DDEDLO Cc1cc(Cl)ccc1C[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001191855140 745618109 /nfs/dbraw/zinc/61/81/09/745618109.db2.gz XCJVOYZGUDVKRH-NILFDRSVSA-N 1 2 321.808 1.469 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)nc1)NC(=O)Cc1c[nH+]cn1C ZINC001106381272 745646247 /nfs/dbraw/zinc/64/62/47/745646247.db2.gz HQLCHWFKYYUFRP-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)nc1)NC(=O)CCn1cc[nH+]c1 ZINC001106520494 745767024 /nfs/dbraw/zinc/76/70/24/745767024.db2.gz HCQWUIKWTKRKKL-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc3c2CCC3)[C@@H]1C ZINC000993553846 746217322 /nfs/dbraw/zinc/21/73/22/746217322.db2.gz NKBNMURACQBFNZ-JSGCOSHPSA-N 1 2 300.406 1.504 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2[nH]nc3c2CCC3)[C@@H]1C ZINC000993553846 746217323 /nfs/dbraw/zinc/21/73/23/746217323.db2.gz NKBNMURACQBFNZ-JSGCOSHPSA-N 1 2 300.406 1.504 20 30 DDEDLO CCN(CCNc1snc(C)c1C#N)C(=O)Cc1[nH]cc[nH+]1 ZINC001106829324 746273503 /nfs/dbraw/zinc/27/35/03/746273503.db2.gz NPZBTJHZLWGADL-UHFFFAOYSA-N 1 2 318.406 1.549 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cccc(=O)n2C)[C@@H]1C ZINC000993769395 746320704 /nfs/dbraw/zinc/32/07/04/746320704.db2.gz HCOJYRLNMSIEEX-QWHCGFSZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cccc(=O)n2C)[C@@H]1C ZINC000993769395 746320711 /nfs/dbraw/zinc/32/07/11/746320711.db2.gz HCOJYRLNMSIEEX-QWHCGFSZSA-N 1 2 323.824 1.721 20 30 DDEDLO CCCCC(=O)N1CCC[N@H+](CC(=O)N(CC)CCC#N)CC1 ZINC001194876557 746478637 /nfs/dbraw/zinc/47/86/37/746478637.db2.gz XIMVPKNKSGZBIF-UHFFFAOYSA-N 1 2 322.453 1.473 20 30 DDEDLO CCCCC(=O)N1CCC[N@@H+](CC(=O)N(CC)CCC#N)CC1 ZINC001194876557 746478638 /nfs/dbraw/zinc/47/86/38/746478638.db2.gz XIMVPKNKSGZBIF-UHFFFAOYSA-N 1 2 322.453 1.473 20 30 DDEDLO CCc1nc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC#CCOC)co1 ZINC001110462990 746483624 /nfs/dbraw/zinc/48/36/24/746483624.db2.gz LPNLWVCWEDEUDW-NFAWXSAZSA-N 1 2 317.389 1.222 20 30 DDEDLO CCc1nc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC#CCOC)co1 ZINC001110462990 746483627 /nfs/dbraw/zinc/48/36/27/746483627.db2.gz LPNLWVCWEDEUDW-NFAWXSAZSA-N 1 2 317.389 1.222 20 30 DDEDLO CCCN(CCC)C(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195314110 746574171 /nfs/dbraw/zinc/57/41/71/746574171.db2.gz HWPORMHMNJANDE-OAHLLOKOSA-N 1 2 322.453 1.329 20 30 DDEDLO CCCN(CCC)C(=O)C[N@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195314110 746574173 /nfs/dbraw/zinc/57/41/73/746574173.db2.gz HWPORMHMNJANDE-OAHLLOKOSA-N 1 2 322.453 1.329 20 30 DDEDLO C#CCC[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001007343802 752105297 /nfs/dbraw/zinc/10/52/97/752105297.db2.gz OJXOUWZGXYPUPM-CABCVRRESA-N 1 2 300.406 1.434 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001007343802 752105302 /nfs/dbraw/zinc/10/53/02/752105302.db2.gz OJXOUWZGXYPUPM-CABCVRRESA-N 1 2 300.406 1.434 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)cs2)[C@H](OC)C1 ZINC001212216326 746635687 /nfs/dbraw/zinc/63/56/87/746635687.db2.gz IHQOHMOVRCKCSX-ZIAGYGMSSA-N 1 2 322.430 1.135 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)cs2)[C@H](OC)C1 ZINC001212216326 746635689 /nfs/dbraw/zinc/63/56/89/746635689.db2.gz IHQOHMOVRCKCSX-ZIAGYGMSSA-N 1 2 322.430 1.135 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195732927 746679329 /nfs/dbraw/zinc/67/93/29/746679329.db2.gz QOYSWZAZOFZDTO-ZIAGYGMSSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001195732927 746679331 /nfs/dbraw/zinc/67/93/31/746679331.db2.gz QOYSWZAZOFZDTO-ZIAGYGMSSA-N 1 2 307.394 1.309 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2c(C)coc2C)CC1 ZINC001195703252 746681769 /nfs/dbraw/zinc/68/17/69/746681769.db2.gz TYBJATBXUCYNKN-UHFFFAOYSA-N 1 2 304.390 1.694 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2c(C)coc2C)CC1 ZINC001195703252 746681770 /nfs/dbraw/zinc/68/17/70/746681770.db2.gz TYBJATBXUCYNKN-UHFFFAOYSA-N 1 2 304.390 1.694 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754103 746686187 /nfs/dbraw/zinc/68/61/87/746686187.db2.gz JHQBEBKINAETOY-UHFFFAOYSA-N 1 2 319.449 1.239 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754103 746686188 /nfs/dbraw/zinc/68/61/88/746686188.db2.gz JHQBEBKINAETOY-UHFFFAOYSA-N 1 2 319.449 1.239 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754435 746687485 /nfs/dbraw/zinc/68/74/85/746687485.db2.gz NQTYWHZTUCTNIT-CABCVRRESA-N 1 2 319.449 1.237 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754435 746687487 /nfs/dbraw/zinc/68/74/87/746687487.db2.gz NQTYWHZTUCTNIT-CABCVRRESA-N 1 2 319.449 1.237 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3cncs3)C[C@@H]2O)CC1 ZINC001083754739 746700466 /nfs/dbraw/zinc/70/04/66/746700466.db2.gz PYNHSCQHZQKDCX-CABCVRRESA-N 1 2 321.446 1.551 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3cncs3)C[C@@H]2O)CC1 ZINC001083754739 746700469 /nfs/dbraw/zinc/70/04/69/746700469.db2.gz PYNHSCQHZQKDCX-CABCVRRESA-N 1 2 321.446 1.551 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195924447 746738361 /nfs/dbraw/zinc/73/83/61/746738361.db2.gz WALHJWLHAVAEKM-FMKPAKJESA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195924447 746738365 /nfs/dbraw/zinc/73/83/65/746738365.db2.gz WALHJWLHAVAEKM-FMKPAKJESA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](CC(=O)NCCCC)CC1 ZINC001196010729 746755832 /nfs/dbraw/zinc/75/58/32/746755832.db2.gz RQXOCRMVWHTUNY-UHFFFAOYSA-N 1 2 309.454 1.793 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](CC(=O)NCCCC)CC1 ZINC001196010729 746755833 /nfs/dbraw/zinc/75/58/33/746755833.db2.gz RQXOCRMVWHTUNY-UHFFFAOYSA-N 1 2 309.454 1.793 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](C)CCN1C(=S)Nc1ccccc1C#N ZINC001196312638 746823045 /nfs/dbraw/zinc/82/30/45/746823045.db2.gz CEJNDBBIIHAHAD-ZDUSSCGKSA-N 1 2 318.402 1.044 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](C)CCN1C(=S)Nc1ccccc1C#N ZINC001196312638 746823054 /nfs/dbraw/zinc/82/30/54/746823054.db2.gz CEJNDBBIIHAHAD-ZDUSSCGKSA-N 1 2 318.402 1.044 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)N(C)CC)CC1 ZINC001196852814 746990985 /nfs/dbraw/zinc/99/09/85/746990985.db2.gz DRSQYXBQXHLNFB-CABCVRRESA-N 1 2 309.454 1.457 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)N(C)CC)CC1 ZINC001196852814 746990988 /nfs/dbraw/zinc/99/09/88/746990988.db2.gz DRSQYXBQXHLNFB-CABCVRRESA-N 1 2 309.454 1.457 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@H](NCC#N)[C@H](C)C3)ccn12 ZINC001036035806 752141560 /nfs/dbraw/zinc/14/15/60/752141560.db2.gz VQCGNPUDSKLZEV-DOMZBBRYSA-N 1 2 311.389 1.607 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@@H+](CC(=O)NCCC)CC2)CC1 ZINC001197043565 747034545 /nfs/dbraw/zinc/03/45/45/747034545.db2.gz IBNNSPWQWOMXHC-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@H+](CC(=O)NCCC)CC2)CC1 ZINC001197043565 747034552 /nfs/dbraw/zinc/03/45/52/747034552.db2.gz IBNNSPWQWOMXHC-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2CC3(CC3)C2)CC1 ZINC001197125914 747075134 /nfs/dbraw/zinc/07/51/34/747075134.db2.gz PEBFAXQLFKMDOE-UHFFFAOYSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2CC3(CC3)C2)CC1 ZINC001197125914 747075140 /nfs/dbraw/zinc/07/51/40/747075140.db2.gz PEBFAXQLFKMDOE-UHFFFAOYSA-N 1 2 305.422 1.013 20 30 DDEDLO CC#CC[NH+]1CCC(NC(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)CC1 ZINC001003338098 747138198 /nfs/dbraw/zinc/13/81/98/747138198.db2.gz AIEBAEKXGFEKGD-SUMWQHHRSA-N 1 2 314.433 1.680 20 30 DDEDLO CN(c1ccncc1C#N)[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001061271135 747250627 /nfs/dbraw/zinc/25/06/27/747250627.db2.gz HPOJTKOHYCDEEZ-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cnn(C(C)C)c2)CC1 ZINC001197795133 747266728 /nfs/dbraw/zinc/26/67/28/747266728.db2.gz RDAKCNOQNHXUOU-UHFFFAOYSA-N 1 2 318.421 1.262 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cnn(C(C)C)c2)CC1 ZINC001197795133 747266733 /nfs/dbraw/zinc/26/67/33/747266733.db2.gz RDAKCNOQNHXUOU-UHFFFAOYSA-N 1 2 318.421 1.262 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc3cccnn32)C1 ZINC001015805982 747370204 /nfs/dbraw/zinc/37/02/04/747370204.db2.gz OKKRUGLGAQSHJA-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc3cccnn32)C1 ZINC001015805982 747370209 /nfs/dbraw/zinc/37/02/09/747370209.db2.gz OKKRUGLGAQSHJA-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212254000 747392640 /nfs/dbraw/zinc/39/26/40/747392640.db2.gz NJXXYLHDAQMPBI-HUUCEWRRSA-N 1 2 319.405 1.348 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212254000 747392648 /nfs/dbraw/zinc/39/26/48/747392648.db2.gz NJXXYLHDAQMPBI-HUUCEWRRSA-N 1 2 319.405 1.348 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cncn2C)CC1 ZINC001198336847 747456134 /nfs/dbraw/zinc/45/61/34/747456134.db2.gz HVGWDZHLJAQYJC-CQSZACIVSA-N 1 2 306.410 1.046 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cncn2C)CC1 ZINC001198336847 747456138 /nfs/dbraw/zinc/45/61/38/747456138.db2.gz HVGWDZHLJAQYJC-CQSZACIVSA-N 1 2 306.410 1.046 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCO[C@@H]2C(C)C)C1 ZINC001031723818 747538523 /nfs/dbraw/zinc/53/85/23/747538523.db2.gz VWEGRURIATUYQY-UONOGXRCSA-N 1 2 300.830 1.848 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)C(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1O ZINC001198888294 747646631 /nfs/dbraw/zinc/64/66/31/747646631.db2.gz BHFGOUVUYRMIHP-BRWVUGGUSA-N 1 2 320.408 1.552 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)C(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1O ZINC001198888294 747646636 /nfs/dbraw/zinc/64/66/36/747646636.db2.gz BHFGOUVUYRMIHP-BRWVUGGUSA-N 1 2 320.408 1.552 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](c2ccc(F)cc2)C(C)C)C1 ZINC001199245934 747759682 /nfs/dbraw/zinc/75/96/82/747759682.db2.gz PGANYDYYGGVXPC-ZACQAIPSSA-N 1 2 318.392 1.360 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](c2ccc(F)cc2)C(C)C)C1 ZINC001199245934 747759685 /nfs/dbraw/zinc/75/96/85/747759685.db2.gz PGANYDYYGGVXPC-ZACQAIPSSA-N 1 2 318.392 1.360 20 30 DDEDLO C[C@H]1C[C@H](C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)CO1 ZINC001031789800 747853049 /nfs/dbraw/zinc/85/30/49/747853049.db2.gz PJWOLERBAXOGKD-GUYCJALGSA-N 1 2 313.401 1.531 20 30 DDEDLO C=C[C@H](C(=O)N1CC(NC(=O)Cn2cc[nH+]c2)C1)c1ccccc1 ZINC000994914983 747901546 /nfs/dbraw/zinc/90/15/46/747901546.db2.gz FJRDMIWVQKWSLE-INIZCTEOSA-N 1 2 324.384 1.180 20 30 DDEDLO N#Cc1cc(NC(=S)NCCC[NH+]2CCOCC2)ccc1O ZINC001199948351 748047726 /nfs/dbraw/zinc/04/77/26/748047726.db2.gz JXCNAIQZESIPNS-UHFFFAOYSA-N 1 2 320.418 1.273 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C[C@H]1O ZINC001090083859 748151030 /nfs/dbraw/zinc/15/10/30/748151030.db2.gz PVEVTOOWHUUCHZ-HZPDHXFCSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C[C@H]1O ZINC001090083859 748151032 /nfs/dbraw/zinc/15/10/32/748151032.db2.gz PVEVTOOWHUUCHZ-HZPDHXFCSA-N 1 2 319.380 1.405 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001108068156 748227197 /nfs/dbraw/zinc/22/71/97/748227197.db2.gz MDNOMXDGHJWBOH-JZXOWHBKSA-N 1 2 322.449 1.442 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001108068156 748227203 /nfs/dbraw/zinc/22/72/03/748227203.db2.gz MDNOMXDGHJWBOH-JZXOWHBKSA-N 1 2 322.449 1.442 20 30 DDEDLO Cc1c(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)cnn1C ZINC001004341268 748333649 /nfs/dbraw/zinc/33/36/49/748333649.db2.gz VUUAFYAEEYXPBR-MRXNPFEDSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1c(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)cnn1C ZINC001004341268 748333658 /nfs/dbraw/zinc/33/36/58/748333658.db2.gz VUUAFYAEEYXPBR-MRXNPFEDSA-N 1 2 315.421 1.569 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2cncs2)CC1 ZINC001004363269 748364331 /nfs/dbraw/zinc/36/43/31/748364331.db2.gz AMCFJAPIGXVFQF-ZDUSSCGKSA-N 1 2 304.419 1.983 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2cncs2)CC1 ZINC001004363269 748364335 /nfs/dbraw/zinc/36/43/35/748364335.db2.gz AMCFJAPIGXVFQF-ZDUSSCGKSA-N 1 2 304.419 1.983 20 30 DDEDLO C=CCCC(=O)NCC[NH2+]Cc1nnc(C(F)(F)F)s1 ZINC001124640959 748378864 /nfs/dbraw/zinc/37/88/64/748378864.db2.gz WEANCIYAWILVCE-UHFFFAOYSA-N 1 2 308.329 1.729 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncc3ccccn32)C1 ZINC001108070873 748389369 /nfs/dbraw/zinc/38/93/69/748389369.db2.gz IVLUVXWXHOCHIS-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncc3ccccn32)C1 ZINC001108070873 748389373 /nfs/dbraw/zinc/38/93/73/748389373.db2.gz IVLUVXWXHOCHIS-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@H]1OC ZINC001212348901 748490710 /nfs/dbraw/zinc/49/07/10/748490710.db2.gz DYXMDNPNGNIGDB-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@H]1OC ZINC001212348901 748490712 /nfs/dbraw/zinc/49/07/12/748490712.db2.gz DYXMDNPNGNIGDB-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCOCC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001110582800 748780610 /nfs/dbraw/zinc/78/06/10/748780610.db2.gz UDUHKBBAARRCNE-WISYIIOYSA-N 1 2 324.318 1.811 20 30 DDEDLO C=CCOCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001110582800 748780611 /nfs/dbraw/zinc/78/06/11/748780611.db2.gz UDUHKBBAARRCNE-WISYIIOYSA-N 1 2 324.318 1.811 20 30 DDEDLO C#CCN(C(=O)[C@@H](C)OC)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110617781 748831938 /nfs/dbraw/zinc/83/19/38/748831938.db2.gz FBRFAVANRSTMMS-CYBMUJFWSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CCOCCCC(=O)N[C@@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110738144 748964483 /nfs/dbraw/zinc/96/44/83/748964483.db2.gz UJLDHNXWPJVGET-KRWDZBQOSA-N 1 2 318.421 1.853 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccs4)C[C@H]32)CCC1 ZINC001114397417 749138282 /nfs/dbraw/zinc/13/82/82/749138282.db2.gz MLLFEHSGNYSEHW-NHAGDIPZSA-N 1 2 315.442 1.883 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccs4)C[C@H]32)CCC1 ZINC001114397417 749138285 /nfs/dbraw/zinc/13/82/85/749138285.db2.gz MLLFEHSGNYSEHW-NHAGDIPZSA-N 1 2 315.442 1.883 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc(OC)ccn2)C1 ZINC001033324002 749190867 /nfs/dbraw/zinc/19/08/67/749190867.db2.gz LIYXJVMVMFHVKI-LBPRGKRZSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(OC)ccn2)C1 ZINC001033324002 749190870 /nfs/dbraw/zinc/19/08/70/749190870.db2.gz LIYXJVMVMFHVKI-LBPRGKRZSA-N 1 2 309.797 1.989 20 30 DDEDLO Cc1nc(N2C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)c(C)c(C)[nH+]1 ZINC001114439919 749214742 /nfs/dbraw/zinc/21/47/42/749214742.db2.gz OTLRGBQIZIGGIW-YGRLFVJLSA-N 1 2 315.421 1.893 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC001014714163 749268648 /nfs/dbraw/zinc/26/86/48/749268648.db2.gz MZWULNIEYNUJTF-LBPRGKRZSA-N 1 2 302.403 1.532 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC001014714163 749268649 /nfs/dbraw/zinc/26/86/49/749268649.db2.gz MZWULNIEYNUJTF-LBPRGKRZSA-N 1 2 302.403 1.532 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CC[N@H+](Cc2ncc(C)o2)C1 ZINC001033433757 749332130 /nfs/dbraw/zinc/33/21/30/749332130.db2.gz WMFNJIGCSIVPGJ-KFWWJZLASA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001033433757 749332137 /nfs/dbraw/zinc/33/21/37/749332137.db2.gz WMFNJIGCSIVPGJ-KFWWJZLASA-N 1 2 319.405 1.607 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CN(C(=O)C2=NC(=O)N(C)C2)CC1(C)C ZINC000996229314 749440484 /nfs/dbraw/zinc/44/04/84/749440484.db2.gz SFPALFVAXAQQES-LLVKDONJSA-N 1 2 312.801 1.318 20 30 DDEDLO CN(C(=O)c1ncccn1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033527799 749479429 /nfs/dbraw/zinc/47/94/29/749479429.db2.gz XPQVURKBRRUWSS-INIZCTEOSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C(=O)c1ncccn1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033527799 749479436 /nfs/dbraw/zinc/47/94/36/749479436.db2.gz XPQVURKBRRUWSS-INIZCTEOSA-N 1 2 321.384 1.695 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COCCO1 ZINC001039352362 761957895 /nfs/dbraw/zinc/95/78/95/761957895.db2.gz QFNQKNYRGNPGFS-RDBSUJKOSA-N 1 2 314.813 1.220 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COCCO1 ZINC001039352362 761957902 /nfs/dbraw/zinc/95/79/02/761957902.db2.gz QFNQKNYRGNPGFS-RDBSUJKOSA-N 1 2 314.813 1.220 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)CC(=C)C)nn2)C1 ZINC001107198467 749563827 /nfs/dbraw/zinc/56/38/27/749563827.db2.gz IHGYVVPIJOWTBK-CYBMUJFWSA-N 1 2 303.410 1.539 20 30 DDEDLO CN(C(=O)c1ccc(C#N)[nH]1)C1CC[NH+](Cc2ncccn2)CC1 ZINC001005258638 749669179 /nfs/dbraw/zinc/66/91/79/749669179.db2.gz FLBZNNNAAABJPR-UHFFFAOYSA-N 1 2 324.388 1.413 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCOc1ccccc1F ZINC001032318438 749948522 /nfs/dbraw/zinc/94/85/22/749948522.db2.gz NNISYEJIAKHAJC-IHRRRGAJSA-N 1 2 317.364 1.649 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCOc1ccccc1F ZINC001032318438 749948528 /nfs/dbraw/zinc/94/85/28/749948528.db2.gz NNISYEJIAKHAJC-IHRRRGAJSA-N 1 2 317.364 1.649 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCc1c(F)cccc1F ZINC001032318678 749954725 /nfs/dbraw/zinc/95/47/25/749954725.db2.gz VNQKDTJGXPSJJF-AGIUHOORSA-N 1 2 319.355 1.952 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCc1c(F)cccc1F ZINC001032318678 749954729 /nfs/dbraw/zinc/95/47/29/749954729.db2.gz VNQKDTJGXPSJJF-AGIUHOORSA-N 1 2 319.355 1.952 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)COc2ccccc2C)C1 ZINC001108376760 761999574 /nfs/dbraw/zinc/99/95/74/761999574.db2.gz RMNDTFOJWGLBCJ-SFHVURJKSA-N 1 2 318.417 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)COc2ccccc2C)C1 ZINC001108376760 761999581 /nfs/dbraw/zinc/99/95/81/761999581.db2.gz RMNDTFOJWGLBCJ-SFHVURJKSA-N 1 2 318.417 1.767 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CC#N)c[nH+]1 ZINC001095609818 750078947 /nfs/dbraw/zinc/07/89/47/750078947.db2.gz QLEWBJYDWKQEOX-RDBSUJKOSA-N 1 2 301.394 1.172 20 30 DDEDLO C[C@H](CC(=O)NC/C=C\CNc1ccc(C#N)nc1)n1cc[nH+]c1 ZINC001107545612 750110756 /nfs/dbraw/zinc/11/07/56/750110756.db2.gz JDNUPCBRFMPGSZ-PYLYLYNFSA-N 1 2 324.388 1.885 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3ccccc3F)C[C@H]2O)C1 ZINC001077641801 750255913 /nfs/dbraw/zinc/25/59/13/750255913.db2.gz IOCLLWSMWZEHAX-HZPDHXFCSA-N 1 2 318.392 1.843 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3ccccc3F)C[C@H]2O)C1 ZINC001077641801 750255922 /nfs/dbraw/zinc/25/59/22/750255922.db2.gz IOCLLWSMWZEHAX-HZPDHXFCSA-N 1 2 318.392 1.843 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1CC ZINC001110964643 750258930 /nfs/dbraw/zinc/25/89/30/750258930.db2.gz URXJOICGHHCIHT-BMFZPTHFSA-N 1 2 317.437 1.876 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1CC ZINC001110964643 750258933 /nfs/dbraw/zinc/25/89/33/750258933.db2.gz URXJOICGHHCIHT-BMFZPTHFSA-N 1 2 317.437 1.876 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC ZINC001110989455 750284531 /nfs/dbraw/zinc/28/45/31/750284531.db2.gz MNDVVPWIGCPGFE-WVZRYYJFSA-N 1 2 307.438 1.446 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC ZINC001110989455 750284537 /nfs/dbraw/zinc/28/45/37/750284537.db2.gz MNDVVPWIGCPGFE-WVZRYYJFSA-N 1 2 307.438 1.446 20 30 DDEDLO N#CCN1CC[C@@]2(CNC(=O)CCCn3cc[nH+]c3)CCC[C@@H]12 ZINC001107651837 750301983 /nfs/dbraw/zinc/30/19/83/750301983.db2.gz JGCUBHCFNGEPIQ-NVXWUHKLSA-N 1 2 315.421 1.548 20 30 DDEDLO CN(c1ccc(C#N)nc1)[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001056906190 762028663 /nfs/dbraw/zinc/02/86/63/762028663.db2.gz BNEFZCWCBDRTRI-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001077748291 750496617 /nfs/dbraw/zinc/49/66/17/750496617.db2.gz IGCFUYYMEVXGQF-HUUCEWRRSA-N 1 2 318.417 1.684 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001077748291 750496618 /nfs/dbraw/zinc/49/66/18/750496618.db2.gz IGCFUYYMEVXGQF-HUUCEWRRSA-N 1 2 318.417 1.684 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccoc2CC)[C@@H](O)C1 ZINC001090232053 750655756 /nfs/dbraw/zinc/65/57/56/750655756.db2.gz RMXIQMLZWVDHHY-STQMWFEESA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccoc2CC)[C@@H](O)C1 ZINC001090232053 750655759 /nfs/dbraw/zinc/65/57/59/750655759.db2.gz RMXIQMLZWVDHHY-STQMWFEESA-N 1 2 312.797 1.760 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2n1[C@H](C)CCC2 ZINC001032413682 750675660 /nfs/dbraw/zinc/67/56/60/750675660.db2.gz WRDKUOKKLOZMNI-ILXRZTDVSA-N 1 2 312.417 1.702 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2n1[C@H](C)CCC2 ZINC001032413682 750675664 /nfs/dbraw/zinc/67/56/64/750675664.db2.gz WRDKUOKKLOZMNI-ILXRZTDVSA-N 1 2 312.417 1.702 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)C)n(C)n2)C1 ZINC001107938143 750689285 /nfs/dbraw/zinc/68/92/85/750689285.db2.gz JAGVBNFCBQBHTJ-QGZVFWFLSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)C)n(C)n2)C1 ZINC001107938143 750689290 /nfs/dbraw/zinc/68/92/90/750689290.db2.gz JAGVBNFCBQBHTJ-QGZVFWFLSA-N 1 2 320.437 1.550 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCN(C)c2ccccc21 ZINC001032452956 750872105 /nfs/dbraw/zinc/87/21/05/750872105.db2.gz NQEHNGFDFWGPNL-XYJFISCASA-N 1 2 323.440 1.919 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCN(C)c2ccccc21 ZINC001032452956 750872113 /nfs/dbraw/zinc/87/21/13/750872113.db2.gz NQEHNGFDFWGPNL-XYJFISCASA-N 1 2 323.440 1.919 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)c(F)c1 ZINC001032457098 750877094 /nfs/dbraw/zinc/87/70/94/750877094.db2.gz IYQVIGIOEXZSSH-KBPBESRZSA-N 1 2 302.349 1.756 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)c(F)c1 ZINC001032457098 750877101 /nfs/dbraw/zinc/87/71/01/750877101.db2.gz IYQVIGIOEXZSSH-KBPBESRZSA-N 1 2 302.349 1.756 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001114757279 751053316 /nfs/dbraw/zinc/05/33/16/751053316.db2.gz IITFSOWTOVGDDI-QLPKVWCKSA-N 1 2 302.422 1.806 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001114757279 751053323 /nfs/dbraw/zinc/05/33/23/751053323.db2.gz IITFSOWTOVGDDI-QLPKVWCKSA-N 1 2 302.422 1.806 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(-c2ccccc2)n1 ZINC001032497813 751080644 /nfs/dbraw/zinc/08/06/44/751080644.db2.gz RWJVNLTTXUJBRE-HOTGVXAUSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(-c2ccccc2)n1 ZINC001032497813 751080650 /nfs/dbraw/zinc/08/06/50/751080650.db2.gz RWJVNLTTXUJBRE-HOTGVXAUSA-N 1 2 306.369 1.404 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CC(=O)Nc2ccccc21 ZINC001032550155 751275613 /nfs/dbraw/zinc/27/56/13/751275613.db2.gz FEQIWTJOLHHSOZ-OFQRWUPVSA-N 1 2 323.396 1.421 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CC(=O)Nc2ccccc21 ZINC001032550155 751275617 /nfs/dbraw/zinc/27/56/17/751275617.db2.gz FEQIWTJOLHHSOZ-OFQRWUPVSA-N 1 2 323.396 1.421 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001007862256 752396836 /nfs/dbraw/zinc/39/68/36/752396836.db2.gz IEDNSJRWVCAPRA-STQMWFEESA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001007862256 752396842 /nfs/dbraw/zinc/39/68/42/752396842.db2.gz IEDNSJRWVCAPRA-STQMWFEESA-N 1 2 313.829 1.378 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001056935185 762132936 /nfs/dbraw/zinc/13/29/36/762132936.db2.gz HIIOSIXRBHXBLD-OAHLLOKOSA-N 1 2 324.388 1.196 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1cc(C)c2ccccc21 ZINC001032678438 752698017 /nfs/dbraw/zinc/69/80/17/752698017.db2.gz IKISRKYWMHWZHS-HOTGVXAUSA-N 1 2 307.397 1.868 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1cc(C)c2ccccc21 ZINC001032678438 752698021 /nfs/dbraw/zinc/69/80/21/752698021.db2.gz IKISRKYWMHWZHS-HOTGVXAUSA-N 1 2 307.397 1.868 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001032682995 752712271 /nfs/dbraw/zinc/71/22/71/752712271.db2.gz RHRMDOUATNXZSJ-UWVGGRQHSA-N 1 2 312.295 1.351 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)n[nH]1 ZINC001032682995 752712276 /nfs/dbraw/zinc/71/22/76/752712276.db2.gz RHRMDOUATNXZSJ-UWVGGRQHSA-N 1 2 312.295 1.351 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001062096399 752759031 /nfs/dbraw/zinc/75/90/31/752759031.db2.gz LENHPDNGWAZAIJ-NHYWBVRUSA-N 1 2 315.421 1.846 20 30 DDEDLO C#CCC1(C(=O)NC[C@@]2(C)C[N@H+](CC#CC)CCO2)CCC1 ZINC001107990193 753078182 /nfs/dbraw/zinc/07/81/82/753078182.db2.gz BYJHQLOLFHHDTD-KRWDZBQOSA-N 1 2 302.418 1.410 20 30 DDEDLO C#CCC1(C(=O)NC[C@@]2(C)C[N@@H+](CC#CC)CCO2)CCC1 ZINC001107990193 753078188 /nfs/dbraw/zinc/07/81/88/753078188.db2.gz BYJHQLOLFHHDTD-KRWDZBQOSA-N 1 2 302.418 1.410 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccnn3C)C2)cn1 ZINC001009267709 753134453 /nfs/dbraw/zinc/13/44/53/753134453.db2.gz DWIKJCUOOGQOPV-MRXNPFEDSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3ccnn3C)C2)cn1 ZINC001009267709 753134455 /nfs/dbraw/zinc/13/44/55/753134455.db2.gz DWIKJCUOOGQOPV-MRXNPFEDSA-N 1 2 323.400 1.191 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)cc(OC)c2)C1 ZINC001077880289 753350117 /nfs/dbraw/zinc/35/01/17/753350117.db2.gz UIMGSDNMSYFGHF-HUUCEWRRSA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)cc(OC)c2)C1 ZINC001077880289 753350126 /nfs/dbraw/zinc/35/01/26/753350126.db2.gz UIMGSDNMSYFGHF-HUUCEWRRSA-N 1 2 322.792 1.147 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn([C@@H](C)CC)c1C ZINC001032723365 753356897 /nfs/dbraw/zinc/35/68/97/753356897.db2.gz FABCKVFAPCBRNM-QEJZJMRPSA-N 1 2 300.406 1.694 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn([C@@H](C)CC)c1C ZINC001032723365 753356903 /nfs/dbraw/zinc/35/69/03/753356903.db2.gz FABCKVFAPCBRNM-QEJZJMRPSA-N 1 2 300.406 1.694 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2conc2C(C)C)C1 ZINC001107994538 753358628 /nfs/dbraw/zinc/35/86/28/753358628.db2.gz QAQUMBOFMUZVAK-MRXNPFEDSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2conc2C(C)C)C1 ZINC001107994538 753358633 /nfs/dbraw/zinc/35/86/33/753358633.db2.gz QAQUMBOFMUZVAK-MRXNPFEDSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cncc(COC)c2)C1 ZINC001108001495 753467352 /nfs/dbraw/zinc/46/73/52/753467352.db2.gz FXYHXXAWBOVORL-KRWDZBQOSA-N 1 2 319.405 1.235 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cncc(COC)c2)C1 ZINC001108001495 753467356 /nfs/dbraw/zinc/46/73/56/753467356.db2.gz FXYHXXAWBOVORL-KRWDZBQOSA-N 1 2 319.405 1.235 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2nc(C)sc2C)C1 ZINC001108018453 753530746 /nfs/dbraw/zinc/53/07/46/753530746.db2.gz FOVAMAPKZOZTRR-OAHLLOKOSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2nc(C)sc2C)C1 ZINC001108018453 753530754 /nfs/dbraw/zinc/53/07/54/753530754.db2.gz FOVAMAPKZOZTRR-OAHLLOKOSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001078098632 753732307 /nfs/dbraw/zinc/73/23/07/753732307.db2.gz XVHNRHKHZZLYTC-KGLIPLIRSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001078098632 753732314 /nfs/dbraw/zinc/73/23/14/753732314.db2.gz XVHNRHKHZZLYTC-KGLIPLIRSA-N 1 2 320.437 1.956 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1ccn[nH]1 ZINC001010286709 753734138 /nfs/dbraw/zinc/73/41/38/753734138.db2.gz MAFFUNIIMWHCBU-HNNXBMFYSA-N 1 2 312.348 1.405 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1ccn[nH]1 ZINC001010286709 753734144 /nfs/dbraw/zinc/73/41/44/753734144.db2.gz MAFFUNIIMWHCBU-HNNXBMFYSA-N 1 2 312.348 1.405 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1cnsn1 ZINC001010330499 753769774 /nfs/dbraw/zinc/76/97/74/753769774.db2.gz ONMPBMMZTQRAJF-CQSZACIVSA-N 1 2 312.398 1.394 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cnsn1 ZINC001010330499 753769782 /nfs/dbraw/zinc/76/97/82/753769782.db2.gz ONMPBMMZTQRAJF-CQSZACIVSA-N 1 2 312.398 1.394 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2c[nH]c(=O)n2C)CC1 ZINC001001042386 754082892 /nfs/dbraw/zinc/08/28/92/754082892.db2.gz BWNWUSSWGKCTFL-UHFFFAOYSA-N 1 2 310.785 1.240 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2c[nH]c(=O)n2C)CC1 ZINC001001042386 754082896 /nfs/dbraw/zinc/08/28/96/754082896.db2.gz BWNWUSSWGKCTFL-UHFFFAOYSA-N 1 2 310.785 1.240 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)s1 ZINC001010688746 754101098 /nfs/dbraw/zinc/10/10/98/754101098.db2.gz KIXFCVRNISFXJK-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)s1 ZINC001010688746 754101099 /nfs/dbraw/zinc/10/10/99/754101099.db2.gz KIXFCVRNISFXJK-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC#N ZINC001039942029 762278254 /nfs/dbraw/zinc/27/82/54/762278254.db2.gz SWTSUWWEPCTHTO-AEGPPILISA-N 1 2 301.394 1.177 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC#N ZINC001039942029 762278260 /nfs/dbraw/zinc/27/82/60/762278260.db2.gz SWTSUWWEPCTHTO-AEGPPILISA-N 1 2 301.394 1.177 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001066988552 754269526 /nfs/dbraw/zinc/26/95/26/754269526.db2.gz RKJARUSDISNYPL-ZIAGYGMSSA-N 1 2 318.421 1.786 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001066988552 754269530 /nfs/dbraw/zinc/26/95/30/754269530.db2.gz RKJARUSDISNYPL-ZIAGYGMSSA-N 1 2 318.421 1.786 20 30 DDEDLO Cc1nc(NC[C@@H]2CCC[C@@H]2NC(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001064086317 754492028 /nfs/dbraw/zinc/49/20/28/754492028.db2.gz AYUPUBYRHRCLPX-JSGCOSHPSA-N 1 2 324.388 1.995 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ncccc2C#N)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064792061 754858864 /nfs/dbraw/zinc/85/88/64/754858864.db2.gz MEJWTJIIEYAEJL-JSGCOSHPSA-N 1 2 324.388 1.710 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@H]1CNc1ccncc1C#N ZINC001064936496 754961821 /nfs/dbraw/zinc/96/18/21/754961821.db2.gz TZQPDMAUKZGHPP-AWEZNQCLSA-N 1 2 324.388 1.052 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)CCn2cc[nH+]c2)C1 ZINC001079473162 755368506 /nfs/dbraw/zinc/36/85/06/755368506.db2.gz BAMVPTATEZNOFO-UHFFFAOYSA-N 1 2 304.394 1.299 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)[nH]1 ZINC001040125697 762398331 /nfs/dbraw/zinc/39/83/31/762398331.db2.gz HNEBNITYSCRGPQ-MLGOLLRUSA-N 1 2 316.409 1.087 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)[nH]1 ZINC001040125697 762398336 /nfs/dbraw/zinc/39/83/36/762398336.db2.gz HNEBNITYSCRGPQ-MLGOLLRUSA-N 1 2 316.409 1.087 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080032394 755692420 /nfs/dbraw/zinc/69/24/20/755692420.db2.gz ZYHAAJJWQOJAIC-UONOGXRCSA-N 1 2 318.421 1.401 20 30 DDEDLO Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)nn1 ZINC001014410847 755725165 /nfs/dbraw/zinc/72/51/65/755725165.db2.gz WJXUCSQGSLSTIF-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)nn1 ZINC001014410847 755725168 /nfs/dbraw/zinc/72/51/68/755725168.db2.gz WJXUCSQGSLSTIF-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO C=CC(C)(C)C(=O)N(CC)C1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001080221748 755772222 /nfs/dbraw/zinc/77/22/22/755772222.db2.gz KPFIAFMDESGPQQ-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CC(C)(C)C(=O)N(CC)C1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001080221748 755772223 /nfs/dbraw/zinc/77/22/23/755772223.db2.gz KPFIAFMDESGPQQ-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080353684 755866864 /nfs/dbraw/zinc/86/68/64/755866864.db2.gz ITRJNQGATPFLBA-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(C)nc2Cl)C1 ZINC001080761349 756086999 /nfs/dbraw/zinc/08/69/99/756086999.db2.gz JREKLRVPUDRMRV-LDYMZIIASA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(C)nc2Cl)C1 ZINC001080761349 756087005 /nfs/dbraw/zinc/08/70/05/756087005.db2.gz JREKLRVPUDRMRV-LDYMZIIASA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2CCC(C(N)=O)CC2)C1 ZINC001015038519 756087614 /nfs/dbraw/zinc/08/76/14/756087614.db2.gz PGXUJDWFRBMDAS-WXRRBKDZSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2CCC(C(N)=O)CC2)C1 ZINC001015038519 756087619 /nfs/dbraw/zinc/08/76/19/756087619.db2.gz PGXUJDWFRBMDAS-WXRRBKDZSA-N 1 2 313.829 1.221 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2nc3cnccc3s2)[C@H](OC)C1 ZINC001081924820 756546799 /nfs/dbraw/zinc/54/67/99/756546799.db2.gz RBHJMJZMLHTVQT-VXGBXAGGSA-N 1 2 318.402 1.306 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2nc3cnccc3s2)[C@H](OC)C1 ZINC001081924820 756546804 /nfs/dbraw/zinc/54/68/04/756546804.db2.gz RBHJMJZMLHTVQT-VXGBXAGGSA-N 1 2 318.402 1.306 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2csc(Cl)c2)[C@H](OC)C1 ZINC001082197849 756672683 /nfs/dbraw/zinc/67/26/83/756672683.db2.gz QIASCUBOAJGADG-VXGBXAGGSA-N 1 2 312.822 1.854 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2csc(Cl)c2)[C@H](OC)C1 ZINC001082197849 756672687 /nfs/dbraw/zinc/67/26/87/756672687.db2.gz QIASCUBOAJGADG-VXGBXAGGSA-N 1 2 312.822 1.854 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(OC)c2F)[C@H](OC)C1 ZINC001082263967 756714141 /nfs/dbraw/zinc/71/41/41/756714141.db2.gz BTWLOXXOSFTYEL-UKRRQHHQSA-N 1 2 320.364 1.287 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(OC)c2F)[C@H](OC)C1 ZINC001082263967 756714144 /nfs/dbraw/zinc/71/41/44/756714144.db2.gz BTWLOXXOSFTYEL-UKRRQHHQSA-N 1 2 320.364 1.287 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ncsc2C(C)C)[C@H](OC)C1 ZINC001082322398 756737865 /nfs/dbraw/zinc/73/78/65/756737865.db2.gz RTFFCRWEURSBCU-VXGBXAGGSA-N 1 2 307.419 1.329 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ncsc2C(C)C)[C@H](OC)C1 ZINC001082322398 756737867 /nfs/dbraw/zinc/73/78/67/756737867.db2.gz RTFFCRWEURSBCU-VXGBXAGGSA-N 1 2 307.419 1.329 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2csc3c2CCCC3)[C@H](OC)C1 ZINC001082360368 756752867 /nfs/dbraw/zinc/75/28/67/756752867.db2.gz XSZVPDRSWUXUMG-HUUCEWRRSA-N 1 2 318.442 1.689 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2csc3c2CCCC3)[C@H](OC)C1 ZINC001082360368 756752871 /nfs/dbraw/zinc/75/28/71/756752871.db2.gz XSZVPDRSWUXUMG-HUUCEWRRSA-N 1 2 318.442 1.689 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC001016101062 756763459 /nfs/dbraw/zinc/76/34/59/756763459.db2.gz MYUKVUAZELDUGD-OLZOCXBDSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC001016101062 756763461 /nfs/dbraw/zinc/76/34/61/756763461.db2.gz MYUKVUAZELDUGD-OLZOCXBDSA-N 1 2 313.829 1.378 20 30 DDEDLO Cc1nccn1CC(=O)N[C@H]1CC[N@H+](Cc2cccc(C#N)c2)C1 ZINC001016260094 756882575 /nfs/dbraw/zinc/88/25/75/756882575.db2.gz MBADFDHBRHMQNV-KRWDZBQOSA-N 1 2 323.400 1.454 20 30 DDEDLO Cc1nccn1CC(=O)N[C@H]1CC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC001016260094 756882581 /nfs/dbraw/zinc/88/25/81/756882581.db2.gz MBADFDHBRHMQNV-KRWDZBQOSA-N 1 2 323.400 1.454 20 30 DDEDLO CCC(=O)N1CCO[C@@H]2C[N@H+](CC#Cc3ccc(F)cc3)C[C@@H]21 ZINC001082968925 756986375 /nfs/dbraw/zinc/98/63/75/756986375.db2.gz UYFOSIFXZRVTBS-DLBZAZTESA-N 1 2 316.376 1.499 20 30 DDEDLO CCC(=O)N1CCO[C@@H]2C[N@@H+](CC#Cc3ccc(F)cc3)C[C@@H]21 ZINC001082968925 756986378 /nfs/dbraw/zinc/98/63/78/756986378.db2.gz UYFOSIFXZRVTBS-DLBZAZTESA-N 1 2 316.376 1.499 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001097480489 757202136 /nfs/dbraw/zinc/20/21/36/757202136.db2.gz ZJANOLLPLKWNGM-CQSZACIVSA-N 1 2 304.394 1.781 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccsc2C(F)F)[C@@H](O)C1 ZINC001084005317 757243075 /nfs/dbraw/zinc/24/30/75/757243075.db2.gz SHMLNNWIDFNEQI-ZJUUUORDSA-N 1 2 300.330 1.094 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccsc2C(F)F)[C@@H](O)C1 ZINC001084005317 757243081 /nfs/dbraw/zinc/24/30/81/757243081.db2.gz SHMLNNWIDFNEQI-ZJUUUORDSA-N 1 2 300.330 1.094 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)[C@@H]3C2)cn1 ZINC001084334321 757496265 /nfs/dbraw/zinc/49/62/65/757496265.db2.gz ZSYUSUXTPJFKCR-YXJHDRRASA-N 1 2 324.428 1.792 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)[C@@H]3C2)cn1 ZINC001084334321 757496272 /nfs/dbraw/zinc/49/62/72/757496272.db2.gz ZSYUSUXTPJFKCR-YXJHDRRASA-N 1 2 324.428 1.792 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC001017071528 757614538 /nfs/dbraw/zinc/61/45/38/757614538.db2.gz NRQQHMOSSHTMFV-AAEUAGOBSA-N 1 2 321.450 1.677 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC001017071528 757614544 /nfs/dbraw/zinc/61/45/44/757614544.db2.gz NRQQHMOSSHTMFV-AAEUAGOBSA-N 1 2 321.450 1.677 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3[nH]cnc3CC)[C@@H]2C1 ZINC001084803352 757932126 /nfs/dbraw/zinc/93/21/26/757932126.db2.gz SDNXRPAZIXBQPE-DGCLKSJQSA-N 1 2 308.813 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3[nH]cnc3CC)[C@@H]2C1 ZINC001084803352 757932132 /nfs/dbraw/zinc/93/21/32/757932132.db2.gz SDNXRPAZIXBQPE-DGCLKSJQSA-N 1 2 308.813 1.871 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[N@H+](Cc3nccn3C)[C@H]2C1 ZINC001084906444 758045202 /nfs/dbraw/zinc/04/52/02/758045202.db2.gz RTYQIHCXLDFOGD-MCIONIFRSA-N 1 2 301.394 1.003 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[N@@H+](Cc3nccn3C)[C@H]2C1 ZINC001084906444 758045216 /nfs/dbraw/zinc/04/52/16/758045216.db2.gz RTYQIHCXLDFOGD-MCIONIFRSA-N 1 2 301.394 1.003 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nc2c1cccc2OC ZINC001017590949 758058076 /nfs/dbraw/zinc/05/80/76/758058076.db2.gz MBYSAVHTXJEDPT-BETUJISGSA-N 1 2 324.384 1.494 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nc2c1cccc2OC ZINC001017590949 758058083 /nfs/dbraw/zinc/05/80/83/758058083.db2.gz MBYSAVHTXJEDPT-BETUJISGSA-N 1 2 324.384 1.494 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(C)c(Cl)cn1 ZINC001017658638 758126330 /nfs/dbraw/zinc/12/63/30/758126330.db2.gz IQVRSVPMCGAEQJ-GASCZTMLSA-N 1 2 317.820 1.894 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(C)c(Cl)cn1 ZINC001017658638 758126338 /nfs/dbraw/zinc/12/63/38/758126338.db2.gz IQVRSVPMCGAEQJ-GASCZTMLSA-N 1 2 317.820 1.894 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC001017729683 758189167 /nfs/dbraw/zinc/18/91/67/758189167.db2.gz HETKRUYJKHBAPZ-VVLHAWIVSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC001017729683 758189169 /nfs/dbraw/zinc/18/91/69/758189169.db2.gz HETKRUYJKHBAPZ-VVLHAWIVSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1c2c[nH]nc2CC[C@H]1C ZINC001017747007 758204285 /nfs/dbraw/zinc/20/42/85/758204285.db2.gz NCFKSVIBJHRNCN-VWPFQQQWSA-N 1 2 312.417 1.384 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1c2c[nH]nc2CC[C@H]1C ZINC001017747007 758204292 /nfs/dbraw/zinc/20/42/92/758204292.db2.gz NCFKSVIBJHRNCN-VWPFQQQWSA-N 1 2 312.417 1.384 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccccc1O)CCO2 ZINC001053164044 758219627 /nfs/dbraw/zinc/21/96/27/758219627.db2.gz UENMZFFEAFQVHP-UHFFFAOYSA-N 1 2 302.374 1.495 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCOC2(C[NH+](CCc3ccccc3)C2)C1 ZINC001053187648 758246798 /nfs/dbraw/zinc/24/67/98/758246798.db2.gz PRFXOSCFWPAYPU-OAHLLOKOSA-N 1 2 313.401 1.302 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCC[C@H]1OC)CCO2 ZINC001053318609 758370696 /nfs/dbraw/zinc/37/06/96/758370696.db2.gz JEDLETDDPIOJMF-HUUCEWRRSA-N 1 2 308.422 1.291 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(CC)c1CC ZINC001017936293 758406491 /nfs/dbraw/zinc/40/64/91/758406491.db2.gz GNVBIQDRRNLCLF-OKILXGFUSA-N 1 2 315.421 1.173 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(CC)c1CC ZINC001017936293 758406494 /nfs/dbraw/zinc/40/64/94/758406494.db2.gz GNVBIQDRRNLCLF-OKILXGFUSA-N 1 2 315.421 1.173 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCOC3(C[NH+](CCCF)C3)C2)cc1 ZINC001053369853 758407964 /nfs/dbraw/zinc/40/79/64/758407964.db2.gz KIVLJMZLDCVRIQ-UHFFFAOYSA-N 1 2 316.376 1.554 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2ccncc2C1 ZINC001017947824 758416646 /nfs/dbraw/zinc/41/66/46/758416646.db2.gz CSAZEYJRNZCNMR-RYQLBKOJSA-N 1 2 309.413 1.495 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1Cc2ccncc2C1 ZINC001017947824 758416653 /nfs/dbraw/zinc/41/66/53/758416653.db2.gz CSAZEYJRNZCNMR-RYQLBKOJSA-N 1 2 309.413 1.495 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c(C)n[nH]c1C)CCO2 ZINC001053475342 758487793 /nfs/dbraw/zinc/48/77/93/758487793.db2.gz NRBCTDMADFDXAE-UHFFFAOYSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc3c([nH]1)CCC3)CCO2 ZINC001053505831 758511602 /nfs/dbraw/zinc/51/16/02/758511602.db2.gz GPEDMWAJIDWPCF-UHFFFAOYSA-N 1 2 315.417 1.606 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067218100 758516132 /nfs/dbraw/zinc/51/61/32/758516132.db2.gz QWJHBUQHLQKASX-DOMZBBRYSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067218100 758516141 /nfs/dbraw/zinc/51/61/41/758516141.db2.gz QWJHBUQHLQKASX-DOMZBBRYSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(F)ccc1OC ZINC001018089491 758539135 /nfs/dbraw/zinc/53/91/35/758539135.db2.gz KETXGAFRWLALKT-IYBDPMFKSA-N 1 2 316.376 1.685 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(F)ccc1OC ZINC001018089491 758539141 /nfs/dbraw/zinc/53/91/41/758539141.db2.gz KETXGAFRWLALKT-IYBDPMFKSA-N 1 2 316.376 1.685 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1Nc1ncccc1C#N ZINC001067218301 758544755 /nfs/dbraw/zinc/54/47/55/758544755.db2.gz UOUKQLDSSNCCTL-SWLSCSKDSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1Nc1ncccc1C#N ZINC001067218301 758544759 /nfs/dbraw/zinc/54/47/59/758544759.db2.gz UOUKQLDSSNCCTL-SWLSCSKDSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cncs1)O2 ZINC001053576933 758587228 /nfs/dbraw/zinc/58/72/28/758587228.db2.gz YLHBJZNGKXMAAK-GFCCVEGCSA-N 1 2 307.419 1.682 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2ccc(C)nc12 ZINC001018184767 758611711 /nfs/dbraw/zinc/61/17/11/758611711.db2.gz BKFKQDFXVKWXMB-GASCZTMLSA-N 1 2 323.400 1.350 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2ccc(C)nc12 ZINC001018184767 758611714 /nfs/dbraw/zinc/61/17/14/758611714.db2.gz BKFKQDFXVKWXMB-GASCZTMLSA-N 1 2 323.400 1.350 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cc(F)ccc1F ZINC001018197705 758626244 /nfs/dbraw/zinc/62/62/44/758626244.db2.gz UGISWIXHGDJAJH-OKILXGFUSA-N 1 2 320.339 1.652 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1cc(F)ccc1F ZINC001018197705 758626249 /nfs/dbraw/zinc/62/62/49/758626249.db2.gz UGISWIXHGDJAJH-OKILXGFUSA-N 1 2 320.339 1.652 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1coc(OC)n1)O2 ZINC001053638841 758653912 /nfs/dbraw/zinc/65/39/12/758653912.db2.gz XYKXNSLNRKGBAP-LBPRGKRZSA-N 1 2 321.377 1.223 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1conc1C)O2 ZINC001053654223 758666173 /nfs/dbraw/zinc/66/61/73/758666173.db2.gz GMMIEPDAMWSQBJ-CYBMUJFWSA-N 1 2 305.378 1.522 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1OCC[C@H]1C)O2 ZINC001053665747 758678268 /nfs/dbraw/zinc/67/82/68/758678268.db2.gz WCAZRJZPOKEVPE-ILXRZTDVSA-N 1 2 308.422 1.337 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CCC)C2)CC1 ZINC001065680053 758698520 /nfs/dbraw/zinc/69/85/20/758698520.db2.gz FNNQXDWNTNJWAQ-INIZCTEOSA-N 1 2 321.465 1.888 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC3(C[NH+](CC=C)C3)O2)cn1 ZINC001053690822 758699897 /nfs/dbraw/zinc/69/98/97/758699897.db2.gz OJVOPFOLJWIZAX-MRXNPFEDSA-N 1 2 311.385 1.212 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CCCC)C2)CC1 ZINC001065688306 758706599 /nfs/dbraw/zinc/70/65/99/758706599.db2.gz FCGUWYFMUPEFKW-MRXNPFEDSA-N 1 2 321.465 1.888 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(N(C)C)ccn1 ZINC001018331268 758735495 /nfs/dbraw/zinc/73/54/95/758735495.db2.gz UXPZZLDTDFIAGD-IYBDPMFKSA-N 1 2 312.417 1.460 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(N(C)C)ccn1 ZINC001018331268 758735499 /nfs/dbraw/zinc/73/54/99/758735499.db2.gz UXPZZLDTDFIAGD-IYBDPMFKSA-N 1 2 312.417 1.460 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C1CC(F)(F)C1)CO2 ZINC001053766214 758785512 /nfs/dbraw/zinc/78/55/12/758785512.db2.gz ISUUFUIHMZPANC-LBPRGKRZSA-N 1 2 300.349 1.567 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(F)c(F)c1)CO2 ZINC001053830199 758860999 /nfs/dbraw/zinc/86/09/99/758860999.db2.gz UEKWZENQCLBSHL-ZDUSSCGKSA-N 1 2 320.339 1.561 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2COC3(C[NH+](CCOC)C3)C2)CC1 ZINC001053914404 758949051 /nfs/dbraw/zinc/94/90/51/758949051.db2.gz MLRJIVGHHMLFHO-OAHLLOKOSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H](C)C(C)(C)C)CC2=O)C1 ZINC001108553721 762667065 /nfs/dbraw/zinc/66/70/65/762667065.db2.gz UQXOJMKUEGGKJE-CHWSQXEVSA-N 1 2 307.438 1.256 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccn(C)c1CC)CO2 ZINC001053927191 758964257 /nfs/dbraw/zinc/96/42/57/758964257.db2.gz VFQRFEXSNRZGGH-CQSZACIVSA-N 1 2 317.433 1.737 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccncc1Cl)CO2 ZINC001053937877 758974439 /nfs/dbraw/zinc/97/44/39/758974439.db2.gz LITZCJCFZKUPQI-GFCCVEGCSA-N 1 2 321.808 1.884 20 30 DDEDLO C[C@@H](CNC(=O)Cn1cc[nH+]c1)Nc1snc(Cl)c1C#N ZINC001097922173 759014978 /nfs/dbraw/zinc/01/49/78/759014978.db2.gz SGSCVUODLFJNBF-QMMMGPOBSA-N 1 2 324.797 1.481 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccoc1C(C)C)CO2 ZINC001054020437 759070792 /nfs/dbraw/zinc/07/07/92/759070792.db2.gz MOJQJTLZANZAHQ-AWEZNQCLSA-N 1 2 316.401 1.999 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)c1cnn(C)c1)CO2 ZINC001054026979 759077244 /nfs/dbraw/zinc/07/72/44/759077244.db2.gz ISTXCFVCRPOJTF-DZGCQCFKSA-N 1 2 318.421 1.059 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1CCCCO1 ZINC001054036852 759093340 /nfs/dbraw/zinc/09/33/40/759093340.db2.gz SFHNYYGVMIXQRM-QZTJIDSGSA-N 1 2 312.413 1.732 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1CCCCO1 ZINC001054036852 759093347 /nfs/dbraw/zinc/09/33/47/759093347.db2.gz SFHNYYGVMIXQRM-QZTJIDSGSA-N 1 2 312.413 1.732 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cncnc1 ZINC001054041507 759100607 /nfs/dbraw/zinc/10/06/07/759100607.db2.gz MZJMVNCQBSXKAS-SFHVURJKSA-N 1 2 320.396 1.657 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cncnc1 ZINC001054041507 759100609 /nfs/dbraw/zinc/10/06/09/759100609.db2.gz MZJMVNCQBSXKAS-SFHVURJKSA-N 1 2 320.396 1.657 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cncnc1 ZINC001054041506 759100742 /nfs/dbraw/zinc/10/07/42/759100742.db2.gz MZJMVNCQBSXKAS-GOSISDBHSA-N 1 2 320.396 1.657 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cncnc1 ZINC001054041506 759100744 /nfs/dbraw/zinc/10/07/44/759100744.db2.gz MZJMVNCQBSXKAS-GOSISDBHSA-N 1 2 320.396 1.657 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3nccc(C)n3)cc2C1 ZINC001054262520 759363922 /nfs/dbraw/zinc/36/39/22/759363922.db2.gz HBXHZSQTSITCGD-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3nccc(C)n3)cc2C1 ZINC001054262520 759363931 /nfs/dbraw/zinc/36/39/31/759363931.db2.gz HBXHZSQTSITCGD-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H](Nc3cc[nH+]c(C)n3)C[C@H]2C)cn1 ZINC001069124004 767861781 /nfs/dbraw/zinc/86/17/81/767861781.db2.gz IUDOATUEKDZLHG-MLGOLLRUSA-N 1 2 321.384 1.876 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)[C@H]1CCOC1 ZINC001085507297 759572662 /nfs/dbraw/zinc/57/26/62/759572662.db2.gz DOZPJMBLKVVRPX-ZWKOTPCHSA-N 1 2 312.413 1.607 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)[C@H]1CCOC1 ZINC001085507297 759572667 /nfs/dbraw/zinc/57/26/67/759572667.db2.gz DOZPJMBLKVVRPX-ZWKOTPCHSA-N 1 2 312.413 1.607 20 30 DDEDLO CC(C)CCCC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131079523 767873410 /nfs/dbraw/zinc/87/34/10/767873410.db2.gz NTBSJHAFXQJUKE-HUUCEWRRSA-N 1 2 317.437 1.654 20 30 DDEDLO C=CC[N@H+]1CC[C@](C)(NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001046748791 767885779 /nfs/dbraw/zinc/88/57/79/767885779.db2.gz YCQMPMIEWDZEIK-KRWDZBQOSA-N 1 2 311.389 1.852 20 30 DDEDLO C=CC[N@@H+]1CC[C@](C)(NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001046748791 767885786 /nfs/dbraw/zinc/88/57/86/767885786.db2.gz YCQMPMIEWDZEIK-KRWDZBQOSA-N 1 2 311.389 1.852 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1csc([C@@H](C)OC)n1 ZINC001085595784 759798253 /nfs/dbraw/zinc/79/82/53/759798253.db2.gz MWIYPUUXKSAWPC-NEPJUHHUSA-N 1 2 307.419 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1csc([C@@H](C)OC)n1 ZINC001085595784 759798259 /nfs/dbraw/zinc/79/82/59/759798259.db2.gz MWIYPUUXKSAWPC-NEPJUHHUSA-N 1 2 307.419 1.630 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnn1C1CCCC1 ZINC001085622947 759866752 /nfs/dbraw/zinc/86/67/52/759866752.db2.gz HQMOOGVQNCTSCK-OAHLLOKOSA-N 1 2 300.406 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnn1C1CCCC1 ZINC001085622947 759866761 /nfs/dbraw/zinc/86/67/61/759866761.db2.gz HQMOOGVQNCTSCK-OAHLLOKOSA-N 1 2 300.406 1.778 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](CNC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001046766443 767905428 /nfs/dbraw/zinc/90/54/28/767905428.db2.gz HBOBLKOLDLDAHX-AULYBMBSSA-N 1 2 310.361 1.605 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nnc3ccccc3c1O)C2 ZINC001097961780 760047173 /nfs/dbraw/zinc/04/71/73/760047173.db2.gz MZSQDUWSEPVZFS-DFBGVHRSSA-N 1 2 322.368 1.304 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nnc3ccccc3c1O)C2 ZINC001097961780 760047183 /nfs/dbraw/zinc/04/71/83/760047183.db2.gz MZSQDUWSEPVZFS-DFBGVHRSSA-N 1 2 322.368 1.304 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069220650 767923609 /nfs/dbraw/zinc/92/36/09/767923609.db2.gz BAEIMSYPDATJMO-DOMZBBRYSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069220650 767923612 /nfs/dbraw/zinc/92/36/12/767923612.db2.gz BAEIMSYPDATJMO-DOMZBBRYSA-N 1 2 324.388 1.710 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(-n2cccn2)c1 ZINC001085811179 760280377 /nfs/dbraw/zinc/28/03/77/760280377.db2.gz YFYNSAXEKQEZKL-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc(-n2cccn2)c1 ZINC001085811179 760280380 /nfs/dbraw/zinc/28/03/80/760280380.db2.gz YFYNSAXEKQEZKL-OAHLLOKOSA-N 1 2 309.373 1.047 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cncn1C ZINC001085822409 760309636 /nfs/dbraw/zinc/30/96/36/760309636.db2.gz HCQSRYFYPYTASY-IMJJTQAJSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cncn1C ZINC001085822409 760309642 /nfs/dbraw/zinc/30/96/42/760309642.db2.gz HCQSRYFYPYTASY-IMJJTQAJSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CCN1Cc1c[nH+]cn1C ZINC001085822409 760309653 /nfs/dbraw/zinc/30/96/53/760309653.db2.gz HCQSRYFYPYTASY-IMJJTQAJSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001046804744 767938862 /nfs/dbraw/zinc/93/88/62/767938862.db2.gz FXVOLOCXPNSONW-ZFWWWQNUSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001046804744 767938866 /nfs/dbraw/zinc/93/88/66/767938866.db2.gz FXVOLOCXPNSONW-ZFWWWQNUSA-N 1 2 313.829 1.188 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)Nc1nc(C)ccc1C#N ZINC001097975669 760327458 /nfs/dbraw/zinc/32/74/58/760327458.db2.gz YUIQUKJTGOEVLX-NSHDSACASA-N 1 2 312.377 1.453 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1noc2c1CCCC2 ZINC001085841094 760339684 /nfs/dbraw/zinc/33/96/84/760339684.db2.gz XSRWQJZIDNCTOO-CYBMUJFWSA-N 1 2 301.390 1.723 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1noc2c1CCCC2 ZINC001085841094 760339696 /nfs/dbraw/zinc/33/96/96/760339696.db2.gz XSRWQJZIDNCTOO-CYBMUJFWSA-N 1 2 301.390 1.723 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(Br)co1 ZINC001085856045 760392132 /nfs/dbraw/zinc/39/21/32/760392132.db2.gz PIARZZRCZAVHJM-NSHDSACASA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(Br)co1 ZINC001085856045 760392140 /nfs/dbraw/zinc/39/21/40/760392140.db2.gz PIARZZRCZAVHJM-NSHDSACASA-N 1 2 311.179 1.822 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccncc2Cl)C1 ZINC001108215986 760416169 /nfs/dbraw/zinc/41/61/69/760416169.db2.gz OUQOYCMVOCEXRA-MRXNPFEDSA-N 1 2 323.824 1.671 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccncc2Cl)C1 ZINC001108215986 760416174 /nfs/dbraw/zinc/41/61/74/760416174.db2.gz OUQOYCMVOCEXRA-MRXNPFEDSA-N 1 2 323.824 1.671 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nc2ccccn2c1F ZINC001085906350 760500816 /nfs/dbraw/zinc/50/08/16/760500816.db2.gz GFFDMONDTZFXDG-ZDUSSCGKSA-N 1 2 314.364 1.643 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nc2ccccn2c1F ZINC001085906350 760500823 /nfs/dbraw/zinc/50/08/23/760500823.db2.gz GFFDMONDTZFXDG-ZDUSSCGKSA-N 1 2 314.364 1.643 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(NC(C)=O)c1C ZINC001085925689 760541510 /nfs/dbraw/zinc/54/15/10/760541510.db2.gz CDWOAKVEWAFOTO-HNNXBMFYSA-N 1 2 313.401 1.733 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(NC(C)=O)c1C ZINC001085925689 760541519 /nfs/dbraw/zinc/54/15/19/760541519.db2.gz CDWOAKVEWAFOTO-HNNXBMFYSA-N 1 2 313.401 1.733 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C(=O)N(C)C)cc1 ZINC001085931201 760558603 /nfs/dbraw/zinc/55/86/03/760558603.db2.gz SDFGMPMRZVQCOQ-MRXNPFEDSA-N 1 2 313.401 1.168 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C(=O)N(C)C)cc1 ZINC001085931201 760558605 /nfs/dbraw/zinc/55/86/05/760558605.db2.gz SDFGMPMRZVQCOQ-MRXNPFEDSA-N 1 2 313.401 1.168 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cnn(C)c2)cn1 ZINC001085933872 760564912 /nfs/dbraw/zinc/56/49/12/760564912.db2.gz MRZSGNOYVUHAEM-KRWDZBQOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cnn(C)c2)cn1 ZINC001085933872 760564916 /nfs/dbraw/zinc/56/49/16/760564916.db2.gz MRZSGNOYVUHAEM-KRWDZBQOSA-N 1 2 323.400 1.143 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)CCn2cc[nH+]c2)CC1 ZINC001066348560 760602145 /nfs/dbraw/zinc/60/21/45/760602145.db2.gz YIULUBXOABWSRE-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(NC(=O)NC)cc1 ZINC001085994485 760677113 /nfs/dbraw/zinc/67/71/13/760677113.db2.gz MPFWOCJSNRREAJ-OAHLLOKOSA-N 1 2 314.389 1.217 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(NC(=O)NC)cc1 ZINC001085994485 760677116 /nfs/dbraw/zinc/67/71/16/760677116.db2.gz MPFWOCJSNRREAJ-OAHLLOKOSA-N 1 2 314.389 1.217 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001038175546 760890254 /nfs/dbraw/zinc/89/02/54/760890254.db2.gz PPTYHGKUCMHVIJ-AWEZNQCLSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001038175546 760890261 /nfs/dbraw/zinc/89/02/61/760890261.db2.gz PPTYHGKUCMHVIJ-AWEZNQCLSA-N 1 2 313.361 1.496 20 30 DDEDLO CN(C(=O)c1ccc(-n2cc[nH+]c2)cn1)C1CCN(CC#N)CC1 ZINC001006282869 760908044 /nfs/dbraw/zinc/90/80/44/760908044.db2.gz GPWNBWANMAMAIP-UHFFFAOYSA-N 1 2 324.388 1.327 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C2CC2)nn1CC ZINC001038385420 761071100 /nfs/dbraw/zinc/07/11/00/761071100.db2.gz FFQCFWKGRHPDIA-AWEZNQCLSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C2CC2)nn1CC ZINC001038385420 761071111 /nfs/dbraw/zinc/07/11/11/761071111.db2.gz FFQCFWKGRHPDIA-AWEZNQCLSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(N2CCCC2)ccn1 ZINC001038478800 761151495 /nfs/dbraw/zinc/15/14/95/761151495.db2.gz MMGXNPUXHNEQRO-MRXNPFEDSA-N 1 2 312.417 1.509 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(N2CCCC2)ccn1 ZINC001038478800 761151498 /nfs/dbraw/zinc/15/14/98/761151498.db2.gz MMGXNPUXHNEQRO-MRXNPFEDSA-N 1 2 312.417 1.509 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)C2CC3(CC3)C2)C1=O ZINC001038692715 761292629 /nfs/dbraw/zinc/29/26/29/761292629.db2.gz ZKDPJDRQKOMEIX-HUUCEWRRSA-N 1 2 317.433 1.154 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)C2CC3(CC3)C2)C1=O ZINC001038692715 761292631 /nfs/dbraw/zinc/29/26/31/761292631.db2.gz ZKDPJDRQKOMEIX-HUUCEWRRSA-N 1 2 317.433 1.154 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)[C@@H]2C1 ZINC001056725098 761368406 /nfs/dbraw/zinc/36/84/06/761368406.db2.gz BYSCKQYTMHRECI-DZGCQCFKSA-N 1 2 316.405 1.368 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(Cl)nn(C)c1Cl ZINC001038801861 761420541 /nfs/dbraw/zinc/42/05/41/761420541.db2.gz PCJYSXOKTAVPBB-VIFPVBQESA-N 1 2 315.204 1.554 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(Cl)nn(C)c1Cl ZINC001038801861 761420545 /nfs/dbraw/zinc/42/05/45/761420545.db2.gz PCJYSXOKTAVPBB-VIFPVBQESA-N 1 2 315.204 1.554 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(OC)cc(OC)c1 ZINC001038959720 761594525 /nfs/dbraw/zinc/59/45/25/761594525.db2.gz DZTAKULLGQQUTJ-CQSZACIVSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(OC)cc(OC)c1 ZINC001038959720 761594532 /nfs/dbraw/zinc/59/45/32/761594532.db2.gz DZTAKULLGQQUTJ-CQSZACIVSA-N 1 2 302.374 1.531 20 30 DDEDLO N#CCN1CC[C@H]([C@H]2CCCCN2C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001039236756 761870568 /nfs/dbraw/zinc/87/05/68/761870568.db2.gz COYIKDSLORTSPV-UONOGXRCSA-N 1 2 301.394 1.179 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@H](C)C3CC3)CC2=O)C1 ZINC001108593923 762767384 /nfs/dbraw/zinc/76/73/84/762767384.db2.gz HAPHZMGQURVXQA-GXTWGEPZSA-N 1 2 305.422 1.010 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001108588470 762773041 /nfs/dbraw/zinc/77/30/41/762773041.db2.gz KHJCLTVIMKOSMZ-QWHCGFSZSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108635339 762806538 /nfs/dbraw/zinc/80/65/38/762806538.db2.gz KESFDSBJGZTYTB-JTQLQIEISA-N 1 2 302.382 1.492 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cccn(C)c2=O)CC1 ZINC001001380673 762807200 /nfs/dbraw/zinc/80/72/00/762807200.db2.gz JBMYGMHTYCACPJ-UHFFFAOYSA-N 1 2 321.808 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cccn(C)c2=O)CC1 ZINC001001380673 762807209 /nfs/dbraw/zinc/80/72/09/762807209.db2.gz JBMYGMHTYCACPJ-UHFFFAOYSA-N 1 2 321.808 1.500 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)[C@H](C)CC)CC1 ZINC001131393052 768110722 /nfs/dbraw/zinc/11/07/22/768110722.db2.gz KIYPCWBKZQRBSM-HUUCEWRRSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)[C@H](C)CC)CC1 ZINC001131393052 768110727 /nfs/dbraw/zinc/11/07/27/768110727.db2.gz KIYPCWBKZQRBSM-HUUCEWRRSA-N 1 2 309.454 1.505 20 30 DDEDLO Cc1ccc(C#N)c(N(C)C[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001109058485 763300592 /nfs/dbraw/zinc/30/05/92/763300592.db2.gz YHAIKYDXSOCYTC-LBPRGKRZSA-N 1 2 312.377 1.168 20 30 DDEDLO C#CC[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)c2ncccc2O)C1 ZINC001046932395 768129533 /nfs/dbraw/zinc/12/95/33/768129533.db2.gz LASFIAWCMSIENI-NSHDSACASA-N 1 2 309.316 1.107 20 30 DDEDLO C#CC[N@H+]1CCC(F)(F)[C@@H](CNC(=O)c2ncccc2O)C1 ZINC001046932395 768129535 /nfs/dbraw/zinc/12/95/35/768129535.db2.gz LASFIAWCMSIENI-NSHDSACASA-N 1 2 309.316 1.107 20 30 DDEDLO Cc1nc([C@@H](C)[NH+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)CC2)no1 ZINC001050463645 763626013 /nfs/dbraw/zinc/62/60/13/763626013.db2.gz WIXPMKACHWZGCB-NWDGAFQWSA-N 1 2 317.393 1.523 20 30 DDEDLO C=CCCC(=O)N(C)CCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001109414241 763679423 /nfs/dbraw/zinc/67/94/23/763679423.db2.gz HVLCHTHAXCKJBC-UHFFFAOYSA-N 1 2 317.437 1.691 20 30 DDEDLO C=CCCC(=O)N(C)CCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001109414241 763679428 /nfs/dbraw/zinc/67/94/28/763679428.db2.gz HVLCHTHAXCKJBC-UHFFFAOYSA-N 1 2 317.437 1.691 20 30 DDEDLO CN(CCCNc1ccc(C#N)cn1)C(=O)CCc1[nH]cc[nH+]1 ZINC001109433006 763700488 /nfs/dbraw/zinc/70/04/88/763700488.db2.gz SPWQPSKTQZZGSJ-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO Cc1nc(N(C)C)nc(NCCCN(C)C(=O)C#CC(C)C)[nH+]1 ZINC001109442527 763713441 /nfs/dbraw/zinc/71/34/41/763713441.db2.gz RWYVJTALOWPEHJ-UHFFFAOYSA-N 1 2 318.425 1.166 20 30 DDEDLO C=C(C)C[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001046968440 768159056 /nfs/dbraw/zinc/15/90/56/768159056.db2.gz GWRJVTFWELOUJY-JTQLQIEISA-N 1 2 316.327 1.812 20 30 DDEDLO C=C(C)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001046968440 768159062 /nfs/dbraw/zinc/15/90/62/768159062.db2.gz GWRJVTFWELOUJY-JTQLQIEISA-N 1 2 316.327 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3cn(C)nn3)[C@H]2C1 ZINC001042184841 763750666 /nfs/dbraw/zinc/75/06/66/763750666.db2.gz ZUVWVOLGNZSKTB-HIFRSBDPSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@H+](Cc3cn(C)nn3)[C@H]2C1 ZINC001042184841 763750669 /nfs/dbraw/zinc/75/06/69/763750669.db2.gz ZUVWVOLGNZSKTB-HIFRSBDPSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109592161 763846773 /nfs/dbraw/zinc/84/67/73/763846773.db2.gz JLJHWOJXQOCYPW-ILXRZTDVSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109592161 763846782 /nfs/dbraw/zinc/84/67/82/763846782.db2.gz JLJHWOJXQOCYPW-ILXRZTDVSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NC)CCC1 ZINC001109683380 763942024 /nfs/dbraw/zinc/94/20/24/763942024.db2.gz JBSMNOZKXKZLQC-RDBSUJKOSA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NC)CCC1 ZINC001109683380 763942028 /nfs/dbraw/zinc/94/20/28/763942028.db2.gz JBSMNOZKXKZLQC-RDBSUJKOSA-N 1 2 305.422 1.200 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(C)c1C ZINC001050815694 764123867 /nfs/dbraw/zinc/12/38/67/764123867.db2.gz SKVNVNHDRDCBNQ-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(C)c1C ZINC001050815694 764123871 /nfs/dbraw/zinc/12/38/71/764123871.db2.gz SKVNVNHDRDCBNQ-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001057661011 764264493 /nfs/dbraw/zinc/26/44/93/764264493.db2.gz TVEBVSBWFXNBAB-HNNXBMFYSA-N 1 2 310.361 1.253 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCCO[C@H]1C=C ZINC001050922872 764285325 /nfs/dbraw/zinc/28/53/25/764285325.db2.gz BMBZGSQGOMSQEO-XHSDSOJGSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCCO[C@H]1C=C ZINC001050922872 764285331 /nfs/dbraw/zinc/28/53/31/764285331.db2.gz BMBZGSQGOMSQEO-XHSDSOJGSA-N 1 2 308.422 1.361 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc3c2OCCO3)C1 ZINC001042846118 764481095 /nfs/dbraw/zinc/48/10/95/764481095.db2.gz JITBWZWYKIPELV-UHFFFAOYSA-N 1 2 300.358 1.237 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(OCC)c1 ZINC001051108021 764512838 /nfs/dbraw/zinc/51/28/38/764512838.db2.gz XBMOBAASMSOHDN-HNNXBMFYSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(OCC)c1 ZINC001051108021 764512842 /nfs/dbraw/zinc/51/28/42/764512842.db2.gz XBMOBAASMSOHDN-HNNXBMFYSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1coc(COC)n1 ZINC001051186087 764593454 /nfs/dbraw/zinc/59/34/54/764593454.db2.gz HLCYCUKDIVOWEA-ZDUSSCGKSA-N 1 2 323.393 1.218 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1coc(COC)n1 ZINC001051186087 764593459 /nfs/dbraw/zinc/59/34/59/764593459.db2.gz HLCYCUKDIVOWEA-ZDUSSCGKSA-N 1 2 323.393 1.218 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sc(CC)nc1C)C2 ZINC001096153903 768228242 /nfs/dbraw/zinc/22/82/42/768228242.db2.gz BGQYCTZPTJNWKG-AGIUHOORSA-N 1 2 303.431 1.982 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sc(CC)nc1C)C2 ZINC001096153903 768228244 /nfs/dbraw/zinc/22/82/44/768228244.db2.gz BGQYCTZPTJNWKG-AGIUHOORSA-N 1 2 303.431 1.982 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn2ccccc2n1 ZINC001051234910 764655759 /nfs/dbraw/zinc/65/57/59/764655759.db2.gz JOXSTXAVTMACNF-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn2ccccc2n1 ZINC001051234910 764655764 /nfs/dbraw/zinc/65/57/64/764655764.db2.gz JOXSTXAVTMACNF-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(N(C)C)cn1 ZINC001051263182 764686991 /nfs/dbraw/zinc/68/69/91/764686991.db2.gz RCNLJRDUNOWARP-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(N(C)C)cn1 ZINC001051263182 764686996 /nfs/dbraw/zinc/68/69/96/764686996.db2.gz RCNLJRDUNOWARP-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C[C@@H]1CN(C(=O)C#CC2CC2)C[C@H]1Nc1[nH+]cnc2c1cnn2C ZINC001043169515 764734001 /nfs/dbraw/zinc/73/40/01/764734001.db2.gz KAHMFULEWDJZSU-BXUZGUMPSA-N 1 2 324.388 1.036 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCO[C@H]2CC2(F)F)CC1 ZINC001112809682 764792728 /nfs/dbraw/zinc/79/27/28/764792728.db2.gz NTIWCAUMLMEFDA-ZDUSSCGKSA-N 1 2 300.349 1.358 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](C)[C@H](Nc2ccc(C#N)nc2)C1 ZINC001043274441 764802695 /nfs/dbraw/zinc/80/26/95/764802695.db2.gz MLSSAXCFMWPMFR-BDJLRTHQSA-N 1 2 324.388 1.486 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C(C)(C)C)nn2C)C1 ZINC001043744651 765074815 /nfs/dbraw/zinc/07/48/15/765074815.db2.gz OTECCPIZCFDYBF-UHFFFAOYSA-N 1 2 302.422 1.497 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2C[NH+](CCn3nc(C)nc3C)C2)C1 ZINC001043815176 765113396 /nfs/dbraw/zinc/11/33/96/765113396.db2.gz SZOSHGGMBSEXSY-UHFFFAOYSA-N 1 2 317.437 1.394 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001043839918 765126104 /nfs/dbraw/zinc/12/61/04/765126104.db2.gz VHTBIFYCJTWKII-LBPRGKRZSA-N 1 2 308.813 1.725 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn(C)nc2C(F)(F)F)C1 ZINC001043976778 765200621 /nfs/dbraw/zinc/20/06/21/765200621.db2.gz ZDQFUZGLARWPQX-UHFFFAOYSA-N 1 2 314.311 1.218 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)c2cccn(CC(C)C)c2=O)C1 ZINC001044009563 765228265 /nfs/dbraw/zinc/22/82/65/765228265.db2.gz LLDRDGCZYHMZFQ-UHFFFAOYSA-N 1 2 303.406 1.447 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)c3cccc(F)c3)C2)CC1 ZINC001051974906 765335114 /nfs/dbraw/zinc/33/51/14/765335114.db2.gz LWHWSASYUHKGAB-INIZCTEOSA-N 1 2 316.380 1.181 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3cccc(C)c3)C2)CC1 ZINC001051974750 765335675 /nfs/dbraw/zinc/33/56/75/765335675.db2.gz KISLSIKEPLNDLA-GOSISDBHSA-N 1 2 311.429 1.460 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3cc(C)oc3C)C2)CC1 ZINC001051982030 765345137 /nfs/dbraw/zinc/34/51/37/765345137.db2.gz WVMNAFKVPZSFTK-INIZCTEOSA-N 1 2 315.417 1.362 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-n3ccnn3)cc2)C1 ZINC001044176692 765366611 /nfs/dbraw/zinc/36/66/11/765366611.db2.gz FGIVINXAIFTGDW-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)[C@H](CC)SC)C2)CC1 ZINC001052015166 765386202 /nfs/dbraw/zinc/38/62/02/765386202.db2.gz OJJSMLRUWHERIQ-GJZGRUSLSA-N 1 2 311.495 1.533 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3=CCCCCC3)C2)CC1 ZINC001052017547 765388326 /nfs/dbraw/zinc/38/83/26/765388326.db2.gz MXEXDUARIVXNJC-SFHVURJKSA-N 1 2 315.461 1.729 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)C3(C4CC4)CCC3)C2)CC1 ZINC001052056930 765428666 /nfs/dbraw/zinc/42/86/66/765428666.db2.gz IMSPJMOCCVOVJK-MRXNPFEDSA-N 1 2 316.449 1.309 20 30 DDEDLO C[C@@H](NC(=O)CCn1cc[nH+]c1)[C@@H](C)Nc1ncccc1C#N ZINC001113239078 765460043 /nfs/dbraw/zinc/46/00/43/765460043.db2.gz VUZBUDSWICWJSZ-CHWSQXEVSA-N 1 2 312.377 1.545 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H](C)C(C)C)C2)CC1 ZINC001052094653 765465656 /nfs/dbraw/zinc/46/56/56/765465656.db2.gz XEHLCJXSUVBKOD-DLBZAZTESA-N 1 2 305.466 1.520 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2CCCN2CC(F)(F)F)C1 ZINC001044335914 765490332 /nfs/dbraw/zinc/49/03/32/765490332.db2.gz QXVNLCCZPQSTIK-ZDUSSCGKSA-N 1 2 317.355 1.179 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc(C(C)(C)C)nc2)C1 ZINC001044372468 765517464 /nfs/dbraw/zinc/51/74/64/765517464.db2.gz AFIDXSZLZWVWRL-UHFFFAOYSA-N 1 2 300.406 1.554 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)N(C)c2cc[nH+]c(C)n2)nc1 ZINC001113663520 766000365 /nfs/dbraw/zinc/00/03/65/766000365.db2.gz JPDCGWKJOUSSDO-LBPRGKRZSA-N 1 2 309.373 1.416 20 30 DDEDLO CCc1nc(N(CCCNC(=O)[C@H](C)C#N)C2CC2)cc(C)[nH+]1 ZINC001096015959 766165621 /nfs/dbraw/zinc/16/56/21/766165621.db2.gz CQXXRWYLDXUWMP-GFCCVEGCSA-N 1 2 315.421 1.982 20 30 DDEDLO CC(C)(S)CNc1cc(N2CCC(C(N)=O)CC2)nc[nH+]1 ZINC001170302848 766228718 /nfs/dbraw/zinc/22/87/18/766228718.db2.gz WORJAZIVTLROMQ-UHFFFAOYSA-N 1 2 309.439 1.299 20 30 DDEDLO CC(C)(S)CNc1cc(N2CCC(C(N)=O)CC2)[nH+]cn1 ZINC001170302848 766228721 /nfs/dbraw/zinc/22/87/21/766228721.db2.gz WORJAZIVTLROMQ-UHFFFAOYSA-N 1 2 309.439 1.299 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)NC1(C)CCN(CC#N)CC1)C2 ZINC001045483471 766339814 /nfs/dbraw/zinc/33/98/14/766339814.db2.gz LOGDILDOUURGDD-ZDUSSCGKSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)NC1(C)CCN(CC#N)CC1)CC2 ZINC001045483471 766339822 /nfs/dbraw/zinc/33/98/22/766339822.db2.gz LOGDILDOUURGDD-ZDUSSCGKSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1nsc(N(C)[C@H](C)CNC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001113898304 766364749 /nfs/dbraw/zinc/36/47/49/766364749.db2.gz QFFZYHZWKCMBOH-SECBINFHSA-N 1 2 318.406 1.230 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)c(C)c(C)[nH+]1 ZINC001058320965 766400570 /nfs/dbraw/zinc/40/05/70/766400570.db2.gz PLHRQYAWYSEEAO-CQSZACIVSA-N 1 2 324.388 1.610 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113933559 766423451 /nfs/dbraw/zinc/42/34/51/766423451.db2.gz WOVBBFGASUFMFG-INWMFGNUSA-N 1 2 318.421 1.707 20 30 DDEDLO CC(C)c1cnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)o1 ZINC001114067849 766593444 /nfs/dbraw/zinc/59/34/44/766593444.db2.gz XPHKFKHZWCMXJD-FJJYHAOUSA-N 1 2 302.378 1.504 20 30 DDEDLO CC(C)c1cnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)o1 ZINC001114067849 766593451 /nfs/dbraw/zinc/59/34/51/766593451.db2.gz XPHKFKHZWCMXJD-FJJYHAOUSA-N 1 2 302.378 1.504 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccc(C#N)cn1 ZINC001067578526 766620316 /nfs/dbraw/zinc/62/03/16/766620316.db2.gz YQLZJVWQMAAMSN-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO Cc1nc(N(C)C[C@@H]2CCCN2C(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001067748457 766675996 /nfs/dbraw/zinc/67/59/96/766675996.db2.gz YQUKNEJSIWPARX-HNNXBMFYSA-N 1 2 324.388 1.726 20 30 DDEDLO N#CCN1CC[C@]2(C1)CCCCN(C(=O)CCc1[nH]cc[nH+]1)C2 ZINC001045935776 766721629 /nfs/dbraw/zinc/72/16/29/766721629.db2.gz SJXRXVOHSUJQBU-KRWDZBQOSA-N 1 2 315.421 1.570 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)s3)C[C@H]21 ZINC001114199671 766772876 /nfs/dbraw/zinc/77/28/76/766772876.db2.gz YWNAIPHCYLLLDC-MUYACECFSA-N 1 2 318.446 1.757 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)s3)C[C@H]21 ZINC001114199671 766772879 /nfs/dbraw/zinc/77/28/79/766772879.db2.gz YWNAIPHCYLLLDC-MUYACECFSA-N 1 2 318.446 1.757 20 30 DDEDLO C[C@H]1CCN(c2ccncc2C#N)C[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067965217 766842323 /nfs/dbraw/zinc/84/23/23/766842323.db2.gz LSJBYTDLNFYLPJ-ZFWWWQNUSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCn1c(N2CC=C(C)CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121608194 782589635 /nfs/dbraw/zinc/58/96/35/782589635.db2.gz SOMLNUFXRZYYNZ-RHSMWYFYSA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1c(N2CC=C(C)CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121608194 782589642 /nfs/dbraw/zinc/58/96/42/782589642.db2.gz SOMLNUFXRZYYNZ-RHSMWYFYSA-N 1 2 317.437 1.532 20 30 DDEDLO Cn1cc(C(=O)N[C@@]2(C)CC[N@H+](Cc3ccccc3C#N)C2)nn1 ZINC001046293571 767375881 /nfs/dbraw/zinc/37/58/81/767375881.db2.gz NCPLIMWWYRNURH-KRWDZBQOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3ccccc3C#N)C2)nn1 ZINC001046293571 767375889 /nfs/dbraw/zinc/37/58/89/767375889.db2.gz NCPLIMWWYRNURH-KRWDZBQOSA-N 1 2 324.388 1.081 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001068500455 767379278 /nfs/dbraw/zinc/37/92/78/767379278.db2.gz AYAFHUZZDLPTPE-NNUKFRKNSA-N 1 2 310.361 1.157 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnc3cccnn32)C1 ZINC001046683802 767802880 /nfs/dbraw/zinc/80/28/80/767802880.db2.gz MHYQCGPMQIDQOY-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnc3cccnn32)C1 ZINC001046683802 767802888 /nfs/dbraw/zinc/80/28/88/767802888.db2.gz MHYQCGPMQIDQOY-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096177607 768380767 /nfs/dbraw/zinc/38/07/67/768380767.db2.gz UZFVKEVIKYZKLN-XJKSGUPXSA-N 1 2 304.394 1.197 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)noc2C2CC2)C1 ZINC001047460047 768495014 /nfs/dbraw/zinc/49/50/14/768495014.db2.gz PWKKDUVPOMMXDV-KBPBESRZSA-N 1 2 317.389 1.001 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)noc2C2CC2)C1 ZINC001047460047 768495020 /nfs/dbraw/zinc/49/50/20/768495020.db2.gz PWKKDUVPOMMXDV-KBPBESRZSA-N 1 2 317.389 1.001 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001131947527 768506425 /nfs/dbraw/zinc/50/64/25/768506425.db2.gz VMIIDWSVEWPAOE-UONOGXRCSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001131947527 768506426 /nfs/dbraw/zinc/50/64/26/768506426.db2.gz VMIIDWSVEWPAOE-UONOGXRCSA-N 1 2 306.410 1.092 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(F)ccc(C)c2F)C1 ZINC001047512079 768533047 /nfs/dbraw/zinc/53/30/47/768533047.db2.gz GYNLZBXEEGBPDQ-KBPBESRZSA-N 1 2 322.355 1.414 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(F)ccc(C)c2F)C1 ZINC001047512079 768533051 /nfs/dbraw/zinc/53/30/51/768533051.db2.gz GYNLZBXEEGBPDQ-KBPBESRZSA-N 1 2 322.355 1.414 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001070534445 768557867 /nfs/dbraw/zinc/55/78/67/768557867.db2.gz UWDHJJQZVSEDHF-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001070534445 768557874 /nfs/dbraw/zinc/55/78/74/768557874.db2.gz UWDHJJQZVSEDHF-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@@H]2C)cn1 ZINC001132021747 768559027 /nfs/dbraw/zinc/55/90/27/768559027.db2.gz PFYGYRHFUWBGDR-WMLDXEAASA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@@H]2C)cn1 ZINC001132021747 768559030 /nfs/dbraw/zinc/55/90/30/768559030.db2.gz PFYGYRHFUWBGDR-WMLDXEAASA-N 1 2 314.433 1.914 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2nocc2C)C1 ZINC001132028802 768587621 /nfs/dbraw/zinc/58/76/21/768587621.db2.gz BTCREXOGRLHANP-GJZGRUSLSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2nocc2C)C1 ZINC001132028802 768587623 /nfs/dbraw/zinc/58/76/23/768587623.db2.gz BTCREXOGRLHANP-GJZGRUSLSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)c(CC)s2)C1 ZINC001047619811 768617576 /nfs/dbraw/zinc/61/75/76/768617576.db2.gz ZULCRVIEZMSOEE-STQMWFEESA-N 1 2 306.431 1.369 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)c(CC)s2)C1 ZINC001047619811 768617579 /nfs/dbraw/zinc/61/75/79/768617579.db2.gz ZULCRVIEZMSOEE-STQMWFEESA-N 1 2 306.431 1.369 20 30 DDEDLO CC(C)=C(F)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070639067 768637860 /nfs/dbraw/zinc/63/78/60/768637860.db2.gz RALKIFQKGSLJLI-VXGBXAGGSA-N 1 2 305.357 1.091 20 30 DDEDLO CC(C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1)=C1CCC1 ZINC001070646735 768641514 /nfs/dbraw/zinc/64/15/14/768641514.db2.gz SCGRBVRHCJDBFQ-HUUCEWRRSA-N 1 2 313.405 1.328 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCn2cc[nH+]c2C1)Nc1ccc(C#N)cn1 ZINC001098126064 768666626 /nfs/dbraw/zinc/66/66/26/768666626.db2.gz UEAQVGWPNUXEFQ-GXTWGEPZSA-N 1 2 324.388 1.329 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132234197 768688511 /nfs/dbraw/zinc/68/85/11/768688511.db2.gz BHCYFHDTRYPWOJ-HUUCEWRRSA-N 1 2 317.437 1.478 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132234197 768688513 /nfs/dbraw/zinc/68/85/13/768688513.db2.gz BHCYFHDTRYPWOJ-HUUCEWRRSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(C)(C)CC=C)CC[C@@H]1C ZINC001132330110 768757155 /nfs/dbraw/zinc/75/71/55/768757155.db2.gz AYWHRDIFIIOTGF-LSDHHAIUSA-N 1 2 319.449 1.307 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(C)(C)CC=C)CC[C@@H]1C ZINC001132330110 768757158 /nfs/dbraw/zinc/75/71/58/768757158.db2.gz AYWHRDIFIIOTGF-LSDHHAIUSA-N 1 2 319.449 1.307 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070935658 768795885 /nfs/dbraw/zinc/79/58/85/768795885.db2.gz VUMYHTLQDHGYNN-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cc(C)n[nH]2)CC[C@H]1C ZINC001071428076 769420348 /nfs/dbraw/zinc/42/03/48/769420348.db2.gz VYRJEHWXQJYKPF-OLZOCXBDSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cc(C)n[nH]2)CC[C@H]1C ZINC001071428076 769420350 /nfs/dbraw/zinc/42/03/50/769420350.db2.gz VYRJEHWXQJYKPF-OLZOCXBDSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cc(C)[nH]n2)CC[C@H]1C ZINC001071428076 769420356 /nfs/dbraw/zinc/42/03/56/769420356.db2.gz VYRJEHWXQJYKPF-OLZOCXBDSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cc(C)[nH]n2)CC[C@H]1C ZINC001071428076 769420362 /nfs/dbraw/zinc/42/03/62/769420362.db2.gz VYRJEHWXQJYKPF-OLZOCXBDSA-N 1 2 310.829 1.982 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2sc3nccn3c2C)CC[C@@H]1C ZINC001071573391 769648988 /nfs/dbraw/zinc/64/89/88/769648988.db2.gz FKMDAWHPNJAHEX-AAEUAGOBSA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2sc3nccn3c2C)CC[C@@H]1C ZINC001071573391 769648995 /nfs/dbraw/zinc/64/89/95/769648995.db2.gz FKMDAWHPNJAHEX-AAEUAGOBSA-N 1 2 316.430 1.920 20 30 DDEDLO CCc1nnc(C[N@H+]2C[C@H]3CN(C(=O)[C@H](C)C#N)C[C@H]3C2)s1 ZINC001048727657 769780235 /nfs/dbraw/zinc/78/02/35/769780235.db2.gz LSXKOKPDOSKAOW-UTUOFQBUSA-N 1 2 319.434 1.150 20 30 DDEDLO CCc1nnc(C[N@@H+]2C[C@H]3CN(C(=O)[C@H](C)C#N)C[C@H]3C2)s1 ZINC001048727657 769780241 /nfs/dbraw/zinc/78/02/41/769780241.db2.gz LSXKOKPDOSKAOW-UTUOFQBUSA-N 1 2 319.434 1.150 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccnc(OC)n2)CC[C@H]1C ZINC001071675203 769846061 /nfs/dbraw/zinc/84/60/61/769846061.db2.gz CSUNTOUYRXECPK-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccnc(OC)n2)CC[C@H]1C ZINC001071675203 769846074 /nfs/dbraw/zinc/84/60/74/769846074.db2.gz CSUNTOUYRXECPK-NEPJUHHUSA-N 1 2 324.812 1.820 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2snnc2C(C)C)CC[C@H]1C ZINC001071919826 770289356 /nfs/dbraw/zinc/28/93/56/770289356.db2.gz IKVYKACBZDWMIG-VXGBXAGGSA-N 1 2 306.435 1.877 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2snnc2C(C)C)CC[C@H]1C ZINC001071919826 770289360 /nfs/dbraw/zinc/28/93/60/770289360.db2.gz IKVYKACBZDWMIG-VXGBXAGGSA-N 1 2 306.435 1.877 20 30 DDEDLO C[C@@H](CC(=O)N[C@@H]1CCN(c2ncccc2C#N)C1)n1cc[nH+]c1 ZINC001096488756 770450159 /nfs/dbraw/zinc/45/01/59/770450159.db2.gz SGUIDBMODJQBTL-DZGCQCFKSA-N 1 2 324.388 1.496 20 30 DDEDLO CCn1ccc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)n1 ZINC001049373383 770751489 /nfs/dbraw/zinc/75/14/89/770751489.db2.gz SDUZWGQTEQVYCF-CWRNSKLLSA-N 1 2 315.421 1.628 20 30 DDEDLO CCn1ccc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)n1 ZINC001049373383 770751494 /nfs/dbraw/zinc/75/14/94/770751494.db2.gz SDUZWGQTEQVYCF-CWRNSKLLSA-N 1 2 315.421 1.628 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]3[C@H]2CC[N@@H+]3Cc2cnon2)C1 ZINC001049704366 771099369 /nfs/dbraw/zinc/09/93/69/771099369.db2.gz XNFODAPRMHCVFY-HUUCEWRRSA-N 1 2 316.405 1.991 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]3[C@H]2CC[N@H+]3Cc2cnon2)C1 ZINC001049704366 771099371 /nfs/dbraw/zinc/09/93/71/771099371.db2.gz XNFODAPRMHCVFY-HUUCEWRRSA-N 1 2 316.405 1.991 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001049715161 771108043 /nfs/dbraw/zinc/10/80/43/771108043.db2.gz SYZBUEKFUOGGAO-AEGPPILISA-N 1 2 313.405 1.418 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc(COC)s1)C2 ZINC001096671883 771385250 /nfs/dbraw/zinc/38/52/50/771385250.db2.gz OLSIVWPMAQHDSW-AGIUHOORSA-N 1 2 319.430 1.648 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc(COC)s1)C2 ZINC001096671883 771385254 /nfs/dbraw/zinc/38/52/54/771385254.db2.gz OLSIVWPMAQHDSW-AGIUHOORSA-N 1 2 319.430 1.648 20 30 DDEDLO N#Cc1cnccc1N[C@H]1CCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001096944245 771543368 /nfs/dbraw/zinc/54/33/68/771543368.db2.gz XBZJLUGHRBGQFW-HNNXBMFYSA-N 1 2 324.388 1.065 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(Cl)[nH]2)[C@@H](O)C1 ZINC001090560509 771991173 /nfs/dbraw/zinc/99/11/73/771991173.db2.gz UYWBKOIKPXGWGE-KOLCDFICSA-N 1 2 318.204 1.586 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(Cl)[nH]2)[C@@H](O)C1 ZINC001090560509 771991177 /nfs/dbraw/zinc/99/11/77/771991177.db2.gz UYWBKOIKPXGWGE-KOLCDFICSA-N 1 2 318.204 1.586 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C3CC3)n[nH]2)[C@H](O)C1 ZINC001090584346 772009797 /nfs/dbraw/zinc/00/97/97/772009797.db2.gz HAKUUOBZYLYCBF-BXUZGUMPSA-N 1 2 324.812 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C3CC3)n[nH]2)[C@H](O)C1 ZINC001090584346 772009803 /nfs/dbraw/zinc/00/98/03/772009803.db2.gz HAKUUOBZYLYCBF-BXUZGUMPSA-N 1 2 324.812 1.205 20 30 DDEDLO N#Cc1cccc(Cl)c1C[NH+]1CCC2(CNC(=O)N2)CC1 ZINC001143732541 772297368 /nfs/dbraw/zinc/29/73/68/772297368.db2.gz RJHBUTUXCKKWAS-UHFFFAOYSA-N 1 2 304.781 1.859 20 30 DDEDLO CC(=O)N[C@@H](CS)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001144399332 772506662 /nfs/dbraw/zinc/50/66/62/772506662.db2.gz NIKSSNJQXNQGMU-ZDUSSCGKSA-N 1 2 304.375 1.245 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2[nH]c3c(c2C)C(=O)CCC3)CC1 ZINC001147283798 773101011 /nfs/dbraw/zinc/10/10/11/773101011.db2.gz SFDFXQJMZGTRHQ-UHFFFAOYSA-N 1 2 313.401 1.623 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2[nH]c3c(c2C)C(=O)CCC3)CC1 ZINC001147283798 773101014 /nfs/dbraw/zinc/10/10/14/773101014.db2.gz SFDFXQJMZGTRHQ-UHFFFAOYSA-N 1 2 313.401 1.623 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nc(C)no1)C2 ZINC001147461925 773141699 /nfs/dbraw/zinc/14/16/99/773141699.db2.gz HSQVOHKPANFULJ-CYBMUJFWSA-N 1 2 316.405 1.777 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nc(C)no1)C2 ZINC001147461925 773141701 /nfs/dbraw/zinc/14/17/01/773141701.db2.gz HSQVOHKPANFULJ-CYBMUJFWSA-N 1 2 316.405 1.777 20 30 DDEDLO Cc1nonc1C[N@H+]1CCC2(CN(C(=O)C#CC(C)C)C2)C1 ZINC001147499233 773153919 /nfs/dbraw/zinc/15/39/19/773153919.db2.gz QYPJQVASDASQBX-UHFFFAOYSA-N 1 2 302.378 1.072 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCC2(CN(C(=O)C#CC(C)C)C2)C1 ZINC001147499233 773153922 /nfs/dbraw/zinc/15/39/22/773153922.db2.gz QYPJQVASDASQBX-UHFFFAOYSA-N 1 2 302.378 1.072 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1C ZINC001073806456 773430229 /nfs/dbraw/zinc/43/02/29/773430229.db2.gz VFRLPPNZZUBXDQ-ZFWWWQNUSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(F)c3)C[C@H]21 ZINC001074159102 773681844 /nfs/dbraw/zinc/68/18/44/773681844.db2.gz ZAQOKVFKRWIMKG-IAGOWNOFSA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(F)c3)C[C@H]21 ZINC001074159102 773681846 /nfs/dbraw/zinc/68/18/46/773681846.db2.gz ZAQOKVFKRWIMKG-IAGOWNOFSA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)n3C)C[C@H]21 ZINC001074163780 773687540 /nfs/dbraw/zinc/68/75/40/773687540.db2.gz VKVSVYWUZNCAPO-SJORKVTESA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(C)n3C)C[C@H]21 ZINC001074163780 773687543 /nfs/dbraw/zinc/68/75/43/773687543.db2.gz VKVSVYWUZNCAPO-SJORKVTESA-N 1 2 315.417 1.272 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cn3cc(C)cn3)C[C@@H]21 ZINC001074176932 773698427 /nfs/dbraw/zinc/69/84/27/773698427.db2.gz CTQJJRGEHCVGOU-JKSUJKDBSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cn3cc(C)cn3)C[C@@H]21 ZINC001074176932 773698430 /nfs/dbraw/zinc/69/84/30/773698430.db2.gz CTQJJRGEHCVGOU-JKSUJKDBSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCn3cccn3)C[C@H]21 ZINC001074177873 773699899 /nfs/dbraw/zinc/69/98/99/773699899.db2.gz NARXQWHDWCJOFF-CVEARBPZSA-N 1 2 318.421 1.151 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCn3cccn3)C[C@H]21 ZINC001074177873 773699900 /nfs/dbraw/zinc/69/99/00/773699900.db2.gz NARXQWHDWCJOFF-CVEARBPZSA-N 1 2 318.421 1.151 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)COC3CCCC3)C[C@H]21 ZINC001074181456 773704007 /nfs/dbraw/zinc/70/40/07/773704007.db2.gz VHXNNZFCEAMBER-SJORKVTESA-N 1 2 322.449 1.823 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)COC3CCCC3)C[C@H]21 ZINC001074181456 773704012 /nfs/dbraw/zinc/70/40/12/773704012.db2.gz VHXNNZFCEAMBER-SJORKVTESA-N 1 2 322.449 1.823 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@H]21 ZINC001074208462 773731568 /nfs/dbraw/zinc/73/15/68/773731568.db2.gz IZBOUGXFTLOHKP-ZIAGYGMSSA-N 1 2 314.376 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@H]21 ZINC001074208462 773731570 /nfs/dbraw/zinc/73/15/70/773731570.db2.gz IZBOUGXFTLOHKP-ZIAGYGMSSA-N 1 2 314.376 1.910 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCn3cccc3)C[C@@H]21 ZINC001074369270 773861737 /nfs/dbraw/zinc/86/17/37/773861737.db2.gz SZNTZHIIHSWKPG-DLBZAZTESA-N 1 2 317.433 1.756 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCn3cccc3)C[C@@H]21 ZINC001074369270 773861743 /nfs/dbraw/zinc/86/17/43/773861743.db2.gz SZNTZHIIHSWKPG-DLBZAZTESA-N 1 2 317.433 1.756 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC[C@@H](C)O1)c1nccn12 ZINC001092396423 774101510 /nfs/dbraw/zinc/10/15/10/774101510.db2.gz BSCASHIMZUCNOE-HZSPNIEDSA-N 1 2 316.405 1.209 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1OCC[C@H]1C)c1nccn12 ZINC001092397878 774101587 /nfs/dbraw/zinc/10/15/87/774101587.db2.gz FQKRILPLZBFZQO-RDBSUJKOSA-N 1 2 316.405 1.066 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1nccc(C)n1)c1nccn12 ZINC001092365985 774104995 /nfs/dbraw/zinc/10/49/95/774104995.db2.gz FNVAFXYAHYHGCV-ZDUSSCGKSA-N 1 2 324.388 1.053 20 30 DDEDLO C[C@H](CNC(=O)C[C@@H](C)n1cc[nH+]c1)Nc1ccc(C#N)cn1 ZINC001098302663 774222176 /nfs/dbraw/zinc/22/21/76/774222176.db2.gz MUOVUZMUZVOBIM-CHWSQXEVSA-N 1 2 312.377 1.718 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2CCC[C@]2(NC(=O)Cn2c[nH+]cc2C)C1 ZINC001098946334 774734765 /nfs/dbraw/zinc/73/47/65/774734765.db2.gz NNAQGHVHDYAVAP-HOCLYGCPSA-N 1 2 322.840 1.915 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3C[C@@H]3C(F)F)CC2)C1 ZINC001093530464 774782546 /nfs/dbraw/zinc/78/25/46/774782546.db2.gz BHNRJKQKWHBDAM-KBPBESRZSA-N 1 2 314.376 1.765 20 30 DDEDLO C#CCC1(C(=O)NCCNc2[nH+]cnc3c2cnn3C)CCC1 ZINC001093918436 775206180 /nfs/dbraw/zinc/20/61/80/775206180.db2.gz AHWZSBKYOHZQLT-UHFFFAOYSA-N 1 2 312.377 1.085 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2ccoc2)[C@@H](O)C1 ZINC001099777636 775269794 /nfs/dbraw/zinc/26/97/94/775269794.db2.gz BLZRJJWGTYQRIR-KBPBESRZSA-N 1 2 312.797 1.516 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2ccoc2)[C@@H](O)C1 ZINC001099777636 775269802 /nfs/dbraw/zinc/26/98/02/775269802.db2.gz BLZRJJWGTYQRIR-KBPBESRZSA-N 1 2 312.797 1.516 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001099847938 775349660 /nfs/dbraw/zinc/34/96/60/775349660.db2.gz QZUUJSMMPSPIMP-UKRRQHHQSA-N 1 2 321.421 1.637 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001099847938 775349673 /nfs/dbraw/zinc/34/96/73/775349673.db2.gz QZUUJSMMPSPIMP-UKRRQHHQSA-N 1 2 321.421 1.637 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001099960057 775483314 /nfs/dbraw/zinc/48/33/14/775483314.db2.gz NHGOKEANNGKZLG-QWHCGFSZSA-N 1 2 309.435 1.407 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001099960057 775483320 /nfs/dbraw/zinc/48/33/20/775483320.db2.gz NHGOKEANNGKZLG-QWHCGFSZSA-N 1 2 309.435 1.407 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)cn2)C[C@@H]1O ZINC001099960512 775485121 /nfs/dbraw/zinc/48/51/21/775485121.db2.gz UTNPDMSPTMFRPE-GJZGRUSLSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cnc(C)cn2)C[C@@H]1O ZINC001099960512 775485127 /nfs/dbraw/zinc/48/51/27/775485127.db2.gz UTNPDMSPTMFRPE-GJZGRUSLSA-N 1 2 318.421 1.049 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)CCc2[nH]cc[nH+]2)ccc1C#N ZINC001100043038 775611505 /nfs/dbraw/zinc/61/15/05/775611505.db2.gz ZGEQQGTWLLVGPE-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO C=CCOCC(=O)NCC1CC([NH2+]Cc2noc(C(C)C)n2)C1 ZINC001100186844 775786639 /nfs/dbraw/zinc/78/66/39/775786639.db2.gz NOFYLFSRUMTQCW-UHFFFAOYSA-N 1 2 322.409 1.380 20 30 DDEDLO C#Cc1cncc(C(=O)NCCN(C)c2nc(C)[nH+]c(C)c2C)c1 ZINC001100315276 775985045 /nfs/dbraw/zinc/98/50/45/775985045.db2.gz BYGXRUOIIYMFNO-UHFFFAOYSA-N 1 2 323.400 1.644 20 30 DDEDLO CN(CCNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)c1ncccc1C#N ZINC001100330234 776006198 /nfs/dbraw/zinc/00/61/98/776006198.db2.gz JGXQOGCUKOMXBE-CYBMUJFWSA-N 1 2 324.388 1.349 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3CCCCC3)CC2=O)C1 ZINC001094697120 776206804 /nfs/dbraw/zinc/20/68/04/776206804.db2.gz HSEYNIDGMGAVNR-AWEZNQCLSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)c3ccsc3)CC2=O)C1 ZINC001094699618 776210374 /nfs/dbraw/zinc/21/03/74/776210374.db2.gz QSNFZRXXONGFAT-ZDUSSCGKSA-N 1 2 319.430 1.339 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H]3CCCC34CC4)CC2=O)C1 ZINC001094751951 776247392 /nfs/dbraw/zinc/24/73/92/776247392.db2.gz ZSNGQSFPXQAIHY-UKRRQHHQSA-N 1 2 317.433 1.154 20 30 DDEDLO C[C@@H](CC(=O)NCCCNc1cnc(C#N)cn1)n1cc[nH+]c1 ZINC001094824262 776345402 /nfs/dbraw/zinc/34/54/02/776345402.db2.gz HYXFHYRQFKXIJQ-LBPRGKRZSA-N 1 2 313.365 1.114 20 30 DDEDLO CCCN(CCNC(=O)c1cc(C#N)c[nH]1)c1cc[nH+]c(C)n1 ZINC001101133393 776935379 /nfs/dbraw/zinc/93/53/79/776935379.db2.gz PMSCYKWNEHNQBF-UHFFFAOYSA-N 1 2 312.377 1.631 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCN(C(=O)CCCn3cc[nH+]c3)C2)cn1 ZINC001095047368 777033404 /nfs/dbraw/zinc/03/34/04/777033404.db2.gz VHCGUOVPWLPIAD-MRXNPFEDSA-N 1 2 324.388 1.643 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101336666 777080832 /nfs/dbraw/zinc/08/08/32/777080832.db2.gz LUHPZUGVIVCOLF-CPUCHLNUSA-N 1 2 318.421 1.952 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001101336666 777080842 /nfs/dbraw/zinc/08/08/42/777080842.db2.gz LUHPZUGVIVCOLF-CPUCHLNUSA-N 1 2 318.421 1.952 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C/c1ccco1)c1nccn12 ZINC001101603072 777293300 /nfs/dbraw/zinc/29/33/00/777293300.db2.gz XJWJLKWZVHLJCB-NFAHFFEMSA-N 1 2 324.384 1.947 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(COC)CC1)c1nccn12 ZINC001101633667 777330457 /nfs/dbraw/zinc/33/04/57/777330457.db2.gz BSQYDQYGBJUZIQ-CYBMUJFWSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@H+]([C@H](C)c2csnn2)C[C@H]1C ZINC001101818075 777561383 /nfs/dbraw/zinc/56/13/83/777561383.db2.gz BYKILGDGGCSFSA-JHJVBQTASA-N 1 2 324.450 1.486 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1C[N@@H+]([C@H](C)c2csnn2)C[C@H]1C ZINC001101818075 777561386 /nfs/dbraw/zinc/56/13/86/777561386.db2.gz BYKILGDGGCSFSA-JHJVBQTASA-N 1 2 324.450 1.486 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N(C)CCNc1ccc(C#N)cn1 ZINC001101991989 777773480 /nfs/dbraw/zinc/77/34/80/777773480.db2.gz UNQFODWMMMHXHZ-LBPRGKRZSA-N 1 2 312.377 1.425 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N(C)CCNc1ccc(C#N)cn1 ZINC001101991989 777773483 /nfs/dbraw/zinc/77/34/83/777773483.db2.gz UNQFODWMMMHXHZ-LBPRGKRZSA-N 1 2 312.377 1.425 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)CCc1[nH+]ccn1C ZINC001102054374 777838069 /nfs/dbraw/zinc/83/80/69/777838069.db2.gz CYSAFOCPHIQHQT-UHFFFAOYSA-N 1 2 312.377 1.190 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CCC3)C[C@@H]21 ZINC001176875234 778284125 /nfs/dbraw/zinc/28/41/25/778284125.db2.gz FQBBIOXEFLWFIU-JKSUJKDBSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CCC3)C[C@@H]21 ZINC001176875234 778284135 /nfs/dbraw/zinc/28/41/35/778284135.db2.gz FQBBIOXEFLWFIU-JKSUJKDBSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCOC(C)C)C[C@H]21 ZINC001176922571 778309420 /nfs/dbraw/zinc/30/94/20/778309420.db2.gz BGGWBMXMIDGBLJ-CVEARBPZSA-N 1 2 308.422 1.127 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCOC(C)C)C[C@H]21 ZINC001176922571 778309426 /nfs/dbraw/zinc/30/94/26/778309426.db2.gz BGGWBMXMIDGBLJ-CVEARBPZSA-N 1 2 308.422 1.127 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc[nH]3)C[C@@H]21 ZINC001176923205 778310226 /nfs/dbraw/zinc/31/02/26/778310226.db2.gz FVKDUZLFKSRUOO-HOTGVXAUSA-N 1 2 319.405 1.133 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc[nH]3)C[C@@H]21 ZINC001176923205 778310232 /nfs/dbraw/zinc/31/02/32/778310232.db2.gz FVKDUZLFKSRUOO-HOTGVXAUSA-N 1 2 319.405 1.133 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C=C(C)C)C[C@H]21 ZINC001176900948 778331725 /nfs/dbraw/zinc/33/17/25/778331725.db2.gz UAFDPRPDWGFMNH-HZPDHXFCSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C=C(C)C)C[C@H]21 ZINC001176900948 778331734 /nfs/dbraw/zinc/33/17/34/778331734.db2.gz UAFDPRPDWGFMNH-HZPDHXFCSA-N 1 2 308.422 1.457 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)COC(C)(C)C)C[C@@H]21 ZINC001176988642 778344506 /nfs/dbraw/zinc/34/45/06/778344506.db2.gz JRIVEGVPPBVSNX-LSDHHAIUSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)COC(C)(C)C)C[C@@H]21 ZINC001176988642 778344514 /nfs/dbraw/zinc/34/45/14/778344514.db2.gz JRIVEGVPPBVSNX-LSDHHAIUSA-N 1 2 310.438 1.679 20 30 DDEDLO CN(C(=O)CCn1cc[nH+]c1)[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001102748206 778365177 /nfs/dbraw/zinc/36/51/77/778365177.db2.gz IXXZTSRCFQNSDM-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001102784387 778397477 /nfs/dbraw/zinc/39/74/77/778397477.db2.gz QRZSRQRGHHQADG-QJPTWQEYSA-N 1 2 307.423 1.272 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001102784387 778397480 /nfs/dbraw/zinc/39/74/80/778397480.db2.gz QRZSRQRGHHQADG-QJPTWQEYSA-N 1 2 307.423 1.272 20 30 DDEDLO CCc1nc(C[N@@H+]2C[C@@H](C)[C@H](CCNC(=O)[C@@H](C)C#N)C2)no1 ZINC001102784211 778397516 /nfs/dbraw/zinc/39/75/16/778397516.db2.gz OQVBTRRBKWJPCC-YNEHKIRRSA-N 1 2 319.409 1.366 20 30 DDEDLO CCc1nc(C[N@H+]2C[C@@H](C)[C@H](CCNC(=O)[C@@H](C)C#N)C2)no1 ZINC001102784211 778397519 /nfs/dbraw/zinc/39/75/19/778397519.db2.gz OQVBTRRBKWJPCC-YNEHKIRRSA-N 1 2 319.409 1.366 20 30 DDEDLO C=CCO[C@H](C)C(=O)N(CC)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102812680 778421227 /nfs/dbraw/zinc/42/12/27/778421227.db2.gz IUQYANQMVJAOKD-HIFRSBDPSA-N 1 2 318.421 1.803 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CC=C)C[C@H]21 ZINC001177107070 778448716 /nfs/dbraw/zinc/44/87/16/778448716.db2.gz MIJKRISTUBODLG-HZPDHXFCSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CC=C)C[C@H]21 ZINC001177107070 778448719 /nfs/dbraw/zinc/44/87/19/778448719.db2.gz MIJKRISTUBODLG-HZPDHXFCSA-N 1 2 304.434 1.914 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102868880 778462627 /nfs/dbraw/zinc/46/26/27/778462627.db2.gz KQFLURJIZLCJOY-HNNXBMFYSA-N 1 2 318.421 1.853 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2OCC[N@@H+](CC=C(C)C)[C@@H]2C1 ZINC001177163488 778470111 /nfs/dbraw/zinc/47/01/11/778470111.db2.gz UELVSLQXNUOEPP-IAGOWNOFSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2OCC[N@H+](CC=C(C)C)[C@@H]2C1 ZINC001177163488 778470115 /nfs/dbraw/zinc/47/01/15/778470115.db2.gz UELVSLQXNUOEPP-IAGOWNOFSA-N 1 2 322.449 1.847 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](C)[C@H](CCNC(=O)C(F)C(F)(F)F)C1 ZINC001103011491 778557938 /nfs/dbraw/zinc/55/79/38/778557938.db2.gz GNBMRNVPZNYPRY-UTUOFQBUSA-N 1 2 308.319 1.984 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](C)[C@H](CCNC(=O)C(F)C(F)(F)F)C1 ZINC001103011491 778557943 /nfs/dbraw/zinc/55/79/43/778557943.db2.gz GNBMRNVPZNYPRY-UTUOFQBUSA-N 1 2 308.319 1.984 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](C)[C@H](CCNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001103011491 778557946 /nfs/dbraw/zinc/55/79/46/778557946.db2.gz GNBMRNVPZNYPRY-UTUOFQBUSA-N 1 2 308.319 1.984 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](C)[C@H](CCNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001103011491 778557948 /nfs/dbraw/zinc/55/79/48/778557948.db2.gz GNBMRNVPZNYPRY-UTUOFQBUSA-N 1 2 308.319 1.984 20 30 DDEDLO CC(=O)Nc1ccc(NC(=O)[C@@H]2CCc3[nH+]ccn3C2)cc1C#N ZINC001178116897 778880848 /nfs/dbraw/zinc/88/08/48/778880848.db2.gz PGEHJQBSJOTVAM-GFCCVEGCSA-N 1 2 323.356 1.914 20 30 DDEDLO C#CCOCCC(=O)N[C@](C)(CNc1cc[nH+]c(C)n1)C1CC1 ZINC001103546427 778936254 /nfs/dbraw/zinc/93/62/54/778936254.db2.gz SNOKYBGDHSMHGT-QGZVFWFLSA-N 1 2 316.405 1.522 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2noc(C)n2)[C@@H]1C ZINC001178681352 779134928 /nfs/dbraw/zinc/13/49/28/779134928.db2.gz BLDJLODZKGXJDG-OBJOEFQTSA-N 1 2 320.393 1.058 20 30 DDEDLO C=CCCCC(=O)NC[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104188783 779360376 /nfs/dbraw/zinc/36/03/76/779360376.db2.gz QTRHMNUPIZKKQY-LBPRGKRZSA-N 1 2 316.409 1.884 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cn(C)nn1)C2 ZINC001111519129 779383884 /nfs/dbraw/zinc/38/38/84/779383884.db2.gz SCYFYHQPACWVDZ-MCIONIFRSA-N 1 2 323.828 1.222 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cn(C)nn1)C2 ZINC001111519129 779383889 /nfs/dbraw/zinc/38/38/89/779383889.db2.gz SCYFYHQPACWVDZ-MCIONIFRSA-N 1 2 323.828 1.222 20 30 DDEDLO Cc1nc(NC[C@@H]2CN(C(=O)C#CC(C)C)CCO2)cc[nH+]1 ZINC001111945393 779573852 /nfs/dbraw/zinc/57/38/52/779573852.db2.gz KLCDSXQOBIWNJR-CQSZACIVSA-N 1 2 302.378 1.084 20 30 DDEDLO CN(CCCN(C)c1ccncc1C#N)C(=O)Cc1c[nH+]c[nH]1 ZINC001112183936 779641084 /nfs/dbraw/zinc/64/10/84/779641084.db2.gz IEDJKPDJBCUOOP-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)F)C2 ZINC001110235431 779691286 /nfs/dbraw/zinc/69/12/86/779691286.db2.gz SLCSDIVBPQBYMD-LPWJVIDDSA-N 1 2 311.401 1.147 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)F)C2 ZINC001110235431 779691287 /nfs/dbraw/zinc/69/12/87/779691287.db2.gz SLCSDIVBPQBYMD-LPWJVIDDSA-N 1 2 311.401 1.147 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)CC1(C)CCCCC1 ZINC001267206102 837532461 /nfs/dbraw/zinc/53/24/61/837532461.db2.gz MZLDLQQBEGXOIB-UHFFFAOYSA-N 1 2 309.454 1.697 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)CC1(C)CCCCC1 ZINC001267206102 837532469 /nfs/dbraw/zinc/53/24/69/837532469.db2.gz MZLDLQQBEGXOIB-UHFFFAOYSA-N 1 2 309.454 1.697 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](C)CC(=O)N1CCC[C@H](C)C1 ZINC001267212812 837543580 /nfs/dbraw/zinc/54/35/80/837543580.db2.gz UKSPDLGGAMMBHK-AWEZNQCLSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](C)CC(=O)N1CCC[C@H](C)C1 ZINC001267212812 837543585 /nfs/dbraw/zinc/54/35/85/837543585.db2.gz UKSPDLGGAMMBHK-AWEZNQCLSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H]1C[C@H]1C1CCCC1 ZINC001267225216 837561328 /nfs/dbraw/zinc/56/13/28/837561328.db2.gz RJRSTANDVUWTLM-LSDHHAIUSA-N 1 2 307.438 1.163 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H]1C[C@H]1C1CCCC1 ZINC001267225216 837561334 /nfs/dbraw/zinc/56/13/34/837561334.db2.gz RJRSTANDVUWTLM-LSDHHAIUSA-N 1 2 307.438 1.163 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(C)s1 ZINC001267259037 837682686 /nfs/dbraw/zinc/68/26/86/837682686.db2.gz MLADFWFYGUSJMV-CYBMUJFWSA-N 1 2 321.446 1.553 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(C)s1 ZINC001267259037 837682693 /nfs/dbraw/zinc/68/26/93/837682693.db2.gz MLADFWFYGUSJMV-CYBMUJFWSA-N 1 2 321.446 1.553 20 30 DDEDLO [NH3+][C@H](CC(=O)NCCOC(F)(F)C(F)(F)F)C(F)F ZINC000724751221 837739275 /nfs/dbraw/zinc/73/92/75/837739275.db2.gz JCJOECVQVVWIPJ-SCSAIBSYSA-N 1 2 300.174 1.257 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)C(C2CCC2)C2CCC2)CC1 ZINC001266289755 836061329 /nfs/dbraw/zinc/06/13/29/836061329.db2.gz BAJOIABJYLLUKB-UHFFFAOYSA-N 1 2 317.477 1.570 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN1CC[NH+](Cc2cccnc2)CC1 ZINC001266289840 836061769 /nfs/dbraw/zinc/06/17/69/836061769.db2.gz DRHKPSIDYUBXEG-UHFFFAOYSA-N 1 2 316.449 1.528 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NCC(C)(C)C)C2)C1 ZINC001266341651 836142860 /nfs/dbraw/zinc/14/28/60/836142860.db2.gz LHXJJVXGDVXDOK-CQSZACIVSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](CC(=O)NCC(C)(C)C)C2)C1 ZINC001266341651 836142862 /nfs/dbraw/zinc/14/28/62/836142862.db2.gz LHXJJVXGDVXDOK-CQSZACIVSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[NH2+]Cc1nc(C(F)F)no1 ZINC001266800203 836853741 /nfs/dbraw/zinc/85/37/41/836853741.db2.gz PIZUXIZIOJPBCK-UHFFFAOYSA-N 1 2 302.325 1.767 20 30 DDEDLO Cc1nc(C[NH2+]CCN(CCO)C(=O)C#CC(C)(C)C)oc1C ZINC001279711298 838326755 /nfs/dbraw/zinc/32/67/55/838326755.db2.gz LXRMOKQAWIKHOS-UHFFFAOYSA-N 1 2 321.421 1.251 20 30 DDEDLO CN(CC[N@H+](C)Cc1cc2n(n1)CCC2)C(=O)C#CC1CC1 ZINC001272013737 844319794 /nfs/dbraw/zinc/31/97/94/844319794.db2.gz RSTDNKQIMGSXPK-UHFFFAOYSA-N 1 2 300.406 1.133 20 30 DDEDLO CN(CC[N@@H+](C)Cc1cc2n(n1)CCC2)C(=O)C#CC1CC1 ZINC001272013737 844319808 /nfs/dbraw/zinc/31/98/08/844319808.db2.gz RSTDNKQIMGSXPK-UHFFFAOYSA-N 1 2 300.406 1.133 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)Cc2ccsc2)CC1 ZINC001267605525 838427569 /nfs/dbraw/zinc/42/75/69/838427569.db2.gz WJTBPLQNBHDBKJ-UHFFFAOYSA-N 1 2 307.463 1.553 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2cccc(F)c2C)C1 ZINC001267683556 838637177 /nfs/dbraw/zinc/63/71/77/838637177.db2.gz MUOTYYODZJLZDE-UHFFFAOYSA-N 1 2 319.380 1.098 20 30 DDEDLO CC(C)CCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267751373 838854558 /nfs/dbraw/zinc/85/45/58/838854558.db2.gz WHSLWZCMOOYUSQ-CQSZACIVSA-N 1 2 308.426 1.033 20 30 DDEDLO CC(C)CCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267751373 838854562 /nfs/dbraw/zinc/85/45/62/838854562.db2.gz WHSLWZCMOOYUSQ-CQSZACIVSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)[C@@H]1CC[N@H+](CCS(C)(=O)=O)C1 ZINC001267769577 838925995 /nfs/dbraw/zinc/92/59/95/838925995.db2.gz BZLIXTLINSFIKK-ZIAGYGMSSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)[C@@H]1CC[N@@H+](CCS(C)(=O)=O)C1 ZINC001267769577 838926002 /nfs/dbraw/zinc/92/60/02/838926002.db2.gz BZLIXTLINSFIKK-ZIAGYGMSSA-N 1 2 316.467 1.166 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001267770571 838931079 /nfs/dbraw/zinc/93/10/79/838931079.db2.gz NPLMICHOJCZQTH-HNNXBMFYSA-N 1 2 317.437 1.524 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001267770571 838931088 /nfs/dbraw/zinc/93/10/88/838931088.db2.gz NPLMICHOJCZQTH-HNNXBMFYSA-N 1 2 317.437 1.524 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nnc(CC)o1 ZINC001267952797 839255371 /nfs/dbraw/zinc/25/53/71/839255371.db2.gz XRIRVBSFMQYYEI-CQSZACIVSA-N 1 2 318.421 1.906 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001268184156 839807630 /nfs/dbraw/zinc/80/76/30/839807630.db2.gz QBFPUGPGYLYGCF-LJIGWXMPSA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001268184156 839807634 /nfs/dbraw/zinc/80/76/34/839807634.db2.gz QBFPUGPGYLYGCF-LJIGWXMPSA-N 1 2 319.449 1.305 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C(C)C)C1 ZINC001268194024 839819990 /nfs/dbraw/zinc/81/99/90/839819990.db2.gz SCEIBRPSANOAQH-GJZGRUSLSA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](NC(=O)C(C)(C)C(C)C)C1 ZINC001268194024 839819996 /nfs/dbraw/zinc/81/99/96/839819996.db2.gz SCEIBRPSANOAQH-GJZGRUSLSA-N 1 2 321.465 1.387 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@H](C)C2CC2)C1 ZINC001268236808 839873853 /nfs/dbraw/zinc/87/38/53/839873853.db2.gz PWVZEBFOLMQHIT-ZFWWWQNUSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@H](C)C2CC2)C1 ZINC001268236808 839873864 /nfs/dbraw/zinc/87/38/64/839873864.db2.gz PWVZEBFOLMQHIT-ZFWWWQNUSA-N 1 2 307.438 1.305 20 30 DDEDLO C#CCCCC(=O)NC1C[NH+](C[C@H](O)Cc2ccc(F)cc2)C1 ZINC001268278923 839937349 /nfs/dbraw/zinc/93/73/49/839937349.db2.gz CWVLHNRCJRKMIG-QGZVFWFLSA-N 1 2 318.392 1.333 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@@H]2CCC[C@H](OC)C2)C1 ZINC001268318305 839991190 /nfs/dbraw/zinc/99/11/90/839991190.db2.gz RIMICMFLGUZXNH-ZBFHGGJFSA-N 1 2 310.438 1.585 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C/C[NH2+]Cc2cc(C)on2)cn1 ZINC001268616446 840578721 /nfs/dbraw/zinc/57/87/21/840578721.db2.gz IQXWHAYXIUHVAE-SNAWJCMRSA-N 1 2 310.357 1.435 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[N@@H+](C)CC(=O)N[C@@H](C)C1CC1 ZINC001272080693 844563022 /nfs/dbraw/zinc/56/30/22/844563022.db2.gz QYMRYGOSJKSVLU-ZDUSSCGKSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[N@H+](C)CC(=O)N[C@@H](C)C1CC1 ZINC001272080693 844563032 /nfs/dbraw/zinc/56/30/32/844563032.db2.gz QYMRYGOSJKSVLU-ZDUSSCGKSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2ccc(C)c(C)c2)C1 ZINC001268900559 840970579 /nfs/dbraw/zinc/97/05/79/840970579.db2.gz JQIDPPUKBWYDGV-UHFFFAOYSA-N 1 2 315.417 1.267 20 30 DDEDLO C=CC[C@H](C)C(=O)N1C[C@@H]2C[N@@H+](CCn3ccnc3)C[C@H](C1)O2 ZINC001268968467 841064611 /nfs/dbraw/zinc/06/46/11/841064611.db2.gz QRYFUTOHFDHXGR-HRCADAONSA-N 1 2 318.421 1.007 20 30 DDEDLO C=CC[C@H](C)C(=O)N1C[C@@H]2C[N@H+](CCn3ccnc3)C[C@H](C1)O2 ZINC001268968467 841064621 /nfs/dbraw/zinc/06/46/21/841064621.db2.gz QRYFUTOHFDHXGR-HRCADAONSA-N 1 2 318.421 1.007 20 30 DDEDLO C=CC[C@@H](C)C(=O)N1C[C@@H]2OCCN(CCn3cc[nH+]c3)[C@H]2C1 ZINC001268968654 841064973 /nfs/dbraw/zinc/06/49/73/841064973.db2.gz VYVQAKJXBWTSSN-PMPSAXMXSA-N 1 2 318.421 1.007 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC2(CCN2CCn2cc[nH+]c2)C1 ZINC001269001649 841100973 /nfs/dbraw/zinc/10/09/73/841100973.db2.gz HFOZQDOBCDTBPA-UHFFFAOYSA-N 1 2 310.348 1.381 20 30 DDEDLO C=CCCC[N@H+]1CC[C@H]1CNC(=O)C1(S(C)(=O)=O)CCC1 ZINC001269327224 841522528 /nfs/dbraw/zinc/52/25/28/841522528.db2.gz VHDWGGOZBRPPHN-ZDUSSCGKSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCCC[N@@H+]1CC[C@H]1CNC(=O)C1(S(C)(=O)=O)CCC1 ZINC001269327224 841522532 /nfs/dbraw/zinc/52/25/32/841522532.db2.gz VHDWGGOZBRPPHN-ZDUSSCGKSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@H+]2[C@@H](C)C(=O)NC)CCCCC1 ZINC001269353072 841562178 /nfs/dbraw/zinc/56/21/78/841562178.db2.gz ZDGDICQEOBSBLQ-LSDHHAIUSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@@H+]2[C@@H](C)C(=O)NC)CCCCC1 ZINC001269353072 841562182 /nfs/dbraw/zinc/56/21/82/841562182.db2.gz ZDGDICQEOBSBLQ-LSDHHAIUSA-N 1 2 321.465 1.838 20 30 DDEDLO CCc1nc[nH]c1C(=O)N1CCC([N@H+](CC#N)CC2CC2)CC1 ZINC001269633219 841877974 /nfs/dbraw/zinc/87/79/74/841877974.db2.gz VTKMBNKBEPXAIT-UHFFFAOYSA-N 1 2 315.421 1.812 20 30 DDEDLO CCc1nc[nH]c1C(=O)N1CCC([N@@H+](CC#N)CC2CC2)CC1 ZINC001269633219 841877982 /nfs/dbraw/zinc/87/79/82/841877982.db2.gz VTKMBNKBEPXAIT-UHFFFAOYSA-N 1 2 315.421 1.812 20 30 DDEDLO C=C(C)CCC(=O)N(C)C1C[NH+](CCn2nc(C)nc2C)C1 ZINC001269799432 842057180 /nfs/dbraw/zinc/05/71/80/842057180.db2.gz CMIGFVOWDIWMNP-UHFFFAOYSA-N 1 2 305.426 1.394 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnn(CCOCC)c2)C1 ZINC001269866019 842116707 /nfs/dbraw/zinc/11/67/07/842116707.db2.gz BKFKFDNBPHLEJU-UHFFFAOYSA-N 1 2 306.410 1.252 20 30 DDEDLO N#CCN1CCC(CCNC(=O)[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC001270124453 842314604 /nfs/dbraw/zinc/31/46/04/842314604.db2.gz CVNNLZYBQZSRCL-OAHLLOKOSA-N 1 2 315.421 1.187 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@](C)(NC(=O)c2cccs2)C1 ZINC001270528568 842643201 /nfs/dbraw/zinc/64/32/01/842643201.db2.gz DRNYKLXYTDAOFL-WBMJQRKESA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@](C)(NC(=O)c2cccs2)C1 ZINC001270528568 842643204 /nfs/dbraw/zinc/64/32/04/842643204.db2.gz DRNYKLXYTDAOFL-WBMJQRKESA-N 1 2 319.430 1.080 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@]1(O)CC[N@H+](Cc2ccon2)C1 ZINC001271193239 843399316 /nfs/dbraw/zinc/39/93/16/843399316.db2.gz UAONYZRESJHRTI-MRXNPFEDSA-N 1 2 307.394 1.330 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@]1(O)CC[N@@H+](Cc2ccon2)C1 ZINC001271193239 843399328 /nfs/dbraw/zinc/39/93/28/843399328.db2.gz UAONYZRESJHRTI-MRXNPFEDSA-N 1 2 307.394 1.330 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CO[C@H]2CCCC[C@@H]2C)C1 ZINC001271362160 843524612 /nfs/dbraw/zinc/52/46/12/843524612.db2.gz ZXQGSUSZMVIKMJ-GJZGRUSLSA-N 1 2 310.438 1.321 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@H]1CC[C@H](CNCC#N)CC1 ZINC001326528834 861427881 /nfs/dbraw/zinc/42/78/81/861427881.db2.gz AUBAQVZMUFCHCY-HDJSIYSDSA-N 1 2 303.410 1.141 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccon1 ZINC001280397785 843567715 /nfs/dbraw/zinc/56/77/15/843567715.db2.gz WWQXATNFJJPZCR-AWEZNQCLSA-N 1 2 307.394 1.852 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccon1 ZINC001280397785 843567721 /nfs/dbraw/zinc/56/77/21/843567721.db2.gz WWQXATNFJJPZCR-AWEZNQCLSA-N 1 2 307.394 1.852 20 30 DDEDLO C#CC[NH2+]C[C@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@H]1C ZINC001271650535 843762083 /nfs/dbraw/zinc/76/20/83/843762083.db2.gz XEGIRVKIWLDYGL-CJNGLKHVSA-N 1 2 323.400 1.403 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cnn(CCF)c1 ZINC001409571923 845334630 /nfs/dbraw/zinc/33/46/30/845334630.db2.gz FDHZUXUPZGNGKI-NSHDSACASA-N 1 2 302.781 1.655 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cnn(CCF)c1 ZINC001409571923 845334634 /nfs/dbraw/zinc/33/46/34/845334634.db2.gz FDHZUXUPZGNGKI-NSHDSACASA-N 1 2 302.781 1.655 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](Cc3cc(C)ccc3O)C2)OCC1=O ZINC001272622355 846422338 /nfs/dbraw/zinc/42/23/38/846422338.db2.gz GAKNFDOULXZUFQ-UHFFFAOYSA-N 1 2 316.401 1.690 20 30 DDEDLO Cc1[nH+]c[nH]c1CN1C[C@@]2(F)C(=O)N(CCCC#N)C[C@@]2(F)C1 ZINC001272664415 846523467 /nfs/dbraw/zinc/52/34/67/846523467.db2.gz IXTNSHPMDDMTQM-LSDHHAIUSA-N 1 2 323.347 1.096 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H](OCC)C2CC2)C1 ZINC001409909111 846556866 /nfs/dbraw/zinc/55/68/66/846556866.db2.gz KBZVQIQJFKGNHD-BXUZGUMPSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)COCC[N@@H+](Cc1ccn(C)n1)C2 ZINC001272734881 846736686 /nfs/dbraw/zinc/73/66/86/846736686.db2.gz FYNAEEPAQWUVLD-QGZVFWFLSA-N 1 2 318.421 1.047 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)COCC[N@H+](Cc1ccn(C)n1)C2 ZINC001272734881 846736691 /nfs/dbraw/zinc/73/66/91/846736691.db2.gz FYNAEEPAQWUVLD-QGZVFWFLSA-N 1 2 318.421 1.047 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](Cc3ccc(C)cc3O)C[C@@]2(F)C1=O ZINC001272766943 847399708 /nfs/dbraw/zinc/39/97/08/847399708.db2.gz VNWDKPPHDZXDJN-DLBZAZTESA-N 1 2 322.355 1.961 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](Cc3ccc(C)cc3O)C[C@@]2(F)C1=O ZINC001272766943 847399718 /nfs/dbraw/zinc/39/97/18/847399718.db2.gz VNWDKPPHDZXDJN-DLBZAZTESA-N 1 2 322.355 1.961 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1cc(C)n(C)n1 ZINC001272880760 847585298 /nfs/dbraw/zinc/58/52/98/847585298.db2.gz MXRRPHCROHNYSC-QGZVFWFLSA-N 1 2 300.406 1.319 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1cc(C)n(C)n1 ZINC001272880760 847585307 /nfs/dbraw/zinc/58/53/07/847585307.db2.gz MXRRPHCROHNYSC-QGZVFWFLSA-N 1 2 300.406 1.319 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[NH2+][C@H](C)c1noc(C)n1 ZINC001272938532 847666679 /nfs/dbraw/zinc/66/66/79/847666679.db2.gz LDRAWDUDLVEDER-TZMCWYRMSA-N 1 2 322.409 1.612 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)CCC)[C@@H](n2ccnn2)C1 ZINC001128851209 848014874 /nfs/dbraw/zinc/01/48/74/848014874.db2.gz CWHXLCWTKAMCHY-ZNMIVQPWSA-N 1 2 303.410 1.079 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)CCC)[C@@H](n2ccnn2)C1 ZINC001128851209 848014879 /nfs/dbraw/zinc/01/48/79/848014879.db2.gz CWHXLCWTKAMCHY-ZNMIVQPWSA-N 1 2 303.410 1.079 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@H](CC)NC(C)=O)C(C)(C)C1 ZINC001410029136 848699229 /nfs/dbraw/zinc/69/92/29/848699229.db2.gz QKYWUBMDHVOCJX-CHWSQXEVSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)NC(C)=O)C(C)(C)C1 ZINC001410029136 848699233 /nfs/dbraw/zinc/69/92/33/848699233.db2.gz QKYWUBMDHVOCJX-CHWSQXEVSA-N 1 2 315.845 1.480 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1ncc(C)s1)C2 ZINC001273221366 848804099 /nfs/dbraw/zinc/80/40/99/848804099.db2.gz HPPCJTGMVVWUOJ-MRXNPFEDSA-N 1 2 319.430 1.136 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1ncc(C)s1)C2 ZINC001273221366 848804110 /nfs/dbraw/zinc/80/41/10/848804110.db2.gz HPPCJTGMVVWUOJ-MRXNPFEDSA-N 1 2 319.430 1.136 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1C ZINC001155321689 861958103 /nfs/dbraw/zinc/95/81/03/861958103.db2.gz VIQPEPPVVPLQSF-KFWWJZLASA-N 1 2 320.437 1.338 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1C ZINC001155321689 861958110 /nfs/dbraw/zinc/95/81/10/861958110.db2.gz VIQPEPPVVPLQSF-KFWWJZLASA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)C[C@H]1CCOC1)O2 ZINC001327362640 862102938 /nfs/dbraw/zinc/10/29/38/862102938.db2.gz BCGMMEJJEVOGDS-CABCVRRESA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H](C)C1CC1)CO2 ZINC001327424786 862164741 /nfs/dbraw/zinc/16/47/41/862164741.db2.gz FPGMGLHKFYUFOD-GOEBONIOSA-N 1 2 322.449 1.585 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)C[C@@H](C)n1cccn1)O2 ZINC001273668272 851202952 /nfs/dbraw/zinc/20/29/52/851202952.db2.gz BEUGZTDPWBJEMK-HUUCEWRRSA-N 1 2 318.421 1.370 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)CCCOC ZINC001327507662 862225775 /nfs/dbraw/zinc/22/57/75/862225775.db2.gz KXLOVVGASCELDB-KRWDZBQOSA-N 1 2 300.402 1.589 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)CCCOC ZINC001327507662 862225785 /nfs/dbraw/zinc/22/57/85/862225785.db2.gz KXLOVVGASCELDB-KRWDZBQOSA-N 1 2 300.402 1.589 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@]3(CCN(CCCC#N)C3=O)C2)no1 ZINC001273770667 851324417 /nfs/dbraw/zinc/32/44/17/851324417.db2.gz REWHIFRSXYVBAK-OAHLLOKOSA-N 1 2 303.366 1.106 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@]3(CCN(CCCC#N)C3=O)C2)no1 ZINC001273770667 851324421 /nfs/dbraw/zinc/32/44/21/851324421.db2.gz REWHIFRSXYVBAK-OAHLLOKOSA-N 1 2 303.366 1.106 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)COCCC=C ZINC001273825115 851386914 /nfs/dbraw/zinc/38/69/14/851386914.db2.gz NEQIJQLUIGKUCF-SFHVURJKSA-N 1 2 312.413 1.755 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)COCCC=C ZINC001273825115 851386921 /nfs/dbraw/zinc/38/69/21/851386921.db2.gz NEQIJQLUIGKUCF-SFHVURJKSA-N 1 2 312.413 1.755 20 30 DDEDLO C#CCNC(=O)[C@H]1CC12CC[NH+](Cc1cncc(Cl)n1)CC2 ZINC001273836652 851404860 /nfs/dbraw/zinc/40/48/60/851404860.db2.gz STUZZSHENMBYEL-CYBMUJFWSA-N 1 2 318.808 1.482 20 30 DDEDLO CCCCC[C@@H](O)C[NH+]1CC2(C1)CN(CCCC#N)C(=O)CO2 ZINC001273878598 851448056 /nfs/dbraw/zinc/44/80/56/851448056.db2.gz PEFBYAOKPFWBBW-OAHLLOKOSA-N 1 2 323.437 1.145 20 30 DDEDLO C#CCN(C(=O)C(=O)N[C@@H](C)Cn1cc[nH+]c1)C1CCCCC1 ZINC001327657524 862355874 /nfs/dbraw/zinc/35/58/74/862355874.db2.gz NEMYRTQYYQGFIU-AWEZNQCLSA-N 1 2 316.405 1.182 20 30 DDEDLO CN(C)C(=O)C[N@H+]1C[C@H]2CC[C@@H](C1)N2Cc1cccc(C#N)c1 ZINC001275290296 852956187 /nfs/dbraw/zinc/95/61/87/852956187.db2.gz FFNJXWGDACOXKG-CALCHBBNSA-N 1 2 312.417 1.295 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2Cc1cccc(C#N)c1 ZINC001275290296 852956189 /nfs/dbraw/zinc/95/61/89/852956189.db2.gz FFNJXWGDACOXKG-CALCHBBNSA-N 1 2 312.417 1.295 20 30 DDEDLO N#CCc1ccc(C(=O)N2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)cc1 ZINC001275540513 853302794 /nfs/dbraw/zinc/30/27/94/853302794.db2.gz MNSANMADOKXCHY-UHFFFAOYSA-N 1 2 321.384 1.434 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H](C)[N@H+](C)Cc1ccn(C)n1 ZINC001275954754 854011053 /nfs/dbraw/zinc/01/10/53/854011053.db2.gz DTDHWEDGQUGPCG-BPUTZDHNSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H](C)[N@@H+](C)Cc1ccn(C)n1 ZINC001275954754 854011055 /nfs/dbraw/zinc/01/10/55/854011055.db2.gz DTDHWEDGQUGPCG-BPUTZDHNSA-N 1 2 320.437 1.338 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)[C@H](CC#N)c1ccccc1 ZINC001412082293 854204885 /nfs/dbraw/zinc/20/48/85/854204885.db2.gz RFAWGUCZBWONAC-DOTOQJQBSA-N 1 2 315.417 1.863 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCCCC[C@@H]2CNC(=O)C#CC2CC2)o1 ZINC001276299721 855142482 /nfs/dbraw/zinc/14/24/82/855142482.db2.gz MPMRSBZOAIHKPU-OAHLLOKOSA-N 1 2 316.405 1.652 20 30 DDEDLO Cc1nnc(C[N@H+]2CCCCC[C@@H]2CNC(=O)C#CC2CC2)o1 ZINC001276299721 855142485 /nfs/dbraw/zinc/14/24/85/855142485.db2.gz MPMRSBZOAIHKPU-OAHLLOKOSA-N 1 2 316.405 1.652 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3C[C@@H](C2)N3Cc2ccc[nH]c2=O)cc1C#N ZINC001276328696 855668194 /nfs/dbraw/zinc/66/81/94/855668194.db2.gz YBPUJKDAORFUGB-CALCHBBNSA-N 1 2 323.400 1.456 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3C[C@@H](C2)N3Cc2ccc[nH]c2=O)cc1C#N ZINC001276328696 855668198 /nfs/dbraw/zinc/66/81/98/855668198.db2.gz YBPUJKDAORFUGB-CALCHBBNSA-N 1 2 323.400 1.456 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)CC2CCCC2)C1 ZINC001276335174 855673740 /nfs/dbraw/zinc/67/37/40/855673740.db2.gz VHTCWNHFQUNZFV-ZDUSSCGKSA-N 1 2 307.438 1.305 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CCCC(F)(F)F)CC2)C1 ZINC001328130828 862713851 /nfs/dbraw/zinc/71/38/51/862713851.db2.gz YAEQCHWHFFNNNY-UHFFFAOYSA-N 1 2 317.355 1.181 20 30 DDEDLO C#CC[N@H+](CC)[C@H](C)CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001156119971 862735549 /nfs/dbraw/zinc/73/55/49/862735549.db2.gz NXIFLOCHAADSNY-CHWSQXEVSA-N 1 2 302.422 1.608 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001049557766 856973585 /nfs/dbraw/zinc/97/35/85/856973585.db2.gz KIGSEGCVSPJDSB-RRFJBIMHSA-N 1 2 313.405 1.034 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072603205 857501540 /nfs/dbraw/zinc/50/15/40/857501540.db2.gz ORIAXRHDMMLTCP-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H](C)OCC ZINC001328463082 862987780 /nfs/dbraw/zinc/98/77/80/862987780.db2.gz BTEOYLOLDDREHL-PBHICJAKSA-N 1 2 300.402 1.760 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H](C)OCC ZINC001328463082 862987787 /nfs/dbraw/zinc/98/77/87/862987787.db2.gz BTEOYLOLDDREHL-PBHICJAKSA-N 1 2 300.402 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cccn2C)C1 ZINC001073536614 858421590 /nfs/dbraw/zinc/42/15/90/858421590.db2.gz BZEBZGJZBAGAIQ-ZDUSSCGKSA-N 1 2 311.813 1.598 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cccn2C)C1 ZINC001073536614 858421591 /nfs/dbraw/zinc/42/15/91/858421591.db2.gz BZEBZGJZBAGAIQ-ZDUSSCGKSA-N 1 2 311.813 1.598 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001073581196 858459007 /nfs/dbraw/zinc/45/90/07/858459007.db2.gz VQJQWTUDQNXBCK-ZDUSSCGKSA-N 1 2 322.796 1.460 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001073581196 858459009 /nfs/dbraw/zinc/45/90/09/858459009.db2.gz VQJQWTUDQNXBCK-ZDUSSCGKSA-N 1 2 322.796 1.460 20 30 DDEDLO C=CC[C@H](Cc1ccccc1)C(=O)NCC[NH2+]Cc1cnon1 ZINC001151906310 863043770 /nfs/dbraw/zinc/04/37/70/863043770.db2.gz FHPARYSTUNGNDH-OAHLLOKOSA-N 1 2 314.389 1.710 20 30 DDEDLO C=CCCCC(=O)NC[C@H](CO)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001122507562 858857337 /nfs/dbraw/zinc/85/73/37/858857337.db2.gz COYRWJDWWFDBCO-CQSZACIVSA-N 1 2 318.421 1.908 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H](Nc2cc[nH+]c(C)n2)C[C@H]1C ZINC001123254242 859148940 /nfs/dbraw/zinc/14/89/40/859148940.db2.gz YHPPBIYUSFCSMS-TZMCWYRMSA-N 1 2 304.394 1.779 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@H](C)[C@H]1CN(C)CC[N@@H+]1C ZINC001123793334 859417592 /nfs/dbraw/zinc/41/75/92/859417592.db2.gz AXBPMYGVZUMMEX-LVQVYYBASA-N 1 2 306.454 1.513 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@H](C)[C@H]1CN(C)CC[N@H+]1C ZINC001123793334 859417597 /nfs/dbraw/zinc/41/75/97/859417597.db2.gz AXBPMYGVZUMMEX-LVQVYYBASA-N 1 2 306.454 1.513 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCN(C(C)=O)[C@@H](C)C2)cc1OC ZINC001138347441 860067523 /nfs/dbraw/zinc/06/75/23/860067523.db2.gz XIFOJDXFKZIKOX-AWEZNQCLSA-N 1 2 316.401 1.760 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCN(C(C)=O)[C@@H](C)C2)cc1OC ZINC001138347441 860067537 /nfs/dbraw/zinc/06/75/37/860067537.db2.gz XIFOJDXFKZIKOX-AWEZNQCLSA-N 1 2 316.401 1.760 20 30 DDEDLO N#C[C@H]1CCN(Cc2cccc(OCC[NH+]3CCOCC3)c2)C1 ZINC001139762946 860474763 /nfs/dbraw/zinc/47/47/63/860474763.db2.gz BRKODEYJKYHYMI-QGZVFWFLSA-N 1 2 315.417 1.743 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2cccc(C#N)c2Cl)CCCO1 ZINC001140815035 860702922 /nfs/dbraw/zinc/70/29/22/860702922.db2.gz ZDBCKFYWUJYLLC-ZDUSSCGKSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2cccc(C#N)c2Cl)CCCO1 ZINC001140815035 860702923 /nfs/dbraw/zinc/70/29/23/860702923.db2.gz ZDBCKFYWUJYLLC-ZDUSSCGKSA-N 1 2 308.765 1.976 20 30 DDEDLO N#Cc1cc(F)ccc1C[NH+]1CCC2(CC1)CNC(=O)CO2 ZINC001141167039 860800725 /nfs/dbraw/zinc/80/07/25/860800725.db2.gz VRCCWKGQYAMLDO-UHFFFAOYSA-N 1 2 303.337 1.178 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1cn(C)nn1 ZINC001325898969 860933673 /nfs/dbraw/zinc/93/36/73/860933673.db2.gz JILKIMAJXMVJQE-CVEARBPZSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1cn(C)nn1 ZINC001325898969 860933693 /nfs/dbraw/zinc/93/36/93/860933693.db2.gz JILKIMAJXMVJQE-CVEARBPZSA-N 1 2 317.437 1.737 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2cccc3c2OCO3)CC1 ZINC001141958763 860983759 /nfs/dbraw/zinc/98/37/59/860983759.db2.gz YKVYUKZEBAGBIQ-UHFFFAOYSA-N 1 2 323.356 1.399 20 30 DDEDLO C[C@H]([NH2+]CCN(CCO)C(=O)C#CC(C)(C)C)c1csnn1 ZINC001326020986 861047256 /nfs/dbraw/zinc/04/72/56/861047256.db2.gz GEFFHPUCNVTXQW-LBPRGKRZSA-N 1 2 324.450 1.059 20 30 DDEDLO CCc1cnc(C[NH2+]CCNC(=O)CC#Cc2ccccc2)o1 ZINC001151952711 863077961 /nfs/dbraw/zinc/07/79/61/863077961.db2.gz CZDARJKHIGOJIV-UHFFFAOYSA-N 1 2 311.385 1.885 20 30 DDEDLO CC[N@H+](Cc1cn(C(C)C)nn1)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152450317 863357087 /nfs/dbraw/zinc/35/70/87/863357087.db2.gz XHNIUBVABVIKIT-CHWSQXEVSA-N 1 2 306.414 1.345 20 30 DDEDLO CC[N@@H+](Cc1cn(C(C)C)nn1)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152450317 863357094 /nfs/dbraw/zinc/35/70/94/863357094.db2.gz XHNIUBVABVIKIT-CHWSQXEVSA-N 1 2 306.414 1.345 20 30 DDEDLO Cc1nc(-n2cncn2)cc(NCCc2ccc(C#N)cc2)[nH+]1 ZINC001156837271 863384854 /nfs/dbraw/zinc/38/48/54/863384854.db2.gz SVHJKAFATMUTRX-UHFFFAOYSA-N 1 2 305.345 1.892 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nonc1C ZINC001152584995 863447540 /nfs/dbraw/zinc/44/75/40/863447540.db2.gz JDTLSHYGLYIRJV-CYBMUJFWSA-N 1 2 324.425 1.687 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nonc1C ZINC001152584995 863447543 /nfs/dbraw/zinc/44/75/43/863447543.db2.gz JDTLSHYGLYIRJV-CYBMUJFWSA-N 1 2 324.425 1.687 20 30 DDEDLO CC[N@H+](Cc1ncccn1)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152854762 863570957 /nfs/dbraw/zinc/57/09/57/863570957.db2.gz DWOYDMVRXAWESS-GFCCVEGCSA-N 1 2 312.377 1.317 20 30 DDEDLO CC[N@@H+](Cc1ncccn1)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152854762 863570964 /nfs/dbraw/zinc/57/09/64/863570964.db2.gz DWOYDMVRXAWESS-GFCCVEGCSA-N 1 2 312.377 1.317 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001153135390 863729142 /nfs/dbraw/zinc/72/91/42/863729142.db2.gz SDMCHUVCEYDZGF-NSHDSACASA-N 1 2 310.398 1.164 20 30 DDEDLO C#CCCCCC(=O)NC1(CCO)C[NH+](C[C@H]2CCCCO2)C1 ZINC001329652727 863772729 /nfs/dbraw/zinc/77/27/29/863772729.db2.gz YSCRYUVSFOYWMF-MRXNPFEDSA-N 1 2 322.449 1.302 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccc(C)n1 ZINC001153302522 863812140 /nfs/dbraw/zinc/81/21/40/863812140.db2.gz OINOOGJBYYHWOI-OAHLLOKOSA-N 1 2 318.421 1.152 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccc(C)n1 ZINC001153302522 863812147 /nfs/dbraw/zinc/81/21/47/863812147.db2.gz OINOOGJBYYHWOI-OAHLLOKOSA-N 1 2 318.421 1.152 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H](C)C(F)(F)F)C2)C1 ZINC001330160140 864114934 /nfs/dbraw/zinc/11/49/34/864114934.db2.gz LLIBDLGBKDNRBO-JSGCOSHPSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H](C)C(F)(F)F)C2)C1 ZINC001330160140 864114940 /nfs/dbraw/zinc/11/49/40/864114940.db2.gz LLIBDLGBKDNRBO-JSGCOSHPSA-N 1 2 318.339 1.511 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2[C@H]3C[N@@H+](Cc4nncs4)C[C@H]32)C1 ZINC001330340327 864252814 /nfs/dbraw/zinc/25/28/14/864252814.db2.gz PGIGNIQXQPNMQQ-XYYAHUGASA-N 1 2 318.446 1.688 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2[C@H]3C[N@H+](Cc4nncs4)C[C@H]32)C1 ZINC001330340327 864252827 /nfs/dbraw/zinc/25/28/27/864252827.db2.gz PGIGNIQXQPNMQQ-XYYAHUGASA-N 1 2 318.446 1.688 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCOCCNC(=O)C(F)F ZINC001331087704 864842017 /nfs/dbraw/zinc/84/20/17/864842017.db2.gz GSNHHACMORIADY-UHFFFAOYSA-N 1 2 315.158 1.225 20 30 DDEDLO C=C(Br)C[N@H+](C)CCOCCNC(=O)C(F)F ZINC001331087704 864842006 /nfs/dbraw/zinc/84/20/06/864842006.db2.gz GSNHHACMORIADY-UHFFFAOYSA-N 1 2 315.158 1.225 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCCC[NH2+][C@@H](C)c1noc(C)n1)OCC ZINC001159148428 865130558 /nfs/dbraw/zinc/13/05/58/865130558.db2.gz GEJYQXKIIRZICA-GXTWGEPZSA-N 1 2 324.425 1.906 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@H+](Cc2cn(C)nn2)CC1 ZINC001159544691 865395478 /nfs/dbraw/zinc/39/54/78/865395478.db2.gz ZAWAVKFCDAJBQW-UHFFFAOYSA-N 1 2 303.410 1.420 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@@H+](Cc2cn(C)nn2)CC1 ZINC001159544691 865395491 /nfs/dbraw/zinc/39/54/91/865395491.db2.gz ZAWAVKFCDAJBQW-UHFFFAOYSA-N 1 2 303.410 1.420 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@H+](Cc2nc(C)no2)CC1 ZINC001159576988 865408475 /nfs/dbraw/zinc/40/84/75/865408475.db2.gz ZCRXJMDLLZTYEV-UHFFFAOYSA-N 1 2 320.393 1.219 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@@H+](Cc2nc(C)no2)CC1 ZINC001159576988 865408480 /nfs/dbraw/zinc/40/84/80/865408480.db2.gz ZCRXJMDLLZTYEV-UHFFFAOYSA-N 1 2 320.393 1.219 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@@H+]([C@H](C)c2ncccn2)CC1 ZINC001159587688 865415800 /nfs/dbraw/zinc/41/58/00/865415800.db2.gz WPUPMBILZLWXRG-ZIAGYGMSSA-N 1 2 313.405 1.836 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@H+]([C@H](C)c2ncccn2)CC1 ZINC001159587688 865415802 /nfs/dbraw/zinc/41/58/02/865415802.db2.gz WPUPMBILZLWXRG-ZIAGYGMSSA-N 1 2 313.405 1.836 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(N(C)C)c(F)c1 ZINC001331975420 865474686 /nfs/dbraw/zinc/47/46/86/865474686.db2.gz GKLGFBIYUHWNJA-LBPRGKRZSA-N 1 2 309.341 1.277 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H](O)C[NH2+]Cc1cnsn1 ZINC001332566818 865938634 /nfs/dbraw/zinc/93/86/34/865938634.db2.gz QRZMZDLQGKRMCC-TZMCWYRMSA-N 1 2 312.439 1.049 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1nocc1C ZINC001332663091 866038381 /nfs/dbraw/zinc/03/83/81/866038381.db2.gz KRTGORDYTNFWJF-WMLDXEAASA-N 1 2 323.437 1.836 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1nocc1C ZINC001332663091 866038394 /nfs/dbraw/zinc/03/83/94/866038394.db2.gz KRTGORDYTNFWJF-WMLDXEAASA-N 1 2 323.437 1.836 20 30 DDEDLO N#Cc1ncc(Cl)nc1N[C@@H]1CCC[C@H]1[NH+]1CCOCC1 ZINC001160723322 866067856 /nfs/dbraw/zinc/06/78/56/866067856.db2.gz KYJAPJWCBBRTTJ-ZYHUDNBSSA-N 1 2 307.785 1.667 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C(=O)OC)c(CC)[nH]2)C1=O ZINC001332707180 866082721 /nfs/dbraw/zinc/08/27/21/866082721.db2.gz IQXFDQBTJTZZFY-HNNXBMFYSA-N 1 2 319.405 1.582 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C(=O)OC)c(CC)[nH]2)C1=O ZINC001332707180 866082734 /nfs/dbraw/zinc/08/27/34/866082734.db2.gz IQXFDQBTJTZZFY-HNNXBMFYSA-N 1 2 319.405 1.582 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CC[N@H+]1Cc1cnc(C)s1 ZINC001323224473 866445736 /nfs/dbraw/zinc/44/57/36/866445736.db2.gz CBADOGZZMCXUQY-ZDUSSCGKSA-N 1 2 307.419 1.182 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CC[N@@H+]1Cc1cnc(C)s1 ZINC001323224473 866445739 /nfs/dbraw/zinc/44/57/39/866445739.db2.gz CBADOGZZMCXUQY-ZDUSSCGKSA-N 1 2 307.419 1.182 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC001320074392 866455867 /nfs/dbraw/zinc/45/58/67/866455867.db2.gz WICXVSHVSJWBDR-AWEZNQCLSA-N 1 2 300.362 1.821 20 30 DDEDLO CC(C)c1occc1C(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001320089520 866463120 /nfs/dbraw/zinc/46/31/20/866463120.db2.gz DJBUXTZKDMQUOV-UHFFFAOYSA-N 1 2 320.393 1.047 20 30 DDEDLO CC(C)c1occc1C(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001320089520 866463128 /nfs/dbraw/zinc/46/31/28/866463128.db2.gz DJBUXTZKDMQUOV-UHFFFAOYSA-N 1 2 320.393 1.047 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2CC(=O)N(CC)CC)CC1 ZINC001323302915 866511115 /nfs/dbraw/zinc/51/11/15/866511115.db2.gz QHKQIGHPGGZTOR-INIZCTEOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2CC(=O)N(CC)CC)CC1 ZINC001323302915 866511123 /nfs/dbraw/zinc/51/11/23/866511123.db2.gz QHKQIGHPGGZTOR-INIZCTEOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CC[N@H+]2[C@H]2CCN(CC)C2=O)C1 ZINC001323302541 866511527 /nfs/dbraw/zinc/51/15/27/866511527.db2.gz PBMDAKYNWHJFBF-KBPBESRZSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CC[N@@H+]2[C@H]2CCN(CC)C2=O)C1 ZINC001323302541 866511536 /nfs/dbraw/zinc/51/15/36/866511536.db2.gz PBMDAKYNWHJFBF-KBPBESRZSA-N 1 2 305.422 1.154 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNc1nc(-c2cccs2)ccc1C#N ZINC001161950137 867130380 /nfs/dbraw/zinc/13/03/80/867130380.db2.gz PIALNDOTNVZQME-LLVKDONJSA-N 1 2 316.386 1.984 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@](C)(NC(=O)C(F)F)C1 ZINC001324571099 867363454 /nfs/dbraw/zinc/36/34/54/867363454.db2.gz JIQZDHHFDWKMNF-OAHLLOKOSA-N 1 2 317.380 1.257 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@](C)(NC(=O)C(F)F)C1 ZINC001324571099 867363461 /nfs/dbraw/zinc/36/34/61/867363461.db2.gz JIQZDHHFDWKMNF-OAHLLOKOSA-N 1 2 317.380 1.257 20 30 DDEDLO C[NH+]1CCC(C#N)(Nc2nc[nH]c3nc(=S)sc2-3)CC1 ZINC001162232064 867365416 /nfs/dbraw/zinc/36/54/16/867365416.db2.gz ZXZLYKYZZBWRLA-UHFFFAOYSA-N 1 2 306.420 1.775 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@]1(C)CC[N@@H+](Cc2nccc(C)n2)C1 ZINC001324604787 867398195 /nfs/dbraw/zinc/39/81/95/867398195.db2.gz BRXJFEVIPGBKCA-DLBZAZTESA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@]1(C)CC[N@H+](Cc2nccc(C)n2)C1 ZINC001324604787 867398214 /nfs/dbraw/zinc/39/82/14/867398214.db2.gz BRXJFEVIPGBKCA-DLBZAZTESA-N 1 2 318.421 1.193 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](C)CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001334365099 867519139 /nfs/dbraw/zinc/51/91/39/867519139.db2.gz FGRLECNZMNACTH-KGLIPLIRSA-N 1 2 318.421 1.375 20 30 DDEDLO C=CCCCN(CC)C(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC001334748827 867809677 /nfs/dbraw/zinc/80/96/77/867809677.db2.gz WRWXFPHDZJYGHL-UHFFFAOYSA-N 1 2 314.389 1.765 20 30 DDEDLO C#C[C@H]([NH2+]Cc1cc(=O)n2cc(C)sc2n1)[C@H]1CCCO1 ZINC001334793113 867832884 /nfs/dbraw/zinc/83/28/84/867832884.db2.gz FBBPVUJISDZVEG-QWHCGFSZSA-N 1 2 303.387 1.335 20 30 DDEDLO Cc1nc(NC[C@H]([NH3+])C(=O)OC(C)(C)C)c(Cl)cc1C#N ZINC001162856044 867878318 /nfs/dbraw/zinc/87/83/18/867878318.db2.gz UNVXMFKYCVWUSB-NSHDSACASA-N 1 2 310.785 1.996 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC001325623436 868192154 /nfs/dbraw/zinc/19/21/54/868192154.db2.gz WFGHAIRVSUNUEQ-AWEZNQCLSA-N 1 2 318.421 1.284 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[N@H+]([C@@H](C)c3csnn3)C[C@@H]2C1 ZINC001325720712 868289623 /nfs/dbraw/zinc/28/96/23/868289623.db2.gz LIVPSLRZSDMJDG-RWMBFGLXSA-N 1 2 306.435 1.956 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[N@@H+]([C@@H](C)c3csnn3)C[C@@H]2C1 ZINC001325720712 868289635 /nfs/dbraw/zinc/28/96/35/868289635.db2.gz LIVPSLRZSDMJDG-RWMBFGLXSA-N 1 2 306.435 1.956 20 30 DDEDLO CC(=O)C=C(C)Nc1cc(C(=O)N2CC[NH+](C)CC2)ccn1 ZINC001163733435 868664598 /nfs/dbraw/zinc/66/45/98/868664598.db2.gz PDDDISKUYMSEFR-BENRWUELSA-N 1 2 302.378 1.374 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC001336345810 868885320 /nfs/dbraw/zinc/88/53/20/868885320.db2.gz DMRYBOSXUTZGDE-GOEBONIOSA-N 1 2 315.417 1.760 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC001336345810 868885323 /nfs/dbraw/zinc/88/53/23/868885323.db2.gz DMRYBOSXUTZGDE-GOEBONIOSA-N 1 2 315.417 1.760 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@](CO)([NH2+]Cc2nnc(C)s2)C1 ZINC001281103341 869353927 /nfs/dbraw/zinc/35/39/27/869353927.db2.gz FBFGIPNWJZSHSQ-OAHLLOKOSA-N 1 2 324.450 1.256 20 30 DDEDLO N#Cc1ccc2cc[nH+]c(N3CCC4(CC3)COCC(=O)N4)c2c1 ZINC001165346712 869534247 /nfs/dbraw/zinc/53/42/47/869534247.db2.gz WUDNTQRCCIKIJO-UHFFFAOYSA-N 1 2 322.368 1.592 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H]1C ZINC001337944540 869707552 /nfs/dbraw/zinc/70/75/52/869707552.db2.gz IZFQGEYHCXIBPE-DZGCQCFKSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CCOCc1nn(C)c2c1CN(c1cc(N)nc[nH+]1)CC2 ZINC001165787872 869712543 /nfs/dbraw/zinc/71/25/43/869712543.db2.gz KPVZGQODZRUNDL-UHFFFAOYSA-N 1 2 300.366 1.058 20 30 DDEDLO N#Cc1cc(C[NH2+]CCCNC(=O)c2[nH]ncc2F)ccc1F ZINC001166112451 869843668 /nfs/dbraw/zinc/84/36/68/869843668.db2.gz ZQTUDIQOPOXAMO-UHFFFAOYSA-N 1 2 319.315 1.469 20 30 DDEDLO C=CCCC(=O)N[C@H](CNC(=O)Cc1[nH]c[nH+]c1C)C(C)C ZINC001297996470 870233758 /nfs/dbraw/zinc/23/37/58/870233758.db2.gz PGDOZJPKGQCRHH-CQSZACIVSA-N 1 2 306.410 1.484 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C[C@@H](C)O)C1CC1 ZINC001339090984 870339576 /nfs/dbraw/zinc/33/95/76/870339576.db2.gz JMRLNHUSKDDMJC-GFCCVEGCSA-N 1 2 316.409 1.138 20 30 DDEDLO CC(C)C[C@H](C(=O)NC[C@H]1CCCCN1CC#N)n1cc[nH+]c1 ZINC001317173653 870413940 /nfs/dbraw/zinc/41/39/40/870413940.db2.gz PUUYBZFSCYOTTA-HZPDHXFCSA-N 1 2 317.437 1.965 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001339265668 870427556 /nfs/dbraw/zinc/42/75/56/870427556.db2.gz GOQHIZZTNDPALD-UHFFFAOYSA-N 1 2 304.394 1.270 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C2CC[NH+](CCC#N)CC2)n1C ZINC001339750885 870671409 /nfs/dbraw/zinc/67/14/09/870671409.db2.gz TWTACBZMQGUJCU-CYBMUJFWSA-N 1 2 300.410 1.366 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]([N@H+](C)Cc2cnns2)C1 ZINC001317373297 870729614 /nfs/dbraw/zinc/72/96/14/870729614.db2.gz MQEOMHRNKRNYOY-DOMZBBRYSA-N 1 2 324.450 1.288 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]([N@@H+](C)Cc2cnns2)C1 ZINC001317373297 870729616 /nfs/dbraw/zinc/72/96/16/870729616.db2.gz MQEOMHRNKRNYOY-DOMZBBRYSA-N 1 2 324.450 1.288 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001226455697 882441158 /nfs/dbraw/zinc/44/11/58/882441158.db2.gz HLBLOJOJEATAQX-GFCCVEGCSA-N 1 2 322.409 1.440 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001299088506 870887610 /nfs/dbraw/zinc/88/76/10/870887610.db2.gz NNOVVEBGVLSISJ-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCN1CC[N@@H+](C)C2(CCN(Cc3[nH]cnc3C)CC2)C1=O ZINC001204592121 871165877 /nfs/dbraw/zinc/16/58/77/871165877.db2.gz VTNLBQDXHWCQJY-UHFFFAOYSA-N 1 2 317.437 1.013 20 30 DDEDLO C=CCN1CC[N@H+](C)C2(CCN(Cc3[nH]cnc3C)CC2)C1=O ZINC001204592121 871165885 /nfs/dbraw/zinc/16/58/85/871165885.db2.gz VTNLBQDXHWCQJY-UHFFFAOYSA-N 1 2 317.437 1.013 20 30 DDEDLO N#Cc1cnn(-c2ncccn2)c1Nc1ccn2cc[nH+]c2c1 ZINC001204959841 871300804 /nfs/dbraw/zinc/30/08/04/871300804.db2.gz WZLGZVNRROVIEM-UHFFFAOYSA-N 1 2 302.301 1.925 20 30 DDEDLO C#CCOc1cccc(NC(=O)NCC[N@@H+]2CCO[C@@H](C)C2)c1 ZINC001340783358 871347520 /nfs/dbraw/zinc/34/75/20/871347520.db2.gz NUQJMDHVMXONFR-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CCOc1cccc(NC(=O)NCC[N@H+]2CCO[C@@H](C)C2)c1 ZINC001340783358 871347538 /nfs/dbraw/zinc/34/75/38/871347538.db2.gz NUQJMDHVMXONFR-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)CC23CCC(CC2)CC3)C1 ZINC001309844833 871603836 /nfs/dbraw/zinc/60/38/36/871603836.db2.gz VHUHOJSUXAAZPU-AQFXKWCLSA-N 1 2 324.490 1.974 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)CC23CCC(CC2)CC3)C1 ZINC001309844833 871603843 /nfs/dbraw/zinc/60/38/43/871603843.db2.gz VHUHOJSUXAAZPU-AQFXKWCLSA-N 1 2 324.490 1.974 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001317969030 871658526 /nfs/dbraw/zinc/65/85/26/871658526.db2.gz NSFMQECIAWEGKD-HNNXBMFYSA-N 1 2 307.438 1.143 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001317969030 871658534 /nfs/dbraw/zinc/65/85/34/871658534.db2.gz NSFMQECIAWEGKD-HNNXBMFYSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@@H](C)CC(C)C)C1 ZINC001317987895 871673978 /nfs/dbraw/zinc/67/39/78/871673978.db2.gz NWUBXNDIFRBVMI-HOTGVXAUSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H](C)CC(C)C)C1 ZINC001317987895 871673984 /nfs/dbraw/zinc/67/39/84/871673984.db2.gz NWUBXNDIFRBVMI-HOTGVXAUSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1oc(C)nc1C ZINC001318197362 871816340 /nfs/dbraw/zinc/81/63/40/871816340.db2.gz BMKNPZMJPIQMAS-QEJZJMRPSA-N 1 2 317.389 1.115 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1oc(C)nc1C ZINC001318197362 871816353 /nfs/dbraw/zinc/81/63/53/871816353.db2.gz BMKNPZMJPIQMAS-QEJZJMRPSA-N 1 2 317.389 1.115 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CCCCOC)CC2)C1 ZINC001328486376 871836668 /nfs/dbraw/zinc/83/66/68/871836668.db2.gz ZLRDTHGUCPCHRF-UHFFFAOYSA-N 1 2 308.422 1.130 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CCCCOC)CC2)C1 ZINC001328486376 871836688 /nfs/dbraw/zinc/83/66/88/871836688.db2.gz ZLRDTHGUCPCHRF-UHFFFAOYSA-N 1 2 308.422 1.130 20 30 DDEDLO C=CCO[C@@H]1CCN(c2nc(NCC)[nH+]c(NC(C)(C)C)n2)C1 ZINC001341820839 871843876 /nfs/dbraw/zinc/84/38/76/871843876.db2.gz TWBLUIXCXKHLPI-GFCCVEGCSA-N 1 2 320.441 1.717 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](Cc2ccc(S(=O)(=O)N(C)C)o2)C1 ZINC001342229339 872086501 /nfs/dbraw/zinc/08/65/01/872086501.db2.gz TTYPLLRROKACPC-GFCCVEGCSA-N 1 2 314.407 1.307 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](Cc2ccc(S(=O)(=O)N(C)C)o2)C1 ZINC001342229339 872086524 /nfs/dbraw/zinc/08/65/24/872086524.db2.gz TTYPLLRROKACPC-GFCCVEGCSA-N 1 2 314.407 1.307 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC)[C@@H]1CC[N@H+](Cc2coc(C)n2)C1 ZINC001318469516 872092041 /nfs/dbraw/zinc/09/20/41/872092041.db2.gz FBHFFPLIGSONTP-XJKSGUPXSA-N 1 2 319.405 1.444 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC)[C@@H]1CC[N@@H+](Cc2coc(C)n2)C1 ZINC001318469516 872092057 /nfs/dbraw/zinc/09/20/57/872092057.db2.gz FBHFFPLIGSONTP-XJKSGUPXSA-N 1 2 319.405 1.444 20 30 DDEDLO C#Cc1cncc(C(=O)NCCC[N@@H+](CC)Cc2cnon2)c1 ZINC001316900832 872280629 /nfs/dbraw/zinc/28/06/29/872280629.db2.gz QRSGIWMXIFTUSY-UHFFFAOYSA-N 1 2 313.361 1.088 20 30 DDEDLO C#Cc1cncc(C(=O)NCCC[N@H+](CC)Cc2cnon2)c1 ZINC001316900832 872280644 /nfs/dbraw/zinc/28/06/44/872280644.db2.gz QRSGIWMXIFTUSY-UHFFFAOYSA-N 1 2 313.361 1.088 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](NC(=O)c2ccoc2C)C1 ZINC001316936845 872413584 /nfs/dbraw/zinc/41/35/84/872413584.db2.gz BAEXMYANRDPVRZ-GXTWGEPZSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](NC(=O)c2ccoc2C)C1 ZINC001316936845 872413594 /nfs/dbraw/zinc/41/35/94/872413594.db2.gz BAEXMYANRDPVRZ-GXTWGEPZSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCN(C)c1nnc(C[NH+]2CCC(CO)CC2)n1CC(C)C ZINC001342927818 872441260 /nfs/dbraw/zinc/44/12/60/872441260.db2.gz UWSLODNXSVADSU-UHFFFAOYSA-N 1 2 321.469 1.761 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)Cc2ccc(C)c(C)c2)C1 ZINC001319334013 872584650 /nfs/dbraw/zinc/58/46/50/872584650.db2.gz WNKXXBYKZJSJLA-KRWDZBQOSA-N 1 2 302.418 1.849 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)Cc2ccc(C)c(C)c2)C1 ZINC001319334013 872584652 /nfs/dbraw/zinc/58/46/52/872584652.db2.gz WNKXXBYKZJSJLA-KRWDZBQOSA-N 1 2 302.418 1.849 20 30 DDEDLO C#CC[N@H+](CC1CC1)Cn1cc(Br)c([N+](=O)[O-])n1 ZINC001319460678 872644145 /nfs/dbraw/zinc/64/41/45/872644145.db2.gz DAXAXLVVKZTGAW-UHFFFAOYSA-N 1 2 313.155 1.857 20 30 DDEDLO C#CC[N@@H+](CC1CC1)Cn1cc(Br)c([N+](=O)[O-])n1 ZINC001319460678 872644153 /nfs/dbraw/zinc/64/41/53/872644153.db2.gz DAXAXLVVKZTGAW-UHFFFAOYSA-N 1 2 313.155 1.857 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCC(CO)CC2)nnc1N(C)C1CC1 ZINC001343581234 872678377 /nfs/dbraw/zinc/67/83/77/872678377.db2.gz NVEOKIJQJRTNKJ-UHFFFAOYSA-N 1 2 319.453 1.657 20 30 DDEDLO C=CCN(CC[N@@H+]1CC[C@@H](O)[C@@H](F)C1)C(=O)OC(C)(C)C ZINC001207198432 873116023 /nfs/dbraw/zinc/11/60/23/873116023.db2.gz CSZQFMZFMQVNMN-QWHCGFSZSA-N 1 2 302.390 1.814 20 30 DDEDLO C=CCN(CC[N@H+]1CC[C@@H](O)[C@@H](F)C1)C(=O)OC(C)(C)C ZINC001207198432 873116032 /nfs/dbraw/zinc/11/60/32/873116032.db2.gz CSZQFMZFMQVNMN-QWHCGFSZSA-N 1 2 302.390 1.814 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](CC)OCC)C2)C1 ZINC001276531656 873249956 /nfs/dbraw/zinc/24/99/56/873249956.db2.gz DKMDVKMSSUFBFK-DOTOQJQBSA-N 1 2 308.422 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](CC)OCC)C2)C1 ZINC001276531656 873249969 /nfs/dbraw/zinc/24/99/69/873249969.db2.gz DKMDVKMSSUFBFK-DOTOQJQBSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3C[C@H]3C#N)n2CC2CC2)CC1 ZINC001346495485 873777668 /nfs/dbraw/zinc/77/76/68/873777668.db2.gz IDGPEEDCFCEVOT-LSDHHAIUSA-N 1 2 310.405 1.070 20 30 DDEDLO COCC#CC[NH2+][C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001208083052 873817281 /nfs/dbraw/zinc/81/72/81/873817281.db2.gz AVFHEEPMQTXLMW-QWRGUYRKSA-N 1 2 310.291 1.117 20 30 DDEDLO COCC#CC[NH2+][C@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001208083052 873817285 /nfs/dbraw/zinc/81/72/85/873817285.db2.gz AVFHEEPMQTXLMW-QWRGUYRKSA-N 1 2 310.291 1.117 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)n(C)n2)C[C@H]1C ZINC001208309285 873987872 /nfs/dbraw/zinc/98/78/72/873987872.db2.gz HJOCGICULSCKLZ-IUODEOHRSA-N 1 2 304.438 1.877 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)n(C)n2)C[C@H]1C ZINC001208309285 873987879 /nfs/dbraw/zinc/98/78/79/873987879.db2.gz HJOCGICULSCKLZ-IUODEOHRSA-N 1 2 304.438 1.877 20 30 DDEDLO COCC#CC(=O)Nc1ccccc1C[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC001347323256 874098228 /nfs/dbraw/zinc/09/82/28/874098228.db2.gz NOZZWPUULITEMM-HUUCEWRRSA-N 1 2 316.401 1.884 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1CC(C)C ZINC001347367727 874113997 /nfs/dbraw/zinc/11/39/97/874113997.db2.gz NFKJKZWUKRMPJU-HNNXBMFYSA-N 1 2 319.453 1.785 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1CC(C)C ZINC001347367727 874114006 /nfs/dbraw/zinc/11/40/06/874114006.db2.gz NFKJKZWUKRMPJU-HNNXBMFYSA-N 1 2 319.453 1.785 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001378190157 874383542 /nfs/dbraw/zinc/38/35/42/874383542.db2.gz SCZJPEQPUINDMS-UONOGXRCSA-N 1 2 315.845 1.432 20 30 DDEDLO N#CC1(CNC(=O)NCCCCn2cc[nH+]c2)CCOCC1 ZINC001348067929 874350585 /nfs/dbraw/zinc/35/05/85/874350585.db2.gz HLJILZIRGPQWGG-UHFFFAOYSA-N 1 2 305.382 1.283 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001378190157 874383528 /nfs/dbraw/zinc/38/35/28/874383528.db2.gz SCZJPEQPUINDMS-UONOGXRCSA-N 1 2 315.845 1.432 20 30 DDEDLO CC#CCN(C)C(=O)C(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC001348207056 874423092 /nfs/dbraw/zinc/42/30/92/874423092.db2.gz GHAPQLSMVDIPJA-UHFFFAOYSA-N 1 2 317.389 1.147 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1C[C@H]1CCC=CO1 ZINC001208876491 874499494 /nfs/dbraw/zinc/49/94/94/874499494.db2.gz IMCAGRSGQGWDNH-UKRRQHHQSA-N 1 2 304.394 1.610 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1C[C@H]1CCC=CO1 ZINC001208876491 874499505 /nfs/dbraw/zinc/49/95/05/874499505.db2.gz IMCAGRSGQGWDNH-UKRRQHHQSA-N 1 2 304.394 1.610 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COCc2cccnc2)C1 ZINC001210653391 875474878 /nfs/dbraw/zinc/47/48/78/875474878.db2.gz PEPFQZCZGRGOQF-IUODEOHRSA-N 1 2 323.824 1.787 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)COCc2cccnc2)C1 ZINC001210653391 875474883 /nfs/dbraw/zinc/47/48/83/875474883.db2.gz PEPFQZCZGRGOQF-IUODEOHRSA-N 1 2 323.824 1.787 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001350713787 875852153 /nfs/dbraw/zinc/85/21/53/875852153.db2.gz ZPOBIROGXQBRDX-CYBMUJFWSA-N 1 2 304.394 1.155 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)COC2CCC(C)CC2)[C@H](OC)C1 ZINC001213979686 876121872 /nfs/dbraw/zinc/12/18/72/876121872.db2.gz DOIXEBLLKFPWAX-QDIHITRGSA-N 1 2 308.422 1.030 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)COC2CCC(C)CC2)[C@H](OC)C1 ZINC001213979686 876121879 /nfs/dbraw/zinc/12/18/79/876121879.db2.gz DOIXEBLLKFPWAX-QDIHITRGSA-N 1 2 308.422 1.030 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+][C@H](C)c2nc(COC)no2)C1 ZINC001214303829 876270459 /nfs/dbraw/zinc/27/04/59/876270459.db2.gz BJSQEPORZLNLSC-JHJVBQTASA-N 1 2 322.409 1.680 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)C1CC(C)(C)C1 ZINC001351606534 876345283 /nfs/dbraw/zinc/34/52/83/876345283.db2.gz ZOABCDQSDMHECE-KBPBESRZSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)C1CC(C)(C)C1 ZINC001351606534 876345296 /nfs/dbraw/zinc/34/52/96/876345296.db2.gz ZOABCDQSDMHECE-KBPBESRZSA-N 1 2 319.453 1.826 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)CN(C)C(=O)c1c[nH]c(C#N)c1)c1csnn1 ZINC001379096458 876385667 /nfs/dbraw/zinc/38/56/67/876385667.db2.gz OVKJNCPPXUABSF-VHSXEESVSA-N 1 2 318.406 1.549 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N(C)CCC ZINC001351723088 876401931 /nfs/dbraw/zinc/40/19/31/876401931.db2.gz LYJHVNGNNHAQBE-UHFFFAOYSA-N 1 2 307.442 1.515 20 30 DDEDLO C=C[C@H](COC)NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC001352230542 876655350 /nfs/dbraw/zinc/65/53/50/876655350.db2.gz XTMWOBWCNSISKJ-ZBFHGGJFSA-N 1 2 304.390 1.587 20 30 DDEDLO C=C[C@H](COC)NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC001352230542 876655353 /nfs/dbraw/zinc/65/53/53/876655353.db2.gz XTMWOBWCNSISKJ-ZBFHGGJFSA-N 1 2 304.390 1.587 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1ccnc2c1nnn2C ZINC001379721856 877827445 /nfs/dbraw/zinc/82/74/45/877827445.db2.gz GSLYXYIZJUVRJM-JTQLQIEISA-N 1 2 322.800 1.166 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1ccnc2c1nnn2C ZINC001379721856 877827452 /nfs/dbraw/zinc/82/74/52/877827452.db2.gz GSLYXYIZJUVRJM-JTQLQIEISA-N 1 2 322.800 1.166 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+]1CC(=O)N1CCC(C)CC1 ZINC001276800235 877836892 /nfs/dbraw/zinc/83/68/92/877836892.db2.gz IGMQCZFZGYULHL-OAHLLOKOSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+]1CC(=O)N1CCC(C)CC1 ZINC001276800235 877836907 /nfs/dbraw/zinc/83/69/07/877836907.db2.gz IGMQCZFZGYULHL-OAHLLOKOSA-N 1 2 307.438 1.402 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@@H]1O ZINC001219532384 878304619 /nfs/dbraw/zinc/30/46/19/878304619.db2.gz XBVLBHVRTYVDKG-CVEARBPZSA-N 1 2 304.365 1.291 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@@H]1O ZINC001219532384 878304634 /nfs/dbraw/zinc/30/46/34/878304634.db2.gz XBVLBHVRTYVDKG-CVEARBPZSA-N 1 2 304.365 1.291 20 30 DDEDLO N#Cc1cc(C[N@@H+]2C[C@@H](NC(=O)CCCF)[C@@H](O)C2)ccc1F ZINC001220160766 878760254 /nfs/dbraw/zinc/76/02/54/878760254.db2.gz GEBKNYYXBZWFGN-CABCVRRESA-N 1 2 323.343 1.108 20 30 DDEDLO N#Cc1cc(C[N@H+]2C[C@@H](NC(=O)CCCF)[C@@H](O)C2)ccc1F ZINC001220160766 878760269 /nfs/dbraw/zinc/76/02/69/878760269.db2.gz GEBKNYYXBZWFGN-CABCVRRESA-N 1 2 323.343 1.108 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001355892817 878767160 /nfs/dbraw/zinc/76/71/60/878767160.db2.gz LIQXYXZIVBLNAN-UHFFFAOYSA-N 1 2 304.394 1.273 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001355892817 878767176 /nfs/dbraw/zinc/76/71/76/878767176.db2.gz LIQXYXZIVBLNAN-UHFFFAOYSA-N 1 2 304.394 1.273 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001355956889 878795006 /nfs/dbraw/zinc/79/50/06/878795006.db2.gz BBTBBUIYHPUAKR-GRDNDAEWSA-N 1 2 317.437 1.224 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC(=C)C ZINC001355956889 878795023 /nfs/dbraw/zinc/79/50/23/878795023.db2.gz BBTBBUIYHPUAKR-GRDNDAEWSA-N 1 2 317.437 1.224 20 30 DDEDLO C=C(C)CN(C)c1nnc(C[NH+]2CCC(CO)CC2)n1CC ZINC001356512244 879083613 /nfs/dbraw/zinc/08/36/13/879083613.db2.gz PXCPCKMTFFNFHD-UHFFFAOYSA-N 1 2 307.442 1.515 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cccc(F)c2)C[C@@H]1O ZINC001221143714 879528654 /nfs/dbraw/zinc/52/86/54/879528654.db2.gz OCUBRRHBMCVPLQ-MAZHCROVSA-N 1 2 322.380 1.078 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(F)c2)C[C@@H]1O ZINC001221143714 879528661 /nfs/dbraw/zinc/52/86/61/879528661.db2.gz OCUBRRHBMCVPLQ-MAZHCROVSA-N 1 2 322.380 1.078 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](CC)NC(=O)Cc1c[nH+]cn1C ZINC001356921743 879592320 /nfs/dbraw/zinc/59/23/20/879592320.db2.gz SZSQLOQXJQFMRK-CXAGYDPISA-N 1 2 320.437 1.576 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H]3CN(C(=O)CSCC#N)[C@@H]3C2)o1 ZINC001221291490 879657368 /nfs/dbraw/zinc/65/73/68/879657368.db2.gz IPWISGNVKIRVKY-TZMCWYRMSA-N 1 2 320.418 1.273 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H]3CN(C(=O)CSCC#N)[C@@H]3C2)o1 ZINC001221291490 879657390 /nfs/dbraw/zinc/65/73/90/879657390.db2.gz IPWISGNVKIRVKY-TZMCWYRMSA-N 1 2 320.418 1.273 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@@](C)(CNC(=O)[C@H](C)C#N)C2)n1 ZINC001380464613 879704071 /nfs/dbraw/zinc/70/40/71/879704071.db2.gz UPCRPJPKIPWGQW-ABAIWWIYSA-N 1 2 305.382 1.256 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@@](C)(CNC(=O)[C@H](C)C#N)C2)n1 ZINC001380464613 879704079 /nfs/dbraw/zinc/70/40/79/879704079.db2.gz UPCRPJPKIPWGQW-ABAIWWIYSA-N 1 2 305.382 1.256 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001357033320 879728107 /nfs/dbraw/zinc/72/81/07/879728107.db2.gz PWJPBNVXMLATRA-STQMWFEESA-N 1 2 320.437 1.731 20 30 DDEDLO C#CCCCC(=O)N[C@@H](CNC(=O)Cc1[nH]c[nH+]c1C)C(C)C ZINC001357108494 879796895 /nfs/dbraw/zinc/79/68/95/879796895.db2.gz LBJYIRRUMKMWPY-HNNXBMFYSA-N 1 2 318.421 1.321 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccc(F)cn3)C[C@H]21 ZINC001221443134 879808553 /nfs/dbraw/zinc/80/85/53/879808553.db2.gz NPXHPTDPEAWUKH-CZUORRHYSA-N 1 2 319.380 1.456 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@H+](Cc3ccc(F)cn3)C[C@H]21 ZINC001221443134 879808574 /nfs/dbraw/zinc/80/85/74/879808574.db2.gz NPXHPTDPEAWUKH-CZUORRHYSA-N 1 2 319.380 1.456 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@@H](C)CC)C[C@H]21 ZINC001221514309 879903932 /nfs/dbraw/zinc/90/39/32/879903932.db2.gz RQERFCHKBXYRFA-ARFHVFGLSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@@H](C)CC)C[C@H]21 ZINC001221514309 879903941 /nfs/dbraw/zinc/90/39/41/879903941.db2.gz RQERFCHKBXYRFA-ARFHVFGLSA-N 1 2 319.449 1.237 20 30 DDEDLO C=CCCC(=O)NC/C=C\CNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001357655789 880118819 /nfs/dbraw/zinc/11/88/19/880118819.db2.gz YBTRHLVTKXFCKC-ZRUQZJFASA-N 1 2 316.405 1.200 20 30 DDEDLO C=C(C)CCC(=O)NC/C=C\CNC(=O)Cc1c[nH+]cn1C ZINC001357879126 880237641 /nfs/dbraw/zinc/23/76/41/880237641.db2.gz TVMXEPCSLJOVCC-PLNGDYQASA-N 1 2 304.394 1.108 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)[C@@H]2CC2(C)C)C1 ZINC001380728681 880285704 /nfs/dbraw/zinc/28/57/04/880285704.db2.gz HLIIAVUYTVCCSX-SWLSCSKDSA-N 1 2 300.830 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)[C@@H]2CC2(C)C)C1 ZINC001380728681 880285718 /nfs/dbraw/zinc/28/57/18/880285718.db2.gz HLIIAVUYTVCCSX-SWLSCSKDSA-N 1 2 300.830 1.728 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001358360031 880411025 /nfs/dbraw/zinc/41/10/25/880411025.db2.gz KZUUYAKPHGSMIM-MLGOLLRUSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001358360031 880411033 /nfs/dbraw/zinc/41/10/33/880411033.db2.gz KZUUYAKPHGSMIM-MLGOLLRUSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001358517616 880478163 /nfs/dbraw/zinc/47/81/63/880478163.db2.gz UGUWIMQRGHQOAB-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC001358627503 880640610 /nfs/dbraw/zinc/64/06/10/880640610.db2.gz KFSNRPQCUJDQHF-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCN1C(=O)Cc1c[nH+]cn1C ZINC001358634959 880655780 /nfs/dbraw/zinc/65/57/80/880655780.db2.gz VTXBYWXFJNIFPW-CYBMUJFWSA-N 1 2 304.394 1.036 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(F)c1 ZINC001276854420 880766074 /nfs/dbraw/zinc/76/60/74/880766074.db2.gz WCYCZEURDYUWAR-CJNGLKHVSA-N 1 2 304.365 1.555 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(F)c1 ZINC001276854420 880766081 /nfs/dbraw/zinc/76/60/81/880766081.db2.gz WCYCZEURDYUWAR-CJNGLKHVSA-N 1 2 304.365 1.555 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(C)C(=O)CCCn2cc[nH+]c2)C1 ZINC001358737221 880833609 /nfs/dbraw/zinc/83/36/09/880833609.db2.gz AUHQTFZPTQPPEG-UHFFFAOYSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001358749015 880854806 /nfs/dbraw/zinc/85/48/06/880854806.db2.gz XXWFYZMITOYMED-INIZCTEOSA-N 1 2 304.394 1.224 20 30 DDEDLO C=C(C)CCC(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001223352467 880925932 /nfs/dbraw/zinc/92/59/32/880925932.db2.gz DNNDYBGGIBRXQU-UHFFFAOYSA-N 1 2 305.426 1.500 20 30 DDEDLO C=CCCC(=O)N(CCN(C)C(=O)Cn1cc[nH+]c1)C(C)C ZINC001281285990 881058307 /nfs/dbraw/zinc/05/83/07/881058307.db2.gz FWJOKDRRKFKBCL-UHFFFAOYSA-N 1 2 306.410 1.545 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+](CCNC(=O)C2CC2)CC1 ZINC001414026267 881071503 /nfs/dbraw/zinc/07/15/03/881071503.db2.gz SSTJVPDUUVWTCI-UHFFFAOYSA-N 1 2 316.380 1.346 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CCSC(C)C)CC1 ZINC001359029839 881159662 /nfs/dbraw/zinc/15/96/62/881159662.db2.gz IUFFVPDNABXJQM-UHFFFAOYSA-N 1 2 307.467 1.483 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3cccnc3)n2CCC)CC1 ZINC001359037488 881165379 /nfs/dbraw/zinc/16/53/79/881165379.db2.gz LIMOUXBCRHCPAJ-UHFFFAOYSA-N 1 2 324.432 1.429 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001288010418 912663673 /nfs/dbraw/zinc/66/36/73/912663673.db2.gz PQXXIUNXYJCCHG-CABCVRRESA-N 1 2 316.405 1.038 20 30 DDEDLO C[C@@H](NC(=O)c1ncc(C#N)cc1Cl)[C@@H](C)[NH+]1CCOCC1 ZINC001362262455 883433188 /nfs/dbraw/zinc/43/31/88/883433188.db2.gz YQLJNHXTHHIGGL-GHMZBOCLSA-N 1 2 322.796 1.446 20 30 DDEDLO CC#CCCCC(=O)NC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001228718577 883639927 /nfs/dbraw/zinc/63/99/27/883639927.db2.gz QIEFEFCTLOJMEZ-UHFFFAOYSA-N 1 2 304.394 1.652 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)Cc2c[nH+]cn2Cc2ccccc2)C1 ZINC001362359291 883641693 /nfs/dbraw/zinc/64/16/93/883641693.db2.gz XHDNTTWRGAEYGZ-QGZVFWFLSA-N 1 2 312.348 1.938 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1nnn(C)n1 ZINC001228828671 883691921 /nfs/dbraw/zinc/69/19/21/883691921.db2.gz HPIZJSPUBMFJTL-CHWSQXEVSA-N 1 2 320.441 1.329 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc4[nH]c(=S)[nH]c4c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229631087 884086002 /nfs/dbraw/zinc/08/60/02/884086002.db2.gz QDOFXDHTBWCDST-UBYSCORNSA-N 1 2 303.387 1.843 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc4[nH]c(=S)[nH]c4c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229631087 884086018 /nfs/dbraw/zinc/08/60/18/884086018.db2.gz QDOFXDHTBWCDST-UBYSCORNSA-N 1 2 303.387 1.843 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H]1CCNC1=O ZINC001277425542 884196235 /nfs/dbraw/zinc/19/62/35/884196235.db2.gz GOXGAHJEQAAKOS-OWCLPIDISA-N 1 2 317.433 1.134 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H]1CCNC1=O ZINC001277425542 884196239 /nfs/dbraw/zinc/19/62/39/884196239.db2.gz GOXGAHJEQAAKOS-OWCLPIDISA-N 1 2 317.433 1.134 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCCCC ZINC001230781678 884869992 /nfs/dbraw/zinc/86/99/92/884869992.db2.gz MNZYBCUFCNJKQF-HUUCEWRRSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCCCC ZINC001230781678 884869999 /nfs/dbraw/zinc/86/99/99/884869999.db2.gz MNZYBCUFCNJKQF-HUUCEWRRSA-N 1 2 307.438 1.237 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCCCC ZINC001230782488 884870720 /nfs/dbraw/zinc/87/07/20/884870720.db2.gz YMUJGZZWNCHJEW-CABCVRRESA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCCCC ZINC001230782488 884870736 /nfs/dbraw/zinc/87/07/36/884870736.db2.gz YMUJGZZWNCHJEW-CABCVRRESA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC1=CCCCC1 ZINC001231198848 885368143 /nfs/dbraw/zinc/36/81/43/885368143.db2.gz UFQAHZSPBJDGRF-MRXNPFEDSA-N 1 2 319.449 1.712 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1=CCCCC1 ZINC001231198848 885368163 /nfs/dbraw/zinc/36/81/63/885368163.db2.gz UFQAHZSPBJDGRF-MRXNPFEDSA-N 1 2 319.449 1.712 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)ns1 ZINC001231240778 885418494 /nfs/dbraw/zinc/41/84/94/885418494.db2.gz OBKCBTVAWRWCSP-ZDUSSCGKSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)ns1 ZINC001231240778 885418497 /nfs/dbraw/zinc/41/84/97/885418497.db2.gz OBKCBTVAWRWCSP-ZDUSSCGKSA-N 1 2 309.435 1.687 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2cc3cc[nH]c3cn2)CC1 ZINC001231668739 885791624 /nfs/dbraw/zinc/79/16/24/885791624.db2.gz GTKXLJVPYWYYLK-UHFFFAOYSA-N 1 2 319.372 1.547 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2cc(OC)ccn2)C1 ZINC001231972311 885981924 /nfs/dbraw/zinc/98/19/24/885981924.db2.gz JZAQELRVXSCMRN-ZDUSSCGKSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2cc(OC)ccn2)C1 ZINC001231972311 885981933 /nfs/dbraw/zinc/98/19/33/885981933.db2.gz JZAQELRVXSCMRN-ZDUSSCGKSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)N(CC)C(=O)Cn1cc[nH+]c1 ZINC001288624347 913011063 /nfs/dbraw/zinc/01/10/63/913011063.db2.gz QRKTXRVBRHWNFJ-CQSZACIVSA-N 1 2 320.437 1.839 20 30 DDEDLO C=CCCC[N@@H+]1CCc2c([nH]nc2C(=O)NC2(C#N)CCC2)C1 ZINC001277779462 886855900 /nfs/dbraw/zinc/85/59/00/886855900.db2.gz HVRJELKQFZGSHW-UHFFFAOYSA-N 1 2 313.405 1.910 20 30 DDEDLO C=CCCC[N@H+]1CCc2c([nH]nc2C(=O)NC2(C#N)CCC2)C1 ZINC001277779462 886855917 /nfs/dbraw/zinc/85/59/17/886855917.db2.gz HVRJELKQFZGSHW-UHFFFAOYSA-N 1 2 313.405 1.910 20 30 DDEDLO C=CCCC[N@@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001277779462 886855933 /nfs/dbraw/zinc/85/59/33/886855933.db2.gz HVRJELKQFZGSHW-UHFFFAOYSA-N 1 2 313.405 1.910 20 30 DDEDLO C=CCCC[N@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001277779462 886855949 /nfs/dbraw/zinc/85/59/49/886855949.db2.gz HVRJELKQFZGSHW-UHFFFAOYSA-N 1 2 313.405 1.910 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](N3CCOCC3)[C@@H](F)C2)c(O)c1 ZINC001233388341 886972974 /nfs/dbraw/zinc/97/29/74/886972974.db2.gz VRIFIKJGHOZBQC-JKSUJKDBSA-N 1 2 319.380 1.508 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cn2cccnc2n1 ZINC001374512013 913108866 /nfs/dbraw/zinc/10/88/66/913108866.db2.gz DDUQXHIZNFHYSY-UHFFFAOYSA-N 1 2 307.785 1.486 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cn2cccnc2n1 ZINC001374512013 913108872 /nfs/dbraw/zinc/10/88/72/913108872.db2.gz DDUQXHIZNFHYSY-UHFFFAOYSA-N 1 2 307.785 1.486 20 30 DDEDLO CCOc1cc(Cl)nnc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001233686781 887227395 /nfs/dbraw/zinc/22/73/95/887227395.db2.gz SJQRNIXHWBAJER-UHSPONAGSA-N 1 2 311.769 1.520 20 30 DDEDLO CCOc1cc(Cl)nnc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001233686781 887227414 /nfs/dbraw/zinc/22/74/14/887227414.db2.gz SJQRNIXHWBAJER-UHSPONAGSA-N 1 2 311.769 1.520 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(Cl)cnc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001233717606 887249757 /nfs/dbraw/zinc/24/97/57/887249757.db2.gz YEVMONPCMNFPTG-ADAFDVPTSA-N 1 2 311.725 1.634 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(Cl)cnc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001233717606 887249768 /nfs/dbraw/zinc/24/97/68/887249768.db2.gz YEVMONPCMNFPTG-ADAFDVPTSA-N 1 2 311.725 1.634 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC(C)C ZINC001233763288 887298536 /nfs/dbraw/zinc/29/85/36/887298536.db2.gz ZFADUUOELLJDJV-OAHLLOKOSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC(C)C ZINC001233763288 887298555 /nfs/dbraw/zinc/29/85/55/887298555.db2.gz ZFADUUOELLJDJV-OAHLLOKOSA-N 1 2 307.438 1.237 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1cc(C)no1 ZINC001233863272 887401234 /nfs/dbraw/zinc/40/12/34/887401234.db2.gz IMNTXHMYXOMVEJ-UHFFFAOYSA-N 1 2 316.199 1.943 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1cc(C)no1 ZINC001233863272 887401238 /nfs/dbraw/zinc/40/12/38/887401238.db2.gz IMNTXHMYXOMVEJ-UHFFFAOYSA-N 1 2 316.199 1.943 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)C1CC1 ZINC001233946641 887485219 /nfs/dbraw/zinc/48/52/19/887485219.db2.gz CGFRRDDTYTZTRQ-MRXNPFEDSA-N 1 2 319.449 1.334 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)C1CC1 ZINC001233946641 887485221 /nfs/dbraw/zinc/48/52/21/887485221.db2.gz CGFRRDDTYTZTRQ-MRXNPFEDSA-N 1 2 319.449 1.334 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NCCCC ZINC001233965979 887504938 /nfs/dbraw/zinc/50/49/38/887504938.db2.gz HOUQMDCYMUMFPB-HNNXBMFYSA-N 1 2 307.438 1.239 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NCCCC ZINC001233965979 887504951 /nfs/dbraw/zinc/50/49/51/887504951.db2.gz HOUQMDCYMUMFPB-HNNXBMFYSA-N 1 2 307.438 1.239 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)nc1 ZINC001233976822 887514388 /nfs/dbraw/zinc/51/43/88/887514388.db2.gz IQWBIPIUDVOPPW-DOTOQJQBSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)nc1 ZINC001233976822 887514396 /nfs/dbraw/zinc/51/43/96/887514396.db2.gz IQWBIPIUDVOPPW-DOTOQJQBSA-N 1 2 318.421 1.145 20 30 DDEDLO CC(C)N(C)C(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196317 887738242 /nfs/dbraw/zinc/73/82/42/887738242.db2.gz RGFVNZALFBDQDL-GOEBONIOSA-N 1 2 319.449 1.188 20 30 DDEDLO CC(C)N(C)C(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196317 887738248 /nfs/dbraw/zinc/73/82/48/887738248.db2.gz RGFVNZALFBDQDL-GOEBONIOSA-N 1 2 319.449 1.188 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001234246274 887786756 /nfs/dbraw/zinc/78/67/56/887786756.db2.gz LJUJHVNTRWCPQG-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001234246274 887786770 /nfs/dbraw/zinc/78/67/70/887786770.db2.gz LJUJHVNTRWCPQG-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@H](C)OCC ZINC001234312073 887851253 /nfs/dbraw/zinc/85/12/53/887851253.db2.gz RKMLKDAFBMUTNP-NSHDSACASA-N 1 2 307.232 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@H](C)OCC ZINC001234312073 887851267 /nfs/dbraw/zinc/85/12/67/887851267.db2.gz RKMLKDAFBMUTNP-NSHDSACASA-N 1 2 307.232 1.758 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001234594117 888128930 /nfs/dbraw/zinc/12/89/30/888128930.db2.gz KKNCICGVSLVOQC-CQSZACIVSA-N 1 2 309.454 1.790 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC(C)(C)C ZINC001234594117 888128936 /nfs/dbraw/zinc/12/89/36/888128936.db2.gz KKNCICGVSLVOQC-CQSZACIVSA-N 1 2 309.454 1.790 20 30 DDEDLO CCn1ccnc1CN1CCO[C@]2(CCC[N@H+](CCC#N)C2)C1 ZINC001277939402 888584703 /nfs/dbraw/zinc/58/47/03/888584703.db2.gz QRGBSOZCIVXILQ-KRWDZBQOSA-N 1 2 317.437 1.483 20 30 DDEDLO CCn1ccnc1CN1CCO[C@]2(CCC[N@@H+](CCC#N)C2)C1 ZINC001277939402 888584712 /nfs/dbraw/zinc/58/47/12/888584712.db2.gz QRGBSOZCIVXILQ-KRWDZBQOSA-N 1 2 317.437 1.483 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2cn(C[C@H]3CCCO3)nn2)s1 ZINC001365460322 890834915 /nfs/dbraw/zinc/83/49/15/890834915.db2.gz IVWNTKOPKUNWHD-GFCCVEGCSA-N 1 2 303.391 1.680 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H]1COc2ccccc2O1 ZINC001366587157 894156365 /nfs/dbraw/zinc/15/63/65/894156365.db2.gz AWYVRGMHZOVZQD-CQSZACIVSA-N 1 2 310.781 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H]1COc2ccccc2O1 ZINC001366587157 894156374 /nfs/dbraw/zinc/15/63/74/894156374.db2.gz AWYVRGMHZOVZQD-CQSZACIVSA-N 1 2 310.781 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cccc(C(N)=O)n1)C1CC1 ZINC001366763411 894858082 /nfs/dbraw/zinc/85/80/82/894858082.db2.gz XHCJUVOUQCMLLF-UHFFFAOYSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cccc(C(N)=O)n1)C1CC1 ZINC001366763411 894858096 /nfs/dbraw/zinc/85/80/96/894858096.db2.gz XHCJUVOUQCMLLF-UHFFFAOYSA-N 1 2 322.796 1.127 20 30 DDEDLO C=CCOC[C@H](O)C[N@@H+](C)[C@@H](Cc1ccccc1)C(=O)OC ZINC001252471409 895186929 /nfs/dbraw/zinc/18/69/29/895186929.db2.gz XJILPWBOCCSDIL-CVEARBPZSA-N 1 2 307.390 1.266 20 30 DDEDLO C=CCOC[C@H](O)C[N@H+](C)[C@@H](Cc1ccccc1)C(=O)OC ZINC001252471409 895186935 /nfs/dbraw/zinc/18/69/35/895186935.db2.gz XJILPWBOCCSDIL-CVEARBPZSA-N 1 2 307.390 1.266 20 30 DDEDLO C[C@]1(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)CCCN(CC#N)C1 ZINC001278668195 895190288 /nfs/dbraw/zinc/19/02/88/895190288.db2.gz LRWOUYFJKFIMRD-RHSMWYFYSA-N 1 2 315.421 1.187 20 30 DDEDLO C=CCOC[C@@H](O)CN1CC[C@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001252472164 895190329 /nfs/dbraw/zinc/19/03/29/895190329.db2.gz OSGHNDOLHYSPNW-KBPBESRZSA-N 1 2 304.381 1.355 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1C[C@H](O)CCC ZINC001252779954 895447181 /nfs/dbraw/zinc/44/71/81/895447181.db2.gz KWPCKGISLQDBGM-HIFRSBDPSA-N 1 2 308.426 1.561 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1C[C@H](O)CCC ZINC001252779954 895447185 /nfs/dbraw/zinc/44/71/85/895447185.db2.gz KWPCKGISLQDBGM-HIFRSBDPSA-N 1 2 308.426 1.561 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1C[C@H](O)CCCCC ZINC001253527264 895883961 /nfs/dbraw/zinc/88/39/61/895883961.db2.gz JHSBRLJVPKUJDQ-GDBMZVCRSA-N 1 2 322.453 1.858 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1C[C@H](O)CCCCC ZINC001253527264 895883970 /nfs/dbraw/zinc/88/39/70/895883970.db2.gz JHSBRLJVPKUJDQ-GDBMZVCRSA-N 1 2 322.453 1.858 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CCNC(=O)Cc2nnc[nH]2)CC1 ZINC001367213906 896148408 /nfs/dbraw/zinc/14/84/08/896148408.db2.gz NATUVDNXZPZNHN-UHFFFAOYSA-N 1 2 311.817 1.318 20 30 DDEDLO N#Cc1ccccc1O[C@H]1CCC[N@@H+]([C@H]2CCS(=O)(=O)C2)C1 ZINC001254340986 896373191 /nfs/dbraw/zinc/37/31/91/896373191.db2.gz IUNTYGFTZKMMPZ-GJZGRUSLSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1ccccc1O[C@H]1CCC[N@H+]([C@H]2CCS(=O)(=O)C2)C1 ZINC001254340986 896373203 /nfs/dbraw/zinc/37/32/03/896373203.db2.gz IUNTYGFTZKMMPZ-GJZGRUSLSA-N 1 2 320.414 1.589 20 30 DDEDLO CC(C)[C@@H](CNC(=O)[C@H](C)C#N)[NH2+]Cc1noc(C2CCC2)n1 ZINC001367463002 896827671 /nfs/dbraw/zinc/82/76/71/896827671.db2.gz DRZCBXYAFUUSND-DGCLKSJQSA-N 1 2 319.409 1.727 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@H+](Cc2cc(CO)ccc2F)C1 ZINC001389369592 897050989 /nfs/dbraw/zinc/05/09/89/897050989.db2.gz VCFKJLRZDCUXMF-WFASDCNBSA-N 1 2 319.380 1.558 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@@H+](Cc2cc(CO)ccc2F)C1 ZINC001389369592 897051004 /nfs/dbraw/zinc/05/10/04/897051004.db2.gz VCFKJLRZDCUXMF-WFASDCNBSA-N 1 2 319.380 1.558 20 30 DDEDLO COC1CC(C(=O)NCC[N@H+](C)Cc2cc(C#N)ccc2F)C1 ZINC001390779291 900160051 /nfs/dbraw/zinc/16/00/51/900160051.db2.gz STLMVGMWWYJPMN-UHFFFAOYSA-N 1 2 319.380 1.670 20 30 DDEDLO COC1CC(C(=O)NCC[N@@H+](C)Cc2cc(C#N)ccc2F)C1 ZINC001390779291 900160059 /nfs/dbraw/zinc/16/00/59/900160059.db2.gz STLMVGMWWYJPMN-UHFFFAOYSA-N 1 2 319.380 1.670 20 30 DDEDLO C=CC[C@H]1CCN1C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC001262774648 900369311 /nfs/dbraw/zinc/36/93/11/900369311.db2.gz IMXVUXZSULJNBU-LBPRGKRZSA-N 1 2 302.378 1.572 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2C[N@@H+]3CCCC[C@H]3CO2)n1CC=C ZINC001262939402 900427443 /nfs/dbraw/zinc/42/74/43/900427443.db2.gz FJKMBGUCYLQKRA-GJZGRUSLSA-N 1 2 315.421 1.459 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2C[N@H+]3CCCC[C@H]3CO2)n1CC=C ZINC001262939402 900427451 /nfs/dbraw/zinc/42/74/51/900427451.db2.gz FJKMBGUCYLQKRA-GJZGRUSLSA-N 1 2 315.421 1.459 20 30 DDEDLO CC1(C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)[C@@H]2CCCN(CC#N)[C@@H]21 ZINC001264030346 900882365 /nfs/dbraw/zinc/88/23/65/900882365.db2.gz QTRPTEKYMMCYEA-IMJJTQAJSA-N 1 2 315.421 1.471 20 30 DDEDLO CC1(C)[C@H](NC(=O)CCc2c[nH+]c[nH]2)[C@@H]2CCCN(CC#N)[C@@H]21 ZINC001264030346 900882380 /nfs/dbraw/zinc/88/23/80/900882380.db2.gz QTRPTEKYMMCYEA-IMJJTQAJSA-N 1 2 315.421 1.471 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC1CCCC1 ZINC001264370901 901051928 /nfs/dbraw/zinc/05/19/28/901051928.db2.gz MAULHMHWIUOMSG-INIZCTEOSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC1CCCC1 ZINC001264370901 901051937 /nfs/dbraw/zinc/05/19/37/901051937.db2.gz MAULHMHWIUOMSG-INIZCTEOSA-N 1 2 321.465 1.934 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1snnc1C)C1CC1 ZINC001391151030 901065909 /nfs/dbraw/zinc/06/59/09/901065909.db2.gz PZYWFBWODVCJNS-UHFFFAOYSA-N 1 2 300.815 1.793 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1snnc1C)C1CC1 ZINC001391151030 901065915 /nfs/dbraw/zinc/06/59/15/901065915.db2.gz PZYWFBWODVCJNS-UHFFFAOYSA-N 1 2 300.815 1.793 20 30 DDEDLO C=CCCOCC(=O)N(C)C1CC[NH+](Cc2coc(C)n2)CC1 ZINC001265201737 901696063 /nfs/dbraw/zinc/69/60/63/901696063.db2.gz UXFCPLOEBXGLOW-UHFFFAOYSA-N 1 2 321.421 1.999 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001265212422 901712385 /nfs/dbraw/zinc/71/23/85/901712385.db2.gz BJYRNPNNFGYMOQ-AWEZNQCLSA-N 1 2 316.405 1.369 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2cncc(OC)n2)C1 ZINC001265212422 901712398 /nfs/dbraw/zinc/71/23/98/901712398.db2.gz BJYRNPNNFGYMOQ-AWEZNQCLSA-N 1 2 316.405 1.369 20 30 DDEDLO CCCC(CCC)C(=O)N[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001265298833 901845971 /nfs/dbraw/zinc/84/59/71/901845971.db2.gz CQPOABWMNOPWCF-CQSZACIVSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCC(CCC)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001265298833 901845978 /nfs/dbraw/zinc/84/59/78/901845978.db2.gz CQPOABWMNOPWCF-CQSZACIVSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265299540 901850677 /nfs/dbraw/zinc/85/06/77/901850677.db2.gz QLGJACHPPXOFKX-CABCVRRESA-N 1 2 323.481 1.942 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001265299540 901850684 /nfs/dbraw/zinc/85/06/84/901850684.db2.gz QLGJACHPPXOFKX-CABCVRRESA-N 1 2 323.481 1.942 20 30 DDEDLO CCCN(C(=O)[C@H](C)Cc1c[nH]c[nH+]1)C1CCN(CC#N)CC1 ZINC001265340900 901902442 /nfs/dbraw/zinc/90/24/42/901902442.db2.gz FDKPUEFFNNHQMN-CQSZACIVSA-N 1 2 317.437 1.815 20 30 DDEDLO CCCN(C(=O)[C@H](C)Cc1c[nH+]c[nH]1)C1CCN(CC#N)CC1 ZINC001265340900 901902445 /nfs/dbraw/zinc/90/24/45/901902445.db2.gz FDKPUEFFNNHQMN-CQSZACIVSA-N 1 2 317.437 1.815 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001265421269 901996955 /nfs/dbraw/zinc/99/69/55/901996955.db2.gz VRFNNJQDJWIHGX-STQMWFEESA-N 1 2 322.409 1.304 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001265583919 902159584 /nfs/dbraw/zinc/15/95/84/902159584.db2.gz BYWJDHRMFUPYBH-CQSZACIVSA-N 1 2 305.426 1.500 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001265583919 902159591 /nfs/dbraw/zinc/15/95/91/902159591.db2.gz BYWJDHRMFUPYBH-CQSZACIVSA-N 1 2 305.426 1.500 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCC[N@@H+](Cc2ncnn2C)C1 ZINC001265591458 902172114 /nfs/dbraw/zinc/17/21/14/902172114.db2.gz VDPLABWBHJWEIQ-CQSZACIVSA-N 1 2 305.426 1.500 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCC[N@H+](Cc2ncnn2C)C1 ZINC001265591458 902172117 /nfs/dbraw/zinc/17/21/17/902172117.db2.gz VDPLABWBHJWEIQ-CQSZACIVSA-N 1 2 305.426 1.500 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](N(C)C(=O)CCn2cc[nH+]c2)C1 ZINC001293639680 914599237 /nfs/dbraw/zinc/59/92/37/914599237.db2.gz DRQCAVBDAATSEB-CQSZACIVSA-N 1 2 304.394 1.299 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[NH2+][C@@H](C)c1nc(CC)no1 ZINC001265810754 902428227 /nfs/dbraw/zinc/42/82/27/902428227.db2.gz FPMOGPNSTQCWNH-OLZOCXBDSA-N 1 2 306.410 1.981 20 30 DDEDLO Cn1ccnc1C[N@H+](C)[C@@H]1CCCN(C(=O)CSCC#N)C1 ZINC001266090728 902920762 /nfs/dbraw/zinc/92/07/62/902920762.db2.gz QGRWONAHFGLILN-CYBMUJFWSA-N 1 2 321.450 1.100 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)[C@@H]1CCCN(C(=O)CSCC#N)C1 ZINC001266090728 902920772 /nfs/dbraw/zinc/92/07/72/902920772.db2.gz QGRWONAHFGLILN-CYBMUJFWSA-N 1 2 321.450 1.100 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@H+](CCc2ccccc2F)CCO1 ZINC001391984444 903037538 /nfs/dbraw/zinc/03/75/38/903037538.db2.gz QYGRBOXMAIBORR-UKRRQHHQSA-N 1 2 319.380 1.345 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1C[N@@H+](CCc2ccccc2F)CCO1 ZINC001391984444 903037554 /nfs/dbraw/zinc/03/75/54/903037554.db2.gz QYGRBOXMAIBORR-UKRRQHHQSA-N 1 2 319.380 1.345 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001266219369 903135610 /nfs/dbraw/zinc/13/56/10/903135610.db2.gz XYSIQVIOEAOPJM-JKSUJKDBSA-N 1 2 321.465 1.531 20 30 DDEDLO CCC[C@H](C)NC(=O)C[N@H+]1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001266219369 903135612 /nfs/dbraw/zinc/13/56/12/903135612.db2.gz XYSIQVIOEAOPJM-JKSUJKDBSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001293831110 914726449 /nfs/dbraw/zinc/72/64/49/914726449.db2.gz PNQRQWYQZMTITI-UHFFFAOYSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H]1CCCNC1=O ZINC001316602547 903580643 /nfs/dbraw/zinc/58/06/43/903580643.db2.gz MJXZFOYZRKAKIC-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@H]1CCCNC1=O ZINC001316602547 903580659 /nfs/dbraw/zinc/58/06/59/903580659.db2.gz MJXZFOYZRKAKIC-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)C(C)(C)C(F)(F)F ZINC001280422013 903659618 /nfs/dbraw/zinc/65/96/18/903659618.db2.gz TUSDTLVMHFAJLZ-NSHDSACASA-N 1 2 308.344 1.968 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)C(C)(C)C(F)(F)F ZINC001280422013 903659630 /nfs/dbraw/zinc/65/96/30/903659630.db2.gz TUSDTLVMHFAJLZ-NSHDSACASA-N 1 2 308.344 1.968 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H](C[NH2+]Cc2cnsn2)C1 ZINC001280627950 903857298 /nfs/dbraw/zinc/85/72/98/903857298.db2.gz GHKZBVGREBFBOM-ZDUSSCGKSA-N 1 2 310.423 1.211 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cnn2ccc(C)nc12 ZINC001392317499 903872584 /nfs/dbraw/zinc/87/25/84/903872584.db2.gz BXSWNYDIIXMOLX-UHFFFAOYSA-N 1 2 321.812 1.794 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cnn2ccc(C)nc12 ZINC001392317499 903872595 /nfs/dbraw/zinc/87/25/95/903872595.db2.gz BXSWNYDIIXMOLX-UHFFFAOYSA-N 1 2 321.812 1.794 20 30 DDEDLO CCN(CC[NH2+][C@H](C)c1csnn1)C(=O)c1ccc(C#N)[nH]1 ZINC001392442609 904121036 /nfs/dbraw/zinc/12/10/36/904121036.db2.gz QCFILOBXRJCSCL-SNVBAGLBSA-N 1 2 318.406 1.551 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@](CO)([NH2+]Cc2ncccn2)C1 ZINC001281139443 904464024 /nfs/dbraw/zinc/46/40/24/904464024.db2.gz ZLDDWIGKJSPWRY-QGZVFWFLSA-N 1 2 318.421 1.276 20 30 DDEDLO COCC#CC[N@@H+]1Cc2ccc(CNC(=O)[C@H](C)OC)cc2C1 ZINC001281284436 904653493 /nfs/dbraw/zinc/65/34/93/904653493.db2.gz BOZQHWGZSPYPFV-AWEZNQCLSA-N 1 2 316.401 1.303 20 30 DDEDLO COCC#CC[N@H+]1Cc2ccc(CNC(=O)[C@H](C)OC)cc2C1 ZINC001281284436 904653501 /nfs/dbraw/zinc/65/35/01/904653501.db2.gz BOZQHWGZSPYPFV-AWEZNQCLSA-N 1 2 316.401 1.303 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC001281387186 904772030 /nfs/dbraw/zinc/77/20/30/904772030.db2.gz HIOYEOMJTAIBSY-OCCSQVGLSA-N 1 2 318.421 1.925 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)C#CC2CC2)CC[N@@H+]1Cc1nccn1C ZINC001281663505 905139363 /nfs/dbraw/zinc/13/93/63/905139363.db2.gz DLJHGZANDYYYMO-HIFRSBDPSA-N 1 2 300.406 1.303 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)C#CC2CC2)CC[N@H+]1Cc1nccn1C ZINC001281663505 905139371 /nfs/dbraw/zinc/13/93/71/905139371.db2.gz DLJHGZANDYYYMO-HIFRSBDPSA-N 1 2 300.406 1.303 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1C[C@@H]([NH2+][C@H](C)c2noc(C)n2)C1 ZINC001316615871 905364561 /nfs/dbraw/zinc/36/45/61/905364561.db2.gz MTJQGNHTJMGZML-KBNOKHGBSA-N 1 2 322.409 1.251 20 30 DDEDLO Cc1cnc([C@H](C)[NH2+]C2(CNC(=O)c3cc(C#N)c[nH]3)CC2)o1 ZINC001392901136 905643868 /nfs/dbraw/zinc/64/38/68/905643868.db2.gz XDNZOESZUGVDJP-NSHDSACASA-N 1 2 313.361 1.796 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@]2(C1)C[N@H+](CC#C)CCO2 ZINC001282772481 906021021 /nfs/dbraw/zinc/02/10/21/906021021.db2.gz JTVMEUIVDCQXNL-GOSISDBHSA-N 1 2 302.418 1.507 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@]2(C1)C[N@@H+](CC#C)CCO2 ZINC001282772481 906021035 /nfs/dbraw/zinc/02/10/35/906021035.db2.gz JTVMEUIVDCQXNL-GOSISDBHSA-N 1 2 302.418 1.507 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@@H]1CCCN(C(C)=O)C1 ZINC001372361426 907126560 /nfs/dbraw/zinc/12/65/60/907126560.db2.gz QVUJMIFJZIMPND-CQSZACIVSA-N 1 2 315.845 1.436 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@@H]1CCCN(C(C)=O)C1 ZINC001372361426 907126568 /nfs/dbraw/zinc/12/65/68/907126568.db2.gz QVUJMIFJZIMPND-CQSZACIVSA-N 1 2 315.845 1.436 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)CCc2c[nH+]cn2C)C1 ZINC001283357683 907209753 /nfs/dbraw/zinc/20/97/53/907209753.db2.gz LPKLBJZWOKLKBX-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO CC(C)c1noc(C[N@@H+]2CCC[C@@H](NC(=O)[C@H](C)C#N)CC2)n1 ZINC001393599330 907433127 /nfs/dbraw/zinc/43/31/27/907433127.db2.gz LDXNMUFJTKEDFH-CHWSQXEVSA-N 1 2 319.409 1.823 20 30 DDEDLO CC(C)c1noc(C[N@H+]2CCC[C@@H](NC(=O)[C@H](C)C#N)CC2)n1 ZINC001393599330 907433130 /nfs/dbraw/zinc/43/31/30/907433130.db2.gz LDXNMUFJTKEDFH-CHWSQXEVSA-N 1 2 319.409 1.823 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)c2ccnnc2C)CC1 ZINC001393842557 908061055 /nfs/dbraw/zinc/06/10/55/908061055.db2.gz IYXXNYLHUULUDS-UHFFFAOYSA-N 1 2 324.812 1.094 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001283893132 908145559 /nfs/dbraw/zinc/14/55/59/908145559.db2.gz ORAILVJSNBMDCK-SUMWQHHRSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)[C@@H]1C ZINC001283897541 908152211 /nfs/dbraw/zinc/15/22/11/908152211.db2.gz FFANOQIWANBLRS-QWHCGFSZSA-N 1 2 322.409 1.651 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+](CC(N)=O)[C@H]2C)CCCCC1 ZINC001284151499 908552223 /nfs/dbraw/zinc/55/22/23/908552223.db2.gz FVFCOOBPCSGANU-GJZGRUSLSA-N 1 2 321.465 1.967 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+](CC(N)=O)[C@H]2C)CCCCC1 ZINC001284151499 908552234 /nfs/dbraw/zinc/55/22/34/908552234.db2.gz FVFCOOBPCSGANU-GJZGRUSLSA-N 1 2 321.465 1.967 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)[C@@H](C)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001394054217 908652297 /nfs/dbraw/zinc/65/22/97/908652297.db2.gz MDLZVJIRESFPDD-CMPLNLGQSA-N 1 2 315.377 1.994 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)[C@@H](C)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001394054217 908652303 /nfs/dbraw/zinc/65/23/03/908652303.db2.gz MDLZVJIRESFPDD-CMPLNLGQSA-N 1 2 315.377 1.994 20 30 DDEDLO COCC#CC[NH2+][C@H]1CN(C(=O)C(F)C(F)(F)F)CC1(C)C ZINC001284295147 908788204 /nfs/dbraw/zinc/78/82/04/908788204.db2.gz IBCXZPWIISQNHR-QWRGUYRKSA-N 1 2 324.318 1.363 20 30 DDEDLO COCC#CC[NH2+][C@H]1CN(C(=O)[C@H](F)C(F)(F)F)CC1(C)C ZINC001284295147 908788211 /nfs/dbraw/zinc/78/82/11/908788211.db2.gz IBCXZPWIISQNHR-QWRGUYRKSA-N 1 2 324.318 1.363 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CNC(=O)CCc2[nH+]ccn2C)C1 ZINC001284352507 908870064 /nfs/dbraw/zinc/87/00/64/908870064.db2.gz NCCGYEHQRYHNJR-AWEZNQCLSA-N 1 2 318.421 1.284 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H](O)C[N@H+](C)Cc2ccon2)CCC1 ZINC001284509363 909112890 /nfs/dbraw/zinc/11/28/90/909112890.db2.gz MDHUWOYBDSFXKT-OAHLLOKOSA-N 1 2 321.421 1.672 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc2ccon2)CCC1 ZINC001284509363 909112900 /nfs/dbraw/zinc/11/29/00/909112900.db2.gz MDHUWOYBDSFXKT-OAHLLOKOSA-N 1 2 321.421 1.672 20 30 DDEDLO CCN(CCNC(=O)C#CC(C)C)C(=O)CCCn1cc[nH+]c1 ZINC001284614207 909256087 /nfs/dbraw/zinc/25/60/87/909256087.db2.gz YGPLWNLAGVPILN-UHFFFAOYSA-N 1 2 318.421 1.287 20 30 DDEDLO CCCCc1nc(C[NH2+]C[C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)no1 ZINC001394372017 909462028 /nfs/dbraw/zinc/46/20/28/909462028.db2.gz XEXDNFYICYSSNI-JHJVBQTASA-N 1 2 319.409 1.556 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCn2ccnn2)[C@@H]1C ZINC001394698496 910328774 /nfs/dbraw/zinc/32/87/74/910328774.db2.gz YQHFHAGUUFRSPN-QWHCGFSZSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCn2ccnn2)[C@@H]1C ZINC001394698496 910328788 /nfs/dbraw/zinc/32/87/88/910328788.db2.gz YQHFHAGUUFRSPN-QWHCGFSZSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC/C=C\CNC(=O)Cn1cc[nH+]c1 ZINC001285583647 910826069 /nfs/dbraw/zinc/82/60/69/910826069.db2.gz BMAQITVNXLEBAE-WLMCBFPDSA-N 1 2 304.394 1.274 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001286341065 911934750 /nfs/dbraw/zinc/93/47/50/911934750.db2.gz NHPHUVVGCOWCTG-OLZOCXBDSA-N 1 2 318.421 1.708 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001295298194 915690660 /nfs/dbraw/zinc/69/06/60/915690660.db2.gz RDJBRZNGLNPAQL-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@]1(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)s2)CCOC1 ZINC001375359348 915708893 /nfs/dbraw/zinc/70/88/93/915708893.db2.gz LXRDTDYWAZWARS-LRDDRELGSA-N 1 2 319.430 1.737 20 30 DDEDLO C[C@]1(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)s2)CCOC1 ZINC001375359348 915708908 /nfs/dbraw/zinc/70/89/08/915708908.db2.gz LXRDTDYWAZWARS-LRDDRELGSA-N 1 2 319.430 1.737 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001295728560 916019108 /nfs/dbraw/zinc/01/91/08/916019108.db2.gz MFHGHBORYDTJHG-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001295728560 916019126 /nfs/dbraw/zinc/01/91/26/916019126.db2.gz MFHGHBORYDTJHG-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+](CCN(C)C(=O)C(C)C)CC1 ZINC001296824577 916575614 /nfs/dbraw/zinc/57/56/14/916575614.db2.gz KKLJWLIQNFSMAY-UHFFFAOYSA-N 1 2 309.454 1.601 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)Cc1nn(C)c2ccccc12 ZINC001377153282 920485555 /nfs/dbraw/zinc/48/55/55/920485555.db2.gz KLCIPHZZHCMXHP-UHFFFAOYSA-N 1 2 320.824 1.916 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)Cc1nn(C)c2ccccc12 ZINC001377153282 920485569 /nfs/dbraw/zinc/48/55/69/920485569.db2.gz KLCIPHZZHCMXHP-UHFFFAOYSA-N 1 2 320.824 1.916 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)NCc1ccnc(-n2cc[nH+]c2)c1 ZINC000278307474 214079523 /nfs/dbraw/zinc/07/95/23/214079523.db2.gz IPGISVHUFNOXJO-GDBMZVCRSA-N 1 2 312.373 1.865 20 30 DDEDLO Cc1ccc(NC(=O)[C@@H](C)O[NH+]=C(N)[C@@H]2CCCO2)cc1F ZINC000284464780 222617555 /nfs/dbraw/zinc/61/75/55/222617555.db2.gz QWZPFOCTHIFXLI-MFKMUULPSA-N 1 2 309.341 1.929 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CCN(c2c[nH+]ccc2C)CC1 ZINC000451426649 231090816 /nfs/dbraw/zinc/09/08/16/231090816.db2.gz ICSGIECVIGORNT-UHFFFAOYSA-N 1 2 309.373 1.861 20 30 DDEDLO CC[C@@H]1OCCC[C@H]1C(=O)NCC[NH+]1CCN(C(C)=O)CC1 ZINC000330229691 529466820 /nfs/dbraw/zinc/46/68/20/529466820.db2.gz DDMVVAYIOCDDMQ-CABCVRRESA-N 1 2 311.426 1.312 20 30 DDEDLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)NC[C@@H]1COCCO1 ZINC000330243296 529591144 /nfs/dbraw/zinc/59/11/44/529591144.db2.gz CNYSVGDGHNSOIM-KGLIPLIRSA-N 1 2 322.409 1.759 20 30 DDEDLO CC(=O)N1CC(C(=O)N[C@H]2CCc3[nH+]c(C(C)(C)C)cn3C2)C1 ZINC000328676419 539298525 /nfs/dbraw/zinc/29/85/25/539298525.db2.gz RRRYMBKUDIZSAP-ZDUSSCGKSA-N 1 2 318.421 1.930 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)CN1CCCCCCC1=O ZINC000329121630 539301136 /nfs/dbraw/zinc/30/11/36/539301136.db2.gz WFPPZPPXPOEMBN-CQSZACIVSA-N 1 2 311.426 1.456 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)CN1CCCCCCC1=O ZINC000329121630 539301137 /nfs/dbraw/zinc/30/11/37/539301137.db2.gz WFPPZPPXPOEMBN-CQSZACIVSA-N 1 2 311.426 1.456 20 30 DDEDLO CN(C)c1ccc(CNC(=O)NC[C@@H]2CCC[C@H](O)C2)c[nH+]1 ZINC000330935789 529780385 /nfs/dbraw/zinc/78/03/85/529780385.db2.gz PAWNMVQREUZSPN-OCCSQVGLSA-N 1 2 306.410 1.702 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NCCOC3CCCCC3)C[C@H]21 ZINC000329939525 529785052 /nfs/dbraw/zinc/78/50/52/529785052.db2.gz TYYHBFVVKWGBQA-HUUCEWRRSA-N 1 2 311.426 1.265 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NCCOC3CCCCC3)C[C@H]21 ZINC000329939525 529785053 /nfs/dbraw/zinc/78/50/53/529785053.db2.gz TYYHBFVVKWGBQA-HUUCEWRRSA-N 1 2 311.426 1.265 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CCC[C@@H](OCC3CC3)C2)C1 ZINC000330243650 529787927 /nfs/dbraw/zinc/78/79/27/529787927.db2.gz CTZDLLXMHJSZEN-HUUCEWRRSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CCC[C@@H](OCC3CC3)C2)C1 ZINC000330243650 529787928 /nfs/dbraw/zinc/78/79/28/529787928.db2.gz CTZDLLXMHJSZEN-HUUCEWRRSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cc(C(N)=O)ccc3F)C[C@@H]21 ZINC000329926801 529790764 /nfs/dbraw/zinc/79/07/64/529790764.db2.gz KZGSFDIWMIKPCT-STQMWFEESA-N 1 2 322.340 1.269 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cc(C(N)=O)ccc3F)C[C@@H]21 ZINC000329926801 529790765 /nfs/dbraw/zinc/79/07/65/529790765.db2.gz KZGSFDIWMIKPCT-STQMWFEESA-N 1 2 322.340 1.269 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cc(Cl)ccc1C(N)=O ZINC000414119489 529868297 /nfs/dbraw/zinc/86/82/97/529868297.db2.gz CZYMSPXYTSVJDP-NSHDSACASA-N 1 2 311.769 1.024 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cc(Cl)ccc1C(N)=O ZINC000414119489 529868299 /nfs/dbraw/zinc/86/82/99/529868299.db2.gz CZYMSPXYTSVJDP-NSHDSACASA-N 1 2 311.769 1.024 20 30 DDEDLO C[NH+]1CCN(CCC(C)(C)NC(=O)c2cncc(O)c2)CC1 ZINC000330596593 530071928 /nfs/dbraw/zinc/07/19/28/530071928.db2.gz DBUVMDZZUDUMJQ-UHFFFAOYSA-N 1 2 306.410 1.508 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@H](C)c2ccccc2Cl)CC1 ZINC000044741632 352393467 /nfs/dbraw/zinc/39/34/67/352393467.db2.gz VWJCNMHVIPXXQE-CQSZACIVSA-N 1 2 319.836 1.768 20 30 DDEDLO COCCNC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000053506238 352659151 /nfs/dbraw/zinc/65/91/51/352659151.db2.gz XKCGOJBYTPZQIA-UHFFFAOYSA-N 1 2 302.378 1.032 20 30 DDEDLO CC(C)[C@H](CNC(=O)C1(C#N)CCCCC1)[NH+]1CCOCC1 ZINC000057385512 352812975 /nfs/dbraw/zinc/81/29/75/352812975.db2.gz YTAHRVZGZIYSEJ-HNNXBMFYSA-N 1 2 307.438 1.933 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000072906560 191251196 /nfs/dbraw/zinc/25/11/96/191251196.db2.gz AVDYJNNPVGXWBZ-UHFFFAOYSA-N 1 2 313.376 1.043 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000066308274 352987724 /nfs/dbraw/zinc/98/77/24/352987724.db2.gz GTRUPUHHISKTNA-OAHLLOKOSA-N 1 2 303.406 1.707 20 30 DDEDLO C=C(Br)C[N@@H+]1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000067230390 353039467 /nfs/dbraw/zinc/03/94/67/353039467.db2.gz UWUULOYGTLQWHY-LBPRGKRZSA-N 1 2 317.227 1.608 20 30 DDEDLO C=C(Br)C[N@H+]1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000067230390 353039469 /nfs/dbraw/zinc/03/94/69/353039469.db2.gz UWUULOYGTLQWHY-LBPRGKRZSA-N 1 2 317.227 1.608 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@@]2(CNC(=O)C2)C1 ZINC000072831653 353219623 /nfs/dbraw/zinc/21/96/23/353219623.db2.gz QYISXBAKDLVKPE-OAHLLOKOSA-N 1 2 318.402 1.160 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@@]2(CNC(=O)C2)C1 ZINC000072831653 353219628 /nfs/dbraw/zinc/21/96/28/353219628.db2.gz QYISXBAKDLVKPE-OAHLLOKOSA-N 1 2 318.402 1.160 20 30 DDEDLO C=CCc1cc(CNC(=O)Cn2cc[nH+]c2)cc(OC)c1OC ZINC000073201445 353241816 /nfs/dbraw/zinc/24/18/16/353241816.db2.gz UHTVXVIQQRCMLA-UHFFFAOYSA-N 1 2 315.373 1.945 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(C(=O)C(C)(C)C)CC1 ZINC000075636226 353373148 /nfs/dbraw/zinc/37/31/48/353373148.db2.gz PIWWYUSXQUDWBV-OAHLLOKOSA-N 1 2 323.481 1.990 20 30 DDEDLO CC[C@](C)(C#N)NC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000081311326 353664083 /nfs/dbraw/zinc/66/40/83/353664083.db2.gz ITBCFRVIRRKMNP-MRXNPFEDSA-N 1 2 301.394 1.012 20 30 DDEDLO N#CCC[N@@H+](CC(=O)NC[C@H]1Cc2ccccc2O1)CC1CC1 ZINC000081514683 353679859 /nfs/dbraw/zinc/67/98/59/353679859.db2.gz JZCTYORPNSIWHE-MRXNPFEDSA-N 1 2 313.401 1.732 20 30 DDEDLO N#CCC[N@H+](CC(=O)NC[C@H]1Cc2ccccc2O1)CC1CC1 ZINC000081514683 353679861 /nfs/dbraw/zinc/67/98/61/353679861.db2.gz JZCTYORPNSIWHE-MRXNPFEDSA-N 1 2 313.401 1.732 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1cc(C#N)cs1)[NH+]1CCN(C)CC1 ZINC000084180235 353717537 /nfs/dbraw/zinc/71/75/37/353717537.db2.gz ZBJJRULANDLCDF-AWEZNQCLSA-N 1 2 320.462 1.622 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1C[C@@H](C)OC[C@H]1C ZINC000617727786 363264278 /nfs/dbraw/zinc/26/42/78/363264278.db2.gz NRMRFJKWCYKVBM-ZIAGYGMSSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1C[C@@H](C)OC[C@H]1C ZINC000617727786 363264282 /nfs/dbraw/zinc/26/42/82/363264282.db2.gz NRMRFJKWCYKVBM-ZIAGYGMSSA-N 1 2 319.405 1.485 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000158049606 354228439 /nfs/dbraw/zinc/22/84/39/354228439.db2.gz SIOHISWXVWAPBC-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000158049606 354228442 /nfs/dbraw/zinc/22/84/42/354228442.db2.gz SIOHISWXVWAPBC-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@@H](CCO)C2)c(C#N)c1C ZINC000314183433 354483974 /nfs/dbraw/zinc/48/39/74/354483974.db2.gz MWDJZLDOPDIPEY-ZDUSSCGKSA-N 1 2 305.378 1.801 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@@H](CCO)C2)c(C#N)c1C ZINC000314183433 354483976 /nfs/dbraw/zinc/48/39/76/354483976.db2.gz MWDJZLDOPDIPEY-ZDUSSCGKSA-N 1 2 305.378 1.801 20 30 DDEDLO Cc1cc(OCC(=O)N[C@](C)(C#N)C[NH+](C)C)ccc1Cl ZINC000578831801 354712252 /nfs/dbraw/zinc/71/22/52/354712252.db2.gz RXPWLDWETXGDAA-OAHLLOKOSA-N 1 2 309.797 1.987 20 30 DDEDLO C[C@H]([NH2+]C[C@@H](C#N)CCC#N)c1cccc(S(N)(=O)=O)c1 ZINC000579278653 354717620 /nfs/dbraw/zinc/71/76/20/354717620.db2.gz BSNIAUNWZGERJI-NWDGAFQWSA-N 1 2 306.391 1.428 20 30 DDEDLO C[C@H](OCCc1ccccc1)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000579717661 354723166 /nfs/dbraw/zinc/72/31/66/354723166.db2.gz GLMQQDVZGBKOOH-WMLDXEAASA-N 1 2 303.406 1.594 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)o1 ZINC000589255303 354978745 /nfs/dbraw/zinc/97/87/45/354978745.db2.gz RAOIETUXTKTADG-LLVKDONJSA-N 1 2 312.377 1.664 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)o1 ZINC000589255303 354978750 /nfs/dbraw/zinc/97/87/50/354978750.db2.gz RAOIETUXTKTADG-LLVKDONJSA-N 1 2 312.377 1.664 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)c2cccc(C#N)n2)CC1 ZINC000589419159 354988847 /nfs/dbraw/zinc/98/88/47/354988847.db2.gz GQNDXWUVNABMIU-AWEZNQCLSA-N 1 2 321.384 1.867 20 30 DDEDLO COCCOc1ccccc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000589827004 355022389 /nfs/dbraw/zinc/02/23/89/355022389.db2.gz YGHRYADHIHEZRA-INIZCTEOSA-N 1 2 305.378 1.285 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(Cc2nccs2)c1=O ZINC000590588189 355121455 /nfs/dbraw/zinc/12/14/55/355121455.db2.gz OXUCVEFZLOCGHS-UHFFFAOYSA-N 1 2 316.386 1.057 20 30 DDEDLO N#CC1(c2ccccn2)CCN(c2nc[nH+]c3c2OCCN3)CC1 ZINC000591160100 355257280 /nfs/dbraw/zinc/25/72/80/355257280.db2.gz NBYXONMQQXIVSL-UHFFFAOYSA-N 1 2 322.372 1.738 20 30 DDEDLO N#CC1(c2ccccn2)CCN(c2[nH+]cnc3c2OCCN3)CC1 ZINC000591160100 355257284 /nfs/dbraw/zinc/25/72/84/355257284.db2.gz NBYXONMQQXIVSL-UHFFFAOYSA-N 1 2 322.372 1.738 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)s1 ZINC000591535457 355324653 /nfs/dbraw/zinc/32/46/53/355324653.db2.gz VQWYLKOEAJBNMK-HNNXBMFYSA-N 1 2 319.430 1.879 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)s1 ZINC000591535457 355324656 /nfs/dbraw/zinc/32/46/56/355324656.db2.gz VQWYLKOEAJBNMK-HNNXBMFYSA-N 1 2 319.430 1.879 20 30 DDEDLO CCN(C(=O)[C@@H](C)[N@@H+]1CC[C@](O)(CC#N)C1)c1ccccc1 ZINC000592147165 355512634 /nfs/dbraw/zinc/51/26/34/355512634.db2.gz IVVVSHLETOQZKR-RHSMWYFYSA-N 1 2 301.390 1.778 20 30 DDEDLO CCN(C(=O)[C@@H](C)[N@H+]1CC[C@](O)(CC#N)C1)c1ccccc1 ZINC000592147165 355512636 /nfs/dbraw/zinc/51/26/36/355512636.db2.gz IVVVSHLETOQZKR-RHSMWYFYSA-N 1 2 301.390 1.778 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)N[C@H](c2ccccc2)C2CC2)C1 ZINC000592149607 355516363 /nfs/dbraw/zinc/51/63/63/355516363.db2.gz SABYLPPNPYZBTK-MSOLQXFVSA-N 1 2 313.401 1.604 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)N[C@H](c2ccccc2)C2CC2)C1 ZINC000592149607 355516368 /nfs/dbraw/zinc/51/63/68/355516368.db2.gz SABYLPPNPYZBTK-MSOLQXFVSA-N 1 2 313.401 1.604 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000592149459 355516801 /nfs/dbraw/zinc/51/68/01/355516801.db2.gz PKNYLXJSNHWINL-NVXWUHKLSA-N 1 2 317.364 1.496 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000592149459 355516802 /nfs/dbraw/zinc/51/68/02/355516802.db2.gz PKNYLXJSNHWINL-NVXWUHKLSA-N 1 2 317.364 1.496 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@H]2CCCN(c3cccc(F)c3)C2=O)C1 ZINC000592151141 355517388 /nfs/dbraw/zinc/51/73/88/355517388.db2.gz MUQJQWAOSCQRBL-DOTOQJQBSA-N 1 2 317.364 1.672 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@H]2CCCN(c3cccc(F)c3)C2=O)C1 ZINC000592151141 355517392 /nfs/dbraw/zinc/51/73/92/355517392.db2.gz MUQJQWAOSCQRBL-DOTOQJQBSA-N 1 2 317.364 1.672 20 30 DDEDLO N#CCCC1CC[NH+]([C@@H]2CC(=O)N(CC(F)(F)F)C2=O)CC1 ZINC000592440885 355585174 /nfs/dbraw/zinc/58/51/74/355585174.db2.gz ABLKHPKKKPVWAV-LLVKDONJSA-N 1 2 317.311 1.692 20 30 DDEDLO CC[C@H](C#N)C(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000593448264 355887894 /nfs/dbraw/zinc/88/78/94/355887894.db2.gz NVBZQSUFVXWXMK-CQSZACIVSA-N 1 2 301.390 1.889 20 30 DDEDLO C[C@H](CNC(=O)c1ccc(C#N)s1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594100302 356113085 /nfs/dbraw/zinc/11/30/85/356113085.db2.gz WUMSUSSXPQWGFX-UTUOFQBUSA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@H](CNC(=O)c1ccc(C#N)s1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594100302 356113089 /nfs/dbraw/zinc/11/30/89/356113089.db2.gz WUMSUSSXPQWGFX-UTUOFQBUSA-N 1 2 307.419 1.847 20 30 DDEDLO Cn1cnnc1N1CC[NH+](Cc2ccc(C#N)cc2Cl)CC1 ZINC000594024096 356093087 /nfs/dbraw/zinc/09/30/87/356093087.db2.gz YQJNCFBQWSERSV-UHFFFAOYSA-N 1 2 316.796 1.662 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NC2(C#N)CCC2)CC2(CCCCC2)O1 ZINC000594057658 356102636 /nfs/dbraw/zinc/10/26/36/356102636.db2.gz KMNVUUVXCZIVSI-AWEZNQCLSA-N 1 2 305.422 1.972 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)CC2(CCCCC2)O1 ZINC000594057658 356102640 /nfs/dbraw/zinc/10/26/40/356102640.db2.gz KMNVUUVXCZIVSI-AWEZNQCLSA-N 1 2 305.422 1.972 20 30 DDEDLO N#Cc1ccc2c(c1)CN(C(=O)N[C@@H]1CCc3[nH+]c[nH]c3C1)C2 ZINC000594244468 356151658 /nfs/dbraw/zinc/15/16/58/356151658.db2.gz JHTNDDWCRLCTLS-CQSZACIVSA-N 1 2 307.357 1.864 20 30 DDEDLO N#Cc1ccc2c(c1)CN(C(=O)N[C@@H]1CCc3[nH]c[nH+]c3C1)C2 ZINC000594244468 356151659 /nfs/dbraw/zinc/15/16/59/356151659.db2.gz JHTNDDWCRLCTLS-CQSZACIVSA-N 1 2 307.357 1.864 20 30 DDEDLO Cc1nc(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)ccc1C#N ZINC000080916230 192200668 /nfs/dbraw/zinc/20/06/68/192200668.db2.gz RCYMSYMGVMQNSA-OAHLLOKOSA-N 1 2 314.389 1.199 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2ccc([S@](C)=O)cc2)nn1 ZINC000594937289 356359785 /nfs/dbraw/zinc/35/97/85/356359785.db2.gz JVUXDWXDXVVRPQ-NRFANRHFSA-N 1 2 304.419 1.881 20 30 DDEDLO N#CCCN(CCOCc1ccccc1)CC[NH+]1CCOCC1 ZINC000595333239 356452794 /nfs/dbraw/zinc/45/27/94/356452794.db2.gz NVNOGPBZTSPIKH-UHFFFAOYSA-N 1 2 317.433 1.751 20 30 DDEDLO COc1ccc(CN2CC[C@@H]([N@H+](C)C[C@@H](C)C#N)C2=O)cc1 ZINC000595302453 356440119 /nfs/dbraw/zinc/44/01/19/356440119.db2.gz UFYSVDYYIVNMJR-XJKSGUPXSA-N 1 2 301.390 1.888 20 30 DDEDLO COc1ccc(CN2CC[C@@H]([N@@H+](C)C[C@@H](C)C#N)C2=O)cc1 ZINC000595302453 356440120 /nfs/dbraw/zinc/44/01/20/356440120.db2.gz UFYSVDYYIVNMJR-XJKSGUPXSA-N 1 2 301.390 1.888 20 30 DDEDLO COC(=O)CCN1CCC[C@H]([N@@H+]2CCC[C@@](C)(C#N)C2)C1=O ZINC000595422612 356486427 /nfs/dbraw/zinc/48/64/27/356486427.db2.gz BBOJAVXCYMOGLO-BBRMVZONSA-N 1 2 307.394 1.166 20 30 DDEDLO COC(=O)CCN1CCC[C@H]([N@H+]2CCC[C@@](C)(C#N)C2)C1=O ZINC000595422612 356486430 /nfs/dbraw/zinc/48/64/30/356486430.db2.gz BBOJAVXCYMOGLO-BBRMVZONSA-N 1 2 307.394 1.166 20 30 DDEDLO Cc1cc(S(=O)(=O)N[C@H](C)c2[nH+]ccn2C)ccc1C#N ZINC000595384285 356474008 /nfs/dbraw/zinc/47/40/08/356474008.db2.gz VMXXIQNQFKYOQO-LLVKDONJSA-N 1 2 304.375 1.640 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C1CC1)[C@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595389554 356475332 /nfs/dbraw/zinc/47/53/32/356475332.db2.gz PNHAOPKONQQEOK-JSGCOSHPSA-N 1 2 321.421 1.553 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C1CC1)[C@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595389554 356475338 /nfs/dbraw/zinc/47/53/38/356475338.db2.gz PNHAOPKONQQEOK-JSGCOSHPSA-N 1 2 321.421 1.553 20 30 DDEDLO C=CCCSCCNC(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000595443742 356494877 /nfs/dbraw/zinc/49/48/77/356494877.db2.gz ILNKZJVIKMBHGQ-ZDUSSCGKSA-N 1 2 301.456 1.316 20 30 DDEDLO C[N@H+](CC(C)(C)C#N)[C@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595500849 356520646 /nfs/dbraw/zinc/52/06/46/356520646.db2.gz MLOUORAPEHGWGM-LBPRGKRZSA-N 1 2 309.410 1.411 20 30 DDEDLO C[N@@H+](CC(C)(C)C#N)[C@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595500849 356520649 /nfs/dbraw/zinc/52/06/49/356520649.db2.gz MLOUORAPEHGWGM-LBPRGKRZSA-N 1 2 309.410 1.411 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1nccn1C(F)F ZINC000081515440 192277006 /nfs/dbraw/zinc/27/70/06/192277006.db2.gz YREIYSQZZFCKPD-UHFFFAOYSA-N 1 2 313.352 1.326 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000081547564 192287670 /nfs/dbraw/zinc/28/76/70/192287670.db2.gz AHPHLSFDUTYYAO-OAHLLOKOSA-N 1 2 316.405 1.224 20 30 DDEDLO C[C@@H](c1nccs1)[NH+]1CCN(c2ccc(C#N)c(N)n2)CC1 ZINC000565230866 304056075 /nfs/dbraw/zinc/05/60/75/304056075.db2.gz WUSPKHBILCMZMF-NSHDSACASA-N 1 2 314.418 1.875 20 30 DDEDLO Cc1oc(NC(=O)C[NH+]2C[C@H](C)C(O)[C@@H](C)C2)c(C#N)c1C ZINC000595748329 356634728 /nfs/dbraw/zinc/63/47/28/356634728.db2.gz FPIJMJKJHDWKON-UWVGGRQHSA-N 1 2 305.378 1.655 20 30 DDEDLO C[C@@H]1COC2(CCCC2)C[N@@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000595814436 356661796 /nfs/dbraw/zinc/66/17/96/356661796.db2.gz GNBGUSVMZASTQM-CJNGLKHVSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@@H]1COC2(CCCC2)C[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000595814436 356661798 /nfs/dbraw/zinc/66/17/98/356661798.db2.gz GNBGUSVMZASTQM-CJNGLKHVSA-N 1 2 305.422 1.828 20 30 DDEDLO Cn1ncc(C#N)c1NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000596553224 356914873 /nfs/dbraw/zinc/91/48/73/356914873.db2.gz WPKSPNRJGNANNU-KRWDZBQOSA-N 1 2 324.432 1.520 20 30 DDEDLO Cn1ncc(C#N)c1NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000596553224 356914876 /nfs/dbraw/zinc/91/48/76/356914876.db2.gz WPKSPNRJGNANNU-KRWDZBQOSA-N 1 2 324.432 1.520 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000596591148 356927151 /nfs/dbraw/zinc/92/71/51/356927151.db2.gz HAPPCNJJQOTAJF-TZMCWYRMSA-N 1 2 316.405 1.865 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000596591148 356927154 /nfs/dbraw/zinc/92/71/54/356927154.db2.gz HAPPCNJJQOTAJF-TZMCWYRMSA-N 1 2 316.405 1.865 20 30 DDEDLO CCCn1nccc1C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000596982671 357033474 /nfs/dbraw/zinc/03/34/74/357033474.db2.gz DYWTUCZTJVAHCT-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO N#Cc1cccnc1N1CCN(Cc2c[nH+]c3n2CCC3)CC1 ZINC000596982464 357033524 /nfs/dbraw/zinc/03/35/24/357033524.db2.gz BSMBZKHOKKPYIM-UHFFFAOYSA-N 1 2 308.389 1.418 20 30 DDEDLO N#Cc1ccncc1N1CCN(Cc2c[nH+]c3n2CCC3)CC1 ZINC000597129004 357068625 /nfs/dbraw/zinc/06/86/25/357068625.db2.gz GUZBLBYBFCFINL-UHFFFAOYSA-N 1 2 308.389 1.418 20 30 DDEDLO N#Cc1c(F)cccc1C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000597706818 357294726 /nfs/dbraw/zinc/29/47/26/357294726.db2.gz JUQZEQFMGKOXES-CYBMUJFWSA-N 1 2 317.364 1.634 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1ccc(C#N)c(O)c1 ZINC000598000138 357415697 /nfs/dbraw/zinc/41/56/97/357415697.db2.gz XZHQLVMGSKODKU-NEPJUHHUSA-N 1 2 303.362 1.103 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1ccc(C#N)c(O)c1 ZINC000598000138 357415702 /nfs/dbraw/zinc/41/57/02/357415702.db2.gz XZHQLVMGSKODKU-NEPJUHHUSA-N 1 2 303.362 1.103 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[N@H+](C[C@@H](O)CC(C)(C)C#N)CCO1 ZINC000598594707 357645007 /nfs/dbraw/zinc/64/50/07/357645007.db2.gz IERJLLPPEDNFOQ-STQMWFEESA-N 1 2 312.410 1.330 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[N@@H+](C[C@@H](O)CC(C)(C)C#N)CCO1 ZINC000598594707 357645014 /nfs/dbraw/zinc/64/50/14/357645014.db2.gz IERJLLPPEDNFOQ-STQMWFEESA-N 1 2 312.410 1.330 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C[C@H](O)COc2ccccc2C#N)n1 ZINC000599359666 357908545 /nfs/dbraw/zinc/90/85/45/357908545.db2.gz RXUCRDOZHWFTPT-MFKMUULPSA-N 1 2 302.334 1.340 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)n1 ZINC000599361924 357909412 /nfs/dbraw/zinc/90/94/12/357909412.db2.gz YOFUUWVQBICIKO-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO N#CCCN(C(=O)CN1CCc2[nH+]c[nH]c2C1)c1ccccc1 ZINC000599430604 357932428 /nfs/dbraw/zinc/93/24/28/357932428.db2.gz XFLSFVZYLJQOSJ-UHFFFAOYSA-N 1 2 309.373 1.715 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCc2c(O)cccc2C1 ZINC000599647709 358006416 /nfs/dbraw/zinc/00/64/16/358006416.db2.gz SZPVAQZRXFMJBH-LLVKDONJSA-N 1 2 303.362 1.151 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCc2c(O)cccc2C1 ZINC000599647709 358006420 /nfs/dbraw/zinc/00/64/20/358006420.db2.gz SZPVAQZRXFMJBH-LLVKDONJSA-N 1 2 303.362 1.151 20 30 DDEDLO C=CCN(C(=O)Nc1cc[nH+]cc1C)[C@H]1CCS(=O)(=O)C1 ZINC000179817577 199084706 /nfs/dbraw/zinc/08/47/06/199084706.db2.gz PKLPVQLXHNCPBF-LBPRGKRZSA-N 1 2 309.391 1.597 20 30 DDEDLO CC(C)(C)c1ccc(C#N)c(N[C@@H](CO)C[NH+]2CCOCC2)n1 ZINC000601177009 358434385 /nfs/dbraw/zinc/43/43/85/358434385.db2.gz PIRNIDQNBRVKEY-CQSZACIVSA-N 1 2 318.421 1.356 20 30 DDEDLO C=C(C)C[C@H](NC(=O)Cn1c(C)[nH+]c2ccccc21)C(=O)OC ZINC000601783845 358693560 /nfs/dbraw/zinc/69/35/60/358693560.db2.gz BLVZHCLRENIVNS-AWEZNQCLSA-N 1 2 315.373 1.969 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N(C)C[C@@H](C)C#N)cc1 ZINC000602079208 358803377 /nfs/dbraw/zinc/80/33/77/358803377.db2.gz OARWFQWZKCFXBK-XJKSGUPXSA-N 1 2 301.390 1.888 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N(C)C[C@@H](C)C#N)cc1 ZINC000602079208 358803381 /nfs/dbraw/zinc/80/33/81/358803381.db2.gz OARWFQWZKCFXBK-XJKSGUPXSA-N 1 2 301.390 1.888 20 30 DDEDLO CCc1c[nH]c(CC(=O)N2CCC(C#N)(c3ccccn3)CC2)[nH+]1 ZINC000602151593 358849800 /nfs/dbraw/zinc/84/98/00/358849800.db2.gz UPDLJIQVVOHBCW-UHFFFAOYSA-N 1 2 323.400 1.994 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@H]2C(=O)NCc2ccco2)n1 ZINC000602442834 358978867 /nfs/dbraw/zinc/97/88/67/358978867.db2.gz YEUICEHENVXCOZ-MRXNPFEDSA-N 1 2 310.357 1.827 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@H]2C(=O)NCc2ccco2)n1 ZINC000602442834 358978871 /nfs/dbraw/zinc/97/88/71/358978871.db2.gz YEUICEHENVXCOZ-MRXNPFEDSA-N 1 2 310.357 1.827 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cccc(C#N)n3)CC2)c1 ZINC000602435816 358974629 /nfs/dbraw/zinc/97/46/29/358974629.db2.gz LERATRCLKZFDOQ-UHFFFAOYSA-N 1 2 304.357 1.542 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602854325 359240900 /nfs/dbraw/zinc/24/09/00/359240900.db2.gz BFFAJWNPWDRCAJ-FPCVCCKLSA-N 1 2 306.454 1.599 20 30 DDEDLO CC(C)S(=O)(=O)CCC[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602864812 359248586 /nfs/dbraw/zinc/24/85/86/359248586.db2.gz QTENJFIQYXBEKD-KBPBESRZSA-N 1 2 301.456 1.118 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)NC[C@@H]1CCN(c2ccccc2)C1 ZINC000602865063 359250307 /nfs/dbraw/zinc/25/03/07/359250307.db2.gz VWYGBEYZBJHYSG-CABCVRRESA-N 1 2 300.406 1.521 20 30 DDEDLO COCc1noc(C[NH+]2CCC(c3ccc(C#N)cn3)CC2)n1 ZINC000602905763 359285852 /nfs/dbraw/zinc/28/58/52/359285852.db2.gz BASVWOHGUJTGKZ-UHFFFAOYSA-N 1 2 313.361 1.862 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@@](C)(CO)C1 ZINC000602963316 359326384 /nfs/dbraw/zinc/32/63/84/359326384.db2.gz VECLEKUJAXQBBU-SWLSCSKDSA-N 1 2 305.334 1.438 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])[C@@](C)(CO)C1 ZINC000602963316 359326390 /nfs/dbraw/zinc/32/63/90/359326390.db2.gz VECLEKUJAXQBBU-SWLSCSKDSA-N 1 2 305.334 1.438 20 30 DDEDLO C=CCOCCCNC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000618522325 363658974 /nfs/dbraw/zinc/65/89/74/363658974.db2.gz CPWFHECELVICKV-UHFFFAOYSA-N 1 2 318.417 1.841 20 30 DDEDLO N#Cc1cc(NC(=O)N2CC[NH+](Cc3ccco3)CC2)ccn1 ZINC000603139149 359428232 /nfs/dbraw/zinc/42/82/32/359428232.db2.gz OKVJFHOKHDBUIW-UHFFFAOYSA-N 1 2 311.345 1.896 20 30 DDEDLO COCC[N@H+](C)Cc1cn(Cc2cccc(C#N)c2F)nn1 ZINC000603237161 359505467 /nfs/dbraw/zinc/50/54/67/359505467.db2.gz NQOMPDKORVGECZ-UHFFFAOYSA-N 1 2 303.341 1.415 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(Cc2cccc(C#N)c2F)nn1 ZINC000603237161 359505469 /nfs/dbraw/zinc/50/54/69/359505469.db2.gz NQOMPDKORVGECZ-UHFFFAOYSA-N 1 2 303.341 1.415 20 30 DDEDLO C#CCOc1ccccc1NC(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000188078818 200190587 /nfs/dbraw/zinc/19/05/87/200190587.db2.gz HGIYXBQROZQVAE-CQSZACIVSA-N 1 2 317.389 1.541 20 30 DDEDLO Cc1nn(C)c(C)c1OCC(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000329801798 223032099 /nfs/dbraw/zinc/03/20/99/223032099.db2.gz JYENDADFVAJGDF-NSHDSACASA-N 1 2 310.398 1.093 20 30 DDEDLO Cc1nn(C)c(C)c1OCC(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000329801798 223032101 /nfs/dbraw/zinc/03/21/01/223032101.db2.gz JYENDADFVAJGDF-NSHDSACASA-N 1 2 310.398 1.093 20 30 DDEDLO Cc1nc(C2(NC(=O)[C@@H]3C[N@H+](C)CCO3)CCCCC2)no1 ZINC000329837535 223036471 /nfs/dbraw/zinc/03/64/71/223036471.db2.gz MWNQOMMJTVDGDH-LBPRGKRZSA-N 1 2 308.382 1.825 20 30 DDEDLO Cc1nc(C2(NC(=O)[C@@H]3C[N@@H+](C)CCO3)CCCCC2)no1 ZINC000329837535 223036475 /nfs/dbraw/zinc/03/64/75/223036475.db2.gz MWNQOMMJTVDGDH-LBPRGKRZSA-N 1 2 308.382 1.825 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2C[C@@H](O)C[C@@H]2C(=O)OC)cc1 ZINC000188616758 200276147 /nfs/dbraw/zinc/27/61/47/200276147.db2.gz UYPSKEVUVGQRMK-GOEBONIOSA-N 1 2 303.358 1.197 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2C[C@@H](O)C[C@@H]2C(=O)OC)cc1 ZINC000188616758 200276149 /nfs/dbraw/zinc/27/61/49/200276149.db2.gz UYPSKEVUVGQRMK-GOEBONIOSA-N 1 2 303.358 1.197 20 30 DDEDLO C=C(C)CN(C)C(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000624930156 366625250 /nfs/dbraw/zinc/62/52/50/366625250.db2.gz VZKNCFXKZCMUND-INIZCTEOSA-N 1 2 318.421 1.500 20 30 DDEDLO C=C(C)CN(C)C(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000624930156 366625257 /nfs/dbraw/zinc/62/52/57/366625257.db2.gz VZKNCFXKZCMUND-INIZCTEOSA-N 1 2 318.421 1.500 20 30 DDEDLO CCn1ncnc1CNC(=O)NCc1cn2c([nH+]1)CCCC2 ZINC000329953129 223051775 /nfs/dbraw/zinc/05/17/75/223051775.db2.gz JCRXLEZXHBYUMA-UHFFFAOYSA-N 1 2 303.370 1.035 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@@H](C)C(=O)N2CCCCCC2)CC1 ZINC000609484383 360312450 /nfs/dbraw/zinc/31/24/50/360312450.db2.gz BFYNVNLZCJRDFA-IRXDYDNUSA-N 1 2 320.481 1.943 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@H](C)C(=O)NC2CCCCC2)CC1 ZINC000609485819 360313701 /nfs/dbraw/zinc/31/37/01/360313701.db2.gz YFECLRAMKJUNEU-NVXWUHKLSA-N 1 2 320.481 1.990 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCC[C@@H]2C(=O)NCc2ccco2)ccn1 ZINC000610992912 360593493 /nfs/dbraw/zinc/59/34/93/360593493.db2.gz RPUHTUUWUVLWQZ-MRXNPFEDSA-N 1 2 310.357 1.827 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCC[C@@H]2C(=O)NCc2ccco2)ccn1 ZINC000610992912 360593498 /nfs/dbraw/zinc/59/34/98/360593498.db2.gz RPUHTUUWUVLWQZ-MRXNPFEDSA-N 1 2 310.357 1.827 20 30 DDEDLO CC(C)CN(CC(C)C)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611176322 360649401 /nfs/dbraw/zinc/64/94/01/360649401.db2.gz ZMTSIRZHZBFAGF-UHFFFAOYSA-N 1 2 308.470 1.658 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[NH+]1CCN(S(=O)(=O)C2CC2)CC1 ZINC000612641746 361090117 /nfs/dbraw/zinc/09/01/17/361090117.db2.gz LIXAQMWKRDMSRP-ZDUSSCGKSA-N 1 2 319.430 1.729 20 30 DDEDLO C[C@H](C(=O)N[C@H](C#N)c1ccc(F)cc1)[NH+]1CCSCC1 ZINC000331297892 223201140 /nfs/dbraw/zinc/20/11/40/223201140.db2.gz ZKPYECFUUMCIIY-BXUZGUMPSA-N 1 2 307.394 1.944 20 30 DDEDLO N#CC1(C[NH+]2CCC(S(=O)(=O)N3CCCC3)CC2)CCC1 ZINC000333736020 223224378 /nfs/dbraw/zinc/22/43/78/223224378.db2.gz UXFAQTTUKHOZRR-UHFFFAOYSA-N 1 2 311.451 1.570 20 30 DDEDLO Cn1cc[nH+]c1C[C@@H]1CCCN(C(=O)c2ccnc(C#N)c2)C1 ZINC000193571409 201077155 /nfs/dbraw/zinc/07/71/55/201077155.db2.gz WJZHQYPDSXIBRG-ZDUSSCGKSA-N 1 2 309.373 1.782 20 30 DDEDLO C=C[C@H]([NH2+]CCCN1c2ccccc2OCC1=O)C(=O)OC ZINC000619702951 364121417 /nfs/dbraw/zinc/12/14/17/364121417.db2.gz UQLLMNHCWAORHZ-LBPRGKRZSA-N 1 2 304.346 1.119 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCN(c3ccc(F)cc3)CC2)CCC1 ZINC000346893855 223361978 /nfs/dbraw/zinc/36/19/78/223361978.db2.gz VVMHXJYZISMQAJ-UHFFFAOYSA-N 1 2 316.380 1.510 20 30 DDEDLO FCC[C@H]1CN(CC#CC[N@@H+]2CCO[C@@H](CCF)C2)CCO1 ZINC000626004668 367270195 /nfs/dbraw/zinc/27/01/95/367270195.db2.gz MAWSUULRPDGIKD-HOTGVXAUSA-N 1 2 316.392 1.111 20 30 DDEDLO FCC[C@H]1CN(CC#CC[N@H+]2CCO[C@@H](CCF)C2)CCO1 ZINC000626004668 367270202 /nfs/dbraw/zinc/27/02/02/367270202.db2.gz MAWSUULRPDGIKD-HOTGVXAUSA-N 1 2 316.392 1.111 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C=C2CCCCC2)CC1 ZINC000265033560 204356313 /nfs/dbraw/zinc/35/63/13/204356313.db2.gz OXLLGLUZYPQYPV-UHFFFAOYSA-N 1 2 317.433 1.161 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C=C2CCCCC2)CC1 ZINC000265033560 204356319 /nfs/dbraw/zinc/35/63/19/204356319.db2.gz OXLLGLUZYPQYPV-UHFFFAOYSA-N 1 2 317.433 1.161 20 30 DDEDLO COc1cc(C[N@H+]([C@@H](C)CS(C)(=O)=O)C2CC2)ccc1C#N ZINC000282516805 217055252 /nfs/dbraw/zinc/05/52/52/217055252.db2.gz ZJZCXUCGEDIFPT-LBPRGKRZSA-N 1 2 322.430 1.964 20 30 DDEDLO COc1cc(C[N@@H+]([C@@H](C)CS(C)(=O)=O)C2CC2)ccc1C#N ZINC000282516805 217055253 /nfs/dbraw/zinc/05/52/53/217055253.db2.gz ZJZCXUCGEDIFPT-LBPRGKRZSA-N 1 2 322.430 1.964 20 30 DDEDLO C[C@H]([NH2+][C@@H](C)c1ccc(C#N)cc1)C(=O)NC[C@H]1CCCO1 ZINC000271681045 209121442 /nfs/dbraw/zinc/12/14/42/209121442.db2.gz POGKNRTYYSZRAB-HEHGZKQESA-N 1 2 301.390 1.893 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@H+]1CCCC[C@H]1CO ZINC000267904353 206328652 /nfs/dbraw/zinc/32/86/52/206328652.db2.gz ZOPYHNOUSALFDZ-ZDUSSCGKSA-N 1 2 307.781 1.997 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1CCCC[C@H]1CO ZINC000267904353 206328655 /nfs/dbraw/zinc/32/86/55/206328655.db2.gz ZOPYHNOUSALFDZ-ZDUSSCGKSA-N 1 2 307.781 1.997 20 30 DDEDLO CC[C@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1O ZINC000341016565 251337785 /nfs/dbraw/zinc/33/77/85/251337785.db2.gz SKEWXOBOFLEVNF-DZGCQCFKSA-N 1 2 308.403 1.035 20 30 DDEDLO CC[C@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1O ZINC000341016565 251337788 /nfs/dbraw/zinc/33/77/88/251337788.db2.gz SKEWXOBOFLEVNF-DZGCQCFKSA-N 1 2 308.403 1.035 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)c1sccc1C[NH+](C)C ZINC000273008654 210389166 /nfs/dbraw/zinc/38/91/66/210389166.db2.gz ARSUQZMTRAVGCC-UHFFFAOYSA-N 1 2 316.448 1.140 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1c[nH]c(-c2ccccc2)n1 ZINC000272884800 210275874 /nfs/dbraw/zinc/27/58/74/210275874.db2.gz WJDLZJLBRXKWGP-UHFFFAOYSA-N 1 2 305.403 1.767 20 30 DDEDLO C[C@@H]1C[NH+]=C(N2CCN(C(=O)c3cc(C#N)ccn3)CC2)S1 ZINC000288672882 220339725 /nfs/dbraw/zinc/33/97/25/220339725.db2.gz HCQHYAYHACYRQE-LLVKDONJSA-N 1 2 315.402 1.202 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)N[C@@H]2CCCC[C@@H]2C)CC1 ZINC000341994257 533109389 /nfs/dbraw/zinc/10/93/89/533109389.db2.gz SETOZANKLRYBAV-LSDHHAIUSA-N 1 2 307.438 1.933 20 30 DDEDLO C=C(C)CNC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000156297620 197102872 /nfs/dbraw/zinc/10/28/72/197102872.db2.gz ULIRRMRQFYTDDD-UHFFFAOYSA-N 1 2 303.406 1.969 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000120949972 195269527 /nfs/dbraw/zinc/26/95/27/195269527.db2.gz LMTDMVZAGHXCDH-UONOGXRCSA-N 1 2 301.390 1.706 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CC[C@](O)(C(F)F)C1 ZINC000451440393 533338944 /nfs/dbraw/zinc/33/89/44/533338944.db2.gz PNTNTPIEIHVSII-ZUZCIYMTSA-N 1 2 309.316 1.587 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CC[C@](O)(C(F)F)C1 ZINC000451440393 533338949 /nfs/dbraw/zinc/33/89/49/533338949.db2.gz PNTNTPIEIHVSII-ZUZCIYMTSA-N 1 2 309.316 1.587 20 30 DDEDLO CC(C)c1nnc(NC(=O)C[NH+]2CCC(C(N)=O)CC2)s1 ZINC000025791293 406899919 /nfs/dbraw/zinc/89/99/19/406899919.db2.gz DQLXTAOUBRPQMU-UHFFFAOYSA-N 1 2 311.411 1.447 20 30 DDEDLO Cc1nc(C(=O)Nc2nc3c(s2)C[N@H+](C)CC3)ccc1C#N ZINC000028836293 406919473 /nfs/dbraw/zinc/91/94/73/406919473.db2.gz USZGLLBYHPAOQP-UHFFFAOYSA-N 1 2 313.386 1.958 20 30 DDEDLO Cc1nc(C(=O)Nc2nc3c(s2)C[N@@H+](C)CC3)ccc1C#N ZINC000028836293 406919474 /nfs/dbraw/zinc/91/94/74/406919474.db2.gz USZGLLBYHPAOQP-UHFFFAOYSA-N 1 2 313.386 1.958 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+]1CC(=O)N(CCC#N)c1ccccc1 ZINC000054992498 407192196 /nfs/dbraw/zinc/19/21/96/407192196.db2.gz KPXUADMUXKRGPD-OAHLLOKOSA-N 1 2 314.389 1.144 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+]1CC(=O)N(CCC#N)c1ccccc1 ZINC000054992498 407192197 /nfs/dbraw/zinc/19/21/97/407192197.db2.gz KPXUADMUXKRGPD-OAHLLOKOSA-N 1 2 314.389 1.144 20 30 DDEDLO CCOc1cccc(/C=[NH+]/CCCNS(=O)(=O)CC)c1O ZINC000050234210 407128320 /nfs/dbraw/zinc/12/83/20/407128320.db2.gz HTOMDXJMIPAQPD-RVDMUPIBSA-N 1 2 314.407 1.539 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1sccc1C#N)[NH+]1CCOCC1 ZINC000052029696 407146139 /nfs/dbraw/zinc/14/61/39/407146139.db2.gz HKEVYKHOFVZKII-ZDUSSCGKSA-N 1 2 307.419 1.706 20 30 DDEDLO CC[N@@H+](CC(=O)NCCN1CCc2ccccc21)C[C@H](C)C#N ZINC000098134478 407306474 /nfs/dbraw/zinc/30/64/74/407306474.db2.gz YQEMKWHVJMASEA-OAHLLOKOSA-N 1 2 314.433 1.647 20 30 DDEDLO CC[N@H+](CC(=O)NCCN1CCc2ccccc21)C[C@H](C)C#N ZINC000098134478 407306475 /nfs/dbraw/zinc/30/64/75/407306475.db2.gz YQEMKWHVJMASEA-OAHLLOKOSA-N 1 2 314.433 1.647 20 30 DDEDLO N#CCCCS(=O)(=O)N1CC[NH+](Cc2ccccc2)CC1 ZINC000104104336 407347163 /nfs/dbraw/zinc/34/71/63/407347163.db2.gz QEPXQEWCTABORM-UHFFFAOYSA-N 1 2 307.419 1.438 20 30 DDEDLO C#CC[N@@H+](CCOc1ccc(OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000108867121 407391894 /nfs/dbraw/zinc/39/18/94/407391894.db2.gz JXAWJDNXOKWGMV-AWEZNQCLSA-N 1 2 323.414 1.196 20 30 DDEDLO C#CC[N@H+](CCOc1ccc(OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000108867121 407391895 /nfs/dbraw/zinc/39/18/95/407391895.db2.gz JXAWJDNXOKWGMV-AWEZNQCLSA-N 1 2 323.414 1.196 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2csc([C@H]3CCCO3)n2)CC1 ZINC000126087977 407404747 /nfs/dbraw/zinc/40/47/47/407404747.db2.gz DBKLVVUQZHDQEA-CQSZACIVSA-N 1 2 319.430 1.776 20 30 DDEDLO COc1cc(C[NH+]2CCN(CC#N)CC2)cc(OC)c1OC ZINC000178469478 407463926 /nfs/dbraw/zinc/46/39/26/407463926.db2.gz NEPUJVRQFMPINL-UHFFFAOYSA-N 1 2 305.378 1.354 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000185790574 407502772 /nfs/dbraw/zinc/50/27/72/407502772.db2.gz URVJQQDBWMQJNZ-LBPRGKRZSA-N 1 2 316.317 1.447 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000171008137 407572752 /nfs/dbraw/zinc/57/27/52/407572752.db2.gz QJIFAMWRNQMKFF-UHFFFAOYSA-N 1 2 316.405 1.468 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)NCc2cccc(C#N)c2)CCO1 ZINC000171008137 407572759 /nfs/dbraw/zinc/57/27/59/407572759.db2.gz QJIFAMWRNQMKFF-UHFFFAOYSA-N 1 2 316.405 1.468 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[NH2+]C[C@H]1c1ccccc1OC ZINC000261783989 407613382 /nfs/dbraw/zinc/61/33/82/407613382.db2.gz KHSPALLIAYZHFC-AWEZNQCLSA-N 1 2 310.419 1.547 20 30 DDEDLO Cc1nc(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)ccc1C#N ZINC000178959313 407673241 /nfs/dbraw/zinc/67/32/41/407673241.db2.gz WKZRZCDVHZKSHJ-AWEZNQCLSA-N 1 2 307.357 1.665 20 30 DDEDLO CC(=O)Nc1cccc(C[NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)c1 ZINC000115596998 407695837 /nfs/dbraw/zinc/69/58/37/407695837.db2.gz HUSDVCDKRNPMAC-KRWDZBQOSA-N 1 2 322.368 1.833 20 30 DDEDLO C=CCCS(=O)(=O)NCc1ccc(Cn2cc[nH+]c2)cc1 ZINC000130729582 407706557 /nfs/dbraw/zinc/70/65/57/407706557.db2.gz FEIWIURKCSDSIP-UHFFFAOYSA-N 1 2 305.403 1.927 20 30 DDEDLO COC(=O)C[C@H]1CCC[N@@H+]1CC(=O)Nc1oc(C)c(C)c1C#N ZINC000179144933 407736449 /nfs/dbraw/zinc/73/64/49/407736449.db2.gz GQPQQOHTNUCUNJ-GFCCVEGCSA-N 1 2 319.361 1.734 20 30 DDEDLO COC(=O)C[C@H]1CCC[N@H+]1CC(=O)Nc1oc(C)c(C)c1C#N ZINC000179144933 407736456 /nfs/dbraw/zinc/73/64/56/407736456.db2.gz GQPQQOHTNUCUNJ-GFCCVEGCSA-N 1 2 319.361 1.734 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCN(C(=O)OC(C)(C)C)[C@H](C)[C@H]1C ZINC000272037853 407749845 /nfs/dbraw/zinc/74/98/45/407749845.db2.gz QNBZJOWOZFYDJP-CHWSQXEVSA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCN(C(=O)OC(C)(C)C)[C@H](C)[C@H]1C ZINC000272037853 407749850 /nfs/dbraw/zinc/74/98/50/407749850.db2.gz QNBZJOWOZFYDJP-CHWSQXEVSA-N 1 2 311.426 1.618 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2cc(C)[nH]n2)CC1 ZINC000187175500 407829547 /nfs/dbraw/zinc/82/95/47/407829547.db2.gz SMXYKHGBKPPRRU-UHFFFAOYSA-N 1 2 305.426 1.265 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C/c1ccc[nH]1 ZINC000187630108 407872931 /nfs/dbraw/zinc/87/29/31/407872931.db2.gz ZLKILIHDGZPTHJ-SNAWJCMRSA-N 1 2 302.378 1.102 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2c(Cl)cccc2C1 ZINC000133912302 407879436 /nfs/dbraw/zinc/87/94/36/407879436.db2.gz BIEAPCRVZQMLQD-UHFFFAOYSA-N 1 2 307.781 1.710 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000133912302 407879440 /nfs/dbraw/zinc/87/94/40/407879440.db2.gz BIEAPCRVZQMLQD-UHFFFAOYSA-N 1 2 307.781 1.710 20 30 DDEDLO CCOC(=O)C[N@H+](CC)CCOc1ccc(C#N)cc1OC ZINC000172652542 407845631 /nfs/dbraw/zinc/84/56/31/407845631.db2.gz GPJVPBMBQBUWKX-UHFFFAOYSA-N 1 2 306.362 1.831 20 30 DDEDLO CCOC(=O)C[N@@H+](CC)CCOc1ccc(C#N)cc1OC ZINC000172652542 407845634 /nfs/dbraw/zinc/84/56/34/407845634.db2.gz GPJVPBMBQBUWKX-UHFFFAOYSA-N 1 2 306.362 1.831 20 30 DDEDLO COCC[N@H+](CCO)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000134894175 407945535 /nfs/dbraw/zinc/94/55/35/407945535.db2.gz ITBDIWWENHDLAK-UHFFFAOYSA-N 1 2 311.769 1.091 20 30 DDEDLO COCC[N@@H+](CCO)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000134894175 407945542 /nfs/dbraw/zinc/94/55/42/407945542.db2.gz ITBDIWWENHDLAK-UHFFFAOYSA-N 1 2 311.769 1.091 20 30 DDEDLO CC(C)CO[C@@H](C)C(N)=[NH+]OCC(=O)Nc1cccc(C#N)c1 ZINC000174221376 407921959 /nfs/dbraw/zinc/92/19/59/407921959.db2.gz ZQFBCJPOCOWHFA-LBPRGKRZSA-N 1 2 318.377 1.847 20 30 DDEDLO CNS(=O)(=O)c1cccc([C@@H](C)[NH2+]C[C@H](C#N)CCC#N)c1 ZINC000181915759 408052831 /nfs/dbraw/zinc/05/28/31/408052831.db2.gz QDEIIHYBPUTDKM-OLZOCXBDSA-N 1 2 320.418 1.689 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(F)cc2OC)CC1 ZINC000154741948 408078529 /nfs/dbraw/zinc/07/85/29/408078529.db2.gz RFGKVLRIBBJZPA-UHFFFAOYSA-N 1 2 305.353 1.024 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)[nH]1 ZINC000268515213 408080704 /nfs/dbraw/zinc/08/07/04/408080704.db2.gz VMIBJVACZSSOAD-XJKSGUPXSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)[nH]1 ZINC000268515213 408080708 /nfs/dbraw/zinc/08/07/08/408080708.db2.gz VMIBJVACZSSOAD-XJKSGUPXSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000268515213 408080713 /nfs/dbraw/zinc/08/07/13/408080713.db2.gz VMIBJVACZSSOAD-XJKSGUPXSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000268515213 408080718 /nfs/dbraw/zinc/08/07/18/408080718.db2.gz VMIBJVACZSSOAD-XJKSGUPXSA-N 1 2 318.425 1.347 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000268608178 408118769 /nfs/dbraw/zinc/11/87/69/408118769.db2.gz ZAMQECWXYGXENQ-INIZCTEOSA-N 1 2 324.446 1.383 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000268608178 408118771 /nfs/dbraw/zinc/11/87/71/408118771.db2.gz ZAMQECWXYGXENQ-INIZCTEOSA-N 1 2 324.446 1.383 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1cc(Cl)cn1C ZINC000120233944 408122048 /nfs/dbraw/zinc/12/20/48/408122048.db2.gz RQTDHVPBYZDUOS-SNVBAGLBSA-N 1 2 312.801 1.511 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1cc(Cl)cn1C ZINC000120233944 408122055 /nfs/dbraw/zinc/12/20/55/408122055.db2.gz RQTDHVPBYZDUOS-SNVBAGLBSA-N 1 2 312.801 1.511 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(c2nccc(C)c2C#N)CC1 ZINC000273338342 408158724 /nfs/dbraw/zinc/15/87/24/408158724.db2.gz NGHKSLDMTCTXHU-CQSZACIVSA-N 1 2 315.421 1.298 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH+]1CCN(c2cccs2)CC1 ZINC000121302000 408181560 /nfs/dbraw/zinc/18/15/60/408181560.db2.gz FEYXNCQXOUCQLO-AWEZNQCLSA-N 1 2 320.462 1.878 20 30 DDEDLO N#CCCCNC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000273416861 408190679 /nfs/dbraw/zinc/19/06/79/408190679.db2.gz YIACQZMPHAEFGO-CYBMUJFWSA-N 1 2 322.434 1.724 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@@](C)(c2ccccc2)C1 ZINC000121877115 408246189 /nfs/dbraw/zinc/24/61/89/408246189.db2.gz PZNLJUAWGGLUSY-CRAIPNDOSA-N 1 2 315.417 1.995 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@@](C)(c2ccccc2)C1 ZINC000121877115 408246195 /nfs/dbraw/zinc/24/61/95/408246195.db2.gz PZNLJUAWGGLUSY-CRAIPNDOSA-N 1 2 315.417 1.995 20 30 DDEDLO C[C@H]1CC[N@H+](CC(=O)[C@@H](C#N)c2nc3ccccc3c(=O)[nH]2)C1 ZINC000263685419 408204342 /nfs/dbraw/zinc/20/43/42/408204342.db2.gz IUTJUVAEUWFIJV-WCQYABFASA-N 1 2 310.357 1.853 20 30 DDEDLO C[C@H]1CC[N@@H+](CC(=O)[C@@H](C#N)c2nc3ccccc3c(=O)[nH]2)C1 ZINC000263685419 408204348 /nfs/dbraw/zinc/20/43/48/408204348.db2.gz IUTJUVAEUWFIJV-WCQYABFASA-N 1 2 310.357 1.853 20 30 DDEDLO CC[C@@H](NC(=O)CO[NH+]=C(N)CCO)c1ccc(Cl)cc1 ZINC000121421239 408206474 /nfs/dbraw/zinc/20/64/74/408206474.db2.gz RUTFRKJKGPPNIH-GFCCVEGCSA-N 1 2 313.785 1.579 20 30 DDEDLO C=CC[N@@H+](C)CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000121436973 408212205 /nfs/dbraw/zinc/21/22/05/408212205.db2.gz GWEMPCZUTQLQSV-UHFFFAOYSA-N 1 2 304.329 1.166 20 30 DDEDLO C=CC[N@H+](C)CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000121436973 408212214 /nfs/dbraw/zinc/21/22/14/408212214.db2.gz GWEMPCZUTQLQSV-UHFFFAOYSA-N 1 2 304.329 1.166 20 30 DDEDLO C#CC[N@@H+](Cc1nc2cc(C(=O)OC)ccc2c(=O)[nH]1)C1CC1 ZINC000274217704 408329215 /nfs/dbraw/zinc/32/92/15/408329215.db2.gz UQRCYJOBFHANEQ-UHFFFAOYSA-N 1 2 311.341 1.720 20 30 DDEDLO C#CC[N@H+](Cc1nc2cc(C(=O)OC)ccc2c(=O)[nH]1)C1CC1 ZINC000274217704 408329219 /nfs/dbraw/zinc/32/92/19/408329219.db2.gz UQRCYJOBFHANEQ-UHFFFAOYSA-N 1 2 311.341 1.720 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCC2CCS(=O)(=O)CC2)ccc1F ZINC000273647801 408277048 /nfs/dbraw/zinc/27/70/48/408277048.db2.gz UBKKBAZAOQEUKB-UHFFFAOYSA-N 1 2 314.382 1.596 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](C[C@@H](O)C(F)(F)F)CC2)cc1 ZINC000273906297 408296573 /nfs/dbraw/zinc/29/65/73/408296573.db2.gz GJOARFCJVLXWPG-CQSZACIVSA-N 1 2 313.323 1.599 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000182972819 408298153 /nfs/dbraw/zinc/29/81/53/408298153.db2.gz VDAJZYODIPYYKO-HNNXBMFYSA-N 1 2 318.421 1.808 20 30 DDEDLO CCOC(=O)N1CCN(Cc2c[nH+]c3ccc(C#N)cn23)CC1 ZINC000158383032 408336704 /nfs/dbraw/zinc/33/67/04/408336704.db2.gz RRUFHWBYJWJUSA-UHFFFAOYSA-N 1 2 313.361 1.480 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000176627464 408405997 /nfs/dbraw/zinc/40/59/97/408405997.db2.gz OMKCNDBGTHYBIN-UHFFFAOYSA-N 1 2 309.373 1.822 20 30 DDEDLO Cc1ncccc1C[N@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000247291504 408443685 /nfs/dbraw/zinc/44/36/85/408443685.db2.gz ZEBBPDJWTAQFEH-CYBMUJFWSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1ncccc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000247291504 408443690 /nfs/dbraw/zinc/44/36/90/408443690.db2.gz ZEBBPDJWTAQFEH-CYBMUJFWSA-N 1 2 308.389 1.762 20 30 DDEDLO C=C1CC[NH+]([C@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000264512721 408518582 /nfs/dbraw/zinc/51/85/82/408518582.db2.gz YFVIPNNTXQCWBN-GFCCVEGCSA-N 1 2 323.418 1.313 20 30 DDEDLO C[C@H]([NH2+]CC(=O)N(CCC#N)c1ccccc1)c1nncn1C ZINC000275138494 408579105 /nfs/dbraw/zinc/57/91/05/408579105.db2.gz DCBIHRCONVOJDH-ZDUSSCGKSA-N 1 2 312.377 1.413 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ncc(C2CC2)o1 ZINC000270595582 408593848 /nfs/dbraw/zinc/59/38/48/408593848.db2.gz KZBNAKWWJNJRDJ-UHFFFAOYSA-N 1 2 304.394 1.600 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H]1CO ZINC000248930356 408662145 /nfs/dbraw/zinc/66/21/45/408662145.db2.gz MJLUNAHLRYZOAM-DOMZBBRYSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H]1CO ZINC000248930356 408662149 /nfs/dbraw/zinc/66/21/49/408662149.db2.gz MJLUNAHLRYZOAM-DOMZBBRYSA-N 1 2 308.403 1.035 20 30 DDEDLO CO[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@@H]1C ZINC000251898631 408789457 /nfs/dbraw/zinc/78/94/57/408789457.db2.gz QUUGSFSGWSYXNR-XJKSGUPXSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@@H]1C ZINC000251898631 408789460 /nfs/dbraw/zinc/78/94/60/408789460.db2.gz QUUGSFSGWSYXNR-XJKSGUPXSA-N 1 2 322.430 1.689 20 30 DDEDLO C[C@]1(O)CC[N@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000177999808 408755425 /nfs/dbraw/zinc/75/54/25/408755425.db2.gz KPUIFAHSCIVAJA-HNNXBMFYSA-N 1 2 305.403 1.697 20 30 DDEDLO C[C@]1(O)CC[N@@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000177999808 408755428 /nfs/dbraw/zinc/75/54/28/408755428.db2.gz KPUIFAHSCIVAJA-HNNXBMFYSA-N 1 2 305.403 1.697 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@H](N2CCCC2=O)C1 ZINC000270954238 408759246 /nfs/dbraw/zinc/75/92/46/408759246.db2.gz UBHCQIGDNSSWID-LBPRGKRZSA-N 1 2 318.402 1.255 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@H](N2CCCC2=O)C1 ZINC000270954238 408759252 /nfs/dbraw/zinc/75/92/52/408759252.db2.gz UBHCQIGDNSSWID-LBPRGKRZSA-N 1 2 318.402 1.255 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C(=O)OC)c(C)o2)C1=O ZINC000281225661 408875422 /nfs/dbraw/zinc/87/54/22/408875422.db2.gz KTOVMEDRQMDLQL-CQSZACIVSA-N 1 2 306.362 1.593 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C(=O)OC)c(C)o2)C1=O ZINC000281225661 408875424 /nfs/dbraw/zinc/87/54/24/408875424.db2.gz KTOVMEDRQMDLQL-CQSZACIVSA-N 1 2 306.362 1.593 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(F)cc3C#N)C[C@H]21 ZINC000285872486 408880293 /nfs/dbraw/zinc/88/02/93/408880293.db2.gz RKSFCMWLQUAVGR-HUUCEWRRSA-N 1 2 318.352 1.634 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3ccc(F)cc3C#N)C[C@H]21 ZINC000285872486 408880296 /nfs/dbraw/zinc/88/02/96/408880296.db2.gz RKSFCMWLQUAVGR-HUUCEWRRSA-N 1 2 318.352 1.634 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)N[C@@](C)(C#N)C1CC1)c1c(F)cncc1F ZINC000285617310 408829432 /nfs/dbraw/zinc/82/94/32/408829432.db2.gz KDNRPOIXQGARGI-PSLIRLAXSA-N 1 2 308.332 1.819 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NC[C@H](c1c(F)cccc1F)[NH+](C)C ZINC000290835397 408841429 /nfs/dbraw/zinc/84/14/29/408841429.db2.gz BJAKOYXRBZCCDK-GXFFZTMASA-N 1 2 313.348 1.414 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(C)c2ccccc2)C1=O ZINC000281434853 408886571 /nfs/dbraw/zinc/88/65/71/408886571.db2.gz SRUKBWJLFUUPLO-HNNXBMFYSA-N 1 2 301.390 1.368 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(C)c2ccccc2)C1=O ZINC000281434853 408886574 /nfs/dbraw/zinc/88/65/74/408886574.db2.gz SRUKBWJLFUUPLO-HNNXBMFYSA-N 1 2 301.390 1.368 20 30 DDEDLO C=C(CNS(=O)(=O)CCC[NH+]1CCOCC1)c1ccccc1 ZINC000286679576 408996697 /nfs/dbraw/zinc/99/66/97/408996697.db2.gz LAAJNFZNAACMQA-UHFFFAOYSA-N 1 2 324.446 1.342 20 30 DDEDLO C#CCN(C)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000292458808 409029250 /nfs/dbraw/zinc/02/92/50/409029250.db2.gz OXGRZFQZDCMTBE-INIZCTEOSA-N 1 2 301.390 1.162 20 30 DDEDLO C#CCN(C)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000292458808 409029253 /nfs/dbraw/zinc/02/92/53/409029253.db2.gz OXGRZFQZDCMTBE-INIZCTEOSA-N 1 2 301.390 1.162 20 30 DDEDLO N#CCCOCC[N@H+]1CCOC[C@H]1C[C@@H](O)c1cccs1 ZINC000292928879 409046764 /nfs/dbraw/zinc/04/67/64/409046764.db2.gz YTTAIALPDKLYML-ZIAGYGMSSA-N 1 2 310.419 1.803 20 30 DDEDLO N#CCCOCC[N@@H+]1CCOC[C@H]1C[C@@H](O)c1cccs1 ZINC000292928879 409046766 /nfs/dbraw/zinc/04/67/66/409046766.db2.gz YTTAIALPDKLYML-ZIAGYGMSSA-N 1 2 310.419 1.803 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[NH+](Cc2ccccn2)CC1)C(C)C ZINC000282756996 409063580 /nfs/dbraw/zinc/06/35/80/409063580.db2.gz MYHJAXDYHTVZCV-INIZCTEOSA-N 1 2 300.406 1.567 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000278869373 409104345 /nfs/dbraw/zinc/10/43/45/409104345.db2.gz LXZQHUIXQAWZBL-INIZCTEOSA-N 1 2 319.430 1.558 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000278869373 409104346 /nfs/dbraw/zinc/10/43/46/409104346.db2.gz LXZQHUIXQAWZBL-INIZCTEOSA-N 1 2 319.430 1.558 20 30 DDEDLO N#CCCOCC[NH+]1CCN(C(=O)OCc2ccccc2)CC1 ZINC000293841072 409190494 /nfs/dbraw/zinc/19/04/94/409190494.db2.gz QOUILOOKMNGORL-UHFFFAOYSA-N 1 2 317.389 1.871 20 30 DDEDLO C=C(C)C[C@H](C)c1nc(CC(=O)NCC[NH+]2CCOCC2)no1 ZINC000289194906 409231361 /nfs/dbraw/zinc/23/13/61/409231361.db2.gz QMXNPUNZALHSBB-ZDUSSCGKSA-N 1 2 322.409 1.130 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCN(Cc2ccccc2)[C@H](C#N)C1 ZINC000279569344 409239593 /nfs/dbraw/zinc/23/95/93/409239593.db2.gz ZFTMRKHIWVGAAL-MRXNPFEDSA-N 1 2 323.400 1.497 20 30 DDEDLO Cc1ccc(F)cc1NC(=O)[C@@H](C)O[NH+]=C(N)[C@@H]1CCCO1 ZINC000284039407 409262313 /nfs/dbraw/zinc/26/23/13/409262313.db2.gz IWWXYXMRJNCOMY-MFKMUULPSA-N 1 2 309.341 1.929 20 30 DDEDLO Cn1nc([C@H]2CCC[N@@H+]2CCOc2ccc(C#N)cc2)nc1N ZINC000284241005 409302737 /nfs/dbraw/zinc/30/27/37/409302737.db2.gz KUQNKNBDOCZLAX-CQSZACIVSA-N 1 2 312.377 1.485 20 30 DDEDLO Cn1nc([C@H]2CCC[N@H+]2CCOc2ccc(C#N)cc2)nc1N ZINC000284241005 409302740 /nfs/dbraw/zinc/30/27/40/409302740.db2.gz KUQNKNBDOCZLAX-CQSZACIVSA-N 1 2 312.377 1.485 20 30 DDEDLO NC(=[NH+]O[C@H]1CCN(c2cccc(Cl)c2)C1=O)[C@@H]1CCCO1 ZINC000284487033 409346011 /nfs/dbraw/zinc/34/60/11/409346011.db2.gz SEUODNFFIUPSDB-STQMWFEESA-N 1 2 323.780 1.913 20 30 DDEDLO CC(C)[C@H]([NH2+]CC(=O)N[C@](C)(C#N)C(C)C)c1nncn1C ZINC000285310990 409409988 /nfs/dbraw/zinc/40/99/88/409409988.db2.gz ICCGKNYFHRVMFK-DZGCQCFKSA-N 1 2 306.414 1.156 20 30 DDEDLO CS[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000296210155 409463523 /nfs/dbraw/zinc/46/35/23/409463523.db2.gz JPXMFQDAZWXPLF-LBPRGKRZSA-N 1 2 311.432 1.164 20 30 DDEDLO CS[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000296210155 409463528 /nfs/dbraw/zinc/46/35/28/409463528.db2.gz JPXMFQDAZWXPLF-LBPRGKRZSA-N 1 2 311.432 1.164 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+](Cc2cccc(C#N)c2)[C@H]1C(=O)OC ZINC000354035550 409605661 /nfs/dbraw/zinc/60/56/61/409605661.db2.gz ZAYXAGORNUYPTE-HUUCEWRRSA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+](Cc2cccc(C#N)c2)[C@H]1C(=O)OC ZINC000354035550 409605664 /nfs/dbraw/zinc/60/56/64/409605664.db2.gz ZAYXAGORNUYPTE-HUUCEWRRSA-N 1 2 316.357 1.485 20 30 DDEDLO CC(C)CO[C@H]1CC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000345929464 409738363 /nfs/dbraw/zinc/73/83/63/409738363.db2.gz PKTMJEDGPLIKNW-JKSUJKDBSA-N 1 2 301.390 1.832 20 30 DDEDLO CC(C)CO[C@H]1CC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000345929464 409738369 /nfs/dbraw/zinc/73/83/69/409738369.db2.gz PKTMJEDGPLIKNW-JKSUJKDBSA-N 1 2 301.390 1.832 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@H]1C ZINC000332104218 409887009 /nfs/dbraw/zinc/88/70/09/409887009.db2.gz HKOGKGGTNXIVRS-IUODEOHRSA-N 1 2 302.378 1.130 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNC(=O)NC2CCCC2)cn1 ZINC000328816156 409985804 /nfs/dbraw/zinc/98/58/04/409985804.db2.gz PTHKCQUFYHGWGU-ZFWWWQNUSA-N 1 2 323.416 1.779 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNC(=O)NC2CCCC2)cn1 ZINC000328816156 409985807 /nfs/dbraw/zinc/98/58/07/409985807.db2.gz PTHKCQUFYHGWGU-ZFWWWQNUSA-N 1 2 323.416 1.779 20 30 DDEDLO CCC(=O)N1CC[C@H](NC(=O)NC[C@@H]2CCCn3cc[nH+]c32)C1 ZINC000328614319 409936223 /nfs/dbraw/zinc/93/62/23/409936223.db2.gz QZFLGIXNDWQTMR-STQMWFEESA-N 1 2 319.409 1.275 20 30 DDEDLO O=C(CN1CC[NH+](CCn2cncn2)CC1)NC1CCCCC1 ZINC000328933734 410012443 /nfs/dbraw/zinc/01/24/43/410012443.db2.gz DYVMSMGOYXYHOK-UHFFFAOYSA-N 1 2 320.441 1.185 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1)C1CCOCC1 ZINC000328868027 409996921 /nfs/dbraw/zinc/99/69/21/409996921.db2.gz ONVWSYCZPXGGMC-GXTWGEPZSA-N 1 2 306.410 1.939 20 30 DDEDLO O=C(C[N@@H+]1CCN2C(=O)NC(=O)[C@@H]2C1)NCc1cccs1 ZINC000328874323 409999480 /nfs/dbraw/zinc/99/94/80/409999480.db2.gz FNRNJONROGYBMH-JTQLQIEISA-N 1 2 308.363 1.281 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1)NCc1cccs1 ZINC000328874323 409999487 /nfs/dbraw/zinc/99/94/87/409999487.db2.gz FNRNJONROGYBMH-JTQLQIEISA-N 1 2 308.363 1.281 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[NH+](Cc2ccccc2C)CC1 ZINC000297978448 410068324 /nfs/dbraw/zinc/06/83/24/410068324.db2.gz YUENVXFLRPDTJN-UHFFFAOYSA-N 1 2 315.417 1.284 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H](OCC[NH+]3CCOCC3)C2)CCC1 ZINC000357783817 410248597 /nfs/dbraw/zinc/24/85/97/410248597.db2.gz ZZMXHRVLWPYCFQ-MRXNPFEDSA-N 1 2 322.449 1.683 20 30 DDEDLO C=C[C@@H](C)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000355035258 410253444 /nfs/dbraw/zinc/25/34/44/410253444.db2.gz IAUFJDDTRSDRDK-DOMZBBRYSA-N 1 2 318.421 1.708 20 30 DDEDLO C=C[C@@H](C)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000355035258 410253449 /nfs/dbraw/zinc/25/34/49/410253449.db2.gz IAUFJDDTRSDRDK-DOMZBBRYSA-N 1 2 318.421 1.708 20 30 DDEDLO CCc1cnccc1CNC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329306684 410223161 /nfs/dbraw/zinc/22/31/61/410223161.db2.gz YTJJASHHNJALGS-GJZGRUSLSA-N 1 2 304.394 1.073 20 30 DDEDLO CCc1cnccc1CNC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329306684 410223165 /nfs/dbraw/zinc/22/31/65/410223165.db2.gz YTJJASHHNJALGS-GJZGRUSLSA-N 1 2 304.394 1.073 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)N1CC[C@@](C)(O)C1)C1CCOCC1 ZINC000329312903 410226566 /nfs/dbraw/zinc/22/65/66/410226566.db2.gz GPVGEMUVWPWBQM-CZUORRHYSA-N 1 2 322.409 1.259 20 30 DDEDLO CC(C)c1nnc2n1C[C@H](NC([O-])=[NH+]Cc1[nH+]ccn1C)CC2 ZINC000329316534 410230594 /nfs/dbraw/zinc/23/05/94/410230594.db2.gz HNJFKMJKSPDKPZ-LLVKDONJSA-N 1 2 317.397 1.154 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)c3ccc(C#N)cc3)CC2)cn1 ZINC000357759924 410232958 /nfs/dbraw/zinc/23/29/58/410232958.db2.gz MDZXFRNBEOLONV-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO CC[C@H](C)[N@H+](CC(=O)NC1(C#N)CCC1)CC(=O)OC(C)(C)C ZINC000347003025 410302167 /nfs/dbraw/zinc/30/21/67/410302167.db2.gz XDKZSYPFQYMADX-ZDUSSCGKSA-N 1 2 323.437 1.991 20 30 DDEDLO CC[C@H](C)[N@@H+](CC(=O)NC1(C#N)CCC1)CC(=O)OC(C)(C)C ZINC000347003025 410302173 /nfs/dbraw/zinc/30/21/73/410302173.db2.gz XDKZSYPFQYMADX-ZDUSSCGKSA-N 1 2 323.437 1.991 20 30 DDEDLO O=C(N[C@H]1CCN(c2cccc[nH+]2)C1)N1CCOCC2(CC2)C1 ZINC000329481845 410319991 /nfs/dbraw/zinc/31/99/91/410319991.db2.gz VZKFGWMALHSGBD-AWEZNQCLSA-N 1 2 316.405 1.687 20 30 DDEDLO Cc1cnn(CC[NH+]2CCN(c3snc(C)c3C#N)CC2)c1 ZINC000329483591 410320742 /nfs/dbraw/zinc/32/07/42/410320742.db2.gz WNMBWPLDTHMVOH-UHFFFAOYSA-N 1 2 316.434 1.650 20 30 DDEDLO CCO[C@@H]1C[C@H]1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000298704130 410355987 /nfs/dbraw/zinc/35/59/87/410355987.db2.gz IQRUNCQKCWASFH-IAGOWNOFSA-N 1 2 313.401 1.627 20 30 DDEDLO O=C(NCCc1cn2ccccc2[nH+]1)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000329587141 410372242 /nfs/dbraw/zinc/37/22/42/410372242.db2.gz XETPYJICYUNUFY-QEJZJMRPSA-N 1 2 314.389 1.494 20 30 DDEDLO CCN(CC)C(=O)CO[NH+]=C(N)c1ccc(OC)c(OC)c1 ZINC000298764534 410389900 /nfs/dbraw/zinc/38/99/00/410389900.db2.gz QXCGFBITZXNVIT-UHFFFAOYSA-N 1 2 309.366 1.209 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@H](C(N)=O)O[C@@H](C)C2)cc1Cl ZINC000355269502 410409510 /nfs/dbraw/zinc/40/95/10/410409510.db2.gz VEPUVPFLEZLZPP-XHDPSFHLSA-N 1 2 324.808 1.979 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@H](C(N)=O)O[C@@H](C)C2)cc1Cl ZINC000355269502 410409517 /nfs/dbraw/zinc/40/95/17/410409517.db2.gz VEPUVPFLEZLZPP-XHDPSFHLSA-N 1 2 324.808 1.979 20 30 DDEDLO C=C[C@H](CO)NC(=O)NCC[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000359145931 410564649 /nfs/dbraw/zinc/56/46/49/410564649.db2.gz LVAKRQUILAKLMF-CYBMUJFWSA-N 1 2 323.824 1.544 20 30 DDEDLO C=C[C@H](CO)NC(=O)NCC[N@H+]1CCc2c(Cl)cccc2C1 ZINC000359145931 410564655 /nfs/dbraw/zinc/56/46/55/410564655.db2.gz LVAKRQUILAKLMF-CYBMUJFWSA-N 1 2 323.824 1.544 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCc1cccs1 ZINC000299587709 410598549 /nfs/dbraw/zinc/59/85/49/410598549.db2.gz ZTQMTOUCWDCZKQ-UHFFFAOYSA-N 1 2 321.446 1.755 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NS(=O)(=O)c1cccc(C#N)c1)C1CC1 ZINC000352301045 410609709 /nfs/dbraw/zinc/60/97/09/410609709.db2.gz BFEORSKPQPVZNG-CQSZACIVSA-N 1 2 316.386 1.721 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000330416130 410679743 /nfs/dbraw/zinc/67/97/43/410679743.db2.gz DDCLRLOOUBEUMU-DOMZBBRYSA-N 1 2 314.389 1.486 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000330416130 410679748 /nfs/dbraw/zinc/67/97/48/410679748.db2.gz DDCLRLOOUBEUMU-DOMZBBRYSA-N 1 2 314.389 1.486 20 30 DDEDLO CC#CCN(C)C(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000341037924 410880920 /nfs/dbraw/zinc/88/09/20/410880920.db2.gz HPQFRSGWKNNCIP-OAHLLOKOSA-N 1 2 317.408 1.818 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330774533 410893053 /nfs/dbraw/zinc/89/30/53/410893053.db2.gz YGVHXJIIUQZUCV-CZUORRHYSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330774533 410893057 /nfs/dbraw/zinc/89/30/57/410893057.db2.gz YGVHXJIIUQZUCV-CZUORRHYSA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@@H](C(=O)N(C)CC[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000360160316 411080572 /nfs/dbraw/zinc/08/05/72/411080572.db2.gz BELJJNXEMAOFFP-CQSZACIVSA-N 1 2 301.390 1.452 20 30 DDEDLO CN(C[C@H]1OCC[N@H+](C)[C@H]1c1ccccc1)c1nccnc1C#N ZINC000344324822 411092341 /nfs/dbraw/zinc/09/23/41/411092341.db2.gz QBQPMPVMMBDEFC-SJORKVTESA-N 1 2 323.400 1.856 20 30 DDEDLO CN(C[C@H]1OCC[N@@H+](C)[C@H]1c1ccccc1)c1nccnc1C#N ZINC000344324822 411092348 /nfs/dbraw/zinc/09/23/48/411092348.db2.gz QBQPMPVMMBDEFC-SJORKVTESA-N 1 2 323.400 1.856 20 30 DDEDLO N#CC1CCC(CNC(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)CC1 ZINC000366272201 418450698 /nfs/dbraw/zinc/45/06/98/418450698.db2.gz VBHSNYXEWXJROA-XUJLQICISA-N 1 2 320.437 1.479 20 30 DDEDLO N#CC1CCC(CNC(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)CC1 ZINC000366272201 418450702 /nfs/dbraw/zinc/45/07/02/418450702.db2.gz VBHSNYXEWXJROA-XUJLQICISA-N 1 2 320.437 1.479 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC000367011044 418550641 /nfs/dbraw/zinc/55/06/41/418550641.db2.gz UWXPRUAHDHXVON-KRWDZBQOSA-N 1 2 322.453 1.375 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC000367011044 418550642 /nfs/dbraw/zinc/55/06/42/418550642.db2.gz UWXPRUAHDHXVON-KRWDZBQOSA-N 1 2 322.453 1.375 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1ccccc1C(=O)OC ZINC000191082598 222095722 /nfs/dbraw/zinc/09/57/22/222095722.db2.gz DAYRYHBLGLWYEC-UHFFFAOYSA-N 1 2 306.362 1.546 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1ccccc1C(=O)OC ZINC000191082598 222095723 /nfs/dbraw/zinc/09/57/23/222095723.db2.gz DAYRYHBLGLWYEC-UHFFFAOYSA-N 1 2 306.362 1.546 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1cc(OC)cc(OC)c1 ZINC000191099291 222097133 /nfs/dbraw/zinc/09/71/33/222097133.db2.gz OWNFHNCBEIJEAV-UHFFFAOYSA-N 1 2 308.378 1.777 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1cc(OC)cc(OC)c1 ZINC000191099291 222097135 /nfs/dbraw/zinc/09/71/35/222097135.db2.gz OWNFHNCBEIJEAV-UHFFFAOYSA-N 1 2 308.378 1.777 20 30 DDEDLO COCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)Cc1cccnc1 ZINC000191239708 222099908 /nfs/dbraw/zinc/09/99/08/222099908.db2.gz CMOWZVWPMGGGFN-KRWDZBQOSA-N 1 2 318.421 1.584 20 30 DDEDLO COCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)Cc1cccnc1 ZINC000191239708 222099910 /nfs/dbraw/zinc/09/99/10/222099910.db2.gz CMOWZVWPMGGGFN-KRWDZBQOSA-N 1 2 318.421 1.584 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1ccc(F)c(Cl)c1 ZINC000189285963 222040659 /nfs/dbraw/zinc/04/06/59/222040659.db2.gz IVKXRNGQGIBRLS-UHFFFAOYSA-N 1 2 301.749 1.600 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N[C@@H](C)c1ccc(OCC)c(OC)c1 ZINC000192230657 222123932 /nfs/dbraw/zinc/12/39/32/222123932.db2.gz SXYBTYXRFGDXLU-ZDUSSCGKSA-N 1 2 304.390 1.836 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N[C@@H](C)c1ccc(OCC)c(OC)c1 ZINC000192230657 222123934 /nfs/dbraw/zinc/12/39/34/222123934.db2.gz SXYBTYXRFGDXLU-ZDUSSCGKSA-N 1 2 304.390 1.836 20 30 DDEDLO C=C(C)COCCNc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000192340649 222125685 /nfs/dbraw/zinc/12/56/85/222125685.db2.gz SEVHGBTUIYHPLH-CQSZACIVSA-N 1 2 306.410 1.832 20 30 DDEDLO C=C(C)COCCNc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000192340649 222125687 /nfs/dbraw/zinc/12/56/87/222125687.db2.gz SEVHGBTUIYHPLH-CQSZACIVSA-N 1 2 306.410 1.832 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000193485417 222157260 /nfs/dbraw/zinc/15/72/60/222157260.db2.gz GUEZGUYTYHZVDT-CQSZACIVSA-N 1 2 317.437 1.640 20 30 DDEDLO N#CC1(C(=O)N2CCN(c3cccc[nH+]3)CC2)CCOCC1 ZINC000194488005 222177020 /nfs/dbraw/zinc/17/70/20/222177020.db2.gz ORJRLMDEKATOBY-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)c1cccc(CC#N)c1)[NH+]1CCOCC1 ZINC000264205219 222329342 /nfs/dbraw/zinc/32/93/42/222329342.db2.gz FBAFNMIEOXAKRE-UONOGXRCSA-N 1 2 301.390 1.592 20 30 DDEDLO [O-]C(=[NH+]C[C@@H]1CCCn2cc[nH+]c21)N1CCn2ccnc2C1 ZINC000328598586 418605154 /nfs/dbraw/zinc/60/51/54/418605154.db2.gz BGKVLVDSINIIOZ-LBPRGKRZSA-N 1 2 300.366 1.387 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H](O)c1ccc(F)cc1 ZINC000267056594 222368966 /nfs/dbraw/zinc/36/89/66/222368966.db2.gz HQYFWZIDZFWXFO-QGZVFWFLSA-N 1 2 321.396 1.407 20 30 DDEDLO N#Cc1cc(C(=O)NCCNc2ccc3ccccc3[nH+]2)ccn1 ZINC000356203792 418649330 /nfs/dbraw/zinc/64/93/30/418649330.db2.gz MIVZGHBFTYZQDC-UHFFFAOYSA-N 1 2 317.352 2.343 20 30 DDEDLO C=CC[C@@H](CO)CNc1nc2cc(OC)c(OC)cc2c(N)[nH+]1 ZINC000340874220 418624085 /nfs/dbraw/zinc/62/40/85/418624085.db2.gz DXMCNYITDARVMJ-SNVBAGLBSA-N 1 2 318.377 1.248 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2C[C@@H](O)C3(CC3)C2)c(C#N)c1C ZINC000375305994 418626527 /nfs/dbraw/zinc/62/65/27/418626527.db2.gz ATXXSFXJGCYHCU-ZWNOBZJWSA-N 1 2 303.362 1.552 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2C[C@@H](O)C3(CC3)C2)c(C#N)c1C ZINC000375305994 418626531 /nfs/dbraw/zinc/62/65/31/418626531.db2.gz ATXXSFXJGCYHCU-ZWNOBZJWSA-N 1 2 303.362 1.552 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000377534603 418710621 /nfs/dbraw/zinc/71/06/21/418710621.db2.gz VKTWJISLGBTUTM-BZUAXINKSA-N 1 2 308.422 1.291 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC000377683762 418713441 /nfs/dbraw/zinc/71/34/41/418713441.db2.gz TUKWCNPNJXEJMB-QRTARXTBSA-N 1 2 307.438 1.930 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC000377683762 418713445 /nfs/dbraw/zinc/71/34/45/418713445.db2.gz TUKWCNPNJXEJMB-QRTARXTBSA-N 1 2 307.438 1.930 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+]2CCN3C(=O)OC[C@@H]3C2)cc1 ZINC000378345723 418721023 /nfs/dbraw/zinc/72/10/23/418721023.db2.gz MMYNSFLRXYDPIC-OCCSQVGLSA-N 1 2 301.346 1.614 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+]2CCN3C(=O)OC[C@@H]3C2)cc1 ZINC000378345723 418721025 /nfs/dbraw/zinc/72/10/25/418721025.db2.gz MMYNSFLRXYDPIC-OCCSQVGLSA-N 1 2 301.346 1.614 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH2+][C@H](c3cccnc3)C2)ccc1F ZINC000375908660 418690490 /nfs/dbraw/zinc/69/04/90/418690490.db2.gz CIZASYJQXJKFGM-INIZCTEOSA-N 1 2 310.332 1.879 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cccc(F)c1C#N ZINC000376171780 418693760 /nfs/dbraw/zinc/69/37/60/418693760.db2.gz KZFPPUMGLGTCFF-QWHCGFSZSA-N 1 2 317.364 1.633 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCN3C(=O)OC[C@@H]3C2)cc(OC)c1O ZINC000378345545 418721611 /nfs/dbraw/zinc/72/16/11/418721611.db2.gz LKBWXHKWBJKRKM-AWEZNQCLSA-N 1 2 318.373 1.766 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCN3C(=O)OC[C@@H]3C2)cc(OC)c1O ZINC000378345545 418721614 /nfs/dbraw/zinc/72/16/14/418721614.db2.gz LKBWXHKWBJKRKM-AWEZNQCLSA-N 1 2 318.373 1.766 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH+]1CC(Cc2ccccc2F)C1 ZINC000378327270 418721629 /nfs/dbraw/zinc/72/16/29/418721629.db2.gz REZAKMGXBYGAFC-UHFFFAOYSA-N 1 2 305.353 1.312 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC1CCN(c2cc(C)[nH+]cn2)CC1 ZINC000370270361 418742739 /nfs/dbraw/zinc/74/27/39/418742739.db2.gz ZLEFMZZSDLDLPH-AWEZNQCLSA-N 1 2 318.421 1.851 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CC(CC)CC)CC1 ZINC000370425199 418743701 /nfs/dbraw/zinc/74/37/01/418743701.db2.gz RFVTUXXUGODNBS-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CC(CC)CC)CC1 ZINC000370425199 418743704 /nfs/dbraw/zinc/74/37/04/418743704.db2.gz RFVTUXXUGODNBS-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+]1CC[C@@H](CN2CCOCC2)C1 ZINC000362275459 418752135 /nfs/dbraw/zinc/75/21/35/418752135.db2.gz DFHRFXMIDWHXMS-AWEZNQCLSA-N 1 2 303.381 1.851 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+]1CC[C@@H](CN2CCOCC2)C1 ZINC000362275459 418752136 /nfs/dbraw/zinc/75/21/36/418752136.db2.gz DFHRFXMIDWHXMS-AWEZNQCLSA-N 1 2 303.381 1.851 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCO[C@H](COCC2CC2)C1 ZINC000362605446 418756835 /nfs/dbraw/zinc/75/68/35/418756835.db2.gz FQDGNUJEBOLMTG-WBVHZDCISA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCO[C@H](COCC2CC2)C1 ZINC000362605446 418756838 /nfs/dbraw/zinc/75/68/38/418756838.db2.gz FQDGNUJEBOLMTG-WBVHZDCISA-N 1 2 324.465 1.927 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000362963504 418761473 /nfs/dbraw/zinc/76/14/73/418761473.db2.gz AHJKATUXXGGHQI-ZDUSSCGKSA-N 1 2 303.322 1.137 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000362963504 418761474 /nfs/dbraw/zinc/76/14/74/418761474.db2.gz AHJKATUXXGGHQI-ZDUSSCGKSA-N 1 2 303.322 1.137 20 30 DDEDLO CNC(=O)C[N@@H+]1CCCN([C@H](C)c2ccc(C#N)cc2)CC1 ZINC000363545248 418767328 /nfs/dbraw/zinc/76/73/28/418767328.db2.gz JCGJDCARUYWWRG-CQSZACIVSA-N 1 2 300.406 1.373 20 30 DDEDLO CNC(=O)C[N@H+]1CCCN([C@H](C)c2ccc(C#N)cc2)CC1 ZINC000363545248 418767329 /nfs/dbraw/zinc/76/73/29/418767329.db2.gz JCGJDCARUYWWRG-CQSZACIVSA-N 1 2 300.406 1.373 20 30 DDEDLO C=CCCCCNC(=O)N1CC[C@H]([NH+]2CCN(CC)CC2)C1 ZINC000368810837 418725908 /nfs/dbraw/zinc/72/59/08/418725908.db2.gz PTHLVWMYHAUMIK-INIZCTEOSA-N 1 2 308.470 1.764 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@H]1CCN(c2c(C#N)cccc2C#N)C1 ZINC000368935023 418727184 /nfs/dbraw/zinc/72/71/84/418727184.db2.gz ZAVWYINJYSJELW-INIZCTEOSA-N 1 2 318.384 1.931 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@H]1CCN(c2c(C#N)cccc2C#N)C1 ZINC000368935023 418727187 /nfs/dbraw/zinc/72/71/87/418727187.db2.gz ZAVWYINJYSJELW-INIZCTEOSA-N 1 2 318.384 1.931 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)C(=O)Nc1cccc(C)c1C#N)CC2 ZINC000371733966 418812381 /nfs/dbraw/zinc/81/23/81/418812381.db2.gz DMMDVHYYQNIOMI-UHFFFAOYSA-N 1 2 323.356 1.353 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@H](C(=O)N1CCOC[C@@H]1C#N)C2 ZINC000372400094 418863781 /nfs/dbraw/zinc/86/37/81/418863781.db2.gz AVWDIEJADDXFHP-RYUDHWBXSA-N 1 2 302.378 1.389 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@@H](C(=O)N1CCOC[C@@H]1C#N)CC2 ZINC000372400094 418863783 /nfs/dbraw/zinc/86/37/83/418863783.db2.gz AVWDIEJADDXFHP-RYUDHWBXSA-N 1 2 302.378 1.389 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+]([C@@H]3CCCCNC3=O)CC2)CCC1 ZINC000372776990 418900965 /nfs/dbraw/zinc/90/09/65/418900965.db2.gz RDIJXKGGUFOQBO-OAHLLOKOSA-N 1 2 319.449 1.546 20 30 DDEDLO C[NH+]1CCN(C[C@@H]2CCCN(C(=O)C3(C#N)CCCC3)C2)CC1 ZINC000365114161 418838396 /nfs/dbraw/zinc/83/83/96/418838396.db2.gz CBJJCVITYMNGHF-INIZCTEOSA-N 1 2 318.465 1.556 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[C@H](c3[nH+]ccn3C)C2)s1 ZINC000372283504 418850695 /nfs/dbraw/zinc/85/06/95/418850695.db2.gz XZJPQIUADWSIGN-NSHDSACASA-N 1 2 317.418 2.104 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@H](C)C[C@H](CO)C1 ZINC000420928857 419361548 /nfs/dbraw/zinc/36/15/48/419361548.db2.gz ATGFDMJOFFASDH-INWMFGNUSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@H](C)C[C@H](CO)C1 ZINC000420928857 419361551 /nfs/dbraw/zinc/36/15/51/419361551.db2.gz ATGFDMJOFFASDH-INWMFGNUSA-N 1 2 302.374 1.723 20 30 DDEDLO CC(C)(CNC(=O)c1ncc(C#N)cc1Cl)[NH+]1CCOCC1 ZINC000427686418 419714470 /nfs/dbraw/zinc/71/44/70/419714470.db2.gz FEETYTHRTPLDNQ-UHFFFAOYSA-N 1 2 322.796 1.447 20 30 DDEDLO C[N@H+](Cc1cccc(F)c1C#N)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000428771044 419930765 /nfs/dbraw/zinc/93/07/65/419930765.db2.gz HBMDUCGKNVNURE-LBPRGKRZSA-N 1 2 311.382 1.163 20 30 DDEDLO C[N@@H+](Cc1cccc(F)c1C#N)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000428771044 419930769 /nfs/dbraw/zinc/93/07/69/419930769.db2.gz HBMDUCGKNVNURE-LBPRGKRZSA-N 1 2 311.382 1.163 20 30 DDEDLO COCCN(c1cc[nH+]cc1)S(=O)(=O)c1ccc(C#N)s1 ZINC000435430662 229384704 /nfs/dbraw/zinc/38/47/04/229384704.db2.gz DNUJHTIUBXBKPP-UHFFFAOYSA-N 1 2 323.399 1.856 20 30 DDEDLO CCC[N@H+](CC(N)=O)CC(=O)N(CCC#N)c1ccccc1 ZINC000429140483 419975095 /nfs/dbraw/zinc/97/50/95/419975095.db2.gz HIQUVERMERMOSI-UHFFFAOYSA-N 1 2 302.378 1.131 20 30 DDEDLO CCC[N@@H+](CC(N)=O)CC(=O)N(CCC#N)c1ccccc1 ZINC000429140483 419975100 /nfs/dbraw/zinc/97/51/00/419975100.db2.gz HIQUVERMERMOSI-UHFFFAOYSA-N 1 2 302.378 1.131 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@H](C)[S@@](=O)CC1 ZINC000429576775 420028220 /nfs/dbraw/zinc/02/82/20/420028220.db2.gz HJHABUATRLJNPV-YRVVQQKDSA-N 1 2 300.468 1.642 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[C@H](C)[S@@](=O)CC1 ZINC000429576775 420028224 /nfs/dbraw/zinc/02/82/24/420028224.db2.gz HJHABUATRLJNPV-YRVVQQKDSA-N 1 2 300.468 1.642 20 30 DDEDLO CO[C@H](CC(C)C)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000416145712 420256442 /nfs/dbraw/zinc/25/64/42/420256442.db2.gz ROXPYQCVQWYILK-OAHLLOKOSA-N 1 2 311.426 1.122 20 30 DDEDLO C[C@@H](NS(=O)(=O)[C@@H](C)C#N)c1cccc(-n2cc[nH+]c2)c1 ZINC000416409960 420360483 /nfs/dbraw/zinc/36/04/83/420360483.db2.gz DGKHIZAEECTJCE-NWDGAFQWSA-N 1 2 304.375 1.765 20 30 DDEDLO CC(C)(O)C1C[NH+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000435900478 420293433 /nfs/dbraw/zinc/29/34/33/420293433.db2.gz BKDRAHSTGZTSFR-UHFFFAOYSA-N 1 2 308.403 1.035 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1nc(NC(C)(C)C)nc(NCC)[nH+]1 ZINC000450757509 420523304 /nfs/dbraw/zinc/52/33/04/420523304.db2.gz MEJWSHDFXGTSKK-MNOVXSKESA-N 1 2 306.414 1.113 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1nc(NCC)[nH+]c(NC(C)(C)C)n1 ZINC000450757509 420523311 /nfs/dbraw/zinc/52/33/11/420523311.db2.gz MEJWSHDFXGTSKK-MNOVXSKESA-N 1 2 306.414 1.113 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1nc(NCC)nc(NC(C)(C)C)[nH+]1 ZINC000450757509 420523316 /nfs/dbraw/zinc/52/33/16/420523316.db2.gz MEJWSHDFXGTSKK-MNOVXSKESA-N 1 2 306.414 1.113 20 30 DDEDLO CO[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1C ZINC000451535636 420601572 /nfs/dbraw/zinc/60/15/72/420601572.db2.gz AXPFDQLHKKPBFF-CZUORRHYSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1C ZINC000451535636 420601574 /nfs/dbraw/zinc/60/15/74/420601574.db2.gz AXPFDQLHKKPBFF-CZUORRHYSA-N 1 2 322.430 1.689 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCC=C(c2cccnc2)C1 ZINC000451021463 420581523 /nfs/dbraw/zinc/58/15/23/420581523.db2.gz DAOUPBQPNSSYTN-UHFFFAOYSA-N 1 2 300.362 1.183 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCC=C(c2cccnc2)C1 ZINC000451021463 420581526 /nfs/dbraw/zinc/58/15/26/420581526.db2.gz DAOUPBQPNSSYTN-UHFFFAOYSA-N 1 2 300.362 1.183 20 30 DDEDLO N#C[C@]1(NC(=O)/C=C/c2ccc(-n3cc[nH+]c3)cc2)CCOC1 ZINC000492699309 420632418 /nfs/dbraw/zinc/63/24/18/420632418.db2.gz BJFZHZWKXXRXSM-ZNNBYXKUSA-N 1 2 308.341 1.684 20 30 DDEDLO C=CC(C)(C)CNC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000452772541 420658418 /nfs/dbraw/zinc/65/84/18/420658418.db2.gz FTFUNPHNTZHAPP-UHFFFAOYSA-N 1 2 303.406 1.856 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C/c1ccc(OCC#N)cc1 ZINC000493659608 420909145 /nfs/dbraw/zinc/90/91/45/420909145.db2.gz LUDNVOBNTXNCLU-NADMHLTPSA-N 1 2 312.373 1.562 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCC[C@@H]2CS(C)(=O)=O)cc1C#N ZINC000449528641 421022204 /nfs/dbraw/zinc/02/22/04/421022204.db2.gz QUZCMYDKWASZSQ-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1ccc(C[N@H+]2CCCC[C@@H]2CS(C)(=O)=O)cc1C#N ZINC000449528641 421022205 /nfs/dbraw/zinc/02/22/05/421022205.db2.gz QUZCMYDKWASZSQ-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO C=C[C@@H]1CCCCN1S(=O)(=O)CCC[NH+]1CCOCC1 ZINC000487418096 421024169 /nfs/dbraw/zinc/02/41/69/421024169.db2.gz ZHGVKLIDVHOHPJ-CQSZACIVSA-N 1 2 302.440 1.079 20 30 DDEDLO C#CC[C@@H]([NH2+]C1CC2(C1)CN(C(=O)OC(C)(C)C)C2)C(=O)OC ZINC000495978734 421109137 /nfs/dbraw/zinc/10/91/37/421109137.db2.gz DAYZXLRZUPYEGQ-CYBMUJFWSA-N 1 2 322.405 1.540 20 30 DDEDLO N#Cc1ccc(Cl)c(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000456208787 421119931 /nfs/dbraw/zinc/11/99/31/421119931.db2.gz WEFGGBYCFDOGQO-BETUJISGSA-N 1 2 319.792 1.805 20 30 DDEDLO N#Cc1ccc(Cl)c(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000456208787 421119933 /nfs/dbraw/zinc/11/99/33/421119933.db2.gz WEFGGBYCFDOGQO-BETUJISGSA-N 1 2 319.792 1.805 20 30 DDEDLO CN(C)C(=O)O[C@@H]1CC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000495871516 421079740 /nfs/dbraw/zinc/07/97/40/421079740.db2.gz GBUATRNCWLOKKI-GFCCVEGCSA-N 1 2 318.333 1.739 20 30 DDEDLO CN(C)C(=O)O[C@@H]1CC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000495871516 421079743 /nfs/dbraw/zinc/07/97/43/421079743.db2.gz GBUATRNCWLOKKI-GFCCVEGCSA-N 1 2 318.333 1.739 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000523058396 421231775 /nfs/dbraw/zinc/23/17/75/421231775.db2.gz JBPAQYVBFBDBIU-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000523058396 421231778 /nfs/dbraw/zinc/23/17/78/421231778.db2.gz JBPAQYVBFBDBIU-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1)n1cnc(C#N)n1 ZINC000546672120 421302661 /nfs/dbraw/zinc/30/26/61/421302661.db2.gz QDLGUIHWLQLCJY-SNVBAGLBSA-N 1 2 307.317 1.740 20 30 DDEDLO C[C@@H](CNC(=O)OC(C)(C)C)[N@H+](C)Cc1ncc(C#N)cn1 ZINC000497302357 421403958 /nfs/dbraw/zinc/40/39/58/421403958.db2.gz VSNGMWKRSKRDSI-NSHDSACASA-N 1 2 305.382 1.693 20 30 DDEDLO C[C@@H](CNC(=O)OC(C)(C)C)[N@@H+](C)Cc1ncc(C#N)cn1 ZINC000497302357 421403959 /nfs/dbraw/zinc/40/39/59/421403959.db2.gz VSNGMWKRSKRDSI-NSHDSACASA-N 1 2 305.382 1.693 20 30 DDEDLO N#Cc1ccc(C[C@@H](C#N)C(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000527498151 421391774 /nfs/dbraw/zinc/39/17/74/421391774.db2.gz JJGHBIBWVRTDQX-HOTGVXAUSA-N 1 2 319.368 1.568 20 30 DDEDLO CC(=O)N[C@H](CC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1ccccc1 ZINC000514941933 421468368 /nfs/dbraw/zinc/46/83/68/421468368.db2.gz LNBRYKXRSLFVPD-WBVHZDCISA-N 1 2 316.405 1.214 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cnc(C#N)c(Cl)c3)C[C@@H]21 ZINC000515337398 421492495 /nfs/dbraw/zinc/49/24/95/421492495.db2.gz LIBMIXLYTZHBOG-QWHCGFSZSA-N 1 2 321.768 1.153 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cnc(C#N)c(Cl)c3)C[C@@H]21 ZINC000515337398 421492498 /nfs/dbraw/zinc/49/24/98/421492498.db2.gz LIBMIXLYTZHBOG-QWHCGFSZSA-N 1 2 321.768 1.153 20 30 DDEDLO N#Cc1csc(C[N@H+]2CC3(CCOCC3)CC[C@@H]2CO)n1 ZINC000528639800 421503261 /nfs/dbraw/zinc/50/32/61/421503261.db2.gz MJJFXBDUOSFPCR-CYBMUJFWSA-N 1 2 307.419 1.768 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CC3(CCOCC3)CC[C@@H]2CO)n1 ZINC000528639800 421503262 /nfs/dbraw/zinc/50/32/62/421503262.db2.gz MJJFXBDUOSFPCR-CYBMUJFWSA-N 1 2 307.419 1.768 20 30 DDEDLO COC(=O)CC1([NH2+]Cc2nnc(-c3cccc(C#N)c3)o2)CC1 ZINC000563889487 421552494 /nfs/dbraw/zinc/55/24/94/421552494.db2.gz JBTDVROJZRSGCH-UHFFFAOYSA-N 1 2 312.329 1.794 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1ccc(-n2cccc2)cc1 ZINC000564576236 421592245 /nfs/dbraw/zinc/59/22/45/421592245.db2.gz LOPIVRGAVZBZJP-GOSISDBHSA-N 1 2 310.401 1.980 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1csc(C(F)(F)F)n1 ZINC000567475767 421612074 /nfs/dbraw/zinc/61/20/74/421612074.db2.gz WZQSNMMABCODOQ-SNVBAGLBSA-N 1 2 306.313 1.736 20 30 DDEDLO Cc1nc2[nH]ccc2c(N(C)CCCc2[nH]nc(N)c2C#N)[nH+]1 ZINC000531071882 421623553 /nfs/dbraw/zinc/62/35/53/421623553.db2.gz BMAYZTBEAJARRC-UHFFFAOYSA-N 1 2 310.365 1.512 20 30 DDEDLO Cc1nc(N)c(CNC(=O)[C@@H](C#N)CCc2ccccc2)c[nH+]1 ZINC000517239364 421602796 /nfs/dbraw/zinc/60/27/96/421602796.db2.gz SPPKRBCIEVOEGU-CQSZACIVSA-N 1 2 309.373 1.756 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](CC(N)=O)C(C)(C)C)c1C#N ZINC000534471672 421692231 /nfs/dbraw/zinc/69/22/31/421692231.db2.gz XMNZXUBGNHQALJ-UHFFFAOYSA-N 1 2 303.362 1.431 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](CC(N)=O)C(C)(C)C)c1C#N ZINC000534471672 421692232 /nfs/dbraw/zinc/69/22/32/421692232.db2.gz XMNZXUBGNHQALJ-UHFFFAOYSA-N 1 2 303.362 1.431 20 30 DDEDLO C=CCC[C@H]1NC(=O)N(C[C@H]2CCCn3cc(C)[nH+]c32)C1=O ZINC000571186603 421695559 /nfs/dbraw/zinc/69/55/59/421695559.db2.gz VJKRUQKSVYKXKZ-CHWSQXEVSA-N 1 2 302.378 1.956 20 30 DDEDLO CC[C@@H](C)Oc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)ccn1 ZINC000571734559 421739016 /nfs/dbraw/zinc/73/90/16/421739016.db2.gz YHWJSONZGWBCFC-MLGOLLRUSA-N 1 2 304.394 1.833 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000520421753 421750246 /nfs/dbraw/zinc/75/02/46/421750246.db2.gz GXYBRUPPSBJZJU-GASCZTMLSA-N 1 2 314.389 1.851 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000520421753 421750248 /nfs/dbraw/zinc/75/02/48/421750248.db2.gz GXYBRUPPSBJZJU-GASCZTMLSA-N 1 2 314.389 1.851 20 30 DDEDLO C=CCN(CCOC)c1nc(NCCO)c2ccccc2[nH+]1 ZINC000572545683 421799316 /nfs/dbraw/zinc/79/93/16/421799316.db2.gz DUWHXUHFGLLLPQ-UHFFFAOYSA-N 1 2 302.378 1.673 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)C2(c3cccc(C#N)c3)CC2)CCO1 ZINC000532937979 269860390 /nfs/dbraw/zinc/86/03/90/269860390.db2.gz HEXJDGDPBNHRCK-CQSZACIVSA-N 1 2 313.401 1.427 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)C2(c3cccc(C#N)c3)CC2)CCO1 ZINC000532937979 269860392 /nfs/dbraw/zinc/86/03/92/269860392.db2.gz HEXJDGDPBNHRCK-CQSZACIVSA-N 1 2 313.401 1.427 20 30 DDEDLO CC(C)(C)n1ncc2c1nc(NCC(C)(C)CC#N)[nH+]c2N ZINC000596263172 422372783 /nfs/dbraw/zinc/37/27/83/422372783.db2.gz DGUXJRZKXBPLGH-UHFFFAOYSA-N 1 2 301.398 2.515 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000576366050 422373272 /nfs/dbraw/zinc/37/32/72/422373272.db2.gz IPERLLDGSXITAO-FQUUOJAGSA-N 1 2 304.394 1.439 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000576366050 422373276 /nfs/dbraw/zinc/37/32/76/422373276.db2.gz IPERLLDGSXITAO-FQUUOJAGSA-N 1 2 304.394 1.439 20 30 DDEDLO C[C@@H]1C[C@H](NS(=O)(=O)c2ccc(F)c(C#N)c2)c2[nH+]ccn21 ZINC000577817772 422432937 /nfs/dbraw/zinc/43/29/37/422432937.db2.gz CNLLHILSHYVILE-RNCFNFMXSA-N 1 2 320.349 1.878 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)NC[C@H]1COCC[N@@H+]1C ZINC000628916067 422383715 /nfs/dbraw/zinc/38/37/15/422383715.db2.gz WLUJLDUHKRVCDG-ZDUSSCGKSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)NC[C@H]1COCC[N@H+]1C ZINC000628916067 422383719 /nfs/dbraw/zinc/38/37/19/422383719.db2.gz WLUJLDUHKRVCDG-ZDUSSCGKSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CC[C@@H](C)NC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000633020932 422714206 /nfs/dbraw/zinc/71/42/06/422714206.db2.gz UGQWPSMKABOABQ-CQSZACIVSA-N 1 2 303.410 1.311 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N2c3ccccc3C[C@@H]2C)nn1 ZINC000640760579 423168963 /nfs/dbraw/zinc/16/89/63/423168963.db2.gz HTZDGEYVHKYTAX-AWEZNQCLSA-N 1 2 323.400 1.369 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H](NC(=O)N(C)C)C2)nn1 ZINC000653755312 423595744 /nfs/dbraw/zinc/59/57/44/423595744.db2.gz SLAOOHQELGBFCR-ZDUSSCGKSA-N 1 2 306.414 1.090 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H](NC(=O)N(C)C)C2)nn1 ZINC000653755312 423595746 /nfs/dbraw/zinc/59/57/46/423595746.db2.gz SLAOOHQELGBFCR-ZDUSSCGKSA-N 1 2 306.414 1.090 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+][C@@H](c1nccn1C)C(C)(C)CO ZINC000639773036 423727837 /nfs/dbraw/zinc/72/78/37/423727837.db2.gz MIPBYLAWCLTWGA-HNNXBMFYSA-N 1 2 320.437 1.270 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@](C)(C(F)F)C1 ZINC000662373974 424592787 /nfs/dbraw/zinc/59/27/87/424592787.db2.gz LNIQSTPQKKLOEW-WFASDCNBSA-N 1 2 302.365 1.932 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@](C)(C(F)F)C1 ZINC000662373974 424592791 /nfs/dbraw/zinc/59/27/91/424592791.db2.gz LNIQSTPQKKLOEW-WFASDCNBSA-N 1 2 302.365 1.932 20 30 DDEDLO CCN(C)C(=O)CC[N@@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000367137672 266099648 /nfs/dbraw/zinc/09/96/48/266099648.db2.gz DPNBYGCIJKQKJQ-MRXNPFEDSA-N 1 2 301.390 1.800 20 30 DDEDLO CCN(C)C(=O)CC[N@H+]1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000367137672 266099649 /nfs/dbraw/zinc/09/96/49/266099649.db2.gz DPNBYGCIJKQKJQ-MRXNPFEDSA-N 1 2 301.390 1.800 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(c2nccnc2C)CC1 ZINC000374142172 266855959 /nfs/dbraw/zinc/85/59/59/266855959.db2.gz JAWTWBAYEURLEO-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)CC(C)(C)O1 ZINC000299247453 267045342 /nfs/dbraw/zinc/04/53/42/267045342.db2.gz UOSBFUSBJRNRGX-CYBMUJFWSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CC(C)(C)O1 ZINC000299247453 267045347 /nfs/dbraw/zinc/04/53/47/267045347.db2.gz UOSBFUSBJRNRGX-CYBMUJFWSA-N 1 2 301.390 1.674 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1snc(Cl)c1C#N ZINC000376202041 267091006 /nfs/dbraw/zinc/09/10/06/267091006.db2.gz XZRLMHSSFUEFPD-ZJUUUORDSA-N 1 2 312.826 1.968 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](CCC(=O)Nc2sccc2C#N)CCN1C ZINC000357010283 267103843 /nfs/dbraw/zinc/10/38/43/267103843.db2.gz WXVPLGPONZRFPS-VXGBXAGGSA-N 1 2 306.435 1.973 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](CCC(=O)Nc2sccc2C#N)CCN1C ZINC000357010283 267103844 /nfs/dbraw/zinc/10/38/44/267103844.db2.gz WXVPLGPONZRFPS-VXGBXAGGSA-N 1 2 306.435 1.973 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)c1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000360003692 267160821 /nfs/dbraw/zinc/16/08/21/267160821.db2.gz FBCRJHKOGSLBGI-KGLIPLIRSA-N 1 2 301.390 1.499 20 30 DDEDLO CCn1cc[nH+]c1[C@H]1CCCCN1C(=O)c1cnn(C)c1C#N ZINC000435995160 267258876 /nfs/dbraw/zinc/25/88/76/267258876.db2.gz JHNCMBJPUSIFFO-CYBMUJFWSA-N 1 2 312.377 1.876 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)N1CCn2c[nH+]cc2C1 ZINC000368154315 267281044 /nfs/dbraw/zinc/28/10/44/267281044.db2.gz YCKUXEPAPIOIHZ-UHFFFAOYSA-N 1 2 309.329 1.044 20 30 DDEDLO Cc1[nH+]c[nH]c1CNC(=O)c1cnc(C(F)(F)F)c(C#N)c1 ZINC000341748876 267645216 /nfs/dbraw/zinc/64/52/16/267645216.db2.gz CGEVZSOYVFZPNA-UHFFFAOYSA-N 1 2 309.251 1.934 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCOC3(CCCC3)C2)c1 ZINC000366215630 268250961 /nfs/dbraw/zinc/25/09/61/268250961.db2.gz XUNHAAVJLHJVJI-UHFFFAOYSA-N 1 2 313.401 1.819 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCOC3(CCCC3)C2)c1 ZINC000366215630 268250966 /nfs/dbraw/zinc/25/09/66/268250966.db2.gz XUNHAAVJLHJVJI-UHFFFAOYSA-N 1 2 313.401 1.819 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000353089772 268257403 /nfs/dbraw/zinc/25/74/03/268257403.db2.gz SJSAOLMHKBWUSQ-CQSZACIVSA-N 1 2 317.364 1.219 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000353089772 268257406 /nfs/dbraw/zinc/25/74/06/268257406.db2.gz SJSAOLMHKBWUSQ-CQSZACIVSA-N 1 2 317.364 1.219 20 30 DDEDLO N#Cc1cccc(CC(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)c1 ZINC000531154977 268272924 /nfs/dbraw/zinc/27/29/24/268272924.db2.gz SBGFEZZWONSFDK-UHFFFAOYSA-N 1 2 309.373 1.168 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459433287 277578199 /nfs/dbraw/zinc/57/81/99/277578199.db2.gz GLBUABXVMQCEQC-ZDUSSCGKSA-N 1 2 318.377 1.619 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+]2CCOC[C@@]23CCOC3)cc1 ZINC000195015138 278132893 /nfs/dbraw/zinc/13/28/93/278132893.db2.gz GKVHYQTWHZBDEQ-PBHICJAKSA-N 1 2 302.374 1.969 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+]2CCOC[C@@]23CCOC3)cc1 ZINC000195015138 278132895 /nfs/dbraw/zinc/13/28/95/278132895.db2.gz GKVHYQTWHZBDEQ-PBHICJAKSA-N 1 2 302.374 1.969 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)NCCC2(O)CCC2)CC1 ZINC000329388333 278259829 /nfs/dbraw/zinc/25/98/29/278259829.db2.gz RHJDEJBROSJBSE-UHFFFAOYSA-N 1 2 312.414 1.137 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)OC ZINC000248805535 279172620 /nfs/dbraw/zinc/17/26/20/279172620.db2.gz GUMUQJZERNBYPI-YDHLFZDLSA-N 1 2 307.394 1.022 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)OC ZINC000248805535 279172623 /nfs/dbraw/zinc/17/26/23/279172623.db2.gz GUMUQJZERNBYPI-YDHLFZDLSA-N 1 2 307.394 1.022 20 30 DDEDLO C[C@H](C(=O)Nc1ncccn1)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000329176101 279240994 /nfs/dbraw/zinc/24/09/94/279240994.db2.gz MJLTXKWRZVYVBH-MGPQQGTHSA-N 1 2 319.409 1.248 20 30 DDEDLO C[N@@H+]1CCN(C(=O)N[C@@H]2CC[S@](=O)C2)[C@H](c2ccccc2)C1 ZINC000330034326 290005849 /nfs/dbraw/zinc/00/58/49/290005849.db2.gz WHJKRCCLSVFXQI-GTQRCTGISA-N 1 2 321.446 1.410 20 30 DDEDLO C[N@H+]1CCN(C(=O)N[C@@H]2CC[S@](=O)C2)[C@H](c2ccccc2)C1 ZINC000330034326 290005850 /nfs/dbraw/zinc/00/58/50/290005850.db2.gz WHJKRCCLSVFXQI-GTQRCTGISA-N 1 2 321.446 1.410 20 30 DDEDLO COc1cc(/C=C\C(=O)N[C@@](C)(C#N)C[NH+](C)C)ccc1F ZINC000493119967 294917965 /nfs/dbraw/zinc/91/79/65/294917965.db2.gz PSCHLKCUTRBCIQ-IOBAAEILSA-N 1 2 305.353 1.808 20 30 DDEDLO O=C(N[C@@H]1CCCc2c[nH]nc21)N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000330111431 295380404 /nfs/dbraw/zinc/38/04/04/295380404.db2.gz BAUPOMOCHQKUTH-ZIAGYGMSSA-N 1 2 319.409 1.108 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CC[C@H](NC(C)=O)C2)c1C#N ZINC000577091526 308362445 /nfs/dbraw/zinc/36/24/45/308362445.db2.gz JPZJMJMPMHUENW-ZDUSSCGKSA-N 1 2 301.346 1.055 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CC[C@H](NC(C)=O)C2)c1C#N ZINC000577091526 308362446 /nfs/dbraw/zinc/36/24/46/308362446.db2.gz JPZJMJMPMHUENW-ZDUSSCGKSA-N 1 2 301.346 1.055 20 30 DDEDLO CCc1oc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1C(=O)OC ZINC000570805821 332819899 /nfs/dbraw/zinc/81/98/99/332819899.db2.gz OAKJLDKKGVMSAB-HNNXBMFYSA-N 1 2 307.350 1.202 20 30 DDEDLO CC(=O)Nc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)ccc1F ZINC000584295191 332223608 /nfs/dbraw/zinc/22/36/08/332223608.db2.gz UOUNRUMQADTGDG-OAHLLOKOSA-N 1 2 306.341 1.358 20 30 DDEDLO COc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c(C)c1OC ZINC000563220830 333485395 /nfs/dbraw/zinc/48/53/95/333485395.db2.gz WEABJZRENUNNDG-INIZCTEOSA-N 1 2 305.378 1.586 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000563341195 333591591 /nfs/dbraw/zinc/59/15/91/333591591.db2.gz FZDFVNIBILEHKC-KGLIPLIRSA-N 1 2 311.426 1.130 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@@H](NC(=O)Cc1ccc(OCC#N)cc1)C2 ZINC000110265970 337389035 /nfs/dbraw/zinc/38/90/35/337389035.db2.gz DMJUFIMFCYORSK-OAHLLOKOSA-N 1 2 324.384 1.768 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1nccn1-c1ccccc1 ZINC000276641555 337665514 /nfs/dbraw/zinc/66/55/14/337665514.db2.gz QVMUTNIGSLXZRB-UHFFFAOYSA-N 1 2 305.403 1.563 20 30 DDEDLO C[C@H](NC(=O)N1CCC(CCC#N)CC1)[C@H](C)[NH+]1CCOCC1 ZINC000572478603 338135869 /nfs/dbraw/zinc/13/58/69/338135869.db2.gz SIRDVNTXRBUNSZ-GJZGRUSLSA-N 1 2 322.453 1.821 20 30 DDEDLO C#CC[C@H]([NH2+][C@H](C)C1CN(C(=O)OC(C)(C)C)C1)C(=O)OC ZINC000496539656 340007439 /nfs/dbraw/zinc/00/74/39/340007439.db2.gz LSQMYIJJJLXLMB-YPMHNXCESA-N 1 2 310.394 1.396 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CCN1CCc2[nH+]c[nH]c2C1 ZINC000528507132 340722024 /nfs/dbraw/zinc/72/20/24/340722024.db2.gz VUQJCFVHDIFLEF-UHFFFAOYSA-N 1 2 320.352 1.067 20 30 DDEDLO N#CCSCC(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC000067656099 340860132 /nfs/dbraw/zinc/86/01/32/340860132.db2.gz VAZVFZTXBJBCFR-UHFFFAOYSA-N 1 2 305.403 1.714 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccccc2OCC)CC1 ZINC000128378463 340879951 /nfs/dbraw/zinc/87/99/51/340879951.db2.gz RMLYWVPVEWTVHI-UHFFFAOYSA-N 1 2 302.374 1.242 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)N2CCCC2)CC1 ZINC000134929695 341226889 /nfs/dbraw/zinc/22/68/89/341226889.db2.gz FFZMJKCNFZHQNW-GOSISDBHSA-N 1 2 321.465 1.745 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)N2CCCC2)CC1 ZINC000134929695 341226890 /nfs/dbraw/zinc/22/68/90/341226890.db2.gz FFZMJKCNFZHQNW-GOSISDBHSA-N 1 2 321.465 1.745 20 30 DDEDLO C[C@@H](CNC(=O)c1cccc(SCC#N)c1)[NH+]1CCOCC1 ZINC000079507252 341260380 /nfs/dbraw/zinc/26/03/80/341260380.db2.gz IYVIDTGAITUBBQ-ZDUSSCGKSA-N 1 2 319.430 1.753 20 30 DDEDLO C[C@H]1CCN(C(=O)Nc2nn(C)cc2C#N)C[C@H]1n1cc[nH+]c1 ZINC000610730876 483936775 /nfs/dbraw/zinc/93/67/75/483936775.db2.gz TXROQPVLGMLKQY-WCQYABFASA-N 1 2 313.365 1.603 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000667651624 484712623 /nfs/dbraw/zinc/71/26/23/484712623.db2.gz BXNKNCFZMWKYJQ-CQSZACIVSA-N 1 2 304.394 1.587 20 30 DDEDLO C=C[C@](C)(O)C(=O)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000667138707 485413314 /nfs/dbraw/zinc/41/33/14/485413314.db2.gz QITUXQDKQGPZMR-KRWDZBQOSA-N 1 2 303.406 1.310 20 30 DDEDLO C=CCOCCNc1nc2cc(OC)c(OC)cc2c(N)[nH+]1 ZINC000679471652 485895681 /nfs/dbraw/zinc/89/56/81/485895681.db2.gz OXVNLLPUXMYESS-UHFFFAOYSA-N 1 2 304.350 1.844 20 30 DDEDLO C=CCOCCNC(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000679532073 485910733 /nfs/dbraw/zinc/91/07/33/485910733.db2.gz FFHQTDWAFNCELM-UHFFFAOYSA-N 1 2 314.345 1.130 20 30 DDEDLO C=CCOCCNC(=O)N(C)Cc1[nH+]ccn1CC(F)(F)F ZINC000679629936 485943244 /nfs/dbraw/zinc/94/32/44/485943244.db2.gz MGZGXPVOABGFRX-UHFFFAOYSA-N 1 2 320.315 1.789 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cnnn2-c2ccccc2)C1=O ZINC000676673902 486286244 /nfs/dbraw/zinc/28/62/44/486286244.db2.gz GAEUHVSFWVOWDU-INIZCTEOSA-N 1 2 311.389 1.486 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cnnn2-c2ccccc2)C1=O ZINC000676673902 486286248 /nfs/dbraw/zinc/28/62/48/486286248.db2.gz GAEUHVSFWVOWDU-INIZCTEOSA-N 1 2 311.389 1.486 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(C(=O)CC(C)(C)C)CC2)C1=O ZINC000684999213 486483718 /nfs/dbraw/zinc/48/37/18/486483718.db2.gz CJATWQCLEDFJDT-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)C1(c2cccc(C#N)c2)CC1 ZINC000435054038 533859816 /nfs/dbraw/zinc/85/98/16/533859816.db2.gz ZXUPIMSTVKQNAH-AWEZNQCLSA-N 1 2 313.401 1.427 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000330289016 534162757 /nfs/dbraw/zinc/16/27/57/534162757.db2.gz DMLCMCKPVVWLMN-DUVNUKRYSA-N 1 2 319.405 1.687 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000330289016 534162761 /nfs/dbraw/zinc/16/27/61/534162761.db2.gz DMLCMCKPVVWLMN-DUVNUKRYSA-N 1 2 319.405 1.687 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)[N@@H+]1C[C@H]2OCCN(C)[C@H]2C1 ZINC000330289016 534162769 /nfs/dbraw/zinc/16/27/69/534162769.db2.gz DMLCMCKPVVWLMN-DUVNUKRYSA-N 1 2 319.405 1.687 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)[N@H+]1C[C@H]2OCCN(C)[C@H]2C1 ZINC000330289016 534162779 /nfs/dbraw/zinc/16/27/79/534162779.db2.gz DMLCMCKPVVWLMN-DUVNUKRYSA-N 1 2 319.405 1.687 20 30 DDEDLO COC(=O)c1ccccc1NC(=O)C[NH2+][C@@H]1CCC[C@H]1C#N ZINC000459475224 534292719 /nfs/dbraw/zinc/29/27/19/534292719.db2.gz ZJRRJQMEWUOPQM-WCQYABFASA-N 1 2 301.346 1.694 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@H+]3C[C@@H](F)C[C@H]3CO)C2=O)cc1 ZINC000331412616 534789331 /nfs/dbraw/zinc/78/93/31/534789331.db2.gz GSMMRRDKDDOLRY-CWRNSKLLSA-N 1 2 317.364 1.458 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@@H+]3C[C@@H](F)C[C@H]3CO)C2=O)cc1 ZINC000331412616 534789339 /nfs/dbraw/zinc/78/93/39/534789339.db2.gz GSMMRRDKDDOLRY-CWRNSKLLSA-N 1 2 317.364 1.458 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCc1ccc(N(CC)CC)[nH+]c1 ZINC000340089235 526477378 /nfs/dbraw/zinc/47/73/78/526477378.db2.gz GZJFKQOLDCWWQE-UHFFFAOYSA-N 1 2 318.421 1.579 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N2[C@H](C)CCC[C@@H]2C)C1=O ZINC000337218885 526503811 /nfs/dbraw/zinc/50/38/11/526503811.db2.gz JIUSPGHOSLPKRX-QXSJWSMHSA-N 1 2 321.465 1.883 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N2[C@H](C)CCC[C@@H]2C)C1=O ZINC000337218885 526503815 /nfs/dbraw/zinc/50/38/15/526503815.db2.gz JIUSPGHOSLPKRX-QXSJWSMHSA-N 1 2 321.465 1.883 20 30 DDEDLO C#CC[N@H+](CC#CC)Cc1c(C)nn(CCC(=O)OC)c1C ZINC000490924613 526863816 /nfs/dbraw/zinc/86/38/16/526863816.db2.gz QPPQKSMFHXQMSI-UHFFFAOYSA-N 1 2 301.390 1.522 20 30 DDEDLO C#CC[N@@H+](CC#CC)Cc1c(C)nn(CCC(=O)OC)c1C ZINC000490924613 526863820 /nfs/dbraw/zinc/86/38/20/526863820.db2.gz QPPQKSMFHXQMSI-UHFFFAOYSA-N 1 2 301.390 1.522 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@@H]3COC[C@H](C2)O3)cc1OC ZINC000338961381 526872873 /nfs/dbraw/zinc/87/28/73/526872873.db2.gz AHSUBOKRHYGOCA-GASCZTMLSA-N 1 2 305.374 1.860 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@@H]3COC[C@H](C2)O3)cc1OC ZINC000338961381 526872876 /nfs/dbraw/zinc/87/28/76/526872876.db2.gz AHSUBOKRHYGOCA-GASCZTMLSA-N 1 2 305.374 1.860 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1CCN(Cc2ccco2)CC1)C1CC1 ZINC000491635422 526882670 /nfs/dbraw/zinc/88/26/70/526882670.db2.gz UZLZAXALUFOFCV-UHFFFAOYSA-N 1 2 301.390 1.021 20 30 DDEDLO C#CC[N@H+](CC(=O)N1CCN(Cc2ccco2)CC1)C1CC1 ZINC000491635422 526882678 /nfs/dbraw/zinc/88/26/78/526882678.db2.gz UZLZAXALUFOFCV-UHFFFAOYSA-N 1 2 301.390 1.021 20 30 DDEDLO C#CC[N@@H+](CC(=O)N(CCC(N)=O)c1ccc(F)cc1)C1CC1 ZINC000491808526 526883303 /nfs/dbraw/zinc/88/33/03/526883303.db2.gz VCSYRHGPGWRRFT-UHFFFAOYSA-N 1 2 317.364 1.132 20 30 DDEDLO C#CC[N@H+](CC(=O)N(CCC(N)=O)c1ccc(F)cc1)C1CC1 ZINC000491808526 526883308 /nfs/dbraw/zinc/88/33/08/526883308.db2.gz VCSYRHGPGWRRFT-UHFFFAOYSA-N 1 2 317.364 1.132 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN2C[C@H](C)Oc3ccccc32)CC1 ZINC000490760280 526950563 /nfs/dbraw/zinc/95/05/63/526950563.db2.gz KMSHJPCUPRBXPG-HNNXBMFYSA-N 1 2 313.401 1.051 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cc(F)ccc2Cl)CC1 ZINC000491677779 526951976 /nfs/dbraw/zinc/95/19/76/526951976.db2.gz ZSIQRAQAYWXGLS-UHFFFAOYSA-N 1 2 310.756 1.635 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cccc(SC)c2C)CC1 ZINC000490915043 526952379 /nfs/dbraw/zinc/95/23/79/526952379.db2.gz LDCREYWZUGKOGN-UHFFFAOYSA-N 1 2 317.458 1.906 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc3c(c2)CCCO3)CC1 ZINC000491717479 526952665 /nfs/dbraw/zinc/95/26/65/526952665.db2.gz WQXLPKPNJFHURL-UHFFFAOYSA-N 1 2 314.385 1.168 20 30 DDEDLO C#CCN1CCN(C(=O)COc2ccccc2-n2cc[nH+]c2)CC1 ZINC000490799069 526952683 /nfs/dbraw/zinc/95/26/83/526952683.db2.gz AXNWDUOLGIJELW-UHFFFAOYSA-N 1 2 324.384 1.029 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccn3C)n2C2CC2)CC1 ZINC000491711805 526955695 /nfs/dbraw/zinc/95/56/95/526955695.db2.gz WNVWPLXZZGTJEK-UHFFFAOYSA-N 1 2 310.405 1.374 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)C)n2CCCOCC)CC1 ZINC000491156232 526956146 /nfs/dbraw/zinc/95/61/46/526956146.db2.gz YZUREUQNGVTEML-UHFFFAOYSA-N 1 2 319.453 1.583 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ncccc3C)n2CC)CC1 ZINC000491203111 526956488 /nfs/dbraw/zinc/95/64/88/526956488.db2.gz SKQYOLBLGQHELJ-UHFFFAOYSA-N 1 2 310.405 1.424 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](CC=C)Cc1cccc([N+](=O)[O-])c1 ZINC000491669336 527024411 /nfs/dbraw/zinc/02/44/11/527024411.db2.gz ZXKXLXRJROVVGG-ZDUSSCGKSA-N 1 2 301.346 1.721 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](CC=C)Cc1cccc([N+](=O)[O-])c1 ZINC000491669336 527024416 /nfs/dbraw/zinc/02/44/16/527024416.db2.gz ZXKXLXRJROVVGG-ZDUSSCGKSA-N 1 2 301.346 1.721 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000346950955 527090840 /nfs/dbraw/zinc/09/08/40/527090840.db2.gz WSEDXMOGLABKTG-NSHDSACASA-N 1 2 308.769 1.724 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)CCn2cc(C)cn2)n1 ZINC000491208976 527189821 /nfs/dbraw/zinc/18/98/21/527189821.db2.gz BETSTTLAQSPIDX-UHFFFAOYSA-N 1 2 301.394 1.170 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)CCn2cc(C)cn2)n1 ZINC000491208976 527189824 /nfs/dbraw/zinc/18/98/24/527189824.db2.gz BETSTTLAQSPIDX-UHFFFAOYSA-N 1 2 301.394 1.170 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)N(CC)CC(=O)NCc1cccs1 ZINC000491251547 527211417 /nfs/dbraw/zinc/21/14/17/527211417.db2.gz AJDLXDGJGNNAHE-CYBMUJFWSA-N 1 2 321.446 1.166 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)N(CC)CC(=O)NCc1cccs1 ZINC000491251547 527211422 /nfs/dbraw/zinc/21/14/22/527211422.db2.gz AJDLXDGJGNNAHE-CYBMUJFWSA-N 1 2 321.446 1.166 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000491193272 527252697 /nfs/dbraw/zinc/25/26/97/527252697.db2.gz XJSKBMRHSANDSL-HNNXBMFYSA-N 1 2 300.406 1.711 20 30 DDEDLO C=CCCOCCNc1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000413210748 527369608 /nfs/dbraw/zinc/36/96/08/527369608.db2.gz PARBWCKAHLVGCE-KBPBESRZSA-N 1 2 306.410 1.688 20 30 DDEDLO C=CCCOCCNc1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000413210748 527369615 /nfs/dbraw/zinc/36/96/15/527369615.db2.gz PARBWCKAHLVGCE-KBPBESRZSA-N 1 2 306.410 1.688 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000457655903 527469763 /nfs/dbraw/zinc/46/97/63/527469763.db2.gz OXRIYMCTUBRVOD-OAHLLOKOSA-N 1 2 318.421 1.808 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000451767247 527636244 /nfs/dbraw/zinc/63/62/44/527636244.db2.gz ZBPHRDDYCYHEIN-LHSJRXKWSA-N 1 2 312.479 1.809 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000451767247 527636248 /nfs/dbraw/zinc/63/62/48/527636248.db2.gz ZBPHRDDYCYHEIN-LHSJRXKWSA-N 1 2 312.479 1.809 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCOc2ccc(OC)cc2C1 ZINC000451641948 528361161 /nfs/dbraw/zinc/36/11/61/528361161.db2.gz JMALXWMKAKMPPQ-UHFFFAOYSA-N 1 2 317.389 1.652 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCOc2ccc(OC)cc2C1 ZINC000451641948 528361164 /nfs/dbraw/zinc/36/11/64/528361164.db2.gz JMALXWMKAKMPPQ-UHFFFAOYSA-N 1 2 317.389 1.652 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000336908865 528390771 /nfs/dbraw/zinc/39/07/71/528390771.db2.gz GZUOLDJMKSXJIB-UHFFFAOYSA-N 1 2 321.406 1.213 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)Nc2ncc(OC)s2)CC1 ZINC000330485319 528748895 /nfs/dbraw/zinc/74/88/95/528748895.db2.gz AXFUGBIQYSZURO-UHFFFAOYSA-N 1 2 314.411 1.351 20 30 DDEDLO CCN1CCN(C(=O)c2ccc(C#N)nc2)C[C@H]1c1[nH]cc[nH+]1 ZINC000433104859 529134277 /nfs/dbraw/zinc/13/42/77/529134277.db2.gz NARCBIDKRSBRHH-AWEZNQCLSA-N 1 2 310.361 1.195 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cncc(C)c3)C2)C1 ZINC000972313622 695213847 /nfs/dbraw/zinc/21/38/47/695213847.db2.gz YDLINGHJOPFOQO-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cncc(C)c3)C2)C1 ZINC000972313622 695213850 /nfs/dbraw/zinc/21/38/50/695213850.db2.gz YDLINGHJOPFOQO-QGZVFWFLSA-N 1 2 301.390 1.493 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3CC(C)(C)C)C2)C1 ZINC000972389128 695238117 /nfs/dbraw/zinc/23/81/17/695238117.db2.gz FVYDHKDHRSWLDQ-FRQCXROJSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3CC(C)(C)C)C2)C1 ZINC000972389128 695238118 /nfs/dbraw/zinc/23/81/18/695238118.db2.gz FVYDHKDHRSWLDQ-FRQCXROJSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)c(F)c3)C2)C1 ZINC000972455627 695255412 /nfs/dbraw/zinc/25/54/12/695255412.db2.gz GDJUMGUHHNVKLV-SFHVURJKSA-N 1 2 316.376 1.684 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(C)c(F)c3)C2)C1 ZINC000972455627 695255414 /nfs/dbraw/zinc/25/54/14/695255414.db2.gz GDJUMGUHHNVKLV-SFHVURJKSA-N 1 2 316.376 1.684 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3occ4c3CCC4)C2)C1 ZINC000972482978 695261219 /nfs/dbraw/zinc/26/12/19/695261219.db2.gz FGWKJQVWHJSWER-GOSISDBHSA-N 1 2 316.401 1.871 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3occ4c3CCC4)C2)C1 ZINC000972482978 695261220 /nfs/dbraw/zinc/26/12/20/695261220.db2.gz FGWKJQVWHJSWER-GOSISDBHSA-N 1 2 316.401 1.871 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(OC)ccn3)C2)C1 ZINC000972491914 695264556 /nfs/dbraw/zinc/26/45/56/695264556.db2.gz FJBDIUGWQOPOQU-QGZVFWFLSA-N 1 2 317.389 1.193 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(OC)ccn3)C2)C1 ZINC000972491914 695264557 /nfs/dbraw/zinc/26/45/57/695264557.db2.gz FJBDIUGWQOPOQU-QGZVFWFLSA-N 1 2 317.389 1.193 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3CC=CCC3)C2)C1 ZINC000972503601 695266761 /nfs/dbraw/zinc/26/67/61/695266761.db2.gz HEUNGFIVBCBRKU-AEFFLSMTSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3CC=CCC3)C2)C1 ZINC000972503601 695266764 /nfs/dbraw/zinc/26/67/64/695266764.db2.gz HEUNGFIVBCBRKU-AEFFLSMTSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3Cc4ccccc43)C2)C1 ZINC000972525801 695272718 /nfs/dbraw/zinc/27/27/18/695272718.db2.gz CJSYRDBPPPJALX-MJGOQNOKSA-N 1 2 310.397 1.263 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3Cc4ccccc43)C2)C1 ZINC000972525801 695272719 /nfs/dbraw/zinc/27/27/19/695272719.db2.gz CJSYRDBPPPJALX-MJGOQNOKSA-N 1 2 310.397 1.263 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@]34C[C@@H]3CCCC4)C2)C1 ZINC000972543519 695277911 /nfs/dbraw/zinc/27/79/11/695277911.db2.gz PKEWPXYACZKQBV-UHOSZYNNSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@]34C[C@@H]3CCCC4)C2)C1 ZINC000972543519 695277912 /nfs/dbraw/zinc/27/79/12/695277912.db2.gz PKEWPXYACZKQBV-UHOSZYNNSA-N 1 2 316.445 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccncc3Cl)C2)C1 ZINC000972568434 695285697 /nfs/dbraw/zinc/28/56/97/695285697.db2.gz AQHPWCVYOSQTAW-INIZCTEOSA-N 1 2 321.808 1.838 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccncc3Cl)C2)C1 ZINC000972568434 695285699 /nfs/dbraw/zinc/28/56/99/695285699.db2.gz AQHPWCVYOSQTAW-INIZCTEOSA-N 1 2 321.808 1.838 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)[nH]c3C)C2)C1 ZINC000972570042 695286062 /nfs/dbraw/zinc/28/60/62/695286062.db2.gz REUWSECTEYRHLA-QGZVFWFLSA-N 1 2 301.390 1.182 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)[nH]c3C)C2)C1 ZINC000972570042 695286064 /nfs/dbraw/zinc/28/60/64/695286064.db2.gz REUWSECTEYRHLA-QGZVFWFLSA-N 1 2 301.390 1.182 20 30 DDEDLO C=C(Cl)CN1CCO[C@@]2(CCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000972611503 695298320 /nfs/dbraw/zinc/29/83/20/695298320.db2.gz IOOGNBNQAUTUMR-HNNXBMFYSA-N 1 2 324.812 1.008 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3nccs3)C2)C1 ZINC000972611883 695298632 /nfs/dbraw/zinc/29/86/32/695298632.db2.gz NTAUXLLAIHFFKJ-HNNXBMFYSA-N 1 2 305.403 1.083 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3nccs3)C2)C1 ZINC000972611883 695298634 /nfs/dbraw/zinc/29/86/34/695298634.db2.gz NTAUXLLAIHFFKJ-HNNXBMFYSA-N 1 2 305.403 1.083 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cccc(F)c3)C2)C1 ZINC000972662678 695312834 /nfs/dbraw/zinc/31/28/34/695312834.db2.gz SWKRKYGXNZXWOF-GOSISDBHSA-N 1 2 316.376 1.305 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cccc(F)c3)C2)C1 ZINC000972662678 695312837 /nfs/dbraw/zinc/31/28/37/695312837.db2.gz SWKRKYGXNZXWOF-GOSISDBHSA-N 1 2 316.376 1.305 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc4ccccc4[nH]3)C2)C1 ZINC000972666812 695313486 /nfs/dbraw/zinc/31/34/86/695313486.db2.gz HUSLWRZWNQAJBA-LJQANCHMSA-N 1 2 323.396 1.718 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc4ccccc4[nH]3)C2)C1 ZINC000972666812 695313488 /nfs/dbraw/zinc/31/34/88/695313488.db2.gz HUSLWRZWNQAJBA-LJQANCHMSA-N 1 2 323.396 1.718 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973017257 695396417 /nfs/dbraw/zinc/39/64/17/695396417.db2.gz UBRFLEMOMRMHQF-NEPJUHHUSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973017257 695396420 /nfs/dbraw/zinc/39/64/20/695396420.db2.gz UBRFLEMOMRMHQF-NEPJUHHUSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC000973527052 695490503 /nfs/dbraw/zinc/49/05/03/695490503.db2.gz LNMYYDMUIIVIJO-HAQNSBGRSA-N 1 2 302.378 1.072 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC000974404847 695663389 /nfs/dbraw/zinc/66/33/89/695663389.db2.gz FPQJLXGSVUYZHQ-TXEJJXNPSA-N 1 2 302.378 1.072 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)C(C)(C)C1 ZINC000974671290 695708721 /nfs/dbraw/zinc/70/87/21/695708721.db2.gz DYGWBCHVMYHMIF-LLVKDONJSA-N 1 2 308.813 1.699 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)C(C)(C)C1 ZINC000974671290 695708722 /nfs/dbraw/zinc/70/87/22/695708722.db2.gz DYGWBCHVMYHMIF-LLVKDONJSA-N 1 2 308.813 1.699 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cn3cc(C)nc3s2)C(C)(C)C1 ZINC000974682680 695710907 /nfs/dbraw/zinc/71/09/07/695710907.db2.gz NXFCUUDJPSEFDM-CYBMUJFWSA-N 1 2 316.430 1.778 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cn3cc(C)nc3s2)C(C)(C)C1 ZINC000974682680 695710909 /nfs/dbraw/zinc/71/09/09/695710909.db2.gz NXFCUUDJPSEFDM-CYBMUJFWSA-N 1 2 316.430 1.778 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@H]2CCCNC2=O)C(C)(C)C1 ZINC000974696405 695713587 /nfs/dbraw/zinc/71/35/87/695713587.db2.gz YCUZTKHKVPSTAB-RYUDHWBXSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@H]2CCCNC2=O)C(C)(C)C1 ZINC000974696405 695713589 /nfs/dbraw/zinc/71/35/89/695713589.db2.gz YCUZTKHKVPSTAB-RYUDHWBXSA-N 1 2 313.829 1.092 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)C(C)(C)C1 ZINC000977626185 696239204 /nfs/dbraw/zinc/23/92/04/696239204.db2.gz DYTSHDDUHITOMU-AWEZNQCLSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2[nH]nnc2-c2ccccc2)C(C)(C)C1 ZINC000977626185 696239205 /nfs/dbraw/zinc/23/92/05/696239205.db2.gz DYTSHDDUHITOMU-AWEZNQCLSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(C)CNC(=S)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000747723612 700084058 /nfs/dbraw/zinc/08/40/58/700084058.db2.gz MUMQIRXDABOYAQ-INIZCTEOSA-N 1 2 319.474 1.928 20 30 DDEDLO C=C(C)CNC(=S)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000747723612 700084059 /nfs/dbraw/zinc/08/40/59/700084059.db2.gz MUMQIRXDABOYAQ-INIZCTEOSA-N 1 2 319.474 1.928 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CCCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC000981758515 696904526 /nfs/dbraw/zinc/90/45/26/696904526.db2.gz SVMLEJYMSKQIOY-HNNXBMFYSA-N 1 2 324.428 1.875 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CCCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC000981758515 696904528 /nfs/dbraw/zinc/90/45/28/696904528.db2.gz SVMLEJYMSKQIOY-HNNXBMFYSA-N 1 2 324.428 1.875 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCN(C(=O)c3ccc(C#N)[nH]3)CC2)on1 ZINC000980991955 696973976 /nfs/dbraw/zinc/97/39/76/696973976.db2.gz VVKHXHMNIYWJPM-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO Cc1cc(C[N@H+]2CCCN(C(=O)c3ccc(C#N)[nH]3)CC2)on1 ZINC000980991955 696973979 /nfs/dbraw/zinc/97/39/79/696973979.db2.gz VVKHXHMNIYWJPM-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2c(F)cc(OC)cc2F)CC1 ZINC000982007500 697000896 /nfs/dbraw/zinc/00/08/96/697000896.db2.gz FRWLHHNSCOGPGI-UHFFFAOYSA-N 1 2 308.328 1.755 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2c(F)cc(OC)cc2F)CC1 ZINC000982007500 697000899 /nfs/dbraw/zinc/00/08/99/697000899.db2.gz FRWLHHNSCOGPGI-UHFFFAOYSA-N 1 2 308.328 1.755 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2cnc(OC)nc2C)cc1 ZINC000799175348 700126444 /nfs/dbraw/zinc/12/64/44/700126444.db2.gz WDGRTJQWOXKDPT-UHFFFAOYSA-N 1 2 302.334 1.639 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000799271719 700131298 /nfs/dbraw/zinc/13/12/98/700131298.db2.gz JFONGUNSVMIDKQ-GFCCVEGCSA-N 1 2 304.394 1.865 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cnc3ccccc3c2O)CC1 ZINC000981528864 697119678 /nfs/dbraw/zinc/11/96/78/697119678.db2.gz NHAQGNGZXHZQBI-UHFFFAOYSA-N 1 2 309.369 1.309 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cnc3ccccc3c2O)CC1 ZINC000981528864 697119680 /nfs/dbraw/zinc/11/96/80/697119680.db2.gz NHAQGNGZXHZQBI-UHFFFAOYSA-N 1 2 309.369 1.309 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)NCc2ccn3cc[nH+]c3c2)c1 ZINC000178030390 697419992 /nfs/dbraw/zinc/41/99/92/697419992.db2.gz GLQASQZGTNSWML-UHFFFAOYSA-N 1 2 318.336 1.571 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)OC(=O)Cc1ccc(OCC#N)cc1 ZINC000181655989 697463783 /nfs/dbraw/zinc/46/37/83/697463783.db2.gz JFAJXOOZMFGANV-CQSZACIVSA-N 1 2 318.373 1.395 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1ccccc1-n1cc[nH+]c1)[C@H]1CCCO1 ZINC000773232794 697731896 /nfs/dbraw/zinc/73/18/96/697731896.db2.gz FOAQRIHBINTLHG-NVXWUHKLSA-N 1 2 324.384 1.852 20 30 DDEDLO CCOC(=O)[C@@]1(C)CC(=O)N(C[NH+]2CCC(C)(C#N)CC2)C1 ZINC000774566146 697896026 /nfs/dbraw/zinc/89/60/26/697896026.db2.gz OYWKOFOAWOOLGV-INIZCTEOSA-N 1 2 307.394 1.371 20 30 DDEDLO C[C@H]1C[N@@H+](C2CC2)CC1N=Nc1cccc(S(C)(=O)=O)c1 ZINC000776264692 698089431 /nfs/dbraw/zinc/08/94/31/698089431.db2.gz YWZBRYSXTUPSFU-NSHDSACASA-N 1 2 307.419 1.972 20 30 DDEDLO C[C@H]1C[N@H+](C2CC2)CC1N=Nc1cccc(S(C)(=O)=O)c1 ZINC000776264692 698089435 /nfs/dbraw/zinc/08/94/35/698089435.db2.gz YWZBRYSXTUPSFU-NSHDSACASA-N 1 2 307.419 1.972 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+](C)[C@H](C)CS(C)(=O)=O)cc1 ZINC000245737175 698338266 /nfs/dbraw/zinc/33/82/66/698338266.db2.gz LCSXMSAPZRISCI-CHWSQXEVSA-N 1 2 310.419 1.842 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+](C)[C@H](C)CS(C)(=O)=O)cc1 ZINC000245737175 698338269 /nfs/dbraw/zinc/33/82/69/698338269.db2.gz LCSXMSAPZRISCI-CHWSQXEVSA-N 1 2 310.419 1.842 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@@H](CNC(=O)OC(C)(C)C)C[C@@H]1C ZINC000800364966 700218416 /nfs/dbraw/zinc/21/84/16/700218416.db2.gz RCDDICZXEJKFKT-YNEHKIRRSA-N 1 2 312.410 1.949 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@@H](CNC(=O)OC(C)(C)C)C[C@@H]1C ZINC000800364966 700218418 /nfs/dbraw/zinc/21/84/18/700218418.db2.gz RCDDICZXEJKFKT-YNEHKIRRSA-N 1 2 312.410 1.949 20 30 DDEDLO C[C@H]1C[C@H]([NH2+]Cc2csnn2)CN1C(=O)c1ccc(C#N)[nH]1 ZINC000988658643 698429801 /nfs/dbraw/zinc/42/98/01/698429801.db2.gz ZFUWLZNFBUPYTE-ONGXEEELSA-N 1 2 316.390 1.131 20 30 DDEDLO C[C@@H]1C[C@H]([NH2+]Cc2nc(C(F)F)no2)CN1C(=O)C#CC1CC1 ZINC000988828934 698478014 /nfs/dbraw/zinc/47/80/14/698478014.db2.gz YVDCQIZMYRFVSK-KOLCDFICSA-N 1 2 324.331 1.500 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=Cc2cccn2Cc2ccccc2C#N)N1 ZINC000780243503 698500217 /nfs/dbraw/zinc/50/02/17/698500217.db2.gz UUBGRVLJCWCDTC-CYBMUJFWSA-N 1 2 306.373 1.679 20 30 DDEDLO N#CCC1CC[NH+](CN2C(=O)C[C@]3(CCSC3)C2=O)CC1 ZINC000781572058 698631647 /nfs/dbraw/zinc/63/16/47/698631647.db2.gz LFBSROUZXONXBE-HNNXBMFYSA-N 1 2 307.419 1.452 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3conc3C)CC2)nc1 ZINC000989505035 698648814 /nfs/dbraw/zinc/64/88/14/698648814.db2.gz BDCXCOFMOXPEQP-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3conc3C)CC2)nc1 ZINC000989505035 698648816 /nfs/dbraw/zinc/64/88/16/698648816.db2.gz BDCXCOFMOXPEQP-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)NCC(C)(C)C#N)c(N(C)C)[nH+]1 ZINC000782006271 698673296 /nfs/dbraw/zinc/67/32/96/698673296.db2.gz MWBSVFNENBFIQQ-UHFFFAOYSA-N 1 2 303.366 1.061 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000750879365 700253150 /nfs/dbraw/zinc/25/31/50/700253150.db2.gz DFZWNSRWGOMVTF-AWEZNQCLSA-N 1 2 309.369 1.774 20 30 DDEDLO N#Cc1ccc(C(=O)NCC[NH+]2CCN(c3ccccc3)CC2)o1 ZINC000794223465 699798199 /nfs/dbraw/zinc/79/81/99/699798199.db2.gz ZNSZKEFZNBLYIJ-UHFFFAOYSA-N 1 2 324.384 1.703 20 30 DDEDLO CCCNC(=S)N[NH+]=Cc1c(C)nn(-c2ccccc2)c1N ZINC000794877737 699833820 /nfs/dbraw/zinc/83/38/20/699833820.db2.gz BALIUUMAFMNUSB-UHFFFAOYSA-N 1 2 316.434 1.971 20 30 DDEDLO C=CCNC(=O)COC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000796004207 699906276 /nfs/dbraw/zinc/90/62/76/699906276.db2.gz UGHHUCUZEATANF-UHFFFAOYSA-N 1 2 323.299 1.468 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2nc(SC)ncc2Cl)CC1 ZINC000744366121 699946773 /nfs/dbraw/zinc/94/67/73/699946773.db2.gz CGSPBVJTTPPHED-UHFFFAOYSA-N 1 2 324.837 1.633 20 30 DDEDLO C#CCOCCNC(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC000753384959 700428361 /nfs/dbraw/zinc/42/83/61/700428361.db2.gz URYJDPDLCDSXPR-UHFFFAOYSA-N 1 2 305.378 1.498 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](C)c1nnc([C@H](C)CC)[nH]1 ZINC000754430075 700501938 /nfs/dbraw/zinc/50/19/38/700501938.db2.gz PYFHJODTBZEARN-UPJWGTAASA-N 1 2 303.410 1.593 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)c1nnc([C@H](C)CC)[nH]1 ZINC000754430075 700501941 /nfs/dbraw/zinc/50/19/41/700501941.db2.gz PYFHJODTBZEARN-UPJWGTAASA-N 1 2 303.410 1.593 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](C)c1ccc([S@@](C)=O)cc1 ZINC000756050040 700600854 /nfs/dbraw/zinc/60/08/54/700600854.db2.gz ZGBHLOTZRXQVNZ-JFUMZLFPSA-N 1 2 318.442 1.699 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)c1ccc([S@@](C)=O)cc1 ZINC000756050040 700600855 /nfs/dbraw/zinc/60/08/55/700600855.db2.gz ZGBHLOTZRXQVNZ-JFUMZLFPSA-N 1 2 318.442 1.699 20 30 DDEDLO Cc1cc(N2CCN(C[C@H](O)CC#N)CC2)nc(C(C)C)[nH+]1 ZINC000809572771 701670351 /nfs/dbraw/zinc/67/03/51/701670351.db2.gz RZLIIXQREAVAPM-CQSZACIVSA-N 1 2 303.410 1.305 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@H+](CC)CC(=O)NC ZINC000764201114 700963390 /nfs/dbraw/zinc/96/33/90/700963390.db2.gz GRLCFJBSQPJENZ-CYBMUJFWSA-N 1 2 323.462 1.719 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@@H+](CC)CC(=O)NC ZINC000764201114 700963391 /nfs/dbraw/zinc/96/33/91/700963391.db2.gz GRLCFJBSQPJENZ-CYBMUJFWSA-N 1 2 323.462 1.719 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCCCOc2cccc(C#N)c2)C1 ZINC000805474844 701384349 /nfs/dbraw/zinc/38/43/49/701384349.db2.gz HKKGJKVXGBQVHV-MRXNPFEDSA-N 1 2 318.373 1.591 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCCCOc2cccc(C#N)c2)C1 ZINC000805474844 701384350 /nfs/dbraw/zinc/38/43/50/701384350.db2.gz HKKGJKVXGBQVHV-MRXNPFEDSA-N 1 2 318.373 1.591 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000839583189 701744974 /nfs/dbraw/zinc/74/49/74/701744974.db2.gz DBGFMDJASIKWNO-VIFPVBQESA-N 1 2 305.403 1.228 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000839583189 701744977 /nfs/dbraw/zinc/74/49/77/701744977.db2.gz DBGFMDJASIKWNO-VIFPVBQESA-N 1 2 305.403 1.228 20 30 DDEDLO Cc1nn(C)c(N)c1C=[NH+][N-]c1[nH]c2cc(F)c(F)cc2[nH+]1 ZINC000814795893 701751268 /nfs/dbraw/zinc/75/12/68/701751268.db2.gz IYXWEXXWYQHPTN-UHFFFAOYSA-N 1 2 305.292 1.911 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[NH+]2CCC3(CC2)OCCCO3)cc1 ZINC000815158091 701817363 /nfs/dbraw/zinc/81/73/63/701817363.db2.gz FURUHHDKNXMHFW-OAHLLOKOSA-N 1 2 315.373 1.314 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@H+]1CCC[C@@H](O)CC1 ZINC000815299552 701844394 /nfs/dbraw/zinc/84/43/94/701844394.db2.gz GTRJAVREXQFXRB-CYBMUJFWSA-N 1 2 312.373 1.267 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@@H+]1CCC[C@@H](O)CC1 ZINC000815299552 701844400 /nfs/dbraw/zinc/84/44/00/701844400.db2.gz GTRJAVREXQFXRB-CYBMUJFWSA-N 1 2 312.373 1.267 20 30 DDEDLO C=CCN1CCN(C[N@@H+](C)Cc2ccc(C(=O)OC)cc2)C1=O ZINC000839959535 701877929 /nfs/dbraw/zinc/87/79/29/701877929.db2.gz LHGKRHLKDQXFJD-UHFFFAOYSA-N 1 2 317.389 1.786 20 30 DDEDLO C=CCN1CCN(C[N@H+](C)Cc2ccc(C(=O)OC)cc2)C1=O ZINC000839959535 701877936 /nfs/dbraw/zinc/87/79/36/701877936.db2.gz LHGKRHLKDQXFJD-UHFFFAOYSA-N 1 2 317.389 1.786 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)O[C@@H]2CC[N@H+](CCF)C2)c1 ZINC000816054427 702002681 /nfs/dbraw/zinc/00/26/81/702002681.db2.gz FAPQXVFLFQFDFB-OAHLLOKOSA-N 1 2 305.353 1.825 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)O[C@@H]2CC[N@@H+](CCF)C2)c1 ZINC000816054427 702002688 /nfs/dbraw/zinc/00/26/88/702002688.db2.gz FAPQXVFLFQFDFB-OAHLLOKOSA-N 1 2 305.353 1.825 20 30 DDEDLO C[N@H+](CC(F)F)C1CCN(C(=O)[C@@]2(C#N)CCCOC2)CC1 ZINC000866149053 706632098 /nfs/dbraw/zinc/63/20/98/706632098.db2.gz FTZKDHCKXFEQHQ-OAHLLOKOSA-N 1 2 315.364 1.495 20 30 DDEDLO C[N@@H+](CC(F)F)C1CCN(C(=O)[C@@]2(C#N)CCCOC2)CC1 ZINC000866149053 706632102 /nfs/dbraw/zinc/63/21/02/706632102.db2.gz FTZKDHCKXFEQHQ-OAHLLOKOSA-N 1 2 315.364 1.495 20 30 DDEDLO C[C@H](O)CNc1cc(NCCNc2ccc(C#N)cn2)[nH+]cn1 ZINC000840240543 702039155 /nfs/dbraw/zinc/03/91/55/702039155.db2.gz CSWXDVAFYDIAMX-NSHDSACASA-N 1 2 313.365 1.060 20 30 DDEDLO C[C@H](O)CNc1cc(NCCNc2ccc(C#N)cn2)nc[nH+]1 ZINC000840240543 702039163 /nfs/dbraw/zinc/03/91/63/702039163.db2.gz CSWXDVAFYDIAMX-NSHDSACASA-N 1 2 313.365 1.060 20 30 DDEDLO C=CCC(F)(F)C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000816524194 702105474 /nfs/dbraw/zinc/10/54/74/702105474.db2.gz WDLRYFGSWPBFEI-UHFFFAOYSA-N 1 2 323.343 1.680 20 30 DDEDLO C=CCC(F)(F)C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000816524194 702105481 /nfs/dbraw/zinc/10/54/81/702105481.db2.gz WDLRYFGSWPBFEI-UHFFFAOYSA-N 1 2 323.343 1.680 20 30 DDEDLO CN(CCc1cccc(C#N)c1)C(=O)NCCc1cn(C)c[nH+]1 ZINC000868693006 702269130 /nfs/dbraw/zinc/26/91/30/702269130.db2.gz KIACDZLODMZYNX-UHFFFAOYSA-N 1 2 311.389 1.718 20 30 DDEDLO Cc1nn(-c2ccc(F)cc2)c(C)c1C=NNC1=[NH+]CCN1 ZINC000841661116 702522531 /nfs/dbraw/zinc/52/25/31/702522531.db2.gz KEIPPLORPYETNR-UHFFFAOYSA-N 1 2 300.341 1.511 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC000879430681 706678294 /nfs/dbraw/zinc/67/82/94/706678294.db2.gz LJKZPTPZZZIRLF-QGZVFWFLSA-N 1 2 318.373 1.743 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC000879430681 706678297 /nfs/dbraw/zinc/67/82/97/706678297.db2.gz LJKZPTPZZZIRLF-QGZVFWFLSA-N 1 2 318.373 1.743 20 30 DDEDLO C[C@@H]([NH2+]C[C@H]1CN(C2CC2)C(=O)O1)c1cccc(C#N)c1O ZINC000866387106 706687495 /nfs/dbraw/zinc/68/74/95/706687495.db2.gz NCOUXHWVIHGKQH-MFKMUULPSA-N 1 2 301.346 1.898 20 30 DDEDLO C#CC[C@H]1NC(=O)N([C@@H](Cc2[nH+]ccn2C)c2ccccc2)C1=O ZINC000842239308 702687301 /nfs/dbraw/zinc/68/73/01/702687301.db2.gz GEVGDSVPBIYLNF-CABCVRRESA-N 1 2 322.368 1.648 20 30 DDEDLO C#CC[C@H]1NC(=O)N([C@H](Cc2[nH+]ccn2C)c2ccccc2)C1=O ZINC000842239310 702687354 /nfs/dbraw/zinc/68/73/54/702687354.db2.gz GEVGDSVPBIYLNF-HUUCEWRRSA-N 1 2 322.368 1.648 20 30 DDEDLO CC(=O)N(C)c1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000844945988 703088635 /nfs/dbraw/zinc/08/86/35/703088635.db2.gz OGCWHIUKZWKKCL-HNNXBMFYSA-N 1 2 305.378 1.254 20 30 DDEDLO CC(=O)N(C)c1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000844945988 703088637 /nfs/dbraw/zinc/08/86/37/703088637.db2.gz OGCWHIUKZWKKCL-HNNXBMFYSA-N 1 2 305.378 1.254 20 30 DDEDLO COC/C(C)=C/C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000845094135 703107725 /nfs/dbraw/zinc/10/77/25/703107725.db2.gz LOHWPQZDFKHKPU-RVDMUPIBSA-N 1 2 313.401 1.795 20 30 DDEDLO C[N@@H+]1CCCC[C@@H]1C(=O)OCc1ccc(C#N)cc1[N+](=O)[O-] ZINC000845123019 703112838 /nfs/dbraw/zinc/11/28/38/703112838.db2.gz MSGXAWWBFJKHTF-CYBMUJFWSA-N 1 2 303.318 1.994 20 30 DDEDLO C[N@H+]1CCCC[C@@H]1C(=O)OCc1ccc(C#N)cc1[N+](=O)[O-] ZINC000845123019 703112840 /nfs/dbraw/zinc/11/28/40/703112840.db2.gz MSGXAWWBFJKHTF-CYBMUJFWSA-N 1 2 303.318 1.994 20 30 DDEDLO N#CCOc1ccc(CNC(=O)Cc2cn3c([nH+]2)CCCC3)cc1 ZINC000845420645 703154981 /nfs/dbraw/zinc/15/49/81/703154981.db2.gz UJFBUYIWYYWMME-UHFFFAOYSA-N 1 2 324.384 1.981 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CCCC[C@@H]2[NH+]2CCOCC2)co1 ZINC000866671408 706759822 /nfs/dbraw/zinc/75/98/22/706759822.db2.gz RRJFRVPJIRXENN-GJZGRUSLSA-N 1 2 303.362 1.524 20 30 DDEDLO C#C[C@H](NC(=O)NCCCNc1cccc[nH+]1)C1CCOCC1 ZINC000852120931 703931407 /nfs/dbraw/zinc/93/14/07/703931407.db2.gz DJRIZHRZTHQTPE-HNNXBMFYSA-N 1 2 316.405 1.611 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCCN(CC[NH+]2CCOCC2)C1 ZINC000852328958 704013585 /nfs/dbraw/zinc/01/35/85/704013585.db2.gz QPCBMOFJEHHJGF-KRWDZBQOSA-N 1 2 300.406 1.271 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccccc1O[C@@H]1CCOC1 ZINC000819458114 704129121 /nfs/dbraw/zinc/12/91/21/704129121.db2.gz IAKJWGPUWGLLHJ-LSDHHAIUSA-N 1 2 319.405 1.473 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccccc1O[C@@H]1CCOC1 ZINC000819458114 704129124 /nfs/dbraw/zinc/12/91/24/704129124.db2.gz IAKJWGPUWGLLHJ-LSDHHAIUSA-N 1 2 319.405 1.473 20 30 DDEDLO CO[C@@H]1Cc2ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc2C1 ZINC000819469826 704130601 /nfs/dbraw/zinc/13/06/01/704130601.db2.gz KPDXLDWIZZXIQW-DLBZAZTESA-N 1 2 303.406 1.418 20 30 DDEDLO CO[C@@H]1Cc2ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc2C1 ZINC000819469826 704130603 /nfs/dbraw/zinc/13/06/03/704130603.db2.gz KPDXLDWIZZXIQW-DLBZAZTESA-N 1 2 303.406 1.418 20 30 DDEDLO C#CCCCOc1cccnc1NC[C@H](C)[NH+]1CCN(C)CC1 ZINC000853258367 704226559 /nfs/dbraw/zinc/22/65/59/704226559.db2.gz NBMMPIHRHXHSEO-INIZCTEOSA-N 1 2 316.449 1.922 20 30 DDEDLO O=C(C[C@H](c1[nH]cc[nH+]1)c1ccccc1)N[C@@H]1CCN(O)C1=O ZINC000820144892 704229669 /nfs/dbraw/zinc/22/96/69/704229669.db2.gz ZVZSTRNPICUPTQ-QWHCGFSZSA-N 1 2 314.345 1.038 20 30 DDEDLO C[NH+](C)[C@@H](C(=O)N[C@H]1CCN(O)C1=O)c1cccc(Cl)c1 ZINC000820157109 704231686 /nfs/dbraw/zinc/23/16/86/704231686.db2.gz SEBWXWBNTCZMTA-NWDGAFQWSA-N 1 2 311.769 1.049 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C2(CCOCC2)[C@@H]1C1CC1)[C@H](C)COC ZINC000853533745 704261318 /nfs/dbraw/zinc/26/13/18/704261318.db2.gz BXUBNVGCAGPDHU-ZBFHGGJFSA-N 1 2 322.449 1.884 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C2(CCOCC2)[C@@H]1C1CC1)[C@H](C)COC ZINC000853533745 704261319 /nfs/dbraw/zinc/26/13/19/704261319.db2.gz BXUBNVGCAGPDHU-ZBFHGGJFSA-N 1 2 322.449 1.884 20 30 DDEDLO C=CC[N@H+](CN1CCN(Cc2cccnc2)C1=O)[C@@H](C)COC ZINC000853534610 704261691 /nfs/dbraw/zinc/26/16/91/704261691.db2.gz KQCJYHXIUNHMDJ-HNNXBMFYSA-N 1 2 318.421 1.800 20 30 DDEDLO C=CC[N@@H+](CN1CCN(Cc2cccnc2)C1=O)[C@@H](C)COC ZINC000853534610 704261692 /nfs/dbraw/zinc/26/16/92/704261692.db2.gz KQCJYHXIUNHMDJ-HNNXBMFYSA-N 1 2 318.421 1.800 20 30 DDEDLO C=CC[N@H+](CN1C(=O)[C@@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1=O)[C@@H](C)COC ZINC000853535480 704262165 /nfs/dbraw/zinc/26/21/65/704262165.db2.gz WITSIWLAHYOORQ-DTFBLWLJSA-N 1 2 320.433 1.888 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)[C@@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1=O)[C@@H](C)COC ZINC000853535480 704262166 /nfs/dbraw/zinc/26/21/66/704262166.db2.gz WITSIWLAHYOORQ-DTFBLWLJSA-N 1 2 320.433 1.888 20 30 DDEDLO CC(C)n1ncnc1CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000853680044 704298148 /nfs/dbraw/zinc/29/81/48/704298148.db2.gz VCZIYMORBLNKTO-UHFFFAOYSA-N 1 2 301.350 1.631 20 30 DDEDLO C#C[C@H](NC(=O)N(C)[C@H](C)C[NH+]1CCOCC1)c1ccccc1 ZINC000820595166 704307427 /nfs/dbraw/zinc/30/74/27/704307427.db2.gz OZJBJXLYQLDZNI-WBVHZDCISA-N 1 2 315.417 1.723 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C#N)ccc1F ZINC000854424173 704395003 /nfs/dbraw/zinc/39/50/03/704395003.db2.gz PRUDOZOENQRSLR-JSGCOSHPSA-N 1 2 317.364 1.633 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC000855498237 704488345 /nfs/dbraw/zinc/48/83/45/704488345.db2.gz RWEHFAYWNAKLSA-GFCCVEGCSA-N 1 2 305.378 1.392 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC000855498237 704488346 /nfs/dbraw/zinc/48/83/46/704488346.db2.gz RWEHFAYWNAKLSA-GFCCVEGCSA-N 1 2 305.378 1.392 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)N1CCc2c(CC#N)cccc2C1 ZINC000858464486 704714585 /nfs/dbraw/zinc/71/45/85/704714585.db2.gz KBTYOPGQHKKRJP-UHFFFAOYSA-N 1 2 309.373 1.754 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc(C)cs3)n2C)CC1 ZINC000858868245 704766525 /nfs/dbraw/zinc/76/65/25/704766525.db2.gz CKVLOPODUZLHBC-UHFFFAOYSA-N 1 2 301.419 1.607 20 30 DDEDLO C#CCN(CC(=O)N[C@@H](C)Cn1cc[nH+]c1)C(=O)OC(C)(C)C ZINC000822156915 704887553 /nfs/dbraw/zinc/88/75/53/704887553.db2.gz ZSAWLNIFTPRRLL-ZDUSSCGKSA-N 1 2 320.393 1.258 20 30 DDEDLO N#CCn1cc(NC(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)cn1 ZINC000874253463 704985648 /nfs/dbraw/zinc/98/56/48/704985648.db2.gz PRVQNIMZDOIJTO-JTQLQIEISA-N 1 2 312.255 1.735 20 30 DDEDLO C#C[C@@H](NC(=O)Cc1ccc(-n2cc[nH+]c2)cc1)[C@H]1CCCO1 ZINC000867499520 707020109 /nfs/dbraw/zinc/02/01/09/707020109.db2.gz LYMOQSQOEHYDAX-IAGOWNOFSA-N 1 2 309.369 1.712 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C#N)c(O)c1 ZINC000874706446 705139537 /nfs/dbraw/zinc/13/95/37/705139537.db2.gz PXFZXGILFFQCRD-AWEZNQCLSA-N 1 2 303.362 1.104 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1ccc(C#N)c(O)c1 ZINC000874706446 705139542 /nfs/dbraw/zinc/13/95/42/705139542.db2.gz PXFZXGILFFQCRD-AWEZNQCLSA-N 1 2 303.362 1.104 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CC[NH2+][C@H](c2ccc(F)cc2)C1 ZINC000874888553 705217068 /nfs/dbraw/zinc/21/70/68/705217068.db2.gz DHCCWZCWZSACPI-HNNXBMFYSA-N 1 2 312.348 1.823 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2conc2C(F)(F)F)CC1 ZINC000825077721 705595620 /nfs/dbraw/zinc/59/56/20/705595620.db2.gz GIYDCRWIKKGCJR-UHFFFAOYSA-N 1 2 301.268 1.475 20 30 DDEDLO C[C@@H](C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C)n1cnc(C#N)n1 ZINC000826696839 705849496 /nfs/dbraw/zinc/84/94/96/705849496.db2.gz QPWBRWYRSKUGNL-SMDDNHRTSA-N 1 2 310.361 1.014 20 30 DDEDLO C[C@@H](C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C)n1cnc(C#N)n1 ZINC000826696839 705849499 /nfs/dbraw/zinc/84/94/99/705849499.db2.gz QPWBRWYRSKUGNL-SMDDNHRTSA-N 1 2 310.361 1.014 20 30 DDEDLO C=CC(C)(C)CCNC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000826997924 705909164 /nfs/dbraw/zinc/90/91/64/705909164.db2.gz SWIGIXWOJAWPDB-CABCVRRESA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000827051973 705918242 /nfs/dbraw/zinc/91/82/42/705918242.db2.gz OATLLUSAGSKUAM-XHSDSOJGSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CCNC(=O)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000864421912 706163810 /nfs/dbraw/zinc/16/38/10/706163810.db2.gz SXALTYDWXHLSPP-INIZCTEOSA-N 1 2 301.390 1.128 20 30 DDEDLO C#CCNC(=O)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000864421912 706163813 /nfs/dbraw/zinc/16/38/13/706163813.db2.gz SXALTYDWXHLSPP-INIZCTEOSA-N 1 2 301.390 1.128 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)NCCn3cc[nH+]c3)CC2)cc1 ZINC000864422951 706164378 /nfs/dbraw/zinc/16/43/78/706164378.db2.gz PUQPCOXEBZDFRG-UHFFFAOYSA-N 1 2 324.388 1.287 20 30 DDEDLO C=CCCc1ccc(S(=O)(=O)NCCn2cc[nH+]c2)cc1 ZINC000881749636 707350204 /nfs/dbraw/zinc/35/02/04/707350204.db2.gz PIPBXRQACATRCL-UHFFFAOYSA-N 1 2 305.403 1.980 20 30 DDEDLO CC(C)(O)CNN=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872390884 707397323 /nfs/dbraw/zinc/39/73/23/707397323.db2.gz BWJPVLOBVNVKOF-UHFFFAOYSA-N 1 2 321.421 1.092 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+]1CC[C@](C#N)(C(C)=O)c1ccccc1 ZINC000877991214 706259696 /nfs/dbraw/zinc/25/96/96/706259696.db2.gz HAGHIBQDJFNHBP-WMZOPIPTSA-N 1 2 313.401 1.637 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+]1CC[C@](C#N)(C(C)=O)c1ccccc1 ZINC000877991214 706259697 /nfs/dbraw/zinc/25/96/97/706259697.db2.gz HAGHIBQDJFNHBP-WMZOPIPTSA-N 1 2 313.401 1.637 20 30 DDEDLO C=CCNC(=S)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000865254651 706389323 /nfs/dbraw/zinc/38/93/23/706389323.db2.gz BCVCXZOBRDXONQ-UHFFFAOYSA-N 1 2 308.476 1.785 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@@H+]1CC[C@](F)(CO)C1)c1ccccc1 ZINC000878569025 706429915 /nfs/dbraw/zinc/42/99/15/706429915.db2.gz QOBANSNSLMQVFD-IAGOWNOFSA-N 1 2 304.365 1.833 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@H+]1CC[C@](F)(CO)C1)c1ccccc1 ZINC000878569025 706429917 /nfs/dbraw/zinc/42/99/17/706429917.db2.gz QOBANSNSLMQVFD-IAGOWNOFSA-N 1 2 304.365 1.833 20 30 DDEDLO C#CC1CC[NH+](Cc2nc3ccccc3c(=O)n2CC#N)CC1 ZINC000830333687 706497740 /nfs/dbraw/zinc/49/77/40/706497740.db2.gz FSJFHLPKYKCONI-UHFFFAOYSA-N 1 2 306.369 1.765 20 30 DDEDLO C#CCCOC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000867654850 707063903 /nfs/dbraw/zinc/06/39/03/707063903.db2.gz IRKXMLZYFJCLRG-UHFFFAOYSA-N 1 2 305.403 1.884 20 30 DDEDLO NC(=[NH+]O[C@@H]1CCN(C2CCC2)C1=O)c1ccc2c(c1)CCO2 ZINC000871737961 707182475 /nfs/dbraw/zinc/18/24/75/707182475.db2.gz QDHBLEZYEBNKEG-OAHLLOKOSA-N 1 2 315.373 1.412 20 30 DDEDLO C=C(Cl)C[C@@H]([NH3+])c1nc(CS(=O)(=O)CCCC)no1 ZINC000872180909 707317690 /nfs/dbraw/zinc/31/76/90/707317690.db2.gz WGQBJXQMCPTSEW-SECBINFHSA-N 1 2 307.803 1.927 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)c(C#N)c1 ZINC000872439343 707411292 /nfs/dbraw/zinc/41/12/92/707411292.db2.gz SAMMYBOQOBQXIR-UHFFFAOYSA-N 1 2 319.430 1.583 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C)cc2C#N)[C@H](C)C1 ZINC000872486027 707439814 /nfs/dbraw/zinc/43/98/14/707439814.db2.gz HGNQWBCSIYGRPT-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C)cc2C#N)[C@H](C)C1 ZINC000872486027 707439816 /nfs/dbraw/zinc/43/98/16/707439816.db2.gz HGNQWBCSIYGRPT-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1cc(C[NH2+][C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)no1 ZINC000883102014 707927223 /nfs/dbraw/zinc/92/72/23/707927223.db2.gz CQQVVUOSHIRNIL-MRXNPFEDSA-N 1 2 310.357 1.745 20 30 DDEDLO COc1ncncc1C[N@@H+]1CCC[C@H](Nc2ccc(C#N)cn2)C1 ZINC000896651400 708108273 /nfs/dbraw/zinc/10/82/73/708108273.db2.gz TZLVDXNOGQGTQF-HNNXBMFYSA-N 1 2 324.388 1.828 20 30 DDEDLO COc1ncncc1C[N@H+]1CCC[C@H](Nc2ccc(C#N)cn2)C1 ZINC000896651400 708108276 /nfs/dbraw/zinc/10/82/76/708108276.db2.gz TZLVDXNOGQGTQF-HNNXBMFYSA-N 1 2 324.388 1.828 20 30 DDEDLO CCn1c[nH+]c2c1CCN(c1ccc(C(=O)OC)nc1C#N)C2 ZINC000896654504 708109098 /nfs/dbraw/zinc/10/90/98/708109098.db2.gz MSQSFOJJDMWFKL-UHFFFAOYSA-N 1 2 311.345 1.519 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](c1ccccc1)C(C)C ZINC000884090821 708134815 /nfs/dbraw/zinc/13/48/15/708134815.db2.gz HCHJTDDGCNIZJC-CVEARBPZSA-N 1 2 318.417 1.989 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC(C)(C)Cc1ccccc1 ZINC000884145414 708159925 /nfs/dbraw/zinc/15/99/25/708159925.db2.gz JSCKPDDCTJECKI-HNNXBMFYSA-N 1 2 318.417 1.818 20 30 DDEDLO C=CCC1(O)CCN(C(=O)NCCCNc2cccc[nH+]2)CC1 ZINC000884157931 708165096 /nfs/dbraw/zinc/16/50/96/708165096.db2.gz NMAUCMVTCWGOGO-UHFFFAOYSA-N 1 2 318.421 1.996 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC[C@H]1CC1(Cl)Cl ZINC000884425215 708291987 /nfs/dbraw/zinc/29/19/87/708291987.db2.gz AZDZHBKPDVJROK-IUCAKERBSA-N 1 2 309.193 1.133 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccc(C)cc2)C1 ZINC000885508266 708561994 /nfs/dbraw/zinc/56/19/94/708561994.db2.gz DNMUYYDLMWFOHT-MRXNPFEDSA-N 1 2 306.431 1.512 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccc(C)cc2)C1 ZINC000885508266 708561996 /nfs/dbraw/zinc/56/19/96/708561996.db2.gz DNMUYYDLMWFOHT-MRXNPFEDSA-N 1 2 306.431 1.512 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)C[C@H]2C(C)(C)C2(F)F)C1 ZINC000885509610 708562467 /nfs/dbraw/zinc/56/24/67/708562467.db2.gz UUGQJYVOBLSUPM-RYUDHWBXSA-N 1 2 320.405 1.295 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)C[C@H]2C(C)(C)C2(F)F)C1 ZINC000885509610 708562469 /nfs/dbraw/zinc/56/24/69/708562469.db2.gz UUGQJYVOBLSUPM-RYUDHWBXSA-N 1 2 320.405 1.295 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(F)cc2C)C1 ZINC000885514161 708564043 /nfs/dbraw/zinc/56/40/43/708564043.db2.gz RDHRTEPMSUKXLI-CQSZACIVSA-N 1 2 310.394 1.510 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(F)cc2C)C1 ZINC000885514161 708564044 /nfs/dbraw/zinc/56/40/44/708564044.db2.gz RDHRTEPMSUKXLI-CQSZACIVSA-N 1 2 310.394 1.510 20 30 DDEDLO CO[C@@H](C)c1noc(C[N@H+](C)C[C@H](O)CC2(C#N)CCC2)n1 ZINC000886030105 708685201 /nfs/dbraw/zinc/68/52/01/708685201.db2.gz GJJGPAYYFOMJAB-NWDGAFQWSA-N 1 2 308.382 1.654 20 30 DDEDLO CO[C@@H](C)c1noc(C[N@@H+](C)C[C@H](O)CC2(C#N)CCC2)n1 ZINC000886030105 708685203 /nfs/dbraw/zinc/68/52/03/708685203.db2.gz GJJGPAYYFOMJAB-NWDGAFQWSA-N 1 2 308.382 1.654 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000927763308 713049781 /nfs/dbraw/zinc/04/97/81/713049781.db2.gz DAMWHMOPQUORHG-ZDUSSCGKSA-N 1 2 324.450 1.292 20 30 DDEDLO CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000886468589 708765118 /nfs/dbraw/zinc/76/51/18/708765118.db2.gz IDRYUNCZZXENGY-GFCCVEGCSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000886468589 708765119 /nfs/dbraw/zinc/76/51/19/708765119.db2.gz IDRYUNCZZXENGY-GFCCVEGCSA-N 1 2 311.382 1.412 20 30 DDEDLO COC(=O)N[C@H]1CC[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)C1 ZINC000886868144 708872527 /nfs/dbraw/zinc/87/25/27/708872527.db2.gz WLHRFWPWRHQAGH-ZDUSSCGKSA-N 1 2 322.409 1.102 20 30 DDEDLO COC(=O)N[C@H]1CC[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)C1 ZINC000886868144 708872531 /nfs/dbraw/zinc/87/25/31/708872531.db2.gz WLHRFWPWRHQAGH-ZDUSSCGKSA-N 1 2 322.409 1.102 20 30 DDEDLO COC(=O)[C@H](C[C@H]1CCCO1)[NH2+]C[C@H](O)CC1(C#N)CCC1 ZINC000886941515 708898660 /nfs/dbraw/zinc/89/86/60/708898660.db2.gz GFBNUCNTTLXUTJ-MCIONIFRSA-N 1 2 310.394 1.132 20 30 DDEDLO COC(=O)[C@H](C[C@@H]1CCCO1)[NH2+]CCc1ccc(C#N)cc1 ZINC000886942625 708899034 /nfs/dbraw/zinc/89/90/34/708899034.db2.gz XZCGFNDRXMFSLI-HOTGVXAUSA-N 1 2 302.374 1.801 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)CSc1ccc(C#N)cc1 ZINC000898883663 708905254 /nfs/dbraw/zinc/90/52/54/708905254.db2.gz KHFFJVSIIREUFW-CYBMUJFWSA-N 1 2 316.386 1.234 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000899152410 709001966 /nfs/dbraw/zinc/00/19/66/709001966.db2.gz FKAQQANHRCJQAJ-IYBDPMFKSA-N 1 2 313.401 1.768 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000899152410 709001969 /nfs/dbraw/zinc/00/19/69/709001969.db2.gz FKAQQANHRCJQAJ-IYBDPMFKSA-N 1 2 313.401 1.768 20 30 DDEDLO C#CCC1(NC(=O)NCCCCn2cc[nH+]c2)CCOCC1 ZINC000887875094 709151819 /nfs/dbraw/zinc/15/18/19/709151819.db2.gz DGQLQAGUWWQDJQ-UHFFFAOYSA-N 1 2 304.394 1.535 20 30 DDEDLO C=CCNc1ncc(C(=O)N2C[C@H](C)[N@@H+](CCO)C[C@@H]2C)s1 ZINC000910927582 710122601 /nfs/dbraw/zinc/12/26/01/710122601.db2.gz BPILRSHTPNRURH-RYUDHWBXSA-N 1 2 324.450 1.268 20 30 DDEDLO C=CCNc1ncc(C(=O)N2C[C@H](C)[N@H+](CCO)C[C@@H]2C)s1 ZINC000910927582 710122603 /nfs/dbraw/zinc/12/26/03/710122603.db2.gz BPILRSHTPNRURH-RYUDHWBXSA-N 1 2 324.450 1.268 20 30 DDEDLO N#Cc1cc(N2CC[NH+](C[C@H]3CCCO3)CC2)c([N+](=O)[O-])s1 ZINC000891508890 710222477 /nfs/dbraw/zinc/22/24/77/710222477.db2.gz HVFHCBUWJNUWMH-LLVKDONJSA-N 1 2 322.390 1.829 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(F)c2F)C1 ZINC000891606618 710246527 /nfs/dbraw/zinc/24/65/27/710246527.db2.gz ODEGAUIQWPKYJL-LLVKDONJSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(F)c2F)C1 ZINC000891606618 710246529 /nfs/dbraw/zinc/24/65/29/710246529.db2.gz ODEGAUIQWPKYJL-LLVKDONJSA-N 1 2 321.327 1.117 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCCC[C@H]2C(=O)N2CCOCC2)cs1 ZINC000891916635 710327244 /nfs/dbraw/zinc/32/72/44/710327244.db2.gz HPQLUOQUNGUWKI-HNNXBMFYSA-N 1 2 319.430 1.833 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCCC[C@H]2C(=O)N2CCOCC2)cs1 ZINC000891916635 710327247 /nfs/dbraw/zinc/32/72/47/710327247.db2.gz HPQLUOQUNGUWKI-HNNXBMFYSA-N 1 2 319.430 1.833 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)N2CCO[C@@](C)(C#N)C2)c1C ZINC000892517385 710450775 /nfs/dbraw/zinc/45/07/75/710450775.db2.gz IQPUENTZJCZRCX-INIZCTEOSA-N 1 2 318.377 1.531 20 30 DDEDLO Cn1c[nH+]cc1CCCn1cc2c(c(C#N)c1=O)CCCC2=O ZINC000902478206 710766677 /nfs/dbraw/zinc/76/66/77/710766677.db2.gz VKJFKGMLMILIMK-UHFFFAOYSA-N 1 2 310.357 1.605 20 30 DDEDLO C#CCNC(=O)COc1c(C)cc(C[NH+]2CCOCC2)cc1C ZINC000902520199 710780573 /nfs/dbraw/zinc/78/05/73/710780573.db2.gz COVZYYBGIUVSQM-UHFFFAOYSA-N 1 2 316.401 1.264 20 30 DDEDLO N#Cc1ccccc1C(F)(F)C(=O)N[C@@H]1CCn2c[nH+]cc2C1 ZINC000911573456 710860988 /nfs/dbraw/zinc/86/09/88/710860988.db2.gz JPBLXTITPYJDQB-GFCCVEGCSA-N 1 2 316.311 1.978 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cccc(C#N)c1 ZINC000902940749 710968226 /nfs/dbraw/zinc/96/82/26/710968226.db2.gz YQCFNVGRMYESNU-AWEZNQCLSA-N 1 2 302.378 1.791 20 30 DDEDLO CCc1ccc(C#CC(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)cc1 ZINC000913453596 713222731 /nfs/dbraw/zinc/22/27/31/713222731.db2.gz OGFPLNGSLIRVAY-KRWDZBQOSA-N 1 2 308.385 1.497 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)Cc3ccc(C#N)cc3)CC[NH2+]2)cn1 ZINC000913468289 713229156 /nfs/dbraw/zinc/22/91/56/713229156.db2.gz KAXVQKLAWMDIRW-INIZCTEOSA-N 1 2 309.373 1.007 20 30 DDEDLO C#CCN(C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F)C1CSC1 ZINC000912362302 711291939 /nfs/dbraw/zinc/29/19/39/711291939.db2.gz RWSJZEDZXKMBNK-LLVKDONJSA-N 1 2 317.336 1.954 20 30 DDEDLO C[N@@H+]1CCN(C(=O)C(C)(C)c2cc(F)cc(C#N)c2)C[C@H]1CO ZINC000913543353 713251384 /nfs/dbraw/zinc/25/13/84/713251384.db2.gz GCDQATJGEVFGNW-HNNXBMFYSA-N 1 2 319.380 1.110 20 30 DDEDLO C[N@H+]1CCN(C(=O)C(C)(C)c2cc(F)cc(C#N)c2)C[C@H]1CO ZINC000913543353 713251385 /nfs/dbraw/zinc/25/13/85/713251385.db2.gz GCDQATJGEVFGNW-HNNXBMFYSA-N 1 2 319.380 1.110 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2c(O)cccc2Br)CCCN1O ZINC000895156463 711435699 /nfs/dbraw/zinc/43/56/99/711435699.db2.gz CHXONBFWSAIXOI-SNVBAGLBSA-N 1 2 315.167 1.625 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2ccc(Br)cn2)CCCN1O ZINC000895167447 711440366 /nfs/dbraw/zinc/44/03/66/711440366.db2.gz WKKYQYGPUVJBGO-JTQLQIEISA-N 1 2 300.156 1.314 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1ccsc1C#N)[NH+]1CCOCC1 ZINC000905678175 712115386 /nfs/dbraw/zinc/11/53/86/712115386.db2.gz BYBWXHPUZVCOFD-ZDUSSCGKSA-N 1 2 307.419 1.706 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)NC[C@H](c2ccco2)[NH+](C)C)cc1 ZINC000906802537 712414451 /nfs/dbraw/zinc/41/44/51/712414451.db2.gz DLYDDAGNWLCYBA-OAHLLOKOSA-N 1 2 318.398 1.842 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CCc2cccc(F)c2)CC1 ZINC000913970013 713326507 /nfs/dbraw/zinc/32/65/07/713326507.db2.gz LYAXUTIJKLGFPI-UHFFFAOYSA-N 1 2 324.421 1.339 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)o2)C[C@H]1C(C)(C)C ZINC000919598302 713619557 /nfs/dbraw/zinc/61/95/57/713619557.db2.gz VFFINIBKULYIEW-LBPRGKRZSA-N 1 2 311.407 1.502 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)o2)C[C@H]1C(C)(C)C ZINC000919598302 713619559 /nfs/dbraw/zinc/61/95/59/713619559.db2.gz VFFINIBKULYIEW-LBPRGKRZSA-N 1 2 311.407 1.502 20 30 DDEDLO N#CCCN(CCc1cccc(F)c1)CC[NH+]1CCOCC1 ZINC000929774302 713687697 /nfs/dbraw/zinc/68/76/97/713687697.db2.gz MTFUJCVHLXYAKD-UHFFFAOYSA-N 1 2 305.397 1.916 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+](CC(=O)OC)C2CC2)cc1 ZINC000929807533 713694067 /nfs/dbraw/zinc/69/40/67/713694067.db2.gz WWYPGAWRKCABOL-UHFFFAOYSA-N 1 2 300.358 1.634 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+](CC(=O)OC)C2CC2)cc1 ZINC000929807533 713694069 /nfs/dbraw/zinc/69/40/69/713694069.db2.gz WWYPGAWRKCABOL-UHFFFAOYSA-N 1 2 300.358 1.634 20 30 DDEDLO C=C1CCC(CNS(=O)(=O)CCC[NH+]2CCOCC2)CC1 ZINC000921598173 713817759 /nfs/dbraw/zinc/81/77/59/713817759.db2.gz FCGDTQOHMGTOJK-UHFFFAOYSA-N 1 2 316.467 1.375 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](C[C@H](O)c2ccc(F)c(F)c2)CC1 ZINC000930639279 713884182 /nfs/dbraw/zinc/88/41/82/713884182.db2.gz NRAJDKYRHFVPID-AWEZNQCLSA-N 1 2 324.327 1.777 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@@H]2C[C@H]3COC[C@@H]3O2)C1 ZINC000930924079 713960983 /nfs/dbraw/zinc/96/09/83/713960983.db2.gz SUYWCUSDASHMDJ-NBOOPKSLSA-N 1 2 313.401 1.743 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@@H]2C[C@H]3COC[C@@H]3O2)C1 ZINC000930924079 713960985 /nfs/dbraw/zinc/96/09/85/713960985.db2.gz SUYWCUSDASHMDJ-NBOOPKSLSA-N 1 2 313.401 1.743 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCc2c(C)cc(C#N)cc2C)C1 ZINC000922492807 714070305 /nfs/dbraw/zinc/07/03/05/714070305.db2.gz FLDWVMFTYUEOBR-MRXNPFEDSA-N 1 2 302.374 1.939 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCc2c(C)cc(C#N)cc2C)C1 ZINC000922492807 714070308 /nfs/dbraw/zinc/07/03/08/714070308.db2.gz FLDWVMFTYUEOBR-MRXNPFEDSA-N 1 2 302.374 1.939 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccc(C#N)c(F)c1 ZINC000931478340 714107177 /nfs/dbraw/zinc/10/71/77/714107177.db2.gz LGAVEWXQNUWLLG-GFCCVEGCSA-N 1 2 320.368 1.882 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@H+](Cc2cncc(C#N)c2)CCC1(F)F ZINC000931501931 714113143 /nfs/dbraw/zinc/11/31/43/714113143.db2.gz IYOCMTAFWMRIDT-ZDUSSCGKSA-N 1 2 309.316 1.974 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@@H+](Cc2cncc(C#N)c2)CCC1(F)F ZINC000931501931 714113144 /nfs/dbraw/zinc/11/31/44/714113144.db2.gz IYOCMTAFWMRIDT-ZDUSSCGKSA-N 1 2 309.316 1.974 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N[C@H](CC)C[NH+]1CCOCC1 ZINC000922730885 714130841 /nfs/dbraw/zinc/13/08/41/714130841.db2.gz JXCAAIOATJLVCC-KFWWJZLASA-N 1 2 311.426 1.130 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C[NH+]1CCN([S@](C)(=N)=O)CC1 ZINC000931610489 714138087 /nfs/dbraw/zinc/13/80/87/714138087.db2.gz QDKVPDCJIXZGRL-NRFANRHFSA-N 1 2 306.435 1.884 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cccc(C#N)n1 ZINC000931805142 714188943 /nfs/dbraw/zinc/18/89/43/714188943.db2.gz BSKMAVNGBLRHHX-LBPRGKRZSA-N 1 2 303.366 1.186 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)c2cc(C=O)sc2Cl)CC1 ZINC000932178163 714279627 /nfs/dbraw/zinc/27/96/27/714279627.db2.gz JGJWCBCJDSSDIB-UHFFFAOYSA-N 1 2 311.794 1.932 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cccc(C[S@@](C)=O)c2)C1 ZINC000923588600 714419159 /nfs/dbraw/zinc/41/91/59/714419159.db2.gz GSBZINYMMCLFLU-OPAMFIHVSA-N 1 2 318.442 1.393 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cccc(C[S@@](C)=O)c2)C1 ZINC000923588600 714419162 /nfs/dbraw/zinc/41/91/62/714419162.db2.gz GSBZINYMMCLFLU-OPAMFIHVSA-N 1 2 318.442 1.393 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)N[C@H](CC#N)C(F)(F)F ZINC000932891094 714431703 /nfs/dbraw/zinc/43/17/03/714431703.db2.gz RMOHGNDJVKGVLF-WDEREUQCSA-N 1 2 322.331 1.241 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)N[C@H](CC#N)C(F)(F)F ZINC000932891094 714431705 /nfs/dbraw/zinc/43/17/05/714431705.db2.gz RMOHGNDJVKGVLF-WDEREUQCSA-N 1 2 322.331 1.241 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)N[C@H](CC#N)C(F)(F)F)[C@H](C)CO1 ZINC000932894005 714432302 /nfs/dbraw/zinc/43/23/02/714432302.db2.gz QZTGFWFKXFFZIP-GMTAPVOTSA-N 1 2 322.331 1.239 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)N[C@H](CC#N)C(F)(F)F)[C@H](C)CO1 ZINC000932894005 714432303 /nfs/dbraw/zinc/43/23/03/714432303.db2.gz QZTGFWFKXFFZIP-GMTAPVOTSA-N 1 2 322.331 1.239 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOC[C@H]1CC1CCOCC1 ZINC000934643597 714869272 /nfs/dbraw/zinc/86/92/72/714869272.db2.gz VAVLJLHTIABMBP-QGZVFWFLSA-N 1 2 322.449 1.705 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOC[C@H]1CC1CCOCC1 ZINC000934643597 714869274 /nfs/dbraw/zinc/86/92/74/714869274.db2.gz VAVLJLHTIABMBP-QGZVFWFLSA-N 1 2 322.449 1.705 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC000956570531 715474138 /nfs/dbraw/zinc/47/41/38/715474138.db2.gz MACPWOFTTJEXTF-WBVHZDCISA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC)C2)CC1 ZINC000956599198 715483790 /nfs/dbraw/zinc/48/37/90/715483790.db2.gz LHRHETVQLUXRNF-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2c3c(nn2C)CCC3)C1 ZINC000957127077 715760211 /nfs/dbraw/zinc/76/02/11/715760211.db2.gz BENBYCYZQOWVPC-UHFFFAOYSA-N 1 2 302.422 1.631 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)C2CN(Cc3c[nH+]cn3C)C2)cn1 ZINC000957294813 715820813 /nfs/dbraw/zinc/82/08/13/715820813.db2.gz RLNPNLOSDSUVPB-UHFFFAOYSA-N 1 2 323.400 1.143 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cc3c(cn2)nc[nH]c3=O)CC1 ZINC000957493653 715926927 /nfs/dbraw/zinc/92/69/27/715926927.db2.gz SBUMQRRZWYVQDQ-UHFFFAOYSA-N 1 2 313.361 1.064 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000938989812 716072901 /nfs/dbraw/zinc/07/29/01/716072901.db2.gz BLICYCWWUMAPSO-BLLLJJGKSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3nnc(CC)o3)C2)C1 ZINC000939102511 716135203 /nfs/dbraw/zinc/13/52/03/716135203.db2.gz IVCVURMMCDTHRW-CHWSQXEVSA-N 1 2 318.421 1.925 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2ccccc2O)C1 ZINC000957887526 716218349 /nfs/dbraw/zinc/21/83/49/716218349.db2.gz ZUYRNMJJAOTHMB-RISCZKNCSA-N 1 2 310.781 1.167 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2ccccc2O)C1 ZINC000957887526 716218355 /nfs/dbraw/zinc/21/83/55/716218355.db2.gz ZUYRNMJJAOTHMB-RISCZKNCSA-N 1 2 310.781 1.167 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2occc2Cl)C1 ZINC000958379876 716514707 /nfs/dbraw/zinc/51/47/07/716514707.db2.gz VVXRADPEYCCCHU-KOLCDFICSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2occc2Cl)C1 ZINC000958379876 716514711 /nfs/dbraw/zinc/51/47/11/716514711.db2.gz VVXRADPEYCCCHU-KOLCDFICSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]2C[N@@H+](C)Cc2cn(C)nn2)C1 ZINC000960847167 716712867 /nfs/dbraw/zinc/71/28/67/716712867.db2.gz NZZNMNQLDKOZSU-OAHLLOKOSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]2C[N@H+](C)Cc2cn(C)nn2)C1 ZINC000960847167 716712870 /nfs/dbraw/zinc/71/28/70/716712870.db2.gz NZZNMNQLDKOZSU-OAHLLOKOSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ccc(F)s2)C1 ZINC000958739713 716726666 /nfs/dbraw/zinc/72/66/66/716726666.db2.gz FRYOITQXFKADJV-VHSXEESVSA-N 1 2 318.801 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ccc(F)s2)C1 ZINC000958739713 716726672 /nfs/dbraw/zinc/72/66/72/716726672.db2.gz FRYOITQXFKADJV-VHSXEESVSA-N 1 2 318.801 1.662 20 30 DDEDLO N#CCN1CC[C@H]([C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC000961237125 716875139 /nfs/dbraw/zinc/87/51/39/716875139.db2.gz BPJTUSZUQWEUJA-KBPBESRZSA-N 1 2 301.394 1.036 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cscc3C)CC2)C1 ZINC000941366825 717163299 /nfs/dbraw/zinc/16/32/99/717163299.db2.gz VIWPPENRSCQAMF-UHFFFAOYSA-N 1 2 303.431 1.132 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3c(C)oc(C)c3C)CC2)C1 ZINC000941479634 717184575 /nfs/dbraw/zinc/18/45/75/717184575.db2.gz NOJKMTWREZTVTJ-UHFFFAOYSA-N 1 2 315.417 1.280 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@]3(C)CCC[C@H]3C)CC2)C1 ZINC000941552653 717206509 /nfs/dbraw/zinc/20/65/09/717206509.db2.gz KSPWOQUTUQJRSM-QAPCUYQASA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@H]3c3ccccc3)CC2)C1 ZINC000941644966 717242036 /nfs/dbraw/zinc/24/20/36/717242036.db2.gz HZONUITUFMVVLL-RBUKOAKNSA-N 1 2 323.440 1.252 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cnon3)C[C@H]2C)C1 ZINC000942607470 717774606 /nfs/dbraw/zinc/77/46/06/717774606.db2.gz GECOGPBPWXRPHK-TZMCWYRMSA-N 1 2 304.394 1.753 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cnon3)C[C@H]2C)C1 ZINC000942607470 717774608 /nfs/dbraw/zinc/77/46/08/717774608.db2.gz GECOGPBPWXRPHK-TZMCWYRMSA-N 1 2 304.394 1.753 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)CC2OCCCO2)C1 ZINC000965946032 717829162 /nfs/dbraw/zinc/82/91/62/717829162.db2.gz GABPCQNRAXTTTI-AAEUAGOBSA-N 1 2 316.829 1.719 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)CC2OCCCO2)C1 ZINC000965946032 717829165 /nfs/dbraw/zinc/82/91/65/717829165.db2.gz GABPCQNRAXTTTI-AAEUAGOBSA-N 1 2 316.829 1.719 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2nnc3ccccc3c2O)[C@H](C)C1 ZINC000943290418 718069861 /nfs/dbraw/zinc/06/98/61/718069861.db2.gz XCWZIQKKHDYJQX-TZMCWYRMSA-N 1 2 324.384 1.409 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2nnc3ccccc3c2O)[C@H](C)C1 ZINC000943290418 718069863 /nfs/dbraw/zinc/06/98/63/718069863.db2.gz XCWZIQKKHDYJQX-TZMCWYRMSA-N 1 2 324.384 1.409 20 30 DDEDLO C[C@@H]1CN(CC#N)CC[C@H]1NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000943951933 718230970 /nfs/dbraw/zinc/23/09/70/718230970.db2.gz WWHPTLVNSRKWLT-UKRRQHHQSA-N 1 2 324.388 1.231 20 30 DDEDLO C=CCC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000966238989 718479445 /nfs/dbraw/zinc/47/94/45/718479445.db2.gz VXVQDEKXXROSAQ-IUODEOHRSA-N 1 2 313.405 1.974 20 30 DDEDLO C=CCC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000966238989 718479446 /nfs/dbraw/zinc/47/94/46/718479446.db2.gz VXVQDEKXXROSAQ-IUODEOHRSA-N 1 2 313.405 1.974 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC000966748938 718648988 /nfs/dbraw/zinc/64/89/88/718648988.db2.gz DDQJRWMQLGXPNV-PWSUYJOCSA-N 1 2 308.813 1.699 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC000966748938 718648992 /nfs/dbraw/zinc/64/89/92/718648992.db2.gz DDQJRWMQLGXPNV-PWSUYJOCSA-N 1 2 308.813 1.699 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccnnc2C)C1 ZINC000967257445 718800288 /nfs/dbraw/zinc/80/02/88/718800288.db2.gz NBSNZHVXEZWMIL-HZMBPMFUSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccnnc2C)C1 ZINC000967257445 718800291 /nfs/dbraw/zinc/80/02/91/718800291.db2.gz NBSNZHVXEZWMIL-HZMBPMFUSA-N 1 2 308.813 1.978 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC000967438892 718896903 /nfs/dbraw/zinc/89/69/03/718896903.db2.gz VYVLKEJPCAGWOK-ZJUUUORDSA-N 1 2 315.295 1.767 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC000967438892 718896905 /nfs/dbraw/zinc/89/69/05/718896905.db2.gz VYVLKEJPCAGWOK-ZJUUUORDSA-N 1 2 315.295 1.767 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2scnc2COC)C1 ZINC000968406087 719565175 /nfs/dbraw/zinc/56/51/75/719565175.db2.gz WZONVAUSCZAQQH-QWHCGFSZSA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2scnc2COC)C1 ZINC000968406087 719565176 /nfs/dbraw/zinc/56/51/76/719565176.db2.gz WZONVAUSCZAQQH-QWHCGFSZSA-N 1 2 321.446 1.753 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@@H]2CC[C@@H](C(F)(F)F)O2)CC1 ZINC000949406210 720067628 /nfs/dbraw/zinc/06/76/28/720067628.db2.gz JZMVVLZXPFZEFC-STQMWFEESA-N 1 2 318.339 1.654 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CC(C)(F)F)CC2)C1 ZINC000949462803 720104426 /nfs/dbraw/zinc/10/44/26/720104426.db2.gz JKDFVDHTGRDZOY-UHFFFAOYSA-N 1 2 300.349 1.358 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CC(C)(F)F)CC2)C1 ZINC000949462803 720104428 /nfs/dbraw/zinc/10/44/28/720104428.db2.gz JKDFVDHTGRDZOY-UHFFFAOYSA-N 1 2 300.349 1.358 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3conc3CC)CC2)C1 ZINC000949466580 720107566 /nfs/dbraw/zinc/10/75/66/720107566.db2.gz KWJUZRPTYNMQIK-UHFFFAOYSA-N 1 2 317.389 1.177 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3conc3CC)CC2)C1 ZINC000949466580 720107568 /nfs/dbraw/zinc/10/75/68/720107568.db2.gz KWJUZRPTYNMQIK-UHFFFAOYSA-N 1 2 317.389 1.177 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)C2CCC(O)CC2)C1 ZINC000969568820 720195013 /nfs/dbraw/zinc/19/50/13/720195013.db2.gz KODBQXKFZCMERS-DCBWTQNWSA-N 1 2 300.830 1.727 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@H](C)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969649658 720234190 /nfs/dbraw/zinc/23/41/90/720234190.db2.gz NUGHZCBWTVEQLY-CYBMUJFWSA-N 1 2 323.400 1.840 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ncoc2[C@H]2CCCO2)CC1 ZINC000949648964 720239250 /nfs/dbraw/zinc/23/92/50/720239250.db2.gz MIVVWXMARYOQPO-CYBMUJFWSA-N 1 2 303.362 1.307 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnc3n[nH]cc3c2)C1 ZINC000969941419 720568616 /nfs/dbraw/zinc/56/86/16/720568616.db2.gz QWHMEVWWDPUGLC-JTQLQIEISA-N 1 2 319.796 1.761 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c3c(nn2C)CCCC3)C1 ZINC000950425472 720627461 /nfs/dbraw/zinc/62/74/61/720627461.db2.gz HJTNPKDNVDEZNM-UHFFFAOYSA-N 1 2 314.433 1.469 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC000970200023 720660332 /nfs/dbraw/zinc/66/03/32/720660332.db2.gz XEVDXMRJCPVSEV-IACUBPJLSA-N 1 2 322.840 1.713 20 30 DDEDLO C[C@H](NC(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CN(CC#N)C1 ZINC000970222968 720667398 /nfs/dbraw/zinc/66/73/98/720667398.db2.gz IQCATAMYZVPNEH-ZDUSSCGKSA-N 1 2 309.373 1.446 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C2C[NH+](Cc3cnnn3C)C2)cc1 ZINC000970254228 720680082 /nfs/dbraw/zinc/68/00/82/720680082.db2.gz YSKSGTDBFYPARW-ZDUSSCGKSA-N 1 2 323.400 1.047 20 30 DDEDLO N#Cc1ccccc1CN1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000950808130 720781107 /nfs/dbraw/zinc/78/11/07/720781107.db2.gz NJEAUAUSBZURBD-UHFFFAOYSA-N 1 2 309.373 1.168 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3nncn3CC2)C1 ZINC000950901533 720820035 /nfs/dbraw/zinc/82/00/35/720820035.db2.gz DZGKLOOBROIFCN-CQSZACIVSA-N 1 2 317.437 1.339 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC000970909727 720982229 /nfs/dbraw/zinc/98/22/29/720982229.db2.gz BWKDELWTJLDBAW-NEPJUHHUSA-N 1 2 322.840 1.704 20 30 DDEDLO C=C(Cl)CN1CC(N(CC)C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC000951781661 721180411 /nfs/dbraw/zinc/18/04/11/721180411.db2.gz CHAYVVAGZMJUFM-ZIAGYGMSSA-N 1 2 322.840 1.809 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000951913932 721234476 /nfs/dbraw/zinc/23/44/76/721234476.db2.gz KFEOIOFTYMSADF-WMLDXEAASA-N 1 2 318.421 1.593 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CCN2C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000951965272 721257764 /nfs/dbraw/zinc/25/77/64/721257764.db2.gz DZGNSOPFFYHFLS-CQSZACIVSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)c2cncc3nc[nH]c32)C1 ZINC000971576102 721361812 /nfs/dbraw/zinc/36/18/12/721361812.db2.gz NCFOCWYJVUDSSM-LLVKDONJSA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)c2cncc3nc[nH]c32)C1 ZINC000971576102 721361820 /nfs/dbraw/zinc/36/18/20/721361820.db2.gz NCFOCWYJVUDSSM-LLVKDONJSA-N 1 2 319.796 1.857 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3[C@@H](C)C(N)=O)CCCC1 ZINC001111518055 735350999 /nfs/dbraw/zinc/35/09/99/735350999.db2.gz PKBDPXSWJHCEFL-YJNKXOJESA-N 1 2 319.449 1.718 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3[C@@H](C)C(N)=O)CCCC1 ZINC001111518055 735351000 /nfs/dbraw/zinc/35/10/00/735351000.db2.gz PKBDPXSWJHCEFL-YJNKXOJESA-N 1 2 319.449 1.718 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001023628147 735359792 /nfs/dbraw/zinc/35/97/92/735359792.db2.gz YQEZAXZPRKBXKG-ZDUSSCGKSA-N 1 2 324.384 1.410 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001023628147 735359793 /nfs/dbraw/zinc/35/97/93/735359793.db2.gz YQEZAXZPRKBXKG-ZDUSSCGKSA-N 1 2 324.384 1.410 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCCN(C(=O)c2c[nH]c(C)cc2=O)C1 ZINC001027638310 738498846 /nfs/dbraw/zinc/49/88/46/738498846.db2.gz QJEIUKLUXDIDED-ZDUSSCGKSA-N 1 2 323.824 1.972 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCCN(C(=O)c2c[nH]c(C)cc2=O)C1 ZINC001027638310 738498848 /nfs/dbraw/zinc/49/88/48/738498848.db2.gz QJEIUKLUXDIDED-ZDUSSCGKSA-N 1 2 323.824 1.972 20 30 DDEDLO C=CCCCC(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067716241 732658705 /nfs/dbraw/zinc/65/87/05/732658705.db2.gz HDUCBPKVXZIESN-UHFFFAOYSA-N 1 2 306.410 1.546 20 30 DDEDLO C#CCCC[N@H+]1C[C@@H](NC(=O)OC(C)(C)C)C[C@H]1C(=O)OC ZINC001209268038 732662021 /nfs/dbraw/zinc/66/20/21/732662021.db2.gz QMYUEKDLOPZURU-STQMWFEESA-N 1 2 310.394 1.540 20 30 DDEDLO C#CCCC[N@@H+]1C[C@@H](NC(=O)OC(C)(C)C)C[C@H]1C(=O)OC ZINC001209268038 732662023 /nfs/dbraw/zinc/66/20/23/732662023.db2.gz QMYUEKDLOPZURU-STQMWFEESA-N 1 2 310.394 1.540 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)CNc1ncccc1C#N ZINC001104245909 732849377 /nfs/dbraw/zinc/84/93/77/732849377.db2.gz DPQQKFCJRGMUDD-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)CNc1ncccc1C#N ZINC001104245909 732849382 /nfs/dbraw/zinc/84/93/82/732849382.db2.gz DPQQKFCJRGMUDD-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO N#CCN1CC[C@@H]([C@@H]2CCCCN2C(=O)CCn2cc[nH+]c2)C1 ZINC001039226195 733288206 /nfs/dbraw/zinc/28/82/06/733288206.db2.gz SRJFTYBDMNWFLR-CVEARBPZSA-N 1 2 315.421 1.500 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C4CC4)no3)[C@H]2C1 ZINC001083210894 733437663 /nfs/dbraw/zinc/43/76/63/733437663.db2.gz YPHIGLLBWKYQKA-DZGCQCFKSA-N 1 2 303.362 1.263 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C4CC4)no3)[C@H]2C1 ZINC001083210894 733437666 /nfs/dbraw/zinc/43/76/66/733437666.db2.gz YPHIGLLBWKYQKA-DZGCQCFKSA-N 1 2 303.362 1.263 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C)cc2F)C1 ZINC000891607551 734421534 /nfs/dbraw/zinc/42/15/34/734421534.db2.gz UFQVVBSMAPDYHG-CYBMUJFWSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C)cc2F)C1 ZINC000891607551 734421536 /nfs/dbraw/zinc/42/15/36/734421536.db2.gz UFQVVBSMAPDYHG-CYBMUJFWSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C)cc2C)C1 ZINC000891607965 734422244 /nfs/dbraw/zinc/42/22/44/734422244.db2.gz RUYSPBJLBPDYPO-OAHLLOKOSA-N 1 2 313.401 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C)cc2C)C1 ZINC000891607965 734422247 /nfs/dbraw/zinc/42/22/47/734422247.db2.gz RUYSPBJLBPDYPO-OAHLLOKOSA-N 1 2 313.401 1.456 20 30 DDEDLO CC(C)(C)c1noc(C[NH2+]CCNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001125776795 735591319 /nfs/dbraw/zinc/59/13/19/735591319.db2.gz YJBYKMGVCMGRDW-UHFFFAOYSA-N 1 2 316.365 1.086 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@H+](C)Cc3coc(C)n3)C2)nc1 ZINC000972756296 735598383 /nfs/dbraw/zinc/59/83/83/735598383.db2.gz LKJSZSIHXRFDRL-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@@H+](C)Cc3coc(C)n3)C2)nc1 ZINC000972756296 735598389 /nfs/dbraw/zinc/59/83/89/735598389.db2.gz LKJSZSIHXRFDRL-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001058571430 735667197 /nfs/dbraw/zinc/66/71/97/735667197.db2.gz HVTBOPGVDFYZCV-KFWWJZLASA-N 1 2 316.405 1.461 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC001024616818 735984565 /nfs/dbraw/zinc/98/45/65/735984565.db2.gz ZUKTUCJSORNBCA-LBPRGKRZSA-N 1 2 324.812 1.827 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC001024616818 735984567 /nfs/dbraw/zinc/98/45/67/735984567.db2.gz ZUKTUCJSORNBCA-LBPRGKRZSA-N 1 2 324.812 1.827 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2C[N@@H+]3CCCC[C@H]3CO2)n1C ZINC001121433467 782507558 /nfs/dbraw/zinc/50/75/58/782507558.db2.gz JXZIKBWBIUYWHK-MELADBBJSA-N 1 2 303.410 1.199 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@H]2C[N@H+]3CCCC[C@H]3CO2)n1C ZINC001121433467 782507562 /nfs/dbraw/zinc/50/75/62/782507562.db2.gz JXZIKBWBIUYWHK-MELADBBJSA-N 1 2 303.410 1.199 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)[C@H](C)C#N)C2)c2c([nH+]1)CCCC2 ZINC001058853942 738923820 /nfs/dbraw/zinc/92/38/20/738923820.db2.gz UOENVAHDWLDVMU-YPMHNXCESA-N 1 2 313.405 1.836 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)N(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001104554278 736287443 /nfs/dbraw/zinc/28/74/43/736287443.db2.gz XIYWOLUREUXJMW-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+][C@H](C)c2nc(CC)no2)CCC1 ZINC001129143959 751370337 /nfs/dbraw/zinc/37/03/37/751370337.db2.gz WWTBBOABPHLQOC-GFCCVEGCSA-N 1 2 304.394 1.592 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](C)N(C)C(=O)Cc2[nH]cc[nH+]2)n1 ZINC001104647979 736489808 /nfs/dbraw/zinc/48/98/08/736489808.db2.gz NVHOXNLQKQMSGF-GFCCVEGCSA-N 1 2 312.377 1.486 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CCC[C@@H](C2)[N@H+]3[C@H](CC)C(N)=O)C1 ZINC001039905973 736742633 /nfs/dbraw/zinc/74/26/33/736742633.db2.gz CUICSFGSUYRNOJ-QLFBSQMISA-N 1 2 319.449 1.672 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CCC[C@@H](C2)[N@@H+]3[C@H](CC)C(N)=O)C1 ZINC001039905973 736742635 /nfs/dbraw/zinc/74/26/35/736742635.db2.gz CUICSFGSUYRNOJ-QLFBSQMISA-N 1 2 319.449 1.672 20 30 DDEDLO Cc1nsc(NC[C@@H](C)N(C)C(=O)Cc2c[nH+]c[nH]2)c1C#N ZINC001104826117 737252200 /nfs/dbraw/zinc/25/22/00/737252200.db2.gz RQODIBLRLKLMLK-SECBINFHSA-N 1 2 318.406 1.548 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)CCCC)C2)nn1 ZINC001105225712 737632465 /nfs/dbraw/zinc/63/24/65/737632465.db2.gz ZXRHZAXFTJBQRC-ZDUSSCGKSA-N 1 2 303.410 1.211 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)C1CCCC1 ZINC001083302020 737799875 /nfs/dbraw/zinc/79/98/75/737799875.db2.gz LQSPQTGUMSPPDR-MSOLQXFVSA-N 1 2 312.413 1.390 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)C1CCCC1 ZINC001083302020 737799876 /nfs/dbraw/zinc/79/98/76/737799876.db2.gz LQSPQTGUMSPPDR-MSOLQXFVSA-N 1 2 312.413 1.390 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027337208 738209510 /nfs/dbraw/zinc/20/95/10/738209510.db2.gz ZUFQBIJTJVOKCB-JQWIXIFHSA-N 1 2 307.423 1.429 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027337208 738209511 /nfs/dbraw/zinc/20/95/11/738209511.db2.gz ZUFQBIJTJVOKCB-JQWIXIFHSA-N 1 2 307.423 1.429 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@H](N(C)CC#N)C3)ccn12 ZINC001027411018 738271094 /nfs/dbraw/zinc/27/10/94/738271094.db2.gz KLTLJBRGWCXSDB-HNNXBMFYSA-N 1 2 311.389 1.703 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001058884806 739028036 /nfs/dbraw/zinc/02/80/36/739028036.db2.gz NAQWEGBHYVEQDN-AWEZNQCLSA-N 1 2 310.361 1.322 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1occc1-c1cnn(C)c1 ZINC001032585193 751408528 /nfs/dbraw/zinc/40/85/28/751408528.db2.gz ZQPQKGLNUASKDJ-GJZGRUSLSA-N 1 2 324.384 1.602 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1occc1-c1cnn(C)c1 ZINC001032585193 751408532 /nfs/dbraw/zinc/40/85/32/751408532.db2.gz ZQPQKGLNUASKDJ-GJZGRUSLSA-N 1 2 324.384 1.602 20 30 DDEDLO CC(C)=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC001035325099 751411927 /nfs/dbraw/zinc/41/19/27/751411927.db2.gz BMCRYWSGZHJBRA-CQSZACIVSA-N 1 2 302.378 1.283 20 30 DDEDLO CC(C)=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC001035325099 751411931 /nfs/dbraw/zinc/41/19/31/751411931.db2.gz BMCRYWSGZHJBRA-CQSZACIVSA-N 1 2 302.378 1.283 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3ccc(Cl)nc3)C[C@H]21 ZINC001075619780 739218049 /nfs/dbraw/zinc/21/80/49/739218049.db2.gz OLSFLAMHDWSIIJ-KWCYVHTRSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3ccc(Cl)nc3)C[C@H]21 ZINC001075619780 739218052 /nfs/dbraw/zinc/21/80/52/739218052.db2.gz OLSFLAMHDWSIIJ-KWCYVHTRSA-N 1 2 318.808 1.927 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@H+]2Cc2nocc2C)cn1 ZINC001028270095 739258823 /nfs/dbraw/zinc/25/88/23/739258823.db2.gz FAEGYKLGCUDOLY-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2nocc2C)cn1 ZINC001028270095 739258825 /nfs/dbraw/zinc/25/88/25/739258825.db2.gz FAEGYKLGCUDOLY-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cncc(C(N)=O)c1 ZINC001028322420 739354630 /nfs/dbraw/zinc/35/46/30/739354630.db2.gz DCDDDIFFBIITRN-CYBMUJFWSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cncc(C(N)=O)c1 ZINC001028322420 739354634 /nfs/dbraw/zinc/35/46/34/739354634.db2.gz DCDDDIFFBIITRN-CYBMUJFWSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001035416926 751498334 /nfs/dbraw/zinc/49/83/34/751498334.db2.gz OBTIHMYCGGWDQW-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001035416926 751498337 /nfs/dbraw/zinc/49/83/37/751498337.db2.gz OBTIHMYCGGWDQW-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)CN1CCO[C@H](CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001035416926 751498341 /nfs/dbraw/zinc/49/83/41/751498341.db2.gz OBTIHMYCGGWDQW-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnc(Cl)n2C)C1 ZINC001035445957 751523384 /nfs/dbraw/zinc/52/33/84/751523384.db2.gz VLQZPZFZLQEESD-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnc(Cl)n2C)C1 ZINC001035445957 751523386 /nfs/dbraw/zinc/52/33/86/751523386.db2.gz VLQZPZFZLQEESD-LLVKDONJSA-N 1 2 312.801 1.080 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)c(C)cn2)C1 ZINC001035459520 751545909 /nfs/dbraw/zinc/54/59/09/751545909.db2.gz JECPHFVXTBOKNN-OAHLLOKOSA-N 1 2 301.390 1.152 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)c(C)cn2)C1 ZINC001035459520 751545916 /nfs/dbraw/zinc/54/59/16/751545916.db2.gz JECPHFVXTBOKNN-OAHLLOKOSA-N 1 2 301.390 1.152 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)Nc1ccc(C#N)nc1 ZINC001098268307 740487601 /nfs/dbraw/zinc/48/76/01/740487601.db2.gz TYWBTPNGGAYXSU-BXUZGUMPSA-N 1 2 324.388 1.713 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001035468285 751552578 /nfs/dbraw/zinc/55/25/78/751552578.db2.gz XXUBRPCMYHWJNE-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001035468285 751552582 /nfs/dbraw/zinc/55/25/82/751552582.db2.gz XXUBRPCMYHWJNE-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ncsc2C2CC2)C1 ZINC001035506627 751562536 /nfs/dbraw/zinc/56/25/36/751562536.db2.gz VHMUYSWVJGLMMW-CYBMUJFWSA-N 1 2 319.430 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ncsc2C2CC2)C1 ZINC001035506627 751562542 /nfs/dbraw/zinc/56/25/42/751562542.db2.gz VHMUYSWVJGLMMW-CYBMUJFWSA-N 1 2 319.430 1.474 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2ccn3c(C)c[nH+]c3c2)CCN1CC#N ZINC001087571250 740658262 /nfs/dbraw/zinc/65/82/62/740658262.db2.gz JVTCBQFTZHNUCD-LSDHHAIUSA-N 1 2 311.389 1.749 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc3occc3s2)C1 ZINC001035511228 751568145 /nfs/dbraw/zinc/56/81/45/751568145.db2.gz JRVGEZQZMUISCE-GFCCVEGCSA-N 1 2 318.398 1.948 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc3occc3s2)C1 ZINC001035511228 751568147 /nfs/dbraw/zinc/56/81/47/751568147.db2.gz JRVGEZQZMUISCE-GFCCVEGCSA-N 1 2 318.398 1.948 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129189553 751604376 /nfs/dbraw/zinc/60/43/76/751604376.db2.gz QTWYQOHMWUZTBQ-XHSDSOJGSA-N 1 2 315.421 1.245 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129189553 751604378 /nfs/dbraw/zinc/60/43/78/751604378.db2.gz QTWYQOHMWUZTBQ-XHSDSOJGSA-N 1 2 315.421 1.245 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129189552 751604813 /nfs/dbraw/zinc/60/48/13/751604813.db2.gz QTWYQOHMWUZTBQ-OAGGEKHMSA-N 1 2 315.421 1.245 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129189552 751604821 /nfs/dbraw/zinc/60/48/21/751604821.db2.gz QTWYQOHMWUZTBQ-OAGGEKHMSA-N 1 2 315.421 1.245 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C2CCC(F)(F)CC2)C1 ZINC001035530459 751611571 /nfs/dbraw/zinc/61/15/71/751611571.db2.gz VQRSTGJOEZUHKZ-CYBMUJFWSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C2CCC(F)(F)CC2)C1 ZINC001035530459 751611574 /nfs/dbraw/zinc/61/15/74/751611574.db2.gz VQRSTGJOEZUHKZ-CYBMUJFWSA-N 1 2 302.365 1.815 20 30 DDEDLO CC(C)c1nnc(C[N@H+](C)C[C@H]2CCN(C(=O)[C@H](C)C#N)C2)[nH]1 ZINC001029678804 741219645 /nfs/dbraw/zinc/21/96/45/741219645.db2.gz SYHROUFJRGPUDX-CHWSQXEVSA-N 1 2 318.425 1.368 20 30 DDEDLO CC(C)c1nnc(C[N@@H+](C)C[C@H]2CCN(C(=O)[C@H](C)C#N)C2)[nH]1 ZINC001029678804 741219646 /nfs/dbraw/zinc/21/96/46/741219646.db2.gz SYHROUFJRGPUDX-CHWSQXEVSA-N 1 2 318.425 1.368 20 30 DDEDLO CCCn1ncnc1C[N@H+](C)C[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001029679311 741220219 /nfs/dbraw/zinc/22/02/19/741220219.db2.gz ZLGWGRXOLRZAAZ-ZIAGYGMSSA-N 1 2 318.425 1.128 20 30 DDEDLO CCCn1ncnc1C[N@@H+](C)C[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001029679311 741220221 /nfs/dbraw/zinc/22/02/21/741220221.db2.gz ZLGWGRXOLRZAAZ-ZIAGYGMSSA-N 1 2 318.425 1.128 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnn4c3CCC4)[C@@H]2C1 ZINC001075861115 741324024 /nfs/dbraw/zinc/32/40/24/741324024.db2.gz KYHAQZBQHOXMCH-SWLSCSKDSA-N 1 2 320.824 1.728 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnn4c3CCC4)[C@@H]2C1 ZINC001075861115 741324026 /nfs/dbraw/zinc/32/40/26/741324026.db2.gz KYHAQZBQHOXMCH-SWLSCSKDSA-N 1 2 320.824 1.728 20 30 DDEDLO Cc1nc(N2CCC([C@@H](C)NC(=O)[C@@H](C)C#N)CC2)cc[nH+]1 ZINC001126621578 741361884 /nfs/dbraw/zinc/36/18/84/741361884.db2.gz FSOJMRLLTUOHQS-NWDGAFQWSA-N 1 2 301.394 1.666 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C1CCOCC1 ZINC001038004167 751647622 /nfs/dbraw/zinc/64/76/22/751647622.db2.gz ORILWIMCDGAQIZ-SFHVURJKSA-N 1 2 312.413 1.655 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C1CCOCC1 ZINC001038004167 751647625 /nfs/dbraw/zinc/64/76/25/751647625.db2.gz ORILWIMCDGAQIZ-SFHVURJKSA-N 1 2 312.413 1.655 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cn3ccccc3n2)C1 ZINC001035595371 751651153 /nfs/dbraw/zinc/65/11/53/751651153.db2.gz MLWDKKQAAZZBCU-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cn3ccccc3n2)C1 ZINC001035595371 751651157 /nfs/dbraw/zinc/65/11/57/751651157.db2.gz MLWDKKQAAZZBCU-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cn(C)nc2C(C)C)C1 ZINC001035601083 751660123 /nfs/dbraw/zinc/66/01/23/751660123.db2.gz WUAKMNOZQZBPKL-CQSZACIVSA-N 1 2 320.437 1.550 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cn(C)nc2C(C)C)C1 ZINC001035601083 751660124 /nfs/dbraw/zinc/66/01/24/751660124.db2.gz WUAKMNOZQZBPKL-CQSZACIVSA-N 1 2 320.437 1.550 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1C1CCCC1 ZINC001032618326 751660470 /nfs/dbraw/zinc/66/04/70/751660470.db2.gz SITZVUYAMRXETI-GJZGRUSLSA-N 1 2 312.417 1.610 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1C1CCCC1 ZINC001032618326 751660474 /nfs/dbraw/zinc/66/04/74/751660474.db2.gz SITZVUYAMRXETI-GJZGRUSLSA-N 1 2 312.417 1.610 20 30 DDEDLO N#CCN1CCC[C@@]2(CCN(C(=O)CCc3[nH]cc[nH+]3)C2)C1 ZINC001040182390 741741423 /nfs/dbraw/zinc/74/14/23/741741423.db2.gz WZSCXEQNYUDPNU-MRXNPFEDSA-N 1 2 301.394 1.180 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2cscc2C)[C@H](OC)C1 ZINC001212081626 741986865 /nfs/dbraw/zinc/98/68/65/741986865.db2.gz PYJXTRQAOCSJOR-HUUCEWRRSA-N 1 2 322.430 1.135 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2cscc2C)[C@H](OC)C1 ZINC001212081626 741986868 /nfs/dbraw/zinc/98/68/68/741986868.db2.gz PYJXTRQAOCSJOR-HUUCEWRRSA-N 1 2 322.430 1.135 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C=C/C(C)(C)C)C2)nn1 ZINC001098645960 741996143 /nfs/dbraw/zinc/99/61/43/741996143.db2.gz YUULSCCULWVOAU-PMGBHYCQSA-N 1 2 315.421 1.377 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001060039533 742258893 /nfs/dbraw/zinc/25/88/93/742258893.db2.gz WKNYKIRVHAFTJL-AWEZNQCLSA-N 1 2 324.388 1.500 20 30 DDEDLO Cc1ncoc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038132437 742386903 /nfs/dbraw/zinc/38/69/03/742386903.db2.gz ITTLDKQTHIQHFO-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1ncoc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038132437 742386907 /nfs/dbraw/zinc/38/69/07/742386907.db2.gz ITTLDKQTHIQHFO-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccoc2C(C)C)C1 ZINC001035617669 751725187 /nfs/dbraw/zinc/72/51/87/751725187.db2.gz BDMRAFFYGQVORV-CQSZACIVSA-N 1 2 304.390 1.857 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccoc2C(C)C)C1 ZINC001035617669 751725195 /nfs/dbraw/zinc/72/51/95/751725195.db2.gz BDMRAFFYGQVORV-CQSZACIVSA-N 1 2 304.390 1.857 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2oc3ccc(F)cc3c2C)[C@@H](O)C1 ZINC001083525205 742462951 /nfs/dbraw/zinc/46/29/51/742462951.db2.gz FIILDPRNGZKEKY-KGLIPLIRSA-N 1 2 316.332 1.288 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2oc3ccc(F)cc3c2C)[C@@H](O)C1 ZINC001083525205 742462953 /nfs/dbraw/zinc/46/29/53/742462953.db2.gz FIILDPRNGZKEKY-KGLIPLIRSA-N 1 2 316.332 1.288 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076320291 742663882 /nfs/dbraw/zinc/66/38/82/742663882.db2.gz FFYFXGXPFUQHFE-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001076692265 742904885 /nfs/dbraw/zinc/90/48/85/742904885.db2.gz MFAAKAPSXUIZMP-AWEZNQCLSA-N 1 2 320.437 1.887 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(C[NH+]([C@@H](C)c3ncccn3)C2)CC1 ZINC001035671761 751777350 /nfs/dbraw/zinc/77/73/50/751777350.db2.gz ZRSCTXMLLAUDOI-KGLIPLIRSA-N 1 2 313.405 1.622 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O)C1CC1 ZINC001076850172 743035334 /nfs/dbraw/zinc/03/53/34/743035334.db2.gz PUADFDQGLWYYOC-COLVAYQJSA-N 1 2 319.430 1.327 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O)C1CC1 ZINC001076850172 743035345 /nfs/dbraw/zinc/03/53/45/743035345.db2.gz PUADFDQGLWYYOC-COLVAYQJSA-N 1 2 319.430 1.327 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1ccn(C)n1)CC2 ZINC001035705672 751791913 /nfs/dbraw/zinc/79/19/13/751791913.db2.gz AIVIIUDSFKDWNV-UHFFFAOYSA-N 1 2 322.840 1.640 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1CCOc2c(F)cccc21 ZINC001038507915 743108622 /nfs/dbraw/zinc/10/86/22/743108622.db2.gz RZNVPLAZNYLZNE-JSGCOSHPSA-N 1 2 302.349 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CCOc2c(F)cccc21 ZINC001038507915 743108625 /nfs/dbraw/zinc/10/86/25/743108625.db2.gz RZNVPLAZNYLZNE-JSGCOSHPSA-N 1 2 302.349 1.516 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001077114406 743253394 /nfs/dbraw/zinc/25/33/94/743253394.db2.gz QATYAEFXHFRTNY-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C(=O)Nc2ccccc2)C1 ZINC001108048687 743338574 /nfs/dbraw/zinc/33/85/74/743338574.db2.gz AXRYYVYAVCUPLA-QGZVFWFLSA-N 1 2 317.389 1.018 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C(=O)Nc2ccccc2)C1 ZINC001108048687 743338578 /nfs/dbraw/zinc/33/85/78/743338578.db2.gz AXRYYVYAVCUPLA-QGZVFWFLSA-N 1 2 317.389 1.018 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CCC2(CN(CC#N)C2)CC1 ZINC001035729607 751820106 /nfs/dbraw/zinc/82/01/06/751820106.db2.gz ARFJRQZDGFZKSR-UHFFFAOYSA-N 1 2 314.389 1.081 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@H]2CCn3cc[nH+]c3C2)C[C@@]1(C)CNCC#N ZINC001181952024 743408209 /nfs/dbraw/zinc/40/82/09/743408209.db2.gz OBGLRBVLEGINFN-JKIFEVAISA-N 1 2 315.421 1.043 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](C)C3CC3)c2C1 ZINC001128291433 743487324 /nfs/dbraw/zinc/48/73/24/743487324.db2.gz XJOZBMAZNYCJAM-ZDUSSCGKSA-N 1 2 302.422 1.937 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H](C)C3CC3)c2C1 ZINC001128291433 743487330 /nfs/dbraw/zinc/48/73/30/743487330.db2.gz XJOZBMAZNYCJAM-ZDUSSCGKSA-N 1 2 302.422 1.937 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2csc(C(F)(F)F)c2)[C@@H](O)C1 ZINC001083602900 743525216 /nfs/dbraw/zinc/52/52/16/743525216.db2.gz SMXQXFLSKPSLGK-ZJUUUORDSA-N 1 2 318.320 1.175 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2csc(C(F)(F)F)c2)[C@@H](O)C1 ZINC001083602900 743525221 /nfs/dbraw/zinc/52/52/21/743525221.db2.gz SMXQXFLSKPSLGK-ZJUUUORDSA-N 1 2 318.320 1.175 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)Cc2c[nH]c3cccc(C#N)c23)C1 ZINC001182582715 743669803 /nfs/dbraw/zinc/66/98/03/743669803.db2.gz FNWDHLYMIOCSBK-CQSZACIVSA-N 1 2 312.373 1.029 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)Cc2c[nH]c3cccc(C#N)c23)C1 ZINC001182582715 743669805 /nfs/dbraw/zinc/66/98/05/743669805.db2.gz FNWDHLYMIOCSBK-CQSZACIVSA-N 1 2 312.373 1.029 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCCN2C(=O)Cn2cc[nH+]c2)cn1 ZINC001060257864 743743746 /nfs/dbraw/zinc/74/37/46/743743746.db2.gz STHICUSLUPVWGG-MRXNPFEDSA-N 1 2 324.388 1.643 20 30 DDEDLO CC1(C)CO[C@@H](C[NH+]2CC(NC(=O)c3cc(C#N)c[nH]3)C2)C1 ZINC001030195078 743927232 /nfs/dbraw/zinc/92/72/32/743927232.db2.gz VZYMSAJZLUTERI-CYBMUJFWSA-N 1 2 302.378 1.115 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCN(CCC)c1cc[nH+]c(C)n1 ZINC001101184775 743940971 /nfs/dbraw/zinc/94/09/71/743940971.db2.gz GQQUQQUUCYGDPY-ZDUSSCGKSA-N 1 2 304.394 1.156 20 30 DDEDLO Cc1cc(N[C@H](CO)CNC(=O)C#CC(C)C)nc(C(C)C)[nH+]1 ZINC001121520821 782547230 /nfs/dbraw/zinc/54/72/30/782547230.db2.gz RTIKMWXNLBOPFR-AWEZNQCLSA-N 1 2 318.421 1.457 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CCCF)C2)nn1 ZINC001185992631 744318712 /nfs/dbraw/zinc/31/87/12/744318712.db2.gz QPCLWFQUWCLGMD-OAHLLOKOSA-N 1 2 321.400 1.304 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)[C@H]1C ZINC001089007196 744445643 /nfs/dbraw/zinc/44/56/43/744445643.db2.gz TWYKXEXJZCBYKG-BNOWGMLFSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)[C@H]1C ZINC001089007196 744445646 /nfs/dbraw/zinc/44/56/46/744445646.db2.gz TWYKXEXJZCBYKG-BNOWGMLFSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](C)N2CCOCC2)[C@H]1C ZINC001089084529 744501342 /nfs/dbraw/zinc/50/13/42/744501342.db2.gz QRMITEPTCVLPSN-RDBSUJKOSA-N 1 2 315.845 1.039 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)N2CCOCC2)[C@H]1C ZINC001089084529 744501345 /nfs/dbraw/zinc/50/13/45/744501345.db2.gz QRMITEPTCVLPSN-RDBSUJKOSA-N 1 2 315.845 1.039 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001187320174 744548699 /nfs/dbraw/zinc/54/86/99/744548699.db2.gz LVIYVMWITPBBTG-QLFBSQMISA-N 1 2 316.405 1.273 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001187320174 744548701 /nfs/dbraw/zinc/54/87/01/744548701.db2.gz LVIYVMWITPBBTG-QLFBSQMISA-N 1 2 316.405 1.273 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](CCc3cnn(CC)c3)C2)cc1 ZINC001030777314 744612494 /nfs/dbraw/zinc/61/24/94/744612494.db2.gz SZHHINOBSJFDMG-UHFFFAOYSA-N 1 2 322.412 1.541 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001187865761 744622399 /nfs/dbraw/zinc/62/23/99/744622399.db2.gz TVKZZGHGUNINCK-KCQAQPDRSA-N 1 2 319.430 1.214 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001187865761 744622401 /nfs/dbraw/zinc/62/24/01/744622401.db2.gz TVKZZGHGUNINCK-KCQAQPDRSA-N 1 2 319.430 1.214 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001077499765 744648708 /nfs/dbraw/zinc/64/87/08/744648708.db2.gz PXCZZUYRBSUCHI-AWEZNQCLSA-N 1 2 318.421 1.167 20 30 DDEDLO Cc1nnsc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C#N)C2 ZINC001110401769 744754898 /nfs/dbraw/zinc/75/48/98/744754898.db2.gz NNIKGXRPPIARAH-HKWIRBFKSA-N 1 2 305.407 1.228 20 30 DDEDLO Cc1nnsc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C#N)C2 ZINC001110401769 744754899 /nfs/dbraw/zinc/75/48/99/744754899.db2.gz NNIKGXRPPIARAH-HKWIRBFKSA-N 1 2 305.407 1.228 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C3CC3)n2)C1 ZINC001189363584 744886079 /nfs/dbraw/zinc/88/60/79/744886079.db2.gz MYDDFFQCHCZFAI-OAHLLOKOSA-N 1 2 319.405 1.787 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C3CC3)n2)C1 ZINC001189363584 744886081 /nfs/dbraw/zinc/88/60/81/744886081.db2.gz MYDDFFQCHCZFAI-OAHLLOKOSA-N 1 2 319.405 1.787 20 30 DDEDLO C[C@@]1(C(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]2O)C=CCC1 ZINC001083704969 744938588 /nfs/dbraw/zinc/93/85/88/744938588.db2.gz MAYRTOHGOPDUAD-WSTZPKSXSA-N 1 2 324.424 1.556 20 30 DDEDLO C[C@@]1(C(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]2O)C=CCC1 ZINC001083704969 744938590 /nfs/dbraw/zinc/93/85/90/744938590.db2.gz MAYRTOHGOPDUAD-WSTZPKSXSA-N 1 2 324.424 1.556 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001189743407 744965311 /nfs/dbraw/zinc/96/53/11/744965311.db2.gz CHNLCMYXRBRQMA-HNNXBMFYSA-N 1 2 317.437 1.524 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001189743407 744965313 /nfs/dbraw/zinc/96/53/13/744965313.db2.gz CHNLCMYXRBRQMA-HNNXBMFYSA-N 1 2 317.437 1.524 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)cs2)C1 ZINC001189919005 745035128 /nfs/dbraw/zinc/03/51/28/745035128.db2.gz YAERMPXGFUIBAL-CZUORRHYSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)cs2)C1 ZINC001189919005 745035135 /nfs/dbraw/zinc/03/51/35/745035135.db2.gz YAERMPXGFUIBAL-CZUORRHYSA-N 1 2 323.462 1.811 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2ncccn2)C1 ZINC001190053486 745091845 /nfs/dbraw/zinc/09/18/45/745091845.db2.gz JDSHMNZLCJTTHX-HUUCEWRRSA-N 1 2 300.406 1.730 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2ncccn2)C1 ZINC001190053486 745091850 /nfs/dbraw/zinc/09/18/50/745091850.db2.gz JDSHMNZLCJTTHX-HUUCEWRRSA-N 1 2 300.406 1.730 20 30 DDEDLO C=CCCC(=O)NCC[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001060462490 745139975 /nfs/dbraw/zinc/13/99/75/745139975.db2.gz DJEDRSXLYRZEFX-CYBMUJFWSA-N 1 2 304.394 1.273 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CCC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007026188 751989409 /nfs/dbraw/zinc/98/94/09/751989409.db2.gz BYZNVKMGVBPLAN-TZMCWYRMSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CCC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007026188 751989413 /nfs/dbraw/zinc/98/94/13/751989413.db2.gz BYZNVKMGVBPLAN-TZMCWYRMSA-N 1 2 324.388 1.632 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cc[n+]([O-])cc2)[C@@H]1C ZINC000993010507 745373352 /nfs/dbraw/zinc/37/33/52/745373352.db2.gz DETIKLMUCRDKOQ-GXTWGEPZSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cc[n+]([O-])cc2)[C@@H]1C ZINC000993010507 745373355 /nfs/dbraw/zinc/37/33/55/745373355.db2.gz DETIKLMUCRDKOQ-GXTWGEPZSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2nccnc2N)[C@H]1C ZINC000993175334 745712255 /nfs/dbraw/zinc/71/22/55/745712255.db2.gz SGWOZXRQLYZOQR-GHMZBOCLSA-N 1 2 309.801 1.394 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2nccnc2N)[C@H]1C ZINC000993175334 745712260 /nfs/dbraw/zinc/71/22/60/745712260.db2.gz SGWOZXRQLYZOQR-GHMZBOCLSA-N 1 2 309.801 1.394 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]([N@@H+](C)Cc3cnnn3C)C2)CCC1 ZINC001192218193 745729320 /nfs/dbraw/zinc/72/93/20/745729320.db2.gz NSIDWXIFKGXIDF-AWEZNQCLSA-N 1 2 317.437 1.594 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@H]([N@H+](C)Cc3cnnn3C)C2)CCC1 ZINC001192218193 745729324 /nfs/dbraw/zinc/72/93/24/745729324.db2.gz NSIDWXIFKGXIDF-AWEZNQCLSA-N 1 2 317.437 1.594 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)c1ccc(C#N)cc1OC ZINC001192268421 745738743 /nfs/dbraw/zinc/73/87/43/745738743.db2.gz KNMCUNZVJRZJMD-UHFFFAOYSA-N 1 2 314.345 1.340 20 30 DDEDLO C[C@H](CCNc1ccc(C#N)cn1)NC(=O)CCn1cc[nH+]c1 ZINC001106520289 745762135 /nfs/dbraw/zinc/76/21/35/745762135.db2.gz AACBJMREQIKSOY-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CCC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007152441 752039587 /nfs/dbraw/zinc/03/95/87/752039587.db2.gz OGOARXGVWLCBRX-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1nccnc1C[N@H+]1CCC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007152441 752039590 /nfs/dbraw/zinc/03/95/90/752039590.db2.gz OGOARXGVWLCBRX-AWEZNQCLSA-N 1 2 324.388 1.379 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@H+](C[C@@H](F)CC)C[C@H]1O ZINC001193312587 746074620 /nfs/dbraw/zinc/07/46/20/746074620.db2.gz ISNVXDAWLNWJOR-QJPTWQEYSA-N 1 2 306.809 1.675 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](C[C@@H](F)CC)C[C@H]1O ZINC001193312587 746074622 /nfs/dbraw/zinc/07/46/22/746074622.db2.gz ISNVXDAWLNWJOR-QJPTWQEYSA-N 1 2 306.809 1.675 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cc(OC)ccc2C#N)[C@H](C)C1 ZINC001193679601 746173944 /nfs/dbraw/zinc/17/39/44/746173944.db2.gz KCWHUSJSVVPJTQ-GFCCVEGCSA-N 1 2 323.418 1.282 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cc(OC)ccc2C#N)[C@H](C)C1 ZINC001193679601 746173947 /nfs/dbraw/zinc/17/39/47/746173947.db2.gz KCWHUSJSVVPJTQ-GFCCVEGCSA-N 1 2 323.418 1.282 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2nc3cnccc3s2)[C@@H]1C ZINC000993571635 746210224 /nfs/dbraw/zinc/21/02/24/746210224.db2.gz KJASCWXARXSSHV-RYUDHWBXSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2nc3cnccc3s2)[C@@H]1C ZINC000993571635 746210226 /nfs/dbraw/zinc/21/02/26/746210226.db2.gz KJASCWXARXSSHV-RYUDHWBXSA-N 1 2 314.414 1.907 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc3c2CCC3)[C@@H]1C ZINC000993553845 746217235 /nfs/dbraw/zinc/21/72/35/746217235.db2.gz NKBNMURACQBFNZ-GXTWGEPZSA-N 1 2 300.406 1.504 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]nc3c2CCC3)[C@@H]1C ZINC000993553845 746217237 /nfs/dbraw/zinc/21/72/37/746217237.db2.gz NKBNMURACQBFNZ-GXTWGEPZSA-N 1 2 300.406 1.504 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2cc(C)oc2C)CC1 ZINC001194778357 746452759 /nfs/dbraw/zinc/45/27/59/746452759.db2.gz NVSMYKIFDRAOEW-UHFFFAOYSA-N 1 2 319.405 1.347 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2cc(C)oc2C)CC1 ZINC001194778357 746452760 /nfs/dbraw/zinc/45/27/60/746452760.db2.gz NVSMYKIFDRAOEW-UHFFFAOYSA-N 1 2 319.405 1.347 20 30 DDEDLO C=C(C)CCC(=O)N(CC)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106861271 746501252 /nfs/dbraw/zinc/50/12/52/746501252.db2.gz WMZCGGLQFFMWKQ-UHFFFAOYSA-N 1 2 320.441 1.863 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195270167 746561647 /nfs/dbraw/zinc/56/16/47/746561647.db2.gz BKHVPEWFDFNAAA-ZIAGYGMSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195270167 746561652 /nfs/dbraw/zinc/56/16/52/746561652.db2.gz BKHVPEWFDFNAAA-ZIAGYGMSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)=C2CCC2)CC1 ZINC001195747094 746698031 /nfs/dbraw/zinc/69/80/31/746698031.db2.gz KDOJJVGGRLRVRI-UHFFFAOYSA-N 1 2 305.422 1.323 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)=C2CCC2)CC1 ZINC001195747094 746698033 /nfs/dbraw/zinc/69/80/33/746698033.db2.gz KDOJJVGGRLRVRI-UHFFFAOYSA-N 1 2 305.422 1.323 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2cccc(OC(C)C)c2)C1 ZINC001031468969 746861078 /nfs/dbraw/zinc/86/10/78/746861078.db2.gz BAJFTWAVANZMFC-UHFFFAOYSA-N 1 2 300.402 1.840 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2CC[C@H](C)C2)CC1 ZINC001196790455 746963048 /nfs/dbraw/zinc/96/30/48/746963048.db2.gz LYNOYMKAVKEGHJ-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2CC[C@H](C)C2)CC1 ZINC001196790455 746963054 /nfs/dbraw/zinc/96/30/54/746963054.db2.gz LYNOYMKAVKEGHJ-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@H+](CC(=O)NCC=C)CC2)CC1 ZINC001197055180 747038455 /nfs/dbraw/zinc/03/84/55/747038455.db2.gz KXWYXBZYQNSOGS-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@@H+](CC(=O)NCC=C)CC2)CC1 ZINC001197055180 747038459 /nfs/dbraw/zinc/03/84/59/747038459.db2.gz KXWYXBZYQNSOGS-UHFFFAOYSA-N 1 2 319.449 1.569 20 30 DDEDLO Cc1ocnc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031571764 747073488 /nfs/dbraw/zinc/07/34/88/747073488.db2.gz XFQUYIXUWRPRDM-UHFFFAOYSA-N 1 2 310.357 1.717 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001089489569 747082429 /nfs/dbraw/zinc/08/24/29/747082429.db2.gz IYVHKKMJBDGHDJ-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@H]2C(C)C)CC1 ZINC001197629499 747209272 /nfs/dbraw/zinc/20/92/72/747209272.db2.gz IOZMXNAIYFFQBR-LSDHHAIUSA-N 1 2 307.438 1.115 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2C(C)C)CC1 ZINC001197629499 747209275 /nfs/dbraw/zinc/20/92/75/747209275.db2.gz IOZMXNAIYFFQBR-LSDHHAIUSA-N 1 2 307.438 1.115 20 30 DDEDLO Cc1cnc([C@H](C)[NH+]2CC(CNC(=O)c3c[nH]c(C#N)c3)C2)cn1 ZINC001031622670 747228842 /nfs/dbraw/zinc/22/88/42/747228842.db2.gz CPSGJHIACUAKBJ-LBPRGKRZSA-N 1 2 324.388 1.408 20 30 DDEDLO CN(c1ccc(C#N)nc1)[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001061271475 747256765 /nfs/dbraw/zinc/25/67/65/747256765.db2.gz OHDOFACFDWYZQT-INIZCTEOSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC[NH+]([C@@H](C)c3nncn3C)CC2)C1 ZINC001003596580 747310140 /nfs/dbraw/zinc/31/01/40/747310140.db2.gz WZUWBJDFCDXAEH-ZDUSSCGKSA-N 1 2 317.437 1.813 20 30 DDEDLO Cc1cc(C)c(C(=O)NCC2CN(C(=O)[C@@H](C)C#N)C2)c(C)[nH+]1 ZINC001003603203 747320543 /nfs/dbraw/zinc/32/05/43/747320543.db2.gz YZSIQADMRXVEBR-NSHDSACASA-N 1 2 314.389 1.355 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2CCCCC2)[C@H](O)C1 ZINC001090024186 747353417 /nfs/dbraw/zinc/35/34/17/747353417.db2.gz ZRIAJJRUISSBJH-ZIAGYGMSSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2CCCCC2)[C@H](O)C1 ZINC001090024186 747353424 /nfs/dbraw/zinc/35/34/24/747353424.db2.gz ZRIAJJRUISSBJH-ZIAGYGMSSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnc(C)nc2C2CC2)C1 ZINC001044248915 747407555 /nfs/dbraw/zinc/40/75/55/747407555.db2.gz RZXDCHIMENGUBE-UHFFFAOYSA-N 1 2 300.406 1.995 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC000998748856 752200678 /nfs/dbraw/zinc/20/06/78/752200678.db2.gz XXOSJASANBMUSH-ZYHUDNBSSA-N 1 2 303.366 1.187 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)C1CC2(CC2)C1 ZINC001083766817 747794293 /nfs/dbraw/zinc/79/42/93/747794293.db2.gz WURBLSNWFYCREK-MSOLQXFVSA-N 1 2 324.424 1.390 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)C1CC2(CC2)C1 ZINC001083766817 747794294 /nfs/dbraw/zinc/79/42/94/747794294.db2.gz WURBLSNWFYCREK-MSOLQXFVSA-N 1 2 324.424 1.390 20 30 DDEDLO N#CCN1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001089803178 747882596 /nfs/dbraw/zinc/88/25/96/747882596.db2.gz MNNGZZLDPZGJNI-YJNKXOJESA-N 1 2 313.405 1.035 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3coc(C)n3)CC2)nc1 ZINC001004003808 747903958 /nfs/dbraw/zinc/90/39/58/747903958.db2.gz MEGBEBOIZUBRGM-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2Cc3ccccc3O2)C1 ZINC001031825225 747955872 /nfs/dbraw/zinc/95/58/72/747955872.db2.gz HJAJTUPNKSGVHQ-OAHLLOKOSA-N 1 2 306.793 1.791 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ncoc1[C@@H]1CCCO1 ZINC001038592787 748004186 /nfs/dbraw/zinc/00/41/86/748004186.db2.gz NPARPJAWNFOJPQ-OLZOCXBDSA-N 1 2 303.362 1.354 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ncoc1[C@@H]1CCCO1 ZINC001038592787 748004191 /nfs/dbraw/zinc/00/41/91/748004191.db2.gz NPARPJAWNFOJPQ-OLZOCXBDSA-N 1 2 303.362 1.354 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001212347146 748072834 /nfs/dbraw/zinc/07/28/34/748072834.db2.gz WVYJEDCPKWDZHZ-HUUCEWRRSA-N 1 2 306.410 1.092 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cnn(C)c2)C[C@H]1OC ZINC001212347146 748072839 /nfs/dbraw/zinc/07/28/39/748072839.db2.gz WVYJEDCPKWDZHZ-HUUCEWRRSA-N 1 2 306.410 1.092 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cnc3c(c2)ncn3C)C1 ZINC001031895431 748146541 /nfs/dbraw/zinc/14/65/41/748146541.db2.gz BGORCJPEHSXPKC-UHFFFAOYSA-N 1 2 319.796 1.382 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(Cl)o2)[C@@H](O)C1 ZINC001090084408 748149244 /nfs/dbraw/zinc/14/92/44/748149244.db2.gz UNQDTEWWSMHBTK-ZJUUUORDSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(Cl)o2)[C@@H](O)C1 ZINC001090084408 748149245 /nfs/dbraw/zinc/14/92/45/748149245.db2.gz UNQDTEWWSMHBTK-ZJUUUORDSA-N 1 2 319.188 1.851 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077573940 748201228 /nfs/dbraw/zinc/20/12/28/748201228.db2.gz SPFJDMHGYJHFCS-AWEZNQCLSA-N 1 2 306.410 1.641 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001110551760 748211932 /nfs/dbraw/zinc/21/19/32/748211932.db2.gz ASNYJTIPEODLOT-MXYBEHONSA-N 1 2 319.430 1.403 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001110551760 748211933 /nfs/dbraw/zinc/21/19/33/748211933.db2.gz ASNYJTIPEODLOT-MXYBEHONSA-N 1 2 319.430 1.403 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)no1 ZINC001004319400 748306192 /nfs/dbraw/zinc/30/61/92/748306192.db2.gz CVPANBOGSOCAIS-OAHLLOKOSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)no1 ZINC001004319400 748306199 /nfs/dbraw/zinc/30/61/99/748306199.db2.gz CVPANBOGSOCAIS-OAHLLOKOSA-N 1 2 302.378 1.823 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)C[C@H]2CCCO2)CC1 ZINC001004356437 748352486 /nfs/dbraw/zinc/35/24/86/748352486.db2.gz IYTCMRCRNAFUFV-CVEARBPZSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)C[C@H]2CCCO2)CC1 ZINC001004356437 748352491 /nfs/dbraw/zinc/35/24/91/748352491.db2.gz IYTCMRCRNAFUFV-CVEARBPZSA-N 1 2 305.422 1.782 20 30 DDEDLO Cc1cc(CC(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)no1 ZINC001004400452 748400854 /nfs/dbraw/zinc/40/08/54/748400854.db2.gz ZTMXOIMOTXNXOA-MRXNPFEDSA-N 1 2 316.405 1.752 20 30 DDEDLO Cc1cc(CC(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)no1 ZINC001004400452 748400860 /nfs/dbraw/zinc/40/08/60/748400860.db2.gz ZTMXOIMOTXNXOA-MRXNPFEDSA-N 1 2 316.405 1.752 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)CC2OCCCO2)CC1 ZINC001004414234 748411715 /nfs/dbraw/zinc/41/17/15/748411715.db2.gz OJZYPHLBGMJMGA-HNNXBMFYSA-N 1 2 321.421 1.366 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)CC2OCCCO2)CC1 ZINC001004414234 748411718 /nfs/dbraw/zinc/41/17/18/748411718.db2.gz OJZYPHLBGMJMGA-HNNXBMFYSA-N 1 2 321.421 1.366 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2onc3c2CCCC3)C1 ZINC001108073715 748450972 /nfs/dbraw/zinc/45/09/72/748450972.db2.gz QVKPMLNSWCTBQB-QGZVFWFLSA-N 1 2 319.405 1.560 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2onc3c2CCCC3)C1 ZINC001108073715 748450974 /nfs/dbraw/zinc/45/09/74/748450974.db2.gz QVKPMLNSWCTBQB-QGZVFWFLSA-N 1 2 319.405 1.560 20 30 DDEDLO Cc1ccnn1CC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004566547 748553963 /nfs/dbraw/zinc/55/39/63/748553963.db2.gz DSVREUGGYNVYPI-INIZCTEOSA-N 1 2 315.421 1.418 20 30 DDEDLO Cc1ccnn1CC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004566547 748553969 /nfs/dbraw/zinc/55/39/69/748553969.db2.gz DSVREUGGYNVYPI-INIZCTEOSA-N 1 2 315.421 1.418 20 30 DDEDLO C#CCN(C(=O)c1cc[nH]c1)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110629941 748845485 /nfs/dbraw/zinc/84/54/85/748845485.db2.gz BTWAORFQEDQPKG-UHFFFAOYSA-N 1 2 323.400 1.858 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001033245301 749051358 /nfs/dbraw/zinc/05/13/58/749051358.db2.gz CSCYOYKADUZYRK-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001033245301 749051363 /nfs/dbraw/zinc/05/13/63/749051363.db2.gz CSCYOYKADUZYRK-CHWSQXEVSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001114325679 749057158 /nfs/dbraw/zinc/05/71/58/749057158.db2.gz DUFUYZFPIVMSOO-ITGUQSILSA-N 1 2 309.797 2.000 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001114325679 749057161 /nfs/dbraw/zinc/05/71/61/749057161.db2.gz DUFUYZFPIVMSOO-ITGUQSILSA-N 1 2 309.797 2.000 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc(OC)nc2)C1 ZINC001033254547 749064743 /nfs/dbraw/zinc/06/47/43/749064743.db2.gz SNOQYTUNDNZNKW-ZDUSSCGKSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(OC)nc2)C1 ZINC001033254547 749064746 /nfs/dbraw/zinc/06/47/46/749064746.db2.gz SNOQYTUNDNZNKW-ZDUSSCGKSA-N 1 2 309.797 1.989 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001033319451 749178963 /nfs/dbraw/zinc/17/89/63/749178963.db2.gz DVYRWJVUJHDZPZ-CHWSQXEVSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001033319451 749178970 /nfs/dbraw/zinc/17/89/70/749178970.db2.gz DVYRWJVUJHDZPZ-CHWSQXEVSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001033319453 749179674 /nfs/dbraw/zinc/17/96/74/749179674.db2.gz DVYRWJVUJHDZPZ-OLZOCXBDSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001033319453 749179679 /nfs/dbraw/zinc/17/96/79/749179679.db2.gz DVYRWJVUJHDZPZ-OLZOCXBDSA-N 1 2 313.829 1.283 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H](N(C)C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC001033500008 749443130 /nfs/dbraw/zinc/44/31/30/749443130.db2.gz DFLOPWXWCMXVGW-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)c2cccnc2)C1 ZINC001108347616 761952553 /nfs/dbraw/zinc/95/25/53/761952553.db2.gz PCGCCCWGPRQUBA-WMLDXEAASA-N 1 2 303.406 1.578 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)c2cccnc2)C1 ZINC001108347616 761952556 /nfs/dbraw/zinc/95/25/56/761952556.db2.gz PCGCCCWGPRQUBA-WMLDXEAASA-N 1 2 303.406 1.578 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)C(C)(C)C)nn2)C1 ZINC001107181925 749498643 /nfs/dbraw/zinc/49/86/43/749498643.db2.gz KSIPQVNJISYVSB-GFCCVEGCSA-N 1 2 305.426 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001033539183 749513773 /nfs/dbraw/zinc/51/37/73/749513773.db2.gz HTLTXXCEPATFKA-LLVKDONJSA-N 1 2 310.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001033539183 749513779 /nfs/dbraw/zinc/51/37/79/749513779.db2.gz HTLTXXCEPATFKA-LLVKDONJSA-N 1 2 310.785 1.012 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(F)CCCC3)nn2)C1 ZINC001107184993 749522348 /nfs/dbraw/zinc/52/23/48/749522348.db2.gz YAYNENUHFPSMNF-UHFFFAOYSA-N 1 2 307.373 1.219 20 30 DDEDLO C#CCOc1ncccc1C(=O)N(C)[C@H]1CC[N@H+](CCF)C1 ZINC001033589538 749574478 /nfs/dbraw/zinc/57/44/78/749574478.db2.gz KSDLYBSCFZLODP-ZDUSSCGKSA-N 1 2 305.353 1.209 20 30 DDEDLO C#CCOc1ncccc1C(=O)N(C)[C@H]1CC[N@@H+](CCF)C1 ZINC001033589538 749574481 /nfs/dbraw/zinc/57/44/81/749574481.db2.gz KSDLYBSCFZLODP-ZDUSSCGKSA-N 1 2 305.353 1.209 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3(CF)CC3)nn2)C1 ZINC001107208211 749593171 /nfs/dbraw/zinc/59/31/71/749593171.db2.gz UXDWBZWLANVKAH-UHFFFAOYSA-N 1 2 307.373 1.077 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)n2cccc2)C1 ZINC001108351281 761970940 /nfs/dbraw/zinc/97/09/40/761970940.db2.gz HDLHMJGJHSHGRD-AEFFLSMTSA-N 1 2 317.433 1.670 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)n2cccc2)C1 ZINC001108351281 761970947 /nfs/dbraw/zinc/97/09/47/761970947.db2.gz HDLHMJGJHSHGRD-AEFFLSMTSA-N 1 2 317.433 1.670 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccc(C#N)c2)[nH]n1 ZINC001032301359 749675680 /nfs/dbraw/zinc/67/56/80/749675680.db2.gz RSLDPTKVLBREKR-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccc(C#N)c2)[nH]n1 ZINC001032301359 749675684 /nfs/dbraw/zinc/67/56/84/749675684.db2.gz RSLDPTKVLBREKR-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001066753860 749937075 /nfs/dbraw/zinc/93/70/75/749937075.db2.gz QHRSLBQDMHNJEC-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO CN(CCCNC(=O)Cn1cc[nH+]c1)c1cccc(F)c1C#N ZINC001095583019 750056999 /nfs/dbraw/zinc/05/69/99/750056999.db2.gz XMUKTGHMHGRICQ-UHFFFAOYSA-N 1 2 315.352 1.537 20 30 DDEDLO C[C@H](CC(=O)NC/C=C\CNc1ccncc1C#N)n1cc[nH+]c1 ZINC001107545851 750110674 /nfs/dbraw/zinc/11/06/74/750110674.db2.gz OTXXVFINTXIJMI-PYLYLYNFSA-N 1 2 324.388 1.307 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001033924325 750110787 /nfs/dbraw/zinc/11/07/87/750110787.db2.gz WVAXZBIKXQHDCK-STQMWFEESA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001033924325 750110788 /nfs/dbraw/zinc/11/07/88/750110788.db2.gz WVAXZBIKXQHDCK-STQMWFEESA-N 1 2 313.829 1.140 20 30 DDEDLO O=C(N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccccc1)c1cn[nH]n1 ZINC001095675774 750134266 /nfs/dbraw/zinc/13/42/66/750134266.db2.gz NHVIERHSAYTLGK-VYDXJSESSA-N 1 2 321.384 1.192 20 30 DDEDLO O=C(N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccccc1)c1cn[nH]n1 ZINC001095675774 750134271 /nfs/dbraw/zinc/13/42/71/750134271.db2.gz NHVIERHSAYTLGK-VYDXJSESSA-N 1 2 321.384 1.192 20 30 DDEDLO CS(=O)(=O)N1C[C@H]2CCC[N@H+](Cc3ccccc3C#N)[C@H]2C1 ZINC001204371017 750176052 /nfs/dbraw/zinc/17/60/52/750176052.db2.gz RBFBEEFQSMHLDD-CVEARBPZSA-N 1 2 319.430 1.414 20 30 DDEDLO CS(=O)(=O)N1C[C@H]2CCC[N@@H+](Cc3ccccc3C#N)[C@H]2C1 ZINC001204371017 750176058 /nfs/dbraw/zinc/17/60/58/750176058.db2.gz RBFBEEFQSMHLDD-CVEARBPZSA-N 1 2 319.430 1.414 20 30 DDEDLO Cc1c[nH]nc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032395659 750547766 /nfs/dbraw/zinc/54/77/66/750547766.db2.gz NGGWDCBSINPXGF-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1c[nH]nc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032395659 750547768 /nfs/dbraw/zinc/54/77/68/750547768.db2.gz NGGWDCBSINPXGF-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)CCc1[nH+]ccn1C ZINC001077791369 750664632 /nfs/dbraw/zinc/66/46/32/750664632.db2.gz GNIKCGJZDSNRNN-ZDUSSCGKSA-N 1 2 306.410 1.330 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCCN1C(=O)CC ZINC001032418403 750731501 /nfs/dbraw/zinc/73/15/01/750731501.db2.gz BAVGUSXKSRENEV-HRCADAONSA-N 1 2 317.433 1.086 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCCN1C(=O)CC ZINC001032418403 750731506 /nfs/dbraw/zinc/73/15/06/750731506.db2.gz BAVGUSXKSRENEV-HRCADAONSA-N 1 2 317.433 1.086 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(C(F)F)CC2)C1 ZINC001107944379 750784290 /nfs/dbraw/zinc/78/42/90/750784290.db2.gz SQLDXIIMEDONJE-CQSZACIVSA-N 1 2 300.349 1.262 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2(C(F)F)CC2)C1 ZINC001107944379 750784295 /nfs/dbraw/zinc/78/42/95/750784295.db2.gz SQLDXIIMEDONJE-CQSZACIVSA-N 1 2 300.349 1.262 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001107945492 750789582 /nfs/dbraw/zinc/78/95/82/750789582.db2.gz ICMOSHFUVSJPPP-DYVFJYSZSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001107945492 750789588 /nfs/dbraw/zinc/78/95/88/750789588.db2.gz ICMOSHFUVSJPPP-DYVFJYSZSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(CC)no1 ZINC001035013466 751121633 /nfs/dbraw/zinc/12/16/33/751121633.db2.gz DCHAYHNSEBNOAM-GFCCVEGCSA-N 1 2 306.410 1.925 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(=O)c2ccccc12 ZINC001032665482 752670368 /nfs/dbraw/zinc/67/03/68/752670368.db2.gz YHTLKYBKIZRRAZ-STQMWFEESA-N 1 2 307.353 1.472 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(=O)c2ccccc12 ZINC001032665482 752670372 /nfs/dbraw/zinc/67/03/72/752670372.db2.gz YHTLKYBKIZRRAZ-STQMWFEESA-N 1 2 307.353 1.472 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnoc3C)C2)c1 ZINC001008468766 752748181 /nfs/dbraw/zinc/74/81/81/752748181.db2.gz MSWLCTRYYJCKKI-QGZVFWFLSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CCC[N@H+](Cc3cnoc3C)C2)c1 ZINC001008468766 752748183 /nfs/dbraw/zinc/74/81/83/752748183.db2.gz MSWLCTRYYJCKKI-QGZVFWFLSA-N 1 2 324.384 1.754 20 30 DDEDLO C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001032713333 752842285 /nfs/dbraw/zinc/84/22/85/752842285.db2.gz QJOFREFVBMHADI-HOTGVXAUSA-N 1 2 323.400 1.947 20 30 DDEDLO C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001032713333 752842289 /nfs/dbraw/zinc/84/22/89/752842289.db2.gz QJOFREFVBMHADI-HOTGVXAUSA-N 1 2 323.400 1.947 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cncn3C)C2)cn1 ZINC001009272334 753135943 /nfs/dbraw/zinc/13/59/43/753135943.db2.gz XOGGRNDZPARSJZ-MRXNPFEDSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3cncn3C)C2)cn1 ZINC001009272334 753135950 /nfs/dbraw/zinc/13/59/50/753135950.db2.gz XOGGRNDZPARSJZ-MRXNPFEDSA-N 1 2 323.400 1.191 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC001107990978 753249081 /nfs/dbraw/zinc/24/90/81/753249081.db2.gz SGQBFEJLGZBFCU-GOSISDBHSA-N 1 2 315.417 1.736 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC001107990978 753249088 /nfs/dbraw/zinc/24/90/88/753249088.db2.gz SGQBFEJLGZBFCU-GOSISDBHSA-N 1 2 315.417 1.736 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccccc2N(C)C)C1 ZINC001108436855 762198079 /nfs/dbraw/zinc/19/80/79/762198079.db2.gz CXYRCGPLRLBUAJ-GOSISDBHSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccccc2N(C)C)C1 ZINC001108436855 762198086 /nfs/dbraw/zinc/19/80/86/762198086.db2.gz CXYRCGPLRLBUAJ-GOSISDBHSA-N 1 2 317.433 1.759 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111540766 753493422 /nfs/dbraw/zinc/49/34/22/753493422.db2.gz AQJLTRWIDVLLBO-CQSZACIVSA-N 1 2 306.410 1.620 20 30 DDEDLO N#Cc1cccnc1NCC1(CCNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001089956489 753530816 /nfs/dbraw/zinc/53/08/16/753530816.db2.gz NAYQCIGZPMRIAN-UHFFFAOYSA-N 1 2 324.388 1.617 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)OCCO2 ZINC001032779013 753614847 /nfs/dbraw/zinc/61/48/47/753614847.db2.gz UIZWRMWFEBHXET-GJZGRUSLSA-N 1 2 312.369 1.380 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)OCCO2 ZINC001032779013 753614856 /nfs/dbraw/zinc/61/48/56/753614856.db2.gz UIZWRMWFEBHXET-GJZGRUSLSA-N 1 2 312.369 1.380 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)cn1 ZINC001010118617 753623195 /nfs/dbraw/zinc/62/31/95/753623195.db2.gz RTWPIBBGQAKQDH-INIZCTEOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)cn1 ZINC001010118617 753623199 /nfs/dbraw/zinc/62/31/99/753623199.db2.gz RTWPIBBGQAKQDH-INIZCTEOSA-N 1 2 309.373 1.296 20 30 DDEDLO C[C@H](CNc1cccc(F)c1C#N)NC(=O)CCc1c[nH]c[nH+]1 ZINC001108185629 753644199 /nfs/dbraw/zinc/64/41/99/753644199.db2.gz AZWOTTHUZKZXIR-LLVKDONJSA-N 1 2 315.352 1.970 20 30 DDEDLO C[C@H](CNc1cccc(F)c1C#N)NC(=O)CCc1c[nH+]c[nH]1 ZINC001108185629 753644205 /nfs/dbraw/zinc/64/42/05/753644205.db2.gz AZWOTTHUZKZXIR-LLVKDONJSA-N 1 2 315.352 1.970 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccoc2)n[nH]1 ZINC001032784327 753659456 /nfs/dbraw/zinc/65/94/56/753659456.db2.gz RTMXXGDUYTWUEB-KBPBESRZSA-N 1 2 310.357 1.592 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1c(C)n[nH]c1C ZINC001032790918 753740599 /nfs/dbraw/zinc/74/05/99/753740599.db2.gz BYYUPDVPKXKFFQ-CQDKDKBSSA-N 1 2 300.406 1.123 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1c(C)n[nH]c1C ZINC001032790918 753740610 /nfs/dbraw/zinc/74/06/10/753740610.db2.gz BYYUPDVPKXKFFQ-CQDKDKBSSA-N 1 2 300.406 1.123 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccccc2)C1 ZINC001108031808 753782441 /nfs/dbraw/zinc/78/24/41/753782441.db2.gz HBWMGQSVPPZEKZ-SFHVURJKSA-N 1 2 300.402 1.460 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccccc2)C1 ZINC001108031808 753782446 /nfs/dbraw/zinc/78/24/46/753782446.db2.gz HBWMGQSVPPZEKZ-SFHVURJKSA-N 1 2 300.402 1.460 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063062063 753945893 /nfs/dbraw/zinc/94/58/93/753945893.db2.gz YUWJVKSZRVKCAE-UHFFFAOYSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)NC ZINC001039897366 762256869 /nfs/dbraw/zinc/25/68/69/762256869.db2.gz ZQAWKFKZUYRLCJ-OIPACUDHSA-N 1 2 321.465 1.789 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H](C)C(=O)NC ZINC001039897366 762256873 /nfs/dbraw/zinc/25/68/73/762256873.db2.gz ZQAWKFKZUYRLCJ-OIPACUDHSA-N 1 2 321.465 1.789 20 30 DDEDLO Cc1nc(NCC[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)cc[nH+]1 ZINC001063266051 754066646 /nfs/dbraw/zinc/06/66/46/754066646.db2.gz VGERDHXTFJOGPE-OCCSQVGLSA-N 1 2 301.394 1.985 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N=NC(N)=O)cc1 ZINC001032809181 754171518 /nfs/dbraw/zinc/17/15/18/754171518.db2.gz DMYZCZQBTNWWFX-KBPBESRZSA-N 1 2 311.345 1.381 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N=NC(N)=O)cc1 ZINC001032809181 754171522 /nfs/dbraw/zinc/17/15/22/754171522.db2.gz DMYZCZQBTNWWFX-KBPBESRZSA-N 1 2 311.345 1.381 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C[C@@H]2C)cc[nH+]1 ZINC001063729765 754299936 /nfs/dbraw/zinc/29/99/36/754299936.db2.gz OUDNAFLEUDECBU-FZMZJTMJSA-N 1 2 324.388 1.948 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)CC[C@@H]1Nc1ccc(C#N)cn1 ZINC001063754400 754331016 /nfs/dbraw/zinc/33/10/16/754331016.db2.gz SFRHGQVXVDNJFY-ZFWWWQNUSA-N 1 2 324.388 1.499 20 30 DDEDLO CC(C)(C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC#N)c1c[nH+]c[nH]1 ZINC001039973661 762294303 /nfs/dbraw/zinc/29/43/03/762294303.db2.gz CYLRAWVMUVXJBL-BETUJISGSA-N 1 2 301.394 1.276 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064109383 754518049 /nfs/dbraw/zinc/51/80/49/754518049.db2.gz LCWWGMQOICEIBW-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCCC(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064277530 754598036 /nfs/dbraw/zinc/59/80/36/754598036.db2.gz ZIWBEPWLZLIDJR-UHFFFAOYSA-N 1 2 304.394 1.464 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064704550 754783293 /nfs/dbraw/zinc/78/32/93/754783293.db2.gz FIXKDIMJKZISFQ-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)no1 ZINC001079592323 755474948 /nfs/dbraw/zinc/47/49/48/755474948.db2.gz AGOXTFPQLFUQTA-MEBBXXQBSA-N 1 2 313.361 1.433 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)no1 ZINC001079592323 755474951 /nfs/dbraw/zinc/47/49/51/755474951.db2.gz AGOXTFPQLFUQTA-MEBBXXQBSA-N 1 2 313.361 1.433 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(CC)o2)[C@@H](O)C1 ZINC001090366216 755568720 /nfs/dbraw/zinc/56/87/20/755568720.db2.gz XXLHRUCQSKFBQR-OLZOCXBDSA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(CC)o2)[C@@H](O)C1 ZINC001090366216 755568722 /nfs/dbraw/zinc/56/87/22/755568722.db2.gz XXLHRUCQSKFBQR-OLZOCXBDSA-N 1 2 312.797 1.760 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001014372236 755702894 /nfs/dbraw/zinc/70/28/94/755702894.db2.gz YHOGWPLIEUGCLM-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001014372236 755702897 /nfs/dbraw/zinc/70/28/97/755702897.db2.gz YHOGWPLIEUGCLM-HNNXBMFYSA-N 1 2 309.373 1.594 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080310184 755824644 /nfs/dbraw/zinc/82/46/44/755824644.db2.gz NXUYVYSVSIJGRD-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]2C)cn1 ZINC001080810365 756110851 /nfs/dbraw/zinc/11/08/51/756110851.db2.gz IDLKJHZONFCSHO-CXAGYDPISA-N 1 2 323.400 1.047 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]2C)cn1 ZINC001080810365 756110853 /nfs/dbraw/zinc/11/08/53/756110853.db2.gz IDLKJHZONFCSHO-CXAGYDPISA-N 1 2 323.400 1.047 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001015619745 756418656 /nfs/dbraw/zinc/41/86/56/756418656.db2.gz CYTRVYJQUDYGTL-GHMZBOCLSA-N 1 2 309.801 1.168 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001015619745 756418659 /nfs/dbraw/zinc/41/86/59/756418659.db2.gz CYTRVYJQUDYGTL-GHMZBOCLSA-N 1 2 309.801 1.168 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C/C=C\Cl)C[C@H]2OC)cc1 ZINC001082012612 756596422 /nfs/dbraw/zinc/59/64/22/756596422.db2.gz AJIZGWCLNUUQKH-JKUHXPQUSA-N 1 2 318.804 1.849 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C/C=C\Cl)C[C@H]2OC)cc1 ZINC001082012612 756596424 /nfs/dbraw/zinc/59/64/24/756596424.db2.gz AJIZGWCLNUUQKH-JKUHXPQUSA-N 1 2 318.804 1.849 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)cs2)[C@H](OC)C1 ZINC001082202038 756693555 /nfs/dbraw/zinc/69/35/55/756693555.db2.gz BDYAFIYLUIKOLH-VXGBXAGGSA-N 1 2 312.822 1.854 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)cs2)[C@H](OC)C1 ZINC001082202038 756693559 /nfs/dbraw/zinc/69/35/59/756693559.db2.gz BDYAFIYLUIKOLH-VXGBXAGGSA-N 1 2 312.822 1.854 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+]([C@H](C)c3cnccn3)C2)cn1 ZINC001016032392 756733151 /nfs/dbraw/zinc/73/31/51/756733151.db2.gz YOLTZJKDAJGHAZ-CZUORRHYSA-N 1 2 321.384 1.418 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+]([C@H](C)c3cnccn3)C2)cn1 ZINC001016032392 756733152 /nfs/dbraw/zinc/73/31/52/756733152.db2.gz YOLTZJKDAJGHAZ-CZUORRHYSA-N 1 2 321.384 1.418 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cnc(C3CC3)s2)[C@H](OC)C1 ZINC001082337546 756743704 /nfs/dbraw/zinc/74/37/04/756743704.db2.gz WYGKOHNWXLEDGE-CHWSQXEVSA-N 1 2 319.430 1.473 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cnc(C3CC3)s2)[C@H](OC)C1 ZINC001082337546 756743706 /nfs/dbraw/zinc/74/37/06/756743706.db2.gz WYGKOHNWXLEDGE-CHWSQXEVSA-N 1 2 319.430 1.473 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](CC(C)C)OC)C1 ZINC001108192735 756923233 /nfs/dbraw/zinc/92/32/33/756923233.db2.gz RXEKLKRTBWVJNF-NVXWUHKLSA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](CC(C)C)OC)C1 ZINC001108192735 756923236 /nfs/dbraw/zinc/92/32/36/756923236.db2.gz RXEKLKRTBWVJNF-NVXWUHKLSA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](CC(C)C)OC)C1 ZINC001108192737 756923350 /nfs/dbraw/zinc/92/33/50/756923350.db2.gz RXEKLKRTBWVJNF-WBVHZDCISA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](CC(C)C)OC)C1 ZINC001108192737 756923355 /nfs/dbraw/zinc/92/33/55/756923355.db2.gz RXEKLKRTBWVJNF-WBVHZDCISA-N 1 2 310.438 1.278 20 30 DDEDLO CC[C@H](F)C[N@@H+]1C[C@H]2OCCN(C(=O)c3c[nH]c(C#N)c3)[C@H]2C1 ZINC001083057169 757108538 /nfs/dbraw/zinc/10/85/38/757108538.db2.gz VURQOPHNSKCOQL-AEGPPILISA-N 1 2 320.368 1.160 20 30 DDEDLO CC[C@H](F)C[N@H+]1C[C@H]2OCCN(C(=O)c3c[nH]c(C#N)c3)[C@H]2C1 ZINC001083057169 757108540 /nfs/dbraw/zinc/10/85/40/757108540.db2.gz VURQOPHNSKCOQL-AEGPPILISA-N 1 2 320.368 1.160 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@H]3CCC(F)(F)C3)[C@H]2C1 ZINC001083101373 757136258 /nfs/dbraw/zinc/13/62/58/757136258.db2.gz CSSDCMKUYVEXSK-HZSPNIEDSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@H]3CCC(F)(F)C3)[C@H]2C1 ZINC001083101373 757136260 /nfs/dbraw/zinc/13/62/60/757136260.db2.gz CSSDCMKUYVEXSK-HZSPNIEDSA-N 1 2 312.360 1.357 20 30 DDEDLO C=CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc3c1CCCC3)C2 ZINC001097418993 757164716 /nfs/dbraw/zinc/16/47/16/757164716.db2.gz QBPHWAIEMNULQG-DFBGVHRSSA-N 1 2 300.406 1.810 20 30 DDEDLO C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc3c1CCCC3)C2 ZINC001097418993 757164720 /nfs/dbraw/zinc/16/47/20/757164720.db2.gz QBPHWAIEMNULQG-DFBGVHRSSA-N 1 2 300.406 1.810 20 30 DDEDLO N#Cc1cnccc1N1CCCC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001097429762 757178235 /nfs/dbraw/zinc/17/82/35/757178235.db2.gz VPMHEZXPXPIGSP-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001097625125 757291649 /nfs/dbraw/zinc/29/16/49/757291649.db2.gz ZCSCIEWBBGFSTC-MQBCKMQZSA-N 1 2 318.421 1.951 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)/C=C\c2ccc[nH]2)[C@@H](O)C1 ZINC001099799491 757512565 /nfs/dbraw/zinc/51/25/65/757512565.db2.gz OAORSZMCNZCVFY-MRYXHSKJSA-N 1 2 309.797 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)/C=C\c2ccc[nH]2)[C@@H](O)C1 ZINC001099799491 757512573 /nfs/dbraw/zinc/51/25/73/757512573.db2.gz OAORSZMCNZCVFY-MRYXHSKJSA-N 1 2 309.797 1.332 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3[nH]cnc3C(F)(F)F)[C@@H]2C1 ZINC001084409830 757566545 /nfs/dbraw/zinc/56/65/45/757566545.db2.gz KNODRLVZXAQWQT-NXEZZACHSA-N 1 2 312.295 1.208 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3[nH]cnc3C(F)(F)F)[C@@H]2C1 ZINC001084409830 757566550 /nfs/dbraw/zinc/56/65/50/757566550.db2.gz KNODRLVZXAQWQT-NXEZZACHSA-N 1 2 312.295 1.208 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3nc[nH]c3C(F)(F)F)[C@@H]2C1 ZINC001084409830 757566559 /nfs/dbraw/zinc/56/65/59/757566559.db2.gz KNODRLVZXAQWQT-NXEZZACHSA-N 1 2 312.295 1.208 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3nc[nH]c3C(F)(F)F)[C@@H]2C1 ZINC001084409830 757566567 /nfs/dbraw/zinc/56/65/67/757566567.db2.gz KNODRLVZXAQWQT-NXEZZACHSA-N 1 2 312.295 1.208 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccnc(OC)c3)[C@@H]2C1 ZINC001084475441 757613923 /nfs/dbraw/zinc/61/39/23/757613923.db2.gz NLBHBESGZOQRFM-ZIAGYGMSSA-N 1 2 321.808 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccnc(OC)c3)[C@@H]2C1 ZINC001084475441 757613925 /nfs/dbraw/zinc/61/39/25/757613925.db2.gz NLBHBESGZOQRFM-ZIAGYGMSSA-N 1 2 321.808 1.989 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001052812802 757805497 /nfs/dbraw/zinc/80/54/97/757805497.db2.gz CKYDZAXYHQVTFD-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001052812802 757805512 /nfs/dbraw/zinc/80/55/12/757805512.db2.gz CKYDZAXYHQVTFD-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO N#CCN1CCC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CC1 ZINC001052863517 757896241 /nfs/dbraw/zinc/89/62/41/757896241.db2.gz OMLRUMSGJGWWKT-CQSZACIVSA-N 1 2 324.388 1.375 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1C1CCC1 ZINC001017561066 758030260 /nfs/dbraw/zinc/03/02/60/758030260.db2.gz POSAVHRXYVGQLE-IYBDPMFKSA-N 1 2 312.417 1.920 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnn1C1CCC1 ZINC001017561066 758030272 /nfs/dbraw/zinc/03/02/72/758030272.db2.gz POSAVHRXYVGQLE-IYBDPMFKSA-N 1 2 312.417 1.920 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099855372 758107270 /nfs/dbraw/zinc/10/72/70/758107270.db2.gz HANVAPOPWPABHL-KBPBESRZSA-N 1 2 323.462 1.859 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099855372 758107278 /nfs/dbraw/zinc/10/72/78/758107278.db2.gz HANVAPOPWPABHL-KBPBESRZSA-N 1 2 323.462 1.859 20 30 DDEDLO N#CCN1CCC[C@H]([C@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001053059553 758118829 /nfs/dbraw/zinc/11/88/29/758118829.db2.gz VVVFVTZPHXLIRB-HOTGVXAUSA-N 1 2 315.421 1.357 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1c2c[nH]nc2CC[C@@H]1C ZINC001017747009 758204605 /nfs/dbraw/zinc/20/46/05/758204605.db2.gz NCFKSVIBJHRNCN-ZJOBFFGXSA-N 1 2 312.417 1.384 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1c2c[nH]nc2CC[C@@H]1C ZINC001017747009 758204615 /nfs/dbraw/zinc/20/46/15/758204615.db2.gz NCFKSVIBJHRNCN-ZJOBFFGXSA-N 1 2 312.417 1.384 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1Nc1ncccc1C#N ZINC001067208055 758251149 /nfs/dbraw/zinc/25/11/49/758251149.db2.gz QLYKXYJAMWOUAJ-FZMZJTMJSA-N 1 2 310.361 1.178 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C(F)=C(C)C)CC2=O)C1 ZINC001108532408 762624872 /nfs/dbraw/zinc/62/48/72/762624872.db2.gz GYPCFZFHCNQMAL-GFCCVEGCSA-N 1 2 309.385 1.227 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCOC2(C[NH+](C[C@@H](C)OC)C2)C1 ZINC001053366090 758405468 /nfs/dbraw/zinc/40/54/68/758405468.db2.gz AVTZJTDYUIEFHB-CQSZACIVSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(CC)c1CC ZINC001017938119 758408748 /nfs/dbraw/zinc/40/87/48/758408748.db2.gz XEKLRMXCHFWRQL-BETUJISGSA-N 1 2 303.410 1.335 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(CC)c1CC ZINC001017938119 758408751 /nfs/dbraw/zinc/40/87/51/758408751.db2.gz XEKLRMXCHFWRQL-BETUJISGSA-N 1 2 303.410 1.335 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001053503124 758509090 /nfs/dbraw/zinc/50/90/90/758509090.db2.gz IFMIEIFRRJYSOC-CHWSQXEVSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(F)nc1)O2 ZINC001053582202 758594106 /nfs/dbraw/zinc/59/41/06/758594106.db2.gz BEFJPLCZJWZDDA-CYBMUJFWSA-N 1 2 305.353 1.370 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1coc(OCC)n1)O2 ZINC001053624267 758642315 /nfs/dbraw/zinc/64/23/15/758642315.db2.gz NNONOFUOXPGBTI-GFCCVEGCSA-N 1 2 321.377 1.223 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1Cc3ccccc31)O2 ZINC001053656589 758669154 /nfs/dbraw/zinc/66/91/54/758669154.db2.gz XWMCAIRMRLJDSN-RDJZCZTQSA-N 1 2 312.413 1.862 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccnc1N(C)C ZINC001018267209 758680403 /nfs/dbraw/zinc/68/04/03/758680403.db2.gz ZAOUPARCZFMVLD-GASCZTMLSA-N 1 2 312.417 1.460 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccnc1N(C)C ZINC001018267209 758680407 /nfs/dbraw/zinc/68/04/07/758680407.db2.gz ZAOUPARCZFMVLD-GASCZTMLSA-N 1 2 312.417 1.460 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CCCC)CC2)C1 ZINC001065691288 758708883 /nfs/dbraw/zinc/70/88/83/758708883.db2.gz JOVPKWBDZQKCJE-MRXNPFEDSA-N 1 2 321.465 1.888 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCC[C@@H]1OC ZINC001018331926 758735474 /nfs/dbraw/zinc/73/54/74/758735474.db2.gz ZMHMTBVYPVFCPM-TWMKSMIVSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCC[C@@H]1OC ZINC001018331926 758735478 /nfs/dbraw/zinc/73/54/78/758735478.db2.gz ZMHMTBVYPVFCPM-TWMKSMIVSA-N 1 2 304.434 1.890 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)C[C@@H](NC(=O)Cn1cncc1C)CO2 ZINC001053871620 758905017 /nfs/dbraw/zinc/90/50/17/758905017.db2.gz IWXXEYHQROSDGT-OAHLLOKOSA-N 1 2 318.421 1.117 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H]1C[C@H]1C1CC1)CO2 ZINC001053876138 758910006 /nfs/dbraw/zinc/91/00/06/758910006.db2.gz VPNUESBVCUAOEE-JYJNAYRXSA-N 1 2 304.434 1.958 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@]1(C)C[C@H]3C[C@H]3C1)CO2 ZINC001053880253 758914700 /nfs/dbraw/zinc/91/47/00/758914700.db2.gz MLYCHHNXKRHICZ-IVSAIRAKSA-N 1 2 302.418 1.405 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(OC)cs1)CO2 ZINC001053889520 758923702 /nfs/dbraw/zinc/92/37/02/758923702.db2.gz LHZQSDNGXLQQKX-GFCCVEGCSA-N 1 2 320.414 1.353 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1CC3(CCC3)C1)CO2 ZINC001053898360 758930528 /nfs/dbraw/zinc/93/05/28/758930528.db2.gz IUMWHRRDECFGLL-HNNXBMFYSA-N 1 2 302.418 1.550 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ocnc1CC)CO2 ZINC001053921008 758956956 /nfs/dbraw/zinc/95/69/56/758956956.db2.gz WXBSLPOLDXCBLM-GFCCVEGCSA-N 1 2 305.378 1.386 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1sccc1CC)CO2 ZINC001054015544 759063951 /nfs/dbraw/zinc/06/39/51/759063951.db2.gz KJAUDCZGHOIZKX-CQSZACIVSA-N 1 2 318.442 1.907 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C/C=C(/C)C=C)CC2=O)C1 ZINC001108563699 762690136 /nfs/dbraw/zinc/69/01/36/762690136.db2.gz SBNNOUMAZWIZQR-XMRSSTEGSA-N 1 2 303.406 1.096 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(C(=O)C#CC2CC2)C1)c1nc(C2CC2)no1 ZINC001018818419 759301763 /nfs/dbraw/zinc/30/17/63/759301763.db2.gz GHYNRLAWYNGNJD-FZMZJTMJSA-N 1 2 314.389 1.612 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001018969280 759461716 /nfs/dbraw/zinc/46/17/16/759461716.db2.gz VXRYLACXMJLBOC-LBPRGKRZSA-N 1 2 317.418 1.530 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@H](Nc2ccc(C#N)nc2)C[C@@H]1C ZINC001069128989 767864014 /nfs/dbraw/zinc/86/40/14/767864014.db2.gz CAAXJXQSCSKAJU-XHDPSFHLSA-N 1 2 324.388 1.629 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1)C(=O)c1cocn1 ZINC001085516745 759589339 /nfs/dbraw/zinc/58/93/39/759589339.db2.gz CCUXVSBNRLFJNK-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1)C(=O)c1cocn1 ZINC001085516745 759589347 /nfs/dbraw/zinc/58/93/47/759589347.db2.gz CCUXVSBNRLFJNK-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001019332394 759811042 /nfs/dbraw/zinc/81/10/42/759811042.db2.gz OVQLJZBXBYKNTO-LRDDRELGSA-N 1 2 306.410 1.925 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)[C@]12C[C@H]1COC2 ZINC001085608010 759845001 /nfs/dbraw/zinc/84/50/01/759845001.db2.gz GJMBBWRAZWUNRO-BJLQDIEVSA-N 1 2 324.424 1.607 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)[C@]12C[C@H]1COC2 ZINC001085608010 759845006 /nfs/dbraw/zinc/84/50/06/759845006.db2.gz GJMBBWRAZWUNRO-BJLQDIEVSA-N 1 2 324.424 1.607 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(-n2ccnc2)n1 ZINC001085684771 760008688 /nfs/dbraw/zinc/00/86/88/760008688.db2.gz BAYPSEUNFHRUFL-AWEZNQCLSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(-n2ccnc2)n1 ZINC001085684771 760008699 /nfs/dbraw/zinc/00/86/99/760008699.db2.gz BAYPSEUNFHRUFL-AWEZNQCLSA-N 1 2 309.373 1.047 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC001108206844 760102608 /nfs/dbraw/zinc/10/26/08/760102608.db2.gz KIXRKUYEHOCAMV-AWEZNQCLSA-N 1 2 314.336 1.354 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC001108206844 760102612 /nfs/dbraw/zinc/10/26/12/760102612.db2.gz KIXRKUYEHOCAMV-AWEZNQCLSA-N 1 2 314.336 1.354 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2onc(C)c2c1 ZINC001085756045 760174425 /nfs/dbraw/zinc/17/44/25/760174425.db2.gz YDJIXRWVJWSGKB-CQSZACIVSA-N 1 2 312.373 1.701 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2onc(C)c2c1 ZINC001085756045 760174429 /nfs/dbraw/zinc/17/44/29/760174429.db2.gz YDJIXRWVJWSGKB-CQSZACIVSA-N 1 2 312.373 1.701 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncn(C(C)(C)C)n1 ZINC001085817517 760299811 /nfs/dbraw/zinc/29/98/11/760299811.db2.gz ALMIJQPJXNCHPR-ZDUSSCGKSA-N 1 2 303.410 1.203 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncn(C(C)(C)C)n1 ZINC001085817517 760299816 /nfs/dbraw/zinc/29/98/16/760299816.db2.gz ALMIJQPJXNCHPR-ZDUSSCGKSA-N 1 2 303.410 1.203 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001085822921 760309949 /nfs/dbraw/zinc/30/99/49/760309949.db2.gz VJUWVGXVSMSEQS-IMJJTQAJSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001085822921 760309959 /nfs/dbraw/zinc/30/99/59/760309959.db2.gz VJUWVGXVSMSEQS-IMJJTQAJSA-N 1 2 319.405 1.607 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1occc1Br ZINC001085879134 760449046 /nfs/dbraw/zinc/44/90/46/760449046.db2.gz YCKUZNQUKMIETJ-JTQLQIEISA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1occc1Br ZINC001085879134 760449051 /nfs/dbraw/zinc/44/90/51/760449051.db2.gz YCKUZNQUKMIETJ-JTQLQIEISA-N 1 2 311.179 1.822 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1C(=O)N(C)C ZINC001085931384 760560292 /nfs/dbraw/zinc/56/02/92/760560292.db2.gz XJHLBLSMNVBKFX-AWEZNQCLSA-N 1 2 313.401 1.168 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1C(=O)N(C)C ZINC001085931384 760560297 /nfs/dbraw/zinc/56/02/97/760560297.db2.gz XJHLBLSMNVBKFX-AWEZNQCLSA-N 1 2 313.401 1.168 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3c[nH]c(C#N)c3)CC2)c(C)c(C)[nH+]1 ZINC001055750881 760685388 /nfs/dbraw/zinc/68/53/88/760685388.db2.gz HRDOJWAYXGUWLT-UHFFFAOYSA-N 1 2 324.388 1.564 20 30 DDEDLO Cc1cc(N2CCN(C(=O)C#CC3CC3)CC2)nc(C2CC2)[nH+]1 ZINC001055795444 760736112 /nfs/dbraw/zinc/73/61/12/760736112.db2.gz UADOBTASUIPKRD-UHFFFAOYSA-N 1 2 310.401 1.724 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)cc(F)c2)C1 ZINC001108236422 760804075 /nfs/dbraw/zinc/80/40/75/760804075.db2.gz LMTXIJMTCBXSMP-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)cc(F)c2)C1 ZINC001108236422 760804089 /nfs/dbraw/zinc/80/40/89/760804089.db2.gz LMTXIJMTCBXSMP-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO CCc1n[nH]cc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038434364 761114500 /nfs/dbraw/zinc/11/45/00/761114500.db2.gz JHQMEARHIFYOIE-HNNXBMFYSA-N 1 2 323.400 1.848 20 30 DDEDLO CCc1n[nH]cc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038434364 761114503 /nfs/dbraw/zinc/11/45/03/761114503.db2.gz JHQMEARHIFYOIE-HNNXBMFYSA-N 1 2 323.400 1.848 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3CN(c4cc[nH+]c(C)n4)C[C@H]32)cc1 ZINC001056359743 761137721 /nfs/dbraw/zinc/13/77/21/761137721.db2.gz SBYROBAJMRVTMH-VQFNDLOPSA-N 1 2 318.380 1.631 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001066465635 761270087 /nfs/dbraw/zinc/27/00/87/761270087.db2.gz QKYWJZMEAGHGOI-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](Nc2ccc(C#N)c(C)n2)C1 ZINC001056680910 761338112 /nfs/dbraw/zinc/33/81/12/761338112.db2.gz JPACXYNWLSHYGR-CQSZACIVSA-N 1 2 324.388 1.549 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)ccc1C#N ZINC001056743431 761385317 /nfs/dbraw/zinc/38/53/17/761385317.db2.gz XLACPXCZBGDSOI-OAHLLOKOSA-N 1 2 324.388 1.561 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)nc2OC)C1 ZINC001108264374 761429922 /nfs/dbraw/zinc/42/99/22/761429922.db2.gz UJXOOBZFYGWKNI-KRWDZBQOSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C)nc2OC)C1 ZINC001108264374 761429924 /nfs/dbraw/zinc/42/99/24/761429924.db2.gz UJXOOBZFYGWKNI-KRWDZBQOSA-N 1 2 319.405 1.405 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnc(OC2CCC2)c1 ZINC001038862121 761485508 /nfs/dbraw/zinc/48/55/08/761485508.db2.gz AKGGXOKRXGANOU-OAHLLOKOSA-N 1 2 313.401 1.840 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnc(OC2CCC2)c1 ZINC001038862121 761485512 /nfs/dbraw/zinc/48/55/12/761485512.db2.gz AKGGXOKRXGANOU-OAHLLOKOSA-N 1 2 313.401 1.840 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001038900835 761524993 /nfs/dbraw/zinc/52/49/93/761524993.db2.gz UDPGQSZFBKPPML-CYBMUJFWSA-N 1 2 300.362 1.670 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001038900835 761524997 /nfs/dbraw/zinc/52/49/97/761524997.db2.gz UDPGQSZFBKPPML-CYBMUJFWSA-N 1 2 300.362 1.670 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)nn(CC)c1Cl ZINC001039029819 761668251 /nfs/dbraw/zinc/66/82/51/761668251.db2.gz PUKSKYIMTRSUIE-GFCCVEGCSA-N 1 2 308.813 1.692 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)nn(CC)c1Cl ZINC001039029819 761668255 /nfs/dbraw/zinc/66/82/55/761668255.db2.gz PUKSKYIMTRSUIE-GFCCVEGCSA-N 1 2 308.813 1.692 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001066562442 761799184 /nfs/dbraw/zinc/79/91/84/761799184.db2.gz WQSXIDHIJDMATM-CQSZACIVSA-N 1 2 316.405 1.109 20 30 DDEDLO N#CCN1CC[C@@H]([C@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001039240000 761876531 /nfs/dbraw/zinc/87/65/31/761876531.db2.gz VOEDMXDCVSTYIJ-UKRRQHHQSA-N 1 2 301.394 1.179 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)CC(C)(C)C)CC2=O)C1 ZINC001108576401 762724803 /nfs/dbraw/zinc/72/48/03/762724803.db2.gz SDMFIXUDZNRAMR-KGLIPLIRSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@H](C)CC(C)C)CC2=O)C1 ZINC001108583452 762749187 /nfs/dbraw/zinc/74/91/87/762749187.db2.gz NZCGZKBIXYQPJC-CABCVRRESA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C(C)(CC)CC)CC2=O)C1 ZINC001108590643 762781364 /nfs/dbraw/zinc/78/13/64/762781364.db2.gz OFEXQXPWLASBRN-AWEZNQCLSA-N 1 2 321.465 1.790 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCCN(c3cc[nH+]c(C)n3)CC2)nc1 ZINC001057157828 762795583 /nfs/dbraw/zinc/79/55/83/762795583.db2.gz PQEXEMKDRPXCSB-UHFFFAOYSA-N 1 2 321.384 1.514 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1cccn1)C2 ZINC001108938223 763127023 /nfs/dbraw/zinc/12/70/23/763127023.db2.gz BPNZTFADEQYGNM-RDBSUJKOSA-N 1 2 308.813 1.747 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1cccn1)C2 ZINC001108938223 763127029 /nfs/dbraw/zinc/12/70/29/763127029.db2.gz BPNZTFADEQYGNM-RDBSUJKOSA-N 1 2 308.813 1.747 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)[C@@H]2CCCO2)C1 ZINC001046913677 768108619 /nfs/dbraw/zinc/10/86/19/768108619.db2.gz ATKLLAJJGRYTKD-NEPJUHHUSA-N 1 2 322.783 1.991 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@H](CNC(=O)[C@@H]2CCCO2)C1 ZINC001046913677 768108622 /nfs/dbraw/zinc/10/86/22/768108622.db2.gz ATKLLAJJGRYTKD-NEPJUHHUSA-N 1 2 322.783 1.991 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)CCC)C2 ZINC001109051920 763289354 /nfs/dbraw/zinc/28/93/54/763289354.db2.gz LDJHWULONBHQTK-WCVJEAGWSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)CCC)C2 ZINC001109051920 763289363 /nfs/dbraw/zinc/28/93/63/763289363.db2.gz LDJHWULONBHQTK-WCVJEAGWSA-N 1 2 319.449 1.284 20 30 DDEDLO CC(C)[C@@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109059097 763301967 /nfs/dbraw/zinc/30/19/67/763301967.db2.gz VUYOYERYBRPGIV-XGUBFFRZSA-N 1 2 320.437 1.030 20 30 DDEDLO CC(C)[C@@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109059097 763301971 /nfs/dbraw/zinc/30/19/71/763301971.db2.gz VUYOYERYBRPGIV-XGUBFFRZSA-N 1 2 320.437 1.030 20 30 DDEDLO COc1cccc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H](C)C#N)C3)n1 ZINC001109078536 763313945 /nfs/dbraw/zinc/31/39/45/763313945.db2.gz LPDSANBLHUICLX-ATGSNQNLSA-N 1 2 314.389 1.471 20 30 DDEDLO COc1cccc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H](C)C#N)C3)n1 ZINC001109078536 763313952 /nfs/dbraw/zinc/31/39/52/763313952.db2.gz LPDSANBLHUICLX-ATGSNQNLSA-N 1 2 314.389 1.471 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2[C@@H](C)c1cnccn1 ZINC001109246358 763507743 /nfs/dbraw/zinc/50/77/43/763507743.db2.gz WGJYEABXMJUMBZ-IVSAIRAKSA-N 1 2 312.417 1.919 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2[C@@H](C)c1cnccn1 ZINC001109246358 763507749 /nfs/dbraw/zinc/50/77/49/763507749.db2.gz WGJYEABXMJUMBZ-IVSAIRAKSA-N 1 2 312.417 1.919 20 30 DDEDLO Cc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC2CC2)C3)ncn1 ZINC001109257694 763522666 /nfs/dbraw/zinc/52/26/66/763522666.db2.gz JCDYRUMOSJDLAV-IKGGRYGDSA-N 1 2 310.401 1.420 20 30 DDEDLO Cc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC2CC2)C3)ncn1 ZINC001109257694 763522671 /nfs/dbraw/zinc/52/26/71/763522671.db2.gz JCDYRUMOSJDLAV-IKGGRYGDSA-N 1 2 310.401 1.420 20 30 DDEDLO C[C@@H](CN(C)c1ncccc1C#N)NC(=O)CCc1c[nH]c[nH+]1 ZINC001109294910 763556149 /nfs/dbraw/zinc/55/61/49/763556149.db2.gz YMQNGHJXBKMJEJ-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@@H](CN(C)c1ncccc1C#N)NC(=O)CCc1c[nH+]c[nH]1 ZINC001109294910 763556154 /nfs/dbraw/zinc/55/61/54/763556154.db2.gz YMQNGHJXBKMJEJ-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@H+](Cc3cscn3)[C@H]2C1 ZINC001042045404 763589366 /nfs/dbraw/zinc/58/93/66/763589366.db2.gz XRVPRFLNQQIHIZ-ZBFHGGJFSA-N 1 2 315.442 1.979 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2CC[N@@H+](Cc3cscn3)[C@H]2C1 ZINC001042045404 763589373 /nfs/dbraw/zinc/58/93/73/763589373.db2.gz XRVPRFLNQQIHIZ-ZBFHGGJFSA-N 1 2 315.442 1.979 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)co1 ZINC001042057648 763602013 /nfs/dbraw/zinc/60/20/13/763602013.db2.gz LTCXNGGXDNDOTA-DOTOQJQBSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)co1 ZINC001042057648 763602016 /nfs/dbraw/zinc/60/20/16/763602016.db2.gz LTCXNGGXDNDOTA-DOTOQJQBSA-N 1 2 313.401 1.819 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CCN(C(=O)c3cc(C(F)F)[nH]n3)C[C@H]21 ZINC001042230169 763788592 /nfs/dbraw/zinc/78/85/92/763788592.db2.gz NOYQFWWNXXLPMU-BXUZGUMPSA-N 1 2 322.359 1.907 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cc(C(F)F)[nH]n3)C[C@H]21 ZINC001042230169 763788594 /nfs/dbraw/zinc/78/85/94/763788594.db2.gz NOYQFWWNXXLPMU-BXUZGUMPSA-N 1 2 322.359 1.907 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3cc(C(C)C)[nH]n3)C[C@H]21 ZINC001042241481 763805848 /nfs/dbraw/zinc/80/58/48/763805848.db2.gz YOSMZLYZEXPBMX-CZUORRHYSA-N 1 2 300.406 1.703 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cc(C(C)C)[nH]n3)C[C@H]21 ZINC001042241481 763805853 /nfs/dbraw/zinc/80/58/53/763805853.db2.gz YOSMZLYZEXPBMX-CZUORRHYSA-N 1 2 300.406 1.703 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CC(=C)C)C2 ZINC001109633338 763896023 /nfs/dbraw/zinc/89/60/23/763896023.db2.gz XXOPQLGLZPEOLH-FZKCQIBNSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CC(=C)C)C2 ZINC001109633338 763896034 /nfs/dbraw/zinc/89/60/34/763896034.db2.gz XXOPQLGLZPEOLH-FZKCQIBNSA-N 1 2 317.433 1.060 20 30 DDEDLO Cc1nc(N[C@@H]2CCCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001057612454 764128459 /nfs/dbraw/zinc/12/84/59/764128459.db2.gz UPEBBWUXMXBPFG-CYBMUJFWSA-N 1 2 310.361 1.702 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccccc1O ZINC001050823879 764135542 /nfs/dbraw/zinc/13/55/42/764135542.db2.gz DCFPEDOJQJRFNB-CQSZACIVSA-N 1 2 304.390 1.789 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccccc1O ZINC001050823879 764135547 /nfs/dbraw/zinc/13/55/47/764135547.db2.gz DCFPEDOJQJRFNB-CQSZACIVSA-N 1 2 304.390 1.789 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CC(C)C)C2 ZINC001109894497 764173432 /nfs/dbraw/zinc/17/34/32/764173432.db2.gz LXRXFUNDQJAEJW-WCVJEAGWSA-N 1 2 321.465 1.692 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CC(C)C)C2 ZINC001109894497 764173437 /nfs/dbraw/zinc/17/34/37/764173437.db2.gz LXRXFUNDQJAEJW-WCVJEAGWSA-N 1 2 321.465 1.692 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1coc(C(C)(C)C)n1 ZINC001050919487 764280934 /nfs/dbraw/zinc/28/09/34/764280934.db2.gz LNHXEOPSWGKMLF-CYBMUJFWSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1coc(C(C)(C)C)n1 ZINC001050919487 764280939 /nfs/dbraw/zinc/28/09/39/764280939.db2.gz LNHXEOPSWGKMLF-CYBMUJFWSA-N 1 2 321.421 1.979 20 30 DDEDLO CN(C(=O)c1ccc(C#N)[nH]1)C1C[NH+](CCc2ccns2)C1 ZINC001042628465 764290213 /nfs/dbraw/zinc/29/02/13/764290213.db2.gz IYJCDKAPYWTQAA-UHFFFAOYSA-N 1 2 315.402 1.342 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(OCC)n[nH]1 ZINC001050976255 764372989 /nfs/dbraw/zinc/37/29/89/764372989.db2.gz RNWVSAASLUYPOE-CYBMUJFWSA-N 1 2 322.409 1.205 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(OCC)n[nH]1 ZINC001050976255 764372995 /nfs/dbraw/zinc/37/29/95/764372995.db2.gz RNWVSAASLUYPOE-CYBMUJFWSA-N 1 2 322.409 1.205 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc[nH]c1C1CC1 ZINC001051086129 764492703 /nfs/dbraw/zinc/49/27/03/764492703.db2.gz JEHBQERDFWNFAY-AWEZNQCLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc[nH]c1C1CC1 ZINC001051086129 764492706 /nfs/dbraw/zinc/49/27/06/764492706.db2.gz JEHBQERDFWNFAY-AWEZNQCLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccnc1OC ZINC001051092142 764498032 /nfs/dbraw/zinc/49/80/32/764498032.db2.gz QRSHAJLCYZEYRH-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccnc1OC ZINC001051092142 764498044 /nfs/dbraw/zinc/49/80/44/764498044.db2.gz QRSHAJLCYZEYRH-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO CC(C)CCOCC[NH+]1CCN(C(=O)CSCC#N)CC1 ZINC001112629926 764498169 /nfs/dbraw/zinc/49/81/69/764498169.db2.gz HKHMBLOTJFZLJU-UHFFFAOYSA-N 1 2 313.467 1.450 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnc(OCC)c1 ZINC001051108022 764513016 /nfs/dbraw/zinc/51/30/16/764513016.db2.gz XBMOBAASMSOHDN-OAHLLOKOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnc(OCC)c1 ZINC001051108022 764513018 /nfs/dbraw/zinc/51/30/18/764513018.db2.gz XBMOBAASMSOHDN-OAHLLOKOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncn(C(C)(C)C)n1 ZINC001051112268 764517342 /nfs/dbraw/zinc/51/73/42/764517342.db2.gz NPIWWAKDXDIFFB-CYBMUJFWSA-N 1 2 321.425 1.040 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncn(C(C)(C)C)n1 ZINC001051112268 764517350 /nfs/dbraw/zinc/51/73/50/764517350.db2.gz NPIWWAKDXDIFFB-CYBMUJFWSA-N 1 2 321.425 1.040 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc3c2oc(=O)n3C)C1 ZINC001042917597 764524145 /nfs/dbraw/zinc/52/41/45/764524145.db2.gz BJBJKRBBPWJISZ-UHFFFAOYSA-N 1 2 315.373 1.464 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CC(C)C)c1 ZINC001051187744 764596901 /nfs/dbraw/zinc/59/69/01/764596901.db2.gz BTDRKVYGSBRMON-INIZCTEOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CC(C)C)c1 ZINC001051187744 764596905 /nfs/dbraw/zinc/59/69/05/764596905.db2.gz BTDRKVYGSBRMON-INIZCTEOSA-N 1 2 320.437 1.546 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2ccccc2N(C)C)CC1 ZINC001112706019 764624689 /nfs/dbraw/zinc/62/46/89/764624689.db2.gz AMRMGFAWAKDVKJ-UHFFFAOYSA-N 1 2 317.433 1.599 20 30 DDEDLO CCCCOCC[NH+]1CCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC001112750237 764692661 /nfs/dbraw/zinc/69/26/61/764692661.db2.gz WALLUTQDTWREIZ-UHFFFAOYSA-N 1 2 304.394 1.461 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)N[C@@H](CC(C)C)C2)C1 ZINC001043188772 764748513 /nfs/dbraw/zinc/74/85/13/764748513.db2.gz UINODYMSVNORBE-KGLIPLIRSA-N 1 2 307.438 1.256 20 30 DDEDLO N#Cc1cnccc1NCC1CC(NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001112874253 764912279 /nfs/dbraw/zinc/91/22/79/764912279.db2.gz UAWFIBAFENSRTR-UHFFFAOYSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1cnccc1NCC1CC(NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001112874253 764912283 /nfs/dbraw/zinc/91/22/83/764912283.db2.gz UAWFIBAFENSRTR-UHFFFAOYSA-N 1 2 324.388 1.038 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+](C[C@@H](O)CC(F)(F)F)CC1 ZINC001112902315 764942190 /nfs/dbraw/zinc/94/21/90/764942190.db2.gz LENGMLSFUVXWGK-LBPRGKRZSA-N 1 2 308.344 1.800 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccnn2[C@@H](C)CC)CC1 ZINC001112899240 764941166 /nfs/dbraw/zinc/94/11/66/764941166.db2.gz TZYNDUSPLHCDOY-HNNXBMFYSA-N 1 2 320.437 1.815 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCCN(C(C)(C)C)C2=O)C1 ZINC001043604843 765000797 /nfs/dbraw/zinc/00/07/97/765000797.db2.gz OCEYYCRLZSQJKB-AWEZNQCLSA-N 1 2 307.438 1.352 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2C[NH+](Cc3ccn(C)c(=O)c3)C2)C1 ZINC001043794456 765103135 /nfs/dbraw/zinc/10/31/35/765103135.db2.gz VJOIBNDOUPBNLN-UHFFFAOYSA-N 1 2 315.417 1.384 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001112995545 765108520 /nfs/dbraw/zinc/10/85/20/765108520.db2.gz DJWZBHZNZHADAN-STQMWFEESA-N 1 2 317.437 1.736 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001112995545 765108523 /nfs/dbraw/zinc/10/85/23/765108523.db2.gz DJWZBHZNZHADAN-STQMWFEESA-N 1 2 317.437 1.736 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCO[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001051802541 765174300 /nfs/dbraw/zinc/17/43/00/765174300.db2.gz DGMDCHBRGMZYON-UKRRQHHQSA-N 1 2 324.450 1.457 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCO[C@@H](C[NH2+]Cc3nnc(C)o3)C2)C1 ZINC001051815401 765186112 /nfs/dbraw/zinc/18/61/12/765186112.db2.gz UUOWMSAJUIHETD-ZDUSSCGKSA-N 1 2 320.393 1.051 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2nc(C)c(C)nc2C)CC1 ZINC001113085935 765246501 /nfs/dbraw/zinc/24/65/01/765246501.db2.gz OKZQZOHHPDFPNY-UHFFFAOYSA-N 1 2 318.421 1.362 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2OCC[C@@H]2Cn2cccn2)C1 ZINC001044038805 765248155 /nfs/dbraw/zinc/24/81/55/765248155.db2.gz JCENPLKKPQQFJF-GDBMZVCRSA-N 1 2 318.421 1.007 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cccnc3)C2)CC1 ZINC001051970813 765328825 /nfs/dbraw/zinc/32/88/25/765328825.db2.gz NFMJUFJLTVHLDL-INIZCTEOSA-N 1 2 300.406 1.100 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cc(C)oc3C)C2)CC1 ZINC001051981063 765343439 /nfs/dbraw/zinc/34/34/39/765343439.db2.gz MVSAKQBAUNSHLP-INIZCTEOSA-N 1 2 317.433 1.915 20 30 DDEDLO Cc1cscc1C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052006165 765373402 /nfs/dbraw/zinc/37/34/02/765373402.db2.gz MVQSHVOBERSJCS-CQSZACIVSA-N 1 2 318.446 1.412 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H](C)C(C)(C)C)C2)CC1 ZINC001052043119 765416057 /nfs/dbraw/zinc/41/60/57/765416057.db2.gz PFXFDPOITDQTJV-IAGOWNOFSA-N 1 2 319.493 1.910 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(C4CC4)CCC3)C2)CC1 ZINC001052058374 765430460 /nfs/dbraw/zinc/43/04/60/765430460.db2.gz WXOPHAAIXOZGIE-KRWDZBQOSA-N 1 2 315.461 1.418 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2COc3ccccc3O2)C1 ZINC001044260573 765433399 /nfs/dbraw/zinc/43/33/99/765433399.db2.gz WSQGGTCREHZXTB-MRXNPFEDSA-N 1 2 302.374 1.545 20 30 DDEDLO CCN(CCCNC(=O)Cc1c[nH]c[nH+]1)c1ccc(C#N)cn1 ZINC001095972844 765440384 /nfs/dbraw/zinc/44/03/84/765440384.db2.gz BVWOULWSAJZFAN-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(C(C)C)CC3)C2)CC1 ZINC001052079982 765453006 /nfs/dbraw/zinc/45/30/06/765453006.db2.gz SYGBKFJIANYZSC-QGZVFWFLSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H](C)C(C)C)C2)CC1 ZINC001052094642 765465588 /nfs/dbraw/zinc/46/55/88/765465588.db2.gz WXJKQBVACWUIIC-IAGOWNOFSA-N 1 2 305.466 1.520 20 30 DDEDLO CCCCCCC[NH+]1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC001113357109 765607570 /nfs/dbraw/zinc/60/75/70/765607570.db2.gz BZTMRJYBTJPFLI-UHFFFAOYSA-N 1 2 307.442 1.852 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C)nn2CC)CC1 ZINC001113375014 765635537 /nfs/dbraw/zinc/63/55/37/765635537.db2.gz IOVXJBXBLNENQB-UHFFFAOYSA-N 1 2 306.410 1.172 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn(CC)c2C)CC1 ZINC001113450536 765702939 /nfs/dbraw/zinc/70/29/39/765702939.db2.gz SRYXAQMIIXDQMD-UHFFFAOYSA-N 1 2 306.410 1.172 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCOc2ccc(OC)cc2)CC1 ZINC001113631704 765961848 /nfs/dbraw/zinc/96/18/48/765961848.db2.gz HLSQGGUNJBUUAN-UHFFFAOYSA-N 1 2 304.390 1.794 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccnc2OCCOC)CC1 ZINC001113682480 766013747 /nfs/dbraw/zinc/01/37/47/766013747.db2.gz DDUCXIWYVPUOIF-UHFFFAOYSA-N 1 2 319.405 1.441 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[NH+](CCc2ccns2)CC1 ZINC001113712843 766052843 /nfs/dbraw/zinc/05/28/43/766052843.db2.gz GWIKLELKEAZIKG-ZDUSSCGKSA-N 1 2 309.435 1.421 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001096174962 768356622 /nfs/dbraw/zinc/35/66/22/768356622.db2.gz HLZJZPIHRKQQOE-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO CC1(NC(=O)c2ccc(C#N)[nH]2)CC[NH+](Cc2ncccn2)CC1 ZINC001045410496 766290320 /nfs/dbraw/zinc/29/03/20/766290320.db2.gz XSMBXBPTTXEWEV-UHFFFAOYSA-N 1 2 324.388 1.461 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CCN(c2ccc(C#N)c(C)n2)C1 ZINC001058413524 766504809 /nfs/dbraw/zinc/50/48/09/766504809.db2.gz XBBFPOBMGILDDB-CQSZACIVSA-N 1 2 324.388 1.231 20 30 DDEDLO C=CCCCC(=O)N(C)CCCN(C)C(=O)CCn1cc[nH+]c1 ZINC001067851695 766755088 /nfs/dbraw/zinc/75/50/88/766755088.db2.gz NAGBUFHLTACAJO-UHFFFAOYSA-N 1 2 320.437 1.936 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001114246439 766812489 /nfs/dbraw/zinc/81/24/89/766812489.db2.gz SUGBKITZOYFUSH-NHAGDIPZSA-N 1 2 303.431 1.739 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001114246439 766812500 /nfs/dbraw/zinc/81/25/00/766812500.db2.gz SUGBKITZOYFUSH-NHAGDIPZSA-N 1 2 303.431 1.739 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001114252712 766820411 /nfs/dbraw/zinc/82/04/11/766820411.db2.gz BESPZKAUXAXHIP-UOIKSKOESA-N 1 2 311.385 1.512 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001114252712 766820420 /nfs/dbraw/zinc/82/04/20/766820420.db2.gz BESPZKAUXAXHIP-UOIKSKOESA-N 1 2 311.385 1.512 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)C2CC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121608535 782589268 /nfs/dbraw/zinc/58/92/68/782589268.db2.gz UXNLYORBQIFQRT-IFIJOSMWSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c(N(C)[C@H](C)C2CC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001121608535 782589275 /nfs/dbraw/zinc/58/92/75/782589275.db2.gz UXNLYORBQIFQRT-IFIJOSMWSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC=C(C)C1 ZINC001121608807 782589529 /nfs/dbraw/zinc/58/95/29/782589529.db2.gz ISOMDYAYIROVGS-KBPBESRZSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC=C(C)C1 ZINC001121608807 782589534 /nfs/dbraw/zinc/58/95/34/782589534.db2.gz ISOMDYAYIROVGS-KBPBESRZSA-N 1 2 303.410 1.358 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2ccon2)C1 ZINC001046328215 767427169 /nfs/dbraw/zinc/42/71/69/767427169.db2.gz WNZADJYQSARUIJ-HLLBOEOZSA-N 1 2 319.405 1.736 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2ccon2)C1 ZINC001046328215 767427175 /nfs/dbraw/zinc/42/71/75/767427175.db2.gz WNZADJYQSARUIJ-HLLBOEOZSA-N 1 2 319.405 1.736 20 30 DDEDLO C#CC[N@H+]1CC[C@@](C)(NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001046445011 767579841 /nfs/dbraw/zinc/57/98/41/767579841.db2.gz XWPXPFOPIMYFIQ-OAHLLOKOSA-N 1 2 306.797 1.768 20 30 DDEDLO C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001046445011 767579848 /nfs/dbraw/zinc/57/98/48/767579848.db2.gz XWPXPFOPIMYFIQ-OAHLLOKOSA-N 1 2 306.797 1.768 20 30 DDEDLO C[C@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068887852 767683187 /nfs/dbraw/zinc/68/31/87/767683187.db2.gz QIWMHQLHISSYJC-WCQYABFASA-N 1 2 310.361 1.320 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@]2(C)CC[N@@H+](Cc3nocc3C)C2)c1 ZINC001046603839 767728878 /nfs/dbraw/zinc/72/88/78/767728878.db2.gz DMYGOWRXSVIJDQ-GOSISDBHSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@]2(C)CC[N@H+](Cc3nocc3C)C2)c1 ZINC001046603839 767728884 /nfs/dbraw/zinc/72/88/84/767728884.db2.gz DMYGOWRXSVIJDQ-GOSISDBHSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+][C@@H](C)c1nnc(CC)o1 ZINC001131870077 768431543 /nfs/dbraw/zinc/43/15/43/768431543.db2.gz NANLSAQLUSMVPZ-BLLLJJGKSA-N 1 2 324.425 1.628 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2nc(C(C)C)oc2C)C1 ZINC001047379980 768436772 /nfs/dbraw/zinc/43/67/72/768436772.db2.gz UDTOSRSIVDRLIH-KBPBESRZSA-N 1 2 319.405 1.247 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2nc(C(C)C)oc2C)C1 ZINC001047379980 768436776 /nfs/dbraw/zinc/43/67/76/768436776.db2.gz UDTOSRSIVDRLIH-KBPBESRZSA-N 1 2 319.405 1.247 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)CCc2c[nH+]cn2C)C1 ZINC001070535245 768558033 /nfs/dbraw/zinc/55/80/33/768558033.db2.gz LGOJGEDSYVTDSU-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C(CC)CC)no2)C1 ZINC001047555622 768567406 /nfs/dbraw/zinc/56/74/06/768567406.db2.gz JPYUFRLWVWCYLL-GJZGRUSLSA-N 1 2 319.405 1.329 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C(CC)CC)no2)C1 ZINC001047555622 768567412 /nfs/dbraw/zinc/56/74/12/768567412.db2.gz JPYUFRLWVWCYLL-GJZGRUSLSA-N 1 2 319.405 1.329 20 30 DDEDLO CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[C@H]1C ZINC001132033543 768579209 /nfs/dbraw/zinc/57/92/09/768579209.db2.gz HVUBUHPGHHBCOB-KGLIPLIRSA-N 1 2 307.438 1.141 20 30 DDEDLO CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[C@H]1C ZINC001132033543 768579212 /nfs/dbraw/zinc/57/92/12/768579212.db2.gz HVUBUHPGHHBCOB-KGLIPLIRSA-N 1 2 307.438 1.141 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C#CC(C)(C)C)C[N@@H+]1Cc1ccn(C)n1 ZINC001132034589 768580814 /nfs/dbraw/zinc/58/08/14/768580814.db2.gz SSXNDYTVDYXBSZ-CABCVRRESA-N 1 2 316.449 1.939 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)C#CC(C)(C)C)C[N@H+]1Cc1ccn(C)n1 ZINC001132034589 768580818 /nfs/dbraw/zinc/58/08/18/768580818.db2.gz SSXNDYTVDYXBSZ-CABCVRRESA-N 1 2 316.449 1.939 20 30 DDEDLO CCC1(C(=O)N2C[C@@H](c3c[nH+]cn3C)[C@H](NCC#N)C2)CCC1 ZINC001070679057 768664971 /nfs/dbraw/zinc/66/49/71/768664971.db2.gz BWOBLNCPGCHOJN-ZIAGYGMSSA-N 1 2 315.421 1.408 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC2CC2)C1 ZINC001132217811 768689419 /nfs/dbraw/zinc/68/94/19/768689419.db2.gz HEEVEVYQQOIFLG-UKRRQHHQSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC2CC2)C1 ZINC001132217811 768689421 /nfs/dbraw/zinc/68/94/21/768689421.db2.gz HEEVEVYQQOIFLG-UKRRQHHQSA-N 1 2 321.465 1.694 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)CC)CC[C@H]1C ZINC001132256269 768713300 /nfs/dbraw/zinc/71/33/00/768713300.db2.gz LSSKASZNMZIOMN-KGLIPLIRSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(C)(C)CC)CC[C@H]1C ZINC001132256269 768713305 /nfs/dbraw/zinc/71/33/05/768713305.db2.gz LSSKASZNMZIOMN-KGLIPLIRSA-N 1 2 307.438 1.141 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC001070950058 768806119 /nfs/dbraw/zinc/80/61/19/768806119.db2.gz JQEXVJDSIBJQEO-QLPKVWCKSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC001070950058 768806127 /nfs/dbraw/zinc/80/61/27/768806127.db2.gz JQEXVJDSIBJQEO-QLPKVWCKSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C2CCCC2)CC1 ZINC001071014552 768883296 /nfs/dbraw/zinc/88/32/96/768883296.db2.gz VACNCVKCIYTZEU-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)C2CCCC2)CC1 ZINC001071014552 768883307 /nfs/dbraw/zinc/88/33/07/768883307.db2.gz VACNCVKCIYTZEU-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001096346073 769302635 /nfs/dbraw/zinc/30/26/35/769302635.db2.gz OWVMFRFCLPZNKY-AWEZNQCLSA-N 1 2 304.394 1.463 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096393799 769634420 /nfs/dbraw/zinc/63/44/20/769634420.db2.gz SCSCLTBEKWSIOX-DZGCQCFKSA-N 1 2 318.421 1.688 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cc(C)nn2C)CC[C@@H]1C ZINC001071682581 769867298 /nfs/dbraw/zinc/86/72/98/769867298.db2.gz NWOUCNDROAWJNV-UONOGXRCSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cc(C)nn2C)CC[C@@H]1C ZINC001071682581 769867312 /nfs/dbraw/zinc/86/73/12/769867312.db2.gz NWOUCNDROAWJNV-UONOGXRCSA-N 1 2 324.856 1.993 20 30 DDEDLO Cc1cc(N2CC[C@@H](NC(=O)CCn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001096460856 770208689 /nfs/dbraw/zinc/20/86/89/770208689.db2.gz RLPCDOLEQLPMFM-OAHLLOKOSA-N 1 2 324.388 1.243 20 30 DDEDLO C[C@H](C[C@@H](C)NC(=O)Cc1cn2c([nH+]1)CCCC2)NCC#N ZINC001134403109 770989385 /nfs/dbraw/zinc/98/93/85/770989385.db2.gz KJXNYOQJFBDKRT-CHWSQXEVSA-N 1 2 303.410 1.158 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+][C@@H](C)c1ncc(C)o1 ZINC001135142149 771347975 /nfs/dbraw/zinc/34/79/75/771347975.db2.gz IKEBUOVAFWGEOC-IHRRRGAJSA-N 1 2 307.394 1.731 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+][C@H](C)c1nnc(CC)o1 ZINC001135145393 771350644 /nfs/dbraw/zinc/35/06/44/771350644.db2.gz GOZNIOAMLVBTJX-DYEKYZERSA-N 1 2 322.409 1.380 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)no1 ZINC001049999389 771352977 /nfs/dbraw/zinc/35/29/77/771352977.db2.gz YGGKWJOYBXXJBX-OSAQELSMSA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@H](C)C#N)[C@@H]3C2)no1 ZINC001049999389 771352980 /nfs/dbraw/zinc/35/29/80/771352980.db2.gz YGGKWJOYBXXJBX-OSAQELSMSA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1nc(N[C@H](CNC(=O)c2cc(C#N)c[nH]2)C2CC2)cc[nH+]1 ZINC001096623516 771357631 /nfs/dbraw/zinc/35/76/31/771357631.db2.gz KGTMBLCUNFLHNZ-CQSZACIVSA-N 1 2 310.361 1.605 20 30 DDEDLO Cc1cc(NCCN(CCO)C(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001111436221 771416987 /nfs/dbraw/zinc/41/69/87/771416987.db2.gz WPBVREJIHCNVTG-LLVKDONJSA-N 1 2 317.393 1.055 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2occc2CC)[C@@H](O)C1 ZINC001090548325 771980693 /nfs/dbraw/zinc/98/06/93/771980693.db2.gz XAUOVAFNQOPTPI-STQMWFEESA-N 1 2 312.797 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2occc2CC)[C@@H](O)C1 ZINC001090548325 771980696 /nfs/dbraw/zinc/98/06/96/771980696.db2.gz XAUOVAFNQOPTPI-STQMWFEESA-N 1 2 312.797 1.760 20 30 DDEDLO Cc1nc(NC[C@H]2CN(C(=O)C#CC(C)C)CCCO2)cc[nH+]1 ZINC001090570460 771997547 /nfs/dbraw/zinc/99/75/47/771997547.db2.gz MZWFBYZEUVDQPT-HNNXBMFYSA-N 1 2 316.405 1.474 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(c2cc(C)[nH+]c(C(C)C)n2)C[C@@H]1O ZINC001091075003 772504957 /nfs/dbraw/zinc/50/49/57/772504957.db2.gz OBEHJLGVDATONG-KGLIPLIRSA-N 1 2 318.421 1.540 20 30 DDEDLO O=C(/C=C/C(=O)c1ccccc1)NC1CC[NH+](CCF)CC1 ZINC001144714586 772600894 /nfs/dbraw/zinc/60/08/94/772600894.db2.gz XAVXNVGZCZPHHP-VOTSOKGWSA-N 1 2 304.365 1.976 20 30 DDEDLO CCOC(=O)[C@H]1C[N@@H+]([C@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171220680 772616821 /nfs/dbraw/zinc/61/68/21/772616821.db2.gz BBDGDVVVHYDBOX-CZUORRHYSA-N 1 2 302.374 1.753 20 30 DDEDLO CCOC(=O)[C@H]1C[N@H+]([C@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171220680 772616823 /nfs/dbraw/zinc/61/68/23/772616823.db2.gz BBDGDVVVHYDBOX-CZUORRHYSA-N 1 2 302.374 1.753 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)oc1C ZINC001149399326 772775171 /nfs/dbraw/zinc/77/51/71/772775171.db2.gz SBWZRODNCAJOPT-FZMZJTMJSA-N 1 2 320.393 1.158 20 30 DDEDLO Cc1nc(C[N@H+]2CCCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)oc1C ZINC001149399326 772775176 /nfs/dbraw/zinc/77/51/76/772775176.db2.gz SBWZRODNCAJOPT-FZMZJTMJSA-N 1 2 320.393 1.158 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091480037 772782252 /nfs/dbraw/zinc/78/22/52/772782252.db2.gz DXJJVPFWPPGWAY-UBHSHLNASA-N 1 2 302.378 1.130 20 30 DDEDLO Cc1noc(C[N@@H+]2C[C@@H]3CN(C(=O)C#CC4CC4)C[C@]3(C)C2)n1 ZINC001091659913 773237076 /nfs/dbraw/zinc/23/70/76/773237076.db2.gz VEPXWOLFWQBMEE-PBHICJAKSA-N 1 2 314.389 1.072 20 30 DDEDLO Cc1noc(C[N@H+]2C[C@@H]3CN(C(=O)C#CC4CC4)C[C@]3(C)C2)n1 ZINC001091659913 773237077 /nfs/dbraw/zinc/23/70/77/773237077.db2.gz VEPXWOLFWQBMEE-PBHICJAKSA-N 1 2 314.389 1.072 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001148089359 773361557 /nfs/dbraw/zinc/36/15/57/773361557.db2.gz UGOGBBXISRKAFK-ZIAGYGMSSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001148089359 773361565 /nfs/dbraw/zinc/36/15/65/773361565.db2.gz UGOGBBXISRKAFK-ZIAGYGMSSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001073922217 773528681 /nfs/dbraw/zinc/52/86/81/773528681.db2.gz XXAONZVYFMKHIC-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO CC(C)Oc1ccc(C(=O)NC[C@@H]2C[N@H+](C)CCO2)cc1C#N ZINC001148774789 773598109 /nfs/dbraw/zinc/59/81/09/773598109.db2.gz KYSBSQSGRHJTTN-OAHLLOKOSA-N 1 2 317.389 1.406 20 30 DDEDLO CC(C)Oc1ccc(C(=O)NC[C@@H]2C[N@@H+](C)CCO2)cc1C#N ZINC001148774789 773598114 /nfs/dbraw/zinc/59/81/14/773598114.db2.gz KYSBSQSGRHJTTN-OAHLLOKOSA-N 1 2 317.389 1.406 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)noc3C)C[C@@H]21 ZINC001074163132 773686133 /nfs/dbraw/zinc/68/61/33/773686133.db2.gz KWXUMBCMEJRRTK-LSDHHAIUSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)noc3C)C[C@@H]21 ZINC001074163132 773686138 /nfs/dbraw/zinc/68/61/38/773686138.db2.gz KWXUMBCMEJRRTK-LSDHHAIUSA-N 1 2 319.405 1.783 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@H]21 ZINC001074319064 773819839 /nfs/dbraw/zinc/81/98/39/773819839.db2.gz FPHQHOJHVSRCTO-HMDCTGQHSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@H]21 ZINC001074319064 773819844 /nfs/dbraw/zinc/81/98/44/773819844.db2.gz FPHQHOJHVSRCTO-HMDCTGQHSA-N 1 2 302.418 1.358 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3nccs3)C[C@@H]21 ZINC001074369588 773861875 /nfs/dbraw/zinc/86/18/75/773861875.db2.gz YYRIVQMQPAUMHC-QWHCGFSZSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3nccs3)C[C@@H]21 ZINC001074369588 773861881 /nfs/dbraw/zinc/86/18/81/773861881.db2.gz YYRIVQMQPAUMHC-QWHCGFSZSA-N 1 2 307.419 1.635 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccn1C)c1nccn12 ZINC001092348220 774067438 /nfs/dbraw/zinc/06/74/38/774067438.db2.gz QWRKXIJWDAASMY-AWEZNQCLSA-N 1 2 323.400 1.131 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CC(C)C1)c1nccn12 ZINC001092362725 774076508 /nfs/dbraw/zinc/07/65/08/774076508.db2.gz XJKGAXAZFWFVPF-YMAMQOFZSA-N 1 2 312.417 1.524 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001092406996 774123616 /nfs/dbraw/zinc/12/36/16/774123616.db2.gz XGHCIKWMLIWLIG-UMVBOHGHSA-N 1 2 316.405 1.012 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098550711 774608210 /nfs/dbraw/zinc/60/82/10/774608210.db2.gz AXJWFBXSRUVPSB-CQSZACIVSA-N 1 2 302.378 1.085 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)Cc3cc(C)n[nH]3)CC2)C1 ZINC001093524943 774776344 /nfs/dbraw/zinc/77/63/44/774776344.db2.gz SXQAMWIOLLMOPV-UHFFFAOYSA-N 1 2 318.421 1.138 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@H]3CCCCO3)CC2)C1 ZINC001093524606 774776558 /nfs/dbraw/zinc/77/65/58/774776558.db2.gz BWZWXXLTSXBBNG-MRXNPFEDSA-N 1 2 322.449 1.823 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](OC)C3CC3)CC2)C1 ZINC001093532755 774786351 /nfs/dbraw/zinc/78/63/51/774786351.db2.gz HVUSUEFOGZHMJD-INIZCTEOSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)/C=C/c2ccco2)[C@@H](O)C1 ZINC001099642598 775091067 /nfs/dbraw/zinc/09/10/67/775091067.db2.gz SQAMRBVIAFMFCA-CTXXGXLOSA-N 1 2 310.781 1.597 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)/C=C/c2ccco2)[C@@H](O)C1 ZINC001099642598 775091074 /nfs/dbraw/zinc/09/10/74/775091074.db2.gz SQAMRBVIAFMFCA-CTXXGXLOSA-N 1 2 310.781 1.597 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099728024 775194528 /nfs/dbraw/zinc/19/45/28/775194528.db2.gz UUFXKRNGICSSBN-CABCVRRESA-N 1 2 307.369 1.238 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099728024 775194537 /nfs/dbraw/zinc/19/45/37/775194537.db2.gz UUFXKRNGICSSBN-CABCVRRESA-N 1 2 307.369 1.238 20 30 DDEDLO C[C@@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001099765460 775242294 /nfs/dbraw/zinc/24/22/94/775242294.db2.gz TXXXHIJSKYUKST-IACUBPJLSA-N 1 2 324.388 1.787 20 30 DDEDLO C[C@@H](CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001099765460 775242302 /nfs/dbraw/zinc/24/23/02/775242302.db2.gz TXXXHIJSKYUKST-IACUBPJLSA-N 1 2 324.388 1.787 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099806567 775304567 /nfs/dbraw/zinc/30/45/67/775304567.db2.gz CUTXMDSYVUCVIG-HOTGVXAUSA-N 1 2 319.380 1.076 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@@H]1O ZINC001099806567 775304579 /nfs/dbraw/zinc/30/45/79/775304579.db2.gz CUTXMDSYVUCVIG-HOTGVXAUSA-N 1 2 319.380 1.076 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094063478 775391527 /nfs/dbraw/zinc/39/15/27/775391527.db2.gz CMLSTWATFROFKR-QWRGUYRKSA-N 1 2 306.414 1.232 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3CC3(C)C)nn2)C1 ZINC001094284261 775647225 /nfs/dbraw/zinc/64/72/25/775647225.db2.gz BRPGVUQWGRJLTM-CQSZACIVSA-N 1 2 303.410 1.373 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC34CC4)nn2)C1 ZINC001094322563 775749299 /nfs/dbraw/zinc/74/92/99/775749299.db2.gz FFWCADOOCPWNGF-AWEZNQCLSA-N 1 2 301.394 1.127 20 30 DDEDLO C=CCCC(=O)NCC1CC([NH2+]Cc2noc(CCC)n2)C1 ZINC001100170658 775757682 /nfs/dbraw/zinc/75/76/82/775757682.db2.gz ZCRFWQSLJXWTDZ-UHFFFAOYSA-N 1 2 306.410 1.973 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3CCC3(C)C)CC2=O)C1 ZINC001094770999 776259597 /nfs/dbraw/zinc/25/95/97/776259597.db2.gz GGXWEXIVKZVJTL-ZFWWWQNUSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3CCC=CCC3)CC2=O)C1 ZINC001094817454 776299370 /nfs/dbraw/zinc/29/93/70/776299370.db2.gz NDLNRIGOMWTIHU-OAHLLOKOSA-N 1 2 317.433 1.320 20 30 DDEDLO CCc1cc(N2CCC[C@@H]2CNC(=O)[C@H](C)C#N)nc(C)[nH+]1 ZINC001100810705 776577239 /nfs/dbraw/zinc/57/72/39/776577239.db2.gz ZEKPFJIVWSHGGM-BXUZGUMPSA-N 1 2 301.394 1.592 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CNC(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001100858894 776641479 /nfs/dbraw/zinc/64/14/79/776641479.db2.gz GVNQUYCDKZIWFK-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100989591 776799248 /nfs/dbraw/zinc/79/92/48/776799248.db2.gz CQHAWDCWIKDEDS-TZMCWYRMSA-N 1 2 304.394 1.319 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)COCC1CC1)c1nccn12 ZINC001101611527 777302116 /nfs/dbraw/zinc/30/21/16/777302116.db2.gz DLWSPVBJAGNGDW-CQSZACIVSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCCCOC)c1nccn12 ZINC001101617346 777309925 /nfs/dbraw/zinc/30/99/25/777309925.db2.gz NWBUGMKWCJAWIY-CQSZACIVSA-N 1 2 318.421 1.458 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC2(C[NH+](CC#CC)C2)n2ccnc21 ZINC001101625204 777319512 /nfs/dbraw/zinc/31/95/12/777319512.db2.gz AIUXVSRGALLVPQ-HNNXBMFYSA-N 1 2 310.401 1.282 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(=O)[nH]1)C2 ZINC001095273580 777387998 /nfs/dbraw/zinc/38/79/98/777387998.db2.gz CFTIOXXRWBHTCG-WXHSDQCUSA-N 1 2 307.781 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(=O)[nH]1)C2 ZINC001095273580 777388004 /nfs/dbraw/zinc/38/80/04/777388004.db2.gz CFTIOXXRWBHTCG-WXHSDQCUSA-N 1 2 307.781 1.875 20 30 DDEDLO Cc1nc(NCCN(C)C(=O)Cc2c[nH+]cn2C)ccc1C#N ZINC001101695290 777402766 /nfs/dbraw/zinc/40/27/66/777402766.db2.gz WKSKDUCZGCNAEV-UHFFFAOYSA-N 1 2 312.377 1.108 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](CCNC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001102338240 778075784 /nfs/dbraw/zinc/07/57/84/778075784.db2.gz INPISDSXSZXGJH-CQSZACIVSA-N 1 2 324.388 1.183 20 30 DDEDLO C[C@@H](CCCCNCC#N)NC(=O)[C@H](c1cccnc1)[NH+](C)C ZINC001176775681 778235017 /nfs/dbraw/zinc/23/50/17/778235017.db2.gz PVDZHEAURVSUGH-HOCLYGCPSA-N 1 2 317.437 1.472 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOC3CCC3)[C@@H]2C1 ZINC001176954866 778345118 /nfs/dbraw/zinc/34/51/18/778345118.db2.gz KCTFHDAVLYLWTK-SJORKVTESA-N 1 2 322.449 1.823 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOC3CCC3)[C@@H]2C1 ZINC001176954866 778345124 /nfs/dbraw/zinc/34/51/24/778345124.db2.gz KCTFHDAVLYLWTK-SJORKVTESA-N 1 2 322.449 1.823 20 30 DDEDLO Cn1cc(NC(=O)[C@H]2CCCN(c3cccc[nH+]3)C2)c(C#N)n1 ZINC001176956670 778348355 /nfs/dbraw/zinc/34/83/55/778348355.db2.gz IKXLAFFEGJSABI-LBPRGKRZSA-N 1 2 310.361 1.542 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CC=CC3)C[C@@H]21 ZINC001176970812 778356831 /nfs/dbraw/zinc/35/68/31/778356831.db2.gz YUAIAQDQBHPECC-DLBZAZTESA-N 1 2 320.433 1.457 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CC=CC3)C[C@@H]21 ZINC001176970812 778356837 /nfs/dbraw/zinc/35/68/37/778356837.db2.gz YUAIAQDQBHPECC-DLBZAZTESA-N 1 2 320.433 1.457 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)C)C[C@@H]21 ZINC001177019742 778396241 /nfs/dbraw/zinc/39/62/41/778396241.db2.gz RFNOUMUUHJVGPB-IRXDYDNUSA-N 1 2 320.433 1.150 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)C)C[C@@H]21 ZINC001177019742 778396247 /nfs/dbraw/zinc/39/62/47/778396247.db2.gz RFNOUMUUHJVGPB-IRXDYDNUSA-N 1 2 320.433 1.150 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC(=C)C)C[C@@H]21 ZINC001177025185 778397730 /nfs/dbraw/zinc/39/77/30/778397730.db2.gz OWKFFNLYBUXPRU-IRXDYDNUSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC(=C)C)C[C@@H]21 ZINC001177025185 778397737 /nfs/dbraw/zinc/39/77/37/778397737.db2.gz OWKFFNLYBUXPRU-IRXDYDNUSA-N 1 2 322.449 1.847 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CCF)CC3)C[C@@H]21 ZINC001177055175 778416044 /nfs/dbraw/zinc/41/60/44/778416044.db2.gz IULLSWWBNSNFBZ-LSDHHAIUSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CCF)CC3)C[C@@H]21 ZINC001177055175 778416047 /nfs/dbraw/zinc/41/60/47/778416047.db2.gz IULLSWWBNSNFBZ-LSDHHAIUSA-N 1 2 308.397 1.451 20 30 DDEDLO C=CCO[C@H](C)C(=O)N(CC)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102812681 778421159 /nfs/dbraw/zinc/42/11/59/778421159.db2.gz IUQYANQMVJAOKD-UKRRQHHQSA-N 1 2 318.421 1.803 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCC3CC3)C[C@@H]21 ZINC001177073785 778428822 /nfs/dbraw/zinc/42/88/22/778428822.db2.gz SLUFGYOEXKWYAA-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCC3CC3)C[C@@H]21 ZINC001177073785 778428826 /nfs/dbraw/zinc/42/88/26/778428826.db2.gz SLUFGYOEXKWYAA-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C)C[C@@H]21 ZINC001177130707 778455187 /nfs/dbraw/zinc/45/51/87/778455187.db2.gz FOMUBOZACVAIPT-LSDHHAIUSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)C)C[C@@H]21 ZINC001177130707 778455189 /nfs/dbraw/zinc/45/51/89/778455189.db2.gz FOMUBOZACVAIPT-LSDHHAIUSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@](C)(CNc1cc[nH+]c(C)n1)C1CC1 ZINC001103526565 778922874 /nfs/dbraw/zinc/92/28/74/778922874.db2.gz ULEXKQLLBNPYDT-IAGOWNOFSA-N 1 2 318.421 1.809 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([NH2+]Cc2csnn2)[C@@H]1C ZINC001178434202 779026114 /nfs/dbraw/zinc/02/61/14/779026114.db2.gz BWUQYTYRJXAXCX-JSGCOSHPSA-N 1 2 324.450 1.600 20 30 DDEDLO N#CCNCCCCCCNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001178536269 779083886 /nfs/dbraw/zinc/08/38/86/779083886.db2.gz TUSHAHPRVXRGPE-UHFFFAOYSA-N 1 2 317.437 1.552 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([NH2+]Cc2nc(C(C)C)no2)[C@@H]1C ZINC001178649220 779123770 /nfs/dbraw/zinc/12/37/70/779123770.db2.gz ZBBINKRHBGXJAE-KBPBESRZSA-N 1 2 318.421 1.931 20 30 DDEDLO Cc1nc(NC[C@H](C)CNC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001104002526 779236694 /nfs/dbraw/zinc/23/66/94/779236694.db2.gz UMHLBMVVARDWCI-LBPRGKRZSA-N 1 2 312.377 1.323 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)C(F)C(F)(F)F)C1 ZINC001111756188 779466425 /nfs/dbraw/zinc/46/64/25/779466425.db2.gz HAYFWWQBBUIIDC-LOWVWBTDSA-N 1 2 306.303 1.881 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)C(F)C(F)(F)F)C1 ZINC001111756188 779466433 /nfs/dbraw/zinc/46/64/33/779466433.db2.gz HAYFWWQBBUIIDC-LOWVWBTDSA-N 1 2 306.303 1.881 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)F)C2 ZINC001110235430 779691036 /nfs/dbraw/zinc/69/10/36/779691036.db2.gz SLCSDIVBPQBYMD-LOWDOPEQSA-N 1 2 311.401 1.147 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)F)C2 ZINC001110235430 779691042 /nfs/dbraw/zinc/69/10/42/779691042.db2.gz SLCSDIVBPQBYMD-LOWDOPEQSA-N 1 2 311.401 1.147 20 30 DDEDLO C[C@H](CCCNc1cnc(C#N)cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115088500 779868527 /nfs/dbraw/zinc/86/85/27/779868527.db2.gz JNJCGBTXZZNYRQ-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCOCCCNc1cc[nH+]c(C)n1 ZINC001115413424 780114039 /nfs/dbraw/zinc/11/40/39/780114039.db2.gz FFEAGEACNPZEPP-ZDUSSCGKSA-N 1 2 322.409 1.311 20 30 DDEDLO C=CCCC(=O)NCC1([NH2+]Cc2nnn(C)n2)CCCCC1 ZINC001115442794 780137298 /nfs/dbraw/zinc/13/72/98/780137298.db2.gz RBXDIYPZLGIXCE-UHFFFAOYSA-N 1 2 306.414 1.085 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)[C@@H](CNc1ccc(C#N)cn1)C1CC1 ZINC001115577330 780246570 /nfs/dbraw/zinc/24/65/70/780246570.db2.gz SAKVFHGNCXIVJZ-HNNXBMFYSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CCC[N@H+]1Cc1nc(C)oc1C ZINC001267266611 837703366 /nfs/dbraw/zinc/70/33/66/837703366.db2.gz KKNVBBPMGPLGPN-PBHICJAKSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CCC[N@@H+]1Cc1nc(C)oc1C ZINC001267266611 837703371 /nfs/dbraw/zinc/70/33/71/837703371.db2.gz KKNVBBPMGPLGPN-PBHICJAKSA-N 1 2 321.421 1.699 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@H+](CC(=O)N2CCCCC2)C1 ZINC001266319248 836112527 /nfs/dbraw/zinc/11/25/27/836112527.db2.gz JOHJOJYXJLQPTG-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@@H+](CC(=O)N2CCCCC2)C1 ZINC001266319248 836112535 /nfs/dbraw/zinc/11/25/35/836112535.db2.gz JOHJOJYXJLQPTG-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO CCCC(C)(C)C(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266480546 836327055 /nfs/dbraw/zinc/32/70/55/836327055.db2.gz STHXZVIBGQTZPB-ZDUSSCGKSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCC(C)(C)C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266480546 836327062 /nfs/dbraw/zinc/32/70/62/836327062.db2.gz STHXZVIBGQTZPB-ZDUSSCGKSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001266518356 836401380 /nfs/dbraw/zinc/40/13/80/836401380.db2.gz ZHZHMDQGBCCHBP-CQSZACIVSA-N 1 2 305.426 1.592 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@H+](Cc2cnn(CC)n2)C1 ZINC001266518356 836401382 /nfs/dbraw/zinc/40/13/82/836401382.db2.gz ZHZHMDQGBCCHBP-CQSZACIVSA-N 1 2 305.426 1.592 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001266543362 836444064 /nfs/dbraw/zinc/44/40/64/836444064.db2.gz HFXXUTJCVDFSBK-STQMWFEESA-N 1 2 306.410 1.925 20 30 DDEDLO CCCC[C@H](C)C(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001266840680 836911955 /nfs/dbraw/zinc/91/19/55/836911955.db2.gz YZZLCCAEPMRRDE-GJZGRUSLSA-N 1 2 322.453 1.423 20 30 DDEDLO CCCC[C@H](C)C(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001266840680 836911965 /nfs/dbraw/zinc/91/19/65/836911965.db2.gz YZZLCCAEPMRRDE-GJZGRUSLSA-N 1 2 322.453 1.423 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)C1)OCC ZINC001267011299 837184601 /nfs/dbraw/zinc/18/46/01/837184601.db2.gz IUKMHTBOFRUQTP-KBPBESRZSA-N 1 2 322.409 1.440 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2nc(CC)no2)C1 ZINC001267027099 837215050 /nfs/dbraw/zinc/21/50/50/837215050.db2.gz UJHBJVBLFWNTLT-STQMWFEESA-N 1 2 322.409 1.476 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[NH2+]Cc2nc(CC)no2)cc1 ZINC001267411468 837993933 /nfs/dbraw/zinc/99/39/33/837993933.db2.gz BLCNYHRDFOIUDR-UHFFFAOYSA-N 1 2 312.373 1.475 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N1CCCC1 ZINC001267529402 838255486 /nfs/dbraw/zinc/25/54/86/838255486.db2.gz KWKBCSRMCDMZMX-IYBDPMFKSA-N 1 2 317.433 1.088 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N1CCCC1 ZINC001267529402 838255495 /nfs/dbraw/zinc/25/54/95/838255495.db2.gz KWKBCSRMCDMZMX-IYBDPMFKSA-N 1 2 317.433 1.088 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NC(C)C ZINC001267533154 838260801 /nfs/dbraw/zinc/26/08/01/838260801.db2.gz UZFCVWXVCBOBOL-GASCZTMLSA-N 1 2 307.438 1.543 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NC(C)C ZINC001267533154 838260807 /nfs/dbraw/zinc/26/08/07/838260807.db2.gz UZFCVWXVCBOBOL-GASCZTMLSA-N 1 2 307.438 1.543 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](N(CCC)C(=O)C#CC2CC2)C1 ZINC001267541703 838274367 /nfs/dbraw/zinc/27/43/67/838274367.db2.gz GRLYEYPAHIQSAB-MRXNPFEDSA-N 1 2 317.433 1.015 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](N(CCC)C(=O)C#CC2CC2)C1 ZINC001267541703 838274379 /nfs/dbraw/zinc/27/43/79/838274379.db2.gz GRLYEYPAHIQSAB-MRXNPFEDSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@H]2CC[C@@H](C)C2)CC1 ZINC001267574932 838342314 /nfs/dbraw/zinc/34/23/14/838342314.db2.gz XOJKSRPQDUDXPB-SJORKVTESA-N 1 2 305.466 1.522 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@@H](CC)CC(F)F)CC1 ZINC001267607610 838436957 /nfs/dbraw/zinc/43/69/57/838436957.db2.gz OELZKKJVJKTRBX-AWEZNQCLSA-N 1 2 317.424 1.930 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)[C@@]2(C)CCC[C@@H]2C)CC1 ZINC001267612243 838462256 /nfs/dbraw/zinc/46/22/56/838462256.db2.gz CJZBTUCNACWELR-WMZOPIPTSA-N 1 2 305.466 1.522 20 30 DDEDLO C#CCCCC(=O)NC1C[NH+](CCOc2ccc(C)cc2)C1 ZINC001267643170 838560741 /nfs/dbraw/zinc/56/07/41/838560741.db2.gz LSCFPHKSODBJLG-UHFFFAOYSA-N 1 2 300.402 1.978 20 30 DDEDLO C=CCC[C@H](C(=O)NC1C[NH+](C[C@H](C)O)C1)c1ccccc1 ZINC001267673066 838619804 /nfs/dbraw/zinc/61/98/04/838619804.db2.gz VULCUKYRJRWHHZ-YOEHRIQHSA-N 1 2 302.418 1.918 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2CCC3(CC3)CC2)C1 ZINC001267727649 838757679 /nfs/dbraw/zinc/75/76/79/838757679.db2.gz SVEWTXPUPXYKSD-HNNXBMFYSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2CCC3(CC3)CC2)C1 ZINC001267727649 838757686 /nfs/dbraw/zinc/75/76/86/838757686.db2.gz SVEWTXPUPXYKSD-HNNXBMFYSA-N 1 2 319.449 1.450 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[N@H+](C)Cc2ccnn2C)cc1 ZINC001268245896 839887404 /nfs/dbraw/zinc/88/74/04/839887404.db2.gz TWADTZCJGUNTSP-UHFFFAOYSA-N 1 2 310.401 1.605 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[N@@H+](C)Cc2ccnn2C)cc1 ZINC001268245896 839887410 /nfs/dbraw/zinc/88/74/10/839887410.db2.gz TWADTZCJGUNTSP-UHFFFAOYSA-N 1 2 310.401 1.605 20 30 DDEDLO Cc1n[nH]c(C(=O)NCC=CC[NH2+]Cc2ccc(C#N)cc2)c1C ZINC001268517681 840360885 /nfs/dbraw/zinc/36/08/85/840360885.db2.gz AEGYYTWJZHTREO-ONEGZZNKSA-N 1 2 323.400 1.974 20 30 DDEDLO CN(CCC[NH2+]Cc1noc(C2CC2)n1)C(=O)C#CC(C)(C)C ZINC001268790674 840816722 /nfs/dbraw/zinc/81/67/22/840816722.db2.gz AHPJBHZWPXTKGE-UHFFFAOYSA-N 1 2 318.421 1.935 20 30 DDEDLO CCC#CC(=O)N1C[C@@H]2C[N@@H+](CCCC(F)(F)F)C[C@H](C1)O2 ZINC001268951598 841033854 /nfs/dbraw/zinc/03/38/54/841033854.db2.gz XBVXEXFDGQSGDQ-BETUJISGSA-N 1 2 318.339 1.654 20 30 DDEDLO CCC#CC(=O)N1C[C@@H]2C[N@H+](CCCC(F)(F)F)C[C@H](C1)O2 ZINC001268951598 841033859 /nfs/dbraw/zinc/03/38/59/841033859.db2.gz XBVXEXFDGQSGDQ-BETUJISGSA-N 1 2 318.339 1.654 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)C(=C)C ZINC001268960272 841056856 /nfs/dbraw/zinc/05/68/56/841056856.db2.gz KTMBRHBQARUARU-ILXRZTDVSA-N 1 2 319.449 1.565 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)C(=C)C ZINC001268960272 841056869 /nfs/dbraw/zinc/05/68/69/841056869.db2.gz KTMBRHBQARUARU-ILXRZTDVSA-N 1 2 319.449 1.565 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001269065250 841164482 /nfs/dbraw/zinc/16/44/82/841164482.db2.gz JZEHITZZCRBNGY-GJZGRUSLSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001269065250 841164487 /nfs/dbraw/zinc/16/44/87/841164487.db2.gz JZEHITZZCRBNGY-GJZGRUSLSA-N 1 2 321.465 1.389 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCCN2C(=O)[C@@H](C)n2cc[nH+]c2)C1=O ZINC001269158698 841279453 /nfs/dbraw/zinc/27/94/53/841279453.db2.gz ZRWHTLNLNWVWDY-PBHICJAKSA-N 1 2 316.405 1.614 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C3CC3)no2)C1 ZINC001269202868 841336497 /nfs/dbraw/zinc/33/64/97/841336497.db2.gz FBEVYODBMGJLOG-CQSZACIVSA-N 1 2 319.405 1.835 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C3CC3)no2)C1 ZINC001269202868 841336506 /nfs/dbraw/zinc/33/65/06/841336506.db2.gz FBEVYODBMGJLOG-CQSZACIVSA-N 1 2 319.405 1.835 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](N(C)C(=O)c2cccs2)C1 ZINC001269209024 841350294 /nfs/dbraw/zinc/35/02/94/841350294.db2.gz SHMLGMKEKBINNL-CHWSQXEVSA-N 1 2 321.446 1.585 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](N(C)C(=O)c2cccs2)C1 ZINC001269209024 841350304 /nfs/dbraw/zinc/35/03/04/841350304.db2.gz SHMLGMKEKBINNL-CHWSQXEVSA-N 1 2 321.446 1.585 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@H+]2[C@@H](C)C(=O)NC2CC2)CCC1 ZINC001269292063 841478837 /nfs/dbraw/zinc/47/88/37/841478837.db2.gz NWFCVTXEZNMRGK-DZGCQCFKSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@@H+]2[C@@H](C)C(=O)NC2CC2)CCC1 ZINC001269292063 841478848 /nfs/dbraw/zinc/47/88/48/841478848.db2.gz NWFCVTXEZNMRGK-DZGCQCFKSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCC1(C(=O)N(C)[C@H]2CC[N@H+](CC(N)=O)C2)CCCCC1 ZINC001269295948 841485670 /nfs/dbraw/zinc/48/56/70/841485670.db2.gz JASILWBEVLXQME-AWEZNQCLSA-N 1 2 307.438 1.531 20 30 DDEDLO C=CCC1(C(=O)N(C)[C@H]2CC[N@@H+](CC(N)=O)C2)CCCCC1 ZINC001269295948 841485674 /nfs/dbraw/zinc/48/56/74/841485674.db2.gz JASILWBEVLXQME-AWEZNQCLSA-N 1 2 307.438 1.531 20 30 DDEDLO CCC(=CC(=O)N(CC)[C@H]1CC[N@H+](CC(=O)NCC#N)C1)CC ZINC001269318715 841511110 /nfs/dbraw/zinc/51/11/10/841511110.db2.gz QOIRCRVHQVECAP-HNNXBMFYSA-N 1 2 320.437 1.295 20 30 DDEDLO CCC(=CC(=O)N(CC)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1)CC ZINC001269318715 841511115 /nfs/dbraw/zinc/51/11/15/841511115.db2.gz QOIRCRVHQVECAP-HNNXBMFYSA-N 1 2 320.437 1.295 20 30 DDEDLO C#CCN1CC[C@@]2(CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C2)C1=O ZINC001269386274 841589181 /nfs/dbraw/zinc/58/91/81/841589181.db2.gz HWMHPFOOYYYRIH-SFHVURJKSA-N 1 2 322.368 1.261 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)c1nccs1)C(C)C ZINC001284553693 841783492 /nfs/dbraw/zinc/78/34/92/841783492.db2.gz SMQDFRFDEJXWRK-UHFFFAOYSA-N 1 2 324.450 1.228 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)c1nccs1)C(C)C ZINC001284553693 841783497 /nfs/dbraw/zinc/78/34/97/841783497.db2.gz SMQDFRFDEJXWRK-UHFFFAOYSA-N 1 2 324.450 1.228 20 30 DDEDLO C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)CCn1cc[nH+]c1 ZINC001269581135 841787150 /nfs/dbraw/zinc/78/71/50/841787150.db2.gz DNJYHSMXTPRQLT-HUUCEWRRSA-N 1 2 316.405 1.441 20 30 DDEDLO C=CCNC(=O)[C@@H]1CC12CCN(C(=O)CCn1cc[nH+]c1)CC2 ZINC001269581207 841788604 /nfs/dbraw/zinc/78/86/04/841788604.db2.gz HKLAQBPMJDEFDD-AWEZNQCLSA-N 1 2 316.405 1.204 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)CO[C@@H]2CCOC2)CC1 ZINC001269621592 841838231 /nfs/dbraw/zinc/83/82/31/841838231.db2.gz HNWBLLBCCMZTTA-MRXNPFEDSA-N 1 2 321.421 1.018 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)CO[C@@H]2CCOC2)CC1 ZINC001269621592 841838244 /nfs/dbraw/zinc/83/82/44/841838244.db2.gz HNWBLLBCCMZTTA-MRXNPFEDSA-N 1 2 321.421 1.018 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)c4ccccn4)C3)C2)cc1C#N ZINC001270256742 842431855 /nfs/dbraw/zinc/43/18/55/842431855.db2.gz WPLZCRVJKWUIPL-UHFFFAOYSA-N 1 2 321.384 1.250 20 30 DDEDLO C#CCN(C(=O)[C@@H]1CC12CC2)C1CC[NH+](Cc2ncccn2)CC1 ZINC001270345988 842502853 /nfs/dbraw/zinc/50/28/53/842502853.db2.gz VZJWOBIXKTTWMN-INIZCTEOSA-N 1 2 324.428 1.703 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)CC2CCC(OC)CC2)C1 ZINC001270700266 842829723 /nfs/dbraw/zinc/82/97/23/842829723.db2.gz FEDQMTVACVQLCL-OFLPRAFFSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)CC2CCC(OC)CC2)C1 ZINC001270700266 842829733 /nfs/dbraw/zinc/82/97/33/842829733.db2.gz FEDQMTVACVQLCL-OFLPRAFFSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)C[NH2+]Cc1nc(N(C)C)no1 ZINC001271094115 843208308 /nfs/dbraw/zinc/20/83/08/843208308.db2.gz USQFJYUILNHQCZ-WBMJQRKESA-N 1 2 323.441 1.674 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C[C@@H]2CCO[C@H](C(C)C)C2)C1 ZINC001271373731 843533239 /nfs/dbraw/zinc/53/32/39/843533239.db2.gz FLPCQLUIXVKLBA-CVEARBPZSA-N 1 2 322.449 1.014 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@@H](C)Oc2ccc(F)cc2)C1 ZINC001271387913 843547681 /nfs/dbraw/zinc/54/76/81/843547681.db2.gz JWDKGOTUOIOGIL-CYBMUJFWSA-N 1 2 322.380 1.332 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccc(F)cn2)CCCO1 ZINC001149407790 861595975 /nfs/dbraw/zinc/59/59/75/861595975.db2.gz ONISSSZOBWRNTP-SWLSCSKDSA-N 1 2 320.368 1.087 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccc(F)cn2)CCCO1 ZINC001149407790 861595984 /nfs/dbraw/zinc/59/59/84/861595984.db2.gz ONISSSZOBWRNTP-SWLSCSKDSA-N 1 2 320.368 1.087 20 30 DDEDLO Cc1nnc(C[N@H+]2CCCCC[C@@H]2CNC(=O)[C@H](C)C#N)o1 ZINC001409847065 845897265 /nfs/dbraw/zinc/89/72/65/845897265.db2.gz GXZNCHGDWMGGHD-DGCLKSJQSA-N 1 2 305.382 1.399 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCCCC[C@@H]2CNC(=O)[C@H](C)C#N)o1 ZINC001409847065 845897270 /nfs/dbraw/zinc/89/72/70/845897270.db2.gz GXZNCHGDWMGGHD-DGCLKSJQSA-N 1 2 305.382 1.399 20 30 DDEDLO COCC#CC[NH2+]CC[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001272441054 846141750 /nfs/dbraw/zinc/14/17/50/846141750.db2.gz RFTMUOKXRALHMS-NEPJUHHUSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[NH2+]CC[C@@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001272441054 846141755 /nfs/dbraw/zinc/14/17/55/846141755.db2.gz RFTMUOKXRALHMS-NEPJUHHUSA-N 1 2 324.318 1.365 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](O)C[NH2+][C@@H](C)c2csnn2)CC1 ZINC001272511464 846249067 /nfs/dbraw/zinc/24/90/67/846249067.db2.gz BTAVEBMFGJMLJN-WCQYABFASA-N 1 2 324.450 1.412 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1ccc(OC)cc1C)C2 ZINC001272631438 846432490 /nfs/dbraw/zinc/43/24/90/846432490.db2.gz MDSDBSBMFQPQNZ-UHFFFAOYSA-N 1 2 314.385 1.050 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001409914491 846592030 /nfs/dbraw/zinc/59/20/30/846592030.db2.gz LPUVUBWYGNRKAK-ZWNOBZJWSA-N 1 2 301.818 1.090 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc3c(c1)COC3)C2 ZINC001272860867 847565232 /nfs/dbraw/zinc/56/52/32/847565232.db2.gz HSKSYUNANHHVAE-UHFFFAOYSA-N 1 2 314.385 1.316 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3cccc4cccnc43)C2)OCC1=O ZINC001272875718 847575748 /nfs/dbraw/zinc/57/57/48/847575748.db2.gz UHZIMNVVEWEBKO-UHFFFAOYSA-N 1 2 321.380 1.281 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)CC[NH2+]Cc1noc(CC)n1 ZINC001273026786 847806185 /nfs/dbraw/zinc/80/61/85/847806185.db2.gz LCWLDKGNZPIGOW-XQQFMLRXSA-N 1 2 322.409 1.208 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)CCC)[C@@H](n2ccnn2)C1 ZINC001128851207 848014615 /nfs/dbraw/zinc/01/46/15/848014615.db2.gz CWHXLCWTKAMCHY-KFWWJZLASA-N 1 2 303.410 1.079 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)CCC)[C@@H](n2ccnn2)C1 ZINC001128851207 848014619 /nfs/dbraw/zinc/01/46/19/848014619.db2.gz CWHXLCWTKAMCHY-KFWWJZLASA-N 1 2 303.410 1.079 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)CCC(C)C)C2)CC1 ZINC001327083849 861866235 /nfs/dbraw/zinc/86/62/35/861866235.db2.gz WDAZJWVDIYZBJQ-QGZVFWFLSA-N 1 2 305.466 1.664 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2csnn2)C1 ZINC001034334671 848346138 /nfs/dbraw/zinc/34/61/38/848346138.db2.gz QOUUINXPIHSPSP-JTQLQIEISA-N 1 2 300.815 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2csnn2)C1 ZINC001034334671 848346150 /nfs/dbraw/zinc/34/61/50/848346150.db2.gz QOUUINXPIHSPSP-JTQLQIEISA-N 1 2 300.815 1.875 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cnn(C)n2)CC1 ZINC001327144042 861925415 /nfs/dbraw/zinc/92/54/15/861925415.db2.gz ZBGQBDUNQSVJGQ-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[N@H+](Cc2cnn(C)n2)CC1 ZINC001327144042 861925426 /nfs/dbraw/zinc/92/54/26/861925426.db2.gz ZBGQBDUNQSVJGQ-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cccc(F)c1O)C2 ZINC001273304762 849378122 /nfs/dbraw/zinc/37/81/22/849378122.db2.gz QNYBRQYHPZZHLM-UHFFFAOYSA-N 1 2 306.337 1.131 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)/C=C(\C)CC)C2)CC1 ZINC001273374896 849735404 /nfs/dbraw/zinc/73/54/04/849735404.db2.gz OKAMPNRGUFSUMN-ZQHZRZASSA-N 1 2 303.450 1.585 20 30 DDEDLO C=CCCC(=O)N1CCOC2(C[NH+](C[C@@H](OCC)C3CC3)C2)C1 ZINC001327315091 862055781 /nfs/dbraw/zinc/05/57/81/862055781.db2.gz LILQIUJDZBNMAS-MRXNPFEDSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3cccnc3F)C2)OCC1=O ZINC001273399579 849917066 /nfs/dbraw/zinc/91/70/66/849917066.db2.gz KXRNCLJPACHJHM-MRXNPFEDSA-N 1 2 305.353 1.210 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3cccnc3F)C2)OCC1=O ZINC001273399579 849917073 /nfs/dbraw/zinc/91/70/73/849917073.db2.gz KXRNCLJPACHJHM-MRXNPFEDSA-N 1 2 305.353 1.210 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)C[C@H]1CCOC1)O2 ZINC001327362642 862102145 /nfs/dbraw/zinc/10/21/45/862102145.db2.gz BCGMMEJJEVOGDS-HUUCEWRRSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC=CCC1)CO2 ZINC001327371533 862113962 /nfs/dbraw/zinc/11/39/62/862113962.db2.gz GWTIUIBOZREPCT-HOTGVXAUSA-N 1 2 320.433 1.505 20 30 DDEDLO Cc1ccnc(C[N@@H+](C)CCCN(C)C(=O)C#CC2CC2)n1 ZINC001273508278 851042989 /nfs/dbraw/zinc/04/29/89/851042989.db2.gz YHDLXIRQIXXHSH-UHFFFAOYSA-N 1 2 300.406 1.479 20 30 DDEDLO Cc1ccnc(C[N@H+](C)CCCN(C)C(=O)C#CC2CC2)n1 ZINC001273508278 851043000 /nfs/dbraw/zinc/04/30/00/851043000.db2.gz YHDLXIRQIXXHSH-UHFFFAOYSA-N 1 2 300.406 1.479 20 30 DDEDLO C#CCCC[N@H+]1C[C@]2(F)CN(CCCOC)C(=O)[C@]2(F)C1 ZINC001273541602 851077072 /nfs/dbraw/zinc/07/70/72/851077072.db2.gz GAIGDPOFMDIENL-LSDHHAIUSA-N 1 2 300.349 1.011 20 30 DDEDLO C#CCCC[N@@H+]1C[C@]2(F)CN(CCCOC)C(=O)[C@]2(F)C1 ZINC001273541602 851077081 /nfs/dbraw/zinc/07/70/81/851077081.db2.gz GAIGDPOFMDIENL-LSDHHAIUSA-N 1 2 300.349 1.011 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)CCC[N@H+](C)Cc2cnon2)c1 ZINC001273560667 851093660 /nfs/dbraw/zinc/09/36/60/851093660.db2.gz PJXVYYKSYLKXBS-UHFFFAOYSA-N 1 2 313.361 1.040 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)CCC[N@@H+](C)Cc2cnon2)c1 ZINC001273560667 851093667 /nfs/dbraw/zinc/09/36/67/851093667.db2.gz PJXVYYKSYLKXBS-UHFFFAOYSA-N 1 2 313.361 1.040 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)Cc1ccco1)CO2 ZINC001273722160 851273288 /nfs/dbraw/zinc/27/32/88/851273288.db2.gz AUIOXMSWVAHPAY-HUUCEWRRSA-N 1 2 318.417 1.994 20 30 DDEDLO C#CCNC(=O)[C@@H]1CC12CC[NH+](CC(=O)c1cccs1)CC2 ZINC001273778042 851331507 /nfs/dbraw/zinc/33/15/07/851331507.db2.gz HMYWNHVOYOKKHR-ZDUSSCGKSA-N 1 2 316.426 1.782 20 30 DDEDLO Cc1nc(C[NH2+][C@@]2(CO)CCCN(C(=O)C#CC(C)C)C2)co1 ZINC001273875238 851444900 /nfs/dbraw/zinc/44/49/00/851444900.db2.gz DVOHWHDUPXODOH-KRWDZBQOSA-N 1 2 319.405 1.086 20 30 DDEDLO N#CCCCC[NH+]1CC2(C1)CN(CC1CCOCC1)C(=O)CO2 ZINC001274043695 851883358 /nfs/dbraw/zinc/88/33/58/851883358.db2.gz SVHVOLBTUZLTKL-UHFFFAOYSA-N 1 2 321.421 1.020 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2Cc2c(N)ccnc2F)C1=O ZINC001274117298 851945017 /nfs/dbraw/zinc/94/50/17/851945017.db2.gz JJYCWRBAHAMZSA-MRXNPFEDSA-N 1 2 304.369 1.556 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2Cc2c(N)ccnc2F)C1=O ZINC001274117298 851945027 /nfs/dbraw/zinc/94/50/27/851945027.db2.gz JJYCWRBAHAMZSA-MRXNPFEDSA-N 1 2 304.369 1.556 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001274237629 852079214 /nfs/dbraw/zinc/07/92/14/852079214.db2.gz BGFGOQLDDGLLNB-OCCSQVGLSA-N 1 2 304.394 1.508 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cc(C)nc(C)c1)C2 ZINC001274260896 852099335 /nfs/dbraw/zinc/09/93/35/852099335.db2.gz SLXVLKBUNZVTOZ-UHFFFAOYSA-N 1 2 301.390 1.298 20 30 DDEDLO C=CCOC(=O)N1CC[C@@]2(CCN(Cc3c[nH+]cn3C)C2=O)C1 ZINC001274347880 852177096 /nfs/dbraw/zinc/17/70/96/852177096.db2.gz XHPUGDRMEUCLMF-INIZCTEOSA-N 1 2 318.377 1.167 20 30 DDEDLO N#CCCCN1CC[C@]2(CCC[N@@H+]2Cc2cc(C#N)ccn2)C1=O ZINC001274579102 852412962 /nfs/dbraw/zinc/41/29/62/852412962.db2.gz FFCMQSYIUSBPIA-GOSISDBHSA-N 1 2 323.400 1.824 20 30 DDEDLO N#CCCCN1CC[C@]2(CCC[N@H+]2Cc2cc(C#N)ccn2)C1=O ZINC001274579102 852412970 /nfs/dbraw/zinc/41/29/70/852412970.db2.gz FFCMQSYIUSBPIA-GOSISDBHSA-N 1 2 323.400 1.824 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@H](OC)C(C)C)cc2C1 ZINC001327696723 862396360 /nfs/dbraw/zinc/39/63/60/862396360.db2.gz VSOOKLJAIAGPPS-QGZVFWFLSA-N 1 2 300.402 1.923 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@H](OC)C(C)C)cc2C1 ZINC001327696723 862396375 /nfs/dbraw/zinc/39/63/75/862396375.db2.gz VSOOKLJAIAGPPS-QGZVFWFLSA-N 1 2 300.402 1.923 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)C[N@H+](C)Cc1nc(C)c(C)o1 ZINC001275551662 853322853 /nfs/dbraw/zinc/32/28/53/853322853.db2.gz JBTMUJDCUHTTDJ-GFCCVEGCSA-N 1 2 307.394 1.268 20 30 DDEDLO C#CCOCCC(=O)N[C@H](C)C[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001275551662 853322858 /nfs/dbraw/zinc/32/28/58/853322858.db2.gz JBTMUJDCUHTTDJ-GFCCVEGCSA-N 1 2 307.394 1.268 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)C[N@@H+](C)Cc1cnnn1CC ZINC001275568348 853367451 /nfs/dbraw/zinc/36/74/51/853367451.db2.gz ZNXMYTYKXAJKNO-ZDUSSCGKSA-N 1 2 307.442 1.837 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)C[N@H+](C)Cc1cnnn1CC ZINC001275568348 853367454 /nfs/dbraw/zinc/36/74/54/853367454.db2.gz ZNXMYTYKXAJKNO-ZDUSSCGKSA-N 1 2 307.442 1.837 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cn(C)c(=O)c3ccccc23)CC1 ZINC001150934857 862538590 /nfs/dbraw/zinc/53/85/90/862538590.db2.gz SCHSRMUJFPSLKD-UHFFFAOYSA-N 1 2 311.385 1.482 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)CCc2csc(C)n2)C1 ZINC001276088266 854734709 /nfs/dbraw/zinc/73/47/09/854734709.db2.gz JCMGWBNGNSFSOR-UHFFFAOYSA-N 1 2 323.462 1.513 20 30 DDEDLO C#Cc1cccc(CN2C[C@H]3CC[C@@H](C2)[N@H+]3CC(=O)N(C)C)c1 ZINC001276097790 854758209 /nfs/dbraw/zinc/75/82/09/854758209.db2.gz SCBOIJFRUJCPNJ-HDICACEKSA-N 1 2 311.429 1.405 20 30 DDEDLO C#Cc1cccc(CN2C[C@H]3CC[C@@H](C2)[N@@H+]3CC(=O)N(C)C)c1 ZINC001276097790 854758215 /nfs/dbraw/zinc/75/82/15/854758215.db2.gz SCBOIJFRUJCPNJ-HDICACEKSA-N 1 2 311.429 1.405 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2c(C)cc(C)cc2C)C1 ZINC001276100777 854764210 /nfs/dbraw/zinc/76/42/10/854764210.db2.gz HXEFHFDEEMSBLQ-UHFFFAOYSA-N 1 2 314.429 1.802 20 30 DDEDLO CN(CCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)c1ccc(C#N)nc1 ZINC001095772540 855338153 /nfs/dbraw/zinc/33/81/53/855338153.db2.gz YVTFYURYOWIJSW-HUUCEWRRSA-N 1 2 324.388 1.423 20 30 DDEDLO CN(CCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)c1ccc(C#N)nc1 ZINC001095772540 855338159 /nfs/dbraw/zinc/33/81/59/855338159.db2.gz YVTFYURYOWIJSW-HUUCEWRRSA-N 1 2 324.388 1.423 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001072551535 857435226 /nfs/dbraw/zinc/43/52/26/857435226.db2.gz GUXLXTCVKJRPNO-NWDGAFQWSA-N 1 2 317.393 1.387 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001072551535 857435230 /nfs/dbraw/zinc/43/52/30/857435230.db2.gz GUXLXTCVKJRPNO-NWDGAFQWSA-N 1 2 317.393 1.387 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@H+](Cc1coc(C3CC3)n1)C2 ZINC001072552004 857435649 /nfs/dbraw/zinc/43/56/49/857435649.db2.gz LAFADRJKTHXLAJ-LBPRGKRZSA-N 1 2 314.389 1.746 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](Cc1coc(C3CC3)n1)C2 ZINC001072552004 857435656 /nfs/dbraw/zinc/43/56/56/857435656.db2.gz LAFADRJKTHXLAJ-LBPRGKRZSA-N 1 2 314.389 1.746 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001072944755 857919285 /nfs/dbraw/zinc/91/92/85/857919285.db2.gz KOHFPYYWMFJJAX-MRXNPFEDSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001072944755 857919294 /nfs/dbraw/zinc/91/92/94/857919294.db2.gz KOHFPYYWMFJJAX-MRXNPFEDSA-N 1 2 317.437 1.452 20 30 DDEDLO C#CC[N@H+]1CCC2(CN(C(=O)c3ccc(-c4nnc[nH]4)cc3)C2)C1 ZINC001073103353 858102489 /nfs/dbraw/zinc/10/24/89/858102489.db2.gz BHKZMEVOFPACMO-UHFFFAOYSA-N 1 2 321.384 1.253 20 30 DDEDLO C#CC[N@@H+]1CCC2(CN(C(=O)c3ccc(-c4nnc[nH]4)cc3)C2)C1 ZINC001073103353 858102494 /nfs/dbraw/zinc/10/24/94/858102494.db2.gz BHKZMEVOFPACMO-UHFFFAOYSA-N 1 2 321.384 1.253 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cnsn2)C1 ZINC001073541420 858425673 /nfs/dbraw/zinc/42/56/73/858425673.db2.gz OLVCYWOMPDKZAU-JTQLQIEISA-N 1 2 316.814 1.111 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cnsn2)C1 ZINC001073541420 858425682 /nfs/dbraw/zinc/42/56/82/858425682.db2.gz OLVCYWOMPDKZAU-JTQLQIEISA-N 1 2 316.814 1.111 20 30 DDEDLO C=C(C)CN(CC)c1nnc(C[N@@H+]2CCC[C@@H](O)C2)n1CC ZINC001121766622 858591139 /nfs/dbraw/zinc/59/11/39/858591139.db2.gz TUXZVYIIPJGNRN-CQSZACIVSA-N 1 2 307.442 1.657 20 30 DDEDLO C=C(C)CN(CC)c1nnc(C[N@H+]2CCC[C@@H](O)C2)n1CC ZINC001121766622 858591144 /nfs/dbraw/zinc/59/11/44/858591144.db2.gz TUXZVYIIPJGNRN-CQSZACIVSA-N 1 2 307.442 1.657 20 30 DDEDLO C=C(C)[C@H](CC(=O)NC[C@@H](CO)Nc1cc[nH+]c(C)n1)OCC ZINC001122126182 858692073 /nfs/dbraw/zinc/69/20/73/858692073.db2.gz GZHJDDCCDCARKC-KBPBESRZSA-N 1 2 322.409 1.045 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224385348 881362808 /nfs/dbraw/zinc/36/28/08/881362808.db2.gz CBIPIPWDOFZGPL-KRWDZBQOSA-N 1 2 319.453 1.746 20 30 DDEDLO COC(=O)[C@@H]1COCCC12C[NH+](Cc1ccc(C#N)c(F)c1)C2 ZINC001139529412 860426786 /nfs/dbraw/zinc/42/67/86/860426786.db2.gz RFZFZGWBXVUJCU-AWEZNQCLSA-N 1 2 318.348 1.709 20 30 DDEDLO C=CCn1cc(C[N@@H+]2CCC(O)=C(C(=O)OCC)CC2)cn1 ZINC001139770340 860476338 /nfs/dbraw/zinc/47/63/38/860476338.db2.gz MXJOFQTUYIGZDN-AWEZNQCLSA-N 1 2 305.378 1.413 20 30 DDEDLO C=CCn1cc(C[N@H+]2CCC(O)=C(C(=O)OCC)CC2)cn1 ZINC001139770340 860476334 /nfs/dbraw/zinc/47/63/34/860476334.db2.gz MXJOFQTUYIGZDN-AWEZNQCLSA-N 1 2 305.378 1.413 20 30 DDEDLO C=CCOC[C@H]1c2c(ncn2C)CC[N@H+]1Cc1ccncc1O ZINC001140277282 860609045 /nfs/dbraw/zinc/60/90/45/860609045.db2.gz ZQZNLVWLBYIVBM-HNNXBMFYSA-N 1 2 314.389 1.823 20 30 DDEDLO C=CCOC[C@H]1c2c(ncn2C)CC[N@@H+]1Cc1ccncc1O ZINC001140277282 860609048 /nfs/dbraw/zinc/60/90/48/860609048.db2.gz ZQZNLVWLBYIVBM-HNNXBMFYSA-N 1 2 314.389 1.823 20 30 DDEDLO C=CCNC(=O)c1ccc(NC(=O)CCc2[nH+]ccn2C)cc1 ZINC001141980164 860988288 /nfs/dbraw/zinc/98/82/88/860988288.db2.gz LMEFMPGZEKLLIT-UHFFFAOYSA-N 1 2 312.373 1.907 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1nccn1C ZINC001328719098 863169355 /nfs/dbraw/zinc/16/93/55/863169355.db2.gz FNBCECXMVMIWTB-OAHLLOKOSA-N 1 2 302.422 1.502 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1nccn1C ZINC001328719098 863169361 /nfs/dbraw/zinc/16/93/61/863169361.db2.gz FNBCECXMVMIWTB-OAHLLOKOSA-N 1 2 302.422 1.502 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001153160600 863738780 /nfs/dbraw/zinc/73/87/80/863738780.db2.gz RPQHFDCUMULLSH-RYUDHWBXSA-N 1 2 324.425 1.725 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2ccc(CCC)cc2)C1 ZINC001329693996 863795806 /nfs/dbraw/zinc/79/58/06/863795806.db2.gz WEMMCABVXDBFNR-UHFFFAOYSA-N 1 2 314.429 1.829 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)s1 ZINC001153302870 863812202 /nfs/dbraw/zinc/81/22/02/863812202.db2.gz VHKMIHOPRHDECX-CYBMUJFWSA-N 1 2 323.462 1.818 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)s1 ZINC001153302870 863812208 /nfs/dbraw/zinc/81/22/08/863812208.db2.gz VHKMIHOPRHDECX-CYBMUJFWSA-N 1 2 323.462 1.818 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)c(C)o1 ZINC001153327207 863829165 /nfs/dbraw/zinc/82/91/65/863829165.db2.gz MLJKVMWYFYKTNG-IUODEOHRSA-N 1 2 321.421 1.656 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)c(C)o1 ZINC001153327207 863829170 /nfs/dbraw/zinc/82/91/70/863829170.db2.gz MLJKVMWYFYKTNG-IUODEOHRSA-N 1 2 321.421 1.656 20 30 DDEDLO COCCOC[N@@H+]1CCC2(CN(c3cc(C#N)ccc3F)C2)C1 ZINC001277022318 881715108 /nfs/dbraw/zinc/71/51/08/881715108.db2.gz CEWQPRDDCFEUAU-UHFFFAOYSA-N 1 2 319.380 1.830 20 30 DDEDLO COCCOC[N@H+]1CCC2(CN(c3cc(C#N)ccc3F)C2)C1 ZINC001277022318 881715119 /nfs/dbraw/zinc/71/51/19/881715119.db2.gz CEWQPRDDCFEUAU-UHFFFAOYSA-N 1 2 319.380 1.830 20 30 DDEDLO N#Cc1cnnc(N2CC[NH+](Cc3cccc([N+](=O)[O-])c3)CC2)c1 ZINC001329994735 864003500 /nfs/dbraw/zinc/00/35/00/864003500.db2.gz LNYDEHLYDUSADQ-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H](C)CN(C)C(=O)C#CC2CC2)n1 ZINC001331438149 865084324 /nfs/dbraw/zinc/08/43/24/865084324.db2.gz FSTLBUWQCCJFHG-LBPRGKRZSA-N 1 2 304.394 1.372 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H](C)[NH2+]Cc2cnsn2)cc1 ZINC001331521141 865132489 /nfs/dbraw/zinc/13/24/89/865132489.db2.gz YRFIAMVZQJUCLO-LBPRGKRZSA-N 1 2 314.414 1.770 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@H+](Cc2cscn2)CC1 ZINC001159577002 865408435 /nfs/dbraw/zinc/40/84/35/865408435.db2.gz ZKVXKMBMJMFEEP-UHFFFAOYSA-N 1 2 321.446 1.984 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@@H+](Cc2cscn2)CC1 ZINC001159577002 865408436 /nfs/dbraw/zinc/40/84/36/865408436.db2.gz ZKVXKMBMJMFEEP-UHFFFAOYSA-N 1 2 321.446 1.984 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)o1 ZINC001159593751 865419138 /nfs/dbraw/zinc/41/91/38/865419138.db2.gz JQFUNOPBSPBPON-NEPJUHHUSA-N 1 2 317.393 1.737 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)o1 ZINC001159593751 865419145 /nfs/dbraw/zinc/41/91/45/865419145.db2.gz JQFUNOPBSPBPON-NEPJUHHUSA-N 1 2 317.393 1.737 20 30 DDEDLO C=CCCC(=O)N1C[C@H]([NH2+]Cc2nnc(C3CC3)o2)C[C@H]1C ZINC001331956535 865458595 /nfs/dbraw/zinc/45/85/95/865458595.db2.gz FJSVEUBCYFATFR-DGCLKSJQSA-N 1 2 304.394 1.992 20 30 DDEDLO CCc1cc(C(=O)N(CC[N@H+](C)CC#CCOC)C(C)C)n[nH]1 ZINC001332687772 866066997 /nfs/dbraw/zinc/06/69/97/866066997.db2.gz DGLNEWJDIITQOK-UHFFFAOYSA-N 1 2 320.437 1.404 20 30 DDEDLO CCc1cc(C(=O)N(CC[N@@H+](C)CC#CCOC)C(C)C)n[nH]1 ZINC001332687772 866067015 /nfs/dbraw/zinc/06/70/15/866067015.db2.gz DGLNEWJDIITQOK-UHFFFAOYSA-N 1 2 320.437 1.404 20 30 DDEDLO COc1ccnc(N[C@H]2CCC[C@@H]2[NH+]2CCOCC2)c1C#N ZINC001160725975 866078279 /nfs/dbraw/zinc/07/82/79/866078279.db2.gz WPSNUSNRZSWQCD-KBPBESRZSA-N 1 2 302.378 1.627 20 30 DDEDLO N#CCN1CC=C(CCNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001161189356 866432889 /nfs/dbraw/zinc/43/28/89/866432889.db2.gz KUFCVOFFPLQCPI-AWEZNQCLSA-N 1 2 313.405 1.492 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCC(C)C ZINC001323286294 866501286 /nfs/dbraw/zinc/50/12/86/866501286.db2.gz DTTUMWLNHTWJKX-CVEARBPZSA-N 1 2 321.465 1.531 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCC(C)C ZINC001323286294 866501293 /nfs/dbraw/zinc/50/12/93/866501293.db2.gz DTTUMWLNHTWJKX-CVEARBPZSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1nc2c(s1)C[C@@H](C)CC2 ZINC001320136908 866505832 /nfs/dbraw/zinc/50/58/32/866505832.db2.gz MJKJUCLGUYGONE-NSHDSACASA-N 1 2 314.476 1.958 20 30 DDEDLO CCn1ncc(C(=O)N2CCC([N@H+](CC#N)CC3CC3)CC2)n1 ZINC001323720020 866793481 /nfs/dbraw/zinc/79/34/81/866793481.db2.gz JFXRABLOSJLRJT-UHFFFAOYSA-N 1 2 316.409 1.138 20 30 DDEDLO CCn1ncc(C(=O)N2CCC([N@@H+](CC#N)CC3CC3)CC2)n1 ZINC001323720020 866793492 /nfs/dbraw/zinc/79/34/92/866793492.db2.gz JFXRABLOSJLRJT-UHFFFAOYSA-N 1 2 316.409 1.138 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2CC(NC(=O)Cn3cc[nH+]c3)C2)C1 ZINC001333727817 866967084 /nfs/dbraw/zinc/96/70/84/866967084.db2.gz GVADVEAHCGSVKD-UHFFFAOYSA-N 1 2 316.405 1.250 20 30 DDEDLO CC#CCCCC(=O)N(C)C1C[NH+](CCOC[C@@H]2CCCO2)C1 ZINC001323969665 866984843 /nfs/dbraw/zinc/98/48/43/866984843.db2.gz GMWGMZSVCDOEDP-KRWDZBQOSA-N 1 2 322.449 1.518 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001333899949 867133831 /nfs/dbraw/zinc/13/38/31/867133831.db2.gz OZZHYDUMNGXSQS-OLZOCXBDSA-N 1 2 304.394 1.155 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@]1(C)CC[N@@H+](Cc2nccc(C)n2)C1 ZINC001324604790 867396666 /nfs/dbraw/zinc/39/66/66/867396666.db2.gz BRXJFEVIPGBKCA-SJORKVTESA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@]1(C)CC[N@H+](Cc2nccc(C)n2)C1 ZINC001324604790 867396683 /nfs/dbraw/zinc/39/66/83/867396683.db2.gz BRXJFEVIPGBKCA-SJORKVTESA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@@H](COC)NC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC001322433663 868167618 /nfs/dbraw/zinc/16/76/18/868167618.db2.gz FIXWXWVUVZODDJ-JTQLQIEISA-N 1 2 309.316 1.940 20 30 DDEDLO N#CCC1CN(C(=O)[C@]23C[C@H]2CC[N@H+]3Cc2ccnc(F)c2)C1 ZINC001277096754 882186032 /nfs/dbraw/zinc/18/60/32/882186032.db2.gz KGYMZWVXRWHRGX-PBHICJAKSA-N 1 2 314.364 1.557 20 30 DDEDLO N#CCC1CN(C(=O)[C@]23C[C@H]2CC[N@@H+]3Cc2ccnc(F)c2)C1 ZINC001277096754 882186037 /nfs/dbraw/zinc/18/60/37/882186037.db2.gz KGYMZWVXRWHRGX-PBHICJAKSA-N 1 2 314.364 1.557 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2ccc(C#C[Si](C)(C)C)cn2)C[NH2+]1 ZINC001164301849 869103614 /nfs/dbraw/zinc/10/36/14/869103614.db2.gz JEKBJZOFVMVOME-KBPBESRZSA-N 1 2 317.465 1.626 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001337438947 869487728 /nfs/dbraw/zinc/48/77/28/869487728.db2.gz VYDTUFFUJHXYDW-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1C(=O)C#CC(C)(C)C ZINC001337938756 869701343 /nfs/dbraw/zinc/70/13/43/869701343.db2.gz NIJJFGSACUUTPM-KGLIPLIRSA-N 1 2 316.405 1.038 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@@H]1C ZINC001337981049 869727296 /nfs/dbraw/zinc/72/72/96/869727296.db2.gz AQIMXNCPKBMOQM-ZIAGYGMSSA-N 1 2 304.394 1.345 20 30 DDEDLO C=C[C@@H](COC)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001338164755 869844132 /nfs/dbraw/zinc/84/41/32/869844132.db2.gz YCNMNEPZGHSFQM-HOTGVXAUSA-N 1 2 303.406 1.753 20 30 DDEDLO C=C[C@@H](COC)NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001338164755 869844142 /nfs/dbraw/zinc/84/41/42/869844142.db2.gz YCNMNEPZGHSFQM-HOTGVXAUSA-N 1 2 303.406 1.753 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@H]2C[C@H](O)C[N@@H+]2C)n1C ZINC001338585516 870056244 /nfs/dbraw/zinc/05/62/44/870056244.db2.gz ZPTPSVJFCUDUDS-HZSPNIEDSA-N 1 2 305.426 1.488 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@H]2C[C@H](O)C[N@H+]2C)n1C ZINC001338585516 870056255 /nfs/dbraw/zinc/05/62/55/870056255.db2.gz ZPTPSVJFCUDUDS-HZSPNIEDSA-N 1 2 305.426 1.488 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](CC)NC(=O)Cc1[nH]cc[nH+]1 ZINC001297472549 870064833 /nfs/dbraw/zinc/06/48/33/870064833.db2.gz YWJKKOXTDVODQE-CYBMUJFWSA-N 1 2 304.394 1.157 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCCC1(C[NH2+]Cc2nnn(C)n2)CC1 ZINC001166693651 870159716 /nfs/dbraw/zinc/15/97/16/870159716.db2.gz IJGXABDFSPNFLM-STQMWFEESA-N 1 2 320.441 1.044 20 30 DDEDLO N#CC[C@@H]1CCCN(c2cc(N3CCC[C@H]3C(N)=O)nc[nH+]2)C1 ZINC001166742065 870211569 /nfs/dbraw/zinc/21/15/69/870211569.db2.gz YOWCBTZDETUDOH-STQMWFEESA-N 1 2 314.393 1.061 20 30 DDEDLO N#CC[C@@H]1CCCN(c2cc(N3CCC[C@H]3C(N)=O)[nH+]cn2)C1 ZINC001166742065 870211584 /nfs/dbraw/zinc/21/15/84/870211584.db2.gz YOWCBTZDETUDOH-STQMWFEESA-N 1 2 314.393 1.061 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1CCC[N@H+](Cc2ncnn2C)C1 ZINC001317135242 870333541 /nfs/dbraw/zinc/33/35/41/870333541.db2.gz IIYUXIQUAFDWPG-LSDHHAIUSA-N 1 2 319.453 1.746 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1CCC[N@@H+](Cc2ncnn2C)C1 ZINC001317135242 870333560 /nfs/dbraw/zinc/33/35/60/870333560.db2.gz IIYUXIQUAFDWPG-LSDHHAIUSA-N 1 2 319.453 1.746 20 30 DDEDLO CCC(CC)C(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001317165437 870395595 /nfs/dbraw/zinc/39/55/95/870395595.db2.gz JNGYEWOLBTYSQF-AWEZNQCLSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC(CC)C(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001317165437 870395614 /nfs/dbraw/zinc/39/56/14/870395614.db2.gz JNGYEWOLBTYSQF-AWEZNQCLSA-N 1 2 308.426 1.033 20 30 DDEDLO Cn1cc[nH+]c1Cc1nnc(N2Cc3ccc(C#N)cc3C2)n1C ZINC001339537383 870545537 /nfs/dbraw/zinc/54/55/37/870545537.db2.gz PBJRHWCAYMWGNE-UHFFFAOYSA-N 1 2 319.372 1.531 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)[NH2+]Cc1nnc(OCC)s1 ZINC001317257890 870547063 /nfs/dbraw/zinc/54/70/63/870547063.db2.gz QYSNJFQDFJLYLK-SNVBAGLBSA-N 1 2 312.439 1.743 20 30 DDEDLO Cc1cc(C(=O)N2C[C@H]([NH+]3CCOCC3)C[C@H]2C)ncc1C#N ZINC001361814393 882423526 /nfs/dbraw/zinc/42/35/26/882423526.db2.gz IWKIAIBZTDHGJJ-UKRRQHHQSA-N 1 2 314.389 1.197 20 30 DDEDLO C[C@H](CNC(=O)C#CC(C)(C)C)NC(=O)CCc1c[nH+]cn1C ZINC001298674800 870667836 /nfs/dbraw/zinc/66/78/36/870667836.db2.gz DEBCOTJZWWZRBE-CYBMUJFWSA-N 1 2 318.421 1.023 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001298866463 870758450 /nfs/dbraw/zinc/75/84/50/870758450.db2.gz CQQQJSQWOPLNDT-BLLLJJGKSA-N 1 2 306.410 1.186 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@H+]1[C@H](C)c1nncn1C ZINC001317499988 870926512 /nfs/dbraw/zinc/92/65/12/870926512.db2.gz SZVJQYFXZFFYPN-ZIAGYGMSSA-N 1 2 303.410 1.260 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@@H+]1[C@H](C)c1nncn1C ZINC001317499988 870926519 /nfs/dbraw/zinc/92/65/19/870926519.db2.gz SZVJQYFXZFFYPN-ZIAGYGMSSA-N 1 2 303.410 1.260 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3C[C@@H](C2)N3C[C@@H]2CCCCO2)cc1C#N ZINC001276443940 870958689 /nfs/dbraw/zinc/95/86/89/870958689.db2.gz PNJWQULEKDLIBT-KSZLIROESA-N 1 2 314.433 1.724 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3C[C@@H](C2)N3C[C@@H]2CCCCO2)cc1C#N ZINC001276443940 870958700 /nfs/dbraw/zinc/95/87/00/870958700.db2.gz PNJWQULEKDLIBT-KSZLIROESA-N 1 2 314.433 1.724 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)CC[C@@H]1C ZINC001340257766 870989309 /nfs/dbraw/zinc/98/93/09/870989309.db2.gz ALWDYOSPCHGQMK-QPSCCSFWSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@H](C)CC[C@@H]1C ZINC001340257766 870989314 /nfs/dbraw/zinc/98/93/14/870989314.db2.gz ALWDYOSPCHGQMK-QPSCCSFWSA-N 1 2 319.453 1.826 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@H](CNC(=O)C#CC(C)C)C2)n1 ZINC001317524056 870989393 /nfs/dbraw/zinc/98/93/93/870989393.db2.gz XRGHYRMNRRGWMP-CYBMUJFWSA-N 1 2 304.394 1.230 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@H](CNC(=O)C#CC(C)C)C2)n1 ZINC001317524056 870989404 /nfs/dbraw/zinc/98/94/04/870989404.db2.gz XRGHYRMNRRGWMP-CYBMUJFWSA-N 1 2 304.394 1.230 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N1CCCOCC1 ZINC001340263161 870994725 /nfs/dbraw/zinc/99/47/25/870994725.db2.gz CBSNUXABBARCKQ-AWEZNQCLSA-N 1 2 305.426 1.848 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1CCCOCC1 ZINC001340263161 870994733 /nfs/dbraw/zinc/99/47/33/870994733.db2.gz CBSNUXABBARCKQ-AWEZNQCLSA-N 1 2 305.426 1.848 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@@H+]([C@H](C)c1ncc(C)o1)C1CC1 ZINC001317549886 871045024 /nfs/dbraw/zinc/04/50/24/871045024.db2.gz KSSZGYFQHVYTBV-DYVFJYSZSA-N 1 2 321.421 1.952 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@H+]([C@H](C)c1ncc(C)o1)C1CC1 ZINC001317549886 871045043 /nfs/dbraw/zinc/04/50/43/871045043.db2.gz KSSZGYFQHVYTBV-DYVFJYSZSA-N 1 2 321.421 1.952 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](CCNC(=O)c2ccc3oc(=O)nc-3[nH]2)C1 ZINC001317807768 871534563 /nfs/dbraw/zinc/53/45/63/871534563.db2.gz BHXZBWUTQVGXRU-LLVKDONJSA-N 1 2 314.345 1.003 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](CCNC(=O)c2ccc3oc(=O)nc-3[nH]2)C1 ZINC001317807768 871534573 /nfs/dbraw/zinc/53/45/73/871534573.db2.gz BHXZBWUTQVGXRU-LLVKDONJSA-N 1 2 314.345 1.003 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[N@@H+](CC)Cc1ncc(C)s1 ZINC001317478680 871575356 /nfs/dbraw/zinc/57/53/56/871575356.db2.gz SRBZATKXXSUASK-ZDUSSCGKSA-N 1 2 309.435 1.428 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[N@H+](CC)Cc1ncc(C)s1 ZINC001317478680 871575368 /nfs/dbraw/zinc/57/53/68/871575368.db2.gz SRBZATKXXSUASK-ZDUSSCGKSA-N 1 2 309.435 1.428 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H](CC)CC(C)C)C1 ZINC001317949863 871648246 /nfs/dbraw/zinc/64/82/46/871648246.db2.gz OKOAOTJMDYGNPS-HZPDHXFCSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](CC)CC(C)C)C1 ZINC001317949863 871648254 /nfs/dbraw/zinc/64/82/54/871648254.db2.gz OKOAOTJMDYGNPS-HZPDHXFCSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCCCC(=O)NC1C[NH+](CCOc2ccccc2C)C1 ZINC001318017200 871690559 /nfs/dbraw/zinc/69/05/59/871690559.db2.gz CJLAKAFUYLHWPL-UHFFFAOYSA-N 1 2 300.402 1.978 20 30 DDEDLO C=CCCC[NH+]1CC(NC(=O)C(C)(C)NC(=O)C(F)(F)F)C1 ZINC001318088685 871738040 /nfs/dbraw/zinc/73/80/40/871738040.db2.gz BVFRNMQRFIQGCH-UHFFFAOYSA-N 1 2 321.343 1.210 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)NCCc2cn(C)c[nH+]2)n1 ZINC001312832395 871764130 /nfs/dbraw/zinc/76/41/30/871764130.db2.gz WADLAWULAJZFAH-UHFFFAOYSA-N 1 2 314.393 1.024 20 30 DDEDLO C=CCCCC(=O)NCC1C[NH+](CC(=O)N2CCC[C@H](C)C2)C1 ZINC001318148314 871778497 /nfs/dbraw/zinc/77/84/97/871778497.db2.gz FYXQUSULOFKWQM-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001318329389 871932593 /nfs/dbraw/zinc/93/25/93/871932593.db2.gz JYDAPVJLZRGHDT-LSDHHAIUSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001318329389 871932620 /nfs/dbraw/zinc/93/26/20/871932620.db2.gz JYDAPVJLZRGHDT-LSDHHAIUSA-N 1 2 307.438 1.141 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CC[N@H+](Cc2nc(C)sc2C)C1 ZINC001318388199 871983771 /nfs/dbraw/zinc/98/37/71/871983771.db2.gz XLEXRBBWKIFXDG-CQSZACIVSA-N 1 2 323.462 1.995 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2nc(C)sc2C)C1 ZINC001318388199 871983781 /nfs/dbraw/zinc/98/37/81/871983781.db2.gz XLEXRBBWKIFXDG-CQSZACIVSA-N 1 2 323.462 1.995 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CC[C@H](N(C)C(=O)C#CC(C)C)C1 ZINC001318404127 872007853 /nfs/dbraw/zinc/00/78/53/872007853.db2.gz XZICNBGCDZTFKZ-INIZCTEOSA-N 1 2 321.465 1.483 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CC[C@H](N(C)C(=O)C#CC(C)C)C1 ZINC001318404127 872007872 /nfs/dbraw/zinc/00/78/72/872007872.db2.gz XZICNBGCDZTFKZ-INIZCTEOSA-N 1 2 321.465 1.483 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2csc(C)n2)[C@@H]1C ZINC001316777995 872026233 /nfs/dbraw/zinc/02/62/33/872026233.db2.gz BVHCAEHAKPPDDQ-RISCZKNCSA-N 1 2 309.435 1.733 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2csc(C)n2)[C@@H]1C ZINC001316777995 872026255 /nfs/dbraw/zinc/02/62/55/872026255.db2.gz BVHCAEHAKPPDDQ-RISCZKNCSA-N 1 2 309.435 1.733 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)c1c[nH]nc1C)C2 ZINC001316806568 872076928 /nfs/dbraw/zinc/07/69/28/872076928.db2.gz WQDHXDKCNGNRTQ-UHFFFAOYSA-N 1 2 315.402 1.096 20 30 DDEDLO CC[N@H+](CCCNC(=O)[C@@H](C)C#N)Cc1nc(C(F)F)no1 ZINC001316886713 872220037 /nfs/dbraw/zinc/22/00/37/872220037.db2.gz IJHBMPMDPXYYNU-VIFPVBQESA-N 1 2 315.324 1.495 20 30 DDEDLO CC[N@@H+](CCCNC(=O)[C@@H](C)C#N)Cc1nc(C(F)F)no1 ZINC001316886713 872220056 /nfs/dbraw/zinc/22/00/56/872220056.db2.gz IJHBMPMDPXYYNU-VIFPVBQESA-N 1 2 315.324 1.495 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001206485315 872369985 /nfs/dbraw/zinc/36/99/85/872369985.db2.gz HXBCCJKVWXHQNR-IJEWVQPXSA-N 1 2 320.437 1.338 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001206485315 872370002 /nfs/dbraw/zinc/37/00/02/872370002.db2.gz HXBCCJKVWXHQNR-IJEWVQPXSA-N 1 2 320.437 1.338 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2ncc(C)o2)C[C@H]1C ZINC001206614613 872477762 /nfs/dbraw/zinc/47/77/62/872477762.db2.gz XMAGGFQFHPQROP-KWCYVHTRSA-N 1 2 307.394 1.683 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2ncc(C)o2)C[C@H]1C ZINC001206614613 872477765 /nfs/dbraw/zinc/47/77/65/872477765.db2.gz XMAGGFQFHPQROP-KWCYVHTRSA-N 1 2 307.394 1.683 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H](C)C[NH2+]Cc1cnsn1 ZINC001319533783 872678024 /nfs/dbraw/zinc/67/80/24/872678024.db2.gz KPNVHRSDAVURMX-UHTWSYAYSA-N 1 2 310.423 1.066 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001206959834 872849024 /nfs/dbraw/zinc/84/90/24/872849024.db2.gz HAEYOFYBKBJTTH-TZMCWYRMSA-N 1 2 321.446 1.428 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001206959834 872849036 /nfs/dbraw/zinc/84/90/36/872849036.db2.gz HAEYOFYBKBJTTH-TZMCWYRMSA-N 1 2 321.446 1.428 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]1CN(C)C(=O)CCn1cc[nH+]c1 ZINC001344173171 872908498 /nfs/dbraw/zinc/90/84/98/872908498.db2.gz NAOWLFVTGHPUPY-OAHLLOKOSA-N 1 2 318.421 1.689 20 30 DDEDLO CCOC[C@@H]1C[N@H+](Cc2cc(C#N)n(C)c2)Cc2c1cnn2C ZINC001207104878 873020649 /nfs/dbraw/zinc/02/06/49/873020649.db2.gz ZSLJNLVCCGAJMK-AWEZNQCLSA-N 1 2 313.405 1.766 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](Cc2cc(C#N)n(C)c2)Cc2c1cnn2C ZINC001207104878 873020666 /nfs/dbraw/zinc/02/06/66/873020666.db2.gz ZSLJNLVCCGAJMK-AWEZNQCLSA-N 1 2 313.405 1.766 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@H]1CCOC1 ZINC001345412169 873396570 /nfs/dbraw/zinc/39/65/70/873396570.db2.gz JMTKQRYZMIQWFV-KGLIPLIRSA-N 1 2 303.410 1.151 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@H]1CCOC1 ZINC001345412169 873396584 /nfs/dbraw/zinc/39/65/84/873396584.db2.gz JMTKQRYZMIQWFV-KGLIPLIRSA-N 1 2 303.410 1.151 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001207943513 873675447 /nfs/dbraw/zinc/67/54/47/873675447.db2.gz ADBLSWHZUILLKA-GXTWGEPZSA-N 1 2 304.394 1.823 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@@H]2CCCN(C(=O)C#CC(C)C)C2)n1 ZINC001207992033 873719856 /nfs/dbraw/zinc/71/98/56/873719856.db2.gz CZLUCMIGAALKKO-TZMCWYRMSA-N 1 2 304.394 1.679 20 30 DDEDLO C=CCNC(=O)N1CCC[C@@]2(C1)C[N@H+](Cc1ccc[nH]1)CCO2 ZINC001277173765 882772191 /nfs/dbraw/zinc/77/21/91/882772191.db2.gz IPLAPABXHGNNFZ-KRWDZBQOSA-N 1 2 318.421 1.577 20 30 DDEDLO C=CCNC(=O)N1CCC[C@@]2(C1)C[N@@H+](Cc1ccc[nH]1)CCO2 ZINC001277173765 882772203 /nfs/dbraw/zinc/77/22/03/882772203.db2.gz IPLAPABXHGNNFZ-KRWDZBQOSA-N 1 2 318.421 1.577 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1CC(C)(C)C(=O)CC ZINC001208917957 874524288 /nfs/dbraw/zinc/52/42/88/874524288.db2.gz JZLBNEUTZVLITF-AWEZNQCLSA-N 1 2 320.437 1.922 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1CC(C)(C)C(=O)CC ZINC001208917957 874524289 /nfs/dbraw/zinc/52/42/89/874524289.db2.gz JZLBNEUTZVLITF-AWEZNQCLSA-N 1 2 320.437 1.922 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1C[N@H+](CC(=O)NCC#N)CC1(C)C ZINC001276602053 874545197 /nfs/dbraw/zinc/54/51/97/874545197.db2.gz WJXFSCJTIQYTTD-KBPBESRZSA-N 1 2 320.437 1.055 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1C[N@@H+](CC(=O)NCC#N)CC1(C)C ZINC001276602053 874545202 /nfs/dbraw/zinc/54/52/02/874545202.db2.gz WJXFSCJTIQYTTD-KBPBESRZSA-N 1 2 320.437 1.055 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001209334588 874819399 /nfs/dbraw/zinc/81/93/99/874819399.db2.gz FRGWFIQYXKNGNE-ZDUSSCGKSA-N 1 2 321.425 1.573 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001209360510 874843508 /nfs/dbraw/zinc/84/35/08/874843508.db2.gz BOLCFWOEWKTESB-ZWNOBZJWSA-N 1 2 315.845 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001209360510 874843515 /nfs/dbraw/zinc/84/35/15/874843515.db2.gz BOLCFWOEWKTESB-ZWNOBZJWSA-N 1 2 315.845 1.338 20 30 DDEDLO C=C1CC(C)(C(=O)NCCNC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001349001078 874916413 /nfs/dbraw/zinc/91/64/13/874916413.db2.gz IRCIVACDVNRZSB-CYBMUJFWSA-N 1 2 304.394 1.423 20 30 DDEDLO CCCC1(C(=O)N[C@@H]2C[N@H+](CC#CCOC)C[C@H]2OC)CCC1 ZINC001213307582 875887741 /nfs/dbraw/zinc/88/77/41/875887741.db2.gz FWWRYOCKKCGBRF-HZPDHXFCSA-N 1 2 322.449 1.422 20 30 DDEDLO CCCC1(C(=O)N[C@@H]2C[N@@H+](CC#CCOC)C[C@H]2OC)CCC1 ZINC001213307582 875887750 /nfs/dbraw/zinc/88/77/50/875887750.db2.gz FWWRYOCKKCGBRF-HZPDHXFCSA-N 1 2 322.449 1.422 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2csnn2)C1 ZINC001214283337 876257376 /nfs/dbraw/zinc/25/73/76/876257376.db2.gz WTRYTBIRIRWTAS-YRGRVCCFSA-N 1 2 324.450 1.456 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001214301577 876270288 /nfs/dbraw/zinc/27/02/88/876270288.db2.gz FHDZBUIPPZMMHF-VXGBXAGGSA-N 1 2 307.398 1.038 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)CN(C)C(=O)c2ccc(C#N)[nH]2)o1 ZINC001379090290 876365338 /nfs/dbraw/zinc/36/53/38/876365338.db2.gz CMHPTQCEPUKXAY-VHSXEESVSA-N 1 2 316.365 1.389 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@@H]1CCC[C@H]1NC(=O)c1[nH]ncc1F ZINC001379181732 876578937 /nfs/dbraw/zinc/57/89/37/876578937.db2.gz VJFOZRACUCNFSW-GXSJLCMTSA-N 1 2 300.765 1.789 20 30 DDEDLO C#CCNC(=O)CCN[C@H](C[NH+](C)C)c1ccc(Cl)cc1 ZINC001353298287 877237606 /nfs/dbraw/zinc/23/76/06/877237606.db2.gz SRORGIBFFYXPIY-OAHLLOKOSA-N 1 2 307.825 1.672 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC001353378570 877287821 /nfs/dbraw/zinc/28/78/21/877287821.db2.gz HCRXBTWTIZQVQS-KBPBESRZSA-N 1 2 316.405 1.129 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(CC)n1C[C@@H](C)[NH+]1CCOCC1 ZINC001300312188 877366610 /nfs/dbraw/zinc/36/66/10/877366610.db2.gz XZGUEQKEPDIHIV-UONOGXRCSA-N 1 2 305.426 1.019 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@H]([C@H](C)[NH2+]Cc2nc(C)no2)C1 ZINC001276786796 877492561 /nfs/dbraw/zinc/49/25/61/877492561.db2.gz GLJBHXFGTRVQCQ-JSGCOSHPSA-N 1 2 322.409 1.440 20 30 DDEDLO CC#CCCCC(=O)N1CCO[C@@H]2C[N@H+](C/C=C/Cl)C[C@@H]21 ZINC001218504516 877512181 /nfs/dbraw/zinc/51/21/81/877512181.db2.gz XXLXVWALILIPQV-VKGGURKZSA-N 1 2 310.825 1.844 20 30 DDEDLO CC#CCCCC(=O)N1CCO[C@@H]2C[N@@H+](C/C=C/Cl)C[C@@H]21 ZINC001218504516 877512187 /nfs/dbraw/zinc/51/21/87/877512187.db2.gz XXLXVWALILIPQV-VKGGURKZSA-N 1 2 310.825 1.844 20 30 DDEDLO N#CCSCC(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(F)cc1 ZINC001276793247 877677669 /nfs/dbraw/zinc/67/76/69/877677669.db2.gz QBTYRSWPROPCNX-AWEZNQCLSA-N 1 2 307.394 1.773 20 30 DDEDLO N#CCSCC(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(F)cc1 ZINC001276793247 877677687 /nfs/dbraw/zinc/67/76/87/877677687.db2.gz QBTYRSWPROPCNX-AWEZNQCLSA-N 1 2 307.394 1.773 20 30 DDEDLO CC(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219170241 877976237 /nfs/dbraw/zinc/97/62/37/877976237.db2.gz UOMDWURQWZHKPN-CABCVRRESA-N 1 2 321.446 1.717 20 30 DDEDLO CC(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219170241 877976260 /nfs/dbraw/zinc/97/62/60/877976260.db2.gz UOMDWURQWZHKPN-CABCVRRESA-N 1 2 321.446 1.717 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCCC(C)(C)C)[C@@H](O)C1 ZINC001219363840 878152631 /nfs/dbraw/zinc/15/26/31/878152631.db2.gz MFRFMQLLWABUIQ-CABCVRRESA-N 1 2 310.438 1.014 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCCC(C)(C)C)[C@@H](O)C1 ZINC001219363840 878152636 /nfs/dbraw/zinc/15/26/36/878152636.db2.gz MFRFMQLLWABUIQ-CABCVRRESA-N 1 2 310.438 1.014 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219435045 878213940 /nfs/dbraw/zinc/21/39/40/878213940.db2.gz UUUYYBJMODQKDZ-AULQHDNLSA-N 1 2 305.403 1.247 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219435045 878213955 /nfs/dbraw/zinc/21/39/55/878213955.db2.gz UUUYYBJMODQKDZ-AULQHDNLSA-N 1 2 305.403 1.247 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001355631486 878652647 /nfs/dbraw/zinc/65/26/47/878652647.db2.gz HGUIYHBNENTNGY-ZDUSSCGKSA-N 1 2 320.437 1.765 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001355631486 878652655 /nfs/dbraw/zinc/65/26/55/878652655.db2.gz HGUIYHBNENTNGY-ZDUSSCGKSA-N 1 2 320.437 1.765 20 30 DDEDLO C=C(C)Cn1c(N(C)CC(C)C)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001355947209 878790222 /nfs/dbraw/zinc/79/02/22/878790222.db2.gz RGNXFDFIGZILIH-RHSMWYFYSA-N 1 2 321.469 1.858 20 30 DDEDLO C=C(C)Cn1c(N(C)CC(C)C)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001355947209 878790235 /nfs/dbraw/zinc/79/02/35/878790235.db2.gz RGNXFDFIGZILIH-RHSMWYFYSA-N 1 2 321.469 1.858 20 30 DDEDLO CO[C@@H](C[NH+]1CC(N(C)C(=O)[C@H](C)C#N)C1)c1ccccc1 ZINC001380220986 879084107 /nfs/dbraw/zinc/08/41/07/879084107.db2.gz KTTGSLIRPIJNDY-CJNGLKHVSA-N 1 2 301.390 1.676 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2[nH+]ccn2C)[C@H]1C ZINC001287858004 912508799 /nfs/dbraw/zinc/50/87/99/912508799.db2.gz GXDRGOUSRWZRQC-KGLIPLIRSA-N 1 2 318.421 1.425 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@@H](C)N(C(=O)CCn2cc[nH+]c2)C1 ZINC001287944316 912613534 /nfs/dbraw/zinc/61/35/34/912613534.db2.gz UHFQILOTIBGQBR-CABCVRRESA-N 1 2 316.405 1.182 20 30 DDEDLO C[C@H]1CCN(C(=O)CNc2ccc(C#N)cn2)C[C@H]1n1cc[nH+]c1 ZINC001362275068 883459776 /nfs/dbraw/zinc/45/97/76/883459776.db2.gz YQSALRAZHKRRBD-DZGCQCFKSA-N 1 2 324.388 1.671 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H]1CCNC1=O ZINC001277425543 884197161 /nfs/dbraw/zinc/19/71/61/884197161.db2.gz GOXGAHJEQAAKOS-XHSDSOJGSA-N 1 2 317.433 1.134 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H]1CCNC1=O ZINC001277425543 884197169 /nfs/dbraw/zinc/19/71/69/884197169.db2.gz GOXGAHJEQAAKOS-XHSDSOJGSA-N 1 2 317.433 1.134 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2ccn(CC)n2)CC1 ZINC001230415718 884482792 /nfs/dbraw/zinc/48/27/92/884482792.db2.gz XJJYYDQJUZGVEQ-CQSZACIVSA-N 1 2 320.437 1.575 20 30 DDEDLO COCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230539200 884556171 /nfs/dbraw/zinc/55/61/71/884556171.db2.gz SKBCOJBNSLOCSK-AWEZNQCLSA-N 1 2 305.353 1.376 20 30 DDEDLO COCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230539200 884556187 /nfs/dbraw/zinc/55/61/87/884556187.db2.gz SKBCOJBNSLOCSK-AWEZNQCLSA-N 1 2 305.353 1.376 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H]2CN(C)C(=O)CSCC#N)s1 ZINC001230816206 884915133 /nfs/dbraw/zinc/91/51/33/884915133.db2.gz YDNWNJARFNMIDB-GFCCVEGCSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)CSCC#N)s1 ZINC001230816206 884915148 /nfs/dbraw/zinc/91/51/48/884915148.db2.gz YDNWNJARFNMIDB-GFCCVEGCSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230816211 884916756 /nfs/dbraw/zinc/91/67/56/884916756.db2.gz YELFODDNBWUVMT-ZDUSSCGKSA-N 1 2 322.434 1.581 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230816211 884916777 /nfs/dbraw/zinc/91/67/77/884916777.db2.gz YELFODDNBWUVMT-ZDUSSCGKSA-N 1 2 322.434 1.581 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)OCC(C)C ZINC001230977585 885102722 /nfs/dbraw/zinc/10/27/22/885102722.db2.gz QFVUPRJOFRJCID-CVEARBPZSA-N 1 2 310.438 1.230 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)OCC(C)C ZINC001230977585 885102731 /nfs/dbraw/zinc/10/27/31/885102731.db2.gz QFVUPRJOFRJCID-CVEARBPZSA-N 1 2 310.438 1.230 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccncc1F ZINC001231350728 885537437 /nfs/dbraw/zinc/53/74/37/885537437.db2.gz UVPYPFBIWUPYDU-CYBMUJFWSA-N 1 2 305.353 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccncc1F ZINC001231350728 885537451 /nfs/dbraw/zinc/53/74/51/885537451.db2.gz UVPYPFBIWUPYDU-CYBMUJFWSA-N 1 2 305.353 1.017 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C1C[NH+](CCc2ccccc2F)C1 ZINC001277585401 885938089 /nfs/dbraw/zinc/93/80/89/885938089.db2.gz CCJIFTKUIYXDKY-GOSISDBHSA-N 1 2 320.408 1.838 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)Cc2cccc(OC)c2)C1 ZINC001277691909 886500317 /nfs/dbraw/zinc/50/03/17/886500317.db2.gz XTXWRSADJMYGNZ-UHFFFAOYSA-N 1 2 318.417 1.583 20 30 DDEDLO COC[C@H]1C[N@H+](Cc2cc(C#N)ccc2O)Cc2cn(C)nc21 ZINC001232678186 886529795 /nfs/dbraw/zinc/52/97/95/886529795.db2.gz XZKZRGOFBSNRSZ-OAHLLOKOSA-N 1 2 312.373 1.743 20 30 DDEDLO COC[C@H]1C[N@@H+](Cc2cc(C#N)ccc2O)Cc2cn(C)nc21 ZINC001232678186 886529805 /nfs/dbraw/zinc/52/98/05/886529805.db2.gz XZKZRGOFBSNRSZ-OAHLLOKOSA-N 1 2 312.373 1.743 20 30 DDEDLO Cc1ncsc1C[NH+]1CC2(CN(c3ncc(C#N)cn3)C2)C1 ZINC001277736579 886681381 /nfs/dbraw/zinc/68/13/81/886681381.db2.gz KIJCTNNOVIMBKR-UHFFFAOYSA-N 1 2 312.402 1.435 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC1CCC1 ZINC001233548658 887098066 /nfs/dbraw/zinc/09/80/66/887098066.db2.gz HBRBQIYVZAPUHD-GOEBONIOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCC1CCC1 ZINC001233548658 887098085 /nfs/dbraw/zinc/09/80/85/887098085.db2.gz HBRBQIYVZAPUHD-GOEBONIOSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C=C(CC)CC ZINC001233610567 887148988 /nfs/dbraw/zinc/14/89/88/887148988.db2.gz JVBSUIIWCILBOS-GDBMZVCRSA-N 1 2 321.465 1.956 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C=C(CC)CC ZINC001233610567 887148995 /nfs/dbraw/zinc/14/89/95/887148995.db2.gz JVBSUIIWCILBOS-GDBMZVCRSA-N 1 2 321.465 1.956 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001233760346 887287563 /nfs/dbraw/zinc/28/75/63/887287563.db2.gz BCQVRGLTHURNGK-OAHLLOKOSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC(C)(C)C ZINC001233760346 887287579 /nfs/dbraw/zinc/28/75/79/887287579.db2.gz BCQVRGLTHURNGK-OAHLLOKOSA-N 1 2 321.465 1.627 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccns1 ZINC001233976682 887515751 /nfs/dbraw/zinc/51/57/51/887515751.db2.gz HSWOFVCKCPARDH-DOMZBBRYSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccns1 ZINC001233976682 887515758 /nfs/dbraw/zinc/51/57/58/887515758.db2.gz HSWOFVCKCPARDH-DOMZBBRYSA-N 1 2 309.435 1.503 20 30 DDEDLO CC(C)Oc1c(F)cncc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001234299608 887836881 /nfs/dbraw/zinc/83/68/81/887836881.db2.gz ZMOYPDIUAADRPN-SHFISYCGSA-N 1 2 308.353 1.999 20 30 DDEDLO CC(C)Oc1c(F)cncc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001234299608 887836899 /nfs/dbraw/zinc/83/68/99/887836899.db2.gz ZMOYPDIUAADRPN-SHFISYCGSA-N 1 2 308.353 1.999 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)C2(C)CC2)C1=O ZINC001234453856 887983874 /nfs/dbraw/zinc/98/38/74/887983874.db2.gz DLAIZVQRPFSTNL-KBPBESRZSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C2(C)CC2)C1=O ZINC001234453856 887983888 /nfs/dbraw/zinc/98/38/88/887983888.db2.gz DLAIZVQRPFSTNL-KBPBESRZSA-N 1 2 305.422 1.106 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234528551 888061831 /nfs/dbraw/zinc/06/18/31/888061831.db2.gz UFMLVMAEJRCJRO-AWEZNQCLSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234528551 888061845 /nfs/dbraw/zinc/06/18/45/888061845.db2.gz UFMLVMAEJRCJRO-AWEZNQCLSA-N 1 2 307.438 1.095 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC(=C)C ZINC001234624303 888149432 /nfs/dbraw/zinc/14/94/32/888149432.db2.gz ASZURLJNCSCXAY-LSDHHAIUSA-N 1 2 307.438 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCC(=C)C ZINC001234624303 888149441 /nfs/dbraw/zinc/14/94/41/888149441.db2.gz ASZURLJNCSCXAY-LSDHHAIUSA-N 1 2 307.438 1.566 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@](C)(OC)c1ccccc1F ZINC001235105045 888410175 /nfs/dbraw/zinc/41/01/75/888410175.db2.gz HMYAXEJAOJREAY-KBXCAEBGSA-N 1 2 318.392 1.853 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@](C)(OC)c1ccccc1F ZINC001235105045 888410181 /nfs/dbraw/zinc/41/01/81/888410181.db2.gz HMYAXEJAOJREAY-KBXCAEBGSA-N 1 2 318.392 1.853 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C1(CC(C)C)CC1 ZINC001235190986 888460355 /nfs/dbraw/zinc/46/03/55/888460355.db2.gz DRNBCNUBQHBIDQ-MRXNPFEDSA-N 1 2 306.450 1.995 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C1(CC(C)C)CC1 ZINC001235190986 888460363 /nfs/dbraw/zinc/46/03/63/888460363.db2.gz DRNBCNUBQHBIDQ-MRXNPFEDSA-N 1 2 306.450 1.995 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc(C2CC2)o1 ZINC001235469509 888663303 /nfs/dbraw/zinc/66/33/03/888663303.db2.gz FXHUIWJNGAQKNL-AWEZNQCLSA-N 1 2 317.389 1.348 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc(C2CC2)o1 ZINC001235469509 888663308 /nfs/dbraw/zinc/66/33/08/888663308.db2.gz FXHUIWJNGAQKNL-AWEZNQCLSA-N 1 2 317.389 1.348 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cnc(Br)c(C)c2)CC1 ZINC001235827577 888975070 /nfs/dbraw/zinc/97/50/70/888975070.db2.gz NSCIXTCSYSZADO-UHFFFAOYSA-N 1 2 308.223 1.903 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)Cc1[nH]cc[nH+]1 ZINC001291817415 913582806 /nfs/dbraw/zinc/58/28/06/913582806.db2.gz YMXZBCYZHXISCW-AGIUHOORSA-N 1 2 302.378 1.167 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@]1(C)CC[N@H+](Cc2nc(C)cs2)C1 ZINC001278389110 891922035 /nfs/dbraw/zinc/92/20/35/891922035.db2.gz PVDWXRWTVDBHTB-CJNGLKHVSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@]1(C)CC[N@@H+](Cc2nc(C)cs2)C1 ZINC001278389110 891922048 /nfs/dbraw/zinc/92/20/48/891922048.db2.gz PVDWXRWTVDBHTB-CJNGLKHVSA-N 1 2 321.446 1.570 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)Cc1[nH]cc[nH+]1 ZINC001292059851 913611278 /nfs/dbraw/zinc/61/12/78/913611278.db2.gz YMXZBCYZHXISCW-UPJWGTAASA-N 1 2 302.378 1.167 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365972046 892086723 /nfs/dbraw/zinc/08/67/23/892086723.db2.gz LYQKIQWCFNJBDX-BXUZGUMPSA-N 1 2 305.353 1.423 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365972046 892086739 /nfs/dbraw/zinc/08/67/39/892086739.db2.gz LYQKIQWCFNJBDX-BXUZGUMPSA-N 1 2 305.353 1.423 20 30 DDEDLO C=CCOC[C@H](O)CNc1ccc(N2CCC(O)CC2)[nH+]c1 ZINC001252453174 895159670 /nfs/dbraw/zinc/15/96/70/895159670.db2.gz CDXLSJAAVYPBSN-OAHLLOKOSA-N 1 2 307.394 1.018 20 30 DDEDLO C=CCOC[C@H](O)CN1CC[NH+](CCOc2ccccc2)CC1 ZINC001252466762 895178618 /nfs/dbraw/zinc/17/86/18/895178618.db2.gz RZWWEAYLOKIXTA-QGZVFWFLSA-N 1 2 320.433 1.247 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)CC2OCCCO2)C1 ZINC001367063758 895721811 /nfs/dbraw/zinc/72/18/11/895721811.db2.gz AFGORSAYGHANAV-ZDUSSCGKSA-N 1 2 316.829 1.815 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)CC2OCCCO2)C1 ZINC001367063758 895721827 /nfs/dbraw/zinc/72/18/27/895721827.db2.gz AFGORSAYGHANAV-ZDUSSCGKSA-N 1 2 316.829 1.815 20 30 DDEDLO N#Cc1cccc(O[C@H]2CCC[N@@H+]([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC001254340747 896376192 /nfs/dbraw/zinc/37/61/92/896376192.db2.gz HEPMNAMEWXGOMP-HOCLYGCPSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1cccc(O[C@H]2CCC[N@H+]([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC001254340747 896376207 /nfs/dbraw/zinc/37/62/07/896376207.db2.gz HEPMNAMEWXGOMP-HOCLYGCPSA-N 1 2 320.414 1.589 20 30 DDEDLO C=CCCC(=O)N[C@]1(C)CCN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001299516950 898258705 /nfs/dbraw/zinc/25/87/05/898258705.db2.gz ZOURWUZRGSIFHQ-WMLDXEAASA-N 1 2 318.421 1.908 20 30 DDEDLO CC[N@H+](CCNC(=O)c1cn[nH]c1)Cc1cc(F)ccc1C#N ZINC001390880169 900428233 /nfs/dbraw/zinc/42/82/33/900428233.db2.gz BWTJUQOPWDTHAP-UHFFFAOYSA-N 1 2 315.352 1.672 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1cn[nH]c1)Cc1cc(F)ccc1C#N ZINC001390880169 900428235 /nfs/dbraw/zinc/42/82/35/900428235.db2.gz BWTJUQOPWDTHAP-UHFFFAOYSA-N 1 2 315.352 1.672 20 30 DDEDLO C#CCN(CC#C)c1nnc(C[NH+]2CCCCC2)n1CC1CC1 ZINC001263669563 900665987 /nfs/dbraw/zinc/66/59/87/900665987.db2.gz SRQQVTGISIDWMY-UHFFFAOYSA-N 1 2 311.433 1.747 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cocc1C ZINC001263808145 900722320 /nfs/dbraw/zinc/72/23/20/900722320.db2.gz WYKDWNABOQTICX-UONOGXRCSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1cocc1C ZINC001263808145 900722332 /nfs/dbraw/zinc/72/23/32/900722332.db2.gz WYKDWNABOQTICX-UONOGXRCSA-N 1 2 319.405 1.425 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)o2)[C@@H]1C ZINC001264138350 900971600 /nfs/dbraw/zinc/97/16/00/900971600.db2.gz UUWUAEYSMKHUFB-DVOMOZLQSA-N 1 2 307.394 1.389 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)o2)[C@@H]1C ZINC001264138350 900971613 /nfs/dbraw/zinc/97/16/13/900971613.db2.gz UUWUAEYSMKHUFB-DVOMOZLQSA-N 1 2 307.394 1.389 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[N@H+](CC(N)=O)[C@@H]2C)CCCCC1 ZINC001264735042 901319132 /nfs/dbraw/zinc/31/91/32/901319132.db2.gz NHDKKAVGHNAHDD-KGLIPLIRSA-N 1 2 305.422 1.025 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[N@@H+](CC(N)=O)[C@@H]2C)CCCCC1 ZINC001264735042 901319142 /nfs/dbraw/zinc/31/91/42/901319142.db2.gz NHDKKAVGHNAHDD-KGLIPLIRSA-N 1 2 305.422 1.025 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@H+](C)Cc1nccn1C(F)F ZINC001264983423 901390379 /nfs/dbraw/zinc/39/03/79/901390379.db2.gz AWAVRJNBDZVBHF-UHFFFAOYSA-N 1 2 312.364 1.876 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@@H+](C)Cc1nccn1C(F)F ZINC001264983423 901390387 /nfs/dbraw/zinc/39/03/87/901390387.db2.gz AWAVRJNBDZVBHF-UHFFFAOYSA-N 1 2 312.364 1.876 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001265214861 901724802 /nfs/dbraw/zinc/72/48/02/901724802.db2.gz QKUYBQFNQVFXPO-INIZCTEOSA-N 1 2 321.465 1.982 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001265214861 901724812 /nfs/dbraw/zinc/72/48/12/901724812.db2.gz QKUYBQFNQVFXPO-INIZCTEOSA-N 1 2 321.465 1.982 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)[C@H](C)C(CC)CC)CC1 ZINC001265260193 901784663 /nfs/dbraw/zinc/78/46/63/901784663.db2.gz ZRQJWWQDTZKKQH-MRXNPFEDSA-N 1 2 307.482 1.816 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@H]1CC1(F)F ZINC001265839888 902473901 /nfs/dbraw/zinc/47/39/01/902473901.db2.gz DOVMKGMOYXERFC-SECBINFHSA-N 1 2 311.170 1.988 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@H]1CC1(F)F ZINC001265839888 902473912 /nfs/dbraw/zinc/47/39/12/902473912.db2.gz DOVMKGMOYXERFC-SECBINFHSA-N 1 2 311.170 1.988 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[N@H+]([C@H](C)c1nncn1C)C1CC1 ZINC001266125453 902986785 /nfs/dbraw/zinc/98/67/85/902986785.db2.gz BFXCUAFGIICJJE-VXGBXAGGSA-N 1 2 304.398 1.006 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[N@@H+]([C@H](C)c1nncn1C)C1CC1 ZINC001266125453 902986793 /nfs/dbraw/zinc/98/67/93/902986793.db2.gz BFXCUAFGIICJJE-VXGBXAGGSA-N 1 2 304.398 1.006 20 30 DDEDLO CN(CCOCC[NH2+]Cc1csnn1)C(=O)C#CC(C)(C)C ZINC001279409002 903252500 /nfs/dbraw/zinc/25/25/00/903252500.db2.gz PAKAZAFHARIJAK-UHFFFAOYSA-N 1 2 324.450 1.152 20 30 DDEDLO C=CCCC(=O)NCC1=CC[N@H+](Cc2cnnn2CC)CC1 ZINC001279510655 903292453 /nfs/dbraw/zinc/29/24/53/903292453.db2.gz CIIKGORURRJARZ-UHFFFAOYSA-N 1 2 303.410 1.513 20 30 DDEDLO C=CCCC(=O)NCC1=CC[N@@H+](Cc2cnnn2CC)CC1 ZINC001279510655 903292460 /nfs/dbraw/zinc/29/24/60/903292460.db2.gz CIIKGORURRJARZ-UHFFFAOYSA-N 1 2 303.410 1.513 20 30 DDEDLO CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001280403552 903641056 /nfs/dbraw/zinc/64/10/56/903641056.db2.gz XSUQLOYMUMLRDJ-INIZCTEOSA-N 1 2 318.421 1.897 20 30 DDEDLO CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001280403552 903641066 /nfs/dbraw/zinc/64/10/66/903641066.db2.gz XSUQLOYMUMLRDJ-INIZCTEOSA-N 1 2 318.421 1.897 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](CNC(=O)CCc2cn[nH]n2)C1 ZINC001370775176 903721970 /nfs/dbraw/zinc/72/19/70/903721970.db2.gz LCGTZDHUCWFLDD-GFCCVEGCSA-N 1 2 311.817 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](CNC(=O)CCc2cn[nH]n2)C1 ZINC001370775176 903721976 /nfs/dbraw/zinc/72/19/76/903721976.db2.gz LCGTZDHUCWFLDD-GFCCVEGCSA-N 1 2 311.817 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](CNC(=O)CCc2c[nH]nn2)C1 ZINC001370775176 903721986 /nfs/dbraw/zinc/72/19/86/903721986.db2.gz LCGTZDHUCWFLDD-GFCCVEGCSA-N 1 2 311.817 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](CNC(=O)CCc2c[nH]nn2)C1 ZINC001370775176 903721993 /nfs/dbraw/zinc/72/19/93/903721993.db2.gz LCGTZDHUCWFLDD-GFCCVEGCSA-N 1 2 311.817 1.318 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](C)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001280675034 903908004 /nfs/dbraw/zinc/90/80/04/903908004.db2.gz WQRYNLYIFRKHQY-DYVFJYSZSA-N 1 2 318.421 1.449 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C[C@H](C)CC)C2)CC1 ZINC001280681206 903916022 /nfs/dbraw/zinc/91/60/22/903916022.db2.gz ZNCVHBUBLONFTH-IAGOWNOFSA-N 1 2 305.466 1.664 20 30 DDEDLO CCc1nc(C)c(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#CCOC)o1 ZINC001316606157 904067699 /nfs/dbraw/zinc/06/76/99/904067699.db2.gz JSXLYXXGJPKLAG-CQSZACIVSA-N 1 2 319.405 1.342 20 30 DDEDLO CCc1nc(C)c(C(=O)N(C)C[C@H]2CC[N@H+]2CC#CCOC)o1 ZINC001316606157 904067715 /nfs/dbraw/zinc/06/77/15/904067715.db2.gz JSXLYXXGJPKLAG-CQSZACIVSA-N 1 2 319.405 1.342 20 30 DDEDLO CC(C)c1noc(C[NH2+]C[C@H](C)NC(=O)c2ccc(C#N)[nH]2)n1 ZINC001392554717 904451596 /nfs/dbraw/zinc/45/15/96/904451596.db2.gz LBHIJCWEHFQFIG-JTQLQIEISA-N 1 2 316.365 1.301 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001281173233 904525011 /nfs/dbraw/zinc/52/50/11/904525011.db2.gz UCZMXAMUBLJPEY-UONOGXRCSA-N 1 2 318.421 1.591 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)C[N@H+](C)Cc1nc2c(o1)CCCC2 ZINC001392647156 904728008 /nfs/dbraw/zinc/72/80/08/904728008.db2.gz YKMQPGLSEHICKO-RYUDHWBXSA-N 1 2 304.394 1.650 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)C[N@@H+](C)Cc1nc2c(o1)CCCC2 ZINC001392647156 904728015 /nfs/dbraw/zinc/72/80/15/904728015.db2.gz YKMQPGLSEHICKO-RYUDHWBXSA-N 1 2 304.394 1.650 20 30 DDEDLO C=CCCC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCS1(=O)=O ZINC001316610250 904736337 /nfs/dbraw/zinc/73/63/37/904736337.db2.gz QVNYSZZQZJYMDS-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCS1(=O)=O ZINC001316610250 904736352 /nfs/dbraw/zinc/73/63/52/904736352.db2.gz QVNYSZZQZJYMDS-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)CC[C@@H]1C ZINC001281419349 904818969 /nfs/dbraw/zinc/81/89/69/904818969.db2.gz XUNVKMJDHFDFLC-JSGCOSHPSA-N 1 2 318.421 1.723 20 30 DDEDLO C[C@H](CC(=O)N[C@H]1CCN(CC#N)CC1(C)C)n1cc[nH+]c1 ZINC001281572412 905047122 /nfs/dbraw/zinc/04/71/22/905047122.db2.gz RJCRTQCGKNBUHP-KGLIPLIRSA-N 1 2 303.410 1.574 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)[C@H](C)[NH2+]Cc1nc(C2CCC2)no1 ZINC001282128316 905565551 /nfs/dbraw/zinc/56/55/51/905565551.db2.gz IVQBWGGHDJOPLW-NWDGAFQWSA-N 1 2 316.405 1.733 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC1(CNCC#N)CCCCC1 ZINC001282624295 905907738 /nfs/dbraw/zinc/90/77/38/905907738.db2.gz IDYSJTVPBQHXQI-ZIAGYGMSSA-N 1 2 315.421 1.456 20 30 DDEDLO C=C(C)C[N@H+]1CCCCC[C@H]1CNC(=O)[C@H](C)S(C)(=O)=O ZINC001282666262 905931884 /nfs/dbraw/zinc/93/18/84/905931884.db2.gz QJXVSPFPALYOOM-KBPBESRZSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)C[N@@H+]1CCCCC[C@H]1CNC(=O)[C@H](C)S(C)(=O)=O ZINC001282666262 905931900 /nfs/dbraw/zinc/93/19/00/905931900.db2.gz QJXVSPFPALYOOM-KBPBESRZSA-N 1 2 316.467 1.356 20 30 DDEDLO Cc1nc(C[NH+]2CC([C@@H](C)NC(=O)C#CC3CC3)C2)c(C)o1 ZINC001282707171 905969631 /nfs/dbraw/zinc/96/96/31/905969631.db2.gz SCGSTNCZEZXSOP-LLVKDONJSA-N 1 2 301.390 1.641 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C/C=C(\C)C=C)C1 ZINC001282733895 905993063 /nfs/dbraw/zinc/99/30/63/905993063.db2.gz JRMVACUVHIYGPZ-WTWVRAIASA-N 1 2 305.422 1.248 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)OCCCC)C2)C1 ZINC001282774782 906026161 /nfs/dbraw/zinc/02/61/61/906026161.db2.gz KPQYCIDEEOVOFR-DOTOQJQBSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)OCCCC)C2)C1 ZINC001282774782 906026178 /nfs/dbraw/zinc/02/61/78/906026178.db2.gz KPQYCIDEEOVOFR-DOTOQJQBSA-N 1 2 310.438 1.681 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2cncs2)C(C)(C)C1 ZINC001282792754 906045049 /nfs/dbraw/zinc/04/50/49/906045049.db2.gz WKPQVYLKYNWTGG-CYBMUJFWSA-N 1 2 307.419 1.233 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2cncs2)C(C)(C)C1 ZINC001282792754 906045063 /nfs/dbraw/zinc/04/50/63/906045063.db2.gz WKPQVYLKYNWTGG-CYBMUJFWSA-N 1 2 307.419 1.233 20 30 DDEDLO COCC(=O)NC[C@H]1CCC[N@@H+]1Cc1cc(F)ccc1C#N ZINC001377390865 921204925 /nfs/dbraw/zinc/20/49/25/921204925.db2.gz RHSWRUQXBCXVFE-OAHLLOKOSA-N 1 2 305.353 1.424 20 30 DDEDLO COCC(=O)NC[C@H]1CCC[N@H+]1Cc1cc(F)ccc1C#N ZINC001377390865 921204934 /nfs/dbraw/zinc/20/49/34/921204934.db2.gz RHSWRUQXBCXVFE-OAHLLOKOSA-N 1 2 305.353 1.424 20 30 DDEDLO C=C(C)CCC(=O)N1CCC(NC(=O)CCn2cc[nH+]c2)CC1 ZINC001283426278 907342104 /nfs/dbraw/zinc/34/21/04/907342104.db2.gz KZKMQVVNTOVGBD-UHFFFAOYSA-N 1 2 318.421 1.737 20 30 DDEDLO CC(C)c1nnc(C[N@H+](C)CCCN(C)C(=O)[C@H](C)C#N)[nH]1 ZINC001393613991 907489333 /nfs/dbraw/zinc/48/93/33/907489333.db2.gz SGEPAZXROOGKRJ-GFCCVEGCSA-N 1 2 306.414 1.368 20 30 DDEDLO CC(C)c1nnc(C[N@@H+](C)CCCN(C)C(=O)[C@H](C)C#N)[nH]1 ZINC001393613991 907489344 /nfs/dbraw/zinc/48/93/44/907489344.db2.gz SGEPAZXROOGKRJ-GFCCVEGCSA-N 1 2 306.414 1.368 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)[C@H]1C ZINC001283897537 908152695 /nfs/dbraw/zinc/15/26/95/908152695.db2.gz FFANOQIWANBLRS-CHWSQXEVSA-N 1 2 322.409 1.651 20 30 DDEDLO CCCc1nc(C[NH2+]CC2CC(NC(=O)[C@H](C)C#N)C2)no1 ZINC001393891160 908175273 /nfs/dbraw/zinc/17/52/73/908175273.db2.gz HNCMLFPNHYJDCP-VOMCLLRMSA-N 1 2 305.382 1.166 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001284080835 908442242 /nfs/dbraw/zinc/44/22/42/908442242.db2.gz AFDYZLGJXBBDOR-YVECIDJPSA-N 1 2 302.378 1.243 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001284080835 908442249 /nfs/dbraw/zinc/44/22/49/908442249.db2.gz AFDYZLGJXBBDOR-YVECIDJPSA-N 1 2 302.378 1.243 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001284293650 908785642 /nfs/dbraw/zinc/78/56/42/908785642.db2.gz HHVRLBAANNWLJM-NSHDSACASA-N 1 2 306.410 1.484 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH+]cn1C)NC(=O)C#CC(C)(C)C ZINC001284303822 908798119 /nfs/dbraw/zinc/79/81/19/908798119.db2.gz PIBGNVRMEFVYPN-ZDUSSCGKSA-N 1 2 318.421 1.023 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH+]cn1C)NC(=O)C#CC(C)(C)C ZINC001284303821 908798291 /nfs/dbraw/zinc/79/82/91/908798291.db2.gz PIBGNVRMEFVYPN-CYBMUJFWSA-N 1 2 318.421 1.023 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)CCCC1 ZINC001284316607 908818077 /nfs/dbraw/zinc/81/80/77/908818077.db2.gz MSTWNVDJCFGPAS-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](O)C[N@H+](C)Cc1nocc1C ZINC001284505940 909104223 /nfs/dbraw/zinc/10/42/23/909104223.db2.gz QGKBFZQLGLIOAR-CQSZACIVSA-N 1 2 323.437 1.836 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1nocc1C ZINC001284505940 909104228 /nfs/dbraw/zinc/10/42/28/909104228.db2.gz QGKBFZQLGLIOAR-CQSZACIVSA-N 1 2 323.437 1.836 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc2ccn(C)n2)C1 ZINC001284510392 909114899 /nfs/dbraw/zinc/11/48/99/909114899.db2.gz XXLALKGDACFLBN-HNNXBMFYSA-N 1 2 320.437 1.028 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc2ccn(C)n2)C1 ZINC001284510392 909114904 /nfs/dbraw/zinc/11/49/04/909114904.db2.gz XXLALKGDACFLBN-HNNXBMFYSA-N 1 2 320.437 1.028 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001284966418 909847762 /nfs/dbraw/zinc/84/77/62/909847762.db2.gz FUPOJZHYUDLGAQ-UHFFFAOYSA-N 1 2 318.421 1.519 20 30 DDEDLO CC[C@H](OC)C(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373584612 910248239 /nfs/dbraw/zinc/24/82/39/910248239.db2.gz HFVJAMKDPCHKKK-HOCLYGCPSA-N 1 2 319.380 1.813 20 30 DDEDLO CC[C@H](OC)C(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373584612 910248257 /nfs/dbraw/zinc/24/82/57/910248257.db2.gz HFVJAMKDPCHKKK-HOCLYGCPSA-N 1 2 319.380 1.813 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001285402534 910472198 /nfs/dbraw/zinc/47/21/98/910472198.db2.gz IYEZTODQSWILHK-DZGCQCFKSA-N 1 2 316.405 1.013 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C\CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001285540370 910763878 /nfs/dbraw/zinc/76/38/78/910763878.db2.gz ACBAFPIXBXBPEG-WSNITJDQSA-N 1 2 316.405 1.036 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C\CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001285540370 910763890 /nfs/dbraw/zinc/76/38/90/910763890.db2.gz ACBAFPIXBXBPEG-WSNITJDQSA-N 1 2 316.405 1.036 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H](C)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001285693134 911048184 /nfs/dbraw/zinc/04/81/84/911048184.db2.gz NZQVEQIOWYCMET-STQMWFEESA-N 1 2 320.437 1.764 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H](C)CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001285693134 911048199 /nfs/dbraw/zinc/04/81/99/911048199.db2.gz NZQVEQIOWYCMET-STQMWFEESA-N 1 2 320.437 1.764 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001285758695 911161565 /nfs/dbraw/zinc/16/15/65/911161565.db2.gz OVXSPMTVRBWWQX-PBHICJAKSA-N 1 2 320.437 1.839 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001285841123 911270661 /nfs/dbraw/zinc/27/06/61/911270661.db2.gz AXORFKLNIQEPRO-PXAZEXFGSA-N 1 2 320.437 1.826 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]1CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001285873044 911343281 /nfs/dbraw/zinc/34/32/81/911343281.db2.gz PAYOMEPCIBCWNC-HIFRSBDPSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@](C)(NC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC001286107407 911702022 /nfs/dbraw/zinc/70/20/22/911702022.db2.gz BNGBAOJREOQFGW-KRWDZBQOSA-N 1 2 316.405 1.416 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CC3)n2C[C@H](CC)OC)CC1 ZINC001286479073 911993482 /nfs/dbraw/zinc/99/34/82/911993482.db2.gz JVHSZQAJSHQVEH-HNNXBMFYSA-N 1 2 317.437 1.336 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001286478032 911993618 /nfs/dbraw/zinc/99/36/18/911993618.db2.gz UULVFNRKUYMLOJ-IHRRRGAJSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CNC(=O)CCc1c[nH+]cn1C ZINC001295606536 915926415 /nfs/dbraw/zinc/92/64/15/915926415.db2.gz ITYCUPYBTYKQFC-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001295629173 915943950 /nfs/dbraw/zinc/94/39/50/915943950.db2.gz PVUODYOBPIDTSR-MGPQQGTHSA-N 1 2 318.421 1.501 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295748766 916036980 /nfs/dbraw/zinc/03/69/80/916036980.db2.gz FMFFVMSVMRQIOS-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(C)CCC(=O)NCCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001296104217 916236011 /nfs/dbraw/zinc/23/60/11/916236011.db2.gz GZKUIXIAYMBYNX-CHWSQXEVSA-N 1 2 304.394 1.444 20 30 DDEDLO C=C(C)CCC(=O)NCCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001296104217 916236030 /nfs/dbraw/zinc/23/60/30/916236030.db2.gz GZKUIXIAYMBYNX-CHWSQXEVSA-N 1 2 304.394 1.444 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCN(C(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001296621010 916510222 /nfs/dbraw/zinc/51/02/22/916510222.db2.gz IPSGFCUBWFNCIS-KRWDZBQOSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCOCC(=O)N1CC(NC(=O)c2c(C)cc(C)[nH+]c2C)C1 ZINC001297116226 916737179 /nfs/dbraw/zinc/73/71/79/916737179.db2.gz HZYRPEUJGVQVPT-UHFFFAOYSA-N 1 2 317.389 1.150 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)C(C)C)C1 ZINC001376219155 917999282 /nfs/dbraw/zinc/99/92/82/917999282.db2.gz SYJCQXDMGQKGFP-GJZGRUSLSA-N 1 2 322.453 1.231 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)C(C)C)C1 ZINC001376219155 917999297 /nfs/dbraw/zinc/99/92/97/917999297.db2.gz SYJCQXDMGQKGFP-GJZGRUSLSA-N 1 2 322.453 1.231 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)[C@@H](C)C(C)C)C1 ZINC001376494427 918616668 /nfs/dbraw/zinc/61/66/68/918616668.db2.gz QSDMUCGHFUOCLA-DZGCQCFKSA-N 1 2 302.846 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)[C@@H](C)C(C)C)C1 ZINC001376494427 918616676 /nfs/dbraw/zinc/61/66/76/918616676.db2.gz QSDMUCGHFUOCLA-DZGCQCFKSA-N 1 2 302.846 1.974 20 30 DDEDLO CC[C@H](OC)C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001377131371 920416591 /nfs/dbraw/zinc/41/65/91/920416591.db2.gz UIAQYNIRDIUZOL-HNNXBMFYSA-N 1 2 307.369 1.670 20 30 DDEDLO CC[C@H](OC)C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001377131371 920416605 /nfs/dbraw/zinc/41/66/05/920416605.db2.gz UIAQYNIRDIUZOL-HNNXBMFYSA-N 1 2 307.369 1.670 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)CCCC(=O)NC(C)C)C1 ZINC001378008383 923676842 /nfs/dbraw/zinc/67/68/42/923676842.db2.gz FQFXVOXTMCIMAQ-UHFFFAOYSA-N 1 2 315.845 1.482 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)c2ccc(CC#N)cc2)CCO1 ZINC000347641136 529393236 /nfs/dbraw/zinc/39/32/36/529393236.db2.gz VXQLCHNIYGNAKA-MRXNPFEDSA-N 1 2 301.390 1.593 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)c2ccc(CC#N)cc2)CCO1 ZINC000347641136 529393238 /nfs/dbraw/zinc/39/32/38/529393238.db2.gz VXQLCHNIYGNAKA-MRXNPFEDSA-N 1 2 301.390 1.593 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000278715403 214348470 /nfs/dbraw/zinc/34/84/70/214348470.db2.gz CEBOGSDUDVPWLP-DYVFJYSZSA-N 1 2 319.405 1.250 20 30 DDEDLO CC[C@]1(CO)CCCN(C([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)C1 ZINC000329722826 529418078 /nfs/dbraw/zinc/41/80/78/529418078.db2.gz FIQVJSTXCSKHAD-WBMJQRKESA-N 1 2 306.410 1.666 20 30 DDEDLO CC[C@]1(CO)CCCN(C([O-])=[NH+][C@@H]2CCc3[nH+]c[nH]c3C2)C1 ZINC000329722826 529418079 /nfs/dbraw/zinc/41/80/79/529418079.db2.gz FIQVJSTXCSKHAD-WBMJQRKESA-N 1 2 306.410 1.666 20 30 DDEDLO C[C@H]1C[C@H](O)C[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000452064493 231288313 /nfs/dbraw/zinc/28/83/13/231288313.db2.gz FMLRTTCUQOAHAV-GWCFXTLKSA-N 1 2 307.781 1.853 20 30 DDEDLO C[C@H]1C[C@H](O)C[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000452064493 231288317 /nfs/dbraw/zinc/28/83/17/231288317.db2.gz FMLRTTCUQOAHAV-GWCFXTLKSA-N 1 2 307.781 1.853 20 30 DDEDLO CCc1nc2n(n1)CCC[C@@H]2NC(=O)N1CCn2c[nH+]cc2C1 ZINC000329653605 529749528 /nfs/dbraw/zinc/74/95/28/529749528.db2.gz LJNQXIUTXVDRFE-LBPRGKRZSA-N 1 2 315.381 1.302 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc4scnc4c3)C[C@@H]21 ZINC000329944870 529791146 /nfs/dbraw/zinc/79/11/46/529791146.db2.gz WZLPEMQUMUCBOB-STQMWFEESA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc4scnc4c3)C[C@@H]21 ZINC000329944870 529791148 /nfs/dbraw/zinc/79/11/48/529791148.db2.gz WZLPEMQUMUCBOB-STQMWFEESA-N 1 2 318.402 1.857 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)N3CC[C@@](F)(C#N)C3)[nH+]c12 ZINC000615541445 362328245 /nfs/dbraw/zinc/32/82/45/362328245.db2.gz SSZWCLSZMXOOHX-MRXNPFEDSA-N 1 2 315.352 1.832 20 30 DDEDLO N#CC1(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)CCCCC1 ZINC000382664497 539505306 /nfs/dbraw/zinc/50/53/06/539505306.db2.gz ULWHFSHMJCZXHC-HNNXBMFYSA-N 1 2 305.422 1.784 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2c(C(F)(F)F)cnn2C)nn1 ZINC000623600286 365951120 /nfs/dbraw/zinc/95/11/20/365951120.db2.gz HMWBCURIWXUOGF-UHFFFAOYSA-N 1 2 314.315 1.896 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@@H](C)C(=O)NCCCOCC)n1 ZINC000279802365 215159065 /nfs/dbraw/zinc/15/90/65/215159065.db2.gz WUYOULAIKJJYAU-HNNXBMFYSA-N 1 2 320.437 1.270 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@@H](C)C(=O)NCCCOCC)n1 ZINC000279802365 215159067 /nfs/dbraw/zinc/15/90/67/215159067.db2.gz WUYOULAIKJJYAU-HNNXBMFYSA-N 1 2 320.437 1.270 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cccc(OCC#N)c1 ZINC000048509249 352541518 /nfs/dbraw/zinc/54/15/18/352541518.db2.gz IFRFVMPIJZYBHA-CYBMUJFWSA-N 1 2 318.377 1.431 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](Cc3ccc(O)cc3)CC2)c[nH]1 ZINC000601245844 358462652 /nfs/dbraw/zinc/46/26/52/358462652.db2.gz LGLQWTWGCJFBMF-UHFFFAOYSA-N 1 2 310.357 1.550 20 30 DDEDLO CCS(=O)(=O)N(C)CCC/[NH+]=C/c1cccc(O)c1O ZINC000031643579 352269524 /nfs/dbraw/zinc/26/95/24/352269524.db2.gz MKWLPUOXOQGCRH-GXDHUFHOSA-N 1 2 300.380 1.188 20 30 DDEDLO CCCNC(=O)[C@H](C)[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000029858301 352246609 /nfs/dbraw/zinc/24/66/09/352246609.db2.gz AFAGXUMBQMIXQS-ZDUSSCGKSA-N 1 2 318.396 1.734 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCCCn2cc[nH+]c2)cc1 ZINC000044353346 352384516 /nfs/dbraw/zinc/38/45/16/352384516.db2.gz FBQKMXSBWJLPGF-UHFFFAOYSA-N 1 2 304.375 1.513 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cc(F)ccc2F)CC1 ZINC000048685536 352544848 /nfs/dbraw/zinc/54/48/48/352544848.db2.gz FPIAVPJWRAQEPN-UHFFFAOYSA-N 1 2 309.360 1.385 20 30 DDEDLO Cc1n[nH]cc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000063662392 352918061 /nfs/dbraw/zinc/91/80/61/352918061.db2.gz UTOXQNZFMSIQOF-UHFFFAOYSA-N 1 2 309.373 1.548 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)NCc1ccc(OCC#N)cc1 ZINC000069315102 353156537 /nfs/dbraw/zinc/15/65/37/353156537.db2.gz JEWYSSYGQMMILM-ZDUSSCGKSA-N 1 2 313.361 1.673 20 30 DDEDLO CC1(C)C(C(=O)N(CCC#N)CC[NH+]2CCOCC2)C1(C)C ZINC000073210770 353242882 /nfs/dbraw/zinc/24/28/82/353242882.db2.gz GGTIEMUIKYGQMK-UHFFFAOYSA-N 1 2 307.438 1.743 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(C)Cc2ccccc2Cl)CC1 ZINC000081644702 353685777 /nfs/dbraw/zinc/68/57/77/353685777.db2.gz BISQTWYYYAAQNO-UHFFFAOYSA-N 1 2 319.836 1.549 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@H+](CCO)CCCO)c1C#N ZINC000091069392 353808117 /nfs/dbraw/zinc/80/81/17/353808117.db2.gz ONDYOJRZMVIWJL-UHFFFAOYSA-N 1 2 314.389 1.229 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@@H+](CCO)CCCO)c1C#N ZINC000091069392 353808120 /nfs/dbraw/zinc/80/81/20/353808120.db2.gz ONDYOJRZMVIWJL-UHFFFAOYSA-N 1 2 314.389 1.229 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCCN(CC(F)F)CC2)cc1 ZINC000091084494 353809853 /nfs/dbraw/zinc/80/98/53/353809853.db2.gz BSXIXZSKCPFGGS-HNNXBMFYSA-N 1 2 322.359 1.357 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCCN(CC(F)F)CC2)cc1 ZINC000091084494 353809855 /nfs/dbraw/zinc/80/98/55/353809855.db2.gz BSXIXZSKCPFGGS-HNNXBMFYSA-N 1 2 322.359 1.357 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)NCC(C)(C)C#N)C1 ZINC000328656167 222883145 /nfs/dbraw/zinc/88/31/45/222883145.db2.gz ZBLLXYCNSQELQM-AWEZNQCLSA-N 1 2 308.426 1.493 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)NCC(C)(C)C#N)C1 ZINC000328656167 222883147 /nfs/dbraw/zinc/88/31/47/222883147.db2.gz ZBLLXYCNSQELQM-AWEZNQCLSA-N 1 2 308.426 1.493 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+]1C[C@H](O)COc1ccc(CC#N)cc1 ZINC000116394060 353990189 /nfs/dbraw/zinc/99/01/89/353990189.db2.gz UVAKQNYMJNYNTD-HOCLYGCPSA-N 1 2 318.373 1.130 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+]1C[C@H](O)COc1ccc(CC#N)cc1 ZINC000116394060 353990193 /nfs/dbraw/zinc/99/01/93/353990193.db2.gz UVAKQNYMJNYNTD-HOCLYGCPSA-N 1 2 318.373 1.130 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@@H](O)COc1cccc2[nH]ccc21 ZINC000131527722 354100178 /nfs/dbraw/zinc/10/01/78/354100178.db2.gz WAMRBUZCRLCWEG-CQSZACIVSA-N 1 2 317.389 1.770 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@@H](O)COc1cccc2[nH]ccc21 ZINC000131527722 354100186 /nfs/dbraw/zinc/10/01/86/354100186.db2.gz WAMRBUZCRLCWEG-CQSZACIVSA-N 1 2 317.389 1.770 20 30 DDEDLO C[C@H](C#N)CNC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000182331464 354271688 /nfs/dbraw/zinc/27/16/88/354271688.db2.gz PEXREEOEERYWHO-OLZOCXBDSA-N 1 2 322.434 1.580 20 30 DDEDLO C[C@@H](C#N)CNC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000182832528 354276203 /nfs/dbraw/zinc/27/62/03/354276203.db2.gz ZUFPQOUKSUTFFT-HNNXBMFYSA-N 1 2 316.405 1.552 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1ccc(Cn2cc[nH+]c2)cc1 ZINC000183084913 354277637 /nfs/dbraw/zinc/27/76/37/354277637.db2.gz PAFNCNFNRKWLAK-MRXNPFEDSA-N 1 2 314.389 1.668 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000581187254 354731544 /nfs/dbraw/zinc/73/15/44/354731544.db2.gz PFCDUVLXGZLZHY-KKUMJFAQSA-N 1 2 318.421 1.831 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000581187254 354731546 /nfs/dbraw/zinc/73/15/46/354731546.db2.gz PFCDUVLXGZLZHY-KKUMJFAQSA-N 1 2 318.421 1.831 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000581009096 354729495 /nfs/dbraw/zinc/72/94/95/354729495.db2.gz PQZOOCZPZLZKCA-UHFFFAOYSA-N 1 2 318.402 1.448 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)CC2(CCC2)O1 ZINC000584836417 354779523 /nfs/dbraw/zinc/77/95/23/354779523.db2.gz HLMCTPSXPCTESF-AWEZNQCLSA-N 1 2 304.394 1.286 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)CC2(CCC2)O1 ZINC000584836417 354779527 /nfs/dbraw/zinc/77/95/27/354779527.db2.gz HLMCTPSXPCTESF-AWEZNQCLSA-N 1 2 304.394 1.286 20 30 DDEDLO N#Cc1cccc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)n1 ZINC000589436023 354990331 /nfs/dbraw/zinc/99/03/31/354990331.db2.gz WEHQOIHOQXSIGM-OAHLLOKOSA-N 1 2 314.389 1.280 20 30 DDEDLO CCN1CC[NH+](CC(C)(C)NC(=O)c2cccc(C#N)n2)CC1 ZINC000590887414 355206425 /nfs/dbraw/zinc/20/64/25/355206425.db2.gz NGJNRTXWCONSBD-UHFFFAOYSA-N 1 2 315.421 1.099 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCOCC12CCCCC2)C1CC1 ZINC000590761839 355170138 /nfs/dbraw/zinc/17/01/38/355170138.db2.gz DPRBQWZNFBWDGK-INIZCTEOSA-N 1 2 305.422 1.830 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCOCC12CCCCC2)C1CC1 ZINC000590761839 355170140 /nfs/dbraw/zinc/17/01/40/355170140.db2.gz DPRBQWZNFBWDGK-INIZCTEOSA-N 1 2 305.422 1.830 20 30 DDEDLO CCN1C(=O)N=NC1C1CC[NH+](Cc2nc(C#N)cs2)CC1 ZINC000591376563 355304035 /nfs/dbraw/zinc/30/40/35/355304035.db2.gz OCQXYRVSNRTMSI-UHFFFAOYSA-N 1 2 318.406 1.711 20 30 DDEDLO CCc1cc(N2CC[C@@](O)(CC#N)C2)nc(-c2ccncc2)[nH+]1 ZINC000591976207 355451572 /nfs/dbraw/zinc/45/15/72/355451572.db2.gz MQPSGKLMOFUMGZ-KRWDZBQOSA-N 1 2 309.373 1.956 20 30 DDEDLO C[C@H](NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1Cl ZINC000592147918 355513816 /nfs/dbraw/zinc/51/38/16/355513816.db2.gz PMUNGKZMBOJPRD-LRDDRELGSA-N 1 2 321.808 1.868 20 30 DDEDLO C[C@H](NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1)c1ccccc1Cl ZINC000592147918 355513819 /nfs/dbraw/zinc/51/38/19/355513819.db2.gz PMUNGKZMBOJPRD-LRDDRELGSA-N 1 2 321.808 1.868 20 30 DDEDLO Cc1ccc(S(=O)(=O)CCC[N@@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592150995 355517674 /nfs/dbraw/zinc/51/76/74/355517674.db2.gz IQZLHMPDJIEZQS-MRXNPFEDSA-N 1 2 322.430 1.509 20 30 DDEDLO Cc1ccc(S(=O)(=O)CCC[N@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592150995 355517676 /nfs/dbraw/zinc/51/76/76/355517676.db2.gz IQZLHMPDJIEZQS-MRXNPFEDSA-N 1 2 322.430 1.509 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2nc(-c3ccccc3F)no2)C1 ZINC000592151611 355517716 /nfs/dbraw/zinc/51/77/16/355517716.db2.gz OGMQXVJKXBHVEO-OAHLLOKOSA-N 1 2 302.309 1.726 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2nc(-c3ccccc3F)no2)C1 ZINC000592151611 355517721 /nfs/dbraw/zinc/51/77/21/355517721.db2.gz OGMQXVJKXBHVEO-OAHLLOKOSA-N 1 2 302.309 1.726 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](CC(=O)OC(C)(C)C)CC2CC2)C1=O ZINC000593070772 355786455 /nfs/dbraw/zinc/78/64/55/355786455.db2.gz MANJPECYGFEJEV-AWEZNQCLSA-N 1 2 308.422 1.827 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](CC(=O)OC(C)(C)C)CC2CC2)C1=O ZINC000593070772 355786457 /nfs/dbraw/zinc/78/64/57/355786457.db2.gz MANJPECYGFEJEV-AWEZNQCLSA-N 1 2 308.422 1.827 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnc(C(F)(F)F)nc1 ZINC000593154828 355813567 /nfs/dbraw/zinc/81/35/67/355813567.db2.gz IIZDHOZGCUTLJN-NSHDSACASA-N 1 2 301.272 1.069 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cccc(-c2nnco2)c1 ZINC000593335993 355856741 /nfs/dbraw/zinc/85/67/41/355856741.db2.gz HXHHSQFQQMBULP-ZDUSSCGKSA-N 1 2 302.334 1.322 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cccc(-c2nnco2)c1 ZINC000593335993 355856747 /nfs/dbraw/zinc/85/67/47/355856747.db2.gz HXHHSQFQQMBULP-ZDUSSCGKSA-N 1 2 302.334 1.322 20 30 DDEDLO CC[C@H](C#N)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000593406594 355876075 /nfs/dbraw/zinc/87/60/75/355876075.db2.gz IDHDIVQXXYNIGZ-GXTWGEPZSA-N 1 2 302.378 1.473 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@H]1C[C@@H]1C1CCCC1 ZINC000593444793 355887397 /nfs/dbraw/zinc/88/73/97/355887397.db2.gz YVDNDKYENHSBHL-SJORKVTESA-N 1 2 319.449 1.887 20 30 DDEDLO C[C@H](CNC(=O)c1cccc(C#N)n1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594097809 356112419 /nfs/dbraw/zinc/11/24/19/356112419.db2.gz CKIWOEVVPMYUFQ-UPJWGTAASA-N 1 2 302.378 1.181 20 30 DDEDLO C[C@H](CNC(=O)c1cccc(C#N)n1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594097809 356112421 /nfs/dbraw/zinc/11/24/21/356112421.db2.gz CKIWOEVVPMYUFQ-UPJWGTAASA-N 1 2 302.378 1.181 20 30 DDEDLO N#Cc1cc(F)ccc1C[NH+]1CCC(N2CN=NC2=O)CC1 ZINC000593982115 356079556 /nfs/dbraw/zinc/07/95/56/356079556.db2.gz CQFMNACATILWQO-UHFFFAOYSA-N 1 2 301.325 1.832 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2cccc(-n3cccn3)c2)CC1 ZINC000594001155 356084157 /nfs/dbraw/zinc/08/41/57/356084157.db2.gz NZWOIUGBGRZTAG-UHFFFAOYSA-N 1 2 309.373 1.430 20 30 DDEDLO N#CC[C@H]1CCCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC000595084793 356380474 /nfs/dbraw/zinc/38/04/74/356380474.db2.gz JSLIAIYPJYKWHY-GFCCVEGCSA-N 1 2 311.345 1.425 20 30 DDEDLO C[C@@]1(C#N)CCN(C(=O)C(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)C1 ZINC000595150610 356393819 /nfs/dbraw/zinc/39/38/19/356393819.db2.gz MCZSKAKZPAIGQK-WBMJQRKESA-N 1 2 315.377 1.225 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N[C@H](C)c1[nH+]ccn1C ZINC000595384233 356473785 /nfs/dbraw/zinc/47/37/85/356473785.db2.gz UUTNIYFAJDERIX-LLVKDONJSA-N 1 2 304.375 1.640 20 30 DDEDLO C[C@H](NS(=O)(=O)Cc1ccc(F)cc1C#N)c1[nH+]ccn1C ZINC000595384151 356473994 /nfs/dbraw/zinc/47/39/94/356473994.db2.gz SOHFBARXYSGWPD-JTQLQIEISA-N 1 2 322.365 1.611 20 30 DDEDLO C[C@@H](NC(=O)NCc1ccc(C#N)c(F)c1)c1[nH+]ccn1C ZINC000595644751 356590220 /nfs/dbraw/zinc/59/02/20/356590220.db2.gz FECGOZCGTKHKOQ-SNVBAGLBSA-N 1 2 301.325 1.991 20 30 DDEDLO COC(=O)C12CC(C1)C[N@@H+]2CCOc1ccc(C#N)cc1OC ZINC000595673872 356604207 /nfs/dbraw/zinc/60/42/07/356604207.db2.gz GUDNYYZCUPTREF-UHFFFAOYSA-N 1 2 316.357 1.583 20 30 DDEDLO COC(=O)C12CC(C1)C[N@H+]2CCOc1ccc(C#N)cc1OC ZINC000595673872 356604210 /nfs/dbraw/zinc/60/42/10/356604210.db2.gz GUDNYYZCUPTREF-UHFFFAOYSA-N 1 2 316.357 1.583 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000595803565 356657684 /nfs/dbraw/zinc/65/76/84/356657684.db2.gz XICHFGMLKOXHCR-KRWDZBQOSA-N 1 2 318.373 1.591 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@H+]1CCOc1ccc(C#N)cc1 ZINC000595803565 356657687 /nfs/dbraw/zinc/65/76/87/356657687.db2.gz XICHFGMLKOXHCR-KRWDZBQOSA-N 1 2 318.373 1.591 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@@H+]1Cc1ccc(C#N)cc1OC ZINC000595807169 356658679 /nfs/dbraw/zinc/65/86/79/356658679.db2.gz NLUFDKQQLBYFQR-KRWDZBQOSA-N 1 2 318.373 1.721 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@H+]1Cc1ccc(C#N)cc1OC ZINC000595807169 356658680 /nfs/dbraw/zinc/65/86/80/356658680.db2.gz NLUFDKQQLBYFQR-KRWDZBQOSA-N 1 2 318.373 1.721 20 30 DDEDLO COC(=O)[C@H]1C[C@@H]([N@H+](C)CC(=O)Nc2sccc2C#N)C1 ZINC000595851108 356677504 /nfs/dbraw/zinc/67/75/04/356677504.db2.gz XDKJOLPKJUIUKZ-PHIMTYICSA-N 1 2 307.375 1.442 20 30 DDEDLO COC(=O)[C@H]1C[C@@H]([N@@H+](C)CC(=O)Nc2sccc2C#N)C1 ZINC000595851108 356677507 /nfs/dbraw/zinc/67/75/07/356677507.db2.gz XDKJOLPKJUIUKZ-PHIMTYICSA-N 1 2 307.375 1.442 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[NH+]2CCC(c3ccon3)CC2)cc1 ZINC000595828787 356667282 /nfs/dbraw/zinc/66/72/82/356667282.db2.gz MKNGOXSNYLLIAA-MRXNPFEDSA-N 1 2 310.357 1.952 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000596804451 356981733 /nfs/dbraw/zinc/98/17/33/356981733.db2.gz ACQJCSPNCDJHFS-SNVBAGLBSA-N 1 2 309.316 1.287 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000597022270 357041924 /nfs/dbraw/zinc/04/19/24/357041924.db2.gz NXOINTMJBZJCBA-ARFHVFGLSA-N 1 2 305.422 1.497 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@H]2CCc3[nH+]c[nH]c3C2)CC1 ZINC000597050579 357049138 /nfs/dbraw/zinc/04/91/38/357049138.db2.gz WXRUCMOEFQLQEV-XJKSGUPXSA-N 1 2 315.421 1.207 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@H]2CCc3[nH]c[nH+]c3C2)CC1 ZINC000597050579 357049140 /nfs/dbraw/zinc/04/91/40/357049140.db2.gz WXRUCMOEFQLQEV-XJKSGUPXSA-N 1 2 315.421 1.207 20 30 DDEDLO N#CC1(CC(=O)N(CCO)CC[NH+]2CCOCC2)CCCCC1 ZINC000597413932 357169995 /nfs/dbraw/zinc/16/99/95/357169995.db2.gz DKSIZMOMEWPAOL-UHFFFAOYSA-N 1 2 323.437 1.004 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC[C@@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000597640442 357264877 /nfs/dbraw/zinc/26/48/77/357264877.db2.gz KNMXQKVDJDYACM-WFASDCNBSA-N 1 2 305.382 1.338 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC[C@@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000597640442 357264880 /nfs/dbraw/zinc/26/48/80/357264880.db2.gz KNMXQKVDJDYACM-WFASDCNBSA-N 1 2 305.382 1.338 20 30 DDEDLO N#Cc1cccc(C2(NC(=O)CCn3cc[nH+]c3)CCOCC2)c1 ZINC000598250153 357507670 /nfs/dbraw/zinc/50/76/70/357507670.db2.gz YYBJQVZQHJCAKA-UHFFFAOYSA-N 1 2 324.384 1.967 20 30 DDEDLO N#CC1(CNC(=O)c2ccc(-n3cc[nH+]c3)nn2)CCCCC1 ZINC000598308605 357534309 /nfs/dbraw/zinc/53/43/09/357534309.db2.gz MVHQTPLNFCSLAX-UHFFFAOYSA-N 1 2 310.361 1.866 20 30 DDEDLO N#Cc1ccc(C[NH2+][C@@H]2CS(=O)(=O)Cc3ccccc32)nc1 ZINC000598643954 357666029 /nfs/dbraw/zinc/66/60/29/357666029.db2.gz UNXDFVRPSAXZAQ-MRXNPFEDSA-N 1 2 313.382 1.713 20 30 DDEDLO N#Cc1cc(C[NH2+][C@H]2CS(=O)(=O)Cc3ccccc32)ccn1 ZINC000598642385 357666247 /nfs/dbraw/zinc/66/62/47/357666247.db2.gz HVGKCOBVYLGHAD-INIZCTEOSA-N 1 2 313.382 1.713 20 30 DDEDLO C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000599229214 357856800 /nfs/dbraw/zinc/85/68/00/357856800.db2.gz DVAUMQCSOMUKSB-QKPAOTATSA-N 1 2 323.437 1.120 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@@H+]2CCc3nc[nH]c3C2)cc1 ZINC000599423168 357928951 /nfs/dbraw/zinc/92/89/51/357928951.db2.gz VOIATOQRRGZJPV-AWEZNQCLSA-N 1 2 312.373 1.274 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@H+]2CCc3nc[nH]c3C2)cc1 ZINC000599423168 357928954 /nfs/dbraw/zinc/92/89/54/357928954.db2.gz VOIATOQRRGZJPV-AWEZNQCLSA-N 1 2 312.373 1.274 20 30 DDEDLO CC(C)(C)CNC(=O)C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000599360009 357908410 /nfs/dbraw/zinc/90/84/10/357908410.db2.gz JCVVJRIFRHOPGX-UHFFFAOYSA-N 1 2 315.421 1.238 20 30 DDEDLO CCC(C#N)(CC)C(=O)N1CC[NH+]([C@@H](C)CC(=O)OC)CC1 ZINC000599620086 357994510 /nfs/dbraw/zinc/99/45/10/357994510.db2.gz UIAPQDUXLCHOBN-ZDUSSCGKSA-N 1 2 309.410 1.412 20 30 DDEDLO C=CCN(C(=O)Nc1cc[nH+]cc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000179817565 199084535 /nfs/dbraw/zinc/08/45/35/199084535.db2.gz PKLPVQLXHNCPBF-GFCCVEGCSA-N 1 2 309.391 1.597 20 30 DDEDLO Cc1cc(C#N)cc(N2CC[C@@H]([NH+]3CCN(C(C)C)CC3)C2)n1 ZINC000601130411 358415164 /nfs/dbraw/zinc/41/51/64/358415164.db2.gz URNRPVDXQVPKTH-QGZVFWFLSA-N 1 2 313.449 1.866 20 30 DDEDLO C=C(C)C[C@H](NC(=O)Cc1cn2cccc(C)c2[nH+]1)C(=O)OC ZINC000601784616 358694306 /nfs/dbraw/zinc/69/43/06/358694306.db2.gz FLXGGPXNMWQRQY-AWEZNQCLSA-N 1 2 315.373 1.809 20 30 DDEDLO C[C@H](C#N)N(C)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000601967911 358757690 /nfs/dbraw/zinc/75/76/90/358757690.db2.gz JBKMXZKDCVUSHN-GFCCVEGCSA-N 1 2 304.375 1.464 20 30 DDEDLO N#C[C@@H]1COCCN1C(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000602106696 358816640 /nfs/dbraw/zinc/81/66/40/358816640.db2.gz FLGZBCDZAIPWDI-ZJUUUORDSA-N 1 2 302.256 1.128 20 30 DDEDLO N#Cc1c(F)cccc1C[NH2+][C@H]1CCN(CC(F)(F)F)C1=O ZINC000602009569 358775304 /nfs/dbraw/zinc/77/53/04/358775304.db2.gz YCOXKHVSLPEKRW-LBPRGKRZSA-N 1 2 315.270 1.950 20 30 DDEDLO CN(C(=O)C(=O)Nc1ccn2cc[nH+]c2c1)[C@@H]1CCC[C@@H]1C#N ZINC000602062350 358797063 /nfs/dbraw/zinc/79/70/63/358797063.db2.gz LIUZUVXGIFFDFQ-DGCLKSJQSA-N 1 2 311.345 1.423 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000602125528 358831444 /nfs/dbraw/zinc/83/14/44/358831444.db2.gz YWOLJAQQEVNFIH-NVXWUHKLSA-N 1 2 317.389 1.314 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000602125528 358831446 /nfs/dbraw/zinc/83/14/46/358831446.db2.gz YWOLJAQQEVNFIH-NVXWUHKLSA-N 1 2 317.389 1.314 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@@H]2Cc3c[nH+]cn3C2)cc1Cl ZINC000602310544 358924295 /nfs/dbraw/zinc/92/42/95/358924295.db2.gz VVZUBZYAMJGEJL-GFCCVEGCSA-N 1 2 315.764 1.832 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C)Cc1ccc(Cl)c(S(N)(=O)=O)c1 ZINC000602448944 358983625 /nfs/dbraw/zinc/98/36/25/358983625.db2.gz WLNNMTQALBVRGU-VIFPVBQESA-N 1 2 301.799 1.579 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C)Cc1ccc(Cl)c(S(N)(=O)=O)c1 ZINC000602448944 358983629 /nfs/dbraw/zinc/98/36/29/358983629.db2.gz WLNNMTQALBVRGU-VIFPVBQESA-N 1 2 301.799 1.579 20 30 DDEDLO N#CC1(C[NH2+]Cc2cnn3cc(Br)cnc23)CC1 ZINC000602643265 359093730 /nfs/dbraw/zinc/09/37/30/359093730.db2.gz PJSKKPZPYFAWED-UHFFFAOYSA-N 1 2 306.167 1.885 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCNC(=O)[C@@H]2Cc2ccccc2)n1 ZINC000602673906 359118536 /nfs/dbraw/zinc/11/85/36/359118536.db2.gz DEYYKGVGPNVEHR-SFHVURJKSA-N 1 2 320.396 1.886 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCNC(=O)[C@@H]2Cc2ccccc2)n1 ZINC000602673906 359118540 /nfs/dbraw/zinc/11/85/40/359118540.db2.gz DEYYKGVGPNVEHR-SFHVURJKSA-N 1 2 320.396 1.886 20 30 DDEDLO COc1ccc(CNC(=O)[C@H](C)[NH2+][C@@H](C)CC#N)cc1OC ZINC000602866406 359249981 /nfs/dbraw/zinc/24/99/81/359249981.db2.gz ZTQOOOKJHQRPCI-RYUDHWBXSA-N 1 2 305.378 1.600 20 30 DDEDLO Cc1cc(NC(=O)N[C@@H]2Cc3c[nH+]cn3C2)c(C#N)cc1N(C)C ZINC000603014680 359358191 /nfs/dbraw/zinc/35/81/91/359358191.db2.gz MHMCEIHUXMOXEU-CYBMUJFWSA-N 1 2 324.388 1.876 20 30 DDEDLO COc1cc(NC(=O)N[C@H]2Cc3c[nH+]cn3C2)c(F)cc1C#N ZINC000603060235 359382247 /nfs/dbraw/zinc/38/22/47/359382247.db2.gz KFACJYNKSXBWBZ-JTQLQIEISA-N 1 2 315.308 1.649 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1ccnc(C#N)c1 ZINC000603133794 359424123 /nfs/dbraw/zinc/42/41/23/359424123.db2.gz ZBDMVZVTGPVGSR-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1ccnc(C#N)c1 ZINC000603133794 359424128 /nfs/dbraw/zinc/42/41/28/359424128.db2.gz ZBDMVZVTGPVGSR-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc(C(=O)OCC)cs2)nn1 ZINC000603242127 359508664 /nfs/dbraw/zinc/50/86/64/359508664.db2.gz XGTCTKARZFLFPU-UHFFFAOYSA-N 1 2 319.390 1.073 20 30 DDEDLO N#Cc1c(Cn2cc[nH+]c2CN2CCOCC2)cn2ccccc12 ZINC000603423208 359631334 /nfs/dbraw/zinc/63/13/34/359631334.db2.gz NTMDPZJZMZILAN-UHFFFAOYSA-N 1 2 321.384 1.888 20 30 DDEDLO CC[N@H+](CCC(F)(F)F)CC(=O)N(CCC#N)CCC#N ZINC000604525324 359761356 /nfs/dbraw/zinc/76/13/56/359761356.db2.gz OGYBTWDICQZYBQ-UHFFFAOYSA-N 1 2 304.316 1.917 20 30 DDEDLO CC[N@@H+](CCC(F)(F)F)CC(=O)N(CCC#N)CCC#N ZINC000604525324 359761357 /nfs/dbraw/zinc/76/13/57/359761357.db2.gz OGYBTWDICQZYBQ-UHFFFAOYSA-N 1 2 304.316 1.917 20 30 DDEDLO COc1ncc(NCc2c[nH+]c3ccc(C#N)cn23)cc1C(N)=O ZINC000358986636 299299688 /nfs/dbraw/zinc/29/96/88/299299688.db2.gz FYNSAXUDQGSHCF-UHFFFAOYSA-N 1 2 322.328 1.321 20 30 DDEDLO COC(=O)[C@@H](C)C[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513450 291217155 /nfs/dbraw/zinc/21/71/55/291217155.db2.gz DNCBQMZFLBOWGV-LBPRGKRZSA-N 1 2 324.402 1.073 20 30 DDEDLO COC(=O)[C@@H](C)C[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081513450 291217156 /nfs/dbraw/zinc/21/71/56/291217156.db2.gz DNCBQMZFLBOWGV-LBPRGKRZSA-N 1 2 324.402 1.073 20 30 DDEDLO COC[C@H]1C[N@H+](CCOc2ccc(C#N)cc2OC)C[C@H](C)O1 ZINC000189384009 200391326 /nfs/dbraw/zinc/39/13/26/200391326.db2.gz BXGYJLOHOVUCJN-DZGCQCFKSA-N 1 2 320.389 1.681 20 30 DDEDLO COC[C@H]1C[N@@H+](CCOc2ccc(C#N)cc2OC)C[C@H](C)O1 ZINC000189384009 200391327 /nfs/dbraw/zinc/39/13/27/200391327.db2.gz BXGYJLOHOVUCJN-DZGCQCFKSA-N 1 2 320.389 1.681 20 30 DDEDLO CCOc1ncccc1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000329896539 223042753 /nfs/dbraw/zinc/04/27/53/223042753.db2.gz COSSLTYOJMIMJW-CHWSQXEVSA-N 1 2 306.366 1.041 20 30 DDEDLO CCOc1ncccc1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000329896539 223042755 /nfs/dbraw/zinc/04/27/55/223042755.db2.gz COSSLTYOJMIMJW-CHWSQXEVSA-N 1 2 306.366 1.041 20 30 DDEDLO COCc1ccccc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329916799 223045127 /nfs/dbraw/zinc/04/51/27/223045127.db2.gz DXDHCBJHLFMEEI-CABCVRRESA-N 1 2 305.378 1.393 20 30 DDEDLO COCc1ccccc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329916799 223045129 /nfs/dbraw/zinc/04/51/29/223045129.db2.gz DXDHCBJHLFMEEI-CABCVRRESA-N 1 2 305.378 1.393 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1C[C@@H]2[C@H](C1)OCCN2C ZINC000329966450 223055485 /nfs/dbraw/zinc/05/54/85/223055485.db2.gz RWBBITAZIYOPHU-WQVCFCJDSA-N 1 2 314.389 1.550 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1C[C@@H]2[C@H](C1)OCCN2C ZINC000329966450 223055487 /nfs/dbraw/zinc/05/54/87/223055487.db2.gz RWBBITAZIYOPHU-WQVCFCJDSA-N 1 2 314.389 1.550 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@@H](C)C(=O)N2CCC(C)CC2)CC1 ZINC000609486852 360313843 /nfs/dbraw/zinc/31/38/43/360313843.db2.gz SKKUJFUKYYTNCC-DLBZAZTESA-N 1 2 320.481 1.799 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@H](C)c1cccc(C#N)c1 ZINC000610347570 360418351 /nfs/dbraw/zinc/41/83/51/360418351.db2.gz OKQCQGRHRYRNKE-ZIAGYGMSSA-N 1 2 316.405 1.639 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)NCc2ccc(Cl)cc2)CC1 ZINC000611175247 360647457 /nfs/dbraw/zinc/64/74/57/360647457.db2.gz YUVQRKOSQYPHAZ-UHFFFAOYSA-N 1 2 320.824 1.487 20 30 DDEDLO C[C@H]1Cc2ccccc2N1C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611175767 360649325 /nfs/dbraw/zinc/64/93/25/360649325.db2.gz JWVULIKVBUXKBU-HNNXBMFYSA-N 1 2 312.417 1.495 20 30 DDEDLO C[C@@H]([NH2+]Cc1nc2c(cnn2C)c(=O)[nH]1)c1cccc(C#N)c1 ZINC000611387543 360709645 /nfs/dbraw/zinc/70/96/45/360709645.db2.gz CCXAFZKOVMWJDK-SNVBAGLBSA-N 1 2 308.345 1.791 20 30 DDEDLO Cc1ccc(Cl)cc1C(=O)N[C@H](CO)C[NH+]1CCOCC1 ZINC000330602397 223130089 /nfs/dbraw/zinc/13/00/89/223130089.db2.gz WOZDKCRZGHWNEC-ZDUSSCGKSA-N 1 2 312.797 1.646 20 30 DDEDLO CO[C@@H]1CCCC[C@@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000339586809 223270818 /nfs/dbraw/zinc/27/08/18/223270818.db2.gz LWYKWUOCLBSNMC-JKSUJKDBSA-N 1 2 323.437 1.266 20 30 DDEDLO C[C@@]1(C#N)CCCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000619442790 364011339 /nfs/dbraw/zinc/01/13/39/364011339.db2.gz SLLNKVSWSKVHJQ-RDJZCZTQSA-N 1 2 305.422 1.782 20 30 DDEDLO C[C@@]1(C#N)CCCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000619442790 364011341 /nfs/dbraw/zinc/01/13/41/364011341.db2.gz SLLNKVSWSKVHJQ-RDJZCZTQSA-N 1 2 305.422 1.782 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@@H](c2nnc3n2CCCCC3)C1 ZINC000619716356 364126700 /nfs/dbraw/zinc/12/67/00/364126700.db2.gz MUMLPNBLTPPWRW-KGLIPLIRSA-N 1 2 318.421 1.912 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@@H](c2nnc3n2CCCCC3)C1 ZINC000619716356 364126701 /nfs/dbraw/zinc/12/67/01/364126701.db2.gz MUMLPNBLTPPWRW-KGLIPLIRSA-N 1 2 318.421 1.912 20 30 DDEDLO C=C[C@H](C(=O)OC)N1CC[NH+](Cc2ccc(OC)c(F)c2)CC1 ZINC000619716494 364128157 /nfs/dbraw/zinc/12/81/57/364128157.db2.gz LQKIIRSSYDTERK-OAHLLOKOSA-N 1 2 322.380 1.680 20 30 DDEDLO C[C@H](NC(=O)C(C)(C)[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000619776127 364146680 /nfs/dbraw/zinc/14/66/80/364146680.db2.gz ASTVLYZLWUIDBU-ZDUSSCGKSA-N 1 2 301.390 1.846 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[NH+]1CCC2(CC1)OCCO2 ZINC000083146553 283956831 /nfs/dbraw/zinc/95/68/31/283956831.db2.gz MMQQBECPLWQOQN-OAHLLOKOSA-N 1 2 316.357 1.611 20 30 DDEDLO N#C[C@@H](c1cccc(Cl)c1)N1CC[NH+]([C@H]2CCNC2=O)CC1 ZINC000348325382 223382958 /nfs/dbraw/zinc/38/29/58/223382958.db2.gz HQXMXMQCHGUNHA-GJZGRUSLSA-N 1 2 318.808 1.411 20 30 DDEDLO C#Cc1cc(F)c(NC(=O)C(=O)N2CC[NH+](CC)CC2)c(F)c1 ZINC000271755301 209186445 /nfs/dbraw/zinc/18/64/45/209186445.db2.gz ZRWNGROFJSZTRA-UHFFFAOYSA-N 1 2 321.327 1.049 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000566917730 304188403 /nfs/dbraw/zinc/18/84/03/304188403.db2.gz MTKZQUKHZWQXHS-AWEZNQCLSA-N 1 2 316.361 1.957 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000566917730 304188405 /nfs/dbraw/zinc/18/84/05/304188405.db2.gz MTKZQUKHZWQXHS-AWEZNQCLSA-N 1 2 316.361 1.957 20 30 DDEDLO N#C[C@@H]1CN(C(=O)/C=C/c2ccc(-n3cc[nH+]c3)cc2)CCO1 ZINC000106052769 194182741 /nfs/dbraw/zinc/18/27/41/194182741.db2.gz YQCSYMIXZORKDC-WUTVXBCWSA-N 1 2 308.341 1.636 20 30 DDEDLO CCNC(=O)c1cccc(NC(=O)C[NH+]2CCC(C#N)CC2)c1 ZINC000057906543 184061966 /nfs/dbraw/zinc/06/19/66/184061966.db2.gz BPUYIJXPRRQQAY-UHFFFAOYSA-N 1 2 314.389 1.610 20 30 DDEDLO CCOC(=O)C[N@H+](C[C@H](O)COc1ccc(C#N)cc1)C(C)C ZINC000064246771 184293344 /nfs/dbraw/zinc/29/33/44/184293344.db2.gz GPOMICIIRPGXJN-HNNXBMFYSA-N 1 2 320.389 1.571 20 30 DDEDLO CCOC(=O)C[N@@H+](C[C@H](O)COc1ccc(C#N)cc1)C(C)C ZINC000064246771 184293346 /nfs/dbraw/zinc/29/33/46/184293346.db2.gz GPOMICIIRPGXJN-HNNXBMFYSA-N 1 2 320.389 1.571 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(F)cc2C#N)[C@@H](C)C[N@@H+]1C ZINC000350869885 207376183 /nfs/dbraw/zinc/37/61/83/207376183.db2.gz LWMNEJZIQNFSJR-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(F)cc2C#N)[C@@H](C)C[N@H+]1C ZINC000350869885 207376189 /nfs/dbraw/zinc/37/61/89/207376189.db2.gz LWMNEJZIQNFSJR-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC2C[NH+](C(C)C)C2)cc1C#N ZINC000457750564 533076557 /nfs/dbraw/zinc/07/65/57/533076557.db2.gz RSOUPFNVXPFYIQ-UHFFFAOYSA-N 1 2 306.391 1.203 20 30 DDEDLO Cc1cc(N[C@H](C)C[NH+]2CCN(C)CC2)c(C#N)cc1[N+](=O)[O-] ZINC000413138698 224142769 /nfs/dbraw/zinc/14/27/69/224142769.db2.gz PUIZAHDNIHNKHU-CYBMUJFWSA-N 1 2 317.393 1.823 20 30 DDEDLO Cc1csc(NC(=O)N[C@@H](C)C[NH+]2CCOCC2)c1C#N ZINC000579669205 422808876 /nfs/dbraw/zinc/80/88/76/422808876.db2.gz KYDGUIYTQAXJEK-NSHDSACASA-N 1 2 308.407 1.770 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1ccc(C#N)c(F)c1 ZINC000289572061 221076057 /nfs/dbraw/zinc/07/60/57/221076057.db2.gz AYJWRCNTGRGDQN-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1ccc(C#N)c(F)c1 ZINC000289572061 221076059 /nfs/dbraw/zinc/07/60/59/221076059.db2.gz AYJWRCNTGRGDQN-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCCSCC2)nc1 ZINC000289950322 221335646 /nfs/dbraw/zinc/33/56/46/221335646.db2.gz PCSFIQYAPNRODR-UHFFFAOYSA-N 1 2 311.432 1.166 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCCSCC2)nc1 ZINC000289950322 221335649 /nfs/dbraw/zinc/33/56/49/221335649.db2.gz PCSFIQYAPNRODR-UHFFFAOYSA-N 1 2 311.432 1.166 20 30 DDEDLO Cc1cnc(C[NH2+]CCS(=O)(=O)c2cccc(C#N)c2)s1 ZINC000569961729 304387802 /nfs/dbraw/zinc/38/78/02/304387802.db2.gz HFHNXCBNJJBBNT-UHFFFAOYSA-N 1 2 321.427 1.887 20 30 DDEDLO N#CCCCCS(=O)(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000068877153 406668595 /nfs/dbraw/zinc/66/85/95/406668595.db2.gz ABGVKNHBASHEBC-UHFFFAOYSA-N 1 2 310.423 1.375 20 30 DDEDLO COC(=O)[C@H]1CCCC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000027561685 406911028 /nfs/dbraw/zinc/91/10/28/406911028.db2.gz IGRHHFMOZOXZQE-GFCCVEGCSA-N 1 2 321.402 1.976 20 30 DDEDLO COC(=O)[C@H]1CCCC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000027561685 406911030 /nfs/dbraw/zinc/91/10/30/406911030.db2.gz IGRHHFMOZOXZQE-GFCCVEGCSA-N 1 2 321.402 1.976 20 30 DDEDLO N#CCCN(CCOc1ccccc1)CC[NH+]1CCOCC1 ZINC000078686602 407041497 /nfs/dbraw/zinc/04/14/97/407041497.db2.gz WSHUFZOOCGIEOE-UHFFFAOYSA-N 1 2 303.406 1.613 20 30 DDEDLO COc1ccc(CN(CCC#N)CC[NH+]2CCOCC2)cc1F ZINC000078720451 407042464 /nfs/dbraw/zinc/04/24/64/407042464.db2.gz BXCKZMHNEJDUIO-UHFFFAOYSA-N 1 2 321.396 1.882 20 30 DDEDLO C#CC[N@@H+](CCOC1CCCCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000077282770 406983084 /nfs/dbraw/zinc/98/30/84/406983084.db2.gz KTQOMCKTXWEHAH-HNNXBMFYSA-N 1 2 313.463 1.848 20 30 DDEDLO C#CC[N@H+](CCOC1CCCCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000077282770 406983085 /nfs/dbraw/zinc/98/30/85/406983085.db2.gz KTQOMCKTXWEHAH-HNNXBMFYSA-N 1 2 313.463 1.848 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C#N)cc1 ZINC000048981935 407111574 /nfs/dbraw/zinc/11/15/74/407111574.db2.gz UBCWCFSGLLZWEH-ZDUSSCGKSA-N 1 2 302.378 1.078 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ccc(O)cc2)CC1 ZINC000052635415 407154229 /nfs/dbraw/zinc/15/42/29/407154229.db2.gz JWFFOZQXJLJDMA-CQSZACIVSA-N 1 2 316.405 1.275 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ccccc2)CC1 ZINC000052634804 407154303 /nfs/dbraw/zinc/15/43/03/407154303.db2.gz AJNYPZFELWUSME-HNNXBMFYSA-N 1 2 300.406 1.569 20 30 DDEDLO C#CCNC(=O)N1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000053557099 407171718 /nfs/dbraw/zinc/17/17/18/407171718.db2.gz UGPLJDMTUBWPEC-UHFFFAOYSA-N 1 2 301.394 1.373 20 30 DDEDLO CN(C(=O)C[NH+]1CCN(c2cccc(F)c2C#N)CC1)C1CC1 ZINC000064141212 407237418 /nfs/dbraw/zinc/23/74/18/407237418.db2.gz YUUXZAHIWOPUSZ-UHFFFAOYSA-N 1 2 316.380 1.440 20 30 DDEDLO CC[N@@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)C[C@H](C)C#N ZINC000066424920 407250693 /nfs/dbraw/zinc/25/06/93/407250693.db2.gz LERYTENVSXZGLS-HIFRSBDPSA-N 1 2 301.390 1.588 20 30 DDEDLO CC[N@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)C[C@H](C)C#N ZINC000066424920 407250695 /nfs/dbraw/zinc/25/06/95/407250695.db2.gz LERYTENVSXZGLS-HIFRSBDPSA-N 1 2 301.390 1.588 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000104110084 407347306 /nfs/dbraw/zinc/34/73/06/407347306.db2.gz DXYDBORWJAKNJI-UHFFFAOYSA-N 1 2 308.407 1.405 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@H+](CCOc1cccc(C#N)c1)C1CC1 ZINC000113263082 407486382 /nfs/dbraw/zinc/48/63/82/407486382.db2.gz KAYGOMJKUQZCCH-CYBMUJFWSA-N 1 2 322.430 1.835 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@@H+](CCOc1cccc(C#N)c1)C1CC1 ZINC000113263082 407486389 /nfs/dbraw/zinc/48/63/89/407486389.db2.gz KAYGOMJKUQZCCH-CYBMUJFWSA-N 1 2 322.430 1.835 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1CC[C@H](O)C1 ZINC000114470493 407579414 /nfs/dbraw/zinc/57/94/14/407579414.db2.gz PEFCSKCBEUHNPO-ZJUUUORDSA-N 1 2 305.403 1.502 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1CC[C@H](O)C1 ZINC000114470493 407579418 /nfs/dbraw/zinc/57/94/18/407579418.db2.gz PEFCSKCBEUHNPO-ZJUUUORDSA-N 1 2 305.403 1.502 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](c2nc(C3CC3)n[nH]2)C1 ZINC000152204929 407582716 /nfs/dbraw/zinc/58/27/16/407582716.db2.gz YXOMTIMKDBQLJX-AAEUAGOBSA-N 1 2 303.410 1.552 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(F)cc2Cl)CC1 ZINC000128365195 407524913 /nfs/dbraw/zinc/52/49/13/407524913.db2.gz OMRIANNTEHZCFA-UHFFFAOYSA-N 1 2 310.756 1.635 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC000129406869 407609056 /nfs/dbraw/zinc/60/90/56/407609056.db2.gz XBHPFNNRFFVJAW-UHFFFAOYSA-N 1 2 306.435 1.216 20 30 DDEDLO CN(C)C(=O)C[N@H+]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC000129406869 407609060 /nfs/dbraw/zinc/60/90/60/407609060.db2.gz XBHPFNNRFFVJAW-UHFFFAOYSA-N 1 2 306.435 1.216 20 30 DDEDLO COCCOc1ccc(C[NH+]2CCN(CC#N)CC2)cc1OC ZINC000129939317 407637099 /nfs/dbraw/zinc/63/70/99/407637099.db2.gz KZGJJQHAMQQISQ-UHFFFAOYSA-N 1 2 319.405 1.362 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2S[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000115186970 407658601 /nfs/dbraw/zinc/65/86/01/407658601.db2.gz HMRKAZAXBUOVML-GFCCVEGCSA-N 1 2 324.369 1.554 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCC(O)(c2c(F)cccc2F)CC1 ZINC000186490598 407679469 /nfs/dbraw/zinc/67/94/69/407679469.db2.gz IOWRPUDGHNYDFT-UHFFFAOYSA-N 1 2 310.344 1.550 20 30 DDEDLO CC(C)c1noc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)n1 ZINC000178977453 407680524 /nfs/dbraw/zinc/68/05/24/407680524.db2.gz KTTVYRFIYSLYMU-UHFFFAOYSA-N 1 2 302.334 1.965 20 30 DDEDLO COc1ccc(CO[NH+]=C(N)C(C)(C)NC(C)=O)cc1C#N ZINC000153280231 407792921 /nfs/dbraw/zinc/79/29/21/407792921.db2.gz ZDLWEMVRBIOYMR-UHFFFAOYSA-N 1 2 304.350 1.270 20 30 DDEDLO CC[C@H](C#N)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000172115100 407807400 /nfs/dbraw/zinc/80/74/00/407807400.db2.gz TYWKFJVLCVHPIW-DZGCQCFKSA-N 1 2 318.396 1.755 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1CCN(C)C(=O)[C@@H]1C ZINC000187021619 407813175 /nfs/dbraw/zinc/81/31/75/407813175.db2.gz CUQJFTPVICSQFK-HOCLYGCPSA-N 1 2 318.417 1.317 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1CCN(C)C(=O)[C@@H]1C ZINC000187021619 407813179 /nfs/dbraw/zinc/81/31/79/407813179.db2.gz CUQJFTPVICSQFK-HOCLYGCPSA-N 1 2 318.417 1.317 20 30 DDEDLO CCOC(=O)C[N@H+](C)Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000179919532 407842567 /nfs/dbraw/zinc/84/25/67/407842567.db2.gz YFHLXMXADVQALT-UHFFFAOYSA-N 1 2 312.373 1.954 20 30 DDEDLO CCOC(=O)C[N@@H+](C)Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000179919532 407842572 /nfs/dbraw/zinc/84/25/72/407842572.db2.gz YFHLXMXADVQALT-UHFFFAOYSA-N 1 2 312.373 1.954 20 30 DDEDLO Cc1nc(CC(N)=[NH+]O[C@@H](C)C(=O)N2CCC(C)CC2)cs1 ZINC000174114217 407918776 /nfs/dbraw/zinc/91/87/76/407918776.db2.gz GGDQRDFVDYQPLA-NSHDSACASA-N 1 2 324.450 1.930 20 30 DDEDLO CNC(=O)C[NH+]1CCC(Nc2cc(C(C)=O)ccc2C#N)CC1 ZINC000273158961 408086079 /nfs/dbraw/zinc/08/60/79/408086079.db2.gz AWAAOOFBJRHURT-UHFFFAOYSA-N 1 2 314.389 1.383 20 30 DDEDLO Cc1c(Cl)cnc(NCCC[N@@H+]2CCNC(=O)C2)c1C#N ZINC000273322123 408152442 /nfs/dbraw/zinc/15/24/42/408152442.db2.gz KHXHRSRJLJXQPD-UHFFFAOYSA-N 1 2 307.785 1.149 20 30 DDEDLO Cc1c(Cl)cnc(NCCC[N@H+]2CCNC(=O)C2)c1C#N ZINC000273322123 408152448 /nfs/dbraw/zinc/15/24/48/408152448.db2.gz KHXHRSRJLJXQPD-UHFFFAOYSA-N 1 2 307.785 1.149 20 30 DDEDLO C[C@H](O[NH+]=C(N)CCO)C(=O)Nc1ccc(C(F)(F)F)cc1 ZINC000121317208 408184077 /nfs/dbraw/zinc/18/40/77/408184077.db2.gz IQDUKKZYGXFRKG-QMMMGPOBSA-N 1 2 319.283 1.704 20 30 DDEDLO Cc1cc(-c2noc([C@H](C)O[NH+]=C(N)CCO)n2)ccc1F ZINC000121378311 408196840 /nfs/dbraw/zinc/19/68/40/408196840.db2.gz OKPORCNQCGDMGJ-VIFPVBQESA-N 1 2 308.313 1.916 20 30 DDEDLO COC[C@@](C)([NH2+]CCCOc1cccc(C#N)c1)C(=O)OC ZINC000182600364 408201637 /nfs/dbraw/zinc/20/16/37/408201637.db2.gz CQBJVDGRQDITHG-MRXNPFEDSA-N 1 2 306.362 1.495 20 30 DDEDLO COc1ccc(C[N@@H+]2CCO[C@H]([C@H]3CCCO3)C2)cc1C#N ZINC000246279240 408201723 /nfs/dbraw/zinc/20/17/23/408201723.db2.gz AUZACJKPNCDBTP-SJORKVTESA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C[N@H+]2CCO[C@H]([C@H]3CCCO3)C2)cc1C#N ZINC000246279240 408201729 /nfs/dbraw/zinc/20/17/29/408201729.db2.gz AUZACJKPNCDBTP-SJORKVTESA-N 1 2 302.374 1.947 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-])C1CC1 ZINC000274185438 408318320 /nfs/dbraw/zinc/31/83/20/408318320.db2.gz PMBSCAMAFGDEAZ-UHFFFAOYSA-N 1 2 303.318 1.640 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-])C1CC1 ZINC000274185438 408318325 /nfs/dbraw/zinc/31/83/25/408318325.db2.gz PMBSCAMAFGDEAZ-UHFFFAOYSA-N 1 2 303.318 1.640 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)[C@H]3CCCOC3)CC2)cc1 ZINC000263931019 408318467 /nfs/dbraw/zinc/31/84/67/408318467.db2.gz YSKKAVVTYDQYIX-KRWDZBQOSA-N 1 2 313.401 1.629 20 30 DDEDLO Cc1ccc2[nH+]c(CNS(=O)(=O)CC3(C#N)CC3)cn2c1 ZINC000274209329 408325343 /nfs/dbraw/zinc/32/53/43/408325343.db2.gz OUXNIFOOYSLYNF-UHFFFAOYSA-N 1 2 304.375 1.366 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@H]1CCCO1 ZINC000156692944 408270338 /nfs/dbraw/zinc/27/03/38/408270338.db2.gz FTOKQSMLISJPFF-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@H]1CCCO1 ZINC000156692944 408270346 /nfs/dbraw/zinc/27/03/46/408270346.db2.gz FTOKQSMLISJPFF-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1nc(Cl)ccc1Cl ZINC000274028424 408299715 /nfs/dbraw/zinc/29/97/15/408299715.db2.gz ARGOFZMNDDUTNZ-UHFFFAOYSA-N 1 2 319.192 1.509 20 30 DDEDLO COc1ccc(C[N@@H+]2CCOC3(CCOCC3)C2)cc1C#N ZINC000135164204 162096712 /nfs/dbraw/zinc/09/67/12/162096712.db2.gz FJMHWYFLOMVATP-UHFFFAOYSA-N 1 2 302.374 1.948 20 30 DDEDLO COc1ccc(C[N@H+]2CCOC3(CCOCC3)C2)cc1C#N ZINC000135164204 162096715 /nfs/dbraw/zinc/09/67/15/162096715.db2.gz FJMHWYFLOMVATP-UHFFFAOYSA-N 1 2 302.374 1.948 20 30 DDEDLO COc1ccc(O)c(CN(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000183372952 408393393 /nfs/dbraw/zinc/39/33/93/408393393.db2.gz BJRVHGOTFKXLCW-UHFFFAOYSA-N 1 2 319.405 1.449 20 30 DDEDLO COc1ccc(O)c(C[N@H+](CCC#N)CCN2CCOCC2)c1 ZINC000183372952 408393399 /nfs/dbraw/zinc/39/33/99/408393399.db2.gz BJRVHGOTFKXLCW-UHFFFAOYSA-N 1 2 319.405 1.449 20 30 DDEDLO COc1ccc(O)c(C[N@@H+](CCC#N)CCN2CCOCC2)c1 ZINC000183372952 408393408 /nfs/dbraw/zinc/39/34/08/408393408.db2.gz BJRVHGOTFKXLCW-UHFFFAOYSA-N 1 2 319.405 1.449 20 30 DDEDLO C=C1CC[NH+](CC(=O)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)CC1 ZINC000264520291 408519903 /nfs/dbraw/zinc/51/99/03/408519903.db2.gz ZWGWVERAKDRRRO-UHFFFAOYSA-N 1 2 320.393 1.022 20 30 DDEDLO C#CCNC(=O)c1ccc(N2CCC(n3cc[nH+]c3)CC2)nc1 ZINC000264887853 408548997 /nfs/dbraw/zinc/54/89/97/408548997.db2.gz FEUOICRLINKARX-UHFFFAOYSA-N 1 2 309.373 1.483 20 30 DDEDLO COC(=O)C[N@H+](C)CCC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000192638315 408621281 /nfs/dbraw/zinc/62/12/81/408621281.db2.gz OWCNBBNYTPRJQF-UHFFFAOYSA-N 1 2 309.753 1.645 20 30 DDEDLO COC(=O)C[N@@H+](C)CCC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000192638315 408621285 /nfs/dbraw/zinc/62/12/85/408621285.db2.gz OWCNBBNYTPRJQF-UHFFFAOYSA-N 1 2 309.753 1.645 20 30 DDEDLO C=CCSCCNC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000184967126 408726965 /nfs/dbraw/zinc/72/69/65/408726965.db2.gz IMVMWZRQXMFIST-UHFFFAOYSA-N 1 2 321.450 1.536 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2c(C)nc3sc(C)nn23)C1=O ZINC000281223431 408875545 /nfs/dbraw/zinc/87/55/45/408875545.db2.gz KOBUVEFRGHZNGH-LBPRGKRZSA-N 1 2 319.434 1.626 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2c(C)nc3sc(C)nn23)C1=O ZINC000281223431 408875546 /nfs/dbraw/zinc/87/55/46/408875546.db2.gz KOBUVEFRGHZNGH-LBPRGKRZSA-N 1 2 319.434 1.626 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)c2ccc(C#N)cc2)CCO1 ZINC000276232212 408826648 /nfs/dbraw/zinc/82/66/48/408826648.db2.gz KAXVRPILLBQPJB-ZBFHGGJFSA-N 1 2 310.357 1.942 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)[C@H](C#N)Cc1ccc(C#N)cc1 ZINC000280901490 408856124 /nfs/dbraw/zinc/85/61/24/408856124.db2.gz TUNIJLAJIZUEMT-CJNGLKHVSA-N 1 2 307.357 1.642 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000291058393 408857306 /nfs/dbraw/zinc/85/73/06/408857306.db2.gz MVBGMIFOSSDFQF-CRAIPNDOSA-N 1 2 312.413 1.532 20 30 DDEDLO C[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)CCS(=O)(=O)C1 ZINC000192070636 163216486 /nfs/dbraw/zinc/21/64/86/163216486.db2.gz RPLXYPVGOFSNJK-GFCCVEGCSA-N 1 2 318.402 1.072 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(C3=NCC(C)(C)S3)CC2)C1=O ZINC000281931977 408956299 /nfs/dbraw/zinc/95/62/99/408956299.db2.gz IAXQNNNBQYYOOE-CYBMUJFWSA-N 1 2 322.478 1.272 20 30 DDEDLO C=CCN1CC[C@@H](N2CCN(C3=[NH+]CC(C)(C)S3)CC2)C1=O ZINC000281931977 408956300 /nfs/dbraw/zinc/95/63/00/408956300.db2.gz IAXQNNNBQYYOOE-CYBMUJFWSA-N 1 2 322.478 1.272 20 30 DDEDLO CC#CC(=O)N(CCC[NH+]1CCOCC1)Cc1ccncc1 ZINC000277594294 408972702 /nfs/dbraw/zinc/97/27/02/408972702.db2.gz GIEHEXZDLRCXFJ-UHFFFAOYSA-N 1 2 301.390 1.156 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@@H](O)COc1ccccc1[N+](=O)[O-] ZINC000292244602 409008121 /nfs/dbraw/zinc/00/81/21/409008121.db2.gz QLYSIRNCTNJYQD-CYBMUJFWSA-N 1 2 305.334 1.736 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@@H](O)COc1ccccc1[N+](=O)[O-] ZINC000292244602 409008124 /nfs/dbraw/zinc/00/81/24/409008124.db2.gz QLYSIRNCTNJYQD-CYBMUJFWSA-N 1 2 305.334 1.736 20 30 DDEDLO C#CCN(CC#C)CC(=O)Nc1nc(C[NH+]2CCCC2)cs1 ZINC000292559975 409036218 /nfs/dbraw/zinc/03/62/18/409036218.db2.gz RNBCPDCSIOAVKK-UHFFFAOYSA-N 1 2 316.430 1.246 20 30 DDEDLO C=C[C@H](CO)NC(=O)NCc1ccc(N2CCC(C)CC2)[nH+]c1 ZINC000292641775 409038833 /nfs/dbraw/zinc/03/88/33/409038833.db2.gz YEPNJMXDBWMMJX-OAHLLOKOSA-N 1 2 318.421 1.664 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@H+](C)[C@@H](C)CC#N)cc1 ZINC000292870931 409044442 /nfs/dbraw/zinc/04/44/42/409044442.db2.gz SKCQCEYDGWQTCC-GOEBONIOSA-N 1 2 306.406 1.849 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@@H+](C)[C@@H](C)CC#N)cc1 ZINC000292870931 409044444 /nfs/dbraw/zinc/04/44/44/409044444.db2.gz SKCQCEYDGWQTCC-GOEBONIOSA-N 1 2 306.406 1.849 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1ccc(OCC)cc1[N+](=O)[O-] ZINC000293297271 409084346 /nfs/dbraw/zinc/08/43/46/409084346.db2.gz WHSXOJNXKPVPLY-UHFFFAOYSA-N 1 2 305.334 1.887 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1ccc(OCC)cc1[N+](=O)[O-] ZINC000293297271 409084348 /nfs/dbraw/zinc/08/43/48/409084348.db2.gz WHSXOJNXKPVPLY-UHFFFAOYSA-N 1 2 305.334 1.887 20 30 DDEDLO O=S1(=O)CCCN1[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC000278944310 409118037 /nfs/dbraw/zinc/11/80/37/409118037.db2.gz UWHRWNPFROVGGB-QGZVFWFLSA-N 1 2 318.442 1.538 20 30 DDEDLO O=S1(=O)CCCN1[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC000278944310 409118040 /nfs/dbraw/zinc/11/80/40/409118040.db2.gz UWHRWNPFROVGGB-QGZVFWFLSA-N 1 2 318.442 1.538 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cc(C#N)ccn2)C[C@H](C)O1 ZINC000287971550 409124321 /nfs/dbraw/zinc/12/43/21/409124321.db2.gz INTJSTUKWFOZJO-BETUJISGSA-N 1 2 302.378 1.134 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2cc(C#N)ccn2)C[C@H](C)O1 ZINC000287971550 409124324 /nfs/dbraw/zinc/12/43/24/409124324.db2.gz INTJSTUKWFOZJO-BETUJISGSA-N 1 2 302.378 1.134 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1F ZINC000294522778 409292797 /nfs/dbraw/zinc/29/27/97/409292797.db2.gz RKZBBQSAFKBSCC-IUODEOHRSA-N 1 2 315.348 1.803 20 30 DDEDLO C=CC[N@@H+](C[C@@H](Cc1ccccc1)OC)[C@H]1CCS(=O)(=O)C1 ZINC000294909599 409305774 /nfs/dbraw/zinc/30/57/74/409305774.db2.gz IXTVWKZCUDWTRD-DLBZAZTESA-N 1 2 323.458 1.919 20 30 DDEDLO C=CC[N@H+](C[C@@H](Cc1ccccc1)OC)[C@H]1CCS(=O)(=O)C1 ZINC000294909599 409305777 /nfs/dbraw/zinc/30/57/77/409305777.db2.gz IXTVWKZCUDWTRD-DLBZAZTESA-N 1 2 323.458 1.919 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(C(=O)OC)cn2)C1=O ZINC000285373651 409418423 /nfs/dbraw/zinc/41/84/23/409418423.db2.gz RJHCTIHFTGGCIF-CQSZACIVSA-N 1 2 303.362 1.087 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(C(=O)OC)cn2)C1=O ZINC000285373651 409418430 /nfs/dbraw/zinc/41/84/30/409418430.db2.gz RJHCTIHFTGGCIF-CQSZACIVSA-N 1 2 303.362 1.087 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000285393756 409427420 /nfs/dbraw/zinc/42/74/20/409427420.db2.gz JNMUOJKTAQGIOY-MRXNPFEDSA-N 1 2 302.378 1.474 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000331473803 409549701 /nfs/dbraw/zinc/54/97/01/409549701.db2.gz SDCLDVCYOYFPBX-AWEZNQCLSA-N 1 2 302.378 1.132 20 30 DDEDLO Cc1ccc2[nH+]c(CNC(=O)N=c3ccn(CCC#N)[nH]3)cn2c1 ZINC000356928195 409730008 /nfs/dbraw/zinc/73/00/08/409730008.db2.gz RTWSFYVNTRTZOZ-UHFFFAOYSA-N 1 2 323.360 2.075 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1C(=O)c1ccc(C#N)s1 ZINC000332127388 409910644 /nfs/dbraw/zinc/91/06/44/409910644.db2.gz MUDQQSOXENAFEW-DGCLKSJQSA-N 1 2 305.403 1.555 20 30 DDEDLO C[C@H](NC(=O)C[N@@H+]1CCOC[C@@H](C)C1)C(=O)N1CCCCC1 ZINC000328707020 409958400 /nfs/dbraw/zinc/95/84/00/409958400.db2.gz ZHEXLBMTQYBRGW-KBPBESRZSA-N 1 2 311.426 1.312 20 30 DDEDLO C[C@H](NC(=O)C[N@H+]1CCOC[C@@H](C)C1)C(=O)N1CCCCC1 ZINC000328707020 409958410 /nfs/dbraw/zinc/95/84/10/409958410.db2.gz ZHEXLBMTQYBRGW-KBPBESRZSA-N 1 2 311.426 1.312 20 30 DDEDLO CCN1CCN(C(=O)NC[C@@H]2CCOC2)C[C@H]1c1[nH]cc[nH+]1 ZINC000328619141 409936234 /nfs/dbraw/zinc/93/62/34/409936234.db2.gz FOFQIRDNOBOYCT-STQMWFEESA-N 1 2 307.398 1.039 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3cccc4c3OCO4)C[C@H]21 ZINC000328933473 410011315 /nfs/dbraw/zinc/01/13/15/410011315.db2.gz BYENIYQKVOKPIZ-OLZOCXBDSA-N 1 2 319.361 1.366 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3cccc4c3OCO4)C[C@H]21 ZINC000328933473 410011320 /nfs/dbraw/zinc/01/13/20/410011320.db2.gz BYENIYQKVOKPIZ-OLZOCXBDSA-N 1 2 319.361 1.366 20 30 DDEDLO Cn1cc(C[NH+]2CCC(NC(=O)NC3CCCCC3)CC2)nn1 ZINC000328861946 409996718 /nfs/dbraw/zinc/99/67/18/409996718.db2.gz AUUCDLWYQOIBHD-UHFFFAOYSA-N 1 2 320.441 1.616 20 30 DDEDLO O=C(NCCn1cc[nH+]c1)N1CCCC[C@H]1[C@H]1CNC(=O)C1 ZINC000328909325 410004394 /nfs/dbraw/zinc/00/43/94/410004394.db2.gz DPZCTOROOYTDKK-OLZOCXBDSA-N 1 2 305.382 1.628 20 30 DDEDLO O=C1C[C@@H]([C@@H]2CCCCN2C([O-])=[NH+]CCn2cc[nH+]c2)CN1 ZINC000328909325 410004403 /nfs/dbraw/zinc/00/44/03/410004403.db2.gz DPZCTOROOYTDKK-OLZOCXBDSA-N 1 2 305.382 1.628 20 30 DDEDLO CCN1CCOC[C@@H]1C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000329057471 410076612 /nfs/dbraw/zinc/07/66/12/410076612.db2.gz MPFRPAKKFRMKIV-OAHLLOKOSA-N 1 2 318.421 1.728 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1C[C@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000351565774 410089603 /nfs/dbraw/zinc/08/96/03/410089603.db2.gz XZUHCQUOMORZKB-LSDHHAIUSA-N 1 2 308.401 1.805 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1C[C@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000351565774 410089614 /nfs/dbraw/zinc/08/96/14/410089614.db2.gz XZUHCQUOMORZKB-LSDHHAIUSA-N 1 2 308.401 1.805 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)N[C@H]1CCO[C@@H]1c1cccnc1 ZINC000329103365 410103471 /nfs/dbraw/zinc/10/34/71/410103471.db2.gz DFQOQVRWIISVIP-GXTWGEPZSA-N 1 2 301.350 1.349 20 30 DDEDLO Cc1nc(C)n(C[C@@H]2C[N@H+]([C@H](C)C(=O)NC3CC3)CCO2)n1 ZINC000329142865 410128460 /nfs/dbraw/zinc/12/84/60/410128460.db2.gz LQGWEZWOGUMCBK-YGRLFVJLSA-N 1 2 307.398 1.103 20 30 DDEDLO Cc1nc(C)n(C[C@@H]2C[N@@H+]([C@H](C)C(=O)NC3CC3)CCO2)n1 ZINC000329142865 410128468 /nfs/dbraw/zinc/12/84/68/410128468.db2.gz LQGWEZWOGUMCBK-YGRLFVJLSA-N 1 2 307.398 1.103 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000329294068 410216558 /nfs/dbraw/zinc/21/65/58/410216558.db2.gz RVPNAWJHBOGWHU-ZIAGYGMSSA-N 1 2 319.409 1.130 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)[NH+]=C([O-])N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000329294068 410216564 /nfs/dbraw/zinc/21/65/64/410216564.db2.gz RVPNAWJHBOGWHU-ZIAGYGMSSA-N 1 2 319.409 1.130 20 30 DDEDLO C=C(CNC(=O)C(=O)Nc1c[nH+]ccc1OC)c1ccccc1 ZINC000357650759 410166195 /nfs/dbraw/zinc/16/61/95/410166195.db2.gz HKDASWMMVUBFKD-UHFFFAOYSA-N 1 2 311.341 1.858 20 30 DDEDLO CC[NH+](CC)Cc1nc(C2(NC(=O)[C@@H](C)C#N)CCCC2)no1 ZINC000357805336 410259841 /nfs/dbraw/zinc/25/98/41/410259841.db2.gz XNGUVGYCTVQHRD-LBPRGKRZSA-N 1 2 319.409 1.957 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)CCNC(=O)c2cccs2)C1 ZINC000329491137 410326239 /nfs/dbraw/zinc/32/62/39/410326239.db2.gz SSCHQYWAWKWQHX-LBPRGKRZSA-N 1 2 324.450 1.070 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)CCNC(=O)c2cccs2)C1 ZINC000329491137 410326243 /nfs/dbraw/zinc/32/62/43/410326243.db2.gz SSCHQYWAWKWQHX-LBPRGKRZSA-N 1 2 324.450 1.070 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CC[C@H]([C@H](C)O)C1)CCC2 ZINC000329497250 410330016 /nfs/dbraw/zinc/33/00/16/410330016.db2.gz FCFWLJJRIORJFE-IHRRRGAJSA-N 1 2 306.410 1.686 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)c3ccc(C4(C#N)CC4)cc3)C[C@@H]21 ZINC000329508947 410335289 /nfs/dbraw/zinc/33/52/89/410335289.db2.gz XDCDEULHLKBGBA-JKSUJKDBSA-N 1 2 311.385 1.397 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)c3ccc(C4(C#N)CC4)cc3)C[C@@H]21 ZINC000329508947 410335297 /nfs/dbraw/zinc/33/52/97/410335297.db2.gz XDCDEULHLKBGBA-JKSUJKDBSA-N 1 2 311.385 1.397 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cccc4c3CNC4=O)C[C@@H]21 ZINC000329445956 410301708 /nfs/dbraw/zinc/30/17/08/410301708.db2.gz NVDIKDFHLWZCFH-UONOGXRCSA-N 1 2 316.361 1.065 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cccc4c3CNC4=O)C[C@@H]21 ZINC000329445956 410301714 /nfs/dbraw/zinc/30/17/14/410301714.db2.gz NVDIKDFHLWZCFH-UONOGXRCSA-N 1 2 316.361 1.065 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[NH2+][C@@H](C)c2nncn2C)c(C#N)c1C ZINC000358794332 410533714 /nfs/dbraw/zinc/53/37/14/410533714.db2.gz YTRKKBDAAVPEPK-VHSXEESVSA-N 1 2 316.365 1.574 20 30 DDEDLO C#CC[NH+](CC#C)[C@@H](C)C(=O)N1CCN(c2ccccc2)CC1 ZINC000299215462 410570574 /nfs/dbraw/zinc/57/05/74/410570574.db2.gz HWQDBVOFMKBQSD-KRWDZBQOSA-N 1 2 309.413 1.292 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+]C1(c2noc(C)n2)CCCCC1 ZINC000299283438 410584345 /nfs/dbraw/zinc/58/43/45/410584345.db2.gz WUUJSQRQTZIIRS-UHFFFAOYSA-N 1 2 319.409 1.889 20 30 DDEDLO Cc1cc(C#N)cc(NCc2ccc(N3CCO[C@@H](C)C3)[nH+]c2)n1 ZINC000339972292 410586589 /nfs/dbraw/zinc/58/65/89/410586589.db2.gz HQVWSWUENACEFT-AWEZNQCLSA-N 1 2 323.400 2.494 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@@H+]3CCO[C@H](C4CC4)C3)C2=O)cc1 ZINC000330115459 410547363 /nfs/dbraw/zinc/54/73/63/410547363.db2.gz FQBUXWQURMRUFX-IRXDYDNUSA-N 1 2 311.385 1.774 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@H+]3CCO[C@H](C4CC4)C3)C2=O)cc1 ZINC000330115459 410547366 /nfs/dbraw/zinc/54/73/66/410547366.db2.gz FQBUXWQURMRUFX-IRXDYDNUSA-N 1 2 311.385 1.774 20 30 DDEDLO C[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1O ZINC000299909136 410641942 /nfs/dbraw/zinc/64/19/42/410641942.db2.gz WCOAVYCBWUSCBW-SWLSCSKDSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1O ZINC000299909136 410641947 /nfs/dbraw/zinc/64/19/47/410641947.db2.gz WCOAVYCBWUSCBW-SWLSCSKDSA-N 1 2 308.403 1.035 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@@H](O)CN3CCOC[C@H]3C#N)c2cc1C ZINC000336875009 410644456 /nfs/dbraw/zinc/64/44/56/410644456.db2.gz ZSVJIQJAECPZTD-CABCVRRESA-N 1 2 314.389 1.238 20 30 DDEDLO CCOC(=O)c1cnn(Cc2cn3cc(C)ccc3[nH+]2)c1C#N ZINC000352373678 410652059 /nfs/dbraw/zinc/65/20/59/410652059.db2.gz NTCBLKCMGJVZQX-UHFFFAOYSA-N 1 2 309.329 1.936 20 30 DDEDLO N#Cc1csc(C[N@H+]2CCC[C@H](C(=O)NCC3CC3)C2)n1 ZINC000356057640 410802391 /nfs/dbraw/zinc/80/23/91/410802391.db2.gz DXKTZPCZAVMGIG-LBPRGKRZSA-N 1 2 304.419 1.753 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CCC[C@H](C(=O)NCC3CC3)C2)n1 ZINC000356057640 410802396 /nfs/dbraw/zinc/80/23/96/410802396.db2.gz DXKTZPCZAVMGIG-LBPRGKRZSA-N 1 2 304.419 1.753 20 30 DDEDLO N#CC1CCC(CN2C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C2=O)CC1 ZINC000348663619 411049925 /nfs/dbraw/zinc/04/99/25/411049925.db2.gz ZLKAUXBMVGVZSE-XIVSLSHWSA-N 1 2 301.350 1.203 20 30 DDEDLO N#CC1CCC(CN2C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C2=O)CC1 ZINC000348663619 411049928 /nfs/dbraw/zinc/04/99/28/411049928.db2.gz ZLKAUXBMVGVZSE-XIVSLSHWSA-N 1 2 301.350 1.203 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)[C@@H]3CCSC3)CC2)cc1 ZINC000353591542 411074722 /nfs/dbraw/zinc/07/47/22/411074722.db2.gz PADRFSOGTLXDPM-MRXNPFEDSA-N 1 2 315.442 1.956 20 30 DDEDLO CN(C[C@@H]1OCC[N@H+](C)[C@@H]1c1ccccc1)c1nccnc1C#N ZINC000344324818 411092005 /nfs/dbraw/zinc/09/20/05/411092005.db2.gz QBQPMPVMMBDEFC-DLBZAZTESA-N 1 2 323.400 1.856 20 30 DDEDLO CN(C[C@@H]1OCC[N@@H+](C)[C@@H]1c1ccccc1)c1nccnc1C#N ZINC000344324818 411092010 /nfs/dbraw/zinc/09/20/10/411092010.db2.gz QBQPMPVMMBDEFC-DLBZAZTESA-N 1 2 323.400 1.856 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[NH2+]CC(F)(F)CO ZINC000580563971 422942309 /nfs/dbraw/zinc/94/23/09/422942309.db2.gz ICMFNYVKUTVEQQ-ZDUSSCGKSA-N 1 2 301.333 1.372 20 30 DDEDLO CCO[C@H](C)c1noc(C[N@@H+]2CCCN([C@@H](C)C#N)CC2)n1 ZINC000373282481 418417972 /nfs/dbraw/zinc/41/79/72/418417972.db2.gz CUDDQQPDQHRFFS-QWHCGFSZSA-N 1 2 307.398 1.587 20 30 DDEDLO CCO[C@H](C)c1noc(C[N@H+]2CCCN([C@@H](C)C#N)CC2)n1 ZINC000373282481 418417976 /nfs/dbraw/zinc/41/79/76/418417976.db2.gz CUDDQQPDQHRFFS-QWHCGFSZSA-N 1 2 307.398 1.587 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)c1 ZINC000373565347 418439952 /nfs/dbraw/zinc/43/99/52/418439952.db2.gz ACCNKPDWGGDUIG-CQSZACIVSA-N 1 2 322.368 1.182 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CC[NH+]([C@H]2CCCCNC2=O)CC1 ZINC000374280009 418513327 /nfs/dbraw/zinc/51/33/27/418513327.db2.gz MUTDHUSLSHDDGK-GJZGRUSLSA-N 1 2 322.453 1.337 20 30 DDEDLO N#C[C@@H]1C[N@@H+](Cc2cccnc2)C[C@]12c1ccccc1NC2=O ZINC000374351486 418522177 /nfs/dbraw/zinc/52/21/77/418522177.db2.gz MMTKWRUINBCYTN-RDTXWAMCSA-N 1 2 304.353 1.927 20 30 DDEDLO N#C[C@@H]1C[N@H+](Cc2cccnc2)C[C@]12c1ccccc1NC2=O ZINC000374351486 418522178 /nfs/dbraw/zinc/52/21/78/418522178.db2.gz MMTKWRUINBCYTN-RDTXWAMCSA-N 1 2 304.353 1.927 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1Cc2ccccc2[C@@H](C(=O)OCC)C1 ZINC000374395889 418526647 /nfs/dbraw/zinc/52/66/47/418526647.db2.gz WGKNVLPHUZLNGE-CJNGLKHVSA-N 1 2 314.385 1.287 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1Cc2ccccc2[C@@H](C(=O)OCC)C1 ZINC000374395889 418526650 /nfs/dbraw/zinc/52/66/50/418526650.db2.gz WGKNVLPHUZLNGE-CJNGLKHVSA-N 1 2 314.385 1.287 20 30 DDEDLO CC(C)C[C@H]1C(=O)NCCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000188910906 222031803 /nfs/dbraw/zinc/03/18/03/222031803.db2.gz HTNWZZNTAIFABZ-HNNXBMFYSA-N 1 2 311.389 1.552 20 30 DDEDLO N#Cc1ccc(C(=O)NCCc2cn3ccccc3[nH+]2)cc1O ZINC000188244399 222009119 /nfs/dbraw/zinc/00/91/19/222009119.db2.gz ZWAJDRANOGNMRY-UHFFFAOYSA-N 1 2 306.325 1.884 20 30 DDEDLO COCCO[C@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000189650482 222050120 /nfs/dbraw/zinc/05/01/20/222050120.db2.gz RZZUZPJXJAEXDW-ZFWWWQNUSA-N 1 2 317.389 1.623 20 30 DDEDLO COCCO[C@H]1CC[N@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000189650482 222050124 /nfs/dbraw/zinc/05/01/24/222050124.db2.gz RZZUZPJXJAEXDW-ZFWWWQNUSA-N 1 2 317.389 1.623 20 30 DDEDLO CCNC(=O)N1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC000264621775 222334590 /nfs/dbraw/zinc/33/45/90/222334590.db2.gz RKCFRHIZSVYBIP-UHFFFAOYSA-N 1 2 302.378 1.436 20 30 DDEDLO CC[C@H](C)n1nc(NC(=O)N[C@H](C)C[NH+]2CCOCC2)cc1C ZINC000330696703 418612877 /nfs/dbraw/zinc/61/28/77/418612877.db2.gz VFCQIKMVIJCDSU-OLZOCXBDSA-N 1 2 323.441 2.209 20 30 DDEDLO CCn1nccc1C[N@H+](CCO)Cc1cc(C#N)ccc1OC ZINC000361370579 418637471 /nfs/dbraw/zinc/63/74/71/418637471.db2.gz UKYGGCXMMNTMII-UHFFFAOYSA-N 1 2 314.389 1.778 20 30 DDEDLO CCn1nccc1C[N@@H+](CCO)Cc1cc(C#N)ccc1OC ZINC000361370579 418637474 /nfs/dbraw/zinc/63/74/74/418637474.db2.gz UKYGGCXMMNTMII-UHFFFAOYSA-N 1 2 314.389 1.778 20 30 DDEDLO C#CCCS(=O)(=O)N1CCC[N@@H+](Cc2ccccc2F)CC1 ZINC000377311768 418708181 /nfs/dbraw/zinc/70/81/81/418708181.db2.gz RZPQZIIALWVDDY-UHFFFAOYSA-N 1 2 324.421 1.687 20 30 DDEDLO C#CCCS(=O)(=O)N1CCC[N@H+](Cc2ccccc2F)CC1 ZINC000377311768 418708183 /nfs/dbraw/zinc/70/81/83/418708183.db2.gz RZPQZIIALWVDDY-UHFFFAOYSA-N 1 2 324.421 1.687 20 30 DDEDLO C=CCN(CC)C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000377473484 418710239 /nfs/dbraw/zinc/71/02/39/418710239.db2.gz QXWLWWPDQDREJN-OAHLLOKOSA-N 1 2 313.467 1.412 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)[nH]n1 ZINC000377845755 418715195 /nfs/dbraw/zinc/71/51/95/418715195.db2.gz VMBMBPZVKIDISE-RHSMWYFYSA-N 1 2 317.437 1.952 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)[nH]n1 ZINC000377845755 418715197 /nfs/dbraw/zinc/71/51/97/418715197.db2.gz VMBMBPZVKIDISE-RHSMWYFYSA-N 1 2 317.437 1.952 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000377845755 418715200 /nfs/dbraw/zinc/71/52/00/418715200.db2.gz VMBMBPZVKIDISE-RHSMWYFYSA-N 1 2 317.437 1.952 20 30 DDEDLO Cc1cc([C@@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000377845755 418715201 /nfs/dbraw/zinc/71/52/01/418715201.db2.gz VMBMBPZVKIDISE-RHSMWYFYSA-N 1 2 317.437 1.952 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(c3cc(C#N)cc(C)n3)C2)no1 ZINC000376455750 418697889 /nfs/dbraw/zinc/69/78/89/418697889.db2.gz RUHCITWUEPUASG-CQSZACIVSA-N 1 2 312.377 1.664 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(c3cc(C#N)cc(C)n3)C2)no1 ZINC000376455750 418697893 /nfs/dbraw/zinc/69/78/93/418697893.db2.gz RUHCITWUEPUASG-CQSZACIVSA-N 1 2 312.377 1.664 20 30 DDEDLO CCn1cc(C[N@@H+]2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000376646740 418699623 /nfs/dbraw/zinc/69/96/23/418699623.db2.gz ZSJACRNHICLLSO-KBXCAEBGSA-N 1 2 321.384 1.748 20 30 DDEDLO CCn1cc(C[N@H+]2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)cn1 ZINC000376646740 418699625 /nfs/dbraw/zinc/69/96/25/418699625.db2.gz ZSJACRNHICLLSO-KBXCAEBGSA-N 1 2 321.384 1.748 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2cc(C#N)ccc2C)C[C@@H]1C ZINC000408041772 418782158 /nfs/dbraw/zinc/78/21/58/418782158.db2.gz OJVSPDXQNLRADQ-UONOGXRCSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2cc(C#N)ccc2C)C[C@@H]1C ZINC000408041772 418782160 /nfs/dbraw/zinc/78/21/60/418782160.db2.gz OJVSPDXQNLRADQ-UONOGXRCSA-N 1 2 321.446 1.970 20 30 DDEDLO COc1ccc(CN2CCC[C@H]([NH+]3CCOCC3)C2)cc1C#N ZINC000382445227 418730688 /nfs/dbraw/zinc/73/06/88/418730688.db2.gz AIQYRXVRFBHOJF-KRWDZBQOSA-N 1 2 315.417 1.863 20 30 DDEDLO C#CCCNC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1OC ZINC000369286725 418731341 /nfs/dbraw/zinc/73/13/41/418731341.db2.gz CHVWMIJOWPMMBQ-HNNXBMFYSA-N 1 2 312.373 1.841 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)NC1(C#N)CCC1 ZINC000362634448 418757365 /nfs/dbraw/zinc/75/73/65/418757365.db2.gz FWQLIXLJEZLXSD-CYBMUJFWSA-N 1 2 317.393 1.046 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)NC1(C#N)CCC1 ZINC000362634448 418757368 /nfs/dbraw/zinc/75/73/68/418757368.db2.gz FWQLIXLJEZLXSD-CYBMUJFWSA-N 1 2 317.393 1.046 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)cc2C)C[C@@H]1C ZINC000408040132 418783192 /nfs/dbraw/zinc/78/31/92/418783192.db2.gz DFNAAZGTRSLZTI-KBPBESRZSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)cc2C)C[C@@H]1C ZINC000408040132 418783194 /nfs/dbraw/zinc/78/31/94/418783194.db2.gz DFNAAZGTRSLZTI-KBPBESRZSA-N 1 2 321.446 1.970 20 30 DDEDLO N#CCC[C@H](C#N)C[N@H+]1CCCN(C(=O)[C@H]2CCCO2)CC1 ZINC000363573604 418767148 /nfs/dbraw/zinc/76/71/48/418767148.db2.gz ULYBPRYSTIFQGH-HUUCEWRRSA-N 1 2 304.394 1.143 20 30 DDEDLO N#CCC[C@H](C#N)C[N@@H+]1CCCN(C(=O)[C@H]2CCCO2)CC1 ZINC000363573604 418767153 /nfs/dbraw/zinc/76/71/53/418767153.db2.gz ULYBPRYSTIFQGH-HUUCEWRRSA-N 1 2 304.394 1.143 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@H](C)O[C@]2(CCO[C@@H]2C)C1 ZINC000364060567 418772794 /nfs/dbraw/zinc/77/27/94/418772794.db2.gz BAVDJJHWCUNTOD-SQWLQELKSA-N 1 2 309.410 1.017 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C)O[C@]2(CCO[C@@H]2C)C1 ZINC000364060567 418772796 /nfs/dbraw/zinc/77/27/96/418772796.db2.gz BAVDJJHWCUNTOD-SQWLQELKSA-N 1 2 309.410 1.017 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2cc(OC)ccc2F)CC1 ZINC000361924648 418726248 /nfs/dbraw/zinc/72/62/48/418726248.db2.gz HCRXUMVZIAMHJJ-ZDUSSCGKSA-N 1 2 321.396 1.647 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCC(O)(C(F)F)CC2)CCCCC1 ZINC000408365855 418800081 /nfs/dbraw/zinc/80/00/81/418800081.db2.gz LKIONOPIUHMLOD-UHFFFAOYSA-N 1 2 315.364 1.421 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCCN(Cc2[nH+]ccn2C)CC1 ZINC000364744221 418807646 /nfs/dbraw/zinc/80/76/46/418807646.db2.gz XGTKNKHFGUZLKI-OAHLLOKOSA-N 1 2 320.437 1.436 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)[C@H](C)Oc1ccccc1C#N)CC2 ZINC000371703423 418810887 /nfs/dbraw/zinc/81/08/87/418810887.db2.gz LTDGSJFNCFCEQF-ZDUSSCGKSA-N 1 2 310.357 1.873 20 30 DDEDLO N#CCC1(CNC(=O)NCc2cccnc2-n2cc[nH+]c2)CC1 ZINC000365458153 418864204 /nfs/dbraw/zinc/86/42/04/418864204.db2.gz YOVHLXBYAJNBPD-UHFFFAOYSA-N 1 2 310.361 1.760 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(CCC2(C#N)CCCCC2)CC1 ZINC000411314120 418892623 /nfs/dbraw/zinc/89/26/23/418892623.db2.gz ZARBCARITCIJDA-UHFFFAOYSA-N 1 2 306.454 1.732 20 30 DDEDLO Cc1n[nH]c(C2CC[NH+](CC(=O)Nc3cccc(C#N)c3)CC2)n1 ZINC000365110618 418838102 /nfs/dbraw/zinc/83/81/02/418838102.db2.gz RXVNBYRMVOBRBQ-UHFFFAOYSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1nc(C2CC[NH+](CC(=O)Nc3cccc(C#N)c3)CC2)n[nH]1 ZINC000365110618 418838106 /nfs/dbraw/zinc/83/81/06/418838106.db2.gz RXVNBYRMVOBRBQ-UHFFFAOYSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1nnc(C2CC[NH+](CC(=O)Nc3cccc(C#N)c3)CC2)[nH]1 ZINC000365110618 418838108 /nfs/dbraw/zinc/83/81/08/418838108.db2.gz RXVNBYRMVOBRBQ-UHFFFAOYSA-N 1 2 324.388 1.803 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN1CC(=O)N(CCC#N)CCC#N ZINC000372945146 418915167 /nfs/dbraw/zinc/91/51/67/418915167.db2.gz GXTGOUOUYKRWLU-CQSZACIVSA-N 1 2 314.393 1.213 20 30 DDEDLO C#CC[C@@H](C)NC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000365900001 418920568 /nfs/dbraw/zinc/92/05/68/418920568.db2.gz JKCQTNOGVUGHMV-GFCCVEGCSA-N 1 2 310.357 1.554 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H](C)C[C@H](CO)C1 ZINC000420928859 419362780 /nfs/dbraw/zinc/36/27/80/419362780.db2.gz ATGFDMJOFFASDH-NOLJZWGESA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@@H](C)C[C@H](CO)C1 ZINC000420928859 419362783 /nfs/dbraw/zinc/36/27/83/419362783.db2.gz ATGFDMJOFFASDH-NOLJZWGESA-N 1 2 302.374 1.723 20 30 DDEDLO Cc1c(F)cccc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000421758618 419713735 /nfs/dbraw/zinc/71/37/35/419713735.db2.gz RYICSRMPKSOPSR-UHFFFAOYSA-N 1 2 319.380 1.822 20 30 DDEDLO CN(C)S(=O)(=O)c1ccc(C[N@H+](C)CC(C)(C)C#N)cc1 ZINC000433300684 229184425 /nfs/dbraw/zinc/18/44/25/229184425.db2.gz UCBOKVDIQDZQCU-UHFFFAOYSA-N 1 2 309.435 1.918 20 30 DDEDLO CN(C)S(=O)(=O)c1ccc(C[N@@H+](C)CC(C)(C)C#N)cc1 ZINC000433300684 229184428 /nfs/dbraw/zinc/18/44/28/229184428.db2.gz UCBOKVDIQDZQCU-UHFFFAOYSA-N 1 2 309.435 1.918 20 30 DDEDLO N#Cc1cccc(N2CCN(c3nc[nH+]c(N)c3Cl)CC2)n1 ZINC000433759221 229232517 /nfs/dbraw/zinc/23/25/17/229232517.db2.gz PHOIIWYPWSCCBQ-UHFFFAOYSA-N 1 2 315.768 1.305 20 30 DDEDLO N#Cc1cccc(N2CCN(c3[nH+]cnc(N)c3Cl)CC2)n1 ZINC000433759221 229232519 /nfs/dbraw/zinc/23/25/19/229232519.db2.gz PHOIIWYPWSCCBQ-UHFFFAOYSA-N 1 2 315.768 1.305 20 30 DDEDLO CN(Cc1cn2c([nH+]1)CCCC2)[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000429550396 420023331 /nfs/dbraw/zinc/02/33/31/420023331.db2.gz RMPNAPBGSNUIOV-KRWDZBQOSA-N 1 2 323.400 1.749 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[NH+]2CC(OC(F)F)C2)cc1 ZINC000435873433 420290798 /nfs/dbraw/zinc/29/07/98/420290798.db2.gz CRQJGJXHRJVKAS-GFCCVEGCSA-N 1 2 312.316 1.416 20 30 DDEDLO C[C@@H](O)[C@@H]1CCN(c2cc(N[C@@H]3CC[C@H](C#N)C3)[nH+]cn2)C1 ZINC000425276830 420336650 /nfs/dbraw/zinc/33/66/50/420336650.db2.gz WKAQPTHMWYGPOU-XJFOESAGSA-N 1 2 301.394 1.788 20 30 DDEDLO C[C@@H](O)[C@@H]1CCN(c2cc(N[C@@H]3CC[C@H](C#N)C3)nc[nH+]2)C1 ZINC000425276830 420336654 /nfs/dbraw/zinc/33/66/54/420336654.db2.gz WKAQPTHMWYGPOU-XJFOESAGSA-N 1 2 301.394 1.788 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[N@H+](C)Cc1nc(N)nc(N(C)C)n1 ZINC000425534188 420411309 /nfs/dbraw/zinc/41/13/09/420411309.db2.gz LPVOKYPADVZDQB-LLVKDONJSA-N 1 2 311.393 1.584 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[N@@H+](C)Cc1nc(N)nc(N(C)C)n1 ZINC000425534188 420411313 /nfs/dbraw/zinc/41/13/13/420411313.db2.gz LPVOKYPADVZDQB-LLVKDONJSA-N 1 2 311.393 1.584 20 30 DDEDLO C=CCn1cc(CNC(=O)N[C@H](C)c2[nH+]ccn2CC)nn1 ZINC000425594243 420422505 /nfs/dbraw/zinc/42/25/05/420422505.db2.gz YHDUXXVKJXKHSF-LLVKDONJSA-N 1 2 303.370 1.241 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C(=O)OCC ZINC000456609355 420514162 /nfs/dbraw/zinc/51/41/62/420514162.db2.gz AKMCWDVVRVCEEO-OLZOCXBDSA-N 1 2 305.378 1.460 20 30 DDEDLO NS(=O)(=O)C[C@@H]1CCC[N@@H+]1CC#Cc1cccc(Cl)c1 ZINC000440653327 420593633 /nfs/dbraw/zinc/59/36/33/420593633.db2.gz IUGWGGNZBKNABW-AWEZNQCLSA-N 1 2 312.822 1.444 20 30 DDEDLO NS(=O)(=O)C[C@@H]1CCC[N@H+]1CC#Cc1cccc(Cl)c1 ZINC000440653327 420593638 /nfs/dbraw/zinc/59/36/38/420593638.db2.gz IUGWGGNZBKNABW-AWEZNQCLSA-N 1 2 312.822 1.444 20 30 DDEDLO C=CCOCC(=O)N1CC[C@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000442845013 420724767 /nfs/dbraw/zinc/72/47/67/420724767.db2.gz HHAYRQBWNVRNMH-INIZCTEOSA-N 1 2 312.410 1.111 20 30 DDEDLO C=C(CC)CNC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000454372658 420848379 /nfs/dbraw/zinc/84/83/79/420848379.db2.gz ZSQNKDDXSJVCEK-OAHLLOKOSA-N 1 2 313.467 1.460 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)Nc1nc2c(s1)C[N@H+](C)CC2 ZINC000448758336 420892376 /nfs/dbraw/zinc/89/23/76/420892376.db2.gz JMBURKAVOLIGIS-UHFFFAOYSA-N 1 2 301.375 1.593 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)Nc1nc2c(s1)C[N@@H+](C)CC2 ZINC000448758336 420892377 /nfs/dbraw/zinc/89/23/77/420892377.db2.gz JMBURKAVOLIGIS-UHFFFAOYSA-N 1 2 301.375 1.593 20 30 DDEDLO C=CCC1(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)CCCC1 ZINC000455486614 421023681 /nfs/dbraw/zinc/02/36/81/421023681.db2.gz ZYTNFXLVJOYLQU-CABCVRRESA-N 1 2 307.438 1.847 20 30 DDEDLO C=CCC1(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)CCCC1 ZINC000455486614 421023685 /nfs/dbraw/zinc/02/36/85/421023685.db2.gz ZYTNFXLVJOYLQU-CABCVRRESA-N 1 2 307.438 1.847 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000495910593 421089427 /nfs/dbraw/zinc/08/94/27/421089427.db2.gz BJWCVMMTLXKOLG-CHWSQXEVSA-N 1 2 322.409 1.100 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000495910593 421089430 /nfs/dbraw/zinc/08/94/30/421089430.db2.gz BJWCVMMTLXKOLG-CHWSQXEVSA-N 1 2 322.409 1.100 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCCn2cc[nH+]c2)cc1F ZINC000489008097 421131277 /nfs/dbraw/zinc/13/12/77/421131277.db2.gz IVNITONFXXPZNN-UHFFFAOYSA-N 1 2 308.338 1.262 20 30 DDEDLO N#Cc1cc2c(nc1N1CC[NH+](Cc3ccco3)CC1)CCOC2 ZINC000450226461 421167220 /nfs/dbraw/zinc/16/72/20/421167220.db2.gz FJUIMEXHQWLGKB-UHFFFAOYSA-N 1 2 324.384 1.941 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@@H+]([C@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000492191240 421212113 /nfs/dbraw/zinc/21/21/13/421212113.db2.gz ZAIZNZWBLOKEPQ-OLZOCXBDSA-N 1 2 322.409 1.148 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@H+]([C@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000492191240 421212115 /nfs/dbraw/zinc/21/21/15/421212115.db2.gz ZAIZNZWBLOKEPQ-OLZOCXBDSA-N 1 2 322.409 1.148 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CC[C@H](O)C(C)(C)C2)c1C#N ZINC000525838576 421306333 /nfs/dbraw/zinc/30/63/33/421306333.db2.gz KLWRSVRCYVCSEU-HNNXBMFYSA-N 1 2 302.374 1.938 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CC[C@H](O)C(C)(C)C2)c1C#N ZINC000525838576 421306334 /nfs/dbraw/zinc/30/63/34/421306334.db2.gz KLWRSVRCYVCSEU-HNNXBMFYSA-N 1 2 302.374 1.938 20 30 DDEDLO Cc1cccn2cc(CC(=O)Nc3ccn(CCC#N)n3)[nH+]c12 ZINC000524227759 421256357 /nfs/dbraw/zinc/25/63/57/421256357.db2.gz XGMMRHNXEWESKV-UHFFFAOYSA-N 1 2 308.345 1.934 20 30 DDEDLO CC[C@@H](CC#N)[NH2+][C@H](C)C(=O)Nc1cccc(C(=O)NC)c1 ZINC000514736815 421450821 /nfs/dbraw/zinc/45/08/21/421450821.db2.gz GXVAWVMWENQMJE-YPMHNXCESA-N 1 2 302.378 1.655 20 30 DDEDLO C[C@H]1CCN(C(=O)Cc2ccc(C#N)nc2)C[C@@H]1n1cc[nH+]c1 ZINC000528826291 421519339 /nfs/dbraw/zinc/51/93/39/421519339.db2.gz JKRCSBABQHEZMQ-BBRMVZONSA-N 1 2 309.373 1.802 20 30 DDEDLO Cn1nc(C(F)(F)F)cc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000563220033 421474532 /nfs/dbraw/zinc/47/45/32/421474532.db2.gz FFPZWUUYHHLKJX-LLVKDONJSA-N 1 2 303.288 1.013 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cn1)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000563350428 421489211 /nfs/dbraw/zinc/48/92/11/421489211.db2.gz NFEFYJQICWNYFN-GJZGRUSLSA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cn1)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000563350428 421489215 /nfs/dbraw/zinc/48/92/15/421489215.db2.gz NFEFYJQICWNYFN-GJZGRUSLSA-N 1 2 307.419 1.741 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@H+](C)C(C)(C)C2)cc1C#N ZINC000563400521 421503126 /nfs/dbraw/zinc/50/31/26/421503126.db2.gz MYNJRWIPGAWZLJ-UHFFFAOYSA-N 1 2 320.418 1.547 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@@H+](C)C(C)(C)C2)cc1C#N ZINC000563400521 421503128 /nfs/dbraw/zinc/50/31/28/421503128.db2.gz MYNJRWIPGAWZLJ-UHFFFAOYSA-N 1 2 320.418 1.547 20 30 DDEDLO CCNS(=O)(=O)c1cccc(C[NH2+]C[C@@H](C#N)CCC#N)c1 ZINC000516966062 421584515 /nfs/dbraw/zinc/58/45/15/421584515.db2.gz SQFMRWCYAHFPGD-CQSZACIVSA-N 1 2 320.418 1.518 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)cn1 ZINC000529209303 421529088 /nfs/dbraw/zinc/52/90/88/421529088.db2.gz UXZGCJYUDBYXNI-MRXNPFEDSA-N 1 2 308.341 1.571 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](CO)[C@H](O)C2)c(OC(F)F)c1 ZINC000563769728 421540192 /nfs/dbraw/zinc/54/01/92/421540192.db2.gz HAMDISWSPLDHFM-QWHCGFSZSA-N 1 2 312.316 1.335 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](CO)[C@H](O)C2)c(OC(F)F)c1 ZINC000563769728 421540194 /nfs/dbraw/zinc/54/01/94/421540194.db2.gz HAMDISWSPLDHFM-QWHCGFSZSA-N 1 2 312.316 1.335 20 30 DDEDLO COc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c(OC)c1OC ZINC000568752103 421622362 /nfs/dbraw/zinc/62/23/62/421622362.db2.gz BEFIHNQNPAMXRW-INIZCTEOSA-N 1 2 321.377 1.286 20 30 DDEDLO CCn1nccc1N1CCC[C@H]([NH2+]C[C@H](C#N)CCC#N)C1=O ZINC000570897902 421674804 /nfs/dbraw/zinc/67/48/04/421674804.db2.gz UZICGLHUUSXVHZ-KBPBESRZSA-N 1 2 314.393 1.432 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)N[C@H](Cc1ccccc1)C(C)=O ZINC000519832628 421733877 /nfs/dbraw/zinc/73/38/77/421733877.db2.gz KDVFGGBBSIGUCN-JKSUJKDBSA-N 1 2 301.390 1.585 20 30 DDEDLO C=CCCCNC(=O)N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000574393950 422100746 /nfs/dbraw/zinc/10/07/46/422100746.db2.gz CMYGDJLIKYNFJF-OAHLLOKOSA-N 1 2 322.453 1.291 20 30 DDEDLO N#CCC[C@@H](C#N)CNC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000582355528 422143080 /nfs/dbraw/zinc/14/30/80/422143080.db2.gz OLZIWROIWFQYOX-ROUUACIJSA-N 1 2 312.417 1.921 20 30 DDEDLO N#CCC[C@@H](C#N)CNC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000582355528 422143086 /nfs/dbraw/zinc/14/30/86/422143086.db2.gz OLZIWROIWFQYOX-ROUUACIJSA-N 1 2 312.417 1.921 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCO[C@@H](CC(N)=O)C2)cc1Cl ZINC000628474811 422188039 /nfs/dbraw/zinc/18/80/39/422188039.db2.gz QWFYIVZNKSIECA-ZDUSSCGKSA-N 1 2 324.808 1.981 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCO[C@@H](CC(N)=O)C2)cc1Cl ZINC000628474811 422188047 /nfs/dbraw/zinc/18/80/47/422188047.db2.gz QWFYIVZNKSIECA-ZDUSSCGKSA-N 1 2 324.808 1.981 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)NCc2ccccc2C)nn1 ZINC000630611785 422221549 /nfs/dbraw/zinc/22/15/49/422221549.db2.gz FAWTUGCNBKLNMQ-UHFFFAOYSA-N 1 2 311.389 1.016 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)[nH]1 ZINC000583599096 422194064 /nfs/dbraw/zinc/19/40/64/422194064.db2.gz CCBZCSNUPFZSHH-DGCLKSJQSA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)[nH]1 ZINC000583599096 422194069 /nfs/dbraw/zinc/19/40/69/422194069.db2.gz CCBZCSNUPFZSHH-DGCLKSJQSA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)n1 ZINC000583599096 422194074 /nfs/dbraw/zinc/19/40/74/422194074.db2.gz CCBZCSNUPFZSHH-DGCLKSJQSA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)n1 ZINC000583599096 422194080 /nfs/dbraw/zinc/19/40/80/422194080.db2.gz CCBZCSNUPFZSHH-DGCLKSJQSA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)n[nH]1 ZINC000583599096 422194084 /nfs/dbraw/zinc/19/40/84/422194084.db2.gz CCBZCSNUPFZSHH-DGCLKSJQSA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)n[nH]1 ZINC000583599096 422194089 /nfs/dbraw/zinc/19/40/89/422194089.db2.gz CCBZCSNUPFZSHH-DGCLKSJQSA-N 1 2 316.409 1.244 20 30 DDEDLO CN1c2ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc2CCC1=O ZINC000577116409 422380827 /nfs/dbraw/zinc/38/08/27/422380827.db2.gz ZLSWJXFWMWIBEQ-KRWDZBQOSA-N 1 2 314.389 1.169 20 30 DDEDLO N#Cc1cnnc(N[C@H](C[NH+]2CCOCC2)c2ccccc2)c1 ZINC000596062530 422361974 /nfs/dbraw/zinc/36/19/74/422361974.db2.gz HRFVXAYUSPERCP-MRXNPFEDSA-N 1 2 309.373 1.834 20 30 DDEDLO N#C[C@@H]1CSCCN1C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000602133924 422430962 /nfs/dbraw/zinc/43/09/62/422430962.db2.gz UMEHYBIBQWUGNM-NXEZZACHSA-N 1 2 318.324 1.844 20 30 DDEDLO Cn1ncc2c1nc(N[C@H]1CCCc3cc(C#N)ccc31)[nH+]c2N ZINC000578227028 422527555 /nfs/dbraw/zinc/52/75/55/422527555.db2.gz HFBKZSMMXADWMZ-AWEZNQCLSA-N 1 2 319.372 2.307 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000636005872 422557143 /nfs/dbraw/zinc/55/71/43/422557143.db2.gz QMZDFEDUIXVYIF-MNOVXSKESA-N 1 2 305.300 1.820 20 30 DDEDLO Cc1cc(C(F)(F)F)nn1CC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000579237126 422729619 /nfs/dbraw/zinc/72/96/19/422729619.db2.gz VARLGCYRTUKXHB-LBPRGKRZSA-N 1 2 317.315 1.170 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(C)[C@H]2CCCC[C@H]2C)nn1 ZINC000640966180 423302320 /nfs/dbraw/zinc/30/23/20/423302320.db2.gz MGGPJYIVGIOXKC-ZBFHGGJFSA-N 1 2 317.437 1.428 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cncc(Br)c2)nn1 ZINC000641161101 423433235 /nfs/dbraw/zinc/43/32/35/423433235.db2.gz BYQLAXABHZWYPN-UHFFFAOYSA-N 1 2 320.194 1.597 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H](CC(=O)NC)c2ccccc2)nn1 ZINC000653656394 423567095 /nfs/dbraw/zinc/56/70/95/423567095.db2.gz AMTISYCOYQYUEC-INIZCTEOSA-N 1 2 313.405 1.821 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H](C)[N@H+]2C[C@@H](C)O[C@@H](C)C2)nn1 ZINC000654051560 423682198 /nfs/dbraw/zinc/68/21/98/423682198.db2.gz JEWQKYDIJFFVPP-MELADBBJSA-N 1 2 321.425 1.082 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H](C)[N@@H+]2C[C@@H](C)O[C@@H](C)C2)nn1 ZINC000654051560 423682202 /nfs/dbraw/zinc/68/22/02/423682202.db2.gz JEWQKYDIJFFVPP-MELADBBJSA-N 1 2 321.425 1.082 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCC#Cc2ccccc2)[C@@H](C)CO1 ZINC000663547893 423934221 /nfs/dbraw/zinc/93/42/21/423934221.db2.gz JVUCXRPOCCKYHL-JKSUJKDBSA-N 1 2 315.417 1.447 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCC#Cc2ccccc2)[C@@H](C)CO1 ZINC000663547893 423934230 /nfs/dbraw/zinc/93/42/30/423934230.db2.gz JVUCXRPOCCKYHL-JKSUJKDBSA-N 1 2 315.417 1.447 20 30 DDEDLO C=CCOCCCNC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000661791075 424176685 /nfs/dbraw/zinc/17/66/85/424176685.db2.gz BCWSHNRZLMCTDU-OAHLLOKOSA-N 1 2 305.378 1.837 20 30 DDEDLO CC#CC[C@H](CO)Nc1nc[nH+]c(N[C@@H](CO)CC#CC)c1C ZINC000664055451 424366458 /nfs/dbraw/zinc/36/64/58/424366458.db2.gz CAJQYWNZVYCURE-HUUCEWRRSA-N 1 2 316.405 1.157 20 30 DDEDLO C=CCCNC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000660269915 424617087 /nfs/dbraw/zinc/61/70/87/424617087.db2.gz HZETWMFBCRORNR-MRXNPFEDSA-N 1 2 303.406 1.935 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1ccc2[nH+]ccn2c1)[C@H](C)COC ZINC000658375791 424651592 /nfs/dbraw/zinc/65/15/92/424651592.db2.gz MQDOSXXSAADJGK-GFCCVEGCSA-N 1 2 316.361 1.322 20 30 DDEDLO C[C@H]1[C@@H]([NH+]2CCOCC2)CCN1C(=O)c1cscc1C#N ZINC000356814016 267250408 /nfs/dbraw/zinc/25/04/08/267250408.db2.gz WJYCQOPYIXBSTD-FZMZJTMJSA-N 1 2 305.403 1.555 20 30 DDEDLO C[C@H](CNC(=O)OC(C)(C)C)[N@H+](C)Cc1ncc(C#N)cn1 ZINC000497302346 267821462 /nfs/dbraw/zinc/82/14/62/267821462.db2.gz VSNGMWKRSKRDSI-LLVKDONJSA-N 1 2 305.382 1.693 20 30 DDEDLO C[C@H](CNC(=O)OC(C)(C)C)[N@@H+](C)Cc1ncc(C#N)cn1 ZINC000497302346 267821465 /nfs/dbraw/zinc/82/14/65/267821465.db2.gz VSNGMWKRSKRDSI-LLVKDONJSA-N 1 2 305.382 1.693 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+][C@H]2COc3ccc(F)cc32)C(N)=O)cc1 ZINC000342455299 268214722 /nfs/dbraw/zinc/21/47/22/268214722.db2.gz HVQAXOPUPQKYTM-HOCLYGCPSA-N 1 2 311.316 1.947 20 30 DDEDLO N#Cc1ccsc1C(=O)N[C@@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000366297961 268302514 /nfs/dbraw/zinc/30/25/14/268302514.db2.gz NZROIULJGPDJJK-KGLIPLIRSA-N 1 2 319.430 1.993 20 30 DDEDLO N#Cc1ncccc1NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000377965934 268327233 /nfs/dbraw/zinc/32/72/33/268327233.db2.gz FRJXQKYWOKDPQI-HNNXBMFYSA-N 1 2 304.419 1.573 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H]2CCC[N@H+](C)[C@@H]2C)cc1C#N ZINC000345292443 272210804 /nfs/dbraw/zinc/21/08/04/272210804.db2.gz NHYDNUCGEMPAFN-SKDRFNHKSA-N 1 2 320.418 1.593 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H]2CCC[N@@H+](C)[C@@H]2C)cc1C#N ZINC000345292443 272210806 /nfs/dbraw/zinc/21/08/06/272210806.db2.gz NHYDNUCGEMPAFN-SKDRFNHKSA-N 1 2 320.418 1.593 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)Cc1nnc2n1c1ccc(C)cc1c(=O)n2C ZINC000279196849 275378767 /nfs/dbraw/zinc/37/87/67/275378767.db2.gz FMGCVGGAAKDOJL-UHFFFAOYSA-N 1 2 323.400 1.733 20 30 DDEDLO C#CC(C)(C)[N@H+](C)Cc1nnc2n1c1ccc(C)cc1c(=O)n2C ZINC000279196849 275378768 /nfs/dbraw/zinc/37/87/68/275378768.db2.gz FMGCVGGAAKDOJL-UHFFFAOYSA-N 1 2 323.400 1.733 20 30 DDEDLO C=C[C@H](C)NC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000356367992 277910422 /nfs/dbraw/zinc/91/04/22/277910422.db2.gz MPAHIGNOAFAWHY-WOSRLPQWSA-N 1 2 318.421 1.801 20 30 DDEDLO C[C@@](O)(C[NH+]1CCN(c2cc(C#N)ccn2)CC1)C(F)(F)F ZINC000281994360 279150600 /nfs/dbraw/zinc/15/06/00/279150600.db2.gz MZEKPQVOHVUVMM-CYBMUJFWSA-N 1 2 314.311 1.389 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)cc1C ZINC000451927377 288284534 /nfs/dbraw/zinc/28/45/34/288284534.db2.gz BIMTVQMUVPXBQX-HNNXBMFYSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)cc1C ZINC000451927377 288284537 /nfs/dbraw/zinc/28/45/37/288284537.db2.gz BIMTVQMUVPXBQX-HNNXBMFYSA-N 1 2 321.446 1.972 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2ccc(C#N)cn2)C1 ZINC000328654224 298263743 /nfs/dbraw/zinc/26/37/43/298263743.db2.gz XIFUZVMPXAXBGU-HNNXBMFYSA-N 1 2 314.389 1.136 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2ccc(C#N)cn2)C1 ZINC000328654224 298263746 /nfs/dbraw/zinc/26/37/46/298263746.db2.gz XIFUZVMPXAXBGU-HNNXBMFYSA-N 1 2 314.389 1.136 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)cc2F)[C@@H](C)C[N@@H+]1C ZINC000535070241 303343540 /nfs/dbraw/zinc/34/35/40/303343540.db2.gz NHXGEPUMOLEHHA-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)cc2F)[C@@H](C)C[N@H+]1C ZINC000535070241 303343542 /nfs/dbraw/zinc/34/35/42/303343542.db2.gz NHXGEPUMOLEHHA-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO CC(C)N(C)C(=O)[C@H](C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000566655520 308059862 /nfs/dbraw/zinc/05/98/62/308059862.db2.gz PQSIZGADMYMBSI-AWEZNQCLSA-N 1 2 315.421 1.331 20 30 DDEDLO CCC(=O)N1CC[N@H+](CCC(=O)Nc2ccccc2C#N)C1 ZINC000568504032 308120393 /nfs/dbraw/zinc/12/03/93/308120393.db2.gz TVHZWGLOTRMTNU-UHFFFAOYSA-N 1 2 300.362 1.399 20 30 DDEDLO CCC(=O)N1CC[N@@H+](CCC(=O)Nc2ccccc2C#N)C1 ZINC000568504032 308120395 /nfs/dbraw/zinc/12/03/95/308120395.db2.gz TVHZWGLOTRMTNU-UHFFFAOYSA-N 1 2 300.362 1.399 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC(F)(F)[C@H](CO)C2)c([N+](=O)[O-])c1 ZINC000577400991 308386159 /nfs/dbraw/zinc/38/61/59/308386159.db2.gz WYPWGWGUNUSANQ-LBPRGKRZSA-N 1 2 311.288 1.916 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC(F)(F)[C@H](CO)C2)c([N+](=O)[O-])c1 ZINC000577400991 308386160 /nfs/dbraw/zinc/38/61/60/308386160.db2.gz WYPWGWGUNUSANQ-LBPRGKRZSA-N 1 2 311.288 1.916 20 30 DDEDLO CC[C@@H](Oc1ccccc1F)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000575062866 332903116 /nfs/dbraw/zinc/90/31/16/332903116.db2.gz BJPZZPPCOUGWFL-CZUORRHYSA-N 1 2 307.369 1.943 20 30 DDEDLO C=C(C)CCNC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000556496323 331708119 /nfs/dbraw/zinc/70/81/19/331708119.db2.gz BGDBDVFTGUYSCJ-UHFFFAOYSA-N 1 2 300.362 1.903 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCO[C@@]2(CCSC2)C1 ZINC000563071177 333305196 /nfs/dbraw/zinc/30/51/96/333305196.db2.gz WFTUSVWDVFVBPO-GJZGRUSLSA-N 1 2 311.451 1.249 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCO[C@@]2(CCSC2)C1 ZINC000563071177 333305198 /nfs/dbraw/zinc/30/51/98/333305198.db2.gz WFTUSVWDVFVBPO-GJZGRUSLSA-N 1 2 311.451 1.249 20 30 DDEDLO C=C(C)C[N@H+](Cc1ccc(CO)o1)[C@H](C)C(=O)N(C)CCC#N ZINC000352819066 336254643 /nfs/dbraw/zinc/25/46/43/336254643.db2.gz WEWLQVHOHMXGOE-CQSZACIVSA-N 1 2 319.405 1.911 20 30 DDEDLO C=C(C)C[N@@H+](Cc1ccc(CO)o1)[C@H](C)C(=O)N(C)CCC#N ZINC000352819066 336254644 /nfs/dbraw/zinc/25/46/44/336254644.db2.gz WEWLQVHOHMXGOE-CQSZACIVSA-N 1 2 319.405 1.911 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NS(=O)(=O)CC1(C#N)CCC1)C1CC1 ZINC000582754337 337134162 /nfs/dbraw/zinc/13/41/62/337134162.db2.gz HEHIVFBPXYJTLY-GFCCVEGCSA-N 1 2 308.407 1.484 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000584449750 337339379 /nfs/dbraw/zinc/33/93/79/337339379.db2.gz SCWGYLZOZLNWLK-GFCCVEGCSA-N 1 2 305.426 1.900 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000584449750 337339380 /nfs/dbraw/zinc/33/93/80/337339380.db2.gz SCWGYLZOZLNWLK-GFCCVEGCSA-N 1 2 305.426 1.900 20 30 DDEDLO C[C@@H](c1ccccc1[N+](=O)[O-])[NH+]1CCN(C(=O)CC#N)CC1 ZINC000514871002 337973875 /nfs/dbraw/zinc/97/38/75/337973875.db2.gz PCLDVYYUDIZZNF-LBPRGKRZSA-N 1 2 302.334 1.714 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]2C[C@]2(C[NH+]2CC(O)(CC#N)C2)C1 ZINC000497072458 340019609 /nfs/dbraw/zinc/01/96/09/340019609.db2.gz GFBNCLMRIPATTE-WFASDCNBSA-N 1 2 307.394 1.204 20 30 DDEDLO CC(C)c1nnc(CN(CCC#N)CC[NH+]2CCOCC2)o1 ZINC000174831256 340397007 /nfs/dbraw/zinc/39/70/07/340397007.db2.gz USCAHJPFBXZLJO-UHFFFAOYSA-N 1 2 307.398 1.241 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C)c([N+](=O)[O-])c2)CC1 ZINC000134065309 341205728 /nfs/dbraw/zinc/20/57/28/341205728.db2.gz WZHTWCDQLYRJOM-UHFFFAOYSA-N 1 2 317.345 1.059 20 30 DDEDLO N#CC1(c2ccccn2)CC[NH+](CC(=O)Nc2ccncc2)CC1 ZINC000548865461 341278865 /nfs/dbraw/zinc/27/88/65/341278865.db2.gz NAPXQOLNAOWTEI-UHFFFAOYSA-N 1 2 321.384 1.394 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCOc1ccccc1[N+](=O)[O-] ZINC000576880733 341867743 /nfs/dbraw/zinc/86/77/43/341867743.db2.gz XZYUDPGVKBKCLU-HNNXBMFYSA-N 1 2 320.349 1.324 20 30 DDEDLO C[C@@H]1CC[C@@H](O)C[N@@H+]1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000421025288 484112833 /nfs/dbraw/zinc/11/28/33/484112833.db2.gz SKNYTWRTYXVYFO-ZWNOBZJWSA-N 1 2 307.781 1.995 20 30 DDEDLO C[C@@H]1CC[C@@H](O)C[N@H+]1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000421025288 484112838 /nfs/dbraw/zinc/11/28/38/484112838.db2.gz SKNYTWRTYXVYFO-ZWNOBZJWSA-N 1 2 307.781 1.995 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCOc3ccc(OC)cc32)nn1 ZINC000656460637 484148072 /nfs/dbraw/zinc/14/80/72/484148072.db2.gz VHNKIEBYGDDOAI-MRXNPFEDSA-N 1 2 312.373 1.772 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)Cc2cccc(F)c2)nn1 ZINC000656464734 484150827 /nfs/dbraw/zinc/15/08/27/484150827.db2.gz VGNXRGNWOJPBRM-MRXNPFEDSA-N 1 2 302.353 1.134 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000665633713 484980626 /nfs/dbraw/zinc/98/06/26/484980626.db2.gz KCAGAKVLBPPADH-MRXNPFEDSA-N 1 2 319.405 1.395 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000671397639 485009718 /nfs/dbraw/zinc/00/97/18/485009718.db2.gz MMOFESZNSRVKOV-UHFFFAOYSA-N 1 2 315.417 1.543 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCCCNc1cccc[nH+]1 ZINC000668896676 485352890 /nfs/dbraw/zinc/35/28/90/485352890.db2.gz ZDYJBEZWNLJDEG-AWEZNQCLSA-N 1 2 304.394 1.471 20 30 DDEDLO C=CCOCCNC(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000679504834 485904828 /nfs/dbraw/zinc/90/48/28/485904828.db2.gz AKEQJWPAGCHIED-OAHLLOKOSA-N 1 2 318.421 1.672 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)c2ccc(C)c(OC)c2)CC1 ZINC000677048296 486386038 /nfs/dbraw/zinc/38/60/38/486386038.db2.gz URWCFUYBGDDLBA-INIZCTEOSA-N 1 2 318.417 1.698 20 30 DDEDLO CCC#C[C@H](C)[NH+]1CCN(CC(=O)N2CCCC[C@H]2C)CC1 ZINC000677047352 486386116 /nfs/dbraw/zinc/38/61/16/486386116.db2.gz WUNCBXZGZPKRIU-DLBZAZTESA-N 1 2 305.466 1.807 20 30 DDEDLO CCC#C[C@H](C)N1CC[NH+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000677047352 486386119 /nfs/dbraw/zinc/38/61/19/486386119.db2.gz WUNCBXZGZPKRIU-DLBZAZTESA-N 1 2 305.466 1.807 20 30 DDEDLO COCC#CC[NH+]1CCN(c2ncnc3ccsc32)CC1 ZINC000677132977 486397649 /nfs/dbraw/zinc/39/76/49/486397649.db2.gz BJFOVGXEHDDSIJ-UHFFFAOYSA-N 1 2 302.403 1.463 20 30 DDEDLO C[C@@]1(O)CCCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)C1 ZINC000330565957 534275218 /nfs/dbraw/zinc/27/52/18/534275218.db2.gz LCPIVQWCHUSOQZ-GFCCVEGCSA-N 1 2 320.315 1.706 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N(C[C@H]1CCOC1)C1CC1 ZINC000329748418 534763310 /nfs/dbraw/zinc/76/33/10/534763310.db2.gz SZPRFCFKCSPACA-VXGBXAGGSA-N 1 2 304.394 1.682 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N(C[C@H]1CCOC1)C1CC1 ZINC000329748418 534763313 /nfs/dbraw/zinc/76/33/13/534763313.db2.gz SZPRFCFKCSPACA-VXGBXAGGSA-N 1 2 304.394 1.682 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CCO[C@@]2(CCCOC2)C1 ZINC000329630369 534764337 /nfs/dbraw/zinc/76/43/37/534764337.db2.gz VKDYSEJJIUVZKN-WBMJQRKESA-N 1 2 320.393 1.062 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CCO[C@@]2(CCCOC2)C1 ZINC000329630369 534764339 /nfs/dbraw/zinc/76/43/39/534764339.db2.gz VKDYSEJJIUVZKN-WBMJQRKESA-N 1 2 320.393 1.062 20 30 DDEDLO CC#CCCNC(=O)NC[C@H]1C[C@@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000349955887 526324093 /nfs/dbraw/zinc/32/40/93/526324093.db2.gz UEDJYIMKDKNCGK-HUUCEWRRSA-N 1 2 321.400 1.045 20 30 DDEDLO CC#CCCNC(=O)NC[C@H]1C[C@@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000349955887 526324098 /nfs/dbraw/zinc/32/40/98/526324098.db2.gz UEDJYIMKDKNCGK-HUUCEWRRSA-N 1 2 321.400 1.045 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N2C[C@@H](C)C[C@H](C)C2)C1=O ZINC000337235750 526498842 /nfs/dbraw/zinc/49/88/42/526498842.db2.gz XVKPQLLIQPYQKA-KKUMJFAQSA-N 1 2 307.438 1.210 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N2C[C@@H](C)C[C@H](C)C2)C1=O ZINC000337235750 526498849 /nfs/dbraw/zinc/49/88/49/526498849.db2.gz XVKPQLLIQPYQKA-KKUMJFAQSA-N 1 2 307.438 1.210 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@]1(C#N)CCC[C@H](C)C1 ZINC000339279038 526893655 /nfs/dbraw/zinc/89/36/55/526893655.db2.gz BQRLTPVTENSHRF-PEYYIBSZSA-N 1 2 317.393 1.046 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@]1(C#N)CCC[C@H](C)C1 ZINC000339279038 526893658 /nfs/dbraw/zinc/89/36/58/526893658.db2.gz BQRLTPVTENSHRF-PEYYIBSZSA-N 1 2 317.393 1.046 20 30 DDEDLO C#CCN1CCN(C(=O)C[NH2+][C@@H](C)c2c(F)cccc2F)CC1 ZINC000490924754 526949619 /nfs/dbraw/zinc/94/96/19/526949619.db2.gz RGQGEABWLKELKL-ZDUSSCGKSA-N 1 2 321.371 1.393 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc([N+](=O)[O-])c(C)c2)CC1 ZINC000491242870 526952261 /nfs/dbraw/zinc/95/22/61/526952261.db2.gz SNFOJMIIOUYFBX-UHFFFAOYSA-N 1 2 317.345 1.059 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C/C(=C/C)CC)CC1 ZINC000490830466 526989728 /nfs/dbraw/zinc/98/97/28/526989728.db2.gz LIDLSNPULWASAE-QFYRFVJTSA-N 1 2 317.433 1.183 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C/C(=C/C)CC)CC1 ZINC000490830466 526989733 /nfs/dbraw/zinc/98/97/33/526989733.db2.gz LIDLSNPULWASAE-QFYRFVJTSA-N 1 2 317.433 1.183 20 30 DDEDLO C#CCn1ccc(CN(CCOC)Cc2cccc3[nH+]ccn32)n1 ZINC000491780643 527191490 /nfs/dbraw/zinc/19/14/90/527191490.db2.gz FDRJZHFDUMTADI-UHFFFAOYSA-N 1 2 323.400 1.813 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)Cc1nc2oc(C)c(C(=O)OCC)c2c(N)n1 ZINC000491496487 527214943 /nfs/dbraw/zinc/21/49/43/527214943.db2.gz QQCAYFJUSDGMJJ-SECBINFHSA-N 1 2 316.361 1.744 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)Cc1nc2oc(C)c(C(=O)OCC)c2c(N)n1 ZINC000491496487 527214947 /nfs/dbraw/zinc/21/49/47/527214947.db2.gz QQCAYFJUSDGMJJ-SECBINFHSA-N 1 2 316.361 1.744 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@H](O)Cc1ccc(C(F)(F)F)cc1 ZINC000491659496 527311555 /nfs/dbraw/zinc/31/15/55/527311555.db2.gz BUDMTNZBZIRDDI-HUUCEWRRSA-N 1 2 313.319 1.943 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@H](O)Cc1ccc(C(F)(F)F)cc1 ZINC000491659496 527311558 /nfs/dbraw/zinc/31/15/58/527311558.db2.gz BUDMTNZBZIRDDI-HUUCEWRRSA-N 1 2 313.319 1.943 20 30 DDEDLO C#C[C@H](NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C)C(C)C ZINC000491708635 527379233 /nfs/dbraw/zinc/37/92/33/527379233.db2.gz PDVNDZORRUBWHW-ZDUSSCGKSA-N 1 2 312.373 1.594 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+](Cc2cnc(C)s2)CC1 ZINC000330841922 527541752 /nfs/dbraw/zinc/54/17/52/527541752.db2.gz AVFDNGFEOTVZGL-OAHLLOKOSA-N 1 2 309.435 1.423 20 30 DDEDLO C=CCN(CCC#N)C(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000340619265 527652797 /nfs/dbraw/zinc/65/27/97/527652797.db2.gz LQLTUADWKQKUDR-UHFFFAOYSA-N 1 2 323.356 1.739 20 30 DDEDLO CC(C)OC(=O)CCNC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000331222609 527771602 /nfs/dbraw/zinc/77/16/02/527771602.db2.gz KVOYLPPCWWPSTM-UHFFFAOYSA-N 1 2 308.382 1.845 20 30 DDEDLO CC(C)OC(=O)CCNC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000331222609 527771607 /nfs/dbraw/zinc/77/16/07/527771607.db2.gz KVOYLPPCWWPSTM-UHFFFAOYSA-N 1 2 308.382 1.845 20 30 DDEDLO CC1CCC(N(C)C(=O)C[N@H+](C)CCNC(=O)N(C)C)CC1 ZINC000330621891 528187445 /nfs/dbraw/zinc/18/74/45/528187445.db2.gz MZQWKBSVYKHFLX-UHFFFAOYSA-N 1 2 312.458 1.431 20 30 DDEDLO CC1CCC(N(C)C(=O)C[N@@H+](C)CCNC(=O)N(C)C)CC1 ZINC000330621891 528187453 /nfs/dbraw/zinc/18/74/53/528187453.db2.gz MZQWKBSVYKHFLX-UHFFFAOYSA-N 1 2 312.458 1.431 20 30 DDEDLO CCC[C@]1(C(=O)OCC)CCC[N@@H+]1CC(=O)N(CC)CCC#N ZINC000444779516 528488664 /nfs/dbraw/zinc/48/86/64/528488664.db2.gz CCEPONNXLQNFAN-QGZVFWFLSA-N 1 2 323.437 1.946 20 30 DDEDLO CCC[C@]1(C(=O)OCC)CCC[N@H+]1CC(=O)N(CC)CCC#N ZINC000444779516 528488668 /nfs/dbraw/zinc/48/86/68/528488668.db2.gz CCEPONNXLQNFAN-QGZVFWFLSA-N 1 2 323.437 1.946 20 30 DDEDLO CCOc1ccccc1NC(=O)CN1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000330280463 528738433 /nfs/dbraw/zinc/73/84/33/528738433.db2.gz SLSTVKKMXBOLCS-HOCLYGCPSA-N 1 2 319.405 1.688 20 30 DDEDLO CCOc1ccccc1NC(=O)CN1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000330280463 528738440 /nfs/dbraw/zinc/73/84/40/528738440.db2.gz SLSTVKKMXBOLCS-HOCLYGCPSA-N 1 2 319.405 1.688 20 30 DDEDLO CCN1CC[N@H+](CCCOc2cccc(C#N)c2)[C@H](C)C1=O ZINC000495191224 529145495 /nfs/dbraw/zinc/14/54/95/529145495.db2.gz JJKBDLNKPNEUIL-CQSZACIVSA-N 1 2 301.390 1.880 20 30 DDEDLO CCN1CC[N@@H+](CCCOc2cccc(C#N)c2)[C@H](C)C1=O ZINC000495191224 529145496 /nfs/dbraw/zinc/14/54/96/529145496.db2.gz JJKBDLNKPNEUIL-CQSZACIVSA-N 1 2 301.390 1.880 20 30 DDEDLO COc1cc(-c2nn[nH]n2)ccc1OCC[N@H+](C)[C@@H](C)CC#N ZINC000821701586 607087520 /nfs/dbraw/zinc/08/75/20/607087520.db2.gz ULYQYJGBWLIGFC-NSHDSACASA-N 1 2 316.365 1.488 20 30 DDEDLO COc1cc(-c2nn[nH]n2)ccc1OCC[N@@H+](C)[C@@H](C)CC#N ZINC000821701586 607087521 /nfs/dbraw/zinc/08/75/21/607087521.db2.gz ULYQYJGBWLIGFC-NSHDSACASA-N 1 2 316.365 1.488 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(C)n3)C2)C1 ZINC000972247973 695189428 /nfs/dbraw/zinc/18/94/28/695189428.db2.gz HLIJYTZSXZPFEY-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(C)n3)C2)C1 ZINC000972247973 695189429 /nfs/dbraw/zinc/18/94/29/695189429.db2.gz HLIJYTZSXZPFEY-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccsc3)C2)C1 ZINC000972253289 695191818 /nfs/dbraw/zinc/19/18/18/695191818.db2.gz ONKNNDMSJFBSHD-INIZCTEOSA-N 1 2 304.415 1.688 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccsc3)C2)C1 ZINC000972253289 695191819 /nfs/dbraw/zinc/19/18/19/695191819.db2.gz ONKNNDMSJFBSHD-INIZCTEOSA-N 1 2 304.415 1.688 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(C)o3)C2)C1 ZINC000972347297 695222886 /nfs/dbraw/zinc/22/28/86/695222886.db2.gz SZGFOMLSJXLXPX-KRWDZBQOSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(C)o3)C2)C1 ZINC000972347297 695222887 /nfs/dbraw/zinc/22/28/87/695222887.db2.gz SZGFOMLSJXLXPX-KRWDZBQOSA-N 1 2 304.390 1.620 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CC(OCC)C3)C2)C1 ZINC000972368785 695231777 /nfs/dbraw/zinc/23/17/77/695231777.db2.gz BUIWSBOHMNWVQK-HTWSVDAQSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CC(OCC)C3)C2)C1 ZINC000972368785 695231780 /nfs/dbraw/zinc/23/17/80/695231780.db2.gz BUIWSBOHMNWVQK-HTWSVDAQSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)C2)C1 ZINC000972460402 695255949 /nfs/dbraw/zinc/25/59/49/695255949.db2.gz GOJBRXOOPCREDO-GPMSIDNRSA-N 1 2 318.461 1.995 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)C2)C1 ZINC000972460402 695255950 /nfs/dbraw/zinc/25/59/50/695255950.db2.gz GOJBRXOOPCREDO-GPMSIDNRSA-N 1 2 318.461 1.995 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C4CC4)CCC3)C2)C1 ZINC000972483557 695261286 /nfs/dbraw/zinc/26/12/86/695261286.db2.gz IANSEGZUYLRASL-SFHVURJKSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C4CC4)CCC3)C2)C1 ZINC000972483557 695261288 /nfs/dbraw/zinc/26/12/88/695261288.db2.gz IANSEGZUYLRASL-SFHVURJKSA-N 1 2 316.445 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C(C)C)on3)C2)C1 ZINC000972484666 695261508 /nfs/dbraw/zinc/26/15/08/695261508.db2.gz OWOGCYDHDWSJQX-KRWDZBQOSA-N 1 2 319.405 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C(C)C)on3)C2)C1 ZINC000972484666 695261509 /nfs/dbraw/zinc/26/15/09/695261509.db2.gz OWOGCYDHDWSJQX-KRWDZBQOSA-N 1 2 319.405 1.901 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(C)(C)C)C2)C1 ZINC000972493115 695264460 /nfs/dbraw/zinc/26/44/60/695264460.db2.gz BLMJQEYOMCHBLF-SFHVURJKSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C(C)(C)C)C2)C1 ZINC000972493115 695264464 /nfs/dbraw/zinc/26/44/64/695264464.db2.gz BLMJQEYOMCHBLF-SFHVURJKSA-N 1 2 306.450 1.995 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@]3(C)C=CCC3)C2)C1 ZINC000972499002 695266028 /nfs/dbraw/zinc/26/60/28/695266028.db2.gz UWNCTSMGHDOGRR-MSOLQXFVSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@]3(C)C=CCC3)C2)C1 ZINC000972499002 695266030 /nfs/dbraw/zinc/26/60/30/695266030.db2.gz UWNCTSMGHDOGRR-MSOLQXFVSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3CC(C)(C)C3)C2)C1 ZINC000972518709 695271285 /nfs/dbraw/zinc/27/12/85/695271285.db2.gz KSZCELAQKZEZMH-GOSISDBHSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3CC(C)(C)C3)C2)C1 ZINC000972518709 695271286 /nfs/dbraw/zinc/27/12/86/695271286.db2.gz KSZCELAQKZEZMH-GOSISDBHSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CCC(F)CC3)C2)C1 ZINC000972530298 695274068 /nfs/dbraw/zinc/27/40/68/695274068.db2.gz CBSNQKIOYFNLOJ-DQPZFDDXSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CCC(F)CC3)C2)C1 ZINC000972530298 695274070 /nfs/dbraw/zinc/27/40/70/695274070.db2.gz CBSNQKIOYFNLOJ-DQPZFDDXSA-N 1 2 308.397 1.451 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C3CC3)C3CC3)C2)C1 ZINC000972630284 695304143 /nfs/dbraw/zinc/30/41/43/695304143.db2.gz GOABJMYFZZNKHQ-IBGZPJMESA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C3CC3)C3CC3)C2)C1 ZINC000972630284 695304145 /nfs/dbraw/zinc/30/41/45/695304145.db2.gz GOABJMYFZZNKHQ-IBGZPJMESA-N 1 2 316.445 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cn(C)nc3Cl)C2)C1 ZINC000972633438 695304857 /nfs/dbraw/zinc/30/48/57/695304857.db2.gz DJSQTNOHJPIPRK-HNNXBMFYSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cn(C)nc3Cl)C2)C1 ZINC000972633438 695304859 /nfs/dbraw/zinc/30/48/59/695304859.db2.gz DJSQTNOHJPIPRK-HNNXBMFYSA-N 1 2 324.812 1.176 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H](C)n3cccc3)C2)C1 ZINC000972642256 695307072 /nfs/dbraw/zinc/30/70/72/695307072.db2.gz GBNQVVFWFHERDV-WMZOPIPTSA-N 1 2 315.417 1.376 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H](C)n3cccc3)C2)C1 ZINC000972642256 695307073 /nfs/dbraw/zinc/30/70/73/695307073.db2.gz GBNQVVFWFHERDV-WMZOPIPTSA-N 1 2 315.417 1.376 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CC(C(C)(C)C)C3)C2)C1 ZINC000972693100 695317345 /nfs/dbraw/zinc/31/73/45/695317345.db2.gz WBRLQVCADFXVSA-RJYAGPCLSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CC(C(C)(C)C)C3)C2)C1 ZINC000972693100 695317347 /nfs/dbraw/zinc/31/73/47/695317347.db2.gz WBRLQVCADFXVSA-RJYAGPCLSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CCSCCNC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000746766948 700039594 /nfs/dbraw/zinc/03/95/94/700039594.db2.gz GWQJDRWGZLSKJN-UHFFFAOYSA-N 1 2 316.430 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(OC)nn2)C(C)(C)C1 ZINC000977436078 696161570 /nfs/dbraw/zinc/16/15/70/696161570.db2.gz WRIAIRMFXMVYAG-GFCCVEGCSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(OC)nn2)C(C)(C)C1 ZINC000977436078 696161571 /nfs/dbraw/zinc/16/15/71/696161571.db2.gz WRIAIRMFXMVYAG-GFCCVEGCSA-N 1 2 324.812 1.678 20 30 DDEDLO C=CCN1CC[C@@H](OC(=O)[C@H]([NH2+]C2CCOCC2)C(C)C)C1=O ZINC000798630202 700082006 /nfs/dbraw/zinc/08/20/06/700082006.db2.gz PWFNQGKZASZKEN-HUUCEWRRSA-N 1 2 324.421 1.110 20 30 DDEDLO C=C(C)CNC(=S)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000747723614 700084018 /nfs/dbraw/zinc/08/40/18/700084018.db2.gz MUMQIRXDABOYAQ-MRXNPFEDSA-N 1 2 319.474 1.928 20 30 DDEDLO C=C(C)CNC(=S)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000747723614 700084020 /nfs/dbraw/zinc/08/40/20/700084020.db2.gz MUMQIRXDABOYAQ-MRXNPFEDSA-N 1 2 319.474 1.928 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cccc(F)c2C#N)C[C@@H]1C ZINC000070398291 696387942 /nfs/dbraw/zinc/38/79/42/696387942.db2.gz FCGVXMIOEHLDQD-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cccc(F)c2C#N)C[C@@H]1C ZINC000070398291 696387943 /nfs/dbraw/zinc/38/79/43/696387943.db2.gz FCGVXMIOEHLDQD-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO C=CC[N@H+]1CCCN(C(=O)Cc2cc(=O)n(CC(C)C)[nH]2)CC1 ZINC000981565807 696820992 /nfs/dbraw/zinc/82/09/92/696820992.db2.gz JDKOCHIDNVKKGN-UHFFFAOYSA-N 1 2 320.437 1.095 20 30 DDEDLO C=CC[N@@H+]1CCCN(C(=O)Cc2cc(=O)n(CC(C)C)[nH]2)CC1 ZINC000981565807 696820996 /nfs/dbraw/zinc/82/09/96/696820996.db2.gz JDKOCHIDNVKKGN-UHFFFAOYSA-N 1 2 320.437 1.095 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCCN(C(=O)[C@H](F)CC)CC2)C1=O ZINC000981761373 696906295 /nfs/dbraw/zinc/90/62/95/696906295.db2.gz POLPAVWFXSZONG-KGLIPLIRSA-N 1 2 311.401 1.056 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCCN(C(=O)[C@H](F)CC)CC2)C1=O ZINC000981761373 696906299 /nfs/dbraw/zinc/90/62/99/696906299.db2.gz POLPAVWFXSZONG-KGLIPLIRSA-N 1 2 311.401 1.056 20 30 DDEDLO C=CC[N@@H+]1CCCC2(CN(C(=O)[C@@H]3CCCc4[nH]ncc43)C2)C1 ZINC000981771368 696910034 /nfs/dbraw/zinc/91/00/34/696910034.db2.gz URIKQZQFZRFLOY-CQSZACIVSA-N 1 2 314.433 1.940 20 30 DDEDLO C=CC[N@H+]1CCCC2(CN(C(=O)[C@@H]3CCCc4[nH]ncc43)C2)C1 ZINC000981771368 696910035 /nfs/dbraw/zinc/91/00/35/696910035.db2.gz URIKQZQFZRFLOY-CQSZACIVSA-N 1 2 314.433 1.940 20 30 DDEDLO CCC(C)(C)NC(=O)CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158187515 696987601 /nfs/dbraw/zinc/98/76/01/696987601.db2.gz XYEVBZWGTUPEFN-UHFFFAOYSA-N 1 2 305.378 1.563 20 30 DDEDLO C[C@]12CN(C(=O)C#CC3CC3)C[C@@]1(C)C[N@H+](Cc1ncccn1)C2 ZINC000982278444 697082247 /nfs/dbraw/zinc/08/22/47/697082247.db2.gz DANIVGPAQFMPQJ-KDURUIRLSA-N 1 2 324.428 1.560 20 30 DDEDLO C[C@]12CN(C(=O)C#CC3CC3)C[C@@]1(C)C[N@@H+](Cc1ncccn1)C2 ZINC000982278444 697082250 /nfs/dbraw/zinc/08/22/50/697082250.db2.gz DANIVGPAQFMPQJ-KDURUIRLSA-N 1 2 324.428 1.560 20 30 DDEDLO C=CCC[N@H+]1C[C@@]2(C)CN(C(=O)Cc3c[nH+]c[nH]3)C[C@@]2(C)C1 ZINC000982597877 697156254 /nfs/dbraw/zinc/15/62/54/697156254.db2.gz DUFYVXXLGKHTGC-CALCHBBNSA-N 1 2 302.422 1.699 20 30 DDEDLO COC(=O)C[NH+]1CCC(Nc2ccc(F)cc2OCC#N)CC1 ZINC000181162355 697455255 /nfs/dbraw/zinc/45/52/55/697455255.db2.gz CNTPTDZLXURIEX-UHFFFAOYSA-N 1 2 321.352 1.777 20 30 DDEDLO CCCn1ncnc1C[N@H+](C)C1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC000985339689 697494277 /nfs/dbraw/zinc/49/42/77/697494277.db2.gz YHIHVRBAZCOMBR-ZDUSSCGKSA-N 1 2 318.425 1.271 20 30 DDEDLO CCCn1ncnc1C[N@@H+](C)C1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC000985339689 697494279 /nfs/dbraw/zinc/49/42/79/697494279.db2.gz YHIHVRBAZCOMBR-ZDUSSCGKSA-N 1 2 318.425 1.271 20 30 DDEDLO C#C[C@@H](NC(=O)NCCc1cn2c([nH+]1)CCCC2)[C@@H]1CCCO1 ZINC000773179681 697724996 /nfs/dbraw/zinc/72/49/96/697724996.db2.gz JMHSJUCCWGGADU-CABCVRRESA-N 1 2 316.405 1.242 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C)o1 ZINC000986251818 697752980 /nfs/dbraw/zinc/75/29/80/697752980.db2.gz AJNIUZNUKBJKGS-OCCSQVGLSA-N 1 2 316.405 1.685 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)NCc2ccc(C#N)cn2)C1 ZINC000774980870 697950091 /nfs/dbraw/zinc/95/00/91/697950091.db2.gz DFJLIPBBLNIVQC-CQSZACIVSA-N 1 2 324.388 1.776 20 30 DDEDLO N#CCc1ccccc1CC(=O)N1CC(Oc2cc[nH+]cc2)C1 ZINC000777383676 698185221 /nfs/dbraw/zinc/18/52/21/698185221.db2.gz XWXOSSMOAPTSPS-UHFFFAOYSA-N 1 2 307.353 1.980 20 30 DDEDLO C[C@@H]1C[C@@H]([NH2+]Cc2csnn2)CN1C(=O)c1ccc(C#N)[nH]1 ZINC000988658627 698429681 /nfs/dbraw/zinc/42/96/81/698429681.db2.gz ZFUWLZNFBUPYTE-MWLCHTKSSA-N 1 2 316.390 1.131 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=C2C(=O)Nc3c2ccc(Cl)c3Cl)N1 ZINC000779798683 698467551 /nfs/dbraw/zinc/46/75/51/698467551.db2.gz DUJQQXTZRRHXJA-RXMQYKEDSA-N 1 2 312.160 1.587 20 30 DDEDLO CCCc1noc(C[NH2+][C@@H]2C[C@@H](C)N(C(=O)C#CC3CC3)C2)n1 ZINC000988823743 698477218 /nfs/dbraw/zinc/47/72/18/698477218.db2.gz DJRMPUJWLFUJMT-TZMCWYRMSA-N 1 2 316.405 1.515 20 30 DDEDLO C[C@@H]1C[C@@H](NCC#N)CN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000989236823 698563229 /nfs/dbraw/zinc/56/32/29/698563229.db2.gz NJBUZLPYIDQWEU-UKRRQHHQSA-N 1 2 309.373 1.588 20 30 DDEDLO CC[C@]1(C)NC(=O)N(N=Cc2cc(-n3cc[nH+]c3)cs2)C1=O ZINC000783812254 698884000 /nfs/dbraw/zinc/88/40/00/698884000.db2.gz PSTHQFWTHPIPSO-AWEZNQCLSA-N 1 2 317.374 1.988 20 30 DDEDLO CCOc1ccc(C[NH+]2CCN(C(=O)NCCC#N)CC2)cc1 ZINC000426437644 699154658 /nfs/dbraw/zinc/15/46/58/699154658.db2.gz OLWOTMDBNBOWAP-UHFFFAOYSA-N 1 2 316.405 1.826 20 30 DDEDLO NC(=[NH+]OCc1nnc2n1CCCCC2)c1ccc(F)cc1 ZINC000788503386 699319461 /nfs/dbraw/zinc/31/94/61/699319461.db2.gz FOVWXNPGTDHDAS-UHFFFAOYSA-N 1 2 303.341 1.981 20 30 DDEDLO N#Cc1c2c(cn(C[N@@H+]3CCC[C@@H]3[C@@H]3CCCO3)c1=O)CCC2 ZINC000789508463 699391108 /nfs/dbraw/zinc/39/11/08/699391108.db2.gz RCLVDMDHIYUCAV-SJORKVTESA-N 1 2 313.401 1.810 20 30 DDEDLO N#Cc1c2c(cn(C[N@H+]3CCC[C@@H]3[C@@H]3CCCO3)c1=O)CCC2 ZINC000789508463 699391111 /nfs/dbraw/zinc/39/11/11/699391111.db2.gz RCLVDMDHIYUCAV-SJORKVTESA-N 1 2 313.401 1.810 20 30 DDEDLO N#CCCn1cc(C[N@@H+]2CCCNC(=O)C2)c(-c2ccncc2)n1 ZINC000789727749 699405057 /nfs/dbraw/zinc/40/50/57/699405057.db2.gz HLBPRKBALBFWSV-UHFFFAOYSA-N 1 2 324.388 1.181 20 30 DDEDLO N#CCCn1cc(C[N@H+]2CCCNC(=O)C2)c(-c2ccncc2)n1 ZINC000789727749 699405058 /nfs/dbraw/zinc/40/50/58/699405058.db2.gz HLBPRKBALBFWSV-UHFFFAOYSA-N 1 2 324.388 1.181 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1nccn1Cc1ccccc1 ZINC000730278254 699506085 /nfs/dbraw/zinc/50/60/85/699506085.db2.gz JQKDMVIJMROVTF-INIZCTEOSA-N 1 2 308.385 1.968 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1nccn1Cc1ccccc1 ZINC000730278254 699506086 /nfs/dbraw/zinc/50/60/86/699506086.db2.gz JQKDMVIJMROVTF-INIZCTEOSA-N 1 2 308.385 1.968 20 30 DDEDLO C=CCNC(=S)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000731952378 699542997 /nfs/dbraw/zinc/54/29/97/699542997.db2.gz UWECPQWXWUJZHT-MRXNPFEDSA-N 1 2 319.474 1.580 20 30 DDEDLO C=C(C)C[N@H+](Cc1ccccc1)CN1C(=O)C(=O)N(C)C1=O ZINC000794650317 699821073 /nfs/dbraw/zinc/82/10/73/699821073.db2.gz IUBFZHHNYOQFRF-UHFFFAOYSA-N 1 2 301.346 1.443 20 30 DDEDLO C=C(C)C[N@@H+](Cc1ccccc1)CN1C(=O)C(=O)N(C)C1=O ZINC000794650317 699821075 /nfs/dbraw/zinc/82/10/75/699821075.db2.gz IUBFZHHNYOQFRF-UHFFFAOYSA-N 1 2 301.346 1.443 20 30 DDEDLO CC(C)NC(=O)OC[C@H]1CCCC[N@@H+]1CC(=O)NCCC#N ZINC000796717669 699948691 /nfs/dbraw/zinc/94/86/91/699948691.db2.gz QUOHYBJLODBAEO-CYBMUJFWSA-N 1 2 310.398 1.005 20 30 DDEDLO CC(C)NC(=O)OC[C@H]1CCCC[N@H+]1CC(=O)NCCC#N ZINC000796717669 699948693 /nfs/dbraw/zinc/94/86/93/699948693.db2.gz QUOHYBJLODBAEO-CYBMUJFWSA-N 1 2 310.398 1.005 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](C)c1nnc([C@@H](C)CC)[nH]1 ZINC000754430076 700501752 /nfs/dbraw/zinc/50/17/52/700501752.db2.gz PYFHJODTBZEARN-XQQFMLRXSA-N 1 2 303.410 1.593 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)c1nnc([C@@H](C)CC)[nH]1 ZINC000754430076 700501754 /nfs/dbraw/zinc/50/17/54/700501754.db2.gz PYFHJODTBZEARN-XQQFMLRXSA-N 1 2 303.410 1.593 20 30 DDEDLO N#Cc1cccn(C[NH+]2CCC(OC[C@@H]3CCOC3)CC2)c1=O ZINC000758249913 700694755 /nfs/dbraw/zinc/69/47/55/700694755.db2.gz REXRQUMTCGDJMN-CQSZACIVSA-N 1 2 317.389 1.195 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCOC[C@H]1CCO ZINC000762335835 700889721 /nfs/dbraw/zinc/88/97/21/700889721.db2.gz ZBHJMKANKHBNKE-CQSZACIVSA-N 1 2 306.362 1.029 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCOC[C@H]1CCO ZINC000762335835 700889724 /nfs/dbraw/zinc/88/97/24/700889724.db2.gz ZBHJMKANKHBNKE-CQSZACIVSA-N 1 2 306.362 1.029 20 30 DDEDLO N#Cc1ccc([C@H](O)C[NH+]2CCN(C[C@@H]3CCCO3)CC2)cc1 ZINC000763399696 700936798 /nfs/dbraw/zinc/93/67/98/700936798.db2.gz YGVZUIHJWHPSHX-ZWKOTPCHSA-N 1 2 315.417 1.388 20 30 DDEDLO N#CCc1ccccc1CC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000765119983 700999781 /nfs/dbraw/zinc/99/97/81/700999781.db2.gz ANLBCEVPVAAYCH-UHFFFAOYSA-N 1 2 313.401 1.276 20 30 DDEDLO C[C@H]1CSCC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766635556 701057874 /nfs/dbraw/zinc/05/78/74/701057874.db2.gz ZFVXEEZBIQWCLY-GFCCVEGCSA-N 1 2 303.431 1.851 20 30 DDEDLO C[C@H]1CSCC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C1 ZINC000766635556 701057876 /nfs/dbraw/zinc/05/78/76/701057876.db2.gz ZFVXEEZBIQWCLY-GFCCVEGCSA-N 1 2 303.431 1.851 20 30 DDEDLO C=CCOc1cccc(CN2CC[NH+](CC[S@@](C)=O)CC2)c1 ZINC000769479313 701246721 /nfs/dbraw/zinc/24/67/21/701246721.db2.gz HLKOQOVEOSAYOG-JOCHJYFZSA-N 1 2 322.474 1.748 20 30 DDEDLO C#CCCS(=O)(=O)N1CCC(CCCn2cc[nH+]c2)CC1 ZINC000808306113 701513135 /nfs/dbraw/zinc/51/31/35/701513135.db2.gz NJYKLOQLCAFUBZ-UHFFFAOYSA-N 1 2 309.435 1.728 20 30 DDEDLO C=C(C)C[N@H+](CC)CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000808709751 701532480 /nfs/dbraw/zinc/53/24/80/701532480.db2.gz ZDZHYSAZWBJUPX-UHFFFAOYSA-N 1 2 305.440 1.641 20 30 DDEDLO C=C(C)C[N@@H+](CC)CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000808709751 701532481 /nfs/dbraw/zinc/53/24/81/701532481.db2.gz ZDZHYSAZWBJUPX-UHFFFAOYSA-N 1 2 305.440 1.641 20 30 DDEDLO Cc1nn2c(nc3ccccc3c2=O)c1=C[NH2+]Nc1cnnn1C ZINC000814982315 701780281 /nfs/dbraw/zinc/78/02/81/701780281.db2.gz WEOVSDVNGIPZMK-UHFFFAOYSA-N 1 2 322.332 1.059 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@@H](CNc3cncc(C#N)n3)C2)cc1 ZINC000866191164 706644288 /nfs/dbraw/zinc/64/42/88/706644288.db2.gz WLCLNBVMSBPXHM-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@@H](CNc3cncc(C#N)n3)C2)cc1 ZINC000866191164 706644289 /nfs/dbraw/zinc/64/42/89/706644289.db2.gz WLCLNBVMSBPXHM-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000840759473 702230713 /nfs/dbraw/zinc/23/07/13/702230713.db2.gz XASUTBMENJQTQH-NSHDSACASA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cc(Cl)ccc1[N+](=O)[O-] ZINC000840759473 702230716 /nfs/dbraw/zinc/23/07/16/702230716.db2.gz XASUTBMENJQTQH-NSHDSACASA-N 1 2 313.741 1.833 20 30 DDEDLO C=CC[N@@H+](CC(C)(C)CC(=O)OCC)[C@H]1CCS(=O)(=O)C1 ZINC000813335735 702331085 /nfs/dbraw/zinc/33/10/85/702331085.db2.gz ZJKQZZCZJDSZQG-ZDUSSCGKSA-N 1 2 317.451 1.641 20 30 DDEDLO C=CC[N@H+](CC(C)(C)CC(=O)OCC)[C@H]1CCS(=O)(=O)C1 ZINC000813335735 702331092 /nfs/dbraw/zinc/33/10/92/702331092.db2.gz ZJKQZZCZJDSZQG-ZDUSSCGKSA-N 1 2 317.451 1.641 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000869498999 702626244 /nfs/dbraw/zinc/62/62/44/702626244.db2.gz UAFSVMWACMTXCN-MRXNPFEDSA-N 1 2 311.385 1.959 20 30 DDEDLO C[C@H](CC(=O)OCC(=O)N[C@@](C)(C#N)C1CC1)n1cc[nH+]c1 ZINC000845277673 703137919 /nfs/dbraw/zinc/13/79/19/703137919.db2.gz ABBPKQPOHPWULP-ABAIWWIYSA-N 1 2 304.350 1.186 20 30 DDEDLO N#CCC(=O)N1CC[NH+](C[C@@H](O)c2ccc(Cl)cc2)CC1 ZINC000846958291 703357369 /nfs/dbraw/zinc/35/73/69/703357369.db2.gz SCAJQPBYXATYFM-CQSZACIVSA-N 1 2 307.781 1.431 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CN2C(=O)N[C@@](CCC)(C3CC3)C2=O)C1 ZINC000848494980 703557717 /nfs/dbraw/zinc/55/77/17/703557717.db2.gz XEOYBYHVRPAGSV-GUYCJALGSA-N 1 2 303.406 1.790 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CN2C(=O)N[C@@](CCC)(C3CC3)C2=O)C1 ZINC000848494980 703557718 /nfs/dbraw/zinc/55/77/18/703557718.db2.gz XEOYBYHVRPAGSV-GUYCJALGSA-N 1 2 303.406 1.790 20 30 DDEDLO C[C@@H](CC#N)C(=O)OC[C@@H]1CCC[N@@H+]1CC(=O)OC(C)(C)C ZINC000850871418 703760710 /nfs/dbraw/zinc/76/07/10/703760710.db2.gz VFCKSQCUZVKMDS-STQMWFEESA-N 1 2 310.394 1.885 20 30 DDEDLO C[C@@H](CC#N)C(=O)OC[C@@H]1CCC[N@H+]1CC(=O)OC(C)(C)C ZINC000850871418 703760711 /nfs/dbraw/zinc/76/07/11/703760711.db2.gz VFCKSQCUZVKMDS-STQMWFEESA-N 1 2 310.394 1.885 20 30 DDEDLO C#C[C@H](NC(=O)NCCCCn1cc[nH+]c1)C1CCOCC1 ZINC000851996280 703900227 /nfs/dbraw/zinc/90/02/27/703900227.db2.gz MANCJLHQJHOWRY-HNNXBMFYSA-N 1 2 304.394 1.391 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@@H+](CCCN2CCCC2=O)C1 ZINC000852328845 704013789 /nfs/dbraw/zinc/01/37/89/704013789.db2.gz OGKWMKRIHNWWQB-SFHVURJKSA-N 1 2 312.417 1.951 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@H+](CCCN2CCCC2=O)C1 ZINC000852328845 704013791 /nfs/dbraw/zinc/01/37/91/704013791.db2.gz OGKWMKRIHNWWQB-SFHVURJKSA-N 1 2 312.417 1.951 20 30 DDEDLO C[C@@H](C#N)Oc1ccccc1NC[C@H](O)C[NH+]1CCOCC1 ZINC000819467398 704130625 /nfs/dbraw/zinc/13/06/25/704130625.db2.gz FQTIUJUIALAJSK-KBPBESRZSA-N 1 2 305.378 1.082 20 30 DDEDLO C#Cc1cccnc1N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000853441437 704240104 /nfs/dbraw/zinc/24/01/04/704240104.db2.gz UVGCOFPAQVZFCS-OAHLLOKOSA-N 1 2 312.417 1.196 20 30 DDEDLO CCOC(=O)[C@@]1(C)CC(=O)N(C[N@@H+]2CCC[C@H](CC#N)C2)C1 ZINC000853524418 704259366 /nfs/dbraw/zinc/25/93/66/704259366.db2.gz MYWPIIUMMOJLLW-CJNGLKHVSA-N 1 2 307.394 1.371 20 30 DDEDLO CCOC(=O)[C@@]1(C)CC(=O)N(C[N@H+]2CCC[C@H](CC#N)C2)C1 ZINC000853524418 704259368 /nfs/dbraw/zinc/25/93/68/704259368.db2.gz MYWPIIUMMOJLLW-CJNGLKHVSA-N 1 2 307.394 1.371 20 30 DDEDLO Cn1cc([C@@H]2N(C[N@@H+]3CCC[C@H](CC#N)C3)C(=O)C2(C)C)cn1 ZINC000853525167 704259845 /nfs/dbraw/zinc/25/98/45/704259845.db2.gz UGKKLWNZJJEBRD-HIFRSBDPSA-N 1 2 315.421 1.913 20 30 DDEDLO Cn1cc([C@@H]2N(C[N@H+]3CCC[C@H](CC#N)C3)C(=O)C2(C)C)cn1 ZINC000853525167 704259847 /nfs/dbraw/zinc/25/98/47/704259847.db2.gz UGKKLWNZJJEBRD-HIFRSBDPSA-N 1 2 315.421 1.913 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)N[C@@](C)(C(C)(C)C)C1=O ZINC000853621257 704288124 /nfs/dbraw/zinc/28/81/24/704288124.db2.gz WGSGMLBZIRZWSU-MRXNPFEDSA-N 1 2 309.410 1.272 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)N[C@@](C)(C(C)(C)C)C1=O ZINC000853621257 704288125 /nfs/dbraw/zinc/28/81/25/704288125.db2.gz WGSGMLBZIRZWSU-MRXNPFEDSA-N 1 2 309.410 1.272 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(NC(=O)C(C)(C)C#N)CC1 ZINC000871541776 704311930 /nfs/dbraw/zinc/31/19/30/704311930.db2.gz KBRMABVHQCCPRP-UHFFFAOYSA-N 1 2 309.410 1.458 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCCN1CCCS1(=O)=O ZINC000820644555 704314203 /nfs/dbraw/zinc/31/42/03/704314203.db2.gz DQEJATVXPGYQRF-UHFFFAOYSA-N 1 2 323.418 1.321 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)COc1cccc(C#N)c1 ZINC000855413770 704484195 /nfs/dbraw/zinc/48/41/95/704484195.db2.gz QPIANPZPOQZHSJ-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)COc1cccc(C#N)c1 ZINC000855413770 704484196 /nfs/dbraw/zinc/48/41/96/704484196.db2.gz QPIANPZPOQZHSJ-KGLIPLIRSA-N 1 2 317.389 1.162 20 30 DDEDLO C=CCCONC(=O)CC[NH+]1CCN(c2ccccc2)CC1 ZINC000856032510 704510393 /nfs/dbraw/zinc/51/03/93/704510393.db2.gz QAPJEBPMGOVDDL-UHFFFAOYSA-N 1 2 303.406 1.823 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N[C@@H](CC#C)COC)nc[nH+]1 ZINC000858860284 704765287 /nfs/dbraw/zinc/76/52/87/704765287.db2.gz KSNGRVJPLYHVEU-OKILXGFUSA-N 1 2 302.378 1.377 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N[C@@H](CC#C)COC)[nH+]cn1 ZINC000858860284 704765289 /nfs/dbraw/zinc/76/52/89/704765289.db2.gz KSNGRVJPLYHVEU-OKILXGFUSA-N 1 2 302.378 1.377 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](C[C@H](O)CC3(O)CCC3)CCO2)c1 ZINC000859219272 704825795 /nfs/dbraw/zinc/82/57/95/704825795.db2.gz TZURMQVDEJSVEZ-IAGOWNOFSA-N 1 2 316.401 1.598 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](C[C@H](O)CC3(O)CCC3)CCO2)c1 ZINC000859219272 704825800 /nfs/dbraw/zinc/82/58/00/704825800.db2.gz TZURMQVDEJSVEZ-IAGOWNOFSA-N 1 2 316.401 1.598 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC(c2c[nH]c[nH+]2)CC1)C1CCOCC1 ZINC000823178008 705162422 /nfs/dbraw/zinc/16/24/22/705162422.db2.gz LKJGGRGCFWEURV-OAHLLOKOSA-N 1 2 316.405 1.727 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC(c2c[nH+]c[nH]2)CC1)C1CCOCC1 ZINC000823178008 705162425 /nfs/dbraw/zinc/16/24/25/705162425.db2.gz LKJGGRGCFWEURV-OAHLLOKOSA-N 1 2 316.405 1.727 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)CC1 ZINC000874862275 705205688 /nfs/dbraw/zinc/20/56/88/705205688.db2.gz AUFDBKGMZOOCIT-BFYDXBDKSA-N 1 2 304.434 1.938 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)CC1 ZINC000874862275 705205691 /nfs/dbraw/zinc/20/56/91/705205691.db2.gz AUFDBKGMZOOCIT-BFYDXBDKSA-N 1 2 304.434 1.938 20 30 DDEDLO C#CC[C@@H](Cc1ccccc1)NC(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000875576064 705434955 /nfs/dbraw/zinc/43/49/55/705434955.db2.gz SSDIUECZHAPBMD-HOTGVXAUSA-N 1 2 308.385 1.742 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc(OC)cc2OC)CC1 ZINC000824867470 705545815 /nfs/dbraw/zinc/54/58/15/705545815.db2.gz PXBTZWJRCAIXSP-UHFFFAOYSA-N 1 2 318.373 1.983 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(SC)ccc2O)CC1 ZINC000825078157 705595151 /nfs/dbraw/zinc/59/51/51/705595151.db2.gz WFGYVBZGMYTYRP-UHFFFAOYSA-N 1 2 304.415 1.895 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)C#Cc2cccs2)CC1 ZINC000826740146 705862515 /nfs/dbraw/zinc/86/25/15/705862515.db2.gz CCKRLSKASOSHEW-UHFFFAOYSA-N 1 2 306.431 1.669 20 30 DDEDLO CC(C)CC(=O)CC[N@@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000827990462 706100489 /nfs/dbraw/zinc/10/04/89/706100489.db2.gz KTVWABZILFMJCJ-AWEZNQCLSA-N 1 2 315.421 1.864 20 30 DDEDLO CC(C)CC(=O)CC[N@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000827990462 706100492 /nfs/dbraw/zinc/10/04/92/706100492.db2.gz KTVWABZILFMJCJ-AWEZNQCLSA-N 1 2 315.421 1.864 20 30 DDEDLO C=C(Cl)C[C@H]([NH2+]CC1CCS(=O)(=O)CC1)C(=O)OCC ZINC000877672000 706176204 /nfs/dbraw/zinc/17/62/04/706176204.db2.gz UIRFKBDWLKKRIR-LBPRGKRZSA-N 1 2 323.842 1.475 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]3CS(=O)(=O)C[C@H]3C2)cc1C#N ZINC000877790803 706215393 /nfs/dbraw/zinc/21/53/93/706215393.db2.gz HPESDUASXADPQE-UKRRQHHQSA-N 1 2 320.414 1.433 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]3CS(=O)(=O)C[C@H]3C2)cc1C#N ZINC000877790803 706215395 /nfs/dbraw/zinc/21/53/95/706215395.db2.gz HPESDUASXADPQE-UKRRQHHQSA-N 1 2 320.414 1.433 20 30 DDEDLO COc1cc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)ccc1C#N ZINC000830035348 706442563 /nfs/dbraw/zinc/44/25/63/706442563.db2.gz KOPPLJSRMSFOFG-OAHLLOKOSA-N 1 2 315.373 1.114 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2C[C@@H]3C[C@H]2CN3c2ccccc2)CCC1 ZINC000880188672 706906046 /nfs/dbraw/zinc/90/60/46/706906046.db2.gz OCADNDWPDVBUSU-HOTGVXAUSA-N 1 2 310.401 1.512 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2C[C@@H]3C[C@H]2CN3c2ccccc2)CCC1 ZINC000880188672 706906048 /nfs/dbraw/zinc/90/60/48/706906048.db2.gz OCADNDWPDVBUSU-HOTGVXAUSA-N 1 2 310.401 1.512 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC(C[NH+]2CCOCC2)C1 ZINC000867290942 706949712 /nfs/dbraw/zinc/94/97/12/706949712.db2.gz DTRNHWIPQQIYSV-UHFFFAOYSA-N 1 2 318.483 1.551 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(c2cc(C#N)nc(C)n2)CC1 ZINC000881800724 707370587 /nfs/dbraw/zinc/37/05/87/707370587.db2.gz WGPVSMUJMPPJMZ-UHFFFAOYSA-N 1 2 312.377 1.584 20 30 DDEDLO C[C@@H]([NH2+]CC[C@H]1CCCS1(=O)=O)c1cccc(C#N)c1O ZINC000883309964 707973755 /nfs/dbraw/zinc/97/37/55/707973755.db2.gz XWCFBWIIICLSLD-DGCLKSJQSA-N 1 2 308.403 1.882 20 30 DDEDLO CCn1ncc(C[NH2+][C@@H]2CCCN(c3ccc(C#N)cc3)C2=O)n1 ZINC000839003585 708014555 /nfs/dbraw/zinc/01/45/55/708014555.db2.gz AGGSIEPTBCKMDV-MRXNPFEDSA-N 1 2 324.388 1.455 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@@H](C)c2ccccc21 ZINC000884092093 708135339 /nfs/dbraw/zinc/13/53/39/708135339.db2.gz RZGDGBSGEGFEMH-OCCSQVGLSA-N 1 2 302.374 1.973 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc(CSC)c1 ZINC000884131024 708153019 /nfs/dbraw/zinc/15/30/19/708153019.db2.gz YMPPRLCLFKKAOH-ZDUSSCGKSA-N 1 2 308.403 1.935 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC(C)(C)c1cccc(F)c1 ZINC000884146514 708160171 /nfs/dbraw/zinc/16/01/71/708160171.db2.gz KXMWPOHOLMRUAE-AWEZNQCLSA-N 1 2 322.380 1.666 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H]1C[C@](C)(OC)C1(C)C ZINC000884168570 708169160 /nfs/dbraw/zinc/16/91/60/708169160.db2.gz IMLGBEOWDDZSRL-MKBNYLNASA-N 1 2 312.410 1.095 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(SC)cc1OC ZINC000884330775 708248668 /nfs/dbraw/zinc/24/86/68/708248668.db2.gz XDRJYMVOCBUBEN-NSHDSACASA-N 1 2 324.402 1.802 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1nc(C(C)C)c(C)s1 ZINC000884397729 708279973 /nfs/dbraw/zinc/27/99/73/708279973.db2.gz MVYCQKUUZSCFMI-JTQLQIEISA-N 1 2 311.407 1.960 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCCc2c(C)cccc21 ZINC000884418457 708289401 /nfs/dbraw/zinc/28/94/01/708289401.db2.gz JZJIOXVLPLKGLE-JKSUJKDBSA-N 1 2 316.401 1.935 20 30 DDEDLO C=CCC[C@H](CCC)[NH2+]Cc1nc(CS(C)(=O)=O)n[nH]1 ZINC000897886617 708481485 /nfs/dbraw/zinc/48/14/85/708481485.db2.gz HMSXGXPLHPYUEE-NSHDSACASA-N 1 2 300.428 1.574 20 30 DDEDLO C[C@@H]1CN(CC(C)(C)O)CC[N@@H+]1C[C@@H](O)CC1(C#N)CCC1 ZINC000886157824 708707666 /nfs/dbraw/zinc/70/76/66/708707666.db2.gz LVWDXHQHCBWDNL-CABCVRRESA-N 1 2 309.454 1.208 20 30 DDEDLO C[C@@H]1CN(CC(C)(C)O)CC[N@H+]1C[C@@H](O)CC1(C#N)CCC1 ZINC000886157824 708707667 /nfs/dbraw/zinc/70/76/67/708707667.db2.gz LVWDXHQHCBWDNL-CABCVRRESA-N 1 2 309.454 1.208 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC000898674668 708832128 /nfs/dbraw/zinc/83/21/28/708832128.db2.gz INFYBKDCFZUBOH-UHFFFAOYSA-N 1 2 319.405 1.730 20 30 DDEDLO O=C(c1cccc(C#CCO)c1)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000900539126 709643020 /nfs/dbraw/zinc/64/30/20/709643020.db2.gz BXKOJESZXVRTKE-INIZCTEOSA-N 1 2 309.369 1.773 20 30 DDEDLO C=CCCC[C@@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C(=O)OC ZINC000928318055 713174111 /nfs/dbraw/zinc/17/41/11/713174111.db2.gz QCRQFEMUORKISJ-CHWSQXEVSA-N 1 2 305.378 1.460 20 30 DDEDLO C[C@]1(C#N)CN(C(=O)NCCc2cn3c([nH+]2)CCCC3)CCO1 ZINC000891989979 710347917 /nfs/dbraw/zinc/34/79/17/710347917.db2.gz KHHFKHMXWMPPJG-INIZCTEOSA-N 1 2 317.393 1.086 20 30 DDEDLO C#CC[NH+]1CCN(CN2Cc3c(c(F)ccc3F)C2=O)CC1 ZINC000902042825 710592816 /nfs/dbraw/zinc/59/28/16/710592816.db2.gz WFQAAITUAZXGKB-UHFFFAOYSA-N 1 2 305.328 1.129 20 30 DDEDLO Cn1nc2c(c1CNc1[nH]c3ccc(C#N)cc3[nH+]1)COCC2 ZINC000902156412 710637845 /nfs/dbraw/zinc/63/78/45/710637845.db2.gz JIROIVRGVVABAZ-UHFFFAOYSA-N 1 2 308.345 1.853 20 30 DDEDLO N#CC[C@@H](CC(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1)c1ccccc1 ZINC000913453468 713222326 /nfs/dbraw/zinc/22/23/26/713222326.db2.gz RUAVPKCPNKRLHG-RDJZCZTQSA-N 1 2 323.400 1.970 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[NH2+][C@H](c2cnn(C)c2)C1)OCC ZINC000913464368 713227669 /nfs/dbraw/zinc/22/76/69/713227669.db2.gz RSAHLGOTLFFUAP-LSDHHAIUSA-N 1 2 306.410 1.264 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CC[NH2+][C@H](c3cnn(C)c3)C2)cc1 ZINC000913470762 713230125 /nfs/dbraw/zinc/23/01/25/713230125.db2.gz ZBYLVHNCHHDFNE-KRWDZBQOSA-N 1 2 324.384 1.219 20 30 DDEDLO C#CC[C@H]([NH2+][C@@H]1CC[C@@H](CNC(=O)OC(C)(C)C)C1)C(=O)OC ZINC000894858521 711299294 /nfs/dbraw/zinc/29/92/94/711299294.db2.gz LBVGHXDMGAHVRJ-MCIONIFRSA-N 1 2 324.421 1.834 20 30 DDEDLO C#CC1(O)CN(C(=O)N[C@H]2CC[C@H](Nc3cccc[nH+]3)CC2)C1 ZINC000896152608 711683158 /nfs/dbraw/zinc/68/31/58/711683158.db2.gz KMFAIDBJYGMSGW-HDJSIYSDSA-N 1 2 314.389 1.194 20 30 DDEDLO N#CC1(C[C@@H](O)C[NH2+]CC(F)(F)C2(O)CCCCC2)CC1 ZINC000905713020 712128845 /nfs/dbraw/zinc/12/88/45/712128845.db2.gz NFQLIPLMNSIJOX-GFCCVEGCSA-N 1 2 302.365 1.961 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C#N)cc1 ZINC000914053039 713335785 /nfs/dbraw/zinc/33/57/85/713335785.db2.gz MKJHKTBPFBEJQL-INIZCTEOSA-N 1 2 316.405 1.468 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000908534310 712800150 /nfs/dbraw/zinc/80/01/50/712800150.db2.gz PYQNNYBMOUTKGJ-PMPSAXMXSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1cc(C(F)(F)F)on1 ZINC000908730574 712842786 /nfs/dbraw/zinc/84/27/86/712842786.db2.gz XPLIKVNQVASLES-JTQLQIEISA-N 1 2 301.268 1.407 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1cc(C(F)(F)F)on1 ZINC000908730574 712842787 /nfs/dbraw/zinc/84/27/87/712842787.db2.gz XPLIKVNQVASLES-JTQLQIEISA-N 1 2 301.268 1.407 20 30 DDEDLO CN(C[C@@H]1CCn2cc[nH+]c2C1)S(=O)(=O)CC(C)(C)C#N ZINC000915023696 713406697 /nfs/dbraw/zinc/40/66/97/713406697.db2.gz MZVVCXNSWWAUNU-GFCCVEGCSA-N 1 2 310.423 1.257 20 30 DDEDLO CCN(C1CC[NH+](Cc2cncc(C#N)c2)CC1)S(C)(=O)=O ZINC000929970177 713724742 /nfs/dbraw/zinc/72/47/42/713724742.db2.gz AMDXPAFZLPXJMD-UHFFFAOYSA-N 1 2 322.434 1.199 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)CCO1 ZINC000930190878 713775256 /nfs/dbraw/zinc/77/52/56/713775256.db2.gz YWOXDMOJJSOLOT-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)CCO1 ZINC000930190878 713775259 /nfs/dbraw/zinc/77/52/59/713775259.db2.gz YWOXDMOJJSOLOT-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO C#CCC1(O)CCN(C(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC000922189816 713986170 /nfs/dbraw/zinc/98/61/70/713986170.db2.gz ZDBUSSIUBSUIHU-UHFFFAOYSA-N 1 2 301.390 1.139 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C)C(=O)Nc2ccccc2OC)CC1 ZINC000931143885 714014797 /nfs/dbraw/zinc/01/47/97/714014797.db2.gz MJHRRUCRLHLUTI-CYBMUJFWSA-N 1 2 302.374 1.482 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2sccc2C#N)[C@@H](C)CO1 ZINC000931835180 714193661 /nfs/dbraw/zinc/19/36/61/714193661.db2.gz OLJOSLUSHVQUBV-WDEREUQCSA-N 1 2 308.407 1.850 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2sccc2C#N)[C@@H](C)CO1 ZINC000931835180 714193663 /nfs/dbraw/zinc/19/36/63/714193663.db2.gz OLJOSLUSHVQUBV-WDEREUQCSA-N 1 2 308.407 1.850 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](C2OCCO2)C1 ZINC000932002141 714230234 /nfs/dbraw/zinc/23/02/34/714230234.db2.gz DTLJRNIZLWHNQW-CABCVRRESA-N 1 2 316.357 1.467 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](C2OCCO2)C1 ZINC000932002141 714230235 /nfs/dbraw/zinc/23/02/35/714230235.db2.gz DTLJRNIZLWHNQW-CABCVRRESA-N 1 2 316.357 1.467 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(c3ccn(C)n3)CC2)nn1 ZINC000932141207 714270123 /nfs/dbraw/zinc/27/01/23/714270123.db2.gz HOWQLOOQBJGLOV-UHFFFAOYSA-N 1 2 300.410 1.967 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CC[C@@H](c2ncc(C(=O)OC)s2)C1 ZINC000932671144 714383485 /nfs/dbraw/zinc/38/34/85/714383485.db2.gz MTGMDAAQYVGKCR-MNOVXSKESA-N 1 2 324.402 1.837 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CC[C@@H](c2ncc(C(=O)OC)s2)C1 ZINC000932671144 714383490 /nfs/dbraw/zinc/38/34/90/714383490.db2.gz MTGMDAAQYVGKCR-MNOVXSKESA-N 1 2 324.402 1.837 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc3c(s2)CCOC3)C1 ZINC000923580124 714414478 /nfs/dbraw/zinc/41/44/78/714414478.db2.gz YSLKQINAEKXLPH-CYBMUJFWSA-N 1 2 304.415 1.648 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc3c(s2)CCOC3)C1 ZINC000923580124 714414480 /nfs/dbraw/zinc/41/44/80/714414480.db2.gz YSLKQINAEKXLPH-CYBMUJFWSA-N 1 2 304.415 1.648 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cnc3ccccc3c2O)C1 ZINC000923590563 714419901 /nfs/dbraw/zinc/41/99/01/714419901.db2.gz WQFVTCVJYDODKD-ZDUSSCGKSA-N 1 2 309.369 1.356 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc3ccccc3c2O)C1 ZINC000923590563 714419903 /nfs/dbraw/zinc/41/99/03/714419903.db2.gz WQFVTCVJYDODKD-ZDUSSCGKSA-N 1 2 309.369 1.356 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C2C[C@H]3CCCC[C@@H](C2)C3=O)C1 ZINC000923599744 714425354 /nfs/dbraw/zinc/42/53/54/714425354.db2.gz ZIUDLPGGRNKYIW-FCLJQHQZSA-N 1 2 316.445 1.986 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C2C[C@H]3CCCC[C@@H](C2)C3=O)C1 ZINC000923599744 714425355 /nfs/dbraw/zinc/42/53/55/714425355.db2.gz ZIUDLPGGRNKYIW-FCLJQHQZSA-N 1 2 316.445 1.986 20 30 DDEDLO COC(=O)[C@]12C[C@H]1CCC[N@@H+]2CCOc1cccc(C#N)c1 ZINC000933161016 714525437 /nfs/dbraw/zinc/52/54/37/714525437.db2.gz VDAPKOUQQBJLOQ-PBHICJAKSA-N 1 2 300.358 1.965 20 30 DDEDLO COC(=O)[C@]12C[C@H]1CCC[N@H+]2CCOc1cccc(C#N)c1 ZINC000933161016 714525438 /nfs/dbraw/zinc/52/54/38/714525438.db2.gz VDAPKOUQQBJLOQ-PBHICJAKSA-N 1 2 300.358 1.965 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](CCO[C@H]3CCOC3)CCO2)cc1 ZINC000933630156 714634599 /nfs/dbraw/zinc/63/45/99/714634599.db2.gz GDCHNABJGKODJD-IRXDYDNUSA-N 1 2 302.374 1.737 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](CCO[C@H]3CCOC3)CCO2)cc1 ZINC000933630156 714634600 /nfs/dbraw/zinc/63/46/00/714634600.db2.gz GDCHNABJGKODJD-IRXDYDNUSA-N 1 2 302.374 1.737 20 30 DDEDLO C#CC[C@H]1CCN(C(=O)NCc2nc(C[NH+](C)C)cs2)C1 ZINC000924790687 714664907 /nfs/dbraw/zinc/66/49/07/714664907.db2.gz INNQONKAFNEDRB-LBPRGKRZSA-N 1 2 306.435 1.760 20 30 DDEDLO CC#CC[NH2+][C@@H](CO)c1ccccc1I ZINC000934828434 714911949 /nfs/dbraw/zinc/91/19/49/714911949.db2.gz QOVKNEKJPIECAM-LBPRGKRZSA-N 1 2 315.154 1.938 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)C2(C#N)CCSCC2)C1 ZINC000935091785 714973700 /nfs/dbraw/zinc/97/37/00/714973700.db2.gz SUFPYAMFVIUCMZ-GFCCVEGCSA-N 1 2 304.419 1.773 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC000936282414 715170331 /nfs/dbraw/zinc/17/03/31/715170331.db2.gz DYUOKMZUBKCDNT-GFCCVEGCSA-N 1 2 302.378 1.026 20 30 DDEDLO C=C1CCC(C(=O)N2CC(N(C)C(=O)Cn3cc[nH+]c3)C2)CC1 ZINC000954660824 715459988 /nfs/dbraw/zinc/45/99/88/715459988.db2.gz CRGUCFSFFOEXCH-UHFFFAOYSA-N 1 2 316.405 1.299 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(C)nc2OCC)C1 ZINC000957072021 715732937 /nfs/dbraw/zinc/73/29/37/715732937.db2.gz XRFZVUFDPOGUQX-UHFFFAOYSA-N 1 2 315.417 1.958 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(CC)C1C[NH+](CCCO)C1 ZINC000957113703 715753969 /nfs/dbraw/zinc/75/39/69/715753969.db2.gz RPOYUUFQUIJRCV-UHFFFAOYSA-N 1 2 319.405 1.175 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@H]2Cc3c(cccc3C)O2)C1 ZINC000957280644 715814431 /nfs/dbraw/zinc/81/44/31/715814431.db2.gz NGOODVVHEQVXHM-SFHVURJKSA-N 1 2 312.413 1.855 20 30 DDEDLO C#CCOc1ncccc1C(=O)N(CC)C1C[NH+](CCCF)C1 ZINC000957292648 715819249 /nfs/dbraw/zinc/81/92/49/715819249.db2.gz FDGOCXOFOJSHGD-UHFFFAOYSA-N 1 2 319.380 1.600 20 30 DDEDLO C#CCOc1ncccc1C(=O)N1CC[NH+](CC=C(C)C)CC1 ZINC000957375411 715868286 /nfs/dbraw/zinc/86/82/86/715868286.db2.gz RMDZMPKZMODWOB-UHFFFAOYSA-N 1 2 313.401 1.818 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc(N(C)C)cn2)C1 ZINC000957435665 715902678 /nfs/dbraw/zinc/90/26/78/715902678.db2.gz GHMNYISUPMPXTN-UHFFFAOYSA-N 1 2 302.422 1.870 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CC(=O)N(C3CCCC3)C2)CC1 ZINC000957613949 715968849 /nfs/dbraw/zinc/96/88/49/715968849.db2.gz TXQTYOVNJNIETP-CQSZACIVSA-N 1 2 305.422 1.108 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)C2CC2)C1 ZINC000957783407 716042752 /nfs/dbraw/zinc/04/27/52/716042752.db2.gz GYYVONXYKOREDS-GOEBONIOSA-N 1 2 317.364 1.016 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)C2CC2)C1 ZINC000957783407 716042756 /nfs/dbraw/zinc/04/27/56/716042756.db2.gz GYYVONXYKOREDS-GOEBONIOSA-N 1 2 317.364 1.016 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000938991441 716074632 /nfs/dbraw/zinc/07/46/32/716074632.db2.gz COMXURKPAONMIH-LBPRGKRZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(NC(C)=O)c(F)c2)CC1 ZINC000957946230 716244729 /nfs/dbraw/zinc/24/47/29/716244729.db2.gz LCOICIAOHUKTFK-UHFFFAOYSA-N 1 2 305.353 1.728 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccon1 ZINC000958284587 716449487 /nfs/dbraw/zinc/44/94/87/716449487.db2.gz GEXWZUGVJNXZGE-JZYVYDRUSA-N 1 2 312.167 1.243 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccon1 ZINC000958284587 716449491 /nfs/dbraw/zinc/44/94/91/716449491.db2.gz GEXWZUGVJNXZGE-JZYVYDRUSA-N 1 2 312.167 1.243 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC000960621757 716635454 /nfs/dbraw/zinc/63/54/54/716635454.db2.gz FCDQWFKYSMKARR-OAHLLOKOSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC000960621757 716635459 /nfs/dbraw/zinc/63/54/59/716635459.db2.gz FCDQWFKYSMKARR-OAHLLOKOSA-N 1 2 301.390 1.819 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC000960828141 716705463 /nfs/dbraw/zinc/70/54/63/716705463.db2.gz ROWKLKMYNAJEGJ-RDJZCZTQSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC000960828141 716705464 /nfs/dbraw/zinc/70/54/64/716705464.db2.gz ROWKLKMYNAJEGJ-RDJZCZTQSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2ccc(F)s2)C1 ZINC000958739712 716726504 /nfs/dbraw/zinc/72/65/04/716726504.db2.gz FRYOITQXFKADJV-UWVGGRQHSA-N 1 2 318.801 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2ccc(F)s2)C1 ZINC000958739712 716726508 /nfs/dbraw/zinc/72/65/08/716726508.db2.gz FRYOITQXFKADJV-UWVGGRQHSA-N 1 2 318.801 1.662 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC[C@@H](F)C3)CC2)C1 ZINC000941407559 717171871 /nfs/dbraw/zinc/17/18/71/717171871.db2.gz SKOXBTORDTWROA-JKSUJKDBSA-N 1 2 321.440 1.366 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000941932339 717394153 /nfs/dbraw/zinc/39/41/53/717394153.db2.gz ZOHNEZOWKHXJSI-DYVFJYSZSA-N 1 2 318.421 1.580 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC000967184652 718786549 /nfs/dbraw/zinc/78/65/49/718786549.db2.gz OKXFJKLLJJPXFX-DOMZBBRYSA-N 1 2 300.406 1.362 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC000967184652 718786551 /nfs/dbraw/zinc/78/65/51/718786551.db2.gz OKXFJKLLJJPXFX-DOMZBBRYSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)C(F)C(F)(F)F)CC[C@@H]2C1 ZINC000946597923 718847603 /nfs/dbraw/zinc/84/76/03/718847603.db2.gz QBKPPLYDOIAVLH-TUAOUCFPSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)C(F)C(F)(F)F)CC[C@@H]2C1 ZINC000946597923 718847608 /nfs/dbraw/zinc/84/76/08/718847608.db2.gz QBKPPLYDOIAVLH-TUAOUCFPSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)[C@H](F)C(F)(F)F)CC[C@@H]2C1 ZINC000946597923 718847612 /nfs/dbraw/zinc/84/76/12/718847612.db2.gz QBKPPLYDOIAVLH-TUAOUCFPSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)[C@H](F)C(F)(F)F)CC[C@@H]2C1 ZINC000946597923 718847615 /nfs/dbraw/zinc/84/76/15/718847615.db2.gz QBKPPLYDOIAVLH-TUAOUCFPSA-N 1 2 306.303 1.690 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCN1CC#N ZINC000947891561 719299796 /nfs/dbraw/zinc/29/97/96/719299796.db2.gz SVLTUGZMMOCXPA-UONOGXRCSA-N 1 2 324.388 1.374 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000968480275 719610320 /nfs/dbraw/zinc/61/03/20/719610320.db2.gz JFTDLBNRIWGYJL-ZANVPECISA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000968480275 719610322 /nfs/dbraw/zinc/61/03/22/719610322.db2.gz JFTDLBNRIWGYJL-ZANVPECISA-N 1 2 324.812 1.306 20 30 DDEDLO CC(C)c1noc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000968618231 719694835 /nfs/dbraw/zinc/69/48/35/719694835.db2.gz ZGMFFWAOYQZYMC-TZMCWYRMSA-N 1 2 316.405 1.858 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2[nH]cnc2C(F)(F)F)CC1 ZINC000948773062 719699471 /nfs/dbraw/zinc/69/94/71/719699471.db2.gz WNKIJSQQXPIRIK-UHFFFAOYSA-N 1 2 302.300 1.762 20 30 DDEDLO CN(C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)[C@@H]1CCCN(CC#N)CC1 ZINC000948782760 719706079 /nfs/dbraw/zinc/70/60/79/719706079.db2.gz OERNHYJNBUWARK-RBSFLKMASA-N 1 2 315.421 1.360 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn[nH]c1 ZINC000948890820 719769619 /nfs/dbraw/zinc/76/96/19/719769619.db2.gz TVCFZFYIBSUACE-QGZVFWFLSA-N 1 2 308.385 1.762 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn[nH]c1 ZINC000948890820 719769623 /nfs/dbraw/zinc/76/96/23/719769623.db2.gz TVCFZFYIBSUACE-QGZVFWFLSA-N 1 2 308.385 1.762 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn(CC)c1 ZINC000948898126 719772534 /nfs/dbraw/zinc/77/25/34/719772534.db2.gz DETZYAOQKBUCHX-GOSISDBHSA-N 1 2 322.412 1.865 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn(CC)c1 ZINC000948898126 719772537 /nfs/dbraw/zinc/77/25/37/719772537.db2.gz DETZYAOQKBUCHX-GOSISDBHSA-N 1 2 322.412 1.865 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccnn1CC ZINC000948913861 719783272 /nfs/dbraw/zinc/78/32/72/719783272.db2.gz ZZQKWUWKLHXLAN-GOSISDBHSA-N 1 2 322.412 1.865 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccnn1CC ZINC000948913861 719783275 /nfs/dbraw/zinc/78/32/75/719783275.db2.gz ZZQKWUWKLHXLAN-GOSISDBHSA-N 1 2 322.412 1.865 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(CNC(C)=O)cc2)CC1 ZINC000949143092 719928316 /nfs/dbraw/zinc/92/83/16/719928316.db2.gz HLDIRCYQHQEQPO-UHFFFAOYSA-N 1 2 301.390 1.267 20 30 DDEDLO C[C@@H](NC(=O)c1cocn1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969390952 720104782 /nfs/dbraw/zinc/10/47/82/720104782.db2.gz DAKDPIQESHDCDB-GFCCVEGCSA-N 1 2 310.357 1.797 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3occc3Cl)CC2)C1 ZINC000949467110 720108299 /nfs/dbraw/zinc/10/82/99/720108299.db2.gz ZOHNRADYCDZPGV-UHFFFAOYSA-N 1 2 322.792 1.873 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3occc3Cl)CC2)C1 ZINC000949467110 720108300 /nfs/dbraw/zinc/10/83/00/720108300.db2.gz ZOHNRADYCDZPGV-UHFFFAOYSA-N 1 2 322.792 1.873 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3(F)CCCCC3)CC2)C1 ZINC000949506842 720130453 /nfs/dbraw/zinc/13/04/53/720130453.db2.gz QPNZELUTPAXFII-UHFFFAOYSA-N 1 2 322.424 1.985 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3(F)CCCCC3)CC2)C1 ZINC000949506842 720130455 /nfs/dbraw/zinc/13/04/55/720130455.db2.gz QPNZELUTPAXFII-UHFFFAOYSA-N 1 2 322.424 1.985 20 30 DDEDLO N#Cc1ccccc1CN1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC000949554434 720159201 /nfs/dbraw/zinc/15/92/01/720159201.db2.gz INCUTBHBHKLNRE-UHFFFAOYSA-N 1 2 323.400 1.489 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2snnc2CC)C1 ZINC000970080318 720620653 /nfs/dbraw/zinc/62/06/53/720620653.db2.gz UDCVJQJJNOIVDN-SECBINFHSA-N 1 2 314.842 1.903 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnn3cccnc23)C1 ZINC000970253258 720679590 /nfs/dbraw/zinc/67/95/90/720679590.db2.gz KBFSCLDQULBRTG-LLVKDONJSA-N 1 2 319.796 1.532 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C2C[NH+](Cc3ccn(C)n3)C2)cc1 ZINC000970254177 720680114 /nfs/dbraw/zinc/68/01/14/720680114.db2.gz XMDBOJYJFAAHBD-AWEZNQCLSA-N 1 2 322.412 1.652 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2c3c[nH]nc3CC[C@@H]2C)C1 ZINC000950923823 720831044 /nfs/dbraw/zinc/83/10/44/720831044.db2.gz JQMBNZNSYFISSV-BLLLJJGKSA-N 1 2 302.422 1.794 20 30 DDEDLO CC#CC[NH+]1CC([C@@H](C)NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC000970666740 720877208 /nfs/dbraw/zinc/87/72/08/720877208.db2.gz BJCNNUFFUPCASB-QWHCGFSZSA-N 1 2 314.433 1.608 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccc(OC)nn2)C1 ZINC000970693794 720891590 /nfs/dbraw/zinc/89/15/90/720891590.db2.gz PGNBWNCOCFIIFC-JTQLQIEISA-N 1 2 310.785 1.288 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@@H](C)C1C[NH+](CCF)C1 ZINC000970713897 720903744 /nfs/dbraw/zinc/90/37/44/720903744.db2.gz MLSACUGFBGSMHW-LBPRGKRZSA-N 1 2 307.369 1.666 20 30 DDEDLO CC#CC[NH+]1CC([C@H](C)NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000970930835 720993612 /nfs/dbraw/zinc/99/36/12/720993612.db2.gz FNIHHJKALDLVOQ-ZDUSSCGKSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@H](CC)OC)C1 ZINC000971190786 721165340 /nfs/dbraw/zinc/16/53/40/721165340.db2.gz JABJMJGRUGQZMH-RYUDHWBXSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@H](CC)OC)C1 ZINC000971190786 721165343 /nfs/dbraw/zinc/16/53/43/721165343.db2.gz JABJMJGRUGQZMH-RYUDHWBXSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000951916392 721235843 /nfs/dbraw/zinc/23/58/43/721235843.db2.gz HEEBUPLZORBYCC-GUYCJALGSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+](C)[C@@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971377328 721265311 /nfs/dbraw/zinc/26/53/11/721265311.db2.gz XNRVLVQANGNXRI-SWLSCSKDSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+](C)[C@@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971377328 721265315 /nfs/dbraw/zinc/26/53/15/721265315.db2.gz XNRVLVQANGNXRI-SWLSCSKDSA-N 1 2 324.388 1.584 20 30 DDEDLO C[N@H+](Cc1ncc(C2CC2)o1)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971513965 721334564 /nfs/dbraw/zinc/33/45/64/721334564.db2.gz RPIPBYAROCIYFA-HNNXBMFYSA-N 1 2 313.401 1.998 20 30 DDEDLO C[N@@H+](Cc1ncc(C2CC2)o1)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971513965 721334568 /nfs/dbraw/zinc/33/45/68/721334568.db2.gz RPIPBYAROCIYFA-HNNXBMFYSA-N 1 2 313.401 1.998 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC000952303382 721399679 /nfs/dbraw/zinc/39/96/79/721399679.db2.gz ZQKSCPOUHMJKKJ-JKSUJKDBSA-N 1 2 302.422 1.632 20 30 DDEDLO C[C@@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001120878459 782203707 /nfs/dbraw/zinc/20/37/07/782203707.db2.gz LUOPIPUUEIFCIV-NILFDRSVSA-N 1 2 324.388 1.739 20 30 DDEDLO C[C@@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001120878459 782203715 /nfs/dbraw/zinc/20/37/15/782203715.db2.gz LUOPIPUUEIFCIV-NILFDRSVSA-N 1 2 324.388 1.739 20 30 DDEDLO C[NH+]1CCN(C2CCN(c3cc(C#N)cc(Cl)n3)CC2)CC1 ZINC001120955831 782256011 /nfs/dbraw/zinc/25/60/11/782256011.db2.gz VBUSMUGPKUTGPX-UHFFFAOYSA-N 1 2 319.840 1.823 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2CCC(F)(F)F)[nH]1 ZINC001038171951 732569013 /nfs/dbraw/zinc/56/90/13/732569013.db2.gz CJLCVIHAYVFVRJ-SNVBAGLBSA-N 1 2 300.284 1.643 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2CCC(F)(F)F)[nH]1 ZINC001038171951 732569018 /nfs/dbraw/zinc/56/90/18/732569018.db2.gz CJLCVIHAYVFVRJ-SNVBAGLBSA-N 1 2 300.284 1.643 20 30 DDEDLO N#CCN1CC[C@H]2[C@H](CCCN2C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001021604739 733362779 /nfs/dbraw/zinc/36/27/79/733362779.db2.gz XRTOQVLKTBNEHA-HIFRSBDPSA-N 1 2 301.394 1.179 20 30 DDEDLO N#CCN1CC[C@H]2[C@H](CCCN2C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001021604739 733362781 /nfs/dbraw/zinc/36/27/81/733362781.db2.gz XRTOQVLKTBNEHA-HIFRSBDPSA-N 1 2 301.394 1.179 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CCC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001027836338 738714270 /nfs/dbraw/zinc/71/42/70/738714270.db2.gz QNPDKBGEUKLTMQ-IUODEOHRSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CCC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001027836338 738714273 /nfs/dbraw/zinc/71/42/73/738714273.db2.gz QNPDKBGEUKLTMQ-IUODEOHRSA-N 1 2 324.388 1.632 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3cc(C)oc3C)[C@H]2C1 ZINC001083225434 734486828 /nfs/dbraw/zinc/48/68/28/734486828.db2.gz WMPRHOPYOMDVGL-RRQGHBQHSA-N 1 2 316.401 1.545 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3cc(C)oc3C)[C@H]2C1 ZINC001083225434 734486831 /nfs/dbraw/zinc/48/68/31/734486831.db2.gz WMPRHOPYOMDVGL-RRQGHBQHSA-N 1 2 316.401 1.545 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(C)c3Cl)[C@H]2C1 ZINC001083226704 734504209 /nfs/dbraw/zinc/50/42/09/734504209.db2.gz RREVINYFJDVOAQ-LSDHHAIUSA-N 1 2 318.804 1.807 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(C)c3Cl)[C@H]2C1 ZINC001083226704 734504212 /nfs/dbraw/zinc/50/42/12/734504212.db2.gz RREVINYFJDVOAQ-LSDHHAIUSA-N 1 2 318.804 1.807 20 30 DDEDLO C=CC[C@H]1CCCN(c2nnc(Cc3[nH+]ccn3C)n2C)C1 ZINC001121268478 782433422 /nfs/dbraw/zinc/43/34/22/782433422.db2.gz QMXDHZJPRWPYMV-ZDUSSCGKSA-N 1 2 300.410 1.932 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@@H]2C1 ZINC001022843650 734820778 /nfs/dbraw/zinc/82/07/78/734820778.db2.gz UHKOVPJWQVMVTP-GXTWGEPZSA-N 1 2 316.405 1.224 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc2nc[nH]c2n1 ZINC001027954587 738860013 /nfs/dbraw/zinc/86/00/13/738860013.db2.gz RWNFXPVIUBPZMI-LLVKDONJSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc2nc[nH]c2n1 ZINC001027954587 738860017 /nfs/dbraw/zinc/86/00/17/738860017.db2.gz RWNFXPVIUBPZMI-LLVKDONJSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H]2CCN(C(=O)COC)[C@@H]2C1 ZINC001075468696 735267461 /nfs/dbraw/zinc/26/74/61/735267461.db2.gz NWGWRLNRYSDVQJ-WDEREUQCSA-N 1 2 303.200 1.074 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H]2CCN(C(=O)COC)[C@@H]2C1 ZINC001075468696 735267465 /nfs/dbraw/zinc/26/74/65/735267465.db2.gz NWGWRLNRYSDVQJ-WDEREUQCSA-N 1 2 303.200 1.074 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001027975379 738894729 /nfs/dbraw/zinc/89/47/29/738894729.db2.gz QWLUNFCATTXMQB-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001027975379 738894732 /nfs/dbraw/zinc/89/47/32/738894732.db2.gz QWLUNFCATTXMQB-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@H+](C)Cc3ccon3)C2)cn1 ZINC000972756022 735597665 /nfs/dbraw/zinc/59/76/65/735597665.db2.gz IJYYGOTZAZHLFR-MRXNPFEDSA-N 1 2 310.357 1.397 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ccon3)C2)cn1 ZINC000972756022 735597669 /nfs/dbraw/zinc/59/76/69/735597669.db2.gz IJYYGOTZAZHLFR-MRXNPFEDSA-N 1 2 310.357 1.397 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)c2ncc[nH]2)C1 ZINC001007290923 751351361 /nfs/dbraw/zinc/35/13/61/751351361.db2.gz HIQGSQWUDCFAHA-HNNXBMFYSA-N 1 2 309.373 1.676 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)c2ncc[nH]2)C1 ZINC001007290923 751351369 /nfs/dbraw/zinc/35/13/69/751351369.db2.gz HIQGSQWUDCFAHA-HNNXBMFYSA-N 1 2 309.373 1.676 20 30 DDEDLO CCc1noc(C[NH2+]C[C@H]2CCCCN2C(=O)[C@H](C)C#N)n1 ZINC001024899555 736157145 /nfs/dbraw/zinc/15/71/45/736157145.db2.gz WTXYGGXDIZRRDH-VXGBXAGGSA-N 1 2 305.382 1.262 20 30 DDEDLO Cc1noc(C[NH2+]C[C@H]2CCCCN2C(=O)C#CC2CC2)n1 ZINC001024959788 736184537 /nfs/dbraw/zinc/18/45/37/736184537.db2.gz JDNAJPQLTFCKMZ-CQSZACIVSA-N 1 2 302.378 1.262 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H](C)CNc2cc[nH+]c(C)n2)cn1 ZINC001104633094 736475911 /nfs/dbraw/zinc/47/59/11/736475911.db2.gz XCMOTHRGHIIMBV-GFCCVEGCSA-N 1 2 309.373 1.734 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2CCC)C1 ZINC001107974317 751382143 /nfs/dbraw/zinc/38/21/43/751382143.db2.gz PABMBJARMZFOQQ-KRWDZBQOSA-N 1 2 318.421 1.137 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2CCC)C1 ZINC001107974317 751382149 /nfs/dbraw/zinc/38/21/49/751382149.db2.gz PABMBJARMZFOQQ-KRWDZBQOSA-N 1 2 318.421 1.137 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)C[C@H]2CCCS2(=O)=O)C1 ZINC001008061481 737374316 /nfs/dbraw/zinc/37/43/16/737374316.db2.gz GNOLPPCLTOOTPW-ZIAGYGMSSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@@H](NC(=O)C[C@H]2CCCS2(=O)=O)C1 ZINC001008061481 737374320 /nfs/dbraw/zinc/37/43/20/737374320.db2.gz GNOLPPCLTOOTPW-ZIAGYGMSSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001028069404 738987624 /nfs/dbraw/zinc/98/76/24/738987624.db2.gz ICJKHGADEPSBLD-OAHLLOKOSA-N 1 2 311.389 1.852 20 30 DDEDLO C=CC[N@H+]1CCC[C@@H]1CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001028069404 738987627 /nfs/dbraw/zinc/98/76/27/738987627.db2.gz ICJKHGADEPSBLD-OAHLLOKOSA-N 1 2 311.389 1.852 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](Nc1cc[nH+]c(C)n1)C(C)C ZINC001105279771 737707617 /nfs/dbraw/zinc/70/76/17/737707617.db2.gz CDGBRBWMMZPGOK-ILXRZTDVSA-N 1 2 318.421 1.929 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C3(C(C)C)CC3)C2)nn1 ZINC001105324229 737859501 /nfs/dbraw/zinc/85/95/01/737859501.db2.gz XHBHMMXDAHEOHZ-UHFFFAOYSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](CNc1cc[nH+]c(C)n1)C(C)(C)C ZINC001125990718 738103691 /nfs/dbraw/zinc/10/36/91/738103691.db2.gz CHHGNVMRMWPJEZ-GXTWGEPZSA-N 1 2 318.421 1.766 20 30 DDEDLO Cc1nc(C)c(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)[nH]1 ZINC001038711489 738172287 /nfs/dbraw/zinc/17/22/87/738172287.db2.gz YRMIXVMLGYVANA-INIZCTEOSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1nc(C)c(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)[nH]1 ZINC001038711489 738172292 /nfs/dbraw/zinc/17/22/92/738172292.db2.gz YRMIXVMLGYVANA-INIZCTEOSA-N 1 2 323.400 1.903 20 30 DDEDLO N#Cc1cccnc1N1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1[nH]cc[nH+]1 ZINC001058771685 738253792 /nfs/dbraw/zinc/25/37/92/738253792.db2.gz MRKDYYKWZFYCAR-OKILXGFUSA-N 1 2 322.372 1.099 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(CCC)c1C1CC1 ZINC001038900561 739106871 /nfs/dbraw/zinc/10/68/71/739106871.db2.gz NYLXVQUXKZXQSZ-AWEZNQCLSA-N 1 2 300.406 1.608 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(CCC)c1C1CC1 ZINC001038900561 739106872 /nfs/dbraw/zinc/10/68/72/739106872.db2.gz NYLXVQUXKZXQSZ-AWEZNQCLSA-N 1 2 300.406 1.608 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001126331954 739146985 /nfs/dbraw/zinc/14/69/85/739146985.db2.gz DKYKPQVVXHNXNZ-UHFFFAOYSA-N 1 2 302.334 1.339 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@H+](CC=C(Cl)Cl)C[C@H]21 ZINC001075616289 739188548 /nfs/dbraw/zinc/18/85/48/739188548.db2.gz OAIVGLWQFISZAT-GARJFASQSA-N 1 2 302.205 1.998 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](CC=C(Cl)Cl)C[C@H]21 ZINC001075616289 739188549 /nfs/dbraw/zinc/18/85/49/739188549.db2.gz OAIVGLWQFISZAT-GARJFASQSA-N 1 2 302.205 1.998 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001075620090 739220591 /nfs/dbraw/zinc/22/05/91/739220591.db2.gz YWXQEAPNRGTDAS-ZMLRMANQSA-N 1 2 312.211 1.587 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001075620090 739220593 /nfs/dbraw/zinc/22/05/93/739220593.db2.gz YWXQEAPNRGTDAS-ZMLRMANQSA-N 1 2 312.211 1.587 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001087440139 739255730 /nfs/dbraw/zinc/25/57/30/739255730.db2.gz KUMCVFNTEDUPGU-HZPDHXFCSA-N 1 2 323.400 1.416 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001087440139 739255733 /nfs/dbraw/zinc/25/57/33/739255733.db2.gz KUMCVFNTEDUPGU-HZPDHXFCSA-N 1 2 323.400 1.416 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)C1CC1 ZINC001083389794 739757222 /nfs/dbraw/zinc/75/72/22/739757222.db2.gz QZKIILVRUMSRQX-OLMNPRSZSA-N 1 2 312.413 1.246 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)C1CC1 ZINC001083389794 739757224 /nfs/dbraw/zinc/75/72/24/739757224.db2.gz QZKIILVRUMSRQX-OLMNPRSZSA-N 1 2 312.413 1.246 20 30 DDEDLO CC#CCN1CCO[C@H](CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001035415613 751496670 /nfs/dbraw/zinc/49/66/70/751496670.db2.gz CGJOEIQBKLFQMO-CQSZACIVSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001035436540 751513892 /nfs/dbraw/zinc/51/38/92/751513892.db2.gz QYFMBNLCZJJDHB-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001035436540 751513899 /nfs/dbraw/zinc/51/38/99/751513899.db2.gz QYFMBNLCZJJDHB-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO CCn1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)cn1 ZINC001038101964 740235451 /nfs/dbraw/zinc/23/54/51/740235451.db2.gz BTUSPJUQEYABDH-QGZVFWFLSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)cn1 ZINC001038101964 740235455 /nfs/dbraw/zinc/23/54/55/740235455.db2.gz BTUSPJUQEYABDH-QGZVFWFLSA-N 1 2 323.400 1.779 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CNC(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001038425053 740292647 /nfs/dbraw/zinc/29/26/47/740292647.db2.gz DOZVKMKZDRAXBM-NSHDSACASA-N 1 2 303.387 1.580 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CNC(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001038425053 740292648 /nfs/dbraw/zinc/29/26/48/740292648.db2.gz DOZVKMKZDRAXBM-NSHDSACASA-N 1 2 303.387 1.580 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2OCCc3ccccc32)C1 ZINC001035467378 751553219 /nfs/dbraw/zinc/55/32/19/751553219.db2.gz RDWQIOIFAPERKF-DOTOQJQBSA-N 1 2 316.401 1.303 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2OCCc3ccccc32)C1 ZINC001035467378 751553220 /nfs/dbraw/zinc/55/32/20/751553220.db2.gz RDWQIOIFAPERKF-DOTOQJQBSA-N 1 2 316.401 1.303 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@H]2CCc3[nH+]c(C)[nH]c3C2)CCN1CC#N ZINC001087630896 740683892 /nfs/dbraw/zinc/68/38/92/740683892.db2.gz BLGATKRAAKBHEJ-DUVNUKRYSA-N 1 2 315.421 1.316 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@H]2CCc3[nH]c(C)[nH+]c3C2)CCN1CC#N ZINC001087630896 740683893 /nfs/dbraw/zinc/68/38/93/740683893.db2.gz BLGATKRAAKBHEJ-DUVNUKRYSA-N 1 2 315.421 1.316 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3ccccc3c2)C1 ZINC001035523277 751600607 /nfs/dbraw/zinc/60/06/07/751600607.db2.gz PZKSVQVKGOVXCC-KRWDZBQOSA-N 1 2 323.396 1.689 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3ccccc3c2)C1 ZINC001035523277 751600612 /nfs/dbraw/zinc/60/06/12/751600612.db2.gz PZKSVQVKGOVXCC-KRWDZBQOSA-N 1 2 323.396 1.689 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3ccccc3CO2)C1 ZINC001035595582 751652468 /nfs/dbraw/zinc/65/24/68/751652468.db2.gz PYHXPEIJIHBMET-IRXDYDNUSA-N 1 2 316.401 1.131 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3ccccc3CO2)C1 ZINC001035595582 751652471 /nfs/dbraw/zinc/65/24/71/751652471.db2.gz PYHXPEIJIHBMET-IRXDYDNUSA-N 1 2 316.401 1.131 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001075885640 741717102 /nfs/dbraw/zinc/71/71/02/741717102.db2.gz HJUJTRIHFJEQSG-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)OCCO3)C1 ZINC001035604224 751662207 /nfs/dbraw/zinc/66/22/07/751662207.db2.gz IZAABCVQXMFTQW-AWEZNQCLSA-N 1 2 318.373 1.074 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)OCCO3)C1 ZINC001035604224 751662209 /nfs/dbraw/zinc/66/22/09/751662209.db2.gz IZAABCVQXMFTQW-AWEZNQCLSA-N 1 2 318.373 1.074 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2coc3ccc(CC)cc23)[C@@H](O)C1 ZINC001083463867 742138358 /nfs/dbraw/zinc/13/83/58/742138358.db2.gz FDXWHOGNPKLUTJ-CVEARBPZSA-N 1 2 312.369 1.403 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2coc3ccc(CC)cc23)[C@@H](O)C1 ZINC001083463867 742138359 /nfs/dbraw/zinc/13/83/59/742138359.db2.gz FDXWHOGNPKLUTJ-CVEARBPZSA-N 1 2 312.369 1.403 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cn(CC)nn2)[C@H]1C ZINC001088764844 742327503 /nfs/dbraw/zinc/32/75/03/742327503.db2.gz YDHCTMIYSLTDRS-YPMHNXCESA-N 1 2 311.817 1.172 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cn(CC)nn2)[C@H]1C ZINC001088764844 742327507 /nfs/dbraw/zinc/32/75/07/742327507.db2.gz YDHCTMIYSLTDRS-YPMHNXCESA-N 1 2 311.817 1.172 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001126893773 742442511 /nfs/dbraw/zinc/44/25/11/742442511.db2.gz WXGMAXCJJXGCFO-UHFFFAOYSA-N 1 2 316.361 1.585 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2CCN(C(=O)c3cnco3)[C@@H]2C1 ZINC001076103409 742537468 /nfs/dbraw/zinc/53/74/68/742537468.db2.gz XBVOTTWEPPLCOF-JKSUJKDBSA-N 1 2 322.368 1.893 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnco3)[C@@H]2C1 ZINC001076103409 742537470 /nfs/dbraw/zinc/53/74/70/742537470.db2.gz XBVOTTWEPPLCOF-JKSUJKDBSA-N 1 2 322.368 1.893 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cncc(OC)n3)[C@@H]2C1 ZINC001076327155 742669867 /nfs/dbraw/zinc/66/98/67/742669867.db2.gz MXJZRHKPKIFNJK-WCQYABFASA-N 1 2 322.796 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cncc(OC)n3)[C@@H]2C1 ZINC001076327155 742669871 /nfs/dbraw/zinc/66/98/71/742669871.db2.gz MXJZRHKPKIFNJK-WCQYABFASA-N 1 2 322.796 1.384 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(C)nc(C)n3)[C@@H]2C1 ZINC001076358328 742689856 /nfs/dbraw/zinc/68/98/56/742689856.db2.gz VFUNZKYIBVAVEY-DZGCQCFKSA-N 1 2 320.824 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(C)nc(C)n3)[C@@H]2C1 ZINC001076358328 742689858 /nfs/dbraw/zinc/68/98/58/742689858.db2.gz VFUNZKYIBVAVEY-DZGCQCFKSA-N 1 2 320.824 1.992 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)COC2CCCCC2)C1 ZINC001108042330 743010367 /nfs/dbraw/zinc/01/03/67/743010367.db2.gz MIVFDHHCPBZNTP-SFHVURJKSA-N 1 2 322.449 1.566 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)COC2CCCCC2)C1 ZINC001108042330 743010378 /nfs/dbraw/zinc/01/03/78/743010378.db2.gz MIVFDHHCPBZNTP-SFHVURJKSA-N 1 2 322.449 1.566 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnc(OC)c2)C1 ZINC001108043290 743098436 /nfs/dbraw/zinc/09/84/36/743098436.db2.gz IFNLKVBMXAEJSK-MRXNPFEDSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnc(OC)c2)C1 ZINC001108043290 743098450 /nfs/dbraw/zinc/09/84/50/743098450.db2.gz IFNLKVBMXAEJSK-MRXNPFEDSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC001181511889 743218316 /nfs/dbraw/zinc/21/83/16/743218316.db2.gz SZBJGNDAVBTQHW-SECBINFHSA-N 1 2 300.309 1.664 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1cnccn1 ZINC001006634010 751805814 /nfs/dbraw/zinc/80/58/14/751805814.db2.gz XFUFWTYNTQYOGQ-QGZVFWFLSA-N 1 2 320.396 1.723 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1cnccn1 ZINC001006634010 751805822 /nfs/dbraw/zinc/80/58/22/751805822.db2.gz XFUFWTYNTQYOGQ-QGZVFWFLSA-N 1 2 320.396 1.723 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(CC)no2)C1 ZINC001181599961 743260225 /nfs/dbraw/zinc/26/02/25/743260225.db2.gz UQFCJOSKUZKZEM-VXGBXAGGSA-N 1 2 308.382 1.086 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)CCCn1cc[nH+]c1 ZINC001077169890 743291930 /nfs/dbraw/zinc/29/19/30/743291930.db2.gz RKYJFPAXEKXGSV-HNNXBMFYSA-N 1 2 318.421 1.478 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)Cn1cc[nH+]c1 ZINC001077184805 743302347 /nfs/dbraw/zinc/30/23/47/743302347.db2.gz VWTYSPKSLSKVNY-XJKSGUPXSA-N 1 2 306.410 1.496 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C=C(/C)CC)C2)nn1 ZINC001098668899 743336960 /nfs/dbraw/zinc/33/69/60/743336960.db2.gz BRYONPQBAICIEF-UZGISAJGSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CN(C)c3ccccc3O2)CC1 ZINC001181970160 743435445 /nfs/dbraw/zinc/43/54/45/743435445.db2.gz XDDAQWUWEHBVAS-MRXNPFEDSA-N 1 2 301.390 1.214 20 30 DDEDLO Cc1ncn(C)c1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038377943 743563828 /nfs/dbraw/zinc/56/38/28/743563828.db2.gz PVRWSCHYRNCZNP-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1ncn(C)c1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038377943 743563832 /nfs/dbraw/zinc/56/38/32/743563832.db2.gz PVRWSCHYRNCZNP-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CO[C@@H](C)CC)CC2)C1 ZINC001105703182 743592039 /nfs/dbraw/zinc/59/20/39/743592039.db2.gz QDVBDBJPMHCVOX-AWEZNQCLSA-N 1 2 310.438 1.679 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001182388927 743607604 /nfs/dbraw/zinc/60/76/04/743607604.db2.gz NIGSBTQSIZMBKT-CYBMUJFWSA-N 1 2 304.394 1.543 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCC[N@@H+](C3COC3)C2)c([N+](=O)[O-])c1 ZINC001168372743 743620239 /nfs/dbraw/zinc/62/02/39/743620239.db2.gz NYRVPAHNGJMKFX-GFCCVEGCSA-N 1 2 302.334 1.742 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCC[N@H+](C3COC3)C2)c([N+](=O)[O-])c1 ZINC001168372743 743620243 /nfs/dbraw/zinc/62/02/43/743620243.db2.gz NYRVPAHNGJMKFX-GFCCVEGCSA-N 1 2 302.334 1.742 20 30 DDEDLO CCc1nnc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)o1 ZINC001182459058 743638543 /nfs/dbraw/zinc/63/85/43/743638543.db2.gz RTQWLXYWJLQIQY-CHWSQXEVSA-N 1 2 318.421 1.933 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C=C(/C)CC)C2)nn1 ZINC001098673044 743653517 /nfs/dbraw/zinc/65/35/17/743653517.db2.gz LUIOIDXELXCFFR-SCOADAFUSA-N 1 2 315.421 1.521 20 30 DDEDLO C[C@H](CC(=O)N1C[C@@H](C)[C@](C)(CNCC#N)C1)n1cc[nH+]c1 ZINC001182767751 743721306 /nfs/dbraw/zinc/72/13/06/743721306.db2.gz JSTIIENTLGGNMQ-IIAWOOMASA-N 1 2 303.410 1.432 20 30 DDEDLO CNC(=O)c1cc(C#N)cc(C)c1NC(=O)[C@@H]1CC[N@@H+]1C(C)C ZINC001182861833 743740510 /nfs/dbraw/zinc/74/05/10/743740510.db2.gz KLVZNVLSXKUZBX-AWEZNQCLSA-N 1 2 314.389 1.648 20 30 DDEDLO CNC(=O)c1cc(C#N)cc(C)c1NC(=O)[C@@H]1CC[N@H+]1C(C)C ZINC001182861833 743740513 /nfs/dbraw/zinc/74/05/13/743740513.db2.gz KLVZNVLSXKUZBX-AWEZNQCLSA-N 1 2 314.389 1.648 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001077449099 743745610 /nfs/dbraw/zinc/74/56/10/743745610.db2.gz UGBZWDKZSMORSD-HZPDHXFCSA-N 1 2 312.369 1.458 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001077449099 743745613 /nfs/dbraw/zinc/74/56/13/743745613.db2.gz UGBZWDKZSMORSD-HZPDHXFCSA-N 1 2 312.369 1.458 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cn(C)c(=O)[nH]1)CC2 ZINC001035786014 751873441 /nfs/dbraw/zinc/87/34/41/751873441.db2.gz YWGIJMFAMUXIEM-UHFFFAOYSA-N 1 2 324.812 1.416 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCC1(Nc2ccc(C#N)cn2)CC1 ZINC001110377075 744354154 /nfs/dbraw/zinc/35/41/54/744354154.db2.gz WEALCZZHBKAEID-LBPRGKRZSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCC1(Nc2ccc(C#N)cn2)CC1 ZINC001110377075 744354157 /nfs/dbraw/zinc/35/41/57/744354157.db2.gz WEALCZZHBKAEID-LBPRGKRZSA-N 1 2 324.388 1.616 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCC1(Nc2ccncc2C#N)CC1 ZINC001110377051 744354403 /nfs/dbraw/zinc/35/44/03/744354403.db2.gz VADJVRNXGNSFMN-GFCCVEGCSA-N 1 2 324.388 1.038 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCC1(Nc2ccncc2C#N)CC1 ZINC001110377051 744354406 /nfs/dbraw/zinc/35/44/06/744354406.db2.gz VADJVRNXGNSFMN-GFCCVEGCSA-N 1 2 324.388 1.038 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)[C@H]1C ZINC001089052630 744478592 /nfs/dbraw/zinc/47/85/92/744478592.db2.gz OBXWYQIBCNNYJZ-DYEKYZERSA-N 1 2 322.840 1.846 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)[C@H]1C ZINC001089052630 744478593 /nfs/dbraw/zinc/47/85/93/744478593.db2.gz OBXWYQIBCNNYJZ-DYEKYZERSA-N 1 2 322.840 1.846 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnc(C)cn1 ZINC001110390333 744511258 /nfs/dbraw/zinc/51/12/58/744511258.db2.gz ICYIQPCOEOBMNY-OAGGEKHMSA-N 1 2 316.405 1.209 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnc(C)cn1 ZINC001110390333 744511260 /nfs/dbraw/zinc/51/12/60/744511260.db2.gz ICYIQPCOEOBMNY-OAGGEKHMSA-N 1 2 316.405 1.209 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001187279534 744533018 /nfs/dbraw/zinc/53/30/18/744533018.db2.gz BSNHZFNLDSQTEK-KWCYVHTRSA-N 1 2 320.393 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001187279534 744533022 /nfs/dbraw/zinc/53/30/22/744533022.db2.gz BSNHZFNLDSQTEK-KWCYVHTRSA-N 1 2 320.393 1.174 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3ccccn3)C[C@H]2O)cc1 ZINC001077494063 744621022 /nfs/dbraw/zinc/62/10/22/744621022.db2.gz YYFNLPYZZCXFBX-QZTJIDSGSA-N 1 2 321.380 1.038 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3ccccn3)C[C@H]2O)cc1 ZINC001077494063 744621024 /nfs/dbraw/zinc/62/10/24/744621024.db2.gz YYFNLPYZZCXFBX-QZTJIDSGSA-N 1 2 321.380 1.038 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC001046031963 744641282 /nfs/dbraw/zinc/64/12/82/744641282.db2.gz YDXFZLVSNVEXDM-NEPJUHHUSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC001046031963 744641286 /nfs/dbraw/zinc/64/12/86/744641286.db2.gz YDXFZLVSNVEXDM-NEPJUHHUSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)C1 ZINC001046031963 744641289 /nfs/dbraw/zinc/64/12/89/744641289.db2.gz YDXFZLVSNVEXDM-NEPJUHHUSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)C1 ZINC001046031963 744641291 /nfs/dbraw/zinc/64/12/91/744641291.db2.gz YDXFZLVSNVEXDM-NEPJUHHUSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+]Cc2ncc(C3CC3)o2)C1 ZINC001188287558 744686977 /nfs/dbraw/zinc/68/69/77/744686977.db2.gz NKBBHZVHBINSQS-CQSZACIVSA-N 1 2 319.405 1.835 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001188309829 744687709 /nfs/dbraw/zinc/68/77/09/744687709.db2.gz IPINHQAWWMBODB-QWHCGFSZSA-N 1 2 322.409 1.475 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001077508303 744713639 /nfs/dbraw/zinc/71/36/39/744713639.db2.gz ULTVPRJHGUTDHV-HUUCEWRRSA-N 1 2 306.793 1.365 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001077508303 744713641 /nfs/dbraw/zinc/71/36/41/744713641.db2.gz ULTVPRJHGUTDHV-HUUCEWRRSA-N 1 2 306.793 1.365 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001188999565 744807047 /nfs/dbraw/zinc/80/70/47/744807047.db2.gz SETRNZGXAWVDFU-GOEBONIOSA-N 1 2 302.422 1.809 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001188999565 744807050 /nfs/dbraw/zinc/80/70/50/744807050.db2.gz SETRNZGXAWVDFU-GOEBONIOSA-N 1 2 302.422 1.809 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2ncc(C)o2)C1 ZINC001189379982 744880175 /nfs/dbraw/zinc/88/01/75/744880175.db2.gz MRSNTPHARZWKRQ-UONOGXRCSA-N 1 2 307.394 1.779 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2ncc(C)o2)C1 ZINC001189379982 744880176 /nfs/dbraw/zinc/88/01/76/744880176.db2.gz MRSNTPHARZWKRQ-UONOGXRCSA-N 1 2 307.394 1.779 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(CC)n2)C1 ZINC001189361967 744885899 /nfs/dbraw/zinc/88/58/99/744885899.db2.gz FNNZFCNJHQDMSU-HNNXBMFYSA-N 1 2 306.410 1.138 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2ccn(CC)n2)C1 ZINC001189361967 744885900 /nfs/dbraw/zinc/88/59/00/744885900.db2.gz FNNZFCNJHQDMSU-HNNXBMFYSA-N 1 2 306.410 1.138 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2cccs2)[C@@H](O)C1 ZINC001083698552 744887822 /nfs/dbraw/zinc/88/78/22/744887822.db2.gz MZEWWGPFABAJBO-OLZOCXBDSA-N 1 2 306.431 1.210 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2cccs2)[C@@H](O)C1 ZINC001083698552 744887825 /nfs/dbraw/zinc/88/78/25/744887825.db2.gz MZEWWGPFABAJBO-OLZOCXBDSA-N 1 2 306.431 1.210 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(C)n2)C1 ZINC001189565218 744917055 /nfs/dbraw/zinc/91/70/55/744917055.db2.gz OIUPANUZVMOBOP-INIZCTEOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([N@H+](C)Cc2ccn(C)n2)C1 ZINC001189565218 744917059 /nfs/dbraw/zinc/91/70/59/744917059.db2.gz OIUPANUZVMOBOP-INIZCTEOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189917540 745031992 /nfs/dbraw/zinc/03/19/92/745031992.db2.gz HRRJAVQRWCTTOT-NVXWUHKLSA-N 1 2 320.437 1.088 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001189917540 745031997 /nfs/dbraw/zinc/03/19/97/745031997.db2.gz HRRJAVQRWCTTOT-NVXWUHKLSA-N 1 2 320.437 1.088 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001189918729 745033460 /nfs/dbraw/zinc/03/34/60/745033460.db2.gz TUCFIMNGNBOXJP-PBHICJAKSA-N 1 2 321.421 1.651 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001189918729 745033465 /nfs/dbraw/zinc/03/34/65/745033465.db2.gz TUCFIMNGNBOXJP-PBHICJAKSA-N 1 2 321.421 1.651 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([N@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001190053926 745092051 /nfs/dbraw/zinc/09/20/51/745092051.db2.gz MTKHGAJEXJKFTO-KRWDZBQOSA-N 1 2 314.433 1.521 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([N@@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001190053926 745092058 /nfs/dbraw/zinc/09/20/58/745092058.db2.gz MTKHGAJEXJKFTO-KRWDZBQOSA-N 1 2 314.433 1.521 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001190122041 745123013 /nfs/dbraw/zinc/12/30/13/745123013.db2.gz VIMJGOZFDRSBPL-AWEZNQCLSA-N 1 2 319.405 1.310 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001190122041 745123015 /nfs/dbraw/zinc/12/30/15/745123015.db2.gz VIMJGOZFDRSBPL-AWEZNQCLSA-N 1 2 319.405 1.310 20 30 DDEDLO COCC#CC[N@H+](C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190270089 745169218 /nfs/dbraw/zinc/16/92/18/745169218.db2.gz NNBLBTHFTOYMDW-GHMZBOCLSA-N 1 2 310.291 1.069 20 30 DDEDLO COCC#CC[N@@H+](C)[C@@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190270089 745169223 /nfs/dbraw/zinc/16/92/23/745169223.db2.gz NNBLBTHFTOYMDW-GHMZBOCLSA-N 1 2 310.291 1.069 20 30 DDEDLO COCC#CC[N@H+](C)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001190270089 745169226 /nfs/dbraw/zinc/16/92/26/745169226.db2.gz NNBLBTHFTOYMDW-GHMZBOCLSA-N 1 2 310.291 1.069 20 30 DDEDLO COCC#CC[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001190270089 745169231 /nfs/dbraw/zinc/16/92/31/745169231.db2.gz NNBLBTHFTOYMDW-GHMZBOCLSA-N 1 2 310.291 1.069 20 30 DDEDLO C=CCn1cc(C(=O)NC2C[NH+](CCc3cccs3)C2)nn1 ZINC001031069507 745297962 /nfs/dbraw/zinc/29/79/62/745297962.db2.gz QXFJSDPEGHYYSJ-UHFFFAOYSA-N 1 2 317.418 1.182 20 30 DDEDLO COCC[N@H+](CCCOc1ccc(C#N)cc1)CC(=O)OC ZINC001191069881 745416951 /nfs/dbraw/zinc/41/69/51/745416951.db2.gz HZEIOWBAUKZLRM-UHFFFAOYSA-N 1 2 306.362 1.449 20 30 DDEDLO COCC[N@@H+](CCCOc1ccc(C#N)cc1)CC(=O)OC ZINC001191069881 745416954 /nfs/dbraw/zinc/41/69/54/745416954.db2.gz HZEIOWBAUKZLRM-UHFFFAOYSA-N 1 2 306.362 1.449 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNc1[nH+]cnc2c1cnn2C ZINC001106247476 745456558 /nfs/dbraw/zinc/45/65/58/745456558.db2.gz AQNFCICFBSXGIS-NSHDSACASA-N 1 2 302.382 1.636 20 30 DDEDLO C[C@H](CCNc1nccnc1C#N)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106310342 745593019 /nfs/dbraw/zinc/59/30/19/745593019.db2.gz SGFMYCLOYOLXTA-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[NH+](C)CCOc1cc(F)ccc1NS(=O)(=O)CC#N ZINC001192986342 745942120 /nfs/dbraw/zinc/94/21/20/745942120.db2.gz YQNFEZBMOSLKDD-UHFFFAOYSA-N 1 2 301.343 1.031 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2snnc2C2CC2)[C@H]1C ZINC000993456759 746171742 /nfs/dbraw/zinc/17/17/42/746171742.db2.gz BVYLVENMBBEAQU-ZYHUDNBSSA-N 1 2 304.419 1.631 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2snnc2C2CC2)[C@H]1C ZINC000993456759 746171744 /nfs/dbraw/zinc/17/17/44/746171744.db2.gz BVYLVENMBBEAQU-ZYHUDNBSSA-N 1 2 304.419 1.631 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001194396445 746365915 /nfs/dbraw/zinc/36/59/15/746365915.db2.gz CYQUDUHQUJNITB-GDBMZVCRSA-N 1 2 320.437 1.352 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001194396445 746365922 /nfs/dbraw/zinc/36/59/22/746365922.db2.gz CYQUDUHQUJNITB-GDBMZVCRSA-N 1 2 320.437 1.352 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(=O)n(-c3ccccc3)c2)CC1 ZINC001194575048 746413066 /nfs/dbraw/zinc/41/30/66/746413066.db2.gz OUDIRCTXIOJJSP-UHFFFAOYSA-N 1 2 323.396 1.781 20 30 DDEDLO CCO[C@@H](C)C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC001194956768 746496990 /nfs/dbraw/zinc/49/69/90/746496990.db2.gz VXBHHYKEJMNGDB-KRWDZBQOSA-N 1 2 314.429 1.997 20 30 DDEDLO CCO[C@@H](C)C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC001194956768 746496992 /nfs/dbraw/zinc/49/69/92/746496992.db2.gz VXBHHYKEJMNGDB-KRWDZBQOSA-N 1 2 314.429 1.997 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001112405323 746499478 /nfs/dbraw/zinc/49/94/78/746499478.db2.gz OBRLMMVZOKDBDP-UKRRQHHQSA-N 1 2 300.406 1.920 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195247198 746555988 /nfs/dbraw/zinc/55/59/88/746555988.db2.gz SXHUNVKLHVEMON-HUUCEWRRSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195247198 746555992 /nfs/dbraw/zinc/55/59/92/746555992.db2.gz SXHUNVKLHVEMON-HUUCEWRRSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2C(C)(C)C2(C)C)CC1 ZINC001195425133 746609856 /nfs/dbraw/zinc/60/98/56/746609856.db2.gz ABBCWYYKNMGTIH-UHFFFAOYSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2C(C)(C)C2(C)C)CC1 ZINC001195425133 746609857 /nfs/dbraw/zinc/60/98/57/746609857.db2.gz ABBCWYYKNMGTIH-UHFFFAOYSA-N 1 2 321.465 1.505 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](Cc2ccnn2C)CC1 ZINC001195541384 746640216 /nfs/dbraw/zinc/64/02/16/746640216.db2.gz SCDHJSSDYLNRSH-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](Cc2ccnn2C)CC1 ZINC001195541384 746640218 /nfs/dbraw/zinc/64/02/18/746640218.db2.gz SCDHJSSDYLNRSH-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)C(C)C)CC1 ZINC001195645564 746664128 /nfs/dbraw/zinc/66/41/28/746664128.db2.gz VZGMGHNOHOEOJE-INIZCTEOSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)C(C)C)CC1 ZINC001195645564 746664132 /nfs/dbraw/zinc/66/41/32/746664132.db2.gz VZGMGHNOHOEOJE-INIZCTEOSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)[C@H]1C ZINC000994489780 746686880 /nfs/dbraw/zinc/68/68/80/746686880.db2.gz VBXQSUSXKYWEFT-UKRRQHHQSA-N 1 2 323.400 1.688 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]2O)C1 ZINC001083756532 746718986 /nfs/dbraw/zinc/71/89/86/746718986.db2.gz YMXGKLYTAGRSKE-MSOLQXFVSA-N 1 2 324.424 1.556 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]2O)C1 ZINC001083756532 746718989 /nfs/dbraw/zinc/71/89/89/746718989.db2.gz YMXGKLYTAGRSKE-MSOLQXFVSA-N 1 2 324.424 1.556 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cccc(C)c2F)CC1 ZINC001195908090 746734682 /nfs/dbraw/zinc/73/46/82/746734682.db2.gz SXNAXIZOTKYERG-UHFFFAOYSA-N 1 2 318.392 1.932 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cccc(C)c2F)CC1 ZINC001195908090 746734686 /nfs/dbraw/zinc/73/46/86/746734686.db2.gz SXNAXIZOTKYERG-UHFFFAOYSA-N 1 2 318.392 1.932 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1ccc(C#N)nc1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089381990 746760184 /nfs/dbraw/zinc/76/01/84/746760184.db2.gz WJSDPEHHWSMZSK-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1ccc(C#N)nc1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089381991 746760429 /nfs/dbraw/zinc/76/04/29/746760429.db2.gz WJSDPEHHWSMZSK-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@H](C[C@H](C)Nc1cnc(C#N)cn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089381402 746761591 /nfs/dbraw/zinc/76/15/91/746761591.db2.gz RWEFUPILECFIIK-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[N@@H+](Cc2cnnn2CC)CC1 ZINC001195990247 746761751 /nfs/dbraw/zinc/76/17/51/746761751.db2.gz POPGIYFUQKOBJO-UHFFFAOYSA-N 1 2 319.453 1.935 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[N@H+](Cc2cnnn2CC)CC1 ZINC001195990247 746761756 /nfs/dbraw/zinc/76/17/56/746761756.db2.gz POPGIYFUQKOBJO-UHFFFAOYSA-N 1 2 319.453 1.935 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001196391479 746853271 /nfs/dbraw/zinc/85/32/71/746853271.db2.gz DMZOIQZDEOETOT-ARFHVFGLSA-N 1 2 324.465 1.260 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001196391479 746853276 /nfs/dbraw/zinc/85/32/76/746853276.db2.gz DMZOIQZDEOETOT-ARFHVFGLSA-N 1 2 324.465 1.260 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCCCC)CC1 ZINC001196854700 746991990 /nfs/dbraw/zinc/99/19/90/746991990.db2.gz SFJPEWCWEQJKET-JKSUJKDBSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NCCCC)CC1 ZINC001196854700 746991991 /nfs/dbraw/zinc/99/19/91/746991991.db2.gz SFJPEWCWEQJKET-JKSUJKDBSA-N 1 2 323.481 1.895 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)c3ccn[nH]3)C2)ccc1F ZINC001031557646 747024990 /nfs/dbraw/zinc/02/49/90/747024990.db2.gz CJCSQDPXJRBGOJ-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCC2C[NH+](Cc3cncc(F)c3)C2)c1 ZINC001031603095 747147642 /nfs/dbraw/zinc/14/76/42/747147642.db2.gz HNSDLILCPGATRO-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CCC(C)(C)C)CC1 ZINC001197485024 747172943 /nfs/dbraw/zinc/17/29/43/747172943.db2.gz JSBNCLGYZMPWMF-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CCC(C)(C)C)CC1 ZINC001197485024 747172950 /nfs/dbraw/zinc/17/29/50/747172950.db2.gz JSBNCLGYZMPWMF-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3ccn(C)n3)CC2)cc1 ZINC001003411785 747188768 /nfs/dbraw/zinc/18/87/68/747188768.db2.gz WHEKYSMXTCNRLR-UHFFFAOYSA-N 1 2 322.412 1.796 20 30 DDEDLO CC(C)(F)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001090002209 747193427 /nfs/dbraw/zinc/19/34/27/747193427.db2.gz QKLPHRZEHUFAGT-GJZGRUSLSA-N 1 2 319.380 1.358 20 30 DDEDLO CC(C)(F)C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001090002209 747193431 /nfs/dbraw/zinc/19/34/31/747193431.db2.gz QKLPHRZEHUFAGT-GJZGRUSLSA-N 1 2 319.380 1.358 20 30 DDEDLO CN(c1ccncc1C#N)[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001061271136 747250553 /nfs/dbraw/zinc/25/05/53/747250553.db2.gz HPOJTKOHYCDEEZ-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)Cc2ccccc2C)C1 ZINC001197792834 747265815 /nfs/dbraw/zinc/26/58/15/747265815.db2.gz RHZCQXWFSGWPQX-CGTJXYLNSA-N 1 2 314.429 1.358 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)Cc2ccccc2C)C1 ZINC001197792834 747265819 /nfs/dbraw/zinc/26/58/19/747265819.db2.gz RHZCQXWFSGWPQX-CGTJXYLNSA-N 1 2 314.429 1.358 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC[NH+](Cc3ccn(C)n3)CC2)C1 ZINC001003595886 747310000 /nfs/dbraw/zinc/31/00/00/747310000.db2.gz JEOPIOMJVSKYPR-UHFFFAOYSA-N 1 2 302.422 1.857 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccc(C)n1 ZINC001152370511 747314597 /nfs/dbraw/zinc/31/45/97/747314597.db2.gz JNNOCNDKKGYSOL-CQSZACIVSA-N 1 2 306.410 1.314 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccc(C)n1 ZINC001152370511 747314604 /nfs/dbraw/zinc/31/46/04/747314604.db2.gz JNNOCNDKKGYSOL-CQSZACIVSA-N 1 2 306.410 1.314 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2conc2C)CC1 ZINC001198342999 747458530 /nfs/dbraw/zinc/45/85/30/747458530.db2.gz DXCAHINONPKOQJ-AWEZNQCLSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2conc2C)CC1 ZINC001198342999 747458537 /nfs/dbraw/zinc/45/85/37/747458537.db2.gz DXCAHINONPKOQJ-AWEZNQCLSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cc(C)ncn2)CC1 ZINC001198344958 747460137 /nfs/dbraw/zinc/46/01/37/747460137.db2.gz YAMHBLAPOCNDFB-HNNXBMFYSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cc(C)ncn2)CC1 ZINC001198344958 747460143 /nfs/dbraw/zinc/46/01/43/747460143.db2.gz YAMHBLAPOCNDFB-HNNXBMFYSA-N 1 2 318.421 1.410 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+]([C@@H]3CCNC3=O)CC2)CCCC1 ZINC001198417665 747485687 /nfs/dbraw/zinc/48/56/87/747485687.db2.gz NRWFPOFGBYSJCY-OAHLLOKOSA-N 1 2 319.449 1.546 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+]([C@@H]3CCNC3=O)CC2)CCCC1 ZINC001198417665 747485691 /nfs/dbraw/zinc/48/56/91/747485691.db2.gz NRWFPOFGBYSJCY-OAHLLOKOSA-N 1 2 319.449 1.546 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](CNC(=O)CC)c1ccccc1OC ZINC001198445024 747506589 /nfs/dbraw/zinc/50/65/89/747506589.db2.gz XINQMGWJEZEDTA-CQSZACIVSA-N 1 2 319.405 1.154 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1csc(C)n1 ZINC001152460920 747521817 /nfs/dbraw/zinc/52/18/17/747521817.db2.gz XJLZVBGGFBUBKO-GFCCVEGCSA-N 1 2 311.451 1.981 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1csc(C)n1 ZINC001152460920 747521822 /nfs/dbraw/zinc/52/18/22/747521822.db2.gz XJLZVBGGFBUBKO-GFCCVEGCSA-N 1 2 311.451 1.981 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCCO[C@H]2CC)C1 ZINC001031721812 747530437 /nfs/dbraw/zinc/53/04/37/747530437.db2.gz IAOOWNIXZICJLM-KGLIPLIRSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CCCC(=O)NC[C@H]([NH2+]CC(=O)NC)c1ccccc1OC ZINC001198595948 747542775 /nfs/dbraw/zinc/54/27/75/747542775.db2.gz NXFXZCMLSWBKDP-AWEZNQCLSA-N 1 2 319.405 1.154 20 30 DDEDLO C=CCn1cccc1C(=O)NCC1C[NH+](Cc2cncs2)C1 ZINC001031733808 747607334 /nfs/dbraw/zinc/60/73/34/747607334.db2.gz PHMYWQIDTAAEGW-UHFFFAOYSA-N 1 2 316.430 1.992 20 30 DDEDLO CN(C(=O)c1cocn1)[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001032950953 747751741 /nfs/dbraw/zinc/75/17/41/747751741.db2.gz MUNRLTSCAVGJJS-INIZCTEOSA-N 1 2 309.369 1.873 20 30 DDEDLO CN(C(=O)c1cocn1)[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001032950953 747751745 /nfs/dbraw/zinc/75/17/45/747751745.db2.gz MUNRLTSCAVGJJS-INIZCTEOSA-N 1 2 309.369 1.873 20 30 DDEDLO CN(C(=O)Cc1ccn[nH]1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001032979731 747823191 /nfs/dbraw/zinc/82/31/91/747823191.db2.gz FHDXOIZMOPQRCS-KRWDZBQOSA-N 1 2 323.400 1.557 20 30 DDEDLO CN(C(=O)Cc1ccn[nH]1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001032979731 747823194 /nfs/dbraw/zinc/82/31/94/747823194.db2.gz FHDXOIZMOPQRCS-KRWDZBQOSA-N 1 2 323.400 1.557 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccn(C3CCC3)c2=O)CC1 ZINC001199487406 747875615 /nfs/dbraw/zinc/87/56/15/747875615.db2.gz PCVCYHYWQQHTIE-UHFFFAOYSA-N 1 2 301.390 1.517 20 30 DDEDLO N#CCN1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001089803176 747882882 /nfs/dbraw/zinc/88/28/82/747882882.db2.gz MNNGZZLDPZGJNI-XQLPTFJDSA-N 1 2 313.405 1.035 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2O[C@@H](C)CC)[C@@H](O)C1 ZINC001083822102 748008969 /nfs/dbraw/zinc/00/89/69/748008969.db2.gz NABYWDZTDZHWDT-IMJJTQAJSA-N 1 2 316.401 1.272 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2O[C@@H](C)CC)[C@@H](O)C1 ZINC001083822102 748008973 /nfs/dbraw/zinc/00/89/73/748008973.db2.gz NABYWDZTDZHWDT-IMJJTQAJSA-N 1 2 316.401 1.272 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077573136 748181058 /nfs/dbraw/zinc/18/10/58/748181058.db2.gz AILWCMPKILOAOJ-AWEZNQCLSA-N 1 2 320.437 1.887 20 30 DDEDLO Cc1ncncc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004344943 748344998 /nfs/dbraw/zinc/34/49/98/748344998.db2.gz GIHNPJYLMSBUIC-INIZCTEOSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1ncncc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004344943 748345006 /nfs/dbraw/zinc/34/50/06/748345006.db2.gz GIHNPJYLMSBUIC-INIZCTEOSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1cc(CC(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)[nH]n1 ZINC001004403502 748407023 /nfs/dbraw/zinc/40/70/23/748407023.db2.gz SPTGTDIQOBCHAU-INIZCTEOSA-N 1 2 315.421 1.487 20 30 DDEDLO Cc1cc(CC(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)[nH]n1 ZINC001004403502 748407026 /nfs/dbraw/zinc/40/70/26/748407026.db2.gz SPTGTDIQOBCHAU-INIZCTEOSA-N 1 2 315.421 1.487 20 30 DDEDLO C=CCCCC(=O)N1CCCO[C@@H](C[NH2+]Cc2nc(C)no2)C1 ZINC001201283339 748453028 /nfs/dbraw/zinc/45/30/28/748453028.db2.gz FZVMLUNTJPUEEG-AWEZNQCLSA-N 1 2 322.409 1.441 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2CN(C(=O)[C@@H](C)C#N)CC2(C)C)o1 ZINC000995566903 748942422 /nfs/dbraw/zinc/94/24/22/748942422.db2.gz VETBBOIQFSDHQK-RYUDHWBXSA-N 1 2 319.409 1.679 20 30 DDEDLO C[C@]1(NC(=O)CCc2[nH]cc[nH+]2)CCN(c2ncccc2C#N)C1 ZINC001110752082 748981549 /nfs/dbraw/zinc/98/15/49/748981549.db2.gz OITLVQCIDLQMJX-KRWDZBQOSA-N 1 2 324.388 1.394 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC001108313383 761908257 /nfs/dbraw/zinc/90/82/57/761908257.db2.gz CKQIGMOPGHKQGV-SFHVURJKSA-N 1 2 315.417 1.736 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC001108313383 761908263 /nfs/dbraw/zinc/90/82/63/761908263.db2.gz CKQIGMOPGHKQGV-SFHVURJKSA-N 1 2 315.417 1.736 20 30 DDEDLO C[C@H]([NH2+][C@H]1CN(C(=O)C#CC2CC2)CC1(C)C)c1csnn1 ZINC000995800002 749232995 /nfs/dbraw/zinc/23/29/95/749232995.db2.gz UHXFOLXURULFLX-FZMZJTMJSA-N 1 2 318.446 1.839 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCc1cnn2c1C[N@H+](C(C)C)CC2 ZINC001128602747 749243118 /nfs/dbraw/zinc/24/31/18/749243118.db2.gz WJEDCYCDKSFHHF-CYBMUJFWSA-N 1 2 306.410 1.314 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCc1cnn2c1C[N@@H+](C(C)C)CC2 ZINC001128602747 749243124 /nfs/dbraw/zinc/24/31/24/749243124.db2.gz WJEDCYCDKSFHHF-CYBMUJFWSA-N 1 2 306.410 1.314 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114473098 749256561 /nfs/dbraw/zinc/25/65/61/749256561.db2.gz SYAWTSYSKUARGW-JSGCOSHPSA-N 1 2 316.405 1.154 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001033483306 749409294 /nfs/dbraw/zinc/40/92/94/749409294.db2.gz GZMCILBZNLJSJE-OLZOCXBDSA-N 1 2 319.430 1.774 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001033483306 749409296 /nfs/dbraw/zinc/40/92/96/749409296.db2.gz GZMCILBZNLJSJE-OLZOCXBDSA-N 1 2 319.430 1.774 20 30 DDEDLO CN(C(=O)c1cnon1)[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001033527251 749479037 /nfs/dbraw/zinc/47/90/37/749479037.db2.gz NQSWVFWEAHXOAP-OAHLLOKOSA-N 1 2 310.357 1.268 20 30 DDEDLO CN(C(=O)c1cnon1)[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001033527251 749479040 /nfs/dbraw/zinc/47/90/40/749479040.db2.gz NQSWVFWEAHXOAP-OAHLLOKOSA-N 1 2 310.357 1.268 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)cn1 ZINC001039348759 761954595 /nfs/dbraw/zinc/95/45/95/761954595.db2.gz BVDBATQBSUJUFT-MAZHCROVSA-N 1 2 313.405 1.510 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)cn1 ZINC001039348759 761954600 /nfs/dbraw/zinc/95/46/00/761954600.db2.gz BVDBATQBSUJUFT-MAZHCROVSA-N 1 2 313.405 1.510 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cn(C)nc2Cl)C1 ZINC001033581095 749559607 /nfs/dbraw/zinc/55/96/07/749559607.db2.gz IJIFLPNUDPPGOS-JTQLQIEISA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cn(C)nc2Cl)C1 ZINC001033581095 749559610 /nfs/dbraw/zinc/55/96/10/749559610.db2.gz IJIFLPNUDPPGOS-JTQLQIEISA-N 1 2 317.220 1.972 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(OC)cc2)C1 ZINC001108362309 761967229 /nfs/dbraw/zinc/96/72/29/761967229.db2.gz MJZIRIUGKZDRJJ-SFHVURJKSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(OC)cc2)C1 ZINC001108362309 761967233 /nfs/dbraw/zinc/96/72/33/761967233.db2.gz MJZIRIUGKZDRJJ-SFHVURJKSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](OC)C2CCCC2)C1 ZINC001108369228 761988688 /nfs/dbraw/zinc/98/86/88/761988688.db2.gz MXJIUFNUVPVYKJ-WMZOPIPTSA-N 1 2 322.449 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](OC)C2CCCC2)C1 ZINC001108369228 761988695 /nfs/dbraw/zinc/98/86/95/761988695.db2.gz MXJIUFNUVPVYKJ-WMZOPIPTSA-N 1 2 322.449 1.422 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033790826 749902632 /nfs/dbraw/zinc/90/26/32/749902632.db2.gz FUSZOENOZIOHBC-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033790826 749902637 /nfs/dbraw/zinc/90/26/37/749902637.db2.gz FUSZOENOZIOHBC-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C#Cc1cncc(C(=O)NC/C=C\CNc2cc[nH+]c(C)n2)c1 ZINC001107500126 750052638 /nfs/dbraw/zinc/05/26/38/750052638.db2.gz YHWFEIBDJALCIS-PLNGDYQASA-N 1 2 307.357 1.559 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2ccc(Cl)cc2)C1 ZINC001077606901 750062076 /nfs/dbraw/zinc/06/20/76/750062076.db2.gz JHIWHDKYORBLDY-HUUCEWRRSA-N 1 2 320.820 1.412 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2ccc(Cl)cc2)C1 ZINC001077606901 750062084 /nfs/dbraw/zinc/06/20/84/750062084.db2.gz JHIWHDKYORBLDY-HUUCEWRRSA-N 1 2 320.820 1.412 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)cc2)C1 ZINC001107689403 750382689 /nfs/dbraw/zinc/38/26/89/750382689.db2.gz QXCPSIXCOZYQGP-QGZVFWFLSA-N 1 2 304.365 1.670 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)cc2)C1 ZINC001107689403 750382697 /nfs/dbraw/zinc/38/26/97/750382697.db2.gz QXCPSIXCOZYQGP-QGZVFWFLSA-N 1 2 304.365 1.670 20 30 DDEDLO N#CCN1CCCC[C@@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC001034473692 750462861 /nfs/dbraw/zinc/46/28/61/750462861.db2.gz HBMYUFBOKMYRDU-CQSZACIVSA-N 1 2 324.388 1.375 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc3ccccc3c2)C1 ZINC001077743287 750487051 /nfs/dbraw/zinc/48/70/51/750487051.db2.gz RLGFYQHRUDMSIE-IAGOWNOFSA-N 1 2 309.369 1.033 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc3ccccc3c2)C1 ZINC001077743287 750487056 /nfs/dbraw/zinc/48/70/56/750487056.db2.gz RLGFYQHRUDMSIE-IAGOWNOFSA-N 1 2 309.369 1.033 20 30 DDEDLO C=C(C)CCC(=O)N[C@@]1(CO)CCCN(c2cc[nH+]c(C)n2)C1 ZINC001111359847 750517209 /nfs/dbraw/zinc/51/72/09/750517209.db2.gz OHITUEWLYVFVSI-KRWDZBQOSA-N 1 2 318.421 1.589 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1-c1ccco1 ZINC001032396870 750563691 /nfs/dbraw/zinc/56/36/91/750563691.db2.gz INYZJRIQRYELLF-KBPBESRZSA-N 1 2 324.384 1.602 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1-c1ccco1 ZINC001032396870 750563693 /nfs/dbraw/zinc/56/36/93/750563693.db2.gz INYZJRIQRYELLF-KBPBESRZSA-N 1 2 324.384 1.602 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2sccc2F)[C@@H](O)C1 ZINC001090227461 750650359 /nfs/dbraw/zinc/65/03/59/750650359.db2.gz LSDLXCWMEGGVQS-MNOVXSKESA-N 1 2 318.801 1.805 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2sccc2F)[C@@H](O)C1 ZINC001090227461 750650362 /nfs/dbraw/zinc/65/03/62/750650362.db2.gz LSDLXCWMEGGVQS-MNOVXSKESA-N 1 2 318.801 1.805 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2CC3(CCC3)C2)C1 ZINC001108141919 750684883 /nfs/dbraw/zinc/68/48/83/750684883.db2.gz HMBUFNVWEIDSEI-KRWDZBQOSA-N 1 2 304.434 1.797 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2CC3(CCC3)C2)C1 ZINC001108141919 750684884 /nfs/dbraw/zinc/68/48/84/750684884.db2.gz HMBUFNVWEIDSEI-KRWDZBQOSA-N 1 2 304.434 1.797 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCCc1c[nH]nn1 ZINC001114622093 750856959 /nfs/dbraw/zinc/85/69/59/750856959.db2.gz XGRIKVZMASCMDA-NHAGDIPZSA-N 1 2 323.828 1.316 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCCc1c[nH]nn1 ZINC001114622093 750856967 /nfs/dbraw/zinc/85/69/67/750856967.db2.gz XGRIKVZMASCMDA-NHAGDIPZSA-N 1 2 323.828 1.316 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCCc1cn[nH]n1 ZINC001114622093 750856974 /nfs/dbraw/zinc/85/69/74/750856974.db2.gz XGRIKVZMASCMDA-NHAGDIPZSA-N 1 2 323.828 1.316 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCCc1cn[nH]n1 ZINC001114622093 750856981 /nfs/dbraw/zinc/85/69/81/750856981.db2.gz XGRIKVZMASCMDA-NHAGDIPZSA-N 1 2 323.828 1.316 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001114672025 750972395 /nfs/dbraw/zinc/97/23/95/750972395.db2.gz MFVSZOSIKAMKEG-NHAGDIPZSA-N 1 2 302.422 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001114672025 750972396 /nfs/dbraw/zinc/97/23/96/750972396.db2.gz MFVSZOSIKAMKEG-NHAGDIPZSA-N 1 2 302.422 1.662 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001114759268 751055648 /nfs/dbraw/zinc/05/56/48/751055648.db2.gz GCPXFVJLDRAIDV-TTZDDIAXSA-N 1 2 300.406 1.940 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001114759268 751055655 /nfs/dbraw/zinc/05/56/55/751055655.db2.gz GCPXFVJLDRAIDV-TTZDDIAXSA-N 1 2 300.406 1.940 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2nccs2)C1 ZINC001108407818 762101594 /nfs/dbraw/zinc/10/15/94/762101594.db2.gz CCWUCHZFGSPKHU-OAHLLOKOSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2nccs2)C1 ZINC001108407818 762101597 /nfs/dbraw/zinc/10/15/97/762101597.db2.gz CCWUCHZFGSPKHU-OAHLLOKOSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)Cn2ccc(C)n2)C1 ZINC001107969118 751199692 /nfs/dbraw/zinc/19/96/92/751199692.db2.gz LNFQTOYOMNTWAJ-YOEHRIQHSA-N 1 2 320.437 1.221 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)Cn2ccc(C)n2)C1 ZINC001107969118 751199694 /nfs/dbraw/zinc/19/96/94/751199694.db2.gz LNFQTOYOMNTWAJ-YOEHRIQHSA-N 1 2 320.437 1.221 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)C1CCN(CC#N)CC1 ZINC000997749976 751254591 /nfs/dbraw/zinc/25/45/91/751254591.db2.gz AJNSUAVGXMGOPN-GXTWGEPZSA-N 1 2 315.421 1.570 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc(C(C)(C)C)no2)CCC1 ZINC001129120939 751296351 /nfs/dbraw/zinc/29/63/51/751296351.db2.gz KGOPWZIMCXPWQC-UHFFFAOYSA-N 1 2 318.421 1.767 20 30 DDEDLO C[C@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cn2)CC[C@@H]1NCC#N ZINC001036253247 752307361 /nfs/dbraw/zinc/30/73/61/752307361.db2.gz JTAMXIVQLKWVFK-ZFWWWQNUSA-N 1 2 324.388 1.231 20 30 DDEDLO C=C1CCC(C(=O)NC2CN(C(=O)Cc3c[nH+]c[nH]3)C2)CC1 ZINC000999107886 752534633 /nfs/dbraw/zinc/53/46/33/752534633.db2.gz KIFOQFVGTKVOGV-UHFFFAOYSA-N 1 2 302.378 1.026 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1noc2ccc(F)cc12 ZINC001032676848 752696619 /nfs/dbraw/zinc/69/66/19/752696619.db2.gz HELSCXUSFOXXJI-STQMWFEESA-N 1 2 313.332 1.428 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1noc2ccc(F)cc12 ZINC001032676848 752696624 /nfs/dbraw/zinc/69/66/24/752696624.db2.gz HELSCXUSFOXXJI-STQMWFEESA-N 1 2 313.332 1.428 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2cccn2)c1 ZINC001032684284 752717861 /nfs/dbraw/zinc/71/78/61/752717861.db2.gz JHGWAYYUUREYPZ-ROUUACIJSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2cccn2)c1 ZINC001032684284 752717864 /nfs/dbraw/zinc/71/78/64/752717864.db2.gz JHGWAYYUUREYPZ-ROUUACIJSA-N 1 2 320.396 1.794 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001062325704 752889658 /nfs/dbraw/zinc/88/96/58/752889658.db2.gz UGUPTJUFNIXDDH-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cc3n(n2)CCO3)CC1 ZINC001000774928 762185143 /nfs/dbraw/zinc/18/51/43/762185143.db2.gz WOTHWUPYVVLMDW-UHFFFAOYSA-N 1 2 322.796 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cc3n(n2)CCO3)CC1 ZINC001000774928 762185144 /nfs/dbraw/zinc/18/51/44/762185144.db2.gz WOTHWUPYVVLMDW-UHFFFAOYSA-N 1 2 322.796 1.390 20 30 DDEDLO N#Cc1cnccc1N1C[C@@H]2C[C@H]1CN2C(=O)CCc1c[nH]c[nH+]1 ZINC001062603278 753157381 /nfs/dbraw/zinc/15/73/81/753157381.db2.gz WLIYHWORVJKRAZ-GJZGRUSLSA-N 1 2 322.372 1.099 20 30 DDEDLO N#Cc1cnccc1N1C[C@@H]2C[C@H]1CN2C(=O)CCc1c[nH+]c[nH]1 ZINC001062603278 753157383 /nfs/dbraw/zinc/15/73/83/753157383.db2.gz WLIYHWORVJKRAZ-GJZGRUSLSA-N 1 2 322.372 1.099 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CC[C@H](CNc2ccc(C#N)cn2)C1 ZINC001060894938 753294546 /nfs/dbraw/zinc/29/45/46/753294546.db2.gz UMFKUCSRMHYOFL-CQSZACIVSA-N 1 2 324.388 1.190 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001108171384 753368198 /nfs/dbraw/zinc/36/81/98/753368198.db2.gz GZEIAVXLNDMTPJ-HKUYNNGSSA-N 1 2 312.413 1.557 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001108171384 753368202 /nfs/dbraw/zinc/36/82/02/753368202.db2.gz GZEIAVXLNDMTPJ-HKUYNNGSSA-N 1 2 312.413 1.557 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C(C)C)nc1C ZINC001032728102 753373446 /nfs/dbraw/zinc/37/34/46/753373446.db2.gz MQJWJCUWHKPYCA-GJZGRUSLSA-N 1 2 300.406 1.694 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C(C)C)nc1C ZINC001032728102 753373448 /nfs/dbraw/zinc/37/34/48/753373448.db2.gz MQJWJCUWHKPYCA-GJZGRUSLSA-N 1 2 300.406 1.694 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)CCn2cc[nH+]c2)CCC1 ZINC001062686791 753383216 /nfs/dbraw/zinc/38/32/16/753383216.db2.gz ZKQSOLCARAHXQW-UHFFFAOYSA-N 1 2 304.394 1.395 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2coc(C3CCCC3)n2)C1 ZINC001077914109 753411394 /nfs/dbraw/zinc/41/13/94/753411394.db2.gz TUEVGSNXPNMWAM-UKRRQHHQSA-N 1 2 317.389 1.130 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2coc(C3CCCC3)n2)C1 ZINC001077914109 753411398 /nfs/dbraw/zinc/41/13/98/753411398.db2.gz TUEVGSNXPNMWAM-UKRRQHHQSA-N 1 2 317.389 1.130 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1ccncc1 ZINC001009897895 753443417 /nfs/dbraw/zinc/44/34/17/753443417.db2.gz AJLSHZVVUAHMAC-GOSISDBHSA-N 1 2 305.381 1.937 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1ccncc1 ZINC001009897895 753443419 /nfs/dbraw/zinc/44/34/19/753443419.db2.gz AJLSHZVVUAHMAC-GOSISDBHSA-N 1 2 305.381 1.937 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)c(F)c2)C1 ZINC001108000392 753446903 /nfs/dbraw/zinc/44/69/03/753446903.db2.gz UVTXAFYMGLAQGT-KRWDZBQOSA-N 1 2 322.355 1.809 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)c(F)c2)C1 ZINC001108000392 753446905 /nfs/dbraw/zinc/44/69/05/753446905.db2.gz UVTXAFYMGLAQGT-KRWDZBQOSA-N 1 2 322.355 1.809 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(NC(C)=O)cc1 ZINC001032749053 753459378 /nfs/dbraw/zinc/45/93/78/753459378.db2.gz OYPOYDISMFQLFM-IRXDYDNUSA-N 1 2 311.385 1.567 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(NC(C)=O)cc1 ZINC001032749053 753459382 /nfs/dbraw/zinc/45/93/82/753459382.db2.gz OYPOYDISMFQLFM-IRXDYDNUSA-N 1 2 311.385 1.567 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2ccc(CC)cc2)C1 ZINC001077967345 753531081 /nfs/dbraw/zinc/53/10/81/753531081.db2.gz XUSKSEYQMWIEHF-IAGOWNOFSA-N 1 2 314.429 1.321 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2ccc(CC)cc2)C1 ZINC001077967345 753531088 /nfs/dbraw/zinc/53/10/88/753531088.db2.gz XUSKSEYQMWIEHF-IAGOWNOFSA-N 1 2 314.429 1.321 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc3cccn3cn2)C1 ZINC001108019631 753535459 /nfs/dbraw/zinc/53/54/59/753535459.db2.gz CIQZLGBYRPXYSR-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc3cccn3cn2)C1 ZINC001108019631 753535463 /nfs/dbraw/zinc/53/54/63/753535463.db2.gz CIQZLGBYRPXYSR-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H]1CCCNC1=O ZINC001039820563 762230770 /nfs/dbraw/zinc/23/07/70/762230770.db2.gz IZUHYAGGGRRYOQ-QLFBSQMISA-N 1 2 319.449 1.543 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H]1CCCNC1=O ZINC001039820563 762230775 /nfs/dbraw/zinc/23/07/75/762230775.db2.gz IZUHYAGGGRRYOQ-QLFBSQMISA-N 1 2 319.449 1.543 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(Cl)cccc2Cl)C1 ZINC001078067878 753707053 /nfs/dbraw/zinc/70/70/53/753707053.db2.gz LXFYNRMMHBSNAN-VXGBXAGGSA-N 1 2 313.184 1.402 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(Cl)cccc2Cl)C1 ZINC001078067878 753707058 /nfs/dbraw/zinc/70/70/58/753707058.db2.gz LXFYNRMMHBSNAN-VXGBXAGGSA-N 1 2 313.184 1.402 20 30 DDEDLO CCc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001010297977 753745074 /nfs/dbraw/zinc/74/50/74/753745074.db2.gz ZEOJJPBQPVUTPI-MRXNPFEDSA-N 1 2 323.400 1.848 20 30 DDEDLO CCc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001010297977 753745075 /nfs/dbraw/zinc/74/50/75/753745075.db2.gz ZEOJJPBQPVUTPI-MRXNPFEDSA-N 1 2 323.400 1.848 20 30 DDEDLO Cc1ocnc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001010348884 753793435 /nfs/dbraw/zinc/79/34/35/753793435.db2.gz YHKCYXYXLORPTH-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1ocnc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001010348884 753793439 /nfs/dbraw/zinc/79/34/39/753793439.db2.gz YHKCYXYXLORPTH-MRXNPFEDSA-N 1 2 309.369 1.839 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001062983191 753893114 /nfs/dbraw/zinc/89/31/14/753893114.db2.gz OAXZWOVXRGYMMN-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO Cc1nc(NC[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001060997771 754275750 /nfs/dbraw/zinc/27/57/50/754275750.db2.gz LPXLBMQZJCUMGK-ZDUSSCGKSA-N 1 2 324.388 1.488 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCC[C@@H]2NC(=O)Cn2cc[nH+]c2)cn1 ZINC001064099605 754499879 /nfs/dbraw/zinc/49/98/79/754499879.db2.gz PFMBEZKCRBHEHQ-BBRMVZONSA-N 1 2 324.388 1.547 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCC[C@@H]2NC(=O)Cn2cc[nH+]c2)nc1 ZINC001064099785 754500531 /nfs/dbraw/zinc/50/05/31/754500531.db2.gz RMRCZCBQCJJZPJ-CABCVRRESA-N 1 2 324.388 1.547 20 30 DDEDLO C=CCCCC(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064274405 754596832 /nfs/dbraw/zinc/59/68/32/754596832.db2.gz RKUDRDAGXCCPGJ-UHFFFAOYSA-N 1 2 304.394 1.464 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001079990744 755667037 /nfs/dbraw/zinc/66/70/37/755667037.db2.gz LDHOETZKGHPVGI-KWCYVHTRSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001079990744 755667040 /nfs/dbraw/zinc/66/70/40/755667040.db2.gz LDHOETZKGHPVGI-KWCYVHTRSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(OC)n(C)n2)C1 ZINC001080094904 755720991 /nfs/dbraw/zinc/72/09/91/755720991.db2.gz SBNWEXAYMMIGPY-BXKDBHETSA-N 1 2 312.801 1.231 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(OC)n(C)n2)C1 ZINC001080094904 755720995 /nfs/dbraw/zinc/72/09/95/755720995.db2.gz SBNWEXAYMMIGPY-BXKDBHETSA-N 1 2 312.801 1.231 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1CC[N@@H+](Cc2cncn2C)C1 ZINC001014721069 755906488 /nfs/dbraw/zinc/90/64/88/755906488.db2.gz BPRDNYYSCMLXGD-CQSZACIVSA-N 1 2 313.405 1.412 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1CC[N@H+](Cc2cncn2C)C1 ZINC001014721069 755906495 /nfs/dbraw/zinc/90/64/95/755906495.db2.gz BPRDNYYSCMLXGD-CQSZACIVSA-N 1 2 313.405 1.412 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc3ccccn23)C1 ZINC001015011923 756069674 /nfs/dbraw/zinc/06/96/74/756069674.db2.gz XSPDDZHGDAWYGU-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc3ccccn23)C1 ZINC001015011923 756069680 /nfs/dbraw/zinc/06/96/80/756069680.db2.gz XSPDDZHGDAWYGU-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2Cc3ccccc3O2)C1 ZINC001015167495 756156267 /nfs/dbraw/zinc/15/62/67/756156267.db2.gz XYCIZQPAIFHCPP-UKRRQHHQSA-N 1 2 306.793 1.933 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2Cc3ccccc3O2)C1 ZINC001015167495 756156269 /nfs/dbraw/zinc/15/62/69/756156269.db2.gz XYCIZQPAIFHCPP-UKRRQHHQSA-N 1 2 306.793 1.933 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001081003914 756172556 /nfs/dbraw/zinc/17/25/56/756172556.db2.gz IHRQWTBCTDPGIQ-RAIGVLPGSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001081003914 756172561 /nfs/dbraw/zinc/17/25/61/756172561.db2.gz IHRQWTBCTDPGIQ-RAIGVLPGSA-N 1 2 313.829 1.044 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2conc2C2CCCC2)[C@H](OC)C1 ZINC001081786565 756458674 /nfs/dbraw/zinc/45/86/74/756458674.db2.gz AVBKMKNJTNFRBH-HUUCEWRRSA-N 1 2 317.389 1.394 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2conc2C2CCCC2)[C@H](OC)C1 ZINC001081786565 756458676 /nfs/dbraw/zinc/45/86/76/756458676.db2.gz AVBKMKNJTNFRBH-HUUCEWRRSA-N 1 2 317.389 1.394 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(F)cn3)C2)c1 ZINC001015678803 756460430 /nfs/dbraw/zinc/46/04/30/756460430.db2.gz OIUPKFKPPQTPKP-QGZVFWFLSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(F)cn3)C2)c1 ZINC001015678803 756460431 /nfs/dbraw/zinc/46/04/31/756460431.db2.gz OIUPKFKPPQTPKP-QGZVFWFLSA-N 1 2 324.359 1.601 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3cc(C)ccc3n2)[C@H](OC)C1 ZINC001081926353 756547370 /nfs/dbraw/zinc/54/73/70/756547370.db2.gz XEIATZSTNKEYNT-QZTJIDSGSA-N 1 2 323.396 1.605 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3cc(C)ccc3n2)[C@H](OC)C1 ZINC001081926353 756547380 /nfs/dbraw/zinc/54/73/80/756547380.db2.gz XEIATZSTNKEYNT-QZTJIDSGSA-N 1 2 323.396 1.605 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccnc(N(C)C)c2)C1 ZINC001015785433 756548827 /nfs/dbraw/zinc/54/88/27/756548827.db2.gz CLZYNXUZAIDLDR-CYBMUJFWSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccnc(N(C)C)c2)C1 ZINC001015785433 756548829 /nfs/dbraw/zinc/54/88/29/756548829.db2.gz CLZYNXUZAIDLDR-CYBMUJFWSA-N 1 2 308.813 1.704 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1CNC(=O)CCc1[nH]cc[nH+]1 ZINC001081946640 756558902 /nfs/dbraw/zinc/55/89/02/756558902.db2.gz NNFOULBPUSGZRK-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ncoc2C(C)(C)C)[C@H](OC)C1 ZINC001082312711 756730985 /nfs/dbraw/zinc/73/09/85/756730985.db2.gz UNIQUIYICHKUMF-VXGBXAGGSA-N 1 2 305.378 1.034 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ncoc2C(C)(C)C)[C@H](OC)C1 ZINC001082312711 756730988 /nfs/dbraw/zinc/73/09/88/756730988.db2.gz UNIQUIYICHKUMF-VXGBXAGGSA-N 1 2 305.378 1.034 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C[C@@H](F)CC)C[C@H]2OC)cn1 ZINC001082333561 756735844 /nfs/dbraw/zinc/73/58/44/756735844.db2.gz NUGBQCODDJHPJB-NUEKZKHPSA-N 1 2 319.380 1.240 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C[C@@H](F)CC)C[C@H]2OC)cn1 ZINC001082333561 756735846 /nfs/dbraw/zinc/73/58/46/756735846.db2.gz NUGBQCODDJHPJB-NUEKZKHPSA-N 1 2 319.380 1.240 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)C(C)(C)C ZINC001082338974 756745704 /nfs/dbraw/zinc/74/57/04/756745704.db2.gz PAAGIPULOJUICW-HZPDHXFCSA-N 1 2 315.417 1.920 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)C(C)(C)C ZINC001082338974 756745707 /nfs/dbraw/zinc/74/57/07/756745707.db2.gz PAAGIPULOJUICW-HZPDHXFCSA-N 1 2 315.417 1.920 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC001082450820 756791403 /nfs/dbraw/zinc/79/14/03/756791403.db2.gz IVOMBPKZUHAOJG-IJLUTSLNSA-N 1 2 319.409 1.463 20 30 DDEDLO CC(=O)N1CC[C@H]([NH+]2CCN(c3ccc(C#N)cc3F)CC2)C1 ZINC001169560868 762508492 /nfs/dbraw/zinc/50/84/92/762508492.db2.gz PXJPNBKOGGZWGQ-HNNXBMFYSA-N 1 2 316.380 1.440 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)s3)[C@H]2C1 ZINC001082982086 757015499 /nfs/dbraw/zinc/01/54/99/757015499.db2.gz GSAMMOZATAOGFQ-UONOGXRCSA-N 1 2 304.415 1.605 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)s3)[C@H]2C1 ZINC001082982086 757015505 /nfs/dbraw/zinc/01/55/05/757015505.db2.gz GSAMMOZATAOGFQ-UONOGXRCSA-N 1 2 304.415 1.605 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001097246136 757017442 /nfs/dbraw/zinc/01/74/42/757017442.db2.gz ISRMGYVATNPLDX-BETUJISGSA-N 1 2 318.425 1.661 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCO[C@@H]2C[N@H+](Cc3ccccc3F)C[C@@H]21 ZINC001083025868 757063030 /nfs/dbraw/zinc/06/30/30/757063030.db2.gz FBXNUIOVANQLAW-VBNZEHGJSA-N 1 2 317.364 1.397 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccccc3F)C[C@@H]21 ZINC001083025868 757063037 /nfs/dbraw/zinc/06/30/37/757063037.db2.gz FBXNUIOVANQLAW-VBNZEHGJSA-N 1 2 317.364 1.397 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2OCCN(C(=O)c3scnc3CC)[C@H]2C1 ZINC001083100128 757136405 /nfs/dbraw/zinc/13/64/05/757136405.db2.gz XDKNHLTWJAUTHV-UONOGXRCSA-N 1 2 321.446 1.807 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2OCCN(C(=O)c3scnc3CC)[C@H]2C1 ZINC001083100128 757136407 /nfs/dbraw/zinc/13/64/07/757136407.db2.gz XDKNHLTWJAUTHV-UONOGXRCSA-N 1 2 321.446 1.807 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(C(C)C)n3)[C@H]2C1 ZINC001083132949 757146406 /nfs/dbraw/zinc/14/64/06/757146406.db2.gz VNAWXVPYEMVCFD-DLBZAZTESA-N 1 2 313.401 1.363 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(C(C)C)n3)[C@H]2C1 ZINC001083132949 757146410 /nfs/dbraw/zinc/14/64/10/757146410.db2.gz VNAWXVPYEMVCFD-DLBZAZTESA-N 1 2 313.401 1.363 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4[nH]ccc4n3)[C@H]2C1 ZINC001083142116 757148431 /nfs/dbraw/zinc/14/84/31/757148431.db2.gz SDASETMVSANNNL-DLBZAZTESA-N 1 2 324.384 1.111 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4[nH]ccc4n3)[C@H]2C1 ZINC001083142116 757148439 /nfs/dbraw/zinc/14/84/39/757148439.db2.gz SDASETMVSANNNL-DLBZAZTESA-N 1 2 324.384 1.111 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)C[C@@](C)(O)C3CC3)[C@@H]2C1 ZINC001084290123 757444563 /nfs/dbraw/zinc/44/45/63/757444563.db2.gz LBRMNANZIUBFBO-XNRPHZJLSA-N 1 2 312.841 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)C[C@@](C)(O)C3CC3)[C@@H]2C1 ZINC001084290123 757444565 /nfs/dbraw/zinc/44/45/65/757444565.db2.gz LBRMNANZIUBFBO-XNRPHZJLSA-N 1 2 312.841 1.823 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCc4c[nH]nc43)[C@@H]2C1 ZINC001084345548 757503533 /nfs/dbraw/zinc/50/35/33/757503533.db2.gz GZOYXESMFJIPLK-RBSFLKMASA-N 1 2 300.406 1.548 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCc4c[nH]nc43)[C@@H]2C1 ZINC001084345548 757503538 /nfs/dbraw/zinc/50/35/38/757503538.db2.gz GZOYXESMFJIPLK-RBSFLKMASA-N 1 2 300.406 1.548 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3c[nH]c(=O)n3C)[C@@H]2C1 ZINC001084576530 757677395 /nfs/dbraw/zinc/67/73/95/757677395.db2.gz KGEJWRUDELWYQX-ZYHUDNBSSA-N 1 2 310.785 1.025 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3c[nH]c(=O)n3C)[C@@H]2C1 ZINC001084576530 757677402 /nfs/dbraw/zinc/67/74/02/757677402.db2.gz KGEJWRUDELWYQX-ZYHUDNBSSA-N 1 2 310.785 1.025 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001052812801 757806645 /nfs/dbraw/zinc/80/66/45/757806645.db2.gz CKYDZAXYHQVTFD-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001052812801 757806661 /nfs/dbraw/zinc/80/66/61/757806661.db2.gz CKYDZAXYHQVTFD-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cn[nH]c2)cc1 ZINC001017380964 757882135 /nfs/dbraw/zinc/88/21/35/757882135.db2.gz CLSZKEJLWZDUHJ-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cn[nH]c2)cc1 ZINC001017380964 757882142 /nfs/dbraw/zinc/88/21/42/757882142.db2.gz CLSZKEJLWZDUHJ-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(CC(C)C)n1 ZINC001017552527 758020379 /nfs/dbraw/zinc/02/03/79/758020379.db2.gz OOVDPIXUYNDGLJ-GASCZTMLSA-N 1 2 314.433 1.920 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(CC(C)C)n1 ZINC001017552527 758020386 /nfs/dbraw/zinc/02/03/86/758020386.db2.gz OOVDPIXUYNDGLJ-GASCZTMLSA-N 1 2 314.433 1.920 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2snnc2C)C[C@H]1O ZINC001099858276 758116948 /nfs/dbraw/zinc/11/69/48/758116948.db2.gz JSJKJSOORBSUBR-QWHCGFSZSA-N 1 2 324.450 1.254 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2snnc2C)C[C@H]1O ZINC001099858276 758116951 /nfs/dbraw/zinc/11/69/51/758116951.db2.gz JSJKJSOORBSUBR-QWHCGFSZSA-N 1 2 324.450 1.254 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C=C(CC)CC)CC2=O)C1 ZINC001108533502 762609066 /nfs/dbraw/zinc/60/90/66/762609066.db2.gz WOYYLNQAKQMGPZ-CQSZACIVSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(C)c1Cl ZINC001017782146 758235586 /nfs/dbraw/zinc/23/55/86/758235586.db2.gz UEPRWZPBXLGRQU-TXEJJXNPSA-N 1 2 308.813 1.857 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(C)c1Cl ZINC001017782146 758235597 /nfs/dbraw/zinc/23/55/97/758235597.db2.gz UEPRWZPBXLGRQU-TXEJJXNPSA-N 1 2 308.813 1.857 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCOC2(C[NH+](CC3CC(F)(F)C3)C2)C1 ZINC001053191688 758251420 /nfs/dbraw/zinc/25/14/20/758251420.db2.gz SVFRMXGIBFBLPM-NSHDSACASA-N 1 2 313.348 1.105 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001053269595 758324880 /nfs/dbraw/zinc/32/48/80/758324880.db2.gz ZLBAERMQUPESCL-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC(C)(C)C[N@H+]1Cc1ccon1 ZINC001098971735 758347652 /nfs/dbraw/zinc/34/76/52/758347652.db2.gz RJWRYLUVMISDDM-HNNXBMFYSA-N 1 2 321.421 1.984 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC(C)(C)C[N@@H+]1Cc1ccon1 ZINC001098971735 758347665 /nfs/dbraw/zinc/34/76/65/758347665.db2.gz RJWRYLUVMISDDM-HNNXBMFYSA-N 1 2 321.421 1.984 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108195502 758433983 /nfs/dbraw/zinc/43/39/83/758433983.db2.gz DJLYYFCXLIFWDP-OAHLLOKOSA-N 1 2 310.373 1.336 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108195502 758433988 /nfs/dbraw/zinc/43/39/88/758433988.db2.gz DJLYYFCXLIFWDP-OAHLLOKOSA-N 1 2 310.373 1.336 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c[nH]cc1C1CC1)CCO2 ZINC001053490916 758498714 /nfs/dbraw/zinc/49/87/14/758498714.db2.gz SYWNDRYAAPBYPY-UHFFFAOYSA-N 1 2 315.417 1.995 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCOC3(C[NH+](CCCC)C3)C2)nc1 ZINC001053512669 758518650 /nfs/dbraw/zinc/51/86/50/758518650.db2.gz VFNUHSIOSSNWRK-UHFFFAOYSA-N 1 2 313.401 1.390 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC[C@@H]1CC[C@@H](C)O1)CCO2 ZINC001053539154 758544439 /nfs/dbraw/zinc/54/44/39/758544439.db2.gz OWHVQVZTSSAACC-CVEARBPZSA-N 1 2 322.449 1.823 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(C)n1C)O2 ZINC001053568149 758574762 /nfs/dbraw/zinc/57/47/62/758574762.db2.gz JXANUWIJXCPSCA-CQSZACIVSA-N 1 2 303.406 1.483 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CC(C)(C)C3CC3)CC2=O)C1 ZINC001108541794 762642802 /nfs/dbraw/zinc/64/28/02/762642802.db2.gz ZRWZVHOCXICWNG-AWEZNQCLSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc[nH]c(=O)c1)O2 ZINC001053585738 758599753 /nfs/dbraw/zinc/59/97/53/758599753.db2.gz KGJDMFYYODINBT-CQSZACIVSA-N 1 2 317.389 1.327 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@](C)(OC)c1ccccc1 ZINC001018186317 758613291 /nfs/dbraw/zinc/61/32/91/758613291.db2.gz XNCNBQBOLGIZHZ-SCTDSRPQSA-N 1 2 312.413 1.857 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@](C)(OC)c1ccccc1 ZINC001018186317 758613296 /nfs/dbraw/zinc/61/32/96/758613296.db2.gz XNCNBQBOLGIZHZ-SCTDSRPQSA-N 1 2 312.413 1.857 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnc(Cl)n1C)O2 ZINC001053629996 758647873 /nfs/dbraw/zinc/64/78/73/758647873.db2.gz FYUUWUWGLMRDGZ-NSHDSACASA-N 1 2 324.812 1.223 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(C)cnn1C)O2 ZINC001053644662 758658206 /nfs/dbraw/zinc/65/82/06/758658206.db2.gz IGWFNOBNAOEIEM-AWEZNQCLSA-N 1 2 318.421 1.268 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C3CC3)no1)O2 ZINC001053671237 758682424 /nfs/dbraw/zinc/68/24/24/758682424.db2.gz DUMMGQQOFPBNLU-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC001065675799 758696106 /nfs/dbraw/zinc/69/61/06/758696106.db2.gz SZHWFAXWBIUXLQ-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO Cc1nc(N[C@H](C)[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001065692651 758710043 /nfs/dbraw/zinc/71/00/43/758710043.db2.gz XWTHOXREXRNWJP-BXUZGUMPSA-N 1 2 324.388 1.948 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065711014 758728940 /nfs/dbraw/zinc/72/89/40/758728940.db2.gz HQDYIHRXDWFLQW-JKSUJKDBSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(=O)[C@@H](C)CC)C2)CC1 ZINC001018358153 758756570 /nfs/dbraw/zinc/75/65/70/758756570.db2.gz MHHZTANQYAICBV-HOTGVXAUSA-N 1 2 321.465 1.601 20 30 DDEDLO N#CCN1CCC2(CCN(C(=O)CCc3c[nH]c[nH+]3)C2)CC1 ZINC001040722469 762659538 /nfs/dbraw/zinc/65/95/38/762659538.db2.gz XPOBSHZYSVOLCH-UHFFFAOYSA-N 1 2 301.394 1.180 20 30 DDEDLO N#CCN1CCC2(CCN(C(=O)CCc3c[nH+]c[nH]3)C2)CC1 ZINC001040722469 762659541 /nfs/dbraw/zinc/65/95/41/762659541.db2.gz XPOBSHZYSVOLCH-UHFFFAOYSA-N 1 2 301.394 1.180 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@H]1C=CCCC1)CO2 ZINC001053846094 758876963 /nfs/dbraw/zinc/87/69/63/758876963.db2.gz XSGBJKZQCUUOFB-HOTGVXAUSA-N 1 2 302.418 1.716 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(F)ccc1C)CO2 ZINC001053884376 758918481 /nfs/dbraw/zinc/91/84/81/758918481.db2.gz ONTNSMPQGINMRZ-HNNXBMFYSA-N 1 2 316.376 1.731 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]cn2C)CC1 ZINC001065968665 758944811 /nfs/dbraw/zinc/94/48/11/758944811.db2.gz FAYCYGSQZBZALG-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C(C)C)n[nH]1)CO2 ZINC001053932138 758968261 /nfs/dbraw/zinc/96/82/61/758968261.db2.gz MURMTEJIYDHOPA-CYBMUJFWSA-N 1 2 316.405 1.130 20 30 DDEDLO C[C@H](CNC(=O)Cn1cc[nH+]c1)Nc1cccc(F)c1C#N ZINC001097922096 759013535 /nfs/dbraw/zinc/01/35/35/759013535.db2.gz QLUDYPKWDCLBIO-LLVKDONJSA-N 1 2 301.325 1.511 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnn(C(C)C)c1)CO2 ZINC001053979087 759017054 /nfs/dbraw/zinc/01/70/54/759017054.db2.gz HBNHNNJLVYTTMB-HNNXBMFYSA-N 1 2 318.421 1.613 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)C1CCCC1)CO2 ZINC001053981141 759020202 /nfs/dbraw/zinc/02/02/02/759020202.db2.gz ZHCAEFXSEIVRRX-GOEBONIOSA-N 1 2 304.434 1.796 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1sc(C)nc1C)CO2 ZINC001054002020 759048864 /nfs/dbraw/zinc/04/88/64/759048864.db2.gz PLALPTMLTCBCOF-CYBMUJFWSA-N 1 2 321.446 1.909 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)n(C)c1C)CO2 ZINC001054003963 759050949 /nfs/dbraw/zinc/05/09/49/759050949.db2.gz DAMNTGCYVFVDKP-HNNXBMFYSA-N 1 2 317.433 1.791 20 30 DDEDLO CCCCc1noc(C[NH2+][C@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001018632567 759051782 /nfs/dbraw/zinc/05/17/82/759051782.db2.gz OFVSAEMRMDKLSP-NEPJUHHUSA-N 1 2 305.382 1.262 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)cccc1F)CO2 ZINC001054022991 759073623 /nfs/dbraw/zinc/07/36/23/759073623.db2.gz RARQZBLRBNAMOB-AWEZNQCLSA-N 1 2 316.376 1.731 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1CCCOC1 ZINC001054034187 759089034 /nfs/dbraw/zinc/08/90/34/759089034.db2.gz JPLMVPFIVHJJMM-ZWKOTPCHSA-N 1 2 312.413 1.589 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1CCCOC1 ZINC001054034187 759089043 /nfs/dbraw/zinc/08/90/43/759089043.db2.gz JPLMVPFIVHJJMM-ZWKOTPCHSA-N 1 2 312.413 1.589 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nccn1CC ZINC001054039220 759096742 /nfs/dbraw/zinc/09/67/42/759096742.db2.gz WDUSGHXNPJBWDY-KRWDZBQOSA-N 1 2 322.412 1.693 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nccn1CC ZINC001054039220 759096748 /nfs/dbraw/zinc/09/67/48/759096748.db2.gz WDUSGHXNPJBWDY-KRWDZBQOSA-N 1 2 322.412 1.693 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1[nH]c(C)nc1C ZINC001054052442 759107645 /nfs/dbraw/zinc/10/76/45/759107645.db2.gz XKYZMOADTYNURG-QGZVFWFLSA-N 1 2 322.412 1.816 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1[nH]c(C)nc1C ZINC001054052442 759107651 /nfs/dbraw/zinc/10/76/51/759107651.db2.gz XKYZMOADTYNURG-QGZVFWFLSA-N 1 2 322.412 1.816 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccn(C)n1 ZINC001054059238 759112579 /nfs/dbraw/zinc/11/25/79/759112579.db2.gz KEKVWKIKOOOTMJ-QGZVFWFLSA-N 1 2 322.412 1.600 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccn(C)n1 ZINC001054059238 759112580 /nfs/dbraw/zinc/11/25/80/759112580.db2.gz KEKVWKIKOOOTMJ-QGZVFWFLSA-N 1 2 322.412 1.600 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1)c1csnn1 ZINC001018731298 759198506 /nfs/dbraw/zinc/19/85/06/759198506.db2.gz UKHWPCCALNWOGZ-ONGXEEELSA-N 1 2 316.390 1.303 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3c(C)nnn3CC)cc2C1 ZINC001054268692 759371439 /nfs/dbraw/zinc/37/14/39/759371439.db2.gz ULNUAIVABFOGES-UHFFFAOYSA-N 1 2 323.400 1.485 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3c(C)nnn3CC)cc2C1 ZINC001054268692 759371448 /nfs/dbraw/zinc/37/14/48/759371448.db2.gz ULNUAIVABFOGES-UHFFFAOYSA-N 1 2 323.400 1.485 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@H](Nc2ncccc2C#N)C[C@H]1C ZINC001069129066 767865279 /nfs/dbraw/zinc/86/52/79/767865279.db2.gz CYRNEPUHUZWHQI-BXUZGUMPSA-N 1 2 324.388 1.629 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cncs1)C(=O)c1cc(C#N)c[nH]1 ZINC001085534341 759646331 /nfs/dbraw/zinc/64/63/31/759646331.db2.gz IITBYXRVFZHVMV-LBPRGKRZSA-N 1 2 315.402 1.689 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cncs1)C(=O)c1cc(C#N)c[nH]1 ZINC001085534341 759646336 /nfs/dbraw/zinc/64/63/36/759646336.db2.gz IITBYXRVFZHVMV-LBPRGKRZSA-N 1 2 315.402 1.689 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cn(C)cn1 ZINC001085547928 759675151 /nfs/dbraw/zinc/67/51/51/759675151.db2.gz HUJAZEARQKZPLN-KRWDZBQOSA-N 1 2 322.412 1.618 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cn(C)cn1 ZINC001085547928 759675153 /nfs/dbraw/zinc/67/51/53/759675153.db2.gz HUJAZEARQKZPLN-KRWDZBQOSA-N 1 2 322.412 1.618 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+]Cc3nc(CC)no3)C2)cc1 ZINC001019224476 759696952 /nfs/dbraw/zinc/69/69/52/759696952.db2.gz SNCLCNCBRSOCMZ-HNNXBMFYSA-N 1 2 324.384 1.618 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](CNC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001046752943 767890075 /nfs/dbraw/zinc/89/00/75/767890075.db2.gz LFRLAGNLRDPQOZ-AULYBMBSSA-N 1 2 310.361 1.605 20 30 DDEDLO C[C@H]1CN(C(=O)C#CC2CC2)C[C@H]1[NH2+]Cc1nc(C2CC2)no1 ZINC001054657499 759956191 /nfs/dbraw/zinc/95/61/91/759956191.db2.gz OBBNASVENLGDBY-SMDDNHRTSA-N 1 2 314.389 1.297 20 30 DDEDLO C#CC[NH2+][C@@H]1CN(C(=O)c2c(Cl)[nH]nc2C2CC2)C[C@H]1C ZINC001054726734 760019330 /nfs/dbraw/zinc/01/93/30/760019330.db2.gz RROPONCSTSVTKM-MWLCHTKSSA-N 1 2 306.797 1.624 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H]([NH2+]Cc3nc(C)no3)[C@@H](C)C2)cc1 ZINC001054961681 760237083 /nfs/dbraw/zinc/23/70/83/760237083.db2.gz JNNRXBJSCCEJLV-LRDDRELGSA-N 1 2 324.384 1.610 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(C)(C)C)nn1C ZINC001085799622 760268919 /nfs/dbraw/zinc/26/89/19/760268919.db2.gz WDUSXTUJPWWLFI-CYBMUJFWSA-N 1 2 302.422 1.497 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(C)(C)C)nn1C ZINC001085799622 760268925 /nfs/dbraw/zinc/26/89/25/760268925.db2.gz WDUSXTUJPWWLFI-CYBMUJFWSA-N 1 2 302.422 1.497 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cc(C)no2)c1 ZINC001085809649 760277536 /nfs/dbraw/zinc/27/75/36/760277536.db2.gz KMKBQMGIOHCWSM-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cc(C)no2)c1 ZINC001085809649 760277545 /nfs/dbraw/zinc/27/75/45/760277545.db2.gz KMKBQMGIOHCWSM-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1noc2c1C[C@@H](C)CC2 ZINC001085901897 760495946 /nfs/dbraw/zinc/49/59/46/760495946.db2.gz PKHIMPRBXXHHOG-QWHCGFSZSA-N 1 2 301.390 1.579 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1noc2c1C[C@@H](C)CC2 ZINC001085901897 760495950 /nfs/dbraw/zinc/49/59/50/760495950.db2.gz PKHIMPRBXXHHOG-QWHCGFSZSA-N 1 2 301.390 1.579 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001085924261 760546980 /nfs/dbraw/zinc/54/69/80/760546980.db2.gz RLPCUQLYVXDAPW-CHWSQXEVSA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001085924261 760546987 /nfs/dbraw/zinc/54/69/87/760546987.db2.gz RLPCUQLYVXDAPW-CHWSQXEVSA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001085924261 760546995 /nfs/dbraw/zinc/54/69/95/760546995.db2.gz RLPCUQLYVXDAPW-CHWSQXEVSA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001085924261 760546999 /nfs/dbraw/zinc/54/69/99/760546999.db2.gz RLPCUQLYVXDAPW-CHWSQXEVSA-N 1 2 303.410 1.018 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C/C=C\Cl)cn1 ZINC001085933773 760564860 /nfs/dbraw/zinc/56/48/60/760564860.db2.gz KIOHGDRDQFCFIW-UVCVVPOWSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2C/C=C\Cl)cn1 ZINC001085933773 760564862 /nfs/dbraw/zinc/56/48/62/760564862.db2.gz KIOHGDRDQFCFIW-UVCVVPOWSA-N 1 2 303.793 1.962 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(N(C)C(C)=O)cc1 ZINC001085994499 760677375 /nfs/dbraw/zinc/67/73/75/760677375.db2.gz MVKWTSARXMZZTF-QGZVFWFLSA-N 1 2 313.401 1.449 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(N(C)C(C)=O)cc1 ZINC001085994499 760677382 /nfs/dbraw/zinc/67/73/82/760677382.db2.gz MVKWTSARXMZZTF-QGZVFWFLSA-N 1 2 313.401 1.449 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)n(C(C)C)n1 ZINC001086005986 760704648 /nfs/dbraw/zinc/70/46/48/760704648.db2.gz SRXBHAQPQWBMHV-OAHLLOKOSA-N 1 2 302.422 1.942 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)n(C(C)C)n1 ZINC001086005986 760704652 /nfs/dbraw/zinc/70/46/52/760704652.db2.gz SRXBHAQPQWBMHV-OAHLLOKOSA-N 1 2 302.422 1.942 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc3c2OCC3)C1 ZINC001108236361 760791690 /nfs/dbraw/zinc/79/16/90/760791690.db2.gz KHYLQSSPYQMGOC-SFHVURJKSA-N 1 2 316.401 1.628 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc3c2OCC3)C1 ZINC001108236361 760791695 /nfs/dbraw/zinc/79/16/95/760791695.db2.gz KHYLQSSPYQMGOC-SFHVURJKSA-N 1 2 316.401 1.628 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2CC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001038175345 760890668 /nfs/dbraw/zinc/89/06/68/760890668.db2.gz YQDHHSVCYISKHS-CYBMUJFWSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2CC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001038175345 760890679 /nfs/dbraw/zinc/89/06/79/760890679.db2.gz YQDHHSVCYISKHS-CYBMUJFWSA-N 1 2 313.361 1.496 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ncnc2ccsc21 ZINC001038330109 761013831 /nfs/dbraw/zinc/01/38/31/761013831.db2.gz WGFHZDUGFLTPQR-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ncnc2ccsc21 ZINC001038330109 761013844 /nfs/dbraw/zinc/01/38/44/761013844.db2.gz WGFHZDUGFLTPQR-LLVKDONJSA-N 1 2 300.387 1.519 20 30 DDEDLO Cc1nnccc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038463347 761140686 /nfs/dbraw/zinc/14/06/86/761140686.db2.gz TZHUUSSIJJPKNO-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nnccc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038463347 761140691 /nfs/dbraw/zinc/14/06/91/761140691.db2.gz TZHUUSSIJJPKNO-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2csc3cncn32)C1 ZINC001108253610 761169726 /nfs/dbraw/zinc/16/97/26/761169726.db2.gz NYAYTXZZWAPNLK-HNNXBMFYSA-N 1 2 320.418 1.403 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2csc3cncn32)C1 ZINC001108253610 761169733 /nfs/dbraw/zinc/16/97/33/761169733.db2.gz NYAYTXZZWAPNLK-HNNXBMFYSA-N 1 2 320.418 1.403 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccncc1OC(C)C ZINC001038553989 761194338 /nfs/dbraw/zinc/19/43/38/761194338.db2.gz FRMRVSBIECQLCT-CQSZACIVSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccncc1OC(C)C ZINC001038553989 761194340 /nfs/dbraw/zinc/19/43/40/761194340.db2.gz FRMRVSBIECQLCT-CQSZACIVSA-N 1 2 301.390 1.696 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2csnn2)cc1 ZINC001038555999 761195417 /nfs/dbraw/zinc/19/54/17/761195417.db2.gz BGJDGYHDMVCJPU-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2csnn2)cc1 ZINC001038555999 761195422 /nfs/dbraw/zinc/19/54/22/761195422.db2.gz BGJDGYHDMVCJPU-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+]2[C@@H](C)C(=O)NC2CC2)CC1 ZINC001038666338 761265809 /nfs/dbraw/zinc/26/58/09/761265809.db2.gz HYRSGYRGEDHIPQ-BBRMVZONSA-N 1 2 319.449 1.590 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+]2[C@@H](C)C(=O)NC2CC2)CC1 ZINC001038666338 761265811 /nfs/dbraw/zinc/26/58/11/761265811.db2.gz HYRSGYRGEDHIPQ-BBRMVZONSA-N 1 2 319.449 1.590 20 30 DDEDLO CCn1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n1 ZINC001038777781 761399351 /nfs/dbraw/zinc/39/93/51/761399351.db2.gz BZPMGGPHHUDXPO-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)n1 ZINC001038777781 761399357 /nfs/dbraw/zinc/39/93/57/761399357.db2.gz BZPMGGPHHUDXPO-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3(CCC)CCC3)CC2=O)C1 ZINC001108566064 762707706 /nfs/dbraw/zinc/70/77/06/762707706.db2.gz DBUIVUUIYRTPQP-CQSZACIVSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(C)(C)CC=C)CC2=O)C1 ZINC001108575371 762722731 /nfs/dbraw/zinc/72/27/31/762722731.db2.gz HYWPHKSGMBXMMC-ZDUSSCGKSA-N 1 2 305.422 1.176 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H](C)CNc1cc[nH+]c(C)n1 ZINC001108627550 762813245 /nfs/dbraw/zinc/81/32/45/762813245.db2.gz UTBSRFKFBRKYNJ-BLLLJJGKSA-N 1 2 306.410 1.930 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001108740992 762898970 /nfs/dbraw/zinc/89/89/70/762898970.db2.gz GEFAAPRUKZYLSN-RYUDHWBXSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001108740992 762898981 /nfs/dbraw/zinc/89/89/81/762898981.db2.gz GEFAAPRUKZYLSN-RYUDHWBXSA-N 1 2 312.377 1.472 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)CC(C)C)CC1 ZINC001131390859 768110357 /nfs/dbraw/zinc/11/03/57/768110357.db2.gz OPFKULJIEATTEE-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)CC(C)C)CC1 ZINC001131390859 768110362 /nfs/dbraw/zinc/11/03/62/768110362.db2.gz OPFKULJIEATTEE-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO CCC[C@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109051403 763265734 /nfs/dbraw/zinc/26/57/34/763265734.db2.gz ABJNDPKNJFCLBI-XGUBFFRZSA-N 1 2 320.437 1.174 20 30 DDEDLO CCC[C@H](C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109051403 763265739 /nfs/dbraw/zinc/26/57/39/763265739.db2.gz ABJNDPKNJFCLBI-XGUBFFRZSA-N 1 2 320.437 1.174 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001109102371 763332580 /nfs/dbraw/zinc/33/25/80/763332580.db2.gz RNDNVBYYSTXGBZ-BXUZGUMPSA-N 1 2 318.421 1.381 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2CC(Nc3cc[nH+]c(C)n3)C2)cn1 ZINC001069756957 768128667 /nfs/dbraw/zinc/12/86/67/768128667.db2.gz CMWBVNVUSRLEEG-UHFFFAOYSA-N 1 2 321.384 1.876 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001109159455 763420103 /nfs/dbraw/zinc/42/01/03/763420103.db2.gz AJEAJWSHGJSLLQ-SUMWQHHRSA-N 1 2 320.437 1.955 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)[C@@H](C)C2)cc[nH+]1 ZINC001067376019 763439983 /nfs/dbraw/zinc/43/99/83/763439983.db2.gz ZLYFMRPCEGEYTR-XHDPSFHLSA-N 1 2 324.388 1.630 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C[C@@H](C)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001129390621 763590770 /nfs/dbraw/zinc/59/07/70/763590770.db2.gz SFVMGFYOXUOVFK-XHSDSOJGSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C[C@@H](C)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001129390621 763590780 /nfs/dbraw/zinc/59/07/80/763590780.db2.gz SFVMGFYOXUOVFK-XHSDSOJGSA-N 1 2 317.437 1.325 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CC[C@@H]2CCN(CC#N)[C@H]2C1 ZINC001042110755 763661572 /nfs/dbraw/zinc/66/15/72/763661572.db2.gz BFEJYMBMGRACLN-ZFWWWQNUSA-N 1 2 314.389 1.079 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CC[C@@H]2CCN(CC#N)[C@@H]2C1 ZINC001042110752 763662036 /nfs/dbraw/zinc/66/20/36/763662036.db2.gz BFEJYMBMGRACLN-DZGCQCFKSA-N 1 2 314.389 1.079 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)c3csnn3)C[C@H]21 ZINC001042164921 763731292 /nfs/dbraw/zinc/73/12/92/763731292.db2.gz ALZWMOCUJPQIBY-CMPLNLGQSA-N 1 2 312.826 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)c3csnn3)C[C@H]21 ZINC001042164921 763731298 /nfs/dbraw/zinc/73/12/98/763731298.db2.gz ALZWMOCUJPQIBY-CMPLNLGQSA-N 1 2 312.826 1.827 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3nncn3C)[C@@H]2C1 ZINC001042186641 763753009 /nfs/dbraw/zinc/75/30/09/763753009.db2.gz IWQMURDQRNLRLU-ZIAGYGMSSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@H+](Cc3nncn3C)[C@@H]2C1 ZINC001042186641 763753013 /nfs/dbraw/zinc/75/30/13/763753013.db2.gz IWQMURDQRNLRLU-ZIAGYGMSSA-N 1 2 317.437 1.450 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)CCC)C2 ZINC001109662387 763924260 /nfs/dbraw/zinc/92/42/60/763924260.db2.gz ORQFIHBTHHMWJI-ILXRZTDVSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)CCC)C2 ZINC001109662387 763924264 /nfs/dbraw/zinc/92/42/64/763924264.db2.gz ORQFIHBTHHMWJI-ILXRZTDVSA-N 1 2 319.449 1.284 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@](C)(C=C)CC)C2 ZINC001109681707 763940122 /nfs/dbraw/zinc/94/01/22/763940122.db2.gz FJDLVZJMADXNJY-LDDOYCOJSA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@](C)(C=C)CC)C2 ZINC001109681707 763940129 /nfs/dbraw/zinc/94/01/29/763940129.db2.gz FJDLVZJMADXNJY-LDDOYCOJSA-N 1 2 319.449 1.612 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]21 ZINC001042350986 763952351 /nfs/dbraw/zinc/95/23/51/763952351.db2.gz LUMKZWFKBKBJCL-WBMJQRKESA-N 1 2 309.373 1.128 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]21 ZINC001042350986 763952353 /nfs/dbraw/zinc/95/23/53/763952353.db2.gz LUMKZWFKBKBJCL-WBMJQRKESA-N 1 2 309.373 1.128 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CC(C)C)C2 ZINC001109894198 764173217 /nfs/dbraw/zinc/17/32/17/764173217.db2.gz CDYJQERWGCQKOZ-WCVJEAGWSA-N 1 2 319.449 1.140 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CC(C)C)C2 ZINC001109894198 764173219 /nfs/dbraw/zinc/17/32/19/764173219.db2.gz CDYJQERWGCQKOZ-WCVJEAGWSA-N 1 2 319.449 1.140 20 30 DDEDLO CCC[C@H](CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109896407 764176074 /nfs/dbraw/zinc/17/60/74/764176074.db2.gz SOEMFKZTSXUXHV-XGUBFFRZSA-N 1 2 320.437 1.174 20 30 DDEDLO CCC[C@H](CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109896407 764176079 /nfs/dbraw/zinc/17/60/79/764176079.db2.gz SOEMFKZTSXUXHV-XGUBFFRZSA-N 1 2 320.437 1.174 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cncc(COC)c1 ZINC001050961746 764355456 /nfs/dbraw/zinc/35/54/56/764355456.db2.gz WLEAITKNJNSITO-MRXNPFEDSA-N 1 2 319.405 1.235 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncc(COC)c1 ZINC001050961746 764355466 /nfs/dbraw/zinc/35/54/66/764355466.db2.gz WLEAITKNJNSITO-MRXNPFEDSA-N 1 2 319.405 1.235 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(COC)o1 ZINC001051013419 764417455 /nfs/dbraw/zinc/41/74/55/764417455.db2.gz QBGHOZMESTWWKC-ZDUSSCGKSA-N 1 2 308.378 1.433 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(COC)o1 ZINC001051013419 764417460 /nfs/dbraw/zinc/41/74/60/764417460.db2.gz QBGHOZMESTWWKC-ZDUSSCGKSA-N 1 2 308.378 1.433 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccn(CC)c1C ZINC001051080596 764484301 /nfs/dbraw/zinc/48/43/01/764484301.db2.gz BPLZSQNXXBFGTK-OAHLLOKOSA-N 1 2 305.422 1.823 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccn(CC)c1C ZINC001051080596 764484306 /nfs/dbraw/zinc/48/43/06/764484306.db2.gz BPLZSQNXXBFGTK-OAHLLOKOSA-N 1 2 305.422 1.823 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)o2)[C@@H](n2ccnn2)C1 ZINC001069893883 768218272 /nfs/dbraw/zinc/21/82/72/768218272.db2.gz WFYNZHWNGJBKHP-OLZOCXBDSA-N 1 2 301.350 1.021 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)o2)[C@@H](n2ccnn2)C1 ZINC001069893883 768218276 /nfs/dbraw/zinc/21/82/76/768218276.db2.gz WFYNZHWNGJBKHP-OLZOCXBDSA-N 1 2 301.350 1.021 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc2c1OCC2 ZINC001051134201 764535475 /nfs/dbraw/zinc/53/54/75/764535475.db2.gz SGUWFZGRIVSJDQ-HNNXBMFYSA-N 1 2 316.401 1.628 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc2c1OCC2 ZINC001051134201 764535479 /nfs/dbraw/zinc/53/54/79/764535479.db2.gz SGUWFZGRIVSJDQ-HNNXBMFYSA-N 1 2 316.401 1.628 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3oc(=O)[nH]c3c2)C1 ZINC001042992994 764571461 /nfs/dbraw/zinc/57/14/61/764571461.db2.gz VXGZSWAJKBUVGM-UHFFFAOYSA-N 1 2 301.346 1.866 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[NH+](CCOCc2ccccc2)CC1 ZINC001112710522 764646752 /nfs/dbraw/zinc/64/67/52/764646752.db2.gz DHOIOXPXFOXAJK-OAHLLOKOSA-N 1 2 301.390 1.507 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)nsc1C ZINC001051257680 764681026 /nfs/dbraw/zinc/68/10/26/764681026.db2.gz BDPKVEVASVDSHE-CYBMUJFWSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)nsc1C ZINC001051257680 764681033 /nfs/dbraw/zinc/68/10/33/764681033.db2.gz BDPKVEVASVDSHE-CYBMUJFWSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001051311794 764732980 /nfs/dbraw/zinc/73/29/80/764732980.db2.gz MBLTUQGHHWZSDL-OCCSQVGLSA-N 1 2 318.421 1.580 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CCCC(C)=O)CC[C@@H]1C ZINC001131802245 768378645 /nfs/dbraw/zinc/37/86/45/768378645.db2.gz GFAJEFYCUDJTIB-HOCLYGCPSA-N 1 2 308.422 1.365 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CCCC(C)=O)CC[C@@H]1C ZINC001131802245 768378652 /nfs/dbraw/zinc/37/86/52/768378652.db2.gz GFAJEFYCUDJTIB-HOCLYGCPSA-N 1 2 308.422 1.365 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001043223302 764776383 /nfs/dbraw/zinc/77/63/83/764776383.db2.gz RSQCCQANAJOPSK-UHFFFAOYSA-N 1 2 306.797 1.720 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2CCc3cc(C)ccc3O2)C1 ZINC001043344607 764847371 /nfs/dbraw/zinc/84/73/71/764847371.db2.gz QXFWOTPNCLQZSF-GOSISDBHSA-N 1 2 312.413 1.855 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(C(C)C)c2Cl)C1 ZINC001043479718 764927612 /nfs/dbraw/zinc/92/76/12/764927612.db2.gz DQBBZLGEOSOJOL-UHFFFAOYSA-N 1 2 308.813 1.897 20 30 DDEDLO C=C(C)CCC(=O)N1CC[NH+](CCO[C@H]2CC2(F)F)CC1 ZINC001112904078 764944321 /nfs/dbraw/zinc/94/43/21/764944321.db2.gz DOMNPBQJERSOGQ-ZDUSSCGKSA-N 1 2 302.365 1.911 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccnc2-n2cccn2)C1 ZINC001043504341 764948209 /nfs/dbraw/zinc/94/82/09/764948209.db2.gz WKTCOXKPNXYDDK-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=C1CCC(C(=O)N(C)C2CN(Cc3c[nH+]cn3C)C2)CC1 ZINC001043750790 765077801 /nfs/dbraw/zinc/07/78/01/765077801.db2.gz UQLMBUPSLOACLM-UHFFFAOYSA-N 1 2 302.422 1.809 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@]23CCO[C@@H]2CCCC3)CC1 ZINC001113092731 765251468 /nfs/dbraw/zinc/25/14/68/765251468.db2.gz ILIYICOHJUELKT-SJLPKXTDSA-N 1 2 322.449 1.683 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn(C)nc2C(C)(C)C)C1 ZINC001044061078 765264580 /nfs/dbraw/zinc/26/45/80/765264580.db2.gz MMXWXYGRHPPTIF-UHFFFAOYSA-N 1 2 302.422 1.497 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(C)C1C[NH+](C[C@@H](C)OC)C1 ZINC001044164806 765357968 /nfs/dbraw/zinc/35/79/68/765357968.db2.gz GEWCCOOCBWZMKE-CYBMUJFWSA-N 1 2 319.405 1.438 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)/C=C\C3CC3)C2)CC1 ZINC001052004268 765369543 /nfs/dbraw/zinc/36/95/43/765369543.db2.gz JJUPABQAFVEGQU-JTGQJZMRSA-N 1 2 301.434 1.194 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H](C)C3CC3)C2)CC1 ZINC001052007068 765375071 /nfs/dbraw/zinc/37/50/71/765375071.db2.gz VGMSOXXQHZQMLE-DOTOQJQBSA-N 1 2 303.450 1.274 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3coc(C)n3)C2)CC1 ZINC001052018185 765389944 /nfs/dbraw/zinc/38/99/44/765389944.db2.gz FSNPCDMNMVFVIY-CQSZACIVSA-N 1 2 304.394 1.001 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@H]3CC[C@@H](C)O3)C2)cn1 ZINC001044205903 765394377 /nfs/dbraw/zinc/39/43/77/765394377.db2.gz NYEUJLQULVWYQS-CXAGYDPISA-N 1 2 313.401 1.387 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC001113217747 765422988 /nfs/dbraw/zinc/42/29/88/765422988.db2.gz IVRMLVYCTNKVHP-CABCVRRESA-N 1 2 307.438 1.211 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3CCC(F)CC3)C2)CC1 ZINC001052077014 765448499 /nfs/dbraw/zinc/44/84/99/765448499.db2.gz MBJOTDLBBSHBHT-OFLPRAFFSA-N 1 2 321.440 1.366 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC001052098379 765469491 /nfs/dbraw/zinc/46/94/91/765469491.db2.gz IWIWTQDJOJHBMS-OAHLLOKOSA-N 1 2 317.437 1.045 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3CC34CCCC4)C2)CC1 ZINC001052101998 765471580 /nfs/dbraw/zinc/47/15/80/765471580.db2.gz CAAZIQIRRMGRPC-SJORKVTESA-N 1 2 315.461 1.418 20 30 DDEDLO CN(C(=O)Cc1ccc[nH]1)C1C[NH+](Cc2ccc(C#N)cc2)C1 ZINC001044316747 765477598 /nfs/dbraw/zinc/47/75/98/765477598.db2.gz MYMWDFIDFPJRDE-UHFFFAOYSA-N 1 2 308.385 1.772 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(C(F)F)CCC2)CC1 ZINC001113252342 765487389 /nfs/dbraw/zinc/48/73/89/765487389.db2.gz JVEWHHHJGNMVIW-UHFFFAOYSA-N 1 2 302.365 1.769 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)Cc2c[nH+]cn2C)C1 ZINC001052180679 765551827 /nfs/dbraw/zinc/55/18/27/765551827.db2.gz GATUABCBOGMIGR-KGLIPLIRSA-N 1 2 318.421 1.140 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(-c3ccccn3)no1)C2 ZINC001098111805 768314022 /nfs/dbraw/zinc/31/40/22/768314022.db2.gz ORVGPMVFDYKSLM-WQVCFCJDSA-N 1 2 322.368 1.705 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(-c3ccccn3)no1)C2 ZINC001098111805 768314030 /nfs/dbraw/zinc/31/40/30/768314030.db2.gz ORVGPMVFDYKSLM-WQVCFCJDSA-N 1 2 322.368 1.705 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccoc2C)CC[C@@H]1C ZINC001131771267 768339914 /nfs/dbraw/zinc/33/99/14/768339914.db2.gz GYZCWJVRZWHSLG-GXTWGEPZSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccoc2C)CC[C@@H]1C ZINC001131771267 768339919 /nfs/dbraw/zinc/33/99/19/768339919.db2.gz GYZCWJVRZWHSLG-GXTWGEPZSA-N 1 2 319.405 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cncc(C)c2)[C@H](O)C1 ZINC001090460733 766083239 /nfs/dbraw/zinc/08/32/39/766083239.db2.gz UCKGRCCWIXONFA-HUUCEWRRSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cncc(C)c2)[C@H](O)C1 ZINC001090460733 766083247 /nfs/dbraw/zinc/08/32/47/766083247.db2.gz UCKGRCCWIXONFA-HUUCEWRRSA-N 1 2 323.824 1.236 20 30 DDEDLO Cc1nc(N2CC[C@H](C)[C@H](NC(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001114018958 766541425 /nfs/dbraw/zinc/54/14/25/766541425.db2.gz NCFZEYTUVJXKCL-DZGCQCFKSA-N 1 2 300.406 1.775 20 30 DDEDLO CN(C[C@@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1)c1ccncc1C#N ZINC001067802759 766711289 /nfs/dbraw/zinc/71/12/89/766711289.db2.gz MMHVGAVVWGYQOC-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO N#Cc1cccnc1NCC1CC(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001067890139 766786133 /nfs/dbraw/zinc/78/61/33/766786133.db2.gz PARPFEXCAMGTAN-UHFFFAOYSA-N 1 2 310.361 1.226 20 30 DDEDLO C[C@]1(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)CC=CCC1 ZINC001046032258 766838522 /nfs/dbraw/zinc/83/85/22/766838522.db2.gz HVPAMUPLBAOFHD-AEFFLSMTSA-N 1 2 316.449 1.475 20 30 DDEDLO CC(C)(C)C(C)(C)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046049597 766856637 /nfs/dbraw/zinc/85/66/37/766856637.db2.gz SJUQEKGDYVUYCM-HNNXBMFYSA-N 1 2 320.481 1.801 20 30 DDEDLO C[C@]1(NC(=O)c2cnccn2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046111425 766943929 /nfs/dbraw/zinc/94/39/29/766943929.db2.gz OUMARBOMTGYWOR-SFHVURJKSA-N 1 2 321.384 1.743 20 30 DDEDLO C[C@]1(NC(=O)c2cnccn2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046111425 766943935 /nfs/dbraw/zinc/94/39/35/766943935.db2.gz OUMARBOMTGYWOR-SFHVURJKSA-N 1 2 321.384 1.743 20 30 DDEDLO Cc1nc(N2C[C@@H]3[C@@H](CNC(=O)c4cc(C#N)c[nH]4)[C@@H]3C2)cc[nH+]1 ZINC001068167284 766969528 /nfs/dbraw/zinc/96/95/28/766969528.db2.gz WUMXCCLCGQZBNN-ZSOGYDGISA-N 1 2 322.372 1.097 20 30 DDEDLO C#CCOCCC(=O)NC[C@H](C)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001098059554 766986140 /nfs/dbraw/zinc/98/61/40/766986140.db2.gz UBJHRTXSKUDSGE-ZDUSSCGKSA-N 1 2 316.405 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnn3ccncc23)C1 ZINC001046378941 767489204 /nfs/dbraw/zinc/48/92/04/767489204.db2.gz UGLPCRGFWNAVNJ-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnn3ccncc23)C1 ZINC001046378941 767489207 /nfs/dbraw/zinc/48/92/07/767489207.db2.gz UGLPCRGFWNAVNJ-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C[C@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)Cn1cc[nH+]c1 ZINC001068865949 767669892 /nfs/dbraw/zinc/66/98/92/767669892.db2.gz VVUWWFFHXWAOCM-GXTWGEPZSA-N 1 2 310.361 1.251 20 30 DDEDLO CCCC[C@@H](C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130985229 767836090 /nfs/dbraw/zinc/83/60/90/767836090.db2.gz DVSYNEVONURIBU-RBSFLKMASA-N 1 2 317.437 1.654 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)CCCC(C)=O)CC[C@@H]1C ZINC001131803048 768380979 /nfs/dbraw/zinc/38/09/79/768380979.db2.gz OMPRNJRJLMAHSB-DZGCQCFKSA-N 1 2 323.437 1.017 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)CCCC(C)=O)CC[C@@H]1C ZINC001131803048 768380987 /nfs/dbraw/zinc/38/09/87/768380987.db2.gz OMPRNJRJLMAHSB-DZGCQCFKSA-N 1 2 323.437 1.017 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2occc2C)CC[C@H]1C ZINC001131808887 768386130 /nfs/dbraw/zinc/38/61/30/768386130.db2.gz PPIQNQNVPLOWDZ-ZIAGYGMSSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2occc2C)CC[C@H]1C ZINC001131808887 768386135 /nfs/dbraw/zinc/38/61/35/768386135.db2.gz PPIQNQNVPLOWDZ-ZIAGYGMSSA-N 1 2 319.405 1.473 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](NC(=O)CSCC#N)CC[C@@H]2C)on1 ZINC001131824666 768390656 /nfs/dbraw/zinc/39/06/56/768390656.db2.gz CQEYFDXPROLSMP-STQMWFEESA-N 1 2 322.434 1.709 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](NC(=O)CSCC#N)CC[C@@H]2C)on1 ZINC001131824666 768390662 /nfs/dbraw/zinc/39/06/62/768390662.db2.gz CQEYFDXPROLSMP-STQMWFEESA-N 1 2 322.434 1.709 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+][C@@H](C)c1nnc(CC)o1 ZINC001131870078 768431135 /nfs/dbraw/zinc/43/11/35/768431135.db2.gz NANLSAQLUSMVPZ-LRDDRELGSA-N 1 2 324.425 1.628 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+]Cc1nnc(C(C)C)o1 ZINC001131867866 768444080 /nfs/dbraw/zinc/44/40/80/768444080.db2.gz XRAKPRBVMUBKLN-INIZCTEOSA-N 1 2 324.425 1.628 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2cscn2)CC[C@H]1C ZINC001131895483 768477622 /nfs/dbraw/zinc/47/76/22/768477622.db2.gz JKVNUANVLCVCAZ-NEPJUHHUSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2cscn2)CC[C@H]1C ZINC001131895483 768477624 /nfs/dbraw/zinc/47/76/24/768477624.db2.gz JKVNUANVLCVCAZ-NEPJUHHUSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096186418 768483913 /nfs/dbraw/zinc/48/39/13/768483913.db2.gz WHFZBYBVNYMZTA-GXTWGEPZSA-N 1 2 304.394 1.461 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001047469367 768499393 /nfs/dbraw/zinc/49/93/93/768499393.db2.gz SGNDVEMBIBSRCO-STQMWFEESA-N 1 2 324.783 1.538 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001047469367 768499395 /nfs/dbraw/zinc/49/93/95/768499395.db2.gz SGNDVEMBIBSRCO-STQMWFEESA-N 1 2 324.783 1.538 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2ccc(F)cn2)C1 ZINC001131946402 768504001 /nfs/dbraw/zinc/50/40/01/768504001.db2.gz IYYMTWCCHBEHLU-CJNGLKHVSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2ccc(F)cn2)C1 ZINC001131946402 768504004 /nfs/dbraw/zinc/50/40/04/768504004.db2.gz IYYMTWCCHBEHLU-CJNGLKHVSA-N 1 2 321.396 1.892 20 30 DDEDLO CCC(=CC(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1)CC ZINC001131949372 768508544 /nfs/dbraw/zinc/50/85/44/768508544.db2.gz BSYMLKKUBVMXHZ-ZFWWWQNUSA-N 1 2 320.437 1.342 20 30 DDEDLO CCC(=CC(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1)CC ZINC001131949372 768508548 /nfs/dbraw/zinc/50/85/48/768508548.db2.gz BSYMLKKUBVMXHZ-ZFWWWQNUSA-N 1 2 320.437 1.342 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)CCc2[nH+]ccn2C)C1 ZINC001070534308 768557853 /nfs/dbraw/zinc/55/78/53/768557853.db2.gz OUEBJRYUEYPPBE-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO CCn1nncc1C[N@@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132045257 768599410 /nfs/dbraw/zinc/59/94/10/768599410.db2.gz CTZJAUSTBHTZJX-UKRRQHHQSA-N 1 2 315.421 1.181 20 30 DDEDLO CCn1nncc1C[N@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132045257 768599414 /nfs/dbraw/zinc/59/94/14/768599414.db2.gz CTZJAUSTBHTZJX-UKRRQHHQSA-N 1 2 315.421 1.181 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(C)(C)C(C)C)CC[C@@H]1C ZINC001132065181 768614898 /nfs/dbraw/zinc/61/48/98/768614898.db2.gz QQHGSDRGIJKRRB-LSDHHAIUSA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(C)(C)C(C)C)CC[C@@H]1C ZINC001132065181 768614902 /nfs/dbraw/zinc/61/49/02/768614902.db2.gz QQHGSDRGIJKRRB-LSDHHAIUSA-N 1 2 321.465 1.387 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nnc(C(C)C)o2)cc1 ZINC001132215705 768687938 /nfs/dbraw/zinc/68/79/38/768687938.db2.gz GIIHGIHDMIECQL-UHFFFAOYSA-N 1 2 312.373 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC2CC2)C1 ZINC001132217809 768689231 /nfs/dbraw/zinc/68/92/31/768689231.db2.gz HEEVEVYQQOIFLG-DZGCQCFKSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC2CC2)C1 ZINC001132217809 768689234 /nfs/dbraw/zinc/68/92/34/768689234.db2.gz HEEVEVYQQOIFLG-DZGCQCFKSA-N 1 2 321.465 1.694 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001070822539 768743899 /nfs/dbraw/zinc/74/38/99/768743899.db2.gz GDOBOGAIVOGGMD-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)C=C(C)C)C1 ZINC001070900581 768775667 /nfs/dbraw/zinc/77/56/67/768775667.db2.gz QVTWIWINDAHDFN-ZNMIVQPWSA-N 1 2 319.405 1.245 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)C=C(C)C)C1 ZINC001070900581 768775672 /nfs/dbraw/zinc/77/56/72/768775672.db2.gz QVTWIWINDAHDFN-ZNMIVQPWSA-N 1 2 319.405 1.245 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)C(C)(C)C)C1 ZINC001070922884 768785840 /nfs/dbraw/zinc/78/58/40/768785840.db2.gz PYDQIYMLPSMGQC-MCIONIFRSA-N 1 2 321.421 1.324 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)C(C)(C)C)C1 ZINC001070922884 768785844 /nfs/dbraw/zinc/78/58/44/768785844.db2.gz PYDQIYMLPSMGQC-MCIONIFRSA-N 1 2 321.421 1.324 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCCCC(N)=O)CC[C@@H]1C ZINC001132419968 768815434 /nfs/dbraw/zinc/81/54/34/768815434.db2.gz KYGDAPQQDVQJBV-QWHCGFSZSA-N 1 2 315.845 1.754 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCCCC(N)=O)CC[C@@H]1C ZINC001132419968 768815445 /nfs/dbraw/zinc/81/54/45/768815445.db2.gz KYGDAPQQDVQJBV-QWHCGFSZSA-N 1 2 315.845 1.754 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)c2cc[nH]c2CC)CC1 ZINC001070962525 768816629 /nfs/dbraw/zinc/81/66/29/768816629.db2.gz UJJXEASVRSGLEU-UHFFFAOYSA-N 1 2 318.421 1.027 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)c2cc[nH]c2CC)CC1 ZINC001070962525 768816642 /nfs/dbraw/zinc/81/66/42/768816642.db2.gz UJJXEASVRSGLEU-UHFFFAOYSA-N 1 2 318.421 1.027 20 30 DDEDLO Cc1nc(N2CC[NH+](CCNC(=O)C(C)C)CC2)ccc1C#N ZINC001096275074 768900363 /nfs/dbraw/zinc/90/03/63/768900363.db2.gz QXKCFDICOUBZKM-UHFFFAOYSA-N 1 2 315.421 1.156 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001071033658 768901587 /nfs/dbraw/zinc/90/15/87/768901587.db2.gz NSRBRXFDHCTKKY-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)CSC)C[C@@H](C)O2 ZINC001071131206 768989645 /nfs/dbraw/zinc/98/96/45/768989645.db2.gz BTNQEMJOJPQGGE-TZMCWYRMSA-N 1 2 318.870 1.794 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)CSC)C[C@@H](C)O2 ZINC001071131206 768989649 /nfs/dbraw/zinc/98/96/49/768989649.db2.gz BTNQEMJOJPQGGE-TZMCWYRMSA-N 1 2 318.870 1.794 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001132601510 769003018 /nfs/dbraw/zinc/00/30/18/769003018.db2.gz AIJVAQWQBXGBAT-GFCCVEGCSA-N 1 2 304.394 1.907 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001071137729 769003153 /nfs/dbraw/zinc/00/31/53/769003153.db2.gz LNKJBYKFZCKWKZ-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[N@H+]1CCN([C@H]2CCCN(C(=O)C(C)(C)C)C2)C(=O)C1 ZINC001071276875 769223709 /nfs/dbraw/zinc/22/37/09/769223709.db2.gz DASZMCJSPHNLBU-HNNXBMFYSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@H]2CCCN(C(=O)C(C)(C)C)C2)C(=O)C1 ZINC001071276875 769223714 /nfs/dbraw/zinc/22/37/14/769223714.db2.gz DASZMCJSPHNLBU-HNNXBMFYSA-N 1 2 319.449 1.191 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CC[C@H](C)N(CC#N)C3)ccn12 ZINC001071511321 769538930 /nfs/dbraw/zinc/53/89/30/769538930.db2.gz LVCWAEGOMQCQFQ-WFASDCNBSA-N 1 2 311.389 1.749 20 30 DDEDLO C[C@@H]1CCN(C(=O)CCCn2cc[nH+]c2)C[C@@H]1CNCC#N ZINC001133363320 769747481 /nfs/dbraw/zinc/74/74/81/769747481.db2.gz GUYNPIHKFCLKKE-CABCVRRESA-N 1 2 303.410 1.261 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccncc1C#N ZINC001048797018 769901766 /nfs/dbraw/zinc/90/17/66/769901766.db2.gz IRWSCUPSUVCPRL-UONOGXRCSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cn2ccc(C)n2)CC[C@@H]1C ZINC001071831823 770140988 /nfs/dbraw/zinc/14/09/88/770140988.db2.gz NESQJPNIUJAOEK-KBPBESRZSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cn2ccc(C)n2)CC[C@@H]1C ZINC001071831823 770140996 /nfs/dbraw/zinc/14/09/96/770140996.db2.gz NESQJPNIUJAOEK-KBPBESRZSA-N 1 2 310.829 1.913 20 30 DDEDLO N#CCN1C[C@@H]2CN(C(=O)c3ccc(-n4cc[nH+]c4)cc3)C[C@@H]2C1 ZINC001048965135 770178943 /nfs/dbraw/zinc/17/89/43/770178943.db2.gz WDKBSUFCHABTHS-IYBDPMFKSA-N 1 2 321.384 1.400 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2scnc2COC)CC[C@@H]1C ZINC001071883621 770223107 /nfs/dbraw/zinc/22/31/07/770223107.db2.gz OKYVCUIBIQMVGR-RYUDHWBXSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2scnc2COC)CC[C@@H]1C ZINC001071883621 770223114 /nfs/dbraw/zinc/22/31/14/770223114.db2.gz OKYVCUIBIQMVGR-RYUDHWBXSA-N 1 2 307.419 1.505 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ncccc1C#N ZINC001049022366 770259221 /nfs/dbraw/zinc/25/92/21/770259221.db2.gz QRMSYDZBFLPFAZ-LSDHHAIUSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cn2ccccc2=O)CC[C@H]1C ZINC001072061618 770501915 /nfs/dbraw/zinc/50/19/15/770501915.db2.gz GUQJAQZVPHRQBV-ZIAGYGMSSA-N 1 2 323.824 1.570 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cn2ccccc2=O)CC[C@H]1C ZINC001072061618 770501923 /nfs/dbraw/zinc/50/19/23/770501923.db2.gz GUQJAQZVPHRQBV-ZIAGYGMSSA-N 1 2 323.824 1.570 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[NH2+]Cc1ncc(C2CC2)o1 ZINC001134062241 770609397 /nfs/dbraw/zinc/60/93/97/770609397.db2.gz UGKUFJQHWCPJSF-OLZOCXBDSA-N 1 2 305.378 1.349 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001072317640 770754536 /nfs/dbraw/zinc/75/45/36/770754536.db2.gz RYNOOSIBTCHYPI-TZMCWYRMSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001072317640 770754539 /nfs/dbraw/zinc/75/45/39/770754539.db2.gz RYNOOSIBTCHYPI-TZMCWYRMSA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC2CC2)n1 ZINC001049466724 770889507 /nfs/dbraw/zinc/88/95/07/770889507.db2.gz JBACOPXOYWPNSB-HUUCEWRRSA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC2CC2)n1 ZINC001049466724 770889519 /nfs/dbraw/zinc/88/95/19/770889519.db2.gz JBACOPXOYWPNSB-HUUCEWRRSA-N 1 2 314.389 1.357 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1nonc1C ZINC001049927377 771271690 /nfs/dbraw/zinc/27/16/90/771271690.db2.gz JZKGPEYBRGZVIR-RYUDHWBXSA-N 1 2 310.785 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1nonc1C ZINC001049927377 771271694 /nfs/dbraw/zinc/27/16/94/771271694.db2.gz JZKGPEYBRGZVIR-RYUDHWBXSA-N 1 2 310.785 1.809 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001049998733 771352896 /nfs/dbraw/zinc/35/28/96/771352896.db2.gz LOEAEWGYGHJBKK-HZSPNIEDSA-N 1 2 301.394 1.003 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001049998733 771352898 /nfs/dbraw/zinc/35/28/98/771352898.db2.gz LOEAEWGYGHJBKK-HZSPNIEDSA-N 1 2 301.394 1.003 20 30 DDEDLO C[C@H](C[C@H](C)NCC#N)NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001135840938 771747110 /nfs/dbraw/zinc/74/71/10/771747110.db2.gz RTBBVWMVIJGARD-UONOGXRCSA-N 1 2 311.389 1.882 20 30 DDEDLO C[C@H](C[C@@H](C)NC(=O)c1cc(-n2cc[nH+]c2)ccn1)NCC#N ZINC001135852221 771752973 /nfs/dbraw/zinc/75/29/73/771752973.db2.gz CWHSUPDJTJVBKO-CHWSQXEVSA-N 1 2 312.377 1.277 20 30 DDEDLO C[C@H](C[C@H](C)NCC#N)NC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC001135916607 771786943 /nfs/dbraw/zinc/78/69/43/771786943.db2.gz POFYQVSPQUQRSC-QWHCGFSZSA-N 1 2 312.377 1.277 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccccc2OC)[C@H](O)C1 ZINC001090742877 772154426 /nfs/dbraw/zinc/15/44/26/772154426.db2.gz CKPWNXKUTVZHEC-UONOGXRCSA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccccc2OC)[C@H](O)C1 ZINC001090742877 772154430 /nfs/dbraw/zinc/15/44/30/772154430.db2.gz CKPWNXKUTVZHEC-UONOGXRCSA-N 1 2 324.808 1.613 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](CNC(=O)CSCC#N)C2)cc[nH+]1 ZINC001091429940 772746686 /nfs/dbraw/zinc/74/66/86/772746686.db2.gz NLOCPUUBEBQTSC-HAQNSBGRSA-N 1 2 305.407 1.349 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091462341 772771430 /nfs/dbraw/zinc/77/14/30/772771430.db2.gz NAONKKLGVJULLT-HDJSIYSDSA-N 1 2 304.394 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](C)CSC)C1 ZINC001149415348 772809308 /nfs/dbraw/zinc/80/93/08/772809308.db2.gz LQMKRELXCJFZEF-YPMHNXCESA-N 1 2 320.886 1.945 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H](C)CSC)C1 ZINC001149415348 772809312 /nfs/dbraw/zinc/80/93/12/772809312.db2.gz LQMKRELXCJFZEF-YPMHNXCESA-N 1 2 320.886 1.945 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001073916420 773522521 /nfs/dbraw/zinc/52/25/21/773522521.db2.gz NPKGGAHTJMDILP-KGLIPLIRSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)[C@@H](C)C1 ZINC001074084038 773622016 /nfs/dbraw/zinc/62/20/16/773622016.db2.gz BLKVPFKWAJXQTG-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC3CCC3)C[C@H]21 ZINC001074204966 773726515 /nfs/dbraw/zinc/72/65/15/773726515.db2.gz KYQODXHMVCABRD-SJORKVTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC3CCC3)C[C@H]21 ZINC001074204966 773726517 /nfs/dbraw/zinc/72/65/17/773726517.db2.gz KYQODXHMVCABRD-SJORKVTESA-N 1 2 304.434 1.892 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c(=O)c3)C[C@@H]21 ZINC001074204710 773726935 /nfs/dbraw/zinc/72/69/35/773726935.db2.gz HDPUSJNUOHQMBZ-LSDHHAIUSA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c(=O)c3)C[C@@H]21 ZINC001074204710 773726942 /nfs/dbraw/zinc/72/69/42/773726942.db2.gz HDPUSJNUOHQMBZ-LSDHHAIUSA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cc(C)n[nH]3)C[C@H]21 ZINC001074212389 773734835 /nfs/dbraw/zinc/73/48/35/773734835.db2.gz OQNBEAURNHJEHA-HZPDHXFCSA-N 1 2 318.421 1.138 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cc(C)n[nH]3)C[C@H]21 ZINC001074212389 773734839 /nfs/dbraw/zinc/73/48/39/773734839.db2.gz OQNBEAURNHJEHA-HZPDHXFCSA-N 1 2 318.421 1.138 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccc(C)o3)C[C@@H]21 ZINC001074218969 773741355 /nfs/dbraw/zinc/74/13/55/773741355.db2.gz IFWJHBMUJMAOBU-IRXDYDNUSA-N 1 2 316.401 1.456 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccc(C)o3)C[C@@H]21 ZINC001074218969 773741359 /nfs/dbraw/zinc/74/13/59/773741359.db2.gz IFWJHBMUJMAOBU-IRXDYDNUSA-N 1 2 316.401 1.456 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cn3nccc3C)C[C@@H]21 ZINC001074348019 773844148 /nfs/dbraw/zinc/84/41/48/773844148.db2.gz GGOAAYPZBURLAN-JKSUJKDBSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cn3nccc3C)C[C@@H]21 ZINC001074348019 773844154 /nfs/dbraw/zinc/84/41/54/773844154.db2.gz GGOAAYPZBURLAN-JKSUJKDBSA-N 1 2 318.421 1.069 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(CC)on3)C[C@@H]21 ZINC001074387579 773880402 /nfs/dbraw/zinc/88/04/02/773880402.db2.gz NLMPWSHSKZBBLI-HOTGVXAUSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(CC)on3)C[C@@H]21 ZINC001074387579 773880409 /nfs/dbraw/zinc/88/04/09/773880409.db2.gz NLMPWSHSKZBBLI-HOTGVXAUSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)co1)c1nccn12 ZINC001092389313 774098915 /nfs/dbraw/zinc/09/89/15/774098915.db2.gz QVCSFMRAKDGGRT-CYBMUJFWSA-N 1 2 312.373 1.856 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccco1)c1nccn12 ZINC001092403025 774103353 /nfs/dbraw/zinc/10/33/53/774103353.db2.gz AIKSWKKDQHBCHT-OAHLLOKOSA-N 1 2 324.384 1.314 20 30 DDEDLO Cc1cc(N2CCN(C(=O)C#CC(C)C)CC2)nc(C2CC2)[nH+]1 ZINC001093153814 774455536 /nfs/dbraw/zinc/45/55/36/774455536.db2.gz MTIDSCUMQMLZND-UHFFFAOYSA-N 1 2 312.417 1.970 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001093246859 774567247 /nfs/dbraw/zinc/56/72/47/774567247.db2.gz BFWBTSKCOJSQLM-YYWXWVFPSA-N 1 2 316.405 1.317 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CCC(=C)C)C2)nn1 ZINC001098681210 774640468 /nfs/dbraw/zinc/64/04/68/774640468.db2.gz PUHYSELKJJPJSB-HNNXBMFYSA-N 1 2 301.394 1.131 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3ccccn3)CC2)C1 ZINC001093497527 774720057 /nfs/dbraw/zinc/72/00/57/774720057.db2.gz HCHQLXZMZDNGKY-UHFFFAOYSA-N 1 2 315.417 1.963 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3nn(C)cc3C)CC2)C1 ZINC001093536632 774792561 /nfs/dbraw/zinc/79/25/61/774792561.db2.gz MUQNTQXUPJWNFJ-UHFFFAOYSA-N 1 2 318.421 1.220 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCO[C@@H](C)C3)CC2)C1 ZINC001093584916 774863173 /nfs/dbraw/zinc/86/31/73/774863173.db2.gz MADXVGQAKFRUQO-LSDHHAIUSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3c[nH]nc3C)CC2)C1 ZINC001093557900 774882549 /nfs/dbraw/zinc/88/25/49/774882549.db2.gz QHAAARUGPKYTME-UHFFFAOYSA-N 1 2 304.394 1.210 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1C(F)(F)F ZINC001099217132 774904667 /nfs/dbraw/zinc/90/46/67/774904667.db2.gz YSZCKPVAHLLTMJ-PSASIEDQSA-N 1 2 322.718 1.755 20 30 DDEDLO CCCC(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099627060 775076991 /nfs/dbraw/zinc/07/69/91/775076991.db2.gz INMCHWDHYOBDMJ-HOTGVXAUSA-N 1 2 301.390 1.410 20 30 DDEDLO CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099627060 775076996 /nfs/dbraw/zinc/07/69/96/775076996.db2.gz INMCHWDHYOBDMJ-HOTGVXAUSA-N 1 2 301.390 1.410 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099806730 775305019 /nfs/dbraw/zinc/30/50/19/775305019.db2.gz FUDFKTZGPNGGFL-CVEARBPZSA-N 1 2 319.380 1.076 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099806730 775305026 /nfs/dbraw/zinc/30/50/26/775305026.db2.gz FUDFKTZGPNGGFL-CVEARBPZSA-N 1 2 319.380 1.076 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099855606 775358040 /nfs/dbraw/zinc/35/80/40/775358040.db2.gz KFNIQNPKWGSHIJ-HUUCEWRRSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099855606 775358046 /nfs/dbraw/zinc/35/80/46/775358046.db2.gz KFNIQNPKWGSHIJ-HUUCEWRRSA-N 1 2 321.421 1.699 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099963051 775486130 /nfs/dbraw/zinc/48/61/30/775486130.db2.gz YKHTXCNKIPNFML-GJZGRUSLSA-N 1 2 321.446 1.388 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099963051 775486140 /nfs/dbraw/zinc/48/61/40/775486140.db2.gz YKHTXCNKIPNFML-GJZGRUSLSA-N 1 2 321.446 1.388 20 30 DDEDLO Cc1cc(NCCNC(=O)C[C@@H](C)n2cc[nH+]c2)c(C#N)cn1 ZINC001094167446 775510339 /nfs/dbraw/zinc/51/03/39/775510339.db2.gz BJKLTMWFKYCMPV-CYBMUJFWSA-N 1 2 312.377 1.060 20 30 DDEDLO C[C@@H](CC(=O)NCCNc1ncc(C#N)cc1F)n1cc[nH+]c1 ZINC001094167748 775510810 /nfs/dbraw/zinc/51/08/10/775510810.db2.gz LWQQVOAZIUUSNW-NSHDSACASA-N 1 2 316.340 1.468 20 30 DDEDLO N#Cc1ccc(NCCNC(=O)c2cc3c[nH+]ccc3[nH]2)nn1 ZINC001094236264 775573352 /nfs/dbraw/zinc/57/33/52/775573352.db2.gz RGCVYRRJDARHKP-UHFFFAOYSA-N 1 2 307.317 1.066 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cocc3C)nn2)C1 ZINC001094311030 775664883 /nfs/dbraw/zinc/66/48/83/775664883.db2.gz FVLKDLNLXCBXGC-UHFFFAOYSA-N 1 2 301.350 1.152 20 30 DDEDLO CCCCc1nc(C[NH2+]C2CC(CNC(=O)[C@H](C)C#N)C2)no1 ZINC001100190498 775794046 /nfs/dbraw/zinc/79/40/46/775794046.db2.gz WIPQMPDJKNLQFX-PNESKVBLSA-N 1 2 319.409 1.556 20 30 DDEDLO CCCc1nc(C[NH2+]C2CC(CNC(=O)C#CC(C)C)C2)no1 ZINC001100309508 775974005 /nfs/dbraw/zinc/97/40/05/775974005.db2.gz YQGHOQUXZCLHBC-UHFFFAOYSA-N 1 2 318.421 1.666 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C2CC(CNC(=O)C#CC(C)C)C2)no1 ZINC001100310066 775974730 /nfs/dbraw/zinc/97/47/30/775974730.db2.gz ALQGYCGEUNBXCO-XGNXJENSSA-N 1 2 304.394 1.583 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1CC([NH2+]CC(F)(F)C(F)F)C1 ZINC001100324135 775998845 /nfs/dbraw/zinc/99/88/45/775998845.db2.gz YJJWABFASOBLKZ-KPPDAEKUSA-N 1 2 324.318 1.410 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3CCCC3)CC2=O)C1 ZINC001094695754 776204258 /nfs/dbraw/zinc/20/42/58/776204258.db2.gz YAILBGJVVKPDDR-AWEZNQCLSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@H]3CC3(C)C)CC2=O)C1 ZINC001094726486 776229402 /nfs/dbraw/zinc/22/94/02/776229402.db2.gz QRQFHVPQJZYXFW-GXTWGEPZSA-N 1 2 305.422 1.010 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[NH2+]CC(F)(F)C(F)F)cn1 ZINC001171594800 776359124 /nfs/dbraw/zinc/35/91/24/776359124.db2.gz NTMFATBKVILDKZ-UHFFFAOYSA-N 1 2 317.286 1.673 20 30 DDEDLO CCN(CCNC(=O)Cc1c[nH]c[nH+]1)c1cccc(F)c1C#N ZINC001100734478 776485386 /nfs/dbraw/zinc/48/53/86/776485386.db2.gz FKNFPZKMCJJNKQ-UHFFFAOYSA-N 1 2 315.352 1.606 20 30 DDEDLO C=CCOCC(=O)N(C)CCNc1nc(C)[nH+]c2c1CCCC2 ZINC001101545962 777235998 /nfs/dbraw/zinc/23/59/98/777235998.db2.gz BBZWLEKGBXWEHL-UHFFFAOYSA-N 1 2 318.421 1.737 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)CCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001101585887 777277996 /nfs/dbraw/zinc/27/79/96/777277996.db2.gz NNZXVRDULYCSDI-KRWDZBQOSA-N 1 2 318.421 1.860 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC2(C[NH+](CC#CC)C2)n2ccnc21 ZINC001101630256 777325187 /nfs/dbraw/zinc/32/51/87/777325187.db2.gz CBNDKNGUTSYZRS-HNNXBMFYSA-N 1 2 312.417 1.835 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095299845 777476925 /nfs/dbraw/zinc/47/69/25/777476925.db2.gz UIDCXDLWZLSGDB-AWEZNQCLSA-N 1 2 304.394 1.781 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101901440 777661122 /nfs/dbraw/zinc/66/11/22/777661122.db2.gz JIUKQTKWOAGZQI-UHFFFAOYSA-N 1 2 302.382 1.446 20 30 DDEDLO Cc1nc(N2CC[C@@H](CCNC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001102303575 778036858 /nfs/dbraw/zinc/03/68/58/778036858.db2.gz HXCGTPLMMVTTAS-CYBMUJFWSA-N 1 2 324.388 1.631 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(c2cc(F)ncn2)CC1 ZINC001102413793 778124750 /nfs/dbraw/zinc/12/47/50/778124750.db2.gz BADSFOXLEDDOQH-UHFFFAOYSA-N 1 2 321.400 1.162 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(CC)CC)C[C@@H]21 ZINC001176923534 778310994 /nfs/dbraw/zinc/31/09/94/778310994.db2.gz HDZUHSUTVZIQLV-DLBZAZTESA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(CC)CC)C[C@@H]21 ZINC001176923534 778311001 /nfs/dbraw/zinc/31/10/01/778311001.db2.gz HDZUHSUTVZIQLV-DLBZAZTESA-N 1 2 324.465 1.927 20 30 DDEDLO CN(C(=O)CCc1c[nH]c[nH+]1)[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001102767302 778382115 /nfs/dbraw/zinc/38/21/15/778382115.db2.gz XDAHQZUQESHSMC-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(C(=O)CCc1c[nH+]c[nH]1)[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001102767302 778382120 /nfs/dbraw/zinc/38/21/20/778382120.db2.gz XDAHQZUQESHSMC-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)COC)C[C@H]21 ZINC001177047381 778408403 /nfs/dbraw/zinc/40/84/03/778408403.db2.gz DQZAQBJHSILKIW-CABCVRRESA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)COC)C[C@H]21 ZINC001177047381 778408406 /nfs/dbraw/zinc/40/84/06/778408406.db2.gz DQZAQBJHSILKIW-CABCVRRESA-N 1 2 310.438 1.537 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102857720 778454043 /nfs/dbraw/zinc/45/40/43/778454043.db2.gz GDFCIQQURUVNTJ-YOEHRIQHSA-N 1 2 318.421 1.587 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CC)[C@H]3C2)CCOCC1 ZINC001177139543 778458271 /nfs/dbraw/zinc/45/82/71/778458271.db2.gz ROLIGJJMPUJIEJ-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CC)[C@H]3C2)CCOCC1 ZINC001177139543 778458273 /nfs/dbraw/zinc/45/82/73/778458273.db2.gz ROLIGJJMPUJIEJ-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCC[NH2+]Cc1noc(CCCC)n1 ZINC001177269436 778524850 /nfs/dbraw/zinc/52/48/50/778524850.db2.gz MHBCHLDZTBIVOS-CYBMUJFWSA-N 1 2 324.425 1.599 20 30 DDEDLO CNC(=O)c1cc(C#N)cc(C)c1NC(=O)[C@@H](C)n1cc[nH+]c1 ZINC001177926295 778787601 /nfs/dbraw/zinc/78/76/01/778787601.db2.gz HAWIZLNYMMKMFU-LLVKDONJSA-N 1 2 311.345 1.623 20 30 DDEDLO COC(=O)c1scc(C#N)c1NC(=O)CCc1[nH]cc[nH+]1 ZINC001177993134 778827371 /nfs/dbraw/zinc/82/73/71/778827371.db2.gz IFSGZHOINUMLSI-UHFFFAOYSA-N 1 2 304.331 1.701 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104097839 779304608 /nfs/dbraw/zinc/30/46/08/779304608.db2.gz HBVIWLYWYIMMDX-GFCCVEGCSA-N 1 2 316.409 1.884 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001115330661 780043377 /nfs/dbraw/zinc/04/33/77/780043377.db2.gz QSFCBPLVPYAGPX-NYTXWWLZSA-N 1 2 316.405 1.177 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001115330661 780043384 /nfs/dbraw/zinc/04/33/84/780043384.db2.gz QSFCBPLVPYAGPX-NYTXWWLZSA-N 1 2 316.405 1.177 20 30 DDEDLO N#CCNC1(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)CCCCC1 ZINC001115602608 780262542 /nfs/dbraw/zinc/26/25/42/780262542.db2.gz DIABWPDJXMOLMI-AWEZNQCLSA-N 1 2 315.421 1.378 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001120164497 781794390 /nfs/dbraw/zinc/79/43/90/781794390.db2.gz NVNFTFOYEKYJQP-GFCCVEGCSA-N 1 2 320.441 1.861 20 30 DDEDLO C=C1CC(C)(C(=O)NCCOCC[N@@H+](C)Cc2nocc2C)C1 ZINC001283408870 837399060 /nfs/dbraw/zinc/39/90/60/837399060.db2.gz RXCNEJSNJSXLMM-UHFFFAOYSA-N 1 2 321.421 1.904 20 30 DDEDLO C=C1CC(C)(C(=O)NCCOCC[N@H+](C)Cc2nocc2C)C1 ZINC001283408870 837399070 /nfs/dbraw/zinc/39/90/70/837399070.db2.gz RXCNEJSNJSXLMM-UHFFFAOYSA-N 1 2 321.421 1.904 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)CCCc1ccccn1 ZINC001280422426 844102128 /nfs/dbraw/zinc/10/21/28/844102128.db2.gz YGKSLHRVSHSNGL-KRWDZBQOSA-N 1 2 315.417 1.245 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)CCCc1ccccn1 ZINC001280422426 844102133 /nfs/dbraw/zinc/10/21/33/844102133.db2.gz YGKSLHRVSHSNGL-KRWDZBQOSA-N 1 2 315.417 1.245 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)CCNC(=O)c1cc(C)no1 ZINC001266255672 835994327 /nfs/dbraw/zinc/99/43/27/835994327.db2.gz ZCPGLKVSYVLJPX-UHFFFAOYSA-N 1 2 322.409 1.069 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)CCNC(=O)c1cc(C)no1 ZINC001266255672 835994334 /nfs/dbraw/zinc/99/43/34/835994334.db2.gz ZCPGLKVSYVLJPX-UHFFFAOYSA-N 1 2 322.409 1.069 20 30 DDEDLO C=CCCC(=O)NCC[N@@H+](C)CC(=O)N(C)c1ccccc1 ZINC001266262353 836003945 /nfs/dbraw/zinc/00/39/45/836003945.db2.gz LQCMWPZFVVIEOJ-UHFFFAOYSA-N 1 2 303.406 1.664 20 30 DDEDLO C=CCCC(=O)NCC[N@H+](C)CC(=O)N(C)c1ccccc1 ZINC001266262353 836003959 /nfs/dbraw/zinc/00/39/59/836003959.db2.gz LQCMWPZFVVIEOJ-UHFFFAOYSA-N 1 2 303.406 1.664 20 30 DDEDLO C#CCOCCC(=O)NC[C@H](C)[N@H+](C)CC=C(Cl)Cl ZINC001282389884 836050912 /nfs/dbraw/zinc/05/09/12/836050912.db2.gz BAXMYYGHAVJYRB-NSHDSACASA-N 1 2 307.221 1.782 20 30 DDEDLO C#CCOCCC(=O)NC[C@H](C)[N@@H+](C)CC=C(Cl)Cl ZINC001282389884 836050921 /nfs/dbraw/zinc/05/09/21/836050921.db2.gz BAXMYYGHAVJYRB-NSHDSACASA-N 1 2 307.221 1.782 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)C1(C2CCC2)CCC1 ZINC001266304391 836084853 /nfs/dbraw/zinc/08/48/53/836084853.db2.gz UCHOFYXWSUKXLI-UHFFFAOYSA-N 1 2 307.438 1.307 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)C1(C2CCC2)CCC1 ZINC001266304391 836084866 /nfs/dbraw/zinc/08/48/66/836084866.db2.gz UCHOFYXWSUKXLI-UHFFFAOYSA-N 1 2 307.438 1.307 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ccncc2Cl)C1 ZINC001266317829 836110962 /nfs/dbraw/zinc/11/09/62/836110962.db2.gz VEZJCLOKXQWOSN-CZUORRHYSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccncc2Cl)C1 ZINC001266317829 836110964 /nfs/dbraw/zinc/11/09/64/836110964.db2.gz VEZJCLOKXQWOSN-CZUORRHYSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001266343176 836146094 /nfs/dbraw/zinc/14/60/94/836146094.db2.gz ZLJYSJNWRVMONH-CJNGLKHVSA-N 1 2 305.426 1.591 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001266343176 836146100 /nfs/dbraw/zinc/14/61/00/836146100.db2.gz ZLJYSJNWRVMONH-CJNGLKHVSA-N 1 2 305.426 1.591 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+]2CC[C@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001266511922 836389077 /nfs/dbraw/zinc/38/90/77/836389077.db2.gz UUGISXWEKPHJPI-GXTWGEPZSA-N 1 2 315.421 1.280 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+]2CC[C@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001266511922 836389089 /nfs/dbraw/zinc/38/90/89/836389089.db2.gz UUGISXWEKPHJPI-GXTWGEPZSA-N 1 2 315.421 1.280 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@@H+](Cc2ncnn2CC)C1 ZINC001266527707 836418645 /nfs/dbraw/zinc/41/86/45/836418645.db2.gz BRBSUHVCOMTPRU-CQSZACIVSA-N 1 2 305.426 1.592 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CC[N@H+](Cc2ncnn2CC)C1 ZINC001266527707 836418648 /nfs/dbraw/zinc/41/86/48/836418648.db2.gz BRBSUHVCOMTPRU-CQSZACIVSA-N 1 2 305.426 1.592 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)[NH2+]Cc1csc(NC(C)=O)n1 ZINC001266962224 837119892 /nfs/dbraw/zinc/11/98/92/837119892.db2.gz PMBZMGRLSIHGKB-JTQLQIEISA-N 1 2 324.450 1.908 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NCC ZINC001267406270 838001788 /nfs/dbraw/zinc/00/17/88/838001788.db2.gz YTNQGVKDEYCPCJ-OKILXGFUSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NCC ZINC001267406270 838001797 /nfs/dbraw/zinc/00/17/97/838001797.db2.gz YTNQGVKDEYCPCJ-OKILXGFUSA-N 1 2 307.438 1.400 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NCCC ZINC001267422996 838019024 /nfs/dbraw/zinc/01/90/24/838019024.db2.gz KLSBZYKMGGHCJF-IYBDPMFKSA-N 1 2 319.449 1.381 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NCCC ZINC001267422996 838019029 /nfs/dbraw/zinc/01/90/29/838019029.db2.gz KLSBZYKMGGHCJF-IYBDPMFKSA-N 1 2 319.449 1.381 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@H]2CCC(F)(F)C2)CC1 ZINC001267573606 838338485 /nfs/dbraw/zinc/33/84/85/838338485.db2.gz VVVAEOPRRXXQLT-AWEZNQCLSA-N 1 2 315.408 1.684 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)c2ccc(C)c(F)c2)CC1 ZINC001267573682 838338950 /nfs/dbraw/zinc/33/89/50/838338950.db2.gz WXWRFOXQACTNPM-UHFFFAOYSA-N 1 2 317.408 1.457 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@@H]1CCCC12CC2 ZINC001267607546 838436186 /nfs/dbraw/zinc/43/61/86/838436186.db2.gz MDVIKKYKLIXWBL-HNNXBMFYSA-N 1 2 304.438 1.166 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)(C)CC(C)C)C1 ZINC001267619204 838505762 /nfs/dbraw/zinc/50/57/62/838505762.db2.gz BAYLNOSASQAZTL-OAHLLOKOSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)CC(C)C)C1 ZINC001267619204 838505767 /nfs/dbraw/zinc/50/57/67/838505767.db2.gz BAYLNOSASQAZTL-OAHLLOKOSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)C[NH+]1CC(CNC(C)=O)C1 ZINC001267674480 838622930 /nfs/dbraw/zinc/62/29/30/838622930.db2.gz YFGFZHKPAVAMJP-UHFFFAOYSA-N 1 2 321.446 1.331 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC(CNC(=O)C[C@@H]2CCCC[C@H]2C)C1 ZINC001267700413 838676602 /nfs/dbraw/zinc/67/66/02/838676602.db2.gz CINANSAUCWVWDG-ZBFHGGJFSA-N 1 2 319.449 1.000 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccnc(Cl)c2)C1 ZINC001267724557 838742512 /nfs/dbraw/zinc/74/25/12/838742512.db2.gz XDMAAEJLWZMPBL-OCCSQVGLSA-N 1 2 321.808 1.464 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccnc(Cl)c2)C1 ZINC001267724557 838742520 /nfs/dbraw/zinc/74/25/20/838742520.db2.gz XDMAAEJLWZMPBL-OCCSQVGLSA-N 1 2 321.808 1.464 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001268026291 839427415 /nfs/dbraw/zinc/42/74/15/839427415.db2.gz MKYXDVJOZJRECE-YESZJQIVSA-N 1 2 322.449 1.442 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001268026291 839427427 /nfs/dbraw/zinc/42/74/27/839427427.db2.gz MKYXDVJOZJRECE-YESZJQIVSA-N 1 2 322.449 1.442 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1C[N@H+](CCOC2CCC2)CCO1 ZINC001268027052 839431341 /nfs/dbraw/zinc/43/13/41/839431341.db2.gz NPTOLXXWUBXTSI-KRWDZBQOSA-N 1 2 322.449 1.566 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1C[N@@H+](CCOC2CCC2)CCO1 ZINC001268027052 839431346 /nfs/dbraw/zinc/43/13/46/839431346.db2.gz NPTOLXXWUBXTSI-KRWDZBQOSA-N 1 2 322.449 1.566 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2C[N@H+](CCOC)CCO2)CCCCC1 ZINC001268028261 839437623 /nfs/dbraw/zinc/43/76/23/839437623.db2.gz BJGCJSMDWPELIX-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2C[N@@H+](CCOC)CCO2)CCCCC1 ZINC001268028261 839437626 /nfs/dbraw/zinc/43/76/26/839437626.db2.gz BJGCJSMDWPELIX-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC1C[NH+](CCOCC2CC2)C1 ZINC001268455677 840226423 /nfs/dbraw/zinc/22/64/23/840226423.db2.gz PTMSMZSCKGHSDC-HOTGVXAUSA-N 1 2 308.422 1.195 20 30 DDEDLO C#CCCCCCC(=O)NCC1C[NH+](Cc2cnc(C)cn2)C1 ZINC001268862787 840914395 /nfs/dbraw/zinc/91/43/95/840914395.db2.gz OSLJVQFRUZKRMM-UHFFFAOYSA-N 1 2 314.433 1.917 20 30 DDEDLO CCC[C@@H](C)C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001268972001 841070738 /nfs/dbraw/zinc/07/07/38/841070738.db2.gz VPOZBZVIXWXTTI-CQSZACIVSA-N 1 2 314.433 1.977 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001269023681 841120132 /nfs/dbraw/zinc/12/01/32/841120132.db2.gz YOROKDPDLYBKCA-HNNXBMFYSA-N 1 2 301.390 1.440 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001269023681 841120140 /nfs/dbraw/zinc/12/01/40/841120140.db2.gz YOROKDPDLYBKCA-HNNXBMFYSA-N 1 2 301.390 1.440 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)(C)C)C2)cn1 ZINC001269126455 841238962 /nfs/dbraw/zinc/23/89/62/841238962.db2.gz MYOFJPCBXWBVNG-CQSZACIVSA-N 1 2 300.406 1.525 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)(C)C)C2)cn1 ZINC001269126455 841238970 /nfs/dbraw/zinc/23/89/70/841238970.db2.gz MYOFJPCBXWBVNG-CQSZACIVSA-N 1 2 300.406 1.525 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](N(C)C(=O)C#CC3CC3)C2)s1 ZINC001269240570 841405720 /nfs/dbraw/zinc/40/57/20/841405720.db2.gz MDXGVCYFQYBDOU-AWEZNQCLSA-N 1 2 303.431 1.898 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](N(C)C(=O)C#CC3CC3)C2)s1 ZINC001269240570 841405723 /nfs/dbraw/zinc/40/57/23/841405723.db2.gz MDXGVCYFQYBDOU-AWEZNQCLSA-N 1 2 303.431 1.898 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@H]1CNC(=O)[C@@]1(C)CCCS1(=O)=O ZINC001269321624 841519192 /nfs/dbraw/zinc/51/91/92/841519192.db2.gz ZMXHIACNRSXJCA-DZGCQCFKSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@H]1CNC(=O)[C@@]1(C)CCCS1(=O)=O ZINC001269321624 841519198 /nfs/dbraw/zinc/51/91/98/841519198.db2.gz ZMXHIACNRSXJCA-DZGCQCFKSA-N 1 2 314.451 1.110 20 30 DDEDLO N#Cc1ccccc1C(=O)N1CC2(CCN2CCn2cc[nH+]c2)C1 ZINC001269400698 841604013 /nfs/dbraw/zinc/60/40/13/841604013.db2.gz OMZOEGYRZZXWPR-UHFFFAOYSA-N 1 2 321.384 1.355 20 30 DDEDLO Cn1cccc1C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001270233740 842407210 /nfs/dbraw/zinc/40/72/10/842407210.db2.gz MJXXWJQHTVIYHJ-UHFFFAOYSA-N 1 2 323.400 1.193 20 30 DDEDLO C#CCN(C(=O)[C@@H](F)CC)C1CC[NH+](Cc2nccn2C)CC1 ZINC001270331576 842494722 /nfs/dbraw/zinc/49/47/22/842494722.db2.gz IVKBUDHSKNVAAX-HNNXBMFYSA-N 1 2 320.412 1.594 20 30 DDEDLO CC(C(=O)N[C@@]1(C)CC[N@H+](CC(=O)NCC#N)C1)=C1CCCC1 ZINC001270565162 842673894 /nfs/dbraw/zinc/67/38/94/842673894.db2.gz BZNHOUDEMOOQIY-KRWDZBQOSA-N 1 2 318.421 1.097 20 30 DDEDLO CC(C(=O)N[C@@]1(C)CC[N@@H+](CC(=O)NCC#N)C1)=C1CCCC1 ZINC001270565162 842673903 /nfs/dbraw/zinc/67/39/03/842673903.db2.gz BZNHOUDEMOOQIY-KRWDZBQOSA-N 1 2 318.421 1.097 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](CCCc2nc(C)no2)CCO1 ZINC001270628321 842754174 /nfs/dbraw/zinc/75/41/74/842754174.db2.gz CUSQRGUQUSXQRM-AWEZNQCLSA-N 1 2 322.409 1.094 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](CCCc2nc(C)no2)CCO1 ZINC001270628321 842754180 /nfs/dbraw/zinc/75/41/80/842754180.db2.gz CUSQRGUQUSXQRM-AWEZNQCLSA-N 1 2 322.409 1.094 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)[C@H]4CC4(F)F)C3)C2)cc1C#N ZINC001270661681 842790820 /nfs/dbraw/zinc/79/08/20/842790820.db2.gz LRMZCGZWYRTSFU-CYBMUJFWSA-N 1 2 320.343 1.196 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)COc2ccc(C)cc2C)C1 ZINC001270699953 842827680 /nfs/dbraw/zinc/82/76/80/842827680.db2.gz AAKBJJTUCQUNFR-INIZCTEOSA-N 1 2 318.417 1.685 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)COc2ccc(C)cc2C)C1 ZINC001270699953 842827691 /nfs/dbraw/zinc/82/76/91/842827691.db2.gz AAKBJJTUCQUNFR-INIZCTEOSA-N 1 2 318.417 1.685 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@]1(C)CCC[N@@H+](Cc2ncnn2C)C1 ZINC001270982392 843106352 /nfs/dbraw/zinc/10/63/52/843106352.db2.gz ILTBEMSONVAARW-KRWDZBQOSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@]1(C)CCC[N@H+](Cc2ncnn2C)C1 ZINC001270982392 843106360 /nfs/dbraw/zinc/10/63/60/843106360.db2.gz ILTBEMSONVAARW-KRWDZBQOSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCO1 ZINC001326610010 861476060 /nfs/dbraw/zinc/47/60/60/861476060.db2.gz BHWDKUGJWNUQNS-JKSUJKDBSA-N 1 2 310.438 1.729 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCO1 ZINC001326610010 861476067 /nfs/dbraw/zinc/47/60/67/861476067.db2.gz BHWDKUGJWNUQNS-JKSUJKDBSA-N 1 2 310.438 1.729 20 30 DDEDLO Cc1csc(C[N@@H+](C)[C@H](C)CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001409532547 845263365 /nfs/dbraw/zinc/26/33/65/845263365.db2.gz COQSITAPJQXHCE-LLVKDONJSA-N 1 2 317.418 1.902 20 30 DDEDLO Cc1csc(C[N@H+](C)[C@H](C)CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001409532547 845263377 /nfs/dbraw/zinc/26/33/77/845263377.db2.gz COQSITAPJQXHCE-LLVKDONJSA-N 1 2 317.418 1.902 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cc2n(n1)CCCO2 ZINC001409577355 845348491 /nfs/dbraw/zinc/34/84/91/845348491.db2.gz CWWASIRSMXKGBT-LLVKDONJSA-N 1 2 312.801 1.468 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cc2n(n1)CCCO2 ZINC001409577355 845348500 /nfs/dbraw/zinc/34/85/00/845348500.db2.gz CWWASIRSMXKGBT-LLVKDONJSA-N 1 2 312.801 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)CNC(=O)C(C)(C)C ZINC001409678134 845555299 /nfs/dbraw/zinc/55/52/99/845555299.db2.gz JIHFYGUOHDLZQZ-LLVKDONJSA-N 1 2 303.834 1.338 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)CNC(=O)C(C)(C)C ZINC001409678134 845555305 /nfs/dbraw/zinc/55/53/05/845555305.db2.gz JIHFYGUOHDLZQZ-LLVKDONJSA-N 1 2 303.834 1.338 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1C[N@H+](CC=C(Cl)Cl)CCCO1 ZINC001149410109 861598724 /nfs/dbraw/zinc/59/87/24/861598724.db2.gz XUFFBWZIFJXPME-QWRGUYRKSA-N 1 2 320.220 1.672 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1C[N@@H+](CC=C(Cl)Cl)CCCO1 ZINC001149410109 861598733 /nfs/dbraw/zinc/59/87/33/861598733.db2.gz XUFFBWZIFJXPME-QWRGUYRKSA-N 1 2 320.220 1.672 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@H+](Cc3coc(C)n3)C2)OCC1=O ZINC001272725360 846723147 /nfs/dbraw/zinc/72/31/47/846723147.db2.gz AZZPKEVLEAWIKN-MRXNPFEDSA-N 1 2 305.378 1.362 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@@H+](Cc3coc(C)n3)C2)OCC1=O ZINC001272725360 846723159 /nfs/dbraw/zinc/72/31/59/846723159.db2.gz AZZPKEVLEAWIKN-MRXNPFEDSA-N 1 2 305.378 1.362 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1C[N@H+](CC(=C)Cl)CCCO1 ZINC001149622535 861757352 /nfs/dbraw/zinc/75/73/52/861757352.db2.gz BFOBTWLSVLGYFB-DZGCQCFKSA-N 1 2 316.829 1.273 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1C[N@@H+](CC(=C)Cl)CCCO1 ZINC001149622535 861757362 /nfs/dbraw/zinc/75/73/62/861757362.db2.gz BFOBTWLSVLGYFB-DZGCQCFKSA-N 1 2 316.829 1.273 20 30 DDEDLO Cc1cccnc1C[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107819583 847196711 /nfs/dbraw/zinc/19/67/11/847196711.db2.gz RWEAEJOCDROKDE-RHSMWYFYSA-N 1 2 316.405 1.257 20 30 DDEDLO Cc1cccnc1C[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107819583 847196715 /nfs/dbraw/zinc/19/67/15/847196715.db2.gz RWEAEJOCDROKDE-RHSMWYFYSA-N 1 2 316.405 1.257 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)CCC(F)F)C2)CC1 ZINC001327080439 861858069 /nfs/dbraw/zinc/85/80/69/861858069.db2.gz CNYSNCPAXMFVLX-AWEZNQCLSA-N 1 2 313.392 1.274 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2cnnn2CC)C1 ZINC001034193421 848098574 /nfs/dbraw/zinc/09/85/74/848098574.db2.gz ZRVNVSATDIZYLI-GFCCVEGCSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2cnnn2CC)C1 ZINC001034193421 848098584 /nfs/dbraw/zinc/09/85/84/848098584.db2.gz ZRVNVSATDIZYLI-GFCCVEGCSA-N 1 2 311.817 1.635 20 30 DDEDLO CC#CCCCC(=O)N1CCO[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001273300926 849368433 /nfs/dbraw/zinc/36/84/33/849368433.db2.gz OGRFLNOHWMLYLO-CQSZACIVSA-N 1 2 322.434 1.049 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C[C@H](C)COC)C2)CC1 ZINC001273383710 849772719 /nfs/dbraw/zinc/77/27/19/849772719.db2.gz JJCKXGINBDQFEQ-IRXDYDNUSA-N 1 2 323.481 1.454 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@H]1CCC)CO2 ZINC001273714226 851263912 /nfs/dbraw/zinc/26/39/12/851263912.db2.gz XAVUCQPGBRYKBP-BZUAXINKSA-N 1 2 322.449 1.585 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1Cc2ccccc2CN1CC#N ZINC001327512897 862230187 /nfs/dbraw/zinc/23/01/87/862230187.db2.gz NLECBPOMQASMCA-MRXNPFEDSA-N 1 2 323.400 1.327 20 30 DDEDLO CC(C)OC(=O)CNC(=O)[C@@H]1CC12CC[NH+](CCC#N)CC2 ZINC001274031604 851869910 /nfs/dbraw/zinc/86/99/10/851869910.db2.gz VTJFNENZOMGHOW-ZDUSSCGKSA-N 1 2 307.394 1.070 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@@H+]2Cc2c(N)ccnc2F)C1=O ZINC001274117581 851945452 /nfs/dbraw/zinc/94/54/52/851945452.db2.gz NTXHRRHHJLAZEL-KRWDZBQOSA-N 1 2 318.396 1.946 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCC[N@H+]2Cc2c(N)ccnc2F)C1=O ZINC001274117581 851945462 /nfs/dbraw/zinc/94/54/62/851945462.db2.gz NTXHRRHHJLAZEL-KRWDZBQOSA-N 1 2 318.396 1.946 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2cn(C)nn2)[C@H](C)C1 ZINC001274626242 852458170 /nfs/dbraw/zinc/45/81/70/852458170.db2.gz YCSLFFDBXVNGSQ-KGLIPLIRSA-N 1 2 305.426 1.641 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2cn(C)nn2)[C@H](C)C1 ZINC001274626242 852458172 /nfs/dbraw/zinc/45/81/72/852458172.db2.gz YCSLFFDBXVNGSQ-KGLIPLIRSA-N 1 2 305.426 1.641 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1cc(C#N)ccc1O ZINC001274666753 852501249 /nfs/dbraw/zinc/50/12/49/852501249.db2.gz HAPDSRCZBKUHRO-HZPDHXFCSA-N 1 2 309.369 1.462 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cc(C#N)ccc1O ZINC001274666753 852501258 /nfs/dbraw/zinc/50/12/58/852501258.db2.gz HAPDSRCZBKUHRO-HZPDHXFCSA-N 1 2 309.369 1.462 20 30 DDEDLO C#Cc1cccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)c1 ZINC001274879579 852663904 /nfs/dbraw/zinc/66/39/04/852663904.db2.gz PSZOWBVNVAPIBK-HDICACEKSA-N 1 2 320.396 1.880 20 30 DDEDLO C#Cc1cccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)c1 ZINC001274879579 852663912 /nfs/dbraw/zinc/66/39/12/852663912.db2.gz PSZOWBVNVAPIBK-HDICACEKSA-N 1 2 320.396 1.880 20 30 DDEDLO CC#CC[NH2+][C@@H]1c2ccccc2C[C@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC001275073092 852786294 /nfs/dbraw/zinc/78/62/94/852786294.db2.gz YTGNHNGOYODEHN-GDBMZVCRSA-N 1 2 324.384 1.134 20 30 DDEDLO C=CCOCC(=O)NCCC[NH2+]Cc1noc(C2CCC2)n1 ZINC001155712986 862399802 /nfs/dbraw/zinc/39/98/02/862399802.db2.gz XRXHUXAWBZLICJ-UHFFFAOYSA-N 1 2 308.382 1.136 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@H](C)NC(=O)C#CC(C)(C)C ZINC001275550967 853321263 /nfs/dbraw/zinc/32/12/63/853321263.db2.gz CWSBPCLCPAABIP-NSHDSACASA-N 1 2 308.451 1.833 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@H](C)NC(=O)C#CC(C)(C)C ZINC001275550967 853321270 /nfs/dbraw/zinc/32/12/70/853321270.db2.gz CWSBPCLCPAABIP-NSHDSACASA-N 1 2 308.451 1.833 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001280730944 853519714 /nfs/dbraw/zinc/51/97/14/853519714.db2.gz PGTXJBGZLZOULO-STQMWFEESA-N 1 2 304.394 1.462 20 30 DDEDLO C[N@H+](CC(F)F)C1CCN(C(=O)c2cc(C#N)ccn2)CC1 ZINC001411849982 853830178 /nfs/dbraw/zinc/83/01/78/853830178.db2.gz PWKSYIZWOIRSJT-UHFFFAOYSA-N 1 2 308.332 1.755 20 30 DDEDLO C[N@@H+](CC(F)F)C1CCN(C(=O)c2cc(C#N)ccn2)CC1 ZINC001411849982 853830181 /nfs/dbraw/zinc/83/01/81/853830181.db2.gz PWKSYIZWOIRSJT-UHFFFAOYSA-N 1 2 308.332 1.755 20 30 DDEDLO C#CCCCC(=O)N(C)CCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001275972750 854049499 /nfs/dbraw/zinc/04/94/99/854049499.db2.gz AHVLMGJNIIDKCC-UHFFFAOYSA-N 1 2 320.441 1.170 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2nccc3occc32)C1 ZINC001276069200 854699249 /nfs/dbraw/zinc/69/92/49/854699249.db2.gz JNNIBDAVYQVGMM-UHFFFAOYSA-N 1 2 315.373 1.571 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)CCc2ccccc2C)C1 ZINC001276088286 854734017 /nfs/dbraw/zinc/73/40/17/854734017.db2.gz JWELGDMBMOVXTE-UHFFFAOYSA-N 1 2 314.429 1.504 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001276088077 854734165 /nfs/dbraw/zinc/73/41/65/854734165.db2.gz FOJZVDPZFIDHNP-AWEZNQCLSA-N 1 2 304.390 1.268 20 30 DDEDLO CCCC[C@@H](C[NH2+]Cc1nnc(C)o1)NC(=O)C#CC1CC1 ZINC001276254915 855061865 /nfs/dbraw/zinc/06/18/65/855061865.db2.gz IUQHNRMJMCDDGV-AWEZNQCLSA-N 1 2 304.394 1.556 20 30 DDEDLO CCO[C@@H]1COC[C@H]1[NH2+]Cc1nnc(-c2cccc(C#N)c2)o1 ZINC001412989624 855875979 /nfs/dbraw/zinc/87/59/79/855875979.db2.gz DXUSONMLMBEQLG-ZIAGYGMSSA-N 1 2 314.345 1.502 20 30 DDEDLO CC(C)n1ncnc1C[N@@H+]1CCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC001072552844 857438181 /nfs/dbraw/zinc/43/81/81/857438181.db2.gz SPGSXXQCIJEDHN-CYBMUJFWSA-N 1 2 316.409 1.053 20 30 DDEDLO CC(C)n1ncnc1C[N@H+]1CCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC001072552844 857438184 /nfs/dbraw/zinc/43/81/84/857438184.db2.gz SPGSXXQCIJEDHN-CYBMUJFWSA-N 1 2 316.409 1.053 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001072552863 857438251 /nfs/dbraw/zinc/43/82/51/857438251.db2.gz SXSPMJODUBGLKH-MNOVXSKESA-N 1 2 303.366 1.133 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001072552863 857438254 /nfs/dbraw/zinc/43/82/54/857438254.db2.gz SXSPMJODUBGLKH-MNOVXSKESA-N 1 2 303.366 1.133 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@@H]2C[C@H](O)C[N@@H+]2C)n1CC ZINC001121790884 858597463 /nfs/dbraw/zinc/59/74/63/858597463.db2.gz XNUALKLVVUZVJO-KBPBESRZSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@@H]2C[C@H](O)C[N@H+]2C)n1CC ZINC001121790884 858597465 /nfs/dbraw/zinc/59/74/65/858597465.db2.gz XNUALKLVVUZVJO-KBPBESRZSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)n1CC ZINC001121803828 858600032 /nfs/dbraw/zinc/60/00/32/858600032.db2.gz MPHUDFCSZJFUBK-KGLIPLIRSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2C[N@H+]3CCCC[C@@H]3CO2)n1CC ZINC001121803828 858600037 /nfs/dbraw/zinc/60/00/37/858600037.db2.gz MPHUDFCSZJFUBK-KGLIPLIRSA-N 1 2 305.426 1.846 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2C[N@@H+]3CCCC[C@H]3CO2)n1CC ZINC001121884639 858619021 /nfs/dbraw/zinc/61/90/21/858619021.db2.gz CATYVDWWPFNIAG-UONOGXRCSA-N 1 2 303.410 1.293 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2C[N@H+]3CCCC[C@H]3CO2)n1CC ZINC001121884639 858619027 /nfs/dbraw/zinc/61/90/27/858619027.db2.gz CATYVDWWPFNIAG-UONOGXRCSA-N 1 2 303.410 1.293 20 30 DDEDLO Cn1cc[nH+]c1CCNC(=O)c1ccn(-c2cccc(C#N)c2)n1 ZINC001123925210 859476500 /nfs/dbraw/zinc/47/65/00/859476500.db2.gz KQCYDDBSFOJBML-UHFFFAOYSA-N 1 2 320.356 1.450 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCc3c(cnn3C)C2)cc1OC ZINC001138346682 860065845 /nfs/dbraw/zinc/06/58/45/860065845.db2.gz LWPAJUZWJUERFS-UHFFFAOYSA-N 1 2 311.385 1.999 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCc3c(cnn3C)C2)cc1OC ZINC001138346682 860065856 /nfs/dbraw/zinc/06/58/56/860065856.db2.gz LWPAJUZWJUERFS-UHFFFAOYSA-N 1 2 311.385 1.999 20 30 DDEDLO C=CCOC(=O)N1CCC2(C[NH+](Cc3nccnc3N)C2)CC1 ZINC001140008042 860542261 /nfs/dbraw/zinc/54/22/61/860542261.db2.gz LGXKVBVRFPFKIN-UHFFFAOYSA-N 1 2 317.393 1.279 20 30 DDEDLO CCOC[C@H]1C[N@H+](Cc2ccc(C#N)nc2)Cc2ncn(C)c21 ZINC001140541546 860652949 /nfs/dbraw/zinc/65/29/49/860652949.db2.gz KYHLLMDFMHSBDU-CQSZACIVSA-N 1 2 311.389 1.823 20 30 DDEDLO CCOC[C@H]1C[N@@H+](Cc2ccc(C#N)nc2)Cc2ncn(C)c21 ZINC001140541546 860652952 /nfs/dbraw/zinc/65/29/52/860652952.db2.gz KYHLLMDFMHSBDU-CQSZACIVSA-N 1 2 311.389 1.823 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1ncnn1CC ZINC001325877755 860913060 /nfs/dbraw/zinc/91/30/60/860913060.db2.gz CVWXBVAKRFRSCV-CABCVRRESA-N 1 2 317.437 1.830 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1ncnn1CC ZINC001325877755 860913081 /nfs/dbraw/zinc/91/30/81/860913081.db2.gz CVWXBVAKRFRSCV-CABCVRRESA-N 1 2 317.437 1.830 20 30 DDEDLO C[C@H](NC(=O)c1cc(C#N)c[nH]1)[C@H](C)[NH2+]Cc1cnsn1 ZINC001381369111 881602245 /nfs/dbraw/zinc/60/22/45/881602245.db2.gz PACWYMSMCAEAMZ-IUCAKERBSA-N 1 2 304.379 1.034 20 30 DDEDLO C=C[C@H](C(=O)NCC[NH2+]Cc1nnc(C)o1)c1ccccc1 ZINC001151989886 863096213 /nfs/dbraw/zinc/09/62/13/863096213.db2.gz AQNYLOMAYCHXLX-AWEZNQCLSA-N 1 2 300.362 1.554 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1C[NH2+][C@H](C)c1noc(C)n1 ZINC001328604772 863102250 /nfs/dbraw/zinc/10/22/50/863102250.db2.gz LDRAWDUDLVEDER-OCCSQVGLSA-N 1 2 322.409 1.612 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)C1 ZINC001328848003 863275058 /nfs/dbraw/zinc/27/50/58/863275058.db2.gz KCCCPLZNYMBNKT-KBPBESRZSA-N 1 2 316.405 1.540 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncccn1 ZINC001157216880 863658275 /nfs/dbraw/zinc/65/82/75/863658275.db2.gz BECCALHWMAHKCK-KGLIPLIRSA-N 1 2 306.410 1.394 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncccn1 ZINC001157216880 863658282 /nfs/dbraw/zinc/65/82/82/863658282.db2.gz BECCALHWMAHKCK-KGLIPLIRSA-N 1 2 306.410 1.394 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)[N@H+](C)Cc1nc(C)c(C)o1 ZINC001329550117 863724294 /nfs/dbraw/zinc/72/42/94/863724294.db2.gz PAYCXEBLYLDJNA-GLQYFDAESA-N 1 2 321.421 1.819 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001329550117 863724299 /nfs/dbraw/zinc/72/42/99/863724299.db2.gz PAYCXEBLYLDJNA-GLQYFDAESA-N 1 2 321.421 1.819 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C2(CC)CCCC2)C1 ZINC001330062157 864056678 /nfs/dbraw/zinc/05/66/78/864056678.db2.gz WYAUYYOHYMVOCE-CQSZACIVSA-N 1 2 319.449 1.143 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)OCCCC)C2)C1 ZINC001330147202 864104574 /nfs/dbraw/zinc/10/45/74/864104574.db2.gz HBNVVLKZPSUFLA-WMZOPIPTSA-N 1 2 322.449 1.518 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)OCCCC)C2)C1 ZINC001330147202 864104579 /nfs/dbraw/zinc/10/45/79/864104579.db2.gz HBNVVLKZPSUFLA-WMZOPIPTSA-N 1 2 322.449 1.518 20 30 DDEDLO CCC[C@@H](C)CC(=O)N[C@H]1C[N@H+](CC(=O)NCC#N)CC1(C)C ZINC001330179384 864131456 /nfs/dbraw/zinc/13/14/56/864131456.db2.gz WPRBPAIUGUBHJJ-KGLIPLIRSA-N 1 2 322.453 1.279 20 30 DDEDLO CCC[C@@H](C)CC(=O)N[C@H]1C[N@@H+](CC(=O)NCC#N)CC1(C)C ZINC001330179384 864131459 /nfs/dbraw/zinc/13/14/59/864131459.db2.gz WPRBPAIUGUBHJJ-KGLIPLIRSA-N 1 2 322.453 1.279 20 30 DDEDLO N#Cc1ccc(-c2ccc(F)cc2)nc1-n1[nH]c(=O)nc1C[NH3+] ZINC001157933155 864281523 /nfs/dbraw/zinc/28/15/23/864281523.db2.gz KTUDDMCDWWJIFF-UHFFFAOYSA-N 1 2 310.292 1.504 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@H+](Cc2cc(C)on2)CC1 ZINC001159601229 865422338 /nfs/dbraw/zinc/42/23/38/865422338.db2.gz NWHRTBGVJJZOSQ-UHFFFAOYSA-N 1 2 319.405 1.824 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@@H+](Cc2cc(C)on2)CC1 ZINC001159601229 865422348 /nfs/dbraw/zinc/42/23/48/865422348.db2.gz NWHRTBGVJJZOSQ-UHFFFAOYSA-N 1 2 319.405 1.824 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCCNc1ncnc2[nH]c(C)cc21 ZINC001159921525 865598827 /nfs/dbraw/zinc/59/88/27/865598827.db2.gz YIGRPONXUWPZNR-ZDUSSCGKSA-N 1 2 317.393 1.905 20 30 DDEDLO CC(C)C#CC(=O)NCCC1=CC[N@H+](Cc2cn(C)nn2)CC1 ZINC001160055228 865658656 /nfs/dbraw/zinc/65/86/56/865658656.db2.gz VHXSZIWQJHNUJB-UHFFFAOYSA-N 1 2 315.421 1.113 20 30 DDEDLO CC(C)C#CC(=O)NCCC1=CC[N@@H+](Cc2cn(C)nn2)CC1 ZINC001160055228 865658661 /nfs/dbraw/zinc/65/86/61/865658661.db2.gz VHXSZIWQJHNUJB-UHFFFAOYSA-N 1 2 315.421 1.113 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H]([NH2+]Cc2nc(C)no2)C(C)(C)C1 ZINC001332371000 865794406 /nfs/dbraw/zinc/79/44/06/865794406.db2.gz BQFZMLUIKWSCCC-AWEZNQCLSA-N 1 2 318.421 1.898 20 30 DDEDLO N#CCN1CC=C(CCNC(=O)[C@H]2CCc3c[nH+]cn3C2)CC1 ZINC001160523923 865909424 /nfs/dbraw/zinc/90/94/24/865909424.db2.gz OROQZCPFHRGUBQ-HNNXBMFYSA-N 1 2 313.405 1.107 20 30 DDEDLO N#CCN1CC=C(CCNC(=O)[C@@H]2CCn3c[nH+]cc3C2)CC1 ZINC001160545997 865928125 /nfs/dbraw/zinc/92/81/25/865928125.db2.gz RGCKCGILEXEEKV-OAHLLOKOSA-N 1 2 313.405 1.107 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)/C=C/c1ccco1 ZINC001323179692 866407370 /nfs/dbraw/zinc/40/73/70/866407370.db2.gz VEZOGFCEAFBYNH-HYLRALAJSA-N 1 2 317.389 1.174 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)/C=C/c1ccco1 ZINC001323179692 866407375 /nfs/dbraw/zinc/40/73/75/866407375.db2.gz VEZOGFCEAFBYNH-HYLRALAJSA-N 1 2 317.389 1.174 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)Cc1n[nH]c2c1CCCC2 ZINC001323227134 866448554 /nfs/dbraw/zinc/44/85/54/866448554.db2.gz RPMBJZUCERUNLH-CYBMUJFWSA-N 1 2 300.406 1.045 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)Cc1n[nH]c2c1CCCC2 ZINC001323227134 866448567 /nfs/dbraw/zinc/44/85/67/866448567.db2.gz RPMBJZUCERUNLH-CYBMUJFWSA-N 1 2 300.406 1.045 20 30 DDEDLO C=CCOCC(=O)N(C)C1C[NH+](CCOc2cccc(F)c2)C1 ZINC001323898123 866934585 /nfs/dbraw/zinc/93/45/85/866934585.db2.gz IACXQGYIELUVHA-UHFFFAOYSA-N 1 2 322.380 1.550 20 30 DDEDLO C#CC[C@@H](NC(=O)C(=O)N1CC[NH+](CC)CC1)c1ccccc1 ZINC001324533453 867337694 /nfs/dbraw/zinc/33/76/94/867337694.db2.gz TYGXJXVOJWBIEF-MRXNPFEDSA-N 1 2 313.401 1.031 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H](C)N(C)C(=O)Cn1cc[nH+]c1 ZINC001334475691 867601573 /nfs/dbraw/zinc/60/15/73/867601573.db2.gz BJBUABSKQCKTGX-ZIAGYGMSSA-N 1 2 306.410 1.449 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC001325623439 868191863 /nfs/dbraw/zinc/19/18/63/868191863.db2.gz WFGHAIRVSUNUEQ-CQSZACIVSA-N 1 2 318.421 1.284 20 30 DDEDLO CN(CCC[NH2+]Cc1noc(C2CC2)n1)C(=O)C#CC1CC1 ZINC001322503626 868208965 /nfs/dbraw/zinc/20/89/65/868208965.db2.gz YAYLCBLAYZQSLK-UHFFFAOYSA-N 1 2 302.378 1.299 20 30 DDEDLO C=CCOCC(=O)NCCC1(C[NH2+][C@H](C)c2noc(C)n2)CC1 ZINC001163804300 868720864 /nfs/dbraw/zinc/72/08/64/868720864.db2.gz OQMBRPILOORNAS-GFCCVEGCSA-N 1 2 322.409 1.518 20 30 DDEDLO Cc1nc(C[NH+]2CCC(NC(=O)CSCC#N)CC2)oc1C ZINC001226144880 882230142 /nfs/dbraw/zinc/23/01/42/882230142.db2.gz KEQOARHNNGPFEU-UHFFFAOYSA-N 1 2 322.434 1.629 20 30 DDEDLO C=CCCC(=O)NC[C@H](C1CC1)N(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001336954702 869234114 /nfs/dbraw/zinc/23/41/14/869234114.db2.gz NMYFLKSMXUOEBS-CYBMUJFWSA-N 1 2 304.394 1.272 20 30 DDEDLO N#Cc1c[nH]c2cc[nH+]c(N3CCN(C(=O)C(F)(F)F)CC3)c12 ZINC001164599044 869316976 /nfs/dbraw/zinc/31/69/76/869316976.db2.gz PWRABHYOGKDOAH-UHFFFAOYSA-N 1 2 323.278 1.645 20 30 DDEDLO CCOC(=O)c1cc(Cl)nc(N2CC[NH2+]C[C@H]2C#N)c1C ZINC001164641193 869337827 /nfs/dbraw/zinc/33/78/27/869337827.db2.gz GWXJZCAMVQZRLM-SNVBAGLBSA-N 1 2 308.769 1.522 20 30 DDEDLO Cc1cc(=O)[nH]c(O[C@H]2CC[C@H]([NH+]3CCOCC3)CC2)c1C#N ZINC001226221545 882288329 /nfs/dbraw/zinc/28/83/29/882288329.db2.gz MJDRJEYUWPYFOF-HDJSIYSDSA-N 1 2 317.389 1.990 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001337256005 869403304 /nfs/dbraw/zinc/40/33/04/869403304.db2.gz DJUSHLXYZSHXBC-MGPQQGTHSA-N 1 2 316.405 1.280 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001337256005 869403312 /nfs/dbraw/zinc/40/33/12/869403312.db2.gz DJUSHLXYZSHXBC-MGPQQGTHSA-N 1 2 316.405 1.280 20 30 DDEDLO COCCOc1ccc(C#N)cc1NC(=O)[C@@H](C)n1cc[nH+]c1 ZINC001337699487 869599597 /nfs/dbraw/zinc/59/95/97/869599597.db2.gz AMGZDUKPOLKUOB-GFCCVEGCSA-N 1 2 314.345 1.980 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1C(=O)C#CC(C)(C)C ZINC001337938755 869701292 /nfs/dbraw/zinc/70/12/92/869701292.db2.gz NIJJFGSACUUTPM-KBPBESRZSA-N 1 2 316.405 1.038 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1C[C@@H](C)N(C(=O)C#CC(C)C)C1 ZINC001338088015 869792552 /nfs/dbraw/zinc/79/25/52/869792552.db2.gz GTCNHFUHYLAIEQ-TZMCWYRMSA-N 1 2 316.405 1.026 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)C2[C@H]3CCCCCC[C@H]23)CC1 ZINC001316968712 870012002 /nfs/dbraw/zinc/01/20/02/870012002.db2.gz QYCPGUYNQUBUSD-IRXDYDNUSA-N 1 2 317.477 1.570 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C1 ZINC001316976296 870038125 /nfs/dbraw/zinc/03/81/25/870038125.db2.gz LOWXQNIWCIEHLU-GDBMZVCRSA-N 1 2 307.369 1.238 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001316976296 870038137 /nfs/dbraw/zinc/03/81/37/870038137.db2.gz LOWXQNIWCIEHLU-GDBMZVCRSA-N 1 2 307.369 1.238 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001316982413 870059575 /nfs/dbraw/zinc/05/95/75/870059575.db2.gz VWEWDBBXLYGHJL-YHUYYLMFSA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001316982413 870059589 /nfs/dbraw/zinc/05/95/89/870059589.db2.gz VWEWDBBXLYGHJL-YHUYYLMFSA-N 1 2 319.449 1.305 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)/C=C/c1ccco1 ZINC001317042246 870154831 /nfs/dbraw/zinc/15/48/31/870154831.db2.gz HKCGKBVZKKBJIZ-KZQNYRHTSA-N 1 2 314.385 1.618 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)/C=C/c1ccco1 ZINC001317042246 870154837 /nfs/dbraw/zinc/15/48/37/870154837.db2.gz HKCGKBVZKKBJIZ-KZQNYRHTSA-N 1 2 314.385 1.618 20 30 DDEDLO C=CCN(c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC)C1CC1 ZINC001339352318 870456616 /nfs/dbraw/zinc/45/66/16/870456616.db2.gz APXACSGJRLEIOO-CZUORRHYSA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCN(c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC)C1CC1 ZINC001339352318 870456622 /nfs/dbraw/zinc/45/66/22/870456622.db2.gz APXACSGJRLEIOO-CZUORRHYSA-N 1 2 305.426 1.364 20 30 DDEDLO O=C(C#CC1CC1)NC/C=C\CNC(=O)c1cccc2[nH+]ccn21 ZINC001298394563 870527148 /nfs/dbraw/zinc/52/71/48/870527148.db2.gz RQKDKEOFSUNWHP-UPHRSURJSA-N 1 2 322.368 1.150 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001317311506 870621910 /nfs/dbraw/zinc/62/19/10/870621910.db2.gz TZBSTKCNGPFYBH-ZDUSSCGKSA-N 1 2 322.409 1.476 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](NC(=O)Cn2cc[nH+]c2)CC[C@H]1C ZINC001339700502 870642726 /nfs/dbraw/zinc/64/27/26/870642726.db2.gz XABCMEWAJHDLGH-CABCVRRESA-N 1 2 318.421 1.735 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@@H+](C)CC(=O)N[C@H](C)CCC(C)C ZINC001317445108 870849586 /nfs/dbraw/zinc/84/95/86/870849586.db2.gz XNPIBJGHMKJTSF-MRXNPFEDSA-N 1 2 323.481 1.635 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@H+](C)CC(=O)N[C@H](C)CCC(C)C ZINC001317445108 870849596 /nfs/dbraw/zinc/84/95/96/870849596.db2.gz XNPIBJGHMKJTSF-MRXNPFEDSA-N 1 2 323.481 1.635 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC(C)(C)C ZINC001317499877 870928477 /nfs/dbraw/zinc/92/84/77/870928477.db2.gz QXUXDSSCSSXZFE-HNNXBMFYSA-N 1 2 321.465 1.389 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC(C)(C)C ZINC001317499877 870928485 /nfs/dbraw/zinc/92/84/85/870928485.db2.gz QXUXDSSCSSXZFE-HNNXBMFYSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCCCC(=O)NCC1(NC(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001299152605 870936183 /nfs/dbraw/zinc/93/61/83/870936183.db2.gz NYVGKTQPXHQOHQ-UHFFFAOYSA-N 1 2 324.384 1.745 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[N@@H+](C)CC(=O)NC1CCCC1 ZINC001317459029 871349737 /nfs/dbraw/zinc/34/97/37/871349737.db2.gz MZQHAFFGVBLUBS-UHFFFAOYSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[N@H+](C)CC(=O)NC1CCCC1 ZINC001317459029 871349754 /nfs/dbraw/zinc/34/97/54/871349754.db2.gz MZQHAFFGVBLUBS-UHFFFAOYSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(CC)CC ZINC001317741258 871457851 /nfs/dbraw/zinc/45/78/51/871457851.db2.gz YKNQKZBPDJVDCP-IYBDPMFKSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(CC)CC ZINC001317741258 871457862 /nfs/dbraw/zinc/45/78/62/871457862.db2.gz YKNQKZBPDJVDCP-IYBDPMFKSA-N 1 2 321.465 1.886 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)[C@@H](C)n2cccc2)CC1 ZINC001317816429 871539629 /nfs/dbraw/zinc/53/96/29/871539629.db2.gz FGXGBZAKKGYOTR-QGZVFWFLSA-N 1 2 316.449 1.148 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)[C@H](C)n2cccc2)CC1 ZINC001317816428 871541427 /nfs/dbraw/zinc/54/14/27/871541427.db2.gz FGXGBZAKKGYOTR-KRWDZBQOSA-N 1 2 316.449 1.148 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@@H]2CCCC23CC3)CC1 ZINC001317818655 871543750 /nfs/dbraw/zinc/54/37/50/871543750.db2.gz FZGIVFDLEGINHJ-INIZCTEOSA-N 1 2 305.466 1.829 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC[C@H]3CCCO3)n2CC)CC1 ZINC001341185922 871545611 /nfs/dbraw/zinc/54/56/11/871545611.db2.gz GMNJDIBHOXCAMP-OAHLLOKOSA-N 1 2 317.437 1.165 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1C ZINC001341296944 871595091 /nfs/dbraw/zinc/59/50/91/871595091.db2.gz JGLWOYGTELEVOZ-YOEHRIQHSA-N 1 2 321.469 1.909 20 30 DDEDLO C=CCCCCCN(C)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1C ZINC001341296944 871595105 /nfs/dbraw/zinc/59/51/05/871595105.db2.gz JGLWOYGTELEVOZ-YOEHRIQHSA-N 1 2 321.469 1.909 20 30 DDEDLO CC#CCCCC(=O)NC1C[NH+](CCOC[C@H]2CCCO2)C1 ZINC001318055435 871715686 /nfs/dbraw/zinc/71/56/86/871715686.db2.gz CSZQTNSIMWATGE-MRXNPFEDSA-N 1 2 308.422 1.176 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)CN(C(C)=O)c2ccccc2)C1 ZINC001318089319 871737720 /nfs/dbraw/zinc/73/77/20/871737720.db2.gz MZYZRSVYGIEZGV-UHFFFAOYSA-N 1 2 301.390 1.416 20 30 DDEDLO CC(C)C#CC(=O)NCC1C[NH+](Cc2cccc([N+](=O)[O-])c2)C1 ZINC001318118872 871758390 /nfs/dbraw/zinc/75/83/90/871758390.db2.gz FQPOLYALHZMIRE-UHFFFAOYSA-N 1 2 315.373 1.802 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C(=O)N2CCC(C)CC2)C1 ZINC001318159502 871784393 /nfs/dbraw/zinc/78/43/93/871784393.db2.gz SQNJMGFOPLWRKY-UHFFFAOYSA-N 1 2 313.829 1.045 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001318253605 871880359 /nfs/dbraw/zinc/88/03/59/871880359.db2.gz UPPUYEODUHQXED-AWEZNQCLSA-N 1 2 300.406 1.525 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001318253605 871880382 /nfs/dbraw/zinc/88/03/82/871880382.db2.gz UPPUYEODUHQXED-AWEZNQCLSA-N 1 2 300.406 1.525 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001318330874 871939097 /nfs/dbraw/zinc/93/90/97/871939097.db2.gz HTUHTUZRKCDPNF-OAHLLOKOSA-N 1 2 307.438 1.141 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001318330874 871939105 /nfs/dbraw/zinc/93/91/05/871939105.db2.gz HTUHTUZRKCDPNF-OAHLLOKOSA-N 1 2 307.438 1.141 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234426743 887960730 /nfs/dbraw/zinc/96/07/30/887960730.db2.gz YEOMYMRWYDJTGP-MNOVXSKESA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234426743 887960738 /nfs/dbraw/zinc/96/07/38/887960738.db2.gz YEOMYMRWYDJTGP-MNOVXSKESA-N 1 2 312.307 1.622 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCC(CO)CC2)nnc1N(CC)CC ZINC001342130683 872023171 /nfs/dbraw/zinc/02/31/71/872023171.db2.gz YGBSFGUGEFVXPC-UHFFFAOYSA-N 1 2 321.469 1.905 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C[NH+]2CCC(CO)CC2)n1CC=C ZINC001342150197 872037297 /nfs/dbraw/zinc/03/72/97/872037297.db2.gz PJAIXJHHOHODRN-AWEZNQCLSA-N 1 2 317.437 1.126 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[N@@H+](C)Cc1cnns1 ZINC001316849714 872164757 /nfs/dbraw/zinc/16/47/57/872164757.db2.gz NTMVCPIVAVNZRL-OLZOCXBDSA-N 1 2 310.423 1.067 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[N@H+](C)Cc1cnns1 ZINC001316849714 872164763 /nfs/dbraw/zinc/16/47/63/872164763.db2.gz NTMVCPIVAVNZRL-OLZOCXBDSA-N 1 2 310.423 1.067 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cn(CC3CC3)nn2)C[C@H]1C ZINC001206490824 872376121 /nfs/dbraw/zinc/37/61/21/872376121.db2.gz UMWRCUMAUVGZGX-CZUORRHYSA-N 1 2 317.437 1.591 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cn(CC3CC3)nn2)C[C@H]1C ZINC001206490824 872376135 /nfs/dbraw/zinc/37/61/35/872376135.db2.gz UMWRCUMAUVGZGX-CZUORRHYSA-N 1 2 317.437 1.591 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nc(C)no2)C[C@H]1C ZINC001206586124 872451829 /nfs/dbraw/zinc/45/18/29/872451829.db2.gz OPYCGDGNKGYIEL-NQBHXWOUSA-N 1 2 308.382 1.078 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nc(C)no2)C[C@H]1C ZINC001206586124 872451837 /nfs/dbraw/zinc/45/18/37/872451837.db2.gz OPYCGDGNKGYIEL-NQBHXWOUSA-N 1 2 308.382 1.078 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](C)c2ccco2)C1 ZINC001319323000 872575000 /nfs/dbraw/zinc/57/50/00/872575000.db2.gz SLYIRVPPNQGTOO-GJZGRUSLSA-N 1 2 322.405 1.403 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@@H](C)c2ccco2)C1 ZINC001319323000 872575010 /nfs/dbraw/zinc/57/50/10/872575010.db2.gz SLYIRVPPNQGTOO-GJZGRUSLSA-N 1 2 322.405 1.403 20 30 DDEDLO CCOC[C@@H]1C[N@H+](Cc2cc(C#N)n(C)c2)Cc2ncn(C)c21 ZINC001207104392 873019645 /nfs/dbraw/zinc/01/96/45/873019645.db2.gz RPFOQXAECCAJSC-AWEZNQCLSA-N 1 2 313.405 1.766 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](Cc2cc(C#N)n(C)c2)Cc2ncn(C)c21 ZINC001207104392 873019663 /nfs/dbraw/zinc/01/96/63/873019663.db2.gz RPFOQXAECCAJSC-AWEZNQCLSA-N 1 2 313.405 1.766 20 30 DDEDLO COc1cccc(N2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)n1 ZINC001207109918 873028337 /nfs/dbraw/zinc/02/83/37/873028337.db2.gz YJWFPRUMFYROSD-UHFFFAOYSA-N 1 2 311.389 1.623 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc([C@@H](C)OC)no2)C1 ZINC001207636346 873431915 /nfs/dbraw/zinc/43/19/15/873431915.db2.gz SZHLIBHBCBYORR-CHWSQXEVSA-N 1 2 322.409 1.824 20 30 DDEDLO N#CCCC[C@H]([NH3+])c1nc(CS(=O)(=O)c2ccccc2)no1 ZINC001345668342 873470579 /nfs/dbraw/zinc/47/05/79/873470579.db2.gz FJPIKHZERGWFLQ-LBPRGKRZSA-N 1 2 320.374 1.737 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1C[C@@H]1CCCCO1 ZINC001207957902 873689900 /nfs/dbraw/zinc/68/99/00/873689900.db2.gz AQAFUSKJWHRYLK-DZGCQCFKSA-N 1 2 306.410 1.486 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1C[C@@H]1CCCCO1 ZINC001207957902 873689907 /nfs/dbraw/zinc/68/99/07/873689907.db2.gz AQAFUSKJWHRYLK-DZGCQCFKSA-N 1 2 306.410 1.486 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@H]2CCCN(C(=O)C#CC(C)C)C2)n1 ZINC001207992032 873719939 /nfs/dbraw/zinc/71/99/39/873719939.db2.gz CZLUCMIGAALKKO-OCCSQVGLSA-N 1 2 304.394 1.679 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1C[N@H+](Cc2ccon2)C[C@H]1C ZINC001208128906 873867052 /nfs/dbraw/zinc/86/70/52/873867052.db2.gz KYWOYGQGFDFWAN-FRFSOERESA-N 1 2 321.421 1.840 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@@H]1C[N@@H+](Cc2ccon2)C[C@H]1C ZINC001208128906 873867061 /nfs/dbraw/zinc/86/70/61/873867061.db2.gz KYWOYGQGFDFWAN-FRFSOERESA-N 1 2 321.421 1.840 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CCC[C@H](NCC#N)C1)n1cc[nH+]c1 ZINC001208386341 874093726 /nfs/dbraw/zinc/09/37/26/874093726.db2.gz BLWQQVCSDDSSNV-GJZGRUSLSA-N 1 2 303.410 1.574 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+](CCCc2ccc(C#N)cc2)CC1 ZINC001208863066 874491943 /nfs/dbraw/zinc/49/19/43/874491943.db2.gz VFVMMSXDBJJEAR-UHFFFAOYSA-N 1 2 321.446 1.458 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)CC1(C)C ZINC001276602055 874545721 /nfs/dbraw/zinc/54/57/21/874545721.db2.gz WJXFSCJTIQYTTD-UONOGXRCSA-N 1 2 320.437 1.055 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)CC1(C)C ZINC001276602055 874545726 /nfs/dbraw/zinc/54/57/26/874545726.db2.gz WJXFSCJTIQYTTD-UONOGXRCSA-N 1 2 320.437 1.055 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)C#CC2CC2)CC1 ZINC001227233371 882924521 /nfs/dbraw/zinc/92/45/21/882924521.db2.gz TXMSFRRMTJAFIA-UHFFFAOYSA-N 1 2 311.223 1.889 20 30 DDEDLO N#Cc1ccc2c(c1)[C@H]([NH2+]Cc1nnc3c(=O)[nH]ccn13)CC2 ZINC001350085072 875530642 /nfs/dbraw/zinc/53/06/42/875530642.db2.gz KBBYEMGOZVDMFI-CYBMUJFWSA-N 1 2 306.329 1.066 20 30 DDEDLO COc1cc(C[NH+]2CCC(NC(=O)C#CC(C)C)CC2)on1 ZINC001227265832 882943657 /nfs/dbraw/zinc/94/36/57/882943657.db2.gz QUHXXJPXRIXCNX-UHFFFAOYSA-N 1 2 305.378 1.423 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001211354942 875766583 /nfs/dbraw/zinc/76/65/83/875766583.db2.gz JBHRSKYYZCJOFQ-GFCCVEGCSA-N 1 2 310.423 1.211 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2snnc2C)C[C@H]1C ZINC001211420490 875799550 /nfs/dbraw/zinc/79/95/50/875799550.db2.gz HVALNENRVJSVCI-DGCLKSJQSA-N 1 2 324.450 1.376 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2snnc2C)C[C@H]1C ZINC001211420490 875799552 /nfs/dbraw/zinc/79/95/52/875799552.db2.gz HVALNENRVJSVCI-DGCLKSJQSA-N 1 2 324.450 1.376 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+]([C@H](C)c2ncccn2)CC1 ZINC001227322770 882969676 /nfs/dbraw/zinc/96/96/76/882969676.db2.gz BYLHTQDFFULEJP-CQSZACIVSA-N 1 2 316.405 1.158 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001211425214 875801575 /nfs/dbraw/zinc/80/15/75/875801575.db2.gz IYUWSPZRGBCRQB-MRVWCRGKSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001211425214 875801581 /nfs/dbraw/zinc/80/15/81/875801581.db2.gz IYUWSPZRGBCRQB-MRVWCRGKSA-N 1 2 307.394 1.511 20 30 DDEDLO CCCCC1(C(=O)N[C@@H]2C[N@H+](CC#CCOC)C[C@H]2OC)CC1 ZINC001213385248 875915228 /nfs/dbraw/zinc/91/52/28/875915228.db2.gz IGAUAOTVIMHOQT-HZPDHXFCSA-N 1 2 322.449 1.422 20 30 DDEDLO CCCCC1(C(=O)N[C@@H]2C[N@@H+](CC#CCOC)C[C@H]2OC)CC1 ZINC001213385248 875915235 /nfs/dbraw/zinc/91/52/35/875915235.db2.gz IGAUAOTVIMHOQT-HZPDHXFCSA-N 1 2 322.449 1.422 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)COc2cccc(Cl)c2)[C@H](OC)C1 ZINC001213827312 876071311 /nfs/dbraw/zinc/07/13/11/876071311.db2.gz JZAJRRHIVDNRPE-HUUCEWRRSA-N 1 2 322.792 1.167 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)COc2cccc(Cl)c2)[C@H](OC)C1 ZINC001213827312 876071325 /nfs/dbraw/zinc/07/13/25/876071325.db2.gz JZAJRRHIVDNRPE-HUUCEWRRSA-N 1 2 322.792 1.167 20 30 DDEDLO C[C@H](C[NH2+]Cc1nnc(C(F)(F)F)s1)NC(=O)[C@@H](C)C#N ZINC001379340577 876864746 /nfs/dbraw/zinc/86/47/46/876864746.db2.gz ABPJCILBHIYFNB-NKWVEPMBSA-N 1 2 321.328 1.311 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001353463443 877350088 /nfs/dbraw/zinc/35/00/88/877350088.db2.gz SBJQDBQBLKCJDY-ZDUSSCGKSA-N 1 2 312.373 1.860 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001353803739 877576698 /nfs/dbraw/zinc/57/66/98/877576698.db2.gz WOKNZNVNFZROTG-OKILXGFUSA-N 1 2 318.421 1.639 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@@H]1O ZINC001219708035 878467481 /nfs/dbraw/zinc/46/74/81/878467481.db2.gz MQBHMJPXTALQEH-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@@H]1O ZINC001219708035 878467494 /nfs/dbraw/zinc/46/74/94/878467494.db2.gz MQBHMJPXTALQEH-CABCVRRESA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cc(C3CC3)no2)C[C@@H]1O ZINC001219718629 878477383 /nfs/dbraw/zinc/47/73/83/878477383.db2.gz LJKBTIBGFBKMEP-CVEARBPZSA-N 1 2 319.405 1.570 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C3CC3)no2)C[C@@H]1O ZINC001219718629 878477395 /nfs/dbraw/zinc/47/73/95/878477395.db2.gz LJKBTIBGFBKMEP-CVEARBPZSA-N 1 2 319.405 1.570 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220133676 878722267 /nfs/dbraw/zinc/72/22/67/878722267.db2.gz KLGPVMFLGVTJSP-KGLIPLIRSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220133676 878722283 /nfs/dbraw/zinc/72/22/83/878722283.db2.gz KLGPVMFLGVTJSP-KGLIPLIRSA-N 1 2 321.421 1.555 20 30 DDEDLO Cc1nnc(C[NH+]2CCC([C@@H](C)NC(=O)[C@@H](C)C#N)CC2)o1 ZINC001380123440 878845524 /nfs/dbraw/zinc/84/55/24/878845524.db2.gz TYRIMBODDDQDBN-WDEREUQCSA-N 1 2 305.382 1.254 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220293816 878895583 /nfs/dbraw/zinc/89/55/83/878895583.db2.gz VWAVVWURRHMWJT-DVOMOZLQSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220293816 878895600 /nfs/dbraw/zinc/89/56/00/878895600.db2.gz VWAVVWURRHMWJT-DVOMOZLQSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]2CNC(=O)Cn2cc[nH+]c2)C1 ZINC001356353677 879010978 /nfs/dbraw/zinc/01/09/78/879010978.db2.gz ZJMGMHZFZSFAFG-CQSZACIVSA-N 1 2 316.405 1.347 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](CCN(C)C(=O)CCC)CC1 ZINC001356698446 879200789 /nfs/dbraw/zinc/20/07/89/879200789.db2.gz WWACGBFHPDRBEF-UHFFFAOYSA-N 1 2 321.465 1.583 20 30 DDEDLO C#CC[C@H](CC(=O)N[C@@H]1C[N@@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221182140 879553666 /nfs/dbraw/zinc/55/36/66/879553666.db2.gz IQMRWQFXVXVGFE-ZACQAIPSSA-N 1 2 318.392 1.314 20 30 DDEDLO C#CC[C@H](CC(=O)N[C@@H]1C[N@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221182140 879553681 /nfs/dbraw/zinc/55/36/81/879553681.db2.gz IQMRWQFXVXVGFE-ZACQAIPSSA-N 1 2 318.392 1.314 20 30 DDEDLO C=CCOCCCNC(=O)N1CCC(c2c[nH+]cn2C)CC1 ZINC001357601311 880082475 /nfs/dbraw/zinc/08/24/75/880082475.db2.gz XTQJCPVWOLVOIJ-UHFFFAOYSA-N 1 2 306.410 1.902 20 30 DDEDLO CCc1ncoc1C(=O)N1C[C@H]2CC[N@H+](CC#CCOC)C[C@H]21 ZINC001221802278 880098209 /nfs/dbraw/zinc/09/82/09/880098209.db2.gz BZCBWZQAFVMXDZ-UKRRQHHQSA-N 1 2 317.389 1.033 20 30 DDEDLO CCc1ncoc1C(=O)N1C[C@H]2CC[N@@H+](CC#CCOC)C[C@H]21 ZINC001221802278 880098223 /nfs/dbraw/zinc/09/82/23/880098223.db2.gz BZCBWZQAFVMXDZ-UKRRQHHQSA-N 1 2 317.389 1.033 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)[C@H]1C ZINC001287885455 912547728 /nfs/dbraw/zinc/54/77/28/912547728.db2.gz FPOQKHTYKWCTIO-JSGCOSHPSA-N 1 2 304.394 1.034 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001358198430 880365736 /nfs/dbraw/zinc/36/57/36/880365736.db2.gz UGUWIMQRGHQOAB-ZIAGYGMSSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@@H+](Cc3coc(C)n3)[C@H]2C1 ZINC001222452475 880479542 /nfs/dbraw/zinc/47/95/42/880479542.db2.gz LJQDTBVKEWYSGA-ZBFHGGJFSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[N@H+](Cc3coc(C)n3)[C@H]2C1 ZINC001222452475 880479546 /nfs/dbraw/zinc/47/95/46/880479546.db2.gz LJQDTBVKEWYSGA-ZBFHGGJFSA-N 1 2 319.405 1.608 20 30 DDEDLO COC(=O)[C@@]1(C(F)(F)F)CC[N@H+](Cc2cc(C#N)ccn2)C1 ZINC001413990809 880510393 /nfs/dbraw/zinc/51/03/93/880510393.db2.gz HLHJGTQFUZJUSJ-CYBMUJFWSA-N 1 2 313.279 1.881 20 30 DDEDLO COC(=O)[C@@]1(C(F)(F)F)CC[N@@H+](Cc2cc(C#N)ccn2)C1 ZINC001413990809 880510400 /nfs/dbraw/zinc/51/04/00/880510400.db2.gz HLHJGTQFUZJUSJ-CYBMUJFWSA-N 1 2 313.279 1.881 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)C#N)N(C)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001380888734 880611709 /nfs/dbraw/zinc/61/17/09/880611709.db2.gz KWTWRUKZWHSOOQ-WDEREUQCSA-N 1 2 313.361 1.299 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)CCCn1cc[nH+]c1 ZINC001358617976 880630321 /nfs/dbraw/zinc/63/03/21/880630321.db2.gz BNBRUHOUAXHAOD-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO Cc1nnc(C[N@@H+](C)CCCN(C)C(=O)c2cc(C#N)c[nH]2)o1 ZINC001380947124 880718058 /nfs/dbraw/zinc/71/80/58/880718058.db2.gz BFKONKDUMNTTHB-UHFFFAOYSA-N 1 2 316.365 1.172 20 30 DDEDLO Cc1nnc(C[N@H+](C)CCCN(C)C(=O)c2cc(C#N)c[nH]2)o1 ZINC001380947124 880718069 /nfs/dbraw/zinc/71/80/69/880718069.db2.gz BFKONKDUMNTTHB-UHFFFAOYSA-N 1 2 316.365 1.172 20 30 DDEDLO Cc1ccccc1C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)C#N)CO2 ZINC001381011587 880855994 /nfs/dbraw/zinc/85/59/94/880855994.db2.gz ZALSZHCTJYDIQR-GOEBONIOSA-N 1 2 313.401 1.614 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001276910216 881051569 /nfs/dbraw/zinc/05/15/69/881051569.db2.gz WZJWSFLVVVSQQQ-KGLIPLIRSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1CC[N@H+]1CC(=O)NC(C)(C)C ZINC001276910216 881051589 /nfs/dbraw/zinc/05/15/89/881051589.db2.gz WZJWSFLVVVSQQQ-KGLIPLIRSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC1(CC(=O)NC[C@@H]2CC[N@@H+]2[C@H]2CCNC2=O)CCCCC1 ZINC001276939327 881183823 /nfs/dbraw/zinc/18/38/23/881183823.db2.gz DWOGKXBCDPTVED-GJZGRUSLSA-N 1 2 319.449 1.592 20 30 DDEDLO C=CC1(CC(=O)NC[C@@H]2CC[N@H+]2[C@H]2CCNC2=O)CCCCC1 ZINC001276939327 881183827 /nfs/dbraw/zinc/18/38/27/881183827.db2.gz DWOGKXBCDPTVED-GJZGRUSLSA-N 1 2 319.449 1.592 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001288004647 912657788 /nfs/dbraw/zinc/65/77/88/912657788.db2.gz CMDATAKBJICAKZ-KGLIPLIRSA-N 1 2 316.405 1.107 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288029867 912676390 /nfs/dbraw/zinc/67/63/90/912676390.db2.gz RLLASLRCXQUWOF-OLZOCXBDSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1nnn(C)n1 ZINC001228270054 883412505 /nfs/dbraw/zinc/41/25/05/883412505.db2.gz LWFBFVLCHCVBEY-CHWSQXEVSA-N 1 2 306.414 1.083 20 30 DDEDLO COCC#CC[N@H+](C)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001277397372 884037802 /nfs/dbraw/zinc/03/78/02/884037802.db2.gz ICEZWHANWMNYLU-LBPRGKRZSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001277397372 884037819 /nfs/dbraw/zinc/03/78/19/884037819.db2.gz ICEZWHANWMNYLU-LBPRGKRZSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@H+](C)C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001277397372 884037838 /nfs/dbraw/zinc/03/78/38/884037838.db2.gz ICEZWHANWMNYLU-LBPRGKRZSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001277397372 884037849 /nfs/dbraw/zinc/03/78/49/884037849.db2.gz ICEZWHANWMNYLU-LBPRGKRZSA-N 1 2 324.318 1.459 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](NC(=O)Cc1c[nH]c[nH+]1)C(C)(C)C ZINC001288238140 912789998 /nfs/dbraw/zinc/78/99/98/912789998.db2.gz HDUNMVIVXUBJQB-ZDUSSCGKSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2ncc(C)cn2)CC1 ZINC001230407522 884474809 /nfs/dbraw/zinc/47/48/09/884474809.db2.gz SOOBFFQQJGWQEA-AWEZNQCLSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2cc(C)on2)CC1 ZINC001230407560 884476045 /nfs/dbraw/zinc/47/60/45/884476045.db2.gz UDWOHFIRXQLKAM-CYBMUJFWSA-N 1 2 307.394 1.655 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1CC1 ZINC001230532093 884545397 /nfs/dbraw/zinc/54/53/97/884545397.db2.gz DBDQAFLSSVZPHW-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1CC1 ZINC001230532093 884545416 /nfs/dbraw/zinc/54/54/16/884545416.db2.gz DBDQAFLSSVZPHW-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)COCC(F)F)CC1 ZINC001230580515 884613740 /nfs/dbraw/zinc/61/37/40/884613740.db2.gz QCXVJCGGORHBIW-CYBMUJFWSA-N 1 2 315.364 1.495 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)COCC(F)F)CC1 ZINC001230580515 884613751 /nfs/dbraw/zinc/61/37/51/884613751.db2.gz QCXVJCGGORHBIW-CYBMUJFWSA-N 1 2 315.364 1.495 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1F ZINC001230621467 884663047 /nfs/dbraw/zinc/66/30/47/884663047.db2.gz OQKXDEJHPBNHAD-CQSZACIVSA-N 1 2 304.365 1.622 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1F ZINC001230621467 884663051 /nfs/dbraw/zinc/66/30/51/884663051.db2.gz OQKXDEJHPBNHAD-CQSZACIVSA-N 1 2 304.365 1.622 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H](NC(=O)Cn2cc[nH+]c2)CC[C@H]1C ZINC001288473838 912920356 /nfs/dbraw/zinc/92/03/56/912920356.db2.gz YUWVVWGRYLJNIR-CABCVRRESA-N 1 2 316.405 1.038 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnccc1C ZINC001231359057 885546683 /nfs/dbraw/zinc/54/66/83/885546683.db2.gz KEUYSDSJVWTKJQ-HNNXBMFYSA-N 1 2 301.390 1.186 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnccc1C ZINC001231359057 885546693 /nfs/dbraw/zinc/54/66/93/885546693.db2.gz KEUYSDSJVWTKJQ-HNNXBMFYSA-N 1 2 301.390 1.186 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@H](CNC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001383504877 885910335 /nfs/dbraw/zinc/91/03/35/885910335.db2.gz ZKIIRCJNIGEEOO-AAVRWANBSA-N 1 2 317.393 1.005 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C(=O)OC)[nH]2)C1 ZINC001232079202 886077777 /nfs/dbraw/zinc/07/77/77/886077777.db2.gz NSCGYFDDGAHFHF-GFCCVEGCSA-N 1 2 321.377 1.678 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C(=O)OC)[nH]2)C1 ZINC001232079202 886077802 /nfs/dbraw/zinc/07/78/02/886077802.db2.gz NSCGYFDDGAHFHF-GFCCVEGCSA-N 1 2 321.377 1.678 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2ccc(C#N)c(Cl)c2)CCCO1 ZINC001232117654 886105789 /nfs/dbraw/zinc/10/57/89/886105789.db2.gz CWLRWUHQJHUTBS-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2ccc(C#N)c(Cl)c2)CCCO1 ZINC001232117654 886105798 /nfs/dbraw/zinc/10/57/98/886105798.db2.gz CWLRWUHQJHUTBS-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO CC1(C)CN(Cc2ccc(Cl)cc2C#N)CC[N@@H+]1CC(N)=O ZINC001232609110 886495765 /nfs/dbraw/zinc/49/57/65/886495765.db2.gz LTJZKIDGOXLWAJ-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO CC1(C)CN(Cc2ccc(Cl)cc2C#N)CC[N@H+]1CC(N)=O ZINC001232609110 886495774 /nfs/dbraw/zinc/49/57/74/886495774.db2.gz LTJZKIDGOXLWAJ-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO COC(=O)[C@H]1COCCC12C[NH+](Cc1cc(C#N)ccc1O)C2 ZINC001232678856 886532418 /nfs/dbraw/zinc/53/24/18/886532418.db2.gz RKTQDPOWAGLHQB-CQSZACIVSA-N 1 2 316.357 1.275 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H](CC)CCC)CC2)C1 ZINC001281586673 886621141 /nfs/dbraw/zinc/62/11/41/886621141.db2.gz LKSNVQWRIOCNTC-INIZCTEOSA-N 1 2 305.466 1.664 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186851 887727406 /nfs/dbraw/zinc/72/74/06/887727406.db2.gz OSTLCCBYGNOOKE-GDBMZVCRSA-N 1 2 319.449 1.710 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186851 887727416 /nfs/dbraw/zinc/72/74/16/887727416.db2.gz OSTLCCBYGNOOKE-GDBMZVCRSA-N 1 2 319.449 1.710 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196818 887738059 /nfs/dbraw/zinc/73/80/59/887738059.db2.gz VUUYBIVFUNHLGP-GOEBONIOSA-N 1 2 319.449 1.093 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196818 887738065 /nfs/dbraw/zinc/73/80/65/887738065.db2.gz VUUYBIVFUNHLGP-GOEBONIOSA-N 1 2 319.449 1.093 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cncs1 ZINC001234266408 887805662 /nfs/dbraw/zinc/80/56/62/887805662.db2.gz PUQDZJXRILUCMB-QWHCGFSZSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cncs1 ZINC001234266408 887805670 /nfs/dbraw/zinc/80/56/70/887805670.db2.gz PUQDZJXRILUCMB-QWHCGFSZSA-N 1 2 307.419 1.214 20 30 DDEDLO C[C@H](C#N)C[N@H+](C)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001364334477 888405403 /nfs/dbraw/zinc/40/54/03/888405403.db2.gz UIFXKFALGNLTNV-FVQBIDKESA-N 1 2 317.389 1.460 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001364334477 888405415 /nfs/dbraw/zinc/40/54/15/888405415.db2.gz UIFXKFALGNLTNV-FVQBIDKESA-N 1 2 317.389 1.460 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@@H]2CCN2Cc2c[nH+]cn2C)CCC1 ZINC001235208480 888475245 /nfs/dbraw/zinc/47/52/45/888475245.db2.gz BVDVYQLEKMPILL-HNNXBMFYSA-N 1 2 314.433 1.646 20 30 DDEDLO N#Cc1cccc(C[NH2+]Cc2cnc(Br)cn2)n1 ZINC001364703805 889235040 /nfs/dbraw/zinc/23/50/40/889235040.db2.gz AGDIEOBBBIKIKY-UHFFFAOYSA-N 1 2 304.151 1.796 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCC[C@@](C#N)(c3ccccn3)C2)CC1 ZINC001364777086 889395576 /nfs/dbraw/zinc/39/55/76/889395576.db2.gz RKMLAPPGNZUFCJ-QAPCUYQASA-N 1 2 310.401 1.994 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCC[C@@](C#N)(c3ccccn3)C2)CC1 ZINC001364777086 889395583 /nfs/dbraw/zinc/39/55/83/889395583.db2.gz RKMLAPPGNZUFCJ-QAPCUYQASA-N 1 2 310.401 1.994 20 30 DDEDLO COCC#CC[NH+]1CCC(C)(NC(=O)c2[nH]nc(C)c2C)CC1 ZINC001278039382 889462312 /nfs/dbraw/zinc/46/23/12/889462312.db2.gz NTEVEJQAXLOOCH-UHFFFAOYSA-N 1 2 318.421 1.261 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1CC ZINC001290465015 913435266 /nfs/dbraw/zinc/43/52/66/913435266.db2.gz KJYLCQMQDXXXCH-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H](CNCC#N)C1CCCC1 ZINC001278275777 890332364 /nfs/dbraw/zinc/33/23/64/890332364.db2.gz RWJAHXMRHXLKET-SWLSCSKDSA-N 1 2 303.410 1.376 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H](CNCC#N)C1CCCC1 ZINC001278275777 890332382 /nfs/dbraw/zinc/33/23/82/890332382.db2.gz RWJAHXMRHXLKET-SWLSCSKDSA-N 1 2 303.410 1.376 20 30 DDEDLO CC#CCCCC(=O)N[C@]1(C)CC[N@H+](Cc2ccn(C)n2)C1 ZINC001278414570 892233742 /nfs/dbraw/zinc/23/37/42/892233742.db2.gz RZMNRKMLQYXLFC-QGZVFWFLSA-N 1 2 302.422 1.694 20 30 DDEDLO CC#CCCCC(=O)N[C@]1(C)CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001278414570 892233757 /nfs/dbraw/zinc/23/37/57/892233757.db2.gz RZMNRKMLQYXLFC-QGZVFWFLSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2ccn(C)n2)[C@@H]1C ZINC001278504292 893606399 /nfs/dbraw/zinc/60/63/99/893606399.db2.gz CLODMTDZLOSXAA-ZBFHGGJFSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2ccn(C)n2)[C@@H]1C ZINC001278504292 893606406 /nfs/dbraw/zinc/60/64/06/893606406.db2.gz CLODMTDZLOSXAA-ZBFHGGJFSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@H+](Cc2ccon2)[C@@H]1C ZINC001278511480 893783324 /nfs/dbraw/zinc/78/33/24/893783324.db2.gz VUIPJOSOCGNBRF-HIFRSBDPSA-N 1 2 305.378 1.184 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@@H+](Cc2ccon2)[C@@H]1C ZINC001278511480 893783342 /nfs/dbraw/zinc/78/33/42/893783342.db2.gz VUIPJOSOCGNBRF-HIFRSBDPSA-N 1 2 305.378 1.184 20 30 DDEDLO C[C@@H]1C[C@H](C(=O)NCC[N@H+](C)Cc2ccc(C#N)cc2F)CO1 ZINC001366544402 893957685 /nfs/dbraw/zinc/95/76/85/893957685.db2.gz HEZLTTXHKJTYDX-DOMZBBRYSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@@H]1C[C@H](C(=O)NCC[N@@H+](C)Cc2ccc(C#N)cc2F)CO1 ZINC001366544402 893957702 /nfs/dbraw/zinc/95/77/02/893957702.db2.gz HEZLTTXHKJTYDX-DOMZBBRYSA-N 1 2 319.380 1.670 20 30 DDEDLO CC(C)c1ccc(NC[C@H](O)C[NH+]2CCOCC2)c(C#N)c1 ZINC001251026802 894577606 /nfs/dbraw/zinc/57/76/06/894577606.db2.gz XKZFTLNXGPZQOB-INIZCTEOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C[C@](C)(O)C[NH+]1CC2(C1)CN(C(=O)OC(C)(C)C)CCO2 ZINC001252549425 895262314 /nfs/dbraw/zinc/26/23/14/895262314.db2.gz IHLXVMRMQOXONE-HNNXBMFYSA-N 1 2 312.410 1.245 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)COCC(F)F)C1 ZINC001367063923 895725739 /nfs/dbraw/zinc/72/57/39/895725739.db2.gz GSVYMYMAUIRILD-NSHDSACASA-N 1 2 310.772 1.943 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)COCC(F)F)C1 ZINC001367063923 895725755 /nfs/dbraw/zinc/72/57/55/895725755.db2.gz GSVYMYMAUIRILD-NSHDSACASA-N 1 2 310.772 1.943 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001253539376 895890085 /nfs/dbraw/zinc/89/00/85/895890085.db2.gz SGKJIWAOKCGTKR-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001253539376 895890093 /nfs/dbraw/zinc/89/00/93/895890093.db2.gz SGKJIWAOKCGTKR-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO N#C[C@@H]1CC[C@H]([N@@H+]2CCCn3c(Cn4cccn4)nnc3C2)C1 ZINC001254663618 896559357 /nfs/dbraw/zinc/55/93/57/896559357.db2.gz CQRJPVAYDICGFL-KGLIPLIRSA-N 1 2 311.393 1.421 20 30 DDEDLO N#C[C@@H]1CC[C@H]([N@H+]2CCCn3c(Cn4cccn4)nnc3C2)C1 ZINC001254663618 896559368 /nfs/dbraw/zinc/55/93/68/896559368.db2.gz CQRJPVAYDICGFL-KGLIPLIRSA-N 1 2 311.393 1.421 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)C1(COC)CCOCC1 ZINC001367515927 897006228 /nfs/dbraw/zinc/00/62/28/897006228.db2.gz CVBWNPYYFYUOBC-UHFFFAOYSA-N 1 2 318.845 1.572 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)C1(COC)CCOCC1 ZINC001367515927 897006241 /nfs/dbraw/zinc/00/62/41/897006241.db2.gz CVBWNPYYFYUOBC-UHFFFAOYSA-N 1 2 318.845 1.572 20 30 DDEDLO N#CC1CCC([NH2+][C@H](CO)C(=O)OCc2ccccc2)CC1 ZINC001256951167 897644259 /nfs/dbraw/zinc/64/42/59/897644259.db2.gz YYLGCCUOMGNEEL-AVVWSFFYSA-N 1 2 302.374 1.763 20 30 DDEDLO CCOC(=O)c1nnc2n1CC[N@H+](C1CCC(C#N)CC1)C2 ZINC001256968958 897650149 /nfs/dbraw/zinc/65/01/49/897650149.db2.gz URWQSGQTGXSYIM-UHFFFAOYSA-N 1 2 303.366 1.353 20 30 DDEDLO CCOC(=O)c1nnc2n1CC[N@@H+](C1CCC(C#N)CC1)C2 ZINC001256968958 897650157 /nfs/dbraw/zinc/65/01/57/897650157.db2.gz URWQSGQTGXSYIM-UHFFFAOYSA-N 1 2 303.366 1.353 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC([NH+]3CCCCC3)C2)cc1 ZINC001258089146 898047144 /nfs/dbraw/zinc/04/71/44/898047144.db2.gz AXOZWUKFERWKSM-UHFFFAOYSA-N 1 2 305.403 1.417 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccn(C3CCOCC3)n2)CC1 ZINC001258297426 898129725 /nfs/dbraw/zinc/12/97/25/898129725.db2.gz OFRUGVRVPJRXLS-UHFFFAOYSA-N 1 2 318.421 1.569 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cc(C)c(Cl)cn2)CC1 ZINC001258315878 898135786 /nfs/dbraw/zinc/13/57/86/898135786.db2.gz GBCMSDKWQKWMJO-UHFFFAOYSA-N 1 2 305.809 1.753 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1CNS(=O)(=O)c1sccc1C ZINC001260071198 899034099 /nfs/dbraw/zinc/03/40/99/899034099.db2.gz LFFSKZKHGDPIKH-LBPRGKRZSA-N 1 2 300.449 1.985 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1CNS(=O)(=O)c1sccc1C ZINC001260071198 899034109 /nfs/dbraw/zinc/03/41/09/899034109.db2.gz LFFSKZKHGDPIKH-LBPRGKRZSA-N 1 2 300.449 1.985 20 30 DDEDLO C#CCCCC(=O)NC[C@@]1(C)CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001299643666 899048355 /nfs/dbraw/zinc/04/83/55/899048355.db2.gz SXWVVEYKGXFKMP-QGZVFWFLSA-N 1 2 316.405 1.111 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN2C(=O)C(C)(C)C)CC1 ZINC001261283184 899449166 /nfs/dbraw/zinc/44/91/66/899449166.db2.gz LFZIRYBLFFPLPK-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1=CCOCC1)O2 ZINC001261815041 899775861 /nfs/dbraw/zinc/77/58/61/899775861.db2.gz YDLRCDLCQWOUEE-HNNXBMFYSA-N 1 2 306.406 1.259 20 30 DDEDLO COc1cc2c(cc1C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1)OCO2 ZINC001262112515 899949851 /nfs/dbraw/zinc/94/98/51/899949851.db2.gz LKNXSCMIJZJTQP-CHWSQXEVSA-N 1 2 317.389 1.842 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(CC)CC1 ZINC001262947488 900431653 /nfs/dbraw/zinc/43/16/53/900431653.db2.gz MSIHKODKMCJDMM-CABCVRRESA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(CC)CC1 ZINC001262947488 900431665 /nfs/dbraw/zinc/43/16/65/900431665.db2.gz MSIHKODKMCJDMM-CABCVRRESA-N 1 2 319.453 1.828 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CCC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001263285237 900557577 /nfs/dbraw/zinc/55/75/77/900557577.db2.gz AUMVLBWSWSDXRD-YOEHRIQHSA-N 1 2 319.453 1.755 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CCC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001263285237 900557584 /nfs/dbraw/zinc/55/75/84/900557584.db2.gz AUMVLBWSWSDXRD-YOEHRIQHSA-N 1 2 319.453 1.755 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)CCCF)C1=O ZINC001263807743 900720137 /nfs/dbraw/zinc/72/01/37/900720137.db2.gz QJUYGIFKAYDAAN-KBPBESRZSA-N 1 2 311.401 1.056 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CCCF)C1=O ZINC001263807743 900720143 /nfs/dbraw/zinc/72/01/43/900720143.db2.gz QJUYGIFKAYDAAN-KBPBESRZSA-N 1 2 311.401 1.056 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cnns2)[C@@H]1CC ZINC001264051973 900896898 /nfs/dbraw/zinc/89/68/98/900896898.db2.gz XZIIWQBSZRHRLC-FPMFFAJLSA-N 1 2 322.434 1.046 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cnns2)[C@@H]1CC ZINC001264051973 900896905 /nfs/dbraw/zinc/89/69/05/900896905.db2.gz XZIIWQBSZRHRLC-FPMFFAJLSA-N 1 2 322.434 1.046 20 30 DDEDLO C=CCCC[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)S(C)(=O)=O ZINC001264373692 901054796 /nfs/dbraw/zinc/05/47/96/901054796.db2.gz YIENRVFIGNJXRW-ZDUSSCGKSA-N 1 2 316.467 1.309 20 30 DDEDLO C=CCCC[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)S(C)(=O)=O ZINC001264373692 901054805 /nfs/dbraw/zinc/05/48/05/901054805.db2.gz YIENRVFIGNJXRW-ZDUSSCGKSA-N 1 2 316.467 1.309 20 30 DDEDLO COC[C@@H](C)C(=O)NCC[N@H+](Cc1ccccc1C#N)C1CC1 ZINC001391152328 901071897 /nfs/dbraw/zinc/07/18/97/901071897.db2.gz CFCPOHNNOLMGAA-CQSZACIVSA-N 1 2 315.417 1.921 20 30 DDEDLO COC[C@@H](C)C(=O)NCC[N@@H+](Cc1ccccc1C#N)C1CC1 ZINC001391152328 901071907 /nfs/dbraw/zinc/07/19/07/901071907.db2.gz CFCPOHNNOLMGAA-CQSZACIVSA-N 1 2 315.417 1.921 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](NC(=O)C(C)(C)S(C)(=O)=O)[C@H]1CC ZINC001264620231 901218499 /nfs/dbraw/zinc/21/84/99/901218499.db2.gz ZCYOVPAEZYRQTN-QWHCGFSZSA-N 1 2 316.467 1.355 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)S(C)(=O)=O)[C@H]1CC ZINC001264620231 901218507 /nfs/dbraw/zinc/21/85/07/901218507.db2.gz ZCYOVPAEZYRQTN-QWHCGFSZSA-N 1 2 316.467 1.355 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)CCOCCN(C)C(=O)[C@@H](C)C#N)o1 ZINC001264663040 901257730 /nfs/dbraw/zinc/25/77/30/901257730.db2.gz WSOQWFSUOVHHQF-JSGCOSHPSA-N 1 2 322.409 1.611 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)CCOCCN(C)C(=O)[C@@H](C)C#N)o1 ZINC001264663040 901257742 /nfs/dbraw/zinc/25/77/42/901257742.db2.gz WSOQWFSUOVHHQF-JSGCOSHPSA-N 1 2 322.409 1.611 20 30 DDEDLO C=C1CCC(C(=O)NCCC[N@@H+](C)Cc2cn(CC)nn2)CC1 ZINC001265032415 901463142 /nfs/dbraw/zinc/46/31/42/901463142.db2.gz VBNNRQPUDGIMIR-UHFFFAOYSA-N 1 2 319.453 1.983 20 30 DDEDLO C=C1CCC(C(=O)NCCC[N@H+](C)Cc2cn(CC)nn2)CC1 ZINC001265032415 901463151 /nfs/dbraw/zinc/46/31/51/901463151.db2.gz VBNNRQPUDGIMIR-UHFFFAOYSA-N 1 2 319.453 1.983 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001391383880 901632652 /nfs/dbraw/zinc/63/26/52/901632652.db2.gz FFMRYRDBNILUJW-VXGBXAGGSA-N 1 2 316.833 1.157 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001391383880 901632658 /nfs/dbraw/zinc/63/26/58/901632658.db2.gz FFMRYRDBNILUJW-VXGBXAGGSA-N 1 2 316.833 1.157 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@@H+]([C@@H](C)c2cnccn2)C1 ZINC001265213220 901715575 /nfs/dbraw/zinc/71/55/75/901715575.db2.gz NTDACLQOYJDNMD-GJZGRUSLSA-N 1 2 300.406 1.778 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[N@H+]([C@@H](C)c2cnccn2)C1 ZINC001265213220 901715580 /nfs/dbraw/zinc/71/55/80/901715580.db2.gz NTDACLQOYJDNMD-GJZGRUSLSA-N 1 2 300.406 1.778 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)COCCCOC)C1 ZINC001265230266 901749947 /nfs/dbraw/zinc/74/99/47/901749947.db2.gz AIXYAIVOKBZGGB-ZDUSSCGKSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)COCCCOC)C1 ZINC001265230266 901749959 /nfs/dbraw/zinc/74/99/59/901749959.db2.gz AIXYAIVOKBZGGB-ZDUSSCGKSA-N 1 2 304.818 1.373 20 30 DDEDLO COCCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001265272226 901800735 /nfs/dbraw/zinc/80/07/35/901800735.db2.gz DIZHOGOORNAWCF-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO COCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001265272226 901800740 /nfs/dbraw/zinc/80/07/40/901800740.db2.gz DIZHOGOORNAWCF-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H](NC(=O)CSCCC)C1 ZINC001265273754 901803106 /nfs/dbraw/zinc/80/31/06/901803106.db2.gz VKVAADVRUFGIMF-QWHCGFSZSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)CSCCC)C1 ZINC001265273754 901803113 /nfs/dbraw/zinc/80/31/13/901803113.db2.gz VKVAADVRUFGIMF-QWHCGFSZSA-N 1 2 313.467 1.011 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)CCn2cccn2)C1 ZINC001391636665 902207592 /nfs/dbraw/zinc/20/75/92/902207592.db2.gz FAPCTAGWVWGQJL-AWEZNQCLSA-N 1 2 310.829 1.949 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)CCn2cccn2)C1 ZINC001391636665 902207605 /nfs/dbraw/zinc/20/76/05/902207605.db2.gz FAPCTAGWVWGQJL-AWEZNQCLSA-N 1 2 310.829 1.949 20 30 DDEDLO CC[C@@H](CNC(=O)c1cc(C#N)c[nH]1)[NH2+]Cc1csnn1 ZINC001391675926 902320440 /nfs/dbraw/zinc/32/04/40/902320440.db2.gz CYCBXTIFAOQFDR-JTQLQIEISA-N 1 2 304.379 1.036 20 30 DDEDLO CCCN(C(=O)[C@@H](C)C#N)C1CC[NH+](Cc2nccn2C)CC1 ZINC001370091276 902530360 /nfs/dbraw/zinc/53/03/60/902530360.db2.gz UIGYZHKQGSIWEU-AWEZNQCLSA-N 1 2 317.437 1.783 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@H]1CCO[C@@H]1C ZINC001265945120 902638566 /nfs/dbraw/zinc/63/85/66/902638566.db2.gz ZPLWYBUZLAIOLF-NEPJUHHUSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@H]1CCO[C@@H]1C ZINC001265945120 902638574 /nfs/dbraw/zinc/63/85/74/902638574.db2.gz ZPLWYBUZLAIOLF-NEPJUHHUSA-N 1 2 319.243 1.758 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([N@@H+](C)[C@@H](C)c2nncn2C)C1 ZINC001266096287 902932605 /nfs/dbraw/zinc/93/26/05/902932605.db2.gz DXVDGKKTXNSTQO-LSDHHAIUSA-N 1 2 317.437 1.602 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([N@H+](C)[C@@H](C)c2nncn2C)C1 ZINC001266096287 902932611 /nfs/dbraw/zinc/93/26/11/902932611.db2.gz DXVDGKKTXNSTQO-LSDHHAIUSA-N 1 2 317.437 1.602 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]([N@H+](C)Cc2ncc(C)o2)C1 ZINC001266119855 902978293 /nfs/dbraw/zinc/97/82/93/902978293.db2.gz NWRLWAQYDIFGSF-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]([N@@H+](C)Cc2ncc(C)o2)C1 ZINC001266119855 902978303 /nfs/dbraw/zinc/97/83/03/902978303.db2.gz NWRLWAQYDIFGSF-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@H+](CCc2ccccc2F)CCO1 ZINC001391984435 903038381 /nfs/dbraw/zinc/03/83/81/903038381.db2.gz QYGRBOXMAIBORR-DZGCQCFKSA-N 1 2 319.380 1.345 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@@H+](CCc2ccccc2F)CCO1 ZINC001391984435 903038397 /nfs/dbraw/zinc/03/83/97/903038397.db2.gz QYGRBOXMAIBORR-DZGCQCFKSA-N 1 2 319.380 1.345 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](C)C#N)C1 ZINC001266209211 903115679 /nfs/dbraw/zinc/11/56/79/903115679.db2.gz LCAPOQCIAFNVJN-LSDHHAIUSA-N 1 2 320.437 1.151 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](C)C#N)C1 ZINC001266209211 903115685 /nfs/dbraw/zinc/11/56/85/903115685.db2.gz LCAPOQCIAFNVJN-LSDHHAIUSA-N 1 2 320.437 1.151 20 30 DDEDLO CCCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCCO1 ZINC001280396559 903630521 /nfs/dbraw/zinc/63/05/21/903630521.db2.gz GVYWTIWQJNJUFK-HOTGVXAUSA-N 1 2 312.454 1.953 20 30 DDEDLO CCCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCCO1 ZINC001280396559 903630532 /nfs/dbraw/zinc/63/05/32/903630532.db2.gz GVYWTIWQJNJUFK-HOTGVXAUSA-N 1 2 312.454 1.953 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1nccn2cc(C)nc12 ZINC001392320575 903884563 /nfs/dbraw/zinc/88/45/63/903884563.db2.gz VBLQGDBUVMDCKI-UHFFFAOYSA-N 1 2 321.812 1.794 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1nccn2cc(C)nc12 ZINC001392320575 903884581 /nfs/dbraw/zinc/88/45/81/903884581.db2.gz VBLQGDBUVMDCKI-UHFFFAOYSA-N 1 2 321.812 1.794 20 30 DDEDLO C=CCC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@]1(C)CCCS1(=O)=O ZINC001316605407 903943044 /nfs/dbraw/zinc/94/30/44/903943044.db2.gz XEANVHBTFTXSIP-HIFRSBDPSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@]1(C)CCCS1(=O)=O ZINC001316605407 903943057 /nfs/dbraw/zinc/94/30/57/903943057.db2.gz XEANVHBTFTXSIP-HIFRSBDPSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H]2CC[N@H+]2[C@H](C)C(=O)NC2CC2)C1 ZINC001316605664 903970164 /nfs/dbraw/zinc/97/01/64/903970164.db2.gz PQUJWSCLRHGFBZ-UKRRQHHQSA-N 1 2 319.449 1.543 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@H](C)C(=O)NC2CC2)C1 ZINC001316605664 903970174 /nfs/dbraw/zinc/97/01/74/903970174.db2.gz PQUJWSCLRHGFBZ-UKRRQHHQSA-N 1 2 319.449 1.543 20 30 DDEDLO Cc1noc(C[N@@H+](C)CCCN(C)C(=O)C#CC(C)(C)C)n1 ZINC001280813162 904055527 /nfs/dbraw/zinc/05/55/27/904055527.db2.gz BMSAXFWZIMRRQM-UHFFFAOYSA-N 1 2 306.410 1.708 20 30 DDEDLO Cc1noc(C[N@H+](C)CCCN(C)C(=O)C#CC(C)(C)C)n1 ZINC001280813162 904055544 /nfs/dbraw/zinc/05/55/44/904055544.db2.gz BMSAXFWZIMRRQM-UHFFFAOYSA-N 1 2 306.410 1.708 20 30 DDEDLO CCN(CC[NH2+][C@@H](C)c1csnn1)C(=O)c1ccc(C#N)[nH]1 ZINC001392442605 904121386 /nfs/dbraw/zinc/12/13/86/904121386.db2.gz QCFILOBXRJCSCL-JTQLQIEISA-N 1 2 318.406 1.551 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](OCC)C1CC1)CCO2 ZINC001280899117 904166970 /nfs/dbraw/zinc/16/69/70/904166970.db2.gz NNYRKXPBMTXFIK-OAHLLOKOSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)CCOCC ZINC001281062431 904365907 /nfs/dbraw/zinc/36/59/07/904365907.db2.gz HBYSVOGCSZGGIJ-QGZVFWFLSA-N 1 2 300.402 1.589 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)CCOCC ZINC001281062431 904365918 /nfs/dbraw/zinc/36/59/18/904365918.db2.gz HBYSVOGCSZGGIJ-QGZVFWFLSA-N 1 2 300.402 1.589 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@H]1C ZINC001281124296 904429385 /nfs/dbraw/zinc/42/93/85/904429385.db2.gz AIFDUZCTFAJYIK-CHWSQXEVSA-N 1 2 304.394 1.332 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001281141548 904468694 /nfs/dbraw/zinc/46/86/94/904468694.db2.gz XKPRLIQABAEANQ-KBPBESRZSA-N 1 2 318.421 1.591 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@@](CO)([NH2+]Cc2ccon2)C1 ZINC001281168017 904518867 /nfs/dbraw/zinc/51/88/67/904518867.db2.gz PDRPHFLKMRPTMY-QGZVFWFLSA-N 1 2 321.421 1.720 20 30 DDEDLO C=CCCC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCS1(=O)=O ZINC001316610253 904736891 /nfs/dbraw/zinc/73/68/91/904736891.db2.gz QVNYSZZQZJYMDS-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCS1(=O)=O ZINC001316610253 904736905 /nfs/dbraw/zinc/73/69/05/904736905.db2.gz QVNYSZZQZJYMDS-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC[C@H]1C ZINC001281426112 904826311 /nfs/dbraw/zinc/82/63/11/904826311.db2.gz UINNAFRLDHMRRQ-CHWSQXEVSA-N 1 2 304.394 1.414 20 30 DDEDLO C=CCCCC(=O)N1CCCC[C@H]1C[NH2+]Cc1nnn(C)n1 ZINC001281881345 905288342 /nfs/dbraw/zinc/28/83/42/905288342.db2.gz KIAUMBWZPSOZAH-ZDUSSCGKSA-N 1 2 306.414 1.037 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1ncccn1 ZINC001281967005 905370716 /nfs/dbraw/zinc/37/07/16/905370716.db2.gz DKLXDMLCEBIJKZ-OAHLLOKOSA-N 1 2 318.421 1.492 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1ncccn1 ZINC001281967005 905370731 /nfs/dbraw/zinc/37/07/31/905370731.db2.gz DKLXDMLCEBIJKZ-OAHLLOKOSA-N 1 2 318.421 1.492 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001282378666 905727205 /nfs/dbraw/zinc/72/72/05/905727205.db2.gz AGLOWWWAGRGQLB-CABCVRRESA-N 1 2 316.405 1.182 20 30 DDEDLO C=C(C)C[NH+]1CC([C@H](C)NC(=O)CS(=O)(=O)CC(C)C)C1 ZINC001282724391 905984717 /nfs/dbraw/zinc/98/47/17/905984717.db2.gz GQELBIJWPKIQJC-ZDUSSCGKSA-N 1 2 316.467 1.070 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@@H](NC(=O)[C@@H](C)C#N)C2CCCC2)o1 ZINC001393081215 906132722 /nfs/dbraw/zinc/13/27/22/906132722.db2.gz VTIMAOPFHDLHIA-GXFFZTMASA-N 1 2 305.382 1.302 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)CCc2cncn2C)C1 ZINC001393118510 906257472 /nfs/dbraw/zinc/25/74/72/906257472.db2.gz BNOYUPARWJGIQR-HNNXBMFYSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)CCc2cncn2C)C1 ZINC001393118510 906257487 /nfs/dbraw/zinc/25/74/87/906257487.db2.gz BNOYUPARWJGIQR-HNNXBMFYSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](CO)[NH2+]C/C(Cl)=C/Cl ZINC001283804216 907978886 /nfs/dbraw/zinc/97/88/86/907978886.db2.gz NDXFQQBPGGLRPQ-LGFRJGPESA-N 1 2 309.237 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@@H]1CC(=O)N(CCC)C1 ZINC001394118310 908863614 /nfs/dbraw/zinc/86/36/14/908863614.db2.gz QKOIQSFLXLDJPY-CHWSQXEVSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@@H]1CC(=O)N(CCC)C1 ZINC001394118310 908863630 /nfs/dbraw/zinc/86/36/30/908863630.db2.gz QKOIQSFLXLDJPY-CHWSQXEVSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC(CNC(=O)CCn2cc[nH+]c2)C1 ZINC001284982342 909877027 /nfs/dbraw/zinc/87/70/27/909877027.db2.gz KQLNDBCTVSIPPO-AWEZNQCLSA-N 1 2 318.421 1.450 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](CC)NC(=O)CCc1[nH]cc[nH+]1 ZINC001285084336 910046637 /nfs/dbraw/zinc/04/66/37/910046637.db2.gz ZLXGMYNQLJHMSN-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCCCC(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCCC1 ZINC001285117816 910088530 /nfs/dbraw/zinc/08/85/30/910088530.db2.gz LGUVVNVRPYLQRQ-UHFFFAOYSA-N 1 2 318.421 1.785 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)CCN(C)C(=O)Cc1c[nH+]cn1C ZINC001285381620 910445330 /nfs/dbraw/zinc/44/53/30/910445330.db2.gz FRVPUGNLLDVPMK-QGZVFWFLSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[C@H]1NC(=O)CCn1cc[nH+]c1 ZINC001285413804 910488449 /nfs/dbraw/zinc/48/84/49/910488449.db2.gz XTJPEUZDRSXIOG-LSDHHAIUSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(C)C(=O)CCn1cc[nH+]c1 ZINC001285773114 911185708 /nfs/dbraw/zinc/18/57/08/911185708.db2.gz CFPSUKAIHFPMPV-CQSZACIVSA-N 1 2 320.437 1.839 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1CN(C(=O)CCn2cc[nH+]c2)C1 ZINC001286186763 911789661 /nfs/dbraw/zinc/78/96/61/911789661.db2.gz YMXTYXYCIWROFQ-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC([C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286209894 911835223 /nfs/dbraw/zinc/83/52/23/911835223.db2.gz ZMLSWJVQQVNGIJ-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC001375136203 915073269 /nfs/dbraw/zinc/07/32/69/915073269.db2.gz ZFYXRXGNTWJWFH-DOMZBBRYSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC001375136203 915073287 /nfs/dbraw/zinc/07/32/87/915073287.db2.gz ZFYXRXGNTWJWFH-DOMZBBRYSA-N 1 2 315.845 1.434 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001295034871 915524772 /nfs/dbraw/zinc/52/47/72/915524772.db2.gz SDMNOVHICIODFZ-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H](CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)NC(=O)C#CC1CC1 ZINC001295860353 916099317 /nfs/dbraw/zinc/09/93/17/916099317.db2.gz VXORNKJVWSCYGR-QWHCGFSZSA-N 1 2 316.405 1.013 20 30 DDEDLO C[C@H](CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)NC(=O)C#CC1CC1 ZINC001295860353 916099335 /nfs/dbraw/zinc/09/93/35/916099335.db2.gz VXORNKJVWSCYGR-QWHCGFSZSA-N 1 2 316.405 1.013 20 30 DDEDLO C=CCC1(C(=O)NC2CN(C(=O)CCn3cc[nH+]c3)C2)CCC1 ZINC001297136240 916754372 /nfs/dbraw/zinc/75/43/72/916754372.db2.gz XLCCZEFYOCDGAP-UHFFFAOYSA-N 1 2 316.405 1.347 20 30 DDEDLO C#CCCCCC(=O)N1CC(CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001297241269 916829454 /nfs/dbraw/zinc/82/94/54/916829454.db2.gz KEBRCYKNLHTXLF-UHFFFAOYSA-N 1 2 316.405 1.029 20 30 DDEDLO C=CCCCC(=O)NCC1CN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001297258549 916843072 /nfs/dbraw/zinc/84/30/72/916843072.db2.gz SNTAOLDLXFPXRP-CQSZACIVSA-N 1 2 318.421 1.765 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](O)(CNC(=O)CCC)C1 ZINC001375992637 917367499 /nfs/dbraw/zinc/36/74/99/917367499.db2.gz NLEVEAPFSAZGMN-LBPRGKRZSA-N 1 2 305.216 1.248 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](O)(CNC(=O)CCC)C1 ZINC001375992637 917367513 /nfs/dbraw/zinc/36/75/13/917367513.db2.gz NLEVEAPFSAZGMN-LBPRGKRZSA-N 1 2 305.216 1.248 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@H]1CC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001376121070 917727433 /nfs/dbraw/zinc/72/74/33/917727433.db2.gz PXUYIUXFTMUQJT-GOEBONIOSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001376121070 917727445 /nfs/dbraw/zinc/72/74/45/917727445.db2.gz PXUYIUXFTMUQJT-GOEBONIOSA-N 1 2 319.380 1.405 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cnc2ccccc2c1O ZINC001377188199 920578352 /nfs/dbraw/zinc/57/83/52/920578352.db2.gz FUODHVCJBRYLSM-UHFFFAOYSA-N 1 2 319.792 1.942 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cnc2ccccc2c1O ZINC001377188199 920578357 /nfs/dbraw/zinc/57/83/57/920578357.db2.gz FUODHVCJBRYLSM-UHFFFAOYSA-N 1 2 319.792 1.942 20 30 DDEDLO CC(C)c1nsc(C[N@@H+]2CC[C@H](CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001377436228 921905699 /nfs/dbraw/zinc/90/56/99/921905699.db2.gz JEXGSZZNMQVEPL-NWDGAFQWSA-N 1 2 321.450 1.759 20 30 DDEDLO CC(C)c1nsc(C[N@H+]2CC[C@H](CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001377436228 921905710 /nfs/dbraw/zinc/90/57/10/921905710.db2.gz JEXGSZZNMQVEPL-NWDGAFQWSA-N 1 2 321.450 1.759 20 30 DDEDLO CCO[C@H](C)C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001377957902 923514347 /nfs/dbraw/zinc/51/43/47/923514347.db2.gz CGLJLVVXTSYWFH-CYBMUJFWSA-N 1 2 301.390 1.531 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCCO1)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001377992136 923621085 /nfs/dbraw/zinc/62/10/85/923621085.db2.gz MZKHMBBPEUVTNJ-MLGOLLRUSA-N 1 2 319.380 1.813 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCCO1)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001377992136 923621087 /nfs/dbraw/zinc/62/10/87/923621087.db2.gz MZKHMBBPEUVTNJ-MLGOLLRUSA-N 1 2 319.380 1.813 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)c1 ZINC000614373855 361828426 /nfs/dbraw/zinc/82/84/26/361828426.db2.gz OGCOSLDGKCCYRF-AWEZNQCLSA-N 1 2 308.341 1.668 20 30 DDEDLO CCc1c(C(=O)NC[C@@H]2C[N@H+](C)CCO2)[nH]c(C)c1C(N)=O ZINC000331251666 529470590 /nfs/dbraw/zinc/47/05/90/529470590.db2.gz POWMNASMMHBBLS-SNVBAGLBSA-N 1 2 308.382 1.404 20 30 DDEDLO CCc1c(C(=O)NC[C@@H]2C[N@@H+](C)CCO2)[nH]c(C)c1C(N)=O ZINC000331251666 529470593 /nfs/dbraw/zinc/47/05/93/529470593.db2.gz POWMNASMMHBBLS-SNVBAGLBSA-N 1 2 308.382 1.404 20 30 DDEDLO CCc1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)c([N+](=O)[O-])c1 ZINC000414135580 529695432 /nfs/dbraw/zinc/69/54/32/529695432.db2.gz CNWVCBUWJOFPQZ-ZDUSSCGKSA-N 1 2 307.350 1.742 20 30 DDEDLO CCc1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c([N+](=O)[O-])c1 ZINC000414135580 529695434 /nfs/dbraw/zinc/69/54/34/529695434.db2.gz CNWVCBUWJOFPQZ-ZDUSSCGKSA-N 1 2 307.350 1.742 20 30 DDEDLO CC[N@@H+](CC(=O)N1CCN([C@@H](C#N)C(C)C)CC1)C[C@H](C)C#N ZINC000092618357 185329574 /nfs/dbraw/zinc/32/95/74/185329574.db2.gz HKSGOHDWTBFWKL-CVEARBPZSA-N 1 2 319.453 1.160 20 30 DDEDLO CC[N@H+](CC(=O)N1CCN([C@@H](C#N)C(C)C)CC1)C[C@H](C)C#N ZINC000092618357 185329575 /nfs/dbraw/zinc/32/95/75/185329575.db2.gz HKSGOHDWTBFWKL-CVEARBPZSA-N 1 2 319.453 1.160 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@@H]([NH+]=C([O-])N1CCC[C@H]1CO)C2 ZINC000329591534 539306027 /nfs/dbraw/zinc/30/60/27/539306027.db2.gz YZZGNRSCFNPVTB-OLZOCXBDSA-N 1 2 320.437 1.866 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@H]3CC(C)(C)OC3(C)C)C[C@@H]21 ZINC000329613941 529784894 /nfs/dbraw/zinc/78/48/94/529784894.db2.gz OYIRHOKFNGAYRF-XQQFMLRXSA-N 1 2 311.426 1.261 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@H]3CC(C)(C)OC3(C)C)C[C@@H]21 ZINC000329613941 529784896 /nfs/dbraw/zinc/78/48/96/529784896.db2.gz OYIRHOKFNGAYRF-XQQFMLRXSA-N 1 2 311.426 1.261 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(CC(=O)Nc3ccc4c(c3)OCO4)C[C@H]21 ZINC000330362047 529790767 /nfs/dbraw/zinc/79/07/67/529790767.db2.gz ZBQFEQGQKUHULS-DOMZBBRYSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(CC(=O)Nc3ccc4c(c3)OCO4)C[C@H]21 ZINC000330362047 529790768 /nfs/dbraw/zinc/79/07/68/529790768.db2.gz ZBQFEQGQKUHULS-DOMZBBRYSA-N 1 2 319.361 1.018 20 30 DDEDLO CN1CCO[C@H]2C[N@H+](CC(=O)Nc3ccc4c(c3)OCO4)C[C@H]21 ZINC000330362047 529790770 /nfs/dbraw/zinc/79/07/70/529790770.db2.gz ZBQFEQGQKUHULS-DOMZBBRYSA-N 1 2 319.361 1.018 20 30 DDEDLO CN1CCO[C@H]2C[N@@H+](CC(=O)Nc3ccc4c(c3)OCO4)C[C@H]21 ZINC000330362047 529790771 /nfs/dbraw/zinc/79/07/71/529790771.db2.gz ZBQFEQGQKUHULS-DOMZBBRYSA-N 1 2 319.361 1.018 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cccc4[nH]ccc43)C[C@H]21 ZINC000329936066 529790779 /nfs/dbraw/zinc/79/07/79/529790779.db2.gz RIJMVVLXIPJMHI-CABCVRRESA-N 1 2 300.362 1.728 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cccc4[nH]ccc43)C[C@H]21 ZINC000329936066 529790781 /nfs/dbraw/zinc/79/07/81/529790781.db2.gz RIJMVVLXIPJMHI-CABCVRRESA-N 1 2 300.362 1.728 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(CC#N)cc3)C[C@H]21 ZINC000329932298 529790915 /nfs/dbraw/zinc/79/09/15/529790915.db2.gz PKBHWUMBCHXTBO-CABCVRRESA-N 1 2 300.362 1.299 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(CC#N)cc3)C[C@H]21 ZINC000329932298 529790916 /nfs/dbraw/zinc/79/09/16/529790916.db2.gz PKBHWUMBCHXTBO-CABCVRRESA-N 1 2 300.362 1.299 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc4ncsc4c3)C[C@@H]21 ZINC000329954899 529791088 /nfs/dbraw/zinc/79/10/88/529791088.db2.gz KICDDKSNJTUZNR-STQMWFEESA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc4ncsc4c3)C[C@@H]21 ZINC000329954899 529791089 /nfs/dbraw/zinc/79/10/89/529791089.db2.gz KICDDKSNJTUZNR-STQMWFEESA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc([N+](=O)[O-])cc1Cl ZINC000414110330 529868563 /nfs/dbraw/zinc/86/85/63/529868563.db2.gz ODYNPYFICQXLCE-LLVKDONJSA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc([N+](=O)[O-])cc1Cl ZINC000414110330 529868564 /nfs/dbraw/zinc/86/85/64/529868564.db2.gz ODYNPYFICQXLCE-LLVKDONJSA-N 1 2 313.741 1.833 20 30 DDEDLO Cc1cccc2[nH+]c(CNC(=O)N3CC[C@@](F)(C#N)C3)cn21 ZINC000615540657 362328860 /nfs/dbraw/zinc/32/88/60/362328860.db2.gz WBPMRJAMJLXWPD-OAHLLOKOSA-N 1 2 301.325 1.790 20 30 DDEDLO C[NH2+]Cc1noc(CCCOc2ccc(C#N)cc2OC)n1 ZINC000414638882 530012361 /nfs/dbraw/zinc/01/23/61/530012361.db2.gz FTBSJMVFWAGQDQ-UHFFFAOYSA-N 1 2 302.334 1.681 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)NCC#Cc1cccc(F)c1 ZINC000338727671 530026955 /nfs/dbraw/zinc/02/69/55/530026955.db2.gz AHPMSCZYPKAEBP-UHFFFAOYSA-N 1 2 300.337 1.752 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)/C=C/c1ccc(OCC#N)cc1 ZINC000490764851 530027198 /nfs/dbraw/zinc/02/71/98/530027198.db2.gz WCWMHERSQHLNDC-VMPITWQZSA-N 1 2 310.357 1.994 20 30 DDEDLO Cn1cc(C[N@@H+]2CCN(C(=O)C3CC3)CC2(C)C)cc1C#N ZINC000616731766 362798508 /nfs/dbraw/zinc/79/85/08/362798508.db2.gz IEMWEALBCXXTEM-UHFFFAOYSA-N 1 2 300.406 1.730 20 30 DDEDLO Cn1cc(C[N@H+]2CCN(C(=O)C3CC3)CC2(C)C)cc1C#N ZINC000616731766 362798515 /nfs/dbraw/zinc/79/85/15/362798515.db2.gz IEMWEALBCXXTEM-UHFFFAOYSA-N 1 2 300.406 1.730 20 30 DDEDLO Cc1ccnc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)c1 ZINC000616761030 362807535 /nfs/dbraw/zinc/80/75/35/362807535.db2.gz YZWLDQFXZHSFBL-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)c1 ZINC000616761030 362807539 /nfs/dbraw/zinc/80/75/39/362807539.db2.gz YZWLDQFXZHSFBL-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000121396197 185792907 /nfs/dbraw/zinc/79/29/07/185792907.db2.gz SHVHZVNAPJLZFU-HNNXBMFYSA-N 1 2 320.414 1.718 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000121396197 185792908 /nfs/dbraw/zinc/79/29/08/185792908.db2.gz SHVHZVNAPJLZFU-HNNXBMFYSA-N 1 2 320.414 1.718 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000616868566 362855188 /nfs/dbraw/zinc/85/51/88/362855188.db2.gz QGTRGBXVNXSSPF-OLZOCXBDSA-N 1 2 309.410 1.577 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH+]1CCN(c2cccc(C)c2)CC1 ZINC000027382772 352217257 /nfs/dbraw/zinc/21/72/57/352217257.db2.gz DAZDPFOAFXGSQD-UHFFFAOYSA-N 1 2 316.405 1.129 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000044714726 352392675 /nfs/dbraw/zinc/39/26/75/352392675.db2.gz XDVBXUJRESFKFK-AWEZNQCLSA-N 1 2 315.421 1.236 20 30 DDEDLO C=CC[N@H+](CC(=O)NCC(=O)N1CCCC1)Cc1ccccc1 ZINC000045796852 352424267 /nfs/dbraw/zinc/42/42/67/352424267.db2.gz QWMQLDWSFWZVLG-UHFFFAOYSA-N 1 2 315.417 1.413 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCC(=O)N1CCCC1)Cc1ccccc1 ZINC000045796852 352424269 /nfs/dbraw/zinc/42/42/69/352424269.db2.gz QWMQLDWSFWZVLG-UHFFFAOYSA-N 1 2 315.417 1.413 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2nc(C)cs2)CC1 ZINC000047889723 352514999 /nfs/dbraw/zinc/51/49/99/352514999.db2.gz FDTKKSDJCFVLAN-UHFFFAOYSA-N 1 2 320.462 1.774 20 30 DDEDLO C=CCN(C(=O)[C@H](CC)[N@@H+]1CCO[C@H](CC)C1)[C@H](C)COC ZINC000617582814 363192715 /nfs/dbraw/zinc/19/27/15/363192715.db2.gz VSJWOAHCESGRBG-OAGGEKHMSA-N 1 2 312.454 1.925 20 30 DDEDLO C=CCN(C(=O)[C@H](CC)[N@H+]1CCO[C@H](CC)C1)[C@H](C)COC ZINC000617582814 363192720 /nfs/dbraw/zinc/19/27/20/363192720.db2.gz VSJWOAHCESGRBG-OAGGEKHMSA-N 1 2 312.454 1.925 20 30 DDEDLO C[C@H](C#N)C[N@H+](C)CCCOc1ccc(S(C)(=O)=O)cc1 ZINC000063286950 352909295 /nfs/dbraw/zinc/90/92/95/352909295.db2.gz JDANEVLLIVJTIX-CYBMUJFWSA-N 1 2 310.419 1.950 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C)CCCOc1ccc(S(C)(=O)=O)cc1 ZINC000063286950 352909297 /nfs/dbraw/zinc/90/92/97/352909297.db2.gz JDANEVLLIVJTIX-CYBMUJFWSA-N 1 2 310.419 1.950 20 30 DDEDLO CCOC(=O)[C@H]1CCCCN1C(=O)C[N@H+](CC)C[C@H](C)C#N ZINC000064248792 352931753 /nfs/dbraw/zinc/93/17/53/352931753.db2.gz YFEXJAIUIULXGC-ZIAGYGMSSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOC(=O)[C@H]1CCCCN1C(=O)C[N@@H+](CC)C[C@H](C)C#N ZINC000064248792 352931756 /nfs/dbraw/zinc/93/17/56/352931756.db2.gz YFEXJAIUIULXGC-ZIAGYGMSSA-N 1 2 309.410 1.412 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@@H](O)C[N@H+](C)C[C@@H](C)C#N ZINC000066469565 352998514 /nfs/dbraw/zinc/99/85/14/352998514.db2.gz WNVCSCVBQRSCEQ-WFASDCNBSA-N 1 2 320.389 1.729 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@@H](O)C[N@@H+](C)C[C@@H](C)C#N ZINC000066469565 352998518 /nfs/dbraw/zinc/99/85/18/352998518.db2.gz WNVCSCVBQRSCEQ-WFASDCNBSA-N 1 2 320.389 1.729 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C(=O)N[C@](C)(c2ccccc2F)C1=O ZINC000491809007 234300021 /nfs/dbraw/zinc/30/00/21/234300021.db2.gz WNABNBFUXRFDTI-BDJLRTHQSA-N 1 2 303.337 1.504 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C(=O)N[C@](C)(c2ccccc2F)C1=O ZINC000491809007 234300025 /nfs/dbraw/zinc/30/00/25/234300025.db2.gz WNABNBFUXRFDTI-BDJLRTHQSA-N 1 2 303.337 1.504 20 30 DDEDLO CCOC(=O)[C@@H](CC)[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000072580961 353202641 /nfs/dbraw/zinc/20/26/41/353202641.db2.gz UOGJLFPHKNSTPK-OAHLLOKOSA-N 1 2 316.405 1.807 20 30 DDEDLO CCOC(=O)[C@@H](CC)[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000072580961 353202643 /nfs/dbraw/zinc/20/26/43/353202643.db2.gz UOGJLFPHKNSTPK-OAHLLOKOSA-N 1 2 316.405 1.807 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(C(=O)C2CC2)CC1 ZINC000073621611 353262620 /nfs/dbraw/zinc/26/26/20/353262620.db2.gz MCTHLKIQRXRPLP-CQSZACIVSA-N 1 2 305.422 1.130 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000073647706 353264475 /nfs/dbraw/zinc/26/44/75/353264475.db2.gz KRFSCNKCXDTZGV-AWEZNQCLSA-N 1 2 315.421 1.332 20 30 DDEDLO COc1ccc(OC)c([C@@H]2CCC[N@@H+]2CC(=O)NCC#N)c1 ZINC000076668148 353431455 /nfs/dbraw/zinc/43/14/55/353431455.db2.gz GTCBHCVYDSNQCB-AWEZNQCLSA-N 1 2 303.362 1.480 20 30 DDEDLO COc1ccc(OC)c([C@@H]2CCC[N@H+]2CC(=O)NCC#N)c1 ZINC000076668148 353431458 /nfs/dbraw/zinc/43/14/58/353431458.db2.gz GTCBHCVYDSNQCB-AWEZNQCLSA-N 1 2 303.362 1.480 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1C[C@H](C)OC[C@H]1C ZINC000617727784 363264159 /nfs/dbraw/zinc/26/41/59/363264159.db2.gz NRMRFJKWCYKVBM-KGLIPLIRSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1C[C@H](C)OC[C@H]1C ZINC000617727784 363264161 /nfs/dbraw/zinc/26/41/61/363264161.db2.gz NRMRFJKWCYKVBM-KGLIPLIRSA-N 1 2 319.405 1.485 20 30 DDEDLO C[C@@H]1CC[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@@H]1O ZINC000093344886 353898088 /nfs/dbraw/zinc/89/80/88/353898088.db2.gz DIHHWSVJSCDTTJ-YGRLFVJLSA-N 1 2 307.781 1.853 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@@H]1O ZINC000093344886 353898091 /nfs/dbraw/zinc/89/80/91/353898091.db2.gz DIHHWSVJSCDTTJ-YGRLFVJLSA-N 1 2 307.781 1.853 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2ccc(C#N)c(Cl)c2)CC1 ZINC000127097160 354061328 /nfs/dbraw/zinc/06/13/28/354061328.db2.gz PBUBSSOTLGRGPE-UHFFFAOYSA-N 1 2 307.781 1.616 20 30 DDEDLO COC(=O)[C@H]([NH2+]C[C@@H](O)COc1ccc(C#N)cc1)C(C)(C)C ZINC000152506996 354192616 /nfs/dbraw/zinc/19/26/16/354192616.db2.gz WMLYBLVPTBSTCQ-HIFRSBDPSA-N 1 2 320.389 1.475 20 30 DDEDLO Cc1noc([C@H]2CCCCN2C(=O)NCC[NH+]2CCOCC2)n1 ZINC000328973242 222916287 /nfs/dbraw/zinc/91/62/87/222916287.db2.gz AOLRYFCAFSBUPP-CYBMUJFWSA-N 1 2 323.397 1.151 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)N(C)C[C@@H](O)C[NH+]1CCOCC1 ZINC000181096511 354267466 /nfs/dbraw/zinc/26/74/66/354267466.db2.gz LMWMPDOMOCFRDJ-HUUCEWRRSA-N 1 2 313.442 1.066 20 30 DDEDLO C=C(C)CCNC(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000624152616 366208196 /nfs/dbraw/zinc/20/81/96/366208196.db2.gz CVHDDVYZDGIDLR-MRXNPFEDSA-N 1 2 318.421 1.548 20 30 DDEDLO C=C(C)CCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000624152616 366208203 /nfs/dbraw/zinc/20/82/03/366208203.db2.gz CVHDDVYZDGIDLR-MRXNPFEDSA-N 1 2 318.421 1.548 20 30 DDEDLO C=CCNC(=O)Cc1noc(-c2ccc(Cn3cc[nH+]c3)cc2)n1 ZINC000350727065 354608883 /nfs/dbraw/zinc/60/88/83/354608883.db2.gz RNKXFMDDRZOWQL-UHFFFAOYSA-N 1 2 323.356 1.826 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000578433080 354708404 /nfs/dbraw/zinc/70/84/04/354708404.db2.gz LRZTXOVQYBPDIP-MJBXVCDLSA-N 1 2 304.394 1.712 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC000578701230 354711265 /nfs/dbraw/zinc/71/12/65/354711265.db2.gz SQZYKLBIICEQNL-UKRRQHHQSA-N 1 2 307.781 1.604 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C[C@H](O)COc1ccc(CC#N)cc1)C1CC1 ZINC000585816417 354853530 /nfs/dbraw/zinc/85/35/30/354853530.db2.gz DYVQNLVNCMIJMN-HOCLYGCPSA-N 1 2 318.373 1.034 20 30 DDEDLO COCC[N@H+](CCC#N)CCc1c(C)nc2sccn2c1=O ZINC000586766034 354860912 /nfs/dbraw/zinc/86/09/12/354860912.db2.gz YHHXBENCLVTRHF-UHFFFAOYSA-N 1 2 320.418 1.469 20 30 DDEDLO COCC[N@@H+](CCC#N)CCc1c(C)nc2sccn2c1=O ZINC000586766034 354860916 /nfs/dbraw/zinc/86/09/16/354860916.db2.gz YHHXBENCLVTRHF-UHFFFAOYSA-N 1 2 320.418 1.469 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCC[C@H](n3cc[nH+]c3)C2)CCC1 ZINC000589220334 354975797 /nfs/dbraw/zinc/97/57/97/354975797.db2.gz UJAOTNOBYINYEH-ZDUSSCGKSA-N 1 2 308.407 1.544 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@@H+]1Cc1cc(F)ccc1C#N ZINC000591646276 355350142 /nfs/dbraw/zinc/35/01/42/355350142.db2.gz HPURRGGZVWBWEY-MRXNPFEDSA-N 1 2 305.353 1.424 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@H+]1Cc1cc(F)ccc1C#N ZINC000591646276 355350144 /nfs/dbraw/zinc/35/01/44/355350144.db2.gz HPURRGGZVWBWEY-MRXNPFEDSA-N 1 2 305.353 1.424 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000591821529 355391356 /nfs/dbraw/zinc/39/13/56/355391356.db2.gz MSWCOQJOWJOJMJ-CYBMUJFWSA-N 1 2 302.334 1.377 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)Cc2ccc(CC#N)cc2)C[C@H]1C ZINC000592039255 355474461 /nfs/dbraw/zinc/47/44/61/355474461.db2.gz VYVFPOBPXHGMBS-CQSZACIVSA-N 1 2 321.446 1.608 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)Cc2ccc(CC#N)cc2)C[C@H]1C ZINC000592039255 355474462 /nfs/dbraw/zinc/47/44/62/355474462.db2.gz VYVFPOBPXHGMBS-CQSZACIVSA-N 1 2 321.446 1.608 20 30 DDEDLO COCCCNC(=O)C1CC[NH+](Cc2ccncc2C#N)CC1 ZINC000592064684 355480706 /nfs/dbraw/zinc/48/07/06/355480706.db2.gz SVSSSLJKAGJZEL-UHFFFAOYSA-N 1 2 316.405 1.318 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)NC2C3CC4CC(C3)CC2C4)C1 ZINC000592145454 355510388 /nfs/dbraw/zinc/51/03/88/355510388.db2.gz VXANYJZZONGHOM-OLKDXYPGSA-N 1 2 317.433 1.278 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)NC2C3CC4CC(C3)CC2C4)C1 ZINC000592145454 355510389 /nfs/dbraw/zinc/51/03/89/355510389.db2.gz VXANYJZZONGHOM-OLKDXYPGSA-N 1 2 317.433 1.278 20 30 DDEDLO COc1ccccc1NC(=O)[C@@H](C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592144970 355510458 /nfs/dbraw/zinc/51/04/58/355510458.db2.gz LEKBUDAOFZYNCJ-MLGOLLRUSA-N 1 2 303.362 1.373 20 30 DDEDLO COc1ccccc1NC(=O)[C@@H](C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592144970 355510460 /nfs/dbraw/zinc/51/04/60/355510460.db2.gz LEKBUDAOFZYNCJ-MLGOLLRUSA-N 1 2 303.362 1.373 20 30 DDEDLO C[C@@H](C(=O)N1CCCc2ccccc21)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148264 355514811 /nfs/dbraw/zinc/51/48/11/355514811.db2.gz SYJXMNDWONYWCC-KBXCAEBGSA-N 1 2 313.401 1.705 20 30 DDEDLO C[C@@H](C(=O)N1CCCc2ccccc21)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148264 355514814 /nfs/dbraw/zinc/51/48/14/355514814.db2.gz SYJXMNDWONYWCC-KBXCAEBGSA-N 1 2 313.401 1.705 20 30 DDEDLO COc1ccc(C)cc1NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148331 355515181 /nfs/dbraw/zinc/51/51/81/355515181.db2.gz XNRIMZOZYDTQRC-MRXNPFEDSA-N 1 2 303.362 1.293 20 30 DDEDLO COc1ccc(C)cc1NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148331 355515185 /nfs/dbraw/zinc/51/51/85/355515185.db2.gz XNRIMZOZYDTQRC-MRXNPFEDSA-N 1 2 303.362 1.293 20 30 DDEDLO C[C@H](C(=O)N1CCCc2ccccc21)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148274 355515189 /nfs/dbraw/zinc/51/51/89/355515189.db2.gz SYJXMNDWONYWCC-RDTXWAMCSA-N 1 2 313.401 1.705 20 30 DDEDLO C[C@H](C(=O)N1CCCc2ccccc21)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148274 355515191 /nfs/dbraw/zinc/51/51/91/355515191.db2.gz SYJXMNDWONYWCC-RDTXWAMCSA-N 1 2 313.401 1.705 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@@H]2CCN(c3ccc(F)cc3)C2=O)C1 ZINC000592154146 355520183 /nfs/dbraw/zinc/52/01/83/355520183.db2.gz PVCHSNJVZCHJOG-GDBMZVCRSA-N 1 2 303.337 1.281 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@@H]2CCN(c3ccc(F)cc3)C2=O)C1 ZINC000592154146 355520186 /nfs/dbraw/zinc/52/01/86/355520186.db2.gz PVCHSNJVZCHJOG-GDBMZVCRSA-N 1 2 303.337 1.281 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCC(=O)N1CC[C@@](O)(CC#N)C1 ZINC000592849986 355715509 /nfs/dbraw/zinc/71/55/09/355715509.db2.gz OGSAZEFIIJYBAG-KRWDZBQOSA-N 1 2 312.373 1.612 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCO[C@H]([C@@H]3CCCO3)C2)c([N+](=O)[O-])c1 ZINC000593015361 355770539 /nfs/dbraw/zinc/77/05/39/355770539.db2.gz ULXYUMFSGWUDBE-HOTGVXAUSA-N 1 2 317.345 1.846 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCO[C@H]([C@@H]3CCCO3)C2)c([N+](=O)[O-])c1 ZINC000593015361 355770543 /nfs/dbraw/zinc/77/05/43/355770543.db2.gz ULXYUMFSGWUDBE-HOTGVXAUSA-N 1 2 317.345 1.846 20 30 DDEDLO N#Cc1cccc2c1C[N@H+](C[C@H](O)COC[C@@H]1CCCO1)C2 ZINC000593121657 355806266 /nfs/dbraw/zinc/80/62/66/355806266.db2.gz CTIBYLLABMALNT-HOTGVXAUSA-N 1 2 302.374 1.430 20 30 DDEDLO N#Cc1cccc2c1C[N@@H+](C[C@H](O)COC[C@@H]1CCCO1)C2 ZINC000593121657 355806270 /nfs/dbraw/zinc/80/62/70/355806270.db2.gz CTIBYLLABMALNT-HOTGVXAUSA-N 1 2 302.374 1.430 20 30 DDEDLO CCO[C@@H](C)c1nc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)cs1 ZINC000593154426 355812753 /nfs/dbraw/zinc/81/27/53/355812753.db2.gz JWUJXUZUBGCTTJ-XHDPSFHLSA-N 1 2 324.450 1.743 20 30 DDEDLO C[C@@H]1C[C@H](NS(=O)(=O)c2ccsc2C#N)c2[nH+]ccn21 ZINC000593607181 355941224 /nfs/dbraw/zinc/94/12/24/355941224.db2.gz GFPVIYOIJCSKNB-BDAKNGLRSA-N 1 2 308.388 1.801 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccsc2C#N)C[C@H]1C ZINC000593567910 355928676 /nfs/dbraw/zinc/92/86/76/355928676.db2.gz DUEGPLHHNYTSKU-GHMZBOCLSA-N 1 2 313.448 1.723 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccsc2C#N)C[C@H]1C ZINC000593567910 355928677 /nfs/dbraw/zinc/92/86/77/355928677.db2.gz DUEGPLHHNYTSKU-GHMZBOCLSA-N 1 2 313.448 1.723 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccsc1C#N ZINC000593610681 355941658 /nfs/dbraw/zinc/94/16/58/355941658.db2.gz YZPMHKANYNTGKG-LLVKDONJSA-N 1 2 313.448 1.725 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccsc1C#N ZINC000593610681 355941663 /nfs/dbraw/zinc/94/16/63/355941663.db2.gz YZPMHKANYNTGKG-LLVKDONJSA-N 1 2 313.448 1.725 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@@H]1CCCO1 ZINC000081513072 192276241 /nfs/dbraw/zinc/27/62/41/192276241.db2.gz LQERDBHNRQVBAV-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@@H]1CCCO1 ZINC000081513072 192276244 /nfs/dbraw/zinc/27/62/44/192276244.db2.gz LQERDBHNRQVBAV-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[N@H+](C)Cc1coc(S(N)(=O)=O)c1 ZINC000595550275 356545624 /nfs/dbraw/zinc/54/56/24/356545624.db2.gz OYQOYQQCOPOLPM-LLVKDONJSA-N 1 2 319.386 1.992 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[N@@H+](C)Cc1coc(S(N)(=O)=O)c1 ZINC000595550275 356545625 /nfs/dbraw/zinc/54/56/25/356545625.db2.gz OYQOYQQCOPOLPM-LLVKDONJSA-N 1 2 319.386 1.992 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)C[C@H]2COCCO2)c(C#N)c1C ZINC000595742141 356631099 /nfs/dbraw/zinc/63/10/99/356631099.db2.gz OJUUJRGIBNMXFT-LBPRGKRZSA-N 1 2 307.350 1.054 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)C[C@H]2COCCO2)c(C#N)c1C ZINC000595742141 356631100 /nfs/dbraw/zinc/63/11/00/356631100.db2.gz OJUUJRGIBNMXFT-LBPRGKRZSA-N 1 2 307.350 1.054 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CC[C@@H]2NC(=O)CC[C@H]2C1 ZINC000595729211 356624831 /nfs/dbraw/zinc/62/48/31/356624831.db2.gz RMKPHWGFGJPCQQ-JSGCOSHPSA-N 1 2 314.345 1.567 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CC[C@@H]2NC(=O)CC[C@H]2C1 ZINC000595729211 356624835 /nfs/dbraw/zinc/62/48/35/356624835.db2.gz RMKPHWGFGJPCQQ-JSGCOSHPSA-N 1 2 314.345 1.567 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[NH+]1C[C@H](C)C(O)[C@@H](C)C1 ZINC000595737398 356629442 /nfs/dbraw/zinc/62/94/42/356629442.db2.gz BJKJCJXYUQTNIG-HUBLWGQQSA-N 1 2 302.374 1.721 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@H]3COC[C@]3(C)C2)c(C#N)c1C ZINC000595759956 356640476 /nfs/dbraw/zinc/64/04/76/356640476.db2.gz RIUALDIACCAUOU-LRDDRELGSA-N 1 2 303.362 1.675 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@H]3COC[C@]3(C)C2)c(C#N)c1C ZINC000595759956 356640479 /nfs/dbraw/zinc/64/04/79/356640479.db2.gz RIUALDIACCAUOU-LRDDRELGSA-N 1 2 303.362 1.675 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@@H+]2CC[C@](C)(C#N)C2)cc1 ZINC000595834089 356670372 /nfs/dbraw/zinc/67/03/72/356670372.db2.gz GTDBZBSMKVKTRP-RHSMWYFYSA-N 1 2 318.373 1.448 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@H+]2CC[C@](C)(C#N)C2)cc1 ZINC000595834089 356670374 /nfs/dbraw/zinc/67/03/74/356670374.db2.gz GTDBZBSMKVKTRP-RHSMWYFYSA-N 1 2 318.373 1.448 20 30 DDEDLO C[C@H]([NH2+][C@H](CO)c1ccc(F)cc1F)C(=O)NC1(C#N)CCC1 ZINC000595868632 356684807 /nfs/dbraw/zinc/68/48/07/356684807.db2.gz XAMZFBNJCDEXNH-IINYFYTJSA-N 1 2 323.343 1.539 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](c2ncc(C(=O)OC)s2)C1 ZINC000595915532 356704388 /nfs/dbraw/zinc/70/43/88/356704388.db2.gz ROHXKYIZGTUTOW-NSHDSACASA-N 1 2 323.418 1.411 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](c2ncc(C(=O)OC)s2)C1 ZINC000595915532 356704392 /nfs/dbraw/zinc/70/43/92/356704392.db2.gz ROHXKYIZGTUTOW-NSHDSACASA-N 1 2 323.418 1.411 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1ccc(C#N)cc1 ZINC000596452131 356883909 /nfs/dbraw/zinc/88/39/09/356883909.db2.gz DIBGKMWXAYQBDI-OLZOCXBDSA-N 1 2 302.378 1.789 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1ccc(C#N)cc1 ZINC000596452131 356883910 /nfs/dbraw/zinc/88/39/10/356883910.db2.gz DIBGKMWXAYQBDI-OLZOCXBDSA-N 1 2 302.378 1.789 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000597161333 357077634 /nfs/dbraw/zinc/07/76/34/357077634.db2.gz PJEJBWLXMAKMGB-SNVBAGLBSA-N 1 2 309.316 1.287 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000597161333 357077636 /nfs/dbraw/zinc/07/76/36/357077636.db2.gz PJEJBWLXMAKMGB-SNVBAGLBSA-N 1 2 309.316 1.287 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000597383257 357157248 /nfs/dbraw/zinc/15/72/48/357157248.db2.gz XKPKSPXKYXSNAQ-PBHICJAKSA-N 1 2 312.373 1.977 20 30 DDEDLO N#C[C@@H](CO)NC(=O)[C@H]1CCCC[N@@H+]1Cc1ccc(F)cc1 ZINC000597742850 357313907 /nfs/dbraw/zinc/31/39/07/357313907.db2.gz YTBJZWUMGFORBH-LSDHHAIUSA-N 1 2 305.353 1.181 20 30 DDEDLO N#C[C@@H](CO)NC(=O)[C@H]1CCCC[N@H+]1Cc1ccc(F)cc1 ZINC000597742850 357313912 /nfs/dbraw/zinc/31/39/12/357313912.db2.gz YTBJZWUMGFORBH-LSDHHAIUSA-N 1 2 305.353 1.181 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)COc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000597998003 357413017 /nfs/dbraw/zinc/41/30/17/357413017.db2.gz OEPHOGJLXOWBEL-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)COc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000597998003 357413023 /nfs/dbraw/zinc/41/30/23/357413023.db2.gz OEPHOGJLXOWBEL-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H](CC#N)C(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000598506800 357608954 /nfs/dbraw/zinc/60/89/54/357608954.db2.gz QZBURVJKNDDSTM-WBVHZDCISA-N 1 2 314.433 1.469 20 30 DDEDLO C[C@H](CC#N)C(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000598506800 357608956 /nfs/dbraw/zinc/60/89/56/357608956.db2.gz QZBURVJKNDDSTM-WBVHZDCISA-N 1 2 314.433 1.469 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1CO ZINC000598648282 357668456 /nfs/dbraw/zinc/66/84/56/357668456.db2.gz FHXIBSNBVPDBCV-UKRRQHHQSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1CO ZINC000598648282 357668458 /nfs/dbraw/zinc/66/84/58/357668458.db2.gz FHXIBSNBVPDBCV-UKRRQHHQSA-N 1 2 322.430 1.282 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3nccnc3C)CC2)c1C#N ZINC000598676942 357682935 /nfs/dbraw/zinc/68/29/35/357682935.db2.gz UNZWINRXUDCVIZ-UHFFFAOYSA-N 1 2 311.393 1.021 20 30 DDEDLO Cc1cc(NC[C@@H](CO)Cc2ccncc2Cl)c(C#N)c[nH+]1 ZINC000599102778 357815644 /nfs/dbraw/zinc/81/56/44/357815644.db2.gz RPLKQNOWMWWMEY-LBPRGKRZSA-N 1 2 316.792 1.995 20 30 DDEDLO N#Cc1ncccc1NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000599184610 357842730 /nfs/dbraw/zinc/84/27/30/357842730.db2.gz KENRVGKLTNBVLP-OAHLLOKOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ncccc1NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000599184610 357842735 /nfs/dbraw/zinc/84/27/35/357842735.db2.gz KENRVGKLTNBVLP-OAHLLOKOSA-N 1 2 309.373 1.661 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)N[C@H]1CCc2cc(C#N)ccc21 ZINC000599195355 357846905 /nfs/dbraw/zinc/84/69/05/357846905.db2.gz VJZFUMHPYZAVPS-ZBFHGGJFSA-N 1 2 314.389 1.175 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)N[C@H]1CCc2cc(C#N)ccc21 ZINC000599195355 357846909 /nfs/dbraw/zinc/84/69/09/357846909.db2.gz VJZFUMHPYZAVPS-ZBFHGGJFSA-N 1 2 314.389 1.175 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1C#N ZINC000599260866 357866437 /nfs/dbraw/zinc/86/64/37/357866437.db2.gz LIBBXFULWZYBQE-KRWDZBQOSA-N 1 2 313.401 1.690 20 30 DDEDLO CN1CC[NH+](Cc2cccc(NC(=O)c3c[nH]c(C#N)c3)c2)CC1 ZINC000180944520 199231110 /nfs/dbraw/zinc/23/11/10/199231110.db2.gz MOISXULHLQUOOB-UHFFFAOYSA-N 1 2 323.400 1.886 20 30 DDEDLO N#C[C@@H]1COCCN1C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000602106692 358816856 /nfs/dbraw/zinc/81/68/56/358816856.db2.gz FLGZBCDZAIPWDI-NXEZZACHSA-N 1 2 302.256 1.128 20 30 DDEDLO CC(C)(C)NS(=O)(=O)CC[NH2+]Cc1cccc(F)c1C#N ZINC000602009833 358775987 /nfs/dbraw/zinc/77/59/87/358775987.db2.gz XQFMIFZUHQTXPB-UHFFFAOYSA-N 1 2 313.398 1.505 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)n1)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000602476293 359003709 /nfs/dbraw/zinc/00/37/09/359003709.db2.gz MFNIEXXWEWYVED-HUUCEWRRSA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)n1)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000602476293 359003710 /nfs/dbraw/zinc/00/37/10/359003710.db2.gz MFNIEXXWEWYVED-HUUCEWRRSA-N 1 2 307.419 1.741 20 30 DDEDLO COC(=O)c1cccc(OC)c1C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000602852007 359238825 /nfs/dbraw/zinc/23/88/25/359238825.db2.gz MNNGDZWWJCAFLS-INIZCTEOSA-N 1 2 304.346 1.332 20 30 DDEDLO COC(=O)c1cccc(OC)c1C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000602852007 359238829 /nfs/dbraw/zinc/23/88/29/359238829.db2.gz MNNGDZWWJCAFLS-INIZCTEOSA-N 1 2 304.346 1.332 20 30 DDEDLO C[C@H](C(=O)NCc1cccs1)[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602856505 359241809 /nfs/dbraw/zinc/24/18/09/359241809.db2.gz KDOHMQFENPHLBT-MGPQQGTHSA-N 1 2 320.462 1.671 20 30 DDEDLO COc1ccnc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)c1OC ZINC000602855763 359242218 /nfs/dbraw/zinc/24/22/18/359242218.db2.gz WPLRIDRHVAZYGY-CHWSQXEVSA-N 1 2 304.394 1.517 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N2CCc3ccccc32)C[C@H](C)N1CC#N ZINC000602857483 359243348 /nfs/dbraw/zinc/24/33/48/359243348.db2.gz RSSXBEWDNQTEII-GASCZTMLSA-N 1 2 312.417 1.494 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N2CCc3ccccc32)C[C@H](C)N1CC#N ZINC000602857483 359243350 /nfs/dbraw/zinc/24/33/50/359243350.db2.gz RSSXBEWDNQTEII-GASCZTMLSA-N 1 2 312.417 1.494 20 30 DDEDLO Cc1ccccc1CNC(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602857924 359243522 /nfs/dbraw/zinc/24/35/22/359243522.db2.gz WZQVUQNLMQNLTM-HZPDHXFCSA-N 1 2 314.433 1.529 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000602947136 359314318 /nfs/dbraw/zinc/31/43/18/359314318.db2.gz XBLCMJLIWXSHHA-PBHICJAKSA-N 1 2 318.373 1.719 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@H+]1Cc1ccc(OC)c(C#N)c1 ZINC000602947136 359314323 /nfs/dbraw/zinc/31/43/23/359314323.db2.gz XBLCMJLIWXSHHA-PBHICJAKSA-N 1 2 318.373 1.719 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)Nc1cc(F)cc(C#N)c1 ZINC000603074421 359390467 /nfs/dbraw/zinc/39/04/67/359390467.db2.gz HLVFFNRGIZEAQJ-UHFFFAOYSA-N 1 2 306.341 1.493 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCCN(CCC)C(=O)C1 ZINC000189413526 200396995 /nfs/dbraw/zinc/39/69/95/200396995.db2.gz ZOOXEANGGHPHEJ-OAHLLOKOSA-N 1 2 307.438 1.520 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCCN(CCC)C(=O)C1 ZINC000189413526 200396997 /nfs/dbraw/zinc/39/69/97/200396997.db2.gz ZOOXEANGGHPHEJ-OAHLLOKOSA-N 1 2 307.438 1.520 20 30 DDEDLO CCn1ncnc1CNC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000329939761 223051388 /nfs/dbraw/zinc/05/13/88/223051388.db2.gz UAXSJDXHYUTFEN-UHFFFAOYSA-N 1 2 317.397 1.077 20 30 DDEDLO C[C@@H]1CC[C@H](C(=O)NC[C@@H]2C[C@H](F)CN2Cc2[nH+]ccn2C)O1 ZINC000329964869 223055063 /nfs/dbraw/zinc/05/50/63/223055063.db2.gz UUAUYPXADSORMZ-ZOBORPQBSA-N 1 2 324.400 1.857 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC[C@H](c3ccncn3)C2)cc1 ZINC000609671880 360343011 /nfs/dbraw/zinc/34/30/11/360343011.db2.gz YBGZRBKCHFNPTK-RDJZCZTQSA-N 1 2 321.384 1.754 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC[C@H](c3ccncn3)C2)cc1 ZINC000609671880 360343014 /nfs/dbraw/zinc/34/30/14/360343014.db2.gz YBGZRBKCHFNPTK-RDJZCZTQSA-N 1 2 321.384 1.754 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000610447884 360439891 /nfs/dbraw/zinc/43/98/91/360439891.db2.gz NNFKHXXFOZZNFD-JKSUJKDBSA-N 1 2 306.454 1.553 20 30 DDEDLO COC(=O)c1ccsc1N1CC[C@H]([NH2+][C@@H](C)CC#N)C1=O ZINC000610475795 360444873 /nfs/dbraw/zinc/44/48/73/360444873.db2.gz KJWRMOXUCLSBHH-ONGXEEELSA-N 1 2 307.375 1.532 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CC(c2nc3ccccc3[nH]2)C1 ZINC000610847157 360552088 /nfs/dbraw/zinc/55/20/88/360552088.db2.gz OJBYVRLZTXDVHU-LBPRGKRZSA-N 1 2 311.389 1.723 20 30 DDEDLO CS(=O)(=O)C[C@@H]([NH2+]Cc1ccnc(C#N)c1)c1ccccc1 ZINC000611404280 360713031 /nfs/dbraw/zinc/71/30/31/360713031.db2.gz WPFLDIOEJJJIKP-MRXNPFEDSA-N 1 2 315.398 1.829 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@@H+]2CCC[C@@](C)(C#N)C2)CC1 ZINC000612164257 360938102 /nfs/dbraw/zinc/93/81/02/360938102.db2.gz CDNBBILOJZLSDX-INIZCTEOSA-N 1 2 307.394 1.024 20 30 DDEDLO COC(=O)C1CCN(C(=O)C[N@H+]2CCC[C@@](C)(C#N)C2)CC1 ZINC000612164257 360938105 /nfs/dbraw/zinc/93/81/05/360938105.db2.gz CDNBBILOJZLSDX-INIZCTEOSA-N 1 2 307.394 1.024 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]C[C@@H](O)COc2ccc(CC#N)cc2)o1 ZINC000612531037 361054724 /nfs/dbraw/zinc/05/47/24/361054724.db2.gz SJBSPXWCQUTDTA-BXUZGUMPSA-N 1 2 316.361 1.535 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CCc1cscn1 ZINC000338931280 223264605 /nfs/dbraw/zinc/26/46/05/223264605.db2.gz JFRDZHPRCHPOIO-UHFFFAOYSA-N 1 2 322.434 1.150 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000193768656 201119962 /nfs/dbraw/zinc/11/99/62/201119962.db2.gz WUVLNUWWIOBMRV-ZIAGYGMSSA-N 1 2 315.421 1.378 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CCN(c2cc(C(F)(F)F)cc[nH+]2)CC1 ZINC000613599529 361484022 /nfs/dbraw/zinc/48/40/22/361484022.db2.gz SXUIKAHWEMYXFM-LLVKDONJSA-N 1 2 315.295 1.296 20 30 DDEDLO C[C@H](C#N)CNc1cc(C[NH+]2CCOCC2)ccc1[N+](=O)[O-] ZINC000619154015 363917624 /nfs/dbraw/zinc/91/76/24/363917624.db2.gz OLZPWMWZGXAPGN-GFCCVEGCSA-N 1 2 304.350 1.999 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(C(=O)NCC(F)(F)F)CC1 ZINC000619716062 364126612 /nfs/dbraw/zinc/12/66/12/364126612.db2.gz MDFFZWQSRIDWRT-SNVBAGLBSA-N 1 2 308.300 1.105 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN([C@@H](C#N)c2ccc(Cl)cc2)CC1 ZINC000619784850 364148203 /nfs/dbraw/zinc/14/82/03/364148203.db2.gz XZBZUXAIZUNTCM-HNNXBMFYSA-N 1 2 320.824 1.610 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1cc(C#N)ccn1)[NH+]1CCOCC1 ZINC000282989448 217372551 /nfs/dbraw/zinc/37/25/51/217372551.db2.gz NXNRIKPUVAPOAG-OAHLLOKOSA-N 1 2 302.378 1.040 20 30 DDEDLO Cc1cc(NS(=O)(=O)c2ccc(C#N)o2)c2c([nH+]1)CCCC2 ZINC000414469411 291646177 /nfs/dbraw/zinc/64/61/77/291646177.db2.gz MCPVVVSREKPYRL-UHFFFAOYSA-N 1 2 317.370 1.956 20 30 DDEDLO COC(=O)[C@H]([NH2+]CCC(=O)Nc1sccc1C#N)C(C)C ZINC000043442234 183364166 /nfs/dbraw/zinc/36/41/66/183364166.db2.gz FTUDEDBZOFKAQI-GFCCVEGCSA-N 1 2 309.391 1.736 20 30 DDEDLO N#Cc1c(C[N@H+]2CC[C@H](NC(=O)NC3CC3)C2)cn2ccccc12 ZINC000274039673 211297745 /nfs/dbraw/zinc/29/77/45/211297745.db2.gz RCZVPDNAFVKSEH-HNNXBMFYSA-N 1 2 323.400 1.847 20 30 DDEDLO N#Cc1c(C[N@@H+]2CC[C@H](NC(=O)NC3CC3)C2)cn2ccccc12 ZINC000274039673 211297751 /nfs/dbraw/zinc/29/77/51/211297751.db2.gz RCZVPDNAFVKSEH-HNNXBMFYSA-N 1 2 323.400 1.847 20 30 DDEDLO C[C@@H](NC(=O)NCc1cccc(C#N)c1)[C@@H](C)[NH+]1CCOCC1 ZINC000105119655 194075631 /nfs/dbraw/zinc/07/56/31/194075631.db2.gz WOXMANGVFKAOQA-ZIAGYGMSSA-N 1 2 316.405 1.467 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N(C)Cc1[nH+]ccn1C ZINC000273901146 211159039 /nfs/dbraw/zinc/15/90/39/211159039.db2.gz JDPGZHLOUVGUML-UHFFFAOYSA-N 1 2 314.345 1.338 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(CCOCC)CC1 ZINC000105830497 194139309 /nfs/dbraw/zinc/13/93/09/194139309.db2.gz SRTLFXXNVMIWOG-INIZCTEOSA-N 1 2 311.470 1.454 20 30 DDEDLO COc1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)c([N+](=O)[O-])c1 ZINC000414138366 533018370 /nfs/dbraw/zinc/01/83/70/533018370.db2.gz GTKNFBAOOBMHAX-NSHDSACASA-N 1 2 309.322 1.189 20 30 DDEDLO COc1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c([N+](=O)[O-])c1 ZINC000414138366 533018379 /nfs/dbraw/zinc/01/83/79/533018379.db2.gz GTKNFBAOOBMHAX-NSHDSACASA-N 1 2 309.322 1.189 20 30 DDEDLO N#CCC[C@H](NC(=O)NCC[NH+]1CCOCC1)c1ccccc1 ZINC000158967804 197315219 /nfs/dbraw/zinc/31/52/19/197315219.db2.gz HOPIDCWPZDSZMW-INIZCTEOSA-N 1 2 316.405 1.663 20 30 DDEDLO C[NH+](C)[C@@H](CNS(=O)(=O)c1cncc(C#N)c1)c1ccco1 ZINC000290004046 221373087 /nfs/dbraw/zinc/37/30/87/221373087.db2.gz ZHLHKUWJRQOMJK-ZDUSSCGKSA-N 1 2 320.374 1.127 20 30 DDEDLO C=CCCOCCNC(=O)NCCc1cn2ccccc2[nH+]1 ZINC000121898586 195370879 /nfs/dbraw/zinc/37/08/79/195370879.db2.gz GEKYRSLWLGDKMW-UHFFFAOYSA-N 1 2 302.378 1.769 20 30 DDEDLO C[C@@H](CNC(=O)Nc1ccccc1N(C)C)[NH+]1CCOCC1 ZINC000330399209 533362171 /nfs/dbraw/zinc/36/21/71/533362171.db2.gz IGYGMCOQJWNPBT-ZDUSSCGKSA-N 1 2 306.410 1.799 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2sccc2C#N)C[C@@H]1C ZINC000330737364 533426276 /nfs/dbraw/zinc/42/62/76/533426276.db2.gz NMUNKZDHXDVLJQ-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2sccc2C#N)C[C@@H]1C ZINC000330737364 533426283 /nfs/dbraw/zinc/42/62/83/533426283.db2.gz NMUNKZDHXDVLJQ-NSHDSACASA-N 1 2 321.402 1.329 20 30 DDEDLO C[C@@H](Nc1c(C#N)cccc1[N+](=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000425221818 533550881 /nfs/dbraw/zinc/55/08/81/533550881.db2.gz HISGXTZWZPQFFJ-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000134847980 292045350 /nfs/dbraw/zinc/04/53/50/292045350.db2.gz XTARCGQIEPJJPH-LSDHHAIUSA-N 1 2 300.362 1.413 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000134847980 292045353 /nfs/dbraw/zinc/04/53/53/292045353.db2.gz XTARCGQIEPJJPH-LSDHHAIUSA-N 1 2 300.362 1.413 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H]2CC[C@H](C)C2)CC1 ZINC000128775628 407569576 /nfs/dbraw/zinc/56/95/76/407569576.db2.gz AJUWWBBXGQRWJE-HOTGVXAUSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H]2CC[C@H](C)C2)CC1 ZINC000128775628 407569584 /nfs/dbraw/zinc/56/95/84/407569584.db2.gz AJUWWBBXGQRWJE-HOTGVXAUSA-N 1 2 319.449 1.096 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccc(OC)c(F)c2)CC1 ZINC000084866356 407095225 /nfs/dbraw/zinc/09/52/25/407095225.db2.gz OEDJRKFDGNLABW-UHFFFAOYSA-N 1 2 307.369 1.259 20 30 DDEDLO N#Cc1cc(S(=O)(=O)NCCn2cc[nH+]c2)ccc1Cl ZINC000048665326 407103255 /nfs/dbraw/zinc/10/32/55/407103255.db2.gz JCAKNDGQUBXTAO-UHFFFAOYSA-N 1 2 310.766 1.387 20 30 DDEDLO C[C@@H](Sc1[nH+]cnc2c1cnn2C)C(=O)N(C)CCC#N ZINC000054781586 407188949 /nfs/dbraw/zinc/18/89/49/407188949.db2.gz WEWYZGNADXIRRQ-SECBINFHSA-N 1 2 304.379 1.216 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](O)C[N@@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000093441336 407199055 /nfs/dbraw/zinc/19/90/55/407199055.db2.gz IZHSDWLZKKYQHV-WHOFXGATSA-N 1 2 304.346 1.086 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](O)C[N@H+]1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000093441336 407199057 /nfs/dbraw/zinc/19/90/57/407199057.db2.gz IZHSDWLZKKYQHV-WHOFXGATSA-N 1 2 304.346 1.086 20 30 DDEDLO CN(CCC#N)CC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000096969424 407274632 /nfs/dbraw/zinc/27/46/32/407274632.db2.gz PIWRKIRGARQDAS-UHFFFAOYSA-N 1 2 316.405 1.303 20 30 DDEDLO CCOC(=O)c1ccc(N2CCC[N@H+](CCC#N)CC2)nc1 ZINC000103472134 407340647 /nfs/dbraw/zinc/34/06/47/407340647.db2.gz JXUCYNFTCWXYJH-UHFFFAOYSA-N 1 2 302.378 1.684 20 30 DDEDLO CCOC(=O)c1ccc(N2CCC[N@@H+](CCC#N)CC2)nc1 ZINC000103472134 407340648 /nfs/dbraw/zinc/34/06/48/407340648.db2.gz JXUCYNFTCWXYJH-UHFFFAOYSA-N 1 2 302.378 1.684 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(-c3ccncc3)oc2=S)CC1 ZINC000124338094 407355335 /nfs/dbraw/zinc/35/53/35/407355335.db2.gz HBYTZFTVMAZGJQ-UHFFFAOYSA-N 1 2 315.402 1.476 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000125231812 407382513 /nfs/dbraw/zinc/38/25/13/407382513.db2.gz JIKOMLRCUFWXIG-AWEZNQCLSA-N 1 2 309.373 1.691 20 30 DDEDLO C=CC1CC[NH+](Cc2nnc3n2c2ccccc2c(=O)n3C)CC1 ZINC000111260447 407403165 /nfs/dbraw/zinc/40/31/65/407403165.db2.gz ASLJOGWGVHTTNQ-UHFFFAOYSA-N 1 2 323.400 1.979 20 30 DDEDLO COc1cc2c(cc1OC)C[N@H+](CC(=O)NC1(C#N)CC1)CC2 ZINC000151784307 407499342 /nfs/dbraw/zinc/49/93/42/407499342.db2.gz FDPMGKRNFLFUHG-UHFFFAOYSA-N 1 2 315.373 1.234 20 30 DDEDLO COc1cc2c(cc1OC)C[N@@H+](CC(=O)NC1(C#N)CC1)CC2 ZINC000151784307 407499346 /nfs/dbraw/zinc/49/93/46/407499346.db2.gz FDPMGKRNFLFUHG-UHFFFAOYSA-N 1 2 315.373 1.234 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)c2ccc(OC)c(OC)c2OC)CC1 ZINC000128326760 407522907 /nfs/dbraw/zinc/52/29/07/407522907.db2.gz YOJHRFGYTLFPFB-UHFFFAOYSA-N 1 2 318.373 1.103 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCN(CC(F)(F)F)[C@@H](C)C1 ZINC000128407207 407531837 /nfs/dbraw/zinc/53/18/37/407531837.db2.gz NHUWHYXWRWKUKR-RYUDHWBXSA-N 1 2 320.359 1.315 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCN(CC(F)(F)F)[C@@H](C)C1 ZINC000128407207 407531843 /nfs/dbraw/zinc/53/18/43/407531843.db2.gz NHUWHYXWRWKUKR-RYUDHWBXSA-N 1 2 320.359 1.315 20 30 DDEDLO C#CCCCCC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000271334283 407641847 /nfs/dbraw/zinc/64/18/47/407641847.db2.gz AZTYKGWBYLODPF-UHFFFAOYSA-N 1 2 301.390 1.728 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2OC)CC1 ZINC000115551190 407689997 /nfs/dbraw/zinc/68/99/97/407689997.db2.gz WLLYGVYUDCSPGR-ZDUSSCGKSA-N 1 2 321.396 1.647 20 30 DDEDLO C=C(Cl)CNC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000267243459 407802748 /nfs/dbraw/zinc/80/27/48/407802748.db2.gz XSWZVJCDGKNEFX-UHFFFAOYSA-N 1 2 313.789 1.089 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC=C(c2cccs2)CC1 ZINC000117895048 407867374 /nfs/dbraw/zinc/86/73/74/407867374.db2.gz HVHLWMKRLVEGNY-UHFFFAOYSA-N 1 2 305.403 1.849 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC=C(c2cccs2)CC1 ZINC000117895048 407867377 /nfs/dbraw/zinc/86/73/77/407867377.db2.gz HVHLWMKRLVEGNY-UHFFFAOYSA-N 1 2 305.403 1.849 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(N)=O)C2)c(Br)c1 ZINC000272468344 407935465 /nfs/dbraw/zinc/93/54/65/407935465.db2.gz FFJPZURGQRWSDM-LLVKDONJSA-N 1 2 323.194 1.563 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(N)=O)C2)c(Br)c1 ZINC000272468344 407935470 /nfs/dbraw/zinc/93/54/70/407935470.db2.gz FFJPZURGQRWSDM-LLVKDONJSA-N 1 2 323.194 1.563 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+](C)[C@@H]1CCCc2c1cnn2C ZINC000135579199 408034833 /nfs/dbraw/zinc/03/48/33/408034833.db2.gz MUEMXYRNMRRAHA-PBHICJAKSA-N 1 2 317.437 1.784 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+](C)[C@@H]1CCCc2c1cnn2C ZINC000135579199 408034838 /nfs/dbraw/zinc/03/48/38/408034838.db2.gz MUEMXYRNMRRAHA-PBHICJAKSA-N 1 2 317.437 1.784 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCC[C@H](C)C2)CC1 ZINC000119092787 407994380 /nfs/dbraw/zinc/99/43/80/407994380.db2.gz AHNVNVQYYMBLPQ-HOTGVXAUSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCC[C@H](C)C2)CC1 ZINC000119092787 407994385 /nfs/dbraw/zinc/99/43/85/407994385.db2.gz AHNVNVQYYMBLPQ-HOTGVXAUSA-N 1 2 319.449 1.096 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C2)[nH]1 ZINC000268506243 408074443 /nfs/dbraw/zinc/07/44/43/408074443.db2.gz SZPMTRSJMCRCHC-DGCLKSJQSA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C2)[nH]1 ZINC000268506243 408074447 /nfs/dbraw/zinc/07/44/47/408074447.db2.gz SZPMTRSJMCRCHC-DGCLKSJQSA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C2)n1 ZINC000268506243 408074453 /nfs/dbraw/zinc/07/44/53/408074453.db2.gz SZPMTRSJMCRCHC-DGCLKSJQSA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C2)n1 ZINC000268506243 408074455 /nfs/dbraw/zinc/07/44/55/408074455.db2.gz SZPMTRSJMCRCHC-DGCLKSJQSA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C2)n[nH]1 ZINC000268506243 408074461 /nfs/dbraw/zinc/07/44/61/408074461.db2.gz SZPMTRSJMCRCHC-DGCLKSJQSA-N 1 2 304.398 1.053 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C2)n[nH]1 ZINC000268506243 408074464 /nfs/dbraw/zinc/07/44/64/408074464.db2.gz SZPMTRSJMCRCHC-DGCLKSJQSA-N 1 2 304.398 1.053 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+][C@H](CO)c1c(F)cccc1F ZINC000273138352 408076685 /nfs/dbraw/zinc/07/66/85/408076685.db2.gz TXAUQNBEBZPTQU-CYBMUJFWSA-N 1 2 311.332 1.350 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N1CCC(n2cc[nH+]c2)CC1 ZINC000136235594 408088159 /nfs/dbraw/zinc/08/81/59/408088159.db2.gz PRKQFUNMBMXUIX-UHFFFAOYSA-N 1 2 323.352 1.827 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+](Cc2ccc(C(N)=O)cc2)CC1 ZINC000175329750 408106266 /nfs/dbraw/zinc/10/62/66/408106266.db2.gz CANMTISKSOWLOQ-UHFFFAOYSA-N 1 2 315.417 1.786 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCCN(C(=O)C(C)C)CC1 ZINC000155105610 408128333 /nfs/dbraw/zinc/12/83/33/408128333.db2.gz YABHFHGKPDWNNS-OAHLLOKOSA-N 1 2 322.453 1.185 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCCN(C(=O)C(C)C)CC1 ZINC000155105610 408128338 /nfs/dbraw/zinc/12/83/38/408128338.db2.gz YABHFHGKPDWNNS-OAHLLOKOSA-N 1 2 322.453 1.185 20 30 DDEDLO N#Cc1ccc([C@H](O)CN2CC[NH+](Cc3ccccn3)CC2)cc1 ZINC000268636028 408131609 /nfs/dbraw/zinc/13/16/09/408131609.db2.gz RJMLJLCQRXYDCW-LJQANCHMSA-N 1 2 322.412 1.804 20 30 DDEDLO CC(C)(C)c1csc(CO[NH+]=C(N)CN2CCOCC2)n1 ZINC000120965797 408150086 /nfs/dbraw/zinc/15/00/86/408150086.db2.gz QZABOTFEXRGSAT-UHFFFAOYSA-N 1 2 312.439 1.562 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCO[C@](C)(c2ccccc2)C1 ZINC000121272363 408174634 /nfs/dbraw/zinc/17/46/34/408174634.db2.gz BHHHGVOAODSJNS-SFHVURJKSA-N 1 2 315.417 1.996 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCO[C@](C)(c2ccccc2)C1 ZINC000121272363 408174637 /nfs/dbraw/zinc/17/46/37/408174637.db2.gz BHHHGVOAODSJNS-SFHVURJKSA-N 1 2 315.417 1.996 20 30 DDEDLO NC(CCO)=[NH+]OCC(=O)Nc1ccccc1C(F)(F)F ZINC000121307550 408181466 /nfs/dbraw/zinc/18/14/66/408181466.db2.gz QJJAXPADCHFDLN-UHFFFAOYSA-N 1 2 305.256 1.315 20 30 DDEDLO NC(CCO)=[NH+]OCc1cn(-c2ccc(F)c(Cl)c2)nn1 ZINC000121415473 408207640 /nfs/dbraw/zinc/20/76/40/408207640.db2.gz ORTQFHYCIJLDHR-UHFFFAOYSA-N 1 2 313.720 1.231 20 30 DDEDLO CCCCNC(=O)[C@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158185870 408329768 /nfs/dbraw/zinc/32/97/68/408329768.db2.gz YZRAADCBXHNYOP-NSHDSACASA-N 1 2 305.378 1.563 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000176107556 408287922 /nfs/dbraw/zinc/28/79/22/408287922.db2.gz CHRTYXHDXCQZST-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO N#Cc1ccc(NC(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)cc1 ZINC000131626978 162019469 /nfs/dbraw/zinc/01/94/69/162019469.db2.gz RPQSSDXQNGIWBQ-OAHLLOKOSA-N 1 2 300.362 1.543 20 30 DDEDLO N#Cc1ccc(NC(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)cc1 ZINC000131626978 162019473 /nfs/dbraw/zinc/01/94/73/162019473.db2.gz RPQSSDXQNGIWBQ-OAHLLOKOSA-N 1 2 300.362 1.543 20 30 DDEDLO N#Cc1ccc(NC(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)cc1 ZINC000131627204 162019581 /nfs/dbraw/zinc/01/95/81/162019581.db2.gz RPQSSDXQNGIWBQ-HNNXBMFYSA-N 1 2 300.362 1.543 20 30 DDEDLO N#Cc1ccc(NC(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)cc1 ZINC000131627204 162019585 /nfs/dbraw/zinc/01/95/85/162019585.db2.gz RPQSSDXQNGIWBQ-HNNXBMFYSA-N 1 2 300.362 1.543 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(OCC#N)c1)[NH+]1CCSCC1 ZINC000269644713 408373056 /nfs/dbraw/zinc/37/30/56/408373056.db2.gz AARPJCIINGTDGZ-LBPRGKRZSA-N 1 2 305.403 1.965 20 30 DDEDLO Cc1cccc(S(=O)(=O)N[C@@H](C)Cn2cc[nH+]c2)c1C#N ZINC000269663357 408383402 /nfs/dbraw/zinc/38/34/02/408383402.db2.gz MGPKJGKMEIFORN-LBPRGKRZSA-N 1 2 304.375 1.430 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2ccc([N+](=O)[O-])c(C#N)c2)CC1 ZINC000269728719 408405604 /nfs/dbraw/zinc/40/56/04/408405604.db2.gz UOXLBHZZIKLWOZ-UHFFFAOYSA-N 1 2 317.349 1.042 20 30 DDEDLO C=C(C)COCCNC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000160750573 408520515 /nfs/dbraw/zinc/52/05/15/408520515.db2.gz WKXDEFYKNROTRU-UHFFFAOYSA-N 1 2 318.421 1.502 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](C)CC[N@@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000274823445 408545738 /nfs/dbraw/zinc/54/57/38/408545738.db2.gz BXBBAJNPFQFFBC-UHOFOFEASA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](C)CC[N@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000274823445 408545741 /nfs/dbraw/zinc/54/57/41/408545741.db2.gz BXBBAJNPFQFFBC-UHOFOFEASA-N 1 2 302.374 1.865 20 30 DDEDLO C=CCCCCNC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000274856710 408557499 /nfs/dbraw/zinc/55/74/99/408557499.db2.gz YEBOYPYXFGOMGB-UHFFFAOYSA-N 1 2 321.425 1.693 20 30 DDEDLO CC#CCNC(=O)N[C@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000270743777 408660376 /nfs/dbraw/zinc/66/03/76/408660376.db2.gz VXPQJEYBPFRXIW-AWEZNQCLSA-N 1 2 300.337 1.971 20 30 DDEDLO CN(C)C(=O)C1CC[NH+](CC(=O)Nc2sccc2C#N)CC1 ZINC000192874554 408664178 /nfs/dbraw/zinc/66/41/78/408664178.db2.gz XFJQMLLZUMBYRQ-UHFFFAOYSA-N 1 2 320.418 1.358 20 30 DDEDLO C[C@H](C[NH+]1CCN(c2ccccc2C#N)CC1)CS(C)(=O)=O ZINC000163370225 408774576 /nfs/dbraw/zinc/77/45/76/408774576.db2.gz ACVGRDLZCQUPLR-CQSZACIVSA-N 1 2 321.446 1.361 20 30 DDEDLO N#C[C@H]1CC[C@H]([NH+]2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000281149801 408873620 /nfs/dbraw/zinc/87/36/20/408873620.db2.gz ZTVSCLDEQHJXEI-GJZGRUSLSA-N 1 2 319.430 1.685 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2cc(C#N)c[nH]2)C1 ZINC000276444008 408859236 /nfs/dbraw/zinc/85/92/36/408859236.db2.gz MFPNUEPQNZIFRY-CQSZACIVSA-N 1 2 302.378 1.069 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2cc(C#N)c[nH]2)C1 ZINC000276444008 408859238 /nfs/dbraw/zinc/85/92/38/408859238.db2.gz MFPNUEPQNZIFRY-CQSZACIVSA-N 1 2 302.378 1.069 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C#N)ccc3Cl)C[C@H]21 ZINC000285769514 408859799 /nfs/dbraw/zinc/85/97/99/408859799.db2.gz LBCCFGYMTXEQJB-CABCVRRESA-N 1 2 319.792 1.757 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C#N)ccc3Cl)C[C@H]21 ZINC000285769514 408859801 /nfs/dbraw/zinc/85/98/01/408859801.db2.gz LBCCFGYMTXEQJB-CABCVRRESA-N 1 2 319.792 1.757 20 30 DDEDLO C#C[C@H](NC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1)C(C)C ZINC000281678095 408908799 /nfs/dbraw/zinc/90/87/99/408908799.db2.gz ZWRARJMERKWJJY-HNNXBMFYSA-N 1 2 324.384 1.800 20 30 DDEDLO C[C@](O)(C[NH+]1CCN(c2ccccc2C#N)CC1)C(F)(F)F ZINC000281734157 408920378 /nfs/dbraw/zinc/92/03/78/408920378.db2.gz DTHAFQDOAUHZMM-AWEZNQCLSA-N 1 2 313.323 1.994 20 30 DDEDLO C#CCNc1nc(N2CC[C@H](C)C2)nc(N2CC[C@H](C)C2)[nH+]1 ZINC000286182987 408938311 /nfs/dbraw/zinc/93/83/11/408938311.db2.gz JIUJKEVMUVGLGE-STQMWFEESA-N 1 2 300.410 1.609 20 30 DDEDLO C#CCNc1nc(N2CC[C@H](C)C2)[nH+]c(N2CC[C@H](C)C2)n1 ZINC000286182987 408938316 /nfs/dbraw/zinc/93/83/16/408938316.db2.gz JIUJKEVMUVGLGE-STQMWFEESA-N 1 2 300.410 1.609 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H]2CCN(c3ccccc3)C2=O)C1=O ZINC000281884257 408947113 /nfs/dbraw/zinc/94/71/13/408947113.db2.gz DHJLMJBMVXNLHS-CVEARBPZSA-N 1 2 313.401 1.511 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H]2CCN(c3ccccc3)C2=O)C1=O ZINC000281884257 408947115 /nfs/dbraw/zinc/94/71/15/408947115.db2.gz DHJLMJBMVXNLHS-CVEARBPZSA-N 1 2 313.401 1.511 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN([C@@H](C)c3cccnc3)CC2)C1=O ZINC000282027908 408974688 /nfs/dbraw/zinc/97/46/88/408974688.db2.gz PVAUKIZWYBBNAG-DOTOQJQBSA-N 1 2 314.433 1.547 20 30 DDEDLO C=CCN1CC[C@@H](N2CC[NH+]([C@@H](C)c3cccnc3)CC2)C1=O ZINC000282027908 408974689 /nfs/dbraw/zinc/97/46/89/408974689.db2.gz PVAUKIZWYBBNAG-DOTOQJQBSA-N 1 2 314.433 1.547 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CC[C@H](CS(C)(=O)=O)C1 ZINC000292199626 408999109 /nfs/dbraw/zinc/99/91/09/408999109.db2.gz LSCSJHKVLAGWOM-ZDUSSCGKSA-N 1 2 308.403 1.433 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CC[C@H](CS(C)(=O)=O)C1 ZINC000292199626 408999112 /nfs/dbraw/zinc/99/91/12/408999112.db2.gz LSCSJHKVLAGWOM-ZDUSSCGKSA-N 1 2 308.403 1.433 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@@](C)(C(N)=O)c2cccc(Cl)c2)C1=O ZINC000282144985 408999665 /nfs/dbraw/zinc/99/96/65/408999665.db2.gz ZXBHLQAVTOFJBX-XJKSGUPXSA-N 1 2 321.808 1.417 20 30 DDEDLO Cn1nccc1CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000277806597 409015129 /nfs/dbraw/zinc/01/51/29/409015129.db2.gz HPSAPNZYVLSCMO-UHFFFAOYSA-N 1 2 323.400 1.179 20 30 DDEDLO C=C[C@@H](CO)NC(=O)CSCc1cn2cc(C)ccc2[nH+]1 ZINC000292864322 409044886 /nfs/dbraw/zinc/04/48/86/409044886.db2.gz YYMKKBDZPHAOEV-LBPRGKRZSA-N 1 2 305.403 1.539 20 30 DDEDLO C#CCNC(=O)N1CC[N@@H+](Cc2ccccc2OCC)C[C@H]1C ZINC000282445697 409055794 /nfs/dbraw/zinc/05/57/94/409055794.db2.gz SCQNVQHDZWVVTI-OAHLLOKOSA-N 1 2 315.417 1.934 20 30 DDEDLO C#CCNC(=O)N1CC[N@H+](Cc2ccccc2OCC)C[C@H]1C ZINC000282445697 409055796 /nfs/dbraw/zinc/05/57/96/409055796.db2.gz SCQNVQHDZWVVTI-OAHLLOKOSA-N 1 2 315.417 1.934 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](C)[C@H](NC(=O)C(F)(F)F)[C@@H]1C ZINC000287610998 409064444 /nfs/dbraw/zinc/06/44/44/409064444.db2.gz ZGTPRYVYXFCBEP-NHCYSSNCSA-N 1 2 321.343 1.066 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)C(F)(F)F)[C@@H]1C ZINC000287610998 409064446 /nfs/dbraw/zinc/06/44/46/409064446.db2.gz ZGTPRYVYXFCBEP-NHCYSSNCSA-N 1 2 321.343 1.066 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N(C)CC[NH+]2CCOCC2)c1 ZINC000293343313 409094466 /nfs/dbraw/zinc/09/44/66/409094466.db2.gz YUSDJFPPJHBINF-UHFFFAOYSA-N 1 2 316.405 1.028 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](CCCO)Cc1ccccn1 ZINC000287797096 409095901 /nfs/dbraw/zinc/09/59/01/409095901.db2.gz OILLZPYMMNYXNH-OAHLLOKOSA-N 1 2 318.421 1.274 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CCCO)Cc1ccccn1 ZINC000287797096 409095903 /nfs/dbraw/zinc/09/59/03/409095903.db2.gz OILLZPYMMNYXNH-OAHLLOKOSA-N 1 2 318.421 1.274 20 30 DDEDLO Cc1nsc(Nc2ccc(N3CCNC(=O)C3)[nH+]c2)c1C#N ZINC000288169822 409157391 /nfs/dbraw/zinc/15/73/91/409157391.db2.gz QBOWVVPZVBBIKN-UHFFFAOYSA-N 1 2 314.374 1.398 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccn3)n2CC(=C)C)CC1 ZINC000283447250 409158116 /nfs/dbraw/zinc/15/81/16/409158116.db2.gz ZGPOMBUYYOPARX-UHFFFAOYSA-N 1 2 322.416 1.671 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000279183036 409165356 /nfs/dbraw/zinc/16/53/56/409165356.db2.gz KKYACTDFFVHSSR-AEFFLSMTSA-N 1 2 318.417 1.331 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000279183036 409165358 /nfs/dbraw/zinc/16/53/58/409165358.db2.gz KKYACTDFFVHSSR-AEFFLSMTSA-N 1 2 318.417 1.331 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CC[N@@H+](C)C[C@H]1c1ccccc1 ZINC000288506816 409169865 /nfs/dbraw/zinc/16/98/65/409169865.db2.gz FMPYBXJFSQAATH-INIZCTEOSA-N 1 2 315.417 1.584 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CC[N@H+](C)C[C@H]1c1ccccc1 ZINC000288506816 409169866 /nfs/dbraw/zinc/16/98/66/409169866.db2.gz FMPYBXJFSQAATH-INIZCTEOSA-N 1 2 315.417 1.584 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000290000917 409292464 /nfs/dbraw/zinc/29/24/64/409292464.db2.gz TVDONUFCNVEZCV-OCCSQVGLSA-N 1 2 323.828 1.791 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000290000917 409292466 /nfs/dbraw/zinc/29/24/66/409292466.db2.gz TVDONUFCNVEZCV-OCCSQVGLSA-N 1 2 323.828 1.791 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCOC[C@@H]1CC(=O)c1cccs1 ZINC000294716956 409297914 /nfs/dbraw/zinc/29/79/14/409297914.db2.gz VMGQUSVEXRSFSX-ZDUSSCGKSA-N 1 2 320.414 1.161 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCOC[C@@H]1CC(=O)c1cccs1 ZINC000294716956 409297928 /nfs/dbraw/zinc/29/79/28/409297928.db2.gz VMGQUSVEXRSFSX-ZDUSSCGKSA-N 1 2 320.414 1.161 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2cccc(C#N)c2)C[C@@]2(CCOC2)O1 ZINC000280366552 409300174 /nfs/dbraw/zinc/30/01/74/409300174.db2.gz KCYGOPHTZBWKLL-CXAGYDPISA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2cccc(C#N)c2)C[C@@]2(CCOC2)O1 ZINC000280366552 409300178 /nfs/dbraw/zinc/30/01/78/409300178.db2.gz KCYGOPHTZBWKLL-CXAGYDPISA-N 1 2 315.373 1.377 20 30 DDEDLO CCOC[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000290207377 409320761 /nfs/dbraw/zinc/32/07/61/409320761.db2.gz HBDHOBIHTTYBBK-CQSZACIVSA-N 1 2 323.418 1.085 20 30 DDEDLO CCOC[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000290207377 409320766 /nfs/dbraw/zinc/32/07/66/409320766.db2.gz HBDHOBIHTTYBBK-CQSZACIVSA-N 1 2 323.418 1.085 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)NC[C@@H](C(C)C)[NH+]1CCOCC1 ZINC000280584123 409369806 /nfs/dbraw/zinc/36/98/06/409369806.db2.gz NANGZWFDGTZAEF-HNNXBMFYSA-N 1 2 305.378 1.546 20 30 DDEDLO COc1ccccc1CN(C)C(=O)CO[NH+]=C(N)[C@@H]1CCCO1 ZINC000284529960 409348416 /nfs/dbraw/zinc/34/84/16/409348416.db2.gz UZDYWZNMNWCOFE-AWEZNQCLSA-N 1 2 321.377 1.121 20 30 DDEDLO CC(C)[C@@H](NS(=O)(=O)c1cccnc1C#N)c1[nH]cc[nH+]1 ZINC000296124763 409439580 /nfs/dbraw/zinc/43/95/80/409439580.db2.gz SBDPASWCGIUVDP-GFCCVEGCSA-N 1 2 305.363 1.352 20 30 DDEDLO C#CC[NH+]1CCN(c2ncc(Br)cc2C#N)CC1 ZINC000296455402 409567044 /nfs/dbraw/zinc/56/70/44/409567044.db2.gz IYMZQBATBYXGES-UHFFFAOYSA-N 1 2 305.179 1.471 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000342338067 409578510 /nfs/dbraw/zinc/57/85/10/409578510.db2.gz LCYYGIODIQOJIH-LBPRGKRZSA-N 1 2 324.331 1.663 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000342338067 409578519 /nfs/dbraw/zinc/57/85/19/409578519.db2.gz LCYYGIODIQOJIH-LBPRGKRZSA-N 1 2 324.331 1.663 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000338131592 409741846 /nfs/dbraw/zinc/74/18/46/409741846.db2.gz WYOITLOBUOVXKB-MRXNPFEDSA-N 1 2 313.401 1.804 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cscc1C#N ZINC000354266100 409745312 /nfs/dbraw/zinc/74/53/12/409745312.db2.gz MPICTMPJCHVRPW-WCQYABFASA-N 1 2 305.403 1.555 20 30 DDEDLO Cc1onc(CC(=O)NCCCCNc2cccc[nH+]2)c1C#N ZINC000354439768 409855115 /nfs/dbraw/zinc/85/51/15/409855115.db2.gz QFJANNJFRHVDRW-UHFFFAOYSA-N 1 2 313.361 1.801 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)c1c(F)cc(C#N)cc1F ZINC000308701803 409784636 /nfs/dbraw/zinc/78/46/36/409784636.db2.gz HHYJYKHERRGTQY-UHFFFAOYSA-N 1 2 323.343 1.677 20 30 DDEDLO CCC(CC)([NH2+]C[C@H](O)COc1ccc(C#N)cc1)C(=O)OC ZINC000338343320 409925173 /nfs/dbraw/zinc/92/51/73/409925173.db2.gz BDPYJFWZWCJYLR-AWEZNQCLSA-N 1 2 320.389 1.619 20 30 DDEDLO COCC1(C#N)CCN(C(=O)C2([NH+]3CCOCC3)CCC2)CC1 ZINC000357096586 409870761 /nfs/dbraw/zinc/87/07/61/409870761.db2.gz YNTHLYHPELPBGY-UHFFFAOYSA-N 1 2 321.421 1.020 20 30 DDEDLO C[C@@H](CNC(=O)C(C)(C)[NH+]1CCOCC1)N1CCCCC1=O ZINC000328705507 409959343 /nfs/dbraw/zinc/95/93/43/409959343.db2.gz YRTORXKRSUCZAH-ZDUSSCGKSA-N 1 2 311.426 1.455 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(c2cccc(Cl)c2C#N)CC1 ZINC000297645975 409940287 /nfs/dbraw/zinc/94/02/87/409940287.db2.gz YBNZDEFAEBWSLU-UHFFFAOYSA-N 1 2 306.797 1.598 20 30 DDEDLO Cc1cc(-c2nc([C@H]3C[N@@H+](C4CC4)CCO3)no2)ncc1C#N ZINC000351207433 410048127 /nfs/dbraw/zinc/04/81/27/410048127.db2.gz JYVYXTCBERAVEL-CQSZACIVSA-N 1 2 311.345 1.847 20 30 DDEDLO Cc1cc(-c2nc([C@H]3C[N@H+](C4CC4)CCO3)no2)ncc1C#N ZINC000351207433 410048134 /nfs/dbraw/zinc/04/81/34/410048134.db2.gz JYVYXTCBERAVEL-CQSZACIVSA-N 1 2 311.345 1.847 20 30 DDEDLO C[C@H](NC(=O)CN1CCCCCC1=O)[C@H](C)[NH+]1CCOCC1 ZINC000329096019 410100837 /nfs/dbraw/zinc/10/08/37/410100837.db2.gz LBCXHCIZOHPEQS-KBPBESRZSA-N 1 2 311.426 1.455 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)[C@H]1C)[NH+]1CCCCCC1 ZINC000329128632 410120252 /nfs/dbraw/zinc/12/02/52/410120252.db2.gz VNWFXAQNWSQTFC-AVGNSLFASA-N 1 2 302.440 1.783 20 30 DDEDLO COC(=O)C1CC[NH+](CC(=O)NCc2ccc(C#N)cc2)CC1 ZINC000298457453 410237904 /nfs/dbraw/zinc/23/79/04/410237904.db2.gz JSRQQRSRYKCCNK-UHFFFAOYSA-N 1 2 315.373 1.059 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CC[C@H](C2CCOCC2)C1 ZINC000329500712 410330192 /nfs/dbraw/zinc/33/01/92/410330192.db2.gz ACKWESWMGANZTF-UONOGXRCSA-N 1 2 318.421 1.930 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CC[C@H](C2CCOCC2)C1 ZINC000329500712 410330196 /nfs/dbraw/zinc/33/01/96/410330196.db2.gz ACKWESWMGANZTF-UONOGXRCSA-N 1 2 318.421 1.930 20 30 DDEDLO Cc1[nH+]ccn1C[C@H](C)C[NH+]=C([O-])N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000329578177 410369407 /nfs/dbraw/zinc/36/94/07/410369407.db2.gz SZYLQHMGDQBJOB-BEAPCOKYSA-N 1 2 306.410 1.444 20 30 DDEDLO C#CCNC(=O)c1ccc(N2CCN(c3cccc[nH+]3)CC2)nc1 ZINC000298763502 410389392 /nfs/dbraw/zinc/38/93/92/410389392.db2.gz VRGNQXQQEJEQNA-UHFFFAOYSA-N 1 2 321.384 1.166 20 30 DDEDLO Cc1noc([C@@H]2CCCN2C([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)n1 ZINC000329635707 410394989 /nfs/dbraw/zinc/39/49/89/410394989.db2.gz XSYADKFSUWLIRK-MFKMUULPSA-N 1 2 316.365 1.710 20 30 DDEDLO Cc1noc([C@@H]2CCCN2C([O-])=[NH+][C@@H]2CCc3[nH+]c[nH]c3C2)n1 ZINC000329635707 410394992 /nfs/dbraw/zinc/39/49/92/410394992.db2.gz XSYADKFSUWLIRK-MFKMUULPSA-N 1 2 316.365 1.710 20 30 DDEDLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)Cn1cnc(C#N)n1 ZINC000333219457 410429641 /nfs/dbraw/zinc/42/96/41/410429641.db2.gz DEXWZJURHODZSR-LBPRGKRZSA-N 1 2 313.365 1.120 20 30 DDEDLO CCCNC(=O)CO[NH+]=C(N)c1ccc(N2CCCCC2)nc1 ZINC000299318531 410586620 /nfs/dbraw/zinc/58/66/20/410586620.db2.gz KCEWEVNHEKQVGY-UHFFFAOYSA-N 1 2 319.409 1.235 20 30 DDEDLO Cc1cccc2nc(C[NH+]3CCC(F)(C#N)CC3)cc(=O)n12 ZINC000352458413 410660325 /nfs/dbraw/zinc/66/03/25/410660325.db2.gz KESGCYDYTUMOBR-UHFFFAOYSA-N 1 2 300.337 1.831 20 30 DDEDLO CN(C[C@H]1CCC[N@H+](C[C@H](C#N)CCC#N)C1)S(C)(=O)=O ZINC000352543641 410665740 /nfs/dbraw/zinc/66/57/40/410665740.db2.gz HQELGNDEIOJSTJ-UONOGXRCSA-N 1 2 312.439 1.033 20 30 DDEDLO CN(C[C@H]1CCC[N@@H+](C[C@H](C#N)CCC#N)C1)S(C)(=O)=O ZINC000352543641 410665744 /nfs/dbraw/zinc/66/57/44/410665744.db2.gz HQELGNDEIOJSTJ-UONOGXRCSA-N 1 2 312.439 1.033 20 30 DDEDLO N#CC1(F)CC[NH+]([C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000352465005 410658875 /nfs/dbraw/zinc/65/88/75/410658875.db2.gz ZYRZEWCBAYZLMP-SNVBAGLBSA-N 1 2 307.291 1.867 20 30 DDEDLO C=CCN(C)C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000355921441 410733853 /nfs/dbraw/zinc/73/38/53/410733853.db2.gz QRSISDOTLYMIPH-HNNXBMFYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCN(C)C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000355921441 410733863 /nfs/dbraw/zinc/73/38/63/410733863.db2.gz QRSISDOTLYMIPH-HNNXBMFYSA-N 1 2 318.421 1.662 20 30 DDEDLO CC[C@H](C(=O)OC)N1CC[NH+](CC#Cc2ccc(F)cc2)CC1 ZINC000352723916 410678414 /nfs/dbraw/zinc/67/84/14/410678414.db2.gz HIMIONSICVECMJ-QGZVFWFLSA-N 1 2 318.392 1.746 20 30 DDEDLO CC[C@H](C(=O)OC)[NH+]1CCN(CC#Cc2ccc(F)cc2)CC1 ZINC000352723916 410678421 /nfs/dbraw/zinc/67/84/21/410678421.db2.gz HIMIONSICVECMJ-QGZVFWFLSA-N 1 2 318.392 1.746 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1[C@@H]1CCCC[C@H]1O ZINC000330545233 410767012 /nfs/dbraw/zinc/76/70/12/410767012.db2.gz BRQXDEPCSSPYGF-GVDBMIGSSA-N 1 2 324.465 1.663 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1[C@@H]1CCCC[C@H]1O ZINC000330545233 410767020 /nfs/dbraw/zinc/76/70/20/410767020.db2.gz BRQXDEPCSSPYGF-GVDBMIGSSA-N 1 2 324.465 1.663 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H]3CCS(=O)(=O)[C@@H]3C2)c(Cl)c1 ZINC000353120547 410796019 /nfs/dbraw/zinc/79/60/19/410796019.db2.gz AYUQIPPWGLYRPP-TZMCWYRMSA-N 1 2 310.806 1.831 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H]3CCS(=O)(=O)[C@@H]3C2)c(Cl)c1 ZINC000353120547 410796026 /nfs/dbraw/zinc/79/60/26/410796026.db2.gz AYUQIPPWGLYRPP-TZMCWYRMSA-N 1 2 310.806 1.831 20 30 DDEDLO Cn1ncc(C(=O)NCc2cccc(-n3cc[nH+]c3)c2)c1C#N ZINC000356116665 410845667 /nfs/dbraw/zinc/84/56/67/410845667.db2.gz VZQKXMYMRBMHPF-UHFFFAOYSA-N 1 2 306.329 1.407 20 30 DDEDLO COC(=O)CN(CC#N)C(=O)[C@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000356159617 410869047 /nfs/dbraw/zinc/86/90/47/410869047.db2.gz AETFBFVPCSNRJO-NSHDSACASA-N 1 2 318.377 1.163 20 30 DDEDLO COC(=O)CN(CC#N)C(=O)[C@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000356159617 410869053 /nfs/dbraw/zinc/86/90/53/410869053.db2.gz AETFBFVPCSNRJO-NSHDSACASA-N 1 2 318.377 1.163 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)[C@@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000356405515 411013361 /nfs/dbraw/zinc/01/33/61/411013361.db2.gz CTPUCBQXVQGTPM-BDJLRTHQSA-N 1 2 304.394 1.683 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)[C@@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000356405515 411013363 /nfs/dbraw/zinc/01/33/63/411013363.db2.gz CTPUCBQXVQGTPM-BDJLRTHQSA-N 1 2 304.394 1.683 20 30 DDEDLO CC(C)CNC(=O)NC(=O)[C@H](C)[N@@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000331313791 411068394 /nfs/dbraw/zinc/06/83/94/411068394.db2.gz IAYONKSNRWEXAK-QWHCGFSZSA-N 1 2 313.442 1.808 20 30 DDEDLO CC(C)CNC(=O)NC(=O)[C@H](C)[N@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000331313791 411068399 /nfs/dbraw/zinc/06/83/99/411068399.db2.gz IAYONKSNRWEXAK-QWHCGFSZSA-N 1 2 313.442 1.808 20 30 DDEDLO CN(C)c1ccc(CNS(=O)(=O)c2ccccc2C#N)c[nH+]1 ZINC000356534097 411076556 /nfs/dbraw/zinc/07/65/56/411076556.db2.gz YBXAOENZVONWHW-UHFFFAOYSA-N 1 2 316.386 1.498 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCO[C@H](C3CCC3)C2)cc1 ZINC000580820031 422955112 /nfs/dbraw/zinc/95/51/12/422955112.db2.gz YFDZPQCPBIZBLZ-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCO[C@H](C3CCC3)C2)cc1 ZINC000580820031 422955113 /nfs/dbraw/zinc/95/51/13/422955113.db2.gz YFDZPQCPBIZBLZ-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNc2cc(C#N)c(Br)cn2)C1 ZINC000603303476 416635058 /nfs/dbraw/zinc/63/50/58/416635058.db2.gz LRLATCJEOXUHAZ-NSHDSACASA-N 1 2 324.226 1.373 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNc2cc(C#N)c(Br)cn2)C1 ZINC000603303476 416635060 /nfs/dbraw/zinc/63/50/60/416635060.db2.gz LRLATCJEOXUHAZ-NSHDSACASA-N 1 2 324.226 1.373 20 30 DDEDLO C=CCc1ccc(OCC(=O)NCCc2[nH]cc[nH+]2)c(OC)c1 ZINC000172991275 221772364 /nfs/dbraw/zinc/77/23/64/221772364.db2.gz GSVSJTOBVUKTFC-UHFFFAOYSA-N 1 2 315.373 1.885 20 30 DDEDLO CC#CCC[N@@H+]1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000360488672 418448816 /nfs/dbraw/zinc/44/88/16/418448816.db2.gz JTMLFQNASGAADH-AWEZNQCLSA-N 1 2 312.369 1.397 20 30 DDEDLO CC#CCC[N@H+]1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000360488672 418448819 /nfs/dbraw/zinc/44/88/19/418448819.db2.gz JTMLFQNASGAADH-AWEZNQCLSA-N 1 2 312.369 1.397 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCC[C@@]2(CCCCO2)[C@@H]1C#N ZINC000373756487 418456824 /nfs/dbraw/zinc/45/68/24/418456824.db2.gz HEJRVYMZNGVBKL-RDJZCZTQSA-N 1 2 316.405 1.807 20 30 DDEDLO C[C@H]1COCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000374369697 418524727 /nfs/dbraw/zinc/52/47/27/418524727.db2.gz YZXTVMXQTDPUPT-CYBMUJFWSA-N 1 2 308.403 1.300 20 30 DDEDLO C[C@H]1COCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000374369697 418524729 /nfs/dbraw/zinc/52/47/29/418524729.db2.gz YZXTVMXQTDPUPT-CYBMUJFWSA-N 1 2 308.403 1.300 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CC(n3cc([C@H](C)O)nn3)C2)c1 ZINC000374811978 418564923 /nfs/dbraw/zinc/56/49/23/418564923.db2.gz ZJYLGYHGYHOZEM-ZDUSSCGKSA-N 1 2 314.389 1.953 20 30 DDEDLO C[C@H](O)CN1CC[NH+](Cc2ccccc2C(C)(C)C#N)CC1 ZINC000189330150 222042323 /nfs/dbraw/zinc/04/23/23/222042323.db2.gz CMKRFKYRQORMNW-HNNXBMFYSA-N 1 2 301.434 1.986 20 30 DDEDLO CC[N@H+](CCN(Cc1ccccc1)S(C)(=O)=O)C[C@@H](C)C#N ZINC000191976512 222114715 /nfs/dbraw/zinc/11/47/15/222114715.db2.gz PVUBRMZZGYGOKE-HNNXBMFYSA-N 1 2 323.462 1.930 20 30 DDEDLO CC[N@@H+](CCN(Cc1ccccc1)S(C)(=O)=O)C[C@@H](C)C#N ZINC000191976512 222114719 /nfs/dbraw/zinc/11/47/19/222114719.db2.gz PVUBRMZZGYGOKE-HNNXBMFYSA-N 1 2 323.462 1.930 20 30 DDEDLO C[C@@H](CC#N)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000264843035 222336569 /nfs/dbraw/zinc/33/65/69/222336569.db2.gz LLLNXWBKISXKRW-KBPBESRZSA-N 1 2 318.396 1.755 20 30 DDEDLO [O-]C(N[C@@H]1CCc2[nH]c[nH+]c2C1)=[NH+]c1cc2n(n1)CCCC2 ZINC000329775767 418609486 /nfs/dbraw/zinc/60/94/86/418609486.db2.gz JLQPILKYJGRWEJ-SNVBAGLBSA-N 1 2 300.366 1.826 20 30 DDEDLO [O-]C(N[C@@H]1CCc2[nH+]c[nH]c2C1)=[NH+]c1cc2n(n1)CCCC2 ZINC000329775767 418609489 /nfs/dbraw/zinc/60/94/89/418609489.db2.gz JLQPILKYJGRWEJ-SNVBAGLBSA-N 1 2 300.366 1.826 20 30 DDEDLO C=CCOc1cccc(C[N@@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)c1 ZINC000367690165 418621309 /nfs/dbraw/zinc/62/13/09/418621309.db2.gz XPILNFRNRRUPQH-GOEBONIOSA-N 1 2 307.415 1.870 20 30 DDEDLO C=CCOc1cccc(C[N@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)c1 ZINC000367690165 418621310 /nfs/dbraw/zinc/62/13/10/418621310.db2.gz XPILNFRNRRUPQH-GOEBONIOSA-N 1 2 307.415 1.870 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)OC(C)(C)C)C2)CC1 ZINC000377525486 418710800 /nfs/dbraw/zinc/71/08/00/418710800.db2.gz BGPJSUFEZDXYMG-HNNXBMFYSA-N 1 2 307.438 1.637 20 30 DDEDLO N#CC1(C(=O)NC[C@@]2([NH+]3CCOCC3)CCCOC2)CCCC1 ZINC000378154080 418719431 /nfs/dbraw/zinc/71/94/31/418719431.db2.gz XAWFKWVYAOKJEQ-KRWDZBQOSA-N 1 2 321.421 1.068 20 30 DDEDLO CCOC1CC(CNC(=O)[C@](C)(C#N)CC)([NH+]2CCOCC2)C1 ZINC000376091673 418692325 /nfs/dbraw/zinc/69/23/25/418692325.db2.gz KDSLVGTYYKDYIR-WIHSUSGWSA-N 1 2 323.437 1.312 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCC[C@@H](c2ccncn2)C1)C1CC1 ZINC000361636322 418694304 /nfs/dbraw/zinc/69/43/04/418694304.db2.gz KXKYLUGCGPQASH-DYVFJYSZSA-N 1 2 313.405 1.464 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@@H](c2ccncn2)C1)C1CC1 ZINC000361636322 418694306 /nfs/dbraw/zinc/69/43/06/418694306.db2.gz KXKYLUGCGPQASH-DYVFJYSZSA-N 1 2 313.405 1.464 20 30 DDEDLO C#CCCCNC(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC000369775019 418738126 /nfs/dbraw/zinc/73/81/26/418738126.db2.gz NQLQNVWKXZALDP-UHFFFAOYSA-N 1 2 322.453 1.032 20 30 DDEDLO C#CCCCNC(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC000369775019 418738129 /nfs/dbraw/zinc/73/81/29/418738129.db2.gz NQLQNVWKXZALDP-UHFFFAOYSA-N 1 2 322.453 1.032 20 30 DDEDLO Cc1nc(NCC2(CC#N)CC2)nc(NCC2(CC#N)CC2)[nH+]1 ZINC000362357784 418753248 /nfs/dbraw/zinc/75/32/48/418753248.db2.gz GWJJMJLUXFNPLF-UHFFFAOYSA-N 1 2 311.393 1.235 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H](c2noc(C)n2)C1 ZINC000363091111 418762394 /nfs/dbraw/zinc/76/23/94/418762394.db2.gz VRWUCCJGYBXMCA-ZDUSSCGKSA-N 1 2 305.382 1.320 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H](c2noc(C)n2)C1 ZINC000363091111 418762395 /nfs/dbraw/zinc/76/23/95/418762395.db2.gz VRWUCCJGYBXMCA-ZDUSSCGKSA-N 1 2 305.382 1.320 20 30 DDEDLO C[N@H+](CC(=O)Nc1sccc1C#N)[C@H]1CCCCNC1=O ZINC000368891439 418727043 /nfs/dbraw/zinc/72/70/43/418727043.db2.gz FFHAWBOBEDHQAB-NSHDSACASA-N 1 2 306.391 1.159 20 30 DDEDLO C[N@@H+](CC(=O)Nc1sccc1C#N)[C@H]1CCCCNC1=O ZINC000368891439 418727045 /nfs/dbraw/zinc/72/70/45/418727045.db2.gz FFHAWBOBEDHQAB-NSHDSACASA-N 1 2 306.391 1.159 20 30 DDEDLO C=CCCCCCN(C)C(=O)C(=O)N1CCn2c[nH+]cc2C1 ZINC000410638504 418832981 /nfs/dbraw/zinc/83/29/81/418832981.db2.gz DNVTXTFZMUMPRZ-UHFFFAOYSA-N 1 2 304.394 1.430 20 30 DDEDLO N#Cc1ccc(-n2ccc(NC(=O)CCn3cc[nH+]c3)n2)c(F)c1 ZINC000371707637 418810736 /nfs/dbraw/zinc/81/07/36/418810736.db2.gz PKCOGCLQBDJIDO-UHFFFAOYSA-N 1 2 324.319 2.108 20 30 DDEDLO C=CC[C@@H](C(=O)N1CCC[N@@H+](CC(N)=O)CC1)c1ccccc1 ZINC000372485781 418877234 /nfs/dbraw/zinc/87/72/34/418877234.db2.gz SUFOMJPNEREMSH-MRXNPFEDSA-N 1 2 315.417 1.366 20 30 DDEDLO C=CC[C@@H](C(=O)N1CCC[N@H+](CC(N)=O)CC1)c1ccccc1 ZINC000372485781 418877235 /nfs/dbraw/zinc/87/72/35/418877235.db2.gz SUFOMJPNEREMSH-MRXNPFEDSA-N 1 2 315.417 1.366 20 30 DDEDLO C=CCN(CCOC)C(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000411459254 418905845 /nfs/dbraw/zinc/90/58/45/418905845.db2.gz YPNUVKTVJJKMJY-UHFFFAOYSA-N 1 2 305.378 1.606 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CCn2cc[nH+]c2C1 ZINC000373246302 418933705 /nfs/dbraw/zinc/93/37/05/418933705.db2.gz VURIUBNCBJWNHT-IIAWOOMASA-N 1 2 303.406 1.885 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N(C)C(=O)[C@H](C)[NH+]1CCOCC1 ZINC000425130959 228370304 /nfs/dbraw/zinc/37/03/04/228370304.db2.gz OXLLGSVGQIOXJC-KGLIPLIRSA-N 1 2 301.390 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2C[C@H](O)C[C@H](C)C2)c(C#N)c1C ZINC000412046348 419590920 /nfs/dbraw/zinc/59/09/20/419590920.db2.gz ZTWHNZKTFABIFR-UFGOTCBOSA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2C[C@H](O)C[C@H](C)C2)c(C#N)c1C ZINC000412046348 419590928 /nfs/dbraw/zinc/59/09/28/419590928.db2.gz ZTWHNZKTFABIFR-UFGOTCBOSA-N 1 2 305.378 1.798 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)NCC1CCC(C#N)CC1 ZINC000413514701 419788164 /nfs/dbraw/zinc/78/81/64/419788164.db2.gz NJQVLAAXVKAULF-UHFFFAOYSA-N 1 2 322.453 1.726 20 30 DDEDLO CC[C@H]1C[N@H+](CCNc2c(C#N)cccc2[N+](=O)[O-])CCO1 ZINC000425264226 420333495 /nfs/dbraw/zinc/33/34/95/420333495.db2.gz WMQNZJGNTUROBI-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNc2c(C#N)cccc2[N+](=O)[O-])CCO1 ZINC000425264226 420333499 /nfs/dbraw/zinc/33/34/99/420333499.db2.gz WMQNZJGNTUROBI-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO CC(C)CN(C[C@@H](O)C[NH+]1CCOCC1)c1ccc(C#N)cc1 ZINC000438915216 420470431 /nfs/dbraw/zinc/47/04/31/420470431.db2.gz CFPMUIRQEDWYCN-SFHVURJKSA-N 1 2 317.433 1.714 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@H]1CCn2cc[nH+]c2C1)C(=O)OCC ZINC000456609359 420514204 /nfs/dbraw/zinc/51/42/04/420514204.db2.gz AKMCWDVVRVCEEO-STQMWFEESA-N 1 2 305.378 1.460 20 30 DDEDLO CC(C)(C(=O)NCc1ccc(C#N)c(F)c1)[NH+]1CCOCC1 ZINC000456852119 420554558 /nfs/dbraw/zinc/55/45/58/420554558.db2.gz YPHLYCVAQSFSOS-UHFFFAOYSA-N 1 2 305.353 1.424 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2ncc(CC)s2)CC1 ZINC000441345767 420646921 /nfs/dbraw/zinc/64/69/21/420646921.db2.gz HPPMEXSLHOXYHE-GFCCVEGCSA-N 1 2 308.451 1.518 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)N(C)C1(C#N)CCCCC1)c1ccn(C)n1 ZINC000459787555 420872797 /nfs/dbraw/zinc/87/27/97/420872797.db2.gz LHTACLJMWJNTIW-CYBMUJFWSA-N 1 2 303.410 1.756 20 30 DDEDLO C#CC[C@H]([NH2+]CC1(CNC(=O)OC(C)(C)C)CC1)C(=O)OC ZINC000495924684 421094183 /nfs/dbraw/zinc/09/41/83/421094183.db2.gz BFUWEFWBCHQPBZ-LBPRGKRZSA-N 1 2 310.394 1.446 20 30 DDEDLO CC(C)(CNc1cccc(C#N)c1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000450213001 421163818 /nfs/dbraw/zinc/16/38/18/421163818.db2.gz FEWOCIZDWOVWFI-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCC[C@@H](OCC(F)(F)F)C1 ZINC000523439963 421237369 /nfs/dbraw/zinc/23/73/69/421237369.db2.gz UZPRDCCBISBSKX-VXGBXAGGSA-N 1 2 321.343 1.790 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@@H](OCC(F)(F)F)C1 ZINC000523439963 421237373 /nfs/dbraw/zinc/23/73/73/421237373.db2.gz UZPRDCCBISBSKX-VXGBXAGGSA-N 1 2 321.343 1.790 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=S)NCC[NH+]1CCOCC1 ZINC000491167145 421195009 /nfs/dbraw/zinc/19/50/09/421195009.db2.gz WMSRCTPFHNAXKM-UHFFFAOYSA-N 1 2 317.458 1.329 20 30 DDEDLO CC(=O)c1cc(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)on1 ZINC000563362504 421492492 /nfs/dbraw/zinc/49/24/92/421492492.db2.gz AZTRBLOVKBMCCY-CYBMUJFWSA-N 1 2 312.329 1.797 20 30 DDEDLO CC(=O)c1cc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)on1 ZINC000563362504 421492494 /nfs/dbraw/zinc/49/24/94/421492494.db2.gz AZTRBLOVKBMCCY-CYBMUJFWSA-N 1 2 312.329 1.797 20 30 DDEDLO N#Cc1csc(C[N@H+]2CC3(CCOCC3)CC[C@H]2CO)n1 ZINC000528639803 421502539 /nfs/dbraw/zinc/50/25/39/421502539.db2.gz MJJFXBDUOSFPCR-ZDUSSCGKSA-N 1 2 307.419 1.768 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CC3(CCOCC3)CC[C@H]2CO)n1 ZINC000528639803 421502543 /nfs/dbraw/zinc/50/25/43/421502543.db2.gz MJJFXBDUOSFPCR-ZDUSSCGKSA-N 1 2 307.419 1.768 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2F)[C@@H](C)C1 ZINC000552001727 421565032 /nfs/dbraw/zinc/56/50/32/421565032.db2.gz MUWJZOQOOADNDH-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2F)[C@@H](C)C1 ZINC000552001727 421565035 /nfs/dbraw/zinc/56/50/35/421565035.db2.gz MUWJZOQOOADNDH-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO COc1ccc([N+](=O)[O-])cc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000517153455 421597540 /nfs/dbraw/zinc/59/75/40/421597540.db2.gz DTPOHGHZTZCISH-CQSZACIVSA-N 1 2 306.322 1.177 20 30 DDEDLO COCc1ccc(NC(=O)NC[C@@H]2C[N@H+](C)CCO2)cc1C#N ZINC000529213360 421529919 /nfs/dbraw/zinc/52/99/19/421529919.db2.gz MZFHYARRMRFRJF-OAHLLOKOSA-N 1 2 318.377 1.157 20 30 DDEDLO COCc1ccc(NC(=O)NC[C@@H]2C[N@@H+](C)CCO2)cc1C#N ZINC000529213360 421529923 /nfs/dbraw/zinc/52/99/23/421529923.db2.gz MZFHYARRMRFRJF-OAHLLOKOSA-N 1 2 318.377 1.157 20 30 DDEDLO COC(=O)c1ccc(N2CC[C@@H](Oc3cc[nH+]cc3)C2)c(C#N)n1 ZINC000517247684 421604751 /nfs/dbraw/zinc/60/47/51/421604751.db2.gz PNDKUDJGRUNUAT-CYBMUJFWSA-N 1 2 324.340 1.793 20 30 DDEDLO COC(=O)[C@H](C)c1cccc(NC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000567494626 421612462 /nfs/dbraw/zinc/61/24/62/421612462.db2.gz UICRZSPXJIYZGA-CJNGLKHVSA-N 1 2 319.405 1.581 20 30 DDEDLO COC(=O)[C@H](C)c1cccc(NC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000567494626 421612466 /nfs/dbraw/zinc/61/24/66/421612466.db2.gz UICRZSPXJIYZGA-CJNGLKHVSA-N 1 2 319.405 1.581 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCCOc1cccc(F)c1 ZINC000567995943 421616584 /nfs/dbraw/zinc/61/65/84/421616584.db2.gz ZQGJGKGJLJWGII-INIZCTEOSA-N 1 2 307.369 1.945 20 30 DDEDLO C[C@H](c1nccc(N)n1)[N@H+](C)CCOCc1ccc(C#N)cc1 ZINC000568527789 421620514 /nfs/dbraw/zinc/62/05/14/421620514.db2.gz AHFANYFIITZCSP-CYBMUJFWSA-N 1 2 311.389 2.140 20 30 DDEDLO C[C@H](c1nccc(N)n1)[N@@H+](C)CCOCc1ccc(C#N)cc1 ZINC000568527789 421620518 /nfs/dbraw/zinc/62/05/18/421620518.db2.gz AHFANYFIITZCSP-CYBMUJFWSA-N 1 2 311.389 2.140 20 30 DDEDLO COc1cc(NC[C@@H](O)C[N@H+](C)CCC#N)ccc1NC(C)=O ZINC000570389547 421642899 /nfs/dbraw/zinc/64/28/99/421642899.db2.gz BFPJTQNDKGWFPQ-CQSZACIVSA-N 1 2 320.393 1.272 20 30 DDEDLO COc1cc(NC[C@@H](O)C[N@@H+](C)CCC#N)ccc1NC(C)=O ZINC000570389547 421642901 /nfs/dbraw/zinc/64/29/01/421642901.db2.gz BFPJTQNDKGWFPQ-CQSZACIVSA-N 1 2 320.393 1.272 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2nc3ccc(OC)nc3[nH]2)C1=O ZINC000531705704 421644447 /nfs/dbraw/zinc/64/44/47/421644447.db2.gz BKDAAMZVZRMOEM-LBPRGKRZSA-N 1 2 315.377 1.185 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2nc3ccc(OC)nc3[nH]2)C1=O ZINC000531705704 421644451 /nfs/dbraw/zinc/64/44/51/421644451.db2.gz BKDAAMZVZRMOEM-LBPRGKRZSA-N 1 2 315.377 1.185 20 30 DDEDLO CC[C@@H]1C[N@@H+]([C@H](C)C(=O)NC2(C#N)CCC2)C[C@]2(CCOC2)O1 ZINC000565809504 421600685 /nfs/dbraw/zinc/60/06/85/421600685.db2.gz HVPHBXGJIDLPCD-CPUCHLNUSA-N 1 2 321.421 1.207 20 30 DDEDLO CC[C@@H]1C[N@H+]([C@H](C)C(=O)NC2(C#N)CCC2)C[C@]2(CCOC2)O1 ZINC000565809504 421600688 /nfs/dbraw/zinc/60/06/88/421600688.db2.gz HVPHBXGJIDLPCD-CPUCHLNUSA-N 1 2 321.421 1.207 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCN(C(=O)C4CC4)CC3)n2c1 ZINC000536636421 421722831 /nfs/dbraw/zinc/72/28/31/421722831.db2.gz OUZAURSPXNFOTF-UHFFFAOYSA-N 1 2 309.373 1.260 20 30 DDEDLO N#CCC1CCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CC1 ZINC000541950079 421815654 /nfs/dbraw/zinc/81/56/54/421815654.db2.gz LRWNCPQVWSSIBA-HNNXBMFYSA-N 1 2 313.405 1.996 20 30 DDEDLO Cc1ccc(Cn2cc(C[NH+]3CCOCC3)cc(C#N)c2=O)cc1 ZINC000521702545 421797951 /nfs/dbraw/zinc/79/79/51/421797951.db2.gz QJXDZJLMNVEJFT-UHFFFAOYSA-N 1 2 323.396 1.909 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNCc1ncc(-c2ccccc2)o1 ZINC000572952777 421886767 /nfs/dbraw/zinc/88/67/67/421886767.db2.gz IUUMYISSZBAHGI-HNNXBMFYSA-N 1 2 314.389 1.638 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNCc1ncc(-c2ccccc2)o1 ZINC000572952777 421886770 /nfs/dbraw/zinc/88/67/70/421886770.db2.gz IUUMYISSZBAHGI-HNNXBMFYSA-N 1 2 314.389 1.638 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCc2[nH]c[nH+]c2C12CCOCC2 ZINC000633525694 421952957 /nfs/dbraw/zinc/95/29/57/421952957.db2.gz GOEOSDNAENVEMI-ZDUSSCGKSA-N 1 2 319.405 1.781 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)Nc1ccc(C(F)(F)F)c(C#N)n1 ZINC000573666952 421998204 /nfs/dbraw/zinc/99/82/04/421998204.db2.gz XQWXVFKJRBJORJ-JTQLQIEISA-N 1 2 314.311 2.105 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000574494913 422118182 /nfs/dbraw/zinc/11/81/82/422118182.db2.gz XQGXLVJZEBZIAH-KGLIPLIRSA-N 1 2 313.361 1.791 20 30 DDEDLO CC(C)CCCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000584124694 422266654 /nfs/dbraw/zinc/26/66/54/422266654.db2.gz MYEDAYVUZYQTTQ-UHFFFAOYSA-N 1 2 303.406 1.988 20 30 DDEDLO CC(=O)NCC[N@@H+](C)[C@@H](C)C(=O)N(CCC#N)c1ccccc1 ZINC000575294695 422286561 /nfs/dbraw/zinc/28/65/61/422286561.db2.gz XECQYMBZOYSIQE-AWEZNQCLSA-N 1 2 316.405 1.390 20 30 DDEDLO CC(=O)NCC[N@H+](C)[C@@H](C)C(=O)N(CCC#N)c1ccccc1 ZINC000575294695 422286567 /nfs/dbraw/zinc/28/65/67/422286567.db2.gz XECQYMBZOYSIQE-AWEZNQCLSA-N 1 2 316.405 1.390 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc2c(c1)CCCC(=O)N2 ZINC000577056468 422381010 /nfs/dbraw/zinc/38/10/10/422381010.db2.gz CJPLSSGPNXPKLV-HNNXBMFYSA-N 1 2 316.405 1.580 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc2c(c1)CCCC(=O)N2 ZINC000577056468 422381015 /nfs/dbraw/zinc/38/10/15/422381015.db2.gz CJPLSSGPNXPKLV-HNNXBMFYSA-N 1 2 316.405 1.580 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@H]1c1ccc2c(c1)OCCO2 ZINC000576603641 422375894 /nfs/dbraw/zinc/37/58/94/422375894.db2.gz BGZLZKNAYGZVEB-ZDUSSCGKSA-N 1 2 301.346 1.234 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@H]1c1ccc2c(c1)OCCO2 ZINC000576603641 422375902 /nfs/dbraw/zinc/37/59/02/422375902.db2.gz BGZLZKNAYGZVEB-ZDUSSCGKSA-N 1 2 301.346 1.234 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCc2nnc(NC)cc2C1 ZINC000591868529 422327344 /nfs/dbraw/zinc/32/73/44/422327344.db2.gz OTUFFFWUOSHHDK-CYBMUJFWSA-N 1 2 317.437 1.690 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCc2nnc(NC)cc2C1 ZINC000591868529 422327349 /nfs/dbraw/zinc/32/73/49/422327349.db2.gz OTUFFFWUOSHHDK-CYBMUJFWSA-N 1 2 317.437 1.690 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH+]2CCN(c3ncccn3)CC2)C1 ZINC000630772480 422327533 /nfs/dbraw/zinc/32/75/33/422327533.db2.gz YYMUVJYKKLQTQW-UHFFFAOYSA-N 1 2 315.421 1.071 20 30 DDEDLO C=C(C)C[C@H]1NC(=O)N([C@@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000630975322 422453141 /nfs/dbraw/zinc/45/31/41/422453141.db2.gz KVBFGKYZFDNTNJ-CHWSQXEVSA-N 1 2 300.362 1.547 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)C(=O)N(C)Cc1[nH]cc[nH+]1 ZINC000632584377 422453557 /nfs/dbraw/zinc/45/35/57/422453557.db2.gz LPCOGAKLTHDYHD-UHFFFAOYSA-N 1 2 316.361 1.218 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCCc1cn2c(cccc2C)[nH+]1 ZINC000645758260 423175452 /nfs/dbraw/zinc/17/54/52/423175452.db2.gz ONVXQFQRYUPVMT-AWEZNQCLSA-N 1 2 302.378 1.421 20 30 DDEDLO CCOC(=O)C1CC[NH+]([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000115530798 263337726 /nfs/dbraw/zinc/33/77/26/263337726.db2.gz MNXOUBWFWQVUKY-HNNXBMFYSA-N 1 2 315.373 1.360 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCCc1cn2c(cccc2C)[nH+]1 ZINC000645930535 423247367 /nfs/dbraw/zinc/24/73/67/423247367.db2.gz ALHOICXZCFTHFW-HNNXBMFYSA-N 1 2 316.405 1.812 20 30 DDEDLO C=CCCCCCn1cc(C[NH2+][C@@H]2CCS(=O)(=O)C2)nn1 ZINC000641043580 423346038 /nfs/dbraw/zinc/34/60/38/423346038.db2.gz FMQDBPDRDHUPKS-CYBMUJFWSA-N 1 2 312.439 1.301 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(c3ccccc3)C2=O)nn1 ZINC000641048190 423350508 /nfs/dbraw/zinc/35/05/08/423350508.db2.gz ZCAOIYCQNLOZHG-QGZVFWFLSA-N 1 2 323.400 1.759 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H]2[C@@H](O)C(F)(F)F)nn1 ZINC000653554700 423525295 /nfs/dbraw/zinc/52/52/95/423525295.db2.gz RPMOIVCUFZBNKB-NWDGAFQWSA-N 1 2 304.316 1.742 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H]2[C@@H](O)C(F)(F)F)nn1 ZINC000653554700 423525301 /nfs/dbraw/zinc/52/53/01/423525301.db2.gz RPMOIVCUFZBNKB-NWDGAFQWSA-N 1 2 304.316 1.742 20 30 DDEDLO C=CCCn1cc(C[N@H+](C)CCCNC(=O)C2CCC2)nn1 ZINC000653642383 423562401 /nfs/dbraw/zinc/56/24/01/423562401.db2.gz BHDPBHYKTYWQFD-UHFFFAOYSA-N 1 2 305.426 1.592 20 30 DDEDLO C=CCCn1cc(C[N@@H+](C)CCCNC(=O)C2CCC2)nn1 ZINC000653642383 423562405 /nfs/dbraw/zinc/56/24/05/423562405.db2.gz BHDPBHYKTYWQFD-UHFFFAOYSA-N 1 2 305.426 1.592 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@@H](C(F)(F)F)[C@@H](CO)C2)nn1 ZINC000653672133 423572141 /nfs/dbraw/zinc/57/21/41/423572141.db2.gz CNFRKHMHGQAFTK-DGCLKSJQSA-N 1 2 318.343 1.847 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@@H](C(F)(F)F)[C@@H](CO)C2)nn1 ZINC000653672133 423572145 /nfs/dbraw/zinc/57/21/45/423572145.db2.gz CNFRKHMHGQAFTK-DGCLKSJQSA-N 1 2 318.343 1.847 20 30 DDEDLO C=CCOCCCNC(=O)N1CCN(c2c[nH+]ccc2C)CC1 ZINC000661792276 424176236 /nfs/dbraw/zinc/17/62/36/424176236.db2.gz QYUMMSFKGZTNAN-UHFFFAOYSA-N 1 2 318.421 1.814 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@@H](N(C)C(=O)N(C)C)C2)nn1 ZINC000662009403 424330070 /nfs/dbraw/zinc/33/00/70/424330070.db2.gz XEGKFYBXLYVGSM-CQSZACIVSA-N 1 2 306.414 1.042 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@@H](N(C)C(=O)N(C)C)C2)nn1 ZINC000662009403 424330076 /nfs/dbraw/zinc/33/00/76/424330076.db2.gz XEGKFYBXLYVGSM-CQSZACIVSA-N 1 2 306.414 1.042 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)COc2ccccc2C#N)CCO1 ZINC000347620361 266378792 /nfs/dbraw/zinc/37/87/92/266378792.db2.gz ZPZOVFQPXSSLGT-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)COc2ccccc2C#N)CCO1 ZINC000347620361 266378798 /nfs/dbraw/zinc/37/87/98/266378798.db2.gz ZPZOVFQPXSSLGT-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)c2ccc(C#N)o2)C1 ZINC000348294539 266578121 /nfs/dbraw/zinc/57/81/21/266578121.db2.gz XJVQPIKYEOBSAW-GFCCVEGCSA-N 1 2 311.345 1.288 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)c2ccc(C#N)o2)C1 ZINC000348294539 266578124 /nfs/dbraw/zinc/57/81/24/266578124.db2.gz XJVQPIKYEOBSAW-GFCCVEGCSA-N 1 2 311.345 1.288 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCc2ncnc(N(C)C)c2C1 ZINC000363152155 266782761 /nfs/dbraw/zinc/78/27/61/266782761.db2.gz RIDLKQDEWVCEIF-UHFFFAOYSA-N 1 2 323.400 1.981 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCc2ncnc(N(C)C)c2C1 ZINC000363152155 266782762 /nfs/dbraw/zinc/78/27/62/266782762.db2.gz RIDLKQDEWVCEIF-UHFFFAOYSA-N 1 2 323.400 1.981 20 30 DDEDLO C[C@@H]1CCOCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000362239010 267023649 /nfs/dbraw/zinc/02/36/49/267023649.db2.gz ROIRVISXZOMVJV-CYBMUJFWSA-N 1 2 308.403 1.443 20 30 DDEDLO C[C@@H]1CCOCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000362239010 267023654 /nfs/dbraw/zinc/02/36/54/267023654.db2.gz ROIRVISXZOMVJV-CYBMUJFWSA-N 1 2 308.403 1.443 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)CCc1ccc(C#N)cc1 ZINC000345387771 267157742 /nfs/dbraw/zinc/15/77/42/267157742.db2.gz ACEZAYIDOFVDKL-OAHLLOKOSA-N 1 2 315.417 1.670 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000355088460 267203912 /nfs/dbraw/zinc/20/39/12/267203912.db2.gz OLRLIJVMOHYHDQ-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000355088460 267203914 /nfs/dbraw/zinc/20/39/14/267203914.db2.gz OLRLIJVMOHYHDQ-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1C[C@H](C)[N@@H+](C)C[C@H]1C ZINC000350801877 267309680 /nfs/dbraw/zinc/30/96/80/267309680.db2.gz LMVRCBGXEQGAQG-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1C[C@H](C)[N@H+](C)C[C@H]1C ZINC000350801877 267309683 /nfs/dbraw/zinc/30/96/83/267309683.db2.gz LMVRCBGXEQGAQG-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCC(F)(F)[C@@H](CO)C2)cc1 ZINC000361407764 268139129 /nfs/dbraw/zinc/13/91/29/268139129.db2.gz HEHFMPYDDDHOMR-GFCCVEGCSA-N 1 2 309.316 1.446 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCC(F)(F)[C@@H](CO)C2)cc1 ZINC000361407764 268139131 /nfs/dbraw/zinc/13/91/31/268139131.db2.gz HEHFMPYDDDHOMR-GFCCVEGCSA-N 1 2 309.316 1.446 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@@]23CCOC3)cc1 ZINC000370736504 268186977 /nfs/dbraw/zinc/18/69/77/268186977.db2.gz GBKDITPYGWXRRE-INIZCTEOSA-N 1 2 320.414 1.587 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC[C@@]23CCOC3)cc1 ZINC000370736504 268186978 /nfs/dbraw/zinc/18/69/78/268186978.db2.gz GBKDITPYGWXRRE-INIZCTEOSA-N 1 2 320.414 1.587 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[C@H](Oc3cc[nH+]cc3)C2)o1 ZINC000344205155 268195820 /nfs/dbraw/zinc/19/58/20/268195820.db2.gz FHUWBWUNMZHZII-ZDUSSCGKSA-N 1 2 319.342 1.388 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[NH+]2CCC(OCC3CC3)CC2)cc1 ZINC000362419880 268212075 /nfs/dbraw/zinc/21/20/75/268212075.db2.gz LYMYTUGYDSDWQD-QGZVFWFLSA-N 1 2 313.401 1.976 20 30 DDEDLO N#Cc1cccc(CC(=O)N2CC[NH+](Cc3ccncc3)CC2)c1 ZINC000530670857 268273045 /nfs/dbraw/zinc/27/30/45/268273045.db2.gz RCDXXOSFTKFALA-UHFFFAOYSA-N 1 2 320.396 1.840 20 30 DDEDLO N#Cc1cnc(N2CCN(Cc3[nH]cc[nH+]3)CC2)c(Cl)c1 ZINC000354608351 268310639 /nfs/dbraw/zinc/31/06/39/268310639.db2.gz GJZBOIVIHNRYJP-UHFFFAOYSA-N 1 2 302.769 1.652 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCOC4(CCCC4)C3)C[C@H]21 ZINC000330234966 290088330 /nfs/dbraw/zinc/08/83/30/290088330.db2.gz SYEIAXZRSIJUCX-RBSFLKMASA-N 1 2 323.437 1.407 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCOC4(CCCC4)C3)C[C@H]21 ZINC000330234966 290088334 /nfs/dbraw/zinc/08/83/34/290088334.db2.gz SYEIAXZRSIJUCX-RBSFLKMASA-N 1 2 323.437 1.407 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[NH2+]C[C@@](C)(F)C2)c1C#N ZINC000420435130 302011148 /nfs/dbraw/zinc/01/11/48/302011148.db2.gz GNNXIJLVCAWBBC-CQSZACIVSA-N 1 2 311.382 1.189 20 30 DDEDLO N#CCCOCC[N@H+]1CCOC[C@H]1CC(=O)c1ccccc1 ZINC000293838511 303153800 /nfs/dbraw/zinc/15/38/00/303153800.db2.gz QIALRYLUHZKGDL-MRXNPFEDSA-N 1 2 302.374 1.890 20 30 DDEDLO N#CCCOCC[N@@H+]1CCOC[C@H]1CC(=O)c1ccccc1 ZINC000293838511 303153803 /nfs/dbraw/zinc/15/38/03/303153803.db2.gz QIALRYLUHZKGDL-MRXNPFEDSA-N 1 2 302.374 1.890 20 30 DDEDLO Cc1nnsc1C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000576469746 308317129 /nfs/dbraw/zinc/31/71/29/308317129.db2.gz RRICGAFTFAQSLN-UHFFFAOYSA-N 1 2 300.391 1.435 20 30 DDEDLO C[C@@H]1C[C@@H](NS(=O)(=O)c2cccc(C#N)c2)c2[nH+]ccn21 ZINC000578150523 308441472 /nfs/dbraw/zinc/44/14/72/308441472.db2.gz DLKVASFSBMMIJR-ZWNOBZJWSA-N 1 2 302.359 1.739 20 30 DDEDLO Cc1nn(C)c(N2CCN(c3nc(C)[nH+]cc3C)CC2)c1C#N ZINC000582370982 326022210 /nfs/dbraw/zinc/02/22/10/326022210.db2.gz HKAUKKWIVZCLMP-UHFFFAOYSA-N 1 2 311.393 1.334 20 30 DDEDLO CCCN(CCC)C(=O)CCC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000570848046 332828019 /nfs/dbraw/zinc/82/80/19/332828019.db2.gz JKXBKSKKHKWNJS-MRXNPFEDSA-N 1 2 310.442 1.375 20 30 DDEDLO C#CC[N@@H+](Cc1cc(C)c(OC)c(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000092193538 332115902 /nfs/dbraw/zinc/11/59/02/332115902.db2.gz CEDIWCVFVMBBTE-MRXNPFEDSA-N 1 2 321.442 1.934 20 30 DDEDLO C#CC[N@H+](Cc1cc(C)c(OC)c(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000092193538 332115905 /nfs/dbraw/zinc/11/59/05/332115905.db2.gz CEDIWCVFVMBBTE-MRXNPFEDSA-N 1 2 321.442 1.934 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccnc(N2CCCCC2)n1 ZINC000565050608 332495361 /nfs/dbraw/zinc/49/53/61/332495361.db2.gz HFEZUDXBEJBWTI-MRXNPFEDSA-N 1 2 316.409 1.041 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2nc3ccccc3cc2C)CC1 ZINC000531758426 333555745 /nfs/dbraw/zinc/55/57/45/333555745.db2.gz FEWSRQXQELJKQE-UHFFFAOYSA-N 1 2 324.428 1.967 20 30 DDEDLO CCN(CCC#N)C(=O)CN1CC[NH+](Cc2ccsc2)CC1 ZINC000534525718 334278907 /nfs/dbraw/zinc/27/89/07/334278907.db2.gz VQBGESXQXGBXEP-UHFFFAOYSA-N 1 2 320.462 1.628 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000128561232 334891578 /nfs/dbraw/zinc/89/15/78/334891578.db2.gz GWRNCGYIBVPKOB-HOCLYGCPSA-N 1 2 303.406 1.934 20 30 DDEDLO CCOC(=O)Nc1cccc(OC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000496329659 340003146 /nfs/dbraw/zinc/00/31/46/340003146.db2.gz TXNZLILSSIPPLL-AWEZNQCLSA-N 1 2 321.377 1.840 20 30 DDEDLO CCOC(=O)Nc1cccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000496329659 340003147 /nfs/dbraw/zinc/00/31/47/340003147.db2.gz TXNZLILSSIPPLL-AWEZNQCLSA-N 1 2 321.377 1.840 20 30 DDEDLO C=CCNC(=O)NC[C@@H]1CN(C(=O)OC(C)(C)C)CC[N@H+]1C ZINC000496416784 340005035 /nfs/dbraw/zinc/00/50/35/340005035.db2.gz CGWSTTCYGYZEOY-GFCCVEGCSA-N 1 2 312.414 1.023 20 30 DDEDLO C=CCNC(=O)NC[C@@H]1CN(C(=O)OC(C)(C)C)CC[N@@H+]1C ZINC000496416784 340005036 /nfs/dbraw/zinc/00/50/36/340005036.db2.gz CGWSTTCYGYZEOY-GFCCVEGCSA-N 1 2 312.414 1.023 20 30 DDEDLO CC[N@H+](C[C@H](C)C#N)[C@H](C)C(=O)N1CCC(C(=O)OC)CC1 ZINC000245908999 341317567 /nfs/dbraw/zinc/31/75/67/341317567.db2.gz VDPWZKVRRGTUFR-CHWSQXEVSA-N 1 2 309.410 1.268 20 30 DDEDLO CC[N@@H+](C[C@H](C)C#N)[C@H](C)C(=O)N1CCC(C(=O)OC)CC1 ZINC000245908999 341317568 /nfs/dbraw/zinc/31/75/68/341317568.db2.gz VDPWZKVRRGTUFR-CHWSQXEVSA-N 1 2 309.410 1.268 20 30 DDEDLO CC(C)(CCC#N)CNc1nc(NCCO)c2ccccc2[nH+]1 ZINC000572556368 341492340 /nfs/dbraw/zinc/49/23/40/341492340.db2.gz IOZIXUHFLWAQPB-UHFFFAOYSA-N 1 2 313.405 1.620 20 30 DDEDLO C=CCOCCCNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000666515771 485252227 /nfs/dbraw/zinc/25/22/27/485252227.db2.gz OOJMULRPXKRNBO-HNNXBMFYSA-N 1 2 311.426 1.085 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000672766485 485345706 /nfs/dbraw/zinc/34/57/06/485345706.db2.gz HGUAONSRQWRYJV-UHFFFAOYSA-N 1 2 314.389 1.384 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000672783579 485347502 /nfs/dbraw/zinc/34/75/02/485347502.db2.gz MRBZWJUITYENLK-HUUCEWRRSA-N 1 2 318.421 1.908 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)Nc2ccc3[nH+]ccn3c2)C1=O ZINC000685011317 486488212 /nfs/dbraw/zinc/48/82/12/486488212.db2.gz ZSWRCMWKISVKBV-ZDUSSCGKSA-N 1 2 313.361 1.585 20 30 DDEDLO COc1ccc(NC(=O)C[NH2+][C@H]2CCC[C@@H]2C#N)c(OC)c1 ZINC000459445147 534081267 /nfs/dbraw/zinc/08/12/67/534081267.db2.gz BNEKDUJPNKDMAQ-YPMHNXCESA-N 1 2 303.362 1.924 20 30 DDEDLO N#CCC1(CS(=O)(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CC1 ZINC000333259402 534589454 /nfs/dbraw/zinc/58/94/54/534589454.db2.gz AUHMYSGYXLOFLT-CYBMUJFWSA-N 1 2 320.418 1.274 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCCNC(=O)Cn1cnc(C#N)n1 ZINC000494546393 534680845 /nfs/dbraw/zinc/68/08/45/534680845.db2.gz ISKZXQINSSCXRS-UHFFFAOYSA-N 1 2 323.360 1.014 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C)cc2C)C1 ZINC000330951358 526400832 /nfs/dbraw/zinc/40/08/32/526400832.db2.gz PSSYKKGGGQVPBE-CYBMUJFWSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C)cc2C)C1 ZINC000330951358 526400836 /nfs/dbraw/zinc/40/08/36/526400836.db2.gz PSSYKKGGGQVPBE-CYBMUJFWSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)c1cccc(C[N@@H+]2CCC[C@H](S(C)(=O)=O)C2)c1 ZINC000330910039 526411048 /nfs/dbraw/zinc/41/10/48/526411048.db2.gz VAGZEOZUVJNLQO-AWEZNQCLSA-N 1 2 310.419 1.630 20 30 DDEDLO CNC(=O)c1cccc(C[N@H+]2CCC[C@H](S(C)(=O)=O)C2)c1 ZINC000330910039 526411051 /nfs/dbraw/zinc/41/10/51/526411051.db2.gz VAGZEOZUVJNLQO-AWEZNQCLSA-N 1 2 310.419 1.630 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(CC(F)(F)F)[C@H](C)C2)C1=O ZINC000337187485 526507838 /nfs/dbraw/zinc/50/78/38/526507838.db2.gz QCTSHCYXWTVPDY-NEPJUHHUSA-N 1 2 305.344 1.342 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(CC(F)(F)F)[C@H](C)C2)C1=O ZINC000337187485 526507839 /nfs/dbraw/zinc/50/78/39/526507839.db2.gz QCTSHCYXWTVPDY-NEPJUHHUSA-N 1 2 305.344 1.342 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCc1ccc(COCC)cc1)C1CC1 ZINC000491818495 526883276 /nfs/dbraw/zinc/88/32/76/526883276.db2.gz QMUVAQIGQUTDSA-UHFFFAOYSA-N 1 2 300.402 1.937 20 30 DDEDLO C#CC[N@H+](CC(=O)NCc1ccc(COCC)cc1)C1CC1 ZINC000491818495 526883280 /nfs/dbraw/zinc/88/32/80/526883280.db2.gz QMUVAQIGQUTDSA-UHFFFAOYSA-N 1 2 300.402 1.937 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000347287725 526925654 /nfs/dbraw/zinc/92/56/54/526925654.db2.gz NEGVWVLGYFIQMJ-UHFFFAOYSA-N 1 2 316.401 1.846 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cccc3cccnc32)CC1 ZINC000490799911 526952611 /nfs/dbraw/zinc/95/26/11/526952611.db2.gz KJEJQRTUTMMCQK-UHFFFAOYSA-N 1 2 309.369 1.391 20 30 DDEDLO C#CC[NH+]1CCN(CN2CC[C@H](Cc3ccccc3)C2=O)CC1 ZINC000491810988 526953280 /nfs/dbraw/zinc/95/32/80/526953280.db2.gz AVEIRTTUBBAUDN-GOSISDBHSA-N 1 2 311.429 1.286 20 30 DDEDLO CC(C)(CC#N)CNc1[nH+]cnc2c1cnn2-c1ncccn1 ZINC000414188919 526957985 /nfs/dbraw/zinc/95/79/85/526957985.db2.gz RPDBSRCIEMBIHM-UHFFFAOYSA-N 1 2 308.349 1.957 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)C(CC)CC)CC1 ZINC000491210017 526995457 /nfs/dbraw/zinc/99/54/57/526995457.db2.gz OYJBUVFVHFYHFC-HNNXBMFYSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)C(CC)CC)CC1 ZINC000491210017 526995461 /nfs/dbraw/zinc/99/54/61/526995461.db2.gz OYJBUVFVHFYHFC-HNNXBMFYSA-N 1 2 321.465 1.342 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCCc1cn2ccccc2[nH+]1 ZINC000341684147 527062423 /nfs/dbraw/zinc/06/24/23/527062423.db2.gz OIDKWGPLUUOKTE-CYBMUJFWSA-N 1 2 302.378 1.361 20 30 DDEDLO C=CCCCNC(=O)NC[C@@H]1C[C@@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000350043427 527220112 /nfs/dbraw/zinc/22/01/12/527220112.db2.gz VZMYIJYGGABQKY-CABCVRRESA-N 1 2 323.416 1.598 20 30 DDEDLO C=CCCCNC(=O)NC[C@@H]1C[C@@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000350043427 527220115 /nfs/dbraw/zinc/22/01/15/527220115.db2.gz VZMYIJYGGABQKY-CABCVRRESA-N 1 2 323.416 1.598 20 30 DDEDLO C=C[C@@H](C)NC(=O)N1CC[C@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000441090502 527403384 /nfs/dbraw/zinc/40/33/84/527403384.db2.gz MEAKTRYSUDXMRK-WBMJQRKESA-N 1 2 311.426 1.666 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)NC[C@@H]2CCCCO2)CC1 ZINC000330259151 527501148 /nfs/dbraw/zinc/50/11/48/527501148.db2.gz NEIACESKNLVNHV-LBPRGKRZSA-N 1 2 312.414 1.401 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCOC[C@H]1CC1CCC1 ZINC000339770496 527929956 /nfs/dbraw/zinc/92/99/56/527929956.db2.gz FRTUNUUNISHDGQ-WBVHZDCISA-N 1 2 307.438 1.932 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCOC[C@H]1CC1CCC1 ZINC000339770496 527929959 /nfs/dbraw/zinc/92/99/59/527929959.db2.gz FRTUNUUNISHDGQ-WBVHZDCISA-N 1 2 307.438 1.932 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2ncc(C#N)cc2F)CC1 ZINC000413032976 528024884 /nfs/dbraw/zinc/02/48/84/528024884.db2.gz LOGNZKKZTHXUFP-UHFFFAOYSA-N 1 2 319.384 1.083 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)NC[C@@H]2Cc3ccccc3O2)CC1 ZINC000331876876 528839257 /nfs/dbraw/zinc/83/92/57/528839257.db2.gz DGVUFXHFFROXRR-HNNXBMFYSA-N 1 2 313.401 1.732 20 30 DDEDLO CC(C)[C@@H]1OCC[C@H]1CNC(=O)N[C@H](C)C[NH+]1CCOCC1 ZINC000330211297 528842687 /nfs/dbraw/zinc/84/26/87/528842687.db2.gz JBKSWAGFXHXJAT-ILXRZTDVSA-N 1 2 313.442 1.272 20 30 DDEDLO C=C1CC[NH+](CC(=O)NCc2cccc(-c3nn[nH]n3)c2)CC1 ZINC000735403021 598504377 /nfs/dbraw/zinc/50/43/77/598504377.db2.gz NURVSEVRPOJAHA-UHFFFAOYSA-N 1 2 312.377 1.135 20 30 DDEDLO C=C1CC[NH+]([C@@H](C)C(=O)Nc2ccccc2-c2nn[nH]n2)CC1 ZINC000735403110 598504709 /nfs/dbraw/zinc/50/47/09/598504709.db2.gz PFCXDTJVKSMFRL-LBPRGKRZSA-N 1 2 312.377 1.846 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccsc3)C2)C1 ZINC000972243835 695188010 /nfs/dbraw/zinc/18/80/10/695188010.db2.gz SVBNTWSSXCJNDX-INIZCTEOSA-N 1 2 304.415 1.227 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccsc3)C2)C1 ZINC000972243835 695188012 /nfs/dbraw/zinc/18/80/12/695188012.db2.gz SVBNTWSSXCJNDX-INIZCTEOSA-N 1 2 304.415 1.227 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389223 695237825 /nfs/dbraw/zinc/23/78/25/695237825.db2.gz IRZYLBWZMPAMCB-WCQYABFASA-N 1 2 324.318 1.766 20 30 DDEDLO C=CCC[N@H+]1CCO[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389223 695237827 /nfs/dbraw/zinc/23/78/27/695237827.db2.gz IRZYLBWZMPAMCB-WCQYABFASA-N 1 2 324.318 1.766 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CCC(OC)CC3)C2)C1 ZINC000972524116 695272859 /nfs/dbraw/zinc/27/28/59/695272859.db2.gz NWNYEDKCDPUUNS-LEOMRAHMSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CCC(OC)CC3)C2)C1 ZINC000972524116 695272861 /nfs/dbraw/zinc/27/28/61/695272861.db2.gz NWNYEDKCDPUUNS-LEOMRAHMSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cccc(C)c3)C2)C1 ZINC000972528145 695273466 /nfs/dbraw/zinc/27/34/66/695273466.db2.gz IJWSKDASJBWCBV-LJQANCHMSA-N 1 2 312.413 1.474 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cccc(C)c3)C2)C1 ZINC000972528145 695273469 /nfs/dbraw/zinc/27/34/69/695273469.db2.gz IJWSKDASJBWCBV-LJQANCHMSA-N 1 2 312.413 1.474 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)c2cnon2)C1 ZINC000972580843 695288664 /nfs/dbraw/zinc/28/86/64/695288664.db2.gz GARFOPSWVDFWHR-VIFPVBQESA-N 1 2 315.171 1.125 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)c2cnon2)C1 ZINC000972580843 695288665 /nfs/dbraw/zinc/28/86/65/695288665.db2.gz GARFOPSWVDFWHR-VIFPVBQESA-N 1 2 315.171 1.125 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCC3CCOCC3)C2)C1 ZINC000972583799 695290332 /nfs/dbraw/zinc/29/03/32/695290332.db2.gz XKJKNOGYBWCYBB-GOSISDBHSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCC3CCOCC3)C2)C1 ZINC000972583799 695290334 /nfs/dbraw/zinc/29/03/34/695290334.db2.gz XKJKNOGYBWCYBB-GOSISDBHSA-N 1 2 320.433 1.130 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)nc(C)n3)C2)C1 ZINC000972594520 695293722 /nfs/dbraw/zinc/29/37/22/695293722.db2.gz BEOOVEOSLDSONR-QGZVFWFLSA-N 1 2 316.405 1.196 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)nc(C)n3)C2)C1 ZINC000972594520 695293724 /nfs/dbraw/zinc/29/37/24/695293724.db2.gz BEOOVEOSLDSONR-QGZVFWFLSA-N 1 2 316.405 1.196 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@]3(C2)C[N@H+](CC=C)CCO3)cn1 ZINC000972643110 695307770 /nfs/dbraw/zinc/30/77/70/695307770.db2.gz OASMYRFDNFVSRX-GOSISDBHSA-N 1 2 311.385 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@]3(C2)C[N@@H+](CC=C)CCO3)cn1 ZINC000972643110 695307774 /nfs/dbraw/zinc/30/77/74/695307774.db2.gz OASMYRFDNFVSRX-GOSISDBHSA-N 1 2 311.385 1.166 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(C)noc2C(F)(F)F)CC1 ZINC000746190135 700010003 /nfs/dbraw/zinc/01/00/03/700010003.db2.gz GRDNUZQNGVSCBD-UHFFFAOYSA-N 1 2 315.295 1.783 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnn(C)c2N)C(C)(C)C1 ZINC000974475642 695677363 /nfs/dbraw/zinc/67/73/63/695677363.db2.gz FEMDXTDFHLJGDO-LLVKDONJSA-N 1 2 311.817 1.195 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnn(C)c2N)C(C)(C)C1 ZINC000974475642 695677365 /nfs/dbraw/zinc/67/73/65/695677365.db2.gz FEMDXTDFHLJGDO-LLVKDONJSA-N 1 2 311.817 1.195 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cncnc2)C(C)(C)C1 ZINC000974524054 695682824 /nfs/dbraw/zinc/68/28/24/695682824.db2.gz JSFYGVAZXUMSKU-CYBMUJFWSA-N 1 2 308.813 1.598 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cncnc2)C(C)(C)C1 ZINC000974524054 695682825 /nfs/dbraw/zinc/68/28/25/695682825.db2.gz JSFYGVAZXUMSKU-CYBMUJFWSA-N 1 2 308.813 1.598 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C(C)(C)C1 ZINC000974688505 695712202 /nfs/dbraw/zinc/71/22/02/695712202.db2.gz ACYNKHYXVADCQT-AVGNSLFASA-N 1 2 316.829 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C(C)(C)C1 ZINC000974688505 695712203 /nfs/dbraw/zinc/71/22/03/695712203.db2.gz ACYNKHYXVADCQT-AVGNSLFASA-N 1 2 316.829 1.369 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2c[nH]c(=O)n2C)C(C)(C)C1 ZINC000974897253 695755839 /nfs/dbraw/zinc/75/58/39/695755839.db2.gz BLUYVLHQMNMRIP-NSHDSACASA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2c[nH]c(=O)n2C)C(C)(C)C1 ZINC000974897253 695755840 /nfs/dbraw/zinc/75/58/40/695755840.db2.gz BLUYVLHQMNMRIP-NSHDSACASA-N 1 2 312.801 1.318 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)C(C)(C)C1 ZINC000977626187 696238945 /nfs/dbraw/zinc/23/89/45/696238945.db2.gz DYTSHDDUHITOMU-CQSZACIVSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)C(C)(C)C1 ZINC000977626187 696238948 /nfs/dbraw/zinc/23/89/48/696238948.db2.gz DYTSHDDUHITOMU-CQSZACIVSA-N 1 2 323.400 1.545 20 30 DDEDLO C[C@@H](c1ccc([S@](C)=O)cc1)[N@H+](C)CC(=O)NCCC#N ZINC000747533296 700075007 /nfs/dbraw/zinc/07/50/07/700075007.db2.gz WVQXRINYOAQSSY-QKVFXAPYSA-N 1 2 307.419 1.447 20 30 DDEDLO C[C@@H](c1ccc([S@](C)=O)cc1)[N@@H+](C)CC(=O)NCCC#N ZINC000747533296 700075009 /nfs/dbraw/zinc/07/50/09/700075009.db2.gz WVQXRINYOAQSSY-QKVFXAPYSA-N 1 2 307.419 1.447 20 30 DDEDLO NC(=NOC[C@H]1CCOC1)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000747832765 700088997 /nfs/dbraw/zinc/08/89/97/700088997.db2.gz WBVKWWFWLMIUQR-HNNXBMFYSA-N 1 2 319.405 1.192 20 30 DDEDLO COC[C@]1(C)CC(=O)N(C[N@H+](C)Cc2ccc(C#N)cc2)C1 ZINC000083105853 696550755 /nfs/dbraw/zinc/55/07/55/696550755.db2.gz UOYUJWBWPQVODN-QGZVFWFLSA-N 1 2 301.390 1.833 20 30 DDEDLO COC[C@]1(C)CC(=O)N(C[N@@H+](C)Cc2ccc(C#N)cc2)C1 ZINC000083105853 696550756 /nfs/dbraw/zinc/55/07/56/696550756.db2.gz UOYUJWBWPQVODN-QGZVFWFLSA-N 1 2 301.390 1.833 20 30 DDEDLO N#CCN1C[C@@H]2CC[C@@H](NC(=O)c3cc4c[nH+]ccc4[nH]3)C[C@H]2C1 ZINC000979984919 696672960 /nfs/dbraw/zinc/67/29/60/696672960.db2.gz HUTPOIQKHGEVMB-AEGPPILISA-N 1 2 323.400 1.917 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(F)cc([N+](=O)[O-])c2N)CC1 ZINC000128915328 696783304 /nfs/dbraw/zinc/78/33/04/696783304.db2.gz JCFOVSNGPUXPIO-UHFFFAOYSA-N 1 2 320.324 1.097 20 30 DDEDLO Cn1cccc1C(O)=CONC(=[NH2+])COc1ccc(F)cc1 ZINC000154702467 696936681 /nfs/dbraw/zinc/93/66/81/696936681.db2.gz XJEIQRUQIUDSBJ-UHFFFAOYSA-N 1 2 305.309 1.715 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cn2nccc2-c2cccnc2)C1=O ZINC000799141793 700122506 /nfs/dbraw/zinc/12/25/06/700122506.db2.gz BRSMKZRQVMPZDK-MRXNPFEDSA-N 1 2 311.389 1.621 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cn2nccc2-c2cccnc2)C1=O ZINC000799141793 700122507 /nfs/dbraw/zinc/12/25/07/700122507.db2.gz BRSMKZRQVMPZDK-MRXNPFEDSA-N 1 2 311.389 1.621 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+]2CC[C@@H]2c2cccc(F)c2)CC1 ZINC000748834439 700137939 /nfs/dbraw/zinc/13/79/39/700137939.db2.gz XEBUFUCXQFVUEK-QGZVFWFLSA-N 1 2 315.392 1.350 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+]2CC[C@@H]2c2cccc(F)c2)CC1 ZINC000748834439 700137941 /nfs/dbraw/zinc/13/79/41/700137941.db2.gz XEBUFUCXQFVUEK-QGZVFWFLSA-N 1 2 315.392 1.350 20 30 DDEDLO CCOCCON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181744090 697464406 /nfs/dbraw/zinc/46/44/06/697464406.db2.gz PRRRKISTSWTTKY-HNNXBMFYSA-N 1 2 307.394 1.213 20 30 DDEDLO CCOCCON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181744090 697464409 /nfs/dbraw/zinc/46/44/09/697464409.db2.gz PRRRKISTSWTTKY-HNNXBMFYSA-N 1 2 307.394 1.213 20 30 DDEDLO C[C@H]1[C@H]([NH2+]Cc2cnsn2)CCN1C(=O)c1cc(C#N)c[nH]1 ZINC000986129366 697695676 /nfs/dbraw/zinc/69/56/76/697695676.db2.gz DIZZAKAFGNFWDB-JOYOIKCWSA-N 1 2 316.390 1.131 20 30 DDEDLO C#C[C@H](NC(=O)NCCCNc1cccc[nH+]1)[C@H]1CCCO1 ZINC000773312405 697742536 /nfs/dbraw/zinc/74/25/36/697742536.db2.gz VZNYBZQXZALEDW-UONOGXRCSA-N 1 2 302.378 1.364 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)c1 ZINC000749689314 700177592 /nfs/dbraw/zinc/17/75/92/700177592.db2.gz FLYODOAIKBZBNI-MRXNPFEDSA-N 1 2 322.368 1.667 20 30 DDEDLO CN(C)c1cc[nH+]cc1C=NNc1nc2ccccc2c(=O)n1C ZINC000776184985 698077213 /nfs/dbraw/zinc/07/72/13/698077213.db2.gz CZKHQDILEDVNRE-UHFFFAOYSA-N 1 2 322.372 1.841 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2C[C@@H](C)N(C(=O)C#CC3CC3)C2)n1 ZINC000988809889 698473098 /nfs/dbraw/zinc/47/30/98/698473098.db2.gz FSLOIVGGBUJOSU-DGCLKSJQSA-N 1 2 302.378 1.124 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2C[C@H](C)N(C(=O)C#CC3CC3)C2)o1 ZINC000988826553 698477627 /nfs/dbraw/zinc/47/76/27/698477627.db2.gz OMIKEAQWRQQUKD-AAEUAGOBSA-N 1 2 302.378 1.124 20 30 DDEDLO C[C@@H]1CN(C)C(=O)C[N@@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000782584017 698743094 /nfs/dbraw/zinc/74/30/94/698743094.db2.gz GXRBUCYCHKHCKG-GFCCVEGCSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@@H]1CN(C)C(=O)C[N@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000782584017 698743099 /nfs/dbraw/zinc/74/30/99/698743099.db2.gz GXRBUCYCHKHCKG-GFCCVEGCSA-N 1 2 300.362 1.049 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC[C@H](N3CCCCC3=O)C2)CCC1 ZINC000784342698 698931035 /nfs/dbraw/zinc/93/10/35/698931035.db2.gz ZGSYCKFRIHTCEO-AWEZNQCLSA-N 1 2 318.421 1.026 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC[C@H](N3CCCCC3=O)C2)CCC1 ZINC000784342698 698931038 /nfs/dbraw/zinc/93/10/38/698931038.db2.gz ZGSYCKFRIHTCEO-AWEZNQCLSA-N 1 2 318.421 1.026 20 30 DDEDLO C#CCOCCNC(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000784548582 699026383 /nfs/dbraw/zinc/02/63/83/699026383.db2.gz DZGHSNOESDTQLJ-HNNXBMFYSA-N 1 2 316.405 1.120 20 30 DDEDLO C[NH+]1CCN(C(=O)c2ccccc2NC(=O)NCCC#N)CC1 ZINC000785671697 699090517 /nfs/dbraw/zinc/09/05/17/699090517.db2.gz XTQKXDLCURQYRM-UHFFFAOYSA-N 1 2 315.377 1.109 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@@H]3CCn4cc[nH+]c4C3)CCC[C@@H]12 ZINC000991474548 699332927 /nfs/dbraw/zinc/33/29/27/699332927.db2.gz QQNLMTNMTTYMGR-CKEIUWERSA-N 1 2 313.405 1.082 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=Cc2cnn(Cc3ccccc3)c2Cl)N1 ZINC000789037759 699357526 /nfs/dbraw/zinc/35/75/26/699357526.db2.gz QWNHODKOXMTXMR-NSHDSACASA-N 1 2 316.796 1.856 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1)[C@@H]1CCCO1 ZINC000789206212 699369748 /nfs/dbraw/zinc/36/97/48/699369748.db2.gz LDHYLBGWASMMMV-OAGGEKHMSA-N 1 2 315.373 1.425 20 30 DDEDLO Cc1cccn2cc(CC(=O)N3CCN(C4CC4)[C@H](C#N)C3)[nH+]c12 ZINC000732647459 699565003 /nfs/dbraw/zinc/56/50/03/699565003.db2.gz FJXXCBZIKQJVAB-MRXNPFEDSA-N 1 2 323.400 1.384 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000792406528 699695787 /nfs/dbraw/zinc/69/57/87/699695787.db2.gz KKACTBLZJWWMHT-UHFFFAOYSA-N 1 2 304.350 1.341 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000792406528 699695788 /nfs/dbraw/zinc/69/57/88/699695788.db2.gz KKACTBLZJWWMHT-UHFFFAOYSA-N 1 2 304.350 1.341 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@@H+](CCC#N)CC(C)C)sc2n1 ZINC000755671641 700576698 /nfs/dbraw/zinc/57/66/98/700576698.db2.gz ZHFOEJUAQLXSRP-UHFFFAOYSA-N 1 2 305.407 1.831 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@H+](CCC#N)CC(C)C)sc2n1 ZINC000755671641 700576700 /nfs/dbraw/zinc/57/67/00/700576700.db2.gz ZHFOEJUAQLXSRP-UHFFFAOYSA-N 1 2 305.407 1.831 20 30 DDEDLO C[C@@]1(O)CCC[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000767027319 701078794 /nfs/dbraw/zinc/07/87/94/701078794.db2.gz WSQVUTBAHGEIDU-QGZVFWFLSA-N 1 2 312.373 1.267 20 30 DDEDLO C[C@@]1(O)CCC[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000767027319 701078797 /nfs/dbraw/zinc/07/87/97/701078797.db2.gz WSQVUTBAHGEIDU-QGZVFWFLSA-N 1 2 312.373 1.267 20 30 DDEDLO CN(C)c1ccc(C=[NH+]Nc2nc3c(cnn3C)c(=O)[nH]2)cc1 ZINC000769820104 701258041 /nfs/dbraw/zinc/25/80/41/701258041.db2.gz XDFYUEGFOJKPQA-UHFFFAOYSA-N 1 2 311.349 1.581 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1ccccc1C(=O)NCC(C)C ZINC000769962924 701262289 /nfs/dbraw/zinc/26/22/89/701262289.db2.gz LLOXKTVGQVOKRM-CQSZACIVSA-N 1 2 315.417 1.964 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1ccccc1C(=O)NCC(C)C ZINC000769962924 701262290 /nfs/dbraw/zinc/26/22/90/701262290.db2.gz LLOXKTVGQVOKRM-CQSZACIVSA-N 1 2 315.417 1.964 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCCCC2(C#N)CCOCC2)C1 ZINC000805478000 701385029 /nfs/dbraw/zinc/38/50/29/701385029.db2.gz CQPBHJBZCGASAR-AWEZNQCLSA-N 1 2 310.394 1.351 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCCCC2(C#N)CCOCC2)C1 ZINC000805478000 701385030 /nfs/dbraw/zinc/38/50/30/701385030.db2.gz CQPBHJBZCGASAR-AWEZNQCLSA-N 1 2 310.394 1.351 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000808753819 701535094 /nfs/dbraw/zinc/53/50/94/701535094.db2.gz DTEFMOQVMZOTGS-ZDUSSCGKSA-N 1 2 317.451 1.783 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000808753819 701535092 /nfs/dbraw/zinc/53/50/92/701535092.db2.gz DTEFMOQVMZOTGS-ZDUSSCGKSA-N 1 2 317.451 1.783 20 30 DDEDLO Cc1nsc(N2CC[NH+](CCO[C@@H](C)C#N)CC2)c1C#N ZINC000810065201 701719804 /nfs/dbraw/zinc/71/98/04/701719804.db2.gz MFKYUFCMBVBDHQ-NSHDSACASA-N 1 2 305.407 1.374 20 30 DDEDLO C#CCN1CCN(C(=O)Cc2c[nH+]cn2Cc2ccccc2)CC1 ZINC000810213598 701743924 /nfs/dbraw/zinc/74/39/24/701743924.db2.gz FFSYJMWGWALRFF-UHFFFAOYSA-N 1 2 322.412 1.251 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCc3cccc(NC(C)=O)c3C2)C1=O ZINC000879237598 706624978 /nfs/dbraw/zinc/62/49/78/706624978.db2.gz LSSBBEALNWZHDV-QGZVFWFLSA-N 1 2 313.401 1.790 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCc3cccc(NC(C)=O)c3C2)C1=O ZINC000879237598 706624980 /nfs/dbraw/zinc/62/49/80/706624980.db2.gz LSSBBEALNWZHDV-QGZVFWFLSA-N 1 2 313.401 1.790 20 30 DDEDLO CO[C@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C[C@H]1C ZINC000840127088 701984004 /nfs/dbraw/zinc/98/40/04/701984004.db2.gz XCAMETVWLCAKES-DYVFJYSZSA-N 1 2 315.417 1.913 20 30 DDEDLO CO[C@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C[C@H]1C ZINC000840127088 701984008 /nfs/dbraw/zinc/98/40/08/701984008.db2.gz XCAMETVWLCAKES-DYVFJYSZSA-N 1 2 315.417 1.913 20 30 DDEDLO CO[C@@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C[C@@H]1C ZINC000840127094 701984705 /nfs/dbraw/zinc/98/47/05/701984705.db2.gz XCAMETVWLCAKES-SUMWQHHRSA-N 1 2 315.417 1.913 20 30 DDEDLO CO[C@@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C[C@@H]1C ZINC000840127094 701984710 /nfs/dbraw/zinc/98/47/10/701984710.db2.gz XCAMETVWLCAKES-SUMWQHHRSA-N 1 2 315.417 1.913 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C(C)(C)C[NH+]2CCOCC2)c1 ZINC000840567969 702157409 /nfs/dbraw/zinc/15/74/09/702157409.db2.gz GHHCUPNVCMCJAU-UHFFFAOYSA-N 1 2 301.390 1.246 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc(N2CCCC2)cc1 ZINC000840760464 702230646 /nfs/dbraw/zinc/23/06/46/702230646.db2.gz UWHKILFOFKZGDE-INIZCTEOSA-N 1 2 303.406 1.872 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc(N2CCCC2)cc1 ZINC000840760464 702230651 /nfs/dbraw/zinc/23/06/51/702230651.db2.gz UWHKILFOFKZGDE-INIZCTEOSA-N 1 2 303.406 1.872 20 30 DDEDLO C[C@@H]1CC(=O)Oc2cc(OC[C@H](O)C[N@H+](C)CCC#N)ccc21 ZINC000840762195 702230967 /nfs/dbraw/zinc/23/09/67/702230967.db2.gz NQYQANWHEBXSDP-CHWSQXEVSA-N 1 2 318.373 1.684 20 30 DDEDLO C[C@@H]1CC(=O)Oc2cc(OC[C@H](O)C[N@@H+](C)CCC#N)ccc21 ZINC000840762195 702230972 /nfs/dbraw/zinc/23/09/72/702230972.db2.gz NQYQANWHEBXSDP-CHWSQXEVSA-N 1 2 318.373 1.684 20 30 DDEDLO COCCO[C@@H]1COCC[C@@H]1[NH2+][C@H](C)c1cccc(C#N)c1O ZINC000866343529 706677863 /nfs/dbraw/zinc/67/78/63/706677863.db2.gz NOGNLNQRGDDQDI-UHOFOFEASA-N 1 2 320.389 1.735 20 30 DDEDLO C[C@H]([NH2+]C[C@@H]1CCCS(=O)(=O)C1)c1cccc(C#N)c1O ZINC000866355907 706680005 /nfs/dbraw/zinc/68/00/05/706680005.db2.gz GKNVMDIIQMAVSH-RYUDHWBXSA-N 1 2 308.403 1.739 20 30 DDEDLO COc1ccc(C(N)=[NH+]O[C@@H](C(=O)N2CCCC2)C(C)C)cc1 ZINC000842020747 702656777 /nfs/dbraw/zinc/65/67/77/702656777.db2.gz DQHUNUUHDCILOR-OAHLLOKOSA-N 1 2 319.405 1.979 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2cn(CC3CC3)nn2)cc1 ZINC000842021809 702657314 /nfs/dbraw/zinc/65/73/14/702657314.db2.gz RAQHUTGLNRLXGU-UHFFFAOYSA-N 1 2 301.350 1.534 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCO[C@](C)(C(F)(F)F)C1 ZINC000879502148 706701350 /nfs/dbraw/zinc/70/13/50/706701350.db2.gz WDVKERFUZFDTBY-LBPRGKRZSA-N 1 2 307.316 1.402 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCO[C@](C)(C(F)(F)F)C1 ZINC000879502148 706701351 /nfs/dbraw/zinc/70/13/51/706701351.db2.gz WDVKERFUZFDTBY-LBPRGKRZSA-N 1 2 307.316 1.402 20 30 DDEDLO C=CCn1cc(C(=O)NCc2[nH+]cc(C)c(OC)c2C)nn1 ZINC000843538940 702900156 /nfs/dbraw/zinc/90/01/56/702900156.db2.gz NSSZLJVHZRUJMU-UHFFFAOYSA-N 1 2 301.350 1.415 20 30 DDEDLO C=CCC(F)(F)C(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000846122451 703240365 /nfs/dbraw/zinc/24/03/65/703240365.db2.gz LHTUGKXITCOQCG-NSHDSACASA-N 1 2 313.348 1.369 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](c1ccccc1F)[C@@H](C)O ZINC000846359419 703268940 /nfs/dbraw/zinc/26/89/40/703268940.db2.gz ISFBTCHWKVHOSC-UHOFOFEASA-N 1 2 304.365 1.461 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](c1ccccc1F)[C@@H](C)O ZINC000846359419 703268942 /nfs/dbraw/zinc/26/89/42/703268942.db2.gz ISFBTCHWKVHOSC-UHOFOFEASA-N 1 2 304.365 1.461 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC000831576781 706733334 /nfs/dbraw/zinc/73/33/34/706733334.db2.gz JZGMJGHTZXDWNJ-OUAUKWLOSA-N 1 2 320.311 1.057 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC000831576781 706733336 /nfs/dbraw/zinc/73/33/36/706733336.db2.gz JZGMJGHTZXDWNJ-OUAUKWLOSA-N 1 2 320.311 1.057 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CC(=O)Nc2ccc([N+](=O)[O-])cc2OC)C1 ZINC000847026215 703367872 /nfs/dbraw/zinc/36/78/72/703367872.db2.gz PAXXFKXPEXXRAK-GFCCVEGCSA-N 1 2 317.345 1.887 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CC(=O)Nc2ccc([N+](=O)[O-])cc2OC)C1 ZINC000847026215 703367874 /nfs/dbraw/zinc/36/78/74/703367874.db2.gz PAXXFKXPEXXRAK-GFCCVEGCSA-N 1 2 317.345 1.887 20 30 DDEDLO CN1C(=O)CCc2cc(C=NNCCCn3cc[nH+]c3)ccc21 ZINC000848416888 703547982 /nfs/dbraw/zinc/54/79/82/703547982.db2.gz BWBWZNIDHIPHKS-UHFFFAOYSA-N 1 2 311.389 1.806 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)c2ccc(Cl)cc2)nn1 ZINC000849147812 703620862 /nfs/dbraw/zinc/62/08/62/703620862.db2.gz ZYECKLVVGGKZNM-OAHLLOKOSA-N 1 2 304.781 1.778 20 30 DDEDLO C#CC[N@@H+](C[C@@H](OC)C1CCCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000851840242 703868053 /nfs/dbraw/zinc/86/80/53/703868053.db2.gz OWZMTOYCEYOJNB-HZPDHXFCSA-N 1 2 313.463 1.704 20 30 DDEDLO C#CC[N@H+](C[C@@H](OC)C1CCCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000851840242 703868054 /nfs/dbraw/zinc/86/80/54/703868054.db2.gz OWZMTOYCEYOJNB-HZPDHXFCSA-N 1 2 313.463 1.704 20 30 DDEDLO C=C(Cl)C[C@H](NC(=O)[C@H](C)Cc1c[nH]c[nH+]1)C(=O)OCC ZINC000870034659 703898269 /nfs/dbraw/zinc/89/82/69/703898269.db2.gz GZPPDPMZTUOMCI-SKDRFNHKSA-N 1 2 313.785 1.779 20 30 DDEDLO C=C(Cl)C[C@H](NC(=O)[C@H](C)Cc1c[nH+]c[nH]1)C(=O)OCC ZINC000870034659 703898271 /nfs/dbraw/zinc/89/82/71/703898271.db2.gz GZPPDPMZTUOMCI-SKDRFNHKSA-N 1 2 313.785 1.779 20 30 DDEDLO COc1cccc([C@H]2CN(C(=O)c3ccc(C#N)[nH]3)CC[NH2+]2)c1 ZINC000870151160 703933636 /nfs/dbraw/zinc/93/36/36/703933636.db2.gz VWCKTSBCNOUKBP-MRXNPFEDSA-N 1 2 310.357 1.682 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](N3CCOC3=O)C2)c([N+](=O)[O-])c1 ZINC000852441485 704050329 /nfs/dbraw/zinc/05/03/29/704050329.db2.gz GQOLCZKBOCHDAP-CYBMUJFWSA-N 1 2 316.317 1.493 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](N3CCOC3=O)C2)c([N+](=O)[O-])c1 ZINC000852441485 704050333 /nfs/dbraw/zinc/05/03/33/704050333.db2.gz GQOLCZKBOCHDAP-CYBMUJFWSA-N 1 2 316.317 1.493 20 30 DDEDLO C=CCNC(=S)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000853047661 704188350 /nfs/dbraw/zinc/18/83/50/704188350.db2.gz FODLYKMAQQKMKO-MRXNPFEDSA-N 1 2 318.490 1.453 20 30 DDEDLO C=CCNC(=S)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000853047661 704188351 /nfs/dbraw/zinc/18/83/51/704188351.db2.gz FODLYKMAQQKMKO-MRXNPFEDSA-N 1 2 318.490 1.453 20 30 DDEDLO Cc1[nH+]cc(C=NN2C(=O)N[C@](C)(c3ccccc3)C2=O)n1C ZINC000853273447 704228162 /nfs/dbraw/zinc/22/81/62/704228162.db2.gz LHMCZOJSFOFMFL-MRXNPFEDSA-N 1 2 311.345 1.530 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1N[C@H]1CCN(S(C)(=O)=O)C1 ZINC000853713224 704303714 /nfs/dbraw/zinc/30/37/14/704303714.db2.gz TUWBVCYBTSJSEA-ZDUSSCGKSA-N 1 2 323.418 1.320 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCC[C@](C#N)(c2ccccn2)C1 ZINC000855303258 704478222 /nfs/dbraw/zinc/47/82/22/704478222.db2.gz YHPHXBPVFJVCJN-GOSISDBHSA-N 1 2 323.400 1.832 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2C(=O)N[C@H]2CCn3c[nH+]cc32)cc1 ZINC000857984213 704655766 /nfs/dbraw/zinc/65/57/66/704655766.db2.gz HPUAAZCSOCPVEB-JKSUJKDBSA-N 1 2 321.384 1.985 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC000875923124 705561186 /nfs/dbraw/zinc/56/11/86/705561186.db2.gz XOEFJBGZKYTVLE-AWEZNQCLSA-N 1 2 319.405 1.887 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@H+]1CCOCC1(C)C)c1ccccc1 ZINC000826046468 705763335 /nfs/dbraw/zinc/76/33/35/705763335.db2.gz SFYNRJDTFOEVIC-MRXNPFEDSA-N 1 2 315.417 1.771 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@@H+]1CCOCC1(C)C)c1ccccc1 ZINC000826046468 705763337 /nfs/dbraw/zinc/76/33/37/705763337.db2.gz SFYNRJDTFOEVIC-MRXNPFEDSA-N 1 2 315.417 1.771 20 30 DDEDLO C=CC(C)(C)CNC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000835721684 707313334 /nfs/dbraw/zinc/31/33/34/707313334.db2.gz ULGBGSASSUBBTI-UHFFFAOYSA-N 1 2 304.394 1.723 20 30 DDEDLO C#CC(C)(C)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000881889895 707408071 /nfs/dbraw/zinc/40/80/71/707408071.db2.gz INHLAWPIDZXPHH-UHFFFAOYSA-N 1 2 303.387 1.622 20 30 DDEDLO N#Cc1cc(C(=O)NCC[NH+]2CCN(c3ccccc3)CC2)co1 ZINC000864826201 706276866 /nfs/dbraw/zinc/27/68/66/706276866.db2.gz VOPOOOIPXYCFHV-UHFFFAOYSA-N 1 2 324.384 1.703 20 30 DDEDLO C=CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000878236432 706334071 /nfs/dbraw/zinc/33/40/71/706334071.db2.gz XQUQMXQQHDJDBQ-NSHDSACASA-N 1 2 315.464 1.722 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCC[C@@](O)(Cc2nc(CC)no2)C1 ZINC000879017998 706563782 /nfs/dbraw/zinc/56/37/82/706563782.db2.gz OHVZPQSHRYFZSQ-MLGOLLRUSA-N 1 2 323.393 1.119 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCC[C@@](O)(Cc2nc(CC)no2)C1 ZINC000879017998 706563783 /nfs/dbraw/zinc/56/37/83/706563783.db2.gz OHVZPQSHRYFZSQ-MLGOLLRUSA-N 1 2 323.393 1.119 20 30 DDEDLO N#CCCN(C(=O)C[N@H+]1CC=C[C@@H]1CO)c1cccc(Cl)c1 ZINC000880484903 706989950 /nfs/dbraw/zinc/98/99/50/706989950.db2.gz VPEMSASNSBYLMF-OAHLLOKOSA-N 1 2 319.792 1.819 20 30 DDEDLO N#CCCN(C(=O)C[N@@H+]1CC=C[C@@H]1CO)c1cccc(Cl)c1 ZINC000880484903 706989953 /nfs/dbraw/zinc/98/99/53/706989953.db2.gz VPEMSASNSBYLMF-OAHLLOKOSA-N 1 2 319.792 1.819 20 30 DDEDLO C[C@H](NC(=O)Nc1cccc(CC#N)n1)[C@H](C)[NH+]1CCOCC1 ZINC000881153793 707138814 /nfs/dbraw/zinc/13/88/14/707138814.db2.gz HSMCKOVIUPEHLJ-STQMWFEESA-N 1 2 317.393 1.378 20 30 DDEDLO C[C@H](NC(=O)C#CC1CC1)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000837433331 707646181 /nfs/dbraw/zinc/64/61/81/707646181.db2.gz SIRBOFAVINBCOK-YJBOKZPZSA-N 1 2 312.413 1.806 20 30 DDEDLO C[C@H](NC(=O)C#CC1CC1)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000837433331 707646183 /nfs/dbraw/zinc/64/61/83/707646183.db2.gz SIRBOFAVINBCOK-YJBOKZPZSA-N 1 2 312.413 1.806 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H]1c1cc(C)no1 ZINC000884006772 708099618 /nfs/dbraw/zinc/09/96/18/708099618.db2.gz BMZIOHUWDYGVIJ-RYUDHWBXSA-N 1 2 307.350 1.093 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1ccc(F)cc1F ZINC000884055786 708120419 /nfs/dbraw/zinc/12/04/19/708120419.db2.gz YTUVBLLBEMIGOR-RNCFNFMXSA-N 1 2 312.316 1.589 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](CC)c1ccccc1 ZINC000884058209 708121177 /nfs/dbraw/zinc/12/11/77/708121177.db2.gz DOMALPNENZAVDV-HIFRSBDPSA-N 1 2 304.390 1.743 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1ccc(F)cc1F ZINC000884100197 708138580 /nfs/dbraw/zinc/13/85/80/708138580.db2.gz MICORANLFLJZCR-ZDUSSCGKSA-N 1 2 312.316 1.070 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H]1CCOc2ccccc21 ZINC000884114188 708145660 /nfs/dbraw/zinc/14/56/60/708145660.db2.gz KPRBZHWVBBAVPV-OCCSQVGLSA-N 1 2 318.373 1.116 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCCC[C@@H](SCC)C1 ZINC000884135219 708155634 /nfs/dbraw/zinc/15/56/34/708155634.db2.gz DRWKQPMYNQSFDV-OLZOCXBDSA-N 1 2 314.451 1.567 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1ccc(C)c(OC)c1 ZINC000884139984 708157496 /nfs/dbraw/zinc/15/74/96/708157496.db2.gz HMVLLSULKSWAAA-AWEZNQCLSA-N 1 2 320.389 1.109 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(F)c(OC)cc1C ZINC000884330401 708248490 /nfs/dbraw/zinc/24/84/90/708248490.db2.gz MMHWJUUPAJQLAC-NSHDSACASA-N 1 2 310.325 1.528 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1cccc(OC)c1C ZINC000884419044 708290031 /nfs/dbraw/zinc/29/00/31/708290031.db2.gz FHVAZERRSQHIER-ZDUSSCGKSA-N 1 2 306.362 1.066 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C)c(F)c2)C1 ZINC000885514214 708563871 /nfs/dbraw/zinc/56/38/71/708563871.db2.gz SVGVLUVYYOXXNG-CYBMUJFWSA-N 1 2 310.394 1.510 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C)c(F)c2)C1 ZINC000885514214 708563875 /nfs/dbraw/zinc/56/38/75/708563875.db2.gz SVGVLUVYYOXXNG-CYBMUJFWSA-N 1 2 310.394 1.510 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[N@H+](C[C@@H](O)CC2(C#N)CCC2)CCO1 ZINC000886030776 708685001 /nfs/dbraw/zinc/68/50/01/708685001.db2.gz UNCDUZKBPIQDPS-KBPBESRZSA-N 1 2 324.421 1.474 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[N@@H+](C[C@@H](O)CC2(C#N)CCC2)CCO1 ZINC000886030776 708685004 /nfs/dbraw/zinc/68/50/04/708685004.db2.gz UNCDUZKBPIQDPS-KBPBESRZSA-N 1 2 324.421 1.474 20 30 DDEDLO CC(C)(CNC(=O)c1ncc(C#N)cc1Cl)n1cc[nH+]c1 ZINC000898904875 708917935 /nfs/dbraw/zinc/91/79/35/708917935.db2.gz LZCMXPFSIPOLGS-UHFFFAOYSA-N 1 2 303.753 1.968 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H]2CCC[C@H](C(F)(F)F)O2)nn1 ZINC000900632486 709687274 /nfs/dbraw/zinc/68/72/74/709687274.db2.gz DTFHTYFNGZDQAF-CHWSQXEVSA-N 1 2 316.327 1.891 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H](Nc2cc[nH+]cc2CO)[C@@H](C#N)C1 ZINC000893168863 710565801 /nfs/dbraw/zinc/56/58/01/710565801.db2.gz BIMRCLNRYJYYHP-FZMZJTMJSA-N 1 2 318.377 1.167 20 30 DDEDLO CCC[C@H]1CC(=O)N(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1 ZINC000902599756 710810879 /nfs/dbraw/zinc/81/08/79/710810879.db2.gz JGKSOOFFFICWQJ-AWEZNQCLSA-N 1 2 306.406 1.930 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH2+][C@@H](c3cnn(C)c3)C2)CCOCC1 ZINC000913455572 713223171 /nfs/dbraw/zinc/22/31/71/713223171.db2.gz BUPNFJTTZGLDRN-OAHLLOKOSA-N 1 2 318.421 1.266 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC000913458537 713224811 /nfs/dbraw/zinc/22/48/11/713224811.db2.gz PRTSRKLSOJCOCY-GUYCJALGSA-N 1 2 323.400 1.633 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)CNc1ccc(C#N)cc1 ZINC000928641063 713246326 /nfs/dbraw/zinc/24/63/26/713246326.db2.gz RHAHRJONSFZADP-HNNXBMFYSA-N 1 2 316.405 1.197 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2conc2Cc2ccccc2)CCCN1O ZINC000895158413 711436328 /nfs/dbraw/zinc/43/63/28/711436328.db2.gz HZOIQIUDECPDAZ-AWEZNQCLSA-N 1 2 301.346 1.735 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(C)c(C)ccc2[N+](=O)[O-])CC1 ZINC000895884120 711637032 /nfs/dbraw/zinc/63/70/32/711637032.db2.gz IVYVLBIFNQQNKU-UHFFFAOYSA-N 1 2 315.373 1.993 20 30 DDEDLO Cc1c(C(=O)N2CC(Oc3cc[nH+]cc3)C2)cnn1CCC#N ZINC000896131810 711680108 /nfs/dbraw/zinc/68/01/08/711680108.db2.gz INRAPBWECQBWQN-UHFFFAOYSA-N 1 2 311.345 1.404 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CCO[C@](C)(C#N)C1 ZINC000896239341 711695697 /nfs/dbraw/zinc/69/56/97/711695697.db2.gz JMXNNOVRKUFJIH-NVXWUHKLSA-N 1 2 314.389 1.497 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CCO[C@](C)(C#N)C1 ZINC000896239341 711695699 /nfs/dbraw/zinc/69/56/99/711695699.db2.gz JMXNNOVRKUFJIH-NVXWUHKLSA-N 1 2 314.389 1.497 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000913809200 713303756 /nfs/dbraw/zinc/30/37/56/713303756.db2.gz MANYFASDZSICIM-UNGSAITNSA-N 1 2 319.449 1.933 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000913809200 713303758 /nfs/dbraw/zinc/30/37/58/713303758.db2.gz MANYFASDZSICIM-UNGSAITNSA-N 1 2 319.449 1.933 20 30 DDEDLO CC(C)C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000907428254 712569288 /nfs/dbraw/zinc/56/92/88/712569288.db2.gz AVSXUWOOQKAERQ-CQSZACIVSA-N 1 2 322.434 1.304 20 30 DDEDLO CC(C)C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000907428254 712569290 /nfs/dbraw/zinc/56/92/90/712569290.db2.gz AVSXUWOOQKAERQ-CQSZACIVSA-N 1 2 322.434 1.304 20 30 DDEDLO C[C@H]1[C@H](C)[N@H+](C)CCN1S(=O)(=O)Cc1csc(C#N)c1 ZINC000914319739 713377799 /nfs/dbraw/zinc/37/77/99/713377799.db2.gz BIUZKHCJJZIDFR-QWRGUYRKSA-N 1 2 313.448 1.474 20 30 DDEDLO C[C@H]1[C@H](C)[N@@H+](C)CCN1S(=O)(=O)Cc1csc(C#N)c1 ZINC000914319739 713377801 /nfs/dbraw/zinc/37/78/01/713377801.db2.gz BIUZKHCJJZIDFR-QWRGUYRKSA-N 1 2 313.448 1.474 20 30 DDEDLO CC(C)(C#N)CS(=O)(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000915066263 713407896 /nfs/dbraw/zinc/40/78/96/713407896.db2.gz GSAAQVNVZFIISO-CYBMUJFWSA-N 1 2 309.391 1.414 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)nc1 ZINC000928715488 713470412 /nfs/dbraw/zinc/47/04/12/713470412.db2.gz DXBFNWVSKMMFDW-ZDUSSCGKSA-N 1 2 310.361 1.322 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2nn(C)cc2C)C1 ZINC000966077601 717932482 /nfs/dbraw/zinc/93/24/82/717932482.db2.gz PYVCHJLCFAIQEM-ZWNOBZJWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2nn(C)cc2C)C1 ZINC000966077601 717932484 /nfs/dbraw/zinc/93/24/84/717932484.db2.gz PYVCHJLCFAIQEM-ZWNOBZJWSA-N 1 2 310.829 1.921 20 30 DDEDLO CC1(C)C[C@@](C)([NH2+]C[C@@H](O)CC2(C#N)CCOCC2)C(=O)O1 ZINC000930870876 713947016 /nfs/dbraw/zinc/94/70/16/713947016.db2.gz LCSCQYNFQWLUFW-SWLSCSKDSA-N 1 2 310.394 1.132 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000931143005 714014226 /nfs/dbraw/zinc/01/42/26/714014226.db2.gz DISIYWPJSLFMMR-HNNXBMFYSA-N 1 2 318.804 1.905 20 30 DDEDLO Cc1cc(CNC(=O)N2C[C@H](C)N(CC#N)[C@@H](C)C2)cc(C)[nH+]1 ZINC000922927514 714189115 /nfs/dbraw/zinc/18/91/15/714189115.db2.gz NHEDAHKWTZXZON-GJZGRUSLSA-N 1 2 315.421 1.826 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)no1 ZINC000931952573 714219299 /nfs/dbraw/zinc/21/92/99/714219299.db2.gz KXJDMJSBWDRWQT-KFNAQCHYSA-N 1 2 319.409 1.610 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)no1 ZINC000931952573 714219302 /nfs/dbraw/zinc/21/93/02/714219302.db2.gz KXJDMJSBWDRWQT-KFNAQCHYSA-N 1 2 319.409 1.610 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NC2CC3(CC(NCC#N)C3)C2)c[nH+]1 ZINC000964030435 717971484 /nfs/dbraw/zinc/97/14/84/717971484.db2.gz NDBWASPLKOONHK-UHFFFAOYSA-N 1 2 315.421 1.467 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C[C@H](C)n2ccnc2CC)C1 ZINC000923554915 714399547 /nfs/dbraw/zinc/39/95/47/714399547.db2.gz SCXKMXVVRMQKFL-GJZGRUSLSA-N 1 2 302.422 1.610 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C[C@H](C)n2ccnc2CC)C1 ZINC000923554915 714399554 /nfs/dbraw/zinc/39/95/54/714399554.db2.gz SCXKMXVVRMQKFL-GJZGRUSLSA-N 1 2 302.422 1.610 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2[nH]c(C)c(C(C)=O)c2CC)C1 ZINC000923587255 714418293 /nfs/dbraw/zinc/41/82/93/714418293.db2.gz XHGNNSARGIXAHQ-AWEZNQCLSA-N 1 2 315.417 1.916 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]c(C)c(C(C)=O)c2CC)C1 ZINC000923587255 714418296 /nfs/dbraw/zinc/41/82/96/714418296.db2.gz XHGNNSARGIXAHQ-AWEZNQCLSA-N 1 2 315.417 1.916 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000923589926 714420091 /nfs/dbraw/zinc/42/00/91/714420091.db2.gz RUGYDPNHEFOQNM-NSHDSACASA-N 1 2 307.375 1.792 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc([N+](=O)[O-])c(C)s2)C1 ZINC000923589926 714420093 /nfs/dbraw/zinc/42/00/93/714420093.db2.gz RUGYDPNHEFOQNM-NSHDSACASA-N 1 2 307.375 1.792 20 30 DDEDLO COC(=O)[C@]12C[C@H]1CCC[N@@H+]2C[C@H](O)c1ccc(C#N)cc1 ZINC000933156959 714524764 /nfs/dbraw/zinc/52/47/64/714524764.db2.gz BVRBUAZUNZJNSS-VYDXJSESSA-N 1 2 300.358 1.619 20 30 DDEDLO COC(=O)[C@]12C[C@H]1CCC[N@H+]2C[C@H](O)c1ccc(C#N)cc1 ZINC000933156959 714524768 /nfs/dbraw/zinc/52/47/68/714524768.db2.gz BVRBUAZUNZJNSS-VYDXJSESSA-N 1 2 300.358 1.619 20 30 DDEDLO CCn1c[nH+]c2c1CCN(CC(=O)Nc1sccc1C#N)C2 ZINC000933260116 714548813 /nfs/dbraw/zinc/54/88/13/714548813.db2.gz JBKRLZORXUCWSW-UHFFFAOYSA-N 1 2 315.402 1.833 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOc2ccccc2[C@@H]1CO ZINC000933381964 714577022 /nfs/dbraw/zinc/57/70/22/714577022.db2.gz IOUBNMKBJLRLSB-INIZCTEOSA-N 1 2 318.417 1.839 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOc2ccccc2[C@@H]1CO ZINC000933381964 714577023 /nfs/dbraw/zinc/57/70/23/714577023.db2.gz IOUBNMKBJLRLSB-INIZCTEOSA-N 1 2 318.417 1.839 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)N2CC[C@](F)(C#N)C2)n1 ZINC000924778084 714662112 /nfs/dbraw/zinc/66/21/12/714662112.db2.gz SQTGWOAQEWWTHK-ZDUSSCGKSA-N 1 2 311.386 1.352 20 30 DDEDLO C[N@H+](Cc1cc(C#N)cs1)[C@@H]1CCN(C2CCOCC2)C1=O ZINC000933777682 714669943 /nfs/dbraw/zinc/66/99/43/714669943.db2.gz NFOMXOQSXFXVBE-OAHLLOKOSA-N 1 2 319.430 1.831 20 30 DDEDLO C[N@@H+](Cc1cc(C#N)cs1)[C@@H]1CCN(C2CCOCC2)C1=O ZINC000933777682 714669945 /nfs/dbraw/zinc/66/99/45/714669945.db2.gz NFOMXOQSXFXVBE-OAHLLOKOSA-N 1 2 319.430 1.831 20 30 DDEDLO CO[C@@H]1CC[C@@H]1[N@H+](C)Cc1nc2ccccc2c(=O)n1CC#N ZINC000934117072 714745554 /nfs/dbraw/zinc/74/55/54/714745554.db2.gz JTPHKCMRCWMUQO-LSDHHAIUSA-N 1 2 312.373 1.529 20 30 DDEDLO CO[C@@H]1CC[C@@H]1[N@@H+](C)Cc1nc2ccccc2c(=O)n1CC#N ZINC000934117072 714745555 /nfs/dbraw/zinc/74/55/55/714745555.db2.gz JTPHKCMRCWMUQO-LSDHHAIUSA-N 1 2 312.373 1.529 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1C[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC000934511102 714839021 /nfs/dbraw/zinc/83/90/21/714839021.db2.gz JOXXLDWLKRBFPU-GOEBONIOSA-N 1 2 307.415 1.611 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1C[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC000934511102 714839022 /nfs/dbraw/zinc/83/90/22/714839022.db2.gz JOXXLDWLKRBFPU-GOEBONIOSA-N 1 2 307.415 1.611 20 30 DDEDLO C#CCC1(NC(=O)CC[NH+]2CCN(C(C)C)CC2)CCOCC1 ZINC000925420007 714850379 /nfs/dbraw/zinc/85/03/79/714850379.db2.gz DXYNAIFGIIGJSJ-UHFFFAOYSA-N 1 2 321.465 1.091 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)N[C@H](CC)C[NH+]2CCOCC2)C1 ZINC000925610261 714899448 /nfs/dbraw/zinc/89/94/48/714899448.db2.gz FTPIQKDHLDAICZ-JKSUJKDBSA-N 1 2 307.438 1.542 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C(C)C)CC2)C1 ZINC000956581351 715478923 /nfs/dbraw/zinc/47/89/23/715478923.db2.gz LLSCZJNTJLEYGX-OAHLLOKOSA-N 1 2 321.465 1.600 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccnc3nc(C)nn32)C1 ZINC000957129332 715760975 /nfs/dbraw/zinc/76/09/75/715760975.db2.gz AAQPEBKTMHRWGS-UHFFFAOYSA-N 1 2 314.393 1.155 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2nc3nccc(C)n3n2)C1 ZINC000957351271 715854304 /nfs/dbraw/zinc/85/43/04/715854304.db2.gz XXNGUWCHVYFHFE-UHFFFAOYSA-N 1 2 314.393 1.155 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCCN2C(=O)CCC)C1 ZINC000957420834 715894328 /nfs/dbraw/zinc/89/43/28/715894328.db2.gz VLVBNXVJJIPSDP-MRXNPFEDSA-N 1 2 321.465 1.886 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@@H](c2cccnc2)N(C)C)CC1 ZINC000957805011 716060353 /nfs/dbraw/zinc/06/03/53/716060353.db2.gz ABKOKRYCPXGKJI-MRXNPFEDSA-N 1 2 302.422 1.405 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2ccc(Cl)o2)[C@H](O)C1 ZINC000957881944 716215615 /nfs/dbraw/zinc/21/56/15/716215615.db2.gz HUWGHPCXQKNGDP-NXEZZACHSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2ccc(Cl)o2)[C@H](O)C1 ZINC000957881944 716215623 /nfs/dbraw/zinc/21/56/23/716215623.db2.gz HUWGHPCXQKNGDP-NXEZZACHSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2ncoc2CC)C1 ZINC000957936093 716239503 /nfs/dbraw/zinc/23/95/03/716239503.db2.gz RZEYSBKVJLVTJU-MNOVXSKESA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2ncoc2CC)C1 ZINC000957936093 716239507 /nfs/dbraw/zinc/23/95/07/716239507.db2.gz RZEYSBKVJLVTJU-MNOVXSKESA-N 1 2 313.785 1.012 20 30 DDEDLO C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1CCCC[N@H+]1C ZINC000960274710 716475895 /nfs/dbraw/zinc/47/58/95/716475895.db2.gz DKSHEUDSTSYNHV-FGTMMUONSA-N 1 2 311.429 1.476 20 30 DDEDLO C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1CCCC[N@@H+]1C ZINC000960274710 716475898 /nfs/dbraw/zinc/47/58/98/716475898.db2.gz DKSHEUDSTSYNHV-FGTMMUONSA-N 1 2 311.429 1.476 20 30 DDEDLO C#CC[NH2+][C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1CCCCN1C ZINC000960274710 716475900 /nfs/dbraw/zinc/47/59/00/716475900.db2.gz DKSHEUDSTSYNHV-FGTMMUONSA-N 1 2 311.429 1.476 20 30 DDEDLO CC(C)n1cc(C[N@H+](C)C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)nn1 ZINC000960500501 716582636 /nfs/dbraw/zinc/58/26/36/716582636.db2.gz GRILRXMAMRXADC-ZFWWWQNUSA-N 1 2 318.425 1.441 20 30 DDEDLO CC(C)n1cc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)nn1 ZINC000960500501 716582639 /nfs/dbraw/zinc/58/26/39/716582639.db2.gz GRILRXMAMRXADC-ZFWWWQNUSA-N 1 2 318.425 1.441 20 30 DDEDLO COc1nscc1C[N@H+](C)C[C@H]1CCCN1C(=O)[C@@H](C)C#N ZINC000960499711 716582967 /nfs/dbraw/zinc/58/29/67/716582967.db2.gz GAIUASVDLOHOKA-WCQYABFASA-N 1 2 322.434 1.734 20 30 DDEDLO COc1nscc1C[N@@H+](C)C[C@H]1CCCN1C(=O)[C@@H](C)C#N ZINC000960499711 716582972 /nfs/dbraw/zinc/58/29/72/716582972.db2.gz GAIUASVDLOHOKA-WCQYABFASA-N 1 2 322.434 1.734 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ccc(C#N)[nH]2)co1 ZINC000958590220 716647209 /nfs/dbraw/zinc/64/72/09/716647209.db2.gz MZDVEUCUIWJPQA-NHAGDIPZSA-N 1 2 311.345 1.043 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ccc(C#N)[nH]2)co1 ZINC000958590220 716647216 /nfs/dbraw/zinc/64/72/16/716647216.db2.gz MZDVEUCUIWJPQA-NHAGDIPZSA-N 1 2 311.345 1.043 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cnns4)C[C@H]32)C1 ZINC000961730485 717077343 /nfs/dbraw/zinc/07/73/43/717077343.db2.gz ISMSNYLEUWVXHU-ITGUQSILSA-N 1 2 304.419 1.441 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cnns4)C[C@H]32)C1 ZINC000961730485 717077348 /nfs/dbraw/zinc/07/73/48/717077348.db2.gz ISMSNYLEUWVXHU-ITGUQSILSA-N 1 2 304.419 1.441 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)/C=C(/C)C3CC3)CC2)C1 ZINC000941333157 717156727 /nfs/dbraw/zinc/15/67/27/717156727.db2.gz WLPFZBLEPDIVES-QINSGFPZSA-N 1 2 301.434 1.194 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3csc(C)c3C)CC2)C1 ZINC000941420379 717174000 /nfs/dbraw/zinc/17/40/00/717174000.db2.gz YLDOUFWEDAMQNF-UHFFFAOYSA-N 1 2 317.458 1.440 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@@H]3C3CCCC3)CC2)C1 ZINC000941622667 717233042 /nfs/dbraw/zinc/23/30/42/717233042.db2.gz LSMUOCOGSSTQPQ-QZTJIDSGSA-N 1 2 315.461 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cccc(Cl)c3)CC2)C1 ZINC000941648856 717243254 /nfs/dbraw/zinc/24/32/54/717243254.db2.gz DKHVIRDOZTZJFW-UHFFFAOYSA-N 1 2 317.820 1.415 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@@H]2C)C1 ZINC000966746069 718647829 /nfs/dbraw/zinc/64/78/29/718647829.db2.gz ISFCIYSMNMDMTN-QWHCGFSZSA-N 1 2 316.405 1.272 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC000968481179 719611242 /nfs/dbraw/zinc/61/12/42/719611242.db2.gz ZBIGBOQDVAWVDB-ZYHUDNBSSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC000968481179 719611243 /nfs/dbraw/zinc/61/12/43/719611243.db2.gz ZBIGBOQDVAWVDB-ZYHUDNBSSA-N 1 2 311.817 1.491 20 30 DDEDLO C[C@H]1CCN(CC#N)C[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC000968533756 719650176 /nfs/dbraw/zinc/65/01/76/719650176.db2.gz MMDLDYTYZIOFQL-XJKSGUPXSA-N 1 2 324.388 1.231 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnnn1C ZINC000948916561 719784790 /nfs/dbraw/zinc/78/47/90/719784790.db2.gz ZAHLBGZDMDPCEL-INIZCTEOSA-N 1 2 323.400 1.168 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnnn1C ZINC000948916561 719784793 /nfs/dbraw/zinc/78/47/93/719784793.db2.gz ZAHLBGZDMDPCEL-INIZCTEOSA-N 1 2 323.400 1.168 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1c(C)cnn1C ZINC000948947357 719796430 /nfs/dbraw/zinc/79/64/30/719796430.db2.gz YZUTXKBBGKJHMP-KRWDZBQOSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1c(C)cnn1C ZINC000948947357 719796431 /nfs/dbraw/zinc/79/64/31/719796431.db2.gz YZUTXKBBGKJHMP-KRWDZBQOSA-N 1 2 322.412 1.691 20 30 DDEDLO C=CCCN1CCN(C(=O)c2ccn(-c3cc[nH+]cc3)n2)CC1 ZINC000949246909 719973491 /nfs/dbraw/zinc/97/34/91/719973491.db2.gz RSXYCPPVZUEYHF-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccccc3O)CC2)C1 ZINC000949301193 720001937 /nfs/dbraw/zinc/00/19/37/720001937.db2.gz QSTZDQPYAWBKCR-UHFFFAOYSA-N 1 2 314.385 1.332 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccccc3O)CC2)C1 ZINC000949301193 720001938 /nfs/dbraw/zinc/00/19/38/720001938.db2.gz QSTZDQPYAWBKCR-UHFFFAOYSA-N 1 2 314.385 1.332 20 30 DDEDLO C[C@@H](NC(=O)c1cnccn1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969230111 720017283 /nfs/dbraw/zinc/01/72/83/720017283.db2.gz HVKCLBWDPDZJQQ-CYBMUJFWSA-N 1 2 321.384 1.599 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C(C)(C)C3CC3)CC2)C1 ZINC000949440715 720087897 /nfs/dbraw/zinc/08/78/97/720087897.db2.gz AYSYMGVHWPNVDP-UHFFFAOYSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C(C)(C)C3CC3)CC2)C1 ZINC000949440715 720087902 /nfs/dbraw/zinc/08/79/02/720087902.db2.gz AYSYMGVHWPNVDP-UHFFFAOYSA-N 1 2 304.434 1.749 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2c(C)nn(C)c2C)C1 ZINC000970235810 720672983 /nfs/dbraw/zinc/67/29/83/720672983.db2.gz BKCOALXRKIVJMH-JTQLQIEISA-N 1 2 310.829 1.840 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC000970409524 720750517 /nfs/dbraw/zinc/75/05/17/720750517.db2.gz AFJCYFBVKJRFMT-AAEUAGOBSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc(COC)on2)C1 ZINC000970544940 720808037 /nfs/dbraw/zinc/80/80/37/720808037.db2.gz IZRKEDDKSDGKQN-SNVBAGLBSA-N 1 2 313.785 1.624 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC000970918594 720986981 /nfs/dbraw/zinc/98/69/81/720986981.db2.gz VUGDMGCKNIPFLQ-NWDGAFQWSA-N 1 2 323.828 1.030 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3n2CCCC3)C1 ZINC000951368653 721005344 /nfs/dbraw/zinc/00/53/44/721005344.db2.gz BYPNEIQWWHVQNO-UHFFFAOYSA-N 1 2 300.406 1.389 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2cc3c([nH]c2=O)CCCC3)CC1 ZINC000952372262 721425653 /nfs/dbraw/zinc/42/56/53/721425653.db2.gz AUNLBTDJGXHNAP-UHFFFAOYSA-N 1 2 313.401 1.447 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@@H]1CNC(=O)c1cc[nH]c1 ZINC001038285181 735328878 /nfs/dbraw/zinc/32/88/78/735328878.db2.gz RCMIQGRNOURDBG-MRXNPFEDSA-N 1 2 324.384 1.899 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@@H]1CNC(=O)c1cc[nH]c1 ZINC001038285181 735328880 /nfs/dbraw/zinc/32/88/80/735328880.db2.gz RCMIQGRNOURDBG-MRXNPFEDSA-N 1 2 324.384 1.899 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)COc3ccsc3)C2)C1 ZINC000972637670 735396159 /nfs/dbraw/zinc/39/61/59/735396159.db2.gz CWRQYGTTZUUQMI-INIZCTEOSA-N 1 2 320.414 1.063 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)COc3ccsc3)C2)C1 ZINC000972637670 735396161 /nfs/dbraw/zinc/39/61/61/735396161.db2.gz CWRQYGTTZUUQMI-INIZCTEOSA-N 1 2 320.414 1.063 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3CCCC3)C2)nn1 ZINC001098595129 736231250 /nfs/dbraw/zinc/23/12/50/736231250.db2.gz GCHYUEXKUISJGH-MRXNPFEDSA-N 1 2 315.421 1.355 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)c1ccc(=O)[nH]n1 ZINC001038036483 732814826 /nfs/dbraw/zinc/81/48/26/732814826.db2.gz LWFWVXDGBKBSFV-OAHLLOKOSA-N 1 2 322.368 1.038 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)c1ccc(=O)[nH]n1 ZINC001038036483 732814827 /nfs/dbraw/zinc/81/48/27/732814827.db2.gz LWFWVXDGBKBSFV-OAHLLOKOSA-N 1 2 322.368 1.038 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)CNc1ccncc1C#N ZINC001104259079 733072118 /nfs/dbraw/zinc/07/21/18/733072118.db2.gz XPTUZMJAZONHOT-MRVWCRGKSA-N 1 2 324.388 1.066 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)CNc1ccncc1C#N ZINC001104259079 733072123 /nfs/dbraw/zinc/07/21/23/733072123.db2.gz XPTUZMJAZONHOT-MRVWCRGKSA-N 1 2 324.388 1.066 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(OC)c3C)[C@H]2C1 ZINC001083206655 733263352 /nfs/dbraw/zinc/26/33/52/733263352.db2.gz MCDPTBNMCRMNLK-DOTOQJQBSA-N 1 2 314.385 1.162 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(OC)c3C)[C@H]2C1 ZINC001083206655 733263357 /nfs/dbraw/zinc/26/33/57/733263357.db2.gz MCDPTBNMCRMNLK-DOTOQJQBSA-N 1 2 314.385 1.162 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4ccccn4c3)[C@H]2C1 ZINC001083213787 733534366 /nfs/dbraw/zinc/53/43/66/733534366.db2.gz RUCJLIJTOUROTR-DLBZAZTESA-N 1 2 309.369 1.098 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4ccccn4c3)[C@H]2C1 ZINC001083213787 733534367 /nfs/dbraw/zinc/53/43/67/733534367.db2.gz RUCJLIJTOUROTR-DLBZAZTESA-N 1 2 309.369 1.098 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3coc(C4CC4)n3)[C@H]2C1 ZINC001083216928 733606275 /nfs/dbraw/zinc/60/62/75/733606275.db2.gz YBVRDAHSNNNJSC-LSDHHAIUSA-N 1 2 315.373 1.101 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3coc(C4CC4)n3)[C@H]2C1 ZINC001083216928 733606277 /nfs/dbraw/zinc/60/62/77/733606277.db2.gz YBVRDAHSNNNJSC-LSDHHAIUSA-N 1 2 315.373 1.101 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(Cl)cc2)C1 ZINC000891606911 734423588 /nfs/dbraw/zinc/42/35/88/734423588.db2.gz QKZMQIADEDCJIU-CQSZACIVSA-N 1 2 319.792 1.492 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(Cl)cc2)C1 ZINC000891606911 734423591 /nfs/dbraw/zinc/42/35/91/734423591.db2.gz QKZMQIADEDCJIU-CQSZACIVSA-N 1 2 319.792 1.492 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001027942101 738843910 /nfs/dbraw/zinc/84/39/10/738843910.db2.gz XNDBMHBRPZJHPD-XQQFMLRXSA-N 1 2 302.802 1.123 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001027942101 738843913 /nfs/dbraw/zinc/84/39/13/738843913.db2.gz XNDBMHBRPZJHPD-XQQFMLRXSA-N 1 2 302.802 1.123 20 30 DDEDLO C=CCCCC(=O)NC[C@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001098222915 735011421 /nfs/dbraw/zinc/01/14/21/735011421.db2.gz SIRDKSMXBBENAK-ZDUSSCGKSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCCC(=O)NC[C@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001098222915 735011426 /nfs/dbraw/zinc/01/14/26/735011426.db2.gz SIRDKSMXBBENAK-ZDUSSCGKSA-N 1 2 317.437 1.737 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(C)c(C#N)c3)n2C)CC1 ZINC001121343305 782465382 /nfs/dbraw/zinc/46/53/82/782465382.db2.gz YCQRJVDPWIWDAU-UHFFFAOYSA-N 1 2 320.400 1.417 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213390047 735079825 /nfs/dbraw/zinc/07/98/25/735079825.db2.gz PCGIURQKVJOKJM-UNEWFSDZSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213390047 735079827 /nfs/dbraw/zinc/07/98/27/735079827.db2.gz PCGIURQKVJOKJM-UNEWFSDZSA-N 1 2 321.421 1.901 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2CCC[N@H+](Cc3ncccn3)C2)c[nH]1 ZINC001023314449 735151757 /nfs/dbraw/zinc/15/17/57/735151757.db2.gz MIEVSOYOKAASLW-ZDUSSCGKSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]2CCC[N@@H+](Cc3ncccn3)C2)c[nH]1 ZINC001023314449 735151759 /nfs/dbraw/zinc/15/17/59/735151759.db2.gz MIEVSOYOKAASLW-ZDUSSCGKSA-N 1 2 324.388 1.318 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3ccco3)C2)C1 ZINC000972550608 735162188 /nfs/dbraw/zinc/16/21/88/735162188.db2.gz ZQWOCQGCAWRMAI-WMLDXEAASA-N 1 2 304.390 1.872 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3ccco3)C2)C1 ZINC000972550608 735162191 /nfs/dbraw/zinc/16/21/91/735162191.db2.gz ZQWOCQGCAWRMAI-WMLDXEAASA-N 1 2 304.390 1.872 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@@H]1C[NH2+]Cc1nnc(C2CC2)o1 ZINC001024902013 736159550 /nfs/dbraw/zinc/15/95/50/736159550.db2.gz HFEWTABMYDUIQW-DGCLKSJQSA-N 1 2 317.393 1.577 20 30 DDEDLO C[C@@H](CNc1ncc(C#N)cc1F)N(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001104649315 736488050 /nfs/dbraw/zinc/48/80/50/736488050.db2.gz HHLKWQYJTMHBPG-JTQLQIEISA-N 1 2 316.340 1.317 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)OCCCO2 ZINC001038350098 737103348 /nfs/dbraw/zinc/10/33/48/737103348.db2.gz NLVPDQPPKHTPDD-CQSZACIVSA-N 1 2 300.358 1.285 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)OCCCO2 ZINC001038350098 737103350 /nfs/dbraw/zinc/10/33/50/737103350.db2.gz NLVPDQPPKHTPDD-CQSZACIVSA-N 1 2 300.358 1.285 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)c1[nH+]cnc2c1cnn2C ZINC001112049429 737202473 /nfs/dbraw/zinc/20/24/73/737202473.db2.gz OEPOUZXYGKUPKB-UHFFFAOYSA-N 1 2 316.409 1.614 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@@H](C)CCC)C2)nn1 ZINC001105185082 737602066 /nfs/dbraw/zinc/60/20/66/737602066.db2.gz FZYRMQPKIXFGKR-ZDUSSCGKSA-N 1 2 303.410 1.211 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105309756 737807369 /nfs/dbraw/zinc/80/73/69/737807369.db2.gz BGQCXCFNXZIBCG-GFCCVEGCSA-N 1 2 309.389 1.323 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027335812 738208264 /nfs/dbraw/zinc/20/82/64/738208264.db2.gz KJFTUDHLIUCKPA-PWSUYJOCSA-N 1 2 314.227 1.977 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027335812 738208265 /nfs/dbraw/zinc/20/82/65/738208265.db2.gz KJFTUDHLIUCKPA-PWSUYJOCSA-N 1 2 314.227 1.977 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)oc1C ZINC001027336638 738208941 /nfs/dbraw/zinc/20/89/41/738208941.db2.gz SLSBHIAPOYEXBK-FZMZJTMJSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)oc1C ZINC001027336638 738208946 /nfs/dbraw/zinc/20/89/46/738208946.db2.gz SLSBHIAPOYEXBK-FZMZJTMJSA-N 1 2 304.394 1.874 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCc2nnc(C[NH2+]CCF)n2CC1 ZINC001128012198 751408636 /nfs/dbraw/zinc/40/86/36/751408636.db2.gz LEZFQOYPOOUQSU-CYBMUJFWSA-N 1 2 323.416 1.515 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn(C(C)(C)C)nc2C)C1 ZINC001035366963 751432689 /nfs/dbraw/zinc/43/26/89/751432689.db2.gz SQTJFEKONMIAGF-AWEZNQCLSA-N 1 2 320.437 1.563 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn(C(C)(C)C)nc2C)C1 ZINC001035366963 751432693 /nfs/dbraw/zinc/43/26/93/751432693.db2.gz SQTJFEKONMIAGF-AWEZNQCLSA-N 1 2 320.437 1.563 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C=C(C)C ZINC001211807549 739560523 /nfs/dbraw/zinc/56/05/23/739560523.db2.gz BUAGIIXDLRWMFP-QZTJIDSGSA-N 1 2 312.413 1.820 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C=C(C)C ZINC001211807549 739560528 /nfs/dbraw/zinc/56/05/28/739560528.db2.gz BUAGIIXDLRWMFP-QZTJIDSGSA-N 1 2 312.413 1.820 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnc(C3CC3)o2)C1 ZINC001035400365 751470132 /nfs/dbraw/zinc/47/01/32/751470132.db2.gz NJEAMNUQCKHSRZ-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnc(C3CC3)o2)C1 ZINC001035400365 751470136 /nfs/dbraw/zinc/47/01/36/751470136.db2.gz NJEAMNUQCKHSRZ-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(F)cccc2OC)C1 ZINC001035377948 751474546 /nfs/dbraw/zinc/47/45/46/751474546.db2.gz KUFBXSJIIDCNKN-CYBMUJFWSA-N 1 2 320.364 1.288 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(F)cccc2OC)C1 ZINC001035377948 751474550 /nfs/dbraw/zinc/47/45/50/751474550.db2.gz KUFBXSJIIDCNKN-CYBMUJFWSA-N 1 2 320.364 1.288 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001059072349 739860994 /nfs/dbraw/zinc/86/09/94/739860994.db2.gz WMUKDFFAVGGTJJ-MJBXVCDLSA-N 1 2 302.378 1.389 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001035409701 751492347 /nfs/dbraw/zinc/49/23/47/751492347.db2.gz MBUNZLTZLUHNRX-HNNXBMFYSA-N 1 2 314.389 1.521 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001035409701 751492352 /nfs/dbraw/zinc/49/23/52/751492352.db2.gz MBUNZLTZLUHNRX-HNNXBMFYSA-N 1 2 314.389 1.521 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3n2CCCCC3)C1 ZINC001035412350 751493854 /nfs/dbraw/zinc/49/38/54/751493854.db2.gz ULJVYWPBXWTOAE-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3n2CCCCC3)C1 ZINC001035412350 751493857 /nfs/dbraw/zinc/49/38/57/751493857.db2.gz ULJVYWPBXWTOAE-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001107980749 751508354 /nfs/dbraw/zinc/50/83/54/751508354.db2.gz XRYSJJXXVFASKU-KRWDZBQOSA-N 1 2 320.437 1.645 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001107980749 751508361 /nfs/dbraw/zinc/50/83/61/751508361.db2.gz XRYSJJXXVFASKU-KRWDZBQOSA-N 1 2 320.437 1.645 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3c(cn2)CCCC3)C1 ZINC001035439639 751515534 /nfs/dbraw/zinc/51/55/34/751515534.db2.gz DUBZRUAHZSNGFD-INIZCTEOSA-N 1 2 315.417 1.577 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc3c(cn2)CCCC3)C1 ZINC001035439639 751515536 /nfs/dbraw/zinc/51/55/36/751515536.db2.gz DUBZRUAHZSNGFD-INIZCTEOSA-N 1 2 315.417 1.577 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccn3ccnc3c2)C1 ZINC001035447962 751525220 /nfs/dbraw/zinc/52/52/20/751525220.db2.gz LIBZSDWDVBIXAU-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccn3ccnc3c2)C1 ZINC001035447962 751525222 /nfs/dbraw/zinc/52/52/22/751525222.db2.gz LIBZSDWDVBIXAU-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cncc3sccc32)C1 ZINC001035486070 751538877 /nfs/dbraw/zinc/53/88/77/751538877.db2.gz WOGUZNCEPMHIBN-LBPRGKRZSA-N 1 2 317.414 1.913 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cncc3sccc32)C1 ZINC001035486070 751538880 /nfs/dbraw/zinc/53/88/80/751538880.db2.gz WOGUZNCEPMHIBN-LBPRGKRZSA-N 1 2 317.414 1.913 20 30 DDEDLO Cc1csc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)n1 ZINC001075776172 740429381 /nfs/dbraw/zinc/42/93/81/740429381.db2.gz AFUXLRKUZYPFSV-LSDHHAIUSA-N 1 2 315.442 1.898 20 30 DDEDLO Cc1csc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)n1 ZINC001075776172 740429384 /nfs/dbraw/zinc/42/93/84/740429384.db2.gz AFUXLRKUZYPFSV-LSDHHAIUSA-N 1 2 315.442 1.898 20 30 DDEDLO O=C([C@H]1CCCO1)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#Cc1ccccc1 ZINC001029204359 740447804 /nfs/dbraw/zinc/44/78/04/740447804.db2.gz GLHFJNKIQLJCRH-CEXWTWQISA-N 1 2 324.424 1.892 20 30 DDEDLO O=C([C@H]1CCCO1)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#Cc1ccccc1 ZINC001029204359 740447807 /nfs/dbraw/zinc/44/78/07/740447807.db2.gz GLHFJNKIQLJCRH-CEXWTWQISA-N 1 2 324.424 1.892 20 30 DDEDLO CCn1ccc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)n1 ZINC001075780774 740451650 /nfs/dbraw/zinc/45/16/50/740451650.db2.gz WKOXLLMSQHHICD-DOTOQJQBSA-N 1 2 312.417 1.349 20 30 DDEDLO CCn1ccc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)n1 ZINC001075780774 740451653 /nfs/dbraw/zinc/45/16/53/740451653.db2.gz WKOXLLMSQHHICD-DOTOQJQBSA-N 1 2 312.417 1.349 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001035506751 751563639 /nfs/dbraw/zinc/56/36/39/751563639.db2.gz YGQVMFQIOFOSGH-ZDUSSCGKSA-N 1 2 305.378 1.102 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001035506751 751563644 /nfs/dbraw/zinc/56/36/44/751563644.db2.gz YGQVMFQIOFOSGH-ZDUSSCGKSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@H]3CCC3(C)C)C2)nn1 ZINC001098703508 740644786 /nfs/dbraw/zinc/64/47/86/740644786.db2.gz UEBYHMTVDXSXLV-LSDHHAIUSA-N 1 2 315.421 1.211 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCN1CC#N ZINC001087783706 740750642 /nfs/dbraw/zinc/75/06/42/740750642.db2.gz QBAFDRMXXVBBBA-GOEBONIOSA-N 1 2 324.388 1.374 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N1CCC1 ZINC001029453029 740825923 /nfs/dbraw/zinc/82/59/23/740825923.db2.gz HDFUDRHTHLAITR-DAYGRLMNSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N1CCC1 ZINC001029453029 740825925 /nfs/dbraw/zinc/82/59/25/740825925.db2.gz HDFUDRHTHLAITR-DAYGRLMNSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001059440558 740931086 /nfs/dbraw/zinc/93/10/86/740931086.db2.gz WXQWDJBDGBXHCX-TUVASFSCSA-N 1 2 316.405 1.825 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(OCC)c2)C1 ZINC001035522393 751599468 /nfs/dbraw/zinc/59/94/68/751599468.db2.gz KYBUBTDZARUINR-INIZCTEOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(OCC)c2)C1 ZINC001035522393 751599473 /nfs/dbraw/zinc/59/94/73/751599473.db2.gz KYBUBTDZARUINR-INIZCTEOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nnnn2C)C1 ZINC001029799289 741313959 /nfs/dbraw/zinc/31/39/59/741313959.db2.gz VRTVZCDUTKCEOT-ZDUSSCGKSA-N 1 2 320.441 1.093 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nnnn2C)C1 ZINC001029799289 741313962 /nfs/dbraw/zinc/31/39/62/741313962.db2.gz VRTVZCDUTKCEOT-ZDUSSCGKSA-N 1 2 320.441 1.093 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)C)c2)C1 ZINC001035572836 751626667 /nfs/dbraw/zinc/62/66/67/751626667.db2.gz GGANXROTQNDAPL-HNNXBMFYSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)C)c2)C1 ZINC001035572836 751626674 /nfs/dbraw/zinc/62/66/74/751626674.db2.gz GGANXROTQNDAPL-HNNXBMFYSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001035575230 751629411 /nfs/dbraw/zinc/62/94/11/751629411.db2.gz YMHNGNPRBZJNLO-HNNXBMFYSA-N 1 2 320.437 1.779 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cn(C(C)C)nc2C)C1 ZINC001035575230 751629414 /nfs/dbraw/zinc/62/94/14/751629414.db2.gz YMHNGNPRBZJNLO-HNNXBMFYSA-N 1 2 320.437 1.779 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](C[N@@H+](C)Cc3nncn3C)C2)C1 ZINC001029836048 741374976 /nfs/dbraw/zinc/37/49/76/741374976.db2.gz MEJYTQCEVKZEAN-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](C[N@H+](C)Cc3nncn3C)C2)C1 ZINC001029836048 741374981 /nfs/dbraw/zinc/37/49/81/741374981.db2.gz MEJYTQCEVKZEAN-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(OC)c2F)C1 ZINC001035553950 751635571 /nfs/dbraw/zinc/63/55/71/751635571.db2.gz KXGLMFDIIFZJIS-ZDUSSCGKSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccc(OC)c2F)C1 ZINC001035553950 751635579 /nfs/dbraw/zinc/63/55/79/751635579.db2.gz KXGLMFDIIFZJIS-ZDUSSCGKSA-N 1 2 322.380 1.841 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCCCC[C@@H](NCC#N)C1 ZINC001088386327 741454601 /nfs/dbraw/zinc/45/46/01/741454601.db2.gz KKIBZCJQTWYZHY-RBSFLKMASA-N 1 2 315.421 1.408 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)c2ccnn2C)[C@H]1C ZINC001088575447 741866357 /nfs/dbraw/zinc/86/63/57/741866357.db2.gz RMSWQZWQILRKSZ-XQQFMLRXSA-N 1 2 310.829 1.855 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)c2ccnn2C)[C@H]1C ZINC001088575447 741866363 /nfs/dbraw/zinc/86/63/63/741866363.db2.gz RMSWQZWQILRKSZ-XQQFMLRXSA-N 1 2 310.829 1.855 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2CCCCCC2)[C@H](OC)C1 ZINC001211992092 741925728 /nfs/dbraw/zinc/92/57/28/741925728.db2.gz CGRJRNVDINDNNN-IAGOWNOFSA-N 1 2 322.449 1.422 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2CCCCCC2)[C@H](OC)C1 ZINC001211992092 741925730 /nfs/dbraw/zinc/92/57/30/741925730.db2.gz CGRJRNVDINDNNN-IAGOWNOFSA-N 1 2 322.449 1.422 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)[C@@H](O)C1 ZINC001083465522 742194446 /nfs/dbraw/zinc/19/44/46/742194446.db2.gz HXIIBMLGSZTMBA-TWMKSMIVSA-N 1 2 312.413 1.221 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)[C@@H](O)C1 ZINC001083465522 742194450 /nfs/dbraw/zinc/19/44/50/742194450.db2.gz HXIIBMLGSZTMBA-TWMKSMIVSA-N 1 2 312.413 1.221 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001035612614 751718833 /nfs/dbraw/zinc/71/88/33/751718833.db2.gz CJOCUDQXQFRTGT-ZDUSSCGKSA-N 1 2 305.378 1.021 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001035612614 751718837 /nfs/dbraw/zinc/71/88/37/751718837.db2.gz CJOCUDQXQFRTGT-ZDUSSCGKSA-N 1 2 305.378 1.021 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2CCN(C(=O)c3cc(C(F)(F)F)[nH]n3)[C@@H]2C1 ZINC001076360632 742685818 /nfs/dbraw/zinc/68/58/18/742685818.db2.gz GOOKGIWRUBOIGM-GXSJLCMTSA-N 1 2 314.311 1.761 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(C(F)(F)F)[nH]n3)[C@@H]2C1 ZINC001076360632 742685821 /nfs/dbraw/zinc/68/58/21/742685821.db2.gz GOOKGIWRUBOIGM-GXSJLCMTSA-N 1 2 314.311 1.761 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001076532020 742772735 /nfs/dbraw/zinc/77/27/35/742772735.db2.gz NUVAPIIMWZYWIW-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001061094870 743179974 /nfs/dbraw/zinc/17/99/74/743179974.db2.gz IIXUZCJRYJZMIG-LSDHHAIUSA-N 1 2 324.388 1.689 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@@](C)(O)C=C)CC2)C1 ZINC001105706700 743604181 /nfs/dbraw/zinc/60/41/81/743604181.db2.gz ZEJHFTKTHIGIIY-KRWDZBQOSA-N 1 2 308.422 1.191 20 30 DDEDLO C=CCCCC(=O)NCC1(Nc2[nH+]cnc3c2cnn3C)CC1 ZINC001110321314 743708648 /nfs/dbraw/zinc/70/86/48/743708648.db2.gz LCTQTMWREHRJME-UHFFFAOYSA-N 1 2 314.393 1.780 20 30 DDEDLO CC(C)C[C@H](NC(=O)[C@@H]1CC[N@@H+]1Cc1ccccc1)C(=O)NO ZINC001183755934 743907808 /nfs/dbraw/zinc/90/78/08/743907808.db2.gz MXPSYIYRTUGFLT-GJZGRUSLSA-N 1 2 319.405 1.297 20 30 DDEDLO CC(C)C[C@H](NC(=O)[C@@H]1CC[N@H+]1Cc1ccccc1)C(=O)NO ZINC001183755934 743907812 /nfs/dbraw/zinc/90/78/12/743907812.db2.gz MXPSYIYRTUGFLT-GJZGRUSLSA-N 1 2 319.405 1.297 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ccc(F)cc2NC(C)=O)C1 ZINC001030398843 744109537 /nfs/dbraw/zinc/10/95/37/744109537.db2.gz ODNLZJBFPGXJRH-UHFFFAOYSA-N 1 2 303.337 1.221 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@@H](C)CCC)C2)nn1 ZINC001185900584 744305690 /nfs/dbraw/zinc/30/56/90/744305690.db2.gz VTZPSSIPSNFUHY-GOEBONIOSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001185968498 744322166 /nfs/dbraw/zinc/32/21/66/744322166.db2.gz MOGXINSMNMTQHU-GFCCVEGCSA-N 1 2 321.425 1.428 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@H]1C ZINC001089040850 744467288 /nfs/dbraw/zinc/46/72/88/744467288.db2.gz PQOUATHKLAWNIJ-OCCSQVGLSA-N 1 2 300.406 1.504 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@H]1C ZINC001089040850 744467290 /nfs/dbraw/zinc/46/72/90/744467290.db2.gz PQOUATHKLAWNIJ-OCCSQVGLSA-N 1 2 300.406 1.504 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001187882830 744632414 /nfs/dbraw/zinc/63/24/14/744632414.db2.gz WZZODZVHEPSJNF-IJEWVQPXSA-N 1 2 317.389 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001187882830 744632418 /nfs/dbraw/zinc/63/24/18/744632418.db2.gz WZZODZVHEPSJNF-IJEWVQPXSA-N 1 2 317.389 1.054 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2ncc(C3CC3)o2)C1 ZINC001188309832 744687430 /nfs/dbraw/zinc/68/74/30/744687430.db2.gz IZBBIZURHYMSPV-GXTWGEPZSA-N 1 2 319.405 1.834 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3cccc(C)c3)CCC2)[C@@H](O)C1 ZINC001083688555 744784383 /nfs/dbraw/zinc/78/43/83/744784383.db2.gz XWDVAEIRSHTIAS-SJORKVTESA-N 1 2 312.413 1.211 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3cccc(C)c3)CCC2)[C@@H](O)C1 ZINC001083688555 744784384 /nfs/dbraw/zinc/78/43/84/744784384.db2.gz XWDVAEIRSHTIAS-SJORKVTESA-N 1 2 312.413 1.211 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)cs1 ZINC001188982989 744803229 /nfs/dbraw/zinc/80/32/29/744803229.db2.gz OZIQAGDASIPJRD-ZDUSSCGKSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)cs1 ZINC001188982989 744803232 /nfs/dbraw/zinc/80/32/32/744803232.db2.gz OZIQAGDASIPJRD-ZDUSSCGKSA-N 1 2 324.475 1.741 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001189926522 745038709 /nfs/dbraw/zinc/03/87/09/745038709.db2.gz PKPRKRKOXOPBDN-QGZVFWFLSA-N 1 2 314.433 1.666 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001189926522 745038714 /nfs/dbraw/zinc/03/87/14/745038714.db2.gz PKPRKRKOXOPBDN-QGZVFWFLSA-N 1 2 314.433 1.666 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190053079 745090994 /nfs/dbraw/zinc/09/09/94/745090994.db2.gz DKPNIVMQEDUDHM-JSGCOSHPSA-N 1 2 304.394 1.631 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190053079 745091001 /nfs/dbraw/zinc/09/10/01/745091001.db2.gz DKPNIVMQEDUDHM-JSGCOSHPSA-N 1 2 304.394 1.631 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1cccc(C(C)(C)C#N)c1 ZINC001190955679 745399373 /nfs/dbraw/zinc/39/93/73/745399373.db2.gz BUEZKYCJXBRSFP-CQSZACIVSA-N 1 2 315.417 1.938 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1cccc(C(C)(C)C#N)c1 ZINC001190955679 745399378 /nfs/dbraw/zinc/39/93/78/745399378.db2.gz BUEZKYCJXBRSFP-CQSZACIVSA-N 1 2 315.417 1.938 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001191912161 745639094 /nfs/dbraw/zinc/63/90/94/745639094.db2.gz NMYUWPZTBWUORH-ZIAGYGMSSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001191912161 745639095 /nfs/dbraw/zinc/63/90/95/745639095.db2.gz NMYUWPZTBWUORH-ZIAGYGMSSA-N 1 2 305.426 1.450 20 30 DDEDLO Cc1ccc(C#N)c(NCC[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001106426209 745685871 /nfs/dbraw/zinc/68/58/71/745685871.db2.gz DMALZLJFMYDQMB-GFCCVEGCSA-N 1 2 312.377 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cc(C)n[nH]2)[C@@H]1C ZINC000993206909 745822457 /nfs/dbraw/zinc/82/24/57/745822457.db2.gz ULMIODPXBIUKBL-GXTWGEPZSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cc(C)n[nH]2)[C@@H]1C ZINC000993206909 745822464 /nfs/dbraw/zinc/82/24/64/745822464.db2.gz ULMIODPXBIUKBL-GXTWGEPZSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cc(C)[nH]n2)[C@@H]1C ZINC000993206909 745822471 /nfs/dbraw/zinc/82/24/71/745822471.db2.gz ULMIODPXBIUKBL-GXTWGEPZSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cc(C)[nH]n2)[C@@H]1C ZINC000993206909 745822476 /nfs/dbraw/zinc/82/24/76/745822476.db2.gz ULMIODPXBIUKBL-GXTWGEPZSA-N 1 2 310.829 1.982 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)nc1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001106626218 745850152 /nfs/dbraw/zinc/85/01/52/745850152.db2.gz UGWMEMWFRUVBCG-NILFDRSVSA-N 1 2 324.388 1.787 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)nc1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001106626218 745850159 /nfs/dbraw/zinc/85/01/59/745850159.db2.gz UGWMEMWFRUVBCG-NILFDRSVSA-N 1 2 324.388 1.787 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](CCc3ccccn3)CC2)o1 ZINC001192676780 745858372 /nfs/dbraw/zinc/85/83/72/745858372.db2.gz VTDGLSQJGUPZQF-UHFFFAOYSA-N 1 2 310.357 1.547 20 30 DDEDLO C=CCCC(=O)N(CC)CCNc1ncnc2c1C[N@H+](C)CC2 ZINC001106692764 745910622 /nfs/dbraw/zinc/91/06/22/745910622.db2.gz ZCJXRTWVXPEXHN-UHFFFAOYSA-N 1 2 317.437 1.691 20 30 DDEDLO C=CCCC(=O)N(CC)CCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001106692764 745910624 /nfs/dbraw/zinc/91/06/24/745910624.db2.gz ZCJXRTWVXPEXHN-UHFFFAOYSA-N 1 2 317.437 1.691 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cn1cc[nH+]c1)Nc1ccc(C#N)cn1 ZINC001089342948 745957050 /nfs/dbraw/zinc/95/70/50/745957050.db2.gz BNXXOUXXPYHAMB-OLZOCXBDSA-N 1 2 312.377 1.545 20 30 DDEDLO CC(C)[C@@H]([NH2+]Cc1cncc(C#N)c1)c1nc(-c2nc[nH]n2)no1 ZINC001193155072 745999219 /nfs/dbraw/zinc/99/92/19/745999219.db2.gz RPCPSUNAYFYKLP-GFCCVEGCSA-N 1 2 324.348 1.608 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1O ZINC001193327588 746064402 /nfs/dbraw/zinc/06/44/02/746064402.db2.gz GDFPWSWSKWKMTK-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1O ZINC001193327588 746064406 /nfs/dbraw/zinc/06/44/06/746064406.db2.gz GDFPWSWSKWKMTK-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1O ZINC001193337716 746067786 /nfs/dbraw/zinc/06/77/86/746067786.db2.gz ZZPWULIOPQPAMS-CHWSQXEVSA-N 1 2 309.435 1.407 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1O ZINC001193337716 746067793 /nfs/dbraw/zinc/06/77/93/746067793.db2.gz ZZPWULIOPQPAMS-CHWSQXEVSA-N 1 2 309.435 1.407 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)c(Cl)cc2F)[C@@H](O)C1 ZINC001083739865 746081103 /nfs/dbraw/zinc/08/11/03/746081103.db2.gz BEAADDIXGISRBT-OLZOCXBDSA-N 1 2 314.719 1.026 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)c(Cl)cc2F)[C@@H](O)C1 ZINC001083739865 746081108 /nfs/dbraw/zinc/08/11/08/746081108.db2.gz BEAADDIXGISRBT-OLZOCXBDSA-N 1 2 314.719 1.026 20 30 DDEDLO N#Cc1cccc(C(=O)n2ccc([C@H]3CCC[NH2+]3)nc2=N)c1O ZINC001193643825 746161051 /nfs/dbraw/zinc/16/10/51/746161051.db2.gz DWMQUDFHTNREOK-GFCCVEGCSA-N 1 2 309.329 1.053 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@@H]1C ZINC000994118106 746453271 /nfs/dbraw/zinc/45/32/71/746453271.db2.gz VAAGPEWBSALYMJ-JQWIXIFHSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@@H]1C ZINC000994118106 746453273 /nfs/dbraw/zinc/45/32/73/746453273.db2.gz VAAGPEWBSALYMJ-JQWIXIFHSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2nonc2C)[C@H]1C ZINC000994123622 746454499 /nfs/dbraw/zinc/45/44/99/746454499.db2.gz LHLJOHVQUNUFPN-VXGBXAGGSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2nonc2C)[C@H]1C ZINC000994123622 746454502 /nfs/dbraw/zinc/45/45/02/746454502.db2.gz LHLJOHVQUNUFPN-VXGBXAGGSA-N 1 2 312.801 1.642 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cnc(C)o2)CC1 ZINC001195173838 746544520 /nfs/dbraw/zinc/54/45/20/746544520.db2.gz DJFKJNOFOMHXJR-CQSZACIVSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cnc(C)o2)CC1 ZINC001195173838 746544521 /nfs/dbraw/zinc/54/45/21/746544521.db2.gz DJFKJNOFOMHXJR-CQSZACIVSA-N 1 2 321.421 1.999 20 30 DDEDLO C#CCC[N@H+]1CCC[C@H](NC(=O)c2ccc3[nH]nnc3c2)[C@@H]1C ZINC000994407550 746641301 /nfs/dbraw/zinc/64/13/01/746641301.db2.gz ZGHCZBKPCPZGBU-JSGCOSHPSA-N 1 2 311.389 1.564 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@H](NC(=O)c2ccc3[nH]nnc3c2)[C@@H]1C ZINC000994407550 746641303 /nfs/dbraw/zinc/64/13/03/746641303.db2.gz ZGHCZBKPCPZGBU-JSGCOSHPSA-N 1 2 311.389 1.564 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](Cc2cccnc2OC)CC1 ZINC001195645556 746664153 /nfs/dbraw/zinc/66/41/53/746664153.db2.gz VGXCAHVXPRRSFA-UHFFFAOYSA-N 1 2 315.417 1.928 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](Cc2cccnc2OC)CC1 ZINC001195645556 746664157 /nfs/dbraw/zinc/66/41/57/746664157.db2.gz VGXCAHVXPRRSFA-UHFFFAOYSA-N 1 2 315.417 1.928 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)CC)CC1 ZINC001195645709 746664409 /nfs/dbraw/zinc/66/44/09/746664409.db2.gz YAGXLMBVUZKELN-UHFFFAOYSA-N 1 2 321.465 1.629 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)NC(C)(C)CC)CC1 ZINC001195645709 746664410 /nfs/dbraw/zinc/66/44/10/746664410.db2.gz YAGXLMBVUZKELN-UHFFFAOYSA-N 1 2 321.465 1.629 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001195732866 746679160 /nfs/dbraw/zinc/67/91/60/746679160.db2.gz NLHPCXBBEVLRTB-IAGOWNOFSA-N 1 2 313.401 1.576 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001195732866 746679163 /nfs/dbraw/zinc/67/91/63/746679163.db2.gz NLHPCXBBEVLRTB-IAGOWNOFSA-N 1 2 313.401 1.576 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754219 746686347 /nfs/dbraw/zinc/68/63/47/746686347.db2.gz JQHMVZCBYCVYAL-UHFFFAOYSA-N 1 2 319.449 1.239 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754219 746686349 /nfs/dbraw/zinc/68/63/49/746686349.db2.gz JQHMVZCBYCVYAL-UHFFFAOYSA-N 1 2 319.449 1.239 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1O ZINC001195932056 746738954 /nfs/dbraw/zinc/73/89/54/746738954.db2.gz GFZYFEXVOBBGQT-BFYDXBDKSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1O ZINC001195932056 746738957 /nfs/dbraw/zinc/73/89/57/746738957.db2.gz GFZYFEXVOBBGQT-BFYDXBDKSA-N 1 2 303.406 1.345 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1ccc(C#N)nn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089380083 746757279 /nfs/dbraw/zinc/75/72/79/746757279.db2.gz HCVACKFCYOOBGX-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1NC(=O)C#CC(C)C ZINC001212231102 746824933 /nfs/dbraw/zinc/82/49/33/746824933.db2.gz LUIFIJOIIIEMBE-HUUCEWRRSA-N 1 2 321.446 1.426 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1NC(=O)C#CC(C)C ZINC001212231102 746824939 /nfs/dbraw/zinc/82/49/39/746824939.db2.gz LUIFIJOIIIEMBE-HUUCEWRRSA-N 1 2 321.446 1.426 20 30 DDEDLO CC(C)[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001196560342 746906710 /nfs/dbraw/zinc/90/67/10/746906710.db2.gz PVQWDPTZNWKLPC-KCPJHIHWSA-N 1 2 321.446 1.573 20 30 DDEDLO CC(C)[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001196560342 746906718 /nfs/dbraw/zinc/90/67/18/746906718.db2.gz PVQWDPTZNWKLPC-KCPJHIHWSA-N 1 2 321.446 1.573 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)on1 ZINC001031539077 746982403 /nfs/dbraw/zinc/98/24/03/746982403.db2.gz VICRTKHRBYOXBW-UHFFFAOYSA-N 1 2 310.357 1.717 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+]([C@@H](C)C(=O)NC)CC2)CCC1 ZINC001197076880 747057210 /nfs/dbraw/zinc/05/72/10/747057210.db2.gz MHORBJBQDRZIMP-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+]([C@@H](C)C(=O)NC)CC2)CCC1 ZINC001197076880 747057218 /nfs/dbraw/zinc/05/72/18/747057218.db2.gz MHORBJBQDRZIMP-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1ncccc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089490226 747087663 /nfs/dbraw/zinc/08/76/63/747087663.db2.gz OSEBKORJJZSKCK-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1nccnc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089490719 747091605 /nfs/dbraw/zinc/09/16/05/747091605.db2.gz UZBKNVHWWLMWQG-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1ccc(F)nc1 ZINC001031582208 747098975 /nfs/dbraw/zinc/09/89/75/747098975.db2.gz RCWKEUKTIVUWAI-UHFFFAOYSA-N 1 2 323.371 1.934 20 30 DDEDLO CCn1cc(C(=O)N2CCC[N@H+](CC#CCOC)CC2)c(C)n1 ZINC001197283051 747129805 /nfs/dbraw/zinc/12/98/05/747129805.db2.gz CJPAMQLDWNUNBM-UHFFFAOYSA-N 1 2 318.421 1.009 20 30 DDEDLO CCn1cc(C(=O)N2CCC[N@@H+](CC#CCOC)CC2)c(C)n1 ZINC001197283051 747129806 /nfs/dbraw/zinc/12/98/06/747129806.db2.gz CJPAMQLDWNUNBM-UHFFFAOYSA-N 1 2 318.421 1.009 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC2CCCC2)[C@@H](O)C1 ZINC001090035496 747445615 /nfs/dbraw/zinc/44/56/15/747445615.db2.gz ZCFNWYDIWMQAQJ-KGLIPLIRSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC2CCCC2)[C@@H](O)C1 ZINC001090035496 747445618 /nfs/dbraw/zinc/44/56/18/747445618.db2.gz ZCFNWYDIWMQAQJ-KGLIPLIRSA-N 1 2 300.830 1.871 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH2+][C@@H](CNC(C)=O)c1ccccc1OC ZINC001198463624 747501172 /nfs/dbraw/zinc/50/11/72/747501172.db2.gz POCAFZPFSKSKHF-DOMZBBRYSA-N 1 2 319.405 1.153 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)C[C@@H]1C ZINC001110509645 747510411 /nfs/dbraw/zinc/51/04/11/747510411.db2.gz JYAWRKCKGJFSMZ-GXTWGEPZSA-N 1 2 304.394 1.779 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC000998746388 752192541 /nfs/dbraw/zinc/19/25/41/752192541.db2.gz NMISBPBDAHXALI-RYUDHWBXSA-N 1 2 305.382 1.433 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(C(C)(C)C)no2)C1 ZINC000998749402 752202289 /nfs/dbraw/zinc/20/22/89/752202289.db2.gz ZSIKWAGZUNJTSH-NWDGAFQWSA-N 1 2 319.409 1.607 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(=O)n(CC3CC3)c2)CC1 ZINC001198909262 747658963 /nfs/dbraw/zinc/65/89/63/747658963.db2.gz DAGXCGRSJHNAAM-UHFFFAOYSA-N 1 2 301.390 1.202 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncn1C ZINC001110533034 747850529 /nfs/dbraw/zinc/85/05/29/747850529.db2.gz JXJVPMDNCNASPM-BMFZPTHFSA-N 1 2 300.406 1.301 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncn1C ZINC001110533034 747850539 /nfs/dbraw/zinc/85/05/39/747850539.db2.gz JXJVPMDNCNASPM-BMFZPTHFSA-N 1 2 300.406 1.301 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cncn2C)C[C@H]1OC ZINC001212324843 747864176 /nfs/dbraw/zinc/86/41/76/747864176.db2.gz JGXYOUDNVFYMHL-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cncn2C)C[C@H]1OC ZINC001212324843 747864186 /nfs/dbraw/zinc/86/41/86/747864186.db2.gz JGXYOUDNVFYMHL-HUUCEWRRSA-N 1 2 320.437 1.338 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cncs3)C2)cc1 ZINC001031892151 748135716 /nfs/dbraw/zinc/13/57/16/748135716.db2.gz ONAKKUAHXYELFV-UHFFFAOYSA-N 1 2 311.410 1.986 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1nocc1C ZINC001110551111 748177461 /nfs/dbraw/zinc/17/74/61/748177461.db2.gz PJFZIPHVOVSEND-FMKPAKJESA-N 1 2 317.389 1.244 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1nocc1C ZINC001110551111 748177463 /nfs/dbraw/zinc/17/74/63/748177463.db2.gz PJFZIPHVOVSEND-FMKPAKJESA-N 1 2 317.389 1.244 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077573256 748185991 /nfs/dbraw/zinc/18/59/91/748185991.db2.gz FDXBSTGBSSFFCZ-WMLDXEAASA-N 1 2 320.437 1.887 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cn2cccc(C)c2=O)C1 ZINC001033075079 748214730 /nfs/dbraw/zinc/21/47/30/748214730.db2.gz FESZYJIQEDRGBY-AWEZNQCLSA-N 1 2 323.824 1.442 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cn2cccc(C)c2=O)C1 ZINC001033075079 748214733 /nfs/dbraw/zinc/21/47/33/748214733.db2.gz FESZYJIQEDRGBY-AWEZNQCLSA-N 1 2 323.824 1.442 20 30 DDEDLO CCn1nncc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004431400 748426608 /nfs/dbraw/zinc/42/66/08/748426608.db2.gz XGNQQTRBJCCLBF-AWEZNQCLSA-N 1 2 316.409 1.138 20 30 DDEDLO CCn1nncc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004431400 748426610 /nfs/dbraw/zinc/42/66/10/748426610.db2.gz XGNQQTRBJCCLBF-AWEZNQCLSA-N 1 2 316.409 1.138 20 30 DDEDLO CCN(CCNc1ccc(C#N)nc1)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001106987588 748490620 /nfs/dbraw/zinc/49/06/20/748490620.db2.gz OVUYTQRSANLARM-HUUCEWRRSA-N 1 2 324.388 1.740 20 30 DDEDLO CCN(CCNc1ccc(C#N)nc1)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001106987588 748490624 /nfs/dbraw/zinc/49/06/24/748490624.db2.gz OVUYTQRSANLARM-HUUCEWRRSA-N 1 2 324.388 1.740 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H]2CCC(C)(C)CO2)C1 ZINC001108085090 748744341 /nfs/dbraw/zinc/74/43/41/748744341.db2.gz RHGBLCFTIIDTRM-CRAIPNDOSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H]2CCC(C)(C)CO2)C1 ZINC001108085090 748744342 /nfs/dbraw/zinc/74/43/42/748744342.db2.gz RHGBLCFTIIDTRM-CRAIPNDOSA-N 1 2 324.465 1.975 20 30 DDEDLO Cc1nc(N2CC[C@H](CNC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001061557255 748763106 /nfs/dbraw/zinc/76/31/06/748763106.db2.gz QIUGDJWUKZAVGO-GFCCVEGCSA-N 1 2 310.361 1.241 20 30 DDEDLO Cn1ccc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)n1 ZINC001032184439 748780179 /nfs/dbraw/zinc/78/01/79/748780179.db2.gz IWPBLWNWMIMHAW-UHFFFAOYSA-N 1 2 309.373 1.154 20 30 DDEDLO Cc1n[nH]c(C(=O)NCC[NH2+]Cc2ccc(F)c(C#N)c2)c1C ZINC001125061897 748826776 /nfs/dbraw/zinc/82/67/76/748826776.db2.gz TZXPIOZFFBFGDJ-UHFFFAOYSA-N 1 2 315.352 1.557 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(CC)CCOCC2)C1 ZINC001108310385 761902520 /nfs/dbraw/zinc/90/25/20/761902520.db2.gz MRQMJSPBORELFS-MRXNPFEDSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(CC)CCOCC2)C1 ZINC001108310385 761902524 /nfs/dbraw/zinc/90/25/24/761902524.db2.gz MRQMJSPBORELFS-MRXNPFEDSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110728723 748955088 /nfs/dbraw/zinc/95/50/88/748955088.db2.gz UYTFIAIIQSMNOS-GUYCJALGSA-N 1 2 318.421 1.851 20 30 DDEDLO Cc1cc(N2CC[C@@](C)(NC(=O)Cn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001110768162 748995574 /nfs/dbraw/zinc/99/55/74/748995574.db2.gz OVNQRNDKMTYKSS-QGZVFWFLSA-N 1 2 324.388 1.243 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnn3c2OCCC3)C1 ZINC001033235210 749011255 /nfs/dbraw/zinc/01/12/55/749011255.db2.gz ROYRYWQXFYVCFX-GFCCVEGCSA-N 1 2 324.812 1.564 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnn3c2OCCC3)C1 ZINC001033235210 749011260 /nfs/dbraw/zinc/01/12/60/749011260.db2.gz ROYRYWQXFYVCFX-GFCCVEGCSA-N 1 2 324.812 1.564 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001114318813 749050940 /nfs/dbraw/zinc/05/09/40/749050940.db2.gz GSXWUSXJCQSWCZ-FOLVSLTJSA-N 1 2 316.405 1.693 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001114318813 749050945 /nfs/dbraw/zinc/05/09/45/749050945.db2.gz GSXWUSXJCQSWCZ-FOLVSLTJSA-N 1 2 316.405 1.693 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cn(C(C)C)cn2)C1 ZINC001108097949 749059935 /nfs/dbraw/zinc/05/99/35/749059935.db2.gz OQQYPSJEPSVYMU-MRXNPFEDSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cn(C(C)C)cn2)C1 ZINC001108097949 749059941 /nfs/dbraw/zinc/05/99/41/749059941.db2.gz OQQYPSJEPSVYMU-MRXNPFEDSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2c(C)nn(C)c2C)C1 ZINC001033305634 749157190 /nfs/dbraw/zinc/15/71/90/749157190.db2.gz GTQOQPNPWDYMCD-AWEZNQCLSA-N 1 2 324.856 1.865 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2c(C)nn(C)c2C)C1 ZINC001033305634 749157192 /nfs/dbraw/zinc/15/71/92/749157192.db2.gz GTQOQPNPWDYMCD-AWEZNQCLSA-N 1 2 324.856 1.865 20 30 DDEDLO CC[N@@H+]1CCn2ncc(CNC(=O)CC#Cc3ccccc3)c2C1 ZINC001128587369 749192119 /nfs/dbraw/zinc/19/21/19/749192119.db2.gz XTJHQLPEJHBWBF-UHFFFAOYSA-N 1 2 322.412 1.777 20 30 DDEDLO CC[N@H+]1CCn2ncc(CNC(=O)CC#Cc3ccccc3)c2C1 ZINC001128587369 749192121 /nfs/dbraw/zinc/19/21/21/749192121.db2.gz XTJHQLPEJHBWBF-UHFFFAOYSA-N 1 2 322.412 1.777 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cscn2)[C@@H](O)C1 ZINC001090134799 749197720 /nfs/dbraw/zinc/19/77/20/749197720.db2.gz REMZPNPQJLQEIW-ONGXEEELSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cscn2)[C@@H](O)C1 ZINC001090134799 749197722 /nfs/dbraw/zinc/19/77/22/749197722.db2.gz REMZPNPQJLQEIW-ONGXEEELSA-N 1 2 301.799 1.061 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(F)ccc2C)C1 ZINC001108106921 749216238 /nfs/dbraw/zinc/21/62/38/749216238.db2.gz KWJUOVBIDFUGGP-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(F)ccc2C)C1 ZINC001108106921 749216241 /nfs/dbraw/zinc/21/62/41/749216241.db2.gz KWJUOVBIDFUGGP-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H](C)c2cncnc2)C1 ZINC001033356255 749260881 /nfs/dbraw/zinc/26/08/81/749260881.db2.gz LSNJTDZJOXAMOW-JSGCOSHPSA-N 1 2 308.813 1.865 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H](C)c2cncnc2)C1 ZINC001033356255 749260885 /nfs/dbraw/zinc/26/08/85/749260885.db2.gz LSNJTDZJOXAMOW-JSGCOSHPSA-N 1 2 308.813 1.865 20 30 DDEDLO C=CCNC(=O)N1CC[N@@H+](Cc2ccccc2)C[C@H]1C(=O)OC ZINC001202568748 749435281 /nfs/dbraw/zinc/43/52/81/749435281.db2.gz DECCGZVPJIOVJE-HNNXBMFYSA-N 1 2 317.389 1.241 20 30 DDEDLO C=CCNC(=O)N1CC[N@H+](Cc2ccccc2)C[C@H]1C(=O)OC ZINC001202568748 749435283 /nfs/dbraw/zinc/43/52/83/749435283.db2.gz DECCGZVPJIOVJE-HNNXBMFYSA-N 1 2 317.389 1.241 20 30 DDEDLO C#CCC1(C(=O)NCc2cn(C3C[NH+](CC=C)C3)nn2)CCC1 ZINC001107178439 749502324 /nfs/dbraw/zinc/50/23/24/749502324.db2.gz XVJLASIZVROKJL-UHFFFAOYSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001033575847 749551767 /nfs/dbraw/zinc/55/17/67/749551767.db2.gz CHRMNTBNGXHDNT-NEPJUHHUSA-N 1 2 323.828 1.195 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001033575847 749551769 /nfs/dbraw/zinc/55/17/69/749551769.db2.gz CHRMNTBNGXHDNT-NEPJUHHUSA-N 1 2 323.828 1.195 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107198979 749567489 /nfs/dbraw/zinc/56/74/89/749567489.db2.gz ZUOJPVNIMYXOHZ-CQSZACIVSA-N 1 2 317.437 1.929 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3(C)CC(=C)C3)nn2)C1 ZINC001107209550 749596975 /nfs/dbraw/zinc/59/69/75/749596975.db2.gz UBFYNGXOWZABRJ-UHFFFAOYSA-N 1 2 315.421 1.683 20 30 DDEDLO CN(C(=O)c1ccc(C#N)[nH]1)C1CC[NH+](Cc2ccon2)CC1 ZINC001005258795 749668901 /nfs/dbraw/zinc/66/89/01/749668901.db2.gz HBHOBNXVWCFBPG-UHFFFAOYSA-N 1 2 313.361 1.611 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C/CNC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001107312859 749767314 /nfs/dbraw/zinc/76/73/14/749767314.db2.gz XLBWJXBBGXCEDI-NSCUHMNNSA-N 1 2 310.361 1.312 20 30 DDEDLO N#Cc1ccc(N2CCC(NC(=O)CCc3c[nH]c[nH+]3)CC2)nc1 ZINC001095450833 749910011 /nfs/dbraw/zinc/91/00/11/749910011.db2.gz ZQOMIIZITZFTHS-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCC(NC(=O)CCc3c[nH+]c[nH]3)CC2)nc1 ZINC001095450833 749910016 /nfs/dbraw/zinc/91/00/16/749910016.db2.gz ZQOMIIZITZFTHS-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)COc2ccccc2)C1 ZINC001108363728 761994577 /nfs/dbraw/zinc/99/45/77/761994577.db2.gz BBDKMRPFYBBSLW-SFHVURJKSA-N 1 2 316.401 1.296 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)COc2ccccc2)C1 ZINC001108363728 761994583 /nfs/dbraw/zinc/99/45/83/761994583.db2.gz BBDKMRPFYBBSLW-SFHVURJKSA-N 1 2 316.401 1.296 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H](C)C#N)s1 ZINC001032319385 749972250 /nfs/dbraw/zinc/97/22/50/749972250.db2.gz RHGBRWMYRLXDJT-ICCXJUOJSA-N 1 2 304.419 1.705 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H](C)C#N)s1 ZINC001032319385 749972251 /nfs/dbraw/zinc/97/22/51/749972251.db2.gz RHGBRWMYRLXDJT-ICCXJUOJSA-N 1 2 304.419 1.705 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)C(F)C(F)(F)F)cc1F ZINC001127777628 749975563 /nfs/dbraw/zinc/97/55/63/749975563.db2.gz AEVVJURSCUBQGS-LLVKDONJSA-N 1 2 321.249 1.804 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)[C@@H](F)C(F)(F)F)cc1F ZINC001127777628 749975568 /nfs/dbraw/zinc/97/55/68/749975568.db2.gz AEVVJURSCUBQGS-LLVKDONJSA-N 1 2 321.249 1.804 20 30 DDEDLO N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2[nH+]ccn21 ZINC001039404614 762002845 /nfs/dbraw/zinc/00/28/45/762002845.db2.gz KIDUXOYGCPCJGT-KGLIPLIRSA-N 1 2 309.373 1.537 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2csnn2)C1 ZINC001033913273 750093287 /nfs/dbraw/zinc/09/32/87/750093287.db2.gz PLGUXTZWGHHTDI-JTQLQIEISA-N 1 2 300.815 1.827 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2csnn2)C1 ZINC001033913273 750093290 /nfs/dbraw/zinc/09/32/90/750093290.db2.gz PLGUXTZWGHHTDI-JTQLQIEISA-N 1 2 300.815 1.827 20 30 DDEDLO N#Cc1ccc(NC/C=C/CNC(=O)CCc2c[nH]c[nH+]2)nc1 ZINC001107565969 750151474 /nfs/dbraw/zinc/15/14/74/750151474.db2.gz IOKGKYIXWIQUQJ-OWOJBTEDSA-N 1 2 310.361 1.393 20 30 DDEDLO N#Cc1ccc(NC/C=C/CNC(=O)CCc2c[nH+]c[nH]2)nc1 ZINC001107565969 750151477 /nfs/dbraw/zinc/15/14/77/750151477.db2.gz IOKGKYIXWIQUQJ-OWOJBTEDSA-N 1 2 310.361 1.393 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2c[nH]c(=O)cn2)C1 ZINC001033936521 750167468 /nfs/dbraw/zinc/16/74/68/750167468.db2.gz QPQLALLOYKIPII-NSHDSACASA-N 1 2 310.785 1.059 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2c[nH]c(=O)cn2)C1 ZINC001033936521 750167471 /nfs/dbraw/zinc/16/74/71/750167471.db2.gz QPQLALLOYKIPII-NSHDSACASA-N 1 2 310.785 1.059 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(C)n1 ZINC001110897781 750186234 /nfs/dbraw/zinc/18/62/34/750186234.db2.gz XCLUMZJUZRMORC-KFWWJZLASA-N 1 2 302.422 1.854 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(C)n1 ZINC001110897781 750186238 /nfs/dbraw/zinc/18/62/38/750186238.db2.gz XCLUMZJUZRMORC-KFWWJZLASA-N 1 2 302.422 1.854 20 30 DDEDLO N#CCNC1CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000996847178 750212645 /nfs/dbraw/zinc/21/26/45/750212645.db2.gz SOTBMPHLOMQRBA-UHFFFAOYSA-N 1 2 309.373 1.590 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CC)CCC1)C2 ZINC001110926317 750231176 /nfs/dbraw/zinc/23/11/76/750231176.db2.gz AQPMUXNACICSLR-KFWWJZLASA-N 1 2 317.433 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CC)CCC1)C2 ZINC001110926317 750231183 /nfs/dbraw/zinc/23/11/83/750231183.db2.gz AQPMUXNACICSLR-KFWWJZLASA-N 1 2 317.433 1.038 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2ccc(OC)nn2)C1 ZINC001034006858 750268920 /nfs/dbraw/zinc/26/89/20/750268920.db2.gz FESZXNQZNSMLJG-LBPRGKRZSA-N 1 2 324.812 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc(OC)nn2)C1 ZINC001034006858 750268925 /nfs/dbraw/zinc/26/89/25/750268925.db2.gz FESZXNQZNSMLJG-LBPRGKRZSA-N 1 2 324.812 1.774 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2OC2CCC2)C1 ZINC001077648441 750297226 /nfs/dbraw/zinc/29/72/26/750297226.db2.gz KNUFSUBXHPOMGZ-HZPDHXFCSA-N 1 2 314.385 1.026 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2OC2CCC2)C1 ZINC001077648441 750297232 /nfs/dbraw/zinc/29/72/32/750297232.db2.gz KNUFSUBXHPOMGZ-HZPDHXFCSA-N 1 2 314.385 1.026 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)n2ccc(C)n2)C1 ZINC001108117548 750413159 /nfs/dbraw/zinc/41/31/59/750413159.db2.gz LMHIIYJZSFKKDD-DOTOQJQBSA-N 1 2 320.437 1.536 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)n2ccc(C)n2)C1 ZINC001108117548 750413162 /nfs/dbraw/zinc/41/31/62/750413162.db2.gz LMHIIYJZSFKKDD-DOTOQJQBSA-N 1 2 320.437 1.536 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)CCCC)[C@@H](n2ccnn2)C1 ZINC001128949968 750436031 /nfs/dbraw/zinc/43/60/31/750436031.db2.gz XINUCACYPHYDHM-ZNMIVQPWSA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)CCCC)[C@@H](n2ccnn2)C1 ZINC001128949968 750436033 /nfs/dbraw/zinc/43/60/33/750436033.db2.gz XINUCACYPHYDHM-ZNMIVQPWSA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001034466667 750448099 /nfs/dbraw/zinc/44/80/99/750448099.db2.gz CFIJRXJTKIHLLF-UONOGXRCSA-N 1 2 314.433 1.752 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001034466667 750448104 /nfs/dbraw/zinc/44/81/04/750448104.db2.gz CFIJRXJTKIHLLF-UONOGXRCSA-N 1 2 314.433 1.752 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CNc1nc(C)ccc1C#N ZINC001107869170 750492157 /nfs/dbraw/zinc/49/21/57/750492157.db2.gz OWCXPZOBCMDYLF-LLVKDONJSA-N 1 2 312.377 1.453 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CNc1ncc(C#N)cc1F ZINC001107869116 750494324 /nfs/dbraw/zinc/49/43/24/750494324.db2.gz LTNXWFHSAQCIKC-SECBINFHSA-N 1 2 316.340 1.283 20 30 DDEDLO O=C(CC1(O)CCC1)N1C[C@@H]2C[C@H]1C[N@@H+]2CC#Cc1ccccc1 ZINC001032396149 750554052 /nfs/dbraw/zinc/55/40/52/750554052.db2.gz JTWICHMESZPLKO-ROUUACIJSA-N 1 2 324.424 1.628 20 30 DDEDLO O=C(CC1(O)CCC1)N1C[C@@H]2C[C@H]1C[N@H+]2CC#Cc1ccccc1 ZINC001032396149 750554056 /nfs/dbraw/zinc/55/40/56/750554056.db2.gz JTWICHMESZPLKO-ROUUACIJSA-N 1 2 324.424 1.628 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@](C)(OC)c1ccccc1F ZINC001032440400 750840231 /nfs/dbraw/zinc/84/02/31/750840231.db2.gz VTXDRMGREHNPTE-SUNYJGFJSA-N 1 2 316.376 1.606 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@](C)(OC)c1ccccc1F ZINC001032440400 750840239 /nfs/dbraw/zinc/84/02/39/750840239.db2.gz VTXDRMGREHNPTE-SUNYJGFJSA-N 1 2 316.376 1.606 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCN1c1ccccc1 ZINC001032440518 750841030 /nfs/dbraw/zinc/84/10/30/750841030.db2.gz ZBCQAYFTDQCEQQ-BZSNNMDCSA-N 1 2 309.413 1.574 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCN1c1ccccc1 ZINC001032440518 750841040 /nfs/dbraw/zinc/84/10/40/750841040.db2.gz ZBCQAYFTDQCEQQ-BZSNNMDCSA-N 1 2 309.413 1.574 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2ccc(OC)cc21 ZINC001032450713 750866784 /nfs/dbraw/zinc/86/67/84/750866784.db2.gz XAIZMDGOARDSDK-YQQAZPJKSA-N 1 2 310.397 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2ccc(OC)cc21 ZINC001032450713 750866792 /nfs/dbraw/zinc/86/67/92/750866792.db2.gz XAIZMDGOARDSDK-YQQAZPJKSA-N 1 2 310.397 1.643 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncn(-c2ccccc2)n1 ZINC001032465721 750894217 /nfs/dbraw/zinc/89/42/17/750894217.db2.gz RTDDTBJGJJPPIY-GJZGRUSLSA-N 1 2 309.373 1.352 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncn(-c2ccccc2)n1 ZINC001032465721 750894221 /nfs/dbraw/zinc/89/42/21/750894221.db2.gz RTDDTBJGJJPPIY-GJZGRUSLSA-N 1 2 309.373 1.352 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001114710434 751011721 /nfs/dbraw/zinc/01/17/21/751011721.db2.gz CUSHJPZSYPHVIQ-HALDLXJZSA-N 1 2 300.406 1.160 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001114710434 751011729 /nfs/dbraw/zinc/01/17/29/751011729.db2.gz CUSHJPZSYPHVIQ-HALDLXJZSA-N 1 2 300.406 1.160 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114726529 751028212 /nfs/dbraw/zinc/02/82/12/751028212.db2.gz NJFLUPOLRSEVJB-OIPACUDHSA-N 1 2 314.433 1.934 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114726529 751028220 /nfs/dbraw/zinc/02/82/20/751028220.db2.gz NJFLUPOLRSEVJB-OIPACUDHSA-N 1 2 314.433 1.934 20 30 DDEDLO N#CCN1CCC2(C1)CCN(C(=O)CCc1[nH]cc[nH+]1)CC2 ZINC001035181055 751272410 /nfs/dbraw/zinc/27/24/10/751272410.db2.gz NNMADNNYPMIJAY-UHFFFAOYSA-N 1 2 301.394 1.180 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2C(C)C)C1 ZINC001107971822 751274745 /nfs/dbraw/zinc/27/47/45/751274745.db2.gz ZIVGCZODCLOFKZ-KRWDZBQOSA-N 1 2 318.421 1.308 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2C(C)C)C1 ZINC001107971822 751274746 /nfs/dbraw/zinc/27/47/46/751274746.db2.gz ZIVGCZODCLOFKZ-KRWDZBQOSA-N 1 2 318.421 1.308 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+][C@H](C)c2csnn2)CCOCC1 ZINC001129117125 751304558 /nfs/dbraw/zinc/30/45/58/751304558.db2.gz SVAAVCKEWXWHNJ-GFCCVEGCSA-N 1 2 322.434 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001008252600 752636854 /nfs/dbraw/zinc/63/68/54/752636854.db2.gz RYKABQFVKYYRSJ-NSHDSACASA-N 1 2 310.785 1.437 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001008252600 752636858 /nfs/dbraw/zinc/63/68/58/752636858.db2.gz RYKABQFVKYYRSJ-NSHDSACASA-N 1 2 310.785 1.437 20 30 DDEDLO C=CCn1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3C[C@@H](F)CC)nn1 ZINC001032671111 752668592 /nfs/dbraw/zinc/66/85/92/752668592.db2.gz CTJONYPRYFOSRF-AVGNSLFASA-N 1 2 307.373 1.111 20 30 DDEDLO C=CCn1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3C[C@@H](F)CC)nn1 ZINC001032671111 752668597 /nfs/dbraw/zinc/66/85/97/752668597.db2.gz CTJONYPRYFOSRF-AVGNSLFASA-N 1 2 307.373 1.111 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001062105793 752766762 /nfs/dbraw/zinc/76/67/62/752766762.db2.gz ZRKSMVYZSOERHH-CYBMUJFWSA-N 1 2 324.388 1.583 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCC[N@@H+](Cc2cncn2C)C1 ZINC001008558159 752798628 /nfs/dbraw/zinc/79/86/28/752798628.db2.gz HVPBMEQLLWWJKU-BMFZPTHFSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCC[N@H+](Cc2cncn2C)C1 ZINC001008558159 752798634 /nfs/dbraw/zinc/79/86/34/752798634.db2.gz HVPBMEQLLWWJKU-BMFZPTHFSA-N 1 2 318.421 1.092 20 30 DDEDLO CN(C[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1)c1ncccc1C#N ZINC001062181290 752814685 /nfs/dbraw/zinc/81/46/85/752814685.db2.gz BAZMFUHGFGUXKY-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H]([NH2+]Cc3nnc(C)o3)C2)cc1 ZINC000999871759 753220190 /nfs/dbraw/zinc/22/01/90/753220190.db2.gz OKIWIRTUWHSHHU-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001009597786 753267982 /nfs/dbraw/zinc/26/79/82/753267982.db2.gz XAAUITYJFPZSKE-TZMCWYRMSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001009597786 753267987 /nfs/dbraw/zinc/26/79/87/753267987.db2.gz XAAUITYJFPZSKE-TZMCWYRMSA-N 1 2 322.840 1.848 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ccc(C#N)nc1 ZINC001039767595 762208458 /nfs/dbraw/zinc/20/84/58/762208458.db2.gz OEGWBPOSHSWONN-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2snnc2C(C)C)[C@@H](O)C1 ZINC001083880667 753472414 /nfs/dbraw/zinc/47/24/14/753472414.db2.gz RULDBZGJNKRBJG-MNOVXSKESA-N 1 2 310.423 1.013 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2snnc2C(C)C)[C@@H](O)C1 ZINC001083880667 753472420 /nfs/dbraw/zinc/47/24/20/753472420.db2.gz RULDBZGJNKRBJG-MNOVXSKESA-N 1 2 310.423 1.013 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001060954953 753791648 /nfs/dbraw/zinc/79/16/48/753791648.db2.gz KWYSZXOFQGEAJF-OAHLLOKOSA-N 1 2 324.388 1.500 20 30 DDEDLO CCn1cc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)cn1 ZINC001010396093 753861101 /nfs/dbraw/zinc/86/11/01/753861101.db2.gz GCXWTAQUPIKCNQ-SFHVURJKSA-N 1 2 322.412 1.759 20 30 DDEDLO CCn1cc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)cn1 ZINC001010396093 753861108 /nfs/dbraw/zinc/86/11/08/753861108.db2.gz GCXWTAQUPIKCNQ-SFHVURJKSA-N 1 2 322.412 1.759 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2ccc(C#C[Si](C)(C)C)nc2)C[NH2+]1 ZINC001169137448 762251358 /nfs/dbraw/zinc/25/13/58/762251358.db2.gz WROLENZUWVEDHL-CABCVRRESA-N 1 2 317.465 1.626 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC#N ZINC001039922786 762268951 /nfs/dbraw/zinc/26/89/51/762268951.db2.gz HORHYJYSHMCBKT-LXTVHRRPSA-N 1 2 313.405 1.112 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063519861 754206664 /nfs/dbraw/zinc/20/66/64/754206664.db2.gz GUTYORRKDNGRPY-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001013933719 755545991 /nfs/dbraw/zinc/54/59/91/755545991.db2.gz MVEMOIDWEPZEII-OLZOCXBDSA-N 1 2 316.405 1.414 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001038631967 755569265 /nfs/dbraw/zinc/56/92/65/755569265.db2.gz MPKKYVAGMSLRJG-OCCSQVGLSA-N 1 2 302.378 1.089 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001038631967 755569267 /nfs/dbraw/zinc/56/92/67/755569267.db2.gz MPKKYVAGMSLRJG-OCCSQVGLSA-N 1 2 302.378 1.089 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001080047398 755697678 /nfs/dbraw/zinc/69/76/78/755697678.db2.gz NRTBOXQRBAXNER-BXKDBHETSA-N 1 2 319.796 1.712 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001080047398 755697680 /nfs/dbraw/zinc/69/76/80/755697680.db2.gz NRTBOXQRBAXNER-BXKDBHETSA-N 1 2 319.796 1.712 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)C1CN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001080418568 755906923 /nfs/dbraw/zinc/90/69/23/755906923.db2.gz UMAAZWURPHDRHW-OLZOCXBDSA-N 1 2 317.393 1.053 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccon2)C[C@H]1C ZINC001080472327 755932296 /nfs/dbraw/zinc/93/22/96/755932296.db2.gz PCEZRAJUIUTRKL-NGFQHRJXSA-N 1 2 305.378 1.202 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccon2)C[C@H]1C ZINC001080472327 755932301 /nfs/dbraw/zinc/93/23/01/755932301.db2.gz PCEZRAJUIUTRKL-NGFQHRJXSA-N 1 2 305.378 1.202 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@]2(C1)CCC[N@H+](Cc1ncccn1)C2 ZINC001040200618 762435523 /nfs/dbraw/zinc/43/55/23/762435523.db2.gz IELTVAFPZNEHNW-IBGZPJMESA-N 1 2 324.428 1.705 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@]2(C1)CCC[N@@H+](Cc1ncccn1)C2 ZINC001040200618 762435529 /nfs/dbraw/zinc/43/55/29/762435529.db2.gz IELTVAFPZNEHNW-IBGZPJMESA-N 1 2 324.428 1.705 20 30 DDEDLO C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001080696103 756056441 /nfs/dbraw/zinc/05/64/41/756056441.db2.gz NBVPGXPFLRENJC-LALPHHSUSA-N 1 2 300.406 1.218 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001080696103 756056447 /nfs/dbraw/zinc/05/64/47/756056447.db2.gz NBVPGXPFLRENJC-LALPHHSUSA-N 1 2 300.406 1.218 20 30 DDEDLO C[C@H]1[C@@H](Nc2ccncc2C#N)CCN1C(=O)CCn1cc[nH+]c1 ZINC001040212638 762441151 /nfs/dbraw/zinc/44/11/51/762441151.db2.gz ZGZGICPRIUTLSM-ZFWWWQNUSA-N 1 2 324.388 1.063 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccnn3C)C2)cc1 ZINC001015482044 756333099 /nfs/dbraw/zinc/33/30/99/756333099.db2.gz KCHZONBAJMGCKA-INIZCTEOSA-N 1 2 308.385 1.406 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccnn3C)C2)cc1 ZINC001015482044 756333106 /nfs/dbraw/zinc/33/31/06/756333106.db2.gz KCHZONBAJMGCKA-INIZCTEOSA-N 1 2 308.385 1.406 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2coc(C(C)(C)C)n2)[C@H](OC)C1 ZINC001081654890 756411909 /nfs/dbraw/zinc/41/19/09/756411909.db2.gz GPQPFZGIJXDCQY-DGCLKSJQSA-N 1 2 305.378 1.034 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2coc(C(C)(C)C)n2)[C@H](OC)C1 ZINC001081654890 756411914 /nfs/dbraw/zinc/41/19/14/756411914.db2.gz GPQPFZGIJXDCQY-DGCLKSJQSA-N 1 2 305.378 1.034 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1n[nH]cc1F ZINC001015671419 756454073 /nfs/dbraw/zinc/45/40/73/756454073.db2.gz YAFQSSBRHLYNHY-AWEZNQCLSA-N 1 2 312.348 1.405 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1n[nH]cc1F ZINC001015671419 756454076 /nfs/dbraw/zinc/45/40/76/756454076.db2.gz YAFQSSBRHLYNHY-AWEZNQCLSA-N 1 2 312.348 1.405 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2nc(Cl)sc2C)[C@H](OC)C1 ZINC001081930649 756549190 /nfs/dbraw/zinc/54/91/90/756549190.db2.gz VDEBKXJAPCVQTL-NXEZZACHSA-N 1 2 313.810 1.167 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2nc(Cl)sc2C)[C@H](OC)C1 ZINC001081930649 756549193 /nfs/dbraw/zinc/54/91/93/756549193.db2.gz VDEBKXJAPCVQTL-NXEZZACHSA-N 1 2 313.810 1.167 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001081948718 756559839 /nfs/dbraw/zinc/55/98/39/756559839.db2.gz KFUVYENUJNHHSM-AWEZNQCLSA-N 1 2 304.394 1.416 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2sc(CC3CC3)nc2C)C1 ZINC001015904321 756636471 /nfs/dbraw/zinc/63/64/71/756636471.db2.gz HAEGEHCWNHXSMK-CYBMUJFWSA-N 1 2 303.431 1.841 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2sc(CC3CC3)nc2C)C1 ZINC001015904321 756636476 /nfs/dbraw/zinc/63/64/76/756636476.db2.gz HAEGEHCWNHXSMK-CYBMUJFWSA-N 1 2 303.431 1.841 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(OC)c(C)c2)[C@H](OC)C1 ZINC001082239876 756696846 /nfs/dbraw/zinc/69/68/46/756696846.db2.gz AZQVNRXTPUHGHH-GDBMZVCRSA-N 1 2 302.374 1.066 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(OC)c(C)c2)[C@H](OC)C1 ZINC001082239876 756696847 /nfs/dbraw/zinc/69/68/47/756696847.db2.gz AZQVNRXTPUHGHH-GDBMZVCRSA-N 1 2 302.374 1.066 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3sccc3[nH]2)[C@H](OC)C1 ZINC001082297751 756726175 /nfs/dbraw/zinc/72/61/75/756726175.db2.gz ILFAZAWUWBCGLA-CHWSQXEVSA-N 1 2 303.387 1.292 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3sccc3[nH]2)[C@H](OC)C1 ZINC001082297751 756726177 /nfs/dbraw/zinc/72/61/77/756726177.db2.gz ILFAZAWUWBCGLA-CHWSQXEVSA-N 1 2 303.387 1.292 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001016113694 756768940 /nfs/dbraw/zinc/76/89/40/756768940.db2.gz LVRXEPLLMKJRRI-KRWDZBQOSA-N 1 2 322.412 1.335 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001016113694 756768943 /nfs/dbraw/zinc/76/89/43/756768943.db2.gz LVRXEPLLMKJRRI-KRWDZBQOSA-N 1 2 322.412 1.335 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC001082451235 756791535 /nfs/dbraw/zinc/79/15/35/756791535.db2.gz UHELEOUIWKZNFY-DMDPSCGWSA-N 1 2 317.393 1.433 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001016313040 756920624 /nfs/dbraw/zinc/92/06/24/756920624.db2.gz FEAJMTQKNKICKN-LBPRGKRZSA-N 1 2 309.797 1.961 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)cc(=O)[nH]c2C)C1 ZINC001016313040 756920625 /nfs/dbraw/zinc/92/06/25/756920625.db2.gz FEAJMTQKNKICKN-LBPRGKRZSA-N 1 2 309.797 1.961 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001016317634 756924950 /nfs/dbraw/zinc/92/49/50/756924950.db2.gz YIWFFQBZBRQQFY-AWEZNQCLSA-N 1 2 304.330 1.372 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001016317634 756924954 /nfs/dbraw/zinc/92/49/54/756924954.db2.gz YIWFFQBZBRQQFY-AWEZNQCLSA-N 1 2 304.330 1.372 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001097224935 756994171 /nfs/dbraw/zinc/99/41/71/756994171.db2.gz ZBJAUACSYCVLLK-TXEJJXNPSA-N 1 2 314.393 1.779 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001097236607 757008097 /nfs/dbraw/zinc/00/80/97/757008097.db2.gz YVFIKWJSLRCLLP-OKILXGFUSA-N 1 2 310.361 1.299 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cc(Cl)c3)[C@H]2C1 ZINC001083143291 757158212 /nfs/dbraw/zinc/15/82/12/757158212.db2.gz ALFPQVSBAFGRSB-JKSUJKDBSA-N 1 2 318.804 1.807 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)cc(Cl)c3)[C@H]2C1 ZINC001083143291 757158215 /nfs/dbraw/zinc/15/82/15/757158215.db2.gz ALFPQVSBAFGRSB-JKSUJKDBSA-N 1 2 318.804 1.807 20 30 DDEDLO N#Cc1cnccc1N1CCCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001097429763 757178178 /nfs/dbraw/zinc/17/81/78/757178178.db2.gz VPMHEZXPXPIGSP-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO COCC#CC[NH2+]C1CC(CNC(=O)C(F)C(F)(F)F)C1 ZINC001100349284 757192660 /nfs/dbraw/zinc/19/26/60/757192660.db2.gz YDVGVJAAKQQOJW-ILDUYXDCSA-N 1 2 310.291 1.021 20 30 DDEDLO COCC#CC[NH2+]C1CC(CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001100349284 757192670 /nfs/dbraw/zinc/19/26/70/757192670.db2.gz YDVGVJAAKQQOJW-ILDUYXDCSA-N 1 2 310.291 1.021 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sc(C(C)(C)C)nc2C)[C@@H](O)C1 ZINC001084118048 757272125 /nfs/dbraw/zinc/27/21/25/757272125.db2.gz NIVUHELRQBWISD-NEPJUHHUSA-N 1 2 323.462 1.710 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sc(C(C)(C)C)nc2C)[C@@H](O)C1 ZINC001084118048 757272132 /nfs/dbraw/zinc/27/21/32/757272132.db2.gz NIVUHELRQBWISD-NEPJUHHUSA-N 1 2 323.462 1.710 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(-c3ccccc3)co2)[C@@H](O)C1 ZINC001084126633 757294726 /nfs/dbraw/zinc/29/47/26/757294726.db2.gz BXFUQUCYQGSZGE-CVEARBPZSA-N 1 2 310.353 1.355 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(-c3ccccc3)co2)[C@@H](O)C1 ZINC001084126633 757294729 /nfs/dbraw/zinc/29/47/29/757294729.db2.gz BXFUQUCYQGSZGE-CVEARBPZSA-N 1 2 310.353 1.355 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(C[C@H]2NC(=O)Cc2nnc[nH]2)CC1 ZINC001016916739 757469874 /nfs/dbraw/zinc/46/98/74/757469874.db2.gz VZJJKWLWNOQWJM-LLVKDONJSA-N 1 2 309.801 1.070 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)C[C@H](C)n3ccnc3CC)[C@@H]2C1 ZINC001084356065 757509485 /nfs/dbraw/zinc/50/94/85/757509485.db2.gz XZEVWWKSRGMHAQ-ARFHVFGLSA-N 1 2 314.433 1.563 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)C[C@H](C)n3ccnc3CC)[C@@H]2C1 ZINC001084356065 757509488 /nfs/dbraw/zinc/50/94/88/757509488.db2.gz XZEVWWKSRGMHAQ-ARFHVFGLSA-N 1 2 314.433 1.563 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C=C(C)C)C1=O ZINC001017324670 757827192 /nfs/dbraw/zinc/82/71/92/757827192.db2.gz PNSSFBDIVRNZAQ-OWCLPIDISA-N 1 2 317.433 1.415 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C=C(C)C)C1=O ZINC001017324670 757827201 /nfs/dbraw/zinc/82/72/01/757827201.db2.gz PNSSFBDIVRNZAQ-OWCLPIDISA-N 1 2 317.433 1.415 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3cnn(C)c3)[C@@H]2C1 ZINC001084850376 757980785 /nfs/dbraw/zinc/98/07/85/757980785.db2.gz ZCQXYFNOUFPKKJ-HUUCEWRRSA-N 1 2 322.840 1.638 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3cnn(C)c3)[C@@H]2C1 ZINC001084850376 757980791 /nfs/dbraw/zinc/98/07/91/757980791.db2.gz ZCQXYFNOUFPKKJ-HUUCEWRRSA-N 1 2 322.840 1.638 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C[C@H](C)C(C)C)CC2=O)C1 ZINC001108518544 762591574 /nfs/dbraw/zinc/59/15/74/762591574.db2.gz ZHQRDFKCMDYKAR-GJZGRUSLSA-N 1 2 321.465 1.646 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@H](C)[C@H](Nc3cc[nH+]c(C)n3)C2)c1 ZINC001067197598 758103426 /nfs/dbraw/zinc/10/34/26/758103426.db2.gz MALZHPFJZZUYKO-BLLLJJGKSA-N 1 2 321.384 1.734 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1nc(C)sc1C ZINC001017665595 758134293 /nfs/dbraw/zinc/13/42/93/758134293.db2.gz UIBJYBRMVXNWGZ-OKILXGFUSA-N 1 2 303.431 1.611 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1nc(C)sc1C ZINC001017665595 758134299 /nfs/dbraw/zinc/13/42/99/758134299.db2.gz UIBJYBRMVXNWGZ-OKILXGFUSA-N 1 2 303.431 1.611 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]([C@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001053101569 758152534 /nfs/dbraw/zinc/15/25/34/758152534.db2.gz OOULYALQJGNYKX-LSDHHAIUSA-N 1 2 315.421 1.074 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]([C@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001053101569 758152544 /nfs/dbraw/zinc/15/25/44/758152544.db2.gz OOULYALQJGNYKX-LSDHHAIUSA-N 1 2 315.421 1.074 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]12CCO[C@H]1CCCC2 ZINC001017726347 758186038 /nfs/dbraw/zinc/18/60/38/758186038.db2.gz JUSNHQRCWVPHSY-UIBIWLFHSA-N 1 2 302.418 1.644 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]12CCO[C@H]1CCCC2 ZINC001017726347 758186040 /nfs/dbraw/zinc/18/60/40/758186040.db2.gz JUSNHQRCWVPHSY-UIBIWLFHSA-N 1 2 302.418 1.644 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1CCOC2 ZINC001017726612 758186141 /nfs/dbraw/zinc/18/61/41/758186141.db2.gz MVVLFFZVDUNROS-IYBDPMFKSA-N 1 2 310.397 1.681 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1CCOC2 ZINC001017726612 758186146 /nfs/dbraw/zinc/18/61/46/758186146.db2.gz MVVLFFZVDUNROS-IYBDPMFKSA-N 1 2 310.397 1.681 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001017752381 758206243 /nfs/dbraw/zinc/20/62/43/758206243.db2.gz XRWMKQRUGQUGJI-TWMKSMIVSA-N 1 2 302.418 1.500 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001017752381 758206255 /nfs/dbraw/zinc/20/62/55/758206255.db2.gz XRWMKQRUGQUGJI-TWMKSMIVSA-N 1 2 302.418 1.500 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(C)c1C ZINC001017782174 758233744 /nfs/dbraw/zinc/23/37/44/758233744.db2.gz VJWZNCTZMDKFNY-GASCZTMLSA-N 1 2 300.406 1.349 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(C)c1C ZINC001017782174 758233748 /nfs/dbraw/zinc/23/37/48/758233748.db2.gz VJWZNCTZMDKFNY-GASCZTMLSA-N 1 2 300.406 1.349 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2nn(C)cc21 ZINC001017851509 758297946 /nfs/dbraw/zinc/29/79/46/758297946.db2.gz GXZHHRHEVBYEMY-QLFBSQMISA-N 1 2 312.417 1.148 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2nn(C)cc21 ZINC001017851509 758297957 /nfs/dbraw/zinc/29/79/57/758297957.db2.gz GXZHHRHEVBYEMY-QLFBSQMISA-N 1 2 312.417 1.148 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccnn1C(C)C)CCO2 ZINC001053272417 758327344 /nfs/dbraw/zinc/32/73/44/758327344.db2.gz IXOSHHLMDDIJNI-UHFFFAOYSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CC[C@H](CC)O1)CCO2 ZINC001053279054 758333063 /nfs/dbraw/zinc/33/30/63/758333063.db2.gz FFXJBLZZNLNURL-GJZGRUSLSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@@H]1CC[C@H]3C[C@H]31)CCO2 ZINC001053317506 758369455 /nfs/dbraw/zinc/36/94/55/758369455.db2.gz BMPITAPDRZPTSE-HRCADAONSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c[nH]nc1CC)CCO2 ZINC001053324888 758376198 /nfs/dbraw/zinc/37/61/98/758376198.db2.gz KALIPZOILWEYTL-UHFFFAOYSA-N 1 2 304.394 1.075 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(F)c(COC)c1 ZINC001017933470 758404005 /nfs/dbraw/zinc/40/40/05/758404005.db2.gz ULWHJQBKWZVLQP-IYBDPMFKSA-N 1 2 316.376 1.894 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(F)c(COC)c1 ZINC001017933470 758404011 /nfs/dbraw/zinc/40/40/11/758404011.db2.gz ULWHJQBKWZVLQP-IYBDPMFKSA-N 1 2 316.376 1.894 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001018006273 758464594 /nfs/dbraw/zinc/46/45/94/758464594.db2.gz ACIIUUCOLNCRKA-BETUJISGSA-N 1 2 310.357 1.191 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001018006273 758464599 /nfs/dbraw/zinc/46/45/99/758464599.db2.gz ACIIUUCOLNCRKA-BETUJISGSA-N 1 2 310.357 1.191 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1nc(C)oc1C)CCO2 ZINC001053482940 758491861 /nfs/dbraw/zinc/49/18/61/758491861.db2.gz ZVHOQRJOVJEMEQ-UHFFFAOYSA-N 1 2 305.378 1.394 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(C)C)[nH]c1=O ZINC001018032941 758492056 /nfs/dbraw/zinc/49/20/56/758492056.db2.gz PUYHWFVBJQKYSM-OKILXGFUSA-N 1 2 313.401 1.833 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(C)C)[nH]c1=O ZINC001018032941 758492062 /nfs/dbraw/zinc/49/20/62/758492062.db2.gz PUYHWFVBJQKYSM-OKILXGFUSA-N 1 2 313.401 1.833 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@]1(C)CCCOC1)CCO2 ZINC001053487272 758494076 /nfs/dbraw/zinc/49/40/76/758494076.db2.gz IOERWJZLRRLXJQ-MRXNPFEDSA-N 1 2 308.422 1.292 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067218048 758514890 /nfs/dbraw/zinc/51/48/90/758514890.db2.gz PWVYOUYSVUIWNG-WBMJQRKESA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067218048 758514897 /nfs/dbraw/zinc/51/48/97/758514897.db2.gz PWVYOUYSVUIWNG-WBMJQRKESA-N 1 2 324.388 1.568 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CNC(C)=O)o1 ZINC001018071028 758521988 /nfs/dbraw/zinc/52/19/88/758521988.db2.gz OFJFNYGIOSJLKM-OKILXGFUSA-N 1 2 317.389 1.391 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CNC(C)=O)o1 ZINC001018071028 758521989 /nfs/dbraw/zinc/52/19/89/758521989.db2.gz OFJFNYGIOSJLKM-OKILXGFUSA-N 1 2 317.389 1.391 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)C[C@@H]1CCCO1)CCO2 ZINC001053546180 758551210 /nfs/dbraw/zinc/55/12/10/758551210.db2.gz KNKBDUJHSRUHRY-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1[C@H](C)CCC[C@H]1C ZINC001018107158 758552443 /nfs/dbraw/zinc/55/24/43/758552443.db2.gz KNZCHMLNVAHHLB-FPCVCCKLSA-N 1 2 319.449 1.637 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1[C@H](C)CCC[C@H]1C ZINC001018107158 758552448 /nfs/dbraw/zinc/55/24/48/758552448.db2.gz KNZCHMLNVAHHLB-FPCVCCKLSA-N 1 2 319.449 1.637 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CC13CCOCC3)CCO2 ZINC001053553066 758558045 /nfs/dbraw/zinc/55/80/45/758558045.db2.gz JWGPNDNQGVIMTD-HNNXBMFYSA-N 1 2 320.433 1.292 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cncs1)O2 ZINC001053576935 758587484 /nfs/dbraw/zinc/58/74/84/758587484.db2.gz YLHBJZNGKXMAAK-LBPRGKRZSA-N 1 2 307.419 1.682 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccc(COC)c1 ZINC001018167518 758596229 /nfs/dbraw/zinc/59/62/29/758596229.db2.gz WDXXIANWDLHVIJ-HDICACEKSA-N 1 2 312.413 1.684 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccc(COC)c1 ZINC001018167518 758596235 /nfs/dbraw/zinc/59/62/35/758596235.db2.gz WDXXIANWDLHVIJ-HDICACEKSA-N 1 2 312.413 1.684 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn(C)c2ccccc21 ZINC001018193813 758619951 /nfs/dbraw/zinc/61/99/51/758619951.db2.gz WZDYWISYRHVMCI-OKILXGFUSA-N 1 2 308.385 1.495 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nn(C)c2ccccc21 ZINC001018193813 758619954 /nfs/dbraw/zinc/61/99/54/758619954.db2.gz WZDYWISYRHVMCI-OKILXGFUSA-N 1 2 308.385 1.495 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)nnc1C)O2 ZINC001053607299 758627452 /nfs/dbraw/zinc/62/74/52/758627452.db2.gz ZZABCPNNAJQJFM-AWEZNQCLSA-N 1 2 316.405 1.243 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cncc(CC)c1)O2 ZINC001053629103 758646208 /nfs/dbraw/zinc/64/62/08/758646208.db2.gz GNLFWTXDXSBSMR-MRXNPFEDSA-N 1 2 315.417 1.793 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108548150 762648916 /nfs/dbraw/zinc/64/89/16/762648916.db2.gz GKOCXLCNZQVKEI-AWEZNQCLSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(OCC)cn1 ZINC001018347360 758747547 /nfs/dbraw/zinc/74/75/47/758747547.db2.gz UZNDKLMEGGMSGC-BETUJISGSA-N 1 2 302.378 1.350 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(OCC)cn1 ZINC001018347360 758747553 /nfs/dbraw/zinc/74/75/53/758747553.db2.gz UZNDKLMEGGMSGC-BETUJISGSA-N 1 2 302.378 1.350 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccncc1F)CO2 ZINC001053768918 758789234 /nfs/dbraw/zinc/78/92/34/758789234.db2.gz MLTYLGYOMMAPPN-GFCCVEGCSA-N 1 2 305.353 1.370 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H]1CCCCO1)CO2 ZINC001053772439 758794560 /nfs/dbraw/zinc/79/45/60/758794560.db2.gz OOJOVVQQCQZSNZ-CABCVRRESA-N 1 2 308.422 1.481 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)n1cccc1)CO2 ZINC001053777189 758799514 /nfs/dbraw/zinc/79/95/14/758799514.db2.gz JCDHDWPXFSRSNC-HUUCEWRRSA-N 1 2 301.390 1.032 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc[nH]c1CC)CO2 ZINC001053791634 758818466 /nfs/dbraw/zinc/81/84/66/758818466.db2.gz NQSAJYLQIIQFIO-CYBMUJFWSA-N 1 2 303.406 1.726 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3(CCF)CC3)CC2=O)C1 ZINC001108558539 762665188 /nfs/dbraw/zinc/66/51/88/762665188.db2.gz GZWLVFUKGDUDRV-ZDUSSCGKSA-N 1 2 323.412 1.104 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)CC1CC(F)(F)C1)CO2 ZINC001053851047 758882610 /nfs/dbraw/zinc/88/26/10/758882610.db2.gz JHVHYXUDUKHFKG-CYBMUJFWSA-N 1 2 312.360 1.405 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)oc(C)c1C)CO2 ZINC001053867998 758901764 /nfs/dbraw/zinc/90/17/64/758901764.db2.gz ZJDPVFIRZUIHLS-OAHLLOKOSA-N 1 2 316.401 1.801 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001065968520 758937468 /nfs/dbraw/zinc/93/74/68/758937468.db2.gz ANMYREZDKSJGIL-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2COC3(C[NH+](CC(=C)C)C3)C2)c1 ZINC001053918410 758954337 /nfs/dbraw/zinc/95/43/37/758954337.db2.gz METADGGLFZYFKC-INIZCTEOSA-N 1 2 311.385 1.212 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)c1cccs1)CO2 ZINC001053922931 758957945 /nfs/dbraw/zinc/95/79/45/758957945.db2.gz KTVNERFILLNIMK-UONOGXRCSA-N 1 2 318.442 1.834 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cccc(OC)n1)CO2 ZINC001053971574 759008058 /nfs/dbraw/zinc/00/80/58/759008058.db2.gz MZFVERBQLBBYJG-ZDUSSCGKSA-N 1 2 317.389 1.239 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncoc1C1CC1)CO2 ZINC001053975210 759013480 /nfs/dbraw/zinc/01/34/80/759013480.db2.gz ZTVGDJBHSBPKMP-CYBMUJFWSA-N 1 2 315.373 1.148 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccccc1Cl)CO2 ZINC001053991478 759036553 /nfs/dbraw/zinc/03/65/53/759036553.db2.gz VEMBPIHQPMZLOS-CYBMUJFWSA-N 1 2 318.804 1.936 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)cc1C)CO2 ZINC001053999749 759045997 /nfs/dbraw/zinc/04/59/97/759045997.db2.gz MQGHXZBLHRLIQN-INIZCTEOSA-N 1 2 312.413 1.900 20 30 DDEDLO CCCCc1noc(C[NH2+][C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001018632571 759051710 /nfs/dbraw/zinc/05/17/10/759051710.db2.gz OFVSAEMRMDKLSP-RYUDHWBXSA-N 1 2 305.382 1.262 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001018824218 759310876 /nfs/dbraw/zinc/31/08/76/759310876.db2.gz SNKUEPRTCBGIJN-ZDUSSCGKSA-N 1 2 300.362 1.051 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(OCC)n[nH]3)cc2C1 ZINC001054269496 759374620 /nfs/dbraw/zinc/37/46/20/759374620.db2.gz FCSLLSTZMNRLCC-UHFFFAOYSA-N 1 2 324.384 1.687 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(OCC)n[nH]3)cc2C1 ZINC001054269496 759374630 /nfs/dbraw/zinc/37/46/30/759374630.db2.gz FCSLLSTZMNRLCC-UHFFFAOYSA-N 1 2 324.384 1.687 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@H](Nc2ccncc2C#N)C[C@H]1C ZINC001069129842 767865017 /nfs/dbraw/zinc/86/50/17/767865017.db2.gz LTFBJKLHVGUQDL-BXUZGUMPSA-N 1 2 324.388 1.051 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(CC(C)C)c1CC ZINC001085593440 759793084 /nfs/dbraw/zinc/79/30/84/759793084.db2.gz JATYGPBKALXKFG-HNNXBMFYSA-N 1 2 316.449 1.881 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(CC(C)C)c1CC ZINC001085593440 759793087 /nfs/dbraw/zinc/79/30/87/759793087.db2.gz JATYGPBKALXKFG-HNNXBMFYSA-N 1 2 316.449 1.881 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)CC1 ZINC001066284850 759855311 /nfs/dbraw/zinc/85/53/11/759855311.db2.gz HDIGMBLHLCYHKC-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)CCn1cc[nH+]c1 ZINC001069180664 767895884 /nfs/dbraw/zinc/89/58/84/767895884.db2.gz KMNKBKKZQNBMKX-CZUORRHYSA-N 1 2 324.388 1.641 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc2cc[nH]cc-2c1=O ZINC001085621171 759862596 /nfs/dbraw/zinc/86/25/96/759862596.db2.gz JEUIMASFTDJMJX-LBPRGKRZSA-N 1 2 312.373 1.255 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc2cc[nH]cc-2c1=O ZINC001085621171 759862604 /nfs/dbraw/zinc/86/26/04/759862604.db2.gz JEUIMASFTDJMJX-LBPRGKRZSA-N 1 2 312.373 1.255 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C2CC2)n(C)n1 ZINC001085630169 759884486 /nfs/dbraw/zinc/88/44/86/759884486.db2.gz NEDJJUAYKLXKTE-AWEZNQCLSA-N 1 2 300.406 1.467 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C2CC2)n(C)n1 ZINC001085630169 759884490 /nfs/dbraw/zinc/88/44/90/759884490.db2.gz NEDJJUAYKLXKTE-AWEZNQCLSA-N 1 2 300.406 1.467 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C2CC2)n(CC)n1 ZINC001085636805 759905117 /nfs/dbraw/zinc/90/51/17/759905117.db2.gz ZUZNJBMUGZOHQQ-HNNXBMFYSA-N 1 2 314.433 1.950 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C2CC2)n(CC)n1 ZINC001085636805 759905119 /nfs/dbraw/zinc/90/51/19/759905119.db2.gz ZUZNJBMUGZOHQQ-HNNXBMFYSA-N 1 2 314.433 1.950 20 30 DDEDLO C=CCC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCCS1(=O)=O ZINC001085637298 759928129 /nfs/dbraw/zinc/92/81/29/759928129.db2.gz MLWSDXCDQVPTTP-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCCS1(=O)=O ZINC001085637298 759928136 /nfs/dbraw/zinc/92/81/36/759928136.db2.gz MLWSDXCDQVPTTP-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)no1 ZINC001085656602 759942688 /nfs/dbraw/zinc/94/26/88/759942688.db2.gz UTQFCFWBGCENQF-VIFPVBQESA-N 1 2 301.268 1.473 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(F)(F)F)no1 ZINC001085656602 759942697 /nfs/dbraw/zinc/94/26/97/759942697.db2.gz UTQFCFWBGCENQF-VIFPVBQESA-N 1 2 301.268 1.473 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1cn[nH]n1 ZINC001085691322 760040441 /nfs/dbraw/zinc/04/04/41/760040441.db2.gz CSGWKZAZIUMTLX-CQSZACIVSA-N 1 2 310.361 1.023 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1cn[nH]n1 ZINC001085691322 760040451 /nfs/dbraw/zinc/04/04/51/760040451.db2.gz CSGWKZAZIUMTLX-CQSZACIVSA-N 1 2 310.361 1.023 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(N2CCCC2)ccn1 ZINC001085703770 760063395 /nfs/dbraw/zinc/06/33/95/760063395.db2.gz YNUNHUKWSYRHDK-INIZCTEOSA-N 1 2 312.417 1.461 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(N2CCCC2)ccn1 ZINC001085703770 760063407 /nfs/dbraw/zinc/06/34/07/760063407.db2.gz YNUNHUKWSYRHDK-INIZCTEOSA-N 1 2 312.417 1.461 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001085705234 760074950 /nfs/dbraw/zinc/07/49/50/760074950.db2.gz KRKVEMVDRKMCBR-AWEZNQCLSA-N 1 2 323.396 1.698 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001085705234 760074962 /nfs/dbraw/zinc/07/49/62/760074962.db2.gz KRKVEMVDRKMCBR-AWEZNQCLSA-N 1 2 323.396 1.698 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H]3C[C@@H](C2)N(C(=O)C#CC2CC2)C3)cc[nH+]1 ZINC001054821304 760110526 /nfs/dbraw/zinc/11/05/26/760110526.db2.gz FKNFWELVQUBALH-JYJNAYRXSA-N 1 2 310.401 1.990 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)c1cccc(=O)[nH]1 ZINC001038146383 760853549 /nfs/dbraw/zinc/85/35/49/760853549.db2.gz OYJLFCAWVMACLY-INIZCTEOSA-N 1 2 321.380 1.643 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)c1cccc(=O)[nH]1 ZINC001038146383 760853564 /nfs/dbraw/zinc/85/35/64/760853564.db2.gz OYJLFCAWVMACLY-INIZCTEOSA-N 1 2 321.380 1.643 20 30 DDEDLO N#Cc1ccc(NCC[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)cn1 ZINC001066389515 760957432 /nfs/dbraw/zinc/95/74/32/760957432.db2.gz MMRUOKGEXAUXOI-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ccc(C#N)cn1 ZINC001069376322 768000342 /nfs/dbraw/zinc/00/03/42/768000342.db2.gz VTFIJQHWADHGNX-ZFWWWQNUSA-N 1 2 324.388 1.323 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]1CNC(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001038426339 761108115 /nfs/dbraw/zinc/10/81/15/761108115.db2.gz VBLGULPREFLKLL-LBPRGKRZSA-N 1 2 317.414 1.970 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]1CNC(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001038426339 761108118 /nfs/dbraw/zinc/10/81/18/761108118.db2.gz VBLGULPREFLKLL-LBPRGKRZSA-N 1 2 317.414 1.970 20 30 DDEDLO Cc1nnccc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038463345 761140426 /nfs/dbraw/zinc/14/04/26/761140426.db2.gz TZHUUSSIJJPKNO-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1nnccc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038463345 761140432 /nfs/dbraw/zinc/14/04/32/761140432.db2.gz TZHUUSSIJJPKNO-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCN2Cc2c[nH+]cn2C)cc1 ZINC001038578622 761212732 /nfs/dbraw/zinc/21/27/32/761212732.db2.gz NGCJNFBYRHDDDT-MRXNPFEDSA-N 1 2 308.385 1.406 20 30 DDEDLO C=CCC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001038593105 761221898 /nfs/dbraw/zinc/22/18/98/761221898.db2.gz PLCAUDNOWHFYPA-OAHLLOKOSA-N 1 2 311.389 1.852 20 30 DDEDLO C=CCC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001038593105 761221902 /nfs/dbraw/zinc/22/19/02/761221902.db2.gz PLCAUDNOWHFYPA-OAHLLOKOSA-N 1 2 311.389 1.852 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(-n2cncn2)c1 ZINC001038686558 761287940 /nfs/dbraw/zinc/28/79/40/761287940.db2.gz JPCNFWLKHVWXTD-INIZCTEOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(-n2cncn2)c1 ZINC001038686558 761287944 /nfs/dbraw/zinc/28/79/44/761287944.db2.gz JPCNFWLKHVWXTD-INIZCTEOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(-n2cccn2)ccn1 ZINC001038701611 761302045 /nfs/dbraw/zinc/30/20/45/761302045.db2.gz LHPNKVQFDJRNLL-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(-n2cccn2)ccn1 ZINC001038701611 761302051 /nfs/dbraw/zinc/30/20/51/761302051.db2.gz LHPNKVQFDJRNLL-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1oc(C)nc1C ZINC001038714682 761319407 /nfs/dbraw/zinc/31/94/07/761319407.db2.gz SIBDVGOROVFLCY-KFWWJZLASA-N 1 2 319.405 1.573 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1oc(C)nc1C ZINC001038714682 761319416 /nfs/dbraw/zinc/31/94/16/761319416.db2.gz SIBDVGOROVFLCY-KFWWJZLASA-N 1 2 319.405 1.573 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnn1C1CCOCC1 ZINC001038800454 761419110 /nfs/dbraw/zinc/41/91/10/761419110.db2.gz UIOZKQSNABFCGS-OAHLLOKOSA-N 1 2 316.405 1.062 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnn1C1CCOCC1 ZINC001038800454 761419114 /nfs/dbraw/zinc/41/91/14/761419114.db2.gz UIOZKQSNABFCGS-OAHLLOKOSA-N 1 2 316.405 1.062 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001038904267 761530111 /nfs/dbraw/zinc/53/01/11/761530111.db2.gz UPKORTZOZZUPIW-CQSZACIVSA-N 1 2 312.373 1.508 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001038904267 761530114 /nfs/dbraw/zinc/53/01/14/761530114.db2.gz UPKORTZOZZUPIW-CQSZACIVSA-N 1 2 312.373 1.508 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@]1(C)C[N@H+](CC#CC)CCO1 ZINC001108275128 761594357 /nfs/dbraw/zinc/59/43/57/761594357.db2.gz XZQLTLJHPDZEAL-XYJFISCASA-N 1 2 320.433 1.198 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001108275128 761594366 /nfs/dbraw/zinc/59/43/66/761594366.db2.gz XZQLTLJHPDZEAL-XYJFISCASA-N 1 2 320.433 1.198 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc2onc(CC)c2c1 ZINC001039055351 761695999 /nfs/dbraw/zinc/69/59/99/761695999.db2.gz VXZNLJBKMXELIP-ZDUSSCGKSA-N 1 2 312.373 1.613 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc2onc(CC)c2c1 ZINC001039055351 761696002 /nfs/dbraw/zinc/69/60/02/761696002.db2.gz VXZNLJBKMXELIP-ZDUSSCGKSA-N 1 2 312.373 1.613 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001066561162 761798272 /nfs/dbraw/zinc/79/82/72/761798272.db2.gz GEGATMCGQSAXNV-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C[C@H](CC(=O)N1CCC2(C1)CCN(CC#N)CC2)n1cc[nH+]c1 ZINC001040868738 762714068 /nfs/dbraw/zinc/71/40/68/762714068.db2.gz XQUQSFOAMZHWEV-OAHLLOKOSA-N 1 2 315.421 1.672 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3(C(C)C)CC3)CC2=O)C1 ZINC001108572656 762733039 /nfs/dbraw/zinc/73/30/39/762733039.db2.gz XUOZMEVBCLVPAF-CQSZACIVSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(C)(C)CC(C)C)CC2=O)C1 ZINC001108584184 762754347 /nfs/dbraw/zinc/75/43/47/762754347.db2.gz BORCRUHZHRCUHV-AWEZNQCLSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@@H](C)CC(C)C)CC2=O)C1 ZINC001108586081 762763046 /nfs/dbraw/zinc/76/30/46/762763046.db2.gz ZGLKZWLUJNIQLN-LSDHHAIUSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@H]2C[C@@]23CCOC3)CC1 ZINC001001354682 762786779 /nfs/dbraw/zinc/78/67/79/762786779.db2.gz LTPHRIHQVCMQGL-GDBMZVCRSA-N 1 2 310.825 1.914 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@H]2C[C@@]23CCOC3)CC1 ZINC001001354682 762786783 /nfs/dbraw/zinc/78/67/83/762786783.db2.gz LTPHRIHQVCMQGL-GDBMZVCRSA-N 1 2 310.825 1.914 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)n1 ZINC001108773572 762920820 /nfs/dbraw/zinc/92/08/20/762920820.db2.gz DUGGNGZWNVSGIU-IACUBPJLSA-N 1 2 324.388 1.705 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)n1 ZINC001108773572 762920825 /nfs/dbraw/zinc/92/08/25/762920825.db2.gz DUGGNGZWNVSGIU-IACUBPJLSA-N 1 2 324.388 1.705 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@]3(CC[N@H+](Cc4cnon4)C3)C2)C1 ZINC001041658588 763204041 /nfs/dbraw/zinc/20/40/41/763204041.db2.gz YUAILRXUHLHQBZ-QGZVFWFLSA-N 1 2 316.405 1.850 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@]3(CC[N@@H+](Cc4cnon4)C3)C2)C1 ZINC001041658588 763204049 /nfs/dbraw/zinc/20/40/49/763204049.db2.gz YUAILRXUHLHQBZ-QGZVFWFLSA-N 1 2 316.405 1.850 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@]2(CCN(C(=O)c3cc(C(F)F)[nH]n3)C2)C1 ZINC001041664481 763207977 /nfs/dbraw/zinc/20/79/77/763207977.db2.gz MMVDNBUPBXQSKS-MRXNPFEDSA-N 1 2 322.359 1.909 20 30 DDEDLO CC#CC[N@H+]1CC[C@@]2(CCN(C(=O)c3cc(C(F)F)[nH]n3)C2)C1 ZINC001041664481 763207984 /nfs/dbraw/zinc/20/79/84/763207984.db2.gz MMVDNBUPBXQSKS-MRXNPFEDSA-N 1 2 322.359 1.909 20 30 DDEDLO Cc1nc(N2C[C@@H](C)[C@H](NC(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001042037567 763584121 /nfs/dbraw/zinc/58/41/21/763584121.db2.gz RLFVYXPPSOWJTD-IUODEOHRSA-N 1 2 324.388 1.099 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001042058193 763602043 /nfs/dbraw/zinc/60/20/43/763602043.db2.gz PKCDDKAGWAMGIB-GDBMZVCRSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001042058193 763602045 /nfs/dbraw/zinc/60/20/45/763602045.db2.gz PKCDDKAGWAMGIB-GDBMZVCRSA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CCN(c2ncccc2C#N)[C@@H]1C ZINC001050502216 763676979 /nfs/dbraw/zinc/67/69/79/763676979.db2.gz AZQMQSVIVWDZJU-OCCSQVGLSA-N 1 2 324.388 1.311 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@@H+](Cc3ncnn3C)[C@H]2C1 ZINC001042188932 763756730 /nfs/dbraw/zinc/75/67/30/763756730.db2.gz XYMUULJQEYSYKL-KBPBESRZSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@H+](Cc3ncnn3C)[C@H]2C1 ZINC001042188932 763756731 /nfs/dbraw/zinc/75/67/31/763756731.db2.gz XYMUULJQEYSYKL-KBPBESRZSA-N 1 2 317.437 1.450 20 30 DDEDLO CCCC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109662015 763925521 /nfs/dbraw/zinc/92/55/21/763925521.db2.gz HHWXDRCBEPVQBP-RDBSUJKOSA-N 1 2 320.437 1.174 20 30 DDEDLO CCCC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109662015 763925529 /nfs/dbraw/zinc/92/55/29/763925529.db2.gz HHWXDRCBEPVQBP-RDBSUJKOSA-N 1 2 320.437 1.174 20 30 DDEDLO CN(C(=O)c1c[nH]c(C#N)c1)C1C[NH+](C[C@H]2CCCCO2)C1 ZINC001042638239 764299220 /nfs/dbraw/zinc/29/92/20/764299220.db2.gz FZKXVBVCMNAJCC-OAHLLOKOSA-N 1 2 302.378 1.212 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cnn3c2C[N@H+](C(C)C)CC3)nc1 ZINC001069880614 768209914 /nfs/dbraw/zinc/20/99/14/768209914.db2.gz JVJUBOGOOVTPCC-UHFFFAOYSA-N 1 2 323.400 1.413 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cnn3c2C[N@@H+](C(C)C)CC3)nc1 ZINC001069880614 768209918 /nfs/dbraw/zinc/20/99/18/768209918.db2.gz JVJUBOGOOVTPCC-UHFFFAOYSA-N 1 2 323.400 1.413 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1n[nH]c2c1CCC2 ZINC001050998597 764401061 /nfs/dbraw/zinc/40/10/61/764401061.db2.gz NYUBUDAUHNDGJR-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1n[nH]c2c1CCC2 ZINC001050998597 764401068 /nfs/dbraw/zinc/40/10/68/764401068.db2.gz NYUBUDAUHNDGJR-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1C(C)C ZINC001050999128 764403227 /nfs/dbraw/zinc/40/32/27/764403227.db2.gz YWOQIUJVLMRYAH-CQSZACIVSA-N 1 2 320.437 1.930 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1C(C)C ZINC001050999128 764403229 /nfs/dbraw/zinc/40/32/29/764403229.db2.gz YWOQIUJVLMRYAH-CQSZACIVSA-N 1 2 320.437 1.930 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCN(C(=O)CC)C2)C1 ZINC001042771015 764432097 /nfs/dbraw/zinc/43/20/97/764432097.db2.gz MCODIYWRULTNAU-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc(F)c1F ZINC001051084332 764489074 /nfs/dbraw/zinc/48/90/74/764489074.db2.gz QDEFEPMJIHYRSK-LBPRGKRZSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc(F)c1F ZINC001051084332 764489082 /nfs/dbraw/zinc/48/90/82/764489082.db2.gz QDEFEPMJIHYRSK-LBPRGKRZSA-N 1 2 310.344 1.972 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[NH+](CCCOc2ccc(F)cc2)CC1 ZINC001112718702 764644179 /nfs/dbraw/zinc/64/41/79/764644179.db2.gz QSANOXDDCHLMHC-AWEZNQCLSA-N 1 2 319.380 1.898 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncc2n1CCCC2 ZINC001051253600 764675646 /nfs/dbraw/zinc/67/56/46/764675646.db2.gz UVBIXGRRAWIFBR-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncc2n1CCCC2 ZINC001051253600 764675653 /nfs/dbraw/zinc/67/56/53/764675653.db2.gz UVBIXGRRAWIFBR-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051323286 764745507 /nfs/dbraw/zinc/74/55/07/764745507.db2.gz OIHUSRVEYNBMBL-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001043228019 764777459 /nfs/dbraw/zinc/77/74/59/764777459.db2.gz ZKJJOAYJIADWRU-IUODEOHRSA-N 1 2 310.361 1.109 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@H]2C)ccc1C#N ZINC001043227746 764778082 /nfs/dbraw/zinc/77/80/82/764778082.db2.gz MJNZLHWFXMLFAU-IUODEOHRSA-N 1 2 324.388 1.417 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)C2([C@@H]3CCCCO3)CCC2)C1 ZINC001043325044 764836750 /nfs/dbraw/zinc/83/67/50/764836750.db2.gz ZDWXBKXYXDVAEM-INIZCTEOSA-N 1 2 304.434 1.892 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc(-n3cncn3)c2)C1 ZINC001043820599 765115535 /nfs/dbraw/zinc/11/55/35/765115535.db2.gz SOXGTJBGZBQAFK-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)C1CN(Cc2cccc(C#N)c2)C1 ZINC001043977062 765200385 /nfs/dbraw/zinc/20/03/85/765200385.db2.gz DNSLXYSLXFPUPC-UHFFFAOYSA-N 1 2 309.373 1.167 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(Cl)cn2C)CC1 ZINC001113082874 765237087 /nfs/dbraw/zinc/23/70/87/765237087.db2.gz NBTANLIYQMOTPC-UHFFFAOYSA-N 1 2 311.813 1.639 20 30 DDEDLO Cc1nc(N[C@H](C)[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001113155928 765346420 /nfs/dbraw/zinc/34/64/20/765346420.db2.gz SZLWUFHKGRAFPL-MNOVXSKESA-N 1 2 312.377 1.533 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(CCC)c2C2CC2)C1 ZINC001044164091 765356314 /nfs/dbraw/zinc/35/63/14/765356314.db2.gz SLBAUQKKNAHTGX-UHFFFAOYSA-N 1 2 314.433 1.950 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)/C=C\C3CC3)C2)CC1 ZINC001052004270 765369946 /nfs/dbraw/zinc/36/99/46/765369946.db2.gz JJUPABQAFVEGQU-TUYSUELWSA-N 1 2 301.434 1.194 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)CCC3CC3)C2)CC1 ZINC001052003458 765370483 /nfs/dbraw/zinc/37/04/83/765370483.db2.gz VDTVTULETSXYSX-KRWDZBQOSA-N 1 2 303.450 1.418 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3oc(C)cc3C)C2)CC1 ZINC001052007132 765375843 /nfs/dbraw/zinc/37/58/43/765375843.db2.gz WKWWVDXZRBVCOE-INIZCTEOSA-N 1 2 315.417 1.362 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3oc(C)cc3C)C2)CC1 ZINC001052007132 765375854 /nfs/dbraw/zinc/37/58/54/765375854.db2.gz WKWWVDXZRBVCOE-INIZCTEOSA-N 1 2 315.417 1.362 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)c3ccn(C)c3)C2)CC1 ZINC001052012159 765382719 /nfs/dbraw/zinc/38/27/19/765382719.db2.gz DYZDCBCTEJQCJO-QGZVFWFLSA-N 1 2 316.449 1.433 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3coc(CC)n3)C2)CC1 ZINC001052017932 765387982 /nfs/dbraw/zinc/38/79/82/765387982.db2.gz ZHCMEZYPDGGJSV-CQSZACIVSA-N 1 2 318.421 1.255 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3CC3(F)F)C2)CC1 ZINC001052019793 765391902 /nfs/dbraw/zinc/39/19/02/765391902.db2.gz BCHBFBQXFOVNJN-UONOGXRCSA-N 1 2 313.392 1.436 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@H](C)C(C)(C)C)C2)CC1 ZINC001052042976 765415690 /nfs/dbraw/zinc/41/56/90/765415690.db2.gz LRNSELKCARTIDL-IRXDYDNUSA-N 1 2 319.493 1.910 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H](C)C3CCC3)C2)CC1 ZINC001052042810 765416106 /nfs/dbraw/zinc/41/61/06/765416106.db2.gz GWYGQAQNXBWGGL-DOTOQJQBSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)(C)C3CC3)C2)CC1 ZINC001052062773 765435030 /nfs/dbraw/zinc/43/50/30/765435030.db2.gz NWBGHGFAUOQIDI-INIZCTEOSA-N 1 2 303.450 1.274 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cc(C)co3)C2)CC1 ZINC001052067119 765441028 /nfs/dbraw/zinc/44/10/28/765441028.db2.gz DQWOPTJOOJJUNB-OAHLLOKOSA-N 1 2 303.406 1.606 20 30 DDEDLO CCc1ccoc1C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052074688 765446847 /nfs/dbraw/zinc/44/68/47/765446847.db2.gz IWBMHWWHPJQIKB-OAHLLOKOSA-N 1 2 316.405 1.198 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)nc1)[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001113237975 765457619 /nfs/dbraw/zinc/45/76/19/765457619.db2.gz FYQIMVQVKJNXOJ-OLZOCXBDSA-N 1 2 312.377 1.545 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3CC34CCC4)C2)CC1 ZINC001052091545 765462858 /nfs/dbraw/zinc/46/28/58/765462858.db2.gz GLLVDCSEIHPPED-IRXDYDNUSA-N 1 2 315.461 1.418 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CCCN(CC#N)CC2)c[nH+]1 ZINC001052487558 765878266 /nfs/dbraw/zinc/87/82/66/765878266.db2.gz ACQVDPGBUQDBQT-CQSZACIVSA-N 1 2 303.410 1.421 20 30 DDEDLO Cc1nc(N(C)[C@@H](C)CNC(=O)C#CC2CC2)c(C)c(C)[nH+]1 ZINC001113585894 765903099 /nfs/dbraw/zinc/90/30/99/765903099.db2.gz PVMVEXHKOTYMPI-NSHDSACASA-N 1 2 300.406 1.756 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@@H](OC)C2CCCC2)CC1 ZINC001113590096 765917661 /nfs/dbraw/zinc/91/76/61/765917661.db2.gz SAALTVKPIATGRH-INIZCTEOSA-N 1 2 310.438 1.538 20 30 DDEDLO CC(C)Cc1noc(C[NH2+]C[C@@H]2CCCN2C(=O)[C@H](C)C#N)n1 ZINC001044962246 765971470 /nfs/dbraw/zinc/97/14/70/765971470.db2.gz HQNXAFJLXQOBRB-OLZOCXBDSA-N 1 2 319.409 1.508 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113724908 766066302 /nfs/dbraw/zinc/06/63/02/766066302.db2.gz KFVVMGRZVTWOGS-RISCZKNCSA-N 1 2 318.421 1.381 20 30 DDEDLO CCOC(=O)[C@@H](C#N)Nc1ccc(C)cc1C[NH+]1CCOCC1 ZINC001170370745 766270054 /nfs/dbraw/zinc/27/00/54/766270054.db2.gz JQAQEQYSTXRWSV-MRXNPFEDSA-N 1 2 317.389 1.694 20 30 DDEDLO CO/N=C/c1cc(-n2[nH]c(=O)c(CC[NH3+])c2C)cc(F)c1F ZINC001170344471 766275770 /nfs/dbraw/zinc/27/57/70/766275770.db2.gz MMDASLOPTBFLMQ-CNHKJKLMSA-N 1 2 310.304 1.646 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)N(C)c1cccc(F)c1C#N ZINC001113898335 766364377 /nfs/dbraw/zinc/36/43/77/766364377.db2.gz REZLHDGDGGQCDY-NSHDSACASA-N 1 2 315.352 1.604 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH]c[nH+]1)N(C)c1ccc(C#N)cn1 ZINC001113937044 766412522 /nfs/dbraw/zinc/41/25/22/766412522.db2.gz XPEBIVSSTMAFLB-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH+]c[nH]1)N(C)c1ccc(C#N)cn1 ZINC001113937044 766412525 /nfs/dbraw/zinc/41/25/25/766412525.db2.gz XPEBIVSSTMAFLB-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC[C@@H]1C ZINC001114027926 766559839 /nfs/dbraw/zinc/55/98/39/766559839.db2.gz OPRUZPPDUCZWDB-JSGCOSHPSA-N 1 2 304.394 1.319 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC[C@@H]1C ZINC001114030094 766561664 /nfs/dbraw/zinc/56/16/64/766561664.db2.gz IOSQFVBUMINSCK-ZFWWWQNUSA-N 1 2 318.421 1.709 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)n1 ZINC001114069093 766616957 /nfs/dbraw/zinc/61/69/57/766616957.db2.gz CLJWSVFWLBPOSI-SPWCGHHHSA-N 1 2 301.394 1.170 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)n1 ZINC001114069093 766616962 /nfs/dbraw/zinc/61/69/62/766616962.db2.gz CLJWSVFWLBPOSI-SPWCGHHHSA-N 1 2 301.394 1.170 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC1CC(CNc2ncccc2C#N)C1 ZINC001067856467 766758470 /nfs/dbraw/zinc/75/84/70/766758470.db2.gz BBOYLCSUFUZRSX-UHFFFAOYSA-N 1 2 324.388 1.236 20 30 DDEDLO C#Cc1cncc(C(=O)NC2CC(CNc3cc[nH+]c(C)n3)C2)c1 ZINC001067887450 766782069 /nfs/dbraw/zinc/78/20/69/766782069.db2.gz KNXNRNMOTUPALW-UHFFFAOYSA-N 1 2 321.384 1.782 20 30 DDEDLO C[C@H]1CCN(c2ncccc2C#N)C[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067965837 766842508 /nfs/dbraw/zinc/84/25/08/766842508.db2.gz RQZIVAKGCFCFBX-DZGCQCFKSA-N 1 2 324.388 1.181 20 30 DDEDLO C[C@@]1(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)C=CCC1 ZINC001046050276 766858520 /nfs/dbraw/zinc/85/85/20/766858520.db2.gz JGMYLYGPEKRMAY-DOTOQJQBSA-N 1 2 302.422 1.085 20 30 DDEDLO C[C@@H](C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)c1ccco1 ZINC001046060560 766871051 /nfs/dbraw/zinc/87/10/51/766871051.db2.gz BVASNXHLBHPQFT-HUUCEWRRSA-N 1 2 316.405 1.125 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(C)(F)CC1 ZINC001121610175 782591160 /nfs/dbraw/zinc/59/11/60/782591160.db2.gz QYSAMWRABXLEOM-STQMWFEESA-N 1 2 323.416 1.530 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(C)(F)CC1 ZINC001121610175 782591153 /nfs/dbraw/zinc/59/11/53/782591153.db2.gz QYSAMWRABXLEOM-STQMWFEESA-N 1 2 323.416 1.530 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1CCO[C@@H](C#N)C1 ZINC001121623362 782598067 /nfs/dbraw/zinc/59/80/67/782598067.db2.gz MNKYHPLLSYQKDH-AWEZNQCLSA-N 1 2 316.409 1.179 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CC(C)(C)C)C[N@@H+]1CC(=O)NCC#N ZINC001131816972 768400013 /nfs/dbraw/zinc/40/00/13/768400013.db2.gz JBDQUGVBQPRBIO-CHWSQXEVSA-N 1 2 308.426 1.031 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CC(C)(C)C)C[N@H+]1CC(=O)NCC#N ZINC001131816972 768400019 /nfs/dbraw/zinc/40/00/19/768400019.db2.gz JBDQUGVBQPRBIO-CHWSQXEVSA-N 1 2 308.426 1.031 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CN(C)C(C)=O)CC[C@H]1C ZINC001131830802 768410972 /nfs/dbraw/zinc/41/09/72/768410972.db2.gz VEVGXXPKDXPULC-DGCLKSJQSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CN(C)C(C)=O)CC[C@H]1C ZINC001131830802 768410975 /nfs/dbraw/zinc/41/09/75/768410975.db2.gz VEVGXXPKDXPULC-DGCLKSJQSA-N 1 2 301.818 1.186 20 30 DDEDLO CN(C(=O)c1cc[nH]c1)[C@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047390766 768443480 /nfs/dbraw/zinc/44/34/80/768443480.db2.gz GPWKYGAZCOUWMD-IRXDYDNUSA-N 1 2 324.384 1.204 20 30 DDEDLO CN(C(=O)c1cc[nH]c1)[C@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047390766 768443485 /nfs/dbraw/zinc/44/34/85/768443485.db2.gz GPWKYGAZCOUWMD-IRXDYDNUSA-N 1 2 324.384 1.204 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2cscn2)CC[C@@H]1C ZINC001131895484 768477732 /nfs/dbraw/zinc/47/77/32/768477732.db2.gz JKVNUANVLCVCAZ-NWDGAFQWSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2cscn2)CC[C@@H]1C ZINC001131895484 768477736 /nfs/dbraw/zinc/47/77/36/768477736.db2.gz JKVNUANVLCVCAZ-NWDGAFQWSA-N 1 2 322.434 1.028 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(Cl)c(Cl)[nH]2)C1 ZINC001047476190 768504490 /nfs/dbraw/zinc/50/44/90/768504490.db2.gz BQQGHLDVBAGTBT-QWRGUYRKSA-N 1 2 316.188 1.072 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(Cl)c(Cl)[nH]2)C1 ZINC001047476190 768504494 /nfs/dbraw/zinc/50/44/94/768504494.db2.gz BQQGHLDVBAGTBT-QWRGUYRKSA-N 1 2 316.188 1.072 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC(C)C)C1 ZINC001132020242 768576796 /nfs/dbraw/zinc/57/67/96/768576796.db2.gz DSVZVIFXKJTHCG-JKSUJKDBSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC(C)C)C1 ZINC001132020242 768576800 /nfs/dbraw/zinc/57/68/00/768576800.db2.gz DSVZVIFXKJTHCG-JKSUJKDBSA-N 1 2 321.465 1.387 20 30 DDEDLO CCCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[C@H]1C ZINC001132033846 768580792 /nfs/dbraw/zinc/58/07/92/768580792.db2.gz MBDRVNFMWAXRTL-CABCVRRESA-N 1 2 321.465 1.531 20 30 DDEDLO CCCNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[C@H]1C ZINC001132033846 768580796 /nfs/dbraw/zinc/58/07/96/768580796.db2.gz MBDRVNFMWAXRTL-CABCVRRESA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2ccon2)C1 ZINC001132029043 768587124 /nfs/dbraw/zinc/58/71/24/768587124.db2.gz GAFPLGOBPYXDCD-UONOGXRCSA-N 1 2 305.378 1.184 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2ccon2)C1 ZINC001132029043 768587125 /nfs/dbraw/zinc/58/71/25/768587125.db2.gz GAFPLGOBPYXDCD-UONOGXRCSA-N 1 2 305.378 1.184 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001096218618 768587974 /nfs/dbraw/zinc/58/79/74/768587974.db2.gz KBBVFILLMHCQAN-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO CN(C(=O)C(C)(C)C)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047606565 768605892 /nfs/dbraw/zinc/60/58/92/768605892.db2.gz MASCUEBRWVGLNA-IRXDYDNUSA-N 1 2 314.429 1.588 20 30 DDEDLO CN(C(=O)C(C)(C)C)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047606565 768605894 /nfs/dbraw/zinc/60/58/94/768605894.db2.gz MASCUEBRWVGLNA-IRXDYDNUSA-N 1 2 314.429 1.588 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C2(C)CC=CC2)CC[C@@H]1C ZINC001132265521 768720487 /nfs/dbraw/zinc/72/04/87/768720487.db2.gz OCEDLNQQFQGTEC-GJZGRUSLSA-N 1 2 317.433 1.061 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C2(C)CC=CC2)CC[C@@H]1C ZINC001132265521 768720493 /nfs/dbraw/zinc/72/04/93/768720493.db2.gz OCEDLNQQFQGTEC-GJZGRUSLSA-N 1 2 317.433 1.061 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(-c3ccccn3)no1)C2 ZINC001096249159 768754711 /nfs/dbraw/zinc/75/47/11/768754711.db2.gz ORVGPMVFDYKSLM-KCXAZCMYSA-N 1 2 322.368 1.705 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(-c3ccccn3)no1)C2 ZINC001096249159 768754716 /nfs/dbraw/zinc/75/47/16/768754716.db2.gz ORVGPMVFDYKSLM-KCXAZCMYSA-N 1 2 322.368 1.705 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CC2CC(C)(C)C2)CC1 ZINC001070991247 768846927 /nfs/dbraw/zinc/84/69/27/768846927.db2.gz JNQNFQLYBIOEGR-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CC2CC(C)(C)C2)CC1 ZINC001070991247 768846943 /nfs/dbraw/zinc/84/69/43/768846943.db2.gz JNQNFQLYBIOEGR-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(c2ncccc2C#N)CC1 ZINC001096272691 768905592 /nfs/dbraw/zinc/90/55/92/768905592.db2.gz YAHHZFFGBHQSJD-UHFFFAOYSA-N 1 2 313.405 1.158 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001071123026 768977439 /nfs/dbraw/zinc/97/74/39/768977439.db2.gz KKIIRGIZLZQWIK-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)C#CC1CC1)C[C@H](C)O2 ZINC001071163916 769082054 /nfs/dbraw/zinc/08/20/54/769082054.db2.gz DEJZQRPLRNZISO-YOEHRIQHSA-N 1 2 322.836 1.844 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)C#CC1CC1)C[C@H](C)O2 ZINC001071163916 769082062 /nfs/dbraw/zinc/08/20/62/769082062.db2.gz DEJZQRPLRNZISO-YOEHRIQHSA-N 1 2 322.836 1.844 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2)CC[C@H]1C ZINC001071384358 769365488 /nfs/dbraw/zinc/36/54/88/769365488.db2.gz WBCBYDSRABLUEI-OCCSQVGLSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2)CC[C@H]1C ZINC001071384358 769365497 /nfs/dbraw/zinc/36/54/97/769365497.db2.gz WBCBYDSRABLUEI-OCCSQVGLSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H]2CN(C(=O)CCc3cnc[nH]3)C[C@H]2C1 ZINC001048854561 769992227 /nfs/dbraw/zinc/99/22/27/769992227.db2.gz CWRDSKNHGQEEEO-BETUJISGSA-N 1 2 308.813 1.485 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H]2CN(C(=O)CCc3cnc[nH]3)C[C@H]2C1 ZINC001048854561 769992234 /nfs/dbraw/zinc/99/22/34/769992234.db2.gz CWRDSKNHGQEEEO-BETUJISGSA-N 1 2 308.813 1.485 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2nnc[nH]2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071908389 770265337 /nfs/dbraw/zinc/26/53/37/770265337.db2.gz UEFZPQZSQUWXFH-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2nnc[nH]2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071908389 770265339 /nfs/dbraw/zinc/26/53/39/770265339.db2.gz UEFZPQZSQUWXFH-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2ncn[nH]2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071908389 770265341 /nfs/dbraw/zinc/26/53/41/770265341.db2.gz UEFZPQZSQUWXFH-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2ncn[nH]2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071908389 770265342 /nfs/dbraw/zinc/26/53/42/770265342.db2.gz UEFZPQZSQUWXFH-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnc(OC)nc2)CC[C@H]1C ZINC001071957540 770348387 /nfs/dbraw/zinc/34/83/87/770348387.db2.gz JYZWUPYWWFNDIJ-DGCLKSJQSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnc(OC)nc2)CC[C@H]1C ZINC001071957540 770348399 /nfs/dbraw/zinc/34/83/99/770348399.db2.gz JYZWUPYWWFNDIJ-DGCLKSJQSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnc(OC)nc2)CC[C@H]1C ZINC001071957542 770348472 /nfs/dbraw/zinc/34/84/72/770348472.db2.gz JYZWUPYWWFNDIJ-YPMHNXCESA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnc(OC)nc2)CC[C@H]1C ZINC001071957542 770348481 /nfs/dbraw/zinc/34/84/81/770348481.db2.gz JYZWUPYWWFNDIJ-YPMHNXCESA-N 1 2 324.812 1.820 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1CCN(c2ncccc2C#N)C1 ZINC001096492693 770475920 /nfs/dbraw/zinc/47/59/20/770475920.db2.gz ZJKKGUMSOSRSFC-JSGCOSHPSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1CCN(c2ncccc2C#N)C1 ZINC001096492693 770475926 /nfs/dbraw/zinc/47/59/26/770475926.db2.gz ZJKKGUMSOSRSFC-JSGCOSHPSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1CCN(c2ncccc2C#N)C1 ZINC001096492695 770475992 /nfs/dbraw/zinc/47/59/92/770475992.db2.gz ZJKKGUMSOSRSFC-OCCSQVGLSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1CCN(c2ncccc2C#N)C1 ZINC001096492695 770475994 /nfs/dbraw/zinc/47/59/94/770475994.db2.gz ZJKKGUMSOSRSFC-OCCSQVGLSA-N 1 2 324.388 1.250 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)n1 ZINC001049382190 770762749 /nfs/dbraw/zinc/76/27/49/770762749.db2.gz NCSJGNHQFTZGQY-WCFLWFBJSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)n1 ZINC001049382190 770762751 /nfs/dbraw/zinc/76/27/51/770762751.db2.gz NCSJGNHQFTZGQY-WCFLWFBJSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@@H]4[C@@H]3CCN4CC#N)ccn12 ZINC001049477370 770906148 /nfs/dbraw/zinc/90/61/48/770906148.db2.gz GMFKWUOYRGUHBK-CVEARBPZSA-N 1 2 323.400 1.845 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccc2[nH]cnc21 ZINC001049807321 771176017 /nfs/dbraw/zinc/17/60/17/771176017.db2.gz LQSJHABOFXZPSM-HOTGVXAUSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccc2[nH]cnc21 ZINC001049807321 771176021 /nfs/dbraw/zinc/17/60/21/771176021.db2.gz LQSJHABOFXZPSM-HOTGVXAUSA-N 1 2 308.385 1.875 20 30 DDEDLO C=CCCn1cc(C(=O)NCC[NH2+][C@@H](C)c2ncc(C)o2)nn1 ZINC001135075215 771309706 /nfs/dbraw/zinc/30/97/06/771309706.db2.gz BRIDBSMGYASYPL-LBPRGKRZSA-N 1 2 318.381 1.231 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)n1cncn1)C2 ZINC001096976336 771541658 /nfs/dbraw/zinc/54/16/58/771541658.db2.gz FLPDGVQTJPJMGD-LPWJVIDDSA-N 1 2 309.801 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)n1cncn1)C2 ZINC001096976336 771541661 /nfs/dbraw/zinc/54/16/61/771541661.db2.gz FLPDGVQTJPJMGD-LPWJVIDDSA-N 1 2 309.801 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(OC)o2)[C@H](O)C1 ZINC001090674622 772095358 /nfs/dbraw/zinc/09/53/58/772095358.db2.gz XUZYCIFRBWRXEG-GHMZBOCLSA-N 1 2 314.769 1.206 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(OC)o2)[C@H](O)C1 ZINC001090674622 772095364 /nfs/dbraw/zinc/09/53/64/772095364.db2.gz XUZYCIFRBWRXEG-GHMZBOCLSA-N 1 2 314.769 1.206 20 30 DDEDLO C=CC[N@H+]1CC[C@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@@H](O)C1 ZINC001090696722 772121762 /nfs/dbraw/zinc/12/17/62/772121762.db2.gz XGHGVNZHMPRQGG-ZFWWWQNUSA-N 1 2 314.389 1.222 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@@H](O)C1 ZINC001090696722 772121764 /nfs/dbraw/zinc/12/17/64/772121764.db2.gz XGHGVNZHMPRQGG-ZFWWWQNUSA-N 1 2 314.389 1.222 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](Cc2ccn(CC)n2)CCCO1 ZINC001149362489 772454998 /nfs/dbraw/zinc/45/49/98/772454998.db2.gz RNRKEYQTODSZOJ-INIZCTEOSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](Cc2ccn(CC)n2)CCCO1 ZINC001149362489 772455001 /nfs/dbraw/zinc/45/50/01/772455001.db2.gz RNRKEYQTODSZOJ-INIZCTEOSA-N 1 2 320.437 1.576 20 30 DDEDLO N#Cc1cccnc1N[C@H]1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001091411192 772733371 /nfs/dbraw/zinc/73/33/71/772733371.db2.gz UCMUFNSDIIWLJX-BJHJDKERSA-N 1 2 310.361 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CN(C(=O)CCc3nc[nH]n3)C[C@]2(C)C1 ZINC001091578558 772897721 /nfs/dbraw/zinc/89/77/21/772897721.db2.gz HVSSXTPBFPGVBJ-DOMZBBRYSA-N 1 2 323.828 1.270 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CN(C(=O)CCc3nc[nH]n3)C[C@]2(C)C1 ZINC001091578558 772897722 /nfs/dbraw/zinc/89/77/22/772897722.db2.gz HVSSXTPBFPGVBJ-DOMZBBRYSA-N 1 2 323.828 1.270 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1ncnn1C(C)C)C2 ZINC001147165866 773057465 /nfs/dbraw/zinc/05/74/65/773057465.db2.gz LGOMJIYRVYTKHT-UHFFFAOYSA-N 1 2 317.437 1.860 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1ncnn1C(C)C)C2 ZINC001147165866 773057469 /nfs/dbraw/zinc/05/74/69/773057469.db2.gz LGOMJIYRVYTKHT-UHFFFAOYSA-N 1 2 317.437 1.860 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ccn(C)n1 ZINC001073972920 773566330 /nfs/dbraw/zinc/56/63/30/773566330.db2.gz JZRFDHVGPYYPHW-UKRRQHHQSA-N 1 2 303.410 1.393 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ccn(C)n1 ZINC001073972920 773566335 /nfs/dbraw/zinc/56/63/35/773566335.db2.gz JZRFDHVGPYYPHW-UKRRQHHQSA-N 1 2 303.410 1.393 20 30 DDEDLO N#CCN[C@@H]1CC[C@H](CNC(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC001086851846 773677205 /nfs/dbraw/zinc/67/72/05/773677205.db2.gz ZTYGAYLCCAENQY-UONOGXRCSA-N 1 2 324.388 1.279 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ncoc3CC)C[C@H]21 ZINC001074196017 773720345 /nfs/dbraw/zinc/72/03/45/773720345.db2.gz DUKXZSKPLVXOMN-UKRRQHHQSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ncoc3CC)C[C@H]21 ZINC001074196017 773720348 /nfs/dbraw/zinc/72/03/48/773720348.db2.gz DUKXZSKPLVXOMN-UKRRQHHQSA-N 1 2 319.405 1.728 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccon3)C[C@@H]21 ZINC001074199660 773723377 /nfs/dbraw/zinc/72/33/77/773723377.db2.gz GRMRRUYDUOUFKT-GJZGRUSLSA-N 1 2 305.378 1.095 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccon3)C[C@@H]21 ZINC001074199660 773723381 /nfs/dbraw/zinc/72/33/81/773723381.db2.gz GRMRRUYDUOUFKT-GJZGRUSLSA-N 1 2 305.378 1.095 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cccnc3)C[C@H]21 ZINC001074215194 773736822 /nfs/dbraw/zinc/73/68/22/773736822.db2.gz DHLGXFWAKAFSJL-SJORKVTESA-N 1 2 315.417 1.502 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cccnc3)C[C@H]21 ZINC001074215194 773736824 /nfs/dbraw/zinc/73/68/24/773736824.db2.gz DHLGXFWAKAFSJL-SJORKVTESA-N 1 2 315.417 1.502 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@H]21 ZINC001074320068 773820681 /nfs/dbraw/zinc/82/06/81/773820681.db2.gz WNICXTRXQZEJDG-HMDCTGQHSA-N 1 2 304.434 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@H]21 ZINC001074320068 773820685 /nfs/dbraw/zinc/82/06/85/773820685.db2.gz WNICXTRXQZEJDG-HMDCTGQHSA-N 1 2 304.434 1.910 20 30 DDEDLO CC(C)C#CC(=O)NCC1(Nc2[nH+]cnc3c2cnn3C)CC1 ZINC001110128338 773933892 /nfs/dbraw/zinc/93/38/92/773933892.db2.gz ZRKNWACCYZKYSN-UHFFFAOYSA-N 1 2 312.377 1.083 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092174350 773981586 /nfs/dbraw/zinc/98/15/86/773981586.db2.gz YPBFRUCTCBYBJX-KGLIPLIRSA-N 1 2 318.421 1.443 20 30 DDEDLO N#Cc1ccc(NC2CC(CNC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001092213124 774009035 /nfs/dbraw/zinc/00/90/35/774009035.db2.gz SLNHWPRNQLYDAN-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO Cc1ccc(C#N)c(NC2CC(CNC(=O)Cn3cc[nH+]c3)C2)n1 ZINC001092227221 774016534 /nfs/dbraw/zinc/01/65/34/774016534.db2.gz FCEQVYUTIGVIOT-UHFFFAOYSA-N 1 2 324.388 1.465 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCC1CC(Nc2ccc(C#N)cn2)C1 ZINC001092233443 774021722 /nfs/dbraw/zinc/02/17/22/774021722.db2.gz YNQRAQAOGYJXRC-UHFFFAOYSA-N 1 2 324.388 1.236 20 30 DDEDLO Cc1nc(NC2CC(CNC(=O)Cc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001092268963 774037163 /nfs/dbraw/zinc/03/71/63/774037163.db2.gz NKOFXJBWNZGWBS-UHFFFAOYSA-N 1 2 324.388 1.534 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC=CCC1)c1nccn12 ZINC001092344910 774064329 /nfs/dbraw/zinc/06/43/29/774064329.db2.gz VAXBTDZDIAWRKG-CVEARBPZSA-N 1 2 324.428 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H]1CCOC1)c1nccn12 ZINC001092356113 774072130 /nfs/dbraw/zinc/07/21/30/774072130.db2.gz GFNYJUBOYVOEQG-KBPBESRZSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1C[C@@H]1C(F)F)c1nccn12 ZINC001092365664 774104816 /nfs/dbraw/zinc/10/48/16/774104816.db2.gz JZHZLAHKPCRWNX-SRVKXCTJSA-N 1 2 322.359 1.542 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]cc1C)c1nccn12 ZINC001092412408 774124593 /nfs/dbraw/zinc/12/45/93/774124593.db2.gz DRRGYCVBXOZXCU-HNNXBMFYSA-N 1 2 323.400 1.429 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)[C@@H](C)C1 ZINC001074883458 774214495 /nfs/dbraw/zinc/21/44/95/774214495.db2.gz GTXWYONQAQEAFE-KBPBESRZSA-N 1 2 318.421 1.425 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@H]1C ZINC001075211516 774425202 /nfs/dbraw/zinc/42/52/02/774425202.db2.gz XDPKLJTXPRMDSD-OLZOCXBDSA-N 1 2 304.394 1.414 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@H]3[C@H](CCN3CC#N)C2)c[nH+]1 ZINC001036749179 774471373 /nfs/dbraw/zinc/47/13/73/774471373.db2.gz RKZXFUAHFRUKAE-CABCVRRESA-N 1 2 315.421 1.373 20 30 DDEDLO C[C@H](CNC(=O)C[C@@H](C)n1cc[nH+]c1)Nc1cnc(C#N)cn1 ZINC001098302086 774530434 /nfs/dbraw/zinc/53/04/34/774530434.db2.gz CAZQOKGFUKDECF-VXGBXAGGSA-N 1 2 313.365 1.113 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3CCCCC3)C2)nn1 ZINC001098601275 774619481 /nfs/dbraw/zinc/61/94/81/774619481.db2.gz NZKUCUHWEOQLHV-MRXNPFEDSA-N 1 2 315.421 1.355 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CCCC=C)C2)nn1 ZINC001098711917 774650515 /nfs/dbraw/zinc/65/05/15/774650515.db2.gz YUVCHHMCPZDVJP-HNNXBMFYSA-N 1 2 301.394 1.131 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@H]21 ZINC001036819578 774708792 /nfs/dbraw/zinc/70/87/92/774708792.db2.gz VNEXADDLXJPJAV-SMDDNHRTSA-N 1 2 322.359 1.907 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@H]21 ZINC001036819578 774708796 /nfs/dbraw/zinc/70/87/96/774708796.db2.gz VNEXADDLXJPJAV-SMDDNHRTSA-N 1 2 322.359 1.907 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H]3CC3(F)F)CC2)C1 ZINC001093533444 774787524 /nfs/dbraw/zinc/78/75/24/774787524.db2.gz MKMUDJISCFHCSD-AWEZNQCLSA-N 1 2 314.376 1.910 20 30 DDEDLO C[C@@H](CCNC(=O)C#CC1CC1)Nc1[nH+]cnc2c1cnn2C ZINC001099086464 774821160 /nfs/dbraw/zinc/82/11/60/774821160.db2.gz ABUSMKXLILXBNH-NSHDSACASA-N 1 2 312.377 1.083 20 30 DDEDLO Cc1nc(NCCNC(=O)c2c[nH]c(C#N)c2)c2c([nH+]1)CCCC2 ZINC001093558362 774884118 /nfs/dbraw/zinc/88/41/18/774884118.db2.gz PXLNOPVZODUMJJ-UHFFFAOYSA-N 1 2 324.388 1.706 20 30 DDEDLO C[NH+](C)[C@H](C(=O)NCCNc1ncccc1C#N)c1cccnc1 ZINC001093682803 774975756 /nfs/dbraw/zinc/97/57/56/774975756.db2.gz HISHONOCRBFVNO-HNNXBMFYSA-N 1 2 324.388 1.179 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[C@@H](C)Nc1cc[nH+]c(C)n1 ZINC001099710823 775168132 /nfs/dbraw/zinc/16/81/32/775168132.db2.gz IIPGGDOYQXIQPS-BNOWGMLFSA-N 1 2 304.394 1.683 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094160804 775503688 /nfs/dbraw/zinc/50/36/88/775503688.db2.gz JZCPRJOCAPHSMF-UHFFFAOYSA-N 1 2 306.414 1.376 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CC[C@H](C)CC3)nn2)C1 ZINC001094334309 775719762 /nfs/dbraw/zinc/71/97/62/775719762.db2.gz PEMANPBIQLBYAS-HDJSIYSDSA-N 1 2 317.437 1.763 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(CNC(=O)c3ncn[nH]3)CCC[C@@H]12 ZINC001094537702 775999161 /nfs/dbraw/zinc/99/91/61/775999161.db2.gz HRLPMBCPVVLIEP-BXUZGUMPSA-N 1 2 309.801 1.532 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(CNC(=O)c3ncn[nH]3)CCC[C@@H]12 ZINC001094537702 775999176 /nfs/dbraw/zinc/99/91/76/775999176.db2.gz HRLPMBCPVVLIEP-BXUZGUMPSA-N 1 2 309.801 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(CNC(=O)c3nc[nH]n3)CCC[C@@H]12 ZINC001094537702 775999182 /nfs/dbraw/zinc/99/91/82/775999182.db2.gz HRLPMBCPVVLIEP-BXUZGUMPSA-N 1 2 309.801 1.532 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(CNC(=O)c3nc[nH]n3)CCC[C@@H]12 ZINC001094537702 775999188 /nfs/dbraw/zinc/99/91/88/775999188.db2.gz HRLPMBCPVVLIEP-BXUZGUMPSA-N 1 2 309.801 1.532 20 30 DDEDLO CN(CCNC(=O)Cc1c[nH]c[nH+]1)c1ncc(C#N)cc1Cl ZINC001100354251 776044207 /nfs/dbraw/zinc/04/42/07/776044207.db2.gz RUNXUEKLYUQCPH-UHFFFAOYSA-N 1 2 318.768 1.125 20 30 DDEDLO CCN(CCNC(=O)CCc1[nH]cc[nH+]1)c1ccc(C#N)nc1 ZINC001100510299 776255823 /nfs/dbraw/zinc/25/58/23/776255823.db2.gz YNZMQDFGJQIDPY-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C[C@H](CC(=O)NCCCNc1ccc(C#N)nn1)n1cc[nH+]c1 ZINC001094824549 776348465 /nfs/dbraw/zinc/34/84/65/776348465.db2.gz QCELFOWWXZINIV-GFCCVEGCSA-N 1 2 313.365 1.114 20 30 DDEDLO Cc1nc(N2CCC[C@H]2CNC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001100859444 776642463 /nfs/dbraw/zinc/64/24/63/776642463.db2.gz SQJNGVJSZQGZKE-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO N#Cc1cc(N)c(Nc2ccc(C[NH+]3CC(O)C3)cc2)cc1C#N ZINC001212671605 776834386 /nfs/dbraw/zinc/83/43/86/776834386.db2.gz QNIZNXBWGKNWTJ-UHFFFAOYSA-N 1 2 319.368 1.932 20 30 DDEDLO N#Cc1cccnc1N1CC[C@H](CNC(=O)CCn2cc[nH+]c2)C1 ZINC001101033601 776841937 /nfs/dbraw/zinc/84/19/37/776841937.db2.gz BJVNINCMLTYJIR-CQSZACIVSA-N 1 2 324.388 1.183 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@@H](N2CC[NH2+]C[C@H]2C#N)C[C@H]1C#N ZINC001172979446 776949667 /nfs/dbraw/zinc/94/96/67/776949667.db2.gz DMKNJARRFOTDNF-HZSPNIEDSA-N 1 2 319.409 1.075 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@@]2(C)C1 ZINC001101217423 776992984 /nfs/dbraw/zinc/99/29/84/776992984.db2.gz YYDSHBHMWXJAMV-PBHICJAKSA-N 1 2 316.405 1.462 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2C[N@H+](Cc3nc(C)no3)C[C@@]2(C)C1 ZINC001101217423 776992988 /nfs/dbraw/zinc/99/29/88/776992988.db2.gz YYDSHBHMWXJAMV-PBHICJAKSA-N 1 2 316.405 1.462 20 30 DDEDLO Cc1cc(N(CCNC(=O)[C@@H](C)C#N)C2CC2)nc(C2CC2)[nH+]1 ZINC001101320229 777065001 /nfs/dbraw/zinc/06/50/01/777065001.db2.gz PUDAGRWZHFXPCU-NSHDSACASA-N 1 2 313.405 1.907 20 30 DDEDLO C=CCOCC(=O)N(C)CCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001101546001 777235755 /nfs/dbraw/zinc/23/57/55/777235755.db2.gz CZMDQMVUUUOIBZ-UHFFFAOYSA-N 1 2 304.394 1.735 20 30 DDEDLO CCOC(=O)C[C@H]1CC[C@H]([NH2+][C@@H](CS)C(=O)OCC)C1 ZINC001173624643 777281883 /nfs/dbraw/zinc/28/18/83/777281883.db2.gz ANFXINWFBVFSID-SRVKXCTJSA-N 1 2 303.424 1.559 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CSC(C)C)c1nccn12 ZINC001101610370 777301215 /nfs/dbraw/zinc/30/12/15/777301215.db2.gz MLCMJQZKBTYPBW-ZDUSSCGKSA-N 1 2 320.462 1.783 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)SC)c1nccn12 ZINC001101611384 777302149 /nfs/dbraw/zinc/30/21/49/777302149.db2.gz AZXZNLKAQHQWFA-QWHCGFSZSA-N 1 2 318.446 1.230 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](F)CC)c1nccn12 ZINC001101638541 777335876 /nfs/dbraw/zinc/33/58/76/777335876.db2.gz YNIZBKXPCVGSPV-STQMWFEESA-N 1 2 304.369 1.226 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)C(C)(F)F)c1nccn12 ZINC001101645150 777341615 /nfs/dbraw/zinc/34/16/15/777341615.db2.gz YKHMOGKNHYLMSN-VXGBXAGGSA-N 1 2 324.375 1.932 20 30 DDEDLO C=CCOc1ccc(CC(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)cc1 ZINC001174949855 777645597 /nfs/dbraw/zinc/64/55/97/777645597.db2.gz LHFNXDNUBHXVGC-HNNXBMFYSA-N 1 2 315.373 1.237 20 30 DDEDLO C=CCOc1ccc(CC(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)cc1 ZINC001174949855 777645604 /nfs/dbraw/zinc/64/56/04/777645604.db2.gz LHFNXDNUBHXVGC-HNNXBMFYSA-N 1 2 315.373 1.237 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ncnn2C)C[C@H]1CNC(=O)C#CC(C)(C)C ZINC001101955074 777729012 /nfs/dbraw/zinc/72/90/12/777729012.db2.gz RGJZVUVLMAQSPM-ZIAGYGMSSA-N 1 2 317.437 1.049 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ncnn2C)C[C@H]1CNC(=O)C#CC(C)(C)C ZINC001101955074 777729021 /nfs/dbraw/zinc/72/90/21/777729021.db2.gz RGJZVUVLMAQSPM-ZIAGYGMSSA-N 1 2 317.437 1.049 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CCC3)C[C@@H]21 ZINC001176875232 778284798 /nfs/dbraw/zinc/28/47/98/778284798.db2.gz FQBBIOXEFLWFIU-HOTGVXAUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CCC3)C[C@@H]21 ZINC001176875232 778284802 /nfs/dbraw/zinc/28/48/02/778284802.db2.gz FQBBIOXEFLWFIU-HOTGVXAUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102675814 778297472 /nfs/dbraw/zinc/29/74/72/778297472.db2.gz YPSNQCAAJPRZAM-DZGCQCFKSA-N 1 2 318.421 1.803 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C=C(C)C)C[C@@H]21 ZINC001176900947 778330897 /nfs/dbraw/zinc/33/08/97/778330897.db2.gz UAFDPRPDWGFMNH-HOTGVXAUSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C=C(C)C)C[C@@H]21 ZINC001176900947 778330903 /nfs/dbraw/zinc/33/09/03/778330903.db2.gz UAFDPRPDWGFMNH-HOTGVXAUSA-N 1 2 308.422 1.457 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCOCC3CC3)C[C@H]21 ZINC001177011315 778389303 /nfs/dbraw/zinc/38/93/03/778389303.db2.gz AIIRZQSGJZCPIY-SJORKVTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCOCC3CC3)C[C@H]21 ZINC001177011315 778389306 /nfs/dbraw/zinc/38/93/06/778389306.db2.gz AIIRZQSGJZCPIY-SJORKVTESA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3(COC)CC3)C[C@H]21 ZINC001177011576 778390967 /nfs/dbraw/zinc/39/09/67/778390967.db2.gz DKROFIRXEKUAFN-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3(COC)CC3)C[C@H]21 ZINC001177011576 778390971 /nfs/dbraw/zinc/39/09/71/778390971.db2.gz DKROFIRXEKUAFN-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CC)[C@H]3C2)CCOCC1 ZINC001177029731 778400458 /nfs/dbraw/zinc/40/04/58/778400458.db2.gz IIXWDXLSSHGWMT-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]3OCC[N@H+](CC)[C@H]3C2)CCOCC1 ZINC001177029731 778400461 /nfs/dbraw/zinc/40/04/61/778400461.db2.gz IIXWDXLSSHGWMT-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(CCF)CC3)C[C@@H]21 ZINC001177055173 778416301 /nfs/dbraw/zinc/41/63/01/778416301.db2.gz IULLSWWBNSNFBZ-GJZGRUSLSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CCF)CC3)C[C@@H]21 ZINC001177055173 778416303 /nfs/dbraw/zinc/41/63/03/778416303.db2.gz IULLSWWBNSNFBZ-GJZGRUSLSA-N 1 2 308.397 1.451 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCCO)[C@@H]2C1 ZINC001177101402 778446637 /nfs/dbraw/zinc/44/66/37/778446637.db2.gz MIDJMDFXZLMHKS-HUUCEWRRSA-N 1 2 310.438 1.273 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCCO)[C@@H]2C1 ZINC001177101402 778446641 /nfs/dbraw/zinc/44/66/41/778446641.db2.gz MIDJMDFXZLMHKS-HUUCEWRRSA-N 1 2 310.438 1.273 20 30 DDEDLO CC[C@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1ncc(C#N)cc1F ZINC001103161820 778680442 /nfs/dbraw/zinc/68/04/42/778680442.db2.gz KZTFINNWVQPFJF-LLVKDONJSA-N 1 2 316.340 1.365 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)CNc2cc[nH+]c(C)n2)nc1 ZINC001104027988 779253232 /nfs/dbraw/zinc/25/32/32/779253232.db2.gz LIMBQMJUEYFAFE-GFCCVEGCSA-N 1 2 309.373 1.639 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC1(Nc2ncccc2C#N)CCC1 ZINC001111828996 779502075 /nfs/dbraw/zinc/50/20/75/779502075.db2.gz NKVIMXYFZLESGF-UHFFFAOYSA-N 1 2 324.388 1.678 20 30 DDEDLO C[C@H](CCCNc1ccc(C#N)nn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115089126 779869438 /nfs/dbraw/zinc/86/94/38/779869438.db2.gz RIIJZURPDHIBBD-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@@H](CN(C)C(=O)Cc1c[nH+]cn1C)Nc1ccc(C#N)cn1 ZINC001115778943 780423978 /nfs/dbraw/zinc/42/39/78/780423978.db2.gz AOBXSPQINUQOOC-LBPRGKRZSA-N 1 2 312.377 1.188 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)C[C@@H](C)Nc1ccc(C#N)cn1 ZINC001115801513 780445035 /nfs/dbraw/zinc/44/50/35/780445035.db2.gz HWHFRDXNYGJLAF-LLVKDONJSA-N 1 2 312.377 1.486 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC001117348159 780731419 /nfs/dbraw/zinc/73/14/19/780731419.db2.gz ARSVURFBZCAPNR-CYBMUJFWSA-N 1 2 304.394 1.587 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC001117348159 780731427 /nfs/dbraw/zinc/73/14/27/780731427.db2.gz ARSVURFBZCAPNR-CYBMUJFWSA-N 1 2 304.394 1.587 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)c1ccccc1 ZINC001118123230 781037875 /nfs/dbraw/zinc/03/78/75/781037875.db2.gz IATPNHYTLNLPOL-GOEBONIOSA-N 1 2 301.390 1.381 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@H+]1CCO[C@@H](C)C1)c1ccccc1 ZINC001118123230 781037881 /nfs/dbraw/zinc/03/78/81/781037881.db2.gz IATPNHYTLNLPOL-GOEBONIOSA-N 1 2 301.390 1.381 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CCC(C)(O)CC3)[nH+]cn2)C1 ZINC001118530202 781150757 /nfs/dbraw/zinc/15/07/57/781150757.db2.gz MWJMKSUPJROKJC-CQSZACIVSA-N 1 2 318.421 1.609 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CCC(C)(O)CC3)nc[nH+]2)C1 ZINC001118530202 781150760 /nfs/dbraw/zinc/15/07/60/781150760.db2.gz MWJMKSUPJROKJC-CQSZACIVSA-N 1 2 318.421 1.609 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1cn(-c2ccc(F)cc2)nn1)[C@H]1CCCO1 ZINC001118805245 781243035 /nfs/dbraw/zinc/24/30/35/781243035.db2.gz XMCURJRRLJIKJA-HZPDHXFCSA-N 1 2 300.337 1.677 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001267295219 837771462 /nfs/dbraw/zinc/77/14/62/837771462.db2.gz RVGXMQWMOWVREQ-AWEZNQCLSA-N 1 2 319.453 1.838 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@H+](Cc2cnn(CC)n2)C1 ZINC001267295219 837771469 /nfs/dbraw/zinc/77/14/69/837771469.db2.gz RVGXMQWMOWVREQ-AWEZNQCLSA-N 1 2 319.453 1.838 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCNC(=O)CCC)c1 ZINC001266250032 835988283 /nfs/dbraw/zinc/98/82/83/835988283.db2.gz BBHBPVXYZFAQPQ-UHFFFAOYSA-N 1 2 301.390 1.455 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCNC(=O)CCC)c1 ZINC001266250032 835988289 /nfs/dbraw/zinc/98/82/89/835988289.db2.gz BBHBPVXYZFAQPQ-UHFFFAOYSA-N 1 2 301.390 1.455 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC)C1)c1ccccc1 ZINC001266252760 835990143 /nfs/dbraw/zinc/99/01/43/835990143.db2.gz ZNAXWWPJTXGXDN-HZPDHXFCSA-N 1 2 315.417 1.283 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1CCC[N@H+](CC(=O)NC)C1)c1ccccc1 ZINC001266252760 835990148 /nfs/dbraw/zinc/99/01/48/835990148.db2.gz ZNAXWWPJTXGXDN-HZPDHXFCSA-N 1 2 315.417 1.283 20 30 DDEDLO C=CCCC(=O)NCCN1CC[NH+](Cc2cnoc2C)CC1 ZINC001266281091 836036635 /nfs/dbraw/zinc/03/66/35/836036635.db2.gz FJDYCLNKBISDRP-UHFFFAOYSA-N 1 2 306.410 1.183 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(Cc2cnoc2C)CC1 ZINC001266281091 836036645 /nfs/dbraw/zinc/03/66/45/836036645.db2.gz FJDYCLNKBISDRP-UHFFFAOYSA-N 1 2 306.410 1.183 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2cnoc2C(C)C)C1 ZINC001266349819 836156164 /nfs/dbraw/zinc/15/61/64/836156164.db2.gz MXZXSSPAPBRBLS-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2cnoc2C(C)C)C1 ZINC001266349819 836156168 /nfs/dbraw/zinc/15/61/68/836156168.db2.gz MXZXSSPAPBRBLS-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@H+]1Cc1nccc(C)n1 ZINC001266458607 836288119 /nfs/dbraw/zinc/28/81/19/836288119.db2.gz YMIHLBKLKYCQKE-OAHLLOKOSA-N 1 2 300.406 1.669 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1nccc(C)n1 ZINC001266458607 836288130 /nfs/dbraw/zinc/28/81/30/836288130.db2.gz YMIHLBKLKYCQKE-OAHLLOKOSA-N 1 2 300.406 1.669 20 30 DDEDLO C=CCC[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CS(C)(=O)=O ZINC001266478388 836324758 /nfs/dbraw/zinc/32/47/58/836324758.db2.gz MFWZGRURPDRYOP-MCIONIFRSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCC[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CS(C)(=O)=O ZINC001266478388 836324769 /nfs/dbraw/zinc/32/47/69/836324769.db2.gz MFWZGRURPDRYOP-MCIONIFRSA-N 1 2 314.451 1.061 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(CCOC)CC1 ZINC001266489648 836340486 /nfs/dbraw/zinc/34/04/86/836340486.db2.gz MOCPBVFOXYWTOI-IYBDPMFKSA-N 1 2 320.433 1.128 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(CCOC)CC1 ZINC001266489648 836340499 /nfs/dbraw/zinc/34/04/99/836340499.db2.gz MOCPBVFOXYWTOI-IYBDPMFKSA-N 1 2 320.433 1.128 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+]2CC[C@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001266511925 836388390 /nfs/dbraw/zinc/38/83/90/836388390.db2.gz UUGISXWEKPHJPI-TZMCWYRMSA-N 1 2 315.421 1.280 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+]2CC[C@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001266511925 836388399 /nfs/dbraw/zinc/38/83/99/836388399.db2.gz UUGISXWEKPHJPI-TZMCWYRMSA-N 1 2 315.421 1.280 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@@H+](Cc2ncnn2C)C1 ZINC001266537048 836432970 /nfs/dbraw/zinc/43/29/70/836432970.db2.gz CWIPBSAHOIVKBR-ZDUSSCGKSA-N 1 2 305.426 1.356 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@H+](Cc2ncnn2C)C1 ZINC001266537048 836432976 /nfs/dbraw/zinc/43/29/76/836432976.db2.gz CWIPBSAHOIVKBR-ZDUSSCGKSA-N 1 2 305.426 1.356 20 30 DDEDLO COc1cc(C[N@H+](CCNC(=O)C#CC(C)C)C2CC2)sn1 ZINC001266590782 836505564 /nfs/dbraw/zinc/50/55/64/836505564.db2.gz PQNSSMQZVBTDOP-UHFFFAOYSA-N 1 2 321.446 1.892 20 30 DDEDLO COc1cc(C[N@@H+](CCNC(=O)C#CC(C)C)C2CC2)sn1 ZINC001266590782 836505568 /nfs/dbraw/zinc/50/55/68/836505568.db2.gz PQNSSMQZVBTDOP-UHFFFAOYSA-N 1 2 321.446 1.892 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@@H+](Cc2cncn2C)C2CC2)cc1 ZINC001266604657 836522983 /nfs/dbraw/zinc/52/29/83/836522983.db2.gz MMOSNDJXUPSUIR-UHFFFAOYSA-N 1 2 322.412 1.796 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@H+](Cc2cncn2C)C2CC2)cc1 ZINC001266604657 836522986 /nfs/dbraw/zinc/52/29/86/836522986.db2.gz MMOSNDJXUPSUIR-UHFFFAOYSA-N 1 2 322.412 1.796 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@@H]2C1 ZINC001271880394 844176196 /nfs/dbraw/zinc/17/61/96/844176196.db2.gz NLYBFLGWFWZJGU-OKILXGFUSA-N 1 2 317.437 1.606 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@@H]2C1 ZINC001271880394 844176204 /nfs/dbraw/zinc/17/62/04/844176204.db2.gz NLYBFLGWFWZJGU-OKILXGFUSA-N 1 2 317.437 1.606 20 30 DDEDLO C#CC[N@H+]1CCC[C@](CO)(NC(=O)c2sc(C)cc2C)C1 ZINC001279490701 836732556 /nfs/dbraw/zinc/73/25/56/836732556.db2.gz OYZKQFMDDQBIRT-INIZCTEOSA-N 1 2 306.431 1.555 20 30 DDEDLO C#CC[N@@H+]1CCC[C@](CO)(NC(=O)c2sc(C)cc2C)C1 ZINC001279490701 836732562 /nfs/dbraw/zinc/73/25/62/836732562.db2.gz OYZKQFMDDQBIRT-INIZCTEOSA-N 1 2 306.431 1.555 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC1CC1 ZINC001266850133 836931332 /nfs/dbraw/zinc/93/13/32/836931332.db2.gz VESOAHANBYXQKY-OAHLLOKOSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC1CC1 ZINC001266850133 836931338 /nfs/dbraw/zinc/93/13/38/836931338.db2.gz VESOAHANBYXQKY-OAHLLOKOSA-N 1 2 321.465 1.696 20 30 DDEDLO C#CC[N@H+]1CCC[C@@](CO)(NC(=O)c2cc3c(s2)CCC3)C1 ZINC001279530955 836993900 /nfs/dbraw/zinc/99/39/00/836993900.db2.gz YABKQGJJBNMIHW-QGZVFWFLSA-N 1 2 318.442 1.427 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@](CO)(NC(=O)c2cc3c(s2)CCC3)C1 ZINC001279530955 836993908 /nfs/dbraw/zinc/99/39/08/836993908.db2.gz YABKQGJJBNMIHW-QGZVFWFLSA-N 1 2 318.442 1.427 20 30 DDEDLO CC(C)(C)C#CC(=O)NC1(CNC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001299184808 844307341 /nfs/dbraw/zinc/30/73/41/844307341.db2.gz QIKLXRZAYUIWNN-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO CC(C)(C)C#CC(=O)NC1(CNC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001299184808 844307353 /nfs/dbraw/zinc/30/73/53/844307353.db2.gz QIKLXRZAYUIWNN-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO CC[C@H]1CCC[C@]1(C)C(=O)N(C)CC[NH+]1CCN(CC#N)CC1 ZINC001267573358 838337624 /nfs/dbraw/zinc/33/76/24/838337624.db2.gz PPDOSJDPLKSTLL-WMZOPIPTSA-N 1 2 320.481 1.802 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1C[NH+](Cc2ccccc2CC)C1 ZINC001267645249 838564922 /nfs/dbraw/zinc/56/49/22/838564922.db2.gz QSRMZZRQZTVMCP-CQSZACIVSA-N 1 2 300.402 1.588 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cc(C)n(CC)c2C)C1 ZINC001267651561 838580071 /nfs/dbraw/zinc/58/00/71/838580071.db2.gz OWXSBUPYSNUYTN-UHFFFAOYSA-N 1 2 305.422 1.741 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1ncnn1C ZINC001272023524 844345761 /nfs/dbraw/zinc/34/57/61/844345761.db2.gz IPNVAIFITRAZKP-CABCVRRESA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1ncnn1C ZINC001272023524 844345772 /nfs/dbraw/zinc/34/57/72/844345772.db2.gz IPNVAIFITRAZKP-CABCVRRESA-N 1 2 317.437 1.737 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@@H+]([C@H](C)C(=O)NC2CCCC2)C1 ZINC001267722620 838733701 /nfs/dbraw/zinc/73/37/01/838733701.db2.gz KHPHAEKRCGTYPQ-ZBFHGGJFSA-N 1 2 319.449 1.284 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@H+]([C@H](C)C(=O)NC2CCCC2)C1 ZINC001267722620 838733705 /nfs/dbraw/zinc/73/37/05/838733705.db2.gz KHPHAEKRCGTYPQ-ZBFHGGJFSA-N 1 2 319.449 1.284 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@H](C)CC)C1 ZINC001267729307 838767048 /nfs/dbraw/zinc/76/70/48/838767048.db2.gz RFULCKRFBJWVRV-CABCVRRESA-N 1 2 307.438 1.285 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](CC(=O)N[C@H](C)CC)C1 ZINC001267729307 838767054 /nfs/dbraw/zinc/76/70/54/838767054.db2.gz RFULCKRFBJWVRV-CABCVRRESA-N 1 2 307.438 1.285 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CCC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001267924916 839201911 /nfs/dbraw/zinc/20/19/11/839201911.db2.gz LWBZCQLSACETCN-NVXWUHKLSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CCC[N@H+](Cc2cnc(C)nc2)C1 ZINC001267924916 839201920 /nfs/dbraw/zinc/20/19/20/839201920.db2.gz LWBZCQLSACETCN-NVXWUHKLSA-N 1 2 318.421 1.193 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C[NH2+]Cc1cnsn1)CC(C)C ZINC001268105399 839695091 /nfs/dbraw/zinc/69/50/91/839695091.db2.gz OKFWSDNRPHWJIF-ZDUSSCGKSA-N 1 2 308.451 1.818 20 30 DDEDLO C=CCOCC(=O)N(C)CC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001268233846 839868636 /nfs/dbraw/zinc/86/86/36/839868636.db2.gz XCVMHBPOJZCPDW-UHFFFAOYSA-N 1 2 319.380 1.790 20 30 DDEDLO C=CCOCC(=O)N(C)CC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001268233846 839868647 /nfs/dbraw/zinc/86/86/47/839868647.db2.gz XCVMHBPOJZCPDW-UHFFFAOYSA-N 1 2 319.380 1.790 20 30 DDEDLO C=C1CCC(C(=O)N(C)CC[N@H+](C)Cc2cnnn2C)CC1 ZINC001268246772 839889332 /nfs/dbraw/zinc/88/93/32/839889332.db2.gz FIHUNVKAFJRTJN-UHFFFAOYSA-N 1 2 305.426 1.452 20 30 DDEDLO C=C1CCC(C(=O)N(C)CC[N@@H+](C)Cc2cnnn2C)CC1 ZINC001268246772 839889341 /nfs/dbraw/zinc/88/93/41/839889341.db2.gz FIHUNVKAFJRTJN-UHFFFAOYSA-N 1 2 305.426 1.452 20 30 DDEDLO CO[C@@H](Cc1ccccc1)C[NH+]1CC(NC(=O)C#CC(C)C)C1 ZINC001268294683 839957448 /nfs/dbraw/zinc/95/74/48/839957448.db2.gz BCIDRWSBOKVEOE-SFHVURJKSA-N 1 2 314.429 1.704 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](O)C[NH2+][C@@H](C)c1nc(C(C)C)no1 ZINC001268317689 839989200 /nfs/dbraw/zinc/98/92/00/839989200.db2.gz RWMKNVHOUZPUKL-NWDGAFQWSA-N 1 2 324.425 1.533 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H](OC)c2cccc(OC)c2)C1 ZINC001268348484 840035537 /nfs/dbraw/zinc/03/55/37/840035537.db2.gz CUHRWBXDEGHQIX-MRXNPFEDSA-N 1 2 304.390 1.759 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1C[NH+](CCOCCC(C)C)C1 ZINC001268455078 840225179 /nfs/dbraw/zinc/22/51/79/840225179.db2.gz UQNSQPHGFWPGEF-CVEARBPZSA-N 1 2 310.438 1.441 20 30 DDEDLO Cc1nc(C[NH2+]C/C=C/CNC(=O)[C@@H](C)C#N)nc2ccccc12 ZINC001268523196 840380500 /nfs/dbraw/zinc/38/05/00/840380500.db2.gz PZJKDSJTGPGZEW-GFUIURDCSA-N 1 2 323.400 1.860 20 30 DDEDLO C#Cc1cncc(C(=O)NC/C=C\C[NH2+][C@@H](C)c2ncccn2)c1 ZINC001268591311 840502295 /nfs/dbraw/zinc/50/22/95/840502295.db2.gz QMYHQZJDBRTRHB-WSNITJDQSA-N 1 2 321.384 1.490 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1C[NH+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001268858348 840908434 /nfs/dbraw/zinc/90/84/34/840908434.db2.gz IDNAYXGGJAAKFF-AWEZNQCLSA-N 1 2 323.481 1.797 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CC(CNC(=O)C2(CCC)CCC2)C1 ZINC001268863293 840915403 /nfs/dbraw/zinc/91/54/03/840915403.db2.gz XDUIXEKRJVMTQL-CQSZACIVSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](CC)C(N)=O ZINC001268960482 841057721 /nfs/dbraw/zinc/05/77/21/841057721.db2.gz RQWMJAFLYSTGBV-SOUVJXGZSA-N 1 2 305.422 1.119 20 30 DDEDLO C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](CC)C(N)=O ZINC001268960482 841057734 /nfs/dbraw/zinc/05/77/34/841057734.db2.gz RQWMJAFLYSTGBV-SOUVJXGZSA-N 1 2 305.422 1.119 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001269061797 841161428 /nfs/dbraw/zinc/16/14/28/841161428.db2.gz QTXNSUGXPXCZCA-LRDDRELGSA-N 1 2 307.394 1.976 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001269061797 841161434 /nfs/dbraw/zinc/16/14/34/841161434.db2.gz QTXNSUGXPXCZCA-LRDDRELGSA-N 1 2 307.394 1.976 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@@H+]([C@H](C)C(N)=O)C2)CCCCC1 ZINC001269091997 841194995 /nfs/dbraw/zinc/19/49/95/841194995.db2.gz HDLBRYGZDQZEOO-KGLIPLIRSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@H+]([C@H](C)C(N)=O)C2)CCCCC1 ZINC001269091997 841195001 /nfs/dbraw/zinc/19/50/01/841195001.db2.gz HDLBRYGZDQZEOO-KGLIPLIRSA-N 1 2 307.438 1.577 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCCN2C(=O)[C@@H](C)n1cc[nH+]c1 ZINC001269157982 841278431 /nfs/dbraw/zinc/27/84/31/841278431.db2.gz SIRAKQHPUOQWEE-RHSMWYFYSA-N 1 2 314.389 1.061 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CC1(C)C ZINC001269224658 841371614 /nfs/dbraw/zinc/37/16/14/841371614.db2.gz XRNQTDIUXMSVTF-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CC1(C)C ZINC001269224658 841371628 /nfs/dbraw/zinc/37/16/28/841371628.db2.gz XRNQTDIUXMSVTF-HUUCEWRRSA-N 1 2 321.465 1.648 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H]1CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001269233091 841398555 /nfs/dbraw/zinc/39/85/55/841398555.db2.gz DIVBJIJJMASXTB-LSDHHAIUSA-N 1 2 300.406 1.874 20 30 DDEDLO C#CCCCC(=O)N(C)[C@@H]1CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001269233091 841398568 /nfs/dbraw/zinc/39/85/68/841398568.db2.gz DIVBJIJJMASXTB-LSDHHAIUSA-N 1 2 300.406 1.874 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)CCC2(C)CC2)C1=O ZINC001269326446 841520327 /nfs/dbraw/zinc/52/03/27/841520327.db2.gz KFCKGILXIZLCAL-CABCVRRESA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)CCC2(C)CC2)C1=O ZINC001269326446 841520332 /nfs/dbraw/zinc/52/03/32/841520332.db2.gz KFCKGILXIZLCAL-CABCVRRESA-N 1 2 319.449 1.544 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)Cc1cccc(F)c1 ZINC001269336800 841534630 /nfs/dbraw/zinc/53/46/30/841534630.db2.gz DYIYXVIMLJNVAC-INIZCTEOSA-N 1 2 304.365 1.209 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)Cc1cccc(F)c1 ZINC001269336800 841534639 /nfs/dbraw/zinc/53/46/39/841534639.db2.gz DYIYXVIMLJNVAC-INIZCTEOSA-N 1 2 304.365 1.209 20 30 DDEDLO Cc1c[nH]c(CCNC(=O)c2cc(=O)c3cc(C#N)ccc3[nH]2)[nH+]1 ZINC001154558991 861215969 /nfs/dbraw/zinc/21/59/69/861215969.db2.gz GCNITNXILZHCRD-UHFFFAOYSA-N 1 2 321.340 1.404 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCNC(=O)CCn1cc[nH+]c1 ZINC001284556569 841785464 /nfs/dbraw/zinc/78/54/64/841785464.db2.gz AEHIGQWVGKZJET-UHFFFAOYSA-N 1 2 306.410 1.450 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cccc3nccn32)C1 ZINC001269821128 842079141 /nfs/dbraw/zinc/07/91/41/842079141.db2.gz ZUCNEWYSUAXLSM-UHFFFAOYSA-N 1 2 314.389 1.293 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@H]2CCC[C@H](OC)C2)C1 ZINC001269840005 842097912 /nfs/dbraw/zinc/09/79/12/842097912.db2.gz VAORKPFKPULQJV-HOCLYGCPSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C1CC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cc(C)nn2C)C1 ZINC001270592219 842699800 /nfs/dbraw/zinc/69/98/00/842699800.db2.gz WVGAFPYZWPWILO-IYBDPMFKSA-N 1 2 314.433 1.870 20 30 DDEDLO C=C1CC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cc(C)nn2C)C1 ZINC001270592219 842699804 /nfs/dbraw/zinc/69/98/04/842699804.db2.gz WVGAFPYZWPWILO-IYBDPMFKSA-N 1 2 314.433 1.870 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@@H+]([C@@H](C)c2nc(C)no2)C1 ZINC001270663860 842793009 /nfs/dbraw/zinc/79/30/09/842793009.db2.gz GAWIWEUOSAMJAI-LRDDRELGSA-N 1 2 322.409 1.612 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@H+]([C@@H](C)c2nc(C)no2)C1 ZINC001270663860 842793018 /nfs/dbraw/zinc/79/30/18/842793018.db2.gz GAWIWEUOSAMJAI-LRDDRELGSA-N 1 2 322.409 1.612 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@H+]([C@@H](C)C(N)=O)CCC1(F)F ZINC001271099431 843214053 /nfs/dbraw/zinc/21/40/53/843214053.db2.gz COPXFUYSXRREKO-WDEREUQCSA-N 1 2 317.380 1.146 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1C[N@@H+]([C@@H](C)C(N)=O)CCC1(F)F ZINC001271099431 843214062 /nfs/dbraw/zinc/21/40/62/843214062.db2.gz COPXFUYSXRREKO-WDEREUQCSA-N 1 2 317.380 1.146 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc3c(c2)OCCN3C)CC1 ZINC001154726080 861396502 /nfs/dbraw/zinc/39/65/02/861396502.db2.gz IZLHYERGMFYMER-UHFFFAOYSA-N 1 2 301.390 1.459 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(O)C[NH+](Cc2ccc(C)cc2)C1 ZINC001271336090 843505034 /nfs/dbraw/zinc/50/50/34/843505034.db2.gz VMNULHCOJSMZLH-UHFFFAOYSA-N 1 2 302.418 1.870 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](Cc2snnc2C)CCCO1 ZINC001149362085 861571379 /nfs/dbraw/zinc/57/13/79/861571379.db2.gz OKTCGFVJJGYCJL-ZDUSSCGKSA-N 1 2 324.450 1.520 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](Cc2snnc2C)CCCO1 ZINC001149362085 861571390 /nfs/dbraw/zinc/57/13/90/861571390.db2.gz OKTCGFVJJGYCJL-ZDUSSCGKSA-N 1 2 324.450 1.520 20 30 DDEDLO C=CCOCC(=O)NC[C@@]1(C)C[N@H+](CCC(F)(F)F)CCO1 ZINC001107811684 847172758 /nfs/dbraw/zinc/17/27/58/847172758.db2.gz PSRVCYKBTAVTSZ-ZDUSSCGKSA-N 1 2 324.343 1.349 20 30 DDEDLO C=CCOCC(=O)NC[C@@]1(C)C[N@@H+](CCC(F)(F)F)CCO1 ZINC001107811684 847172762 /nfs/dbraw/zinc/17/27/62/847172762.db2.gz PSRVCYKBTAVTSZ-ZDUSSCGKSA-N 1 2 324.343 1.349 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[C@H](C)NC(=O)Cc2[nH]cc[nH+]2)cc1 ZINC001077701648 847181223 /nfs/dbraw/zinc/18/12/23/847181223.db2.gz IHHMBNNICQZSNG-ZDUSSCGKSA-N 1 2 324.384 1.258 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O)C1 ZINC001155132360 861762456 /nfs/dbraw/zinc/76/24/56/861762456.db2.gz MVZBREGQEZDZST-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O)C1 ZINC001155132360 861762461 /nfs/dbraw/zinc/76/24/61/861762461.db2.gz MVZBREGQEZDZST-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001077719497 847272291 /nfs/dbraw/zinc/27/22/91/847272291.db2.gz KBPDBWMKWJGXNO-AWEZNQCLSA-N 1 2 320.437 1.887 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001077725300 847309244 /nfs/dbraw/zinc/30/92/44/847309244.db2.gz WCCYNWNGLVRSGI-ZIAGYGMSSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001077725300 847309260 /nfs/dbraw/zinc/30/92/60/847309260.db2.gz WCCYNWNGLVRSGI-ZIAGYGMSSA-N 1 2 310.756 1.277 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H](OC)C1CCC1 ZINC001272876788 847578822 /nfs/dbraw/zinc/57/88/22/847578822.db2.gz LIRKHWSAXHUHDL-CVEARBPZSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H](OC)C1CCC1 ZINC001272876788 847578832 /nfs/dbraw/zinc/57/88/32/847578832.db2.gz LIRKHWSAXHUHDL-CVEARBPZSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1c(O)cccc1Cl)C2 ZINC001273106735 847920015 /nfs/dbraw/zinc/92/00/15/847920015.db2.gz KHCIFCQHGSBGQP-UHFFFAOYSA-N 1 2 322.792 1.645 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC001034142675 847995986 /nfs/dbraw/zinc/99/59/86/847995986.db2.gz NEMLMGLGYZPYDV-GFCCVEGCSA-N 1 2 311.817 1.460 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC001034142675 847995992 /nfs/dbraw/zinc/99/59/92/847995992.db2.gz NEMLMGLGYZPYDV-GFCCVEGCSA-N 1 2 311.817 1.460 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3ccncc3Cl)C2)OCC1=O ZINC001273230388 848911730 /nfs/dbraw/zinc/91/17/30/848911730.db2.gz CFJGRQPYUQPFCB-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3ccncc3Cl)C2)OCC1=O ZINC001273230388 848911736 /nfs/dbraw/zinc/91/17/36/848911736.db2.gz CFJGRQPYUQPFCB-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C[C@H](c1nncn1C)[N@H+](C)CCCN(C)C(=O)C#CC(C)(C)C ZINC001327213612 861970014 /nfs/dbraw/zinc/97/00/14/861970014.db2.gz VCYGSCPONYHZLV-CQSZACIVSA-N 1 2 319.453 1.706 20 30 DDEDLO C[C@H](c1nncn1C)[N@@H+](C)CCCN(C)C(=O)C#CC(C)(C)C ZINC001327213612 861970020 /nfs/dbraw/zinc/97/00/20/861970020.db2.gz VCYGSCPONYHZLV-CQSZACIVSA-N 1 2 319.453 1.706 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H](C)CSC)O2 ZINC001327350067 862091179 /nfs/dbraw/zinc/09/11/79/862091179.db2.gz NYZPUXODSSDIMF-KGLIPLIRSA-N 1 2 312.479 1.911 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)CC(C)(C)C1CC1)CO2 ZINC001327387946 862128921 /nfs/dbraw/zinc/12/89/21/862128921.db2.gz RRVMSGGRSWZQGS-HNNXBMFYSA-N 1 2 304.434 1.796 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)CCCn1cccn1)CO2 ZINC001327426468 862166835 /nfs/dbraw/zinc/16/68/35/862166835.db2.gz KKRTUGOQHYAKMW-OAHLLOKOSA-N 1 2 318.421 1.199 20 30 DDEDLO CC(C)COCC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H](C)C#N)O2 ZINC001273653557 851188668 /nfs/dbraw/zinc/18/86/68/851188668.db2.gz AZMHQTNTDOVOJH-HUUCEWRRSA-N 1 2 323.437 1.168 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)CC1OCCCO1)O2 ZINC001273654959 851189317 /nfs/dbraw/zinc/18/93/17/851189317.db2.gz JTCIOTUJVIOYHD-CQSZACIVSA-N 1 2 324.421 1.065 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H](C)[NH2+]Cc1nc(C(F)F)no1 ZINC001328993967 863402991 /nfs/dbraw/zinc/40/29/91/863402991.db2.gz AMRSTKBOOFHLTL-DTWKUNHWSA-N 1 2 302.325 1.956 20 30 DDEDLO COCc1noc([C@H](C)[NH2+]C/C=C\CNC(=O)C#CC(C)C)n1 ZINC001274009776 851843314 /nfs/dbraw/zinc/84/33/14/851843314.db2.gz MBMYQRDIMVYISD-SZZPACECSA-N 1 2 320.393 1.198 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1ccc(Cl)o1)C2 ZINC001274137667 851967092 /nfs/dbraw/zinc/96/70/92/851967092.db2.gz AUGRQWGNRWCMQH-INIZCTEOSA-N 1 2 322.792 1.617 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1ccc(Cl)o1)C2 ZINC001274137667 851967094 /nfs/dbraw/zinc/96/70/94/851967094.db2.gz AUGRQWGNRWCMQH-INIZCTEOSA-N 1 2 322.792 1.617 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1nccc3ccccc31)C2 ZINC001274523879 852361923 /nfs/dbraw/zinc/36/19/23/852361923.db2.gz LVQNEMGUZSNREX-UHFFFAOYSA-N 1 2 323.396 1.834 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2nnc(C)s2)[C@@H](C)C1 ZINC001274608288 852436508 /nfs/dbraw/zinc/43/65/08/852436508.db2.gz DHOVZWDTJSLCQH-AAEUAGOBSA-N 1 2 324.450 1.518 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2nnc(C)s2)[C@@H](C)C1 ZINC001274608288 852436511 /nfs/dbraw/zinc/43/65/11/852436511.db2.gz DHOVZWDTJSLCQH-AAEUAGOBSA-N 1 2 324.450 1.518 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cc(Cl)ncc2O)C1=O ZINC001274838060 852628346 /nfs/dbraw/zinc/62/83/46/852628346.db2.gz HPNYLTJUCBKRIZ-MRXNPFEDSA-N 1 2 319.792 1.641 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cc(Cl)ncc2O)C1=O ZINC001274838060 852628348 /nfs/dbraw/zinc/62/83/48/852628348.db2.gz HPNYLTJUCBKRIZ-MRXNPFEDSA-N 1 2 319.792 1.641 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1Cc2ccc(CNC(=O)CC)cc2C1 ZINC001327682823 862384902 /nfs/dbraw/zinc/38/49/02/862384902.db2.gz PVYBDJNTGWHQGE-ZDUSSCGKSA-N 1 2 315.417 1.719 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1Cc2ccc(CNC(=O)CC)cc2C1 ZINC001327682823 862384920 /nfs/dbraw/zinc/38/49/20/862384920.db2.gz PVYBDJNTGWHQGE-ZDUSSCGKSA-N 1 2 315.417 1.719 20 30 DDEDLO COCCOCN1CC2(C1)C[NH+](Cc1ccc(C#N)c(F)c1)C2 ZINC001275327561 852984274 /nfs/dbraw/zinc/98/42/74/852984274.db2.gz VWDHJSHNKAHKRO-UHFFFAOYSA-N 1 2 319.380 1.435 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)[C@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001275365174 853019386 /nfs/dbraw/zinc/01/93/86/853019386.db2.gz IJSGMPRZRSQVED-RYUDHWBXSA-N 1 2 324.425 1.553 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@@H](C)NC(=O)CSCC#N ZINC001275505119 853243213 /nfs/dbraw/zinc/24/32/13/853243213.db2.gz FPUHVQGXCKCFSN-SECBINFHSA-N 1 2 313.452 1.040 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@@H](C)NC(=O)CSCC#N ZINC001275505119 853243222 /nfs/dbraw/zinc/24/32/22/853243222.db2.gz FPUHVQGXCKCFSN-SECBINFHSA-N 1 2 313.452 1.040 20 30 DDEDLO CC#CCCCC(=O)N1CCOC2(C[NH+](CC[C@@H](C)F)C2)C1 ZINC001327331229 855203493 /nfs/dbraw/zinc/20/34/93/855203493.db2.gz KYKQYAKGSITSFG-OAHLLOKOSA-N 1 2 310.413 1.841 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC[C@H](CC[NH+]2CC(O)(CC#N)C2)C1 ZINC001412972060 855830081 /nfs/dbraw/zinc/83/00/81/855830081.db2.gz UJRDGYMXKBLXFB-CQSZACIVSA-N 1 2 323.437 1.984 20 30 DDEDLO CCNC(=O)C(C)(C)[NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC001413009837 855923604 /nfs/dbraw/zinc/92/36/04/855923604.db2.gz RKHVGBBNZAYXNX-UHFFFAOYSA-N 1 2 313.361 1.613 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072561927 857452161 /nfs/dbraw/zinc/45/21/61/857452161.db2.gz ZEWVJUZPPVSTKC-KGLIPLIRSA-N 1 2 316.405 1.109 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072563879 857454806 /nfs/dbraw/zinc/45/48/06/857454806.db2.gz PJIWMSYCWFIKCN-DZGCQCFKSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001072641124 857546306 /nfs/dbraw/zinc/54/63/06/857546306.db2.gz KWSAEJYTKLHZLP-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072801066 857719581 /nfs/dbraw/zinc/71/95/81/857719581.db2.gz LBYYWCGQYUNRNC-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@@H+](Cc2nc(C)no2)C3)C1 ZINC001072964180 857943665 /nfs/dbraw/zinc/94/36/65/857943665.db2.gz MSJXHKGXIDPYLU-UHFFFAOYSA-N 1 2 316.405 1.769 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@H+](Cc2nc(C)no2)C3)C1 ZINC001072964180 857943671 /nfs/dbraw/zinc/94/36/71/857943671.db2.gz MSJXHKGXIDPYLU-UHFFFAOYSA-N 1 2 316.405 1.769 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC(C)C ZINC001122739515 858953990 /nfs/dbraw/zinc/95/39/90/858953990.db2.gz PAHVUECFRCOBFA-GRDNDAEWSA-N 1 2 319.453 1.304 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC(C)C ZINC001122739515 858954000 /nfs/dbraw/zinc/95/40/00/858954000.db2.gz PAHVUECFRCOBFA-GRDNDAEWSA-N 1 2 319.453 1.304 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@@H](C)N(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001123156760 859109219 /nfs/dbraw/zinc/10/92/19/859109219.db2.gz KJOMTYQPHXLIGI-ZYHUDNBSSA-N 1 2 305.407 1.443 20 30 DDEDLO C=CCCC(=O)N1C[C@H](Nc2[nH+]cnc3c2cnn3C)C[C@H]1C ZINC001123194349 859125079 /nfs/dbraw/zinc/12/50/79/859125079.db2.gz UUDCWMBMZVORSI-VXGBXAGGSA-N 1 2 314.393 1.731 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@@H](C)[C@H]2CN(C)CC[N@@H+]2C)C1 ZINC001123695579 859363397 /nfs/dbraw/zinc/36/33/97/859363397.db2.gz UGOHFLBERFCWHE-ARFHVFGLSA-N 1 2 306.454 1.066 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)N[C@@H](C)[C@H]2CN(C)CC[N@H+]2C)C1 ZINC001123695579 859363405 /nfs/dbraw/zinc/36/34/05/859363405.db2.gz UGOHFLBERFCWHE-ARFHVFGLSA-N 1 2 306.454 1.066 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001124654451 859767406 /nfs/dbraw/zinc/76/74/06/859767406.db2.gz PGZBIHVQODSPJE-AWEZNQCLSA-N 1 2 304.394 1.210 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1ncc(C2CC2)o1 ZINC001125247987 859932732 /nfs/dbraw/zinc/93/27/32/859932732.db2.gz LHYVXJOJXATSAO-UHFFFAOYSA-N 1 2 307.394 1.741 20 30 DDEDLO C#CCOc1ccc(CN2CC([N@@H+]3CC[C@H](F)C3)C2)cc1OC ZINC001138347811 860066505 /nfs/dbraw/zinc/06/65/05/860066505.db2.gz FETSJOBSTBXWNO-HNNXBMFYSA-N 1 2 318.392 1.935 20 30 DDEDLO C#CCOc1ccc(CN2CC([N@H+]3CC[C@H](F)C3)C2)cc1OC ZINC001138347811 860066517 /nfs/dbraw/zinc/06/65/17/860066517.db2.gz FETSJOBSTBXWNO-HNNXBMFYSA-N 1 2 318.392 1.935 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CC(N3C[C@@H](C)O[C@@H](C)C3)C2)cc1 ZINC001138560766 860130260 /nfs/dbraw/zinc/13/02/60/860130260.db2.gz MXUCWTSRDMAJOT-IYBDPMFKSA-N 1 2 314.429 1.992 20 30 DDEDLO C#CCOc1ccc(CN2CC([N@H+]3C[C@@H](C)O[C@@H](C)C3)C2)cc1 ZINC001138560766 860130266 /nfs/dbraw/zinc/13/02/66/860130266.db2.gz MXUCWTSRDMAJOT-IYBDPMFKSA-N 1 2 314.429 1.992 20 30 DDEDLO C#CCOc1ccc(CN2CC([N@@H+]3C[C@@H](C)O[C@@H](C)C3)C2)cc1 ZINC001138560766 860130270 /nfs/dbraw/zinc/13/02/70/860130270.db2.gz MXUCWTSRDMAJOT-IYBDPMFKSA-N 1 2 314.429 1.992 20 30 DDEDLO COC(=O)[C@H]1COCCC12C[NH+](Cc1ccc(C#N)cc1F)C2 ZINC001140140324 860582035 /nfs/dbraw/zinc/58/20/35/860582035.db2.gz QTHRLWQMBCIITI-CQSZACIVSA-N 1 2 318.348 1.709 20 30 DDEDLO CCOC[C@H]1C[N@H+](Cc2ccc(C#N)nc2)Cc2c1cnn2C ZINC001140542009 860653123 /nfs/dbraw/zinc/65/31/23/860653123.db2.gz XZGBPCCRSLHISQ-CQSZACIVSA-N 1 2 311.389 1.823 20 30 DDEDLO CCOC[C@H]1C[N@@H+](Cc2ccc(C#N)nc2)Cc2c1cnn2C ZINC001140542009 860653124 /nfs/dbraw/zinc/65/31/24/860653124.db2.gz XZGBPCCRSLHISQ-CQSZACIVSA-N 1 2 311.389 1.823 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC3(CN(c4ccccc4)C3=O)C2)cn1 ZINC001140544356 860654584 /nfs/dbraw/zinc/65/45/84/860654584.db2.gz LDEDYZAEKNKRSZ-UHFFFAOYSA-N 1 2 304.353 1.802 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2cc(C#N)ccc2Cl)CCCO1 ZINC001140604077 860659597 /nfs/dbraw/zinc/65/95/97/860659597.db2.gz PGYUABQAAKBBFQ-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2Cl)CCCO1 ZINC001140604077 860659600 /nfs/dbraw/zinc/65/96/00/860659600.db2.gz PGYUABQAAKBBFQ-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO N#Cc1c(F)cc(CN2CC[C@@H]([NH+]3CCOCC3)C2)cc1F ZINC001140753444 860692548 /nfs/dbraw/zinc/69/25/48/860692548.db2.gz HMRGPKNWETZIEG-CYBMUJFWSA-N 1 2 307.344 1.743 20 30 DDEDLO C=C[C@@H](CC(=O)NCC[NH2+]Cc1nnc(C)o1)c1ccccc1 ZINC001151942337 863070561 /nfs/dbraw/zinc/07/05/61/863070561.db2.gz FOMFPLMALJRAIL-AWEZNQCLSA-N 1 2 314.389 1.944 20 30 DDEDLO C#CC[N@@H+](C)C[C@H]1CCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001328737561 863182815 /nfs/dbraw/zinc/18/28/15/863182815.db2.gz OTFBNZRSXIAETR-KGLIPLIRSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@H+](C)C[C@H]1CCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001328737561 863182818 /nfs/dbraw/zinc/18/28/18/863182818.db2.gz OTFBNZRSXIAETR-KGLIPLIRSA-N 1 2 300.406 1.386 20 30 DDEDLO C[C@H](CNC(=O)C#CC(C)(C)C)[N@H+](C)CC(=O)Nc1ccon1 ZINC001329433950 863664891 /nfs/dbraw/zinc/66/48/91/863664891.db2.gz ZXBRSKJXKRBWAD-GFCCVEGCSA-N 1 2 320.393 1.099 20 30 DDEDLO C[C@H](CNC(=O)C#CC(C)(C)C)[N@@H+](C)CC(=O)Nc1ccon1 ZINC001329433950 863664898 /nfs/dbraw/zinc/66/48/98/863664898.db2.gz ZXBRSKJXKRBWAD-GFCCVEGCSA-N 1 2 320.393 1.099 20 30 DDEDLO CC(C)C#CC(=O)NC1(CCO)C[NH+]([C@H](C)c2ccccc2)C1 ZINC001329654246 863772192 /nfs/dbraw/zinc/77/21/92/863772192.db2.gz BCYVQNVVROVUET-MRXNPFEDSA-N 1 2 314.429 1.960 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@H]21 ZINC001330326715 864241937 /nfs/dbraw/zinc/24/19/37/864241937.db2.gz HQTQLACYNOMLPK-ZQDZILKHSA-N 1 2 317.437 1.509 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@H]21 ZINC001330326715 864241950 /nfs/dbraw/zinc/24/19/50/864241950.db2.gz HQTQLACYNOMLPK-ZQDZILKHSA-N 1 2 317.437 1.509 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2nc(C#N)c(Cl)cc2Cl)C[NH2+]1 ZINC001158257067 864519151 /nfs/dbraw/zinc/51/91/51/864519151.db2.gz WMTDVCNQIPXGRF-MUWHJKNJSA-N 1 2 315.160 1.575 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(c3ccc4c(C#N)c[nH]c4n3)C2)C[C@@H](C)O1 ZINC001158716217 864846242 /nfs/dbraw/zinc/84/62/42/864846242.db2.gz ITKNIYYPLNEZHU-VXGBXAGGSA-N 1 2 311.389 1.732 20 30 DDEDLO C=C[C@@H](CO)Nc1ncnc2c1C[N@H+](Cc1ccccc1)CC2 ZINC001158813078 864917063 /nfs/dbraw/zinc/91/70/63/864917063.db2.gz BIIQBIAJAKDCJH-HNNXBMFYSA-N 1 2 310.401 1.994 20 30 DDEDLO C=C[C@@H](CO)Nc1ncnc2c1C[N@@H+](Cc1ccccc1)CC2 ZINC001158813078 864917070 /nfs/dbraw/zinc/91/70/70/864917070.db2.gz BIIQBIAJAKDCJH-HNNXBMFYSA-N 1 2 310.401 1.994 20 30 DDEDLO CC(C)C[C@H](C(=O)N(C)[C@H](CNCC#N)C1CC1)n1cc[nH+]c1 ZINC001331294328 864993268 /nfs/dbraw/zinc/99/32/68/864993268.db2.gz IWKLNSHWMOGSHQ-HZPDHXFCSA-N 1 2 317.437 1.820 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@H+](Cc2cnn(C)n2)CC1 ZINC001159543887 865391724 /nfs/dbraw/zinc/39/17/24/865391724.db2.gz DTEXEFBCZKUQAU-UHFFFAOYSA-N 1 2 303.410 1.420 20 30 DDEDLO C=CCCC(=O)NCCC1=CC[N@@H+](Cc2cnn(C)n2)CC1 ZINC001159543887 865391742 /nfs/dbraw/zinc/39/17/42/865391742.db2.gz DTEXEFBCZKUQAU-UHFFFAOYSA-N 1 2 303.410 1.420 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001159594436 865419184 /nfs/dbraw/zinc/41/91/84/865419184.db2.gz RBMOLSLRBDGZLN-RYUDHWBXSA-N 1 2 317.393 1.737 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001159594436 865419189 /nfs/dbraw/zinc/41/91/89/865419189.db2.gz RBMOLSLRBDGZLN-RYUDHWBXSA-N 1 2 317.393 1.737 20 30 DDEDLO C=C(C)CCC(=O)NCC1([NH2+]Cc2nnc(C3CC3)[nH]2)CC1 ZINC001323458633 866623644 /nfs/dbraw/zinc/62/36/44/866623644.db2.gz ZZLASJZGUVBDAO-UHFFFAOYSA-N 1 2 303.410 1.777 20 30 DDEDLO COc1cc(C(=O)N2CCC([N@H+](CC#N)CC3CC3)CC2)on1 ZINC001323720751 866795053 /nfs/dbraw/zinc/79/50/53/866795053.db2.gz SKSXPXYOXVAFND-UHFFFAOYSA-N 1 2 318.377 1.523 20 30 DDEDLO COc1cc(C(=O)N2CCC([N@@H+](CC#N)CC3CC3)CC2)on1 ZINC001323720751 866795060 /nfs/dbraw/zinc/79/50/60/866795060.db2.gz SKSXPXYOXVAFND-UHFFFAOYSA-N 1 2 318.377 1.523 20 30 DDEDLO CCc1nc(C#N)cc(NS(=O)(=O)c2ccc(C[NH3+])cc2)n1 ZINC001161748206 866960447 /nfs/dbraw/zinc/96/04/47/866960447.db2.gz IXNZVOKFJVJLOT-UHFFFAOYSA-N 1 2 317.374 1.170 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CO[C@H]2CCCC[C@@H]2C)C1 ZINC001323992643 866997169 /nfs/dbraw/zinc/99/71/69/866997169.db2.gz GAWGSQPEPGUEME-RDJZCZTQSA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H](C)OCc2ccc(F)cc2)C1 ZINC001324016616 867011376 /nfs/dbraw/zinc/01/13/76/867011376.db2.gz CJECURXKWVAVKZ-AWEZNQCLSA-N 1 2 318.392 1.897 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC/C=C\C[NH2+]Cc1nocc1C ZINC001321292603 867466837 /nfs/dbraw/zinc/46/68/37/867466837.db2.gz WYVOGLDVSVHXHV-KBSCQGNFSA-N 1 2 319.405 1.726 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CC[C@](C)(CNCC#N)C1)n1cc[nH+]c1 ZINC001324739629 867489865 /nfs/dbraw/zinc/48/98/65/867489865.db2.gz BSSLQMJNPNEYLM-NVXWUHKLSA-N 1 2 317.437 1.822 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](C)C[NH2+]Cc1nnc(C(C)C)o1 ZINC001321832593 867821369 /nfs/dbraw/zinc/82/13/69/867821369.db2.gz YSYZMICDSPSHNF-XHDPSFHLSA-N 1 2 310.398 1.114 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001164223441 869035800 /nfs/dbraw/zinc/03/58/00/869035800.db2.gz SNSAOUYJDFFFKL-CHWSQXEVSA-N 1 2 322.457 1.482 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001164324340 869129494 /nfs/dbraw/zinc/12/94/94/869129494.db2.gz SGPIPXDPNNHTBE-CYBMUJFWSA-N 1 2 322.457 1.626 20 30 DDEDLO Cc1cc(=O)[nH]c(O[C@H]2CC[C@@H]([NH+]3CCOCC3)CC2)c1C#N ZINC001226223205 882288254 /nfs/dbraw/zinc/28/82/54/882288254.db2.gz MJDRJEYUWPYFOF-OKILXGFUSA-N 1 2 317.389 1.990 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001338108342 869809147 /nfs/dbraw/zinc/80/91/47/869809147.db2.gz OFTMXDCESKQYFM-UKRRQHHQSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001338108342 869809159 /nfs/dbraw/zinc/80/91/59/869809159.db2.gz OFTMXDCESKQYFM-UKRRQHHQSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CCC)CCC ZINC001338773376 870160721 /nfs/dbraw/zinc/16/07/21/870160721.db2.gz OLVGXMINETVJNU-KBPBESRZSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(CCC)CCC ZINC001338773376 870160730 /nfs/dbraw/zinc/16/07/30/870160730.db2.gz OLVGXMINETVJNU-KBPBESRZSA-N 1 2 307.442 1.828 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001298020284 870241832 /nfs/dbraw/zinc/24/18/32/870241832.db2.gz GAMVCOGVPLERGG-CQSZACIVSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[N@@H+](Cc2nnc(CC)o2)C1 ZINC001317128281 870304830 /nfs/dbraw/zinc/30/48/30/870304830.db2.gz NCWUIUKXUHSKHO-ZDUSSCGKSA-N 1 2 306.410 1.926 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[N@H+](Cc2nnc(CC)o2)C1 ZINC001317128281 870304851 /nfs/dbraw/zinc/30/48/51/870304851.db2.gz NCWUIUKXUHSKHO-ZDUSSCGKSA-N 1 2 306.410 1.926 20 30 DDEDLO C=CCn1c(N2CC[C@H](CC)C2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001339068454 870331342 /nfs/dbraw/zinc/33/13/42/870331342.db2.gz HWNLDKNMHUWNQJ-ZQIUZPCESA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N2CC[C@H](CC)C2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001339068454 870331355 /nfs/dbraw/zinc/33/13/55/870331355.db2.gz HWNLDKNMHUWNQJ-ZQIUZPCESA-N 1 2 319.453 1.612 20 30 DDEDLO N#Cc1nccnc1N1CCN(c2cccc(C3CC3)[nH+]2)CC1 ZINC001166922044 870377130 /nfs/dbraw/zinc/37/71/30/870377130.db2.gz ZYIKUPLPUVGRPG-UHFFFAOYSA-N 1 2 306.373 1.947 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH+]ccn1C)[C@H]1CCCN(CC#N)C1 ZINC001317211292 870477600 /nfs/dbraw/zinc/47/76/00/870477600.db2.gz XXJIIQJXEJCUAW-KGLIPLIRSA-N 1 2 303.410 1.093 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(OC)c(OC)cc2OC)CC1 ZINC001203495715 870594259 /nfs/dbraw/zinc/59/42/59/870594259.db2.gz FQUIOMRRKJJNHB-UHFFFAOYSA-N 1 2 304.390 1.463 20 30 DDEDLO C=C[C@@H](O)c1nnc(N2CCC(Nc3cccc[nH+]3)CC2)n1C ZINC001339647816 870603252 /nfs/dbraw/zinc/60/32/52/870603252.db2.gz MISIBKGMDGBMTQ-CYBMUJFWSA-N 1 2 314.393 1.510 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)C1C[NH+](CC(=O)NCC2CC2)C1 ZINC001276413473 870653560 /nfs/dbraw/zinc/65/35/60/870653560.db2.gz DITFLMWHJTZCDD-AWEZNQCLSA-N 1 2 319.449 1.143 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]([N@H+](C)Cc2cnns2)C1 ZINC001317373300 870729514 /nfs/dbraw/zinc/72/95/14/870729514.db2.gz MQEOMHRNKRNYOY-WFASDCNBSA-N 1 2 324.450 1.288 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@H]([N@@H+](C)Cc2cnns2)C1 ZINC001317373300 870729520 /nfs/dbraw/zinc/72/95/20/870729520.db2.gz MQEOMHRNKRNYOY-WFASDCNBSA-N 1 2 324.450 1.288 20 30 DDEDLO N#C[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC001340160367 870928588 /nfs/dbraw/zinc/92/85/88/870928588.db2.gz UKESBQSSSBJBMV-ZDUSSCGKSA-N 1 2 323.356 1.573 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+]([C@H](C)c2nc(CC)no2)CC1 ZINC001226571717 882515853 /nfs/dbraw/zinc/51/58/53/882515853.db2.gz XXFWGCBJKXVDFO-GFCCVEGCSA-N 1 2 322.409 1.476 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@@H](NC(=O)C(F)F)C1 ZINC001316968823 871875022 /nfs/dbraw/zinc/87/50/22/871875022.db2.gz ZUYFVKOZTPVKBL-NWDGAFQWSA-N 1 2 317.380 1.255 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)C(F)F)C1 ZINC001316968823 871875042 /nfs/dbraw/zinc/87/50/42/871875042.db2.gz ZUYFVKOZTPVKBL-NWDGAFQWSA-N 1 2 317.380 1.255 20 30 DDEDLO CC(C)NC(=S)N[NH+]=Cc1ccc(N2CCOCC2)c(F)c1 ZINC001318248429 871875901 /nfs/dbraw/zinc/87/59/01/871875901.db2.gz LIPBTAYJSWVJNT-UHFFFAOYSA-N 1 2 324.425 1.869 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2coc(C3CCC3)n2)C1 ZINC001318363232 871957749 /nfs/dbraw/zinc/95/77/49/871957749.db2.gz NAMDWGVNMRTPGZ-CQSZACIVSA-N 1 2 317.389 1.396 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2coc(C3CCC3)n2)C1 ZINC001318363232 871957763 /nfs/dbraw/zinc/95/77/63/871957763.db2.gz NAMDWGVNMRTPGZ-CQSZACIVSA-N 1 2 317.389 1.396 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)[C@@H]1C ZINC001316781327 872033989 /nfs/dbraw/zinc/03/39/89/872033989.db2.gz OIFWMQFGZXIFKF-KMFMINBZSA-N 1 2 320.437 1.135 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)[C@@H]1C ZINC001316781327 872034007 /nfs/dbraw/zinc/03/40/07/872034007.db2.gz OIFWMQFGZXIFKF-KMFMINBZSA-N 1 2 320.437 1.135 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC)[C@H]1CC[N@H+](Cc2cc(C)on2)C1 ZINC001318471693 872096840 /nfs/dbraw/zinc/09/68/40/872096840.db2.gz UGKZJUIOWABHSF-ZBFHGGJFSA-N 1 2 319.405 1.444 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC)[C@H]1CC[N@@H+](Cc2cc(C)on2)C1 ZINC001318471693 872096858 /nfs/dbraw/zinc/09/68/58/872096858.db2.gz UGKZJUIOWABHSF-ZBFHGGJFSA-N 1 2 319.405 1.444 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)COCCC)C1 ZINC001206456536 872343252 /nfs/dbraw/zinc/34/32/52/872343252.db2.gz OUCKCWCQDYHRET-ZYHUDNBSSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COCCC)C1 ZINC001206456536 872343277 /nfs/dbraw/zinc/34/32/77/872343277.db2.gz OUCKCWCQDYHRET-ZYHUDNBSSA-N 1 2 319.243 1.758 20 30 DDEDLO CCc1nc(C(=O)N[C@@H]2CCC[N@H+](CC#CCOC)C2)c(C)o1 ZINC001316950222 872449914 /nfs/dbraw/zinc/44/99/14/872449914.db2.gz LKOHJGLTRNLSPN-CQSZACIVSA-N 1 2 319.405 1.389 20 30 DDEDLO CCc1nc(C(=O)N[C@@H]2CCC[N@@H+](CC#CCOC)C2)c(C)o1 ZINC001316950222 872449916 /nfs/dbraw/zinc/44/99/16/872449916.db2.gz LKOHJGLTRNLSPN-CQSZACIVSA-N 1 2 319.405 1.389 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H](C)C[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001319452012 872635764 /nfs/dbraw/zinc/63/57/64/872635764.db2.gz JFACTCXQTNYRBC-ZDUSSCGKSA-N 1 2 320.437 1.963 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2csc(C)n2)C[C@H]1C ZINC001206955553 872844215 /nfs/dbraw/zinc/84/42/15/872844215.db2.gz XSACRHOMGMGHHY-LALPHHSUSA-N 1 2 321.446 1.426 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2csc(C)n2)C[C@H]1C ZINC001206955553 872844220 /nfs/dbraw/zinc/84/42/20/872844220.db2.gz XSACRHOMGMGHHY-LALPHHSUSA-N 1 2 321.446 1.426 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CCCC#N)n2CC(C)C)CC1 ZINC001344423614 873000531 /nfs/dbraw/zinc/00/05/31/873000531.db2.gz RPCSEVUQCHCIAY-UHFFFAOYSA-N 1 2 314.437 1.536 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)OC(C)(C)C)C3)C2)cc1C#N ZINC001207103159 873017490 /nfs/dbraw/zinc/01/74/90/873017490.db2.gz MRRRHWOJYOYCBG-UHFFFAOYSA-N 1 2 316.405 1.949 20 30 DDEDLO CC(=O)N1CCC[C@]2(C1)C[N@H+](Cc1cc(C#N)n(C)c1)CCO2 ZINC001207106631 873021661 /nfs/dbraw/zinc/02/16/61/873021661.db2.gz RASQHAMRLCNMCF-QGZVFWFLSA-N 1 2 316.405 1.110 20 30 DDEDLO CC(=O)N1CCC[C@]2(C1)C[N@@H+](Cc1cc(C#N)n(C)c1)CCO2 ZINC001207106631 873021678 /nfs/dbraw/zinc/02/16/78/873021678.db2.gz RASQHAMRLCNMCF-QGZVFWFLSA-N 1 2 316.405 1.110 20 30 DDEDLO C=CCN(CC[NH+]1CCN(C(C)=O)CC1)C(=O)OC(C)(C)C ZINC001207201614 873115744 /nfs/dbraw/zinc/11/57/44/873115744.db2.gz BWJAMNPXXONZKE-UHFFFAOYSA-N 1 2 311.426 1.574 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([NH2+][C@H](C)c2nc(CC)no2)C1 ZINC001207681074 873474330 /nfs/dbraw/zinc/47/43/30/873474330.db2.gz ZLJVHULLKALFBI-CHWSQXEVSA-N 1 2 322.409 1.476 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001207759414 873538922 /nfs/dbraw/zinc/53/89/22/873538922.db2.gz XISYQEORSHGKCY-CYBMUJFWSA-N 1 2 324.450 1.602 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001345962529 873572636 /nfs/dbraw/zinc/57/26/36/873572636.db2.gz WRHVXSYOIPYHDB-CJNGLKHVSA-N 1 2 305.426 1.364 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001345962529 873572640 /nfs/dbraw/zinc/57/26/40/873572640.db2.gz WRHVXSYOIPYHDB-CJNGLKHVSA-N 1 2 305.426 1.364 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001208255711 873939400 /nfs/dbraw/zinc/93/94/00/873939400.db2.gz PEMZCUCGFHGWGU-ZDUSSCGKSA-N 1 2 321.425 1.573 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208508983 874207350 /nfs/dbraw/zinc/20/73/50/874207350.db2.gz NQKJWVWSYLLERO-FRFSOERESA-N 1 2 304.438 1.959 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208508983 874207364 /nfs/dbraw/zinc/20/73/64/874207364.db2.gz NQKJWVWSYLLERO-FRFSOERESA-N 1 2 304.438 1.959 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@H](NC(C)=O)C(C)C ZINC001378199782 874412087 /nfs/dbraw/zinc/41/20/87/874412087.db2.gz PDWMKAVKLUWZDI-DGCLKSJQSA-N 1 2 303.834 1.336 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@H](NC(C)=O)C(C)C ZINC001378199782 874412080 /nfs/dbraw/zinc/41/20/80/874412080.db2.gz PDWMKAVKLUWZDI-DGCLKSJQSA-N 1 2 303.834 1.336 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cncc(F)c2)CC1(C)C ZINC001381858657 882829025 /nfs/dbraw/zinc/82/90/25/882829025.db2.gz UMDHJOAMGCQBEI-SMDDNHRTSA-N 1 2 304.369 1.707 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cncc(F)c2)CC1(C)C ZINC001381858657 882829046 /nfs/dbraw/zinc/82/90/46/882829046.db2.gz UMDHJOAMGCQBEI-SMDDNHRTSA-N 1 2 304.369 1.707 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCN(C(=O)Cc2c[nH+]cn2C)CC1 ZINC001348250766 874449904 /nfs/dbraw/zinc/44/99/04/874449904.db2.gz WXGQIUSIHTVRCG-AWEZNQCLSA-N 1 2 318.421 1.236 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+]([C@H](C)c2nnc(C)o2)CC1 ZINC001227123593 882852488 /nfs/dbraw/zinc/85/24/88/882852488.db2.gz FTRNSBOWUAXQKQ-BDJLRTHQSA-N 1 2 322.409 1.347 20 30 DDEDLO C=C[C@H](C(=O)N1CC[NH+]([C@H]2CCOC2)CC1)c1ccccc1 ZINC001348845821 874824757 /nfs/dbraw/zinc/82/47/57/874824757.db2.gz IYFPTJPASLJSJP-IRXDYDNUSA-N 1 2 300.402 1.889 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)COCc2cccnc2)C1 ZINC001378583132 875171102 /nfs/dbraw/zinc/17/11/02/875171102.db2.gz BFFBODPYJDRIJH-ZDUSSCGKSA-N 1 2 323.824 1.787 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213262558 875862696 /nfs/dbraw/zinc/86/26/96/875862696.db2.gz AJCMWJPPQZBKKV-UKRRQHHQSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213262558 875862704 /nfs/dbraw/zinc/86/27/04/875862704.db2.gz AJCMWJPPQZBKKV-UKRRQHHQSA-N 1 2 321.421 1.901 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1ncccc1Br ZINC001351037486 876027271 /nfs/dbraw/zinc/02/72/71/876027271.db2.gz QDUCBRZPWBQDCQ-ZDUSSCGKSA-N 1 2 322.206 1.558 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1ncccc1Br ZINC001351037486 876027289 /nfs/dbraw/zinc/02/72/89/876027289.db2.gz QDUCBRZPWBQDCQ-ZDUSSCGKSA-N 1 2 322.206 1.558 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001214582604 876403929 /nfs/dbraw/zinc/40/39/29/876403929.db2.gz YJFDXVKGNSBJKQ-ZIAGYGMSSA-N 1 2 318.421 1.789 20 30 DDEDLO C=CCn1c([C@@H]2CCC[N@H+]2C)nnc1N1CCC[C@H](C#N)C1 ZINC001351948410 876528431 /nfs/dbraw/zinc/52/84/31/876528431.db2.gz FOZOXTONYQCZFI-KGLIPLIRSA-N 1 2 300.410 1.971 20 30 DDEDLO C=CCn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CCC[C@H](C#N)C1 ZINC001351948410 876528443 /nfs/dbraw/zinc/52/84/43/876528443.db2.gz FOZOXTONYQCZFI-KGLIPLIRSA-N 1 2 300.410 1.971 20 30 DDEDLO C#CC1CCN(c2nnc([C@@]3(C)C[C@@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001352310917 876688079 /nfs/dbraw/zinc/68/80/79/876688079.db2.gz VTOMZLUBSRIAJC-RHSMWYFYSA-N 1 2 317.437 1.059 20 30 DDEDLO C#CC1CCN(c2nnc([C@@]3(C)C[C@@H](O)C[N@H+]3C)n2CC)CC1 ZINC001352310917 876688082 /nfs/dbraw/zinc/68/80/82/876688082.db2.gz VTOMZLUBSRIAJC-RHSMWYFYSA-N 1 2 317.437 1.059 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001352852099 876968991 /nfs/dbraw/zinc/96/89/91/876968991.db2.gz JRWBDQAQOPGTEZ-ZDUSSCGKSA-N 1 2 304.394 1.334 20 30 DDEDLO CC(C)C#CC(=O)N1CCO[C@@H]2C[N@H+](Cc3cccnc3)C[C@@H]21 ZINC001217843875 877339657 /nfs/dbraw/zinc/33/96/57/877339657.db2.gz KZIACRUOIIISEY-DLBZAZTESA-N 1 2 313.401 1.153 20 30 DDEDLO CC(C)C#CC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cccnc3)C[C@@H]21 ZINC001217843875 877339666 /nfs/dbraw/zinc/33/96/66/877339666.db2.gz KZIACRUOIIISEY-DLBZAZTESA-N 1 2 313.401 1.153 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001353487904 877369537 /nfs/dbraw/zinc/36/95/37/877369537.db2.gz RWROMVKUUKXOJP-ZDUSSCGKSA-N 1 2 318.421 1.580 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)CCC(C)(C)C)[C@H]2C1 ZINC001218970587 877843054 /nfs/dbraw/zinc/84/30/54/877843054.db2.gz LEDDPFSQCQQGAJ-HRCADAONSA-N 1 2 306.450 1.994 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)CCC(C)(C)C)[C@H]2C1 ZINC001218970587 877843059 /nfs/dbraw/zinc/84/30/59/877843059.db2.gz LEDDPFSQCQQGAJ-HRCADAONSA-N 1 2 306.450 1.994 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)COCCCCCC)[C@H]2C1 ZINC001219010156 877872163 /nfs/dbraw/zinc/87/21/63/877872163.db2.gz CUSOWQPIMBRACE-DLBZAZTESA-N 1 2 322.449 1.518 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)COCCCCCC)[C@H]2C1 ZINC001219010156 877872174 /nfs/dbraw/zinc/87/21/74/877872174.db2.gz CUSOWQPIMBRACE-DLBZAZTESA-N 1 2 322.449 1.518 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCCc3cccs3)[C@H]2C1 ZINC001219023044 877876823 /nfs/dbraw/zinc/87/68/23/877876823.db2.gz GXYRCMIQGPBQJC-JKSUJKDBSA-N 1 2 318.442 1.616 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCCc3cccs3)[C@H]2C1 ZINC001219023044 877876828 /nfs/dbraw/zinc/87/68/28/877876828.db2.gz GXYRCMIQGPBQJC-JKSUJKDBSA-N 1 2 318.442 1.616 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1CCCCCC1 ZINC001276802161 877938282 /nfs/dbraw/zinc/93/82/82/877938282.db2.gz XEHMVQHTZBDMJZ-GDBMZVCRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1CCCCCC1 ZINC001276802161 877938290 /nfs/dbraw/zinc/93/82/90/877938290.db2.gz XEHMVQHTZBDMJZ-GDBMZVCRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[C@@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001355291963 878487883 /nfs/dbraw/zinc/48/78/83/878487883.db2.gz GKKOJMHVYLOUMP-CJNGLKHVSA-N 1 2 306.410 1.496 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001287643067 912371320 /nfs/dbraw/zinc/37/13/20/912371320.db2.gz NSZUUECJLHMWFN-CQSZACIVSA-N 1 2 318.421 1.499 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001287643067 912371341 /nfs/dbraw/zinc/37/13/41/912371341.db2.gz NSZUUECJLHMWFN-CQSZACIVSA-N 1 2 318.421 1.499 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001355701732 878683795 /nfs/dbraw/zinc/68/37/95/878683795.db2.gz OKHOONJMFKKGDT-CQSZACIVSA-N 1 2 318.421 1.376 20 30 DDEDLO COC(=O)n1ncc(C#N)c1NC(=O)c1cnc([C@H](C)[NH3+])s1 ZINC001220415580 878993596 /nfs/dbraw/zinc/99/35/96/878993596.db2.gz PHAOGIRBIDCYRU-LURJTMIESA-N 1 2 320.334 1.098 20 30 DDEDLO C=CCCC(=O)N1CC(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001356779220 879340634 /nfs/dbraw/zinc/34/06/34/879340634.db2.gz HWLUYNOWXKGEJV-UHFFFAOYSA-N 1 2 324.384 1.779 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](C[C@@H](F)CC)C[C@@H]2O)CCCCC1 ZINC001221133508 879524909 /nfs/dbraw/zinc/52/49/09/879524909.db2.gz FXUJNPPPTZOFQR-XHSDSOJGSA-N 1 2 324.440 1.870 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](C[C@@H](F)CC)C[C@@H]2O)CCCCC1 ZINC001221133508 879524916 /nfs/dbraw/zinc/52/49/16/879524916.db2.gz FXUJNPPPTZOFQR-XHSDSOJGSA-N 1 2 324.440 1.870 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@]1(C)CCC[N@H+](Cc2cnns2)C1 ZINC001380458274 879692628 /nfs/dbraw/zinc/69/26/28/879692628.db2.gz BSZBEEDMUODKFG-SMDDNHRTSA-N 1 2 307.423 1.416 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@]1(C)CCC[N@@H+](Cc2cnns2)C1 ZINC001380458274 879692631 /nfs/dbraw/zinc/69/26/31/879692631.db2.gz BSZBEEDMUODKFG-SMDDNHRTSA-N 1 2 307.423 1.416 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccnc(OC)n3)C[C@H]21 ZINC001221424930 879778189 /nfs/dbraw/zinc/77/81/89/879778189.db2.gz RBKGRFVHAMHXNU-UKRRQHHQSA-N 1 2 316.405 1.484 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3ccnc(OC)n3)C[C@H]21 ZINC001221424930 879778203 /nfs/dbraw/zinc/77/82/03/879778203.db2.gz RBKGRFVHAMHXNU-UKRRQHHQSA-N 1 2 316.405 1.484 20 30 DDEDLO Cc1n[nH]c(C)c1CN1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001276823022 879870454 /nfs/dbraw/zinc/87/04/54/879870454.db2.gz QIBVRZBBPLNLGD-UHFFFAOYSA-N 1 2 324.432 1.555 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@H](NC(=O)[C@@H](C)C#N)CC2)s1 ZINC001380927632 880675037 /nfs/dbraw/zinc/67/50/37/880675037.db2.gz RZIHHHBFNDRXTB-JQWIXIFHSA-N 1 2 307.423 1.477 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@H](NC(=O)[C@@H](C)C#N)CC2)s1 ZINC001380927632 880675047 /nfs/dbraw/zinc/67/50/47/880675047.db2.gz RZIHHHBFNDRXTB-JQWIXIFHSA-N 1 2 307.423 1.477 20 30 DDEDLO C#CCCCCC(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001222872351 880729042 /nfs/dbraw/zinc/72/90/42/880729042.db2.gz LVVDAVBDWHNBCO-UHFFFAOYSA-N 1 2 317.437 1.337 20 30 DDEDLO CCNC(=O)C(C)(C)[NH2+]Cc1nnc(-c2cccc(C#N)c2)o1 ZINC001414000495 880778672 /nfs/dbraw/zinc/77/86/72/880778672.db2.gz OZTNLKFCLCIYCS-UHFFFAOYSA-N 1 2 313.361 1.613 20 30 DDEDLO C[N@H+](Cc1ccc(S(N)(=O)=O)o1)Cc1ccc(C#N)cc1 ZINC001414134155 881265953 /nfs/dbraw/zinc/26/59/53/881265953.db2.gz QZXIRERMCJQMER-UHFFFAOYSA-N 1 2 305.359 1.431 20 30 DDEDLO C[N@@H+](Cc1ccc(S(N)(=O)=O)o1)Cc1ccc(C#N)cc1 ZINC001414134155 881265970 /nfs/dbraw/zinc/26/59/70/881265970.db2.gz QZXIRERMCJQMER-UHFFFAOYSA-N 1 2 305.359 1.431 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2CC[N@H+](Cc3cnon3)[C@H]2C1 ZINC001224275730 881306609 /nfs/dbraw/zinc/30/66/09/881306609.db2.gz SAWZVQRUSWSRIP-HIFRSBDPSA-N 1 2 320.393 1.085 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnon3)[C@H]2C1 ZINC001224275730 881306622 /nfs/dbraw/zinc/30/66/22/881306622.db2.gz SAWZVQRUSWSRIP-HIFRSBDPSA-N 1 2 320.393 1.085 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2CC[N@H+](Cc3cnon3)[C@H]2C1 ZINC001224280617 881308377 /nfs/dbraw/zinc/30/83/77/881308377.db2.gz JTSQAPMWZFNJOE-NFAWXSAZSA-N 1 2 320.393 1.084 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3cnon3)[C@H]2C1 ZINC001224280617 881308393 /nfs/dbraw/zinc/30/83/93/881308393.db2.gz JTSQAPMWZFNJOE-NFAWXSAZSA-N 1 2 320.393 1.084 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001288039437 912687849 /nfs/dbraw/zinc/68/78/49/912687849.db2.gz HPULZCQWMVJLIS-LSDHHAIUSA-N 1 2 318.421 1.641 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc4oc(=O)ccc4cc3O)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228475527 883514194 /nfs/dbraw/zinc/51/41/94/883514194.db2.gz SMWNSLCHEYDCAD-IXDOLIHFSA-N 1 2 315.325 1.490 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc4oc(=O)ccc4cc3O)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228475527 883514202 /nfs/dbraw/zinc/51/42/02/883514202.db2.gz SMWNSLCHEYDCAD-IXDOLIHFSA-N 1 2 315.325 1.490 20 30 DDEDLO CCOC(=O)c1cncc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001228558075 883550121 /nfs/dbraw/zinc/55/01/21/883550121.db2.gz JBKKIHNEXXYFFQ-GAAPNJIFSA-N 1 2 304.346 1.250 20 30 DDEDLO CCOC(=O)c1cncc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001228558075 883550132 /nfs/dbraw/zinc/55/01/32/883550132.db2.gz JBKKIHNEXXYFFQ-GAAPNJIFSA-N 1 2 304.346 1.250 20 30 DDEDLO C=CCCC(=O)N1CCC([N@H+](C)Cc2nnnn2C2CC2)CC1 ZINC001277384990 883968291 /nfs/dbraw/zinc/96/82/91/883968291.db2.gz GOLIQAIBCHMJNW-UHFFFAOYSA-N 1 2 318.425 1.397 20 30 DDEDLO C=CCCC(=O)N1CCC([N@@H+](C)Cc2nnnn2C2CC2)CC1 ZINC001277384990 883968305 /nfs/dbraw/zinc/96/83/05/883968305.db2.gz GOLIQAIBCHMJNW-UHFFFAOYSA-N 1 2 318.425 1.397 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2nccn2C)CC1 ZINC001230407141 884472205 /nfs/dbraw/zinc/47/22/05/884472205.db2.gz JNIMYWBMDVTTGN-CYBMUJFWSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+]([C@H](C)c2nncn2C)CC1 ZINC001230411635 884477730 /nfs/dbraw/zinc/47/77/30/884477730.db2.gz OWTZLUNPLPAUQT-CYBMUJFWSA-N 1 2 321.425 1.049 20 30 DDEDLO CNC(=O)CCCC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230552946 884575958 /nfs/dbraw/zinc/57/59/58/884575958.db2.gz WNRBDKJRSADIHZ-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO CNC(=O)CCCC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230552946 884575963 /nfs/dbraw/zinc/57/59/63/884575963.db2.gz WNRBDKJRSADIHZ-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C=C1CCCCC1 ZINC001231023876 885138977 /nfs/dbraw/zinc/13/89/77/885138977.db2.gz BJMSFBGKSRPNSZ-MRXNPFEDSA-N 1 2 319.449 1.712 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C=C1CCCCC1 ZINC001231023876 885138986 /nfs/dbraw/zinc/13/89/86/885138986.db2.gz BJMSFBGKSRPNSZ-MRXNPFEDSA-N 1 2 319.449 1.712 20 30 DDEDLO CCOc1nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c(C#N)s1 ZINC001231090365 885210744 /nfs/dbraw/zinc/21/07/44/885210744.db2.gz DVPOYUMGQWKIEA-ADAFDVPTSA-N 1 2 307.375 1.405 20 30 DDEDLO CCOc1nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c(C#N)s1 ZINC001231090365 885210763 /nfs/dbraw/zinc/21/07/63/885210763.db2.gz DVPOYUMGQWKIEA-ADAFDVPTSA-N 1 2 307.375 1.405 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccnn1C ZINC001231095077 885214508 /nfs/dbraw/zinc/21/45/08/885214508.db2.gz MRLNAHVXLNETFO-HOCLYGCPSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccnn1C ZINC001231095077 885214522 /nfs/dbraw/zinc/21/45/22/885214522.db2.gz MRLNAHVXLNETFO-HOCLYGCPSA-N 1 2 320.437 1.434 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001231102501 885224099 /nfs/dbraw/zinc/22/40/99/885224099.db2.gz JBCJFZIAEIELIC-DZGCQCFKSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001231102501 885224114 /nfs/dbraw/zinc/22/41/14/885224114.db2.gz JBCJFZIAEIELIC-DZGCQCFKSA-N 1 2 321.421 1.997 20 30 DDEDLO COC(=O)c1cnc(Cl)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001231175836 885323261 /nfs/dbraw/zinc/32/32/61/885323261.db2.gz XUVQSQCUILNLJE-NJFCNUMCSA-N 1 2 324.764 1.513 20 30 DDEDLO COC(=O)c1cnc(Cl)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001231175836 885323281 /nfs/dbraw/zinc/32/32/81/885323281.db2.gz XUVQSQCUILNLJE-NJFCNUMCSA-N 1 2 324.764 1.513 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001288528925 912959694 /nfs/dbraw/zinc/95/96/94/912959694.db2.gz ORGQTURLARPEJR-QWHCGFSZSA-N 1 2 304.394 1.011 20 30 DDEDLO Cc1nccnc1C[NH+]1CC2(C[C@@H]2C(=O)NC2(C#N)CCC2)C1 ZINC001277558899 885841421 /nfs/dbraw/zinc/84/14/21/885841421.db2.gz GCOVKRALRDRFHT-CYBMUJFWSA-N 1 2 311.389 1.169 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@@H]2Cc3ccccc32)C1 ZINC001277651407 886265719 /nfs/dbraw/zinc/26/57/19/886265719.db2.gz CQVPHYYYXNIRPO-QGZVFWFLSA-N 1 2 300.402 1.672 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2CC3CC2(C(=O)N2CCCC2)C3)c1 ZINC001232677665 886531715 /nfs/dbraw/zinc/53/17/15/886531715.db2.gz NJHOZKSHBURNFX-UHFFFAOYSA-N 1 2 311.385 1.851 20 30 DDEDLO N#Cc1ccc(O)c(C[N@H+]2CC3CC2(C(=O)N2CCCC2)C3)c1 ZINC001232677665 886531725 /nfs/dbraw/zinc/53/17/25/886531725.db2.gz NJHOZKSHBURNFX-UHFFFAOYSA-N 1 2 311.385 1.851 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(N(C)C)c(C#N)c2F)C1 ZINC001233101105 886785776 /nfs/dbraw/zinc/78/57/76/886785776.db2.gz QYVPHFYMXZODQL-AWEZNQCLSA-N 1 2 318.396 1.864 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@H+](Cc2ccc(N(C)C)c(C#N)c2F)C1 ZINC001233101105 886785782 /nfs/dbraw/zinc/78/57/82/886785782.db2.gz QYVPHFYMXZODQL-AWEZNQCLSA-N 1 2 318.396 1.864 20 30 DDEDLO C=CCN1CC[NH+](Cc2ccc(C(=O)OC)c(OC)c2)CC1 ZINC001233518068 887063508 /nfs/dbraw/zinc/06/35/08/887063508.db2.gz MAFNQUFBOMTHQY-UHFFFAOYSA-N 1 2 304.390 1.785 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)/C(C)=C/C)C1=O ZINC001233559955 887107830 /nfs/dbraw/zinc/10/78/30/887107830.db2.gz FXURPUOBPDCIIP-MXSUSHOISA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)/C(C)=C/C)C1=O ZINC001233559955 887107833 /nfs/dbraw/zinc/10/78/33/887107833.db2.gz FXURPUOBPDCIIP-MXSUSHOISA-N 1 2 305.422 1.272 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC(C)(C)CC ZINC001233966046 887505438 /nfs/dbraw/zinc/50/54/38/887505438.db2.gz IPUFATXLTOQWHB-OAHLLOKOSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC(C)(C)CC ZINC001233966046 887505453 /nfs/dbraw/zinc/50/54/53/887505453.db2.gz IPUFATXLTOQWHB-OAHLLOKOSA-N 1 2 321.465 1.627 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001234068467 887616113 /nfs/dbraw/zinc/61/61/13/887616113.db2.gz LSRMPFNOBYQHJT-IUODEOHRSA-N 1 2 321.446 1.669 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001234068467 887616120 /nfs/dbraw/zinc/61/61/20/887616120.db2.gz LSRMPFNOBYQHJT-IUODEOHRSA-N 1 2 321.446 1.669 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1CC ZINC001234224429 887764452 /nfs/dbraw/zinc/76/44/52/887764452.db2.gz IBTABGXPBMMSQH-ZFWWWQNUSA-N 1 2 319.405 1.308 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1CC ZINC001234224429 887764464 /nfs/dbraw/zinc/76/44/64/887764464.db2.gz IBTABGXPBMMSQH-ZFWWWQNUSA-N 1 2 319.405 1.308 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001234391770 887932022 /nfs/dbraw/zinc/93/20/22/887932022.db2.gz CZEVVAPEKCELQV-ZACQAIPSSA-N 1 2 320.433 1.150 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001234391770 887932029 /nfs/dbraw/zinc/93/20/29/887932029.db2.gz CZEVVAPEKCELQV-ZACQAIPSSA-N 1 2 320.433 1.150 20 30 DDEDLO C[NH+](C)Cc1nc(CNC(=O)Nc2sccc2C#N)co1 ZINC001364143304 888018199 /nfs/dbraw/zinc/01/81/99/888018199.db2.gz PGDCOLOGVSLZNE-UHFFFAOYSA-N 1 2 305.363 1.991 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234554590 888088397 /nfs/dbraw/zinc/08/83/97/888088397.db2.gz KZUYNPAOBXJOAH-HUUCEWRRSA-N 1 2 319.449 1.259 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234554590 888088410 /nfs/dbraw/zinc/08/84/10/888088410.db2.gz KZUYNPAOBXJOAH-HUUCEWRRSA-N 1 2 319.449 1.259 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NCCC ZINC001234626625 888153126 /nfs/dbraw/zinc/15/31/26/888153126.db2.gz VRUUECTZVRDBDD-HUUCEWRRSA-N 1 2 309.454 1.790 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCCC ZINC001234626625 888153138 /nfs/dbraw/zinc/15/31/38/888153138.db2.gz VRUUECTZVRDBDD-HUUCEWRRSA-N 1 2 309.454 1.790 20 30 DDEDLO N#Cc1ccc2nc(NC(=O)CC[N@H+]3CC[C@@H](F)C3)[nH]c2c1 ZINC001364309041 888353481 /nfs/dbraw/zinc/35/34/81/888353481.db2.gz JZFUIMYVZDYCEP-LLVKDONJSA-N 1 2 301.325 1.807 20 30 DDEDLO N#Cc1ccc2nc(NC(=O)CC[N@@H+]3CC[C@@H](F)C3)[nH]c2c1 ZINC001364309041 888353491 /nfs/dbraw/zinc/35/34/91/888353491.db2.gz JZFUIMYVZDYCEP-LLVKDONJSA-N 1 2 301.325 1.807 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@](C)(OC)c1ccccc1F ZINC001235105046 888408720 /nfs/dbraw/zinc/40/87/20/888408720.db2.gz HMYAXEJAOJREAY-KDOFPFPSSA-N 1 2 318.392 1.853 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@](C)(OC)c1ccccc1F ZINC001235105046 888408738 /nfs/dbraw/zinc/40/87/38/888408738.db2.gz HMYAXEJAOJREAY-KDOFPFPSSA-N 1 2 318.392 1.853 20 30 DDEDLO CCc1cccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#CCOC)n1 ZINC001235391854 888609909 /nfs/dbraw/zinc/60/99/09/888609909.db2.gz GMEYOHVRSFBFLH-INIZCTEOSA-N 1 2 315.417 1.440 20 30 DDEDLO CCc1cccc(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#CCOC)n1 ZINC001235391854 888609918 /nfs/dbraw/zinc/60/99/18/888609918.db2.gz GMEYOHVRSFBFLH-INIZCTEOSA-N 1 2 315.417 1.440 20 30 DDEDLO C[C@@H]1C[C@H](C[N@@H+]2C[C@H]3CS(=O)(=O)C[C@]3(C#N)C2)C[C@H](C)O1 ZINC001364504445 888789288 /nfs/dbraw/zinc/78/92/88/888789288.db2.gz SIPOMLRGYKUILY-QRTUWBSPSA-N 1 2 312.435 1.060 20 30 DDEDLO C[C@@H]1C[C@H](C[N@H+]2C[C@H]3CS(=O)(=O)C[C@]3(C#N)C2)C[C@H](C)O1 ZINC001364504445 888789296 /nfs/dbraw/zinc/78/92/96/888789296.db2.gz SIPOMLRGYKUILY-QRTUWBSPSA-N 1 2 312.435 1.060 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[N@H+](C)Cc1ccc(S(N)(=O)=O)o1 ZINC001364697953 889222986 /nfs/dbraw/zinc/22/29/86/889222986.db2.gz LYEPYAASDDZWEX-LLVKDONJSA-N 1 2 319.386 1.992 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[N@@H+](C)Cc1ccc(S(N)(=O)=O)o1 ZINC001364697953 889222999 /nfs/dbraw/zinc/22/29/99/889222999.db2.gz LYEPYAASDDZWEX-LLVKDONJSA-N 1 2 319.386 1.992 20 30 DDEDLO C#CCN(C(=O)C(F)C(F)(F)F)C1CC[NH+](CCOC)CC1 ZINC001278151263 889872105 /nfs/dbraw/zinc/87/21/05/889872105.db2.gz LWGYQOBHUVLPAE-GFCCVEGCSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC(=O)N[C@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001365927857 891940470 /nfs/dbraw/zinc/94/04/70/891940470.db2.gz JEMODYFKOXOFJT-AWEZNQCLSA-N 1 2 305.353 1.424 20 30 DDEDLO COCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001365927857 891940484 /nfs/dbraw/zinc/94/04/84/891940484.db2.gz JEMODYFKOXOFJT-AWEZNQCLSA-N 1 2 305.353 1.424 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H](C)C#N ZINC001366206867 892738965 /nfs/dbraw/zinc/73/89/65/892738965.db2.gz RHHRLBFDUSSASM-DZGCQCFKSA-N 1 2 322.453 1.422 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H](C)C#N ZINC001366206867 892738969 /nfs/dbraw/zinc/73/89/69/892738969.db2.gz RHHRLBFDUSSASM-DZGCQCFKSA-N 1 2 322.453 1.422 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@@H](C)CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001366264492 892939995 /nfs/dbraw/zinc/93/99/95/892939995.db2.gz FHZFHKGRBBMZOQ-IUCAKERBSA-N 1 2 302.338 1.047 20 30 DDEDLO CCc1cnc(C[NH2+][C@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001366267954 892957433 /nfs/dbraw/zinc/95/74/33/892957433.db2.gz WUPAHQRVLROXCX-SNVBAGLBSA-N 1 2 301.350 1.345 20 30 DDEDLO CCOC(=O)[C@H](C)n1cc([NH+]=C(NO)c2ccccc2N)cn1 ZINC001248387442 893626982 /nfs/dbraw/zinc/62/69/82/893626982.db2.gz MLMVBOLHJUWZKR-JTQLQIEISA-N 1 2 317.349 1.647 20 30 DDEDLO N#Cc1ccn2ncc(C[NH+]3CCN(c4nccs4)CC3)c2c1 ZINC001249081906 893808852 /nfs/dbraw/zinc/80/88/52/893808852.db2.gz AAUCKWCPLXJHHX-UHFFFAOYSA-N 1 2 324.413 1.985 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H](C(C)C)N1CCCC1=O ZINC001366594761 894179661 /nfs/dbraw/zinc/17/96/61/894179661.db2.gz DKZNVRDKCZTTCM-CQSZACIVSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H](C(C)C)N1CCCC1=O ZINC001366594761 894179667 /nfs/dbraw/zinc/17/96/67/894179667.db2.gz DKZNVRDKCZTTCM-CQSZACIVSA-N 1 2 315.845 1.434 20 30 DDEDLO C=CC[C@@H]([NH2+]CC1(O)CCC(C(=O)OCC)CC1)C(=O)OC ZINC001251072205 894624668 /nfs/dbraw/zinc/62/46/68/894624668.db2.gz ZDODKRFSVSWLGM-MFOWVQHXSA-N 1 2 313.394 1.178 20 30 DDEDLO C=CCOC[C@H](O)C[N@H+]1C[C@@H](NC(=O)OC(C)(C)C)[C@@H](F)C1 ZINC001252464882 895176498 /nfs/dbraw/zinc/17/64/98/895176498.db2.gz CFLAJVOKULHNQU-FRRDWIJNSA-N 1 2 318.389 1.097 20 30 DDEDLO C=CCOC[C@H](O)C[N@@H+]1C[C@@H](NC(=O)OC(C)(C)C)[C@@H](F)C1 ZINC001252464882 895176507 /nfs/dbraw/zinc/17/65/07/895176507.db2.gz CFLAJVOKULHNQU-FRRDWIJNSA-N 1 2 318.389 1.097 20 30 DDEDLO C=CCOC[C@H](O)CN1CC[N@@H+]2CCc3ccccc3[C@@H]2C1 ZINC001252470540 895184487 /nfs/dbraw/zinc/18/44/87/895184487.db2.gz LVFIQUFNQUSGGH-AEFFLSMTSA-N 1 2 302.418 1.465 20 30 DDEDLO C=CCOC[C@H](O)CN1CC[N@H+]2CCc3ccccc3[C@@H]2C1 ZINC001252470540 895184494 /nfs/dbraw/zinc/18/44/94/895184494.db2.gz LVFIQUFNQUSGGH-AEFFLSMTSA-N 1 2 302.418 1.465 20 30 DDEDLO C[C@@]1(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)CCCN(CC#N)C1 ZINC001278668194 895190583 /nfs/dbraw/zinc/19/05/83/895190583.db2.gz LRWOUYFJKFIMRD-PBHICJAKSA-N 1 2 315.421 1.187 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001366900054 895278911 /nfs/dbraw/zinc/27/89/11/895278911.db2.gz XCQCWGQMTYIMJX-WFASDCNBSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001366900054 895278918 /nfs/dbraw/zinc/27/89/18/895278918.db2.gz XCQCWGQMTYIMJX-WFASDCNBSA-N 1 2 314.364 1.938 20 30 DDEDLO N#C[C@@H]1CN(C[C@@]2(O)CC[N@H+](Cc3ccccc3)C2)CCC1=O ZINC001252930394 895561002 /nfs/dbraw/zinc/56/10/02/895561002.db2.gz UUOMKVUCRDYVGC-AEFFLSMTSA-N 1 2 313.401 1.038 20 30 DDEDLO N#C[C@@H]1CN(C[C@@]2(O)CC[N@@H+](Cc3ccccc3)C2)CCC1=O ZINC001252930394 895561011 /nfs/dbraw/zinc/56/10/11/895561011.db2.gz UUOMKVUCRDYVGC-AEFFLSMTSA-N 1 2 313.401 1.038 20 30 DDEDLO CCc1nnc(C[NH2+][C@H](CC)CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001367096212 895852935 /nfs/dbraw/zinc/85/29/35/895852935.db2.gz XPKNKSMLRXREPT-SNVBAGLBSA-N 1 2 316.365 1.130 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1C[N@H+](CCF)CCC1(F)F ZINC001278740381 896089587 /nfs/dbraw/zinc/08/95/87/896089587.db2.gz FFQRDIAUCPYVBD-AAEUAGOBSA-N 1 2 308.344 1.356 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1C[N@@H+](CCF)CCC1(F)F ZINC001278740381 896089598 /nfs/dbraw/zinc/08/95/98/896089598.db2.gz FFQRDIAUCPYVBD-AAEUAGOBSA-N 1 2 308.344 1.356 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)CCc2cccc(Cl)c2)C1 ZINC001278891631 897133286 /nfs/dbraw/zinc/13/32/86/897133286.db2.gz KEBAGMHGMYYSAA-UHFFFAOYSA-N 1 2 320.820 1.459 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](C3CCS(=O)(=O)CC3)CC2)cc1 ZINC001256388884 897416925 /nfs/dbraw/zinc/41/69/25/897416925.db2.gz GZEYYAFIEOYSBE-UHFFFAOYSA-N 1 2 319.430 1.257 20 30 DDEDLO C#CC[C@H]([NH2+][C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1)C(=O)OC ZINC001256455295 897444382 /nfs/dbraw/zinc/44/43/82/897444382.db2.gz ATCASGGHMIAIFW-RDBSUJKOSA-N 1 2 324.421 1.929 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@H](C)NC(=O)c2ccc(C#N)[nH]2)n1 ZINC001367729278 897608312 /nfs/dbraw/zinc/60/83/12/897608312.db2.gz BRBYKCHEGWTIKW-JTQLQIEISA-N 1 2 316.365 1.130 20 30 DDEDLO C=CC[C@@H](NC(=O)c1ccn2c(C)c[nH+]c2c1)C(=O)OCC ZINC001261549417 899632815 /nfs/dbraw/zinc/63/28/15/899632815.db2.gz TZOVZZLRTXCUKU-CYBMUJFWSA-N 1 2 301.346 1.880 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@@H](C)[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001390648276 899858922 /nfs/dbraw/zinc/85/89/22/899858922.db2.gz RBLGSSYLWVPXQO-GHMZBOCLSA-N 1 2 307.398 1.511 20 30 DDEDLO C[N@H+](CCNC(=O)COCC1CC1)Cc1ccccc1C#N ZINC001390732031 900042360 /nfs/dbraw/zinc/04/23/60/900042360.db2.gz DABVYHGPDNQNMW-UHFFFAOYSA-N 1 2 301.390 1.533 20 30 DDEDLO C[N@@H+](CCNC(=O)COCC1CC1)Cc1ccccc1C#N ZINC001390732031 900042370 /nfs/dbraw/zinc/04/23/70/900042370.db2.gz DABVYHGPDNQNMW-UHFFFAOYSA-N 1 2 301.390 1.533 20 30 DDEDLO C=CC1CCN(c2nnc([C@@]3(C)C[C@@H](O)C[N@@H+]3C)n2C)CC1 ZINC001262856081 900395463 /nfs/dbraw/zinc/39/54/63/900395463.db2.gz BUFDLEIGMDKCOG-CZUORRHYSA-N 1 2 305.426 1.129 20 30 DDEDLO C=CC1CCN(c2nnc([C@@]3(C)C[C@@H](O)C[N@H+]3C)n2C)CC1 ZINC001262856081 900395471 /nfs/dbraw/zinc/39/54/71/900395471.db2.gz BUFDLEIGMDKCOG-CZUORRHYSA-N 1 2 305.426 1.129 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(F)F)n2CC(C)C)CC1 ZINC001263408304 900603730 /nfs/dbraw/zinc/60/37/30/900603730.db2.gz GAJRNLGVCRUXMW-UHFFFAOYSA-N 1 2 311.380 1.801 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](CC(N)=O)C[C@H]1c1ccccc1 ZINC001263885867 900799154 /nfs/dbraw/zinc/79/91/54/900799154.db2.gz OZYHNNOJDPUENM-LSDHHAIUSA-N 1 2 315.417 1.268 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](CC(N)=O)C[C@H]1c1ccccc1 ZINC001263885867 900799164 /nfs/dbraw/zinc/79/91/64/900799164.db2.gz OZYHNNOJDPUENM-LSDHHAIUSA-N 1 2 315.417 1.268 20 30 DDEDLO CC1(C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)[C@@H]2CCCN(CC#N)[C@H]21 ZINC001264030347 900883589 /nfs/dbraw/zinc/88/35/89/900883589.db2.gz QTRPTEKYMMCYEA-NUEKZKHPSA-N 1 2 315.421 1.471 20 30 DDEDLO CC1(C)[C@H](NC(=O)CCc2c[nH+]c[nH]2)[C@@H]2CCCN(CC#N)[C@H]21 ZINC001264030347 900883601 /nfs/dbraw/zinc/88/36/01/900883601.db2.gz QTRPTEKYMMCYEA-NUEKZKHPSA-N 1 2 315.421 1.471 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2cc(C)on2)[C@@H]1CC ZINC001264047901 900890161 /nfs/dbraw/zinc/89/01/61/900890161.db2.gz VLAKLZBMBHEUAZ-LSDHHAIUSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C)on2)[C@@H]1CC ZINC001264047901 900890171 /nfs/dbraw/zinc/89/01/71/900890171.db2.gz VLAKLZBMBHEUAZ-LSDHHAIUSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)[C@@H]1C ZINC001264134281 900967123 /nfs/dbraw/zinc/96/71/23/900967123.db2.gz GUYBVBICWGGACW-DOMZBBRYSA-N 1 2 307.369 1.502 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)[C@@H]1C ZINC001264134281 900967133 /nfs/dbraw/zinc/96/71/33/900967133.db2.gz GUYBVBICWGGACW-DOMZBBRYSA-N 1 2 307.369 1.502 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001264368935 901045263 /nfs/dbraw/zinc/04/52/63/901045263.db2.gz NIKXFNSPZVDQNU-DZGCQCFKSA-N 1 2 319.449 1.093 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001264368935 901045273 /nfs/dbraw/zinc/04/52/73/901045273.db2.gz NIKXFNSPZVDQNU-DZGCQCFKSA-N 1 2 319.449 1.093 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001264367077 901046676 /nfs/dbraw/zinc/04/66/76/901046676.db2.gz QTDJHJGARHNOAR-AWEZNQCLSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001264367077 901046680 /nfs/dbraw/zinc/04/66/80/901046680.db2.gz QTDJHJGARHNOAR-AWEZNQCLSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001264380360 901067502 /nfs/dbraw/zinc/06/75/02/901067502.db2.gz HWOKDCKAMXUJLJ-OAHLLOKOSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001264380360 901067510 /nfs/dbraw/zinc/06/75/10/901067510.db2.gz HWOKDCKAMXUJLJ-OAHLLOKOSA-N 1 2 307.394 1.608 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@]1(C)OCCc2ccccc21 ZINC001264382982 901071131 /nfs/dbraw/zinc/07/11/31/901071131.db2.gz SSHLXWFDBDNYJO-QFBILLFUSA-N 1 2 312.413 1.640 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@]1(C)OCCc2ccccc21 ZINC001264382982 901071146 /nfs/dbraw/zinc/07/11/46/901071146.db2.gz SSHLXWFDBDNYJO-QFBILLFUSA-N 1 2 312.413 1.640 20 30 DDEDLO C[C@H]1CCCN(C(=O)CCCn2cc[nH+]c2)[C@H]1CCNCC#N ZINC001264520812 901167242 /nfs/dbraw/zinc/16/72/42/901167242.db2.gz PVZLCGBZJBUFRV-HOTGVXAUSA-N 1 2 317.437 1.794 20 30 DDEDLO CC[N@@H+](CC#CCOC)CCCNC(=O)C(F)C(F)(F)F ZINC001265115012 901580661 /nfs/dbraw/zinc/58/06/61/901580661.db2.gz WGZDCAZWWCDDKM-LLVKDONJSA-N 1 2 312.307 1.365 20 30 DDEDLO CC[N@H+](CC#CCOC)CCCNC(=O)C(F)C(F)(F)F ZINC001265115012 901580667 /nfs/dbraw/zinc/58/06/67/901580667.db2.gz WGZDCAZWWCDDKM-LLVKDONJSA-N 1 2 312.307 1.365 20 30 DDEDLO CC[N@@H+](CC#CCOC)CCCNC(=O)[C@@H](F)C(F)(F)F ZINC001265115012 901580674 /nfs/dbraw/zinc/58/06/74/901580674.db2.gz WGZDCAZWWCDDKM-LLVKDONJSA-N 1 2 312.307 1.365 20 30 DDEDLO CC[N@H+](CC#CCOC)CCCNC(=O)[C@@H](F)C(F)(F)F ZINC001265115012 901580681 /nfs/dbraw/zinc/58/06/81/901580681.db2.gz WGZDCAZWWCDDKM-LLVKDONJSA-N 1 2 312.307 1.365 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@H+](Cc2cc(C3CC3)no2)C1 ZINC001265208040 901706108 /nfs/dbraw/zinc/70/61/08/901706108.db2.gz OWMCTWGSTNRCEB-AWEZNQCLSA-N 1 2 319.405 1.835 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(C3CC3)no2)C1 ZINC001265208040 901706119 /nfs/dbraw/zinc/70/61/19/901706119.db2.gz OWMCTWGSTNRCEB-AWEZNQCLSA-N 1 2 319.405 1.835 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001265220631 901728861 /nfs/dbraw/zinc/72/88/61/901728861.db2.gz NTENKGVGGAEDIU-ZDUSSCGKSA-N 1 2 318.421 1.778 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001265220631 901728877 /nfs/dbraw/zinc/72/88/77/901728877.db2.gz NTENKGVGGAEDIU-ZDUSSCGKSA-N 1 2 318.421 1.778 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CC(C)=C(C)C)C1 ZINC001265222867 901734040 /nfs/dbraw/zinc/73/40/40/901734040.db2.gz MKGZEXMKGCPNSU-HNNXBMFYSA-N 1 2 305.422 1.063 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CC(C)=C(C)C)C1 ZINC001265222867 901734056 /nfs/dbraw/zinc/73/40/56/901734056.db2.gz MKGZEXMKGCPNSU-HNNXBMFYSA-N 1 2 305.422 1.063 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CC23CCC3)C1 ZINC001265227344 901741124 /nfs/dbraw/zinc/74/11/24/901741124.db2.gz UBIXOYCILBTCTA-KGLIPLIRSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CC23CCC3)C1 ZINC001265227344 901741133 /nfs/dbraw/zinc/74/11/33/901741133.db2.gz UBIXOYCILBTCTA-KGLIPLIRSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCCC(=O)NCCN1CC[NH+](Cc2cncc(C)c2)CC1 ZINC001265257395 901778440 /nfs/dbraw/zinc/77/84/40/901778440.db2.gz CSUMJSUYZOSZHT-UHFFFAOYSA-N 1 2 316.449 1.590 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(Cc2cncc(C)c2)CC1 ZINC001265257395 901778450 /nfs/dbraw/zinc/77/84/50/901778450.db2.gz CSUMJSUYZOSZHT-UHFFFAOYSA-N 1 2 316.449 1.590 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)Cc2ccccc2C)CC1 ZINC001265266338 901790415 /nfs/dbraw/zinc/79/04/15/901790415.db2.gz JVRYLYVLFRNXTA-UHFFFAOYSA-N 1 2 313.445 1.295 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)sc2C)C1 ZINC001265292006 901835739 /nfs/dbraw/zinc/83/57/39/901835739.db2.gz CVMIBHFGVZHVSN-ZDUSSCGKSA-N 1 2 321.446 1.471 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2cc(C)sc2C)C1 ZINC001265292006 901835731 /nfs/dbraw/zinc/83/57/31/901835731.db2.gz CVMIBHFGVZHVSN-ZDUSSCGKSA-N 1 2 321.446 1.471 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)Cc2c(C)nn(C)c2C)C1 ZINC001391497039 901851074 /nfs/dbraw/zinc/85/10/74/901851074.db2.gz LLHASRLEPNOCRY-UHFFFAOYSA-N 1 2 310.829 1.380 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@H]1CCC[N@H+](Cc2cnon2)C1 ZINC001265581678 902156803 /nfs/dbraw/zinc/15/68/03/902156803.db2.gz DDWOGJBFQCVPQO-ZDUSSCGKSA-N 1 2 304.394 1.447 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@H]1CCC[N@@H+](Cc2cnon2)C1 ZINC001265581678 902156810 /nfs/dbraw/zinc/15/68/10/902156810.db2.gz DDWOGJBFQCVPQO-ZDUSSCGKSA-N 1 2 304.394 1.447 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)Oc2cccnc2)C1 ZINC001370012911 902388109 /nfs/dbraw/zinc/38/81/09/902388109.db2.gz GHZQFNLNIAEWIT-OLZOCXBDSA-N 1 2 309.797 1.792 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)Oc2cccnc2)C1 ZINC001370012911 902388114 /nfs/dbraw/zinc/38/81/14/902388114.db2.gz GHZQFNLNIAEWIT-OLZOCXBDSA-N 1 2 309.797 1.792 20 30 DDEDLO CC#CCCCC(=O)N1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001293741388 914669735 /nfs/dbraw/zinc/66/97/35/914669735.db2.gz REXMHUNSECSQMN-UHFFFAOYSA-N 1 2 316.405 1.207 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(C)=C2CCCC2)C1 ZINC001266213015 903122652 /nfs/dbraw/zinc/12/26/52/903122652.db2.gz UAMHGZRAXWZVAG-INIZCTEOSA-N 1 2 319.449 1.760 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(C)=C2CCCC2)C1 ZINC001266213015 903122660 /nfs/dbraw/zinc/12/26/60/903122660.db2.gz UAMHGZRAXWZVAG-INIZCTEOSA-N 1 2 319.449 1.760 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001266219368 903136516 /nfs/dbraw/zinc/13/65/16/903136516.db2.gz XYSIQVIOEAOPJM-HZPDHXFCSA-N 1 2 321.465 1.531 20 30 DDEDLO CCC[C@@H](C)NC(=O)C[N@H+]1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001266219368 903136525 /nfs/dbraw/zinc/13/65/25/903136525.db2.gz XYSIQVIOEAOPJM-HZPDHXFCSA-N 1 2 321.465 1.531 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@H](CCNCC#N)C3)ccn12 ZINC001280052782 903501329 /nfs/dbraw/zinc/50/13/29/903501329.db2.gz NOASBIZEZAJNMN-AWEZNQCLSA-N 1 2 311.389 1.608 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CN(C(=O)CCc2c[nH+]cn2C)C1 ZINC001280617956 903842541 /nfs/dbraw/zinc/84/25/41/903842541.db2.gz SWGZFNYERXBOGD-ZDUSSCGKSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H]1CCC[C@H](C(N)=O)C1 ZINC001392311346 903853603 /nfs/dbraw/zinc/85/36/03/903853603.db2.gz JWHAGFBVHBIZGP-QWHCGFSZSA-N 1 2 315.845 1.421 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H]1CCC[C@H](C(N)=O)C1 ZINC001392311346 903853612 /nfs/dbraw/zinc/85/36/12/903853612.db2.gz JWHAGFBVHBIZGP-QWHCGFSZSA-N 1 2 315.845 1.421 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](NC(=O)CCn2cc[nH+]c2)C(C)(C)C1 ZINC001280677521 903911623 /nfs/dbraw/zinc/91/16/23/903911623.db2.gz BUZIUMYFLPUMJV-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1C[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001280759000 903998181 /nfs/dbraw/zinc/99/81/81/903998181.db2.gz CAPBWYMYTGNNID-JOCQHMNTSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001280764434 904004051 /nfs/dbraw/zinc/00/40/51/904004051.db2.gz OIGFAWGPJLUOJN-AJNGGQMLSA-N 1 2 318.421 1.352 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)COCC1CCCC1)O2 ZINC001280929584 904201060 /nfs/dbraw/zinc/20/10/60/904201060.db2.gz FTURWALBTGWSDI-INIZCTEOSA-N 1 2 322.449 1.729 20 30 DDEDLO CO[C@H](C)C[NH+]1CC2(C1)C[C@H](NC(=O)C#CC(C)(C)C)CO2 ZINC001280949272 904232666 /nfs/dbraw/zinc/23/26/66/904232666.db2.gz ZMPKJAGPVRNPEC-KGLIPLIRSA-N 1 2 308.422 1.030 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC1(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001281539738 904991543 /nfs/dbraw/zinc/99/15/43/904991543.db2.gz ZIQLMUZXIZBZOK-UHFFFAOYSA-N 1 2 318.421 1.567 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)Cc1c(C)nn(C)c1C ZINC001375073831 914869633 /nfs/dbraw/zinc/86/96/33/914869633.db2.gz BTCMCNBUXDKFRK-NSHDSACASA-N 1 2 312.845 1.768 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)Cc1c(C)nn(C)c1C ZINC001375073831 914869652 /nfs/dbraw/zinc/86/96/52/914869652.db2.gz BTCMCNBUXDKFRK-NSHDSACASA-N 1 2 312.845 1.768 20 30 DDEDLO COC[C@@H](C)CC(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001392862480 905501224 /nfs/dbraw/zinc/50/12/24/905501224.db2.gz MUNCYEVCQOXBKB-QWHCGFSZSA-N 1 2 321.446 1.983 20 30 DDEDLO COC[C@@H](C)CC(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001392862480 905501237 /nfs/dbraw/zinc/50/12/37/905501237.db2.gz MUNCYEVCQOXBKB-QWHCGFSZSA-N 1 2 321.446 1.983 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001282093139 905538093 /nfs/dbraw/zinc/53/80/93/905538093.db2.gz OLBGPHQBFFBEAO-SYQHCUMBSA-N 1 2 318.421 1.421 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2ccc(C)c(F)c2F)C1 ZINC001282537501 905857243 /nfs/dbraw/zinc/85/72/43/905857243.db2.gz FMIJXXYLCKBHNU-UHFFFAOYSA-N 1 2 322.355 1.463 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCCCC[N@@H+]1Cc1ncccn1 ZINC001282660930 905928269 /nfs/dbraw/zinc/92/82/69/905928269.db2.gz FJSCTERBTLRYSI-HNNXBMFYSA-N 1 2 318.421 1.540 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCCCC[N@H+]1Cc1ncccn1 ZINC001282660930 905928286 /nfs/dbraw/zinc/92/82/86/905928286.db2.gz FJSCTERBTLRYSI-HNNXBMFYSA-N 1 2 318.421 1.540 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](CCS(C)(=O)=O)CC1(C)C ZINC001282820930 906065369 /nfs/dbraw/zinc/06/53/69/906065369.db2.gz GRYQEQRZOYLPBI-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](CCS(C)(=O)=O)CC1(C)C ZINC001282820930 906065387 /nfs/dbraw/zinc/06/53/87/906065387.db2.gz GRYQEQRZOYLPBI-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001283893129 908144479 /nfs/dbraw/zinc/14/44/79/908144479.db2.gz ORAILVJSNBMDCK-CXAGYDPISA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)CCc1nccn1C)C1CC1 ZINC001372838289 908395798 /nfs/dbraw/zinc/39/57/98/908395798.db2.gz RLXSFGDLZZVCRT-UHFFFAOYSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)CCc1nccn1C)C1CC1 ZINC001372838289 908395812 /nfs/dbraw/zinc/39/58/12/908395812.db2.gz RLXSFGDLZZVCRT-UHFFFAOYSA-N 1 2 310.829 1.686 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@H+](Cc2cc(C)on2)[C@H]1C ZINC001284131096 908526730 /nfs/dbraw/zinc/52/67/30/908526730.db2.gz ZANVEHFLJZIOFV-HOCLYGCPSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(C)on2)[C@H]1C ZINC001284131096 908526742 /nfs/dbraw/zinc/52/67/42/908526742.db2.gz ZANVEHFLJZIOFV-HOCLYGCPSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCC1(C(=O)N[C@@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001284293662 908786084 /nfs/dbraw/zinc/78/60/84/908786084.db2.gz HMUFEBIHOADPNB-LBPRGKRZSA-N 1 2 316.405 1.075 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001284367362 908900819 /nfs/dbraw/zinc/90/08/19/908900819.db2.gz ZHMTZVCHRQDNTO-ZDUSSCGKSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001284465224 909040780 /nfs/dbraw/zinc/04/07/80/909040780.db2.gz PXXZWVHSMVGNHD-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C1C[NH+](Cc2cc3n(n2)CCCC3)C1 ZINC001394215077 909105279 /nfs/dbraw/zinc/10/52/79/909105279.db2.gz FQEFQJBKEKIFMO-OLZOCXBDSA-N 1 2 315.421 1.316 20 30 DDEDLO CC(C)C#CC(=O)N(CC[N@H+](C)Cc1cnnn1C)C(C)C ZINC001284533967 909154859 /nfs/dbraw/zinc/15/48/59/909154859.db2.gz UHVDIWNUAAXYBM-UHFFFAOYSA-N 1 2 305.426 1.143 20 30 DDEDLO CC(C)C#CC(=O)N(CC[N@@H+](C)Cc1cnnn1C)C(C)C ZINC001284533967 909154864 /nfs/dbraw/zinc/15/48/64/909154864.db2.gz UHVDIWNUAAXYBM-UHFFFAOYSA-N 1 2 305.426 1.143 20 30 DDEDLO CC[C@H](CNC(=O)c1cccc2[nH+]ccn21)NC(=O)C#CC1CC1 ZINC001285075922 910037906 /nfs/dbraw/zinc/03/79/06/910037906.db2.gz PHKVELVFOSWZKF-CQSZACIVSA-N 1 2 324.384 1.372 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+]1CC[C@@H](NC(=O)[C@H](C)C#N)C1 ZINC001373624194 910393318 /nfs/dbraw/zinc/39/33/18/910393318.db2.gz OGQYZXNQEMALOQ-MWLCHTKSSA-N 1 2 309.801 1.232 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+]1CC[C@@H](NC(=O)[C@H](C)C#N)C1 ZINC001373624194 910393334 /nfs/dbraw/zinc/39/33/34/910393334.db2.gz OGQYZXNQEMALOQ-MWLCHTKSSA-N 1 2 309.801 1.232 20 30 DDEDLO C=CCCC(=O)NC1CCC(N(C)C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001285448073 910536568 /nfs/dbraw/zinc/53/65/68/910536568.db2.gz RTCHXHGVHLNVAI-UHFFFAOYSA-N 1 2 318.421 1.804 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001285684220 911032747 /nfs/dbraw/zinc/03/27/47/911032747.db2.gz XTWFPMYCPGRDTJ-CQSZACIVSA-N 1 2 318.421 1.167 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]1CNC(=O)c1cccc2[nH+]ccn21 ZINC001285874749 911345790 /nfs/dbraw/zinc/34/57/90/911345790.db2.gz OAUFNYDELOHYSF-AWEZNQCLSA-N 1 2 324.384 1.469 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@](C)(NC(=O)C#CC(C)C)C1 ZINC001286090596 911679681 /nfs/dbraw/zinc/67/96/81/911679681.db2.gz XDJDATNXENSVHB-KRWDZBQOSA-N 1 2 316.405 1.027 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001286502744 912000857 /nfs/dbraw/zinc/00/08/57/912000857.db2.gz OETHKYZNRJHGQH-JOCQHMNTSA-N 1 2 316.405 1.013 20 30 DDEDLO CC(C)c1nc(C[NH2+]C2(CNC(=O)[C@H](C)C#N)CCCC2)no1 ZINC001374152021 912023432 /nfs/dbraw/zinc/02/34/32/912023432.db2.gz NJXCYJGLKUPTOJ-GFCCVEGCSA-N 1 2 319.409 1.871 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001294104125 914919322 /nfs/dbraw/zinc/91/93/22/914919322.db2.gz VTLBCXIHTIJENE-ZDUSSCGKSA-N 1 2 320.437 1.765 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001294104125 914919338 /nfs/dbraw/zinc/91/93/38/914919338.db2.gz VTLBCXIHTIJENE-ZDUSSCGKSA-N 1 2 320.437 1.765 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001294786216 915366026 /nfs/dbraw/zinc/36/60/26/915366026.db2.gz ZQQRUTOJWSXCRW-ZDUSSCGKSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001294793950 915371191 /nfs/dbraw/zinc/37/11/91/915371191.db2.gz ATMXCAJELPAVQZ-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](CNC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001294902619 915448482 /nfs/dbraw/zinc/44/84/82/915448482.db2.gz RZLPQROOVORTDG-OAHLLOKOSA-N 1 2 316.405 1.013 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](CNC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001294902619 915448489 /nfs/dbraw/zinc/44/84/89/915448489.db2.gz RZLPQROOVORTDG-OAHLLOKOSA-N 1 2 316.405 1.013 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001295543766 915887504 /nfs/dbraw/zinc/88/75/04/915887504.db2.gz CNVFRRNZWCETPX-BFHYXJOUSA-N 1 2 316.405 1.328 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001295543766 915887515 /nfs/dbraw/zinc/88/75/15/915887515.db2.gz CNVFRRNZWCETPX-BFHYXJOUSA-N 1 2 316.405 1.328 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001295596894 915921784 /nfs/dbraw/zinc/92/17/84/915921784.db2.gz OKEISPASFKWQPT-QWHCGFSZSA-N 1 2 318.421 1.279 20 30 DDEDLO CCCC(=O)N[C@@]1(CO)CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001376452309 918526400 /nfs/dbraw/zinc/52/64/00/918526400.db2.gz NOKLSQXSFQINSJ-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO CCCC(=O)N[C@@]1(CO)CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001376452309 918526410 /nfs/dbraw/zinc/52/64/10/918526410.db2.gz NOKLSQXSFQINSJ-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)CC(F)(F)F)C1 ZINC001376464491 918552537 /nfs/dbraw/zinc/55/25/37/918552537.db2.gz URLRIHQJZMBVAG-LLVKDONJSA-N 1 2 314.735 1.634 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)CC(F)(F)F)C1 ZINC001376464491 918552551 /nfs/dbraw/zinc/55/25/51/918552551.db2.gz URLRIHQJZMBVAG-LLVKDONJSA-N 1 2 314.735 1.634 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCCO1)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001377992141 923621528 /nfs/dbraw/zinc/62/15/28/923621528.db2.gz MZKHMBBPEUVTNJ-WBMJQRKESA-N 1 2 319.380 1.813 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCCO1)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001377992141 923621542 /nfs/dbraw/zinc/62/15/42/923621542.db2.gz MZKHMBBPEUVTNJ-WBMJQRKESA-N 1 2 319.380 1.813 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001378019600 923717389 /nfs/dbraw/zinc/71/73/89/923717389.db2.gz YDBRCDXXEXWNFO-VIFPVBQESA-N 1 2 318.406 1.297 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001378019600 923717404 /nfs/dbraw/zinc/71/74/04/923717404.db2.gz YDBRCDXXEXWNFO-VIFPVBQESA-N 1 2 318.406 1.297 20 30 DDEDLO Cc1conc1C[N@@H+](C)[C@@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001378020038 923721105 /nfs/dbraw/zinc/72/11/05/923721105.db2.gz HMZJXXSZNVZLFU-NSHDSACASA-N 1 2 301.350 1.433 20 30 DDEDLO Cc1conc1C[N@H+](C)[C@@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001378020038 923721120 /nfs/dbraw/zinc/72/11/20/923721120.db2.gz HMZJXXSZNVZLFU-NSHDSACASA-N 1 2 301.350 1.433 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccc(C)n3)n2CC=C)CC1 ZINC000282362383 222581406 /nfs/dbraw/zinc/58/14/06/222581406.db2.gz TULNZWYWBROGAW-UHFFFAOYSA-N 1 2 322.416 1.590 20 30 DDEDLO CC[C@@H](CC#N)NC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000343856654 529447430 /nfs/dbraw/zinc/44/74/30/529447430.db2.gz STNFTLCZNULPAQ-AWEZNQCLSA-N 1 2 302.378 1.336 20 30 DDEDLO Cc1noc([C@H]2C[C@@H](O)C[N@@H+]2CCOc2ccccc2C#N)n1 ZINC000328625422 231256113 /nfs/dbraw/zinc/25/61/13/231256113.db2.gz KSSDQPLXEIITIF-ZIAGYGMSSA-N 1 2 314.345 1.436 20 30 DDEDLO Cc1noc([C@H]2C[C@@H](O)C[N@H+]2CCOc2ccccc2C#N)n1 ZINC000328625422 231256116 /nfs/dbraw/zinc/25/61/16/231256116.db2.gz KSSDQPLXEIITIF-ZIAGYGMSSA-N 1 2 314.345 1.436 20 30 DDEDLO C=CCN(Cc1c[nH+]c2ccc(C)cn12)[C@@H]1CCS(=O)(=O)C1 ZINC000092361754 185314163 /nfs/dbraw/zinc/31/41/63/185314163.db2.gz ZWBQGWUORFUURV-CQSZACIVSA-N 1 2 319.430 1.818 20 30 DDEDLO CN(C(=O)C[N@H+](C)CC(=O)NC1(C#N)CCC1)C1CCCCC1 ZINC000615223698 362202125 /nfs/dbraw/zinc/20/21/25/362202125.db2.gz ZCPBVWWFFAUBPK-UHFFFAOYSA-N 1 2 320.437 1.272 20 30 DDEDLO CN(C(=O)C[N@@H+](C)CC(=O)NC1(C#N)CCC1)C1CCCCC1 ZINC000615223698 362202128 /nfs/dbraw/zinc/20/21/28/362202128.db2.gz ZCPBVWWFFAUBPK-UHFFFAOYSA-N 1 2 320.437 1.272 20 30 DDEDLO CN(CC1CCOCC1)C([O-])=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000329898439 529865947 /nfs/dbraw/zinc/86/59/47/529865947.db2.gz MESSDAWRCRFFFR-AWEZNQCLSA-N 1 2 306.410 1.718 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cccc(-n2cccn2)c1 ZINC000414140416 529868231 /nfs/dbraw/zinc/86/82/31/529868231.db2.gz GYNUPACWTZXWPX-OAHLLOKOSA-N 1 2 300.362 1.457 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cccc(-n2cccn2)c1 ZINC000414140416 529868233 /nfs/dbraw/zinc/86/82/33/529868233.db2.gz GYNUPACWTZXWPX-OAHLLOKOSA-N 1 2 300.362 1.457 20 30 DDEDLO CC(C)[C@@H](C(=O)N1CC[C@@](F)(C#N)C1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000615825481 362455103 /nfs/dbraw/zinc/45/51/03/362455103.db2.gz QTDAXHVKYNQQDR-KNCOVGOOSA-N 1 2 311.401 1.584 20 30 DDEDLO CC(C)[C@@H](C(=O)N1CC[C@@](F)(C#N)C1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000615825481 362455108 /nfs/dbraw/zinc/45/51/08/362455108.db2.gz QTDAXHVKYNQQDR-KNCOVGOOSA-N 1 2 311.401 1.584 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+]([C@H](C)c3ccncc3)CC2)c1C#N ZINC000615972827 362504930 /nfs/dbraw/zinc/50/49/30/362504930.db2.gz XPRVMIFSIOPRQJ-CQSZACIVSA-N 1 2 310.405 1.878 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)c2cc(C)c(C#N)cn2)CC1 ZINC000457799827 232131663 /nfs/dbraw/zinc/13/16/63/232131663.db2.gz XHYSJVARWVVWAB-UHFFFAOYSA-N 1 2 321.384 1.928 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(CCc2ccccc2)c1=O ZINC000564550163 304000260 /nfs/dbraw/zinc/00/02/60/304000260.db2.gz IEVHZUBISUVCDD-UHFFFAOYSA-N 1 2 323.396 1.795 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000186045603 186235447 /nfs/dbraw/zinc/23/54/47/186235447.db2.gz BLHKUEZJYXZHTJ-ZBFHGGJFSA-N 1 2 301.390 1.381 20 30 DDEDLO C=CCC[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000172619892 198126055 /nfs/dbraw/zinc/12/60/55/198126055.db2.gz FQPPOBBZSURLJK-UHFFFAOYSA-N 1 2 305.403 1.441 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@H+](C)CC(=O)NC(C)(C)C ZINC000007660100 352126010 /nfs/dbraw/zinc/12/60/10/352126010.db2.gz GVIBDJWKEWEJJN-BLLLJJGKSA-N 1 2 310.442 1.276 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@@H+](C)CC(=O)NC(C)(C)C ZINC000007660100 352126011 /nfs/dbraw/zinc/12/60/11/352126011.db2.gz GVIBDJWKEWEJJN-BLLLJJGKSA-N 1 2 310.442 1.276 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)CSCC#N)c1ccccc1 ZINC000032928153 352285525 /nfs/dbraw/zinc/28/55/25/352285525.db2.gz YLOCCOKNRYWRQE-CQSZACIVSA-N 1 2 300.387 1.882 20 30 DDEDLO Cn1cc[nH+]c1C[C@H]1CCCN(C(=O)c2cc(C#N)ccn2)C1 ZINC000331739285 234067455 /nfs/dbraw/zinc/06/74/55/234067455.db2.gz VJTLPJOYVIDVOA-CQSZACIVSA-N 1 2 309.373 1.782 20 30 DDEDLO C=CC[N@@H+](CC(=O)N(C)CC(=O)NCCC)Cc1ccccc1 ZINC000045840890 352425285 /nfs/dbraw/zinc/42/52/85/352425285.db2.gz JRHXGOPAVVMIRL-UHFFFAOYSA-N 1 2 317.433 1.659 20 30 DDEDLO C=CC[N@H+](CC(=O)N(C)CC(=O)NCCC)Cc1ccccc1 ZINC000045840890 352425291 /nfs/dbraw/zinc/42/52/91/352425291.db2.gz JRHXGOPAVVMIRL-UHFFFAOYSA-N 1 2 317.433 1.659 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+](C)CC(=O)Nc1cccc(F)c1 ZINC000052659096 352621777 /nfs/dbraw/zinc/62/17/77/352621777.db2.gz LBDVIEWJJOOSLU-LBPRGKRZSA-N 1 2 320.368 1.457 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+](C)CC(=O)Nc1cccc(F)c1 ZINC000052659096 352621780 /nfs/dbraw/zinc/62/17/80/352621780.db2.gz LBDVIEWJJOOSLU-LBPRGKRZSA-N 1 2 320.368 1.457 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@H+](C)C[C@H](C)C#N)cc1 ZINC000064796316 352944242 /nfs/dbraw/zinc/94/42/42/352944242.db2.gz ZULHQEBVUBTYNC-OCCSQVGLSA-N 1 2 306.362 1.304 20 30 DDEDLO COC(=O)c1ccc(OC[C@@H](O)C[N@@H+](C)C[C@H](C)C#N)cc1 ZINC000064796316 352944243 /nfs/dbraw/zinc/94/42/43/352944243.db2.gz ZULHQEBVUBTYNC-OCCSQVGLSA-N 1 2 306.362 1.304 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC000491676929 234240046 /nfs/dbraw/zinc/24/00/46/234240046.db2.gz ZSHRGQXMMZNRDV-SJKOYZFVSA-N 1 2 310.357 1.236 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC000491676929 234240048 /nfs/dbraw/zinc/24/00/48/234240048.db2.gz ZSHRGQXMMZNRDV-SJKOYZFVSA-N 1 2 310.357 1.236 20 30 DDEDLO C=CCCNC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000617616644 363201422 /nfs/dbraw/zinc/20/14/22/363201422.db2.gz BGFYTGDMJCJNDV-UHFFFAOYSA-N 1 2 310.419 1.328 20 30 DDEDLO N#Cc1ccc(OCC(=O)NCCc2cn3c([nH+]2)CCCC3)cc1 ZINC000074250276 353302897 /nfs/dbraw/zinc/30/28/97/353302897.db2.gz KPNRVWUJGCKVGI-UHFFFAOYSA-N 1 2 324.384 1.829 20 30 DDEDLO CN1CC[C@H]([N@H+](C)Cc2nnc(-c3cccc(C#N)c3)o2)C1=O ZINC000075752546 353378708 /nfs/dbraw/zinc/37/87/08/353378708.db2.gz GTCNTBFPPAORLV-ZDUSSCGKSA-N 1 2 311.345 1.271 20 30 DDEDLO CN1CC[C@H]([N@@H+](C)Cc2nnc(-c3cccc(C#N)c3)o2)C1=O ZINC000075752546 353378713 /nfs/dbraw/zinc/37/87/13/353378713.db2.gz GTCNTBFPPAORLV-ZDUSSCGKSA-N 1 2 311.345 1.271 20 30 DDEDLO COCCCNC(=O)C[N@@H+]1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000076153891 353401833 /nfs/dbraw/zinc/40/18/33/353401833.db2.gz SFQUBIHKKHBNPR-DLBZAZTESA-N 1 2 315.417 1.911 20 30 DDEDLO COCCCNC(=O)C[N@H+]1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000076153891 353401834 /nfs/dbraw/zinc/40/18/34/353401834.db2.gz SFQUBIHKKHBNPR-DLBZAZTESA-N 1 2 315.417 1.911 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCC(C(=O)c2ccc(O)cc2)CC1 ZINC000078657372 353527125 /nfs/dbraw/zinc/52/71/25/353527125.db2.gz YZHBGYUDAXYBQY-UHFFFAOYSA-N 1 2 302.374 1.589 20 30 DDEDLO O=C(C#Cc1ccccc1)NCc1ccc(-n2cc[nH+]c2)nc1 ZINC000080539157 353613607 /nfs/dbraw/zinc/61/36/07/353613607.db2.gz ARGLOBJYLSQVLN-UHFFFAOYSA-N 1 2 302.337 1.935 20 30 DDEDLO COCC[C@@H](C)O[NH+]=C(N)c1ccc(-n2nc(C)cc2C)nc1 ZINC000088519905 353756430 /nfs/dbraw/zinc/75/64/30/353756430.db2.gz LQPNJBVTLLWRKF-CYBMUJFWSA-N 1 2 317.393 1.946 20 30 DDEDLO CCc1nnc(SC[C@@H]2C[N@H+](C)CCO2)c(C#N)c1CC ZINC000400748655 354662326 /nfs/dbraw/zinc/66/23/26/354662326.db2.gz BRJSSVRBFRQERI-NSHDSACASA-N 1 2 306.435 1.896 20 30 DDEDLO CCc1nnc(SC[C@@H]2C[N@@H+](C)CCO2)c(C#N)c1CC ZINC000400748655 354662331 /nfs/dbraw/zinc/66/23/31/354662331.db2.gz BRJSSVRBFRQERI-NSHDSACASA-N 1 2 306.435 1.896 20 30 DDEDLO CCCOc1ccc(OCC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000579424575 354719097 /nfs/dbraw/zinc/71/90/97/354719097.db2.gz LCYIULCPJHDEES-KRWDZBQOSA-N 1 2 319.405 1.814 20 30 DDEDLO C=CC[C@@H]1N(C(=O)C(=O)N(C)Cc2c[nH+]c[nH]2)CCCC1(C)C ZINC000580785545 354726891 /nfs/dbraw/zinc/72/68/91/354726891.db2.gz FQDPEAJFADTKMG-AWEZNQCLSA-N 1 2 318.421 1.961 20 30 DDEDLO C=CC[C@@H]1N(C(=O)C(=O)N(C)Cc2c[nH]c[nH+]2)CCCC1(C)C ZINC000580785545 354726894 /nfs/dbraw/zinc/72/68/94/354726894.db2.gz FQDPEAJFADTKMG-AWEZNQCLSA-N 1 2 318.421 1.961 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCSC[C@H]2CO)c1C#N ZINC000584678641 354744658 /nfs/dbraw/zinc/74/46/58/354744658.db2.gz ZZFGYIFUSBGNMQ-GFCCVEGCSA-N 1 2 306.387 1.255 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCSC[C@H]2CO)c1C#N ZINC000584678641 354744661 /nfs/dbraw/zinc/74/46/61/354744661.db2.gz ZZFGYIFUSBGNMQ-GFCCVEGCSA-N 1 2 306.387 1.255 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@H](CNC(=O)CC(F)(F)F)C1 ZINC000586774425 354863704 /nfs/dbraw/zinc/86/37/04/354863704.db2.gz WNSRGAOLXADOKQ-GHMZBOCLSA-N 1 2 322.327 1.495 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@H](CNC(=O)CC(F)(F)F)C1 ZINC000586774425 354863705 /nfs/dbraw/zinc/86/37/05/354863705.db2.gz WNSRGAOLXADOKQ-GHMZBOCLSA-N 1 2 322.327 1.495 20 30 DDEDLO Cc1nc(N2CCN(C(=O)CCCCCC#N)CC2)cc[nH+]1 ZINC000588679465 354927737 /nfs/dbraw/zinc/92/77/37/354927737.db2.gz SQMCUOJTYSEDHW-UHFFFAOYSA-N 1 2 301.394 1.908 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](Cc3ccccc3)CC2)n1 ZINC000589252510 354977523 /nfs/dbraw/zinc/97/75/23/354977523.db2.gz TXNAKCYAVZLRSA-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO N#Cc1cc(C[NH+]2CCN(c3ncccc3[N+](=O)[O-])CC2)ccn1 ZINC000590962223 355224796 /nfs/dbraw/zinc/22/47/96/355224796.db2.gz IQSYLWCIZPPPGO-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1C[C@H](C(=O)OC)[C@H](c2ccccc2)C1 ZINC000590965575 355225613 /nfs/dbraw/zinc/22/56/13/355225613.db2.gz ARDUZHNINSZQMJ-KKUMJFAQSA-N 1 2 303.358 1.603 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1C[C@H](C(=O)OC)[C@H](c2ccccc2)C1 ZINC000590965575 355225615 /nfs/dbraw/zinc/22/56/15/355225615.db2.gz ARDUZHNINSZQMJ-KKUMJFAQSA-N 1 2 303.358 1.603 20 30 DDEDLO C[C@@]1(C#N)CCCN(C(=O)C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC000591017929 355232779 /nfs/dbraw/zinc/23/27/79/355232779.db2.gz ZOUYKNWKOQJJRP-INIZCTEOSA-N 1 2 311.345 1.425 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)c1cc(O)cc(C#N)c1 ZINC000591230507 355269601 /nfs/dbraw/zinc/26/96/01/355269601.db2.gz CGMMCVZLDWKEAR-UHFFFAOYSA-N 1 2 303.362 1.104 20 30 DDEDLO CN(C(=O)c1cccc(C#N)n1)C(C)(C)C[NH+]1CCOCC1 ZINC000591236814 355270700 /nfs/dbraw/zinc/27/07/00/355270700.db2.gz AKYQVMLBIBAOBU-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO CCC(=O)N1CC[N@H+](C[C@H](O)COc2ccc(CC#N)cc2)C1 ZINC000591852503 355395263 /nfs/dbraw/zinc/39/52/63/355395263.db2.gz NZQXCIAKJUYHCX-HNNXBMFYSA-N 1 2 317.389 1.004 20 30 DDEDLO CCC(=O)N1CC[N@@H+](C[C@H](O)COc2ccc(CC#N)cc2)C1 ZINC000591852503 355395265 /nfs/dbraw/zinc/39/52/65/355395265.db2.gz NZQXCIAKJUYHCX-HNNXBMFYSA-N 1 2 317.389 1.004 20 30 DDEDLO COc1ccccc1[C@@H](C)NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592147170 355513371 /nfs/dbraw/zinc/51/33/71/355513371.db2.gz IXDZDDAOQBYQTE-CXAGYDPISA-N 1 2 317.389 1.223 20 30 DDEDLO COc1ccccc1[C@@H](C)NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592147170 355513374 /nfs/dbraw/zinc/51/33/74/355513374.db2.gz IXDZDDAOQBYQTE-CXAGYDPISA-N 1 2 317.389 1.223 20 30 DDEDLO COc1ccccc1N1CC[C@H]([N@@H+]2CC[C@](O)(CC#N)C2)C1=O ZINC000592151969 355518714 /nfs/dbraw/zinc/51/87/14/355518714.db2.gz SMECKYYXQQMLEE-WMLDXEAASA-N 1 2 315.373 1.151 20 30 DDEDLO COc1ccccc1N1CC[C@H]([N@H+]2CC[C@](O)(CC#N)C2)C1=O ZINC000592151969 355518716 /nfs/dbraw/zinc/51/87/16/355518716.db2.gz SMECKYYXQQMLEE-WMLDXEAASA-N 1 2 315.373 1.151 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@H](C(=O)NCc2ccccc2)C1 ZINC000592155552 355521518 /nfs/dbraw/zinc/52/15/18/355521518.db2.gz ORVSTMHYBXKVLW-LSDHHAIUSA-N 1 2 302.374 1.352 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@H](C(=O)NCc2ccccc2)C1 ZINC000592155552 355521521 /nfs/dbraw/zinc/52/15/21/355521521.db2.gz ORVSTMHYBXKVLW-LSDHHAIUSA-N 1 2 302.374 1.352 20 30 DDEDLO N#CC[C@@]1(O)CCN(C(=O)C[C@H](c2[nH]cc[nH+]2)c2ccccc2)C1 ZINC000592949181 355748656 /nfs/dbraw/zinc/74/86/56/355748656.db2.gz HPVDUKMSNWJWAV-MAUKXSAKSA-N 1 2 324.384 1.809 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)C2(CCC2)CO1 ZINC000593088346 355790818 /nfs/dbraw/zinc/79/08/18/355790818.db2.gz AJAQVKDGSHLVPL-CQSZACIVSA-N 1 2 305.422 1.972 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C2(CCC2)CO1 ZINC000593088346 355790823 /nfs/dbraw/zinc/79/08/23/355790823.db2.gz AJAQVKDGSHLVPL-CQSZACIVSA-N 1 2 305.422 1.972 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(S(=O)(=O)c2ccsc2C#N)C1 ZINC000593567304 355927835 /nfs/dbraw/zinc/92/78/35/355927835.db2.gz OLLGFTLNUHPTFQ-JTQLQIEISA-N 1 2 322.415 1.531 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@H]1C[C@H]1C1CCCC1 ZINC000593444790 355887518 /nfs/dbraw/zinc/88/75/18/355887518.db2.gz YVDNDKYENHSBHL-IRXDYDNUSA-N 1 2 319.449 1.887 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2C[S@@]3=O)cc1OC ZINC000593685666 355966702 /nfs/dbraw/zinc/96/67/02/355966702.db2.gz AARYYLNJTFOUIG-RXSFTSLZSA-N 1 2 307.415 1.965 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@@H]3C[C@H]2C[S@@]3=O)cc1OC ZINC000593685666 355966709 /nfs/dbraw/zinc/96/67/09/355966709.db2.gz AARYYLNJTFOUIG-RXSFTSLZSA-N 1 2 307.415 1.965 20 30 DDEDLO C=CCCn1cc(C[S@@](=O)Cc2cn3ccccc3[nH+]2)nn1 ZINC000593935919 356067457 /nfs/dbraw/zinc/06/74/57/356067457.db2.gz LLAHUBWMTBSBIB-QFIPXVFZSA-N 1 2 315.402 1.951 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@@H]1CN(c2ccccc2)CCO1 ZINC000593959780 356072660 /nfs/dbraw/zinc/07/26/60/356072660.db2.gz HOZHXHUNYIHTSK-QGZVFWFLSA-N 1 2 303.406 1.754 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@@H]1CN(c2ccccc2)CCO1 ZINC000593959780 356072667 /nfs/dbraw/zinc/07/26/67/356072667.db2.gz HOZHXHUNYIHTSK-QGZVFWFLSA-N 1 2 303.406 1.754 20 30 DDEDLO COc1ccccc1OCC[NH+]1CCN(C(=O)CC#N)CC1 ZINC000594003373 356084101 /nfs/dbraw/zinc/08/41/01/356084101.db2.gz VURNVRGNLAGHCG-UHFFFAOYSA-N 1 2 303.362 1.132 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(c2nncn2C)CC1 ZINC000594022654 356092017 /nfs/dbraw/zinc/09/20/17/356092017.db2.gz LFAOGQGBYPLOLV-UHFFFAOYSA-N 1 2 312.377 1.018 20 30 DDEDLO N#Cc1ncc(C(F)(F)F)cc1-n1cc([C@H]2COCC[NH2+]2)nn1 ZINC000594645134 356271519 /nfs/dbraw/zinc/27/15/19/356271519.db2.gz KNMYWAXRSSNQIE-LLVKDONJSA-N 1 2 324.266 1.214 20 30 DDEDLO C[N@H+](Cc1cnccn1)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000080966888 192205188 /nfs/dbraw/zinc/20/51/88/192205188.db2.gz PHEVEAVKJOBZKE-INIZCTEOSA-N 1 2 307.357 1.586 20 30 DDEDLO C[N@@H+](Cc1cnccn1)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000080966888 192205190 /nfs/dbraw/zinc/20/51/90/192205190.db2.gz PHEVEAVKJOBZKE-INIZCTEOSA-N 1 2 307.357 1.586 20 30 DDEDLO CCN([C@@H](C)C#N)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000595355430 356461965 /nfs/dbraw/zinc/46/19/65/356461965.db2.gz BBTNTZOIGTYAKK-ZDUSSCGKSA-N 1 2 318.402 1.854 20 30 DDEDLO N#Cc1ccc(CNC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cc1 ZINC000595442773 356494457 /nfs/dbraw/zinc/49/44/57/356494457.db2.gz CDZQGYWBTJAHDJ-INIZCTEOSA-N 1 2 314.389 1.174 20 30 DDEDLO C[C@@]1(C#N)CCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)C1 ZINC000595497317 356518629 /nfs/dbraw/zinc/51/86/29/356518629.db2.gz LDADTGSOIJTVDC-LBPRGKRZSA-N 1 2 315.299 1.891 20 30 DDEDLO C=CCCSCCNC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000595589914 356565454 /nfs/dbraw/zinc/56/54/54/356565454.db2.gz CYHXEVBCJMSEAN-UHFFFAOYSA-N 1 2 313.467 1.460 20 30 DDEDLO C[C@H]1CN(CC[NH+]2CCN(c3ccsc3C#N)CC2)CCO1 ZINC000595630076 356584168 /nfs/dbraw/zinc/58/41/68/356584168.db2.gz SBXGOEFRYANIOG-AWEZNQCLSA-N 1 2 320.462 1.462 20 30 DDEDLO N#Cc1ccc(CCNC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)cc1 ZINC000595634180 356586746 /nfs/dbraw/zinc/58/67/46/356586746.db2.gz DDOFTPDRHOTNAD-CQSZACIVSA-N 1 2 309.373 1.681 20 30 DDEDLO N#Cc1ccc(CCNC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)cc1 ZINC000595634180 356586751 /nfs/dbraw/zinc/58/67/51/356586751.db2.gz DDOFTPDRHOTNAD-CQSZACIVSA-N 1 2 309.373 1.681 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@]1(COC)C(=O)OC ZINC000595816895 356662010 /nfs/dbraw/zinc/66/20/10/356662010.db2.gz MFXKMXQGNWIPHM-MRXNPFEDSA-N 1 2 312.410 1.065 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@]1(COC)C(=O)OC ZINC000595816895 356662013 /nfs/dbraw/zinc/66/20/13/356662013.db2.gz MFXKMXQGNWIPHM-MRXNPFEDSA-N 1 2 312.410 1.065 20 30 DDEDLO COc1cccc(CNC(=O)[C@H](C)[N@@H+]2CC[C@](C)(C#N)C2)c1 ZINC000595836305 356671235 /nfs/dbraw/zinc/67/12/35/356671235.db2.gz XOKLOHNNTPPTAN-SUMWQHHRSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1cccc(CNC(=O)[C@H](C)[N@H+]2CC[C@](C)(C#N)C2)c1 ZINC000595836305 356671239 /nfs/dbraw/zinc/67/12/39/356671239.db2.gz XOKLOHNNTPPTAN-SUMWQHHRSA-N 1 2 301.390 1.935 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[NH+]1CCC(c2ccon2)CC1)C1CC1 ZINC000595839437 356673336 /nfs/dbraw/zinc/67/33/36/356673336.db2.gz YDEFQPAUMLDXNH-INIZCTEOSA-N 1 2 302.378 1.662 20 30 DDEDLO C[C@@]1(C#N)CC[N@H+](CC(=O)NCCCN2CCCCCC2=O)C1 ZINC000595841020 356673711 /nfs/dbraw/zinc/67/37/11/356673711.db2.gz UBWFCKHSJALFFH-KRWDZBQOSA-N 1 2 320.437 1.131 20 30 DDEDLO C[C@@]1(C#N)CC[N@@H+](CC(=O)NCCCN2CCCCCC2=O)C1 ZINC000595841020 356673713 /nfs/dbraw/zinc/67/37/13/356673713.db2.gz UBWFCKHSJALFFH-KRWDZBQOSA-N 1 2 320.437 1.131 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@H+]1C[C@H](C)OC[C@@H]1C ZINC000596214463 356817951 /nfs/dbraw/zinc/81/79/51/356817951.db2.gz DRFZBBGUVOQARH-STQMWFEESA-N 1 2 301.456 1.314 20 30 DDEDLO C=CCSCCNC(=O)NCC[N@@H+]1C[C@H](C)OC[C@@H]1C ZINC000596214463 356817955 /nfs/dbraw/zinc/81/79/55/356817955.db2.gz DRFZBBGUVOQARH-STQMWFEESA-N 1 2 301.456 1.314 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCC2(C#N)CCCC2)[C@H](C)CO1 ZINC000596643860 356940129 /nfs/dbraw/zinc/94/01/29/356940129.db2.gz NBESDVXFWUXSQQ-ZIAGYGMSSA-N 1 2 308.426 1.479 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCC2(C#N)CCCC2)[C@H](C)CO1 ZINC000596643860 356940132 /nfs/dbraw/zinc/94/01/32/356940132.db2.gz NBESDVXFWUXSQQ-ZIAGYGMSSA-N 1 2 308.426 1.479 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N2CCO[C@H](C#N)C2)cc1 ZINC000596671263 356948119 /nfs/dbraw/zinc/94/81/19/356948119.db2.gz GRNRNOHUVSCMIT-CVEARBPZSA-N 1 2 315.373 1.020 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2C(=O)N2CCO[C@H](C#N)C2)cc1 ZINC000596671263 356948123 /nfs/dbraw/zinc/94/81/23/356948123.db2.gz GRNRNOHUVSCMIT-CVEARBPZSA-N 1 2 315.373 1.020 20 30 DDEDLO CC[C@H](C#N)C(=O)N(CC[NH+]1CCOCC1)[C@H]1CCSC1 ZINC000597678561 357281599 /nfs/dbraw/zinc/28/15/99/357281599.db2.gz LDKRBXWFHKVSAZ-KGLIPLIRSA-N 1 2 311.451 1.202 20 30 DDEDLO CC(C)(C#N)CC(=O)NC[C@@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000597640232 357264987 /nfs/dbraw/zinc/26/49/87/357264987.db2.gz IXMGXELBZZWWBP-LBPRGKRZSA-N 1 2 305.382 1.338 20 30 DDEDLO CC(C)(C#N)CC(=O)NC[C@@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000597640232 357264989 /nfs/dbraw/zinc/26/49/89/357264989.db2.gz IXMGXELBZZWWBP-LBPRGKRZSA-N 1 2 305.382 1.338 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2cc(O)cc(C#N)c2)[C@H](C)CO1 ZINC000597992835 357409229 /nfs/dbraw/zinc/40/92/29/357409229.db2.gz DDHBVZMYCXPIPF-VXGBXAGGSA-N 1 2 303.362 1.103 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2cc(O)cc(C#N)c2)[C@H](C)CO1 ZINC000597992835 357409232 /nfs/dbraw/zinc/40/92/32/357409232.db2.gz DDHBVZMYCXPIPF-VXGBXAGGSA-N 1 2 303.362 1.103 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)CNc2ccc(C#N)cc2)[C@H](C)CO1 ZINC000598000948 357416756 /nfs/dbraw/zinc/41/67/56/357416756.db2.gz WBIDJLNKHILGHG-ZIAGYGMSSA-N 1 2 316.405 1.196 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)CNc2ccc(C#N)cc2)[C@H](C)CO1 ZINC000598000948 357416760 /nfs/dbraw/zinc/41/67/60/357416760.db2.gz WBIDJLNKHILGHG-ZIAGYGMSSA-N 1 2 316.405 1.196 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC[N@H+]1C[C@H](C)OC[C@@H]1C ZINC000598001229 357418252 /nfs/dbraw/zinc/41/82/52/357418252.db2.gz XPKNWISUZJYNLA-KBPBESRZSA-N 1 2 301.390 1.706 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC[N@@H+]1C[C@H](C)OC[C@@H]1C ZINC000598001229 357418256 /nfs/dbraw/zinc/41/82/56/357418256.db2.gz XPKNWISUZJYNLA-KBPBESRZSA-N 1 2 301.390 1.706 20 30 DDEDLO CCC(CC)[C@@H](C(=O)N1CC[C@@](C)(C#N)C1)[NH+]1CCOCC1 ZINC000598407580 357568156 /nfs/dbraw/zinc/56/81/56/357568156.db2.gz DRWPSRLNBBQENK-RDJZCZTQSA-N 1 2 307.438 1.886 20 30 DDEDLO CCC(CC)[C@@H](C(=O)N1CC[C@](C)(C#N)C1)[NH+]1CCOCC1 ZINC000598407578 357568304 /nfs/dbraw/zinc/56/83/04/357568304.db2.gz DRWPSRLNBBQENK-DOTOQJQBSA-N 1 2 307.438 1.886 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)CC2CCOCC2)CC1 ZINC000329668794 223011107 /nfs/dbraw/zinc/01/11/07/223011107.db2.gz SDXJRNHBRSMLCK-CYBMUJFWSA-N 1 2 323.437 1.455 20 30 DDEDLO Cc1n[nH]c(C)c1[C@@H]1COCC[N@@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598602008 357649809 /nfs/dbraw/zinc/64/98/09/357649809.db2.gz YXPGIMGJJMTMKA-KBPBESRZSA-N 1 2 306.410 1.701 20 30 DDEDLO Cc1n[nH]c(C)c1[C@@H]1COCC[N@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598602008 357649811 /nfs/dbraw/zinc/64/98/11/357649811.db2.gz YXPGIMGJJMTMKA-KBPBESRZSA-N 1 2 306.410 1.701 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+][C@H](C(F)F)C1CCCCC1 ZINC000598650948 357671898 /nfs/dbraw/zinc/67/18/98/357671898.db2.gz UDDLYFNGQISSOX-LBPRGKRZSA-N 1 2 303.353 1.802 20 30 DDEDLO CCc1noc(CN2CC[NH+](Cc3ccc(C#N)cc3)CC2)n1 ZINC000598699766 357693920 /nfs/dbraw/zinc/69/39/20/357693920.db2.gz PUCIDZVKDIXTCL-UHFFFAOYSA-N 1 2 311.389 1.821 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N[C@@H]2CCn3c[nH+]cc3C2)c(F)c1 ZINC000598949582 357772090 /nfs/dbraw/zinc/77/20/90/357772090.db2.gz WTBJVZDHEPOMIS-SNVBAGLBSA-N 1 2 302.284 1.778 20 30 DDEDLO Cc1cc(NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)cc(C#N)n1 ZINC000599185391 357842451 /nfs/dbraw/zinc/84/24/51/357842451.db2.gz SEFZIVIBGQNGFD-SFHVURJKSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cc(NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)cc(C#N)n1 ZINC000599185391 357842453 /nfs/dbraw/zinc/84/24/53/357842453.db2.gz SEFZIVIBGQNGFD-SFHVURJKSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1nc(CN2CC[NH+](Cc3ccc(C)c(C#N)c3)CC2)no1 ZINC000599328154 357895108 /nfs/dbraw/zinc/89/51/08/357895108.db2.gz NBPUFJIAYQWPTR-UHFFFAOYSA-N 1 2 311.389 1.876 20 30 DDEDLO C=CC[N@H+](Cc1ccc2c[nH]nc2c1)[C@@H]1CCS(=O)(=O)C1 ZINC000179526196 199050700 /nfs/dbraw/zinc/05/07/00/199050700.db2.gz CTOJBAJRDNBEOO-CQSZACIVSA-N 1 2 305.403 1.738 20 30 DDEDLO C=CC[N@@H+](Cc1ccc2c[nH]nc2c1)[C@@H]1CCS(=O)(=O)C1 ZINC000179526196 199050702 /nfs/dbraw/zinc/05/07/02/199050702.db2.gz CTOJBAJRDNBEOO-CQSZACIVSA-N 1 2 305.403 1.738 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000599642388 358003591 /nfs/dbraw/zinc/00/35/91/358003591.db2.gz RJFZQJJYTLMTNY-ZDUSSCGKSA-N 1 2 303.366 1.081 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000599642388 358003594 /nfs/dbraw/zinc/00/35/94/358003594.db2.gz RJFZQJJYTLMTNY-ZDUSSCGKSA-N 1 2 303.366 1.081 20 30 DDEDLO N#CCc1cccc2c1CC[N@@H+](CC(=O)Nc1cnccn1)C2 ZINC000599677903 358021692 /nfs/dbraw/zinc/02/16/92/358021692.db2.gz MISFVCGOKMQJMY-UHFFFAOYSA-N 1 2 307.357 1.539 20 30 DDEDLO N#CCc1cccc2c1CC[N@H+](CC(=O)Nc1cnccn1)C2 ZINC000599677903 358021698 /nfs/dbraw/zinc/02/16/98/358021698.db2.gz MISFVCGOKMQJMY-UHFFFAOYSA-N 1 2 307.357 1.539 20 30 DDEDLO N#CCc1cccc2c1CC[N@@H+](CC(=O)NC(=O)NC1CC1)C2 ZINC000599686289 358025310 /nfs/dbraw/zinc/02/53/10/358025310.db2.gz PBPLFSMEMFQINL-UHFFFAOYSA-N 1 2 312.373 1.099 20 30 DDEDLO N#CCc1cccc2c1CC[N@H+](CC(=O)NC(=O)NC1CC1)C2 ZINC000599686289 358025312 /nfs/dbraw/zinc/02/53/12/358025312.db2.gz PBPLFSMEMFQINL-UHFFFAOYSA-N 1 2 312.373 1.099 20 30 DDEDLO CC(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000599705715 358033799 /nfs/dbraw/zinc/03/37/99/358033799.db2.gz ZKWQXRWYDCXKAI-INIZCTEOSA-N 1 2 314.389 1.487 20 30 DDEDLO CC(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000599705715 358033801 /nfs/dbraw/zinc/03/38/01/358033801.db2.gz ZKWQXRWYDCXKAI-INIZCTEOSA-N 1 2 314.389 1.487 20 30 DDEDLO Cc1c[nH]c(CN2CCN(c3snc(C)c3C#N)CC2)[nH+]1 ZINC000600163909 358143000 /nfs/dbraw/zinc/14/30/00/358143000.db2.gz LYRGDMHXZWRYEI-UHFFFAOYSA-N 1 2 302.407 1.677 20 30 DDEDLO C[C@@H]1C[C@H](NS(=O)(=O)c2ccccc2CC#N)c2[nH+]ccn21 ZINC000601431292 358550385 /nfs/dbraw/zinc/55/03/85/358550385.db2.gz JSSPTOBVMIPMQO-YPMHNXCESA-N 1 2 316.386 1.933 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccccc1CC#N ZINC000601575445 358608054 /nfs/dbraw/zinc/60/80/54/358608054.db2.gz UTVPJOWNVQGTRN-HOCLYGCPSA-N 1 2 313.401 1.688 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)N2CCN(CCC#N)CC2)c2[nH+]ccn21 ZINC000601605559 358620250 /nfs/dbraw/zinc/62/02/50/358620250.db2.gz IXTUUFFZVDPFTB-STQMWFEESA-N 1 2 302.382 1.130 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCO[C@@H](C(F)F)CC2)cc1 ZINC000601973700 358760201 /nfs/dbraw/zinc/76/02/01/358760201.db2.gz IWBLAHCIMLAEAI-CHWSQXEVSA-N 1 2 309.316 1.441 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCO[C@@H](C(F)F)CC2)cc1 ZINC000601973700 358760206 /nfs/dbraw/zinc/76/02/06/358760206.db2.gz IWBLAHCIMLAEAI-CHWSQXEVSA-N 1 2 309.316 1.441 20 30 DDEDLO Cn1ccnc1[C@@H](C1CC1)N(CCC#N)CC[NH+]1CCOCC1 ZINC000602422983 358967097 /nfs/dbraw/zinc/96/70/97/358967097.db2.gz AVJMPIZLTOOPLH-MRXNPFEDSA-N 1 2 317.437 1.419 20 30 DDEDLO Cc1nc([C@@H]2CCOC2)sc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000602332055 358933994 /nfs/dbraw/zinc/93/39/94/358933994.db2.gz JPSMWGYSMJNCEU-ABAIWWIYSA-N 1 2 322.434 1.529 20 30 DDEDLO Cc1cccc(CO[C@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000602335972 358936473 /nfs/dbraw/zinc/93/64/73/358936473.db2.gz WLFGHBGONRUQNZ-RHSMWYFYSA-N 1 2 303.406 1.860 20 30 DDEDLO C[N@H+](Cc1cn(C[C@H]2CCOC2)nn1)Cc1cccc(C#N)c1 ZINC000602438921 358977155 /nfs/dbraw/zinc/97/71/55/358977155.db2.gz CXJONMMQIGDIDR-MRXNPFEDSA-N 1 2 311.389 1.818 20 30 DDEDLO C[N@@H+](Cc1cn(C[C@H]2CCOC2)nn1)Cc1cccc(C#N)c1 ZINC000602438921 358977160 /nfs/dbraw/zinc/97/71/60/358977160.db2.gz CXJONMMQIGDIDR-MRXNPFEDSA-N 1 2 311.389 1.818 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2nnn(-c3ccccc3)c2C2CC2)C1 ZINC000602699632 359133711 /nfs/dbraw/zinc/13/37/11/359133711.db2.gz YVQPVEPLCBVVHO-SFHVURJKSA-N 1 2 323.400 1.995 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2nnn(-c3ccccc3)c2C2CC2)C1 ZINC000602699632 359133713 /nfs/dbraw/zinc/13/37/13/359133713.db2.gz YVQPVEPLCBVVHO-SFHVURJKSA-N 1 2 323.400 1.995 20 30 DDEDLO COC(=O)c1cccc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)n1 ZINC000602854706 359241563 /nfs/dbraw/zinc/24/15/63/359241563.db2.gz QKIORMJYIHLGCJ-CHWSQXEVSA-N 1 2 302.378 1.286 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)NC2CCCCCC2)C[C@@H](C)N1CC#N ZINC000602854622 359241636 /nfs/dbraw/zinc/24/16/36/359241636.db2.gz CIOZIEQCZJLBJD-HUUCEWRRSA-N 1 2 306.454 1.744 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)Nc2cc(F)cc(F)c2)C[C@H](C)N1CC#N ZINC000602855805 359242312 /nfs/dbraw/zinc/24/23/12/359242312.db2.gz IVTPFSAGSMAKRR-RYUDHWBXSA-N 1 2 322.359 1.821 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)NCc1cccc(C(=O)NC2CC2)c1 ZINC000602860172 359246481 /nfs/dbraw/zinc/24/64/81/359246481.db2.gz BPILGOAMLRAPDB-GFCCVEGCSA-N 1 2 314.389 1.087 20 30 DDEDLO N#CC1(CC[NH+]2CCN(Cc3nnc[nH]3)CC2)CCCCC1 ZINC000602863803 359249314 /nfs/dbraw/zinc/24/93/14/359249314.db2.gz HIDHPQVQRORTJT-UHFFFAOYSA-N 1 2 302.426 1.786 20 30 DDEDLO COc1ccc(OC)c([C@H](C)NC(=O)C[NH2+][C@H](C)CC#N)c1 ZINC000602866408 359250515 /nfs/dbraw/zinc/25/05/15/359250515.db2.gz ZTXQKMICXLMSER-NEPJUHHUSA-N 1 2 305.378 1.773 20 30 DDEDLO C[C@@H](CC#N)[NH2+][C@@H](C)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000602867810 359252123 /nfs/dbraw/zinc/25/21/23/359252123.db2.gz DZBWJAMIRFTBIP-STQMWFEESA-N 1 2 317.389 1.397 20 30 DDEDLO CCOc1ccc(C#N)cc1NC(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000602809700 359206582 /nfs/dbraw/zinc/20/65/82/359206582.db2.gz CPBAHNYJJHBLRX-GFCCVEGCSA-N 1 2 311.345 1.900 20 30 DDEDLO Cc1nnc(N2CC[NH+](Cc3ccc(C#N)c(F)c3)CC2)n1C ZINC000602945497 359313565 /nfs/dbraw/zinc/31/35/65/359313565.db2.gz WXENOWGTFQBKMK-UHFFFAOYSA-N 1 2 314.368 1.457 20 30 DDEDLO Cc1cc(Cn2cc(CC[NH+]3CCOCC3)nn2)ccc1C#N ZINC000603235050 359503424 /nfs/dbraw/zinc/50/34/24/359503424.db2.gz ILGCKXOYRXGVMU-UHFFFAOYSA-N 1 2 311.389 1.381 20 30 DDEDLO COCc1cc(C#N)ccc1N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000603310229 359560754 /nfs/dbraw/zinc/56/07/54/359560754.db2.gz UEOFKVVQYLYMHV-UHFFFAOYSA-N 1 2 316.405 1.091 20 30 DDEDLO Cc1cc(CN(C)C(=O)NC[C@@H]2CCc3[nH+]ccn3C2)no1 ZINC000329891573 223042303 /nfs/dbraw/zinc/04/23/03/223042303.db2.gz IBNHHWPKTPFWSY-LBPRGKRZSA-N 1 2 303.366 1.788 20 30 DDEDLO O=C(CNC(=O)[C@@H]1CC12CCCC2)NCC[NH+]1CCOCC1 ZINC000329967978 223055468 /nfs/dbraw/zinc/05/54/68/223055468.db2.gz SUOYILFHORALDL-ZDUSSCGKSA-N 1 2 309.410 1.812 20 30 DDEDLO CC(C)CCNC(=O)[C@@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609484706 360312980 /nfs/dbraw/zinc/31/29/80/360312980.db2.gz HWOJITAMKRQXCL-HZPDHXFCSA-N 1 2 308.470 1.703 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2cc(F)ccc2[C@@H]1C ZINC000610003952 360371839 /nfs/dbraw/zinc/37/18/39/360371839.db2.gz SIVZQOCUVJXAKI-NSHDSACASA-N 1 2 305.353 1.757 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2cc(F)ccc2[C@@H]1C ZINC000610003952 360371843 /nfs/dbraw/zinc/37/18/43/360371843.db2.gz SIVZQOCUVJXAKI-NSHDSACASA-N 1 2 305.353 1.757 20 30 DDEDLO COC(=O)C[N@H+](C[C@@H](O)COc1ccc(CC#N)cc1)C(C)C ZINC000610967339 360586854 /nfs/dbraw/zinc/58/68/54/360586854.db2.gz AIHIKIDJPWQNEY-OAHLLOKOSA-N 1 2 320.389 1.376 20 30 DDEDLO COC(=O)C[N@@H+](C[C@@H](O)COc1ccc(CC#N)cc1)C(C)C ZINC000610967339 360586857 /nfs/dbraw/zinc/58/68/57/360586857.db2.gz AIHIKIDJPWQNEY-OAHLLOKOSA-N 1 2 320.389 1.376 20 30 DDEDLO N#Cc1cc(C[NH+]2CCN(c3ccc([N+](=O)[O-])nc3)CC2)ccn1 ZINC000610991818 360593438 /nfs/dbraw/zinc/59/34/38/360593438.db2.gz ZYRDOLXKWZHPOH-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO CN(CCS(=O)(=O)c1cccc(C#N)c1)Cc1[nH]cc[nH+]1 ZINC000611237008 360672762 /nfs/dbraw/zinc/67/27/62/360672762.db2.gz NCUFSNUQZUBLCB-UHFFFAOYSA-N 1 2 304.375 1.187 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)N1CCO[C@H](C2CC2)C1 ZINC000330131991 223077909 /nfs/dbraw/zinc/07/79/09/223077909.db2.gz LFMQXMYIIWLINF-HNNXBMFYSA-N 1 2 318.421 1.787 20 30 DDEDLO Cc1nccc(NC(=O)NCCc2cn3c([nH+]2)CCCC3)n1 ZINC000330159705 223081263 /nfs/dbraw/zinc/08/12/63/223081263.db2.gz RFTCETZOOXKEPI-UHFFFAOYSA-N 1 2 300.366 1.886 20 30 DDEDLO N#CC1(CS(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)CCCC1 ZINC000330211833 223089105 /nfs/dbraw/zinc/08/91/05/223089105.db2.gz JMFUTFLXWUSMKA-GFCCVEGCSA-N 1 2 308.407 1.201 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1cccc(C(=O)N(C)C)c1 ZINC000330623055 223131461 /nfs/dbraw/zinc/13/14/61/223131461.db2.gz OXLXWBFUMACITI-CYBMUJFWSA-N 1 2 319.405 1.414 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1cccc(C(=O)N(C)C)c1 ZINC000330623055 223131463 /nfs/dbraw/zinc/13/14/63/223131463.db2.gz OXLXWBFUMACITI-CYBMUJFWSA-N 1 2 319.405 1.414 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)N[C@@H]1CCCC[C@H]1O ZINC000330565721 223127427 /nfs/dbraw/zinc/12/74/27/223127427.db2.gz KGVXBYXZZVTECQ-NXEZZACHSA-N 1 2 320.315 1.753 20 30 DDEDLO CC(C)(C(=O)NCc1ccc(C#N)cc1F)[NH+]1CCOCC1 ZINC000618935505 363812052 /nfs/dbraw/zinc/81/20/52/363812052.db2.gz XQDCXWKTAPMRFR-UHFFFAOYSA-N 1 2 305.353 1.424 20 30 DDEDLO C=C[C@@H]([NH2+]C[C@H]1CC(=O)N(Cc2ccccc2)C1)C(=O)OC ZINC000619703243 364121599 /nfs/dbraw/zinc/12/15/99/364121599.db2.gz IGTRPYUZJHOMKQ-HUUCEWRRSA-N 1 2 302.374 1.352 20 30 DDEDLO Cc1c[nH+]c(CN2CCN(Cc3ccccc3)[C@@H](C#N)C2)n1C ZINC000342774638 223313461 /nfs/dbraw/zinc/31/34/61/223313461.db2.gz FVBOGZJYIKEBIU-KRWDZBQOSA-N 1 2 309.417 1.939 20 30 DDEDLO C#CC[NH+](CC#C)Cc1cc(Cl)c(OCC(N)=O)c(OC)c1 ZINC000093461424 193254737 /nfs/dbraw/zinc/25/47/37/193254737.db2.gz RUSTWZOCFVICSF-UHFFFAOYSA-N 1 2 320.776 1.281 20 30 DDEDLO C=C(Cl)CNC(=O)N1CC[N@@H+](CCC(=O)OC)C[C@@H]1C ZINC000271678800 209121416 /nfs/dbraw/zinc/12/14/16/209121416.db2.gz JONGPCBZZZRQLS-NSHDSACASA-N 1 2 303.790 1.018 20 30 DDEDLO C=C(Cl)CNC(=O)N1CC[N@H+](CCC(=O)OC)C[C@@H]1C ZINC000271678800 209121419 /nfs/dbraw/zinc/12/14/19/209121419.db2.gz JONGPCBZZZRQLS-NSHDSACASA-N 1 2 303.790 1.018 20 30 DDEDLO CC[N@@H+](CC(=O)NC(=O)N[C@@H]1CCCC[C@H]1C)C[C@@H](C)C#N ZINC000334005878 284393031 /nfs/dbraw/zinc/39/30/31/284393031.db2.gz YMSJPXBTZYRWMO-BFHYXJOUSA-N 1 2 308.426 1.872 20 30 DDEDLO CC[N@H+](CC(=O)NC(=O)N[C@@H]1CCCC[C@H]1C)C[C@@H](C)C#N ZINC000334005878 284393037 /nfs/dbraw/zinc/39/30/37/284393037.db2.gz YMSJPXBTZYRWMO-BFHYXJOUSA-N 1 2 308.426 1.872 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H](C[NH+]2CCOCC2)c2ccccc2)c1 ZINC000267118120 205824052 /nfs/dbraw/zinc/82/40/52/205824052.db2.gz SSCFZNFMRMONEH-KRWDZBQOSA-N 1 2 324.384 1.690 20 30 DDEDLO C[C@H](C(=O)NCCCCNc1cccc[nH+]1)n1cnc(C#N)n1 ZINC000336929207 249291957 /nfs/dbraw/zinc/29/19/57/249291957.db2.gz OIXPUQXDDVWXLF-GFCCVEGCSA-N 1 2 313.365 1.114 20 30 DDEDLO C[C@@H](C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1)n1cnc(C#N)n1 ZINC000336999934 249307325 /nfs/dbraw/zinc/30/73/25/249307325.db2.gz RNNYDCQGNRRULH-NSHDSACASA-N 1 2 321.344 1.417 20 30 DDEDLO N#Cc1ccc(OCC[N@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000109765579 194283699 /nfs/dbraw/zinc/28/36/99/194283699.db2.gz HPGANDAEWBHPDT-HNNXBMFYSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1ccc(OCC[N@@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000109765579 194283701 /nfs/dbraw/zinc/28/37/01/194283701.db2.gz HPGANDAEWBHPDT-HNNXBMFYSA-N 1 2 320.414 1.589 20 30 DDEDLO CCCN1CCC[N@H+](Cc2cnc3c(C#N)cnn3c2)CC1=O ZINC000567889095 304252263 /nfs/dbraw/zinc/25/22/63/304252263.db2.gz XZMNVYPJYVLJDF-UHFFFAOYSA-N 1 2 312.377 1.045 20 30 DDEDLO CCCN1CCC[N@@H+](Cc2cnc3c(C#N)cnn3c2)CC1=O ZINC000567889095 304252265 /nfs/dbraw/zinc/25/22/65/304252265.db2.gz XZMNVYPJYVLJDF-UHFFFAOYSA-N 1 2 312.377 1.045 20 30 DDEDLO COc1cc[nH]c(=O)c1C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000330592034 533070572 /nfs/dbraw/zinc/07/05/72/533070572.db2.gz RLIBOLYSJSEZEB-UHFFFAOYSA-N 1 2 309.366 1.211 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)[C@H](c1ccccc1)[N@@H+](C)CC ZINC000272965277 210350541 /nfs/dbraw/zinc/35/05/41/210350541.db2.gz QSGQBSAPUMTJIA-HNNXBMFYSA-N 1 2 324.446 1.396 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)[C@H](c1ccccc1)[N@H+](C)CC ZINC000272965277 210350545 /nfs/dbraw/zinc/35/05/45/210350545.db2.gz QSGQBSAPUMTJIA-HNNXBMFYSA-N 1 2 324.446 1.396 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)N(C)Cc2ccco2)CC1 ZINC000341972548 533109730 /nfs/dbraw/zinc/10/97/30/533109730.db2.gz FWJVFILWSKFIKX-UHFFFAOYSA-N 1 2 305.378 1.490 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)N[C@@H]2CCCC[C@H]2C)CC1 ZINC000341994253 533110046 /nfs/dbraw/zinc/11/00/46/533110046.db2.gz SETOZANKLRYBAV-HUUCEWRRSA-N 1 2 307.438 1.933 20 30 DDEDLO COCCOCC[NH+]1CCN(c2snc(C)c2C#N)CC1 ZINC000289631806 221116370 /nfs/dbraw/zinc/11/63/70/221116370.db2.gz ZSRBBDJFQWKSEY-UHFFFAOYSA-N 1 2 310.423 1.108 20 30 DDEDLO COC(=O)[C@H]([NH2+]C1CCN(c2ccc(C#N)cc2)CC1)[C@@H](C)O ZINC000289753643 221202553 /nfs/dbraw/zinc/20/25/53/221202553.db2.gz QAKCHRCEOPLCEZ-MLGOLLRUSA-N 1 2 317.389 1.039 20 30 DDEDLO C=CCN(Cc1ccccc1F)C(=O)NCC[NH+]1CCOCC1 ZINC000079567354 407058324 /nfs/dbraw/zinc/05/83/24/407058324.db2.gz CWZPXLQUSPUEDJ-UHFFFAOYSA-N 1 2 321.396 1.856 20 30 DDEDLO Cc1ccccc1N1CC[NH+]([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000052660854 407155563 /nfs/dbraw/zinc/15/55/63/407155563.db2.gz XRLKEJANPUDVFX-INIZCTEOSA-N 1 2 314.433 1.878 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000101553304 407310522 /nfs/dbraw/zinc/31/05/22/407310522.db2.gz UKXFLWLPOOGUPV-PBHICJAKSA-N 1 2 322.453 1.277 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000101553304 407310524 /nfs/dbraw/zinc/31/05/24/407310524.db2.gz UKXFLWLPOOGUPV-PBHICJAKSA-N 1 2 322.453 1.277 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)C2(C#N)CCCCC2)CC1 ZINC000106028552 407358091 /nfs/dbraw/zinc/35/80/91/407358091.db2.gz FWMYUIIAQXQSPF-UHFFFAOYSA-N 1 2 315.421 1.538 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)nc1 ZINC000107441543 407374638 /nfs/dbraw/zinc/37/46/38/407374638.db2.gz TYUBGPZZMPRQNS-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO N#Cc1cccc(CS(=O)(=O)N2CC[NH+](C3CC3)CC2)c1 ZINC000108399229 407385377 /nfs/dbraw/zinc/38/53/77/407385377.db2.gz AMMLXKQJLUMRCQ-UHFFFAOYSA-N 1 2 305.403 1.168 20 30 DDEDLO C=C(C)CNC(=O)C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000126773053 407421411 /nfs/dbraw/zinc/42/14/11/407421411.db2.gz CSCRYUAIHCUSPY-UHFFFAOYSA-N 1 2 317.389 1.150 20 30 DDEDLO CC(C)(C)C(=O)N1CC[NH+](C[C@H](O)c2ccc(C#N)cc2)CC1 ZINC000127038612 407426549 /nfs/dbraw/zinc/42/65/49/407426549.db2.gz IFSNURDHFPBRMJ-INIZCTEOSA-N 1 2 315.417 1.782 20 30 DDEDLO C=C[C@@H](CC(=O)NCCC[N@@H+]1CCNC(=O)C1)c1ccccc1 ZINC000271071563 407500559 /nfs/dbraw/zinc/50/05/59/407500559.db2.gz YJNYFCIXJZLPBL-HNNXBMFYSA-N 1 2 315.417 1.284 20 30 DDEDLO C=C[C@@H](CC(=O)NCCC[N@H+]1CCNC(=O)C1)c1ccccc1 ZINC000271071563 407500565 /nfs/dbraw/zinc/50/05/65/407500565.db2.gz YJNYFCIXJZLPBL-HNNXBMFYSA-N 1 2 315.417 1.284 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@H+]2CCOCC2(C)C)c1 ZINC000171074487 407587824 /nfs/dbraw/zinc/58/78/24/407587824.db2.gz CHKMEKNPNVANAS-UHFFFAOYSA-N 1 2 301.390 1.900 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@@H+]2CCOCC2(C)C)c1 ZINC000171074487 407587831 /nfs/dbraw/zinc/58/78/31/407587831.db2.gz CHKMEKNPNVANAS-UHFFFAOYSA-N 1 2 301.390 1.900 20 30 DDEDLO Cc1cc(S(=O)(=O)N[C@H](C)Cn2cc[nH+]c2)ccc1C#N ZINC000235499659 407719184 /nfs/dbraw/zinc/71/91/84/407719184.db2.gz VJDBIYIAHBTOQG-GFCCVEGCSA-N 1 2 304.375 1.430 20 30 DDEDLO Cc1cc(S(=O)(=O)NCCCn2cc[nH+]c2)ccc1C#N ZINC000235853037 407723040 /nfs/dbraw/zinc/72/30/40/407723040.db2.gz KWYBTCLYZVABSV-UHFFFAOYSA-N 1 2 304.375 1.432 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@@]2(C1)OCc1ccccc12 ZINC000186668837 407739415 /nfs/dbraw/zinc/73/94/15/407739415.db2.gz ATSQGLWBBZDJMD-KRWDZBQOSA-N 1 2 315.373 1.130 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@@]2(C1)OCc1ccccc12 ZINC000186668837 407739419 /nfs/dbraw/zinc/73/94/19/407739419.db2.gz ATSQGLWBBZDJMD-KRWDZBQOSA-N 1 2 315.373 1.130 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)C[N@H+]1CCOc1ccccc1C#N ZINC000116286842 407743020 /nfs/dbraw/zinc/74/30/20/407743020.db2.gz ILEVIHHLKZQRBU-KBPBESRZSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)C[N@@H+]1CCOc1ccccc1C#N ZINC000116286842 407743026 /nfs/dbraw/zinc/74/30/26/407743026.db2.gz ILEVIHHLKZQRBU-KBPBESRZSA-N 1 2 304.346 1.199 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1ccc(C#N)c(O)c1)[NH+]1CCOCC1 ZINC000188244760 407926181 /nfs/dbraw/zinc/92/61/81/407926181.db2.gz GZWRPESLYNVAEL-OAHLLOKOSA-N 1 2 317.389 1.350 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]2C(N)=O)c(Br)c1 ZINC000180944353 407937749 /nfs/dbraw/zinc/93/77/49/407937749.db2.gz SXODNTZMITXVGL-GFCCVEGCSA-N 1 2 308.179 1.770 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]2C(N)=O)c(Br)c1 ZINC000180944353 407937754 /nfs/dbraw/zinc/93/77/54/407937754.db2.gz SXODNTZMITXVGL-GFCCVEGCSA-N 1 2 308.179 1.770 20 30 DDEDLO C=CCN(C)C(=O)CCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000154189027 407990460 /nfs/dbraw/zinc/99/04/60/407990460.db2.gz YVXHBKLUZDTCKD-UHFFFAOYSA-N 1 2 316.405 1.155 20 30 DDEDLO COCCO[C@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000189651095 408070675 /nfs/dbraw/zinc/07/06/75/408070675.db2.gz BVDYGJNPRMHJGY-HNNXBMFYSA-N 1 2 303.362 1.234 20 30 DDEDLO COCCO[C@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000189651095 408070684 /nfs/dbraw/zinc/07/06/84/408070684.db2.gz BVDYGJNPRMHJGY-HNNXBMFYSA-N 1 2 303.362 1.234 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000268525657 408082399 /nfs/dbraw/zinc/08/23/99/408082399.db2.gz HEWGUDJNQOZEOI-UHFFFAOYSA-N 1 2 316.340 1.003 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000268525657 408082404 /nfs/dbraw/zinc/08/24/04/408082404.db2.gz HEWGUDJNQOZEOI-UHFFFAOYSA-N 1 2 316.340 1.003 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)[C@@H](C)[NH+]1CCN(C)CC1 ZINC000273424282 408192799 /nfs/dbraw/zinc/19/27/99/408192799.db2.gz WDVQCEXGRMQQGW-MRXNPFEDSA-N 1 2 301.434 1.837 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCO[C@@H](C(=O)NC)C2)cc(OC)c1O ZINC000182484380 408176357 /nfs/dbraw/zinc/17/63/57/408176357.db2.gz IRYVTNVSCGHTRA-OAHLLOKOSA-N 1 2 320.389 1.076 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCO[C@@H](C(=O)NC)C2)cc(OC)c1O ZINC000182484380 408176363 /nfs/dbraw/zinc/17/63/63/408176363.db2.gz IRYVTNVSCGHTRA-OAHLLOKOSA-N 1 2 320.389 1.076 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1C[C@H](CO)O[C@@H](C)C1 ZINC000190153204 408176701 /nfs/dbraw/zinc/17/67/01/408176701.db2.gz ZQDBDXKSARDFPH-GXTWGEPZSA-N 1 2 306.362 1.027 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1C[C@H](CO)O[C@@H](C)C1 ZINC000190153204 408176707 /nfs/dbraw/zinc/17/67/07/408176707.db2.gz ZQDBDXKSARDFPH-GXTWGEPZSA-N 1 2 306.362 1.027 20 30 DDEDLO C=CC[N@@H+](C[C@H](O)CCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000182520893 408183309 /nfs/dbraw/zinc/18/33/09/408183309.db2.gz GEQODAOCGQOYHM-IAGOWNOFSA-N 1 2 323.458 1.655 20 30 DDEDLO C=CC[N@H+](C[C@H](O)CCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000182520893 408183317 /nfs/dbraw/zinc/18/33/17/408183317.db2.gz GEQODAOCGQOYHM-IAGOWNOFSA-N 1 2 323.458 1.655 20 30 DDEDLO CCN(C(=O)CO[NH+]=C(N)CCO)[C@@H](C)c1ccc(F)cc1 ZINC000121346547 408189213 /nfs/dbraw/zinc/18/92/13/408189213.db2.gz NDYIHPCDPUUORU-NSHDSACASA-N 1 2 311.357 1.406 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)N(C)C[C@H](C)C#N ZINC000269286959 408252955 /nfs/dbraw/zinc/25/29/55/408252955.db2.gz SKBZDBDFWZZFHC-GFCCVEGCSA-N 1 2 317.393 1.850 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNc1nc2c(cc1C#N)CCC2 ZINC000263968263 408330113 /nfs/dbraw/zinc/33/01/13/408330113.db2.gz ANFYWFLFJMGNMB-UHFFFAOYSA-N 1 2 300.406 1.965 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNc1nc2c(cc1C#N)CCC2 ZINC000263968263 408330117 /nfs/dbraw/zinc/33/01/17/408330117.db2.gz ANFYWFLFJMGNMB-UHFFFAOYSA-N 1 2 300.406 1.965 20 30 DDEDLO COC(=O)[C@H](C)C[N@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156663014 408269636 /nfs/dbraw/zinc/26/96/36/408269636.db2.gz IESPEMLTFBNCGY-GFCCVEGCSA-N 1 2 324.402 1.073 20 30 DDEDLO COC(=O)[C@H](C)C[N@@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156663014 408269642 /nfs/dbraw/zinc/26/96/42/408269642.db2.gz IESPEMLTFBNCGY-GFCCVEGCSA-N 1 2 324.402 1.073 20 30 DDEDLO C[C@@H](Nc1cc(C#N)ccc1[N+](=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000274255333 408342977 /nfs/dbraw/zinc/34/29/77/408342977.db2.gz LGWVHHJLSGEWMO-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC[C@H](OCCO)C1 ZINC000172300893 162269560 /nfs/dbraw/zinc/26/95/60/162269560.db2.gz OIQJORLQRZWZJH-HIFRSBDPSA-N 1 2 317.389 1.359 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC[C@H](OCCO)C1 ZINC000172300893 162269563 /nfs/dbraw/zinc/26/95/63/162269563.db2.gz OIQJORLQRZWZJH-HIFRSBDPSA-N 1 2 317.389 1.359 20 30 DDEDLO N#Cc1ccccc1N1CC[NH+](CC(=O)N2CCCCC2)CC1 ZINC000247537114 408489589 /nfs/dbraw/zinc/48/95/89/408489589.db2.gz AJNNZHRVWXBCJK-UHFFFAOYSA-N 1 2 312.417 1.693 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1nncn1C ZINC000275191029 408581145 /nfs/dbraw/zinc/58/11/45/408581145.db2.gz OWOHHSZONQGHGA-WFASDCNBSA-N 1 2 324.388 1.533 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1C[C@@H]1c1ccco1 ZINC000162517393 408711056 /nfs/dbraw/zinc/71/10/56/408711056.db2.gz AHQSCMLBBMYTRT-LSDHHAIUSA-N 1 2 317.389 1.458 20 30 DDEDLO COc1ncccc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000193387157 408715931 /nfs/dbraw/zinc/71/59/31/408715931.db2.gz GMLLWMXCMBCMPY-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO COc1ncccc1C[N@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000193387157 408715935 /nfs/dbraw/zinc/71/59/35/408715935.db2.gz GMLLWMXCMBCMPY-ZDUSSCGKSA-N 1 2 324.388 1.463 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)[C@H]1CCN(C)C1=O ZINC000265670209 408739941 /nfs/dbraw/zinc/73/99/41/408739941.db2.gz WUQVUSLVORIYJY-RISCZKNCSA-N 1 2 300.362 1.048 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)[C@H]1CCN(C)C1=O ZINC000265670209 408739947 /nfs/dbraw/zinc/73/99/47/408739947.db2.gz WUQVUSLVORIYJY-RISCZKNCSA-N 1 2 300.362 1.048 20 30 DDEDLO N#CCNC(=O)CN1CC[NH+](Cc2ccccc2Cl)CC1 ZINC000165719932 408812776 /nfs/dbraw/zinc/81/27/76/408812776.db2.gz WWXAKMWVCTXPDW-UHFFFAOYSA-N 1 2 306.797 1.097 20 30 DDEDLO C[C@@H](CNc1nc2c(cc1C#N)CCCC2)[NH+]1CCOCC1 ZINC000270947769 408753623 /nfs/dbraw/zinc/75/36/23/408753623.db2.gz LCZHQIZTKBYHNR-ZDUSSCGKSA-N 1 2 300.406 1.965 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)cnn1 ZINC000276067889 408767793 /nfs/dbraw/zinc/76/77/93/408767793.db2.gz LIAULWJEPMPXBL-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)C[C@H](O)c2c(F)cccc2F)C1=O ZINC000281268641 408877528 /nfs/dbraw/zinc/87/75/28/408877528.db2.gz HWOZVUTVNNBOIW-KGLIPLIRSA-N 1 2 310.344 1.717 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)C[C@H](O)c2c(F)cccc2F)C1=O ZINC000281268641 408877530 /nfs/dbraw/zinc/87/75/30/408877530.db2.gz HWOZVUTVNNBOIW-KGLIPLIRSA-N 1 2 310.344 1.717 20 30 DDEDLO CN(C)[C@@H](C(=O)N(C)Cc1cccc(C#N)c1)c1c[nH+]cn1C ZINC000280862671 408848992 /nfs/dbraw/zinc/84/89/92/408848992.db2.gz XFANGSADEFEPIA-MRXNPFEDSA-N 1 2 311.389 1.553 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC000290953021 408855175 /nfs/dbraw/zinc/85/51/75/408855175.db2.gz QIVQDOPGVVUNHP-OAHLLOKOSA-N 1 2 305.341 1.479 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2c(C)cc(C)nc2OC)C1=O ZINC000286802428 408999523 /nfs/dbraw/zinc/99/95/23/408999523.db2.gz IZOGEXLBTYPPEE-HNNXBMFYSA-N 1 2 303.406 1.926 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2c(C)cc(C)nc2OC)C1=O ZINC000286802428 408999525 /nfs/dbraw/zinc/99/95/25/408999525.db2.gz IZOGEXLBTYPPEE-HNNXBMFYSA-N 1 2 303.406 1.926 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cc(C#N)cs1 ZINC000287507871 409047372 /nfs/dbraw/zinc/04/73/72/409047372.db2.gz UURJQCGWBHVYSQ-WCQYABFASA-N 1 2 305.403 1.555 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccn3)n2CC=C)CC1 ZINC000282401657 409048614 /nfs/dbraw/zinc/04/86/14/409048614.db2.gz WOKIVCUCUWGJDH-UHFFFAOYSA-N 1 2 308.389 1.281 20 30 DDEDLO CCc1nocc1C[N@H+](C)CC(=O)N(CCC#N)CCC#N ZINC000293394325 409104967 /nfs/dbraw/zinc/10/49/67/409104967.db2.gz LARJAMVKZHFHPT-UHFFFAOYSA-N 1 2 303.366 1.325 20 30 DDEDLO CCc1nocc1C[N@@H+](C)CC(=O)N(CCC#N)CCC#N ZINC000293394325 409104971 /nfs/dbraw/zinc/10/49/71/409104971.db2.gz LARJAMVKZHFHPT-UHFFFAOYSA-N 1 2 303.366 1.325 20 30 DDEDLO Cc1cc(C#N)nc(N2CCN(C3=[NH+]CC(C)(C)S3)CC2)n1 ZINC000287954754 409120912 /nfs/dbraw/zinc/12/09/12/409120912.db2.gz SLLBXKQQXOBZLE-UHFFFAOYSA-N 1 2 316.434 1.660 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)COc1ccccc1C#N ZINC000279298450 409187925 /nfs/dbraw/zinc/18/79/25/409187925.db2.gz METWBBBMRWSYQS-AWEZNQCLSA-N 1 2 317.389 1.116 20 30 DDEDLO C[C@@H](NC(=O)[C@H](C)O[NH+]=C(N)[C@H]1CCCO1)c1ccc(F)cc1 ZINC000284105128 409275776 /nfs/dbraw/zinc/27/57/76/409275776.db2.gz LKRZVUJEIXTBLB-UHIISALHSA-N 1 2 323.368 1.859 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1F ZINC000294522781 409293442 /nfs/dbraw/zinc/29/34/42/409293442.db2.gz RKZBBQSAFKBSCC-SWLSCSKDSA-N 1 2 315.348 1.803 20 30 DDEDLO NC(=[NH+]OCCCS(=O)(=O)c1ccccc1)[C@H]1CCCO1 ZINC000284057810 409267116 /nfs/dbraw/zinc/26/71/16/409267116.db2.gz JNBPDMNPJULKLE-CYBMUJFWSA-N 1 2 312.391 1.318 20 30 DDEDLO CC(=O)Nc1cccc(NC(=O)CO[NH+]=C(N)[C@@H]2CCCO2)c1 ZINC000284075343 409269141 /nfs/dbraw/zinc/26/91/41/409269141.db2.gz KEVDLBKHHLEJKG-ZDUSSCGKSA-N 1 2 320.349 1.051 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000285053794 409394600 /nfs/dbraw/zinc/39/46/00/409394600.db2.gz FZXIOPOIMLZDAC-DYVFJYSZSA-N 1 2 316.405 1.863 20 30 DDEDLO C=C(C)CS(=O)(=O)N[C@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000284423917 409340778 /nfs/dbraw/zinc/34/07/78/409340778.db2.gz COKCAAZVRUJGGB-ZDUSSCGKSA-N 1 2 313.423 1.383 20 30 DDEDLO C[N@H+](Cc1cccc(C#N)c1F)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000315145878 164018520 /nfs/dbraw/zinc/01/85/20/164018520.db2.gz WPXWAXNDRRCQRX-ZDUSSCGKSA-N 1 2 311.382 1.163 20 30 DDEDLO C[N@@H+](Cc1cccc(C#N)c1F)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000315145878 164018522 /nfs/dbraw/zinc/01/85/22/164018522.db2.gz WPXWAXNDRRCQRX-ZDUSSCGKSA-N 1 2 311.382 1.163 20 30 DDEDLO CC(=O)N[C@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000316874010 164024208 /nfs/dbraw/zinc/02/42/08/164024208.db2.gz AFWOLWLOELZECN-NHYWBVRUSA-N 1 2 300.362 1.096 20 30 DDEDLO CC(=O)N[C@H]1CC[N@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000316874010 164024210 /nfs/dbraw/zinc/02/42/10/164024210.db2.gz AFWOLWLOELZECN-NHYWBVRUSA-N 1 2 300.362 1.096 20 30 DDEDLO Cc1cc(C#N)cc(NC[C@H](C(C)C)N2CC[NH+](C)CC2)n1 ZINC000319769480 164038274 /nfs/dbraw/zinc/03/82/74/164038274.db2.gz ZLOQMOHIMOYROX-MRXNPFEDSA-N 1 2 301.438 1.946 20 30 DDEDLO C[C@H](Nc1ncc(C#N)cc1[N+](=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000297154189 409827858 /nfs/dbraw/zinc/82/78/58/409827858.db2.gz OKICLRUYSMAKSE-QWRGUYRKSA-N 1 2 305.338 1.383 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@H]1CCc2cnn(C)c2C1 ZINC000328675093 409952976 /nfs/dbraw/zinc/95/29/76/409952976.db2.gz QLXVERIZCCABJH-ZIAGYGMSSA-N 1 2 319.453 1.117 20 30 DDEDLO CN(C)c1cccnc1C[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000357292752 409960218 /nfs/dbraw/zinc/96/02/18/409960218.db2.gz GOGIQLCBYQVIBL-MRXNPFEDSA-N 1 2 309.373 1.335 20 30 DDEDLO O=C(Nc1nccs1)[C@@H]1CCC[N@@H+]1CCN1CCOCC1 ZINC000328814310 409985370 /nfs/dbraw/zinc/98/53/70/409985370.db2.gz BYXZJKUUKXMWLZ-LBPRGKRZSA-N 1 2 310.423 1.528 20 30 DDEDLO O=C(Nc1nccs1)[C@@H]1CCC[N@H+]1CCN1CCOCC1 ZINC000328814310 409985378 /nfs/dbraw/zinc/98/53/78/409985378.db2.gz BYXZJKUUKXMWLZ-LBPRGKRZSA-N 1 2 310.423 1.528 20 30 DDEDLO O=C(Nc1nccs1)[C@@H]1CCCN1CC[NH+]1CCOCC1 ZINC000328814310 409985381 /nfs/dbraw/zinc/98/53/81/409985381.db2.gz BYXZJKUUKXMWLZ-LBPRGKRZSA-N 1 2 310.423 1.528 20 30 DDEDLO Cn1nccc1C[N@@H+]1C[C@@H](F)C[C@H]1CNc1cnc(C#N)cn1 ZINC000328638551 409946647 /nfs/dbraw/zinc/94/66/47/409946647.db2.gz PDRQGSSIMKHGLX-FZMZJTMJSA-N 1 2 315.356 1.106 20 30 DDEDLO Cn1nccc1C[N@H+]1C[C@@H](F)C[C@H]1CNc1cnc(C#N)cn1 ZINC000328638551 409946654 /nfs/dbraw/zinc/94/66/54/409946654.db2.gz PDRQGSSIMKHGLX-FZMZJTMJSA-N 1 2 315.356 1.106 20 30 DDEDLO CC(C)N1CC[C@H](NC(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)C1=O ZINC000328885727 410001878 /nfs/dbraw/zinc/00/18/78/410001878.db2.gz ZHOJPPLAECMCOX-OLZOCXBDSA-N 1 2 319.409 1.133 20 30 DDEDLO CCc1nc(C)c(NC(=O)N2CC[C@H]3OCC[N@H+](C)[C@H]3C2)s1 ZINC000329062498 410080274 /nfs/dbraw/zinc/08/02/74/410080274.db2.gz VCTIGLLANDCCMF-NWDGAFQWSA-N 1 2 324.450 1.964 20 30 DDEDLO CCc1nc(C)c(NC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@H]3C2)s1 ZINC000329062498 410080284 /nfs/dbraw/zinc/08/02/84/410080284.db2.gz VCTIGLLANDCCMF-NWDGAFQWSA-N 1 2 324.450 1.964 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC000329096388 410099909 /nfs/dbraw/zinc/09/99/09/410099909.db2.gz LCTJVZTZQHKGGG-UKRRQHHQSA-N 1 2 323.437 1.312 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC000329096388 410099913 /nfs/dbraw/zinc/09/99/13/410099913.db2.gz LCTJVZTZQHKGGG-UKRRQHHQSA-N 1 2 323.437 1.312 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CCC(NC(=O)C3CC3)CC2)C1 ZINC000329107154 410106687 /nfs/dbraw/zinc/10/66/87/410106687.db2.gz PAKNFOUKKSZUSZ-CQSZACIVSA-N 1 2 324.425 1.062 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CCC(NC(=O)C3CC3)CC2)C1 ZINC000329107154 410106691 /nfs/dbraw/zinc/10/66/91/410106691.db2.gz PAKNFOUKKSZUSZ-CQSZACIVSA-N 1 2 324.425 1.062 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N(C)Cc1nccn1C)CCC2 ZINC000329154937 410134748 /nfs/dbraw/zinc/13/47/48/410134748.db2.gz AQBXLNGIQIRENA-ZDUSSCGKSA-N 1 2 316.409 1.848 20 30 DDEDLO CCn1nncc1C(=O)N[C@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000329174955 410144433 /nfs/dbraw/zinc/14/44/33/410144433.db2.gz LZJXSHFXCOPCCB-ZDUSSCGKSA-N 1 2 318.381 1.504 20 30 DDEDLO CO[C@@H]1CCC[C@@H]1CNC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000329209259 410168248 /nfs/dbraw/zinc/16/82/48/410168248.db2.gz CAUGAADKPNUVGM-MGPQQGTHSA-N 1 2 306.410 1.939 20 30 DDEDLO Cc1ncsc1CNC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000329271684 410204476 /nfs/dbraw/zinc/20/44/76/410204476.db2.gz JJOZYLTYVCLHLK-DGCLKSJQSA-N 1 2 324.450 1.661 20 30 DDEDLO O=C(N[C@@H]1CN(c2ccccc2)C1=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000329490665 410326001 /nfs/dbraw/zinc/32/60/01/410326001.db2.gz YVPIYLOBPTVROT-SWLSCSKDSA-N 1 2 310.357 1.817 20 30 DDEDLO CN(C([O-])=[NH+][C@@H]1CCc2[nH+]c(C(C)(C)C)cn2C1)C1CC(O)C1 ZINC000329515529 410339129 /nfs/dbraw/zinc/33/91/29/410339129.db2.gz MHMQUTRZZUIFHT-PNESKVBLSA-N 1 2 320.437 1.865 20 30 DDEDLO Cc1[nH+]ccn1CC[NH+]=C([O-])N1CCC[C@H]1C1CCOCC1 ZINC000329426458 410294148 /nfs/dbraw/zinc/29/41/48/410294148.db2.gz GOMOCJQPKPAEHL-HNNXBMFYSA-N 1 2 306.410 1.997 20 30 DDEDLO CCCCCNC(=O)CO[NH+]=C(N)c1ccc(OC)c(OC)c1 ZINC000298746639 410379414 /nfs/dbraw/zinc/37/94/14/410379414.db2.gz HKMOWYWVAJQINT-UHFFFAOYSA-N 1 2 323.393 1.647 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C[C@H]1C ZINC000355380282 410483471 /nfs/dbraw/zinc/48/34/71/410483471.db2.gz HZVCQDJKTODBDC-DFBGVHRSSA-N 1 2 315.373 1.216 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C[C@H]1C ZINC000355380282 410483478 /nfs/dbraw/zinc/48/34/78/410483478.db2.gz HZVCQDJKTODBDC-DFBGVHRSSA-N 1 2 315.373 1.216 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCOc4ccccc43)C[C@@H]21 ZINC000329727475 410425542 /nfs/dbraw/zinc/42/55/42/410425542.db2.gz OUPBKIFSTQSYEG-IJEWVQPXSA-N 1 2 317.389 1.439 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCOc4ccccc43)C[C@@H]21 ZINC000329727475 410425546 /nfs/dbraw/zinc/42/55/46/410425546.db2.gz OUPBKIFSTQSYEG-IJEWVQPXSA-N 1 2 317.389 1.439 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCC[N@H+](CCOc2ccccc2C#N)C1 ZINC000299069881 410505950 /nfs/dbraw/zinc/50/59/50/410505950.db2.gz PIOFCZUBAFGZJA-CQSZACIVSA-N 1 2 322.430 1.694 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCC[N@@H+](CCOc2ccccc2C#N)C1 ZINC000299069881 410505959 /nfs/dbraw/zinc/50/59/59/410505959.db2.gz PIOFCZUBAFGZJA-CQSZACIVSA-N 1 2 322.430 1.694 20 30 DDEDLO CCCn1cc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)cn1 ZINC000355465813 410530940 /nfs/dbraw/zinc/53/09/40/410530940.db2.gz ICFLYCVITBUPSL-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO C=CCC(C)(C)CNC(=O)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000299334272 410589074 /nfs/dbraw/zinc/58/90/74/410589074.db2.gz OLIIYZVSUYUCBM-UHFFFAOYSA-N 1 2 300.362 1.991 20 30 DDEDLO C=CCN(C(=O)c1ccc(C[NH+]2CCOCC2)cn1)C1CC1 ZINC000355501254 410548923 /nfs/dbraw/zinc/54/89/23/410548923.db2.gz CMOVFUZVYSXCCU-UHFFFAOYSA-N 1 2 301.390 1.704 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCO[C@@]3(CCc4ccccc43)C2)C1=O ZINC000337196990 410661690 /nfs/dbraw/zinc/66/16/90/410661690.db2.gz XZBWLRGFIDOXJX-MJGOQNOKSA-N 1 2 312.413 1.947 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCO[C@@]3(CCc4ccccc43)C2)C1=O ZINC000337196990 410661694 /nfs/dbraw/zinc/66/16/94/410661694.db2.gz XZBWLRGFIDOXJX-MJGOQNOKSA-N 1 2 312.413 1.947 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001120541850 782014327 /nfs/dbraw/zinc/01/43/27/782014327.db2.gz ZVXWGDNNGKRNLY-LBPRGKRZSA-N 1 2 320.441 1.861 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N1CC[C@H](O)C12CCCC2 ZINC000330342455 410637369 /nfs/dbraw/zinc/63/73/69/410637369.db2.gz AXAFMFVQEICZGK-KGLIPLIRSA-N 1 2 311.426 1.001 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)COc2ccc(C#N)cc2)CCO1 ZINC000347631864 410640308 /nfs/dbraw/zinc/64/03/08/410640308.db2.gz RDYABDWXKMSYHF-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)COc2ccc(C#N)cc2)CCO1 ZINC000347631864 410640315 /nfs/dbraw/zinc/64/03/15/410640315.db2.gz RDYABDWXKMSYHF-HNNXBMFYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC(=O)c1cccc(OC[C@@H](O)C[NH+]2CCC(F)(C#N)CC2)c1 ZINC000352482668 410658604 /nfs/dbraw/zinc/65/86/04/410658604.db2.gz HBLRZERXCSIWAW-HNNXBMFYSA-N 1 2 320.364 1.957 20 30 DDEDLO C[N@H+](Cc1nnc(-c2cccc(C#N)c2)o1)[C@]1(CO)CCOC1 ZINC000359604349 410764604 /nfs/dbraw/zinc/76/46/04/410764604.db2.gz SPWNLUUJGZTVAR-INIZCTEOSA-N 1 2 314.345 1.191 20 30 DDEDLO C[N@@H+](Cc1nnc(-c2cccc(C#N)c2)o1)[C@]1(CO)CCOC1 ZINC000359604349 410764611 /nfs/dbraw/zinc/76/46/11/410764611.db2.gz SPWNLUUJGZTVAR-INIZCTEOSA-N 1 2 314.345 1.191 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)c1ccc(F)cc1C#N ZINC000347917383 410788568 /nfs/dbraw/zinc/78/85/68/410788568.db2.gz ZQPTZMLRQOZOBP-UHFFFAOYSA-N 1 2 308.338 1.252 20 30 DDEDLO CNC(=O)CCOC1CC[NH+](Cc2nc(C#N)cs2)CC1 ZINC000356134146 410854141 /nfs/dbraw/zinc/85/41/41/410854141.db2.gz KNCCCNMFIZIBRY-UHFFFAOYSA-N 1 2 308.407 1.132 20 30 DDEDLO CC(C)N(C[C@@H](C)O)C(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000330997841 410908924 /nfs/dbraw/zinc/90/89/24/410908924.db2.gz UONAKXHKXWWICI-CYBMUJFWSA-N 1 2 301.431 1.102 20 30 DDEDLO CC(C)N(C[C@@H](C)O)C(=O)NCC[N@H+]1CCOCC1(C)C ZINC000330997841 410908931 /nfs/dbraw/zinc/90/89/31/410908931.db2.gz UONAKXHKXWWICI-CYBMUJFWSA-N 1 2 301.431 1.102 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)cc1C ZINC000451927379 287190670 /nfs/dbraw/zinc/19/06/70/287190670.db2.gz BIMTVQMUVPXBQX-OAHLLOKOSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)cc1C ZINC000451927379 287190671 /nfs/dbraw/zinc/19/06/71/287190671.db2.gz BIMTVQMUVPXBQX-OAHLLOKOSA-N 1 2 321.446 1.972 20 30 DDEDLO CCc1nnc(C[N@H+](C)CCOCc2ccc(C#N)cc2)n1C ZINC000344096650 410954164 /nfs/dbraw/zinc/95/41/64/410954164.db2.gz CDJPHDQLMDRSCU-UHFFFAOYSA-N 1 2 313.405 1.898 20 30 DDEDLO CCc1nnc(C[N@@H+](C)CCOCc2ccc(C#N)cc2)n1C ZINC000344096650 410954170 /nfs/dbraw/zinc/95/41/70/410954170.db2.gz CDJPHDQLMDRSCU-UHFFFAOYSA-N 1 2 313.405 1.898 20 30 DDEDLO COC[C@@H]([NH2+]C1CCN(c2ccc(C#N)cc2)CC1)C(=O)OC ZINC000348428594 410962435 /nfs/dbraw/zinc/96/24/35/410962435.db2.gz ZPBLWQDIFMISTG-MRXNPFEDSA-N 1 2 317.389 1.305 20 30 DDEDLO C[C@H]1C[C@@H](CO)CC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000344124586 410972300 /nfs/dbraw/zinc/97/23/00/410972300.db2.gz GMFIFTIWJFNRSW-ZFWWWQNUSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H]1C[C@@H](CO)CC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000344124586 410972304 /nfs/dbraw/zinc/97/23/04/410972304.db2.gz GMFIFTIWJFNRSW-ZFWWWQNUSA-N 1 2 322.430 1.425 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)no1 ZINC000360159320 411081226 /nfs/dbraw/zinc/08/12/26/411081226.db2.gz QXUVQCWUVWVTPR-WBMJQRKESA-N 1 2 317.393 1.366 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)no1 ZINC000360159320 411081233 /nfs/dbraw/zinc/08/12/33/411081233.db2.gz QXUVQCWUVWVTPR-WBMJQRKESA-N 1 2 317.393 1.366 20 30 DDEDLO Cc1cc(C2CC[NH+]([C@@H](C(N)=O)c3ccc(C#N)cc3)CC2)[nH]n1 ZINC000360212882 411101270 /nfs/dbraw/zinc/10/12/70/411101270.db2.gz LUUSRQPMKIKZJG-QGZVFWFLSA-N 1 2 323.400 1.996 20 30 DDEDLO Cc1cc(C2CC[NH+]([C@@H](C(N)=O)c3ccc(C#N)cc3)CC2)n[nH]1 ZINC000360212882 411101273 /nfs/dbraw/zinc/10/12/73/411101273.db2.gz LUUSRQPMKIKZJG-QGZVFWFLSA-N 1 2 323.400 1.996 20 30 DDEDLO Cc1ccc(-c2noc([C@@H](C)O[NH+]=C(N)CCO)n2)cc1F ZINC000121372802 221539802 /nfs/dbraw/zinc/53/98/02/221539802.db2.gz SKQPMRGLLJCGSI-SECBINFHSA-N 1 2 308.313 1.916 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1C[C@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000373264768 418415839 /nfs/dbraw/zinc/41/58/39/418415839.db2.gz RCGONVXIEHOIBJ-YLFCFFPRSA-N 1 2 322.449 1.537 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@@H+](Cc1ccc(F)c(C#N)c1)CC2 ZINC000366143078 418433830 /nfs/dbraw/zinc/43/38/30/418433830.db2.gz TWACXRAJZISDNC-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@H+](Cc1ccc(F)c(C#N)c1)CC2 ZINC000366143078 418433834 /nfs/dbraw/zinc/43/38/34/418433834.db2.gz TWACXRAJZISDNC-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO C=C(C)CS(=O)(=O)N[C@@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000373819532 418462792 /nfs/dbraw/zinc/46/27/92/418462792.db2.gz LPXYQKFMIHNFDB-KGLIPLIRSA-N 1 2 302.440 1.125 20 30 DDEDLO N#Cc1cc(F)ccc1NC(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000366595434 418494128 /nfs/dbraw/zinc/49/41/28/418494128.db2.gz GOXPRHFZLQIKQL-CQSZACIVSA-N 1 2 318.352 1.682 20 30 DDEDLO N#Cc1cc(F)ccc1NC(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000366595434 418494130 /nfs/dbraw/zinc/49/41/30/418494130.db2.gz GOXPRHFZLQIKQL-CQSZACIVSA-N 1 2 318.352 1.682 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@@H](COC(C)C)C1 ZINC000366740684 418510882 /nfs/dbraw/zinc/51/08/82/418510882.db2.gz TUBVEFQCMWBWCY-JKSUJKDBSA-N 1 2 310.438 1.701 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@@H](COC(C)C)C1 ZINC000366740684 418510885 /nfs/dbraw/zinc/51/08/85/418510885.db2.gz TUBVEFQCMWBWCY-JKSUJKDBSA-N 1 2 310.438 1.701 20 30 DDEDLO CCCCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000374510760 418537100 /nfs/dbraw/zinc/53/71/00/418537100.db2.gz ZDDWSQWMTBEUPP-AWEZNQCLSA-N 1 2 315.421 1.380 20 30 DDEDLO C#CC[N@@H+](C)[C@H](C)C(=O)Nc1cc(NC(C)=O)ccc1OC ZINC000192239216 222124394 /nfs/dbraw/zinc/12/43/94/222124394.db2.gz SCLBVPZCYFDYGD-LLVKDONJSA-N 1 2 303.362 1.546 20 30 DDEDLO C#CC[N@H+](C)[C@H](C)C(=O)Nc1cc(NC(C)=O)ccc1OC ZINC000192239216 222124397 /nfs/dbraw/zinc/12/43/97/222124397.db2.gz SCLBVPZCYFDYGD-LLVKDONJSA-N 1 2 303.362 1.546 20 30 DDEDLO C[C@H](NC(=O)CO[NH+]=C(N)CCO)c1ccc2c(c1)CCCC2 ZINC000193713602 222162168 /nfs/dbraw/zinc/16/21/68/222162168.db2.gz JOJBDZWKLVINNL-LBPRGKRZSA-N 1 2 319.405 1.414 20 30 DDEDLO C#CCCCCC(=O)NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000367405606 418598090 /nfs/dbraw/zinc/59/80/90/418598090.db2.gz UMWBYSWGPPAOSN-QGZVFWFLSA-N 1 2 308.422 1.178 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CCC[C@@H]1C[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000248511525 222235630 /nfs/dbraw/zinc/23/56/30/222235630.db2.gz BJJOAFBPVBLWGD-QLFBSQMISA-N 1 2 316.467 1.466 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CCC[C@@H]1C[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000248511525 222235633 /nfs/dbraw/zinc/23/56/33/222235633.db2.gz BJJOAFBPVBLWGD-QLFBSQMISA-N 1 2 316.467 1.466 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000264714799 222334641 /nfs/dbraw/zinc/33/46/41/222334641.db2.gz CHTWPPFRAYSIQQ-UHFFFAOYSA-N 1 2 322.434 1.795 20 30 DDEDLO [O-]C(NC[C@@H]1CCCn2cc[nH+]c21)=[NH+][C@@H]1CCc2c[nH]nc2C1 ZINC000328597732 418606131 /nfs/dbraw/zinc/60/61/31/418606131.db2.gz ALMQZYGOFCRXAJ-QWHCGFSZSA-N 1 2 314.393 1.545 20 30 DDEDLO [O-]C(N[C@@H]1CCc2c[nH]nc2C1)=[NH+]C[C@@H]1CCCn2cc[nH+]c21 ZINC000328597732 418606134 /nfs/dbraw/zinc/60/61/34/418606134.db2.gz ALMQZYGOFCRXAJ-QWHCGFSZSA-N 1 2 314.393 1.545 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000377703899 418713632 /nfs/dbraw/zinc/71/36/32/418713632.db2.gz QHKYLPFZOACQRA-IVMMDQJWSA-N 1 2 320.414 1.596 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000377703899 418713636 /nfs/dbraw/zinc/71/36/36/418713636.db2.gz QHKYLPFZOACQRA-IVMMDQJWSA-N 1 2 320.414 1.596 20 30 DDEDLO N#Cc1ccc(CN2CC[N@@H+](C[C@H]3CCC=CO3)CC2=O)cc1 ZINC000375724484 418677579 /nfs/dbraw/zinc/67/75/79/418677579.db2.gz LFHDTFVLSJDTGL-QGZVFWFLSA-N 1 2 311.385 1.895 20 30 DDEDLO N#Cc1ccc(CN2CC[N@H+](C[C@H]3CCC=CO3)CC2=O)cc1 ZINC000375724484 418677581 /nfs/dbraw/zinc/67/75/81/418677581.db2.gz LFHDTFVLSJDTGL-QGZVFWFLSA-N 1 2 311.385 1.895 20 30 DDEDLO Cc1nc(N2CCN(c3ccc(C#N)nc3)CC2)c(C)c(C)[nH+]1 ZINC000376483245 418697728 /nfs/dbraw/zinc/69/77/28/418697728.db2.gz DABWUIQHEZDKBK-UHFFFAOYSA-N 1 2 308.389 1.995 20 30 DDEDLO COC[C@]1(C)CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000381377534 418728526 /nfs/dbraw/zinc/72/85/26/418728526.db2.gz OJKRABZMHKIFBG-MRXNPFEDSA-N 1 2 322.430 1.690 20 30 DDEDLO COC[C@]1(C)CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000381377534 418728528 /nfs/dbraw/zinc/72/85/28/418728528.db2.gz OJKRABZMHKIFBG-MRXNPFEDSA-N 1 2 322.430 1.690 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCC(C#N)(c3ccccn3)CC2)C1=O ZINC000369205205 418729578 /nfs/dbraw/zinc/72/95/78/418729578.db2.gz IWTLICCZIXVEST-OAHLLOKOSA-N 1 2 310.401 1.726 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2cccc(C)c2C#N)C[C@@H]1C ZINC000408041335 418782337 /nfs/dbraw/zinc/78/23/37/418782337.db2.gz LSENEHAASLTPPZ-UONOGXRCSA-N 1 2 321.446 1.970 20 30 DDEDLO C[C@@H]1[C@H](O)CCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000385049535 418736035 /nfs/dbraw/zinc/73/60/35/418736035.db2.gz SIXGSPVMCSGZQE-IUODEOHRSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@@H]1[C@H](O)CCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000385049535 418736038 /nfs/dbraw/zinc/73/60/38/418736038.db2.gz SIXGSPVMCSGZQE-IUODEOHRSA-N 1 2 308.403 1.177 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2cccc(C)c2C#N)C[C@@H]1C ZINC000408041335 418782339 /nfs/dbraw/zinc/78/23/39/418782339.db2.gz LSENEHAASLTPPZ-UONOGXRCSA-N 1 2 321.446 1.970 20 30 DDEDLO CCCN(CC#N)C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000362584361 418756907 /nfs/dbraw/zinc/75/69/07/418756907.db2.gz UFXQGZBPESGUHR-CQSZACIVSA-N 1 2 319.409 1.245 20 30 DDEDLO CCCN(CC#N)C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000362584361 418756908 /nfs/dbraw/zinc/75/69/08/418756908.db2.gz UFXQGZBPESGUHR-CQSZACIVSA-N 1 2 319.409 1.245 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000378483381 418723580 /nfs/dbraw/zinc/72/35/80/418723580.db2.gz OZTZIQKQXUDWHO-JYJNAYRXSA-N 1 2 318.373 1.244 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)CC[N@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000378483381 418723582 /nfs/dbraw/zinc/72/35/82/418723582.db2.gz OZTZIQKQXUDWHO-JYJNAYRXSA-N 1 2 318.373 1.244 20 30 DDEDLO N#CC1(NC(=O)C2CC(=O)C2)CC[NH+](Cc2ccccc2)CC1 ZINC000378494732 418724719 /nfs/dbraw/zinc/72/47/19/418724719.db2.gz MWOHWXDBTSGSBC-UHFFFAOYSA-N 1 2 311.385 1.640 20 30 DDEDLO CC[C@](C)([NH2+]CCC(=O)Nc1cccc(C#N)c1)C(=O)OC ZINC000411451212 418905938 /nfs/dbraw/zinc/90/59/38/418905938.db2.gz ZAKXJAJMRDFXEG-INIZCTEOSA-N 1 2 303.362 1.818 20 30 DDEDLO N#C[C@H]1CCC[C@H](Nc2cnn(CC[NH+]3CCOCC3)c2)C1 ZINC000373065715 418926116 /nfs/dbraw/zinc/92/61/16/418926116.db2.gz JWPQFQBNCOEJNF-GJZGRUSLSA-N 1 2 303.410 1.710 20 30 DDEDLO Cc1cc(C)c(NC(=O)C(=O)N2CCC(F)(C#N)CC2)c(C)[nH+]1 ZINC000411542050 419338385 /nfs/dbraw/zinc/33/83/85/419338385.db2.gz XVFCGLMRTXCLOS-UHFFFAOYSA-N 1 2 318.352 1.800 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)NCc3ccc(C#N)s3)C[C@H]21 ZINC000420890050 419343107 /nfs/dbraw/zinc/34/31/07/419343107.db2.gz PTSLUXZFYOFJBP-KGLIPLIRSA-N 1 2 320.418 1.234 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)NCc3ccc(C#N)s3)C[C@H]21 ZINC000420890050 419343112 /nfs/dbraw/zinc/34/31/12/419343112.db2.gz PTSLUXZFYOFJBP-KGLIPLIRSA-N 1 2 320.418 1.234 20 30 DDEDLO C[C@@]1(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)C[C@H]1F ZINC000424551200 228288475 /nfs/dbraw/zinc/28/84/75/228288475.db2.gz ZVXXRJIXFCBRTG-NVXWUHKLSA-N 1 2 301.365 1.951 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(Cc2cccc(F)c2C#N)CC1 ZINC000428465984 419871823 /nfs/dbraw/zinc/87/18/23/419871823.db2.gz UOQSKQVDCIMLKV-UHFFFAOYSA-N 1 2 318.396 1.340 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)C1(c2cccc(C#N)c2)CC1 ZINC000435022114 229350975 /nfs/dbraw/zinc/35/09/75/229350975.db2.gz UPYKQIPGAKQSPF-AWEZNQCLSA-N 1 2 313.401 1.427 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)C1(c2cccc(C#N)c2)CC1 ZINC000435022114 229350979 /nfs/dbraw/zinc/35/09/79/229350979.db2.gz UPYKQIPGAKQSPF-AWEZNQCLSA-N 1 2 313.401 1.427 20 30 DDEDLO CO[C@@H](CC(C)C)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000416145711 420256184 /nfs/dbraw/zinc/25/61/84/420256184.db2.gz ROXPYQCVQWYILK-HNNXBMFYSA-N 1 2 311.426 1.122 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@H](C)c2ncc(C(=O)OC)s2)C1=O ZINC000425495712 420399456 /nfs/dbraw/zinc/39/94/56/420399456.db2.gz ZXKDWALAQKLDRU-ZJUUUORDSA-N 1 2 309.391 1.367 20 30 DDEDLO C=CCNC(=O)CNC(=O)/C=C\c1ccc(-n2cc[nH+]c2)cc1 ZINC000492346173 420530072 /nfs/dbraw/zinc/53/00/72/420530072.db2.gz FHQLCJOOILDLNU-YVMONPNESA-N 1 2 310.357 1.304 20 30 DDEDLO C=CC[N@@H+](CC1(CC(=O)OC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000440776227 420605442 /nfs/dbraw/zinc/60/54/42/420605442.db2.gz TZNJXZNGLUYQFU-GFCCVEGCSA-N 1 2 301.408 1.005 20 30 DDEDLO C=CC[N@H+](CC1(CC(=O)OC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000440776227 420605444 /nfs/dbraw/zinc/60/54/44/420605444.db2.gz TZNJXZNGLUYQFU-GFCCVEGCSA-N 1 2 301.408 1.005 20 30 DDEDLO C=C[C@H](C)NC(=O)N1CC[C@@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000441090497 420631141 /nfs/dbraw/zinc/63/11/41/420631141.db2.gz MEAKTRYSUDXMRK-BLLLJJGKSA-N 1 2 311.426 1.666 20 30 DDEDLO C[NH+]1CCN(C(=O)C(=O)Nc2ccc(CC#N)cc2Cl)CC1 ZINC000453584180 420733568 /nfs/dbraw/zinc/73/35/68/420733568.db2.gz KWNRQIGFEZZQMV-UHFFFAOYSA-N 1 2 320.780 1.119 20 30 DDEDLO N#CCOc1ccc(/C=C/C(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000493167912 420775992 /nfs/dbraw/zinc/77/59/92/420775992.db2.gz QOPURLJAFIORPS-YFCVBGDOSA-N 1 2 322.368 1.930 20 30 DDEDLO C=CCCCC(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000447683083 420794649 /nfs/dbraw/zinc/79/46/49/420794649.db2.gz MVYNFBLTCVYWOE-UHFFFAOYSA-N 1 2 301.390 1.825 20 30 DDEDLO C=CCCCC(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000447683083 420794654 /nfs/dbraw/zinc/79/46/54/420794654.db2.gz MVYNFBLTCVYWOE-UHFFFAOYSA-N 1 2 301.390 1.825 20 30 DDEDLO COc1ccc(OC)c(/C=C/C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000493385242 420835690 /nfs/dbraw/zinc/83/56/90/420835690.db2.gz MLUKGTQLRPTLNM-RCMYXZNBSA-N 1 2 317.389 1.677 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)[C@]2(C#N)C[C@@H]2C)CC1 ZINC000448903349 420912461 /nfs/dbraw/zinc/91/24/61/420912461.db2.gz YGJMTBMPSZRRGE-KSSFIOAISA-N 1 2 313.401 1.889 20 30 DDEDLO CCn1cc[nH+]c1C=CC(=O)NCC(=O)c1ccc(C#N)cc1 ZINC000493445269 420852881 /nfs/dbraw/zinc/85/28/81/420852881.db2.gz BBRFIUGRTGUZRB-FPLPWBNLSA-N 1 2 308.341 1.787 20 30 DDEDLO C[C@H]1C[C@@]1(C#N)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000448592239 420867570 /nfs/dbraw/zinc/86/75/70/420867570.db2.gz MZPDOMHWGFHEFH-KSSFIOAISA-N 1 2 313.401 1.685 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C/c1ccc2c(c1)OCCO2 ZINC000493543575 420877128 /nfs/dbraw/zinc/87/71/28/420877128.db2.gz BZXAMKZXBGJIBI-QQOXCAACSA-N 1 2 315.373 1.431 20 30 DDEDLO C#CC[C@H]([NH2+]C1CC2(C1)CN(C(=O)OC(C)(C)C)C2)C(=O)OC ZINC000495978735 421109238 /nfs/dbraw/zinc/10/92/38/421109238.db2.gz DAYZXLRZUPYEGQ-ZDUSSCGKSA-N 1 2 322.405 1.540 20 30 DDEDLO C#CC[N@@H+](C[C@]1(C)CC1(Cl)Cl)[C@@H]1CCS(=O)(=O)C1 ZINC000491708139 421201589 /nfs/dbraw/zinc/20/15/89/421201589.db2.gz MTVOYDOWXXTADD-MNOVXSKESA-N 1 2 310.246 1.693 20 30 DDEDLO C#CC[N@H+](C[C@]1(C)CC1(Cl)Cl)[C@@H]1CCS(=O)(=O)C1 ZINC000491708139 421201591 /nfs/dbraw/zinc/20/15/91/421201591.db2.gz MTVOYDOWXXTADD-MNOVXSKESA-N 1 2 310.246 1.693 20 30 DDEDLO C[NH+](C)[C@@H](CNc1cc(C#N)ncn1)c1c(F)cccc1F ZINC000562422083 421364848 /nfs/dbraw/zinc/36/48/48/421364848.db2.gz GTPXJLLTVJBGSN-ZDUSSCGKSA-N 1 2 303.316 2.341 20 30 DDEDLO COc1cc(C)ccc1OCC(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000514793382 421455715 /nfs/dbraw/zinc/45/57/15/421455715.db2.gz WVILYONSGWMYPU-MRXNPFEDSA-N 1 2 305.378 1.343 20 30 DDEDLO Cc1ccc2ncc(C#N)c(N[C@@H](C)C[NH+]3CCOCC3)c2c1 ZINC000515272081 421487953 /nfs/dbraw/zinc/48/79/53/421487953.db2.gz ZQCPOZHNDNFCTD-AWEZNQCLSA-N 1 2 310.401 1.969 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H]2CCN(C3CCCCC3)C2=O)C1=O ZINC000528498232 421495683 /nfs/dbraw/zinc/49/56/83/421495683.db2.gz VKPVCOBTDGYFMX-JKSUJKDBSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H]2CCN(C3CCCCC3)C2=O)C1=O ZINC000528498232 421495685 /nfs/dbraw/zinc/49/56/85/421495685.db2.gz VKPVCOBTDGYFMX-JKSUJKDBSA-N 1 2 319.449 1.639 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@@H+](C[C@H](O)CC2(O)CCC2)C1 ZINC000528555017 421498664 /nfs/dbraw/zinc/49/86/64/421498664.db2.gz UGROUMLBTATJLR-WBVHZDCISA-N 1 2 315.417 1.605 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@H+](C[C@H](O)CC2(O)CCC2)C1 ZINC000528555017 421498667 /nfs/dbraw/zinc/49/86/67/421498667.db2.gz UGROUMLBTATJLR-WBVHZDCISA-N 1 2 315.417 1.605 20 30 DDEDLO COc1cccc(COCC(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000565050452 421595382 /nfs/dbraw/zinc/59/53/82/421595382.db2.gz CMVFLHVLORJWEK-MRXNPFEDSA-N 1 2 305.378 1.172 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000570393458 421643239 /nfs/dbraw/zinc/64/32/39/421643239.db2.gz XCQIZLZDNJEEDB-MJBXVCDLSA-N 1 2 304.394 1.890 20 30 DDEDLO CC(C)C[C@@H](CNC(=O)C1(C#N)CC(C)C1)[NH+]1CCOCC1 ZINC000532073734 421647722 /nfs/dbraw/zinc/64/77/22/421647722.db2.gz SQKWISIJUDWBSL-CKDBGZEDSA-N 1 2 307.438 1.789 20 30 DDEDLO Cc1nnc([C@H]2CC[N@@H+]([C@@H](C)C(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000572700428 421811897 /nfs/dbraw/zinc/81/18/97/421811897.db2.gz KYIMVXQHJSGALG-FZMZJTMJSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nnc([C@H]2CC[N@H+]([C@@H](C)C(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000572700428 421811900 /nfs/dbraw/zinc/81/19/00/421811900.db2.gz KYIMVXQHJSGALG-FZMZJTMJSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@@H+]([C@@H](C)C(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000572700428 421811903 /nfs/dbraw/zinc/81/19/03/421811903.db2.gz KYIMVXQHJSGALG-FZMZJTMJSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1n[nH]c([C@H]2CC[N@H+]([C@@H](C)C(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000572700428 421811908 /nfs/dbraw/zinc/81/19/08/421811908.db2.gz KYIMVXQHJSGALG-FZMZJTMJSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nc([C@H]2CC[N@@H+]([C@@H](C)C(=O)Nc3cccc(C#N)c3)C2)n[nH]1 ZINC000572700428 421811910 /nfs/dbraw/zinc/81/19/10/421811910.db2.gz KYIMVXQHJSGALG-FZMZJTMJSA-N 1 2 324.388 1.801 20 30 DDEDLO Cc1nc([C@H]2CC[N@H+]([C@@H](C)C(=O)Nc3cccc(C#N)c3)C2)n[nH]1 ZINC000572700428 421811913 /nfs/dbraw/zinc/81/19/13/421811913.db2.gz KYIMVXQHJSGALG-FZMZJTMJSA-N 1 2 324.388 1.801 20 30 DDEDLO N#Cc1ccnc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC000581931937 422068784 /nfs/dbraw/zinc/06/87/84/422068784.db2.gz RYLMXIDHNHFZEF-OAHLLOKOSA-N 1 2 314.389 1.280 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NC(=O)Nc1ccc(OC)cc1 ZINC000583449880 422167635 /nfs/dbraw/zinc/16/76/35/422167635.db2.gz ZFZTYEQEVNLWAC-LLVKDONJSA-N 1 2 304.350 1.625 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000628576731 422236188 /nfs/dbraw/zinc/23/61/88/422236188.db2.gz NLFOIZLTJICGHC-KRWDZBQOSA-N 1 2 310.438 1.321 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000628576731 422236195 /nfs/dbraw/zinc/23/61/95/422236195.db2.gz NLFOIZLTJICGHC-KRWDZBQOSA-N 1 2 310.438 1.321 20 30 DDEDLO C[C@@H]1CN(c2ccc(Nc3cc(C#N)cnn3)c[nH+]2)C[C@@H](C)O1 ZINC000596081194 422361355 /nfs/dbraw/zinc/36/13/55/422361355.db2.gz QYELCVIKWLRQGK-VXGBXAGGSA-N 1 2 310.361 2.100 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000597816625 422391960 /nfs/dbraw/zinc/39/19/60/422391960.db2.gz BTKWQEXQUDTRGL-SNVBAGLBSA-N 1 2 320.356 2.210 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000597816625 422391965 /nfs/dbraw/zinc/39/19/65/422391965.db2.gz BTKWQEXQUDTRGL-SNVBAGLBSA-N 1 2 320.356 2.210 20 30 DDEDLO COc1ncccc1C[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000577689053 422406617 /nfs/dbraw/zinc/40/66/17/422406617.db2.gz PYGKLGKSUQOEHY-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)NCc2ccccc2-n2cc[nH+]c2)n1 ZINC000610564606 422469314 /nfs/dbraw/zinc/46/93/14/422469314.db2.gz PVCNVJFHRKODQD-UHFFFAOYSA-N 1 2 321.344 1.799 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2cccc(C)c2C)nn1 ZINC000640759358 423169745 /nfs/dbraw/zinc/16/97/45/423169745.db2.gz AJEKOIOKOYCGJW-UHFFFAOYSA-N 1 2 311.389 1.647 20 30 DDEDLO C=CCNC(=O)[C@@H](C)n1cc(C[NH2+]Cc2ccc(F)cc2)nn1 ZINC000640883754 423254339 /nfs/dbraw/zinc/25/43/39/423254339.db2.gz NFAMKDZQEJRRGN-GFCCVEGCSA-N 1 2 317.368 1.570 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)COc2cccc(C)c2)nn1 ZINC000641194744 423466589 /nfs/dbraw/zinc/46/65/89/423466589.db2.gz QAGYZADIEQIYEX-MRXNPFEDSA-N 1 2 314.389 1.139 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC([C@H](O)C(F)(F)F)CC2)nn1 ZINC000653570239 423534551 /nfs/dbraw/zinc/53/45/51/423534551.db2.gz GSTXHUQOBBUBAH-ZDUSSCGKSA-N 1 2 318.343 1.989 20 30 DDEDLO C=CC[N@H+](CCOc1cccc(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000066559778 264245828 /nfs/dbraw/zinc/24/58/28/264245828.db2.gz ZAQUBIXHYOMLFM-HNNXBMFYSA-N 1 2 320.414 1.612 20 30 DDEDLO C=CC[N@@H+](CCOc1cccc(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000066559778 264245832 /nfs/dbraw/zinc/24/58/32/264245832.db2.gz ZAQUBIXHYOMLFM-HNNXBMFYSA-N 1 2 320.414 1.612 20 30 DDEDLO C=C(C)[C@H](CO)[N@@H+]1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000649402742 423864268 /nfs/dbraw/zinc/86/42/68/423864268.db2.gz NMHRGGDLEIUOOU-HNNXBMFYSA-N 1 2 310.419 1.205 20 30 DDEDLO C=C(C)[C@H](CO)[N@H+]1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000649402742 423864279 /nfs/dbraw/zinc/86/42/79/423864279.db2.gz NMHRGGDLEIUOOU-HNNXBMFYSA-N 1 2 310.419 1.205 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000644878491 424004252 /nfs/dbraw/zinc/00/42/52/424004252.db2.gz GLGQFGUIWAIKDE-XQLPTFJDSA-N 1 2 318.421 1.687 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNc1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000644878491 424004263 /nfs/dbraw/zinc/00/42/63/424004263.db2.gz GLGQFGUIWAIKDE-XQLPTFJDSA-N 1 2 318.421 1.687 20 30 DDEDLO C=CC[C@@H](CO)CNc1nc2c(cnn2C(C)(C)C)c(N)[nH+]1 ZINC000663770062 424157656 /nfs/dbraw/zinc/15/76/56/424157656.db2.gz JDQGKVSTRBULNW-SNVBAGLBSA-N 1 2 304.398 1.760 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOc2ccc(O)cc2C1 ZINC000662105533 424399328 /nfs/dbraw/zinc/39/93/28/424399328.db2.gz ZAJYMSQCOYUWOY-UHFFFAOYSA-N 1 2 302.374 1.787 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOc2ccc(O)cc2C1 ZINC000662105533 424399332 /nfs/dbraw/zinc/39/93/32/424399332.db2.gz ZAJYMSQCOYUWOY-UHFFFAOYSA-N 1 2 302.374 1.787 20 30 DDEDLO C=CCOc1ccccc1C[NH2+][C@H]1CS(=O)(=O)C[C@H]1OC ZINC000657606223 424440762 /nfs/dbraw/zinc/44/07/62/424440762.db2.gz WHEGSTAVYZTDDT-DZGCQCFKSA-N 1 2 311.403 1.153 20 30 DDEDLO C=CC[C@@H]1CCCN(c2cc(N3CCN(C)C(=O)C3)nc[nH+]2)C1 ZINC000664257292 424498649 /nfs/dbraw/zinc/49/86/49/424498649.db2.gz YHJNTIBQKUMDBL-CQSZACIVSA-N 1 2 315.421 1.548 20 30 DDEDLO C=CC[C@@H]1CCCN(c2cc(N3CCN(C)C(=O)C3)[nH+]cn2)C1 ZINC000664257292 424498655 /nfs/dbraw/zinc/49/86/55/424498655.db2.gz YHJNTIBQKUMDBL-CQSZACIVSA-N 1 2 315.421 1.548 20 30 DDEDLO C=CCOCCCNc1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000664387801 424571460 /nfs/dbraw/zinc/57/14/60/424571460.db2.gz IDMNWTBDPUGQBA-ZIAGYGMSSA-N 1 2 306.410 1.688 20 30 DDEDLO C=CCOCCCNc1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000664387801 424571463 /nfs/dbraw/zinc/57/14/63/424571463.db2.gz IDMNWTBDPUGQBA-ZIAGYGMSSA-N 1 2 306.410 1.688 20 30 DDEDLO C=CCN(C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)[C@H](C)COC ZINC000664518646 424622161 /nfs/dbraw/zinc/62/21/61/424622161.db2.gz DITVFZKOCSGLKF-HUUCEWRRSA-N 1 2 318.421 1.893 20 30 DDEDLO C=CCCNC(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000665447548 424805540 /nfs/dbraw/zinc/80/55/40/424805540.db2.gz JKJAFEQKDLSPSU-UHFFFAOYSA-N 1 2 316.405 1.772 20 30 DDEDLO CCN(CCOC)c1cccc(Cn2cnc(C#N)c2C#N)[nH+]1 ZINC000351647463 266128464 /nfs/dbraw/zinc/12/84/64/266128464.db2.gz CHPUASDOLWJUCI-UHFFFAOYSA-N 1 2 310.361 1.542 20 30 DDEDLO N#Cc1ccc(F)c(CNC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)c1 ZINC000378069972 268102793 /nfs/dbraw/zinc/10/27/93/268102793.db2.gz JPJHNIBBFXKYSW-GFCCVEGCSA-N 1 2 313.336 1.777 20 30 DDEDLO N#Cc1ccc(F)c(CNC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)c1 ZINC000378069972 268102794 /nfs/dbraw/zinc/10/27/94/268102794.db2.gz JPJHNIBBFXKYSW-GFCCVEGCSA-N 1 2 313.336 1.777 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)s1 ZINC000367097364 268192455 /nfs/dbraw/zinc/19/24/55/268192455.db2.gz AMCPPKLWEDFSKI-JTQLQIEISA-N 1 2 308.388 1.869 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@H](n2cncn2)C1 ZINC000364505057 268308575 /nfs/dbraw/zinc/30/85/75/268308575.db2.gz DBJZZWKQTGSHJD-NSHDSACASA-N 1 2 302.363 1.097 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@H](n2cncn2)C1 ZINC000364505057 268308579 /nfs/dbraw/zinc/30/85/79/268308579.db2.gz DBJZZWKQTGSHJD-NSHDSACASA-N 1 2 302.363 1.097 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C#N)c(O)c1 ZINC000342277576 271363540 /nfs/dbraw/zinc/36/35/40/271363540.db2.gz DTMLAXFXWYZTFA-SWLSCSKDSA-N 1 2 315.373 1.199 20 30 DDEDLO C[C@@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H]1CO ZINC000305698974 533689895 /nfs/dbraw/zinc/68/98/95/533689895.db2.gz BAMJHUSGWKYREP-CJNGLKHVSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)[C@H]1CO ZINC000305698974 533689898 /nfs/dbraw/zinc/68/98/98/533689898.db2.gz BAMJHUSGWKYREP-CJNGLKHVSA-N 1 2 322.430 1.425 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCC[C@H]1c1cnn(C)c1 ZINC000332390251 280102593 /nfs/dbraw/zinc/10/25/93/280102593.db2.gz RCNNSKPONYYVRP-UKPHBRMFSA-N 1 2 317.437 2.000 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCC[C@H]1c1cnn(C)c1 ZINC000332390251 280102596 /nfs/dbraw/zinc/10/25/96/280102596.db2.gz RCNNSKPONYYVRP-UKPHBRMFSA-N 1 2 317.437 2.000 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1ccc(C#N)c(O)c1)[NH+]1CCOCC1 ZINC000188244787 280253132 /nfs/dbraw/zinc/25/31/32/280253132.db2.gz GZWRPESLYNVAEL-HNNXBMFYSA-N 1 2 317.389 1.350 20 30 DDEDLO C[C@H](C#N)N1CC[C@@H]([NH+]2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000375843246 289239640 /nfs/dbraw/zinc/23/96/40/289239640.db2.gz XPGAUXZZIQFTDO-ZIAGYGMSSA-N 1 2 308.426 1.525 20 30 DDEDLO C[C@H](NC(=O)N1CCC(F)(C#N)CC1)[C@H](C)[NH+]1CCOCC1 ZINC000345032359 289822098 /nfs/dbraw/zinc/82/20/98/289822098.db2.gz WVLYPEMWRUDRCP-STQMWFEESA-N 1 2 312.389 1.133 20 30 DDEDLO COc1ccccc1[C@@H](C)NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329706224 295379605 /nfs/dbraw/zinc/37/96/05/295379605.db2.gz JUTQKVWHGSWQMQ-IVMMDQJWSA-N 1 2 319.405 1.685 20 30 DDEDLO COc1ccccc1[C@@H](C)NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329706224 295379607 /nfs/dbraw/zinc/37/96/07/295379607.db2.gz JUTQKVWHGSWQMQ-IVMMDQJWSA-N 1 2 319.405 1.685 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CCO[C@H](C)C1 ZINC000329243787 297263935 /nfs/dbraw/zinc/26/39/35/297263935.db2.gz TXGWCJGZMQXEHN-KBUPBQIOSA-N 1 2 311.426 1.263 20 30 DDEDLO N#Cc1cncc(S(=O)(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)c1 ZINC000289405122 303213850 /nfs/dbraw/zinc/21/38/50/303213850.db2.gz GFTAWMUXBXAWOE-ZDUSSCGKSA-N 1 2 317.374 1.592 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)Nc1cc(C)[nH+]cc1C ZINC000545922140 303504814 /nfs/dbraw/zinc/50/48/14/303504814.db2.gz MTKUWKHSTLAPKO-UHFFFAOYSA-N 1 2 317.370 1.801 20 30 DDEDLO Cc1cccc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)c1C#N ZINC000548295228 307762770 /nfs/dbraw/zinc/76/27/70/307762770.db2.gz ZNWQIORTPJRLNX-LBPRGKRZSA-N 1 2 316.386 1.357 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cnccc3C#N)CC2)cn1 ZINC000574137039 308262628 /nfs/dbraw/zinc/26/26/28/308262628.db2.gz PNCQJZLZAALJRJ-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO N#Cc1cc2c(nc1N1CCN(c3cccc[nH+]3)CC1)CCOC2 ZINC000576226297 308298550 /nfs/dbraw/zinc/29/85/50/308298550.db2.gz SEMANBBMXNCBAY-UHFFFAOYSA-N 1 2 321.384 1.748 20 30 DDEDLO Cc1nsc(N2CC[NH+]([C@H]3CCCN(C)C3=O)CC2)c1C#N ZINC000577665223 308405899 /nfs/dbraw/zinc/40/58/99/308405899.db2.gz HMHBLTGLKAKPOA-ZDUSSCGKSA-N 1 2 319.434 1.066 20 30 DDEDLO Cc1c(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)cnn1CC(C)C ZINC000330570746 533698053 /nfs/dbraw/zinc/69/80/53/533698053.db2.gz ORHGRCZOPROCPZ-ZDUSSCGKSA-N 1 2 308.426 1.873 20 30 DDEDLO Cc1c(C(=O)NCC[N@H+]2CCOC[C@@H]2C)cnn1CC(C)C ZINC000330570746 533698061 /nfs/dbraw/zinc/69/80/61/533698061.db2.gz ORHGRCZOPROCPZ-ZDUSSCGKSA-N 1 2 308.426 1.873 20 30 DDEDLO CC1(C)C[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)[C@@H]1[C@H]1CCCO1 ZINC000582329813 326012521 /nfs/dbraw/zinc/01/25/21/326012521.db2.gz KLDQZMBPJUZYJR-OWCLPIDISA-N 1 2 313.401 1.974 20 30 DDEDLO CC1(C)C[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)[C@@H]1[C@H]1CCCO1 ZINC000582329813 326012523 /nfs/dbraw/zinc/01/25/23/326012523.db2.gz KLDQZMBPJUZYJR-OWCLPIDISA-N 1 2 313.401 1.974 20 30 DDEDLO CCn1nccc1N1CCC[C@H]([NH2+]C[C@@H](C#N)CCC#N)C1=O ZINC000570897903 332837368 /nfs/dbraw/zinc/83/73/68/332837368.db2.gz UZICGLHUUSXVHZ-KGLIPLIRSA-N 1 2 314.393 1.432 20 30 DDEDLO COc1ccc2c(c1)C[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)CO2 ZINC000517944741 331979455 /nfs/dbraw/zinc/97/94/55/331979455.db2.gz OLIOBASXKUIKBI-SUMWQHHRSA-N 1 2 317.389 1.206 20 30 DDEDLO CCc1cccc(OCC[NH+]2CCN(C(=O)CC#N)CC2)c1 ZINC000517956675 331981135 /nfs/dbraw/zinc/98/11/35/331981135.db2.gz HMJPPNPDQQKDPR-UHFFFAOYSA-N 1 2 301.390 1.686 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(C(F)(F)F)nc1 ZINC000569527467 332123958 /nfs/dbraw/zinc/12/39/58/332123958.db2.gz UJNZPVZHPUMPML-LBPRGKRZSA-N 1 2 300.284 1.674 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000584296053 332224105 /nfs/dbraw/zinc/22/41/05/332224105.db2.gz AZTCUXNQZAKVEU-TZMCWYRMSA-N 1 2 305.422 1.760 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](C)Cc1ccc(OC)c(O)c1 ZINC000177218077 333125895 /nfs/dbraw/zinc/12/58/95/333125895.db2.gz PZEVKDPFBPDUCN-CYBMUJFWSA-N 1 2 319.405 1.841 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)Cc1ccc(OC)c(O)c1 ZINC000177218077 333125897 /nfs/dbraw/zinc/12/58/97/333125897.db2.gz PZEVKDPFBPDUCN-CYBMUJFWSA-N 1 2 319.405 1.841 20 30 DDEDLO COC(=O)Nc1ccc(OC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000497229891 333225264 /nfs/dbraw/zinc/22/52/64/333225264.db2.gz QZWOGIAGFVZWQI-CYBMUJFWSA-N 1 2 307.350 1.450 20 30 DDEDLO COC(=O)Nc1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000497229891 333225265 /nfs/dbraw/zinc/22/52/65/333225265.db2.gz QZWOGIAGFVZWQI-CYBMUJFWSA-N 1 2 307.350 1.450 20 30 DDEDLO Cc1nn(C)cc1C(=O)NC(C)(C)c1noc(C[NH+](C)C)n1 ZINC000330765871 334808640 /nfs/dbraw/zinc/80/86/40/334808640.db2.gz QJXRPFVTPRXATJ-UHFFFAOYSA-N 1 2 306.370 1.413 20 30 DDEDLO C[NH+](C)CCS(=O)(=O)c1ccccc1C(=O)NCCCC#N ZINC000345155194 335302106 /nfs/dbraw/zinc/30/21/06/335302106.db2.gz UCVPXEIAGJUHQB-UHFFFAOYSA-N 1 2 323.418 1.055 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000582221958 336023421 /nfs/dbraw/zinc/02/34/21/336023421.db2.gz ZUCKRENAVKTIMN-SJORKVTESA-N 1 2 316.401 1.628 20 30 DDEDLO C[C@H](CN(C)C(=O)Cc1c[nH+]c[nH]1)Nc1ncc(C#N)cc1F ZINC001120712572 782103236 /nfs/dbraw/zinc/10/32/36/782103236.db2.gz OLCGPKAHTCEREF-SNVBAGLBSA-N 1 2 316.340 1.317 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@](C)(c3ccccc3)CC2=O)CC1 ZINC000186663111 340515201 /nfs/dbraw/zinc/51/52/01/340515201.db2.gz KNQKABJZVFSYOJ-LJQANCHMSA-N 1 2 311.429 1.385 20 30 DDEDLO COc1ccc(OCC[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000555344662 341480641 /nfs/dbraw/zinc/48/06/41/341480641.db2.gz QLEYWZRBZSSOEN-UHFFFAOYSA-N 1 2 303.362 1.132 20 30 DDEDLO C[C@@H]([NH2+]C[C@@H](O)COc1ccc(CC#N)cc1)c1csnn1 ZINC000567694278 341628022 /nfs/dbraw/zinc/62/80/22/341628022.db2.gz NNAYPRWDQDGGDB-DGCLKSJQSA-N 1 2 318.402 1.695 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000574061231 341747281 /nfs/dbraw/zinc/74/72/81/341747281.db2.gz FGRPERGICZSBIG-OCCSQVGLSA-N 1 2 321.421 1.139 20 30 DDEDLO C=CCOC[C@H]1NC(=O)N(C[C@H]2CCCn3cc(C)[nH+]c32)C1=O ZINC000348617399 341953983 /nfs/dbraw/zinc/95/39/83/341953983.db2.gz UCSLKHFDLJHKAC-CHWSQXEVSA-N 1 2 318.377 1.192 20 30 DDEDLO COc1cccc(OCCC(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000577956376 341958006 /nfs/dbraw/zinc/95/80/06/341958006.db2.gz ZSCLTDUEKLMVOK-MRXNPFEDSA-N 1 2 305.378 1.424 20 30 DDEDLO C[C@H]1C[N@H+](C[C@H](O)COc2ccc(CC#N)cc2)CCC1=O ZINC000670087877 484752006 /nfs/dbraw/zinc/75/20/06/484752006.db2.gz DUZPZVHAEAVQOE-ZFWWWQNUSA-N 1 2 302.374 1.403 20 30 DDEDLO C[C@H]1C[N@@H+](C[C@H](O)COc2ccc(CC#N)cc2)CCC1=O ZINC000670087877 484752011 /nfs/dbraw/zinc/75/20/11/484752011.db2.gz DUZPZVHAEAVQOE-ZFWWWQNUSA-N 1 2 302.374 1.403 20 30 DDEDLO C=CCOCCNC(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000661006324 484853943 /nfs/dbraw/zinc/85/39/43/484853943.db2.gz RMVCHQMIGVYKFN-UHFFFAOYSA-N 1 2 304.394 1.684 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CC(Oc2cc[nH+]cc2)C1 ZINC000668084957 484943957 /nfs/dbraw/zinc/94/39/57/484943957.db2.gz AHJOKFFGHZGYDJ-HNNXBMFYSA-N 1 2 303.362 1.142 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@@H](c1cccs1)[NH+]1CCN(C)CC1 ZINC000674971898 485835284 /nfs/dbraw/zinc/83/52/84/485835284.db2.gz NQHXSWCATVQWIY-KGLIPLIRSA-N 1 2 322.478 1.910 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)c2ccc(C)c(F)c2)CC1 ZINC000677048188 486386144 /nfs/dbraw/zinc/38/61/44/486386144.db2.gz PEKLSYHWXMYQRT-MRXNPFEDSA-N 1 2 306.381 1.829 20 30 DDEDLO COCC#CCN1CC[NH+](Cc2cc(OC)ccc2OC)CC1 ZINC000677133222 486397792 /nfs/dbraw/zinc/39/77/92/486397792.db2.gz NNGHZMXWGNEQSL-UHFFFAOYSA-N 1 2 318.417 1.471 20 30 DDEDLO COCC#CC[NH+]1CCN(Cc2cc(OC)ccc2OC)CC1 ZINC000677133222 486397797 /nfs/dbraw/zinc/39/77/97/486397797.db2.gz NNGHZMXWGNEQSL-UHFFFAOYSA-N 1 2 318.417 1.471 20 30 DDEDLO COc1ccc(F)cc1CNC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000330183099 533924302 /nfs/dbraw/zinc/92/43/02/533924302.db2.gz HRDLBMZFHDBBSZ-ZFWWWQNUSA-N 1 2 323.368 1.263 20 30 DDEDLO COc1ccc(F)cc1CNC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000330183099 533924311 /nfs/dbraw/zinc/92/43/11/533924311.db2.gz HRDLBMZFHDBBSZ-ZFWWWQNUSA-N 1 2 323.368 1.263 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)cc1F ZINC000329966636 534023633 /nfs/dbraw/zinc/02/36/33/534023633.db2.gz RYBOBAVHCNCSNS-OCCSQVGLSA-N 1 2 309.341 1.395 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)cc1F ZINC000329966636 534023642 /nfs/dbraw/zinc/02/36/42/534023642.db2.gz RYBOBAVHCNCSNS-OCCSQVGLSA-N 1 2 309.341 1.395 20 30 DDEDLO C[C@H](Cc1ccc(O)cc1)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329925890 534142368 /nfs/dbraw/zinc/14/23/68/534142368.db2.gz KFULSGHXONJBJR-WQVCFCJDSA-N 1 2 319.405 1.252 20 30 DDEDLO C[C@H](Cc1ccc(O)cc1)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329925890 534142378 /nfs/dbraw/zinc/14/23/78/534142378.db2.gz KFULSGHXONJBJR-WQVCFCJDSA-N 1 2 319.405 1.252 20 30 DDEDLO C[C@H](CNC(=O)Cc1ccc2n[nH]cc2c1)[NH+]1CCOCC1 ZINC000329993247 534299411 /nfs/dbraw/zinc/29/94/11/534299411.db2.gz LEXQHZZDMAXMTP-GFCCVEGCSA-N 1 2 302.378 1.783 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2ccccc2C#N)CC[S@@]1=O ZINC000330875541 534460370 /nfs/dbraw/zinc/46/03/70/534460370.db2.gz ZYLLAIDCULPBJI-XUSGNXJCSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2ccccc2C#N)CC[S@@]1=O ZINC000330875541 534460375 /nfs/dbraw/zinc/46/03/75/534460375.db2.gz ZYLLAIDCULPBJI-XUSGNXJCSA-N 1 2 305.403 1.340 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](CCO)[C@H]2CCO[C@H]2C)c(C#N)c1C ZINC000331184276 534545913 /nfs/dbraw/zinc/54/59/13/534545913.db2.gz TVLXFMHUORLXIW-JSGCOSHPSA-N 1 2 321.377 1.178 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](CCO)[C@H]2CCO[C@H]2C)c(C#N)c1C ZINC000331184276 534545922 /nfs/dbraw/zinc/54/59/22/534545922.db2.gz TVLXFMHUORLXIW-JSGCOSHPSA-N 1 2 321.377 1.178 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CC[NH2+][C@@H](c2cccc(F)c2)C1 ZINC000451669623 534674570 /nfs/dbraw/zinc/67/45/70/534674570.db2.gz XZWBHYQTASKSCE-OAHLLOKOSA-N 1 2 312.348 1.823 20 30 DDEDLO C[NH+]=C([O-])Nc1cccc(NC(=O)[C@@H]2C[C@H](OC)C[N@H+]2C)c1 ZINC000330510056 526402591 /nfs/dbraw/zinc/40/25/91/526402591.db2.gz BIDQCDBHLOPAQB-STQMWFEESA-N 1 2 306.366 1.300 20 30 DDEDLO C[NH+]=C([O-])Nc1cccc(NC(=O)[C@@H]2C[C@H](OC)C[N@@H+]2C)c1 ZINC000330510056 526402596 /nfs/dbraw/zinc/40/25/96/526402596.db2.gz BIDQCDBHLOPAQB-STQMWFEESA-N 1 2 306.366 1.300 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(C)C2CCC(C)CC2)C1=O ZINC000337232820 526467219 /nfs/dbraw/zinc/46/72/19/526467219.db2.gz VWCKVNNINYOEMF-UYSNPLJNSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(C)C2CCC(C)CC2)C1=O ZINC000337232820 526467220 /nfs/dbraw/zinc/46/72/20/526467220.db2.gz VWCKVNNINYOEMF-UYSNPLJNSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](CCCC)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000337153601 526473912 /nfs/dbraw/zinc/47/39/12/526473912.db2.gz MCCIOFGHEQCJPP-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](CCCC)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000337153601 526473913 /nfs/dbraw/zinc/47/39/13/526473913.db2.gz MCCIOFGHEQCJPP-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@H](C)c2cn(-c3ccccc3)nn2)C1=O ZINC000337181549 526514038 /nfs/dbraw/zinc/51/40/38/526514038.db2.gz AYADGKTVIKBJRW-HIFRSBDPSA-N 1 2 311.389 1.705 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCOc2ccc(C)cc2C1 ZINC000367587506 526594099 /nfs/dbraw/zinc/59/40/99/526594099.db2.gz SHXIYRLHOMFXGX-UHFFFAOYSA-N 1 2 303.362 1.201 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCOc2ccc(C)cc2C1 ZINC000367587506 526594102 /nfs/dbraw/zinc/59/41/02/526594102.db2.gz SHXIYRLHOMFXGX-UHFFFAOYSA-N 1 2 303.362 1.201 20 30 DDEDLO C=C(C)COCCNC(=O)[C@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000343659996 526650668 /nfs/dbraw/zinc/65/06/68/526650668.db2.gz SCZUNYRZIGVLAN-CABCVRRESA-N 1 2 303.406 1.863 20 30 DDEDLO C=C(C)Cn1c(CC2CC2)nnc1N(C)CC[NH+]1CCOCC1 ZINC000338802177 526727153 /nfs/dbraw/zinc/72/71/53/526727153.db2.gz NBGFZTTVSPMNKX-UHFFFAOYSA-N 1 2 319.453 1.575 20 30 DDEDLO C#CCN(C(=O)C(=O)NCCCCn1cc[nH+]c1)C1CCCC1 ZINC000491072334 526757801 /nfs/dbraw/zinc/75/78/01/526757801.db2.gz RJHIISXDYNNDQO-UHFFFAOYSA-N 1 2 316.405 1.184 20 30 DDEDLO C#CCN(C(=O)C(=O)NCc1ccn2cc[nH+]c2c1)C1CCCC1 ZINC000491303551 526759308 /nfs/dbraw/zinc/75/93/08/526759308.db2.gz BICSKCIZMRPMEQ-UHFFFAOYSA-N 1 2 324.384 1.355 20 30 DDEDLO CC(=O)NC[C@H]1CCCN(C([O-])=[NH+]CCCn2cc[nH+]c2C)C1 ZINC000330440443 526786667 /nfs/dbraw/zinc/78/66/67/526786667.db2.gz OMOBYGITWMTSCC-OAHLLOKOSA-N 1 2 321.425 1.344 20 30 DDEDLO C#CC[N@@H+](CCO[C@@H]1CCCC[C@@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000490865341 526901411 /nfs/dbraw/zinc/90/14/11/526901411.db2.gz AZJDAQIMYCNRGS-HRCADAONSA-N 1 2 313.463 1.704 20 30 DDEDLO C#CC[N@H+](CCO[C@@H]1CCCC[C@@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000490865341 526901417 /nfs/dbraw/zinc/90/14/17/526901417.db2.gz AZJDAQIMYCNRGS-HRCADAONSA-N 1 2 313.463 1.704 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(OC)c(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000490897508 526906619 /nfs/dbraw/zinc/90/66/19/526906619.db2.gz CASWVDSXRWWXNQ-HNNXBMFYSA-N 1 2 307.415 1.626 20 30 DDEDLO C#CC[N@H+](Cc1ccc(OC)c(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000490897508 526906624 /nfs/dbraw/zinc/90/66/24/526906624.db2.gz CASWVDSXRWWXNQ-HNNXBMFYSA-N 1 2 307.415 1.626 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1C[C@H]2[C@@H](CCS2(=O)=O)C1 ZINC000348784706 526935447 /nfs/dbraw/zinc/93/54/47/526935447.db2.gz OUAVGWSOJAMCBT-HOCLYGCPSA-N 1 2 307.415 1.870 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1C[C@H]2[C@@H](CCS2(=O)=O)C1 ZINC000348784706 526935455 /nfs/dbraw/zinc/93/54/55/526935455.db2.gz OUAVGWSOJAMCBT-HOCLYGCPSA-N 1 2 307.415 1.870 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(OC)c(C)c2)CC1 ZINC000490761550 526952191 /nfs/dbraw/zinc/95/21/91/526952191.db2.gz ABMVIVDTXNSORJ-UHFFFAOYSA-N 1 2 302.374 1.160 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccccc2OCCC)CC1 ZINC000490778734 526952850 /nfs/dbraw/zinc/95/28/50/526952850.db2.gz KDGNAAFIXGRLNA-UHFFFAOYSA-N 1 2 316.401 1.632 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccn3)n2C(C)C)CC1 ZINC000491254320 526955524 /nfs/dbraw/zinc/95/55/24/526955524.db2.gz SASCQSLVURWKJY-UHFFFAOYSA-N 1 2 310.405 1.676 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccccc3C)n2C)CC1 ZINC000491047664 526956257 /nfs/dbraw/zinc/95/62/57/526956257.db2.gz VJFNTGFITMRREM-UHFFFAOYSA-N 1 2 309.417 1.470 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C2/CC[C@@H](C)C2)CC1 ZINC000490781220 526989847 /nfs/dbraw/zinc/98/98/47/526989847.db2.gz YVXIUEMCIYZLKV-NWCRWOLESA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C2/CC[C@@H](C)C2)CC1 ZINC000490781220 526989851 /nfs/dbraw/zinc/98/98/51/526989851.db2.gz YVXIUEMCIYZLKV-NWCRWOLESA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCCC[C@H]2C)CC1 ZINC000491484542 526995530 /nfs/dbraw/zinc/99/55/30/526995530.db2.gz JERHVXWOWZEMGN-HZPDHXFCSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCC[C@H]2C)CC1 ZINC000491484542 526995534 /nfs/dbraw/zinc/99/55/34/526995534.db2.gz JERHVXWOWZEMGN-HZPDHXFCSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2cc(C)ccc2F)CC1 ZINC000491071919 527003795 /nfs/dbraw/zinc/00/37/95/527003795.db2.gz OOPCFHXBALNCMX-UHFFFAOYSA-N 1 2 303.381 1.001 20 30 DDEDLO C=CCCC[C@@H](C)[NH+]1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000347997149 527249544 /nfs/dbraw/zinc/24/95/44/527249544.db2.gz LXBHNDFEYAFGEZ-CABCVRRESA-N 1 2 300.468 1.536 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)Nc1ccc(N(C)C)cc1 ZINC000491645070 527309969 /nfs/dbraw/zinc/30/99/69/527309969.db2.gz NEYSIMLUCXUZEO-OAHLLOKOSA-N 1 2 301.390 1.415 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)Nc1ccc(N(C)C)cc1 ZINC000491645070 527309974 /nfs/dbraw/zinc/30/99/74/527309974.db2.gz NEYSIMLUCXUZEO-OAHLLOKOSA-N 1 2 301.390 1.415 20 30 DDEDLO C=CCn1cc(C[NH2+][C@H](C)c2ccc(S(C)(=O)=O)cc2)nn1 ZINC000424142360 527374110 /nfs/dbraw/zinc/37/41/10/527374110.db2.gz POVGOAMFKBGPIR-GFCCVEGCSA-N 1 2 320.418 1.718 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000457792013 527430826 /nfs/dbraw/zinc/43/08/26/527430826.db2.gz NJQMXBSUURFTEP-AWEZNQCLSA-N 1 2 306.410 1.388 20 30 DDEDLO CC(C)C(=O)Nc1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000414149241 527544853 /nfs/dbraw/zinc/54/48/53/527544853.db2.gz NWRCSGXYGCADFL-HNNXBMFYSA-N 1 2 319.405 1.866 20 30 DDEDLO CC(C)C(=O)Nc1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000414149241 527544858 /nfs/dbraw/zinc/54/48/58/527544858.db2.gz NWRCSGXYGCADFL-HNNXBMFYSA-N 1 2 319.405 1.866 20 30 DDEDLO CCO[C@H]1C[C@H]1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000298704134 528149710 /nfs/dbraw/zinc/14/97/10/528149710.db2.gz IQRUNCQKCWASFH-SJORKVTESA-N 1 2 313.401 1.627 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CCO)Cc1cccc(F)c1 ZINC000494291717 528353575 /nfs/dbraw/zinc/35/35/75/528353575.db2.gz ZBHYXRRBHQYNSS-UHFFFAOYSA-N 1 2 307.369 1.382 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CCO)Cc1cccc(F)c1 ZINC000494291717 528353581 /nfs/dbraw/zinc/35/35/81/528353581.db2.gz ZBHYXRRBHQYNSS-UHFFFAOYSA-N 1 2 307.369 1.382 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000336948337 528383150 /nfs/dbraw/zinc/38/31/50/528383150.db2.gz QJJBMNQHLVSIOC-CQSZACIVSA-N 1 2 324.406 1.015 20 30 DDEDLO CCC[C@]1(C(=O)OCC)CCC[N@@H+]1CC(=O)NC1(C#N)CCC1 ZINC000347120106 528488498 /nfs/dbraw/zinc/48/84/98/528488498.db2.gz KLLCPACIOMKPTQ-QGZVFWFLSA-N 1 2 321.421 1.747 20 30 DDEDLO CCC[C@]1(C(=O)OCC)CCC[N@H+]1CC(=O)NC1(C#N)CCC1 ZINC000347120106 528488501 /nfs/dbraw/zinc/48/85/01/528488501.db2.gz KLLCPACIOMKPTQ-QGZVFWFLSA-N 1 2 321.421 1.747 20 30 DDEDLO CCC(CC)[C@H](C(=O)N[C@H]1CC(=O)N(C)C1)[NH+]1CCOCC1 ZINC000329650955 528841903 /nfs/dbraw/zinc/84/19/03/528841903.db2.gz KDIOUOGKNXDBBR-DZGCQCFKSA-N 1 2 311.426 1.311 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+](CCO)Cc1cccc(F)c1 ZINC000494231431 528847423 /nfs/dbraw/zinc/84/74/23/528847423.db2.gz RSSXWDJRIYUNKY-QGZVFWFLSA-N 1 2 321.396 1.674 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+](CCO)Cc1cccc(F)c1 ZINC000494231431 528847430 /nfs/dbraw/zinc/84/74/30/528847430.db2.gz RSSXWDJRIYUNKY-QGZVFWFLSA-N 1 2 321.396 1.674 20 30 DDEDLO CC(C)N1C[C@H](NC(=O)NCc2ccc[nH+]c2N(C)C)CC1=O ZINC000330876036 529099688 /nfs/dbraw/zinc/09/96/88/529099688.db2.gz VBDVSKWCJYWQQU-CYBMUJFWSA-N 1 2 319.409 1.161 20 30 DDEDLO CCC[C@H](NC(=O)[C@@H](C)O[NH+]=C(N)CCO)c1ccccc1 ZINC000121374173 696709337 /nfs/dbraw/zinc/70/93/37/696709337.db2.gz QBTUUJFCSIUCDD-OCCSQVGLSA-N 1 2 307.394 1.704 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccn3CC=C)C2)C1 ZINC000972413692 695242959 /nfs/dbraw/zinc/24/29/59/695242959.db2.gz PARGKYUMNWYMJF-SFHVURJKSA-N 1 2 313.401 1.224 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccn3CC=C)C2)C1 ZINC000972413692 695242961 /nfs/dbraw/zinc/24/29/61/695242961.db2.gz PARGKYUMNWYMJF-SFHVURJKSA-N 1 2 313.401 1.224 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3Cc4ccccc43)C2)C1 ZINC000972527312 695273088 /nfs/dbraw/zinc/27/30/88/695273088.db2.gz TXBFTQFMASFBQS-MJGOQNOKSA-N 1 2 312.413 1.816 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3Cc4ccccc43)C2)C1 ZINC000972527312 695273089 /nfs/dbraw/zinc/27/30/89/695273089.db2.gz TXBFTQFMASFBQS-MJGOQNOKSA-N 1 2 312.413 1.816 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@]34C[C@H]3CCCC4)C2)C1 ZINC000972543510 695278078 /nfs/dbraw/zinc/27/80/78/695278078.db2.gz PKEWPXYACZKQBV-BHIYHBOVSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@]34C[C@H]3CCCC4)C2)C1 ZINC000972543510 695278080 /nfs/dbraw/zinc/27/80/80/695278080.db2.gz PKEWPXYACZKQBV-BHIYHBOVSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C)CCOCC3)C2)C1 ZINC000972598345 695294165 /nfs/dbraw/zinc/29/41/65/695294165.db2.gz FOQDNDOHNYPKML-GOSISDBHSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C)CCOCC3)C2)C1 ZINC000972598345 695294166 /nfs/dbraw/zinc/29/41/66/695294166.db2.gz FOQDNDOHNYPKML-GOSISDBHSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C3CC3)C3CC3)C2)C1 ZINC000972630285 695304034 /nfs/dbraw/zinc/30/40/34/695304034.db2.gz GOABJMYFZZNKHQ-LJQANCHMSA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C3CC3)C3CC3)C2)C1 ZINC000972630285 695304037 /nfs/dbraw/zinc/30/40/37/695304037.db2.gz GOABJMYFZZNKHQ-LJQANCHMSA-N 1 2 316.445 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)C2)C1 ZINC000972693291 695317662 /nfs/dbraw/zinc/31/76/62/695317662.db2.gz YEGJMTLZCRJQLE-NGXVOCDNSA-N 1 2 316.445 1.768 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)C2)C1 ZINC000972693291 695317663 /nfs/dbraw/zinc/31/76/63/695317663.db2.gz YEGJMTLZCRJQLE-NGXVOCDNSA-N 1 2 316.445 1.768 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnnn2CC)C(C)(C)C1 ZINC000974566639 695687149 /nfs/dbraw/zinc/68/71/49/695687149.db2.gz BPYRLJQSWCBLRY-LBPRGKRZSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnnn2CC)C(C)(C)C1 ZINC000974566639 695687151 /nfs/dbraw/zinc/68/71/51/695687151.db2.gz BPYRLJQSWCBLRY-LBPRGKRZSA-N 1 2 311.817 1.491 20 30 DDEDLO CC1(C)CN(CC#N)C[C@H]1NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000974618175 695697782 /nfs/dbraw/zinc/69/77/82/695697782.db2.gz NMQRKCUQAFWXKN-CQSZACIVSA-N 1 2 315.421 1.112 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000798363990 700059305 /nfs/dbraw/zinc/05/93/05/700059305.db2.gz MNJNLQWFTKKAPD-OAHLLOKOSA-N 1 2 316.405 1.124 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCc2nnnn2C2CC2)cc1 ZINC000060454829 696310596 /nfs/dbraw/zinc/31/05/96/696310596.db2.gz CDIPLMIDNDUQCE-UHFFFAOYSA-N 1 2 302.338 1.048 20 30 DDEDLO CN(Cc1ccco1)C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000092917349 696598269 /nfs/dbraw/zinc/59/82/69/696598269.db2.gz VYHHQGJCBCITQK-UHFFFAOYSA-N 1 2 302.334 1.165 20 30 DDEDLO C#CCN1CCN(C(=O)c2ccc(Cn3cc[nH+]c3)cc2)CC1 ZINC000112920806 696653710 /nfs/dbraw/zinc/65/37/10/696653710.db2.gz DMUNGAJZXHWJQO-UHFFFAOYSA-N 1 2 308.385 1.322 20 30 DDEDLO CNC(=O)c1cccc(CO[NH+]=C(N)c2ccc(OC)cc2)c1 ZINC000113925917 696659502 /nfs/dbraw/zinc/65/95/02/696659502.db2.gz MMEQWLYNRCXNHF-UHFFFAOYSA-N 1 2 313.357 1.892 20 30 DDEDLO N#CCOc1cc(F)ccc1NC(=O)NCCn1cc[nH+]c1 ZINC000135287241 696846925 /nfs/dbraw/zinc/84/69/25/696846925.db2.gz RHJJRRAOFUSPSX-UHFFFAOYSA-N 1 2 303.297 1.746 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CCC[N@H+](Cc1nccn1C)C2 ZINC000981670043 696867905 /nfs/dbraw/zinc/86/79/05/696867905.db2.gz GTGXLDNXVXJGAD-ZDUSSCGKSA-N 1 2 301.394 1.004 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CCC[N@@H+](Cc1nccn1C)C2 ZINC000981670043 696867907 /nfs/dbraw/zinc/86/79/07/696867907.db2.gz GTGXLDNXVXJGAD-ZDUSSCGKSA-N 1 2 301.394 1.004 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccc(C#N)cc2F)CC1 ZINC000980784778 696887943 /nfs/dbraw/zinc/88/79/43/696887943.db2.gz BFQKGOGBZDXEKY-ZDUSSCGKSA-N 1 2 319.380 1.767 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)cc2F)CC1 ZINC000980784778 696887946 /nfs/dbraw/zinc/88/79/46/696887946.db2.gz BFQKGOGBZDXEKY-ZDUSSCGKSA-N 1 2 319.380 1.767 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)cn1 ZINC000980848873 696916940 /nfs/dbraw/zinc/91/69/40/696916940.db2.gz CPOLCWOADNKTGG-TZMCWYRMSA-N 1 2 301.394 1.540 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CCCN(C(=O)[C@H](C)C#N)CC2)cn1 ZINC000980848873 696916943 /nfs/dbraw/zinc/91/69/43/696916943.db2.gz CPOLCWOADNKTGG-TZMCWYRMSA-N 1 2 301.394 1.540 20 30 DDEDLO C=CCCOCCNC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000154662793 696935659 /nfs/dbraw/zinc/93/56/59/696935659.db2.gz FQZNDQVMBMKVPF-UHFFFAOYSA-N 1 2 320.393 1.103 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2CCOC[C@@H]2C)CC1 ZINC000981905717 696963586 /nfs/dbraw/zinc/96/35/86/696963586.db2.gz PHSRZFRDZMFRBZ-GXTWGEPZSA-N 1 2 300.830 1.946 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2CCOC[C@@H]2C)CC1 ZINC000981905717 696963587 /nfs/dbraw/zinc/96/35/87/696963587.db2.gz PHSRZFRDZMFRBZ-GXTWGEPZSA-N 1 2 300.830 1.946 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)c2c[nH]c(=O)cc2C)CC1 ZINC000981935621 696975284 /nfs/dbraw/zinc/97/52/84/696975284.db2.gz OSANYKAEHGTPQZ-UHFFFAOYSA-N 1 2 309.797 1.996 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)c2c[nH]c(=O)cc2C)CC1 ZINC000981935621 696975287 /nfs/dbraw/zinc/97/52/87/696975287.db2.gz OSANYKAEHGTPQZ-UHFFFAOYSA-N 1 2 309.797 1.996 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2oc(CC(C)C)nc2C)CC1 ZINC000982120759 697035594 /nfs/dbraw/zinc/03/55/94/697035594.db2.gz VBBAQJXXEDWIOD-UHFFFAOYSA-N 1 2 303.406 1.963 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2oc(CC(C)C)nc2C)CC1 ZINC000982120759 697035597 /nfs/dbraw/zinc/03/55/97/697035597.db2.gz VBBAQJXXEDWIOD-UHFFFAOYSA-N 1 2 303.406 1.963 20 30 DDEDLO C=CCC[N@@H+]1CCCN(C(=O)[C@H]2CCCCS2(=O)=O)CC1 ZINC000981322252 697065634 /nfs/dbraw/zinc/06/56/34/697065634.db2.gz MUSWIIAFGDWFOS-CQSZACIVSA-N 1 2 314.451 1.064 20 30 DDEDLO C=CCC[N@H+]1CCCN(C(=O)[C@H]2CCCCS2(=O)=O)CC1 ZINC000981322252 697065636 /nfs/dbraw/zinc/06/56/36/697065636.db2.gz MUSWIIAFGDWFOS-CQSZACIVSA-N 1 2 314.451 1.064 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2CC(=O)N[C@H](C)C2)CC1 ZINC000981517731 697116830 /nfs/dbraw/zinc/11/68/30/697116830.db2.gz NVGRRZCVLXAVSQ-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2CC(=O)N[C@H](C)C2)CC1 ZINC000981517731 697116832 /nfs/dbraw/zinc/11/68/32/697116832.db2.gz NVGRRZCVLXAVSQ-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CCNC(=O)c1ccccc1NC(=O)CCc1c[nH+]cn1C ZINC000192959686 697646111 /nfs/dbraw/zinc/64/61/11/697646111.db2.gz YURQSJNHLPCFAJ-UHFFFAOYSA-N 1 2 310.357 1.354 20 30 DDEDLO C[N@H+](CC(=O)[C@H](C#N)C(=O)NC1CCCC1)[C@H]1CCSC1 ZINC000773983109 697830400 /nfs/dbraw/zinc/83/04/00/697830400.db2.gz GXKVNUCUCCSIPG-STQMWFEESA-N 1 2 309.435 1.191 20 30 DDEDLO C[N@@H+](CC(=O)[C@H](C#N)C(=O)NC1CCCC1)[C@H]1CCSC1 ZINC000773983109 697830404 /nfs/dbraw/zinc/83/04/04/697830404.db2.gz GXKVNUCUCCSIPG-STQMWFEESA-N 1 2 309.435 1.191 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC(n2cc[nH+]c2)CC1)[C@@H]1CCCO1 ZINC000776225742 698083384 /nfs/dbraw/zinc/08/33/84/698083384.db2.gz CIFSWZXWQSXYKD-GJZGRUSLSA-N 1 2 302.378 1.410 20 30 DDEDLO C=C(Br)CNC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000778656253 698356408 /nfs/dbraw/zinc/35/64/08/698356408.db2.gz ZRARHTDLLCIEJO-UHFFFAOYSA-N 1 2 307.151 1.906 20 30 DDEDLO C[C@@H]1C[C@@H]([NH2+]Cc2cnsn2)CN1C(=O)c1c[nH]c(C#N)c1 ZINC000988620192 698420981 /nfs/dbraw/zinc/42/09/81/698420981.db2.gz HMQYAXXUAMZWNS-BXKDBHETSA-N 1 2 316.390 1.131 20 30 DDEDLO C[C@](O)(C[NH+]1CCC(C#N)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000305846409 698659206 /nfs/dbraw/zinc/65/92/06/698659206.db2.gz SSHIPTDYBHWLMR-INIZCTEOSA-N 1 2 322.430 1.533 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@H]3CCc4c[nH+]cn4C3)CCC[C@H]12 ZINC000991475723 699333358 /nfs/dbraw/zinc/33/33/58/699333358.db2.gz TUBDKNGJGBUCAG-JLJPHGGASA-N 1 2 313.405 1.082 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)cc1 ZINC000790153479 699445803 /nfs/dbraw/zinc/44/58/03/699445803.db2.gz SGLWHRUSOCXHAR-IAGOWNOFSA-N 1 2 323.352 1.667 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(N2CCCC2=O)cc1 ZINC000730096363 699500478 /nfs/dbraw/zinc/50/04/78/699500478.db2.gz POJAAUQPZSURCC-INIZCTEOSA-N 1 2 311.385 1.850 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(N2CCCC2=O)cc1 ZINC000730096363 699500479 /nfs/dbraw/zinc/50/04/79/699500479.db2.gz POJAAUQPZSURCC-INIZCTEOSA-N 1 2 311.385 1.850 20 30 DDEDLO C=CC[C@@H](NC(=O)N(C)CC[NH+]1CCOCC1)c1ccncc1 ZINC000734685166 699659072 /nfs/dbraw/zinc/65/90/72/699659072.db2.gz YJVNHIGPXRKBTP-MRXNPFEDSA-N 1 2 318.421 1.672 20 30 DDEDLO Cc1ccn(C[N@@H+]2CCOC[C@H](C3CCC3)C2)c(=O)c1C#N ZINC000793745697 699776161 /nfs/dbraw/zinc/77/61/61/699776161.db2.gz LXNFBPVYRDPTDU-OAHLLOKOSA-N 1 2 301.390 1.734 20 30 DDEDLO Cc1ccn(C[N@H+]2CCOC[C@H](C3CCC3)C2)c(=O)c1C#N ZINC000793745697 699776163 /nfs/dbraw/zinc/77/61/63/699776163.db2.gz LXNFBPVYRDPTDU-OAHLLOKOSA-N 1 2 301.390 1.734 20 30 DDEDLO C[N@H+](C[C@@H](O)COc1ccc(C#N)cc1)[C@@H]1CC(C)(C)OC1=O ZINC000795689164 699884369 /nfs/dbraw/zinc/88/43/69/699884369.db2.gz XTESATUZZIBFMM-UKRRQHHQSA-N 1 2 318.373 1.324 20 30 DDEDLO C[N@@H+](C[C@@H](O)COc1ccc(C#N)cc1)[C@@H]1CC(C)(C)OC1=O ZINC000795689164 699884370 /nfs/dbraw/zinc/88/43/70/699884370.db2.gz XTESATUZZIBFMM-UKRRQHHQSA-N 1 2 318.373 1.324 20 30 DDEDLO Cc1cc(N)cc(C)c1C=[NH+]Nc1ccc(S(N)(=O)=O)cc1 ZINC000752713039 700381256 /nfs/dbraw/zinc/38/12/56/700381256.db2.gz JJAAHXRKKKVBDE-UHFFFAOYSA-N 1 2 318.402 1.979 20 30 DDEDLO Cc1cc(N[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]2C#N)nc(N)[nH+]1 ZINC000754128214 700485414 /nfs/dbraw/zinc/48/54/14/700485414.db2.gz OESIYMLLLGHROX-MNOVXSKESA-N 1 2 318.381 1.538 20 30 DDEDLO CCc1[nH+]c(C=Nn2c(=O)c(C)n[nH]c2=S)c2ccccn12 ZINC000755509711 700568670 /nfs/dbraw/zinc/56/86/70/700568670.db2.gz YBVKWVBJHRBESA-UHFFFAOYSA-N 1 2 314.374 1.328 20 30 DDEDLO Cc1cc[nH+]c(N2CCN(Cn3ccc(C)c(C#N)c3=O)CC2)c1 ZINC000758239517 700693463 /nfs/dbraw/zinc/69/34/63/700693463.db2.gz MPXDNGCEYDPYPP-UHFFFAOYSA-N 1 2 323.400 1.512 20 30 DDEDLO C=CC[N@H+](Cc1cccc2nsnc21)[C@@H]1CCS(=O)(=O)C1 ZINC000766885559 701068607 /nfs/dbraw/zinc/06/86/07/701068607.db2.gz VHKGWFJCVDNAPG-GFCCVEGCSA-N 1 2 323.443 1.866 20 30 DDEDLO C=CC[N@@H+](Cc1cccc2nsnc21)[C@@H]1CCS(=O)(=O)C1 ZINC000766885559 701068609 /nfs/dbraw/zinc/06/86/09/701068609.db2.gz VHKGWFJCVDNAPG-GFCCVEGCSA-N 1 2 323.443 1.866 20 30 DDEDLO C#CCC[NH+]1CCN(c2c([N+](=O)[O-])cccc2[N+](=O)[O-])CC1 ZINC000804388130 701185321 /nfs/dbraw/zinc/18/53/21/701185321.db2.gz PGZSXLPDKKNWSO-UHFFFAOYSA-N 1 2 304.306 1.648 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000769961846 701262357 /nfs/dbraw/zinc/26/23/57/701262357.db2.gz OBKQGNXFUJJYCR-CQSZACIVSA-N 1 2 301.390 1.415 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000769961846 701262359 /nfs/dbraw/zinc/26/23/59/701262359.db2.gz OBKQGNXFUJJYCR-CQSZACIVSA-N 1 2 301.390 1.415 20 30 DDEDLO O=S(=O)(NN=Cc1cccc2[nH+]ccn21)c1ccccc1 ZINC000771086833 701309320 /nfs/dbraw/zinc/30/93/20/701309320.db2.gz AHDXYSGSQWJYRX-UHFFFAOYSA-N 1 2 300.343 1.647 20 30 DDEDLO Cn1ncc2c1nc(NN=Cc1cccc3[nH+]ccn31)[nH]c2=O ZINC000771101373 701310082 /nfs/dbraw/zinc/31/00/82/701310082.db2.gz SKDIMMYQPDBYOD-UHFFFAOYSA-N 1 2 308.305 1.163 20 30 DDEDLO C=CCC(F)(F)C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000815540455 701894758 /nfs/dbraw/zinc/89/47/58/701894758.db2.gz YFNIJQWRAWFQDJ-UHFFFAOYSA-N 1 2 314.336 1.365 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)/C=C/C[NH+]2CCOCC2)cc1F ZINC000868029340 701900370 /nfs/dbraw/zinc/90/03/70/701900370.db2.gz WOXXFNHXHNLYAY-OWOJBTEDSA-N 1 2 321.327 1.341 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCC[C@H]2c2cn(C)nc2C)C1=O ZINC000840024547 701920307 /nfs/dbraw/zinc/92/03/07/701920307.db2.gz VVBKDYRSKNOEOJ-HNNXBMFYSA-N 1 2 303.410 1.747 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCC[C@H]2c2cn(C)nc2C)C1=O ZINC000840024547 701920309 /nfs/dbraw/zinc/92/03/09/701920309.db2.gz VVBKDYRSKNOEOJ-HNNXBMFYSA-N 1 2 303.410 1.747 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@@H](CNc3cc(C#N)cnn3)C2)cc1 ZINC000866190149 706643786 /nfs/dbraw/zinc/64/37/86/706643786.db2.gz HFWSYXVROBJOSM-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@@H](CNc3cc(C#N)cnn3)C2)cc1 ZINC000866190149 706643787 /nfs/dbraw/zinc/64/37/87/706643787.db2.gz HFWSYXVROBJOSM-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)c1ccc(F)cc1 ZINC000813052442 702277699 /nfs/dbraw/zinc/27/76/99/702277699.db2.gz CUDHTQBXRIZGSF-XJKSGUPXSA-N 1 2 319.380 1.520 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@H+]1CCO[C@@H](C)C1)c1ccc(F)cc1 ZINC000813052442 702277703 /nfs/dbraw/zinc/27/77/03/702277703.db2.gz CUDHTQBXRIZGSF-XJKSGUPXSA-N 1 2 319.380 1.520 20 30 DDEDLO C[C@@H]([NH2+]CC1CCS(=O)(=O)CC1)c1cccc(C#N)c1O ZINC000866312263 706671043 /nfs/dbraw/zinc/67/10/43/706671043.db2.gz ZWXUJVDXNZJJIP-LLVKDONJSA-N 1 2 308.403 1.739 20 30 DDEDLO C=CCC(C)(C)C(=O)NC1CC[NH+](CCS(C)(=O)=O)CC1 ZINC000814113822 702479699 /nfs/dbraw/zinc/47/96/99/702479699.db2.gz UGLZBDLLCODHQP-UHFFFAOYSA-N 1 2 316.467 1.214 20 30 DDEDLO C#Cc1cccc(NC(=O)NC(C)(C)C[NH+]2CCOCC2)c1 ZINC000841596281 702508203 /nfs/dbraw/zinc/50/82/03/702508203.db2.gz NTCREOFSOKJLOT-UHFFFAOYSA-N 1 2 301.390 1.900 20 30 DDEDLO COCC#CC[NH+]1CCN(c2ccc(OC)cc2OC)CC1 ZINC000879462401 706686365 /nfs/dbraw/zinc/68/63/65/706686365.db2.gz NQLCGZBDVWRQSE-UHFFFAOYSA-N 1 2 304.390 1.476 20 30 DDEDLO C[C@@H](CC(=O)OCC(=O)Nc1cccc(C#N)c1)n1cc[nH+]c1 ZINC000845279086 703138369 /nfs/dbraw/zinc/13/83/69/703138369.db2.gz LDWBDNZSDQDPLJ-LBPRGKRZSA-N 1 2 312.329 1.888 20 30 DDEDLO C[C@@H]1C[N@H+](CCN2CCN(c3ccc(C#N)cc3)CC2)CCO1 ZINC000879586182 706728862 /nfs/dbraw/zinc/72/88/62/706728862.db2.gz HARBETZXKQXHJK-MRXNPFEDSA-N 1 2 314.433 1.401 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN2CCN(c3ccc(C#N)cc3)CC2)CCO1 ZINC000879586182 706728863 /nfs/dbraw/zinc/72/88/63/706728863.db2.gz HARBETZXKQXHJK-MRXNPFEDSA-N 1 2 314.433 1.401 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@@]1(O)CCN(C(=O)OC(C)(C)C)C1 ZINC000846919453 703351412 /nfs/dbraw/zinc/35/14/12/703351412.db2.gz QFOTXFLENCBAGP-INIZCTEOSA-N 1 2 309.410 1.760 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@@]1(O)CCN(C(=O)OC(C)(C)C)C1 ZINC000846919453 703351413 /nfs/dbraw/zinc/35/14/13/703351413.db2.gz QFOTXFLENCBAGP-INIZCTEOSA-N 1 2 309.410 1.760 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CC(=O)N[C@@H](Cc2ccccc2)C(C)=O)C1 ZINC000847032655 703369085 /nfs/dbraw/zinc/36/90/85/703369085.db2.gz YFWXKIKPDGCBPO-WMZOPIPTSA-N 1 2 312.413 1.648 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CC(=O)N[C@@H](Cc2ccccc2)C(C)=O)C1 ZINC000847032655 703369087 /nfs/dbraw/zinc/36/90/87/703369087.db2.gz YFWXKIKPDGCBPO-WMZOPIPTSA-N 1 2 312.413 1.648 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)[C@H](C)COC ZINC000852358289 704023426 /nfs/dbraw/zinc/02/34/26/704023426.db2.gz PFLOKWQHYDNOOS-LLVKDONJSA-N 1 2 318.377 1.730 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)[C@H](C)COC ZINC000852358289 704023429 /nfs/dbraw/zinc/02/34/29/704023429.db2.gz PFLOKWQHYDNOOS-LLVKDONJSA-N 1 2 318.377 1.730 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@@H](N3CCOC3=O)C2)c1 ZINC000852436159 704048133 /nfs/dbraw/zinc/04/81/33/704048133.db2.gz WPINBGWWQLRJLP-CQSZACIVSA-N 1 2 314.345 1.023 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CC[C@@H](N3CCOC3=O)C2)c1 ZINC000852436159 704048135 /nfs/dbraw/zinc/04/81/35/704048135.db2.gz WPINBGWWQLRJLP-CQSZACIVSA-N 1 2 314.345 1.023 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1cccc2c1OCC[C@@H]2O ZINC000819471819 704130994 /nfs/dbraw/zinc/13/09/94/704130994.db2.gz WLPRSLXVLVNSCD-DOMZBBRYSA-N 1 2 305.378 1.121 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1cccc2c1OCC[C@@H]2O ZINC000819471819 704130996 /nfs/dbraw/zinc/13/09/96/704130996.db2.gz WLPRSLXVLVNSCD-DOMZBBRYSA-N 1 2 305.378 1.121 20 30 DDEDLO C=CC[N@H+](CN1C[C@H](c2cccnc2)CC1=O)[C@H](C)COC ZINC000853533960 704261613 /nfs/dbraw/zinc/26/16/13/704261613.db2.gz FMCCUTPDPUYXDT-GDBMZVCRSA-N 1 2 303.406 1.878 20 30 DDEDLO C=CC[N@@H+](CN1C[C@H](c2cccnc2)CC1=O)[C@H](C)COC ZINC000853533960 704261615 /nfs/dbraw/zinc/26/16/15/704261615.db2.gz FMCCUTPDPUYXDT-GDBMZVCRSA-N 1 2 303.406 1.878 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCCS(=O)(=O)N(C)C ZINC000853639122 704291582 /nfs/dbraw/zinc/29/15/82/704291582.db2.gz HFRDIAGCHHVIFT-UHFFFAOYSA-N 1 2 311.407 1.177 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)c1 ZINC000854121498 704358342 /nfs/dbraw/zinc/35/83/42/704358342.db2.gz GFNBTHHGPFHUIF-GJZGRUSLSA-N 1 2 315.417 1.576 20 30 DDEDLO C#Cc1cccc(CNC(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)c1 ZINC000854121498 704358345 /nfs/dbraw/zinc/35/83/45/704358345.db2.gz GFNBTHHGPFHUIF-GJZGRUSLSA-N 1 2 315.417 1.576 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCCNc1ccc(C#N)cn1 ZINC000821460365 704412865 /nfs/dbraw/zinc/41/28/65/704412865.db2.gz YEAPXMXCHJLJGQ-CHWSQXEVSA-N 1 2 310.361 1.019 20 30 DDEDLO C#C[C@@H](CO)NC(=O)c1c2ccccc2nc2c1C[N@H+](CC)CC2 ZINC000854766842 704449379 /nfs/dbraw/zinc/44/93/79/704449379.db2.gz GZGZKYUJYQVTGI-ZDUSSCGKSA-N 1 2 323.396 1.337 20 30 DDEDLO C#C[C@@H](CO)NC(=O)c1c2ccccc2nc2c1C[N@@H+](CC)CC2 ZINC000854766842 704449381 /nfs/dbraw/zinc/44/93/81/704449381.db2.gz GZGZKYUJYQVTGI-ZDUSSCGKSA-N 1 2 323.396 1.337 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@@H+]1CCC[C@]12CCOC2=O ZINC000859261925 704839536 /nfs/dbraw/zinc/83/95/36/704839536.db2.gz SHEKLCDGVXFECL-RHSMWYFYSA-N 1 2 316.357 1.079 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@H+]1CCC[C@]12CCOC2=O ZINC000859261925 704839542 /nfs/dbraw/zinc/83/95/42/704839542.db2.gz SHEKLCDGVXFECL-RHSMWYFYSA-N 1 2 316.357 1.079 20 30 DDEDLO Cn1ncc2c1ncn(N=Cc1ccc(-n3cc[nH+]c3)cc1)c2=O ZINC000874197757 704967757 /nfs/dbraw/zinc/96/77/57/704967757.db2.gz JMRISIZIHCIOGF-UHFFFAOYSA-N 1 2 319.328 1.198 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000823512918 705258312 /nfs/dbraw/zinc/25/83/12/705258312.db2.gz QUWTZFGIUYMCAH-BBWFWOEESA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[C@H](NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F)C(=O)OC ZINC000823520405 705260079 /nfs/dbraw/zinc/26/00/79/705260079.db2.gz WCSWGZYMQJHEAF-UWVGGRQHSA-N 1 2 317.267 1.058 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@H+]1CCOC[C@@H]1CC)c1ccccc1 ZINC000875724883 705490356 /nfs/dbraw/zinc/49/03/56/705490356.db2.gz JBUOWWRFSVHGMJ-DLBZAZTESA-N 1 2 315.417 1.771 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@@H+]1CCOC[C@@H]1CC)c1ccccc1 ZINC000875724883 705490359 /nfs/dbraw/zinc/49/03/59/705490359.db2.gz JBUOWWRFSVHGMJ-DLBZAZTESA-N 1 2 315.417 1.771 20 30 DDEDLO CN(C(=O)c1sccc1CC#N)C(C)(C)C[NH+]1CCOCC1 ZINC000875792131 705512069 /nfs/dbraw/zinc/51/20/69/705512069.db2.gz UYYCYRGKYIAHEY-UHFFFAOYSA-N 1 2 321.446 1.997 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000824813558 705533697 /nfs/dbraw/zinc/53/36/97/705533697.db2.gz FAPNKMQCVQSGHQ-CQSZACIVSA-N 1 2 319.405 1.794 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000875920072 705559794 /nfs/dbraw/zinc/55/97/94/705559794.db2.gz VMHAZFKLJPIESI-KBPBESRZSA-N 1 2 304.394 1.727 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000876037494 705600466 /nfs/dbraw/zinc/60/04/66/705600466.db2.gz IVGIVAVHAIFYSG-CYBMUJFWSA-N 1 2 318.446 1.798 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000826551152 705812257 /nfs/dbraw/zinc/81/22/57/705812257.db2.gz JYJJQQSLNWEZMF-WMZOPIPTSA-N 1 2 318.417 1.249 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000826551152 705812260 /nfs/dbraw/zinc/81/22/60/705812260.db2.gz JYJJQQSLNWEZMF-WMZOPIPTSA-N 1 2 318.417 1.249 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000862860837 705812679 /nfs/dbraw/zinc/81/26/79/705812679.db2.gz QXLNFMZNVQTUHS-CYBMUJFWSA-N 1 2 304.394 1.475 20 30 DDEDLO CC(C)CNC(=O)C1CC[NH+](Cc2ccncc2C#N)CC1 ZINC000827713050 706055343 /nfs/dbraw/zinc/05/53/43/706055343.db2.gz SAQVRJZHAOXKDU-UHFFFAOYSA-N 1 2 300.406 1.937 20 30 DDEDLO C#C[C@H](NS(=O)(=O)CCC[NH+]1CCOCC1)c1ccccc1 ZINC000827906697 706085241 /nfs/dbraw/zinc/08/52/41/706085241.db2.gz SEPUEVYCGXUCKI-INIZCTEOSA-N 1 2 322.430 1.003 20 30 DDEDLO COC[C@@H](C)NC(=S)NN=C1CCC[N@@H+]2CCSC[C@@H]12 ZINC000872331747 707384602 /nfs/dbraw/zinc/38/46/02/707384602.db2.gz TXOJVBQUBBOOFO-PWSUYJOCSA-N 1 2 316.496 1.053 20 30 DDEDLO COC[C@@H](C)NC(=S)NN=C1CCC[N@H+]2CCSC[C@@H]12 ZINC000872331747 707384607 /nfs/dbraw/zinc/38/46/07/707384607.db2.gz TXOJVBQUBBOOFO-PWSUYJOCSA-N 1 2 316.496 1.053 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCCCn2cc[nH+]c2)c(C#N)c1 ZINC000872430162 707406269 /nfs/dbraw/zinc/40/62/69/707406269.db2.gz NBVYLTBHGGBSEC-UHFFFAOYSA-N 1 2 304.375 1.432 20 30 DDEDLO N#CCOc1cccc(NC(=O)/C=C\C[NH+]2CCOCC2)c1 ZINC000864902224 706296839 /nfs/dbraw/zinc/29/68/39/706296839.db2.gz QCJZDRLEJSEMPF-DJWKRKHSSA-N 1 2 301.346 1.416 20 30 DDEDLO COc1cc([C@@H](C)[NH+]2CCN(c3ccc(C#N)nc3)CC2)on1 ZINC000926823859 712907873 /nfs/dbraw/zinc/90/78/73/712907873.db2.gz PEPBELNHXVZBFK-GFCCVEGCSA-N 1 2 313.361 1.833 20 30 DDEDLO C[C@@H](C#N)Oc1ccccc1NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000867291946 706949878 /nfs/dbraw/zinc/94/98/78/706949878.db2.gz IKSGEVBONSZZDA-ZBKLQPJUSA-N 1 2 315.373 1.804 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)Nc2ccc(Cl)nc2)CC1 ZINC000880482587 706988603 /nfs/dbraw/zinc/98/86/03/706988603.db2.gz NSXULNLSDLIQQC-UHFFFAOYSA-N 1 2 307.781 1.524 20 30 DDEDLO Nc1ccc2c([nH+]1)CCCC2=NNC(=S)NC[C@@H]1CCCO1 ZINC000834923556 707148367 /nfs/dbraw/zinc/14/83/67/707148367.db2.gz OCSNTTIUVZLGRU-JTQLQIEISA-N 1 2 319.434 1.347 20 30 DDEDLO CS(=O)(=O)c1cccc(C=NNCC[NH+]2CCCCC2)c1 ZINC000834942394 707150754 /nfs/dbraw/zinc/15/07/54/707150754.db2.gz JMYFMKYEZOISSE-UHFFFAOYSA-N 1 2 309.435 1.500 20 30 DDEDLO N#Cc1ccc(CC(=O)N2CCC(Nc3cccc[nH+]3)CC2)cn1 ZINC000927110964 712943537 /nfs/dbraw/zinc/94/35/37/712943537.db2.gz XHIUKFQWDPFROK-UHFFFAOYSA-N 1 2 321.384 1.994 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C[C@H](c2ccccc2)[C@@H](C(=O)OC)C1=O ZINC000872601318 707506404 /nfs/dbraw/zinc/50/64/04/707506404.db2.gz OWJBKRGGGRFTEA-FVQBIDKESA-N 1 2 314.385 1.313 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C[C@H](c2ccccc2)[C@@H](C(=O)OC)C1=O ZINC000872601318 707506408 /nfs/dbraw/zinc/50/64/08/707506408.db2.gz OWJBKRGGGRFTEA-FVQBIDKESA-N 1 2 314.385 1.313 20 30 DDEDLO CC[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(Cl)cc1C#N ZINC000882765564 707782059 /nfs/dbraw/zinc/78/20/59/707782059.db2.gz DTEQMWSLVVWHTD-GFCCVEGCSA-N 1 2 313.810 1.584 20 30 DDEDLO CC[N@H+]1CC[C@@H]1CNS(=O)(=O)c1ccc(Cl)cc1C#N ZINC000882765564 707782063 /nfs/dbraw/zinc/78/20/63/707782063.db2.gz DTEQMWSLVVWHTD-GFCCVEGCSA-N 1 2 313.810 1.584 20 30 DDEDLO Cc1cc(C#N)ccc1Cn1cc(C[NH+]2CCC(O)CC2)nn1 ZINC000883990650 708093409 /nfs/dbraw/zinc/09/34/09/708093409.db2.gz ACOILMGHEMTVDX-UHFFFAOYSA-N 1 2 311.389 1.463 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](c1ccccc1)C(C)C ZINC000884060876 708122197 /nfs/dbraw/zinc/12/21/97/708122197.db2.gz NOYQRVILZQMGOS-GOEBONIOSA-N 1 2 304.390 1.947 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H]1c1ccc(F)cc1 ZINC000884064262 708122987 /nfs/dbraw/zinc/12/29/87/708122987.db2.gz BJOQMIWLTKMJBP-GJZGRUSLSA-N 1 2 320.364 1.936 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H]1CCc2ccccc21 ZINC000884066161 708124185 /nfs/dbraw/zinc/12/41/85/708124185.db2.gz NFQLBUFRQHBPLX-GJZGRUSLSA-N 1 2 302.374 1.579 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCCC[C@@H]1SCC ZINC000884160037 708165791 /nfs/dbraw/zinc/16/57/91/708165791.db2.gz SDUUMYRKAQTBRR-AVGNSLFASA-N 1 2 314.451 1.614 20 30 DDEDLO C=CCC1(O)CCN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC000884279379 708223169 /nfs/dbraw/zinc/22/31/69/708223169.db2.gz JLUJCXUVSPLPIH-CYBMUJFWSA-N 1 2 304.394 1.311 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCCc2sccc21 ZINC000884310252 708237892 /nfs/dbraw/zinc/23/78/92/708237892.db2.gz SGQGTPMXOPMBIC-RYUDHWBXSA-N 1 2 308.403 1.688 20 30 DDEDLO N#Cc1csc(CNC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)n1 ZINC000897291413 708281387 /nfs/dbraw/zinc/28/13/87/708281387.db2.gz NEQCCPPVOORRTJ-UHFFFAOYSA-N 1 2 316.390 1.827 20 30 DDEDLO N#Cc1csc(CNC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)n1 ZINC000897291413 708281388 /nfs/dbraw/zinc/28/13/88/708281388.db2.gz NEQCCPPVOORRTJ-UHFFFAOYSA-N 1 2 316.390 1.827 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC(C1CCC1)C1CCC1 ZINC000884428400 708293044 /nfs/dbraw/zinc/29/30/44/708293044.db2.gz BUXHNZLRVSXKOP-HNNXBMFYSA-N 1 2 308.422 1.766 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000897360952 708304713 /nfs/dbraw/zinc/30/47/13/708304713.db2.gz VGZVKLMLIYNSOK-RBSFLKMASA-N 1 2 300.406 1.676 20 30 DDEDLO C#C[C@@H]1CCCCN1c1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000897360952 708304715 /nfs/dbraw/zinc/30/47/15/708304715.db2.gz VGZVKLMLIYNSOK-RBSFLKMASA-N 1 2 300.406 1.676 20 30 DDEDLO C=CCC[C@@H](CCC)[NH2+]Cc1nc(CS(C)(=O)=O)n[nH]1 ZINC000897886616 708481514 /nfs/dbraw/zinc/48/15/14/708481514.db2.gz HMSXGXPLHPYUEE-LLVKDONJSA-N 1 2 300.428 1.574 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC[C@H](c3ncccn3)C2)cc1 ZINC000886686918 708813900 /nfs/dbraw/zinc/81/39/00/708813900.db2.gz ZXWWGVMHCKTRKU-HOTGVXAUSA-N 1 2 321.384 1.754 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC[C@H](c3ncccn3)C2)cc1 ZINC000886686918 708813902 /nfs/dbraw/zinc/81/39/02/708813902.db2.gz ZXWWGVMHCKTRKU-HOTGVXAUSA-N 1 2 321.384 1.754 20 30 DDEDLO C=CCC1(O)CC[NH+](CC(=O)Nc2c(C)nn(C)c2C)CC1 ZINC000886757322 708838466 /nfs/dbraw/zinc/83/84/66/708838466.db2.gz COTIHGHRUMBCPF-UHFFFAOYSA-N 1 2 306.410 1.378 20 30 DDEDLO C[C@@]1(C#N)CN(C(=O)c2ccc(Cn3cc[nH+]c3)cc2)CCO1 ZINC000887671284 709097190 /nfs/dbraw/zinc/09/71/90/709097190.db2.gz QUSAYLKUCUKFBH-QGZVFWFLSA-N 1 2 310.357 1.686 20 30 DDEDLO N#Cc1ccc([C@@H]2CN(C(=O)CCc3c[nH]c[nH+]3)CCO2)cc1 ZINC000887733671 709114169 /nfs/dbraw/zinc/11/41/69/709114169.db2.gz XSIKYNRXBHPRPG-INIZCTEOSA-N 1 2 310.357 1.814 20 30 DDEDLO N#Cc1ccc([C@@H]2CN(C(=O)CCc3c[nH+]c[nH]3)CCO2)cc1 ZINC000887733671 709114170 /nfs/dbraw/zinc/11/41/70/709114170.db2.gz XSIKYNRXBHPRPG-INIZCTEOSA-N 1 2 310.357 1.814 20 30 DDEDLO C#CCC1(NC(=O)NCc2ccn3cc[nH+]c3c2)CCOCC1 ZINC000888579690 709344052 /nfs/dbraw/zinc/34/40/52/709344052.db2.gz FEXUXIJUBZDURV-UHFFFAOYSA-N 1 2 312.373 1.706 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(F)cc2C)C1 ZINC000891605333 710246390 /nfs/dbraw/zinc/24/63/90/710246390.db2.gz DDVHUUJCSJWOIY-CQSZACIVSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(F)cc2C)C1 ZINC000891605333 710246391 /nfs/dbraw/zinc/24/63/91/710246391.db2.gz DDVHUUJCSJWOIY-CQSZACIVSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(F)cc(F)c2)C1 ZINC000891605796 710246503 /nfs/dbraw/zinc/24/65/03/710246503.db2.gz IRAOWCCAPWGOQP-ZDUSSCGKSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(F)cc(F)c2)C1 ZINC000891605796 710246505 /nfs/dbraw/zinc/24/65/05/710246505.db2.gz IRAOWCCAPWGOQP-ZDUSSCGKSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(C)c(F)c2)C1 ZINC000891606852 710246774 /nfs/dbraw/zinc/24/67/74/710246774.db2.gz PGIOPHNVLZTETL-AWEZNQCLSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(C)c(F)c2)C1 ZINC000891606852 710246777 /nfs/dbraw/zinc/24/67/77/710246777.db2.gz PGIOPHNVLZTETL-AWEZNQCLSA-N 1 2 317.364 1.286 20 30 DDEDLO C=CCCC[C@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C(=O)OC ZINC000928318680 713174464 /nfs/dbraw/zinc/17/44/64/713174464.db2.gz XAKCAFUOSIIKKV-OCCSQVGLSA-N 1 2 305.378 1.460 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(C(C)(C)c2ccccc2)no1 ZINC000904109620 711384740 /nfs/dbraw/zinc/38/47/40/711384740.db2.gz BNZJMXUUJMGZMY-ZDUSSCGKSA-N 1 2 315.373 1.995 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCCNc2ccc(C#N)cn2)c[nH+]1 ZINC000895669501 711573831 /nfs/dbraw/zinc/57/38/31/711573831.db2.gz BTBSQBYVMDKBOC-UHFFFAOYSA-N 1 2 312.377 1.422 20 30 DDEDLO C#CC[N@H+](Cc1cnc(N2CCOCC2)s1)C1CSC1 ZINC000895814286 711614172 /nfs/dbraw/zinc/61/41/72/711614172.db2.gz PSYCBKYUQFPXLK-UHFFFAOYSA-N 1 2 309.460 1.530 20 30 DDEDLO C#CC[N@@H+](Cc1cnc(N2CCOCC2)s1)C1CSC1 ZINC000895814286 711614174 /nfs/dbraw/zinc/61/41/74/711614174.db2.gz PSYCBKYUQFPXLK-UHFFFAOYSA-N 1 2 309.460 1.530 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(CC#N)cc1)[C@H]1CN(C)CC[N@@H+]1C ZINC000896551834 711749190 /nfs/dbraw/zinc/74/91/90/711749190.db2.gz HMPYANDRIARCQB-CZUORRHYSA-N 1 2 300.406 1.117 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(CC#N)cc1)[C@H]1CN(C)CC[N@H+]1C ZINC000896551834 711749192 /nfs/dbraw/zinc/74/91/92/711749192.db2.gz HMPYANDRIARCQB-CZUORRHYSA-N 1 2 300.406 1.117 20 30 DDEDLO N#Cc1cccc(N2CCN(C(=O)CNc3cccc[nH+]3)CC2)n1 ZINC000907383226 712557016 /nfs/dbraw/zinc/55/70/16/712557016.db2.gz NMZXXZSKLHJGGV-UHFFFAOYSA-N 1 2 322.372 1.109 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)c2cc(=O)c3ccccc3o2)CC1 ZINC000917126325 713483833 /nfs/dbraw/zinc/48/38/33/713483833.db2.gz VPMFIBQXRSSJJF-UHFFFAOYSA-N 1 2 311.341 1.511 20 30 DDEDLO C#CC[N@@H+](CCCCC1(C#N)CCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000929967427 713723988 /nfs/dbraw/zinc/72/39/88/713723988.db2.gz AQLORXVSDIXETQ-OAHLLOKOSA-N 1 2 308.447 1.973 20 30 DDEDLO C#CC[N@H+](CCCCC1(C#N)CCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000929967427 713723991 /nfs/dbraw/zinc/72/39/91/713723991.db2.gz AQLORXVSDIXETQ-OAHLLOKOSA-N 1 2 308.447 1.973 20 30 DDEDLO C#CC1(O)CC[NH+](CCS(=O)(=O)Cc2ccccc2)CC1 ZINC000931149246 714016486 /nfs/dbraw/zinc/01/64/86/714016486.db2.gz FDGJNCFIEANFBV-UHFFFAOYSA-N 1 2 307.415 1.062 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(CC#N)ccn1 ZINC000932163826 714275765 /nfs/dbraw/zinc/27/57/65/714275765.db2.gz NQILRFLNLOAUMS-CQSZACIVSA-N 1 2 317.393 1.380 20 30 DDEDLO COC(=O)c1cccc2c1OCC[N@H+](CCO[C@@H](C)C#N)C2 ZINC000932655339 714379099 /nfs/dbraw/zinc/37/90/99/714379099.db2.gz OVVXEENAZYKJGW-LBPRGKRZSA-N 1 2 304.346 1.596 20 30 DDEDLO COC(=O)c1cccc2c1OCC[N@@H+](CCO[C@@H](C)C#N)C2 ZINC000932655339 714379100 /nfs/dbraw/zinc/37/91/00/714379100.db2.gz OVVXEENAZYKJGW-LBPRGKRZSA-N 1 2 304.346 1.596 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)[C@H](C)Sc2ccccn2)C1 ZINC000923567138 714408248 /nfs/dbraw/zinc/40/82/48/714408248.db2.gz AIQGYQWLZDKHSC-KBPBESRZSA-N 1 2 303.431 1.776 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)[C@H](C)Sc2ccccn2)C1 ZINC000923567138 714408251 /nfs/dbraw/zinc/40/82/51/714408251.db2.gz AIQGYQWLZDKHSC-KBPBESRZSA-N 1 2 303.431 1.776 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)[C@H](C)Sc2ccccn2)C1 ZINC000923567141 714408341 /nfs/dbraw/zinc/40/83/41/714408341.db2.gz AIQGYQWLZDKHSC-UONOGXRCSA-N 1 2 303.431 1.776 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)Sc2ccccn2)C1 ZINC000923567141 714408342 /nfs/dbraw/zinc/40/83/42/714408342.db2.gz AIQGYQWLZDKHSC-UONOGXRCSA-N 1 2 303.431 1.776 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)N[C@H](CC#N)C(F)(F)F)C1 ZINC000932912080 714435934 /nfs/dbraw/zinc/43/59/34/714435934.db2.gz WMCOATWLOSYNLP-VHSXEESVSA-N 1 2 315.299 1.764 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@H+](CC[C@@H]3CCOC3=O)CCO2)cc1 ZINC000933629099 714634626 /nfs/dbraw/zinc/63/46/26/714634626.db2.gz RNLFNDBKKFPNAD-HZPDHXFCSA-N 1 2 300.358 1.885 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@@H+](CC[C@@H]3CCOC3=O)CCO2)cc1 ZINC000933629099 714634627 /nfs/dbraw/zinc/63/46/27/714634627.db2.gz RNLFNDBKKFPNAD-HZPDHXFCSA-N 1 2 300.358 1.885 20 30 DDEDLO O=C(C[NH+]1CCC2(CC1)CC(=O)C=CO2)NCc1cccnc1 ZINC000933651344 714639076 /nfs/dbraw/zinc/63/90/76/714639076.db2.gz DRSXSFHEEZHWKQ-UHFFFAOYSA-N 1 2 315.373 1.036 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000934513378 714839481 /nfs/dbraw/zinc/83/94/81/714839481.db2.gz NIINWRYKNDQKOU-INIZCTEOSA-N 1 2 313.401 1.815 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000934513378 714839484 /nfs/dbraw/zinc/83/94/84/714839484.db2.gz NIINWRYKNDQKOU-INIZCTEOSA-N 1 2 313.401 1.815 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000934513380 714839507 /nfs/dbraw/zinc/83/95/07/714839507.db2.gz NIINWRYKNDQKOU-MRXNPFEDSA-N 1 2 313.401 1.815 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000934513380 714839508 /nfs/dbraw/zinc/83/95/08/714839508.db2.gz NIINWRYKNDQKOU-MRXNPFEDSA-N 1 2 313.401 1.815 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(CC#N)cc1 ZINC000925574322 714890659 /nfs/dbraw/zinc/89/06/59/714890659.db2.gz AEOGLOJGMSYIOV-HNNXBMFYSA-N 1 2 316.405 1.985 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC(CC#N)CC1 ZINC000925593677 714895125 /nfs/dbraw/zinc/89/51/25/714895125.db2.gz HVUPUTNCXHBUFJ-HNNXBMFYSA-N 1 2 308.426 1.432 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2n[nH]c3c2C[C@H](C)CC3)CC1 ZINC000956993710 715699384 /nfs/dbraw/zinc/69/93/84/715699384.db2.gz XSUTZEIVBGNQGW-CYBMUJFWSA-N 1 2 302.422 1.868 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnn(-c3nccs3)c2)CC1 ZINC000957279156 715813640 /nfs/dbraw/zinc/81/36/40/715813640.db2.gz HAQORUKEIWSAEK-UHFFFAOYSA-N 1 2 317.418 1.663 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@H]2Cc3cccc(F)c3O2)C1 ZINC000957409168 715887369 /nfs/dbraw/zinc/88/73/69/715887369.db2.gz VCABDZWWBTVINK-INIZCTEOSA-N 1 2 316.376 1.685 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC000957413019 715889595 /nfs/dbraw/zinc/88/95/95/715889595.db2.gz CMGGFCCGASNBDD-CYBMUJFWSA-N 1 2 302.422 1.623 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cccc(-n3ccnn3)c2)C1 ZINC000957461244 715909762 /nfs/dbraw/zinc/90/97/62/715909762.db2.gz IKACZYHWYONPPA-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2Oc3ccccc3O[C@@H]2C)CC1 ZINC000957622119 715971778 /nfs/dbraw/zinc/97/17/78/715971778.db2.gz ZMFLFOGWQKILAZ-CJNGLKHVSA-N 1 2 302.374 1.545 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2c(C)noc2C)C1 ZINC000957862872 716203704 /nfs/dbraw/zinc/20/37/04/716203704.db2.gz CTYMNDDZHLABOP-RYUDHWBXSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2c(C)noc2C)C1 ZINC000957862872 716203707 /nfs/dbraw/zinc/20/37/07/716203707.db2.gz CTYMNDDZHLABOP-RYUDHWBXSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ccoc2Cl)C1 ZINC000958008613 716272910 /nfs/dbraw/zinc/27/29/10/716272910.db2.gz BAIZWXMHGNJFSF-GXSJLCMTSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ccoc2Cl)C1 ZINC000958008613 716272916 /nfs/dbraw/zinc/27/29/16/716272916.db2.gz BAIZWXMHGNJFSF-GXSJLCMTSA-N 1 2 319.188 1.708 20 30 DDEDLO CCC(=O)N1CCN(C2C[NH+](Cc3cccc(C#N)c3)C2)CC1 ZINC000941260791 717141533 /nfs/dbraw/zinc/14/15/33/717141533.db2.gz WFHHSZXMQVSPMI-UHFFFAOYSA-N 1 2 312.417 1.297 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3CCC[C@H]3C)CC2)C1 ZINC000941414112 717173093 /nfs/dbraw/zinc/17/30/93/717173093.db2.gz NWFDUXNUEZZRFP-SJORKVTESA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC[C@@H]3CC)CC2)C1 ZINC000941553970 717206969 /nfs/dbraw/zinc/20/69/69/717206969.db2.gz UPBBDPVFKSFICJ-FUHWJXTLSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnc(C)cn2)C1 ZINC000964807091 717435123 /nfs/dbraw/zinc/43/51/23/717435123.db2.gz OZVCXQLETTWDRU-QMTHXVAHSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnc(C)cn2)C1 ZINC000964807091 717435126 /nfs/dbraw/zinc/43/51/26/717435126.db2.gz OZVCXQLETTWDRU-QMTHXVAHSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cn2cc(C)cn2)C1 ZINC000965480175 717660336 /nfs/dbraw/zinc/66/03/36/717660336.db2.gz YADMGKATQDTUAG-JSGCOSHPSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cn2cc(C)cn2)C1 ZINC000965480175 717660339 /nfs/dbraw/zinc/66/03/39/717660339.db2.gz YADMGKATQDTUAG-JSGCOSHPSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(C)ncn2)C1 ZINC000965916388 717814264 /nfs/dbraw/zinc/81/42/64/717814264.db2.gz HNKHGARDWFXXQE-QMTHXVAHSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(C)ncn2)C1 ZINC000965916388 717814268 /nfs/dbraw/zinc/81/42/68/717814268.db2.gz HNKHGARDWFXXQE-QMTHXVAHSA-N 1 2 308.813 1.978 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1nnc[nH]1 ZINC000943702369 718176649 /nfs/dbraw/zinc/17/66/49/718176649.db2.gz NCXILLUBXFUODS-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1nnc[nH]1 ZINC000943702369 718176652 /nfs/dbraw/zinc/17/66/52/718176652.db2.gz NCXILLUBXFUODS-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1ncn[nH]1 ZINC000943702369 718176654 /nfs/dbraw/zinc/17/66/54/718176654.db2.gz NCXILLUBXFUODS-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@@H]1NC(=O)c1ncn[nH]1 ZINC000943702369 718176655 /nfs/dbraw/zinc/17/66/55/718176655.db2.gz NCXILLUBXFUODS-WFASDCNBSA-N 1 2 324.388 1.317 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)[C@@H](C)C1 ZINC000945184241 718416687 /nfs/dbraw/zinc/41/66/87/718416687.db2.gz VWBVVPMHLBONGH-ZFWWWQNUSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)[C@@H](C)C1 ZINC000945184241 718416690 /nfs/dbraw/zinc/41/66/90/718416690.db2.gz VWBVVPMHLBONGH-ZFWWWQNUSA-N 1 2 323.400 1.545 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(=O)c(OC)co2)C1 ZINC000967397411 718868517 /nfs/dbraw/zinc/86/85/17/718868517.db2.gz OPXVNVWBNLFABZ-QWHCGFSZSA-N 1 2 318.373 1.112 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(=O)c(OC)co2)C1 ZINC000967397411 718868521 /nfs/dbraw/zinc/86/85/21/718868521.db2.gz OPXVNVWBNLFABZ-QWHCGFSZSA-N 1 2 318.373 1.112 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC000967974366 719110442 /nfs/dbraw/zinc/11/04/42/719110442.db2.gz GFTRVRADCQWUJX-CMPLNLGQSA-N 1 2 303.366 1.187 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cn(C)ccc2=O)C[C@@H]1C ZINC000947536072 719201329 /nfs/dbraw/zinc/20/13/29/719201329.db2.gz DKSQEGMUFJUGMD-QWHCGFSZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cn(C)ccc2=O)C[C@@H]1C ZINC000947536072 719201333 /nfs/dbraw/zinc/20/13/33/719201333.db2.gz DKSQEGMUFJUGMD-QWHCGFSZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CCN(C(=O)Cc3[nH]cc[nH+]3)CC2)C1 ZINC000948009604 719331565 /nfs/dbraw/zinc/33/15/65/719331565.db2.gz QOWSQTGMWXSZNY-UHFFFAOYSA-N 1 2 316.405 1.416 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCC[C@H]2n2cccn2)CC1 ZINC000948832030 719736346 /nfs/dbraw/zinc/73/63/46/719736346.db2.gz MQKXJUXKCAIFRQ-JKSUJKDBSA-N 1 2 302.422 1.945 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn(C)c1C ZINC000948895351 719772657 /nfs/dbraw/zinc/77/26/57/719772657.db2.gz ZAILNRCJCCFMNM-SFHVURJKSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn(C)c1C ZINC000948895351 719772662 /nfs/dbraw/zinc/77/26/62/719772662.db2.gz ZAILNRCJCCFMNM-SFHVURJKSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnc[nH]c1=O ZINC000948930052 719790444 /nfs/dbraw/zinc/79/04/44/719790444.db2.gz XNTFJVPFGYZHOX-MRXNPFEDSA-N 1 2 322.368 1.145 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnc[nH]c1=O ZINC000948930052 719790448 /nfs/dbraw/zinc/79/04/48/719790448.db2.gz XNTFJVPFGYZHOX-MRXNPFEDSA-N 1 2 322.368 1.145 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3CC(F)(F)C3)CC2)C1 ZINC000949336180 720024682 /nfs/dbraw/zinc/02/46/82/720024682.db2.gz RRLIVLWHKUQBDP-UHFFFAOYSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3CC(F)(F)C3)CC2)C1 ZINC000949336180 720024683 /nfs/dbraw/zinc/02/46/83/720024683.db2.gz RRLIVLWHKUQBDP-UHFFFAOYSA-N 1 2 312.360 1.358 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+]Cc3nnc(CC)o3)C2)cc1 ZINC000969545775 720183198 /nfs/dbraw/zinc/18/31/98/720183198.db2.gz JGSMQYIYDCTOSX-OAHLLOKOSA-N 1 2 324.384 1.618 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(OCC)s2)C1 ZINC000969584958 720200969 /nfs/dbraw/zinc/20/09/69/720200969.db2.gz PBJRMAKOCOUNGO-LLVKDONJSA-N 1 2 324.450 1.840 20 30 DDEDLO C=C(C)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000969667665 720242541 /nfs/dbraw/zinc/24/25/41/720242541.db2.gz LNWKWIHREYHNIE-TZMCWYRMSA-N 1 2 302.422 1.842 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2c[nH]nc2[C@@H]2CCCO2)CC1 ZINC000949821223 720363956 /nfs/dbraw/zinc/36/39/56/720363956.db2.gz UGZMQRGSOZVZKJ-AWEZNQCLSA-N 1 2 304.394 1.595 20 30 DDEDLO CC#CC[NH+]1CC([C@@H](C)NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC000969865160 720540549 /nfs/dbraw/zinc/54/05/49/720540549.db2.gz KKJGKHBKXXFPFE-SNVBAGLBSA-N 1 2 308.813 1.699 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2OCC[C@H]2CC)C1 ZINC000969881032 720546052 /nfs/dbraw/zinc/54/60/52/720546052.db2.gz LMCLPKATPAVHCL-SCRDCRAPSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnc3n[nH]cc3c2)C1 ZINC000969941422 720568630 /nfs/dbraw/zinc/56/86/30/720568630.db2.gz QWHMEVWWDPUGLC-SNVBAGLBSA-N 1 2 319.796 1.761 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000969983305 720584927 /nfs/dbraw/zinc/58/49/27/720584927.db2.gz AUZZJDTXTWXFIM-NSHDSACASA-N 1 2 309.797 1.188 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CNC(=O)c3ccccc32)C1 ZINC000950522528 720669416 /nfs/dbraw/zinc/66/94/16/720669416.db2.gz MRNMWSGQEMRFBN-INIZCTEOSA-N 1 2 313.401 1.232 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@H]2CCn3cncc3C2)C1 ZINC000950642622 720718491 /nfs/dbraw/zinc/71/84/91/720718491.db2.gz SFEPKYHWELAQDE-AWEZNQCLSA-N 1 2 300.406 1.002 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC000970807500 720943119 /nfs/dbraw/zinc/94/31/19/720943119.db2.gz VHRYKKIIQUWDMR-WCQYABFASA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCCO2)C1 ZINC000971025620 721063420 /nfs/dbraw/zinc/06/34/20/721063420.db2.gz NRBHOCXKQCVQKZ-NEPJUHHUSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCCO2)C1 ZINC000971025620 721063423 /nfs/dbraw/zinc/06/34/23/721063423.db2.gz NRBHOCXKQCVQKZ-NEPJUHHUSA-N 1 2 317.227 1.607 20 30 DDEDLO Cc1cc(C(=O)N2CC[C@@H]([N@H+](C)Cc3ccccc3C#N)C2)n[nH]1 ZINC000971160772 721147810 /nfs/dbraw/zinc/14/78/10/721147810.db2.gz MSNQTMTZMPNWFX-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ccccc3C#N)C2)n[nH]1 ZINC000971160772 721147814 /nfs/dbraw/zinc/14/78/14/721147814.db2.gz MSNQTMTZMPNWFX-MRXNPFEDSA-N 1 2 323.400 1.936 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@@H]([N@H+](C)Cc2ccon2)C1 ZINC000971556942 721353934 /nfs/dbraw/zinc/35/39/34/721353934.db2.gz WCAYXGKARWZIMQ-OAGGEKHMSA-N 1 2 319.405 1.689 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccon2)C1 ZINC000971556942 721353937 /nfs/dbraw/zinc/35/39/37/721353937.db2.gz WCAYXGKARWZIMQ-OAGGEKHMSA-N 1 2 319.405 1.689 20 30 DDEDLO C=CC[NH+]1CCN(c2nc(C3CC3)ncc2C(=O)OCC)CC1 ZINC001165031296 721866820 /nfs/dbraw/zinc/86/68/20/721866820.db2.gz CCDZDEKYAXASPV-UHFFFAOYSA-N 1 2 316.405 1.839 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H](C)n3cccn3)C2)C1 ZINC000972628425 735378378 /nfs/dbraw/zinc/37/83/78/735378378.db2.gz RJQSWHFUNXFJCD-DOTOQJQBSA-N 1 2 318.421 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H](C)n3cccn3)C2)C1 ZINC000972628425 735378380 /nfs/dbraw/zinc/37/83/80/735378380.db2.gz RJQSWHFUNXFJCD-DOTOQJQBSA-N 1 2 318.421 1.324 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCCN(C)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001067448686 735482179 /nfs/dbraw/zinc/48/21/79/735482179.db2.gz HVYOAKYLWVQLFZ-ZIAGYGMSSA-N 1 2 319.409 1.301 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2CCO[C@@H]2CC2(F)F)[nH]1 ZINC001038172705 732620591 /nfs/dbraw/zinc/62/05/91/732620591.db2.gz JTEXRRACIBCMFJ-WCQYABFASA-N 1 2 324.331 1.115 20 30 DDEDLO N#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2CCO[C@@H]2CC2(F)F)[nH]1 ZINC001038172705 732620593 /nfs/dbraw/zinc/62/05/93/732620593.db2.gz JTEXRRACIBCMFJ-WCQYABFASA-N 1 2 324.331 1.115 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H]1CNC(=O)c1ccon1 ZINC001027765819 738635257 /nfs/dbraw/zinc/63/52/57/738635257.db2.gz KMJLKDSKNBIWIB-OAHLLOKOSA-N 1 2 310.357 1.941 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccon1 ZINC001027765819 738635258 /nfs/dbraw/zinc/63/52/58/738635258.db2.gz KMJLKDSKNBIWIB-OAHLLOKOSA-N 1 2 310.357 1.941 20 30 DDEDLO N=c1cc(C[NH3+])ncn1-c1cc(/C=N/O)cc(C(F)(F)F)c1 ZINC001167359909 733291915 /nfs/dbraw/zinc/29/19/15/733291915.db2.gz WJOMBIXRTIOUMT-PQSBSZJHSA-N 1 2 311.267 1.637 20 30 DDEDLO Cn1nncc1C(=O)NC[C@H]1CCC[N@@H+]1Cc1ccccc1C#N ZINC001027856358 738741567 /nfs/dbraw/zinc/74/15/67/738741567.db2.gz LHMWSJBXNNGBFC-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1nncc1C(=O)NC[C@H]1CCC[N@H+]1Cc1ccccc1C#N ZINC001027856358 738741568 /nfs/dbraw/zinc/74/15/68/738741568.db2.gz LHMWSJBXNNGBFC-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1(Nc2cc[nH+]c(C)n2)CCCC1 ZINC001104388623 734542106 /nfs/dbraw/zinc/54/21/06/734542106.db2.gz IORVAVFYWFAFSJ-INIZCTEOSA-N 1 2 318.421 1.953 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3oc(CC)cc3C)[C@H]2C1 ZINC001083249186 734810567 /nfs/dbraw/zinc/81/05/67/734810567.db2.gz QVDYBNJUVWBEIH-LSDHHAIUSA-N 1 2 304.390 1.862 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3oc(CC)cc3C)[C@H]2C1 ZINC001083249186 734810569 /nfs/dbraw/zinc/81/05/69/734810569.db2.gz QVDYBNJUVWBEIH-LSDHHAIUSA-N 1 2 304.390 1.862 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccnc1 ZINC001038256214 735047228 /nfs/dbraw/zinc/04/72/28/735047228.db2.gz CCGWVBKGKZAZPD-BBWFWOEESA-N 1 2 315.417 1.753 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccnc1 ZINC001038256214 735047230 /nfs/dbraw/zinc/04/72/30/735047230.db2.gz CCGWVBKGKZAZPD-BBWFWOEESA-N 1 2 315.417 1.753 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001023368205 735203022 /nfs/dbraw/zinc/20/30/22/735203022.db2.gz JGSBQSWYPQPTHQ-LSDHHAIUSA-N 1 2 314.433 1.681 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001023368205 735203023 /nfs/dbraw/zinc/20/30/23/735203023.db2.gz JGSBQSWYPQPTHQ-LSDHHAIUSA-N 1 2 314.433 1.681 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C4CC4)n[nH]3)C2)C1 ZINC000972570686 735252379 /nfs/dbraw/zinc/25/23/79/735252379.db2.gz DNLVDYVOTXCSFW-QGZVFWFLSA-N 1 2 316.405 1.390 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C4CC4)n[nH]3)C2)C1 ZINC000972570686 735252384 /nfs/dbraw/zinc/25/23/84/735252384.db2.gz DNLVDYVOTXCSFW-QGZVFWFLSA-N 1 2 316.405 1.390 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(Cn2cccn2)o1 ZINC001038278236 735256410 /nfs/dbraw/zinc/25/64/10/735256410.db2.gz NJFMHUIOHGSGPJ-CQSZACIVSA-N 1 2 312.373 1.352 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(Cn2cccn2)o1 ZINC001038278236 735256414 /nfs/dbraw/zinc/25/64/14/735256414.db2.gz NJFMHUIOHGSGPJ-CQSZACIVSA-N 1 2 312.373 1.352 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC000972719591 735569064 /nfs/dbraw/zinc/56/90/64/735569064.db2.gz XDWBXLTXXNHOTD-NEPJUHHUSA-N 1 2 323.828 1.195 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC000972719591 735569068 /nfs/dbraw/zinc/56/90/68/735569068.db2.gz XDWBXLTXXNHOTD-NEPJUHHUSA-N 1 2 323.828 1.195 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@H+](C)Cc3nocc3C)C2)nc1 ZINC000972755376 735600099 /nfs/dbraw/zinc/60/00/99/735600099.db2.gz FGNQRKICJLUEBD-OAHLLOKOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3nocc3C)C2)nc1 ZINC000972755376 735600100 /nfs/dbraw/zinc/60/01/00/735600100.db2.gz FGNQRKICJLUEBD-OAHLLOKOSA-N 1 2 324.384 1.706 20 30 DDEDLO CC(C)c1nsc(C[NH2+]CCNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001125782790 735682903 /nfs/dbraw/zinc/68/29/03/735682903.db2.gz KMASPXKTBPXHAO-UHFFFAOYSA-N 1 2 318.406 1.381 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)NC[C@@H]1CCCCN1CC#N)C2 ZINC001024516547 735894558 /nfs/dbraw/zinc/89/45/58/735894558.db2.gz KOKGJSGTUPYTLT-KBPBESRZSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)NC[C@@H]1CCCCN1CC#N)CC2 ZINC001024516547 735894562 /nfs/dbraw/zinc/89/45/62/735894562.db2.gz KOKGJSGTUPYTLT-KBPBESRZSA-N 1 2 315.421 1.317 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3ccc4c(c3)CCC4)[C@H]2C1 ZINC001083272655 736105035 /nfs/dbraw/zinc/10/50/35/736105035.db2.gz ZKZBNZNEBZEYFA-RBUKOAKNSA-N 1 2 324.424 1.263 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3ccc4c(c3)CCC4)[C@H]2C1 ZINC001083272655 736105037 /nfs/dbraw/zinc/10/50/37/736105037.db2.gz ZKZBNZNEBZEYFA-RBUKOAKNSA-N 1 2 324.424 1.263 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(CC(F)(F)F)c1 ZINC001038342460 737047699 /nfs/dbraw/zinc/04/76/99/737047699.db2.gz SKKZAMTZZNJRPE-LBPRGKRZSA-N 1 2 314.311 1.273 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(CC(F)(F)F)c1 ZINC001038342460 737047701 /nfs/dbraw/zinc/04/77/01/737047701.db2.gz SKKZAMTZZNJRPE-LBPRGKRZSA-N 1 2 314.311 1.273 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)c2ccncc12 ZINC001032580284 751387490 /nfs/dbraw/zinc/38/74/90/751387490.db2.gz JGIFPLKCNXUINV-STQMWFEESA-N 1 2 309.344 1.906 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)c2ccncc12 ZINC001032580284 751387498 /nfs/dbraw/zinc/38/74/98/751387498.db2.gz JGIFPLKCNXUINV-STQMWFEESA-N 1 2 309.344 1.906 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3csc(C4CC4)n3)[C@H]2C1 ZINC001083290153 737118774 /nfs/dbraw/zinc/11/87/74/737118774.db2.gz KRRXRFUBMBGFTC-UONOGXRCSA-N 1 2 317.414 1.179 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3csc(C4CC4)n3)[C@H]2C1 ZINC001083290153 737118776 /nfs/dbraw/zinc/11/87/76/737118776.db2.gz KRRXRFUBMBGFTC-UONOGXRCSA-N 1 2 317.414 1.179 20 30 DDEDLO Cc1nc(NC[C@@H](CC(C)C)NC(=O)CSCC#N)cc[nH+]1 ZINC001104897241 737351587 /nfs/dbraw/zinc/35/15/87/737351587.db2.gz GCZJHBCIVOIUFK-CYBMUJFWSA-N 1 2 321.450 1.985 20 30 DDEDLO Cc1nc(N(C)CCCN(C)C(=O)[C@H](C)C#N)c(C)c(C)[nH+]1 ZINC001112061928 737359614 /nfs/dbraw/zinc/35/96/14/737359614.db2.gz UKIHDLPWTHFZPW-LLVKDONJSA-N 1 2 303.410 1.846 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C(C)(C)C3CCC3)C2)nn1 ZINC001105292178 737741499 /nfs/dbraw/zinc/74/14/99/737741499.db2.gz CKBVCWBUZYDXDE-UHFFFAOYSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCOCCC(=O)N[C@H](CNc1cc[nH+]c(C)n1)C(C)(C)C ZINC001125985749 738082922 /nfs/dbraw/zinc/08/29/22/738082922.db2.gz HNGKJTQVRGAHNE-CQSZACIVSA-N 1 2 318.421 1.768 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H]3C[C@@]3(C)C(C)C)C2)nn1 ZINC001105354534 738989120 /nfs/dbraw/zinc/98/91/20/738989120.db2.gz NQLOQTYCXGQQLM-RDJZCZTQSA-N 1 2 315.421 1.066 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)[C@@H](C)CC)C2)nn1 ZINC001105354474 738989913 /nfs/dbraw/zinc/98/99/13/738989913.db2.gz MBFCQOISKBONLF-UONOGXRCSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCc2nnc(C[NH2+]CCF)n2CC1 ZINC001128012199 751408719 /nfs/dbraw/zinc/40/87/19/751408719.db2.gz LEZFQOYPOOUQSU-ZDUSSCGKSA-N 1 2 323.416 1.515 20 30 DDEDLO COc1nscc1C[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001075616052 739187558 /nfs/dbraw/zinc/18/75/58/739187558.db2.gz HXBZDGSKHANLKM-GMXVVIOVSA-N 1 2 320.418 1.344 20 30 DDEDLO COc1nscc1C[N@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001075616052 739187559 /nfs/dbraw/zinc/18/75/59/739187559.db2.gz HXBZDGSKHANLKM-GMXVVIOVSA-N 1 2 320.418 1.344 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001028231329 739202686 /nfs/dbraw/zinc/20/26/86/739202686.db2.gz LIFZHYPYOZDVLB-OLZOCXBDSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001028231329 739202688 /nfs/dbraw/zinc/20/26/88/739202688.db2.gz LIFZHYPYOZDVLB-OLZOCXBDSA-N 1 2 300.406 1.362 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)sn1 ZINC001075620954 739230788 /nfs/dbraw/zinc/23/07/88/739230788.db2.gz JPMWXKXEMVPMIU-GMXVVIOVSA-N 1 2 320.418 1.344 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)sn1 ZINC001075620954 739230792 /nfs/dbraw/zinc/23/07/92/739230792.db2.gz JPMWXKXEMVPMIU-GMXVVIOVSA-N 1 2 320.418 1.344 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1nc(-c2ccccc2)no1 ZINC001126343687 739251051 /nfs/dbraw/zinc/25/10/51/739251051.db2.gz DLGAHZPOMUCKDW-UHFFFAOYSA-N 1 2 312.373 1.746 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](Nc2ccc(C#N)c(C)n2)C1 ZINC001058937640 739254972 /nfs/dbraw/zinc/25/49/72/739254972.db2.gz JPACXYNWLSHYGR-AWEZNQCLSA-N 1 2 324.388 1.549 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2nocc2C)cn1 ZINC001028270094 739258746 /nfs/dbraw/zinc/25/87/46/739258746.db2.gz FAEGYKLGCUDOLY-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2nocc2C)cn1 ZINC001028270094 739258750 /nfs/dbraw/zinc/25/87/50/739258750.db2.gz FAEGYKLGCUDOLY-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)nnc2C)C1 ZINC001035382151 751452023 /nfs/dbraw/zinc/45/20/23/751452023.db2.gz YSNPWRCFGQWXMA-CQSZACIVSA-N 1 2 304.394 1.100 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C)nnc2C)C1 ZINC001035382151 751452028 /nfs/dbraw/zinc/45/20/28/751452028.db2.gz YSNPWRCFGQWXMA-CQSZACIVSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccn(CC(C)C)n2)C1 ZINC001035387768 751457713 /nfs/dbraw/zinc/45/77/13/751457713.db2.gz QRWRMWWWLYPNEQ-CQSZACIVSA-N 1 2 306.410 1.156 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccn(CC(C)C)n2)C1 ZINC001035387768 751457717 /nfs/dbraw/zinc/45/77/17/751457717.db2.gz QRWRMWWWLYPNEQ-CQSZACIVSA-N 1 2 306.410 1.156 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(OC(C)C)nc2)C1 ZINC001035389359 751458786 /nfs/dbraw/zinc/45/87/86/751458786.db2.gz CEPZLOUTPGPGDY-OAHLLOKOSA-N 1 2 319.405 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(OC(C)C)nc2)C1 ZINC001035389359 751458790 /nfs/dbraw/zinc/45/87/90/751458790.db2.gz CEPZLOUTPGPGDY-OAHLLOKOSA-N 1 2 319.405 1.485 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098680752 739708668 /nfs/dbraw/zinc/70/86/68/739708668.db2.gz JDGZUPKIEVVVFM-AWEZNQCLSA-N 1 2 309.389 1.467 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)onc2C2CC2)C1 ZINC001035454990 751503253 /nfs/dbraw/zinc/50/32/53/751503253.db2.gz KWPRJFDAEGWYJZ-AWEZNQCLSA-N 1 2 317.389 1.314 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)onc2C2CC2)C1 ZINC001035454990 751503258 /nfs/dbraw/zinc/50/32/58/751503258.db2.gz KWPRJFDAEGWYJZ-AWEZNQCLSA-N 1 2 317.389 1.314 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3ncccc3o2)C1 ZINC001035456893 751505513 /nfs/dbraw/zinc/50/55/13/751505513.db2.gz VJMCKTOAAPALRW-ZDUSSCGKSA-N 1 2 313.357 1.282 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc3ncccc3o2)C1 ZINC001035456893 751505516 /nfs/dbraw/zinc/50/55/16/751505516.db2.gz VJMCKTOAAPALRW-ZDUSSCGKSA-N 1 2 313.357 1.282 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC001035431358 751508945 /nfs/dbraw/zinc/50/89/45/751508945.db2.gz FKKIKPSDGMUBKF-CQSZACIVSA-N 1 2 301.390 1.576 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC001035431358 751508948 /nfs/dbraw/zinc/50/89/48/751508948.db2.gz FKKIKPSDGMUBKF-CQSZACIVSA-N 1 2 301.390 1.576 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001038424627 740291245 /nfs/dbraw/zinc/29/12/45/740291245.db2.gz SLUMBJLOHVOGNX-TZMCWYRMSA-N 1 2 308.813 1.389 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C)n(C(CC)CC)n1 ZINC001038435053 740456692 /nfs/dbraw/zinc/45/66/92/740456692.db2.gz MWSHGIAMESOLSN-HNNXBMFYSA-N 1 2 302.422 1.990 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)n(C(CC)CC)n1 ZINC001038435053 740456695 /nfs/dbraw/zinc/45/66/95/740456695.db2.gz MWSHGIAMESOLSN-HNNXBMFYSA-N 1 2 302.422 1.990 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@@H](C)C(N)=O ZINC001029323128 740567670 /nfs/dbraw/zinc/56/76/70/740567670.db2.gz PTYCOOMKOBAYEF-ZMLRMANQSA-N 1 2 313.829 1.314 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@@H](C)C(N)=O ZINC001029323128 740567671 /nfs/dbraw/zinc/56/76/71/740567671.db2.gz PTYCOOMKOBAYEF-ZMLRMANQSA-N 1 2 313.829 1.314 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098706179 740768676 /nfs/dbraw/zinc/76/86/76/740768676.db2.gz UKVKQGYSFRILEH-ZFWWWQNUSA-N 1 2 323.416 1.713 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@H]4CCN(CC#N)C[C@H]4C3)ccn12 ZINC001087966404 740893821 /nfs/dbraw/zinc/89/38/21/740893821.db2.gz ANDDHDHNNGHXJI-CVEARBPZSA-N 1 2 323.400 1.560 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C(C)(C)CCC)C2)nn1 ZINC001098711932 740905865 /nfs/dbraw/zinc/90/58/65/740905865.db2.gz ZEKABCMWHHUOIL-OAHLLOKOSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001035541686 751588159 /nfs/dbraw/zinc/58/81/59/751588159.db2.gz JVWPIXUZCNKQJE-ZACQAIPSSA-N 1 2 300.402 1.793 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001035541686 751588162 /nfs/dbraw/zinc/58/81/62/751588162.db2.gz JVWPIXUZCNKQJE-ZACQAIPSSA-N 1 2 300.402 1.793 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](n3cc(C[NH2+]CCF)nn3)C2)C1 ZINC001098715969 740972376 /nfs/dbraw/zinc/97/23/76/740972376.db2.gz MWAWVHXSSVCCPS-AWEZNQCLSA-N 1 2 321.400 1.467 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3cnccc3n2)C1 ZINC001035520466 751596474 /nfs/dbraw/zinc/59/64/74/751596474.db2.gz CCYLKQIFCGDYFQ-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc3cnccc3n2)C1 ZINC001035520466 751596477 /nfs/dbraw/zinc/59/64/77/751596477.db2.gz CCYLKQIFCGDYFQ-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO N#Cc1cnc(N[C@H]2C[C@@H](NC(=O)Cn3cc[nH+]c3)C2)c(F)c1 ZINC001059665735 741515220 /nfs/dbraw/zinc/51/52/20/741515220.db2.gz LDWRBPFBNNMSBJ-TXEJJXNPSA-N 1 2 314.324 1.048 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)nn2C)C1 ZINC001035562357 751644943 /nfs/dbraw/zinc/64/49/43/751644943.db2.gz LTMGYWXNQZYWID-AWEZNQCLSA-N 1 2 320.437 1.550 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)nn2C)C1 ZINC001035562357 751644945 /nfs/dbraw/zinc/64/49/45/751644945.db2.gz LTMGYWXNQZYWID-AWEZNQCLSA-N 1 2 320.437 1.550 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](NC(=O)Cc3c[nH+]cn3C)C2)ccc1C#N ZINC001059680991 741537867 /nfs/dbraw/zinc/53/78/67/741537867.db2.gz PEGXBYWKGBIVPP-OKILXGFUSA-N 1 2 324.388 1.297 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(C[N@H+](C)[C@H](C)c2csnn2)CC1 ZINC001029946657 741636371 /nfs/dbraw/zinc/63/63/71/741636371.db2.gz RDMKYAQBHQIIHB-VXGBXAGGSA-N 1 2 321.450 1.929 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(C[N@@H+](C)[C@H](C)c2csnn2)CC1 ZINC001029946657 741636373 /nfs/dbraw/zinc/63/63/73/741636373.db2.gz RDMKYAQBHQIIHB-VXGBXAGGSA-N 1 2 321.450 1.929 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3C[C@H](F)CC)c1 ZINC001032617244 751657025 /nfs/dbraw/zinc/65/70/25/751657025.db2.gz RTPZMPHKXPQFAY-PMPSAXMXSA-N 1 2 301.365 1.710 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3C[C@H](F)CC)c1 ZINC001032617244 751657030 /nfs/dbraw/zinc/65/70/30/751657030.db2.gz RTPZMPHKXPQFAY-PMPSAXMXSA-N 1 2 301.365 1.710 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(OC)ccc2OC)C1 ZINC001035600910 751659149 /nfs/dbraw/zinc/65/91/49/751659149.db2.gz TYCKMWVUUZJUQY-CQSZACIVSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(OC)ccc2OC)C1 ZINC001035600910 751659154 /nfs/dbraw/zinc/65/91/54/751659154.db2.gz TYCKMWVUUZJUQY-CQSZACIVSA-N 1 2 320.389 1.320 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)[C@H]2C)no1 ZINC001088528550 741702671 /nfs/dbraw/zinc/70/26/71/741702671.db2.gz GAAGJRYFWPLYHM-RISCZKNCSA-N 1 2 313.361 1.576 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)[C@H]2C)no1 ZINC001088528550 741702674 /nfs/dbraw/zinc/70/26/74/741702674.db2.gz GAAGJRYFWPLYHM-RISCZKNCSA-N 1 2 313.361 1.576 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001059855671 741796665 /nfs/dbraw/zinc/79/66/65/741796665.db2.gz WXQWDJBDGBXHCX-BARDWOONSA-N 1 2 316.405 1.825 20 30 DDEDLO Cc1ccccc1-c1nc(C[NH2+]CCNC(=O)C#CC2CC2)no1 ZINC001126886061 742427862 /nfs/dbraw/zinc/42/78/62/742427862.db2.gz OBGJQIUHDCQHPF-UHFFFAOYSA-N 1 2 324.384 1.664 20 30 DDEDLO C#CCCCCC(=O)NCC[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001076212473 742600187 /nfs/dbraw/zinc/60/01/87/742600187.db2.gz JRSPFARJGORWHM-HNNXBMFYSA-N 1 2 318.421 1.478 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3[nH]c(C)nc3C)[C@@H]2C1 ZINC001076256361 742626054 /nfs/dbraw/zinc/62/60/54/742626054.db2.gz XBHHMGFOVQXRJJ-QWHCGFSZSA-N 1 2 308.813 1.925 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3[nH]c(C)nc3C)[C@@H]2C1 ZINC001076256361 742626055 /nfs/dbraw/zinc/62/60/55/742626055.db2.gz XBHHMGFOVQXRJJ-QWHCGFSZSA-N 1 2 308.813 1.925 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001126921871 742626663 /nfs/dbraw/zinc/62/66/63/742626663.db2.gz ZCDDOGBPBVYBOT-UHFFFAOYSA-N 1 2 306.410 1.622 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2CCN(C(=O)c3cnon3)[C@@H]2C1 ZINC001076379804 742700337 /nfs/dbraw/zinc/70/03/37/742700337.db2.gz ZMFVSMFLFPRNDB-GOEBONIOSA-N 1 2 323.356 1.288 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnon3)[C@@H]2C1 ZINC001076379804 742700338 /nfs/dbraw/zinc/70/03/38/742700338.db2.gz ZMFVSMFLFPRNDB-GOEBONIOSA-N 1 2 323.356 1.288 20 30 DDEDLO O=C(C[C@H]1C=CCC1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076721641 742941918 /nfs/dbraw/zinc/94/19/18/742941918.db2.gz BDBLPVVTGPGSCO-IPMKNSEASA-N 1 2 324.424 1.556 20 30 DDEDLO O=C(C[C@H]1C=CCC1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076721641 742941922 /nfs/dbraw/zinc/94/19/22/742941922.db2.gz BDBLPVVTGPGSCO-IPMKNSEASA-N 1 2 324.424 1.556 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)N(CC(C)C)C2)C1 ZINC001042835014 743014792 /nfs/dbraw/zinc/01/47/92/743014792.db2.gz KCCGOIBRFIZZMC-AWEZNQCLSA-N 1 2 307.438 1.210 20 30 DDEDLO C=C1CCC(C(=O)N2CC(NC(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC000998389598 751787779 /nfs/dbraw/zinc/78/77/79/751787779.db2.gz PVTWSNAVCOHGDP-UHFFFAOYSA-N 1 2 302.378 1.026 20 30 DDEDLO CCc1nnc([C@H](C)[NH2+][C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001181107549 743061189 /nfs/dbraw/zinc/06/11/89/743061189.db2.gz YZOUCNIXHIBECI-WDEREUQCSA-N 1 2 323.422 1.140 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cnnn1CC)CC2 ZINC001035702635 751790033 /nfs/dbraw/zinc/79/00/33/751790033.db2.gz XSFXWISELCSRTO-UHFFFAOYSA-N 1 2 323.828 1.589 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(C(C)C)no2)C1 ZINC001181600103 743261173 /nfs/dbraw/zinc/26/11/73/743261173.db2.gz VQWAIQGKEWFMGU-QWHCGFSZSA-N 1 2 322.409 1.647 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC001182220576 743533393 /nfs/dbraw/zinc/53/33/93/743533393.db2.gz LKVPIBKECIBAPY-CYBMUJFWSA-N 1 2 318.421 1.861 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CO[C@H](C)CC)CC2)C1 ZINC001105703183 743591533 /nfs/dbraw/zinc/59/15/33/743591533.db2.gz QDVBDBJPMHCVOX-CQSZACIVSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCc1cnn2c1C[N@H+](CCC)CC2 ZINC001128327532 743599869 /nfs/dbraw/zinc/59/98/69/743599869.db2.gz RCNYRKLGAJBVIG-MRXNPFEDSA-N 1 2 306.410 1.052 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCc1cnn2c1C[N@@H+](CCC)CC2 ZINC001128327532 743599878 /nfs/dbraw/zinc/59/98/78/743599878.db2.gz RCNYRKLGAJBVIG-MRXNPFEDSA-N 1 2 306.410 1.052 20 30 DDEDLO N#Cc1cccc2[nH]cc(CC(=O)N[C@@H](CO)Cc3c[nH]c[nH+]3)c21 ZINC001182582759 743669904 /nfs/dbraw/zinc/66/99/04/743669904.db2.gz GUYPYFMBQVSMJF-CQSZACIVSA-N 1 2 323.356 1.025 20 30 DDEDLO N#Cc1cccc2[nH]cc(CC(=O)N[C@@H](CO)Cc3c[nH+]c[nH]3)c21 ZINC001182582759 743669906 /nfs/dbraw/zinc/66/99/06/743669906.db2.gz GUYPYFMBQVSMJF-CQSZACIVSA-N 1 2 323.356 1.025 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2coc3cc(C)c(C)cc23)[C@@H](O)C1 ZINC001083670949 743714840 /nfs/dbraw/zinc/71/48/40/743714840.db2.gz UGBZWDKZSMORSD-CVEARBPZSA-N 1 2 312.369 1.458 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2coc3cc(C)c(C)cc23)[C@@H](O)C1 ZINC001083670949 743714841 /nfs/dbraw/zinc/71/48/41/743714841.db2.gz UGBZWDKZSMORSD-CVEARBPZSA-N 1 2 312.369 1.458 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCC(=O)OCc2ccccc2)CC1 ZINC001182775869 743723087 /nfs/dbraw/zinc/72/30/87/743723087.db2.gz RFNWNGCWDMOMCD-UHFFFAOYSA-N 1 2 316.401 1.840 20 30 DDEDLO C=CCCC(=O)NC[C@H](O)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001105739056 743771351 /nfs/dbraw/zinc/77/13/51/743771351.db2.gz FSGBNOJLXOCJKR-CYBMUJFWSA-N 1 2 304.394 1.518 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ncn3cc(Cl)ccc23)C1 ZINC001030337496 744059132 /nfs/dbraw/zinc/05/91/32/744059132.db2.gz UMXDADNZINAORX-UHFFFAOYSA-N 1 2 304.781 1.978 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2C[C@H]2c2ccc(F)cc2F)C1 ZINC001030722826 744531811 /nfs/dbraw/zinc/53/18/11/744531811.db2.gz RZDOHKHTLPACNG-LSDHHAIUSA-N 1 2 304.340 1.892 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001187755041 744604920 /nfs/dbraw/zinc/60/49/20/744604920.db2.gz IQXAZRWQSJUYKC-WOSRLPQWSA-N 1 2 321.446 1.503 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001187755041 744604923 /nfs/dbraw/zinc/60/49/23/744604923.db2.gz IQXAZRWQSJUYKC-WOSRLPQWSA-N 1 2 321.446 1.503 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CN(Cc3cc(OC)cc[nH+]3)C2)cc1 ZINC001030776576 744609661 /nfs/dbraw/zinc/60/96/61/744609661.db2.gz PWPFMRPJXGFBSD-UHFFFAOYSA-N 1 2 321.380 1.686 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](CCc3ccnn3C)C2)cc1 ZINC001030777341 744612351 /nfs/dbraw/zinc/61/23/51/744612351.db2.gz UTIMSLMTRRMROX-UHFFFAOYSA-N 1 2 308.385 1.058 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(NC(=O)Cc3nnc[nH]3)CCC[C@@H]12 ZINC000992399598 744855014 /nfs/dbraw/zinc/85/50/14/744855014.db2.gz CLJBYIIZRVMDSV-BXUZGUMPSA-N 1 2 309.801 1.213 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cnc3ccsc3c2)[C@@H](O)C1 ZINC001083697607 744882734 /nfs/dbraw/zinc/88/27/34/744882734.db2.gz FPANACMUVQTDKR-KGLIPLIRSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cnc3ccsc3c2)[C@@H](O)C1 ZINC001083697607 744882739 /nfs/dbraw/zinc/88/27/39/744882739.db2.gz FPANACMUVQTDKR-KGLIPLIRSA-N 1 2 315.398 1.095 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1C[NH+](Cc2ccc(C)cc2)C1 ZINC001030973409 744999571 /nfs/dbraw/zinc/99/95/71/744999571.db2.gz QCYZIFFZIRARKB-SJORKVTESA-N 1 2 300.402 1.887 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2nc(C)no2)C1 ZINC001189925590 745037225 /nfs/dbraw/zinc/03/72/25/745037225.db2.gz CJXRBSBMMHWBJZ-OCCSQVGLSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2nc(C)no2)C1 ZINC001189925590 745037229 /nfs/dbraw/zinc/03/72/29/745037229.db2.gz CJXRBSBMMHWBJZ-OCCSQVGLSA-N 1 2 304.394 1.775 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2ncccn2)C1 ZINC001190053483 745092260 /nfs/dbraw/zinc/09/22/60/745092260.db2.gz JDSHMNZLCJTTHX-CABCVRRESA-N 1 2 300.406 1.730 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2ncccn2)C1 ZINC001190053483 745092261 /nfs/dbraw/zinc/09/22/61/745092261.db2.gz JDSHMNZLCJTTHX-CABCVRRESA-N 1 2 300.406 1.730 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001190441164 745220538 /nfs/dbraw/zinc/22/05/38/745220538.db2.gz OPOXHJOWOKBRDX-QLFBSQMISA-N 1 2 318.421 1.044 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001190441164 745220540 /nfs/dbraw/zinc/22/05/40/745220540.db2.gz OPOXHJOWOKBRDX-QLFBSQMISA-N 1 2 318.421 1.044 20 30 DDEDLO N#Cc1ccc(F)c(S(=O)(=O)N2CCn3c[nH+]cc3C2)c1 ZINC001190636593 745281659 /nfs/dbraw/zinc/28/16/59/745281659.db2.gz OLKAVDOCHIUJMJ-UHFFFAOYSA-N 1 2 306.322 1.098 20 30 DDEDLO CC(C)(O)C#Cc1ccc(C(=O)N2CCc3[nH+]ccn3CC2)cc1 ZINC001191168011 745440613 /nfs/dbraw/zinc/44/06/13/745440613.db2.gz NLLOUPVFUJRUFZ-UHFFFAOYSA-N 1 2 323.396 1.704 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cnn(CC3CCCC3)c2)C1 ZINC001031160780 745547374 /nfs/dbraw/zinc/54/73/74/745547374.db2.gz XGCKYCLSOYWPNP-UHFFFAOYSA-N 1 2 300.406 1.511 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H](C)CCNc2cc[nH+]c(C)n2)c1 ZINC001106576046 745806562 /nfs/dbraw/zinc/80/65/62/745806562.db2.gz MAENJMANVJAKSH-GFCCVEGCSA-N 1 2 309.373 1.782 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2ccnn2C)[C@H]1C ZINC000993252915 745926829 /nfs/dbraw/zinc/92/68/29/745926829.db2.gz CQJGBGCOGGAHLG-TZMCWYRMSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2ccnn2C)[C@H]1C ZINC000993252915 745926833 /nfs/dbraw/zinc/92/68/33/745926833.db2.gz CQJGBGCOGGAHLG-TZMCWYRMSA-N 1 2 310.829 1.684 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001193320763 746062276 /nfs/dbraw/zinc/06/22/76/746062276.db2.gz YQSRHTXRRDKOQA-ZIAGYGMSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@H]1O ZINC001193320763 746062281 /nfs/dbraw/zinc/06/22/81/746062281.db2.gz YQSRHTXRRDKOQA-ZIAGYGMSSA-N 1 2 323.462 1.715 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](Cc3cccc(C)c3)C2)nc1 ZINC001031277337 746117250 /nfs/dbraw/zinc/11/72/50/746117250.db2.gz DIJYFAGVDREAOI-UHFFFAOYSA-N 1 2 305.381 1.986 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001194382103 746362516 /nfs/dbraw/zinc/36/25/16/746362516.db2.gz UQFXMVKSROJTIL-HNNXBMFYSA-N 1 2 321.421 1.917 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001194382103 746362520 /nfs/dbraw/zinc/36/25/20/746362520.db2.gz UQFXMVKSROJTIL-HNNXBMFYSA-N 1 2 321.421 1.917 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)N(C)CC2CC2)CC1 ZINC001195192109 746541691 /nfs/dbraw/zinc/54/16/91/746541691.db2.gz SFLQTVFDFAPYIH-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)N(C)CC2CC2)CC1 ZINC001195192109 746541694 /nfs/dbraw/zinc/54/16/94/746541694.db2.gz SFLQTVFDFAPYIH-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO COC[C@H](C)C(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC001195241058 746553390 /nfs/dbraw/zinc/55/33/90/746553390.db2.gz ZMAWLXBJFWOFLG-HNNXBMFYSA-N 1 2 315.417 1.875 20 30 DDEDLO COC[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC001195241058 746553392 /nfs/dbraw/zinc/55/33/92/746553392.db2.gz ZMAWLXBJFWOFLG-HNNXBMFYSA-N 1 2 315.417 1.875 20 30 DDEDLO CC(C)CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195321249 746563890 /nfs/dbraw/zinc/56/38/90/746563890.db2.gz GHZKFXFWSIXRDD-LSDHHAIUSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195321249 746563895 /nfs/dbraw/zinc/56/38/95/746563895.db2.gz GHZKFXFWSIXRDD-LSDHHAIUSA-N 1 2 322.453 1.231 20 30 DDEDLO C=C(C)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001007337673 752102834 /nfs/dbraw/zinc/10/28/34/752102834.db2.gz JSEUDOQRJUZJOJ-KBPBESRZSA-N 1 2 302.422 1.986 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001007337673 752102839 /nfs/dbraw/zinc/10/28/39/752102839.db2.gz JSEUDOQRJUZJOJ-KBPBESRZSA-N 1 2 302.422 1.986 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)CCCC)CC1 ZINC001195716384 746684008 /nfs/dbraw/zinc/68/40/08/746684008.db2.gz BCQVPEMESVHFID-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)CCCC)CC1 ZINC001195716384 746684009 /nfs/dbraw/zinc/68/40/09/746684009.db2.gz BCQVPEMESVHFID-HNNXBMFYSA-N 1 2 309.454 1.649 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@@H+](Cc2nc(C)cs2)CC1 ZINC001195823814 746717248 /nfs/dbraw/zinc/71/72/48/746717248.db2.gz BNNMFVRBTBGYAV-UHFFFAOYSA-N 1 2 321.446 1.526 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@H+](Cc2nc(C)cs2)CC1 ZINC001195823814 746717251 /nfs/dbraw/zinc/71/72/51/746717251.db2.gz BNNMFVRBTBGYAV-UHFFFAOYSA-N 1 2 321.446 1.526 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1O ZINC001195924394 746738403 /nfs/dbraw/zinc/73/84/03/746738403.db2.gz VEIRKCCAFDGIOE-IIAWOOMASA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1O ZINC001195924394 746738406 /nfs/dbraw/zinc/73/84/06/746738406.db2.gz VEIRKCCAFDGIOE-IIAWOOMASA-N 1 2 307.394 1.247 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2scnc2C)C[C@H]1NC(=O)C#CC(C)C ZINC001212233552 746876786 /nfs/dbraw/zinc/87/67/86/746876786.db2.gz BUNUWAAPLMCKCS-ZIAGYGMSSA-N 1 2 321.446 1.426 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2scnc2C)C[C@H]1NC(=O)C#CC(C)C ZINC001212233552 746876790 /nfs/dbraw/zinc/87/67/90/746876790.db2.gz BUNUWAAPLMCKCS-ZIAGYGMSSA-N 1 2 321.446 1.426 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001196609322 746910790 /nfs/dbraw/zinc/91/07/90/746910790.db2.gz XTFAERCETVMPEB-BRWVUGGUSA-N 1 2 306.450 1.778 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001196609322 746910792 /nfs/dbraw/zinc/91/07/92/746910792.db2.gz XTFAERCETVMPEB-BRWVUGGUSA-N 1 2 306.450 1.778 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CCC)CC2CCCC2)C1 ZINC001196616530 746916846 /nfs/dbraw/zinc/91/68/46/746916846.db2.gz JLHZWYUZUPEQLJ-BRWVUGGUSA-N 1 2 306.450 1.778 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CCC)CC2CCCC2)C1 ZINC001196616530 746916850 /nfs/dbraw/zinc/91/68/50/746916850.db2.gz JLHZWYUZUPEQLJ-BRWVUGGUSA-N 1 2 306.450 1.778 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](Cc2cnnn2C)CC1 ZINC001196846420 746978257 /nfs/dbraw/zinc/97/82/57/746978257.db2.gz FGSPMPKDEYAUHQ-UHFFFAOYSA-N 1 2 303.410 1.043 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](Cc2cnnn2C)CC1 ZINC001196846420 746978263 /nfs/dbraw/zinc/97/82/63/746978263.db2.gz FGSPMPKDEYAUHQ-UHFFFAOYSA-N 1 2 303.410 1.043 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)CC)CC1 ZINC001196846829 746979768 /nfs/dbraw/zinc/97/97/68/746979768.db2.gz KPWLVAYQPSYWPF-INIZCTEOSA-N 1 2 321.465 1.629 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)CC)CC1 ZINC001196846829 746979771 /nfs/dbraw/zinc/97/97/71/746979771.db2.gz KPWLVAYQPSYWPF-INIZCTEOSA-N 1 2 321.465 1.629 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@]2(C)CCC[C@@H]2C)CC1 ZINC001197061694 747051747 /nfs/dbraw/zinc/05/17/47/747051747.db2.gz FOLOVBHTOIVIJR-YJBOKZPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@]2(C)CCC[C@@H]2C)CC1 ZINC001197061694 747051755 /nfs/dbraw/zinc/05/17/55/747051755.db2.gz FOLOVBHTOIVIJR-YJBOKZPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC(C)(C)C(=O)NCc1cnn2c1C[N@H+](C[C@H](C)OC)CC2 ZINC001128453630 747160573 /nfs/dbraw/zinc/16/05/73/747160573.db2.gz ILYROFGXGKTISK-ZDUSSCGKSA-N 1 2 320.437 1.562 20 30 DDEDLO C=CC(C)(C)C(=O)NCc1cnn2c1C[N@@H+](C[C@H](C)OC)CC2 ZINC001128453630 747160579 /nfs/dbraw/zinc/16/05/79/747160579.db2.gz ILYROFGXGKTISK-ZDUSSCGKSA-N 1 2 320.437 1.562 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CN(c2cc[nH+]c(C)n2)CCCO1 ZINC001089550320 747200673 /nfs/dbraw/zinc/20/06/73/747200673.db2.gz MHYZRPZAZSBFOL-AWEZNQCLSA-N 1 2 318.421 1.709 20 30 DDEDLO CN(c1ccc(C#N)cn1)[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001061272010 747259414 /nfs/dbraw/zinc/25/94/14/747259414.db2.gz UYUMRQFEWQYTGW-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2cc(C)no2)CC1 ZINC001198316241 747449376 /nfs/dbraw/zinc/44/93/76/747449376.db2.gz XKGULTIHYYEIBS-UHFFFAOYSA-N 1 2 307.394 1.610 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2cc(C)no2)CC1 ZINC001198316241 747449377 /nfs/dbraw/zinc/44/93/77/747449377.db2.gz XKGULTIHYYEIBS-UHFFFAOYSA-N 1 2 307.394 1.610 20 30 DDEDLO C=CCn1cccc1C(=O)NCC1C[NH+](Cc2cc(C)no2)C1 ZINC001031731027 747589711 /nfs/dbraw/zinc/58/97/11/747589711.db2.gz HMAADFKIPMGVHW-UHFFFAOYSA-N 1 2 314.389 1.832 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](c2ccc(F)cc2)C(C)C)C1 ZINC001199245933 747759643 /nfs/dbraw/zinc/75/96/43/747759643.db2.gz PGANYDYYGGVXPC-BRWVUGGUSA-N 1 2 318.392 1.360 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](c2ccc(F)cc2)C(C)C)C1 ZINC001199245933 747759647 /nfs/dbraw/zinc/75/96/47/747759647.db2.gz PGANYDYYGGVXPC-BRWVUGGUSA-N 1 2 318.392 1.360 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC000998756026 752208716 /nfs/dbraw/zinc/20/87/16/752208716.db2.gz SYMWHBBGFAVVDF-AAEUAGOBSA-N 1 2 317.393 1.577 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1ccsn1 ZINC001031759998 747764582 /nfs/dbraw/zinc/76/45/82/747764582.db2.gz RCZWVGUFVDCRBW-UHFFFAOYSA-N 1 2 311.410 1.856 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001212325371 747867114 /nfs/dbraw/zinc/86/71/14/747867114.db2.gz VDLNMSCKZANXKZ-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001212325371 747867122 /nfs/dbraw/zinc/86/71/22/747867122.db2.gz VDLNMSCKZANXKZ-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO N#Cc1ccc(N2CC=C(CNC(=O)Cc3[nH]cc[nH+]3)CC2)nc1 ZINC001127677687 748126474 /nfs/dbraw/zinc/12/64/74/748126474.db2.gz ASNKGXLOZJZEMZ-UHFFFAOYSA-N 1 2 322.372 1.172 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2scnc2C)[C@@H](O)C1 ZINC001090092717 748222981 /nfs/dbraw/zinc/22/29/81/748222981.db2.gz GKQMFQBGMAZUBU-MNOVXSKESA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2scnc2C)[C@@H](O)C1 ZINC001090092717 748222985 /nfs/dbraw/zinc/22/29/85/748222985.db2.gz GKQMFQBGMAZUBU-MNOVXSKESA-N 1 2 315.826 1.369 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2cnns2)CC1 ZINC001004348924 748340149 /nfs/dbraw/zinc/34/01/49/748340149.db2.gz GSXNMNVWDANXOX-LBPRGKRZSA-N 1 2 305.407 1.378 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2cnns2)CC1 ZINC001004348924 748340156 /nfs/dbraw/zinc/34/01/56/748340156.db2.gz GSXNMNVWDANXOX-LBPRGKRZSA-N 1 2 305.407 1.378 20 30 DDEDLO Cn1cc(CC(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)cn1 ZINC001004373512 748380220 /nfs/dbraw/zinc/38/02/20/748380220.db2.gz WTFRPNZYSAKESI-MRXNPFEDSA-N 1 2 315.421 1.189 20 30 DDEDLO Cn1cc(CC(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)cn1 ZINC001004373512 748380226 /nfs/dbraw/zinc/38/02/26/748380226.db2.gz WTFRPNZYSAKESI-MRXNPFEDSA-N 1 2 315.421 1.189 20 30 DDEDLO Cn1cnc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)c1 ZINC001004417244 748421467 /nfs/dbraw/zinc/42/14/67/748421467.db2.gz DHKDVJPSMWLRHP-HNNXBMFYSA-N 1 2 301.394 1.260 20 30 DDEDLO Cn1cnc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)c1 ZINC001004417244 748421472 /nfs/dbraw/zinc/42/14/72/748421472.db2.gz DHKDVJPSMWLRHP-HNNXBMFYSA-N 1 2 301.394 1.260 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cncc(CC)c2)C1 ZINC001108074546 748459460 /nfs/dbraw/zinc/45/94/60/748459460.db2.gz MJIHOPHGLISBOO-GOSISDBHSA-N 1 2 315.417 1.488 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cncc(CC)c2)C1 ZINC001108074546 748459462 /nfs/dbraw/zinc/45/94/62/748459462.db2.gz MJIHOPHGLISBOO-GOSISDBHSA-N 1 2 315.417 1.488 20 30 DDEDLO CCn1ccc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)n1 ZINC001032028529 748461143 /nfs/dbraw/zinc/46/11/43/748461143.db2.gz PHSUHWJXKUHBID-UHFFFAOYSA-N 1 2 323.400 1.636 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc(C(CC)CC)no2)[C@@H](O)C1 ZINC001083839772 748467213 /nfs/dbraw/zinc/46/72/13/748467213.db2.gz PNCIAGGMGKYPDJ-KGLIPLIRSA-N 1 2 307.394 1.539 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(CC)CC)no2)[C@@H](O)C1 ZINC001083839772 748467216 /nfs/dbraw/zinc/46/72/16/748467216.db2.gz PNCIAGGMGKYPDJ-KGLIPLIRSA-N 1 2 307.394 1.539 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ncccn3)C2)s1 ZINC001032065301 748547923 /nfs/dbraw/zinc/54/79/23/748547923.db2.gz YAGPYLUBTMSKLI-UHFFFAOYSA-N 1 2 313.386 1.272 20 30 DDEDLO CCn1ccc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004569651 748556185 /nfs/dbraw/zinc/55/61/85/748556185.db2.gz QGWZICRSIISOIQ-MRXNPFEDSA-N 1 2 315.421 1.743 20 30 DDEDLO CCn1ccc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004569651 748556188 /nfs/dbraw/zinc/55/61/88/748556188.db2.gz QGWZICRSIISOIQ-MRXNPFEDSA-N 1 2 315.421 1.743 20 30 DDEDLO CCn1ccc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004569649 748556239 /nfs/dbraw/zinc/55/62/39/748556239.db2.gz QGWZICRSIISOIQ-INIZCTEOSA-N 1 2 315.421 1.743 20 30 DDEDLO CCn1ccc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004569649 748556245 /nfs/dbraw/zinc/55/62/45/748556245.db2.gz QGWZICRSIISOIQ-INIZCTEOSA-N 1 2 315.421 1.743 20 30 DDEDLO C=C(C)C[NH+]1CC(CNC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001032100840 748638395 /nfs/dbraw/zinc/63/83/95/748638395.db2.gz OBWATPSLHIEKDA-UHFFFAOYSA-N 1 2 311.389 1.709 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cnc(C)nc3)C2)cn1 ZINC001032138208 748731109 /nfs/dbraw/zinc/73/11/09/748731109.db2.gz QIGVTGIGTPSXOK-UHFFFAOYSA-N 1 2 321.384 1.023 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncs2)[C@@H](O)C1 ZINC001090113974 748836045 /nfs/dbraw/zinc/83/60/45/748836045.db2.gz NFHWSUZTDNLUAK-UWVGGRQHSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncs2)[C@@H](O)C1 ZINC001090113974 748836050 /nfs/dbraw/zinc/83/60/50/748836050.db2.gz NFHWSUZTDNLUAK-UWVGGRQHSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnc3n[nH]cc3c2)C1 ZINC001033188797 748848036 /nfs/dbraw/zinc/84/80/36/748848036.db2.gz KZSOHNWMGKELEA-ZDUSSCGKSA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnc3n[nH]cc3c2)C1 ZINC001033188797 748848038 /nfs/dbraw/zinc/84/80/38/748848038.db2.gz KZSOHNWMGKELEA-ZDUSSCGKSA-N 1 2 319.796 1.857 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCCc2cccnc2)C1 ZINC001108310281 761902355 /nfs/dbraw/zinc/90/23/55/761902355.db2.gz KTTGRULEYMMKJE-GOSISDBHSA-N 1 2 317.433 1.797 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCCc2cccnc2)C1 ZINC001108310281 761902359 /nfs/dbraw/zinc/90/23/59/761902359.db2.gz KTTGRULEYMMKJE-GOSISDBHSA-N 1 2 317.433 1.797 20 30 DDEDLO Cc1nc(NC/C=C/CNC(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001107079941 748954369 /nfs/dbraw/zinc/95/43/69/748954369.db2.gz FLBMCDVXHOEATO-FYJFLYSWSA-N 1 2 313.405 1.908 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001056872044 761907147 /nfs/dbraw/zinc/90/71/47/761907147.db2.gz VUTBHRXHPWJBHD-HZMBPMFUSA-N 1 2 301.394 1.599 20 30 DDEDLO C[C@@]1(NC(=O)CCc2[nH]cc[nH+]2)CCN(c2ncccc2C#N)C1 ZINC001110752083 748981651 /nfs/dbraw/zinc/98/16/51/748981651.db2.gz OITLVQCIDLQMJX-QGZVFWFLSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001125255416 749081795 /nfs/dbraw/zinc/08/17/95/749081795.db2.gz FPEOUJLRLHIOCH-UHFFFAOYSA-N 1 2 324.425 1.556 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114340303 749089676 /nfs/dbraw/zinc/08/96/76/749089676.db2.gz DPHNZIMCDUONLU-RMRHIDDWSA-N 1 2 317.437 1.525 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114340303 749089683 /nfs/dbraw/zinc/08/96/83/749089683.db2.gz DPHNZIMCDUONLU-RMRHIDDWSA-N 1 2 317.437 1.525 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccn4C)C[C@H]32)CCC1 ZINC001114395409 749136488 /nfs/dbraw/zinc/13/64/88/749136488.db2.gz SITUMROCLDBZSO-FOLVSLTJSA-N 1 2 312.417 1.160 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccn4C)C[C@H]32)CCC1 ZINC001114395409 749136490 /nfs/dbraw/zinc/13/64/90/749136490.db2.gz SITUMROCLDBZSO-FOLVSLTJSA-N 1 2 312.417 1.160 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CCC(C)C)nn2)C1 ZINC001107124976 749172046 /nfs/dbraw/zinc/17/20/46/749172046.db2.gz DCJCBQVLBFFXIH-UHFFFAOYSA-N 1 2 303.410 1.211 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115251065 749197444 /nfs/dbraw/zinc/19/74/44/749197444.db2.gz LWPOPQHMFKTGAR-KGLIPLIRSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)Cc3ccc[nH]3)c2C1 ZINC001128599993 749221008 /nfs/dbraw/zinc/22/10/08/749221008.db2.gz WLULPRFAZPXGTQ-UHFFFAOYSA-N 1 2 313.405 1.462 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)Cc3ccc[nH]3)c2C1 ZINC001128599993 749221011 /nfs/dbraw/zinc/22/10/11/749221011.db2.gz WLULPRFAZPXGTQ-UHFFFAOYSA-N 1 2 313.405 1.462 20 30 DDEDLO Cc1nnc(C[NH2+][C@@H]2CN(C(=O)C#CC3CC3)CC2(C)C)o1 ZINC000995798324 749232137 /nfs/dbraw/zinc/23/21/37/749232137.db2.gz NGOLURBLJOBETD-CYBMUJFWSA-N 1 2 302.378 1.118 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114456743 749239065 /nfs/dbraw/zinc/23/90/65/749239065.db2.gz ASMZJLRNJHARPE-JSGCOSHPSA-N 1 2 318.421 1.707 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@@H]2CN(C(=O)C#CC3CC3)CC2(C)C)o1 ZINC000995816765 749259394 /nfs/dbraw/zinc/25/93/94/749259394.db2.gz UKEFQXGMMZYAEA-BXUZGUMPSA-N 1 2 316.405 1.679 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C[C@H](C)CCC)nn2)C1 ZINC001107144608 749394362 /nfs/dbraw/zinc/39/43/62/749394362.db2.gz XFFQLQZCRHYROW-CQSZACIVSA-N 1 2 317.437 1.601 20 30 DDEDLO Cc1cccn2cc(CC(=O)N(C)[C@@H]3CCN(CC#N)C3)[nH+]c12 ZINC001033500228 749443115 /nfs/dbraw/zinc/44/31/15/749443115.db2.gz GLQYZBCDPMVEQW-OAHLLOKOSA-N 1 2 311.389 1.242 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C[C@@H](C)SC)nn2)C1 ZINC001107160958 749457805 /nfs/dbraw/zinc/45/78/05/749457805.db2.gz RGORWZZNDQMEAQ-GFCCVEGCSA-N 1 2 323.466 1.469 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)CCCC)nn2)C1 ZINC001107167255 749473235 /nfs/dbraw/zinc/47/32/35/749473235.db2.gz NJTTYKPGZCYZDQ-AWEZNQCLSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)cn1 ZINC001039356719 761960751 /nfs/dbraw/zinc/96/07/51/761960751.db2.gz SMQURGYMLBKDOA-OLZOCXBDSA-N 1 2 308.813 1.852 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)cn1 ZINC001039356719 761960756 /nfs/dbraw/zinc/96/07/56/761960756.db2.gz SMQURGYMLBKDOA-OLZOCXBDSA-N 1 2 308.813 1.852 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)[C@H](C)C=C)nn2)C1 ZINC001107198418 749563759 /nfs/dbraw/zinc/56/37/59/749563759.db2.gz GPODLKOWDJXJIM-KGLIPLIRSA-N 1 2 315.421 1.233 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CC[C@H](C)CC)nn2)C1 ZINC001107221295 749636381 /nfs/dbraw/zinc/63/63/81/749636381.db2.gz RUILPWLCXJLWLP-CYBMUJFWSA-N 1 2 305.426 1.763 20 30 DDEDLO C=C(Cl)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1cc[nH+]c1)C2 ZINC001110875965 749799225 /nfs/dbraw/zinc/79/92/25/749799225.db2.gz USBUKQGRGCDNNE-MCIONIFRSA-N 1 2 308.813 1.747 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(CCOC)CCC2)C1 ZINC001108366528 761985030 /nfs/dbraw/zinc/98/50/30/761985030.db2.gz VUPWFCDCDHIQNQ-INIZCTEOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(CCOC)CCC2)C1 ZINC001108366528 761985034 /nfs/dbraw/zinc/98/50/34/761985034.db2.gz VUPWFCDCDHIQNQ-INIZCTEOSA-N 1 2 310.438 1.586 20 30 DDEDLO Cc1nsc(C)c1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)C#N ZINC001032316960 749925905 /nfs/dbraw/zinc/92/59/05/749925905.db2.gz LEHLFRCVKXUQFN-ICCXJUOJSA-N 1 2 304.419 1.705 20 30 DDEDLO Cc1nsc(C)c1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)C#N ZINC001032316960 749925908 /nfs/dbraw/zinc/92/59/08/749925908.db2.gz LEHLFRCVKXUQFN-ICCXJUOJSA-N 1 2 304.419 1.705 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCOc1ccccc1F ZINC001032318439 749948954 /nfs/dbraw/zinc/94/89/54/749948954.db2.gz NNISYEJIAKHAJC-RDBSUJKOSA-N 1 2 317.364 1.649 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCOc1ccccc1F ZINC001032318439 749948961 /nfs/dbraw/zinc/94/89/61/749948961.db2.gz NNISYEJIAKHAJC-RDBSUJKOSA-N 1 2 317.364 1.649 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccnc(N(C)C)c1 ZINC001032319491 749963001 /nfs/dbraw/zinc/96/30/01/749963001.db2.gz UPXSEVAPYZHDPK-QEJZJMRPSA-N 1 2 313.405 1.092 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccnc(N(C)C)c1 ZINC001032319491 749963005 /nfs/dbraw/zinc/96/30/05/749963005.db2.gz UPXSEVAPYZHDPK-QEJZJMRPSA-N 1 2 313.405 1.092 20 30 DDEDLO C=CC(C)(C)C(=O)NC/C=C\CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001107447327 749969633 /nfs/dbraw/zinc/96/96/33/749969633.db2.gz JVWOKXYFIKGMEP-HJWRWDBZSA-N 1 2 318.425 1.543 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(F)cc2)C1 ZINC001108375233 761996927 /nfs/dbraw/zinc/99/69/27/761996927.db2.gz UUWXLWNLYDUODO-SFHVURJKSA-N 1 2 318.392 1.599 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(F)cc2)C1 ZINC001108375233 761996930 /nfs/dbraw/zinc/99/69/30/761996930.db2.gz UUWXLWNLYDUODO-SFHVURJKSA-N 1 2 318.392 1.599 20 30 DDEDLO Cc1ccc(C#N)c(N(C)CCCNC(=O)Cn2cc[nH+]c2)n1 ZINC001095583039 750056684 /nfs/dbraw/zinc/05/66/84/750056684.db2.gz YKXPPYHPAGWLAL-UHFFFAOYSA-N 1 2 312.377 1.101 20 30 DDEDLO C=CCOCC(=O)NCC1(Nc2cc[nH+]c(C)n2)CCOCC1 ZINC001110978594 750274789 /nfs/dbraw/zinc/27/47/89/750274789.db2.gz RCOAQZYLYDDWBB-UHFFFAOYSA-N 1 2 320.393 1.065 20 30 DDEDLO C[C@@]1(CNC(=O)C(F)F)C[N@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107671369 750330978 /nfs/dbraw/zinc/33/09/78/750330978.db2.gz OHYRAKJZDAHJEG-MRXNPFEDSA-N 1 2 323.343 1.530 20 30 DDEDLO C[C@@]1(CNC(=O)C(F)F)C[N@@H+](Cc2cccc(C#N)c2)CCO1 ZINC001107671369 750330984 /nfs/dbraw/zinc/33/09/84/750330984.db2.gz OHYRAKJZDAHJEG-MRXNPFEDSA-N 1 2 323.343 1.530 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2CC(F)(F)C2)[C@H](O)C1 ZINC001090183907 750357952 /nfs/dbraw/zinc/35/79/52/750357952.db2.gz YXIOJRRPJNSWOK-GHMZBOCLSA-N 1 2 308.756 1.336 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2CC(F)(F)C2)[C@H](O)C1 ZINC001090183907 750357957 /nfs/dbraw/zinc/35/79/57/750357957.db2.gz YXIOJRRPJNSWOK-GHMZBOCLSA-N 1 2 308.756 1.336 20 30 DDEDLO C=C(C)C[N@@H+]1CCCC[C@@H](NC(=O)[C@H]2CCCS2(=O)=O)C1 ZINC001034534414 750530495 /nfs/dbraw/zinc/53/04/95/750530495.db2.gz JQEVMGLDHDGPGL-ZIAGYGMSSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CCCC[C@@H](NC(=O)[C@H]2CCCS2(=O)=O)C1 ZINC001034534414 750530499 /nfs/dbraw/zinc/53/04/99/750530499.db2.gz JQEVMGLDHDGPGL-ZIAGYGMSSA-N 1 2 314.451 1.110 20 30 DDEDLO CCN(CCCNC(=O)c1cc(C#N)c[nH]1)c1cc[nH+]c(C)n1 ZINC001095852776 750586119 /nfs/dbraw/zinc/58/61/19/750586119.db2.gz WDEYDFPTQYOXSM-UHFFFAOYSA-N 1 2 312.377 1.631 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccn2C(C)C)C1 ZINC001108402111 762056003 /nfs/dbraw/zinc/05/60/03/762056003.db2.gz YMBBRVJFMUQHIY-GOSISDBHSA-N 1 2 317.433 1.913 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccn2C(C)C)C1 ZINC001108402111 762056012 /nfs/dbraw/zinc/05/60/12/762056012.db2.gz YMBBRVJFMUQHIY-GOSISDBHSA-N 1 2 317.433 1.913 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(F)F)nc1 ZINC001032437413 750829926 /nfs/dbraw/zinc/82/99/26/750829926.db2.gz CMPLBVBYUKLBBX-STQMWFEESA-N 1 2 305.328 1.941 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(F)F)nc1 ZINC001032437413 750829934 /nfs/dbraw/zinc/82/99/34/750829934.db2.gz CMPLBVBYUKLBBX-STQMWFEESA-N 1 2 305.328 1.941 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2ccc(C)cc12 ZINC001032458120 750879916 /nfs/dbraw/zinc/87/99/16/750879916.db2.gz DOYLTDYVSCZWPE-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2ccc(C)cc12 ZINC001032458120 750879926 /nfs/dbraw/zinc/87/99/26/750879926.db2.gz DOYLTDYVSCZWPE-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@H]2CN(C(=O)C#CC(C)C)CCO2)cc[nH+]1 ZINC001114637360 750908773 /nfs/dbraw/zinc/90/87/73/750908773.db2.gz MWEOLZFHOPXKDM-DZGCQCFKSA-N 1 2 316.405 1.472 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CC(C)(C)CC)[C@@H](n2ccnn2)C1 ZINC001129014827 750913322 /nfs/dbraw/zinc/91/33/22/750913322.db2.gz ULMPAVNPFXCNPV-KGLIPLIRSA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CC(C)(C)CC)[C@@H](n2ccnn2)C1 ZINC001129014827 750913327 /nfs/dbraw/zinc/91/33/27/750913327.db2.gz ULMPAVNPFXCNPV-KGLIPLIRSA-N 1 2 303.410 1.079 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1cc(C)ccn1 ZINC001032473282 750955360 /nfs/dbraw/zinc/95/53/60/750955360.db2.gz JKTPMEZEHJMPFO-HOTGVXAUSA-N 1 2 311.429 1.976 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1cc(C)ccn1 ZINC001032473282 750955362 /nfs/dbraw/zinc/95/53/62/750955362.db2.gz JKTPMEZEHJMPFO-HOTGVXAUSA-N 1 2 311.429 1.976 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001032484898 751001383 /nfs/dbraw/zinc/00/13/83/751001383.db2.gz RJSSPFMSVOUERQ-IHRRRGAJSA-N 1 2 320.824 1.483 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114729821 751034902 /nfs/dbraw/zinc/03/49/02/751034902.db2.gz ZOSYFEGWDREZEQ-MKVSYHDVSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114729821 751034907 /nfs/dbraw/zinc/03/49/07/751034907.db2.gz ZOSYFEGWDREZEQ-MKVSYHDVSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114792003 751083780 /nfs/dbraw/zinc/08/37/80/751083780.db2.gz MUMFYDGQBRBPJO-YQYZPQCESA-N 1 2 314.433 1.934 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114792003 751083787 /nfs/dbraw/zinc/08/37/87/751083787.db2.gz MUMFYDGQBRBPJO-YQYZPQCESA-N 1 2 314.433 1.934 20 30 DDEDLO CCN(CCCNC(=O)Cn1cc[nH+]c1)c1ncccc1C#N ZINC001095892010 751095448 /nfs/dbraw/zinc/09/54/48/751095448.db2.gz HXAIKCWICGZXMX-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCOc2c(F)cccc21 ZINC001032511779 751139212 /nfs/dbraw/zinc/13/92/12/751139212.db2.gz BWURAXHXNUCOOF-YDHLFZDLSA-N 1 2 314.360 1.610 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCOc2c(F)cccc21 ZINC001032511779 751139214 /nfs/dbraw/zinc/13/92/14/751139214.db2.gz BWURAXHXNUCOOF-YDHLFZDLSA-N 1 2 314.360 1.610 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc(C)n2ccccc12 ZINC001032518307 751175137 /nfs/dbraw/zinc/17/51/37/751175137.db2.gz GRVXWEBBNXSPNB-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc(C)n2ccccc12 ZINC001032518307 751175142 /nfs/dbraw/zinc/17/51/42/751175142.db2.gz GRVXWEBBNXSPNB-GJZGRUSLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](OC)c1cccc(OC)c1 ZINC001032541726 751232864 /nfs/dbraw/zinc/23/28/64/751232864.db2.gz DCFBHPRYKKXTHQ-YQQAZPJKSA-N 1 2 314.385 1.301 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](OC)c1cccc(OC)c1 ZINC001032541726 751232866 /nfs/dbraw/zinc/23/28/66/751232866.db2.gz DCFBHPRYKKXTHQ-YQQAZPJKSA-N 1 2 314.385 1.301 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccccc1 ZINC001032545871 751256945 /nfs/dbraw/zinc/25/69/45/751256945.db2.gz JYNYZRBQJQBXLD-GJZGRUSLSA-N 1 2 306.369 1.609 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccccc1 ZINC001032545871 751256948 /nfs/dbraw/zinc/25/69/48/751256948.db2.gz JYNYZRBQJQBXLD-GJZGRUSLSA-N 1 2 306.369 1.609 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CC)c1c(C)noc1C ZINC001032684730 752719137 /nfs/dbraw/zinc/71/91/37/752719137.db2.gz SQDBSZHIOQTKMX-KKUMJFAQSA-N 1 2 301.390 1.703 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CC)c1c(C)noc1C ZINC001032684730 752719141 /nfs/dbraw/zinc/71/91/41/752719141.db2.gz SQDBSZHIOQTKMX-KKUMJFAQSA-N 1 2 301.390 1.703 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001062256181 752852512 /nfs/dbraw/zinc/85/25/12/752852512.db2.gz XXAGINBOQYXVMA-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001062256181 752852522 /nfs/dbraw/zinc/85/25/22/752852522.db2.gz XXAGINBOQYXVMA-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-n2ccnc2)ccn1 ZINC001032713839 752861197 /nfs/dbraw/zinc/86/11/97/752861197.db2.gz UWHXTUKKKDHGOH-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-n2ccnc2)ccn1 ZINC001032713839 752861203 /nfs/dbraw/zinc/86/12/03/752861203.db2.gz UWHXTUKKKDHGOH-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2sc(N(C)C)nc2C)C1 ZINC001008773206 752911383 /nfs/dbraw/zinc/91/13/83/752911383.db2.gz KDBQIEVDJUFKFP-LBPRGKRZSA-N 1 2 306.435 1.345 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2sc(N(C)C)nc2C)C1 ZINC001008773206 752911388 /nfs/dbraw/zinc/91/13/88/752911388.db2.gz KDBQIEVDJUFKFP-LBPRGKRZSA-N 1 2 306.435 1.345 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3cnc(C)o3)C2)cn1 ZINC001009277366 753138779 /nfs/dbraw/zinc/13/87/79/753138779.db2.gz PWOMVEXJBXNFSN-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3cnc(C)o3)C2)cn1 ZINC001009277366 753138783 /nfs/dbraw/zinc/13/87/83/753138783.db2.gz PWOMVEXJBXNFSN-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)Cn2cc[nH+]c2)CN1c1ncccc1C#N ZINC001039758669 762204493 /nfs/dbraw/zinc/20/44/93/762204493.db2.gz YRFXKUYYURAYCI-HIFRSBDPSA-N 1 2 324.388 1.323 20 30 DDEDLO N#Cc1cccnc1NCC1(CCNC(=O)Cn2cc[nH+]c2)CC1 ZINC001089945144 753352397 /nfs/dbraw/zinc/35/23/97/753352397.db2.gz WLSYAPRTRCGKOB-UHFFFAOYSA-N 1 2 324.388 1.548 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2ncon2)cc1 ZINC001032740137 753412451 /nfs/dbraw/zinc/41/24/51/753412451.db2.gz FWHIOFYZWLNGNC-GJZGRUSLSA-N 1 2 308.341 1.269 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2ncon2)cc1 ZINC001032740137 753412452 /nfs/dbraw/zinc/41/24/52/753412452.db2.gz FWHIOFYZWLNGNC-GJZGRUSLSA-N 1 2 308.341 1.269 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001077926845 753434950 /nfs/dbraw/zinc/43/49/50/753434950.db2.gz FLPFRGFATMQUJN-JSGCOSHPSA-N 1 2 320.437 1.874 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Br)o1 ZINC001032749513 753460319 /nfs/dbraw/zinc/46/03/19/753460319.db2.gz KAOPGBWJOIKNPP-QWRGUYRKSA-N 1 2 323.190 1.964 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Br)o1 ZINC001032749513 753460322 /nfs/dbraw/zinc/46/03/22/753460322.db2.gz KAOPGBWJOIKNPP-QWRGUYRKSA-N 1 2 323.190 1.964 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2snnc2C2CC2)C1 ZINC001108007526 753490677 /nfs/dbraw/zinc/49/06/77/753490677.db2.gz ZEFKQQIRKMKOTG-OAHLLOKOSA-N 1 2 322.434 1.422 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2snnc2C2CC2)C1 ZINC001108007526 753490682 /nfs/dbraw/zinc/49/06/82/753490682.db2.gz ZEFKQQIRKMKOTG-OAHLLOKOSA-N 1 2 322.434 1.422 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)cc2Cl)[C@@H](O)C1 ZINC001083797307 753562812 /nfs/dbraw/zinc/56/28/12/753562812.db2.gz WXXHFGRYGSQTGA-CABCVRRESA-N 1 2 306.793 1.447 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)cc2Cl)[C@@H](O)C1 ZINC001083797307 753562816 /nfs/dbraw/zinc/56/28/16/753562816.db2.gz WXXHFGRYGSQTGA-CABCVRRESA-N 1 2 306.793 1.447 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(-c2ccccc2)n1 ZINC001032775949 753590113 /nfs/dbraw/zinc/59/01/13/753590113.db2.gz ZSONKPJLUAWUKH-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(-c2ccccc2)n1 ZINC001032775949 753590114 /nfs/dbraw/zinc/59/01/14/753590114.db2.gz ZSONKPJLUAWUKH-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)C2CCOCC2)C1 ZINC001108446897 762233115 /nfs/dbraw/zinc/23/31/15/762233115.db2.gz MTGHZUYVIZIFEI-YJBOKZPZSA-N 1 2 322.449 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)C2CCOCC2)C1 ZINC001108446897 762233120 /nfs/dbraw/zinc/23/31/20/762233120.db2.gz MTGHZUYVIZIFEI-YJBOKZPZSA-N 1 2 322.449 1.280 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(F)(F)F)cc2)C1 ZINC001078058897 753690949 /nfs/dbraw/zinc/69/09/49/753690949.db2.gz MBWIDJQDRCLWOZ-CHWSQXEVSA-N 1 2 312.291 1.114 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(F)(F)F)cc2)C1 ZINC001078058897 753690954 /nfs/dbraw/zinc/69/09/54/753690954.db2.gz MBWIDJQDRCLWOZ-CHWSQXEVSA-N 1 2 312.291 1.114 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129289233 753757372 /nfs/dbraw/zinc/75/73/72/753757372.db2.gz SRTZQOTYYWZJEE-CABCVRRESA-N 1 2 315.421 1.245 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129289233 753757377 /nfs/dbraw/zinc/75/73/77/753757377.db2.gz SRTZQOTYYWZJEE-CABCVRRESA-N 1 2 315.421 1.245 20 30 DDEDLO Cc1ocnc1C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001010346733 753791654 /nfs/dbraw/zinc/79/16/54/753791654.db2.gz CQWUFDLUZIWZBY-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1ocnc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001010346733 753791661 /nfs/dbraw/zinc/79/16/61/753791661.db2.gz CQWUFDLUZIWZBY-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)[C@@H]3CCOC3)C2)c(F)c1 ZINC001010360626 753808865 /nfs/dbraw/zinc/80/88/65/753808865.db2.gz QHXSBNFXKAULCS-CABCVRRESA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)[C@@H]3CCOC3)C2)c(F)c1 ZINC001010360626 753808869 /nfs/dbraw/zinc/80/88/69/753808869.db2.gz QHXSBNFXKAULCS-CABCVRRESA-N 1 2 317.364 1.424 20 30 DDEDLO C=C1CCC(C(=O)NCC[C@H](C)NC(=O)Cn2cc[nH+]c2)CC1 ZINC001078216759 753848705 /nfs/dbraw/zinc/84/87/05/753848705.db2.gz BDXQZDRJTYPPTH-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO Cc1nn(C)cc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010387458 753850205 /nfs/dbraw/zinc/85/02/05/753850205.db2.gz GRBFVVOAKYJDNW-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1nn(C)cc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010387458 753850215 /nfs/dbraw/zinc/85/02/15/753850215.db2.gz GRBFVVOAKYJDNW-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@H](N(C)C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001063046034 753938589 /nfs/dbraw/zinc/93/85/89/753938589.db2.gz QPVXCTYOTNZHEH-HNNXBMFYSA-N 1 2 324.388 1.265 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCCO2)C1 ZINC001010629290 754065235 /nfs/dbraw/zinc/06/52/35/754065235.db2.gz LOSRCMPVTTYXKM-IRXDYDNUSA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCCO2)C1 ZINC001010629290 754065243 /nfs/dbraw/zinc/06/52/43/754065243.db2.gz LOSRCMPVTTYXKM-IRXDYDNUSA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN2C(=O)CCc2[nH]cc[nH+]2)nc1 ZINC001063480781 754185587 /nfs/dbraw/zinc/18/55/87/754185587.db2.gz LNDKNNKVXYGKGP-CQSZACIVSA-N 1 2 324.388 1.712 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064104317 754514933 /nfs/dbraw/zinc/51/49/33/754514933.db2.gz MEPSRQVBXBBBOL-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CSCCC)[C@@H](O)C1 ZINC001099701889 755390284 /nfs/dbraw/zinc/39/02/84/755390284.db2.gz LOKAIAOWSGXEAO-NEPJUHHUSA-N 1 2 306.859 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CSCCC)[C@@H](O)C1 ZINC001099701889 755390288 /nfs/dbraw/zinc/39/02/88/755390288.db2.gz LOKAIAOWSGXEAO-NEPJUHHUSA-N 1 2 306.859 1.434 20 30 DDEDLO CCN(C(=O)C#CC(C)(C)C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001079744463 755571028 /nfs/dbraw/zinc/57/10/28/755571028.db2.gz FHXKSYFJYPHDLM-UHFFFAOYSA-N 1 2 316.405 1.061 20 30 DDEDLO Cc1cn(C)nc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001014391587 755713443 /nfs/dbraw/zinc/71/34/43/755713443.db2.gz CSKMGYDZEDBDPL-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cn(C)nc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001014391587 755713448 /nfs/dbraw/zinc/71/34/48/755713448.db2.gz CSKMGYDZEDBDPL-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)C1CN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001080418570 755907157 /nfs/dbraw/zinc/90/71/57/755907157.db2.gz UMAAZWURPHDRHW-STQMWFEESA-N 1 2 317.393 1.053 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1c1cc(C(=O)Nc2ccccc2)ccn1 ZINC001156320130 762430469 /nfs/dbraw/zinc/43/04/69/762430469.db2.gz RLGIAKOLKBYKMU-HNNXBMFYSA-N 1 2 307.357 1.636 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)C1 ZINC001014827944 755963754 /nfs/dbraw/zinc/96/37/54/755963754.db2.gz DSQXXYSKFZVUBK-NSHDSACASA-N 1 2 300.387 1.576 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc(-c3cc[nH]n3)s2)C1 ZINC001014827944 755963756 /nfs/dbraw/zinc/96/37/56/755963756.db2.gz DSQXXYSKFZVUBK-NSHDSACASA-N 1 2 300.387 1.576 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(CC3CC3)nn2)C1 ZINC001080681919 756045535 /nfs/dbraw/zinc/04/55/35/756045535.db2.gz NUQSPEDDKRMSQO-ZWNOBZJWSA-N 1 2 323.828 1.491 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(CC3CC3)nn2)C1 ZINC001080681919 756045542 /nfs/dbraw/zinc/04/55/42/756045542.db2.gz NUQSPEDDKRMSQO-ZWNOBZJWSA-N 1 2 323.828 1.491 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001080773782 756092475 /nfs/dbraw/zinc/09/24/75/756092475.db2.gz UGPYPMXCLPWFMU-GLXFQSAKSA-N 1 2 323.828 1.099 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001080773782 756092481 /nfs/dbraw/zinc/09/24/81/756092481.db2.gz UGPYPMXCLPWFMU-GLXFQSAKSA-N 1 2 323.828 1.099 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2nc3cnccc3s2)C1 ZINC001015214788 756173107 /nfs/dbraw/zinc/17/31/07/756173107.db2.gz HMITWTIWEIKPON-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2nc3cnccc3s2)C1 ZINC001015214788 756173109 /nfs/dbraw/zinc/17/31/09/756173109.db2.gz HMITWTIWEIKPON-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3cc(C)no3)C2)c1 ZINC001015678896 756460556 /nfs/dbraw/zinc/46/05/56/756460556.db2.gz PRFPXLOQJSPVFA-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3cc(C)no3)C2)c1 ZINC001015678896 756460560 /nfs/dbraw/zinc/46/05/60/756460560.db2.gz PRFPXLOQJSPVFA-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@@H+](Cc3nccnc3C)C2)c1 ZINC001015679264 756461161 /nfs/dbraw/zinc/46/11/61/756461161.db2.gz XRRZZSADJWQFLT-MRXNPFEDSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CC[N@H+](Cc3nccnc3C)C2)c1 ZINC001015679264 756461165 /nfs/dbraw/zinc/46/11/65/756461165.db2.gz XRRZZSADJWQFLT-MRXNPFEDSA-N 1 2 321.384 1.166 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cnc3ccccc3n2)[C@H](OC)C1 ZINC001081802077 756485209 /nfs/dbraw/zinc/48/52/09/756485209.db2.gz SIAHQYWEKAOICK-IAGOWNOFSA-N 1 2 324.384 1.082 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cnc3ccccc3n2)[C@H](OC)C1 ZINC001081802077 756485211 /nfs/dbraw/zinc/48/52/11/756485211.db2.gz SIAHQYWEKAOICK-IAGOWNOFSA-N 1 2 324.384 1.082 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001015717271 756491176 /nfs/dbraw/zinc/49/11/76/756491176.db2.gz QNSKNIZEZAWHHJ-JTQLQIEISA-N 1 2 311.769 1.352 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001015717271 756491179 /nfs/dbraw/zinc/49/11/79/756491179.db2.gz QNSKNIZEZAWHHJ-JTQLQIEISA-N 1 2 311.769 1.352 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)n([C@H](C)CC)n2)[C@H](OC)C1 ZINC001081877266 756503974 /nfs/dbraw/zinc/50/39/74/756503974.db2.gz GHISLPYSEOUSQE-DAXOMENPSA-N 1 2 318.421 1.225 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)n([C@H](C)CC)n2)[C@H](OC)C1 ZINC001081877266 756503976 /nfs/dbraw/zinc/50/39/76/756503976.db2.gz GHISLPYSEOUSQE-DAXOMENPSA-N 1 2 318.421 1.225 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)N2CCOCC2)C1 ZINC001015839513 756583575 /nfs/dbraw/zinc/58/35/75/756583575.db2.gz JUJINBWVQPNGRO-CYBMUJFWSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)N2CCOCC2)C1 ZINC001015839513 756583580 /nfs/dbraw/zinc/58/35/80/756583580.db2.gz JUJINBWVQPNGRO-CYBMUJFWSA-N 1 2 315.845 1.040 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cnc(CC3CC3)s2)[C@H](OC)C1 ZINC001081980097 756584301 /nfs/dbraw/zinc/58/43/01/756584301.db2.gz KAXGJNDWWLIBFQ-CHWSQXEVSA-N 1 2 321.446 1.711 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cnc(CC3CC3)s2)[C@H](OC)C1 ZINC001081980097 756584305 /nfs/dbraw/zinc/58/43/05/756584305.db2.gz KAXGJNDWWLIBFQ-CHWSQXEVSA-N 1 2 321.446 1.711 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(CC)CC)no2)[C@H](OC)C1 ZINC001082212032 756686172 /nfs/dbraw/zinc/68/61/72/756686172.db2.gz UNZXTHBKZJMTDW-GDBMZVCRSA-N 1 2 319.405 1.640 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(CC)CC)no2)[C@H](OC)C1 ZINC001082212032 756686177 /nfs/dbraw/zinc/68/61/77/756686177.db2.gz UNZXTHBKZJMTDW-GDBMZVCRSA-N 1 2 319.405 1.640 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(OC)cc[nH]c2=O)C1 ZINC001016003740 756713124 /nfs/dbraw/zinc/71/31/24/756713124.db2.gz OEOAYXHYSHDQIU-JTQLQIEISA-N 1 2 311.769 1.352 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(OC)cc[nH]c2=O)C1 ZINC001016003740 756713128 /nfs/dbraw/zinc/71/31/28/756713128.db2.gz OEOAYXHYSHDQIU-JTQLQIEISA-N 1 2 311.769 1.352 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)o3)C2)nc1 ZINC001016026175 756731022 /nfs/dbraw/zinc/73/10/22/756731022.db2.gz DHJBSAFHJOKEQD-CQSZACIVSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)o3)C2)nc1 ZINC001016026175 756731025 /nfs/dbraw/zinc/73/10/25/756731025.db2.gz DHJBSAFHJOKEQD-CQSZACIVSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cc(C)no3)C2)cn1 ZINC001016028510 756731866 /nfs/dbraw/zinc/73/18/66/756731866.db2.gz ZCFWTJQVLBSFLL-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cc(C)no3)C2)cn1 ZINC001016028510 756731868 /nfs/dbraw/zinc/73/18/68/756731868.db2.gz ZCFWTJQVLBSFLL-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2scnc2Cl)[C@H](OC)C1 ZINC001082373002 756757507 /nfs/dbraw/zinc/75/75/07/756757507.db2.gz KZANCDUUJPBBBO-NXEZZACHSA-N 1 2 315.826 1.802 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2scnc2Cl)[C@H](OC)C1 ZINC001082373002 756757511 /nfs/dbraw/zinc/75/75/11/756757511.db2.gz KZANCDUUJPBBBO-NXEZZACHSA-N 1 2 315.826 1.802 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CN2CCc3ccccc32)C1 ZINC001016291692 756909441 /nfs/dbraw/zinc/90/94/41/756909441.db2.gz NJYGATHJKPMAEL-OAHLLOKOSA-N 1 2 319.836 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CN2CCc3ccccc32)C1 ZINC001016291692 756909442 /nfs/dbraw/zinc/90/94/42/756909442.db2.gz NJYGATHJKPMAEL-OAHLLOKOSA-N 1 2 319.836 1.992 20 30 DDEDLO Cc1nc(NC[C@@H]2CCCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001097357078 757106855 /nfs/dbraw/zinc/10/68/55/757106855.db2.gz KQUIUMHXGSCYDP-ZDUSSCGKSA-N 1 2 319.434 1.692 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)c(F)cc3F)[C@H]2C1 ZINC001083116774 757120560 /nfs/dbraw/zinc/12/05/60/757120560.db2.gz ICCJHAODLNPTAS-JKSUJKDBSA-N 1 2 320.339 1.432 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)c(F)cc3F)[C@H]2C1 ZINC001083116774 757120562 /nfs/dbraw/zinc/12/05/62/757120562.db2.gz ICCJHAODLNPTAS-JKSUJKDBSA-N 1 2 320.339 1.432 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N1CCO[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001083163402 757165499 /nfs/dbraw/zinc/16/54/99/757165499.db2.gz CUUNRWAKTHWEMV-OQIJWPOYSA-N 1 2 324.424 1.606 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N1CCO[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001083163402 757165503 /nfs/dbraw/zinc/16/55/03/757165503.db2.gz CUUNRWAKTHWEMV-OQIJWPOYSA-N 1 2 324.424 1.606 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3Cc4ccc(F)cc43)[C@H]2C1 ZINC001083176880 757180688 /nfs/dbraw/zinc/18/06/88/757180688.db2.gz VSSZRDOJLWPWEM-YESZJQIVSA-N 1 2 314.360 1.010 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3Cc4ccc(F)cc43)[C@H]2C1 ZINC001083176880 757180693 /nfs/dbraw/zinc/18/06/93/757180693.db2.gz VSSZRDOJLWPWEM-YESZJQIVSA-N 1 2 314.360 1.010 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCCC3)[C@@H](O)C1 ZINC001084026758 757231800 /nfs/dbraw/zinc/23/18/00/757231800.db2.gz FWJXRWLIDAMANS-KGLIPLIRSA-N 1 2 318.442 1.425 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCCC3)[C@@H](O)C1 ZINC001084026758 757231802 /nfs/dbraw/zinc/23/18/02/757231802.db2.gz FWJXRWLIDAMANS-KGLIPLIRSA-N 1 2 318.442 1.425 20 30 DDEDLO Cc1nc(N2CCC[C@@H]([C@H](C)NC(=O)C#CC3CC3)C2)cc[nH+]1 ZINC001097528912 757239522 /nfs/dbraw/zinc/23/95/22/757239522.db2.gz GRLDAZDFOHCSOY-XJKSGUPXSA-N 1 2 312.417 1.920 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)c(Cl)c2)[C@@H](O)C1 ZINC001084110511 757290566 /nfs/dbraw/zinc/29/05/66/757290566.db2.gz YCIOXFWMIOKCON-CVEARBPZSA-N 1 2 320.820 1.872 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)c(Cl)c2)[C@@H](O)C1 ZINC001084110511 757290571 /nfs/dbraw/zinc/29/05/71/757290571.db2.gz YCIOXFWMIOKCON-CVEARBPZSA-N 1 2 320.820 1.872 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cnn(C)c3C)[C@@H]2C1 ZINC001084189113 757373066 /nfs/dbraw/zinc/37/30/66/757373066.db2.gz BLFNQCIVWCDPFO-TZMCWYRMSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cnn(C)c3C)[C@@H]2C1 ZINC001084189113 757373080 /nfs/dbraw/zinc/37/30/80/757373080.db2.gz BLFNQCIVWCDPFO-TZMCWYRMSA-N 1 2 308.813 1.627 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3cccc(Cl)n3)C[C@H]21 ZINC001084235531 757435897 /nfs/dbraw/zinc/43/58/97/757435897.db2.gz GBSXLVBVPUWXRR-OUCADQQQSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3cccc(Cl)n3)C[C@H]21 ZINC001084235531 757435906 /nfs/dbraw/zinc/43/59/06/757435906.db2.gz GBSXLVBVPUWXRR-OUCADQQQSA-N 1 2 318.808 1.927 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C(F)(F)F)no3)[C@@H]2C1 ZINC001084431463 757589778 /nfs/dbraw/zinc/58/97/78/757589778.db2.gz DAXDOAIQENFRCM-NXEZZACHSA-N 1 2 313.279 1.473 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C(F)(F)F)no3)[C@@H]2C1 ZINC001084431463 757589786 /nfs/dbraw/zinc/58/97/86/757589786.db2.gz DAXDOAIQENFRCM-NXEZZACHSA-N 1 2 313.279 1.473 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnn(C)n3)CC2)C1 ZINC001052691496 757652185 /nfs/dbraw/zinc/65/21/85/757652185.db2.gz VNDHYBOCRTWCLJ-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCC[N@H+](Cc3cnn(C)n3)CC2)C1 ZINC001052691496 757652191 /nfs/dbraw/zinc/65/21/91/757652191.db2.gz VNDHYBOCRTWCLJ-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(C(N)=O)[nH]3)[C@@H]2C1 ZINC001084666327 757749277 /nfs/dbraw/zinc/74/92/77/757749277.db2.gz WFJJCGOWKLIWMP-ZWNOBZJWSA-N 1 2 322.796 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(C(N)=O)[nH]3)[C@@H]2C1 ZINC001084666327 757749278 /nfs/dbraw/zinc/74/92/78/757749278.db2.gz WFJJCGOWKLIWMP-ZWNOBZJWSA-N 1 2 322.796 1.012 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001052866121 757901212 /nfs/dbraw/zinc/90/12/12/757901212.db2.gz FCYBMVULHIBLOR-KBPBESRZSA-N 1 2 304.394 1.060 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2c1cccc2OC ZINC001017597861 758064639 /nfs/dbraw/zinc/06/46/39/758064639.db2.gz XUKFXODRCIILFU-OKILXGFUSA-N 1 2 324.384 1.265 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2c1cccc2OC ZINC001017597861 758064651 /nfs/dbraw/zinc/06/46/51/758064651.db2.gz XUKFXODRCIILFU-OKILXGFUSA-N 1 2 324.384 1.265 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CN1CCCCCCC1=O ZINC001017602252 758067515 /nfs/dbraw/zinc/06/75/15/758067515.db2.gz UEVPWCIAMZTKSK-IYBDPMFKSA-N 1 2 317.433 1.088 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CN1CCCCCCC1=O ZINC001017602252 758067526 /nfs/dbraw/zinc/06/75/26/758067526.db2.gz UEVPWCIAMZTKSK-IYBDPMFKSA-N 1 2 317.433 1.088 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001017744749 758200777 /nfs/dbraw/zinc/20/07/77/758200777.db2.gz IFQNAACUSBVXGP-ZOFXXKQRSA-N 1 2 302.418 1.500 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001017744749 758200786 /nfs/dbraw/zinc/20/07/86/758200786.db2.gz IFQNAACUSBVXGP-ZOFXXKQRSA-N 1 2 302.418 1.500 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn(C(C)C)n1 ZINC001017751186 758205459 /nfs/dbraw/zinc/20/54/59/758205459.db2.gz DIGAKBOAVLFGFE-IYBDPMFKSA-N 1 2 300.406 1.315 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn(C(C)C)n1 ZINC001017751186 758205467 /nfs/dbraw/zinc/20/54/67/758205467.db2.gz DIGAKBOAVLFGFE-IYBDPMFKSA-N 1 2 300.406 1.315 20 30 DDEDLO CC[C@H]([NH2+]C[C@@H](O)c1cnn(C)c1)c1cccc(C#N)c1O ZINC000822658658 758225881 /nfs/dbraw/zinc/22/58/81/758225881.db2.gz KKNRGYJZKXWRQZ-LSDHHAIUSA-N 1 2 300.362 1.772 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCCOCC1)CCO2 ZINC001053237425 758293814 /nfs/dbraw/zinc/29/38/14/758293814.db2.gz GOBXRARLAITDCT-OAHLLOKOSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnc(Cl)n1C)CCO2 ZINC001053340823 758388154 /nfs/dbraw/zinc/38/81/54/758388154.db2.gz PNTSZPQXHJCIOZ-UHFFFAOYSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](OC)C1CCC1)CCO2 ZINC001053360035 758400992 /nfs/dbraw/zinc/40/09/92/758400992.db2.gz CLVVLQTYRIFCCD-OAHLLOKOSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1c(C)nn(C)c1C)CCO2 ZINC001053361554 758403082 /nfs/dbraw/zinc/40/30/82/758403082.db2.gz NBSUHSXEWMXCPN-UHFFFAOYSA-N 1 2 318.421 1.140 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc[nH]c1C1CC1)CCO2 ZINC001053397843 758429020 /nfs/dbraw/zinc/42/90/20/758429020.db2.gz LAAZVCJFMRRKCU-UHFFFAOYSA-N 1 2 315.417 1.995 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001053503126 758509397 /nfs/dbraw/zinc/50/93/97/758509397.db2.gz IFMIEIFRRJYSOC-QWHCGFSZSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1ccc(F)cc1)CCO2 ZINC001053522100 758529538 /nfs/dbraw/zinc/52/95/38/758529538.db2.gz QBNXCIZVIIWHKN-UHFFFAOYSA-N 1 2 318.392 1.858 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCC(F)(F)C1)O2 ZINC001053608897 758629145 /nfs/dbraw/zinc/62/91/45/758629145.db2.gz OFNKBHGNCMIQOD-CHWSQXEVSA-N 1 2 314.376 1.957 20 30 DDEDLO C[C@H](CNc1ccncc1C#N)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001108196505 758635458 /nfs/dbraw/zinc/63/54/58/758635458.db2.gz GUYJEKDGLZRZLU-LLVKDONJSA-N 1 2 320.356 1.482 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(NC(N)=O)c1 ZINC001018253925 758669738 /nfs/dbraw/zinc/66/97/38/758669738.db2.gz PFROCLQRQMFSSP-GASCZTMLSA-N 1 2 312.373 1.099 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(NC(N)=O)c1 ZINC001018253925 758669743 /nfs/dbraw/zinc/66/97/43/758669743.db2.gz PFROCLQRQMFSSP-GASCZTMLSA-N 1 2 312.373 1.099 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CCC3(C[NH+](CCOC)C3)O2)CC1 ZINC001053658125 758671939 /nfs/dbraw/zinc/67/19/39/758671939.db2.gz QWGYCPBUUZMQDE-INIZCTEOSA-N 1 2 322.449 1.729 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CC(C)(C)C=C)CC2=O)C1 ZINC001108548065 762649212 /nfs/dbraw/zinc/64/92/12/762649212.db2.gz DKFWRAUNNDTLAN-ZDUSSCGKSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)[C@@H](C)CC)C2)CC1 ZINC001065685014 758702956 /nfs/dbraw/zinc/70/29/56/758702956.db2.gz BGEWNPCDVFACIL-HOTGVXAUSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1C(C)(C)C1(C)C)CO2 ZINC001053767701 758788254 /nfs/dbraw/zinc/78/82/54/758788254.db2.gz NHYAZDYYMXAKGT-CYBMUJFWSA-N 1 2 304.434 1.651 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@]1(C)CC=CCC1)CO2 ZINC001053813878 758841994 /nfs/dbraw/zinc/84/19/94/758841994.db2.gz BMJDBGTUAKLPHK-DOTOQJQBSA-N 1 2 302.418 1.716 20 30 DDEDLO CC#CCN1CC2(C1)C[C@@H](NC(=O)c1cc3c[nH+]ccc3[nH]1)CO2 ZINC001053847291 758879848 /nfs/dbraw/zinc/87/98/48/758879848.db2.gz CMFQRCOCVOHKRU-CQSZACIVSA-N 1 2 324.384 1.159 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cncc(CC)c1)CO2 ZINC001053872219 758906164 /nfs/dbraw/zinc/90/61/64/758906164.db2.gz UVQWKBDPHYWOGQ-INIZCTEOSA-N 1 2 313.401 1.240 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cn(C(C)C)cn1)CO2 ZINC001053882090 758915425 /nfs/dbraw/zinc/91/54/25/758915425.db2.gz SJXZKWHDBVHVJG-CQSZACIVSA-N 1 2 316.405 1.060 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1CCC(F)CC1)CO2 ZINC001053917262 758951438 /nfs/dbraw/zinc/95/14/38/758951438.db2.gz GHMOKYNGMKNMKP-NRXISQOPSA-N 1 2 308.397 1.498 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(F)CCCCC1)CO2 ZINC001053975155 759013467 /nfs/dbraw/zinc/01/34/67/759013467.db2.gz YXSNNQZLQLRNKF-CQSZACIVSA-N 1 2 308.397 1.642 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C)on1 ZINC001054028934 759081241 /nfs/dbraw/zinc/08/12/41/759081241.db2.gz ALRKTCFWIJUFMO-INIZCTEOSA-N 1 2 309.369 1.773 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C)on1 ZINC001054028934 759081248 /nfs/dbraw/zinc/08/12/48/759081248.db2.gz ALRKTCFWIJUFMO-INIZCTEOSA-N 1 2 309.369 1.773 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnccn1 ZINC001054031850 759084497 /nfs/dbraw/zinc/08/44/97/759084497.db2.gz MJCSQKFTVYCRER-QGZVFWFLSA-N 1 2 320.396 1.657 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnccn1 ZINC001054031850 759084508 /nfs/dbraw/zinc/08/45/08/759084508.db2.gz MJCSQKFTVYCRER-QGZVFWFLSA-N 1 2 320.396 1.657 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(C)(C)C(=C)C)CC2=O)C1 ZINC001108561116 762683518 /nfs/dbraw/zinc/68/35/18/762683518.db2.gz RWPGQAHYOSBXKY-CYBMUJFWSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ncn(C)n1 ZINC001054039483 759097252 /nfs/dbraw/zinc/09/72/52/759097252.db2.gz BSTCRAINUOGHQB-OAHLLOKOSA-N 1 2 311.389 1.158 20 30 DDEDLO C=CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ncn(C)n1 ZINC001054039483 759097259 /nfs/dbraw/zinc/09/72/59/759097259.db2.gz BSTCRAINUOGHQB-OAHLLOKOSA-N 1 2 311.389 1.158 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccncn1 ZINC001054056734 759110746 /nfs/dbraw/zinc/11/07/46/759110746.db2.gz QCTNUXSOMHVMAH-MRXNPFEDSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccncn1 ZINC001054056734 759110752 /nfs/dbraw/zinc/11/07/52/759110752.db2.gz QCTNUXSOMHVMAH-MRXNPFEDSA-N 1 2 306.369 1.267 20 30 DDEDLO C/C(=C/C(=O)N[C@]1(C#N)CCC[C@@H](C)C1)C[NH+]1CCOCC1 ZINC000826684532 759300427 /nfs/dbraw/zinc/30/04/27/759300427.db2.gz YDTIDGYIGRLXJP-DAJLKQTQSA-N 1 2 305.422 1.854 20 30 DDEDLO CCc1nnc([C@@H](C)[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC001018818158 759302038 /nfs/dbraw/zinc/30/20/38/759302038.db2.gz BYHMXIRPTUYGKY-YPMHNXCESA-N 1 2 302.378 1.297 20 30 DDEDLO CCOc1nnc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)s1 ZINC001018819196 759302821 /nfs/dbraw/zinc/30/28/21/759302821.db2.gz PQGVCFHZAUDHAS-LBPRGKRZSA-N 1 2 320.418 1.041 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3nnn(C)c3C)cc2C1 ZINC001054259748 759360913 /nfs/dbraw/zinc/36/09/13/759360913.db2.gz QFMATVARPUYUHP-UHFFFAOYSA-N 1 2 309.373 1.002 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3nnn(C)c3C)cc2C1 ZINC001054259748 759360926 /nfs/dbraw/zinc/36/09/26/759360926.db2.gz QFMATVARPUYUHP-UHFFFAOYSA-N 1 2 309.373 1.002 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1cnccn1 ZINC001085463373 759438516 /nfs/dbraw/zinc/43/85/16/759438516.db2.gz BWQPJFRTWMLXGX-INIZCTEOSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1cnccn1 ZINC001085463373 759438520 /nfs/dbraw/zinc/43/85/20/759438520.db2.gz BWQPJFRTWMLXGX-INIZCTEOSA-N 1 2 321.384 1.695 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)n[nH]1 ZINC001085502988 759565823 /nfs/dbraw/zinc/56/58/23/759565823.db2.gz LLWJGRRWPQSQGF-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)n[nH]1 ZINC001085502988 759565830 /nfs/dbraw/zinc/56/58/30/759565830.db2.gz LLWJGRRWPQSQGF-INIZCTEOSA-N 1 2 323.400 1.936 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccccc1C#N)C(=O)[C@H]1CCOC1 ZINC001085507742 759572410 /nfs/dbraw/zinc/57/24/10/759572410.db2.gz MVNUHDFBAXMQBN-DLBZAZTESA-N 1 2 313.401 1.627 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccccc1C#N)C(=O)[C@H]1CCOC1 ZINC001085507742 759572420 /nfs/dbraw/zinc/57/24/20/759572420.db2.gz MVNUHDFBAXMQBN-DLBZAZTESA-N 1 2 313.401 1.627 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)CCC(C)(C)C)C[C@H]1NCC#N ZINC001131093976 767878823 /nfs/dbraw/zinc/87/88/23/767878823.db2.gz OICVZHMZIAWCKJ-ZIAGYGMSSA-N 1 2 317.437 1.654 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085555853 759699342 /nfs/dbraw/zinc/69/93/42/759699342.db2.gz BSQKGGKDSQGELI-IUODEOHRSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085555853 759699346 /nfs/dbraw/zinc/69/93/46/759699346.db2.gz BSQKGGKDSQGELI-IUODEOHRSA-N 1 2 324.388 1.584 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001019332446 759811084 /nfs/dbraw/zinc/81/10/84/759811084.db2.gz QLVZGTQCNORFLD-LRDDRELGSA-N 1 2 322.409 1.509 20 30 DDEDLO CC#CCCCC(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067976786 767898349 /nfs/dbraw/zinc/89/83/49/767898349.db2.gz YPAJJYPAKXWYQH-UHFFFAOYSA-N 1 2 318.421 1.453 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]cnc1C(F)(F)F ZINC001085640921 759915353 /nfs/dbraw/zinc/91/53/53/759915353.db2.gz ZAUBMFYWLUSKHO-SECBINFHSA-N 1 2 300.284 1.208 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]cnc1C(F)(F)F ZINC001085640921 759915361 /nfs/dbraw/zinc/91/53/61/759915361.db2.gz ZAUBMFYWLUSKHO-SECBINFHSA-N 1 2 300.284 1.208 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3nocc3C)C2)nc1 ZINC001046782880 767922023 /nfs/dbraw/zinc/92/20/23/767922023.db2.gz GDGMTXOENJUWLN-SFHVURJKSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@H+](Cc3nocc3C)C2)nc1 ZINC001046782880 767922024 /nfs/dbraw/zinc/92/20/24/767922024.db2.gz GDGMTXOENJUWLN-SFHVURJKSA-N 1 2 324.384 1.754 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCc2ccc(F)cc2O1 ZINC001085722813 760121900 /nfs/dbraw/zinc/12/19/00/760121900.db2.gz HLHHALWQKPVCEF-CVEARBPZSA-N 1 2 316.376 1.685 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCc2ccc(F)cc2O1 ZINC001085722813 760121904 /nfs/dbraw/zinc/12/19/04/760121904.db2.gz HLHHALWQKPVCEF-CVEARBPZSA-N 1 2 316.376 1.685 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C(F)(F)F)nc1 ZINC001085751105 760167865 /nfs/dbraw/zinc/16/78/65/760167865.db2.gz XSFKJUOHTAPYOM-LBPRGKRZSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C(F)(F)F)nc1 ZINC001085751105 760167867 /nfs/dbraw/zinc/16/78/67/760167867.db2.gz XSFKJUOHTAPYOM-LBPRGKRZSA-N 1 2 311.307 1.880 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001054975607 760249172 /nfs/dbraw/zinc/24/91/72/760249172.db2.gz DQYLSHMWKVJPRO-VXGBXAGGSA-N 1 2 306.410 1.781 20 30 DDEDLO N#Cc1cnccc1N1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1[nH]cc[nH+]1)C2 ZINC001055081322 760315863 /nfs/dbraw/zinc/31/58/63/760315863.db2.gz ACJKGJZDACLTFY-IPYPFGDCSA-N 1 2 322.372 1.145 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC(F)(F)C(F)F)C1 ZINC001108215346 760398736 /nfs/dbraw/zinc/39/87/36/760398736.db2.gz DSYFQKPTNSBWNF-LBPRGKRZSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CC(F)(F)C(F)F)C1 ZINC001108215346 760398747 /nfs/dbraw/zinc/39/87/47/760398747.db2.gz DSYFQKPTNSBWNF-LBPRGKRZSA-N 1 2 312.307 1.670 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cccnn1 ZINC001085888500 760475428 /nfs/dbraw/zinc/47/54/28/760475428.db2.gz ZXEDCSHVGIZQHK-KRWDZBQOSA-N 1 2 320.396 1.675 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cccnn1 ZINC001085888500 760475432 /nfs/dbraw/zinc/47/54/32/760475432.db2.gz ZXEDCSHVGIZQHK-KRWDZBQOSA-N 1 2 320.396 1.675 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001085924262 760547991 /nfs/dbraw/zinc/54/79/91/760547991.db2.gz RLPCUQLYVXDAPW-OLZOCXBDSA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001085924262 760547992 /nfs/dbraw/zinc/54/79/92/760547992.db2.gz RLPCUQLYVXDAPW-OLZOCXBDSA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001085924262 760547993 /nfs/dbraw/zinc/54/79/93/760547993.db2.gz RLPCUQLYVXDAPW-OLZOCXBDSA-N 1 2 303.410 1.018 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001085924262 760547996 /nfs/dbraw/zinc/54/79/96/760547996.db2.gz RLPCUQLYVXDAPW-OLZOCXBDSA-N 1 2 303.410 1.018 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)n(C)nc1Cl ZINC001085948975 760600644 /nfs/dbraw/zinc/60/06/44/760600644.db2.gz UHUICIQMGXJUDR-LBPRGKRZSA-N 1 2 308.813 1.552 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)n(C)nc1Cl ZINC001085948975 760600649 /nfs/dbraw/zinc/60/06/49/760600649.db2.gz UHUICIQMGXJUDR-LBPRGKRZSA-N 1 2 308.813 1.552 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC001108235634 760800369 /nfs/dbraw/zinc/80/03/69/760800369.db2.gz XJICNEWDHGZOQO-MRXNPFEDSA-N 1 2 306.410 1.540 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC001108235634 760800385 /nfs/dbraw/zinc/80/03/85/760800385.db2.gz XJICNEWDHGZOQO-MRXNPFEDSA-N 1 2 306.410 1.540 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@H](C)C(C)C)CC1 ZINC001131336825 767997472 /nfs/dbraw/zinc/99/74/72/767997472.db2.gz KZKMTAPKLFLHGX-HNNXBMFYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@H](C)C(C)C)CC1 ZINC001131336825 767997474 /nfs/dbraw/zinc/99/74/74/767997474.db2.gz KZKMTAPKLFLHGX-HNNXBMFYSA-N 1 2 309.454 1.505 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1csc(C(F)(F)F)n1 ZINC001038884278 761509216 /nfs/dbraw/zinc/50/92/16/761509216.db2.gz MMNJFQZFGLSGEE-VIFPVBQESA-N 1 2 317.336 1.989 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1csc(C(F)(F)F)n1 ZINC001038884278 761509220 /nfs/dbraw/zinc/50/92/20/761509220.db2.gz MMNJFQZFGLSGEE-VIFPVBQESA-N 1 2 317.336 1.989 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccn3ccnc23)C1 ZINC001108275742 761595359 /nfs/dbraw/zinc/59/53/59/761595359.db2.gz JNDBARNUYRPPCY-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccn3ccnc23)C1 ZINC001108275742 761595366 /nfs/dbraw/zinc/59/53/66/761595366.db2.gz JNDBARNUYRPPCY-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO N#CCN1CC[C@H](C2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)C1 ZINC001039161300 761787681 /nfs/dbraw/zinc/78/76/81/761787681.db2.gz HLLHTIADOXKWCT-AWEZNQCLSA-N 1 2 301.394 1.036 20 30 DDEDLO Cc1ccc(C#N)c(N2CCCN(C(=O)Cc3[nH]cc[nH+]3)CC2)n1 ZINC001057168184 762824774 /nfs/dbraw/zinc/82/47/74/762824774.db2.gz SORRWLKDKMDNPG-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)c3cnsn3)C[C@H]21 ZINC001041955818 763511392 /nfs/dbraw/zinc/51/13/92/763511392.db2.gz WGMKBXNQAJDRGV-ZYHUDNBSSA-N 1 2 312.826 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)c3cnsn3)C[C@H]21 ZINC001041955818 763511400 /nfs/dbraw/zinc/51/14/00/763511400.db2.gz WGMKBXNQAJDRGV-ZYHUDNBSSA-N 1 2 312.826 1.827 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C2CC(Nc3cc[nH+]c(C)n3)C2)c1 ZINC001069793651 768145504 /nfs/dbraw/zinc/14/55/04/768145504.db2.gz DZYUNARIDZZYQG-UHFFFAOYSA-N 1 2 321.384 1.876 20 30 DDEDLO CN(CCCNc1ncc(C#N)cc1F)C(=O)Cc1c[nH+]c[nH]1 ZINC001109633595 763884125 /nfs/dbraw/zinc/88/41/25/763884125.db2.gz JRPUKPDCPWKMHR-UHFFFAOYSA-N 1 2 316.340 1.319 20 30 DDEDLO Cc1nc(NCCCN(C)C(=O)Cc2c[nH+]c[nH]2)ccc1C#N ZINC001109633752 763884186 /nfs/dbraw/zinc/88/41/86/763884186.db2.gz OXQFVIKZFFDPEI-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO Cc1nc(NC[C@H](NC(=O)c2c[nH]c(C#N)c2)C2CC2)cc[nH+]1 ZINC001109814690 764092116 /nfs/dbraw/zinc/09/21/16/764092116.db2.gz IGUBUDZJEFXCHC-AWEZNQCLSA-N 1 2 310.361 1.605 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncc(C)c1 ZINC001050858037 764195050 /nfs/dbraw/zinc/19/50/50/764195050.db2.gz CZRSBIYWFMVXFN-INIZCTEOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncc(C)c1 ZINC001050858037 764195056 /nfs/dbraw/zinc/19/50/56/764195056.db2.gz CZRSBIYWFMVXFN-INIZCTEOSA-N 1 2 303.406 1.787 20 30 DDEDLO C[C@H]1CN(c2ncccc2C#N)CC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067401510 764237527 /nfs/dbraw/zinc/23/75/27/764237527.db2.gz AIFRHTQMZMUZFP-DZGCQCFKSA-N 1 2 324.388 1.181 20 30 DDEDLO N#Cc1ccc(NC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C2CC2)cn1 ZINC001110005256 764285865 /nfs/dbraw/zinc/28/58/65/764285865.db2.gz NTUWYWZGIGVLAL-INIZCTEOSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C2CC2)cn1 ZINC001110005256 764285871 /nfs/dbraw/zinc/28/58/71/764285871.db2.gz NTUWYWZGIGVLAL-INIZCTEOSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1COCC[N@H+]1CCCC ZINC001050957074 764347220 /nfs/dbraw/zinc/34/72/20/764347220.db2.gz ZFJNSOPSAMCECM-HNNXBMFYSA-N 1 2 305.422 1.905 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1COCC[N@@H+]1CCCC ZINC001050957074 764347227 /nfs/dbraw/zinc/34/72/27/764347227.db2.gz ZFJNSOPSAMCECM-HNNXBMFYSA-N 1 2 305.422 1.905 20 30 DDEDLO C=C(Cl)CN1CCOC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001050963613 764358667 /nfs/dbraw/zinc/35/86/67/764358667.db2.gz GVUPQKSIWQMTFU-JHJVBQTASA-N 1 2 324.812 1.083 20 30 DDEDLO C=C(Cl)CN1CCOC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001050963613 764358671 /nfs/dbraw/zinc/35/86/71/764358671.db2.gz GVUPQKSIWQMTFU-JHJVBQTASA-N 1 2 324.812 1.083 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C(C)(C)C)n[nH]1 ZINC001050997591 764399763 /nfs/dbraw/zinc/39/97/63/764399763.db2.gz CKYNRZBMQGSCNO-CYBMUJFWSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C(C)(C)C)n[nH]1 ZINC001050997591 764399776 /nfs/dbraw/zinc/39/97/76/764399776.db2.gz CKYNRZBMQGSCNO-CYBMUJFWSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc2nccn21 ZINC001051027486 764433646 /nfs/dbraw/zinc/43/36/46/764433646.db2.gz VSUDRQSTKKRBGU-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc2nccn21 ZINC001051027486 764433650 /nfs/dbraw/zinc/43/36/50/764433650.db2.gz VSUDRQSTKKRBGU-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc2c[nH]nc21 ZINC001051058854 764462148 /nfs/dbraw/zinc/46/21/48/764462148.db2.gz WCUBZNSYUHHIGZ-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc2c[nH]nc21 ZINC001051058854 764462151 /nfs/dbraw/zinc/46/21/51/764462151.db2.gz WCUBZNSYUHHIGZ-AWEZNQCLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)o2)[C@@H](n2ccnn2)C1 ZINC001069896797 768219741 /nfs/dbraw/zinc/21/97/41/768219741.db2.gz INBQLUVHQZSCEK-MNOVXSKESA-N 1 2 321.768 1.366 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)o2)[C@@H](n2ccnn2)C1 ZINC001069896797 768219742 /nfs/dbraw/zinc/21/97/42/768219742.db2.gz INBQLUVHQZSCEK-MNOVXSKESA-N 1 2 321.768 1.366 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(COC)on1 ZINC001051152863 764558891 /nfs/dbraw/zinc/55/88/91/764558891.db2.gz LMPAAXYXKQBFBX-CYBMUJFWSA-N 1 2 323.393 1.218 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(COC)on1 ZINC001051152863 764558893 /nfs/dbraw/zinc/55/88/93/764558893.db2.gz LMPAAXYXKQBFBX-CYBMUJFWSA-N 1 2 323.393 1.218 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(CC)nn1C ZINC001051180629 764586073 /nfs/dbraw/zinc/58/60/73/764586073.db2.gz IYSOVBCRVGZRQA-OAHLLOKOSA-N 1 2 320.437 1.379 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(CC)nn1C ZINC001051180629 764586078 /nfs/dbraw/zinc/58/60/78/764586078.db2.gz IYSOVBCRVGZRQA-OAHLLOKOSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CCOCCCC(=O)N1CCN(Cc2c[nH+]cn2C)CC1 ZINC001112754215 764704265 /nfs/dbraw/zinc/70/42/65/764704265.db2.gz SMYULZIYFNYWGR-UHFFFAOYSA-N 1 2 306.410 1.047 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cn(-c3ccccc3)nn2)C1 ZINC001043194665 764752491 /nfs/dbraw/zinc/75/24/91/764752491.db2.gz CEVKRJQYKLPXRD-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2csc(C)c2)CC1 ZINC001112846837 764862056 /nfs/dbraw/zinc/86/20/56/764862056.db2.gz ZTVQFKIIMUNRPB-AWEZNQCLSA-N 1 2 306.431 1.739 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2csc(C)c2)CC1 ZINC001112843903 764866298 /nfs/dbraw/zinc/86/62/98/764866298.db2.gz LVOKAENYYVBDGL-UHFFFAOYSA-N 1 2 306.431 1.741 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+](CCc2cnn(C)c2)CC1 ZINC001112885738 764924727 /nfs/dbraw/zinc/92/47/27/764924727.db2.gz NZSKFPGSVUBNIQ-UHFFFAOYSA-N 1 2 316.449 1.875 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ncccc2OCCC)C1 ZINC001043738870 765070939 /nfs/dbraw/zinc/07/09/39/765070939.db2.gz RYJMXTKJQICYHA-UHFFFAOYSA-N 1 2 301.390 1.650 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)COCc2ccc(OC)cc2)CC1 ZINC001113062150 765210718 /nfs/dbraw/zinc/21/07/18/765210718.db2.gz KMMGGAZTYRKQTE-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(OCC)no2)CC1 ZINC001113113291 765285552 /nfs/dbraw/zinc/28/55/52/765285552.db2.gz IYGMUYKTTLEVQK-UHFFFAOYSA-N 1 2 309.366 1.034 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3CC(C)(C)C3)C2)CC1 ZINC001052023763 765399770 /nfs/dbraw/zinc/39/97/70/765399770.db2.gz WQBRUKLUQHLNKD-KRWDZBQOSA-N 1 2 317.477 1.664 20 30 DDEDLO CC[C@@H](F)C[NH+]1CCN([C@H]2CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001052025624 765401824 /nfs/dbraw/zinc/40/18/24/765401824.db2.gz IQSWVKHUWKVSQU-SJORKVTESA-N 1 2 321.440 1.366 20 30 DDEDLO CC[C@@H](F)CN1CC[NH+]([C@H]2CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001052025624 765401829 /nfs/dbraw/zinc/40/18/29/765401829.db2.gz IQSWVKHUWKVSQU-SJORKVTESA-N 1 2 321.440 1.366 20 30 DDEDLO C#Cc1ccccc1CC(=O)N(C)C1C[NH+](CCn2cccn2)C1 ZINC001044233270 765414536 /nfs/dbraw/zinc/41/45/36/765414536.db2.gz VSKNNAULDGTCHG-UHFFFAOYSA-N 1 2 322.412 1.250 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C34CCC(CC3)C4)C2)CC1 ZINC001052045903 765418434 /nfs/dbraw/zinc/41/84/34/765418434.db2.gz XTGYBKRPNPYGKZ-HFCFLWKCSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(C)CCCC3)C2)CC1 ZINC001052059461 765431612 /nfs/dbraw/zinc/43/16/12/765431612.db2.gz IFCOGQDQWFZCNK-INIZCTEOSA-N 1 2 303.450 1.418 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC001113226216 765435172 /nfs/dbraw/zinc/43/51/72/765435172.db2.gz ROKGAWJQYJWWHC-UHFFFAOYSA-N 1 2 317.437 1.233 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3CCC=CCC3)C2)CC1 ZINC001052117929 765483360 /nfs/dbraw/zinc/48/33/60/765483360.db2.gz HXKFJLZSVDZDPS-GOSISDBHSA-N 1 2 315.461 1.585 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3c(C)nn(C)c3n2)C1 ZINC001044338635 765492807 /nfs/dbraw/zinc/49/28/07/765492807.db2.gz RXXVBKUSYWTGGS-UHFFFAOYSA-N 1 2 313.405 1.609 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(OC(C)C)cn2)C1 ZINC001044381013 765523112 /nfs/dbraw/zinc/52/31/12/765523112.db2.gz FSHLVFDYOWBRMU-UHFFFAOYSA-N 1 2 301.390 1.648 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001052180990 765552717 /nfs/dbraw/zinc/55/27/17/765552717.db2.gz IFSQYJRULGNHRW-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2cncc2C)CC1 ZINC001052563512 765961478 /nfs/dbraw/zinc/96/14/78/765961478.db2.gz TYZVUVUMFVLCQR-CQSZACIVSA-N 1 2 310.829 1.915 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2cncc2C)CC1 ZINC001052563512 765961486 /nfs/dbraw/zinc/96/14/86/765961486.db2.gz TYZVUVUMFVLCQR-CQSZACIVSA-N 1 2 310.829 1.915 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]1C[NH2+]Cc1nc(C2CCC2)no1 ZINC001044961969 765970595 /nfs/dbraw/zinc/97/05/95/765970595.db2.gz YKQNJZWWQINTQN-AAEUAGOBSA-N 1 2 317.393 1.577 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)N(C)c1ccc(C#N)cn1 ZINC001113682013 766014298 /nfs/dbraw/zinc/01/42/98/766014298.db2.gz PGOFOPCWNMTAID-LLVKDONJSA-N 1 2 312.377 1.168 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccnc2OCCOC)CC1 ZINC001113682875 766015056 /nfs/dbraw/zinc/01/50/56/766015056.db2.gz WHRSUZULIRUYDN-UHFFFAOYSA-N 1 2 305.378 1.051 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C[C@H](C)NC(=O)C2CCCC2)CC1 ZINC001113735158 766079642 /nfs/dbraw/zinc/07/96/42/766079642.db2.gz HBMUHNJGEGYKCK-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO CN(C(=O)C(C)(F)F)[C@H]1C[N@H+](Cc2ccc(C#N)cc2)C[C@@H]1O ZINC001047274768 768359483 /nfs/dbraw/zinc/35/94/83/768359483.db2.gz RBXGIYZODKAEAW-KBPBESRZSA-N 1 2 323.343 1.217 20 30 DDEDLO CN(C(=O)C(C)(F)F)[C@H]1C[N@@H+](Cc2ccc(C#N)cc2)C[C@@H]1O ZINC001047274768 768359489 /nfs/dbraw/zinc/35/94/89/768359489.db2.gz RBXGIYZODKAEAW-KBPBESRZSA-N 1 2 323.343 1.217 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001114201928 766761623 /nfs/dbraw/zinc/76/16/23/766761623.db2.gz XGOQPPPWLDFFBQ-MUYACECFSA-N 1 2 302.378 1.289 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001114201928 766761627 /nfs/dbraw/zinc/76/16/27/766761627.db2.gz XGOQPPPWLDFFBQ-MUYACECFSA-N 1 2 302.378 1.289 20 30 DDEDLO CCn1ccc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)n1 ZINC001114247175 766814026 /nfs/dbraw/zinc/81/40/26/766814026.db2.gz GHSQHVNKNZCCBS-QLPKVWCKSA-N 1 2 300.406 1.109 20 30 DDEDLO CCn1ccc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)n1 ZINC001114247175 766814037 /nfs/dbraw/zinc/81/40/37/766814037.db2.gz GHSQHVNKNZCCBS-QLPKVWCKSA-N 1 2 300.406 1.109 20 30 DDEDLO CCn1ccc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)n1 ZINC001114255251 766826167 /nfs/dbraw/zinc/82/61/67/766826167.db2.gz FPQQAWRRYWZICI-QLPKVWCKSA-N 1 2 314.433 1.499 20 30 DDEDLO CCn1ccc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)n1 ZINC001114255251 766826175 /nfs/dbraw/zinc/82/61/75/766826175.db2.gz FPQQAWRRYWZICI-QLPKVWCKSA-N 1 2 314.433 1.499 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)[C@H]3CCCC34CC4)CC2)C1 ZINC001046038023 766843968 /nfs/dbraw/zinc/84/39/68/766843968.db2.gz GAHOAEGPQFIMOQ-JKSUJKDBSA-N 1 2 316.449 1.309 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067972681 766849160 /nfs/dbraw/zinc/84/91/60/766849160.db2.gz GNUYNDFJEWQXSC-UONOGXRCSA-N 1 2 320.437 1.717 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C[C@@H]3CC=CCC3)CC2)C1 ZINC001046051960 766858971 /nfs/dbraw/zinc/85/89/71/766858971.db2.gz SSYBZDXNFMCPRF-IAGOWNOFSA-N 1 2 316.449 1.475 20 30 DDEDLO CC1(C)CN(c2ccc(C#N)cn2)C[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001068140306 766946342 /nfs/dbraw/zinc/94/63/42/766946342.db2.gz VGGPNWRLRFGHHG-CQSZACIVSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@]1(NC(=O)c2cocn2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046193157 767098523 /nfs/dbraw/zinc/09/85/23/767098523.db2.gz BHJMCJQGCRLGTJ-QGZVFWFLSA-N 1 2 310.357 1.941 20 30 DDEDLO C[C@@]1(NC(=O)c2cocn2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046193157 767098530 /nfs/dbraw/zinc/09/85/30/767098530.db2.gz BHJMCJQGCRLGTJ-QGZVFWFLSA-N 1 2 310.357 1.941 20 30 DDEDLO C[C@@H]1C[C@@H](CNc2ncccc2C#N)CN1C(=O)Cn1cc[nH+]c1 ZINC001068336265 767119690 /nfs/dbraw/zinc/11/96/90/767119690.db2.gz WPPAFWGMVILTBK-KGLIPLIRSA-N 1 2 324.388 1.499 20 30 DDEDLO N#Cc1cccnc1N(CCCNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001096081291 767170191 /nfs/dbraw/zinc/17/01/91/767170191.db2.gz YZDURFGOLXYWIC-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001046247979 767290204 /nfs/dbraw/zinc/29/02/04/767290204.db2.gz KPUSSRWSZCJMNK-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@@](C)(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001046247979 767290205 /nfs/dbraw/zinc/29/02/05/767290205.db2.gz KPUSSRWSZCJMNK-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@H](CNc3cc[nH+]c(C)n3)C2)cn1 ZINC001068506382 767384097 /nfs/dbraw/zinc/38/40/97/767384097.db2.gz KQWHSQGEKCUIPL-SAZUREKKSA-N 1 2 321.384 1.782 20 30 DDEDLO C#CC[N@H+]1CC[C@@](C)(NC(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001046318751 767417205 /nfs/dbraw/zinc/41/72/05/767417205.db2.gz OAZOSAMHENATIY-XHDPSFHLSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001046318751 767417216 /nfs/dbraw/zinc/41/72/16/767417216.db2.gz OAZOSAMHENATIY-XHDPSFHLSA-N 1 2 307.419 1.678 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](Nc2ncccc2C#N)[C@H]1C ZINC001068872425 767672299 /nfs/dbraw/zinc/67/22/99/767672299.db2.gz KOLBMNSDNXQOCV-TZMCWYRMSA-N 1 2 324.388 1.629 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2scnc2C)CC[C@@H]1C ZINC001131825554 768392005 /nfs/dbraw/zinc/39/20/05/768392005.db2.gz KCKVZYKNFRDDPX-JSGCOSHPSA-N 1 2 321.446 1.684 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2scnc2C)CC[C@@H]1C ZINC001131825554 768392012 /nfs/dbraw/zinc/39/20/12/768392012.db2.gz KCKVZYKNFRDDPX-JSGCOSHPSA-N 1 2 321.446 1.684 20 30 DDEDLO CCC(CC)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001131834355 768413675 /nfs/dbraw/zinc/41/36/75/768413675.db2.gz NIANGBPCEOSSLB-TZMCWYRMSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(CC)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001131834355 768413680 /nfs/dbraw/zinc/41/36/80/768413680.db2.gz NIANGBPCEOSSLB-TZMCWYRMSA-N 1 2 308.426 1.031 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096186422 768484100 /nfs/dbraw/zinc/48/41/00/768484100.db2.gz WHFZBYBVNYMZTA-TZMCWYRMSA-N 1 2 304.394 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCNC(=O)NC)CC[C@H]1C ZINC001132013657 768570827 /nfs/dbraw/zinc/57/08/27/768570827.db2.gz MQIIRKWAXQGZDM-NEPJUHHUSA-N 1 2 316.833 1.027 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCNC(=O)NC)CC[C@H]1C ZINC001132013657 768570834 /nfs/dbraw/zinc/57/08/34/768570834.db2.gz MQIIRKWAXQGZDM-NEPJUHHUSA-N 1 2 316.833 1.027 20 30 DDEDLO CC(C)CNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132034501 768580533 /nfs/dbraw/zinc/58/05/33/768580533.db2.gz SBDYOEGXROEGFK-ZBFHGGJFSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)CNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132034501 768580539 /nfs/dbraw/zinc/58/05/39/768580539.db2.gz SBDYOEGXROEGFK-ZBFHGGJFSA-N 1 2 319.449 1.141 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001096218507 768586980 /nfs/dbraw/zinc/58/69/80/768586980.db2.gz IWGWYUNTAPMGFS-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2occ3c2CCCC3)C1 ZINC001047610084 768608960 /nfs/dbraw/zinc/60/89/60/768608960.db2.gz VFFWKJXCLNXQDT-HOTGVXAUSA-N 1 2 316.401 1.299 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2occ3c2CCCC3)C1 ZINC001047610084 768608961 /nfs/dbraw/zinc/60/89/61/768608961.db2.gz VFFWKJXCLNXQDT-HOTGVXAUSA-N 1 2 316.401 1.299 20 30 DDEDLO Cc1ccc(C(=O)N2C[C@@H](c3c[nH+]cn3C)[C@H](NCC#N)C2)o1 ZINC001070618323 768622876 /nfs/dbraw/zinc/62/28/76/768622876.db2.gz ANALNBBRGBVHCH-CHWSQXEVSA-N 1 2 313.361 1.043 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(C(C)C)s2)C1 ZINC001047658377 768643905 /nfs/dbraw/zinc/64/39/05/768643905.db2.gz NGCJHZNMQREPNS-STQMWFEESA-N 1 2 321.446 1.407 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(C(C)C)s2)C1 ZINC001047658377 768643907 /nfs/dbraw/zinc/64/39/07/768643907.db2.gz NGCJHZNMQREPNS-STQMWFEESA-N 1 2 321.446 1.407 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCn2cc[nH+]c2C1)Nc1ncccc1C#N ZINC001098126348 768674900 /nfs/dbraw/zinc/67/49/00/768674900.db2.gz ZYKGEJNZXJNWQN-QWHCGFSZSA-N 1 2 324.388 1.329 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132214924 768680706 /nfs/dbraw/zinc/68/07/06/768680706.db2.gz PICJERNJMAYTEZ-KGLIPLIRSA-N 1 2 320.437 1.198 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132214924 768680708 /nfs/dbraw/zinc/68/07/08/768680708.db2.gz PICJERNJMAYTEZ-KGLIPLIRSA-N 1 2 320.437 1.198 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001070824201 768745100 /nfs/dbraw/zinc/74/51/00/768745100.db2.gz MABVZXMLJVLSBQ-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001070888388 768772065 /nfs/dbraw/zinc/77/20/65/768772065.db2.gz PHOMHRIGMXVPFX-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001070888388 768772070 /nfs/dbraw/zinc/77/20/70/768772070.db2.gz PHOMHRIGMXVPFX-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)c2ccoc2C)CC1 ZINC001070936272 768795790 /nfs/dbraw/zinc/79/57/90/768795790.db2.gz JSQKVEOUQWIGET-UHFFFAOYSA-N 1 2 319.405 1.428 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)c2ccoc2C)CC1 ZINC001070936272 768795794 /nfs/dbraw/zinc/79/57/94/768795794.db2.gz JSQKVEOUQWIGET-UHFFFAOYSA-N 1 2 319.405 1.428 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCC[C@@H]2CC)CC1 ZINC001070996056 768857454 /nfs/dbraw/zinc/85/74/54/768857454.db2.gz GEJZLZRXXMWWLX-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCC[C@@H]2CC)CC1 ZINC001070996056 768857467 /nfs/dbraw/zinc/85/74/67/768857467.db2.gz GEJZLZRXXMWWLX-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@H](C)C2CC2)CC1 ZINC001071023157 768889965 /nfs/dbraw/zinc/88/99/65/768889965.db2.gz RTNURLNQIQXTCQ-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@H](C)C2CC2)CC1 ZINC001071023157 768889979 /nfs/dbraw/zinc/88/99/79/768889979.db2.gz RTNURLNQIQXTCQ-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001071267142 769212620 /nfs/dbraw/zinc/21/26/20/769212620.db2.gz DUPIDFIBWGMZRE-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001071267142 769212624 /nfs/dbraw/zinc/21/26/24/769212624.db2.gz DUPIDFIBWGMZRE-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)CC[C@@H]1C ZINC001071825502 770132472 /nfs/dbraw/zinc/13/24/72/770132472.db2.gz XJNOTQAZTQQUCD-WDEREUQCSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)CC[C@@H]1C ZINC001071825502 770132481 /nfs/dbraw/zinc/13/24/81/770132481.db2.gz XJNOTQAZTQQUCD-WDEREUQCSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cn2nccc2C)CC[C@@H]1C ZINC001071864384 770193590 /nfs/dbraw/zinc/19/35/90/770193590.db2.gz CBBWEQPDNIJYHE-GXTWGEPZSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cn2nccc2C)CC[C@@H]1C ZINC001071864384 770193595 /nfs/dbraw/zinc/19/35/95/770193595.db2.gz CBBWEQPDNIJYHE-GXTWGEPZSA-N 1 2 310.829 1.913 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CN1CC#N ZINC001071983026 770383877 /nfs/dbraw/zinc/38/38/77/770383877.db2.gz UYUQIWPRXNQTQW-ZIAGYGMSSA-N 1 2 324.388 1.374 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072114858 770559760 /nfs/dbraw/zinc/55/97/60/770559760.db2.gz OYNVPSUBTSVMJO-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@@H](C)C1 ZINC001072218844 770673827 /nfs/dbraw/zinc/67/38/27/770673827.db2.gz LSYSAHRBDHXFFW-WFASDCNBSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001072317573 770754604 /nfs/dbraw/zinc/75/46/04/770754604.db2.gz RIAPMHWLTVTYSD-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072317573 770754607 /nfs/dbraw/zinc/75/46/07/770754607.db2.gz RIAPMHWLTVTYSD-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001072317951 770755786 /nfs/dbraw/zinc/75/57/86/770755786.db2.gz WXCFABKVOWSBCH-UKRRQHHQSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001072317951 770755792 /nfs/dbraw/zinc/75/57/92/770755792.db2.gz WXCFABKVOWSBCH-UKRRQHHQSA-N 1 2 316.405 1.109 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049382506 770762079 /nfs/dbraw/zinc/76/20/79/770762079.db2.gz OTJRLUBDTMBBIO-MRVWCRGKSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049382506 770762081 /nfs/dbraw/zinc/76/20/81/770762081.db2.gz OTJRLUBDTMBBIO-MRVWCRGKSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)n1 ZINC001049466725 770888222 /nfs/dbraw/zinc/88/82/22/770888222.db2.gz JBACOPXOYWPNSB-LSDHHAIUSA-N 1 2 314.389 1.357 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)C#CC2CC2)n1 ZINC001049466725 770888230 /nfs/dbraw/zinc/88/82/30/770888230.db2.gz JBACOPXOYWPNSB-LSDHHAIUSA-N 1 2 314.389 1.357 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001072480885 770954308 /nfs/dbraw/zinc/95/43/08/770954308.db2.gz JVAORBJWNJEHOI-RISCZKNCSA-N 1 2 304.394 1.190 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001072481587 770957272 /nfs/dbraw/zinc/95/72/72/770957272.db2.gz SOUTZHWHMOXTDB-DOMZBBRYSA-N 1 2 316.405 1.027 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](NC(=O)CCc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001072498912 770983320 /nfs/dbraw/zinc/98/33/20/770983320.db2.gz PULUHUYLNLDAOM-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH+]cn2C)C[C@@H]1C ZINC001072513322 771003465 /nfs/dbraw/zinc/00/34/65/771003465.db2.gz NRCTVGSUWSXWMF-DZGCQCFKSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096728651 771420352 /nfs/dbraw/zinc/42/03/52/771420352.db2.gz RSFBUJXYAFQKCO-CQSZACIVSA-N 1 2 302.378 1.132 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc[nH]c(=O)c1)C2 ZINC001096938209 771527519 /nfs/dbraw/zinc/52/75/19/771527519.db2.gz VQEKGTNNYSSSID-UPJWGTAASA-N 1 2 307.781 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc[nH]c(=O)c1)C2 ZINC001096938209 771527523 /nfs/dbraw/zinc/52/75/23/771527523.db2.gz VQEKGTNNYSSSID-UPJWGTAASA-N 1 2 307.781 1.875 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccnn1C)C2 ZINC001097017328 771579658 /nfs/dbraw/zinc/57/96/58/771579658.db2.gz CLBKCXROCUJUJR-MCIONIFRSA-N 1 2 308.813 1.437 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccnn1C)C2 ZINC001097017328 771579660 /nfs/dbraw/zinc/57/96/60/771579660.db2.gz CLBKCXROCUJUJR-MCIONIFRSA-N 1 2 308.813 1.437 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1C[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001097166918 771654105 /nfs/dbraw/zinc/65/41/05/771654105.db2.gz AXMLVGKNSBXOJW-BNOWGMLFSA-N 1 2 304.394 1.825 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@@H](Nc2cc(C)[nH+]c(C3CC3)n2)C1 ZINC001097168555 771655851 /nfs/dbraw/zinc/65/58/51/771655851.db2.gz NCZQEXYOIGGYSV-OKILXGFUSA-N 1 2 316.405 1.924 20 30 DDEDLO Cc1cc(N2C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001090686680 772111682 /nfs/dbraw/zinc/11/16/82/772111682.db2.gz VNFZYLILZJXSSJ-JTNHKYCSSA-N 1 2 313.405 1.763 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2cncc(F)c2)CCCO1 ZINC001149339447 772288053 /nfs/dbraw/zinc/28/80/53/772288053.db2.gz CBLZJOVFDZDIMQ-MRXNPFEDSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2cncc(F)c2)CCCO1 ZINC001149339447 772288057 /nfs/dbraw/zinc/28/80/57/772288057.db2.gz CBLZJOVFDZDIMQ-MRXNPFEDSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C3CC3)o1)C2 ZINC001147158837 773055057 /nfs/dbraw/zinc/05/50/57/773055057.db2.gz JXKGNNAIEDACCP-UHFFFAOYSA-N 1 2 316.405 1.948 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C3CC3)o1)C2 ZINC001147158837 773055059 /nfs/dbraw/zinc/05/50/59/773055059.db2.gz JXKGNNAIEDACCP-UHFFFAOYSA-N 1 2 316.405 1.948 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)C23CCC(OC)(CC2)CC3=O)CC1 ZINC001147291360 773102573 /nfs/dbraw/zinc/10/25/73/773102573.db2.gz SBVJQPDQTZKBAE-UHFFFAOYSA-N 1 2 318.417 1.072 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)C23CCC(OC)(CC2)CC3=O)CC1 ZINC001147291360 773102576 /nfs/dbraw/zinc/10/25/76/773102576.db2.gz SBVJQPDQTZKBAE-UHFFFAOYSA-N 1 2 318.417 1.072 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001148110725 773353383 /nfs/dbraw/zinc/35/33/83/773353383.db2.gz FHWSNOHLPROSFL-UHFFFAOYSA-N 1 2 302.378 1.298 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001148110725 773353391 /nfs/dbraw/zinc/35/33/91/773353391.db2.gz FHWSNOHLPROSFL-UHFFFAOYSA-N 1 2 302.378 1.298 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001073803317 773425633 /nfs/dbraw/zinc/42/56/33/773425633.db2.gz RKPUOZUGWOYFFA-UONOGXRCSA-N 1 2 304.394 1.203 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nnc(C(C)C)o2)cn1 ZINC001148376111 773460923 /nfs/dbraw/zinc/46/09/23/773460923.db2.gz AQOWAVKMYYYZLV-UHFFFAOYSA-N 1 2 313.361 1.089 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nccn1C)C2 ZINC001148874371 773610876 /nfs/dbraw/zinc/61/08/76/773610876.db2.gz SQQXUGNUWWWIJA-CQSZACIVSA-N 1 2 318.421 1.046 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1C)C2 ZINC001148874371 773610880 /nfs/dbraw/zinc/61/08/80/773610880.db2.gz SQQXUGNUWWWIJA-CQSZACIVSA-N 1 2 318.421 1.046 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)[C@@H](C)C1 ZINC001074080319 773619427 /nfs/dbraw/zinc/61/94/27/773619427.db2.gz QQIDDSUDVADLTN-DZGCQCFKSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3ccco3)C[C@H]21 ZINC001074147947 773673637 /nfs/dbraw/zinc/67/36/37/773673637.db2.gz NCSDEVHZQRZSMO-ILNYKDOHSA-N 1 2 302.374 1.781 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3ccco3)C[C@H]21 ZINC001074147947 773673642 /nfs/dbraw/zinc/67/36/42/773673642.db2.gz NCSDEVHZQRZSMO-ILNYKDOHSA-N 1 2 302.374 1.781 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ncoc3C)C[C@H]21 ZINC001074185098 773705715 /nfs/dbraw/zinc/70/57/15/773705715.db2.gz XFSNJRNAFKJKMK-KGLIPLIRSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ncoc3C)C[C@H]21 ZINC001074185098 773705720 /nfs/dbraw/zinc/70/57/20/773705720.db2.gz XFSNJRNAFKJKMK-KGLIPLIRSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@@H]21 ZINC001074195573 773719429 /nfs/dbraw/zinc/71/94/29/773719429.db2.gz WSMBIPPJBVSWBW-UONOGXRCSA-N 1 2 308.422 1.479 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@@H]21 ZINC001074195573 773719432 /nfs/dbraw/zinc/71/94/32/773719432.db2.gz WSMBIPPJBVSWBW-UONOGXRCSA-N 1 2 308.422 1.479 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C[C@H]21 ZINC001074208637 773732279 /nfs/dbraw/zinc/73/22/79/773732279.db2.gz LEFGSOZLIQWXCB-HZPDHXFCSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C[C@H]21 ZINC001074208637 773732281 /nfs/dbraw/zinc/73/22/81/773732281.db2.gz LEFGSOZLIQWXCB-HZPDHXFCSA-N 1 2 314.389 1.378 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccc(C)o3)C[C@H]21 ZINC001074218970 773740824 /nfs/dbraw/zinc/74/08/24/773740824.db2.gz IFWJHBMUJMAOBU-SJORKVTESA-N 1 2 316.401 1.456 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccc(C)o3)C[C@H]21 ZINC001074218970 773740826 /nfs/dbraw/zinc/74/08/26/773740826.db2.gz IFWJHBMUJMAOBU-SJORKVTESA-N 1 2 316.401 1.456 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001074264282 773777128 /nfs/dbraw/zinc/77/71/28/773777128.db2.gz UPDBQULHABOZNJ-JSGCOSHPSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C34CCC(CC3)C4)C[C@@H]21 ZINC001074276391 773788087 /nfs/dbraw/zinc/78/80/87/773788087.db2.gz MPYBLBWKRZRVLV-DHADLLTHSA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C34CCC(CC3)C4)C[C@@H]21 ZINC001074276391 773788092 /nfs/dbraw/zinc/78/80/92/773788092.db2.gz MPYBLBWKRZRVLV-DHADLLTHSA-N 1 2 316.445 1.892 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]2OCC[N@@H+](CCOC)[C@H]2C1 ZINC001074314265 773815787 /nfs/dbraw/zinc/81/57/87/773815787.db2.gz BVRTYBHUXYQAMX-GJZGRUSLSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]2OCC[N@H+](CCOC)[C@H]2C1 ZINC001074314265 773815794 /nfs/dbraw/zinc/81/57/94/773815794.db2.gz BVRTYBHUXYQAMX-GJZGRUSLSA-N 1 2 310.438 1.537 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C(F)F)C[C@H]21 ZINC001074334501 773831693 /nfs/dbraw/zinc/83/16/93/773831693.db2.gz SRBMGPYKKMNAMX-OLZOCXBDSA-N 1 2 314.376 1.603 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C(F)F)C[C@H]21 ZINC001074334501 773831702 /nfs/dbraw/zinc/83/17/02/773831702.db2.gz SRBMGPYKKMNAMX-OLZOCXBDSA-N 1 2 314.376 1.603 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cncc(C#C)c3)C[C@@H]21 ZINC001074337145 773835173 /nfs/dbraw/zinc/83/51/73/773835173.db2.gz YXRYVBLLZADUCQ-ZWKOTPCHSA-N 1 2 323.396 1.002 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cncc(C#C)c3)C[C@@H]21 ZINC001074337145 773835176 /nfs/dbraw/zinc/83/51/76/773835176.db2.gz YXRYVBLLZADUCQ-ZWKOTPCHSA-N 1 2 323.396 1.002 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@H]1CC)c1nccn12 ZINC001092397646 774101558 /nfs/dbraw/zinc/10/15/58/774101558.db2.gz ZXZLGVLLOXHYHA-KFWWJZLASA-N 1 2 312.417 1.524 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001075088440 774341770 /nfs/dbraw/zinc/34/17/70/774341770.db2.gz JDQHLAIGFKDLQN-TZMCWYRMSA-N 1 2 318.421 1.723 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cncs3)CC2)C1 ZINC001093513136 774761989 /nfs/dbraw/zinc/76/19/89/774761989.db2.gz HNXUDPQNGKHMBN-UHFFFAOYSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cc[nH]c3C)CC2)C1 ZINC001093524439 774776573 /nfs/dbraw/zinc/77/65/73/774776573.db2.gz XAGJXAQCVJOVNK-UHFFFAOYSA-N 1 2 303.406 1.815 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CCCOCC3)CC2)C1 ZINC001093530762 774783682 /nfs/dbraw/zinc/78/36/82/774783682.db2.gz GPBMBYKQNDYBMZ-HNNXBMFYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@]3(C)CCOC3)CC2)C1 ZINC001093586746 774866893 /nfs/dbraw/zinc/86/68/93/774866893.db2.gz HIGLQJRWOAUNGF-SFHVURJKSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCO[C@@H]3C)CC2)C1 ZINC001093588526 774870511 /nfs/dbraw/zinc/87/05/11/774870511.db2.gz PMOWAFVIAJDUMR-CZUORRHYSA-N 1 2 308.422 1.289 20 30 DDEDLO C[C@H](CCNC(=O)Cn1cc[nH+]c1)Nc1cccc(F)c1C#N ZINC001099261743 774922465 /nfs/dbraw/zinc/92/24/65/774922465.db2.gz IQMYQKWHWOPQBF-GFCCVEGCSA-N 1 2 315.352 1.901 20 30 DDEDLO CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099627059 775076408 /nfs/dbraw/zinc/07/64/08/775076408.db2.gz INMCHWDHYOBDMJ-CVEARBPZSA-N 1 2 301.390 1.410 20 30 DDEDLO CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099627059 775076414 /nfs/dbraw/zinc/07/64/14/775076414.db2.gz INMCHWDHYOBDMJ-CVEARBPZSA-N 1 2 301.390 1.410 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[C@H](C)Nc2cc[nH+]c(C)n2)c1 ZINC001099673416 775122508 /nfs/dbraw/zinc/12/25/08/775122508.db2.gz ZLQJKJKQWALOOM-LBPRGKRZSA-N 1 2 309.373 1.782 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099728030 775194700 /nfs/dbraw/zinc/19/47/00/775194700.db2.gz UUFXKRNGICSSBN-LSDHHAIUSA-N 1 2 307.369 1.238 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099728030 775194703 /nfs/dbraw/zinc/19/47/03/775194703.db2.gz UUFXKRNGICSSBN-LSDHHAIUSA-N 1 2 307.369 1.238 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099804964 775303515 /nfs/dbraw/zinc/30/35/15/775303515.db2.gz VEIRIMSKXBFSEA-NEPJUHHUSA-N 1 2 319.232 1.660 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](CC=C(Cl)Cl)C[C@@H]1O ZINC001099804964 775303527 /nfs/dbraw/zinc/30/35/27/775303527.db2.gz VEIRIMSKXBFSEA-NEPJUHHUSA-N 1 2 319.232 1.660 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001099960048 775483362 /nfs/dbraw/zinc/48/33/62/775483362.db2.gz NGWNHTNTXQHZLO-GJZGRUSLSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001099960048 775483369 /nfs/dbraw/zinc/48/33/69/775483369.db2.gz NGWNHTNTXQHZLO-GJZGRUSLSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC(C)(C)COC)[C@@H](O)C1 ZINC001099932665 775491654 /nfs/dbraw/zinc/49/16/54/775491654.db2.gz BQMOCKMNLNSAJX-OLZOCXBDSA-N 1 2 318.845 1.353 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC(C)(C)COC)[C@@H](O)C1 ZINC001099932665 775491659 /nfs/dbraw/zinc/49/16/59/775491659.db2.gz BQMOCKMNLNSAJX-OLZOCXBDSA-N 1 2 318.845 1.353 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@H]3C(C)C)nn2)C1 ZINC001094331574 775713415 /nfs/dbraw/zinc/71/34/15/775713415.db2.gz BULRFTIXYKTUBQ-HOTGVXAUSA-N 1 2 317.437 1.619 20 30 DDEDLO C#CCCCC(=O)NCC1CC([NH2+]Cc2noc(CCC)n2)C1 ZINC001100282642 775933195 /nfs/dbraw/zinc/93/31/95/775933195.db2.gz RQCHFPRZTZGJIL-UHFFFAOYSA-N 1 2 318.421 1.810 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CC3CCCC3)CC2=O)C1 ZINC001094699913 776209550 /nfs/dbraw/zinc/20/95/50/776209550.db2.gz ZBKBQRSRYAJJNS-AWEZNQCLSA-N 1 2 305.422 1.154 20 30 DDEDLO CCN(CCNC(=O)Cc1[nH]c[nH+]c1C)c1ccc(C#N)cn1 ZINC001100577739 776321883 /nfs/dbraw/zinc/32/18/83/776321883.db2.gz NXZGVZATVAVUJY-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO N#Cc1cccnc1NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001094867549 776617845 /nfs/dbraw/zinc/61/78/45/776617845.db2.gz IQHXQUNQRPSTNB-CHWSQXEVSA-N 1 2 310.361 1.398 20 30 DDEDLO N#Cc1cccnc1NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001094867549 776617850 /nfs/dbraw/zinc/61/78/50/776617850.db2.gz IQHXQUNQRPSTNB-CHWSQXEVSA-N 1 2 310.361 1.398 20 30 DDEDLO Cc1nnc(C[NH2+][C@@H]2CCN(C(=O)OC(C)(C)C)[C@H](C#N)C2)o1 ZINC001172973141 776948649 /nfs/dbraw/zinc/94/86/49/776948649.db2.gz FJQVDPBWUOXOIQ-NEPJUHHUSA-N 1 2 321.381 1.759 20 30 DDEDLO CCOC(=O)[C@H]1CC12CCC([NH2+][C@@H](CS)C(=O)OC)CC2 ZINC001173604264 777276063 /nfs/dbraw/zinc/27/60/63/777276063.db2.gz PLATZEQNHPFUNC-OOLXCHQQSA-N 1 2 315.435 1.559 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)/C(C)=C/CC)c1nccn12 ZINC001101613669 777305453 /nfs/dbraw/zinc/30/54/53/777305453.db2.gz FOCLKCYEFRPNGF-LULHVWEPSA-N 1 2 312.417 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(COC)CC1)c1nccn12 ZINC001101633668 777330008 /nfs/dbraw/zinc/33/00/08/777330008.db2.gz BSQYDQYGBJUZIQ-ZDUSSCGKSA-N 1 2 316.405 1.068 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)CC(C)(F)F)c1nccn12 ZINC001101642875 777339583 /nfs/dbraw/zinc/33/95/83/777339583.db2.gz ODRFVDIJQGRMHA-LBPRGKRZSA-N 1 2 322.359 1.524 20 30 DDEDLO Cc1nsc(NCCN(C)C(=O)CCn2cc[nH+]c2)c1C#N ZINC001101889017 777648251 /nfs/dbraw/zinc/64/82/51/777648251.db2.gz CTHOBLXQMFGNQK-UHFFFAOYSA-N 1 2 318.406 1.480 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101917654 777677373 /nfs/dbraw/zinc/67/73/73/777677373.db2.gz SRPSGDCTWPXQRU-ZDUSSCGKSA-N 1 2 320.441 1.719 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001101960836 777737014 /nfs/dbraw/zinc/73/70/14/777737014.db2.gz ZTQJHKRAKRJUEY-ZIAGYGMSSA-N 1 2 324.388 1.361 20 30 DDEDLO CN(CCNc1cccc(F)c1C#N)C(=O)Cc1c[nH+]c[nH]1 ZINC001101975079 777754694 /nfs/dbraw/zinc/75/46/94/777754694.db2.gz GKVBBQYXNQIIAA-UHFFFAOYSA-N 1 2 301.325 1.533 20 30 DDEDLO CN(CCNc1nc(C#N)c(Cl)s1)C(=O)Cc1c[nH+]c[nH]1 ZINC001101975793 777756311 /nfs/dbraw/zinc/75/63/11/777756311.db2.gz QXEYLIMZASVNIA-UHFFFAOYSA-N 1 2 324.797 1.504 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)Nc1sc(C)cc1C#N ZINC001176335023 778119818 /nfs/dbraw/zinc/11/98/18/778119818.db2.gz PHHFMTAUWUCDCW-GFCCVEGCSA-N 1 2 317.374 1.337 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1sc(C)cc1C#N ZINC001176335023 778119820 /nfs/dbraw/zinc/11/98/20/778119820.db2.gz PHHFMTAUWUCDCW-GFCCVEGCSA-N 1 2 317.374 1.337 20 30 DDEDLO COCC#CC[NH2+]CCCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001176351311 778131767 /nfs/dbraw/zinc/13/17/67/778131767.db2.gz SBGUXJITESXMPP-AWEZNQCLSA-N 1 2 320.437 1.175 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102443332 778144479 /nfs/dbraw/zinc/14/44/79/778144479.db2.gz GZPHITHDHBFFHW-HIFRSBDPSA-N 1 2 318.421 1.661 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102443331 778144842 /nfs/dbraw/zinc/14/48/42/778144842.db2.gz GZPHITHDHBFFHW-DZGCQCFKSA-N 1 2 318.421 1.661 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3CC3)C[C@H]21 ZINC001176883542 778292264 /nfs/dbraw/zinc/29/22/64/778292264.db2.gz YZEVUPWFDILULP-CVEARBPZSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3CC3)C[C@H]21 ZINC001176883542 778292268 /nfs/dbraw/zinc/29/22/68/778292268.db2.gz YZEVUPWFDILULP-CVEARBPZSA-N 1 2 308.422 1.291 20 30 DDEDLO CN(C(=O)CCc1[nH]cc[nH+]1)[C@H]1CCN(c2ccncc2C#N)C1 ZINC001102695850 778321927 /nfs/dbraw/zinc/32/19/27/778321927.db2.gz OJNFWESAWLTZTN-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3CCC3)C[C@@H]21 ZINC001176968439 778353956 /nfs/dbraw/zinc/35/39/56/778353956.db2.gz FKGQKBASGKPWGI-DLBZAZTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3CCC3)C[C@@H]21 ZINC001176968439 778353965 /nfs/dbraw/zinc/35/39/65/778353965.db2.gz FKGQKBASGKPWGI-DLBZAZTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC3(OC)CCC3)C[C@H]21 ZINC001177005754 778386277 /nfs/dbraw/zinc/38/62/77/778386277.db2.gz JUHMOMHZLUBLBQ-HZPDHXFCSA-N 1 2 322.449 1.823 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3(OC)CCC3)C[C@H]21 ZINC001177005754 778386284 /nfs/dbraw/zinc/38/62/84/778386284.db2.gz JUHMOMHZLUBLBQ-HZPDHXFCSA-N 1 2 322.449 1.823 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001102786326 778400242 /nfs/dbraw/zinc/40/02/42/778400242.db2.gz ASNAJODJKRUQNW-NHYWBVRUSA-N 1 2 315.421 1.989 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CCOC)C[C@H]21 ZINC001177117614 778432477 /nfs/dbraw/zinc/43/24/77/778432477.db2.gz ALNYFSZMICAYSQ-HZPDHXFCSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CCOC)C[C@H]21 ZINC001177117614 778432478 /nfs/dbraw/zinc/43/24/78/778432478.db2.gz ALNYFSZMICAYSQ-HZPDHXFCSA-N 1 2 322.449 1.374 20 30 DDEDLO CC[C@H](CNC(=O)Cc1[nH]c[nH+]c1C)Nc1ccc(C#N)nc1 ZINC001103146968 778666240 /nfs/dbraw/zinc/66/62/40/778666240.db2.gz FMLIJPLAPYQNQS-GFCCVEGCSA-N 1 2 312.377 1.534 20 30 DDEDLO N#CC1(NC(=O)[C@H]2CCc3[nH+]ccn3C2)Cc2ccccc2C1 ZINC001178122673 778914434 /nfs/dbraw/zinc/91/44/34/778914434.db2.gz ZXCNNXZEVDVWDE-HNNXBMFYSA-N 1 2 306.369 1.623 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cn(C)nn1)C2 ZINC001111859187 779521865 /nfs/dbraw/zinc/52/18/65/779521865.db2.gz SIYWDUVJKCOVMZ-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cn(C)nn1)C2 ZINC001111859187 779521873 /nfs/dbraw/zinc/52/18/73/779521873.db2.gz SIYWDUVJKCOVMZ-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CCCN(C)c1cc[nH+]c(C)n1 ZINC001112178446 779640089 /nfs/dbraw/zinc/64/00/89/779640089.db2.gz LUMYEPGNKKGVPG-CABCVRRESA-N 1 2 318.421 1.661 20 30 DDEDLO C[NH+](C)[C@@H](C(=O)NCCCCCCNCC#N)c1cccnc1 ZINC001180386361 779739248 /nfs/dbraw/zinc/73/92/48/779739248.db2.gz DKMWNBOHQRGLJK-MRXNPFEDSA-N 1 2 317.437 1.474 20 30 DDEDLO CC[NH+](CC)CC(=O)NC1=C(Cl)C(=O)c2ccccc2C1=O ZINC001180391361 779743064 /nfs/dbraw/zinc/74/30/64/779743064.db2.gz TVIJKGLUKDGRPL-UHFFFAOYSA-N 1 2 320.776 1.974 20 30 DDEDLO Cc1nc(N(C)CCOCCNC(=O)[C@@H](C)C#N)c(C)c(C)[nH+]1 ZINC001115186735 779931649 /nfs/dbraw/zinc/93/16/49/779931649.db2.gz APKRZVBRBBPHHN-NSHDSACASA-N 1 2 319.409 1.131 20 30 DDEDLO N#CCC1CC[NH+](CN2C(=O)CN(C3CCCC3)C2=O)CC1 ZINC001116522561 780518102 /nfs/dbraw/zinc/51/81/02/780518102.db2.gz PVVFOQJJALVCMU-UHFFFAOYSA-N 1 2 304.394 1.776 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C(=O)OC)n2)C1 ZINC001116724772 780548433 /nfs/dbraw/zinc/54/84/33/780548433.db2.gz CJNLFGHDWBEFOX-GFCCVEGCSA-N 1 2 319.361 1.084 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C(=O)OC)n2)C1 ZINC001116724772 780548439 /nfs/dbraw/zinc/54/84/39/780548439.db2.gz CJNLFGHDWBEFOX-GFCCVEGCSA-N 1 2 319.361 1.084 20 30 DDEDLO C#CCCCCNC(=O)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC001117156432 780679622 /nfs/dbraw/zinc/67/96/22/780679622.db2.gz WFMWQOLDNVAEEM-UHFFFAOYSA-N 1 2 324.384 1.408 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC001117348160 780731520 /nfs/dbraw/zinc/73/15/20/780731520.db2.gz ARSVURFBZCAPNR-ZDUSSCGKSA-N 1 2 304.394 1.587 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC001117348160 780731526 /nfs/dbraw/zinc/73/15/26/780731526.db2.gz ARSVURFBZCAPNR-ZDUSSCGKSA-N 1 2 304.394 1.587 20 30 DDEDLO C#C[C@H]([NH2+]Cc1cn(-c2ccc(F)cc2)nn1)[C@@H]1CCCO1 ZINC001118805244 781243300 /nfs/dbraw/zinc/24/33/00/781243300.db2.gz XMCURJRRLJIKJA-HOTGVXAUSA-N 1 2 300.337 1.677 20 30 DDEDLO C=CCCC(=O)NCC[N@@H+](C)CC(=O)Nc1ccc(O)cc1 ZINC001266261840 836003738 /nfs/dbraw/zinc/00/37/38/836003738.db2.gz AFFBWEVOYAEDIY-UHFFFAOYSA-N 1 2 305.378 1.345 20 30 DDEDLO C=CCCC(=O)NCC[N@H+](C)CC(=O)Nc1ccc(O)cc1 ZINC001266261840 836003750 /nfs/dbraw/zinc/00/37/50/836003750.db2.gz AFFBWEVOYAEDIY-UHFFFAOYSA-N 1 2 305.378 1.345 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CC23CCCC3)C1 ZINC001266350220 836157253 /nfs/dbraw/zinc/15/72/53/836157253.db2.gz RPLNVQQWQOQEKR-ZIAGYGMSSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CC23CCCC3)C1 ZINC001266350220 836157257 /nfs/dbraw/zinc/15/72/57/836157257.db2.gz RPLNVQQWQOQEKR-ZIAGYGMSSA-N 1 2 305.422 1.059 20 30 DDEDLO C=C[C@@H](CC(=O)NCC[N@@H+](C)CC(=O)NC)c1ccccc1 ZINC001266362890 836174894 /nfs/dbraw/zinc/17/48/94/836174894.db2.gz YYXNYRAOWYWMFG-AWEZNQCLSA-N 1 2 303.406 1.140 20 30 DDEDLO C=C[C@@H](CC(=O)NCC[N@H+](C)CC(=O)NC)c1ccccc1 ZINC001266362890 836174897 /nfs/dbraw/zinc/17/48/97/836174897.db2.gz YYXNYRAOWYWMFG-AWEZNQCLSA-N 1 2 303.406 1.140 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(C)[nH]2)C1 ZINC001266537336 836434163 /nfs/dbraw/zinc/43/41/63/836434163.db2.gz JNWLGZBSKYHWPR-ZDUSSCGKSA-N 1 2 305.426 1.654 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@H+](Cc2nnc(C)[nH]2)C1 ZINC001266537336 836434166 /nfs/dbraw/zinc/43/41/66/836434166.db2.gz JNWLGZBSKYHWPR-ZDUSSCGKSA-N 1 2 305.426 1.654 20 30 DDEDLO Cc1cc(C[N@H+]2CCCC[C@@H]2CNC(=O)C#CC(C)C)nn1C ZINC001266842192 836914451 /nfs/dbraw/zinc/91/44/51/836914451.db2.gz IQILLOVDDAJBLR-QGZVFWFLSA-N 1 2 316.449 1.859 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCC[C@@H]2CNC(=O)C#CC(C)C)nn1C ZINC001266842192 836914454 /nfs/dbraw/zinc/91/44/54/836914454.db2.gz IQILLOVDDAJBLR-QGZVFWFLSA-N 1 2 316.449 1.859 20 30 DDEDLO CCCNC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001266842887 836917453 /nfs/dbraw/zinc/91/74/53/836917453.db2.gz WWFRQFGXKNZCES-HNNXBMFYSA-N 1 2 321.465 1.533 20 30 DDEDLO CCCNC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001266842887 836917459 /nfs/dbraw/zinc/91/74/59/836917459.db2.gz WWFRQFGXKNZCES-HNNXBMFYSA-N 1 2 321.465 1.533 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)CCOCCNC(=O)[C@@H](C)C#N)o1 ZINC001283360518 837188619 /nfs/dbraw/zinc/18/86/19/837188619.db2.gz WKUYMUIHCLBUFG-WCQYABFASA-N 1 2 308.382 1.268 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)CCOCCNC(=O)[C@@H](C)C#N)o1 ZINC001283360518 837188623 /nfs/dbraw/zinc/18/86/23/837188623.db2.gz WKUYMUIHCLBUFG-WCQYABFASA-N 1 2 308.382 1.268 20 30 DDEDLO Cn1cc(C[N@H+](C)[C@@H]2CCCN(C(=O)C#CC(C)(C)C)C2)nn1 ZINC001267105011 837355018 /nfs/dbraw/zinc/35/50/18/837355018.db2.gz IAQFVBUTLUEILE-OAHLLOKOSA-N 1 2 317.437 1.287 20 30 DDEDLO Cn1cc(C[N@@H+](C)[C@@H]2CCCN(C(=O)C#CC(C)(C)C)C2)nn1 ZINC001267105011 837355028 /nfs/dbraw/zinc/35/50/28/837355028.db2.gz IAQFVBUTLUEILE-OAHLLOKOSA-N 1 2 317.437 1.287 20 30 DDEDLO CCCc1noc(C[NH2+]CCN(C)C(=O)c2ccc(C#N)[nH]2)n1 ZINC001267365100 837922628 /nfs/dbraw/zinc/92/26/28/837922628.db2.gz WODVIDBGGLMQDZ-UHFFFAOYSA-N 1 2 316.365 1.084 20 30 DDEDLO C=C(Cl)C[NH2+]CCN(C)C(=O)c1cn[nH]c1-c1ccccn1 ZINC001267382734 837960069 /nfs/dbraw/zinc/96/00/69/837960069.db2.gz WVPRAKGZEUCSSA-UHFFFAOYSA-N 1 2 319.796 1.886 20 30 DDEDLO C=CCCC(=O)N(CCC)[C@@H]1CC[N@H+](CCS(C)(=O)=O)C1 ZINC001267540018 838270621 /nfs/dbraw/zinc/27/06/21/838270621.db2.gz WKINODCXNKFXFF-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCCC(=O)N(CCC)[C@@H]1CC[N@@H+](CCS(C)(=O)=O)C1 ZINC001267540018 838270627 /nfs/dbraw/zinc/27/06/27/838270627.db2.gz WKINODCXNKFXFF-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@@H]2CC[C@H]3C[C@H]32)CC1 ZINC001267609258 838450941 /nfs/dbraw/zinc/45/09/41/838450941.db2.gz UMKZSWBLMRXOIU-YESZJQIVSA-N 1 2 303.450 1.132 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CCc2ccco2)C1 ZINC001267611466 838457889 /nfs/dbraw/zinc/45/78/89/838457889.db2.gz WKAWZNRIAJEADZ-CQSZACIVSA-N 1 2 319.405 1.095 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CCc2ccco2)C1 ZINC001267611466 838457893 /nfs/dbraw/zinc/45/78/93/838457893.db2.gz WKAWZNRIAJEADZ-CQSZACIVSA-N 1 2 319.405 1.095 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)C2(F)CCCCC2)C1 ZINC001267619240 838507351 /nfs/dbraw/zinc/50/73/51/838507351.db2.gz CUGWSPAEWDQXGS-OAHLLOKOSA-N 1 2 310.413 1.889 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)C2(F)CCCCC2)C1 ZINC001267619240 838507353 /nfs/dbraw/zinc/50/73/53/838507353.db2.gz CUGWSPAEWDQXGS-OAHLLOKOSA-N 1 2 310.413 1.889 20 30 DDEDLO CC#CCCCC(=O)NCC1C[NH+](CC(=O)NC(C)(C)CC)C1 ZINC001267689350 838645373 /nfs/dbraw/zinc/64/53/73/838645373.db2.gz IUOFQWMEZLPKCL-UHFFFAOYSA-N 1 2 321.465 1.533 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(OC)nc1 ZINC001267704719 838681942 /nfs/dbraw/zinc/68/19/42/838681942.db2.gz WSKYALBLQWNUOQ-GJZGRUSLSA-N 1 2 301.390 1.842 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(OC)nc1 ZINC001267704719 838681944 /nfs/dbraw/zinc/68/19/44/838681944.db2.gz WSKYALBLQWNUOQ-GJZGRUSLSA-N 1 2 301.390 1.842 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)OCc1ccccc1 ZINC001267712451 838700029 /nfs/dbraw/zinc/70/00/29/838700029.db2.gz QFPWQSHYCXJPTK-NJAFHUGGSA-N 1 2 312.413 1.900 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)OCc1ccccc1 ZINC001267712451 838700032 /nfs/dbraw/zinc/70/00/32/838700032.db2.gz QFPWQSHYCXJPTK-NJAFHUGGSA-N 1 2 312.413 1.900 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2ccccc2C)C1 ZINC001267736239 838788581 /nfs/dbraw/zinc/78/85/81/838788581.db2.gz YKWYKBQETTWQAP-CQSZACIVSA-N 1 2 301.390 1.101 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2ccccc2C)C1 ZINC001267736239 838788582 /nfs/dbraw/zinc/78/85/82/838788582.db2.gz YKWYKBQETTWQAP-CQSZACIVSA-N 1 2 301.390 1.101 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@@H](NC(=O)c2n[nH]c3ccccc32)C1 ZINC001267752340 838854471 /nfs/dbraw/zinc/85/44/71/838854471.db2.gz XFYRSLAVEQLYJM-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@@H](NC(=O)c2n[nH]c3ccccc32)C1 ZINC001267752340 838854482 /nfs/dbraw/zinc/85/44/82/838854482.db2.gz XFYRSLAVEQLYJM-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001267949185 839244346 /nfs/dbraw/zinc/24/43/46/839244346.db2.gz BZOIBZWZYRPRQG-XHSDSOJGSA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001267949185 839244356 /nfs/dbraw/zinc/24/43/56/839244356.db2.gz BZOIBZWZYRPRQG-XHSDSOJGSA-N 1 2 319.449 1.305 20 30 DDEDLO CCc1nc(C[NH2+]CC[C@H]2CCCCN2C(=O)[C@H](C)C#N)no1 ZINC001268149877 839756906 /nfs/dbraw/zinc/75/69/06/839756906.db2.gz KFPUZMYRWFRUBM-CHWSQXEVSA-N 1 2 319.409 1.652 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)C[N@H+](C)Cc1nc(C)c(C)s1 ZINC001268730109 840724027 /nfs/dbraw/zinc/72/40/27/840724027.db2.gz LYYLKEWVCJMARE-LBPRGKRZSA-N 1 2 323.462 1.736 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)C[N@@H+](C)Cc1nc(C)c(C)s1 ZINC001268730109 840724033 /nfs/dbraw/zinc/72/40/33/840724033.db2.gz LYYLKEWVCJMARE-LBPRGKRZSA-N 1 2 323.462 1.736 20 30 DDEDLO C#CC[N@@H+](C)C[C@@H](C)NC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC001268741092 840744519 /nfs/dbraw/zinc/74/45/19/840744519.db2.gz ZOBSJWYJELGEOK-MRVPVSSYSA-N 1 2 302.300 1.420 20 30 DDEDLO C#CC[N@H+](C)C[C@@H](C)NC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC001268741092 840744523 /nfs/dbraw/zinc/74/45/23/840744523.db2.gz ZOBSJWYJELGEOK-MRVPVSSYSA-N 1 2 302.300 1.420 20 30 DDEDLO CCC#CC(=O)N1CC[C@@H]2C[C@@]21C(=O)Nc1cccc2[nH+]ccn21 ZINC001268873574 840932364 /nfs/dbraw/zinc/93/23/64/840932364.db2.gz XOZJCTPEGFUJFM-ACJLOTCBSA-N 1 2 322.368 1.677 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)CCC2CCCCC2)C1 ZINC001268900192 840970354 /nfs/dbraw/zinc/97/03/54/840970354.db2.gz AGXAXSOVJVZEMA-UHFFFAOYSA-N 1 2 321.465 1.697 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]c(C)cc1C ZINC001268952048 841034440 /nfs/dbraw/zinc/03/44/40/841034440.db2.gz OLWIYJQJWPQPQC-GJZGRUSLSA-N 1 2 301.390 1.180 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]c(C)cc1C ZINC001268952048 841034447 /nfs/dbraw/zinc/03/44/47/841034447.db2.gz OLWIYJQJWPQPQC-GJZGRUSLSA-N 1 2 301.390 1.180 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@H]1CCC[C@@H]1CC ZINC001272105693 844617518 /nfs/dbraw/zinc/61/75/18/844617518.db2.gz NNPFAWFVIXJYAJ-GJZGRUSLSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@H]1CCC[C@@H]1CC ZINC001272105693 844617523 /nfs/dbraw/zinc/61/75/23/844617523.db2.gz NNPFAWFVIXJYAJ-GJZGRUSLSA-N 1 2 309.454 1.505 20 30 DDEDLO CCCC#CC(=O)N1CC2(C1)C[NH+](Cc1scnc1C)C2 ZINC001272112471 844623012 /nfs/dbraw/zinc/62/30/12/844623012.db2.gz SZUMNJZXLJARJW-UHFFFAOYSA-N 1 2 303.431 1.899 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)[C@H]4C[C@H]4C4CC4)C3)C2)cc1C#N ZINC001272119164 844631572 /nfs/dbraw/zinc/63/15/72/844631572.db2.gz NWODLZKOJBEZDB-IRXDYDNUSA-N 1 2 324.428 1.587 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](N(C)C(=O)c2cccs2)C1 ZINC001269209025 841351333 /nfs/dbraw/zinc/35/13/33/841351333.db2.gz SHMLGMKEKBINNL-OLZOCXBDSA-N 1 2 321.446 1.585 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](N(C)C(=O)c2cccs2)C1 ZINC001269209025 841351344 /nfs/dbraw/zinc/35/13/44/841351344.db2.gz SHMLGMKEKBINNL-OLZOCXBDSA-N 1 2 321.446 1.585 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+]1Cc1cc(CO)ccc1F ZINC001269222508 841374177 /nfs/dbraw/zinc/37/41/77/841374177.db2.gz HMHVPNBAIFDPOS-INIZCTEOSA-N 1 2 318.392 1.812 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+]1Cc1cc(CO)ccc1F ZINC001269222508 841374187 /nfs/dbraw/zinc/37/41/87/841374187.db2.gz HMHVPNBAIFDPOS-INIZCTEOSA-N 1 2 318.392 1.812 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H](N(C)C(=O)C#CC(C)(C)C)C2)nn1C ZINC001269239723 841404352 /nfs/dbraw/zinc/40/43/52/841404352.db2.gz CWIINZSEGINZDH-INIZCTEOSA-N 1 2 316.449 1.811 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H](N(C)C(=O)C#CC(C)(C)C)C2)nn1C ZINC001269239723 841404357 /nfs/dbraw/zinc/40/43/57/841404357.db2.gz CWIINZSEGINZDH-INIZCTEOSA-N 1 2 316.449 1.811 20 30 DDEDLO C=CCOCC(=O)NCC1([NH2+]Cc2nnc(C(C)(C)C)[nH]2)CC1 ZINC001269384222 841588362 /nfs/dbraw/zinc/58/83/62/841588362.db2.gz XITPJXZCOSHOMP-UHFFFAOYSA-N 1 2 321.425 1.043 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](CCOCCCC)C2)cn1 ZINC001269876753 842124972 /nfs/dbraw/zinc/12/49/72/842124972.db2.gz YDROVTKPYVOOOY-UHFFFAOYSA-N 1 2 315.417 1.636 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](CCCc2nc(C)no2)CCO1 ZINC001270628322 842754757 /nfs/dbraw/zinc/75/47/57/842754757.db2.gz CUSQRGUQUSXQRM-CQSZACIVSA-N 1 2 322.409 1.094 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](CCCc2nc(C)no2)CCO1 ZINC001270628322 842754766 /nfs/dbraw/zinc/75/47/66/842754766.db2.gz CUSQRGUQUSXQRM-CQSZACIVSA-N 1 2 322.409 1.094 20 30 DDEDLO C=CCCC(=O)NCC1(C)CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001270867451 842987935 /nfs/dbraw/zinc/98/79/35/842987935.db2.gz UGKVBLKYLQMHOG-UHFFFAOYSA-N 1 2 305.426 1.798 20 30 DDEDLO CC(C)C#CC(=O)NCC1(C)CC[NH+](Cc2nncs2)CC1 ZINC001270880192 843005871 /nfs/dbraw/zinc/00/58/71/843005871.db2.gz VWWCWBWZYXMMOD-UHFFFAOYSA-N 1 2 320.462 1.916 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1C ZINC001154719944 861390075 /nfs/dbraw/zinc/39/00/75/861390075.db2.gz PWLCBPMJFMQBCR-OAHLLOKOSA-N 1 2 304.438 1.940 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1C ZINC001154719944 861390090 /nfs/dbraw/zinc/39/00/90/861390090.db2.gz PWLCBPMJFMQBCR-OAHLLOKOSA-N 1 2 304.438 1.940 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)Cc1ccn(C(C)C)n1 ZINC001326645961 861507987 /nfs/dbraw/zinc/50/79/87/861507987.db2.gz BBVNSKQVLSWKBV-MRXNPFEDSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)Cc1ccn(C(C)C)n1 ZINC001326645961 861507996 /nfs/dbraw/zinc/50/79/96/861507996.db2.gz BBVNSKQVLSWKBV-MRXNPFEDSA-N 1 2 320.437 1.400 20 30 DDEDLO CCc1noc(C[NH2+][C@H](C)[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001409330070 844909787 /nfs/dbraw/zinc/90/97/87/844909787.db2.gz FUKINDSEJNCUDX-NXEZZACHSA-N 1 2 316.365 1.128 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cn3cc(Cl)ccc3n2)CC1 ZINC001149285592 861518594 /nfs/dbraw/zinc/51/85/94/861518594.db2.gz IYESBEBVJXOSNC-UHFFFAOYSA-N 1 2 304.781 1.932 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](CCOc1ccccc1)C2 ZINC001272667585 846537432 /nfs/dbraw/zinc/53/74/32/846537432.db2.gz VGPGWNYXLOMPJC-UHFFFAOYSA-N 1 2 316.401 1.555 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3cccc4[nH]ccc43)C2)OCC1=O ZINC001272686359 846616502 /nfs/dbraw/zinc/61/65/02/846616502.db2.gz DDGCNODBFFANGL-UHFFFAOYSA-N 1 2 311.385 1.767 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]1(C)C[N@H+](Cc2ccc(F)cc2)CCO1 ZINC001107818884 847195313 /nfs/dbraw/zinc/19/53/13/847195313.db2.gz HWDPNDRRXOHFPJ-CXAGYDPISA-N 1 2 319.380 1.692 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]1(C)C[N@@H+](Cc2ccc(F)cc2)CCO1 ZINC001107818884 847195319 /nfs/dbraw/zinc/19/53/19/847195319.db2.gz HWDPNDRRXOHFPJ-CXAGYDPISA-N 1 2 319.380 1.692 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1ncccc1F)C2 ZINC001272795984 847438720 /nfs/dbraw/zinc/43/87/20/847438720.db2.gz TXRGUVVUMXBLEX-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1ncccc1F)C2 ZINC001272795984 847438724 /nfs/dbraw/zinc/43/87/24/847438724.db2.gz TXRGUVVUMXBLEX-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C(=O)COCC12CN(Cc1c[nH+]c(CCCC)[nH]1)C2 ZINC001272833946 847527101 /nfs/dbraw/zinc/52/71/01/847527101.db2.gz RPRAPIRXXQCLEK-UHFFFAOYSA-N 1 2 318.421 1.352 20 30 DDEDLO C=CCN1C(=O)COCC12CN(Cc1c[nH]c(CCCC)[nH+]1)C2 ZINC001272833946 847527110 /nfs/dbraw/zinc/52/71/10/847527110.db2.gz RPRAPIRXXQCLEK-UHFFFAOYSA-N 1 2 318.421 1.352 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2ccc(Cl)cn2)C1=O ZINC001272847357 847544896 /nfs/dbraw/zinc/54/48/96/847544896.db2.gz PBENIOSLFITRBI-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2ccc(Cl)cn2)C1=O ZINC001272847357 847544901 /nfs/dbraw/zinc/54/49/01/847544901.db2.gz PBENIOSLFITRBI-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO CC#CC[N@@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744803 861869496 /nfs/dbraw/zinc/86/94/96/861869496.db2.gz DWPOMOXMARIITN-GHMZBOCLSA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[N@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744803 861869513 /nfs/dbraw/zinc/86/95/13/861869513.db2.gz DWPOMOXMARIITN-GHMZBOCLSA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149744803 861869531 /nfs/dbraw/zinc/86/95/31/861869531.db2.gz DWPOMOXMARIITN-GHMZBOCLSA-N 1 2 310.291 1.117 20 30 DDEDLO CC#CC[N@H+]1CCCO[C@H](CNC(=O)[C@@H](F)C(F)(F)F)C1 ZINC001149744803 861869548 /nfs/dbraw/zinc/86/95/48/861869548.db2.gz DWPOMOXMARIITN-GHMZBOCLSA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[N@@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746904 861870266 /nfs/dbraw/zinc/87/02/66/861870266.db2.gz XMSVCNYMNIVIHG-MNOVXSKESA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[N@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746904 861870284 /nfs/dbraw/zinc/87/02/84/861870284.db2.gz XMSVCNYMNIVIHG-MNOVXSKESA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[N@@H+]1CCCO[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149746904 861870302 /nfs/dbraw/zinc/87/03/02/861870302.db2.gz XMSVCNYMNIVIHG-MNOVXSKESA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[N@H+]1CCCO[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149746904 861870323 /nfs/dbraw/zinc/87/03/23/861870323.db2.gz XMSVCNYMNIVIHG-MNOVXSKESA-N 1 2 310.291 1.117 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)c(C)o2)[C@H](O)C1 ZINC001090198527 848176538 /nfs/dbraw/zinc/17/65/38/848176538.db2.gz YNZANVKAHIBJAF-CHWSQXEVSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)c(C)o2)[C@H](O)C1 ZINC001090198527 848176541 /nfs/dbraw/zinc/17/65/41/848176541.db2.gz YNZANVKAHIBJAF-CHWSQXEVSA-N 1 2 312.797 1.814 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@H+](Cc2nncs2)CC1 ZINC001327109631 861891583 /nfs/dbraw/zinc/89/15/83/861891583.db2.gz DIHSOXCGOQMKGG-LBPRGKRZSA-N 1 2 310.423 1.211 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2nncs2)CC1 ZINC001327109631 861891603 /nfs/dbraw/zinc/89/16/03/861891603.db2.gz DIHSOXCGOQMKGG-LBPRGKRZSA-N 1 2 310.423 1.211 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2nnc(C)[nH]2)CC1 ZINC001327149094 861930133 /nfs/dbraw/zinc/93/01/33/861930133.db2.gz XRDRGDURXJSGLH-HNNXBMFYSA-N 1 2 317.437 1.777 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2nnc(C)[nH]2)CC1 ZINC001327149094 861930143 /nfs/dbraw/zinc/93/01/43/861930143.db2.gz XRDRGDURXJSGLH-HNNXBMFYSA-N 1 2 317.437 1.777 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)C1(C)CCOCC1)O2 ZINC001327364202 862104378 /nfs/dbraw/zinc/10/43/78/862104378.db2.gz LOLXBJWQHNIGFN-HNNXBMFYSA-N 1 2 322.449 1.729 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C/c1ccco1)CO2 ZINC001327372317 862113423 /nfs/dbraw/zinc/11/34/23/862113423.db2.gz LMUVGXMOVYEVRM-UZYOAWRESA-N 1 2 300.358 1.276 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001134410378 850668944 /nfs/dbraw/zinc/66/89/44/850668944.db2.gz OHENYYNGLJSDBA-LLVKDONJSA-N 1 2 310.398 1.585 20 30 DDEDLO C#Cc1ccc(C[NH+]2CC3(C2)COCC(=O)N3CCCC)cc1 ZINC001273671636 851208238 /nfs/dbraw/zinc/20/82/38/851208238.db2.gz MOLUOSQNJPFXCI-UHFFFAOYSA-N 1 2 312.413 1.881 20 30 DDEDLO N#CCCCN1C[C@@]2(F)C[N@@H+](CCCCF)C[C@@]2(F)C1=O ZINC001273755805 851308050 /nfs/dbraw/zinc/30/80/50/851308050.db2.gz URHSHYQSUUKSQE-UONOGXRCSA-N 1 2 303.328 1.614 20 30 DDEDLO N#CCCCN1C[C@@]2(F)C[N@H+](CCCCF)C[C@@]2(F)C1=O ZINC001273755805 851308055 /nfs/dbraw/zinc/30/80/55/851308055.db2.gz URHSHYQSUUKSQE-UONOGXRCSA-N 1 2 303.328 1.614 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3nc(C)cc(C)n3)C2)OCC1=O ZINC001274018550 851856019 /nfs/dbraw/zinc/85/60/19/851856019.db2.gz SVWSXJWKZAYRSO-QGZVFWFLSA-N 1 2 316.405 1.083 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3nc(C)cc(C)n3)C2)OCC1=O ZINC001274018550 851856029 /nfs/dbraw/zinc/85/60/29/851856029.db2.gz SVWSXJWKZAYRSO-QGZVFWFLSA-N 1 2 316.405 1.083 20 30 DDEDLO N#CCCCC[N@@H+]1C[C@]2(F)CN(CCCC#N)C(=O)[C@]2(F)C1 ZINC001274043073 851883439 /nfs/dbraw/zinc/88/34/39/851883439.db2.gz MBCGEKKPPRSVKS-LSDHHAIUSA-N 1 2 310.348 1.558 20 30 DDEDLO N#CCCCC[N@H+]1C[C@]2(F)CN(CCCC#N)C(=O)[C@]2(F)C1 ZINC001274043073 851883444 /nfs/dbraw/zinc/88/34/44/851883444.db2.gz MBCGEKKPPRSVKS-LSDHHAIUSA-N 1 2 310.348 1.558 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C2=CC=CC2)cc1C#N ZINC001274145958 851974884 /nfs/dbraw/zinc/97/48/84/851974884.db2.gz FZCANYWZXSURGW-CALCHBBNSA-N 1 2 322.412 1.958 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C2=CC=CC2)cc1C#N ZINC001274145958 851974886 /nfs/dbraw/zinc/97/48/86/851974886.db2.gz FZCANYWZXSURGW-CALCHBBNSA-N 1 2 322.412 1.958 20 30 DDEDLO C=CCOC(=O)N1CCC[C@@]12CCN(Cc1c[nH+]cn1C)C2=O ZINC001274347749 852187625 /nfs/dbraw/zinc/18/76/25/852187625.db2.gz VOQJIINJHLKSFT-INIZCTEOSA-N 1 2 318.377 1.310 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2ccc(C(=O)OC)[nH]2)C1=O ZINC001274477879 852313747 /nfs/dbraw/zinc/31/37/47/852313747.db2.gz WREDMOCTDKJMBC-KRWDZBQOSA-N 1 2 315.373 1.001 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2ccc(C(=O)OC)[nH]2)C1=O ZINC001274477879 852313758 /nfs/dbraw/zinc/31/37/58/852313758.db2.gz WREDMOCTDKJMBC-KRWDZBQOSA-N 1 2 315.373 1.001 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C[C@H]1C ZINC001274625710 852458036 /nfs/dbraw/zinc/45/80/36/852458036.db2.gz WBGNGRATZCONFX-OCCSQVGLSA-N 1 2 318.421 1.897 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C[C@H]1C ZINC001274625710 852458038 /nfs/dbraw/zinc/45/80/38/852458038.db2.gz WBGNGRATZCONFX-OCCSQVGLSA-N 1 2 318.421 1.897 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cn(CCC)cn2)C1=O ZINC001274730002 852558219 /nfs/dbraw/zinc/55/82/19/852558219.db2.gz UISYPKWHGMNGDY-KRWDZBQOSA-N 1 2 300.406 1.493 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cn(CCC)cn2)C1=O ZINC001274730002 852558225 /nfs/dbraw/zinc/55/82/25/852558225.db2.gz UISYPKWHGMNGDY-KRWDZBQOSA-N 1 2 300.406 1.493 20 30 DDEDLO C#Cc1ccccc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cn[nH]c1 ZINC001274875408 852654504 /nfs/dbraw/zinc/65/45/04/852654504.db2.gz VBSJTDGCGHPCJL-CALCHBBNSA-N 1 2 320.396 1.880 20 30 DDEDLO C#Cc1ccccc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cn[nH]c1 ZINC001274875408 852654510 /nfs/dbraw/zinc/65/45/10/852654510.db2.gz VBSJTDGCGHPCJL-CALCHBBNSA-N 1 2 320.396 1.880 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H](C)CCOC)C1 ZINC001150738352 862444183 /nfs/dbraw/zinc/44/41/83/862444183.db2.gz PIGGMBVLWDWPFR-GXTWGEPZSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H](C)CCOC)C1 ZINC001150738352 862444194 /nfs/dbraw/zinc/44/41/94/862444194.db2.gz PIGGMBVLWDWPFR-GXTWGEPZSA-N 1 2 318.845 1.619 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)[C@H](CC#N)c1ccccc1 ZINC001412082305 854204865 /nfs/dbraw/zinc/20/48/65/854204865.db2.gz RFAWGUCZBWONAC-NVXWUHKLSA-N 1 2 315.417 1.863 20 30 DDEDLO C#CCCCC(=O)NC1(CCO)C[NH+](C[C@@H]2CC(C)(C)CO2)C1 ZINC001276044926 854661185 /nfs/dbraw/zinc/66/11/85/854661185.db2.gz YBARPDJKAJCJAL-HNNXBMFYSA-N 1 2 322.449 1.158 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4cccc(=O)[nH]4)C3)C2)cc1C#N ZINC001276354993 855711701 /nfs/dbraw/zinc/71/17/01/855711701.db2.gz JIOUIVDAYJZUHT-UHFFFAOYSA-N 1 2 323.400 1.315 20 30 DDEDLO Cn1cc(CN2CC3(C2)C[NH+](Cc2cccc(=O)[nH]2)C3)cc1C#N ZINC001276354993 855711707 /nfs/dbraw/zinc/71/17/07/855711707.db2.gz JIOUIVDAYJZUHT-UHFFFAOYSA-N 1 2 323.400 1.315 20 30 DDEDLO CCC[C@@]1(C)C(=O)NCC[N@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001412978839 855859890 /nfs/dbraw/zinc/85/98/90/855859890.db2.gz JYBIDFIPYRUGEC-RDJZCZTQSA-N 1 2 301.390 1.582 20 30 DDEDLO CCC[C@@]1(C)C(=O)NCC[N@@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001412978839 855859896 /nfs/dbraw/zinc/85/98/96/855859896.db2.gz JYBIDFIPYRUGEC-RDJZCZTQSA-N 1 2 301.390 1.582 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2cc(C#C[Si](C)(C)C)ccn2)C[NH2+]1 ZINC001156249372 862860793 /nfs/dbraw/zinc/86/07/93/862860793.db2.gz HNROVOANEVYUAN-KBPBESRZSA-N 1 2 317.465 1.626 20 30 DDEDLO CC(C)n1cc(C[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)nn1 ZINC001072552334 857436376 /nfs/dbraw/zinc/43/63/76/857436376.db2.gz OBIUULFNOCAUCR-CYBMUJFWSA-N 1 2 316.409 1.053 20 30 DDEDLO CC(C)n1cc(C[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)nn1 ZINC001072552334 857436383 /nfs/dbraw/zinc/43/63/83/857436383.db2.gz OBIUULFNOCAUCR-CYBMUJFWSA-N 1 2 316.409 1.053 20 30 DDEDLO CC#CC[N@@H+]1CCC2(CN(C(=O)[C@@H]3CCCc4[nH]ncc43)C2)C1 ZINC001072735795 857654005 /nfs/dbraw/zinc/65/40/05/857654005.db2.gz QTJICTHCTBDGOD-CQSZACIVSA-N 1 2 312.417 1.387 20 30 DDEDLO CC#CC[N@H+]1CCC2(CN(C(=O)[C@@H]3CCCc4[nH]ncc43)C2)C1 ZINC001072735795 857654012 /nfs/dbraw/zinc/65/40/12/857654012.db2.gz QTJICTHCTBDGOD-CQSZACIVSA-N 1 2 312.417 1.387 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072801398 857720330 /nfs/dbraw/zinc/72/03/30/857720330.db2.gz QYTLXQVUHPKOPL-TZMCWYRMSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@@H+](Cc2cn(C)nn2)C3)C1 ZINC001072964035 857943498 /nfs/dbraw/zinc/94/34/98/857943498.db2.gz GPUSJNDTDHZFTA-UHFFFAOYSA-N 1 2 315.421 1.206 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@H+](Cc2cn(C)nn2)C3)C1 ZINC001072964035 857943506 /nfs/dbraw/zinc/94/35/06/857943506.db2.gz GPUSJNDTDHZFTA-UHFFFAOYSA-N 1 2 315.421 1.206 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C2CCOCC2)C1 ZINC001073511196 858399421 /nfs/dbraw/zinc/39/94/21/858399421.db2.gz SOYDSEISPGFDQM-CQSZACIVSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C2CCOCC2)C1 ZINC001073511196 858399429 /nfs/dbraw/zinc/39/94/29/858399429.db2.gz SOYDSEISPGFDQM-CQSZACIVSA-N 1 2 316.829 1.373 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C(C)(C)C1 ZINC001073517903 858403615 /nfs/dbraw/zinc/40/36/15/858403615.db2.gz BUMMMEXMOYFLMM-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C2CC(C)C2)C1 ZINC001073572224 858451675 /nfs/dbraw/zinc/45/16/75/858451675.db2.gz UUSBOQGATUDKFE-UBHUBRDASA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C2CC(C)C2)C1 ZINC001073572224 858451681 /nfs/dbraw/zinc/45/16/81/858451681.db2.gz UUSBOQGATUDKFE-UBHUBRDASA-N 1 2 300.830 1.992 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1CC ZINC001121803484 858599835 /nfs/dbraw/zinc/59/98/35/858599835.db2.gz LYQRSCZXGPUPDX-KGLIPLIRSA-N 1 2 305.426 1.538 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1CC ZINC001121803484 858599844 /nfs/dbraw/zinc/59/98/44/858599844.db2.gz LYQRSCZXGPUPDX-KGLIPLIRSA-N 1 2 305.426 1.538 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224386761 881363723 /nfs/dbraw/zinc/36/37/23/881363723.db2.gz TZFKVQJAHDBEJV-KRWDZBQOSA-N 1 2 319.453 1.746 20 30 DDEDLO Cc1cc(NC[C@H](O)CN(C)C(=O)[C@@H](C)C#N)nc(C(C)C)[nH+]1 ZINC001124190078 859619570 /nfs/dbraw/zinc/61/95/70/859619570.db2.gz BYYRQKQZXQGYRP-AAEUAGOBSA-N 1 2 319.409 1.299 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cccc4ccnn43)CC2)c1 ZINC001138807358 860196533 /nfs/dbraw/zinc/19/65/33/860196533.db2.gz CROSJYKKVXBPHR-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(Cc3ccc(C#N)c(F)c3)C2)C[C@@H](C)O1 ZINC001139531087 860427815 /nfs/dbraw/zinc/42/78/15/860427815.db2.gz KXPFNJFKOWLNAU-CHWSQXEVSA-N 1 2 303.381 1.991 20 30 DDEDLO C#C[C@H](CO)NC(=O)c1cccc(CNc2cc[nH+]c(C)n2)c1 ZINC001141496396 860868832 /nfs/dbraw/zinc/86/88/32/860868832.db2.gz PXKZJTGUIYWMNH-OAHLLOKOSA-N 1 2 310.357 1.121 20 30 DDEDLO N#CCCN1CC[NH+](Cc2ccc3c(c2)OCCCO3)CC1 ZINC001142266730 861095717 /nfs/dbraw/zinc/09/57/17/861095717.db2.gz IOHNIEHHRUXKRD-UHFFFAOYSA-N 1 2 301.390 1.879 20 30 DDEDLO N#Cc1cc(N)c(NC(=O)c2ccc3[nH+]c(N)[nH]c3c2)cc1C#N ZINC001156970498 863485530 /nfs/dbraw/zinc/48/55/30/863485530.db2.gz DTDSIZBQNKGUEQ-UHFFFAOYSA-N 1 2 317.312 1.723 20 30 DDEDLO C=CCOC[C@H]1CCC[C@@]12C[N@H+](Cc1cncn1C)CCO2 ZINC001204384055 863567297 /nfs/dbraw/zinc/56/72/97/863567297.db2.gz OEWWDHZHANYOJG-NVXWUHKLSA-N 1 2 305.422 1.994 20 30 DDEDLO C=CCOC[C@H]1CCC[C@@]12C[N@@H+](Cc1cncn1C)CCO2 ZINC001204384055 863567303 /nfs/dbraw/zinc/56/73/03/863567303.db2.gz OEWWDHZHANYOJG-NVXWUHKLSA-N 1 2 305.422 1.994 20 30 DDEDLO C=CC(C)(C)C(=O)NC1(CCO)C[NH+](C[C@@H]2CC[C@H](C)O2)C1 ZINC001329682368 863789509 /nfs/dbraw/zinc/78/95/09/863789509.db2.gz SYNGVIFYXSZDQM-KBPBESRZSA-N 1 2 310.438 1.319 20 30 DDEDLO C=CCOCC[NH+]1CC(CCO)(NC(=O)[C@H]2CC[C@H](C)C2)C1 ZINC001329706033 863801463 /nfs/dbraw/zinc/80/14/63/863801463.db2.gz UFPYDQDGCORYNI-GJZGRUSLSA-N 1 2 310.438 1.178 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(C)(C)CCC)C(C)(C)C1 ZINC001330229759 864176121 /nfs/dbraw/zinc/17/61/21/864176121.db2.gz JFRBRNHZMPEJBD-CQSZACIVSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)CCC)C(C)(C)C1 ZINC001330229759 864176124 /nfs/dbraw/zinc/17/61/24/864176124.db2.gz JFRBRNHZMPEJBD-CQSZACIVSA-N 1 2 321.465 1.389 20 30 DDEDLO COc1cc(N2CCN(c3cc(C)nc(C#N)n3)CC2)cc[nH+]1 ZINC001158098508 864427246 /nfs/dbraw/zinc/42/72/46/864427246.db2.gz CWGMHTLDYZTVJM-UHFFFAOYSA-N 1 2 310.361 1.387 20 30 DDEDLO COc1ccc(C(=NO)Nc2[nH+][nH]c(Cl)c3ncnc2-3)nc1 ZINC001158665399 864799673 /nfs/dbraw/zinc/79/96/73/864799673.db2.gz WDPNKLUGSXJICK-UHFFFAOYSA-N 1 2 319.712 1.658 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(C#N)ccc2C)C1 ZINC001331857903 865393551 /nfs/dbraw/zinc/39/35/51/865393551.db2.gz OAOXQNRPRVKUTD-OAHLLOKOSA-N 1 2 324.384 1.019 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(C#N)ccc2C)C1 ZINC001331857903 865393569 /nfs/dbraw/zinc/39/35/69/865393569.db2.gz OAOXQNRPRVKUTD-OAHLLOKOSA-N 1 2 324.384 1.019 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@@H]1[N@H+](Cc1nncn1C)CC2 ZINC001332108516 865582132 /nfs/dbraw/zinc/58/21/32/865582132.db2.gz BOYCGMOVZUCMRZ-YOEHRIQHSA-N 1 2 317.437 1.785 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@@H]1[N@@H+](Cc1nncn1C)CC2 ZINC001332108516 865582137 /nfs/dbraw/zinc/58/21/37/865582137.db2.gz BOYCGMOVZUCMRZ-YOEHRIQHSA-N 1 2 317.437 1.785 20 30 DDEDLO Cc1nnc(C[N@H+]2CC=C(CCNC(=O)C#CC3CC3)CC2)o1 ZINC001160081012 865671932 /nfs/dbraw/zinc/67/19/32/865671932.db2.gz BJKQMTDEBXSDCR-UHFFFAOYSA-N 1 2 314.389 1.430 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC=C(CCNC(=O)C#CC3CC3)CC2)o1 ZINC001160081012 865671937 /nfs/dbraw/zinc/67/19/37/865671937.db2.gz BJKQMTDEBXSDCR-UHFFFAOYSA-N 1 2 314.389 1.430 20 30 DDEDLO N#Cc1cnc(CNc2cc(N3CCSCC3)nc[nH+]2)s1 ZINC001161005220 866264686 /nfs/dbraw/zinc/26/46/86/866264686.db2.gz FUZDEGFCLQBJII-UHFFFAOYSA-N 1 2 318.431 1.970 20 30 DDEDLO N#Cc1cnc(CNc2cc(N3CCSCC3)[nH+]cn2)s1 ZINC001161005220 866264692 /nfs/dbraw/zinc/26/46/92/866264692.db2.gz FUZDEGFCLQBJII-UHFFFAOYSA-N 1 2 318.431 1.970 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC[N@H+](C)CC(=O)N[C@H](C)C(C)C ZINC001319996341 866423169 /nfs/dbraw/zinc/42/31/69/866423169.db2.gz ZRLZWEPYUJLBAR-OAHLLOKOSA-N 1 2 309.454 1.197 20 30 DDEDLO CC(C)C#CC(=O)N(C)CC[N@@H+](C)CC(=O)N[C@H](C)C(C)C ZINC001319996341 866423182 /nfs/dbraw/zinc/42/31/82/866423182.db2.gz ZRLZWEPYUJLBAR-OAHLLOKOSA-N 1 2 309.454 1.197 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)C1(CC)CCCC1 ZINC001323325974 866532508 /nfs/dbraw/zinc/53/25/08/866532508.db2.gz XOOPQBDZKVLSLP-CABCVRRESA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1(CC)CCCC1 ZINC001323325974 866532522 /nfs/dbraw/zinc/53/25/22/866532522.db2.gz XOOPQBDZKVLSLP-CABCVRRESA-N 1 2 321.465 1.838 20 30 DDEDLO C#CCCCCC(=O)N(C)C1C[NH+](CCn2nc(C)cc2C)C1 ZINC001323910123 866942747 /nfs/dbraw/zinc/94/27/47/866942747.db2.gz NNZBDANZEODVSD-UHFFFAOYSA-N 1 2 316.449 1.836 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2[nH]cnc2C(C)(C)C)C1 ZINC001323929867 866958362 /nfs/dbraw/zinc/95/83/62/866958362.db2.gz BAMDLXWTIJLVCW-UHFFFAOYSA-N 1 2 320.437 1.666 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CO[C@H]2CCCC[C@H]2C)C1 ZINC001323992644 866997061 /nfs/dbraw/zinc/99/70/61/866997061.db2.gz GAWGSQPEPGUEME-WBVHZDCISA-N 1 2 324.465 1.927 20 30 DDEDLO CC#CCCCC(=O)NCC1CC(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001333791081 867014210 /nfs/dbraw/zinc/01/42/10/867014210.db2.gz MDRQSGNRNFIMRK-UHFFFAOYSA-N 1 2 316.405 1.157 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)CNC(=O)c2ccc(C)s2)C1 ZINC001324018127 867014991 /nfs/dbraw/zinc/01/49/91/867014991.db2.gz OZVDJRLLEVTVPK-UHFFFAOYSA-N 1 2 307.419 1.115 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@@H](CNCC#N)C1CCCC1 ZINC001324508892 867319279 /nfs/dbraw/zinc/31/92/79/867319279.db2.gz DSPSZMRIJLMKEW-HNNXBMFYSA-N 1 2 303.410 1.141 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnns1 ZINC001324522238 867330286 /nfs/dbraw/zinc/33/02/86/867330286.db2.gz VOSGTICLSQBSCW-OLZOCXBDSA-N 1 2 322.434 1.306 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnns1 ZINC001324522238 867330293 /nfs/dbraw/zinc/33/02/93/867330293.db2.gz VOSGTICLSQBSCW-OLZOCXBDSA-N 1 2 322.434 1.306 20 30 DDEDLO CC#CCCCC(=O)NC[C@@]1(O)CC[N@H+](Cc2ncc(C)o2)C1 ZINC001325122604 867790517 /nfs/dbraw/zinc/79/05/17/867790517.db2.gz GORGOPQKXPMIBF-KRWDZBQOSA-N 1 2 319.405 1.230 20 30 DDEDLO CC#CCCCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001325122604 867790532 /nfs/dbraw/zinc/79/05/32/867790532.db2.gz GORGOPQKXPMIBF-KRWDZBQOSA-N 1 2 319.405 1.230 20 30 DDEDLO CC(C)c1noc([C@H](C)[NH2+]C[C@H](C)NC(=O)C#CC2CC2)n1 ZINC001321842430 867826948 /nfs/dbraw/zinc/82/69/48/867826948.db2.gz QOUAJCCBAWXKEV-RYUDHWBXSA-N 1 2 304.394 1.762 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)N[C@](CCC)(C3CC3)C2=O)C1 ZINC001325280197 867908895 /nfs/dbraw/zinc/90/88/95/867908895.db2.gz VUFRIYQGBXJNTQ-WMLDXEAASA-N 1 2 321.421 1.722 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)N[C@](CCC)(C3CC3)C2=O)C1 ZINC001325280197 867908908 /nfs/dbraw/zinc/90/89/08/867908908.db2.gz VUFRIYQGBXJNTQ-WMLDXEAASA-N 1 2 321.421 1.722 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)C[N@H+](C)Cc1ccn(C(C)C)n1 ZINC001322155643 868009619 /nfs/dbraw/zinc/00/96/19/868009619.db2.gz FCFWSLSBYUQLOA-HUUCEWRRSA-N 1 2 320.437 1.439 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)C[N@@H+](C)Cc1ccn(C(C)C)n1 ZINC001322155643 868009636 /nfs/dbraw/zinc/00/96/36/868009636.db2.gz FCFWSLSBYUQLOA-HUUCEWRRSA-N 1 2 320.437 1.439 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCCC[NH2+]Cc1noc(CC)n1 ZINC001163338835 868370771 /nfs/dbraw/zinc/37/07/71/868370771.db2.gz ANGQTBJAYFUDDI-INIZCTEOSA-N 1 2 324.425 1.457 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H](CNC(=O)Cc2c[nH+]cn2C)C1 ZINC001335735965 868507011 /nfs/dbraw/zinc/50/70/11/868507011.db2.gz XLJLLPSDXNLTRX-JOCQHMNTSA-N 1 2 318.421 1.186 20 30 DDEDLO Cc1cc([N-][NH+]=Cc2ccccc2N2CCOCC2)nc(N)[nH+]1 ZINC001335857581 868580697 /nfs/dbraw/zinc/58/06/97/868580697.db2.gz FXCBAJBDKBQDTR-UHFFFAOYSA-N 1 2 312.377 1.650 20 30 DDEDLO N#CC1CN(c2ncnc3c2C[N@H+](Cc2ccccc2)CC3)C1 ZINC001163692311 868632310 /nfs/dbraw/zinc/63/23/10/868632310.db2.gz JBGKRPKQFMWKKT-UHFFFAOYSA-N 1 2 305.385 1.995 20 30 DDEDLO N#CC1CN(c2ncnc3c2C[N@@H+](Cc2ccccc2)CC3)C1 ZINC001163692311 868632316 /nfs/dbraw/zinc/63/23/16/868632316.db2.gz JBGKRPKQFMWKKT-UHFFFAOYSA-N 1 2 305.385 1.995 20 30 DDEDLO CN(C)c1cc(Cl)nc(C(F)(F)N2CC[NH2+]C[C@H]2C#N)n1 ZINC001164642325 869338878 /nfs/dbraw/zinc/33/88/78/869338878.db2.gz UVRYQYXHOKFMCJ-MRVPVSSYSA-N 1 2 316.743 1.043 20 30 DDEDLO Cc1nc(C#N)cnc1N1CCc2onc(Cn3cc[nH+]c3)c2C1 ZINC001165548346 869638654 /nfs/dbraw/zinc/63/86/54/869638654.db2.gz VRXYZPCENDDHRH-UHFFFAOYSA-N 1 2 321.344 1.452 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)[C@@H]1C ZINC001337982840 869727091 /nfs/dbraw/zinc/72/70/91/869727091.db2.gz GNIALQNNIPVZMU-OCCSQVGLSA-N 1 2 318.421 1.280 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[C@@H](C)N(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001338135514 869824498 /nfs/dbraw/zinc/82/44/98/869824498.db2.gz PANVAVRRUVGATC-CHWSQXEVSA-N 1 2 318.421 1.660 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)C2[C@@H]3CCCCCC[C@@H]23)CC1 ZINC001316968710 870014162 /nfs/dbraw/zinc/01/41/62/870014162.db2.gz QYCPGUYNQUBUSD-IAGOWNOFSA-N 1 2 317.477 1.570 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2cc(F)ccc2C)C1 ZINC001316981234 870056181 /nfs/dbraw/zinc/05/61/81/870056181.db2.gz NYRCULKELOUUGO-CQSZACIVSA-N 1 2 319.380 1.240 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2cc(F)ccc2C)C1 ZINC001316981234 870056191 /nfs/dbraw/zinc/05/61/91/870056191.db2.gz NYRCULKELOUUGO-CQSZACIVSA-N 1 2 319.380 1.240 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](OC)C(F)(F)F ZINC001317052505 870167821 /nfs/dbraw/zinc/16/78/21/870167821.db2.gz XYWZUKJTLDQUPH-TUAOUCFPSA-N 1 2 304.312 1.262 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](OC)C(F)(F)F ZINC001317052505 870167827 /nfs/dbraw/zinc/16/78/27/870167827.db2.gz XYWZUKJTLDQUPH-TUAOUCFPSA-N 1 2 304.312 1.262 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCN(C)C(=O)CCn1cc[nH+]c1 ZINC001298078631 870273726 /nfs/dbraw/zinc/27/37/26/870273726.db2.gz YFAAYZNYNKFXFW-UHFFFAOYSA-N 1 2 320.437 1.792 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1CCC[N@@H+](Cc2nc(C)no2)C1 ZINC001317139124 870344410 /nfs/dbraw/zinc/34/44/10/870344410.db2.gz WXEHSRSILTVEPT-CQSZACIVSA-N 1 2 322.409 1.299 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1CCC[N@H+](Cc2nc(C)no2)C1 ZINC001317139124 870344429 /nfs/dbraw/zinc/34/44/29/870344429.db2.gz WXEHSRSILTVEPT-CQSZACIVSA-N 1 2 322.409 1.299 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCCC[N@H+]1Cc1nc(C)oc1C ZINC001317167739 870401280 /nfs/dbraw/zinc/40/12/80/870401280.db2.gz UHQYDZIZNHOPOJ-OAHLLOKOSA-N 1 2 321.421 1.965 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1nc(C)oc1C ZINC001317167739 870401282 /nfs/dbraw/zinc/40/12/82/870401282.db2.gz UHQYDZIZNHOPOJ-OAHLLOKOSA-N 1 2 321.421 1.965 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC/C=C/CNC(=O)Cc1c[nH+]cn1C ZINC001298481899 870572289 /nfs/dbraw/zinc/57/22/89/870572289.db2.gz CXFVCBNJSWYPOE-AGKLADILSA-N 1 2 318.421 1.209 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN1C(=O)Cn1cc[nH+]c1 ZINC001299094130 870894895 /nfs/dbraw/zinc/89/48/95/870894895.db2.gz AFTLFTUQYNEOJU-BBRMVZONSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+](CC(=O)Nc2cc(C)on2)C1 ZINC001317521958 870984556 /nfs/dbraw/zinc/98/45/56/870984556.db2.gz IMMZLDMCTIVOKB-CYBMUJFWSA-N 1 2 320.393 1.326 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+](CC(=O)Nc2cc(C)on2)C1 ZINC001317521958 870984572 /nfs/dbraw/zinc/98/45/72/870984572.db2.gz IMMZLDMCTIVOKB-CYBMUJFWSA-N 1 2 320.393 1.326 20 30 DDEDLO C[C@@H](O)[C@@H]([NH3+])c1nc(-c2ccc(Br)cc2C#N)no1 ZINC001204828057 871249740 /nfs/dbraw/zinc/24/97/40/871249740.db2.gz CDMGLVFRBDIGEF-LHLIQPBNSA-N 1 2 323.150 1.751 20 30 DDEDLO C=CCn1c(N2CCC=C(C)C2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001340700475 871292942 /nfs/dbraw/zinc/29/29/42/871292942.db2.gz DHNOHHSHILMUEU-WMLDXEAASA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1c(N2CCC=C(C)C2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001340700475 871292957 /nfs/dbraw/zinc/29/29/57/871292957.db2.gz DHNOHHSHILMUEU-WMLDXEAASA-N 1 2 317.437 1.532 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1C[C@H]2COC[C@@]2(C(=O)OC(C)(C)C)C1 ZINC001307918330 871459072 /nfs/dbraw/zinc/45/90/72/871459072.db2.gz WLPDIBHTMBZCNQ-MKBNYLNASA-N 1 2 311.378 1.004 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1C[C@H]2COC[C@@]2(C(=O)OC(C)(C)C)C1 ZINC001307918330 871459081 /nfs/dbraw/zinc/45/90/81/871459081.db2.gz WLPDIBHTMBZCNQ-MKBNYLNASA-N 1 2 311.378 1.004 20 30 DDEDLO C#CC[NH+](CC#C)C[C@@H]1COC(C)(C)N1C(=O)OC(C)(C)C ZINC001308604070 871512121 /nfs/dbraw/zinc/51/21/21/871512121.db2.gz SKSZXBNQMAIFSQ-CQSZACIVSA-N 1 2 306.406 1.927 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001317849387 871591378 /nfs/dbraw/zinc/59/13/78/871591378.db2.gz UPOQADILYHFTTO-LSDHHAIUSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001317849387 871591393 /nfs/dbraw/zinc/59/13/93/871591393.db2.gz UPOQADILYHFTTO-LSDHHAIUSA-N 1 2 319.453 1.698 20 30 DDEDLO CC#CC[N@H+](CC)CCNC(=O)c1cn[nH]c1-c1ccccn1 ZINC001317478995 871607357 /nfs/dbraw/zinc/60/73/57/871607357.db2.gz FWPNMSVLEOATOR-UHFFFAOYSA-N 1 2 311.389 1.547 20 30 DDEDLO CC#CC[N@@H+](CC)CCNC(=O)c1cn[nH]c1-c1ccccn1 ZINC001317478995 871607367 /nfs/dbraw/zinc/60/73/67/871607367.db2.gz FWPNMSVLEOATOR-UHFFFAOYSA-N 1 2 311.389 1.547 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)[C@H](OC)c2ccccc2)C1 ZINC001318079812 871732358 /nfs/dbraw/zinc/73/23/58/871732358.db2.gz LVSCFRAUXQNLKU-MRXNPFEDSA-N 1 2 304.390 1.377 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001318119013 871759757 /nfs/dbraw/zinc/75/97/57/871759757.db2.gz KOACJPHDEVJGLO-AWEZNQCLSA-N 1 2 311.385 1.145 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001318248233 871876996 /nfs/dbraw/zinc/87/69/96/871876996.db2.gz PSRDDBXNOIZNBK-OCCSQVGLSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001318248233 871877009 /nfs/dbraw/zinc/87/70/09/871877009.db2.gz PSRDDBXNOIZNBK-OCCSQVGLSA-N 1 2 319.405 1.391 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001318252414 871880290 /nfs/dbraw/zinc/88/02/90/871880290.db2.gz AKYUUVUJZJJEJP-LBPRGKRZSA-N 1 2 306.435 1.587 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001318252414 871880315 /nfs/dbraw/zinc/88/03/15/871880315.db2.gz AKYUUVUJZJJEJP-LBPRGKRZSA-N 1 2 306.435 1.587 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)[C@@H]1C ZINC001316781328 872032514 /nfs/dbraw/zinc/03/25/14/872032514.db2.gz OIFWMQFGZXIFKF-UKPHBRMFSA-N 1 2 320.437 1.135 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)[C@@H]1C ZINC001316781328 872032527 /nfs/dbraw/zinc/03/25/27/872032527.db2.gz OIFWMQFGZXIFKF-UKPHBRMFSA-N 1 2 320.437 1.135 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](Cc2ccc(S(=O)(=O)N(C)C)o2)C1 ZINC001342229340 872088529 /nfs/dbraw/zinc/08/85/29/872088529.db2.gz TTYPLLRROKACPC-LBPRGKRZSA-N 1 2 314.407 1.307 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](Cc2ccc(S(=O)(=O)N(C)C)o2)C1 ZINC001342229340 872088552 /nfs/dbraw/zinc/08/85/52/872088552.db2.gz TTYPLLRROKACPC-LBPRGKRZSA-N 1 2 314.407 1.307 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC001342265761 872112195 /nfs/dbraw/zinc/11/21/95/872112195.db2.gz JMWFRJBGLVLGND-CQSZACIVSA-N 1 2 316.405 1.201 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N1CC(C)(OC)C1 ZINC001342672905 872329098 /nfs/dbraw/zinc/32/90/98/872329098.db2.gz IUFFOEWZFCFWAR-CYBMUJFWSA-N 1 2 305.426 1.846 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N1CC(C)(OC)C1 ZINC001342672905 872329119 /nfs/dbraw/zinc/32/91/19/872329119.db2.gz IUFFOEWZFCFWAR-CYBMUJFWSA-N 1 2 305.426 1.846 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@](C)(CC)CCC)C1 ZINC001316955865 872461917 /nfs/dbraw/zinc/46/19/17/872461917.db2.gz MPJNUIDBBPXEMD-CRAIPNDOSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@](C)(CC)CCC)C1 ZINC001316955865 872461936 /nfs/dbraw/zinc/46/19/36/872461936.db2.gz MPJNUIDBBPXEMD-CRAIPNDOSA-N 1 2 321.465 1.533 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C2)c(C)s1 ZINC001206647465 872493349 /nfs/dbraw/zinc/49/33/49/872493349.db2.gz RLRDITCKMCHXBH-OPQQBVKSSA-N 1 2 306.435 1.856 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C2)c(C)s1 ZINC001206647465 872493369 /nfs/dbraw/zinc/49/33/69/872493369.db2.gz RLRDITCKMCHXBH-OPQQBVKSSA-N 1 2 306.435 1.856 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H](Cc3[nH+]ccn3C)C2)cn1 ZINC001319233808 872511770 /nfs/dbraw/zinc/51/17/70/872511770.db2.gz SZKSOAITFAXNKJ-AWEZNQCLSA-N 1 2 308.385 1.891 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@]2(C)C=CCC2)C1 ZINC001319320935 872569582 /nfs/dbraw/zinc/56/95/82/872569582.db2.gz ZESPECGDWTUXPJ-NVXWUHKLSA-N 1 2 308.422 1.362 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@]2(C)C=CCC2)C1 ZINC001319320935 872569592 /nfs/dbraw/zinc/56/95/92/872569592.db2.gz ZESPECGDWTUXPJ-NVXWUHKLSA-N 1 2 308.422 1.362 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2C[N@H+](CCOC(C)C)CCO2)C1 ZINC001319323155 872577311 /nfs/dbraw/zinc/57/73/11/872577311.db2.gz VDWGFVAYSNLVDA-HNNXBMFYSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2C[N@@H+](CCOC(C)C)CCO2)C1 ZINC001319323155 872577315 /nfs/dbraw/zinc/57/73/15/872577315.db2.gz VDWGFVAYSNLVDA-HNNXBMFYSA-N 1 2 310.438 1.585 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2nccc(C)n2)C[C@H]1C ZINC001206912524 872752205 /nfs/dbraw/zinc/75/22/05/872752205.db2.gz QBMCQKJFJJKIAX-UKRRQHHQSA-N 1 2 300.406 1.525 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2nccc(C)n2)C[C@H]1C ZINC001206912524 872752208 /nfs/dbraw/zinc/75/22/08/872752208.db2.gz QBMCQKJFJJKIAX-UKRRQHHQSA-N 1 2 300.406 1.525 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C2(COCC)CC2)C1 ZINC001207753606 873531238 /nfs/dbraw/zinc/53/12/38/873531238.db2.gz DILWWHNZUMOBIQ-DGCLKSJQSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C2(COCC)CC2)C1 ZINC001207753606 873531244 /nfs/dbraw/zinc/53/12/44/873531244.db2.gz DILWWHNZUMOBIQ-DGCLKSJQSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1CC1(C)COC1 ZINC001207791808 873569888 /nfs/dbraw/zinc/56/98/88/873569888.db2.gz YGPKUDMOWCPNGE-AWEZNQCLSA-N 1 2 306.410 1.436 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1CC1(C)COC1 ZINC001207791808 873569890 /nfs/dbraw/zinc/56/98/90/873569890.db2.gz YGPKUDMOWCPNGE-AWEZNQCLSA-N 1 2 306.410 1.436 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cnn2ccncc12 ZINC001378066463 874059483 /nfs/dbraw/zinc/05/94/83/874059483.db2.gz WERMATUPIDVOII-LLVKDONJSA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cnn2ccncc12 ZINC001378066463 874059496 /nfs/dbraw/zinc/05/94/96/874059496.db2.gz WERMATUPIDVOII-LLVKDONJSA-N 1 2 307.785 1.532 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001378190387 874383334 /nfs/dbraw/zinc/38/33/34/874383334.db2.gz JTDPBSBDRNVUCN-TZMCWYRMSA-N 1 2 317.393 1.068 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001378190387 874383345 /nfs/dbraw/zinc/38/33/45/874383345.db2.gz JTDPBSBDRNVUCN-TZMCWYRMSA-N 1 2 317.393 1.068 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnnn2CC)CC1(C)C ZINC001276579933 874399523 /nfs/dbraw/zinc/39/95/23/874399523.db2.gz PKTUSCJWHPOLQN-OAHLLOKOSA-N 1 2 317.437 1.428 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnnn2CC)CC1(C)C ZINC001276579933 874399536 /nfs/dbraw/zinc/39/95/36/874399536.db2.gz PKTUSCJWHPOLQN-OAHLLOKOSA-N 1 2 317.437 1.428 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H](C[NH2+]Cc1cnsn1)C1CC1 ZINC001276589974 874469814 /nfs/dbraw/zinc/46/98/14/874469814.db2.gz UCLRELLOSGNFPD-ZDUSSCGKSA-N 1 2 306.435 1.572 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+](Cc2ccn(CC)n2)CC1 ZINC001227107502 882838188 /nfs/dbraw/zinc/83/81/88/882838188.db2.gz FAQMTJHTTRZIEG-UHFFFAOYSA-N 1 2 302.422 1.787 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](CCOC(=O)c2ccccc2)CC1 ZINC001209048518 874639463 /nfs/dbraw/zinc/63/94/63/874639463.db2.gz XMARNYPFICDKTE-UHFFFAOYSA-N 1 2 316.357 1.622 20 30 DDEDLO C=C(CC(C)C)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC001348901303 874864715 /nfs/dbraw/zinc/86/47/15/874864715.db2.gz VXAAKYGKMNRLDY-QGZVFWFLSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C(CC(C)C)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@H+]1C ZINC001348901303 874864721 /nfs/dbraw/zinc/86/47/21/874864721.db2.gz VXAAKYGKMNRLDY-QGZVFWFLSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCCNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001349237854 875053068 /nfs/dbraw/zinc/05/30/68/875053068.db2.gz ZIUWPQSSAWJHJA-KGLIPLIRSA-N 1 2 318.421 1.280 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)cn1 ZINC001378635080 875282238 /nfs/dbraw/zinc/28/22/38/875282238.db2.gz HMEGUCFALIGOCW-BXUZGUMPSA-N 1 2 301.394 1.271 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)cn1 ZINC001378635080 875282228 /nfs/dbraw/zinc/28/22/28/875282228.db2.gz HMEGUCFALIGOCW-BXUZGUMPSA-N 1 2 301.394 1.271 20 30 DDEDLO Cc1noc([C@H](C)[NH+]2CCC(NC(=O)C#CC3CC3)CC2)n1 ZINC001227273540 882946784 /nfs/dbraw/zinc/94/67/84/882946784.db2.gz SBDOLVVQURQORB-NSHDSACASA-N 1 2 302.378 1.433 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nccs2)CC1 ZINC001227299314 882960667 /nfs/dbraw/zinc/96/06/67/882960667.db2.gz PIQVJTWZJSUOPV-LBPRGKRZSA-N 1 2 307.419 1.262 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001211420818 875799007 /nfs/dbraw/zinc/79/90/07/875799007.db2.gz SCPXUKRJIYIECE-IUODEOHRSA-N 1 2 321.421 1.821 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001211420818 875799019 /nfs/dbraw/zinc/79/90/19/875799019.db2.gz SCPXUKRJIYIECE-IUODEOHRSA-N 1 2 321.421 1.821 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001211425579 875802917 /nfs/dbraw/zinc/80/29/17/875802917.db2.gz OITIATXTLBMYDF-UMVBOHGHSA-N 1 2 321.421 1.765 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001211425579 875802928 /nfs/dbraw/zinc/80/29/28/875802928.db2.gz OITIATXTLBMYDF-UMVBOHGHSA-N 1 2 321.421 1.765 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nccs2)C[C@H]1C ZINC001211426012 875803380 /nfs/dbraw/zinc/80/33/80/875803380.db2.gz WLCSSWMBKHKKQI-FRRDWIJNSA-N 1 2 309.435 1.671 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nccs2)C[C@H]1C ZINC001211426012 875803385 /nfs/dbraw/zinc/80/33/85/875803385.db2.gz WLCSSWMBKHKKQI-FRRDWIJNSA-N 1 2 309.435 1.671 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213317582 875895830 /nfs/dbraw/zinc/89/58/30/875895830.db2.gz PFJQFGLYAZQIQJ-NUEKZKHPSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213317582 875895833 /nfs/dbraw/zinc/89/58/33/875895833.db2.gz PFJQFGLYAZQIQJ-NUEKZKHPSA-N 1 2 320.437 1.338 20 30 DDEDLO CCO[C@@H]1C[C@H]1C(=O)N(C)CC[N@H+](C)Cc1ccccc1C#N ZINC001379022793 876156823 /nfs/dbraw/zinc/15/68/23/876156823.db2.gz DTSOUPGXRBXEBO-IAGOWNOFSA-N 1 2 315.417 1.873 20 30 DDEDLO CCO[C@@H]1C[C@H]1C(=O)N(C)CC[N@@H+](C)Cc1ccccc1C#N ZINC001379022793 876156826 /nfs/dbraw/zinc/15/68/26/876156826.db2.gz DTSOUPGXRBXEBO-IAGOWNOFSA-N 1 2 315.417 1.873 20 30 DDEDLO Cc1cc(C[N@@H+](C)CCN(C)C(=O)c2c[nH]c(C#N)c2)on1 ZINC001379043287 876211529 /nfs/dbraw/zinc/21/15/29/876211529.db2.gz PQRFQWQWPOSWFK-UHFFFAOYSA-N 1 2 301.350 1.387 20 30 DDEDLO Cc1cc(C[N@H+](C)CCN(C)C(=O)c2c[nH]c(C#N)c2)on1 ZINC001379043287 876211546 /nfs/dbraw/zinc/21/15/46/876211546.db2.gz PQRFQWQWPOSWFK-UHFFFAOYSA-N 1 2 301.350 1.387 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1ncn(C(C)(C)C)n1 ZINC001379098393 876393608 /nfs/dbraw/zinc/39/36/08/876393608.db2.gz MMQOZHVLLFHYCG-UHFFFAOYSA-N 1 2 313.833 1.789 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1ncn(C(C)(C)C)n1 ZINC001379098393 876393622 /nfs/dbraw/zinc/39/36/22/876393622.db2.gz MMQOZHVLLFHYCG-UHFFFAOYSA-N 1 2 313.833 1.789 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001214727665 876450723 /nfs/dbraw/zinc/45/07/23/876450723.db2.gz LSVJTDGJJPWSND-DGCLKSJQSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C[C@@H](COC)NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC001352230541 876655454 /nfs/dbraw/zinc/65/54/54/876655454.db2.gz XTMWOBWCNSISKJ-HOCLYGCPSA-N 1 2 304.390 1.587 20 30 DDEDLO C=C[C@@H](COC)NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC001352230541 876655458 /nfs/dbraw/zinc/65/54/58/876655458.db2.gz XTMWOBWCNSISKJ-HOCLYGCPSA-N 1 2 304.390 1.587 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2cnsn2)C1 ZINC001215361915 876675661 /nfs/dbraw/zinc/67/56/61/876675661.db2.gz NQZBEKXVMZJXGO-TZMCWYRMSA-N 1 2 306.435 1.668 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)CC#N)n2CC)CC1 ZINC001352638316 876843929 /nfs/dbraw/zinc/84/39/29/876843929.db2.gz USOCKZGANZJUSR-UHFFFAOYSA-N 1 2 300.410 1.244 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001353779369 877557419 /nfs/dbraw/zinc/55/74/19/877557419.db2.gz HRNQRYBCHDUYLI-BETUJISGSA-N 1 2 318.421 1.328 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cc[nH+]c1 ZINC001354125203 877766950 /nfs/dbraw/zinc/76/69/50/877766950.db2.gz XOUAGMSNDDOSKU-NYTXWWLZSA-N 1 2 316.405 1.058 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219141479 877956475 /nfs/dbraw/zinc/95/64/75/877956475.db2.gz XBYVWIRLQGMIBZ-CVEARBPZSA-N 1 2 317.364 1.325 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219141479 877956488 /nfs/dbraw/zinc/95/64/88/877956488.db2.gz XBYVWIRLQGMIBZ-CVEARBPZSA-N 1 2 317.364 1.325 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219200885 878002424 /nfs/dbraw/zinc/00/24/24/878002424.db2.gz GUSBIAALPKGQJA-KGLIPLIRSA-N 1 2 307.419 1.471 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219200885 878002441 /nfs/dbraw/zinc/00/24/41/878002441.db2.gz GUSBIAALPKGQJA-KGLIPLIRSA-N 1 2 307.419 1.471 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219378953 878161327 /nfs/dbraw/zinc/16/13/27/878161327.db2.gz JSKJBVFMNHXTHS-HONMWMINSA-N 1 2 307.781 1.161 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219378953 878161334 /nfs/dbraw/zinc/16/13/34/878161334.db2.gz JSKJBVFMNHXTHS-HONMWMINSA-N 1 2 307.781 1.161 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001354867737 878285836 /nfs/dbraw/zinc/28/58/36/878285836.db2.gz QCZSRIQVJHBQJD-CQSZACIVSA-N 1 2 318.421 1.450 20 30 DDEDLO Cc1ccc(C[N@H+]2C[C@@H](NC(=O)C#CC3CC3)[C@@H](O)C2)cc1F ZINC001219593185 878370756 /nfs/dbraw/zinc/37/07/56/878370756.db2.gz GOCNTDGVHHIHJE-SJORKVTESA-N 1 2 316.376 1.209 20 30 DDEDLO Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)C#CC3CC3)[C@@H](O)C2)cc1F ZINC001219593185 878370774 /nfs/dbraw/zinc/37/07/74/878370774.db2.gz GOCNTDGVHHIHJE-SJORKVTESA-N 1 2 316.376 1.209 20 30 DDEDLO CC1(CC(=O)N[C@@H]2C[N@H+](Cc3ccccc3C#N)C[C@@H]2O)CC1 ZINC001220036938 878663705 /nfs/dbraw/zinc/66/37/05/878663705.db2.gz ANBTWTPSNKBIKA-CVEARBPZSA-N 1 2 313.401 1.410 20 30 DDEDLO CC1(CC(=O)N[C@@H]2C[N@@H+](Cc3ccccc3C#N)C[C@@H]2O)CC1 ZINC001220036938 878663712 /nfs/dbraw/zinc/66/37/12/878663712.db2.gz ANBTWTPSNKBIKA-CVEARBPZSA-N 1 2 313.401 1.410 20 30 DDEDLO C=CCCC(=O)N(C)CCNC(=O)Cc1c[nH+]ccc1OC ZINC001355710161 878688248 /nfs/dbraw/zinc/68/82/48/878688248.db2.gz IOUMVSRHKCAZOP-UHFFFAOYSA-N 1 2 305.378 1.174 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220293473 878891384 /nfs/dbraw/zinc/89/13/84/878891384.db2.gz IMIMZGNDWPRBGS-YCPHGPKFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@@H]1O ZINC001220293473 878891392 /nfs/dbraw/zinc/89/13/92/878891392.db2.gz IMIMZGNDWPRBGS-YCPHGPKFSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccnc(C)c3)n2CC)CC1 ZINC001356338892 879001218 /nfs/dbraw/zinc/00/12/18/879001218.db2.gz AUXUKGYXCQZAQL-UHFFFAOYSA-N 1 2 324.432 1.347 20 30 DDEDLO N#Cc1ccccc1C[C@H]([NH3+])C(=O)NCc1ccc2nn[nH]c2c1 ZINC001220426826 879003273 /nfs/dbraw/zinc/00/32/73/879003273.db2.gz QMZRZRFJWBSRHU-AWEZNQCLSA-N 1 2 320.356 1.016 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001356384643 879026872 /nfs/dbraw/zinc/02/68/72/879026872.db2.gz DQZLCBRGZHAEFN-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001287710958 912410806 /nfs/dbraw/zinc/41/08/06/912410806.db2.gz UIODAOLCZCPOIF-SUMWQHHRSA-N 1 2 320.437 1.908 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001287710958 912410840 /nfs/dbraw/zinc/41/08/40/912410840.db2.gz UIODAOLCZCPOIF-SUMWQHHRSA-N 1 2 320.437 1.908 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001356417967 879043492 /nfs/dbraw/zinc/04/34/92/879043492.db2.gz WCUCPCCQWZRAED-WMLDXEAASA-N 1 2 318.421 1.662 20 30 DDEDLO CC(C)C#CC(=O)N(CCNC(=O)CCn1cc[nH+]c1)C1CC1 ZINC001356636403 879144533 /nfs/dbraw/zinc/14/45/33/879144533.db2.gz OMMHVLBIXJVJFV-UHFFFAOYSA-N 1 2 316.405 1.040 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC)Cc2ccccc2C)[C@@H](O)C1 ZINC001220759914 879233515 /nfs/dbraw/zinc/23/35/15/879233515.db2.gz RHZCQXWFSGWPQX-JQHSSLGASA-N 1 2 314.429 1.358 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)Cc2ccccc2C)[C@@H](O)C1 ZINC001220759914 879233529 /nfs/dbraw/zinc/23/35/29/879233529.db2.gz RHZCQXWFSGWPQX-JQHSSLGASA-N 1 2 314.429 1.358 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC)c2ccc(Cl)cc2)[C@@H](O)C1 ZINC001221042440 879464325 /nfs/dbraw/zinc/46/43/25/879464325.db2.gz KSNQKUBIECCKMP-XHSDSOJGSA-N 1 2 320.820 1.628 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)c2ccc(Cl)cc2)[C@@H](O)C1 ZINC001221042440 879464329 /nfs/dbraw/zinc/46/43/29/879464329.db2.gz KSNQKUBIECCKMP-XHSDSOJGSA-N 1 2 320.820 1.628 20 30 DDEDLO C=CCCC(=O)N[C@H](CC)CNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001356897995 879559671 /nfs/dbraw/zinc/55/96/71/879559671.db2.gz AIBZJWDALDKOHB-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO C=C(C)CCC(=O)N[C@](C)(CNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001356957232 879628979 /nfs/dbraw/zinc/62/89/79/879628979.db2.gz YNSBLCMJHDPGFO-QGZVFWFLSA-N 1 2 318.421 1.641 20 30 DDEDLO C=CCn1cc(C(=O)NCc2ccc(-n3cc[nH+]c3)cc2)nn1 ZINC001357464759 879980287 /nfs/dbraw/zinc/98/02/87/879980287.db2.gz SLIOLCUFLBCOII-UHFFFAOYSA-N 1 2 308.345 1.580 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)C3CCC3)[C@@H]2C1 ZINC001221638936 879982869 /nfs/dbraw/zinc/98/28/69/879982869.db2.gz BCLVWDHCEPTJSI-NUEKZKHPSA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)C3CCC3)[C@@H]2C1 ZINC001221638936 879982884 /nfs/dbraw/zinc/98/28/84/879982884.db2.gz BCLVWDHCEPTJSI-NUEKZKHPSA-N 1 2 319.449 1.258 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@H]2C[C@@H](C1)N2Cc1[nH]ccc1C#N ZINC001276840096 880707111 /nfs/dbraw/zinc/70/71/11/880707111.db2.gz IVRQKZMYEVSCML-GASCZTMLSA-N 1 2 310.405 1.561 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@H]2C[C@@H](C1)N2Cc1[nH]ccc1C#N ZINC001276840096 880707121 /nfs/dbraw/zinc/70/71/21/880707121.db2.gz IVRQKZMYEVSCML-GASCZTMLSA-N 1 2 310.405 1.561 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)C(C)(C)C(C)C)C1=O ZINC001276859504 880777796 /nfs/dbraw/zinc/77/77/96/880777796.db2.gz BNHQTDYMJJSHBR-HUUCEWRRSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)C(C)(C)C(C)C)C1=O ZINC001276859504 880777803 /nfs/dbraw/zinc/77/78/03/880777803.db2.gz BNHQTDYMJJSHBR-HUUCEWRRSA-N 1 2 321.465 1.646 20 30 DDEDLO Cn1ncc(C[NH+]2CCC(CNC(=O)C#CC(C)(C)C)CC2)n1 ZINC001223143157 880853459 /nfs/dbraw/zinc/85/34/59/880853459.db2.gz QTMMISGXASJGRB-UHFFFAOYSA-N 1 2 317.437 1.193 20 30 DDEDLO C=CC1(CC(=O)NC[C@H]2CC[N@@H+]2[C@@H]2CCNC2=O)CCCCC1 ZINC001276939328 881183466 /nfs/dbraw/zinc/18/34/66/881183466.db2.gz DWOGKXBCDPTVED-HUUCEWRRSA-N 1 2 319.449 1.592 20 30 DDEDLO C=CC1(CC(=O)NC[C@H]2CC[N@H+]2[C@@H]2CCNC2=O)CCCCC1 ZINC001276939328 881183470 /nfs/dbraw/zinc/18/34/70/881183470.db2.gz DWOGKXBCDPTVED-HUUCEWRRSA-N 1 2 319.449 1.592 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CC[NH+](Cc2cnn(CC)n2)CC1 ZINC001224051266 881193652 /nfs/dbraw/zinc/19/36/52/881193652.db2.gz QTNVCHMGCNGHDD-UHFFFAOYSA-N 1 2 319.453 1.838 20 30 DDEDLO CCOc1ccc(C(=O)NC[C@H]2CC[N@@H+]2CC#CCOC)cc1 ZINC001276963679 881326730 /nfs/dbraw/zinc/32/67/30/881326730.db2.gz LCHIVCBQYRFEDG-MRXNPFEDSA-N 1 2 316.401 1.539 20 30 DDEDLO CCOc1ccc(C(=O)NC[C@H]2CC[N@H+]2CC#CCOC)cc1 ZINC001276963679 881326752 /nfs/dbraw/zinc/32/67/52/881326752.db2.gz LCHIVCBQYRFEDG-MRXNPFEDSA-N 1 2 316.401 1.539 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001288027459 912673519 /nfs/dbraw/zinc/67/35/19/912673519.db2.gz DVMCUJZPRMMYBA-STQMWFEESA-N 1 2 304.394 1.320 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c(OC)c1 ZINC001228213152 883391561 /nfs/dbraw/zinc/39/15/61/883391561.db2.gz MNRDBSXUNLNVTQ-FDAWXEHDSA-N 1 2 319.357 1.473 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c(OC)c1 ZINC001228213152 883391577 /nfs/dbraw/zinc/39/15/77/883391577.db2.gz MNRDBSXUNLNVTQ-FDAWXEHDSA-N 1 2 319.357 1.473 20 30 DDEDLO C#CCCCCCC(=O)NC1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001228637060 883583548 /nfs/dbraw/zinc/58/35/48/883583548.db2.gz HXYAHYGENASFHJ-UHFFFAOYSA-N 1 2 317.437 1.479 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1CC[NH+](CCS(C)(=O)=O)CC1 ZINC001228747415 883650420 /nfs/dbraw/zinc/65/04/20/883650420.db2.gz AMDXOVYWJJFNFZ-STQMWFEESA-N 1 2 316.467 1.070 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(O)cc4oc(=O)ccc34)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229135611 883839586 /nfs/dbraw/zinc/83/95/86/883839586.db2.gz OETGHINQQFKEFL-ULHDAVGBSA-N 1 2 315.325 1.490 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(O)cc4oc(=O)ccc34)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229135611 883839601 /nfs/dbraw/zinc/83/96/01/883839601.db2.gz OETGHINQQFKEFL-ULHDAVGBSA-N 1 2 315.325 1.490 20 30 DDEDLO Cc1cncc(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)N2CC(CC#N)C2)n1 ZINC001277372217 883903472 /nfs/dbraw/zinc/90/34/72/883903472.db2.gz NGRHCMJBPFCBNT-PBHICJAKSA-N 1 2 311.389 1.122 20 30 DDEDLO Cc1cncc(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)N2CC(CC#N)C2)n1 ZINC001277372217 883903481 /nfs/dbraw/zinc/90/34/81/883903481.db2.gz NGRHCMJBPFCBNT-PBHICJAKSA-N 1 2 311.389 1.122 20 30 DDEDLO CCC[C@@H](OC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2CC#CCOC ZINC001277436813 884264342 /nfs/dbraw/zinc/26/43/42/884264342.db2.gz YZBNVIKZYBFPKI-IXDOHACOSA-N 1 2 322.449 1.517 20 30 DDEDLO CCC[C@@H](OC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2CC#CCOC ZINC001277436813 884264356 /nfs/dbraw/zinc/26/43/56/884264356.db2.gz YZBNVIKZYBFPKI-IXDOHACOSA-N 1 2 322.449 1.517 20 30 DDEDLO CC(C)C#CC(=O)N(C)C1CC(NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001288309246 912827764 /nfs/dbraw/zinc/82/77/64/912827764.db2.gz BEXYOZLWLYQXJA-UHFFFAOYSA-N 1 2 316.405 1.107 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+]([C@H](C)c2nnc(C)[nH]2)CC1 ZINC001230414986 884482429 /nfs/dbraw/zinc/48/24/29/884482429.db2.gz HNRSFMLDLOZZIS-VXGBXAGGSA-N 1 2 321.425 1.346 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1CCC1 ZINC001230572064 884603834 /nfs/dbraw/zinc/60/38/34/884603834.db2.gz IFVGNYVCXCQQJZ-MRXNPFEDSA-N 1 2 319.449 1.520 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CCC1 ZINC001230572064 884603837 /nfs/dbraw/zinc/60/38/37/884603837.db2.gz IFVGNYVCXCQQJZ-MRXNPFEDSA-N 1 2 319.449 1.520 20 30 DDEDLO COCCCOCC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230618443 884657041 /nfs/dbraw/zinc/65/70/41/884657041.db2.gz OQYYMMOBPGDRPM-MRXNPFEDSA-N 1 2 323.437 1.266 20 30 DDEDLO COCCCOCC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230618443 884657050 /nfs/dbraw/zinc/65/70/50/884657050.db2.gz OQYYMMOBPGDRPM-MRXNPFEDSA-N 1 2 323.437 1.266 20 30 DDEDLO CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccccc1C#N ZINC001230664254 884719722 /nfs/dbraw/zinc/71/97/22/884719722.db2.gz MAZJZRJYQLYCQK-MRXNPFEDSA-N 1 2 301.390 1.627 20 30 DDEDLO CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccccc1C#N ZINC001230664254 884719728 /nfs/dbraw/zinc/71/97/28/884719728.db2.gz MAZJZRJYQLYCQK-MRXNPFEDSA-N 1 2 301.390 1.627 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230816237 884914143 /nfs/dbraw/zinc/91/41/43/884914143.db2.gz YUPKOBCFALVZTN-CQSZACIVSA-N 1 2 321.450 1.018 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230816237 884914156 /nfs/dbraw/zinc/91/41/56/884914156.db2.gz YUPKOBCFALVZTN-CQSZACIVSA-N 1 2 321.450 1.018 20 30 DDEDLO Cc1ncoc1C[N@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815634 884914284 /nfs/dbraw/zinc/91/42/84/884914284.db2.gz QPSPVEKZWKXQPH-LBPRGKRZSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815634 884914304 /nfs/dbraw/zinc/91/43/04/884914304.db2.gz QPSPVEKZWKXQPH-LBPRGKRZSA-N 1 2 308.407 1.273 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230937883 885062545 /nfs/dbraw/zinc/06/25/45/885062545.db2.gz LZOMVNMWKUJJAE-DOMZBBRYSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230937883 885062559 /nfs/dbraw/zinc/06/25/59/885062559.db2.gz LZOMVNMWKUJJAE-DOMZBBRYSA-N 1 2 319.380 1.765 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCCCCC1 ZINC001231248537 885435165 /nfs/dbraw/zinc/43/51/65/885435165.db2.gz WJJFAIKZOPEIRQ-LSDHHAIUSA-N 1 2 320.437 1.081 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCCCCC1 ZINC001231248537 885435168 /nfs/dbraw/zinc/43/51/68/885435168.db2.gz WJJFAIKZOPEIRQ-LSDHHAIUSA-N 1 2 320.437 1.081 20 30 DDEDLO CCc1nc(C)c(C[N@@H+]2CC[C@H]2CN(C)C(=O)[C@@H](C)C#N)o1 ZINC001231293682 885477391 /nfs/dbraw/zinc/47/73/91/885477391.db2.gz PMWBPFQQZWEHKR-AAEUAGOBSA-N 1 2 304.394 1.738 20 30 DDEDLO CCc1nc(C)c(C[N@H+]2CC[C@H]2CN(C)C(=O)[C@@H](C)C#N)o1 ZINC001231293682 885477396 /nfs/dbraw/zinc/47/73/96/885477396.db2.gz PMWBPFQQZWEHKR-AAEUAGOBSA-N 1 2 304.394 1.738 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001288528926 912959456 /nfs/dbraw/zinc/95/94/56/912959456.db2.gz ORGQTURLARPEJR-STQMWFEESA-N 1 2 304.394 1.011 20 30 DDEDLO CC(C)C[C@@H]1C(=O)NCC[N@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001363229676 885770873 /nfs/dbraw/zinc/77/08/73/885770873.db2.gz GHYPUFNVIAPOCT-HZPDHXFCSA-N 1 2 301.390 1.438 20 30 DDEDLO CC(C)C[C@@H]1C(=O)NCC[N@@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001363229676 885770877 /nfs/dbraw/zinc/77/08/77/885770877.db2.gz GHYPUFNVIAPOCT-HZPDHXFCSA-N 1 2 301.390 1.438 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)C[C@@H](C)c2cnn(C)c2)C1 ZINC001277630639 886166507 /nfs/dbraw/zinc/16/65/07/886166507.db2.gz AAYOVZUITHBHMG-CQSZACIVSA-N 1 2 320.437 1.259 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H](C)NC(=O)CC(C)(C)C)C1 ZINC001277682302 886476195 /nfs/dbraw/zinc/47/61/95/886476195.db2.gz MHNFXLAHUAGPNM-ZDUSSCGKSA-N 1 2 309.454 1.646 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CCC2(CN(c3ccc(C#N)cn3)C2)C1 ZINC001277687092 886490799 /nfs/dbraw/zinc/49/07/99/886490799.db2.gz FBOCKRHIJHYKIG-UHFFFAOYSA-N 1 2 322.416 1.882 20 30 DDEDLO CCn1ccnc1C[N@H+]1CCC2(CN(c3ccc(C#N)cn3)C2)C1 ZINC001277687092 886490805 /nfs/dbraw/zinc/49/08/05/886490805.db2.gz FBOCKRHIJHYKIG-UHFFFAOYSA-N 1 2 322.416 1.882 20 30 DDEDLO CN(C)C1=[NH+]OC2(C1)CC[NH+](Cc1cc(C#N)ccc1[O-])CC2 ZINC001232677169 886531049 /nfs/dbraw/zinc/53/10/49/886531049.db2.gz JAUVYEYWUAEYPP-UHFFFAOYSA-N 1 2 314.389 1.894 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cccnc3)CC2)c(O)c1 ZINC001233387711 886968102 /nfs/dbraw/zinc/96/81/02/886968102.db2.gz VGARLLNHEQZETG-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO C/C=C(/C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CCC#N ZINC001233548802 887098898 /nfs/dbraw/zinc/09/88/98/887098898.db2.gz HSISKJAMBFLSAT-NUKWSGDCSA-N 1 2 320.437 1.247 20 30 DDEDLO C/C=C(/C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CCC#N ZINC001233548802 887098907 /nfs/dbraw/zinc/09/89/07/887098907.db2.gz HSISKJAMBFLSAT-NUKWSGDCSA-N 1 2 320.437 1.247 20 30 DDEDLO N#Cc1ncc(OC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)cn1 ZINC001233709820 887244308 /nfs/dbraw/zinc/24/43/08/887244308.db2.gz MUMKIFSSIBZZGH-INIZCTEOSA-N 1 2 310.357 1.628 20 30 DDEDLO N#Cc1ncc(OC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)cn1 ZINC001233709820 887244323 /nfs/dbraw/zinc/24/43/23/887244323.db2.gz MUMKIFSSIBZZGH-INIZCTEOSA-N 1 2 310.357 1.628 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1coc(C)c1 ZINC001233879054 887421114 /nfs/dbraw/zinc/42/11/14/887421114.db2.gz CUHMAPWUTNJXKG-HIFRSBDPSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1coc(C)c1 ZINC001233879054 887421116 /nfs/dbraw/zinc/42/11/16/887421116.db2.gz CUHMAPWUTNJXKG-HIFRSBDPSA-N 1 2 319.405 1.425 20 30 DDEDLO CCc1[nH]ccc1C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001234030927 887570721 /nfs/dbraw/zinc/57/07/21/887570721.db2.gz ZLWPYIYVJIZCIG-CQSZACIVSA-N 1 2 303.406 1.373 20 30 DDEDLO CCc1[nH]ccc1C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001234030927 887570730 /nfs/dbraw/zinc/57/07/30/887570730.db2.gz ZLWPYIYVJIZCIG-CQSZACIVSA-N 1 2 303.406 1.373 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccsc1C ZINC001234129255 887672066 /nfs/dbraw/zinc/67/20/66/887672066.db2.gz ICHDJCSTPPQQEY-CQSZACIVSA-N 1 2 306.431 1.853 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccsc1C ZINC001234129255 887672076 /nfs/dbraw/zinc/67/20/76/887672076.db2.gz ICHDJCSTPPQQEY-CQSZACIVSA-N 1 2 306.431 1.853 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001234216356 887756413 /nfs/dbraw/zinc/75/64/13/887756413.db2.gz WJJOZWZEHJEVKX-CABCVRRESA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001234216356 887756430 /nfs/dbraw/zinc/75/64/30/887756430.db2.gz WJJOZWZEHJEVKX-CABCVRRESA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)ns1 ZINC001234267111 887806363 /nfs/dbraw/zinc/80/63/63/887806363.db2.gz WKEVESHPBITVTK-KGLIPLIRSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)ns1 ZINC001234267111 887806376 /nfs/dbraw/zinc/80/63/76/887806376.db2.gz WKEVESHPBITVTK-KGLIPLIRSA-N 1 2 321.446 1.523 20 30 DDEDLO C[N@H+](CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)C1CCC1 ZINC001364132057 887992038 /nfs/dbraw/zinc/99/20/38/887992038.db2.gz QNWGYGGVTRKWCM-UHFFFAOYSA-N 1 2 310.361 1.766 20 30 DDEDLO C[N@@H+](CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)C1CCC1 ZINC001364132057 887992045 /nfs/dbraw/zinc/99/20/45/887992045.db2.gz QNWGYGGVTRKWCM-UHFFFAOYSA-N 1 2 310.361 1.766 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234554588 888086690 /nfs/dbraw/zinc/08/66/90/888086690.db2.gz KZUYNPAOBXJOAH-GJZGRUSLSA-N 1 2 319.449 1.259 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234554588 888086698 /nfs/dbraw/zinc/08/66/98/888086698.db2.gz KZUYNPAOBXJOAH-GJZGRUSLSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234562626 888100140 /nfs/dbraw/zinc/10/01/40/888100140.db2.gz ICGZLRQLUFMQSY-GJZGRUSLSA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234562626 888100152 /nfs/dbraw/zinc/10/01/52/888100152.db2.gz ICGZLRQLUFMQSY-GJZGRUSLSA-N 1 2 321.465 1.812 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@H]1CCOC1 ZINC001235227565 888491013 /nfs/dbraw/zinc/49/10/13/888491013.db2.gz FKSRRIVIZBJPEZ-NSHDSACASA-N 1 2 305.216 1.370 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@H]1CCOC1 ZINC001235227565 888491020 /nfs/dbraw/zinc/49/10/20/888491020.db2.gz FKSRRIVIZBJPEZ-NSHDSACASA-N 1 2 305.216 1.370 20 30 DDEDLO N#Cc1csc(C[N@@H+]2C[C@H]3C[C@H](Cn4c(=O)cccc43)C2)n1 ZINC001364413420 888579681 /nfs/dbraw/zinc/57/96/81/888579681.db2.gz NSVUKGXOUWFYKZ-NWDGAFQWSA-N 1 2 312.398 1.796 20 30 DDEDLO N#Cc1csc(C[N@H+]2C[C@H]3C[C@H](Cn4c(=O)cccc43)C2)n1 ZINC001364413420 888579693 /nfs/dbraw/zinc/57/96/93/888579693.db2.gz NSVUKGXOUWFYKZ-NWDGAFQWSA-N 1 2 312.398 1.796 20 30 DDEDLO N#CCCC[N@@H+]1C[C@H]2CN(C(=O)Cc3ccsc3)C[C@@H](C1)O2 ZINC001277941599 888603234 /nfs/dbraw/zinc/60/32/34/888603234.db2.gz SDDVIFWHMITPLW-GASCZTMLSA-N 1 2 319.430 1.506 20 30 DDEDLO N#CCCC[N@H+]1C[C@H]2CN(C(=O)Cc3ccsc3)C[C@@H](C1)O2 ZINC001277941599 888603241 /nfs/dbraw/zinc/60/32/41/888603241.db2.gz SDDVIFWHMITPLW-GASCZTMLSA-N 1 2 319.430 1.506 20 30 DDEDLO CC1=CCC=C[C@@H]1C(=O)N1C[C@@H]2C[N@@H+](CCCC#N)C[C@H](C1)O2 ZINC001277941995 888603748 /nfs/dbraw/zinc/60/37/48/888603748.db2.gz YNRNVMRMFRAVTG-BBWFWOEESA-N 1 2 315.417 1.724 20 30 DDEDLO CC1=CCC=C[C@@H]1C(=O)N1C[C@@H]2C[N@H+](CCCC#N)C[C@H](C1)O2 ZINC001277941995 888603749 /nfs/dbraw/zinc/60/37/49/888603749.db2.gz YNRNVMRMFRAVTG-BBWFWOEESA-N 1 2 315.417 1.724 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)Cc1nnc(C(C)C)n1C ZINC001235481010 888670278 /nfs/dbraw/zinc/67/02/78/888670278.db2.gz RQOSZHJUNYTOQN-UHFFFAOYSA-N 1 2 307.442 1.843 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)Cc1nnc(C(C)C)n1C ZINC001235481010 888670286 /nfs/dbraw/zinc/67/02/86/888670286.db2.gz RQOSZHJUNYTOQN-UHFFFAOYSA-N 1 2 307.442 1.843 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nc(C(C)(C)C)no1 ZINC001235697600 888892488 /nfs/dbraw/zinc/89/24/88/888892488.db2.gz BBVCDUISCZLXNJ-UHFFFAOYSA-N 1 2 324.425 1.508 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nc(C(C)(C)C)no1 ZINC001235697600 888892503 /nfs/dbraw/zinc/89/25/03/888892503.db2.gz BBVCDUISCZLXNJ-UHFFFAOYSA-N 1 2 324.425 1.508 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(OC)cc(C(=O)OC)c2)CC1 ZINC001236763461 889341678 /nfs/dbraw/zinc/34/16/78/889341678.db2.gz TUOUODRGELYJCU-UHFFFAOYSA-N 1 2 302.374 1.233 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCC[C@@H](NC(=O)c3ccncc3)C2)ccn1 ZINC001237461363 889646853 /nfs/dbraw/zinc/64/68/53/889646853.db2.gz AVMKHVLCXGGUEU-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccncc3)C2)ccn1 ZINC001237461363 889646859 /nfs/dbraw/zinc/64/68/59/889646859.db2.gz AVMKHVLCXGGUEU-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCC[C@@H](NC(=O)N3CCCC3)C2)ccn1 ZINC001237461672 889647116 /nfs/dbraw/zinc/64/71/16/889647116.db2.gz CNMNUVYNHHGSCZ-OAHLLOKOSA-N 1 2 313.405 1.723 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCC[C@@H](NC(=O)N3CCCC3)C2)ccn1 ZINC001237461672 889647118 /nfs/dbraw/zinc/64/71/18/889647118.db2.gz CNMNUVYNHHGSCZ-OAHLLOKOSA-N 1 2 313.405 1.723 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCC[C@@H](NC(=O)c3cccnc3)C2)ccn1 ZINC001237465145 889648631 /nfs/dbraw/zinc/64/86/31/889648631.db2.gz YNKHXSPUGOQHFP-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCC[C@@H](NC(=O)c3cccnc3)C2)ccn1 ZINC001237465145 889648638 /nfs/dbraw/zinc/64/86/38/889648638.db2.gz YNKHXSPUGOQHFP-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO CCCCCCC[C@H](C)NC(=O)N[C@@H]1C[NH2+][C@H](C(=O)OC)C1 ZINC001239223219 890550916 /nfs/dbraw/zinc/55/09/16/890550916.db2.gz MLZZOITWZCYCBE-IHRRRGAJSA-N 1 2 313.442 1.938 20 30 DDEDLO C#CCCCC(=O)N1CCC(N(C)C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001280886983 890628640 /nfs/dbraw/zinc/62/86/40/890628640.db2.gz DLHPPEPIGHFMSL-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC3(C2)SCC[C@@H]3C(N)=O)c(F)c1 ZINC001278306866 890790161 /nfs/dbraw/zinc/79/01/61/890790161.db2.gz ZSBDNTQWSSTHTL-GFCCVEGCSA-N 1 2 305.378 1.490 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)NCc1[nH]c2c([nH+]1)CCCC2 ZINC001365743422 891439359 /nfs/dbraw/zinc/43/93/59/891439359.db2.gz JTDSSKFOLSAWRF-UHFFFAOYSA-N 1 2 310.423 1.648 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+](Cc2nc(C)cs2)C1 ZINC001278368999 891709357 /nfs/dbraw/zinc/70/93/57/891709357.db2.gz KHILVUVSGNCLKF-OAHLLOKOSA-N 1 2 309.435 1.735 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+](Cc2nc(C)cs2)C1 ZINC001278368999 891709362 /nfs/dbraw/zinc/70/93/62/891709362.db2.gz KHILVUVSGNCLKF-OAHLLOKOSA-N 1 2 309.435 1.735 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@@H]1[N@H+](Cc1nncn1C)CC2 ZINC001278453572 892665543 /nfs/dbraw/zinc/66/55/43/892665543.db2.gz WOGUIHUXKDFRPK-YOEHRIQHSA-N 1 2 317.437 1.785 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@@H]1[N@@H+](Cc1nncn1C)CC2 ZINC001278453572 892665548 /nfs/dbraw/zinc/66/55/48/892665548.db2.gz WOGUIHUXKDFRPK-YOEHRIQHSA-N 1 2 317.437 1.785 20 30 DDEDLO C[C@H](CNC(=O)c1cc(C#N)c[nH]1)[NH2+][C@@H](C)c1csnn1 ZINC001366271281 892972927 /nfs/dbraw/zinc/97/29/27/892972927.db2.gz PNMLBYKSUWRKLO-BDAKNGLRSA-N 1 2 304.379 1.207 20 30 DDEDLO N#Cc1ccc(F)c(-c2noc(CC[NH+]3CCOCC3)n2)c1 ZINC001247448621 893193749 /nfs/dbraw/zinc/19/37/49/893193749.db2.gz HFRGRYHWYVGUDX-UHFFFAOYSA-N 1 2 302.309 1.622 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1ccc(CNC(N)=O)cc1 ZINC001366588804 894162149 /nfs/dbraw/zinc/16/21/49/894162149.db2.gz CNWMPHOSQPFNPJ-UHFFFAOYSA-N 1 2 324.812 1.269 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1ccc(CNC(N)=O)cc1 ZINC001366588804 894162154 /nfs/dbraw/zinc/16/21/54/894162154.db2.gz CNWMPHOSQPFNPJ-UHFFFAOYSA-N 1 2 324.812 1.269 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](C[C@H](O)c2cccc(OC)c2)CC1 ZINC001250716312 894378368 /nfs/dbraw/zinc/37/83/68/894378368.db2.gz BWLHYIHROYTFMS-HNNXBMFYSA-N 1 2 318.373 1.507 20 30 DDEDLO C=CCOC[C@@H](O)CNc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC001252454308 895163326 /nfs/dbraw/zinc/16/33/26/895163326.db2.gz MYAOWMMASQACNZ-LZWOXQAQSA-N 1 2 321.421 1.671 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)NC(=O)CC)[C@H]1C ZINC001388786318 895907914 /nfs/dbraw/zinc/90/79/14/895907914.db2.gz GNIHLNXGZBJWSF-UTUOFQBUSA-N 1 2 301.818 1.233 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)NC(=O)CC)[C@H]1C ZINC001388786318 895907926 /nfs/dbraw/zinc/90/79/26/895907926.db2.gz GNIHLNXGZBJWSF-UTUOFQBUSA-N 1 2 301.818 1.233 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@](O)(CNC(=O)C#CC(C)C)C2)c(C)o1 ZINC001278776612 896347428 /nfs/dbraw/zinc/34/74/28/896347428.db2.gz KXBXRYCJHLCRAN-QGZVFWFLSA-N 1 2 319.405 1.004 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@](O)(CNC(=O)C#CC(C)C)C2)c(C)o1 ZINC001278776612 896347443 /nfs/dbraw/zinc/34/74/43/896347443.db2.gz KXBXRYCJHLCRAN-QGZVFWFLSA-N 1 2 319.405 1.004 20 30 DDEDLO CC[C@@H](CC#N)[N@H+]1CCc2nnc(CN3CCCCC3)n2CC1 ZINC001255172956 896768533 /nfs/dbraw/zinc/76/85/33/896768533.db2.gz UQUFGERQGOYLIZ-HNNXBMFYSA-N 1 2 316.453 1.814 20 30 DDEDLO CC[C@@H](CC#N)[N@@H+]1CCc2nnc(CN3CCCCC3)n2CC1 ZINC001255172956 896768538 /nfs/dbraw/zinc/76/85/38/896768538.db2.gz UQUFGERQGOYLIZ-HNNXBMFYSA-N 1 2 316.453 1.814 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@H]2CCc3ccccc3O2)C1 ZINC001278918216 897303273 /nfs/dbraw/zinc/30/32/73/897303273.db2.gz AISBJNMRAFWMSO-MRXNPFEDSA-N 1 2 316.401 1.119 20 30 DDEDLO CC[C@@H]1C[C@@H](N2CC[NH2+]C[C@H]2C#N)CCN1C(=O)OC(C)(C)C ZINC001257124267 897738387 /nfs/dbraw/zinc/73/83/87/897738387.db2.gz AFZZNBAZENDMSS-QLFBSQMISA-N 1 2 322.453 1.962 20 30 DDEDLO O=C(C#CC1CC1)N1CC[NH+](Cc2cccc3c2CCOC3)CC1 ZINC001258291958 898130220 /nfs/dbraw/zinc/13/02/20/898130220.db2.gz LITYVSSBLZPYOP-UHFFFAOYSA-N 1 2 324.424 1.817 20 30 DDEDLO CCN(CCn1cc[nH+]c1)S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC001259416659 898658445 /nfs/dbraw/zinc/65/84/45/898658445.db2.gz GRMJILUUWMYODS-UHFFFAOYSA-N 1 2 322.365 1.605 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@]1(C)CC[N@H+](CC(=O)Nc2ccccc2)C1 ZINC001368159281 898852841 /nfs/dbraw/zinc/85/28/41/898852841.db2.gz YTERDEIRWGMPKE-SUMWQHHRSA-N 1 2 314.389 1.365 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@]1(C)CC[N@@H+](CC(=O)Nc2ccccc2)C1 ZINC001368159281 898852851 /nfs/dbraw/zinc/85/28/51/898852851.db2.gz YTERDEIRWGMPKE-SUMWQHHRSA-N 1 2 314.389 1.365 20 30 DDEDLO CCC[C@@H](OC)C(=O)NCC[N@H+](C)Cc1ccccc1C#N ZINC001390806097 900233836 /nfs/dbraw/zinc/23/38/36/900233836.db2.gz POZWAFXZDXSZMB-MRXNPFEDSA-N 1 2 303.406 1.921 20 30 DDEDLO CCC[C@@H](OC)C(=O)NCC[N@@H+](C)Cc1ccccc1C#N ZINC001390806097 900233850 /nfs/dbraw/zinc/23/38/50/900233850.db2.gz POZWAFXZDXSZMB-MRXNPFEDSA-N 1 2 303.406 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1scnc1COC ZINC001390830706 900302526 /nfs/dbraw/zinc/30/25/26/900302526.db2.gz OVIQLORDXCXWJU-UHFFFAOYSA-N 1 2 303.815 1.704 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1scnc1COC ZINC001390830706 900302533 /nfs/dbraw/zinc/30/25/33/900302533.db2.gz OVIQLORDXCXWJU-UHFFFAOYSA-N 1 2 303.815 1.704 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)C[C@@H]1CCOC[C@@H]1OC ZINC001390917458 900510760 /nfs/dbraw/zinc/51/07/60/900510760.db2.gz YQIUYORRNPFQFS-KBPBESRZSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)C[C@@H]1CCOC[C@@H]1OC ZINC001390917458 900510767 /nfs/dbraw/zinc/51/07/67/900510767.db2.gz YQIUYORRNPFQFS-KBPBESRZSA-N 1 2 318.845 1.619 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccsc2C(=O)OC)CC1 ZINC001263724393 900684594 /nfs/dbraw/zinc/68/45/94/900684594.db2.gz ZJAVPFLQVQESLD-UHFFFAOYSA-N 1 2 306.387 1.316 20 30 DDEDLO CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001263796721 900711729 /nfs/dbraw/zinc/71/17/29/900711729.db2.gz VYDWXGAOHNWCSP-AEFFLSMTSA-N 1 2 314.429 1.996 20 30 DDEDLO CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001263796721 900711737 /nfs/dbraw/zinc/71/17/37/900711737.db2.gz VYDWXGAOHNWCSP-AEFFLSMTSA-N 1 2 314.429 1.996 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C/C=C(\C)C=C ZINC001263808227 900724654 /nfs/dbraw/zinc/72/46/54/900724654.db2.gz YONCVYFSBVDMON-LULHVWEPSA-N 1 2 305.422 1.344 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C/C=C(\C)C=C ZINC001263808227 900724662 /nfs/dbraw/zinc/72/46/62/900724662.db2.gz YONCVYFSBVDMON-LULHVWEPSA-N 1 2 305.422 1.344 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H](CCC)NC(N)=O ZINC001391063431 900804541 /nfs/dbraw/zinc/80/45/41/900804541.db2.gz BVRGNILZUQZQHF-NWDGAFQWSA-N 1 2 316.833 1.157 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H](CCC)NC(N)=O ZINC001391063431 900804545 /nfs/dbraw/zinc/80/45/45/900804545.db2.gz BVRGNILZUQZQHF-NWDGAFQWSA-N 1 2 316.833 1.157 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1cc(=O)[nH]c(CNC(=O)C(C)=CC)n1 ZINC001264025592 900876956 /nfs/dbraw/zinc/87/69/56/900876956.db2.gz PDRHCEDJKAYVBO-RUDMXATFSA-N 1 2 310.785 1.607 20 30 DDEDLO CC[C@H](C)CC(=O)NC[C@H](CO)[NH2+]Cc1cc(F)ccc1C#N ZINC001369319788 901056269 /nfs/dbraw/zinc/05/62/69/901056269.db2.gz AIIVXBGTJAMYLJ-BLLLJJGKSA-N 1 2 321.396 1.700 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)CCOCCN(C)C(=O)[C@@H](C)C#N)o1 ZINC001264663039 901256528 /nfs/dbraw/zinc/25/65/28/901256528.db2.gz WSOQWFSUOVHHQF-GXTWGEPZSA-N 1 2 322.409 1.611 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)CCOCCN(C)C(=O)[C@@H](C)C#N)o1 ZINC001264663039 901256541 /nfs/dbraw/zinc/25/65/41/901256541.db2.gz WSOQWFSUOVHHQF-GXTWGEPZSA-N 1 2 322.409 1.611 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001265224624 901737451 /nfs/dbraw/zinc/73/74/51/901737451.db2.gz GYNXHCRQXYPCFV-RBSFLKMASA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001265224624 901737459 /nfs/dbraw/zinc/73/74/59/901737459.db2.gz GYNXHCRQXYPCFV-RBSFLKMASA-N 1 2 307.438 1.305 20 30 DDEDLO CCCC[C@H](C(=O)NCC[NH+]1CCN(CC#N)CC1)C(C)C ZINC001265266262 901789892 /nfs/dbraw/zinc/78/98/92/901789892.db2.gz GQCBZUZYWOWPFP-INIZCTEOSA-N 1 2 308.470 1.706 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@H+](CC(=O)N2CCC[C@@H](C)C2)C1 ZINC001265280489 901815213 /nfs/dbraw/zinc/81/52/13/901815213.db2.gz CEYLYTHTMGWIHX-CVEARBPZSA-N 1 2 319.449 1.095 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[N@@H+](CC(=O)N2CCC[C@@H](C)C2)C1 ZINC001265280489 901815220 /nfs/dbraw/zinc/81/52/20/901815220.db2.gz CEYLYTHTMGWIHX-CVEARBPZSA-N 1 2 319.449 1.095 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H](NC(=O)C#CC3CC3)C2)sn1 ZINC001265284731 901822733 /nfs/dbraw/zinc/82/27/33/901822733.db2.gz UYKJWTQSMWDCPW-GFCCVEGCSA-N 1 2 305.403 1.256 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H](NC(=O)C#CC3CC3)C2)sn1 ZINC001265284731 901822739 /nfs/dbraw/zinc/82/27/39/901822739.db2.gz UYKJWTQSMWDCPW-GFCCVEGCSA-N 1 2 305.403 1.256 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCCC2(C)C)C1 ZINC001265318743 901880800 /nfs/dbraw/zinc/88/08/00/901880800.db2.gz CKUJLBSADBSXQX-HUUCEWRRSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCCC2(C)C)C1 ZINC001265318743 901880808 /nfs/dbraw/zinc/88/08/08/901880808.db2.gz CKUJLBSADBSXQX-HUUCEWRRSA-N 1 2 319.449 1.143 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCC[N@H+](Cc2nccn2C)C1 ZINC001265582951 902159680 /nfs/dbraw/zinc/15/96/80/902159680.db2.gz XSQFYVFIQLNRQT-HNNXBMFYSA-N 1 2 302.422 1.408 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCC[N@@H+](Cc2nccn2C)C1 ZINC001265582951 902159688 /nfs/dbraw/zinc/15/96/88/902159688.db2.gz XSQFYVFIQLNRQT-HNNXBMFYSA-N 1 2 302.422 1.408 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]([NH2+]Cc1nc(C(F)F)no1)C1CC1 ZINC001370143722 902631202 /nfs/dbraw/zinc/63/12/02/902631202.db2.gz ILYOWGSNKHPQGK-IONNQARKSA-N 1 2 313.308 1.151 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCc2ccco2)C1 ZINC001266208677 903112412 /nfs/dbraw/zinc/11/24/12/903112412.db2.gz DSZOTVIUWXSOBO-AWEZNQCLSA-N 1 2 319.405 1.443 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCc2ccco2)C1 ZINC001266208677 903112425 /nfs/dbraw/zinc/11/24/25/903112425.db2.gz DSZOTVIUWXSOBO-AWEZNQCLSA-N 1 2 319.405 1.443 20 30 DDEDLO C=C(Br)C[N@H+]1CC=C(CNC(=O)COCC)CC1 ZINC001279484300 903280678 /nfs/dbraw/zinc/28/06/78/903280678.db2.gz PPSFXCKSIOHYJH-UHFFFAOYSA-N 1 2 317.227 1.680 20 30 DDEDLO C=C(Br)C[N@@H+]1CC=C(CNC(=O)COCC)CC1 ZINC001279484300 903280687 /nfs/dbraw/zinc/28/06/87/903280687.db2.gz PPSFXCKSIOHYJH-UHFFFAOYSA-N 1 2 317.227 1.680 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1=CC[N@H+](Cc2cnnn2C)CC1 ZINC001279628365 903359969 /nfs/dbraw/zinc/35/99/69/903359969.db2.gz HGCOZYAJZNJFJJ-QGZVFWFLSA-N 1 2 317.437 1.666 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1=CC[N@@H+](Cc2cnnn2C)CC1 ZINC001279628365 903359986 /nfs/dbraw/zinc/35/99/86/903359986.db2.gz HGCOZYAJZNJFJJ-QGZVFWFLSA-N 1 2 317.437 1.666 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)C1(CC)CCOCC1 ZINC001280417939 903654388 /nfs/dbraw/zinc/65/43/88/903654388.db2.gz JVFXPYLXVGTMRG-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)C1(CC)CCOCC1 ZINC001280417939 903654393 /nfs/dbraw/zinc/65/43/93/903654393.db2.gz JVFXPYLXVGTMRG-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001280503379 903742623 /nfs/dbraw/zinc/74/26/23/903742623.db2.gz HFROZMMXNDBZBT-LBPRGKRZSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001280503379 903742635 /nfs/dbraw/zinc/74/26/35/903742635.db2.gz HFROZMMXNDBZBT-LBPRGKRZSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H](C[NH2+][C@H](C)c2csnn2)C1 ZINC001280632658 903865870 /nfs/dbraw/zinc/86/58/70/903865870.db2.gz ZAGBENVAXSFKLI-OLZOCXBDSA-N 1 2 324.450 1.772 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001293902678 914759960 /nfs/dbraw/zinc/75/99/60/914759960.db2.gz BMUJLJCYQVIRNT-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)CC1(C)C ZINC001280667980 903901478 /nfs/dbraw/zinc/90/14/78/903901478.db2.gz TYXDVCXUKPTMQC-CQSZACIVSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)CCn2cc[nH+]c2)C(C)(C)C1 ZINC001280677520 903911549 /nfs/dbraw/zinc/91/15/49/903911549.db2.gz BUZIUMYFLPUMJV-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1ncccc1NC(C)=O ZINC001375050941 914782752 /nfs/dbraw/zinc/78/27/52/914782752.db2.gz ZQZGGGSZYNYCFC-NSHDSACASA-N 1 2 324.812 1.843 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1ncccc1NC(C)=O ZINC001375050941 914782759 /nfs/dbraw/zinc/78/27/59/914782759.db2.gz ZQZGGGSZYNYCFC-NSHDSACASA-N 1 2 324.812 1.843 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CCC[N@H+](C)Cc1coc(C)n1 ZINC001280864715 904132416 /nfs/dbraw/zinc/13/24/16/904132416.db2.gz CDWWXKSWLJJDFK-CVEARBPZSA-N 1 2 321.421 1.854 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CCC[N@@H+](C)Cc1coc(C)n1 ZINC001280864715 904132428 /nfs/dbraw/zinc/13/24/28/904132428.db2.gz CDWWXKSWLJJDFK-CVEARBPZSA-N 1 2 321.421 1.854 20 30 DDEDLO CCc1nnc([C@@H](C)[NH2+][C@H](C)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001371144967 904345131 /nfs/dbraw/zinc/34/51/31/904345131.db2.gz RMMGKKFVERNCLF-NXEZZACHSA-N 1 2 316.365 1.301 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@@H]1C ZINC001281124300 904429877 /nfs/dbraw/zinc/42/98/77/904429877.db2.gz AIFDUZCTFAJYIK-QWHCGFSZSA-N 1 2 304.394 1.332 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001281170249 904520347 /nfs/dbraw/zinc/52/03/47/904520347.db2.gz HWPFGIZGPBRMRG-CHWSQXEVSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)[C@@H]1C ZINC001281206919 904562962 /nfs/dbraw/zinc/56/29/62/904562962.db2.gz FLGKMIJBJZCQCG-HIFRSBDPSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)[C@@H]1C ZINC001281206919 904562964 /nfs/dbraw/zinc/56/29/64/904562964.db2.gz FLGKMIJBJZCQCG-HIFRSBDPSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cn(C2CCC2)nn1 ZINC001392647542 904727469 /nfs/dbraw/zinc/72/74/69/904727469.db2.gz SNDDPMYNESJPKL-NSHDSACASA-N 1 2 311.817 1.806 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cn(C2CCC2)nn1 ZINC001392647542 904727479 /nfs/dbraw/zinc/72/74/79/904727479.db2.gz SNDDPMYNESJPKL-NSHDSACASA-N 1 2 311.817 1.806 20 30 DDEDLO C=CCCOCC(=O)N1CCC2(CC1)C[N@H+](CCF)CCO2 ZINC001281782451 905214990 /nfs/dbraw/zinc/21/49/90/905214990.db2.gz JQJWKLGGLCGNSP-UHFFFAOYSA-N 1 2 314.401 1.242 20 30 DDEDLO C=CCCOCC(=O)N1CCC2(CC1)C[N@@H+](CCF)CCO2 ZINC001281782451 905215006 /nfs/dbraw/zinc/21/50/06/905215006.db2.gz JQJWKLGGLCGNSP-UHFFFAOYSA-N 1 2 314.401 1.242 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](C)C1C[NH+](Cc2ncc(C)s2)C1 ZINC001282707956 905968632 /nfs/dbraw/zinc/96/86/32/905968632.db2.gz ZTTVKSAREATYJJ-LRDDRELGSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)CC1(C)C ZINC001282832922 906082901 /nfs/dbraw/zinc/08/29/01/906082901.db2.gz IGKMIPURLRKLLY-OAHLLOKOSA-N 1 2 320.437 1.339 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)CC1(C)C ZINC001282832922 906082915 /nfs/dbraw/zinc/08/29/15/906082915.db2.gz IGKMIPURLRKLLY-OAHLLOKOSA-N 1 2 320.437 1.339 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc(C)s2)[C@@H]1C ZINC001284118097 908499322 /nfs/dbraw/zinc/49/93/22/908499322.db2.gz IEFQOIQEPHCXTM-GXTWGEPZSA-N 1 2 321.446 1.684 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc(C)s2)[C@@H]1C ZINC001284118097 908499330 /nfs/dbraw/zinc/49/93/30/908499330.db2.gz IEFQOIQEPHCXTM-GXTWGEPZSA-N 1 2 321.446 1.684 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@H+](Cc2cc(C)n(C)n2)[C@H]1C ZINC001284123725 908513613 /nfs/dbraw/zinc/51/36/13/908513613.db2.gz XKLULHDKKPSGDD-HOCLYGCPSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(C)n(C)n2)[C@H]1C ZINC001284123725 908513624 /nfs/dbraw/zinc/51/36/24/908513624.db2.gz XKLULHDKKPSGDD-HOCLYGCPSA-N 1 2 320.437 1.400 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@H]1CC(=O)N(CCC)C1 ZINC001394118313 908863018 /nfs/dbraw/zinc/86/30/18/908863018.db2.gz QKOIQSFLXLDJPY-STQMWFEESA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@H]1CC(=O)N(CCC)C1 ZINC001394118313 908863032 /nfs/dbraw/zinc/86/30/32/908863032.db2.gz QKOIQSFLXLDJPY-STQMWFEESA-N 1 2 315.845 1.434 20 30 DDEDLO CC(C)C#CC(=O)N(CC[N@H+](C)CC(=O)NC(C)(C)C)C(C)C ZINC001284533612 909150873 /nfs/dbraw/zinc/15/08/73/909150873.db2.gz OLIQGJIUSIMNFJ-UHFFFAOYSA-N 1 2 323.481 1.729 20 30 DDEDLO CC(C)C#CC(=O)N(CC[N@@H+](C)CC(=O)NC(C)(C)C)C(C)C ZINC001284533612 909150883 /nfs/dbraw/zinc/15/08/83/909150883.db2.gz OLIQGJIUSIMNFJ-UHFFFAOYSA-N 1 2 323.481 1.729 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)cn2)CC1(C)C ZINC001394297711 909290812 /nfs/dbraw/zinc/29/08/12/909290812.db2.gz YZIODRRVZKZQKC-SMDDNHRTSA-N 1 2 304.369 1.707 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)cn2)CC1(C)C ZINC001394297711 909290825 /nfs/dbraw/zinc/29/08/25/909290825.db2.gz YZIODRRVZKZQKC-SMDDNHRTSA-N 1 2 304.369 1.707 20 30 DDEDLO C=CCCC(=O)N[C@@H](CC)CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001285069535 910029267 /nfs/dbraw/zinc/02/92/67/910029267.db2.gz FVVMNEJUQOUJSI-YNEHKIRRSA-N 1 2 304.394 1.490 20 30 DDEDLO C=CCCC(=O)N[C@@H](CC)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001285069535 910029290 /nfs/dbraw/zinc/02/92/90/910029290.db2.gz FVVMNEJUQOUJSI-YNEHKIRRSA-N 1 2 304.394 1.490 20 30 DDEDLO C=C(C)CCC(=O)NCC(C)(C)CN(C)C(=O)Cn1cc[nH+]c1 ZINC001285519069 910722563 /nfs/dbraw/zinc/72/25/63/910722563.db2.gz FSTZVWCFKPJENR-UHFFFAOYSA-N 1 2 320.437 1.840 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@](C)(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286108809 911703951 /nfs/dbraw/zinc/70/39/51/911703951.db2.gz WUMZXJOVDFPUEW-GUYCJALGSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@](C)(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286108811 911704882 /nfs/dbraw/zinc/70/48/82/911704882.db2.gz WUMZXJOVDFPUEW-SUMWQHHRSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1cc(C[N@@H+]2CCO[C@H](CNC(=O)[C@H](C)C#N)C2)c(C)s1 ZINC001374124467 911951604 /nfs/dbraw/zinc/95/16/04/911951604.db2.gz POGLWKHRGHQEKA-IAQYHMDHSA-N 1 2 321.446 1.842 20 30 DDEDLO Cc1cc(C[N@H+]2CCO[C@H](CNC(=O)[C@H](C)C#N)C2)c(C)s1 ZINC001374124467 911951613 /nfs/dbraw/zinc/95/16/13/911951613.db2.gz POGLWKHRGHQEKA-IAQYHMDHSA-N 1 2 321.446 1.842 20 30 DDEDLO CN(C[C@H]1CCN1Cc1ccccc1C#N)C(=O)Cc1c[nH+]c[nH]1 ZINC001397017900 914967348 /nfs/dbraw/zinc/96/73/48/914967348.db2.gz OXWDNRSTYZTRMD-QGZVFWFLSA-N 1 2 323.400 1.557 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001294793951 915370062 /nfs/dbraw/zinc/37/00/62/915370062.db2.gz ATMXCAJELPAVQZ-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001295200557 915643353 /nfs/dbraw/zinc/64/33/53/915643353.db2.gz HAXHZVYTPDRWLZ-OKILXGFUSA-N 1 2 324.384 1.599 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC001295755057 916042109 /nfs/dbraw/zinc/04/21/09/916042109.db2.gz IYKGNKXEEYLXFE-ZDUSSCGKSA-N 1 2 316.405 1.273 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[C@@H](C)NC(=O)Cc2c[nH+]cn2C)C1 ZINC001295915013 916127120 /nfs/dbraw/zinc/12/71/20/916127120.db2.gz ZYLAWGDDXDFPMP-CYBMUJFWSA-N 1 2 318.421 1.330 20 30 DDEDLO C=C(C)CCC(=O)NCCN(CC)C(=O)Cc1c[nH+]cn1C ZINC001296313874 916340197 /nfs/dbraw/zinc/34/01/97/916340197.db2.gz VTVNCKPWPSAKGU-UHFFFAOYSA-N 1 2 306.410 1.284 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](CCN(C)C(C)=O)CC2)CCCC1 ZINC001296823846 916579836 /nfs/dbraw/zinc/57/98/36/916579836.db2.gz BOVXVKXMBXAEJK-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CC[N@H+](CC(=O)NC2CCCCCC2)C1 ZINC001376116120 917713454 /nfs/dbraw/zinc/71/34/54/917713454.db2.gz NOZITANBHHVLGP-UKRRQHHQSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NC2CCCCCC2)C1 ZINC001376116120 917713467 /nfs/dbraw/zinc/71/34/67/917713467.db2.gz NOZITANBHHVLGP-UKRRQHHQSA-N 1 2 320.437 1.176 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000278549086 214229753 /nfs/dbraw/zinc/22/97/53/214229753.db2.gz GTUVBISDLMESBV-CZUORRHYSA-N 1 2 324.446 1.565 20 30 DDEDLO N#Cc1ccc(C(F)(F)F)nc1NCC[NH+]1CCOCC1 ZINC000078832357 185117895 /nfs/dbraw/zinc/11/78/95/185117895.db2.gz XWKVLZWTQUPXCZ-UHFFFAOYSA-N 1 2 300.284 1.716 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@@H+]3CC[C@@H](n4cncn4)C3)o2)cc1 ZINC000614418980 361845531 /nfs/dbraw/zinc/84/55/31/361845531.db2.gz MYTMTDDYCXPFMQ-CQSZACIVSA-N 1 2 321.344 1.647 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[N@H+]3CC[C@@H](n4cncn4)C3)o2)cc1 ZINC000614418980 361845537 /nfs/dbraw/zinc/84/55/37/361845537.db2.gz MYTMTDDYCXPFMQ-CQSZACIVSA-N 1 2 321.344 1.647 20 30 DDEDLO N#Cc1c(F)cccc1C(=O)N1CC[NH+](C[C@@H]2CCCO2)CC1 ZINC000614597917 361935176 /nfs/dbraw/zinc/93/51/76/361935176.db2.gz GVEHRNUWMIQJDJ-ZDUSSCGKSA-N 1 2 317.364 1.634 20 30 DDEDLO C=CCOCC(=O)N(CCn1cc[nH+]c1)C1CCSCC1 ZINC000614674563 361974748 /nfs/dbraw/zinc/97/47/48/361974748.db2.gz USVSKLKFFHKIII-UHFFFAOYSA-N 1 2 309.435 1.810 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000278739377 214367177 /nfs/dbraw/zinc/36/71/77/214367177.db2.gz DPBHZSCGNWAQFF-PBHICJAKSA-N 1 2 303.406 1.614 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@H+](Cc2cc(F)ccc2C#N)C1 ZINC000451980881 231256648 /nfs/dbraw/zinc/25/66/48/231256648.db2.gz AKQCMDJDTUTWLX-HNNXBMFYSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@H]1CCC[N@@H+](Cc2cc(F)ccc2C#N)C1 ZINC000451980881 231256652 /nfs/dbraw/zinc/25/66/52/231256652.db2.gz AKQCMDJDTUTWLX-HNNXBMFYSA-N 1 2 304.369 1.933 20 30 DDEDLO Cc1nc(N2CCN(c3nc4ccccn4c3C#N)CC2)cc[nH+]1 ZINC000273540640 282187658 /nfs/dbraw/zinc/18/76/58/282187658.db2.gz WOHBCOGUCMADST-UHFFFAOYSA-N 1 2 319.372 1.631 20 30 DDEDLO CCc1ccc(CN(CCC#N)CC[NH+]2CCOCC2)nc1 ZINC000339009691 529637375 /nfs/dbraw/zinc/63/73/75/529637375.db2.gz GGDGEMJIRIZYQU-UHFFFAOYSA-N 1 2 302.422 1.692 20 30 DDEDLO Cc1nc(CN2CC[NH+]([C@H](C)C(=O)NC3CCCC3)CC2)no1 ZINC000328995283 539300198 /nfs/dbraw/zinc/30/01/98/539300198.db2.gz JLVVPIINKDOHQN-GFCCVEGCSA-N 1 2 321.425 1.783 20 30 DDEDLO CC(=O)N1CCC(NC(=O)Nc2ccc3[nH+]c(C)cn3c2)CC1 ZINC000329458516 539304702 /nfs/dbraw/zinc/30/47/02/539304702.db2.gz RBELNCALQQFUQG-UHFFFAOYSA-N 1 2 315.377 1.980 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NCc3cc4ccccc4[nH]3)C[C@H]21 ZINC000329953719 529785111 /nfs/dbraw/zinc/78/51/11/529785111.db2.gz JNDNQOCZFIMSPB-HZPDHXFCSA-N 1 2 314.389 1.597 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NCc3cc4ccccc4[nH]3)C[C@H]21 ZINC000329953719 529785112 /nfs/dbraw/zinc/78/51/12/529785112.db2.gz JNDNQOCZFIMSPB-HZPDHXFCSA-N 1 2 314.389 1.597 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cc(C(N)=O)ccc3F)C[C@H]21 ZINC000329926798 529786314 /nfs/dbraw/zinc/78/63/14/529786314.db2.gz KZGSFDIWMIKPCT-CHWSQXEVSA-N 1 2 322.340 1.269 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cc(C(N)=O)ccc3F)C[C@H]21 ZINC000329926798 529786316 /nfs/dbraw/zinc/78/63/16/529786316.db2.gz KZGSFDIWMIKPCT-CHWSQXEVSA-N 1 2 322.340 1.269 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(CC(=O)Nc3cccc(C#N)c3)C[C@H]21 ZINC000329955166 529786715 /nfs/dbraw/zinc/78/67/15/529786715.db2.gz KMVXDNIATCMQNQ-HUUCEWRRSA-N 1 2 300.362 1.161 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(CC(=O)Nc3cccc(C#N)c3)C[C@H]21 ZINC000329955166 529786716 /nfs/dbraw/zinc/78/67/16/529786716.db2.gz KMVXDNIATCMQNQ-HUUCEWRRSA-N 1 2 300.362 1.161 20 30 DDEDLO CN1CCO[C@@H]2C[N@H+](CC(=O)Nc3cccc(C#N)c3)C[C@H]21 ZINC000329955166 529786717 /nfs/dbraw/zinc/78/67/17/529786717.db2.gz KMVXDNIATCMQNQ-HUUCEWRRSA-N 1 2 300.362 1.161 20 30 DDEDLO CN1CCO[C@@H]2C[N@@H+](CC(=O)Nc3cccc(C#N)c3)C[C@H]21 ZINC000329955166 529786718 /nfs/dbraw/zinc/78/67/18/529786718.db2.gz KMVXDNIATCMQNQ-HUUCEWRRSA-N 1 2 300.362 1.161 20 30 DDEDLO CN(C[C@H](O)C(F)(F)F)C(=O)NCc1cn2c([nH+]1)CCCC2 ZINC000330830640 529958682 /nfs/dbraw/zinc/95/86/82/529958682.db2.gz GYCZAQAPBCOYJA-JTQLQIEISA-N 1 2 320.315 1.488 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)c2cccc(C[NH+]3CCOCC3)c2)C1 ZINC000615824936 362454660 /nfs/dbraw/zinc/45/46/60/362454660.db2.gz DOJGGBQSBOAICO-QGZVFWFLSA-N 1 2 317.364 1.597 20 30 DDEDLO CCC(CC)[C@@H](C(=O)N1CC[C@](F)(C#N)C1)[NH+]1CCOCC1 ZINC000615825053 362455079 /nfs/dbraw/zinc/45/50/79/362455079.db2.gz GVEBEMKYIGQJKT-HOCLYGCPSA-N 1 2 311.401 1.588 20 30 DDEDLO COCCN(CC#N)C(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000457205955 232014367 /nfs/dbraw/zinc/01/43/67/232014367.db2.gz REFIFAVWVFBNGC-UHFFFAOYSA-N 1 2 312.373 1.472 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2nc3cccc(F)c3o2)CC1 ZINC000623821268 366074635 /nfs/dbraw/zinc/07/46/35/366074635.db2.gz NZZMTTOMDFXVJF-UHFFFAOYSA-N 1 2 318.352 1.391 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000047795261 352512442 /nfs/dbraw/zinc/51/24/42/352512442.db2.gz VIUJCUOYFHILAB-BBRMVZONSA-N 1 2 322.409 1.005 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000047795261 352512447 /nfs/dbraw/zinc/51/24/47/352512447.db2.gz VIUJCUOYFHILAB-BBRMVZONSA-N 1 2 322.409 1.005 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)N1CC[NH+](Cc2ccsc2)CC1 ZINC000052711982 352623816 /nfs/dbraw/zinc/62/38/16/352623816.db2.gz RJSISKAHPKQOLO-AWEZNQCLSA-N 1 2 320.462 1.626 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(Cc2ccsc2)CC1 ZINC000052711982 352623818 /nfs/dbraw/zinc/62/38/18/352623818.db2.gz RJSISKAHPKQOLO-AWEZNQCLSA-N 1 2 320.462 1.626 20 30 DDEDLO C=CCCNC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000617618301 363200935 /nfs/dbraw/zinc/20/09/35/363200935.db2.gz KENQISMJDDBIOT-CZUORRHYSA-N 1 2 318.421 1.802 20 30 DDEDLO CCOC(=O)[C@H](CC)[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000072580960 353202726 /nfs/dbraw/zinc/20/27/26/353202726.db2.gz UOGJLFPHKNSTPK-HNNXBMFYSA-N 1 2 316.405 1.807 20 30 DDEDLO CCOC(=O)[C@H](CC)[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000072580960 353202729 /nfs/dbraw/zinc/20/27/29/353202729.db2.gz UOGJLFPHKNSTPK-HNNXBMFYSA-N 1 2 316.405 1.807 20 30 DDEDLO C[C@@H](c1ccccc1Cl)[NH+]1CCN(CC(=O)NCC#N)CC1 ZINC000076668690 353431638 /nfs/dbraw/zinc/43/16/38/353431638.db2.gz UDDKTFRCIGWHPB-ZDUSSCGKSA-N 1 2 320.824 1.658 20 30 DDEDLO C[C@H](CNc1c(C#N)nnc2ccccc21)[NH+]1CCN(C)CC1 ZINC000077215874 353458345 /nfs/dbraw/zinc/45/83/45/353458345.db2.gz JLNVBUMPMXUFEH-CYBMUJFWSA-N 1 2 310.405 1.549 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)/C=C/c1ccc(OCC#N)cc1 ZINC000492499728 234500146 /nfs/dbraw/zinc/50/01/46/234500146.db2.gz GYYUCWYGELDLKT-QPJJXVBHSA-N 1 2 310.357 1.695 20 30 DDEDLO CC(C)(C)CCNC(=O)CN(CCC#N)CC[NH+]1CCOCC1 ZINC000081531487 353681167 /nfs/dbraw/zinc/68/11/67/353681167.db2.gz ILMHGVVNTSULQJ-UHFFFAOYSA-N 1 2 324.469 1.087 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)[C@@H]1C[C@H]1c1cccc(C#N)c1 ZINC000081653197 353686383 /nfs/dbraw/zinc/68/63/83/353686383.db2.gz ZWCSFZUOOBOZAB-DLBZAZTESA-N 1 2 313.401 1.452 20 30 DDEDLO C[C@H](O)[C@@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000089931391 353783541 /nfs/dbraw/zinc/78/35/41/353783541.db2.gz ZOTZMSXSVOMSGM-DZGCQCFKSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H](O)[C@@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000089931391 353783543 /nfs/dbraw/zinc/78/35/43/353783543.db2.gz ZOTZMSXSVOMSGM-DZGCQCFKSA-N 1 2 322.430 1.425 20 30 DDEDLO C=CCc1cc(C[N@@H+]2C[C@@H](CO)OC[C@@H]2C)cc(OC)c1O ZINC000092041718 353841349 /nfs/dbraw/zinc/84/13/49/353841349.db2.gz MNFXPVCZMNDIAG-WFASDCNBSA-N 1 2 307.390 1.711 20 30 DDEDLO C=CCc1cc(C[N@H+]2C[C@@H](CO)OC[C@@H]2C)cc(OC)c1O ZINC000092041718 353841351 /nfs/dbraw/zinc/84/13/51/353841351.db2.gz MNFXPVCZMNDIAG-WFASDCNBSA-N 1 2 307.390 1.711 20 30 DDEDLO COCC[N@H+](CCC#N)Cc1ccccc1N1CCOCC1 ZINC000093100187 353885063 /nfs/dbraw/zinc/88/50/63/353885063.db2.gz GJUVVGXRZCNPDT-UHFFFAOYSA-N 1 2 303.406 1.885 20 30 DDEDLO COCC[N@@H+](CCC#N)Cc1ccccc1N1CCOCC1 ZINC000093100187 353885068 /nfs/dbraw/zinc/88/50/68/353885068.db2.gz GJUVVGXRZCNPDT-UHFFFAOYSA-N 1 2 303.406 1.885 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@H](CCO)C2)c(C#N)c1C ZINC000314183432 354484143 /nfs/dbraw/zinc/48/41/43/354484143.db2.gz MWDJZLDOPDIPEY-CYBMUJFWSA-N 1 2 305.378 1.801 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@H](CCO)C2)c(C#N)c1C ZINC000314183432 354484146 /nfs/dbraw/zinc/48/41/46/354484146.db2.gz MWDJZLDOPDIPEY-CYBMUJFWSA-N 1 2 305.378 1.801 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)NC2CCOCC2)C1 ZINC000328649836 354559395 /nfs/dbraw/zinc/55/93/95/354559395.db2.gz VFPQKCUENGLENJ-HNNXBMFYSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)NC2CCOCC2)C1 ZINC000328649836 354559396 /nfs/dbraw/zinc/55/93/96/354559396.db2.gz VFPQKCUENGLENJ-HNNXBMFYSA-N 1 2 311.426 1.122 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C[C@@H]1CSc2ccccc2O1 ZINC000579360152 354718346 /nfs/dbraw/zinc/71/83/46/354718346.db2.gz COOLVRLHFPRRBD-MLGOLLRUSA-N 1 2 319.430 1.890 20 30 DDEDLO N#CCC[NH2+]Cc1ccccc1OCC(=O)NC[C@H]1CCCO1 ZINC000579703569 354722434 /nfs/dbraw/zinc/72/24/34/354722434.db2.gz NHDJCMGVXIJDFQ-OAHLLOKOSA-N 1 2 317.389 1.364 20 30 DDEDLO Cc1ccccc1-n1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cn1 ZINC000581491703 354734403 /nfs/dbraw/zinc/73/44/03/354734403.db2.gz FCCWSBBOVWKLRF-QGZVFWFLSA-N 1 2 311.389 1.754 20 30 DDEDLO N#CCN1CCC([NH2+][C@H](c2cnn[nH]2)c2ccc(F)cc2)CC1 ZINC000585725511 354832646 /nfs/dbraw/zinc/83/26/46/354832646.db2.gz SKSVWTXIUTXOJS-INIZCTEOSA-N 1 2 314.368 1.611 20 30 DDEDLO N#CCN1CCC([NH2+][C@H](c2cn[nH]n2)c2ccc(F)cc2)CC1 ZINC000585725511 354832649 /nfs/dbraw/zinc/83/26/49/354832649.db2.gz SKSVWTXIUTXOJS-INIZCTEOSA-N 1 2 314.368 1.611 20 30 DDEDLO N#CCN1CCC([NH2+][C@H](c2c[nH]nn2)c2ccc(F)cc2)CC1 ZINC000585725511 354832650 /nfs/dbraw/zinc/83/26/50/354832650.db2.gz SKSVWTXIUTXOJS-INIZCTEOSA-N 1 2 314.368 1.611 20 30 DDEDLO N#CC1(CC(=O)N2CCC[N@H+](Cc3cscn3)CC2)CC1 ZINC000590211174 355058464 /nfs/dbraw/zinc/05/84/64/355058464.db2.gz RSIDNNYHOFIUQN-UHFFFAOYSA-N 1 2 304.419 1.871 20 30 DDEDLO N#CC1(CC(=O)N2CCC[N@@H+](Cc3cscn3)CC2)CC1 ZINC000590211174 355058467 /nfs/dbraw/zinc/05/84/67/355058467.db2.gz RSIDNNYHOFIUQN-UHFFFAOYSA-N 1 2 304.419 1.871 20 30 DDEDLO N#CCN1CCC([NH2+][C@@H](c2cccs2)c2nnc[nH]2)CC1 ZINC000590243072 355060712 /nfs/dbraw/zinc/06/07/12/355060712.db2.gz ICCOXGWWLAROKF-ZDUSSCGKSA-N 1 2 302.407 1.533 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1C/C=C/c1ccncc1 ZINC000494994048 235112222 /nfs/dbraw/zinc/11/22/22/235112222.db2.gz JGHZVXCHTWWYAZ-XYBNCVKDSA-N 1 2 320.400 1.967 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1C/C=C/c1ccncc1 ZINC000494994048 235112225 /nfs/dbraw/zinc/11/22/25/235112225.db2.gz JGHZVXCHTWWYAZ-XYBNCVKDSA-N 1 2 320.400 1.967 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@H](C)C(=O)N2CCCCC2)CC1 ZINC000591020270 355233182 /nfs/dbraw/zinc/23/31/82/355233182.db2.gz ZIZRSSAUDYWDKK-HZPDHXFCSA-N 1 2 306.454 1.553 20 30 DDEDLO CN1CCN(c2ncc3c(c2C#N)CCC3)C[C@@H]1c1[nH]cc[nH+]1 ZINC000591824743 355392115 /nfs/dbraw/zinc/39/21/15/355392115.db2.gz RHYPJWUVALDCHW-OAHLLOKOSA-N 1 2 308.389 1.658 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)[N@@H+]2Cc2ccncc2C#N)O1 ZINC000592119896 355505271 /nfs/dbraw/zinc/50/52/71/355505271.db2.gz BGVJHTXVJVWTIF-FWYOQMDTSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)[N@H+]2Cc2ccncc2C#N)O1 ZINC000592119896 355505275 /nfs/dbraw/zinc/50/52/75/355505275.db2.gz BGVJHTXVJVWTIF-FWYOQMDTSA-N 1 2 315.373 1.637 20 30 DDEDLO Cc1ccc(NC(=O)[C@@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)c(F)c1 ZINC000592147260 355512784 /nfs/dbraw/zinc/51/27/84/355512784.db2.gz MIRHUOQKBFJLOF-WBMJQRKESA-N 1 2 305.353 1.812 20 30 DDEDLO Cc1ccc(NC(=O)[C@@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)c(F)c1 ZINC000592147260 355512787 /nfs/dbraw/zinc/51/27/87/355512787.db2.gz MIRHUOQKBFJLOF-WBMJQRKESA-N 1 2 305.353 1.812 20 30 DDEDLO Cc1ccc(C)c(NC(=O)[C@@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592147944 355513860 /nfs/dbraw/zinc/51/38/60/355513860.db2.gz UMDJUAINSHYBQF-RHSMWYFYSA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1ccc(C)c(NC(=O)[C@@H](C)[N@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592147944 355513864 /nfs/dbraw/zinc/51/38/64/355513864.db2.gz UMDJUAINSHYBQF-RHSMWYFYSA-N 1 2 301.390 1.981 20 30 DDEDLO COc1ccc(-c2nnc(C[N@@H+]3CC[C@@](O)(CC#N)C3)o2)cc1 ZINC000592148670 355515237 /nfs/dbraw/zinc/51/52/37/355515237.db2.gz YUKIQJPAJOPEGL-INIZCTEOSA-N 1 2 314.345 1.596 20 30 DDEDLO COc1ccc(-c2nnc(C[N@H+]3CC[C@@](O)(CC#N)C3)o2)cc1 ZINC000592148670 355515239 /nfs/dbraw/zinc/51/52/39/355515239.db2.gz YUKIQJPAJOPEGL-INIZCTEOSA-N 1 2 314.345 1.596 20 30 DDEDLO Cc1ccc([N+](=O)[O-])cc1NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149062 355515365 /nfs/dbraw/zinc/51/53/65/355515365.db2.gz HUQFQMAKYWXSNK-HNNXBMFYSA-N 1 2 318.333 1.192 20 30 DDEDLO Cc1ccc([N+](=O)[O-])cc1NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149062 355515370 /nfs/dbraw/zinc/51/53/70/355515370.db2.gz HUQFQMAKYWXSNK-HNNXBMFYSA-N 1 2 318.333 1.192 20 30 DDEDLO C[C@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)[C@H]1OCCc2sccc21 ZINC000593153065 355812915 /nfs/dbraw/zinc/81/29/15/355812915.db2.gz GWOCKUBXZKYLRV-SGIREYDYSA-N 1 2 321.446 1.958 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cn1)C[C@@H](O)C(F)(F)F ZINC000594018465 356089957 /nfs/dbraw/zinc/08/99/57/356089957.db2.gz WZKFGHPGBKQDKR-GFCCVEGCSA-N 1 2 303.284 1.325 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cn1)C[C@@H](O)C(F)(F)F ZINC000594018465 356089960 /nfs/dbraw/zinc/08/99/60/356089960.db2.gz WZKFGHPGBKQDKR-GFCCVEGCSA-N 1 2 303.284 1.325 20 30 DDEDLO C=CCCSCCNC(=O)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000595117656 356385543 /nfs/dbraw/zinc/38/55/43/356385543.db2.gz UHAUGTNZFZKBNU-UHFFFAOYSA-N 1 2 318.402 1.698 20 30 DDEDLO CO[C@@H](C[N@H+](CCC#N)CCN1CCOCC1)C1CCCC1 ZINC000595334489 356452589 /nfs/dbraw/zinc/45/25/89/356452589.db2.gz RCKNACRNLMMNOD-KRWDZBQOSA-N 1 2 309.454 1.739 20 30 DDEDLO CO[C@@H](C[N@@H+](CCC#N)CCN1CCOCC1)C1CCCC1 ZINC000595334489 356452592 /nfs/dbraw/zinc/45/25/92/356452592.db2.gz RCKNACRNLMMNOD-KRWDZBQOSA-N 1 2 309.454 1.739 20 30 DDEDLO CO[C@@H](CN(CCC#N)CC[NH+]1CCOCC1)C1CCCC1 ZINC000595334489 356452596 /nfs/dbraw/zinc/45/25/96/356452596.db2.gz RCKNACRNLMMNOD-KRWDZBQOSA-N 1 2 309.454 1.739 20 30 DDEDLO CCS(=O)(=O)C1C[NH+](CCCC2(C#N)CCOCC2)C1 ZINC000595516070 356527708 /nfs/dbraw/zinc/52/77/08/356527708.db2.gz DDEFFUOKZWCPCU-UHFFFAOYSA-N 1 2 300.424 1.206 20 30 DDEDLO Cc1cc(=O)[nH]c(C(C)(C)[NH2+]CC(=O)N[C@@](C)(C#N)C2CC2)n1 ZINC000595521196 356531594 /nfs/dbraw/zinc/53/15/94/356531594.db2.gz XPFAAISNQLHVKP-INIZCTEOSA-N 1 2 317.393 1.124 20 30 DDEDLO Cc1cc(N2CC[C@@H]([N@@H+]3CCC[C@@H](CC#N)C3)C2=O)n(C)n1 ZINC000595614684 356578081 /nfs/dbraw/zinc/57/80/81/356578081.db2.gz MMZGOGVJFNTFQY-UONOGXRCSA-N 1 2 301.394 1.460 20 30 DDEDLO Cc1cc(N2CC[C@@H]([N@H+]3CCC[C@@H](CC#N)C3)C2=O)n(C)n1 ZINC000595614684 356578085 /nfs/dbraw/zinc/57/80/85/356578085.db2.gz MMZGOGVJFNTFQY-UONOGXRCSA-N 1 2 301.394 1.460 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCOC(C2CC2)(C2CC2)C1 ZINC000595822627 356664102 /nfs/dbraw/zinc/66/41/02/356664102.db2.gz YHUPDVXUBXXIDX-QGZVFWFLSA-N 1 2 319.449 1.932 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCOC(C2CC2)(C2CC2)C1 ZINC000595822627 356664108 /nfs/dbraw/zinc/66/41/08/356664108.db2.gz YHUPDVXUBXXIDX-QGZVFWFLSA-N 1 2 319.449 1.932 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@@H+]2CC[C@](C)(C#N)C2)C1 ZINC000595838979 356673400 /nfs/dbraw/zinc/67/34/00/356673400.db2.gz FRDAYZLSMCRPQH-JJRVBVJISA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@H+]2CC[C@](C)(C#N)C2)C1 ZINC000595838979 356673404 /nfs/dbraw/zinc/67/34/04/356673404.db2.gz FRDAYZLSMCRPQH-JJRVBVJISA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@@H+]2CC[C@@](C)(C#N)C2)C1 ZINC000595838981 356673533 /nfs/dbraw/zinc/67/35/33/356673533.db2.gz FRDAYZLSMCRPQH-VBQJREDUSA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@H+]2CC[C@@](C)(C#N)C2)C1 ZINC000595838981 356673537 /nfs/dbraw/zinc/67/35/37/356673537.db2.gz FRDAYZLSMCRPQH-VBQJREDUSA-N 1 2 321.421 1.412 20 30 DDEDLO C[C@](C#N)(NC(=O)C[NH+]1CCC(c2ccon2)CC1)C1CC1 ZINC000595839438 356673545 /nfs/dbraw/zinc/67/35/45/356673545.db2.gz YDEFQPAUMLDXNH-MRXNPFEDSA-N 1 2 302.378 1.662 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H](C)[C@](C)(CO)C1 ZINC000595880813 356689645 /nfs/dbraw/zinc/68/96/45/356689645.db2.gz ZOVBHTMRAYCGNU-PVUWLOKVSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@@H](C)[C@](C)(CO)C1 ZINC000595880813 356689648 /nfs/dbraw/zinc/68/96/48/356689648.db2.gz ZOVBHTMRAYCGNU-PVUWLOKVSA-N 1 2 302.374 1.723 20 30 DDEDLO Cn1ncc(C#N)c1N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000596348040 356861750 /nfs/dbraw/zinc/86/17/50/356861750.db2.gz LNVJKNOPOPMHGP-SJORKVTESA-N 1 2 323.400 1.381 20 30 DDEDLO Cn1ncc(C#N)c1N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000596348040 356861754 /nfs/dbraw/zinc/86/17/54/356861754.db2.gz LNVJKNOPOPMHGP-SJORKVTESA-N 1 2 323.400 1.381 20 30 DDEDLO C[C@H](CC#N)C(=O)NCc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1 ZINC000596806674 356982495 /nfs/dbraw/zinc/98/24/95/356982495.db2.gz PDQHCWBONMZWLR-MGPQQGTHSA-N 1 2 316.405 1.861 20 30 DDEDLO CCCn1nccc1C[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000597129107 357068642 /nfs/dbraw/zinc/06/86/42/357068642.db2.gz IFPXKMTYXZPLKE-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO CS(=O)(=O)NC[C@@H]1CCCC[N@@H+]1CC#Cc1ccccc1 ZINC000276855793 213136966 /nfs/dbraw/zinc/13/69/66/213136966.db2.gz VPONMSBGSKZTAW-INIZCTEOSA-N 1 2 306.431 1.442 20 30 DDEDLO CS(=O)(=O)NC[C@@H]1CCCC[N@H+]1CC#Cc1ccccc1 ZINC000276855793 213136969 /nfs/dbraw/zinc/13/69/69/213136969.db2.gz VPONMSBGSKZTAW-INIZCTEOSA-N 1 2 306.431 1.442 20 30 DDEDLO CC[C@@H](C#N)C(=O)N(CC[NH+]1CCOCC1)[C@@H]1CCSC1 ZINC000597678562 357281230 /nfs/dbraw/zinc/28/12/30/357281230.db2.gz LDKRBXWFHKVSAZ-UONOGXRCSA-N 1 2 311.451 1.202 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1ccc(C#N)s1)C(C)(C)CO ZINC000597848481 357356672 /nfs/dbraw/zinc/35/66/72/357356672.db2.gz VETZTDOOZHIIRH-LBPRGKRZSA-N 1 2 318.402 1.843 20 30 DDEDLO COCCn1cc(NC(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)cn1 ZINC000329718133 223017944 /nfs/dbraw/zinc/01/79/44/223017944.db2.gz YIGOGBXYGWYRMT-LBPRGKRZSA-N 1 2 304.354 1.635 20 30 DDEDLO Cc1cccc2[nH+]c(CNC(=O)N3C[C@@H]4CC[C@H](O)[C@H]4C3)cn21 ZINC000329752737 223024353 /nfs/dbraw/zinc/02/43/53/223024353.db2.gz JQPOUKWWDLVOBK-QEJZJMRPSA-N 1 2 314.389 1.759 20 30 DDEDLO COC1CC(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)C1 ZINC000598979429 357782033 /nfs/dbraw/zinc/78/20/33/357782033.db2.gz ZZPVQIDDNBQFGI-UHFFFAOYSA-N 1 2 313.401 1.627 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1nc2cc(Cl)ccn2c1C#N ZINC000599160108 357832802 /nfs/dbraw/zinc/83/28/02/357832802.db2.gz FGZYZOATPRSGSL-LLVKDONJSA-N 1 2 305.769 1.602 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1nc2cc(Cl)ccn2c1C#N ZINC000599160108 357832804 /nfs/dbraw/zinc/83/28/04/357832804.db2.gz FGZYZOATPRSGSL-LLVKDONJSA-N 1 2 305.769 1.602 20 30 DDEDLO COC[C@]1(C)C[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000599692213 358027717 /nfs/dbraw/zinc/02/77/17/358027717.db2.gz CYFCKHWSDKFWNX-HNNXBMFYSA-N 1 2 305.334 1.704 20 30 DDEDLO COC[C@]1(C)C[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000599692213 358027720 /nfs/dbraw/zinc/02/77/20/358027720.db2.gz CYFCKHWSDKFWNX-HNNXBMFYSA-N 1 2 305.334 1.704 20 30 DDEDLO C[N@@H+]1C2(CCC2)COC[C@]1(CO)CNC(=O)CCCCCC#N ZINC000600231313 358163126 /nfs/dbraw/zinc/16/31/26/358163126.db2.gz DUHBKZDEXNANJC-QGZVFWFLSA-N 1 2 323.437 1.192 20 30 DDEDLO C[N@H+]1C2(CCC2)COC[C@]1(CO)CNC(=O)CCCCCC#N ZINC000600231313 358163130 /nfs/dbraw/zinc/16/31/30/358163130.db2.gz DUHBKZDEXNANJC-QGZVFWFLSA-N 1 2 323.437 1.192 20 30 DDEDLO N#Cc1cccc(NC2CN(C(=O)[C@@H]3CCn4cc[nH+]c4C3)C2)c1 ZINC000601677783 358650579 /nfs/dbraw/zinc/65/05/79/358650579.db2.gz NLHMHRSRXHEDJS-CQSZACIVSA-N 1 2 321.384 1.640 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)c1ccn2c(C)c[nH+]c2c1)C(=O)OC ZINC000601785615 358694849 /nfs/dbraw/zinc/69/48/49/358694849.db2.gz SXJKZKBNWRQOGS-CYBMUJFWSA-N 1 2 301.346 1.880 20 30 DDEDLO N#CC1(C[C@@H](O)C[NH+]2CC(Oc3ccc([N+](=O)[O-])cc3)C2)CC1 ZINC000601957505 358753102 /nfs/dbraw/zinc/75/31/02/358753102.db2.gz IFXBZIVAIZGBGQ-CYBMUJFWSA-N 1 2 317.345 1.713 20 30 DDEDLO Cc1cc(S(=O)(=O)N[C@H]2CCn3c[nH+]cc3C2)ccc1C#N ZINC000601967269 358756860 /nfs/dbraw/zinc/75/68/60/358756860.db2.gz FMXSATWCFNYZDE-ZDUSSCGKSA-N 1 2 316.386 1.357 20 30 DDEDLO C[C@H](CCC#N)C[N@@H+]1CCc2sc(S(N)(=O)=O)cc2C1 ZINC000602722128 359149298 /nfs/dbraw/zinc/14/92/98/359149298.db2.gz NAZLFZIPHNEFGX-SNVBAGLBSA-N 1 2 313.448 1.693 20 30 DDEDLO C[C@H](CCC#N)C[N@H+]1CCc2sc(S(N)(=O)=O)cc2C1 ZINC000602722128 359149299 /nfs/dbraw/zinc/14/92/99/359149299.db2.gz NAZLFZIPHNEFGX-SNVBAGLBSA-N 1 2 313.448 1.693 20 30 DDEDLO CC1CCC(NC(=O)C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)CC1 ZINC000602855293 359241602 /nfs/dbraw/zinc/24/16/02/359241602.db2.gz GAMZANJISNBABI-PYBGIAKNSA-N 1 2 306.454 1.599 20 30 DDEDLO C[C@@H](C(=O)NCC1CCCCC1)[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602857232 359243215 /nfs/dbraw/zinc/24/32/15/359243215.db2.gz PQUYCFZWGHKHDP-XHSDSOJGSA-N 1 2 320.481 1.990 20 30 DDEDLO C[C@@H](C(=O)NCC1CCCCC1)[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602857232 359243219 /nfs/dbraw/zinc/24/32/19/359243219.db2.gz PQUYCFZWGHKHDP-XHSDSOJGSA-N 1 2 320.481 1.990 20 30 DDEDLO COCCOc1ccc(CNC(=O)C[NH2+][C@H](C)CC#N)cc1 ZINC000602865376 359249997 /nfs/dbraw/zinc/24/99/97/359249997.db2.gz XSQVWZIGUVFRDE-CYBMUJFWSA-N 1 2 305.378 1.220 20 30 DDEDLO N#Cc1ccc(C2CC[NH+](Cc3ncnn3CCF)CC2)nc1 ZINC000602908460 359288117 /nfs/dbraw/zinc/28/81/17/359288117.db2.gz RHUZRYMUOLKQGK-UHFFFAOYSA-N 1 2 314.368 1.894 20 30 DDEDLO N#CCCN1CC[NH+]([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)CC1 ZINC000603015368 359358306 /nfs/dbraw/zinc/35/83/06/359358306.db2.gz AQRMVRYFRGCFFN-QGZVFWFLSA-N 1 2 323.400 1.195 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)C[N@H+](C)[C@@H]1CCC[C@H]1C#N)C(=O)OC ZINC000602973455 359333054 /nfs/dbraw/zinc/33/30/54/359333054.db2.gz AHMWWBKPPVGWBE-XPCVCDNBSA-N 1 2 309.410 1.314 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)C[N@@H+](C)[C@@H]1CCC[C@H]1C#N)C(=O)OC ZINC000602973455 359333063 /nfs/dbraw/zinc/33/30/63/359333063.db2.gz AHMWWBKPPVGWBE-XPCVCDNBSA-N 1 2 309.410 1.314 20 30 DDEDLO N#CCC[C@@H](NS(=O)(=O)CCn1cc[nH+]c1)c1ccccc1 ZINC000603306462 359557029 /nfs/dbraw/zinc/55/70/29/359557029.db2.gz WHIGUAZIIRFACW-OAHLLOKOSA-N 1 2 318.402 1.848 20 30 DDEDLO C[C@H]([NH+]=C([O-])N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1)c1nncn1C ZINC000329914754 223045240 /nfs/dbraw/zinc/04/52/40/223045240.db2.gz XZAZYGAPXIKUBX-AVGNSLFASA-N 1 2 317.397 1.570 20 30 DDEDLO O=C(N[C@H]1CCN(c2cccc[nH+]2)C1)c1cc2n(n1)CCCO2 ZINC000329901843 223044211 /nfs/dbraw/zinc/04/42/11/223044211.db2.gz OXKYUAQGXPUMBO-LBPRGKRZSA-N 1 2 313.361 1.644 20 30 DDEDLO Cc1ccc(N(CC2CC2)C[C@H]2C[N@H+](C)CCO2)nc1C#N ZINC000618682462 363719562 /nfs/dbraw/zinc/71/95/62/363719562.db2.gz BRDDRCNCHJWQFG-OAHLLOKOSA-N 1 2 300.406 1.809 20 30 DDEDLO Cc1ccc(N(CC2CC2)C[C@H]2C[N@@H+](C)CCO2)nc1C#N ZINC000618682462 363719564 /nfs/dbraw/zinc/71/95/64/363719564.db2.gz BRDDRCNCHJWQFG-OAHLLOKOSA-N 1 2 300.406 1.809 20 30 DDEDLO Cc1[nH+]c[nH]c1CNC(=O)[C@@H]1CCCN1c1ccc(C#N)cc1 ZINC000610494322 360451978 /nfs/dbraw/zinc/45/19/78/360451978.db2.gz FBUPMMCFRSRQKA-INIZCTEOSA-N 1 2 309.373 1.875 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)NCCCC2CCCCC2)CC1 ZINC000611175851 360649209 /nfs/dbraw/zinc/64/92/09/360649209.db2.gz LQTXJSBDDSOZNC-UHFFFAOYSA-N 1 2 320.481 1.994 20 30 DDEDLO Cc1nnccc1NC(=O)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000330103728 223074410 /nfs/dbraw/zinc/07/44/10/223074410.db2.gz HBPJIYUICDPFEG-UHFFFAOYSA-N 1 2 306.370 1.262 20 30 DDEDLO Cc1ccn(C)c(=O)c1NC(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330263939 223096737 /nfs/dbraw/zinc/09/67/37/223096737.db2.gz XGJYJGGOPNDSQZ-LLVKDONJSA-N 1 2 301.350 1.231 20 30 DDEDLO CCC(C)(C)NC(=O)C[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000565869532 304099144 /nfs/dbraw/zinc/09/91/44/304099144.db2.gz VCXGPGLHFUIVRY-UHFFFAOYSA-N 1 2 315.421 1.380 20 30 DDEDLO COc1cnc(NC(=O)NC[C@H](C)[NH+]2CCOCC2)s1 ZINC000330485497 223121681 /nfs/dbraw/zinc/12/16/81/223121681.db2.gz BJUYCYVUBOGDIS-VIFPVBQESA-N 1 2 300.384 1.198 20 30 DDEDLO C[C@H](NC(=O)c1cccc(C[NH+]2CCOCC2)c1)C(=O)N(C)C ZINC000330696569 223137984 /nfs/dbraw/zinc/13/79/84/223137984.db2.gz JVCYMXGXJJQLGB-ZDUSSCGKSA-N 1 2 319.405 1.300 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)NC1(CO)CCCC1 ZINC000331031732 223181953 /nfs/dbraw/zinc/18/19/53/223181953.db2.gz UCNWJAIDYZFBQC-UHFFFAOYSA-N 1 2 320.315 1.754 20 30 DDEDLO N#C[C@@H]1CCC[C@@H]1[NH2+]CC(=O)N1CCc2ccc([N+](=O)[O-])cc21 ZINC000331632658 223207609 /nfs/dbraw/zinc/20/76/09/223207609.db2.gz IPUIPSXGYOIKLL-JSGCOSHPSA-N 1 2 314.345 1.766 20 30 DDEDLO N#C[C@@]1(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CC12CCCC2 ZINC000333962651 223225656 /nfs/dbraw/zinc/22/56/56/223225656.db2.gz NEGQFNXJLSRBJS-JKIFEVAISA-N 1 2 303.406 1.440 20 30 DDEDLO N#C[C@@]1(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CC12CCCC2 ZINC000333962651 223225657 /nfs/dbraw/zinc/22/56/57/223225657.db2.gz NEGQFNXJLSRBJS-JKIFEVAISA-N 1 2 303.406 1.440 20 30 DDEDLO N#CCC[C@@H](C#N)C[NH+]1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000337434152 223243780 /nfs/dbraw/zinc/24/37/80/223243780.db2.gz BRPMBUQCHRHVFG-AWEZNQCLSA-N 1 2 324.450 1.320 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)c3ccc(CC#N)cc3)CC2)cn1 ZINC000340163136 223277436 /nfs/dbraw/zinc/27/74/36/223277436.db2.gz WQVCMAOXKAGDJV-UHFFFAOYSA-N 1 2 323.400 1.444 20 30 DDEDLO COC(=O)[C@H]1CC[C@@H](Nc2[nH+]cnc3c2cnn3CCC#N)C1 ZINC000618983067 363826958 /nfs/dbraw/zinc/82/69/58/363826958.db2.gz PFEJQXFLZFVXTR-WDEREUQCSA-N 1 2 314.349 1.494 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cccc(CC#N)c2)C[C@@H]1C ZINC000619493495 364035847 /nfs/dbraw/zinc/03/58/47/364035847.db2.gz LVODSSPVLANIKK-ZDUSSCGKSA-N 1 2 307.419 1.467 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cccc(CC#N)c2)C[C@@H]1C ZINC000619493495 364035851 /nfs/dbraw/zinc/03/58/51/364035851.db2.gz LVODSSPVLANIKK-ZDUSSCGKSA-N 1 2 307.419 1.467 20 30 DDEDLO C=CCOC[C@H]([NH2+]C[C@@H]1CCOc2ccccc21)C(=O)OC ZINC000619509440 364044498 /nfs/dbraw/zinc/04/44/98/364044498.db2.gz RDLOMGWBEGVMJI-ZFWWWQNUSA-N 1 2 305.374 1.887 20 30 DDEDLO C=C[C@H](C(=O)OC)N1CC[NH+](Cc2cc(F)ccc2OC)CC1 ZINC000619715706 364126736 /nfs/dbraw/zinc/12/67/36/364126736.db2.gz BCPSJRRMFRIULY-OAHLLOKOSA-N 1 2 322.380 1.680 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000620187729 364330214 /nfs/dbraw/zinc/33/02/14/364330214.db2.gz LOEDMRTVYKUYGX-UHFFFAOYSA-N 1 2 307.394 1.528 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@@H]2CS(=O)(=O)Cc3ccccc32)C1=O ZINC000621354850 364818508 /nfs/dbraw/zinc/81/85/08/364818508.db2.gz WMMAJEIXNIEEDD-LSDHHAIUSA-N 1 2 320.414 1.033 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2C[C@@H](C)O[C@@H](C(=O)OC)C2)cc1 ZINC000092013723 193142291 /nfs/dbraw/zinc/14/22/91/193142291.db2.gz QAWPPPHUASXQRS-RHSMWYFYSA-N 1 2 317.385 1.851 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2C[C@@H](C)O[C@@H](C(=O)OC)C2)cc1 ZINC000092013723 193142293 /nfs/dbraw/zinc/14/22/93/193142293.db2.gz QAWPPPHUASXQRS-RHSMWYFYSA-N 1 2 317.385 1.851 20 30 DDEDLO COc1cc[nH+]cc1CNC(=O)[C@H](C#N)Cc1ccc(C#N)cc1 ZINC000348328791 223383000 /nfs/dbraw/zinc/38/30/00/223383000.db2.gz QDGBVGOCKQMSPN-HNNXBMFYSA-N 1 2 320.352 1.961 20 30 DDEDLO Cn1c[nH+]cc1CN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC000267786307 206282865 /nfs/dbraw/zinc/28/28/65/206282865.db2.gz OOTSUPMTPKNBSM-MRXNPFEDSA-N 1 2 309.329 1.259 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCC[N@H+](C[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000274166433 211394192 /nfs/dbraw/zinc/39/41/92/211394192.db2.gz NAEBNBHEAACNIN-HUUCEWRRSA-N 1 2 308.403 1.101 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCC[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000274166433 211394195 /nfs/dbraw/zinc/39/41/95/211394195.db2.gz NAEBNBHEAACNIN-HUUCEWRRSA-N 1 2 308.403 1.101 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1ccc(C#N)cc1)[NH+]1CCOCC1 ZINC000104774685 194037153 /nfs/dbraw/zinc/03/71/53/194037153.db2.gz PKGZUCXWPLSLNS-QWHCGFSZSA-N 1 2 302.378 1.789 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccc(C#N)cc1)[C@@H](C)[NH+]1CCOCC1 ZINC000104774686 194037617 /nfs/dbraw/zinc/03/76/17/194037617.db2.gz PKGZUCXWPLSLNS-CHWSQXEVSA-N 1 2 302.378 1.789 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000284671484 218126308 /nfs/dbraw/zinc/12/63/08/218126308.db2.gz XFIYOHVXMHTOEZ-INIZCTEOSA-N 1 2 315.352 1.316 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000284671484 218126310 /nfs/dbraw/zinc/12/63/10/218126310.db2.gz XFIYOHVXMHTOEZ-INIZCTEOSA-N 1 2 315.352 1.316 20 30 DDEDLO CCN(CCC#N)C(=O)CN1CCc2c([nH+]c(C(C)C)n2C)C1 ZINC000106372441 194202348 /nfs/dbraw/zinc/20/23/48/194202348.db2.gz DPNQJNCQVNNTCR-UHFFFAOYSA-N 1 2 317.437 1.664 20 30 DDEDLO COCCO[C@@H]1CCC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000340767016 251200702 /nfs/dbraw/zinc/20/07/02/251200702.db2.gz CMXZZKFLSTYWDX-HZPDHXFCSA-N 1 2 317.389 1.212 20 30 DDEDLO COCCO[C@@H]1CCC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000340767016 251200704 /nfs/dbraw/zinc/20/07/04/251200704.db2.gz CMXZZKFLSTYWDX-HZPDHXFCSA-N 1 2 317.389 1.212 20 30 DDEDLO COCC[N@H+](CC(=O)N1CCCC1)Cc1ccc(C#N)cc1 ZINC000058397727 184113302 /nfs/dbraw/zinc/11/33/02/184113302.db2.gz WJIMHHBPKVODKX-UHFFFAOYSA-N 1 2 301.390 1.629 20 30 DDEDLO COCC[N@@H+](CC(=O)N1CCCC1)Cc1ccc(C#N)cc1 ZINC000058397727 184113303 /nfs/dbraw/zinc/11/33/03/184113303.db2.gz WJIMHHBPKVODKX-UHFFFAOYSA-N 1 2 301.390 1.629 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)Nc2ccc(C#N)cc2Cl)C1 ZINC000269169088 207291478 /nfs/dbraw/zinc/29/14/78/207291478.db2.gz WTRYYWKIGLTTDG-GFCCVEGCSA-N 1 2 321.812 1.579 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)Nc2ccc(C#N)cc2Cl)C1 ZINC000269169088 207291480 /nfs/dbraw/zinc/29/14/80/207291480.db2.gz WTRYYWKIGLTTDG-GFCCVEGCSA-N 1 2 321.812 1.579 20 30 DDEDLO N#Cc1cccc(C2(NC(=O)NCC[NH+]3CCOCC3)CC2)c1 ZINC000269636020 207703919 /nfs/dbraw/zinc/70/39/19/207703919.db2.gz QHUBMKGZVYLWNG-UHFFFAOYSA-N 1 2 314.389 1.179 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N[C@@H](C)c1ccc(C#N)cc1 ZINC000119638571 195007657 /nfs/dbraw/zinc/00/76/57/195007657.db2.gz APNIFDHYWJMKDI-NSHDSACASA-N 1 2 324.340 1.778 20 30 DDEDLO COC[C@H]([NH2+][C@H](C)C(=O)N[C@](C)(C#N)C(C)C)c1ccco1 ZINC000347614831 533097110 /nfs/dbraw/zinc/09/71/10/533097110.db2.gz IAJAQGAHQCDEKZ-DVOMOZLQSA-N 1 2 307.394 2.000 20 30 DDEDLO COCC(COC)[NH+]1CCN(c2nccc(C#N)c2Cl)CC1 ZINC000413478554 533101664 /nfs/dbraw/zinc/10/16/64/533101664.db2.gz PGFZCENWCMLCEJ-UHFFFAOYSA-N 1 2 324.812 1.390 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)NC2CCC(C)CC2)CC1 ZINC000341987346 533108559 /nfs/dbraw/zinc/10/85/59/533108559.db2.gz GLHASYMJLDAHKW-UHFFFAOYSA-N 1 2 307.438 1.933 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCc2cccc(F)c2C1 ZINC000157927486 197227934 /nfs/dbraw/zinc/22/79/34/197227934.db2.gz JXWBTAHBYHUGHR-LLVKDONJSA-N 1 2 305.353 1.584 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCc2cccc(F)c2C1 ZINC000157927486 197227936 /nfs/dbraw/zinc/22/79/36/197227936.db2.gz JXWBTAHBYHUGHR-LLVKDONJSA-N 1 2 305.353 1.584 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(CC(=O)NC3CC3)CC2)s1 ZINC000120768597 195233779 /nfs/dbraw/zinc/23/37/79/195233779.db2.gz UBYSSZHCVBXBMH-UHFFFAOYSA-N 1 2 304.419 1.016 20 30 DDEDLO COc1cc(C[N@@H+]2CCO[C@@]3(CCCOC3)C2)ccc1C#N ZINC000289657810 221133866 /nfs/dbraw/zinc/13/38/66/221133866.db2.gz YJMUBMGFSMHFAD-KRWDZBQOSA-N 1 2 302.374 1.948 20 30 DDEDLO COc1cc(C[N@H+]2CCO[C@@]3(CCCOC3)C2)ccc1C#N ZINC000289657810 221133870 /nfs/dbraw/zinc/13/38/70/221133870.db2.gz YJMUBMGFSMHFAD-KRWDZBQOSA-N 1 2 302.374 1.948 20 30 DDEDLO COc1nccc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)n1 ZINC000449243190 533228899 /nfs/dbraw/zinc/22/88/99/533228899.db2.gz IWQLNRRRMHZEIU-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO Cc1ncc(S(=O)(=O)NC[C@H](C)Cn2cc[nH+]c2)cc1C#N ZINC000414454081 224339628 /nfs/dbraw/zinc/33/96/28/224339628.db2.gz FKIMXWWBBAKJKJ-NSHDSACASA-N 1 2 319.390 1.073 20 30 DDEDLO CO[C@]1(C)C[C@H]([NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)C1(C)C ZINC000330186481 533378272 /nfs/dbraw/zinc/37/82/72/533378272.db2.gz JURXIRARDLJKMW-BFQNTYOBSA-N 1 2 306.410 1.905 20 30 DDEDLO CO[C@]1(C)C[C@H](NC([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)C1(C)C ZINC000330186481 533378279 /nfs/dbraw/zinc/37/82/79/533378279.db2.gz JURXIRARDLJKMW-BFQNTYOBSA-N 1 2 306.410 1.905 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[N@@H+](C)[C@@H](C)[C@@H]1C ZINC000408085062 292045762 /nfs/dbraw/zinc/04/57/62/292045762.db2.gz WIUVOUUDATWOAO-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[N@H+](C)[C@@H](C)[C@@H]1C ZINC000408085062 292045763 /nfs/dbraw/zinc/04/57/63/292045763.db2.gz WIUVOUUDATWOAO-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000341984651 292208207 /nfs/dbraw/zinc/20/82/07/292208207.db2.gz DSHVKNNZRSZBQY-HOCLYGCPSA-N 1 2 320.437 1.682 20 30 DDEDLO CCOC(=O)N1CC[NH+](CCOc2ccc(C#N)cc2)CC1 ZINC000015258616 406880440 /nfs/dbraw/zinc/88/04/40/406880440.db2.gz XRZYYUNIVOFSOM-UHFFFAOYSA-N 1 2 303.362 1.711 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCCOc1ccc(OCC)cc1 ZINC000076185795 406958435 /nfs/dbraw/zinc/95/84/35/406958435.db2.gz DFJQOESUIHHRPS-UHFFFAOYSA-N 1 2 314.385 1.149 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCOC[C@@H]1C1CC1)C1(C#N)CCCCC1 ZINC000090009558 407164557 /nfs/dbraw/zinc/16/45/57/407164557.db2.gz QYZWXFFOWRHFGR-OAHLLOKOSA-N 1 2 305.422 1.782 20 30 DDEDLO CN(C(=O)C[N@H+]1CCOC[C@@H]1C1CC1)C1(C#N)CCCCC1 ZINC000090009558 407164559 /nfs/dbraw/zinc/16/45/59/407164559.db2.gz QYZWXFFOWRHFGR-OAHLLOKOSA-N 1 2 305.422 1.782 20 30 DDEDLO C[C@]1(O)CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000124575737 407362682 /nfs/dbraw/zinc/36/26/82/407362682.db2.gz LIKFGXYQVINUBN-HNNXBMFYSA-N 1 2 308.403 1.179 20 30 DDEDLO C[C@]1(O)CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000124575737 407362683 /nfs/dbraw/zinc/36/26/83/407362683.db2.gz LIKFGXYQVINUBN-HNNXBMFYSA-N 1 2 308.403 1.179 20 30 DDEDLO C=CC[C@H](C)NC(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000125843748 407398975 /nfs/dbraw/zinc/39/89/75/407398975.db2.gz FHUQOBIAAHQMID-AWEZNQCLSA-N 1 2 322.453 1.195 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)cc1 ZINC000110955542 407399404 /nfs/dbraw/zinc/39/94/04/407399404.db2.gz BJHLIZDCDQXATE-KRWDZBQOSA-N 1 2 313.401 1.885 20 30 DDEDLO CCNC(=O)C[N@H+](CC)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000126100961 407404516 /nfs/dbraw/zinc/40/45/16/407404516.db2.gz AJNRQHZNFKQAPL-OAHLLOKOSA-N 1 2 314.389 1.122 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000126100961 407404517 /nfs/dbraw/zinc/40/45/17/407404517.db2.gz AJNRQHZNFKQAPL-OAHLLOKOSA-N 1 2 314.389 1.122 20 30 DDEDLO CCCC[N@H+](CC(N)=O)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000113171404 407471289 /nfs/dbraw/zinc/47/12/89/407471289.db2.gz UXMREXBRCPMECG-UHFFFAOYSA-N 1 2 322.796 1.738 20 30 DDEDLO CCCC[N@@H+](CC(N)=O)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000113171404 407471292 /nfs/dbraw/zinc/47/12/92/407471292.db2.gz UXMREXBRCPMECG-UHFFFAOYSA-N 1 2 322.796 1.738 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@H]2COCC[N@@H+]2CC2CCC2)cc1 ZINC000185693259 407478590 /nfs/dbraw/zinc/47/85/90/407478590.db2.gz GLZFKTFNTLYEJF-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@H]2COCC[N@H+]2CC2CCC2)cc1 ZINC000185693259 407478599 /nfs/dbraw/zinc/47/85/99/407478599.db2.gz GLZFKTFNTLYEJF-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccc(CCC(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)cc1 ZINC000127610932 407444808 /nfs/dbraw/zinc/44/48/08/407444808.db2.gz ILMANTHXKOFYNY-QGZVFWFLSA-N 1 2 313.401 1.470 20 30 DDEDLO N#Cc1ccc(CCC(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)cc1 ZINC000127610932 407444816 /nfs/dbraw/zinc/44/48/16/407444816.db2.gz ILMANTHXKOFYNY-QGZVFWFLSA-N 1 2 313.401 1.470 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2ccn3cc[nH+]c3c2)cc1 ZINC000178403273 407445604 /nfs/dbraw/zinc/44/56/04/407445604.db2.gz XFEPPVXUOMPFBB-UHFFFAOYSA-N 1 2 312.354 1.684 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[NH+](CCOCC)CC2)s1 ZINC000128820912 407574960 /nfs/dbraw/zinc/57/49/60/407574960.db2.gz KAEBRYJIYSZZNK-UHFFFAOYSA-N 1 2 324.450 1.535 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C)cc2OC)CC1 ZINC000128370358 407525548 /nfs/dbraw/zinc/52/55/48/407525548.db2.gz MLXJVRMFXBINBW-UHFFFAOYSA-N 1 2 302.374 1.160 20 30 DDEDLO C[C@H]1CN(c2ccc(CNC(=O)C3(C#N)CCC3)c[nH+]2)CCO1 ZINC000129536908 407616116 /nfs/dbraw/zinc/61/61/16/407616116.db2.gz YXBKAWMHUKTSSK-ZDUSSCGKSA-N 1 2 314.389 1.617 20 30 DDEDLO Cc1nc(C2([NH2+]CCC(=O)N(C)CCC#N)CCCCC2)no1 ZINC000271568544 407722956 /nfs/dbraw/zinc/72/29/56/407722956.db2.gz PMWXSNHJYSCWJO-UHFFFAOYSA-N 1 2 319.409 1.889 20 30 DDEDLO CCOc1cc(C#N)ccc1OC[C@H](O)C[NH+]1CCOCC1 ZINC000153479554 407831297 /nfs/dbraw/zinc/83/12/97/407831297.db2.gz KCRYLXQWLCNZGI-CQSZACIVSA-N 1 2 306.362 1.029 20 30 DDEDLO C=CCn1c(C)nnc1S(=O)(=O)Cc1ccc(C)[nH+]c1C ZINC000187428375 407855104 /nfs/dbraw/zinc/85/51/04/407855104.db2.gz JPCGEIJBYBIFQU-UHFFFAOYSA-N 1 2 306.391 1.758 20 30 DDEDLO COC(=O)C1([NH2+]CCC(=O)Nc2ccc(C#N)cc2)CCCC1 ZINC000118862072 407959491 /nfs/dbraw/zinc/95/94/91/407959491.db2.gz LRWZBALWOZGKNH-UHFFFAOYSA-N 1 2 315.373 1.962 20 30 DDEDLO N#CCN1CC[NH+](Cc2cc(Cl)c3c(c2)OCCO3)CC1 ZINC000174137011 407918607 /nfs/dbraw/zinc/91/86/07/407918607.db2.gz AKSYJZWMGGBSSX-UHFFFAOYSA-N 1 2 307.781 1.752 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2c(F)cc(C#N)cc2F)CC1 ZINC000153908559 407929633 /nfs/dbraw/zinc/92/96/33/407929633.db2.gz LKNBVYBRHGZGGY-UHFFFAOYSA-N 1 2 323.343 1.631 20 30 DDEDLO C=CCNC(=O)CNC(=O)Cn1c(CC)[nH+]c2ccccc21 ZINC000181714274 408031471 /nfs/dbraw/zinc/03/14/71/408031471.db2.gz JRIKAQKWVMEPQM-UHFFFAOYSA-N 1 2 300.362 1.017 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C(N)=O)cc1)[NH+]1CCC(C)(C#N)CC1 ZINC000272569038 407988513 /nfs/dbraw/zinc/98/85/13/407988513.db2.gz MTQPOQVAZOFFKW-LBPRGKRZSA-N 1 2 314.389 1.738 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1ccc(N2CCOCC2)cc1)C1CC1 ZINC000274193269 408321114 /nfs/dbraw/zinc/32/11/14/408321114.db2.gz QMKHPZYDVAMVOC-UHFFFAOYSA-N 1 2 313.401 1.559 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1ccc(N2CCOCC2)cc1)C1CC1 ZINC000274193269 408321117 /nfs/dbraw/zinc/32/11/17/408321117.db2.gz QMKHPZYDVAMVOC-UHFFFAOYSA-N 1 2 313.401 1.559 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCNC(=O)[C@H]1c1ccc(F)cc1C ZINC000248607864 408598818 /nfs/dbraw/zinc/59/88/18/408598818.db2.gz DOUCWBCYQJSSNB-GOEBONIOSA-N 1 2 306.381 1.934 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCNC(=O)[C@H]1c1ccc(F)cc1C ZINC000248607864 408598821 /nfs/dbraw/zinc/59/88/21/408598821.db2.gz DOUCWBCYQJSSNB-GOEBONIOSA-N 1 2 306.381 1.934 20 30 DDEDLO CN(CC(=O)N(CCC#N)c1ccccc1)Cc1[nH+]ccn1C ZINC000161936837 408653579 /nfs/dbraw/zinc/65/35/79/408653579.db2.gz BHUSSFOCQVVYBZ-UHFFFAOYSA-N 1 2 311.389 1.799 20 30 DDEDLO CCOc1ccc(C#N)cc1NC(=O)NCC[NH+]1CCOCC1 ZINC000193579928 408730215 /nfs/dbraw/zinc/73/02/15/408730215.db2.gz NMFJVFHCRDHHTR-UHFFFAOYSA-N 1 2 318.377 1.411 20 30 DDEDLO CC#CC[NH+]1CCN(CC(=O)Nc2ccc(OCC)cc2)CC1 ZINC000165565591 408812527 /nfs/dbraw/zinc/81/25/27/408812527.db2.gz GRMSLYTXVYFHFU-UHFFFAOYSA-N 1 2 315.417 1.665 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(F)cc(C(C)=O)c2O)C1=O ZINC000281325800 408881947 /nfs/dbraw/zinc/88/19/47/408881947.db2.gz LONYMXBBQDRZTO-OAHLLOKOSA-N 1 2 320.364 1.953 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(F)cc(C(C)=O)c2O)C1=O ZINC000281325800 408881951 /nfs/dbraw/zinc/88/19/51/408881951.db2.gz LONYMXBBQDRZTO-OAHLLOKOSA-N 1 2 320.364 1.953 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCCn2cc[nH+]c2)c(Cl)c1 ZINC000169259942 408819675 /nfs/dbraw/zinc/81/96/75/408819675.db2.gz QROLJPAFNWLLFG-UHFFFAOYSA-N 1 2 324.793 1.777 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](CCOC)Cc1cccnc1 ZINC000276414840 408852436 /nfs/dbraw/zinc/85/24/36/408852436.db2.gz HQQHHIDBPCBGFJ-UHFFFAOYSA-N 1 2 305.422 1.955 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](CCOC)Cc1cccnc1 ZINC000276414840 408852440 /nfs/dbraw/zinc/85/24/40/408852440.db2.gz HQQHHIDBPCBGFJ-UHFFFAOYSA-N 1 2 305.422 1.955 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@H](c1[nH+]ccn1CC)C1CCOCC1 ZINC000291068173 408858701 /nfs/dbraw/zinc/85/87/01/408858701.db2.gz IMWYNBIAHRWIRD-KGLIPLIRSA-N 1 2 322.409 1.217 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(Cc3cccc(F)c3)CC2)C1=O ZINC000281143836 408873294 /nfs/dbraw/zinc/87/32/94/408873294.db2.gz AYDMYFKJVMQDFA-KRWDZBQOSA-N 1 2 317.408 1.730 20 30 DDEDLO C=CCN1CC[C@H](N2CC[NH+](Cc3cccc(F)c3)CC2)C1=O ZINC000281143836 408873297 /nfs/dbraw/zinc/87/32/97/408873297.db2.gz AYDMYFKJVMQDFA-KRWDZBQOSA-N 1 2 317.408 1.730 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CCOC)Cc1cccnc1 ZINC000277064953 408905147 /nfs/dbraw/zinc/90/51/47/408905147.db2.gz JZLWOIKAHZLOHH-UHFFFAOYSA-N 1 2 304.394 1.292 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CCOC)Cc1cccnc1 ZINC000277064953 408905151 /nfs/dbraw/zinc/90/51/51/408905151.db2.gz JZLWOIKAHZLOHH-UHFFFAOYSA-N 1 2 304.394 1.292 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CC(Oc2ccc(C#N)cc2)C1 ZINC000189923211 163124971 /nfs/dbraw/zinc/12/49/71/163124971.db2.gz BCCIKWGPMCWCEH-UHFFFAOYSA-N 1 2 310.357 1.514 20 30 DDEDLO C[C@@H]([NH2+]Cc1cc(C#N)n(C)c1)c1nnnn1-c1ccccc1 ZINC000191081264 163172265 /nfs/dbraw/zinc/17/22/65/163172265.db2.gz AXVDCMVVWSKQSV-GFCCVEGCSA-N 1 2 307.361 1.723 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1ncc([N+](=O)[O-])cc1C#N ZINC000286259425 408950610 /nfs/dbraw/zinc/95/06/10/408950610.db2.gz GNNCIMCVFHGIRA-BXUZGUMPSA-N 1 2 317.349 1.161 20 30 DDEDLO CCCc1noc(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000292107536 408982255 /nfs/dbraw/zinc/98/22/55/408982255.db2.gz GXJMTMHBHNSWNF-CYBMUJFWSA-N 1 2 313.361 1.942 20 30 DDEDLO CCCc1noc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000292107536 408982258 /nfs/dbraw/zinc/98/22/58/408982258.db2.gz GXJMTMHBHNSWNF-CYBMUJFWSA-N 1 2 313.361 1.942 20 30 DDEDLO CC#CC(=O)N1CCN(Cc2c(C)[nH+]c3cc(C)ccn32)CC1 ZINC000282860056 409068435 /nfs/dbraw/zinc/06/84/35/409068435.db2.gz QTAMPKLWYPZBCD-UHFFFAOYSA-N 1 2 310.401 1.619 20 30 DDEDLO CC#CC(=O)N1CC[NH+](Cc2c(C)nc3cc(C)ccn32)CC1 ZINC000282860056 409068437 /nfs/dbraw/zinc/06/84/37/409068437.db2.gz QTAMPKLWYPZBCD-UHFFFAOYSA-N 1 2 310.401 1.619 20 30 DDEDLO C=C(CNC(=O)N[C@@H](C)C[NH+]1CCOCC1)c1ccccc1 ZINC000287351196 409023529 /nfs/dbraw/zinc/02/35/29/409023529.db2.gz ZJQFLZZYZCGZSL-HNNXBMFYSA-N 1 2 303.406 1.720 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)c(OC)c2)CC1 ZINC000282765452 409063890 /nfs/dbraw/zinc/06/38/90/409063890.db2.gz LHAKGJWYXXYZNE-CYBMUJFWSA-N 1 2 319.380 1.094 20 30 DDEDLO O=S1(=O)CCCN1[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC000278944307 409117928 /nfs/dbraw/zinc/11/79/28/409117928.db2.gz UWHRWNPFROVGGB-KRWDZBQOSA-N 1 2 318.442 1.538 20 30 DDEDLO O=S1(=O)CCCN1[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC000278944307 409117933 /nfs/dbraw/zinc/11/79/33/409117933.db2.gz UWHRWNPFROVGGB-KRWDZBQOSA-N 1 2 318.442 1.538 20 30 DDEDLO COC(=O)CCC[NH+]1CCN(c2snc(C)c2C#N)CC1 ZINC000288985040 409203908 /nfs/dbraw/zinc/20/39/08/409203908.db2.gz VKZHCTQKQZXAPW-UHFFFAOYSA-N 1 2 308.407 1.398 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000294592840 409294508 /nfs/dbraw/zinc/29/45/08/409294508.db2.gz QOVJGAMGMRXQJW-LBPRGKRZSA-N 1 2 301.321 1.666 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3ccc(C#N)cn3)CC2)cc[nH+]1 ZINC000185449798 306716321 /nfs/dbraw/zinc/71/63/21/306716321.db2.gz VXCKOQJOBPUPDG-UHFFFAOYSA-N 1 2 308.345 1.014 20 30 DDEDLO NC(Cc1ccccc1Cl)=[NH+]OCc1nnc2n1CCOC2 ZINC000280493265 409327424 /nfs/dbraw/zinc/32/74/24/409327424.db2.gz BIWPDTVTOJPJHW-UHFFFAOYSA-N 1 2 321.768 1.493 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@@H+]1CCOC[C@H](O)C1 ZINC000295789858 409371377 /nfs/dbraw/zinc/37/13/77/409371377.db2.gz ZLEIMHRHINRRJK-ZIAGYGMSSA-N 1 2 324.446 1.344 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@H+]1CCOC[C@H](O)C1 ZINC000295789858 409371384 /nfs/dbraw/zinc/37/13/84/409371384.db2.gz ZLEIMHRHINRRJK-ZIAGYGMSSA-N 1 2 324.446 1.344 20 30 DDEDLO C=C[C@H](CO)NC(=O)c1cccc(CNc2cc[nH+]c(C)n2)c1 ZINC000356860042 409668868 /nfs/dbraw/zinc/66/88/68/409668868.db2.gz WRZQLXFESYHXCX-OAHLLOKOSA-N 1 2 312.373 1.674 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000349168070 409726683 /nfs/dbraw/zinc/72/66/83/409726683.db2.gz OUIRQNFONMWYCT-CQSZACIVSA-N 1 2 310.361 1.023 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000349168070 409726689 /nfs/dbraw/zinc/72/66/89/409726689.db2.gz OUIRQNFONMWYCT-CQSZACIVSA-N 1 2 310.361 1.023 20 30 DDEDLO Cn1ncc(-c2nc(Cc3cn4ccccc4[nH+]3)no2)c1C#N ZINC000345844559 409736150 /nfs/dbraw/zinc/73/61/50/409736150.db2.gz MFZDFKBKWZMCEG-UHFFFAOYSA-N 1 2 305.301 1.580 20 30 DDEDLO N#Cc1cc(F)ccc1CS(=O)(=O)N1CCn2c[nH+]cc2C1 ZINC000296949157 409720135 /nfs/dbraw/zinc/72/01/35/409720135.db2.gz GZPVQSUEZKMANX-UHFFFAOYSA-N 1 2 320.349 1.239 20 30 DDEDLO CC(C)(CNC(=O)Cc1c(F)cccc1C#N)[NH+]1CCOCC1 ZINC000349356755 409809381 /nfs/dbraw/zinc/80/93/81/409809381.db2.gz FLWHXDXKBNZWSF-UHFFFAOYSA-N 1 2 319.380 1.467 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C#N)s1 ZINC000332130326 409913534 /nfs/dbraw/zinc/91/35/34/409913534.db2.gz NGTBPYAWOIDLME-NWDGAFQWSA-N 1 2 305.403 1.555 20 30 DDEDLO C=CCC(C)(C)CNC(=O)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000297281661 409891179 /nfs/dbraw/zinc/89/11/79/409891179.db2.gz JKKLJLWNDGWADL-UHFFFAOYSA-N 1 2 310.442 1.180 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[N@H+](C)C[C@H]1c1ccccc1 ZINC000297737963 409979284 /nfs/dbraw/zinc/97/92/84/409979284.db2.gz GAQLXNKTRAHESH-HNNXBMFYSA-N 1 2 301.390 1.146 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[N@@H+](C)C[C@H]1c1ccccc1 ZINC000297737963 409979291 /nfs/dbraw/zinc/97/92/91/409979291.db2.gz GAQLXNKTRAHESH-HNNXBMFYSA-N 1 2 301.390 1.146 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N[C@@H]1CCCn2ncnc21 ZINC000328612332 409936869 /nfs/dbraw/zinc/93/68/69/409936869.db2.gz PGUQQQWPNZAKCS-NWDGAFQWSA-N 1 2 315.381 1.391 20 30 DDEDLO CCn1ccnc1[C@@H]1OCC[C@H]1C(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000328953184 410020485 /nfs/dbraw/zinc/02/04/85/410020485.db2.gz OAOWDWBKDVDGRW-BFHYXJOUSA-N 1 2 322.409 1.268 20 30 DDEDLO CCn1ccnc1[C@@H]1OCC[C@H]1C(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC000328953184 410020491 /nfs/dbraw/zinc/02/04/91/410020491.db2.gz OAOWDWBKDVDGRW-BFHYXJOUSA-N 1 2 322.409 1.268 20 30 DDEDLO CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000328975885 410030662 /nfs/dbraw/zinc/03/06/62/410030662.db2.gz PZLJDEPFPHWNRG-XQQFMLRXSA-N 1 2 319.409 1.878 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3cnn(C(C)(C)C)c3)C[C@H]21 ZINC000328997434 410043575 /nfs/dbraw/zinc/04/35/75/410043575.db2.gz FWYVOAQXTSJMMC-KGLIPLIRSA-N 1 2 321.425 1.589 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3cnn(C(C)(C)C)c3)C[C@H]21 ZINC000328997434 410043580 /nfs/dbraw/zinc/04/35/80/410043580.db2.gz FWYVOAQXTSJMMC-KGLIPLIRSA-N 1 2 321.425 1.589 20 30 DDEDLO O=C(NCCn1cc[nH+]c1)N1CCCC[C@@H]1[C@H]1CNC(=O)C1 ZINC000328909324 410005204 /nfs/dbraw/zinc/00/52/04/410005204.db2.gz DPZCTOROOYTDKK-CHWSQXEVSA-N 1 2 305.382 1.628 20 30 DDEDLO O=C1C[C@@H]([C@H]2CCCCN2C([O-])=[NH+]CCn2cc[nH+]c2)CN1 ZINC000328909324 410005209 /nfs/dbraw/zinc/00/52/09/410005209.db2.gz DPZCTOROOYTDKK-CHWSQXEVSA-N 1 2 305.382 1.628 20 30 DDEDLO Cc1[nH+]ccn1CCNC([O-])=[NH+][C@@H]1CCO[C@@]2(CCOC2)C1 ZINC000329128311 410119638 /nfs/dbraw/zinc/11/96/38/410119638.db2.gz VHYRUXMJGWSKPC-HIFRSBDPSA-N 1 2 308.382 1.033 20 30 DDEDLO Cc1[nH+]ccn1CC[NH+]=C([O-])N[C@@H]1CCO[C@@]2(CCOC2)C1 ZINC000329128311 410119645 /nfs/dbraw/zinc/11/96/45/410119645.db2.gz VHYRUXMJGWSKPC-HIFRSBDPSA-N 1 2 308.382 1.033 20 30 DDEDLO C[C@@H](NC(=O)C[N@@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1)c1ccccc1 ZINC000329160276 410137464 /nfs/dbraw/zinc/13/74/64/410137464.db2.gz FCQUYAXLGPKWNG-VHDGCEQUSA-N 1 2 322.430 1.823 20 30 DDEDLO C[C@@H](NC(=O)C[N@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1)c1ccccc1 ZINC000329160276 410137471 /nfs/dbraw/zinc/13/74/71/410137471.db2.gz FCQUYAXLGPKWNG-VHDGCEQUSA-N 1 2 322.430 1.823 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@H](c2[nH+]ccn2C)C1)[C@H]1CCCOC1 ZINC000329396844 410275851 /nfs/dbraw/zinc/27/58/51/410275851.db2.gz WEKLBZXWZFKFEW-IHRRRGAJSA-N 1 2 306.410 1.939 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)C3(C)COC3)c[nH+]2)CCO1 ZINC000329444757 410301524 /nfs/dbraw/zinc/30/15/24/410301524.db2.gz KTQUKHBPQRGSGM-GFCCVEGCSA-N 1 2 305.378 1.800 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cccc4c3CNC4=O)C[C@@H]21 ZINC000329445954 410303319 /nfs/dbraw/zinc/30/33/19/410303319.db2.gz NVDIKDFHLWZCFH-KBPBESRZSA-N 1 2 316.361 1.065 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cccc4c3CNC4=O)C[C@@H]21 ZINC000329445954 410303323 /nfs/dbraw/zinc/30/33/23/410303323.db2.gz NVDIKDFHLWZCFH-KBPBESRZSA-N 1 2 316.361 1.065 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]3OCC(=O)N[C@H]3C2)c(Cl)c1 ZINC000333263716 410449429 /nfs/dbraw/zinc/44/94/29/410449429.db2.gz CVCJQPCQWMOQEW-KBPBESRZSA-N 1 2 305.765 1.301 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]3OCC(=O)N[C@H]3C2)c(Cl)c1 ZINC000333263716 410449432 /nfs/dbraw/zinc/44/94/32/410449432.db2.gz CVCJQPCQWMOQEW-KBPBESRZSA-N 1 2 305.765 1.301 20 30 DDEDLO Cc1ccc(CC(=O)N(CCC#N)CC[NH+]2CCOCC2)s1 ZINC000299563930 410597640 /nfs/dbraw/zinc/59/76/40/410597640.db2.gz VYHSCVPKVNKWAE-UHFFFAOYSA-N 1 2 321.446 1.674 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Cc1c(F)cccc1C#N ZINC000355489037 410544165 /nfs/dbraw/zinc/54/41/65/410544165.db2.gz FJECEVISSYXXCL-ZDUSSCGKSA-N 1 2 319.380 1.419 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@@H](C(F)(F)F)[C@@H](CO)C2)CCC1 ZINC000352676490 410673048 /nfs/dbraw/zinc/67/30/48/410673048.db2.gz TXKWVGZRYVTALQ-GHMZBOCLSA-N 1 2 319.327 1.042 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@@H](C(F)(F)F)[C@@H](CO)C2)CCC1 ZINC000352676490 410673055 /nfs/dbraw/zinc/67/30/55/410673055.db2.gz TXKWVGZRYVTALQ-GHMZBOCLSA-N 1 2 319.327 1.042 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)CCc2ccc(C#N)cc2)CCO1 ZINC000347573954 410619546 /nfs/dbraw/zinc/61/95/46/410619546.db2.gz BCNHAWPCBNCKHO-KRWDZBQOSA-N 1 2 315.417 1.718 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)CCc2ccc(C#N)cc2)CCO1 ZINC000347573954 410619550 /nfs/dbraw/zinc/61/95/50/410619550.db2.gz BCNHAWPCBNCKHO-KRWDZBQOSA-N 1 2 315.417 1.718 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H](C)CC[NH+](C)C)cc1C#N ZINC000359576907 410743643 /nfs/dbraw/zinc/74/36/43/410743643.db2.gz MLALFRCHLFHQDS-VIFPVBQESA-N 1 2 308.407 1.451 20 30 DDEDLO C=CC[C@H](CO)NC(=O)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000352745415 410676581 /nfs/dbraw/zinc/67/65/81/410676581.db2.gz JQCXODILEHKZCZ-CYBMUJFWSA-N 1 2 301.350 1.385 20 30 DDEDLO C=C(C)C[N@H+](CCCS(C)(=O)=O)Cc1ccc(CO)o1 ZINC000352796794 410681056 /nfs/dbraw/zinc/68/10/56/410681056.db2.gz VJOAUUWVKOWKND-UHFFFAOYSA-N 1 2 301.408 1.585 20 30 DDEDLO C=C(C)C[N@@H+](CCCS(C)(=O)=O)Cc1ccc(CO)o1 ZINC000352796794 410681061 /nfs/dbraw/zinc/68/10/61/410681061.db2.gz VJOAUUWVKOWKND-UHFFFAOYSA-N 1 2 301.408 1.585 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+](C)[C@@H]1COC[C@@H]1O ZINC000352805936 410682190 /nfs/dbraw/zinc/68/21/90/410682190.db2.gz SYAGEODHUZNQTQ-KGLIPLIRSA-N 1 2 322.430 1.595 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+](C)[C@@H]1COC[C@@H]1O ZINC000352805936 410682196 /nfs/dbraw/zinc/68/21/96/410682196.db2.gz SYAGEODHUZNQTQ-KGLIPLIRSA-N 1 2 322.430 1.595 20 30 DDEDLO C=C(C)C[N@H+](Cc1cnn(C(C)C)c1)[C@H](C)C(=O)NC(=O)NC ZINC000352904473 410688385 /nfs/dbraw/zinc/68/83/85/410688385.db2.gz UUPKFEBIXQRJEK-CYBMUJFWSA-N 1 2 321.425 1.686 20 30 DDEDLO C=C(C)C[N@@H+](Cc1cnn(C(C)C)c1)[C@H](C)C(=O)NC(=O)NC ZINC000352904473 410688387 /nfs/dbraw/zinc/68/83/87/410688387.db2.gz UUPKFEBIXQRJEK-CYBMUJFWSA-N 1 2 321.425 1.686 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H](F)C[C@H]2CNc2nccnc2C#N)cn1 ZINC000359661008 410799997 /nfs/dbraw/zinc/79/99/97/410799997.db2.gz DZXGDYCLYPWSSH-OLZOCXBDSA-N 1 2 315.356 1.106 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H](F)C[C@H]2CNc2nccnc2C#N)cn1 ZINC000359661008 410800003 /nfs/dbraw/zinc/80/00/03/410800003.db2.gz DZXGDYCLYPWSSH-OLZOCXBDSA-N 1 2 315.356 1.106 20 30 DDEDLO N#Cc1ccc(NC(=O)CC[N@@H+]2CCN(C3CC3)C(=O)C2)cc1 ZINC000337466574 410806782 /nfs/dbraw/zinc/80/67/82/410806782.db2.gz NCGSYKGUAANVDL-UHFFFAOYSA-N 1 2 312.373 1.193 20 30 DDEDLO N#Cc1ccc(NC(=O)CC[N@H+]2CCN(C3CC3)C(=O)C2)cc1 ZINC000337466574 410806790 /nfs/dbraw/zinc/80/67/90/410806790.db2.gz NCGSYKGUAANVDL-UHFFFAOYSA-N 1 2 312.373 1.193 20 30 DDEDLO C[C@H]1C[NH+](CCC(=O)Nc2ccc(C#N)cc2)C[C@H](C)S1=O ZINC000331140432 410975362 /nfs/dbraw/zinc/97/53/62/410975362.db2.gz FCBNOVOIOJBCDB-STQMWFEESA-N 1 2 319.430 1.728 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)[C@@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000356405521 411013804 /nfs/dbraw/zinc/01/38/04/411013804.db2.gz CTPUCBQXVQGTPM-BZNIZROVSA-N 1 2 304.394 1.683 20 30 DDEDLO COC[C@](C)(C#N)NC(=O)[C@@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000356405521 411013810 /nfs/dbraw/zinc/01/38/10/411013810.db2.gz CTPUCBQXVQGTPM-BZNIZROVSA-N 1 2 304.394 1.683 20 30 DDEDLO COC(=O)C1(NC(=O)C[NH+]2CCC(C)(C#N)CC2)CCCCC1 ZINC000356449597 411036885 /nfs/dbraw/zinc/03/68/85/411036885.db2.gz WOIURJJPCTWGEN-UHFFFAOYSA-N 1 2 321.421 1.604 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@H](c1ccccc1OC)[NH+](C)C ZINC000360191010 411094770 /nfs/dbraw/zinc/09/47/70/411094770.db2.gz UVNBADZKEKXWMF-CQSZACIVSA-N 1 2 312.435 1.793 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCCc2c(cnn2C)C1 ZINC000580046632 422877473 /nfs/dbraw/zinc/87/74/73/422877473.db2.gz KZIXPRFQWLWKEX-ZDUSSCGKSA-N 1 2 303.410 1.176 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCCc2c(cnn2C)C1 ZINC000580046632 422877474 /nfs/dbraw/zinc/87/74/74/422877474.db2.gz KZIXPRFQWLWKEX-ZDUSSCGKSA-N 1 2 303.410 1.176 20 30 DDEDLO C#CCNC(=O)c1ccccc1NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000373639313 418443215 /nfs/dbraw/zinc/44/32/15/418443215.db2.gz MWPKEWCKNPODPT-CYBMUJFWSA-N 1 2 322.368 1.447 20 30 DDEDLO N#C[C@@H]1N(C(=O)CCc2c[nH+]c[nH]2)CCC[C@]12CCCCO2 ZINC000373711805 418450628 /nfs/dbraw/zinc/45/06/28/418450628.db2.gz CNEQOQYDYPVEJJ-GOEBONIOSA-N 1 2 302.378 1.796 20 30 DDEDLO N#C[C@@H]1N(C(=O)CCc2c[nH]c[nH+]2)CCC[C@]12CCCCO2 ZINC000373711805 418450630 /nfs/dbraw/zinc/45/06/30/418450630.db2.gz CNEQOQYDYPVEJJ-GOEBONIOSA-N 1 2 302.378 1.796 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)C[C@@]2(CCOC2)O1 ZINC000366578668 418492128 /nfs/dbraw/zinc/49/21/28/418492128.db2.gz VWIYPTZVDOUBLC-RHSMWYFYSA-N 1 2 321.421 1.209 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C[C@@]2(CCOC2)O1 ZINC000366578668 418492130 /nfs/dbraw/zinc/49/21/30/418492130.db2.gz VWIYPTZVDOUBLC-RHSMWYFYSA-N 1 2 321.421 1.209 20 30 DDEDLO N#Cc1cc(F)ccc1NC(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000366595424 418494281 /nfs/dbraw/zinc/49/42/81/418494281.db2.gz GOXPRHFZLQIKQL-AWEZNQCLSA-N 1 2 318.352 1.682 20 30 DDEDLO N#Cc1cc(F)ccc1NC(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000366595424 418494282 /nfs/dbraw/zinc/49/42/82/418494282.db2.gz GOXPRHFZLQIKQL-AWEZNQCLSA-N 1 2 318.352 1.682 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000367217402 418573057 /nfs/dbraw/zinc/57/30/57/418573057.db2.gz MYJNCYKJAPHWHK-GJZGRUSLSA-N 1 2 311.451 1.250 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@H]2CCC[C@@H]2[C@@H]1C(=O)OC ZINC000191215836 222098366 /nfs/dbraw/zinc/09/83/66/222098366.db2.gz KEWUMZZBKIOYPP-IJEWVQPXSA-N 1 2 306.406 1.461 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@H]2CCC[C@@H]2[C@@H]1C(=O)OC ZINC000191215836 222098368 /nfs/dbraw/zinc/09/83/68/222098368.db2.gz KEWUMZZBKIOYPP-IJEWVQPXSA-N 1 2 306.406 1.461 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCO[C@@H](c2ccc(CC)cc2)C1 ZINC000190311724 222076072 /nfs/dbraw/zinc/07/60/72/222076072.db2.gz GQLIJIVTRVLMPM-WMLDXEAASA-N 1 2 300.402 1.760 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCO[C@@H](c2ccc(CC)cc2)C1 ZINC000190311724 222076074 /nfs/dbraw/zinc/07/60/74/222076074.db2.gz GQLIJIVTRVLMPM-WMLDXEAASA-N 1 2 300.402 1.760 20 30 DDEDLO Cc1c([C@H]2CCC[N@H+]2CC(=O)N[C@@](C)(C#N)C(C)C)cnn1C ZINC000367263916 418578250 /nfs/dbraw/zinc/57/82/50/418578250.db2.gz WXCQTUKLKRBYKD-WBVHZDCISA-N 1 2 317.437 1.920 20 30 DDEDLO Cc1c([C@H]2CCC[N@@H+]2CC(=O)N[C@@](C)(C#N)C(C)C)cnn1C ZINC000367263916 418578252 /nfs/dbraw/zinc/57/82/52/418578252.db2.gz WXCQTUKLKRBYKD-WBVHZDCISA-N 1 2 317.437 1.920 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N[C@H]1CC[C@H](CO)CC1 ZINC000328599876 418605718 /nfs/dbraw/zinc/60/57/18/418605718.db2.gz CONDELKQZVXTOS-IHRRRGAJSA-N 1 2 306.410 1.815 20 30 DDEDLO Cn1cc(CC[N@@H+]2CCN(Cc3ccc(C#N)cc3)C(=O)C2)cn1 ZINC000377849318 418716170 /nfs/dbraw/zinc/71/61/70/418716170.db2.gz DVAYRMVHPQTGNW-UHFFFAOYSA-N 1 2 323.400 1.179 20 30 DDEDLO Cn1cc(CC[N@H+]2CCN(Cc3ccc(C#N)cc3)C(=O)C2)cn1 ZINC000377849318 418716172 /nfs/dbraw/zinc/71/61/72/418716172.db2.gz DVAYRMVHPQTGNW-UHFFFAOYSA-N 1 2 323.400 1.179 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@@H](c2ccncn2)C1)C1CC1 ZINC000361636316 418694544 /nfs/dbraw/zinc/69/45/44/418694544.db2.gz KXKYLUGCGPQASH-CXAGYDPISA-N 1 2 313.405 1.464 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@@H](c2ccncn2)C1)C1CC1 ZINC000361636316 418694546 /nfs/dbraw/zinc/69/45/46/418694546.db2.gz KXKYLUGCGPQASH-CXAGYDPISA-N 1 2 313.405 1.464 20 30 DDEDLO CC#CCCNC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000361645512 418695383 /nfs/dbraw/zinc/69/53/83/418695383.db2.gz DMALBHOZEOVLMN-UHFFFAOYSA-N 1 2 315.417 1.806 20 30 DDEDLO CC[C@H](C#N)n1nnc([C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000376382223 418696564 /nfs/dbraw/zinc/69/65/64/418696564.db2.gz CSWAKFLGYVZHMX-HUUCEWRRSA-N 1 2 312.377 1.721 20 30 DDEDLO CC[C@H](C#N)n1nnc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000376382223 418696567 /nfs/dbraw/zinc/69/65/67/418696567.db2.gz CSWAKFLGYVZHMX-HUUCEWRRSA-N 1 2 312.377 1.721 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)NCc1ccc(-n2cc[nH+]c2)nc1 ZINC000368529430 418721534 /nfs/dbraw/zinc/72/15/34/418721534.db2.gz BHEHVKOQWYWRJZ-UHFFFAOYSA-N 1 2 307.313 1.970 20 30 DDEDLO CC(C)CNC(=O)C[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000376596078 418699357 /nfs/dbraw/zinc/69/93/57/418699357.db2.gz SWYWTXFGXYGMHC-UHFFFAOYSA-N 1 2 300.406 1.711 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1N(CCC#N)Cc1ccco1 ZINC000377016489 418703487 /nfs/dbraw/zinc/70/34/87/418703487.db2.gz AWWXBJXDNJTCDR-HOTGVXAUSA-N 1 2 318.421 1.705 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC(C)(C)CCC#N ZINC000377040141 418704561 /nfs/dbraw/zinc/70/45/61/418704561.db2.gz BBHLJWJOPDFJHA-GJZGRUSLSA-N 1 2 322.453 1.821 20 30 DDEDLO CC(C)NS(=O)(=O)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC000361955841 418729929 /nfs/dbraw/zinc/72/99/29/418729929.db2.gz XUZMETROBLYPTI-HNNXBMFYSA-N 1 2 307.419 1.460 20 30 DDEDLO CC(C)NS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC000361955841 418729932 /nfs/dbraw/zinc/72/99/32/418729932.db2.gz XUZMETROBLYPTI-HNNXBMFYSA-N 1 2 307.419 1.460 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@](O)(C2CC2)C1 ZINC000370079905 418740655 /nfs/dbraw/zinc/74/06/55/418740655.db2.gz CBOHSKGYIYWYCF-WBVHZDCISA-N 1 2 300.358 1.619 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@](O)(C2CC2)C1 ZINC000370079905 418740656 /nfs/dbraw/zinc/74/06/56/418740656.db2.gz CBOHSKGYIYWYCF-WBVHZDCISA-N 1 2 300.358 1.619 20 30 DDEDLO N#Cc1c(F)cccc1NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000370573725 418746119 /nfs/dbraw/zinc/74/61/19/418746119.db2.gz JVXDFUHHRCPRCS-QGZVFWFLSA-N 1 2 319.380 1.991 20 30 DDEDLO C=C[C@@H](C)NC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000362371214 418753157 /nfs/dbraw/zinc/75/31/57/418753157.db2.gz PZYOSZWKOUAKRO-ZBFHGGJFSA-N 1 2 303.406 1.934 20 30 DDEDLO COc1ccccc1CC(=O)N1CCC[N@H+](CCC#N)CC1 ZINC000363084354 418762402 /nfs/dbraw/zinc/76/24/02/418762402.db2.gz IHUCJKJZMOKYFL-UHFFFAOYSA-N 1 2 301.390 1.686 20 30 DDEDLO COc1ccccc1CC(=O)N1CCC[N@@H+](CCC#N)CC1 ZINC000363084354 418762403 /nfs/dbraw/zinc/76/24/03/418762403.db2.gz IHUCJKJZMOKYFL-UHFFFAOYSA-N 1 2 301.390 1.686 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H](C)CC(C)C)CC1 ZINC000363535672 418767550 /nfs/dbraw/zinc/76/75/50/418767550.db2.gz JQJCAGCXODGPTC-INIZCTEOSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H](C)CC(C)C)CC1 ZINC000363535672 418767552 /nfs/dbraw/zinc/76/75/52/418767552.db2.gz JQJCAGCXODGPTC-INIZCTEOSA-N 1 2 321.465 1.342 20 30 DDEDLO C[C@H]1OCC[C@]12C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)C[C@@H](C)O2 ZINC000363926927 418771514 /nfs/dbraw/zinc/77/15/14/418771514.db2.gz QWFPENFWKHKKGI-KFZJALRRSA-N 1 2 321.421 1.063 20 30 DDEDLO C[C@H]1OCC[C@]12C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C[C@@H](C)O2 ZINC000363926927 418771516 /nfs/dbraw/zinc/77/15/16/418771516.db2.gz QWFPENFWKHKKGI-KFZJALRRSA-N 1 2 321.421 1.063 20 30 DDEDLO O=C([C@@H]1CCCO1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000364474120 418778716 /nfs/dbraw/zinc/77/87/16/418778716.db2.gz WMKVOQXBLKQCIQ-SFHVURJKSA-N 1 2 312.413 1.751 20 30 DDEDLO O=C([C@@H]1CCCO1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000364474120 418778718 /nfs/dbraw/zinc/77/87/18/418778718.db2.gz WMKVOQXBLKQCIQ-SFHVURJKSA-N 1 2 312.413 1.751 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCN(C4CC4)[C@H](C#N)C3)n2c1 ZINC000371332803 418779505 /nfs/dbraw/zinc/77/95/05/418779505.db2.gz AYWDBABVAJNXJF-OAHLLOKOSA-N 1 2 306.373 1.378 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000378483383 418723639 /nfs/dbraw/zinc/72/36/39/418723639.db2.gz OZTZIQKQXUDWHO-PMPSAXMXSA-N 1 2 318.373 1.244 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000378483383 418723640 /nfs/dbraw/zinc/72/36/40/418723640.db2.gz OZTZIQKQXUDWHO-PMPSAXMXSA-N 1 2 318.373 1.244 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CCN(c2c[nH+]ccc2C)CC1 ZINC000410806182 418848203 /nfs/dbraw/zinc/84/82/03/418848203.db2.gz FOBYOICBEIBTDE-UHFFFAOYSA-N 1 2 316.405 1.073 20 30 DDEDLO N#CCC1(O)C[NH+](C[C@H](O)COCc2ccc(Cl)cc2)C1 ZINC000424143659 228235264 /nfs/dbraw/zinc/23/52/64/228235264.db2.gz WRIWWBUJYOVVOI-AWEZNQCLSA-N 1 2 310.781 1.178 20 30 DDEDLO C[C@H]1CCCC[C@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000424624379 228296421 /nfs/dbraw/zinc/29/64/21/228296421.db2.gz FMKWYXWWJNITJO-JKSUJKDBSA-N 1 2 307.438 1.887 20 30 DDEDLO Cc1cc(NCc2cccc(OCC(=O)N(C)C)c2)c(C#N)c[nH+]1 ZINC000425210374 228391235 /nfs/dbraw/zinc/39/12/35/228391235.db2.gz UHPCVPIMZPJMRE-UHFFFAOYSA-N 1 2 324.384 1.763 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@H](c2n[nH]c(C)n2)C1 ZINC000412033093 419573428 /nfs/dbraw/zinc/57/34/28/419573428.db2.gz SAFHFUDHRWBVDW-JSGCOSHPSA-N 1 2 305.426 1.716 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[C@H](c2n[nH]c(C)n2)C1 ZINC000412033093 419573436 /nfs/dbraw/zinc/57/34/36/419573436.db2.gz SAFHFUDHRWBVDW-JSGCOSHPSA-N 1 2 305.426 1.716 20 30 DDEDLO COC(=O)c1cc(C)ccc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000414145026 419809425 /nfs/dbraw/zinc/80/94/25/419809425.db2.gz OUBVHABWBBFNIQ-CYBMUJFWSA-N 1 2 306.362 1.367 20 30 DDEDLO COC(=O)c1cc(C)ccc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000414145026 419809436 /nfs/dbraw/zinc/80/94/36/419809436.db2.gz OUBVHABWBBFNIQ-CYBMUJFWSA-N 1 2 306.362 1.367 20 30 DDEDLO CCN1CCN(C(=O)c2cc(C#N)oc2C)C[C@@H]1c1[nH]cc[nH+]1 ZINC000436121000 420310952 /nfs/dbraw/zinc/31/09/52/420310952.db2.gz XJLPLRDSIYSRBI-CQSZACIVSA-N 1 2 313.361 1.702 20 30 DDEDLO CCNC(=O)COc1cccc(CNc2cc(C)[nH+]cc2C#N)c1 ZINC000425207476 420328254 /nfs/dbraw/zinc/32/82/54/420328254.db2.gz MOEMUCGGENVMSR-UHFFFAOYSA-N 1 2 324.384 1.811 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)NCC1CCC(C#N)CC1 ZINC000442726250 229947636 /nfs/dbraw/zinc/94/76/36/229947636.db2.gz BYLTVSAIOXHOPX-QQFBHYJXSA-N 1 2 322.453 1.678 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)NC[C@H]2CC[NH2+]CC2(F)F)c1 ZINC000420464639 420303834 /nfs/dbraw/zinc/30/38/34/420303834.db2.gz KAXKQAVPMZYOFM-LLVKDONJSA-N 1 2 315.345 1.081 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)NCc1ccc[nH+]c1N(C)C ZINC000437672172 420417549 /nfs/dbraw/zinc/41/75/49/420417549.db2.gz WYZPJXYKKUGRCJ-UKRRQHHQSA-N 1 2 318.421 1.928 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)NCCc1cccc(C#N)c1 ZINC000440125719 420555704 /nfs/dbraw/zinc/55/57/04/420555704.db2.gz ODZRDUPCDKLQNF-UHFFFAOYSA-N 1 2 324.340 1.259 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000493188831 420781034 /nfs/dbraw/zinc/78/10/34/420781034.db2.gz KZLHTYCJPVIIOU-KIUWMYQTSA-N 1 2 302.334 1.568 20 30 DDEDLO CC(C)(C#N)C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000447686413 420794699 /nfs/dbraw/zinc/79/46/99/420794699.db2.gz FITBXXYDJZDBRN-UHFFFAOYSA-N 1 2 300.362 1.018 20 30 DDEDLO CC(C)(C#N)C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000447686413 420794702 /nfs/dbraw/zinc/79/47/02/420794702.db2.gz FITBXXYDJZDBRN-UHFFFAOYSA-N 1 2 300.362 1.018 20 30 DDEDLO CC[C@H]1CCC[C@@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000448837589 420903509 /nfs/dbraw/zinc/90/35/09/420903509.db2.gz UPVPGOWAOFYHNT-HOTGVXAUSA-N 1 2 307.438 1.887 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000454527673 420875170 /nfs/dbraw/zinc/87/51/70/420875170.db2.gz NGNSOIBFNUBPJP-KBPBESRZSA-N 1 2 308.426 1.479 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000454527673 420875173 /nfs/dbraw/zinc/87/51/73/420875173.db2.gz NGNSOIBFNUBPJP-KBPBESRZSA-N 1 2 308.426 1.479 20 30 DDEDLO COc1cc(/C=C\C(=O)N[C@](C)(C#N)C[NH+](C)C)cc(OC)c1 ZINC000493722808 420924548 /nfs/dbraw/zinc/92/45/48/420924548.db2.gz LKKBZQQIYQGISU-JTGQJZMRSA-N 1 2 317.389 1.677 20 30 DDEDLO C=C(C)C[NH+]1CCN(S(=O)(=O)N(CCC)CCC)CC1 ZINC000488172365 421073861 /nfs/dbraw/zinc/07/38/61/421073861.db2.gz VJSKXBKTDLCXFT-UHFFFAOYSA-N 1 2 303.472 1.547 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000523056721 421231051 /nfs/dbraw/zinc/23/10/51/421231051.db2.gz ZXJUHUKQNQBQFY-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000523056721 421231053 /nfs/dbraw/zinc/23/10/53/421231053.db2.gz ZXJUHUKQNQBQFY-KBPBESRZSA-N 1 2 317.389 1.162 20 30 DDEDLO CN1CC[N@H+](C)C[C@@H]1c1noc(COc2ccc(C#N)cc2)n1 ZINC000545089495 421236875 /nfs/dbraw/zinc/23/68/75/421236875.db2.gz WPESSRNFQZPMKN-CQSZACIVSA-N 1 2 313.361 1.439 20 30 DDEDLO CN1CC[N@@H+](C)C[C@@H]1c1noc(COc2ccc(C#N)cc2)n1 ZINC000545089495 421236877 /nfs/dbraw/zinc/23/68/77/421236877.db2.gz WPESSRNFQZPMKN-CQSZACIVSA-N 1 2 313.361 1.439 20 30 DDEDLO Cn1cc([C@H](c2cccc(F)c2)[N@H+](C)CC(=O)NCC#N)cn1 ZINC000523443873 421238038 /nfs/dbraw/zinc/23/80/38/421238038.db2.gz HXVDNJIGFQYZET-INIZCTEOSA-N 1 2 315.352 1.220 20 30 DDEDLO Cn1cc([C@H](c2cccc(F)c2)[N@@H+](C)CC(=O)NCC#N)cn1 ZINC000523443873 421238041 /nfs/dbraw/zinc/23/80/41/421238041.db2.gz HXVDNJIGFQYZET-INIZCTEOSA-N 1 2 315.352 1.220 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NCCCN1CCCCCC1=O ZINC000563366950 421492430 /nfs/dbraw/zinc/49/24/30/421492430.db2.gz SNCCYBMMTUTUMD-AWEZNQCLSA-N 1 2 308.426 1.177 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)N[C@](C)(C#N)C1CCCCC1 ZINC000515395409 421499149 /nfs/dbraw/zinc/49/91/49/421499149.db2.gz NBDDJFXVKIUWOI-QGZVFWFLSA-N 1 2 322.453 1.422 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)N[C@](C)(C#N)C1CCCCC1 ZINC000515395409 421499152 /nfs/dbraw/zinc/49/91/52/421499152.db2.gz NBDDJFXVKIUWOI-QGZVFWFLSA-N 1 2 322.453 1.422 20 30 DDEDLO C/C(Cl)=C/Cn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000530110424 421579850 /nfs/dbraw/zinc/57/98/50/421579850.db2.gz YZYUIGSHOCHYNC-OIXVIMQBSA-N 1 2 307.781 1.695 20 30 DDEDLO N#Cc1ccc(NC[C@H](c2ccccc2)[NH+]2CCOCC2)nc1N ZINC000566479483 421606413 /nfs/dbraw/zinc/60/64/13/421606413.db2.gz OREZEFRRJMMXGR-MRXNPFEDSA-N 1 2 323.400 2.021 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc(Cl)cc2c1OCC2 ZINC000519770422 421726533 /nfs/dbraw/zinc/72/65/33/421726533.db2.gz ZRXMWBXEXRVVSA-HNNXBMFYSA-N 1 2 307.781 1.849 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000571636032 421732611 /nfs/dbraw/zinc/73/26/11/421732611.db2.gz ACARRUFELZJFQS-KBPBESRZSA-N 1 2 304.394 1.538 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNS(=O)(=O)CCC(C)(C)C#N ZINC000571840758 421746776 /nfs/dbraw/zinc/74/67/76/421746776.db2.gz AIHRETGGQMKWHH-UHFFFAOYSA-N 1 2 312.439 1.898 20 30 DDEDLO Cc1nn(C)c2sc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc12 ZINC000558899082 421827089 /nfs/dbraw/zinc/82/70/89/421827089.db2.gz PZNKPEQJEROFIQ-AWEZNQCLSA-N 1 2 305.407 1.517 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000572575242 421801611 /nfs/dbraw/zinc/80/16/11/421801611.db2.gz OQACTXPTDMZVCW-CABCVRRESA-N 1 2 316.405 1.825 20 30 DDEDLO N#Cc1ccnc(C(=O)N[C@@H]2CCCC[C@@H]2[NH+]2CCOCC2)c1 ZINC000580971034 421873232 /nfs/dbraw/zinc/87/32/32/421873232.db2.gz VYXKYOVXPDNEOA-ZBFHGGJFSA-N 1 2 314.389 1.326 20 30 DDEDLO C=CCOc1ccc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000573568556 421982945 /nfs/dbraw/zinc/98/29/45/421982945.db2.gz YKVZPICMOWZMFS-QGZVFWFLSA-N 1 2 301.390 1.754 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CCC[C@@H](N2CCOCC2)C1 ZINC000581497004 421988258 /nfs/dbraw/zinc/98/82/58/421988258.db2.gz IQWAHFOVGNMWMY-QGZVFWFLSA-N 1 2 303.381 1.994 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CCC[C@@H](N2CCOCC2)C1 ZINC000581497004 421988262 /nfs/dbraw/zinc/98/82/62/421988262.db2.gz IQWAHFOVGNMWMY-QGZVFWFLSA-N 1 2 303.381 1.994 20 30 DDEDLO Cn1cc(CN(CCn2cc[nH+]c2)C(=O)c2c[nH]c(C#N)c2)cn1 ZINC000581540905 421996859 /nfs/dbraw/zinc/99/68/59/421996859.db2.gz QGAYTNQJVGGTLX-UHFFFAOYSA-N 1 2 323.360 1.159 20 30 DDEDLO Cc1nc(N)c(CNC(=O)c2ccc(C3(C#N)CC3)cc2)c[nH+]1 ZINC000574190973 422072088 /nfs/dbraw/zinc/07/20/88/422072088.db2.gz XLSAXQUWIVQMOD-UHFFFAOYSA-N 1 2 307.357 1.852 20 30 DDEDLO COc1ccc2c(CC(=O)N[C@](C)(C#N)C[NH+](C)C)coc2c1 ZINC000574500113 422121446 /nfs/dbraw/zinc/12/14/46/422121446.db2.gz GHPVKDWIYFBKHV-QGZVFWFLSA-N 1 2 315.373 1.944 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C[NH+]2CCN(c3ccccn3)CC2)C1 ZINC000628488065 422194208 /nfs/dbraw/zinc/19/42/08/422194208.db2.gz RBOIICZOEJDFMD-MRXNPFEDSA-N 1 2 314.433 1.628 20 30 DDEDLO COC(=O)c1ccc(SC[C@H]2C[N@H+](C)CCO2)c(C#N)n1 ZINC000575430294 422298324 /nfs/dbraw/zinc/29/83/24/422298324.db2.gz WYWVHHZWMOILGJ-SNVBAGLBSA-N 1 2 307.375 1.163 20 30 DDEDLO COC(=O)c1ccc(SC[C@H]2C[N@@H+](C)CCO2)c(C#N)n1 ZINC000575430294 422298329 /nfs/dbraw/zinc/29/83/29/422298329.db2.gz WYWVHHZWMOILGJ-SNVBAGLBSA-N 1 2 307.375 1.163 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000635797347 422353315 /nfs/dbraw/zinc/35/33/15/422353315.db2.gz RZBYEFSOMRNYBP-GOEBONIOSA-N 1 2 304.390 1.323 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000635797347 422353319 /nfs/dbraw/zinc/35/33/19/422353319.db2.gz RZBYEFSOMRNYBP-GOEBONIOSA-N 1 2 304.390 1.323 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3cc(C#N)cnn3)c[nH+]2)C[C@H](C)O1 ZINC000596076835 422361789 /nfs/dbraw/zinc/36/17/89/422361789.db2.gz QIGDYHHSEGRPPM-STQMWFEESA-N 1 2 324.388 1.969 20 30 DDEDLO N#Cc1c(F)cccc1Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000609431776 422464764 /nfs/dbraw/zinc/46/47/64/422464764.db2.gz QKSIVVFTFUXTGP-UHFFFAOYSA-N 1 2 315.352 1.970 20 30 DDEDLO COCCOc1cc2c(cc1C[NH2+]CC1(C#N)CC1)OCO2 ZINC000578006996 422474900 /nfs/dbraw/zinc/47/49/00/422474900.db2.gz BUHSFNYSUHFINP-UHFFFAOYSA-N 1 2 304.346 1.834 20 30 DDEDLO N#Cc1nc(C2CC2)oc1N1CC[NH+](Cc2ccnc(N)c2)CC1 ZINC000618750044 422526973 /nfs/dbraw/zinc/52/69/73/422526973.db2.gz ZSKOZGOJJNHDEH-UHFFFAOYSA-N 1 2 324.388 1.723 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)NC2CCC(C)CC2)nn1 ZINC000640911349 423271673 /nfs/dbraw/zinc/27/16/73/423271673.db2.gz DPAQPCIEWCXCEW-SHARSMKWSA-N 1 2 317.437 1.647 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cccc(NS(C)(=O)=O)c2)nn1 ZINC000643794336 423351909 /nfs/dbraw/zinc/35/19/09/423351909.db2.gz AOFWPZCYTMLGTN-UHFFFAOYSA-N 1 2 321.406 1.125 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc3c(cn2)OCCO3)C1=O ZINC000639133070 423326365 /nfs/dbraw/zinc/32/63/65/423326365.db2.gz XTHNDNDEZQDDKU-CYBMUJFWSA-N 1 2 303.362 1.072 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc3c(cn2)OCCO3)C1=O ZINC000639133070 423326368 /nfs/dbraw/zinc/32/63/68/423326368.db2.gz XTHNDNDEZQDDKU-CYBMUJFWSA-N 1 2 303.362 1.072 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nnc(C(F)(F)F)s2)nn1 ZINC000641117699 423399757 /nfs/dbraw/zinc/39/97/57/423399757.db2.gz ZXDCKIXHUWZRIX-UHFFFAOYSA-N 1 2 316.312 1.310 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC/C=C\c2cncc(OC)c2)nn1 ZINC000641183409 423455075 /nfs/dbraw/zinc/45/50/75/423455075.db2.gz SSZIXOYYFJXZIE-ALCCZGGFSA-N 1 2 311.389 1.898 20 30 DDEDLO C=CC[N@@H+](CC(=O)N(CC)[C@@H]1CCS(=O)(=O)C1)C(C)(C)C ZINC000661755184 424153142 /nfs/dbraw/zinc/15/31/42/424153142.db2.gz IMRQZTPHUCXQBG-CYBMUJFWSA-N 1 2 316.467 1.309 20 30 DDEDLO C=CC[N@H+](CC(=O)N(CC)[C@@H]1CCS(=O)(=O)C1)C(C)(C)C ZINC000661755184 424153156 /nfs/dbraw/zinc/15/31/56/424153156.db2.gz IMRQZTPHUCXQBG-CYBMUJFWSA-N 1 2 316.467 1.309 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)N[C@H](C)C[NH+]2CCN(C)CC2)C1 ZINC000660855750 424793836 /nfs/dbraw/zinc/79/38/36/424793836.db2.gz TYCAMSNAJWEHMY-CVEARBPZSA-N 1 2 308.470 1.620 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNc2cc(C#N)ccc2[N+](=O)[O-])CCO1 ZINC000362666040 266379668 /nfs/dbraw/zinc/37/96/68/266379668.db2.gz DUTXWWOSMOCOHW-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNc2cc(C#N)ccc2[N+](=O)[O-])CCO1 ZINC000362666040 266379671 /nfs/dbraw/zinc/37/96/71/266379671.db2.gz DUTXWWOSMOCOHW-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO C[C@@H]1CC[S@](=O)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000375293880 267032433 /nfs/dbraw/zinc/03/24/33/267032433.db2.gz SYJZQHPUZJADOV-GTJPDFRWSA-N 1 2 302.403 1.549 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)Nc1ccncc1C#N ZINC000346619350 267063642 /nfs/dbraw/zinc/06/36/42/267063642.db2.gz LEOAIMLRWLZTQF-TZMCWYRMSA-N 1 2 307.357 1.481 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(C#N)c(F)c1 ZINC000353562934 267068822 /nfs/dbraw/zinc/06/88/22/267068822.db2.gz SXFSJFUHEZAKGM-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(C#N)c(F)c1 ZINC000353562934 267068824 /nfs/dbraw/zinc/06/88/24/267068824.db2.gz SXFSJFUHEZAKGM-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1Cc1cccc(C#N)c1F ZINC000352008350 267110450 /nfs/dbraw/zinc/11/04/50/267110450.db2.gz OZHZCDSXLFFXHN-CZUORRHYSA-N 1 2 303.381 1.992 20 30 DDEDLO Cc1cc(N(C)C[C@H](O)CNC(=O)OC(C)(C)C)c(C#N)c[nH+]1 ZINC000496224725 268004918 /nfs/dbraw/zinc/00/49/18/268004918.db2.gz HSVWUOPTAPKGOZ-CYBMUJFWSA-N 1 2 320.393 1.584 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](Cc3cccc(O)c3)CC2)nc1 ZINC000519592465 268220740 /nfs/dbraw/zinc/22/07/40/268220740.db2.gz DBRWRBQNQZJSBW-UHFFFAOYSA-N 1 2 308.385 1.977 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCC[C@H]3OCC[C@H]32)c1 ZINC000376012599 268275272 /nfs/dbraw/zinc/27/52/72/268275272.db2.gz DQANJOZLFUJQMZ-HZPDHXFCSA-N 1 2 320.414 1.585 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCC[C@H]3OCC[C@H]32)c1 ZINC000376012599 268275278 /nfs/dbraw/zinc/27/52/78/268275278.db2.gz DQANJOZLFUJQMZ-HZPDHXFCSA-N 1 2 320.414 1.585 20 30 DDEDLO C#CC[NH+]1CCN(c2ncc(Br)c(OC)n2)CC1 ZINC000079498317 275382912 /nfs/dbraw/zinc/38/29/12/275382912.db2.gz YPNASYJIHMHSJV-UHFFFAOYSA-N 1 2 311.183 1.003 20 30 DDEDLO CC(C)(C)OC(=O)N1CCN(CCCn2cc[nH+]c2)[C@@H](C#N)C1 ZINC000285360609 277187963 /nfs/dbraw/zinc/18/79/63/277187963.db2.gz BNFJBLYESLFKOC-AWEZNQCLSA-N 1 2 319.409 1.718 20 30 DDEDLO C#CCC(CC#C)C(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000372982618 277669704 /nfs/dbraw/zinc/66/97/04/277669704.db2.gz PQFPJAKEHLTMAJ-KRWDZBQOSA-N 1 2 302.418 1.363 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000376170047 277806563 /nfs/dbraw/zinc/80/65/63/277806563.db2.gz DMRBHKGZPMCZRX-KLHDSHLOSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000333046996 277902890 /nfs/dbraw/zinc/90/28/90/277902890.db2.gz AZXBWVFKUPISDB-FPCVCCKLSA-N 1 2 323.437 1.225 20 30 DDEDLO Cc1nc(C(=O)N2C[C@H]([NH+]3CCOCC3)C[C@H]2C)ccc1C#N ZINC000285611459 279067968 /nfs/dbraw/zinc/06/79/68/279067968.db2.gz CCQVNSMANZJOOA-IUODEOHRSA-N 1 2 314.389 1.197 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC000363931742 279172677 /nfs/dbraw/zinc/17/26/77/279172677.db2.gz DQMSBDPWVOAGJR-AWEZNQCLSA-N 1 2 309.410 1.018 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC000363931742 279172678 /nfs/dbraw/zinc/17/26/78/279172678.db2.gz DQMSBDPWVOAGJR-AWEZNQCLSA-N 1 2 309.410 1.018 20 30 DDEDLO CCN1CC[NH+]([C@@H]2CCN(C(=O)N[C@@H]3CCC[C@@H]3C#N)C2)CC1 ZINC000329554498 279366452 /nfs/dbraw/zinc/36/64/52/279366452.db2.gz BFQYNDYFBWZIAY-BZUAXINKSA-N 1 2 319.453 1.304 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000408348546 280103420 /nfs/dbraw/zinc/10/34/20/280103420.db2.gz HTJSMBACPOKQDE-MUIFIZLQSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000408348546 280103423 /nfs/dbraw/zinc/10/34/23/280103423.db2.gz HTJSMBACPOKQDE-MUIFIZLQSA-N 1 2 323.437 1.309 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CC[C@@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000355834027 288384612 /nfs/dbraw/zinc/38/46/12/288384612.db2.gz AESVPSVGSMGTMP-IAGOWNOFSA-N 1 2 323.437 1.849 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2CCC[C@@H](O)CC2)c(C#N)c1C ZINC000293325073 294037943 /nfs/dbraw/zinc/03/79/43/294037943.db2.gz XQPWJYOWXIOXRE-DGCLKSJQSA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2CCC[C@@H](O)CC2)c(C#N)c1C ZINC000293325073 294037947 /nfs/dbraw/zinc/03/79/47/294037947.db2.gz XQPWJYOWXIOXRE-DGCLKSJQSA-N 1 2 305.378 1.942 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000538854285 303391211 /nfs/dbraw/zinc/39/12/11/303391211.db2.gz ZWSUGFCPRQNGAK-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000538854285 303391214 /nfs/dbraw/zinc/39/12/14/303391214.db2.gz ZWSUGFCPRQNGAK-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO N#Cc1cccc([C@@H]2CN(C(=O)CCn3cc[nH+]c3)CCO2)c1 ZINC000367182690 307088253 /nfs/dbraw/zinc/08/82/53/307088253.db2.gz CWCMWPGJDGWDCJ-INIZCTEOSA-N 1 2 310.357 1.745 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CCN(c3ccc(=O)[nH]n3)CC2)c1 ZINC000369190671 307117630 /nfs/dbraw/zinc/11/76/30/307117630.db2.gz AJDFTPKSXZKEMX-UHFFFAOYSA-N 1 2 313.336 1.515 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000373595746 307191481 /nfs/dbraw/zinc/19/14/81/307191481.db2.gz RZHHANOUBOLKQP-QGZVFWFLSA-N 1 2 324.384 1.824 20 30 DDEDLO COC(=O)c1cccc(C[NH+]2CCC(O)(C(F)F)CC2)c1C#N ZINC000548300193 307763116 /nfs/dbraw/zinc/76/31/16/307763116.db2.gz HGXQHKJPXPZPAI-UHFFFAOYSA-N 1 2 324.327 1.937 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000572615329 332077980 /nfs/dbraw/zinc/07/79/80/332077980.db2.gz XTBMKSJQJJWTRB-MNOVXSKESA-N 1 2 319.327 1.757 20 30 DDEDLO N#CCC1CC[NH+](Cc2nnnn2CC2CCOCC2)CC1 ZINC000559841938 332285135 /nfs/dbraw/zinc/28/51/35/332285135.db2.gz FTAMHWTZLHNTSA-UHFFFAOYSA-N 1 2 304.398 1.225 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@H](O)CN3CCOC[C@@H]3C#N)c2cc1C ZINC000336875012 333431182 /nfs/dbraw/zinc/43/11/82/333431182.db2.gz ZSVJIQJAECPZTD-LSDHHAIUSA-N 1 2 314.389 1.238 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@H+](C)[C@@H]1CCNC1=O ZINC000340219096 334150981 /nfs/dbraw/zinc/15/09/81/334150981.db2.gz LJEQZQZEAWOSDS-UKRRQHHQSA-N 1 2 314.389 1.142 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+](C)[C@@H]1CCNC1=O ZINC000340219096 334150982 /nfs/dbraw/zinc/15/09/82/334150982.db2.gz LJEQZQZEAWOSDS-UKRRQHHQSA-N 1 2 314.389 1.142 20 30 DDEDLO C=C(C)COCCNC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000345319146 335317013 /nfs/dbraw/zinc/31/70/13/335317013.db2.gz OWFZPDBTEBLBBR-HUUCEWRRSA-N 1 2 311.426 1.084 20 30 DDEDLO C=CC[C@H](CO)NC(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000516314124 338009632 /nfs/dbraw/zinc/00/96/32/338009632.db2.gz XGBTWYZWLVEXAJ-ZJUUUORDSA-N 1 2 305.300 1.509 20 30 DDEDLO O=C(NCC#Cc1ccccc1)c1ccc(-n2cc[nH+]c2)nn1 ZINC000522237803 340591282 /nfs/dbraw/zinc/59/12/82/340591282.db2.gz GJVCYDDAPNNKLE-UHFFFAOYSA-N 1 2 303.325 1.444 20 30 DDEDLO C#CCNC(=O)N1CC[NH+](CCOc2ccccc2Cl)CC1 ZINC000074017069 341125314 /nfs/dbraw/zinc/12/53/14/341125314.db2.gz XRBSOLIVSSBHHX-UHFFFAOYSA-N 1 2 321.808 1.679 20 30 DDEDLO CC[N@H+](C[C@H](C)C#N)[C@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000245795410 341315080 /nfs/dbraw/zinc/31/50/80/341315080.db2.gz OKSUHOMGYIVZNL-ZIAGYGMSSA-N 1 2 316.405 1.882 20 30 DDEDLO CC[N@@H+](C[C@H](C)C#N)[C@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000245795410 341315081 /nfs/dbraw/zinc/31/50/81/341315081.db2.gz OKSUHOMGYIVZNL-ZIAGYGMSSA-N 1 2 316.405 1.882 20 30 DDEDLO C=CCOCCCNC(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000658616515 484419083 /nfs/dbraw/zinc/41/90/83/484419083.db2.gz SNKAPGQRIHIJHS-UHFFFAOYSA-N 1 2 316.361 1.290 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)C(=O)N(C)CCCn2cc[nH+]c2)C1 ZINC000658678525 484442639 /nfs/dbraw/zinc/44/26/39/484442639.db2.gz JLVONPAQMURIEC-HNNXBMFYSA-N 1 2 318.421 1.546 20 30 DDEDLO C=CC[C@H]1CCCC[C@H]1NC(=O)C(=O)NCc1[nH+]ccn1C ZINC000668811318 485330080 /nfs/dbraw/zinc/33/00/80/485330080.db2.gz RCKIQAMLZODFGF-QWHCGFSZSA-N 1 2 304.394 1.287 20 30 DDEDLO C=C[C@@H](O)C(=O)NC1(c2noc(C[NH+](CC)CC)n2)CCCC1 ZINC000667160354 485417355 /nfs/dbraw/zinc/41/73/55/485417355.db2.gz WIBXTPZXNQRZFD-GFCCVEGCSA-N 1 2 322.409 1.344 20 30 DDEDLO C=CCOc1ccc(C[NH2+]Cc2nnc3n2CCOC3)cc1 ZINC000682953032 485837679 /nfs/dbraw/zinc/83/76/79/485837679.db2.gz SKVNMXATMLFGOV-UHFFFAOYSA-N 1 2 300.362 1.663 20 30 DDEDLO Cc1cc(C)n2nc(SC[C@H](O)C[N@H+](C)CCC#N)nc2n1 ZINC000414091294 534127548 /nfs/dbraw/zinc/12/75/48/534127548.db2.gz DCEUWBWMEGOLKP-GFCCVEGCSA-N 1 2 320.422 1.040 20 30 DDEDLO Cc1cc(C)n2nc(SC[C@H](O)C[N@@H+](C)CCC#N)nc2n1 ZINC000414091294 534127556 /nfs/dbraw/zinc/12/75/56/534127556.db2.gz DCEUWBWMEGOLKP-GFCCVEGCSA-N 1 2 320.422 1.040 20 30 DDEDLO C[C@H](C(=O)N(C)Cc1ccc(C#N)cc1)[NH+]1CCN(C)CC1 ZINC000299670104 534291840 /nfs/dbraw/zinc/29/18/40/534291840.db2.gz RWGGPUUDUVTZHQ-CQSZACIVSA-N 1 2 300.406 1.153 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)NCC(=O)NC(C)C)CCC2 ZINC000330388630 534508145 /nfs/dbraw/zinc/50/81/45/534508145.db2.gz XCDIPDIFNABJCB-GFCCVEGCSA-N 1 2 307.398 1.097 20 30 DDEDLO N#CCCN(C(=O)C[N@H+]1CC[C@](O)(C(F)F)C1)c1ccccc1 ZINC000451416125 534630321 /nfs/dbraw/zinc/63/03/21/534630321.db2.gz AHKGDQBKCUCXCP-MRXNPFEDSA-N 1 2 323.343 1.635 20 30 DDEDLO N#CCCN(C(=O)C[N@@H+]1CC[C@](O)(C(F)F)C1)c1ccccc1 ZINC000451416125 534630327 /nfs/dbraw/zinc/63/03/27/534630327.db2.gz AHKGDQBKCUCXCP-MRXNPFEDSA-N 1 2 323.343 1.635 20 30 DDEDLO Cc1[nH+]c2ccc(C(=O)N[C@H](C)CS(C)(=O)=O)cc2n1C ZINC000331073219 534648011 /nfs/dbraw/zinc/64/80/11/534648011.db2.gz UGBHSHZOECRFES-SECBINFHSA-N 1 2 309.391 1.619 20 30 DDEDLO N#CCNC(=O)CNC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000343522343 534685116 /nfs/dbraw/zinc/68/51/16/534685116.db2.gz REWXLXRAPUMKFS-UHFFFAOYSA-N 1 2 310.313 1.243 20 30 DDEDLO N#Cc1cc(Br)cnc1NC[C@@H]1C[NH+]2CCN1CC2 ZINC000297359186 534769432 /nfs/dbraw/zinc/76/94/32/534769432.db2.gz BZAFOTCBLDXIKU-GFCCVEGCSA-N 1 2 322.210 1.127 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000413267108 526305427 /nfs/dbraw/zinc/30/54/27/526305427.db2.gz QCCWIDBXZGRZKE-ZIAGYGMSSA-N 1 2 306.410 1.323 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000413267108 526305431 /nfs/dbraw/zinc/30/54/31/526305431.db2.gz QCCWIDBXZGRZKE-ZIAGYGMSSA-N 1 2 306.410 1.323 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)NCC2CCCCC2)C1=O ZINC000337218840 526471934 /nfs/dbraw/zinc/47/19/34/526471934.db2.gz JHRFAURRUSUCBY-GDBMZVCRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)NCC2CCCCC2)C1=O ZINC000337218840 526471940 /nfs/dbraw/zinc/47/19/40/526471940.db2.gz JHRFAURRUSUCBY-GDBMZVCRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCc3cc(OC)c(F)cc3C2)C1=O ZINC000337177509 526482943 /nfs/dbraw/zinc/48/29/43/526482943.db2.gz JPGNTNHHVYKGFS-OAHLLOKOSA-N 1 2 304.365 1.979 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCc3cc(OC)c(F)cc3C2)C1=O ZINC000337177509 526482950 /nfs/dbraw/zinc/48/29/50/526482950.db2.gz JPGNTNHHVYKGFS-OAHLLOKOSA-N 1 2 304.365 1.979 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](CCC(=O)c2ccccc2)CC1 ZINC000347984759 526536876 /nfs/dbraw/zinc/53/68/76/526536876.db2.gz BJLMJWIBBAUVON-UHFFFAOYSA-N 1 2 315.417 1.179 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](C/C=C\c2ccc(F)cc2)CC1 ZINC000349745210 526537276 /nfs/dbraw/zinc/53/72/76/526537276.db2.gz BFMQTBRDCKIEQC-ARJAWSKDSA-N 1 2 317.408 1.759 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(C/C=C\c2ccc(F)cc2)CC1 ZINC000349745210 526537279 /nfs/dbraw/zinc/53/72/79/526537279.db2.gz BFMQTBRDCKIEQC-ARJAWSKDSA-N 1 2 317.408 1.759 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1cc(C)ccc1F ZINC000444746109 526607568 /nfs/dbraw/zinc/60/75/68/526607568.db2.gz UFJIPZUAPBSZKO-LBPRGKRZSA-N 1 2 307.369 1.966 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1cc(C)ccc1F ZINC000444746109 526607571 /nfs/dbraw/zinc/60/75/71/526607571.db2.gz UFJIPZUAPBSZKO-LBPRGKRZSA-N 1 2 307.369 1.966 20 30 DDEDLO CC(C)(C)c1nsc(NC(=O)N[C@@H]2CCn3cc[nH+]c3C2)n1 ZINC000330242856 526852584 /nfs/dbraw/zinc/85/25/84/526852584.db2.gz CHWKMNOBYJCWKN-SECBINFHSA-N 1 2 320.422 1.795 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCC(=O)Nc1ccc(F)c(F)c1)C1CC1 ZINC000491427720 526883021 /nfs/dbraw/zinc/88/30/21/526883021.db2.gz LUYAEQVXFRKJGX-UHFFFAOYSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@H+](CC(=O)NCC(=O)Nc1ccc(F)c(F)c1)C1CC1 ZINC000491427720 526883025 /nfs/dbraw/zinc/88/30/25/526883025.db2.gz LUYAEQVXFRKJGX-UHFFFAOYSA-N 1 2 321.327 1.117 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000341419276 526960590 /nfs/dbraw/zinc/96/05/90/526960590.db2.gz OWNVAUBRCBMPSU-UHFFFAOYSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CCNC(=O)C1CCN(c2[nH+]c3ccc(F)cc3n2C)CC1 ZINC000491288714 526973245 /nfs/dbraw/zinc/97/32/45/526973245.db2.gz UJGBRWDNAHVALJ-UHFFFAOYSA-N 1 2 314.364 1.678 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@](C)(O)C(F)(F)F)n1 ZINC000490819580 527191869 /nfs/dbraw/zinc/19/18/69/527191869.db2.gz JBFQIVSDMPOJLF-ZDUSSCGKSA-N 1 2 319.327 1.278 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@](C)(O)C(F)(F)F)n1 ZINC000490819580 527191873 /nfs/dbraw/zinc/19/18/73/527191873.db2.gz JBFQIVSDMPOJLF-ZDUSSCGKSA-N 1 2 319.327 1.278 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000342477882 527203503 /nfs/dbraw/zinc/20/35/03/527203503.db2.gz ITZAKFKKAJTMNF-UHFFFAOYSA-N 1 2 321.446 1.475 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1nnc(-c2sccc2C)o1 ZINC000491426801 527212261 /nfs/dbraw/zinc/21/22/61/527212261.db2.gz HRSCATBCPOYELI-SNVBAGLBSA-N 1 2 304.375 1.999 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1nnc(-c2sccc2C)o1 ZINC000491426801 527212265 /nfs/dbraw/zinc/21/22/65/527212265.db2.gz HRSCATBCPOYELI-SNVBAGLBSA-N 1 2 304.375 1.999 20 30 DDEDLO CC(=O)c1ccc(C#N)c(N2CCN(Cc3[nH+]ccn3C)CC2)c1 ZINC000302025472 527224089 /nfs/dbraw/zinc/22/40/89/527224089.db2.gz KLRPWDZWFYZGML-UHFFFAOYSA-N 1 2 323.400 1.817 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000491286496 527248164 /nfs/dbraw/zinc/24/81/64/527248164.db2.gz IRNBORQOIPENCK-AWEZNQCLSA-N 1 2 324.384 1.944 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NCc1ccc(Cn2cc[nH+]c2)cc1 ZINC000491555033 527301382 /nfs/dbraw/zinc/30/13/82/527301382.db2.gz OEIQWHBTGGUBIT-QGZVFWFLSA-N 1 2 324.384 1.475 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)N[C@@]2(CC(C)(C)OC2(C)C)C1=O ZINC000491641816 527333330 /nfs/dbraw/zinc/33/33/30/527333330.db2.gz UAZILCPTXUQXOE-ZBEGNZNMSA-N 1 2 307.394 1.166 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)N[C@@]2(CC(C)(C)OC2(C)C)C1=O ZINC000491641816 527333337 /nfs/dbraw/zinc/33/33/37/527333337.db2.gz UAZILCPTXUQXOE-ZBEGNZNMSA-N 1 2 307.394 1.166 20 30 DDEDLO C#C[C@H](NC(=O)c1ccc(C[NH+]2CCOCC2)cn1)C(C)C ZINC000491506121 527407333 /nfs/dbraw/zinc/40/73/33/527407333.db2.gz OLLRBZOQHLLRAF-HNNXBMFYSA-N 1 2 301.390 1.301 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+]C(C)(C)c1nnc2n1CCCC2 ZINC000451411554 527613664 /nfs/dbraw/zinc/61/36/64/527613664.db2.gz MQYQNRRXVVFJHP-UHFFFAOYSA-N 1 2 317.437 1.640 20 30 DDEDLO CC1(C)C[S@](=O)CC[N@@H+]1CCC(=O)Nc1ccccc1C#N ZINC000331359100 527772174 /nfs/dbraw/zinc/77/21/74/527772174.db2.gz WAYJIIRPSPBIKV-JOCHJYFZSA-N 1 2 319.430 1.730 20 30 DDEDLO CC1(C)C[S@](=O)CC[N@H+]1CCC(=O)Nc1ccccc1C#N ZINC000331359100 527772175 /nfs/dbraw/zinc/77/21/75/527772175.db2.gz WAYJIIRPSPBIKV-JOCHJYFZSA-N 1 2 319.430 1.730 20 30 DDEDLO CC1=CC[N@H+](CCNC(=O)C2(S(C)(=O)=O)CCC2)CC1 ZINC000330019114 528114554 /nfs/dbraw/zinc/11/45/54/528114554.db2.gz UPDZVNVYRKBDGN-UHFFFAOYSA-N 1 2 300.424 1.562 20 30 DDEDLO CC1=CC[N@@H+](CCNC(=O)C2(S(C)(=O)=O)CCC2)CC1 ZINC000330019114 528114558 /nfs/dbraw/zinc/11/45/58/528114558.db2.gz UPDZVNVYRKBDGN-UHFFFAOYSA-N 1 2 300.424 1.562 20 30 DDEDLO CC(C)c1nnc(CNC(=O)[C@H](C)[NH+]2CCSCC2)n1C ZINC000329783377 528413999 /nfs/dbraw/zinc/41/39/99/528413999.db2.gz ONHCBFOUUHXJFV-NSHDSACASA-N 1 2 311.455 1.832 20 30 DDEDLO CCN(C(=O)NCC[NH+]1CCOCC1)[C@@H]1CCOC(C)(C)C1 ZINC000329735149 528902763 /nfs/dbraw/zinc/90/27/63/528902763.db2.gz RVAYTCPITVGCJJ-CQSZACIVSA-N 1 2 313.442 1.512 20 30 DDEDLO CCn1cccc(CNC(=O)C2([NH+]3CCOCC3)CCC2)c1=O ZINC000329877460 529176268 /nfs/dbraw/zinc/17/62/68/529176268.db2.gz JIXMYSNQUSJGKS-UHFFFAOYSA-N 1 2 319.405 1.580 20 30 DDEDLO C[C@H](C#N)C[N@H+](C[C@@H](O)c1ccc(-c2nn[nH]n2)cc1)C1CC1 ZINC000824034029 607831467 /nfs/dbraw/zinc/83/14/67/607831467.db2.gz FYKSTDSVAJLPMB-IAQYHMDHSA-N 1 2 312.377 1.524 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C[C@@H](O)c1ccc(-c2nn[nH]n2)cc1)C1CC1 ZINC000824034029 607831468 /nfs/dbraw/zinc/83/14/68/607831468.db2.gz FYKSTDSVAJLPMB-IAQYHMDHSA-N 1 2 312.377 1.524 20 30 DDEDLO C[C@H](O[NH+]=C(N)CCO)C(=O)N1c2ccccc2CC[C@H]1C ZINC000121333210 696708566 /nfs/dbraw/zinc/70/85/66/696708566.db2.gz DWJNNDPJMDXNKU-NEPJUHHUSA-N 1 2 305.378 1.414 20 30 DDEDLO CC[C@@H]1c2ccsc2CCN1C(=O)CO[NH+]=C(N)CCO ZINC000121352788 696708789 /nfs/dbraw/zinc/70/87/89/696708789.db2.gz GXRBAOBAWBAYNO-LLVKDONJSA-N 1 2 311.407 1.255 20 30 DDEDLO C[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)NCc1ccc(C#N)cc1 ZINC000745078134 699970514 /nfs/dbraw/zinc/97/05/14/699970514.db2.gz AXTWZTJCDAQEHN-HNNXBMFYSA-N 1 2 315.373 1.202 20 30 DDEDLO C[N@H+]1CCCC[C@H]1C(=O)OCC(=O)NCc1ccc(C#N)cc1 ZINC000745078134 699970515 /nfs/dbraw/zinc/97/05/15/699970515.db2.gz AXTWZTJCDAQEHN-HNNXBMFYSA-N 1 2 315.373 1.202 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]([N@@H+](C)Cc3cnnn3C)C2)CC1 ZINC000972235839 695185110 /nfs/dbraw/zinc/18/51/10/695185110.db2.gz RMADOISARFSPCH-OAHLLOKOSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]([N@H+](C)Cc3cnnn3C)C2)CC1 ZINC000972235839 695185112 /nfs/dbraw/zinc/18/51/12/695185112.db2.gz RMADOISARFSPCH-OAHLLOKOSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC000972247465 695188950 /nfs/dbraw/zinc/18/89/50/695188950.db2.gz UGZXWTRQGKINDQ-YOEHRIQHSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC000972247465 695188951 /nfs/dbraw/zinc/18/89/51/695188951.db2.gz UGZXWTRQGKINDQ-YOEHRIQHSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([N@H+](C)Cc2nocc2C)C1 ZINC000972375872 695234131 /nfs/dbraw/zinc/23/41/31/695234131.db2.gz QNQLYSRQTMPMNC-FMKPAKJESA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([N@@H+](C)Cc2nocc2C)C1 ZINC000972375872 695234132 /nfs/dbraw/zinc/23/41/32/695234132.db2.gz QNQLYSRQTMPMNC-FMKPAKJESA-N 1 2 319.405 1.607 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCC4(CC4)C3)C2)C1 ZINC000972386955 695237669 /nfs/dbraw/zinc/23/76/69/695237669.db2.gz IWEZFLFFMNQIEE-APWZRJJASA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCC4(CC4)C3)C2)C1 ZINC000972386955 695237671 /nfs/dbraw/zinc/23/76/71/695237671.db2.gz IWEZFLFFMNQIEE-APWZRJJASA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)c(F)c3)C2)C1 ZINC000972412856 695242879 /nfs/dbraw/zinc/24/28/79/695242879.db2.gz AJURASQELVKDHD-KRWDZBQOSA-N 1 2 320.339 1.515 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)c(F)c3)C2)C1 ZINC000972412856 695242880 /nfs/dbraw/zinc/24/28/80/695242880.db2.gz AJURASQELVKDHD-KRWDZBQOSA-N 1 2 320.339 1.515 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@]34C[C@H]3CCC4)C2)C1 ZINC000972476174 695259564 /nfs/dbraw/zinc/25/95/64/695259564.db2.gz VMDKJFDRTHLZHG-KBAYOESNSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@]34C[C@H]3CCC4)C2)C1 ZINC000972476174 695259567 /nfs/dbraw/zinc/25/95/67/695259567.db2.gz VMDKJFDRTHLZHG-KBAYOESNSA-N 1 2 302.418 1.503 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCO[C@H]3CC)C2)C1 ZINC000972479351 695260398 /nfs/dbraw/zinc/26/03/98/695260398.db2.gz VEVVLBJLAQWRTA-VYDXJSESSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCO[C@H]3CC)C2)C1 ZINC000972479351 695260399 /nfs/dbraw/zinc/26/03/99/695260399.db2.gz VEVVLBJLAQWRTA-VYDXJSESSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)c(C)c3)C2)C1 ZINC000972525106 695272714 /nfs/dbraw/zinc/27/27/14/695272714.db2.gz YQURXVWXVYUFPQ-SFHVURJKSA-N 1 2 316.376 1.684 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)c(C)c3)C2)C1 ZINC000972525106 695272715 /nfs/dbraw/zinc/27/27/15/695272715.db2.gz YQURXVWXVYUFPQ-SFHVURJKSA-N 1 2 316.376 1.684 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccc4cc[nH]c43)C2)C1 ZINC000972563545 695284249 /nfs/dbraw/zinc/28/42/49/695284249.db2.gz YELAKMZVHSSKKS-LJQANCHMSA-N 1 2 323.396 1.718 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccc4cc[nH]c43)C2)C1 ZINC000972563545 695284251 /nfs/dbraw/zinc/28/42/51/695284251.db2.gz YELAKMZVHSSKKS-LJQANCHMSA-N 1 2 323.396 1.718 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3F)C2)C1 ZINC000972613515 695298995 /nfs/dbraw/zinc/29/89/95/695298995.db2.gz DRPUBDFNOCPOLW-INIZCTEOSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ncccc3F)C2)C1 ZINC000972613515 695298998 /nfs/dbraw/zinc/29/89/98/695298998.db2.gz DRPUBDFNOCPOLW-INIZCTEOSA-N 1 2 305.353 1.324 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1)c1cccc(C#N)c1 ZINC000745794230 699994544 /nfs/dbraw/zinc/99/45/44/699994544.db2.gz YAAXTALXLGPKAW-KBPBESRZSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCO[C@@H](C)C1)c1cccc(C#N)c1 ZINC000745794230 699994546 /nfs/dbraw/zinc/99/45/46/699994546.db2.gz YAAXTALXLGPKAW-KBPBESRZSA-N 1 2 316.405 1.639 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CN(CC#N)CC3(C)C)ccn12 ZINC000974582229 695690750 /nfs/dbraw/zinc/69/07/50/695690750.db2.gz JXHYOAKFCWOFQR-AWEZNQCLSA-N 1 2 311.389 1.607 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cnn(C)c2C)C(C)(C)C1 ZINC000974665818 695707229 /nfs/dbraw/zinc/70/72/29/695707229.db2.gz QKJYZZYEGFRZQD-AWEZNQCLSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cnn(C)c2C)C(C)(C)C1 ZINC000974665818 695707231 /nfs/dbraw/zinc/70/72/31/695707231.db2.gz QKJYZZYEGFRZQD-AWEZNQCLSA-N 1 2 324.856 1.850 20 30 DDEDLO COCC(=O)N1CCC[N@H+](CC#Cc2ccc(F)cc2)CC1 ZINC000980583870 696806622 /nfs/dbraw/zinc/80/66/22/696806622.db2.gz UDKYJLHZTSBRJG-UHFFFAOYSA-N 1 2 304.365 1.358 20 30 DDEDLO COCC(=O)N1CCC[N@@H+](CC#Cc2ccc(F)cc2)CC1 ZINC000980583870 696806625 /nfs/dbraw/zinc/80/66/25/696806625.db2.gz UDKYJLHZTSBRJG-UHFFFAOYSA-N 1 2 304.365 1.358 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)[C@H]2CCOC2)CC1 ZINC000980801484 696894226 /nfs/dbraw/zinc/89/42/26/696894226.db2.gz GWHNQRTVNLPSAI-KRWDZBQOSA-N 1 2 313.401 1.629 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)[C@H]2CCOC2)CC1 ZINC000980801484 696894230 /nfs/dbraw/zinc/89/42/30/696894230.db2.gz GWHNQRTVNLPSAI-KRWDZBQOSA-N 1 2 313.401 1.629 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](CCn2cc(Cl)cn2)CC1 ZINC000980848969 696917051 /nfs/dbraw/zinc/91/70/51/696917051.db2.gz FDLSLPBVSMOTRT-GFCCVEGCSA-N 1 2 309.801 1.230 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](CCn2cc(Cl)cn2)CC1 ZINC000980848969 696917054 /nfs/dbraw/zinc/91/70/54/696917054.db2.gz FDLSLPBVSMOTRT-GFCCVEGCSA-N 1 2 309.801 1.230 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2CCOC[C@H]2C)CC1 ZINC000981905719 696963870 /nfs/dbraw/zinc/96/38/70/696963870.db2.gz PHSRZFRDZMFRBZ-OCCSQVGLSA-N 1 2 300.830 1.946 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2CCOC[C@H]2C)CC1 ZINC000981905719 696963872 /nfs/dbraw/zinc/96/38/72/696963872.db2.gz PHSRZFRDZMFRBZ-OCCSQVGLSA-N 1 2 300.830 1.946 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000981094093 697002857 /nfs/dbraw/zinc/00/28/57/697002857.db2.gz NDWLROPZJNINFN-GOSISDBHSA-N 1 2 314.429 1.589 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000981094093 697002860 /nfs/dbraw/zinc/00/28/60/697002860.db2.gz NDWLROPZJNINFN-GOSISDBHSA-N 1 2 314.429 1.589 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H](C)c2ccnn2C)CC1 ZINC000981184569 697028269 /nfs/dbraw/zinc/02/82/69/697028269.db2.gz IRECKOHFMDSVTA-ZDUSSCGKSA-N 1 2 310.829 1.810 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H](C)c2ccnn2C)CC1 ZINC000981184569 697028272 /nfs/dbraw/zinc/02/82/72/697028272.db2.gz IRECKOHFMDSVTA-ZDUSSCGKSA-N 1 2 310.829 1.810 20 30 DDEDLO CC#CC[N@@H+]1CCCC2(CN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC000982146271 697041991 /nfs/dbraw/zinc/04/19/91/697041991.db2.gz FMCYMARBYOGSTB-UHFFFAOYSA-N 1 2 323.400 1.519 20 30 DDEDLO CC#CC[N@H+]1CCCC2(CN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC000982146271 697041993 /nfs/dbraw/zinc/04/19/93/697041993.db2.gz FMCYMARBYOGSTB-UHFFFAOYSA-N 1 2 323.400 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2CC(=O)N(CC)C2)CC1 ZINC000981276183 697053533 /nfs/dbraw/zinc/05/35/33/697053533.db2.gz JFKJWPCBBQCLON-ZDUSSCGKSA-N 1 2 313.829 1.142 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2CC(=O)N(CC)C2)CC1 ZINC000981276183 697053535 /nfs/dbraw/zinc/05/35/35/697053535.db2.gz JFKJWPCBBQCLON-ZDUSSCGKSA-N 1 2 313.829 1.142 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2ncn(-c3ccccc3)n2)CC1 ZINC000981410777 697090825 /nfs/dbraw/zinc/09/08/25/697090825.db2.gz HBIRHTBTUYMPFE-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2ncn(-c3ccccc3)n2)CC1 ZINC000981410777 697090827 /nfs/dbraw/zinc/09/08/27/697090827.db2.gz HBIRHTBTUYMPFE-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO C=C(Cl)CN1CCCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)CC1 ZINC000981486112 697109342 /nfs/dbraw/zinc/10/93/42/697109342.db2.gz KYNDBQDPNPKHIQ-AWEZNQCLSA-N 1 2 322.840 1.732 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@]2(C)CN(C(=O)c3[nH]nnc3C)C[C@@]2(C)C1 ZINC000982431120 697127546 /nfs/dbraw/zinc/12/75/46/697127546.db2.gz FTWQPZJHBZAKAL-GASCZTMLSA-N 1 2 323.828 1.650 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@]2(C)CN(C(=O)c3[nH]nnc3C)C[C@@]2(C)C1 ZINC000982431120 697127548 /nfs/dbraw/zinc/12/75/48/697127548.db2.gz FTWQPZJHBZAKAL-GASCZTMLSA-N 1 2 323.828 1.650 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)N[C@](CC)(c2cnn(C)c2)C1=O ZINC000182625063 697477462 /nfs/dbraw/zinc/47/74/62/697477462.db2.gz CEGCCUGJOFYRAD-OAHLLOKOSA-N 1 2 305.382 1.043 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)N[C@](CC)(c2cnn(C)c2)C1=O ZINC000182625063 697477464 /nfs/dbraw/zinc/47/74/64/697477464.db2.gz CEGCCUGJOFYRAD-OAHLLOKOSA-N 1 2 305.382 1.043 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)N[C@@](CC)(c2cnn(C)c2)C1=O ZINC000182625046 697477510 /nfs/dbraw/zinc/47/75/10/697477510.db2.gz CEGCCUGJOFYRAD-HNNXBMFYSA-N 1 2 305.382 1.043 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)N[C@@](CC)(c2cnn(C)c2)C1=O ZINC000182625046 697477512 /nfs/dbraw/zinc/47/75/12/697477512.db2.gz CEGCCUGJOFYRAD-HNNXBMFYSA-N 1 2 305.382 1.043 20 30 DDEDLO C[C@H]1[C@H]([NH2+]Cc2csnn2)CCN1C(=O)c1ccc(C#N)[nH]1 ZINC000986180491 697722195 /nfs/dbraw/zinc/72/21/95/697722195.db2.gz CLMKYFMPLMFQTM-JOYOIKCWSA-N 1 2 316.390 1.131 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1)[C@H]1CCCO1 ZINC000775322724 697981808 /nfs/dbraw/zinc/98/18/08/697981808.db2.gz FXAJZADHMNPAND-BBWFWOEESA-N 1 2 322.449 1.420 20 30 DDEDLO C[C@@H]1[C@@H](NCC#N)CCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000987300164 698076121 /nfs/dbraw/zinc/07/61/21/698076121.db2.gz NBYYNEIRBRHZSH-CJNGLKHVSA-N 1 2 309.373 1.588 20 30 DDEDLO C[C@@H]1C[C@H]([NH2+]Cc2csnn2)CN1C(=O)c1c[nH]c(C#N)c1 ZINC000988619541 698421129 /nfs/dbraw/zinc/42/11/29/698421129.db2.gz GBMSRGPAGPGGKD-SKDRFNHKSA-N 1 2 316.390 1.131 20 30 DDEDLO C[C@H]1C[C@@H]([NH2+]Cc2nc(C(F)F)no2)CN1C(=O)C#CC1CC1 ZINC000988828933 698478154 /nfs/dbraw/zinc/47/81/54/698478154.db2.gz YVDCQIZMYRFVSK-GXSJLCMTSA-N 1 2 324.331 1.500 20 30 DDEDLO C=C[C@@H](C(=O)N1CCC[N@@H+](CC(=O)NC)CC1)c1ccccc1 ZINC000989717868 698738701 /nfs/dbraw/zinc/73/87/01/698738701.db2.gz LWYGQYMTFOPMTF-MRXNPFEDSA-N 1 2 315.417 1.237 20 30 DDEDLO C=C[C@@H](C(=O)N1CCC[N@H+](CC(=O)NC)CC1)c1ccccc1 ZINC000989717868 698738703 /nfs/dbraw/zinc/73/87/03/698738703.db2.gz LWYGQYMTFOPMTF-MRXNPFEDSA-N 1 2 315.417 1.237 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)CCn2cc[nH+]c2)c1 ZINC000750870850 700252386 /nfs/dbraw/zinc/25/23/86/700252386.db2.gz BZLIMZBSGVJNMK-UHFFFAOYSA-N 1 2 310.357 1.352 20 30 DDEDLO CN(Cc1cccc(C#N)c1)CN1C[C@@H](c2c[nH+]cn2C)CC1=O ZINC000783971094 698896381 /nfs/dbraw/zinc/89/63/81/698896381.db2.gz GUKWLHOGRQDKQC-INIZCTEOSA-N 1 2 323.400 1.697 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N(C)[C@H](C)CC#N)c(N(C)C)[nH+]1 ZINC000784441187 698938816 /nfs/dbraw/zinc/93/88/16/698938816.db2.gz UYJMNGLADDAWHA-LLVKDONJSA-N 1 2 303.366 1.155 20 30 DDEDLO CC(C)c1nc(C[NH2+]C2CCN(C(=O)[C@@H](C)C#N)CC2)no1 ZINC000990262697 699013385 /nfs/dbraw/zinc/01/33/85/699013385.db2.gz UKKOQPLFCTUFJN-NSHDSACASA-N 1 2 305.382 1.433 20 30 DDEDLO C#CCOCCNC(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000784548583 699026611 /nfs/dbraw/zinc/02/66/11/699026611.db2.gz DZGHSNOESDTQLJ-OAHLLOKOSA-N 1 2 316.405 1.120 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(S(=O)(=O)CC(C)(C)CC#N)C1 ZINC000451471967 699183140 /nfs/dbraw/zinc/18/31/40/699183140.db2.gz QUPCGJAITVXUTM-CYBMUJFWSA-N 1 2 324.450 1.869 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@H]3CCn4c[nH+]cc4C3)CCC[C@@H]12 ZINC000991447105 699327128 /nfs/dbraw/zinc/32/71/28/699327128.db2.gz NFVBKGDYCWKYJH-YSVLISHTSA-N 1 2 313.405 1.082 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[N@@H+]2CCOC(C)(C)C2)nc1 ZINC000790127973 699444440 /nfs/dbraw/zinc/44/44/40/699444440.db2.gz PHEOYCDQKPZMGL-UHFFFAOYSA-N 1 2 301.390 1.246 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[N@H+]2CCOC(C)(C)C2)nc1 ZINC000790127973 699444442 /nfs/dbraw/zinc/44/44/42/699444442.db2.gz PHEOYCDQKPZMGL-UHFFFAOYSA-N 1 2 301.390 1.246 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)N(C)OC)cc1 ZINC000732072254 699545623 /nfs/dbraw/zinc/54/56/23/699545623.db2.gz VRDCCIWGSBNRSE-HNNXBMFYSA-N 1 2 315.373 1.356 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)N(C)OC)cc1 ZINC000732072254 699545624 /nfs/dbraw/zinc/54/56/24/699545624.db2.gz VRDCCIWGSBNRSE-HNNXBMFYSA-N 1 2 315.373 1.356 20 30 DDEDLO C=CCNC(=O)[C@H](C)OC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccc1 ZINC000732356525 699557018 /nfs/dbraw/zinc/55/70/18/699557018.db2.gz JBKGRJODWMWYFY-HOCLYGCPSA-N 1 2 316.401 1.885 20 30 DDEDLO C=CCNC(=O)[C@H](C)OC(=O)[C@@H]1CCC[N@H+]1Cc1ccccc1 ZINC000732356525 699557020 /nfs/dbraw/zinc/55/70/20/699557020.db2.gz JBKGRJODWMWYFY-HOCLYGCPSA-N 1 2 316.401 1.885 20 30 DDEDLO N#C[C@@H]1CN(C(=O)NCCCCn2cc[nH+]c2)CCN1C1CC1 ZINC000732675491 699566366 /nfs/dbraw/zinc/56/63/66/699566366.db2.gz ZCLUNKPYVOUNHF-OAHLLOKOSA-N 1 2 316.409 1.045 20 30 DDEDLO COC(=O)c1cc(N[NH2+]C2=CC(=O)N3CCC[C@@H]23)ccc1C ZINC000793089367 699732939 /nfs/dbraw/zinc/73/29/39/699732939.db2.gz ILKSYUJUPLYBNP-AWEZNQCLSA-N 1 2 301.346 1.944 20 30 DDEDLO CO[C@H]1C[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)CC[C@H]1C ZINC000793516268 699762933 /nfs/dbraw/zinc/76/29/33/699762933.db2.gz MORDYHNAROLASG-DYVFJYSZSA-N 1 2 315.417 1.913 20 30 DDEDLO CO[C@H]1C[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)CC[C@H]1C ZINC000793516268 699762934 /nfs/dbraw/zinc/76/29/34/699762934.db2.gz MORDYHNAROLASG-DYVFJYSZSA-N 1 2 315.417 1.913 20 30 DDEDLO C[N@H+](CC(F)F)C1CCN(C(=O)c2ccnc(C#N)c2)CC1 ZINC000793578836 699765756 /nfs/dbraw/zinc/76/57/56/699765756.db2.gz WGUZVYGYNCCITM-UHFFFAOYSA-N 1 2 308.332 1.755 20 30 DDEDLO C[N@@H+](CC(F)F)C1CCN(C(=O)c2ccnc(C#N)c2)CC1 ZINC000793578836 699765757 /nfs/dbraw/zinc/76/57/57/699765757.db2.gz WGUZVYGYNCCITM-UHFFFAOYSA-N 1 2 308.332 1.755 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](Cn3cc[nH]c3=S)C2)nc1 ZINC000793590334 699766363 /nfs/dbraw/zinc/76/63/63/699766363.db2.gz LIILBBPBZYXINJ-GFCCVEGCSA-N 1 2 301.375 1.549 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](Cn3cc[nH]c3=S)C2)nc1 ZINC000793590334 699766365 /nfs/dbraw/zinc/76/63/65/699766365.db2.gz LIILBBPBZYXINJ-GFCCVEGCSA-N 1 2 301.375 1.549 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CC[C@@H]([C@H]3CCOC3)C2)c1=S ZINC000794633438 699820316 /nfs/dbraw/zinc/82/03/16/699820316.db2.gz MVNASKUWTUUTNV-NEPJUHHUSA-N 1 2 307.423 1.333 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CC[C@@H]([C@H]3CCOC3)C2)c1=S ZINC000794633438 699820318 /nfs/dbraw/zinc/82/03/18/699820318.db2.gz MVNASKUWTUUTNV-NEPJUHHUSA-N 1 2 307.423 1.333 20 30 DDEDLO C[C@H](C#N)OCCOC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000801843170 700345254 /nfs/dbraw/zinc/34/52/54/700345254.db2.gz ZSSZKXSDILBBPK-ZBFHGGJFSA-N 1 2 318.373 1.359 20 30 DDEDLO C[C@H](C#N)OCCOC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000801843170 700345257 /nfs/dbraw/zinc/34/52/57/700345257.db2.gz ZSSZKXSDILBBPK-ZBFHGGJFSA-N 1 2 318.373 1.359 20 30 DDEDLO Cn1c[nH+]cc1CN=Nc1ncnc2c1cnn2-c1ccccc1 ZINC000755652087 700575900 /nfs/dbraw/zinc/57/59/00/700575900.db2.gz PYPWPKDQKJLJEC-UHFFFAOYSA-N 1 2 318.344 1.995 20 30 DDEDLO CCOC(=O)CC[N@H+](Cn1ccc(C)c(C#N)c1=O)C1CC1 ZINC000758198012 700688922 /nfs/dbraw/zinc/68/89/22/700688922.db2.gz QBVALFPEOYVZPK-UHFFFAOYSA-N 1 2 303.362 1.404 20 30 DDEDLO CCOC(=O)CC[N@@H+](Cn1ccc(C)c(C#N)c1=O)C1CC1 ZINC000758198012 700688925 /nfs/dbraw/zinc/68/89/25/700688925.db2.gz QBVALFPEOYVZPK-UHFFFAOYSA-N 1 2 303.362 1.404 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@](C)(O)c1ccc(F)cc1 ZINC000759963525 700785431 /nfs/dbraw/zinc/78/54/31/700785431.db2.gz VLVDYNHQOHAMGS-DOTOQJQBSA-N 1 2 304.365 1.247 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@](C)(O)c1ccc(F)cc1 ZINC000759963525 700785433 /nfs/dbraw/zinc/78/54/33/700785433.db2.gz VLVDYNHQOHAMGS-DOTOQJQBSA-N 1 2 304.365 1.247 20 30 DDEDLO C[N@@H+](Cc1nnc2ccccn21)C[C@@H](O)c1ccc(C#N)cc1 ZINC000763411554 700937244 /nfs/dbraw/zinc/93/72/44/700937244.db2.gz AFTVYPYOWZXTLH-OAHLLOKOSA-N 1 2 307.357 1.766 20 30 DDEDLO C[N@H+](Cc1nnc2ccccn21)C[C@@H](O)c1ccc(C#N)cc1 ZINC000763411554 700937246 /nfs/dbraw/zinc/93/72/46/700937246.db2.gz AFTVYPYOWZXTLH-OAHLLOKOSA-N 1 2 307.357 1.766 20 30 DDEDLO C=CC[C@@H](c1ccncc1)N1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O ZINC000804165219 701168906 /nfs/dbraw/zinc/16/89/06/701168906.db2.gz RCABLSDNYKDCEP-KBPBESRZSA-N 1 2 311.345 1.585 20 30 DDEDLO C=CC[C@@H](c1ccncc1)N1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O ZINC000804165219 701168907 /nfs/dbraw/zinc/16/89/07/701168907.db2.gz RCABLSDNYKDCEP-KBPBESRZSA-N 1 2 311.345 1.585 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2cn3cccc(F)c3n2)CC1 ZINC000769022918 701221831 /nfs/dbraw/zinc/22/18/31/701221831.db2.gz WWXXQVMVFPDSTG-UHFFFAOYSA-N 1 2 314.364 1.435 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2ccc(C)c(F)c2)CC1 ZINC000769320524 701240927 /nfs/dbraw/zinc/24/09/27/701240927.db2.gz SCHZXEUWQWMQCW-UHFFFAOYSA-N 1 2 303.381 1.001 20 30 DDEDLO S=C1N=NC([C@H]2CCCO2)N1N=Cc1cccc2[nH+]ccn21 ZINC000771097711 701309838 /nfs/dbraw/zinc/30/98/38/701309838.db2.gz PBDUZZRBZRRRSY-LLVKDONJSA-N 1 2 314.374 1.948 20 30 DDEDLO Cn1ncc(C[NH2+]Cc2cn(CCC#N)nc2-c2ccccc2)n1 ZINC000808417305 701517407 /nfs/dbraw/zinc/51/74/07/701517407.db2.gz HSODAHDMUGJZIF-UHFFFAOYSA-N 1 2 321.388 1.882 20 30 DDEDLO C#CCOc1cc(F)ccc1NC(=O)NCc1c[nH+]cn1C ZINC000809878760 701696718 /nfs/dbraw/zinc/69/67/18/701696718.db2.gz CQYDZYKKFWMXBG-UHFFFAOYSA-N 1 2 302.309 1.893 20 30 DDEDLO C[C@@H](O)CNc1cc(NCCNc2ncccc2C#N)[nH+]cn1 ZINC000840248839 702041830 /nfs/dbraw/zinc/04/18/30/702041830.db2.gz HLZGADPJLKBULB-LLVKDONJSA-N 1 2 313.365 1.060 20 30 DDEDLO C[C@@H](O)CNc1cc(NCCNc2ncccc2C#N)nc[nH+]1 ZINC000840248839 702041836 /nfs/dbraw/zinc/04/18/36/702041836.db2.gz HLZGADPJLKBULB-LLVKDONJSA-N 1 2 313.365 1.060 20 30 DDEDLO Cc1nnc(-c2cccc(C#N)c2)n1CN1CCn2c[nH+]cc2C1 ZINC000811787150 702059516 /nfs/dbraw/zinc/05/95/16/702059516.db2.gz GJOAEYSWQIJLNR-UHFFFAOYSA-N 1 2 319.372 1.795 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@H](CNc3cncc(C#N)n3)C2)c1 ZINC000866189745 706643860 /nfs/dbraw/zinc/64/38/60/706643860.db2.gz DESBIDLTEMSFFF-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@H](CNc3cncc(C#N)n3)C2)c1 ZINC000866189745 706643863 /nfs/dbraw/zinc/64/38/63/706643863.db2.gz DESBIDLTEMSFFF-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000840756641 702229907 /nfs/dbraw/zinc/22/99/07/702229907.db2.gz LIRQEOVPBRVJFP-JTQLQIEISA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000840756641 702229911 /nfs/dbraw/zinc/22/99/11/702229911.db2.gz LIRQEOVPBRVJFP-JTQLQIEISA-N 1 2 313.741 1.833 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)C[C@@H](CC(C)C)OC)CC1 ZINC000817128127 702280251 /nfs/dbraw/zinc/28/02/51/702280251.db2.gz AIRKKMKDYQIDCU-OAHLLOKOSA-N 1 2 316.467 1.018 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCCN(S(C)(=O)=O)C1)c1cccc(C#N)c1O ZINC000866250042 706657757 /nfs/dbraw/zinc/65/77/57/706657757.db2.gz SPQHFNYLSSAHBC-WCQYABFASA-N 1 2 323.418 1.338 20 30 DDEDLO C=CCN(C)C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000869024261 702429042 /nfs/dbraw/zinc/42/90/42/702429042.db2.gz BPLYQLCKMJLQFS-UHFFFAOYSA-N 1 2 311.426 1.620 20 30 DDEDLO C[C@@H]([NH2+]C[C@@H]1CCCCS1(=O)=O)c1cccc(C#N)c1O ZINC000866335400 706676043 /nfs/dbraw/zinc/67/60/43/706676043.db2.gz JENAWGFBEHZQLO-YPMHNXCESA-N 1 2 308.403 1.882 20 30 DDEDLO CNC(=S)N(C)[NH+]=Cc1ccccc1N1CCO[C@@H](C)C1 ZINC000841647448 702516572 /nfs/dbraw/zinc/51/65/72/702516572.db2.gz SKDWZAUZXNQLJM-LBPRGKRZSA-N 1 2 306.435 1.682 20 30 DDEDLO Cc1nn(Cc2ccc(C)cc2)c(C)c1C=NNC1=[NH+]CCN1 ZINC000841663528 702523673 /nfs/dbraw/zinc/52/36/73/702523673.db2.gz ZXIBVMZVGZLBTN-UHFFFAOYSA-N 1 2 310.405 1.739 20 30 DDEDLO CC(C)N(C)C(=O)[C@H](C)O[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000842035439 702660253 /nfs/dbraw/zinc/66/02/53/702660253.db2.gz NCCNYOJPWAFGFK-NSHDSACASA-N 1 2 305.378 1.514 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2ccccc2O)CC1 ZINC000845116189 703111929 /nfs/dbraw/zinc/11/19/29/703111929.db2.gz DACZFQIJHHURRO-UHFFFAOYSA-N 1 2 301.342 1.463 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585368 706728404 /nfs/dbraw/zinc/72/84/04/706728404.db2.gz WPKHCKMQUZMEIU-CQSZACIVSA-N 1 2 314.433 1.983 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)C[C@H]2CCC2(F)F)CC1 ZINC000866598564 706741239 /nfs/dbraw/zinc/74/12/39/706741239.db2.gz IDAFLXWNFZGHIT-GFCCVEGCSA-N 1 2 306.378 1.002 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CN2C(=O)N[C@](C(C)C)(C3CC3)C2=O)C1 ZINC000848493721 703557502 /nfs/dbraw/zinc/55/75/02/703557502.db2.gz KUVUSXJWWKUYMZ-CXAGYDPISA-N 1 2 303.406 1.646 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CN2C(=O)N[C@](C(C)C)(C3CC3)C2=O)C1 ZINC000848493721 703557503 /nfs/dbraw/zinc/55/75/03/703557503.db2.gz KUVUSXJWWKUYMZ-CXAGYDPISA-N 1 2 303.406 1.646 20 30 DDEDLO C=C(Cl)C[C@@H](NC(=O)CCCn1cc[nH+]c1)C(=O)OCC ZINC000870030513 703897288 /nfs/dbraw/zinc/89/72/88/703897288.db2.gz UORKTJDENMQOGA-GFCCVEGCSA-N 1 2 313.785 1.854 20 30 DDEDLO C=CCC1(C(=O)N2CCO[C@H](C[NH+]3CCOCC3)C2)CCC1 ZINC000870063094 703906554 /nfs/dbraw/zinc/90/65/54/703906554.db2.gz TZVJGCMYGKSFOW-OAHLLOKOSA-N 1 2 308.422 1.292 20 30 DDEDLO C=C[C@@H](OC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21)C(=O)OC ZINC000870532073 704070712 /nfs/dbraw/zinc/07/07/12/704070712.db2.gz OTJHMOXYAMDDPT-CYBMUJFWSA-N 1 2 324.283 1.894 20 30 DDEDLO N#Cc1ccc2[nH]c(NC(=O)C=CC[NH+]3CCOCC3)nc2c1 ZINC000871072416 704213183 /nfs/dbraw/zinc/21/31/83/704213183.db2.gz LJMABUQHTOMIHO-UPHRSURJSA-N 1 2 311.345 1.261 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CC[C@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858046445 704661619 /nfs/dbraw/zinc/66/16/19/704661619.db2.gz NCZRKALSGBICPB-AWEZNQCLSA-N 1 2 300.362 1.052 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CC[C@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858046445 704661621 /nfs/dbraw/zinc/66/16/21/704661621.db2.gz NCZRKALSGBICPB-AWEZNQCLSA-N 1 2 300.362 1.052 20 30 DDEDLO C#CCOCCNC(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000858357267 704699427 /nfs/dbraw/zinc/69/94/27/704699427.db2.gz PPRIDTVUAUUGAF-UHFFFAOYSA-N 1 2 319.409 1.269 20 30 DDEDLO C#CCN(CC(=O)N[C@H](C)Cn1cc[nH+]c1)C(=O)OC(C)(C)C ZINC000822156914 704887620 /nfs/dbraw/zinc/88/76/20/704887620.db2.gz ZSAWLNIFTPRRLL-CYBMUJFWSA-N 1 2 320.393 1.258 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)NCc1cccc(C#N)c1 ZINC000875064897 705270327 /nfs/dbraw/zinc/27/03/27/705270327.db2.gz OYVDPDGTUAOZIO-MRXNPFEDSA-N 1 2 316.405 1.468 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)NCc1cccc(C#N)c1 ZINC000875064897 705270329 /nfs/dbraw/zinc/27/03/29/705270329.db2.gz OYVDPDGTUAOZIO-MRXNPFEDSA-N 1 2 316.405 1.468 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC000825099847 705600296 /nfs/dbraw/zinc/60/02/96/705600296.db2.gz JSKBVNCWSBHRJK-UHFFFAOYSA-N 1 2 309.360 1.980 20 30 DDEDLO COCC[N@H+](CC(=O)N(C)C)Cc1cc(C#N)ccc1N(C)C ZINC000876609165 705782401 /nfs/dbraw/zinc/78/24/01/705782401.db2.gz IIPKRANAVBRVMD-UHFFFAOYSA-N 1 2 318.421 1.161 20 30 DDEDLO COCC[N@@H+](CC(=O)N(C)C)Cc1cc(C#N)ccc1N(C)C ZINC000876609165 705782402 /nfs/dbraw/zinc/78/24/02/705782402.db2.gz IIPKRANAVBRVMD-UHFFFAOYSA-N 1 2 318.421 1.161 20 30 DDEDLO C=CCCC(C)(C)NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000826655741 705836434 /nfs/dbraw/zinc/83/64/34/705836434.db2.gz PVVIMTSCZWVFFB-CABCVRRESA-N 1 2 310.438 1.727 20 30 DDEDLO N#Cc1cc(F)ccc1N1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000827411872 705995421 /nfs/dbraw/zinc/99/54/21/705995421.db2.gz LIEXLPWPABHYMI-UHFFFAOYSA-N 1 2 313.336 1.312 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000863805254 706018475 /nfs/dbraw/zinc/01/84/75/706018475.db2.gz WYODCWRWAJVNOB-NSHDSACASA-N 1 2 321.327 1.777 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H](C#N)[C@H]([NH2+]Cc2ccc(=O)[nH]c2)C1 ZINC000877341022 706071029 /nfs/dbraw/zinc/07/10/29/706071029.db2.gz PCLVACMZUCIGHW-CHWSQXEVSA-N 1 2 318.377 1.636 20 30 DDEDLO C[N@@H+]1CCc2nc(NC(=O)[C@]3(C#N)CCCOC3)sc2C1 ZINC000877965149 706253265 /nfs/dbraw/zinc/25/32/65/706253265.db2.gz CCIOHRDRCKFTAY-AWEZNQCLSA-N 1 2 306.391 1.390 20 30 DDEDLO C[N@H+]1CCc2nc(NC(=O)[C@]3(C#N)CCCOC3)sc2C1 ZINC000877965149 706253266 /nfs/dbraw/zinc/25/32/66/706253266.db2.gz CCIOHRDRCKFTAY-AWEZNQCLSA-N 1 2 306.391 1.390 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@@H+]1CCC2(C1)OCCO2)c1ccccc1 ZINC000878236524 706334332 /nfs/dbraw/zinc/33/43/32/706334332.db2.gz FPUQMPVMBZIPFM-QGZVFWFLSA-N 1 2 314.385 1.876 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@H+]1CCC2(C1)OCCO2)c1ccccc1 ZINC000878236524 706334333 /nfs/dbraw/zinc/33/43/33/706334333.db2.gz FPUQMPVMBZIPFM-QGZVFWFLSA-N 1 2 314.385 1.876 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000878251626 706338950 /nfs/dbraw/zinc/33/89/50/706338950.db2.gz PBGCYJARMGTJBZ-YPMHNXCESA-N 1 2 320.349 1.594 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000878251626 706338953 /nfs/dbraw/zinc/33/89/53/706338953.db2.gz PBGCYJARMGTJBZ-YPMHNXCESA-N 1 2 320.349 1.594 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)CCn2c(C)ncc2[N+](=O)[O-])n1 ZINC000878528313 706417441 /nfs/dbraw/zinc/41/74/41/706417441.db2.gz QUOXAIBQEQTSQE-UHFFFAOYSA-N 1 2 316.365 1.452 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)CCn2c(C)ncc2[N+](=O)[O-])n1 ZINC000878528313 706417443 /nfs/dbraw/zinc/41/74/43/706417443.db2.gz QUOXAIBQEQTSQE-UHFFFAOYSA-N 1 2 316.365 1.452 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+](C)CCn1cc(Br)cn1 ZINC000878623935 706448636 /nfs/dbraw/zinc/44/86/36/706448636.db2.gz APRJOSKKYFUBMB-LLVKDONJSA-N 1 2 316.199 1.695 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+](C)CCn1cc(Br)cn1 ZINC000878623935 706448637 /nfs/dbraw/zinc/44/86/37/706448637.db2.gz APRJOSKKYFUBMB-LLVKDONJSA-N 1 2 316.199 1.695 20 30 DDEDLO C#CCC1(O)CC[NH+](CCS(=O)(=O)Cc2ccccc2)CC1 ZINC000880484117 706989163 /nfs/dbraw/zinc/98/91/63/706989163.db2.gz QBVOKYMSNDAALU-UHFFFAOYSA-N 1 2 321.442 1.452 20 30 DDEDLO CCOCCCN(c1cc[nH+]cc1)S(=O)(=O)CC1(C#N)CC1 ZINC000867680663 707072015 /nfs/dbraw/zinc/07/20/15/707072015.db2.gz QLZVYFWEWXVLRT-UHFFFAOYSA-N 1 2 323.418 1.948 20 30 DDEDLO C#CCn1cc(CNC(=O)[C@@H](CC)[N@@H+]2CCO[C@@H](CC)C2)cn1 ZINC000834615039 707093961 /nfs/dbraw/zinc/09/39/61/707093961.db2.gz ZLMNIVOUWKOGAW-JKSUJKDBSA-N 1 2 318.421 1.022 20 30 DDEDLO C#CCn1cc(CNC(=O)[C@@H](CC)[N@H+]2CCO[C@@H](CC)C2)cn1 ZINC000834615039 707093963 /nfs/dbraw/zinc/09/39/63/707093963.db2.gz ZLMNIVOUWKOGAW-JKSUJKDBSA-N 1 2 318.421 1.022 20 30 DDEDLO C=C[C@@](C)(O)c1cn(Cc2[nH+]ccn2CC(F)(F)F)nn1 ZINC000881284875 707179490 /nfs/dbraw/zinc/17/94/90/707179490.db2.gz CDMIYYOPYANSNX-LLVKDONJSA-N 1 2 301.272 1.479 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)NCCc1cn(C)c[nH+]1 ZINC000872494257 707444949 /nfs/dbraw/zinc/44/49/49/707444949.db2.gz BWRWQVULWNMDMK-UHFFFAOYSA-N 1 2 304.375 1.121 20 30 DDEDLO C#CCCCc1nnc(N2CC[NH+](CC#C)CC2)n1CC(=C)C ZINC000909320800 712991094 /nfs/dbraw/zinc/99/10/94/712991094.db2.gz NZUGSGGAJLGQAI-UHFFFAOYSA-N 1 2 311.433 1.565 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccnn1[C@H](C)C1CC1 ZINC000884055801 708120344 /nfs/dbraw/zinc/12/03/44/708120344.db2.gz ZTKNKGVPVLLNMI-PWSUYJOCSA-N 1 2 306.366 1.239 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(CCSC)cc1 ZINC000884121652 708148298 /nfs/dbraw/zinc/14/82/98/708148298.db2.gz UXQRFVMVUNUIAJ-AWEZNQCLSA-N 1 2 322.430 1.977 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC(C)(C)c1cccs1 ZINC000884139180 708156952 /nfs/dbraw/zinc/15/69/52/708156952.db2.gz LPKWBDACUNUPCU-NSHDSACASA-N 1 2 310.419 1.589 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](c1cnn(C)c1)C(C)(C)C ZINC000884170793 708170566 /nfs/dbraw/zinc/17/05/66/708170566.db2.gz GEJKTSAMRGYOEG-GXTWGEPZSA-N 1 2 322.409 1.070 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCO[C@H](CC(C)C)C1 ZINC000884320801 708243135 /nfs/dbraw/zinc/24/31/35/708243135.db2.gz YCFOCBHITPFRCU-MCIONIFRSA-N 1 2 312.410 1.143 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCOC2(C1)CCCCCC2 ZINC000884378140 708270525 /nfs/dbraw/zinc/27/05/25/708270525.db2.gz JLSBOGDDJCLGLT-AWEZNQCLSA-N 1 2 324.421 1.385 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000884478125 708311468 /nfs/dbraw/zinc/31/14/68/708311468.db2.gz QXUVPTBFCKIFCQ-UHFFFAOYSA-N 1 2 321.421 1.602 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(CCC#N)cc2)[C@@H](C)C1 ZINC000885184330 708487762 /nfs/dbraw/zinc/48/77/62/708487762.db2.gz GMEBAOUUUPFCJZ-AWEZNQCLSA-N 1 2 321.446 1.857 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(CCC#N)cc2)[C@@H](C)C1 ZINC000885184330 708487765 /nfs/dbraw/zinc/48/77/65/708487765.db2.gz GMEBAOUUUPFCJZ-AWEZNQCLSA-N 1 2 321.446 1.857 20 30 DDEDLO C[C@@H]([NH2+]CC1(S(=O)(=O)N(C)C)CC1)c1cccc(C#N)c1O ZINC000886739124 708830496 /nfs/dbraw/zinc/83/04/96/708830496.db2.gz PRAIFCMJQGKKRO-LLVKDONJSA-N 1 2 323.418 1.338 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCc3cc(O)c(OC)cc3C2)C1=O ZINC000887106753 708956506 /nfs/dbraw/zinc/95/65/06/708956506.db2.gz MIDUVQYEMQINJL-AWEZNQCLSA-N 1 2 302.374 1.546 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCc3cc(O)c(OC)cc3C2)C1=O ZINC000887106753 708956508 /nfs/dbraw/zinc/95/65/08/708956508.db2.gz MIDUVQYEMQINJL-AWEZNQCLSA-N 1 2 302.374 1.546 20 30 DDEDLO C=CCn1c(C2CC2)nnc1S(=O)(=O)CCc1c[nH+]cn1C ZINC000899481492 709094800 /nfs/dbraw/zinc/09/48/00/709094800.db2.gz UZJVESXWJZKKON-UHFFFAOYSA-N 1 2 321.406 1.091 20 30 DDEDLO C#CCCCCCCOC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000909503108 709492420 /nfs/dbraw/zinc/49/24/20/709492420.db2.gz SYUVJUAQDYGBGV-SJORKVTESA-N 1 2 323.433 1.993 20 30 DDEDLO COC1CC[NH+](Cc2cn(Cc3cc(C#N)ccn3)nn2)CC1 ZINC000900628012 709685410 /nfs/dbraw/zinc/68/54/10/709685410.db2.gz BFLPWSQWESXRPA-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C/C(=C/C(=O)NC1(C#N)CCSCC1)C[NH+]1CCOCC1 ZINC000901207875 709968727 /nfs/dbraw/zinc/96/87/27/709968727.db2.gz ORFSBNSAEQMOOL-RAXLEYEMSA-N 1 2 309.435 1.170 20 30 DDEDLO CN(C)C(=O)[C@H]([NH2+]CC#C[C@@H]1CCCCO1)c1ccccc1 ZINC000901699104 710123519 /nfs/dbraw/zinc/12/35/19/710123519.db2.gz FYPNQRJVDIMZLS-DLBZAZTESA-N 1 2 300.402 1.978 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(F)cc2C)C1 ZINC000891605332 710246212 /nfs/dbraw/zinc/24/62/12/710246212.db2.gz DDVHUUJCSJWOIY-AWEZNQCLSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2ccc(F)cc2C)C1 ZINC000891605332 710246214 /nfs/dbraw/zinc/24/62/14/710246214.db2.gz DDVHUUJCSJWOIY-AWEZNQCLSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccccc2Cl)C1 ZINC000891605288 710246235 /nfs/dbraw/zinc/24/62/35/710246235.db2.gz BKQXGSJDNAUURZ-GFCCVEGCSA-N 1 2 319.792 1.492 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccccc2Cl)C1 ZINC000891605288 710246237 /nfs/dbraw/zinc/24/62/37/710246237.db2.gz BKQXGSJDNAUURZ-GFCCVEGCSA-N 1 2 319.792 1.492 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccccc2CC)C1 ZINC000891605784 710246603 /nfs/dbraw/zinc/24/66/03/710246603.db2.gz IKEWAFCASFMFCP-OAHLLOKOSA-N 1 2 313.401 1.401 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccccc2CC)C1 ZINC000891605784 710246604 /nfs/dbraw/zinc/24/66/04/710246604.db2.gz IKEWAFCASFMFCP-OAHLLOKOSA-N 1 2 313.401 1.401 20 30 DDEDLO Cn1cc(C[NH+]2CCN(Cc3csc(C#N)c3)CC2)cn1 ZINC000891911439 710325491 /nfs/dbraw/zinc/32/54/91/710325491.db2.gz SDCATQUMLJOYQD-UHFFFAOYSA-N 1 2 301.419 1.671 20 30 DDEDLO C=CCCC[C@H](NC(=O)C(C)(C)n1c[nH+]c(C)c1)C(=O)OC ZINC000928327477 713176999 /nfs/dbraw/zinc/17/69/99/713176999.db2.gz OMVAWAODINBBDZ-ZDUSSCGKSA-N 1 2 307.394 1.941 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@H](c3ccc(F)cc3)CC2=O)CC1 ZINC000902041719 710592360 /nfs/dbraw/zinc/59/23/60/710592360.db2.gz OATWAWSUSKXNTD-MRXNPFEDSA-N 1 2 315.392 1.350 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)CCOCC1 ZINC000913458582 713225018 /nfs/dbraw/zinc/22/50/18/713225018.db2.gz QOGNFFFZVSAHHX-CQSZACIVSA-N 1 2 304.394 1.256 20 30 DDEDLO CN(c1cccc(C#N)c1)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC000912255174 711248516 /nfs/dbraw/zinc/24/85/16/711248516.db2.gz IAYWKJXCTSAMHM-INIZCTEOSA-N 1 2 309.373 1.492 20 30 DDEDLO CN(C[C@@H]1C[N@H+](C)CCO1)C(=O)C(F)(F)c1ccccc1C#N ZINC000913506312 713240534 /nfs/dbraw/zinc/24/05/34/713240534.db2.gz DXRHUGRVROXONF-ZDUSSCGKSA-N 1 2 323.343 1.439 20 30 DDEDLO CN(C[C@@H]1C[N@@H+](C)CCO1)C(=O)C(F)(F)c1ccccc1C#N ZINC000913506312 713240535 /nfs/dbraw/zinc/24/05/35/713240535.db2.gz DXRHUGRVROXONF-ZDUSSCGKSA-N 1 2 323.343 1.439 20 30 DDEDLO CCOc1c(C[NH2+][C@@H]2CCCN(O)C2=O)c(C)nn1CC(C)C ZINC000895164792 711439112 /nfs/dbraw/zinc/43/91/12/711439112.db2.gz GZBQDDNAXLWALE-CQSZACIVSA-N 1 2 324.425 1.716 20 30 DDEDLO C#CCN1CCC[C@H]([NH2+]Cc2ncc(Br)cc2O)C1 ZINC000896042791 711667896 /nfs/dbraw/zinc/66/78/96/711667896.db2.gz GWPMXBXQHXWFSA-LBPRGKRZSA-N 1 2 324.222 1.737 20 30 DDEDLO C#CC[N@H+](CN1C[C@@H](NC(=O)OC(C)(C)C)CC1=O)C(C)C ZINC000905750129 712138648 /nfs/dbraw/zinc/13/86/48/712138648.db2.gz SQLDYQMMZSODTB-ZDUSSCGKSA-N 1 2 309.410 1.413 20 30 DDEDLO C#CC[N@@H+](CN1C[C@@H](NC(=O)OC(C)(C)C)CC1=O)C(C)C ZINC000905750129 712138649 /nfs/dbraw/zinc/13/86/49/712138649.db2.gz SQLDYQMMZSODTB-ZDUSSCGKSA-N 1 2 309.410 1.413 20 30 DDEDLO Cc1cc(C(=O)N[C@@H](C)[C@H]2CN(C)CC[N@@H+]2C)cc(C)c1C#N ZINC000906777314 712409740 /nfs/dbraw/zinc/40/97/40/712409740.db2.gz IUIJJEYABZOUKD-WMLDXEAASA-N 1 2 314.433 1.539 20 30 DDEDLO Cc1cc(C(=O)N[C@@H](C)[C@H]2CN(C)CC[N@H+]2C)cc(C)c1C#N ZINC000906777314 712409741 /nfs/dbraw/zinc/40/97/41/712409741.db2.gz IUIJJEYABZOUKD-WMLDXEAASA-N 1 2 314.433 1.539 20 30 DDEDLO C#CC[C@H](CO)NC(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000913994069 713329521 /nfs/dbraw/zinc/32/95/21/713329521.db2.gz TZCVIYHQCMSIIS-OAHLLOKOSA-N 1 2 316.405 1.255 20 30 DDEDLO C#CCC1(NC(=O)N[C@H](C)C[NH+]2CCOCC2)CCCCC1 ZINC000914084624 713340959 /nfs/dbraw/zinc/34/09/59/713340959.db2.gz LDDYWSSJGQBNJJ-OAHLLOKOSA-N 1 2 307.438 1.733 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000908649556 712828749 /nfs/dbraw/zinc/82/87/49/712828749.db2.gz AFNOCGMBJQWFIK-UKRRQHHQSA-N 1 2 301.390 1.972 20 30 DDEDLO CC(C)C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1 ZINC000922025277 713940722 /nfs/dbraw/zinc/94/07/22/713940722.db2.gz FOVJRURDXWNQHF-OAHLLOKOSA-N 1 2 321.446 1.909 20 30 DDEDLO CC(C)C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1 ZINC000922025277 713940723 /nfs/dbraw/zinc/94/07/23/713940723.db2.gz FOVJRURDXWNQHF-OAHLLOKOSA-N 1 2 321.446 1.909 20 30 DDEDLO C=CCCn1cc(CN[C@H]2CCC[N@@H+]3CCSC[C@H]23)nn1 ZINC000922522764 714077918 /nfs/dbraw/zinc/07/79/18/714077918.db2.gz FLMINYDGPWACQS-LSDHHAIUSA-N 1 2 307.467 1.524 20 30 DDEDLO C=CCCn1cc(CN[C@H]2CCC[N@H+]3CCSC[C@H]23)nn1 ZINC000922522764 714077920 /nfs/dbraw/zinc/07/79/20/714077920.db2.gz FLMINYDGPWACQS-LSDHHAIUSA-N 1 2 307.467 1.524 20 30 DDEDLO COC(=O)/C=C(\C)C[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000931607351 714137347 /nfs/dbraw/zinc/13/73/47/714137347.db2.gz JJVCBMJLXCMGRP-UKTHLTGXSA-N 1 2 300.362 1.195 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1sccc1C#N ZINC000931830707 714193005 /nfs/dbraw/zinc/19/30/05/714193005.db2.gz BOGIXSSUXKJFRH-LBPRGKRZSA-N 1 2 308.407 1.852 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1sccc1C#N ZINC000931830707 714193007 /nfs/dbraw/zinc/19/30/07/714193007.db2.gz BOGIXSSUXKJFRH-LBPRGKRZSA-N 1 2 308.407 1.852 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(CC#N)ccn1 ZINC000932163825 714275797 /nfs/dbraw/zinc/27/57/97/714275797.db2.gz NQILRFLNLOAUMS-AWEZNQCLSA-N 1 2 317.393 1.380 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[NH2+][C@H](c1ncccn1)C1CC1 ZINC000932363826 714318254 /nfs/dbraw/zinc/31/82/54/714318254.db2.gz KSZIMJMBSYRLGH-RVSPLBMKSA-N 1 2 315.421 1.960 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)c2ccc3c(c2)CCC3)C1 ZINC000923586181 714418007 /nfs/dbraw/zinc/41/80/07/714418007.db2.gz ZDZVGXKYZADXSX-QGZVFWFLSA-N 1 2 310.397 1.572 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)c2ccc3c(c2)CCC3)C1 ZINC000923586181 714418009 /nfs/dbraw/zinc/41/80/09/714418009.db2.gz ZDZVGXKYZADXSX-QGZVFWFLSA-N 1 2 310.397 1.572 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)N[C@@H](CC#N)C(F)(F)F)C1 ZINC000932912082 714435991 /nfs/dbraw/zinc/43/59/91/714435991.db2.gz WMCOATWLOSYNLP-ZJUUUORDSA-N 1 2 315.299 1.764 20 30 DDEDLO N#CC1(COC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)CCC1 ZINC000923773365 714467913 /nfs/dbraw/zinc/46/79/13/714467913.db2.gz KLPNLNNWBIZKRS-KGLIPLIRSA-N 1 2 308.378 1.103 20 30 DDEDLO O=C(NCC#CCO)N[C@@H]1c2ccccc2CC[C@H]1n1cc[nH+]c1 ZINC000923775262 714468212 /nfs/dbraw/zinc/46/82/12/714468212.db2.gz DSVPJFRPXTWFCP-IAGOWNOFSA-N 1 2 324.384 1.407 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](C[C@@H]3C[C@H]4COC[C@H]4O3)CCO2)cc1 ZINC000933632620 714635040 /nfs/dbraw/zinc/63/50/40/714635040.db2.gz SFRHYYZLDCOOBW-XLAORIBOSA-N 1 2 314.385 1.735 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](C[C@@H]3C[C@H]4COC[C@H]4O3)CCO2)cc1 ZINC000933632620 714635041 /nfs/dbraw/zinc/63/50/41/714635041.db2.gz SFRHYYZLDCOOBW-XLAORIBOSA-N 1 2 314.385 1.735 20 30 DDEDLO CC(C)NC(=O)NC(=O)[C@@H](C)[N@H+](C)Cc1cc(C#N)cs1 ZINC000933775916 714669517 /nfs/dbraw/zinc/66/95/17/714669517.db2.gz OWTQSTCZOYLFEH-SNVBAGLBSA-N 1 2 308.407 1.674 20 30 DDEDLO CC(C)NC(=O)NC(=O)[C@@H](C)[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933775916 714669518 /nfs/dbraw/zinc/66/95/18/714669518.db2.gz OWTQSTCZOYLFEH-SNVBAGLBSA-N 1 2 308.407 1.674 20 30 DDEDLO C=C(Cl)C[C@H]1NC(=O)N(CC[N@H+]2CCOCC2(C)C)C1=O ZINC000925295731 714797333 /nfs/dbraw/zinc/79/73/33/714797333.db2.gz RKAJANOIDOBCIP-LLVKDONJSA-N 1 2 315.801 1.160 20 30 DDEDLO C=C(Cl)C[C@H]1NC(=O)N(CC[N@@H+]2CCOCC2(C)C)C1=O ZINC000925295731 714797334 /nfs/dbraw/zinc/79/73/34/714797334.db2.gz RKAJANOIDOBCIP-LLVKDONJSA-N 1 2 315.801 1.160 20 30 DDEDLO COc1ccc([C@@H]2CCC[N@@H+]2CC(=O)NC2(C#N)CCC2)nc1 ZINC000934344019 714800204 /nfs/dbraw/zinc/80/02/04/714800204.db2.gz SXXWYSYOXLNRFU-HNNXBMFYSA-N 1 2 314.389 1.790 20 30 DDEDLO COc1ccc([C@@H]2CCC[N@H+]2CC(=O)NC2(C#N)CCC2)nc1 ZINC000934344019 714800206 /nfs/dbraw/zinc/80/02/06/714800206.db2.gz SXXWYSYOXLNRFU-HNNXBMFYSA-N 1 2 314.389 1.790 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@@H]1c1ccc(OC)cn1 ZINC000934346908 714800864 /nfs/dbraw/zinc/80/08/64/714800864.db2.gz MPEPIDYHYXXVBB-CQSZACIVSA-N 1 2 318.377 1.239 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@@H]1c1ccc(OC)cn1 ZINC000934346908 714800865 /nfs/dbraw/zinc/80/08/65/714800865.db2.gz MPEPIDYHYXXVBB-CQSZACIVSA-N 1 2 318.377 1.239 20 30 DDEDLO C#CC[C@@H]1NC(=O)N([C@H]2CC[C@H](Nc3cccc[nH+]3)CC2)C1=O ZINC000925371717 714833317 /nfs/dbraw/zinc/83/33/17/714833317.db2.gz GZBZRZUYGMYFEQ-IHRRRGAJSA-N 1 2 312.373 1.748 20 30 DDEDLO C#Cc1ccc(NC(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)cc1 ZINC000934933540 714932566 /nfs/dbraw/zinc/93/25/66/714932566.db2.gz FRLQFYLJSCVYPQ-UONOGXRCSA-N 1 2 301.390 1.899 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2nc3ccccn3c2F)CC1 ZINC000957065553 715731081 /nfs/dbraw/zinc/73/10/81/715731081.db2.gz WYOYYGFYYJWFSW-UHFFFAOYSA-N 1 2 302.353 1.807 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC000938221910 715762659 /nfs/dbraw/zinc/76/26/59/715762659.db2.gz PVVMLCKGUXWNKO-PWSUYJOCSA-N 1 2 311.345 1.053 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cc3c(cn2)OCCC3)CC1 ZINC000957487347 715924362 /nfs/dbraw/zinc/92/43/62/715924362.db2.gz JKRCIGNNLIBRFN-UHFFFAOYSA-N 1 2 301.390 1.741 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2Oc3ccccc3O[C@@H]2C)CC1 ZINC000957622120 715971488 /nfs/dbraw/zinc/97/14/88/715971488.db2.gz ZMFLFOGWQKILAZ-CZUORRHYSA-N 1 2 302.374 1.545 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C2CC2)c1 ZINC000958023077 716281856 /nfs/dbraw/zinc/28/18/56/716281856.db2.gz KPUIKRGXHZWHIJ-VQFNDLOPSA-N 1 2 323.396 1.063 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C2CC2)c1 ZINC000958023077 716281858 /nfs/dbraw/zinc/28/18/58/716281858.db2.gz KPUIKRGXHZWHIJ-VQFNDLOPSA-N 1 2 323.396 1.063 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2ccc(OC)o2)C1 ZINC000958522322 716609397 /nfs/dbraw/zinc/60/93/97/716609397.db2.gz ORNQMMPKQQOYPQ-MNOVXSKESA-N 1 2 314.769 1.063 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2ccc(OC)o2)C1 ZINC000958522322 716609398 /nfs/dbraw/zinc/60/93/98/716609398.db2.gz ORNQMMPKQQOYPQ-MNOVXSKESA-N 1 2 314.769 1.063 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000940846566 716989228 /nfs/dbraw/zinc/98/92/28/716989228.db2.gz BVFXTJOQDXFHNL-ZFWWWQNUSA-N 1 2 315.421 1.186 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3C(C)(C)C3(C)C)CC2)C1 ZINC000941363191 717161987 /nfs/dbraw/zinc/16/19/87/717161987.db2.gz PAILIXFFHYXJJZ-UHFFFAOYSA-N 1 2 317.477 1.520 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cccc(C)c3F)CC2)C1 ZINC000941413869 717172976 /nfs/dbraw/zinc/17/29/76/717172976.db2.gz HWHHUWSBNFZEOQ-UHFFFAOYSA-N 1 2 315.392 1.209 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C3CCC=CCC3)CC2)C1 ZINC000941670186 717249085 /nfs/dbraw/zinc/24/90/85/717249085.db2.gz HXGWDUPETOOMMO-UHFFFAOYSA-N 1 2 315.461 1.585 20 30 DDEDLO C[C@H]1C[N@H+](CC#Cc2ccccc2)CC[C@H]1NC(=O)c1cnn[nH]1 ZINC000942214926 717553723 /nfs/dbraw/zinc/55/37/23/717553723.db2.gz BJQARIRJGNTEQH-GOEBONIOSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@H]1NC(=O)c1cnn[nH]1 ZINC000942214926 717553727 /nfs/dbraw/zinc/55/37/27/717553727.db2.gz BJQARIRJGNTEQH-GOEBONIOSA-N 1 2 323.400 1.297 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2c(C)cnn2C)C1 ZINC000967894142 719075965 /nfs/dbraw/zinc/07/59/65/719075965.db2.gz HOZBAORJNHMYGC-MFKMUULPSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2c(C)cnn2C)C1 ZINC000967894142 719075967 /nfs/dbraw/zinc/07/59/67/719075967.db2.gz HOZBAORJNHMYGC-MFKMUULPSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn(C)ccc2=O)C[C@@H]1C ZINC000947536073 719201457 /nfs/dbraw/zinc/20/14/57/719201457.db2.gz DKSQEGMUFJUGMD-STQMWFEESA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn(C)ccc2=O)C[C@@H]1C ZINC000947536073 719201459 /nfs/dbraw/zinc/20/14/59/719201459.db2.gz DKSQEGMUFJUGMD-STQMWFEESA-N 1 2 323.824 1.721 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)[C@@H](C)C2)cn1 ZINC000947947905 719315639 /nfs/dbraw/zinc/31/56/39/719315639.db2.gz KKSZQABONJLAJL-BBRMVZONSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)[C@@H](C)C2)cn1 ZINC000947947905 719315643 /nfs/dbraw/zinc/31/56/43/719315643.db2.gz KKSZQABONJLAJL-BBRMVZONSA-N 1 2 324.384 1.834 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1)c1csnn1 ZINC000968344116 719521384 /nfs/dbraw/zinc/52/13/84/719521384.db2.gz NEFBCUYAFSFCKS-GXSJLCMTSA-N 1 2 316.390 1.303 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnc(OC)nc2)C1 ZINC000968501461 719626998 /nfs/dbraw/zinc/62/69/98/719626998.db2.gz VOBVXXICULVUJS-MFKMUULPSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnc(OC)nc2)C1 ZINC000968501461 719627003 /nfs/dbraw/zinc/62/70/03/719627003.db2.gz VOBVXXICULVUJS-MFKMUULPSA-N 1 2 324.812 1.678 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn(C)nc1C ZINC000948899377 719773858 /nfs/dbraw/zinc/77/38/58/719773858.db2.gz VSHRJFXNECHSDO-SFHVURJKSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cn(C)nc1C ZINC000948899377 719773860 /nfs/dbraw/zinc/77/38/60/719773860.db2.gz VSHRJFXNECHSDO-SFHVURJKSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cccs3)CC2)C1 ZINC000949285452 719994196 /nfs/dbraw/zinc/99/41/96/719994196.db2.gz FQNANMMQJBEINS-UHFFFAOYSA-N 1 2 304.415 1.688 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cccs3)CC2)C1 ZINC000949285452 719994198 /nfs/dbraw/zinc/99/41/98/719994198.db2.gz FQNANMMQJBEINS-UHFFFAOYSA-N 1 2 304.415 1.688 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3Cc4ccccc43)CC2)C1 ZINC000949454339 720098353 /nfs/dbraw/zinc/09/83/53/720098353.db2.gz HVVPHQPEKIARLG-SFHVURJKSA-N 1 2 324.424 1.653 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3Cc4ccccc43)CC2)C1 ZINC000949454339 720098359 /nfs/dbraw/zinc/09/83/59/720098359.db2.gz HVVPHQPEKIARLG-SFHVURJKSA-N 1 2 324.424 1.653 20 30 DDEDLO C=C(C)CC[NH+]1CCN(C(=O)C[C@@H]2CCCS2(=O)=O)CC1 ZINC000949494450 720122041 /nfs/dbraw/zinc/12/20/41/720122041.db2.gz OZDDJIURDRRERO-AWEZNQCLSA-N 1 2 314.451 1.064 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccnc(OC)c2)C1 ZINC000970026344 720603029 /nfs/dbraw/zinc/60/30/29/720603029.db2.gz FLRXDGDNKBTVIR-LLVKDONJSA-N 1 2 309.797 1.893 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3[nH]c(C)nc3c2)C1 ZINC000950486496 720654066 /nfs/dbraw/zinc/65/40/66/720654066.db2.gz DKYXTQQCRRBGQJ-UHFFFAOYSA-N 1 2 311.389 1.436 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC000970423915 720757154 /nfs/dbraw/zinc/75/71/54/720757154.db2.gz OUUQGEFPCHQLQC-TZMCWYRMSA-N 1 2 319.836 1.985 20 30 DDEDLO CC#CC[NH+]1CC([C@H](C)NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC000970867467 720963699 /nfs/dbraw/zinc/96/36/99/720963699.db2.gz ACQTZRKCPKNCBZ-ZDUSSCGKSA-N 1 2 314.433 1.752 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnc(Cl)s2)C1 ZINC000971216988 721183926 /nfs/dbraw/zinc/18/39/26/721183926.db2.gz AJYDCVKPSSSSHJ-VHSXEESVSA-N 1 2 312.826 1.989 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnc(Cl)s2)C1 ZINC000971216988 721183929 /nfs/dbraw/zinc/18/39/29/721183929.db2.gz AJYDCVKPSSSSHJ-VHSXEESVSA-N 1 2 312.826 1.989 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2ccncc2Cl)C1 ZINC000971217913 721185390 /nfs/dbraw/zinc/18/53/90/721185390.db2.gz HNUBWTLNKMOWRB-WCQYABFASA-N 1 2 306.797 1.927 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccncc2Cl)C1 ZINC000971217913 721185393 /nfs/dbraw/zinc/18/53/93/721185393.db2.gz HNUBWTLNKMOWRB-WCQYABFASA-N 1 2 306.797 1.927 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)co1 ZINC000971288982 721220689 /nfs/dbraw/zinc/22/06/89/721220689.db2.gz MYPXPTKYGOLRFY-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)co1 ZINC000971288982 721220691 /nfs/dbraw/zinc/22/06/91/721220691.db2.gz MYPXPTKYGOLRFY-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971504365 721326101 /nfs/dbraw/zinc/32/61/01/721326101.db2.gz KYKNMGKRGNBYSH-FZMZJTMJSA-N 1 2 302.378 1.385 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971504365 721326104 /nfs/dbraw/zinc/32/61/04/721326104.db2.gz KYKNMGKRGNBYSH-FZMZJTMJSA-N 1 2 302.378 1.385 20 30 DDEDLO C=CC[NH+]1CCN(c2nc(Cl)ncc2C(=O)OCC)CC1 ZINC001165033444 721863599 /nfs/dbraw/zinc/86/35/99/721863599.db2.gz PGHAYQUOPJXDQQ-UHFFFAOYSA-N 1 2 310.785 1.615 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCCN(C(=O)CCc2nnc[nH]2)C1 ZINC001027359125 738232129 /nfs/dbraw/zinc/23/21/29/738232129.db2.gz RNBQBAHCJMBUNU-LBPRGKRZSA-N 1 2 311.817 1.413 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCCN(C(=O)CCc2nnc[nH]2)C1 ZINC001027359125 738232133 /nfs/dbraw/zinc/23/21/33/738232133.db2.gz RNBQBAHCJMBUNU-LBPRGKRZSA-N 1 2 311.817 1.413 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)[C@H]2CCCCO2)c1 ZINC001038148240 738610579 /nfs/dbraw/zinc/61/05/79/738610579.db2.gz BZMSZVDXAKZBSI-IAGOWNOFSA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)[C@H]2CCCCO2)c1 ZINC001038148240 738610585 /nfs/dbraw/zinc/61/05/85/738610585.db2.gz BZMSZVDXAKZBSI-IAGOWNOFSA-N 1 2 313.401 1.818 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCN(C)c2ccnc(C#N)n2)c(C)[nH+]1 ZINC001100418045 732635377 /nfs/dbraw/zinc/63/53/77/732635377.db2.gz XNFSZLWGCKXKQE-UHFFFAOYSA-N 1 2 324.388 1.535 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cncnc3C)[C@@H]2C1 ZINC001075579804 738651413 /nfs/dbraw/zinc/65/14/13/738651413.db2.gz QWWVRLGKTABJAI-GXTWGEPZSA-N 1 2 306.797 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cncnc3C)[C@@H]2C1 ZINC001075579804 738651416 /nfs/dbraw/zinc/65/14/16/738651416.db2.gz QWWVRLGKTABJAI-GXTWGEPZSA-N 1 2 306.797 1.684 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)co1 ZINC001027831096 738707639 /nfs/dbraw/zinc/70/76/39/738707639.db2.gz MEVMWCARIBRDHO-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(C[N@H+]2CCC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)co1 ZINC001027831096 738707642 /nfs/dbraw/zinc/70/76/42/738707642.db2.gz MEVMWCARIBRDHO-CQSZACIVSA-N 1 2 313.361 1.577 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C(C)=C/CC)C2)nn1 ZINC001098630599 738728532 /nfs/dbraw/zinc/72/85/32/738728532.db2.gz UHNOHSBTOQWXHT-KAMPRCONSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001022355589 734504349 /nfs/dbraw/zinc/50/43/49/734504349.db2.gz SMGAZQLNEVLJTN-PHIMTYICSA-N 1 2 308.813 1.891 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CCN(c3cc[nH+]c(C)n3)C2)c1 ZINC001058539663 734721788 /nfs/dbraw/zinc/72/17/88/734721788.db2.gz ISGSSPGOXRKDFE-HNNXBMFYSA-N 1 2 307.357 1.170 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](n2cc(C[NH2+]C/C=C/Cl)nn2)C1 ZINC001098633285 738851833 /nfs/dbraw/zinc/85/18/33/738851833.db2.gz KWPIEQFMFONRDZ-GBXSZLQWSA-N 1 2 323.828 1.860 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(C)nn3C)[C@@H]2C1 ZINC001075589146 738866317 /nfs/dbraw/zinc/86/63/17/738866317.db2.gz UOQPSGUXTRRWSD-GXTWGEPZSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(C)nn3C)[C@@H]2C1 ZINC001075589146 738866320 /nfs/dbraw/zinc/86/63/20/738866320.db2.gz UOQPSGUXTRRWSD-GXTWGEPZSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCCNC1=O ZINC001024491869 735868811 /nfs/dbraw/zinc/86/88/11/735868811.db2.gz HCCZHUAGFVXJLZ-QWHCGFSZSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCCNC1=O ZINC001024491869 735868815 /nfs/dbraw/zinc/86/88/15/735868815.db2.gz HCCZHUAGFVXJLZ-QWHCGFSZSA-N 1 2 313.829 1.236 20 30 DDEDLO N#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001024646501 736001949 /nfs/dbraw/zinc/00/19/49/736001949.db2.gz CMQPNRIHRCGUGU-OLZOCXBDSA-N 1 2 301.394 1.324 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1ccc(=O)n(C)n1 ZINC001024791260 736094310 /nfs/dbraw/zinc/09/43/10/736094310.db2.gz WMPOLCROMOWZES-LBPRGKRZSA-N 1 2 324.812 1.117 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1ccc(=O)n(C)n1 ZINC001024791260 736094312 /nfs/dbraw/zinc/09/43/12/736094312.db2.gz WMPOLCROMOWZES-LBPRGKRZSA-N 1 2 324.812 1.117 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+][C@H](C)c1nc(CCCC)no1 ZINC001126382755 739662153 /nfs/dbraw/zinc/66/21/53/739662153.db2.gz BGGAIRPTGRTPJA-WBMJQRKESA-N 1 2 324.425 1.506 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cc[n+]([O-])cc2)cc1 ZINC001038046704 736263972 /nfs/dbraw/zinc/26/39/72/736263972.db2.gz ZSPILFUHWPJZPD-QGZVFWFLSA-N 1 2 322.368 1.196 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cc[n+]([O-])cc2)cc1 ZINC001038046704 736263975 /nfs/dbraw/zinc/26/39/75/736263975.db2.gz ZSPILFUHWPJZPD-QGZVFWFLSA-N 1 2 322.368 1.196 20 30 DDEDLO N#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001025305152 736417714 /nfs/dbraw/zinc/41/77/14/736417714.db2.gz UFWYDQMLDUOCPC-GJZGRUSLSA-N 1 2 301.394 1.252 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CCC[C@@H](C2)[N@H+]3[C@@H](CC)C(N)=O)C1 ZINC001039905974 736742651 /nfs/dbraw/zinc/74/26/51/736742651.db2.gz CUICSFGSUYRNOJ-ZNMIVQPWSA-N 1 2 319.449 1.672 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CCC[C@@H](C2)[N@@H+]3[C@@H](CC)C(N)=O)C1 ZINC001039905974 736742652 /nfs/dbraw/zinc/74/26/52/736742652.db2.gz CUICSFGSUYRNOJ-ZNMIVQPWSA-N 1 2 319.449 1.672 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H]2[C@@H]3COC[C@@H]32)c1 ZINC001032575577 751376667 /nfs/dbraw/zinc/37/66/67/751376667.db2.gz NHUPVYJFKQMDDE-NNPSNHGLSA-N 1 2 323.396 1.236 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H]2[C@@H]3COC[C@@H]32)c1 ZINC001032575577 751376674 /nfs/dbraw/zinc/37/66/74/751376674.db2.gz NHUPVYJFKQMDDE-NNPSNHGLSA-N 1 2 323.396 1.236 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2CCC)C1 ZINC001107974690 751382684 /nfs/dbraw/zinc/38/26/84/751382684.db2.gz YMACPHQQNJZPJV-INIZCTEOSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2CCC)C1 ZINC001107974690 751382690 /nfs/dbraw/zinc/38/26/90/751382690.db2.gz YMACPHQQNJZPJV-INIZCTEOSA-N 1 2 306.410 1.300 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C(C)=C3CCC3)C2)nn1 ZINC001105233299 737639813 /nfs/dbraw/zinc/63/98/13/737639813.db2.gz SDHNHAYGTVGPET-UHFFFAOYSA-N 1 2 313.405 1.275 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)C(C)(C)C)C2)nn1 ZINC001105270904 737699318 /nfs/dbraw/zinc/69/93/18/737699318.db2.gz PMZGTLPXVRDPMV-CYBMUJFWSA-N 1 2 317.437 1.457 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(n2cc(C[NH2+]C[C@H](F)CC)nn2)C1 ZINC001105297216 737762036 /nfs/dbraw/zinc/76/20/36/737762036.db2.gz JUCZAQALMCXRGC-GFCCVEGCSA-N 1 2 323.416 1.711 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001028137469 739078798 /nfs/dbraw/zinc/07/87/98/739078798.db2.gz KNTADSUSOUBNLM-SNVBAGLBSA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001028137469 739078801 /nfs/dbraw/zinc/07/88/01/739078801.db2.gz KNTADSUSOUBNLM-SNVBAGLBSA-N 1 2 310.785 1.060 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001126334086 739160127 /nfs/dbraw/zinc/16/01/27/739160127.db2.gz OELHEEZHCMNFLX-UHFFFAOYSA-N 1 2 302.334 1.339 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H]2CCN(C(=O)c3cocn3)[C@@H]2C1 ZINC001075613364 739176578 /nfs/dbraw/zinc/17/65/78/739176578.db2.gz AUKNHMFPDSQWRA-DOTOQJQBSA-N 1 2 322.368 1.893 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H]2CCN(C(=O)c3cocn3)[C@@H]2C1 ZINC001075613364 739176581 /nfs/dbraw/zinc/17/65/81/739176581.db2.gz AUKNHMFPDSQWRA-DOTOQJQBSA-N 1 2 322.368 1.893 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@@H]2CCN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001075616266 739188639 /nfs/dbraw/zinc/18/86/39/739188639.db2.gz NSROWAWOPDZNQJ-ADEWGFFLSA-N 1 2 305.407 1.039 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@@H]2CCN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001075616266 739188641 /nfs/dbraw/zinc/18/86/41/739188641.db2.gz NSROWAWOPDZNQJ-ADEWGFFLSA-N 1 2 305.407 1.039 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H]1CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001028237086 739209509 /nfs/dbraw/zinc/20/95/09/739209509.db2.gz PUSUJXRWJGBJLW-KBPBESRZSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001028237086 739209512 /nfs/dbraw/zinc/20/95/12/739209512.db2.gz PUSUJXRWJGBJLW-KBPBESRZSA-N 1 2 314.433 1.752 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1nnc(C(F)F)s1 ZINC001126338902 739210030 /nfs/dbraw/zinc/21/00/30/739210030.db2.gz MXXPCEJRSBOLCE-UHFFFAOYSA-N 1 2 302.350 1.485 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2c(C3CCC3)cnn2C)C1 ZINC001035374363 751438299 /nfs/dbraw/zinc/43/82/99/751438299.db2.gz ZOPXNRJLIAYQMN-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001035372521 751437275 /nfs/dbraw/zinc/43/72/75/751437275.db2.gz OOPPGWMCXRFGER-YESZJQIVSA-N 1 2 315.417 1.578 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001035372521 751437276 /nfs/dbraw/zinc/43/72/76/751437276.db2.gz OOPPGWMCXRFGER-YESZJQIVSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C3CCC3)cnn2C)C1 ZINC001035374363 751438298 /nfs/dbraw/zinc/43/82/98/751438298.db2.gz ZOPXNRJLIAYQMN-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+](Cc3nnc(C)o3)C2)cc1 ZINC001028730788 739969056 /nfs/dbraw/zinc/96/90/56/739969056.db2.gz QRLMZVURZSLCTC-OAHLLOKOSA-N 1 2 324.384 1.611 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+](Cc3nnc(C)o3)C2)cc1 ZINC001028730788 739969059 /nfs/dbraw/zinc/96/90/59/739969059.db2.gz QRLMZVURZSLCTC-OAHLLOKOSA-N 1 2 324.384 1.611 20 30 DDEDLO C#CC[N@H+]1CC[C@H](CNC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001028907472 740163165 /nfs/dbraw/zinc/16/31/65/740163165.db2.gz HESLOHKBLUWPHJ-CYBMUJFWSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](CNC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001028907472 740163168 /nfs/dbraw/zinc/16/31/68/740163168.db2.gz HESLOHKBLUWPHJ-CYBMUJFWSA-N 1 2 309.373 1.157 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2nc(C)c(C)nc2C)C1 ZINC001035441690 751518666 /nfs/dbraw/zinc/51/86/66/751518666.db2.gz JXNOHCOUVLCUHG-CQSZACIVSA-N 1 2 304.394 1.018 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2nc(C)c(C)nc2C)C1 ZINC001035441690 751518669 /nfs/dbraw/zinc/51/86/69/751518669.db2.gz JXNOHCOUVLCUHG-CQSZACIVSA-N 1 2 304.394 1.018 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc(C#N)c(F)c1 ZINC001029177177 740409578 /nfs/dbraw/zinc/40/95/78/740409578.db2.gz BCDXQNDOJLODSS-GASCZTMLSA-N 1 2 317.364 1.519 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(C#N)c(F)c1 ZINC001029177177 740409579 /nfs/dbraw/zinc/40/95/79/740409579.db2.gz BCDXQNDOJLODSS-GASCZTMLSA-N 1 2 317.364 1.519 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(F)c(F)c2F)C1 ZINC001035487486 751541500 /nfs/dbraw/zinc/54/15/00/751541500.db2.gz AQDPKUYFKCZNAZ-JTQLQIEISA-N 1 2 314.307 1.721 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(F)c(F)c2F)C1 ZINC001035487486 751541503 /nfs/dbraw/zinc/54/15/03/751541503.db2.gz AQDPKUYFKCZNAZ-JTQLQIEISA-N 1 2 314.307 1.721 20 30 DDEDLO CO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#Cc1ccccc1 ZINC001029229706 740471026 /nfs/dbraw/zinc/47/10/26/740471026.db2.gz XWAJLMCIVFDXKM-RYQLBKOJSA-N 1 2 312.413 1.748 20 30 DDEDLO CO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#Cc1ccccc1 ZINC001029229706 740471030 /nfs/dbraw/zinc/47/10/30/740471030.db2.gz XWAJLMCIVFDXKM-RYQLBKOJSA-N 1 2 312.413 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2occ3c2CCC3)C1 ZINC001035461508 751547735 /nfs/dbraw/zinc/54/77/35/751547735.db2.gz PWZOLIWYQZDLFC-CQSZACIVSA-N 1 2 302.374 1.222 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2occ3c2CCC3)C1 ZINC001035461508 751547738 /nfs/dbraw/zinc/54/77/38/751547738.db2.gz PWZOLIWYQZDLFC-CQSZACIVSA-N 1 2 302.374 1.222 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)[C@H]1CC ZINC001087572208 740660681 /nfs/dbraw/zinc/66/06/81/740660681.db2.gz HKRUDXFMPPJQGI-VBNZEHGJSA-N 1 2 300.406 1.432 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)[C@H]1CC ZINC001087572208 740660683 /nfs/dbraw/zinc/66/06/83/740660683.db2.gz HKRUDXFMPPJQGI-VBNZEHGJSA-N 1 2 300.406 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3ccn[nH]3)C[C@@H]2C1 ZINC001087917106 740853817 /nfs/dbraw/zinc/85/38/17/740853817.db2.gz DBIPTEAYZPCPBW-OLZOCXBDSA-N 1 2 308.813 1.485 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3ccn[nH]3)C[C@@H]2C1 ZINC001087917106 740853819 /nfs/dbraw/zinc/85/38/19/740853819.db2.gz DBIPTEAYZPCPBW-OLZOCXBDSA-N 1 2 308.813 1.485 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C)nc2OC)C1 ZINC001035542711 751589311 /nfs/dbraw/zinc/58/93/11/751589311.db2.gz NZWKRZYCBKXLQE-CYBMUJFWSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C)nc2OC)C1 ZINC001035542711 751589315 /nfs/dbraw/zinc/58/93/15/751589315.db2.gz NZWKRZYCBKXLQE-CYBMUJFWSA-N 1 2 305.378 1.015 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2sc(CC)nc2C)C1 ZINC001035545890 751593264 /nfs/dbraw/zinc/59/32/64/751593264.db2.gz WMEHBPKZUASVOV-LBPRGKRZSA-N 1 2 309.435 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2sc(CC)nc2C)C1 ZINC001035545890 751593270 /nfs/dbraw/zinc/59/32/70/751593270.db2.gz WMEHBPKZUASVOV-LBPRGKRZSA-N 1 2 309.435 1.631 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C3(CCC)CC3)C2)nn1 ZINC001098715785 740970856 /nfs/dbraw/zinc/97/08/56/740970856.db2.gz JVGRCIIOYUHZJV-OAHLLOKOSA-N 1 2 315.421 1.355 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3cc(F)ccc3O2)C1 ZINC001035533344 751615010 /nfs/dbraw/zinc/61/50/10/751615010.db2.gz FVQALYFYFDWXGY-ZBFHGGJFSA-N 1 2 320.364 1.132 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3cc(F)ccc3O2)C1 ZINC001035533344 751615013 /nfs/dbraw/zinc/61/50/13/751615013.db2.gz FVQALYFYFDWXGY-ZBFHGGJFSA-N 1 2 320.364 1.132 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)C1 ZINC001035535311 751617595 /nfs/dbraw/zinc/61/75/95/751617595.db2.gz HOAXKTCWNXZPSP-NRSFXHEJSA-N 1 2 312.413 1.575 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)C1 ZINC001035535311 751617598 /nfs/dbraw/zinc/61/75/98/751617598.db2.gz HOAXKTCWNXZPSP-NRSFXHEJSA-N 1 2 312.413 1.575 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn(CCCC)nc2C)C1 ZINC001035571821 751625312 /nfs/dbraw/zinc/62/53/12/751625312.db2.gz KKIFOGCHULBRMA-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn(CCCC)nc2C)C1 ZINC001035571821 751625314 /nfs/dbraw/zinc/62/53/14/751625314.db2.gz KKIFOGCHULBRMA-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO N#Cc1c(F)cccc1N[C@H]1C[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001059665330 741512741 /nfs/dbraw/zinc/51/27/41/741512741.db2.gz NIKATWHTSQJZKX-TXEJJXNPSA-N 1 2 313.336 1.653 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cn3ccccc3n2)C1 ZINC001035595373 751650663 /nfs/dbraw/zinc/65/06/63/751650663.db2.gz MLWDKKQAAZZBCU-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cn3ccccc3n2)C1 ZINC001035595373 751650671 /nfs/dbraw/zinc/65/06/71/751650671.db2.gz MLWDKKQAAZZBCU-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(NC(C)=O)c2)C1 ZINC001035599048 751656545 /nfs/dbraw/zinc/65/65/45/751656545.db2.gz CYMSTISCQNUPFE-MRXNPFEDSA-N 1 2 317.389 1.262 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc(NC(C)=O)c2)C1 ZINC001035599048 751656549 /nfs/dbraw/zinc/65/65/49/751656549.db2.gz CYMSTISCQNUPFE-MRXNPFEDSA-N 1 2 317.389 1.262 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CCC[N@H+](Cc3ccns3)C2)c1 ZINC001007029140 741665514 /nfs/dbraw/zinc/66/55/14/741665514.db2.gz LHZASELLLQDWMN-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CCC[N@@H+](Cc3ccns3)C2)c1 ZINC001007029140 741665518 /nfs/dbraw/zinc/66/55/18/741665518.db2.gz LHZASELLLQDWMN-LBPRGKRZSA-N 1 2 315.402 1.737 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001075885641 741717165 /nfs/dbraw/zinc/71/71/65/741717165.db2.gz HJUJTRIHFJEQSG-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccccc2OC)C1 ZINC001035582668 751674785 /nfs/dbraw/zinc/67/47/85/751674785.db2.gz ANJLPRJPFDFIMK-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccccc2OC)C1 ZINC001035582668 751674790 /nfs/dbraw/zinc/67/47/90/751674790.db2.gz ANJLPRJPFDFIMK-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098243764 741888402 /nfs/dbraw/zinc/88/84/02/741888402.db2.gz FGYAXSZAELIMND-BDJLRTHQSA-N 1 2 316.409 1.882 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1OC ZINC001211999313 741932905 /nfs/dbraw/zinc/93/29/05/741932905.db2.gz GRUDBAHTHXDYGJ-HUUCEWRRSA-N 1 2 319.430 1.901 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1OC ZINC001211999313 741932909 /nfs/dbraw/zinc/93/29/09/741932909.db2.gz GRUDBAHTHXDYGJ-HUUCEWRRSA-N 1 2 319.430 1.901 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C[C@@H](C)C(C)C)[C@H](OC)C1 ZINC001212016044 741945523 /nfs/dbraw/zinc/94/55/23/741945523.db2.gz WWXLMELXWPIDHU-BZUAXINKSA-N 1 2 310.438 1.134 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C[C@@H](C)C(C)C)[C@H](OC)C1 ZINC001212016044 741945525 /nfs/dbraw/zinc/94/55/25/741945525.db2.gz WWXLMELXWPIDHU-BZUAXINKSA-N 1 2 310.438 1.134 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn3c(n2)COCC3)[C@H]1C ZINC001088662659 742060839 /nfs/dbraw/zinc/06/08/39/742060839.db2.gz RCUZNHCJNOIPPH-NEPJUHHUSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn3c(n2)COCC3)[C@H]1C ZINC001088662659 742060842 /nfs/dbraw/zinc/06/08/42/742060842.db2.gz RCUZNHCJNOIPPH-NEPJUHHUSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCn3cncc3C2)[C@H]1C ZINC001088674849 742069745 /nfs/dbraw/zinc/06/97/45/742069745.db2.gz ZVXKAGIOUMVQON-NFAWXSAZSA-N 1 2 322.840 1.777 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCn3cncc3C2)[C@H]1C ZINC001088674849 742069751 /nfs/dbraw/zinc/06/97/51/742069751.db2.gz ZVXKAGIOUMVQON-NFAWXSAZSA-N 1 2 322.840 1.777 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]c3ccc(F)cc32)C1 ZINC001035628423 751700377 /nfs/dbraw/zinc/70/03/77/751700377.db2.gz UIBBOJPCVGGWHU-CYBMUJFWSA-N 1 2 317.364 1.924 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c[nH]c3ccc(F)cc32)C1 ZINC001035628423 751700380 /nfs/dbraw/zinc/70/03/80/751700380.db2.gz UIBBOJPCVGGWHU-CYBMUJFWSA-N 1 2 317.364 1.924 20 30 DDEDLO Cc1nc(NC[C@H]2CCC[C@H]2NC(=O)CSCC#N)cc[nH+]1 ZINC001105638979 742237814 /nfs/dbraw/zinc/23/78/14/742237814.db2.gz MLVPQZXJMOMAKH-CHWSQXEVSA-N 1 2 319.434 1.739 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001060048526 742279851 /nfs/dbraw/zinc/27/98/51/742279851.db2.gz JVJCJUPODUHEPG-CQSZACIVSA-N 1 2 324.388 1.570 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3ccnc(OC)c3)[C@@H]2C1 ZINC001075978732 742305722 /nfs/dbraw/zinc/30/57/22/742305722.db2.gz XLPVOBYXHVMSSN-UONOGXRCSA-N 1 2 321.808 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccnc(OC)c3)[C@@H]2C1 ZINC001075978732 742305724 /nfs/dbraw/zinc/30/57/24/742305724.db2.gz XLPVOBYXHVMSSN-UONOGXRCSA-N 1 2 321.808 1.989 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H]3CC3(C)C)C2)nn1 ZINC001098658906 742414730 /nfs/dbraw/zinc/41/47/30/742414730.db2.gz KDPCNNBPBOLMIM-HUUCEWRRSA-N 1 2 315.421 1.211 20 30 DDEDLO N#CCN1C[C@@H]2CCN(C(=O)c3ccc(-n4cc[nH+]c4)cc3)[C@@H]2C1 ZINC001076095738 742536548 /nfs/dbraw/zinc/53/65/48/742536548.db2.gz LBNKDSGCKCONSN-DOTOQJQBSA-N 1 2 321.384 1.542 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001076802472 742998450 /nfs/dbraw/zinc/99/84/50/742998450.db2.gz VFROJWPBXAFHBG-BFHYXJOUSA-N 1 2 318.421 1.881 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001076802472 742998454 /nfs/dbraw/zinc/99/84/54/742998454.db2.gz VFROJWPBXAFHBG-BFHYXJOUSA-N 1 2 318.421 1.881 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(C3CC3)nc2C)C1 ZINC001076987330 743152224 /nfs/dbraw/zinc/15/22/24/743152224.db2.gz LTIPNTSUXDGCQI-VXGBXAGGSA-N 1 2 307.419 1.290 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(C3CC3)nc2C)C1 ZINC001076987330 743152228 /nfs/dbraw/zinc/15/22/28/743152228.db2.gz LTIPNTSUXDGCQI-VXGBXAGGSA-N 1 2 307.419 1.290 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCc1cnn2c1C[N@H+](CCC)CC2 ZINC001128244936 743362421 /nfs/dbraw/zinc/36/24/21/743362421.db2.gz BWYPRMONNVBVIO-CQSZACIVSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCc1cnn2c1C[N@@H+](CCC)CC2 ZINC001128244936 743362429 /nfs/dbraw/zinc/36/24/29/743362429.db2.gz BWYPRMONNVBVIO-CQSZACIVSA-N 1 2 320.437 1.706 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@@H](C)[C@](C)(CNCC#N)C2)c[nH+]1 ZINC001181884561 743378533 /nfs/dbraw/zinc/37/85/33/743378533.db2.gz GKYSECGDVSECFI-CXAGYDPISA-N 1 2 317.437 1.524 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001061136020 743385928 /nfs/dbraw/zinc/38/59/28/743385928.db2.gz JXZYNSJXPWTAHN-KGLIPLIRSA-N 1 2 324.388 1.758 20 30 DDEDLO C=CCOCCCC(=O)NCc1cnn2c1C[N@H+](CCC)CC2 ZINC001128284687 743461836 /nfs/dbraw/zinc/46/18/36/743461836.db2.gz TYFXOHCOIGODKB-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C=CCOCCCC(=O)NCc1cnn2c1C[N@@H+](CCC)CC2 ZINC001128284687 743461838 /nfs/dbraw/zinc/46/18/38/743461838.db2.gz TYFXOHCOIGODKB-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)Cc3ccon3)c2C1 ZINC001128290514 743492299 /nfs/dbraw/zinc/49/22/99/743492299.db2.gz OKSYFCTXDKJXMQ-UHFFFAOYSA-N 1 2 315.377 1.122 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)Cc3ccon3)c2C1 ZINC001128290514 743492301 /nfs/dbraw/zinc/49/23/01/743492301.db2.gz OKSYFCTXDKJXMQ-UHFFFAOYSA-N 1 2 315.377 1.122 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc(C3CC3)s1)C2 ZINC001098252101 743548241 /nfs/dbraw/zinc/54/82/41/743548241.db2.gz PPSXVPMTJZGKCT-UPJWGTAASA-N 1 2 301.415 1.989 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc(C3CC3)s1)C2 ZINC001098252101 743548244 /nfs/dbraw/zinc/54/82/44/743548244.db2.gz PPSXVPMTJZGKCT-UPJWGTAASA-N 1 2 301.415 1.989 20 30 DDEDLO CO[C@@H](C)c1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001182372220 743595200 /nfs/dbraw/zinc/59/52/00/743595200.db2.gz LOJFYWZDHNQJBU-QWHCGFSZSA-N 1 2 320.393 1.127 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC001182431777 743616138 /nfs/dbraw/zinc/61/61/38/743616138.db2.gz HUNBUVIEOYMBII-CQSZACIVSA-N 1 2 316.405 1.687 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(Nc2[nH+]cnc3c2cnn3C)CC1 ZINC001110294473 743624580 /nfs/dbraw/zinc/62/45/80/743624580.db2.gz OTNXOYYEXOTQCH-UHFFFAOYSA-N 1 2 314.393 1.636 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105709367 743633878 /nfs/dbraw/zinc/63/38/78/743633878.db2.gz JJONUHRWJAXQRH-UHFFFAOYSA-N 1 2 324.465 1.927 20 30 DDEDLO C#CC(C)(C)C(=O)N1CCCn2c(C[N@@H+](C)C(C)C)nnc2C1 ZINC001182635419 743677664 /nfs/dbraw/zinc/67/76/64/743677664.db2.gz ABIXYGBWZCRRDM-UHFFFAOYSA-N 1 2 317.437 1.510 20 30 DDEDLO C#CC(C)(C)C(=O)N1CCCn2c(C[N@H+](C)C(C)C)nnc2C1 ZINC001182635419 743677666 /nfs/dbraw/zinc/67/76/66/743677666.db2.gz ABIXYGBWZCRRDM-UHFFFAOYSA-N 1 2 317.437 1.510 20 30 DDEDLO C#CCCCCCC(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001105716946 743753836 /nfs/dbraw/zinc/75/38/36/743753836.db2.gz JAWXVFQPUWBNCK-UHFFFAOYSA-N 1 2 322.449 1.254 20 30 DDEDLO N#Cc1ccc(C(=O)NC2C[NH+](Cc3ccc4cc[nH]c4c3)C2)[nH]1 ZINC001030225421 743962886 /nfs/dbraw/zinc/96/28/86/743962886.db2.gz XPQHMHHJRVFKTH-UHFFFAOYSA-N 1 2 319.368 1.982 20 30 DDEDLO C#CC[C@]1(C(=O)NC2C[NH+](C)C2)CCCN1C(=O)OC(C)(C)C ZINC001184101901 743967341 /nfs/dbraw/zinc/96/73/41/743967341.db2.gz FSFLRRCCUMFNOD-QGZVFWFLSA-N 1 2 321.421 1.210 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cncn2C)[C@H]1C ZINC001088867745 744289886 /nfs/dbraw/zinc/28/98/86/744289886.db2.gz OJNYOUGTWCEQMP-SYQHCUMBSA-N 1 2 322.840 1.855 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cncn2C)[C@H]1C ZINC001088867745 744289888 /nfs/dbraw/zinc/28/98/88/744289888.db2.gz OJNYOUGTWCEQMP-SYQHCUMBSA-N 1 2 322.840 1.855 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CCC)C2)nn1 ZINC001185843402 744299262 /nfs/dbraw/zinc/29/92/62/744299262.db2.gz WCFZZRXKSAOZGA-HNNXBMFYSA-N 1 2 303.410 1.355 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)[C@H]1C ZINC001089062677 744481950 /nfs/dbraw/zinc/48/19/50/744481950.db2.gz SMDJBAAQKOXHGE-AGIUHOORSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)[C@H]1C ZINC001089062677 744481952 /nfs/dbraw/zinc/48/19/52/744481952.db2.gz SMDJBAAQKOXHGE-AGIUHOORSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1nonc1C)CC2 ZINC001035829905 751926094 /nfs/dbraw/zinc/92/60/94/751926094.db2.gz INKGJLNWMZLVIC-UHFFFAOYSA-N 1 2 324.812 1.597 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)Cc1cncn1C)CC2 ZINC001035836839 751931839 /nfs/dbraw/zinc/93/18/39/751931839.db2.gz NWVHDJBPRMMSHZ-UHFFFAOYSA-N 1 2 322.840 1.640 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(NC(=O)c3cccc4nc[nH]c43)CCC[C@@H]12 ZINC000992365958 744826047 /nfs/dbraw/zinc/82/60/47/744826047.db2.gz KDZFARHAQDHDKX-CRAIPNDOSA-N 1 2 308.385 1.923 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(NC(=O)c3cccc4nc[nH]c43)CCC[C@@H]12 ZINC000992365958 744826048 /nfs/dbraw/zinc/82/60/48/744826048.db2.gz KDZFARHAQDHDKX-CRAIPNDOSA-N 1 2 308.385 1.923 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H](C)COC)C1 ZINC001189311681 744869330 /nfs/dbraw/zinc/86/93/30/744869330.db2.gz VKPDQALFWYGKFE-JQWIXIFHSA-N 1 2 319.243 1.710 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)[C@@H](C)COC)C1 ZINC001189311681 744869333 /nfs/dbraw/zinc/86/93/33/744869333.db2.gz VKPDQALFWYGKFE-JQWIXIFHSA-N 1 2 319.243 1.710 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001189927442 745040502 /nfs/dbraw/zinc/04/05/02/745040502.db2.gz XWJSVNVVBVIRQR-JSGCOSHPSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001189927442 745040507 /nfs/dbraw/zinc/04/05/07/745040507.db2.gz XWJSVNVVBVIRQR-JSGCOSHPSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001189927444 745040555 /nfs/dbraw/zinc/04/05/55/745040555.db2.gz XWJSVNVVBVIRQR-OCCSQVGLSA-N 1 2 304.394 1.775 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001189927444 745040565 /nfs/dbraw/zinc/04/05/65/745040565.db2.gz XWJSVNVVBVIRQR-OCCSQVGLSA-N 1 2 304.394 1.775 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190053081 745090837 /nfs/dbraw/zinc/09/08/37/745090837.db2.gz DKPNIVMQEDUDHM-TZMCWYRMSA-N 1 2 304.394 1.631 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190053081 745090842 /nfs/dbraw/zinc/09/08/42/745090842.db2.gz DKPNIVMQEDUDHM-TZMCWYRMSA-N 1 2 304.394 1.631 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nocc2C)C1 ZINC001190106273 745102448 /nfs/dbraw/zinc/10/24/48/745102448.db2.gz SSEGVWWMMWSLRI-ZIAGYGMSSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001190106273 745102450 /nfs/dbraw/zinc/10/24/50/745102450.db2.gz SSEGVWWMMWSLRI-ZIAGYGMSSA-N 1 2 305.378 1.054 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3nocc3C)C[C@H]21 ZINC001190449850 745225473 /nfs/dbraw/zinc/22/54/73/745225473.db2.gz HQQDNXYVLWDDBJ-IJEWVQPXSA-N 1 2 319.405 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3nocc3C)C[C@H]21 ZINC001190449850 745225476 /nfs/dbraw/zinc/22/54/76/745225476.db2.gz HQQDNXYVLWDDBJ-IJEWVQPXSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001007098568 752014854 /nfs/dbraw/zinc/01/48/54/752014854.db2.gz IYLONLMFMCOKAX-VXGBXAGGSA-N 1 2 300.786 1.273 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001007098568 752014863 /nfs/dbraw/zinc/01/48/63/752014863.db2.gz IYLONLMFMCOKAX-VXGBXAGGSA-N 1 2 300.786 1.273 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@H]1O ZINC001191854181 745617782 /nfs/dbraw/zinc/61/77/82/745617782.db2.gz JSKJBVFMNHXTHS-LERXQTSPSA-N 1 2 307.781 1.161 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@H]1O ZINC001191854181 745617783 /nfs/dbraw/zinc/61/77/83/745617783.db2.gz JSKJBVFMNHXTHS-LERXQTSPSA-N 1 2 307.781 1.161 20 30 DDEDLO Cn1cnc(C(=O)N[C@@H]2CCC[N@H+](Cc3ccccc3C#N)C2)c1 ZINC001007130278 752029918 /nfs/dbraw/zinc/02/99/18/752029918.db2.gz SEFICMCUTIBXCI-MRXNPFEDSA-N 1 2 323.400 1.686 20 30 DDEDLO Cn1cnc(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001007130278 752029922 /nfs/dbraw/zinc/02/99/22/752029922.db2.gz SEFICMCUTIBXCI-MRXNPFEDSA-N 1 2 323.400 1.686 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1O ZINC001193240184 746027257 /nfs/dbraw/zinc/02/72/57/746027257.db2.gz BCCRXHJMDYKCIO-HUUCEWRRSA-N 1 2 321.446 1.573 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1O ZINC001193240184 746027263 /nfs/dbraw/zinc/02/72/63/746027263.db2.gz BCCRXHJMDYKCIO-HUUCEWRRSA-N 1 2 321.446 1.573 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](C3CCOCC3)CC2)ccc1O ZINC001193503798 746128196 /nfs/dbraw/zinc/12/81/96/746128196.db2.gz GXAVFDWBPQTVLI-UHFFFAOYSA-N 1 2 315.373 1.201 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cccc(=O)n2C)[C@H]1C ZINC000993769393 746321006 /nfs/dbraw/zinc/32/10/06/746321006.db2.gz HCOJYRLNMSIEEX-OLZOCXBDSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cccc(=O)n2C)[C@H]1C ZINC000993769393 746321013 /nfs/dbraw/zinc/32/10/13/746321013.db2.gz HCOJYRLNMSIEEX-OLZOCXBDSA-N 1 2 323.824 1.721 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001194397396 746367930 /nfs/dbraw/zinc/36/79/30/746367930.db2.gz UXZOTQLSLBLJSO-GJZGRUSLSA-N 1 2 321.421 1.915 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001194397396 746367936 /nfs/dbraw/zinc/36/79/36/746367936.db2.gz UXZOTQLSLBLJSO-GJZGRUSLSA-N 1 2 321.421 1.915 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2ncn[nH]2)CCC[N@@H+]1Cc1ccc(C#N)cc1 ZINC000994025198 746426208 /nfs/dbraw/zinc/42/62/08/746426208.db2.gz VHHDIBJBLSAJGE-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2ncn[nH]2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000994025198 746426209 /nfs/dbraw/zinc/42/62/09/746426209.db2.gz VHHDIBJBLSAJGE-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2nc[nH]n2)CCC[N@@H+]1Cc1ccc(C#N)cc1 ZINC000994025198 746426210 /nfs/dbraw/zinc/42/62/10/746426210.db2.gz VHHDIBJBLSAJGE-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@H](NC(=O)c2nc[nH]n2)CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000994025198 746426211 /nfs/dbraw/zinc/42/62/11/746426211.db2.gz VHHDIBJBLSAJGE-SWLSCSKDSA-N 1 2 324.388 1.459 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H](O)[C@H](NC(=O)CCC(F)F)C1 ZINC001194752330 746446495 /nfs/dbraw/zinc/44/64/95/746446495.db2.gz DFGZDFPUHBDFLX-ZIAGYGMSSA-N 1 2 323.343 1.265 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)CCC(F)F)C1 ZINC001194752330 746446497 /nfs/dbraw/zinc/44/64/97/746446497.db2.gz DFGZDFPUHBDFLX-ZIAGYGMSSA-N 1 2 323.343 1.265 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCCN1CC#N ZINC000994166161 746470811 /nfs/dbraw/zinc/47/08/11/746470811.db2.gz YPXOMULLGBKYAA-UKRRQHHQSA-N 1 2 324.388 1.374 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cccn(C)c2=O)[C@@H]1C ZINC000994239721 746506239 /nfs/dbraw/zinc/50/62/39/746506239.db2.gz KCDGLVZPIKHEBF-JSGCOSHPSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cccn(C)c2=O)[C@@H]1C ZINC000994239721 746506241 /nfs/dbraw/zinc/50/62/41/746506241.db2.gz KCDGLVZPIKHEBF-JSGCOSHPSA-N 1 2 323.824 1.721 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1CCC[N@H+](CCF)[C@@H]1C ZINC000994242210 746509419 /nfs/dbraw/zinc/50/94/19/746509419.db2.gz PUQORXLAINBZLF-UKRRQHHQSA-N 1 2 319.380 1.646 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1CCC[N@@H+](CCF)[C@@H]1C ZINC000994242210 746509427 /nfs/dbraw/zinc/50/94/27/746509427.db2.gz PUQORXLAINBZLF-UKRRQHHQSA-N 1 2 319.380 1.646 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCCN(C(=O)CC2=CCCCC2)CC1 ZINC001195244275 746554376 /nfs/dbraw/zinc/55/43/76/746554376.db2.gz ANOXXLYTXLLRIA-UHFFFAOYSA-N 1 2 318.421 1.051 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCCN(C(=O)CC2=CCCCC2)CC1 ZINC001195244275 746554381 /nfs/dbraw/zinc/55/43/81/746554381.db2.gz ANOXXLYTXLLRIA-UHFFFAOYSA-N 1 2 318.421 1.051 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)CCC)CC1 ZINC001195364620 746586038 /nfs/dbraw/zinc/58/60/38/746586038.db2.gz XORCAVYXFXFYEW-LSDHHAIUSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)CCC)CC1 ZINC001195364620 746586044 /nfs/dbraw/zinc/58/60/44/746586044.db2.gz XORCAVYXFXFYEW-LSDHHAIUSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](Cc2c(C)nnn2CC)CC1 ZINC001195644992 746663486 /nfs/dbraw/zinc/66/34/86/746663486.db2.gz FSSOSYJFDYEFNH-UHFFFAOYSA-N 1 2 317.437 1.444 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](Cc2c(C)nnn2CC)CC1 ZINC001195644992 746663488 /nfs/dbraw/zinc/66/34/88/746663488.db2.gz FSSOSYJFDYEFNH-UHFFFAOYSA-N 1 2 317.437 1.444 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cn2ccc(C(F)(F)F)n2)C1 ZINC001031359585 746668697 /nfs/dbraw/zinc/66/86/97/746668697.db2.gz JDJWVHZMJRIPCJ-UHFFFAOYSA-N 1 2 302.300 1.278 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+](CC(=O)NC2CCCC2)CC1 ZINC001195774797 746700885 /nfs/dbraw/zinc/70/08/85/746700885.db2.gz BQBXYRYJWBOEHY-UHFFFAOYSA-N 1 2 319.449 1.239 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+](CC(=O)NC2CCCC2)CC1 ZINC001195774797 746700888 /nfs/dbraw/zinc/70/08/88/746700888.db2.gz BQBXYRYJWBOEHY-UHFFFAOYSA-N 1 2 319.449 1.239 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cncc3[nH]cnc32)C1 ZINC001007381865 752119286 /nfs/dbraw/zinc/11/92/86/752119286.db2.gz HNDRBNYIPLZCEU-LLVKDONJSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cncc3[nH]cnc32)C1 ZINC001007381865 752119289 /nfs/dbraw/zinc/11/92/89/752119289.db2.gz HNDRBNYIPLZCEU-LLVKDONJSA-N 1 2 319.796 1.905 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1nccnc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089380755 746758918 /nfs/dbraw/zinc/75/89/18/746758918.db2.gz NNSRMTIYBYBLSD-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CC1 ZINC001196601951 746908346 /nfs/dbraw/zinc/90/83/46/746908346.db2.gz CXYSRUVAHDCPNK-JLVBRIDZSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CC1 ZINC001196601951 746908350 /nfs/dbraw/zinc/90/83/50/746908350.db2.gz CXYSRUVAHDCPNK-JLVBRIDZSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)C(F)(F)F)CC1 ZINC001196813517 746980636 /nfs/dbraw/zinc/98/06/36/746980636.db2.gz HRUCPGRATWPRGZ-LLVKDONJSA-N 1 2 321.343 1.021 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)C(F)(F)F)CC1 ZINC001196813517 746980641 /nfs/dbraw/zinc/98/06/41/746980641.db2.gz HRUCPGRATWPRGZ-LLVKDONJSA-N 1 2 321.343 1.021 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)N(C)CC)CC1 ZINC001196881807 746988858 /nfs/dbraw/zinc/98/88/58/746988858.db2.gz YIJURTYFHPVGBM-OAHLLOKOSA-N 1 2 309.454 1.601 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)N(C)CC)CC1 ZINC001196881807 746988865 /nfs/dbraw/zinc/98/88/65/746988865.db2.gz YIJURTYFHPVGBM-OAHLLOKOSA-N 1 2 309.454 1.601 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001128405112 747001686 /nfs/dbraw/zinc/00/16/86/747001686.db2.gz OXAMZNGDSLJKKL-UHFFFAOYSA-N 1 2 304.350 1.892 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1NC(=O)C#CC(C)C ZINC001212241233 747127483 /nfs/dbraw/zinc/12/74/83/747127483.db2.gz NTPXVQCQAATKCT-HUUCEWRRSA-N 1 2 321.446 1.426 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1NC(=O)C#CC(C)C ZINC001212241233 747127486 /nfs/dbraw/zinc/12/74/86/747127486.db2.gz NTPXVQCQAATKCT-HUUCEWRRSA-N 1 2 321.446 1.426 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)CC(C)C)CC1 ZINC001197615020 747206534 /nfs/dbraw/zinc/20/65/34/747206534.db2.gz UTJVMUJXGLBPAE-HZPDHXFCSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@H](C)CC(C)C)CC1 ZINC001197615020 747206536 /nfs/dbraw/zinc/20/65/36/747206536.db2.gz UTJVMUJXGLBPAE-HZPDHXFCSA-N 1 2 321.465 1.341 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCCn2cncn2)CC1 ZINC001197699435 747241507 /nfs/dbraw/zinc/24/15/07/747241507.db2.gz SPFHSEHACHRJDL-UHFFFAOYSA-N 1 2 311.817 1.345 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCCn2cncn2)CC1 ZINC001197699435 747241512 /nfs/dbraw/zinc/24/15/12/747241512.db2.gz SPFHSEHACHRJDL-UHFFFAOYSA-N 1 2 311.817 1.345 20 30 DDEDLO C=CCCC(=O)N(C)[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001078875692 747406670 /nfs/dbraw/zinc/40/66/70/747406670.db2.gz GSQYLRCJSVZVHD-AWEZNQCLSA-N 1 2 318.421 1.758 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CCC2CCCCC2)C1 ZINC001198338074 747457675 /nfs/dbraw/zinc/45/76/75/747457675.db2.gz RPSYQMOQDBYTLU-IAGOWNOFSA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)CCC2CCCCC2)C1 ZINC001198338074 747457680 /nfs/dbraw/zinc/45/76/80/747457680.db2.gz RPSYQMOQDBYTLU-IAGOWNOFSA-N 1 2 322.449 1.158 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)C[C@@H]1C ZINC001110509646 747510379 /nfs/dbraw/zinc/51/03/79/747510379.db2.gz JYAWRKCKGJFSMZ-JSGCOSHPSA-N 1 2 304.394 1.779 20 30 DDEDLO CN(C(=O)c1ccn[nH]1)[C@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001032925679 747671398 /nfs/dbraw/zinc/67/13/98/747671398.db2.gz OWRUZKQPAGUUCH-NSHDSACASA-N 1 2 315.402 1.689 20 30 DDEDLO CN(C(=O)c1ccn[nH]1)[C@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001032925679 747671403 /nfs/dbraw/zinc/67/14/03/747671403.db2.gz OWRUZKQPAGUUCH-NSHDSACASA-N 1 2 315.402 1.689 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CCCC2CCCC2)C1 ZINC001199201719 747740044 /nfs/dbraw/zinc/74/00/44/747740044.db2.gz RIFXWCJALPCHQT-IAGOWNOFSA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)CCCC2CCCC2)C1 ZINC001199201719 747740050 /nfs/dbraw/zinc/74/00/50/747740050.db2.gz RIFXWCJALPCHQT-IAGOWNOFSA-N 1 2 322.449 1.158 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)Cc2cccc(Cl)c2)C1 ZINC001199532109 747888720 /nfs/dbraw/zinc/88/87/20/747888720.db2.gz WJNNOHTVBJYEAU-APHBMKBZSA-N 1 2 320.820 1.313 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)Cc2cccc(Cl)c2)C1 ZINC001199532109 747888725 /nfs/dbraw/zinc/88/87/25/747888725.db2.gz WJNNOHTVBJYEAU-APHBMKBZSA-N 1 2 320.820 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C[C@H]2COC(=O)C2)C1 ZINC001033010234 747911040 /nfs/dbraw/zinc/91/10/40/747911040.db2.gz MNBCGVJIQZHMRQ-NEPJUHHUSA-N 1 2 300.786 1.225 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C[C@H]2COC(=O)C2)C1 ZINC001033010234 747911044 /nfs/dbraw/zinc/91/10/44/747911044.db2.gz MNBCGVJIQZHMRQ-NEPJUHHUSA-N 1 2 300.786 1.225 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001031929359 748231494 /nfs/dbraw/zinc/23/14/94/748231494.db2.gz GYVJRCCWMOSCAC-CQSZACIVSA-N 1 2 305.809 1.912 20 30 DDEDLO C=C1CCC(C(=O)NCC2C[NH+](CC(=O)N3CCC3)C2)CC1 ZINC001031950497 748281649 /nfs/dbraw/zinc/28/16/49/748281649.db2.gz LBPCSEFRKRHATK-UHFFFAOYSA-N 1 2 305.422 1.013 20 30 DDEDLO C=C1CCC(C(=O)NCC2C[NH+](CC(=O)NC3CC3)C2)CC1 ZINC001031952057 748282764 /nfs/dbraw/zinc/28/27/64/748282764.db2.gz WXCNGHPVHNOGMO-UHFFFAOYSA-N 1 2 305.422 1.059 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2C[NH+](Cc3ccc(F)cn3)C2)c1 ZINC001031965833 748316948 /nfs/dbraw/zinc/31/69/48/748316948.db2.gz VUNAXPZCAFRQMA-UHFFFAOYSA-N 1 2 324.359 1.459 20 30 DDEDLO CCN(CCNc1ncc(C#N)cc1F)C(=O)Cc1c[nH+]c[nH]1 ZINC001106961281 748336132 /nfs/dbraw/zinc/33/61/32/748336132.db2.gz HHFFPZIQNSVPIG-UHFFFAOYSA-N 1 2 316.340 1.319 20 30 DDEDLO CCN(CCNc1ccc(C#N)c(C)n1)C(=O)Cc1c[nH+]c[nH]1 ZINC001106961868 748338686 /nfs/dbraw/zinc/33/86/86/748338686.db2.gz PXJDDXWPVGKRLD-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H](O)CNc2cc[nH+]c(C)n2)CCC1 ZINC001124705010 748439936 /nfs/dbraw/zinc/43/99/36/748439936.db2.gz XJXWCVOYPYRHRY-CQSZACIVSA-N 1 2 318.421 1.763 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnc3sc(C)cn3c2=O)CC1 ZINC001201217456 748448933 /nfs/dbraw/zinc/44/89/33/748448933.db2.gz JWFKABTWKVBHMT-UHFFFAOYSA-N 1 2 318.402 1.008 20 30 DDEDLO COC1CC(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)C1 ZINC001004468999 748459725 /nfs/dbraw/zinc/45/97/25/748459725.db2.gz KPSDDYQESBGCOK-GPANFISMSA-N 1 2 305.422 1.638 20 30 DDEDLO COC1CC(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)C1 ZINC001004468999 748459729 /nfs/dbraw/zinc/45/97/29/748459729.db2.gz KPSDDYQESBGCOK-GPANFISMSA-N 1 2 305.422 1.638 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)CCc2ccon2)CC1 ZINC001004489691 748472386 /nfs/dbraw/zinc/47/23/86/748472386.db2.gz NFKYNKBNCFLNQE-INIZCTEOSA-N 1 2 316.405 1.834 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)CCc2ccon2)CC1 ZINC001004489691 748472390 /nfs/dbraw/zinc/47/23/90/748472390.db2.gz NFKYNKBNCFLNQE-INIZCTEOSA-N 1 2 316.405 1.834 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnn3c2CCC3)C1 ZINC001033130611 748483285 /nfs/dbraw/zinc/48/32/85/748483285.db2.gz GKUZGPSOFATTHE-GFCCVEGCSA-N 1 2 308.813 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnn3c2CCC3)C1 ZINC001033130611 748483287 /nfs/dbraw/zinc/48/32/87/748483287.db2.gz GKUZGPSOFATTHE-GFCCVEGCSA-N 1 2 308.813 1.728 20 30 DDEDLO Cc1ccc(S(=O)(=O)NC2C[NH+](C(C)(C)C)C2)cc1C#N ZINC001201335692 748489204 /nfs/dbraw/zinc/48/92/04/748489204.db2.gz XKAAXUSDBZOXIP-UHFFFAOYSA-N 1 2 307.419 1.628 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCCOc2cc[nH+]cc2)cc1C#N ZINC001201338590 748490171 /nfs/dbraw/zinc/49/01/71/748490171.db2.gz NJALANSWVXMDNQ-UHFFFAOYSA-N 1 2 317.370 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2cnn(C)c2C)C1 ZINC001033141245 748525799 /nfs/dbraw/zinc/52/57/99/748525799.db2.gz CDDJAIXTAAZDPL-AWEZNQCLSA-N 1 2 310.829 1.556 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2cnn(C)c2C)C1 ZINC001033141245 748525803 /nfs/dbraw/zinc/52/58/03/748525803.db2.gz CDDJAIXTAAZDPL-AWEZNQCLSA-N 1 2 310.829 1.556 20 30 DDEDLO Cc1nonc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004623397 748590406 /nfs/dbraw/zinc/59/04/06/748590406.db2.gz HGLLAOXINNVYER-CYBMUJFWSA-N 1 2 303.366 1.218 20 30 DDEDLO Cc1nonc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004623397 748590410 /nfs/dbraw/zinc/59/04/10/748590410.db2.gz HGLLAOXINNVYER-CYBMUJFWSA-N 1 2 303.366 1.218 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[NH2+]Cc1nc(Cc2ccccc2)no1 ZINC001124908935 748640370 /nfs/dbraw/zinc/64/03/70/748640370.db2.gz WACXNUUJFZJNBM-GFCCVEGCSA-N 1 2 313.361 1.026 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001032123929 748696005 /nfs/dbraw/zinc/69/60/05/748696005.db2.gz MGNVNOLFKXWBKI-CYBMUJFWSA-N 1 2 313.829 1.045 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108085807 748754018 /nfs/dbraw/zinc/75/40/18/748754018.db2.gz TVYBCCMZIHXFHL-BZNIZROVSA-N 1 2 320.441 1.765 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc(OCC)n[nH]2)C1 ZINC001033187859 748846925 /nfs/dbraw/zinc/84/69/25/748846925.db2.gz WNZQDJGAGKUHDX-NSHDSACASA-N 1 2 312.801 1.707 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(OCC)n[nH]2)C1 ZINC001033187859 748846929 /nfs/dbraw/zinc/84/69/29/748846929.db2.gz WNZQDJGAGKUHDX-NSHDSACASA-N 1 2 312.801 1.707 20 30 DDEDLO Cc1nc(N2CC[C@](C)(NC(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001110735658 748953894 /nfs/dbraw/zinc/95/38/94/748953894.db2.gz JIJKTXYVZXFYJN-HWPZZCPQSA-N 1 2 301.394 1.647 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110728722 748954618 /nfs/dbraw/zinc/95/46/18/748954618.db2.gz UYTFIAIIQSMNOS-DYVFJYSZSA-N 1 2 318.421 1.851 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114336719 749083033 /nfs/dbraw/zinc/08/30/33/749083033.db2.gz URRUDKIMKVSTJE-PJXYFTJBSA-N 1 2 324.812 1.703 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114336719 749083038 /nfs/dbraw/zinc/08/30/38/749083038.db2.gz URRUDKIMKVSTJE-PJXYFTJBSA-N 1 2 324.812 1.703 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCn2nc(C)cc2C)C1 ZINC001108106790 749211327 /nfs/dbraw/zinc/21/13/27/749211327.db2.gz GCUAWEJSGRYFCQ-QGZVFWFLSA-N 1 2 320.437 1.283 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCn2nc(C)cc2C)C1 ZINC001108106790 749211328 /nfs/dbraw/zinc/21/13/28/749211328.db2.gz GCUAWEJSGRYFCQ-QGZVFWFLSA-N 1 2 320.437 1.283 20 30 DDEDLO Cc1nc(N2C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)c(C)c(C)[nH+]1 ZINC001114439918 749214585 /nfs/dbraw/zinc/21/45/85/749214585.db2.gz OTLRGBQIZIGGIW-QMTHXVAHSA-N 1 2 315.421 1.893 20 30 DDEDLO C=CCCOCC(=O)NCc1cnn2c1C[N@H+](CCC=C)CC2 ZINC001128602792 749243739 /nfs/dbraw/zinc/24/37/39/749243739.db2.gz XOCFVNZXKSGVEK-UHFFFAOYSA-N 1 2 318.421 1.484 20 30 DDEDLO C=CCCOCC(=O)NCc1cnn2c1C[N@@H+](CCC=C)CC2 ZINC001128602792 749243741 /nfs/dbraw/zinc/24/37/41/749243741.db2.gz XOCFVNZXKSGVEK-UHFFFAOYSA-N 1 2 318.421 1.484 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CSCCC)nn2)C1 ZINC001107137353 749305857 /nfs/dbraw/zinc/30/58/57/749305857.db2.gz USNWOEGIQMFEPO-UHFFFAOYSA-N 1 2 323.466 1.470 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CC[N@H+](Cc2nccs2)C1 ZINC001033432957 749330974 /nfs/dbraw/zinc/33/09/74/749330974.db2.gz SJJCYTKIXORYPT-MJBXVCDLSA-N 1 2 321.446 1.767 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CC[N@@H+](Cc2nccs2)C1 ZINC001033432957 749330979 /nfs/dbraw/zinc/33/09/79/749330979.db2.gz SJJCYTKIXORYPT-MJBXVCDLSA-N 1 2 321.446 1.767 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)CCC(C)C)[C@@H](n2ccnn2)C1 ZINC001128681515 749497158 /nfs/dbraw/zinc/49/71/58/749497158.db2.gz CUBLDSNXIZOPLB-CABCVRRESA-N 1 2 303.410 1.079 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)CCC(C)C)[C@@H](n2ccnn2)C1 ZINC001128681515 749497160 /nfs/dbraw/zinc/49/71/60/749497160.db2.gz CUBLDSNXIZOPLB-CABCVRRESA-N 1 2 303.410 1.079 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C3(CC)CCC3)nn2)C1 ZINC001107197937 749559932 /nfs/dbraw/zinc/55/99/32/749559932.db2.gz NFUSBZMIIHUPSE-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3(CCC)CC3)nn2)C1 ZINC001107209124 749596223 /nfs/dbraw/zinc/59/62/23/749596223.db2.gz IKPQHTDSTRGHAR-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001033606187 749602276 /nfs/dbraw/zinc/60/22/76/749602276.db2.gz ZVURUYRSIZPJTB-KGLIPLIRSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001033606187 749602281 /nfs/dbraw/zinc/60/22/81/749602281.db2.gz ZVURUYRSIZPJTB-KGLIPLIRSA-N 1 2 313.829 1.283 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)cc2)C1 ZINC001108362498 761967830 /nfs/dbraw/zinc/96/78/30/761967830.db2.gz OESOECUIPKTCPN-GOSISDBHSA-N 1 2 300.402 1.839 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)cc2)C1 ZINC001108362498 761967834 /nfs/dbraw/zinc/96/78/34/761967834.db2.gz OESOECUIPKTCPN-GOSISDBHSA-N 1 2 300.402 1.839 20 30 DDEDLO N#Cc1ccc(NC/C=C/CNC(=O)CCCn2cc[nH+]c2)cn1 ZINC001107237197 749679020 /nfs/dbraw/zinc/67/90/20/749679020.db2.gz HSTSNJBCFKPPBR-OWOJBTEDSA-N 1 2 324.388 1.714 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nn1 ZINC001039370457 761971979 /nfs/dbraw/zinc/97/19/79/761971979.db2.gz LRZGJLANGPJLPE-NEPJUHHUSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nn1 ZINC001039370457 761971983 /nfs/dbraw/zinc/97/19/83/761971983.db2.gz LRZGJLANGPJLPE-NEPJUHHUSA-N 1 2 309.801 1.247 20 30 DDEDLO Cc1nc(NC/C=C\CNC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001107240614 749682111 /nfs/dbraw/zinc/68/21/11/749682111.db2.gz PPNYWPZJWWSBLE-IHWYPQMZSA-N 1 2 310.361 1.243 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)C(F)(F)F)C1 ZINC001108354255 761973440 /nfs/dbraw/zinc/97/34/40/761973440.db2.gz BJZNJPHIXKGMRY-ZDUSSCGKSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)C(F)(F)F)C1 ZINC001108354255 761973445 /nfs/dbraw/zinc/97/34/45/761973445.db2.gz BJZNJPHIXKGMRY-ZDUSSCGKSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]13C[C@H]1CCC3)C2 ZINC001110837356 749742823 /nfs/dbraw/zinc/74/28/23/749742823.db2.gz LCGLUNFPIDPUIO-ZURLZEQWSA-N 1 2 317.433 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]13C[C@H]1CCC3)C2 ZINC001110837356 749742832 /nfs/dbraw/zinc/74/28/32/749742832.db2.gz LCGLUNFPIDPUIO-ZURLZEQWSA-N 1 2 317.433 1.200 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn3ccncc23)C1 ZINC001014747443 749756352 /nfs/dbraw/zinc/75/63/52/749756352.db2.gz ROGCEYLSUCFFAY-NSHDSACASA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn3ccncc23)C1 ZINC001014747443 749756356 /nfs/dbraw/zinc/75/63/56/749756356.db2.gz ROGCEYLSUCFFAY-NSHDSACASA-N 1 2 305.769 1.286 20 30 DDEDLO Cc1nc(N2CCC(C)(CNC(=O)[C@@H](C)C#N)CC2)cc[nH+]1 ZINC001110872789 749796548 /nfs/dbraw/zinc/79/65/48/749796548.db2.gz QYNOZQLIQXXSLY-LBPRGKRZSA-N 1 2 301.394 1.667 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]12CCC[C@H]1[N@H+](Cc1nncs1)CC2 ZINC001107335913 749826386 /nfs/dbraw/zinc/82/63/86/749826386.db2.gz FNZYBYTWZIDUCY-YWPYICTPSA-N 1 2 319.434 1.559 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]12CCC[C@H]1[N@@H+](Cc1nncs1)CC2 ZINC001107335913 749826388 /nfs/dbraw/zinc/82/63/88/749826388.db2.gz FNZYBYTWZIDUCY-YWPYICTPSA-N 1 2 319.434 1.559 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccccc2OC)C1 ZINC001108360865 761984132 /nfs/dbraw/zinc/98/41/32/761984132.db2.gz UMWKKUXUIWNMNX-SFHVURJKSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccccc2OC)C1 ZINC001108360865 761984134 /nfs/dbraw/zinc/98/41/34/761984134.db2.gz UMWKKUXUIWNMNX-SFHVURJKSA-N 1 2 316.401 1.539 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cnsn2)C1 ZINC001033753534 749842162 /nfs/dbraw/zinc/84/21/62/749842162.db2.gz NRBURPGZPQGRMJ-SNVBAGLBSA-N 1 2 300.815 1.827 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cnsn2)C1 ZINC001033753534 749842167 /nfs/dbraw/zinc/84/21/67/749842167.db2.gz NRBURPGZPQGRMJ-SNVBAGLBSA-N 1 2 300.815 1.827 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncccc2OC)C1 ZINC001108366510 761985561 /nfs/dbraw/zinc/98/55/61/761985561.db2.gz VIAZWOPXVHKJFP-INIZCTEOSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncccc2OC)C1 ZINC001108366510 761985564 /nfs/dbraw/zinc/98/55/64/761985564.db2.gz VIAZWOPXVHKJFP-INIZCTEOSA-N 1 2 305.378 1.097 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)cc2OC)C1 ZINC001077587635 749942226 /nfs/dbraw/zinc/94/22/26/749942226.db2.gz SRVCOAFKJMTSKC-ZIAGYGMSSA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)cc2OC)C1 ZINC001077587635 749942234 /nfs/dbraw/zinc/94/22/34/749942234.db2.gz SRVCOAFKJMTSKC-ZIAGYGMSSA-N 1 2 322.792 1.147 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cnnn2CC)C1 ZINC001033818346 749944209 /nfs/dbraw/zinc/94/42/09/749944209.db2.gz XMIOSUBAHIWGPD-GFCCVEGCSA-N 1 2 311.817 1.587 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cnnn2CC)C1 ZINC001033818346 749944214 /nfs/dbraw/zinc/94/42/14/749944214.db2.gz XMIOSUBAHIWGPD-GFCCVEGCSA-N 1 2 311.817 1.587 20 30 DDEDLO COc1ccc(C)cc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)C#N ZINC001032318431 749948816 /nfs/dbraw/zinc/94/88/16/749948816.db2.gz NHJFVMPYOZXZNI-KBMXLJTQSA-N 1 2 313.401 1.948 20 30 DDEDLO COc1ccc(C)cc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)C#N ZINC001032318431 749948820 /nfs/dbraw/zinc/94/88/20/749948820.db2.gz NHJFVMPYOZXZNI-KBMXLJTQSA-N 1 2 313.401 1.948 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncc(C)c2)[C@@H](O)C1 ZINC001090164494 750146573 /nfs/dbraw/zinc/14/65/73/750146573.db2.gz VKWUVKCLMGEGMA-KBPBESRZSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncc(C)c2)[C@@H](O)C1 ZINC001090164494 750146577 /nfs/dbraw/zinc/14/65/77/750146577.db2.gz VKWUVKCLMGEGMA-KBPBESRZSA-N 1 2 309.797 1.308 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2c(C)noc2C)C1 ZINC001108390776 762013939 /nfs/dbraw/zinc/01/39/39/762013939.db2.gz JXSLIRBCVPTXQH-INIZCTEOSA-N 1 2 307.394 1.227 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2c(C)noc2C)C1 ZINC001108390776 762013948 /nfs/dbraw/zinc/01/39/48/762013948.db2.gz JXSLIRBCVPTXQH-INIZCTEOSA-N 1 2 307.394 1.227 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)N[C@@H](C)CC ZINC001110897485 750185414 /nfs/dbraw/zinc/18/54/14/750185414.db2.gz MDYJYJMDLJQNQE-YJNKXOJESA-N 1 2 321.465 1.835 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)N[C@@H](C)CC ZINC001110897485 750185417 /nfs/dbraw/zinc/18/54/17/750185417.db2.gz MDYJYJMDLJQNQE-YJNKXOJESA-N 1 2 321.465 1.835 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2cccc(=O)n2C)C1 ZINC001033945986 750193251 /nfs/dbraw/zinc/19/32/51/750193251.db2.gz AQSJKEPBTWYGSQ-ZDUSSCGKSA-N 1 2 323.824 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cccc(=O)n2C)C1 ZINC001033945986 750193254 /nfs/dbraw/zinc/19/32/54/750193254.db2.gz AQSJKEPBTWYGSQ-ZDUSSCGKSA-N 1 2 323.824 1.674 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](F)C(C)C)C2 ZINC001110916376 750216215 /nfs/dbraw/zinc/21/62/15/750216215.db2.gz LVXMKXOMQVQDEG-GUIRCDHDSA-N 1 2 311.401 1.004 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](F)C(C)C)C2 ZINC001110916376 750216219 /nfs/dbraw/zinc/21/62/19/750216219.db2.gz LVXMKXOMQVQDEG-GUIRCDHDSA-N 1 2 311.401 1.004 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cscc2C)[C@H](O)C1 ZINC001090176638 750244465 /nfs/dbraw/zinc/24/44/65/750244465.db2.gz BUCOXZGOKAJKLP-QWHCGFSZSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cscc2C)[C@H](O)C1 ZINC001090176638 750244469 /nfs/dbraw/zinc/24/44/69/750244469.db2.gz BUCOXZGOKAJKLP-QWHCGFSZSA-N 1 2 314.838 1.974 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC[C@@H](C)CC)C2 ZINC001111176968 750410360 /nfs/dbraw/zinc/41/03/60/750410360.db2.gz USDBGWDOOCXCGV-JJXSEGSLSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC[C@@H](C)CC)C2 ZINC001111176968 750410361 /nfs/dbraw/zinc/41/03/61/750410361.db2.gz USDBGWDOOCXCGV-JJXSEGSLSA-N 1 2 319.449 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccnnc1 ZINC001039442508 762036292 /nfs/dbraw/zinc/03/62/92/762036292.db2.gz KTBNFXDBKZNNHR-KGLIPLIRSA-N 1 2 306.797 1.908 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccnnc1 ZINC001039442508 762036302 /nfs/dbraw/zinc/03/63/02/762036302.db2.gz KTBNFXDBKZNNHR-KGLIPLIRSA-N 1 2 306.797 1.908 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccncn1 ZINC001039453190 762044446 /nfs/dbraw/zinc/04/44/46/762044446.db2.gz MXPISRGPQMRENO-OLZOCXBDSA-N 1 2 306.797 1.908 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccncn1 ZINC001039453190 762044457 /nfs/dbraw/zinc/04/44/57/762044457.db2.gz MXPISRGPQMRENO-OLZOCXBDSA-N 1 2 306.797 1.908 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2CC=CCC2)C1 ZINC001108130243 750544907 /nfs/dbraw/zinc/54/49/07/750544907.db2.gz UVFJNZDKYJKUGU-AEFFLSMTSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2CC=CCC2)C1 ZINC001108130243 750544909 /nfs/dbraw/zinc/54/49/09/750544909.db2.gz UVFJNZDKYJKUGU-AEFFLSMTSA-N 1 2 304.434 1.963 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001108131556 750568534 /nfs/dbraw/zinc/56/85/34/750568534.db2.gz OOGIHNPRHYLAHA-MRVWCRGKSA-N 1 2 324.388 1.407 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC001077767901 750585321 /nfs/dbraw/zinc/58/53/21/750585321.db2.gz ZMXBVKKLHRRGHX-ZIAGYGMSSA-N 1 2 316.332 1.370 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC001077767901 750585327 /nfs/dbraw/zinc/58/53/27/750585327.db2.gz ZMXBVKKLHRRGHX-ZIAGYGMSSA-N 1 2 316.332 1.370 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCCOCC2)C1 ZINC001107908977 750615482 /nfs/dbraw/zinc/61/54/82/750615482.db2.gz SRLFBUMKWRMBFQ-NVXWUHKLSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2CCCOCC2)C1 ZINC001107908977 750615484 /nfs/dbraw/zinc/61/54/84/750615484.db2.gz SRLFBUMKWRMBFQ-NVXWUHKLSA-N 1 2 308.422 1.034 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](OCC)C(C)C)C1 ZINC001107937895 750685155 /nfs/dbraw/zinc/68/51/55/750685155.db2.gz DEEWLIIIYPHGJL-DOTOQJQBSA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](OCC)C(C)C)C1 ZINC001107937895 750685158 /nfs/dbraw/zinc/68/51/58/750685158.db2.gz DEEWLIIIYPHGJL-DOTOQJQBSA-N 1 2 310.438 1.278 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001108148267 750778855 /nfs/dbraw/zinc/77/88/55/750778855.db2.gz GEFAAPRUKZYLSN-VXGBXAGGSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001108148267 750778860 /nfs/dbraw/zinc/77/88/60/750778860.db2.gz GEFAAPRUKZYLSN-VXGBXAGGSA-N 1 2 312.377 1.472 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CCC)C1=O ZINC001017299082 750896374 /nfs/dbraw/zinc/89/63/74/750896374.db2.gz SKZNHFVMYGYKGA-QLFBSQMISA-N 1 2 305.422 1.249 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)CCC)C1=O ZINC001017299082 750896383 /nfs/dbraw/zinc/89/63/83/750896383.db2.gz SKZNHFVMYGYKGA-QLFBSQMISA-N 1 2 305.422 1.249 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001114711027 751012279 /nfs/dbraw/zinc/01/22/79/751012279.db2.gz OHEKFOUMUAMBAK-HWWDLCQESA-N 1 2 315.417 1.977 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001114711027 751012284 /nfs/dbraw/zinc/01/22/84/751012284.db2.gz OHEKFOUMUAMBAK-HWWDLCQESA-N 1 2 315.417 1.977 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCCN(C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001000685150 762089877 /nfs/dbraw/zinc/08/98/77/762089877.db2.gz BGOKMQNPJCBFJL-NEPJUHHUSA-N 1 2 323.828 1.243 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCCN(C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001000685150 762089885 /nfs/dbraw/zinc/08/98/85/762089885.db2.gz BGOKMQNPJCBFJL-NEPJUHHUSA-N 1 2 323.828 1.243 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1C[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001114775123 751072337 /nfs/dbraw/zinc/07/23/37/751072337.db2.gz BGELGCMGFRZQMA-HDJSIYSDSA-N 1 2 304.394 1.684 20 30 DDEDLO C[C@H](NC(=O)c1cc2c[nH+]ccc2[nH]1)C1CCN(CC#N)CC1 ZINC000997643132 751176016 /nfs/dbraw/zinc/17/60/16/751176016.db2.gz HVRNOCKSSILAOA-LBPRGKRZSA-N 1 2 311.389 1.917 20 30 DDEDLO C[C@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C1CCN(CC#N)CC1 ZINC000997647142 751178706 /nfs/dbraw/zinc/17/87/06/751178706.db2.gz UWMXBHBQPDSORC-DZGCQCFKSA-N 1 2 315.421 1.186 20 30 DDEDLO CN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CC(NCC#N)C1 ZINC000999184232 752610056 /nfs/dbraw/zinc/61/00/56/752610056.db2.gz QZXDHGYDKQDWGQ-UHFFFAOYSA-N 1 2 309.373 1.588 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1OCC[C@@H]1c1ccccc1 ZINC001032676827 752696479 /nfs/dbraw/zinc/69/64/79/752696479.db2.gz GHVRKMVPFPANPY-INDMIFKZSA-N 1 2 324.424 1.868 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1OCC[C@@H]1c1ccccc1 ZINC001032676827 752696481 /nfs/dbraw/zinc/69/64/81/752696481.db2.gz GHVRKMVPFPANPY-INDMIFKZSA-N 1 2 324.424 1.868 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1C(C)(C)C ZINC001032687179 752725964 /nfs/dbraw/zinc/72/59/64/752725964.db2.gz WRXZJRLPKORDEZ-STQMWFEESA-N 1 2 300.406 1.250 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1C(C)(C)C ZINC001032687179 752725969 /nfs/dbraw/zinc/72/59/69/752725969.db2.gz WRXZJRLPKORDEZ-STQMWFEESA-N 1 2 300.406 1.250 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1C(C)(C)C ZINC001032687095 752726470 /nfs/dbraw/zinc/72/64/70/752726470.db2.gz SMIQCTNIBAPHIR-KBPBESRZSA-N 1 2 314.433 1.640 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1C(C)(C)C ZINC001032687095 752726476 /nfs/dbraw/zinc/72/64/76/752726476.db2.gz SMIQCTNIBAPHIR-KBPBESRZSA-N 1 2 314.433 1.640 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(CN3CCCC3)on2)C1 ZINC001008549422 752794946 /nfs/dbraw/zinc/79/49/46/752794946.db2.gz DJUCVOFCCNFERO-CQSZACIVSA-N 1 2 318.421 1.651 20 30 DDEDLO C=CC[N@H+]1CCC[C@@H](NC(=O)c2cc(CN3CCCC3)on2)C1 ZINC001008549422 752794953 /nfs/dbraw/zinc/79/49/53/752794953.db2.gz DJUCVOFCCNFERO-CQSZACIVSA-N 1 2 318.421 1.651 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCC[N@H+](Cc2ccns2)C1 ZINC001008573385 752806232 /nfs/dbraw/zinc/80/62/32/752806232.db2.gz BUFHWEHWJFCXSU-YUELXQCFSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCC[N@@H+](Cc2ccns2)C1 ZINC001008573385 752806237 /nfs/dbraw/zinc/80/62/37/752806237.db2.gz BUFHWEHWJFCXSU-YUELXQCFSA-N 1 2 321.446 1.815 20 30 DDEDLO N#Cc1ccc(N2C[C@@H]3C[C@H]2CN3C(=O)CCn2cc[nH+]c2)cn1 ZINC001062552961 753107963 /nfs/dbraw/zinc/10/79/63/753107963.db2.gz RYFBVHLHMDEJKD-HOTGVXAUSA-N 1 2 322.372 1.030 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3cc(C)no3)C2)cn1 ZINC001009269512 753135408 /nfs/dbraw/zinc/13/54/08/753135408.db2.gz ORRFONBJCSHXLR-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3cc(C)no3)C2)cn1 ZINC001009269512 753135413 /nfs/dbraw/zinc/13/54/13/753135413.db2.gz ORRFONBJCSHXLR-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001009365088 753170147 /nfs/dbraw/zinc/17/01/47/753170147.db2.gz UIPMEQATPURTSE-KGLIPLIRSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001009365088 753170150 /nfs/dbraw/zinc/17/01/50/753170150.db2.gz UIPMEQATPURTSE-KGLIPLIRSA-N 1 2 313.829 1.188 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)CN1c1ccc(C#N)cn1 ZINC001039757542 762203974 /nfs/dbraw/zinc/20/39/74/762203974.db2.gz NPECBTNSBCYOJV-UKRRQHHQSA-N 1 2 324.388 1.323 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001077924969 753430205 /nfs/dbraw/zinc/43/02/05/753430205.db2.gz UHAIVJOXSAZEAO-KBPBESRZSA-N 1 2 320.437 1.576 20 30 DDEDLO CN(C(=O)[C@@H]1CCCc2[nH+]c[nH]c21)C1CCN(CC#N)CC1 ZINC001005982222 753454944 /nfs/dbraw/zinc/45/49/44/753454944.db2.gz YTGNZNLQYINESB-CYBMUJFWSA-N 1 2 301.394 1.276 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(Cl)cc1 ZINC001032760352 753533072 /nfs/dbraw/zinc/53/30/72/753533072.db2.gz XNCPIIXAGQOLCZ-KBPBESRZSA-N 1 2 304.777 1.637 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(Cl)cc1 ZINC001032760352 753533076 /nfs/dbraw/zinc/53/30/76/753533076.db2.gz XNCPIIXAGQOLCZ-KBPBESRZSA-N 1 2 304.777 1.637 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)no1 ZINC001010025791 753555161 /nfs/dbraw/zinc/55/51/61/753555161.db2.gz BPEGCMRXRZDUBB-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)no1 ZINC001010025791 753555167 /nfs/dbraw/zinc/55/51/67/753555167.db2.gz BPEGCMRXRZDUBB-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C)c2Cl)C1 ZINC001108024930 753575872 /nfs/dbraw/zinc/57/58/72/753575872.db2.gz QSLRJEYKHOHFDI-AWEZNQCLSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn(C)c2Cl)C1 ZINC001108024930 753575874 /nfs/dbraw/zinc/57/58/74/753575874.db2.gz QSLRJEYKHOHFDI-AWEZNQCLSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2nc(C)sc2C)C1 ZINC001108027335 753694148 /nfs/dbraw/zinc/69/41/48/753694148.db2.gz SADMHJFCCRBOOL-MRXNPFEDSA-N 1 2 323.462 1.696 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2nc(C)sc2C)C1 ZINC001108027335 753694150 /nfs/dbraw/zinc/69/41/50/753694150.db2.gz SADMHJFCCRBOOL-MRXNPFEDSA-N 1 2 323.462 1.696 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC001078148060 753792795 /nfs/dbraw/zinc/79/27/95/753792795.db2.gz AIRQUIBNIBJKAA-ZIAGYGMSSA-N 1 2 306.431 1.417 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC001078148060 753792799 /nfs/dbraw/zinc/79/27/99/753792799.db2.gz AIRQUIBNIBJKAA-ZIAGYGMSSA-N 1 2 306.431 1.417 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC001078230452 753866021 /nfs/dbraw/zinc/86/60/21/753866021.db2.gz BQMFUHKVCVQSHS-HUUCEWRRSA-N 1 2 312.369 1.539 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC001078230452 753866025 /nfs/dbraw/zinc/86/60/25/753866025.db2.gz BQMFUHKVCVQSHS-HUUCEWRRSA-N 1 2 312.369 1.539 20 30 DDEDLO Cc1cc(N2CC[C@H](N(C)C(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001062967345 753878086 /nfs/dbraw/zinc/87/80/86/753878086.db2.gz OHYBDNLUGCLXPR-AWEZNQCLSA-N 1 2 324.388 1.265 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(F)cn3)C2)c[nH]1 ZINC001010668453 754090806 /nfs/dbraw/zinc/09/08/06/754090806.db2.gz LCPJFQCIAARELC-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CC[N@H+](Cc3ccc(F)cn3)C2)c[nH]1 ZINC001010668453 754090808 /nfs/dbraw/zinc/09/08/08/754090808.db2.gz LCPJFQCIAARELC-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001011330178 754438102 /nfs/dbraw/zinc/43/81/02/754438102.db2.gz KXQULVYTLQMQJM-UHFFFAOYSA-N 1 2 304.394 1.156 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001064069906 754481820 /nfs/dbraw/zinc/48/18/20/754481820.db2.gz MZSITAVDNPIAEY-KGLIPLIRSA-N 1 2 318.421 1.496 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001064141520 754538623 /nfs/dbraw/zinc/53/86/23/754538623.db2.gz VIIVNKFVNBKFSL-ZFWWWQNUSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCCCC(=O)NCC1(NC(=O)CCn2cc[nH+]c2)CCC1 ZINC001064278512 754597955 /nfs/dbraw/zinc/59/79/55/754597955.db2.gz LUUNVRWOABWYER-UHFFFAOYSA-N 1 2 318.421 1.785 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001064399575 754664507 /nfs/dbraw/zinc/66/45/07/754664507.db2.gz JOCAATRJUJUXFM-INIZCTEOSA-N 1 2 318.421 1.710 20 30 DDEDLO Cc1nc(N2CCC3(CCN(C(=O)[C@@H](C)C#N)C3)CC2)cc[nH+]1 ZINC001064578134 754724002 /nfs/dbraw/zinc/72/40/02/754724002.db2.gz IECIKCMEHKAYGL-ZDUSSCGKSA-N 1 2 313.405 1.764 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064786138 754848645 /nfs/dbraw/zinc/84/86/45/754848645.db2.gz XGSSKUAMIPFXBP-ZFWWWQNUSA-N 1 2 324.388 1.641 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001014398506 755717284 /nfs/dbraw/zinc/71/72/84/755717284.db2.gz AUNDDPDJKMTYIN-ZDUSSCGKSA-N 1 2 309.797 1.100 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001014398506 755717289 /nfs/dbraw/zinc/71/72/89/755717289.db2.gz AUNDDPDJKMTYIN-ZDUSSCGKSA-N 1 2 309.797 1.100 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(OC)ccn2)C1 ZINC001080246658 755782732 /nfs/dbraw/zinc/78/27/32/755782732.db2.gz GCXAYIUZGRJWNK-QMTHXVAHSA-N 1 2 309.797 1.893 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(OC)ccn2)C1 ZINC001080246658 755782737 /nfs/dbraw/zinc/78/27/37/755782737.db2.gz GCXAYIUZGRJWNK-QMTHXVAHSA-N 1 2 309.797 1.893 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1Nc1ncccc1C#N ZINC001067083773 755821347 /nfs/dbraw/zinc/82/13/47/755821347.db2.gz FLBVGYPGPIOPIA-GXTWGEPZSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080631642 756013240 /nfs/dbraw/zinc/01/32/40/756013240.db2.gz ABHGHVWFDOBSSI-STQMWFEESA-N 1 2 318.421 1.470 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)CC1CC1 ZINC001081266154 756261124 /nfs/dbraw/zinc/26/11/24/756261124.db2.gz VTRUGWQHDPPRGN-QZTJIDSGSA-N 1 2 312.413 1.654 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)CC1CC1 ZINC001081266154 756261125 /nfs/dbraw/zinc/26/11/25/756261125.db2.gz VTRUGWQHDPPRGN-QZTJIDSGSA-N 1 2 312.413 1.654 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cnco3)C2)s1 ZINC001015442040 756303717 /nfs/dbraw/zinc/30/37/17/756303717.db2.gz JMSVLXJGXNZIBX-JTQLQIEISA-N 1 2 302.359 1.612 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cnco3)C2)s1 ZINC001015442040 756303723 /nfs/dbraw/zinc/30/37/23/756303723.db2.gz JMSVLXJGXNZIBX-JTQLQIEISA-N 1 2 302.359 1.612 20 30 DDEDLO C[C@@]1(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)CCOC1 ZINC001015905083 756637664 /nfs/dbraw/zinc/63/76/64/756637664.db2.gz OADCVWBFBVCDGV-SJLPKXTDSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@@]1(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)CCOC1 ZINC001015905083 756637668 /nfs/dbraw/zinc/63/76/68/756637668.db2.gz OADCVWBFBVCDGV-SJLPKXTDSA-N 1 2 313.401 1.675 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cn2cc3ccccc3n2)C1 ZINC001016006824 756715595 /nfs/dbraw/zinc/71/55/95/756715595.db2.gz JOGCDFZHBFHNEG-CQSZACIVSA-N 1 2 318.808 1.979 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cn2cc3ccccc3n2)C1 ZINC001016006824 756715600 /nfs/dbraw/zinc/71/56/00/756715600.db2.gz JOGCDFZHBFHNEG-CQSZACIVSA-N 1 2 318.808 1.979 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2occ3c2CCCC3)[C@H](OC)C1 ZINC001082344827 756740907 /nfs/dbraw/zinc/74/09/07/756740907.db2.gz GZAYMVOOBWFZMI-HUUCEWRRSA-N 1 2 302.374 1.221 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2occ3c2CCCC3)[C@H](OC)C1 ZINC001082344827 756740909 /nfs/dbraw/zinc/74/09/09/756740909.db2.gz GZAYMVOOBWFZMI-HUUCEWRRSA-N 1 2 302.374 1.221 20 30 DDEDLO Cn1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001016253560 756874018 /nfs/dbraw/zinc/87/40/18/756874018.db2.gz NGPAOLHBSBLXMT-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001016253560 756874022 /nfs/dbraw/zinc/87/40/22/756874022.db2.gz NGPAOLHBSBLXMT-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001082722064 756903993 /nfs/dbraw/zinc/90/39/93/756903993.db2.gz VZROWWIATSDSBD-AXAPSJFSSA-N 1 2 306.410 1.917 20 30 DDEDLO Cc1nc(N2CCC[C@@H](CNC(=O)CSCC#N)C2)cc[nH+]1 ZINC001097288132 757072295 /nfs/dbraw/zinc/07/22/95/757072295.db2.gz FPWFHHWIPILFCX-ZDUSSCGKSA-N 1 2 319.434 1.374 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4cccnc43)[C@H]2C1 ZINC001083133333 757142408 /nfs/dbraw/zinc/14/24/08/757142408.db2.gz QCEFEYLVTCRJIZ-DLBZAZTESA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4cccnc43)[C@H]2C1 ZINC001083133333 757142411 /nfs/dbraw/zinc/14/24/11/757142411.db2.gz QCEFEYLVTCRJIZ-DLBZAZTESA-N 1 2 321.380 1.393 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3onc4c3CCCC4)[C@H]2C1 ZINC001083142663 757149861 /nfs/dbraw/zinc/14/98/61/757149861.db2.gz UIWUEIGFLPMVPD-LSDHHAIUSA-N 1 2 317.389 1.265 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3onc4c3CCCC4)[C@H]2C1 ZINC001083142663 757149867 /nfs/dbraw/zinc/14/98/67/757149867.db2.gz UIWUEIGFLPMVPD-LSDHHAIUSA-N 1 2 317.389 1.265 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3cc(C)cc(C)c3)CC2)[C@@H](O)C1 ZINC001084063557 757265235 /nfs/dbraw/zinc/26/52/35/757265235.db2.gz HKRZLAMTSSWOOE-SJORKVTESA-N 1 2 312.413 1.130 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3cc(C)cc(C)c3)CC2)[C@@H](O)C1 ZINC001084063557 757265240 /nfs/dbraw/zinc/26/52/40/757265240.db2.gz HKRZLAMTSSWOOE-SJORKVTESA-N 1 2 312.413 1.130 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001097766413 757512942 /nfs/dbraw/zinc/51/29/42/757512942.db2.gz MELNEISEUMVQKP-GFCCVEGCSA-N 1 2 314.393 1.474 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)CNC(=O)CCc2[nH]cc[nH+]2)n1 ZINC001097791795 757524421 /nfs/dbraw/zinc/52/44/21/757524421.db2.gz GGSHZVRHQBFZIE-LBPRGKRZSA-N 1 2 312.377 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3c[nH]c(=O)cc3C)[C@@H]2C1 ZINC001084652093 757739221 /nfs/dbraw/zinc/73/92/21/757739221.db2.gz LXXTZJQCGGTWJW-TZMCWYRMSA-N 1 2 321.808 1.994 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3c[nH]c(=O)cc3C)[C@@H]2C1 ZINC001084652093 757739228 /nfs/dbraw/zinc/73/92/28/757739228.db2.gz LXXTZJQCGGTWJW-TZMCWYRMSA-N 1 2 321.808 1.994 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001052866693 757903543 /nfs/dbraw/zinc/90/35/43/757903543.db2.gz IKIYUOMEWSMMKE-HUUCEWRRSA-N 1 2 318.421 1.450 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098295389 757914660 /nfs/dbraw/zinc/91/46/60/757914660.db2.gz FKZFKEFKSBITLF-LLVKDONJSA-N 1 2 316.409 1.882 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)/C(C)=C/C)C1=O ZINC001017458206 757947690 /nfs/dbraw/zinc/94/76/90/757947690.db2.gz FFIGOERVFGOIJU-BVKLSWCYSA-N 1 2 317.433 1.415 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)/C(C)=C/C)C1=O ZINC001017458206 757947699 /nfs/dbraw/zinc/94/76/99/757947699.db2.gz FFIGOERVFGOIJU-BVKLSWCYSA-N 1 2 317.433 1.415 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)c3cnn(C)c3)[C@@H]2C1 ZINC001084877217 758010676 /nfs/dbraw/zinc/01/06/76/758010676.db2.gz CFUQCPQIPULETP-GZBFAFLISA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)c3cnn(C)c3)[C@@H]2C1 ZINC001084877217 758010683 /nfs/dbraw/zinc/01/06/83/758010683.db2.gz CFUQCPQIPULETP-GZBFAFLISA-N 1 2 322.840 1.809 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](Cc2ccn(C)n2)C[C@H]1O ZINC001099849331 758070225 /nfs/dbraw/zinc/07/02/25/758070225.db2.gz CSBGMIHYQKJQAX-LSDHHAIUSA-N 1 2 320.437 1.074 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](Cc2ccn(C)n2)C[C@H]1O ZINC001099849331 758070235 /nfs/dbraw/zinc/07/02/35/758070235.db2.gz CSBGMIHYQKJQAX-LSDHHAIUSA-N 1 2 320.437 1.074 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCn2cncc2C1 ZINC001017671573 758140857 /nfs/dbraw/zinc/14/08/57/758140857.db2.gz WEULMEWRUPSIRB-OAGGEKHMSA-N 1 2 312.417 1.144 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCn2cncc2C1 ZINC001017671573 758140863 /nfs/dbraw/zinc/14/08/63/758140863.db2.gz WEULMEWRUPSIRB-OAGGEKHMSA-N 1 2 312.417 1.144 20 30 DDEDLO N#CCN1CC[C@@H]2CCN(C(=O)[C@H]3CCCc4[nH+]c[nH]c43)C[C@@H]21 ZINC001085029014 758165651 /nfs/dbraw/zinc/16/56/51/758165651.db2.gz DPTISYVNJZXYRS-IPYPFGDCSA-N 1 2 313.405 1.276 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2cc(C)ccc2O1 ZINC001017733145 758192034 /nfs/dbraw/zinc/19/20/34/758192034.db2.gz HIFDDDLKPQQLPB-SCTDSRPQSA-N 1 2 324.424 1.997 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2cc(C)ccc2O1 ZINC001017733145 758192037 /nfs/dbraw/zinc/19/20/37/758192037.db2.gz HIFDDDLKPQQLPB-SCTDSRPQSA-N 1 2 324.424 1.997 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nccn1C ZINC001017762623 758214309 /nfs/dbraw/zinc/21/43/09/758214309.db2.gz AEDUTBKHQZNFMB-GASCZTMLSA-N 1 2 300.406 1.051 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nccn1C ZINC001017762623 758214317 /nfs/dbraw/zinc/21/43/17/758214317.db2.gz AEDUTBKHQZNFMB-GASCZTMLSA-N 1 2 300.406 1.051 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001053267641 758322031 /nfs/dbraw/zinc/32/20/31/758322031.db2.gz LOFJOKJGOVENLF-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(COC)o1)CCO2 ZINC001053334246 758382367 /nfs/dbraw/zinc/38/23/67/758382367.db2.gz SIEUXEUBHUACFT-UHFFFAOYSA-N 1 2 320.389 1.529 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC12CC(OCC)C2 ZINC001017938745 758408844 /nfs/dbraw/zinc/40/88/44/758408844.db2.gz IHJYTKUHZXKWDU-VFORCBIJSA-N 1 2 302.418 1.500 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC12CC(OCC)C2 ZINC001017938745 758408846 /nfs/dbraw/zinc/40/88/46/758408846.db2.gz IHJYTKUHZXKWDU-VFORCBIJSA-N 1 2 302.418 1.500 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC[C@H]1CC[C@@H](C)O1)CCO2 ZINC001053539156 758544265 /nfs/dbraw/zinc/54/42/65/758544265.db2.gz OWHVQVZTSSAACC-HZPDHXFCSA-N 1 2 322.449 1.823 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CCC)nc1C ZINC001018104069 758550842 /nfs/dbraw/zinc/55/08/42/758550842.db2.gz XOPLKJWDIPQOKM-GASCZTMLSA-N 1 2 300.406 1.524 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(CCC)nc1C ZINC001018104069 758550845 /nfs/dbraw/zinc/55/08/45/758550845.db2.gz XOPLKJWDIPQOKM-GASCZTMLSA-N 1 2 300.406 1.524 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)C1CCOCC1)CCO2 ZINC001053552238 758556646 /nfs/dbraw/zinc/55/66/46/758556646.db2.gz OJFBHMQPGQZAMG-HNNXBMFYSA-N 1 2 322.449 1.538 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(OC)no1)O2 ZINC001053593212 758610544 /nfs/dbraw/zinc/61/05/44/758610544.db2.gz DXJOAEUPVPDSAZ-LBPRGKRZSA-N 1 2 321.377 1.223 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)ncn1)O2 ZINC001053593019 758611257 /nfs/dbraw/zinc/61/12/57/758611257.db2.gz YTNDUSVRBZPIJZ-AWEZNQCLSA-N 1 2 316.405 1.324 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccoc1CC)O2 ZINC001053595087 758615089 /nfs/dbraw/zinc/61/50/89/758615089.db2.gz YOTTXUBPPCOUIT-ZDUSSCGKSA-N 1 2 304.390 1.991 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(=O)c2ccccc21 ZINC001018206816 758632438 /nfs/dbraw/zinc/63/24/38/758632438.db2.gz XPJPPGHKXKXLGS-BETUJISGSA-N 1 2 322.368 1.257 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(=O)c2ccccc21 ZINC001018206816 758632445 /nfs/dbraw/zinc/63/24/45/758632445.db2.gz XPJPPGHKXKXLGS-BETUJISGSA-N 1 2 322.368 1.257 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c[nH]nc1C)O2 ZINC001053634365 758650035 /nfs/dbraw/zinc/65/00/35/758650035.db2.gz QVTLEKRSLLDOEU-ZDUSSCGKSA-N 1 2 304.394 1.257 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001065677180 758697108 /nfs/dbraw/zinc/69/71/08/758697108.db2.gz HPJCBRTZAQEBME-WCQYABFASA-N 1 2 324.388 1.948 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065711180 758728663 /nfs/dbraw/zinc/72/86/63/758728663.db2.gz IYQHIKAWYGXOPO-HOTGVXAUSA-N 1 2 321.465 1.744 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)n1nc(C)cc1C ZINC001018328167 758733251 /nfs/dbraw/zinc/73/32/51/758733251.db2.gz DHFXZLULNBNZAX-YESZJQIVSA-N 1 2 314.433 1.759 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)n1nc(C)cc1C ZINC001018328167 758733258 /nfs/dbraw/zinc/73/32/58/758733258.db2.gz DHFXZLULNBNZAX-YESZJQIVSA-N 1 2 314.433 1.759 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(Cl)o1)CO2 ZINC001053740114 758755142 /nfs/dbraw/zinc/75/51/42/758755142.db2.gz BLWJZKWGRCOSTL-LLVKDONJSA-N 1 2 308.765 1.529 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H]1CCCCO1)CO2 ZINC001053772441 758794684 /nfs/dbraw/zinc/79/46/84/758794684.db2.gz OOJOVVQQCQZSNZ-HUUCEWRRSA-N 1 2 308.422 1.481 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1CNc1ncccc1C#N ZINC001065861010 758866875 /nfs/dbraw/zinc/86/68/75/758866875.db2.gz LFGVJTLXQLOMLT-HIFRSBDPSA-N 1 2 324.388 1.356 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@]1(C)C[C@H]3C[C@H]3C1)CO2 ZINC001053880254 758914879 /nfs/dbraw/zinc/91/48/79/758914879.db2.gz MLYCHHNXKRHICZ-JYYAWHABSA-N 1 2 302.418 1.405 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccn1C(C)C)CO2 ZINC001054010696 759058483 /nfs/dbraw/zinc/05/84/83/759058483.db2.gz XBPGIZBYBXAJRD-HNNXBMFYSA-N 1 2 315.417 1.665 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1CC1(F)F ZINC001054040023 759098946 /nfs/dbraw/zinc/09/89/46/759098946.db2.gz QFKAKWNJPXXEOT-LSDHHAIUSA-N 1 2 304.340 1.818 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1CC1(F)F ZINC001054040023 759098953 /nfs/dbraw/zinc/09/89/53/759098953.db2.gz QFKAKWNJPXXEOT-LSDHHAIUSA-N 1 2 304.340 1.818 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccnnc1 ZINC001054053868 759107937 /nfs/dbraw/zinc/10/79/37/759107937.db2.gz CMMLXLMVTRVIHW-QGZVFWFLSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccnnc1 ZINC001054053868 759107942 /nfs/dbraw/zinc/10/79/42/759107942.db2.gz CMMLXLMVTRVIHW-QGZVFWFLSA-N 1 2 306.369 1.267 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ncccn1 ZINC001054056366 759109641 /nfs/dbraw/zinc/10/96/41/759109641.db2.gz FXTXVRHVVBSZDA-QGZVFWFLSA-N 1 2 320.396 1.657 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ncccn1 ZINC001054056366 759109643 /nfs/dbraw/zinc/10/96/43/759109643.db2.gz FXTXVRHVVBSZDA-QGZVFWFLSA-N 1 2 320.396 1.657 20 30 DDEDLO N#CCN1CC[C@]2(C1)CCCN(C(=O)CCc1[nH]cc[nH+]1)C2 ZINC001054124645 759197303 /nfs/dbraw/zinc/19/73/03/759197303.db2.gz WLTHURFJDDCCEY-INIZCTEOSA-N 1 2 301.394 1.180 20 30 DDEDLO C=CC[N@@H+](CCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001098374057 759254616 /nfs/dbraw/zinc/25/46/16/759254616.db2.gz TYOSVTZGZFGYLX-NSHDSACASA-N 1 2 312.307 1.358 20 30 DDEDLO C=CC[N@H+](CCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001098374057 759254623 /nfs/dbraw/zinc/25/46/23/759254623.db2.gz TYOSVTZGZFGYLX-NSHDSACASA-N 1 2 312.307 1.358 20 30 DDEDLO C=CC[N@@H+](CCO)C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001098374057 759254628 /nfs/dbraw/zinc/25/46/28/759254628.db2.gz TYOSVTZGZFGYLX-NSHDSACASA-N 1 2 312.307 1.358 20 30 DDEDLO C=CC[N@H+](CCO)C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001098374057 759254632 /nfs/dbraw/zinc/25/46/32/759254632.db2.gz TYOSVTZGZFGYLX-NSHDSACASA-N 1 2 312.307 1.358 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cn(CC)nn3)cc2C1 ZINC001054288203 759394225 /nfs/dbraw/zinc/39/42/25/759394225.db2.gz NGSRFQXIQJRFOE-UHFFFAOYSA-N 1 2 309.373 1.177 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cn(CC)nn3)cc2C1 ZINC001054288203 759394232 /nfs/dbraw/zinc/39/42/32/759394232.db2.gz NGSRFQXIQJRFOE-UHFFFAOYSA-N 1 2 309.373 1.177 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)C2CCCC2)C1=O ZINC001085453296 759414967 /nfs/dbraw/zinc/41/49/67/759414967.db2.gz JNYCYUIUFWXYCS-HOTGVXAUSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C2CCCC2)C1=O ZINC001085453296 759414976 /nfs/dbraw/zinc/41/49/76/759414976.db2.gz JNYCYUIUFWXYCS-HOTGVXAUSA-N 1 2 319.449 1.496 20 30 DDEDLO C#CC[N@H+]1CC[C@](C)(NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001046740558 767874583 /nfs/dbraw/zinc/87/45/83/767874583.db2.gz VSCLTNLQNYISLC-SJCJKPOMSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CC[N@@H+]1CC[C@](C)(NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001046740558 767874589 /nfs/dbraw/zinc/87/45/89/767874589.db2.gz VSCLTNLQNYISLC-SJCJKPOMSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc2n1[C@H](C)CCC2 ZINC001085609407 759828952 /nfs/dbraw/zinc/82/89/52/759828952.db2.gz QWUBFBKLQMXQGQ-KGLIPLIRSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc2n1[C@H](C)CCC2 ZINC001085609407 759828957 /nfs/dbraw/zinc/82/89/57/759828957.db2.gz QWUBFBKLQMXQGQ-KGLIPLIRSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(CC(F)(F)F)c1 ZINC001085643857 759922304 /nfs/dbraw/zinc/92/23/04/759922304.db2.gz ZSYZSKQUBUMFDN-GFCCVEGCSA-N 1 2 314.311 1.225 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(CC(F)(F)F)c1 ZINC001085643857 759922312 /nfs/dbraw/zinc/92/23/12/759922312.db2.gz ZSYZSKQUBUMFDN-GFCCVEGCSA-N 1 2 314.311 1.225 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001046768022 767906938 /nfs/dbraw/zinc/90/69/38/767906938.db2.gz SZSJHQPEINFNNI-INIZCTEOSA-N 1 2 322.840 1.856 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001046768022 767906941 /nfs/dbraw/zinc/90/69/41/767906941.db2.gz SZSJHQPEINFNNI-INIZCTEOSA-N 1 2 322.840 1.856 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001085682584 760001922 /nfs/dbraw/zinc/00/19/22/760001922.db2.gz XDFFNLLYDHIMSO-ZDUSSCGKSA-N 1 2 324.384 1.505 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001085682584 760001930 /nfs/dbraw/zinc/00/19/30/760001930.db2.gz XDFFNLLYDHIMSO-ZDUSSCGKSA-N 1 2 324.384 1.505 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1csc(-c2ncc[nH]2)n1 ZINC001085733489 760127581 /nfs/dbraw/zinc/12/75/81/760127581.db2.gz GSSSETTXGOSUQP-LLVKDONJSA-N 1 2 315.402 1.313 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1csc(-c2ncc[nH]2)n1 ZINC001085733489 760127583 /nfs/dbraw/zinc/12/75/83/760127583.db2.gz GSSSETTXGOSUQP-LLVKDONJSA-N 1 2 315.402 1.313 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2[C@H](CC)C(N)=O)cc1 ZINC001085757552 760179066 /nfs/dbraw/zinc/17/90/66/760179066.db2.gz KGPQMNPQWSNBBI-HZPDHXFCSA-N 1 2 313.401 1.078 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@H](CC)C(N)=O)cc1 ZINC001085757552 760179070 /nfs/dbraw/zinc/17/90/70/760179070.db2.gz KGPQMNPQWSNBBI-HZPDHXFCSA-N 1 2 313.401 1.078 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2cccnc2)on1 ZINC001085795249 760255216 /nfs/dbraw/zinc/25/52/16/760255216.db2.gz OYBJBURJJCGXTB-OAHLLOKOSA-N 1 2 324.384 1.906 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2cccnc2)on1 ZINC001085795249 760255221 /nfs/dbraw/zinc/25/52/21/760255221.db2.gz OYBJBURJJCGXTB-OAHLLOKOSA-N 1 2 324.384 1.906 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cnc(C)o2)c1 ZINC001085810165 760279117 /nfs/dbraw/zinc/27/91/17/760279117.db2.gz WFGKCYDECSYAJA-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cnc(C)o2)c1 ZINC001085810165 760279119 /nfs/dbraw/zinc/27/91/19/760279119.db2.gz WFGKCYDECSYAJA-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1C ZINC001085823628 760311940 /nfs/dbraw/zinc/31/19/40/760311940.db2.gz NXMPLSTZBLICON-OAGGEKHMSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1C ZINC001085823628 760311946 /nfs/dbraw/zinc/31/19/46/760311946.db2.gz NXMPLSTZBLICON-OAGGEKHMSA-N 1 2 319.405 1.607 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1ccnnc1 ZINC001085839343 760351147 /nfs/dbraw/zinc/35/11/47/760351147.db2.gz IEJJRMIAZWOIOF-SFHVURJKSA-N 1 2 320.396 1.675 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1ccnnc1 ZINC001085839343 760351153 /nfs/dbraw/zinc/35/11/53/760351153.db2.gz IEJJRMIAZWOIOF-SFHVURJKSA-N 1 2 320.396 1.675 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1sc(N(C)C)nc1C ZINC001085857360 760377710 /nfs/dbraw/zinc/37/77/10/760377710.db2.gz WICFANKSCZBJKD-CYBMUJFWSA-N 1 2 320.462 1.687 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1sc(N(C)C)nc1C ZINC001085857360 760377718 /nfs/dbraw/zinc/37/77/18/760377718.db2.gz WICFANKSCZBJKD-CYBMUJFWSA-N 1 2 320.462 1.687 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(CCC)CC2)[C@@H](O)C1 ZINC001100004561 760454571 /nfs/dbraw/zinc/45/45/71/760454571.db2.gz YZHAZCLQOZACMT-OLZOCXBDSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(CCC)CC2)[C@@H](O)C1 ZINC001100004561 760454573 /nfs/dbraw/zinc/45/45/73/760454573.db2.gz YZHAZCLQOZACMT-OLZOCXBDSA-N 1 2 300.830 1.871 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)ccn1 ZINC001085907455 760504306 /nfs/dbraw/zinc/50/43/06/760504306.db2.gz AUQUACWIVYQAFM-GFCCVEGCSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)ccn1 ZINC001085907455 760504314 /nfs/dbraw/zinc/50/43/14/760504314.db2.gz AUQUACWIVYQAFM-GFCCVEGCSA-N 1 2 311.307 1.880 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2C[C@@H](F)CC)cn1 ZINC001085933075 760563437 /nfs/dbraw/zinc/56/34/37/760563437.db2.gz PHFOUHKCHSGZSC-GOEBONIOSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2C[C@@H](F)CC)cn1 ZINC001085933075 760563440 /nfs/dbraw/zinc/56/34/40/760563440.db2.gz PHFOUHKCHSGZSC-GOEBONIOSA-N 1 2 303.381 1.957 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1scnc1COC)C2 ZINC001097999668 760567103 /nfs/dbraw/zinc/56/71/03/760567103.db2.gz IDOJCAJNVDOHEB-WZRBSPASSA-N 1 2 305.403 1.258 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1scnc1COC)C2 ZINC001097999668 760567106 /nfs/dbraw/zinc/56/71/06/760567106.db2.gz IDOJCAJNVDOHEB-WZRBSPASSA-N 1 2 305.403 1.258 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C(F)(F)F)cnn1C ZINC001085953558 760604366 /nfs/dbraw/zinc/60/43/66/760604366.db2.gz CRPWMFHXBISOAJ-JTQLQIEISA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C(F)(F)F)cnn1C ZINC001085953558 760604367 /nfs/dbraw/zinc/60/43/67/760604367.db2.gz CRPWMFHXBISOAJ-JTQLQIEISA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OCC)c(OC)c1 ZINC001085966600 760618421 /nfs/dbraw/zinc/61/84/21/760618421.db2.gz OEWQBGIJYJOBIJ-HNNXBMFYSA-N 1 2 316.401 1.873 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OCC)c(OC)c1 ZINC001085966600 760618426 /nfs/dbraw/zinc/61/84/26/760618426.db2.gz OEWQBGIJYJOBIJ-HNNXBMFYSA-N 1 2 316.401 1.873 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(NC(=O)NC)cc1 ZINC001085994484 760677182 /nfs/dbraw/zinc/67/71/82/760677182.db2.gz MPFWOCJSNRREAJ-HNNXBMFYSA-N 1 2 314.389 1.217 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(NC(=O)NC)cc1 ZINC001085994484 760677184 /nfs/dbraw/zinc/67/71/84/760677184.db2.gz MPFWOCJSNRREAJ-HNNXBMFYSA-N 1 2 314.389 1.217 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc(C(C)(C)C)nc1 ZINC001086002812 760695449 /nfs/dbraw/zinc/69/54/49/760695449.db2.gz RZFQRYSJVDYZGB-AWEZNQCLSA-N 1 2 300.406 1.554 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc(C(C)(C)C)nc1 ZINC001086002812 760695450 /nfs/dbraw/zinc/69/54/50/760695450.db2.gz RZFQRYSJVDYZGB-AWEZNQCLSA-N 1 2 300.406 1.554 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccccn1 ZINC001038260331 760955996 /nfs/dbraw/zinc/95/59/96/760955996.db2.gz QJOMXWNASXCPJK-ZACQAIPSSA-N 1 2 315.417 1.753 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1ccccn1 ZINC001038260331 760956001 /nfs/dbraw/zinc/95/60/01/760956001.db2.gz QJOMXWNASXCPJK-ZACQAIPSSA-N 1 2 315.417 1.753 20 30 DDEDLO Cc1ccc(C#N)c(N(C)CCNC(=O)CCc2[nH]cc[nH+]2)n1 ZINC001100042487 761155902 /nfs/dbraw/zinc/15/59/02/761155902.db2.gz BFYWPOSQWSNJGM-UHFFFAOYSA-N 1 2 312.377 1.170 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001056619561 761293740 /nfs/dbraw/zinc/29/37/40/761293740.db2.gz BITNEBVULRYIKF-CYBMUJFWSA-N 1 2 310.361 1.322 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H]2CC[N@H+]2C[C@@H](F)CC)nn1 ZINC001038791198 761411678 /nfs/dbraw/zinc/41/16/78/761411678.db2.gz WIZVIQIRNJUMPO-STQMWFEESA-N 1 2 309.389 1.406 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H]2CC[N@@H+]2C[C@@H](F)CC)nn1 ZINC001038791198 761411681 /nfs/dbraw/zinc/41/16/81/761411681.db2.gz WIZVIQIRNJUMPO-STQMWFEESA-N 1 2 309.389 1.406 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001069511017 768040716 /nfs/dbraw/zinc/04/07/16/768040716.db2.gz SKTOYGSKDARRDH-OCCSQVGLSA-N 1 2 324.388 1.616 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(OC)c(OCC)c1 ZINC001039002704 761633524 /nfs/dbraw/zinc/63/35/24/761633524.db2.gz ZITBPNZNFZKYNK-AWEZNQCLSA-N 1 2 302.374 1.531 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(OC)c(OCC)c1 ZINC001039002704 761633529 /nfs/dbraw/zinc/63/35/29/761633529.db2.gz ZITBPNZNFZKYNK-AWEZNQCLSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(OCC2CC2)nc1 ZINC001039047271 761687185 /nfs/dbraw/zinc/68/71/85/761687185.db2.gz COZWQJJYRFPBGK-MRXNPFEDSA-N 1 2 313.401 1.698 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(OCC2CC2)nc1 ZINC001039047271 761687192 /nfs/dbraw/zinc/68/71/92/761687192.db2.gz COZWQJJYRFPBGK-MRXNPFEDSA-N 1 2 313.401 1.698 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001108286629 761767957 /nfs/dbraw/zinc/76/79/57/761767957.db2.gz WUQQGLHQGKMNTB-LBPRGKRZSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001108286629 761767962 /nfs/dbraw/zinc/76/79/62/761767962.db2.gz WUQQGLHQGKMNTB-LBPRGKRZSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@H](CCC)C(C)C)CC2=O)C1 ZINC001108576293 762724881 /nfs/dbraw/zinc/72/48/81/762724881.db2.gz PYRQOAZOKUUHIQ-GOEBONIOSA-N 1 2 321.465 1.646 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)CN(C)c2cc[nH+]c(C)n2)nc1 ZINC001109030914 763245526 /nfs/dbraw/zinc/24/55/26/763245526.db2.gz IJTAGNXXACLRGZ-GFCCVEGCSA-N 1 2 309.373 1.416 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(OC)no1 ZINC001109055188 763295051 /nfs/dbraw/zinc/29/50/51/763295051.db2.gz SDXITXGSUNWABG-XBFCOCLRSA-N 1 2 305.378 1.871 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(OC)no1 ZINC001109055188 763295058 /nfs/dbraw/zinc/29/50/58/763295058.db2.gz SDXITXGSUNWABG-XBFCOCLRSA-N 1 2 305.378 1.871 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001129381380 763319779 /nfs/dbraw/zinc/31/97/79/763319779.db2.gz MYFSTZCNBPVFSU-CABCVRRESA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001129381380 763319784 /nfs/dbraw/zinc/31/97/84/763319784.db2.gz MYFSTZCNBPVFSU-CABCVRRESA-N 1 2 317.437 1.325 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109335175 763585900 /nfs/dbraw/zinc/58/59/00/763585900.db2.gz LJCIJZSLTRSBLB-RDBSUJKOSA-N 1 2 320.437 1.030 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109335175 763585905 /nfs/dbraw/zinc/58/59/05/763585905.db2.gz LJCIJZSLTRSBLB-RDBSUJKOSA-N 1 2 320.437 1.030 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)C(C)C)C2 ZINC001109336513 763587021 /nfs/dbraw/zinc/58/70/21/763587021.db2.gz HASBSXJZFQCBHM-ILXRZTDVSA-N 1 2 319.449 1.140 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)C(C)C)C2 ZINC001109336513 763587025 /nfs/dbraw/zinc/58/70/25/763587025.db2.gz HASBSXJZFQCBHM-ILXRZTDVSA-N 1 2 319.449 1.140 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CC[C@@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001042057460 763600017 /nfs/dbraw/zinc/60/00/17/763600017.db2.gz JTNLTABHQIQXCE-CVEARBPZSA-N 1 2 312.417 1.256 20 30 DDEDLO Cn1ccnc1C[N@H+]1CC[C@@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001042057460 763600024 /nfs/dbraw/zinc/60/00/24/763600024.db2.gz JTNLTABHQIQXCE-CVEARBPZSA-N 1 2 312.417 1.256 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@@H]3CCN(CC#N)[C@@H]3C2)c[nH+]1 ZINC001042114320 763667388 /nfs/dbraw/zinc/66/73/88/763667388.db2.gz JPSXDAPYLBYLQV-LSDHHAIUSA-N 1 2 315.421 1.373 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001057429240 763677802 /nfs/dbraw/zinc/67/78/02/763677802.db2.gz DPIUGCRFZPILQT-CABCVRRESA-N 1 2 316.405 1.779 20 30 DDEDLO CN(CCCNc1ccc(C#N)cn1)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001109656594 763917199 /nfs/dbraw/zinc/91/71/99/763917199.db2.gz BNSKJONZLFGKCN-ZIAGYGMSSA-N 1 2 324.388 1.740 20 30 DDEDLO CN(CCCNc1ccc(C#N)cn1)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001109656594 763917206 /nfs/dbraw/zinc/91/72/06/763917206.db2.gz BNSKJONZLFGKCN-ZIAGYGMSSA-N 1 2 324.388 1.740 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001050892382 764241580 /nfs/dbraw/zinc/24/15/80/764241580.db2.gz OWXGUYLOLQIKMW-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cnn3c2C[N@H+](CC)CC3)cc1 ZINC001069870040 768200187 /nfs/dbraw/zinc/20/01/87/768200187.db2.gz PGZDJADILREQIF-UHFFFAOYSA-N 1 2 308.385 1.630 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cnn3c2C[N@@H+](CC)CC3)cc1 ZINC001069870040 768200194 /nfs/dbraw/zinc/20/01/94/768200194.db2.gz PGZDJADILREQIF-UHFFFAOYSA-N 1 2 308.385 1.630 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@H](Nc2ncccc2C#N)C1 ZINC001057671634 764290884 /nfs/dbraw/zinc/29/08/84/764290884.db2.gz TYQNGRTWJAJDJY-AWEZNQCLSA-N 1 2 324.388 1.332 20 30 DDEDLO C#CCCN1CCOC[C@@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001050979916 764377027 /nfs/dbraw/zinc/37/70/27/764377027.db2.gz FSARLFLEMCTVAL-AWEZNQCLSA-N 1 2 312.373 1.017 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)cc(C)n1 ZINC001050993074 764393096 /nfs/dbraw/zinc/39/30/96/764393096.db2.gz QTSRQHKGMDBCGI-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)cc(C)n1 ZINC001050993074 764393109 /nfs/dbraw/zinc/39/31/09/764393109.db2.gz QTSRQHKGMDBCGI-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1CC ZINC001050995203 764397974 /nfs/dbraw/zinc/39/79/74/764397974.db2.gz HUIPWCUTOAUKSS-CYBMUJFWSA-N 1 2 306.410 1.369 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1CC ZINC001050995203 764397980 /nfs/dbraw/zinc/39/79/80/764397980.db2.gz HUIPWCUTOAUKSS-CYBMUJFWSA-N 1 2 306.410 1.369 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(C)c(NC(C)=O)c2)C1 ZINC001042773157 764433526 /nfs/dbraw/zinc/43/35/26/764433526.db2.gz IAPHWMFHDWEDRK-UHFFFAOYSA-N 1 2 313.401 1.733 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C2CC2)on1 ZINC001051049304 764451883 /nfs/dbraw/zinc/45/18/83/764451883.db2.gz IZINRUZQGJPRFQ-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C2CC2)on1 ZINC001051049304 764451888 /nfs/dbraw/zinc/45/18/88/764451888.db2.gz IZINRUZQGJPRFQ-AWEZNQCLSA-N 1 2 319.405 1.949 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc2n[nH]cc21 ZINC001051057093 764459828 /nfs/dbraw/zinc/45/98/28/764459828.db2.gz ZDMKXGQKOUQWLH-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc2n[nH]cc21 ZINC001051057093 764459835 /nfs/dbraw/zinc/45/98/35/764459835.db2.gz ZDMKXGQKOUQWLH-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2CCCN2c2ccccc2)C1 ZINC001042878988 764503668 /nfs/dbraw/zinc/50/36/68/764503668.db2.gz PVZGZTQIJKCEIG-SFHVURJKSA-N 1 2 311.429 1.821 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2COCC[N@H+]2C[C@H](C)OC)CC1 ZINC001051100165 764504885 /nfs/dbraw/zinc/50/48/85/764504885.db2.gz QERLYBYZFKKBMI-GOEBONIOSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2COCC[N@@H+]2C[C@H](C)OC)CC1 ZINC001051100165 764504889 /nfs/dbraw/zinc/50/48/89/764504889.db2.gz QERLYBYZFKKBMI-GOEBONIOSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C1C[NH+](CCOC2CCC2)C1 ZINC001042930669 764535323 /nfs/dbraw/zinc/53/53/23/764535323.db2.gz LIQXJATXGVLBKS-UHFFFAOYSA-N 1 2 317.433 1.999 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(CCC)nn1 ZINC001051185805 764593379 /nfs/dbraw/zinc/59/33/79/764593379.db2.gz ZIDICQOYNUMWDB-AWEZNQCLSA-N 1 2 321.425 1.085 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(CCC)nn1 ZINC001051185805 764593383 /nfs/dbraw/zinc/59/33/83/764593383.db2.gz ZIDICQOYNUMWDB-AWEZNQCLSA-N 1 2 321.425 1.085 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(C)[nH]cc2NC(C)=O)C1 ZINC001043173927 764736450 /nfs/dbraw/zinc/73/64/50/764736450.db2.gz PBQORNHAZIGWBY-UHFFFAOYSA-N 1 2 302.378 1.061 20 30 DDEDLO CC(C)CCOCC[NH+]1CCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC001112774493 764741384 /nfs/dbraw/zinc/74/13/84/764741384.db2.gz ZGXRRXSTTYTFFJ-UHFFFAOYSA-N 1 2 318.421 1.707 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2cccnc2C)CC1 ZINC001112800951 764789823 /nfs/dbraw/zinc/78/98/23/764789823.db2.gz AAVKAIKKPUKZSH-KRWDZBQOSA-N 1 2 303.406 1.361 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051426016 764864937 /nfs/dbraw/zinc/86/49/37/764864937.db2.gz FGIDAXXEOKIERD-ZIAGYGMSSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2cnccc2C)CC1 ZINC001112843419 764866643 /nfs/dbraw/zinc/86/66/43/764866643.db2.gz VIFHUOWNPGSZQX-UHFFFAOYSA-N 1 2 301.390 1.074 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(C)[nH]nc2Cl)CC1 ZINC001112938504 765002607 /nfs/dbraw/zinc/00/26/07/765002607.db2.gz KEALBLWDHYEQEN-UHFFFAOYSA-N 1 2 312.801 1.332 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CCN(CC(F)(F)F)C2)C1 ZINC001043655508 765029209 /nfs/dbraw/zinc/02/92/09/765029209.db2.gz ROCYMKUOEJGSOA-NSHDSACASA-N 1 2 305.344 1.199 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCNC(=O)c2ccsc2)CC1 ZINC001112985377 765087055 /nfs/dbraw/zinc/08/70/55/765087055.db2.gz HAOZTRNXAVWLEI-UHFFFAOYSA-N 1 2 319.430 1.036 20 30 DDEDLO C[C@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1ncccc1C#N ZINC001112993241 765101464 /nfs/dbraw/zinc/10/14/64/765101464.db2.gz FOAPDUCMSOICNY-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC001051970128 765327829 /nfs/dbraw/zinc/32/78/29/765327829.db2.gz XRQDJHUARIKXSB-KRWDZBQOSA-N 1 2 303.450 1.418 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@H](OCC)C2CCOCC2)CC1 ZINC001113161065 765352936 /nfs/dbraw/zinc/35/29/36/765352936.db2.gz UQQXLGPDUYSKFU-MRXNPFEDSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3cncc(C)c3)C2)CC1 ZINC001052003739 765370360 /nfs/dbraw/zinc/37/03/60/765370360.db2.gz AGOORRMAVWDLRZ-QGZVFWFLSA-N 1 2 314.433 1.408 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccoc3Cl)C2)CC1 ZINC001052017480 765388212 /nfs/dbraw/zinc/38/82/12/765388212.db2.gz KBSKAONLYBAVGA-LBPRGKRZSA-N 1 2 322.796 1.289 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@]3(C)CC=CCC3)C2)CC1 ZINC001052030384 765405133 /nfs/dbraw/zinc/40/51/33/765405133.db2.gz MSIQFIOSPUFVFX-IEBWSBKVSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)(C)C(=C)C)C2)CC1 ZINC001052059374 765431119 /nfs/dbraw/zinc/43/11/19/765431119.db2.gz HXSFBKQBZYMDKB-INIZCTEOSA-N 1 2 303.450 1.440 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccc(C#C)cc3)C2)CC1 ZINC001052061254 765432654 /nfs/dbraw/zinc/43/26/54/765432654.db2.gz VKTXBLAMBGUSEU-LJQANCHMSA-N 1 2 321.424 1.133 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3C[C@@H]3C)C2)CC1 ZINC001052064555 765437297 /nfs/dbraw/zinc/43/72/97/765437297.db2.gz GDVSFHZVJKCIGR-QEJZJMRPSA-N 1 2 311.857 1.613 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3CCC3(C)C)C2)CC1 ZINC001052066968 765440428 /nfs/dbraw/zinc/44/04/28/765440428.db2.gz AMNUHKFXFKBHTA-IAGOWNOFSA-N 1 2 317.477 1.664 20 30 DDEDLO CC1(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)CCCCC1 ZINC001052074606 765446992 /nfs/dbraw/zinc/44/69/92/765446992.db2.gz HYWJLANKYPSKIT-INIZCTEOSA-N 1 2 318.465 1.699 20 30 DDEDLO C[C@H](NC(=O)CCn1cc[nH+]c1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113238789 765458361 /nfs/dbraw/zinc/45/83/61/765458361.db2.gz RLIPMEXUTKFCSC-STQMWFEESA-N 1 2 312.377 1.545 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cn1)[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001113238787 765458603 /nfs/dbraw/zinc/45/86/03/765458603.db2.gz RLIPMEXUTKFCSC-OLZOCXBDSA-N 1 2 312.377 1.545 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C[C@H](C)C3CC3)C2)CC1 ZINC001052122691 765485478 /nfs/dbraw/zinc/48/54/78/765485478.db2.gz YEGBUJBMPHSBKW-WMZOPIPTSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)N([C@H](C)CCC)C2)C1 ZINC001044332766 765488853 /nfs/dbraw/zinc/48/88/53/765488853.db2.gz IWGJCQGDOWJHBT-CABCVRRESA-N 1 2 321.465 1.742 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)N([C@@H](C)CCC)C2)C1 ZINC001044332769 765489350 /nfs/dbraw/zinc/48/93/50/765489350.db2.gz IWGJCQGDOWJHBT-LSDHHAIUSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001052193492 765568575 /nfs/dbraw/zinc/56/85/75/765568575.db2.gz JCTOFOWFGMQFLS-CHWSQXEVSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn3c2CCCC3)CC1 ZINC001113494282 765753559 /nfs/dbraw/zinc/75/35/59/765753559.db2.gz QNLBIIWLWZDSQN-UHFFFAOYSA-N 1 2 318.421 1.180 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2n[nH]c(C)n2)CC1 ZINC001052367188 765761987 /nfs/dbraw/zinc/76/19/87/765761987.db2.gz OCNXWIAETCSPBV-LBPRGKRZSA-N 1 2 311.817 1.379 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2n[nH]c(C)n2)CC1 ZINC001052367188 765761992 /nfs/dbraw/zinc/76/19/92/765761992.db2.gz OCNXWIAETCSPBV-LBPRGKRZSA-N 1 2 311.817 1.379 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@H]1CCCN(c2ncccc2C#N)C1 ZINC001058177052 766289633 /nfs/dbraw/zinc/28/96/33/766289633.db2.gz OKFDKALABNBFSQ-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)[C@H]3Cc4ccccc43)CC2)C1 ZINC001046058666 766869880 /nfs/dbraw/zinc/86/98/80/766869880.db2.gz QGSSZAZTOCRRCV-AEFFLSMTSA-N 1 2 324.428 1.068 20 30 DDEDLO C[C@@H](C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)c1ccco1 ZINC001046060557 766871286 /nfs/dbraw/zinc/87/12/86/766871286.db2.gz BVASNXHLBHPQFT-CABCVRRESA-N 1 2 316.405 1.125 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001068029203 766874490 /nfs/dbraw/zinc/87/44/90/766874490.db2.gz NKHVVJZRMIUHEQ-KRWDZBQOSA-N 1 2 320.437 1.861 20 30 DDEDLO Cc1nc(N2C[C@H](NC(=O)c3cc(C#N)c[nH]3)C(C)(C)C2)cc[nH+]1 ZINC001068103467 766912936 /nfs/dbraw/zinc/91/29/36/766912936.db2.gz MCNPJALWDJCPHX-AWEZNQCLSA-N 1 2 324.388 1.630 20 30 DDEDLO CCCCCCCCOCC(=O)NCC[NH2+]Cc1cnon1 ZINC001129522755 766925950 /nfs/dbraw/zinc/92/59/50/766925950.db2.gz KCFCCJBEWQVZAJ-UHFFFAOYSA-N 1 2 312.414 1.653 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001098061793 766991661 /nfs/dbraw/zinc/99/16/61/766991661.db2.gz VEAABERSGWMXQM-CHWSQXEVSA-N 1 2 316.405 1.617 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)no1 ZINC001046252785 767302293 /nfs/dbraw/zinc/30/22/93/767302293.db2.gz JYJVYCHJNUBAKX-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)no1 ZINC001046252785 767302299 /nfs/dbraw/zinc/30/22/99/767302299.db2.gz JYJVYCHJNUBAKX-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)co1 ZINC001046252660 767302383 /nfs/dbraw/zinc/30/23/83/767302383.db2.gz GDDYVRAXQUYTQK-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)co1 ZINC001046252660 767302388 /nfs/dbraw/zinc/30/23/88/767302388.db2.gz GDDYVRAXQUYTQK-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](CNC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001046333636 767433220 /nfs/dbraw/zinc/43/32/20/767433220.db2.gz HBOBLKOLDLDAHX-BJHJDKERSA-N 1 2 310.361 1.605 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001068829405 767645487 /nfs/dbraw/zinc/64/54/87/767645487.db2.gz JYCQQBDAHLXETE-SWLSCSKDSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1[C@H](Nc2[nH+]cnc3c2cnn3C)CCN1C(=O)C#CC1CC1 ZINC001068841044 767656418 /nfs/dbraw/zinc/65/64/18/767656418.db2.gz NHVULNMVXBJASE-BXUZGUMPSA-N 1 2 324.388 1.178 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001068971395 767736852 /nfs/dbraw/zinc/73/68/52/767736852.db2.gz HZYBGLVIXCHMJN-WBMJQRKESA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001068971395 767736855 /nfs/dbraw/zinc/73/68/55/767736855.db2.gz HZYBGLVIXCHMJN-WBMJQRKESA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@H]1[C@H](Nc2ccncc2C#N)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001068971624 767737245 /nfs/dbraw/zinc/73/72/45/767737245.db2.gz LLBWTTLJCLQKGO-SWLSCSKDSA-N 1 2 324.388 1.132 20 30 DDEDLO C[C@H]1[C@H](Nc2ccncc2C#N)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001068971624 767737252 /nfs/dbraw/zinc/73/72/52/767737252.db2.gz LLBWTTLJCLQKGO-SWLSCSKDSA-N 1 2 324.388 1.132 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+][C@H](C)c1ncc(C)o1 ZINC001131867093 768442490 /nfs/dbraw/zinc/44/24/90/768442490.db2.gz ISTCPTUFQHNFCR-CZUORRHYSA-N 1 2 309.410 1.979 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(F)=C(C)C)CC[C@H]1C ZINC001131961764 768531038 /nfs/dbraw/zinc/53/10/38/768531038.db2.gz ZTZFWRKYVSSIIL-CHWSQXEVSA-N 1 2 311.401 1.521 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(F)=C(C)C)CC[C@H]1C ZINC001131961764 768531040 /nfs/dbraw/zinc/53/10/40/768531040.db2.gz ZTZFWRKYVSSIIL-CHWSQXEVSA-N 1 2 311.401 1.521 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@H]2C)nn1C ZINC001132021897 768559307 /nfs/dbraw/zinc/55/93/07/768559307.db2.gz RHYXWDNOPMFUOO-GDBMZVCRSA-N 1 2 316.449 1.857 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@H]2C)nn1C ZINC001132021897 768559312 /nfs/dbraw/zinc/55/93/12/768559312.db2.gz RHYXWDNOPMFUOO-GDBMZVCRSA-N 1 2 316.449 1.857 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132017570 768574857 /nfs/dbraw/zinc/57/48/57/768574857.db2.gz QPXQLRJXRXKIPE-JKSUJKDBSA-N 1 2 321.465 1.531 20 30 DDEDLO CCCCNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@@H]1C ZINC001132017570 768574859 /nfs/dbraw/zinc/57/48/59/768574859.db2.gz QPXQLRJXRXKIPE-JKSUJKDBSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2ccon2)C1 ZINC001132029042 768587765 /nfs/dbraw/zinc/58/77/65/768587765.db2.gz GAFPLGOBPYXDCD-KGLIPLIRSA-N 1 2 305.378 1.184 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2ccon2)C1 ZINC001132029042 768587767 /nfs/dbraw/zinc/58/77/67/768587767.db2.gz GAFPLGOBPYXDCD-KGLIPLIRSA-N 1 2 305.378 1.184 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(F)cccc2F)C1 ZINC001047608687 768608125 /nfs/dbraw/zinc/60/81/25/768608125.db2.gz BSFGWBSEKFUKCI-KBPBESRZSA-N 1 2 308.328 1.105 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(F)cccc2F)C1 ZINC001047608687 768608126 /nfs/dbraw/zinc/60/81/26/768608126.db2.gz BSFGWBSEKFUKCI-KBPBESRZSA-N 1 2 308.328 1.105 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2cc(C)co2)CC[C@@H]1C ZINC001132236160 768707522 /nfs/dbraw/zinc/70/75/22/768707522.db2.gz ZDMIAULFRHJERA-KBPBESRZSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2cc(C)co2)CC[C@@H]1C ZINC001132236160 768707527 /nfs/dbraw/zinc/70/75/27/768707527.db2.gz ZDMIAULFRHJERA-KBPBESRZSA-N 1 2 319.405 1.473 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C2(C(C)C)CC2)CC[C@H]1C ZINC001132273190 768730060 /nfs/dbraw/zinc/73/00/60/768730060.db2.gz DVUWSTGCCIVULN-CABCVRRESA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C2(C(C)C)CC2)CC[C@H]1C ZINC001132273190 768730062 /nfs/dbraw/zinc/73/00/62/768730062.db2.gz DVUWSTGCCIVULN-CABCVRRESA-N 1 2 319.449 1.141 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2CCCCC2)CC1 ZINC001070933651 768792574 /nfs/dbraw/zinc/79/25/74/768792574.db2.gz XCHDLVSYAHOXNR-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2CCCCC2)CC1 ZINC001070933651 768792579 /nfs/dbraw/zinc/79/25/79/768792579.db2.gz XCHDLVSYAHOXNR-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C2CCCC2)CC1 ZINC001071014551 768883566 /nfs/dbraw/zinc/88/35/66/768883566.db2.gz VACNCVKCIYTZEU-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C2CCCC2)CC1 ZINC001071014551 768883581 /nfs/dbraw/zinc/88/35/81/768883581.db2.gz VACNCVKCIYTZEU-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(c2nc(C)ncc2C)CC1 ZINC001096272285 768901489 /nfs/dbraw/zinc/90/14/89/768901489.db2.gz OJJMWQXCBOCMRA-UHFFFAOYSA-N 1 2 317.437 1.298 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071181211 769109723 /nfs/dbraw/zinc/10/97/23/769109723.db2.gz KUIGKCCVGCPGMH-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sccc1COC)C2 ZINC001096342913 769291469 /nfs/dbraw/zinc/29/14/69/769291469.db2.gz KHOGLAZSFRWGRV-RDBSUJKOSA-N 1 2 304.415 1.863 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sccc1COC)C2 ZINC001096342913 769291476 /nfs/dbraw/zinc/29/14/76/769291476.db2.gz KHOGLAZSFRWGRV-RDBSUJKOSA-N 1 2 304.415 1.863 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096360534 769381766 /nfs/dbraw/zinc/38/17/66/769381766.db2.gz OEYFQPWTXBFRBF-WMLDXEAASA-N 1 2 318.421 1.424 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CCF ZINC001096377036 769497119 /nfs/dbraw/zinc/49/71/19/769497119.db2.gz KXGPXBLTVKXFEP-SNPRPXQTSA-N 1 2 317.364 1.398 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CCF ZINC001096377036 769497125 /nfs/dbraw/zinc/49/71/25/769497125.db2.gz KXGPXBLTVKXFEP-SNPRPXQTSA-N 1 2 317.364 1.398 20 30 DDEDLO C=CCCCC(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001133144898 769542087 /nfs/dbraw/zinc/54/20/87/769542087.db2.gz GNJJPCORGCHJBR-LBPRGKRZSA-N 1 2 310.398 1.729 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001133378458 769759123 /nfs/dbraw/zinc/75/91/23/769759123.db2.gz FSPTWRKETFTFLZ-CYBMUJFWSA-N 1 2 302.325 1.815 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nc(C3CC3)no2)CCC1 ZINC001133392076 769772943 /nfs/dbraw/zinc/77/29/43/769772943.db2.gz GGVDAVTVXXWGHB-UHFFFAOYSA-N 1 2 304.394 1.899 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cncc(OC)n2)CC[C@@H]1C ZINC001071876612 770211122 /nfs/dbraw/zinc/21/11/22/770211122.db2.gz PHSKFHWLDRQLEE-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cncc(OC)n2)CC[C@@H]1C ZINC001071876612 770211124 /nfs/dbraw/zinc/21/11/24/770211124.db2.gz PHSKFHWLDRQLEE-NWDGAFQWSA-N 1 2 324.812 1.820 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@H](NC(=O)CCn3cc[nH+]c3)C2)n1 ZINC001096459356 770222372 /nfs/dbraw/zinc/22/23/72/770222372.db2.gz FCEQKVVBIPFIQY-HNNXBMFYSA-N 1 2 324.388 1.243 20 30 DDEDLO C[C@H](CC(=O)N[C@H]1CCN(c2ncccc2C#N)C1)n1cc[nH+]c1 ZINC001096488757 770450630 /nfs/dbraw/zinc/45/06/30/770450630.db2.gz SGUIDBMODJQBTL-HIFRSBDPSA-N 1 2 324.388 1.496 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2sc(COC)nc2C)CC[C@H]1C ZINC001072030774 770451000 /nfs/dbraw/zinc/45/10/00/770451000.db2.gz VPBHDCVMPCFNHI-YPMHNXCESA-N 1 2 321.446 1.814 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2sc(COC)nc2C)CC[C@H]1C ZINC001072030774 770451003 /nfs/dbraw/zinc/45/10/03/770451003.db2.gz VPBHDCVMPCFNHI-YPMHNXCESA-N 1 2 321.446 1.814 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@H]1O ZINC001090516158 770513223 /nfs/dbraw/zinc/51/32/23/770513223.db2.gz JWQUNRIVJPXOMJ-ZIAGYGMSSA-N 1 2 318.421 1.280 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)CCc2c[nH+]cn2C)C[C@@H]1C ZINC001072513325 771004007 /nfs/dbraw/zinc/00/40/07/771004007.db2.gz NRCTVGSUWSXWMF-ZFWWWQNUSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]3[C@@H]2CC[N@@H+]3Cc2cnon2)C1 ZINC001049704364 771099252 /nfs/dbraw/zinc/09/92/52/771099252.db2.gz XNFODAPRMHCVFY-CABCVRRESA-N 1 2 316.405 1.991 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]3[C@@H]2CC[N@H+]3Cc2cnon2)C1 ZINC001049704364 771099255 /nfs/dbraw/zinc/09/92/55/771099255.db2.gz XNFODAPRMHCVFY-CABCVRRESA-N 1 2 316.405 1.991 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C(C)(C)S(C)(=O)=O ZINC001049770849 771147832 /nfs/dbraw/zinc/14/78/32/771147832.db2.gz YCOAJNMBLWNLEH-QWHCGFSZSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C(C)(C)S(C)(=O)=O ZINC001049770849 771147837 /nfs/dbraw/zinc/14/78/37/771147837.db2.gz YCOAJNMBLWNLEH-QWHCGFSZSA-N 1 2 314.451 1.061 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)oc1C ZINC001049999057 771352828 /nfs/dbraw/zinc/35/28/28/771352828.db2.gz ROMZLAQETZAPAM-TUKIKUTGSA-N 1 2 316.405 1.874 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)oc1C ZINC001049999057 771352833 /nfs/dbraw/zinc/35/28/33/771352833.db2.gz ROMZLAQETZAPAM-TUKIKUTGSA-N 1 2 316.405 1.874 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@H]1O ZINC001090525318 771775055 /nfs/dbraw/zinc/77/50/55/771775055.db2.gz NSKPEYZLEAYWEV-HUUCEWRRSA-N 1 2 318.421 1.424 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(CC)on2)[C@H](O)C1 ZINC001090739624 772151488 /nfs/dbraw/zinc/15/14/88/772151488.db2.gz NHCPNCSKHGBNJX-DGCLKSJQSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(CC)on2)[C@H](O)C1 ZINC001090739624 772151491 /nfs/dbraw/zinc/15/14/91/772151491.db2.gz NHCPNCSKHGBNJX-DGCLKSJQSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(F)s2)[C@@H](O)C1 ZINC001090841461 772259221 /nfs/dbraw/zinc/25/92/21/772259221.db2.gz PMJYBIJSQRXCBD-UWVGGRQHSA-N 1 2 318.801 1.805 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(F)s2)[C@@H](O)C1 ZINC001090841461 772259223 /nfs/dbraw/zinc/25/92/23/772259223.db2.gz PMJYBIJSQRXCBD-UWVGGRQHSA-N 1 2 318.801 1.805 20 30 DDEDLO N#Cc1ccc(CN2CCc3onc(Cn4cc[nH+]c4)c3C2)nc1 ZINC001144157588 772429642 /nfs/dbraw/zinc/42/96/42/772429642.db2.gz PHNRPLLYXSVRTM-UHFFFAOYSA-N 1 2 320.356 1.744 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](Cc2ccns2)CCCO1 ZINC001149361969 772450232 /nfs/dbraw/zinc/45/02/32/772450232.db2.gz LSCBZKDEJUZVHY-ZDUSSCGKSA-N 1 2 309.435 1.816 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](Cc2ccns2)CCCO1 ZINC001149361969 772450233 /nfs/dbraw/zinc/45/02/33/772450233.db2.gz LSCBZKDEJUZVHY-ZDUSSCGKSA-N 1 2 309.435 1.816 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](Cc2ccc3c(c2)nnn3C)CC1 ZINC001144642179 772588946 /nfs/dbraw/zinc/58/89/46/772588946.db2.gz MTABZFHBGDUHPB-UHFFFAOYSA-N 1 2 315.377 1.409 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1C[C@H](Nc2ccc(C#N)cn2)C1 ZINC001091472021 772777869 /nfs/dbraw/zinc/77/78/69/772777869.db2.gz ZBNCKMXDXWCJKX-HDJSIYSDSA-N 1 2 324.388 1.534 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1C)C2 ZINC001147373875 773123468 /nfs/dbraw/zinc/12/34/68/773123468.db2.gz FQNSRIFNJUMUOZ-UHFFFAOYSA-N 1 2 314.433 1.648 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nccn1C)C2 ZINC001147373875 773123471 /nfs/dbraw/zinc/12/34/71/773123471.db2.gz FQNSRIFNJUMUOZ-UHFFFAOYSA-N 1 2 314.433 1.648 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(C)o1)C2 ZINC001147441156 773147327 /nfs/dbraw/zinc/14/73/27/773147327.db2.gz SDQNZTFFVLCYQB-UHFFFAOYSA-N 1 2 301.390 1.821 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1ncc(C)o1)C2 ZINC001147441156 773147330 /nfs/dbraw/zinc/14/73/30/773147330.db2.gz SDQNZTFFVLCYQB-UHFFFAOYSA-N 1 2 301.390 1.821 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3C)C[C@@H]21 ZINC001074155015 773679472 /nfs/dbraw/zinc/67/94/72/773679472.db2.gz NCQBWWWCPUMZIB-ZWKOTPCHSA-N 1 2 312.413 1.934 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3C)C[C@@H]21 ZINC001074155015 773679473 /nfs/dbraw/zinc/67/94/73/773679473.db2.gz NCQBWWWCPUMZIB-ZWKOTPCHSA-N 1 2 312.413 1.934 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)nc3)C[C@H]21 ZINC001074179409 773701861 /nfs/dbraw/zinc/70/18/61/773701861.db2.gz ZOPSLUFDVHZVRZ-IAGOWNOFSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)nc3)C[C@H]21 ZINC001074179409 773701862 /nfs/dbraw/zinc/70/18/62/773701862.db2.gz ZOPSLUFDVHZVRZ-IAGOWNOFSA-N 1 2 313.401 1.329 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3scnc3C)C[C@H]21 ZINC001074180760 773702709 /nfs/dbraw/zinc/70/27/09/773702709.db2.gz IBRHCIWYHHAZMD-ZIAGYGMSSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3scnc3C)C[C@H]21 ZINC001074180760 773702712 /nfs/dbraw/zinc/70/27/12/773702712.db2.gz IBRHCIWYHHAZMD-ZIAGYGMSSA-N 1 2 321.446 1.943 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cscc3C)C[C@@H]21 ZINC001074205670 773728972 /nfs/dbraw/zinc/72/89/72/773728972.db2.gz VRDOFAAEBOKSMZ-JKSUJKDBSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cscc3C)C[C@@H]21 ZINC001074205670 773728973 /nfs/dbraw/zinc/72/89/73/773728973.db2.gz VRDOFAAEBOKSMZ-JKSUJKDBSA-N 1 2 318.442 1.995 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[C@H]3OCC[N@@H+](CCCF)[C@H]3C2)c[nH]1 ZINC001074212466 773735343 /nfs/dbraw/zinc/73/53/43/773735343.db2.gz PTXCZQYXRSWTEV-LSDHHAIUSA-N 1 2 320.368 1.161 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[C@H]3OCC[N@H+](CCCF)[C@H]3C2)c[nH]1 ZINC001074212466 773735349 /nfs/dbraw/zinc/73/53/49/773735349.db2.gz PTXCZQYXRSWTEV-LSDHHAIUSA-N 1 2 320.368 1.161 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCc3ncc[nH]3)C[C@H]21 ZINC001074229921 773754258 /nfs/dbraw/zinc/75/42/58/773754258.db2.gz PNONRCSRLAOEQE-HUUCEWRRSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCc3ncc[nH]3)C[C@H]21 ZINC001074229921 773754262 /nfs/dbraw/zinc/75/42/62/773754262.db2.gz PNONRCSRLAOEQE-HUUCEWRRSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)CN1CCO[C@@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C[C@H]21 ZINC001074229921 773754265 /nfs/dbraw/zinc/75/42/65/773754265.db2.gz PNONRCSRLAOEQE-HUUCEWRRSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cnc(C)o3)C[C@H]21 ZINC001074266182 773778996 /nfs/dbraw/zinc/77/89/96/773778996.db2.gz GHYLJBBSKPJMRQ-HZPDHXFCSA-N 1 2 319.405 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cnc(C)o3)C[C@H]21 ZINC001074266182 773778999 /nfs/dbraw/zinc/77/89/99/773778999.db2.gz GHYLJBBSKPJMRQ-HZPDHXFCSA-N 1 2 319.405 1.403 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(Cl)[nH]3)C[C@@H]21 ZINC001074336729 773834263 /nfs/dbraw/zinc/83/42/63/773834263.db2.gz VOSHZCHQSJLMKW-KBPBESRZSA-N 1 2 321.808 1.607 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(Cl)[nH]3)C[C@@H]21 ZINC001074336729 773834269 /nfs/dbraw/zinc/83/42/69/773834269.db2.gz VOSHZCHQSJLMKW-KBPBESRZSA-N 1 2 321.808 1.607 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccco3)C[C@H]21 ZINC001074366003 773857713 /nfs/dbraw/zinc/85/77/13/773857713.db2.gz MOACDZMPMCFOGN-HZPDHXFCSA-N 1 2 302.374 1.147 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccco3)C[C@H]21 ZINC001074366003 773857716 /nfs/dbraw/zinc/85/77/16/773857716.db2.gz MOACDZMPMCFOGN-HZPDHXFCSA-N 1 2 302.374 1.147 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#C)cn3)C[C@H]21 ZINC001074384487 773876592 /nfs/dbraw/zinc/87/65/92/773876592.db2.gz HUTKXJVYCJBWOO-QZTJIDSGSA-N 1 2 323.396 1.002 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#C)cn3)C[C@H]21 ZINC001074384487 773876595 /nfs/dbraw/zinc/87/65/95/773876595.db2.gz HUTKXJVYCJBWOO-QZTJIDSGSA-N 1 2 323.396 1.002 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cncs1)c1nccn12 ZINC001092355548 774071086 /nfs/dbraw/zinc/07/10/86/774071086.db2.gz XEYLDZSUYGKRDQ-NSHDSACASA-N 1 2 315.402 1.411 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc[nH]c1C)c1nccn12 ZINC001092360471 774074727 /nfs/dbraw/zinc/07/47/27/774074727.db2.gz WTPXUTIYBYGNIU-CQSZACIVSA-N 1 2 311.389 1.591 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074975253 774278923 /nfs/dbraw/zinc/27/89/23/774278923.db2.gz OWCMXHVRRZXGNR-KGLIPLIRSA-N 1 2 316.405 1.107 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001075044765 774318942 /nfs/dbraw/zinc/31/89/42/774318942.db2.gz AOZVBRKRJBJMKD-HUUCEWRRSA-N 1 2 316.405 1.182 20 30 DDEDLO C=CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1n[nH]nc1-c1ccccc1)C2 ZINC001098469677 774588868 /nfs/dbraw/zinc/58/88/68/774588868.db2.gz SZQGHPXSRRNHQV-KFWWJZLASA-N 1 2 323.400 1.993 20 30 DDEDLO C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1n[nH]nc1-c1ccccc1)C2 ZINC001098469677 774588872 /nfs/dbraw/zinc/58/88/72/774588872.db2.gz SZQGHPXSRRNHQV-KFWWJZLASA-N 1 2 323.400 1.993 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001093334000 774633384 /nfs/dbraw/zinc/63/33/84/774633384.db2.gz OMXPCJHWQZPLLM-HWWDLCQESA-N 1 2 312.417 1.920 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H](CC)CCC)C2)nn1 ZINC001098757689 774664875 /nfs/dbraw/zinc/66/48/75/774664875.db2.gz RLKWTDACAKSPCY-GDBMZVCRSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CCCOC3)CC2)C1 ZINC001093514542 774764697 /nfs/dbraw/zinc/76/46/97/774764697.db2.gz BPIWDYBJGWYYJG-AWEZNQCLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cscn3)CC2)C1 ZINC001093515401 774765593 /nfs/dbraw/zinc/76/55/93/774765593.db2.gz UVDVUJGCKZPUEN-UHFFFAOYSA-N 1 2 307.419 1.635 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cccc(=O)[nH]3)CC2)C1 ZINC001093522559 774774419 /nfs/dbraw/zinc/77/44/19/774774419.db2.gz ZXAHNTHDNKIINQ-UHFFFAOYSA-N 1 2 317.389 1.279 20 30 DDEDLO Cc1cc(NCCNC(=O)c2c[nH]c(C#N)c2)nc(C2CC2)[nH+]1 ZINC001093558254 774883752 /nfs/dbraw/zinc/88/37/52/774883752.db2.gz KFQAYQDEGWMHLW-UHFFFAOYSA-N 1 2 310.361 1.704 20 30 DDEDLO C#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)/C(C)=C\C)c2)nn1 ZINC001099603443 775060785 /nfs/dbraw/zinc/06/07/85/775060785.db2.gz GPUQBZVQGGBTKB-RZNTYIFUSA-N 1 2 323.400 1.573 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)/C=C/c2ccco2)[C@H](O)C1 ZINC001099642600 775090538 /nfs/dbraw/zinc/09/05/38/775090538.db2.gz SQAMRBVIAFMFCA-OOPLNXAUSA-N 1 2 310.781 1.597 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)/C=C/c2ccco2)[C@H](O)C1 ZINC001099642600 775090542 /nfs/dbraw/zinc/09/05/42/775090542.db2.gz SQAMRBVIAFMFCA-OOPLNXAUSA-N 1 2 310.781 1.597 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cc2F)C[C@@H]1O ZINC001099727129 775192876 /nfs/dbraw/zinc/19/28/76/775192876.db2.gz MUERTAVEMVUJAS-CVEARBPZSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cc2F)C[C@@H]1O ZINC001099727129 775192881 /nfs/dbraw/zinc/19/28/81/775192881.db2.gz MUERTAVEMVUJAS-CVEARBPZSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099729431 775196071 /nfs/dbraw/zinc/19/60/71/775196071.db2.gz HWHDTMZHBWCVKY-HIFRSBDPSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@@H]1O ZINC001099729431 775196077 /nfs/dbraw/zinc/19/60/77/775196077.db2.gz HWHDTMZHBWCVKY-HIFRSBDPSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001093917842 775205748 /nfs/dbraw/zinc/20/57/48/775205748.db2.gz VFXMTSVXXIIYML-UHFFFAOYSA-N 1 2 317.437 1.595 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001093917842 775205753 /nfs/dbraw/zinc/20/57/53/775205753.db2.gz VFXMTSVXXIIYML-UHFFFAOYSA-N 1 2 317.437 1.595 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)CSCC#N)c(C)c(C)[nH+]1 ZINC001099937721 775445113 /nfs/dbraw/zinc/44/51/13/775445113.db2.gz ULCJLPZEGAZPPG-UHFFFAOYSA-N 1 2 307.423 1.211 20 30 DDEDLO Cc1nsc(NCCNC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)c1C#N ZINC001094188679 775550372 /nfs/dbraw/zinc/55/03/72/775550372.db2.gz IJQRFKGHSOVAJU-VIFPVBQESA-N 1 2 318.406 1.453 20 30 DDEDLO Cc1nsc(NCCNC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)c1C#N ZINC001094188679 775550382 /nfs/dbraw/zinc/55/03/82/775550382.db2.gz IJQRFKGHSOVAJU-VIFPVBQESA-N 1 2 318.406 1.453 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCn2cccc2)[C@H](O)C1 ZINC001100050296 775619487 /nfs/dbraw/zinc/61/94/87/775619487.db2.gz GFPZATYVMVYRRV-UONOGXRCSA-N 1 2 311.813 1.182 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCn2cccc2)[C@H](O)C1 ZINC001100050296 775619490 /nfs/dbraw/zinc/61/94/90/775619490.db2.gz GFPZATYVMVYRRV-UONOGXRCSA-N 1 2 311.813 1.182 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3CC=CC3)nn2)C1 ZINC001094281281 775638073 /nfs/dbraw/zinc/63/80/73/775638073.db2.gz RJJGNMMTOYEYGW-UHFFFAOYSA-N 1 2 301.394 1.293 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3cc(F)c[nH]3)nn2)C1 ZINC001094306782 775658948 /nfs/dbraw/zinc/65/89/48/775658948.db2.gz UMXRHPLISYBZHO-UHFFFAOYSA-N 1 2 318.356 1.108 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@@H]3C(C)C)nn2)C1 ZINC001094332750 775716936 /nfs/dbraw/zinc/71/69/36/775716936.db2.gz YMKPOAHDIFNFJN-HUUCEWRRSA-N 1 2 303.410 1.229 20 30 DDEDLO N#Cc1c(F)cccc1NCCCNC(=O)CCc1[nH]cc[nH+]1 ZINC001094406606 775865399 /nfs/dbraw/zinc/86/53/99/775865399.db2.gz DZRFBMHNBUOFJJ-UHFFFAOYSA-N 1 2 315.352 1.971 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@H]2CCn3c[nH+]cc3C2)nc1 ZINC001094639448 776159629 /nfs/dbraw/zinc/15/96/29/776159629.db2.gz TUSBLGJQQQYZIP-AWEZNQCLSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094712531 776179844 /nfs/dbraw/zinc/17/98/44/776179844.db2.gz HJGONRJEBFYZSH-NEPJUHHUSA-N 1 2 316.409 1.740 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3CC(C)C3)CC2=O)C1 ZINC001094720131 776186922 /nfs/dbraw/zinc/18/69/22/776186922.db2.gz JUVSOBRDPKKXCE-JXQTWKCFSA-N 1 2 305.422 1.010 20 30 DDEDLO C=C1CC(C)(C(=O)NCCCNc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001094762233 776220060 /nfs/dbraw/zinc/22/00/60/776220060.db2.gz STNAGMCGOQBTFO-UHFFFAOYSA-N 1 2 318.425 1.521 20 30 DDEDLO C[C@@H](CC(=O)NCCCNc1ccncc1C#N)n1cc[nH+]c1 ZINC001094824471 776346757 /nfs/dbraw/zinc/34/67/57/776346757.db2.gz NRDOJVYSRSZSEA-ZDUSSCGKSA-N 1 2 312.377 1.141 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001100910642 776694906 /nfs/dbraw/zinc/69/49/06/776694906.db2.gz OWRYOCGUYQNIOT-AWEZNQCLSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CCCC(=O)N1CCC(Nc2[nH+]cnc3c2cnn3C)CC1 ZINC001095218241 777254621 /nfs/dbraw/zinc/25/46/21/777254621.db2.gz SITMIQZMFSULBF-UHFFFAOYSA-N 1 2 314.393 1.732 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)CC)c1nccn12 ZINC001101610707 777301018 /nfs/dbraw/zinc/30/10/18/777301018.db2.gz UPLUHHJNUWWPSF-UONOGXRCSA-N 1 2 300.406 1.524 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C(CC)CC)c1nccn12 ZINC001101612031 777303097 /nfs/dbraw/zinc/30/30/97/777303097.db2.gz NZDYQEFBVCULQM-OAHLLOKOSA-N 1 2 314.433 1.915 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC2(C[NH+](CC#CC)C2)n2ccnc21 ZINC001101624514 777318957 /nfs/dbraw/zinc/31/89/57/777318957.db2.gz XHBLQTVZVYQMRO-MRXNPFEDSA-N 1 2 324.428 1.672 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095299846 777477506 /nfs/dbraw/zinc/47/75/06/777477506.db2.gz UIDCXDLWZLSGDB-CQSZACIVSA-N 1 2 304.394 1.781 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CC)[C@H]3C2)CCOCC1 ZINC001177029727 778399854 /nfs/dbraw/zinc/39/98/54/778399854.db2.gz IIXWDXLSSHGWMT-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CC)[C@H]3C2)CCOCC1 ZINC001177029727 778399859 /nfs/dbraw/zinc/39/98/59/778399859.db2.gz IIXWDXLSSHGWMT-HOTGVXAUSA-N 1 2 320.433 1.128 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001102786325 778400418 /nfs/dbraw/zinc/40/04/18/778400418.db2.gz ASNAJODJKRUQNW-IAQYHMDHSA-N 1 2 315.421 1.989 20 30 DDEDLO CCN(C(=O)Cc1c[nH+]c[nH]1)[C@H]1CCN(c2ncccc2C#N)C1 ZINC001102835684 778433864 /nfs/dbraw/zinc/43/38/64/778433864.db2.gz YYHYOCAIUHBIAN-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(CC(=O)Nc1nc2ncccc2cc1C#N)c1cccc[nH+]1 ZINC001177408379 778584743 /nfs/dbraw/zinc/58/47/43/778584743.db2.gz FPDGTCNJKBREIZ-UHFFFAOYSA-N 1 2 318.340 1.971 20 30 DDEDLO C#CCC[NH+]1CC(O)(CN(Cc2ccccc2)C(=O)CCC)C1 ZINC001177499885 778623031 /nfs/dbraw/zinc/62/30/31/778623031.db2.gz HZKFYWFXUWXRMD-UHFFFAOYSA-N 1 2 314.429 1.885 20 30 DDEDLO C=CCOC(=O)N1CCC(CNC(=O)[C@@H](C)n2cc[nH+]c2)CC1 ZINC001177928759 778795571 /nfs/dbraw/zinc/79/55/71/778795571.db2.gz BELAARVCADBJGO-CYBMUJFWSA-N 1 2 320.393 1.595 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+]Cc2csnn2)[C@@H]1C ZINC001178503764 779090653 /nfs/dbraw/zinc/09/06/53/779090653.db2.gz PBZQAHWCWNQBDU-JSGCOSHPSA-N 1 2 306.435 1.811 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)CNc1ccc(C#N)nc1 ZINC001104039371 779264645 /nfs/dbraw/zinc/26/46/45/779264645.db2.gz PHPWWVRYMFNRJK-NSHDSACASA-N 1 2 312.377 1.392 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001104053305 779273223 /nfs/dbraw/zinc/27/32/23/779273223.db2.gz WSPIPPKFDAPGFX-LLVKDONJSA-N 1 2 312.377 1.392 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@]12CCC[C@H]1CN(CC#N)C2 ZINC001111899916 779547482 /nfs/dbraw/zinc/54/74/82/779547482.db2.gz YRNUWVWZGVBQFX-WWGRRREGSA-N 1 2 301.394 1.083 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@]12CCC[C@H]1CN(CC#N)C2 ZINC001111899916 779547484 /nfs/dbraw/zinc/54/74/84/779547484.db2.gz YRNUWVWZGVBQFX-WWGRRREGSA-N 1 2 301.394 1.083 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([NH2+]Cc2csnn2)[C@@H]1C ZINC001180268490 779685970 /nfs/dbraw/zinc/68/59/70/779685970.db2.gz CRRUNJHRKXNEGY-LOWVWBTDSA-N 1 2 310.423 1.208 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001115511911 780202033 /nfs/dbraw/zinc/20/20/33/780202033.db2.gz RKUYFPREFOVHNC-WMLDXEAASA-N 1 2 318.421 1.761 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CC(=O)Nc2cccc(C(=O)OC)n2)C1 ZINC001116724773 780548644 /nfs/dbraw/zinc/54/86/44/780548644.db2.gz CJNLFGHDWBEFOX-LBPRGKRZSA-N 1 2 319.361 1.084 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CC(=O)Nc2cccc(C(=O)OC)n2)C1 ZINC001116724773 780548654 /nfs/dbraw/zinc/54/86/54/780548654.db2.gz CJNLFGHDWBEFOX-LBPRGKRZSA-N 1 2 319.361 1.084 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)C(C)(C)[C@H]2c2cnn(C)c2)C1 ZINC001117487903 780809869 /nfs/dbraw/zinc/80/98/69/780809869.db2.gz LHBGRNQZNRQODV-LSDHHAIUSA-N 1 2 318.421 1.564 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)C(C)(C)[C@H]2c2cnn(C)c2)C1 ZINC001117487903 780809880 /nfs/dbraw/zinc/80/98/80/780809880.db2.gz LHBGRNQZNRQODV-LSDHHAIUSA-N 1 2 318.421 1.564 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)C1 ZINC001118891856 781265131 /nfs/dbraw/zinc/26/51/31/781265131.db2.gz BXPZEIDWHDYMMC-CYBMUJFWSA-N 1 2 316.405 1.540 20 30 DDEDLO O=S1(=O)CC2(C1)CCC(=NNCCCn1cc[nH+]c1)CC2 ZINC001120342901 781883933 /nfs/dbraw/zinc/88/39/33/781883933.db2.gz CHSSFZNHYPPTGI-UHFFFAOYSA-N 1 2 310.423 1.208 20 30 DDEDLO C=CCN(C)C(=O)C(=O)N1CC[NH+]([C@@H](C)c2ccccc2)CC1 ZINC001120365772 781898865 /nfs/dbraw/zinc/89/88/65/781898865.db2.gz GVMBODBJHMDHOC-HNNXBMFYSA-N 1 2 315.417 1.536 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CCC[N@H+]1Cc1nc(C)oc1C ZINC001267266614 837702000 /nfs/dbraw/zinc/70/20/00/837702000.db2.gz KKNVBBPMGPLGPN-YOEHRIQHSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1nc(C)oc1C ZINC001267266614 837702013 /nfs/dbraw/zinc/70/20/13/837702013.db2.gz KKNVBBPMGPLGPN-YOEHRIQHSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CCC[N@H+]1Cc1coc(C)n1 ZINC001267267156 837705453 /nfs/dbraw/zinc/70/54/53/837705453.db2.gz ONIUYXFVVBTSSV-ZBFHGGJFSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CCC[N@@H+]1Cc1coc(C)n1 ZINC001267267156 837705463 /nfs/dbraw/zinc/70/54/63/837705463.db2.gz ONIUYXFVVBTSSV-ZBFHGGJFSA-N 1 2 307.394 1.391 20 30 DDEDLO C[C@H]1CC[C@H](C(=O)N[C@@H]2CCC[N@H+](CC(=O)NCC#N)C2)CC1 ZINC001266246962 835983545 /nfs/dbraw/zinc/98/35/45/835983545.db2.gz ZEMQRJVHEAACHN-RBSFLKMASA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@H]1CC[C@H](C(=O)N[C@@H]2CCC[N@@H+](CC(=O)NCC#N)C2)CC1 ZINC001266246962 835983559 /nfs/dbraw/zinc/98/35/59/835983559.db2.gz ZEMQRJVHEAACHN-RBSFLKMASA-N 1 2 320.437 1.033 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)(CC)CC)C1 ZINC001266252331 835989228 /nfs/dbraw/zinc/98/92/28/835989228.db2.gz RSLDZWKWKJDDPZ-CQSZACIVSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(CC)CC)C1 ZINC001266252331 835989232 /nfs/dbraw/zinc/98/92/32/835989232.db2.gz RSLDZWKWKJDDPZ-CQSZACIVSA-N 1 2 307.438 1.143 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H](NC(=O)C#CC3CC3)C2)c(C)s1 ZINC001266319664 836113076 /nfs/dbraw/zinc/11/30/76/836113076.db2.gz POQMWJDGHLZJLA-AWEZNQCLSA-N 1 2 303.431 1.864 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H](NC(=O)C#CC3CC3)C2)c(C)s1 ZINC001266319664 836113078 /nfs/dbraw/zinc/11/30/78/836113078.db2.gz POQMWJDGHLZJLA-AWEZNQCLSA-N 1 2 303.431 1.864 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H](C)c1ccsc1 ZINC001266323537 836120728 /nfs/dbraw/zinc/12/07/28/836120728.db2.gz GBXTWBHAKIFTJH-LBPRGKRZSA-N 1 2 309.435 1.202 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H](C)c1ccsc1 ZINC001266323537 836120733 /nfs/dbraw/zinc/12/07/33/836120733.db2.gz GBXTWBHAKIFTJH-LBPRGKRZSA-N 1 2 309.435 1.202 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2cc(C3CC3)no2)C1 ZINC001266345438 836149788 /nfs/dbraw/zinc/14/97/88/836149788.db2.gz UDTLCDCGVWVPQH-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2cc(C3CC3)no2)C1 ZINC001266345438 836149791 /nfs/dbraw/zinc/14/97/91/836149791.db2.gz UDTLCDCGVWVPQH-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1sccc1C1CC1 ZINC001266357471 836166278 /nfs/dbraw/zinc/16/62/78/836166278.db2.gz ZWWBKJRTVFTXRD-UHFFFAOYSA-N 1 2 321.446 1.589 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1sccc1C1CC1 ZINC001266357471 836166283 /nfs/dbraw/zinc/16/62/83/836166283.db2.gz ZWWBKJRTVFTXRD-UHFFFAOYSA-N 1 2 321.446 1.589 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC2(C1)C[NH+](Cc1ccccc1)C2 ZINC000706914754 836699817 /nfs/dbraw/zinc/69/98/17/836699817.db2.gz MPPLQTGATYJXFT-CYBMUJFWSA-N 1 2 305.403 1.046 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001266743899 836763509 /nfs/dbraw/zinc/76/35/09/836763509.db2.gz STSFVYPKPXUWNC-AWEZNQCLSA-N 1 2 305.426 1.592 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC[N@H+](Cc2cnn(CC)n2)C1 ZINC001266743899 836763517 /nfs/dbraw/zinc/76/35/17/836763517.db2.gz STSFVYPKPXUWNC-AWEZNQCLSA-N 1 2 305.426 1.592 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N(C)CC[NH2+]Cc1ncc(C)o1 ZINC001267436171 838044716 /nfs/dbraw/zinc/04/47/16/838044716.db2.gz CJZKRGGCVGYTJT-AWEZNQCLSA-N 1 2 322.409 1.002 20 30 DDEDLO C=CCC[N@H+]1CC[C@H](N(CCC)C(=O)C2=NC(=O)N(C)C2)C1 ZINC001267481000 838152033 /nfs/dbraw/zinc/15/20/33/838152033.db2.gz CZCKLGLBCJFLAZ-ZDUSSCGKSA-N 1 2 306.410 1.628 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H](N(CCC)C(=O)C2=NC(=O)N(C)C2)C1 ZINC001267481000 838152035 /nfs/dbraw/zinc/15/20/35/838152035.db2.gz CZCKLGLBCJFLAZ-ZDUSSCGKSA-N 1 2 306.410 1.628 20 30 DDEDLO CCC[C@H](C)C(=O)N(CCC)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267540484 838271135 /nfs/dbraw/zinc/27/11/35/838271135.db2.gz ZSAFNCQBYSWNGO-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO CCC[C@H](C)C(=O)N(CCC)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267540484 838271137 /nfs/dbraw/zinc/27/11/37/838271137.db2.gz ZSAFNCQBYSWNGO-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO C=C(Cl)CN1CC[NH+](CCN(C)C(=O)CCCOC)CC1 ZINC001267573720 838338997 /nfs/dbraw/zinc/33/89/97/838338997.db2.gz KAIUXBNSINVODN-UHFFFAOYSA-N 1 2 317.861 1.242 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)[C@H](CC(C)C)OC)CC1 ZINC001267574528 838341329 /nfs/dbraw/zinc/34/13/29/838341329.db2.gz KDVLJOZCUMOMGC-KRWDZBQOSA-N 1 2 323.481 1.147 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@@H]2CC23CCOCC3)CC1 ZINC001267577592 838348769 /nfs/dbraw/zinc/34/87/69/838348769.db2.gz PQQINTWHZAXYHK-INIZCTEOSA-N 1 2 321.465 1.065 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)CC[N@H+](C)Cc1nc(C)c(C)s1 ZINC001272013692 844320468 /nfs/dbraw/zinc/32/04/68/844320468.db2.gz QGNKFCLEMACINJ-ZDUSSCGKSA-N 1 2 323.462 1.688 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)CC[N@@H+](C)Cc1nc(C)c(C)s1 ZINC001272013692 844320476 /nfs/dbraw/zinc/32/04/76/844320476.db2.gz QGNKFCLEMACINJ-ZDUSSCGKSA-N 1 2 323.462 1.688 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1C[NH+](CCOc2cccc(C)c2)C1 ZINC001267642840 838561023 /nfs/dbraw/zinc/56/10/23/838561023.db2.gz DXXQIZFPFHITLD-GOSISDBHSA-N 1 2 318.417 1.501 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccnn2C2CCC2)C1 ZINC001267646392 838569016 /nfs/dbraw/zinc/56/90/16/838569016.db2.gz CIIWCHARERVRJK-UHFFFAOYSA-N 1 2 304.394 1.225 20 30 DDEDLO COCC#CC[N@H+](C)C[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267653360 838585775 /nfs/dbraw/zinc/58/57/75/838585775.db2.gz QFWFWBOAUQXPMD-NEPJUHHUSA-N 1 2 324.318 1.317 20 30 DDEDLO COCC#CC[N@@H+](C)C[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267653360 838585779 /nfs/dbraw/zinc/58/57/79/838585779.db2.gz QFWFWBOAUQXPMD-NEPJUHHUSA-N 1 2 324.318 1.317 20 30 DDEDLO COCC#CC[N@H+](C)C[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001267653360 838585781 /nfs/dbraw/zinc/58/57/81/838585781.db2.gz QFWFWBOAUQXPMD-NEPJUHHUSA-N 1 2 324.318 1.317 20 30 DDEDLO COCC#CC[N@@H+](C)C[C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001267653360 838585783 /nfs/dbraw/zinc/58/57/83/838585783.db2.gz QFWFWBOAUQXPMD-NEPJUHHUSA-N 1 2 324.318 1.317 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC(CNC(=O)[C@@](C)(CC)CCC)C1 ZINC001267695378 838668872 /nfs/dbraw/zinc/66/88/72/838668872.db2.gz UOVSRJBHRGWMIY-KRWDZBQOSA-N 1 2 307.438 1.000 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@@H](N(C)C(=O)C#CC3CC3)C2)n1 ZINC001267763424 838896368 /nfs/dbraw/zinc/89/63/68/838896368.db2.gz XAMLGQYADGUMQO-CQSZACIVSA-N 1 2 303.431 1.898 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@@H](N(C)C(=O)C#CC3CC3)C2)n1 ZINC001267763424 838896378 /nfs/dbraw/zinc/89/63/78/838896378.db2.gz XAMLGQYADGUMQO-CQSZACIVSA-N 1 2 303.431 1.898 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)COCCOCC)C1 ZINC001267779158 838960163 /nfs/dbraw/zinc/96/01/63/838960163.db2.gz OMIBCEKJRYQLDW-CYBMUJFWSA-N 1 2 304.818 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)COCCOCC)C1 ZINC001267779158 838960170 /nfs/dbraw/zinc/96/01/70/838960170.db2.gz OMIBCEKJRYQLDW-CYBMUJFWSA-N 1 2 304.818 1.325 20 30 DDEDLO CCCC[C@H](C)C(=O)N(CC)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267784292 838970727 /nfs/dbraw/zinc/97/07/27/838970727.db2.gz OIEDVVHMPBUGAL-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO CCCC[C@H](C)C(=O)N(CC)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267784292 838970733 /nfs/dbraw/zinc/97/07/33/838970733.db2.gz OIEDVVHMPBUGAL-GJZGRUSLSA-N 1 2 322.453 1.375 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@H]1CC[N@H+](Cc2cnc(C)cn2)C1 ZINC001267784526 838972395 /nfs/dbraw/zinc/97/23/95/838972395.db2.gz PTGBFBYYMPZUOV-KRWDZBQOSA-N 1 2 312.417 1.621 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@H]1CC[N@@H+](Cc2cnc(C)cn2)C1 ZINC001267784526 838972402 /nfs/dbraw/zinc/97/24/02/838972402.db2.gz PTGBFBYYMPZUOV-KRWDZBQOSA-N 1 2 312.417 1.621 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]2[C@H](CC[N@@H+]2Cc2csnn2)C1 ZINC001268264252 839918447 /nfs/dbraw/zinc/91/84/47/839918447.db2.gz RVNGHALAFVDVHJ-UKRRQHHQSA-N 1 2 318.446 1.620 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]2[C@H](CC[N@H+]2Cc2csnn2)C1 ZINC001268264252 839918451 /nfs/dbraw/zinc/91/84/51/839918451.db2.gz RVNGHALAFVDVHJ-UKRRQHHQSA-N 1 2 318.446 1.620 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1C[NH+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001268858349 840908181 /nfs/dbraw/zinc/90/81/81/840908181.db2.gz IDNAYXGGJAAKFF-CQSZACIVSA-N 1 2 323.481 1.797 20 30 DDEDLO C=CCC1(C(=O)NCC2C[NH+](CC(=O)NC3CC3)C2)CCC1 ZINC001268874207 840928706 /nfs/dbraw/zinc/92/87/06/840928706.db2.gz HEEMPUGPFBUWMV-UHFFFAOYSA-N 1 2 305.422 1.059 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC(CNC(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001268917404 841002822 /nfs/dbraw/zinc/00/28/22/841002822.db2.gz RYESHYHOAOOLRJ-HNNXBMFYSA-N 1 2 319.449 1.000 20 30 DDEDLO C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cnn(C)c1 ZINC001268960653 841060165 /nfs/dbraw/zinc/06/01/65/841060165.db2.gz XNEUEXPDBVUJOU-IRXDYDNUSA-N 1 2 314.433 1.789 20 30 DDEDLO C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cnn(C)c1 ZINC001268960653 841060177 /nfs/dbraw/zinc/06/01/77/841060177.db2.gz XNEUEXPDBVUJOU-IRXDYDNUSA-N 1 2 314.433 1.789 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H](NC(=O)c2occc2C)C1 ZINC001269104720 841210139 /nfs/dbraw/zinc/21/01/39/841210139.db2.gz RXPCOAXPBJCHRJ-QWHCGFSZSA-N 1 2 305.378 1.083 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)c2occc2C)C1 ZINC001269104720 841210149 /nfs/dbraw/zinc/21/01/49/841210149.db2.gz RXPCOAXPBJCHRJ-QWHCGFSZSA-N 1 2 305.378 1.083 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)sc2C)C1 ZINC001269122329 841232623 /nfs/dbraw/zinc/23/26/23/841232623.db2.gz VESGCPKVLVJSOP-CJNGLKHVSA-N 1 2 323.462 1.778 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)sc2C)C1 ZINC001269122329 841232635 /nfs/dbraw/zinc/23/26/35/841232635.db2.gz VESGCPKVLVJSOP-CJNGLKHVSA-N 1 2 323.462 1.778 20 30 DDEDLO C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)[C@@H](C)n1cc[nH+]c1 ZINC001269158618 841279085 /nfs/dbraw/zinc/27/90/85/841279085.db2.gz WZLCCAJZMVWVGY-RBSFLKMASA-N 1 2 316.405 1.612 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2sccc2OC)C1 ZINC001269192390 841327109 /nfs/dbraw/zinc/32/71/09/841327109.db2.gz WXRYTKZNPOJDGY-GFCCVEGCSA-N 1 2 308.403 1.211 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2sccc2OC)C1 ZINC001269192390 841327116 /nfs/dbraw/zinc/32/71/16/841327116.db2.gz WXRYTKZNPOJDGY-GFCCVEGCSA-N 1 2 308.403 1.211 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H](N(C)C(=O)C#CC3CC3)C2)sn1 ZINC001269241462 841407592 /nfs/dbraw/zinc/40/75/92/841407592.db2.gz VVKZARATQSDHDN-CYBMUJFWSA-N 1 2 319.430 1.598 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H](N(C)C(=O)C#CC3CC3)C2)sn1 ZINC001269241462 841407598 /nfs/dbraw/zinc/40/75/98/841407598.db2.gz VVKZARATQSDHDN-CYBMUJFWSA-N 1 2 319.430 1.598 20 30 DDEDLO CCCn1ncc(C(=O)NC[C@@H]2CC[N@@H+]2CC#CCOC)c1C ZINC001269326458 841520642 /nfs/dbraw/zinc/52/06/42/841520642.db2.gz KMJCPFZKWMTKBH-HNNXBMFYSA-N 1 2 318.421 1.055 20 30 DDEDLO CCCn1ncc(C(=O)NC[C@@H]2CC[N@H+]2CC#CCOC)c1C ZINC001269326458 841520646 /nfs/dbraw/zinc/52/06/46/841520646.db2.gz KMJCPFZKWMTKBH-HNNXBMFYSA-N 1 2 318.421 1.055 20 30 DDEDLO COC[C@@H](C)CC(=O)NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001269325791 841523022 /nfs/dbraw/zinc/52/30/22/841523022.db2.gz BWWCYEOZSZFUPO-FUHWJXTLSA-N 1 2 314.429 1.901 20 30 DDEDLO COC[C@@H](C)CC(=O)NC[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001269325791 841523031 /nfs/dbraw/zinc/52/30/31/841523031.db2.gz BWWCYEOZSZFUPO-FUHWJXTLSA-N 1 2 314.429 1.901 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)c2cc(=O)c3cc(C#N)ccc3[nH]2)c1 ZINC001154556724 861215660 /nfs/dbraw/zinc/21/56/60/861215660.db2.gz FLUWEIXTJYPEOC-UHFFFAOYSA-N 1 2 321.340 1.106 20 30 DDEDLO C=CCN1CCC2(CCN(C(=O)CCn3cc[nH+]c3)CC2)C1=O ZINC001269581095 841787261 /nfs/dbraw/zinc/78/72/61/841787261.db2.gz CEKFZXMAOIMHLK-UHFFFAOYSA-N 1 2 316.405 1.300 20 30 DDEDLO N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCn1cc[nH+]c1 ZINC001269601506 841807922 /nfs/dbraw/zinc/80/79/22/841807922.db2.gz IOTDNQIOLBFEQN-CABCVRRESA-N 1 2 301.394 1.252 20 30 DDEDLO C#CCOCCC(=O)N(C)C1C[NH+](C/C=C/c2ccccc2)C1 ZINC001269786997 842046426 /nfs/dbraw/zinc/04/64/26/842046426.db2.gz CYWXVFQCRCRRLY-JXMROGBWSA-N 1 2 312.413 1.882 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccon1 ZINC001270484595 842613997 /nfs/dbraw/zinc/61/39/97/842613997.db2.gz ZGPOJARMRNWHRF-IMJJTQAJSA-N 1 2 317.389 1.278 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccon1 ZINC001270484595 842614003 /nfs/dbraw/zinc/61/40/03/842614003.db2.gz ZGPOJARMRNWHRF-IMJJTQAJSA-N 1 2 317.389 1.278 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3nc4ccccc4[nH]3)C[C@H]21 ZINC001270508630 842630078 /nfs/dbraw/zinc/63/00/78/842630078.db2.gz VLCOOZQXXXPYSX-HEHGZKQESA-N 1 2 323.400 1.755 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3nc4ccccc4[nH]3)C[C@H]21 ZINC001270508630 842630083 /nfs/dbraw/zinc/63/00/83/842630083.db2.gz VLCOOZQXXXPYSX-HEHGZKQESA-N 1 2 323.400 1.755 20 30 DDEDLO CC1(C)CC(CC(=O)N[C@]2(C)CC[N@H+](CC(=O)NCC#N)C2)C1 ZINC001270625230 842750712 /nfs/dbraw/zinc/75/07/12/842750712.db2.gz VZBBQNSUSVPZKM-QGZVFWFLSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CC(CC(=O)N[C@]2(C)CC[N@@H+](CC(=O)NCC#N)C2)C1 ZINC001270625230 842750722 /nfs/dbraw/zinc/75/07/22/842750722.db2.gz VZBBQNSUSVPZKM-QGZVFWFLSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)CCc2ccc(OC)cc2)C1 ZINC001270700392 842827166 /nfs/dbraw/zinc/82/71/66/842827166.db2.gz FYZLERPHAKFLNV-KRWDZBQOSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)CCc2ccc(OC)cc2)C1 ZINC001270700392 842827175 /nfs/dbraw/zinc/82/71/75/842827175.db2.gz FYZLERPHAKFLNV-KRWDZBQOSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[N@H+]([C@H](C)C(N)=O)CCC1(F)F ZINC001271099429 843214141 /nfs/dbraw/zinc/21/41/41/843214141.db2.gz COPXFUYSXRREKO-MNOVXSKESA-N 1 2 317.380 1.146 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[N@@H+]([C@H](C)C(N)=O)CCC1(F)F ZINC001271099429 843214147 /nfs/dbraw/zinc/21/41/47/843214147.db2.gz COPXFUYSXRREKO-MNOVXSKESA-N 1 2 317.380 1.146 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CCC3(C[C@@H](O)CO3)CC2)c1F ZINC001143164235 861392062 /nfs/dbraw/zinc/39/20/62/861392062.db2.gz BUDNTAVBRHKYKO-GFCCVEGCSA-N 1 2 308.328 1.952 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@]1(O)CC[N@H+](Cc2cc(C)on2)C1 ZINC001271185152 843391646 /nfs/dbraw/zinc/39/16/46/843391646.db2.gz TWOUJGOVQDCYSA-RHSMWYFYSA-N 1 2 321.421 1.638 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@]1(O)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001271185152 843391655 /nfs/dbraw/zinc/39/16/55/843391655.db2.gz TWOUJGOVQDCYSA-RHSMWYFYSA-N 1 2 321.421 1.638 20 30 DDEDLO C=CCSCC(=O)N1CC2(CCN2CCn2cc[nH+]c2)C1 ZINC001271193997 843399779 /nfs/dbraw/zinc/39/97/79/843399779.db2.gz CLCPTRFYNPABRS-UHFFFAOYSA-N 1 2 306.435 1.089 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1(O)C[NH+](C[C@H]2CC(C)(C)CO2)C1 ZINC001271351587 843516100 /nfs/dbraw/zinc/51/61/00/843516100.db2.gz ZWEAUIXMQLHALQ-RHSMWYFYSA-N 1 2 324.465 1.567 20 30 DDEDLO CCCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1[nH]nnc1C ZINC001326647945 861508754 /nfs/dbraw/zinc/50/87/54/861508754.db2.gz OLEHOUXDANQTJD-CQSZACIVSA-N 1 2 323.441 1.514 20 30 DDEDLO CCCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1[nH]nnc1C ZINC001326647945 861508763 /nfs/dbraw/zinc/50/87/63/861508763.db2.gz OLEHOUXDANQTJD-CQSZACIVSA-N 1 2 323.441 1.514 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)C#N)[N@H+](C)Cc1cnc(Cl)s1 ZINC001409496884 845198566 /nfs/dbraw/zinc/19/85/66/845198566.db2.gz DGQUHRLYHZHGHQ-DTWKUNHWSA-N 1 2 300.815 1.893 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)C#N)[N@@H+](C)Cc1cnc(Cl)s1 ZINC001409496884 845198570 /nfs/dbraw/zinc/19/85/70/845198570.db2.gz DGQUHRLYHZHGHQ-DTWKUNHWSA-N 1 2 300.815 1.893 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cncc2ncn(C)c21 ZINC001409574877 845340254 /nfs/dbraw/zinc/34/02/54/845340254.db2.gz XSROIEMLMURBHH-NSHDSACASA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cncc2ncn(C)c21 ZINC001409574877 845340262 /nfs/dbraw/zinc/34/02/62/845340262.db2.gz XSROIEMLMURBHH-NSHDSACASA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cnn(C)c1OC ZINC001409646170 845489918 /nfs/dbraw/zinc/48/99/18/845489918.db2.gz KXGQEHQOBIWGMB-JTQLQIEISA-N 1 2 300.790 1.231 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cnn(C)c1OC ZINC001409646170 845489925 /nfs/dbraw/zinc/48/99/25/845489925.db2.gz KXGQEHQOBIWGMB-JTQLQIEISA-N 1 2 300.790 1.231 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCO[C@H](CNC(=O)[C@@H](C)C#N)C2)s1 ZINC001149405889 861596822 /nfs/dbraw/zinc/59/68/22/861596822.db2.gz FJYNQWSMSUSHPJ-WCQYABFASA-N 1 2 322.434 1.318 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCO[C@H](CNC(=O)[C@@H](C)C#N)C2)s1 ZINC001149405889 861596836 /nfs/dbraw/zinc/59/68/36/861596836.db2.gz FJYNQWSMSUSHPJ-WCQYABFASA-N 1 2 322.434 1.318 20 30 DDEDLO C=CCN1c2ccccc2[C@@]2(CC[N@H+](Cc3cnn[nH]3)C2)C1=O ZINC001272568463 846322274 /nfs/dbraw/zinc/32/22/74/846322274.db2.gz FLJCBKPLHWZRAA-KRWDZBQOSA-N 1 2 309.373 1.481 20 30 DDEDLO C=CCN1c2ccccc2[C@@]2(CC[N@@H+](Cc3cnn[nH]3)C2)C1=O ZINC001272568463 846322295 /nfs/dbraw/zinc/32/22/95/846322295.db2.gz FLJCBKPLHWZRAA-KRWDZBQOSA-N 1 2 309.373 1.481 20 30 DDEDLO C=CCN1c2ccccc2[C@@]2(CC[N@@H+](Cc3c[nH]nn3)C2)C1=O ZINC001272568463 846322311 /nfs/dbraw/zinc/32/23/11/846322311.db2.gz FLJCBKPLHWZRAA-KRWDZBQOSA-N 1 2 309.373 1.481 20 30 DDEDLO C=CCN1c2ccccc2[C@@]2(CC[N@H+](Cc3cn[nH]n3)C2)C1=O ZINC001272568463 846322318 /nfs/dbraw/zinc/32/23/18/846322318.db2.gz FLJCBKPLHWZRAA-KRWDZBQOSA-N 1 2 309.373 1.481 20 30 DDEDLO C=CCN1c2ccccc2[C@@]2(CC[N@@H+](Cc3cn[nH]n3)C2)C1=O ZINC001272568463 846322323 /nfs/dbraw/zinc/32/23/23/846322323.db2.gz FLJCBKPLHWZRAA-KRWDZBQOSA-N 1 2 309.373 1.481 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)/C=C\c2ccc[nH]2)C1 ZINC001149607059 861744176 /nfs/dbraw/zinc/74/41/76/861744176.db2.gz BIGALFJGRRRXKE-YVACAVLKSA-N 1 2 323.824 1.988 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)/C=C\c2ccc[nH]2)C1 ZINC001149607059 861744180 /nfs/dbraw/zinc/74/41/80/861744180.db2.gz BIGALFJGRRRXKE-YVACAVLKSA-N 1 2 323.824 1.988 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)N2CCC(n3cc[nH+]c3)CC2)CC1 ZINC001326953177 861745612 /nfs/dbraw/zinc/74/56/12/861745612.db2.gz FYWDAGJZQRPDMC-UHFFFAOYSA-N 1 2 316.405 1.471 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1C[N@H+](CC(=C)Cl)CCCO1 ZINC001149622537 861757610 /nfs/dbraw/zinc/75/76/10/861757610.db2.gz BFOBTWLSVLGYFB-UKRRQHHQSA-N 1 2 316.829 1.273 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1C[N@@H+](CC(=C)Cl)CCCO1 ZINC001149622537 861757618 /nfs/dbraw/zinc/75/76/18/861757618.db2.gz BFOBTWLSVLGYFB-UKRRQHHQSA-N 1 2 316.829 1.273 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@H]1CC[C@H](CNCC#N)CC1 ZINC001272777173 847414682 /nfs/dbraw/zinc/41/46/82/847414682.db2.gz FGESWTJJWVOIPG-HDJSIYSDSA-N 1 2 303.410 1.141 20 30 DDEDLO N#CCCCN1C(=O)COCC12C[NH+](Cc1ccc(O)cc1)C2 ZINC001272961790 847711222 /nfs/dbraw/zinc/71/12/22/847711222.db2.gz IPCXKEMKHHFGQC-UHFFFAOYSA-N 1 2 315.373 1.109 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC001327052575 861835808 /nfs/dbraw/zinc/83/58/08/861835808.db2.gz JENWTHUOQWGKRL-ZDUSSCGKSA-N 1 2 314.345 1.076 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CCCCN(CC#N)C2)c[nH+]1 ZINC001034267587 848207553 /nfs/dbraw/zinc/20/75/53/848207553.db2.gz XGIKFUFWKWBZFR-CQSZACIVSA-N 1 2 303.410 1.421 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cc(C#N)ccc2F)C1=O ZINC001273206555 848759525 /nfs/dbraw/zinc/75/95/25/848759525.db2.gz UZVGDBVMTBAGGJ-GOSISDBHSA-N 1 2 311.360 1.897 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cc(C#N)ccc2F)C1=O ZINC001273206555 848759530 /nfs/dbraw/zinc/75/95/30/848759530.db2.gz UZVGDBVMTBAGGJ-GOSISDBHSA-N 1 2 311.360 1.897 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@H]1CN(C)C(=O)c1snnc1C ZINC001230714540 849064786 /nfs/dbraw/zinc/06/47/86/849064786.db2.gz DZNSYXUSINVCLD-LBPRGKRZSA-N 1 2 310.423 1.195 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1snnc1C ZINC001230714540 849064795 /nfs/dbraw/zinc/06/47/95/849064795.db2.gz DZNSYXUSINVCLD-LBPRGKRZSA-N 1 2 310.423 1.195 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC001328934693 863348627 /nfs/dbraw/zinc/34/86/27/863348627.db2.gz MQILJRFIWJOBLK-ZIAGYGMSSA-N 1 2 304.394 1.518 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)CCOCC)C2)CC1 ZINC001273373646 849728069 /nfs/dbraw/zinc/72/80/69/849728069.db2.gz DNVAGOAAHHXVND-MRXNPFEDSA-N 1 2 309.454 1.208 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2nnc(C)s2)CC1 ZINC001273399839 849916914 /nfs/dbraw/zinc/91/69/14/849916914.db2.gz ORFSHOICUAKUFU-ZDUSSCGKSA-N 1 2 324.450 1.520 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@H+](Cc2nnc(C)s2)CC1 ZINC001273399839 849916917 /nfs/dbraw/zinc/91/69/17/849916917.db2.gz ORFSHOICUAKUFU-ZDUSSCGKSA-N 1 2 324.450 1.520 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H](O)C[N@@H+](C)CC=C(Cl)Cl ZINC001411162298 850303258 /nfs/dbraw/zinc/30/32/58/850303258.db2.gz ICXXITGPWRYPFA-ZJUUUORDSA-N 1 2 308.209 1.216 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H](O)C[N@H+](C)CC=C(Cl)Cl ZINC001411162298 850303247 /nfs/dbraw/zinc/30/32/47/850303247.db2.gz ICXXITGPWRYPFA-ZJUUUORDSA-N 1 2 308.209 1.216 20 30 DDEDLO CCc1nnc(C[NH+]2CCC([C@H](C)NC(=O)[C@H](C)C#N)CC2)o1 ZINC001411228721 850442809 /nfs/dbraw/zinc/44/28/09/850442809.db2.gz BANFLORWOUGYMH-NEPJUHHUSA-N 1 2 319.409 1.508 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H](C)C(C)C)O2 ZINC001273669520 851205744 /nfs/dbraw/zinc/20/57/44/851205744.db2.gz VPNYFRWWBIVEPD-HOTGVXAUSA-N 1 2 324.465 1.831 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+]2[C@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707067 851249448 /nfs/dbraw/zinc/24/94/48/851249448.db2.gz NNBGMGIFYGHBST-CXAGYDPISA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+]2[C@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707067 851249458 /nfs/dbraw/zinc/24/94/58/851249458.db2.gz NNBGMGIFYGHBST-CXAGYDPISA-N 1 2 308.422 1.970 20 30 DDEDLO C=C(C)CNC(=O)[C@@H]1CC12CC[NH+](Cc1nncn1C)CC2 ZINC001273825874 851392215 /nfs/dbraw/zinc/39/22/15/851392215.db2.gz LXUNISLYSXOVPG-ZDUSSCGKSA-N 1 2 303.410 1.110 20 30 DDEDLO CCCc1nnc(C[NH2+]C/C=C\CNC(=O)[C@@H](C)C#N)s1 ZINC001273912238 851499858 /nfs/dbraw/zinc/49/98/58/851499858.db2.gz XBKSJMUWVOAZDW-WYGGZMRJSA-N 1 2 307.423 1.412 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)Cc3ccn(C)n3)cc2C1 ZINC001274028386 851866989 /nfs/dbraw/zinc/86/69/89/851866989.db2.gz UXMXZJXBAAFTRF-UHFFFAOYSA-N 1 2 308.385 1.228 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)Cc3ccn(C)n3)cc2C1 ZINC001274028386 851866994 /nfs/dbraw/zinc/86/69/94/851866994.db2.gz UXMXZJXBAAFTRF-UHFFFAOYSA-N 1 2 308.385 1.228 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2nc(CC)cs2)C1=O ZINC001274579283 852413559 /nfs/dbraw/zinc/41/35/59/852413559.db2.gz IIKDKEGAEPCVPQ-MRXNPFEDSA-N 1 2 303.431 1.906 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2nc(CC)cs2)C1=O ZINC001274579283 852413565 /nfs/dbraw/zinc/41/35/65/852413565.db2.gz IIKDKEGAEPCVPQ-MRXNPFEDSA-N 1 2 303.431 1.906 20 30 DDEDLO CCC[C@H](O)C[N@@H+]1C[C@]2(F)CN(CCCC#N)C(=O)[C@]2(F)C1 ZINC001274636086 852473286 /nfs/dbraw/zinc/47/32/86/852473286.db2.gz OMGDLZHPVIIRTM-AEGPPILISA-N 1 2 315.364 1.026 20 30 DDEDLO CCC[C@H](O)C[N@H+]1C[C@]2(F)CN(CCCC#N)C(=O)[C@]2(F)C1 ZINC001274636086 852473297 /nfs/dbraw/zinc/47/32/97/852473297.db2.gz OMGDLZHPVIIRTM-AEGPPILISA-N 1 2 315.364 1.026 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1coc(C)n1 ZINC001275100899 852805083 /nfs/dbraw/zinc/80/50/83/852805083.db2.gz UIOKMDQKZQCRNE-NVXWUHKLSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1coc(C)n1 ZINC001275100899 852805087 /nfs/dbraw/zinc/80/50/87/852805087.db2.gz UIOKMDQKZQCRNE-NVXWUHKLSA-N 1 2 321.421 1.733 20 30 DDEDLO N#Cc1ccc(NCC(=O)N2CC(Oc3cc[nH+]cc3)C2)nc1 ZINC001411748952 853675761 /nfs/dbraw/zinc/67/57/61/853675761.db2.gz BMJCPADECXCFQN-UHFFFAOYSA-N 1 2 309.329 1.050 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC1(CCO)C[NH+](CC(=C)C)C1 ZINC001276101481 854765967 /nfs/dbraw/zinc/76/59/67/854765967.db2.gz WZSFCMQJTZOTFU-UHFFFAOYSA-N 1 2 312.413 1.340 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@@H](NC(=O)CSCC#N)C[C@@H]1C ZINC001328243347 862813174 /nfs/dbraw/zinc/81/31/74/862813174.db2.gz PEIQZHGQQZQYND-CMPLNLGQSA-N 1 2 323.422 1.104 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@@H](NC(=O)CSCC#N)C[C@@H]1C ZINC001328243347 862813191 /nfs/dbraw/zinc/81/31/91/862813191.db2.gz PEIQZHGQQZQYND-CMPLNLGQSA-N 1 2 323.422 1.104 20 30 DDEDLO CCc1noc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001072544672 857426176 /nfs/dbraw/zinc/42/61/76/857426176.db2.gz TXHWYDIVXAWYCR-NWDGAFQWSA-N 1 2 317.393 1.387 20 30 DDEDLO CCc1noc([C@@H](C)[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001072544672 857426177 /nfs/dbraw/zinc/42/61/77/857426177.db2.gz TXHWYDIVXAWYCR-NWDGAFQWSA-N 1 2 317.393 1.387 20 30 DDEDLO CC1CCN(C(=O)c2ccc(N3CC[NH2+]C[C@@H]3C#N)nc2)CC1 ZINC001156327487 862938945 /nfs/dbraw/zinc/93/89/45/862938945.db2.gz BASXOHWRJQCXEE-HNNXBMFYSA-N 1 2 313.405 1.255 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C(C)(C)C1 ZINC001073473086 858364569 /nfs/dbraw/zinc/36/45/69/858364569.db2.gz UIICVSRAMJAJFW-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cnc(C)cn2)C1 ZINC001073524683 858411524 /nfs/dbraw/zinc/41/15/24/858411524.db2.gz MLURIUCFQIWAHP-CYBMUJFWSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cnc(C)cn2)C1 ZINC001073524683 858411527 /nfs/dbraw/zinc/41/15/27/858411527.db2.gz MLURIUCFQIWAHP-CYBMUJFWSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2cnns2)C1 ZINC001073547168 858428617 /nfs/dbraw/zinc/42/86/17/858428617.db2.gz BUAKAJJLSKIAML-JTQLQIEISA-N 1 2 316.814 1.111 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2cnns2)C1 ZINC001073547168 858428621 /nfs/dbraw/zinc/42/86/21/858428621.db2.gz BUAKAJJLSKIAML-JTQLQIEISA-N 1 2 316.814 1.111 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccn(C)c2)C1 ZINC001073568467 858447219 /nfs/dbraw/zinc/44/72/19/858447219.db2.gz UASQSDWZTPQTGW-AWEZNQCLSA-N 1 2 311.813 1.598 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccn(C)c2)C1 ZINC001073568467 858447220 /nfs/dbraw/zinc/44/72/20/858447220.db2.gz UASQSDWZTPQTGW-AWEZNQCLSA-N 1 2 311.813 1.598 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H]2CC2(C)C)C1 ZINC001073578853 858457124 /nfs/dbraw/zinc/45/71/24/858457124.db2.gz XTXZOEOINRWYNO-STQMWFEESA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H]2CC2(C)C)C1 ZINC001073578853 858457128 /nfs/dbraw/zinc/45/71/28/858457128.db2.gz XTXZOEOINRWYNO-STQMWFEESA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H]2CC2(F)F)C1 ZINC001073598298 858471473 /nfs/dbraw/zinc/47/14/73/858471473.db2.gz VSERGXJDFIZHKB-WDEREUQCSA-N 1 2 308.756 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H]2CC2(F)F)C1 ZINC001073598298 858471474 /nfs/dbraw/zinc/47/14/74/858471474.db2.gz VSERGXJDFIZHKB-WDEREUQCSA-N 1 2 308.756 1.601 20 30 DDEDLO C#CCCCCCC(=O)NC[C@H](CO)Nc1cc[nH+]c(C)n1 ZINC001122310140 858746136 /nfs/dbraw/zinc/74/61/36/858746136.db2.gz IQOKLNONPRZKIQ-CQSZACIVSA-N 1 2 304.394 1.258 20 30 DDEDLO COCCn1c(C2CC[NH+](CCC#N)CC2)nnc1N(C)OC ZINC001122344159 858767743 /nfs/dbraw/zinc/76/77/43/858767743.db2.gz CBSZWJGWIVAMAR-UHFFFAOYSA-N 1 2 322.413 1.015 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)CSCC#N)[C@H]2C)cc[nH+]1 ZINC001122941770 859019696 /nfs/dbraw/zinc/01/96/96/859019696.db2.gz OIWANIOOLUJLLY-CMPLNLGQSA-N 1 2 305.407 1.443 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H](O)CNc2cc[nH+]c(C)n2)CCC1 ZINC001124549715 859734491 /nfs/dbraw/zinc/73/44/91/859734491.db2.gz WDXXGZUPLAFQOD-CQSZACIVSA-N 1 2 316.405 1.210 20 30 DDEDLO C=CCCC1(C(=O)N(C)C[C@H](O)CNc2cc[nH+]c(C)n2)CC1 ZINC001124728222 859796230 /nfs/dbraw/zinc/79/62/30/859796230.db2.gz NBZDDQMMLQJOMH-CQSZACIVSA-N 1 2 318.421 1.763 20 30 DDEDLO C=CCOC[C@@H]1c2c(ncn2C)CC[N@@H+]1Cc1ncccc1O ZINC001138393736 860089703 /nfs/dbraw/zinc/08/97/03/860089703.db2.gz SPGBOQYUYKLNGB-OAHLLOKOSA-N 1 2 314.389 1.823 20 30 DDEDLO C=CCOC[C@@H]1c2c(ncn2C)CC[N@H+]1Cc1ncccc1O ZINC001138393736 860089698 /nfs/dbraw/zinc/08/96/98/860089698.db2.gz SPGBOQYUYKLNGB-OAHLLOKOSA-N 1 2 314.389 1.823 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccncc2NC(=O)C(C)(C)C)CC1 ZINC001138456262 860106574 /nfs/dbraw/zinc/10/65/74/860106574.db2.gz PUFAQNOXOOWMRL-UHFFFAOYSA-N 1 2 314.433 1.817 20 30 DDEDLO C[C@H]1C[NH+](C2CN(Cc3cc(F)cc(C#N)c3)C2)C[C@H](C)O1 ZINC001139879433 860506746 /nfs/dbraw/zinc/50/67/46/860506746.db2.gz KRYPGOWXETUPEU-STQMWFEESA-N 1 2 303.381 1.991 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2cccc(C#N)c2Cl)CCCO1 ZINC001140815034 860702662 /nfs/dbraw/zinc/70/26/62/860702662.db2.gz ZDBCKFYWUJYLLC-CYBMUJFWSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2cccc(C#N)c2Cl)CCCO1 ZINC001140815034 860702667 /nfs/dbraw/zinc/70/26/67/860702667.db2.gz ZDBCKFYWUJYLLC-CYBMUJFWSA-N 1 2 308.765 1.976 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1cn(C)nn1 ZINC001325898974 860932773 /nfs/dbraw/zinc/93/27/73/860932773.db2.gz JILKIMAJXMVJQE-JKSUJKDBSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1cn(C)nn1 ZINC001325898974 860932778 /nfs/dbraw/zinc/93/27/78/860932778.db2.gz JILKIMAJXMVJQE-JKSUJKDBSA-N 1 2 317.437 1.737 20 30 DDEDLO CSc1nc(C[N@H+]2CCc3nncn3CC2)ccc1C#N ZINC001141932297 860973136 /nfs/dbraw/zinc/97/31/36/860973136.db2.gz WSQKWKFVHIDFNS-UHFFFAOYSA-N 1 2 300.391 1.325 20 30 DDEDLO CSc1nc(C[N@@H+]2CCc3nncn3CC2)ccc1C#N ZINC001141932297 860973153 /nfs/dbraw/zinc/97/31/53/860973153.db2.gz WSQKWKFVHIDFNS-UHFFFAOYSA-N 1 2 300.391 1.325 20 30 DDEDLO CSc1nc(C[N@@H+]2CCC[C@H](NC(C)=O)C2)ccc1C#N ZINC001141939642 860976030 /nfs/dbraw/zinc/97/60/30/860976030.db2.gz STAHSWZCEIMKQB-ZDUSSCGKSA-N 1 2 304.419 1.776 20 30 DDEDLO CSc1nc(C[N@H+]2CCC[C@H](NC(C)=O)C2)ccc1C#N ZINC001141939642 860976036 /nfs/dbraw/zinc/97/60/36/860976036.db2.gz STAHSWZCEIMKQB-ZDUSSCGKSA-N 1 2 304.419 1.776 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@H+]1CCOC[C@@H]1C)c1ccccc1 ZINC001325964286 860995457 /nfs/dbraw/zinc/99/54/57/860995457.db2.gz KBSIJDARQYILTO-GOEBONIOSA-N 1 2 301.390 1.381 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1ccccc1 ZINC001325964286 860995479 /nfs/dbraw/zinc/99/54/79/860995479.db2.gz KBSIJDARQYILTO-GOEBONIOSA-N 1 2 301.390 1.381 20 30 DDEDLO C=C[C@H](C(=O)NCC[NH2+]Cc1nncs1)c1ccccc1 ZINC001151998030 863102794 /nfs/dbraw/zinc/10/27/94/863102794.db2.gz OJQWXULGXGNXLM-ZDUSSCGKSA-N 1 2 302.403 1.714 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001153135325 863728958 /nfs/dbraw/zinc/72/89/58/863728958.db2.gz QNEQHTKSNVVNES-NSHDSACASA-N 1 2 320.349 1.127 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+][C@H](C)c1nnc(CC(C)C)o1 ZINC001153149616 863735637 /nfs/dbraw/zinc/73/56/37/863735637.db2.gz ZZIYEDJOLVVLOB-CHWSQXEVSA-N 1 2 324.425 1.626 20 30 DDEDLO N#CC1(NC(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)CCOCC1 ZINC001330228242 864173350 /nfs/dbraw/zinc/17/33/50/864173350.db2.gz PVNHPQQWKLGNNW-JTQLQIEISA-N 1 2 316.283 1.566 20 30 DDEDLO CC[N@H+](Cc1ccc(C#N)s1)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001154134555 864437827 /nfs/dbraw/zinc/43/78/27/864437827.db2.gz LKSVQQIPHJIWQL-SNVBAGLBSA-N 1 2 318.406 1.378 20 30 DDEDLO CC[N@@H+](Cc1ccc(C#N)s1)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001154134555 864437834 /nfs/dbraw/zinc/43/78/34/864437834.db2.gz LKSVQQIPHJIWQL-SNVBAGLBSA-N 1 2 318.406 1.378 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CC(=O)c2cc(C(=O)OC)[nH]c2C)C1 ZINC001330673223 864524995 /nfs/dbraw/zinc/52/49/95/864524995.db2.gz WSACPKAOOXEUIM-LBPRGKRZSA-N 1 2 306.362 1.569 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CC(=O)c2cc(C(=O)OC)[nH]c2C)C1 ZINC001330673223 864524999 /nfs/dbraw/zinc/52/49/99/864524999.db2.gz WSACPKAOOXEUIM-LBPRGKRZSA-N 1 2 306.362 1.569 20 30 DDEDLO O=C(C=CNc1cccc(N2CCOCC2)[nH+]1)C(F)(F)F ZINC001158558025 864726747 /nfs/dbraw/zinc/72/67/47/864726747.db2.gz QEKRQQBBGRDZPZ-SNAWJCMRSA-N 1 2 301.268 1.975 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(c3ncc4c(C#N)c[nH]c4n3)C2)C[C@@H](C)O1 ZINC001158727527 864861554 /nfs/dbraw/zinc/86/15/54/864861554.db2.gz MGNMPPZDNZFXOT-GHMZBOCLSA-N 1 2 312.377 1.127 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([NH2+]Cc2nc(C3CC3)no2)[C@H]1C ZINC001331880650 865410261 /nfs/dbraw/zinc/41/02/61/865410261.db2.gz XFGDMABZPGCDBA-OCCSQVGLSA-N 1 2 316.405 1.685 20 30 DDEDLO C#CCCCCC(=O)N[C@@]12CCC[C@@H]1[N@H+](Cc1cnon1)CC2 ZINC001332093053 865571923 /nfs/dbraw/zinc/57/19/23/865571923.db2.gz WHQPSEWHCHFHJS-DOTOQJQBSA-N 1 2 316.405 1.876 20 30 DDEDLO C#CCCCCC(=O)N[C@@]12CCC[C@@H]1[N@@H+](Cc1cnon1)CC2 ZINC001332093053 865571929 /nfs/dbraw/zinc/57/19/29/865571929.db2.gz WHQPSEWHCHFHJS-DOTOQJQBSA-N 1 2 316.405 1.876 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)C[C@@]3(CCSC3)C2=O)C1 ZINC001320029395 866436511 /nfs/dbraw/zinc/43/65/11/866436511.db2.gz CMZDWEPRWYCHND-IUODEOHRSA-N 1 2 310.419 1.103 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)C[C@@]3(CCSC3)C2=O)C1 ZINC001320029395 866436516 /nfs/dbraw/zinc/43/65/16/866436516.db2.gz CMZDWEPRWYCHND-IUODEOHRSA-N 1 2 310.419 1.103 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)CCc1ccsc1 ZINC001323246259 866467403 /nfs/dbraw/zinc/46/74/03/866467403.db2.gz LDNVAIXGFUSURE-HNNXBMFYSA-N 1 2 306.431 1.521 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)CCc1ccsc1 ZINC001323246259 866467416 /nfs/dbraw/zinc/46/74/16/866467416.db2.gz LDNVAIXGFUSURE-HNNXBMFYSA-N 1 2 306.431 1.521 20 30 DDEDLO CC[C@@H](CC(C)C)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001324559093 867350148 /nfs/dbraw/zinc/35/01/48/867350148.db2.gz AHWNBYHNHAJDTE-IRXDYDNUSA-N 1 2 320.481 1.801 20 30 DDEDLO CCC[C@@H](C)CC(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001324559981 867356790 /nfs/dbraw/zinc/35/67/90/867356790.db2.gz KPYUXBGVNKXPJT-CVEARBPZSA-N 1 2 306.454 1.555 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@](C)(NC(=O)c2cccs2)C1 ZINC001324569897 867361082 /nfs/dbraw/zinc/36/10/82/867361082.db2.gz CEPPGLIPDRNKKJ-HNNXBMFYSA-N 1 2 307.419 1.245 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@](C)(NC(=O)c2cccs2)C1 ZINC001324569897 867361095 /nfs/dbraw/zinc/36/10/95/867361095.db2.gz CEPPGLIPDRNKKJ-HNNXBMFYSA-N 1 2 307.419 1.245 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001324587010 867379311 /nfs/dbraw/zinc/37/93/11/867379311.db2.gz COOATUSFMHDRLD-XHDPSFHLSA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001324587010 867379325 /nfs/dbraw/zinc/37/93/25/867379325.db2.gz COOATUSFMHDRLD-XHDPSFHLSA-N 1 2 308.382 1.222 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@](C)(NC(=O)c2nccs2)C1 ZINC001324661174 867434848 /nfs/dbraw/zinc/43/48/48/867434848.db2.gz MCDYWDBJARXGNQ-NHYWBVRUSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@](C)(NC(=O)c2nccs2)C1 ZINC001324661174 867434857 /nfs/dbraw/zinc/43/48/57/867434857.db2.gz MCDYWDBJARXGNQ-NHYWBVRUSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC001321599537 867689065 /nfs/dbraw/zinc/68/90/65/867689065.db2.gz XOUFTQBOBHZZFD-CYBMUJFWSA-N 1 2 318.421 1.349 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@@H]1CCn2cncc2C1 ZINC001381542187 882122375 /nfs/dbraw/zinc/12/23/75/882122375.db2.gz PSGUHJNZZQSIEH-QWHCGFSZSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@@H]1CCn2cncc2C1 ZINC001381542187 882122380 /nfs/dbraw/zinc/12/23/80/882122380.db2.gz PSGUHJNZZQSIEH-QWHCGFSZSA-N 1 2 310.829 1.635 20 30 DDEDLO Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)Nc1n[nH]cc1C#N ZINC001162744042 867794154 /nfs/dbraw/zinc/79/41/54/867794154.db2.gz SXBPVZWQNUVUSR-UHFFFAOYSA-N 1 2 316.271 1.856 20 30 DDEDLO Cc1nn(-c2ccccc2)c(N)c1C=[NH+]NC(=S)NC(C)C ZINC001321815361 867810178 /nfs/dbraw/zinc/81/01/78/867810178.db2.gz MHFFDXDXYFAGNQ-UHFFFAOYSA-N 1 2 316.434 1.969 20 30 DDEDLO C=CCO[C@H]1CCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)C1 ZINC001321979533 867914576 /nfs/dbraw/zinc/91/45/76/867914576.db2.gz HYPYBQNYRAZWOJ-CABCVRRESA-N 1 2 318.421 1.609 20 30 DDEDLO C=CCO[C@H]1CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC001321979533 867914596 /nfs/dbraw/zinc/91/45/96/867914596.db2.gz HYPYBQNYRAZWOJ-CABCVRRESA-N 1 2 318.421 1.609 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCC[C@H](C)NC(=O)C#CC1CC1 ZINC001336060939 868705976 /nfs/dbraw/zinc/70/59/76/868705976.db2.gz LRSXAZGUVLDUFP-LBPRGKRZSA-N 1 2 316.405 1.075 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCOC[C@H]1Nc1cc[nH+]c(C)n1 ZINC001337400655 869470714 /nfs/dbraw/zinc/47/07/14/869470714.db2.gz VQEMHGXJBBGJCZ-UONOGXRCSA-N 1 2 302.378 1.274 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H](NC(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001338094039 869798735 /nfs/dbraw/zinc/79/87/35/869798735.db2.gz YIWHDNKLCYCCRO-LSDHHAIUSA-N 1 2 316.405 1.038 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@](C)(CC)CCC)C1 ZINC001316990498 870083139 /nfs/dbraw/zinc/08/31/39/870083139.db2.gz OTAVYOZBQNMZAD-WMLDXEAASA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@](C)(CC)CCC)C1 ZINC001316990498 870083152 /nfs/dbraw/zinc/08/31/52/870083152.db2.gz OTAVYOZBQNMZAD-WMLDXEAASA-N 1 2 309.454 1.696 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]([NH2+]Cc2nc(C)no2)C2CC2)cc1 ZINC001317021454 870130258 /nfs/dbraw/zinc/13/02/58/870130258.db2.gz ATURUCHJMXYFRR-MRXNPFEDSA-N 1 2 324.384 1.658 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCCC(C)(C)C1 ZINC001338893936 870228899 /nfs/dbraw/zinc/22/88/99/870228899.db2.gz CKXDYGBLFBLPOC-ZIAGYGMSSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCCC(C)(C)C1 ZINC001338893936 870228912 /nfs/dbraw/zinc/22/89/12/870228912.db2.gz CKXDYGBLFBLPOC-ZIAGYGMSSA-N 1 2 319.453 1.828 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CC(N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001339226260 870410401 /nfs/dbraw/zinc/41/04/01/870410401.db2.gz KDYOSMRBIRIQBV-NFOMZHRRSA-N 1 2 318.421 1.591 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001317304471 870609334 /nfs/dbraw/zinc/60/93/34/870609334.db2.gz WMYQHBNYTOTLLO-AWEZNQCLSA-N 1 2 316.405 1.831 20 30 DDEDLO C#CC[NH+]1CCN(Cc2c(OC)cc(OC)cc2OC)CC1 ZINC001203517656 870610081 /nfs/dbraw/zinc/61/00/81/870610081.db2.gz WDAURBPMIXYKJU-UHFFFAOYSA-N 1 2 304.390 1.463 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)CC[C@@H]1C ZINC001339698836 870641289 /nfs/dbraw/zinc/64/12/89/870641289.db2.gz HVHXNNBKWOIFNL-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001298604655 870643554 /nfs/dbraw/zinc/64/35/54/870643554.db2.gz DDVXXZOUHTZKJD-NWDGAFQWSA-N 1 2 306.410 1.421 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001298604655 870643563 /nfs/dbraw/zinc/64/35/63/870643563.db2.gz DDVXXZOUHTZKJD-NWDGAFQWSA-N 1 2 306.410 1.421 20 30 DDEDLO C=C[C@H](COC)[NH2+]Cc1cn(C)nc1I ZINC001339779489 870685901 /nfs/dbraw/zinc/68/59/01/870685901.db2.gz KYPPMWYVSMKTIG-SECBINFHSA-N 1 2 321.162 1.315 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCOC[C@](O)(C(F)(F)F)C2)c1 ZINC001203757422 870743698 /nfs/dbraw/zinc/74/36/98/870743698.db2.gz MGEKNVVTOAGLJE-ZDUSSCGKSA-N 1 2 300.280 1.684 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCOC[C@](O)(C(F)(F)F)C2)c1 ZINC001203757422 870743710 /nfs/dbraw/zinc/74/37/10/870743710.db2.gz MGEKNVVTOAGLJE-ZDUSSCGKSA-N 1 2 300.280 1.684 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC1CC[NH+](Cc2nccn2C)CC1 ZINC001226455262 882441013 /nfs/dbraw/zinc/44/10/13/882441013.db2.gz DMEWUKGJBFSLML-CQSZACIVSA-N 1 2 320.437 1.482 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001299096653 870896245 /nfs/dbraw/zinc/89/62/45/870896245.db2.gz VZSQTHLGOITJKF-RHSMWYFYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001299096653 870896258 /nfs/dbraw/zinc/89/62/58/870896258.db2.gz VZSQTHLGOITJKF-RHSMWYFYSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)c3ccccn3)n2CC)CC1 ZINC001340231234 870973326 /nfs/dbraw/zinc/97/33/26/870973326.db2.gz URWAQHYZKGMMKY-OAHLLOKOSA-N 1 2 324.432 1.600 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+](Cc2cn(C(C)C)nn2)C1 ZINC001317518223 870974280 /nfs/dbraw/zinc/97/42/80/870974280.db2.gz JIXWODULIDOPJN-OAHLLOKOSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+](Cc2cn(C(C)C)nn2)C1 ZINC001317518223 870974285 /nfs/dbraw/zinc/97/42/85/870974285.db2.gz JIXWODULIDOPJN-OAHLLOKOSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)o2)CC1 ZINC001226598678 882528447 /nfs/dbraw/zinc/52/84/47/882528447.db2.gz JWEZVVJWFAWMPX-NSHDSACASA-N 1 2 308.382 1.222 20 30 DDEDLO C#CCN(CC#C)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1CC ZINC001341334393 871611586 /nfs/dbraw/zinc/61/15/86/871611586.db2.gz VDEDAHSVJPHSPA-HNNXBMFYSA-N 1 2 315.421 1.153 20 30 DDEDLO C#CCN(CC#C)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1CC ZINC001341334393 871611593 /nfs/dbraw/zinc/61/15/93/871611593.db2.gz VDEDAHSVJPHSPA-HNNXBMFYSA-N 1 2 315.421 1.153 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001317930878 871629710 /nfs/dbraw/zinc/62/97/10/871629710.db2.gz PBNCUFVYIAXRCQ-HZPDHXFCSA-N 1 2 321.465 1.531 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001317930878 871629720 /nfs/dbraw/zinc/62/97/20/871629720.db2.gz PBNCUFVYIAXRCQ-HZPDHXFCSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C[C@@H](C)CC(C)C)C1 ZINC001317949460 871647348 /nfs/dbraw/zinc/64/73/48/871647348.db2.gz JJSDOQITNIXJQY-JKSUJKDBSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C[C@@H](C)CC(C)C)C1 ZINC001317949460 871647358 /nfs/dbraw/zinc/64/73/58/871647358.db2.gz JJSDOQITNIXJQY-JKSUJKDBSA-N 1 2 323.481 1.942 20 30 DDEDLO C[C@H](C#N)C(=O)NC1CC[NH+](Cc2ccc(Cl)nc2)CC1 ZINC001226617716 882543072 /nfs/dbraw/zinc/54/30/72/882543072.db2.gz NREJAPOFWIEOCY-LLVKDONJSA-N 1 2 306.797 1.975 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)c1[nH]ccc1C)C2 ZINC001316809003 871918593 /nfs/dbraw/zinc/91/85/93/871918593.db2.gz IDGAIYKGNKNHBA-UHFFFAOYSA-N 1 2 314.414 1.701 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)CCCOCC)C2 ZINC001316809987 871919496 /nfs/dbraw/zinc/91/94/96/871919496.db2.gz RKAWAGFXHRJKIK-UHFFFAOYSA-N 1 2 321.446 1.567 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cnn(C)n2)[C@@H]1CC ZINC001316736011 871984723 /nfs/dbraw/zinc/98/47/23/871984723.db2.gz YAAAMNHEQIENKB-UONOGXRCSA-N 1 2 305.426 1.496 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cnn(C)n2)[C@@H]1CC ZINC001316736011 871984728 /nfs/dbraw/zinc/98/47/28/871984728.db2.gz YAAAMNHEQIENKB-UONOGXRCSA-N 1 2 305.426 1.496 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001318425435 872030076 /nfs/dbraw/zinc/03/00/76/872030076.db2.gz PLQYIOGYKQWSTA-KRWDZBQOSA-N 1 2 316.449 1.955 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001318425435 872030094 /nfs/dbraw/zinc/03/00/94/872030094.db2.gz PLQYIOGYKQWSTA-KRWDZBQOSA-N 1 2 316.449 1.955 20 30 DDEDLO C[C@@H](C(=O)Nc1cnn(-c2ncccc2C#N)c1)n1cc[nH+]c1 ZINC001342608071 872294596 /nfs/dbraw/zinc/29/45/96/872294596.db2.gz RNDQSLCOYTVPLQ-NSHDSACASA-N 1 2 307.317 1.535 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC(C)C)C1 ZINC001316947265 872441460 /nfs/dbraw/zinc/44/14/60/872441460.db2.gz JRQYKBYGVXTLEM-MRXNPFEDSA-N 1 2 321.465 1.675 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NC(C)C)C1 ZINC001316947265 872441470 /nfs/dbraw/zinc/44/14/70/872441470.db2.gz JRQYKBYGVXTLEM-MRXNPFEDSA-N 1 2 321.465 1.675 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)(C)CCC)C1 ZINC001316949026 872446026 /nfs/dbraw/zinc/44/60/26/872446026.db2.gz XJMBUHRGKZDEKX-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)CCC)C1 ZINC001316949026 872446033 /nfs/dbraw/zinc/44/60/33/872446033.db2.gz XJMBUHRGKZDEKX-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)COc2cccc(OC)c2)C1 ZINC001319323226 872575088 /nfs/dbraw/zinc/57/50/88/872575088.db2.gz VWLWOWBYAHNJDJ-INIZCTEOSA-N 1 2 320.389 1.077 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)COc2cccc(OC)c2)C1 ZINC001319323226 872575093 /nfs/dbraw/zinc/57/50/93/872575093.db2.gz VWLWOWBYAHNJDJ-INIZCTEOSA-N 1 2 320.389 1.077 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H](F)Cc2ccccc2)C1 ZINC001319333733 872584500 /nfs/dbraw/zinc/58/45/00/872584500.db2.gz VBDNCANOGGBPDM-IAGOWNOFSA-N 1 2 320.408 1.960 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H](F)Cc2ccccc2)C1 ZINC001319333733 872584507 /nfs/dbraw/zinc/58/45/07/872584507.db2.gz VBDNCANOGGBPDM-IAGOWNOFSA-N 1 2 320.408 1.960 20 30 DDEDLO COCCC(=O)N[C@H](C)C1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001381768658 882630274 /nfs/dbraw/zinc/63/02/74/882630274.db2.gz JLLUUCTUAWCGRE-GFCCVEGCSA-N 1 2 319.380 1.670 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC001344958684 873195699 /nfs/dbraw/zinc/19/56/99/873195699.db2.gz INVOTPXCGMBPTH-KGLIPLIRSA-N 1 2 316.405 1.683 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc([C@H](C)OC)no2)C1 ZINC001207636348 873430937 /nfs/dbraw/zinc/43/09/37/873430937.db2.gz SZHLIBHBCBYORR-QWHCGFSZSA-N 1 2 322.409 1.824 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1CCCn1cccn1 ZINC001208077041 873815318 /nfs/dbraw/zinc/81/53/18/873815318.db2.gz TXWIFKPYPDPWBY-OAHLLOKOSA-N 1 2 316.409 1.204 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1CCCn1cccn1 ZINC001208077041 873815329 /nfs/dbraw/zinc/81/53/29/873815329.db2.gz TXWIFKPYPDPWBY-OAHLLOKOSA-N 1 2 316.409 1.204 20 30 DDEDLO C=CCN(CC[N@@H+]1CCO[C@@H]2C[C@H](CO)C[C@H]21)C(=O)OCC ZINC001209018105 874604495 /nfs/dbraw/zinc/60/44/95/874604495.db2.gz BWXRAVUYROALIU-RBSFLKMASA-N 1 2 312.410 1.103 20 30 DDEDLO C=CCN(CC[N@H+]1CCO[C@@H]2C[C@H](CO)C[C@H]21)C(=O)OCC ZINC001209018105 874604501 /nfs/dbraw/zinc/60/45/01/874604501.db2.gz BWXRAVUYROALIU-RBSFLKMASA-N 1 2 312.410 1.103 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CCN3Cc3cccc(=O)[nH]3)C2)cc1C#N ZINC001276608272 874604574 /nfs/dbraw/zinc/60/45/74/874604574.db2.gz MUPZJPLEPUGYFV-UHFFFAOYSA-N 1 2 323.400 1.458 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001209144970 874689596 /nfs/dbraw/zinc/68/95/96/874689596.db2.gz BDKYXORCNLMTPV-CQSZACIVSA-N 1 2 318.421 1.906 20 30 DDEDLO CCC(=O)NC[C@H]1C[N@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC001378645439 875311279 /nfs/dbraw/zinc/31/12/79/875311279.db2.gz KHPNFFWSDYMCBL-INIZCTEOSA-N 1 2 317.389 1.316 20 30 DDEDLO CCC(=O)NC[C@H]1C[N@@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC001378645439 875311295 /nfs/dbraw/zinc/31/12/95/875311295.db2.gz KHPNFFWSDYMCBL-INIZCTEOSA-N 1 2 317.389 1.316 20 30 DDEDLO Cn1nc2c(c1C=NNCCCn1cc[nH+]c1)CSCC2 ZINC001349816469 875388094 /nfs/dbraw/zinc/38/80/94/875388094.db2.gz CGOHTKFDFAOAAV-UHFFFAOYSA-N 1 2 304.423 1.420 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C2(CNC(=O)[C@@H](C)C#N)CCCC2)no1 ZINC001378683831 875412209 /nfs/dbraw/zinc/41/22/09/875412209.db2.gz KATDYTFNNMLBLF-QWRGUYRKSA-N 1 2 305.382 1.617 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NC[C@H](CO)[C@@H]1CCOC1 ZINC001350480471 875714948 /nfs/dbraw/zinc/71/49/48/875714948.db2.gz SLNSDQWMFZKPBQ-HUUCEWRRSA-N 1 2 304.390 1.931 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213389613 875917291 /nfs/dbraw/zinc/91/72/91/875917291.db2.gz BLIRQDJKGMIAGJ-BFYDXBDKSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213389613 875917302 /nfs/dbraw/zinc/91/73/02/875917302.db2.gz BLIRQDJKGMIAGJ-BFYDXBDKSA-N 1 2 321.421 1.901 20 30 DDEDLO C#CCCCC(=O)NC1CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001350881456 875941566 /nfs/dbraw/zinc/94/15/66/875941566.db2.gz KMCQHIUBCQYQAU-UHFFFAOYSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCCCC(=O)NC1CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001350881456 875941581 /nfs/dbraw/zinc/94/15/81/875941581.db2.gz KMCQHIUBCQYQAU-UHFFFAOYSA-N 1 2 316.405 1.253 20 30 DDEDLO CC(C)C[C@H](C(=O)N1C[C@@H](C)[C@H](NCC#N)C1)n1cc[nH+]c1 ZINC001214913597 876504197 /nfs/dbraw/zinc/50/41/97/876504197.db2.gz CMXPIQGHICUWKS-RBSFLKMASA-N 1 2 303.410 1.430 20 30 DDEDLO C#CC1CCN(c2nnc([C@]3(C)C[C@@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001352310913 876688043 /nfs/dbraw/zinc/68/80/43/876688043.db2.gz VTOMZLUBSRIAJC-PBHICJAKSA-N 1 2 317.437 1.059 20 30 DDEDLO C#CC1CCN(c2nnc([C@]3(C)C[C@@H](O)C[N@H+]3C)n2CC)CC1 ZINC001352310913 876688047 /nfs/dbraw/zinc/68/80/47/876688047.db2.gz VTOMZLUBSRIAJC-PBHICJAKSA-N 1 2 317.437 1.059 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001352946313 877030349 /nfs/dbraw/zinc/03/03/49/877030349.db2.gz YCNRKRBYVVVUII-LRDDRELGSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@@H](C)NC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001379453984 877116312 /nfs/dbraw/zinc/11/63/12/877116312.db2.gz ZXXZOYSIRIOTTL-MWLCHTKSSA-N 1 2 310.829 1.995 20 30 DDEDLO C[C@@H](C[NH2+]Cc1nc(C(F)F)no1)NC(=O)c1c[nH]c(C#N)c1 ZINC001379494076 877214100 /nfs/dbraw/zinc/21/41/00/877214100.db2.gz WPNQTJQOOXVAIY-ZETCQYMHSA-N 1 2 324.291 1.115 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2OCCN(C(=O)C(C)=C3CCC3)[C@H]2C1 ZINC001217834836 877335407 /nfs/dbraw/zinc/33/54/07/877335407.db2.gz JVFAWUZLQGQEKZ-DLBZAZTESA-N 1 2 318.417 1.048 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2OCCN(C(=O)C(C)=C3CCC3)[C@H]2C1 ZINC001217834836 877335417 /nfs/dbraw/zinc/33/54/17/877335417.db2.gz JVFAWUZLQGQEKZ-DLBZAZTESA-N 1 2 318.417 1.048 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCCC3CCOCC3)[C@H]2C1 ZINC001218078385 877390031 /nfs/dbraw/zinc/39/00/31/877390031.db2.gz QPNJGVUKWCMZRS-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCCC3CCOCC3)[C@H]2C1 ZINC001218078385 877390049 /nfs/dbraw/zinc/39/00/49/877390049.db2.gz QPNJGVUKWCMZRS-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCN(C)c1nnc(C(C)C)n1CC[N@@H+]1CCOC[C@H]1C ZINC001300432937 877620216 /nfs/dbraw/zinc/62/02/16/877620216.db2.gz SIOTXSDYTSIHKD-CQSZACIVSA-N 1 2 305.426 1.192 20 30 DDEDLO C#CCN(C)c1nnc(C(C)C)n1CC[N@H+]1CCOC[C@H]1C ZINC001300432937 877620222 /nfs/dbraw/zinc/62/02/22/877620222.db2.gz SIOTXSDYTSIHKD-CQSZACIVSA-N 1 2 305.426 1.192 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@H](C)NC(=O)c2c[nH]c(C#N)c2)c(C)o1 ZINC001379662363 877682750 /nfs/dbraw/zinc/68/27/50/877682750.db2.gz VNBAEJZMPDHNSM-JTQLQIEISA-N 1 2 315.377 1.742 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@H](C)NC(=O)c2c[nH]c(C#N)c2)c(C)o1 ZINC001379662363 877682754 /nfs/dbraw/zinc/68/27/54/877682754.db2.gz VNBAEJZMPDHNSM-JTQLQIEISA-N 1 2 315.377 1.742 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1ccc2nc[nH]c2n1 ZINC001379684161 877736017 /nfs/dbraw/zinc/73/60/17/877736017.db2.gz OLNHAWNADMKYER-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1ccc2nc[nH]c2n1 ZINC001379684161 877736028 /nfs/dbraw/zinc/73/60/28/877736028.db2.gz OLNHAWNADMKYER-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO CCC[C@@H](CC)C(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001218852083 877767715 /nfs/dbraw/zinc/76/77/15/877767715.db2.gz PUERVHHIRSDSNU-IXDOHACOSA-N 1 2 322.449 1.374 20 30 DDEDLO CCC[C@@H](CC)C(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001218852083 877767726 /nfs/dbraw/zinc/76/77/26/877767726.db2.gz PUERVHHIRSDSNU-IXDOHACOSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CC2(OC)CCCC2)CC1 ZINC001300685133 878138154 /nfs/dbraw/zinc/13/81/54/878138154.db2.gz HJESDOADPDQRIV-UHFFFAOYSA-N 1 2 317.437 1.301 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2C[C@@H](CC)OC)CC1 ZINC001300701086 878175461 /nfs/dbraw/zinc/17/54/61/878175461.db2.gz FWDATSQWUMQNNI-CQSZACIVSA-N 1 2 305.426 1.021 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001355247407 878477082 /nfs/dbraw/zinc/47/70/82/878477082.db2.gz FQZLDFKAOCXTRD-JHJVBQTASA-N 1 2 318.421 1.736 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001355247407 878477095 /nfs/dbraw/zinc/47/70/95/878477095.db2.gz FQZLDFKAOCXTRD-JHJVBQTASA-N 1 2 318.421 1.736 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnc(C)cn2)C[C@@H]1O ZINC001219718804 878478259 /nfs/dbraw/zinc/47/82/59/878478259.db2.gz PFFZIGICDDDNLW-BMFZPTHFSA-N 1 2 318.421 1.364 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnc(C)cn2)C[C@@H]1O ZINC001219718804 878478265 /nfs/dbraw/zinc/47/82/65/878478265.db2.gz PFFZIGICDDDNLW-BMFZPTHFSA-N 1 2 318.421 1.364 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@@H](C)C#N)Cc1c(C)noc1C ZINC001380100522 878794746 /nfs/dbraw/zinc/79/47/46/878794746.db2.gz DSUBYBRNDBTPKT-NSHDSACASA-N 1 2 308.382 1.016 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@@H](C)C#N)Cc1c(C)noc1C ZINC001380100522 878794761 /nfs/dbraw/zinc/79/47/61/878794761.db2.gz DSUBYBRNDBTPKT-NSHDSACASA-N 1 2 308.382 1.016 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@@H]1O ZINC001220201822 878817174 /nfs/dbraw/zinc/81/71/74/878817174.db2.gz ZXBKJFFDPKKKSZ-GLQYFDAESA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@@H]1O ZINC001220201822 878817176 /nfs/dbraw/zinc/81/71/76/878817176.db2.gz ZXBKJFFDPKKKSZ-GLQYFDAESA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001220242155 878845287 /nfs/dbraw/zinc/84/52/87/878845287.db2.gz NLHPCXBBEVLRTB-SJORKVTESA-N 1 2 313.401 1.576 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001220242155 878845309 /nfs/dbraw/zinc/84/53/09/878845309.db2.gz NLHPCXBBEVLRTB-SJORKVTESA-N 1 2 313.401 1.576 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220293829 878893914 /nfs/dbraw/zinc/89/39/14/878893914.db2.gz WFQNLRXFDZLAAU-VYDXJSESSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ncn2)C[C@@H]1O ZINC001220293829 878893925 /nfs/dbraw/zinc/89/39/25/878893925.db2.gz WFQNLRXFDZLAAU-VYDXJSESSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@@H]1O ZINC001220435487 879014445 /nfs/dbraw/zinc/01/44/45/879014445.db2.gz CMROJNAQTZFTRA-OLZOCXBDSA-N 1 2 309.435 1.407 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@@H]1O ZINC001220435487 879014456 /nfs/dbraw/zinc/01/44/56/879014456.db2.gz CMROJNAQTZFTRA-OLZOCXBDSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(NC(=O)CCn2cc[nH+]c2)C1 ZINC001356779736 879339718 /nfs/dbraw/zinc/33/97/18/879339718.db2.gz YRFWSDGCIMELFF-UHFFFAOYSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1CN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001356814739 879419747 /nfs/dbraw/zinc/41/97/47/879419747.db2.gz YVDVGAJXZNHJDA-UHFFFAOYSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1CN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001356814739 879419752 /nfs/dbraw/zinc/41/97/52/879419752.db2.gz YVDVGAJXZNHJDA-UHFFFAOYSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC(CNC(=O)CCn2cc[nH+]c2)C1 ZINC001356824022 879431353 /nfs/dbraw/zinc/43/13/53/879431353.db2.gz WUJNQUKRYBIVEB-AWEZNQCLSA-N 1 2 318.421 1.450 20 30 DDEDLO CC[C@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001356887923 879547604 /nfs/dbraw/zinc/54/76/04/879547604.db2.gz WZATWUSLUVZSHV-DZGCQCFKSA-N 1 2 316.405 1.259 20 30 DDEDLO C=CCC[C@@H](C(=O)N[C@@H]1C[N@@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221199044 879566039 /nfs/dbraw/zinc/56/60/39/879566039.db2.gz NZIYOIUMKXLCCE-ZACQAIPSSA-N 1 2 320.408 1.867 20 30 DDEDLO C=CCC[C@@H](C(=O)N[C@@H]1C[N@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221199044 879566048 /nfs/dbraw/zinc/56/60/48/879566048.db2.gz NZIYOIUMKXLCCE-ZACQAIPSSA-N 1 2 320.408 1.867 20 30 DDEDLO Cc1nocc1C[N@H+]1CC[C@@H]2CN(C(=O)CSCC#N)[C@@H]2C1 ZINC001221289419 879654324 /nfs/dbraw/zinc/65/43/24/879654324.db2.gz PHUGVELUMMVYIQ-TZMCWYRMSA-N 1 2 320.418 1.273 20 30 DDEDLO Cc1nocc1C[N@@H+]1CC[C@@H]2CN(C(=O)CSCC#N)[C@@H]2C1 ZINC001221289419 879654318 /nfs/dbraw/zinc/65/43/18/879654318.db2.gz PHUGVELUMMVYIQ-TZMCWYRMSA-N 1 2 320.418 1.273 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](NC(=O)Cc1[nH]c[nH+]c1C)C(C)C ZINC001357093673 879781333 /nfs/dbraw/zinc/78/13/33/879781333.db2.gz SLURHZSUQMVUGK-OAHLLOKOSA-N 1 2 318.421 1.321 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCC)C[C@H]21 ZINC001221780054 880077198 /nfs/dbraw/zinc/07/71/98/880077198.db2.gz ZUUSPDYXIGNRPR-HUUCEWRRSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCC)C[C@H]21 ZINC001221780054 880077214 /nfs/dbraw/zinc/07/72/14/880077214.db2.gz ZUUSPDYXIGNRPR-HUUCEWRRSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C3(CC)CC3)[C@@H]2C1 ZINC001221793784 880088659 /nfs/dbraw/zinc/08/86/59/880088659.db2.gz LWNQWECCZJCSAW-ZIAGYGMSSA-N 1 2 305.422 1.012 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C3(CC)CC3)[C@@H]2C1 ZINC001221793784 880088669 /nfs/dbraw/zinc/08/86/69/880088669.db2.gz LWNQWECCZJCSAW-ZIAGYGMSSA-N 1 2 305.422 1.012 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](CC)CCC)[C@@H]2C1 ZINC001221899062 880142238 /nfs/dbraw/zinc/14/22/38/880142238.db2.gz KFSTURQMLCGCGN-BZUAXINKSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](CC)CCC)[C@@H]2C1 ZINC001221899062 880142244 /nfs/dbraw/zinc/14/22/44/880142244.db2.gz KFSTURQMLCGCGN-BZUAXINKSA-N 1 2 319.449 1.095 20 30 DDEDLO Cn1c[nH+]cc1CO[C@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC001222595287 880585830 /nfs/dbraw/zinc/58/58/30/880585830.db2.gz VZPFKPALQCHEKL-AAEUAGOBSA-N 1 2 306.366 1.696 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+]([C@@H](C)c2nnnn2C)CC1 ZINC001222609859 880597617 /nfs/dbraw/zinc/59/76/17/880597617.db2.gz SQEDUPAPCHGVAV-LBPRGKRZSA-N 1 2 306.414 1.066 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1(CNC(=O)CCn2cc[nH+]c2)CC1 ZINC001358678742 880747840 /nfs/dbraw/zinc/74/78/40/880747840.db2.gz BISFGOHFJVYDGG-KGLIPLIRSA-N 1 2 318.421 1.496 20 30 DDEDLO C=CCCC(=O)N1CC[C@@]2(CCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001358714285 880786345 /nfs/dbraw/zinc/78/63/45/880786345.db2.gz OLFQVKHPCAPTSK-QGZVFWFLSA-N 1 2 316.405 1.369 20 30 DDEDLO CCn1ncnc1C[NH+]1CCC(CNC(=O)C#CC(C)C)CC1 ZINC001223096777 880828540 /nfs/dbraw/zinc/82/85/40/880828540.db2.gz OZCKBHOFMVMCLS-UHFFFAOYSA-N 1 2 317.437 1.286 20 30 DDEDLO C=CCCC(=O)N[C@@]1(C)CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001358782009 880898392 /nfs/dbraw/zinc/89/83/92/880898392.db2.gz BUXWPVXPBUPCOX-INIZCTEOSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCCC(=O)N[C@@]1(C)CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001358782009 880898406 /nfs/dbraw/zinc/89/84/06/880898406.db2.gz BUXWPVXPBUPCOX-INIZCTEOSA-N 1 2 304.394 1.416 20 30 DDEDLO CCOc1cccc(C(=O)NC[C@@H]2CC[N@@H+]2CC#CCOC)c1 ZINC001276931092 881147844 /nfs/dbraw/zinc/14/78/44/881147844.db2.gz KHRGYAQXCODTGG-INIZCTEOSA-N 1 2 316.401 1.539 20 30 DDEDLO CCOc1cccc(C(=O)NC[C@@H]2CC[N@H+]2CC#CCOC)c1 ZINC001276931092 881147851 /nfs/dbraw/zinc/14/78/51/881147851.db2.gz KHRGYAQXCODTGG-INIZCTEOSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2C[C@H](OC)C(C)C)CC1 ZINC001359029665 881161096 /nfs/dbraw/zinc/16/10/96/881161096.db2.gz DQQYNRRTZGYMIU-HNNXBMFYSA-N 1 2 305.426 1.013 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nc4cc([N+](=O)[O-])ccc4[nH]3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227746290 883155549 /nfs/dbraw/zinc/15/55/49/883155549.db2.gz RAQWYEFENZUKSO-UBYSCORNSA-N 1 2 316.317 1.462 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nc4cc([N+](=O)[O-])ccc4[nH]3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227746290 883155553 /nfs/dbraw/zinc/15/55/53/883155553.db2.gz RAQWYEFENZUKSO-UBYSCORNSA-N 1 2 316.317 1.462 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nnc(Cl)cc3Cl)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228979600 883755170 /nfs/dbraw/zinc/75/51/70/883755170.db2.gz WROCVERPGYLERO-GNARGMICSA-N 1 2 302.161 1.775 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nnc(Cl)cc3Cl)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228979600 883755180 /nfs/dbraw/zinc/75/51/80/883755180.db2.gz WROCVERPGYLERO-GNARGMICSA-N 1 2 302.161 1.775 20 30 DDEDLO N#Cc1ccc(SCC(=O)N2CC[N@@H+]3C[C@@H](F)C[C@H]3C2)cc1 ZINC001362483000 883912275 /nfs/dbraw/zinc/91/22/75/883912275.db2.gz RWQJHFNTSXBKLK-KBPBESRZSA-N 1 2 319.405 1.905 20 30 DDEDLO N#Cc1ccc(SCC(=O)N2CC[N@H+]3C[C@@H](F)C[C@H]3C2)cc1 ZINC001362483000 883912288 /nfs/dbraw/zinc/91/22/88/883912288.db2.gz RWQJHFNTSXBKLK-KBPBESRZSA-N 1 2 319.405 1.905 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3c([N+](=O)[O-])cccc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001230315336 884427389 /nfs/dbraw/zinc/42/73/89/884427389.db2.gz MNOBNNQHJBLGLY-PEUDNIOHSA-N 1 2 321.289 1.494 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3c([N+](=O)[O-])cccc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001230315336 884427392 /nfs/dbraw/zinc/42/73/92/884427392.db2.gz MNOBNNQHJBLGLY-PEUDNIOHSA-N 1 2 321.289 1.494 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC(F)(F)F ZINC001231044266 885159186 /nfs/dbraw/zinc/15/91/86/885159186.db2.gz YFBUJBPQYRZXKW-MNOVXSKESA-N 1 2 321.343 1.162 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC(F)(F)F ZINC001231044266 885159234 /nfs/dbraw/zinc/15/92/34/885159234.db2.gz YFBUJBPQYRZXKW-MNOVXSKESA-N 1 2 321.343 1.162 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)s1 ZINC001231226359 885403427 /nfs/dbraw/zinc/40/34/27/885403427.db2.gz OOGUWYUVECKFPF-ZDUSSCGKSA-N 1 2 309.435 1.687 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)s1 ZINC001231226359 885403431 /nfs/dbraw/zinc/40/34/31/885403431.db2.gz OOGUWYUVECKFPF-ZDUSSCGKSA-N 1 2 309.435 1.687 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001288522264 912954628 /nfs/dbraw/zinc/95/46/28/912954628.db2.gz VEOBIKNKMFCVNU-KBPBESRZSA-N 1 2 318.421 1.166 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2cc(C#N)ccn2)C1 ZINC001232481297 886421146 /nfs/dbraw/zinc/42/11/46/886421146.db2.gz CULZKQHQCYAPED-AWEZNQCLSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2cc(C#N)ccn2)C1 ZINC001232481297 886421160 /nfs/dbraw/zinc/42/11/60/886421160.db2.gz CULZKQHQCYAPED-AWEZNQCLSA-N 1 2 300.362 1.830 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H](C)OC[C@@H]2CCCCO2)C1 ZINC001277706815 886555538 /nfs/dbraw/zinc/55/55/38/886555538.db2.gz JGHAJSLGHVBERR-ZBFHGGJFSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCOCc1ncn2c1C[N@H+](Cc1cccc(N)n1)CCC2 ZINC001233369923 886950738 /nfs/dbraw/zinc/95/07/38/886950738.db2.gz IJAMDVOIGSXNRF-UHFFFAOYSA-N 1 2 313.405 1.969 20 30 DDEDLO C=CCOCc1ncn2c1C[N@@H+](Cc1cccc(N)n1)CCC2 ZINC001233369923 886950757 /nfs/dbraw/zinc/95/07/57/886950757.db2.gz IJAMDVOIGSXNRF-UHFFFAOYSA-N 1 2 313.405 1.969 20 30 DDEDLO C=CCOCc1ncn2c1CN(Cc1cccc(N)[nH+]1)CCC2 ZINC001233369923 886950773 /nfs/dbraw/zinc/95/07/73/886950773.db2.gz IJAMDVOIGSXNRF-UHFFFAOYSA-N 1 2 313.405 1.969 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](Cc2cc(O)c(O)cc2O)CC1 ZINC001233487141 887023623 /nfs/dbraw/zinc/02/36/23/887023623.db2.gz RRRILAWHRUFQFB-UHFFFAOYSA-N 1 2 308.334 1.244 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)n1cccc1 ZINC001233742887 887269153 /nfs/dbraw/zinc/26/91/53/887269153.db2.gz RYWGHEZKYZAEMN-CVEARBPZSA-N 1 2 303.406 1.232 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)n1cccc1 ZINC001233742887 887269166 /nfs/dbraw/zinc/26/91/66/887269166.db2.gz RYWGHEZKYZAEMN-CVEARBPZSA-N 1 2 303.406 1.232 20 30 DDEDLO Cc1cc(CNS(=O)(=O)c2ccc(C#N)nc2)cc(C)[nH+]1 ZINC001363931900 887564927 /nfs/dbraw/zinc/56/49/27/887564927.db2.gz WXYKODZUYLQHJH-UHFFFAOYSA-N 1 2 302.359 1.444 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234186794 887725475 /nfs/dbraw/zinc/72/54/75/887725475.db2.gz NMAMRRGRUWCYAB-OAHLLOKOSA-N 1 2 315.417 1.992 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234186794 887725486 /nfs/dbraw/zinc/72/54/86/887725486.db2.gz NMAMRRGRUWCYAB-OAHLLOKOSA-N 1 2 315.417 1.992 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)CC ZINC001234509866 888038650 /nfs/dbraw/zinc/03/86/50/888038650.db2.gz RJKFMDFBTZDJKV-INIZCTEOSA-N 1 2 321.465 1.766 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)CC ZINC001234509866 888038660 /nfs/dbraw/zinc/03/86/60/888038660.db2.gz RJKFMDFBTZDJKV-INIZCTEOSA-N 1 2 321.465 1.766 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1C=CC=CC=C1 ZINC001234582622 888116757 /nfs/dbraw/zinc/11/67/57/888116757.db2.gz DLZMGGLYWIZTDZ-MRXNPFEDSA-N 1 2 315.417 1.120 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1C=CC=CC=C1 ZINC001234582622 888116764 /nfs/dbraw/zinc/11/67/64/888116764.db2.gz DLZMGGLYWIZTDZ-MRXNPFEDSA-N 1 2 315.417 1.120 20 30 DDEDLO N#Cc1ccnc(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)c1 ZINC001364360756 888456580 /nfs/dbraw/zinc/45/65/80/888456580.db2.gz WPWWCSUFPYVSTP-LBPRGKRZSA-N 1 2 303.284 1.061 20 30 DDEDLO N#Cc1ccnc(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)c1 ZINC001364360756 888456592 /nfs/dbraw/zinc/45/65/92/888456592.db2.gz WPWWCSUFPYVSTP-LBPRGKRZSA-N 1 2 303.284 1.061 20 30 DDEDLO CCc1cccc(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#CCOC)n1 ZINC001235391856 888609154 /nfs/dbraw/zinc/60/91/54/888609154.db2.gz GMEYOHVRSFBFLH-MRXNPFEDSA-N 1 2 315.417 1.440 20 30 DDEDLO CCc1cccc(C(=O)N(C)C[C@H]2CC[N@H+]2CC#CCOC)n1 ZINC001235391856 888609159 /nfs/dbraw/zinc/60/91/59/888609159.db2.gz GMEYOHVRSFBFLH-MRXNPFEDSA-N 1 2 315.417 1.440 20 30 DDEDLO COC[C@H]1C[N@H+](Cc2ccccc2CC#N)Cc2nnn(C)c21 ZINC001235513805 888700019 /nfs/dbraw/zinc/70/00/19/888700019.db2.gz FIZQDVHPGOJSQA-OAHLLOKOSA-N 1 2 311.389 1.627 20 30 DDEDLO COC[C@H]1C[N@@H+](Cc2ccccc2CC#N)Cc2nnn(C)c21 ZINC001235513805 888700024 /nfs/dbraw/zinc/70/00/24/888700024.db2.gz FIZQDVHPGOJSQA-OAHLLOKOSA-N 1 2 311.389 1.627 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)[C@H](C)c1nnc(CC)[nH]1 ZINC001235683413 888877769 /nfs/dbraw/zinc/87/77/69/888877769.db2.gz JDQGOLWNPZWFRJ-GFCCVEGCSA-N 1 2 309.414 1.069 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)[C@H](C)c1nnc(CC)[nH]1 ZINC001235683413 888877787 /nfs/dbraw/zinc/87/77/87/888877787.db2.gz JDQGOLWNPZWFRJ-GFCCVEGCSA-N 1 2 309.414 1.069 20 30 DDEDLO C=CCCC(=O)NC[C@H](CO)[NH2+]Cc1nc2ccc(F)cc2o1 ZINC001278054045 889504660 /nfs/dbraw/zinc/50/46/60/889504660.db2.gz MSKWFCMKAZHNRR-GFCCVEGCSA-N 1 2 321.352 1.500 20 30 DDEDLO N#Cc1cncc(CNC(=O)[C@H]2CCC[N@@H+]2Cc2ccccn2)c1 ZINC001364900230 889635528 /nfs/dbraw/zinc/63/55/28/889635528.db2.gz QTGSQJDTNAWARI-QGZVFWFLSA-N 1 2 321.384 1.629 20 30 DDEDLO N#Cc1cncc(CNC(=O)[C@H]2CCC[N@H+]2Cc2ccccn2)c1 ZINC001364900230 889635538 /nfs/dbraw/zinc/63/55/38/889635538.db2.gz QTGSQJDTNAWARI-QGZVFWFLSA-N 1 2 321.384 1.629 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cccc3c2OCC3)CC1 ZINC001237753352 889836987 /nfs/dbraw/zinc/83/69/87/889836987.db2.gz RSMMAAPFCCVITM-UHFFFAOYSA-N 1 2 300.358 1.900 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)[C@H]1C ZINC001278334578 891248333 /nfs/dbraw/zinc/24/83/33/891248333.db2.gz JZWRYYPCNDWHDH-CHWSQXEVSA-N 1 2 322.409 1.304 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001365930874 891948663 /nfs/dbraw/zinc/94/86/63/891948663.db2.gz UHYMYIYDUXIYME-SWLSCSKDSA-N 1 2 319.380 1.813 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001365930874 891948669 /nfs/dbraw/zinc/94/86/69/891948669.db2.gz UHYMYIYDUXIYME-SWLSCSKDSA-N 1 2 319.380 1.813 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H](C)N(C)CC(F)(F)F ZINC001366565253 894059331 /nfs/dbraw/zinc/05/93/31/894059331.db2.gz RWGMGEUTLXBRSV-SNVBAGLBSA-N 1 2 315.767 1.670 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H](C)N(C)CC(F)(F)F ZINC001366565253 894059344 /nfs/dbraw/zinc/05/93/44/894059344.db2.gz RWGMGEUTLXBRSV-SNVBAGLBSA-N 1 2 315.767 1.670 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1ccnc(-n2ccnc2)c1 ZINC001366589454 894161083 /nfs/dbraw/zinc/16/10/83/894161083.db2.gz FESXJXZOCVHAIS-UHFFFAOYSA-N 1 2 319.796 1.681 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1ccnc(-n2ccnc2)c1 ZINC001366589454 894161091 /nfs/dbraw/zinc/16/10/91/894161091.db2.gz FESXJXZOCVHAIS-UHFFFAOYSA-N 1 2 319.796 1.681 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H](C)Cc1cnn(C)c1 ZINC001366674041 894521074 /nfs/dbraw/zinc/52/10/74/894521074.db2.gz PBXYXYJJFIHITC-IUODEOHRSA-N 1 2 324.856 1.932 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H](C)Cc1cnn(C)c1 ZINC001366674041 894521080 /nfs/dbraw/zinc/52/10/80/894521080.db2.gz PBXYXYJJFIHITC-IUODEOHRSA-N 1 2 324.856 1.932 20 30 DDEDLO Cc1cc(C#N)c(NC[C@H](O)C[NH+]2CCOCC2)cc1Cl ZINC001251033487 894590624 /nfs/dbraw/zinc/59/06/24/894590624.db2.gz LCQQJRCINXXRDO-ZDUSSCGKSA-N 1 2 309.797 1.625 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1C[C@@H](O)CCCC ZINC001252086492 895004770 /nfs/dbraw/zinc/00/47/70/895004770.db2.gz NYYLLRDMELUXBT-ZFWWWQNUSA-N 1 2 308.426 1.468 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1C[C@@H](O)CCCC ZINC001252086492 895004777 /nfs/dbraw/zinc/00/47/77/895004777.db2.gz NYYLLRDMELUXBT-ZFWWWQNUSA-N 1 2 308.426 1.468 20 30 DDEDLO C=CCOC[C@H](O)C[N@H+](Cc1cnc[nH]1)Cc1ccccc1 ZINC001252474305 895192959 /nfs/dbraw/zinc/19/29/59/895192959.db2.gz YQWARXSNWSWQGK-QGZVFWFLSA-N 1 2 301.390 1.975 20 30 DDEDLO C=CCOC[C@H](O)C[N@@H+](Cc1cnc[nH]1)Cc1ccccc1 ZINC001252474305 895192963 /nfs/dbraw/zinc/19/29/63/895192963.db2.gz YQWARXSNWSWQGK-QGZVFWFLSA-N 1 2 301.390 1.975 20 30 DDEDLO C=CCOC[C@H](O)C[N@H+](Cc1c[nH]cn1)Cc1ccccc1 ZINC001252474305 895192966 /nfs/dbraw/zinc/19/29/66/895192966.db2.gz YQWARXSNWSWQGK-QGZVFWFLSA-N 1 2 301.390 1.975 20 30 DDEDLO C=CCOC[C@H](O)C[N@@H+](Cc1c[nH]cn1)Cc1ccccc1 ZINC001252474305 895192972 /nfs/dbraw/zinc/19/29/72/895192972.db2.gz YQWARXSNWSWQGK-QGZVFWFLSA-N 1 2 301.390 1.975 20 30 DDEDLO CC(C)NC(=O)c1noc2c1C[N@@H+]([C@H]1CC[C@H](C#N)C1)CC2 ZINC001254663456 896559978 /nfs/dbraw/zinc/55/99/78/896559978.db2.gz BWLPNQPDOMBIQI-RYUDHWBXSA-N 1 2 302.378 1.863 20 30 DDEDLO CC(C)NC(=O)c1noc2c1C[N@H+]([C@H]1CC[C@H](C#N)C1)CC2 ZINC001254663456 896559988 /nfs/dbraw/zinc/55/99/88/896559988.db2.gz BWLPNQPDOMBIQI-RYUDHWBXSA-N 1 2 302.378 1.863 20 30 DDEDLO C=C[C@@H](COC)NC(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC001255019217 896700424 /nfs/dbraw/zinc/70/04/24/896700424.db2.gz ONAIPIVWYRIAKY-HOCLYGCPSA-N 1 2 318.421 1.671 20 30 DDEDLO CC(C)[C@H](CNC(=O)[C@H](C)C#N)[NH2+]Cc1noc(C2CCC2)n1 ZINC001367463014 896826848 /nfs/dbraw/zinc/82/68/48/896826848.db2.gz DRZCBXYAFUUSND-YPMHNXCESA-N 1 2 319.409 1.727 20 30 DDEDLO CCOC(=O)[C@H](CS)[NH2+]C1CCN(C(=O)OCC)CC1 ZINC001256231377 897326858 /nfs/dbraw/zinc/32/68/58/897326858.db2.gz WXHVVSFQTKECBT-NSHDSACASA-N 1 2 304.412 1.058 20 30 DDEDLO CCOC(=O)N1CCC([N@@H+]2CCC3SC(=O)C=C3C2)CC1 ZINC001256241793 897334548 /nfs/dbraw/zinc/33/45/48/897334548.db2.gz YCTQGYWVAIPOIF-ZDUSSCGKSA-N 1 2 310.419 1.881 20 30 DDEDLO CCOC(=O)N1CCC([N@H+]2CCC3SC(=O)C=C3C2)CC1 ZINC001256241793 897334560 /nfs/dbraw/zinc/33/45/60/897334560.db2.gz YCTQGYWVAIPOIF-ZDUSSCGKSA-N 1 2 310.419 1.881 20 30 DDEDLO CCOC(=O)N1CCC([N@@H+]2CC[C@@H]3SC(=O)C=C3C2)CC1 ZINC001256241793 897334578 /nfs/dbraw/zinc/33/45/78/897334578.db2.gz YCTQGYWVAIPOIF-ZDUSSCGKSA-N 1 2 310.419 1.881 20 30 DDEDLO CCOC(=O)N1CCC([N@H+]2CC[C@@H]3SC(=O)C=C3C2)CC1 ZINC001256241793 897334593 /nfs/dbraw/zinc/33/45/93/897334593.db2.gz YCTQGYWVAIPOIF-ZDUSSCGKSA-N 1 2 310.419 1.881 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CCCc2cccs2)C1 ZINC001278926998 897355310 /nfs/dbraw/zinc/35/53/10/897355310.db2.gz HVHOOBIRCVSTQE-UHFFFAOYSA-N 1 2 308.447 1.810 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cc(OCC)n[nH]1 ZINC001367817046 897901163 /nfs/dbraw/zinc/90/11/63/897901163.db2.gz ZBOAWXYLFDNIGN-JTQLQIEISA-N 1 2 300.790 1.611 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cc(OCC)n[nH]1 ZINC001367817046 897901173 /nfs/dbraw/zinc/90/11/73/897901173.db2.gz ZBOAWXYLFDNIGN-JTQLQIEISA-N 1 2 300.790 1.611 20 30 DDEDLO CCCc1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#CCOC)no1 ZINC001263816914 900730078 /nfs/dbraw/zinc/73/00/78/900730078.db2.gz LHVAMAJAYFWACB-CQSZACIVSA-N 1 2 319.405 1.423 20 30 DDEDLO CCCc1cc(C(=O)N(C)C[C@H]2CC[N@H+]2CC#CCOC)no1 ZINC001263816914 900730082 /nfs/dbraw/zinc/73/00/82/900730082.db2.gz LHVAMAJAYFWACB-CQSZACIVSA-N 1 2 319.405 1.423 20 30 DDEDLO N#CCN[C@@H]1CCCCCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001264685948 901287480 /nfs/dbraw/zinc/28/74/80/901287480.db2.gz WEUYXCPQPHYPAU-OAHLLOKOSA-N 1 2 303.410 1.548 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)c1[nH]cnc1C)C2 ZINC001264749294 901329328 /nfs/dbraw/zinc/32/93/28/901329328.db2.gz BWVBDPRSZDLNNA-UHFFFAOYSA-N 1 2 315.402 1.096 20 30 DDEDLO Cc1nonc1C[NH+]1CCC(N(C)C(=O)CSCC#N)CC1 ZINC001265188224 901680986 /nfs/dbraw/zinc/68/09/86/901680986.db2.gz PHBACDYFBGJGLI-UHFFFAOYSA-N 1 2 323.422 1.058 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC2CC2)C1 ZINC001265212817 901713870 /nfs/dbraw/zinc/71/38/70/901713870.db2.gz GIJWBRZCNJHLIR-HNNXBMFYSA-N 1 2 319.449 1.143 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001265212817 901713880 /nfs/dbraw/zinc/71/38/80/901713880.db2.gz GIJWBRZCNJHLIR-HNNXBMFYSA-N 1 2 319.449 1.143 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2ccc(Cl)o2)C1 ZINC001265270249 901797389 /nfs/dbraw/zinc/79/73/89/901797389.db2.gz BKJGSPBZBITKKL-SNVBAGLBSA-N 1 2 311.769 1.039 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(Cl)o2)C1 ZINC001265270249 901797395 /nfs/dbraw/zinc/79/73/95/901797395.db2.gz BKJGSPBZBITKKL-SNVBAGLBSA-N 1 2 311.769 1.039 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001265283910 901819467 /nfs/dbraw/zinc/81/94/67/901819467.db2.gz JVJQBFRCZMQANN-RHSMWYFYSA-N 1 2 311.385 1.287 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001265283910 901819472 /nfs/dbraw/zinc/81/94/72/901819472.db2.gz JVJQBFRCZMQANN-RHSMWYFYSA-N 1 2 311.385 1.287 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H](NC(=O)C#CC3CC3)C2)sn1 ZINC001265284732 901822981 /nfs/dbraw/zinc/82/29/81/901822981.db2.gz UYKJWTQSMWDCPW-LBPRGKRZSA-N 1 2 305.403 1.256 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H](NC(=O)C#CC3CC3)C2)sn1 ZINC001265284732 901822990 /nfs/dbraw/zinc/82/29/90/901822990.db2.gz UYKJWTQSMWDCPW-LBPRGKRZSA-N 1 2 305.403 1.256 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCOCC(F)(F)F)C1 ZINC001265292528 901839499 /nfs/dbraw/zinc/83/94/99/901839499.db2.gz OGSXRSXHHFAVRR-SNVBAGLBSA-N 1 2 314.735 1.898 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCOCC(F)(F)F)C1 ZINC001265292528 901839503 /nfs/dbraw/zinc/83/95/03/901839503.db2.gz OGSXRSXHHFAVRR-SNVBAGLBSA-N 1 2 314.735 1.898 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]([NH2+]Cc2nc(C)no2)C2CC2)C1 ZINC001265370621 901934022 /nfs/dbraw/zinc/93/40/22/901934022.db2.gz UZXLPYQPJGZGAS-CYBMUJFWSA-N 1 2 304.394 1.719 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCC(=O)NCC)C1 ZINC001369803127 901991451 /nfs/dbraw/zinc/99/14/51/901991451.db2.gz PPECOBWFJIDMDJ-LBPRGKRZSA-N 1 2 301.818 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCC(=O)NCC)C1 ZINC001369803127 901991458 /nfs/dbraw/zinc/99/14/58/901991458.db2.gz PPECOBWFJIDMDJ-LBPRGKRZSA-N 1 2 301.818 1.236 20 30 DDEDLO CCCN(C(=O)[C@H](C)C#N)C1CC[NH+](Cc2cnns2)CC1 ZINC001370086463 902521365 /nfs/dbraw/zinc/52/13/65/902521365.db2.gz GKNLXCMNFOCYJD-GFCCVEGCSA-N 1 2 321.450 1.901 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(CC(C)C)no2)C1 ZINC001265901560 902564614 /nfs/dbraw/zinc/56/46/14/902564614.db2.gz ZDAOWLXBLURUOK-AWEZNQCLSA-N 1 2 318.421 1.762 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](CCCNC(=O)[C@@H](C)C#N)C2CC2)[nH]1 ZINC001266126389 902990093 /nfs/dbraw/zinc/99/00/93/902990093.db2.gz WKXHYTFXAIMDMK-QWRGUYRKSA-N 1 2 304.398 1.305 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](CCCNC(=O)[C@@H](C)C#N)C2CC2)[nH]1 ZINC001266126389 902990099 /nfs/dbraw/zinc/99/00/99/902990099.db2.gz WKXHYTFXAIMDMK-QWRGUYRKSA-N 1 2 304.398 1.305 20 30 DDEDLO C#CCCCC(=O)N(C)C1CC[NH+](Cc2nc(C)no2)CC1 ZINC001266181905 903074047 /nfs/dbraw/zinc/07/40/47/903074047.db2.gz ULVBUIYTPAAJIV-UHFFFAOYSA-N 1 2 304.394 1.604 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280318010 903592337 /nfs/dbraw/zinc/59/23/37/903592337.db2.gz LBXJXJIMWBYMHI-NEPJUHHUSA-N 1 2 306.410 1.564 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280318010 903592349 /nfs/dbraw/zinc/59/23/49/903592349.db2.gz LBXJXJIMWBYMHI-NEPJUHHUSA-N 1 2 306.410 1.564 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC2(C1)CC[NH+](Cc1cnon1)CC2 ZINC001280388130 903625603 /nfs/dbraw/zinc/62/56/03/903625603.db2.gz NNCBQZLUYUPFJY-UHFFFAOYSA-N 1 2 316.405 1.544 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CC[N@H+](C)Cc1nc2ccccc2o1 ZINC001392265466 903697673 /nfs/dbraw/zinc/69/76/73/903697673.db2.gz MFHGGVSGGSWPQM-LBPRGKRZSA-N 1 2 300.362 1.878 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CC[N@@H+](C)Cc1nc2ccccc2o1 ZINC001392265466 903697679 /nfs/dbraw/zinc/69/76/79/903697679.db2.gz MFHGGVSGGSWPQM-LBPRGKRZSA-N 1 2 300.362 1.878 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001280729599 903963001 /nfs/dbraw/zinc/96/30/01/903963001.db2.gz MCQRUZHKQJGWBY-UONOGXRCSA-N 1 2 318.421 1.852 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001280729599 903963008 /nfs/dbraw/zinc/96/30/08/903963008.db2.gz MCQRUZHKQJGWBY-UONOGXRCSA-N 1 2 318.421 1.852 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)c1c(C)noc1C ZINC001316606774 904127777 /nfs/dbraw/zinc/12/77/77/904127777.db2.gz PVKBFMYMCZPPQF-AWEZNQCLSA-N 1 2 303.406 1.735 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)c1c(C)noc1C ZINC001316606774 904127779 /nfs/dbraw/zinc/12/77/79/904127779.db2.gz PVKBFMYMCZPPQF-AWEZNQCLSA-N 1 2 303.406 1.735 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)CCc1ccsc1)CO2 ZINC001280944804 904223870 /nfs/dbraw/zinc/22/38/70/904223870.db2.gz OAWMHGZRIIWYFY-OAHLLOKOSA-N 1 2 318.442 1.664 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H](O)C(C)C ZINC001281063396 904368169 /nfs/dbraw/zinc/36/81/69/904368169.db2.gz PHEPRWXACGYDNS-ZWKOTPCHSA-N 1 2 314.429 1.570 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H](O)C(C)C ZINC001281063396 904368185 /nfs/dbraw/zinc/36/81/85/904368185.db2.gz PHEPRWXACGYDNS-ZWKOTPCHSA-N 1 2 314.429 1.570 20 30 DDEDLO C=CCCC(=O)NC[C@@H](NC(=O)Cc1[nH]c[nH+]c1C)C(C)(C)C ZINC001281309147 904670267 /nfs/dbraw/zinc/67/02/67/904670267.db2.gz APQWKQVEEJYHLY-CQSZACIVSA-N 1 2 320.437 1.874 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ncnn2CC)[C@H](C)C1 ZINC001281653136 905123443 /nfs/dbraw/zinc/12/34/43/905123443.db2.gz RFJKIABJVQJVGC-KGLIPLIRSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ncnn2CC)[C@H](C)C1 ZINC001281653136 905123450 /nfs/dbraw/zinc/12/34/50/905123450.db2.gz RFJKIABJVQJVGC-KGLIPLIRSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1coc(C)n1 ZINC001281968152 905372866 /nfs/dbraw/zinc/37/28/66/905372866.db2.gz LTYGGQKYSYUEHN-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1coc(C)n1 ZINC001281968152 905372881 /nfs/dbraw/zinc/37/28/81/905372881.db2.gz LTYGGQKYSYUEHN-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H](C)OC ZINC001377386587 921196380 /nfs/dbraw/zinc/19/63/80/921196380.db2.gz RVYFFXNPKKXJPM-GHMZBOCLSA-N 1 2 305.216 1.511 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H](C)OC ZINC001377386587 921196384 /nfs/dbraw/zinc/19/63/84/921196384.db2.gz RVYFFXNPKKXJPM-GHMZBOCLSA-N 1 2 305.216 1.511 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCC2(C)C)C1 ZINC001282734371 905993235 /nfs/dbraw/zinc/99/32/35/905993235.db2.gz NPFGLJORDWBNBW-TZMCWYRMSA-N 1 2 307.438 1.161 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C1C[NH+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001282734960 905996179 /nfs/dbraw/zinc/99/61/79/905996179.db2.gz URSHDCBFPIDEPS-CHWSQXEVSA-N 1 2 321.465 1.550 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)C2C[NH+](CC(=O)NCC3CC3)C2)C1 ZINC001282743845 906012443 /nfs/dbraw/zinc/01/24/43/906012443.db2.gz IYLHZOJRHLLPLT-CYBMUJFWSA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[N@@H+]([C@H](C)c2ncccn2)CC1(C)C ZINC001282791993 906043002 /nfs/dbraw/zinc/04/30/02/906043002.db2.gz RUOFVCNGRWACDY-KGLIPLIRSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[N@H+]([C@H](C)c2ncccn2)CC1(C)C ZINC001282791993 906043019 /nfs/dbraw/zinc/04/30/19/906043019.db2.gz RUOFVCNGRWACDY-KGLIPLIRSA-N 1 2 318.421 1.567 20 30 DDEDLO CC(C)C#CC(=O)NCCCNC(=O)c1cccc2[nH+]ccn21 ZINC001283244819 906984385 /nfs/dbraw/zinc/98/43/85/906984385.db2.gz UIESGHACURIBMK-UHFFFAOYSA-N 1 2 312.373 1.230 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CCN(C(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001283430464 907345214 /nfs/dbraw/zinc/34/52/14/907345214.db2.gz GQIHSZHXJXBESS-UHFFFAOYSA-N 1 2 318.421 1.580 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001283886219 908133578 /nfs/dbraw/zinc/13/35/78/908133578.db2.gz XZRAMEVVFPWWAS-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cc(OC)n(C)n1)C1CC1 ZINC001372828995 908367802 /nfs/dbraw/zinc/36/78/02/908367802.db2.gz KEHXKRXDPLNKET-UHFFFAOYSA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cc(OC)n(C)n1)C1CC1 ZINC001372828995 908367815 /nfs/dbraw/zinc/36/78/15/908367815.db2.gz KEHXKRXDPLNKET-UHFFFAOYSA-N 1 2 312.801 1.375 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)CSCC#N)CCC[N@@H+]1Cc1ccnn1C ZINC001284117613 908499792 /nfs/dbraw/zinc/49/97/92/908499792.db2.gz GSGLXUKZCDMFCF-TZMCWYRMSA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)CSCC#N)CCC[N@H+]1Cc1ccnn1C ZINC001284117613 908499803 /nfs/dbraw/zinc/49/98/03/908499803.db2.gz GSGLXUKZCDMFCF-TZMCWYRMSA-N 1 2 321.450 1.146 20 30 DDEDLO COCC#CC[NH2+][C@@H]1CN(C(=O)C(F)C(F)(F)F)CC1(C)C ZINC001284295145 908787595 /nfs/dbraw/zinc/78/75/95/908787595.db2.gz IBCXZPWIISQNHR-GHMZBOCLSA-N 1 2 324.318 1.363 20 30 DDEDLO COCC#CC[NH2+][C@@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CC1(C)C ZINC001284295145 908787608 /nfs/dbraw/zinc/78/76/08/908787608.db2.gz IBCXZPWIISQNHR-GHMZBOCLSA-N 1 2 324.318 1.363 20 30 DDEDLO COCC[N@H+](CCNC(=O)C#CC(C)C)Cc1cnc(C)cn1 ZINC001284655296 909301845 /nfs/dbraw/zinc/30/18/45/909301845.db2.gz GDKAEHTYAUVWAX-UHFFFAOYSA-N 1 2 318.421 1.009 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C#CC(C)C)Cc1cnc(C)cn1 ZINC001284655296 909301859 /nfs/dbraw/zinc/30/18/59/909301859.db2.gz GDKAEHTYAUVWAX-UHFFFAOYSA-N 1 2 318.421 1.009 20 30 DDEDLO C[C@H](NC(=O)C#CC1CC1)C1CC[NH+](Cc2nncs2)CC1 ZINC001284712819 909385781 /nfs/dbraw/zinc/38/57/81/909385781.db2.gz RNVVLGYICNPKEM-LBPRGKRZSA-N 1 2 318.446 1.668 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@@H]23)n1 ZINC001394669885 910222835 /nfs/dbraw/zinc/22/28/35/910222835.db2.gz AHNVGXSJINDQIR-XHBSWPGZSA-N 1 2 317.393 1.405 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@@H]23)n1 ZINC001394669885 910222845 /nfs/dbraw/zinc/22/28/45/910222845.db2.gz AHNVGXSJINDQIR-XHBSWPGZSA-N 1 2 317.393 1.405 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001285865520 911329802 /nfs/dbraw/zinc/32/98/02/911329802.db2.gz YAGGPZKVWCQWSH-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001285865520 911329818 /nfs/dbraw/zinc/32/98/18/911329818.db2.gz YAGGPZKVWCQWSH-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001285865606 911330096 /nfs/dbraw/zinc/33/00/96/911330096.db2.gz OOAGZQJZTPGJBI-ZIAGYGMSSA-N 1 2 318.421 1.764 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC([C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286209896 911834370 /nfs/dbraw/zinc/83/43/70/911834370.db2.gz ZMLSWJVQQVNGIJ-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001294890722 915443164 /nfs/dbraw/zinc/44/31/64/915443164.db2.gz YNJUNOMTGCTXMI-AWEZNQCLSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295224397 915663324 /nfs/dbraw/zinc/66/33/24/915663324.db2.gz VOBYIDKAYSBINJ-OZVIIMIRSA-N 1 2 304.394 1.318 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001295563415 915898298 /nfs/dbraw/zinc/89/82/98/915898298.db2.gz FIJLXYTXXYSSDA-CYBMUJFWSA-N 1 2 312.373 1.372 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001295575518 915904858 /nfs/dbraw/zinc/90/48/58/915904858.db2.gz BIEYTLBEFVOZNW-CYBMUJFWSA-N 1 2 306.410 1.496 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295748763 916037288 /nfs/dbraw/zinc/03/72/88/916037288.db2.gz FMFFVMSVMRQIOS-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@H]1CCCCN1C(=O)CCc1cnn[nH]1 ZINC001376614346 918876891 /nfs/dbraw/zinc/87/68/91/918876891.db2.gz VEUCZTSDCVIZFR-CYBMUJFWSA-N 1 2 311.817 1.461 20 30 DDEDLO C[C@@H](CNC(=O)c1c[nH]c(C#N)c1)[NH2+]Cc1ncc(C2CC2)o1 ZINC001376718658 919159230 /nfs/dbraw/zinc/15/92/30/919159230.db2.gz WFACDIFBVRIATN-JTQLQIEISA-N 1 2 313.361 1.660 20 30 DDEDLO C[N@H+](CCNC(=O)C1(F)CCOCC1)Cc1ccccc1C#N ZINC001377169171 920528364 /nfs/dbraw/zinc/52/83/64/920528364.db2.gz PRZWHMBCLQJDMI-UHFFFAOYSA-N 1 2 319.380 1.625 20 30 DDEDLO C[N@@H+](CCNC(=O)C1(F)CCOCC1)Cc1ccccc1C#N ZINC001377169171 920528370 /nfs/dbraw/zinc/52/83/70/920528370.db2.gz PRZWHMBCLQJDMI-UHFFFAOYSA-N 1 2 319.380 1.625 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[N@@H+]1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000249417366 282152241 /nfs/dbraw/zinc/15/22/41/282152241.db2.gz ZRYNCAXXZLBQAY-QWHCGFSZSA-N 1 2 307.419 1.585 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[N@H+]1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000249417366 282152246 /nfs/dbraw/zinc/15/22/46/282152246.db2.gz ZRYNCAXXZLBQAY-QWHCGFSZSA-N 1 2 307.419 1.585 20 30 DDEDLO Cn1cc(C[N@H+](C)[C@H]2CCN(c3sccc3C#N)C2=O)cn1 ZINC000083128658 185213485 /nfs/dbraw/zinc/21/34/85/185213485.db2.gz QYLHGMFISQZDQJ-ZDUSSCGKSA-N 1 2 315.402 1.591 20 30 DDEDLO Cn1cc(C[N@@H+](C)[C@H]2CCN(c3sccc3C#N)C2=O)cn1 ZINC000083128658 185213486 /nfs/dbraw/zinc/21/34/86/185213486.db2.gz QYLHGMFISQZDQJ-ZDUSSCGKSA-N 1 2 315.402 1.591 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](C[NH+]=C([O-])N(C)CCn1cccn1)CCC2 ZINC000328655930 539298229 /nfs/dbraw/zinc/29/82/29/539298229.db2.gz NHUNLHFUTBJDPX-AWEZNQCLSA-N 1 2 316.409 1.811 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)NCc1noc(C)n1)CCC2 ZINC000328653342 539298234 /nfs/dbraw/zinc/29/82/34/539298234.db2.gz LBOHJJUCUQFLFR-LLVKDONJSA-N 1 2 304.354 1.464 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000623262302 365758447 /nfs/dbraw/zinc/75/84/47/365758447.db2.gz WNKARNQEEJECMU-LBPRGKRZSA-N 1 2 313.357 1.811 20 30 DDEDLO C[N@H+](CC(=O)NC1(C#N)CCC1)Cc1ccc([S@](C)=O)cc1 ZINC000347051203 529831520 /nfs/dbraw/zinc/83/15/20/529831520.db2.gz JUSCWWYKPZIFKZ-QFIPXVFZSA-N 1 2 319.430 1.418 20 30 DDEDLO C[N@@H+](CC(=O)NC1(C#N)CCC1)Cc1ccc([S@](C)=O)cc1 ZINC000347051203 529831521 /nfs/dbraw/zinc/83/15/21/529831521.db2.gz JUSCWWYKPZIFKZ-QFIPXVFZSA-N 1 2 319.430 1.418 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1cccc(NC(=O)C2CC2)c1 ZINC000414149036 529868277 /nfs/dbraw/zinc/86/82/77/529868277.db2.gz UIVAZFGWJHKRSM-HNNXBMFYSA-N 1 2 317.389 1.620 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1cccc(NC(=O)C2CC2)c1 ZINC000414149036 529868278 /nfs/dbraw/zinc/86/82/78/529868278.db2.gz UIVAZFGWJHKRSM-HNNXBMFYSA-N 1 2 317.389 1.620 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)[C@@H]2CCc3[nH+]ccn3C2)CC1 ZINC000615743348 362422414 /nfs/dbraw/zinc/42/24/14/362422414.db2.gz NAXVYOCEZBOREN-CABCVRRESA-N 1 2 315.421 1.138 20 30 DDEDLO C=CCNC(=O)c1ccc(NC(=O)NCc2c[nH+]cn2C)cc1 ZINC000350005107 539399137 /nfs/dbraw/zinc/39/91/37/539399137.db2.gz DMYJLXOFUAARNH-UHFFFAOYSA-N 1 2 313.361 1.658 20 30 DDEDLO C=CCOc1cccc(C[N@@H+]2CCO[C@H](CNC(C)=O)C2)c1 ZINC000179710854 186209356 /nfs/dbraw/zinc/20/93/56/186209356.db2.gz MBMICXCRWNRENH-QGZVFWFLSA-N 1 2 304.390 1.588 20 30 DDEDLO C=CCOc1cccc(C[N@H+]2CCO[C@H](CNC(C)=O)C2)c1 ZINC000179710854 186209358 /nfs/dbraw/zinc/20/93/58/186209358.db2.gz MBMICXCRWNRENH-QGZVFWFLSA-N 1 2 304.390 1.588 20 30 DDEDLO CN1CC[C@@H]([NH+]2CCN(c3cccc(F)c3C#N)CC2)C1=O ZINC000172018946 198026686 /nfs/dbraw/zinc/02/66/86/198026686.db2.gz DPMQSTVDPYSXHZ-OAHLLOKOSA-N 1 2 302.353 1.050 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)cc1 ZINC000172889034 198163484 /nfs/dbraw/zinc/16/34/84/198163484.db2.gz CAVGRVFUVMLKKD-UHFFFAOYSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@H+]2CCOC(C)(C)C2)cc1 ZINC000172889034 198163486 /nfs/dbraw/zinc/16/34/86/198163486.db2.gz CAVGRVFUVMLKKD-UHFFFAOYSA-N 1 2 316.401 1.539 20 30 DDEDLO Cc1nc(SCC(=O)N2CC[NH+](C)CC2)c(C#N)c(C)c1C ZINC000059004446 352860225 /nfs/dbraw/zinc/86/02/25/352860225.db2.gz URNNYGBRMFASNG-UHFFFAOYSA-N 1 2 318.446 1.745 20 30 DDEDLO N#CC1CC[NH+](CC(=O)NCCOc2ccc(F)cc2)CC1 ZINC000057873146 352832678 /nfs/dbraw/zinc/83/26/78/352832678.db2.gz NIARRGPDQYVBQX-UHFFFAOYSA-N 1 2 305.353 1.556 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2oc3c(c2C)C(=O)CCC3)CC1 ZINC000064443846 352937099 /nfs/dbraw/zinc/93/70/99/352937099.db2.gz YAOWNEXWHRNMCT-UHFFFAOYSA-N 1 2 314.385 1.888 20 30 DDEDLO C[N@@H+](CCCN1CC[NH+](C)CC1)Cc1cc(C#N)ccc1F ZINC000174712271 198374198 /nfs/dbraw/zinc/37/41/98/198374198.db2.gz FOAWDNGHGZQYTA-UHFFFAOYSA-N 1 2 304.413 1.767 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)NCCOc1ccc(C#N)cc1 ZINC000080584614 353616133 /nfs/dbraw/zinc/61/61/33/353616133.db2.gz XSBVEJREWIUVBU-CYBMUJFWSA-N 1 2 313.361 1.521 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000089152883 353760515 /nfs/dbraw/zinc/76/05/15/353760515.db2.gz WFZBJQJCLCBFAR-WBTNSWJXSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000089152883 353760517 /nfs/dbraw/zinc/76/05/17/353760517.db2.gz WFZBJQJCLCBFAR-WBTNSWJXSA-N 1 2 323.437 1.309 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2ncccc2F)CC1 ZINC000090630776 353796208 /nfs/dbraw/zinc/79/62/08/353796208.db2.gz WXCQOMTWERCQMO-UHFFFAOYSA-N 1 2 318.396 1.543 20 30 DDEDLO C[C@H](C#N)C[N@H+](C)[C@@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000143160508 354147649 /nfs/dbraw/zinc/14/76/49/354147649.db2.gz BXBQEUFWIBJHMP-OLZOCXBDSA-N 1 2 302.378 1.492 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C)[C@@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000143160508 354147655 /nfs/dbraw/zinc/14/76/55/354147655.db2.gz BXBQEUFWIBJHMP-OLZOCXBDSA-N 1 2 302.378 1.492 20 30 DDEDLO CC(=O)NCC[N@@H+](C)Cc1ccc(Br)cc1C#N ZINC000308310031 354473759 /nfs/dbraw/zinc/47/37/59/354473759.db2.gz QSKKWEKQWLDGCR-UHFFFAOYSA-N 1 2 310.195 1.889 20 30 DDEDLO CC(=O)NCC[N@H+](C)Cc1ccc(Br)cc1C#N ZINC000308310031 354473761 /nfs/dbraw/zinc/47/37/61/354473761.db2.gz QSKKWEKQWLDGCR-UHFFFAOYSA-N 1 2 310.195 1.889 20 30 DDEDLO C=CCn1cc(CNC(=O)N2CCC[C@H]2Cn2cc[nH+]c2)nn1 ZINC000578128988 354704419 /nfs/dbraw/zinc/70/44/19/354704419.db2.gz LANRAWBXNQYPHJ-AWEZNQCLSA-N 1 2 315.381 1.035 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C[C@H]1OCCc2ccccc21 ZINC000578390509 354707862 /nfs/dbraw/zinc/70/78/62/354707862.db2.gz KODWLXJLJQLERM-NVXWUHKLSA-N 1 2 301.390 1.651 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnc(-c2ccncc2)s1 ZINC000578753812 354711883 /nfs/dbraw/zinc/71/18/83/354711883.db2.gz HFAJHKDAIWYGSR-HNNXBMFYSA-N 1 2 315.402 1.779 20 30 DDEDLO C=CCSCCNC(=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000586813271 354869673 /nfs/dbraw/zinc/86/96/73/354869673.db2.gz RQFCBTGYJRNLKV-ZDUSSCGKSA-N 1 2 322.434 1.082 20 30 DDEDLO CCN1CC[NH+](CCC(=O)Nc2n[nH]c(C3CC3)c2C#N)CC1 ZINC000588769591 354935359 /nfs/dbraw/zinc/93/53/59/354935359.db2.gz TUOWKCSYNQCRAO-UHFFFAOYSA-N 1 2 316.409 1.125 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@H]2NC(=O)N1CCn2c[nH+]cc2C1 ZINC000589648441 355010029 /nfs/dbraw/zinc/01/00/29/355010029.db2.gz KFJOKPHHMCWOAF-MRXNPFEDSA-N 1 2 307.357 1.967 20 30 DDEDLO N#C[C@H]1N(CC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CCC[C@]12CCCCO2 ZINC000447919114 283070618 /nfs/dbraw/zinc/07/06/18/283070618.db2.gz UEJJUHIWEWCYKW-XMTFNYHQSA-N 1 2 319.449 1.777 20 30 DDEDLO N#C[C@H]1N(CC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CCC[C@]12CCCCO2 ZINC000447919114 283070619 /nfs/dbraw/zinc/07/06/19/283070619.db2.gz UEJJUHIWEWCYKW-XMTFNYHQSA-N 1 2 319.449 1.777 20 30 DDEDLO N#CCC1(CS(=O)(=O)NCC[NH+]2Cc3ccccc3C2)CC1 ZINC000590745220 355161728 /nfs/dbraw/zinc/16/17/28/355161728.db2.gz VJSODMFUAZDSMB-UHFFFAOYSA-N 1 2 319.430 1.615 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCN(CC#N)CC2)cc1Cl ZINC000590704304 355152094 /nfs/dbraw/zinc/15/20/94/355152094.db2.gz KICDQHKWZJCHFC-UHFFFAOYSA-N 1 2 307.781 1.768 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@H](C(=O)OC)[C@H](c2ccccc2)C1 ZINC000590965576 355225466 /nfs/dbraw/zinc/22/54/66/355225466.db2.gz ARDUZHNINSZQMJ-SOUVJXGZSA-N 1 2 303.358 1.603 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@H](C(=O)OC)[C@H](c2ccccc2)C1 ZINC000590965576 355225470 /nfs/dbraw/zinc/22/54/70/355225470.db2.gz ARDUZHNINSZQMJ-SOUVJXGZSA-N 1 2 303.358 1.603 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCC[C@@H]3COC[C@@H]32)c1 ZINC000590971794 355227433 /nfs/dbraw/zinc/22/74/33/355227433.db2.gz MVTYFYWMPUZFFS-ZBFHGGJFSA-N 1 2 320.414 1.443 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCC[C@@H]3COC[C@@H]32)c1 ZINC000590971794 355227436 /nfs/dbraw/zinc/22/74/36/355227436.db2.gz MVTYFYWMPUZFFS-ZBFHGGJFSA-N 1 2 320.414 1.443 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000591015715 355232277 /nfs/dbraw/zinc/23/22/77/355232277.db2.gz GCJOBMJPTXYLLJ-UHFFFAOYSA-N 1 2 320.349 1.785 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000591015715 355232281 /nfs/dbraw/zinc/23/22/81/355232281.db2.gz GCJOBMJPTXYLLJ-UHFFFAOYSA-N 1 2 320.349 1.785 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)N2CCSc3ccccc32)C1 ZINC000592148153 355513605 /nfs/dbraw/zinc/51/36/05/355513605.db2.gz RPHXAYAWCJNVQL-MRXNPFEDSA-N 1 2 317.414 1.476 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)N2CCSc3ccccc32)C1 ZINC000592148153 355513608 /nfs/dbraw/zinc/51/36/08/355513608.db2.gz RPHXAYAWCJNVQL-MRXNPFEDSA-N 1 2 317.414 1.476 20 30 DDEDLO COc1ccc(NC(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)cc1Cl ZINC000592149284 355516817 /nfs/dbraw/zinc/51/68/17/355516817.db2.gz MIONHZNFDXPXCX-HNNXBMFYSA-N 1 2 323.780 1.638 20 30 DDEDLO COc1ccc(NC(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)cc1Cl ZINC000592149284 355516820 /nfs/dbraw/zinc/51/68/20/355516820.db2.gz MIONHZNFDXPXCX-HNNXBMFYSA-N 1 2 323.780 1.638 20 30 DDEDLO CC(C)N(CC(F)(F)F)C(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592151662 355518513 /nfs/dbraw/zinc/51/85/13/355518513.db2.gz QCABGAKFQCDTJI-LBPRGKRZSA-N 1 2 307.316 1.136 20 30 DDEDLO CC(C)N(CC(F)(F)F)C(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592151662 355518515 /nfs/dbraw/zinc/51/85/15/355518515.db2.gz QCABGAKFQCDTJI-LBPRGKRZSA-N 1 2 307.316 1.136 20 30 DDEDLO CC(C)(C#N)CCCC[N@@H+]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC000592152732 355518681 /nfs/dbraw/zinc/51/86/81/355518681.db2.gz CDNORHZCZKTDGL-HNNXBMFYSA-N 1 2 314.451 1.596 20 30 DDEDLO CC(C)(C#N)CCCC[N@H+]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC000592152732 355518682 /nfs/dbraw/zinc/51/86/82/355518682.db2.gz CDNORHZCZKTDGL-HNNXBMFYSA-N 1 2 314.451 1.596 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)[C@@H]2CCc3c[nH+]cn3C2)cc1 ZINC000592946279 355747594 /nfs/dbraw/zinc/74/75/94/355747594.db2.gz ZUJBSHULGUCKQX-CQSZACIVSA-N 1 2 310.357 1.512 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000593064323 355784469 /nfs/dbraw/zinc/78/44/69/355784469.db2.gz RUDUJHQKJHWAHB-XJKSGUPXSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000593064323 355784476 /nfs/dbraw/zinc/78/44/76/355784476.db2.gz RUDUJHQKJHWAHB-XJKSGUPXSA-N 1 2 301.390 1.674 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](CCOc2ccccc2C#N)C[C@H](C)O1 ZINC000593079366 355788731 /nfs/dbraw/zinc/78/87/31/355788731.db2.gz BYLZGYGTUWXIJX-WFASDCNBSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](CCOc2ccccc2C#N)C[C@H](C)O1 ZINC000593079366 355788732 /nfs/dbraw/zinc/78/87/32/355788732.db2.gz BYLZGYGTUWXIJX-WFASDCNBSA-N 1 2 304.346 1.199 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)NC[C@@H](C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594099271 356112286 /nfs/dbraw/zinc/11/22/86/356112286.db2.gz PUPDAEWVZPVECF-UTUOFQBUSA-N 1 2 305.378 1.687 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)NC[C@@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594099271 356112288 /nfs/dbraw/zinc/11/22/88/356112288.db2.gz PUPDAEWVZPVECF-UTUOFQBUSA-N 1 2 305.378 1.687 20 30 DDEDLO C[C@H](CNC(=O)c1cccc(F)c1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594099798 356113162 /nfs/dbraw/zinc/11/31/62/356113162.db2.gz UHPMEUQQHCDXEF-UPJWGTAASA-N 1 2 319.380 1.925 20 30 DDEDLO C[C@H](CNC(=O)c1cccc(F)c1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594099798 356113167 /nfs/dbraw/zinc/11/31/67/356113167.db2.gz UHPMEUQQHCDXEF-UPJWGTAASA-N 1 2 319.380 1.925 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)s1)C[C@H](O)C(F)(F)F ZINC000594018280 356089438 /nfs/dbraw/zinc/08/94/38/356089438.db2.gz UYAPVPUBNNQEPK-NSHDSACASA-N 1 2 308.325 1.991 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)s1)C[C@H](O)C(F)(F)F ZINC000594018280 356089441 /nfs/dbraw/zinc/08/94/41/356089441.db2.gz UYAPVPUBNNQEPK-NSHDSACASA-N 1 2 308.325 1.991 20 30 DDEDLO C[C@H]1CCCCN1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000594448363 356220006 /nfs/dbraw/zinc/22/00/06/356220006.db2.gz HRLQPQCCRMNMCP-HNNXBMFYSA-N 1 2 308.426 1.529 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+]([C@@H]2CC(=O)N(c3cccc(O)c3)C2=O)C1 ZINC000594659590 356278141 /nfs/dbraw/zinc/27/81/41/356278141.db2.gz GCZMILDVANSMNQ-SWLSCSKDSA-N 1 2 313.357 1.650 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+]([C@@H]2CC(=O)N(c3cccc(O)c3)C2=O)C1 ZINC000594659590 356278145 /nfs/dbraw/zinc/27/81/45/356278145.db2.gz GCZMILDVANSMNQ-SWLSCSKDSA-N 1 2 313.357 1.650 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+]([C@@H]2CC(=O)N(c3cccc(O)c3)C2=O)C1 ZINC000594659589 356278209 /nfs/dbraw/zinc/27/82/09/356278209.db2.gz GCZMILDVANSMNQ-IUODEOHRSA-N 1 2 313.357 1.650 20 30 DDEDLO N#CC[C@H]1CCC[N@H+]([C@@H]2CC(=O)N(c3cccc(O)c3)C2=O)C1 ZINC000594659589 356278212 /nfs/dbraw/zinc/27/82/12/356278212.db2.gz GCZMILDVANSMNQ-IUODEOHRSA-N 1 2 313.357 1.650 20 30 DDEDLO CC(C)(C)[N@@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(C#N)s1 ZINC000594790655 356317140 /nfs/dbraw/zinc/31/71/40/356317140.db2.gz VTVZYGZMYVNFHR-JTQLQIEISA-N 1 2 313.448 1.771 20 30 DDEDLO CC(C)(C)[N@H+]1CC[C@H]1CNS(=O)(=O)c1ccc(C#N)s1 ZINC000594790655 356317144 /nfs/dbraw/zinc/31/71/44/356317144.db2.gz VTVZYGZMYVNFHR-JTQLQIEISA-N 1 2 313.448 1.771 20 30 DDEDLO CC(C)N(Cc1ccc(C#N)cc1)C(=O)[C@H]1C[N@H+](C)CCO1 ZINC000081301064 192248456 /nfs/dbraw/zinc/24/84/56/192248456.db2.gz BISUUFNHBKDPGO-MRXNPFEDSA-N 1 2 301.390 1.626 20 30 DDEDLO CC(C)N(Cc1ccc(C#N)cc1)C(=O)[C@H]1C[N@@H+](C)CCO1 ZINC000081301064 192248459 /nfs/dbraw/zinc/24/84/59/192248459.db2.gz BISUUFNHBKDPGO-MRXNPFEDSA-N 1 2 301.390 1.626 20 30 DDEDLO C[C@H](NS(=O)(=O)Cc1cccc(C#N)c1)c1[nH+]ccn1C ZINC000595382014 356473171 /nfs/dbraw/zinc/47/31/71/356473171.db2.gz BCQSOEHPKKTBCP-NSHDSACASA-N 1 2 304.375 1.472 20 30 DDEDLO C[C@H](NS(=O)(=O)CC1(C#N)CCCCC1)c1[nH+]ccn1C ZINC000595382397 356473345 /nfs/dbraw/zinc/47/33/45/356473345.db2.gz HEZBCZICDWDPJK-LBPRGKRZSA-N 1 2 310.423 1.875 20 30 DDEDLO C[C@H](NC(=O)N1CCC[C@@H](CC#N)C1)[C@H](C)[NH+]1CCOCC1 ZINC000595514740 356527400 /nfs/dbraw/zinc/52/74/00/356527400.db2.gz FEONNGLEIDTOIX-KKUMJFAQSA-N 1 2 308.426 1.431 20 30 DDEDLO COC(=O)c1ccc2[nH]c(C[N@@H+]3CC[C@@](O)(CC#N)C3)nc2c1 ZINC000595558323 356549938 /nfs/dbraw/zinc/54/99/38/356549938.db2.gz NQDGRWGDMOZSPH-INIZCTEOSA-N 1 2 314.345 1.200 20 30 DDEDLO COC(=O)c1ccc2[nH]c(C[N@H+]3CC[C@@](O)(CC#N)C3)nc2c1 ZINC000595558323 356549941 /nfs/dbraw/zinc/54/99/41/356549941.db2.gz NQDGRWGDMOZSPH-INIZCTEOSA-N 1 2 314.345 1.200 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1ccccc1C(=O)OC)[C@@H](C)COC ZINC000595638692 356588385 /nfs/dbraw/zinc/58/83/85/356588385.db2.gz LDNBSVKUXXPINM-ZDUSSCGKSA-N 1 2 320.389 1.935 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1ccccc1C(=O)OC)[C@@H](C)COC ZINC000595638692 356588388 /nfs/dbraw/zinc/58/83/88/356588388.db2.gz LDNBSVKUXXPINM-ZDUSSCGKSA-N 1 2 320.389 1.935 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)C[N@@H+]1CCC[C@H](CC#N)C1)C(=O)OC ZINC000595610484 356577011 /nfs/dbraw/zinc/57/70/11/356577011.db2.gz ZRLJHSKGWWEOSW-GUTXKFCHSA-N 1 2 309.410 1.316 20 30 DDEDLO CC[C@H](C)[C@H](NC(=O)C[N@H+]1CCC[C@H](CC#N)C1)C(=O)OC ZINC000595610484 356577013 /nfs/dbraw/zinc/57/70/13/356577013.db2.gz ZRLJHSKGWWEOSW-GUTXKFCHSA-N 1 2 309.410 1.316 20 30 DDEDLO CN1CC[N@H+](CC(=O)N(CCC#N)c2ccccc2)CCC1=O ZINC000595781699 356649494 /nfs/dbraw/zinc/64/94/94/356649494.db2.gz IAQCXAWKHVEXFD-UHFFFAOYSA-N 1 2 314.389 1.097 20 30 DDEDLO CN1CC[N@@H+](CC(=O)N(CCC#N)c2ccccc2)CCC1=O ZINC000595781699 356649497 /nfs/dbraw/zinc/64/94/97/356649497.db2.gz IAQCXAWKHVEXFD-UHFFFAOYSA-N 1 2 314.389 1.097 20 30 DDEDLO Cn1cc(C[N@H+](C)Cc2cn(C)nc2-c2ccc(C#N)cc2)nn1 ZINC000595757760 356640084 /nfs/dbraw/zinc/64/00/84/356640084.db2.gz HIYUFWJCAGJUEC-UHFFFAOYSA-N 1 2 321.388 1.719 20 30 DDEDLO Cn1cc(C[N@@H+](C)Cc2cn(C)nc2-c2ccc(C#N)cc2)nn1 ZINC000595757760 356640088 /nfs/dbraw/zinc/64/00/88/356640088.db2.gz HIYUFWJCAGJUEC-UHFFFAOYSA-N 1 2 321.388 1.719 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H]2COC[C@H]2C1 ZINC000595831366 356669179 /nfs/dbraw/zinc/66/91/79/356669179.db2.gz OEWMVEFOMGLDGI-XHSDSOJGSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@H]2COC[C@H]2C1 ZINC000595831366 356669184 /nfs/dbraw/zinc/66/91/84/356669184.db2.gz OEWMVEFOMGLDGI-XHSDSOJGSA-N 1 2 300.358 1.741 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CCCCC1)[N@@H+]1CC[C@@](C)(C#N)C1 ZINC000595837616 356672652 /nfs/dbraw/zinc/67/26/52/356672652.db2.gz KEBLRGFTPHXRMS-WBMJQRKESA-N 1 2 306.410 1.769 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CCCCC1)[N@H+]1CC[C@@](C)(C#N)C1 ZINC000595837616 356672655 /nfs/dbraw/zinc/67/26/55/356672655.db2.gz KEBLRGFTPHXRMS-WBMJQRKESA-N 1 2 306.410 1.769 20 30 DDEDLO C[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@]1(C)CO ZINC000595877397 356688297 /nfs/dbraw/zinc/68/82/97/356688297.db2.gz TWDLVFRHJIIUDZ-CJNGLKHVSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@]1(C)CO ZINC000595877397 356688298 /nfs/dbraw/zinc/68/82/98/356688298.db2.gz TWDLVFRHJIIUDZ-CJNGLKHVSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@@H]([NH2+]CCS(=O)(=O)c1cccc(C#N)c1)c1nccn1C ZINC000596069578 356760869 /nfs/dbraw/zinc/76/08/69/356760869.db2.gz MSTQRFLVVQEXOZ-GFCCVEGCSA-N 1 2 318.402 1.416 20 30 DDEDLO Cn1ncc(C#N)c1NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000596071300 356761939 /nfs/dbraw/zinc/76/19/39/356761939.db2.gz OLXUHPRWRLOFBZ-INIZCTEOSA-N 1 2 311.389 1.777 20 30 DDEDLO N#Cc1ccc([N+](=O)[O-])c(N2CCC[C@@H]([NH+]3CCOCC3)C2)c1 ZINC000596247609 356830981 /nfs/dbraw/zinc/83/09/81/356830981.db2.gz SECYJHIYYFRVOU-CQSZACIVSA-N 1 2 316.361 1.767 20 30 DDEDLO N#Cc1cscc1C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000597707363 357294588 /nfs/dbraw/zinc/29/45/88/357294588.db2.gz OYLDFDXXHXXWHI-ZDUSSCGKSA-N 1 2 305.403 1.557 20 30 DDEDLO C[C@@H](CC#N)C(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000598506799 357608783 /nfs/dbraw/zinc/60/87/83/357608783.db2.gz QZBURVJKNDDSTM-RDJZCZTQSA-N 1 2 314.433 1.469 20 30 DDEDLO C[C@@H](CC#N)C(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000598506799 357608785 /nfs/dbraw/zinc/60/87/85/357608785.db2.gz QZBURVJKNDDSTM-RDJZCZTQSA-N 1 2 314.433 1.469 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)N[C@@H]1CCc2cc(C#N)ccc21 ZINC000599195350 357846884 /nfs/dbraw/zinc/84/68/84/357846884.db2.gz VJZFUMHPYZAVPS-GOEBONIOSA-N 1 2 314.389 1.175 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)N[C@@H]1CCc2cc(C#N)ccc21 ZINC000599195350 357846886 /nfs/dbraw/zinc/84/68/86/357846886.db2.gz VJZFUMHPYZAVPS-GOEBONIOSA-N 1 2 314.389 1.175 20 30 DDEDLO CC[N@H+](CC#CCN1C(=O)c2ccccc2C1=O)C[C@@H](C)C#N ZINC000599278069 357872163 /nfs/dbraw/zinc/87/21/63/357872163.db2.gz WROMHSWJIHPYIY-AWEZNQCLSA-N 1 2 309.369 1.768 20 30 DDEDLO CC[N@@H+](CC#CCN1C(=O)c2ccccc2C1=O)C[C@@H](C)C#N ZINC000599278069 357872168 /nfs/dbraw/zinc/87/21/68/357872168.db2.gz WROMHSWJIHPYIY-AWEZNQCLSA-N 1 2 309.369 1.768 20 30 DDEDLO COC(=O)C[N@H+](C[C@@H](O)COc1ccccc1C#N)C(C)C ZINC000599315811 357889979 /nfs/dbraw/zinc/88/99/79/357889979.db2.gz UJDQIQOHJYJZLR-CQSZACIVSA-N 1 2 306.362 1.181 20 30 DDEDLO COC(=O)C[N@@H+](C[C@@H](O)COc1ccccc1C#N)C(C)C ZINC000599315811 357889980 /nfs/dbraw/zinc/88/99/80/357889980.db2.gz UJDQIQOHJYJZLR-CQSZACIVSA-N 1 2 306.362 1.181 20 30 DDEDLO Cn1nccc1[C@@H]1c2[nH]c[nH+]c2CCN1Cc1ccc(C#N)cn1 ZINC000599444287 357939159 /nfs/dbraw/zinc/93/91/59/357939159.db2.gz ILQAZCHONSFGRB-QGZVFWFLSA-N 1 2 319.372 1.558 20 30 DDEDLO C[C@@H](C#N)C[NH2+]Cc1c[nH]nc1CN1C(=O)c2ccccc2C1=O ZINC000600146534 358137577 /nfs/dbraw/zinc/13/75/77/358137577.db2.gz YEVKKTXWDSYHRG-NSHDSACASA-N 1 2 323.356 1.455 20 30 DDEDLO N#CCCCCC(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000600680294 358281242 /nfs/dbraw/zinc/28/12/42/358281242.db2.gz AVWWMEPHTMGGAU-MRXNPFEDSA-N 1 2 316.405 1.483 20 30 DDEDLO N#CCCCCC(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000600680294 358281244 /nfs/dbraw/zinc/28/12/44/358281244.db2.gz AVWWMEPHTMGGAU-MRXNPFEDSA-N 1 2 316.405 1.483 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1cc(C#N)nc(C2CC2)n1 ZINC000601165238 358429256 /nfs/dbraw/zinc/42/92/56/358429256.db2.gz LKWVGVANMONYSS-SWLSCSKDSA-N 1 2 313.405 1.525 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccccc2CC#N)C[C@H]1C ZINC000601383045 358527754 /nfs/dbraw/zinc/52/77/54/358527754.db2.gz KAGKGRVBBHZEPF-ZIAGYGMSSA-N 1 2 321.446 1.856 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccccc2CC#N)C[C@H]1C ZINC000601383045 358527756 /nfs/dbraw/zinc/52/77/56/358527756.db2.gz KAGKGRVBBHZEPF-ZIAGYGMSSA-N 1 2 321.446 1.856 20 30 DDEDLO Cc1cc(CNC(=O)N2CCN(CCC#N)CC2)cc(C)[nH+]1 ZINC000601614660 358624573 /nfs/dbraw/zinc/62/45/73/358624573.db2.gz ZMLDUMAFBYJUQN-UHFFFAOYSA-N 1 2 301.394 1.439 20 30 DDEDLO CC(C)(CNS(=O)(=O)c1ccc(C#N)cc1F)n1cc[nH+]c1 ZINC000601527554 358589040 /nfs/dbraw/zinc/58/90/40/358589040.db2.gz VWFQGDUQAYVZMS-UHFFFAOYSA-N 1 2 322.365 1.607 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCO[C@H](C(F)F)CC2)cc1 ZINC000601973704 358760551 /nfs/dbraw/zinc/76/05/51/358760551.db2.gz IWBLAHCIMLAEAI-STQMWFEESA-N 1 2 309.316 1.441 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCO[C@H](C(F)F)CC2)cc1 ZINC000601973704 358760553 /nfs/dbraw/zinc/76/05/53/358760553.db2.gz IWBLAHCIMLAEAI-STQMWFEESA-N 1 2 309.316 1.441 20 30 DDEDLO CO[C@]1(C[N@@H+]2CCN(Cc3ccccc3)[C@H](C#N)C2)CCOC1 ZINC000602428552 358969728 /nfs/dbraw/zinc/96/97/28/358969728.db2.gz PRTLVQGSYUINSS-MSOLQXFVSA-N 1 2 315.417 1.502 20 30 DDEDLO CO[C@]1(C[N@H+]2CCN(Cc3ccccc3)[C@H](C#N)C2)CCOC1 ZINC000602428552 358969734 /nfs/dbraw/zinc/96/97/34/358969734.db2.gz PRTLVQGSYUINSS-MSOLQXFVSA-N 1 2 315.417 1.502 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)s1 ZINC000602436446 358974411 /nfs/dbraw/zinc/97/44/11/358974411.db2.gz NEMQRTKYUYSVTH-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)Nc2ccc(Cl)cn2)C[C@H](C)N1CC#N ZINC000602858375 359244917 /nfs/dbraw/zinc/24/49/17/359244917.db2.gz AOIZUUQXFKCTTL-RYUDHWBXSA-N 1 2 321.812 1.592 20 30 DDEDLO Cc1ccc(NC(=O)C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)cc1 ZINC000602862437 359247118 /nfs/dbraw/zinc/24/71/18/359247118.db2.gz NYUNTJJESYJFJZ-GJZGRUSLSA-N 1 2 300.406 1.852 20 30 DDEDLO C[C@@H](CC#N)[NH2+][C@@H](C)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000602870076 359254915 /nfs/dbraw/zinc/25/49/15/359254915.db2.gz SJISLQRCNZIIGS-KBPBESRZSA-N 1 2 316.405 1.321 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@@H+]2CCC[C@@H]2c2ncccn2)cc1 ZINC000602897557 359279103 /nfs/dbraw/zinc/27/91/03/359279103.db2.gz KVFRXYCINLROND-NVXWUHKLSA-N 1 2 324.384 1.925 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@H+]2CCC[C@@H]2c2ncccn2)cc1 ZINC000602897557 359279105 /nfs/dbraw/zinc/27/91/05/359279105.db2.gz KVFRXYCINLROND-NVXWUHKLSA-N 1 2 324.384 1.925 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@@](C)(C(=O)OCC)C1 ZINC000603002577 359350215 /nfs/dbraw/zinc/35/02/15/359350215.db2.gz ILTBZJZZFZJCBL-WMLDXEAASA-N 1 2 324.421 1.230 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@@](C)(C(=O)OCC)C1 ZINC000603002577 359350218 /nfs/dbraw/zinc/35/02/18/359350218.db2.gz ILTBZJZZFZJCBL-WMLDXEAASA-N 1 2 324.421 1.230 20 30 DDEDLO N#Cc1cc(NC(=O)N2CC[NH+](Cc3ccccn3)CC2)ccn1 ZINC000603127069 359421156 /nfs/dbraw/zinc/42/11/56/359421156.db2.gz RSLMHQREAAAPSU-UHFFFAOYSA-N 1 2 322.372 1.698 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cc(F)cc(C#N)c2)[C@H](C)CO1 ZINC000603063811 359384410 /nfs/dbraw/zinc/38/44/10/359384410.db2.gz CYCOGXRKCDLPQK-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cc(F)cc(C#N)c2)[C@H](C)CO1 ZINC000603063811 359384416 /nfs/dbraw/zinc/38/44/16/359384416.db2.gz CYCOGXRKCDLPQK-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO N#Cc1ccc(F)c(Cn2cc(CC[NH+]3CCOCC3)nn2)c1 ZINC000603192809 359470689 /nfs/dbraw/zinc/47/06/89/359470689.db2.gz NPHAQPNNCGFJFV-UHFFFAOYSA-N 1 2 315.352 1.212 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@@H]2NS(=O)(=O)CCn1cc[nH+]c1 ZINC000603332494 359574025 /nfs/dbraw/zinc/57/40/25/359574025.db2.gz YIRCVKPXZLSMSN-HNNXBMFYSA-N 1 2 316.386 1.362 20 30 DDEDLO C[C@H]1C[NH+](CCCNC(=O)c2ccc(C#N)c(O)c2)C[C@H](C)O1 ZINC000188243697 200215946 /nfs/dbraw/zinc/21/59/46/200215946.db2.gz VHRWKVILBHHPPN-STQMWFEESA-N 1 2 317.389 1.493 20 30 DDEDLO O=C(NCC1CCOCC1)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000329889185 223043081 /nfs/dbraw/zinc/04/30/81/223043081.db2.gz ZVYZSGFYYHSDKF-HNNXBMFYSA-N 1 2 305.378 1.875 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)CSc2ccc(C#N)cc2)CCO1 ZINC000609129455 360262730 /nfs/dbraw/zinc/26/27/30/360262730.db2.gz SGHWTGDSEWAWHT-ZDUSSCGKSA-N 1 2 319.430 1.487 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)CSc2ccc(C#N)cc2)CCO1 ZINC000609129455 360262735 /nfs/dbraw/zinc/26/27/35/360262735.db2.gz SGHWTGDSEWAWHT-ZDUSSCGKSA-N 1 2 319.430 1.487 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000609486270 360313559 /nfs/dbraw/zinc/31/35/59/360313559.db2.gz HBMIUJMXVNLBAH-GDBMZVCRSA-N 1 2 306.454 1.599 20 30 DDEDLO COc1ccc(C)cc1C[NH+]1CCN(C(=O)[C@H](C)C#N)CC1 ZINC000609920579 360366036 /nfs/dbraw/zinc/36/60/36/360366036.db2.gz SCQWYMLCQMQYMM-CQSZACIVSA-N 1 2 301.390 1.808 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)Cc1ccc2n[nH]cc2c1 ZINC000330008188 223062599 /nfs/dbraw/zinc/06/25/99/223062599.db2.gz FFBRAJZXUBFYJQ-LBPRGKRZSA-N 1 2 302.378 1.783 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)Cc1ccc2n[nH]cc2c1 ZINC000330008188 223062601 /nfs/dbraw/zinc/06/26/01/223062601.db2.gz FFBRAJZXUBFYJQ-LBPRGKRZSA-N 1 2 302.378 1.783 20 30 DDEDLO C[C@H](C(=O)NCCc1cccs1)[NH+]1CCN(CCC#N)CC1 ZINC000611173808 360646563 /nfs/dbraw/zinc/64/65/63/360646563.db2.gz LUIUEBGAITUENA-CQSZACIVSA-N 1 2 320.462 1.327 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CCC[C@@H](C)[C@@H]1C)[NH+]1CCN(CCC#N)CC1 ZINC000611174530 360648188 /nfs/dbraw/zinc/64/81/88/360648188.db2.gz GRAHQJPHHBNYLV-TWMKSMIVSA-N 1 2 320.481 1.847 20 30 DDEDLO NC(=O)N1CC[C@H](NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000330088228 223072973 /nfs/dbraw/zinc/07/29/73/223072973.db2.gz NWUJKCBMUXVCNI-WCQYABFASA-N 1 2 310.398 1.153 20 30 DDEDLO NC(=O)N1CC[C@H](NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000330088228 223072977 /nfs/dbraw/zinc/07/29/77/223072977.db2.gz NWUJKCBMUXVCNI-WCQYABFASA-N 1 2 310.398 1.153 20 30 DDEDLO CN(C(=O)C[NH+]1CCN(CC2(C#N)CC2)CC1)C1CCCCC1 ZINC000611429607 360719266 /nfs/dbraw/zinc/71/92/66/360719266.db2.gz YMDVOOHDFMCTRP-UHFFFAOYSA-N 1 2 318.465 1.699 20 30 DDEDLO Cn1ncc2c1nc(C[NH2+]C1(c3cccc(C#N)c3)CC1)[nH]c2=O ZINC000611396071 360710597 /nfs/dbraw/zinc/71/05/97/360710597.db2.gz YZSPVGUQOPEILX-UHFFFAOYSA-N 1 2 320.356 1.719 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H](OC(F)F)C1 ZINC000611576424 360764971 /nfs/dbraw/zinc/76/49/71/360764971.db2.gz AJKPWHNDRKPAPV-GFCCVEGCSA-N 1 2 314.336 1.346 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H](OC(F)F)C1 ZINC000611576424 360764977 /nfs/dbraw/zinc/76/49/77/360764977.db2.gz AJKPWHNDRKPAPV-GFCCVEGCSA-N 1 2 314.336 1.346 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NCC(F)(F)F)[N@@H+]1CCC[C@@](C)(C#N)C1 ZINC000612163523 360937836 /nfs/dbraw/zinc/93/78/36/360937836.db2.gz RJYLPUKQGOJPRP-CABZTGNLSA-N 1 2 320.315 1.389 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NCC(F)(F)F)[N@H+]1CCC[C@@](C)(C#N)C1 ZINC000612163523 360937839 /nfs/dbraw/zinc/93/78/39/360937839.db2.gz RJYLPUKQGOJPRP-CABZTGNLSA-N 1 2 320.315 1.389 20 30 DDEDLO C[C@H](C(=O)N1CCc2ccccc21)[N@H+](C)CCNC(=O)N(C)C ZINC000330626236 223130938 /nfs/dbraw/zinc/13/09/38/223130938.db2.gz VCSWWXAFBGIREM-CYBMUJFWSA-N 1 2 318.421 1.372 20 30 DDEDLO C[C@H](C(=O)N1CCc2ccccc21)[N@@H+](C)CCNC(=O)N(C)C ZINC000330626236 223130941 /nfs/dbraw/zinc/13/09/41/223130941.db2.gz VCSWWXAFBGIREM-CYBMUJFWSA-N 1 2 318.421 1.372 20 30 DDEDLO C[C@@H]1C[C@@H](CNC(=O)NCc2ccc(N(C)C)[nH+]c2)CCO1 ZINC000330637661 223132303 /nfs/dbraw/zinc/13/23/03/223132303.db2.gz OHOYSTULGLQDCB-OLZOCXBDSA-N 1 2 306.410 1.966 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1cc(OC(F)F)n(C)n1 ZINC000330978476 223160031 /nfs/dbraw/zinc/16/00/31/223160031.db2.gz LNLFQTMEEMBFPQ-SECBINFHSA-N 1 2 318.324 1.047 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1cc(OC(F)F)n(C)n1 ZINC000330978476 223160033 /nfs/dbraw/zinc/16/00/33/223160033.db2.gz LNLFQTMEEMBFPQ-SECBINFHSA-N 1 2 318.324 1.047 20 30 DDEDLO CCOC[C@@H](O)CN1CC[NH+]([C@H](C)c2cccc(C#N)c2)CC1 ZINC000612544486 361061139 /nfs/dbraw/zinc/06/11/39/361061139.db2.gz KBSUQKRWZAPPJY-QAPCUYQASA-N 1 2 317.433 1.634 20 30 DDEDLO C[C@H]1CCNC(=O)[C@H]1[NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000193647040 201093593 /nfs/dbraw/zinc/09/35/93/201093593.db2.gz OAHGJWPJDNBYKX-HZMBPMFUSA-N 1 2 311.345 1.222 20 30 DDEDLO C=C[C@@H]([NH2+]CCCCn1cc(Br)cn1)C(=O)OC ZINC000619704357 364122444 /nfs/dbraw/zinc/12/24/44/364122444.db2.gz GSIGDRXSSIWKCX-LLVKDONJSA-N 1 2 316.199 1.743 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(NC(=O)C2CCCCC2)CC1 ZINC000619719210 364130964 /nfs/dbraw/zinc/13/09/64/364130964.db2.gz LDXVTLSQQQGUIJ-OAHLLOKOSA-N 1 2 308.422 1.875 20 30 DDEDLO N#CC1(F)CCN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)CC1 ZINC000343237874 223318876 /nfs/dbraw/zinc/31/88/76/223318876.db2.gz XJYDWOQQSSDKMQ-LBPRGKRZSA-N 1 2 305.357 1.270 20 30 DDEDLO N#CC1(F)CCN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)CC1 ZINC000343237874 223318878 /nfs/dbraw/zinc/31/88/78/223318878.db2.gz XJYDWOQQSSDKMQ-LBPRGKRZSA-N 1 2 305.357 1.270 20 30 DDEDLO C[C@@H](NC(=O)N1CCC(F)(C#N)CC1)[C@H](C)[NH+]1CCOCC1 ZINC000345032355 223341936 /nfs/dbraw/zinc/34/19/36/223341936.db2.gz WVLYPEMWRUDRCP-OLZOCXBDSA-N 1 2 312.389 1.133 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000625461263 366942059 /nfs/dbraw/zinc/94/20/59/366942059.db2.gz OTODCLQMXQWVQZ-AWEZNQCLSA-N 1 2 318.421 1.765 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@@H+]1CCO[C@@H]2CCC[C@@H]21 ZINC000625853743 367176322 /nfs/dbraw/zinc/17/63/22/367176322.db2.gz CRZSWFUDBSSTFR-DLBZAZTESA-N 1 2 324.380 1.539 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@H+]1CCO[C@@H]2CCC[C@@H]21 ZINC000625853743 367176326 /nfs/dbraw/zinc/17/63/26/367176326.db2.gz CRZSWFUDBSSTFR-DLBZAZTESA-N 1 2 324.380 1.539 20 30 DDEDLO C[C@@H](CN(C)C(=O)CCn1cc[nH+]c1)Nc1ccc(C#N)nc1 ZINC001120462031 781960045 /nfs/dbraw/zinc/96/00/45/781960045.db2.gz VGWGGNWJYWRFSB-ZDUSSCGKSA-N 1 2 312.377 1.499 20 30 DDEDLO C=CC[C@H](C)NC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000265311141 204523604 /nfs/dbraw/zinc/52/36/04/204523604.db2.gz PPRIGKMRZUAOHS-ZDUSSCGKSA-N 1 2 304.394 1.682 20 30 DDEDLO N#Cc1ccc(N2CCN(CC[NH+]3CCOCC3)CC2)c(F)c1 ZINC000336870107 249277182 /nfs/dbraw/zinc/27/71/82/249277182.db2.gz HGPXNDZDZZVGTQ-UHFFFAOYSA-N 1 2 318.396 1.152 20 30 DDEDLO C#CC[N@H+](CC(=O)NCc1cccnc1)Cc1ccc(F)cc1 ZINC000109834517 194290911 /nfs/dbraw/zinc/29/09/11/194290911.db2.gz BTYDGPZYNDDYIA-UHFFFAOYSA-N 1 2 311.360 1.972 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCc1cccnc1)Cc1ccc(F)cc1 ZINC000109834517 194290913 /nfs/dbraw/zinc/29/09/13/194290913.db2.gz BTYDGPZYNDDYIA-UHFFFAOYSA-N 1 2 311.360 1.972 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C ZINC000330699085 533002609 /nfs/dbraw/zinc/00/26/09/533002609.db2.gz MMFNISCXIPFWCT-UONOGXRCSA-N 1 2 320.437 1.506 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C ZINC000330699085 533002614 /nfs/dbraw/zinc/00/26/14/533002614.db2.gz MMFNISCXIPFWCT-UONOGXRCSA-N 1 2 320.437 1.506 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)Cc1cccs1 ZINC000289838339 221261230 /nfs/dbraw/zinc/26/12/30/221261230.db2.gz AUUBBKMHISEMAN-UHFFFAOYSA-N 1 2 321.427 1.920 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)Cc1cccs1 ZINC000289838339 221261231 /nfs/dbraw/zinc/26/12/31/221261231.db2.gz AUUBBKMHISEMAN-UHFFFAOYSA-N 1 2 321.427 1.920 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2C)[C@H](C)C1 ZINC000285273827 285328034 /nfs/dbraw/zinc/32/80/34/285328034.db2.gz IVYWZCRMRVGJID-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2C)[C@H](C)C1 ZINC000285273827 285328042 /nfs/dbraw/zinc/32/80/42/285328042.db2.gz IVYWZCRMRVGJID-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO COc1ccc(C)cc1NC(=O)CN1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000330315545 533391451 /nfs/dbraw/zinc/39/14/51/533391451.db2.gz YUBOXAAJDWESQV-ZBFHGGJFSA-N 1 2 319.405 1.606 20 30 DDEDLO COc1ccc(C)cc1NC(=O)CN1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000330315545 533391456 /nfs/dbraw/zinc/39/14/56/533391456.db2.gz YUBOXAAJDWESQV-ZBFHGGJFSA-N 1 2 319.405 1.606 20 30 DDEDLO C[C@H](CC#N)N(C)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000349444476 533604782 /nfs/dbraw/zinc/60/47/82/533604782.db2.gz GKQJTVUIGGVMFJ-CYBMUJFWSA-N 1 2 318.402 1.854 20 30 DDEDLO COC(=O)c1ccc(/C=C\C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000493289712 292006457 /nfs/dbraw/zinc/00/64/57/292006457.db2.gz HWCVCYIDMDPJTK-CGQZWFTASA-N 1 2 315.373 1.446 20 30 DDEDLO N#CCSCC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000041809790 407010593 /nfs/dbraw/zinc/01/05/93/407010593.db2.gz AXJICNMHPAXWQU-HNNXBMFYSA-N 1 2 319.430 1.433 20 30 DDEDLO N#CCC[N@@H+](CC(=O)NCCOc1cccc(F)c1)CC1CC1 ZINC000081043489 407074843 /nfs/dbraw/zinc/07/48/43/407074843.db2.gz ULAGTBQUAKYWIU-UHFFFAOYSA-N 1 2 319.380 1.946 20 30 DDEDLO N#CCC[N@H+](CC(=O)NCCOc1cccc(F)c1)CC1CC1 ZINC000081043489 407074847 /nfs/dbraw/zinc/07/48/47/407074847.db2.gz ULAGTBQUAKYWIU-UHFFFAOYSA-N 1 2 319.380 1.946 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000051179919 407136766 /nfs/dbraw/zinc/13/67/66/407136766.db2.gz WAFLVNUZRJXGDU-LBPRGKRZSA-N 1 2 304.369 1.296 20 30 DDEDLO C[C@@H]1C[C@H](C)CN(C(=O)CN2CC[NH+](CCCC#N)CC2)C1 ZINC000052710022 407155417 /nfs/dbraw/zinc/15/54/17/407155417.db2.gz SNVQPTUYVJEFMA-IYBDPMFKSA-N 1 2 306.454 1.412 20 30 DDEDLO C[C@@H]1C[C@H](C)CN(C(=O)C[NH+]2CCN(CCCC#N)CC2)C1 ZINC000052710022 407155419 /nfs/dbraw/zinc/15/54/19/407155419.db2.gz SNVQPTUYVJEFMA-IYBDPMFKSA-N 1 2 306.454 1.412 20 30 DDEDLO Cc1ccc(C(N)=[NH+]OCC(=O)NCC(F)(F)F)cc1F ZINC000054269742 407181104 /nfs/dbraw/zinc/18/11/04/407181104.db2.gz GDVXQSYHYACKPP-UHFFFAOYSA-N 1 2 307.247 1.450 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](O)C[N@@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000093441337 407198617 /nfs/dbraw/zinc/19/86/17/407198617.db2.gz IZHSDWLZKKYQHV-ZLDLUXBVSA-N 1 2 304.346 1.086 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](O)C[N@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000093441337 407198620 /nfs/dbraw/zinc/19/86/20/407198620.db2.gz IZHSDWLZKKYQHV-ZLDLUXBVSA-N 1 2 304.346 1.086 20 30 DDEDLO N#Cc1ccc(SCC(=O)N2CC[NH+](C3CC3)CC2)cc1 ZINC000103075555 407330642 /nfs/dbraw/zinc/33/06/42/407330642.db2.gz KFOLFUMBFQTJSN-UHFFFAOYSA-N 1 2 301.415 1.957 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1cc(Cl)ccn1 ZINC000097008111 407274889 /nfs/dbraw/zinc/27/48/89/407274889.db2.gz KKZJFNHBYHKKMA-UHFFFAOYSA-N 1 2 322.796 1.423 20 30 DDEDLO C=CCCOCCNC(=O)N1CC[C@@H]([NH+]2CCN(CC)CC2)C1 ZINC000122600770 407306404 /nfs/dbraw/zinc/30/64/04/407306404.db2.gz JLRVJUNGGBZFDX-MRXNPFEDSA-N 1 2 324.469 1.001 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000103447701 407339246 /nfs/dbraw/zinc/33/92/46/407339246.db2.gz VWVCLIWXOFHNIJ-CQSZACIVSA-N 1 2 307.438 1.544 20 30 DDEDLO N#CCCCCS(=O)(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000104110092 407346941 /nfs/dbraw/zinc/34/69/41/407346941.db2.gz RYNKOTXLAKMXAU-UHFFFAOYSA-N 1 2 322.434 1.795 20 30 DDEDLO CC(C)N(C)C(=O)C[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000124670261 407365864 /nfs/dbraw/zinc/36/58/64/407365864.db2.gz GYBSATCRYIJGJI-QGZVFWFLSA-N 1 2 314.433 1.736 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccncc3)CC2)nc1 ZINC000107539963 407375680 /nfs/dbraw/zinc/37/56/80/407375680.db2.gz BCJUYRIIXTUEOP-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO CC(=O)N(C)C1CC[NH+](CC(=O)Nc2sccc2C#N)CC1 ZINC000125331652 407385766 /nfs/dbraw/zinc/38/57/66/407385766.db2.gz VUCUKWVEBJAJDG-UHFFFAOYSA-N 1 2 320.418 1.501 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)C1CCOCC1 ZINC000125483133 407389684 /nfs/dbraw/zinc/38/96/84/407389684.db2.gz CIMAEOWNSYRCDZ-OAHLLOKOSA-N 1 2 323.437 1.124 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)Cc2ccc(C#N)cc2)C[C@@H](C)[NH+]1C ZINC000126718047 407420016 /nfs/dbraw/zinc/42/00/16/407420016.db2.gz IXNRLUGWJOGIKS-CHWSQXEVSA-N 1 2 307.419 1.412 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)Nc1nc2c(cc1C#N)CCCC2 ZINC000271016732 407470348 /nfs/dbraw/zinc/47/03/48/407470348.db2.gz YKOBLOVUFHXLAM-CYBMUJFWSA-N 1 2 300.406 1.965 20 30 DDEDLO COC(=O)[C@@]1([NH2+]CCCOc2cccc(C#N)c2)CCOC1 ZINC000271088966 407507256 /nfs/dbraw/zinc/50/72/56/407507256.db2.gz GZHNAOAJFGRKGX-MRXNPFEDSA-N 1 2 304.346 1.249 20 30 DDEDLO COC(=O)c1coc(CO[NH+]=C(N)Cc2csc(C)n2)c1 ZINC000171028103 407576378 /nfs/dbraw/zinc/57/63/78/407576378.db2.gz JETVDVBDCPFQRP-UHFFFAOYSA-N 1 2 309.347 1.863 20 30 DDEDLO Cc1cc(NC(=O)Cc2cn3ccccc3[nH+]2)nn1CCC#N ZINC000271141663 407535313 /nfs/dbraw/zinc/53/53/13/407535313.db2.gz QJPSSAJMPXBCEY-UHFFFAOYSA-N 1 2 308.345 1.934 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CC[C@@H](C)[C@@H](O)C2)c(C#N)c1C ZINC000221455172 407548720 /nfs/dbraw/zinc/54/87/20/407548720.db2.gz QMUYABOIIYSBLH-RNCFNFMXSA-N 1 2 307.419 1.878 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CC[C@@H](C)[C@@H](O)C2)c(C#N)c1C ZINC000221455172 407548726 /nfs/dbraw/zinc/54/87/26/407548726.db2.gz QMUYABOIIYSBLH-RNCFNFMXSA-N 1 2 307.419 1.878 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCc2c(F)cccc2C1)C1CC1 ZINC000152899008 407713208 /nfs/dbraw/zinc/71/32/08/407713208.db2.gz BEYAXSVHOQABPZ-QGZVFWFLSA-N 1 2 301.365 1.992 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCc2c(F)cccc2C1)C1CC1 ZINC000152899008 407713214 /nfs/dbraw/zinc/71/32/14/407713214.db2.gz BEYAXSVHOQABPZ-QGZVFWFLSA-N 1 2 301.365 1.992 20 30 DDEDLO COc1c(O)cccc1C[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000272328413 407870282 /nfs/dbraw/zinc/87/02/82/407870282.db2.gz VSBGZMKCUGEWEI-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCc2ccccc2[C@@H]1CO ZINC000268080035 407882022 /nfs/dbraw/zinc/88/20/22/407882022.db2.gz GFOWQWLMVIVFLD-WMZOPIPTSA-N 1 2 315.417 1.633 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCc2ccccc2[C@@H]1CO ZINC000268080035 407882030 /nfs/dbraw/zinc/88/20/30/407882030.db2.gz GFOWQWLMVIVFLD-WMZOPIPTSA-N 1 2 315.417 1.633 20 30 DDEDLO CN1CC[C@@H]([N@H+](C)Cc2ccc(C#N)cc2Br)C1=O ZINC000272500439 407949106 /nfs/dbraw/zinc/94/91/06/407949106.db2.gz IVOZUICPSROZMJ-CYBMUJFWSA-N 1 2 322.206 1.983 20 30 DDEDLO CN1CC[C@@H]([N@@H+](C)Cc2ccc(C#N)cc2Br)C1=O ZINC000272500439 407949116 /nfs/dbraw/zinc/94/91/16/407949116.db2.gz IVOZUICPSROZMJ-CYBMUJFWSA-N 1 2 322.206 1.983 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000245401881 407963807 /nfs/dbraw/zinc/96/38/07/407963807.db2.gz GCJYAAKONRKPMQ-TZMCWYRMSA-N 1 2 315.373 1.770 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000245401881 407963812 /nfs/dbraw/zinc/96/38/12/407963812.db2.gz GCJYAAKONRKPMQ-TZMCWYRMSA-N 1 2 315.373 1.770 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@@H](NS(C)(=O)=O)C2)cc1C#N ZINC000135025988 407965169 /nfs/dbraw/zinc/96/51/69/407965169.db2.gz VIYHSGNMHKEMFV-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@@H](NS(C)(=O)=O)C2)cc1C#N ZINC000135025988 407965175 /nfs/dbraw/zinc/96/51/75/407965175.db2.gz VIYHSGNMHKEMFV-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO C[C@H](C(=O)NCCCC#N)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000273137285 408074334 /nfs/dbraw/zinc/07/43/34/408074334.db2.gz WNEHMSYIHUGYBA-CQSZACIVSA-N 1 2 318.396 1.756 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H](c2ccccc2)[NH+]2CCOCC2)c1 ZINC000175223747 408084522 /nfs/dbraw/zinc/08/45/22/408084522.db2.gz ZDUOYCVSBCXZBE-QGZVFWFLSA-N 1 2 324.384 1.690 20 30 DDEDLO C#CCNC(=O)N[C@H](c1ccc(Cl)cc1)[C@H]1C[N@H+](C)CCO1 ZINC000120108998 408117699 /nfs/dbraw/zinc/11/76/99/408117699.db2.gz YATSEWAOMPHCEH-HUUCEWRRSA-N 1 2 321.808 1.644 20 30 DDEDLO C#CCNC(=O)N[C@H](c1ccc(Cl)cc1)[C@H]1C[N@@H+](C)CCO1 ZINC000120108998 408117701 /nfs/dbraw/zinc/11/77/01/408117701.db2.gz YATSEWAOMPHCEH-HUUCEWRRSA-N 1 2 321.808 1.644 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@@](C)(c2ccccc2)C1 ZINC000121876933 408245274 /nfs/dbraw/zinc/24/52/74/408245274.db2.gz PZNLJUAWGGLUSY-MAUKXSAKSA-N 1 2 315.417 1.995 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@@](C)(c2ccccc2)C1 ZINC000121876933 408245282 /nfs/dbraw/zinc/24/52/82/408245282.db2.gz PZNLJUAWGGLUSY-MAUKXSAKSA-N 1 2 315.417 1.995 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](CCS(C)(=O)=O)C(C)(C)C ZINC000269301336 408258913 /nfs/dbraw/zinc/25/89/13/408258913.db2.gz ZJJPPTIXXFUDPK-UHFFFAOYSA-N 1 2 316.467 1.332 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](CCS(C)(=O)=O)C(C)(C)C ZINC000269301336 408258919 /nfs/dbraw/zinc/25/89/19/408258919.db2.gz ZJJPPTIXXFUDPK-UHFFFAOYSA-N 1 2 316.467 1.332 20 30 DDEDLO COCCc1noc(C[N@H+](C)CCOc2ccc(C#N)cc2)n1 ZINC000151123902 408262412 /nfs/dbraw/zinc/26/24/12/408262412.db2.gz DGQVGGTXJUAOMF-UHFFFAOYSA-N 1 2 316.361 1.641 20 30 DDEDLO COCCc1noc(C[N@@H+](C)CCOc2ccc(C#N)cc2)n1 ZINC000151123902 408262418 /nfs/dbraw/zinc/26/24/18/408262418.db2.gz DGQVGGTXJUAOMF-UHFFFAOYSA-N 1 2 316.361 1.641 20 30 DDEDLO C=CC[N@@H+](C)CC(=O)Nc1cc(Cl)ccc1-n1cncn1 ZINC000121477452 408221039 /nfs/dbraw/zinc/22/10/39/408221039.db2.gz FABKJCFJBZOSBF-UHFFFAOYSA-N 1 2 305.769 1.977 20 30 DDEDLO C=CC[N@H+](C)CC(=O)Nc1cc(Cl)ccc1-n1cncn1 ZINC000121477452 408221048 /nfs/dbraw/zinc/22/10/48/408221048.db2.gz FABKJCFJBZOSBF-UHFFFAOYSA-N 1 2 305.769 1.977 20 30 DDEDLO CC(C)C(=O)NCC[N@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000157350326 408300613 /nfs/dbraw/zinc/30/06/13/408300613.db2.gz HXERYNPPTUULTG-UHFFFAOYSA-N 1 2 308.426 1.033 20 30 DDEDLO CC(C)C(=O)NCC[N@@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000157350326 408300622 /nfs/dbraw/zinc/30/06/22/408300622.db2.gz HXERYNPPTUULTG-UHFFFAOYSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCCC[N@H+](CC(N)=O)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000183025467 408309365 /nfs/dbraw/zinc/30/93/65/408309365.db2.gz XJGKCFSVXPRFPS-UHFFFAOYSA-N 1 2 320.393 1.684 20 30 DDEDLO CCCCC[N@@H+](CC(N)=O)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000183025467 408309367 /nfs/dbraw/zinc/30/93/67/408309367.db2.gz XJGKCFSVXPRFPS-UHFFFAOYSA-N 1 2 320.393 1.684 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)c3cccnc3)CC2)cc1C#N ZINC000191156711 408378721 /nfs/dbraw/zinc/37/87/21/408378721.db2.gz CTQWHJYYUHZUIX-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2cccc(F)c2F)CC1 ZINC000265167763 408565587 /nfs/dbraw/zinc/56/55/87/408565587.db2.gz AKJIFHCJRVRNTJ-GFCCVEGCSA-N 1 2 307.344 1.225 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000275630653 408624991 /nfs/dbraw/zinc/62/49/91/408624991.db2.gz CGGQDGVAUBUWJT-LBPRGKRZSA-N 1 2 311.345 1.429 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@@H](N3CCCC3=O)C2)c1 ZINC000270824904 408697207 /nfs/dbraw/zinc/69/72/07/408697207.db2.gz BSBCAZFENLBNPM-OAHLLOKOSA-N 1 2 312.373 1.193 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CC[C@@H](N3CCCC3=O)C2)c1 ZINC000270824904 408697210 /nfs/dbraw/zinc/69/72/10/408697210.db2.gz BSBCAZFENLBNPM-OAHLLOKOSA-N 1 2 312.373 1.193 20 30 DDEDLO C#CCCCCNC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000185039648 408745676 /nfs/dbraw/zinc/74/56/76/408745676.db2.gz PEMUPTIJULNJTA-UHFFFAOYSA-N 1 2 301.394 1.420 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)NCC#N)c1nc(-c2cccc(F)c2)no1 ZINC000185075114 408751796 /nfs/dbraw/zinc/75/17/96/408751796.db2.gz LECQARQLLMZZMQ-SECBINFHSA-N 1 2 303.297 1.166 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)Nc2cc(C)no2)C1=O ZINC000281430810 408885498 /nfs/dbraw/zinc/88/54/98/408885498.db2.gz SKGMBXIICGYFLZ-VXGBXAGGSA-N 1 2 306.366 1.029 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)Nc2cc(C)no2)C1=O ZINC000281430810 408885500 /nfs/dbraw/zinc/88/55/00/408885500.db2.gz SKGMBXIICGYFLZ-VXGBXAGGSA-N 1 2 306.366 1.029 20 30 DDEDLO COc1ccccc1-n1nccc1C[NH+]1CCN(CC#N)CC1 ZINC000281047445 408870108 /nfs/dbraw/zinc/87/01/08/408870108.db2.gz HOGQUONOSZBZHR-UHFFFAOYSA-N 1 2 311.389 1.522 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)N1CC(C)(C#N)C1 ZINC000286006661 408906000 /nfs/dbraw/zinc/90/60/00/408906000.db2.gz QCIXPBRFRHNNEM-UHFFFAOYSA-N 1 2 315.377 1.604 20 30 DDEDLO Cc1ccc2ncc(C#N)c(N3CC[NH+](CC(N)=O)CC3)c2c1 ZINC000291565419 408886333 /nfs/dbraw/zinc/88/63/33/408886333.db2.gz DAYWDNXCZYGZIZ-UHFFFAOYSA-N 1 2 309.373 1.022 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[S@@](=O)C[C@H](C)C1 ZINC000277458299 408945050 /nfs/dbraw/zinc/94/50/50/408945050.db2.gz MICSMNHITQFKII-MCMMXHMISA-N 1 2 322.430 1.646 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[S@@](=O)C[C@H](C)C1 ZINC000277458299 408945053 /nfs/dbraw/zinc/94/50/53/408945053.db2.gz MICSMNHITQFKII-MCMMXHMISA-N 1 2 322.430 1.646 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2cccc(C)c2C)C1=O ZINC000281985419 408966789 /nfs/dbraw/zinc/96/67/89/408966789.db2.gz MKEFDWNTJCPHAH-MRXNPFEDSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2cccc(C)c2C)C1=O ZINC000281985419 408966792 /nfs/dbraw/zinc/96/67/92/408966792.db2.gz MKEFDWNTJCPHAH-MRXNPFEDSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3cncc(F)c3)CC2)C1=O ZINC000282110740 408993298 /nfs/dbraw/zinc/99/32/98/408993298.db2.gz WYZRJZPJSUJCSO-HNNXBMFYSA-N 1 2 304.369 1.130 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCc2nnc3n2CCCC3)cc1 ZINC000278210380 409077197 /nfs/dbraw/zinc/07/71/97/409077197.db2.gz GYJOPDGOPBMOCS-UHFFFAOYSA-N 1 2 315.377 1.655 20 30 DDEDLO CC(C)(C#N)CC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000278193186 409078138 /nfs/dbraw/zinc/07/81/38/409078138.db2.gz FARUDXBFZCXISF-MRXNPFEDSA-N 1 2 315.417 1.943 20 30 DDEDLO CC(C)(C#N)CC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000278193186 409078139 /nfs/dbraw/zinc/07/81/39/409078139.db2.gz FARUDXBFZCXISF-MRXNPFEDSA-N 1 2 315.417 1.943 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccc(C#N)cc1 ZINC000278868936 409104163 /nfs/dbraw/zinc/10/41/63/409104163.db2.gz NKZSXBAIHKWLCB-CYBMUJFWSA-N 1 2 302.378 1.743 20 30 DDEDLO CCN(CC1CCN(c2cccc[nH+]2)CC1)C(=O)/C=N/OC ZINC000283600566 409183153 /nfs/dbraw/zinc/18/31/53/409183153.db2.gz YHTWZOKSPMWMKU-LDADJPATSA-N 1 2 304.394 1.779 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@H](C)C(=O)N(CC=C)CC=C)n1 ZINC000279750713 409247829 /nfs/dbraw/zinc/24/78/29/409247829.db2.gz QAYHQTFQHPQUGW-MRXNPFEDSA-N 1 2 314.433 1.927 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@H](C)C(=O)N(CC=C)CC=C)n1 ZINC000279750713 409247830 /nfs/dbraw/zinc/24/78/30/409247830.db2.gz QAYHQTFQHPQUGW-MRXNPFEDSA-N 1 2 314.433 1.927 20 30 DDEDLO Cc1ccc(F)cc1NC(=O)[C@H](C)O[NH+]=C(N)[C@H]1CCCO1 ZINC000284039404 409262784 /nfs/dbraw/zinc/26/27/84/409262784.db2.gz IWWXYXMRJNCOMY-GXFFZTMASA-N 1 2 309.341 1.929 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000294451727 409290550 /nfs/dbraw/zinc/29/05/50/409290550.db2.gz OXSYCQJYKHGAMU-NVXWUHKLSA-N 1 2 316.401 1.042 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000294451727 409290551 /nfs/dbraw/zinc/29/05/51/409290551.db2.gz OXSYCQJYKHGAMU-NVXWUHKLSA-N 1 2 316.401 1.042 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCC[N@@H+]1CCc2sccc2C1 ZINC000284951133 409371242 /nfs/dbraw/zinc/37/12/42/409371242.db2.gz JVOPNYXJFVHNRI-UHFFFAOYSA-N 1 2 321.446 1.305 20 30 DDEDLO C=CCCCNC(=O)C(=O)NCC[N@H+]1CCc2sccc2C1 ZINC000284951133 409371247 /nfs/dbraw/zinc/37/12/47/409371247.db2.gz JVOPNYXJFVHNRI-UHFFFAOYSA-N 1 2 321.446 1.305 20 30 DDEDLO CN(C)[C@H](C(=O)N(C)Cc1ccc(C#N)cc1)c1c[nH+]cn1C ZINC000280667144 409401138 /nfs/dbraw/zinc/40/11/38/409401138.db2.gz BDUKBRYKHFYGRM-INIZCTEOSA-N 1 2 311.389 1.553 20 30 DDEDLO CC(=O)c1ccc(NC(=O)[C@H](C)O[NH+]=C(N)[C@@H]2CCCO2)cc1 ZINC000284406338 409341448 /nfs/dbraw/zinc/34/14/48/409341448.db2.gz NIZYFXOBYUCBFK-FZMZJTMJSA-N 1 2 319.361 1.684 20 30 DDEDLO CC(C)[C@H](NS(=O)(=O)c1ccccc1C#N)c1[nH]cc[nH+]1 ZINC000295152510 409344361 /nfs/dbraw/zinc/34/43/61/409344361.db2.gz AIWFAJRPECZXKV-ZDUSSCGKSA-N 1 2 304.375 1.957 20 30 DDEDLO CC1(C)C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@@H]1O ZINC000320056324 164040420 /nfs/dbraw/zinc/04/04/20/164040420.db2.gz VOBYPQMMPZOSME-HNNXBMFYSA-N 1 2 322.430 1.425 20 30 DDEDLO CC1(C)C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CC[C@@H]1O ZINC000320056324 164040422 /nfs/dbraw/zinc/04/04/22/164040422.db2.gz VOBYPQMMPZOSME-HNNXBMFYSA-N 1 2 322.430 1.425 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2cccnc2C#N)C[C@@H]1C ZINC000408376943 164312125 /nfs/dbraw/zinc/31/21/25/164312125.db2.gz VDJXXFYQGORJAB-RYUDHWBXSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2cccnc2C#N)C[C@@H]1C ZINC000408376943 164312128 /nfs/dbraw/zinc/31/21/28/164312128.db2.gz VDJXXFYQGORJAB-RYUDHWBXSA-N 1 2 308.407 1.056 20 30 DDEDLO C[C@@H]1CC[S@@](=O)CC[N@@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000332045510 409832538 /nfs/dbraw/zinc/83/25/38/409832538.db2.gz WLBSNGNUPUGAGT-QOAZOABKSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[S@@](=O)CC[N@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000332045510 409832546 /nfs/dbraw/zinc/83/25/46/409832546.db2.gz WLBSNGNUPUGAGT-QOAZOABKSA-N 1 2 322.430 1.141 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@@H+](CC(=O)NCC)CC2)CC1 ZINC000354378184 409809831 /nfs/dbraw/zinc/80/98/31/409809831.db2.gz GIENNEMUIZLXCU-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@H+](CC(=O)NCC)CC2)CC1 ZINC000354378184 409809840 /nfs/dbraw/zinc/80/98/40/409809840.db2.gz GIENNEMUIZLXCU-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C(C)COCCNc1nc2cc(OC)c(OC)cc2c(N)[nH+]1 ZINC000354386906 409817279 /nfs/dbraw/zinc/81/72/79/409817279.db2.gz KYZZRXCDENGMRC-UHFFFAOYSA-N 1 2 318.377 1.656 20 30 DDEDLO CCC[N@H+](Cc1cccc(C#N)c1F)[C@H]1CC(=O)N(C)C1=O ZINC000338329747 409915825 /nfs/dbraw/zinc/91/58/25/409915825.db2.gz DDESHIDZEBFZMX-ZDUSSCGKSA-N 1 2 303.337 1.667 20 30 DDEDLO CCC[N@@H+](Cc1cccc(C#N)c1F)[C@H]1CC(=O)N(C)C1=O ZINC000338329747 409915828 /nfs/dbraw/zinc/91/58/28/409915828.db2.gz DDESHIDZEBFZMX-ZDUSSCGKSA-N 1 2 303.337 1.667 20 30 DDEDLO O=C(N[C@H]1CCC(=O)NC12CCC2)N1CCn2cc[nH+]c2C1 ZINC000328701958 409955601 /nfs/dbraw/zinc/95/56/01/409955601.db2.gz NORPBBLOWGPHSY-NSHDSACASA-N 1 2 303.366 1.654 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CCC(=O)NC12CCC2 ZINC000328712917 409959139 /nfs/dbraw/zinc/95/91/39/409959139.db2.gz BTWUKHIAMDHCID-OLZOCXBDSA-N 1 2 324.425 1.252 20 30 DDEDLO C[C@H](CNC(=O)N[C@@H]1CCC(=O)NC12CCC2)[NH+]1CCOCC1 ZINC000328710484 409960281 /nfs/dbraw/zinc/96/02/81/409960281.db2.gz AURFJRKXKGOKSO-CHWSQXEVSA-N 1 2 324.425 1.252 20 30 DDEDLO CCC(=O)N1CC[C@H](NC(=O)N2CC[C@H](c3[nH+]ccn3C)C2)C1 ZINC000328775610 409975696 /nfs/dbraw/zinc/97/56/96/409975696.db2.gz CJQWUBQOIKNIGY-STQMWFEESA-N 1 2 319.409 1.134 20 30 DDEDLO C=CCOCC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000354644191 409990520 /nfs/dbraw/zinc/99/05/20/409990520.db2.gz JMZPYHUQWAUKNF-ZDUSSCGKSA-N 1 2 305.378 1.126 20 30 DDEDLO C[C@H](NC(=O)C1CCCC1)C(=O)N(C)CC[NH+]1CCOCC1 ZINC000328870895 409997877 /nfs/dbraw/zinc/99/78/77/409997877.db2.gz PVDOFUZSURFROW-ZDUSSCGKSA-N 1 2 311.426 1.312 20 30 DDEDLO COCCC1(CNC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)CC1 ZINC000328889824 410003721 /nfs/dbraw/zinc/00/37/21/410003721.db2.gz RFGTVTAPBUKRST-KBPBESRZSA-N 1 2 311.426 1.122 20 30 DDEDLO COCCC1(CNC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)CC1 ZINC000328889824 410003727 /nfs/dbraw/zinc/00/37/27/410003727.db2.gz RFGTVTAPBUKRST-KBPBESRZSA-N 1 2 311.426 1.122 20 30 DDEDLO Cn1cc(C[NH+]2CCC(NC(=O)Nc3ccccc3)CC2)nn1 ZINC000328906021 410005512 /nfs/dbraw/zinc/00/55/12/410005512.db2.gz KKSDPTVSLNXGCE-UHFFFAOYSA-N 1 2 314.393 1.615 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2C[C@H](C)[N@@H+](C)C[C@H]2C)c1C#N ZINC000350872704 410006783 /nfs/dbraw/zinc/00/67/83/410006783.db2.gz WHKPPFBYTRTNDY-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2C[C@H](C)[N@H+](C)C[C@H]2C)c1C#N ZINC000350872704 410006789 /nfs/dbraw/zinc/00/67/89/410006789.db2.gz WHKPPFBYTRTNDY-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)c1C ZINC000329054573 410076531 /nfs/dbraw/zinc/07/65/31/410076531.db2.gz SDBAHDOTQXIMGU-KBPBESRZSA-N 1 2 321.425 1.470 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)c1C ZINC000329054573 410076535 /nfs/dbraw/zinc/07/65/35/410076535.db2.gz SDBAHDOTQXIMGU-KBPBESRZSA-N 1 2 321.425 1.470 20 30 DDEDLO Cc1ccc2[nH+]c(CNc3nccc(C#N)c3[N+](=O)[O-])cn2c1 ZINC000357523805 410082479 /nfs/dbraw/zinc/08/24/79/410082479.db2.gz CIMWAGKEEXPDBN-UHFFFAOYSA-N 1 2 308.301 2.430 20 30 DDEDLO O=C(NCc1nnc2n1CCCC2)C1([NH+]2CCOCC2)CCC1 ZINC000329079762 410089214 /nfs/dbraw/zinc/08/92/14/410089214.db2.gz CCVNMALUBDXMHX-UHFFFAOYSA-N 1 2 319.409 1.326 20 30 DDEDLO C[C@H]1CC[N@H+](CC(=O)Nc2ccccc2SCC#N)C[C@H]1O ZINC000357576135 410114836 /nfs/dbraw/zinc/11/48/36/410114836.db2.gz ZBAUBEBECDTYHZ-GXTWGEPZSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@H]1CC[N@@H+](CC(=O)Nc2ccccc2SCC#N)C[C@H]1O ZINC000357576135 410114842 /nfs/dbraw/zinc/11/48/42/410114842.db2.gz ZBAUBEBECDTYHZ-GXTWGEPZSA-N 1 2 319.430 1.943 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3cccnc3C)CC2)c1C#N ZINC000351633017 410122595 /nfs/dbraw/zinc/12/25/95/410122595.db2.gz YBTNNAQNRVKUAS-UHFFFAOYSA-N 1 2 310.405 1.626 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@@H]2CNc2cccc(C#N)n2)cn1 ZINC000357620833 410140196 /nfs/dbraw/zinc/14/01/96/410140196.db2.gz WONMMMSEDNCXKX-DZGCQCFKSA-N 1 2 314.368 1.711 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@@H]2CNc2cccc(C#N)n2)cn1 ZINC000357620833 410140200 /nfs/dbraw/zinc/14/02/00/410140200.db2.gz WONMMMSEDNCXKX-DZGCQCFKSA-N 1 2 314.368 1.711 20 30 DDEDLO C#CC[NH+](CC#C)[C@H](C)C(=O)N(C)Cc1ccc(OC)c(F)c1 ZINC000298337905 410186422 /nfs/dbraw/zinc/18/64/22/410186422.db2.gz AFMMYUJFBLAVTB-CQSZACIVSA-N 1 2 316.376 1.750 20 30 DDEDLO C[C@H](CNC(=O)Cc1n[nH]c2ccccc12)[NH+]1CCN(C)CC1 ZINC000329255698 410193400 /nfs/dbraw/zinc/19/34/00/410193400.db2.gz BJGQXATUEYXGTN-CYBMUJFWSA-N 1 2 315.421 1.698 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC000298548036 410282404 /nfs/dbraw/zinc/28/24/04/410282404.db2.gz DVXAWRHJSYHZSS-CYBMUJFWSA-N 1 2 310.394 1.954 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC000298548036 410282408 /nfs/dbraw/zinc/28/24/08/410282408.db2.gz DVXAWRHJSYHZSS-CYBMUJFWSA-N 1 2 310.394 1.954 20 30 DDEDLO Cn1[nH]c(C[NH+]2CCC(NC(=O)CC3CCCC3)CC2)nc1=O ZINC000329302376 410220317 /nfs/dbraw/zinc/22/03/17/410220317.db2.gz WTKMRLKLMGOULG-UHFFFAOYSA-N 1 2 321.425 1.610 20 30 DDEDLO CC(C)N1C[C@H](NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)CC1=O ZINC000329428446 410293634 /nfs/dbraw/zinc/29/36/34/410293634.db2.gz JOISEJKXJZQUHL-HIFRSBDPSA-N 1 2 323.437 1.596 20 30 DDEDLO CC(C)N1C[C@H](NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)CC1=O ZINC000329428446 410293639 /nfs/dbraw/zinc/29/36/39/410293639.db2.gz JOISEJKXJZQUHL-HIFRSBDPSA-N 1 2 323.437 1.596 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N[C@@H]1CCCCC[C@H]1O ZINC000329469424 410314876 /nfs/dbraw/zinc/31/48/76/410314876.db2.gz YALXUIVUUMMVNQ-BFHYXJOUSA-N 1 2 306.410 1.958 20 30 DDEDLO Cc1cn2cc(NC(=O)N3C[C@@H]4CC[C@@H](O)[C@H]4C3)ccc2[nH+]1 ZINC000329564476 410363079 /nfs/dbraw/zinc/36/30/79/410363079.db2.gz NXIRGEZYZIRVRZ-FPMFFAJLSA-N 1 2 300.362 1.891 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc4scnc4c3)C[C@@H]21 ZINC000329944869 410491891 /nfs/dbraw/zinc/49/18/91/410491891.db2.gz WZLPEMQUMUCBOB-QWHCGFSZSA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc4scnc4c3)C[C@@H]21 ZINC000329944869 410491898 /nfs/dbraw/zinc/49/18/98/410491898.db2.gz WZLPEMQUMUCBOB-QWHCGFSZSA-N 1 2 318.402 1.857 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)N2CCCC[C@H]2CC)C1=O ZINC000337234728 410667635 /nfs/dbraw/zinc/66/76/35/410667635.db2.gz XCWNPEGCGCMCFB-XHSDSOJGSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)N2CCCC[C@H]2CC)C1=O ZINC000337234728 410667641 /nfs/dbraw/zinc/66/76/41/410667641.db2.gz XCWNPEGCGCMCFB-XHSDSOJGSA-N 1 2 321.465 1.885 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000330409770 410672716 /nfs/dbraw/zinc/67/27/16/410672716.db2.gz KJRLJSAOYVMWAG-AWEZNQCLSA-N 1 2 314.433 1.983 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@@H](C(F)(F)F)[C@H](CO)C2)CCC1 ZINC000352676493 410674246 /nfs/dbraw/zinc/67/42/46/410674246.db2.gz TXKWVGZRYVTALQ-WDEREUQCSA-N 1 2 319.327 1.042 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@@H](C(F)(F)F)[C@H](CO)C2)CCC1 ZINC000352676493 410674251 /nfs/dbraw/zinc/67/42/51/410674251.db2.gz TXKWVGZRYVTALQ-WDEREUQCSA-N 1 2 319.327 1.042 20 30 DDEDLO CC[C@H](C(=O)OC)N1CC[NH+](Cc2ccc(C#N)cc2F)CC1 ZINC000352669276 410674382 /nfs/dbraw/zinc/67/43/82/410674382.db2.gz YKPPUFHFAJHGFY-MRXNPFEDSA-N 1 2 319.380 1.767 20 30 DDEDLO C=C(C)C[N@H+](Cc1ccc(CO)o1)[C@H](C)C(=O)NC(=O)NCC ZINC000352795232 410678558 /nfs/dbraw/zinc/67/85/58/410678558.db2.gz CUNBBQPGHDMWMW-GFCCVEGCSA-N 1 2 323.393 1.384 20 30 DDEDLO C=C(C)C[N@@H+](Cc1ccc(CO)o1)[C@H](C)C(=O)NC(=O)NCC ZINC000352795232 410678567 /nfs/dbraw/zinc/67/85/67/410678567.db2.gz CUNBBQPGHDMWMW-GFCCVEGCSA-N 1 2 323.393 1.384 20 30 DDEDLO CS(=O)(=O)NCC[N@H+](Cc1ccc(C#N)cc1F)C1CC1 ZINC000340217596 410762804 /nfs/dbraw/zinc/76/28/04/410762804.db2.gz MSBUVTABYAWICT-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO CS(=O)(=O)NCC[N@@H+](Cc1ccc(C#N)cc1F)C1CC1 ZINC000340217596 410762810 /nfs/dbraw/zinc/76/28/10/410762810.db2.gz MSBUVTABYAWICT-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](C[C@@H](O)Cn3cccn3)CCO2)c1 ZINC000341813545 411059729 /nfs/dbraw/zinc/05/97/29/411059729.db2.gz KKTWKRFMSXCOJE-SJORKVTESA-N 1 2 312.373 1.189 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](C[C@@H](O)Cn3cccn3)CCO2)c1 ZINC000341813545 411059734 /nfs/dbraw/zinc/05/97/34/411059734.db2.gz KKTWKRFMSXCOJE-SJORKVTESA-N 1 2 312.373 1.189 20 30 DDEDLO CC(=O)[C@](C)(O)C[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000331293630 411063368 /nfs/dbraw/zinc/06/33/68/411063368.db2.gz BYCZKDAKPPDOOW-MRXNPFEDSA-N 1 2 305.353 1.159 20 30 DDEDLO CCOC(=O)C[C@@H]1C[C@H](OC)C[N@@H+]1Cc1nc(C#N)cs1 ZINC000356433863 411031101 /nfs/dbraw/zinc/03/11/01/411031101.db2.gz VEYAURWNCQWUPT-RYUDHWBXSA-N 1 2 309.391 1.557 20 30 DDEDLO CCOC(=O)C[C@@H]1C[C@H](OC)C[N@H+]1Cc1nc(C#N)cs1 ZINC000356433863 411031107 /nfs/dbraw/zinc/03/11/07/411031107.db2.gz VEYAURWNCQWUPT-RYUDHWBXSA-N 1 2 309.391 1.557 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@H](OCC[NH+]3CCOCC3)C2)CC1 ZINC000356443296 411033554 /nfs/dbraw/zinc/03/35/54/411033554.db2.gz ZSRLUJMTQXDEMO-INIZCTEOSA-N 1 2 322.449 1.683 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)N1CCC[C@@H](CN2CC[NH+](C)CC2)C1 ZINC000367206250 287381625 /nfs/dbraw/zinc/38/16/25/287381625.db2.gz OXROJWIFENSAGR-RDJZCZTQSA-N 1 2 306.454 1.412 20 30 DDEDLO C#CCOCCC(=O)N1CCC[C@@H](c2[nH+]cc3n2CCCC3)C1 ZINC000374400587 418526366 /nfs/dbraw/zinc/52/63/66/418526366.db2.gz GYJWDFLWTHMFON-OAHLLOKOSA-N 1 2 315.417 1.965 20 30 DDEDLO C=CCCOCCNC(=O)NCc1ccnc(-n2cc[nH+]c2)c1 ZINC000366920517 418537141 /nfs/dbraw/zinc/53/71/41/418537141.db2.gz GHRKAYCFGNRTRP-UHFFFAOYSA-N 1 2 315.377 1.659 20 30 DDEDLO O=S(=O)([C@H]1CC[N@H+](CC#Cc2ccccc2)C1)N1CCCC1 ZINC000192078408 222119593 /nfs/dbraw/zinc/11/95/93/222119593.db2.gz YOHSBDQKTDTNMH-KRWDZBQOSA-N 1 2 318.442 1.538 20 30 DDEDLO O=S(=O)([C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)N1CCCC1 ZINC000192078408 222119596 /nfs/dbraw/zinc/11/95/96/222119596.db2.gz YOHSBDQKTDTNMH-KRWDZBQOSA-N 1 2 318.442 1.538 20 30 DDEDLO C[C@@H](NC(=O)c1cccc(CC#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000264205218 222329575 /nfs/dbraw/zinc/32/95/75/222329575.db2.gz FBAFNMIEOXAKRE-KGLIPLIRSA-N 1 2 301.390 1.592 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N(C)CC[NH+]1CCOCC1 ZINC000328600796 418605726 /nfs/dbraw/zinc/60/57/26/418605726.db2.gz DHDXBNPVKONCPR-CABCVRRESA-N 1 2 311.426 1.146 20 30 DDEDLO C=CCNC(=O)c1ccc(NCCc2[nH]c3ccccc3[nH+]2)nc1 ZINC000338639159 418620366 /nfs/dbraw/zinc/62/03/66/418620366.db2.gz MZBGWDKFUPUXPI-UHFFFAOYSA-N 1 2 321.384 2.528 20 30 DDEDLO C=CCNc1nnc(SCC[N@@H+]2CCO[C@@H](C)C2)s1 ZINC000340592998 418623580 /nfs/dbraw/zinc/62/35/80/418623580.db2.gz CZFYLDVGYLXUCT-JTQLQIEISA-N 1 2 300.453 1.949 20 30 DDEDLO C=CCNc1nnc(SCC[N@H+]2CCO[C@@H](C)C2)s1 ZINC000340592998 418623583 /nfs/dbraw/zinc/62/35/83/418623583.db2.gz CZFYLDVGYLXUCT-JTQLQIEISA-N 1 2 300.453 1.949 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNc1cc(NCCCC)[nH+]c(N)n1 ZINC000343047057 418630239 /nfs/dbraw/zinc/63/02/39/418630239.db2.gz VJGDBVZXPJZYLX-DOMZBBRYSA-N 1 2 305.426 2.086 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNc1cc(NCCCC)nc(N)[nH+]1 ZINC000343047057 418630245 /nfs/dbraw/zinc/63/02/45/418630245.db2.gz VJGDBVZXPJZYLX-DOMZBBRYSA-N 1 2 305.426 2.086 20 30 DDEDLO CC(C)CCN(CCC#N)N1C[C@@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000377064849 418705242 /nfs/dbraw/zinc/70/52/42/418705242.db2.gz WPQIPRRZYGNCNR-IRXDYDNUSA-N 1 2 308.470 1.958 20 30 DDEDLO COc1cc(C[N@@H+]2CCc3ncnc(N(C)C)c3C2)ccc1C#N ZINC000377111485 418706234 /nfs/dbraw/zinc/70/62/34/418706234.db2.gz HTBAZIZDWOAGAA-UHFFFAOYSA-N 1 2 323.400 1.981 20 30 DDEDLO COc1cc(C[N@H+]2CCc3ncnc(N(C)C)c3C2)ccc1C#N ZINC000377111485 418706236 /nfs/dbraw/zinc/70/62/36/418706236.db2.gz HTBAZIZDWOAGAA-UHFFFAOYSA-N 1 2 323.400 1.981 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1Cc2ccccc2OC(C)(C)C1 ZINC000377543597 418710822 /nfs/dbraw/zinc/71/08/22/418710822.db2.gz MXOUAKNBTCTFBX-UHFFFAOYSA-N 1 2 317.389 1.671 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1Cc2ccccc2OC(C)(C)C1 ZINC000377543597 418710825 /nfs/dbraw/zinc/71/08/25/418710825.db2.gz MXOUAKNBTCTFBX-UHFFFAOYSA-N 1 2 317.389 1.671 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)Nc1cnn(-c2ncccc2C#N)c1 ZINC000377870508 418715847 /nfs/dbraw/zinc/71/58/47/418715847.db2.gz UYZMQZRRWKTUTE-UHFFFAOYSA-N 1 2 322.332 1.194 20 30 DDEDLO C=CCSCCNC(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000376353818 418696193 /nfs/dbraw/zinc/69/61/93/418696193.db2.gz ROMHJOKWVIZYKV-KGLIPLIRSA-N 1 2 313.467 1.410 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCC1(CC#N)CC1 ZINC000376409896 418697037 /nfs/dbraw/zinc/69/70/37/418697037.db2.gz AUQFZAOGQOTIMM-UONOGXRCSA-N 1 2 306.410 1.185 20 30 DDEDLO CCc1nc(Nc2cnn(-c3ncccc3C#N)c2)cc(C)[nH+]1 ZINC000376459965 418697711 /nfs/dbraw/zinc/69/77/11/418697711.db2.gz ICCPZRQNCBTWMV-UHFFFAOYSA-N 1 2 305.345 2.543 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)CC[N@@H+]1CCOc1cccc(C#N)c1 ZINC000376879235 418702567 /nfs/dbraw/zinc/70/25/67/418702567.db2.gz CGIPAMRLFLAYBG-GDBMZVCRSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)CC[N@H+]1CCOc1cccc(C#N)c1 ZINC000376879235 418702570 /nfs/dbraw/zinc/70/25/70/418702570.db2.gz CGIPAMRLFLAYBG-GDBMZVCRSA-N 1 2 318.373 1.589 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCC(C)(C)CCC#N ZINC000377040143 418704620 /nfs/dbraw/zinc/70/46/20/418704620.db2.gz BBHLJWJOPDFJHA-LSDHHAIUSA-N 1 2 322.453 1.821 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CC[NH+](C2(C(N)=O)CCCC2)CC1 ZINC000370011612 418740097 /nfs/dbraw/zinc/74/00/97/418740097.db2.gz RYJHZLKHFPANEN-AWEZNQCLSA-N 1 2 322.453 1.466 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000363155916 418763304 /nfs/dbraw/zinc/76/33/04/418763304.db2.gz ZKJLVXFRMRHDJE-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000363155916 418763306 /nfs/dbraw/zinc/76/33/06/418763306.db2.gz ZKJLVXFRMRHDJE-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CN1CC[N@H+](CCNc2ccncc2C#N)[C@H](c2ccccc2)C1 ZINC000364318245 418775498 /nfs/dbraw/zinc/77/54/98/418775498.db2.gz ZKFVHEXCVIPAGI-IBGZPJMESA-N 1 2 321.428 1.776 20 30 DDEDLO CN1CC[N@@H+](CCNc2ccncc2C#N)[C@H](c2ccccc2)C1 ZINC000364318245 418775501 /nfs/dbraw/zinc/77/55/01/418775501.db2.gz ZKFVHEXCVIPAGI-IBGZPJMESA-N 1 2 321.428 1.776 20 30 DDEDLO C#C[C@H](C)NC(=O)N1CCC[C@@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000378491971 418724829 /nfs/dbraw/zinc/72/48/29/418724829.db2.gz SNXGPLIRTWUZKQ-GXTWGEPZSA-N 1 2 319.409 1.396 20 30 DDEDLO C#C[C@H](C)NC(=O)N1CCC[C@@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000378491971 418724832 /nfs/dbraw/zinc/72/48/32/418724832.db2.gz SNXGPLIRTWUZKQ-GXTWGEPZSA-N 1 2 319.409 1.396 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](C#N)[C@]3(C2)C(=O)Nc2ccccc23)o1 ZINC000371461288 418793782 /nfs/dbraw/zinc/79/37/82/418793782.db2.gz WUWQSQKSLBLYIN-XIKOKIGWSA-N 1 2 318.336 1.997 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](C#N)[C@]3(C2)C(=O)Nc2ccccc23)o1 ZINC000371461288 418793784 /nfs/dbraw/zinc/79/37/84/418793784.db2.gz WUWQSQKSLBLYIN-XIKOKIGWSA-N 1 2 318.336 1.997 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2cscc2C#N)[C@H](C)C1 ZINC000410669463 418837257 /nfs/dbraw/zinc/83/72/57/418837257.db2.gz OOMQNRWHVMWBIL-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2cscc2C#N)[C@H](C)C1 ZINC000410669463 418837260 /nfs/dbraw/zinc/83/72/60/418837260.db2.gz OOMQNRWHVMWBIL-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO N#CCC1(O)C[NH+](C[C@H](O)Cc2ccc(C(F)(F)F)cc2)C1 ZINC000424134663 228232899 /nfs/dbraw/zinc/23/28/99/228232899.db2.gz LBAVWUAPDYTNGK-CYBMUJFWSA-N 1 2 314.307 1.569 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCc3ccc(C#N)s3)C[C@H]21 ZINC000420890052 419343887 /nfs/dbraw/zinc/34/38/87/419343887.db2.gz PTSLUXZFYOFJBP-ZIAGYGMSSA-N 1 2 320.418 1.234 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCc3ccc(C#N)s3)C[C@H]21 ZINC000420890052 419343892 /nfs/dbraw/zinc/34/38/92/419343892.db2.gz PTSLUXZFYOFJBP-ZIAGYGMSSA-N 1 2 320.418 1.234 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000411590284 419354579 /nfs/dbraw/zinc/35/45/79/419354579.db2.gz UHCRHECEVKJIKS-KGLIPLIRSA-N 1 2 317.389 1.795 20 30 DDEDLO Cc1cc(NC[C@H](O)COc2ccc(F)cc2)c(C#N)c[nH+]1 ZINC000425205530 228390309 /nfs/dbraw/zinc/39/03/09/228390309.db2.gz BPPYLHMLEKRWJF-AWEZNQCLSA-N 1 2 301.321 1.675 20 30 DDEDLO CC(C)[C@@H](C(=O)OC(C)(C)C)N1CC[C@H]([NH2+]CCC#N)C1=O ZINC000411626806 419365963 /nfs/dbraw/zinc/36/59/63/419365963.db2.gz XIYOMDDOCXZYPL-STQMWFEESA-N 1 2 309.410 1.457 20 30 DDEDLO CC(=O)N[C@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000420989195 419423847 /nfs/dbraw/zinc/42/38/47/419423847.db2.gz RPLVEZGHQJTJJS-GWCFXTLKSA-N 1 2 318.377 1.306 20 30 DDEDLO CC(=O)N[C@H]1CC[N@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000420989195 419423853 /nfs/dbraw/zinc/42/38/53/419423853.db2.gz RPLVEZGHQJTJJS-GWCFXTLKSA-N 1 2 318.377 1.306 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)[nH]1 ZINC000412024904 419570966 /nfs/dbraw/zinc/57/09/66/419570966.db2.gz GCPUKGRRKDGOFQ-AXAPSJFSSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)[nH]1 ZINC000412024904 419570971 /nfs/dbraw/zinc/57/09/71/419570971.db2.gz GCPUKGRRKDGOFQ-AXAPSJFSSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000412024904 419570976 /nfs/dbraw/zinc/57/09/76/419570976.db2.gz GCPUKGRRKDGOFQ-AXAPSJFSSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000412024904 419570980 /nfs/dbraw/zinc/57/09/80/419570980.db2.gz GCPUKGRRKDGOFQ-AXAPSJFSSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000412024904 419570982 /nfs/dbraw/zinc/57/09/82/419570982.db2.gz GCPUKGRRKDGOFQ-AXAPSJFSSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000412024904 419570986 /nfs/dbraw/zinc/57/09/86/419570986.db2.gz GCPUKGRRKDGOFQ-AXAPSJFSSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000427054851 419584247 /nfs/dbraw/zinc/58/42/47/419584247.db2.gz PMXWWZHANBNTRW-CYBMUJFWSA-N 1 2 323.356 1.133 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)CC(=O)Nc1ccc(C#N)cc1 ZINC000434711787 229324730 /nfs/dbraw/zinc/32/47/30/229324730.db2.gz RQOFLFFZQGKRMF-CQSZACIVSA-N 1 2 316.405 1.149 20 30 DDEDLO C=CCC1(CNC(=O)C(=O)Nc2ccc(N)[nH+]c2)CCCC1 ZINC000415263683 420000710 /nfs/dbraw/zinc/00/07/10/420000710.db2.gz NLUJPAQJQHWWQF-UHFFFAOYSA-N 1 2 302.378 1.855 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NCc1[nH+]ccn1CCc1ccccc1 ZINC000416458059 420379798 /nfs/dbraw/zinc/37/97/98/420379798.db2.gz PFGNIHTWWXHDIT-ZDUSSCGKSA-N 1 2 318.402 1.457 20 30 DDEDLO COc1cc(CNc2ccc(N3CCOCC3)c[nH+]2)ccc1C#N ZINC000440808859 420608165 /nfs/dbraw/zinc/60/81/65/420608165.db2.gz LMIIHESOWJSZPO-UHFFFAOYSA-N 1 2 324.384 2.411 20 30 DDEDLO C=C(CC)CNC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000456813739 420549682 /nfs/dbraw/zinc/54/96/82/420549682.db2.gz RLLZGMRFKMKFCK-UHFFFAOYSA-N 1 2 324.446 1.718 20 30 DDEDLO C=CCOc1cccc(NC(=O)C(=O)N2CC[N@@H+](C)C[C@@H]2C)c1 ZINC000440268611 420565940 /nfs/dbraw/zinc/56/59/40/420565940.db2.gz PMAURKSUFVVNLP-ZDUSSCGKSA-N 1 2 317.389 1.352 20 30 DDEDLO C=CCOc1cccc(NC(=O)C(=O)N2CC[N@H+](C)C[C@@H]2C)c1 ZINC000440268611 420565941 /nfs/dbraw/zinc/56/59/41/420565941.db2.gz PMAURKSUFVVNLP-ZDUSSCGKSA-N 1 2 317.389 1.352 20 30 DDEDLO N#Cc1cccnc1N(CC[NH+]1CCOCC1)Cc1ccco1 ZINC000450944388 420566656 /nfs/dbraw/zinc/56/66/56/420566656.db2.gz UOIJABTWDUQYDW-UHFFFAOYSA-N 1 2 312.373 1.885 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(C(=O)OC(C)(C)C)C[C@H]2C)C1=O ZINC000492818024 420674416 /nfs/dbraw/zinc/67/44/16/420674416.db2.gz GREGVYZIJDSJPF-ZIAGYGMSSA-N 1 2 323.437 1.715 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(C(=O)OC(C)(C)C)C[C@H]2C)C1=O ZINC000492818024 420674421 /nfs/dbraw/zinc/67/44/21/420674421.db2.gz GREGVYZIJDSJPF-ZIAGYGMSSA-N 1 2 323.437 1.715 20 30 DDEDLO N#C[C@@]1(NC(=O)/C=C/c2ccc(-n3cc[nH+]c3)cc2)CCOC1 ZINC000492699310 420633142 /nfs/dbraw/zinc/63/31/42/420633142.db2.gz BJFZHZWKXXRXSM-ZVVFDCPKSA-N 1 2 308.341 1.684 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(CC#Cc2ccccc2Cl)CC1 ZINC000441150280 420635531 /nfs/dbraw/zinc/63/55/31/420635531.db2.gz CVXFADQDSGZTDC-UHFFFAOYSA-N 1 2 319.836 1.573 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3ccc(C#N)cc3)C[C@@H]21 ZINC000492910392 420706723 /nfs/dbraw/zinc/70/67/23/420706723.db2.gz IYZVRSBZGMQQOM-QVOWHETESA-N 1 2 311.385 1.503 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C\c3ccc(C#N)cc3)C[C@@H]21 ZINC000492910392 420706727 /nfs/dbraw/zinc/70/67/27/420706727.db2.gz IYZVRSBZGMQQOM-QVOWHETESA-N 1 2 311.385 1.503 20 30 DDEDLO CC#CCCCC(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000447670929 420792999 /nfs/dbraw/zinc/79/29/99/420792999.db2.gz OTABOYJEEYOTOI-UHFFFAOYSA-N 1 2 313.401 1.662 20 30 DDEDLO CC#CCCCC(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000447670929 420793004 /nfs/dbraw/zinc/79/30/04/420793004.db2.gz OTABOYJEEYOTOI-UHFFFAOYSA-N 1 2 313.401 1.662 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C/c1ccc(F)cc1[N+](=O)[O-] ZINC000493433211 420849357 /nfs/dbraw/zinc/84/93/57/420849357.db2.gz MAHGXQDRVDLIGH-ZKKXHLJNSA-N 1 2 320.324 1.707 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000449838736 421093661 /nfs/dbraw/zinc/09/36/61/421093661.db2.gz ICBZFVPRQAXFMH-UHFFFAOYSA-N 1 2 324.384 1.858 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NCc1ccc(NC(=O)OC)cc1 ZINC000496136980 421138438 /nfs/dbraw/zinc/13/84/38/421138438.db2.gz KWRMVTOYKLXQPR-ZDUSSCGKSA-N 1 2 318.377 1.763 20 30 DDEDLO N#Cc1ccnc(NC[C@@H](c2cccs2)[NH+]2CCOCC2)n1 ZINC000450221254 421165495 /nfs/dbraw/zinc/16/54/95/421165495.db2.gz QEHBNOBFLSSDRG-ZDUSSCGKSA-N 1 2 315.402 1.895 20 30 DDEDLO C=CCCCS(=O)(=O)NCC(C)(C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000489066848 421135427 /nfs/dbraw/zinc/13/54/27/421135427.db2.gz FKIXPJHDNHVPKE-OKILXGFUSA-N 1 2 318.483 1.760 20 30 DDEDLO C=CCCCS(=O)(=O)NCC(C)(C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000489066848 421135430 /nfs/dbraw/zinc/13/54/30/421135430.db2.gz FKIXPJHDNHVPKE-OKILXGFUSA-N 1 2 318.483 1.760 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@]2(C1)CC(=O)c1ccccc1O2 ZINC000491386516 421197425 /nfs/dbraw/zinc/19/74/25/421197425.db2.gz VBIFRSCINYYMPK-ACJLOTCBSA-N 1 2 312.369 1.234 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@]2(C1)CC(=O)c1ccccc1O2 ZINC000491386516 421197428 /nfs/dbraw/zinc/19/74/28/421197428.db2.gz VBIFRSCINYYMPK-ACJLOTCBSA-N 1 2 312.369 1.234 20 30 DDEDLO CN1CC[N@H+](C)C[C@@H]1c1noc(COc2ccccc2C#N)n1 ZINC000546496909 421292794 /nfs/dbraw/zinc/29/27/94/421292794.db2.gz ZWVHDMJSHLTJAP-CYBMUJFWSA-N 1 2 313.361 1.439 20 30 DDEDLO CN1CC[N@@H+](C)C[C@@H]1c1noc(COc2ccccc2C#N)n1 ZINC000546496909 421292798 /nfs/dbraw/zinc/29/27/98/421292798.db2.gz ZWVHDMJSHLTJAP-CYBMUJFWSA-N 1 2 313.361 1.439 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCC([C@@H](O)C(F)(F)F)CC2)CCC1 ZINC000527377603 421383983 /nfs/dbraw/zinc/38/39/83/421383983.db2.gz CBUWDADQSHVGCA-GFCCVEGCSA-N 1 2 319.327 1.184 20 30 DDEDLO Cc1cc(N2CCC[C@H](Nc3cc(C)[nH+]cc3C#N)C2)n(C)n1 ZINC000563019627 421440367 /nfs/dbraw/zinc/44/03/67/421440367.db2.gz IZBNBFWYKZNMGM-HNNXBMFYSA-N 1 2 310.405 1.806 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ccncc3C#N)c[nH+]2)C[C@H](C)O1 ZINC000548481650 421443486 /nfs/dbraw/zinc/44/34/86/421443486.db2.gz JKCAMKNLVKQDCS-OKILXGFUSA-N 1 2 323.400 1.996 20 30 DDEDLO N#Cc1ccc(C2([NH2+]Cc3nnnn3C3CC3)CCOCC2)cc1 ZINC000528784479 421517225 /nfs/dbraw/zinc/51/72/25/421517225.db2.gz UIACUFFFZAXBIO-UHFFFAOYSA-N 1 2 324.388 1.675 20 30 DDEDLO CCNS(=O)(=O)c1cccc(C[NH2+]C[C@H](C#N)CCC#N)c1 ZINC000516966061 421584834 /nfs/dbraw/zinc/58/48/34/421584834.db2.gz SQFMRWCYAHFPGD-AWEZNQCLSA-N 1 2 320.418 1.518 20 30 DDEDLO COC(=O)C[N@H+](Cc1cccc(C#N)c1F)C1CCOCC1 ZINC000552828228 421596043 /nfs/dbraw/zinc/59/60/43/421596043.db2.gz KVRNFBHXTHAKKA-UHFFFAOYSA-N 1 2 306.337 1.851 20 30 DDEDLO COC(=O)C[N@@H+](Cc1cccc(C#N)c1F)C1CCOCC1 ZINC000552828228 421596047 /nfs/dbraw/zinc/59/60/47/421596047.db2.gz KVRNFBHXTHAKKA-UHFFFAOYSA-N 1 2 306.337 1.851 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NCCc1ccc(OC)c(OC)c1 ZINC000568180924 421618905 /nfs/dbraw/zinc/61/89/05/421618905.db2.gz GHYGNXHOMCMGOZ-AWEZNQCLSA-N 1 2 319.405 1.644 20 30 DDEDLO CC[C@@H]1CCCCN1C(=O)[C@@H](C)[NH+]1CCN(C(=O)CC#N)CC1 ZINC000517584482 421620485 /nfs/dbraw/zinc/62/04/85/421620485.db2.gz VKGAKWNPCBMOTE-HUUCEWRRSA-N 1 2 320.437 1.224 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N[C@H]2CCCC[C@@H]2C)C1=O ZINC000532217199 421651433 /nfs/dbraw/zinc/65/14/33/421651433.db2.gz ZYIKBNAMOHAKQE-KKUMJFAQSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N[C@H]2CCCC[C@@H]2C)C1=O ZINC000532217199 421651435 /nfs/dbraw/zinc/65/14/35/421651435.db2.gz ZYIKBNAMOHAKQE-KKUMJFAQSA-N 1 2 307.438 1.400 20 30 DDEDLO CCC[N@H+](CC(=O)N(C)C)Cc1cccc(C(=O)OC)c1C#N ZINC000535223508 421701795 /nfs/dbraw/zinc/70/17/95/421701795.db2.gz ADKMNMJDMQIZAV-UHFFFAOYSA-N 1 2 317.389 1.645 20 30 DDEDLO CCC[N@@H+](CC(=O)N(C)C)Cc1cccc(C(=O)OC)c1C#N ZINC000535223508 421701798 /nfs/dbraw/zinc/70/17/98/421701798.db2.gz ADKMNMJDMQIZAV-UHFFFAOYSA-N 1 2 317.389 1.645 20 30 DDEDLO C=CC[C@H](CO)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1 ZINC000540726654 421767495 /nfs/dbraw/zinc/76/74/95/421767495.db2.gz ZEGPLOVHGNBIKL-HUUCEWRRSA-N 1 2 314.389 1.746 20 30 DDEDLO C[C@H](NC(=O)C1CCCCC1)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000572726091 421848407 /nfs/dbraw/zinc/84/84/07/421848407.db2.gz JAQKXRMQGDKURC-BLLLJJGKSA-N 1 2 308.426 1.031 20 30 DDEDLO CC[C@H]1CN(C)CC[N@@H+]1CCOc1ccc(C#N)cc1OC ZINC000580871691 421851408 /nfs/dbraw/zinc/85/14/08/421851408.db2.gz XLBGJBOHMRGOKQ-HNNXBMFYSA-N 1 2 303.406 1.972 20 30 DDEDLO CC[C@H]1CN(C)CC[N@H+]1CCOc1ccc(C#N)cc1OC ZINC000580871691 421851413 /nfs/dbraw/zinc/85/14/13/421851413.db2.gz XLBGJBOHMRGOKQ-HNNXBMFYSA-N 1 2 303.406 1.972 20 30 DDEDLO CCN(C1CCN(c2cc(C)[nH+]cc2C#N)CC1)S(C)(=O)=O ZINC000581663285 422021021 /nfs/dbraw/zinc/02/10/21/422021021.db2.gz LMBZIGXJRLTMMP-UHFFFAOYSA-N 1 2 322.434 1.512 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](C)CC(=O)NCc1ccccc1 ZINC000582328204 422143698 /nfs/dbraw/zinc/14/36/98/422143698.db2.gz PPNICFCYXSWISD-UHFFFAOYSA-N 1 2 315.417 1.435 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](C)CC(=O)NCc1ccccc1 ZINC000582328204 422143704 /nfs/dbraw/zinc/14/37/04/422143704.db2.gz PPNICFCYXSWISD-UHFFFAOYSA-N 1 2 315.417 1.435 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)[nH]1 ZINC000583599098 422193483 /nfs/dbraw/zinc/19/34/83/422193483.db2.gz CCBZCSNUPFZSHH-YPMHNXCESA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)[nH]1 ZINC000583599098 422193485 /nfs/dbraw/zinc/19/34/85/422193485.db2.gz CCBZCSNUPFZSHH-YPMHNXCESA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)n1 ZINC000583599098 422193491 /nfs/dbraw/zinc/19/34/91/422193491.db2.gz CCBZCSNUPFZSHH-YPMHNXCESA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)n1 ZINC000583599098 422193496 /nfs/dbraw/zinc/19/34/96/422193496.db2.gz CCBZCSNUPFZSHH-YPMHNXCESA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1nc([C@H]2CCC[N@@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)n[nH]1 ZINC000583599098 422193502 /nfs/dbraw/zinc/19/35/02/422193502.db2.gz CCBZCSNUPFZSHH-YPMHNXCESA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1nc([C@H]2CCC[N@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)n[nH]1 ZINC000583599098 422193506 /nfs/dbraw/zinc/19/35/06/422193506.db2.gz CCBZCSNUPFZSHH-YPMHNXCESA-N 1 2 316.409 1.244 20 30 DDEDLO Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000593844851 422341231 /nfs/dbraw/zinc/34/12/31/422341231.db2.gz FGZPHNXGQBLQTO-LLVKDONJSA-N 1 2 320.356 2.141 20 30 DDEDLO CCc1nc(C#N)cc(NCCc2cn3cccc(C)c3[nH+]2)n1 ZINC000596152733 422367249 /nfs/dbraw/zinc/36/72/49/422367249.db2.gz OILGUNMGXNYZAU-UHFFFAOYSA-N 1 2 306.373 2.521 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000576461479 422374108 /nfs/dbraw/zinc/37/41/08/422374108.db2.gz PITRWMPKLGDUJU-CABCVRRESA-N 1 2 301.390 1.889 20 30 DDEDLO N#C[C@@H](C1CC1)n1nnc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000601077625 422417293 /nfs/dbraw/zinc/41/72/93/422417293.db2.gz XJIFVINOZICIQP-HOTGVXAUSA-N 1 2 324.388 1.721 20 30 DDEDLO N#C[C@@H](C1CC1)n1nnc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000601077625 422417302 /nfs/dbraw/zinc/41/73/02/422417302.db2.gz XJIFVINOZICIQP-HOTGVXAUSA-N 1 2 324.388 1.721 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CCc2cnc(N)nc2C1 ZINC000623295019 422540597 /nfs/dbraw/zinc/54/05/97/422540597.db2.gz VIUTZANSTADFEG-LBPRGKRZSA-N 1 2 303.410 1.230 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CCc2cnc(N)nc2C1 ZINC000623295019 422540604 /nfs/dbraw/zinc/54/06/04/422540604.db2.gz VIUTZANSTADFEG-LBPRGKRZSA-N 1 2 303.410 1.230 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000636005838 422556433 /nfs/dbraw/zinc/55/64/33/422556433.db2.gz PUCVXSUBFORBNC-WBVHZDCISA-N 1 2 318.417 1.713 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000636005838 422556437 /nfs/dbraw/zinc/55/64/37/422556437.db2.gz PUCVXSUBFORBNC-WBVHZDCISA-N 1 2 318.417 1.713 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccccc2CC)nn1 ZINC000640840341 423222739 /nfs/dbraw/zinc/22/27/39/423222739.db2.gz QKZJAZQVWGWGPC-UHFFFAOYSA-N 1 2 311.389 1.592 20 30 DDEDLO COCCn1c(C)cc(C(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)c1C ZINC000646031175 423300858 /nfs/dbraw/zinc/30/08/58/423300858.db2.gz FTJGSGOXNDKKLH-QGZVFWFLSA-N 1 2 319.405 1.285 20 30 DDEDLO COCCn1c(C)cc(C(=O)C[N@H+]2CC[C@](O)(CC#N)C2)c1C ZINC000646031175 423300862 /nfs/dbraw/zinc/30/08/62/423300862.db2.gz FTJGSGOXNDKKLH-QGZVFWFLSA-N 1 2 319.405 1.285 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2noc(C3CCCCC3)n2)nn1 ZINC000641130906 423409393 /nfs/dbraw/zinc/40/93/93/423409393.db2.gz YPHBBXJOLNYPFW-UHFFFAOYSA-N 1 2 314.393 1.870 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000651969770 423757515 /nfs/dbraw/zinc/75/75/15/423757515.db2.gz GKHDRONTQLYSLG-DYVFJYSZSA-N 1 2 303.406 1.859 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CCOCC1 ZINC000644674639 423757935 /nfs/dbraw/zinc/75/79/35/423757935.db2.gz UDZOXMHFJFYHJY-HNNXBMFYSA-N 1 2 308.422 1.292 20 30 DDEDLO Cc1oncc1C[N@@H+]1C[C@@H](F)C[C@H]1CNc1ccncc1C#N ZINC000377165100 269993509 /nfs/dbraw/zinc/99/35/09/269993509.db2.gz LSUHNWFSGOECID-GJZGRUSLSA-N 1 2 315.352 1.696 20 30 DDEDLO Cc1oncc1C[N@H+]1C[C@@H](F)C[C@H]1CNc1ccncc1C#N ZINC000377165100 269993510 /nfs/dbraw/zinc/99/35/10/269993510.db2.gz LSUHNWFSGOECID-GJZGRUSLSA-N 1 2 315.352 1.696 20 30 DDEDLO Fc1ccc(C#CC[N@@H+]2CCO[C@@H](Cn3ccnn3)C2)cc1 ZINC000639858363 423842293 /nfs/dbraw/zinc/84/22/93/423842293.db2.gz SENUQIGLHPPQEQ-MRXNPFEDSA-N 1 2 300.337 1.170 20 30 DDEDLO Fc1ccc(C#CC[N@H+]2CCO[C@@H](Cn3ccnn3)C2)cc1 ZINC000639858363 423842308 /nfs/dbraw/zinc/84/23/08/423842308.db2.gz SENUQIGLHPPQEQ-MRXNPFEDSA-N 1 2 300.337 1.170 20 30 DDEDLO C=CC[C@H]1CCCN(S(=O)(=O)CCC[NH+]2CCOCC2)C1 ZINC000657094102 424100884 /nfs/dbraw/zinc/10/08/84/424100884.db2.gz MTFBJMGJDUTYQR-HNNXBMFYSA-N 1 2 316.467 1.327 20 30 DDEDLO C=CCOCCCNC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000661795607 424179493 /nfs/dbraw/zinc/17/94/93/424179493.db2.gz DZUQWWIOVOKZOQ-UHFFFAOYSA-N 1 2 307.394 1.700 20 30 DDEDLO C=CCC[C@H](CO)Nc1nc2c(cnn2C(C)(C)C)c(N)[nH+]1 ZINC000663988954 424320948 /nfs/dbraw/zinc/32/09/48/424320948.db2.gz CMOWGCTZDXOQRC-SNVBAGLBSA-N 1 2 304.398 1.903 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1nc2cc(C(F)(F)F)ccc2c(=O)[nH]1 ZINC000662166611 424452053 /nfs/dbraw/zinc/45/20/53/424452053.db2.gz ADQIUZCABGXQSK-SECBINFHSA-N 1 2 313.279 1.991 20 30 DDEDLO CCC[C@@]1(C)C(=O)NCCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000342767434 266072249 /nfs/dbraw/zinc/07/22/49/266072249.db2.gz BYWCMCLOWZSSQE-KRWDZBQOSA-N 1 2 311.389 1.697 20 30 DDEDLO CCO[C@H]1C[C@H](O)C12CC[NH+](Cc1nc(C#N)cs1)CC2 ZINC000356107885 266304737 /nfs/dbraw/zinc/30/47/37/266304737.db2.gz FCDKRDDZNSOOMW-STQMWFEESA-N 1 2 307.419 1.767 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2ccc(F)c(C#N)c2)C[C@H](C)O1 ZINC000355488706 267051228 /nfs/dbraw/zinc/05/12/28/267051228.db2.gz DEFGIXZVBBVXEY-BETUJISGSA-N 1 2 319.380 1.879 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2ccc(F)c(C#N)c2)C[C@H](C)O1 ZINC000355488706 267051231 /nfs/dbraw/zinc/05/12/31/267051231.db2.gz DEFGIXZVBBVXEY-BETUJISGSA-N 1 2 319.380 1.879 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cccc(F)c1C#N ZINC000376171779 267091560 /nfs/dbraw/zinc/09/15/60/267091560.db2.gz KZFPPUMGLGTCFF-OLZOCXBDSA-N 1 2 317.364 1.633 20 30 DDEDLO C[C@H](C[S@](C)=O)[N@H+](C)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000342631616 267167738 /nfs/dbraw/zinc/16/77/38/267167738.db2.gz GGIPPHMYSRXXGP-XFNZEKPQSA-N 1 2 318.402 1.807 20 30 DDEDLO C[C@H](C[S@](C)=O)[N@@H+](C)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000342631616 267167740 /nfs/dbraw/zinc/16/77/40/267167740.db2.gz GGIPPHMYSRXXGP-XFNZEKPQSA-N 1 2 318.402 1.807 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1C[C@H](C)[N@@H+](C)C[C@H]1C ZINC000350894636 267396654 /nfs/dbraw/zinc/39/66/54/267396654.db2.gz IOSOLRLWTBUNTD-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1C[C@H](C)[N@H+](C)C[C@H]1C ZINC000350894636 267396658 /nfs/dbraw/zinc/39/66/58/267396658.db2.gz IOSOLRLWTBUNTD-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO N#Cc1ccc(NC[C@@]2([NH+]3CCOCC3)CCCOC2)cn1 ZINC000371213256 268156034 /nfs/dbraw/zinc/15/60/34/268156034.db2.gz RYXYIPZXEAJDIG-INIZCTEOSA-N 1 2 302.378 1.247 20 30 DDEDLO COc1c(NCc2c[nH+]c3ccc(C#N)cn23)cccc1C(N)=O ZINC000341554473 271117791 /nfs/dbraw/zinc/11/77/91/271117791.db2.gz VCLVVWILFOXWLH-UHFFFAOYSA-N 1 2 321.340 1.926 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000346153085 276926952 /nfs/dbraw/zinc/92/69/52/276926952.db2.gz PWVRRXUNLVHXCS-AEFFLSMTSA-N 1 2 318.417 1.503 20 30 DDEDLO CN1C(=O)[C@@H]2C[N@H+](CC#Cc3cccc(Cl)c3)CCN2C1=O ZINC000366471523 277019460 /nfs/dbraw/zinc/01/94/60/277019460.db2.gz VZMLJVNGTCKEJN-AWEZNQCLSA-N 1 2 317.776 1.270 20 30 DDEDLO CN1C(=O)[C@@H]2C[N@@H+](CC#Cc3cccc(Cl)c3)CCN2C1=O ZINC000366471523 277019461 /nfs/dbraw/zinc/01/94/61/277019461.db2.gz VZMLJVNGTCKEJN-AWEZNQCLSA-N 1 2 317.776 1.270 20 30 DDEDLO Cc1nc(N2CCN(c3ncccc3C#N)CC2)c(C)c(C)[nH+]1 ZINC000301434953 279076206 /nfs/dbraw/zinc/07/62/06/279076206.db2.gz JAEUFRGVLRUMNM-UHFFFAOYSA-N 1 2 308.389 1.995 20 30 DDEDLO CN1CCO[C@@H]2CC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C[C@@H]21 ZINC000328904367 290085571 /nfs/dbraw/zinc/08/55/71/290085571.db2.gz JFYPJLMQFYYWIT-SQWLQELKSA-N 1 2 306.410 1.040 20 30 DDEDLO CN1CCO[C@@H]2CC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C[C@@H]21 ZINC000328904367 290085574 /nfs/dbraw/zinc/08/55/74/290085574.db2.gz JFYPJLMQFYYWIT-SQWLQELKSA-N 1 2 306.410 1.040 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(CC(=O)N[C@](C)(C#N)C3CC3)C[C@@H]21 ZINC000328904367 290085576 /nfs/dbraw/zinc/08/55/76/290085576.db2.gz JFYPJLMQFYYWIT-SQWLQELKSA-N 1 2 306.410 1.040 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(CC(=O)N[C@](C)(C#N)C3CC3)C[C@@H]21 ZINC000328904367 290085577 /nfs/dbraw/zinc/08/55/77/290085577.db2.gz JFYPJLMQFYYWIT-SQWLQELKSA-N 1 2 306.410 1.040 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCCC34CCOCC4)C[C@@H]21 ZINC000328777911 290088248 /nfs/dbraw/zinc/08/82/48/290088248.db2.gz INCZOWWMXKFYMW-RRFJBIMHSA-N 1 2 323.437 1.265 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCCC34CCOCC4)C[C@@H]21 ZINC000328777911 290088249 /nfs/dbraw/zinc/08/82/49/290088249.db2.gz INCZOWWMXKFYMW-RRFJBIMHSA-N 1 2 323.437 1.265 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1cccc(Cl)c1C#N ZINC000443073720 300214820 /nfs/dbraw/zinc/21/48/20/300214820.db2.gz WOFNSNKVWUKBBD-JTQLQIEISA-N 1 2 313.810 1.536 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cccc(Cl)c1C#N ZINC000443073720 300214824 /nfs/dbraw/zinc/21/48/24/300214824.db2.gz WOFNSNKVWUKBBD-JTQLQIEISA-N 1 2 313.810 1.536 20 30 DDEDLO CC(C)(C)OCCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000576157378 308292737 /nfs/dbraw/zinc/29/27/37/308292737.db2.gz RRYGSJYKNFXPIJ-UHFFFAOYSA-N 1 2 319.405 1.367 20 30 DDEDLO C[C@@H]1C[C@H](NS(=O)(=O)c2ccc(C#N)cc2)c2[nH+]ccn21 ZINC000578716173 308479373 /nfs/dbraw/zinc/47/93/73/308479373.db2.gz VESAMTOURWZYBW-MFKMUULPSA-N 1 2 302.359 1.739 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000579795190 308556797 /nfs/dbraw/zinc/55/67/97/308556797.db2.gz BUXGVKPAMIHOHE-OAHLLOKOSA-N 1 2 316.405 1.522 20 30 DDEDLO COC(=O)c1ccc(CCC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000570727932 332807884 /nfs/dbraw/zinc/80/78/84/332807884.db2.gz VWRODVNJIALIEN-QGZVFWFLSA-N 1 2 317.389 1.366 20 30 DDEDLO C[C@@H](OCc1ccc(F)cc1)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000517376018 331679300 /nfs/dbraw/zinc/67/93/00/331679300.db2.gz FHLKIAHUEMWDFG-WBMJQRKESA-N 1 2 307.369 1.691 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1CCC[C@H]1Cn1cc[nH+]c1 ZINC000569670164 332656854 /nfs/dbraw/zinc/65/68/54/332656854.db2.gz RGRQGQUMYFUUJN-ZNMIVQPWSA-N 1 2 304.394 1.791 20 30 DDEDLO N#CCOc1ccccc1C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000152697534 332704547 /nfs/dbraw/zinc/70/45/47/332704547.db2.gz WHHHLNUABKBDSB-CQSZACIVSA-N 1 2 322.368 1.993 20 30 DDEDLO COc1cccc(OC)c1OC[C@@H](O)C[N@H+](C)[C@H](C)CC#N ZINC000345875014 335357464 /nfs/dbraw/zinc/35/74/64/335357464.db2.gz UYDJLPVXWZXDAB-OLZOCXBDSA-N 1 2 308.378 1.677 20 30 DDEDLO COc1cccc(OC)c1OC[C@@H](O)C[N@@H+](C)[C@H](C)CC#N ZINC000345875014 335357465 /nfs/dbraw/zinc/35/74/65/335357465.db2.gz UYDJLPVXWZXDAB-OLZOCXBDSA-N 1 2 308.378 1.677 20 30 DDEDLO N#Cc1ncc(OCc2cccc(C[NH+]3CCOCC3)c2)cn1 ZINC000582540247 337106720 /nfs/dbraw/zinc/10/67/20/337106720.db2.gz YKTQXNNRIOUBBE-UHFFFAOYSA-N 1 2 310.357 1.759 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc(N2CCOC2=O)cc1 ZINC000496972916 340017321 /nfs/dbraw/zinc/01/73/21/340017321.db2.gz FXGNEKNFYQCROS-CQSZACIVSA-N 1 2 319.361 1.228 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc(N2CCOC2=O)cc1 ZINC000496972916 340017322 /nfs/dbraw/zinc/01/73/22/340017322.db2.gz FXGNEKNFYQCROS-CQSZACIVSA-N 1 2 319.361 1.228 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)c2ccn3c(C)c[nH+]c3c2)C1=O ZINC000516583197 340083111 /nfs/dbraw/zinc/08/31/11/340083111.db2.gz TUIGKFWQCSUVQH-CQSZACIVSA-N 1 2 312.373 1.502 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(CC#Cc2ccccc2Cl)CC1 ZINC000134951886 341227276 /nfs/dbraw/zinc/22/72/76/341227276.db2.gz XCKMPQQCLYJTBW-UHFFFAOYSA-N 1 2 319.836 1.445 20 30 DDEDLO CC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C[C@@H](O)C(F)(F)F ZINC000249495923 341398278 /nfs/dbraw/zinc/39/82/78/341398278.db2.gz ZVSFKSZXIWBKOZ-ZYHUDNBSSA-N 1 2 309.332 1.286 20 30 DDEDLO CC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C[C@@H](O)C(F)(F)F ZINC000249495923 341398279 /nfs/dbraw/zinc/39/82/79/341398279.db2.gz ZVSFKSZXIWBKOZ-ZYHUDNBSSA-N 1 2 309.332 1.286 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000575932908 341815690 /nfs/dbraw/zinc/81/56/90/341815690.db2.gz YNPRICJSABSRLI-AWEZNQCLSA-N 1 2 316.405 1.674 20 30 DDEDLO C[C@H](C#N)CNC(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000176393905 341872166 /nfs/dbraw/zinc/87/21/66/341872166.db2.gz UFQNRNKUNWHPLL-CYBMUJFWSA-N 1 2 302.378 1.800 20 30 DDEDLO C=CCOCCCNC(=O)C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000658523421 484387372 /nfs/dbraw/zinc/38/73/72/484387372.db2.gz HWTZHZRYESAHCE-CYBMUJFWSA-N 1 2 320.393 1.172 20 30 DDEDLO C=CC[N@H+](CC(=O)NCC(=O)c1cccs1)[C@@H](C)COC ZINC000661241765 484995976 /nfs/dbraw/zinc/99/59/76/484995976.db2.gz GAXHBEHWPJSGES-LBPRGKRZSA-N 1 2 310.419 1.570 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCC(=O)c1cccs1)[C@@H](C)COC ZINC000661241765 484995978 /nfs/dbraw/zinc/99/59/78/484995978.db2.gz GAXHBEHWPJSGES-LBPRGKRZSA-N 1 2 310.419 1.570 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC[C@@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000666346587 485179571 /nfs/dbraw/zinc/17/95/71/485179571.db2.gz DFIPZMFHAKNLPG-WMZOPIPTSA-N 1 2 318.417 1.422 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)C(=O)NCCCCn2cc[nH+]c2)C1 ZINC000668612155 485239868 /nfs/dbraw/zinc/23/98/68/485239868.db2.gz OFRRQPUPPGMKOH-OAHLLOKOSA-N 1 2 318.421 1.594 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000673469603 485415686 /nfs/dbraw/zinc/41/56/86/485415686.db2.gz NJXVFKJRUHGQTJ-KGLIPLIRSA-N 1 2 322.409 1.379 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000673469603 485415688 /nfs/dbraw/zinc/41/56/88/485415688.db2.gz NJXVFKJRUHGQTJ-KGLIPLIRSA-N 1 2 322.409 1.379 20 30 DDEDLO C=CCOCCNC(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000679482736 485898160 /nfs/dbraw/zinc/89/81/60/485898160.db2.gz LWDCIEJXPFYRIN-UHFFFAOYSA-N 1 2 308.769 1.990 20 30 DDEDLO C=CCOCCNC(=O)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000679504851 485904568 /nfs/dbraw/zinc/90/45/68/485904568.db2.gz BEXMKHGLJDWRCK-UHFFFAOYSA-N 1 2 321.396 1.856 20 30 DDEDLO O=C(N[C@@H](CO)C[NH+]1CCCCC1)c1cc(F)c(O)c(F)c1 ZINC000331229175 534378898 /nfs/dbraw/zinc/37/88/98/534378898.db2.gz FYTINLKLSAXNBH-LLVKDONJSA-N 1 2 314.332 1.822 20 30 DDEDLO N#CC1(F)CC[NH+](CCNS(=O)(=O)c2ccccc2)CC1 ZINC000352458893 534567725 /nfs/dbraw/zinc/56/77/25/534567725.db2.gz QFXIFUYAKIOHFK-UHFFFAOYSA-N 1 2 311.382 1.293 20 30 DDEDLO N#CCc1cccc(C(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)c1 ZINC000354414473 534727659 /nfs/dbraw/zinc/72/76/59/534727659.db2.gz UQFXGKMSNHKTNC-UHFFFAOYSA-N 1 2 309.373 1.434 20 30 DDEDLO Cc1nc(N2CCN(C(=O)N[C@@H]3CC[C@H](C#N)C3)CC2)cc[nH+]1 ZINC000332181038 534755251 /nfs/dbraw/zinc/75/52/51/534755251.db2.gz VQMXVOWYCLHLGR-UONOGXRCSA-N 1 2 314.393 1.309 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)[C@@H](C)[NH+]1CCOCC1 ZINC000339699219 526311053 /nfs/dbraw/zinc/31/10/53/526311053.db2.gz BAUINUXDNMHYDC-MRXNPFEDSA-N 1 2 302.418 1.964 20 30 DDEDLO CC#CCCNC(=O)NC[C@@H]1C[C@@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000349955883 526324374 /nfs/dbraw/zinc/32/43/74/526324374.db2.gz UEDJYIMKDKNCGK-CABCVRRESA-N 1 2 321.400 1.045 20 30 DDEDLO CC#CCCNC(=O)NC[C@@H]1C[C@@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000349955883 526324377 /nfs/dbraw/zinc/32/43/77/526324377.db2.gz UEDJYIMKDKNCGK-CABCVRRESA-N 1 2 321.400 1.045 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N(C)c2ccccc2)C1=O ZINC000337202431 526503135 /nfs/dbraw/zinc/50/31/35/526503135.db2.gz FAWWNRFMOWJZEO-ZBFHGGJFSA-N 1 2 315.417 1.757 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N(C)c2ccccc2)C1=O ZINC000337202431 526503142 /nfs/dbraw/zinc/50/31/42/526503142.db2.gz FAWWNRFMOWJZEO-ZBFHGGJFSA-N 1 2 315.417 1.757 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ncc(C(C)C)s2)CC1 ZINC000347402461 526541103 /nfs/dbraw/zinc/54/11/03/526541103.db2.gz FYQXQDRCEXVWKM-UHFFFAOYSA-N 1 2 308.451 1.691 20 30 DDEDLO C#CCN(C(=O)C(=O)Nc1ccn2cc[nH+]c2c1)C1CCCC1 ZINC000491296456 526761967 /nfs/dbraw/zinc/76/19/67/526761967.db2.gz MDCGQMIFYKKBBK-UHFFFAOYSA-N 1 2 310.357 1.677 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCO[C@@H]([C@H](C)O)C2)cc1OC ZINC000414599587 526869203 /nfs/dbraw/zinc/86/92/03/526869203.db2.gz ZUJYNZZIFCRDTP-SUMWQHHRSA-N 1 2 307.390 1.842 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCO[C@@H]([C@H](C)O)C2)cc1OC ZINC000414599587 526869209 /nfs/dbraw/zinc/86/92/09/526869209.db2.gz ZUJYNZZIFCRDTP-SUMWQHHRSA-N 1 2 307.390 1.842 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C#N)C1CCCCC1 ZINC000339228346 526893828 /nfs/dbraw/zinc/89/38/28/526893828.db2.gz QAPYNBZIXVKLRQ-CABCVRRESA-N 1 2 317.393 1.046 20 30 DDEDLO CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C#N)C1CCCCC1 ZINC000339228346 526893831 /nfs/dbraw/zinc/89/38/31/526893831.db2.gz QAPYNBZIXVKLRQ-CABCVRRESA-N 1 2 317.393 1.046 20 30 DDEDLO C#CC[N@H+](C[C@@H]1C[C@H]1c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000490876291 526903127 /nfs/dbraw/zinc/90/31/27/526903127.db2.gz TUHXHTSTANBXAR-BBWFWOEESA-N 1 2 303.427 1.912 20 30 DDEDLO C#CC[N@@H+](C[C@@H]1C[C@H]1c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000490876291 526903130 /nfs/dbraw/zinc/90/31/30/526903130.db2.gz TUHXHTSTANBXAR-BBWFWOEESA-N 1 2 303.427 1.912 20 30 DDEDLO C#CC[N@H+](Cc1cccc2cc[nH]c21)[C@@H]1CCS(=O)(=O)C1 ZINC000490897902 526908510 /nfs/dbraw/zinc/90/85/10/526908510.db2.gz GKZVCGUPXHEEDO-OAHLLOKOSA-N 1 2 302.399 1.790 20 30 DDEDLO C#CC[N@@H+](Cc1cccc2cc[nH]c21)[C@@H]1CCS(=O)(=O)C1 ZINC000490897902 526908515 /nfs/dbraw/zinc/90/85/15/526908515.db2.gz GKZVCGUPXHEEDO-OAHLLOKOSA-N 1 2 302.399 1.790 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCCC[C@@H]1c1cnn(C)c1 ZINC000330502203 526956572 /nfs/dbraw/zinc/95/65/72/526956572.db2.gz BVVBWZZVXMESTE-CYBMUJFWSA-N 1 2 305.382 1.163 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCCC[C@@H]1c1cnn(C)c1 ZINC000330502203 526956578 /nfs/dbraw/zinc/95/65/78/526956578.db2.gz BVVBWZZVXMESTE-CYBMUJFWSA-N 1 2 305.382 1.163 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCO[C@H](C2CCCCC2)C1 ZINC000330905753 526956642 /nfs/dbraw/zinc/95/66/42/526956642.db2.gz ZMKIYUFHVOGRPW-ZFWWWQNUSA-N 1 2 323.437 1.872 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCO[C@H](C2CCCCC2)C1 ZINC000330905753 526956649 /nfs/dbraw/zinc/95/66/49/526956649.db2.gz ZMKIYUFHVOGRPW-ZFWWWQNUSA-N 1 2 323.437 1.872 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)CCc2c(C)noc2C)n1 ZINC000491666344 527190934 /nfs/dbraw/zinc/19/09/34/527190934.db2.gz VXBWFUSTLPRHBD-UHFFFAOYSA-N 1 2 316.405 1.812 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)CCc2c(C)noc2C)n1 ZINC000491666344 527190938 /nfs/dbraw/zinc/19/09/38/527190938.db2.gz VXBWFUSTLPRHBD-UHFFFAOYSA-N 1 2 316.405 1.812 20 30 DDEDLO CC(=O)c1ccc(C#N)cc1N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC000302113627 527232332 /nfs/dbraw/zinc/23/23/32/527232332.db2.gz QQISPHJMTSJBFF-ZDUSSCGKSA-N 1 2 300.406 1.809 20 30 DDEDLO C#C[C@@H](NC(=O)[C@@H](c1c[nH+]cn1C)N(C)C)c1ccc(F)cc1 ZINC000491566323 527283110 /nfs/dbraw/zinc/28/31/10/527283110.db2.gz FSYRRHFMSBTHSC-GDBMZVCRSA-N 1 2 314.364 1.653 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000491669783 527304728 /nfs/dbraw/zinc/30/47/28/527304728.db2.gz OAOKATDULJAAKY-OAHLLOKOSA-N 1 2 310.357 1.953 20 30 DDEDLO C=CCC[N@@H+](C)Cc1nnnn1-c1cc(OC)cc(OC)c1 ZINC000338544125 527322082 /nfs/dbraw/zinc/32/20/82/527322082.db2.gz KTUKVKBSTBJWGQ-UHFFFAOYSA-N 1 2 303.366 1.687 20 30 DDEDLO C=CCC[N@H+](C)Cc1nnnn1-c1cc(OC)cc(OC)c1 ZINC000338544125 527322089 /nfs/dbraw/zinc/32/20/89/527322089.db2.gz KTUKVKBSTBJWGQ-UHFFFAOYSA-N 1 2 303.366 1.687 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C[C@H](CNC(=O)OC(C)(C)C)CC1=O ZINC000491812340 527333306 /nfs/dbraw/zinc/33/33/06/527333306.db2.gz DTBDRAZFQUVMSA-STQMWFEESA-N 1 2 309.410 1.271 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C[C@H](CNC(=O)OC(C)(C)C)CC1=O ZINC000491812340 527333312 /nfs/dbraw/zinc/33/33/12/527333312.db2.gz DTBDRAZFQUVMSA-STQMWFEESA-N 1 2 309.410 1.271 20 30 DDEDLO C#C[C@H](CC)NC(=O)N1CCN(C2=[NH+]CC(C)(C)S2)CC1 ZINC000491725902 527351778 /nfs/dbraw/zinc/35/17/78/527351778.db2.gz FLQSZWWSOSFDSP-GFCCVEGCSA-N 1 2 308.451 1.607 20 30 DDEDLO C=CCn1cc(CNC(=O)Cn2c(C)[nH+]c3ccccc32)nn1 ZINC000424905315 527366028 /nfs/dbraw/zinc/36/60/28/527366028.db2.gz CCYZNPBVHZSOFC-UHFFFAOYSA-N 1 2 310.361 1.439 20 30 DDEDLO C=C[C@@H](CC(=O)N[C@@H](CO)C[NH+]1CCOCC1)c1ccccc1 ZINC000339215115 527423150 /nfs/dbraw/zinc/42/31/50/527423150.db2.gz NMRKNCQHQKVUBA-DOTOQJQBSA-N 1 2 318.417 1.156 20 30 DDEDLO C=CCCn1cc(C(=O)N2CC[NH+](Cc3ccco3)CC2)nn1 ZINC000424560956 527518909 /nfs/dbraw/zinc/51/89/09/527518909.db2.gz MPJLJTWNBUUUSQ-UHFFFAOYSA-N 1 2 315.377 1.405 20 30 DDEDLO CC(C)OC[C@H](CO)[N@H+](C)CC(=O)Nc1ccc(C#N)cc1 ZINC000433150287 528024889 /nfs/dbraw/zinc/02/48/89/528024889.db2.gz GBFDPSICMXFGFN-HNNXBMFYSA-N 1 2 305.378 1.214 20 30 DDEDLO CC(C)OC[C@H](CO)[N@@H+](C)CC(=O)Nc1ccc(C#N)cc1 ZINC000433150287 528024891 /nfs/dbraw/zinc/02/48/91/528024891.db2.gz GBFDPSICMXFGFN-HNNXBMFYSA-N 1 2 305.378 1.214 20 30 DDEDLO CC1CCC(NC(=O)C[NH+]2CCN(CC3(C#N)CC3)CC2)CC1 ZINC000343305495 528198147 /nfs/dbraw/zinc/19/81/47/528198147.db2.gz RYEXUBXUECAPAO-UHFFFAOYSA-N 1 2 318.465 1.603 20 30 DDEDLO CC(C)c1cnc(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)s1 ZINC000330205042 528217926 /nfs/dbraw/zinc/21/79/26/528217926.db2.gz CDCVFSRQYFGYDV-QWRGUYRKSA-N 1 2 310.423 1.827 20 30 DDEDLO CC(C)c1cnc(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)s1 ZINC000330205042 528217930 /nfs/dbraw/zinc/21/79/30/528217930.db2.gz CDCVFSRQYFGYDV-QWRGUYRKSA-N 1 2 310.423 1.827 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1cnc(N(C)C)cn1)[NH+]1CCOCC1 ZINC000330616333 528424322 /nfs/dbraw/zinc/42/43/22/528424322.db2.gz DPBFCNBDAPWNAJ-CQSZACIVSA-N 1 2 321.425 1.204 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCc2ccc(O)cc2C1 ZINC000332736448 528695910 /nfs/dbraw/zinc/69/59/10/528695910.db2.gz YOMLEOALDCNCPB-CYBMUJFWSA-N 1 2 301.390 1.758 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCc2ccc(O)cc2C1 ZINC000332736448 528695913 /nfs/dbraw/zinc/69/59/13/528695913.db2.gz YOMLEOALDCNCPB-CYBMUJFWSA-N 1 2 301.390 1.758 20 30 DDEDLO CC(C)[C@H](C)NC(=O)N1CCO[C@@H](C[N@H+](C)CC(N)=O)C1 ZINC000331256291 528931981 /nfs/dbraw/zinc/93/19/81/528931981.db2.gz ZJHSGBPHERKKPL-RYUDHWBXSA-N 1 2 300.403 1.113 20 30 DDEDLO CC(C)[C@H](C)NC(=O)N1CCO[C@@H](C[N@@H+](C)CC(N)=O)C1 ZINC000331256291 528931984 /nfs/dbraw/zinc/93/19/84/528931984.db2.gz ZJHSGBPHERKKPL-RYUDHWBXSA-N 1 2 300.403 1.113 20 30 DDEDLO CCN1CCN(C(=O)Nc2c(C)noc2C)C[C@@H]1c1[nH]cc[nH+]1 ZINC000330325227 529135915 /nfs/dbraw/zinc/13/59/15/529135915.db2.gz JBXDTMMSEBQKHZ-GFCCVEGCSA-N 1 2 318.381 1.939 20 30 DDEDLO C#CCC[NH+]1CCN(c2snc(Cl)c2-c2nn[nH]n2)CC1 ZINC000823844992 608178102 /nfs/dbraw/zinc/17/81/02/608178102.db2.gz MJJWKZILSWBVDW-UHFFFAOYSA-N 1 2 323.813 1.122 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)COC(=O)[C@H]1CCCC[N@@H+]1CC ZINC000745088605 699971504 /nfs/dbraw/zinc/97/15/04/699971504.db2.gz WUEYKSSCNLCRJX-ZIAGYGMSSA-N 1 2 309.410 1.412 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)COC(=O)[C@H]1CCCC[N@H+]1CC ZINC000745088605 699971506 /nfs/dbraw/zinc/97/15/06/699971506.db2.gz WUEYKSSCNLCRJX-ZIAGYGMSSA-N 1 2 309.410 1.412 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)cc3)C2)C1 ZINC000972223551 695181125 /nfs/dbraw/zinc/18/11/25/695181125.db2.gz CCDKILPGPNKQAL-QGZVFWFLSA-N 1 2 302.349 1.376 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(F)cc3)C2)C1 ZINC000972223551 695181127 /nfs/dbraw/zinc/18/11/27/695181127.db2.gz CCDKILPGPNKQAL-QGZVFWFLSA-N 1 2 302.349 1.376 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCCO3)C2)C1 ZINC000972274462 695200701 /nfs/dbraw/zinc/20/07/01/695200701.db2.gz GILABHVSIWISBV-FUHWJXTLSA-N 1 2 320.433 1.272 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCCO3)C2)C1 ZINC000972274462 695200703 /nfs/dbraw/zinc/20/07/03/695200703.db2.gz GILABHVSIWISBV-FUHWJXTLSA-N 1 2 320.433 1.272 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)/C=C(\C)C3CC3)C2)C1 ZINC000972282201 695203632 /nfs/dbraw/zinc/20/36/32/695203632.db2.gz PCAPGUCLPUHRRJ-XOVFHRFOSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)/C=C(\C)C3CC3)C2)C1 ZINC000972282201 695203634 /nfs/dbraw/zinc/20/36/34/695203634.db2.gz PCAPGUCLPUHRRJ-XOVFHRFOSA-N 1 2 302.418 1.669 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H]([N@H+](C)Cc3ccon3)C2)c1 ZINC000972284104 695204697 /nfs/dbraw/zinc/20/46/97/695204697.db2.gz GWUJVXWDZKOHSM-MRXNPFEDSA-N 1 2 310.357 1.397 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ccon3)C2)c1 ZINC000972284104 695204698 /nfs/dbraw/zinc/20/46/98/695204698.db2.gz GWUJVXWDZKOHSM-MRXNPFEDSA-N 1 2 310.357 1.397 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)n3cccc3)C2)C1 ZINC000972341956 695221917 /nfs/dbraw/zinc/22/19/17/695221917.db2.gz IWAKRDRPWMHYHA-WMZOPIPTSA-N 1 2 315.417 1.376 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)n3cccc3)C2)C1 ZINC000972341956 695221919 /nfs/dbraw/zinc/22/19/19/695221919.db2.gz IWAKRDRPWMHYHA-WMZOPIPTSA-N 1 2 315.417 1.376 20 30 DDEDLO C#CC[N@@H+](C)[C@H]1CCN(C(=O)c2cc(-c3cccs3)[nH]n2)C1 ZINC000972397831 695239848 /nfs/dbraw/zinc/23/98/48/695239848.db2.gz TZAPEXZGGGOBNH-LBPRGKRZSA-N 1 2 314.414 1.918 20 30 DDEDLO C#CC[N@H+](C)[C@H]1CCN(C(=O)c2cc(-c3cccs3)[nH]n2)C1 ZINC000972397831 695239849 /nfs/dbraw/zinc/23/98/49/695239849.db2.gz TZAPEXZGGGOBNH-LBPRGKRZSA-N 1 2 314.414 1.918 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(Cl)cn3C)C2)C1 ZINC000972458836 695256223 /nfs/dbraw/zinc/25/62/23/695256223.db2.gz ODNFSROIMOLDAD-MRXNPFEDSA-N 1 2 321.808 1.229 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(Cl)cn3C)C2)C1 ZINC000972458836 695256225 /nfs/dbraw/zinc/25/62/25/695256225.db2.gz ODNFSROIMOLDAD-MRXNPFEDSA-N 1 2 321.808 1.229 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccsc3C)C2)C1 ZINC000972581594 695289313 /nfs/dbraw/zinc/28/93/13/695289313.db2.gz BGMPSAHQEPYZFX-KRWDZBQOSA-N 1 2 318.442 1.536 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccsc3C)C2)C1 ZINC000972581594 695289316 /nfs/dbraw/zinc/28/93/16/695289316.db2.gz BGMPSAHQEPYZFX-KRWDZBQOSA-N 1 2 318.442 1.536 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H](C)c3ccco3)C2)C1 ZINC000972640353 695306627 /nfs/dbraw/zinc/30/66/27/695306627.db2.gz IWSCRODXEXIZEO-CRAIPNDOSA-N 1 2 316.401 1.710 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H](C)c3ccco3)C2)C1 ZINC000972640353 695306629 /nfs/dbraw/zinc/30/66/29/695306629.db2.gz IWSCRODXEXIZEO-CRAIPNDOSA-N 1 2 316.401 1.710 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cn(CC)cn3)C2)C1 ZINC000972640190 695306849 /nfs/dbraw/zinc/30/68/49/695306849.db2.gz GKELPUYAYNJTFW-INIZCTEOSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cn(CC)cn3)C2)C1 ZINC000972640190 695306851 /nfs/dbraw/zinc/30/68/51/695306851.db2.gz GKELPUYAYNJTFW-INIZCTEOSA-N 1 2 304.394 1.006 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CN2CCCC2=O)C(C)(C)C1 ZINC000972794680 695335717 /nfs/dbraw/zinc/33/57/17/695335717.db2.gz SQXUBWSONMJYBK-LBPRGKRZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CN2CCCC2=O)C(C)(C)C1 ZINC000972794680 695335718 /nfs/dbraw/zinc/33/57/18/695335718.db2.gz SQXUBWSONMJYBK-LBPRGKRZSA-N 1 2 313.829 1.188 20 30 DDEDLO CC1(C)CN(CC#N)C[C@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000977414745 696150984 /nfs/dbraw/zinc/15/09/84/696150984.db2.gz ODKSEFCSEVJNOW-MRXNPFEDSA-N 1 2 323.400 1.836 20 30 DDEDLO C#Cc1cccc(NC(=O)C[NH2+][C@H](C(N)=O)c2ccccc2)c1 ZINC000056202018 696288208 /nfs/dbraw/zinc/28/82/08/696288208.db2.gz FWBXZJYGMACUOA-KRWDZBQOSA-N 1 2 307.353 1.423 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCC(=O)NCc2ccco2)cc1 ZINC000060455566 696310648 /nfs/dbraw/zinc/31/06/48/696310648.db2.gz IOZQUWLBTQFQCF-UHFFFAOYSA-N 1 2 317.345 1.436 20 30 DDEDLO Cc1ccc(N2CC[N@H+](CC(=O)NCCC#N)[C@@H](C)C2)cc1C ZINC000747967997 700093611 /nfs/dbraw/zinc/09/36/11/700093611.db2.gz IZDPSUNPTREHJL-INIZCTEOSA-N 1 2 314.433 1.844 20 30 DDEDLO Cc1ccc(N2CC[N@@H+](CC(=O)NCCC#N)[C@@H](C)C2)cc1C ZINC000747967997 700093614 /nfs/dbraw/zinc/09/36/14/700093614.db2.gz IZDPSUNPTREHJL-INIZCTEOSA-N 1 2 314.433 1.844 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCC(=O)N2CCCCCC2)cc1 ZINC000125466820 696753506 /nfs/dbraw/zinc/75/35/06/696753506.db2.gz GKKGSAFEDRGXGH-UHFFFAOYSA-N 1 2 319.405 1.929 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CCC[N@H+](Cc1nccs1)C2 ZINC000981672542 696869502 /nfs/dbraw/zinc/86/95/02/696869502.db2.gz WUOWRNQRLOIYRF-GFCCVEGCSA-N 1 2 304.419 1.727 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CCC[N@@H+](Cc1nccs1)C2 ZINC000981672542 696869506 /nfs/dbraw/zinc/86/95/06/696869506.db2.gz WUOWRNQRLOIYRF-GFCCVEGCSA-N 1 2 304.419 1.727 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)[C@@H]3CCOC3)CC2)s1 ZINC000980802127 696894505 /nfs/dbraw/zinc/89/45/05/696894505.db2.gz MOCRKNSFZIYRFX-CYBMUJFWSA-N 1 2 319.430 1.691 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)[C@@H]3CCOC3)CC2)s1 ZINC000980802127 696894509 /nfs/dbraw/zinc/89/45/09/696894509.db2.gz MOCRKNSFZIYRFX-CYBMUJFWSA-N 1 2 319.430 1.691 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@H+](CC(=O)NC3CC3)CC2)CC1 ZINC000981885926 696955593 /nfs/dbraw/zinc/95/55/93/696955593.db2.gz VXVIILJHYJJNDE-UHFFFAOYSA-N 1 2 319.449 1.546 20 30 DDEDLO C=C1CCC(C(=O)N2CCC[N@@H+](CC(=O)NC3CC3)CC2)CC1 ZINC000981885926 696955594 /nfs/dbraw/zinc/95/55/94/696955594.db2.gz VXVIILJHYJJNDE-UHFFFAOYSA-N 1 2 319.449 1.546 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CCC[N@@H+](Cc1cn(C)nn1)C2 ZINC000981938116 696976383 /nfs/dbraw/zinc/97/63/83/696976383.db2.gz XRERZUHCBWTSBW-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CCC[N@H+](Cc1cn(C)nn1)C2 ZINC000981938116 696976387 /nfs/dbraw/zinc/97/63/87/696976387.db2.gz XRERZUHCBWTSBW-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+](C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000157585990 696979471 /nfs/dbraw/zinc/97/94/71/696979471.db2.gz CHZLEFAWSIEGGU-TZMCWYRMSA-N 1 2 308.403 1.596 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+](C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000157585990 696979474 /nfs/dbraw/zinc/97/94/74/696979474.db2.gz CHZLEFAWSIEGGU-TZMCWYRMSA-N 1 2 308.403 1.596 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H](C)[C@H]2CCC(=O)N2)CC1 ZINC000982004239 696999619 /nfs/dbraw/zinc/99/96/19/696999619.db2.gz VHEVCIWDHUGZFE-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H](C)[C@H]2CCC(=O)N2)CC1 ZINC000982004239 696999621 /nfs/dbraw/zinc/99/96/21/696999621.db2.gz VHEVCIWDHUGZFE-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO COc1ncccc1C[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC000981125294 697011301 /nfs/dbraw/zinc/01/13/01/697011301.db2.gz CBLBDVLAHVOOBY-UHFFFAOYSA-N 1 2 313.401 1.538 20 30 DDEDLO COc1ncccc1C[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC000981125294 697011304 /nfs/dbraw/zinc/01/13/04/697011304.db2.gz CBLBDVLAHVOOBY-UHFFFAOYSA-N 1 2 313.401 1.538 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2csc([C@H]3CCCO3)n2)CC1 ZINC000981162124 697022361 /nfs/dbraw/zinc/02/23/61/697022361.db2.gz SEPPAOQDWIXYFP-CQSZACIVSA-N 1 2 319.430 1.776 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2csc([C@H]3CCCO3)n2)CC1 ZINC000981162124 697022364 /nfs/dbraw/zinc/02/23/64/697022364.db2.gz SEPPAOQDWIXYFP-CQSZACIVSA-N 1 2 319.430 1.776 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCC[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC000981312998 697063502 /nfs/dbraw/zinc/06/35/02/697063502.db2.gz ATUFZZQMXVMQMB-VHSXEESVSA-N 1 2 300.765 1.932 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2ncoc2C(F)(F)F)CC1 ZINC000981501265 697113479 /nfs/dbraw/zinc/11/34/79/697113479.db2.gz MRKFTMJBDRNENO-UHFFFAOYSA-N 1 2 315.295 1.865 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2ncoc2C(F)(F)F)CC1 ZINC000981501265 697113481 /nfs/dbraw/zinc/11/34/81/697113481.db2.gz MRKFTMJBDRNENO-UHFFFAOYSA-N 1 2 315.295 1.865 20 30 DDEDLO CS(=O)(=O)c1ccc(N[NH2+]C2=CC(=O)N3CCCC[C@@H]23)cc1 ZINC000799475979 700143598 /nfs/dbraw/zinc/14/35/98/700143598.db2.gz QDJICJZWOFZIBZ-AWEZNQCLSA-N 1 2 321.402 1.643 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](C/C=C/c2ccccc2)CC1 ZINC000179159772 697434126 /nfs/dbraw/zinc/43/41/26/697434126.db2.gz QMYFAITWMHSMFC-RMKNXTFCSA-N 1 2 312.413 1.884 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)N2CCN(C(=O)/C(C)=C/C)CC2)CC1 ZINC000985827875 697580553 /nfs/dbraw/zinc/58/05/53/697580553.db2.gz CRLBYCMNGJLUIF-PJQLUOCWSA-N 1 2 320.437 1.020 20 30 DDEDLO CN(C)c1noc(C[NH2+]Cc2ccc(N(C)CCC#N)cc2)n1 ZINC000191899717 697616349 /nfs/dbraw/zinc/61/63/49/697616349.db2.gz PXFNAFVWCCGTFO-UHFFFAOYSA-N 1 2 314.393 1.775 20 30 DDEDLO CC(=[NH+]Nc1ccccc1S(N)(=O)=O)c1ccc(N)cc1 ZINC000773120369 697715522 /nfs/dbraw/zinc/71/55/22/697715522.db2.gz HLMKQEIKBJIDNQ-UHFFFAOYSA-N 1 2 304.375 1.752 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)Nc1nnc(CC(C)C)s1)[C@@H]1CCCO1 ZINC000775791606 698034894 /nfs/dbraw/zinc/03/48/94/698034894.db2.gz WGBBJENISFLGTR-NEPJUHHUSA-N 1 2 322.434 1.446 20 30 DDEDLO CON=Cc1ccc(C(=O)N[C@@H](C)C[NH+]2CCOCC2)cc1 ZINC000254986430 698409682 /nfs/dbraw/zinc/40/96/82/698409682.db2.gz BMRZNAXJZXDWCE-ZDUSSCGKSA-N 1 2 305.378 1.117 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)NCCc2cn3c([nH+]2)CCCC3)cc1 ZINC000780882667 698567577 /nfs/dbraw/zinc/56/75/77/698567577.db2.gz OOTXWPRUVFAFGW-KRWDZBQOSA-N 1 2 324.384 1.483 20 30 DDEDLO C#CCOCCNC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000784346804 698931350 /nfs/dbraw/zinc/93/13/50/698931350.db2.gz PWTINLVATPPXCI-UHFFFAOYSA-N 1 2 300.362 1.134 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1)[C@@H]1CCCO1 ZINC000789206218 699369904 /nfs/dbraw/zinc/36/99/04/699369904.db2.gz LDHYLBGWASMMMV-PMPSAXMXSA-N 1 2 315.373 1.425 20 30 DDEDLO C=CCCC(=O)OCC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000726649912 699372256 /nfs/dbraw/zinc/37/22/56/699372256.db2.gz IYBSZGMPOBCQLF-UHFFFAOYSA-N 1 2 322.430 1.902 20 30 DDEDLO CCN(C)c1ccc(C=[NH+]NC(=S)N[C@H](C)COC)cn1 ZINC000790149567 699445422 /nfs/dbraw/zinc/44/54/22/699445422.db2.gz YMILZXLVSRLDGO-LLVKDONJSA-N 1 2 309.439 1.371 20 30 DDEDLO CC(=NNc1[nH+]ccn1C)c1ccc(S(C)(=O)=O)c(F)c1 ZINC000790305910 699453168 /nfs/dbraw/zinc/45/31/68/699453168.db2.gz QPZGCGVVOFUASX-UHFFFAOYSA-N 1 2 310.354 1.799 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(-n2cncn2)c(F)c1 ZINC000730097700 699501013 /nfs/dbraw/zinc/50/10/13/699501013.db2.gz HCVMEZIUAILWCH-HNNXBMFYSA-N 1 2 313.336 1.443 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(-n2cncn2)c(F)c1 ZINC000730097700 699501014 /nfs/dbraw/zinc/50/10/14/699501014.db2.gz HCVMEZIUAILWCH-HNNXBMFYSA-N 1 2 313.336 1.443 20 30 DDEDLO COC(=O)CCc1nc(CO[NH+]=C(N)c2ccc(F)cc2)no1 ZINC000732194064 699549743 /nfs/dbraw/zinc/54/97/43/699549743.db2.gz ULYUPQHMAMOZQD-UHFFFAOYSA-N 1 2 322.296 1.151 20 30 DDEDLO CNc1cc(N2CCC[C@H](Nc3ccc(C#N)cn3)C2)nc[nH+]1 ZINC000734842828 699664191 /nfs/dbraw/zinc/66/41/91/699664191.db2.gz YXTBCIPGZZUHOY-ZDUSSCGKSA-N 1 2 309.377 1.866 20 30 DDEDLO CNc1cc(N2CCC[C@H](Nc3ccc(C#N)cn3)C2)[nH+]cn1 ZINC000734842828 699664192 /nfs/dbraw/zinc/66/41/92/699664192.db2.gz YXTBCIPGZZUHOY-ZDUSSCGKSA-N 1 2 309.377 1.866 20 30 DDEDLO CNC(=S)NN=C(C)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000735609245 699698065 /nfs/dbraw/zinc/69/80/65/699698065.db2.gz QPKCZSFRDWTQBJ-AWEZNQCLSA-N 1 2 306.435 1.357 20 30 DDEDLO CNC(=S)NN=C(C)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000735609245 699698068 /nfs/dbraw/zinc/69/80/68/699698068.db2.gz QPKCZSFRDWTQBJ-AWEZNQCLSA-N 1 2 306.435 1.357 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+](C)C[C@H](C)C(=O)OC ZINC000739914829 699782883 /nfs/dbraw/zinc/78/28/83/699782883.db2.gz LMHFCGMIYNAPQJ-SMDDNHRTSA-N 1 2 304.346 1.513 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+](C)C[C@H](C)C(=O)OC ZINC000739914829 699782884 /nfs/dbraw/zinc/78/28/84/699782884.db2.gz LMHFCGMIYNAPQJ-SMDDNHRTSA-N 1 2 304.346 1.513 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CCCC3(CCOCC3)C2)c1=S ZINC000794633921 699820309 /nfs/dbraw/zinc/82/03/09/699820309.db2.gz PXAIDSRJLKUONL-UHFFFAOYSA-N 1 2 321.450 1.867 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CCCC3(CCOCC3)C2)c1=S ZINC000794633921 699820311 /nfs/dbraw/zinc/82/03/11/699820311.db2.gz PXAIDSRJLKUONL-UHFFFAOYSA-N 1 2 321.450 1.867 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000741253634 699825299 /nfs/dbraw/zinc/82/52/99/699825299.db2.gz LSVFRBKBGBOVPC-INIZCTEOSA-N 1 2 318.373 1.591 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@@H+](CCCOc2ccc(C#N)cc2)CCO1 ZINC000741253634 699825302 /nfs/dbraw/zinc/82/53/02/699825302.db2.gz LSVFRBKBGBOVPC-INIZCTEOSA-N 1 2 318.373 1.591 20 30 DDEDLO N#Cc1cccc(OCC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)c1 ZINC000753184001 700413396 /nfs/dbraw/zinc/41/33/96/700413396.db2.gz XCZIWKUEPFWLMF-HNNXBMFYSA-N 1 2 322.368 1.727 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(c2ccc(Cl)c(C#N)n2)CC1 ZINC000754489875 700505113 /nfs/dbraw/zinc/50/51/13/700505113.db2.gz YNGZAJFCGBJSTM-FQEVSTJZSA-N 1 2 312.826 1.107 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)OC(=O)Cc1ccccc1CC#N ZINC000761143238 700847085 /nfs/dbraw/zinc/84/70/85/700847085.db2.gz QJONBRWKAQXVEP-CQSZACIVSA-N 1 2 302.374 1.559 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2ccc(Cl)cc2C)CC1 ZINC000768510578 701183644 /nfs/dbraw/zinc/18/36/44/701183644.db2.gz TWXBAJSSLYOCIC-UHFFFAOYSA-N 1 2 319.836 1.515 20 30 DDEDLO O=S(=O)(Cc1ccccc1)NN=Cc1cccc2[nH+]ccn21 ZINC000771100462 701310088 /nfs/dbraw/zinc/31/00/88/701310088.db2.gz LYAILCSEBYHGNY-UHFFFAOYSA-N 1 2 314.370 1.788 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN(c2cc(Cl)ccc2C#N)CC1 ZINC000771148328 701311167 /nfs/dbraw/zinc/31/11/67/701311167.db2.gz VMUBJLQPMATLOT-HXUWFJFHSA-N 1 2 311.838 1.712 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC1CCN(c2ccccc2C#N)CC1 ZINC000806695420 701446358 /nfs/dbraw/zinc/44/63/58/701446358.db2.gz OUFZFZZHSUIDHN-UHFFFAOYSA-N 1 2 323.400 1.619 20 30 DDEDLO Cc1nsc(N2CC[NH+](CCO[C@H](C)C#N)CC2)c1C#N ZINC000810065200 701720371 /nfs/dbraw/zinc/72/03/71/701720371.db2.gz MFKYUFCMBVBDHQ-LLVKDONJSA-N 1 2 305.407 1.374 20 30 DDEDLO C#C[C@@H](NC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C)[C@@H]1CCCO1 ZINC000839517096 701722056 /nfs/dbraw/zinc/72/20/56/701722056.db2.gz NQJUWXHYULBQRV-KGLIPLIRSA-N 1 2 315.373 1.242 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC3(CC[N@@H+]3Cc3ccccc3)C2)c1 ZINC000866120160 706624904 /nfs/dbraw/zinc/62/49/04/706624904.db2.gz XCIXXVAAFYBEID-UHFFFAOYSA-N 1 2 306.369 1.987 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC3(CC[N@H+]3Cc3ccccc3)C2)c1 ZINC000866120160 706624905 /nfs/dbraw/zinc/62/49/05/706624905.db2.gz XCIXXVAAFYBEID-UHFFFAOYSA-N 1 2 306.369 1.987 20 30 DDEDLO C=CCn1nnn(C[N@H+]2CCC[C@@H]2[C@H]2CCC[C@H]2O)c1=S ZINC000840117337 701977934 /nfs/dbraw/zinc/97/79/34/701977934.db2.gz AVXMYUHKFURBCW-JHJVBQTASA-N 1 2 309.439 1.578 20 30 DDEDLO C=CCn1nnn(C[N@@H+]2CCC[C@@H]2[C@H]2CCC[C@H]2O)c1=S ZINC000840117337 701977937 /nfs/dbraw/zinc/97/79/37/701977937.db2.gz AVXMYUHKFURBCW-JHJVBQTASA-N 1 2 309.439 1.578 20 30 DDEDLO CN(C)c1ncc(C=[NH+]NC(=S)Nc2ccccc2)n1C ZINC000811630883 702018653 /nfs/dbraw/zinc/01/86/53/702018653.db2.gz WHRCVUNOCJMBDK-UHFFFAOYSA-N 1 2 302.407 1.807 20 30 DDEDLO C[C@@H](O)CNc1cc(N[C@H]2Cc3ccc(C#N)cc3C2)nc[nH+]1 ZINC000866259388 706660055 /nfs/dbraw/zinc/66/00/55/706660055.db2.gz LZWNDRICQZOGNO-ABAIWWIYSA-N 1 2 309.373 1.720 20 30 DDEDLO C[C@@H](O)CNc1cc(N[C@H]2Cc3ccc(C#N)cc3C2)[nH+]cn1 ZINC000866259388 706660058 /nfs/dbraw/zinc/66/00/58/706660058.db2.gz LZWNDRICQZOGNO-ABAIWWIYSA-N 1 2 309.373 1.720 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CC[C@@](F)(C#N)C1 ZINC000869105085 702462397 /nfs/dbraw/zinc/46/23/97/702462397.db2.gz FAPISPPCTAPIHF-GOEBONIOSA-N 1 2 302.353 1.820 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CC[C@@](F)(C#N)C1 ZINC000869105085 702462401 /nfs/dbraw/zinc/46/24/01/702462401.db2.gz FAPISPPCTAPIHF-GOEBONIOSA-N 1 2 302.353 1.820 20 30 DDEDLO CCn1c[nH+]cc1CN[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000841874668 702601872 /nfs/dbraw/zinc/60/18/72/702601872.db2.gz ONOWTSPCCXBGHO-TZMCWYRMSA-N 1 2 319.409 1.752 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@H+](CC#Cc3ccc(F)cc3)C2)C1 ZINC000879484120 706692887 /nfs/dbraw/zinc/69/28/87/706692887.db2.gz PTIAZIKKVMDRQH-QGZVFWFLSA-N 1 2 316.332 1.571 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@@H+](CC#Cc3ccc(F)cc3)C2)C1 ZINC000879484120 706692888 /nfs/dbraw/zinc/69/28/88/706692888.db2.gz PTIAZIKKVMDRQH-QGZVFWFLSA-N 1 2 316.332 1.571 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])[C@H]1CCCCNC1=O ZINC000844294246 703006886 /nfs/dbraw/zinc/00/68/86/703006886.db2.gz SPNHPFOXNMHICJ-ZDUSSCGKSA-N 1 2 302.334 1.567 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])[C@H]1CCCCNC1=O ZINC000844294246 703006888 /nfs/dbraw/zinc/00/68/88/703006888.db2.gz SPNHPFOXNMHICJ-ZDUSSCGKSA-N 1 2 302.334 1.567 20 30 DDEDLO Cc1cc(NC(=O)C[N@H+](C)C[C@@H](O)c2cccc(C#N)c2)on1 ZINC000844365725 703020158 /nfs/dbraw/zinc/02/01/58/703020158.db2.gz DOVGESHEABNZAO-CQSZACIVSA-N 1 2 314.345 1.459 20 30 DDEDLO Cc1cc(NC(=O)C[N@@H+](C)C[C@@H](O)c2cccc(C#N)c2)on1 ZINC000844365725 703020161 /nfs/dbraw/zinc/02/01/61/703020161.db2.gz DOVGESHEABNZAO-CQSZACIVSA-N 1 2 314.345 1.459 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+](CCc2c[nH]nn2)CC1 ZINC000879590754 706730423 /nfs/dbraw/zinc/73/04/23/706730423.db2.gz KSDVNUCNRFPCFM-UHFFFAOYSA-N 1 2 300.341 1.180 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+](CCc2cn[nH]n2)CC1 ZINC000879590754 706730424 /nfs/dbraw/zinc/73/04/24/706730424.db2.gz KSDVNUCNRFPCFM-UHFFFAOYSA-N 1 2 300.341 1.180 20 30 DDEDLO C[C@H](CC(=O)N(C)C[C@@H](O)c1cccc(C#N)c1)n1cc[nH+]c1 ZINC000846327833 703265153 /nfs/dbraw/zinc/26/51/53/703265153.db2.gz DVBKHKKXISRWBA-CZUORRHYSA-N 1 2 312.373 1.898 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000848239169 703530507 /nfs/dbraw/zinc/53/05/07/703530507.db2.gz BLKGYNRNYBQWRE-BLLLJJGKSA-N 1 2 312.373 1.652 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000848239169 703530509 /nfs/dbraw/zinc/53/05/09/703530509.db2.gz BLKGYNRNYBQWRE-BLLLJJGKSA-N 1 2 312.373 1.652 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=S)Nc2ccccc2C#N)[C@@H](C)CO1 ZINC000849280733 703631291 /nfs/dbraw/zinc/63/12/91/703631291.db2.gz GGEBKPWZOVWGGJ-QWHCGFSZSA-N 1 2 318.446 1.954 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=S)Nc2ccccc2C#N)[C@@H](C)CO1 ZINC000849280733 703631293 /nfs/dbraw/zinc/63/12/93/703631293.db2.gz GGEBKPWZOVWGGJ-QWHCGFSZSA-N 1 2 318.446 1.954 20 30 DDEDLO C#CCCC[NH+]1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000851942207 703887158 /nfs/dbraw/zinc/88/71/58/703887158.db2.gz HPPCXPCDPFATEJ-UHFFFAOYSA-N 1 2 304.415 1.477 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)CCN2C[C@@H](C)O[C@@H](C)C2)n1 ZINC000851995949 703899926 /nfs/dbraw/zinc/89/99/26/703899926.db2.gz CLIUMRBXYZDREG-IYBDPMFKSA-N 1 2 304.438 1.447 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)CCN2C[C@@H](C)O[C@@H](C)C2)n1 ZINC000851995949 703899928 /nfs/dbraw/zinc/89/99/28/703899928.db2.gz CLIUMRBXYZDREG-IYBDPMFKSA-N 1 2 304.438 1.447 20 30 DDEDLO N#CC1(C(=O)N2CCO[C@@H](C[NH+]3CCOCC3)C2)CCCCC1 ZINC000870069562 703907866 /nfs/dbraw/zinc/90/78/66/703907866.db2.gz KDJYPHWFCHDCGG-HNNXBMFYSA-N 1 2 321.421 1.020 20 30 DDEDLO COc1cccc([C@H]2CN(C(=O)c3ccc(C#N)cn3)CC[NH2+]2)c1 ZINC000870150346 703932450 /nfs/dbraw/zinc/93/24/50/703932450.db2.gz UCRHMMYNIYJCOG-QGZVFWFLSA-N 1 2 322.368 1.749 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000852263405 703991016 /nfs/dbraw/zinc/99/10/16/703991016.db2.gz RNBPSVVNCIBPHX-RDJZCZTQSA-N 1 2 314.433 1.124 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000852263405 703991017 /nfs/dbraw/zinc/99/10/17/703991017.db2.gz RNBPSVVNCIBPHX-RDJZCZTQSA-N 1 2 314.433 1.124 20 30 DDEDLO CC[C@H]([NH2+]CC(=O)NCCC#N)c1nnc2n1CCCCC2 ZINC000852629044 704086817 /nfs/dbraw/zinc/08/68/17/704086817.db2.gz SQTCJFAVLYXCBM-LBPRGKRZSA-N 1 2 304.398 1.075 20 30 DDEDLO C[C@@]1(C#N)CC[N@H+](CCC(=O)Nc2sccc2C(N)=O)C1 ZINC000852684631 704099582 /nfs/dbraw/zinc/09/95/82/704099582.db2.gz LBVUVUUVDMPWQQ-AWEZNQCLSA-N 1 2 306.391 1.411 20 30 DDEDLO C[C@@]1(C#N)CC[N@@H+](CCC(=O)Nc2sccc2C(N)=O)C1 ZINC000852684631 704099584 /nfs/dbraw/zinc/09/95/84/704099584.db2.gz LBVUVUUVDMPWQQ-AWEZNQCLSA-N 1 2 306.391 1.411 20 30 DDEDLO CC(C)Oc1ccc(C#N)cc1NC[C@H](O)C[NH+]1CCOCC1 ZINC000819424548 704123218 /nfs/dbraw/zinc/12/32/18/704123218.db2.gz WQQCCRLDKWHKDI-HNNXBMFYSA-N 1 2 319.405 1.450 20 30 DDEDLO CO[C@H]1CCOc2c(NC[C@H](O)C[N@H+](C)CCC#N)cccc21 ZINC000819481206 704131985 /nfs/dbraw/zinc/13/19/85/704131985.db2.gz MIJAWQJPMYLZLH-BBRMVZONSA-N 1 2 319.405 1.775 20 30 DDEDLO CO[C@H]1CCOc2c(NC[C@H](O)C[N@@H+](C)CCC#N)cccc21 ZINC000819481206 704131987 /nfs/dbraw/zinc/13/19/87/704131987.db2.gz MIJAWQJPMYLZLH-BBRMVZONSA-N 1 2 319.405 1.775 20 30 DDEDLO COC(=O)Cc1cc[nH+]c(NCCNc2ccc(C#N)cn2)c1 ZINC000853151160 704205228 /nfs/dbraw/zinc/20/52/28/704205228.db2.gz MYAIZMQOIXXXQT-UHFFFAOYSA-N 1 2 311.345 1.588 20 30 DDEDLO CC(C)[C@@H]1N(C[N@@H+]2CCC[C@H](CC#N)C2)C(=O)[C@]12CCCO2 ZINC000853525304 704259593 /nfs/dbraw/zinc/25/95/93/704259593.db2.gz UWEHHQKJSRIBHQ-VYDXJSESSA-N 1 2 305.422 1.986 20 30 DDEDLO CC(C)[C@@H]1N(C[N@H+]2CCC[C@H](CC#N)C2)C(=O)[C@]12CCCO2 ZINC000853525304 704259595 /nfs/dbraw/zinc/25/95/95/704259595.db2.gz UWEHHQKJSRIBHQ-VYDXJSESSA-N 1 2 305.422 1.986 20 30 DDEDLO CC1(C)C(=O)N(C[N@@H+]2CCC[C@H](CC#N)C2)[C@H]1[C@H]1CCCO1 ZINC000853525100 704259901 /nfs/dbraw/zinc/25/99/01/704259901.db2.gz SMFFKQNOFLZQDO-KFWWJZLASA-N 1 2 305.422 1.986 20 30 DDEDLO CC1(C)C(=O)N(C[N@H+]2CCC[C@H](CC#N)C2)[C@H]1[C@H]1CCCO1 ZINC000853525100 704259903 /nfs/dbraw/zinc/25/99/03/704259903.db2.gz SMFFKQNOFLZQDO-KFWWJZLASA-N 1 2 305.422 1.986 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)N[C@](CC)(C2CC2)C1=O ZINC000853620712 704287341 /nfs/dbraw/zinc/28/73/41/704287341.db2.gz AUYBLPCHJUFPAM-MRXNPFEDSA-N 1 2 307.394 1.026 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)N[C@](CC)(C2CC2)C1=O ZINC000853620712 704287345 /nfs/dbraw/zinc/28/73/45/704287345.db2.gz AUYBLPCHJUFPAM-MRXNPFEDSA-N 1 2 307.394 1.026 20 30 DDEDLO C#C[C@H]1CCCN(c2cc(N3CCC(C)(O)CC3)[nH+]cn2)C1 ZINC000853716593 704304516 /nfs/dbraw/zinc/30/45/16/704304516.db2.gz WNJMBWCASSYOIY-AWEZNQCLSA-N 1 2 300.406 1.677 20 30 DDEDLO C#C[C@H]1CCCN(c2cc(N3CCC(C)(O)CC3)nc[nH+]2)C1 ZINC000853716593 704304518 /nfs/dbraw/zinc/30/45/18/704304518.db2.gz WNJMBWCASSYOIY-AWEZNQCLSA-N 1 2 300.406 1.677 20 30 DDEDLO CN1CC[N@H+](Cc2ccccc2)[C@@H](CNC(=O)NCCC#N)C1 ZINC000854027427 704348680 /nfs/dbraw/zinc/34/86/80/704348680.db2.gz KNTZFZCDDPRIJY-INIZCTEOSA-N 1 2 315.421 1.015 20 30 DDEDLO CN1CC[N@@H+](Cc2ccccc2)[C@@H](CNC(=O)NCCC#N)C1 ZINC000854027427 704348681 /nfs/dbraw/zinc/34/86/81/704348681.db2.gz KNTZFZCDDPRIJY-INIZCTEOSA-N 1 2 315.421 1.015 20 30 DDEDLO COc1cc(C(=O)NCC(C)(C)[NH+]2CCOCC2)ccc1C#N ZINC000854240011 704370656 /nfs/dbraw/zinc/37/06/56/704370656.db2.gz GALALCFFZHFUHQ-UHFFFAOYSA-N 1 2 317.389 1.407 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000855301727 704478132 /nfs/dbraw/zinc/47/81/32/704478132.db2.gz QZDWCKLINTYUDW-KRWDZBQOSA-N 1 2 309.373 1.442 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000858858636 704764985 /nfs/dbraw/zinc/76/49/85/704764985.db2.gz NEQKXMVZOXJQGU-QLFBSQMISA-N 1 2 318.421 1.524 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000858858636 704764986 /nfs/dbraw/zinc/76/49/86/704764986.db2.gz NEQKXMVZOXJQGU-QLFBSQMISA-N 1 2 318.421 1.524 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)C(C)(C)c2ccc(C#N)cc2)CCO1 ZINC000859041044 704789808 /nfs/dbraw/zinc/78/98/08/704789808.db2.gz GRVRKYJGPLFDFQ-AWEZNQCLSA-N 1 2 315.417 1.673 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)C(C)(C)c2ccc(C#N)cc2)CCO1 ZINC000859041044 704789810 /nfs/dbraw/zinc/78/98/10/704789810.db2.gz GRVRKYJGPLFDFQ-AWEZNQCLSA-N 1 2 315.417 1.673 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000822219879 704895202 /nfs/dbraw/zinc/89/52/02/704895202.db2.gz VRXIPYFZILBIDZ-INIZCTEOSA-N 1 2 316.401 1.248 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](CC)CCC)CC1 ZINC000874382940 705029858 /nfs/dbraw/zinc/02/98/58/705029858.db2.gz NZBIYDQONCAGQQ-OAHLLOKOSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](CC)CCC)CC1 ZINC000874382940 705029862 /nfs/dbraw/zinc/02/98/62/705029862.db2.gz NZBIYDQONCAGQQ-OAHLLOKOSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000875920074 705559955 /nfs/dbraw/zinc/55/99/55/705559955.db2.gz VMHAZFKLJPIESI-UONOGXRCSA-N 1 2 304.394 1.727 20 30 DDEDLO C#C[C@@H](CO)NC(=O)[C@@H]1CCC[N@@H+]1Cc1ccc(Cl)cc1 ZINC000861884270 705594454 /nfs/dbraw/zinc/59/44/54/705594454.db2.gz KTMIHUHOGGTLGW-GJZGRUSLSA-N 1 2 306.793 1.415 20 30 DDEDLO C#C[C@@H](CO)NC(=O)[C@@H]1CCC[N@H+]1Cc1ccc(Cl)cc1 ZINC000861884270 705594457 /nfs/dbraw/zinc/59/44/57/705594457.db2.gz KTMIHUHOGGTLGW-GJZGRUSLSA-N 1 2 306.793 1.415 20 30 DDEDLO N#Cc1cnc(Cl)c(C[NH+]2CCC3(CNC(=O)O3)CC2)c1 ZINC000877459208 706112601 /nfs/dbraw/zinc/11/26/01/706112601.db2.gz DYVUOIVZWCIXLD-UHFFFAOYSA-N 1 2 306.753 1.681 20 30 DDEDLO O=C1CCCN1N=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872361611 707390890 /nfs/dbraw/zinc/39/08/90/707390890.db2.gz JQPRELCPYSVJFO-UHFFFAOYSA-N 1 2 317.389 1.354 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]3CS(=O)(=O)C[C@H]3C2)cc1C#N ZINC000877790801 706215179 /nfs/dbraw/zinc/21/51/79/706215179.db2.gz HPESDUASXADPQE-DZGCQCFKSA-N 1 2 320.414 1.433 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]3CS(=O)(=O)C[C@H]3C2)cc1C#N ZINC000877790801 706215181 /nfs/dbraw/zinc/21/51/81/706215181.db2.gz HPESDUASXADPQE-DZGCQCFKSA-N 1 2 320.414 1.433 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC1CC[NH+](c2ccc(N(C)C)cc2)CC1 ZINC000828768544 706229324 /nfs/dbraw/zinc/22/93/24/706229324.db2.gz RLRCRANOWFVSDK-SFHVURJKSA-N 1 2 317.433 1.775 20 30 DDEDLO C#C[C@@H](CO)NC(=O)Nc1nc(C[N@H+]2CCC[C@H](C)C2)cs1 ZINC000878011979 706263697 /nfs/dbraw/zinc/26/36/97/706263697.db2.gz DTUVKKPEQOFOND-RYUDHWBXSA-N 1 2 322.434 1.491 20 30 DDEDLO C#C[C@@H](CO)NC(=O)Nc1nc(C[N@@H+]2CCC[C@H](C)C2)cs1 ZINC000878011979 706263699 /nfs/dbraw/zinc/26/36/99/706263699.db2.gz DTUVKKPEQOFOND-RYUDHWBXSA-N 1 2 322.434 1.491 20 30 DDEDLO CC[N@@H+](C[C@@H](C)C#N)C[C@@H](O)c1cc(Br)no1 ZINC000878021087 706266667 /nfs/dbraw/zinc/26/66/67/706266667.db2.gz WHGAQYYXUGTPHP-DTWKUNHWSA-N 1 2 302.172 1.952 20 30 DDEDLO CC[N@H+](C[C@@H](C)C#N)C[C@@H](O)c1cc(Br)no1 ZINC000878021087 706266665 /nfs/dbraw/zinc/26/66/65/706266665.db2.gz WHGAQYYXUGTPHP-DTWKUNHWSA-N 1 2 302.172 1.952 20 30 DDEDLO C#CC1CC[NH+](CC(=O)Nc2ccc([N+](=O)[O-])cc2OC)CC1 ZINC000830330456 706497187 /nfs/dbraw/zinc/49/71/87/706497187.db2.gz ZEWVVESYVQQKIX-UHFFFAOYSA-N 1 2 317.345 1.887 20 30 DDEDLO CO[C@]1(C(F)(F)F)CC[N@@H+]([C@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000880306055 706935097 /nfs/dbraw/zinc/93/50/97/706935097.db2.gz KMJXZDOUBQTWSV-ZWNOBZJWSA-N 1 2 319.327 1.591 20 30 DDEDLO CO[C@]1(C(F)(F)F)CC[N@H+]([C@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000880306055 706935098 /nfs/dbraw/zinc/93/50/98/706935098.db2.gz KMJXZDOUBQTWSV-ZWNOBZJWSA-N 1 2 319.327 1.591 20 30 DDEDLO COC[C@H](C)NN=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872363238 707391447 /nfs/dbraw/zinc/39/14/47/707391447.db2.gz UQNVUHGENSQTJV-HNNXBMFYSA-N 1 2 321.421 1.356 20 30 DDEDLO N#CCOc1ccc(Cl)cc1C[N@@H+]1CCCN(C=O)CC1 ZINC000839018550 708016194 /nfs/dbraw/zinc/01/61/94/708016194.db2.gz JZTVPHSJLKZADK-UHFFFAOYSA-N 1 2 307.781 1.906 20 30 DDEDLO N#CCOc1ccc(Cl)cc1C[N@H+]1CCCN(C=O)CC1 ZINC000839018550 708016197 /nfs/dbraw/zinc/01/61/97/708016197.db2.gz JZTVPHSJLKZADK-UHFFFAOYSA-N 1 2 307.781 1.906 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000884044113 708115728 /nfs/dbraw/zinc/11/57/28/708115728.db2.gz VEVCDMFPOWBWEF-ZZTKBFGJSA-N 1 2 306.406 1.518 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1c(C)cc(C)cc1C ZINC000884075913 708128870 /nfs/dbraw/zinc/12/88/70/708128870.db2.gz NEEWNRUTKSJXKX-HNNXBMFYSA-N 1 2 304.390 1.675 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(C2CC2)n(CC)n1 ZINC000884141158 708158101 /nfs/dbraw/zinc/15/81/01/708158101.db2.gz RHJROIAIBKAZNJ-NSHDSACASA-N 1 2 306.366 1.166 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@@H](c2ccccc2)C[C@@H]1C ZINC000884142707 708158354 /nfs/dbraw/zinc/15/83/54/708158354.db2.gz IMXBQIDKSGJYRL-BPUTZDHNSA-N 1 2 316.401 1.838 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)Oc1ccc(F)cc1 ZINC000884155796 708164139 /nfs/dbraw/zinc/16/41/39/708164139.db2.gz LDHMMIBQFILJGX-FZMZJTMJSA-N 1 2 324.352 1.156 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCC[C@@H](SCC)C1 ZINC000884170351 708170751 /nfs/dbraw/zinc/17/07/51/708170751.db2.gz VRSLDXFSOGLJDK-XQQFMLRXSA-N 1 2 314.451 1.614 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1C[C@@H](OC)C1(CC)CC ZINC000884173574 708172059 /nfs/dbraw/zinc/17/20/59/708172059.db2.gz ZKVBUNRCHQLKRB-RWMBFGLXSA-N 1 2 312.410 1.143 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(CCC#N)cc2)C[C@@H]1C ZINC000885073216 708462963 /nfs/dbraw/zinc/46/29/63/708462963.db2.gz DYTVSEVVBDSAIH-AWEZNQCLSA-N 1 2 321.446 1.857 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(CCC#N)cc2)C[C@@H]1C ZINC000885073216 708462965 /nfs/dbraw/zinc/46/29/65/708462965.db2.gz DYTVSEVVBDSAIH-AWEZNQCLSA-N 1 2 321.446 1.857 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1 ZINC000897909666 708489866 /nfs/dbraw/zinc/48/98/66/708489866.db2.gz QWMXUNPEIWJCNZ-UGFHNGPFSA-N 1 2 304.394 1.640 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1 ZINC000897909666 708489869 /nfs/dbraw/zinc/48/98/69/708489869.db2.gz QWMXUNPEIWJCNZ-UGFHNGPFSA-N 1 2 304.394 1.640 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)c1ccc(C2(C#N)CC2)cc1 ZINC000898889938 708909526 /nfs/dbraw/zinc/90/95/26/708909526.db2.gz RNKPXVTUGVGFBX-CQSZACIVSA-N 1 2 310.357 1.439 20 30 DDEDLO N#Cc1ccc(F)c(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC000888373701 709290944 /nfs/dbraw/zinc/29/09/44/709290944.db2.gz OYLWSUMOWCFDOU-CYBMUJFWSA-N 1 2 318.352 1.636 20 30 DDEDLO CS(=O)(=O)NC[C@H]1CCCC[N@@H+]1Cc1csc(C#N)c1 ZINC000891621559 710251057 /nfs/dbraw/zinc/25/10/57/710251057.db2.gz QQOFULUVMHDGBK-GFCCVEGCSA-N 1 2 313.448 1.523 20 30 DDEDLO CS(=O)(=O)NC[C@H]1CCCC[N@H+]1Cc1csc(C#N)c1 ZINC000891621559 710251059 /nfs/dbraw/zinc/25/10/59/710251059.db2.gz QQOFULUVMHDGBK-GFCCVEGCSA-N 1 2 313.448 1.523 20 30 DDEDLO Cc1cc(C#N)nc(N2CC[NH+]([C@H](C)C(=O)NC3CC3)CC2)c1 ZINC000891653167 710257829 /nfs/dbraw/zinc/25/78/29/710257829.db2.gz PSRIXQDLUOVPEA-CYBMUJFWSA-N 1 2 313.405 1.051 20 30 DDEDLO C#CCSCC(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC000913365335 713205396 /nfs/dbraw/zinc/20/53/96/713205396.db2.gz XVWDWXNHPYNDKW-UHFFFAOYSA-N 1 2 303.431 1.135 20 30 DDEDLO CC[C@@]1(C)CC(=O)N(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1 ZINC000902599924 710810838 /nfs/dbraw/zinc/81/08/38/710810838.db2.gz OMYHGKXQNURKBT-INIZCTEOSA-N 1 2 306.406 1.930 20 30 DDEDLO CCC[C@@H]1CC(=O)N(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1 ZINC000902599757 710811083 /nfs/dbraw/zinc/81/10/83/710811083.db2.gz JGKSOOFFFICWQJ-CQSZACIVSA-N 1 2 306.406 1.930 20 30 DDEDLO C#CCSCC(=O)N1CC[NH2+][C@H](c2cccc(OC)c2)C1 ZINC000913482152 713234233 /nfs/dbraw/zinc/23/42/33/713234233.db2.gz GRJOHPJBENVFDU-HNNXBMFYSA-N 1 2 304.415 1.535 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(CC#N)cc1 ZINC000928643688 713247734 /nfs/dbraw/zinc/24/77/34/713247734.db2.gz UWZZWDLRGPBNEV-INIZCTEOSA-N 1 2 301.390 1.593 20 30 DDEDLO CN(C)c1cc(N2CCN(c3ccc(C#N)cc3)CC2)nc[nH+]1 ZINC000895020292 711372198 /nfs/dbraw/zinc/37/21/98/711372198.db2.gz AVRLSNOCZRDRMX-UHFFFAOYSA-N 1 2 308.389 1.741 20 30 DDEDLO CN(C)c1cc(N2CCN(c3ccc(C#N)cc3)CC2)[nH+]cn1 ZINC000895020292 711372202 /nfs/dbraw/zinc/37/22/02/711372202.db2.gz AVRLSNOCZRDRMX-UHFFFAOYSA-N 1 2 308.389 1.741 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2cc(C)ccc2F)no1 ZINC000904123900 711386568 /nfs/dbraw/zinc/38/65/68/711386568.db2.gz TYBKHLULZVLLJA-ZDUSSCGKSA-N 1 2 319.336 1.707 20 30 DDEDLO CC(C)(C)[C@](C)(C#N)NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000904182246 711402868 /nfs/dbraw/zinc/40/28/68/711402868.db2.gz XQOIGUKBTYRJPL-KEYYUXOJSA-N 1 2 323.437 1.311 20 30 DDEDLO C#CC[NH+]1CCC(NC(=O)c2cc(O)ccc2[N+](=O)[O-])CC1 ZINC000928652848 713252453 /nfs/dbraw/zinc/25/24/53/713252453.db2.gz OWXBSWRRMNJSKQ-UHFFFAOYSA-N 1 2 303.318 1.128 20 30 DDEDLO Cc1c(C(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)cnn1CCC#N ZINC000896153557 711683259 /nfs/dbraw/zinc/68/32/59/711683259.db2.gz VCADSTZFEMTDIE-CQSZACIVSA-N 1 2 312.377 1.779 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CCO[C@@](C)(C#N)C1 ZINC000896239343 711695649 /nfs/dbraw/zinc/69/56/49/711695649.db2.gz JMXNNOVRKUFJIH-WBVHZDCISA-N 1 2 314.389 1.497 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CCO[C@@](C)(C#N)C1 ZINC000896239343 711695650 /nfs/dbraw/zinc/69/56/50/711695650.db2.gz JMXNNOVRKUFJIH-WBVHZDCISA-N 1 2 314.389 1.497 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)c2c(C)cc(C)[nH+]c2C)C1=O ZINC000907960862 712655712 /nfs/dbraw/zinc/65/57/12/712655712.db2.gz XVARYOTZGUNZQU-AWEZNQCLSA-N 1 2 301.390 1.866 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C[NH+]1CCN(S(C)(=O)=O)CC1 ZINC000929099276 713545701 /nfs/dbraw/zinc/54/57/01/713545701.db2.gz CBNBUMYNFYUDKZ-UHFFFAOYSA-N 1 2 307.419 1.252 20 30 DDEDLO Cn1cc([C@H](c2cccc(F)c2)[N@H+](C)C[C@H](O)CC#N)cn1 ZINC000929682568 713667990 /nfs/dbraw/zinc/66/79/90/713667990.db2.gz TWIMHWJRFFQMAP-CVEARBPZSA-N 1 2 302.353 1.855 20 30 DDEDLO Cn1cc([C@H](c2cccc(F)c2)[N@@H+](C)C[C@H](O)CC#N)cn1 ZINC000929682568 713667991 /nfs/dbraw/zinc/66/79/91/713667991.db2.gz TWIMHWJRFFQMAP-CVEARBPZSA-N 1 2 302.353 1.855 20 30 DDEDLO C=CC[N@H+](CCOC1CCSCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000929976030 713726429 /nfs/dbraw/zinc/72/64/29/713726429.db2.gz LRSPRIUIHLHLJJ-CYBMUJFWSA-N 1 2 319.492 1.574 20 30 DDEDLO C=CC[N@@H+](CCOC1CCSCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000929976030 713726430 /nfs/dbraw/zinc/72/64/30/713726430.db2.gz LRSPRIUIHLHLJJ-CYBMUJFWSA-N 1 2 319.492 1.574 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N2CCC[C@@H](C#N)C2)C1 ZINC000921358890 713743519 /nfs/dbraw/zinc/74/35/19/713743519.db2.gz TZPMNZDUKMTMJL-ZDUSSCGKSA-N 1 2 319.430 1.599 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N2CCC[C@@H](C#N)C2)C1 ZINC000921358890 713743521 /nfs/dbraw/zinc/74/35/21/713743521.db2.gz TZPMNZDUKMTMJL-ZDUSSCGKSA-N 1 2 319.430 1.599 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000930459820 713840598 /nfs/dbraw/zinc/84/05/98/713840598.db2.gz YKJUIDOULNQFBE-GFCCVEGCSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000930459820 713840602 /nfs/dbraw/zinc/84/06/02/713840602.db2.gz YKJUIDOULNQFBE-GFCCVEGCSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C[NH+]1CCN(Cc2nc[nH]n2)CC1 ZINC000930859021 713939274 /nfs/dbraw/zinc/93/92/74/713939274.db2.gz MCWBRNCSPACAKR-UHFFFAOYSA-N 1 2 310.405 1.611 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C[NH+]1CCN(Cc2nnc[nH]2)CC1 ZINC000930859021 713939276 /nfs/dbraw/zinc/93/92/76/713939276.db2.gz MCWBRNCSPACAKR-UHFFFAOYSA-N 1 2 310.405 1.611 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)CC1 ZINC000931145361 714015375 /nfs/dbraw/zinc/01/53/75/714015375.db2.gz IPHUANFSZCWEAG-GFCCVEGCSA-N 1 2 317.345 1.382 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000931414263 714090837 /nfs/dbraw/zinc/09/08/37/714090837.db2.gz CQAMKFJWCZRIES-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000931414263 714090840 /nfs/dbraw/zinc/09/08/40/714090840.db2.gz CQAMKFJWCZRIES-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@](O)(C[N@@H+]2CCC[C@H](C#N)C2)C1 ZINC000932151040 714272038 /nfs/dbraw/zinc/27/20/38/714272038.db2.gz GQDVQVMJWFIFTH-CJNGLKHVSA-N 1 2 309.410 1.594 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@](O)(C[N@H+]2CCC[C@H](C#N)C2)C1 ZINC000932151040 714272041 /nfs/dbraw/zinc/27/20/41/714272041.db2.gz GQDVQVMJWFIFTH-CJNGLKHVSA-N 1 2 309.410 1.594 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H]1c1ccnn1C ZINC000932269269 714296570 /nfs/dbraw/zinc/29/65/70/714296570.db2.gz INTNNVQIXHTYFY-ZFWWWQNUSA-N 1 2 303.410 1.565 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@H]1c1ccnn1C ZINC000932269269 714296572 /nfs/dbraw/zinc/29/65/72/714296572.db2.gz INTNNVQIXHTYFY-ZFWWWQNUSA-N 1 2 303.410 1.565 20 30 DDEDLO N#CC1(NC(=O)C[NH2+][C@@H](c2ncccn2)C2CC2)CCCCC1 ZINC000932370313 714319379 /nfs/dbraw/zinc/31/93/79/714319379.db2.gz YIIPDAHHAQBBLF-OAHLLOKOSA-N 1 2 313.405 1.860 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(Br)co2)C1 ZINC000923554710 714399584 /nfs/dbraw/zinc/39/95/84/714399584.db2.gz KFCULAPAUDVFCA-NSHDSACASA-N 1 2 311.179 1.870 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(Br)co2)C1 ZINC000923554710 714399587 /nfs/dbraw/zinc/39/95/87/714399587.db2.gz KFCULAPAUDVFCA-NSHDSACASA-N 1 2 311.179 1.870 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(F)(F)c2ccccc2C#N)C1 ZINC000923558421 714402067 /nfs/dbraw/zinc/40/20/67/714402067.db2.gz RKUXAFDRZZRZRS-AWEZNQCLSA-N 1 2 317.339 1.864 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(F)(F)c2ccccc2C#N)C1 ZINC000923558421 714402070 /nfs/dbraw/zinc/40/20/70/714402070.db2.gz RKUXAFDRZZRZRS-AWEZNQCLSA-N 1 2 317.339 1.864 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2c(C)noc2C(F)(F)F)C1 ZINC000923572612 714411192 /nfs/dbraw/zinc/41/11/92/714411192.db2.gz KDNBYIJIHAIKOF-SNVBAGLBSA-N 1 2 315.295 1.829 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2c(C)noc2C(F)(F)F)C1 ZINC000923572612 714411193 /nfs/dbraw/zinc/41/11/93/714411193.db2.gz KDNBYIJIHAIKOF-SNVBAGLBSA-N 1 2 315.295 1.829 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)COc2ccccc2C=O)C1 ZINC000923580035 714414718 /nfs/dbraw/zinc/41/47/18/714414718.db2.gz WNMUJMQMQKQDPC-OAHLLOKOSA-N 1 2 300.358 1.092 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)COc2ccccc2C=O)C1 ZINC000923580035 714414719 /nfs/dbraw/zinc/41/47/19/714414719.db2.gz WNMUJMQMQKQDPC-OAHLLOKOSA-N 1 2 300.358 1.092 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(C(=O)OC)s2)C1 ZINC000923589672 714419668 /nfs/dbraw/zinc/41/96/68/714419668.db2.gz KAEBJEMYPCGHBT-LLVKDONJSA-N 1 2 306.387 1.362 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(=O)OC)s2)C1 ZINC000923589672 714419671 /nfs/dbraw/zinc/41/96/71/714419671.db2.gz KAEBJEMYPCGHBT-LLVKDONJSA-N 1 2 306.387 1.362 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc(NC3CC3)s2)C1 ZINC000923594618 714422518 /nfs/dbraw/zinc/42/25/18/714422518.db2.gz MDGRUFRWKXTGMQ-GFCCVEGCSA-N 1 2 304.419 1.545 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc(NC3CC3)s2)C1 ZINC000923594618 714422519 /nfs/dbraw/zinc/42/25/19/714422519.db2.gz MDGRUFRWKXTGMQ-GFCCVEGCSA-N 1 2 304.419 1.545 20 30 DDEDLO N#CC[C@@H](NC(=O)N[C@H]1CCn2cc[nH+]c2C1)C(F)(F)F ZINC000932908267 714434940 /nfs/dbraw/zinc/43/49/40/714434940.db2.gz QJEONBVXAWSKAX-DTWKUNHWSA-N 1 2 301.272 1.342 20 30 DDEDLO COC(=O)c1cc(C[NH+]2CCC3(CC2)CC(=O)C=CO3)on1 ZINC000933644762 714637503 /nfs/dbraw/zinc/63/75/03/714637503.db2.gz VFYWMNOEHNFVSA-UHFFFAOYSA-N 1 2 306.318 1.299 20 30 DDEDLO CC(C)CNC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1cc(C#N)cs1 ZINC000933776057 714669514 /nfs/dbraw/zinc/66/95/14/714669514.db2.gz SCNALZLXIVJXNQ-NSHDSACASA-N 1 2 322.434 1.922 20 30 DDEDLO CC(C)CNC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933776057 714669515 /nfs/dbraw/zinc/66/95/15/714669515.db2.gz SCNALZLXIVJXNQ-NSHDSACASA-N 1 2 322.434 1.922 20 30 DDEDLO CC(C)(C)[C@H]1CNC(=O)C[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000933828064 714677504 /nfs/dbraw/zinc/67/75/04/714677504.db2.gz QHCXSGWBCWNMOY-OAHLLOKOSA-N 1 2 301.390 1.784 20 30 DDEDLO CC(C)(C)[C@H]1CNC(=O)C[N@H+]1CCOc1ccc(C#N)cc1 ZINC000933828064 714677506 /nfs/dbraw/zinc/67/75/06/714677506.db2.gz QHCXSGWBCWNMOY-OAHLLOKOSA-N 1 2 301.390 1.784 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+][C@H](CC)c1cccc(C#N)c1O ZINC000926127080 715010339 /nfs/dbraw/zinc/01/03/39/715010339.db2.gz PCCVZUZZEWVKSH-CQSZACIVSA-N 1 2 308.403 1.905 20 30 DDEDLO CC[C@H]([NH2+]CC1(S(C)(=O)=O)CC1)c1cccc(C#N)c1O ZINC000926331347 715049454 /nfs/dbraw/zinc/04/94/54/715049454.db2.gz HMVWULAQPKAVBR-ZDUSSCGKSA-N 1 2 308.403 1.882 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC000956604694 715485175 /nfs/dbraw/zinc/48/51/75/715485175.db2.gz GATZGJLCGHSHII-QAPCUYQASA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC000957120671 715757518 /nfs/dbraw/zinc/75/75/18/715757518.db2.gz KLJJMNJQVUHNKM-AWEZNQCLSA-N 1 2 308.426 1.028 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2cccc(NC(C)=O)c2)CC1 ZINC000957406729 715885876 /nfs/dbraw/zinc/88/58/76/715885876.db2.gz JRJGSTLIUWBPLT-UHFFFAOYSA-N 1 2 301.390 1.518 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccc(C(=O)NC)c2)CC1 ZINC000957712130 716010967 /nfs/dbraw/zinc/01/09/67/716010967.db2.gz LJVZICDJEYVOMW-UHFFFAOYSA-N 1 2 301.390 1.380 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc[nH]c1 ZINC000960009611 716387031 /nfs/dbraw/zinc/38/70/31/716387031.db2.gz FCCWNWSVPQBULR-GDNZZTSVSA-N 1 2 310.195 1.583 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc[nH]c1 ZINC000960009611 716387033 /nfs/dbraw/zinc/38/70/33/716387033.db2.gz FCCWNWSVPQBULR-GDNZZTSVSA-N 1 2 310.195 1.583 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2cc(CC)on2)[C@H](O)C1 ZINC000958602593 716656051 /nfs/dbraw/zinc/65/60/51/716656051.db2.gz IQCIEXBITDEZHV-ZWNOBZJWSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2cc(CC)on2)[C@H](O)C1 ZINC000958602593 716656054 /nfs/dbraw/zinc/65/60/54/716656054.db2.gz IQCIEXBITDEZHV-ZWNOBZJWSA-N 1 2 313.785 1.012 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000940748074 716943395 /nfs/dbraw/zinc/94/33/95/716943395.db2.gz UTMLSLAYYDCZKC-CZUORRHYSA-N 1 2 314.433 1.680 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000940748074 716943400 /nfs/dbraw/zinc/94/34/00/716943400.db2.gz UTMLSLAYYDCZKC-CZUORRHYSA-N 1 2 314.433 1.680 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CCN(CC#N)CC2(C)C)c[nH+]1 ZINC000940835204 716983042 /nfs/dbraw/zinc/98/30/42/716983042.db2.gz AAIHNWMSZPRAEU-CQSZACIVSA-N 1 2 317.437 1.667 20 30 DDEDLO C=CCC[NH+]1CC(N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC000941416476 717173212 /nfs/dbraw/zinc/17/32/12/717173212.db2.gz ARSQJHISOGVFPE-LBPRGKRZSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CCC[NH+]1CC(N2CCN(C(=O)[C@H](F)C(F)(F)F)CC2)C1 ZINC000941416476 717173215 /nfs/dbraw/zinc/17/32/15/717173215.db2.gz ARSQJHISOGVFPE-LBPRGKRZSA-N 1 2 323.334 1.291 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3C[C@@]3(CC)C(C)C)CC2)C1 ZINC000941623229 717234044 /nfs/dbraw/zinc/23/40/44/717234044.db2.gz ULHVRBFKYQDPDE-MJGOQNOKSA-N 1 2 317.477 1.520 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC000942282081 717598849 /nfs/dbraw/zinc/59/88/49/717598849.db2.gz YOTUIFTYNHERGK-CQSZACIVSA-N 1 2 316.405 1.416 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@@H]([C@@H]2CCCN2CC#N)C1 ZINC000963408590 717779209 /nfs/dbraw/zinc/77/92/09/717779209.db2.gz VFVCPVJOUOAQBC-ZBFHGGJFSA-N 1 2 315.421 1.487 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2nnc3ccccc3c2O)[C@@H](C)C1 ZINC000943290415 718069750 /nfs/dbraw/zinc/06/97/50/718069750.db2.gz XCWZIQKKHDYJQX-GXTWGEPZSA-N 1 2 324.384 1.409 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2nnc3ccccc3c2O)[C@@H](C)C1 ZINC000943290415 718069754 /nfs/dbraw/zinc/06/97/54/718069754.db2.gz XCWZIQKKHDYJQX-GXTWGEPZSA-N 1 2 324.384 1.409 20 30 DDEDLO C[C@H]1CN(CC#N)CC[C@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000943879849 718214531 /nfs/dbraw/zinc/21/45/31/718214531.db2.gz WJNYZKDENYCARH-WMLDXEAASA-N 1 2 323.400 1.836 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@H](C)C1 ZINC000944014753 718242221 /nfs/dbraw/zinc/24/22/21/718242221.db2.gz FVAWWGBOMCEXND-IUODEOHRSA-N 1 2 310.401 1.945 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2cccc3nc(C)[nH]c32)[C@H](C)C1 ZINC000944014753 718242222 /nfs/dbraw/zinc/24/22/22/718242222.db2.gz FVAWWGBOMCEXND-IUODEOHRSA-N 1 2 310.401 1.945 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)Cn2cc[nH+]c2C)[C@@H](C)C1 ZINC000944965087 718370803 /nfs/dbraw/zinc/37/08/03/718370803.db2.gz PXRYZGWXTHQDGB-SMDDNHRTSA-N 1 2 310.829 1.771 20 30 DDEDLO C[C@H]1CCN(CC#N)C[C@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000968498261 719624428 /nfs/dbraw/zinc/62/44/28/719624428.db2.gz YVQVAAREYQOGKY-WMLDXEAASA-N 1 2 323.400 1.836 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CCO[C@H]1C=C ZINC000948957760 719803271 /nfs/dbraw/zinc/80/32/71/719803271.db2.gz HNSVAZAICRHNOG-QYZOEREBSA-N 1 2 324.424 1.926 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CCO[C@H]1C=C ZINC000948957760 719803278 /nfs/dbraw/zinc/80/32/78/719803278.db2.gz HNSVAZAICRHNOG-QYZOEREBSA-N 1 2 324.424 1.926 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccnnc1 ZINC000948965996 719812545 /nfs/dbraw/zinc/81/25/45/719812545.db2.gz TYXJDZRFHDYLRL-GOSISDBHSA-N 1 2 320.396 1.829 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccnnc1 ZINC000948965996 719812554 /nfs/dbraw/zinc/81/25/54/719812554.db2.gz TYXJDZRFHDYLRL-GOSISDBHSA-N 1 2 320.396 1.829 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnn3cccc(Cl)c23)CC1 ZINC000949204856 719957431 /nfs/dbraw/zinc/95/74/31/719957431.db2.gz DUPOQYPPYYGQRA-UHFFFAOYSA-N 1 2 304.781 1.932 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2ccc(OC)c(F)c2)CC1 ZINC000949263133 719981553 /nfs/dbraw/zinc/98/15/53/719981553.db2.gz KIKQQAVLPULZQY-UHFFFAOYSA-N 1 2 318.392 1.934 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccoc3CC)CC2)C1 ZINC000949355515 720037128 /nfs/dbraw/zinc/03/71/28/720037128.db2.gz QQCXEROUQPZIHV-UHFFFAOYSA-N 1 2 316.401 1.782 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccoc3CC)CC2)C1 ZINC000949355515 720037130 /nfs/dbraw/zinc/03/71/30/720037130.db2.gz QQCXEROUQPZIHV-UHFFFAOYSA-N 1 2 316.401 1.782 20 30 DDEDLO C[C@@H](NC(=O)c1ccon1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969352543 720078423 /nfs/dbraw/zinc/07/84/23/720078423.db2.gz UZKYJHNGDDRUNF-GFCCVEGCSA-N 1 2 310.357 1.797 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1 ZINC000970242528 720675324 /nfs/dbraw/zinc/67/53/24/720675324.db2.gz ZWMKASLFCALJIQ-XBFCOCLRSA-N 1 2 322.434 1.210 20 30 DDEDLO CC#CCN1CC([C@H](C)NC(=O)c2occc2C[NH+](C)C)C1 ZINC000970338791 720718741 /nfs/dbraw/zinc/71/87/41/720718741.db2.gz HRNSHLKRTBABJU-ZDUSSCGKSA-N 1 2 303.406 1.415 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000951535672 721061869 /nfs/dbraw/zinc/06/18/69/721061869.db2.gz XSYRTCXTCKLUDP-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCCc3nc[nH]c32)C1 ZINC000951730693 721157030 /nfs/dbraw/zinc/15/70/30/721157030.db2.gz YEVCONXKFJSRID-AWEZNQCLSA-N 1 2 302.422 1.938 20 30 DDEDLO COc1cc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)on1 ZINC000971511100 721331562 /nfs/dbraw/zinc/33/15/62/721331562.db2.gz IVNKOPITUUBKBN-CYBMUJFWSA-N 1 2 303.362 1.129 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)on1 ZINC000971511100 721331566 /nfs/dbraw/zinc/33/15/66/721331566.db2.gz IVNKOPITUUBKBN-CYBMUJFWSA-N 1 2 303.362 1.129 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnnn2-c2ccccc2)C1 ZINC000952371073 721424868 /nfs/dbraw/zinc/42/48/68/721424868.db2.gz LYTHAJQBWMJJNI-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccnc(N(C)C)c2)C1 ZINC000952391235 721434050 /nfs/dbraw/zinc/43/40/50/721434050.db2.gz CMXJEHGTNJATPZ-UHFFFAOYSA-N 1 2 302.422 1.870 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N(CC)C1C[NH+](CCOC)C1 ZINC000952429590 721447029 /nfs/dbraw/zinc/44/70/29/721447029.db2.gz UVAPFGHBDMTVBN-HOTGVXAUSA-N 1 2 310.438 1.537 20 30 DDEDLO Cc1c[nH+]c2n1CCN(C)C21CCN(c2cncc(C#N)n2)CC1 ZINC001164916187 721813241 /nfs/dbraw/zinc/81/32/41/721813241.db2.gz FTORQPALMIYOAD-UHFFFAOYSA-N 1 2 323.404 1.294 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc2ccccc2c(=O)o1 ZINC001038295758 735488046 /nfs/dbraw/zinc/48/80/46/735488046.db2.gz XXSXTXDGHHQKGY-AWEZNQCLSA-N 1 2 310.353 1.620 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc2ccccc2c(=O)o1 ZINC001038295758 735488047 /nfs/dbraw/zinc/48/80/47/735488047.db2.gz XXSXTXDGHHQKGY-AWEZNQCLSA-N 1 2 310.353 1.620 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccsc3)[C@H]2C1 ZINC001083190681 732482059 /nfs/dbraw/zinc/48/20/59/732482059.db2.gz VLLDRBBOQTUFSW-AEGPPILISA-N 1 2 304.415 1.396 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccsc3)[C@H]2C1 ZINC001083190681 732482060 /nfs/dbraw/zinc/48/20/60/732482060.db2.gz VLLDRBBOQTUFSW-AEGPPILISA-N 1 2 304.415 1.396 20 30 DDEDLO Cc1nsc(NC[C@H](C)CNC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001104225771 732490856 /nfs/dbraw/zinc/49/08/56/732490856.db2.gz BPXPUXCZISZYAO-SECBINFHSA-N 1 2 318.406 1.453 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(N(CC)CC)nc1 ZINC001039068779 732618695 /nfs/dbraw/zinc/61/86/95/732618695.db2.gz ZRQOOJBQYQGPJT-MRXNPFEDSA-N 1 2 314.433 1.755 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(N(CC)CC)nc1 ZINC001039068779 732618697 /nfs/dbraw/zinc/61/86/97/732618697.db2.gz ZRQOOJBQYQGPJT-MRXNPFEDSA-N 1 2 314.433 1.755 20 30 DDEDLO COC(=O)c1cc(C#N)cnc1N1CCC(n2cc[nH+]c2)CC1 ZINC001167125758 732706132 /nfs/dbraw/zinc/70/61/32/732706132.db2.gz VYNOZOGJKZZBTM-UHFFFAOYSA-N 1 2 311.345 1.778 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](F)c3ccccc3)[C@H]2C1 ZINC001083207044 733282708 /nfs/dbraw/zinc/28/27/08/733282708.db2.gz FJBKFPQAGHVDBX-XHSDSOJGSA-N 1 2 302.349 1.242 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](F)c3ccccc3)[C@H]2C1 ZINC001083207044 733282712 /nfs/dbraw/zinc/28/27/12/733282712.db2.gz FJBKFPQAGHVDBX-XHSDSOJGSA-N 1 2 302.349 1.242 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](F)c3ccccc3)[C@H]2C1 ZINC001083207043 733282757 /nfs/dbraw/zinc/28/27/57/733282757.db2.gz FJBKFPQAGHVDBX-ARFHVFGLSA-N 1 2 302.349 1.242 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](F)c3ccccc3)[C@H]2C1 ZINC001083207043 733282759 /nfs/dbraw/zinc/28/27/59/733282759.db2.gz FJBKFPQAGHVDBX-ARFHVFGLSA-N 1 2 302.349 1.242 20 30 DDEDLO C=CCCC(=O)NC[C@H](CO)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001121198321 782404898 /nfs/dbraw/zinc/40/48/98/782404898.db2.gz PCUJAAADIDITDJ-CYBMUJFWSA-N 1 2 304.394 1.518 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C[C@H](C)C(C)C)C2)nn1 ZINC001098632586 738807575 /nfs/dbraw/zinc/80/75/75/738807575.db2.gz DDPLGDXONLWXGM-HOCLYGCPSA-N 1 2 317.437 1.457 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)c2ccsc2)C1=O ZINC001038041991 734655238 /nfs/dbraw/zinc/65/52/38/734655238.db2.gz JSEQYVNQNOJREU-UONOGXRCSA-N 1 2 319.430 1.339 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)c2ccsc2)C1=O ZINC001038041991 734655240 /nfs/dbraw/zinc/65/52/40/734655240.db2.gz JSEQYVNQNOJREU-UONOGXRCSA-N 1 2 319.430 1.339 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ncoc3C3CC3)[C@H]2C1 ZINC001083241262 734697221 /nfs/dbraw/zinc/69/72/21/734697221.db2.gz XDZKMOBWQOSJCX-UONOGXRCSA-N 1 2 315.373 1.101 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ncoc3C3CC3)[C@H]2C1 ZINC001083241262 734697226 /nfs/dbraw/zinc/69/72/26/734697226.db2.gz XDZKMOBWQOSJCX-UONOGXRCSA-N 1 2 315.373 1.101 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3oc(CC)cc3C)[C@H]2C1 ZINC001083248950 734810006 /nfs/dbraw/zinc/81/00/06/734810006.db2.gz GBLLTZWBVHTWJM-LSDHHAIUSA-N 1 2 302.374 1.309 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3oc(CC)cc3C)[C@H]2C1 ZINC001083248950 734810010 /nfs/dbraw/zinc/81/00/10/734810010.db2.gz GBLLTZWBVHTWJM-LSDHHAIUSA-N 1 2 302.374 1.309 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](CO)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC001121324895 782458637 /nfs/dbraw/zinc/45/86/37/782458637.db2.gz RYNZTJOHBGRAFK-ZDUSSCGKSA-N 1 2 322.409 1.000 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC34CCOCC4)C2)C1 ZINC000972706630 735555754 /nfs/dbraw/zinc/55/57/54/735555754.db2.gz BTKFIUPSLJOJNM-CRAIPNDOSA-N 1 2 320.433 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC34CCOCC4)C2)C1 ZINC000972706630 735555756 /nfs/dbraw/zinc/55/57/56/735555756.db2.gz BTKFIUPSLJOJNM-CRAIPNDOSA-N 1 2 320.433 1.292 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCC3(F)F)n2C)CC1 ZINC001121407865 782495706 /nfs/dbraw/zinc/49/57/06/782495706.db2.gz ZAUCXRDGDCZSQN-GFCCVEGCSA-N 1 2 309.364 1.473 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCc3cccs3)[C@H]2C1 ZINC001083270444 735992658 /nfs/dbraw/zinc/99/26/58/735992658.db2.gz HJFYNJLXGFRKSP-LSDHHAIUSA-N 1 2 304.415 1.226 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCc3cccs3)[C@H]2C1 ZINC001083270444 735992659 /nfs/dbraw/zinc/99/26/59/735992659.db2.gz HJFYNJLXGFRKSP-LSDHHAIUSA-N 1 2 304.415 1.226 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(CC)CCOCC1 ZINC001018115733 736347888 /nfs/dbraw/zinc/34/78/88/736347888.db2.gz FVPFGQYCHYUAAG-IYBDPMFKSA-N 1 2 304.434 1.892 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(CC)CCOCC1 ZINC001018115733 736347890 /nfs/dbraw/zinc/34/78/90/736347890.db2.gz FVPFGQYCHYUAAG-IYBDPMFKSA-N 1 2 304.434 1.892 20 30 DDEDLO CCOC(=O)[C@H]1[C@@H]2C[N@@H+](Cc3ccc(OC)cc3C#N)C[C@@H]21 ZINC001137705925 736423650 /nfs/dbraw/zinc/42/36/50/736423650.db2.gz HOPUHPMWFGORIZ-ZSHCYNCHSA-N 1 2 300.358 1.808 20 30 DDEDLO CCOC(=O)[C@H]1[C@@H]2C[N@H+](Cc3ccc(OC)cc3C#N)C[C@@H]21 ZINC001137705925 736423656 /nfs/dbraw/zinc/42/36/56/736423656.db2.gz HOPUHPMWFGORIZ-ZSHCYNCHSA-N 1 2 300.358 1.808 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C[C@H]1CCNCC#N ZINC001104699561 736528357 /nfs/dbraw/zinc/52/83/57/736528357.db2.gz YJYASAXFKZFKNO-KBUPBQIOSA-N 1 2 315.421 1.121 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)C3CCC3)C2)nn1 ZINC001105265812 737691109 /nfs/dbraw/zinc/69/11/09/737691109.db2.gz INFUEXXBWUNLMM-CYBMUJFWSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1CNC(=O)c1cnc([C@@H]2CCCO2)s1 ZINC001028188204 739137909 /nfs/dbraw/zinc/13/79/09/739137909.db2.gz WSQFUMGJPWMXPY-STQMWFEESA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1cnc([C@@H]2CCCO2)s1 ZINC001028188204 739137913 /nfs/dbraw/zinc/13/79/13/739137913.db2.gz WSQFUMGJPWMXPY-STQMWFEESA-N 1 2 319.430 1.822 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)cs1 ZINC001075615851 739187664 /nfs/dbraw/zinc/18/76/64/739187664.db2.gz ASWTUAOQUIRHOL-SCDSUCTJSA-N 1 2 304.419 1.644 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)cs1 ZINC001075615851 739187666 /nfs/dbraw/zinc/18/76/66/739187666.db2.gz ASWTUAOQUIRHOL-SCDSUCTJSA-N 1 2 304.419 1.644 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001126343735 739251085 /nfs/dbraw/zinc/25/10/85/739251085.db2.gz FNLCFMCHXKIUEM-LBPRGKRZSA-N 1 2 308.382 1.176 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2[nH]ncc2C(F)(F)F)C1 ZINC001035392820 751463058 /nfs/dbraw/zinc/46/30/58/751463058.db2.gz RYLUBQBMUUIJIK-VIFPVBQESA-N 1 2 318.299 1.045 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2[nH]ncc2C(F)(F)F)C1 ZINC001035392820 751463060 /nfs/dbraw/zinc/46/30/60/751463060.db2.gz RYLUBQBMUUIJIK-VIFPVBQESA-N 1 2 318.299 1.045 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncc(C)cc2OC)C1 ZINC001035395315 751465707 /nfs/dbraw/zinc/46/57/07/751465707.db2.gz CJBYCKILXPEJRQ-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncc(C)cc2OC)C1 ZINC001035395315 751465715 /nfs/dbraw/zinc/46/57/15/751465715.db2.gz CJBYCKILXPEJRQ-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccnn2C(F)F)C1 ZINC001035380044 751477031 /nfs/dbraw/zinc/47/70/31/751477031.db2.gz DPJQDFKOMBFTCN-NSHDSACASA-N 1 2 314.336 1.285 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccnn2C(F)F)C1 ZINC001035380044 751477035 /nfs/dbraw/zinc/47/70/35/751477035.db2.gz DPJQDFKOMBFTCN-NSHDSACASA-N 1 2 314.336 1.285 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(F)c(C)cc2F)C1 ZINC001035406203 751488625 /nfs/dbraw/zinc/48/86/25/751488625.db2.gz GRDCMRHIQUIMCN-GFCCVEGCSA-N 1 2 310.344 1.890 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(F)c(C)cc2F)C1 ZINC001035406203 751488626 /nfs/dbraw/zinc/48/86/26/751488626.db2.gz GRDCMRHIQUIMCN-GFCCVEGCSA-N 1 2 310.344 1.890 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001059108256 740005760 /nfs/dbraw/zinc/00/57/60/740005760.db2.gz XBCMCZBULUAGSY-BFHYXJOUSA-N 1 2 322.372 1.493 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001059108256 740005763 /nfs/dbraw/zinc/00/57/63/740005763.db2.gz XBCMCZBULUAGSY-BFHYXJOUSA-N 1 2 322.372 1.493 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn(C)c3ccccc23)C1 ZINC001035432446 751509820 /nfs/dbraw/zinc/50/98/20/751509820.db2.gz UIVAWUDOVICVHL-CQSZACIVSA-N 1 2 313.401 1.795 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn(C)c3ccccc23)C1 ZINC001035432446 751509825 /nfs/dbraw/zinc/50/98/25/751509825.db2.gz UIVAWUDOVICVHL-CQSZACIVSA-N 1 2 313.401 1.795 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](NC(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001059250279 740480936 /nfs/dbraw/zinc/48/09/36/740480936.db2.gz NMYIIHINGVIVOW-HDJSIYSDSA-N 1 2 310.361 1.218 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21)Nc1ccc(C#N)cn1 ZINC001098268163 740485286 /nfs/dbraw/zinc/48/52/86/740485286.db2.gz PYGMTEDGIKWJPS-AAEUAGOBSA-N 1 2 324.388 1.713 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(F)ccc2OC)C1 ZINC001035468863 751553735 /nfs/dbraw/zinc/55/37/35/751553735.db2.gz HOZZDQOQBJRJDR-ZDUSSCGKSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(F)ccc2OC)C1 ZINC001035468863 751553741 /nfs/dbraw/zinc/55/37/41/751553741.db2.gz HOZZDQOQBJRJDR-ZDUSSCGKSA-N 1 2 308.353 1.451 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2nnn(C)c2C)[C@H]1CC ZINC001087528623 740568796 /nfs/dbraw/zinc/56/87/96/740568796.db2.gz RNSVLBNBSCAFJQ-NWDGAFQWSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2nnn(C)c2C)[C@H]1CC ZINC001087528623 740568799 /nfs/dbraw/zinc/56/87/99/740568799.db2.gz RNSVLBNBSCAFJQ-NWDGAFQWSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncc(OC)cn2)[C@H]1CC ZINC001087652065 740692426 /nfs/dbraw/zinc/69/24/26/740692426.db2.gz YHDXSBFPFJBUBP-QWHCGFSZSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncc(OC)cn2)[C@H]1CC ZINC001087652065 740692427 /nfs/dbraw/zinc/69/24/27/740692427.db2.gz YHDXSBFPFJBUBP-QWHCGFSZSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc3ccccn3c2)C1 ZINC001035531349 751612123 /nfs/dbraw/zinc/61/21/23/751612123.db2.gz SITLEFBZRINVJT-KRWDZBQOSA-N 1 2 313.401 1.946 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc3ccccn3c2)C1 ZINC001035531349 751612126 /nfs/dbraw/zinc/61/21/26/751612126.db2.gz SITLEFBZRINVJT-KRWDZBQOSA-N 1 2 313.401 1.946 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@@H+](C/C=C/Cl)C[C@H]2OC)CCC1 ZINC001212393425 751618412 /nfs/dbraw/zinc/61/84/12/751618412.db2.gz NAOJQKIUMUAQQU-KEGUIYOVSA-N 1 2 310.825 1.748 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2C[N@H+](C/C=C/Cl)C[C@H]2OC)CCC1 ZINC001212393425 751618415 /nfs/dbraw/zinc/61/84/15/751618415.db2.gz NAOJQKIUMUAQQU-KEGUIYOVSA-N 1 2 310.825 1.748 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)[nH]nc2C(C)C)C1 ZINC001035573395 751627532 /nfs/dbraw/zinc/62/75/32/751627532.db2.gz HTSUVSDHIROAOP-AWEZNQCLSA-N 1 2 320.437 1.848 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)[nH]nc2C(C)C)C1 ZINC001035573395 751627535 /nfs/dbraw/zinc/62/75/35/751627535.db2.gz HTSUVSDHIROAOP-AWEZNQCLSA-N 1 2 320.437 1.848 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001035573395 751627540 /nfs/dbraw/zinc/62/75/40/751627540.db2.gz HTSUVSDHIROAOP-AWEZNQCLSA-N 1 2 320.437 1.848 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001035573395 751627545 /nfs/dbraw/zinc/62/75/45/751627545.db2.gz HTSUVSDHIROAOP-AWEZNQCLSA-N 1 2 320.437 1.848 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC001035560298 751642508 /nfs/dbraw/zinc/64/25/08/751642508.db2.gz SDYDRELAHLRWLQ-CQSZACIVSA-N 1 2 303.406 1.899 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC001035560298 751642512 /nfs/dbraw/zinc/64/25/12/751642512.db2.gz SDYDRELAHLRWLQ-CQSZACIVSA-N 1 2 303.406 1.899 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)c(C)s2)C1 ZINC001035597883 751655104 /nfs/dbraw/zinc/65/51/04/751655104.db2.gz PTCAAQAXJRERHP-CQSZACIVSA-N 1 2 306.431 1.819 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)c(C)s2)C1 ZINC001035597883 751655107 /nfs/dbraw/zinc/65/51/07/751655107.db2.gz PTCAAQAXJRERHP-CQSZACIVSA-N 1 2 306.431 1.819 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)c2ccccc2)C1=O ZINC001038013622 751669490 /nfs/dbraw/zinc/66/94/90/751669490.db2.gz JPKYMYSPRZWKDV-HOTGVXAUSA-N 1 2 313.401 1.278 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)c2ccccc2)C1=O ZINC001038013622 751669493 /nfs/dbraw/zinc/66/94/93/751669493.db2.gz JPKYMYSPRZWKDV-HOTGVXAUSA-N 1 2 313.401 1.278 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncccc2OC)C1 ZINC001035583810 751676228 /nfs/dbraw/zinc/67/62/28/751676228.db2.gz MNUOMLSZPPIISP-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncccc2OC)C1 ZINC001035583810 751676233 /nfs/dbraw/zinc/67/62/33/751676233.db2.gz MNUOMLSZPPIISP-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1OC ZINC001211972579 741907183 /nfs/dbraw/zinc/90/71/83/741907183.db2.gz RWWBUUSPNNWFIB-FWZDYSKGSA-N 1 2 316.829 1.536 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1OC ZINC001211972579 741907186 /nfs/dbraw/zinc/90/71/86/741907186.db2.gz RWWBUUSPNNWFIB-FWZDYSKGSA-N 1 2 316.829 1.536 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2COc3ccccc32)C1 ZINC001035624935 751695966 /nfs/dbraw/zinc/69/59/66/751695966.db2.gz QCINYBJRAPPVSE-ZBFHGGJFSA-N 1 2 316.401 1.556 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2COc3ccccc32)C1 ZINC001035624935 751695976 /nfs/dbraw/zinc/69/59/76/751695976.db2.gz QCINYBJRAPPVSE-ZBFHGGJFSA-N 1 2 316.401 1.556 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[NH2+]Cc1nnc(C(F)F)s1 ZINC001126912047 742483415 /nfs/dbraw/zinc/48/34/15/742483415.db2.gz ADOFGDJVZZNTSP-UHFFFAOYSA-N 1 2 316.377 1.731 20 30 DDEDLO CC(C)c1nsc(C[NH2+]CCNC(=O)C#CC(C)(C)C)n1 ZINC001126915438 742485895 /nfs/dbraw/zinc/48/58/95/742485895.db2.gz BINQZEALFRSPSB-UHFFFAOYSA-N 1 2 308.451 1.917 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001076711765 742933306 /nfs/dbraw/zinc/93/33/06/742933306.db2.gz MYARBQGFGAUKFF-STQMWFEESA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001076711765 742933313 /nfs/dbraw/zinc/93/33/13/742933313.db2.gz MYARBQGFGAUKFF-STQMWFEESA-N 1 2 320.437 1.812 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)Cc1ccc(F)c(C#N)c1 ZINC001181193185 743099229 /nfs/dbraw/zinc/09/92/29/743099229.db2.gz BLSUHFCJOAXLCV-LBPRGKRZSA-N 1 2 305.353 1.077 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)Cc1ccc(F)c(C#N)c1 ZINC001181193185 743099235 /nfs/dbraw/zinc/09/92/35/743099235.db2.gz BLSUHFCJOAXLCV-LBPRGKRZSA-N 1 2 305.353 1.077 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NCC[NH2+]Cc1nnc(CC)o1 ZINC001128189807 743221312 /nfs/dbraw/zinc/22/13/12/743221312.db2.gz DFANOAWSRXNJSB-UHFFFAOYSA-N 1 2 300.790 1.617 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001077115850 743253953 /nfs/dbraw/zinc/25/39/53/743253953.db2.gz BDFRBDKYSOTCPB-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO CC/C=C/CC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](C)C#N)c2C1 ZINC001128265147 743416043 /nfs/dbraw/zinc/41/60/43/743416043.db2.gz UYTIFPYQQFGDCU-NNTXTVRGSA-N 1 2 315.421 1.831 20 30 DDEDLO CC/C=C/CC[N@H+]1CCn2ncc(CNC(=O)[C@@H](C)C#N)c2C1 ZINC001128265147 743416046 /nfs/dbraw/zinc/41/60/46/743416046.db2.gz UYTIFPYQQFGDCU-NNTXTVRGSA-N 1 2 315.421 1.831 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccns2)C[C@H]1OC ZINC001212148870 743752332 /nfs/dbraw/zinc/75/23/32/743752332.db2.gz SNMQAGKEIWXHNR-HUUCEWRRSA-N 1 2 321.446 1.652 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2ccns2)C[C@H]1OC ZINC001212148870 743752334 /nfs/dbraw/zinc/75/23/34/743752334.db2.gz SNMQAGKEIWXHNR-HUUCEWRRSA-N 1 2 321.446 1.652 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105726255 743762269 /nfs/dbraw/zinc/76/22/69/743762269.db2.gz HQEIOMZAYDCNQY-UHFFFAOYSA-N 1 2 324.465 1.927 20 30 DDEDLO N#CCSCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ccon1)C2 ZINC001111599650 743911201 /nfs/dbraw/zinc/91/12/01/743911201.db2.gz AFNAVVQYAJDGJY-WFASDCNBSA-N 1 2 320.418 1.402 20 30 DDEDLO N#CCSCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ccon1)C2 ZINC001111599650 743911208 /nfs/dbraw/zinc/91/12/08/743911208.db2.gz AFNAVVQYAJDGJY-WFASDCNBSA-N 1 2 320.418 1.402 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CC(=O)N(C(CC)CC)C2)C1 ZINC001030389791 744101563 /nfs/dbraw/zinc/10/15/63/744101563.db2.gz PQBZEKBFPXPHKU-CYBMUJFWSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001185064887 744146877 /nfs/dbraw/zinc/14/68/77/744146877.db2.gz ZMIJUUZWXSMKPQ-CHWSQXEVSA-N 1 2 306.410 1.925 20 30 DDEDLO C=CCn1cccc1C(=O)NC1C[NH+](C[C@H]2CC[C@H](C)O2)C1 ZINC001030446263 744165033 /nfs/dbraw/zinc/16/50/33/744165033.db2.gz BKWILNIPEJGBPB-DZGCQCFKSA-N 1 2 303.406 1.656 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]([NH2+]Cc3csnn3)C2)CC1 ZINC001185438464 744223174 /nfs/dbraw/zinc/22/31/74/744223174.db2.gz ATDVFYANGABTTJ-GFCCVEGCSA-N 1 2 306.435 1.975 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@@H](C)CC)C2)nn1 ZINC001185872158 744297063 /nfs/dbraw/zinc/29/70/63/744297063.db2.gz FZGIOYSSLCICAM-DZGCQCFKSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CCC(C)C)C2)nn1 ZINC001185872540 744297734 /nfs/dbraw/zinc/29/77/34/744297734.db2.gz JHHJYLFCHWFZHV-MRXNPFEDSA-N 1 2 317.437 1.601 20 30 DDEDLO CC#CC[NH+]1CC2(C1)CCN(C(=O)c1ccc3[nH]nnc3c1)CC2 ZINC001035847586 751919506 /nfs/dbraw/zinc/91/95/06/751919506.db2.gz FOLQCXQSDWAHDF-UHFFFAOYSA-N 1 2 323.400 1.519 20 30 DDEDLO CC1(C(=O)NC[C@H]2CCC(C)(C)C[N@@H+]2CC(=O)NCC#N)CC1 ZINC001099085779 744457741 /nfs/dbraw/zinc/45/77/41/744457741.db2.gz SYYTZCYPPBSPSF-CYBMUJFWSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C(=O)NC[C@H]2CCC(C)(C)C[N@H+]2CC(=O)NCC#N)CC1 ZINC001099085779 744457745 /nfs/dbraw/zinc/45/77/45/744457745.db2.gz SYYTZCYPPBSPSF-CYBMUJFWSA-N 1 2 320.437 1.033 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)[C@H]1C ZINC001089052629 744478886 /nfs/dbraw/zinc/47/88/86/744478886.db2.gz OBXWYQIBCNNYJZ-BZPMIXESSA-N 1 2 322.840 1.846 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)[C@H]1C ZINC001089052629 744478888 /nfs/dbraw/zinc/47/88/88/744478888.db2.gz OBXWYQIBCNNYJZ-BZPMIXESSA-N 1 2 322.840 1.846 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2c(C)nc(CC)nc2C)C1 ZINC001030697120 744485232 /nfs/dbraw/zinc/48/52/32/744485232.db2.gz KGXCTFKUAJRQSO-UHFFFAOYSA-N 1 2 302.422 1.575 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc3nnc(C)n3c2)C1 ZINC001015028314 744626104 /nfs/dbraw/zinc/62/61/04/744626104.db2.gz OQGKZORJXOSCOT-CYBMUJFWSA-N 1 2 319.796 1.594 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc3nnc(C)n3c2)C1 ZINC001015028314 744626107 /nfs/dbraw/zinc/62/61/07/744626107.db2.gz OQGKZORJXOSCOT-CYBMUJFWSA-N 1 2 319.796 1.594 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001077500581 744660104 /nfs/dbraw/zinc/66/01/04/744660104.db2.gz CCHPLQCVOJMRFC-ZDUSSCGKSA-N 1 2 306.410 1.330 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@H](C)c2ncc(C)o2)C1 ZINC001189379983 744879910 /nfs/dbraw/zinc/87/99/10/744879910.db2.gz MRSNTPHARZWKRQ-ZIAGYGMSSA-N 1 2 307.394 1.779 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)c2ncc(C)o2)C1 ZINC001189379983 744879913 /nfs/dbraw/zinc/87/99/13/744879913.db2.gz MRSNTPHARZWKRQ-ZIAGYGMSSA-N 1 2 307.394 1.779 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)CC(=O)Nc2ccccc2)C1 ZINC001189388782 744881092 /nfs/dbraw/zinc/88/10/92/744881092.db2.gz GTNOQNYZRUEEHB-UKRRQHHQSA-N 1 2 314.389 1.317 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccccc2)C1 ZINC001189388782 744881094 /nfs/dbraw/zinc/88/10/94/744881094.db2.gz GTNOQNYZRUEEHB-UKRRQHHQSA-N 1 2 314.389 1.317 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190053078 745090853 /nfs/dbraw/zinc/09/08/53/745090853.db2.gz DKPNIVMQEDUDHM-GXTWGEPZSA-N 1 2 304.394 1.631 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001190053078 745090860 /nfs/dbraw/zinc/09/08/60/745090860.db2.gz DKPNIVMQEDUDHM-GXTWGEPZSA-N 1 2 304.394 1.631 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C2=COCCO2)[C@H]1C ZINC000993008113 745365795 /nfs/dbraw/zinc/36/57/95/745365795.db2.gz PGAOGOCBHLUERW-NEPJUHHUSA-N 1 2 300.786 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C2=COCCO2)[C@H]1C ZINC000993008113 745365801 /nfs/dbraw/zinc/36/58/01/745365801.db2.gz PGAOGOCBHLUERW-NEPJUHHUSA-N 1 2 300.786 1.596 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNc1ncnc2c1C[N@H+](C)CC2 ZINC001106248292 745457952 /nfs/dbraw/zinc/45/79/52/745457952.db2.gz OKRZSZRBMRWFDZ-ZDUSSCGKSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001106248292 745457956 /nfs/dbraw/zinc/45/79/56/745457956.db2.gz OKRZSZRBMRWFDZ-ZDUSSCGKSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@H]1O ZINC001191714651 745581665 /nfs/dbraw/zinc/58/16/65/745581665.db2.gz HVQFORDRKYIWLQ-HUUCEWRRSA-N 1 2 308.809 1.968 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@H]1O ZINC001191714651 745581669 /nfs/dbraw/zinc/58/16/69/745581669.db2.gz HVQFORDRKYIWLQ-HUUCEWRRSA-N 1 2 308.809 1.968 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2nnn(C)c2C)[C@@H]1C ZINC000993171162 745704217 /nfs/dbraw/zinc/70/42/17/745704217.db2.gz ABOWADMRFOYOSN-JQWIXIFHSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2nnn(C)c2C)[C@@H]1C ZINC000993171162 745704221 /nfs/dbraw/zinc/70/42/21/745704221.db2.gz ABOWADMRFOYOSN-JQWIXIFHSA-N 1 2 311.817 1.459 20 30 DDEDLO CC[C@H](C)CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001192509699 745800898 /nfs/dbraw/zinc/80/08/98/745800898.db2.gz CEAVSESLMDZWFP-IAOVAPTHSA-N 1 2 315.417 1.656 20 30 DDEDLO CC[C@H](C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001192509699 745800904 /nfs/dbraw/zinc/80/09/04/745800904.db2.gz CEAVSESLMDZWFP-IAOVAPTHSA-N 1 2 315.417 1.656 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@H]1O ZINC001193400707 746092992 /nfs/dbraw/zinc/09/29/92/746092992.db2.gz XURXCKNQGRLLLC-NWANDNLSSA-N 1 2 304.394 1.055 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@H]1O ZINC001193400707 746092998 /nfs/dbraw/zinc/09/29/98/746092998.db2.gz XURXCKNQGRLLLC-NWANDNLSSA-N 1 2 304.394 1.055 20 30 DDEDLO C=CCOC(=O)N1CCCn2c(C[N@@H+](C)C(C)C)nnc2C1 ZINC001194303815 746330693 /nfs/dbraw/zinc/33/06/93/746330693.db2.gz PISDNGRQTDPJEB-UHFFFAOYSA-N 1 2 307.398 1.647 20 30 DDEDLO C=CCOC(=O)N1CCCn2c(C[N@H+](C)C(C)C)nnc2C1 ZINC001194303815 746330700 /nfs/dbraw/zinc/33/07/00/746330700.db2.gz PISDNGRQTDPJEB-UHFFFAOYSA-N 1 2 307.398 1.647 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@H]1C ZINC000993825323 746341627 /nfs/dbraw/zinc/34/16/27/746341627.db2.gz NVOCLVCMXREXEP-GHMZBOCLSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@H]1C ZINC000993825323 746341635 /nfs/dbraw/zinc/34/16/35/746341635.db2.gz NVOCLVCMXREXEP-GHMZBOCLSA-N 1 2 324.812 1.449 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001194397205 746367382 /nfs/dbraw/zinc/36/73/82/746367382.db2.gz QQAYMBLKRBFNBQ-GXTWGEPZSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001194397205 746367388 /nfs/dbraw/zinc/36/73/88/746367388.db2.gz QQAYMBLKRBFNBQ-GXTWGEPZSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CCC[N@H+](CCF)[C@@H]2C)nn1 ZINC000993983957 746410725 /nfs/dbraw/zinc/41/07/25/746410725.db2.gz IBMYIEJQGUVGIP-OLZOCXBDSA-N 1 2 309.389 1.406 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2CCC[N@@H+](CCF)[C@@H]2C)nn1 ZINC000993983957 746410727 /nfs/dbraw/zinc/41/07/27/746410727.db2.gz IBMYIEJQGUVGIP-OLZOCXBDSA-N 1 2 309.389 1.406 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cnc(OC)nc2)[C@H]1C ZINC000994158829 746468917 /nfs/dbraw/zinc/46/89/17/746468917.db2.gz KOBDLPGXBBYKGT-YPMHNXCESA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cnc(OC)nc2)[C@H]1C ZINC000994158829 746468921 /nfs/dbraw/zinc/46/89/21/746468921.db2.gz KOBDLPGXBBYKGT-YPMHNXCESA-N 1 2 324.812 1.820 20 30 DDEDLO C=CCCOc1ccc(C(=O)NC[C@@H]2C[N@H+](C)CCO2)cc1 ZINC001195141776 746536790 /nfs/dbraw/zinc/53/67/90/746536790.db2.gz SZABWLRBDBQBEY-MRXNPFEDSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCCOc1ccc(C(=O)NC[C@@H]2C[N@@H+](C)CCO2)cc1 ZINC001195141776 746536792 /nfs/dbraw/zinc/53/67/92/746536792.db2.gz SZABWLRBDBQBEY-MRXNPFEDSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cnoc2C)CC1 ZINC001195174050 746544983 /nfs/dbraw/zinc/54/49/83/746544983.db2.gz IKEWAWFPDJLCLL-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cnoc2C)CC1 ZINC001195174050 746544985 /nfs/dbraw/zinc/54/49/85/746544985.db2.gz IKEWAWFPDJLCLL-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001007358453 752109904 /nfs/dbraw/zinc/10/99/04/752109904.db2.gz XJCFDUWGPJMYPF-KGYLQXTDSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001007358453 752109908 /nfs/dbraw/zinc/10/99/08/752109908.db2.gz XJCFDUWGPJMYPF-KGYLQXTDSA-N 1 2 313.829 1.234 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CCc2ccoc2)CC1 ZINC001195459206 746607193 /nfs/dbraw/zinc/60/71/93/746607193.db2.gz HVPNBBQZRKPNAY-UHFFFAOYSA-N 1 2 319.405 1.049 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CCc2ccoc2)CC1 ZINC001195459206 746607196 /nfs/dbraw/zinc/60/71/96/746607196.db2.gz HVPNBBQZRKPNAY-UHFFFAOYSA-N 1 2 319.405 1.049 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+]([C@H](C)C(=O)NCC(C)C)CC1 ZINC001195754316 746686437 /nfs/dbraw/zinc/68/64/37/746686437.db2.gz LJEFSIROEQVMKX-MRXNPFEDSA-N 1 2 321.465 1.341 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+]([C@H](C)C(=O)NCC(C)C)CC1 ZINC001195754316 746686440 /nfs/dbraw/zinc/68/64/40/746686440.db2.gz LJEFSIROEQVMKX-MRXNPFEDSA-N 1 2 321.465 1.341 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195780808 746702659 /nfs/dbraw/zinc/70/26/59/746702659.db2.gz KQJXNTWNTGNJLE-HNNXBMFYSA-N 1 2 319.449 1.261 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195780808 746702664 /nfs/dbraw/zinc/70/26/64/746702664.db2.gz KQJXNTWNTGNJLE-HNNXBMFYSA-N 1 2 319.449 1.261 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[N@@H+](CCOCC(F)(F)F)CC1 ZINC001195785876 746704274 /nfs/dbraw/zinc/70/42/74/746704274.db2.gz ZXYUZXBLMORAFI-UHFFFAOYSA-N 1 2 318.339 1.513 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[N@H+](CCOCC(F)(F)F)CC1 ZINC001195785876 746704275 /nfs/dbraw/zinc/70/42/75/746704275.db2.gz ZXYUZXBLMORAFI-UHFFFAOYSA-N 1 2 318.339 1.513 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NCCCC)CC1 ZINC001196854697 746991911 /nfs/dbraw/zinc/99/19/11/746991911.db2.gz SFJPEWCWEQJKET-CVEARBPZSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCCCC)CC1 ZINC001196854697 746991906 /nfs/dbraw/zinc/99/19/06/746991906.db2.gz SFJPEWCWEQJKET-CVEARBPZSA-N 1 2 323.481 1.895 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@](C)(C=C)CC)CC1 ZINC001197036216 747043007 /nfs/dbraw/zinc/04/30/07/747043007.db2.gz CBNHBHUKTJSJQX-CRAIPNDOSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@](C)(C=C)CC)CC1 ZINC001197036216 747043016 /nfs/dbraw/zinc/04/30/16/747043016.db2.gz CBNHBHUKTJSJQX-CRAIPNDOSA-N 1 2 319.449 1.261 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@]23C[C@H]2CCCC3)CC1 ZINC001197090492 747047577 /nfs/dbraw/zinc/04/75/77/747047577.db2.gz ADOCUOLRQBKBOK-CRAIPNDOSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@]23C[C@H]2CCCC3)CC1 ZINC001197090492 747047586 /nfs/dbraw/zinc/04/75/86/747047586.db2.gz ADOCUOLRQBKBOK-CRAIPNDOSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2(CCCC)CC2)CC1 ZINC001197068993 747054244 /nfs/dbraw/zinc/05/42/44/747054244.db2.gz QEHXHQSZYVFWCJ-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(CCCC)CC2)CC1 ZINC001197068993 747054248 /nfs/dbraw/zinc/05/42/48/747054248.db2.gz QEHXHQSZYVFWCJ-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C[C@H](C[C@H](C)Nc1cnc(C#N)cn1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089491254 747088637 /nfs/dbraw/zinc/08/86/37/747088637.db2.gz YILPUJAECWWWEX-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CC(C)(C)C(=O)NCc1cnn2c1C[N@H+](CCCOC)CC2 ZINC001128438277 747116720 /nfs/dbraw/zinc/11/67/20/747116720.db2.gz XADGKIJAFCCYCQ-UHFFFAOYSA-N 1 2 320.437 1.564 20 30 DDEDLO C=CC(C)(C)C(=O)NCc1cnn2c1C[N@@H+](CCCOC)CC2 ZINC001128438277 747116726 /nfs/dbraw/zinc/11/67/26/747116726.db2.gz XADGKIJAFCCYCQ-UHFFFAOYSA-N 1 2 320.437 1.564 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)CC(C)C)CC1 ZINC001197615019 747206219 /nfs/dbraw/zinc/20/62/19/747206219.db2.gz UTJVMUJXGLBPAE-HOTGVXAUSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)CC(C)C)CC1 ZINC001197615019 747206226 /nfs/dbraw/zinc/20/62/26/747206226.db2.gz UTJVMUJXGLBPAE-HOTGVXAUSA-N 1 2 321.465 1.341 20 30 DDEDLO N#Cc1ccc(C(=O)NCC2C[NH+](Cc3ccns3)C2)[nH]1 ZINC001031619092 747206431 /nfs/dbraw/zinc/20/64/31/747206431.db2.gz JFWLQGDEIBGFQX-UHFFFAOYSA-N 1 2 301.375 1.205 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)[C@@H](C)CC)CC1 ZINC001197586508 747212888 /nfs/dbraw/zinc/21/28/88/747212888.db2.gz IKKXZRLUPXBRRX-GJZGRUSLSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)[C@@H](C)CC)CC1 ZINC001197586508 747212894 /nfs/dbraw/zinc/21/28/94/747212894.db2.gz IKKXZRLUPXBRRX-GJZGRUSLSA-N 1 2 309.454 1.505 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1NC(=O)C#CC1CC1 ZINC001212244498 747234697 /nfs/dbraw/zinc/23/46/97/747234697.db2.gz XFZFLMLCETWBMN-HZPDHXFCSA-N 1 2 317.389 1.020 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1NC(=O)C#CC1CC1 ZINC001212244498 747234701 /nfs/dbraw/zinc/23/47/01/747234701.db2.gz XFZFLMLCETWBMN-HZPDHXFCSA-N 1 2 317.389 1.020 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ncccc3O)C2)cc1 ZINC001031627704 747248815 /nfs/dbraw/zinc/24/88/15/747248815.db2.gz TVZWNTRCZAWBHV-UHFFFAOYSA-N 1 2 322.368 1.521 20 30 DDEDLO C=CCC[N@H+]1CCCN(C(=O)CS(=O)(=O)C(C)(C)C)CC1 ZINC001197824256 747289884 /nfs/dbraw/zinc/28/98/84/747289884.db2.gz MOJBREFYDWVKAL-UHFFFAOYSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCC[N@@H+]1CCCN(C(=O)CS(=O)(=O)C(C)(C)C)CC1 ZINC001197824256 747289892 /nfs/dbraw/zinc/28/98/92/747289892.db2.gz MOJBREFYDWVKAL-UHFFFAOYSA-N 1 2 316.467 1.310 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCOC[C@](O)(C(F)(F)F)C2)cc1 ZINC001203900009 747446109 /nfs/dbraw/zinc/44/61/09/747446109.db2.gz LZGDHBZUAGMMHH-ZDUSSCGKSA-N 1 2 300.280 1.684 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCOC[C@](O)(C(F)(F)F)C2)cc1 ZINC001203900009 747446115 /nfs/dbraw/zinc/44/61/15/747446115.db2.gz LZGDHBZUAGMMHH-ZDUSSCGKSA-N 1 2 300.280 1.684 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001110506095 747447498 /nfs/dbraw/zinc/44/74/98/747447498.db2.gz GOONQAXNROSVIR-YJNKXOJESA-N 1 2 320.437 1.174 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001110506095 747447499 /nfs/dbraw/zinc/44/74/99/747447499.db2.gz GOONQAXNROSVIR-YJNKXOJESA-N 1 2 320.437 1.174 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cc(C3CC3)nn2C)C1 ZINC001031705370 747458846 /nfs/dbraw/zinc/45/88/46/747458846.db2.gz CWWSIUZRUQFFBJ-UHFFFAOYSA-N 1 2 308.813 1.712 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2OC2CCC2)[C@@H](O)C1 ZINC001083764514 747606986 /nfs/dbraw/zinc/60/69/86/747606986.db2.gz KNUFSUBXHPOMGZ-CVEARBPZSA-N 1 2 314.385 1.026 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2OC2CCC2)[C@@H](O)C1 ZINC001083764514 747606990 /nfs/dbraw/zinc/60/69/90/747606990.db2.gz KNUFSUBXHPOMGZ-CVEARBPZSA-N 1 2 314.385 1.026 20 30 DDEDLO CCOc1nnc(C[NH2+][C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC000998748281 752198306 /nfs/dbraw/zinc/19/83/06/752198306.db2.gz VXNNJZIINSXGAX-QWRGUYRKSA-N 1 2 323.422 1.177 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@H+](CC#CCOC)C[C@H]2O)CCCCC1 ZINC001198963091 747662362 /nfs/dbraw/zinc/66/23/62/747662362.db2.gz VWHMEVNLGWEQQE-HZPDHXFCSA-N 1 2 322.449 1.158 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@@H+](CC#CCOC)C[C@H]2O)CCCCC1 ZINC001198963091 747662367 /nfs/dbraw/zinc/66/23/67/747662367.db2.gz VWHMEVNLGWEQQE-HZPDHXFCSA-N 1 2 322.449 1.158 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(Cl)cn2C)C1 ZINC001108061636 747667106 /nfs/dbraw/zinc/66/71/06/747667106.db2.gz QSARULVSPLWQJW-INIZCTEOSA-N 1 2 323.824 1.523 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(Cl)cn2C)C1 ZINC001108061636 747667111 /nfs/dbraw/zinc/66/71/11/747667111.db2.gz QSARULVSPLWQJW-INIZCTEOSA-N 1 2 323.824 1.523 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2Cc3cccc(F)c3O2)C1 ZINC001044317477 747682202 /nfs/dbraw/zinc/68/22/02/747682202.db2.gz NGJGDIPEXAQQLO-OAHLLOKOSA-N 1 2 304.365 1.848 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001212324706 747865030 /nfs/dbraw/zinc/86/50/30/747865030.db2.gz BSVNZNYMZOMOLG-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001212324706 747865036 /nfs/dbraw/zinc/86/50/36/747865036.db2.gz BSVNZNYMZOMOLG-HUUCEWRRSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001031931931 748237405 /nfs/dbraw/zinc/23/74/05/748237405.db2.gz ZLJFYGLTNMKGGU-UHFFFAOYSA-N 1 2 304.781 1.977 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CC23CC(OCC)C3)C1 ZINC001031973615 748334782 /nfs/dbraw/zinc/33/47/82/748334782.db2.gz BPCYHWCIPAFFFM-BBBYJDLNSA-N 1 2 312.841 1.992 20 30 DDEDLO Cc1nnc(CC(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)o1 ZINC001004434839 748421645 /nfs/dbraw/zinc/42/16/45/748421645.db2.gz LKZOGZCNQJIJJJ-AWEZNQCLSA-N 1 2 317.393 1.147 20 30 DDEDLO Cc1nnc(CC(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)o1 ZINC001004434839 748421650 /nfs/dbraw/zinc/42/16/50/748421650.db2.gz LKZOGZCNQJIJJJ-AWEZNQCLSA-N 1 2 317.393 1.147 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3C)cc1C#N ZINC001201313397 748474888 /nfs/dbraw/zinc/47/48/88/748474888.db2.gz WDLSMBJHLVZXJN-OKILXGFUSA-N 1 2 305.403 1.334 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3C)cc1C#N ZINC001201313397 748474893 /nfs/dbraw/zinc/47/48/93/748474893.db2.gz WDLSMBJHLVZXJN-OKILXGFUSA-N 1 2 305.403 1.334 20 30 DDEDLO Cc1cnn(C)c1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004526280 748515125 /nfs/dbraw/zinc/51/51/25/748515125.db2.gz ILMLHUZPZABDGX-OAHLLOKOSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1cnn(C)c1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004526280 748515127 /nfs/dbraw/zinc/51/51/27/748515127.db2.gz ILMLHUZPZABDGX-OAHLLOKOSA-N 1 2 315.421 1.569 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2cn(C)nc2C)C1 ZINC001033150029 748605343 /nfs/dbraw/zinc/60/53/43/748605343.db2.gz ZNLJFEIFWZGNCQ-CQSZACIVSA-N 1 2 310.829 1.556 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2cn(C)nc2C)C1 ZINC001033150029 748605349 /nfs/dbraw/zinc/60/53/49/748605349.db2.gz ZNLJFEIFWZGNCQ-CQSZACIVSA-N 1 2 310.829 1.556 20 30 DDEDLO Cc1ccccc1-c1noc(C[NH2+]CCNC(=O)[C@H](C)C#N)n1 ZINC001124902645 748622218 /nfs/dbraw/zinc/62/22/18/748622218.db2.gz LPEAWGUJPOILEU-GFCCVEGCSA-N 1 2 313.361 1.411 20 30 DDEDLO C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001007709442 752287275 /nfs/dbraw/zinc/28/72/75/752287275.db2.gz YZYWASJKJSTCOI-ZIAGYGMSSA-N 1 2 322.840 1.779 20 30 DDEDLO C#CCN(C(=O)c1ccc[nH]1)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110614527 748828215 /nfs/dbraw/zinc/82/82/15/748828215.db2.gz ATAVCPTXMXMBJW-UHFFFAOYSA-N 1 2 323.400 1.858 20 30 DDEDLO Cc1nc(N(C)C[C@H](O)CN(C)C(=O)C#CC(C)(C)C)cc[nH+]1 ZINC001125080668 748836011 /nfs/dbraw/zinc/83/60/11/748836011.db2.gz MDXZPAAJGHBZHE-AWEZNQCLSA-N 1 2 318.421 1.090 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N)C(C)(C)C ZINC001110720840 748940155 /nfs/dbraw/zinc/94/01/55/748940155.db2.gz RMDQKGHHTQCGPE-DGAVXFQQSA-N 1 2 320.437 1.030 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N)C(C)(C)C ZINC001110720840 748940159 /nfs/dbraw/zinc/94/01/59/748940159.db2.gz RMDQKGHHTQCGPE-DGAVXFQQSA-N 1 2 320.437 1.030 20 30 DDEDLO Cc1nc(N2CC[C@](C)(NC(=O)C#CC(C)(C)C)C2)cc[nH+]1 ZINC001110753391 748983565 /nfs/dbraw/zinc/98/35/65/748983565.db2.gz HGLQSURMQFPSCP-KRWDZBQOSA-N 1 2 300.406 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cccc3ncnn32)C1 ZINC001033231572 749003817 /nfs/dbraw/zinc/00/38/17/749003817.db2.gz ACNBRKZOSLMBFR-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cccc3ncnn32)C1 ZINC001033231572 749003818 /nfs/dbraw/zinc/00/38/18/749003818.db2.gz ACNBRKZOSLMBFR-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO C[C@@]1(NC(=O)CCn2cc[nH+]c2)CCN(c2ccc(C#N)cn2)C1 ZINC001110802924 749023671 /nfs/dbraw/zinc/02/36/71/749023671.db2.gz XYMWVEHJPPKWKI-QGZVFWFLSA-N 1 2 324.388 1.325 20 30 DDEDLO Cc1nonc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001032224899 749032634 /nfs/dbraw/zinc/03/26/34/749032634.db2.gz RUXSCGPZMFUIGL-UHFFFAOYSA-N 1 2 311.345 1.112 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc(Cl)n(C)n2)C1 ZINC001033249258 749059098 /nfs/dbraw/zinc/05/90/98/749059098.db2.gz WZDUKFADPRGSPB-SNVBAGLBSA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(Cl)n(C)n2)C1 ZINC001033249258 749059102 /nfs/dbraw/zinc/05/91/02/749059102.db2.gz WZDUKFADPRGSPB-SNVBAGLBSA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnc(C)s2)[C@H](O)C1 ZINC001090129566 749092241 /nfs/dbraw/zinc/09/22/41/749092241.db2.gz NOCLQZOXOMOHMC-GHMZBOCLSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnc(C)s2)[C@H](O)C1 ZINC001090129566 749092243 /nfs/dbraw/zinc/09/22/43/749092243.db2.gz NOCLQZOXOMOHMC-GHMZBOCLSA-N 1 2 315.826 1.369 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)n2cccn2)C1 ZINC001108332375 761927236 /nfs/dbraw/zinc/92/72/36/761927236.db2.gz CESCPJIJHROYJB-GOEBONIOSA-N 1 2 306.410 1.227 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)n2cccn2)C1 ZINC001108332375 761927243 /nfs/dbraw/zinc/92/72/43/761927243.db2.gz CESCPJIJHROYJB-GOEBONIOSA-N 1 2 306.410 1.227 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CC(F)(F)F)nn2)C1 ZINC001107140360 749371274 /nfs/dbraw/zinc/37/12/74/749371274.db2.gz BCGPLEBHYIRTFM-UHFFFAOYSA-N 1 2 317.315 1.280 20 30 DDEDLO CN(C(=O)c1cnon1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033527770 749480070 /nfs/dbraw/zinc/48/00/70/749480070.db2.gz QZNDHABYKJAFFT-CQSZACIVSA-N 1 2 311.345 1.288 20 30 DDEDLO CN(C(=O)c1cnon1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033527770 749480073 /nfs/dbraw/zinc/48/00/73/749480073.db2.gz QZNDHABYKJAFFT-CQSZACIVSA-N 1 2 311.345 1.288 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@H]3CCC[C@@H]3C)nn2)C1 ZINC001107174509 749493413 /nfs/dbraw/zinc/49/34/13/749493413.db2.gz TVMQMJDVLBBGKU-UONOGXRCSA-N 1 2 317.437 1.763 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c[nH]c3cccnc32)C1 ZINC001108341951 761955786 /nfs/dbraw/zinc/95/57/86/761955786.db2.gz ANZQUTMIHHDEQT-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c[nH]c3cccnc32)C1 ZINC001108341951 761955794 /nfs/dbraw/zinc/95/57/94/761955794.db2.gz ANZQUTMIHHDEQT-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001005202704 749507431 /nfs/dbraw/zinc/50/74/31/749507431.db2.gz YQRLTZOJTFTMLW-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)Cc3occc3C)nn2)C1 ZINC001107209562 749597031 /nfs/dbraw/zinc/59/70/31/749597031.db2.gz UOUDCCNXESBASJ-UHFFFAOYSA-N 1 2 315.377 1.081 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccn(C)n1)C2 ZINC001095403393 749723254 /nfs/dbraw/zinc/72/32/54/749723254.db2.gz SPBKJXSFBZILSY-RDBSUJKOSA-N 1 2 308.813 1.437 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccn(C)n1)C2 ZINC001095403393 749723259 /nfs/dbraw/zinc/72/32/59/749723259.db2.gz SPBKJXSFBZILSY-RDBSUJKOSA-N 1 2 308.813 1.437 20 30 DDEDLO CCc1cnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)o1 ZINC001111660797 749766002 /nfs/dbraw/zinc/76/60/02/749766002.db2.gz XUOVMUXNTIBLLS-IGCXYCKISA-N 1 2 316.405 1.867 20 30 DDEDLO CCc1cnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@H](C)C#N)C2)o1 ZINC001111660797 749766008 /nfs/dbraw/zinc/76/60/08/749766008.db2.gz XUOVMUXNTIBLLS-IGCXYCKISA-N 1 2 316.405 1.867 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](C)[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001005308359 749786120 /nfs/dbraw/zinc/78/61/20/749786120.db2.gz SKSZHAPBXZXALE-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCc1ccc(F)c(F)c1 ZINC001032316638 749934861 /nfs/dbraw/zinc/93/48/61/749934861.db2.gz BAPKXVPAPIKXCS-XBFCOCLRSA-N 1 2 319.355 1.952 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCc1ccc(F)c(F)c1 ZINC001032316638 749934863 /nfs/dbraw/zinc/93/48/63/749934863.db2.gz BAPKXVPAPIKXCS-XBFCOCLRSA-N 1 2 319.355 1.952 20 30 DDEDLO C=CC[NH+]1CCC(N(C)C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001005395078 749967070 /nfs/dbraw/zinc/96/70/70/749967070.db2.gz HLVMVOFKAREBQJ-CQSZACIVSA-N 1 2 302.422 1.938 20 30 DDEDLO C=CCCCC(=O)NC/C=C/CNc1[nH+]cnc2c1cnn2C ZINC001107477292 750016340 /nfs/dbraw/zinc/01/63/40/750016340.db2.gz HQAIWUAIZUHMMP-VOTSOKGWSA-N 1 2 314.393 1.804 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2csnn2)C1 ZINC001033913274 750092742 /nfs/dbraw/zinc/09/27/42/750092742.db2.gz PLGUXTZWGHHTDI-SNVBAGLBSA-N 1 2 300.815 1.827 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2csnn2)C1 ZINC001033913274 750092747 /nfs/dbraw/zinc/09/27/47/750092747.db2.gz PLGUXTZWGHHTDI-SNVBAGLBSA-N 1 2 300.815 1.827 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(F)c(Cl)c2F)C1 ZINC001077622400 750149050 /nfs/dbraw/zinc/14/90/50/750149050.db2.gz HXOWMRBQSKLTCH-GHMZBOCLSA-N 1 2 314.719 1.026 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(F)c(Cl)c2F)C1 ZINC001077622400 750149057 /nfs/dbraw/zinc/14/90/57/750149057.db2.gz HXOWMRBQSKLTCH-GHMZBOCLSA-N 1 2 314.719 1.026 20 30 DDEDLO Cc1nc(NC/C=C\CNC(=O)CCc2c[nH]c[nH+]2)ccc1C#N ZINC001107566238 750152444 /nfs/dbraw/zinc/15/24/44/750152444.db2.gz NKBAPEOJTAZNMD-IHWYPQMZSA-N 1 2 324.388 1.702 20 30 DDEDLO Cc1nc(NC/C=C\CNC(=O)CCc2c[nH+]c[nH]2)ccc1C#N ZINC001107566238 750152448 /nfs/dbraw/zinc/15/24/48/750152448.db2.gz NKBAPEOJTAZNMD-IHWYPQMZSA-N 1 2 324.388 1.702 20 30 DDEDLO C=CCn1cc(C(=O)N(CC)[C@H]2CC[N@H+](CC(=C)Cl)C2)nn1 ZINC001033978663 750215855 /nfs/dbraw/zinc/21/58/55/750215855.db2.gz BGZNQOGAAIQVHC-ZDUSSCGKSA-N 1 2 323.828 1.753 20 30 DDEDLO C=CCn1cc(C(=O)N(CC)[C@H]2CC[N@@H+](CC(=C)Cl)C2)nn1 ZINC001033978663 750215858 /nfs/dbraw/zinc/21/58/58/750215858.db2.gz BGZNQOGAAIQVHC-ZDUSSCGKSA-N 1 2 323.828 1.753 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CC)C(=O)c2scnc2COC)C1 ZINC001033980230 750218810 /nfs/dbraw/zinc/21/88/10/750218810.db2.gz QQTYELJEVWVQLE-LBPRGKRZSA-N 1 2 307.419 1.459 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2scnc2COC)C1 ZINC001033980230 750218813 /nfs/dbraw/zinc/21/88/13/750218813.db2.gz QQTYELJEVWVQLE-LBPRGKRZSA-N 1 2 307.419 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)sn2)[C@H](O)C1 ZINC001090226946 750648961 /nfs/dbraw/zinc/64/89/61/750648961.db2.gz DXXVCCYNYVIZAX-ZYHUDNBSSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)sn2)[C@H](O)C1 ZINC001090226946 750648962 /nfs/dbraw/zinc/64/89/62/750648962.db2.gz DXXVCCYNYVIZAX-ZYHUDNBSSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)coc2C)[C@H](O)C1 ZINC001090235034 750671016 /nfs/dbraw/zinc/67/10/16/750671016.db2.gz CBLLVJOAAUXCAA-QWHCGFSZSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)coc2C)[C@H](O)C1 ZINC001090235034 750671019 /nfs/dbraw/zinc/67/10/19/750671019.db2.gz CBLLVJOAAUXCAA-QWHCGFSZSA-N 1 2 312.797 1.814 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CC)nc1C1CC1 ZINC001032426181 750800057 /nfs/dbraw/zinc/80/00/57/750800057.db2.gz ASJYCXVNIGCVHQ-GJZGRUSLSA-N 1 2 312.417 1.702 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CC)nc1C1CC1 ZINC001032426181 750800065 /nfs/dbraw/zinc/80/00/65/750800065.db2.gz ASJYCXVNIGCVHQ-GJZGRUSLSA-N 1 2 312.417 1.702 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)CCCC)CC2 ZINC001127943745 750805151 /nfs/dbraw/zinc/80/51/51/750805151.db2.gz GLCNYCYQKXMLSB-CQSZACIVSA-N 1 2 317.437 1.402 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccccn1 ZINC001032439382 750837253 /nfs/dbraw/zinc/83/72/53/750837253.db2.gz FJLNRFQQNILSRW-KBPBESRZSA-N 1 2 321.384 1.394 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1ccccn1 ZINC001032439382 750837261 /nfs/dbraw/zinc/83/72/61/750837261.db2.gz FJLNRFQQNILSRW-KBPBESRZSA-N 1 2 321.384 1.394 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c(CC)c1Cl ZINC001032450433 750865614 /nfs/dbraw/zinc/86/56/14/750865614.db2.gz HSTMGXKRPXMEGM-QWRGUYRKSA-N 1 2 306.797 1.548 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c(CC)c1Cl ZINC001032450433 750865620 /nfs/dbraw/zinc/86/56/20/750865620.db2.gz HSTMGXKRPXMEGM-QWRGUYRKSA-N 1 2 306.797 1.548 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cc(OC)ccc1OC ZINC001032457607 750878423 /nfs/dbraw/zinc/87/84/23/750878423.db2.gz GVQXGRDPULHTAC-GJZGRUSLSA-N 1 2 314.385 1.165 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cc(OC)ccc1OC ZINC001032457607 750878427 /nfs/dbraw/zinc/87/84/27/750878427.db2.gz GVQXGRDPULHTAC-GJZGRUSLSA-N 1 2 314.385 1.165 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2oc(CC(C)C)nc2C)C1 ZINC001077807452 750951003 /nfs/dbraw/zinc/95/10/03/750951003.db2.gz UPEDBSAOKQQDFQ-CHWSQXEVSA-N 1 2 307.394 1.142 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2oc(CC(C)C)nc2C)C1 ZINC001077807452 750951008 /nfs/dbraw/zinc/95/10/08/750951008.db2.gz UPEDBSAOKQQDFQ-CHWSQXEVSA-N 1 2 307.394 1.142 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)(C)C)n[nH]1 ZINC001032494240 751061022 /nfs/dbraw/zinc/06/10/22/751061022.db2.gz IWTRHVUDLMGNMH-STQMWFEESA-N 1 2 300.406 1.629 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)(C)C)n[nH]1 ZINC001032494240 751061029 /nfs/dbraw/zinc/06/10/29/751061029.db2.gz IWTRHVUDLMGNMH-STQMWFEESA-N 1 2 300.406 1.629 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nc(C)no4)C[C@H]32)CC1 ZINC001114776887 751075033 /nfs/dbraw/zinc/07/50/33/751075033.db2.gz RJIITIBRRRYAJF-NHAGDIPZSA-N 1 2 316.405 1.671 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nc(C)no4)C[C@H]32)CC1 ZINC001114776887 751075038 /nfs/dbraw/zinc/07/50/38/751075038.db2.gz RJIITIBRRRYAJF-NHAGDIPZSA-N 1 2 316.405 1.671 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ncccn4)C[C@H]32)CCC1 ZINC001114785566 751078084 /nfs/dbraw/zinc/07/80/84/751078084.db2.gz QHFKSFPFMYBZAZ-FOLVSLTJSA-N 1 2 312.417 1.769 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ncccn4)C[C@H]32)CCC1 ZINC001114785566 751078089 /nfs/dbraw/zinc/07/80/89/751078089.db2.gz QHFKSFPFMYBZAZ-FOLVSLTJSA-N 1 2 312.417 1.769 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C2CC2)c1Cl ZINC001032499444 751094045 /nfs/dbraw/zinc/09/40/45/751094045.db2.gz WVEJBPHPKUVDBD-RYUDHWBXSA-N 1 2 318.808 1.863 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C2CC2)c1Cl ZINC001032499444 751094053 /nfs/dbraw/zinc/09/40/53/751094053.db2.gz WVEJBPHPKUVDBD-RYUDHWBXSA-N 1 2 318.808 1.863 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCN1c1nccs1 ZINC001032540091 751227527 /nfs/dbraw/zinc/22/75/27/751227527.db2.gz PSAUZYJYTAARAD-IHRRRGAJSA-N 1 2 316.430 1.030 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCN1c1nccs1 ZINC001032540091 751227529 /nfs/dbraw/zinc/22/75/29/751227529.db2.gz PSAUZYJYTAARAD-IHRRRGAJSA-N 1 2 316.430 1.030 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2c1nc(C)cc2C ZINC001032554822 751293068 /nfs/dbraw/zinc/29/30/68/751293068.db2.gz OEXSDSDVPVEHJF-GJZGRUSLSA-N 1 2 323.400 1.268 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2c1nc(C)cc2C ZINC001032554822 751293071 /nfs/dbraw/zinc/29/30/71/751293071.db2.gz OEXSDSDVPVEHJF-GJZGRUSLSA-N 1 2 323.400 1.268 20 30 DDEDLO C[C@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CC[C@@H]1NCC#N ZINC001036250746 752304903 /nfs/dbraw/zinc/30/49/03/752304903.db2.gz IGDGWWVXXRQMPU-ZFWWWQNUSA-N 1 2 324.388 1.231 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC000999130323 752564851 /nfs/dbraw/zinc/56/48/51/752564851.db2.gz VXQRLKGIKJCZHO-ZDUSSCGKSA-N 1 2 302.378 1.126 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H](C)c2cncnc2)C1 ZINC001008277827 752649906 /nfs/dbraw/zinc/64/99/06/752649906.db2.gz BJOKXCFWEMDCLK-OCCSQVGLSA-N 1 2 308.813 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)c2cncnc2)C1 ZINC001008277827 752649908 /nfs/dbraw/zinc/64/99/08/752649908.db2.gz BJOKXCFWEMDCLK-OCCSQVGLSA-N 1 2 308.813 1.913 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC001107990152 753063523 /nfs/dbraw/zinc/06/35/23/753063523.db2.gz AYTJNDOHLLNHEY-QGZVFWFLSA-N 1 2 303.406 1.899 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC001107990152 753063526 /nfs/dbraw/zinc/06/35/26/753063526.db2.gz AYTJNDOHLLNHEY-QGZVFWFLSA-N 1 2 303.406 1.899 20 30 DDEDLO N#Cc1ccc(N2C[C@@H]3C[C@H]2CN3C(=O)CCc2c[nH]c[nH+]2)nc1 ZINC001062603020 753157399 /nfs/dbraw/zinc/15/73/99/753157399.db2.gz OPJCYOWHDBNNQT-GJZGRUSLSA-N 1 2 322.372 1.099 20 30 DDEDLO N#Cc1ccc(N2C[C@@H]3C[C@H]2CN3C(=O)CCc2c[nH+]c[nH]2)nc1 ZINC001062603020 753157401 /nfs/dbraw/zinc/15/74/01/753157401.db2.gz OPJCYOWHDBNNQT-GJZGRUSLSA-N 1 2 322.372 1.099 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc(CC)n2)C1 ZINC001107996864 753386009 /nfs/dbraw/zinc/38/60/09/753386009.db2.gz KOOONDVXPBUIJE-KRWDZBQOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc(CC)n2)C1 ZINC001107996864 753386011 /nfs/dbraw/zinc/38/60/11/753386011.db2.gz KOOONDVXPBUIJE-KRWDZBQOSA-N 1 2 303.406 1.651 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)c1cccc2[nH+]ccn21 ZINC001108008568 753497463 /nfs/dbraw/zinc/49/74/63/753497463.db2.gz YRTIMFNXYRLOFB-GFCCVEGCSA-N 1 2 320.356 1.831 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C(C)(C)n2cnc(C)c2)C1 ZINC001108023281 753570306 /nfs/dbraw/zinc/57/03/06/753570306.db2.gz YLEPITMKJQPXAC-QGZVFWFLSA-N 1 2 320.437 1.320 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)n2cnc(C)c2)C1 ZINC001108023281 753570311 /nfs/dbraw/zinc/57/03/11/753570311.db2.gz YLEPITMKJQPXAC-QGZVFWFLSA-N 1 2 320.437 1.320 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)CCNC(=O)Cc1[nH]cc[nH+]1 ZINC001078034133 753662773 /nfs/dbraw/zinc/66/27/73/753662773.db2.gz PPRBHDARQLQVMS-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](Cc1ccccc1)OC ZINC001032791639 753759376 /nfs/dbraw/zinc/75/93/76/753759376.db2.gz BQWOGLJZHLTVOH-BZSNNMDCSA-N 1 2 312.413 1.553 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](Cc1ccccc1)OC ZINC001032791639 753759380 /nfs/dbraw/zinc/75/93/80/753759380.db2.gz BQWOGLJZHLTVOH-BZSNNMDCSA-N 1 2 312.413 1.553 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H](C)CCNC(=O)[C@@H](C)C#N)c(C)[nH+]1 ZINC001078132062 753771091 /nfs/dbraw/zinc/77/10/91/753771091.db2.gz IMJUCNHPLSDFOA-RYUDHWBXSA-N 1 2 316.405 1.791 20 30 DDEDLO Cc1cnn(CC(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)c1 ZINC001010334493 753774926 /nfs/dbraw/zinc/77/49/26/753774926.db2.gz NDOKQRQLEVSOFI-KRWDZBQOSA-N 1 2 323.400 1.454 20 30 DDEDLO Cc1cnn(CC(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001010334493 753774929 /nfs/dbraw/zinc/77/49/29/753774929.db2.gz NDOKQRQLEVSOFI-KRWDZBQOSA-N 1 2 323.400 1.454 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CC)c(CCC)s2)C1 ZINC001078162255 753807427 /nfs/dbraw/zinc/80/74/27/753807427.db2.gz GSLFTRQRKCGICG-ZIAGYGMSSA-N 1 2 320.458 1.671 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CC)c(CCC)s2)C1 ZINC001078162255 753807432 /nfs/dbraw/zinc/80/74/32/753807432.db2.gz GSLFTRQRKCGICG-ZIAGYGMSSA-N 1 2 320.458 1.671 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@H]2CCNC(=O)C2)CC1 ZINC001000570767 753812355 /nfs/dbraw/zinc/81/23/55/753812355.db2.gz WZWYLIMAELKBCP-ZDUSSCGKSA-N 1 2 311.813 1.013 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@H]2CCNC(=O)C2)CC1 ZINC001000570767 753812361 /nfs/dbraw/zinc/81/23/61/753812361.db2.gz WZWYLIMAELKBCP-ZDUSSCGKSA-N 1 2 311.813 1.013 20 30 DDEDLO Cc1nc(NCC[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)cc[nH+]1 ZINC001063266050 754066504 /nfs/dbraw/zinc/06/65/04/754066504.db2.gz VGERDHXTFJOGPE-JSGCOSHPSA-N 1 2 301.394 1.985 20 30 DDEDLO Cc1nc(C(=O)N[C@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)co1 ZINC001010692865 754103585 /nfs/dbraw/zinc/10/35/85/754103585.db2.gz SFEKRIDEONJKHE-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1nc(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)co1 ZINC001010692865 754103588 /nfs/dbraw/zinc/10/35/88/754103588.db2.gz SFEKRIDEONJKHE-NSHDSACASA-N 1 2 316.386 1.921 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)Cc2cncnc2)C1 ZINC001010798917 754171536 /nfs/dbraw/zinc/17/15/36/754171536.db2.gz DXNDCFNQQUNXIX-KRWDZBQOSA-N 1 2 321.384 1.281 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)Cc2cncnc2)C1 ZINC001010798917 754171541 /nfs/dbraw/zinc/17/15/41/754171541.db2.gz DXNDCFNQQUNXIX-KRWDZBQOSA-N 1 2 321.384 1.281 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CCC1 ZINC001063489572 754190657 /nfs/dbraw/zinc/19/06/57/754190657.db2.gz NJYTZMCYPAMSHV-CHWSQXEVSA-N 1 2 316.405 1.635 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CCC1 ZINC001063489572 754190665 /nfs/dbraw/zinc/19/06/65/754190665.db2.gz NJYTZMCYPAMSHV-CHWSQXEVSA-N 1 2 316.405 1.635 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(NC(C)=O)ccc1F ZINC001032811301 754203425 /nfs/dbraw/zinc/20/34/25/754203425.db2.gz WZLMVZNKISQCNX-KBPBESRZSA-N 1 2 315.348 1.316 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(NC(C)=O)ccc1F ZINC001032811301 754203428 /nfs/dbraw/zinc/20/34/28/754203428.db2.gz WZLMVZNKISQCNX-KBPBESRZSA-N 1 2 315.348 1.316 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C(C)(C)C)nc1 ZINC001032816740 754430987 /nfs/dbraw/zinc/43/09/87/754430987.db2.gz BQBQTQZLWLAQKS-GJZGRUSLSA-N 1 2 312.417 1.696 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C(C)(C)C)nc1 ZINC001032816740 754430992 /nfs/dbraw/zinc/43/09/92/754430992.db2.gz BQBQTQZLWLAQKS-GJZGRUSLSA-N 1 2 312.417 1.696 20 30 DDEDLO CN(c1ccncc1C#N)[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001056997416 762309573 /nfs/dbraw/zinc/30/95/73/762309573.db2.gz BETKXCGXXBQPNB-OAHLLOKOSA-N 1 2 324.388 1.277 20 30 DDEDLO Cc1nc(N2CCC3(CCN(C(=O)[C@H](C)C#N)C3)CC2)cc[nH+]1 ZINC001064578133 754724076 /nfs/dbraw/zinc/72/40/76/754724076.db2.gz IECIKCMEHKAYGL-CYBMUJFWSA-N 1 2 313.405 1.764 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C1CCC(OC(=O)c2ccccc2)CC1 ZINC001169292247 762333976 /nfs/dbraw/zinc/33/39/76/762333976.db2.gz GFMZPVHNOLQKBC-CGZBRXJRSA-N 1 2 313.401 1.952 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ncccc2C#N)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064785399 754846963 /nfs/dbraw/zinc/84/69/63/754846963.db2.gz NCSKZGUBCCUFGR-HIFRSBDPSA-N 1 2 324.388 1.641 20 30 DDEDLO CCC(=O)N1CC[NH+]([C@H]2CCN(c3ccncc3C#N)C2)CC1 ZINC001065183234 755112992 /nfs/dbraw/zinc/11/29/92/755112992.db2.gz JPJJBMYSNHQWOH-HNNXBMFYSA-N 1 2 313.405 1.086 20 30 DDEDLO CCn1nncc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001014368206 755700847 /nfs/dbraw/zinc/70/08/47/755700847.db2.gz HEAPWWBSIOLVOP-INIZCTEOSA-N 1 2 323.400 1.154 20 30 DDEDLO CCn1nncc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001014368206 755700850 /nfs/dbraw/zinc/70/08/50/755700850.db2.gz HEAPWWBSIOLVOP-INIZCTEOSA-N 1 2 323.400 1.154 20 30 DDEDLO C=CC(C)(C)C(=O)N(CC)C1CN(C(=O)CCn2cc[nH+]c2)C1 ZINC001080221632 755772349 /nfs/dbraw/zinc/77/23/49/755772349.db2.gz GDXSJPTUIXSUFY-UHFFFAOYSA-N 1 2 318.421 1.545 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H](N(C)c3cc[nH+]c(C)n3)C2)c1 ZINC001057027454 762421872 /nfs/dbraw/zinc/42/18/72/762421872.db2.gz IFHYIURPOVIEMS-MRXNPFEDSA-N 1 2 321.384 1.512 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2nc(C(C)C)oc2C)[C@H](OC)C1 ZINC001081662426 756416906 /nfs/dbraw/zinc/41/69/06/756416906.db2.gz YPJXEBGZNLZVOQ-ZIAGYGMSSA-N 1 2 319.405 1.559 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2nc(C(C)C)oc2C)[C@H](OC)C1 ZINC001081662426 756416910 /nfs/dbraw/zinc/41/69/10/756416910.db2.gz YPJXEBGZNLZVOQ-ZIAGYGMSSA-N 1 2 319.405 1.559 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)cn2)C1 ZINC001015726059 756498461 /nfs/dbraw/zinc/49/84/61/756498461.db2.gz JBDHZVDGWHPGOK-BMFZPTHFSA-N 1 2 316.405 1.067 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)cn2)C1 ZINC001015726059 756498465 /nfs/dbraw/zinc/49/84/65/756498465.db2.gz JBDHZVDGWHPGOK-BMFZPTHFSA-N 1 2 316.405 1.067 20 30 DDEDLO CCn1ccc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001015791891 756552836 /nfs/dbraw/zinc/55/28/36/756552836.db2.gz OSNSTXOICXDPMK-QGZVFWFLSA-N 1 2 322.412 1.759 20 30 DDEDLO CCn1ccc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001015791891 756552839 /nfs/dbraw/zinc/55/28/39/756552839.db2.gz OSNSTXOICXDPMK-QGZVFWFLSA-N 1 2 322.412 1.759 20 30 DDEDLO CCn1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)nn1 ZINC001015916118 756648750 /nfs/dbraw/zinc/64/87/50/756648750.db2.gz GDQVWFOTFBEKIP-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)nn1 ZINC001015916118 756648755 /nfs/dbraw/zinc/64/87/55/756648755.db2.gz GDQVWFOTFBEKIP-OAHLLOKOSA-N 1 2 324.388 1.174 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)cc2F)[C@H](OC)C1 ZINC001082168511 756658728 /nfs/dbraw/zinc/65/87/28/756658728.db2.gz NDZGOPGBLGOFQS-HZPDHXFCSA-N 1 2 304.365 1.586 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)cc2F)[C@H](OC)C1 ZINC001082168511 756658730 /nfs/dbraw/zinc/65/87/30/756658730.db2.gz NDZGOPGBLGOFQS-HZPDHXFCSA-N 1 2 304.365 1.586 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)ccc2Cl)[C@H](OC)C1 ZINC001082165996 756668628 /nfs/dbraw/zinc/66/86/28/756668628.db2.gz BUTRXBZOOVAQCN-ZIAGYGMSSA-N 1 2 310.756 1.541 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)ccc2Cl)[C@H](OC)C1 ZINC001082165996 756668629 /nfs/dbraw/zinc/66/86/29/756668629.db2.gz BUTRXBZOOVAQCN-ZIAGYGMSSA-N 1 2 310.756 1.541 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(CCC)on2)[C@H](OC)C1 ZINC001082287860 756724318 /nfs/dbraw/zinc/72/43/18/756724318.db2.gz KAGQRVFQVWRYJE-HUUCEWRRSA-N 1 2 305.378 1.079 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(CCC)on2)[C@H](OC)C1 ZINC001082287860 756724320 /nfs/dbraw/zinc/72/43/20/756724320.db2.gz KAGQRVFQVWRYJE-HUUCEWRRSA-N 1 2 305.378 1.079 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001016113378 756767751 /nfs/dbraw/zinc/76/77/51/756767751.db2.gz KBWXJMWBJGRRBD-KRWDZBQOSA-N 1 2 323.396 1.898 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001016113378 756767753 /nfs/dbraw/zinc/76/77/53/756767753.db2.gz KBWXJMWBJGRRBD-KRWDZBQOSA-N 1 2 323.396 1.898 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001016113379 756767798 /nfs/dbraw/zinc/76/77/98/756767798.db2.gz KBWXJMWBJGRRBD-QGZVFWFLSA-N 1 2 323.396 1.898 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001016113379 756767802 /nfs/dbraw/zinc/76/78/02/756767802.db2.gz KBWXJMWBJGRRBD-QGZVFWFLSA-N 1 2 323.396 1.898 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@@H]2C)n1 ZINC001067137479 756787473 /nfs/dbraw/zinc/78/74/73/756787473.db2.gz IAWAOXUHRFTXCB-FZMZJTMJSA-N 1 2 324.388 1.486 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC001082451409 756792284 /nfs/dbraw/zinc/79/22/84/756792284.db2.gz YXWFFNDAYRUSES-IWSPIJDZSA-N 1 2 313.308 1.103 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CN2CCCCCC2=O)C1 ZINC001016203407 756829095 /nfs/dbraw/zinc/82/90/95/756829095.db2.gz SPPHGYUMOVXIRV-CYBMUJFWSA-N 1 2 313.829 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CN2CCCCCC2=O)C1 ZINC001016203407 756829107 /nfs/dbraw/zinc/82/91/07/756829107.db2.gz SPPHGYUMOVXIRV-CYBMUJFWSA-N 1 2 313.829 1.332 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2csc3nccn23)C1 ZINC001016268482 756891482 /nfs/dbraw/zinc/89/14/82/756891482.db2.gz NEXVLJAYMQBJHJ-NSHDSACASA-N 1 2 324.837 1.881 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2csc3nccn23)C1 ZINC001016268482 756891489 /nfs/dbraw/zinc/89/14/89/756891489.db2.gz NEXVLJAYMQBJHJ-NSHDSACASA-N 1 2 324.837 1.881 20 30 DDEDLO N#Cc1cccnc1N1CCCC[C@@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001097438587 757184530 /nfs/dbraw/zinc/18/45/30/757184530.db2.gz XXHXQWKTKPFICG-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001097486096 757204446 /nfs/dbraw/zinc/20/44/46/757204446.db2.gz WXIQSLQIJBYDQV-YOEHRIQHSA-N 1 2 318.421 1.905 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2csc3c2CCCC3)[C@@H](O)C1 ZINC001084033311 757237456 /nfs/dbraw/zinc/23/74/56/757237456.db2.gz PZLPBBCMAQNBJZ-CABCVRRESA-N 1 2 318.442 1.425 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2csc3c2CCCC3)[C@@H](O)C1 ZINC001084033311 757237465 /nfs/dbraw/zinc/23/74/65/757237465.db2.gz PZLPBBCMAQNBJZ-CABCVRRESA-N 1 2 318.442 1.425 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)[C@@H](O)C1 ZINC001084114826 757270781 /nfs/dbraw/zinc/27/07/81/757270781.db2.gz IPFUOXJIBJTBQK-SJORKVTESA-N 1 2 309.369 1.090 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)[C@@H](O)C1 ZINC001084114826 757270788 /nfs/dbraw/zinc/27/07/88/757270788.db2.gz IPFUOXJIBJTBQK-SJORKVTESA-N 1 2 309.369 1.090 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3cccc(Cl)n3)C[C@H]21 ZINC001084235532 757435706 /nfs/dbraw/zinc/43/57/06/757435706.db2.gz GBSXLVBVPUWXRR-YRGRVCCFSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3cccc(Cl)n3)C[C@H]21 ZINC001084235532 757435713 /nfs/dbraw/zinc/43/57/13/757435713.db2.gz GBSXLVBVPUWXRR-YRGRVCCFSA-N 1 2 318.808 1.927 20 30 DDEDLO COc1ccnc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)c1 ZINC001084236020 757438177 /nfs/dbraw/zinc/43/81/77/757438177.db2.gz XIJVODZFMZRLOR-XJKCOSOUSA-N 1 2 314.389 1.283 20 30 DDEDLO COc1ccnc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)c1 ZINC001084236020 757438179 /nfs/dbraw/zinc/43/81/79/757438179.db2.gz XIJVODZFMZRLOR-XJKCOSOUSA-N 1 2 314.389 1.283 20 30 DDEDLO Cc1nc(N(C)C)nc(N[C@H](C)CNC(=O)C#CC(C)(C)C)[nH+]1 ZINC001097806506 757552122 /nfs/dbraw/zinc/55/21/22/757552122.db2.gz NXECWZYPPVUJDJ-LLVKDONJSA-N 1 2 318.425 1.212 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3cn(CC)nn3)[C@@H]2C1 ZINC001084537692 757647676 /nfs/dbraw/zinc/64/76/76/757647676.db2.gz GRZHDSLHYHIXQC-TZMCWYRMSA-N 1 2 323.828 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cn(CC)nn3)[C@@H]2C1 ZINC001084537692 757647680 /nfs/dbraw/zinc/64/76/80/757647680.db2.gz GRZHDSLHYHIXQC-TZMCWYRMSA-N 1 2 323.828 1.126 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@H](C)C(C)C)CC2=O)C1 ZINC001108518368 762567411 /nfs/dbraw/zinc/56/74/11/762567411.db2.gz XZHLMKCKRHQDER-UONOGXRCSA-N 1 2 307.438 1.256 20 30 DDEDLO Cc1cccn2cc(CC(=O)N3C[C@H]4CCN(CC#N)C[C@H]43)[nH+]c12 ZINC001084721177 757820041 /nfs/dbraw/zinc/82/00/41/757820041.db2.gz BILGUARQEYXPHR-GDBMZVCRSA-N 1 2 323.400 1.242 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3ncccn3)[C@@H]2C1 ZINC001084730532 757832482 /nfs/dbraw/zinc/83/24/82/757832482.db2.gz CPJRPKMXVPXBTP-ZIAGYGMSSA-N 1 2 320.824 1.694 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3ncccn3)[C@@H]2C1 ZINC001084730532 757832497 /nfs/dbraw/zinc/83/24/97/757832497.db2.gz CPJRPKMXVPXBTP-ZIAGYGMSSA-N 1 2 320.824 1.694 20 30 DDEDLO Cc1nc(N2C[C@@H](CNC(=O)c3c[nH]c(C#N)c3)[C@H](C)C2)cc[nH+]1 ZINC001052830396 757838551 /nfs/dbraw/zinc/83/85/51/757838551.db2.gz SUUWHIKOTMOBPX-BXUZGUMPSA-N 1 2 324.388 1.487 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnn(-c4ccncc4)c3)[C@@H]2C1 ZINC001084743760 757856084 /nfs/dbraw/zinc/85/60/84/757856084.db2.gz BSHZTXGEGRLJKL-RHSMWYFYSA-N 1 2 323.400 1.600 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2CN(C(=O)c3cnn(-c4ccncc4)c3)[C@@H]2C1 ZINC001084743760 757856099 /nfs/dbraw/zinc/85/60/99/757856099.db2.gz BSHZTXGEGRLJKL-RHSMWYFYSA-N 1 2 323.400 1.600 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1ccnn1C ZINC001017561333 758030665 /nfs/dbraw/zinc/03/06/65/758030665.db2.gz SXNGZXTZCJHOOT-SOUVJXGZSA-N 1 2 300.406 1.222 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1ccnn1C ZINC001017561333 758030674 /nfs/dbraw/zinc/03/06/74/758030674.db2.gz SXNGZXTZCJHOOT-SOUVJXGZSA-N 1 2 300.406 1.222 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C2CC2)n(CC)n1 ZINC001017608757 758076526 /nfs/dbraw/zinc/07/65/26/758076526.db2.gz MGEYSDSXTFVFEU-GASCZTMLSA-N 1 2 312.417 1.702 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C2CC2)n(CC)n1 ZINC001017608757 758076536 /nfs/dbraw/zinc/07/65/36/758076536.db2.gz MGEYSDSXTFVFEU-GASCZTMLSA-N 1 2 312.417 1.702 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@H]2CCN(CC#N)[C@H]2C1 ZINC001085052955 758180047 /nfs/dbraw/zinc/18/00/47/758180047.db2.gz UTOOGFMSNZKLOE-NFAWXSAZSA-N 1 2 301.394 1.035 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@H]2CCN(CC#N)[C@H]2C1 ZINC001085052955 758180054 /nfs/dbraw/zinc/18/00/54/758180054.db2.gz UTOOGFMSNZKLOE-NFAWXSAZSA-N 1 2 301.394 1.035 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001017720275 758180573 /nfs/dbraw/zinc/18/05/73/758180573.db2.gz YANQDGWMNUWMGH-IYBDPMFKSA-N 1 2 323.396 1.493 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001017720275 758180578 /nfs/dbraw/zinc/18/05/78/758180578.db2.gz YANQDGWMNUWMGH-IYBDPMFKSA-N 1 2 323.396 1.493 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(Cl)c[nH]1)CCO2 ZINC001053149383 758205809 /nfs/dbraw/zinc/20/58/09/758205809.db2.gz MLMHHKWUBQVBLV-UHFFFAOYSA-N 1 2 309.797 1.771 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2ccncc21 ZINC001017769807 758220153 /nfs/dbraw/zinc/22/01/53/758220153.db2.gz ULWRBSYOTOYARB-GASCZTMLSA-N 1 2 320.396 1.942 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2ccncc21 ZINC001017769807 758220161 /nfs/dbraw/zinc/22/01/61/758220161.db2.gz ULWRBSYOTOYARB-GASCZTMLSA-N 1 2 320.396 1.942 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cncc(F)c1)CCO2 ZINC001053216844 758274717 /nfs/dbraw/zinc/27/47/17/758274717.db2.gz QFXBKZMLZXNAGG-UHFFFAOYSA-N 1 2 305.353 1.324 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067208834 758281205 /nfs/dbraw/zinc/28/12/05/758281205.db2.gz XKWFDWWAUHBYGV-FZMZJTMJSA-N 1 2 310.361 1.178 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@](C)(O)C1CC1)CCO2 ZINC001053226440 758283034 /nfs/dbraw/zinc/28/30/34/758283034.db2.gz VJOQKFOADTYVLA-INIZCTEOSA-N 1 2 308.422 1.027 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)CNc1cc(C)[nH+]c(C(C)C)n1 ZINC001108530332 762618681 /nfs/dbraw/zinc/61/86/81/762618681.db2.gz HIVYTGZDCXKKFM-AWEZNQCLSA-N 1 2 318.421 1.865 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCC[C@@H]1OC)CCO2 ZINC001053318607 758371455 /nfs/dbraw/zinc/37/14/55/758371455.db2.gz JEDLETDDPIOJMF-CABCVRRESA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(OCC)no1)CCO2 ZINC001053342794 758389224 /nfs/dbraw/zinc/38/92/24/758389224.db2.gz OIWHAJMRYWHMGD-UHFFFAOYSA-N 1 2 321.377 1.176 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-c2ncon2)c1 ZINC001017934040 758405244 /nfs/dbraw/zinc/40/52/44/758405244.db2.gz CGIPYGPGQUENMZ-IYBDPMFKSA-N 1 2 322.368 1.659 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-c2ncon2)c1 ZINC001017934040 758405251 /nfs/dbraw/zinc/40/52/51/758405251.db2.gz CGIPYGPGQUENMZ-IYBDPMFKSA-N 1 2 322.368 1.659 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCOC3(C[NH+](CCC=C)C3)C2)cc1 ZINC001053369822 758407889 /nfs/dbraw/zinc/40/78/89/758407889.db2.gz IOMLYHSSGAAYPO-UHFFFAOYSA-N 1 2 310.397 1.771 20 30 DDEDLO C=C1CCC(C(=O)N2CCOC3(C[NH+](C[C@H](C)OC)C3)C2)CC1 ZINC001053405220 758435984 /nfs/dbraw/zinc/43/59/84/758435984.db2.gz JSSYFCCPIXGYFG-HNNXBMFYSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1nc(CC)oc1C)CCO2 ZINC001053470299 758485150 /nfs/dbraw/zinc/48/51/50/758485150.db2.gz KFDNNHIUTAMZST-UHFFFAOYSA-N 1 2 319.405 1.648 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCOC3(C[NH+](CCC=C)C3)C2)nc1 ZINC001053511934 758517779 /nfs/dbraw/zinc/51/77/79/758517779.db2.gz IBSMVWAYEMHWRJ-UHFFFAOYSA-N 1 2 311.385 1.166 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(OC)c1F ZINC001018091223 758540405 /nfs/dbraw/zinc/54/04/05/758540405.db2.gz GFMHAKXTRAYWHH-BETUJISGSA-N 1 2 302.349 1.756 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(OC)c1F ZINC001018091223 758540412 /nfs/dbraw/zinc/54/04/12/758540412.db2.gz GFMHAKXTRAYWHH-BETUJISGSA-N 1 2 302.349 1.756 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)on1)O2 ZINC001053565014 758570755 /nfs/dbraw/zinc/57/07/55/758570755.db2.gz KMDRJGYZAGNLLQ-ZDUSSCGKSA-N 1 2 305.378 1.522 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1[nH]c(C)nc1C)O2 ZINC001053660600 758674408 /nfs/dbraw/zinc/67/44/08/758674408.db2.gz LRVYEVWHPNWVNO-CQSZACIVSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnn(CCC)c1)O2 ZINC001053669513 758681212 /nfs/dbraw/zinc/68/12/12/758681212.db2.gz ATLICAVAPBFILC-HNNXBMFYSA-N 1 2 318.421 1.442 20 30 DDEDLO Cc1nc(N[C@H](C)[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001065684800 758702607 /nfs/dbraw/zinc/70/26/07/758702607.db2.gz BYZUPGFUWLMDPA-DGCLKSJQSA-N 1 2 324.388 1.948 20 30 DDEDLO CCCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)[C@H](C)C#N)C2)CC1 ZINC001065712407 758729880 /nfs/dbraw/zinc/72/98/80/758729880.db2.gz XKRPWIGCMWGFAD-HUUCEWRRSA-N 1 2 320.437 1.081 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(CC)n[nH]1)CO2 ZINC001053745826 758761641 /nfs/dbraw/zinc/76/16/41/758761641.db2.gz RTUVGDBNUAHJOA-ZDUSSCGKSA-N 1 2 304.394 1.121 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)n1cccc1)CO2 ZINC001053777190 758799776 /nfs/dbraw/zinc/79/97/76/758799776.db2.gz JCDHDWPXFSRSNC-LSDHHAIUSA-N 1 2 301.390 1.032 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cnc3cc[nH]c3c1)CO2 ZINC001053843218 758873578 /nfs/dbraw/zinc/87/35/78/758873578.db2.gz HRZKZUZFCIDSRD-AWEZNQCLSA-N 1 2 324.384 1.159 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)cc(C)n1)CO2 ZINC001053855108 758886972 /nfs/dbraw/zinc/88/69/72/758886972.db2.gz FHJBGISQNQTGJG-HNNXBMFYSA-N 1 2 315.417 1.848 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@@H]3CCCC[C@H]13)CO2 ZINC001053878542 758913460 /nfs/dbraw/zinc/91/34/60/758913460.db2.gz IGALCCXSSRQQAM-VVLHAWIVSA-N 1 2 316.445 1.796 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccn(C)c1C)CO2 ZINC001053918917 758953958 /nfs/dbraw/zinc/95/39/58/758953958.db2.gz YYADMLIHYZHSSC-AWEZNQCLSA-N 1 2 303.406 1.483 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1nccc3[nH]ccc31)CO2 ZINC001053929552 758965756 /nfs/dbraw/zinc/96/57/56/758965756.db2.gz CPNNUMTVURECAV-CYBMUJFWSA-N 1 2 324.384 1.159 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@H]1c1ccco1)CO2 ZINC001053934381 758969331 /nfs/dbraw/zinc/96/93/31/758969331.db2.gz MGINLELEAGGYOZ-RRFJBIMHSA-N 1 2 314.385 1.366 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnn(CCC)c1)CO2 ZINC001053944938 758981839 /nfs/dbraw/zinc/98/18/39/758981839.db2.gz KZQUBEVUBFVEOV-OAHLLOKOSA-N 1 2 318.421 1.442 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccn(C(C)C)n1)CO2 ZINC001053945389 758982154 /nfs/dbraw/zinc/98/21/54/758982154.db2.gz VSCBVVKQKMUMMX-CQSZACIVSA-N 1 2 316.405 1.060 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc3c([nH]1)CCC3)CO2 ZINC001053979888 759019427 /nfs/dbraw/zinc/01/94/27/759019427.db2.gz ZNCNBQWQRGZVQK-CQSZACIVSA-N 1 2 315.417 1.653 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnc(OCC)c2)C1 ZINC001108202920 759089762 /nfs/dbraw/zinc/08/97/62/759089762.db2.gz PMSKYSJOQCAKGW-QGZVFWFLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnc(OCC)c2)C1 ZINC001108202920 759089770 /nfs/dbraw/zinc/08/97/70/759089770.db2.gz PMSKYSJOQCAKGW-QGZVFWFLSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cccc(=O)[nH]1 ZINC001054036107 759092605 /nfs/dbraw/zinc/09/26/05/759092605.db2.gz CHYXYRXVYWFRLE-MRXNPFEDSA-N 1 2 321.380 1.577 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cccc(=O)[nH]1 ZINC001054036107 759092611 /nfs/dbraw/zinc/09/26/11/759092611.db2.gz CHYXYRXVYWFRLE-MRXNPFEDSA-N 1 2 321.380 1.577 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccn(C)c1 ZINC001054037854 759095046 /nfs/dbraw/zinc/09/50/46/759095046.db2.gz PZZNDKKNXFCTAX-GOSISDBHSA-N 1 2 307.397 1.815 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccn(C)c1 ZINC001054037854 759095055 /nfs/dbraw/zinc/09/50/55/759095055.db2.gz PZZNDKKNXFCTAX-GOSISDBHSA-N 1 2 307.397 1.815 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnc(C)n1C ZINC001054049779 759106246 /nfs/dbraw/zinc/10/62/46/759106246.db2.gz IMMHGRHRRYLNDE-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnc(C)n1C ZINC001054049779 759106248 /nfs/dbraw/zinc/10/62/48/759106248.db2.gz IMMHGRHRRYLNDE-KRWDZBQOSA-N 1 2 322.412 1.518 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(C)(C)C(C)(C)C)CC2=O)C1 ZINC001108564318 762692260 /nfs/dbraw/zinc/69/22/60/762692260.db2.gz IWEFUOGERWQVDI-ZDUSSCGKSA-N 1 2 321.465 1.646 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC001018747617 759216866 /nfs/dbraw/zinc/21/68/66/759216866.db2.gz IITQTMYIFJECKI-CABZTGNLSA-N 1 2 314.349 1.143 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1cn[nH]c1 ZINC001085498866 759542359 /nfs/dbraw/zinc/54/23/59/759542359.db2.gz OAMNOYPTNQCTHW-KRWDZBQOSA-N 1 2 308.385 1.608 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1cn[nH]c1 ZINC001085498866 759542364 /nfs/dbraw/zinc/54/23/64/759542364.db2.gz OAMNOYPTNQCTHW-KRWDZBQOSA-N 1 2 308.385 1.608 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)c2ccc(C#N)[nH]2)ncn1 ZINC001085553734 759683215 /nfs/dbraw/zinc/68/32/15/759683215.db2.gz WOSXFSXKTFJJHA-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)c2ccc(C#N)[nH]2)ncn1 ZINC001085553734 759683221 /nfs/dbraw/zinc/68/32/21/759683221.db2.gz WOSXFSXKTFJJHA-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556544 759700896 /nfs/dbraw/zinc/70/08/96/759700896.db2.gz UBJMRVKWMFQGQE-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556544 759700901 /nfs/dbraw/zinc/70/09/01/759700901.db2.gz UBJMRVKWMFQGQE-HNNXBMFYSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C[C@H]1CC[N@H+]1[C@H](CC)C(N)=O ZINC001085641752 759917470 /nfs/dbraw/zinc/91/74/70/759917470.db2.gz VZXKVJJJKGEMGI-ZIAGYGMSSA-N 1 2 318.421 1.084 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](CC)C(N)=O ZINC001085641752 759917472 /nfs/dbraw/zinc/91/74/72/759917472.db2.gz VZXKVJJJKGEMGI-ZIAGYGMSSA-N 1 2 318.421 1.084 20 30 DDEDLO C[C@H]1CN(C(=O)C#CC2CC2)C[C@@H]1[NH2+]Cc1nc(C2CC2)no1 ZINC001054657497 759955642 /nfs/dbraw/zinc/95/56/42/759955642.db2.gz OBBNASVENLGDBY-FZMZJTMJSA-N 1 2 314.389 1.297 20 30 DDEDLO C[C@@H]1CN(C(=O)C#CC2CC2)C[C@@H]1[NH2+]Cc1nc(C2CC2)no1 ZINC001054657498 759955730 /nfs/dbraw/zinc/95/57/30/759955730.db2.gz OBBNASVENLGDBY-RISCZKNCSA-N 1 2 314.389 1.297 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncoc1C(F)(F)F ZINC001085682144 759999390 /nfs/dbraw/zinc/99/93/90/759999390.db2.gz OAFZKHHQAMZWGI-SNVBAGLBSA-N 1 2 315.295 1.863 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncoc1C(F)(F)F ZINC001085682144 759999396 /nfs/dbraw/zinc/99/93/96/759999396.db2.gz OAFZKHHQAMZWGI-SNVBAGLBSA-N 1 2 315.295 1.863 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)[nH]cc1NC(C)=O ZINC001085683718 760005589 /nfs/dbraw/zinc/00/55/89/760005589.db2.gz YIUSIBQDECACHL-ZDUSSCGKSA-N 1 2 302.378 1.061 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)[nH]cc1NC(C)=O ZINC001085683718 760005599 /nfs/dbraw/zinc/00/55/99/760005599.db2.gz YIUSIBQDECACHL-ZDUSSCGKSA-N 1 2 302.378 1.061 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1coc(C(F)(F)F)n1 ZINC001085698452 760051425 /nfs/dbraw/zinc/05/14/25/760051425.db2.gz NKGJLEKIODKBFA-SNVBAGLBSA-N 1 2 315.295 1.863 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1coc(C(F)(F)F)n1 ZINC001085698452 760051436 /nfs/dbraw/zinc/05/14/36/760051436.db2.gz NKGJLEKIODKBFA-SNVBAGLBSA-N 1 2 315.295 1.863 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001085707167 760077871 /nfs/dbraw/zinc/07/78/71/760077871.db2.gz FHHYWCDVDGNONE-ZBFHGGJFSA-N 1 2 318.804 1.809 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001085707167 760077883 /nfs/dbraw/zinc/07/78/83/760077883.db2.gz FHHYWCDVDGNONE-ZBFHGGJFSA-N 1 2 318.804 1.809 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)Oc2cccnc2)C1 ZINC001108205381 760087134 /nfs/dbraw/zinc/08/71/34/760087134.db2.gz NKUOXGRSBWYOFE-RHSMWYFYSA-N 1 2 319.405 1.242 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)Oc2cccnc2)C1 ZINC001108205381 760087145 /nfs/dbraw/zinc/08/71/45/760087145.db2.gz NKUOXGRSBWYOFE-RHSMWYFYSA-N 1 2 319.405 1.242 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1conc1C(F)(F)F ZINC001085723977 760123211 /nfs/dbraw/zinc/12/32/11/760123211.db2.gz JWFCPUVTFYAZNZ-SECBINFHSA-N 1 2 301.268 1.473 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1conc1C(F)(F)F ZINC001085723977 760123215 /nfs/dbraw/zinc/12/32/15/760123215.db2.gz JWFCPUVTFYAZNZ-SECBINFHSA-N 1 2 301.268 1.473 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nn(C)c2c1CCCC2 ZINC001085829638 760320796 /nfs/dbraw/zinc/32/07/96/760320796.db2.gz CPYFLSQOYWCEAQ-AWEZNQCLSA-N 1 2 314.433 1.469 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1nn(C)c2c1CCCC2 ZINC001085829638 760320806 /nfs/dbraw/zinc/32/08/06/760320806.db2.gz CPYFLSQOYWCEAQ-AWEZNQCLSA-N 1 2 314.433 1.469 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(F)cc(OC)cc1F ZINC001085833264 760334893 /nfs/dbraw/zinc/33/48/93/760334893.db2.gz FADUEYXNLCNNLJ-LLVKDONJSA-N 1 2 308.328 1.753 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(F)cc(OC)cc1F ZINC001085833264 760334906 /nfs/dbraw/zinc/33/49/06/760334906.db2.gz FADUEYXNLCNNLJ-LLVKDONJSA-N 1 2 308.328 1.753 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)noc1C(F)(F)F ZINC001085843033 760345489 /nfs/dbraw/zinc/34/54/89/760345489.db2.gz BKQSTZYLWXNOSD-SNVBAGLBSA-N 1 2 315.295 1.781 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)noc1C(F)(F)F ZINC001085843033 760345498 /nfs/dbraw/zinc/34/54/98/760345498.db2.gz BKQSTZYLWXNOSD-SNVBAGLBSA-N 1 2 315.295 1.781 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(CC)nc1C(C)C ZINC001085845688 760356952 /nfs/dbraw/zinc/35/69/52/760356952.db2.gz RSRUEQLRJVLKTJ-AWEZNQCLSA-N 1 2 302.422 1.806 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(CC)nc1C(C)C ZINC001085845688 760356966 /nfs/dbraw/zinc/35/69/66/760356966.db2.gz RSRUEQLRJVLKTJ-AWEZNQCLSA-N 1 2 302.422 1.806 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@H]2C[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)no1 ZINC001020058333 760497849 /nfs/dbraw/zinc/49/78/49/760497849.db2.gz BVSXYEVTHFBWJN-UWJYBYFXSA-N 1 2 314.349 1.189 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccncc1C(F)(F)F ZINC001085905431 760508459 /nfs/dbraw/zinc/50/84/59/760508459.db2.gz FTCQGFRLHHJWSN-LLVKDONJSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccncc1C(F)(F)F ZINC001085905431 760508464 /nfs/dbraw/zinc/50/84/64/760508464.db2.gz FTCQGFRLHHJWSN-LLVKDONJSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c(=O)c2ccccc21 ZINC001085939450 760572947 /nfs/dbraw/zinc/57/29/47/760572947.db2.gz IHRXKURQBUKMEQ-GFCCVEGCSA-N 1 2 310.357 1.115 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c(=O)c2ccccc21 ZINC001085939450 760572951 /nfs/dbraw/zinc/57/29/51/760572951.db2.gz IHRXKURQBUKMEQ-GFCCVEGCSA-N 1 2 310.357 1.115 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(OC)c(Cl)c1 ZINC001085984571 760663484 /nfs/dbraw/zinc/66/34/84/760663484.db2.gz HKGOTUMKKNFDKT-CYBMUJFWSA-N 1 2 321.808 1.913 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(OC)c(Cl)c1 ZINC001085984571 760663488 /nfs/dbraw/zinc/66/34/88/760663488.db2.gz HKGOTUMKKNFDKT-CYBMUJFWSA-N 1 2 321.808 1.913 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)n(C(C)C)n1 ZINC001086005985 760704310 /nfs/dbraw/zinc/70/43/10/760704310.db2.gz SRXBHAQPQWBMHV-HNNXBMFYSA-N 1 2 302.422 1.942 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)n(C(C)C)n1 ZINC001086005985 760704315 /nfs/dbraw/zinc/70/43/15/760704315.db2.gz SRXBHAQPQWBMHV-HNNXBMFYSA-N 1 2 302.422 1.942 20 30 DDEDLO Cn1cnc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)c1 ZINC001038164596 760874704 /nfs/dbraw/zinc/87/47/04/760874704.db2.gz VNCZWVIFQLGOSE-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cnc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)c1 ZINC001038164596 760874716 /nfs/dbraw/zinc/87/47/16/760874716.db2.gz VNCZWVIFQLGOSE-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1ncn2cc(Cl)ccc12 ZINC001038273274 760965657 /nfs/dbraw/zinc/96/56/57/760965657.db2.gz NIRQYQPLFOIZGR-GFCCVEGCSA-N 1 2 302.765 1.425 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ncn2cc(Cl)ccc12 ZINC001038273274 760965664 /nfs/dbraw/zinc/96/56/64/760965664.db2.gz NIRQYQPLFOIZGR-GFCCVEGCSA-N 1 2 302.765 1.425 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C#CC(C)(C)C)CC1 ZINC001131357488 768003618 /nfs/dbraw/zinc/00/36/18/768003618.db2.gz ZGHDRWBPNOLNEN-UHFFFAOYSA-N 1 2 319.449 1.263 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C#CC(C)(C)C)CC1 ZINC001131357488 768003611 /nfs/dbraw/zinc/00/36/11/768003611.db2.gz ZGHDRWBPNOLNEN-UHFFFAOYSA-N 1 2 319.449 1.263 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccn(C(C)C)n2)C1 ZINC001108253983 761169573 /nfs/dbraw/zinc/16/95/73/761169573.db2.gz VIKXEVYNALYIMJ-MRXNPFEDSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccn(C(C)C)n2)C1 ZINC001108253983 761169578 /nfs/dbraw/zinc/16/95/78/761169578.db2.gz VIKXEVYNALYIMJ-MRXNPFEDSA-N 1 2 306.410 1.471 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1noc2c1C[C@H](C)CC2 ZINC001038866676 761489741 /nfs/dbraw/zinc/48/97/41/761489741.db2.gz YNTQNXXTDVNYCU-OLZOCXBDSA-N 1 2 301.390 1.627 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1noc2c1C[C@H](C)CC2 ZINC001038866676 761489744 /nfs/dbraw/zinc/48/97/44/761489744.db2.gz YNTQNXXTDVNYCU-OLZOCXBDSA-N 1 2 301.390 1.627 20 30 DDEDLO C#CC[NH+]1CCC(C2(NC(=O)c3[nH]nc(C)c3C)CC2)CC1 ZINC001000110932 761515090 /nfs/dbraw/zinc/51/50/90/761515090.db2.gz VSFFLRQQWCCSAW-UHFFFAOYSA-N 1 2 300.406 1.634 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(-n2cccc2)ccn1 ZINC001038900701 761525101 /nfs/dbraw/zinc/52/51/01/761525101.db2.gz SEBMKUYXIZALBV-INIZCTEOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(-n2cccc2)ccn1 ZINC001038900701 761525104 /nfs/dbraw/zinc/52/51/04/761525104.db2.gz SEBMKUYXIZALBV-INIZCTEOSA-N 1 2 308.385 1.700 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H]([NH2+]Cc3cnsn3)C2)C1 ZINC001000129239 761529029 /nfs/dbraw/zinc/52/90/29/761529029.db2.gz VHIXQNWNUYOEHN-GFCCVEGCSA-N 1 2 306.435 1.975 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)Cc2ccco2)C1 ZINC001108272661 761579382 /nfs/dbraw/zinc/57/93/82/761579382.db2.gz NEFHSPZQKVWKDB-YJBOKZPZSA-N 1 2 318.417 1.689 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)Cc2ccco2)C1 ZINC001108272661 761579388 /nfs/dbraw/zinc/57/93/88/761579388.db2.gz NEFHSPZQKVWKDB-YJBOKZPZSA-N 1 2 318.417 1.689 20 30 DDEDLO N#Cc1cnccc1N1CCCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001057134360 762718546 /nfs/dbraw/zinc/71/85/46/762718546.db2.gz ZOOKPLZPPOOXOL-UHFFFAOYSA-N 1 2 324.388 1.348 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CC[C@@H](C)CC)CC2=O)C1 ZINC001108581280 762743421 /nfs/dbraw/zinc/74/34/21/762743421.db2.gz MUNWTNXXNODKCN-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@H](C)C3CC3)CC2=O)C1 ZINC001108593924 762767474 /nfs/dbraw/zinc/76/74/74/762767474.db2.gz HAPHZMGQURVXQA-JSGCOSHPSA-N 1 2 305.422 1.010 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC)CCC)[C@@H](n2ccnn2)C1 ZINC001129353423 762815633 /nfs/dbraw/zinc/81/56/33/762815633.db2.gz OZPRUEHPUQIXAW-KFWWJZLASA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)CCC)[C@@H](n2ccnn2)C1 ZINC001129353423 762815638 /nfs/dbraw/zinc/81/56/38/762815638.db2.gz OZPRUEHPUQIXAW-KFWWJZLASA-N 1 2 303.410 1.079 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108712297 762881233 /nfs/dbraw/zinc/88/12/33/762881233.db2.gz NGYBZEQIXHKSRH-NSHDSACASA-N 1 2 316.409 1.882 20 30 DDEDLO Cc1nc(NC[C@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)ccc1C#N ZINC001108773638 762920429 /nfs/dbraw/zinc/92/04/29/762920429.db2.gz HSUAPKMMPLTIPJ-ZLKJLUDKSA-N 1 2 324.388 1.705 20 30 DDEDLO Cc1nc(NC[C@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)ccc1C#N ZINC001108773638 762920433 /nfs/dbraw/zinc/92/04/33/762920433.db2.gz HSUAPKMMPLTIPJ-ZLKJLUDKSA-N 1 2 324.388 1.705 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccoc1)C2 ZINC001108926086 763115362 /nfs/dbraw/zinc/11/53/62/763115362.db2.gz QIRZWPJHWAITNJ-ATGSNQNLSA-N 1 2 317.389 1.305 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccoc1)C2 ZINC001108926086 763115366 /nfs/dbraw/zinc/11/53/66/763115366.db2.gz QIRZWPJHWAITNJ-ATGSNQNLSA-N 1 2 317.389 1.305 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC(C)=O)C2 ZINC001108932295 763141007 /nfs/dbraw/zinc/14/10/07/763141007.db2.gz UMRCVPBXLQCEED-PMPSAXMXSA-N 1 2 306.406 1.117 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC(C)=O)C2 ZINC001108932295 763141013 /nfs/dbraw/zinc/14/10/13/763141013.db2.gz UMRCVPBXLQCEED-PMPSAXMXSA-N 1 2 306.406 1.117 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccc(C#N)nc1 ZINC001050520658 763701079 /nfs/dbraw/zinc/70/10/79/763701079.db2.gz PTYIKQJNDMZDRU-RISCZKNCSA-N 1 2 310.361 1.002 20 30 DDEDLO N#Cc1ccc(NC2CCN(C(=O)CCc3c[nH]c[nH+]3)CC2)cn1 ZINC001057445865 763722737 /nfs/dbraw/zinc/72/27/37/763722737.db2.gz PFZLGQVSXYFZFL-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1ccc(NC2CCN(C(=O)CCc3c[nH+]c[nH]3)CC2)cn1 ZINC001057445865 763722744 /nfs/dbraw/zinc/72/27/44/763722744.db2.gz PFZLGQVSXYFZFL-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO Cc1ccc(C#N)c(NCCCN(C)C(=O)Cn2cc[nH+]c2)n1 ZINC001109472625 763740881 /nfs/dbraw/zinc/74/08/81/763740881.db2.gz WVYSNWBADJHHQM-UHFFFAOYSA-N 1 2 312.377 1.419 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3ncnn3C)[C@H]2C1 ZINC001042188933 763756818 /nfs/dbraw/zinc/75/68/18/763756818.db2.gz XYMUULJQEYSYKL-KGLIPLIRSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@H+](Cc3ncnn3C)[C@H]2C1 ZINC001042188933 763756820 /nfs/dbraw/zinc/75/68/20/763756820.db2.gz XYMUULJQEYSYKL-KGLIPLIRSA-N 1 2 317.437 1.450 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001050589111 763792290 /nfs/dbraw/zinc/79/22/90/763792290.db2.gz ZRUBTKGCNNVWTL-ZIAGYGMSSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001109769581 764017554 /nfs/dbraw/zinc/01/75/54/764017554.db2.gz KKQVGPOHWVVHSI-ILXRZTDVSA-N 1 2 319.449 1.589 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001109769581 764017565 /nfs/dbraw/zinc/01/75/65/764017565.db2.gz KKQVGPOHWVVHSI-ILXRZTDVSA-N 1 2 319.449 1.589 20 30 DDEDLO Cc1nc(NC[C@H](NC(=O)c2cc(C#N)c[nH]2)C2CC2)cc[nH+]1 ZINC001109823042 764106532 /nfs/dbraw/zinc/10/65/32/764106532.db2.gz DEMCDZNLGONEDM-AWEZNQCLSA-N 1 2 310.361 1.605 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3c[nH]c(C#N)c3)c2C1 ZINC001069857729 768190135 /nfs/dbraw/zinc/19/01/35/768190135.db2.gz INNBLZZAJYEHEX-UHFFFAOYSA-N 1 2 324.388 1.405 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3c[nH]c(C#N)c3)c2C1 ZINC001069857729 768190141 /nfs/dbraw/zinc/19/01/41/768190141.db2.gz INNBLZZAJYEHEX-UHFFFAOYSA-N 1 2 324.388 1.405 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109868036 764150976 /nfs/dbraw/zinc/15/09/76/764150976.db2.gz YZSNCPWQLKTLEW-BXUZGUMPSA-N 1 2 304.394 1.683 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(CC)c1 ZINC001050853894 764186713 /nfs/dbraw/zinc/18/67/13/764186713.db2.gz NWJVOKAPRWKSNV-OAHLLOKOSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(CC)c1 ZINC001050853894 764186719 /nfs/dbraw/zinc/18/67/19/764186719.db2.gz NWJVOKAPRWKSNV-OAHLLOKOSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)sn1 ZINC001050889173 764237789 /nfs/dbraw/zinc/23/77/89/764237789.db2.gz MNTPZBQTENTEBW-CYBMUJFWSA-N 1 2 309.435 1.848 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)sn1 ZINC001050889173 764237794 /nfs/dbraw/zinc/23/77/94/764237794.db2.gz MNTPZBQTENTEBW-CYBMUJFWSA-N 1 2 309.435 1.848 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1nn(C)cc1C ZINC001050913173 764269283 /nfs/dbraw/zinc/26/92/83/764269283.db2.gz MCYWSGIVPMHVCV-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1nn(C)cc1C ZINC001050913173 764269285 /nfs/dbraw/zinc/26/92/85/764269285.db2.gz MCYWSGIVPMHVCV-CQSZACIVSA-N 1 2 306.410 1.125 20 30 DDEDLO N#Cc1ccc(NC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C2CC2)nc1 ZINC001109991471 764270287 /nfs/dbraw/zinc/27/02/87/764270287.db2.gz JNXLYGLWHVKUDJ-CQSZACIVSA-N 1 2 310.361 1.226 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(C2CCC2)nn1 ZINC001050920392 764282523 /nfs/dbraw/zinc/28/25/23/764282523.db2.gz GFRSCPKEWNGYPS-CQSZACIVSA-N 1 2 319.409 1.010 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(C2CCC2)nn1 ZINC001050920392 764282528 /nfs/dbraw/zinc/28/25/28/764282528.db2.gz GFRSCPKEWNGYPS-CQSZACIVSA-N 1 2 319.409 1.010 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1C(C)C ZINC001050938509 764307287 /nfs/dbraw/zinc/30/72/87/764307287.db2.gz RQRYBNIEXIOEJT-HNNXBMFYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1C(C)C ZINC001050938509 764307293 /nfs/dbraw/zinc/30/72/93/764307293.db2.gz RQRYBNIEXIOEJT-HNNXBMFYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096150618 768207676 /nfs/dbraw/zinc/20/76/76/768207676.db2.gz UOUCILFHMQUOGP-DZGCQCFKSA-N 1 2 318.421 1.851 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051059943 764463240 /nfs/dbraw/zinc/46/32/40/764463240.db2.gz MNKADMJAFGVCTJ-CABCVRRESA-N 1 2 316.405 1.040 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C2CC2)n[nH]1 ZINC001051132794 764533347 /nfs/dbraw/zinc/53/33/47/764533347.db2.gz CYMFIZYYQXTGAI-ZDUSSCGKSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C2CC2)n[nH]1 ZINC001051132794 764533351 /nfs/dbraw/zinc/53/33/51/764533351.db2.gz CYMFIZYYQXTGAI-ZDUSSCGKSA-N 1 2 304.394 1.294 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCCC[C@@H]2N2CCCC2=O)C1 ZINC001042970945 764560272 /nfs/dbraw/zinc/56/02/72/764560272.db2.gz COKCRPVBKBZJSS-CVEARBPZSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc(N(C)C)c1 ZINC001051225301 764644071 /nfs/dbraw/zinc/64/40/71/764644071.db2.gz GIAPQXWTVSMGAG-KRWDZBQOSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc(N(C)C)c1 ZINC001051225301 764644077 /nfs/dbraw/zinc/64/40/77/764644077.db2.gz GIAPQXWTVSMGAG-KRWDZBQOSA-N 1 2 317.433 1.759 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3c(cc[nH]c3=O)n2)C1 ZINC001043163063 764729660 /nfs/dbraw/zinc/72/96/60/764729660.db2.gz IYBOFQBLQZBVPK-UHFFFAOYSA-N 1 2 312.373 1.668 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)Cc2c(OC)cccc2OC)C1 ZINC001043237228 764785050 /nfs/dbraw/zinc/78/50/50/764785050.db2.gz IUUQAQKJUCMDPL-UHFFFAOYSA-N 1 2 304.390 1.575 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@H]1Nc1ccc(C#N)cn1 ZINC001043253375 764791700 /nfs/dbraw/zinc/79/17/00/764791700.db2.gz YKNZVKJCQMUGOT-IUODEOHRSA-N 1 2 324.388 1.188 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2cn3cccc(C)c3n2)C1 ZINC001044049466 765255894 /nfs/dbraw/zinc/25/58/94/765255894.db2.gz WIGMXVYZSXVMJJ-UHFFFAOYSA-N 1 2 312.417 1.904 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)[C@@H](C)Nc1ncccc1C#N ZINC001113137075 765324777 /nfs/dbraw/zinc/32/47/77/765324777.db2.gz AXVOWFVOGRHMPK-WDEREUQCSA-N 1 2 312.377 1.533 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc3nsnc32)C1 ZINC001044128952 765328797 /nfs/dbraw/zinc/32/87/97/765328797.db2.gz UYOKOHICDFCSCR-UHFFFAOYSA-N 1 2 300.387 1.471 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C(F)(F)F)ccn2)C1 ZINC001044136007 765334287 /nfs/dbraw/zinc/33/42/87/765334287.db2.gz LGGVMXJDIHWQQD-UHFFFAOYSA-N 1 2 311.307 1.880 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H](C)[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001113155647 765344906 /nfs/dbraw/zinc/34/49/06/765344906.db2.gz QTXIXBATYCJANQ-NEPJUHHUSA-N 1 2 312.377 1.533 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CNC(=O)Nc2ccccc2)CC1 ZINC001113174269 765367073 /nfs/dbraw/zinc/36/70/73/765367073.db2.gz ARUJMCSCYXRMQW-UHFFFAOYSA-N 1 2 302.378 1.138 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3cc(C)c(C)o3)C2)CC1 ZINC001052013303 765382664 /nfs/dbraw/zinc/38/26/64/765382664.db2.gz VFEIXCQXXJYTOA-INIZCTEOSA-N 1 2 315.417 1.362 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3cc(C)c(C)o3)C2)CC1 ZINC001052013303 765382671 /nfs/dbraw/zinc/38/26/71/765382671.db2.gz VFEIXCQXXJYTOA-INIZCTEOSA-N 1 2 315.417 1.362 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(Br)o2)C1 ZINC001044223606 765406198 /nfs/dbraw/zinc/40/61/98/765406198.db2.gz ANCGRPQWXUQPKT-UHFFFAOYSA-N 1 2 311.179 1.822 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)C1CN(Cc2ccccc2C#N)C1 ZINC001044233049 765414057 /nfs/dbraw/zinc/41/40/57/765414057.db2.gz REWGFNPTZWTPBJ-UHFFFAOYSA-N 1 2 323.400 1.475 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@]34C[C@H]3CCCC4)C2)CC1 ZINC001052079454 765452578 /nfs/dbraw/zinc/45/25/78/765452578.db2.gz KNFJQPBAJPRIGB-ZHALLVOQSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@]34C[C@@H]3CCCC4)C2)CC1 ZINC001052079452 765452776 /nfs/dbraw/zinc/45/27/76/765452776.db2.gz KNFJQPBAJPRIGB-SCTDSRPQSA-N 1 2 315.461 1.418 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(CC)CC3)C2)CC1 ZINC001052079135 765452873 /nfs/dbraw/zinc/45/28/73/765452873.db2.gz DXOHAGJQRIEEIO-INIZCTEOSA-N 1 2 303.450 1.418 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001113283825 765512233 /nfs/dbraw/zinc/51/22/33/765512233.db2.gz UVGNPSBJORPLMU-MRXNPFEDSA-N 1 2 310.438 1.683 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](CNC(=O)CCn2cc[nH+]c2)[C@H](C)C1 ZINC001052186349 765562066 /nfs/dbraw/zinc/56/20/66/765562066.db2.gz AFILCAQVIQMMPO-HUUCEWRRSA-N 1 2 318.421 1.450 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(OC)c(C)c2)CC1 ZINC001113431358 765686619 /nfs/dbraw/zinc/68/66/19/765686619.db2.gz XPOOQXOWZFDRKB-UHFFFAOYSA-N 1 2 318.417 1.964 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CCC[N@H+](Cc3cnon3)CC2)c[nH]1 ZINC001052319118 765714598 /nfs/dbraw/zinc/71/45/98/765714598.db2.gz RLQRWPVFIJYLGI-LBPRGKRZSA-N 1 2 314.349 1.054 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CCC[N@@H+](Cc3cnon3)CC2)c[nH]1 ZINC001052319118 765714603 /nfs/dbraw/zinc/71/46/03/765714603.db2.gz RLQRWPVFIJYLGI-LBPRGKRZSA-N 1 2 314.349 1.054 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C2CCCCC2)C[N@@H+]1CC(=O)NCC#N ZINC001131747727 768320438 /nfs/dbraw/zinc/32/04/38/768320438.db2.gz NJMOJSCOVGRIMT-ZFWWWQNUSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)C2CCCCC2)C[N@H+]1CC(=O)NCC#N ZINC001131747727 768320444 /nfs/dbraw/zinc/32/04/44/768320444.db2.gz NJMOJSCOVGRIMT-ZFWWWQNUSA-N 1 2 320.437 1.176 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(C)CC(F)(F)C2)CC1 ZINC001113525444 765806278 /nfs/dbraw/zinc/80/62/78/765806278.db2.gz RZSFYLZHGRGYSY-UHFFFAOYSA-N 1 2 302.365 1.769 20 30 DDEDLO Cc1nsc(N(C)[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001113695699 766027066 /nfs/dbraw/zinc/02/70/66/766027066.db2.gz IZIHYNDBSZOYCH-VIFPVBQESA-N 1 2 318.406 1.230 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H]2C[NH2+]Cc2nc(C)no2)cc1 ZINC001045145442 766113823 /nfs/dbraw/zinc/11/38/23/766113823.db2.gz NLKPVUFLDZUDOJ-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC1CCN(c2ccc(C#N)cn2)CC1 ZINC001057885057 766117441 /nfs/dbraw/zinc/11/74/41/766117441.db2.gz ALLUSANNJMDNSE-UHFFFAOYSA-N 1 2 324.388 1.014 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001058143343 766269655 /nfs/dbraw/zinc/26/96/55/766269655.db2.gz WNAFCQRRNVFUHY-CYBMUJFWSA-N 1 2 310.361 1.384 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001058188246 766295650 /nfs/dbraw/zinc/29/56/50/766295650.db2.gz MZQIQXBJKQTXMH-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC[C@H]1C ZINC001114027928 766560047 /nfs/dbraw/zinc/56/00/47/766560047.db2.gz OPRUZPPDUCZWDB-OCCSQVGLSA-N 1 2 304.394 1.319 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csc(C)n3)C[C@H]21 ZINC001114050671 766584310 /nfs/dbraw/zinc/58/43/10/766584310.db2.gz HCMXRXUAFQGFPP-NHAGDIPZSA-N 1 2 307.419 1.201 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csc(C)n3)C[C@H]21 ZINC001114050671 766584314 /nfs/dbraw/zinc/58/43/14/766584314.db2.gz HCMXRXUAFQGFPP-NHAGDIPZSA-N 1 2 307.419 1.201 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC1CC(CNc2ccc(C#N)nc2)C1 ZINC001067857019 766759526 /nfs/dbraw/zinc/75/95/26/766759526.db2.gz XDXOBCSVSIGYDA-UHFFFAOYSA-N 1 2 324.388 1.236 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C34CCC(CC3)C4)CC2)C1 ZINC001046042170 766849582 /nfs/dbraw/zinc/84/95/82/766849582.db2.gz WMPAVKVVPSLGTF-UPTPFMHGSA-N 1 2 316.449 1.309 20 30 DDEDLO CC1(C)CC[C@@H](C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)C1 ZINC001046062208 766875466 /nfs/dbraw/zinc/87/54/66/766875466.db2.gz RPYXWIFWQMOSPX-HZPDHXFCSA-N 1 2 318.465 1.555 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C[C@H](C)C(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129400254 766881496 /nfs/dbraw/zinc/88/14/96/766881496.db2.gz AINNPZLTCUTYFH-ZNMIVQPWSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C[C@H](C)C(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129400254 766881507 /nfs/dbraw/zinc/88/15/07/766881507.db2.gz AINNPZLTCUTYFH-ZNMIVQPWSA-N 1 2 317.437 1.325 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001046361523 767469171 /nfs/dbraw/zinc/46/91/71/767469171.db2.gz DEQOGBYYFDKKRJ-IUODEOHRSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001046361523 767469175 /nfs/dbraw/zinc/46/91/75/767469175.db2.gz DEQOGBYYFDKKRJ-IUODEOHRSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001046434965 767563612 /nfs/dbraw/zinc/56/36/12/767563612.db2.gz VHBAJULHLGHSEA-CJNGLKHVSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001046434965 767563614 /nfs/dbraw/zinc/56/36/14/767563614.db2.gz VHBAJULHLGHSEA-CJNGLKHVSA-N 1 2 322.840 1.779 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@@H+](Cc3ccn(C)n3)C2)cc1 ZINC001046532663 767663087 /nfs/dbraw/zinc/66/30/87/767663087.db2.gz ZNKRBDFCKOHYJA-LJQANCHMSA-N 1 2 322.412 1.796 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@H+](Cc3ccn(C)n3)C2)cc1 ZINC001046532663 767663094 /nfs/dbraw/zinc/66/30/94/767663094.db2.gz ZNKRBDFCKOHYJA-LJQANCHMSA-N 1 2 322.412 1.796 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3ncc(C)o3)C2)c1 ZINC001046606396 767732510 /nfs/dbraw/zinc/73/25/10/767732510.db2.gz DGVKKFDGYDKLEH-SFHVURJKSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@]2(C)CC[N@H+](Cc3ncc(C)o3)C2)c1 ZINC001046606396 767732515 /nfs/dbraw/zinc/73/25/15/767732515.db2.gz DGVKKFDGYDKLEH-SFHVURJKSA-N 1 2 324.384 1.754 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001096175938 768368857 /nfs/dbraw/zinc/36/88/57/768368857.db2.gz VFGJXPAGBZEFMB-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N(C)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047484874 768510095 /nfs/dbraw/zinc/51/00/95/768510095.db2.gz FDSRKNFZMXVWGY-BGTYHANMSA-N 1 2 312.413 1.198 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N(C)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047484874 768510103 /nfs/dbraw/zinc/51/01/03/768510103.db2.gz FDSRKNFZMXVWGY-BGTYHANMSA-N 1 2 312.413 1.198 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CC(=O)NCC)CC[C@H]1C ZINC001131980213 768523208 /nfs/dbraw/zinc/52/32/08/768523208.db2.gz JFYKSGYTNFLOTL-VXGBXAGGSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CC(=O)NCC)CC[C@H]1C ZINC001131980213 768523213 /nfs/dbraw/zinc/52/32/13/768523213.db2.gz JFYKSGYTNFLOTL-VXGBXAGGSA-N 1 2 301.818 1.234 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@H]2C)on1 ZINC001132021950 768559374 /nfs/dbraw/zinc/55/93/74/768559374.db2.gz SGEYGTSZLIRSKZ-KGLIPLIRSA-N 1 2 319.405 1.812 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@H]2C)on1 ZINC001132021950 768559378 /nfs/dbraw/zinc/55/93/78/768559378.db2.gz SGEYGTSZLIRSKZ-KGLIPLIRSA-N 1 2 319.405 1.812 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132068531 768603031 /nfs/dbraw/zinc/60/30/31/768603031.db2.gz GWEWBALICIFBCD-KBPBESRZSA-N 1 2 320.437 1.198 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132068531 768603034 /nfs/dbraw/zinc/60/30/34/768603034.db2.gz GWEWBALICIFBCD-KBPBESRZSA-N 1 2 320.437 1.198 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)C2(C3CC3)CC2)C[C@H]1NCC#N ZINC001070657703 768648187 /nfs/dbraw/zinc/64/81/87/768648187.db2.gz GMCHGAZYGCPJHG-ZIAGYGMSSA-N 1 2 313.405 1.018 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C2(C)CCCC2)C[N@@H+]1CC(=O)NCC#N ZINC001132199594 768683506 /nfs/dbraw/zinc/68/35/06/768683506.db2.gz KEEIVIOQIBHVPH-ZIAGYGMSSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C2(C)CCCC2)C[N@H+]1CC(=O)NCC#N ZINC001132199594 768683509 /nfs/dbraw/zinc/68/35/09/768683509.db2.gz KEEIVIOQIBHVPH-ZIAGYGMSSA-N 1 2 320.437 1.176 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C(C)(C)NC(C)=O)CC[C@@H]1C ZINC001132365936 768779949 /nfs/dbraw/zinc/77/99/49/768779949.db2.gz SYGRZGCPJCURTA-WCQYABFASA-N 1 2 315.845 1.623 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C(C)(C)NC(C)=O)CC[C@@H]1C ZINC001132365936 768779954 /nfs/dbraw/zinc/77/99/54/768779954.db2.gz SYGRZGCPJCURTA-WCQYABFASA-N 1 2 315.845 1.623 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001070913240 768782945 /nfs/dbraw/zinc/78/29/45/768782945.db2.gz GFSJJEUJWBIYAR-MJBXVCDLSA-N 1 2 319.405 1.078 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001070913240 768782949 /nfs/dbraw/zinc/78/29/49/768782949.db2.gz GFSJJEUJWBIYAR-MJBXVCDLSA-N 1 2 319.405 1.078 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)c2cccc(C)c2)CC1 ZINC001070934991 768794631 /nfs/dbraw/zinc/79/46/31/768794631.db2.gz UXWVXTPPDURQHF-UHFFFAOYSA-N 1 2 315.417 1.445 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)c2cccc(C)c2)CC1 ZINC001070934991 768794633 /nfs/dbraw/zinc/79/46/33/768794633.db2.gz UXWVXTPPDURQHF-UHFFFAOYSA-N 1 2 315.417 1.445 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+][C@@H](C)c1nnc(CC)o1 ZINC001132407966 768812180 /nfs/dbraw/zinc/81/21/80/768812180.db2.gz WGSGTDBMMXBSPZ-ZDUSSCGKSA-N 1 2 306.410 1.983 20 30 DDEDLO CC[C@H](C)C(=O)NCC[NH+]1CCN(c2ccncc2C#N)CC1 ZINC001096274522 768914384 /nfs/dbraw/zinc/91/43/84/768914384.db2.gz AIONPLOHYUKRGT-AWEZNQCLSA-N 1 2 315.421 1.238 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH+]1CCN(c2cccc(F)n2)CC1 ZINC001096293502 769057375 /nfs/dbraw/zinc/05/73/75/769057375.db2.gz MXVGQVWUWNTOQJ-UHFFFAOYSA-N 1 2 320.412 1.671 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067992286 769076848 /nfs/dbraw/zinc/07/68/48/769076848.db2.gz MPLARYVRJBQTLT-AWEZNQCLSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001096337942 769257871 /nfs/dbraw/zinc/25/78/71/769257871.db2.gz GZLOCUVJFHINDG-JSGCOSHPSA-N 1 2 304.394 1.461 20 30 DDEDLO Cc1cc(N2CC[C@@H](NC(=O)C#CC3CC3)C2)nc(C2CC2)[nH+]1 ZINC001096363897 769415240 /nfs/dbraw/zinc/41/52/40/769415240.db2.gz BFSYJTMQIRIPDN-OAHLLOKOSA-N 1 2 310.401 1.771 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cn(C)nc2C)CC[C@@H]1C ZINC001071571442 769644539 /nfs/dbraw/zinc/64/45/39/769644539.db2.gz GLPDPWUIIFTASL-WFASDCNBSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cn(C)nc2C)CC[C@@H]1C ZINC001071571442 769644544 /nfs/dbraw/zinc/64/45/44/769644544.db2.gz GLPDPWUIIFTASL-WFASDCNBSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc3n(n2)CCO3)CC[C@@H]1C ZINC001071571808 769646606 /nfs/dbraw/zinc/64/66/06/769646606.db2.gz LZHSFFCCFVERCB-NWDGAFQWSA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc3n(n2)CCO3)CC[C@@H]1C ZINC001071571808 769646610 /nfs/dbraw/zinc/64/66/10/769646610.db2.gz LZHSFFCCFVERCB-NWDGAFQWSA-N 1 2 324.812 1.611 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+][C@@H](C)c2nc(COC)no2)CCC1 ZINC001133392094 769772778 /nfs/dbraw/zinc/77/27/78/769772778.db2.gz GWEOGGMVFGFTKB-LBPRGKRZSA-N 1 2 322.409 1.729 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CN(C(=O)c3n[nH]cc3C(F)(F)F)C[C@H]2C1 ZINC001048855540 769991361 /nfs/dbraw/zinc/99/13/61/769991361.db2.gz AFRCDPGHLPJSAV-AOOOYVTPSA-N 1 2 312.295 1.066 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CN(C(=O)c3n[nH]cc3C(F)(F)F)C[C@H]2C1 ZINC001048855540 769991367 /nfs/dbraw/zinc/99/13/67/769991367.db2.gz AFRCDPGHLPJSAV-AOOOYVTPSA-N 1 2 312.295 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@@H]1C ZINC001071804114 770102201 /nfs/dbraw/zinc/10/22/01/770102201.db2.gz XDCMQFFUXYSWHC-WDEREUQCSA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@@H]1C ZINC001071804114 770102205 /nfs/dbraw/zinc/10/22/05/770102205.db2.gz XDCMQFFUXYSWHC-WDEREUQCSA-N 1 2 312.801 1.461 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001071989002 770395898 /nfs/dbraw/zinc/39/58/98/770395898.db2.gz SNWVMBCGCCIPNR-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)C[C@H](C)[NH2+]CC(F)(F)C(F)F ZINC001133913670 770449117 /nfs/dbraw/zinc/44/91/17/770449117.db2.gz VBLJRKMRSPKZFP-VHSXEESVSA-N 1 2 314.323 1.962 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)no1 ZINC001049382059 770762333 /nfs/dbraw/zinc/76/23/33/770762333.db2.gz MNVFPHSVEKLGEN-BYCMXARLSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)no1 ZINC001049382059 770762340 /nfs/dbraw/zinc/76/23/40/770762340.db2.gz MNVFPHSVEKLGEN-BYCMXARLSA-N 1 2 302.378 1.708 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001072483583 770959972 /nfs/dbraw/zinc/95/99/72/770959972.db2.gz IOUAXWGMJIKXNC-BXUZGUMPSA-N 1 2 318.421 1.436 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)C1=NC(=O)N(C)C1 ZINC001049691335 771089678 /nfs/dbraw/zinc/08/96/78/771089678.db2.gz WFARAXVEFJUJKL-KGLIPLIRSA-N 1 2 304.394 1.381 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)C1=NC(=O)N(C)C1 ZINC001049691335 771089683 /nfs/dbraw/zinc/08/96/83/771089683.db2.gz WFARAXVEFJUJKL-KGLIPLIRSA-N 1 2 304.394 1.381 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+][C@H](C)c1ncc(C)o1 ZINC001135142150 771347729 /nfs/dbraw/zinc/34/77/29/771347729.db2.gz IKEBUOVAFWGEOC-RDBSUJKOSA-N 1 2 307.394 1.731 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001096869577 771490268 /nfs/dbraw/zinc/49/02/68/771490268.db2.gz GLOYNWXDBINXAY-OAHLLOKOSA-N 1 2 318.421 1.662 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@H]1C[C@H](Nc2ccc(C#N)cn2)C1 ZINC001097114019 771629783 /nfs/dbraw/zinc/62/97/83/771629783.db2.gz JNBVRJGTORDECB-HDJSIYSDSA-N 1 2 324.388 1.379 20 30 DDEDLO COC(=O)c1ccc(C(=[NH2+])Nc2cncc(C(N)=O)c2C)cc1 ZINC001170984288 772018659 /nfs/dbraw/zinc/01/86/59/772018659.db2.gz SQDWLYMRKHFYNV-UHFFFAOYSA-N 1 2 312.329 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2csc(C)c2)[C@@H](O)C1 ZINC001090644117 772068666 /nfs/dbraw/zinc/06/86/66/772068666.db2.gz JHVNMYIJRPVSSE-STQMWFEESA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2csc(C)c2)[C@@H](O)C1 ZINC001090644117 772068671 /nfs/dbraw/zinc/06/86/71/772068671.db2.gz JHVNMYIJRPVSSE-STQMWFEESA-N 1 2 314.838 1.974 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@@H+]([C@@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171220678 772616902 /nfs/dbraw/zinc/61/69/02/772616902.db2.gz BBDGDVVVHYDBOX-BBRMVZONSA-N 1 2 302.374 1.753 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@H+]([C@@H](C)Cc2cccc(C#N)c2)CCO1 ZINC001171220678 772616905 /nfs/dbraw/zinc/61/69/05/772616905.db2.gz BBDGDVVVHYDBOX-BBRMVZONSA-N 1 2 302.374 1.753 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H]1C[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001091357496 772703102 /nfs/dbraw/zinc/70/31/02/772703102.db2.gz ICWAIZNTOKVFFQ-JNSHFYNHSA-N 1 2 324.388 1.236 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001091387411 772723389 /nfs/dbraw/zinc/72/33/89/772723389.db2.gz RKTYTYVGFWIGFS-AKAXFMLLSA-N 1 2 324.388 1.547 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091480837 772782897 /nfs/dbraw/zinc/78/28/97/772782897.db2.gz AOCKIDIJYIFWSR-HDJSIYSDSA-N 1 2 302.378 1.132 20 30 DDEDLO CCc1noc(C[N@@H+]2C[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@]3(C)C2)n1 ZINC001091545262 772817042 /nfs/dbraw/zinc/81/70/42/772817042.db2.gz NEUSKBHMWODTSD-OZVIIMIRSA-N 1 2 317.393 1.072 20 30 DDEDLO CCc1noc(C[N@H+]2C[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@]3(C)C2)n1 ZINC001091545262 772817046 /nfs/dbraw/zinc/81/70/46/772817046.db2.gz NEUSKBHMWODTSD-OZVIIMIRSA-N 1 2 317.393 1.072 20 30 DDEDLO N#CCSCC(=O)N1CC2(C1)CC[N@H+](Cc1nccs1)C2 ZINC001147026682 773020188 /nfs/dbraw/zinc/02/01/88/773020188.db2.gz YDARHKTXMDMEFF-UHFFFAOYSA-N 1 2 322.459 1.434 20 30 DDEDLO N#CCSCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccs1)C2 ZINC001147026682 773020191 /nfs/dbraw/zinc/02/01/91/773020191.db2.gz YDARHKTXMDMEFF-UHFFFAOYSA-N 1 2 322.459 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H]2C[C@H]2CC)C1 ZINC001073778777 773401050 /nfs/dbraw/zinc/40/10/50/773401050.db2.gz JCDRRQKOBTZMQN-HZSPNIEDSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H]2C[C@H]2CC)C1 ZINC001073778777 773401053 /nfs/dbraw/zinc/40/10/53/773401053.db2.gz JCDRRQKOBTZMQN-HZSPNIEDSA-N 1 2 300.830 1.992 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nc(C(C)C)no2)cn1 ZINC001148382696 773462160 /nfs/dbraw/zinc/46/21/60/773462160.db2.gz ASRKAGSJOIUNRC-UHFFFAOYSA-N 1 2 313.361 1.089 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001073916421 773522652 /nfs/dbraw/zinc/52/26/52/773522652.db2.gz NPKGGAHTJMDILP-UONOGXRCSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1coc(C)n1)C2 ZINC001148893993 773627269 /nfs/dbraw/zinc/62/72/69/773627269.db2.gz ZLMYTIPNENXCIF-UHFFFAOYSA-N 1 2 319.405 1.610 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@H+](Cc1coc(C)n1)C2 ZINC001148893993 773627272 /nfs/dbraw/zinc/62/72/72/773627272.db2.gz ZLMYTIPNENXCIF-UHFFFAOYSA-N 1 2 319.405 1.610 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001074101366 773631717 /nfs/dbraw/zinc/63/17/17/773631717.db2.gz MEBNTRMVMHPTAJ-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3CCOCC3)C[C@H]21 ZINC001074136501 773661466 /nfs/dbraw/zinc/66/14/66/773661466.db2.gz CUMZDPFBPOFWLL-CVEARBPZSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3CCOCC3)C[C@H]21 ZINC001074136501 773661469 /nfs/dbraw/zinc/66/14/69/773661469.db2.gz CUMZDPFBPOFWLL-CVEARBPZSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)ccn3C)C[C@@H]21 ZINC001074226350 773751191 /nfs/dbraw/zinc/75/11/91/773751191.db2.gz NYXMCGMQGJQILA-JKSUJKDBSA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3c(C)ccn3C)C[C@@H]21 ZINC001074226350 773751194 /nfs/dbraw/zinc/75/11/94/773751194.db2.gz NYXMCGMQGJQILA-JKSUJKDBSA-N 1 2 317.433 1.825 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CC)CCC3)C[C@H]21 ZINC001074316992 773817559 /nfs/dbraw/zinc/81/75/59/773817559.db2.gz IPWWTQXDAAZZEJ-HZPDHXFCSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CC)CCC3)C[C@H]21 ZINC001074316992 773817561 /nfs/dbraw/zinc/81/75/61/773817561.db2.gz IPWWTQXDAAZZEJ-HZPDHXFCSA-N 1 2 304.434 1.892 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092165258 773969738 /nfs/dbraw/zinc/96/97/38/773969738.db2.gz VRCLBNSSMWJYPY-ZIAGYGMSSA-N 1 2 318.421 1.443 20 30 DDEDLO N#Cc1ccc(NC2CC(CNC(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001092250365 774045622 /nfs/dbraw/zinc/04/56/22/774045622.db2.gz RKTYTYVGFWIGFS-UHFFFAOYSA-N 1 2 324.388 1.547 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccoc1C)c1nccn12 ZINC001092348603 774067296 /nfs/dbraw/zinc/06/72/96/774067296.db2.gz WAOLCDSFHAPGQA-CQSZACIVSA-N 1 2 312.373 1.856 20 30 DDEDLO Cc1nc(N2C[C@@H](CNC(=O)C#CC(C)C)[C@H](C)C2)cc[nH+]1 ZINC001092355966 774071468 /nfs/dbraw/zinc/07/14/68/774071468.db2.gz MDHUTNAATZXGOB-UKRRQHHQSA-N 1 2 300.406 1.633 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)(C)F)c1nccn12 ZINC001092339264 774089686 /nfs/dbraw/zinc/08/96/86/774089686.db2.gz UVHIFGGUPSRZLW-LBPRGKRZSA-N 1 2 304.369 1.226 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001092405571 774103996 /nfs/dbraw/zinc/10/39/96/774103996.db2.gz WIOBKMLCVOUYKG-UKRRQHHQSA-N 1 2 316.405 1.013 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001092376489 774108607 /nfs/dbraw/zinc/10/86/07/774108607.db2.gz ABKDGXMTRAMNSD-UKRRQHHQSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001074886127 774216182 /nfs/dbraw/zinc/21/61/82/774216182.db2.gz DFSWFPYEZKYPDL-KBPBESRZSA-N 1 2 304.394 1.345 20 30 DDEDLO C[C@H](CNC(=O)C[C@@H](C)n1cc[nH+]c1)Nc1ncccc1C#N ZINC001098303133 774292305 /nfs/dbraw/zinc/29/23/05/774292305.db2.gz PVIPSJLBPKYRSG-CHWSQXEVSA-N 1 2 312.377 1.718 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001075036417 774313125 /nfs/dbraw/zinc/31/31/25/774313125.db2.gz KPWAXULINFYFIS-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001075036417 774313133 /nfs/dbraw/zinc/31/31/33/774313133.db2.gz KPWAXULINFYFIS-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3c(s1)CCOC3)C2 ZINC001098237298 774517509 /nfs/dbraw/zinc/51/75/09/774517509.db2.gz MGUZWWHQMDQSHQ-MCIONIFRSA-N 1 2 316.426 1.789 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3c(s1)CCOC3)C2 ZINC001098237298 774517512 /nfs/dbraw/zinc/51/75/12/774517512.db2.gz MGUZWWHQMDQSHQ-MCIONIFRSA-N 1 2 316.426 1.789 20 30 DDEDLO Cc1nc(N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)c(C)c(C)[nH+]1 ZINC001093259391 774551989 /nfs/dbraw/zinc/55/19/89/774551989.db2.gz UIQXMJUNCZYPGT-QLPKVWCKSA-N 1 2 310.401 1.366 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001098711856 774650358 /nfs/dbraw/zinc/65/03/58/774650358.db2.gz XZCBZGHGQHRFNG-INIZCTEOSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3ccc[nH]3)CC2)C1 ZINC001093507671 774752503 /nfs/dbraw/zinc/75/25/03/774752503.db2.gz BKFONVAXRHMAEC-UHFFFAOYSA-N 1 2 303.406 1.896 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3ncoc3C)CC2)C1 ZINC001093512339 774760067 /nfs/dbraw/zinc/76/00/67/774760067.db2.gz OQRPFCMUYPRIOS-UHFFFAOYSA-N 1 2 319.405 1.865 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C[C@H]3CCOC3)CC2)C1 ZINC001093518858 774769861 /nfs/dbraw/zinc/76/98/61/774769861.db2.gz BCBKISCCKQLEBL-OAHLLOKOSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3C[C@H](C)CO3)CC2)C1 ZINC001093553982 774799577 /nfs/dbraw/zinc/79/95/77/774799577.db2.gz ZURSJTOJBWPBBP-WMLDXEAASA-N 1 2 322.449 1.679 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@]34C[C@H]3COC4)CC2)C1 ZINC001093538108 774805204 /nfs/dbraw/zinc/80/52/04/774805204.db2.gz YWRSRDKPYACUID-KSSFIOAISA-N 1 2 320.433 1.291 20 30 DDEDLO CC(C)(C(=O)N1CC[C@H]2[C@@H](CCN2CC#N)C1)c1c[nH+]c[nH]1 ZINC001036869610 775005956 /nfs/dbraw/zinc/00/59/56/775005956.db2.gz HNGCLNHDYRHQPN-STQMWFEESA-N 1 2 301.394 1.134 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cc2F)C[C@@H]1O ZINC001099727130 775193494 /nfs/dbraw/zinc/19/34/94/775193494.db2.gz MUERTAVEMVUJAS-HOTGVXAUSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cc2F)C[C@@H]1O ZINC001099727130 775193500 /nfs/dbraw/zinc/19/35/00/775193500.db2.gz MUERTAVEMVUJAS-HOTGVXAUSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001099859170 775362549 /nfs/dbraw/zinc/36/25/49/775362549.db2.gz XXXXJRRRXSOOCN-DZGCQCFKSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001099859170 775362554 /nfs/dbraw/zinc/36/25/54/775362554.db2.gz XXXXJRRRXSOOCN-DZGCQCFKSA-N 1 2 307.394 1.391 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)ccc1C#N ZINC001094220036 775541067 /nfs/dbraw/zinc/54/10/67/775541067.db2.gz BEGTUVNTAUCJDZ-CHWSQXEVSA-N 1 2 310.361 1.317 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)ccc1C#N ZINC001094220036 775541077 /nfs/dbraw/zinc/54/10/77/775541077.db2.gz BEGTUVNTAUCJDZ-CHWSQXEVSA-N 1 2 310.361 1.317 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)n1 ZINC001094220203 775542106 /nfs/dbraw/zinc/54/21/06/775542106.db2.gz LKPDYPAZNLOCQI-CHWSQXEVSA-N 1 2 310.361 1.317 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)n1 ZINC001094220203 775542114 /nfs/dbraw/zinc/54/21/14/775542114.db2.gz LKPDYPAZNLOCQI-CHWSQXEVSA-N 1 2 310.361 1.317 20 30 DDEDLO N#Cc1ccc(NCCNC(=O)c2cc3c[nH+]ccc3[nH]2)cn1 ZINC001094235773 775572776 /nfs/dbraw/zinc/57/27/76/775572776.db2.gz IHJFBGXCHMXBGL-UHFFFAOYSA-N 1 2 306.329 1.671 20 30 DDEDLO CN(CCNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21)c1ccc(C#N)cn1 ZINC001100330438 776008058 /nfs/dbraw/zinc/00/80/58/776008058.db2.gz QLTMOFVBSLEICB-CYBMUJFWSA-N 1 2 324.388 1.349 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094702197 776213454 /nfs/dbraw/zinc/21/34/54/776213454.db2.gz XDYSTLUNLCYBQF-UHFFFAOYSA-N 1 2 316.409 1.884 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@@H]3C=CCCC3)CC2=O)C1 ZINC001094749537 776245186 /nfs/dbraw/zinc/24/51/86/776245186.db2.gz PMPZIHZSHMUVSU-HUUCEWRRSA-N 1 2 317.433 1.320 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)cn1 ZINC001094781348 776271144 /nfs/dbraw/zinc/27/11/44/776271144.db2.gz IIZSFFHECIFNOC-AWEZNQCLSA-N 1 2 324.388 1.715 20 30 DDEDLO C=CCCCC(=O)NCC1CC([NH2+]Cc2noc(CC)n2)C1 ZINC001100622562 776373954 /nfs/dbraw/zinc/37/39/54/776373954.db2.gz XXLNRJNGZLSBHG-UHFFFAOYSA-N 1 2 306.410 1.973 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCCN(CC)c1cc[nH+]c(C)n1 ZINC001100666252 776418670 /nfs/dbraw/zinc/41/86/70/776418670.db2.gz MIHWRPPQBUNLJI-QGZVFWFLSA-N 1 2 320.437 1.956 20 30 DDEDLO CCOC(=O)[C@H](CS)[NH2+][C@H]1CCC[C@H](C(=O)OC)CC1 ZINC001172763973 776884748 /nfs/dbraw/zinc/88/47/48/776884748.db2.gz BPANNQNOGPLZRH-SRVKXCTJSA-N 1 2 303.424 1.559 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+](Cc3ncnn3C)C[C@@]2(C)C1 ZINC001101167643 776961359 /nfs/dbraw/zinc/96/13/59/776961359.db2.gz NDODUVXGAHXLQK-CJNGLKHVSA-N 1 2 303.410 1.062 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+](Cc3ncnn3C)C[C@@]2(C)C1 ZINC001101167643 776961365 /nfs/dbraw/zinc/96/13/65/776961365.db2.gz NDODUVXGAHXLQK-CJNGLKHVSA-N 1 2 303.410 1.062 20 30 DDEDLO N#Cc1ccc(N(CCNC(=O)Cc2[nH]cc[nH+]2)C2CC2)cn1 ZINC001101374722 777105811 /nfs/dbraw/zinc/10/58/11/777105811.db2.gz PCDUCUKISAZJCT-UHFFFAOYSA-N 1 2 310.361 1.004 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)CCCC)c1nccn12 ZINC001101609231 777299585 /nfs/dbraw/zinc/29/95/85/777299585.db2.gz XPNQJIBCWFZIMF-AWEZNQCLSA-N 1 2 300.406 1.669 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)CC(F)F)c1nccn12 ZINC001101634050 777329944 /nfs/dbraw/zinc/32/99/44/777329944.db2.gz LOMBRODKCRPDMF-VXGBXAGGSA-N 1 2 324.375 1.932 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)C(C)C)c1nccn12 ZINC001101646348 777343102 /nfs/dbraw/zinc/34/31/02/777343102.db2.gz NJJPNIVPCZDVHQ-UONOGXRCSA-N 1 2 302.422 1.933 20 30 DDEDLO CN(CCNc1cccc(F)c1C#N)C(=O)Cc1[nH]cc[nH+]1 ZINC001101728772 777444127 /nfs/dbraw/zinc/44/41/27/777444127.db2.gz LKWWWABDRRAJKE-UHFFFAOYSA-N 1 2 301.325 1.533 20 30 DDEDLO C#Cc1ccc(CNC(=O)c2cc3n(n2)CCC[N@@H+](C)C3)cc1 ZINC001175105273 777678386 /nfs/dbraw/zinc/67/83/86/777678386.db2.gz XHLGLFWRJXFELE-UHFFFAOYSA-N 1 2 308.385 1.630 20 30 DDEDLO C#Cc1ccc(CNC(=O)c2cc3n(n2)CCC[N@H+](C)C3)cc1 ZINC001175105273 777678390 /nfs/dbraw/zinc/67/83/90/777678390.db2.gz XHLGLFWRJXFELE-UHFFFAOYSA-N 1 2 308.385 1.630 20 30 DDEDLO Cc1nc([C@@H](C)[N@H+]2C[C@@H](CNC(=O)C#CC3CC3)[C@H](C)C2)no1 ZINC001101951631 777722305 /nfs/dbraw/zinc/72/23/05/777722305.db2.gz ZUTYDZLEANNTDU-LALPHHSUSA-N 1 2 316.405 1.537 20 30 DDEDLO Cc1nc([C@@H](C)[N@@H+]2C[C@@H](CNC(=O)C#CC3CC3)[C@H](C)C2)no1 ZINC001101951631 777722310 /nfs/dbraw/zinc/72/23/10/777722310.db2.gz ZUTYDZLEANNTDU-LALPHHSUSA-N 1 2 316.405 1.537 20 30 DDEDLO C[C@H](CC(=O)N(C)CCNc1cnc(C#N)cn1)n1cc[nH+]c1 ZINC001101975801 777756602 /nfs/dbraw/zinc/75/66/02/777756602.db2.gz QZOBNXYTEAVYBR-GFCCVEGCSA-N 1 2 313.365 1.066 20 30 DDEDLO C[C@@H](CCCCNCC#N)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001175358177 777761543 /nfs/dbraw/zinc/76/15/43/777761543.db2.gz JNKUQQXNPHYPIA-BFHYXJOUSA-N 1 2 303.410 1.312 20 30 DDEDLO C=CCOCC(=O)N(CCC)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102220022 777948471 /nfs/dbraw/zinc/94/84/71/777948471.db2.gz CVCOIPIUQODGKZ-OAHLLOKOSA-N 1 2 318.421 1.805 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCOC(C)C)C[C@@H]21 ZINC001176925905 778315569 /nfs/dbraw/zinc/31/55/69/778315569.db2.gz ZFZVSUIADVMYSB-JKSUJKDBSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCOC(C)C)C[C@@H]21 ZINC001176925905 778315574 /nfs/dbraw/zinc/31/55/74/778315574.db2.gz ZFZVSUIADVMYSB-JKSUJKDBSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC(C)C)C[C@H]21 ZINC001176913839 778338436 /nfs/dbraw/zinc/33/84/36/778338436.db2.gz YVJWTXQVQSAFEY-IAGOWNOFSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC(C)C)C[C@H]21 ZINC001176913839 778338444 /nfs/dbraw/zinc/33/84/44/778338444.db2.gz YVJWTXQVQSAFEY-IAGOWNOFSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C\C3CC3)C[C@@H]21 ZINC001176976838 778363305 /nfs/dbraw/zinc/36/33/05/778363305.db2.gz LYFXHFUXVMZAIJ-JOGGYFKDSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C\C3CC3)C[C@@H]21 ZINC001176976838 778363310 /nfs/dbraw/zinc/36/33/10/778363310.db2.gz LYFXHFUXVMZAIJ-JOGGYFKDSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H]2OCC[N@@H+](CCCO)[C@H]2C1 ZINC001177019316 778395389 /nfs/dbraw/zinc/39/53/89/778395389.db2.gz KIGGGTYBPRAMCN-DLBZAZTESA-N 1 2 322.449 1.439 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@H]2OCC[N@H+](CCCO)[C@H]2C1 ZINC001177019316 778395394 /nfs/dbraw/zinc/39/53/94/778395394.db2.gz KIGGGTYBPRAMCN-DLBZAZTESA-N 1 2 322.449 1.439 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CCOC)[C@@H]3C2)CCC1 ZINC001177030366 778400057 /nfs/dbraw/zinc/40/00/57/778400057.db2.gz NBCVQSULMKBJIJ-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]3OCC[N@H+](CCOC)[C@@H]3C2)CCC1 ZINC001177030366 778400064 /nfs/dbraw/zinc/40/00/64/778400064.db2.gz NBCVQSULMKBJIJ-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO Cc1ccnn1C=C(O)NC(=[NH2+])c1ccc2cc(O)ccc2c1 ZINC001177053974 778415171 /nfs/dbraw/zinc/41/51/71/778415171.db2.gz RWILTJXHTQRCQJ-UHFFFAOYSA-N 1 2 308.341 1.982 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCOC(C)C)[C@H]2C1 ZINC001177061538 778421314 /nfs/dbraw/zinc/42/13/14/778421314.db2.gz MPYZHJUSZRAKPE-JKSUJKDBSA-N 1 2 324.465 1.925 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCOC(C)C)[C@H]2C1 ZINC001177061538 778421315 /nfs/dbraw/zinc/42/13/15/778421315.db2.gz MPYZHJUSZRAKPE-JKSUJKDBSA-N 1 2 324.465 1.925 20 30 DDEDLO C=CCCOCC(=O)NCCC[NH2+]CC(F)(F)C(F)F ZINC001177260676 778520410 /nfs/dbraw/zinc/52/04/10/778520410.db2.gz SKEGSZQABCPGDP-UHFFFAOYSA-N 1 2 300.296 1.575 20 30 DDEDLO Cc1ccc(C#N)c(NC2(CNC(=O)Cc3[nH]cc[nH+]3)CC2)n1 ZINC001110206930 778577048 /nfs/dbraw/zinc/57/70/48/778577048.db2.gz JYMBJNGAKCQBNE-UHFFFAOYSA-N 1 2 310.361 1.288 20 30 DDEDLO CC[C@H](CNC(=O)Cc1[nH]c[nH+]c1C)Nc1ccc(C#N)cn1 ZINC001103147328 778667215 /nfs/dbraw/zinc/66/72/15/778667215.db2.gz OVQPCGYLCYPDFC-CYBMUJFWSA-N 1 2 312.377 1.534 20 30 DDEDLO O=C(C#Cc1cccnc1)N1CC[C@@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001177776701 778714439 /nfs/dbraw/zinc/71/44/39/778714439.db2.gz IHFNVXOBMIQNTK-OAHLLOKOSA-N 1 2 319.355 1.765 20 30 DDEDLO C[C@@](CNc1ccncc1C#N)(NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001103575343 778952020 /nfs/dbraw/zinc/95/20/20/778952020.db2.gz RARAOYNILMCDAV-KRWDZBQOSA-N 1 2 324.388 1.038 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc(CCOC)no2)[C@@H]1C ZINC001178320199 779002613 /nfs/dbraw/zinc/00/26/13/779002613.db2.gz KSWKTANVXMLKAH-STQMWFEESA-N 1 2 322.409 1.304 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CCNC(=O)Cc2c[nH]c[nH+]2)nc1 ZINC001103784082 779044355 /nfs/dbraw/zinc/04/43/55/779044355.db2.gz FRUAURHUIXEZEI-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1noc(C[NH2+][C@H]2CCN(C(=O)C#CC(C)(C)C)[C@H]2C)n1 ZINC001178671583 779130034 /nfs/dbraw/zinc/13/00/34/779130034.db2.gz HRNQAKSVRPJRMA-AAEUAGOBSA-N 1 2 304.394 1.507 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)CNc2cc[nH+]c(C)n2)nc1 ZINC001104027989 779253659 /nfs/dbraw/zinc/25/36/59/779253659.db2.gz LIMBQMJUEYFAFE-LBPRGKRZSA-N 1 2 309.373 1.639 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nccs1)C2 ZINC001111667051 779426817 /nfs/dbraw/zinc/42/68/17/779426817.db2.gz SCWQWRYZZUHNLO-HUBLWGQQSA-N 1 2 304.419 1.773 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nccs1)C2 ZINC001111667051 779426825 /nfs/dbraw/zinc/42/68/25/779426825.db2.gz SCWQWRYZZUHNLO-HUBLWGQQSA-N 1 2 304.419 1.773 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnn(C)n1)C2 ZINC001111764048 779470203 /nfs/dbraw/zinc/47/02/03/779470203.db2.gz VVUHSFXHYDLIKB-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnn(C)n1)C2 ZINC001111764048 779470206 /nfs/dbraw/zinc/47/02/06/779470206.db2.gz VVUHSFXHYDLIKB-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)[C@@H]1C ZINC001180269084 779686695 /nfs/dbraw/zinc/68/66/95/779686695.db2.gz LUADUNMKBZYMIA-LOWVWBTDSA-N 1 2 308.382 1.048 20 30 DDEDLO C[C@@H](CCCNc1nccnc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114983245 779802040 /nfs/dbraw/zinc/80/20/40/779802040.db2.gz FDMJRXRTGCQDIS-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=CCSCC(=O)N1CCN(c2cc[nH+]c(OC)c2)CC1 ZINC001180559971 779833482 /nfs/dbraw/zinc/83/34/82/779833482.db2.gz OQIBLAILTXJFPL-UHFFFAOYSA-N 1 2 307.419 1.658 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1([NH2+]Cc2nnn(C)n2)CCCCC1 ZINC001115667006 780323925 /nfs/dbraw/zinc/32/39/25/780323925.db2.gz LETYXNWGTXPURM-UHFFFAOYSA-N 1 2 320.441 1.331 20 30 DDEDLO C=CCCCC(=O)NCC1([NH2+]Cc2nnn(C)n2)CCCCC1 ZINC001115701673 780360070 /nfs/dbraw/zinc/36/00/70/780360070.db2.gz ZTSULXRNCWWRIE-UHFFFAOYSA-N 1 2 320.441 1.475 20 30 DDEDLO C=CCN(C(=O)C(=O)NCc1cc(C)[nH+]c(C)c1)[C@H](C)COC ZINC001118718388 781220935 /nfs/dbraw/zinc/22/09/35/781220935.db2.gz KXBJIELAZNGHJQ-CQSZACIVSA-N 1 2 319.405 1.364 20 30 DDEDLO C=CCN(C(=O)C(=O)NCc1cc(C)[nH+]c(C)c1)[C@@H](C)COC ZINC001118718387 781221159 /nfs/dbraw/zinc/22/11/59/781221159.db2.gz KXBJIELAZNGHJQ-AWEZNQCLSA-N 1 2 319.405 1.364 20 30 DDEDLO CC(C)(C)C[C@@H]1C[C@H]1C(=O)NCC[NH+]1CCN(CC#N)CC1 ZINC001266286124 836048711 /nfs/dbraw/zinc/04/87/11/836048711.db2.gz ZRWIPLFBLDTLJF-LSDHHAIUSA-N 1 2 306.454 1.316 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)CCCc2cccs2)CC1 ZINC001266297922 836074754 /nfs/dbraw/zinc/07/47/54/836074754.db2.gz XSXRKLINCRERCV-UHFFFAOYSA-N 1 2 319.474 1.438 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](CC(=O)NCc2ccco2)C1 ZINC001266322685 836118843 /nfs/dbraw/zinc/11/88/43/836118843.db2.gz MBSDENKPZVJBAJ-CQSZACIVSA-N 1 2 319.405 1.443 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCc2ccco2)C1 ZINC001266322685 836118846 /nfs/dbraw/zinc/11/88/46/836118846.db2.gz MBSDENKPZVJBAJ-CQSZACIVSA-N 1 2 319.405 1.443 20 30 DDEDLO Cn1c[nH+]cc1CN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)(C)C ZINC001266486797 836334321 /nfs/dbraw/zinc/33/43/21/836334321.db2.gz UXPRUBZVCFLBNO-GASCZTMLSA-N 1 2 314.433 1.645 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@@H+](Cc2cc(C)no2)C2CC2)nc1 ZINC001266617571 836543518 /nfs/dbraw/zinc/54/35/18/836543518.db2.gz OSIIKVGUMWBTKG-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@H+](Cc2cc(C)no2)C2CC2)nc1 ZINC001266617571 836543527 /nfs/dbraw/zinc/54/35/27/836543527.db2.gz OSIIKVGUMWBTKG-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)CC[NH2+][C@H](C)c1nc(C(C)C)no1 ZINC001266687080 836669495 /nfs/dbraw/zinc/66/94/95/836669495.db2.gz ZQSYLSCQQFCGDR-MLGOLLRUSA-N 1 2 324.425 1.629 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001266842857 836914366 /nfs/dbraw/zinc/91/43/66/836914366.db2.gz VWFJOFRMXZBJNT-HNNXBMFYSA-N 1 2 319.449 1.309 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001266842857 836914372 /nfs/dbraw/zinc/91/43/72/836914372.db2.gz VWFJOFRMXZBJNT-HNNXBMFYSA-N 1 2 319.449 1.309 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001266894157 837015355 /nfs/dbraw/zinc/01/53/55/837015355.db2.gz GYKMSHGMNMGVCY-CABCVRRESA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001266894157 837015368 /nfs/dbraw/zinc/01/53/68/837015368.db2.gz GYKMSHGMNMGVCY-CABCVRRESA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCC(=O)N[C@@]1(CO)CCC[N@@H+]([C@H](C)c2ncc(C)o2)C1 ZINC001271949422 844229061 /nfs/dbraw/zinc/22/90/61/844229061.db2.gz IMQLLUQHTLGIHP-PBHICJAKSA-N 1 2 321.421 1.953 20 30 DDEDLO C=CCCC(=O)N[C@@]1(CO)CCC[N@H+]([C@H](C)c2ncc(C)o2)C1 ZINC001271949422 844229071 /nfs/dbraw/zinc/22/90/71/844229071.db2.gz IMQLLUQHTLGIHP-PBHICJAKSA-N 1 2 321.421 1.953 20 30 DDEDLO CC(C)c1noc([C@H](C)[NH2+][C@@H](C)CNC(=O)C#CC2CC2)n1 ZINC001266937010 837082917 /nfs/dbraw/zinc/08/29/17/837082917.db2.gz AHYDLIQKSOXBAY-RYUDHWBXSA-N 1 2 304.394 1.762 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(C(=O)CSCC#N)C1)c1csnn1 ZINC001267004665 837173882 /nfs/dbraw/zinc/17/38/82/837173882.db2.gz SPRIHLSMIPPBFN-UWVGGRQHSA-N 1 2 311.436 1.046 20 30 DDEDLO CCc1oncc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#CCOC ZINC001267428680 838025972 /nfs/dbraw/zinc/02/59/72/838025972.db2.gz XVQKKDLHVSTFKD-OKILXGFUSA-N 1 2 317.389 1.176 20 30 DDEDLO CCc1oncc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#CCOC ZINC001267428680 838025985 /nfs/dbraw/zinc/02/59/85/838025985.db2.gz XVQKKDLHVSTFKD-OKILXGFUSA-N 1 2 317.389 1.176 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)[C@@H](OC)[C@H](C)CC)CC1 ZINC001267609342 838451398 /nfs/dbraw/zinc/45/13/98/838451398.db2.gz WTAWXGIKYXWMFO-SJORKVTESA-N 1 2 323.481 1.147 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)[C@]2(C)CCC[C@H]2C)CC1 ZINC001267612242 838462325 /nfs/dbraw/zinc/46/23/25/838462325.db2.gz CJZBTUCNACWELR-SJLPKXTDSA-N 1 2 305.466 1.522 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2cncc(F)c2)C1 ZINC001267614097 838469637 /nfs/dbraw/zinc/46/96/37/838469637.db2.gz BCCQLRRMPRYXMF-XJKSGUPXSA-N 1 2 319.380 1.340 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cncc(F)c2)C1 ZINC001267614097 838469643 /nfs/dbraw/zinc/46/96/43/838469643.db2.gz BCCQLRRMPRYXMF-XJKSGUPXSA-N 1 2 319.380 1.340 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)Cc2csc(C)n2)CC1 ZINC001267617198 838492794 /nfs/dbraw/zinc/49/27/94/838492794.db2.gz ARLLCFSWORUBHC-UHFFFAOYSA-N 1 2 322.478 1.256 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)[C@H]2COc3ccccc32)C1 ZINC001267672495 838619880 /nfs/dbraw/zinc/61/98/80/838619880.db2.gz BFICSEMMICBKTI-HNNXBMFYSA-N 1 2 302.374 1.166 20 30 DDEDLO C=CCC[C@@H](C(=O)NC1C[NH+](CCCO)C1)c1ccccc1 ZINC001267672688 838620600 /nfs/dbraw/zinc/62/06/00/838620600.db2.gz IPQUCZOAHQFSSX-QGZVFWFLSA-N 1 2 302.418 1.919 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1nncn1C ZINC001272024156 844349383 /nfs/dbraw/zinc/34/93/83/844349383.db2.gz MLBPJRKXDLGFJZ-CABCVRRESA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1nncn1C ZINC001272024156 844349392 /nfs/dbraw/zinc/34/93/92/844349392.db2.gz MLBPJRKXDLGFJZ-CABCVRRESA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)C[C@@H](C)c2ccco2)C1 ZINC001267696646 838668346 /nfs/dbraw/zinc/66/83/46/838668346.db2.gz XAGUZHURHOUUSJ-CYBMUJFWSA-N 1 2 319.405 1.123 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCn1nc(C)cc1C ZINC001267711576 838697922 /nfs/dbraw/zinc/69/79/22/838697922.db2.gz AOUNPEQVYSNVPL-HOTGVXAUSA-N 1 2 300.406 1.198 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCn1nc(C)cc1C ZINC001267711576 838697930 /nfs/dbraw/zinc/69/79/30/838697930.db2.gz AOUNPEQVYSNVPL-HOTGVXAUSA-N 1 2 300.406 1.198 20 30 DDEDLO C=C1CC(C)(C(=O)N(CCO)CC[NH2+]Cc2nc(C)c(C)o2)C1 ZINC001279819947 839297764 /nfs/dbraw/zinc/29/77/64/839297764.db2.gz DRPLKDSENGKFDI-UHFFFAOYSA-N 1 2 321.421 1.558 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)Cc2ccc(F)cc2F)C1 ZINC001268022571 839399523 /nfs/dbraw/zinc/39/95/23/839399523.db2.gz UWXWCVIZMOSAGQ-CQSZACIVSA-N 1 2 310.344 1.510 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)Cc2ccc(F)cc2F)C1 ZINC001268022571 839399536 /nfs/dbraw/zinc/39/95/36/839399536.db2.gz UWXWCVIZMOSAGQ-CQSZACIVSA-N 1 2 310.344 1.510 20 30 DDEDLO C=CCC(CC=C)C(=O)NC1C[NH+](C[C@H]2CCc3ncnn32)C1 ZINC001268311409 839979537 /nfs/dbraw/zinc/97/95/37/839979537.db2.gz ZNUPZUMFXHBQEQ-OAHLLOKOSA-N 1 2 315.421 1.334 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1C[NH+](CCCN2CCCC2=O)C1 ZINC001268437407 840185051 /nfs/dbraw/zinc/18/50/51/840185051.db2.gz JOTLODFDDIQNDK-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C#Cc1cncc(C(=O)NC/C=C/C[NH2+][C@H](C)c2ncccn2)c1 ZINC001268591308 840502518 /nfs/dbraw/zinc/50/25/18/840502518.db2.gz QMYHQZJDBRTRHB-ISZGNANSSA-N 1 2 321.384 1.490 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H](C)C[N@H+](C)Cc1snnc1C ZINC001268728980 840729117 /nfs/dbraw/zinc/72/91/17/840729117.db2.gz KXFUAIHMVMLECR-YGRLFVJLSA-N 1 2 312.439 1.110 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H](C)C[N@@H+](C)Cc1snnc1C ZINC001268728980 840729123 /nfs/dbraw/zinc/72/91/23/840729123.db2.gz KXFUAIHMVMLECR-YGRLFVJLSA-N 1 2 312.439 1.110 20 30 DDEDLO CC(C)c1nc(C[NH2+]CCCN(C)C(=O)C#CC2CC2)no1 ZINC001268791292 840817590 /nfs/dbraw/zinc/81/75/90/840817590.db2.gz IXQRPVVNKRRNBT-UHFFFAOYSA-N 1 2 304.394 1.545 20 30 DDEDLO C#CC(=O)N[C@@H]1[C@H]2CC[C@H](C2)[C@@H]1C(=O)Nc1cccc2[nH+]ccn21 ZINC001268851680 840901400 /nfs/dbraw/zinc/90/14/00/840901400.db2.gz RJWKYPOWTGMUHB-FCLUMBPUSA-N 1 2 322.368 1.437 20 30 DDEDLO CCC#CC(=O)N1CC2(C[C@H]2C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001268872336 840930452 /nfs/dbraw/zinc/93/04/52/840930452.db2.gz AGZDBFQYJWQJSL-ZDUSSCGKSA-N 1 2 322.368 1.535 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC001268896426 840961919 /nfs/dbraw/zinc/96/19/19/840961919.db2.gz RCYYGXVMBHSSPB-KOMQPUFPSA-N 1 2 321.465 1.553 20 30 DDEDLO CCCC#CC(=O)N1CCCC2(C[NH+](Cc3cn[nH]c3)C2)C1 ZINC001272109033 844623677 /nfs/dbraw/zinc/62/36/77/844623677.db2.gz GLDIRSFYMSLDQY-UHFFFAOYSA-N 1 2 300.406 1.638 20 30 DDEDLO CC[C@@H](CNC(=O)C#CC(C)C)[NH2+]Cc1nc(CC2CC2)no1 ZINC001269396168 841598783 /nfs/dbraw/zinc/59/87/83/841598783.db2.gz CMWKIJVETFVFNX-AWEZNQCLSA-N 1 2 318.421 1.666 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)c2cnsn2)CC1 ZINC001269616909 841828167 /nfs/dbraw/zinc/82/81/67/841828167.db2.gz UZZOPSSOFOGFHP-UHFFFAOYSA-N 1 2 305.407 1.378 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)c2cnsn2)CC1 ZINC001269616909 841828180 /nfs/dbraw/zinc/82/81/80/841828180.db2.gz UZZOPSSOFOGFHP-UHFFFAOYSA-N 1 2 305.407 1.378 20 30 DDEDLO C#CCN(C(=O)C1CC1)C1CC[NH+]([C@H](C)c2nc(C)no2)CC1 ZINC001270279898 842451603 /nfs/dbraw/zinc/45/16/03/842451603.db2.gz YQGCATBBHZRHOY-GFCCVEGCSA-N 1 2 316.405 1.775 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cccc(=O)[nH]1 ZINC001270507955 842628464 /nfs/dbraw/zinc/62/84/64/842628464.db2.gz PJIHFCABABXQBP-BNOWGMLFSA-N 1 2 300.362 1.122 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cccc(=O)[nH]1 ZINC001270507955 842628474 /nfs/dbraw/zinc/62/84/74/842628474.db2.gz PJIHFCABABXQBP-BNOWGMLFSA-N 1 2 300.362 1.122 20 30 DDEDLO CC#CC(=O)N1CC[C@H]2C[N@H+](Cc3nc4ccccc4[nH]3)C[C@H]21 ZINC001270717223 842843577 /nfs/dbraw/zinc/84/35/77/842843577.db2.gz YAPBNNKLMPXKEP-XJKSGUPXSA-N 1 2 308.385 1.619 20 30 DDEDLO CC#CC(=O)N1CC[C@H]2C[N@@H+](Cc3nc4ccccc4[nH]3)C[C@H]21 ZINC001270717223 842843582 /nfs/dbraw/zinc/84/35/82/842843582.db2.gz YAPBNNKLMPXKEP-XJKSGUPXSA-N 1 2 308.385 1.619 20 30 DDEDLO C#CCC[N@@H+](C)Cc1ccccc1CNC(=O)c1[nH]ncc1F ZINC001326458709 861388381 /nfs/dbraw/zinc/38/83/81/861388381.db2.gz RFECIRPFJCZLOW-UHFFFAOYSA-N 1 2 314.364 1.934 20 30 DDEDLO C#CCC[N@H+](C)Cc1ccccc1CNC(=O)c1[nH]ncc1F ZINC001326458709 861388393 /nfs/dbraw/zinc/38/83/93/861388393.db2.gz RFECIRPFJCZLOW-UHFFFAOYSA-N 1 2 314.364 1.934 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H](C)C[NH2+]Cc1nnc(CC)o1 ZINC001271113031 843228160 /nfs/dbraw/zinc/22/81/60/843228160.db2.gz KRCVEGLRALZSMR-XQQFMLRXSA-N 1 2 322.409 1.160 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC[C@@H]2CCCO2)C1 ZINC001107757653 846960262 /nfs/dbraw/zinc/96/02/62/846960262.db2.gz RRTRJFPASRGOAG-RDJZCZTQSA-N 1 2 308.422 1.176 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC[C@@H]2CCCO2)C1 ZINC001107757653 846960273 /nfs/dbraw/zinc/96/02/73/846960273.db2.gz RRTRJFPASRGOAG-RDJZCZTQSA-N 1 2 308.422 1.176 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1nc(C)c[nH]1 ZINC001272895449 847603989 /nfs/dbraw/zinc/60/39/89/847603989.db2.gz YBABXEKHRRIXGS-OAHLLOKOSA-N 1 2 320.437 1.895 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1nc(C)c[nH]1 ZINC001272895449 847603996 /nfs/dbraw/zinc/60/39/96/847603996.db2.gz YBABXEKHRRIXGS-OAHLLOKOSA-N 1 2 320.437 1.895 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cccc(F)c1C)C2 ZINC001273006418 847770283 /nfs/dbraw/zinc/77/02/83/847770283.db2.gz RYTRBOFHXMJCQK-UHFFFAOYSA-N 1 2 302.349 1.181 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001034295053 848276238 /nfs/dbraw/zinc/27/62/38/848276238.db2.gz YWQQMDHAXJLDQV-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001034295053 848276245 /nfs/dbraw/zinc/27/62/45/848276245.db2.gz YWQQMDHAXJLDQV-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(CC)ccn2)[C@@H](O)C1 ZINC001090276132 848626065 /nfs/dbraw/zinc/62/60/65/848626065.db2.gz OCHJKGSDVXSFNK-HIFRSBDPSA-N 1 2 323.824 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(CC)ccn2)[C@@H](O)C1 ZINC001090276132 848626074 /nfs/dbraw/zinc/62/60/74/848626074.db2.gz OCHJKGSDVXSFNK-HIFRSBDPSA-N 1 2 323.824 1.562 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1cnc(Cl)nc1 ZINC001273279056 849327531 /nfs/dbraw/zinc/32/75/31/849327531.db2.gz YEDGMMMGBITZOL-CHWSQXEVSA-N 1 2 304.781 1.329 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cnc(Cl)nc1 ZINC001273279056 849327538 /nfs/dbraw/zinc/32/75/38/849327538.db2.gz YEDGMMMGBITZOL-CHWSQXEVSA-N 1 2 304.781 1.329 20 30 DDEDLO CC#CCCCC(=O)N1CCOC2(C[NH+](CCCCOC)C2)C1 ZINC001327330523 862068922 /nfs/dbraw/zinc/06/89/22/862068922.db2.gz DOGVQKAZYLAQMI-UHFFFAOYSA-N 1 2 322.449 1.520 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)Cc2cnoc2)C1 ZINC001150171808 862122392 /nfs/dbraw/zinc/12/23/92/862122392.db2.gz OZVDIITXAIRIJS-CYBMUJFWSA-N 1 2 313.785 1.177 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)Cc2cnoc2)C1 ZINC001150171808 862122408 /nfs/dbraw/zinc/12/24/08/862122408.db2.gz OZVDIITXAIRIJS-CYBMUJFWSA-N 1 2 313.785 1.177 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+](C)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001440905421 850579204 /nfs/dbraw/zinc/57/92/04/850579204.db2.gz BCUMQBSOGZWLIN-NXEZZACHSA-N 1 2 311.817 1.478 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+](C)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001440905421 850579208 /nfs/dbraw/zinc/57/92/08/850579208.db2.gz BCUMQBSOGZWLIN-NXEZZACHSA-N 1 2 311.817 1.478 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]cc1C)CO2 ZINC001327427090 862167336 /nfs/dbraw/zinc/16/73/36/862167336.db2.gz OESBMILIAIPJFV-CQSZACIVSA-N 1 2 319.405 1.099 20 30 DDEDLO C#CCCC[N@H+]1C[C@]2(F)CN(CC(C)(C)OC)C(=O)[C@]2(F)C1 ZINC001273542745 851078981 /nfs/dbraw/zinc/07/89/81/851078981.db2.gz ZMNPRTZGFMETGO-JKSUJKDBSA-N 1 2 314.376 1.399 20 30 DDEDLO C#CCCC[N@@H+]1C[C@]2(F)CN(CC(C)(C)OC)C(=O)[C@]2(F)C1 ZINC001273542745 851078988 /nfs/dbraw/zinc/07/89/88/851078988.db2.gz ZMNPRTZGFMETGO-JKSUJKDBSA-N 1 2 314.376 1.399 20 30 DDEDLO C=CCN1C[C@@]2(CCN(c3cc[nH+]c(OC)c3)C2)OCC1=O ZINC001273585110 851116342 /nfs/dbraw/zinc/11/63/42/851116342.db2.gz LRLZSKLMCAEQGT-INIZCTEOSA-N 1 2 303.362 1.084 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](CC(C)C)OC)CO2 ZINC001273712913 851255752 /nfs/dbraw/zinc/25/57/52/851255752.db2.gz KCIXGGODMYNXBW-GJZGRUSLSA-N 1 2 308.422 1.030 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)CCc1ccon1 ZINC001327507240 862225609 /nfs/dbraw/zinc/22/56/09/862225609.db2.gz JEYPXZWCHAPEOJ-GOSISDBHSA-N 1 2 323.396 1.784 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)CCc1ccon1 ZINC001327507240 862225630 /nfs/dbraw/zinc/22/56/30/862225630.db2.gz JEYPXZWCHAPEOJ-GOSISDBHSA-N 1 2 323.396 1.784 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CC3(C2)COCC(=O)N3C)c1 ZINC001273783930 851340181 /nfs/dbraw/zinc/34/01/81/851340181.db2.gz DADIBCJMKPCFFX-UHFFFAOYSA-N 1 2 302.374 1.294 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1nc(C)ccc1O)C2 ZINC001273801100 851359859 /nfs/dbraw/zinc/35/98/59/851359859.db2.gz BWJZYHYELCUOFV-UHFFFAOYSA-N 1 2 317.389 1.085 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCC[C@]23CCN(CC(F)F)C3=O)ccn1 ZINC001274284478 852125100 /nfs/dbraw/zinc/12/51/00/852125100.db2.gz YKRSQQDTMHYKOE-MRXNPFEDSA-N 1 2 320.343 1.785 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCC[C@]23CCN(CC(F)F)C3=O)ccn1 ZINC001274284478 852125102 /nfs/dbraw/zinc/12/51/02/852125102.db2.gz YKRSQQDTMHYKOE-MRXNPFEDSA-N 1 2 320.343 1.785 20 30 DDEDLO CCCCCCCC[C@@H](O)C[NH+]1CC2(C1)CN(C)C(=O)CO2 ZINC001274575633 852408440 /nfs/dbraw/zinc/40/84/40/852408440.db2.gz GRAIIFALLSTUBC-OAHLLOKOSA-N 1 2 312.454 1.641 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@H](NC(=O)C#CC3CC3)C[C@@H]2C)n1 ZINC001274624853 852456918 /nfs/dbraw/zinc/45/69/18/852456918.db2.gz SHBVCUJFXYFCFF-JSGCOSHPSA-N 1 2 316.405 1.515 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@H](NC(=O)C#CC3CC3)C[C@@H]2C)n1 ZINC001274624853 852456921 /nfs/dbraw/zinc/45/69/21/852456921.db2.gz SHBVCUJFXYFCFF-JSGCOSHPSA-N 1 2 316.405 1.515 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H]1c2ccccc2CCN1CC#N ZINC001274855100 852644004 /nfs/dbraw/zinc/64/40/04/852644004.db2.gz CGPMDAMPQIPRCJ-KRWDZBQOSA-N 1 2 323.400 1.202 20 30 DDEDLO CC[C@@H](C)C(=O)N1CCC2(CC1)C[N@H+](CC#CCOC)CCO2 ZINC001274863418 852649610 /nfs/dbraw/zinc/64/96/10/852649610.db2.gz WONZPJQIUYFZSR-MRXNPFEDSA-N 1 2 322.449 1.376 20 30 DDEDLO CC[C@@H](C)C(=O)N1CCC2(CC1)C[N@@H+](CC#CCOC)CCO2 ZINC001274863418 852649621 /nfs/dbraw/zinc/64/96/21/852649621.db2.gz WONZPJQIUYFZSR-MRXNPFEDSA-N 1 2 322.449 1.376 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001275365171 853019497 /nfs/dbraw/zinc/01/94/97/853019497.db2.gz IJSGMPRZRSQVED-NEPJUHHUSA-N 1 2 324.425 1.553 20 30 DDEDLO CCn1nnc(C)c1C[N@H+](C)C[C@@H](C)NC(=O)C#CC(C)C ZINC001275551708 853323338 /nfs/dbraw/zinc/32/33/38/853323338.db2.gz KHULJAVUEGWMOG-CYBMUJFWSA-N 1 2 305.426 1.202 20 30 DDEDLO CCn1nnc(C)c1C[N@@H+](C)C[C@@H](C)NC(=O)C#CC(C)C ZINC001275551708 853323349 /nfs/dbraw/zinc/32/33/49/853323349.db2.gz KHULJAVUEGWMOG-CYBMUJFWSA-N 1 2 305.426 1.202 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2ccc3c(c2)CCO3)C1 ZINC001276089219 854735599 /nfs/dbraw/zinc/73/55/99/854735599.db2.gz ZJAKLJAVTPQYRK-UHFFFAOYSA-N 1 2 316.401 1.364 20 30 DDEDLO COc1nscc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001206659157 862614777 /nfs/dbraw/zinc/61/47/77/862614777.db2.gz DPAPRUAZMJXPHE-CKYFFXLPSA-N 1 2 308.407 1.248 20 30 DDEDLO COc1nscc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001206659157 862614782 /nfs/dbraw/zinc/61/47/82/862614782.db2.gz DPAPRUAZMJXPHE-CKYFFXLPSA-N 1 2 308.407 1.248 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)[C@H](C)CNC(=O)c1c[nH]c(C)cc1=O ZINC001156188735 862794580 /nfs/dbraw/zinc/79/45/80/862794580.db2.gz KUBMDMUCWJHNBI-GFCCVEGCSA-N 1 2 311.813 1.876 20 30 DDEDLO C=C(Cl)C[N@H+](CC)[C@H](C)CNC(=O)c1c[nH]c(C)cc1=O ZINC001156188735 862794588 /nfs/dbraw/zinc/79/45/88/862794588.db2.gz KUBMDMUCWJHNBI-GFCCVEGCSA-N 1 2 311.813 1.876 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCc2cc(O)ccc2C1 ZINC001413106629 856435202 /nfs/dbraw/zinc/43/52/02/856435202.db2.gz ZOPIEDCEJSFBAW-UHFFFAOYSA-N 1 2 312.373 1.406 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCc2cc(O)ccc2C1 ZINC001413106629 856435209 /nfs/dbraw/zinc/43/52/09/856435209.db2.gz ZOPIEDCEJSFBAW-UHFFFAOYSA-N 1 2 312.373 1.406 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001072551534 857435276 /nfs/dbraw/zinc/43/52/76/857435276.db2.gz GUXLXTCVKJRPNO-NEPJUHHUSA-N 1 2 317.393 1.387 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001072551534 857435280 /nfs/dbraw/zinc/43/52/80/857435280.db2.gz GUXLXTCVKJRPNO-NEPJUHHUSA-N 1 2 317.393 1.387 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072604387 857505055 /nfs/dbraw/zinc/50/50/55/857505055.db2.gz XKEHZGXOGUIVFS-UONOGXRCSA-N 1 2 316.405 1.109 20 30 DDEDLO N#CCN1CCC2(CN(C(=O)c3cccc(-n4cc[nH+]c4)c3)C2)C1 ZINC001073101455 858100727 /nfs/dbraw/zinc/10/07/27/858100727.db2.gz NDNBHIILQJUUCW-UHFFFAOYSA-N 1 2 321.384 1.544 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N(C)C[C@@H](C)C#N ZINC001122490687 858849614 /nfs/dbraw/zinc/84/96/14/858849614.db2.gz QHCREWVYHUSCDY-ZDUSSCGKSA-N 1 2 313.409 1.774 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](O)CNc1cc[nH+]c(C)n1 ZINC001124671784 859775094 /nfs/dbraw/zinc/77/50/94/859775094.db2.gz LYGPYSGGKVPQLL-CYBMUJFWSA-N 1 2 306.410 1.619 20 30 DDEDLO CC(C)(C)OC(=O)NCC1C[NH+](Cc2ccc(C#N)nc2)C1 ZINC001140540391 860653168 /nfs/dbraw/zinc/65/31/68/860653168.db2.gz UNZBBKYWYNVMRJ-UHFFFAOYSA-N 1 2 302.378 1.910 20 30 DDEDLO Cc1ncc(CO)c(C[N@@H+]2CC[C@H]3SC(=O)C=C3C2)c1O ZINC001140866604 860716920 /nfs/dbraw/zinc/71/69/20/860716920.db2.gz VTYJSPCGNQHGSV-CYBMUJFWSA-N 1 2 306.387 1.362 20 30 DDEDLO Cc1ncc(CO)c(C[N@H+]2CC[C@H]3SC(=O)C=C3C2)c1O ZINC001140866604 860716924 /nfs/dbraw/zinc/71/69/24/860716924.db2.gz VTYJSPCGNQHGSV-CYBMUJFWSA-N 1 2 306.387 1.362 20 30 DDEDLO C=C[C@@H](C(=O)NCC[NH2+]Cc1nonc1C)c1ccccc1 ZINC001151990602 863094251 /nfs/dbraw/zinc/09/42/51/863094251.db2.gz KCIJSNROOBHEBB-CQSZACIVSA-N 1 2 300.362 1.554 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)[C@H](C)[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001328996634 863406863 /nfs/dbraw/zinc/40/68/63/863406863.db2.gz QCNFPBDNUGXDNT-NWDGAFQWSA-N 1 2 324.425 1.553 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2Cc2cccc(C)c2)CC1 ZINC001329104985 863479478 /nfs/dbraw/zinc/47/94/78/863479478.db2.gz KMJKYHOGWCUNPU-UHFFFAOYSA-N 1 2 309.417 1.698 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nnc(CC)o2)CCCCC1 ZINC001153009132 863649190 /nfs/dbraw/zinc/64/91/90/863649190.db2.gz GQJBWQGQBCDEHU-UHFFFAOYSA-N 1 2 318.421 1.812 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1CC ZINC001153012164 863651141 /nfs/dbraw/zinc/65/11/41/863651141.db2.gz DYWIUPCEEUMELG-RHSMWYFYSA-N 1 2 322.453 1.557 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1CC ZINC001153012164 863651147 /nfs/dbraw/zinc/65/11/47/863651147.db2.gz DYWIUPCEEUMELG-RHSMWYFYSA-N 1 2 322.453 1.557 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1CC ZINC001157213391 863656769 /nfs/dbraw/zinc/65/67/69/863656769.db2.gz JDMBKPKBOYMKPE-CABCVRRESA-N 1 2 322.453 1.821 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1CC ZINC001157213391 863656773 /nfs/dbraw/zinc/65/67/73/863656773.db2.gz JDMBKPKBOYMKPE-CABCVRRESA-N 1 2 322.453 1.821 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(CCCC)no1 ZINC001153161035 863739620 /nfs/dbraw/zinc/73/96/20/863739620.db2.gz WKTLRTYIJUMCTL-CHWSQXEVSA-N 1 2 324.425 1.770 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C2CCCCCC2)C1 ZINC001329970261 863981206 /nfs/dbraw/zinc/98/12/06/863981206.db2.gz GEVWSKZJHJSIOH-AWEZNQCLSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)C1C[NH+](CC=C(Cl)Cl)C1 ZINC001329996419 864002961 /nfs/dbraw/zinc/00/29/61/864002961.db2.gz CQXJPNPJODXRLD-MNOVXSKESA-N 1 2 319.232 1.780 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](C)C1C[NH+](CC(=O)NCCC)C1 ZINC001330049551 864048195 /nfs/dbraw/zinc/04/81/95/864048195.db2.gz VCNBCAUECRHMBC-CXAGYDPISA-N 1 2 309.454 1.551 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CC(C)(c2nnc(C3CCOCC3)o2)C1 ZINC001330100520 864082487 /nfs/dbraw/zinc/08/24/87/864082487.db2.gz VMFJOANXSQKAFJ-CYBMUJFWSA-N 1 2 307.394 1.474 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)C(=O)NCc2c[nH+]c(C)cc2C)C1 ZINC001330205357 864154702 /nfs/dbraw/zinc/15/47/02/864154702.db2.gz AYAQSNOUJRRHSE-HNNXBMFYSA-N 1 2 313.401 1.577 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1[C@H]2C[N@H+]([C@H](C)c3noc(C)n3)C[C@H]21 ZINC001330291556 864217594 /nfs/dbraw/zinc/21/75/94/864217594.db2.gz DKNSGQWKYACIIS-NGFQHRJXSA-N 1 2 316.405 1.537 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3noc(C)n3)C[C@H]21 ZINC001330291556 864217598 /nfs/dbraw/zinc/21/75/98/864217598.db2.gz DKNSGQWKYACIIS-NGFQHRJXSA-N 1 2 316.405 1.537 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2cc(C#C[Si](C)(C)C)ccn2)C[NH2+]1 ZINC001158256171 864518573 /nfs/dbraw/zinc/51/85/73/864518573.db2.gz HNROVOANEVYUAN-KGLIPLIRSA-N 1 2 317.465 1.626 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H](C)[NH2+]Cc1nc(CC(C)C)no1 ZINC001331443730 865089073 /nfs/dbraw/zinc/08/90/73/865089073.db2.gz VOUQEEDKWPSVJZ-AWEZNQCLSA-N 1 2 320.437 1.864 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CCN(Cc3nnc[nH]3)CC2)cc1 ZINC001332021412 865509890 /nfs/dbraw/zinc/50/98/90/865509890.db2.gz KHSVKUHPLGIWDI-UHFFFAOYSA-N 1 2 313.405 1.687 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CCN(Cc3nc[nH]n3)CC2)cc1 ZINC001332021412 865509893 /nfs/dbraw/zinc/50/98/93/865509893.db2.gz KHSVKUHPLGIWDI-UHFFFAOYSA-N 1 2 313.405 1.687 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](O)C[NH2+]Cc1nnc(CC)o1 ZINC001332550548 865928616 /nfs/dbraw/zinc/92/86/16/865928616.db2.gz GTPOWTOONXGMDF-LBPRGKRZSA-N 1 2 324.425 1.143 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)c1oncc1C)C(C)C ZINC001332734987 866105974 /nfs/dbraw/zinc/10/59/74/866105974.db2.gz HLXIEWNIVJMIRV-UHFFFAOYSA-N 1 2 322.409 1.068 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)c1oncc1C)C(C)C ZINC001332734987 866105977 /nfs/dbraw/zinc/10/59/77/866105977.db2.gz HLXIEWNIVJMIRV-UHFFFAOYSA-N 1 2 322.409 1.068 20 30 DDEDLO CCOC(=O)C[NH+]1CCC(Nc2ccc(C)c(C#N)n2)CC1 ZINC001161083035 866359270 /nfs/dbraw/zinc/35/92/70/866359270.db2.gz OWSCLVBOBNEXTO-UHFFFAOYSA-N 1 2 302.378 1.701 20 30 DDEDLO N#Cc1cc(Cl)ccc1S(=O)(=O)NCC[NH+]1CC=CC1 ZINC001320068565 866456095 /nfs/dbraw/zinc/45/60/95/866456095.db2.gz KZNSSRCDBWOIJM-UHFFFAOYSA-N 1 2 311.794 1.362 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@H+]1CCNC(=O)NC(C)C ZINC001323244222 866466185 /nfs/dbraw/zinc/46/61/85/866466185.db2.gz VGEXMYWRTJHOJX-CQSZACIVSA-N 1 2 310.442 1.241 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@@H+]1CCNC(=O)NC(C)C ZINC001323244222 866466194 /nfs/dbraw/zinc/46/61/94/866466194.db2.gz VGEXMYWRTJHOJX-CQSZACIVSA-N 1 2 310.442 1.241 20 30 DDEDLO CCc1nnc(C[NH2+]C2(CNC(=O)C#CC3CC3)CC2)s1 ZINC001323437530 866609504 /nfs/dbraw/zinc/60/95/04/866609504.db2.gz INDBYNRSMJXEBS-UHFFFAOYSA-N 1 2 304.419 1.252 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H]1CCCNC1=O ZINC001323788060 866844908 /nfs/dbraw/zinc/84/49/08/866844908.db2.gz LOQCBYPCFHPFIJ-XHSDSOJGSA-N 1 2 319.449 1.687 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H]1CCCNC1=O ZINC001323788060 866844925 /nfs/dbraw/zinc/84/49/25/866844925.db2.gz LOQCBYPCFHPFIJ-XHSDSOJGSA-N 1 2 319.449 1.687 20 30 DDEDLO N#Cc1ccccc1C[NH2+]CCCNC(=O)c1[nH]nc2c1CCC2 ZINC001161685465 866886976 /nfs/dbraw/zinc/88/69/76/866886976.db2.gz GSJUHPPEPZDKGE-UHFFFAOYSA-N 1 2 323.400 1.680 20 30 DDEDLO CC#CCCCC(=O)N(C)C1C[NH+](CCOC[C@H]2CCCO2)C1 ZINC001323969666 866984928 /nfs/dbraw/zinc/98/49/28/866984928.db2.gz GMWGMZSVCDOEDP-QGZVFWFLSA-N 1 2 322.449 1.518 20 30 DDEDLO C#CCN(C(=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C)C(C)C ZINC001333837365 867061782 /nfs/dbraw/zinc/06/17/82/867061782.db2.gz VFNPWMVLBMXTOT-UHFFFAOYSA-N 1 2 317.389 1.193 20 30 DDEDLO CCOC(=O)[C@H]([NH3+])CNc1nc(-c2ccncc2)ccc1C#N ZINC001161950037 867130504 /nfs/dbraw/zinc/13/05/04/867130504.db2.gz ONEYCDBKBJFHSV-CYBMUJFWSA-N 1 2 311.345 1.318 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001333915674 867150248 /nfs/dbraw/zinc/15/02/48/867150248.db2.gz JIOOENMMNWJHGY-NWDGAFQWSA-N 1 2 306.410 1.626 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+](Cc2snnc2C)C1 ZINC001324590715 867386692 /nfs/dbraw/zinc/38/66/92/867386692.db2.gz YTQGPVFGVOBMEU-AWEZNQCLSA-N 1 2 310.423 1.130 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+](Cc2snnc2C)C1 ZINC001324590715 867386709 /nfs/dbraw/zinc/38/67/09/867386709.db2.gz YTQGPVFGVOBMEU-AWEZNQCLSA-N 1 2 310.423 1.130 20 30 DDEDLO C=CCCCC(=O)NC[C@H](C)N(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001334490321 867617181 /nfs/dbraw/zinc/61/71/81/867617181.db2.gz DDMMFBPWYBASMG-LBPRGKRZSA-N 1 2 306.410 1.580 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@](O)(CNC(=O)C#CC(C)C)C2)s1 ZINC001325081376 867754188 /nfs/dbraw/zinc/75/41/88/867754188.db2.gz HVOHEAAPVGIREZ-INIZCTEOSA-N 1 2 321.446 1.164 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@](O)(CNC(=O)C#CC(C)C)C2)s1 ZINC001325081376 867754195 /nfs/dbraw/zinc/75/41/95/867754195.db2.gz HVOHEAAPVGIREZ-INIZCTEOSA-N 1 2 321.446 1.164 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)Nc1nccc(C#N)c1[N+](=O)[O-] ZINC001164177054 868995195 /nfs/dbraw/zinc/99/51/95/868995195.db2.gz MNGACEITZZYHBS-GFCCVEGCSA-N 1 2 305.338 1.384 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001164360328 869151454 /nfs/dbraw/zinc/15/14/54/869151454.db2.gz ITFPXERNERNAAE-ZDUSSCGKSA-N 1 2 322.457 1.626 20 30 DDEDLO C#CC[NH+]1CCN(c2cc(Cl)nc([C@H]3CCCO3)n2)CC1 ZINC001337274390 869412999 /nfs/dbraw/zinc/41/29/99/869412999.db2.gz FLBXYOYRBLCCAA-GFCCVEGCSA-N 1 2 306.797 1.737 20 30 DDEDLO N#Cc1cnc(N2Cc3c[nH+]cn3C[C@@H](COCC3CC3)C2)cn1 ZINC001165693438 869683833 /nfs/dbraw/zinc/68/38/33/869683833.db2.gz WDVQWKYXGPXKEC-AWEZNQCLSA-N 1 2 324.388 1.608 20 30 DDEDLO N#Cc1cnc(N2Cc3c[nH+]cn3C[C@H](COCC3CC3)C2)cn1 ZINC001165693439 869684296 /nfs/dbraw/zinc/68/42/96/869684296.db2.gz WDVQWKYXGPXKEC-CQSZACIVSA-N 1 2 324.388 1.608 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001316984291 870067050 /nfs/dbraw/zinc/06/70/50/870067050.db2.gz IEECDPTUNCHGPE-KGLIPLIRSA-N 1 2 309.454 1.550 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001316984291 870067060 /nfs/dbraw/zinc/06/70/60/870067060.db2.gz IEECDPTUNCHGPE-KGLIPLIRSA-N 1 2 309.454 1.550 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(=O)c(OC)co2)C1 ZINC001316985221 870067833 /nfs/dbraw/zinc/06/78/33/870067833.db2.gz HWMZPBPYPCRNCA-ZDUSSCGKSA-N 1 2 320.389 1.695 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cc(=O)c(OC)co2)C1 ZINC001316985221 870067841 /nfs/dbraw/zinc/06/78/41/870067841.db2.gz HWMZPBPYPCRNCA-ZDUSSCGKSA-N 1 2 320.389 1.695 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC12CC[NH+](Cc1ncnn1C)CC2 ZINC001316998953 870102620 /nfs/dbraw/zinc/10/26/20/870102620.db2.gz SDPDTDUTOSFELG-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)(C)C ZINC001317042688 870153759 /nfs/dbraw/zinc/15/37/59/870153759.db2.gz LFVGVBPYQPXPOY-SOUVJXGZSA-N 1 2 319.449 1.188 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)(C)C ZINC001317042688 870153763 /nfs/dbraw/zinc/15/37/63/870153763.db2.gz LFVGVBPYQPXPOY-SOUVJXGZSA-N 1 2 319.449 1.188 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCCCO1 ZINC001317043972 870156302 /nfs/dbraw/zinc/15/63/02/870156302.db2.gz FHEUMIHEDPNMTE-IXDOHACOSA-N 1 2 320.433 1.271 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCCCO1 ZINC001317043972 870156307 /nfs/dbraw/zinc/15/63/07/870156307.db2.gz FHEUMIHEDPNMTE-IXDOHACOSA-N 1 2 320.433 1.271 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C)C[C@H]1C ZINC001338771968 870158104 /nfs/dbraw/zinc/15/81/04/870158104.db2.gz IAHSXGQUJASAQM-KBXIAJHMSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C)C[C@H]1C ZINC001338771968 870158109 /nfs/dbraw/zinc/15/81/09/870158109.db2.gz IAHSXGQUJASAQM-KBXIAJHMSA-N 1 2 319.453 1.826 20 30 DDEDLO C[C@H](CC(=O)N1CCC[C@H](CN(C)CC#N)C1)n1cc[nH+]c1 ZINC001317044381 870158953 /nfs/dbraw/zinc/15/89/53/870158953.db2.gz QWHWSBMDOQHURK-HUUCEWRRSA-N 1 2 303.410 1.528 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COCc1ccc(C)cc1 ZINC001317047094 870163041 /nfs/dbraw/zinc/16/30/41/870163041.db2.gz HGOLYJWBLVVJNN-HDICACEKSA-N 1 2 312.413 1.820 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COCc1ccc(C)cc1 ZINC001317047094 870163048 /nfs/dbraw/zinc/16/30/48/870163048.db2.gz HGOLYJWBLVVJNN-HDICACEKSA-N 1 2 312.413 1.820 20 30 DDEDLO C=CCCC(=O)N(C)CCN(C(=O)Cc1[nH]cc[nH+]1)C(C)C ZINC001338776343 870163326 /nfs/dbraw/zinc/16/33/26/870163326.db2.gz PRRZZCQUENCAMO-UHFFFAOYSA-N 1 2 306.410 1.614 20 30 DDEDLO C#CCN(CC#CC)c1nnc(C[NH+]2CCCCC2)n1CC=C ZINC001338927070 870245096 /nfs/dbraw/zinc/24/50/96/870245096.db2.gz LLONFLLOXZRSQU-UHFFFAOYSA-N 1 2 311.433 1.913 20 30 DDEDLO C=CCn1c(N(C)C2CCC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001339067857 870329398 /nfs/dbraw/zinc/32/93/98/870329398.db2.gz FAQCXGGPXPQDNB-CZUORRHYSA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCn1c(N(C)C2CCC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001339067857 870329419 /nfs/dbraw/zinc/32/94/19/870329419.db2.gz FAQCXGGPXPQDNB-CZUORRHYSA-N 1 2 305.426 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[NH2+][C@H](C)c2csnn2)cn1 ZINC001317280292 870572475 /nfs/dbraw/zinc/57/24/75/870572475.db2.gz QIFOFEGBDPECES-GHMZBOCLSA-N 1 2 315.402 1.384 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001298700708 870683120 /nfs/dbraw/zinc/68/31/20/870683120.db2.gz TWCQRKSAJZNKTB-LLVKDONJSA-N 1 2 304.394 1.238 20 30 DDEDLO N#CCN[C@H]1C[C@H](NC(=O)CCCn2cc[nH+]c2)C12CCC2 ZINC001203813138 870772554 /nfs/dbraw/zinc/77/25/54/870772554.db2.gz BKKGHZPNTNSGEK-KBPBESRZSA-N 1 2 301.394 1.204 20 30 DDEDLO CC(C)c1ccc(C(=O)NCC[N@H+](C)CC(=O)NCC#N)cc1 ZINC001317469436 870890092 /nfs/dbraw/zinc/89/00/92/870890092.db2.gz NTGSQAWXKIRPQZ-UHFFFAOYSA-N 1 2 316.405 1.111 20 30 DDEDLO CC(C)c1ccc(C(=O)NCC[N@@H+](C)CC(=O)NCC#N)cc1 ZINC001317469436 870890101 /nfs/dbraw/zinc/89/01/01/870890101.db2.gz NTGSQAWXKIRPQZ-UHFFFAOYSA-N 1 2 316.405 1.111 20 30 DDEDLO CCc1nnc(N(C)[C@H](C)CC#N)n1CC[N@@H+]1CCOC[C@@H]1C ZINC001381676805 882464912 /nfs/dbraw/zinc/46/49/12/882464912.db2.gz OPFNSAGLBQTOQV-KGLIPLIRSA-N 1 2 320.441 1.300 20 30 DDEDLO CCc1nnc(N(C)[C@H](C)CC#N)n1CC[N@H+]1CCOC[C@@H]1C ZINC001381676805 882464925 /nfs/dbraw/zinc/46/49/25/882464925.db2.gz OPFNSAGLBQTOQV-KGLIPLIRSA-N 1 2 320.441 1.300 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cc(CC)[nH]n3)n2CC)CC1 ZINC001340294677 871017018 /nfs/dbraw/zinc/01/70/18/871017018.db2.gz LXFIHVPMLCCPSF-UHFFFAOYSA-N 1 2 313.409 1.006 20 30 DDEDLO Cc1ccc(C(=O)C=CC(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)cc1 ZINC001302761743 871082136 /nfs/dbraw/zinc/08/21/36/871082136.db2.gz XEZKDSWALADZGT-XHPSBEMXSA-N 1 2 313.357 1.177 20 30 DDEDLO Cc1ccc(C(=O)C=CC(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)cc1 ZINC001302761743 871082151 /nfs/dbraw/zinc/08/21/51/871082151.db2.gz XEZKDSWALADZGT-XHPSBEMXSA-N 1 2 313.357 1.177 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C[NH+]2CCCCC2)n1CCOC ZINC001341125438 871516557 /nfs/dbraw/zinc/51/65/57/871516557.db2.gz YGFSBVLZMIBJDS-CQSZACIVSA-N 1 2 305.426 1.368 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@@H]2C1 ZINC001341145340 871527825 /nfs/dbraw/zinc/52/78/25/871527825.db2.gz FXJMJZRIECBQCA-UONOGXRCSA-N 1 2 316.405 1.368 20 30 DDEDLO Cc1cccc(C)c1C(=O)N(C)CC[NH+]1CCN(CC#N)CC1 ZINC001317823580 871546615 /nfs/dbraw/zinc/54/66/15/871546615.db2.gz ZHJSHSVTUBYCHM-UHFFFAOYSA-N 1 2 314.433 1.517 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)CC#Cc1ccccc1 ZINC001317823940 871552770 /nfs/dbraw/zinc/55/27/70/871552770.db2.gz GMKMRVIPCKEUSQ-UHFFFAOYSA-N 1 2 324.428 1.028 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC1CN(CC#Cc2ccccc2)C1 ZINC001318046316 871711240 /nfs/dbraw/zinc/71/12/40/871711240.db2.gz AIZBNPNDLIOQIQ-UHFFFAOYSA-N 1 2 322.412 1.205 20 30 DDEDLO CCOCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)s1 ZINC001318190486 871809063 /nfs/dbraw/zinc/80/90/63/871809063.db2.gz MBVPAVKWKYBWRV-STQMWFEESA-N 1 2 319.430 1.831 20 30 DDEDLO CCOCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)s1 ZINC001318190486 871809072 /nfs/dbraw/zinc/80/90/72/871809072.db2.gz MBVPAVKWKYBWRV-STQMWFEESA-N 1 2 319.430 1.831 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3[C@H](CCCC)C(N)=O)C1 ZINC001318216419 871841240 /nfs/dbraw/zinc/84/12/40/871841240.db2.gz OLFUONFZXRPQEY-SOUVJXGZSA-N 1 2 319.449 1.672 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3[C@H](CCCC)C(N)=O)C1 ZINC001318216419 871841255 /nfs/dbraw/zinc/84/12/55/871841255.db2.gz OLFUONFZXRPQEY-SOUVJXGZSA-N 1 2 319.449 1.672 20 30 DDEDLO C#CCN(CC#CC)c1nnc(Cc2[nH+]ccn2C)n1CC(=C)C ZINC001341868503 871868331 /nfs/dbraw/zinc/86/83/31/871868331.db2.gz PXUXXEGPWBEEDF-UHFFFAOYSA-N 1 2 322.416 1.641 20 30 DDEDLO COc1ccc(F)cc1C[N@@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001318252478 871877825 /nfs/dbraw/zinc/87/78/25/871877825.db2.gz BPEAOENIEZDQPI-INIZCTEOSA-N 1 2 316.376 1.938 20 30 DDEDLO COc1ccc(F)cc1C[N@H+]1CC[C@H](NC(=O)C#CC2CC2)C1 ZINC001318252478 871877840 /nfs/dbraw/zinc/87/78/40/871877840.db2.gz BPEAOENIEZDQPI-INIZCTEOSA-N 1 2 316.376 1.938 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)cs2)C1 ZINC001318253165 871882569 /nfs/dbraw/zinc/88/25/69/871882569.db2.gz MPLQXCOENAHWLQ-OLZOCXBDSA-N 1 2 307.419 1.180 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)cs2)C1 ZINC001318253165 871882584 /nfs/dbraw/zinc/88/25/84/871882584.db2.gz MPLQXCOENAHWLQ-OLZOCXBDSA-N 1 2 307.419 1.180 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@@H+]2C)nnc1N1CCO[C@@H](C)C1 ZINC001341903115 871889632 /nfs/dbraw/zinc/88/96/32/871889632.db2.gz LDZBUBFRABNZNK-UONOGXRCSA-N 1 2 305.426 1.846 20 30 DDEDLO C=C(C)Cn1c([C@H]2CCC[N@H+]2C)nnc1N1CCO[C@@H](C)C1 ZINC001341903115 871889648 /nfs/dbraw/zinc/88/96/48/871889648.db2.gz LDZBUBFRABNZNK-UONOGXRCSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(C)CC1 ZINC001342060936 871977597 /nfs/dbraw/zinc/97/75/97/871977597.db2.gz BASCYGRJURZSJC-ZIAGYGMSSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(C)CC1 ZINC001342060936 871977620 /nfs/dbraw/zinc/97/76/20/871977620.db2.gz BASCYGRJURZSJC-ZIAGYGMSSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)CCC1CC1 ZINC001342061527 871979331 /nfs/dbraw/zinc/97/93/31/871979331.db2.gz JOKKWCZXSPLVJY-GJZGRUSLSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)CCC1CC1 ZINC001342061527 871979338 /nfs/dbraw/zinc/97/93/38/871979338.db2.gz JOKKWCZXSPLVJY-GJZGRUSLSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)C1 ZINC001342228394 872086793 /nfs/dbraw/zinc/08/67/93/872086793.db2.gz JVOAPRLJNZMUAA-RRFJBIMHSA-N 1 2 311.426 1.082 20 30 DDEDLO CCCCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001316956180 872467826 /nfs/dbraw/zinc/46/78/26/872467826.db2.gz ZQQKPEZCTJOCDE-CQSZACIVSA-N 1 2 308.426 1.177 20 30 DDEDLO CCCCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001316956180 872467834 /nfs/dbraw/zinc/46/78/34/872467834.db2.gz ZQQKPEZCTJOCDE-CQSZACIVSA-N 1 2 308.426 1.177 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001206779129 872573314 /nfs/dbraw/zinc/57/33/14/872573314.db2.gz ZFUGULGRIDIXDZ-GDBMZVCRSA-N 1 2 320.437 1.339 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001206779129 872573324 /nfs/dbraw/zinc/57/33/24/872573324.db2.gz ZFUGULGRIDIXDZ-GDBMZVCRSA-N 1 2 320.437 1.339 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)C#CC2CC2)C1 ZINC001206951894 872835697 /nfs/dbraw/zinc/83/56/97/872835697.db2.gz NRHLDWBNZUEGKE-ZWNOBZJWSA-N 1 2 304.419 1.196 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C#CC2CC2)C1 ZINC001206951894 872835716 /nfs/dbraw/zinc/83/57/16/872835716.db2.gz NRHLDWBNZUEGKE-ZWNOBZJWSA-N 1 2 304.419 1.196 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nc(C)cs2)C[C@H]1C ZINC001206963783 872855350 /nfs/dbraw/zinc/85/53/50/872855350.db2.gz OGHJOOLGUSAWIC-MRVWCRGKSA-N 1 2 321.446 1.426 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nc(C)cs2)C[C@H]1C ZINC001206963783 872855361 /nfs/dbraw/zinc/85/53/61/872855361.db2.gz OGHJOOLGUSAWIC-MRVWCRGKSA-N 1 2 321.446 1.426 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@H]2CCC[N@@H+]2C)n1CCOC ZINC001345707111 873483037 /nfs/dbraw/zinc/48/30/37/873483037.db2.gz WETLPNIBRJAYDJ-HUUCEWRRSA-N 1 2 317.437 1.683 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@H]2CCC[N@H+]2C)n1CCOC ZINC001345707111 873483041 /nfs/dbraw/zinc/48/30/41/873483041.db2.gz WETLPNIBRJAYDJ-HUUCEWRRSA-N 1 2 317.437 1.683 20 30 DDEDLO C=CCNC(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001277172353 882760997 /nfs/dbraw/zinc/76/09/97/882760997.db2.gz JHLZRYBEZFYUKC-UONOGXRCSA-N 1 2 303.410 1.305 20 30 DDEDLO C=CCNC(=O)N1CC[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001277172353 882761002 /nfs/dbraw/zinc/76/10/02/882761002.db2.gz JHLZRYBEZFYUKC-UONOGXRCSA-N 1 2 303.410 1.305 20 30 DDEDLO C=CCCC(=O)N1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC1 ZINC001348185727 874409487 /nfs/dbraw/zinc/40/94/87/874409487.db2.gz DRGGKFVXGBYLGO-UHFFFAOYSA-N 1 2 304.394 1.324 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cncc(F)c2)CC1(C)C ZINC001381858651 882829174 /nfs/dbraw/zinc/82/91/74/882829174.db2.gz UMDHJOAMGCQBEI-BXUZGUMPSA-N 1 2 304.369 1.707 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cncc(F)c2)CC1(C)C ZINC001381858651 882829187 /nfs/dbraw/zinc/82/91/87/882829187.db2.gz UMDHJOAMGCQBEI-BXUZGUMPSA-N 1 2 304.369 1.707 20 30 DDEDLO C=C(CC)C(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC001348880677 874851845 /nfs/dbraw/zinc/85/18/45/874851845.db2.gz SKKXEMRMPQXBPS-QGZVFWFLSA-N 1 2 301.434 1.885 20 30 DDEDLO C=C(CC)C(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC001348880677 874851856 /nfs/dbraw/zinc/85/18/56/874851856.db2.gz SKKXEMRMPQXBPS-QGZVFWFLSA-N 1 2 301.434 1.885 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)CC(C)(C)O)CC1 ZINC001227146369 882874469 /nfs/dbraw/zinc/87/44/69/882874469.db2.gz JUYUYOUNHGXKAU-UHFFFAOYSA-N 1 2 319.243 1.637 20 30 DDEDLO C=CCCCC(=O)NCCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001349287737 875090931 /nfs/dbraw/zinc/09/09/31/875090931.db2.gz MWCARFWCYXLCHS-UHFFFAOYSA-N 1 2 300.362 1.765 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)n(C)n2)C[C@H]1C ZINC001211425971 875801356 /nfs/dbraw/zinc/80/13/56/875801356.db2.gz VNFYKVLZRCZSJS-IVMMDQJWSA-N 1 2 320.437 1.256 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)n(C)n2)C[C@H]1C ZINC001211425971 875801363 /nfs/dbraw/zinc/80/13/63/875801363.db2.gz VNFYKVLZRCZSJS-IVMMDQJWSA-N 1 2 320.437 1.256 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)o2)CC1 ZINC001227342750 882977269 /nfs/dbraw/zinc/97/72/69/882977269.db2.gz WMWNHCWYJDFGTE-NWDGAFQWSA-N 1 2 320.393 1.058 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1CC=C ZINC001351544309 876313068 /nfs/dbraw/zinc/31/30/68/876313068.db2.gz OBQRCZGWHJUBQV-CQSZACIVSA-N 1 2 303.410 1.315 20 30 DDEDLO C#CCN(C)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1CC=C ZINC001351544309 876313080 /nfs/dbraw/zinc/31/30/80/876313080.db2.gz OBQRCZGWHJUBQV-CQSZACIVSA-N 1 2 303.410 1.315 20 30 DDEDLO C[C@H](C(=O)NC[C@@H](CO)[NH2+]Cc1cc(F)ccc1C#N)C1CC1 ZINC001379366596 876931147 /nfs/dbraw/zinc/93/11/47/876931147.db2.gz AKJMCKVECYNFFK-ZBEGNZNMSA-N 1 2 319.380 1.310 20 30 DDEDLO N#C[C@@H]1C[NH2+]C[C@@H]1Oc1[nH]c(=O)nc2cc(-c3ccccc3)[nH]c21 ZINC001218199974 877416916 /nfs/dbraw/zinc/41/69/16/877416916.db2.gz IPZSLFVLXCYSEC-RISCZKNCSA-N 1 2 321.340 1.821 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H]1CC[N@@H+]1Cc1oc(C)nc1C ZINC001276800186 877836578 /nfs/dbraw/zinc/83/65/78/877836578.db2.gz HHXAGTARDFXNNG-UKRRQHHQSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H]1CC[N@H+]1Cc1oc(C)nc1C ZINC001276800186 877836583 /nfs/dbraw/zinc/83/65/83/877836583.db2.gz HHXAGTARDFXNNG-UKRRQHHQSA-N 1 2 321.421 1.963 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219103109 877920104 /nfs/dbraw/zinc/92/01/04/877920104.db2.gz QASLZPFCGHXNJR-CABCVRRESA-N 1 2 305.353 1.159 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219103109 877920126 /nfs/dbraw/zinc/92/01/26/877920126.db2.gz QASLZPFCGHXNJR-CABCVRRESA-N 1 2 305.353 1.159 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)COCCCCCCCC)[C@@H](O)C1 ZINC001219808255 878521352 /nfs/dbraw/zinc/52/13/52/878521352.db2.gz AAKKMZBRPVERAQ-CVEARBPZSA-N 1 2 310.438 1.158 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)COCCCCCCCC)[C@@H](O)C1 ZINC001219808255 878521359 /nfs/dbraw/zinc/52/13/59/878521359.db2.gz AAKKMZBRPVERAQ-CVEARBPZSA-N 1 2 310.438 1.158 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2(CC(C)C)CCC2)[C@@H](O)C1 ZINC001219987543 878636281 /nfs/dbraw/zinc/63/62/81/878636281.db2.gz SQDSFIJMUSZSMQ-CVEARBPZSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2(CC(C)C)CCC2)[C@@H](O)C1 ZINC001219987543 878636292 /nfs/dbraw/zinc/63/62/92/878636292.db2.gz SQDSFIJMUSZSMQ-CVEARBPZSA-N 1 2 322.449 1.014 20 30 DDEDLO C=C(C)Cn1c([C@H]2C[C@H]2C)nnc1N(C)CC[NH+]1CCOCC1 ZINC001356331420 878997632 /nfs/dbraw/zinc/99/76/32/878997632.db2.gz WSGJPMSGISOZSG-CABCVRRESA-N 1 2 319.453 1.746 20 30 DDEDLO C=C1CCN(c2nnc(C[N@@H+]3CCC[C@H](O)C3)n2CC)CC1 ZINC001356500767 879080940 /nfs/dbraw/zinc/08/09/40/879080940.db2.gz FFBJDPGXSYNGKI-AWEZNQCLSA-N 1 2 305.426 1.411 20 30 DDEDLO C=C1CCN(c2nnc(C[N@H+]3CCC[C@H](O)C3)n2CC)CC1 ZINC001356500767 879080948 /nfs/dbraw/zinc/08/09/48/879080948.db2.gz FFBJDPGXSYNGKI-AWEZNQCLSA-N 1 2 305.426 1.411 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001356698628 879201422 /nfs/dbraw/zinc/20/14/22/879201422.db2.gz FCTMYKIUFUDJIT-LSDHHAIUSA-N 1 2 307.438 1.211 20 30 DDEDLO C#CCCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@H](C)CC)CC1 ZINC001356699865 879203767 /nfs/dbraw/zinc/20/37/67/879203767.db2.gz WFSGIHGMOZRNMZ-MRXNPFEDSA-N 1 2 321.465 1.439 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001356825015 879433936 /nfs/dbraw/zinc/43/39/36/879433936.db2.gz GIBZWNSUAKXPOE-UHFFFAOYSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]2CN(C(=O)c3ccoc3)[C@@H]2C1 ZINC001221268064 879633372 /nfs/dbraw/zinc/63/33/72/879633372.db2.gz LPEUPXIBCAXTEL-GZBFAFLISA-N 1 2 317.389 1.117 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]2CN(C(=O)c3ccoc3)[C@@H]2C1 ZINC001221268064 879633383 /nfs/dbraw/zinc/63/33/83/879633383.db2.gz LPEUPXIBCAXTEL-GZBFAFLISA-N 1 2 317.389 1.117 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](NC(=O)Cn1cc[nH+]c1)C(C)C ZINC001357097806 879790030 /nfs/dbraw/zinc/79/00/30/879790030.db2.gz UJHMXVJEMJSGAX-AWEZNQCLSA-N 1 2 320.437 1.742 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001357344449 879926815 /nfs/dbraw/zinc/92/68/15/879926815.db2.gz VBLIWPNQHOVKHP-LSDHHAIUSA-N 1 2 316.405 1.088 20 30 DDEDLO Cn1cncc1C[N@@H+]1CC[C@@H]2CN(C(=O)C#CC(C)(C)C)[C@@H]2C1 ZINC001221536638 879931797 /nfs/dbraw/zinc/93/17/97/879931797.db2.gz YHDOCDGELZRLSF-GDBMZVCRSA-N 1 2 314.433 1.502 20 30 DDEDLO Cn1cncc1C[N@H+]1CC[C@@H]2CN(C(=O)C#CC(C)(C)C)[C@@H]2C1 ZINC001221536638 879931807 /nfs/dbraw/zinc/93/18/07/879931807.db2.gz YHDOCDGELZRLSF-GDBMZVCRSA-N 1 2 314.433 1.502 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221535349 879933084 /nfs/dbraw/zinc/93/30/84/879933084.db2.gz YBQYAEBKGHVADU-NVXWUHKLSA-N 1 2 312.417 1.477 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221535349 879933093 /nfs/dbraw/zinc/93/30/93/879933093.db2.gz YBQYAEBKGHVADU-NVXWUHKLSA-N 1 2 312.417 1.477 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C3(C)CC(=C)C3)[C@@H]2C1 ZINC001221802683 880095441 /nfs/dbraw/zinc/09/54/41/880095441.db2.gz PMGBLLXPJUKJNC-HUUCEWRRSA-N 1 2 317.433 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C3(C)CC(=C)C3)[C@@H]2C1 ZINC001221802683 880095449 /nfs/dbraw/zinc/09/54/49/880095449.db2.gz PMGBLLXPJUKJNC-HUUCEWRRSA-N 1 2 317.433 1.178 20 30 DDEDLO CCCCCCCCC(=O)N1C[C@H]2CC[N@H+](CC(N)=O)C[C@H]21 ZINC001221973636 880171651 /nfs/dbraw/zinc/17/16/51/880171651.db2.gz HEVVYVANJKIEAD-HUUCEWRRSA-N 1 2 309.454 1.755 20 30 DDEDLO CCCCCCCCC(=O)N1C[C@H]2CC[N@@H+](CC(N)=O)C[C@H]21 ZINC001221973636 880171656 /nfs/dbraw/zinc/17/16/56/880171656.db2.gz HEVVYVANJKIEAD-HUUCEWRRSA-N 1 2 309.454 1.755 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)CCOC)[C@@H]2C1 ZINC001222033480 880193099 /nfs/dbraw/zinc/19/30/99/880193099.db2.gz VFRFRTHLEMURDI-MRVWCRGKSA-N 1 2 300.830 1.944 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)CCOC)[C@@H]2C1 ZINC001222033480 880193108 /nfs/dbraw/zinc/19/31/08/880193108.db2.gz VFRFRTHLEMURDI-MRVWCRGKSA-N 1 2 300.830 1.944 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C/CNC(=O)c1cccc2[nH+]ccn21 ZINC001357804593 880206897 /nfs/dbraw/zinc/20/68/97/880206897.db2.gz WXXLVNUAOREKQL-ONEGZZNKSA-N 1 2 324.384 1.396 20 30 DDEDLO C[N@H+](CC#CCN1C(=O)c2ccccc2C1=O)Cc1cccnc1 ZINC001473346236 880310577 /nfs/dbraw/zinc/31/05/77/880310577.db2.gz VYPYSSAHFSDPIC-UHFFFAOYSA-N 1 2 319.364 1.813 20 30 DDEDLO C[N@@H+](CC#CCN1C(=O)c2ccccc2C1=O)Cc1cccnc1 ZINC001473346236 880310587 /nfs/dbraw/zinc/31/05/87/880310587.db2.gz VYPYSSAHFSDPIC-UHFFFAOYSA-N 1 2 319.364 1.813 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001358303816 880397265 /nfs/dbraw/zinc/39/72/65/880397265.db2.gz XJTYUDXEUHIDSV-VXGBXAGGSA-N 1 2 306.410 1.421 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001358303816 880397278 /nfs/dbraw/zinc/39/72/78/880397278.db2.gz XJTYUDXEUHIDSV-VXGBXAGGSA-N 1 2 306.410 1.421 20 30 DDEDLO CCc1nnc(C[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)s1 ZINC001222641265 880617739 /nfs/dbraw/zinc/61/77/39/880617739.db2.gz IJVFAAKRSQRHFS-LLVKDONJSA-N 1 2 321.450 1.588 20 30 DDEDLO C#CCCCCC(=O)NCC1CC[NH+](Cc2nc(C)no2)CC1 ZINC001222872425 880728737 /nfs/dbraw/zinc/72/87/37/880728737.db2.gz OBARDAQZBMCMER-UHFFFAOYSA-N 1 2 318.421 1.900 20 30 DDEDLO C#CCCCC(=O)NCC1CC[NH+](Cc2cnn(CC)n2)CC1 ZINC001222986808 880772300 /nfs/dbraw/zinc/77/23/00/880772300.db2.gz AKYAEEAZJCHREP-UHFFFAOYSA-N 1 2 317.437 1.430 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3C[C@@H](C2)N3Cc2cccc(N)c2)cc1C#N ZINC001276859940 880779541 /nfs/dbraw/zinc/77/95/41/880779541.db2.gz CRDTUKMDVFGJND-KDURUIRLSA-N 1 2 321.428 1.938 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3C[C@@H](C2)N3Cc2cccc(N)c2)cc1C#N ZINC001276859940 880779545 /nfs/dbraw/zinc/77/95/45/880779545.db2.gz CRDTUKMDVFGJND-KDURUIRLSA-N 1 2 321.428 1.938 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001276860456 880805637 /nfs/dbraw/zinc/80/56/37/880805637.db2.gz JJIFVZXOENSPBP-GJZGRUSLSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001276860456 880805651 /nfs/dbraw/zinc/80/56/51/880805651.db2.gz JJIFVZXOENSPBP-GJZGRUSLSA-N 1 2 321.465 1.789 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CNC(=O)Cn2cncc2C)CC1 ZINC001223832756 881115482 /nfs/dbraw/zinc/11/54/82/881115482.db2.gz VULITOSHBYSHDR-UHFFFAOYSA-N 1 2 310.829 1.772 20 30 DDEDLO Cn1ccnc1NC(=O)[C@]12C[C@H]1CC[N@@H+]2Cc1ccc(C#N)cc1 ZINC001276928964 881136488 /nfs/dbraw/zinc/13/64/88/881136488.db2.gz GTAIPSCGGKJSQY-QAPCUYQASA-N 1 2 321.384 1.895 20 30 DDEDLO Cn1ccnc1NC(=O)[C@]12C[C@H]1CC[N@H+]2Cc1ccc(C#N)cc1 ZINC001276928964 881136491 /nfs/dbraw/zinc/13/64/91/881136491.db2.gz GTAIPSCGGKJSQY-QAPCUYQASA-N 1 2 321.384 1.895 20 30 DDEDLO N#Cc1cccc([C@@H](O)C[N@H+]2Cc3ccccc3C[C@H]2C(N)=O)c1 ZINC001414042067 881175584 /nfs/dbraw/zinc/17/55/84/881175584.db2.gz ZDLQAHAXLBIPCB-ROUUACIJSA-N 1 2 321.380 1.504 20 30 DDEDLO N#Cc1cccc([C@@H](O)C[N@@H+]2Cc3ccccc3C[C@H]2C(N)=O)c1 ZINC001414042067 881175604 /nfs/dbraw/zinc/17/56/04/881175604.db2.gz ZDLQAHAXLBIPCB-ROUUACIJSA-N 1 2 321.380 1.504 20 30 DDEDLO N#Cc1c(Cl)cccc1C[N@H+]1C[C@H]2C[C@@H](CC(N)=O)O[C@H]2C1 ZINC001276943603 881205176 /nfs/dbraw/zinc/20/51/76/881205176.db2.gz HXPASQREKJMXOH-XUJVJEKNSA-N 1 2 319.792 1.676 20 30 DDEDLO N#Cc1c(Cl)cccc1C[N@@H+]1C[C@H]2C[C@@H](CC(N)=O)O[C@H]2C1 ZINC001276943603 881205196 /nfs/dbraw/zinc/20/51/96/881205196.db2.gz HXPASQREKJMXOH-XUJVJEKNSA-N 1 2 319.792 1.676 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288029869 912676280 /nfs/dbraw/zinc/67/62/80/912676280.db2.gz RLLASLRCXQUWOF-STQMWFEESA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001288029866 912676592 /nfs/dbraw/zinc/67/65/92/912676592.db2.gz RLLASLRCXQUWOF-CHWSQXEVSA-N 1 2 304.394 1.320 20 30 DDEDLO CC#CCCCC(=O)NC1CC[NH+]([C@H](C)c2nnc(C)[nH]2)CC1 ZINC001228779755 883667231 /nfs/dbraw/zinc/66/72/31/883667231.db2.gz SPRMKXXCDACWST-CYBMUJFWSA-N 1 2 317.437 1.948 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001230407204 884472691 /nfs/dbraw/zinc/47/26/91/884472691.db2.gz KCIZSXPDYYXXBZ-ZDUSSCGKSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+](Cc2nccc(C)n2)CC1 ZINC001230406905 884472978 /nfs/dbraw/zinc/47/29/78/884472978.db2.gz GNBRORXUTOBVBF-CQSZACIVSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nc(C)c(C)o2)CC1 ZINC001230407339 884475420 /nfs/dbraw/zinc/47/54/20/884475420.db2.gz NWOMVCGSKBHFHL-AWEZNQCLSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2nccs2)CC1 ZINC001230411258 884477969 /nfs/dbraw/zinc/47/79/69/884477969.db2.gz BBYAEPDIIYUYGV-UHFFFAOYSA-N 1 2 309.435 1.816 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1sccc1C ZINC001230587120 884623222 /nfs/dbraw/zinc/62/32/22/884623222.db2.gz BVFPLYOFLHKCFJ-AWEZNQCLSA-N 1 2 306.431 1.853 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1sccc1C ZINC001230587120 884623227 /nfs/dbraw/zinc/62/32/27/884623227.db2.gz BVFPLYOFLHKCFJ-AWEZNQCLSA-N 1 2 306.431 1.853 20 30 DDEDLO CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230663141 884715799 /nfs/dbraw/zinc/71/57/99/884715799.db2.gz DZNNVRKIFZNAOV-OAHLLOKOSA-N 1 2 319.380 1.767 20 30 DDEDLO CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230663141 884715820 /nfs/dbraw/zinc/71/58/20/884715820.db2.gz DZNNVRKIFZNAOV-OAHLLOKOSA-N 1 2 319.380 1.767 20 30 DDEDLO C=CCCOCC(=O)NCCC[N@@H+](CCF)Cc1cccnc1 ZINC001230931854 885056152 /nfs/dbraw/zinc/05/61/52/885056152.db2.gz HTLVIRURJWTBJD-UHFFFAOYSA-N 1 2 323.412 1.952 20 30 DDEDLO C=CCCOCC(=O)NCCC[N@H+](CCF)Cc1cccnc1 ZINC001230931854 885056167 /nfs/dbraw/zinc/05/61/67/885056167.db2.gz HTLVIRURJWTBJD-UHFFFAOYSA-N 1 2 323.412 1.952 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001231123954 885260012 /nfs/dbraw/zinc/26/00/12/885260012.db2.gz YDOHAQCDZCHLSW-LSDHHAIUSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NCC(C)C ZINC001231123954 885260024 /nfs/dbraw/zinc/26/00/24/885260024.db2.gz YDOHAQCDZCHLSW-LSDHHAIUSA-N 1 2 309.454 1.646 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccccc1C#N ZINC001231217290 885388920 /nfs/dbraw/zinc/38/89/20/885388920.db2.gz PNPSFVPUDPIBJZ-PBHICJAKSA-N 1 2 315.417 1.873 20 30 DDEDLO COC[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccccc1C#N ZINC001231217290 885388942 /nfs/dbraw/zinc/38/89/42/885388942.db2.gz PNPSFVPUDPIBJZ-PBHICJAKSA-N 1 2 315.417 1.873 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)c1cnc(C)cn1 ZINC001231241821 885421001 /nfs/dbraw/zinc/42/10/01/885421001.db2.gz ZSUWFUOURCYFRX-HUUCEWRRSA-N 1 2 318.421 1.581 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)c1cnc(C)cn1 ZINC001231241821 885421015 /nfs/dbraw/zinc/42/10/15/885421015.db2.gz ZSUWFUOURCYFRX-HUUCEWRRSA-N 1 2 318.421 1.581 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCCCCC1 ZINC001231248532 885432611 /nfs/dbraw/zinc/43/26/11/885432611.db2.gz WJJFAIKZOPEIRQ-CABCVRRESA-N 1 2 320.437 1.081 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCCCCC1 ZINC001231248532 885432615 /nfs/dbraw/zinc/43/26/15/885432615.db2.gz WJJFAIKZOPEIRQ-CABCVRRESA-N 1 2 320.437 1.081 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2CC23CC[NH+](Cc2cocn2)CC3)CCC1 ZINC001277652112 886270981 /nfs/dbraw/zinc/27/09/81/886270981.db2.gz ZIGDZPPEDCSFPM-AWEZNQCLSA-N 1 2 314.389 1.839 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C1C[NH+](Cc2ccn(C)c(=O)c2)C1 ZINC001277665852 886390281 /nfs/dbraw/zinc/39/02/81/886390281.db2.gz GKMLFUQTTSJKOS-UHFFFAOYSA-N 1 2 317.433 1.630 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)CCCn1cc[nH+]c1 ZINC001363474810 886435857 /nfs/dbraw/zinc/43/58/57/886435857.db2.gz RZHMGILFLQACKC-MRXNPFEDSA-N 1 2 312.373 1.727 20 30 DDEDLO N#Cc1ccc(O)c(C[NH+]2CCC3(CC2)CC(=O)NC(=O)C3)c1 ZINC001232677201 886529176 /nfs/dbraw/zinc/52/91/76/886529176.db2.gz CEZRHHHDCICGEZ-UHFFFAOYSA-N 1 2 313.357 1.283 20 30 DDEDLO CC(C)NC(=O)N[C@H]1CCC[N@H+](Cc2cc(C#N)ccc2O)C1 ZINC001232681553 886535275 /nfs/dbraw/zinc/53/52/75/886535275.db2.gz QLYOHOWQZJKJMF-HNNXBMFYSA-N 1 2 316.405 1.936 20 30 DDEDLO CC(C)NC(=O)N[C@H]1CCC[N@@H+](Cc2cc(C#N)ccc2O)C1 ZINC001232681553 886535282 /nfs/dbraw/zinc/53/52/82/886535282.db2.gz QLYOHOWQZJKJMF-HNNXBMFYSA-N 1 2 316.405 1.936 20 30 DDEDLO CN(C)C(=O)NC1CC[NH+](Cc2cc(F)ccc2C#N)CC1 ZINC001232894519 886655879 /nfs/dbraw/zinc/65/58/79/886655879.db2.gz YCTJTXAGPIMNQP-UHFFFAOYSA-N 1 2 304.369 1.933 20 30 DDEDLO N#Cc1cnc(N2CCCC3(C[NH+](Cc4cn[nH]c4)C3)C2)nc1 ZINC001277736260 886678131 /nfs/dbraw/zinc/67/81/31/886678131.db2.gz AOKUSMKFFDEFFI-UHFFFAOYSA-N 1 2 309.377 1.174 20 30 DDEDLO COC(=O)CC1C[NH+](Cc2ccc(N(C)C)c(C#N)c2F)C1 ZINC001233100933 886786036 /nfs/dbraw/zinc/78/60/36/886786036.db2.gz OPEKCVZIIGAONX-UHFFFAOYSA-N 1 2 305.353 1.758 20 30 DDEDLO Cc1ccc(C#N)c(NC2CC[NH+]([C@@H]3CCOC3=O)CC2)n1 ZINC001363728789 887086237 /nfs/dbraw/zinc/08/62/37/887086237.db2.gz RVZAKEGXLJASSV-CQSZACIVSA-N 1 2 300.362 1.454 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C=C1CCC1 ZINC001233636424 887178783 /nfs/dbraw/zinc/17/87/83/887178783.db2.gz YRZNAPZLJNEPFM-UKRRQHHQSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C=C1CCC1 ZINC001233636424 887178793 /nfs/dbraw/zinc/17/87/93/887178793.db2.gz YRZNAPZLJNEPFM-UKRRQHHQSA-N 1 2 305.422 1.320 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccncc1C ZINC001234087404 887631908 /nfs/dbraw/zinc/63/19/08/887631908.db2.gz JOBCPZOYVMELFC-OAHLLOKOSA-N 1 2 301.390 1.186 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccncc1C ZINC001234087404 887631913 /nfs/dbraw/zinc/63/19/13/887631913.db2.gz JOBCPZOYVMELFC-OAHLLOKOSA-N 1 2 301.390 1.186 20 30 DDEDLO Cn1c[nH+]c(CCNS(=O)(=O)c2cccc(Cl)c2C#N)c1 ZINC001364005505 887708134 /nfs/dbraw/zinc/70/81/34/887708134.db2.gz UFNYMKYOOBDFDO-UHFFFAOYSA-N 1 2 324.793 1.466 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)N(C)C(C)C ZINC001234170988 887708559 /nfs/dbraw/zinc/70/85/59/887708559.db2.gz NFIDYOYLAXYDOM-JKSUJKDBSA-N 1 2 321.465 1.434 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)N(C)C(C)C ZINC001234170988 887708565 /nfs/dbraw/zinc/70/85/65/887708565.db2.gz NFIDYOYLAXYDOM-JKSUJKDBSA-N 1 2 321.465 1.434 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NC ZINC001234553825 888086028 /nfs/dbraw/zinc/08/60/28/888086028.db2.gz AGDLMLFJAIHMRP-JQWIXIFHSA-N 1 2 315.845 1.432 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NC ZINC001234553825 888086046 /nfs/dbraw/zinc/08/60/46/888086046.db2.gz AGDLMLFJAIHMRP-JQWIXIFHSA-N 1 2 315.845 1.432 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1ncc(C2CC2)o1 ZINC001235698632 888896111 /nfs/dbraw/zinc/89/61/11/888896111.db2.gz NFRYBSXBINHFAA-UHFFFAOYSA-N 1 2 307.394 1.693 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1ncc(C2CC2)o1 ZINC001235698632 888896129 /nfs/dbraw/zinc/89/61/29/888896129.db2.gz NFRYBSXBINHFAA-UHFFFAOYSA-N 1 2 307.394 1.693 20 30 DDEDLO CC(C)c1nsc(C[N@H+](C)CCCc2[nH]nc(N)c2C#N)n1 ZINC001364683796 889188850 /nfs/dbraw/zinc/18/88/50/889188850.db2.gz DIMUIAUJBQAWTE-UHFFFAOYSA-N 1 2 319.438 1.903 20 30 DDEDLO CC(C)c1nsc(C[N@@H+](C)CCCc2[nH]nc(N)c2C#N)n1 ZINC001364683796 889188858 /nfs/dbraw/zinc/18/88/58/889188858.db2.gz DIMUIAUJBQAWTE-UHFFFAOYSA-N 1 2 319.438 1.903 20 30 DDEDLO Cc1nnc2n1CC[N@@H+](CCC(=O)Nc1ccc(C#N)cc1)[C@H]2C ZINC001364844216 889530742 /nfs/dbraw/zinc/53/07/42/889530742.db2.gz KARVVKYKIQCQDH-LBPRGKRZSA-N 1 2 324.388 1.864 20 30 DDEDLO Cc1nnc2n1CC[N@H+](CCC(=O)Nc1ccc(C#N)cc1)[C@H]2C ZINC001364844216 889530747 /nfs/dbraw/zinc/53/07/47/889530747.db2.gz KARVVKYKIQCQDH-LBPRGKRZSA-N 1 2 324.388 1.864 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CCN4CC(F)(F)C[C@H]4C3)cn2c1 ZINC001237607492 889746856 /nfs/dbraw/zinc/74/68/56/889746856.db2.gz XCUBIVLGVQSIDX-AWEZNQCLSA-N 1 2 317.343 1.731 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CCN4CC(F)(F)C[C@H]4C3)cn2c1 ZINC001237607492 889746875 /nfs/dbraw/zinc/74/68/75/889746875.db2.gz XCUBIVLGVQSIDX-AWEZNQCLSA-N 1 2 317.343 1.731 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CCC[C@@H](NC(=O)C4CC4)C3)cn2c1 ZINC001237611637 889754465 /nfs/dbraw/zinc/75/44/65/889754465.db2.gz QILPVQRZLCZKLG-OAHLLOKOSA-N 1 2 323.400 1.697 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CCC[C@@H](NC(=O)C4CC4)C3)cn2c1 ZINC001237611637 889754472 /nfs/dbraw/zinc/75/44/72/889754472.db2.gz QILPVQRZLCZKLG-OAHLLOKOSA-N 1 2 323.400 1.697 20 30 DDEDLO C=CCN(CC=C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cn[nH]c1 ZINC001278297557 890662593 /nfs/dbraw/zinc/66/25/93/890662593.db2.gz RUUWSAUWFGBCJQ-IYBDPMFKSA-N 1 2 315.421 1.852 20 30 DDEDLO C=CCN(CC=C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cn[nH]c1 ZINC001278297557 890662598 /nfs/dbraw/zinc/66/25/98/890662598.db2.gz RUUWSAUWFGBCJQ-IYBDPMFKSA-N 1 2 315.421 1.852 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2cc(C#N)ccc2C)c[nH+]1 ZINC001365469792 890854886 /nfs/dbraw/zinc/85/48/86/890854886.db2.gz UZXVFAHIXRWBKQ-UHFFFAOYSA-N 1 2 304.375 1.631 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)c2cc(C#N)ccc2C)[nH+]1 ZINC001365469792 890854897 /nfs/dbraw/zinc/85/48/97/890854897.db2.gz UZXVFAHIXRWBKQ-UHFFFAOYSA-N 1 2 304.375 1.631 20 30 DDEDLO CC#Cc1cncc(-c2cc(C(=O)N3CC[NH+](C)CC3)ccn2)c1 ZINC001242937680 891556166 /nfs/dbraw/zinc/55/61/66/891556166.db2.gz APOTWUBJDWYXBN-UHFFFAOYSA-N 1 2 320.396 1.903 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)COC)CC[N@@H+]1Cc1cc(F)ccc1C#N ZINC001365866019 891748812 /nfs/dbraw/zinc/74/88/12/891748812.db2.gz VMXYGNHLZJJIHW-JKSUJKDBSA-N 1 2 319.380 1.813 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)COC)CC[N@H+]1Cc1cc(F)ccc1C#N ZINC001365866019 891748822 /nfs/dbraw/zinc/74/88/22/891748822.db2.gz VMXYGNHLZJJIHW-JKSUJKDBSA-N 1 2 319.380 1.813 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@]1(C)CC[N@H+](Cc2ncc(C)o2)C1 ZINC001278387628 891897579 /nfs/dbraw/zinc/89/75/79/891897579.db2.gz CTXIWLHRFQQRGB-XJKSGUPXSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@]1(C)CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001278387628 891897588 /nfs/dbraw/zinc/89/75/88/891897588.db2.gz CTXIWLHRFQQRGB-XJKSGUPXSA-N 1 2 305.378 1.102 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H](NC(=O)[C@H](C)C#N)C2)sn1 ZINC001365932222 891956035 /nfs/dbraw/zinc/95/60/35/891956035.db2.gz YLRMWPQHILAVFT-GHMZBOCLSA-N 1 2 308.407 1.392 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H](NC(=O)[C@H](C)C#N)C2)sn1 ZINC001365932222 891956045 /nfs/dbraw/zinc/95/60/45/891956045.db2.gz YLRMWPQHILAVFT-GHMZBOCLSA-N 1 2 308.407 1.392 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCc2cnccn2)C1 ZINC001365957544 892039707 /nfs/dbraw/zinc/03/97/07/892039707.db2.gz UFTGUTANFAUXPN-CQSZACIVSA-N 1 2 308.813 1.742 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCc2cnccn2)C1 ZINC001365957544 892039725 /nfs/dbraw/zinc/03/97/25/892039725.db2.gz UFTGUTANFAUXPN-CQSZACIVSA-N 1 2 308.813 1.742 20 30 DDEDLO CC[C@@H](OC)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365981606 892120942 /nfs/dbraw/zinc/12/09/42/892120942.db2.gz YWJKMLDKBFQKHJ-GDBMZVCRSA-N 1 2 319.380 1.813 20 30 DDEDLO CC[C@@H](OC)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365981606 892120952 /nfs/dbraw/zinc/12/09/52/892120952.db2.gz YWJKMLDKBFQKHJ-GDBMZVCRSA-N 1 2 319.380 1.813 20 30 DDEDLO CCN(CC[NH2+][C@H](C)c1nnc(C)o1)C(=O)c1c[nH]c(C#N)c1 ZINC001374711928 913653928 /nfs/dbraw/zinc/65/39/28/913653928.db2.gz NZDCCFVWONUDIE-SNVBAGLBSA-N 1 2 316.365 1.391 20 30 DDEDLO CCc1nocc1C[N@H+](C)CCNC(=O)c1c[nH]c(C#N)c1 ZINC001366517179 893821230 /nfs/dbraw/zinc/82/12/30/893821230.db2.gz AIPHJCJPHCOISW-UHFFFAOYSA-N 1 2 301.350 1.299 20 30 DDEDLO CCc1nocc1C[N@@H+](C)CCNC(=O)c1c[nH]c(C#N)c1 ZINC001366517179 893821246 /nfs/dbraw/zinc/82/12/46/893821246.db2.gz AIPHJCJPHCOISW-UHFFFAOYSA-N 1 2 301.350 1.299 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cnn(Cc3ccc(OC)cc3)c2)CC1 ZINC001249350164 893940708 /nfs/dbraw/zinc/94/07/08/893940708.db2.gz DSOAJRAFJLVCMI-UHFFFAOYSA-N 1 2 324.428 1.691 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC(C)C)[C@H]1C ZINC001278516614 893993214 /nfs/dbraw/zinc/99/32/14/893993214.db2.gz VFCQYXQRUTYNMS-GJZGRUSLSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC(C)C)[C@H]1C ZINC001278516614 893993222 /nfs/dbraw/zinc/99/32/22/893993222.db2.gz VFCQYXQRUTYNMS-GJZGRUSLSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CCOC[C@H](O)C[N@@H+]1CCN2C(=O)c3ccccc3[C@@H]2C1 ZINC001252461174 895170453 /nfs/dbraw/zinc/17/04/53/895170453.db2.gz MZWRLPUKMJIAQP-CJNGLKHVSA-N 1 2 302.374 1.063 20 30 DDEDLO C=CCOC[C@H](O)C[N@H+]1CCN2C(=O)c3ccccc3[C@@H]2C1 ZINC001252461174 895170457 /nfs/dbraw/zinc/17/04/57/895170457.db2.gz MZWRLPUKMJIAQP-CJNGLKHVSA-N 1 2 302.374 1.063 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)COC[C@H]2CCCO2)C1 ZINC001366912298 895320496 /nfs/dbraw/zinc/32/04/96/895320496.db2.gz PGUMQLPJJBSTOT-UONOGXRCSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)COC[C@H]2CCCO2)C1 ZINC001366912298 895320511 /nfs/dbraw/zinc/32/05/11/895320511.db2.gz PGUMQLPJJBSTOT-UONOGXRCSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001367071319 895751255 /nfs/dbraw/zinc/75/12/55/895751255.db2.gz MFJIURINZJEDMZ-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001367071319 895751265 /nfs/dbraw/zinc/75/12/65/895751265.db2.gz MFJIURINZJEDMZ-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H](NC(=O)[C@H](C)C#N)CC(C)(C)C2)[nH]1 ZINC001388833509 896011462 /nfs/dbraw/zinc/01/14/62/896011462.db2.gz DEGYSLCTQDBUKQ-YPMHNXCESA-N 1 2 318.425 1.380 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H](NC(=O)[C@H](C)C#N)CC(C)(C)C2)[nH]1 ZINC001388833509 896011472 /nfs/dbraw/zinc/01/14/72/896011472.db2.gz DEGYSLCTQDBUKQ-YPMHNXCESA-N 1 2 318.425 1.380 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]2C[N@H+]([C@@H]3CC[C@@H](C#N)C3)C[C@H](C1)O2 ZINC001254658627 896553222 /nfs/dbraw/zinc/55/32/22/896553222.db2.gz JHSNHGPQDPDWIL-TUVASFSCSA-N 1 2 321.421 1.999 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]2C[N@@H+]([C@@H]3CC[C@@H](C#N)C3)C[C@H](C1)O2 ZINC001254658627 896553239 /nfs/dbraw/zinc/55/32/39/896553239.db2.gz JHSNHGPQDPDWIL-TUVASFSCSA-N 1 2 321.421 1.999 20 30 DDEDLO CC(C)[C@H](CNC(=O)[C@@H](C)C#N)[NH2+]Cc1noc(C2CCC2)n1 ZINC001367462998 896827110 /nfs/dbraw/zinc/82/71/10/896827110.db2.gz DRZCBXYAFUUSND-AAEUAGOBSA-N 1 2 319.409 1.727 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)CC2(c3ccccc3)CC2)C1 ZINC001278872439 897011083 /nfs/dbraw/zinc/01/10/83/897011083.db2.gz IPDRBYKBLBLYDO-UHFFFAOYSA-N 1 2 312.413 1.295 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)C[C@@H]2CC[C@H](C3CC3)O2)C1 ZINC001278891816 897136980 /nfs/dbraw/zinc/13/69/80/897136980.db2.gz QWWAZGWHFMZZOQ-LSDHHAIUSA-N 1 2 308.422 1.073 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@H](NC(=O)[C@H](C)C#N)C2CC2)n1 ZINC001367896527 898142269 /nfs/dbraw/zinc/14/22/69/898142269.db2.gz QXHGTSSUVYZEIE-PWSUYJOCSA-N 1 2 305.382 1.166 20 30 DDEDLO COc1ncccc1C[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H](C)C#N ZINC001390103601 898687334 /nfs/dbraw/zinc/68/73/34/898687334.db2.gz GKPRBBCYXTUKMB-ZFWWWQNUSA-N 1 2 316.405 1.721 20 30 DDEDLO COc1ncccc1C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H](C)C#N ZINC001390103601 898687352 /nfs/dbraw/zinc/68/73/52/898687352.db2.gz GKPRBBCYXTUKMB-ZFWWWQNUSA-N 1 2 316.405 1.721 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnn(CC)c1)O2 ZINC001261373936 899521930 /nfs/dbraw/zinc/52/19/30/899521930.db2.gz RSLQRIBIBAXWAG-CQSZACIVSA-N 1 2 304.394 1.052 20 30 DDEDLO CS(=O)(=O)c1ccc(-c2noc([C@@H]([NH3+])CCCCC#N)n2)o1 ZINC001261554651 899635416 /nfs/dbraw/zinc/63/54/16/899635416.db2.gz JESVNCSQRGMHPI-VIFPVBQESA-N 1 2 324.362 1.817 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC001261700775 899717846 /nfs/dbraw/zinc/71/78/46/899717846.db2.gz PCPSYXPGECHFDA-GASCZTMLSA-N 1 2 324.384 1.660 20 30 DDEDLO O=C(OCC#Cc1csc(C[NH+]2CCOCC2)c1)[C@H]1C[C@H]1F ZINC001263660892 900664546 /nfs/dbraw/zinc/66/45/46/900664546.db2.gz SJFYNXXKJYLSQA-LSDHHAIUSA-N 1 2 323.389 1.833 20 30 DDEDLO CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#CCOC)CCOCC1 ZINC001263816577 900730125 /nfs/dbraw/zinc/73/01/25/900730125.db2.gz DZXLKXQNQCINGN-INIZCTEOSA-N 1 2 322.449 1.376 20 30 DDEDLO CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#CCOC)CCOCC1 ZINC001263816577 900730129 /nfs/dbraw/zinc/73/01/29/900730129.db2.gz DZXLKXQNQCINGN-INIZCTEOSA-N 1 2 322.449 1.376 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C(C)(C)C ZINC001264363595 901040195 /nfs/dbraw/zinc/04/01/95/901040195.db2.gz VDYOWPJRSRHSRN-KBPBESRZSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C(C)(C)C ZINC001264363595 901040203 /nfs/dbraw/zinc/04/02/03/901040203.db2.gz VDYOWPJRSRHSRN-KBPBESRZSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[C@@H]([NH2+]Cc2noc(CC)n2)C1 ZINC001264455101 901133022 /nfs/dbraw/zinc/13/30/22/901133022.db2.gz WYWOVMYXQFWYMX-QWHCGFSZSA-N 1 2 306.410 1.973 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@H]1CC[N@H+](Cc2nn(C)cc2Cl)C1 ZINC001391293219 901409291 /nfs/dbraw/zinc/40/92/91/901409291.db2.gz JZYMRZZVAGPLIH-RYUDHWBXSA-N 1 2 323.828 1.561 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[C@H]1CC[N@@H+](Cc2nn(C)cc2Cl)C1 ZINC001391293219 901409297 /nfs/dbraw/zinc/40/92/97/901409297.db2.gz JZYMRZZVAGPLIH-RYUDHWBXSA-N 1 2 323.828 1.561 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@@H+](C)Cc2coc(C)n2)cn1 ZINC001265060057 901505414 /nfs/dbraw/zinc/50/54/14/901505414.db2.gz USKYWVNDRKFWBD-UHFFFAOYSA-N 1 2 312.373 1.611 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@H+](C)Cc2coc(C)n2)cn1 ZINC001265060057 901505424 /nfs/dbraw/zinc/50/54/24/901505424.db2.gz USKYWVNDRKFWBD-UHFFFAOYSA-N 1 2 312.373 1.611 20 30 DDEDLO COCC[N@H+](CCNC(=O)C1CC1)Cc1ccccc1C#N ZINC001369548047 901508915 /nfs/dbraw/zinc/50/89/15/901508915.db2.gz BNLFMQKEJXTORH-UHFFFAOYSA-N 1 2 301.390 1.533 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C1CC1)Cc1ccccc1C#N ZINC001369548047 901508918 /nfs/dbraw/zinc/50/89/18/901508918.db2.gz BNLFMQKEJXTORH-UHFFFAOYSA-N 1 2 301.390 1.533 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@@H](CC)C(C)C)C1 ZINC001265231449 901747267 /nfs/dbraw/zinc/74/72/67/901747267.db2.gz YVOSBNIHMAWBCK-CVEARBPZSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H](CC)C(C)C)C1 ZINC001265231449 901747279 /nfs/dbraw/zinc/74/72/79/901747279.db2.gz YVOSBNIHMAWBCK-CVEARBPZSA-N 1 2 321.465 1.389 20 30 DDEDLO CO[C@H](C)C(=O)N[C@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265272156 901800761 /nfs/dbraw/zinc/80/07/61/901800761.db2.gz CAPPGLLBGVPYMO-CJNGLKHVSA-N 1 2 304.365 1.403 20 30 DDEDLO CO[C@H](C)C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265272156 901800770 /nfs/dbraw/zinc/80/07/70/901800770.db2.gz CAPPGLLBGVPYMO-CJNGLKHVSA-N 1 2 304.365 1.403 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2noc(-c3ccoc3)n2)C1 ZINC001265896010 902554316 /nfs/dbraw/zinc/55/43/16/902554316.db2.gz XXQOXWFMGDOPAW-ZDUSSCGKSA-N 1 2 316.361 1.986 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001293699662 914644758 /nfs/dbraw/zinc/64/47/58/914644758.db2.gz ZOAYPKBZCCWXLJ-SUMWQHHRSA-N 1 2 318.421 1.614 20 30 DDEDLO C#CCCCC(=O)N1CCCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001293728940 914661607 /nfs/dbraw/zinc/66/16/07/914661607.db2.gz JWBDHDVXPRMZBY-UHFFFAOYSA-N 1 2 316.405 1.138 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C)C[N@H+](C)Cc1cnc(Cl)s1 ZINC001375019693 914664144 /nfs/dbraw/zinc/66/41/44/914664144.db2.gz MOMRUZDREIPLDV-RKDXNWHRSA-N 1 2 300.815 1.893 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C)C[N@@H+](C)Cc1cnc(Cl)s1 ZINC001375019693 914664155 /nfs/dbraw/zinc/66/41/55/914664155.db2.gz MOMRUZDREIPLDV-RKDXNWHRSA-N 1 2 300.815 1.893 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001266094202 902925676 /nfs/dbraw/zinc/92/56/76/902925676.db2.gz QISALPNTBWOFOL-AWEZNQCLSA-N 1 2 321.421 1.862 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001266094202 902925684 /nfs/dbraw/zinc/92/56/84/902925684.db2.gz QISALPNTBWOFOL-AWEZNQCLSA-N 1 2 321.421 1.862 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](CCCNC(=O)[C@H](C)C#N)C2CC2)[nH]1 ZINC001266126387 902989576 /nfs/dbraw/zinc/98/95/76/902989576.db2.gz WKXHYTFXAIMDMK-GHMZBOCLSA-N 1 2 304.398 1.305 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](CCCNC(=O)[C@H](C)C#N)C2CC2)[nH]1 ZINC001266126387 902989582 /nfs/dbraw/zinc/98/95/82/902989582.db2.gz WKXHYTFXAIMDMK-GHMZBOCLSA-N 1 2 304.398 1.305 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@H](NC(=O)C#CC(C)C)C2)sn1 ZINC001266214142 903127307 /nfs/dbraw/zinc/12/73/07/903127307.db2.gz BEQCWTWWKNHXON-ZDUSSCGKSA-N 1 2 321.446 1.892 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@H](NC(=O)C#CC(C)C)C2)sn1 ZINC001266214142 903127315 /nfs/dbraw/zinc/12/73/15/903127315.db2.gz BEQCWTWWKNHXON-ZDUSSCGKSA-N 1 2 321.446 1.892 20 30 DDEDLO CCCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001266218557 903132453 /nfs/dbraw/zinc/13/24/53/903132453.db2.gz RIHQHBBBHMIRNE-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO CCCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001266218557 903132463 /nfs/dbraw/zinc/13/24/63/903132463.db2.gz RIHQHBBBHMIRNE-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001293805903 914712053 /nfs/dbraw/zinc/71/20/53/914712053.db2.gz QWBXUVZKTQIJPH-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CC ZINC001316603629 903700057 /nfs/dbraw/zinc/70/00/57/903700057.db2.gz KJEXEMPTGQOQPS-MAUKXSAKSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CC ZINC001316603629 903700068 /nfs/dbraw/zinc/70/00/68/903700068.db2.gz KJEXEMPTGQOQPS-MAUKXSAKSA-N 1 2 323.481 1.990 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H]1CCC[C@@H](C(N)=O)C1 ZINC001392311343 903852709 /nfs/dbraw/zinc/85/27/09/903852709.db2.gz JWHAGFBVHBIZGP-OLZOCXBDSA-N 1 2 315.845 1.421 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H]1CCC[C@@H](C(N)=O)C1 ZINC001392311343 903852719 /nfs/dbraw/zinc/85/27/19/903852719.db2.gz JWHAGFBVHBIZGP-OLZOCXBDSA-N 1 2 315.845 1.421 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C[C@@H](C)CC)C2)CC1 ZINC001280681203 903916333 /nfs/dbraw/zinc/91/63/33/903916333.db2.gz ZNCVHBUBLONFTH-DLBZAZTESA-N 1 2 305.466 1.664 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001281033271 904337300 /nfs/dbraw/zinc/33/73/00/904337300.db2.gz XZLHKDKFBDPTPB-YVEFUNNKSA-N 1 2 320.437 1.826 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001281048015 904354755 /nfs/dbraw/zinc/35/47/55/904354755.db2.gz SFBZQINEJCFHLX-SUMWQHHRSA-N 1 2 320.437 1.908 20 30 DDEDLO N#CCN1Cc2ccccc2C[C@@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001281063036 904368084 /nfs/dbraw/zinc/36/80/84/904368084.db2.gz LWYCATXQDSUZNB-QGZVFWFLSA-N 1 2 323.400 1.409 20 30 DDEDLO N#CCN1Cc2ccccc2C[C@@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001281063036 904368095 /nfs/dbraw/zinc/36/80/95/904368095.db2.gz LWYCATXQDSUZNB-QGZVFWFLSA-N 1 2 323.400 1.409 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](OCC)c1ccccc1 ZINC001316611208 904901388 /nfs/dbraw/zinc/90/13/88/904901388.db2.gz OIVGVIWFUBWRRJ-DLBZAZTESA-N 1 2 300.402 1.930 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](OCC)c1ccccc1 ZINC001316611208 904901392 /nfs/dbraw/zinc/90/13/92/904901392.db2.gz OIVGVIWFUBWRRJ-DLBZAZTESA-N 1 2 300.402 1.930 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001282038563 905451612 /nfs/dbraw/zinc/45/16/12/905451612.db2.gz PKTNZMCYXUJCQG-HIFRSBDPSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001282038563 905451620 /nfs/dbraw/zinc/45/16/20/905451620.db2.gz PKTNZMCYXUJCQG-HIFRSBDPSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001282073264 905510675 /nfs/dbraw/zinc/51/06/75/905510675.db2.gz MSCKRBXECABAEU-BETUJISGSA-N 1 2 318.421 1.566 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@@H](C)[C@H](C)NC(=O)C#CC2CC2)n1 ZINC001282129486 905567434 /nfs/dbraw/zinc/56/74/34/905567434.db2.gz SMXIGLVBBFMJEP-STQMWFEESA-N 1 2 318.421 1.664 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)Cc2c(F)cccc2F)C1 ZINC001282530015 905853738 /nfs/dbraw/zinc/85/37/38/905853738.db2.gz ORZTVFNREGEFJS-UHFFFAOYSA-N 1 2 322.355 1.084 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1(CCO)C[NH+](C[C@H]2CC[C@H](C)O2)C1 ZINC001282530280 905855133 /nfs/dbraw/zinc/85/51/33/905855133.db2.gz JDYYKCWUWOETLG-LSDHHAIUSA-N 1 2 324.465 1.709 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@@H](OC)C2CCCCC2)C1 ZINC001282550876 905865911 /nfs/dbraw/zinc/86/59/11/905865911.db2.gz WPLOTGNXJSFPRG-INIZCTEOSA-N 1 2 324.465 1.711 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCCCC[N@H+]1Cc1cnn(C)n1 ZINC001282666196 905930278 /nfs/dbraw/zinc/93/02/78/905930278.db2.gz KHHBJPHVIQKRTD-MRXNPFEDSA-N 1 2 317.437 1.479 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCCCC[N@@H+]1Cc1cnn(C)n1 ZINC001282666196 905930286 /nfs/dbraw/zinc/93/02/86/905930286.db2.gz KHHBJPHVIQKRTD-MRXNPFEDSA-N 1 2 317.437 1.479 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H](C)OC ZINC001377386588 921195799 /nfs/dbraw/zinc/19/57/99/921195799.db2.gz RVYFFXNPKKXJPM-MNOVXSKESA-N 1 2 305.216 1.511 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H](C)OC ZINC001377386588 921195804 /nfs/dbraw/zinc/19/58/04/921195804.db2.gz RVYFFXNPKKXJPM-MNOVXSKESA-N 1 2 305.216 1.511 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@H](NC(=O)c2ccco2)C(C)(C)C1 ZINC001282785731 906036091 /nfs/dbraw/zinc/03/60/91/906036091.db2.gz ASCRUKQFFCGMJA-OCCSQVGLSA-N 1 2 319.405 1.411 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@H](NC(=O)c2ccco2)C(C)(C)C1 ZINC001282785731 906036103 /nfs/dbraw/zinc/03/61/03/906036103.db2.gz ASCRUKQFFCGMJA-OCCSQVGLSA-N 1 2 319.405 1.411 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)CCNC(=O)[C@@H](C)C(C)(C)C ZINC001372009477 906236002 /nfs/dbraw/zinc/23/60/02/906236002.db2.gz QJVVUPSXBMJFDP-CQSZACIVSA-N 1 2 324.469 1.479 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)CCNC(=O)[C@@H](C)C(C)(C)C ZINC001372009477 906236016 /nfs/dbraw/zinc/23/60/16/906236016.db2.gz QJVVUPSXBMJFDP-CQSZACIVSA-N 1 2 324.469 1.479 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001283863511 908091239 /nfs/dbraw/zinc/09/12/39/908091239.db2.gz PQCLVFZETNGMCL-CABCVRRESA-N 1 2 316.405 1.355 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001283893131 908144824 /nfs/dbraw/zinc/14/48/24/908144824.db2.gz ORAILVJSNBMDCK-GUYCJALGSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cccc2ncnn21)C1CC1 ZINC001372826060 908358640 /nfs/dbraw/zinc/35/86/40/908358640.db2.gz JEYGSXHZSBFHAL-UHFFFAOYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cccc2ncnn21)C1CC1 ZINC001372826060 908358655 /nfs/dbraw/zinc/35/86/55/908358655.db2.gz JEYGSXHZSBFHAL-UHFFFAOYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001284055243 908404685 /nfs/dbraw/zinc/40/46/85/908404685.db2.gz UWWKKLLRYMGRET-HAQNSBGRSA-N 1 2 304.394 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001394084645 908745892 /nfs/dbraw/zinc/74/58/92/908745892.db2.gz YGTZQWPEYJMJRQ-QWHCGFSZSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001394084645 908745896 /nfs/dbraw/zinc/74/58/96/908745896.db2.gz YGTZQWPEYJMJRQ-QWHCGFSZSA-N 1 2 310.829 1.635 20 30 DDEDLO C#CCC1(C(=O)N[C@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001284293661 908785408 /nfs/dbraw/zinc/78/54/08/908785408.db2.gz HMUFEBIHOADPNB-GFCCVEGCSA-N 1 2 316.405 1.075 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@@H]1C[C@H]1[N+](=O)[O-])C(C)C ZINC001284533149 909151760 /nfs/dbraw/zinc/15/17/60/909151760.db2.gz GCQFCRMUQGALPI-VXGBXAGGSA-N 1 2 303.790 1.573 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@@H]1C[C@H]1[N+](=O)[O-])C(C)C ZINC001284533149 909151771 /nfs/dbraw/zinc/15/17/71/909151771.db2.gz GCQFCRMUQGALPI-VXGBXAGGSA-N 1 2 303.790 1.573 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(CCNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001284753557 909444915 /nfs/dbraw/zinc/44/49/15/909444915.db2.gz OMSPXZHJAVIFRA-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](CCN(C)C(=O)[C@@H](C)C2CC2)CC1 ZINC001284820246 909525270 /nfs/dbraw/zinc/52/52/70/909525270.db2.gz RILQYDQEVKVVNA-HNNXBMFYSA-N 1 2 321.465 1.601 20 30 DDEDLO C=CCCCC(=O)NCC1CN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284989060 909895118 /nfs/dbraw/zinc/89/51/18/909895118.db2.gz ANPDXXNOGYTIGN-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCCC(=O)NCC1CN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284989060 909895126 /nfs/dbraw/zinc/89/51/26/909895126.db2.gz ANPDXXNOGYTIGN-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001285200945 910198258 /nfs/dbraw/zinc/19/82/58/910198258.db2.gz RSLVCOOGFAPNIR-CQSZACIVSA-N 1 2 304.394 1.416 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)CCN(C)C(=O)Cc1c[nH+]cn1C ZINC001285381619 910444211 /nfs/dbraw/zinc/44/42/11/910444211.db2.gz FRVPUGNLLDVPMK-KRWDZBQOSA-N 1 2 320.437 1.482 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001285391768 910455557 /nfs/dbraw/zinc/45/55/57/910455557.db2.gz NLIRPVDPXORCEY-VXGBXAGGSA-N 1 2 304.394 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@](C)(NC(C)=O)C(C)C)C1 ZINC001373657343 910510282 /nfs/dbraw/zinc/51/02/82/910510282.db2.gz YJJDZEGLICEYPK-UKRRQHHQSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@](C)(NC(C)=O)C(C)C)C1 ZINC001373657343 910510289 /nfs/dbraw/zinc/51/02/89/910510289.db2.gz YJJDZEGLICEYPK-UKRRQHHQSA-N 1 2 315.845 1.480 20 30 DDEDLO COc1cncc(C[N@H+](C)CCN(C(=O)[C@H](C)C#N)C(C)C)n1 ZINC001394800847 910597953 /nfs/dbraw/zinc/59/79/53/910597953.db2.gz IDGQMJJAWQLKMB-CYBMUJFWSA-N 1 2 319.409 1.314 20 30 DDEDLO COc1cncc(C[N@@H+](C)CCN(C(=O)[C@H](C)C#N)C(C)C)n1 ZINC001394800847 910597962 /nfs/dbraw/zinc/59/79/62/910597962.db2.gz IDGQMJJAWQLKMB-CYBMUJFWSA-N 1 2 319.409 1.314 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)Cc2cnn(C)c2)C1 ZINC001373695817 910643098 /nfs/dbraw/zinc/64/30/98/910643098.db2.gz BQZODQIZXHCTHN-AWEZNQCLSA-N 1 2 310.829 1.638 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)Cc2cnn(C)c2)C1 ZINC001373695817 910643120 /nfs/dbraw/zinc/64/31/20/910643120.db2.gz BQZODQIZXHCTHN-AWEZNQCLSA-N 1 2 310.829 1.638 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]cn2C)C1 ZINC001295061284 915537556 /nfs/dbraw/zinc/53/75/56/915537556.db2.gz RAMUWSCODDANKZ-AWEZNQCLSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001295531478 915878089 /nfs/dbraw/zinc/87/80/89/915878089.db2.gz AZOHVLMDDLROPB-QWHCGFSZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001295531478 915878102 /nfs/dbraw/zinc/87/81/02/915878102.db2.gz AZOHVLMDDLROPB-QWHCGFSZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CNC(=O)CCc2c[nH+]cn2C)C1 ZINC001295687635 915983050 /nfs/dbraw/zinc/98/30/50/915983050.db2.gz LIFSNTWTIFHDPD-CQSZACIVSA-N 1 2 318.421 1.284 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1cc(=O)[nH]c(CNC(=O)C(C)(C)C)n1 ZINC001375602837 916442892 /nfs/dbraw/zinc/44/28/92/916442892.db2.gz UGTDDFKHHWOODH-UHFFFAOYSA-N 1 2 312.801 1.687 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CC[N@H+](CC(=O)NC2CCCCCC2)C1 ZINC001376116117 917712051 /nfs/dbraw/zinc/71/20/51/917712051.db2.gz NOZITANBHHVLGP-DZGCQCFKSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NC2CCCCCC2)C1 ZINC001376116117 917712057 /nfs/dbraw/zinc/71/20/57/917712057.db2.gz NOZITANBHHVLGP-DZGCQCFKSA-N 1 2 320.437 1.176 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)[C@@H](C)CSC)C1 ZINC001376447110 918510752 /nfs/dbraw/zinc/51/07/52/918510752.db2.gz ATALETLKPSIIAD-SMDDNHRTSA-N 1 2 320.886 1.681 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)[C@@H](C)CSC)C1 ZINC001376447110 918510770 /nfs/dbraw/zinc/51/07/70/918510770.db2.gz ATALETLKPSIIAD-SMDDNHRTSA-N 1 2 320.886 1.681 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001377309155 920995738 /nfs/dbraw/zinc/99/57/38/920995738.db2.gz IWZGZCHPSOUJEV-UHFFFAOYSA-N 1 2 324.812 1.864 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001377309155 920995754 /nfs/dbraw/zinc/99/57/54/920995754.db2.gz IWZGZCHPSOUJEV-UHFFFAOYSA-N 1 2 324.812 1.864 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1nc[nH]n1)Cc1ccc(C#N)c(F)c1 ZINC001377346584 921107483 /nfs/dbraw/zinc/10/74/83/921107483.db2.gz HKVWLWKRRKSPOZ-UHFFFAOYSA-N 1 2 316.340 1.067 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C[C@@H]2CCOC[C@@H]2OC)C1 ZINC001377977935 923570654 /nfs/dbraw/zinc/57/06/54/923570654.db2.gz VWCKOCHOGMKRRD-KBPBESRZSA-N 1 2 316.829 1.229 20 30 DDEDLO O=C(NCC#Cc1ccccc1)NCC1([NH+]2CCOCC2)CC1 ZINC000278494933 214190574 /nfs/dbraw/zinc/19/05/74/214190574.db2.gz ZFJJOPOIPYCXJL-UHFFFAOYSA-N 1 2 313.401 1.202 20 30 DDEDLO CCn1cc[nH+]c1[C@H](C)NS(=O)(=O)c1cnc(C)c(C#N)c1 ZINC000451933180 231239925 /nfs/dbraw/zinc/23/99/25/231239925.db2.gz UUFOXMOAGADFFB-NSHDSACASA-N 1 2 319.390 1.518 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@H+](Cc2cccc(C#N)c2F)C1 ZINC000452006831 231267675 /nfs/dbraw/zinc/26/76/75/231267675.db2.gz GDABYWLHZLNGMI-CQSZACIVSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cccc(C#N)c2F)C1 ZINC000452006831 231267679 /nfs/dbraw/zinc/26/76/79/231267679.db2.gz GDABYWLHZLNGMI-CQSZACIVSA-N 1 2 304.369 1.933 20 30 DDEDLO C=CC[N@@H+](CCc1ccccc1)Cc1nc(N)nc(N(C)C)n1 ZINC000083169546 185215667 /nfs/dbraw/zinc/21/56/67/185215667.db2.gz WRDWVWKPWBFNLT-UHFFFAOYSA-N 1 2 312.421 1.751 20 30 DDEDLO C=CC[N@H+](CCc1ccccc1)Cc1nc(N)nc(N(C)C)n1 ZINC000083169546 185215668 /nfs/dbraw/zinc/21/56/68/185215668.db2.gz WRDWVWKPWBFNLT-UHFFFAOYSA-N 1 2 312.421 1.751 20 30 DDEDLO N#Cc1cc(NC(=O)NCC2([NH+]3CCOCC3)CC2)ccc1F ZINC000278225715 214033739 /nfs/dbraw/zinc/03/37/39/214033739.db2.gz WJGYOPYZUIBJPG-UHFFFAOYSA-N 1 2 318.352 1.684 20 30 DDEDLO Cc1nccc(NC(=O)N2CCN(C)[C@@H](c3[nH+]ccn3C)C2)n1 ZINC000330276736 282189477 /nfs/dbraw/zinc/18/94/77/282189477.db2.gz NIEALAGTMIBTFZ-GFCCVEGCSA-N 1 2 315.381 1.053 20 30 DDEDLO CN(C)c1[nH+]cc(CNC(=O)[C@H]2CCn3cncc3C2)n1C ZINC000328995056 539300138 /nfs/dbraw/zinc/30/01/38/539300138.db2.gz UGUOHSNXEJMXPC-NSHDSACASA-N 1 2 302.382 1.402 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCO[C@H]1c1nccn1C)[NH+]1CCOCC1 ZINC000328963895 539299963 /nfs/dbraw/zinc/29/99/63/539299963.db2.gz RKUCVKVZJQJEKT-BFHYXJOUSA-N 1 2 322.409 1.175 20 30 DDEDLO CCc1ccc([C@@H](COC)[NH2+]CC(=O)NC2(C#N)CCC2)o1 ZINC000347245855 529711339 /nfs/dbraw/zinc/71/13/39/529711339.db2.gz IYLHIFMWFLEDMW-CYBMUJFWSA-N 1 2 305.378 1.682 20 30 DDEDLO N#CCCN1CC[NH+](CCOCC(F)(F)C(F)(F)F)CC1 ZINC000615793192 362442456 /nfs/dbraw/zinc/44/24/56/362442456.db2.gz AUFUYBBYBSPYOR-UHFFFAOYSA-N 1 2 315.286 1.732 20 30 DDEDLO C[C@H](CNC(=O)COc1ccc(C#N)cc1)C[NH+]1CCOCC1 ZINC000150724568 186060216 /nfs/dbraw/zinc/06/02/16/186060216.db2.gz MATLEKLLDMOEQQ-CQSZACIVSA-N 1 2 317.389 1.022 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(-c3ccccc3)[nH]c2=S)CC1 ZINC000029436457 352239550 /nfs/dbraw/zinc/23/95/50/352239550.db2.gz RPWAAJZCIJWRKL-UHFFFAOYSA-N 1 2 313.430 1.816 20 30 DDEDLO CCCC[N@H+](CC(N)=O)CC(=O)N(CCC#N)c1ccccc1 ZINC000048048355 352522579 /nfs/dbraw/zinc/52/25/79/352522579.db2.gz ZUUHJASFHSSATN-UHFFFAOYSA-N 1 2 316.405 1.521 20 30 DDEDLO CCCC[N@@H+](CC(N)=O)CC(=O)N(CCC#N)c1ccccc1 ZINC000048048355 352522580 /nfs/dbraw/zinc/52/25/80/352522580.db2.gz ZUUHJASFHSSATN-UHFFFAOYSA-N 1 2 316.405 1.521 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)CC(=O)Nc1sccc1C#N ZINC000053366483 352651042 /nfs/dbraw/zinc/65/10/42/352651042.db2.gz ZSYFGOICUZDILG-UHFFFAOYSA-N 1 2 322.434 1.796 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)CC(=O)Nc1sccc1C#N ZINC000053366483 352651044 /nfs/dbraw/zinc/65/10/44/352651044.db2.gz ZSYFGOICUZDILG-UHFFFAOYSA-N 1 2 322.434 1.796 20 30 DDEDLO CC[C@@H](C(=O)OC)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000054116652 352678825 /nfs/dbraw/zinc/67/88/25/352678825.db2.gz FIINCPSIDIVBHZ-INIZCTEOSA-N 1 2 301.390 1.627 20 30 DDEDLO CN(C(=O)CN1CC[NH+](Cc2ccc(C#N)cc2)CC1)C1CC1 ZINC000064138223 352931142 /nfs/dbraw/zinc/93/11/42/352931142.db2.gz RLZXLVHGJUIUKT-UHFFFAOYSA-N 1 2 312.417 1.297 20 30 DDEDLO CN(C(=O)C[NH+]1CCN(Cc2ccc(C#N)cc2)CC1)C1CC1 ZINC000064138223 352931144 /nfs/dbraw/zinc/93/11/44/352931144.db2.gz RLZXLVHGJUIUKT-UHFFFAOYSA-N 1 2 312.417 1.297 20 30 DDEDLO CCC[N@@H+](CC(=O)OCC)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000067409834 353047426 /nfs/dbraw/zinc/04/74/26/353047426.db2.gz ZFUXZQBXAGMQFZ-OAHLLOKOSA-N 1 2 320.389 1.573 20 30 DDEDLO CCC[N@H+](CC(=O)OCC)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000067409834 353047428 /nfs/dbraw/zinc/04/74/28/353047428.db2.gz ZFUXZQBXAGMQFZ-OAHLLOKOSA-N 1 2 320.389 1.573 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)NCc1ccccc1COC(C)C ZINC000072906341 353226250 /nfs/dbraw/zinc/22/62/50/353226250.db2.gz OCIXCVJXRSWMQU-UHFFFAOYSA-N 1 2 312.413 1.796 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000280818883 354424901 /nfs/dbraw/zinc/42/49/01/354424901.db2.gz UNPVUNZCXXJSFV-KCPJHIHWSA-N 1 2 316.357 1.513 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000280818883 354424905 /nfs/dbraw/zinc/42/49/05/354424905.db2.gz UNPVUNZCXXJSFV-KCPJHIHWSA-N 1 2 316.357 1.513 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@H](O)C1CC1 ZINC000305282531 354469789 /nfs/dbraw/zinc/46/97/89/354469789.db2.gz YGIBBGCRHPNAHV-HNNXBMFYSA-N 1 2 308.403 1.035 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C[C@H](O)C1CC1 ZINC000305282531 354469791 /nfs/dbraw/zinc/46/97/91/354469791.db2.gz YGIBBGCRHPNAHV-HNNXBMFYSA-N 1 2 308.403 1.035 20 30 DDEDLO C=C(CC)CNC(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000624178504 366223349 /nfs/dbraw/zinc/22/33/49/366223349.db2.gz NMNGLKVUIOJEAX-INIZCTEOSA-N 1 2 318.421 1.548 20 30 DDEDLO C=C(CC)CNC(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000624178504 366223353 /nfs/dbraw/zinc/22/33/53/366223353.db2.gz NMNGLKVUIOJEAX-INIZCTEOSA-N 1 2 318.421 1.548 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C)[C@H](C)C(=O)Nc1ccccc1C#N ZINC000315288834 354492652 /nfs/dbraw/zinc/49/26/52/354492652.db2.gz HCWLQMDRHWDNKO-GFCCVEGCSA-N 1 2 302.378 1.295 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C)[C@H](C)C(=O)Nc1ccccc1C#N ZINC000315288834 354492656 /nfs/dbraw/zinc/49/26/56/354492656.db2.gz HCWLQMDRHWDNKO-GFCCVEGCSA-N 1 2 302.378 1.295 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C[C@@H]1OCCc2ccccc21 ZINC000578390510 354707871 /nfs/dbraw/zinc/70/78/71/354707871.db2.gz KODWLXJLJQLERM-RDJZCZTQSA-N 1 2 301.390 1.651 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCOC2(CCCCC2)C1 ZINC000578488525 354708786 /nfs/dbraw/zinc/70/87/86/354708786.db2.gz NPNJRBGOUAVPRD-CQSZACIVSA-N 1 2 305.422 1.972 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCOC2(CCCCC2)C1 ZINC000578488525 354708788 /nfs/dbraw/zinc/70/87/88/354708788.db2.gz NPNJRBGOUAVPRD-CQSZACIVSA-N 1 2 305.422 1.972 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc2nccnc2cc1F ZINC000580956741 354728713 /nfs/dbraw/zinc/72/87/13/354728713.db2.gz CEICPBHSMZLPHO-HNNXBMFYSA-N 1 2 301.325 1.343 20 30 DDEDLO Cc1ccccc1-n1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cn1 ZINC000581491702 354734261 /nfs/dbraw/zinc/73/42/61/354734261.db2.gz FCCWSBBOVWKLRF-KRWDZBQOSA-N 1 2 311.389 1.754 20 30 DDEDLO CO[C@@]1(CO)CCC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000401812775 354667148 /nfs/dbraw/zinc/66/71/48/354667148.db2.gz VLNDFQOCLKOAMY-AWEZNQCLSA-N 1 2 309.391 1.032 20 30 DDEDLO CO[C@@]1(CO)CCC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000401812775 354667149 /nfs/dbraw/zinc/66/71/49/354667149.db2.gz VLNDFQOCLKOAMY-AWEZNQCLSA-N 1 2 309.391 1.032 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@H]1CCc2c[nH+]cn2C1 ZINC000587045292 354879373 /nfs/dbraw/zinc/87/93/73/354879373.db2.gz LFBPCLDUMBIOGT-OCCSQVGLSA-N 1 2 310.357 1.653 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cc(O)cc(C#N)c1 ZINC000587972037 354887778 /nfs/dbraw/zinc/88/77/78/354887778.db2.gz SFAOAVPRCCRDBB-IUODEOHRSA-N 1 2 315.373 1.199 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H]1CCc2c[nH+]cn2C1 ZINC000587057701 354880304 /nfs/dbraw/zinc/88/03/04/354880304.db2.gz XVERTQNQWUCZCU-TZMCWYRMSA-N 1 2 310.357 1.653 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2cc(O)cc(C#N)c2)CC1 ZINC000589180638 354969495 /nfs/dbraw/zinc/96/94/95/354969495.db2.gz RUIBALUPRQQAEH-UHFFFAOYSA-N 1 2 303.362 1.058 20 30 DDEDLO Cn1ccc(N2CCC[C@H]([NH2+]Cc3nc(C#N)cs3)C2=O)n1 ZINC000589314244 354983465 /nfs/dbraw/zinc/98/34/65/354983465.db2.gz WRMVWSMZZRDWQS-NSHDSACASA-N 1 2 316.390 1.033 20 30 DDEDLO CC[C@@](C)([NH2+]C[C@H](O)COc1ccc(CC#N)cc1)C(=O)OC ZINC000589989996 355037844 /nfs/dbraw/zinc/03/78/44/355037844.db2.gz AFRZCWURUBBEKN-WMLDXEAASA-N 1 2 320.389 1.424 20 30 DDEDLO C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@H+](C)CC(=O)N(C)C ZINC000073643611 191337101 /nfs/dbraw/zinc/33/71/01/191337101.db2.gz UVQBNYLATFOGBS-UUCFBXCCSA-N 1 2 322.453 1.326 20 30 DDEDLO C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@@H+](C)CC(=O)N(C)C ZINC000073643611 191337103 /nfs/dbraw/zinc/33/71/03/191337103.db2.gz UVQBNYLATFOGBS-UUCFBXCCSA-N 1 2 322.453 1.326 20 30 DDEDLO CC(=O)N[C@H]1CC[N@H+](Cc2c(C#N)c(C)nn2-c2ccccc2)C1 ZINC000591475828 355321006 /nfs/dbraw/zinc/32/10/06/355321006.db2.gz GOIZTXZYWHDESL-HNNXBMFYSA-N 1 2 323.400 1.763 20 30 DDEDLO CC(=O)N[C@H]1CC[N@@H+](Cc2c(C#N)c(C)nn2-c2ccccc2)C1 ZINC000591475828 355321009 /nfs/dbraw/zinc/32/10/09/355321009.db2.gz GOIZTXZYWHDESL-HNNXBMFYSA-N 1 2 323.400 1.763 20 30 DDEDLO Cc1ccc2[nH+]c(CNC(=O)N3CC[C@@](O)(CC#N)C3)cn2c1 ZINC000591925606 355436606 /nfs/dbraw/zinc/43/66/06/355436606.db2.gz VIYMRZXWAFFPGP-INIZCTEOSA-N 1 2 313.361 1.203 20 30 DDEDLO Cc1ccc([C@H](C)NC(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)cc1C ZINC000592148202 355513733 /nfs/dbraw/zinc/51/37/33/355513733.db2.gz RWKMGOKQUKYERY-MAUKXSAKSA-N 1 2 315.417 1.831 20 30 DDEDLO Cc1ccc([C@H](C)NC(=O)C[N@H+]2CC[C@](O)(CC#N)C2)cc1C ZINC000592148202 355513737 /nfs/dbraw/zinc/51/37/37/355513737.db2.gz RWKMGOKQUKYERY-MAUKXSAKSA-N 1 2 315.417 1.831 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1[N+](=O)[O-])[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149428 355516647 /nfs/dbraw/zinc/51/66/47/355516647.db2.gz OWLZFFQIEJXUGV-NHYWBVRUSA-N 1 2 318.333 1.272 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1[N+](=O)[O-])[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149428 355516649 /nfs/dbraw/zinc/51/66/49/355516649.db2.gz OWLZFFQIEJXUGV-NHYWBVRUSA-N 1 2 318.333 1.272 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C1CC(OCc2ccccc2)C1 ZINC000593154332 355812415 /nfs/dbraw/zinc/81/24/15/355812415.db2.gz IGNJPSSPCPUPJJ-HTWSVDAQSA-N 1 2 315.417 1.942 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccn(-c2cccc(F)c2)n1 ZINC000593155258 355813520 /nfs/dbraw/zinc/81/35/20/355813520.db2.gz GPJZXYDHKJFBLB-INIZCTEOSA-N 1 2 315.352 1.585 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1coc(C2CCOCC2)n1 ZINC000593154836 355813729 /nfs/dbraw/zinc/81/37/29/355813729.db2.gz JYSABGFZSJASOA-OAHLLOKOSA-N 1 2 306.366 1.142 20 30 DDEDLO CC[C@H](C#N)C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000593665638 355960816 /nfs/dbraw/zinc/96/08/16/355960816.db2.gz CXSFOQOLQXQBOD-LLVKDONJSA-N 1 2 300.362 1.018 20 30 DDEDLO CC[C@H](C#N)C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000593665638 355960818 /nfs/dbraw/zinc/96/08/18/355960818.db2.gz CXSFOQOLQXQBOD-LLVKDONJSA-N 1 2 300.362 1.018 20 30 DDEDLO CC[C@@H](C#N)C(=O)Nc1ccc2[nH]c(N3CCOCC3)[nH+]c2c1 ZINC000593893305 356045019 /nfs/dbraw/zinc/04/50/19/356045019.db2.gz FUMLWNIEEPSMMN-NSHDSACASA-N 1 2 313.361 1.888 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C(=O)Nc1ccn2cc(C)[nH+]c2c1 ZINC000595004469 356370880 /nfs/dbraw/zinc/37/08/80/356370880.db2.gz SMOVGNIMOYXNQX-LLVKDONJSA-N 1 2 313.361 1.589 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](Cc2ccc(N(C)C)nc2)CC1 ZINC000595397533 356477342 /nfs/dbraw/zinc/47/73/42/356477342.db2.gz KTWBZQUFFWDHGJ-INIZCTEOSA-N 1 2 301.438 1.813 20 30 DDEDLO N#Cc1cccc(OCC[NH+]2CCN(c3ncns3)CC2)c1 ZINC000595517467 356528699 /nfs/dbraw/zinc/52/86/99/356528699.db2.gz VZNLRHCQIZVGHV-UHFFFAOYSA-N 1 2 315.402 1.611 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)[nH]1 ZINC000595500278 356519312 /nfs/dbraw/zinc/51/93/12/356519312.db2.gz DJAHRQVBTWAPLA-YPMHNXCESA-N 1 2 312.377 1.848 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)[nH]1 ZINC000595500278 356519316 /nfs/dbraw/zinc/51/93/16/356519316.db2.gz DJAHRQVBTWAPLA-YPMHNXCESA-N 1 2 312.377 1.848 20 30 DDEDLO CO[C@@H]1CS(=O)(=O)[C@H]2C[N@@H+]([C@H](C)c3cccc(C#N)c3)C[C@H]21 ZINC000595784941 356651313 /nfs/dbraw/zinc/65/13/13/356651313.db2.gz KRPGLPNLPFBHOS-CAPXZKIUSA-N 1 2 320.414 1.363 20 30 DDEDLO CO[C@@H]1CS(=O)(=O)[C@H]2C[N@H+]([C@H](C)c3cccc(C#N)c3)C[C@H]21 ZINC000595784941 356651318 /nfs/dbraw/zinc/65/13/18/356651318.db2.gz KRPGLPNLPFBHOS-CAPXZKIUSA-N 1 2 320.414 1.363 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+](C)Cc1cn(C)nn1 ZINC000595757204 356638466 /nfs/dbraw/zinc/63/84/66/356638466.db2.gz AOIXYCXOALQKQS-UHFFFAOYSA-N 1 2 301.350 1.206 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+](C)Cc1cn(C)nn1 ZINC000595757204 356638469 /nfs/dbraw/zinc/63/84/69/356638469.db2.gz AOIXYCXOALQKQS-UHFFFAOYSA-N 1 2 301.350 1.206 20 30 DDEDLO N#CCC[C@@H](C#N)C[NH2+]Cc1ccc(F)c(S(N)(=O)=O)c1 ZINC000596069685 356761381 /nfs/dbraw/zinc/76/13/81/356761381.db2.gz ILDRJKREUXWQFG-NSHDSACASA-N 1 2 310.354 1.006 20 30 DDEDLO C=CCCSCCNc1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000596413815 356872821 /nfs/dbraw/zinc/87/28/21/356872821.db2.gz XLMSSCZSSFSQOW-UHFFFAOYSA-N 1 2 321.450 1.476 20 30 DDEDLO C=CCCSCCNc1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000596413815 356872823 /nfs/dbraw/zinc/87/28/23/356872823.db2.gz XLMSSCZSSFSQOW-UHFFFAOYSA-N 1 2 321.450 1.476 20 30 DDEDLO CC(C)(CNC(=O)c1cc(F)c(C#N)c(F)c1)[NH+]1CCOCC1 ZINC000596655240 356943948 /nfs/dbraw/zinc/94/39/48/356943948.db2.gz ZBXHMLCBBIZCRB-UHFFFAOYSA-N 1 2 323.343 1.677 20 30 DDEDLO COC(=O)[C@H]1[C@H](O)CCC[N@@H+]1Cc1ccc(C2(C#N)CC2)cc1 ZINC000597110300 357063639 /nfs/dbraw/zinc/06/36/39/357063639.db2.gz IEBQEYWSJFLAGO-HZPDHXFCSA-N 1 2 314.385 1.740 20 30 DDEDLO COC(=O)[C@H]1[C@H](O)CCC[N@H+]1Cc1ccc(C2(C#N)CC2)cc1 ZINC000597110300 357063643 /nfs/dbraw/zinc/06/36/43/357063643.db2.gz IEBQEYWSJFLAGO-HZPDHXFCSA-N 1 2 314.385 1.740 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1c[nH]c(C#N)c1)C(C)(C)CO ZINC000597845519 357356087 /nfs/dbraw/zinc/35/60/87/357356087.db2.gz HXRUFYSHWFALGO-GFCCVEGCSA-N 1 2 301.350 1.109 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@@H+]1CCNC(=O)[C@H]1c1ccccc1 ZINC000598597367 357647692 /nfs/dbraw/zinc/64/76/92/357647692.db2.gz ZUQNRDZHRKXJMY-LSDHHAIUSA-N 1 2 301.390 1.460 20 30 DDEDLO CC(C)(C#N)C[C@H](O)C[N@H+]1CCNC(=O)[C@H]1c1ccccc1 ZINC000598597367 357647694 /nfs/dbraw/zinc/64/76/94/357647694.db2.gz ZUQNRDZHRKXJMY-LSDHHAIUSA-N 1 2 301.390 1.460 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](Cc2cc(CO)ccc2F)CC1 ZINC000599337220 357900016 /nfs/dbraw/zinc/90/00/16/357900016.db2.gz SAOZKOHEDXXKBG-KRWDZBQOSA-N 1 2 305.397 1.984 20 30 DDEDLO N#Cc1ccccc1OCC[NH+]1CCN(Cc2cn[nH]c2)CC1 ZINC000599440519 357938596 /nfs/dbraw/zinc/93/85/96/357938596.db2.gz MORQORSIFAFOTA-UHFFFAOYSA-N 1 2 311.389 1.478 20 30 DDEDLO N#Cc1ccccc1OCCN1CC[NH+](Cc2cn[nH]c2)CC1 ZINC000599440519 357938599 /nfs/dbraw/zinc/93/85/99/357938599.db2.gz MORQORSIFAFOTA-UHFFFAOYSA-N 1 2 311.389 1.478 20 30 DDEDLO COC(=O)c1ccc(C[N@H+](C)[C@@H](C)C(=O)N(C)CCC#N)nc1 ZINC000599443807 357939547 /nfs/dbraw/zinc/93/95/47/357939547.db2.gz MDTDOYCTTVIILM-LBPRGKRZSA-N 1 2 318.377 1.061 20 30 DDEDLO COC(=O)c1ccc(C[N@@H+](C)[C@@H](C)C(=O)N(C)CCC#N)nc1 ZINC000599443807 357939549 /nfs/dbraw/zinc/93/95/49/357939549.db2.gz MDTDOYCTTVIILM-LBPRGKRZSA-N 1 2 318.377 1.061 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCc2c(O)cccc2C1 ZINC000599647013 358005504 /nfs/dbraw/zinc/00/55/04/358005504.db2.gz OEDYFNYQDJAHCK-KRWDZBQOSA-N 1 2 301.390 1.805 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCc2c(O)cccc2C1 ZINC000599647013 358005506 /nfs/dbraw/zinc/00/55/06/358005506.db2.gz OEDYFNYQDJAHCK-KRWDZBQOSA-N 1 2 301.390 1.805 20 30 DDEDLO CC#CC[C@H](CO)Nc1cc(CCC(=O)OCC)[nH+]c(C)n1 ZINC000601185426 358438276 /nfs/dbraw/zinc/43/82/76/358438276.db2.gz RQFLFYSJHYNYSF-CQSZACIVSA-N 1 2 305.378 1.467 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccccc2CC#N)[C@@H](C)C[N@@H+]1C ZINC000601383004 358528035 /nfs/dbraw/zinc/52/80/35/358528035.db2.gz BQEKUGWXYQUGCP-OLZOCXBDSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccccc2CC#N)[C@@H](C)C[N@H+]1C ZINC000601383004 358528037 /nfs/dbraw/zinc/52/80/37/358528037.db2.gz BQEKUGWXYQUGCP-OLZOCXBDSA-N 1 2 307.419 1.466 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000601730927 358667823 /nfs/dbraw/zinc/66/78/23/358667823.db2.gz ZVFLGMSWQAYTPI-QGZVFWFLSA-N 1 2 301.390 1.976 20 30 DDEDLO N#Cc1cccc(C2=NN(CCC[NH+]3CCOCC3)C(=O)C2)c1 ZINC000601943651 358747112 /nfs/dbraw/zinc/74/71/12/358747112.db2.gz HCYJHUISTMDSCV-UHFFFAOYSA-N 1 2 312.373 1.217 20 30 DDEDLO N#Cc1cccc(-c2cc(=O)n(CCC[NH+]3CCOCC3)[nH]2)c1 ZINC000601943651 358747115 /nfs/dbraw/zinc/74/71/15/358747115.db2.gz HCYJHUISTMDSCV-UHFFFAOYSA-N 1 2 312.373 1.217 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](CCc3cnccn3)CC2)c1 ZINC000601894701 358730828 /nfs/dbraw/zinc/73/08/28/358730828.db2.gz TYTCGZZMIFXJII-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)NCc2ccccc2)C[C@H](C)N1CC#N ZINC000602858746 359244995 /nfs/dbraw/zinc/24/49/95/359244995.db2.gz UWDMJWDKWQWWTR-GJZGRUSLSA-N 1 2 300.406 1.221 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)Nc1ccccc1N1CCCC1=O ZINC000602865491 359249711 /nfs/dbraw/zinc/24/97/11/359249711.db2.gz VVEQQNOUGOOYFH-LBPRGKRZSA-N 1 2 300.362 1.644 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)CC#N)C(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000602869266 359253770 /nfs/dbraw/zinc/25/37/70/359253770.db2.gz NCODCDJFWNZTGX-UONOGXRCSA-N 1 2 318.396 1.755 20 30 DDEDLO C=C[C@H](C(=O)OC)n1cc(C[NH2+]Cc2ccc(F)cc2)nn1 ZINC000603238409 359506294 /nfs/dbraw/zinc/50/62/94/359506294.db2.gz WXQXVQWHDSFOMB-CQSZACIVSA-N 1 2 304.325 1.607 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccc(C#N)cn1 ZINC000579370483 422759455 /nfs/dbraw/zinc/75/94/55/422759455.db2.gz JNLNBTVRUFBWJW-ZDUSSCGKSA-N 1 2 319.372 1.326 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccc(C#N)cn1 ZINC000579370483 422759462 /nfs/dbraw/zinc/75/94/62/422759462.db2.gz JNLNBTVRUFBWJW-ZDUSSCGKSA-N 1 2 319.372 1.326 20 30 DDEDLO COc1cc(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)ccc1C#N ZINC000565777928 304091332 /nfs/dbraw/zinc/09/13/32/304091332.db2.gz NJGKUWNFXJEFBD-AWEZNQCLSA-N 1 2 310.357 1.642 20 30 DDEDLO O=C(NC[C@@H]1COCCO1)c1ccccc1C[NH+]1CCOCC1 ZINC000329865175 223039423 /nfs/dbraw/zinc/03/94/23/223039423.db2.gz ABBKXRVHZWVNFY-OAHLLOKOSA-N 1 2 320.389 1.239 20 30 DDEDLO CCOc1ncccc1NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329896541 223042722 /nfs/dbraw/zinc/04/27/22/223042722.db2.gz COSSLTYOJMIMJW-QWHCGFSZSA-N 1 2 306.366 1.041 20 30 DDEDLO CCOc1ncccc1NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329896541 223042725 /nfs/dbraw/zinc/04/27/25/223042725.db2.gz COSSLTYOJMIMJW-QWHCGFSZSA-N 1 2 306.366 1.041 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+]Cc2ccc(C#N)cc2F)C(N)=O)cc1 ZINC000609676646 360344054 /nfs/dbraw/zinc/34/40/54/360344054.db2.gz AECDXNVXTPLUCD-MRXNPFEDSA-N 1 2 308.316 1.885 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@@H](C)C(=O)N2CCC(C)CC2)CC1 ZINC000609486856 360313562 /nfs/dbraw/zinc/31/35/62/360313562.db2.gz SKKUJFUKYYTNCC-IRXDYDNUSA-N 1 2 320.481 1.799 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)c1ccco1 ZINC000610196836 360389619 /nfs/dbraw/zinc/38/96/19/360389619.db2.gz BFKPHFVVHGZLDW-AWEZNQCLSA-N 1 2 305.378 1.458 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@H]2CCCN(C(C)C)C2=O)CC1 ZINC000610504502 360455937 /nfs/dbraw/zinc/45/59/37/360455937.db2.gz PPJWQKJDCOTSGZ-HOTGVXAUSA-N 1 2 306.454 1.552 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)CC(=O)N1CCCC1 ZINC000610540098 360464331 /nfs/dbraw/zinc/46/43/31/360464331.db2.gz GEXAZEXOASMWLE-AWEZNQCLSA-N 1 2 320.437 1.272 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)CC(=O)N1CCCC1 ZINC000610540098 360464336 /nfs/dbraw/zinc/46/43/36/360464336.db2.gz GEXAZEXOASMWLE-AWEZNQCLSA-N 1 2 320.437 1.272 20 30 DDEDLO Cc1nc(CC2CC2)oc1C(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000330038305 223066431 /nfs/dbraw/zinc/06/64/31/223066431.db2.gz ZUSFEUNWLNYCGA-NSHDSACASA-N 1 2 307.394 1.961 20 30 DDEDLO CCCS(=O)(=O)NC1CC[NH+](Cc2ccnc(C#N)c2)CC1 ZINC000610991982 360593678 /nfs/dbraw/zinc/59/36/78/360593678.db2.gz NVRKVPWSSUBKNH-UHFFFAOYSA-N 1 2 322.434 1.247 20 30 DDEDLO C[C@H](C(=O)NCCC1=CCCCC1)[NH+]1CCN(CCC#N)CC1 ZINC000611175493 360648632 /nfs/dbraw/zinc/64/86/32/360648632.db2.gz GNWHOWQCXFFBAR-MRXNPFEDSA-N 1 2 318.465 1.913 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(F)cc2C#N)C[C@H]1C ZINC000611083558 360617566 /nfs/dbraw/zinc/61/75/66/360617566.db2.gz IKISVSULLWOGRG-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(F)cc2C#N)C[C@H]1C ZINC000611083558 360617570 /nfs/dbraw/zinc/61/75/70/360617570.db2.gz IKISVSULLWOGRG-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC000611484573 360729854 /nfs/dbraw/zinc/72/98/54/360729854.db2.gz AFIIRVYEWGCQJJ-HUUCEWRRSA-N 1 2 315.421 1.138 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NCC(F)(F)F)[N@@H+]1CCC[C@](C)(C#N)C1 ZINC000612163524 360937751 /nfs/dbraw/zinc/93/77/51/360937751.db2.gz RJYLPUKQGOJPRP-JOYOIKCWSA-N 1 2 320.315 1.389 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NCC(F)(F)F)[N@H+]1CCC[C@](C)(C#N)C1 ZINC000612163524 360937759 /nfs/dbraw/zinc/93/77/59/360937759.db2.gz RJYLPUKQGOJPRP-JOYOIKCWSA-N 1 2 320.315 1.389 20 30 DDEDLO N#CCC1(CNC(=O)N2CC[NH+](Cc3ccncc3)CC2)CC1 ZINC000330235904 223093016 /nfs/dbraw/zinc/09/30/16/223093016.db2.gz AANDAGOQVZQOOI-UHFFFAOYSA-N 1 2 313.405 1.807 20 30 DDEDLO Cc1nn(C(C)(C)C)cc1C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000330811388 223146259 /nfs/dbraw/zinc/14/62/59/223146259.db2.gz JPUHQMULRKUATJ-LBPRGKRZSA-N 1 2 308.426 1.972 20 30 DDEDLO Cc1nn(C(C)(C)C)cc1C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000330811388 223146263 /nfs/dbraw/zinc/14/62/63/223146263.db2.gz JPUHQMULRKUATJ-LBPRGKRZSA-N 1 2 308.426 1.972 20 30 DDEDLO CO[C@@H](C)[C@H](C)NC(=O)N1CCN(c2c[nH+]ccc2C)CC1 ZINC000330853619 223148902 /nfs/dbraw/zinc/14/89/02/223148902.db2.gz OKLISNZHFWKGPT-KBPBESRZSA-N 1 2 306.410 1.849 20 30 DDEDLO CO[C@@H]1[C@H](C)[C@@H](NC(=O)N2CCC(C#N)([NH+](C)C)CC2)C1(C)C ZINC000332469435 223214070 /nfs/dbraw/zinc/21/40/70/223214070.db2.gz RKHPPSAKRPTLSX-MGPQQGTHSA-N 1 2 322.453 1.675 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000613004996 361235739 /nfs/dbraw/zinc/23/57/39/361235739.db2.gz JRARCDXUQIYCDF-KGLIPLIRSA-N 1 2 304.394 1.207 20 30 DDEDLO CO[C@H]1CCCC[C@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000339586804 223270542 /nfs/dbraw/zinc/27/05/42/223270542.db2.gz LWYKWUOCLBSNMC-CVEARBPZSA-N 1 2 323.437 1.266 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCOC[C@@]12CCOC2 ZINC000193723933 201111881 /nfs/dbraw/zinc/11/18/81/201111881.db2.gz CLHATMFAVYVTBN-KRWDZBQOSA-N 1 2 318.373 1.437 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCOC[C@@]12CCOC2 ZINC000193723933 201111885 /nfs/dbraw/zinc/11/18/85/201111885.db2.gz CLHATMFAVYVTBN-KRWDZBQOSA-N 1 2 318.373 1.437 20 30 DDEDLO CC(C)[C@H](C)NC(=O)C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000193772256 201121367 /nfs/dbraw/zinc/12/13/67/201121367.db2.gz XCYHCNRNRHDMHO-AWEZNQCLSA-N 1 2 315.421 1.236 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC2(C[C@H]2C(=O)N2CCCCC2)CC1 ZINC000619720309 364130618 /nfs/dbraw/zinc/13/06/18/364130618.db2.gz ZCQKQCKZRWLREJ-LSDHHAIUSA-N 1 2 320.433 1.829 20 30 DDEDLO C[C@@H](C#N)CNC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000091281048 193029747 /nfs/dbraw/zinc/02/97/47/193029747.db2.gz WJNDTDFHRISHJW-NSHDSACASA-N 1 2 311.345 1.301 20 30 DDEDLO C=CC[C@@H]1CC[N@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000625703912 367098656 /nfs/dbraw/zinc/09/86/56/367098656.db2.gz LXKBGYDERBUEHQ-GFCCVEGCSA-N 1 2 305.403 1.907 20 30 DDEDLO C=CC[C@@H]1CC[N@@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000625703912 367098661 /nfs/dbraw/zinc/09/86/61/367098661.db2.gz LXKBGYDERBUEHQ-GFCCVEGCSA-N 1 2 305.403 1.907 20 30 DDEDLO C=CC[C@H]1CC[N@@H+](CC(=O)Nc2ccc(S(C)(=O)=O)cc2)C1 ZINC000625702424 367099049 /nfs/dbraw/zinc/09/90/49/367099049.db2.gz FWZBXOMQYNYYHQ-ZDUSSCGKSA-N 1 2 322.430 1.927 20 30 DDEDLO C=CC[C@H]1CC[N@H+](CC(=O)Nc2ccc(S(C)(=O)=O)cc2)C1 ZINC000625702424 367099053 /nfs/dbraw/zinc/09/90/53/367099053.db2.gz FWZBXOMQYNYYHQ-ZDUSSCGKSA-N 1 2 322.430 1.927 20 30 DDEDLO N#CCCCCNC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000347591101 223372542 /nfs/dbraw/zinc/37/25/42/223372542.db2.gz ZAPOGXFBIBROQU-UHFFFAOYSA-N 1 2 301.390 1.942 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3ccncn3)CC2)cc1 ZINC000275195720 212188548 /nfs/dbraw/zinc/18/85/48/212188548.db2.gz ZKEGHGKUASRYFT-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CCOc2cc[nH+]cc21 ZINC000175663268 306686849 /nfs/dbraw/zinc/68/68/49/306686849.db2.gz DWNNPNVFXLSXFO-UHFFFAOYSA-N 1 2 301.327 1.541 20 30 DDEDLO N#Cc1csc(C[NH+]2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000119652958 195010170 /nfs/dbraw/zinc/01/01/70/195010170.db2.gz AAZFYUOCQBTHDJ-UHFFFAOYSA-N 1 2 318.446 1.360 20 30 DDEDLO N#Cc1csc(CN2CC[NH+](CC(=O)N3CCCC3)CC2)c1 ZINC000119652958 195010171 /nfs/dbraw/zinc/01/01/71/195010171.db2.gz AAZFYUOCQBTHDJ-UHFFFAOYSA-N 1 2 318.446 1.360 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)NCc2cccs2)CC1 ZINC000341997213 533110223 /nfs/dbraw/zinc/11/02/23/533110223.db2.gz WDXSRYWBSBMCOZ-UHFFFAOYSA-N 1 2 307.419 1.616 20 30 DDEDLO Cc1nsc(N2CC[NH+]([C@@H](C)c3cnccn3)CC2)c1C#N ZINC000289574351 221078288 /nfs/dbraw/zinc/07/82/88/221078288.db2.gz VZOMZHFLDKKLIY-LBPRGKRZSA-N 1 2 314.418 1.996 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C1CCN(c2ccc(C#N)cc2)CC1)[C@H](C)O ZINC000289753633 221202002 /nfs/dbraw/zinc/20/20/02/221202002.db2.gz QAKCHRCEOPLCEZ-LRDDRELGSA-N 1 2 317.389 1.039 20 30 DDEDLO CO[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1C ZINC000451578748 533413921 /nfs/dbraw/zinc/41/39/21/533413921.db2.gz XMFQSBHJRFNRKV-CZUORRHYSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@H]1C ZINC000451578748 533413926 /nfs/dbraw/zinc/41/39/26/533413926.db2.gz XMFQSBHJRFNRKV-CZUORRHYSA-N 1 2 322.430 1.689 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000050049685 407125896 /nfs/dbraw/zinc/12/58/96/407125896.db2.gz LOTUFWSTAKJDGD-NSHDSACASA-N 1 2 307.375 1.586 20 30 DDEDLO COC(=O)[C@@H]1CCC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000050049685 407125901 /nfs/dbraw/zinc/12/59/01/407125901.db2.gz LOTUFWSTAKJDGD-NSHDSACASA-N 1 2 307.375 1.586 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@@H](NS(=O)(=O)c1ccccc1C#N)C2 ZINC000090168025 407165853 /nfs/dbraw/zinc/16/58/53/407165853.db2.gz VNJXDXIZPNTWMU-CYBMUJFWSA-N 1 2 316.386 1.357 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC2CC[NH+](C)CC2)cc1C#N ZINC000101675981 407314210 /nfs/dbraw/zinc/31/42/10/407314210.db2.gz FETNCSWACJPHRU-UHFFFAOYSA-N 1 2 320.418 1.040 20 30 DDEDLO CC[N@@H+](CC(=O)NC1(C(=O)OC)CCCCC1)C[C@@H](C)C#N ZINC000098134607 407306597 /nfs/dbraw/zinc/30/65/97/407306597.db2.gz HHHFTWIZJDFRNZ-ZDUSSCGKSA-N 1 2 309.410 1.460 20 30 DDEDLO CC[N@H+](CC(=O)NC1(C(=O)OC)CCCCC1)C[C@@H](C)C#N ZINC000098134607 407306598 /nfs/dbraw/zinc/30/65/98/407306598.db2.gz HHHFTWIZJDFRNZ-ZDUSSCGKSA-N 1 2 309.410 1.460 20 30 DDEDLO C#CCOCCC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000122869464 407312986 /nfs/dbraw/zinc/31/29/86/407312986.db2.gz VAFQJNPQSNKUPN-UHFFFAOYSA-N 1 2 301.321 1.668 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)C[N@H+](C)Cc1cccc(C#N)c1 ZINC000125225470 407381853 /nfs/dbraw/zinc/38/18/53/407381853.db2.gz JERSJOYPFWINGC-UHFFFAOYSA-N 1 2 311.389 1.979 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)C[N@@H+](C)Cc1cccc(C#N)c1 ZINC000125225470 407381854 /nfs/dbraw/zinc/38/18/54/407381854.db2.gz JERSJOYPFWINGC-UHFFFAOYSA-N 1 2 311.389 1.979 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@@H]1[C@H](O)C(F)(F)F)C1CC1 ZINC000109757531 407397183 /nfs/dbraw/zinc/39/71/83/407397183.db2.gz FYZRBKORWTZWQB-KGYLQXTDSA-N 1 2 319.327 1.182 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@@H]1[C@H](O)C(F)(F)F)C1CC1 ZINC000109757531 407397185 /nfs/dbraw/zinc/39/71/85/407397185.db2.gz FYZRBKORWTZWQB-KGYLQXTDSA-N 1 2 319.327 1.182 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1ccc(Cn2cc[nH+]c2)cc1 ZINC000111329711 407408206 /nfs/dbraw/zinc/40/82/06/407408206.db2.gz GVMODVFCTYHBRR-UHFFFAOYSA-N 1 2 318.402 1.655 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2cncc(F)c2)CC1 ZINC000271004294 407464889 /nfs/dbraw/zinc/46/48/89/407464889.db2.gz ZCJSRXCFPAUKQU-ZDUSSCGKSA-N 1 2 319.384 1.103 20 30 DDEDLO C=C[C@H](C)NC(=O)N1CC[NH+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000128188119 407507133 /nfs/dbraw/zinc/50/71/33/407507133.db2.gz YPBZWFRTDZUEHV-GJZGRUSLSA-N 1 2 322.453 1.289 20 30 DDEDLO CS(=O)(=O)NC[C@H]1CCCC[N@@H+]1Cc1ccc(C#N)s1 ZINC000271088374 407508116 /nfs/dbraw/zinc/50/81/16/407508116.db2.gz VFVKCOGHKMWQHA-LLVKDONJSA-N 1 2 313.448 1.523 20 30 DDEDLO CS(=O)(=O)NC[C@H]1CCCC[N@H+]1Cc1ccc(C#N)s1 ZINC000271088374 407508120 /nfs/dbraw/zinc/50/81/20/407508120.db2.gz VFVKCOGHKMWQHA-LLVKDONJSA-N 1 2 313.448 1.523 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2nc(C)ccc2C#N)CC1 ZINC000127604653 407445967 /nfs/dbraw/zinc/44/59/67/407445967.db2.gz FGIAIPSRLCZUJP-UHFFFAOYSA-N 1 2 315.421 1.252 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNC(=O)N1CCO[C@@H](C#N)C1 ZINC000171592804 407715060 /nfs/dbraw/zinc/71/50/60/407715060.db2.gz WKOJWKZFEWYWDQ-ZDUSSCGKSA-N 1 2 313.361 1.279 20 30 DDEDLO NC(Cc1ccc(Cl)cc1)=[NH+]OCCCN1C(=O)CNC1=O ZINC000153254099 407784307 /nfs/dbraw/zinc/78/43/07/407784307.db2.gz LFEAISADHDXBHK-UHFFFAOYSA-N 1 2 324.768 1.113 20 30 DDEDLO C=CCOCCCC(=O)NCc1ccnc(-n2cc[nH+]c2)c1 ZINC000173885962 407900702 /nfs/dbraw/zinc/90/07/02/407900702.db2.gz XXPJNLPHVZDWCS-UHFFFAOYSA-N 1 2 300.362 1.866 20 30 DDEDLO C=CCN(C)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000153867704 407920386 /nfs/dbraw/zinc/92/03/86/407920386.db2.gz HHIHQWVGBBEEGU-ZDUSSCGKSA-N 1 2 304.394 1.634 20 30 DDEDLO C[C@@]1(O)CCC[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000245903854 408094796 /nfs/dbraw/zinc/09/47/96/408094796.db2.gz LMJLBZMPJFIOPF-SWLSCSKDSA-N 1 2 305.403 1.572 20 30 DDEDLO C[C@@]1(O)CCC[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000245903854 408094802 /nfs/dbraw/zinc/09/48/02/408094802.db2.gz LMJLBZMPJFIOPF-SWLSCSKDSA-N 1 2 305.403 1.572 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)N2C[C@H](C)C[C@@H](C)C2)cs1 ZINC000121055131 408154243 /nfs/dbraw/zinc/15/42/43/408154243.db2.gz YYOWOJZRRWJQMO-GHMZBOCLSA-N 1 2 324.450 1.787 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[NH+]1CCN(c2cccs2)CC1 ZINC000121241545 408171869 /nfs/dbraw/zinc/17/18/69/408171869.db2.gz VAFNDKAHXUUIGF-INIZCTEOSA-N 1 2 320.462 1.925 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000176156070 408299685 /nfs/dbraw/zinc/29/96/85/408299685.db2.gz BJMMAUUZKGBDKP-RDJZCZTQSA-N 1 2 314.433 1.784 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)c1nncn1C)C(=O)NC1(C#N)CCCCC1 ZINC000273987722 408300771 /nfs/dbraw/zinc/30/07/71/408300771.db2.gz JJYCUHNCNFXWSW-NWDGAFQWSA-N 1 2 304.398 1.197 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC(=O)Nc1ccc(OC)cc1)C1CC1 ZINC000274249982 408338826 /nfs/dbraw/zinc/33/88/26/408338826.db2.gz ZKDNNSGSNNESSM-UHFFFAOYSA-N 1 2 301.346 1.441 20 30 DDEDLO C#CC[N@H+](CC(=O)NC(=O)Nc1ccc(OC)cc1)C1CC1 ZINC000274249982 408338837 /nfs/dbraw/zinc/33/88/37/408338837.db2.gz ZKDNNSGSNNESSM-UHFFFAOYSA-N 1 2 301.346 1.441 20 30 DDEDLO C[C@H]([C@H](C)Nc1cc(C#N)ccc1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000274255330 408341387 /nfs/dbraw/zinc/34/13/87/408341387.db2.gz LGWVHHJLSGEWMO-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO COc1ccc(C[NH+]2CCN(C(=O)C(C)C)CC2)cc1C#N ZINC000269608297 408358804 /nfs/dbraw/zinc/35/88/04/408358804.db2.gz AKKRTVPBXYJRBK-UHFFFAOYSA-N 1 2 301.390 1.867 20 30 DDEDLO CC1(C)C[N@H+](CCNc2cc(C#N)ccc2[N+](=O)[O-])CCO1 ZINC000183781983 408487579 /nfs/dbraw/zinc/48/75/79/408487579.db2.gz NPRPXKKZFPHDJD-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO CC1(C)C[N@@H+](CCNc2cc(C#N)ccc2[N+](=O)[O-])CCO1 ZINC000183781983 408487584 /nfs/dbraw/zinc/48/75/84/408487584.db2.gz NPRPXKKZFPHDJD-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)c1cc(C#N)ccc1Cl ZINC000160811632 408526873 /nfs/dbraw/zinc/52/68/73/408526873.db2.gz CLJQICJECYOEJL-UHFFFAOYSA-N 1 2 324.793 1.766 20 30 DDEDLO COc1cc(N2CC[NH+](Cc3ccc(C#N)s3)CC2)ncn1 ZINC000184438017 408618079 /nfs/dbraw/zinc/61/80/79/408618079.db2.gz ISNDGKTYXDWIDJ-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO C=CCN1C(=O)C(=O)N(Cc2[nH+]cc(C)c(OC)c2C)C1=O ZINC000265601411 408707653 /nfs/dbraw/zinc/70/76/53/408707653.db2.gz MQGWCQSKDSKSRR-UHFFFAOYSA-N 1 2 303.318 1.184 20 30 DDEDLO C#CCC(C)(C)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000185349219 408799561 /nfs/dbraw/zinc/79/95/61/408799561.db2.gz FJDWKKDAWATPFK-AWEZNQCLSA-N 1 2 315.417 1.972 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cccc(OC)c2OC)C1=O ZINC000281179503 408874309 /nfs/dbraw/zinc/87/43/09/408874309.db2.gz HLYIISPBTKPNCY-CQSZACIVSA-N 1 2 304.390 1.923 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cccc(OC)c2OC)C1=O ZINC000281179503 408874312 /nfs/dbraw/zinc/87/43/12/408874312.db2.gz HLYIISPBTKPNCY-CQSZACIVSA-N 1 2 304.390 1.923 20 30 DDEDLO CCOC(=O)c1cnn(Cc2[nH+]ccc(OC)c2OC)c1C#N ZINC000276531044 408877667 /nfs/dbraw/zinc/87/76/67/408877667.db2.gz CBKMDVCVPCPJHH-UHFFFAOYSA-N 1 2 316.317 1.392 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2ccc(C#N)cc2)C1 ZINC000276365103 408843403 /nfs/dbraw/zinc/84/34/03/408843403.db2.gz ILITYEMVKSMIRS-QGZVFWFLSA-N 1 2 313.401 1.741 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2ccc(C#N)cc2)C1 ZINC000276365103 408843404 /nfs/dbraw/zinc/84/34/04/408843404.db2.gz ILITYEMVKSMIRS-QGZVFWFLSA-N 1 2 313.401 1.741 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[NH+](Cc2ccncc2)CC1)C(C)C ZINC000281088402 408871648 /nfs/dbraw/zinc/87/16/48/408871648.db2.gz OQYSAGQKQSCALV-INIZCTEOSA-N 1 2 300.406 1.567 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1C[C@@H](CC)[S@](=O)[C@@H](C)C1 ZINC000285832962 408873274 /nfs/dbraw/zinc/87/32/74/408873274.db2.gz HHDDORKKLDBIFO-FQSKDFRHSA-N 1 2 312.479 1.807 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1C[C@@H](CC)[S@](=O)[C@@H](C)C1 ZINC000285832962 408873278 /nfs/dbraw/zinc/87/32/78/408873278.db2.gz HHDDORKKLDBIFO-FQSKDFRHSA-N 1 2 312.479 1.807 20 30 DDEDLO COC(=O)[C@H](C)[N@H+](CC(=O)N(CCC#N)CCC#N)C1CCC1 ZINC000276807079 408901120 /nfs/dbraw/zinc/90/11/20/408901120.db2.gz NHLSYLFBXGORJX-ZDUSSCGKSA-N 1 2 320.393 1.058 20 30 DDEDLO COC(=O)[C@H](C)[N@@H+](CC(=O)N(CCC#N)CCC#N)C1CCC1 ZINC000276807079 408901124 /nfs/dbraw/zinc/90/11/24/408901124.db2.gz NHLSYLFBXGORJX-ZDUSSCGKSA-N 1 2 320.393 1.058 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccccc2CC)C1=O ZINC000281638405 408901546 /nfs/dbraw/zinc/90/15/46/408901546.db2.gz BWEQDONZKAXFTK-MRXNPFEDSA-N 1 2 315.417 1.906 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccccc2CC)C1=O ZINC000281638405 408901548 /nfs/dbraw/zinc/90/15/48/408901548.db2.gz BWEQDONZKAXFTK-MRXNPFEDSA-N 1 2 315.417 1.906 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)c2ccc(C(=O)OC)o2)C1=O ZINC000281514047 408889320 /nfs/dbraw/zinc/88/93/20/408889320.db2.gz YXHHBOQHJNTBOT-NWDGAFQWSA-N 1 2 306.362 1.846 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)c2ccc(C(=O)OC)o2)C1=O ZINC000281514047 408889323 /nfs/dbraw/zinc/88/93/23/408889323.db2.gz YXHHBOQHJNTBOT-NWDGAFQWSA-N 1 2 306.362 1.846 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H]2CCN(c3ccccc3)C2=O)C1=O ZINC000281884259 408946816 /nfs/dbraw/zinc/94/68/16/408946816.db2.gz DHJLMJBMVXNLHS-HZPDHXFCSA-N 1 2 313.401 1.511 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H]2CCN(c3ccccc3)C2=O)C1=O ZINC000281884259 408946817 /nfs/dbraw/zinc/94/68/17/408946817.db2.gz DHJLMJBMVXNLHS-HZPDHXFCSA-N 1 2 313.401 1.511 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cccc(CC#N)c1 ZINC000287629426 409067661 /nfs/dbraw/zinc/06/76/61/409067661.db2.gz ZNEFJCPEOAIYGJ-YOEHRIQHSA-N 1 2 313.401 1.688 20 30 DDEDLO Cc1cccn2cc(CNS(=O)(=O)N(C)[C@H](C)CC#N)[nH+]c12 ZINC000282352173 409039100 /nfs/dbraw/zinc/03/91/00/409039100.db2.gz SJHCGVKJQSNIBQ-GFCCVEGCSA-N 1 2 321.406 1.211 20 30 DDEDLO CN1CC[C@H]([N@H+](C)Cc2ccc(Br)cc2C#N)C1=O ZINC000287660373 409072335 /nfs/dbraw/zinc/07/23/35/409072335.db2.gz HCECEOIVIUTDSJ-ZDUSSCGKSA-N 1 2 322.206 1.983 20 30 DDEDLO CN1CC[C@H]([N@@H+](C)Cc2ccc(Br)cc2C#N)C1=O ZINC000287660373 409072336 /nfs/dbraw/zinc/07/23/36/409072336.db2.gz HCECEOIVIUTDSJ-ZDUSSCGKSA-N 1 2 322.206 1.983 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccc3F)n2CC)CC1 ZINC000283536943 409171985 /nfs/dbraw/zinc/17/19/85/409171985.db2.gz RZDUBYROGSVKRH-UHFFFAOYSA-N 1 2 313.380 1.859 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)ccc1F ZINC000279415038 409209804 /nfs/dbraw/zinc/20/98/04/409209804.db2.gz KVGMOOBQRBPFLX-HNNXBMFYSA-N 1 2 317.364 1.634 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@@H](C)C(=O)NC[C@@H]2CCCO2)n1 ZINC000279672012 409246032 /nfs/dbraw/zinc/24/60/32/409246032.db2.gz FIPLEGDNDYWHRA-HOCLYGCPSA-N 1 2 318.421 1.022 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@@H](C)C(=O)NC[C@@H]2CCCO2)n1 ZINC000279672012 409246035 /nfs/dbraw/zinc/24/60/35/409246035.db2.gz FIPLEGDNDYWHRA-HOCLYGCPSA-N 1 2 318.421 1.022 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)NCc3cccc(C#N)c3)C[C@@H]21 ZINC000284031654 409261069 /nfs/dbraw/zinc/26/10/69/409261069.db2.gz OGQMZFPZFRUEKP-HOTGVXAUSA-N 1 2 314.389 1.173 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)NCc3cccc(C#N)c3)C[C@@H]21 ZINC000284031654 409261072 /nfs/dbraw/zinc/26/10/72/409261072.db2.gz OGQMZFPZFRUEKP-HOTGVXAUSA-N 1 2 314.389 1.173 20 30 DDEDLO CCOC(=O)CCC[NH+]1CCN(c2snc(C)c2C#N)CC1 ZINC000289418346 409267664 /nfs/dbraw/zinc/26/76/64/409267664.db2.gz HOPMJTHGOWLOSZ-UHFFFAOYSA-N 1 2 322.434 1.789 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@@H]1CCCO1)C(=O)Nc1cc(F)ccc1F ZINC000284591463 409352583 /nfs/dbraw/zinc/35/25/83/409352583.db2.gz XYTUUNLEBGULED-PELKAZGASA-N 1 2 313.304 1.760 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[N@@H+](C)[C@@H](C)[C@H]2C)c1C#N ZINC000408084688 164222326 /nfs/dbraw/zinc/22/23/26/164222326.db2.gz SIWCTZCYDITBEX-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2CC[N@H+](C)[C@@H](C)[C@H]2C)c1C#N ZINC000408084688 164222328 /nfs/dbraw/zinc/22/23/28/164222328.db2.gz SIWCTZCYDITBEX-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)c(C)c2)C[C@@H]1C ZINC000408436837 164331043 /nfs/dbraw/zinc/33/10/43/164331043.db2.gz KJBMLAIUKDIWRY-KBPBESRZSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)c(C)c2)C[C@@H]1C ZINC000408436837 164331045 /nfs/dbraw/zinc/33/10/45/164331045.db2.gz KJBMLAIUKDIWRY-KBPBESRZSA-N 1 2 321.446 1.970 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)s1)Cc1ccccc1S(N)(=O)=O ZINC000353883875 409535341 /nfs/dbraw/zinc/53/53/41/409535341.db2.gz VWZAFYQDRRHPBK-UHFFFAOYSA-N 1 2 321.427 1.899 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)s1)Cc1ccccc1S(N)(=O)=O ZINC000353883875 409535350 /nfs/dbraw/zinc/53/53/50/409535350.db2.gz VWZAFYQDRRHPBK-UHFFFAOYSA-N 1 2 321.427 1.899 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C#N)nc1 ZINC000345422388 409680325 /nfs/dbraw/zinc/68/03/25/409680325.db2.gz GDIUQYUXGGZTDA-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C#N)nc1 ZINC000345422388 409680333 /nfs/dbraw/zinc/68/03/33/409680333.db2.gz GDIUQYUXGGZTDA-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000338134346 409739673 /nfs/dbraw/zinc/73/96/73/409739673.db2.gz XRKBDXBPQQZYGL-INIZCTEOSA-N 1 2 323.400 1.729 20 30 DDEDLO COc1cc2c(cc1OC)C[N@H+](CCNC(=O)CC#N)CC2 ZINC000357040567 409809010 /nfs/dbraw/zinc/80/90/10/409809010.db2.gz DJBIPOZAUBFLCZ-UHFFFAOYSA-N 1 2 303.362 1.092 20 30 DDEDLO COc1cc2c(cc1OC)C[N@@H+](CCNC(=O)CC#N)CC2 ZINC000357040567 409809016 /nfs/dbraw/zinc/80/90/16/409809016.db2.gz DJBIPOZAUBFLCZ-UHFFFAOYSA-N 1 2 303.362 1.092 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)C[C@H](CC#N)c1ccccc1 ZINC000354581113 409952247 /nfs/dbraw/zinc/95/22/47/409952247.db2.gz FHTGTNCWTQBSCT-WBVHZDCISA-N 1 2 315.417 1.911 20 30 DDEDLO CCO[C@@H]1C[C@@H]1C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000328832018 409989571 /nfs/dbraw/zinc/98/95/71/409989571.db2.gz LEXCKHMQJZRGQU-GZBFAFLISA-N 1 2 314.451 1.576 20 30 DDEDLO C[C@]1(n2cc(C[NH2+][C@H]3CCC[C@H]3C#N)cn2)CCS(=O)(=O)C1 ZINC000350463774 409949289 /nfs/dbraw/zinc/94/92/89/409949289.db2.gz VXFIBVBQYDEAOW-KKUMJFAQSA-N 1 2 322.434 1.199 20 30 DDEDLO C=CC[NH+](CC=C)C[C@@](C)(O)c1ccc(S(C)(=O)=O)cc1 ZINC000297813426 410008390 /nfs/dbraw/zinc/00/83/90/410008390.db2.gz IPOYLLGQHGTDKH-MRXNPFEDSA-N 1 2 309.431 1.972 20 30 DDEDLO Cc1cc(NC(=O)NC2CCN(C(=O)C3CC3)CC2)cc[nH+]1 ZINC000329002356 410045602 /nfs/dbraw/zinc/04/56/02/410045602.db2.gz LODHNMUEXBRFKH-UHFFFAOYSA-N 1 2 302.378 1.539 20 30 DDEDLO C=C(C)CS(=O)(=O)N(C)CC(C)(C)C[NH+]1CCOCC1 ZINC000357450379 410046227 /nfs/dbraw/zinc/04/62/27/410046227.db2.gz HBSDQXUSWQIDFT-UHFFFAOYSA-N 1 2 304.456 1.183 20 30 DDEDLO CC(=O)N[C@H](C(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1)C1CCCC1 ZINC000328885613 410003116 /nfs/dbraw/zinc/00/31/16/410003116.db2.gz OKMMGKXGUMCBIF-PMPSAXMXSA-N 1 2 323.437 1.453 20 30 DDEDLO CC(=O)N[C@H](C(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1)C1CCCC1 ZINC000328885613 410003121 /nfs/dbraw/zinc/00/31/21/410003121.db2.gz OKMMGKXGUMCBIF-PMPSAXMXSA-N 1 2 323.437 1.453 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)[C@@H]1CCN(C)C1=O ZINC000329100560 410100085 /nfs/dbraw/zinc/10/00/85/410100085.db2.gz ZNFYNBVJLALYLH-CHWSQXEVSA-N 1 2 306.410 1.720 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)[C@@H]1CCN(C)C1=O ZINC000329100560 410100091 /nfs/dbraw/zinc/10/00/91/410100091.db2.gz ZNFYNBVJLALYLH-CHWSQXEVSA-N 1 2 306.410 1.720 20 30 DDEDLO COCc1cc(NC(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)ncn1 ZINC000329102990 410104705 /nfs/dbraw/zinc/10/47/05/410104705.db2.gz BXUUJDSWNILFNW-NEPJUHHUSA-N 1 2 323.397 1.058 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)NC[C@@]1(O)CCO[C@H]1C ZINC000329116703 410113944 /nfs/dbraw/zinc/11/39/44/410113944.db2.gz IRUDPVOIOWMADI-OEUWWYETSA-N 1 2 319.405 1.650 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)[C@H]2CCc3ccccc32)CC1 ZINC000329125018 410115910 /nfs/dbraw/zinc/11/59/10/410115910.db2.gz XVKBLBQJCVUXJG-KRWDZBQOSA-N 1 2 315.417 1.837 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@H+](C)CC[C@@H]2C)cc1C#N ZINC000354951813 410200336 /nfs/dbraw/zinc/20/03/36/410200336.db2.gz NZEZXAXFLYJZBR-JTQLQIEISA-N 1 2 320.418 1.547 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[N@@H+](C)CC[C@@H]2C)cc1C#N ZINC000354951813 410200343 /nfs/dbraw/zinc/20/03/43/410200343.db2.gz NZEZXAXFLYJZBR-JTQLQIEISA-N 1 2 320.418 1.547 20 30 DDEDLO C#CCOc1ccc(CNC(=O)NCc2[nH+]ccn2CC)cc1 ZINC000298432032 410225232 /nfs/dbraw/zinc/22/52/32/410225232.db2.gz MCRHERVPUHKTRK-UHFFFAOYSA-N 1 2 312.373 1.914 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@H+]3C[C@H]4CC[C@@H](C3)O4)C2=O)cc1 ZINC000329424943 410292066 /nfs/dbraw/zinc/29/20/66/410292066.db2.gz IIDCHWMCRXPCHY-IXDOHACOSA-N 1 2 311.385 1.917 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@@H+]3C[C@H]4CC[C@@H](C3)O4)C2=O)cc1 ZINC000329424943 410292072 /nfs/dbraw/zinc/29/20/72/410292072.db2.gz IIDCHWMCRXPCHY-IXDOHACOSA-N 1 2 311.385 1.917 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C[C@]2(CCCOC2)O1 ZINC000332981957 410301161 /nfs/dbraw/zinc/30/11/61/410301161.db2.gz WPVRXNACHVANPC-COXVUDFISA-N 1 2 321.421 1.065 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C[C@]2(CCCOC2)O1 ZINC000332981957 410301165 /nfs/dbraw/zinc/30/11/65/410301165.db2.gz WPVRXNACHVANPC-COXVUDFISA-N 1 2 321.421 1.065 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355098130 410314318 /nfs/dbraw/zinc/31/43/18/410314318.db2.gz FBLFZIVRWQNECW-ZDUSSCGKSA-N 1 2 315.421 1.378 20 30 DDEDLO C=CCSCCNC(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000355288746 410420987 /nfs/dbraw/zinc/42/09/87/410420987.db2.gz JQQOUKKPWASESB-UHFFFAOYSA-N 1 2 322.434 1.151 20 30 DDEDLO C=CCSCCNC(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000355288746 410420994 /nfs/dbraw/zinc/42/09/94/410420994.db2.gz JQQOUKKPWASESB-UHFFFAOYSA-N 1 2 322.434 1.151 20 30 DDEDLO CN(C)c1ncc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)cn1 ZINC000355459303 410528116 /nfs/dbraw/zinc/52/81/16/410528116.db2.gz UCUMOJIFFLRPSI-UHFFFAOYSA-N 1 2 323.404 1.131 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCCO1)[N@@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000330089272 410539012 /nfs/dbraw/zinc/53/90/12/410539012.db2.gz VSSSRYFNJXDHEI-NYTXWWLZSA-N 1 2 312.410 1.390 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1CCCO1)[N@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000330089272 410539018 /nfs/dbraw/zinc/53/90/18/410539018.db2.gz VSSSRYFNJXDHEI-NYTXWWLZSA-N 1 2 312.410 1.390 20 30 DDEDLO C[C@H](C#N)C[N@H+](C)[C@@H](C)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000299163861 410552116 /nfs/dbraw/zinc/55/21/16/410552116.db2.gz NCZHYBHJUWVMHJ-RDBSUJKOSA-N 1 2 317.389 1.423 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C)[C@@H](C)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000299163861 410552126 /nfs/dbraw/zinc/55/21/26/410552126.db2.gz NCZHYBHJUWVMHJ-RDBSUJKOSA-N 1 2 317.389 1.423 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N(C)[C@@H]2CCC[N@H+](C)C2)cc1C#N ZINC000359346182 410615643 /nfs/dbraw/zinc/61/56/43/410615643.db2.gz NRGWXNYTARYDMR-LLVKDONJSA-N 1 2 320.418 1.547 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N(C)[C@@H]2CCC[N@@H+](C)C2)cc1C#N ZINC000359346182 410615649 /nfs/dbraw/zinc/61/56/49/410615649.db2.gz NRGWXNYTARYDMR-LLVKDONJSA-N 1 2 320.418 1.547 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000352407336 410653735 /nfs/dbraw/zinc/65/37/35/410653735.db2.gz QBERMBHPUXWMCP-LBPRGKRZSA-N 1 2 308.407 1.403 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CC[C@@H](COC(F)F)C2)cc1 ZINC000353027161 410746343 /nfs/dbraw/zinc/74/63/43/410746343.db2.gz WXGDXDGDRNOSRZ-YPMHNXCESA-N 1 2 309.316 1.646 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CC[C@@H](COC(F)F)C2)cc1 ZINC000353027161 410746351 /nfs/dbraw/zinc/74/63/51/410746351.db2.gz WXGDXDGDRNOSRZ-YPMHNXCESA-N 1 2 309.316 1.646 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N(C)C[C@@H](C)C#N ZINC000352774585 410678743 /nfs/dbraw/zinc/67/87/43/410678743.db2.gz GTLMNOFWODWOAP-JSGCOSHPSA-N 1 2 319.409 1.101 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N(C)C[C@@H](C)C#N ZINC000352774585 410678746 /nfs/dbraw/zinc/67/87/46/410678746.db2.gz GTLMNOFWODWOAP-JSGCOSHPSA-N 1 2 319.409 1.101 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](Cc3cnn(C)c3)CC2)CCC1 ZINC000359618136 410773740 /nfs/dbraw/zinc/77/37/40/410773740.db2.gz FXVOHWFTZQNMER-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000356080404 410819157 /nfs/dbraw/zinc/81/91/57/410819157.db2.gz GYYSAZALTWVTCO-GFCCVEGCSA-N 1 2 318.377 1.409 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000356080404 410819166 /nfs/dbraw/zinc/81/91/66/410819166.db2.gz GYYSAZALTWVTCO-GFCCVEGCSA-N 1 2 318.377 1.409 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CCOC)Cc1cccs1 ZINC000353325426 410922256 /nfs/dbraw/zinc/92/22/56/410922256.db2.gz IZKKIJKEBYJUCQ-UHFFFAOYSA-N 1 2 309.435 1.959 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CCOC)Cc1cccs1 ZINC000353325426 410922262 /nfs/dbraw/zinc/92/22/62/410922262.db2.gz IZKKIJKEBYJUCQ-UHFFFAOYSA-N 1 2 309.435 1.959 20 30 DDEDLO COc1cc(C[N@H+](C)[C@@H](C)CC#N)cc(OC)c1OCC(N)=O ZINC000353415405 410972468 /nfs/dbraw/zinc/97/24/68/410972468.db2.gz HUUTVQVNTFNNGQ-NSHDSACASA-N 1 2 321.377 1.302 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@@H](C)CC#N)cc(OC)c1OCC(N)=O ZINC000353415405 410972474 /nfs/dbraw/zinc/97/24/74/410972474.db2.gz HUUTVQVNTFNNGQ-NSHDSACASA-N 1 2 321.377 1.302 20 30 DDEDLO Cn1ncc(C(=O)N2CC[N@H+](C)C[C@H]2c2ccccc2)c1C#N ZINC000353822880 411133638 /nfs/dbraw/zinc/13/36/38/411133638.db2.gz GJPRZLHQSFONNT-INIZCTEOSA-N 1 2 309.373 1.421 20 30 DDEDLO Cn1ncc(C(=O)N2CC[N@@H+](C)C[C@H]2c2ccccc2)c1C#N ZINC000353822880 411133639 /nfs/dbraw/zinc/13/36/39/411133639.db2.gz GJPRZLHQSFONNT-INIZCTEOSA-N 1 2 309.373 1.421 20 30 DDEDLO Cc1cccc2[nH+]c(CNS(=O)(=O)c3ccc(C#N)o3)cn21 ZINC000344537120 411109656 /nfs/dbraw/zinc/10/96/56/411109656.db2.gz JROKKMMMAWIOKE-UHFFFAOYSA-N 1 2 316.342 1.586 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000356636032 411113658 /nfs/dbraw/zinc/11/36/58/411113658.db2.gz SWDCETNTOMAOCD-NSHDSACASA-N 1 2 323.343 1.924 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)Nc1ccn(CCC#N)n1 ZINC000494190472 416644307 /nfs/dbraw/zinc/64/43/07/416644307.db2.gz BCARQXOWQJCXHD-UHFFFAOYSA-N 1 2 301.354 1.547 20 30 DDEDLO N#Cc1ccnc(NC[C@H](c2ccccc2)[NH+]2CCOCC2)n1 ZINC000295847854 225307776 /nfs/dbraw/zinc/30/77/76/225307776.db2.gz AVIVFKXXEZLSKZ-MRXNPFEDSA-N 1 2 309.373 1.834 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)c1c(F)cc(C#N)cc1F)CC2 ZINC000373299455 418420458 /nfs/dbraw/zinc/42/04/58/418420458.db2.gz FQODFEFVQZRAHW-UHFFFAOYSA-N 1 2 302.284 1.997 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000373812583 418463308 /nfs/dbraw/zinc/46/33/08/418463308.db2.gz QHTVVRJNMRFOMA-HYVNUMGLSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000373812583 418463310 /nfs/dbraw/zinc/46/33/10/418463310.db2.gz QHTVVRJNMRFOMA-HYVNUMGLSA-N 1 2 323.437 1.311 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)C(=O)Nc2ccc(C#N)cc2)C1 ZINC000374334428 418518366 /nfs/dbraw/zinc/51/83/66/418518366.db2.gz VDXYYPSKMQYHKX-ZDUSSCGKSA-N 1 2 323.356 1.246 20 30 DDEDLO COC(=O)CCC[N@@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000374364358 418522448 /nfs/dbraw/zinc/52/24/48/418522448.db2.gz GMOYKIUZPZJLHI-SJCJKPOMSA-N 1 2 313.357 1.285 20 30 DDEDLO COC(=O)CCC[N@H+]1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000374364358 418522452 /nfs/dbraw/zinc/52/24/52/418522452.db2.gz GMOYKIUZPZJLHI-SJCJKPOMSA-N 1 2 313.357 1.285 20 30 DDEDLO CC#CCNC(=O)N1CCC[N@@H+](Cc2csc(CC)n2)CC1 ZINC000367177047 418568400 /nfs/dbraw/zinc/56/84/00/418568400.db2.gz UQXKMTGYQGCAGB-UHFFFAOYSA-N 1 2 320.462 1.946 20 30 DDEDLO CC#CCNC(=O)N1CCC[N@H+](Cc2csc(CC)n2)CC1 ZINC000367177047 418568403 /nfs/dbraw/zinc/56/84/03/418568403.db2.gz UQXKMTGYQGCAGB-UHFFFAOYSA-N 1 2 320.462 1.946 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1cc(OC)ccc1OC ZINC000191128596 222096574 /nfs/dbraw/zinc/09/65/74/222096574.db2.gz HZVIJNSPXZZJRR-UHFFFAOYSA-N 1 2 308.378 1.777 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1cc(OC)ccc1OC ZINC000191128596 222096577 /nfs/dbraw/zinc/09/65/77/222096577.db2.gz HZVIJNSPXZZJRR-UHFFFAOYSA-N 1 2 308.378 1.777 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH2+][C@@H](c2cccc(Cl)c2)C1 ZINC000374909377 418576605 /nfs/dbraw/zinc/57/66/05/418576605.db2.gz IDYXCUKBVYDGFW-OAHLLOKOSA-N 1 2 306.793 1.853 20 30 DDEDLO CCc1[nH+]c2ccccc2n1CC(=O)N1CCN(CC#N)CC1 ZINC000195129865 222191210 /nfs/dbraw/zinc/19/12/10/222191210.db2.gz KGMLRMAKHYBDPZ-UHFFFAOYSA-N 1 2 311.389 1.266 20 30 DDEDLO C=CC[N@@H+](C[C@@H](O)CCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000248550297 222235925 /nfs/dbraw/zinc/23/59/25/222235925.db2.gz GEQODAOCGQOYHM-IRXDYDNUSA-N 1 2 323.458 1.655 20 30 DDEDLO C=CC[N@H+](C[C@@H](O)CCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000248550297 222235929 /nfs/dbraw/zinc/23/59/29/222235929.db2.gz GEQODAOCGQOYHM-IRXDYDNUSA-N 1 2 323.458 1.655 20 30 DDEDLO C[C@@H](CNC(=O)Cc1ccc(OCC#N)cc1)Cn1cc[nH+]c1 ZINC000267068648 222369840 /nfs/dbraw/zinc/36/98/40/222369840.db2.gz HQMSZHQPTCONSW-AWEZNQCLSA-N 1 2 312.373 1.780 20 30 DDEDLO CC#CCCNC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000361293745 418619545 /nfs/dbraw/zinc/61/95/45/418619545.db2.gz FANNFQQMHHWABD-KRWDZBQOSA-N 1 2 315.417 1.773 20 30 DDEDLO N#C[C@H]1C[N@@H+](CCOC2CCC2)C[C@]12C(=O)Nc1ccccc12 ZINC000367735896 418628556 /nfs/dbraw/zinc/62/85/56/418628556.db2.gz ABWGLCDLBACBJW-UGSOOPFHSA-N 1 2 311.385 1.901 20 30 DDEDLO N#C[C@H]1C[N@H+](CCOC2CCC2)C[C@]12C(=O)Nc1ccccc12 ZINC000367735896 418628560 /nfs/dbraw/zinc/62/85/60/418628560.db2.gz ABWGLCDLBACBJW-UGSOOPFHSA-N 1 2 311.385 1.901 20 30 DDEDLO CO/N=C/C(=O)N[C@@H]1CC[N@H+](C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000377692621 418713449 /nfs/dbraw/zinc/71/34/49/418713449.db2.gz JMIZKQMBLSUWNM-PJHZIYRWSA-N 1 2 313.760 1.973 20 30 DDEDLO CO/N=C/C(=O)N[C@@H]1CC[N@@H+](C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000377692621 418713451 /nfs/dbraw/zinc/71/34/51/418713451.db2.gz JMIZKQMBLSUWNM-PJHZIYRWSA-N 1 2 313.760 1.973 20 30 DDEDLO CN(Cc1cccc(C#N)c1)C(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000378074645 418718709 /nfs/dbraw/zinc/71/87/09/418718709.db2.gz UVKUTUNEOJWZEV-CQSZACIVSA-N 1 2 309.373 1.980 20 30 DDEDLO CN(Cc1cccc(C#N)c1)C(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000378074645 418718711 /nfs/dbraw/zinc/71/87/11/418718711.db2.gz UVKUTUNEOJWZEV-CQSZACIVSA-N 1 2 309.373 1.980 20 30 DDEDLO N#CCCC[N@@H+]1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000361865409 418719434 /nfs/dbraw/zinc/71/94/34/418719434.db2.gz MXSHJLULBVXRDK-CYBMUJFWSA-N 1 2 313.357 1.287 20 30 DDEDLO N#CCCC[N@H+]1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000361865409 418719435 /nfs/dbraw/zinc/71/94/35/418719435.db2.gz MXSHJLULBVXRDK-CYBMUJFWSA-N 1 2 313.357 1.287 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@H+]1CCCN(C(=O)c2ccoc2)CC1 ZINC000361461643 418656333 /nfs/dbraw/zinc/65/63/33/418656333.db2.gz GZBPXSGYZKHFDP-AWEZNQCLSA-N 1 2 300.362 1.871 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@@H+]1CCCN(C(=O)c2ccoc2)CC1 ZINC000361461643 418656334 /nfs/dbraw/zinc/65/63/34/418656334.db2.gz GZBPXSGYZKHFDP-AWEZNQCLSA-N 1 2 300.362 1.871 20 30 DDEDLO N#CCC[C@H](C#N)C[N@H+]1CCCN(C(=O)c2ccoc2)CC1 ZINC000361461644 418656766 /nfs/dbraw/zinc/65/67/66/418656766.db2.gz GZBPXSGYZKHFDP-CQSZACIVSA-N 1 2 300.362 1.871 20 30 DDEDLO N#CCC[C@H](C#N)C[N@@H+]1CCCN(C(=O)c2ccoc2)CC1 ZINC000361461644 418656767 /nfs/dbraw/zinc/65/67/67/418656767.db2.gz GZBPXSGYZKHFDP-CQSZACIVSA-N 1 2 300.362 1.871 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)C2C[C@H]3CCC[C@@H](C2)C3=O)CC1 ZINC000361616018 418691200 /nfs/dbraw/zinc/69/12/00/418691200.db2.gz UYDRUZWZTBUBSS-XYPWUTKMSA-N 1 2 302.418 1.549 20 30 DDEDLO C=CCC1(C(=O)NC[C@@]2([NH+]3CCOCC3)CCCOC2)CCC1 ZINC000377022902 418703871 /nfs/dbraw/zinc/70/38/71/418703871.db2.gz ITUWWDLQZUMAIQ-SFHVURJKSA-N 1 2 322.449 1.730 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1C[C@@H](C)[N@H+](C)C[C@H]1C ZINC000361961658 418730467 /nfs/dbraw/zinc/73/04/67/418730467.db2.gz LKFSFLCQZMGNEC-VXGBXAGGSA-N 1 2 323.418 1.280 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)C[C@H]1C ZINC000361961658 418730470 /nfs/dbraw/zinc/73/04/70/418730470.db2.gz LKFSFLCQZMGNEC-VXGBXAGGSA-N 1 2 323.418 1.280 20 30 DDEDLO C=C[C@@H]([NH2+]CCCn1cc(Br)cn1)C(=O)OC ZINC000383541241 418733492 /nfs/dbraw/zinc/73/34/92/418733492.db2.gz UKRNWOJLOYOYHJ-SNVBAGLBSA-N 1 2 302.172 1.353 20 30 DDEDLO COc1ccc(C[N@@H+]2CCN3C(=O)N(C)C[C@@H]3C2)cc1C#N ZINC000370085203 418740692 /nfs/dbraw/zinc/74/06/92/418740692.db2.gz SSCHBSJDCMUQEB-CQSZACIVSA-N 1 2 300.362 1.118 20 30 DDEDLO COc1ccc(C[N@H+]2CCN3C(=O)N(C)C[C@@H]3C2)cc1C#N ZINC000370085203 418740694 /nfs/dbraw/zinc/74/06/94/418740694.db2.gz SSCHBSJDCMUQEB-CQSZACIVSA-N 1 2 300.362 1.118 20 30 DDEDLO C=CCOCCCC(=O)NC1CCN(c2cc(C)[nH+]cn2)CC1 ZINC000370302012 418742777 /nfs/dbraw/zinc/74/27/77/418742777.db2.gz UOBAHZYHRVRDCP-UHFFFAOYSA-N 1 2 318.421 1.853 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)[C@H](C#N)Cc1ccc(C#N)cc1)CC2 ZINC000370468598 418745016 /nfs/dbraw/zinc/74/50/16/418745016.db2.gz JTPLEDOGOCVPJA-INIZCTEOSA-N 1 2 319.368 1.788 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CCC[N@H+](Cc2nc(C)c(C)o2)CC1 ZINC000371103350 418763029 /nfs/dbraw/zinc/76/30/29/418763029.db2.gz CCSJIWUJOQVFGS-ZDUSSCGKSA-N 1 2 318.421 1.921 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CCC[N@@H+](Cc2nc(C)c(C)o2)CC1 ZINC000371103350 418763030 /nfs/dbraw/zinc/76/30/30/418763030.db2.gz CCSJIWUJOQVFGS-ZDUSSCGKSA-N 1 2 318.421 1.921 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCC(C(=O)c2cccs2)CC1 ZINC000363643015 418767822 /nfs/dbraw/zinc/76/78/22/418767822.db2.gz IXJMBAONNDCFGW-LBPRGKRZSA-N 1 2 304.415 1.781 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@H](C)O[C@]2(CCO[C@H]2C)C1 ZINC000364060565 418773169 /nfs/dbraw/zinc/77/31/69/418773169.db2.gz BAVDJJHWCUNTOD-OFQRWUPVSA-N 1 2 309.410 1.017 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C)O[C@]2(CCO[C@H]2C)C1 ZINC000364060565 418773170 /nfs/dbraw/zinc/77/31/70/418773170.db2.gz BAVDJJHWCUNTOD-OFQRWUPVSA-N 1 2 309.410 1.017 20 30 DDEDLO C=CCNC(=O)CNC(=O)[C@@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000364258938 418774497 /nfs/dbraw/zinc/77/44/97/418774497.db2.gz HUMYIYYTBBNEHY-LLVKDONJSA-N 1 2 304.394 1.056 20 30 DDEDLO C=CCNC(=O)CNC(=O)[C@@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000364258938 418774499 /nfs/dbraw/zinc/77/44/99/418774499.db2.gz HUMYIYYTBBNEHY-LLVKDONJSA-N 1 2 304.394 1.056 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(c3ccc(C)c(C#N)n3)C2)no1 ZINC000368951794 418727125 /nfs/dbraw/zinc/72/71/25/418727125.db2.gz RZPDTDQJGZUVRO-CYBMUJFWSA-N 1 2 312.377 1.664 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(c3ccc(C)c(C#N)n3)C2)no1 ZINC000368951794 418727128 /nfs/dbraw/zinc/72/71/28/418727128.db2.gz RZPDTDQJGZUVRO-CYBMUJFWSA-N 1 2 312.377 1.664 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@@H+]2CCOC(C)(C)C2)c1C#N ZINC000297461802 227199746 /nfs/dbraw/zinc/19/97/46/227199746.db2.gz QGKHONJNCXSYRA-UHFFFAOYSA-N 1 2 323.422 1.556 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@H+]2CCOC(C)(C)C2)c1C#N ZINC000297461802 227199752 /nfs/dbraw/zinc/19/97/52/227199752.db2.gz QGKHONJNCXSYRA-UHFFFAOYSA-N 1 2 323.422 1.556 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@@H+](Cc1cc(C#N)ccc1F)CC2 ZINC000364886846 418821949 /nfs/dbraw/zinc/82/19/49/418821949.db2.gz GHECKMWBYKZLST-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@H+](Cc1cc(C#N)ccc1F)CC2 ZINC000364886846 418821950 /nfs/dbraw/zinc/82/19/50/418821950.db2.gz GHECKMWBYKZLST-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO C=CC1CCN(C(=O)c2cnn(CC[NH+]3CCOCC3)c2)CC1 ZINC000372673666 418891783 /nfs/dbraw/zinc/89/17/83/418891783.db2.gz HWUYLLGWHOGBBD-UHFFFAOYSA-N 1 2 318.421 1.254 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C2(CC=C)CCC2)CC1 ZINC000365092553 418836853 /nfs/dbraw/zinc/83/68/53/418836853.db2.gz QEGPQJAEQAFVDD-UHFFFAOYSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(CC=C)CCC2)CC1 ZINC000365092553 418836855 /nfs/dbraw/zinc/83/68/55/418836855.db2.gz QEGPQJAEQAFVDD-UHFFFAOYSA-N 1 2 317.433 1.017 20 30 DDEDLO C[C@@H](NC(=O)NCc1ccc(C#N)s1)[C@@H](C)[NH+]1CCOCC1 ZINC000420915105 419352829 /nfs/dbraw/zinc/35/28/29/419352829.db2.gz KYRQMQQBRXUHFY-VXGBXAGGSA-N 1 2 322.434 1.528 20 30 DDEDLO CN(C)c1ccc(CNC(=O)c2ncc(C#N)cc2Cl)c[nH+]1 ZINC000427907447 419766527 /nfs/dbraw/zinc/76/65/27/419766527.db2.gz WACQMDQNHVBMOS-UHFFFAOYSA-N 1 2 315.764 1.998 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)CC1(C#N)CCCCC1 ZINC000431574341 229042268 /nfs/dbraw/zinc/04/22/68/229042268.db2.gz JFBGOVQADMWYSY-UHFFFAOYSA-N 1 2 323.418 1.984 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@@H](C)[N@@H+]2CCc3ccccc3C2)c1C#N ZINC000431681453 420240480 /nfs/dbraw/zinc/24/04/80/420240480.db2.gz MFSZUVVWYNKUMG-GFCCVEGCSA-N 1 2 309.373 1.975 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@@H](C)[N@H+]2CCc3ccccc3C2)c1C#N ZINC000431681453 420240481 /nfs/dbraw/zinc/24/04/81/420240481.db2.gz MFSZUVVWYNKUMG-GFCCVEGCSA-N 1 2 309.373 1.975 20 30 DDEDLO Cc1onc(CC(=O)Nc2ccc3[nH]c(N(C)C)[nH+]c3c2)c1C#N ZINC000416219970 420283839 /nfs/dbraw/zinc/28/38/39/420283839.db2.gz IJFSCTGPEJDPMT-UHFFFAOYSA-N 1 2 324.344 1.978 20 30 DDEDLO CC(C)[C@H](C(=O)NCC1(C#N)CCC1)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000416352542 420341561 /nfs/dbraw/zinc/34/15/61/420341561.db2.gz CXHOCTYVHZAXDD-RBSFLKMASA-N 1 2 307.438 1.930 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NC[C@H](c1ccccc1Cl)[NH+](C)C ZINC000416368484 420344809 /nfs/dbraw/zinc/34/48/09/420344809.db2.gz GZJYYOIMWQAFRJ-GXFFZTMASA-N 1 2 315.826 1.774 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1C[C@@H](C)[N@H+](Cc2ccccc2)C[C@H]1C ZINC000416449373 420375328 /nfs/dbraw/zinc/37/53/28/420375328.db2.gz JOZQJQDMDUWXIP-RBSFLKMASA-N 1 2 321.446 1.823 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1C[C@@H](C)[N@@H+](Cc2ccccc2)C[C@H]1C ZINC000416449373 420375331 /nfs/dbraw/zinc/37/53/31/420375331.db2.gz JOZQJQDMDUWXIP-RBSFLKMASA-N 1 2 321.446 1.823 20 30 DDEDLO Cc1cccc(S(=O)(=O)NCCNc2cccc[nH+]2)c1C#N ZINC000443510429 230014342 /nfs/dbraw/zinc/01/43/42/230014342.db2.gz NMGMXYLXXMACCN-UHFFFAOYSA-N 1 2 316.386 1.652 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000450897410 420554399 /nfs/dbraw/zinc/55/43/99/420554399.db2.gz PQHLXJUROPDSGX-KGLIPLIRSA-N 1 2 306.410 1.567 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000450897410 420554401 /nfs/dbraw/zinc/55/44/01/420554401.db2.gz PQHLXJUROPDSGX-KGLIPLIRSA-N 1 2 306.410 1.567 20 30 DDEDLO N#Cc1cc(N(CC[NH+]2CCOCC2)Cc2ccco2)ccn1 ZINC000450942388 420564108 /nfs/dbraw/zinc/56/41/08/420564108.db2.gz QTXQWACFKSQNKB-UHFFFAOYSA-N 1 2 312.373 1.885 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C/c1cccc([N+](=O)[O-])c1 ZINC000493188833 420781328 /nfs/dbraw/zinc/78/13/28/420781328.db2.gz KZLHTYCJPVIIOU-MVGZEHJDSA-N 1 2 302.334 1.568 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[NH2+][C@H](CO)[C@@H]3CCCO3)o2)cc1 ZINC000447905619 420813717 /nfs/dbraw/zinc/81/37/17/420813717.db2.gz MZSLERZQZCRQPJ-KGLIPLIRSA-N 1 2 314.345 1.238 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(N)=O ZINC000459427054 420833240 /nfs/dbraw/zinc/83/32/40/420833240.db2.gz JLQNWOCDTBLMTC-HUBLWGQQSA-N 1 2 314.345 1.552 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(N)=O ZINC000459427054 420833243 /nfs/dbraw/zinc/83/32/43/420833243.db2.gz JLQNWOCDTBLMTC-HUBLWGQQSA-N 1 2 314.345 1.552 20 30 DDEDLO C=CC[N@@H+](C)CN1C[C@H]2CN(C(=O)OC(C)(C)C)CCN2C1=O ZINC000495572482 421026921 /nfs/dbraw/zinc/02/69/21/421026921.db2.gz VMCNMFHDGZFFGH-CYBMUJFWSA-N 1 2 324.425 1.419 20 30 DDEDLO C=CC[N@H+](C)CN1C[C@H]2CN(C(=O)OC(C)(C)C)CCN2C1=O ZINC000495572482 421026924 /nfs/dbraw/zinc/02/69/24/421026924.db2.gz VMCNMFHDGZFFGH-CYBMUJFWSA-N 1 2 324.425 1.419 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCOC[C@@H]2CC(N)=O)cc1Cl ZINC000449767670 421078841 /nfs/dbraw/zinc/07/88/41/421078841.db2.gz DUQQFMKOIYHFRN-ZDUSSCGKSA-N 1 2 324.808 1.981 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCOC[C@@H]2CC(N)=O)cc1Cl ZINC000449767670 421078845 /nfs/dbraw/zinc/07/88/45/421078845.db2.gz DUQQFMKOIYHFRN-ZDUSSCGKSA-N 1 2 324.808 1.981 20 30 DDEDLO C=CCCCS(=O)(=O)NC[C@H](C(C)C)[NH+]1CCN(C)CC1 ZINC000489531902 421162735 /nfs/dbraw/zinc/16/27/35/421162735.db2.gz PWWANAGGIPPGNY-OAHLLOKOSA-N 1 2 317.499 1.144 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)C1CC[NH+](CC(F)F)CC1 ZINC000456261773 421136012 /nfs/dbraw/zinc/13/60/12/421136012.db2.gz JHKWYEHUIWQHNK-OLZOCXBDSA-N 1 2 302.365 1.813 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000491671921 421201123 /nfs/dbraw/zinc/20/11/23/421201123.db2.gz QZXVLDZQUWIYFN-CABCVRRESA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000491671921 421201124 /nfs/dbraw/zinc/20/11/24/421201124.db2.gz QZXVLDZQUWIYFN-CABCVRRESA-N 1 2 308.422 1.968 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@@H+](C[C@@H](O)CC2(O)CCC2)C1 ZINC000528555016 421499195 /nfs/dbraw/zinc/49/91/95/421499195.db2.gz UGROUMLBTATJLR-RDJZCZTQSA-N 1 2 315.417 1.605 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@H+](C[C@@H](O)CC2(O)CCC2)C1 ZINC000528555016 421499197 /nfs/dbraw/zinc/49/91/97/421499197.db2.gz UGROUMLBTATJLR-RDJZCZTQSA-N 1 2 315.417 1.605 20 30 DDEDLO C[C@@H](c1nccc(N)n1)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000564156547 421571867 /nfs/dbraw/zinc/57/18/67/421571867.db2.gz YEHNVRPCNXRGOQ-AWEZNQCLSA-N 1 2 322.416 1.809 20 30 DDEDLO Cc1oncc1C[N@@H+]1C[C@@H](F)C[C@H]1CNc1cc(C#N)ncn1 ZINC000564791446 421593362 /nfs/dbraw/zinc/59/33/62/421593362.db2.gz PMBAXOONQJCLEH-JSGCOSHPSA-N 1 2 316.340 1.669 20 30 DDEDLO Cc1oncc1C[N@H+]1C[C@@H](F)C[C@H]1CNc1cc(C#N)ncn1 ZINC000564791446 421593364 /nfs/dbraw/zinc/59/33/64/421593364.db2.gz PMBAXOONQJCLEH-JSGCOSHPSA-N 1 2 316.340 1.669 20 30 DDEDLO CC(=O)Nc1ccc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000566306285 421604542 /nfs/dbraw/zinc/60/45/42/421604542.db2.gz LBYNTEUZLLHSTA-MRXNPFEDSA-N 1 2 302.378 1.148 20 30 DDEDLO Cn1c(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc2ccccc2c1=O ZINC000566564678 421606990 /nfs/dbraw/zinc/60/69/90/421606990.db2.gz SRNIJXLIIIDVFY-QGZVFWFLSA-N 1 2 312.373 1.112 20 30 DDEDLO C[C@@H]1C[C@H](NS(=O)(=O)c2ccc(C#N)s2)c2[nH+]ccn21 ZINC000517290782 421608091 /nfs/dbraw/zinc/60/80/91/421608091.db2.gz VKWPUINZCYRDNF-SCZZXKLOSA-N 1 2 308.388 1.801 20 30 DDEDLO N#CCc1ccc(CS(=O)(=O)NCCn2cc[nH+]c2)cc1 ZINC000567768342 421614598 /nfs/dbraw/zinc/61/45/98/421614598.db2.gz LCCANKYWQJBENF-UHFFFAOYSA-N 1 2 304.375 1.069 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCCOc1cccc(F)c1 ZINC000567995944 421616321 /nfs/dbraw/zinc/61/63/21/421616321.db2.gz ZQGJGKGJLJWGII-MRXNPFEDSA-N 1 2 307.369 1.945 20 30 DDEDLO CCOC(=O)c1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)[nH]c1C ZINC000568753252 421622309 /nfs/dbraw/zinc/62/23/09/421622309.db2.gz LONOPAZTBFZPOB-HNNXBMFYSA-N 1 2 306.366 1.074 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1cc(F)cc2c1OCOC2 ZINC000517804364 421629846 /nfs/dbraw/zinc/62/98/46/421629846.db2.gz ZABZKCUHQCRAOO-MRXNPFEDSA-N 1 2 321.352 1.195 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N[C@@H]2CCC[C@H](C)[C@H]2C)C1=O ZINC000532217334 421651309 /nfs/dbraw/zinc/65/13/09/421651309.db2.gz NONKJZSCCHTDEP-JJXSEGSLSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N[C@@H]2CCC[C@H](C)[C@H]2C)C1=O ZINC000532217334 421651313 /nfs/dbraw/zinc/65/13/13/421651313.db2.gz NONKJZSCCHTDEP-JJXSEGSLSA-N 1 2 321.465 1.646 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNS(=O)(=O)CCC(C)(C)C#N)CCC2 ZINC000554893250 421665948 /nfs/dbraw/zinc/66/59/48/421665948.db2.gz DADRCNNERPRQLO-CYBMUJFWSA-N 1 2 324.450 1.928 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C(C)(C)Oc1ccc(F)cc1 ZINC000519798553 421729431 /nfs/dbraw/zinc/72/94/31/421729431.db2.gz RPXDTLCGJNATKT-MRXNPFEDSA-N 1 2 307.369 1.943 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(Cl)c(C#N)c1 ZINC000539785983 421752984 /nfs/dbraw/zinc/75/29/84/421752984.db2.gz GKSJRPLOSDKSRA-NSHDSACASA-N 1 2 307.781 1.662 20 30 DDEDLO N#CCC[C@@H](C#N)C[NH2+][C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000558634186 421816600 /nfs/dbraw/zinc/81/66/00/421816600.db2.gz WZDAIISOCOUOPW-STQMWFEESA-N 1 2 300.337 1.964 20 30 DDEDLO N#Cc1c(F)cccc1-n1ccc(NC(=O)Cn2cc[nH+]c2)n1 ZINC000520870312 421766935 /nfs/dbraw/zinc/76/69/35/421766935.db2.gz UKGYCZHMUQBCQM-UHFFFAOYSA-N 1 2 310.292 1.718 20 30 DDEDLO N#Cc1ccc(CNC(=O)C(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000557354367 421768558 /nfs/dbraw/zinc/76/85/58/421768558.db2.gz IANRDYKIFLXJKG-UHFFFAOYSA-N 1 2 319.324 1.461 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cccc(C(=O)NC2CC2)c1 ZINC000521632930 421795500 /nfs/dbraw/zinc/79/55/00/421795500.db2.gz ZLSFTYBGBKCJMK-QGZVFWFLSA-N 1 2 314.389 1.152 20 30 DDEDLO Cn1cc(CN(CCn2cc[nH+]c2)C(=O)c2cc(C#N)c[nH]2)cn1 ZINC000580855815 421851493 /nfs/dbraw/zinc/85/14/93/421851493.db2.gz YTFCONPUSGXAHA-UHFFFAOYSA-N 1 2 323.360 1.159 20 30 DDEDLO C=CCCCCCN(C)S(=O)(=O)C[C@@H]1C[N@H+](C)CCO1 ZINC000632017228 422023042 /nfs/dbraw/zinc/02/30/42/422023042.db2.gz RFQZHLIAWXDWDH-AWEZNQCLSA-N 1 2 304.456 1.325 20 30 DDEDLO C=CCCCCCN(C)S(=O)(=O)C[C@@H]1C[N@@H+](C)CCO1 ZINC000632017228 422023047 /nfs/dbraw/zinc/02/30/47/422023047.db2.gz RFQZHLIAWXDWDH-AWEZNQCLSA-N 1 2 304.456 1.325 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000628316114 422129350 /nfs/dbraw/zinc/12/93/50/422129350.db2.gz JNQWCYVZNQCMGN-UHFFFAOYSA-N 1 2 316.449 1.922 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)CCC1 ZINC000574944572 422220717 /nfs/dbraw/zinc/22/07/17/422220717.db2.gz VEIHFWMQKAOBJO-GFCCVEGCSA-N 1 2 308.407 1.613 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)[C@@H](CO)COC(C)C)c1C#N ZINC000575116061 422259626 /nfs/dbraw/zinc/25/96/26/422259626.db2.gz JIGHSORCCFHQLX-AWEZNQCLSA-N 1 2 320.389 1.563 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)[C@@H](CO)COC(C)C)c1C#N ZINC000575116061 422259628 /nfs/dbraw/zinc/25/96/28/422259628.db2.gz JIGHSORCCFHQLX-AWEZNQCLSA-N 1 2 320.389 1.563 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000577820807 422432714 /nfs/dbraw/zinc/43/27/14/422432714.db2.gz YREIVZPFXJMNHF-KGLIPLIRSA-N 1 2 300.362 1.867 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCO[C@]2(CCSC2)C1)C1CC1 ZINC000577950734 422461575 /nfs/dbraw/zinc/46/15/75/422461575.db2.gz ZWRIPECVLIEQLR-LSDHHAIUSA-N 1 2 309.435 1.003 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCO[C@]2(CCSC2)C1)C1CC1 ZINC000577950734 422461580 /nfs/dbraw/zinc/46/15/80/422461580.db2.gz ZWRIPECVLIEQLR-LSDHHAIUSA-N 1 2 309.435 1.003 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000115530968 263337811 /nfs/dbraw/zinc/33/78/11/263337811.db2.gz IHPFEOCUOOQORT-GJZGRUSLSA-N 1 2 315.373 1.360 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000115530968 263337814 /nfs/dbraw/zinc/33/78/14/263337814.db2.gz IHPFEOCUOOQORT-GJZGRUSLSA-N 1 2 315.373 1.360 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCCc1cn2c(cccc2C)[nH+]1 ZINC000645930677 423247736 /nfs/dbraw/zinc/24/77/36/423247736.db2.gz IWDYMMVQVDVSDT-OAHLLOKOSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[NH+](Cc3ccsc3)CC2)nn1 ZINC000648225704 423280266 /nfs/dbraw/zinc/28/02/66/423280266.db2.gz PTVPFUUTSYPCGN-UHFFFAOYSA-N 1 2 317.418 1.484 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(c3ccccc3)C2=O)nn1 ZINC000640939785 423286890 /nfs/dbraw/zinc/28/68/90/423286890.db2.gz DJVYYPVHYRDQIK-INIZCTEOSA-N 1 2 309.373 1.369 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](OC)c2ccc(F)cc2)nn1 ZINC000641104902 423388781 /nfs/dbraw/zinc/38/87/81/423388781.db2.gz WKONUPOINPVGEY-INIZCTEOSA-N 1 2 302.353 1.918 20 30 DDEDLO C=CCN(C(=O)NCc1ccc(N(C)C)[nH+]c1)[C@@H](C)COC ZINC000663623862 424016343 /nfs/dbraw/zinc/01/63/43/424016343.db2.gz HOPIKNAOCMGEDG-ZDUSSCGKSA-N 1 2 306.410 1.880 20 30 DDEDLO C=CCN(C(=O)NCCc1cn2c([nH+]1)CCCC2)[C@H](C)COC ZINC000663686981 424076169 /nfs/dbraw/zinc/07/61/69/424076169.db2.gz RLHQSKGUEOYJME-CQSZACIVSA-N 1 2 320.437 1.994 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H]2CCc3ccccc3NC2=O)nn1 ZINC000657503968 424386130 /nfs/dbraw/zinc/38/61/30/424386130.db2.gz BJZBDXADBXPQOD-INIZCTEOSA-N 1 2 311.389 1.897 20 30 DDEDLO CCC[C@H](C(=O)OCC)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355082969 266075979 /nfs/dbraw/zinc/07/59/79/266075979.db2.gz RVCOMWYJWZXLKC-OAHLLOKOSA-N 1 2 316.405 1.807 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[S@@](=O)CC[C@H]1C ZINC000375947678 266795027 /nfs/dbraw/zinc/79/50/27/266795027.db2.gz LYLZHVSJFLERHL-DMZKTXOQSA-N 1 2 322.430 1.789 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[S@@](=O)CC[C@H]1C ZINC000375947678 266795029 /nfs/dbraw/zinc/79/50/29/266795029.db2.gz LYLZHVSJFLERHL-DMZKTXOQSA-N 1 2 322.430 1.789 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Cc1c(F)cccc1C#N ZINC000349892604 266926687 /nfs/dbraw/zinc/92/66/87/266926687.db2.gz LKHUNOIWBTXMJT-LBPRGKRZSA-N 1 2 305.353 1.077 20 30 DDEDLO CCN(Cc1c[nH+]c2ccc(C#N)cn12)[C@@H]1CCS(=O)(=O)C1 ZINC000505608441 267070473 /nfs/dbraw/zinc/07/04/73/267070473.db2.gz XMMXMIXZAKIDHT-CYBMUJFWSA-N 1 2 318.402 1.215 20 30 DDEDLO CC[N@H+](Cc1cnc2ccc(C#N)cn12)[C@@H]1CCS(=O)(=O)C1 ZINC000505608441 267070476 /nfs/dbraw/zinc/07/04/76/267070476.db2.gz XMMXMIXZAKIDHT-CYBMUJFWSA-N 1 2 318.402 1.215 20 30 DDEDLO CC[N@@H+](Cc1cnc2ccc(C#N)cn12)[C@@H]1CCS(=O)(=O)C1 ZINC000505608441 267070479 /nfs/dbraw/zinc/07/04/79/267070479.db2.gz XMMXMIXZAKIDHT-CYBMUJFWSA-N 1 2 318.402 1.215 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](C)CCN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000357041236 267103401 /nfs/dbraw/zinc/10/34/01/267103401.db2.gz QLLGBYWAUZOAQZ-ZIAGYGMSSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](C)CCN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000357041236 267103404 /nfs/dbraw/zinc/10/34/04/267103404.db2.gz QLLGBYWAUZOAQZ-ZIAGYGMSSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1[C@H](C)N(CCS(=O)(=O)c2ccc(C#N)cc2)CC[N@H+]1C ZINC000357048411 267107997 /nfs/dbraw/zinc/10/79/97/267107997.db2.gz WGPRBCIQATZTPL-KGLIPLIRSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1[C@H](C)N(CCS(=O)(=O)c2ccc(C#N)cc2)CC[N@@H+]1C ZINC000357048411 267108000 /nfs/dbraw/zinc/10/80/00/267108000.db2.gz WGPRBCIQATZTPL-KGLIPLIRSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1[C@H](N2CCOCC2)CC[N@@H+]1Cc1ccc(F)cc1C#N ZINC000377718376 267110817 /nfs/dbraw/zinc/11/08/17/267110817.db2.gz GBBQTHLVHJQBBF-CXAGYDPISA-N 1 2 303.381 1.992 20 30 DDEDLO C[C@@H]1[C@H](N2CCOCC2)CC[N@H+]1Cc1ccc(F)cc1C#N ZINC000377718376 267110819 /nfs/dbraw/zinc/11/08/19/267110819.db2.gz GBBQTHLVHJQBBF-CXAGYDPISA-N 1 2 303.381 1.992 20 30 DDEDLO N#Cc1ccc(OCC(=O)N2CC[NH2+][C@H](c3ccncc3)C2)cc1 ZINC000374379365 268168833 /nfs/dbraw/zinc/16/88/33/268168833.db2.gz WBDAZKMCDHBLOO-KRWDZBQOSA-N 1 2 322.368 1.505 20 30 DDEDLO N#Cc1cccc(C#N)c1N1CC[NH+]([C@@H]2CCCCNC2=O)CC1 ZINC000366777545 268234759 /nfs/dbraw/zinc/23/47/59/268234759.db2.gz QJSVHGYOIKUANX-MRXNPFEDSA-N 1 2 323.400 1.221 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cnc4ccccn34)CC2)c1 ZINC000349975451 268293270 /nfs/dbraw/zinc/29/32/70/268293270.db2.gz SDLDLOSNSCHFTP-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO COC[C@](C)(CC(=O)OC)[NH2+]Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000459395148 277268358 /nfs/dbraw/zinc/26/83/58/277268358.db2.gz UXLNWGGCEUTSFV-HNNXBMFYSA-N 1 2 321.333 1.524 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)cc2C(F)(F)F)C[C@H]1O ZINC000449570657 277299772 /nfs/dbraw/zinc/29/97/72/277299772.db2.gz IDGWBHFARBCGIY-CHWSQXEVSA-N 1 2 300.280 1.769 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2C(F)(F)F)C[C@H]1O ZINC000449570657 277299773 /nfs/dbraw/zinc/29/97/73/277299773.db2.gz IDGWBHFARBCGIY-CHWSQXEVSA-N 1 2 300.280 1.769 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000186046851 277700807 /nfs/dbraw/zinc/70/08/07/277700807.db2.gz OZMNNLIWCRLHRN-WBVHZDCISA-N 1 2 315.417 1.689 20 30 DDEDLO Cc1cccn2c(=O)cc(C[NH+]3CCC(F)(C#N)CC3)nc12 ZINC000352467990 278969184 /nfs/dbraw/zinc/96/91/84/278969184.db2.gz PEFUOTHJAKECRX-UHFFFAOYSA-N 1 2 300.337 1.831 20 30 DDEDLO Cc1nnc(N2CC[C@@H]([NH+]3CCOCC3)[C@H]2C)c(C#N)c1C ZINC000291096485 279225477 /nfs/dbraw/zinc/22/54/77/279225477.db2.gz JXKVWJXBCLZMSU-UKRRQHHQSA-N 1 2 301.394 1.265 20 30 DDEDLO CC(C)C[C@]1(C)CC(=O)N(C[C@H](O)C[N@H+](C)CCC#N)C1=O ZINC000414153089 279237663 /nfs/dbraw/zinc/23/76/63/279237663.db2.gz QYRXCEWJZSHQAT-CZUORRHYSA-N 1 2 309.410 1.004 20 30 DDEDLO CC(C)C[C@]1(C)CC(=O)N(C[C@H](O)C[N@@H+](C)CCC#N)C1=O ZINC000414153089 279237666 /nfs/dbraw/zinc/23/76/66/279237666.db2.gz QYRXCEWJZSHQAT-CZUORRHYSA-N 1 2 309.410 1.004 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000373812584 280102232 /nfs/dbraw/zinc/10/22/32/280102232.db2.gz QHTVVRJNMRFOMA-OIISXLGYSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000373812584 280102233 /nfs/dbraw/zinc/10/22/33/280102233.db2.gz QHTVVRJNMRFOMA-OIISXLGYSA-N 1 2 323.437 1.311 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)CC(=O)NC1CC1 ZINC000128355329 281308976 /nfs/dbraw/zinc/30/89/76/281308976.db2.gz BEGUEBKBHCVHNS-LLVKDONJSA-N 1 2 300.362 1.096 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)CC(=O)NC1CC1 ZINC000128355329 281308978 /nfs/dbraw/zinc/30/89/78/281308978.db2.gz BEGUEBKBHCVHNS-LLVKDONJSA-N 1 2 300.362 1.096 20 30 DDEDLO COc1cc(C)cnc1C(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000331344726 533691817 /nfs/dbraw/zinc/69/18/17/533691817.db2.gz LGKBLZIQHCTVLA-UHFFFAOYSA-N 1 2 307.394 1.814 20 30 DDEDLO N#CCN(CC(O)C[N@H+](CC#N)C1CCCC1)C1CCCC1 ZINC000194539289 303155218 /nfs/dbraw/zinc/15/52/18/303155218.db2.gz OULSVALDZVVBLP-UHFFFAOYSA-N 1 2 304.438 1.884 20 30 DDEDLO N#CCN(CC(O)C[N@@H+](CC#N)C1CCCC1)C1CCCC1 ZINC000194539289 303155220 /nfs/dbraw/zinc/15/52/20/303155220.db2.gz OULSVALDZVVBLP-UHFFFAOYSA-N 1 2 304.438 1.884 20 30 DDEDLO COC(=O)[C@@](C)([NH2+]CCC(=O)Nc1ccccc1C#N)C1CC1 ZINC000560713460 303824328 /nfs/dbraw/zinc/82/43/28/303824328.db2.gz MQQJNQMMVNJGLI-KRWDZBQOSA-N 1 2 315.373 1.818 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(CC2CCCC2)c1=O ZINC000576718814 308335275 /nfs/dbraw/zinc/33/52/75/308335275.db2.gz IPVILJNTKYTFEX-UHFFFAOYSA-N 1 2 301.390 1.742 20 30 DDEDLO Cc1ccc([C@H](CNc2ncncc2C#N)[NH+]2CCOCC2)cc1 ZINC000577390394 308385111 /nfs/dbraw/zinc/38/51/11/308385111.db2.gz APMQGSHAQPVWDO-KRWDZBQOSA-N 1 2 323.400 1.564 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnc(-c2cccnc2)s1 ZINC000517748175 331513411 /nfs/dbraw/zinc/51/34/11/331513411.db2.gz QKZVWQHDMIIBIM-HNNXBMFYSA-N 1 2 315.402 1.779 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1CCC[C@@H]1Cn1cc[nH+]c1 ZINC000569670163 332656694 /nfs/dbraw/zinc/65/66/94/332656694.db2.gz RGRQGQUMYFUUJN-KFWWJZLASA-N 1 2 304.394 1.791 20 30 DDEDLO C[C@H](NC(=O)c1ccccc1OCC#N)[C@H](C)[NH+]1CCOCC1 ZINC000170887263 333042048 /nfs/dbraw/zinc/04/20/48/333042048.db2.gz PEFZDAVPLGOFTO-KBPBESRZSA-N 1 2 317.389 1.428 20 30 DDEDLO Cc1ccc(-n2cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)nn2)cc1 ZINC000521442600 333652376 /nfs/dbraw/zinc/65/23/76/333652376.db2.gz LGFWLCHSOLYGKS-INIZCTEOSA-N 1 2 312.377 1.149 20 30 DDEDLO CC(C)(C)c1nnc(C[NH2+]Cc2cnc3ccc(C#N)cn23)[nH]1 ZINC000583485505 334272321 /nfs/dbraw/zinc/27/23/21/334272321.db2.gz CEHDFIMCMGYQCO-UHFFFAOYSA-N 1 2 309.377 1.911 20 30 DDEDLO C#CCNC(=O)N(Cc1ccccc1)[C@@H](C)C[NH+]1CCOCC1 ZINC000076997513 334923735 /nfs/dbraw/zinc/92/37/35/334923735.db2.gz OFNLZPXUKUCJNH-INIZCTEOSA-N 1 2 315.417 1.552 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@](O)(C(F)(F)F)C2)c([N+](=O)[O-])c1 ZINC000583206365 337270807 /nfs/dbraw/zinc/27/08/07/337270807.db2.gz BJIVHZRYWUAADI-LBPRGKRZSA-N 1 2 315.251 1.966 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@](O)(C(F)(F)F)C2)c([N+](=O)[O-])c1 ZINC000583206365 337270808 /nfs/dbraw/zinc/27/08/08/337270808.db2.gz BJIVHZRYWUAADI-LBPRGKRZSA-N 1 2 315.251 1.966 20 30 DDEDLO C=CCN(CC=C)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000045845446 337829256 /nfs/dbraw/zinc/82/92/56/337829256.db2.gz CGLMCXKXIHHGGZ-UHFFFAOYSA-N 1 2 316.405 1.802 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)NCC2(C#N)CC2)CC1 ZINC000515115798 337979540 /nfs/dbraw/zinc/97/95/40/337979540.db2.gz MMSSEFYXKDVBSW-CQSZACIVSA-N 1 2 313.405 1.774 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cccc(N2CCOC2=O)c1 ZINC000497070220 340019548 /nfs/dbraw/zinc/01/95/48/340019548.db2.gz FXXFWIDPZMAZHG-MRXNPFEDSA-N 1 2 316.361 1.217 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)Nc2nc3c(s2)CCCC3)CC1 ZINC000195216829 340576250 /nfs/dbraw/zinc/57/62/50/340576250.db2.gz BGCFELBUUOSEJB-UHFFFAOYSA-N 1 2 304.419 1.805 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(OCC)cc2)CC1 ZINC000128345757 340875958 /nfs/dbraw/zinc/87/59/58/340875958.db2.gz UPZLHYPKSLLJNK-UHFFFAOYSA-N 1 2 302.374 1.242 20 30 DDEDLO C=CCN(C(=O)[C@H]1CCCc2[nH+]c[nH]c21)[C@H]1CCS(=O)(=O)C1 ZINC000547755693 341230587 /nfs/dbraw/zinc/23/05/87/341230587.db2.gz LPZJUZQBRKQIHG-RYUDHWBXSA-N 1 2 323.418 1.031 20 30 DDEDLO CSC[C@@](C)(C#N)NC(=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000554778006 341463518 /nfs/dbraw/zinc/46/35/18/341463518.db2.gz SGYVONWNWBCNRX-OAHLLOKOSA-N 1 2 302.403 1.947 20 30 DDEDLO Cc1c(C(=O)N[C@](C)(C#N)C[NH+](C)C)nnn1-c1ccccc1 ZINC000580572562 341879168 /nfs/dbraw/zinc/87/91/68/341879168.db2.gz VNGOHXJFSXJARL-MRXNPFEDSA-N 1 2 312.377 1.149 20 30 DDEDLO C=CCn1c(COC)nnc1N1CCN(c2cccc[nH+]2)CC1 ZINC000669818077 484652098 /nfs/dbraw/zinc/65/20/98/484652098.db2.gz HRQDBZCYADZIEY-UHFFFAOYSA-N 1 2 314.393 1.332 20 30 DDEDLO C=CCCn1cc(CN[C@H](c2[nH+]ccn2C)C(C)(C)CO)nn1 ZINC000668209426 485026166 /nfs/dbraw/zinc/02/61/66/485026166.db2.gz BVHFOMBTDQDVQP-CQSZACIVSA-N 1 2 318.425 1.437 20 30 DDEDLO C=CCOCCCNC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000666519398 485254183 /nfs/dbraw/zinc/25/41/83/485254183.db2.gz KJIDAZKXRAIPIU-UHFFFAOYSA-N 1 2 319.409 1.209 20 30 DDEDLO Cc1c([C@@H]2[C@H](C(=O)NCc3[nH]cc[nH+]3)CC(=O)N2C)cnn1C ZINC000330301815 533951076 /nfs/dbraw/zinc/95/10/76/533951076.db2.gz NZZLVFHRGOBTES-YGRLFVJLSA-N 1 2 316.365 1.128 20 30 DDEDLO Cc1cc(NC[C@@H](O)COc2ccc(F)c(F)c2)c(C#N)c[nH+]1 ZINC000425222954 534033331 /nfs/dbraw/zinc/03/33/31/534033331.db2.gz JJKUIJHWNDOTNG-GFCCVEGCSA-N 1 2 319.311 1.814 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3ncccc3O)CC2)cc1 ZINC000299678603 534780551 /nfs/dbraw/zinc/78/05/51/534780551.db2.gz QSFOVUOFNJHSEE-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO N#Cc1ccc(SC[C@H](O)C[NH+]2CCOCC2)c(Cl)c1 ZINC000305341374 534798668 /nfs/dbraw/zinc/79/86/68/534798668.db2.gz PEDYRRCJRADLGJ-GFCCVEGCSA-N 1 2 312.822 1.997 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)C[N@@H+](C)CC(=O)NC(C)C ZINC000345378732 526353038 /nfs/dbraw/zinc/35/30/38/526353038.db2.gz KOCKZWUUJVKUQT-UHFFFAOYSA-N 1 2 317.433 1.658 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)C[N@H+](C)CC(=O)NC(C)C ZINC000345378732 526353044 /nfs/dbraw/zinc/35/30/44/526353044.db2.gz KOCKZWUUJVKUQT-UHFFFAOYSA-N 1 2 317.433 1.658 20 30 DDEDLO CNC(=O)NCC(=O)Nc1c[nH+]c(N2CCCC[C@@H]2C)c(C)c1 ZINC000330764368 526401111 /nfs/dbraw/zinc/40/11/11/526401111.db2.gz JAMWJIAKLUNEBF-LBPRGKRZSA-N 1 2 319.409 1.841 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccc(C#N)cc2)C1=O ZINC000337234693 526469649 /nfs/dbraw/zinc/46/96/49/526469649.db2.gz XCASQTGCSVLRIV-OAHLLOKOSA-N 1 2 312.373 1.215 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccc(C#N)cc2)C1=O ZINC000337234693 526469653 /nfs/dbraw/zinc/46/96/53/526469653.db2.gz XCASQTGCSVLRIV-OAHLLOKOSA-N 1 2 312.373 1.215 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(C)[C@@H]2CCCC[C@H]2C)C1=O ZINC000337199740 526499288 /nfs/dbraw/zinc/49/92/88/526499288.db2.gz BFAYAVUFTJNDOI-OAGGEKHMSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(C)[C@@H]2CCCC[C@H]2C)C1=O ZINC000337199740 526499294 /nfs/dbraw/zinc/49/92/94/526499294.db2.gz BFAYAVUFTJNDOI-OAGGEKHMSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2sccc2OC)CC1 ZINC000349768314 526538409 /nfs/dbraw/zinc/53/84/09/526538409.db2.gz PRVVCBFDADXALP-UHFFFAOYSA-N 1 2 309.435 1.177 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1ccc(F)cc1Cl ZINC000337501829 526586338 /nfs/dbraw/zinc/58/63/38/526586338.db2.gz MDRSMAQGQQTFAA-UHFFFAOYSA-N 1 2 313.760 1.923 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1ccc(F)cc1Cl ZINC000337501829 526586343 /nfs/dbraw/zinc/58/63/43/526586343.db2.gz MDRSMAQGQQTFAA-UHFFFAOYSA-N 1 2 313.760 1.923 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCO[C@H](C2CCCCC2)C1 ZINC000334046670 526603247 /nfs/dbraw/zinc/60/32/47/526603247.db2.gz ZMKIYUFHVOGRPW-HIFRSBDPSA-N 1 2 323.437 1.668 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCO[C@H](C2CCCCC2)C1 ZINC000334046670 526603251 /nfs/dbraw/zinc/60/32/51/526603251.db2.gz ZMKIYUFHVOGRPW-HIFRSBDPSA-N 1 2 323.437 1.668 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH2+][C@@H](C)c1ncc(Br)cn1 ZINC000431129923 526628212 /nfs/dbraw/zinc/62/82/12/526628212.db2.gz VYTJTRUZHXCFSO-DTWKUNHWSA-N 1 2 313.199 1.580 20 30 DDEDLO CC(=O)N1CC[NH+](Cc2cccc(NC(=O)[C@H](C)C#N)c2)CC1 ZINC000444416825 526630284 /nfs/dbraw/zinc/63/02/84/526630284.db2.gz OLYVLRSBKWTABC-CYBMUJFWSA-N 1 2 314.389 1.449 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH2+][C@@H](C)c1ncc(Br)cn1 ZINC000431129924 526635289 /nfs/dbraw/zinc/63/52/89/526635289.db2.gz VYTJTRUZHXCFSO-IUCAKERBSA-N 1 2 313.199 1.580 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000435428476 526677963 /nfs/dbraw/zinc/67/79/63/526677963.db2.gz SDQFQVGMEDOROD-UHFFFAOYSA-N 1 2 300.449 1.772 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459311407 526901088 /nfs/dbraw/zinc/90/10/88/526901088.db2.gz XKSOAXNXQUZADM-ZDUSSCGKSA-N 1 2 302.334 1.567 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459311407 526901090 /nfs/dbraw/zinc/90/10/90/526901090.db2.gz XKSOAXNXQUZADM-ZDUSSCGKSA-N 1 2 302.334 1.567 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cc(C)ccc2[N+](=O)[O-])CC1 ZINC000491486415 526952320 /nfs/dbraw/zinc/95/23/20/526952320.db2.gz WAEMTJOUXTVFFR-UHFFFAOYSA-N 1 2 317.345 1.059 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(C)o3)n2C2CC2)CC1 ZINC000491414615 526955795 /nfs/dbraw/zinc/95/57/95/526955795.db2.gz DLDPWZZKYBTKIL-UHFFFAOYSA-N 1 2 311.389 1.937 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccc(C#N)c3)n2C)CC1 ZINC000491191113 526956327 /nfs/dbraw/zinc/95/63/27/526956327.db2.gz AENQQBUYUXSFDL-UHFFFAOYSA-N 1 2 306.373 1.109 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000341379067 527041426 /nfs/dbraw/zinc/04/14/26/527041426.db2.gz OVPJSGSIPVRTTD-CQSZACIVSA-N 1 2 305.426 1.602 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000337078333 527099879 /nfs/dbraw/zinc/09/98/79/527099879.db2.gz SQHOADPORVEUBC-INIZCTEOSA-N 1 2 304.390 1.186 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)C2CN(C(=O)OC(C)(C)C)C2)n1 ZINC000491804294 527183706 /nfs/dbraw/zinc/18/37/06/527183706.db2.gz LAQJVTBCJOYSOK-UHFFFAOYSA-N 1 2 318.421 1.958 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)C2CN(C(=O)OC(C)(C)C)C2)n1 ZINC000491804294 527183709 /nfs/dbraw/zinc/18/37/09/527183709.db2.gz LAQJVTBCJOYSOK-UHFFFAOYSA-N 1 2 318.421 1.958 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1)C(C)(C)C ZINC000491771533 527271629 /nfs/dbraw/zinc/27/16/29/527271629.db2.gz OQLUQPQPYXYTNY-ZIAGYGMSSA-N 1 2 317.437 1.846 20 30 DDEDLO CC(=O)c1ccc(OC[C@H](O)C[N@H+](C)CCC#N)c([N+](=O)[O-])c1 ZINC000414145244 527328532 /nfs/dbraw/zinc/32/85/32/527328532.db2.gz PGNFICSNVLKRCG-CYBMUJFWSA-N 1 2 321.333 1.383 20 30 DDEDLO CC(=O)c1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)c([N+](=O)[O-])c1 ZINC000414145244 527328537 /nfs/dbraw/zinc/32/85/37/527328537.db2.gz PGNFICSNVLKRCG-CYBMUJFWSA-N 1 2 321.333 1.383 20 30 DDEDLO C#C[C@H](CCC)NC(=O)N1CCN(C2=[NH+]CC(C)(C)S2)CC1 ZINC000491723202 527368299 /nfs/dbraw/zinc/36/82/99/527368299.db2.gz AOYMTYSSLJPYQQ-CYBMUJFWSA-N 1 2 322.478 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000433010257 527374438 /nfs/dbraw/zinc/37/44/38/527374438.db2.gz BLWFFUUFKPCECJ-UONOGXRCSA-N 1 2 306.410 1.596 20 30 DDEDLO C#C[C@H](NC(=O)c1ccc(-n2cc[nH+]c2)nn1)c1ccc(F)cc1 ZINC000491807196 527407906 /nfs/dbraw/zinc/40/79/06/527407906.db2.gz SLDKFXGATFYROC-AWEZNQCLSA-N 1 2 321.315 1.906 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCOc1ccc(/C=C/C(=O)OC)cc1 ZINC000491770951 527427777 /nfs/dbraw/zinc/42/77/77/527427777.db2.gz QQYZNBLZQXYGRE-FSNWXROXSA-N 1 2 315.369 1.586 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCOc1ccc(/C=C/C(=O)OC)cc1 ZINC000491770951 527427781 /nfs/dbraw/zinc/42/77/81/527427781.db2.gz QQYZNBLZQXYGRE-FSNWXROXSA-N 1 2 315.369 1.586 20 30 DDEDLO C=C[C@H](C)NC(=O)N1CC[C@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000441090498 527559187 /nfs/dbraw/zinc/55/91/87/527559187.db2.gz MEAKTRYSUDXMRK-LRDDRELGSA-N 1 2 311.426 1.666 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[NH+]1CCC(O)(C(F)F)CC1 ZINC000331119540 527936641 /nfs/dbraw/zinc/93/66/41/527936641.db2.gz AHRMVFXDVUKJNF-FZMZJTMJSA-N 1 2 317.380 1.521 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CCC1CCCCC1)CC(N)=O ZINC000337771563 528354270 /nfs/dbraw/zinc/35/42/70/528354270.db2.gz FSAKXUITSUHPIS-UHFFFAOYSA-N 1 2 322.453 1.506 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CCC1CCCCC1)CC(N)=O ZINC000337771563 528354275 /nfs/dbraw/zinc/35/42/75/528354275.db2.gz FSAKXUITSUHPIS-UHFFFAOYSA-N 1 2 322.453 1.506 20 30 DDEDLO CCCS(=O)(=O)N1CCC(NC(=O)c2c[nH+]c(C)[nH]2)CC1 ZINC000330679371 528802944 /nfs/dbraw/zinc/80/29/44/528802944.db2.gz MWLJOPOJAKQVNE-UHFFFAOYSA-N 1 2 314.411 1.227 20 30 DDEDLO CCCS(=O)(=O)N1CCC(Nc2cc(C)[nH+]cc2C#N)CC1 ZINC000425203848 528802998 /nfs/dbraw/zinc/80/29/98/528802998.db2.gz GQPYHUFJVFPVGJ-UHFFFAOYSA-N 1 2 322.434 1.300 20 30 DDEDLO CCNC(=O)NC1(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CCCC1 ZINC000330263815 528827524 /nfs/dbraw/zinc/82/75/24/528827524.db2.gz XASHRWQGFYDRBM-GFCCVEGCSA-N 1 2 319.409 1.991 20 30 DDEDLO CCN1CCN(CC(=O)NCC2CCC2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000329924254 529136211 /nfs/dbraw/zinc/13/62/11/529136211.db2.gz HOFJGQUEZBEZQZ-CQSZACIVSA-N 1 2 305.426 1.845 20 30 DDEDLO CCN1CCN(CC(=O)NC2CCOCC2)C[C@H]1c1[nH]cc[nH+]1 ZINC000329917447 529136542 /nfs/dbraw/zinc/13/65/42/529136542.db2.gz QUHDRNLRNBSHNM-AWEZNQCLSA-N 1 2 321.425 1.224 20 30 DDEDLO C#CCC[NH+]1CCN(c2ncc(-c3nn[nH]n3)cc2Cl)CC1 ZINC000735374910 598939560 /nfs/dbraw/zinc/93/95/60/598939560.db2.gz FMJGKYWWNRRXJZ-UHFFFAOYSA-N 1 2 317.784 1.060 20 30 DDEDLO CC(=[NH+]NCc1ccc(-c2nn[nH]n2)cc1)c1csc(N)n1 ZINC000819773573 607253728 /nfs/dbraw/zinc/25/37/28/607253728.db2.gz VKAYVSVPISJJRR-UHFFFAOYSA-N 1 2 314.378 1.419 20 30 DDEDLO CC(C)Cc1nc(-c2nn[nH]n2)c(N=NC2C[N@H+](C)C[C@H]2C)o1 ZINC000820108275 606460843 /nfs/dbraw/zinc/46/08/43/606460843.db2.gz HYMHGFLSHCFTPZ-SECBINFHSA-N 1 2 318.385 1.403 20 30 DDEDLO CC(C)Cc1nc(-c2nn[nH]n2)c(N=NC2C[N@@H+](C)C[C@H]2C)o1 ZINC000820108275 606460845 /nfs/dbraw/zinc/46/08/45/606460845.db2.gz HYMHGFLSHCFTPZ-SECBINFHSA-N 1 2 318.385 1.403 20 30 DDEDLO COc1ccc(C[N@H+](C[C@@H](C)C#N)C2CC2)cc1-c1nn[nH]n1 ZINC000826193922 607831977 /nfs/dbraw/zinc/83/19/77/607831977.db2.gz UWHLGXOVWWWDGV-NSHDSACASA-N 1 2 312.377 1.999 20 30 DDEDLO COc1ccc(C[N@@H+](C[C@@H](C)C#N)C2CC2)cc1-c1nn[nH]n1 ZINC000826193922 607831978 /nfs/dbraw/zinc/83/19/78/607831978.db2.gz UWHLGXOVWWWDGV-NSHDSACASA-N 1 2 312.377 1.999 20 30 DDEDLO CC(C)c1ccc(CCNC(=O)CO[NH+]=C(N)CCO)cc1 ZINC000121326550 696708669 /nfs/dbraw/zinc/70/86/69/696708669.db2.gz UPWOCNQELOOGNB-UHFFFAOYSA-N 1 2 307.394 1.140 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC000972083485 695152872 /nfs/dbraw/zinc/15/28/72/695152872.db2.gz ZBBRWZVQGGYVRN-ZDUSSCGKSA-N 1 2 305.426 1.543 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC000972083485 695152873 /nfs/dbraw/zinc/15/28/73/695152873.db2.gz ZBBRWZVQGGYVRN-ZDUSSCGKSA-N 1 2 305.426 1.543 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccccc3C)C2)C1 ZINC000972232761 695184003 /nfs/dbraw/zinc/18/40/03/695184003.db2.gz FLZLLDQEXLJBNG-IBGZPJMESA-N 1 2 312.413 1.935 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccccc3C)C2)C1 ZINC000972232761 695184005 /nfs/dbraw/zinc/18/40/05/695184005.db2.gz FLZLLDQEXLJBNG-IBGZPJMESA-N 1 2 312.413 1.935 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](CC)SC)C2)C1 ZINC000972347687 695223317 /nfs/dbraw/zinc/22/33/17/695223317.db2.gz WVLKVAOVLRMHQE-GOEBONIOSA-N 1 2 310.463 1.455 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](CC)SC)C2)C1 ZINC000972347687 695223319 /nfs/dbraw/zinc/22/33/19/695223319.db2.gz WVLKVAOVLRMHQE-GOEBONIOSA-N 1 2 310.463 1.455 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnn(C)c3Cl)C2)C1 ZINC000972438691 695251805 /nfs/dbraw/zinc/25/18/05/695251805.db2.gz SVDOSFSGDWXZKY-HNNXBMFYSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnn(C)c3Cl)C2)C1 ZINC000972438691 695251806 /nfs/dbraw/zinc/25/18/06/695251806.db2.gz SVDOSFSGDWXZKY-HNNXBMFYSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C(C)(F)F)CC3)C2)C1 ZINC000972555286 695281509 /nfs/dbraw/zinc/28/15/09/695281509.db2.gz WNNBQMAJHJUQTB-HNNXBMFYSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C(C)(F)F)CC3)C2)C1 ZINC000972555286 695281510 /nfs/dbraw/zinc/28/15/10/695281510.db2.gz WNNBQMAJHJUQTB-HNNXBMFYSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cn(CC)cn3)C2)C1 ZINC000972640191 695306766 /nfs/dbraw/zinc/30/67/66/695306766.db2.gz GKELPUYAYNJTFW-MRXNPFEDSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cn(CC)cn3)C2)C1 ZINC000972640191 695306767 /nfs/dbraw/zinc/30/67/67/695306767.db2.gz GKELPUYAYNJTFW-MRXNPFEDSA-N 1 2 304.394 1.006 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@]3(C2)C[N@H+](CC#CC)CCO3)nc1 ZINC000972643089 695307687 /nfs/dbraw/zinc/30/76/87/695307687.db2.gz NLOVOZTXIGAHSQ-LJQANCHMSA-N 1 2 323.396 1.003 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@]3(C2)C[N@@H+](CC#CC)CCO3)nc1 ZINC000972643089 695307689 /nfs/dbraw/zinc/30/76/89/695307689.db2.gz NLOVOZTXIGAHSQ-LJQANCHMSA-N 1 2 323.396 1.003 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2sc3nccn3c2C)C(C)(C)C1 ZINC000974698195 695714125 /nfs/dbraw/zinc/71/41/25/695714125.db2.gz KTFYWCTYFUBZPF-LBPRGKRZSA-N 1 2 316.430 1.778 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2sc3nccn3c2C)C(C)(C)C1 ZINC000974698195 695714127 /nfs/dbraw/zinc/71/41/27/695714127.db2.gz KTFYWCTYFUBZPF-LBPRGKRZSA-N 1 2 316.430 1.778 20 30 DDEDLO NC(=[NH+]OCC(=O)NCc1ccccc1)c1ccc(F)cc1 ZINC000029464217 696110569 /nfs/dbraw/zinc/11/05/69/696110569.db2.gz ITDAMPNQDHSKDX-UHFFFAOYSA-N 1 2 301.321 1.779 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2nc3c(s2)CCC3)CC1 ZINC000981617807 696843434 /nfs/dbraw/zinc/84/34/34/696843434.db2.gz WIQJQDUQLMSTQL-UHFFFAOYSA-N 1 2 303.431 1.803 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2nc3c(s2)CCC3)CC1 ZINC000981617807 696843436 /nfs/dbraw/zinc/84/34/36/696843436.db2.gz WIQJQDUQLMSTQL-UHFFFAOYSA-N 1 2 303.431 1.803 20 30 DDEDLO Cc1conc1C[N@H+]1CCCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC000981669356 696867336 /nfs/dbraw/zinc/86/73/36/696867336.db2.gz MSMZKBFITJAAQW-GFCCVEGCSA-N 1 2 302.378 1.567 20 30 DDEDLO Cc1conc1C[N@@H+]1CCCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC000981669356 696867340 /nfs/dbraw/zinc/86/73/40/696867340.db2.gz MSMZKBFITJAAQW-GFCCVEGCSA-N 1 2 302.378 1.567 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC000980786381 696888603 /nfs/dbraw/zinc/88/86/03/696888603.db2.gz PNSGJAUHZRCFDR-AWEZNQCLSA-N 1 2 301.390 1.627 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC000980786381 696888604 /nfs/dbraw/zinc/88/86/04/696888604.db2.gz PNSGJAUHZRCFDR-AWEZNQCLSA-N 1 2 301.390 1.627 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)N2CCC2)CC1 ZINC000981874151 696950661 /nfs/dbraw/zinc/95/06/61/696950661.db2.gz YNGMDXMIEVWGMZ-KRWDZBQOSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)N2CCC2)CC1 ZINC000981874151 696950662 /nfs/dbraw/zinc/95/06/62/696950662.db2.gz YNGMDXMIEVWGMZ-KRWDZBQOSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C(C)CC[N@@H+]1CCCN(C(=O)C(C)(C)S(C)(=O)=O)CC1 ZINC000982070905 697021833 /nfs/dbraw/zinc/02/18/33/697021833.db2.gz ZZJVMAHSZVATJF-UHFFFAOYSA-N 1 2 316.467 1.310 20 30 DDEDLO C=C(C)CC[N@H+]1CCCN(C(=O)C(C)(C)S(C)(=O)=O)CC1 ZINC000982070905 697021836 /nfs/dbraw/zinc/02/18/36/697021836.db2.gz ZZJVMAHSZVATJF-UHFFFAOYSA-N 1 2 316.467 1.310 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2oc(CC3CC3)nc2C)CC1 ZINC000981162174 697022725 /nfs/dbraw/zinc/02/27/25/697022725.db2.gz VGQLMWNYDPNFIU-UHFFFAOYSA-N 1 2 301.390 1.717 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2oc(CC3CC3)nc2C)CC1 ZINC000981162174 697022727 /nfs/dbraw/zinc/02/27/27/697022727.db2.gz VGQLMWNYDPNFIU-UHFFFAOYSA-N 1 2 301.390 1.717 20 30 DDEDLO C#CCC[N@H+]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000981165340 697024199 /nfs/dbraw/zinc/02/41/99/697024199.db2.gz SZBAFBRKRRCRSS-AWEZNQCLSA-N 1 2 300.406 1.387 20 30 DDEDLO C#CCC[N@@H+]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000981165340 697024202 /nfs/dbraw/zinc/02/42/02/697024202.db2.gz SZBAFBRKRRCRSS-AWEZNQCLSA-N 1 2 300.406 1.387 20 30 DDEDLO C=CCn1cc(C(=O)N2CCC[N@@H+](C/C=C/Cl)CC2)nn1 ZINC000982117778 697034514 /nfs/dbraw/zinc/03/45/14/697034514.db2.gz MYIRVKLURYUGED-HWKANZROSA-N 1 2 309.801 1.365 20 30 DDEDLO C=CCn1cc(C(=O)N2CCC[N@H+](C/C=C/Cl)CC2)nn1 ZINC000982117778 697034516 /nfs/dbraw/zinc/03/45/16/697034516.db2.gz MYIRVKLURYUGED-HWKANZROSA-N 1 2 309.801 1.365 20 30 DDEDLO N#CCN[C@H]1CCC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC000981453532 697101171 /nfs/dbraw/zinc/10/11/71/697101171.db2.gz JPCYAXDNJSIKJE-KBPBESRZSA-N 1 2 324.388 1.421 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2noc3c2C[C@@H](C)CC3)CC1 ZINC000983482527 697269799 /nfs/dbraw/zinc/26/97/99/697269799.db2.gz LLXOETAAOHXJKT-ZDUSSCGKSA-N 1 2 301.390 1.581 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2noc3c2C[C@@H](C)CC3)CC1 ZINC000983482527 697269800 /nfs/dbraw/zinc/26/98/00/697269800.db2.gz LLXOETAAOHXJKT-ZDUSSCGKSA-N 1 2 301.390 1.581 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2scc3c2OCCO3)CC1 ZINC000983585906 697281825 /nfs/dbraw/zinc/28/18/25/697281825.db2.gz JIHKTNMGGIJFGW-UHFFFAOYSA-N 1 2 306.387 1.300 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2scc3c2OCCO3)CC1 ZINC000983585906 697281826 /nfs/dbraw/zinc/28/18/26/697281826.db2.gz JIHKTNMGGIJFGW-UHFFFAOYSA-N 1 2 306.387 1.300 20 30 DDEDLO CC(=[NH+]NCCCN1CCOCC1)c1ccc(N)c([N+](=O)[O-])c1 ZINC000799488888 700145247 /nfs/dbraw/zinc/14/52/47/700145247.db2.gz MIHBHTITSCOQBQ-UHFFFAOYSA-N 1 2 321.381 1.213 20 30 DDEDLO Oc1ccc(Cl)c(F)c1C=NNCCC[NH+]1CCOCC1 ZINC000799492730 700146171 /nfs/dbraw/zinc/14/61/71/700146171.db2.gz WLGQMPFVNMMQAT-UHFFFAOYSA-N 1 2 315.776 1.831 20 30 DDEDLO CCOCCON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181744107 697464374 /nfs/dbraw/zinc/46/43/74/697464374.db2.gz PRRRKISTSWTTKY-OAHLLOKOSA-N 1 2 307.394 1.213 20 30 DDEDLO CCOCCON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181744107 697464377 /nfs/dbraw/zinc/46/43/77/697464377.db2.gz PRRRKISTSWTTKY-OAHLLOKOSA-N 1 2 307.394 1.213 20 30 DDEDLO C#C[C@@H](NC(=O)NCCCNc1cccc[nH+]1)[C@@H]1CCCO1 ZINC000773312400 697742617 /nfs/dbraw/zinc/74/26/17/697742617.db2.gz VZNYBZQXZALEDW-KGLIPLIRSA-N 1 2 302.378 1.364 20 30 DDEDLO Cc1c(C#N)c2[nH]c3ccccc3n2c(=O)c1C=[NH+]N[C@@H](C)CO ZINC000749818454 700187719 /nfs/dbraw/zinc/18/77/19/700187719.db2.gz XEPYVNMBOZQNFL-JTQLQIEISA-N 1 2 323.356 1.265 20 30 DDEDLO N#CC1(COC(=O)[C@H]2C[N@H+](Cc3ccccc3)CCO2)CC1 ZINC000775858534 698045028 /nfs/dbraw/zinc/04/50/28/698045028.db2.gz MNEMDTSBEHNJJN-OAHLLOKOSA-N 1 2 300.358 1.734 20 30 DDEDLO N#CC1(COC(=O)[C@H]2C[N@@H+](Cc3ccccc3)CCO2)CC1 ZINC000775858534 698045032 /nfs/dbraw/zinc/04/50/32/698045032.db2.gz MNEMDTSBEHNJJN-OAHLLOKOSA-N 1 2 300.358 1.734 20 30 DDEDLO COC(=O)c1ccc(N[NH+]=Cc2cnc(N)nc2)c(OC)c1 ZINC000781596276 698633760 /nfs/dbraw/zinc/63/37/60/698633760.db2.gz BIDYCGSUZWDGNI-UHFFFAOYSA-N 1 2 301.306 1.300 20 30 DDEDLO Cc1nc(C#N)cc(NC[C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000308412269 698662145 /nfs/dbraw/zinc/66/21/45/698662145.db2.gz LXSOHJCQDQOGHX-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1nc(C#N)cc(NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000308412269 698662147 /nfs/dbraw/zinc/66/21/47/698662147.db2.gz LXSOHJCQDQOGHX-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCc2cnn(C)c2)CC1 ZINC000989730181 698743257 /nfs/dbraw/zinc/74/32/57/698743257.db2.gz MWMHBGXTGZIRST-UHFFFAOYSA-N 1 2 310.829 1.640 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCc2cnn(C)c2)CC1 ZINC000989730181 698743259 /nfs/dbraw/zinc/74/32/59/698743259.db2.gz MWMHBGXTGZIRST-UHFFFAOYSA-N 1 2 310.829 1.640 20 30 DDEDLO COC[C@H](C)NC(=S)N[NH+]=Cc1ccc(N(C)C(C)C)nc1 ZINC000790149107 699445448 /nfs/dbraw/zinc/44/54/48/699445448.db2.gz SWTCVBFTZRFODK-LBPRGKRZSA-N 1 2 323.466 1.759 20 30 DDEDLO C[N@@H+](Cc1nc2ccccc2c(=O)n1CC#N)C[C@@H]1CCCO1 ZINC000728927782 699460528 /nfs/dbraw/zinc/46/05/28/699460528.db2.gz GMNVVOJVAIVMJA-ZDUSSCGKSA-N 1 2 312.373 1.531 20 30 DDEDLO C[N@H+](Cc1nc2ccccc2c(=O)n1CC#N)C[C@@H]1CCCO1 ZINC000728927782 699460530 /nfs/dbraw/zinc/46/05/30/699460530.db2.gz GMNVVOJVAIVMJA-ZDUSSCGKSA-N 1 2 312.373 1.531 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(OCCOC)nc1 ZINC000730097087 699500577 /nfs/dbraw/zinc/50/05/77/699500577.db2.gz AGGXAJANRRXZCP-AWEZNQCLSA-N 1 2 303.362 1.143 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(OCCOC)nc1 ZINC000730097087 699500578 /nfs/dbraw/zinc/50/05/78/699500578.db2.gz AGGXAJANRRXZCP-AWEZNQCLSA-N 1 2 303.362 1.143 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(N2CCCC2=O)c1 ZINC000730096470 699500636 /nfs/dbraw/zinc/50/06/36/699500636.db2.gz SLSXUDXWOZSHIJ-INIZCTEOSA-N 1 2 311.385 1.850 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(N2CCCC2=O)c1 ZINC000730096470 699500637 /nfs/dbraw/zinc/50/06/37/699500637.db2.gz SLSXUDXWOZSHIJ-INIZCTEOSA-N 1 2 311.385 1.850 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCOc2c(F)cccc21 ZINC000730280114 699506296 /nfs/dbraw/zinc/50/62/96/699506296.db2.gz KEOYNLMSTMIFPV-GJZGRUSLSA-N 1 2 302.349 1.863 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CCOc2c(F)cccc21 ZINC000730280114 699506297 /nfs/dbraw/zinc/50/62/97/699506297.db2.gz KEOYNLMSTMIFPV-GJZGRUSLSA-N 1 2 302.349 1.863 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)NCC=C)cc1 ZINC000732059298 699545127 /nfs/dbraw/zinc/54/51/27/699545127.db2.gz BGFVDDFRLBGOMB-INIZCTEOSA-N 1 2 311.385 1.639 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(C(=O)NCC=C)cc1 ZINC000732059298 699545128 /nfs/dbraw/zinc/54/51/28/699545128.db2.gz BGFVDDFRLBGOMB-INIZCTEOSA-N 1 2 311.385 1.639 20 30 DDEDLO N#CCOc1ccc(CC(=O)NCCCCn2cc[nH+]c2)cc1 ZINC000732274846 699553124 /nfs/dbraw/zinc/55/31/24/699553124.db2.gz BEZGDYQXNZODSR-UHFFFAOYSA-N 1 2 312.373 1.925 20 30 DDEDLO C#CC[N@@H+](CCCc1ccc(C)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000792793541 699716068 /nfs/dbraw/zinc/71/60/68/699716068.db2.gz RBPSKSHXXICPAR-MRXNPFEDSA-N 1 2 306.431 1.445 20 30 DDEDLO C#CC[N@H+](CCCc1ccc(C)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000792793541 699716071 /nfs/dbraw/zinc/71/60/71/699716071.db2.gz RBPSKSHXXICPAR-MRXNPFEDSA-N 1 2 306.431 1.445 20 30 DDEDLO CCCCCCCC(=O)N1CC[NH+](CCn2cncn2)CC1 ZINC000740738416 699798695 /nfs/dbraw/zinc/79/86/95/699798695.db2.gz FARFFLPSPUDEMN-UHFFFAOYSA-N 1 2 307.442 1.783 20 30 DDEDLO C[C@H](OC(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)NC1(C#N)CCCCC1 ZINC000741148238 699817953 /nfs/dbraw/zinc/81/79/53/699817953.db2.gz YWHYTZBBGRGUJX-KBPBESRZSA-N 1 2 321.421 1.745 20 30 DDEDLO C[C@H](OC(=O)[C@@H]1CCCC[N@H+]1C)C(=O)NC1(C#N)CCCCC1 ZINC000741148238 699817955 /nfs/dbraw/zinc/81/79/55/699817955.db2.gz YWHYTZBBGRGUJX-KBPBESRZSA-N 1 2 321.421 1.745 20 30 DDEDLO N#Cc1cccc(OCC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)c1 ZINC000753184002 700413424 /nfs/dbraw/zinc/41/34/24/700413424.db2.gz XCZIWKUEPFWLMF-OAHLLOKOSA-N 1 2 322.368 1.727 20 30 DDEDLO COC(=O)CCC1CC[NH+](Cn2ccc(C)c(C#N)c2=O)CC1 ZINC000758237184 700692838 /nfs/dbraw/zinc/69/28/38/700692838.db2.gz OPFWZGILWUWIAC-UHFFFAOYSA-N 1 2 317.389 1.651 20 30 DDEDLO N#CCCNC(=O)C[N@@H+]1CCC[C@@H]1CC(=O)c1cccs1 ZINC000758726871 700720410 /nfs/dbraw/zinc/72/04/10/700720410.db2.gz UUWRRRQSWLBIEO-GFCCVEGCSA-N 1 2 305.403 1.815 20 30 DDEDLO N#CCCNC(=O)C[N@H+]1CCC[C@@H]1CC(=O)c1cccs1 ZINC000758726871 700720411 /nfs/dbraw/zinc/72/04/11/700720411.db2.gz UUWRRRQSWLBIEO-GFCCVEGCSA-N 1 2 305.403 1.815 20 30 DDEDLO Cc1[nH]c(=O)c(C#N)c(C)c1CCC(=O)OCCn1cc[nH+]c1 ZINC000763112999 700926118 /nfs/dbraw/zinc/92/61/18/700926118.db2.gz LIQZNAMYTPELAF-UHFFFAOYSA-N 1 2 314.345 1.648 20 30 DDEDLO C#CCOCCNC(=O)N1CC[NH+]([C@H](C)c2ccsc2)CC1 ZINC000765305924 701006737 /nfs/dbraw/zinc/00/67/37/701006737.db2.gz POKDVQLPWGUJNC-CQSZACIVSA-N 1 2 321.446 1.786 20 30 DDEDLO CON=CC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccc(C)cc1C ZINC000809732707 701683673 /nfs/dbraw/zinc/68/36/73/701683673.db2.gz OTXPBDMDGFAFIR-HNNXBMFYSA-N 1 2 300.362 1.990 20 30 DDEDLO C#CCCCS(=O)(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC000804975836 701222620 /nfs/dbraw/zinc/22/26/20/701222620.db2.gz UAEKDOCCQJMDGQ-UHFFFAOYSA-N 1 2 310.419 1.882 20 30 DDEDLO C[C@@H](C#N)Oc1ccccc1NC(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000879137267 706596744 /nfs/dbraw/zinc/59/67/44/706596744.db2.gz REAYZHIXXHKRNU-RYUDHWBXSA-N 1 2 311.345 1.920 20 30 DDEDLO COC(=O)[C@H](Cn1cc[nH+]c1)NC(=O)c1ccc(C)c(C#N)c1 ZINC000807282490 701472727 /nfs/dbraw/zinc/47/27/27/701472727.db2.gz MFUKQEOUKYBJFB-AWEZNQCLSA-N 1 2 312.329 1.035 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)nc1 ZINC000807319880 701473846 /nfs/dbraw/zinc/47/38/46/701473846.db2.gz KXIKEDRAYLFGQC-INIZCTEOSA-N 1 2 314.389 1.213 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)nc1 ZINC000807319880 701473847 /nfs/dbraw/zinc/47/38/47/701473847.db2.gz KXIKEDRAYLFGQC-INIZCTEOSA-N 1 2 314.389 1.213 20 30 DDEDLO CC(N=Nc1nccc(N2CCOCC2)n1)c1ccc(N)[nH+]c1 ZINC000814871257 701762345 /nfs/dbraw/zinc/76/23/45/701762345.db2.gz MJZVLPLYFIVXJU-UHFFFAOYSA-N 1 2 313.365 1.127 20 30 DDEDLO CN(CCc1cccc(C#N)c1)c1cc(N2CCOCC2)nc[nH+]1 ZINC000866125322 706626152 /nfs/dbraw/zinc/62/61/52/706626152.db2.gz UXQGTKJFQVXBEX-UHFFFAOYSA-N 1 2 323.400 1.864 20 30 DDEDLO CN(CCc1cccc(C#N)c1)c1cc(N2CCOCC2)[nH+]cn1 ZINC000866125322 706626154 /nfs/dbraw/zinc/62/61/54/706626154.db2.gz UXQGTKJFQVXBEX-UHFFFAOYSA-N 1 2 323.400 1.864 20 30 DDEDLO CC1(C)C(=O)N(C[NH+]2CCC(C)(C#N)CC2)[C@H]1[C@H]1CCCO1 ZINC000811759407 702047160 /nfs/dbraw/zinc/04/71/60/702047160.db2.gz AHPFTQICEYAROH-KGLIPLIRSA-N 1 2 305.422 1.986 20 30 DDEDLO N#Cc1ccc(N2CCN(c3cc(NC4CC4)[nH+]cn3)CC2)cn1 ZINC000840298472 702060460 /nfs/dbraw/zinc/06/04/60/702060460.db2.gz UIRLSLOHUXPBQY-UHFFFAOYSA-N 1 2 321.388 1.644 20 30 DDEDLO N#Cc1ccc(N2CCN(c3cc(NC4CC4)nc[nH+]3)CC2)cn1 ZINC000840298472 702060469 /nfs/dbraw/zinc/06/04/69/702060469.db2.gz UIRLSLOHUXPBQY-UHFFFAOYSA-N 1 2 321.388 1.644 20 30 DDEDLO CCc1ccc([C@H](C#N)NC(=O)/C=C\C[NH+]2CCOCC2)cc1 ZINC000868356661 702081355 /nfs/dbraw/zinc/08/13/55/702081355.db2.gz CMJPENIWTZBAPL-LIMHQNJXSA-N 1 2 313.401 1.818 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(Nc2ccnc(C#N)c2)CC1 ZINC000866169707 706639491 /nfs/dbraw/zinc/63/94/91/706639491.db2.gz OCIJSRCIHORGDX-UHFFFAOYSA-N 1 2 316.405 1.593 20 30 DDEDLO Cn1ncc(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)c1Cl ZINC000816616639 702127377 /nfs/dbraw/zinc/12/73/77/702127377.db2.gz BOZSFBSFLVQUBO-ZDUSSCGKSA-N 1 2 317.780 1.993 20 30 DDEDLO Cn1ncc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)c1Cl ZINC000816616639 702127382 /nfs/dbraw/zinc/12/73/82/702127382.db2.gz BOZSFBSFLVQUBO-ZDUSSCGKSA-N 1 2 317.780 1.993 20 30 DDEDLO N#Cc1c(F)cccc1N1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000816862842 702206442 /nfs/dbraw/zinc/20/64/42/702206442.db2.gz QMMJGSNOUQKDQE-UHFFFAOYSA-N 1 2 313.336 1.312 20 30 DDEDLO C#Cc1cccc(CNC(=O)C(=O)NCCCCn2cc[nH+]c2)c1 ZINC000817770214 702472693 /nfs/dbraw/zinc/47/26/93/702472693.db2.gz JNOFOEQXPBOHAA-UHFFFAOYSA-N 1 2 324.384 1.077 20 30 DDEDLO C[C@@H]([NH2+]C[C@@H]1COC2(CCOCC2)O1)c1cccc(C#N)c1O ZINC000866326921 706674306 /nfs/dbraw/zinc/67/43/06/706674306.db2.gz OSDIXZYLZWUPJZ-TZMCWYRMSA-N 1 2 318.373 1.837 20 30 DDEDLO C=CCN1CCN(C[NH+]2CCC(OC)(C(F)(F)F)CC2)C1=O ZINC000841687880 702539073 /nfs/dbraw/zinc/53/90/73/702539073.db2.gz WIOIQXKMALYWAA-UHFFFAOYSA-N 1 2 321.343 1.911 20 30 DDEDLO C[C@@H]([NH2+]CC1(S(C)(=O)=O)CCC1)c1cccc(C#N)c1O ZINC000866351591 706679275 /nfs/dbraw/zinc/67/92/75/706679275.db2.gz QBPLYCLLSYHHSK-LLVKDONJSA-N 1 2 308.403 1.882 20 30 DDEDLO COC[C@@H](C[N@@H+]1CCO[C@@H](C)C1)OC(=O)c1cccc(C#N)c1 ZINC000842962186 702794397 /nfs/dbraw/zinc/79/43/97/702794397.db2.gz MNHJONCCOJQLBX-XJKSGUPXSA-N 1 2 318.373 1.451 20 30 DDEDLO COC[C@@H](C[N@H+]1CCO[C@@H](C)C1)OC(=O)c1cccc(C#N)c1 ZINC000842962186 702794399 /nfs/dbraw/zinc/79/43/99/702794399.db2.gz MNHJONCCOJQLBX-XJKSGUPXSA-N 1 2 318.373 1.451 20 30 DDEDLO C=CCn1cc(C(=O)N2CCC([N@H+](C)CC(F)F)CC2)nn1 ZINC000843807606 702927338 /nfs/dbraw/zinc/92/73/38/702927338.db2.gz DXSSYJYFRRUWQE-UHFFFAOYSA-N 1 2 313.352 1.266 20 30 DDEDLO C=CCn1cc(C(=O)N2CCC([N@@H+](C)CC(F)F)CC2)nn1 ZINC000843807606 702927340 /nfs/dbraw/zinc/92/73/40/702927340.db2.gz DXSSYJYFRRUWQE-UHFFFAOYSA-N 1 2 313.352 1.266 20 30 DDEDLO C#Cc1cccc(N(CC(=O)NC)C(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000844357678 703019383 /nfs/dbraw/zinc/01/93/83/703019383.db2.gz OSSMKNONXLSFFH-CQSZACIVSA-N 1 2 324.384 1.595 20 30 DDEDLO N#Cc1ccc(NCCNC(=O)Cc2cn3c([nH+]2)CCCC3)nc1 ZINC000845181497 703123891 /nfs/dbraw/zinc/12/38/91/703123891.db2.gz RPWQEVBLNZQZFM-UHFFFAOYSA-N 1 2 324.388 1.257 20 30 DDEDLO C[C@@H](CC(=O)OCC(=O)Nc1ccc(C#N)cc1)n1cc[nH+]c1 ZINC000845280188 703138218 /nfs/dbraw/zinc/13/82/18/703138218.db2.gz TWWYUSQNMKCPSV-LBPRGKRZSA-N 1 2 312.329 1.888 20 30 DDEDLO C[C@H](CC(=O)O[C@@H](C)C(=O)NC1(C#N)CCC1)n1cc[nH+]c1 ZINC000845284495 703138912 /nfs/dbraw/zinc/13/89/12/703138912.db2.gz MOKOLWVIAXUMCC-NEPJUHHUSA-N 1 2 304.350 1.328 20 30 DDEDLO CC1(C)C[C@@H](O)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000879619764 706740816 /nfs/dbraw/zinc/74/08/16/706740816.db2.gz BFRHTYXEFYLKKK-CQSZACIVSA-N 1 2 322.430 1.425 20 30 DDEDLO CC1(C)C[C@@H](O)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000879619764 706740818 /nfs/dbraw/zinc/74/08/18/706740818.db2.gz BFRHTYXEFYLKKK-CQSZACIVSA-N 1 2 322.430 1.425 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnccc2C(F)(F)F)CC1 ZINC000847416903 703415567 /nfs/dbraw/zinc/41/55/67/703415567.db2.gz SSUOAXCMESHBRJ-UHFFFAOYSA-N 1 2 311.307 1.882 20 30 DDEDLO C#C[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C)[nH+]c2N(C)C)C1 ZINC000847563524 703437410 /nfs/dbraw/zinc/43/74/10/703437410.db2.gz WRHBLEHLXZPZAU-CYBMUJFWSA-N 1 2 314.389 1.266 20 30 DDEDLO O=S(=O)(NN=C1CCC[N@@H+]2CCCC[C@H]12)c1ccccc1 ZINC000848399779 703546673 /nfs/dbraw/zinc/54/66/73/703546673.db2.gz YGCTUDCNXZZRSJ-OAHLLOKOSA-N 1 2 307.419 1.969 20 30 DDEDLO O=S(=O)(NN=C1CCC[N@H+]2CCCC[C@H]12)c1ccccc1 ZINC000848399779 703546675 /nfs/dbraw/zinc/54/66/75/703546675.db2.gz YGCTUDCNXZZRSJ-OAHLLOKOSA-N 1 2 307.419 1.969 20 30 DDEDLO COCC(=NNCCCn1cc[nH+]c1)c1cccc([N+](=O)[O-])c1 ZINC000848416471 703547949 /nfs/dbraw/zinc/54/79/49/703547949.db2.gz MDTBZSHRTYCDAM-UHFFFAOYSA-N 1 2 317.349 1.822 20 30 DDEDLO CS(=O)(=O)c1ccc(C=NNCCCn2cc[nH+]c2)cc1 ZINC000848417628 703548248 /nfs/dbraw/zinc/54/82/48/703548248.db2.gz CRRVWCZVDPUYPK-UHFFFAOYSA-N 1 2 306.391 1.300 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC1CCN(c2ccccc2C#N)CC1 ZINC000870487484 704058521 /nfs/dbraw/zinc/05/85/21/704058521.db2.gz PAZBTXAOUVNGSO-UHFFFAOYSA-N 1 2 323.400 1.918 20 30 DDEDLO C[C@@H](C#N)N(CC[NH+]1CCN(C(=O)OC(C)(C)C)CC1)C1CC1 ZINC000852482047 704058693 /nfs/dbraw/zinc/05/86/93/704058693.db2.gz SEECFQGPPBNRKA-AWEZNQCLSA-N 1 2 322.453 1.916 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@H+]1C[C@H](C)OC[C@@H]1C ZINC000853504131 704252533 /nfs/dbraw/zinc/25/25/33/704252533.db2.gz NLYLKOPGHGGWGR-QWRGUYRKSA-N 1 2 320.231 1.303 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@@H+]1C[C@H](C)OC[C@@H]1C ZINC000853504131 704252534 /nfs/dbraw/zinc/25/25/34/704252534.db2.gz NLYLKOPGHGGWGR-QWRGUYRKSA-N 1 2 320.231 1.303 20 30 DDEDLO C=CC[C@H]1CCC[N@@H+](Cn2cc(S(=O)(=O)N(C)C)cn2)C1 ZINC000853536868 704262604 /nfs/dbraw/zinc/26/26/04/704262604.db2.gz JURWLFMLYFVRLZ-ZDUSSCGKSA-N 1 2 312.439 1.379 20 30 DDEDLO C=CC[C@H]1CCC[N@H+](Cn2cc(S(=O)(=O)N(C)C)cn2)C1 ZINC000853536868 704262607 /nfs/dbraw/zinc/26/26/07/704262607.db2.gz JURWLFMLYFVRLZ-ZDUSSCGKSA-N 1 2 312.439 1.379 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)N[C@@](C(C)C)(C2CC2)C1=O ZINC000853621143 704287922 /nfs/dbraw/zinc/28/79/22/704287922.db2.gz QZYJTCVAJDZIQK-KRWDZBQOSA-N 1 2 321.421 1.272 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)N[C@@](C(C)C)(C2CC2)C1=O ZINC000853621143 704287926 /nfs/dbraw/zinc/28/79/26/704287926.db2.gz QZYJTCVAJDZIQK-KRWDZBQOSA-N 1 2 321.421 1.272 20 30 DDEDLO C#C[C@@H](NC(=O)N(C)CC[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000820494429 704289839 /nfs/dbraw/zinc/28/98/39/704289839.db2.gz GPYPOPXLRRNCLR-MRXNPFEDSA-N 1 2 319.380 1.474 20 30 DDEDLO C#CCCN(CCOC)C(=O)[C@@H](CC)[N@@H+]1CCO[C@@H](CC)C1 ZINC000856389659 704526050 /nfs/dbraw/zinc/52/60/50/704526050.db2.gz AVXPROSHFODZRA-JKSUJKDBSA-N 1 2 310.438 1.374 20 30 DDEDLO C#CCCN(CCOC)C(=O)[C@@H](CC)[N@H+]1CCO[C@@H](CC)C1 ZINC000856389659 704526051 /nfs/dbraw/zinc/52/60/51/704526051.db2.gz AVXPROSHFODZRA-JKSUJKDBSA-N 1 2 310.438 1.374 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2coc(C(F)(F)F)n2)CC1 ZINC000874264722 704989846 /nfs/dbraw/zinc/98/98/46/704989846.db2.gz XQRGGERNQZTZPJ-UHFFFAOYSA-N 1 2 301.268 1.475 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)C1CCOCC1 ZINC000823186148 705165482 /nfs/dbraw/zinc/16/54/82/705165482.db2.gz JBHQUIMTBLZVIF-HUUCEWRRSA-N 1 2 316.405 1.727 20 30 DDEDLO Cc1cc(F)c(C#N)cc1NC(=O)[C@H](c1c[nH+]cn1C)N(C)C ZINC000874901799 705222966 /nfs/dbraw/zinc/22/29/66/705222966.db2.gz XLVBSJYISVCQQO-HNNXBMFYSA-N 1 2 315.352 1.981 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC[N@@H+]1C[C@@H](C)OC[C@H]1C ZINC000824950846 705565013 /nfs/dbraw/zinc/56/50/13/705565013.db2.gz QPUDMEUSBVPTPT-CHWSQXEVSA-N 1 2 317.389 1.406 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)NCC[N@H+]1C[C@@H](C)OC[C@H]1C ZINC000824950846 705565019 /nfs/dbraw/zinc/56/50/19/705565019.db2.gz QPUDMEUSBVPTPT-CHWSQXEVSA-N 1 2 317.389 1.406 20 30 DDEDLO C#CC[C@@H]1CCN(C(=O)C[NH+]2CCN(c3ccccn3)CC2)C1 ZINC000862568628 705737120 /nfs/dbraw/zinc/73/71/20/705737120.db2.gz ZNDSKLDSBHCUGG-MRXNPFEDSA-N 1 2 312.417 1.075 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+](C)CC(=O)N1CCCC1 ZINC000876551978 705755061 /nfs/dbraw/zinc/75/50/61/705755061.db2.gz FIFCFTOZTZEEAF-UHFFFAOYSA-N 1 2 300.406 1.678 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+](C)CC(=O)N1CCCC1 ZINC000876551978 705755063 /nfs/dbraw/zinc/75/50/63/705755063.db2.gz FIFCFTOZTZEEAF-UHFFFAOYSA-N 1 2 300.406 1.678 20 30 DDEDLO C[C@@H](C(=O)N(C)CCc1cccc(C#N)c1)[NH+]1CCN(C)CC1 ZINC000863435764 705928187 /nfs/dbraw/zinc/92/81/87/705928187.db2.gz UWYMUGNEQKKEFM-HNNXBMFYSA-N 1 2 314.433 1.195 20 30 DDEDLO CN(CCc1cccc(C#N)c1)C(=O)C(C)(C)[NH+]1CCOCC1 ZINC000863440324 705929464 /nfs/dbraw/zinc/92/94/64/705929464.db2.gz QUPVNCNDEIHRKA-UHFFFAOYSA-N 1 2 315.417 1.670 20 30 DDEDLO CC(C)CC(=O)CC[N@@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000827990463 706100315 /nfs/dbraw/zinc/10/03/15/706100315.db2.gz KTVWABZILFMJCJ-CQSZACIVSA-N 1 2 315.421 1.864 20 30 DDEDLO CC(C)CC(=O)CC[N@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000827990463 706100317 /nfs/dbraw/zinc/10/03/17/706100317.db2.gz KTVWABZILFMJCJ-CQSZACIVSA-N 1 2 315.421 1.864 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@H+](Cc2cccc(C#N)n2)CCC1(F)F ZINC000877696877 706181423 /nfs/dbraw/zinc/18/14/23/706181423.db2.gz ZZWHCBUFILVJAM-ZDUSSCGKSA-N 1 2 309.316 1.974 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@@H+](Cc2cccc(C#N)n2)CCC1(F)F ZINC000877696877 706181424 /nfs/dbraw/zinc/18/14/24/706181424.db2.gz ZZWHCBUFILVJAM-ZDUSSCGKSA-N 1 2 309.316 1.974 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@H]1CCC2(CCC2)O1 ZINC000878159397 706310131 /nfs/dbraw/zinc/31/01/31/706310131.db2.gz KSIUKBOKGZHYCY-MRXNPFEDSA-N 1 2 307.438 1.636 20 30 DDEDLO COC(=O)c1csc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)c1 ZINC000878771072 706495171 /nfs/dbraw/zinc/49/51/71/706495171.db2.gz BSJPIBUORUHGAJ-RYUDHWBXSA-N 1 2 307.419 1.953 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2ccc3c(C#N)c[nH]c3c2)CC1 ZINC000865788120 706526528 /nfs/dbraw/zinc/52/65/28/706526528.db2.gz ZGJFPQOPKGIBAR-UHFFFAOYSA-N 1 2 312.373 1.444 20 30 DDEDLO C[C@](C#N)(NC(=O)/C=C\C[NH+]1CCOCC1)C1CCCCC1 ZINC000865891014 706554282 /nfs/dbraw/zinc/55/42/82/706554282.db2.gz LQWZNBANLXBYAQ-CTEOGUNLSA-N 1 2 305.422 1.854 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(C)C)n2CC2CC2)CC1 ZINC000830682329 706561006 /nfs/dbraw/zinc/56/10/06/706561006.db2.gz ZECJEDKPCDVATH-UHFFFAOYSA-N 1 2 301.438 1.642 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H](C(=O)OCC)[C@H](C(F)(F)F)C1 ZINC000880153794 706894395 /nfs/dbraw/zinc/89/43/95/706894395.db2.gz CCADGNSLLVFUOY-GMTAPVOTSA-N 1 2 322.327 1.351 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H](C(=O)OCC)[C@H](C(F)(F)F)C1 ZINC000880153794 706894397 /nfs/dbraw/zinc/89/43/97/706894397.db2.gz CCADGNSLLVFUOY-GMTAPVOTSA-N 1 2 322.327 1.351 20 30 DDEDLO N#C[C@@]1(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CC2CCC1CC2 ZINC000909025545 712920247 /nfs/dbraw/zinc/92/02/47/712920247.db2.gz SMANUMIZLIEVTK-HKXOLIFUSA-N 1 2 317.433 1.686 20 30 DDEDLO N#C[C@@]1(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CC2CCC1CC2 ZINC000909025545 712920249 /nfs/dbraw/zinc/92/02/49/712920249.db2.gz SMANUMIZLIEVTK-HKXOLIFUSA-N 1 2 317.433 1.686 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)Nc2cccc(CC#N)n2)C1 ZINC000881149371 707137800 /nfs/dbraw/zinc/13/78/00/707137800.db2.gz QFXZIKQUCFIDHE-LBPRGKRZSA-N 1 2 310.361 1.903 20 30 DDEDLO Nc1ccc2c([nH+]1)CCCC2=NNC(=S)NC[C@H]1CCCO1 ZINC000834923557 707148421 /nfs/dbraw/zinc/14/84/21/707148421.db2.gz OCSNTTIUVZLGRU-SNVBAGLBSA-N 1 2 319.434 1.347 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=S)Nc1ccccc1C#N ZINC000871688427 707169789 /nfs/dbraw/zinc/16/97/89/707169789.db2.gz KMXJQZACRCWZFA-CQSZACIVSA-N 1 2 318.446 1.955 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=S)Nc1ccccc1C#N ZINC000871688427 707169795 /nfs/dbraw/zinc/16/97/95/707169795.db2.gz KMXJQZACRCWZFA-CQSZACIVSA-N 1 2 318.446 1.955 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@H]1CCc2c[nH+]cn2C1)C(=O)OCC ZINC000881755740 707353717 /nfs/dbraw/zinc/35/37/17/707353717.db2.gz PDRQUUJPTBNMJQ-JSGCOSHPSA-N 1 2 305.378 1.460 20 30 DDEDLO COCCCNN=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872369410 707392383 /nfs/dbraw/zinc/39/23/83/707392383.db2.gz CAWDZJGOSHBLIT-UHFFFAOYSA-N 1 2 321.421 1.358 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C)cc2C#N)C[C@@H]1C ZINC000872466202 707427847 /nfs/dbraw/zinc/42/78/47/707427847.db2.gz ADNFSPPVXFLLBB-KBPBESRZSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C)cc2C#N)C[C@@H]1C ZINC000872466202 707427853 /nfs/dbraw/zinc/42/78/53/707427853.db2.gz ADNFSPPVXFLLBB-KBPBESRZSA-N 1 2 321.446 1.970 20 30 DDEDLO C#CC[C@@H](NS(=O)(=O)CCn1cc[nH+]c1)c1ccccc1 ZINC000882020049 707468915 /nfs/dbraw/zinc/46/89/15/707468915.db2.gz VGZSYSGXXFQHLJ-OAHLLOKOSA-N 1 2 303.387 1.567 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+](Cc2ccc(N)nc2)CC1 ZINC000873221383 707774383 /nfs/dbraw/zinc/77/43/83/707774383.db2.gz IYHCTEHFLOGSKS-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO C#CCOc1ccc(CNC(=O)NCCc2cn(C)c[nH+]2)cc1 ZINC000838676832 707962142 /nfs/dbraw/zinc/96/21/42/707962142.db2.gz FODRCDBQMBRLED-UHFFFAOYSA-N 1 2 312.373 1.474 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1nc2ccc(C)cc2s1 ZINC000884047031 708116455 /nfs/dbraw/zinc/11/64/55/708116455.db2.gz AXVAVVZCWXMVCU-JTQLQIEISA-N 1 2 319.386 1.990 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCSc2ccccc21 ZINC000884055704 708120210 /nfs/dbraw/zinc/12/02/10/708120210.db2.gz WWBUKEXCLUMELW-QWHCGFSZSA-N 1 2 320.414 1.786 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2ccccc2)CCC1 ZINC000884062921 708123097 /nfs/dbraw/zinc/12/30/97/708123097.db2.gz GKHMPNLBALFEES-AWEZNQCLSA-N 1 2 302.374 1.629 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(F)cc1OCC ZINC000884077824 708129307 /nfs/dbraw/zinc/12/93/07/708129307.db2.gz YTPCFBZJDJMNIR-NSHDSACASA-N 1 2 310.325 1.610 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C)COc1ccccc1 ZINC000884095811 708136807 /nfs/dbraw/zinc/13/68/07/708136807.db2.gz AHLUMBBSNPYYEC-JSGCOSHPSA-N 1 2 306.362 1.017 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2ccccc2[C@@H]1C ZINC000884130103 708153412 /nfs/dbraw/zinc/15/34/12/708153412.db2.gz ZSWQGOUNBGNKLD-WFASDCNBSA-N 1 2 302.374 1.579 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H]1CCCO[C@@H]1C(C)C ZINC000884171231 708170539 /nfs/dbraw/zinc/17/05/39/708170539.db2.gz PXEGHCGMRSCNOR-KCQAQPDRSA-N 1 2 312.410 1.000 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1cc(C)cc(OC)c1 ZINC000884314731 708240410 /nfs/dbraw/zinc/24/04/10/708240410.db2.gz UEFVUGSVRAQTAH-AWEZNQCLSA-N 1 2 306.362 1.066 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCC[C@]1(C)C[C@@]1(F)Cl ZINC000884380516 708271551 /nfs/dbraw/zinc/27/15/51/708271551.db2.gz GJQKWWDABPUNQW-BIMULSAOSA-N 1 2 306.765 1.254 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](CC)Cc1ccc(F)cc1 ZINC000884397652 708280061 /nfs/dbraw/zinc/28/00/61/708280061.db2.gz KUAWEDMEQKYLAU-GJZGRUSLSA-N 1 2 322.380 1.710 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CC)Cc1ccc(C)cc1 ZINC000884403475 708282208 /nfs/dbraw/zinc/28/22/08/708282208.db2.gz GZWXVGSRIUJFAF-HNNXBMFYSA-N 1 2 304.390 1.790 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)C1CCC(F)CC1 ZINC000884412783 708286973 /nfs/dbraw/zinc/28/69/73/708286973.db2.gz AEVOZRUBBJHMCJ-XVSSEFHLSA-N 1 2 300.374 1.466 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)C1 ZINC000884594642 708334840 /nfs/dbraw/zinc/33/48/40/708334840.db2.gz OFDAILUHRLFJMM-CABCVRRESA-N 1 2 300.406 1.677 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC000884594642 708334842 /nfs/dbraw/zinc/33/48/42/708334842.db2.gz OFDAILUHRLFJMM-CABCVRRESA-N 1 2 300.406 1.677 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@H]1CCC[N@H+](CCF)C1 ZINC000898483906 708652771 /nfs/dbraw/zinc/65/27/71/708652771.db2.gz PGHWQGWFIOHECB-ZFWWWQNUSA-N 1 2 319.380 1.876 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@H]1CCC[N@@H+](CCF)C1 ZINC000898483906 708652773 /nfs/dbraw/zinc/65/27/73/708652773.db2.gz PGHWQGWFIOHECB-ZFWWWQNUSA-N 1 2 319.380 1.876 20 30 DDEDLO CCOC(=O)[C@H]([NH2+]C[C@@H](O)CC1(C#N)CCC1)[C@@H]1CCCOC1 ZINC000886120381 708701880 /nfs/dbraw/zinc/70/18/80/708701880.db2.gz CLVLZBFWLOEIIS-QLFBSQMISA-N 1 2 324.421 1.379 20 30 DDEDLO CN(C(C)(C)C#N)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000886401024 708753854 /nfs/dbraw/zinc/75/38/54/708753854.db2.gz JPKNDETWBZPGFP-UHFFFAOYSA-N 1 2 307.419 1.597 20 30 DDEDLO CN(C(C)(C)C#N)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000886401024 708753856 /nfs/dbraw/zinc/75/38/56/708753856.db2.gz JPKNDETWBZPGFP-UHFFFAOYSA-N 1 2 307.419 1.597 20 30 DDEDLO C=CCn1cc(C[NH2+][C@H](C)c2cncc(C(=O)OC)c2)nn1 ZINC000886628419 708798561 /nfs/dbraw/zinc/79/85/61/708798561.db2.gz QEEUEUQJCPFFRE-LLVKDONJSA-N 1 2 301.350 1.497 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)C(=O)Nc1ccc(C2CC2)c(C#N)c1 ZINC000890287550 709812055 /nfs/dbraw/zinc/81/20/55/709812055.db2.gz FPAFFGXQUDNZMY-UHFFFAOYSA-N 1 2 323.356 1.424 20 30 DDEDLO Cn1nc2c(c1C[NH+]1CCN(c3ncccc3C#N)CC1)CCC2 ZINC000891540300 710231277 /nfs/dbraw/zinc/23/12/77/710231277.db2.gz KUGMZFIQRDJBKK-UHFFFAOYSA-N 1 2 322.416 1.498 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2c(F)cccc2F)C1 ZINC000891606906 710246852 /nfs/dbraw/zinc/24/68/52/710246852.db2.gz QITWIJXDSHKNFS-LLVKDONJSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2c(F)cccc2F)C1 ZINC000891606906 710246854 /nfs/dbraw/zinc/24/68/54/710246854.db2.gz QITWIJXDSHKNFS-LLVKDONJSA-N 1 2 321.327 1.117 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(c2ccc(C#N)cc2C)CC1 ZINC000891705505 710271283 /nfs/dbraw/zinc/27/12/83/710271283.db2.gz HBEYZYKPRLGUHE-HNNXBMFYSA-N 1 2 314.433 1.903 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2c(Cl)c(C)nn2C)CC1 ZINC000891784697 710294349 /nfs/dbraw/zinc/29/43/49/710294349.db2.gz ZGLIKSJZDQWGND-UHFFFAOYSA-N 1 2 308.813 1.343 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1)CN1C[C@H]([C@H]2CCCO2)CC1=O ZINC000902050077 710595575 /nfs/dbraw/zinc/59/55/75/710595575.db2.gz QZBLDVWODZCDPW-IAGOWNOFSA-N 1 2 313.401 1.975 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1)CN1C[C@H]([C@H]2CCCO2)CC1=O ZINC000902050077 710595580 /nfs/dbraw/zinc/59/55/80/710595580.db2.gz QZBLDVWODZCDPW-IAGOWNOFSA-N 1 2 313.401 1.975 20 30 DDEDLO C=CCCC[N@@H+](CC)Cn1nc(-c2cnn(C)c2)ccc1=O ZINC000902669727 710853479 /nfs/dbraw/zinc/85/34/79/710853479.db2.gz RFSANQRUDHBYOX-UHFFFAOYSA-N 1 2 301.394 1.889 20 30 DDEDLO C=CCCC[N@H+](CC)Cn1nc(-c2cnn(C)c2)ccc1=O ZINC000902669727 710853483 /nfs/dbraw/zinc/85/34/83/710853483.db2.gz RFSANQRUDHBYOX-UHFFFAOYSA-N 1 2 301.394 1.889 20 30 DDEDLO N#Cc1ccc(CCC(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)cc1 ZINC000913444203 713218390 /nfs/dbraw/zinc/21/83/90/713218390.db2.gz UVFZAZWHMKOBSQ-MRXNPFEDSA-N 1 2 309.373 1.387 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)c(F)c1 ZINC000913446956 713219542 /nfs/dbraw/zinc/21/95/42/713219542.db2.gz KJXJSAVDRKNYAE-CYBMUJFWSA-N 1 2 317.299 1.346 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)ccc1Cl ZINC000913450087 713220799 /nfs/dbraw/zinc/22/07/99/713220799.db2.gz WRTVVNJMVIFJRQ-AWEZNQCLSA-N 1 2 315.764 1.721 20 30 DDEDLO N#CC1(CC(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)CCCCC1 ZINC000913458668 713224905 /nfs/dbraw/zinc/22/49/05/713224905.db2.gz RZKOUPBXRZKUHC-CQSZACIVSA-N 1 2 301.394 1.747 20 30 DDEDLO CO[C@]1(C[NH2+][C@H](C)c2cccc(C#N)c2O)CCS(=O)(=O)C1 ZINC000903362616 711104229 /nfs/dbraw/zinc/10/42/29/711104229.db2.gz IBJJCYPDETUNSX-ABAIWWIYSA-N 1 2 324.402 1.118 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH2+][C@@H](c3cnn(C)c3)C2)cc(C)c1C#N ZINC000913476167 713232337 /nfs/dbraw/zinc/23/23/37/713232337.db2.gz SAAAECHBBHWXKX-QGZVFWFLSA-N 1 2 323.400 1.695 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1cc(C#N)ccc1F ZINC000928649743 713250359 /nfs/dbraw/zinc/25/03/59/713250359.db2.gz YTECBENUAVNBEU-CYBMUJFWSA-N 1 2 305.353 1.538 20 30 DDEDLO C#CC[NH+]1CCC(NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)CC1 ZINC000928660228 713255978 /nfs/dbraw/zinc/25/59/78/713255978.db2.gz MOJURTKDMDJKLS-LBPRGKRZSA-N 1 2 300.406 1.362 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CCO[C@](C)(C#N)C1 ZINC000896239340 711695702 /nfs/dbraw/zinc/69/57/02/711695702.db2.gz JMXNNOVRKUFJIH-DOTOQJQBSA-N 1 2 314.389 1.497 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H]1CNC(=O)N1CCO[C@](C)(C#N)C1 ZINC000896239340 711695703 /nfs/dbraw/zinc/69/57/03/711695703.db2.gz JMXNNOVRKUFJIH-DOTOQJQBSA-N 1 2 314.389 1.497 20 30 DDEDLO N#CCSCC(=O)NCCn1c[nH+]cc1-c1ccccc1 ZINC000913710428 713287809 /nfs/dbraw/zinc/28/78/09/713287809.db2.gz PDDSITCQLJFHPA-UHFFFAOYSA-N 1 2 300.387 1.923 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=S)Nc1ccccc1C#N ZINC000905656067 712108180 /nfs/dbraw/zinc/10/81/80/712108180.db2.gz DPADSXJZRYRSQV-CQSZACIVSA-N 1 2 318.446 1.955 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1ccc(CC#N)cc1 ZINC000929429212 713608446 /nfs/dbraw/zinc/60/84/46/713608446.db2.gz YDVWYEGJKPVXQJ-AWEZNQCLSA-N 1 2 316.405 1.937 20 30 DDEDLO COCC(COC)[NH+]1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000929878623 713711953 /nfs/dbraw/zinc/71/19/53/713711953.db2.gz SHDGWGVWESGELM-UHFFFAOYSA-N 1 2 320.393 1.207 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)N[C@H](C#N)C2CCCC2)[nH]n1 ZINC000921555574 713807041 /nfs/dbraw/zinc/80/70/41/713807041.db2.gz PIVJGCUQCBZSBH-HUUCEWRRSA-N 1 2 301.394 1.989 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)N[C@H](C#N)C2CCCC2)[nH]n1 ZINC000921555574 713807043 /nfs/dbraw/zinc/80/70/43/713807043.db2.gz PIVJGCUQCBZSBH-HUUCEWRRSA-N 1 2 301.394 1.989 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](C[C@@H](O)c2ccc(F)c(F)c2)CC1 ZINC000930639283 713883987 /nfs/dbraw/zinc/88/39/87/713883987.db2.gz NRAJDKYRHFVPID-CQSZACIVSA-N 1 2 324.327 1.777 20 30 DDEDLO N#CC1CCC(C[N@@H+]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC000930818758 713927920 /nfs/dbraw/zinc/92/79/20/713927920.db2.gz NIJNHBUXLWNXDO-NRXISQOPSA-N 1 2 312.435 1.206 20 30 DDEDLO N#CC1CCC(C[N@H+]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC000930818758 713927923 /nfs/dbraw/zinc/92/79/23/713927923.db2.gz NIJNHBUXLWNXDO-NRXISQOPSA-N 1 2 312.435 1.206 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)CC1 ZINC000931151486 714016768 /nfs/dbraw/zinc/01/67/68/714016768.db2.gz WWZYTIOXHRSUJM-UHFFFAOYSA-N 1 2 300.318 1.431 20 30 DDEDLO C#CCCC[N@H+]1CCNC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC000931300838 714060911 /nfs/dbraw/zinc/06/09/11/714060911.db2.gz JVKRLXJFEQKGSG-UHFFFAOYSA-N 1 2 321.421 1.211 20 30 DDEDLO C#CCCC[N@@H+]1CCNC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC000931300838 714060913 /nfs/dbraw/zinc/06/09/13/714060913.db2.gz JVKRLXJFEQKGSG-UHFFFAOYSA-N 1 2 321.421 1.211 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCc2cc(Cl)cc(C#N)c2)C1 ZINC000922493373 714070167 /nfs/dbraw/zinc/07/01/67/714070167.db2.gz OYTCVJNVDKWZHT-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCc2cc(Cl)cc(C#N)c2)C1 ZINC000922493373 714070170 /nfs/dbraw/zinc/07/01/70/714070170.db2.gz OYTCVJNVDKWZHT-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@@H+]2CCO[C@H](C)C2)c1C#N ZINC000931342794 714072704 /nfs/dbraw/zinc/07/27/04/714072704.db2.gz KFEZZZZZRBLMEH-SECBINFHSA-N 1 2 309.395 1.165 20 30 DDEDLO Cc1nsc(NC(=O)NCC[N@H+]2CCO[C@H](C)C2)c1C#N ZINC000931342794 714072706 /nfs/dbraw/zinc/07/27/06/714072706.db2.gz KFEZZZZZRBLMEH-SECBINFHSA-N 1 2 309.395 1.165 20 30 DDEDLO C[C@H]1CN(CC#CC[N@H+]2Cc3c[nH]nc3[C@@H](C)C2)Cc2c[nH]nc21 ZINC000931460817 714103035 /nfs/dbraw/zinc/10/30/35/714103035.db2.gz MUFNGPALSRXZEU-KBPBESRZSA-N 1 2 324.432 1.675 20 30 DDEDLO C[C@H]1CN(CC#CC[N@@H+]2Cc3c[nH]nc3[C@@H](C)C2)Cc2c[nH]nc21 ZINC000931460817 714103036 /nfs/dbraw/zinc/10/30/36/714103036.db2.gz MUFNGPALSRXZEU-KBPBESRZSA-N 1 2 324.432 1.675 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@@H+]2CCC[C@@H](C#N)C2)cc1 ZINC000932162642 714275255 /nfs/dbraw/zinc/27/52/55/714275255.db2.gz IOQDPWIGSPJLDY-DZGCQCFKSA-N 1 2 318.373 1.448 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@H+]2CCC[C@@H](C#N)C2)cc1 ZINC000932162642 714275258 /nfs/dbraw/zinc/27/52/58/714275258.db2.gz IOQDPWIGSPJLDY-DZGCQCFKSA-N 1 2 318.373 1.448 20 30 DDEDLO CCOC(=O)[C@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000932644368 714373734 /nfs/dbraw/zinc/37/37/34/714373734.db2.gz ORRLPECMBLGXTL-ZDUSSCGKSA-N 1 2 301.346 1.382 20 30 DDEDLO CCOC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000932644368 714373735 /nfs/dbraw/zinc/37/37/35/714373735.db2.gz ORRLPECMBLGXTL-ZDUSSCGKSA-N 1 2 301.346 1.382 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N[C@H](CC)C[NH+]2CCOCC2)CC1 ZINC000923992287 714502544 /nfs/dbraw/zinc/50/25/44/714502544.db2.gz VXXOHCMUISVOBL-BZUAXINKSA-N 1 2 307.438 1.588 20 30 DDEDLO C[C@@H](C(=O)NC[C@@H]1CCCO1)[N@H+](C)Cc1cc(C#N)cs1 ZINC000933776126 714669401 /nfs/dbraw/zinc/66/94/01/714669401.db2.gz UADZBERIUOKVFG-AAEUAGOBSA-N 1 2 307.419 1.735 20 30 DDEDLO C[C@@H](C(=O)NC[C@@H]1CCCO1)[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933776126 714669403 /nfs/dbraw/zinc/66/94/03/714669403.db2.gz UADZBERIUOKVFG-AAEUAGOBSA-N 1 2 307.419 1.735 20 30 DDEDLO C[C@@H](CC(C)(C)C#N)[NH2+][C@@H]1CCCN(c2nccn(C)c2=O)C1 ZINC000926318834 715047638 /nfs/dbraw/zinc/04/76/38/715047638.db2.gz ASIZZMKKRFHFAU-UONOGXRCSA-N 1 2 317.437 1.667 20 30 DDEDLO CC[C@@H]([NH2+]CCS(=O)(=O)C1CC1)c1cccc(C#N)c1O ZINC000926589591 715093012 /nfs/dbraw/zinc/09/30/12/715093012.db2.gz PHFFIWIGCKSYSJ-CQSZACIVSA-N 1 2 308.403 1.882 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC000956565850 715471577 /nfs/dbraw/zinc/47/15/77/715471577.db2.gz FRXMECXBHIUSSQ-HNNXBMFYSA-N 1 2 321.465 1.744 20 30 DDEDLO CCN(C(=O)c1cccc(-n2cc[nH+]c2)c1)C1CN(CC#N)C1 ZINC000957085283 715738798 /nfs/dbraw/zinc/73/87/98/715738798.db2.gz FMLAOXZZUHVJQK-UHFFFAOYSA-N 1 2 309.373 1.542 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)CC1 ZINC000938656938 715941429 /nfs/dbraw/zinc/94/14/29/715941429.db2.gz NXXQIVRSTYDGOD-AWEZNQCLSA-N 1 2 316.405 1.416 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)F ZINC000958008226 716272976 /nfs/dbraw/zinc/27/29/76/716272976.db2.gz MUEOMNHOKROXFP-ITGUQSILSA-N 1 2 309.291 1.509 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)F ZINC000958008226 716272978 /nfs/dbraw/zinc/27/29/78/716272978.db2.gz MUEOMNHOKROXFP-ITGUQSILSA-N 1 2 309.291 1.509 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccs4)C[C@H]32)c[nH]1 ZINC000958586567 716644552 /nfs/dbraw/zinc/64/45/52/716644552.db2.gz KNAUSIQUOBEAEX-IMRBUKKESA-N 1 2 313.386 1.203 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccs4)C[C@H]32)c[nH]1 ZINC000958586567 716644556 /nfs/dbraw/zinc/64/45/56/716644556.db2.gz KNAUSIQUOBEAEX-IMRBUKKESA-N 1 2 313.386 1.203 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCN(C3C[NH+](CC#CC)C3)CC2)C1 ZINC000941559533 717210387 /nfs/dbraw/zinc/21/03/87/717210387.db2.gz FWVZZEZINJRIBV-UHFFFAOYSA-N 1 2 301.434 1.194 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H]1[C@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC000963382069 717768867 /nfs/dbraw/zinc/76/88/67/717768867.db2.gz AKSRMJXCVMMZIK-LSDHHAIUSA-N 1 2 315.421 1.074 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1[C@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC000963382069 717768869 /nfs/dbraw/zinc/76/88/69/717768869.db2.gz AKSRMJXCVMMZIK-LSDHHAIUSA-N 1 2 315.421 1.074 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)CC2OCCCO2)C1 ZINC000965946037 717829252 /nfs/dbraw/zinc/82/92/52/717829252.db2.gz GABPCQNRAXTTTI-YPMHNXCESA-N 1 2 316.829 1.719 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)CC2OCCCO2)C1 ZINC000965946037 717829253 /nfs/dbraw/zinc/82/92/53/717829253.db2.gz GABPCQNRAXTTTI-YPMHNXCESA-N 1 2 316.829 1.719 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1ccon1 ZINC000947171129 719059530 /nfs/dbraw/zinc/05/95/30/719059530.db2.gz QFEDAWPZQKEVJF-AAEUAGOBSA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1ccon1 ZINC000947171129 719059532 /nfs/dbraw/zinc/05/95/32/719059532.db2.gz QFEDAWPZQKEVJF-AAEUAGOBSA-N 1 2 313.361 1.657 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2cn[nH]c2-c2ccccn2)C[C@@H]1C ZINC000947376988 719141262 /nfs/dbraw/zinc/14/12/62/719141262.db2.gz CMTZHQFJINKVKC-UONOGXRCSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2cn[nH]c2-c2ccccn2)C[C@@H]1C ZINC000947376988 719141263 /nfs/dbraw/zinc/14/12/63/719141263.db2.gz CMTZHQFJINKVKC-UONOGXRCSA-N 1 2 323.400 1.688 20 30 DDEDLO C[C@@H]1CCN(CC#N)C[C@H]1NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000968500681 719626414 /nfs/dbraw/zinc/62/64/14/719626414.db2.gz IJNZNNPYNXSMGS-CZUORRHYSA-N 1 2 324.388 1.231 20 30 DDEDLO CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2c[nH+]ccc2N(C)C)C1 ZINC000968554899 719662670 /nfs/dbraw/zinc/66/26/70/719662670.db2.gz YKJJJMYUUQQSLI-HOCLYGCPSA-N 1 2 314.433 1.611 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cccc3c2oc(=O)n3C)CC1 ZINC000948755878 719688560 /nfs/dbraw/zinc/68/85/60/719688560.db2.gz NVVDKHJJDBQGNC-UHFFFAOYSA-N 1 2 315.373 1.465 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968692089 719739213 /nfs/dbraw/zinc/73/92/13/719739213.db2.gz LGSIDXMTYZQTFD-NWDGAFQWSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cnc(COC)s2)C1 ZINC000968692089 719739216 /nfs/dbraw/zinc/73/92/16/719739216.db2.gz LGSIDXMTYZQTFD-NWDGAFQWSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1n[nH]cc1C ZINC000948915818 719784170 /nfs/dbraw/zinc/78/41/70/719784170.db2.gz FRKMQGAWKLDVMM-MRXNPFEDSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1n[nH]cc1C ZINC000948915818 719784172 /nfs/dbraw/zinc/78/41/72/719784172.db2.gz FRKMQGAWKLDVMM-MRXNPFEDSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC000948927381 719789732 /nfs/dbraw/zinc/78/97/32/719789732.db2.gz AQSAIPXKACNLMH-HNNXBMFYSA-N 1 2 324.384 1.386 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC000948927381 719789735 /nfs/dbraw/zinc/78/97/35/719789735.db2.gz AQSAIPXKACNLMH-HNNXBMFYSA-N 1 2 324.384 1.386 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC000948946666 719796399 /nfs/dbraw/zinc/79/63/99/719796399.db2.gz NTNIMVNFUSVXKW-HNNXBMFYSA-N 1 2 324.384 1.088 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC000948946666 719796402 /nfs/dbraw/zinc/79/64/02/719796402.db2.gz NTNIMVNFUSVXKW-HNNXBMFYSA-N 1 2 324.384 1.088 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1[nH]cnc1CC ZINC000948983342 719823231 /nfs/dbraw/zinc/82/32/31/719823231.db2.gz ZPSJUWBZDZNMTL-QGZVFWFLSA-N 1 2 322.412 1.935 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1[nH]cnc1CC ZINC000948983342 719823236 /nfs/dbraw/zinc/82/32/36/719823236.db2.gz ZPSJUWBZDZNMTL-QGZVFWFLSA-N 1 2 322.412 1.935 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)Cc3ccc(C)o3)CC2)C1 ZINC000949346594 720032210 /nfs/dbraw/zinc/03/22/10/720032210.db2.gz MYCHQRKDTDXSIF-UHFFFAOYSA-N 1 2 316.401 1.457 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)Cc3ccc(C)o3)CC2)C1 ZINC000949346594 720032214 /nfs/dbraw/zinc/03/22/14/720032214.db2.gz MYCHQRKDTDXSIF-UHFFFAOYSA-N 1 2 316.401 1.457 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cc(C)cs3)CC2)C1 ZINC000949358105 720038348 /nfs/dbraw/zinc/03/83/48/720038348.db2.gz BDJNLUBNWGVEJF-UHFFFAOYSA-N 1 2 318.442 1.997 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cc(C)cs3)CC2)C1 ZINC000949358105 720038350 /nfs/dbraw/zinc/03/83/50/720038350.db2.gz BDJNLUBNWGVEJF-UHFFFAOYSA-N 1 2 318.442 1.997 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@H]3CC[C@H](C)O3)CC2)C1 ZINC000949463443 720105317 /nfs/dbraw/zinc/10/53/17/720105317.db2.gz MWTKTDBWNJNWJQ-JKSUJKDBSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@H]3CC[C@H](C)O3)CC2)C1 ZINC000949463443 720105319 /nfs/dbraw/zinc/10/53/19/720105319.db2.gz MWTKTDBWNJNWJQ-JKSUJKDBSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CC[NH+]1CC([C@@H](C)NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC000970084681 720622321 /nfs/dbraw/zinc/62/23/21/720622321.db2.gz WXVHSZONKPXUAP-GFCCVEGCSA-N 1 2 309.369 1.211 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccc(C(N)=O)o2)C1 ZINC000970103323 720627507 /nfs/dbraw/zinc/62/75/07/720627507.db2.gz BZDAAGZKAUVBKD-VIFPVBQESA-N 1 2 311.769 1.181 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(CC)nc2C2CC2)C1 ZINC000950432954 720630170 /nfs/dbraw/zinc/63/01/70/720630170.db2.gz HSHQQIHFLLGNLA-UHFFFAOYSA-N 1 2 314.433 1.950 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(Cl)n[nH]c2CC)C1 ZINC000950490274 720655330 /nfs/dbraw/zinc/65/53/30/720655330.db2.gz WYIWHBRPDJOANZ-UHFFFAOYSA-N 1 2 308.813 1.795 20 30 DDEDLO C=C(Cl)CN1CC(N(CC)C(=O)[C@@H]2CCc3[nH+]ccn3C2)C1 ZINC000950658263 720723273 /nfs/dbraw/zinc/72/32/73/720723273.db2.gz HEESQJOXJIOFCM-CYBMUJFWSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc(CC)nn2C)C1 ZINC000970644523 720866525 /nfs/dbraw/zinc/86/65/25/720866525.db2.gz BVRAKNVPXBMQFD-NSHDSACASA-N 1 2 310.829 1.785 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cccnc2-n2cccn2)C1 ZINC000951111221 720921125 /nfs/dbraw/zinc/92/11/25/720921125.db2.gz HRABKTZYUXEBFR-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000951529683 721059996 /nfs/dbraw/zinc/05/99/96/721059996.db2.gz KINWGQXQHFAHKN-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(N(C)C)cc1F ZINC001038346907 737085916 /nfs/dbraw/zinc/08/59/16/737085916.db2.gz SOUYZCNELMYRRI-AWEZNQCLSA-N 1 2 303.381 1.719 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(N(C)C)cc1F ZINC001038346907 737085918 /nfs/dbraw/zinc/08/59/18/737085918.db2.gz SOUYZCNELMYRRI-AWEZNQCLSA-N 1 2 303.381 1.719 20 30 DDEDLO N#Cc1ccc(N2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2c[nH+]c[nH]2)cn1 ZINC001058806784 738610552 /nfs/dbraw/zinc/61/05/52/738610552.db2.gz QPJAEAIUUPBSRP-IYBDPMFKSA-N 1 2 322.372 1.099 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001021036285 732909943 /nfs/dbraw/zinc/90/99/43/732909943.db2.gz VDTYUCWMBMRORX-XYPYZODXSA-N 1 2 305.769 1.561 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@@H](CCCN2C(=O)c2[nH]nnc2C)C1 ZINC001021648841 733400707 /nfs/dbraw/zinc/40/07/07/733400707.db2.gz NGCLFOCLGHGXNO-STQMWFEESA-N 1 2 323.828 1.792 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C(C)=C\CC)C2)nn1 ZINC001098630598 738728475 /nfs/dbraw/zinc/72/84/75/738728475.db2.gz UHNOHSBTOQWXHT-ACQAZONXSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]cc1F ZINC001039431035 734429633 /nfs/dbraw/zinc/42/96/33/734429633.db2.gz HLXKRMYZEHPBDN-MNOVXSKESA-N 1 2 312.776 1.980 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]cc1F ZINC001039431035 734429636 /nfs/dbraw/zinc/42/96/36/734429636.db2.gz HLXKRMYZEHPBDN-MNOVXSKESA-N 1 2 312.776 1.980 20 30 DDEDLO N#Cc1cncc(Br)c1NCc1cc[nH+]c(N)c1 ZINC001167616292 734834322 /nfs/dbraw/zinc/83/43/22/734834322.db2.gz FBEAXJOBYVFWPA-UHFFFAOYSA-N 1 2 304.151 1.727 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1csc([C@H](C)OC)n1 ZINC001038250492 734986690 /nfs/dbraw/zinc/98/66/90/734986690.db2.gz DTXIZTPRLZHCPS-RYUDHWBXSA-N 1 2 307.419 1.678 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1csc([C@H](C)OC)n1 ZINC001038250492 734986693 /nfs/dbraw/zinc/98/66/93/734986693.db2.gz DTXIZTPRLZHCPS-RYUDHWBXSA-N 1 2 307.419 1.678 20 30 DDEDLO C=CCOCc1nnc(N2CCN(c3cccc[nH+]3)CC2)n1C ZINC001121356422 782469448 /nfs/dbraw/zinc/46/94/48/782469448.db2.gz GLEVBRSEDQLUBS-UHFFFAOYSA-N 1 2 314.393 1.239 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H]1CCCN(C)C1=O ZINC001032562093 751342988 /nfs/dbraw/zinc/34/29/88/751342988.db2.gz LGJCBSLZCJBKSG-MELADBBJSA-N 1 2 305.422 1.105 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H]1CCCN(C)C1=O ZINC001032562093 751342994 /nfs/dbraw/zinc/34/29/94/751342994.db2.gz LGJCBSLZCJBKSG-MELADBBJSA-N 1 2 305.422 1.105 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)c(C)s3)[C@H]2C1 ZINC001083269212 735967479 /nfs/dbraw/zinc/96/74/79/735967479.db2.gz QXJXESXZVIOPME-LSDHHAIUSA-N 1 2 318.442 1.913 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)c(C)s3)[C@H]2C1 ZINC001083269212 735967481 /nfs/dbraw/zinc/96/74/81/735967481.db2.gz QXJXESXZVIOPME-LSDHHAIUSA-N 1 2 318.442 1.913 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)CNC(=O)CCn2cc[nH+]c2)n1 ZINC001098167665 736220577 /nfs/dbraw/zinc/22/05/77/736220577.db2.gz HJQHHNWJAKINRX-CYBMUJFWSA-N 1 2 312.377 1.465 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H](C)CNc2cc[nH+]c(C)n2)nc1 ZINC001104628806 736469251 /nfs/dbraw/zinc/46/92/51/736469251.db2.gz ORYLFPJPJCRPOD-GFCCVEGCSA-N 1 2 309.373 1.734 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3c[nH]c4ccc(C)cc43)[C@H]2C1 ZINC001083285911 736856797 /nfs/dbraw/zinc/85/67/97/736856797.db2.gz KFTPXLRMRLWVOP-ZWKOTPCHSA-N 1 2 323.396 1.635 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3c[nH]c4ccc(C)cc43)[C@H]2C1 ZINC001083285911 736856800 /nfs/dbraw/zinc/85/68/00/736856800.db2.gz KFTPXLRMRLWVOP-ZWKOTPCHSA-N 1 2 323.396 1.635 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)s3)[C@H]2C1 ZINC001083289758 737108912 /nfs/dbraw/zinc/10/89/12/737108912.db2.gz LTCYHEVBEGJURH-NWDGAFQWSA-N 1 2 310.394 1.989 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)s3)[C@H]2C1 ZINC001083289758 737108915 /nfs/dbraw/zinc/10/89/15/737108915.db2.gz LTCYHEVBEGJURH-NWDGAFQWSA-N 1 2 310.394 1.989 20 30 DDEDLO Cc1nc(NC[C@H](C)N(C)C(=O)Cc2c[nH+]c[nH]2)ccc1C#N ZINC001104826542 737253020 /nfs/dbraw/zinc/25/30/20/737253020.db2.gz ZQDCGBUQGFNJOZ-NSHDSACASA-N 1 2 312.377 1.486 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001104871386 737310908 /nfs/dbraw/zinc/31/09/08/737310908.db2.gz NVRRXMXFFKJRPD-MRVWCRGKSA-N 1 2 324.388 1.739 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)N(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001104871386 737310912 /nfs/dbraw/zinc/31/09/12/737310912.db2.gz NVRRXMXFFKJRPD-MRVWCRGKSA-N 1 2 324.388 1.739 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CCC(C)C)C2)nn1 ZINC001098611924 737364663 /nfs/dbraw/zinc/36/46/63/737364663.db2.gz VNRLLJGWMZIMMT-HNNXBMFYSA-N 1 2 303.410 1.211 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001028049222 738966748 /nfs/dbraw/zinc/96/67/48/738966748.db2.gz FPADVWWLAJMBCH-CHWSQXEVSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001028049222 738966749 /nfs/dbraw/zinc/96/67/49/738966749.db2.gz FPADVWWLAJMBCH-CHWSQXEVSA-N 1 2 313.829 1.236 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3ccn[nH]3)C2)s1 ZINC001010291177 738967411 /nfs/dbraw/zinc/96/74/11/738967411.db2.gz VESZPYVSRBSOSF-JTQLQIEISA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3ccn[nH]3)C2)s1 ZINC001010291177 738967412 /nfs/dbraw/zinc/96/74/12/738967412.db2.gz VESZPYVSRBSOSF-JTQLQIEISA-N 1 2 301.375 1.347 20 30 DDEDLO CC(C)C#CC(=O)N1CC(n2cc(C[NH2+]C/C=C/Cl)nn2)C1 ZINC001105233220 737640110 /nfs/dbraw/zinc/64/01/10/737640110.db2.gz NZFIIGBILGFSRG-ZZXKWVIFSA-N 1 2 321.812 1.163 20 30 DDEDLO CC[C@H](F)C[NH2+]Cc1cn(C2CN(C(=O)C#CC(C)C)C2)nn1 ZINC001105237865 737643295 /nfs/dbraw/zinc/64/32/95/737643295.db2.gz AHVBDSUQRPQNEV-ZDUSSCGKSA-N 1 2 321.400 1.159 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC001027402916 738264102 /nfs/dbraw/zinc/26/41/02/738264102.db2.gz VCUWHQSBCWQNDN-WFASDCNBSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC001027402916 738264104 /nfs/dbraw/zinc/26/41/04/738264104.db2.gz VCUWHQSBCWQNDN-WFASDCNBSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)no1 ZINC001027406243 738266025 /nfs/dbraw/zinc/26/60/25/738266025.db2.gz OPJFKBJKXQRBOO-MRXNPFEDSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)no1 ZINC001027406243 738266027 /nfs/dbraw/zinc/26/60/27/738266027.db2.gz OPJFKBJKXQRBOO-MRXNPFEDSA-N 1 2 301.390 1.819 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCCN(C(=O)c2cn(C)ccc2=O)C1 ZINC001027486739 738341924 /nfs/dbraw/zinc/34/19/24/738341924.db2.gz ISFJWTUSXOEAGT-ZDUSSCGKSA-N 1 2 323.824 1.674 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCCN(C(=O)c2cn(C)ccc2=O)C1 ZINC001027486739 738341928 /nfs/dbraw/zinc/34/19/28/738341928.db2.gz ISFJWTUSXOEAGT-ZDUSSCGKSA-N 1 2 323.824 1.674 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cncc(C(F)(F)F)c1 ZINC001038879630 739056183 /nfs/dbraw/zinc/05/61/83/739056183.db2.gz QSDTXEUYZCVHSK-ZDUSSCGKSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cncc(C(F)(F)F)c1 ZINC001038879630 739056188 /nfs/dbraw/zinc/05/61/88/739056188.db2.gz QSDTXEUYZCVHSK-ZDUSSCGKSA-N 1 2 311.307 1.928 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001028231328 739202659 /nfs/dbraw/zinc/20/26/59/739202659.db2.gz LIFZHYPYOZDVLB-CHWSQXEVSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001028231328 739202663 /nfs/dbraw/zinc/20/26/63/739202663.db2.gz LIFZHYPYOZDVLB-CHWSQXEVSA-N 1 2 300.406 1.362 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)noc2CCC)C1 ZINC001035365115 751430334 /nfs/dbraw/zinc/43/03/34/751430334.db2.gz HFAFTARTDLGRJZ-CQSZACIVSA-N 1 2 319.405 1.389 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(C)noc2CCC)C1 ZINC001035365115 751430335 /nfs/dbraw/zinc/43/03/35/751430335.db2.gz HFAFTARTDLGRJZ-CQSZACIVSA-N 1 2 319.405 1.389 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CC[C@H](Nc2ncccc2C#N)C1 ZINC001058943100 739271479 /nfs/dbraw/zinc/27/14/79/739271479.db2.gz WRZZCLYZHLKONF-AWEZNQCLSA-N 1 2 324.388 1.332 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c(C3CCC3)cnn2C)C1 ZINC001035374364 751438363 /nfs/dbraw/zinc/43/83/63/751438363.db2.gz ZOPXNRJLIAYQMN-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c(C3CCC3)cnn2C)C1 ZINC001035374364 751438365 /nfs/dbraw/zinc/43/83/65/751438365.db2.gz ZOPXNRJLIAYQMN-CQSZACIVSA-N 1 2 318.421 1.304 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@@H](CC)C(C)C)C2)nn1 ZINC001105363078 739325423 /nfs/dbraw/zinc/32/54/23/739325423.db2.gz QLLPMLLYZYCZNH-CQSZACIVSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2scnc2CC)C1 ZINC001035392687 751463067 /nfs/dbraw/zinc/46/30/67/751463067.db2.gz ZPZXDHWPEFSIAE-LBPRGKRZSA-N 1 2 309.435 1.712 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2scnc2CC)C1 ZINC001035392687 751463068 /nfs/dbraw/zinc/46/30/68/751463068.db2.gz ZPZXDHWPEFSIAE-LBPRGKRZSA-N 1 2 309.435 1.712 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C(C)C)nc2)C1 ZINC001035393669 751463615 /nfs/dbraw/zinc/46/36/15/751463615.db2.gz HQRDPBAGBUWFOE-INIZCTEOSA-N 1 2 315.417 1.659 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C(C)C)nc2)C1 ZINC001035393669 751463618 /nfs/dbraw/zinc/46/36/18/751463618.db2.gz HQRDPBAGBUWFOE-INIZCTEOSA-N 1 2 315.417 1.659 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001126385667 739682281 /nfs/dbraw/zinc/68/22/81/739682281.db2.gz XNEOSTIWAXGLIN-MEDUHNTESA-N 1 2 324.425 1.461 20 30 DDEDLO Cc1cc(N(C)CCN(C)C(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001105371836 739706437 /nfs/dbraw/zinc/70/64/37/739706437.db2.gz HXKYZYDULHSHFL-LLVKDONJSA-N 1 2 301.394 1.717 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001028589698 739708751 /nfs/dbraw/zinc/70/87/51/739708751.db2.gz RGHTYUPUGHAJAY-ZDUSSCGKSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001028589698 739708753 /nfs/dbraw/zinc/70/87/53/739708753.db2.gz RGHTYUPUGHAJAY-ZDUSSCGKSA-N 1 2 309.373 1.157 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2nn(C)cc2Cl)C1 ZINC001035379884 751476844 /nfs/dbraw/zinc/47/68/44/751476844.db2.gz MTKMOGYKQUJHTB-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2nn(C)cc2Cl)C1 ZINC001035379884 751476847 /nfs/dbraw/zinc/47/68/47/751476847.db2.gz MTKMOGYKQUJHTB-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCOc1ccccc1C(=O)NC[C@H]1C[N@H+](CC=C)CCO1 ZINC001035420575 751479757 /nfs/dbraw/zinc/47/97/57/751479757.db2.gz OKAFMAABUUFFIY-HNNXBMFYSA-N 1 2 316.401 1.868 20 30 DDEDLO C=CCOc1ccccc1C(=O)NC[C@H]1C[N@@H+](CC=C)CCO1 ZINC001035420575 751479760 /nfs/dbraw/zinc/47/97/60/751479760.db2.gz OKAFMAABUUFFIY-HNNXBMFYSA-N 1 2 316.401 1.868 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccccc2COC)C1 ZINC001035427064 751485449 /nfs/dbraw/zinc/48/54/49/751485449.db2.gz BJXMFGIOKBNWCJ-INIZCTEOSA-N 1 2 318.417 1.840 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccccc2COC)C1 ZINC001035427064 751485452 /nfs/dbraw/zinc/48/54/52/751485452.db2.gz BJXMFGIOKBNWCJ-INIZCTEOSA-N 1 2 318.417 1.840 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(F)cc2F)C1 ZINC001035415808 751498035 /nfs/dbraw/zinc/49/80/35/751498035.db2.gz NJWFDMVIQRARIU-ZDUSSCGKSA-N 1 2 322.355 1.727 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(F)cc2F)C1 ZINC001035415808 751498039 /nfs/dbraw/zinc/49/80/39/751498039.db2.gz NJWFDMVIQRARIU-ZDUSSCGKSA-N 1 2 322.355 1.727 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(C(C)C)n2)C1 ZINC001035432710 751510072 /nfs/dbraw/zinc/51/00/72/751510072.db2.gz RJQWLHYCWAPCFF-OAHLLOKOSA-N 1 2 315.417 1.659 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccc(C(C)C)n2)C1 ZINC001035432710 751510074 /nfs/dbraw/zinc/51/00/74/751510074.db2.gz RJQWLHYCWAPCFF-OAHLLOKOSA-N 1 2 315.417 1.659 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)ccc2OC)C1 ZINC001035437774 751514862 /nfs/dbraw/zinc/51/48/62/751514862.db2.gz IGQUDYVJIRRJQX-CQSZACIVSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)ccc2OC)C1 ZINC001035437774 751514865 /nfs/dbraw/zinc/51/48/65/751514865.db2.gz IGQUDYVJIRRJQX-CQSZACIVSA-N 1 2 304.390 1.620 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncc(Cl)s2)C1 ZINC001035444855 751521613 /nfs/dbraw/zinc/52/16/13/751521613.db2.gz AMQYASBWEHZRFJ-SNVBAGLBSA-N 1 2 315.826 1.803 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncc(Cl)s2)C1 ZINC001035444855 751521617 /nfs/dbraw/zinc/52/16/17/751521617.db2.gz AMQYASBWEHZRFJ-SNVBAGLBSA-N 1 2 315.826 1.803 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3nn(C)cc3C)[C@@H]2C1 ZINC001075781675 740456977 /nfs/dbraw/zinc/45/69/77/740456977.db2.gz VQBOYPYNMPOFJQ-QWHCGFSZSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3nn(C)cc3C)[C@@H]2C1 ZINC001075781675 740456980 /nfs/dbraw/zinc/45/69/80/740456980.db2.gz VQBOYPYNMPOFJQ-QWHCGFSZSA-N 1 2 308.813 1.627 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N1C[C@H]3CC[C@@H](C1)N3CC#N)C2 ZINC001029366172 740604370 /nfs/dbraw/zinc/60/43/70/740604370.db2.gz CZVLJIFZOSFORM-MCIONIFRSA-N 1 2 313.405 1.022 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N1C[C@H]3CC[C@@H](C1)N3CC#N)CC2 ZINC001029366172 740604373 /nfs/dbraw/zinc/60/43/73/740604373.db2.gz CZVLJIFZOSFORM-MCIONIFRSA-N 1 2 313.405 1.022 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnc(C3CC3)nc2)C1 ZINC001035538480 751584565 /nfs/dbraw/zinc/58/45/65/751584565.db2.gz AXXIFROSDLTGAO-OAHLLOKOSA-N 1 2 316.405 1.361 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnc(C3CC3)nc2)C1 ZINC001035538480 751584572 /nfs/dbraw/zinc/58/45/72/751584572.db2.gz AXXIFROSDLTGAO-OAHLLOKOSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc(C(C)C)nc2C)C1 ZINC001035542356 751589470 /nfs/dbraw/zinc/58/94/70/751589470.db2.gz MAGKYYIGBZPOND-CQSZACIVSA-N 1 2 318.421 1.525 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc(C(C)C)nc2C)C1 ZINC001035542356 751589476 /nfs/dbraw/zinc/58/94/76/751589476.db2.gz MAGKYYIGBZPOND-CQSZACIVSA-N 1 2 318.421 1.525 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn(CC)nc2C(C)C)C1 ZINC001035523923 751602069 /nfs/dbraw/zinc/60/20/69/751602069.db2.gz YBKATLAORGTAEY-CQSZACIVSA-N 1 2 320.437 1.643 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn(CC)nc2C(C)C)C1 ZINC001035523923 751602071 /nfs/dbraw/zinc/60/20/71/751602071.db2.gz YBKATLAORGTAEY-CQSZACIVSA-N 1 2 320.437 1.643 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)no2)C1 ZINC001035530287 751611582 /nfs/dbraw/zinc/61/15/82/751611582.db2.gz KZPLWTCBRMNVQD-CYBMUJFWSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)no2)C1 ZINC001035530287 751611586 /nfs/dbraw/zinc/61/15/86/751611586.db2.gz KZPLWTCBRMNVQD-CYBMUJFWSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2coc(CC3CC3)n2)C1 ZINC001035566756 751619418 /nfs/dbraw/zinc/61/94/18/751619418.db2.gz YNDZLUOYLGLTBA-CQSZACIVSA-N 1 2 319.405 1.634 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2coc(CC3CC3)n2)C1 ZINC001035566756 751619421 /nfs/dbraw/zinc/61/94/21/751619421.db2.gz YNDZLUOYLGLTBA-CQSZACIVSA-N 1 2 319.405 1.634 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001029798547 741311773 /nfs/dbraw/zinc/31/17/73/741311773.db2.gz LEHDQDCTIAJAPE-CQSZACIVSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001029798547 741311774 /nfs/dbraw/zinc/31/17/74/741311774.db2.gz LEHDQDCTIAJAPE-CQSZACIVSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnn(CC)c2C2CC2)C1 ZINC001035572757 751625953 /nfs/dbraw/zinc/62/59/53/751625953.db2.gz JDJQJJLCLTUTQO-CQSZACIVSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnn(CC)c2C2CC2)C1 ZINC001035572757 751625958 /nfs/dbraw/zinc/62/59/58/751625958.db2.gz JDJQJJLCLTUTQO-CQSZACIVSA-N 1 2 318.421 1.397 20 30 DDEDLO N#CCN[C@@H]1CCCCCN(C(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC001088338154 741411313 /nfs/dbraw/zinc/41/13/13/741411313.db2.gz WJRCBYLCUZXZFP-LSDHHAIUSA-N 1 2 315.421 1.330 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001007026516 741640680 /nfs/dbraw/zinc/64/06/80/741640680.db2.gz CIEDHVYVPPEBHG-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1ncc(C[N@H+]2CCC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001007026516 741640681 /nfs/dbraw/zinc/64/06/81/741640681.db2.gz CIEDHVYVPPEBHG-CYBMUJFWSA-N 1 2 313.361 1.577 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)c2ccncc2)c1 ZINC001038007526 751655989 /nfs/dbraw/zinc/65/59/89/751655989.db2.gz IMQGMNMBMRBVIJ-KRWDZBQOSA-N 1 2 306.369 1.958 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)c2ccncc2)c1 ZINC001038007526 751655997 /nfs/dbraw/zinc/65/59/97/751655997.db2.gz IMQGMNMBMRBVIJ-KRWDZBQOSA-N 1 2 306.369 1.958 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(CC)c(CC)o2)C1 ZINC001035579659 751669180 /nfs/dbraw/zinc/66/91/80/751669180.db2.gz LDWCNXSLUFEWFZ-HNNXBMFYSA-N 1 2 318.417 1.858 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(CC)c(CC)o2)C1 ZINC001035579659 751669184 /nfs/dbraw/zinc/66/91/84/751669184.db2.gz LDWCNXSLUFEWFZ-HNNXBMFYSA-N 1 2 318.417 1.858 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1c(F)cccc1F ZINC001032619741 751671044 /nfs/dbraw/zinc/67/10/44/751671044.db2.gz DMFKPPVIXMKBQL-IGQOVBAYSA-N 1 2 316.351 1.987 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1c(F)cccc1F ZINC001032619741 751671047 /nfs/dbraw/zinc/67/10/47/751671047.db2.gz DMFKPPVIXMKBQL-IGQOVBAYSA-N 1 2 316.351 1.987 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(NC(C)=O)cc2)C1 ZINC001035584972 751678728 /nfs/dbraw/zinc/67/87/28/751678728.db2.gz AHQCYWVGEVKYLN-INIZCTEOSA-N 1 2 317.389 1.262 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(NC(C)=O)cc2)C1 ZINC001035584972 751678730 /nfs/dbraw/zinc/67/87/30/751678730.db2.gz AHQCYWVGEVKYLN-INIZCTEOSA-N 1 2 317.389 1.262 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3cccc(C)c3O2)C1 ZINC001035628637 751700432 /nfs/dbraw/zinc/70/04/32/751700432.db2.gz WXMLMHURAFBICK-JKSUJKDBSA-N 1 2 316.401 1.302 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3cccc(C)c3O2)C1 ZINC001035628637 751700435 /nfs/dbraw/zinc/70/04/35/751700435.db2.gz WXMLMHURAFBICK-JKSUJKDBSA-N 1 2 316.401 1.302 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3ccccc3nc2C)[C@@H](O)C1 ZINC001083502174 742401630 /nfs/dbraw/zinc/40/16/30/742401630.db2.gz XAZJJHOKIGHCRZ-MSOLQXFVSA-N 1 2 323.396 1.341 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3ccccc3nc2C)[C@@H](O)C1 ZINC001083502174 742401636 /nfs/dbraw/zinc/40/16/36/742401636.db2.gz XAZJJHOKIGHCRZ-MSOLQXFVSA-N 1 2 323.396 1.341 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2oc3c(cccc3F)c2C)[C@@H](O)C1 ZINC001083525116 742463120 /nfs/dbraw/zinc/46/31/20/742463120.db2.gz AHVRVIWYTOEMBU-KGLIPLIRSA-N 1 2 316.332 1.288 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2oc3c(cccc3F)c2C)[C@@H](O)C1 ZINC001083525116 742463122 /nfs/dbraw/zinc/46/31/22/742463122.db2.gz AHVRVIWYTOEMBU-KGLIPLIRSA-N 1 2 316.332 1.288 20 30 DDEDLO C#CCCCCC(=O)NCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001076210545 742599600 /nfs/dbraw/zinc/59/96/00/742599600.db2.gz VQDXHCGYRPXBEK-ZDUSSCGKSA-N 1 2 318.421 1.465 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(CC)nn3C)[C@@H]2C1 ZINC001076395309 742707668 /nfs/dbraw/zinc/70/76/68/742707668.db2.gz QIDUFACGGAQMNI-SWLSCSKDSA-N 1 2 322.840 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(CC)nn3C)[C@@H]2C1 ZINC001076395309 742707670 /nfs/dbraw/zinc/70/76/70/742707670.db2.gz QIDUFACGGAQMNI-SWLSCSKDSA-N 1 2 322.840 1.881 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3[nH]cnc3CC)[C@@H]2C1 ZINC001076509561 742764122 /nfs/dbraw/zinc/76/41/22/742764122.db2.gz CJOWDGFLJZYDJR-WCQYABFASA-N 1 2 308.813 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3[nH]cnc3CC)[C@@H]2C1 ZINC001076509561 742764125 /nfs/dbraw/zinc/76/41/25/742764125.db2.gz CJOWDGFLJZYDJR-WCQYABFASA-N 1 2 308.813 1.871 20 30 DDEDLO Cc1conc1C[NH+]1CC2(C1)CCN(C(=O)C#CC1CC1)CC2 ZINC001035701276 751788284 /nfs/dbraw/zinc/78/82/84/751788284.db2.gz WDPYGAIZZPAIBN-UHFFFAOYSA-N 1 2 313.401 1.821 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(CCC)CCC2)[C@@H](n2ccnn2)C1 ZINC001129204301 751805600 /nfs/dbraw/zinc/80/56/00/751805600.db2.gz CLFUBGFAAQFRPM-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(CCC)CCC2)[C@@H](n2ccnn2)C1 ZINC001129204301 751805609 /nfs/dbraw/zinc/80/56/09/751805609.db2.gz CLFUBGFAAQFRPM-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001016317642 743251684 /nfs/dbraw/zinc/25/16/84/743251684.db2.gz YIWFFQBZBRQQFY-CQSZACIVSA-N 1 2 304.330 1.372 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001016317642 743251693 /nfs/dbraw/zinc/25/16/93/743251693.db2.gz YIWFFQBZBRQQFY-CQSZACIVSA-N 1 2 304.330 1.372 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001077139343 743266761 /nfs/dbraw/zinc/26/67/61/743266761.db2.gz JBQZVGBZMMXEIL-BFHYXJOUSA-N 1 2 316.405 1.328 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001077139343 743266765 /nfs/dbraw/zinc/26/67/65/743266765.db2.gz JBQZVGBZMMXEIL-BFHYXJOUSA-N 1 2 316.405 1.328 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001077165455 743287850 /nfs/dbraw/zinc/28/78/50/743287850.db2.gz PXSHXQQBDZKIAB-QBPKDAKJSA-N 1 2 304.434 1.387 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001077165455 743287853 /nfs/dbraw/zinc/28/78/53/743287853.db2.gz PXSHXQQBDZKIAB-QBPKDAKJSA-N 1 2 304.434 1.387 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC001077170967 743294887 /nfs/dbraw/zinc/29/48/87/743294887.db2.gz WXRDDSSMNDZBLY-GHMZBOCLSA-N 1 2 312.316 1.324 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC001077170967 743294893 /nfs/dbraw/zinc/29/48/93/743294893.db2.gz WXRDDSSMNDZBLY-GHMZBOCLSA-N 1 2 312.316 1.324 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2coc(OCC)n2)C1 ZINC001108049983 743370008 /nfs/dbraw/zinc/37/00/08/743370008.db2.gz UPVGHTTYDKENEM-OAHLLOKOSA-N 1 2 309.366 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2coc(OCC)n2)C1 ZINC001108049983 743370015 /nfs/dbraw/zinc/37/00/15/743370015.db2.gz UPVGHTTYDKENEM-OAHLLOKOSA-N 1 2 309.366 1.080 20 30 DDEDLO C#CCOCCC(=O)NCC[NH2+][C@H](C)c1nnc(CC(C)C)o1 ZINC001127023393 743595045 /nfs/dbraw/zinc/59/50/45/743595045.db2.gz KNCQPSWRTPQDJB-CYBMUJFWSA-N 1 2 322.409 1.075 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ccc(-c3ccco3)o2)[C@@H](O)C1 ZINC001083630382 743631227 /nfs/dbraw/zinc/63/12/27/743631227.db2.gz RRCXPABIYMJTNP-NEPJUHHUSA-N 1 2 302.330 1.501 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ccc(-c3ccco3)o2)[C@@H](O)C1 ZINC001083630382 743631230 /nfs/dbraw/zinc/63/12/30/743631230.db2.gz RRCXPABIYMJTNP-NEPJUHHUSA-N 1 2 302.330 1.501 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+]Cc1csnn1 ZINC001127125045 743809085 /nfs/dbraw/zinc/80/90/85/743809085.db2.gz QKZYSZIFASVGIQ-UHFFFAOYSA-N 1 2 312.439 1.507 20 30 DDEDLO C#CCCCC(=O)NC[C@H](O)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001105797157 743884875 /nfs/dbraw/zinc/88/48/75/743884875.db2.gz VRMFRBRSRWCMHQ-CQSZACIVSA-N 1 2 316.405 1.355 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2coc3cc(F)ccc23)C1 ZINC001030299341 744029858 /nfs/dbraw/zinc/02/98/58/744029858.db2.gz JZJVUSMSWOTLDC-UHFFFAOYSA-N 1 2 300.333 1.938 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(OCC)s2)C1 ZINC001185280829 744197660 /nfs/dbraw/zinc/19/76/60/744197660.db2.gz YZAXJRJBMOQVTR-GFCCVEGCSA-N 1 2 324.450 1.984 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](O)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001106051376 744298645 /nfs/dbraw/zinc/29/86/45/744298645.db2.gz FLMPTKSKZRUVPP-ZDUSSCGKSA-N 1 2 318.421 1.764 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)/C(C)=C/C)C2)nn1 ZINC001185915417 744314268 /nfs/dbraw/zinc/31/42/68/744314268.db2.gz FJMNKPZDTLIRCG-HQYNXSMWSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn(CCC)nn2)[C@H]1C ZINC001088946165 744392855 /nfs/dbraw/zinc/39/28/55/744392855.db2.gz JHOTVFJDDXSDOX-NEPJUHHUSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn(CCC)nn2)[C@H]1C ZINC001088946165 744392858 /nfs/dbraw/zinc/39/28/58/744392858.db2.gz JHOTVFJDDXSDOX-NEPJUHHUSA-N 1 2 311.817 1.633 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3nocc3C)[C@@H]2C)cn1 ZINC001088991314 744435447 /nfs/dbraw/zinc/43/54/47/744435447.db2.gz UCEXNVIVEVEHRT-CJNGLKHVSA-N 1 2 324.384 1.752 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3nocc3C)[C@@H]2C)cn1 ZINC001088991314 744435451 /nfs/dbraw/zinc/43/54/51/744435451.db2.gz UCEXNVIVEVEHRT-CJNGLKHVSA-N 1 2 324.384 1.752 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)[C@H]1C ZINC001089062678 744481910 /nfs/dbraw/zinc/48/19/10/744481910.db2.gz SMDJBAAQKOXHGE-UPJWGTAASA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)[C@H]1C ZINC001089062678 744481911 /nfs/dbraw/zinc/48/19/11/744481911.db2.gz SMDJBAAQKOXHGE-UPJWGTAASA-N 1 2 313.829 1.186 20 30 DDEDLO COc1ccnc(C(=N)Nc2ccc(F)c(-n3cc[nH+]c3)c2)n1 ZINC001168427699 744579786 /nfs/dbraw/zinc/57/97/86/744579786.db2.gz GNXHNNQWHVLDEM-UHFFFAOYSA-N 1 2 312.308 1.847 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)o1 ZINC001089140181 744585036 /nfs/dbraw/zinc/58/50/36/744585036.db2.gz XVNMOJOAGZTZII-JSGCOSHPSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@@H]2CCCCN2C(=O)[C@@H](C)C#N)o1 ZINC001089140181 744585038 /nfs/dbraw/zinc/58/50/38/744585038.db2.gz XVNMOJOAGZTZII-JSGCOSHPSA-N 1 2 304.394 1.956 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001187698083 744593426 /nfs/dbraw/zinc/59/34/26/744593426.db2.gz HHZIKJZAMBEAKO-JGGQBBKZSA-N 1 2 305.378 1.034 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001187698083 744593429 /nfs/dbraw/zinc/59/34/29/744593429.db2.gz HHZIKJZAMBEAKO-JGGQBBKZSA-N 1 2 305.378 1.034 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC[C@H]1CCC(C)(C)CN1CC#N ZINC001099149033 744795059 /nfs/dbraw/zinc/79/50/59/744795059.db2.gz NQMIBADUFBDVPN-CQSZACIVSA-N 1 2 317.437 1.483 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(NC(=O)c3cccc4nc[nH]c43)CCC[C@H]12 ZINC000992365969 744825920 /nfs/dbraw/zinc/82/59/20/744825920.db2.gz KDZFARHAQDHDKX-MAUKXSAKSA-N 1 2 308.385 1.923 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(NC(=O)c3cccc4nc[nH]c43)CCC[C@H]12 ZINC000992365969 744825922 /nfs/dbraw/zinc/82/59/22/744825922.db2.gz KDZFARHAQDHDKX-MAUKXSAKSA-N 1 2 308.385 1.923 20 30 DDEDLO C=C1CC(C)(C(=O)NC2C[NH+](C[C@@H](O)c3ccccc3)C2)C1 ZINC001030923875 744844496 /nfs/dbraw/zinc/84/44/96/744844496.db2.gz DYHHBCPOBZLPMN-MRXNPFEDSA-N 1 2 300.402 1.877 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001190428588 745217390 /nfs/dbraw/zinc/21/73/90/745217390.db2.gz LZYGDUMWVZRBLQ-AWEZNQCLSA-N 1 2 305.426 1.687 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001190428588 745217395 /nfs/dbraw/zinc/21/73/95/745217395.db2.gz LZYGDUMWVZRBLQ-AWEZNQCLSA-N 1 2 305.426 1.687 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CCC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007026185 751989317 /nfs/dbraw/zinc/98/93/17/751989317.db2.gz BYZNVKMGVBPLAN-JSGCOSHPSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CCC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007026185 751989320 /nfs/dbraw/zinc/98/93/20/751989320.db2.gz BYZNVKMGVBPLAN-JSGCOSHPSA-N 1 2 324.388 1.632 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cc[n+]([O-])cc2)[C@H]1C ZINC000993010522 745373502 /nfs/dbraw/zinc/37/35/02/745373502.db2.gz DETIKLMUCRDKOQ-TZMCWYRMSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cc[n+]([O-])cc2)[C@H]1C ZINC000993010522 745373505 /nfs/dbraw/zinc/37/35/05/745373505.db2.gz DETIKLMUCRDKOQ-TZMCWYRMSA-N 1 2 309.797 1.655 20 30 DDEDLO CC/C=C(/C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191483355 745519790 /nfs/dbraw/zinc/51/97/90/745519790.db2.gz RVZVYLCCDOXRHF-DSHURVMMSA-N 1 2 319.430 1.637 20 30 DDEDLO CC/C=C(/C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191483355 745519793 /nfs/dbraw/zinc/51/97/93/745519793.db2.gz RVZVYLCCDOXRHF-DSHURVMMSA-N 1 2 319.430 1.637 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001191753429 745602626 /nfs/dbraw/zinc/60/26/26/745602626.db2.gz CXMOAJRQXPGNMY-OAHLLOKOSA-N 1 2 317.437 1.432 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001191753429 745602629 /nfs/dbraw/zinc/60/26/29/745602629.db2.gz CXMOAJRQXPGNMY-OAHLLOKOSA-N 1 2 317.437 1.432 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@H]1O ZINC001192770473 745886855 /nfs/dbraw/zinc/88/68/55/745886855.db2.gz KTLCVSKGKJILJQ-HZPDHXFCSA-N 1 2 318.804 1.415 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@H]1O ZINC001192770473 745886859 /nfs/dbraw/zinc/88/68/59/745886859.db2.gz KTLCVSKGKJILJQ-HZPDHXFCSA-N 1 2 318.804 1.415 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cnnn2CC)[C@@H]1C ZINC000993261608 745932795 /nfs/dbraw/zinc/93/27/95/745932795.db2.gz ISRIWGFEDMGDLC-NWDGAFQWSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cnnn2CC)[C@@H]1C ZINC000993261608 745932799 /nfs/dbraw/zinc/93/27/99/745932799.db2.gz ISRIWGFEDMGDLC-NWDGAFQWSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2ccn(C)n2)[C@@H]1C ZINC000993321659 745987567 /nfs/dbraw/zinc/98/75/67/745987567.db2.gz ZMGVLTHGQVXXHM-JSGCOSHPSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2ccn(C)n2)[C@@H]1C ZINC000993321659 745987570 /nfs/dbraw/zinc/98/75/70/745987570.db2.gz ZMGVLTHGQVXXHM-JSGCOSHPSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC001031246189 745989253 /nfs/dbraw/zinc/98/92/53/745989253.db2.gz VGNWGDYFVVTXBJ-UHFFFAOYSA-N 1 2 317.780 1.434 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cc(OC)ccc2C#N)C[C@H]1C ZINC001193679527 746173693 /nfs/dbraw/zinc/17/36/93/746173693.db2.gz GIJXWSYMCMCMFQ-GFCCVEGCSA-N 1 2 323.418 1.282 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cc(OC)ccc2C#N)C[C@H]1C ZINC001193679527 746173696 /nfs/dbraw/zinc/17/36/96/746173696.db2.gz GIJXWSYMCMCMFQ-GFCCVEGCSA-N 1 2 323.418 1.282 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2cn[nH]n2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000993538452 746203804 /nfs/dbraw/zinc/20/38/04/746203804.db2.gz FMPKXUBWUGWJHL-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2cn[nH]n2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000993538452 746203808 /nfs/dbraw/zinc/20/38/08/746203808.db2.gz FMPKXUBWUGWJHL-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2cnn[nH]2)CCC[N@@H+]1Cc1cccc(C#N)c1 ZINC000993538452 746203813 /nfs/dbraw/zinc/20/38/13/746203813.db2.gz FMPKXUBWUGWJHL-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)c2cnn[nH]2)CCC[N@H+]1Cc1cccc(C#N)c1 ZINC000993538452 746203815 /nfs/dbraw/zinc/20/38/15/746203815.db2.gz FMPKXUBWUGWJHL-WFASDCNBSA-N 1 2 324.388 1.459 20 30 DDEDLO CCN(CCNc1nc(C)ccc1C#N)C(=O)Cc1[nH]cc[nH+]1 ZINC001106829461 746274539 /nfs/dbraw/zinc/27/45/39/746274539.db2.gz VKBMMBGQTYVSML-UHFFFAOYSA-N 1 2 312.377 1.488 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001194382044 746362800 /nfs/dbraw/zinc/36/28/00/746362800.db2.gz SOQORKLXRJJHTH-OAHLLOKOSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001194382044 746362805 /nfs/dbraw/zinc/36/28/05/746362805.db2.gz SOQORKLXRJJHTH-OAHLLOKOSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)o2)C1 ZINC001194396433 746366435 /nfs/dbraw/zinc/36/64/35/746366435.db2.gz CMMRYQPZRWXZHI-KBPBESRZSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)o2)C1 ZINC001194396433 746366440 /nfs/dbraw/zinc/36/64/40/746366440.db2.gz CMMRYQPZRWXZHI-KBPBESRZSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ccn(CC)n2)C1 ZINC001194407340 746369684 /nfs/dbraw/zinc/36/96/84/746369684.db2.gz KWLOVIKQGXIONP-ZBFHGGJFSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ccn(CC)n2)C1 ZINC001194407340 746369688 /nfs/dbraw/zinc/36/96/88/746369688.db2.gz KWLOVIKQGXIONP-ZBFHGGJFSA-N 1 2 320.437 1.527 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cncc(OC)n2)[C@H]1C ZINC000993936615 746382959 /nfs/dbraw/zinc/38/29/59/746382959.db2.gz GHVGCOOMDYPLQD-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cncc(OC)n2)[C@H]1C ZINC000993936615 746382961 /nfs/dbraw/zinc/38/29/61/746382961.db2.gz GHVGCOOMDYPLQD-VXGBXAGGSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cnn3cc[nH]c23)[C@H]1C ZINC000994301177 746584176 /nfs/dbraw/zinc/58/41/76/746584176.db2.gz QFXLFFFSZLPKEW-DGCLKSJQSA-N 1 2 321.812 1.998 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cnn3cc[nH]c23)[C@H]1C ZINC000994301177 746584179 /nfs/dbraw/zinc/58/41/79/746584179.db2.gz QFXLFFFSZLPKEW-DGCLKSJQSA-N 1 2 321.812 1.998 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@H]1O ZINC001195548656 746642283 /nfs/dbraw/zinc/64/22/83/746642283.db2.gz FHBNVYLBSYNVTM-IACUBPJLSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@H]1O ZINC001195548656 746642287 /nfs/dbraw/zinc/64/22/87/746642287.db2.gz FHBNVYLBSYNVTM-IACUBPJLSA-N 1 2 309.435 1.407 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195718113 746684839 /nfs/dbraw/zinc/68/48/39/746684839.db2.gz NNXJMTMIQDMCSZ-HUUCEWRRSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2C)C[C@H]1O ZINC001195718113 746684841 /nfs/dbraw/zinc/68/48/41/746684841.db2.gz NNXJMTMIQDMCSZ-HUUCEWRRSA-N 1 2 307.394 1.309 20 30 DDEDLO C[C@H](C[C@H](C)Nc1ccc(C#N)nc1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089381989 746760269 /nfs/dbraw/zinc/76/02/69/746760269.db2.gz WJSDPEHHWSMZSK-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2c(C)[nH]nc2Cl)CC1 ZINC001196085193 746774379 /nfs/dbraw/zinc/77/43/79/746774379.db2.gz GIALITDVHSCAJV-UHFFFAOYSA-N 1 2 324.812 1.169 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2c(C)[nH]nc2Cl)CC1 ZINC001196085193 746774382 /nfs/dbraw/zinc/77/43/82/746774382.db2.gz GIALITDVHSCAJV-UHFFFAOYSA-N 1 2 324.812 1.169 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CCCN2CC(F)(F)F)C1 ZINC001031439126 746805947 /nfs/dbraw/zinc/80/59/47/746805947.db2.gz CKTDEMOKIGZEJJ-GFCCVEGCSA-N 1 2 305.344 1.390 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CCCN(CC#N)CC1)n1cc[nH+]c1 ZINC001196243169 746820736 /nfs/dbraw/zinc/82/07/36/746820736.db2.gz XHFIHLNRHCGJMI-HNNXBMFYSA-N 1 2 303.410 1.528 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)NCC1C[NH+](Cc2ccc(C#N)cc2F)C1 ZINC001031521403 746951287 /nfs/dbraw/zinc/95/12/87/746951287.db2.gz DFOMBUORABGVHD-IAQYHMDHSA-N 1 2 301.365 1.901 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)CC)CC1 ZINC001196733114 746952479 /nfs/dbraw/zinc/95/24/79/746952479.db2.gz XZYPXLXRDSJENJ-AWEZNQCLSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)CC)CC1 ZINC001196733114 746952484 /nfs/dbraw/zinc/95/24/84/746952484.db2.gz XZYPXLXRDSJENJ-AWEZNQCLSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+]([C@@H](C)C(=O)NCCC)CC1 ZINC001196769257 746953380 /nfs/dbraw/zinc/95/33/80/746953380.db2.gz ADYIRLQBSPAXHQ-AWEZNQCLSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+]([C@@H](C)C(=O)NCCC)CC1 ZINC001196769257 746953382 /nfs/dbraw/zinc/95/33/82/746953382.db2.gz ADYIRLQBSPAXHQ-AWEZNQCLSA-N 1 2 309.454 1.648 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](Cc2cncn2C)CC1 ZINC001196847211 746979382 /nfs/dbraw/zinc/97/93/82/746979382.db2.gz XGFYUBUSQBDMIC-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](Cc2cncn2C)CC1 ZINC001196847211 746979388 /nfs/dbraw/zinc/97/93/88/746979388.db2.gz XGFYUBUSQBDMIC-UHFFFAOYSA-N 1 2 302.422 1.648 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)[C@@H](C)C=C)CC1 ZINC001196854897 746991853 /nfs/dbraw/zinc/99/18/53/746991853.db2.gz VECZWUODBGZRAB-LSDHHAIUSA-N 1 2 307.438 1.281 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)[C@@H](C)C=C)CC1 ZINC001196854897 746991857 /nfs/dbraw/zinc/99/18/57/746991857.db2.gz VECZWUODBGZRAB-LSDHHAIUSA-N 1 2 307.438 1.281 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccc[nH]3)C2)cc1F ZINC001031543844 746994777 /nfs/dbraw/zinc/99/47/77/746994777.db2.gz LIPYSBCRDAFYAJ-UHFFFAOYSA-N 1 2 312.348 1.887 20 30 DDEDLO CCc1cc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001031555828 747017580 /nfs/dbraw/zinc/01/75/80/747017580.db2.gz XBIVJQRDOVOOES-UHFFFAOYSA-N 1 2 323.400 1.706 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001197037233 747044791 /nfs/dbraw/zinc/04/47/91/747044791.db2.gz MBMHBRITVRKWRT-QGZVFWFLSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001197037233 747044797 /nfs/dbraw/zinc/04/47/97/747044797.db2.gz MBMHBRITVRKWRT-QGZVFWFLSA-N 1 2 309.454 1.649 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2ccnc(F)c2)C1 ZINC001031574854 747082921 /nfs/dbraw/zinc/08/29/21/747082921.db2.gz UNZLWYXYHKITIT-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001197220162 747109721 /nfs/dbraw/zinc/10/97/21/747109721.db2.gz APQVGUVRICZJDM-BFYDXBDKSA-N 1 2 310.438 1.014 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001197220162 747109727 /nfs/dbraw/zinc/10/97/27/747109727.db2.gz APQVGUVRICZJDM-BFYDXBDKSA-N 1 2 310.438 1.014 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H](F)C(C)C)c2C1 ZINC001128453307 747159294 /nfs/dbraw/zinc/15/92/94/747159294.db2.gz FZCZGJJRADOCRV-HNNXBMFYSA-N 1 2 308.401 1.885 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H](F)C(C)C)c2C1 ZINC001128453307 747159297 /nfs/dbraw/zinc/15/92/97/747159297.db2.gz FZCZGJJRADOCRV-HNNXBMFYSA-N 1 2 308.401 1.885 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H](CC)CCC)CC1 ZINC001197579599 747194713 /nfs/dbraw/zinc/19/47/13/747194713.db2.gz ZSRORAYBPJOPET-HOTGVXAUSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@H](CC)CCC)CC1 ZINC001197579599 747194717 /nfs/dbraw/zinc/19/47/17/747194717.db2.gz ZSRORAYBPJOPET-HOTGVXAUSA-N 1 2 321.465 1.485 20 30 DDEDLO C=CCCCC(=O)NCc1cnn2c1C[N@H+](C[C@@H](C)OC)CC2 ZINC001128463727 747219439 /nfs/dbraw/zinc/21/94/39/747219439.db2.gz TUHJGWVPHUOLJT-CQSZACIVSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCCCC(=O)NCc1cnn2c1C[N@@H+](C[C@@H](C)OC)CC2 ZINC001128463727 747219443 /nfs/dbraw/zinc/21/94/43/747219443.db2.gz TUHJGWVPHUOLJT-CQSZACIVSA-N 1 2 320.437 1.706 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cnoc2C2CC2)CC1 ZINC001197668645 747227927 /nfs/dbraw/zinc/22/79/27/747227927.db2.gz CXWDVQNMOBOESU-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cnoc2C2CC2)CC1 ZINC001197668645 747227936 /nfs/dbraw/zinc/22/79/36/747227936.db2.gz CXWDVQNMOBOESU-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@H+](CC)CC(=O)Nc1nccs1 ZINC001152352960 747271028 /nfs/dbraw/zinc/27/10/28/747271028.db2.gz QESZSOLTUGEKJI-GFCCVEGCSA-N 1 2 324.450 1.874 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)[N@@H+](CC)CC(=O)Nc1nccs1 ZINC001152352960 747271030 /nfs/dbraw/zinc/27/10/30/747271030.db2.gz QESZSOLTUGEKJI-GFCCVEGCSA-N 1 2 324.450 1.874 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)[C@H]3CC3(F)F)C2)cc1F ZINC001031655453 747323311 /nfs/dbraw/zinc/32/33/11/747323311.db2.gz GXRRJWCQCDYZQN-CYBMUJFWSA-N 1 2 323.318 1.901 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ncc[nH]3)C2)cc1F ZINC001031658887 747332435 /nfs/dbraw/zinc/33/24/35/747332435.db2.gz ZGYOLUMKPDSJKK-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(C)s2)[C@H](O)C1 ZINC001090021602 747333774 /nfs/dbraw/zinc/33/37/74/747333774.db2.gz BUAZCMLBJQZATR-VXGBXAGGSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)s2)[C@H](O)C1 ZINC001090021602 747333781 /nfs/dbraw/zinc/33/37/81/747333781.db2.gz BUAZCMLBJQZATR-VXGBXAGGSA-N 1 2 314.838 1.974 20 30 DDEDLO C#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1snnc1C ZINC001089607424 747444673 /nfs/dbraw/zinc/44/46/73/747444673.db2.gz LCNKWDHNJIVDOE-GFCCVEGCSA-N 1 2 306.435 1.700 20 30 DDEDLO C#CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1snnc1C ZINC001089607424 747444675 /nfs/dbraw/zinc/44/46/75/747444675.db2.gz LCNKWDHNJIVDOE-GFCCVEGCSA-N 1 2 306.435 1.700 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cnc(C)o2)CC1 ZINC001198343638 747458718 /nfs/dbraw/zinc/45/87/18/747458718.db2.gz LUUFOXLIHHSUJT-ZDUSSCGKSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cnc(C)o2)CC1 ZINC001198343638 747458721 /nfs/dbraw/zinc/45/87/21/747458721.db2.gz LUUFOXLIHHSUJT-ZDUSSCGKSA-N 1 2 307.394 1.608 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC000994826354 747586121 /nfs/dbraw/zinc/58/61/21/747586121.db2.gz ADTFECCBSMJRAY-PBFPGSCMSA-N 1 2 316.405 1.443 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC000994826354 747586126 /nfs/dbraw/zinc/58/61/26/747586126.db2.gz ADTFECCBSMJRAY-PBFPGSCMSA-N 1 2 316.405 1.443 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000998744560 752194999 /nfs/dbraw/zinc/19/49/99/752194999.db2.gz BZLSWCHAGKWUCZ-RYUDHWBXSA-N 1 2 305.382 1.262 20 30 DDEDLO CN(C(=O)Cc1ccn[nH]1)[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001032983532 747847110 /nfs/dbraw/zinc/84/71/10/747847110.db2.gz ZAUJMIVPRHPTTK-GOSISDBHSA-N 1 2 322.412 1.537 20 30 DDEDLO CN(C(=O)Cc1ccn[nH]1)[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001032983532 747847118 /nfs/dbraw/zinc/84/71/18/747847118.db2.gz ZAUJMIVPRHPTTK-GOSISDBHSA-N 1 2 322.412 1.537 20 30 DDEDLO C=CCNC(=S)N1CCC2(CC1)c1[nH+]cc(C)n1CCN2C ZINC001200288446 748183486 /nfs/dbraw/zinc/18/34/86/748183486.db2.gz GGOSBMJKAYDPNG-UHFFFAOYSA-N 1 2 319.478 1.489 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C2CCC(OC)CC2)C1 ZINC001031941624 748263574 /nfs/dbraw/zinc/26/35/74/748263574.db2.gz QYIGMBFFWMZKAS-UHFFFAOYSA-N 1 2 300.830 1.992 20 30 DDEDLO C#CCC[NH2+]C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCCO1 ZINC001200555446 748277211 /nfs/dbraw/zinc/27/72/11/748277211.db2.gz RAFIHJWCOLFOPH-WDEREUQCSA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[NH2+]C[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCCO1 ZINC001200555446 748277218 /nfs/dbraw/zinc/27/72/18/748277218.db2.gz RAFIHJWCOLFOPH-WDEREUQCSA-N 1 2 310.291 1.117 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+][C@@H](C)c1nnc(CC)o1 ZINC001124494036 748285558 /nfs/dbraw/zinc/28/55/58/748285558.db2.gz DEAHZPVXHKIRFW-NWDGAFQWSA-N 1 2 310.398 1.380 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)cncc2C)C1 ZINC001108070038 748310522 /nfs/dbraw/zinc/31/05/22/748310522.db2.gz MOTGEICMMDQCGH-KRWDZBQOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)cncc2C)C1 ZINC001108070038 748310529 /nfs/dbraw/zinc/31/05/29/748310529.db2.gz MOTGEICMMDQCGH-KRWDZBQOSA-N 1 2 303.406 1.705 20 30 DDEDLO C[C@H](C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1)n1cccn1 ZINC001004345968 748345848 /nfs/dbraw/zinc/34/58/48/748345848.db2.gz CRNUKJFJPRGOFE-GDBMZVCRSA-N 1 2 315.421 1.671 20 30 DDEDLO C[C@H](C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1)n1cccn1 ZINC001004345968 748345857 /nfs/dbraw/zinc/34/58/57/748345857.db2.gz CRNUKJFJPRGOFE-GDBMZVCRSA-N 1 2 315.421 1.671 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)on1 ZINC001004354701 748350005 /nfs/dbraw/zinc/35/00/05/748350005.db2.gz RTTLDUMZNXJGMT-AWEZNQCLSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)on1 ZINC001004354701 748350011 /nfs/dbraw/zinc/35/00/11/748350011.db2.gz RTTLDUMZNXJGMT-AWEZNQCLSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1cn(C)nc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004440277 748430593 /nfs/dbraw/zinc/43/05/93/748430593.db2.gz YRHKQRSWRNMGSD-HNNXBMFYSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1cn(C)nc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004440277 748430599 /nfs/dbraw/zinc/43/05/99/748430599.db2.gz YRHKQRSWRNMGSD-HNNXBMFYSA-N 1 2 315.421 1.569 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cc(C(F)(F)F)n[nH]2)C1 ZINC001032052050 748507542 /nfs/dbraw/zinc/50/75/42/748507542.db2.gz RVOVPLNRNZSYDK-UHFFFAOYSA-N 1 2 322.718 1.843 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3ccc(F)cn3)C2)nc1 ZINC001032135112 748727466 /nfs/dbraw/zinc/72/74/66/748727466.db2.gz JRLUYNDDGZKVCO-UHFFFAOYSA-N 1 2 324.359 1.459 20 30 DDEDLO C=CCOCC(=O)NC1(C)CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110578081 748741164 /nfs/dbraw/zinc/74/11/64/748741164.db2.gz OIRDMZAKJCCKSS-UHFFFAOYSA-N 1 2 304.394 1.463 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ncc(C)cc2C)C1 ZINC001108090813 748786623 /nfs/dbraw/zinc/78/66/23/748786623.db2.gz XESRRFJWSXTBIR-GOSISDBHSA-N 1 2 315.417 1.542 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ncc(C)cc2C)C1 ZINC001108090813 748786628 /nfs/dbraw/zinc/78/66/28/748786628.db2.gz XESRRFJWSXTBIR-GOSISDBHSA-N 1 2 315.417 1.542 20 30 DDEDLO N#CCN1CC[C@@H]([C@H]2CCCCN2C(=O)Cn2cc[nH+]c2)C1 ZINC001039253796 761892230 /nfs/dbraw/zinc/89/22/30/761892230.db2.gz OJPKGNDRDGXTDG-HUUCEWRRSA-N 1 2 301.394 1.110 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnc3n[nH]cc3c2)C1 ZINC001033188796 748847404 /nfs/dbraw/zinc/84/74/04/748847404.db2.gz KZSOHNWMGKELEA-CYBMUJFWSA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc3n[nH]cc3c2)C1 ZINC001033188796 748847414 /nfs/dbraw/zinc/84/74/14/748847414.db2.gz KZSOHNWMGKELEA-CYBMUJFWSA-N 1 2 319.796 1.857 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]([NH2+]Cc2nn(C)cc2Cl)C(C)(C)C1 ZINC000995546311 748908463 /nfs/dbraw/zinc/90/84/63/748908463.db2.gz BWAZPNFAXJBBSD-MFKMUULPSA-N 1 2 323.828 1.560 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1onc(C)c1C)C2 ZINC001110700068 748912016 /nfs/dbraw/zinc/91/20/16/748912016.db2.gz XTURJMWUWVSPOT-KFWWJZLASA-N 1 2 317.389 1.276 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1onc(C)c1C)C2 ZINC001110700068 748912024 /nfs/dbraw/zinc/91/20/24/748912024.db2.gz XTURJMWUWVSPOT-KFWWJZLASA-N 1 2 317.389 1.276 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001033245304 749051255 /nfs/dbraw/zinc/05/12/55/749051255.db2.gz CSCYOYKADUZYRK-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001033245304 749051261 /nfs/dbraw/zinc/05/12/61/749051261.db2.gz CSCYOYKADUZYRK-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc3n(n2)CCCO3)C1 ZINC001033249963 749060878 /nfs/dbraw/zinc/06/08/78/749060878.db2.gz FBTSRWZISRPZSC-LBPRGKRZSA-N 1 2 324.812 1.564 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc3n(n2)CCCO3)C1 ZINC001033249963 749060882 /nfs/dbraw/zinc/06/08/82/749060882.db2.gz FBTSRWZISRPZSC-LBPRGKRZSA-N 1 2 324.812 1.564 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001114329471 749061641 /nfs/dbraw/zinc/06/16/41/749061641.db2.gz XMWHWXRXWBXEML-JYAVWHMHSA-N 1 2 304.394 1.527 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001114329471 749061646 /nfs/dbraw/zinc/06/16/46/749061646.db2.gz XMWHWXRXWBXEML-JYAVWHMHSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ncc(OC)cn2)C1 ZINC001033255115 749065770 /nfs/dbraw/zinc/06/57/70/749065770.db2.gz SYWNSSYLOIYGQV-LLVKDONJSA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ncc(OC)cn2)C1 ZINC001033255115 749065773 /nfs/dbraw/zinc/06/57/73/749065773.db2.gz SYWNSSYLOIYGQV-LLVKDONJSA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001114335923 749082370 /nfs/dbraw/zinc/08/23/70/749082370.db2.gz DUFVSNRDXAWYBM-ITGUQSILSA-N 1 2 323.828 1.140 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001114335923 749082374 /nfs/dbraw/zinc/08/23/74/749082374.db2.gz DUFVSNRDXAWYBM-ITGUQSILSA-N 1 2 323.828 1.140 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114340803 749088868 /nfs/dbraw/zinc/08/88/68/749088868.db2.gz NFGWJNUQGQGLHE-FOLVSLTJSA-N 1 2 317.437 1.447 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114340803 749088873 /nfs/dbraw/zinc/08/88/73/749088873.db2.gz NFGWJNUQGQGLHE-FOLVSLTJSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](C)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001108337788 761938918 /nfs/dbraw/zinc/93/89/18/761938918.db2.gz MZYQDVPDMKLXGN-YVEFUNNKSA-N 1 2 318.421 1.906 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)/C(C)=C/CC)nn2)C1 ZINC001107138647 749338135 /nfs/dbraw/zinc/33/81/35/749338135.db2.gz IOHMOYFEXXZOIP-NTUHNPAUSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)OCCCC)nn2)C1 ZINC001107140637 749372780 /nfs/dbraw/zinc/37/27/80/749372780.db2.gz NXOWAZGDRNWVBW-ZDUSSCGKSA-N 1 2 321.425 1.142 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc(COC)on2)C1 ZINC001033481610 749407469 /nfs/dbraw/zinc/40/74/69/749407469.db2.gz BTXBRVGLRQUVBI-NSHDSACASA-N 1 2 313.785 1.720 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(COC)on2)C1 ZINC001033481610 749407473 /nfs/dbraw/zinc/40/74/73/749407473.db2.gz BTXBRVGLRQUVBI-NSHDSACASA-N 1 2 313.785 1.720 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C=C3CCC3)nn2)C1 ZINC001107155556 749424491 /nfs/dbraw/zinc/42/44/91/749424491.db2.gz DUWYAKKWPSDKMU-UHFFFAOYSA-N 1 2 301.394 1.437 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)Oc2ccccn2)C1 ZINC001108346415 761949031 /nfs/dbraw/zinc/94/90/31/761949031.db2.gz JXFWZJWKALSXEU-RHSMWYFYSA-N 1 2 319.405 1.242 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)Oc2ccccn2)C1 ZINC001108346415 761949038 /nfs/dbraw/zinc/94/90/38/761949038.db2.gz JXFWZJWKALSXEU-RHSMWYFYSA-N 1 2 319.405 1.242 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C(F)=C3CCCC3)nn2)C1 ZINC001107157220 749441730 /nfs/dbraw/zinc/44/17/30/749441730.db2.gz LGUSXGAVPGWBHH-UHFFFAOYSA-N 1 2 319.384 1.735 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)Cc3ccc(C)o3)nn2)C1 ZINC001107161148 749458303 /nfs/dbraw/zinc/45/83/03/749458303.db2.gz ZUFZFOGTZOAQNX-UHFFFAOYSA-N 1 2 315.377 1.081 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)c2cccnc2)C1 ZINC001108347617 761952457 /nfs/dbraw/zinc/95/24/57/761952457.db2.gz PCGCCCWGPRQUBA-YOEHRIQHSA-N 1 2 303.406 1.578 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)c2cccnc2)C1 ZINC001108347617 761952464 /nfs/dbraw/zinc/95/24/64/761952464.db2.gz PCGCCCWGPRQUBA-YOEHRIQHSA-N 1 2 303.406 1.578 20 30 DDEDLO CCn1ccc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)n1 ZINC001039348823 761954482 /nfs/dbraw/zinc/95/44/82/761954482.db2.gz FQFJTLSRBUSKIX-BMFZPTHFSA-N 1 2 315.421 1.628 20 30 DDEDLO CCn1ccc(C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)n1 ZINC001039348823 761954489 /nfs/dbraw/zinc/95/44/89/761954489.db2.gz FQFJTLSRBUSKIX-BMFZPTHFSA-N 1 2 315.421 1.628 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)n2cccc2)C1 ZINC001108351284 761971162 /nfs/dbraw/zinc/97/11/62/761971162.db2.gz HDLHMJGJHSHGRD-WMZOPIPTSA-N 1 2 317.433 1.670 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)n2cccc2)C1 ZINC001108351284 761971169 /nfs/dbraw/zinc/97/11/69/761971169.db2.gz HDLHMJGJHSHGRD-WMZOPIPTSA-N 1 2 317.433 1.670 20 30 DDEDLO Cc1nsc(NC/C=C/CNC(=O)Cn2cc[nH+]c2)c1C#N ZINC001107240784 749682204 /nfs/dbraw/zinc/68/22/04/749682204.db2.gz RTIQZHDUZYTFOU-NSCUHMNNSA-N 1 2 316.390 1.304 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnns1)C2 ZINC001111660298 749762720 /nfs/dbraw/zinc/76/27/20/749762720.db2.gz CNOVTUHOKMPJFO-WFASDCNBSA-N 1 2 322.434 1.211 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnns1)C2 ZINC001111660298 749762724 /nfs/dbraw/zinc/76/27/24/749762724.db2.gz CNOVTUHOKMPJFO-WFASDCNBSA-N 1 2 322.434 1.211 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001039378431 761978255 /nfs/dbraw/zinc/97/82/55/761978255.db2.gz TTXACTDWWPEIKY-WOPDTQHZSA-N 1 2 306.303 1.975 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C(F)C(F)(F)F ZINC001039378431 761978262 /nfs/dbraw/zinc/97/82/62/761978262.db2.gz TTXACTDWWPEIKY-WOPDTQHZSA-N 1 2 306.303 1.975 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001033723947 749775886 /nfs/dbraw/zinc/77/58/86/749775886.db2.gz REWUXZQZVQWQPR-TZMCWYRMSA-N 1 2 310.829 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001033723947 749775890 /nfs/dbraw/zinc/77/58/90/749775890.db2.gz REWUXZQZVQWQPR-TZMCWYRMSA-N 1 2 310.829 1.809 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098188717 749892543 /nfs/dbraw/zinc/89/25/43/749892543.db2.gz XGVPWWPAVXGRCP-JTQLQIEISA-N 1 2 306.414 1.375 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)Oc2ccccc2)C1 ZINC001108376404 761997976 /nfs/dbraw/zinc/99/79/76/761997976.db2.gz KQNNNCOHCJUKEP-CRAIPNDOSA-N 1 2 318.417 1.847 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)Oc2ccccc2)C1 ZINC001108376404 761997979 /nfs/dbraw/zinc/99/79/79/761997979.db2.gz KQNNNCOHCJUKEP-CRAIPNDOSA-N 1 2 318.417 1.847 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CC(=C)C)C2 ZINC001110934080 750240574 /nfs/dbraw/zinc/24/05/74/750240574.db2.gz XXOPQLGLZPEOLH-JJXSEGSLSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CC(=C)C)C2 ZINC001110934080 750240578 /nfs/dbraw/zinc/24/05/78/750240578.db2.gz XXOPQLGLZPEOLH-JJXSEGSLSA-N 1 2 317.433 1.060 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001108110294 750279566 /nfs/dbraw/zinc/27/95/66/750279566.db2.gz MJCZHZKNSIUUGB-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001108110294 750279571 /nfs/dbraw/zinc/27/95/71/750279571.db2.gz MJCZHZKNSIUUGB-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)n(C)c2C)C1 ZINC001108386516 762024038 /nfs/dbraw/zinc/02/40/38/762024038.db2.gz FODJLPLJDXQLQT-GOSISDBHSA-N 1 2 317.433 1.486 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)n(C)c2C)C1 ZINC001108386516 762024047 /nfs/dbraw/zinc/02/40/47/762024047.db2.gz FODJLPLJDXQLQT-GOSISDBHSA-N 1 2 317.433 1.486 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2CCCCO2)C1 ZINC001107860597 750469360 /nfs/dbraw/zinc/46/93/60/750469360.db2.gz SJABDZYUTPMILS-RDJZCZTQSA-N 1 2 308.422 1.176 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H]2CCCCO2)C1 ZINC001107860597 750469364 /nfs/dbraw/zinc/46/93/64/750469364.db2.gz SJABDZYUTPMILS-RDJZCZTQSA-N 1 2 308.422 1.176 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccsc2C)[C@H](O)C1 ZINC001090249314 750760197 /nfs/dbraw/zinc/76/01/97/750760197.db2.gz NMKRBONSMTWNDY-QWHCGFSZSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccsc2C)[C@H](O)C1 ZINC001090249314 750760199 /nfs/dbraw/zinc/76/01/99/750760199.db2.gz NMKRBONSMTWNDY-QWHCGFSZSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001114725888 751027657 /nfs/dbraw/zinc/02/76/57/751027657.db2.gz DCBKDTHJMLFMEZ-SZOQZIPDSA-N 1 2 302.422 1.569 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001114725888 751027664 /nfs/dbraw/zinc/02/76/64/751027664.db2.gz DCBKDTHJMLFMEZ-SZOQZIPDSA-N 1 2 302.422 1.569 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001114761317 751058102 /nfs/dbraw/zinc/05/81/02/751058102.db2.gz CDDBBTBVRVTLFB-NNUKFRKNSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(C(C)C)nn3)C[C@H]21 ZINC001114754779 751059486 /nfs/dbraw/zinc/05/94/86/751059486.db2.gz ICYGOQZPNUXOGT-QLPKVWCKSA-N 1 2 317.437 1.762 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(C(C)C)nn3)C[C@H]21 ZINC001114754779 751059492 /nfs/dbraw/zinc/05/94/92/751059492.db2.gz ICYGOQZPNUXOGT-QLPKVWCKSA-N 1 2 317.437 1.762 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114757124 751060413 /nfs/dbraw/zinc/06/04/13/751060413.db2.gz GIBSFMUQNGCHEM-RMRHIDDWSA-N 1 2 303.410 1.279 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114757124 751060419 /nfs/dbraw/zinc/06/04/19/751060419.db2.gz GIBSFMUQNGCHEM-RMRHIDDWSA-N 1 2 303.410 1.279 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1C[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001114793913 751088902 /nfs/dbraw/zinc/08/89/02/751088902.db2.gz VRZHGZAWHDMSCZ-MRVWCRGKSA-N 1 2 302.378 1.130 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)C(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129071480 751249225 /nfs/dbraw/zinc/24/92/25/751249225.db2.gz CVTSXPWDWDVUCZ-KFWWJZLASA-N 1 2 317.437 1.325 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)C(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129071480 751249230 /nfs/dbraw/zinc/24/92/30/751249230.db2.gz CVTSXPWDWDVUCZ-KFWWJZLASA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2ccnc2)cc1 ZINC001032544852 751256494 /nfs/dbraw/zinc/25/64/94/751256494.db2.gz NOZZMDVHJZHAAG-IRXDYDNUSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2ccnc2)cc1 ZINC001032544852 751256497 /nfs/dbraw/zinc/25/64/97/751256497.db2.gz NOZZMDVHJZHAAG-IRXDYDNUSA-N 1 2 306.369 1.404 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2ccc(C)o2)C1 ZINC001108418416 762111670 /nfs/dbraw/zinc/11/16/70/762111670.db2.gz WGIYNQQVDCCKDM-KRWDZBQOSA-N 1 2 306.406 1.914 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2ccc(C)o2)C1 ZINC001108418416 762111675 /nfs/dbraw/zinc/11/16/75/762111675.db2.gz WGIYNQQVDCCKDM-KRWDZBQOSA-N 1 2 306.406 1.914 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999128265 752527702 /nfs/dbraw/zinc/52/77/02/752527702.db2.gz MLENTYSVFLDMAN-AWEZNQCLSA-N 1 2 316.405 1.687 20 30 DDEDLO N#Cc1cccnc1N1C[C@@H]2C[C@H]1CN2C(=O)CCc1[nH]cc[nH+]1 ZINC001062482953 753051834 /nfs/dbraw/zinc/05/18/34/753051834.db2.gz UNTLHUXCFCFXGN-KBPBESRZSA-N 1 2 322.372 1.099 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCCc2ncc(C)o2)C1 ZINC001107990167 753071583 /nfs/dbraw/zinc/07/15/83/753071583.db2.gz BGLPANJNABNHFH-KRWDZBQOSA-N 1 2 321.421 1.699 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCCc2ncc(C)o2)C1 ZINC001107990167 753071586 /nfs/dbraw/zinc/07/15/86/753071586.db2.gz BGLPANJNABNHFH-KRWDZBQOSA-N 1 2 321.421 1.699 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001060887384 753147486 /nfs/dbraw/zinc/14/74/86/753147486.db2.gz GDRMMWCSTHPDHK-CQSZACIVSA-N 1 2 310.361 1.110 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001009783357 753367772 /nfs/dbraw/zinc/36/77/72/753367772.db2.gz VPTIBOSACWNLJK-JSGCOSHPSA-N 1 2 310.829 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001009783357 753367779 /nfs/dbraw/zinc/36/77/79/753367779.db2.gz VPTIBOSACWNLJK-JSGCOSHPSA-N 1 2 310.829 1.857 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)C[C@H](C)n2cc[nH+]c2)CCC1 ZINC001062686483 753375315 /nfs/dbraw/zinc/37/53/15/753375315.db2.gz OMSVWHUSXFHECF-AWEZNQCLSA-N 1 2 318.421 1.956 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H](CNc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001090270022 753408279 /nfs/dbraw/zinc/40/82/79/753408279.db2.gz FPHROUZMZWTUFG-UKRRQHHQSA-N 1 2 318.421 1.884 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(F)ccc2F)C1 ZINC001107999717 753442596 /nfs/dbraw/zinc/44/25/96/753442596.db2.gz DJWMVFJMQHVOHE-MRXNPFEDSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(F)ccc2F)C1 ZINC001107999717 753442603 /nfs/dbraw/zinc/44/26/03/753442603.db2.gz DJWMVFJMQHVOHE-MRXNPFEDSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001108018128 753524385 /nfs/dbraw/zinc/52/43/85/753524385.db2.gz AZRVYHZFLGNXGL-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001108018128 753524390 /nfs/dbraw/zinc/52/43/90/753524390.db2.gz AZRVYHZFLGNXGL-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)[C@@H]3CCCO3)C2)cc1F ZINC001010064742 753585531 /nfs/dbraw/zinc/58/55/31/753585531.db2.gz ZVNDGFUOVGWVRH-ZBFHGGJFSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)[C@@H]3CCCO3)C2)cc1F ZINC001010064742 753585538 /nfs/dbraw/zinc/58/55/38/753585538.db2.gz ZVNDGFUOVGWVRH-ZBFHGGJFSA-N 1 2 317.364 1.567 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@H](C)CNc1cc[nH+]c(C)n1 ZINC001108025887 753594311 /nfs/dbraw/zinc/59/43/11/753594311.db2.gz UTBSRFKFBRKYNJ-WBMJQRKESA-N 1 2 306.410 1.930 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001078053780 753693024 /nfs/dbraw/zinc/69/30/24/753693024.db2.gz MXLNOBIOLFHIHK-HZPDHXFCSA-N 1 2 300.402 1.246 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001078053780 753693027 /nfs/dbraw/zinc/69/30/27/753693027.db2.gz MXLNOBIOLFHIHK-HZPDHXFCSA-N 1 2 300.402 1.246 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cncc(OC)c2C)C1 ZINC001108027904 753707079 /nfs/dbraw/zinc/70/70/79/753707079.db2.gz ZVNRLULCDHTWDE-QGZVFWFLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cncc(OC)c2C)C1 ZINC001108027904 753707084 /nfs/dbraw/zinc/70/70/84/753707084.db2.gz ZVNRLULCDHTWDE-QGZVFWFLSA-N 1 2 319.405 1.405 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1ccon1 ZINC001010288514 753736613 /nfs/dbraw/zinc/73/66/13/753736613.db2.gz XCNJARRCYWCIAJ-OAHLLOKOSA-N 1 2 313.332 1.670 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1ccon1 ZINC001010288514 753736622 /nfs/dbraw/zinc/73/66/22/753736622.db2.gz XCNJARRCYWCIAJ-OAHLLOKOSA-N 1 2 313.332 1.670 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3cccs3)o2)C1 ZINC001078105790 753742178 /nfs/dbraw/zinc/74/21/78/753742178.db2.gz RJCHFEVJBBGEOO-VXGBXAGGSA-N 1 2 316.382 1.416 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3cccs3)o2)C1 ZINC001078105790 753742184 /nfs/dbraw/zinc/74/21/84/753742184.db2.gz RJCHFEVJBBGEOO-VXGBXAGGSA-N 1 2 316.382 1.416 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2ccc(C#C[Si](C)(C)C)cn2)C[NH2+]1 ZINC001169123504 762242211 /nfs/dbraw/zinc/24/22/11/762242211.db2.gz JEKBJZOFVMVOME-KGLIPLIRSA-N 1 2 317.465 1.626 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001010405521 753879817 /nfs/dbraw/zinc/87/98/17/753879817.db2.gz WFYMPMOVPVUXFJ-INIZCTEOSA-N 1 2 309.373 1.215 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001010405521 753879825 /nfs/dbraw/zinc/87/98/25/753879825.db2.gz WFYMPMOVPVUXFJ-INIZCTEOSA-N 1 2 309.373 1.215 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CCN(c3cc[nH+]c(C)n3)C2)nc1 ZINC001062957802 753883839 /nfs/dbraw/zinc/88/38/39/753883839.db2.gz GJGMCNTVDMGLDL-HNNXBMFYSA-N 1 2 321.384 1.512 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001066987108 754236803 /nfs/dbraw/zinc/23/68/03/754236803.db2.gz HIGBTPRXNAJCPB-CQSZACIVSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001066987108 754236806 /nfs/dbraw/zinc/23/68/06/754236806.db2.gz HIGBTPRXNAJCPB-CQSZACIVSA-N 1 2 320.437 1.861 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3C[C@@H](NCC#N)C34CCC4)ccn12 ZINC001078630269 754237886 /nfs/dbraw/zinc/23/78/86/754237886.db2.gz VWUCGXVCFMCDEY-HUUCEWRRSA-N 1 2 323.400 1.797 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)CCNC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001078754039 754351463 /nfs/dbraw/zinc/35/14/63/754351463.db2.gz NVAVNAGPYOKVIC-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C1CCC(C(=O)N2CCN(C(=O)Cn3cc[nH+]c3)CC2)CC1 ZINC001011329903 754437067 /nfs/dbraw/zinc/43/70/67/754437067.db2.gz NHYJHBGRTLGVIC-UHFFFAOYSA-N 1 2 316.405 1.300 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001064108695 754518026 /nfs/dbraw/zinc/51/80/26/754518026.db2.gz BSSMKTRLKUCLRH-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO C=CCCCC(=O)NCC1(NC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001064275509 754596841 /nfs/dbraw/zinc/59/68/41/754596841.db2.gz ADWCFPOIYKSVAV-UHFFFAOYSA-N 1 2 318.421 1.854 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)[C@H]2C)cc[nH+]1 ZINC001040071319 762360873 /nfs/dbraw/zinc/36/08/73/762360873.db2.gz CKVOTZYUZUXQOT-HZMBPMFUSA-N 1 2 310.361 1.700 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001040121112 762395606 /nfs/dbraw/zinc/39/56/06/762395606.db2.gz PUMLHDJHMHGYDM-LRDDRELGSA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001040121112 762395611 /nfs/dbraw/zinc/39/56/11/762395611.db2.gz PUMLHDJHMHGYDM-LRDDRELGSA-N 1 2 317.393 1.352 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@@H](NC(=O)c3ncc[nH]3)C2)c1 ZINC001014382638 755709581 /nfs/dbraw/zinc/70/95/81/755709581.db2.gz VRKZVRMMXHQSGC-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@@H](NC(=O)c3ncc[nH]3)C2)c1 ZINC001014382638 755709586 /nfs/dbraw/zinc/70/95/86/755709586.db2.gz VRKZVRMMXHQSGC-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO C=CC(C)(C)C(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080220515 755770851 /nfs/dbraw/zinc/77/08/51/755770851.db2.gz JQVVPPIHVQKOFY-UHFFFAOYSA-N 1 2 304.394 1.224 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001014687291 755886118 /nfs/dbraw/zinc/88/61/18/755886118.db2.gz KVINCDJPNIRYDH-GFCCVEGCSA-N 1 2 309.797 1.644 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001014687291 755886121 /nfs/dbraw/zinc/88/61/21/755886121.db2.gz KVINCDJPNIRYDH-GFCCVEGCSA-N 1 2 309.797 1.644 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc(OCC)cn2)C1 ZINC001081053555 756198392 /nfs/dbraw/zinc/19/83/92/756198392.db2.gz FSHOGVVEECXCDN-ZWNOBZJWSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc(OCC)cn2)C1 ZINC001081053555 756198393 /nfs/dbraw/zinc/19/83/93/756198393.db2.gz FSHOGVVEECXCDN-ZWNOBZJWSA-N 1 2 324.812 1.678 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](c2ccccc2)[C@H](NCC#N)C1 ZINC001081219292 756235673 /nfs/dbraw/zinc/23/56/73/756235673.db2.gz VBMDCZCSZCAGLI-DOTOQJQBSA-N 1 2 323.400 1.368 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C1(C)CC1 ZINC001081711078 756439449 /nfs/dbraw/zinc/43/94/49/756439449.db2.gz LRORABOITVXKMA-IAGOWNOFSA-N 1 2 312.413 1.654 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C1(C)CC1 ZINC001081711078 756439451 /nfs/dbraw/zinc/43/94/51/756439451.db2.gz LRORABOITVXKMA-IAGOWNOFSA-N 1 2 312.413 1.654 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001015710623 756487424 /nfs/dbraw/zinc/48/74/24/756487424.db2.gz OXKLLYGTEBZZJB-HIFRSBDPSA-N 1 2 305.809 1.739 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001015710623 756487427 /nfs/dbraw/zinc/48/74/27/756487427.db2.gz OXKLLYGTEBZZJB-HIFRSBDPSA-N 1 2 305.809 1.739 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2nccc3ccsc32)[C@H](OC)C1 ZINC001081814430 756491339 /nfs/dbraw/zinc/49/13/39/756491339.db2.gz KBICDSWYADGYOJ-CHWSQXEVSA-N 1 2 315.398 1.359 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2nccc3ccsc32)[C@H](OC)C1 ZINC001081814430 756491343 /nfs/dbraw/zinc/49/13/43/756491343.db2.gz KBICDSWYADGYOJ-CHWSQXEVSA-N 1 2 315.398 1.359 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001015780806 756544978 /nfs/dbraw/zinc/54/49/78/756544978.db2.gz HXNFPGZZSCRGGN-ZDUSSCGKSA-N 1 2 309.797 1.100 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001015780806 756544980 /nfs/dbraw/zinc/54/49/80/756544980.db2.gz HXNFPGZZSCRGGN-ZDUSSCGKSA-N 1 2 309.797 1.100 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc([C@H](C)CC)no2)[C@H](OC)C1 ZINC001082212114 756686337 /nfs/dbraw/zinc/68/63/37/756686337.db2.gz XESDGEPFMAWRJB-UXIGCNINSA-N 1 2 305.378 1.250 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc([C@H](C)CC)no2)[C@H](OC)C1 ZINC001082212114 756686339 /nfs/dbraw/zinc/68/63/39/756686339.db2.gz XESDGEPFMAWRJB-UXIGCNINSA-N 1 2 305.378 1.250 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3c(c2)C[C@H](C)O3)[C@H](OC)C1 ZINC001082238890 756695921 /nfs/dbraw/zinc/69/59/21/756695921.db2.gz PJKMDMNHIDKWDJ-XGWLTEMNSA-N 1 2 314.385 1.072 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3c(c2)C[C@H](C)O3)[C@H](OC)C1 ZINC001082238890 756695925 /nfs/dbraw/zinc/69/59/25/756695925.db2.gz PJKMDMNHIDKWDJ-XGWLTEMNSA-N 1 2 314.385 1.072 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@]2(CCN(C(=O)c3[nH]nnc3C)C2)C1 ZINC001040322780 762487850 /nfs/dbraw/zinc/48/78/50/762487850.db2.gz MAWBHOFTTBRWBP-HNNXBMFYSA-N 1 2 323.828 1.794 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@]2(CCN(C(=O)c3[nH]nnc3C)C2)C1 ZINC001040322780 762487853 /nfs/dbraw/zinc/48/78/53/762487853.db2.gz MAWBHOFTTBRWBP-HNNXBMFYSA-N 1 2 323.828 1.794 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cnn([C@@H](C)CC)c2C)[C@H](OC)C1 ZINC001082315973 756731832 /nfs/dbraw/zinc/73/18/32/756731832.db2.gz ICSMVHIZTLNXBJ-APHBMKBZSA-N 1 2 318.421 1.225 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cnn([C@@H](C)CC)c2C)[C@H](OC)C1 ZINC001082315973 756731834 /nfs/dbraw/zinc/73/18/34/756731834.db2.gz ICSMVHIZTLNXBJ-APHBMKBZSA-N 1 2 318.421 1.225 20 30 DDEDLO CN(c1ccncc1C#N)[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001057059206 762502068 /nfs/dbraw/zinc/50/20/68/762502068.db2.gz LUJUQYVFUCKXIT-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(c1ccncc1C#N)[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001057059206 762502072 /nfs/dbraw/zinc/50/20/72/762502072.db2.gz LUJUQYVFUCKXIT-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)cc(C)n2)[C@H](O)C1 ZINC001090380924 756924196 /nfs/dbraw/zinc/92/41/96/756924196.db2.gz HNDOQUMFBOWBCS-UKRRQHHQSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)cc(C)n2)[C@H](O)C1 ZINC001090380924 756924202 /nfs/dbraw/zinc/92/42/02/756924202.db2.gz HNDOQUMFBOWBCS-UKRRQHHQSA-N 1 2 323.824 1.616 20 30 DDEDLO CCC(=O)N1CCO[C@@H]2C[N@H+](Cc3ccc(C#N)s3)C[C@@H]21 ZINC001082968899 756985698 /nfs/dbraw/zinc/98/56/98/756985698.db2.gz SZQYGRXWXGEQGO-UONOGXRCSA-N 1 2 305.403 1.441 20 30 DDEDLO CCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccc(C#N)s3)C[C@@H]21 ZINC001082968899 756985707 /nfs/dbraw/zinc/98/57/07/756985707.db2.gz SZQYGRXWXGEQGO-UONOGXRCSA-N 1 2 305.403 1.441 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCO[C@@H]2C[N@H+](Cc3cccc(C#N)c3)C[C@@H]21 ZINC001083026259 757064992 /nfs/dbraw/zinc/06/49/92/757064992.db2.gz UALXSLSXWJOPHQ-RRQGHBQHSA-N 1 2 324.384 1.130 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCO[C@@H]2C[N@@H+](Cc3cccc(C#N)c3)C[C@@H]21 ZINC001083026259 757065001 /nfs/dbraw/zinc/06/50/01/757065001.db2.gz UALXSLSXWJOPHQ-RRQGHBQHSA-N 1 2 324.384 1.130 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccnc(CCC)c3)[C@H]2C1 ZINC001083111546 757116953 /nfs/dbraw/zinc/11/69/53/757116953.db2.gz LXCMSFJTNHCLQX-DLBZAZTESA-N 1 2 313.401 1.193 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccnc(CCC)c3)[C@H]2C1 ZINC001083111546 757116957 /nfs/dbraw/zinc/11/69/57/757116957.db2.gz LXCMSFJTNHCLQX-DLBZAZTESA-N 1 2 313.401 1.193 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4ncccc4o3)[C@H]2C1 ZINC001083146119 757152948 /nfs/dbraw/zinc/15/29/48/757152948.db2.gz SDQLUBDFXCHDJA-XJKSGUPXSA-N 1 2 313.357 1.539 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4ncccc4o3)[C@H]2C1 ZINC001083146119 757152954 /nfs/dbraw/zinc/15/29/54/757152954.db2.gz SDQLUBDFXCHDJA-XJKSGUPXSA-N 1 2 313.357 1.539 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)c(F)cc2Cl)[C@@H](O)C1 ZINC001084019756 757228094 /nfs/dbraw/zinc/22/80/94/757228094.db2.gz HWLPYHPUJYAURA-OLZOCXBDSA-N 1 2 314.719 1.026 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)c(F)cc2Cl)[C@@H](O)C1 ZINC001084019756 757228096 /nfs/dbraw/zinc/22/80/96/757228096.db2.gz HWLPYHPUJYAURA-OLZOCXBDSA-N 1 2 314.719 1.026 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCCCC3)[C@@H](O)C1 ZINC001084025544 757231162 /nfs/dbraw/zinc/23/11/62/757231162.db2.gz UQVJKIPZIVOWIR-KGLIPLIRSA-N 1 2 318.442 1.425 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(s2)CCCCC3)[C@@H](O)C1 ZINC001084025544 757231167 /nfs/dbraw/zinc/23/11/67/757231167.db2.gz UQVJKIPZIVOWIR-KGLIPLIRSA-N 1 2 318.442 1.425 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NC)CC1 ZINC001097789912 757562163 /nfs/dbraw/zinc/56/21/63/757562163.db2.gz WMFQRVDRHFUFTO-KFWWJZLASA-N 1 2 305.422 1.200 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NC)CC1 ZINC001097789912 757562169 /nfs/dbraw/zinc/56/21/69/757562169.db2.gz WMFQRVDRHFUFTO-KFWWJZLASA-N 1 2 305.422 1.200 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3cncnc3)[C@@H]2C1 ZINC001084521107 757635311 /nfs/dbraw/zinc/63/53/11/757635311.db2.gz XCEZAGCPXSHCDF-HUUCEWRRSA-N 1 2 320.824 1.694 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3cncnc3)[C@@H]2C1 ZINC001084521107 757635315 /nfs/dbraw/zinc/63/53/15/757635315.db2.gz XCEZAGCPXSHCDF-HUUCEWRRSA-N 1 2 320.824 1.694 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1nc(Cl)nc2[nH]cc(C#N)c21 ZINC001169651137 762572750 /nfs/dbraw/zinc/57/27/50/762572750.db2.gz LZCMZISGRQPVLU-SECBINFHSA-N 1 2 306.757 1.225 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1nc(Cl)nc2[nH]cc(C#N)c21 ZINC001169651137 762572755 /nfs/dbraw/zinc/57/27/55/762572755.db2.gz LZCMZISGRQPVLU-SECBINFHSA-N 1 2 306.757 1.225 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3scnc3C3CC3)[C@@H]2C1 ZINC001084709276 757798966 /nfs/dbraw/zinc/79/89/66/757798966.db2.gz UMYPJHRHDYWKKP-CHWSQXEVSA-N 1 2 301.415 1.800 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3scnc3C3CC3)[C@@H]2C1 ZINC001084709276 757798971 /nfs/dbraw/zinc/79/89/71/757798971.db2.gz UMYPJHRHDYWKKP-CHWSQXEVSA-N 1 2 301.415 1.800 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(-c2ccccc2)cn1 ZINC001017573485 758043266 /nfs/dbraw/zinc/04/32/66/758043266.db2.gz RWPVYQAJYSEITG-CALCHBBNSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(-c2ccccc2)cn1 ZINC001017573485 758043278 /nfs/dbraw/zinc/04/32/78/758043278.db2.gz RWPVYQAJYSEITG-CALCHBBNSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nccc2cccnc21 ZINC001017625285 758094839 /nfs/dbraw/zinc/09/48/39/758094839.db2.gz WRBSIZGUWAJLLY-GASCZTMLSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nccc2cccnc21 ZINC001017625285 758094851 /nfs/dbraw/zinc/09/48/51/758094851.db2.gz WRBSIZGUWAJLLY-GASCZTMLSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1C1CC1 ZINC001017633520 758101593 /nfs/dbraw/zinc/10/15/93/758101593.db2.gz ASOWUUCOXRMXFO-BETUJISGSA-N 1 2 316.430 1.728 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1C1CC1 ZINC001017633520 758101596 /nfs/dbraw/zinc/10/15/96/758101596.db2.gz ASOWUUCOXRMXFO-BETUJISGSA-N 1 2 316.430 1.728 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(-c2ccccc2)n1 ZINC001017705658 758169567 /nfs/dbraw/zinc/16/95/67/758169567.db2.gz DOWWKQDMWMLTKJ-CALCHBBNSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(-c2ccccc2)n1 ZINC001017705658 758169571 /nfs/dbraw/zinc/16/95/71/758169571.db2.gz DOWWKQDMWMLTKJ-CALCHBBNSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1coc(=O)c2ccccc12 ZINC001017788932 758241343 /nfs/dbraw/zinc/24/13/43/758241343.db2.gz DMOYQGKSDZKLMJ-OKILXGFUSA-N 1 2 322.364 1.715 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1coc(=O)c2ccccc12 ZINC001017788932 758241351 /nfs/dbraw/zinc/24/13/51/758241351.db2.gz DMOYQGKSDZKLMJ-OKILXGFUSA-N 1 2 322.364 1.715 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1coc(CC)n1)CCO2 ZINC001053226872 758283459 /nfs/dbraw/zinc/28/34/59/758283459.db2.gz ZXBIDSNKGPPDPN-UHFFFAOYSA-N 1 2 319.405 1.730 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001053267644 758322468 /nfs/dbraw/zinc/32/24/68/758322468.db2.gz LOFJOKJGOVENLF-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC1CC(F)(F)C1)CCO2 ZINC001053316165 758368636 /nfs/dbraw/zinc/36/86/36/758368636.db2.gz NPYCOXFQGZUPBW-UHFFFAOYSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](OC)C1CCC1)CCO2 ZINC001053360034 758400889 /nfs/dbraw/zinc/40/08/89/758400889.db2.gz CLVVLQTYRIFCCD-HNNXBMFYSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1nocc1C)CCO2 ZINC001053500856 758506008 /nfs/dbraw/zinc/50/60/08/758506008.db2.gz XJQZMABJGOACQR-UHFFFAOYSA-N 1 2 305.378 1.476 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(C)nc1)O2 ZINC001053570412 758577477 /nfs/dbraw/zinc/57/74/77/758577477.db2.gz SSZDWAIRVGJNKX-HNNXBMFYSA-N 1 2 301.390 1.539 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ncccc1O)O2 ZINC001053594563 758613983 /nfs/dbraw/zinc/61/39/83/758613983.db2.gz OKDCNDXCXMDNED-CYBMUJFWSA-N 1 2 317.389 1.327 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccsn1)O2 ZINC001053615086 758632306 /nfs/dbraw/zinc/63/23/06/758632306.db2.gz AKVVXOBQSMPJKY-LBPRGKRZSA-N 1 2 307.419 1.682 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1CCC1(F)F)O2 ZINC001053615668 758634204 /nfs/dbraw/zinc/63/42/04/758634204.db2.gz RCGNYVYOCYZZNA-VXGBXAGGSA-N 1 2 300.349 1.567 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cccnc1OC)O2 ZINC001053654075 758666505 /nfs/dbraw/zinc/66/65/05/758666505.db2.gz DYXHGZWSIMJTDX-ZDUSSCGKSA-N 1 2 317.389 1.239 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065703448 758704080 /nfs/dbraw/zinc/70/40/80/758704080.db2.gz CJXLTSNKVFSFHG-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)C#CC(C)C)C2)CC1 ZINC001065699242 758715414 /nfs/dbraw/zinc/71/54/14/758715414.db2.gz JHOLASZKGYORAL-INIZCTEOSA-N 1 2 319.449 1.191 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N(C)C)cn1 ZINC001018317287 758725104 /nfs/dbraw/zinc/72/51/04/758725104.db2.gz QCNUMKFWNRCNNI-IYBDPMFKSA-N 1 2 312.417 1.460 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N(C)C)cn1 ZINC001018317287 758725112 /nfs/dbraw/zinc/72/51/12/758725112.db2.gz QCNUMKFWNRCNNI-IYBDPMFKSA-N 1 2 312.417 1.460 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C1CC(F)(F)C1)CO2 ZINC001053766213 758785465 /nfs/dbraw/zinc/78/54/65/758785465.db2.gz ISUUFUIHMZPANC-GFCCVEGCSA-N 1 2 300.349 1.567 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1OCC[C@H]1C(C)C)CO2 ZINC001053804504 758832603 /nfs/dbraw/zinc/83/26/03/758832603.db2.gz WEFSDCOIUUMCPJ-PMPSAXMXSA-N 1 2 322.449 1.583 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1n[nH]c3c1CCC3)CO2 ZINC001053861592 758894969 /nfs/dbraw/zinc/89/49/69/758894969.db2.gz OIUNHZQUCWTRPI-GFCCVEGCSA-N 1 2 316.405 1.048 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccccc1CC)CO2 ZINC001053906970 758940396 /nfs/dbraw/zinc/94/03/96/758940396.db2.gz LRKUUCGSXBKXPO-INIZCTEOSA-N 1 2 312.413 1.845 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C3CC3)n[nH]1)CO2 ZINC001053935552 758971455 /nfs/dbraw/zinc/97/14/55/758971455.db2.gz RCHQBYCFYRQQEF-ZDUSSCGKSA-N 1 2 316.405 1.436 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@H]1C1CCC1)CO2 ZINC001053953187 758990728 /nfs/dbraw/zinc/99/07/28/758990728.db2.gz OJIHQPMGNPOGHO-OWCLPIDISA-N 1 2 302.418 1.405 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnoc1C(C)C)CO2 ZINC001053973141 759010507 /nfs/dbraw/zinc/01/05/07/759010507.db2.gz UJUWLTKRJIIIDB-CYBMUJFWSA-N 1 2 319.405 1.947 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnn(C(C)C)c1)CO2 ZINC001053979088 759018041 /nfs/dbraw/zinc/01/80/41/759018041.db2.gz HBNHNNJLVYTTMB-OAHLLOKOSA-N 1 2 318.421 1.613 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1sc(C)nc1C)CO2 ZINC001054002092 759048152 /nfs/dbraw/zinc/04/81/52/759048152.db2.gz QMOCAPNBGJBQQB-CYBMUJFWSA-N 1 2 319.430 1.356 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)n(C)c1C)CO2 ZINC001054003764 759049977 /nfs/dbraw/zinc/04/99/77/759049977.db2.gz AHPSUSSKQCHQSN-HNNXBMFYSA-N 1 2 315.417 1.238 20 30 DDEDLO C=CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnsn1 ZINC001054033044 759087114 /nfs/dbraw/zinc/08/71/14/759087114.db2.gz MNTZSRJHYHDBHJ-CQSZACIVSA-N 1 2 314.414 1.881 20 30 DDEDLO C=CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnsn1 ZINC001054033044 759087122 /nfs/dbraw/zinc/08/71/22/759087122.db2.gz MNTZSRJHYHDBHJ-CQSZACIVSA-N 1 2 314.414 1.881 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccnnc1C ZINC001054046919 759103062 /nfs/dbraw/zinc/10/30/62/759103062.db2.gz RXYQJSGTBWHGIA-KRWDZBQOSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccnnc1C ZINC001054046919 759103063 /nfs/dbraw/zinc/10/30/63/759103063.db2.gz RXYQJSGTBWHGIA-KRWDZBQOSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cn(CC)nn1 ZINC001054058192 759112469 /nfs/dbraw/zinc/11/24/69/759112469.db2.gz XUPJSRXZAILMHS-MRXNPFEDSA-N 1 2 323.400 1.088 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cn(CC)nn1 ZINC001054058192 759112472 /nfs/dbraw/zinc/11/24/72/759112472.db2.gz XUPJSRXZAILMHS-MRXNPFEDSA-N 1 2 323.400 1.088 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1[nH]cnc1C ZINC001054060740 759114188 /nfs/dbraw/zinc/11/41/88/759114188.db2.gz DKHQEFLIARQPKU-KRWDZBQOSA-N 1 2 322.412 1.898 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1[nH]cnc1C ZINC001054060740 759114193 /nfs/dbraw/zinc/11/41/93/759114193.db2.gz DKHQEFLIARQPKU-KRWDZBQOSA-N 1 2 322.412 1.898 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC001018722732 759185609 /nfs/dbraw/zinc/18/56/09/759185609.db2.gz CVWZKAIZUPUFQB-CABZTGNLSA-N 1 2 314.349 1.143 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2CC(=O)N(C3C[NH+](CC=C)C3)C2)CC1 ZINC001108569254 762697427 /nfs/dbraw/zinc/69/74/27/762697427.db2.gz DEFHSBSJYMLESB-CQSZACIVSA-N 1 2 317.433 1.320 20 30 DDEDLO CCCCc1noc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001018826837 759313587 /nfs/dbraw/zinc/31/35/87/759313587.db2.gz LMLMEOYXYOBCES-AWEZNQCLSA-N 1 2 316.405 1.516 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@H]3C[C@H]3C(=O)OC)cc2C1 ZINC001054257966 759355983 /nfs/dbraw/zinc/35/59/83/759355983.db2.gz DYRKDESTEMGMPW-JKSUJKDBSA-N 1 2 312.369 1.061 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@H]3C[C@H]3C(=O)OC)cc2C1 ZINC001054257966 759355994 /nfs/dbraw/zinc/35/59/94/759355994.db2.gz DYRKDESTEMGMPW-JKSUJKDBSA-N 1 2 312.369 1.061 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131055548 767862285 /nfs/dbraw/zinc/86/22/85/767862285.db2.gz BBYZWQASUUWTFD-RBSFLKMASA-N 1 2 315.421 1.430 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1)C(=O)[C@@H]1CCOC1 ZINC001085507819 759572438 /nfs/dbraw/zinc/57/24/38/759572438.db2.gz NUCAYODYAOOZSV-OLZOCXBDSA-N 1 2 319.430 1.689 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1)C(=O)[C@@H]1CCOC1 ZINC001085507819 759572444 /nfs/dbraw/zinc/57/24/44/759572444.db2.gz NUCAYODYAOOZSV-OLZOCXBDSA-N 1 2 319.430 1.689 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001069138702 767875201 /nfs/dbraw/zinc/87/52/01/767875201.db2.gz YARVEUSNCNAOHB-RISCZKNCSA-N 1 2 310.361 1.320 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccnc1)C(=O)c1ccc(C#N)[nH]1 ZINC001085553116 759681272 /nfs/dbraw/zinc/68/12/72/759681272.db2.gz XASNHNREHBHXHJ-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccnc1)C(=O)c1ccc(C#N)[nH]1 ZINC001085553116 759681279 /nfs/dbraw/zinc/68/12/79/759681279.db2.gz XASNHNREHBHXHJ-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)n(C)n1 ZINC001085615771 759855615 /nfs/dbraw/zinc/85/56/15/759855615.db2.gz VIMCCOYPXOLXKV-SNVBAGLBSA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C(F)(F)F)n(C)n1 ZINC001085615771 759855622 /nfs/dbraw/zinc/85/56/22/759855622.db2.gz VIMCCOYPXOLXKV-SNVBAGLBSA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(-c2ccccc2)c1 ZINC001085707258 760078486 /nfs/dbraw/zinc/07/84/86/760078486.db2.gz HIINSQSRFKHCHP-KRWDZBQOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(-c2ccccc2)c1 ZINC001085707258 760078497 /nfs/dbraw/zinc/07/84/97/760078497.db2.gz HIINSQSRFKHCHP-KRWDZBQOSA-N 1 2 308.385 1.652 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001069213763 767918698 /nfs/dbraw/zinc/91/86/98/767918698.db2.gz DOWVNEBTYXBOKV-ABAIWWIYSA-N 1 2 310.361 1.320 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccncc2C#N)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069221249 767925432 /nfs/dbraw/zinc/92/54/32/767925432.db2.gz LKPBEIJEFCFUCA-WFASDCNBSA-N 1 2 324.388 1.132 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccncc2C#N)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069221249 767925433 /nfs/dbraw/zinc/92/54/33/767925433.db2.gz LKPBEIJEFCFUCA-WFASDCNBSA-N 1 2 324.388 1.132 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1sc(N(C)C)nc1C ZINC001085856705 760374801 /nfs/dbraw/zinc/37/48/01/760374801.db2.gz GJJUCWNYBQRIHF-LBPRGKRZSA-N 1 2 306.435 1.297 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1sc(N(C)C)nc1C ZINC001085856705 760374808 /nfs/dbraw/zinc/37/48/08/760374808.db2.gz GJJUCWNYBQRIHF-LBPRGKRZSA-N 1 2 306.435 1.297 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098088960 767945835 /nfs/dbraw/zinc/94/58/35/767945835.db2.gz JAMHEWQOGUMHGB-LLVKDONJSA-N 1 2 316.409 1.882 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccnc1OCC(C)C ZINC001085929916 760557997 /nfs/dbraw/zinc/55/79/97/760557997.db2.gz JMELZRQIXOBWLQ-HNNXBMFYSA-N 1 2 315.417 1.896 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccnc1OCC(C)C ZINC001085929916 760558001 /nfs/dbraw/zinc/55/80/01/760558001.db2.gz JMELZRQIXOBWLQ-HNNXBMFYSA-N 1 2 315.417 1.896 20 30 DDEDLO Cc1nc(NC[C@]23CCC[C@H]2CN(C(=O)[C@@H](C)C#N)C3)cc[nH+]1 ZINC001055643451 760594285 /nfs/dbraw/zinc/59/42/85/760594285.db2.gz DCZFVRCHAHPQNE-JDFRZJQESA-N 1 2 313.405 1.985 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1sc(COC)nc1C ZINC001085949653 760602278 /nfs/dbraw/zinc/60/22/78/760602278.db2.gz LJZRVJKNLUOYFX-ZDUSSCGKSA-N 1 2 321.446 1.768 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1sc(COC)nc1C ZINC001085949653 760602281 /nfs/dbraw/zinc/60/22/81/760602281.db2.gz LJZRVJKNLUOYFX-ZDUSSCGKSA-N 1 2 321.446 1.768 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCS1(=O)=O ZINC001085979940 760649308 /nfs/dbraw/zinc/64/93/08/760649308.db2.gz GBJCFROWONPGMS-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCS1(=O)=O ZINC001085979940 760649311 /nfs/dbraw/zinc/64/93/11/760649311.db2.gz GBJCFROWONPGMS-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1OCC ZINC001086007667 760708672 /nfs/dbraw/zinc/70/86/72/760708672.db2.gz MAHGSYMBQJLQJX-AWEZNQCLSA-N 1 2 301.390 1.650 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1OCC ZINC001086007667 760708679 /nfs/dbraw/zinc/70/86/79/760708679.db2.gz MAHGSYMBQJLQJX-AWEZNQCLSA-N 1 2 301.390 1.650 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001046843702 767975607 /nfs/dbraw/zinc/97/56/07/767975607.db2.gz BSAKSWYBZYVPBH-HNNXBMFYSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001046843702 767975612 /nfs/dbraw/zinc/97/56/12/767975612.db2.gz BSAKSWYBZYVPBH-HNNXBMFYSA-N 1 2 322.796 1.127 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ncn2cc(Cl)ccc12 ZINC001038268980 760962804 /nfs/dbraw/zinc/96/28/04/760962804.db2.gz LCUWVZKMWMIYQW-ZDUSSCGKSA-N 1 2 316.792 1.815 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ncn2cc(Cl)ccc12 ZINC001038268980 760962810 /nfs/dbraw/zinc/96/28/10/760962810.db2.gz LCUWVZKMWMIYQW-ZDUSSCGKSA-N 1 2 316.792 1.815 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(C(C)(C)C)c1C ZINC001038317622 760999365 /nfs/dbraw/zinc/99/93/65/760999365.db2.gz LUWWARKEYFJJOV-CQSZACIVSA-N 1 2 302.422 1.774 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(C(C)(C)C)c1C ZINC001038317622 760999369 /nfs/dbraw/zinc/99/93/69/760999369.db2.gz LUWWARKEYFJJOV-CQSZACIVSA-N 1 2 302.422 1.774 20 30 DDEDLO CCc1n[nH]cc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038443115 761121219 /nfs/dbraw/zinc/12/12/19/761121219.db2.gz FZTCIBVZZAIJIZ-OAHLLOKOSA-N 1 2 323.400 1.848 20 30 DDEDLO CCc1n[nH]cc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038443115 761121221 /nfs/dbraw/zinc/12/12/21/761121221.db2.gz FZTCIBVZZAIJIZ-OAHLLOKOSA-N 1 2 323.400 1.848 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCN(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC001100042677 761157896 /nfs/dbraw/zinc/15/78/96/761157896.db2.gz JQAKPEZZRYANDM-KRWDZBQOSA-N 1 2 318.421 1.542 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1csc(-c2ncc[nH]2)n1 ZINC001038532557 761181948 /nfs/dbraw/zinc/18/19/48/761181948.db2.gz OEXZYRQXBWSNGH-LLVKDONJSA-N 1 2 315.402 1.361 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1csc(-c2ncc[nH]2)n1 ZINC001038532557 761181951 /nfs/dbraw/zinc/18/19/51/761181951.db2.gz OEXZYRQXBWSNGH-LLVKDONJSA-N 1 2 315.402 1.361 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn2cc(OC)ccc12 ZINC001038813280 761430606 /nfs/dbraw/zinc/43/06/06/761430606.db2.gz BMOWBPDJIOGKCC-ZDUSSCGKSA-N 1 2 312.373 1.170 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn2cc(OC)ccc12 ZINC001038813280 761430609 /nfs/dbraw/zinc/43/06/09/761430609.db2.gz BMOWBPDJIOGKCC-ZDUSSCGKSA-N 1 2 312.373 1.170 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CCCc2sc(C)nc21 ZINC001038910343 761535654 /nfs/dbraw/zinc/53/56/54/761535654.db2.gz LVYBNDYGTFOTCJ-OLZOCXBDSA-N 1 2 303.431 1.695 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CCCc2sc(C)nc21 ZINC001038910343 761535661 /nfs/dbraw/zinc/53/56/61/761535661.db2.gz LVYBNDYGTFOTCJ-OLZOCXBDSA-N 1 2 303.431 1.695 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cncc(C)c2)nc1 ZINC001038942950 761575178 /nfs/dbraw/zinc/57/51/78/761575178.db2.gz MLSLPFCFPSIGGF-KRWDZBQOSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cncc(C)c2)nc1 ZINC001038942950 761575183 /nfs/dbraw/zinc/57/51/83/761575183.db2.gz MLSLPFCFPSIGGF-KRWDZBQOSA-N 1 2 320.396 1.771 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(OC)c(OC)c1 ZINC001038981899 761614781 /nfs/dbraw/zinc/61/47/81/761614781.db2.gz MKSFVNHBTGFJPV-AWEZNQCLSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(OC)c(OC)c1 ZINC001038981899 761614788 /nfs/dbraw/zinc/61/47/88/761614788.db2.gz MKSFVNHBTGFJPV-AWEZNQCLSA-N 1 2 302.374 1.531 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001039020729 761658118 /nfs/dbraw/zinc/65/81/18/761658118.db2.gz GTAAVKJCPTZOON-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc2c1CCCCC2 ZINC001039020729 761658120 /nfs/dbraw/zinc/65/81/20/761658120.db2.gz GTAAVKJCPTZOON-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc2onc(CC)c2c1 ZINC001039055350 761696073 /nfs/dbraw/zinc/69/60/73/761696073.db2.gz VXZNLJBKMXELIP-CYBMUJFWSA-N 1 2 312.373 1.613 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc2onc(CC)c2c1 ZINC001039055350 761696075 /nfs/dbraw/zinc/69/60/75/761696075.db2.gz VXZNLJBKMXELIP-CYBMUJFWSA-N 1 2 312.373 1.613 20 30 DDEDLO CC1(C)CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1Nc1ncccc1C#N ZINC001069584170 768070618 /nfs/dbraw/zinc/07/06/18/768070618.db2.gz ZBCUAVOJXDRDAM-ZDUSSCGKSA-N 1 2 324.388 1.568 20 30 DDEDLO CC1(C)CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001069583575 768069729 /nfs/dbraw/zinc/06/97/29/768069729.db2.gz HNTILFCEKGJGNU-CYBMUJFWSA-N 1 2 324.388 1.568 20 30 DDEDLO N#CCN1CC[C@H]([C@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001039239998 761875896 /nfs/dbraw/zinc/87/58/96/761875896.db2.gz VOEDMXDCVSTYIJ-DZGCQCFKSA-N 1 2 301.394 1.179 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3(CC)CC3)CC2=O)C1 ZINC001108572211 762731131 /nfs/dbraw/zinc/73/11/31/762731131.db2.gz PJSSGYKFFZKBGM-CYBMUJFWSA-N 1 2 305.422 1.154 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001041142141 762836258 /nfs/dbraw/zinc/83/62/58/762836258.db2.gz ULGWCASTXWWFMW-BLLLJJGKSA-N 1 2 317.393 1.216 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001041142141 762836267 /nfs/dbraw/zinc/83/62/67/762836267.db2.gz ULGWCASTXWWFMW-BLLLJJGKSA-N 1 2 317.393 1.216 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)CCc1[nH+]ccn1C ZINC001108802366 762948099 /nfs/dbraw/zinc/94/80/99/762948099.db2.gz XHMIVSTUOAHAIU-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001108867064 763035187 /nfs/dbraw/zinc/03/51/87/763035187.db2.gz JMBQCIJNFKCQBP-LLVKDONJSA-N 1 2 306.410 1.545 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)co1 ZINC001050043585 763055141 /nfs/dbraw/zinc/05/51/41/763055141.db2.gz DHIUXCCMYHMBPG-DOTOQJQBSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCCN(C(=O)C#CC4CC4)[C@@H]3C2)co1 ZINC001050043585 763055147 /nfs/dbraw/zinc/05/51/47/763055147.db2.gz DHIUXCCMYHMBPG-DOTOQJQBSA-N 1 2 313.401 1.819 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C2CC2)no1 ZINC001109088303 763308718 /nfs/dbraw/zinc/30/87/18/763308718.db2.gz LGPQFPDRVRFJSJ-VVBGDLBDSA-N 1 2 314.389 1.933 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C2CC2)no1 ZINC001109088303 763308724 /nfs/dbraw/zinc/30/87/24/763308724.db2.gz LGPQFPDRVRFJSJ-VVBGDLBDSA-N 1 2 314.389 1.933 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001050258366 763382167 /nfs/dbraw/zinc/38/21/67/763382167.db2.gz AQFRPMSCNRNWAC-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001050258366 763382176 /nfs/dbraw/zinc/38/21/76/763382176.db2.gz AQFRPMSCNRNWAC-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)=C(C)C)C2 ZINC001109150622 763386015 /nfs/dbraw/zinc/38/60/15/763386015.db2.gz YCLAMNVXHCSUNA-CRWXNKLISA-N 1 2 321.396 1.109 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)=C(C)C)C2 ZINC001109150622 763386025 /nfs/dbraw/zinc/38/60/25/763386025.db2.gz YCLAMNVXHCSUNA-CRWXNKLISA-N 1 2 321.396 1.109 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N[C@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001109159449 763420383 /nfs/dbraw/zinc/42/03/83/763420383.db2.gz AJEAJWSHGJSLLQ-CXAGYDPISA-N 1 2 320.437 1.955 20 30 DDEDLO C[C@@H](CN(C)c1ccc(C#N)cn1)NC(=O)CCn1cc[nH+]c1 ZINC001109172461 763427006 /nfs/dbraw/zinc/42/70/06/763427006.db2.gz POXJEWQPBKZUEK-ZDUSSCGKSA-N 1 2 312.377 1.181 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCCC ZINC001109208374 763459244 /nfs/dbraw/zinc/45/92/44/763459244.db2.gz LIOAMWWVBXUZDJ-PMPSAXMXSA-N 1 2 319.449 1.428 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCCC ZINC001109208374 763459248 /nfs/dbraw/zinc/45/92/48/763459248.db2.gz LIOAMWWVBXUZDJ-PMPSAXMXSA-N 1 2 319.449 1.428 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)c3cnsn3)C[C@@H]21 ZINC001041955816 763511377 /nfs/dbraw/zinc/51/13/77/763511377.db2.gz WGMKBXNQAJDRGV-PWSUYJOCSA-N 1 2 312.826 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)c3cnsn3)C[C@@H]21 ZINC001041955816 763511382 /nfs/dbraw/zinc/51/13/82/763511382.db2.gz WGMKBXNQAJDRGV-PWSUYJOCSA-N 1 2 312.826 1.827 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CO[C@@H]1CCOC1)C2 ZINC001109274787 763536623 /nfs/dbraw/zinc/53/66/23/763536623.db2.gz PZGOFRBQWVXMFL-MQYQWHSLSA-N 1 2 314.813 1.266 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CO[C@@H]1CCOC1)C2 ZINC001109274787 763536628 /nfs/dbraw/zinc/53/66/28/763536628.db2.gz PZGOFRBQWVXMFL-MQYQWHSLSA-N 1 2 314.813 1.266 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C1)CC[NH+](Cc1nncs1)CC2 ZINC001050464192 763627333 /nfs/dbraw/zinc/62/73/33/763627333.db2.gz OJGPHJRBAXCYIB-NSHDSACASA-N 1 2 305.407 1.122 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCC(=O)N1)C2 ZINC001098024488 763684393 /nfs/dbraw/zinc/68/43/93/763684393.db2.gz NFWGKVUHBUEKOG-LOWDOPEQSA-N 1 2 311.813 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCC(=O)N1)C2 ZINC001098024488 763684399 /nfs/dbraw/zinc/68/43/99/763684399.db2.gz NFWGKVUHBUEKOG-LOWDOPEQSA-N 1 2 311.813 1.129 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ncccc1C#N ZINC001050520090 763698500 /nfs/dbraw/zinc/69/85/00/763698500.db2.gz DAERPJLVCOUQNO-YPMHNXCESA-N 1 2 310.361 1.002 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109611329 763860369 /nfs/dbraw/zinc/86/03/69/763860369.db2.gz FCIAWMORCSVKRS-ILXRZTDVSA-N 1 2 318.421 1.094 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109611329 763860376 /nfs/dbraw/zinc/86/03/76/763860376.db2.gz FCIAWMORCSVKRS-ILXRZTDVSA-N 1 2 318.421 1.094 20 30 DDEDLO CN(CCCNc1ccc(C#N)nc1)C(=O)CCc1c[nH]c[nH+]1 ZINC001109652852 763912281 /nfs/dbraw/zinc/91/22/81/763912281.db2.gz FTHUXSKYCITFKQ-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO CN(CCCNc1ccc(C#N)nc1)C(=O)CCc1c[nH+]c[nH]1 ZINC001109652852 763912287 /nfs/dbraw/zinc/91/22/87/763912287.db2.gz FTHUXSKYCITFKQ-UHFFFAOYSA-N 1 2 312.377 1.570 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC(C)(C)C)C2 ZINC001109863507 764133143 /nfs/dbraw/zinc/13/31/43/764133143.db2.gz YVSUPXRKBPYKTO-ILXRZTDVSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC(C)(C)C)C2 ZINC001109863507 764133145 /nfs/dbraw/zinc/13/31/45/764133145.db2.gz YVSUPXRKBPYKTO-ILXRZTDVSA-N 1 2 319.449 1.284 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@H](CNc1ccc(C#N)nc1)C1CC1 ZINC001109875253 764159874 /nfs/dbraw/zinc/15/98/74/764159874.db2.gz FSMOKGYPYXMBAM-MRXNPFEDSA-N 1 2 324.388 1.236 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001050864578 764203485 /nfs/dbraw/zinc/20/34/85/764203485.db2.gz DSEBNXZMUGAPMX-AWEZNQCLSA-N 1 2 302.378 1.283 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001050864578 764203494 /nfs/dbraw/zinc/20/34/94/764203494.db2.gz DSEBNXZMUGAPMX-AWEZNQCLSA-N 1 2 302.378 1.283 20 30 DDEDLO N#Cc1cccnc1NC[C@@H](NC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001110005347 764285696 /nfs/dbraw/zinc/28/56/96/764285696.db2.gz QEAGYCZWKSVYMS-OAHLLOKOSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cccnc1NC[C@@H](NC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001110005347 764285700 /nfs/dbraw/zinc/28/57/00/764285700.db2.gz QEAGYCZWKSVYMS-OAHLLOKOSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nc(C)c1 ZINC001050938872 764308680 /nfs/dbraw/zinc/30/86/80/764308680.db2.gz ALVIQAQLDGRXPQ-MRXNPFEDSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nc(C)c1 ZINC001050938872 764308684 /nfs/dbraw/zinc/30/86/84/764308684.db2.gz ALVIQAQLDGRXPQ-MRXNPFEDSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncc(OC)cc1F ZINC001050950575 764333789 /nfs/dbraw/zinc/33/37/89/764333789.db2.gz XLDHIWMZOOAKIT-LBPRGKRZSA-N 1 2 323.368 1.236 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncc(OC)cc1F ZINC001050950575 764333795 /nfs/dbraw/zinc/33/37/95/764333795.db2.gz XLDHIWMZOOAKIT-LBPRGKRZSA-N 1 2 323.368 1.236 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(C)c1CCC ZINC001051003015 764406060 /nfs/dbraw/zinc/40/60/60/764406060.db2.gz KJVFVRHWVGNMNG-CQSZACIVSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(C)c1CCC ZINC001051003015 764406068 /nfs/dbraw/zinc/40/60/68/764406068.db2.gz KJVFVRHWVGNMNG-CQSZACIVSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(F)ccc1OC ZINC001051052554 764454730 /nfs/dbraw/zinc/45/47/30/764454730.db2.gz JYOPZLUUIGYFJE-AWEZNQCLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(F)ccc1OC ZINC001051052554 764454738 /nfs/dbraw/zinc/45/47/38/764454738.db2.gz JYOPZLUUIGYFJE-AWEZNQCLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051058339 764460069 /nfs/dbraw/zinc/46/00/69/764460069.db2.gz CLTMLYFCLISDSI-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051060485 764463166 /nfs/dbraw/zinc/46/31/66/764463166.db2.gz OPFSSDXGVACZON-ZIAGYGMSSA-N 1 2 304.394 1.203 20 30 DDEDLO Cc1ccc(C[NH+]2CCN(C(=O)CSCC#N)CC2)cc1 ZINC001112628960 764496656 /nfs/dbraw/zinc/49/66/56/764496656.db2.gz IIJUOEHWXOZGOF-UHFFFAOYSA-N 1 2 303.431 1.896 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2C)[C@@H](n2ccnn2)C1 ZINC001069893335 768217977 /nfs/dbraw/zinc/21/79/77/768217977.db2.gz SKYNOXSYKYCPEG-SJORKVTESA-N 1 2 323.400 1.265 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2C)[C@@H](n2ccnn2)C1 ZINC001069893335 768217981 /nfs/dbraw/zinc/21/79/81/768217981.db2.gz SKYNOXSYKYCPEG-SJORKVTESA-N 1 2 323.400 1.265 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(F)F)n[nH]1 ZINC001051107480 764511600 /nfs/dbraw/zinc/51/16/00/764511600.db2.gz NCDRNMKNWBOVKD-JTQLQIEISA-N 1 2 314.336 1.354 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(F)F)n[nH]1 ZINC001051107480 764511607 /nfs/dbraw/zinc/51/16/07/764511607.db2.gz NCDRNMKNWBOVKD-JTQLQIEISA-N 1 2 314.336 1.354 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cn(C(C)C)nn1 ZINC001051184319 764590753 /nfs/dbraw/zinc/59/07/53/764590753.db2.gz BWEZWTHTJXHFDM-CQSZACIVSA-N 1 2 321.425 1.256 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cn(C(C)C)nn1 ZINC001051184319 764590763 /nfs/dbraw/zinc/59/07/63/764590763.db2.gz BWEZWTHTJXHFDM-CQSZACIVSA-N 1 2 321.425 1.256 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncnc1C(C)C ZINC001051248045 764671886 /nfs/dbraw/zinc/67/18/86/764671886.db2.gz VFIKXVBRTVMNCJ-AWEZNQCLSA-N 1 2 318.421 1.607 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncnc1C(C)C ZINC001051248045 764671890 /nfs/dbraw/zinc/67/18/90/764671890.db2.gz VFIKXVBRTVMNCJ-AWEZNQCLSA-N 1 2 318.421 1.607 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)O[C@]2(CC[N@H+](Cc3ccon3)C2)C1 ZINC001131646055 768239517 /nfs/dbraw/zinc/23/95/17/768239517.db2.gz PAQGSATYHDBWNM-PBHICJAKSA-N 1 2 319.405 1.833 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)O[C@]2(CC[N@@H+](Cc3ccon3)C2)C1 ZINC001131646055 768239520 /nfs/dbraw/zinc/23/95/20/768239520.db2.gz PAQGSATYHDBWNM-PBHICJAKSA-N 1 2 319.405 1.833 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2ccc(CF)cc2)CC1 ZINC001112845096 764859209 /nfs/dbraw/zinc/85/92/09/764859209.db2.gz TZSVVHKIZFKNDZ-OAHLLOKOSA-N 1 2 318.392 1.839 20 30 DDEDLO C[C@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1nccnc1C#N ZINC001112993765 765104344 /nfs/dbraw/zinc/10/43/44/765104344.db2.gz MSHJNYUXBIDHKV-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCN(c3cc[nH+]c(C)n3)C[C@@H]2O)C1 ZINC001051781976 765160024 /nfs/dbraw/zinc/16/00/24/765160024.db2.gz SUBOQSJJOMVGSW-KGLIPLIRSA-N 1 2 316.405 1.197 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccsc3)C2)CC1 ZINC001051974285 765334545 /nfs/dbraw/zinc/33/45/45/765334545.db2.gz DISFZTAICWHXKG-HNNXBMFYSA-N 1 2 303.431 1.213 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131721178 768285088 /nfs/dbraw/zinc/28/50/88/768285088.db2.gz RRWFCSRMJHQCEC-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131721178 768285093 /nfs/dbraw/zinc/28/50/93/768285093.db2.gz RRWFCSRMJHQCEC-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)/C=C(/C)C3CC3)C2)CC1 ZINC001051992622 765358400 /nfs/dbraw/zinc/35/84/00/765358400.db2.gz DPSBXOAVSMTNGN-BROZXCPCSA-N 1 2 315.461 1.585 20 30 DDEDLO C=C(C)CC[NH+]1CC(N(C)C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001044178413 765369569 /nfs/dbraw/zinc/36/95/69/765369569.db2.gz MHYAGMHEBRRNNQ-LBPRGKRZSA-N 1 2 303.410 1.018 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)[C@@H](CC)SC)C2)CC1 ZINC001052015168 765384469 /nfs/dbraw/zinc/38/44/69/765384469.db2.gz OJJSMLRUWHERIQ-LSDHHAIUSA-N 1 2 311.495 1.533 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2c(C)nn(CC)c2C)C1 ZINC001044199372 765388336 /nfs/dbraw/zinc/38/83/36/765388336.db2.gz MQDSQTYIJGQCLS-UHFFFAOYSA-N 1 2 304.438 1.781 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@H]3CC[C@@H](C)O3)C2)nc1 ZINC001044202636 765391841 /nfs/dbraw/zinc/39/18/41/765391841.db2.gz ACSSXPJHIWVROG-CZUORRHYSA-N 1 2 313.401 1.387 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001113184720 765392741 /nfs/dbraw/zinc/39/27/41/765392741.db2.gz UVFRTVYSUAAXGD-INIZCTEOSA-N 1 2 324.465 1.929 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)[C@@H]3C[C@H]3C)C2)CC1 ZINC001052062193 765433700 /nfs/dbraw/zinc/43/37/00/765433700.db2.gz GDVSFHZVJKCIGR-VHDGCEQUSA-N 1 2 311.857 1.613 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2c(C)nc(C)[nH]c2=O)C1 ZINC001044290276 765454359 /nfs/dbraw/zinc/45/43/59/765454359.db2.gz JWYBOIYRXUXGKV-UHFFFAOYSA-N 1 2 304.394 1.060 20 30 DDEDLO C[C@@H](NC(=O)CCn1cc[nH+]c1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113238788 765458798 /nfs/dbraw/zinc/45/87/98/765458798.db2.gz RLIPMEXUTKFCSC-QWHCGFSZSA-N 1 2 312.377 1.545 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3CC34CC4)C2)CC1 ZINC001052091309 765463359 /nfs/dbraw/zinc/46/33/59/765463359.db2.gz FBIYSLBRYPALRT-JKSUJKDBSA-N 1 2 303.450 1.581 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001052182177 765554924 /nfs/dbraw/zinc/55/49/24/765554924.db2.gz SCXHPPRYXFTCCU-STQMWFEESA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](CNC(=O)CCn2cc[nH+]c2)C1 ZINC001052186350 765561929 /nfs/dbraw/zinc/56/19/29/765561929.db2.gz AFILCAQVIQMMPO-LSDHHAIUSA-N 1 2 318.421 1.450 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1cnc(C#N)cn1 ZINC001113352520 765616995 /nfs/dbraw/zinc/61/69/95/765616995.db2.gz UEKNXYRCOQJVMT-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1cnc(C#N)cn1 ZINC001113352520 765616998 /nfs/dbraw/zinc/61/69/98/765616998.db2.gz UEKNXYRCOQJVMT-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cn(CCC)nc2C)CC1 ZINC001113454748 765713252 /nfs/dbraw/zinc/71/32/52/765713252.db2.gz XXXJXCOQNIWBJT-UHFFFAOYSA-N 1 2 320.437 1.562 20 30 DDEDLO C[C@@H]1C[C@@H](NCC#N)CCN1C(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC001044819506 765832638 /nfs/dbraw/zinc/83/26/38/765832638.db2.gz YLTBOBCIDKMPII-KGLIPLIRSA-N 1 2 324.388 1.374 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccnc2OCC)CC1 ZINC001113598692 765927508 /nfs/dbraw/zinc/92/75/08/765927508.db2.gz DSORZQQQNJWXKG-UHFFFAOYSA-N 1 2 319.405 1.441 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(C)c2)[C@@H](n2ccnn2)C1 ZINC001070088198 768333194 /nfs/dbraw/zinc/33/31/94/768333194.db2.gz VVKYUVFRAPIKFQ-SJORKVTESA-N 1 2 323.400 1.183 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)c(C)c2)[C@@H](n2ccnn2)C1 ZINC001070088198 768333200 /nfs/dbraw/zinc/33/32/00/768333200.db2.gz VVKYUVFRAPIKFQ-SJORKVTESA-N 1 2 323.400 1.183 20 30 DDEDLO CCCCc1noc(C[NH2+]C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)n1 ZINC001044962726 765973084 /nfs/dbraw/zinc/97/30/84/765973084.db2.gz PGPRVWCCYMKGTD-STQMWFEESA-N 1 2 319.409 1.652 20 30 DDEDLO COCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001131762202 768350079 /nfs/dbraw/zinc/35/00/79/768350079.db2.gz ZNEUANXFGHHDGV-SWLSCSKDSA-N 1 2 319.380 1.813 20 30 DDEDLO COCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001131762202 768350086 /nfs/dbraw/zinc/35/00/86/768350086.db2.gz ZNEUANXFGHHDGV-SWLSCSKDSA-N 1 2 319.380 1.813 20 30 DDEDLO CC(C)CCC(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001131788346 768356935 /nfs/dbraw/zinc/35/69/35/768356935.db2.gz RSXOHANVFNJAAH-UONOGXRCSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(C)CCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001131788346 768356942 /nfs/dbraw/zinc/35/69/42/768356942.db2.gz RSXOHANVFNJAAH-UONOGXRCSA-N 1 2 308.426 1.031 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nc(CC)no3)C[C@H]21 ZINC001114002536 766517701 /nfs/dbraw/zinc/51/77/01/766517701.db2.gz MKVKMNZJWBFYIO-FJJYHAOUSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nc(CC)no3)C[C@H]21 ZINC001114002536 766517704 /nfs/dbraw/zinc/51/77/04/766517704.db2.gz MKVKMNZJWBFYIO-FJJYHAOUSA-N 1 2 304.394 1.706 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccc(C#N)cn1 ZINC001067578528 766620410 /nfs/dbraw/zinc/62/04/10/766620410.db2.gz YQLZJVWQMAAMSN-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csnc3OC)C[C@H]21 ZINC001114201272 766760729 /nfs/dbraw/zinc/76/07/29/766760729.db2.gz MLSOUAFTRXXVFG-NHAGDIPZSA-N 1 2 319.430 1.502 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csnc3OC)C[C@H]21 ZINC001114201272 766760739 /nfs/dbraw/zinc/76/07/39/766760739.db2.gz MLSOUAFTRXXVFG-NHAGDIPZSA-N 1 2 319.430 1.502 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)o1 ZINC001114258823 766829845 /nfs/dbraw/zinc/82/98/45/766829845.db2.gz YNEGSPNFXZOTHX-PBOSXPJTSA-N 1 2 316.405 1.535 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)o1 ZINC001114258823 766829856 /nfs/dbraw/zinc/82/98/56/766829856.db2.gz YNEGSPNFXZOTHX-PBOSXPJTSA-N 1 2 316.405 1.535 20 30 DDEDLO C[C@@H]1CCN(c2ccc(C#N)cn2)C[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067965718 766842662 /nfs/dbraw/zinc/84/26/62/766842662.db2.gz QHNAGCYUFOBXJP-HIFRSBDPSA-N 1 2 324.388 1.181 20 30 DDEDLO C[C@@H](C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C1CCCC1 ZINC001046078218 766893756 /nfs/dbraw/zinc/89/37/56/766893756.db2.gz VHSMZKZSYHQWEB-WBVHZDCISA-N 1 2 318.465 1.555 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC001098058774 766978785 /nfs/dbraw/zinc/97/87/85/766978785.db2.gz CYLOCGOWOZIUIM-CQSZACIVSA-N 1 2 318.421 1.865 20 30 DDEDLO Cc1cc(N[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@H]2C)c(C#N)cn1 ZINC001068963582 767731290 /nfs/dbraw/zinc/73/12/90/767731290.db2.gz YGXRFEZLBWCVPP-SWLSCSKDSA-N 1 2 324.388 1.051 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069079160 767828988 /nfs/dbraw/zinc/82/89/88/767828988.db2.gz LVUPNVUVFRGGFA-TZMCWYRMSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@]1(NC(=O)c2ncn[nH]2)CC[N@H+](Cc2cccc(C#N)c2)C1 ZINC001046715269 767839775 /nfs/dbraw/zinc/83/97/75/767839775.db2.gz YAGVYRHXODVKJM-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@]1(NC(=O)c2ncn[nH]2)CC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC001046715269 767839782 /nfs/dbraw/zinc/83/97/82/767839782.db2.gz YAGVYRHXODVKJM-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@]1(NC(=O)c2nc[nH]n2)CC[N@H+](Cc2cccc(C#N)c2)C1 ZINC001046715269 767839788 /nfs/dbraw/zinc/83/97/88/767839788.db2.gz YAGVYRHXODVKJM-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@]1(NC(=O)c2nc[nH]n2)CC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC001046715269 767839795 /nfs/dbraw/zinc/83/97/95/767839795.db2.gz YAGVYRHXODVKJM-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C=CCOc1ccc(C(=O)N(C)[C@H]2C[N@H+](CCF)C[C@@H]2O)cc1 ZINC001047433807 768473774 /nfs/dbraw/zinc/47/37/74/768473774.db2.gz QRJFAYQUCCHPHJ-HOTGVXAUSA-N 1 2 322.380 1.338 20 30 DDEDLO C=CCOc1ccc(C(=O)N(C)[C@H]2C[N@@H+](CCF)C[C@@H]2O)cc1 ZINC001047433807 768473779 /nfs/dbraw/zinc/47/37/79/768473779.db2.gz QRJFAYQUCCHPHJ-HOTGVXAUSA-N 1 2 322.380 1.338 20 30 DDEDLO C=C1CCC(C(=O)N(C)[C@H]2C[N@H+](C/C=C/Cl)C[C@@H]2O)CC1 ZINC001047513935 768534366 /nfs/dbraw/zinc/53/43/66/768534366.db2.gz QWCDPJNPZAUTSG-YEYNKYMBSA-N 1 2 312.841 1.989 20 30 DDEDLO C=C1CCC(C(=O)N(C)[C@H]2C[N@@H+](C/C=C/Cl)C[C@@H]2O)CC1 ZINC001047513935 768534371 /nfs/dbraw/zinc/53/43/71/768534371.db2.gz QWCDPJNPZAUTSG-YEYNKYMBSA-N 1 2 312.841 1.989 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2C[N@H+](Cc3ocnc3C)C[C@@H]2O)C1 ZINC001047519970 768539228 /nfs/dbraw/zinc/53/92/28/768539228.db2.gz ZQYVIPSHISYIKA-KBPBESRZSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2C[N@@H+](Cc3ocnc3C)C[C@@H]2O)C1 ZINC001047519970 768539234 /nfs/dbraw/zinc/53/92/34/768539234.db2.gz ZQYVIPSHISYIKA-KBPBESRZSA-N 1 2 319.405 1.343 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@@H]2C)cn1 ZINC001132034691 768581672 /nfs/dbraw/zinc/58/16/72/768581672.db2.gz UMFNFULRLNZTMS-HOCLYGCPSA-N 1 2 312.417 1.668 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@@H]2C)cn1 ZINC001132034691 768581675 /nfs/dbraw/zinc/58/16/75/768581675.db2.gz UMFNFULRLNZTMS-HOCLYGCPSA-N 1 2 312.417 1.668 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2nccs2)C1 ZINC001132041294 768583829 /nfs/dbraw/zinc/58/38/29/768583829.db2.gz BNARRPOHBAOAMM-ZIAGYGMSSA-N 1 2 321.446 1.652 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2nccs2)C1 ZINC001132041294 768583835 /nfs/dbraw/zinc/58/38/35/768583835.db2.gz BNARRPOHBAOAMM-ZIAGYGMSSA-N 1 2 321.446 1.652 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nc(C(F)F)no2)cc1 ZINC001132215711 768688434 /nfs/dbraw/zinc/68/84/34/768688434.db2.gz GLAZUVCCDOOYMS-UHFFFAOYSA-N 1 2 320.299 1.508 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC1 ZINC001070951519 768807126 /nfs/dbraw/zinc/80/71/26/768807126.db2.gz PGLWANZQRNISQH-QLPKVWCKSA-N 1 2 319.449 1.259 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC1 ZINC001070951519 768807134 /nfs/dbraw/zinc/80/71/34/768807134.db2.gz PGLWANZQRNISQH-QLPKVWCKSA-N 1 2 319.449 1.259 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCCCC(N)=O)CC[C@H]1C ZINC001132419966 768816083 /nfs/dbraw/zinc/81/60/83/768816083.db2.gz KYGDAPQQDVQJBV-CHWSQXEVSA-N 1 2 315.845 1.754 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCCCC(N)=O)CC[C@H]1C ZINC001132419966 768816094 /nfs/dbraw/zinc/81/60/94/768816094.db2.gz KYGDAPQQDVQJBV-CHWSQXEVSA-N 1 2 315.845 1.754 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C2CCC2)CC1 ZINC001070973301 768823997 /nfs/dbraw/zinc/82/39/97/768823997.db2.gz JNDWIPQLOLNLAE-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)C2CCC2)CC1 ZINC001070973301 768824004 /nfs/dbraw/zinc/82/40/04/768824004.db2.gz JNDWIPQLOLNLAE-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@]2(C)C=CCC2)CC1 ZINC001070988263 768843532 /nfs/dbraw/zinc/84/35/32/768843532.db2.gz NJAYOLZBTIUFTL-QGZVFWFLSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@]2(C)C=CCC2)CC1 ZINC001070988263 768843541 /nfs/dbraw/zinc/84/35/41/768843541.db2.gz NJAYOLZBTIUFTL-QGZVFWFLSA-N 1 2 305.422 1.179 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC[C@@H](C)C2)CC1 ZINC001071005831 768869945 /nfs/dbraw/zinc/86/99/45/768869945.db2.gz KJCYFMIRNWOVEA-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC[C@@H](C)C2)CC1 ZINC001071005831 768869959 /nfs/dbraw/zinc/86/99/59/768869959.db2.gz KJCYFMIRNWOVEA-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC001071008216 768872934 /nfs/dbraw/zinc/87/29/34/768872934.db2.gz NWJSNOTZPHXYQM-JKSUJKDBSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC001071008216 768872946 /nfs/dbraw/zinc/87/29/46/768872946.db2.gz NWJSNOTZPHXYQM-JKSUJKDBSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(c2cccc(F)n2)CC1 ZINC001096272652 768906514 /nfs/dbraw/zinc/90/65/14/768906514.db2.gz WUMZOFCXUCFDAN-UHFFFAOYSA-N 1 2 306.385 1.425 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001132568695 768990954 /nfs/dbraw/zinc/99/09/54/768990954.db2.gz CCFRBSYLICBEKC-VXGBXAGGSA-N 1 2 318.377 1.994 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001071132860 768992456 /nfs/dbraw/zinc/99/24/56/768992456.db2.gz IRIKMJMQYHUYGT-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(c2cccc(F)n2)CC1 ZINC001096288307 768994387 /nfs/dbraw/zinc/99/43/87/768994387.db2.gz GBORMRLRUYGALG-UHFFFAOYSA-N 1 2 320.412 1.815 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@H](C)[C@H](CNCC#N)C2)c[nH+]1 ZINC001132920858 769322876 /nfs/dbraw/zinc/32/28/76/769322876.db2.gz VGYACCSVLWTBHA-DZGCQCFKSA-N 1 2 317.437 1.524 20 30 DDEDLO C=CCCC1(C(=O)NCC[NH2+]Cc2nc(COC)no2)CC1 ZINC001133376030 769766262 /nfs/dbraw/zinc/76/62/62/769766262.db2.gz SJTHECIIJFBYKP-UHFFFAOYSA-N 1 2 308.382 1.168 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)CC[C@H]1C ZINC001071659962 769811697 /nfs/dbraw/zinc/81/16/97/769811697.db2.gz NDLMTECCZIKNDU-ZIAGYGMSSA-N 1 2 323.396 1.744 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)CC[C@H]1C ZINC001071659962 769811710 /nfs/dbraw/zinc/81/17/10/769811710.db2.gz NDLMTECCZIKNDU-ZIAGYGMSSA-N 1 2 323.396 1.744 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@H]1C ZINC001071738940 769980186 /nfs/dbraw/zinc/98/01/86/769980186.db2.gz LFZDJPAIXIKZMH-MNOVXSKESA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@H]1C ZINC001071738940 769980188 /nfs/dbraw/zinc/98/01/88/769980188.db2.gz LFZDJPAIXIKZMH-MNOVXSKESA-N 1 2 312.801 1.461 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCc2[nH+]ccn2C)C1 ZINC001071787221 770071417 /nfs/dbraw/zinc/07/14/17/770071417.db2.gz MWZXJFDQBLTMBL-UONOGXRCSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071929552 770303370 /nfs/dbraw/zinc/30/33/70/770303370.db2.gz RDFBNVNLXBOEDQ-KGLIPLIRSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001071989615 770396745 /nfs/dbraw/zinc/39/67/45/770396745.db2.gz XSXSVTXDPXGAKH-KBPBESRZSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072187751 770640452 /nfs/dbraw/zinc/64/04/52/770640452.db2.gz QSOYZILICSEHNT-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001072226157 770680871 /nfs/dbraw/zinc/68/08/71/770680871.db2.gz LPTZVUAGYRRKAY-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001072226157 770680876 /nfs/dbraw/zinc/68/08/76/770680876.db2.gz LPTZVUAGYRRKAY-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001072226129 770681166 /nfs/dbraw/zinc/68/11/66/770681166.db2.gz LIGRVVSEEMYNGL-KBPBESRZSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](NC(=O)CCc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001072505552 770997007 /nfs/dbraw/zinc/99/70/07/770997007.db2.gz UHQUDDSDDUFRME-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](NC(=O)CCc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001072505552 770997016 /nfs/dbraw/zinc/99/70/16/770997016.db2.gz UHQUDDSDDUFRME-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049890992 771237292 /nfs/dbraw/zinc/23/72/92/771237292.db2.gz CGZMCZSTXNGBCF-HZPDHXFCSA-N 1 2 311.389 1.823 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049890992 771237295 /nfs/dbraw/zinc/23/72/95/771237295.db2.gz CGZMCZSTXNGBCF-HZPDHXFCSA-N 1 2 311.389 1.823 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1nonc1C ZINC001049927378 771271971 /nfs/dbraw/zinc/27/19/71/771271971.db2.gz JZKGPEYBRGZVIR-VXGBXAGGSA-N 1 2 310.785 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1nonc1C ZINC001049927378 771271978 /nfs/dbraw/zinc/27/19/78/771271978.db2.gz JZKGPEYBRGZVIR-VXGBXAGGSA-N 1 2 310.785 1.809 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096682168 771390454 /nfs/dbraw/zinc/39/04/54/771390454.db2.gz UUBVRLZARJDMEU-BXUZGUMPSA-N 1 2 304.394 1.683 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H](Nc1ncccc1C#N)C1CC1 ZINC001096706843 771404744 /nfs/dbraw/zinc/40/47/44/771404744.db2.gz REAFJPWKNXAEPY-HNNXBMFYSA-N 1 2 324.388 1.534 20 30 DDEDLO N#Cc1cccnc1N[C@H](CNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001096711872 771409651 /nfs/dbraw/zinc/40/96/51/771409651.db2.gz GNFOTYIZDWZSMR-CYBMUJFWSA-N 1 2 310.361 1.226 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096728462 771419785 /nfs/dbraw/zinc/41/97/85/771419785.db2.gz PSJUVOTWMNHJGX-BXUZGUMPSA-N 1 2 302.378 1.130 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001096992185 771559516 /nfs/dbraw/zinc/55/95/16/771559516.db2.gz AXMLVGKNSBXOJW-MRVWCRGKSA-N 1 2 304.394 1.825 20 30 DDEDLO N#CCn1cc(NC(=O)Cc2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC001136435905 771967696 /nfs/dbraw/zinc/96/76/96/771967696.db2.gz ACAXIRODOJJSGS-UHFFFAOYSA-N 1 2 306.329 1.774 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ocnc2CC)[C@@H](O)C1 ZINC001090562094 771990223 /nfs/dbraw/zinc/99/02/23/771990223.db2.gz HAUWFVZWHSJXTP-NEPJUHHUSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ocnc2CC)[C@@H](O)C1 ZINC001090562094 771990224 /nfs/dbraw/zinc/99/02/24/771990224.db2.gz HAUWFVZWHSJXTP-NEPJUHHUSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(F)CCCCC2)[C@@H](O)C1 ZINC001090700769 772126502 /nfs/dbraw/zinc/12/65/02/772126502.db2.gz NUNSXVVJELAJTM-OLZOCXBDSA-N 1 2 318.820 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(F)CCCCC2)[C@@H](O)C1 ZINC001090700769 772126504 /nfs/dbraw/zinc/12/65/04/772126504.db2.gz NUNSXVVJELAJTM-OLZOCXBDSA-N 1 2 318.820 1.963 20 30 DDEDLO C=C(C(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)OCC)C(F)(F)F ZINC001144216076 772442722 /nfs/dbraw/zinc/44/27/22/772442722.db2.gz OQVJSOYRGTUMBX-VIFPVBQESA-N 1 2 305.256 1.119 20 30 DDEDLO C=C(C(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)OCC)C(F)(F)F ZINC001144216076 772442724 /nfs/dbraw/zinc/44/27/24/772442724.db2.gz OQVJSOYRGTUMBX-VIFPVBQESA-N 1 2 305.256 1.119 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(OC)cn2)C1 ZINC001144434818 772525888 /nfs/dbraw/zinc/52/58/88/772525888.db2.gz AOAVCLNQGCGRRK-CQSZACIVSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(OC)cn2)C1 ZINC001144434818 772525890 /nfs/dbraw/zinc/52/58/90/772525890.db2.gz AOAVCLNQGCGRRK-CQSZACIVSA-N 1 2 305.378 1.967 20 30 DDEDLO C[C@H](Cc1cccc(C#N)c1)[NH2+]Cc1noc(C(=O)N(C)C)n1 ZINC001171182528 772527682 /nfs/dbraw/zinc/52/76/82/772527682.db2.gz XALGWTKQMVOHNZ-LLVKDONJSA-N 1 2 313.361 1.364 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CC[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001091598446 772953864 /nfs/dbraw/zinc/95/38/64/772953864.db2.gz NNWISDWBCJQXPX-GXTWGEPZSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)n1CC)C2 ZINC001147159791 773055210 /nfs/dbraw/zinc/05/52/10/773055210.db2.gz ZGOHLHJMVDYWCT-UHFFFAOYSA-N 1 2 317.437 1.607 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)n1CC)C2 ZINC001147159791 773055215 /nfs/dbraw/zinc/05/52/15/773055215.db2.gz ZGOHLHJMVDYWCT-UHFFFAOYSA-N 1 2 317.437 1.607 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC2(C1)CC[N@@H+](Cc1cc(C)on1)C2 ZINC001147440201 773147499 /nfs/dbraw/zinc/14/74/99/773147499.db2.gz LXGGIVWBHASZIF-MRXNPFEDSA-N 1 2 319.405 1.344 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC2(C1)CC[N@H+](Cc1cc(C)on1)C2 ZINC001147440201 773147503 /nfs/dbraw/zinc/14/75/03/773147503.db2.gz LXGGIVWBHASZIF-MRXNPFEDSA-N 1 2 319.405 1.344 20 30 DDEDLO Cc1conc1C[N@H+]1CCC2(CN(C(=O)C#CC(C)C)C2)C1 ZINC001147514131 773157812 /nfs/dbraw/zinc/15/78/12/773157812.db2.gz DEEXECQGPNAWOG-UHFFFAOYSA-N 1 2 301.390 1.677 20 30 DDEDLO Cc1conc1C[N@@H+]1CCC2(CN(C(=O)C#CC(C)C)C2)C1 ZINC001147514131 773157815 /nfs/dbraw/zinc/15/78/15/773157815.db2.gz DEEXECQGPNAWOG-UHFFFAOYSA-N 1 2 301.390 1.677 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CC2(C1)CCN(CC#N)C2)n1cc[nH+]c1 ZINC001147767128 773220724 /nfs/dbraw/zinc/22/07/24/773220724.db2.gz JEGSGLLWZSUXLE-OAHLLOKOSA-N 1 2 315.421 1.528 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2c[nH]cc2C)C1 ZINC001073889064 773503110 /nfs/dbraw/zinc/50/31/10/773503110.db2.gz HKZPYPAPRXOKAO-CYBMUJFWSA-N 1 2 311.813 1.896 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2c[nH]cc2C)C1 ZINC001073889064 773503113 /nfs/dbraw/zinc/50/31/13/773503113.db2.gz HKZPYPAPRXOKAO-CYBMUJFWSA-N 1 2 311.813 1.896 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001074035584 773598310 /nfs/dbraw/zinc/59/83/10/773598310.db2.gz DOYARZNWNOQIJQ-HUUCEWRRSA-N 1 2 316.405 1.040 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)oc3C)C[C@@H]21 ZINC001074162785 773685041 /nfs/dbraw/zinc/68/50/41/773685041.db2.gz DREJMBKJHCYIJL-DLBZAZTESA-N 1 2 316.401 1.835 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)oc3C)C[C@@H]21 ZINC001074162785 773685045 /nfs/dbraw/zinc/68/50/45/773685045.db2.gz DREJMBKJHCYIJL-DLBZAZTESA-N 1 2 316.401 1.835 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(C)n3)C[C@@H]21 ZINC001074166797 773689924 /nfs/dbraw/zinc/68/99/24/773689924.db2.gz MSYRXBLFBGSGPL-DLBZAZTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(C)n3)C[C@@H]21 ZINC001074166797 773689928 /nfs/dbraw/zinc/68/99/28/773689928.db2.gz MSYRXBLFBGSGPL-DLBZAZTESA-N 1 2 313.401 1.329 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccn3C)C[C@H]21 ZINC001074169823 773691613 /nfs/dbraw/zinc/69/16/13/773691613.db2.gz CZHASQIFGMBQAM-HZPDHXFCSA-N 1 2 303.406 1.517 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccn3C)C[C@H]21 ZINC001074169823 773691618 /nfs/dbraw/zinc/69/16/18/773691618.db2.gz CZHASQIFGMBQAM-HZPDHXFCSA-N 1 2 303.406 1.517 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC(F)(F)F)C[C@H]21 ZINC001074184916 773703510 /nfs/dbraw/zinc/70/35/10/773703510.db2.gz UDDPMMBNSYPOEV-VXGBXAGGSA-N 1 2 304.312 1.264 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(F)(F)F)C[C@H]21 ZINC001074184916 773703513 /nfs/dbraw/zinc/70/35/13/773703513.db2.gz UDDPMMBNSYPOEV-VXGBXAGGSA-N 1 2 304.312 1.264 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001074264592 773777430 /nfs/dbraw/zinc/77/74/30/773777430.db2.gz XSJXZHPPXSZGJQ-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]c(C)cc3C)C[C@@H]21 ZINC001074280052 773791080 /nfs/dbraw/zinc/79/10/80/773791080.db2.gz WPWGKYYVAYGFFL-JKSUJKDBSA-N 1 2 315.417 1.570 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]c(C)cc3C)C[C@@H]21 ZINC001074280052 773791083 /nfs/dbraw/zinc/79/10/83/773791083.db2.gz WPWGKYYVAYGFFL-JKSUJKDBSA-N 1 2 315.417 1.570 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3n[nH]cc3F)C[C@@H]21 ZINC001074331394 773826885 /nfs/dbraw/zinc/82/68/85/773826885.db2.gz GOJTWRPWEFGBDP-QWHCGFSZSA-N 1 2 308.357 1.040 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3n[nH]cc3F)C[C@@H]21 ZINC001074331394 773826889 /nfs/dbraw/zinc/82/68/89/773826889.db2.gz GOJTWRPWEFGBDP-QWHCGFSZSA-N 1 2 308.357 1.040 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC(C)(F)F)C[C@H]21 ZINC001074329992 773827466 /nfs/dbraw/zinc/82/74/66/773827466.db2.gz TUFHILNCGGOSPN-OLZOCXBDSA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC(C)(F)F)C[C@H]21 ZINC001074329992 773827469 /nfs/dbraw/zinc/82/74/69/773827469.db2.gz TUFHILNCGGOSPN-OLZOCXBDSA-N 1 2 302.365 1.910 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccn(C)c3C)C[C@@H]21 ZINC001074336201 773832748 /nfs/dbraw/zinc/83/27/48/773832748.db2.gz LKZIKTLLSZJXMB-DLBZAZTESA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccn(C)c3C)C[C@@H]21 ZINC001074336201 773832751 /nfs/dbraw/zinc/83/27/51/773832751.db2.gz LKZIKTLLSZJXMB-DLBZAZTESA-N 1 2 315.417 1.272 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccnc(C)c3)C[C@@H]21 ZINC001074366639 773859333 /nfs/dbraw/zinc/85/93/33/773859333.db2.gz XLRRUMBMYPQSSD-IRXDYDNUSA-N 1 2 315.417 1.881 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccnc(C)c3)C[C@@H]21 ZINC001074366639 773859337 /nfs/dbraw/zinc/85/93/37/773859337.db2.gz XLRRUMBMYPQSSD-IRXDYDNUSA-N 1 2 315.417 1.881 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092173839 773981727 /nfs/dbraw/zinc/98/17/27/773981727.db2.gz PHGWVBMOONQMOB-ZIAGYGMSSA-N 1 2 304.394 1.197 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccnc1)c1nccn12 ZINC001092346361 774065628 /nfs/dbraw/zinc/06/56/28/774065628.db2.gz UHRATOQEZUFEGI-OAHLLOKOSA-N 1 2 321.384 1.187 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001092359334 774073812 /nfs/dbraw/zinc/07/38/12/774073812.db2.gz CWSLJSABCQFAQI-MRRJBJDNSA-N 1 2 318.421 1.301 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccn(C)c1)c1nccn12 ZINC001092363009 774077087 /nfs/dbraw/zinc/07/70/87/774077087.db2.gz GWJPDEHCUXPFMO-HNNXBMFYSA-N 1 2 323.400 1.131 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccccc1)c1nccn12 ZINC001092339368 774089624 /nfs/dbraw/zinc/08/96/24/774089624.db2.gz WLSGNRRGEQAREX-HNNXBMFYSA-N 1 2 308.385 1.955 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@]1(C)CCOC1)c1nccn12 ZINC001092403375 774102920 /nfs/dbraw/zinc/10/29/20/774102920.db2.gz FGDCUGQMJHTDDO-CJNGLKHVSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@]1(C)CCOC1)c1nccn12 ZINC001092403377 774103221 /nfs/dbraw/zinc/10/32/21/774103221.db2.gz FGDCUGQMJHTDDO-XJKSGUPXSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001074887272 774217900 /nfs/dbraw/zinc/21/79/00/774217900.db2.gz SZNJZFLWRBHYGW-OLZOCXBDSA-N 1 2 304.394 1.414 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001074887273 774218193 /nfs/dbraw/zinc/21/81/93/774218193.db2.gz SZNJZFLWRBHYGW-QWHCGFSZSA-N 1 2 304.394 1.414 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001074974673 774278359 /nfs/dbraw/zinc/27/83/59/774278359.db2.gz HHZUDODKLXWTQS-GJZGRUSLSA-N 1 2 316.405 1.038 20 30 DDEDLO N#Cc1cnccc1N1CCN(C(=O)CCCn2cc[nH+]c2)CC1 ZINC001093161886 774485053 /nfs/dbraw/zinc/48/50/53/774485053.db2.gz BYIYISFCIOMELB-UHFFFAOYSA-N 1 2 324.388 1.279 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098550713 774608272 /nfs/dbraw/zinc/60/82/72/774608272.db2.gz AXRGBWVZGWOOHO-JSGCOSHPSA-N 1 2 302.378 1.084 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001093567381 774838333 /nfs/dbraw/zinc/83/83/33/774838333.db2.gz MWBKUHUTNYQSST-GOSISDBHSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CCO[C@@H](C)C3)CC2)C1 ZINC001093584914 774862928 /nfs/dbraw/zinc/86/29/28/774862928.db2.gz MADXVGQAKFRUQO-GJZGRUSLSA-N 1 2 322.449 1.679 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NCCNc1cccc(F)c1C#N ZINC001093785051 775076538 /nfs/dbraw/zinc/07/65/38/775076538.db2.gz LKPGHRDTKGKVPY-UHFFFAOYSA-N 1 2 315.352 1.592 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099725921 775189115 /nfs/dbraw/zinc/18/91/15/775189115.db2.gz AQDIQDRBNVOIDC-KBPBESRZSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099725921 775189121 /nfs/dbraw/zinc/18/91/21/775189121.db2.gz AQDIQDRBNVOIDC-KBPBESRZSA-N 1 2 307.394 1.309 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099804073 775301323 /nfs/dbraw/zinc/30/13/23/775301323.db2.gz HSFGSRYGWSIPRJ-GOEBONIOSA-N 1 2 319.405 1.146 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099804073 775301337 /nfs/dbraw/zinc/30/13/37/775301337.db2.gz HSFGSRYGWSIPRJ-GOEBONIOSA-N 1 2 319.405 1.146 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCC(C)(F)F)[C@@H](O)C1 ZINC001099896644 775394112 /nfs/dbraw/zinc/39/41/12/775394112.db2.gz MLIZMSXZKDADPF-QWRGUYRKSA-N 1 2 310.772 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCC(C)(F)F)[C@@H](O)C1 ZINC001099896644 775394120 /nfs/dbraw/zinc/39/41/20/775394120.db2.gz MLIZMSXZKDADPF-QWRGUYRKSA-N 1 2 310.772 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCC(C)(F)F)[C@H](O)C1 ZINC001099896645 775394906 /nfs/dbraw/zinc/39/49/06/775394906.db2.gz MLIZMSXZKDADPF-WDEREUQCSA-N 1 2 310.772 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCC(C)(F)F)[C@H](O)C1 ZINC001099896645 775394919 /nfs/dbraw/zinc/39/49/19/775394919.db2.gz MLIZMSXZKDADPF-WDEREUQCSA-N 1 2 310.772 1.726 20 30 DDEDLO C=CCCC1(C(=O)NCCNc2[nH+]cnc3c2cnn3C)CC1 ZINC001094098699 775429508 /nfs/dbraw/zinc/42/95/08/775429508.db2.gz KCOKWZPDTITAEI-UHFFFAOYSA-N 1 2 314.393 1.638 20 30 DDEDLO Cc1cc(NCCNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)c(C#N)cn1 ZINC001094112209 775438252 /nfs/dbraw/zinc/43/82/52/775438252.db2.gz NVAICOKFYPDTRI-ZDUSSCGKSA-N 1 2 324.388 1.055 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)CCCF)[C@@H](O)C1 ZINC001099955640 775474880 /nfs/dbraw/zinc/47/48/80/775474880.db2.gz XKANHUKPHSVRAT-HOTGVXAUSA-N 1 2 319.380 1.359 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)CCCF)[C@@H](O)C1 ZINC001099955640 775474882 /nfs/dbraw/zinc/47/48/82/775474882.db2.gz XKANHUKPHSVRAT-HOTGVXAUSA-N 1 2 319.380 1.359 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@H]1O ZINC001100020587 775582671 /nfs/dbraw/zinc/58/26/71/775582671.db2.gz PYRFQHNZEUVCHC-UONOGXRCSA-N 1 2 323.462 1.797 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@H]1O ZINC001100020587 775582674 /nfs/dbraw/zinc/58/26/74/775582674.db2.gz PYRFQHNZEUVCHC-UONOGXRCSA-N 1 2 323.462 1.797 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H]3CCC3(C)C)nn2)C1 ZINC001094310734 775662980 /nfs/dbraw/zinc/66/29/80/775662980.db2.gz OSVMTWSQRLRAIR-OAHLLOKOSA-N 1 2 315.421 1.211 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cc(C)sn3)nn2)C1 ZINC001094286608 775686238 /nfs/dbraw/zinc/68/62/38/775686238.db2.gz JDVCFGKGONAVKR-UHFFFAOYSA-N 1 2 318.406 1.016 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001094384255 775833036 /nfs/dbraw/zinc/83/30/36/775833036.db2.gz MCVMANCAJRUGJE-QGZVFWFLSA-N 1 2 318.421 1.908 20 30 DDEDLO Cc1ccc(C#N)c(NCCCNC(=O)Cc2c[nH+]cn2C)n1 ZINC001094504253 775941988 /nfs/dbraw/zinc/94/19/88/775941988.db2.gz NBFSCLZINVKKJJ-UHFFFAOYSA-N 1 2 312.377 1.156 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCCN(C)c1ccncc1C#N ZINC001100368222 776062281 /nfs/dbraw/zinc/06/22/81/776062281.db2.gz SJYRIYDUHVRRCW-GFCCVEGCSA-N 1 2 312.377 1.108 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCCN(C)c1ccncc1C#N ZINC001100368222 776062288 /nfs/dbraw/zinc/06/22/88/776062288.db2.gz SJYRIYDUHVRRCW-GFCCVEGCSA-N 1 2 312.377 1.108 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)c3coc(C)c3)CC2=O)C1 ZINC001094731247 776233777 /nfs/dbraw/zinc/23/37/77/776233777.db2.gz MCMMWIAQFIDVSJ-AWEZNQCLSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3occc3CC)CC2=O)C1 ZINC001094782144 776272214 /nfs/dbraw/zinc/27/22/14/776272214.db2.gz LFUAXAOLAUOXPZ-ZDUSSCGKSA-N 1 2 317.389 1.043 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3(C)CCCCC3)CC2=O)C1 ZINC001094781916 776273172 /nfs/dbraw/zinc/27/31/72/776273172.db2.gz CZWXVDWNGFDRCE-CQSZACIVSA-N 1 2 319.449 1.544 20 30 DDEDLO C[C@H](CCCCNCC#N)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001171595618 776360038 /nfs/dbraw/zinc/36/00/38/776360038.db2.gz DRTDMTUIRHOJGC-ZIAGYGMSSA-N 1 2 303.410 1.234 20 30 DDEDLO CCc1nc(N2CCC[C@H]2CNC(=O)[C@@H](C)C#N)cc(C)[nH+]1 ZINC001100810153 776577516 /nfs/dbraw/zinc/57/75/16/776577516.db2.gz PKVCPMPRXKKXNT-AAEUAGOBSA-N 1 2 301.394 1.592 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2CNC(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001100859155 776641384 /nfs/dbraw/zinc/64/13/84/776641384.db2.gz MIOXYTTUMWBCQS-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO Cc1nc(N2CC[C@H](CNC(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001100950253 776750030 /nfs/dbraw/zinc/75/00/30/776750030.db2.gz QNOCINOBBPLRSD-IINYFYTJSA-N 1 2 301.394 1.504 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1[C@H]1CCc2ccc([N+](=O)[O-])cc2CC1 ZINC001172905851 776916830 /nfs/dbraw/zinc/91/68/30/776916830.db2.gz VHHJWSZZWRHCHV-HOCLYGCPSA-N 1 2 300.362 1.640 20 30 DDEDLO Cc1nonc1C[NH2+][C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001172971978 776948007 /nfs/dbraw/zinc/94/80/07/776948007.db2.gz VOUULNDQSVMBEW-NEPJUHHUSA-N 1 2 321.381 1.759 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2C[N@H+](Cc3nncs3)C[C@@]2(C)C1 ZINC001101217565 776993445 /nfs/dbraw/zinc/99/34/45/776993445.db2.gz AXQZCOPYEQKJFL-CJNGLKHVSA-N 1 2 318.446 1.622 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2C[N@@H+](Cc3nncs3)C[C@@]2(C)C1 ZINC001101217565 776993451 /nfs/dbraw/zinc/99/34/51/776993451.db2.gz AXQZCOPYEQKJFL-CJNGLKHVSA-N 1 2 318.446 1.622 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095023628 776998923 /nfs/dbraw/zinc/99/89/23/776998923.db2.gz LCUSTZJZRNXZRW-CQSZACIVSA-N 1 2 304.394 1.781 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2ccccn2)[C@@H](O)C1 ZINC001099942671 777150424 /nfs/dbraw/zinc/15/04/24/777150424.db2.gz VOENFPWSTFOGTQ-CABCVRRESA-N 1 2 323.824 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2ccccn2)[C@@H](O)C1 ZINC001099942671 777150432 /nfs/dbraw/zinc/15/04/32/777150432.db2.gz VOENFPWSTFOGTQ-CABCVRRESA-N 1 2 323.824 1.318 20 30 DDEDLO N#Cc1ccc(F)cc1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001174492470 777485952 /nfs/dbraw/zinc/48/59/52/777485952.db2.gz ZVGSRRCZNYWKEG-UHFFFAOYSA-N 1 2 315.352 1.970 20 30 DDEDLO Cc1nc(N2CC[C@H](CCNC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001102316631 778049482 /nfs/dbraw/zinc/04/94/82/778049482.db2.gz PAHBJIIPAVEUHK-ZDUSSCGKSA-N 1 2 324.388 1.631 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)cc1 ZINC001176832074 778264606 /nfs/dbraw/zinc/26/46/06/778264606.db2.gz GZYTUWANLKBVEY-MRXNPFEDSA-N 1 2 310.357 1.894 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CSCCC)C[C@H]21 ZINC001176929689 778317597 /nfs/dbraw/zinc/31/75/97/778317597.db2.gz KVQIQAHWFQXYCU-CABCVRRESA-N 1 2 310.463 1.455 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CSCCC)C[C@H]21 ZINC001176929689 778317603 /nfs/dbraw/zinc/31/76/03/778317603.db2.gz KVQIQAHWFQXYCU-CABCVRRESA-N 1 2 310.463 1.455 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CSC(C)C)C[C@@H]21 ZINC001176930064 778319693 /nfs/dbraw/zinc/31/96/93/778319693.db2.gz PGTIMUMXMBFFMJ-LSDHHAIUSA-N 1 2 310.463 1.453 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CSC(C)C)C[C@@H]21 ZINC001176930064 778319702 /nfs/dbraw/zinc/31/97/02/778319702.db2.gz PGTIMUMXMBFFMJ-LSDHHAIUSA-N 1 2 310.463 1.453 20 30 DDEDLO Cc1cc(N2CC[C@H](N(C)C(=O)[C@H](C)C#N)C2)nc(C2CC2)[nH+]1 ZINC001102701825 778328425 /nfs/dbraw/zinc/32/84/25/778328425.db2.gz JEGYHZBYMBTWGY-RISCZKNCSA-N 1 2 313.405 1.859 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)(C)C)C[C@H]21 ZINC001177007066 778387229 /nfs/dbraw/zinc/38/72/29/778387229.db2.gz WPLYQAZASBPROM-HZPDHXFCSA-N 1 2 304.434 1.914 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)(C)C)C[C@H]21 ZINC001177007066 778387237 /nfs/dbraw/zinc/38/72/37/778387237.db2.gz WPLYQAZASBPROM-HZPDHXFCSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CC#C)CCC3)C[C@H]21 ZINC001177029110 778399062 /nfs/dbraw/zinc/39/90/62/778399062.db2.gz CEZUYZYOVMZLJA-IAGOWNOFSA-N 1 2 314.429 1.505 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CC#C)CCC3)C[C@H]21 ZINC001177029110 778399064 /nfs/dbraw/zinc/39/90/64/778399064.db2.gz CEZUYZYOVMZLJA-IAGOWNOFSA-N 1 2 314.429 1.505 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CCOC)C[C@@H]21 ZINC001177117613 778432698 /nfs/dbraw/zinc/43/26/98/778432698.db2.gz ALNYFSZMICAYSQ-HOTGVXAUSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)CCOC)C[C@@H]21 ZINC001177117613 778432703 /nfs/dbraw/zinc/43/27/03/778432703.db2.gz ALNYFSZMICAYSQ-HOTGVXAUSA-N 1 2 322.449 1.374 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CCOC)C[C@@H]21 ZINC001177120115 778434234 /nfs/dbraw/zinc/43/42/34/778434234.db2.gz SZVXYGNGTDQNDP-JKSUJKDBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(C)CCOC)C[C@@H]21 ZINC001177120115 778434241 /nfs/dbraw/zinc/43/42/41/778434241.db2.gz SZVXYGNGTDQNDP-JKSUJKDBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]2OCC[N@@H+](CC=C(C)C)[C@H]2C1 ZINC001177163490 778469699 /nfs/dbraw/zinc/46/96/99/778469699.db2.gz UELVSLQXNUOEPP-IRXDYDNUSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]2OCC[N@H+](CC=C(C)C)[C@H]2C1 ZINC001177163490 778469703 /nfs/dbraw/zinc/46/97/03/778469703.db2.gz UELVSLQXNUOEPP-IRXDYDNUSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nnn(C(C)(C)C)n2)[C@@H]1C ZINC001178311992 778998809 /nfs/dbraw/zinc/99/88/09/778998809.db2.gz PLVLCSSCXGTRQZ-STQMWFEESA-N 1 2 320.441 1.473 20 30 DDEDLO COCC#CC[NH2+][C@H]1CCN(C(=O)C(F)C(F)(F)F)[C@H]1C ZINC001178746150 779160866 /nfs/dbraw/zinc/16/08/66/779160866.db2.gz ABGYZRHVHIXXDA-DCAQKATOSA-N 1 2 310.291 1.116 20 30 DDEDLO COCC#CC[NH2+][C@H]1CCN(C(=O)[C@H](F)C(F)(F)F)[C@H]1C ZINC001178746150 779160870 /nfs/dbraw/zinc/16/08/70/779160870.db2.gz ABGYZRHVHIXXDA-DCAQKATOSA-N 1 2 310.291 1.116 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ncnn1C)C2 ZINC001111763653 779470318 /nfs/dbraw/zinc/47/03/18/779470318.db2.gz ANXIONNIQBVFNB-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C(C)CCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ncnn1C)C2 ZINC001111763653 779470322 /nfs/dbraw/zinc/47/03/22/779470322.db2.gz ANXIONNIQBVFNB-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO Cc1nc(NCC2(NC(=O)[C@H](C)C#N)CCOCC2)cc[nH+]1 ZINC001112243530 779670323 /nfs/dbraw/zinc/67/03/23/779670323.db2.gz RNAIVUBACWFNIV-LLVKDONJSA-N 1 2 303.366 1.022 20 30 DDEDLO Cc1nc(N(C)CCOCCNC(=O)C#CC(C)C)cc[nH+]1 ZINC001115205751 779947112 /nfs/dbraw/zinc/94/71/12/779947112.db2.gz ZBMUDOMTJVOENL-UHFFFAOYSA-N 1 2 304.394 1.013 20 30 DDEDLO N#CCNC1(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)CCCCC1 ZINC001115601793 780261668 /nfs/dbraw/zinc/26/16/68/780261668.db2.gz RIGUJSTXQXNSRV-CQSZACIVSA-N 1 2 315.421 1.378 20 30 DDEDLO C[C@H](CN(C)C(=O)Cc1c[nH+]cn1C)Nc1ccc(C#N)nc1 ZINC001115779292 780425356 /nfs/dbraw/zinc/42/53/56/780425356.db2.gz JBTUHPBXDBNIFY-GFCCVEGCSA-N 1 2 312.377 1.188 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC001118078636 781017763 /nfs/dbraw/zinc/01/77/63/781017763.db2.gz AIUCQFPPFUSHLX-OAHLLOKOSA-N 1 2 301.390 1.372 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC001118078636 781017773 /nfs/dbraw/zinc/01/77/73/781017773.db2.gz AIUCQFPPFUSHLX-OAHLLOKOSA-N 1 2 301.390 1.372 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@@H+](C)CC(=O)N[C@@H](C)C2CC2)CC1 ZINC001267216457 837549597 /nfs/dbraw/zinc/54/95/97/837549597.db2.gz XYNTXTZECQXFNM-AWEZNQCLSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@H+](C)CC(=O)N[C@@H](C)C2CC2)CC1 ZINC001267216457 837549601 /nfs/dbraw/zinc/54/96/01/837549601.db2.gz XYNTXTZECQXFNM-AWEZNQCLSA-N 1 2 321.465 1.696 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)C12CCC(CC1)C2(C)C ZINC001267221061 837555074 /nfs/dbraw/zinc/55/50/74/837555074.db2.gz QYUIYFUCHQTQLH-UHFFFAOYSA-N 1 2 319.449 1.000 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)C12CCC(CC1)C2(C)C ZINC001267221061 837555087 /nfs/dbraw/zinc/55/50/87/837555087.db2.gz QYUIYFUCHQTQLH-UHFFFAOYSA-N 1 2 319.449 1.000 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1ccc2c(c1)CCC2 ZINC001267229429 837567311 /nfs/dbraw/zinc/56/73/11/837567311.db2.gz MQBWGSDYMXZIHT-UHFFFAOYSA-N 1 2 315.417 1.139 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1ccc2c(c1)CCC2 ZINC001267229429 837567318 /nfs/dbraw/zinc/56/73/18/837567318.db2.gz MQBWGSDYMXZIHT-UHFFFAOYSA-N 1 2 315.417 1.139 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H](C)c1ccccc1C ZINC001267230936 837571133 /nfs/dbraw/zinc/57/11/33/837571133.db2.gz IMTKKOIFWNYDKD-OAHLLOKOSA-N 1 2 317.433 1.449 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@H](C)c1ccccc1C ZINC001267230936 837571140 /nfs/dbraw/zinc/57/11/40/837571140.db2.gz IMTKKOIFWNYDKD-OAHLLOKOSA-N 1 2 317.433 1.449 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](C)CCNC(=O)C#CC(C)(C)C ZINC001266273118 836023364 /nfs/dbraw/zinc/02/33/64/836023364.db2.gz KTZIJEIUWRKVRE-UHFFFAOYSA-N 1 2 319.449 1.285 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](C)CCNC(=O)C#CC(C)(C)C ZINC001266273118 836023376 /nfs/dbraw/zinc/02/33/76/836023376.db2.gz KTZIJEIUWRKVRE-UHFFFAOYSA-N 1 2 319.449 1.285 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccc(O)cc2)C1 ZINC001266307959 836093677 /nfs/dbraw/zinc/09/36/77/836093677.db2.gz NLFZFZFMRRSQEM-AWEZNQCLSA-N 1 2 317.389 1.487 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccc(O)cc2)C1 ZINC001266307959 836093683 /nfs/dbraw/zinc/09/36/83/836093683.db2.gz NLFZFZFMRRSQEM-AWEZNQCLSA-N 1 2 317.389 1.487 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H](C)[C@@H](C)C(C)(C)C ZINC001266338031 836139488 /nfs/dbraw/zinc/13/94/88/836139488.db2.gz VCEKLWCABFLNQX-ZIAGYGMSSA-N 1 2 311.470 1.655 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@H](C)[C@@H](C)C(C)(C)C ZINC001266338031 836139492 /nfs/dbraw/zinc/13/94/92/836139492.db2.gz VCEKLWCABFLNQX-ZIAGYGMSSA-N 1 2 311.470 1.655 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@@H+](CC)Cc2cnnn2C)cc1 ZINC001266408762 836217645 /nfs/dbraw/zinc/21/76/45/836217645.db2.gz SHXFXUHHGKWPIV-UHFFFAOYSA-N 1 2 311.389 1.048 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[N@H+](CC)Cc2cnnn2C)cc1 ZINC001266408762 836217651 /nfs/dbraw/zinc/21/76/51/836217651.db2.gz SHXFXUHHGKWPIV-UHFFFAOYSA-N 1 2 311.389 1.048 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)COCCC ZINC001266450513 836269557 /nfs/dbraw/zinc/26/95/57/836269557.db2.gz OXAXJKHZNTYULY-GFCCVEGCSA-N 1 2 319.243 1.902 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)COCCC ZINC001266450513 836269573 /nfs/dbraw/zinc/26/95/73/836269573.db2.gz OXAXJKHZNTYULY-GFCCVEGCSA-N 1 2 319.243 1.902 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(COC)no2)C1 ZINC001267009367 837181219 /nfs/dbraw/zinc/18/12/19/837181219.db2.gz ZPTNCLYSQRAXTH-QWHCGFSZSA-N 1 2 320.393 1.271 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@H](C)C(=O)NCCC ZINC001267530727 838258595 /nfs/dbraw/zinc/25/85/95/838258595.db2.gz YJEQPDHVXYJJAR-OAGGEKHMSA-N 1 2 321.465 1.933 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)NCCC ZINC001267530727 838258602 /nfs/dbraw/zinc/25/86/02/838258602.db2.gz YJEQPDHVXYJJAR-OAGGEKHMSA-N 1 2 321.465 1.933 20 30 DDEDLO Cc1cc(F)cc(C(=O)N(C)CC[NH+]2CCN(CC#N)CC2)c1 ZINC001267574716 838342107 /nfs/dbraw/zinc/34/21/07/838342107.db2.gz QOWMDADEZTXOTR-UHFFFAOYSA-N 1 2 318.396 1.347 20 30 DDEDLO COc1ccc(CC[NH+]2CC(NC(=O)C#CC(C)C)C2)cc1 ZINC001267644071 838562912 /nfs/dbraw/zinc/56/29/12/838562912.db2.gz FKYYCMVQQZYJBW-UHFFFAOYSA-N 1 2 300.402 1.698 20 30 DDEDLO C=CCOCC(=O)NCC1C[NH+](Cc2cccc(Cl)n2)C1 ZINC001267678213 838629618 /nfs/dbraw/zinc/62/96/18/838629618.db2.gz BRMBBFOVOJHOKU-UHFFFAOYSA-N 1 2 309.797 1.486 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2cccn2C(C)C)C1 ZINC001267699114 838673314 /nfs/dbraw/zinc/67/33/14/838673314.db2.gz MRPISWSGIBKPFV-UHFFFAOYSA-N 1 2 318.421 1.033 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001267722109 838728624 /nfs/dbraw/zinc/72/86/24/838728624.db2.gz XGLBZAPQHKTYSS-ZBFHGGJFSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001267722109 838728631 /nfs/dbraw/zinc/72/86/31/838728631.db2.gz XGLBZAPQHKTYSS-ZBFHGGJFSA-N 1 2 319.449 1.285 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2cscc2C)C1 ZINC001267739029 838799862 /nfs/dbraw/zinc/79/98/62/838799862.db2.gz INRLQACOBMEKSX-GFCCVEGCSA-N 1 2 307.419 1.163 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2cscc2C)C1 ZINC001267739029 838799872 /nfs/dbraw/zinc/79/98/72/838799872.db2.gz INRLQACOBMEKSX-GFCCVEGCSA-N 1 2 307.419 1.163 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H](CCC)CC(C)C)C1 ZINC001267753813 838856768 /nfs/dbraw/zinc/85/67/68/838856768.db2.gz NPWQUKVUTZWWTD-HZPDHXFCSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H](CCC)CC(C)C)C1 ZINC001267753813 838856776 /nfs/dbraw/zinc/85/67/76/838856776.db2.gz NPWQUKVUTZWWTD-HZPDHXFCSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)c2ccoc2CC)C1 ZINC001268020406 839390279 /nfs/dbraw/zinc/39/02/79/839390279.db2.gz GVOVFJVCGBTWGT-CQSZACIVSA-N 1 2 322.405 1.475 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)c2ccoc2CC)C1 ZINC001268020406 839390289 /nfs/dbraw/zinc/39/02/89/839390289.db2.gz GVOVFJVCGBTWGT-CQSZACIVSA-N 1 2 322.405 1.475 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)Cc2ccc(C)nc2)C1 ZINC001268029444 839445007 /nfs/dbraw/zinc/44/50/07/839445007.db2.gz VEDIWINCGOGHLW-INIZCTEOSA-N 1 2 303.406 1.326 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)Cc2ccc(C)nc2)C1 ZINC001268029444 839445018 /nfs/dbraw/zinc/44/50/18/839445018.db2.gz VEDIWINCGOGHLW-INIZCTEOSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H](c2cccnc2)C(C)C)C1 ZINC001268029951 839446198 /nfs/dbraw/zinc/44/61/98/839446198.db2.gz JPRKIMCKXUNTRP-IAGOWNOFSA-N 1 2 317.433 1.824 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H](c2cccnc2)C(C)C)C1 ZINC001268029951 839446207 /nfs/dbraw/zinc/44/62/07/839446207.db2.gz JPRKIMCKXUNTRP-IAGOWNOFSA-N 1 2 317.433 1.824 20 30 DDEDLO C=C1CCC(C(=O)NC/C=C\C[NH2+]Cc2nonc2C)CC1 ZINC001268587742 840495219 /nfs/dbraw/zinc/49/52/19/840495219.db2.gz KVPQWHSFWQCMKB-ARJAWSKDSA-N 1 2 304.394 1.886 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@H](C)C[NH2+]Cc1nnc(C)o1 ZINC001268671371 840655644 /nfs/dbraw/zinc/65/56/44/840655644.db2.gz YLKXUHXFEFIGMU-GFCCVEGCSA-N 1 2 312.373 1.196 20 30 DDEDLO CC#CCCCC(=O)NCC1C[NH+]([C@@H](C)C(=O)NCC(C)C)C1 ZINC001268862970 840915697 /nfs/dbraw/zinc/91/56/97/840915697.db2.gz QWFQBABCHMBLCV-HNNXBMFYSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CC[C@@H](C)C(=O)N1C[C@@H](C(=O)N(C)C)[C@H](c2cn(C)c[nH+]2)C1 ZINC001268909351 840979960 /nfs/dbraw/zinc/97/99/60/840979960.db2.gz JMYSTJHTUOJLSR-MGPQQGTHSA-N 1 2 318.421 1.263 20 30 DDEDLO C=CCC(CC=C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1c[nH+]cn1C ZINC001268944510 841023471 /nfs/dbraw/zinc/02/34/71/841023471.db2.gz YKNJYJIXNSUJQY-HOTGVXAUSA-N 1 2 314.433 1.974 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cn(C)nc1C ZINC001268960630 841058743 /nfs/dbraw/zinc/05/87/43/841058743.db2.gz WOBBLBSKSKETRZ-IRXDYDNUSA-N 1 2 314.433 1.707 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cn(C)nc1C ZINC001268960630 841058757 /nfs/dbraw/zinc/05/87/57/841058757.db2.gz WOBBLBSKSKETRZ-IRXDYDNUSA-N 1 2 314.433 1.707 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCC1CC1 ZINC001268960714 841060205 /nfs/dbraw/zinc/06/02/05/841060205.db2.gz ZSVXHLHWNPAUKD-KBMXLJTQSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCC1CC1 ZINC001268960714 841060215 /nfs/dbraw/zinc/06/02/15/841060215.db2.gz ZSVXHLHWNPAUKD-KBMXLJTQSA-N 1 2 319.449 1.543 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)C(F)(F)F ZINC001268978736 841079251 /nfs/dbraw/zinc/07/92/51/841079251.db2.gz INPQVWFQNUWFBP-RYUDHWBXSA-N 1 2 318.339 1.510 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)C(F)(F)F ZINC001268978736 841079262 /nfs/dbraw/zinc/07/92/62/841079262.db2.gz INPQVWFQNUWFBP-RYUDHWBXSA-N 1 2 318.339 1.510 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001269014568 841114408 /nfs/dbraw/zinc/11/44/08/841114408.db2.gz TXIJOVBUFNJOOK-AWEZNQCLSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001269014568 841114411 /nfs/dbraw/zinc/11/44/11/841114411.db2.gz TXIJOVBUFNJOOK-AWEZNQCLSA-N 1 2 307.394 1.656 20 30 DDEDLO CCc1ncc(C(=O)N[C@H]2CC[N@H+](CC#CCOC)C2)s1 ZINC001269096824 841202077 /nfs/dbraw/zinc/20/20/77/841202077.db2.gz CRWOAUQQFGHEQS-LBPRGKRZSA-N 1 2 307.419 1.159 20 30 DDEDLO CCc1ncc(C(=O)N[C@H]2CC[N@@H+](CC#CCOC)C2)s1 ZINC001269096824 841202084 /nfs/dbraw/zinc/20/20/84/841202084.db2.gz CRWOAUQQFGHEQS-LBPRGKRZSA-N 1 2 307.419 1.159 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@@]1(C)CCC[C@@H]1C ZINC001272105253 844617543 /nfs/dbraw/zinc/61/75/43/844617543.db2.gz LLLYRHUPHMCKLB-YOEHRIQHSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@@]1(C)CCC[C@@H]1C ZINC001272105253 844617550 /nfs/dbraw/zinc/61/75/50/844617550.db2.gz LLLYRHUPHMCKLB-YOEHRIQHSA-N 1 2 309.454 1.505 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)C#CC2CC2)cc1C#N ZINC001269228855 841382665 /nfs/dbraw/zinc/38/26/65/841382665.db2.gz BFRNOMDMCBDRTD-QGZVFWFLSA-N 1 2 323.396 1.671 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)C#CC2CC2)cc1C#N ZINC001269228855 841382679 /nfs/dbraw/zinc/38/26/79/841382679.db2.gz BFRNOMDMCBDRTD-QGZVFWFLSA-N 1 2 323.396 1.671 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H]1CC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001269239710 841404775 /nfs/dbraw/zinc/40/47/75/841404775.db2.gz CSOZDFOCXHYXBO-GOEBONIOSA-N 1 2 319.449 1.093 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001269239710 841404779 /nfs/dbraw/zinc/40/47/79/841404779.db2.gz CSOZDFOCXHYXBO-GOEBONIOSA-N 1 2 319.449 1.093 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@H](C)C(=O)NCCCC ZINC001269273502 841451364 /nfs/dbraw/zinc/45/13/64/841451364.db2.gz YPAMJMSFZSWCAM-ZIAGYGMSSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCCCC ZINC001269273502 841451370 /nfs/dbraw/zinc/45/13/70/841451370.db2.gz YPAMJMSFZSWCAM-ZIAGYGMSSA-N 1 2 309.454 1.694 20 30 DDEDLO Cc1cc(CC(=O)N2CCC([N@H+](CC#N)CC3CC3)CC2)no1 ZINC001269615851 841826316 /nfs/dbraw/zinc/82/63/16/841826316.db2.gz ALQXCUHUAAMXFE-UHFFFAOYSA-N 1 2 316.405 1.752 20 30 DDEDLO Cc1cc(CC(=O)N2CCC([N@@H+](CC#N)CC3CC3)CC2)no1 ZINC001269615851 841826327 /nfs/dbraw/zinc/82/63/27/841826327.db2.gz ALQXCUHUAAMXFE-UHFFFAOYSA-N 1 2 316.405 1.752 20 30 DDEDLO C=CCNC(=O)[C@H]1CC12CCN(C(=O)CCc1c[nH]c[nH+]1)CC2 ZINC001269661288 841911729 /nfs/dbraw/zinc/91/17/29/841911729.db2.gz LFDPBZPHDUSJNS-CQSZACIVSA-N 1 2 316.405 1.273 20 30 DDEDLO C=CCNC(=O)[C@H]1CC12CCN(C(=O)CCc1c[nH+]c[nH]1)CC2 ZINC001269661288 841911740 /nfs/dbraw/zinc/91/17/40/841911740.db2.gz LFDPBZPHDUSJNS-CQSZACIVSA-N 1 2 316.405 1.273 20 30 DDEDLO CC(C)(C(=O)N1CCC[C@@H](CCNCC#N)C1)c1c[nH+]c[nH]1 ZINC001269789930 842049492 /nfs/dbraw/zinc/04/94/92/842049492.db2.gz BBUYSXYHVHWKPM-ZDUSSCGKSA-N 1 2 303.410 1.429 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cn(CC)nc2C)C1 ZINC001269856072 842108376 /nfs/dbraw/zinc/10/83/76/842108376.db2.gz SBXOPHRYQIYTGX-UHFFFAOYSA-N 1 2 306.410 1.170 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H]1C[NH2+]Cc1cnsn1 ZINC001270034086 842241747 /nfs/dbraw/zinc/24/17/47/842241747.db2.gz GESGYLYGXKPBPA-AWEZNQCLSA-N 1 2 306.435 1.812 20 30 DDEDLO Cc1ncsc1C[N@@H+]1C[C@@H]2COC[C@H](C1)N2C(=O)[C@@H](C)C#N ZINC001270506560 842627052 /nfs/dbraw/zinc/62/70/52/842627052.db2.gz AOGUENKOAMGICZ-WCFLWFBJSA-N 1 2 320.418 1.023 20 30 DDEDLO Cc1ncsc1C[N@H+]1C[C@@H]2COC[C@H](C1)N2C(=O)[C@@H](C)C#N ZINC001270506560 842627055 /nfs/dbraw/zinc/62/70/55/842627055.db2.gz AOGUENKOAMGICZ-WCFLWFBJSA-N 1 2 320.418 1.023 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@@H+](Cc2ncc(CC)o2)C1 ZINC001270666533 842796681 /nfs/dbraw/zinc/79/66/81/842796681.db2.gz XLOOIFCRYBRQOP-QGZVFWFLSA-N 1 2 321.421 1.910 20 30 DDEDLO C=CCCOCC(=O)N[C@]1(C)CC[N@H+](Cc2ncc(CC)o2)C1 ZINC001270666533 842796689 /nfs/dbraw/zinc/79/66/89/842796689.db2.gz XLOOIFCRYBRQOP-QGZVFWFLSA-N 1 2 321.421 1.910 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@]1(O)CC[N@H+](Cc2ccn(C)n2)C1 ZINC001271184060 843390446 /nfs/dbraw/zinc/39/04/46/843390446.db2.gz HZANTYLMDZSTTR-IAGOWNOFSA-N 1 2 320.437 1.075 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@]1(O)CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001271184060 843390450 /nfs/dbraw/zinc/39/04/50/843390450.db2.gz HZANTYLMDZSTTR-IAGOWNOFSA-N 1 2 320.437 1.075 20 30 DDEDLO CC#CCCCC(=O)NCC1(O)C[NH+](Cc2ccc(C)cc2)C1 ZINC001271342900 843509159 /nfs/dbraw/zinc/50/91/59/843509159.db2.gz GNQCLAGCVVVYCZ-UHFFFAOYSA-N 1 2 314.429 1.852 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3cc(C)ccc3O)C2)OCC1=O ZINC001272622246 846419882 /nfs/dbraw/zinc/41/98/82/846419882.db2.gz AKLYCDITZFEFMW-UHFFFAOYSA-N 1 2 302.374 1.300 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](OC)C2CC2)C1 ZINC001149646092 861777718 /nfs/dbraw/zinc/77/77/18/861777718.db2.gz GRCWNJYFVBJXBW-UONOGXRCSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H](OC)C2CC2)C1 ZINC001149646092 861777730 /nfs/dbraw/zinc/77/77/30/861777730.db2.gz GRCWNJYFVBJXBW-UONOGXRCSA-N 1 2 316.829 1.371 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1nc(C)c[nH]1 ZINC001272895447 847603937 /nfs/dbraw/zinc/60/39/37/847603937.db2.gz YBABXEKHRRIXGS-HNNXBMFYSA-N 1 2 320.437 1.895 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nc(C)c[nH]1 ZINC001272895447 847603942 /nfs/dbraw/zinc/60/39/42/847603942.db2.gz YBABXEKHRRIXGS-HNNXBMFYSA-N 1 2 320.437 1.895 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2nccnc2N)C1 ZINC001034143328 848027641 /nfs/dbraw/zinc/02/76/41/848027641.db2.gz RTSJNIAOHLKUTO-LLVKDONJSA-N 1 2 309.801 1.396 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2nccnc2N)C1 ZINC001034143328 848027650 /nfs/dbraw/zinc/02/76/50/848027650.db2.gz RTSJNIAOHLKUTO-LLVKDONJSA-N 1 2 309.801 1.396 20 30 DDEDLO C=C(C)C[N@@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744768 861864627 /nfs/dbraw/zinc/86/46/27/861864627.db2.gz DDXZZYQKZIYPQZ-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[N@H+]1CCCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149744768 861864640 /nfs/dbraw/zinc/86/46/40/861864640.db2.gz DDXZZYQKZIYPQZ-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149744768 861864654 /nfs/dbraw/zinc/86/46/54/861864654.db2.gz DDXZZYQKZIYPQZ-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[N@H+]1CCCO[C@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149744768 861864667 /nfs/dbraw/zinc/86/46/67/861864667.db2.gz DDXZZYQKZIYPQZ-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@H]1CCCCN(CC#N)C1 ZINC001034269881 848213465 /nfs/dbraw/zinc/21/34/65/848213465.db2.gz WGCUSHLGBZHDOM-AWEZNQCLSA-N 1 2 302.378 1.127 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@H](NC(=O)C#CC(C)(C)C)CC2)[nH]1 ZINC001327126019 861910903 /nfs/dbraw/zinc/91/09/03/861910903.db2.gz NHFLRZVYRZWMEG-AWEZNQCLSA-N 1 2 317.437 1.633 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@H](NC(=O)C#CC(C)(C)C)CC2)[nH]1 ZINC001327126019 861910926 /nfs/dbraw/zinc/91/09/26/861910926.db2.gz NHFLRZVYRZWMEG-AWEZNQCLSA-N 1 2 317.437 1.633 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1COC2(C[NH+](CCOCC)C2)C1 ZINC001327387816 862129189 /nfs/dbraw/zinc/12/91/89/862129189.db2.gz QMXLNYIABSNNNW-HNNXBMFYSA-N 1 2 308.422 1.176 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)CCCOC(C)C)CO2 ZINC001327429002 862169626 /nfs/dbraw/zinc/16/96/26/862169626.db2.gz YPSJJEJKNXTCMP-HNNXBMFYSA-N 1 2 310.438 1.727 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CCC[N@@H+](Cc2nncn2C)CC1 ZINC001273458453 850845201 /nfs/dbraw/zinc/84/52/01/850845201.db2.gz VUCASWNQGUFVGP-AWEZNQCLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CCC[N@H+](Cc2nncn2C)CC1 ZINC001273458453 850845205 /nfs/dbraw/zinc/84/52/05/850845205.db2.gz VUCASWNQGUFVGP-AWEZNQCLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC001327464380 862195052 /nfs/dbraw/zinc/19/50/52/862195052.db2.gz PJJGLFCLDHSKTO-GASCZTMLSA-N 1 2 316.405 1.441 20 30 DDEDLO CN(CCC[N@H+](C)Cc1nnc(C2CC2)[nH]1)C(=O)C#CC1CC1 ZINC001273507972 851041100 /nfs/dbraw/zinc/04/11/00/851041100.db2.gz QTDPOLGFKHOAIR-UHFFFAOYSA-N 1 2 315.421 1.376 20 30 DDEDLO CN(CCC[N@@H+](C)Cc1nnc(C2CC2)[nH]1)C(=O)C#CC1CC1 ZINC001273507972 851041113 /nfs/dbraw/zinc/04/11/13/851041113.db2.gz QTDPOLGFKHOAIR-UHFFFAOYSA-N 1 2 315.421 1.376 20 30 DDEDLO CN1C[C@]2(CC1=O)COCC[N@H+](Cc1cccc(C#N)c1F)C2 ZINC001273641540 851177179 /nfs/dbraw/zinc/17/71/79/851177179.db2.gz GDAOZEMIHYFXKA-KRWDZBQOSA-N 1 2 317.364 1.378 20 30 DDEDLO CN1C[C@]2(CC1=O)COCC[N@@H+](Cc1cccc(C#N)c1F)C2 ZINC001273641540 851177182 /nfs/dbraw/zinc/17/71/82/851177182.db2.gz GDAOZEMIHYFXKA-KRWDZBQOSA-N 1 2 317.364 1.378 20 30 DDEDLO CC(C)COCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H](C)C#N)O2 ZINC001273653555 851188367 /nfs/dbraw/zinc/18/83/67/851188367.db2.gz AZMHQTNTDOVOJH-GJZGRUSLSA-N 1 2 323.437 1.168 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2nc(C)c(C)s2)C1=O ZINC001273656938 851192468 /nfs/dbraw/zinc/19/24/68/851192468.db2.gz HHPJHHFLLYEOQN-INIZCTEOSA-N 1 2 303.431 1.960 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2nc(C)c(C)s2)C1=O ZINC001273656938 851192477 /nfs/dbraw/zinc/19/24/77/851192477.db2.gz HHPJHHFLLYEOQN-INIZCTEOSA-N 1 2 303.431 1.960 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)C[C@@H](C)COC)O2 ZINC001273669128 851204456 /nfs/dbraw/zinc/20/44/56/851204456.db2.gz NOVRGQLJIUTVNN-HUUCEWRRSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+]([C@H](C)C(=O)OC(C)(C)C)C2)OCC1=O ZINC001273706353 851250141 /nfs/dbraw/zinc/25/01/41/851250141.db2.gz IAELFTSKJZVCHT-CXAGYDPISA-N 1 2 324.421 1.206 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+]([C@H](C)C(=O)OC(C)(C)C)C2)OCC1=O ZINC001273706353 851250147 /nfs/dbraw/zinc/25/01/47/851250147.db2.gz IAELFTSKJZVCHT-CXAGYDPISA-N 1 2 324.421 1.206 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](CCc3ccc(O)cc3)C2)OCC1=O ZINC001273735705 851288650 /nfs/dbraw/zinc/28/86/50/851288650.db2.gz ZFVHKQRAUAKIOP-UHFFFAOYSA-N 1 2 316.401 1.424 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2c(O)ccc(F)c2F)C1=O ZINC001274148858 851975797 /nfs/dbraw/zinc/97/57/97/851975797.db2.gz ZAEHHRUPRJMZKT-QGZVFWFLSA-N 1 2 320.339 1.871 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2c(O)ccc(F)c2F)C1=O ZINC001274148858 851975804 /nfs/dbraw/zinc/97/58/04/851975804.db2.gz ZAEHHRUPRJMZKT-QGZVFWFLSA-N 1 2 320.339 1.871 20 30 DDEDLO CC#CCCCC(=O)N1CCN(C2C[NH+](C[C@@H](F)CC)C2)CC1 ZINC001274474347 852309468 /nfs/dbraw/zinc/30/94/68/852309468.db2.gz KEYASEAKKQUERW-INIZCTEOSA-N 1 2 323.456 1.757 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@@H](C)[N@H+](CC)Cc2ccon2)nn1 ZINC001155688543 862379974 /nfs/dbraw/zinc/37/99/74/862379974.db2.gz WBFSKNQLWXCTSX-GFCCVEGCSA-N 1 2 318.381 1.093 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2ccon2)nn1 ZINC001155688543 862379992 /nfs/dbraw/zinc/37/99/92/862379992.db2.gz WBFSKNQLWXCTSX-GFCCVEGCSA-N 1 2 318.381 1.093 20 30 DDEDLO C=C(C)CC[NH+]1CC(CCO)(NC(=O)c2cc(Cl)no2)C1 ZINC001276101458 854765551 /nfs/dbraw/zinc/76/55/51/854765551.db2.gz VYJABLXCIXDUOK-UHFFFAOYSA-N 1 2 313.785 1.461 20 30 DDEDLO CCCC[C@@H](CNCC#N)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001276256183 855067265 /nfs/dbraw/zinc/06/72/65/855067265.db2.gz VGSXHJIPVNPTCT-KBPBESRZSA-N 1 2 303.410 1.234 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C)CCC1)C2 ZINC001110896134 855109206 /nfs/dbraw/zinc/10/92/06/855109206.db2.gz JZIPYWUDOSKKJL-MCIONIFRSA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C)CCC1)C2 ZINC001110896134 855109209 /nfs/dbraw/zinc/10/92/09/855109209.db2.gz JZIPYWUDOSKKJL-MCIONIFRSA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([C@@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001111212962 855187076 /nfs/dbraw/zinc/18/70/76/855187076.db2.gz INUKXCHRHLEKHK-TZMCWYRMSA-N 1 2 304.394 1.637 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCn1cncn1)C2 ZINC001111236460 855207987 /nfs/dbraw/zinc/20/79/87/855207987.db2.gz VUIHQPWQTIDXEB-MCIONIFRSA-N 1 2 323.828 1.532 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCn1cncn1)C2 ZINC001111236460 855207992 /nfs/dbraw/zinc/20/79/92/855207992.db2.gz VUIHQPWQTIDXEB-MCIONIFRSA-N 1 2 323.828 1.532 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)C#CC(C)(C)C)CC[N@@H+]1Cc1cnn(C)n1 ZINC001328262652 862835757 /nfs/dbraw/zinc/83/57/57/862835757.db2.gz YNWKATGDEKFFQG-ZIAGYGMSSA-N 1 2 317.437 1.334 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)C#CC(C)(C)C)CC[N@H+]1Cc1cnn(C)n1 ZINC001328262652 862835764 /nfs/dbraw/zinc/83/57/64/862835764.db2.gz YNWKATGDEKFFQG-ZIAGYGMSSA-N 1 2 317.437 1.334 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[N@@H+]1Cc1cnn(C)n1 ZINC001328262647 862835798 /nfs/dbraw/zinc/83/57/98/862835798.db2.gz YNWKATGDEKFFQG-KBPBESRZSA-N 1 2 317.437 1.334 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)C#CC(C)(C)C)CC[N@H+]1Cc1cnn(C)n1 ZINC001328262647 862835810 /nfs/dbraw/zinc/83/58/10/862835810.db2.gz YNWKATGDEKFFQG-KBPBESRZSA-N 1 2 317.437 1.334 20 30 DDEDLO N#Cc1ccc(SCC(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)cc1 ZINC001413426190 856793347 /nfs/dbraw/zinc/79/33/47/856793347.db2.gz KEFIHJHYBXFNHK-ZDUSSCGKSA-N 1 2 316.386 1.093 20 30 DDEDLO N#Cc1ccc(SCC(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)cc1 ZINC001413426190 856793351 /nfs/dbraw/zinc/79/33/51/856793351.db2.gz KEFIHJHYBXFNHK-ZDUSSCGKSA-N 1 2 316.386 1.093 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2ncc3c(c2C#N)CCCC3)C[NH2+]1 ZINC001156249947 862866741 /nfs/dbraw/zinc/86/67/41/862866741.db2.gz WHJROBFGTCTOKU-FZMZJTMJSA-N 1 2 300.362 1.147 20 30 DDEDLO COc1ncc(NC(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1C#N ZINC001413648175 857283334 /nfs/dbraw/zinc/28/33/34/857283334.db2.gz FNSPUHBQLCYXOL-NSHDSACASA-N 1 2 312.333 1.295 20 30 DDEDLO CC(C)c1noc(C[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001072551391 857435071 /nfs/dbraw/zinc/43/50/71/857435071.db2.gz FLZVTRHZQKNBHR-GFCCVEGCSA-N 1 2 317.393 1.387 20 30 DDEDLO CC(C)c1noc(C[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001072551391 857435078 /nfs/dbraw/zinc/43/50/78/857435078.db2.gz FLZVTRHZQKNBHR-GFCCVEGCSA-N 1 2 317.393 1.387 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072800796 857717545 /nfs/dbraw/zinc/71/75/45/857717545.db2.gz FJMZSGCMGAUVCD-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCCC(=O)N1CCC(C)(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001073227031 858188570 /nfs/dbraw/zinc/18/85/70/858188570.db2.gz UJYJAIAKHSVAHY-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCC(=O)N1CCOC2(CC[NH+](Cc3cnon3)CC2)C1 ZINC001328480987 862997628 /nfs/dbraw/zinc/99/76/28/862997628.db2.gz WBQXNMBVVSOPRO-UHFFFAOYSA-N 1 2 320.393 1.229 20 30 DDEDLO O=C(OCC#Cc1csc(C[NH+]2CCOCC2)c1)C1CC1 ZINC001123367784 859197944 /nfs/dbraw/zinc/19/79/44/859197944.db2.gz BZPAYABEVVUNMU-UHFFFAOYSA-N 1 2 305.399 1.885 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(C(C)C)no1 ZINC001124496224 859721865 /nfs/dbraw/zinc/72/18/65/859721865.db2.gz YMNHSWSOLXWZNM-CHWSQXEVSA-N 1 2 324.425 1.941 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCO[C@@]3(CCOC3)C2)cc1OC ZINC001138343741 860065117 /nfs/dbraw/zinc/06/51/17/860065117.db2.gz OSBWPDJDNZXAEW-SFHVURJKSA-N 1 2 317.385 1.699 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCO[C@@]3(CCOC3)C2)cc1OC ZINC001138343741 860065129 /nfs/dbraw/zinc/06/51/29/860065129.db2.gz OSBWPDJDNZXAEW-SFHVURJKSA-N 1 2 317.385 1.699 20 30 DDEDLO C=C(C)COc1ccccc1C[NH+]1CCN(CC(N)=O)CC1 ZINC001138665304 860156470 /nfs/dbraw/zinc/15/64/70/860156470.db2.gz MDNHQQRBPTXFEG-UHFFFAOYSA-N 1 2 303.406 1.244 20 30 DDEDLO COCC#CC(=O)NCc1cc[nH+]c(N2CCCCCC2)c1 ZINC001328635270 863121095 /nfs/dbraw/zinc/12/10/95/863121095.db2.gz BCHMRPKSXJGVAU-UHFFFAOYSA-N 1 2 301.390 1.728 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CCN(C(=O)c1[nH]nc3cc(C#N)ccc31)CC2 ZINC001156878211 863410045 /nfs/dbraw/zinc/41/00/45/863410045.db2.gz FVXMKOXQDUSVRT-UHFFFAOYSA-N 1 2 320.356 1.707 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CCN(C(=O)c1n[nH]c3cc(C#N)ccc31)CC2 ZINC001156878211 863410049 /nfs/dbraw/zinc/41/00/49/863410049.db2.gz FVXMKOXQDUSVRT-UHFFFAOYSA-N 1 2 320.356 1.707 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccs1 ZINC001153012492 863651474 /nfs/dbraw/zinc/65/14/74/863651474.db2.gz MOLSZGJVGISGEZ-DOMZBBRYSA-N 1 2 311.451 1.797 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccs1 ZINC001153012492 863651479 /nfs/dbraw/zinc/65/14/79/863651479.db2.gz MOLSZGJVGISGEZ-DOMZBBRYSA-N 1 2 311.451 1.797 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)oc1C ZINC001153018708 863654913 /nfs/dbraw/zinc/65/49/13/863654913.db2.gz NWPBGLGDGPIQDY-SJKOYZFVSA-N 1 2 323.437 1.945 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)oc1C ZINC001153018708 863654920 /nfs/dbraw/zinc/65/49/20/863654920.db2.gz NWPBGLGDGPIQDY-SJKOYZFVSA-N 1 2 323.437 1.945 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)CC2=CCCCC2)C1 ZINC001329973547 863987869 /nfs/dbraw/zinc/98/78/69/863987869.db2.gz VKKCVRKMDYGVHV-AWEZNQCLSA-N 1 2 317.433 1.063 20 30 DDEDLO CCc1nc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C2)cs1 ZINC001206662894 864174010 /nfs/dbraw/zinc/17/40/10/864174010.db2.gz VPJOBPLUOHTNGT-NQBHXWOUSA-N 1 2 306.435 1.802 20 30 DDEDLO CCc1nc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C2)cs1 ZINC001206662894 864174017 /nfs/dbraw/zinc/17/40/17/864174017.db2.gz VPJOBPLUOHTNGT-NQBHXWOUSA-N 1 2 306.435 1.802 20 30 DDEDLO COc1cc(N2CCN(c3nccc(C)c3C#N)CC2)cc[nH+]1 ZINC001158097822 864425614 /nfs/dbraw/zinc/42/56/14/864425614.db2.gz GRYJHYXXWMDYBF-UHFFFAOYSA-N 1 2 309.373 1.992 20 30 DDEDLO Cn1c[nH+]cc1CN1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001204386388 864891922 /nfs/dbraw/zinc/89/19/22/864891922.db2.gz AAJOSWKXZQPKSN-GFCCVEGCSA-N 1 2 305.382 1.365 20 30 DDEDLO C=C(C)CCC(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001159032484 865057900 /nfs/dbraw/zinc/05/79/00/865057900.db2.gz IDFHVZJQNLVTSM-UHFFFAOYSA-N 1 2 308.430 1.380 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001332197455 865656627 /nfs/dbraw/zinc/65/66/27/865656627.db2.gz CBPZAFIMHBJGKP-HUUCEWRRSA-N 1 2 320.437 1.342 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001332197455 865656632 /nfs/dbraw/zinc/65/66/32/865656632.db2.gz CBPZAFIMHBJGKP-HUUCEWRRSA-N 1 2 320.437 1.342 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001160131288 865689002 /nfs/dbraw/zinc/68/90/02/865689002.db2.gz KCVFGESOWVQCPN-AWEZNQCLSA-N 1 2 317.389 1.351 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001160131288 865689006 /nfs/dbraw/zinc/68/90/06/865689006.db2.gz KCVFGESOWVQCPN-AWEZNQCLSA-N 1 2 317.389 1.351 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1ccc2c(C#N)c[nH]c2n1 ZINC001160692067 866032742 /nfs/dbraw/zinc/03/27/42/866032742.db2.gz BNFLXNBXXDRYIK-ZDUSSCGKSA-N 1 2 324.344 1.364 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1ncc(C)cc1/C=N/O ZINC001160697288 866042404 /nfs/dbraw/zinc/04/24/04/866042404.db2.gz VGBPICZQVAPURJ-BPGOPIFBSA-N 1 2 317.349 1.128 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)Cc1n[nH]c2c1CCCC2 ZINC001323227135 866448105 /nfs/dbraw/zinc/44/81/05/866448105.db2.gz RPMBJZUCERUNLH-ZDUSSCGKSA-N 1 2 300.406 1.045 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)Cc1n[nH]c2c1CCCC2 ZINC001323227135 866448112 /nfs/dbraw/zinc/44/81/12/866448112.db2.gz RPMBJZUCERUNLH-ZDUSSCGKSA-N 1 2 300.406 1.045 20 30 DDEDLO Cc1nnc(C[NH2+]C2(CNC(=O)CC#Cc3ccccc3)CC2)[nH]1 ZINC001323617051 866726559 /nfs/dbraw/zinc/72/65/59/866726559.db2.gz RNVNCBMIINQUQP-UHFFFAOYSA-N 1 2 323.400 1.293 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cccn3nccc23)C1 ZINC001323944517 866965044 /nfs/dbraw/zinc/96/50/44/866965044.db2.gz ATLOEVIGRXUHBI-UHFFFAOYSA-N 1 2 314.389 1.293 20 30 DDEDLO C#CCN(C(=O)[C@H](C)C#N)C1CC[NH+](Cc2nccs2)CC1 ZINC001324378231 867242578 /nfs/dbraw/zinc/24/25/78/867242578.db2.gz WDMPTWWLICBPLT-CYBMUJFWSA-N 1 2 316.430 1.729 20 30 DDEDLO C#CC[N@@H+](Cc1nc2ccccc2c(=O)n1CC#N)CC1CC1 ZINC001324383296 867245378 /nfs/dbraw/zinc/24/53/78/867245378.db2.gz ZSCZBIGHUPLWRK-UHFFFAOYSA-N 1 2 306.369 1.765 20 30 DDEDLO C#CC[N@H+](Cc1nc2ccccc2c(=O)n1CC#N)CC1CC1 ZINC001324383296 867245394 /nfs/dbraw/zinc/24/53/94/867245394.db2.gz ZSCZBIGHUPLWRK-UHFFFAOYSA-N 1 2 306.369 1.765 20 30 DDEDLO C#CC[C@H](NC(=O)C(=O)N1CC[NH+](CC)CC1)c1ccccc1 ZINC001324533452 867338578 /nfs/dbraw/zinc/33/85/78/867338578.db2.gz TYGXJXVOJWBIEF-INIZCTEOSA-N 1 2 313.401 1.031 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1C[N@H+](CCF)CCC1(F)F ZINC001325049090 867718458 /nfs/dbraw/zinc/71/84/58/867718458.db2.gz ABYZUYPCVOIXSI-NEPJUHHUSA-N 1 2 306.328 1.068 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1C[N@@H+](CCF)CCC1(F)F ZINC001325049090 867718469 /nfs/dbraw/zinc/71/84/69/867718469.db2.gz ABYZUYPCVOIXSI-NEPJUHHUSA-N 1 2 306.328 1.068 20 30 DDEDLO CC(=O)NC[C@]1(O)CC[N@H+](CC#Cc2ccccc2Cl)C1 ZINC001325056072 867726741 /nfs/dbraw/zinc/72/67/41/867726741.db2.gz XEBLQPHCFHKRFC-MRXNPFEDSA-N 1 2 306.793 1.264 20 30 DDEDLO CC(=O)NC[C@]1(O)CC[N@@H+](CC#Cc2ccccc2Cl)C1 ZINC001325056072 867726750 /nfs/dbraw/zinc/72/67/50/867726750.db2.gz XEBLQPHCFHKRFC-MRXNPFEDSA-N 1 2 306.793 1.264 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)C[N@H+](C)CC(=O)Nc1ccccc1 ZINC001322148822 868006259 /nfs/dbraw/zinc/00/62/59/868006259.db2.gz SBRWZXUGUSGXTK-OAHLLOKOSA-N 1 2 315.417 1.721 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)C[N@@H+](C)CC(=O)Nc1ccccc1 ZINC001322148822 868006271 /nfs/dbraw/zinc/00/62/71/868006271.db2.gz SBRWZXUGUSGXTK-OAHLLOKOSA-N 1 2 315.417 1.721 20 30 DDEDLO C#CCN(C(=O)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1)C(C)C ZINC001335123724 868080547 /nfs/dbraw/zinc/08/05/47/868080547.db2.gz ZMLUEYMIASLPHS-HUUCEWRRSA-N 1 2 316.405 1.163 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC001336345820 868885533 /nfs/dbraw/zinc/88/55/33/868885533.db2.gz DMRYBOSXUTZGDE-ZBFHGGJFSA-N 1 2 315.417 1.760 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC001336345820 868885539 /nfs/dbraw/zinc/88/55/39/868885539.db2.gz DMRYBOSXUTZGDE-ZBFHGGJFSA-N 1 2 315.417 1.760 20 30 DDEDLO C#Cc1ccc(NC(=O)C(=O)N2CC[C@H](c3[nH+]ccn3C)C2)cc1 ZINC001336632576 869061467 /nfs/dbraw/zinc/06/14/67/869061467.db2.gz FDQWYMMGCCTFNH-AWEZNQCLSA-N 1 2 322.368 1.356 20 30 DDEDLO CO/N=C/c1cc(N[C@@H]2C[NH2+][C@H](C(=O)OC)C2)cc(F)c1F ZINC001164312785 869116227 /nfs/dbraw/zinc/11/62/27/869116227.db2.gz VULYGRPOBQGTKP-GGNOQQDRSA-N 1 2 313.304 1.261 20 30 DDEDLO C=CCCC(=O)N1CCC[C@](CO)([NH2+]Cc2nnc(C)s2)C1 ZINC001281103330 869353698 /nfs/dbraw/zinc/35/36/98/869353698.db2.gz FBFGIPNWJZSHSQ-HNNXBMFYSA-N 1 2 324.450 1.256 20 30 DDEDLO N#Cc1cnc(N2CCc3onc(Cn4cc[nH+]c4)c3C2)c(F)c1 ZINC001165548235 869637932 /nfs/dbraw/zinc/63/79/32/869637932.db2.gz SYTZPZQUKQBYRU-UHFFFAOYSA-N 1 2 324.319 1.888 20 30 DDEDLO C=CCCC1(C(=O)NCCC[NH2+]Cc2noc(CC)n2)CC1 ZINC001165913593 869762445 /nfs/dbraw/zinc/76/24/45/869762445.db2.gz FBZMFJAMEXTYHC-UHFFFAOYSA-N 1 2 306.410 1.974 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H](C)N(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001338108343 869809073 /nfs/dbraw/zinc/80/90/73/869809073.db2.gz OFTMXDCESKQYFM-ZFWWWQNUSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H](C)N(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001338108343 869809087 /nfs/dbraw/zinc/80/90/87/869809087.db2.gz OFTMXDCESKQYFM-ZFWWWQNUSA-N 1 2 318.421 1.804 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001338282416 869901726 /nfs/dbraw/zinc/90/17/26/869901726.db2.gz JSJJVPSANLHXGJ-LSDHHAIUSA-N 1 2 316.405 1.182 20 30 DDEDLO C#Cc1cncc(C(=O)NCCC[NH2+][C@H](C)c2noc(C)n2)c1 ZINC001166299011 869944397 /nfs/dbraw/zinc/94/43/97/869944397.db2.gz GNOHBLHQCPVPNI-LLVKDONJSA-N 1 2 313.361 1.225 20 30 DDEDLO C#CCN(CC#CC)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1C ZINC001338490171 870009980 /nfs/dbraw/zinc/00/99/80/870009980.db2.gz PXMRPAMUIRRPQR-HNNXBMFYSA-N 1 2 315.421 1.060 20 30 DDEDLO C#CCN(CC#CC)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1C ZINC001338490171 870009992 /nfs/dbraw/zinc/00/99/92/870009992.db2.gz PXMRPAMUIRRPQR-HNNXBMFYSA-N 1 2 315.421 1.060 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](CC)CNC(=O)Cc1[nH]c[nH+]c1C ZINC001297457022 870054099 /nfs/dbraw/zinc/05/40/99/870054099.db2.gz HPILQQZQPHYLNR-CYBMUJFWSA-N 1 2 320.437 1.874 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@H]2C[C@@H]2C1 ZINC001338772713 870157926 /nfs/dbraw/zinc/15/79/26/870157926.db2.gz LYIKZHIFCLWIFY-MQYQWHSLSA-N 1 2 303.410 1.048 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@H]2C[C@@H]2C1 ZINC001338772713 870157931 /nfs/dbraw/zinc/15/79/31/870157931.db2.gz LYIKZHIFCLWIFY-MQYQWHSLSA-N 1 2 303.410 1.048 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCCC1(C[NH2+]Cc2nnn(C)n2)CC1 ZINC001166693646 870159559 /nfs/dbraw/zinc/15/95/59/870159559.db2.gz IJGXABDFSPNFLM-CHWSQXEVSA-N 1 2 320.441 1.044 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001298022669 870241780 /nfs/dbraw/zinc/24/17/80/870241780.db2.gz XVRDMLWCDRGXES-PBHICJAKSA-N 1 2 320.437 1.812 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH2+][C@H](c2ccc(Cl)cc2)C1 ZINC001339117212 870354163 /nfs/dbraw/zinc/35/41/63/870354163.db2.gz SDJGBBSMKHFLLR-HNNXBMFYSA-N 1 2 306.793 1.853 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)OC(C)(C)C)n2C)CC1 ZINC001339766728 870677811 /nfs/dbraw/zinc/67/78/11/870677811.db2.gz NDOSSJJYGUAUAR-CYBMUJFWSA-N 1 2 305.426 1.446 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](C)CC(=O)N[C@H]1CCCC[C@@H]1C ZINC001317458122 870874085 /nfs/dbraw/zinc/87/40/85/870874085.db2.gz CFVATDFRMYQUIM-GJZGRUSLSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](C)CC(=O)N[C@H]1CCCC[C@@H]1C ZINC001317458122 870874099 /nfs/dbraw/zinc/87/40/99/870874099.db2.gz CFVATDFRMYQUIM-GJZGRUSLSA-N 1 2 323.481 1.942 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H](C)CC1CCCCC1 ZINC001317468932 870888979 /nfs/dbraw/zinc/88/89/79/870888979.db2.gz KFESUNSXVRSCOJ-OAHLLOKOSA-N 1 2 321.465 1.390 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)[C@H](C)CC1CCCCC1 ZINC001317468932 870888989 /nfs/dbraw/zinc/88/89/89/870888989.db2.gz KFESUNSXVRSCOJ-OAHLLOKOSA-N 1 2 321.465 1.390 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN1C(=O)Cc1c[nH+]cn1C ZINC001299096683 870897042 /nfs/dbraw/zinc/89/70/42/870897042.db2.gz WDKCXGDMMMRGCN-GUYCJALGSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1CCC[N@@H+]1CCS(C)(=O)=O ZINC001317508028 870950686 /nfs/dbraw/zinc/95/06/86/870950686.db2.gz LWMGAXGOQBILHC-KGLIPLIRSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1CCC[N@H+]1CCS(C)(=O)=O ZINC001317508028 870950693 /nfs/dbraw/zinc/95/06/93/870950693.db2.gz LWMGAXGOQBILHC-KGLIPLIRSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@H+](CC(=O)Nc2nccs2)C1 ZINC001317524313 870989534 /nfs/dbraw/zinc/98/95/34/870989534.db2.gz VTDPHRZJBPIIOF-GFCCVEGCSA-N 1 2 322.434 1.486 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CC[N@@H+](CC(=O)Nc2nccs2)C1 ZINC001317524313 870989544 /nfs/dbraw/zinc/98/95/44/870989544.db2.gz VTDPHRZJBPIIOF-GFCCVEGCSA-N 1 2 322.434 1.486 20 30 DDEDLO C=CCN(c1nnc([C@@H]2C[C@@H](O)C[N@H+]2C)n1CC=C)C1CC1 ZINC001340258860 870993073 /nfs/dbraw/zinc/99/30/73/870993073.db2.gz PDJJGVHNZGLVHT-KGLIPLIRSA-N 1 2 303.410 1.356 20 30 DDEDLO C=CCN(c1nnc([C@@H]2C[C@@H](O)C[N@@H+]2C)n1CC=C)C1CC1 ZINC001340258860 870993080 /nfs/dbraw/zinc/99/30/80/870993080.db2.gz PDJJGVHNZGLVHT-KGLIPLIRSA-N 1 2 303.410 1.356 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)/C=C/c1ccc(F)cc1 ZINC001302306349 871054564 /nfs/dbraw/zinc/05/45/64/871054564.db2.gz HSXULFMZVFNXOR-QZERPFSJSA-N 1 2 302.349 1.713 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)/C=C/c1ccc(F)cc1 ZINC001302306349 871054583 /nfs/dbraw/zinc/05/45/83/871054583.db2.gz HSXULFMZVFNXOR-QZERPFSJSA-N 1 2 302.349 1.713 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)C2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001340703721 871294441 /nfs/dbraw/zinc/29/44/41/871294441.db2.gz ZMMTYCGNFKRDLA-XEZPLFJOSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCn1c(N2CC[C@H](C)C2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001340703721 871294457 /nfs/dbraw/zinc/29/44/57/871294457.db2.gz ZMMTYCGNFKRDLA-XEZPLFJOSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(-c3ccccn3)n[nH]2)C1=O ZINC001308721063 871520814 /nfs/dbraw/zinc/52/08/14/871520814.db2.gz PFOGKILUODCJKA-MRXNPFEDSA-N 1 2 311.389 1.690 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(-c3ccccn3)n[nH]2)C1=O ZINC001308721063 871520831 /nfs/dbraw/zinc/52/08/31/871520831.db2.gz PFOGKILUODCJKA-MRXNPFEDSA-N 1 2 311.389 1.690 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)c2cc(Cl)cn2C)CC1 ZINC001317820543 871546347 /nfs/dbraw/zinc/54/63/47/871546347.db2.gz UITQBWNJGSOTKO-UHFFFAOYSA-N 1 2 322.840 1.001 20 30 DDEDLO C#CCOCC[N@@H+](C)Cc1nc(=O)c2c3c(sc2[nH]1)CCC3 ZINC001341258081 871580811 /nfs/dbraw/zinc/58/08/11/871580811.db2.gz NVNDQGGNPXUJKH-UHFFFAOYSA-N 1 2 317.414 1.967 20 30 DDEDLO C#CCOCC[N@H+](C)Cc1nc(=O)c2c3c(sc2[nH]1)CCC3 ZINC001341258081 871580830 /nfs/dbraw/zinc/58/08/30/871580830.db2.gz NVNDQGGNPXUJKH-UHFFFAOYSA-N 1 2 317.414 1.967 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NC(C)C)C1 ZINC001317943117 871640787 /nfs/dbraw/zinc/64/07/87/871640787.db2.gz AAKMXBKPJUXMOP-LSDHHAIUSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC(C)C)C1 ZINC001317943117 871640806 /nfs/dbraw/zinc/64/08/06/871640806.db2.gz AAKMXBKPJUXMOP-LSDHHAIUSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C(C)[C@H](CC(=O)NC1C[NH+](CCn2cccn2)C1)OCC ZINC001318029558 871701814 /nfs/dbraw/zinc/70/18/14/871701814.db2.gz ZCYKEZLVAKKGHT-HNNXBMFYSA-N 1 2 306.410 1.055 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001226617553 882541968 /nfs/dbraw/zinc/54/19/68/882541968.db2.gz JDMJAJSFSCDSQY-VIFPVBQESA-N 1 2 300.200 1.635 20 30 DDEDLO C#CCOc1cccc(NC(=O)NCC[N@H+]2CCOC[C@@H]2C)c1 ZINC001318392986 871984947 /nfs/dbraw/zinc/98/49/47/871984947.db2.gz AGRCODFRPOKEMH-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CCOc1cccc(NC(=O)NCC[N@@H+]2CCOC[C@@H]2C)c1 ZINC001318392986 871984955 /nfs/dbraw/zinc/98/49/55/871984955.db2.gz AGRCODFRPOKEMH-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO C=CCCC(=O)N(CC)[C@@H]1CC[N@@H+]([C@@H](C)c2nncn2C)C1 ZINC001318457842 872071058 /nfs/dbraw/zinc/07/10/58/872071058.db2.gz KRVDCQNIYIUUTF-UONOGXRCSA-N 1 2 305.426 1.765 20 30 DDEDLO C=CCCC(=O)N(CC)[C@@H]1CC[N@H+]([C@@H](C)c2nncn2C)C1 ZINC001318457842 872071073 /nfs/dbraw/zinc/07/10/73/872071073.db2.gz KRVDCQNIYIUUTF-UONOGXRCSA-N 1 2 305.426 1.765 20 30 DDEDLO C#CCCCC(=O)N1CCc2sc(C[NH2+]CCF)nc2C1 ZINC001316810473 872087691 /nfs/dbraw/zinc/08/76/91/872087691.db2.gz UQOLYSLUCRFRBR-UHFFFAOYSA-N 1 2 309.410 1.890 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC)[C@@H]1CC[N@H+](Cc2cc(C)on2)C1 ZINC001318471687 872098542 /nfs/dbraw/zinc/09/85/42/872098542.db2.gz UGKZJUIOWABHSF-GDBMZVCRSA-N 1 2 319.405 1.444 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC)[C@@H]1CC[N@@H+](Cc2cc(C)on2)C1 ZINC001318471687 872098563 /nfs/dbraw/zinc/09/85/63/872098563.db2.gz UGKZJUIOWABHSF-GDBMZVCRSA-N 1 2 319.405 1.444 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](CCCNC(=O)[C@@H](C)C#N)C2CC2)n1 ZINC001316914773 872348222 /nfs/dbraw/zinc/34/82/22/872348222.db2.gz RQTSNKFYTTWZLI-WDEREUQCSA-N 1 2 305.382 1.569 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](CCCNC(=O)[C@@H](C)C#N)C2CC2)n1 ZINC001316914773 872348239 /nfs/dbraw/zinc/34/82/39/872348239.db2.gz RQTSNKFYTTWZLI-WDEREUQCSA-N 1 2 305.382 1.569 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)Cc2occc2C)C1 ZINC001316947627 872442456 /nfs/dbraw/zinc/44/24/56/872442456.db2.gz MDJQAFUFRSQOEN-AWEZNQCLSA-N 1 2 319.405 1.013 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)Cc2occc2C)C1 ZINC001316947627 872442459 /nfs/dbraw/zinc/44/24/59/872442459.db2.gz MDJQAFUFRSQOEN-AWEZNQCLSA-N 1 2 319.405 1.013 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2ccnc(Cl)c2)C[C@H]1C ZINC001206606461 872472832 /nfs/dbraw/zinc/47/28/32/872472832.db2.gz BWVZKUNCPJMYAA-TZMCWYRMSA-N 1 2 323.824 1.874 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2ccnc(Cl)c2)C[C@H]1C ZINC001206606461 872472839 /nfs/dbraw/zinc/47/28/39/872472839.db2.gz BWVZKUNCPJMYAA-TZMCWYRMSA-N 1 2 323.824 1.874 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C)nc2)C1 ZINC001319294643 872540212 /nfs/dbraw/zinc/54/02/12/872540212.db2.gz BHBYKCCFZPWVIP-INIZCTEOSA-N 1 2 319.405 1.023 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C)nc2)C1 ZINC001319294643 872540226 /nfs/dbraw/zinc/54/02/26/872540226.db2.gz BHBYKCCFZPWVIP-INIZCTEOSA-N 1 2 319.405 1.023 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)C[C@@H](C)n2cccn2)C1 ZINC001319331281 872581539 /nfs/dbraw/zinc/58/15/39/872581539.db2.gz XLYCBYIHZMPPHY-CABCVRRESA-N 1 2 306.410 1.227 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)C[C@@H](C)n2cccn2)C1 ZINC001319331281 872581548 /nfs/dbraw/zinc/58/15/48/872581548.db2.gz XLYCBYIHZMPPHY-CABCVRRESA-N 1 2 306.410 1.227 20 30 DDEDLO C=C(C)Cn1c(N2CCC[C@@H]2C)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001343403756 872610290 /nfs/dbraw/zinc/61/02/90/872610290.db2.gz HGXCCVMLCOFUCY-VBQJREDUSA-N 1 2 319.453 1.755 20 30 DDEDLO C=C(C)Cn1c(N2CCC[C@@H]2C)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001343403756 872610301 /nfs/dbraw/zinc/61/03/01/872610301.db2.gz HGXCCVMLCOFUCY-VBQJREDUSA-N 1 2 319.453 1.755 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)cn2)C[C@H]1C ZINC001206915815 872762223 /nfs/dbraw/zinc/76/22/23/872762223.db2.gz KTVWMZKFGSVAJW-CPUCHLNUSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2ncc(C)cn2)C[C@H]1C ZINC001206915815 872762233 /nfs/dbraw/zinc/76/22/33/872762233.db2.gz KTVWMZKFGSVAJW-CPUCHLNUSA-N 1 2 318.421 1.049 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC=C(Cl)Cl)C[C@H]1C ZINC001206964790 872855786 /nfs/dbraw/zinc/85/57/86/872855786.db2.gz ATVLEUZYYJYJEW-IJLUTSLNSA-N 1 2 319.232 1.780 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](CC=C(Cl)Cl)C[C@H]1C ZINC001206964790 872855782 /nfs/dbraw/zinc/85/57/82/872855782.db2.gz ATVLEUZYYJYJEW-IJLUTSLNSA-N 1 2 319.232 1.780 20 30 DDEDLO N#Cc1ccc(F)c(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)c1 ZINC001276532583 873260342 /nfs/dbraw/zinc/26/03/42/873260342.db2.gz WRFWSJRRARQMTG-UHFFFAOYSA-N 1 2 324.359 1.983 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@@H]1CCCO1 ZINC001345322431 873355447 /nfs/dbraw/zinc/35/54/47/873355447.db2.gz QLFXIOOXZAKVIZ-GJZGRUSLSA-N 1 2 317.437 1.683 20 30 DDEDLO C#CCN(CC)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@@H]1CCCO1 ZINC001345322431 873355459 /nfs/dbraw/zinc/35/54/59/873355459.db2.gz QLFXIOOXZAKVIZ-GJZGRUSLSA-N 1 2 317.437 1.683 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001207685210 873479349 /nfs/dbraw/zinc/47/93/49/873479349.db2.gz YTLISELDELGWMW-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C#CCCCCC(=O)NC1CC[NH+](Cc2nonc2C)CC1 ZINC001226951672 882740879 /nfs/dbraw/zinc/74/08/79/882740879.db2.gz GNMBJFCCKXECDE-UHFFFAOYSA-N 1 2 304.394 1.652 20 30 DDEDLO C#CCCC[N@H+]1CCc2c(ncn2C(C)C)[C@H]1CNC(C)=O ZINC001209262980 874763443 /nfs/dbraw/zinc/76/34/43/874763443.db2.gz DRHXFBPMCXZKPH-MRXNPFEDSA-N 1 2 302.422 1.913 20 30 DDEDLO C#CCCC[N@@H+]1CCc2c(ncn2C(C)C)[C@H]1CNC(C)=O ZINC001209262980 874763449 /nfs/dbraw/zinc/76/34/49/874763449.db2.gz DRHXFBPMCXZKPH-MRXNPFEDSA-N 1 2 302.422 1.913 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001349081244 874961115 /nfs/dbraw/zinc/96/11/15/874961115.db2.gz NPCIEAZXFWYPJT-LBPRGKRZSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001349081244 874961128 /nfs/dbraw/zinc/96/11/28/874961128.db2.gz NPCIEAZXFWYPJT-LBPRGKRZSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001349084051 874964819 /nfs/dbraw/zinc/96/48/19/874964819.db2.gz XBMSBDHMGBSIOL-VXGBXAGGSA-N 1 2 304.394 1.348 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001349084051 874964828 /nfs/dbraw/zinc/96/48/28/874964828.db2.gz XBMSBDHMGBSIOL-VXGBXAGGSA-N 1 2 304.394 1.348 20 30 DDEDLO C=CCO[C@H]1CO[C@]2(C1)CCC[N@@H+](Cc1cnccc1N)C2 ZINC001209729808 875082441 /nfs/dbraw/zinc/08/24/41/875082441.db2.gz CWNHKXCBFJKVOQ-WBVHZDCISA-N 1 2 303.406 1.820 20 30 DDEDLO C=CCO[C@H]1CO[C@]2(C1)CCC[N@H+](Cc1cnccc1N)C2 ZINC001209729808 875082445 /nfs/dbraw/zinc/08/24/45/875082445.db2.gz CWNHKXCBFJKVOQ-WBVHZDCISA-N 1 2 303.406 1.820 20 30 DDEDLO COc1cc(O)cc(OC)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001227251031 882931484 /nfs/dbraw/zinc/93/14/84/882931484.db2.gz CPDHISDNDLSTLR-RBRDRRMGSA-N 1 2 307.346 1.401 20 30 DDEDLO COc1cc(O)cc(OC)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001227251031 882931495 /nfs/dbraw/zinc/93/14/95/882931495.db2.gz CPDHISDNDLSTLR-RBRDRRMGSA-N 1 2 307.346 1.401 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+](Cc2ncc(CC)o2)CC1 ZINC001227299326 882959501 /nfs/dbraw/zinc/95/95/01/882959501.db2.gz PQKONSOSVIHSDV-CYBMUJFWSA-N 1 2 319.405 1.356 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213260897 875862824 /nfs/dbraw/zinc/86/28/24/875862824.db2.gz WEOIIIVXFPPASU-HUUCEWRRSA-N 1 2 308.422 1.054 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213260897 875862831 /nfs/dbraw/zinc/86/28/31/875862831.db2.gz WEOIIIVXFPPASU-HUUCEWRRSA-N 1 2 308.422 1.054 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213319944 875896096 /nfs/dbraw/zinc/89/60/96/875896096.db2.gz HOXCKRJRKPSNPH-BZUAXINKSA-N 1 2 308.422 1.054 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213319944 875896108 /nfs/dbraw/zinc/89/61/08/875896108.db2.gz HOXCKRJRKPSNPH-BZUAXINKSA-N 1 2 308.422 1.054 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001213390379 875918584 /nfs/dbraw/zinc/91/85/84/875918584.db2.gz ZHOYLPXQAMCZTL-BFYDXBDKSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001213390379 875918588 /nfs/dbraw/zinc/91/85/88/875918588.db2.gz ZHOYLPXQAMCZTL-BFYDXBDKSA-N 1 2 321.421 1.901 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+](Cc2nocc2C)CC1 ZINC001227342814 882976373 /nfs/dbraw/zinc/97/63/73/882976373.db2.gz YWCIOSMZKMFTMN-CYBMUJFWSA-N 1 2 305.378 1.102 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001350945238 875975005 /nfs/dbraw/zinc/97/50/05/875975005.db2.gz HYYLXGWDWZMDRS-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C[N@@H+]1CCO[C@@H](COc2ccc3cc(C#N)c(=O)oc3c2)C1 ZINC001227381959 882990854 /nfs/dbraw/zinc/99/08/54/882990854.db2.gz HEFHQCUXWHNHMC-CQSZACIVSA-N 1 2 300.314 1.374 20 30 DDEDLO C[N@H+]1CCO[C@@H](COc2ccc3cc(C#N)c(=O)oc3c2)C1 ZINC001227381959 882990868 /nfs/dbraw/zinc/99/08/68/882990868.db2.gz HEFHQCUXWHNHMC-CQSZACIVSA-N 1 2 300.314 1.374 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1OC ZINC001213963844 876116045 /nfs/dbraw/zinc/11/60/45/876116045.db2.gz MGGQPSCRGXNBEH-NTQVKLLNSA-N 1 2 302.802 1.147 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1OC ZINC001213963844 876116049 /nfs/dbraw/zinc/11/60/49/876116049.db2.gz MGGQPSCRGXNBEH-NTQVKLLNSA-N 1 2 302.802 1.147 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001214741444 876455337 /nfs/dbraw/zinc/45/53/37/876455337.db2.gz LPJKWXDOGQPKDD-CHWSQXEVSA-N 1 2 322.409 1.509 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](Oc2ncnc3[nH]cnc32)C1 ZINC001227536085 883064922 /nfs/dbraw/zinc/06/49/22/883064922.db2.gz XGHPIDJHNBNOFC-CQSZACIVSA-N 1 2 320.356 1.878 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](Oc2ncnc3[nH]cnc32)C1 ZINC001227536085 883064941 /nfs/dbraw/zinc/06/49/41/883064941.db2.gz XGHPIDJHNBNOFC-CQSZACIVSA-N 1 2 320.356 1.878 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001353780959 877559188 /nfs/dbraw/zinc/55/91/88/877559188.db2.gz HTDKPYPIHMKGAB-KFWWJZLASA-N 1 2 318.421 1.954 20 30 DDEDLO CC(C)[C@@H](C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)[NH+](C)C ZINC001413867852 877565344 /nfs/dbraw/zinc/56/53/44/877565344.db2.gz HDQQURJABUTPIT-ZDUSSCGKSA-N 1 2 312.377 1.868 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cc(C)no3)C[C@@H]21 ZINC001218566077 877573842 /nfs/dbraw/zinc/57/38/42/877573842.db2.gz IWGBRHYHDDGDRG-JKSUJKDBSA-N 1 2 319.405 1.751 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cc(C)no3)C[C@@H]21 ZINC001218566077 877573859 /nfs/dbraw/zinc/57/38/59/877573859.db2.gz IWGBRHYHDDGDRG-JKSUJKDBSA-N 1 2 319.405 1.751 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001219143321 877958554 /nfs/dbraw/zinc/95/85/54/877958554.db2.gz IEDJWKYSWIYRRH-CVEARBPZSA-N 1 2 317.364 1.325 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001219143321 877958562 /nfs/dbraw/zinc/95/85/62/877958562.db2.gz IEDJWKYSWIYRRH-CVEARBPZSA-N 1 2 317.364 1.325 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@@H]1O ZINC001219532230 878305770 /nfs/dbraw/zinc/30/57/70/878305770.db2.gz PLISQHPJZDRUIV-CVEARBPZSA-N 1 2 320.820 1.805 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@@H]1O ZINC001219532230 878305791 /nfs/dbraw/zinc/30/57/91/878305791.db2.gz PLISQHPJZDRUIV-CVEARBPZSA-N 1 2 320.820 1.805 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001287642513 912367823 /nfs/dbraw/zinc/36/78/23/912367823.db2.gz GLXBTGFPVFKXSC-LBPRGKRZSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001287642513 912367840 /nfs/dbraw/zinc/36/78/40/912367840.db2.gz GLXBTGFPVFKXSC-LBPRGKRZSA-N 1 2 306.410 1.518 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1([NH2+]Cc2ncc(C(C)(C)C)o2)CC1 ZINC001380070002 878714679 /nfs/dbraw/zinc/71/46/79/878714679.db2.gz VAYLEHBJQBLGGG-NSHDSACASA-N 1 2 304.394 1.870 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)CC1CCC1 ZINC001355920591 878778314 /nfs/dbraw/zinc/77/83/14/878778314.db2.gz UDGOTPVFHGPVDP-HUUCEWRRSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)CC1CCC1 ZINC001355920591 878778326 /nfs/dbraw/zinc/77/83/26/878778326.db2.gz UDGOTPVFHGPVDP-HUUCEWRRSA-N 1 2 319.453 1.828 20 30 DDEDLO C=C(C)Cn1c(N(C)CC(C)C)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001355947210 878790341 /nfs/dbraw/zinc/79/03/41/878790341.db2.gz RGNXFDFIGZILIH-WMLDXEAASA-N 1 2 321.469 1.858 20 30 DDEDLO C=C(C)Cn1c(N(C)CC(C)C)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001355947210 878790355 /nfs/dbraw/zinc/79/03/55/878790355.db2.gz RGNXFDFIGZILIH-WMLDXEAASA-N 1 2 321.469 1.858 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220201318 878813032 /nfs/dbraw/zinc/81/30/32/878813032.db2.gz MJBONHKHHUCTNQ-YUTCNCBUSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220201318 878813037 /nfs/dbraw/zinc/81/30/37/878813037.db2.gz MJBONHKHHUCTNQ-YUTCNCBUSA-N 1 2 307.394 1.247 20 30 DDEDLO CC(C)N(CCCNC(=O)[C@@H](C)C#N)C(=O)CCc1[nH]cc[nH+]1 ZINC001356676314 879187992 /nfs/dbraw/zinc/18/79/92/879187992.db2.gz KWAREUOROHAJCJ-ZDUSSCGKSA-N 1 2 319.409 1.245 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)CCC(C)(C)C)[C@@H](O)C1 ZINC001220764524 879238310 /nfs/dbraw/zinc/23/83/10/879238310.db2.gz NVASWXHTVAUHFI-XHSDSOJGSA-N 1 2 324.465 1.260 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)CCC(C)(C)C)[C@@H](O)C1 ZINC001220764524 879238322 /nfs/dbraw/zinc/23/83/22/879238322.db2.gz NVASWXHTVAUHFI-XHSDSOJGSA-N 1 2 324.465 1.260 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@]1(C)CC[N@H+](Cc2noc3c2CCCC3)C1 ZINC001380348152 879412200 /nfs/dbraw/zinc/41/22/00/879412200.db2.gz OHQJKLUCVQNXLW-YVEFUNNKSA-N 1 2 316.405 1.794 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@]1(C)CC[N@@H+](Cc2noc3c2CCCC3)C1 ZINC001380348152 879412209 /nfs/dbraw/zinc/41/22/09/879412209.db2.gz OHQJKLUCVQNXLW-YVEFUNNKSA-N 1 2 316.405 1.794 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)CCc2cccc(F)c2)[C@@H](O)C1 ZINC001221025256 879453000 /nfs/dbraw/zinc/45/30/00/879453000.db2.gz PPRFJBVEZJTQLZ-XYPHTWIQSA-N 1 2 318.392 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)CCc2cccc(F)c2)[C@@H](O)C1 ZINC001221025256 879453007 /nfs/dbraw/zinc/45/30/07/879453007.db2.gz PPRFJBVEZJTQLZ-XYPHTWIQSA-N 1 2 318.392 1.189 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001357344447 879926635 /nfs/dbraw/zinc/92/66/35/879926635.db2.gz VBLIWPNQHOVKHP-GJZGRUSLSA-N 1 2 316.405 1.088 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C3(C(C)C)CC3)[C@@H]2C1 ZINC001221798889 880091220 /nfs/dbraw/zinc/09/12/20/880091220.db2.gz IOOAIXOGSYTUPK-HUUCEWRRSA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C3(C(C)C)CC3)[C@@H]2C1 ZINC001221798889 880091230 /nfs/dbraw/zinc/09/12/30/880091230.db2.gz IOOAIXOGSYTUPK-HUUCEWRRSA-N 1 2 319.449 1.258 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@@H+](CC(=O)NC)C[C@H]32)CCC1 ZINC001221797912 880091531 /nfs/dbraw/zinc/09/15/31/880091531.db2.gz NBRGNJVHQDLKEU-ZIAGYGMSSA-N 1 2 305.422 1.012 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@H+](CC(=O)NC)C[C@H]32)CCC1 ZINC001221797912 880091541 /nfs/dbraw/zinc/09/15/41/880091541.db2.gz NBRGNJVHQDLKEU-ZIAGYGMSSA-N 1 2 305.422 1.012 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H]1C ZINC001287878936 912541459 /nfs/dbraw/zinc/54/14/59/912541459.db2.gz BGTNIJMHUCOICW-GXTWGEPZSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001358303815 880396344 /nfs/dbraw/zinc/39/63/44/880396344.db2.gz XJTYUDXEUHIDSV-NWDGAFQWSA-N 1 2 306.410 1.421 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001358303815 880396358 /nfs/dbraw/zinc/39/63/58/880396358.db2.gz XJTYUDXEUHIDSV-NWDGAFQWSA-N 1 2 306.410 1.421 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCC[N@H+](C)Cc1nc(CC2CC2)no1 ZINC001380950401 880726726 /nfs/dbraw/zinc/72/67/26/880726726.db2.gz TXLMHVGHOYRQJN-GFCCVEGCSA-N 1 2 319.409 1.462 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCC[N@@H+](C)Cc1nc(CC2CC2)no1 ZINC001380950401 880726740 /nfs/dbraw/zinc/72/67/40/880726740.db2.gz TXLMHVGHOYRQJN-GFCCVEGCSA-N 1 2 319.409 1.462 20 30 DDEDLO C#CCCCCC(=O)NCC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001222873532 880731153 /nfs/dbraw/zinc/73/11/53/880731153.db2.gz ZECGVEFJNJIQDT-UHFFFAOYSA-N 1 2 318.421 1.900 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)C(C)(C)C(C)C)C1=O ZINC001276859505 880777839 /nfs/dbraw/zinc/77/78/39/880777839.db2.gz BNHQTDYMJJSHBR-LSDHHAIUSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)C(C)(C)C(C)C)C1=O ZINC001276859505 880777844 /nfs/dbraw/zinc/77/78/44/880777844.db2.gz BNHQTDYMJJSHBR-LSDHHAIUSA-N 1 2 321.465 1.646 20 30 DDEDLO COCC#CC[NH+]1CCC(CNC(=O)C(F)C(F)(F)F)CC1 ZINC001223265110 880893063 /nfs/dbraw/zinc/89/30/63/880893063.db2.gz AHWJSCJGBFPNDG-LBPRGKRZSA-N 1 2 324.318 1.365 20 30 DDEDLO COCC#CC[NH+]1CCC(CNC(=O)[C@H](F)C(F)(F)F)CC1 ZINC001223265110 880893073 /nfs/dbraw/zinc/89/30/73/880893073.db2.gz AHWJSCJGBFPNDG-LBPRGKRZSA-N 1 2 324.318 1.365 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@](C)(NC(=O)Cn2cc[nH+]c2)C1 ZINC001358798105 880932441 /nfs/dbraw/zinc/93/24/41/880932441.db2.gz AKEDANRBSFHONV-INIZCTEOSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1(C)CC=CC1 ZINC001276915933 881077214 /nfs/dbraw/zinc/07/72/14/881077214.db2.gz GEHBEKLLHZIJIS-UONOGXRCSA-N 1 2 305.422 1.224 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1(C)CC=CC1 ZINC001276915933 881077228 /nfs/dbraw/zinc/07/72/28/881077228.db2.gz GEHBEKLLHZIJIS-UONOGXRCSA-N 1 2 305.422 1.224 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)C2(C)CC(=C)C2)C1=O ZINC001276923527 881109975 /nfs/dbraw/zinc/10/99/75/881109975.db2.gz JQSUDYBEECCYMK-HUUCEWRRSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)C2(C)CC(=C)C2)C1=O ZINC001276923527 881109989 /nfs/dbraw/zinc/10/99/89/881109989.db2.gz JQSUDYBEECCYMK-HUUCEWRRSA-N 1 2 317.433 1.320 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CCCC(F)(F)C1 ZINC001276923105 881110229 /nfs/dbraw/zinc/11/02/29/881110229.db2.gz FZRMKKYIOPEIQX-KGLIPLIRSA-N 1 2 314.376 1.652 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CCCC(F)(F)C1 ZINC001276923105 881110237 /nfs/dbraw/zinc/11/02/37/881110237.db2.gz FZRMKKYIOPEIQX-KGLIPLIRSA-N 1 2 314.376 1.652 20 30 DDEDLO CC#CCCCC(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224157603 881247540 /nfs/dbraw/zinc/24/75/40/881247540.db2.gz ILLCEAMWYLCVFQ-UHFFFAOYSA-N 1 2 317.437 1.337 20 30 DDEDLO CCOC(=O)c1cnn2cc(C[NH2+]CC(C)(C)C#N)cnc12 ZINC001414136022 881271245 /nfs/dbraw/zinc/27/12/45/881271245.db2.gz NZPHMRVCTFEGPD-UHFFFAOYSA-N 1 2 301.350 1.545 20 30 DDEDLO CCOC(=O)c1ccccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001228074481 883320984 /nfs/dbraw/zinc/32/09/84/883320984.db2.gz QNYIAQKVYZQNFC-UCHAVSLVSA-N 1 2 303.358 1.855 20 30 DDEDLO CCOC(=O)c1ccccc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001228074481 883321004 /nfs/dbraw/zinc/32/10/04/883321004.db2.gz QNYIAQKVYZQNFC-UCHAVSLVSA-N 1 2 303.358 1.855 20 30 DDEDLO C[C@@]1(C(=O)N2CCC([N@H+](CC#N)CC3CC3)CC2)CCOC1 ZINC001277393848 884017534 /nfs/dbraw/zinc/01/75/34/884017534.db2.gz RIAMKJMPUSGLJM-QGZVFWFLSA-N 1 2 305.422 1.640 20 30 DDEDLO C[C@@]1(C(=O)N2CCC([N@@H+](CC#N)CC3CC3)CC2)CCOC1 ZINC001277393848 884017551 /nfs/dbraw/zinc/01/75/51/884017551.db2.gz RIAMKJMPUSGLJM-QGZVFWFLSA-N 1 2 305.422 1.640 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2ncc(C)o2)CC1 ZINC001230407817 884475763 /nfs/dbraw/zinc/47/57/63/884475763.db2.gz ZGQSICQLWTUOAP-ZDUSSCGKSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1CCC1 ZINC001230572063 884603101 /nfs/dbraw/zinc/60/31/01/884603101.db2.gz IFVGNYVCXCQQJZ-INIZCTEOSA-N 1 2 319.449 1.520 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1CCC1 ZINC001230572063 884603112 /nfs/dbraw/zinc/60/31/12/884603112.db2.gz IFVGNYVCXCQQJZ-INIZCTEOSA-N 1 2 319.449 1.520 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC1CC1 ZINC001230585431 884620393 /nfs/dbraw/zinc/62/03/93/884620393.db2.gz ROVQPYIQVOTDOD-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC1CC1 ZINC001230585431 884620400 /nfs/dbraw/zinc/62/04/00/884620400.db2.gz ROVQPYIQVOTDOD-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO Cc1oncc1C[N@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815997 884915254 /nfs/dbraw/zinc/91/52/54/884915254.db2.gz WAKFODODOXYHLU-ZDUSSCGKSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1oncc1C[N@@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815997 884915273 /nfs/dbraw/zinc/91/52/73/884915273.db2.gz WAKFODODOXYHLU-ZDUSSCGKSA-N 1 2 308.407 1.273 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC=C(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001288445465 912899581 /nfs/dbraw/zinc/89/95/81/912899581.db2.gz HAYBQPSWVUTYBA-UHFFFAOYSA-N 1 2 316.405 1.439 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H](C)C(C)C ZINC001231113545 885244407 /nfs/dbraw/zinc/24/44/07/885244407.db2.gz IJVOYBQSQQGVCC-HUUCEWRRSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H](C)C(C)C ZINC001231113545 885244429 /nfs/dbraw/zinc/24/44/29/885244429.db2.gz IJVOYBQSQQGVCC-HUUCEWRRSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cccc(OC)n1 ZINC001231225299 885403512 /nfs/dbraw/zinc/40/35/12/885403512.db2.gz CBOCEXYBWMJOIX-HNNXBMFYSA-N 1 2 319.405 1.326 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cccc(OC)n1 ZINC001231225299 885403515 /nfs/dbraw/zinc/40/35/15/885403515.db2.gz CBOCEXYBWMJOIX-HNNXBMFYSA-N 1 2 319.405 1.326 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnn(C(C)(C)C)c1 ZINC001231293856 885477188 /nfs/dbraw/zinc/47/71/88/885477188.db2.gz RZRSCINTEPAXGK-HIFRSBDPSA-N 1 2 317.437 1.830 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnn(C(C)(C)C)c1 ZINC001231293856 885477191 /nfs/dbraw/zinc/47/71/91/885477191.db2.gz RZRSCINTEPAXGK-HIFRSBDPSA-N 1 2 317.437 1.830 20 30 DDEDLO CC(C)[C@@H](CCNC(=O)[C@@H](C)C#N)NC(=O)CCn1cc[nH+]c1 ZINC001383562698 886037901 /nfs/dbraw/zinc/03/79/01/886037901.db2.gz QIFRNLNAWXKRLN-UONOGXRCSA-N 1 2 319.409 1.080 20 30 DDEDLO O=C([C@H]1CCn2c[nH+]cc2C1)N1CCC2(CC1)CC(=O)C=CO2 ZINC001363740296 887113485 /nfs/dbraw/zinc/11/34/85/887113485.db2.gz FVCMZAOBRABLKG-ZDUSSCGKSA-N 1 2 315.373 1.310 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C/C ZINC001233572196 887120957 /nfs/dbraw/zinc/12/09/57/887120957.db2.gz ZUARUZYKLXELFQ-WPBGYSLVSA-N 1 2 319.449 1.686 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C/C ZINC001233572196 887120965 /nfs/dbraw/zinc/12/09/65/887120965.db2.gz ZUARUZYKLXELFQ-WPBGYSLVSA-N 1 2 319.449 1.686 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NCCC ZINC001233762063 887298255 /nfs/dbraw/zinc/29/82/55/887298255.db2.gz QAPQEJJOEABZBY-OAHLLOKOSA-N 1 2 307.438 1.239 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NCCC ZINC001233762063 887298272 /nfs/dbraw/zinc/29/82/72/887298272.db2.gz QAPQEJJOEABZBY-OAHLLOKOSA-N 1 2 307.438 1.239 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196295 887739313 /nfs/dbraw/zinc/73/93/13/887739313.db2.gz QZTTZJFWIUUOAM-ZBFHGGJFSA-N 1 2 319.449 1.189 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234196295 887739337 /nfs/dbraw/zinc/73/93/37/887739337.db2.gz QZTTZJFWIUUOAM-ZBFHGGJFSA-N 1 2 319.449 1.189 20 30 DDEDLO Cn1c[nH+]cc1CNS(=O)(=O)Cc1ccc(F)cc1C#N ZINC001364051689 887801351 /nfs/dbraw/zinc/80/13/51/887801351.db2.gz DKTGRQUSCRJPHK-UHFFFAOYSA-N 1 2 308.338 1.050 20 30 DDEDLO CCc1ccnc(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#CCOC)c1 ZINC001234465963 887994131 /nfs/dbraw/zinc/99/41/31/887994131.db2.gz JWHFCYPOKCXAFO-INIZCTEOSA-N 1 2 315.417 1.440 20 30 DDEDLO CCc1ccnc(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#CCOC)c1 ZINC001234465963 887994143 /nfs/dbraw/zinc/99/41/43/887994143.db2.gz JWHFCYPOKCXAFO-INIZCTEOSA-N 1 2 315.417 1.440 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)c1cc(C)n[nH]1 ZINC001234549278 888079434 /nfs/dbraw/zinc/07/94/34/888079434.db2.gz CBYGJHIYXFDDFO-UHFFFAOYSA-N 1 2 315.215 1.678 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)c1cc(C)n[nH]1 ZINC001234549278 888079445 /nfs/dbraw/zinc/07/94/45/888079445.db2.gz CBYGJHIYXFDDFO-UHFFFAOYSA-N 1 2 315.215 1.678 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC1CCCC1 ZINC001234604728 888135708 /nfs/dbraw/zinc/13/57/08/888135708.db2.gz UPBWKLRCRKPOBW-INIZCTEOSA-N 1 2 321.465 1.934 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC1CCCC1 ZINC001234604728 888135719 /nfs/dbraw/zinc/13/57/19/888135719.db2.gz UPBWKLRCRKPOBW-INIZCTEOSA-N 1 2 321.465 1.934 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2CC(=O)N(C)CC)CCC1 ZINC001235210861 888481909 /nfs/dbraw/zinc/48/19/09/888481909.db2.gz YIOKZHYAEZQEJB-OAHLLOKOSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2CC(=O)N(C)CC)CCC1 ZINC001235210861 888481914 /nfs/dbraw/zinc/48/19/14/888481914.db2.gz YIOKZHYAEZQEJB-OAHLLOKOSA-N 1 2 319.449 1.191 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001235378206 888598983 /nfs/dbraw/zinc/59/89/83/888598983.db2.gz XWXFQIOLXUDONO-INIZCTEOSA-N 1 2 315.417 1.470 20 30 DDEDLO C=CCn1cccc1C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001235378206 888598991 /nfs/dbraw/zinc/59/89/91/888598991.db2.gz XWXFQIOLXUDONO-INIZCTEOSA-N 1 2 315.417 1.470 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]1CN(C)C(=O)Cn1cc[nH+]c1 ZINC001289979435 913309693 /nfs/dbraw/zinc/30/96/93/913309693.db2.gz XIQFMZXKWIQYDH-AWEZNQCLSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](CNC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001290038154 913358644 /nfs/dbraw/zinc/35/86/44/913358644.db2.gz GEGKDYOUBYJUDN-SOUVJXGZSA-N 1 2 318.421 1.811 20 30 DDEDLO N#Cc1cc(C[NH+]2CC3(CN(c4ccccc4)C3=O)C2)ccn1 ZINC001237470054 889650879 /nfs/dbraw/zinc/65/08/79/889650879.db2.gz MBHSFJOYJIOOHS-UHFFFAOYSA-N 1 2 304.353 1.802 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2ccnc(C#N)c2)C1 ZINC001237466144 889651557 /nfs/dbraw/zinc/65/15/57/889651557.db2.gz KNEDTBPZUCBSDK-AWEZNQCLSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2ccnc(C#N)c2)C1 ZINC001237466144 889651560 /nfs/dbraw/zinc/65/15/60/889651560.db2.gz KNEDTBPZUCBSDK-AWEZNQCLSA-N 1 2 300.362 1.830 20 30 DDEDLO CC(C)(C)C[N@@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278113575 889695674 /nfs/dbraw/zinc/69/56/74/889695674.db2.gz XBGCOXJLRIRCIM-UHFFFAOYSA-N 1 2 315.421 1.800 20 30 DDEDLO CC(C)(C)C[N@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278113575 889695683 /nfs/dbraw/zinc/69/56/83/889695683.db2.gz XBGCOXJLRIRCIM-UHFFFAOYSA-N 1 2 315.421 1.800 20 30 DDEDLO COC(=O)C1(C)CC[NH+](Cc2cn3cc(C#N)ccc3n2)CC1 ZINC001237612736 889750004 /nfs/dbraw/zinc/75/00/04/889750004.db2.gz CPNSVINCTZDKOL-UHFFFAOYSA-N 1 2 312.373 1.981 20 30 DDEDLO C#CCN(C(=O)c1cn[nH]c1)C1CC[NH+](Cc2ccon2)CC1 ZINC001278121927 889759427 /nfs/dbraw/zinc/75/94/27/889759427.db2.gz RFAIZZZPJFOPQE-UHFFFAOYSA-N 1 2 313.361 1.138 20 30 DDEDLO C[C@@H](CNC(=O)Nc1cccc(CC#N)c1)[NH+]1CCN(C)CC1 ZINC001365199321 890325847 /nfs/dbraw/zinc/32/58/47/890325847.db2.gz FFMRPNCQSXVUHA-AWEZNQCLSA-N 1 2 315.421 1.510 20 30 DDEDLO C=C(Br)C[N@@H+](CCO)[C@H]1CCCN(C(=O)CC)C1 ZINC001365870530 891762948 /nfs/dbraw/zinc/76/29/48/891762948.db2.gz JWYBJKNXNLPRJK-LBPRGKRZSA-N 1 2 319.243 1.590 20 30 DDEDLO C=C(Br)C[N@H+](CCO)[C@H]1CCCN(C(=O)CC)C1 ZINC001365870530 891762963 /nfs/dbraw/zinc/76/29/63/891762963.db2.gz JWYBJKNXNLPRJK-LBPRGKRZSA-N 1 2 319.243 1.590 20 30 DDEDLO CC(C)C#CC(=O)N1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC001292402569 913660538 /nfs/dbraw/zinc/66/05/38/913660538.db2.gz KWYNWKSKYBYSNS-HNNXBMFYSA-N 1 2 316.405 1.164 20 30 DDEDLO C[N@H+](CCNC(=O)[C@@H]1CCCCO1)Cc1ccccc1C#N ZINC001366519447 893834810 /nfs/dbraw/zinc/83/48/10/893834810.db2.gz FLHURCHISCBXHX-INIZCTEOSA-N 1 2 301.390 1.675 20 30 DDEDLO C[N@@H+](CCNC(=O)[C@@H]1CCCCO1)Cc1ccccc1C#N ZINC001366519447 893834815 /nfs/dbraw/zinc/83/48/15/893834815.db2.gz FLHURCHISCBXHX-INIZCTEOSA-N 1 2 301.390 1.675 20 30 DDEDLO C=CCOC[C@@H](O)C[N@@H+]1CCn2cnc(COCC3CC3)c2C1 ZINC001252464190 895175881 /nfs/dbraw/zinc/17/58/81/895175881.db2.gz VXJFRKRWOYWLOT-HNNXBMFYSA-N 1 2 321.421 1.189 20 30 DDEDLO C=CCOC[C@@H](O)C[N@H+]1CCn2cnc(COCC3CC3)c2C1 ZINC001252464190 895175886 /nfs/dbraw/zinc/17/58/86/895175886.db2.gz VXJFRKRWOYWLOT-HNNXBMFYSA-N 1 2 321.421 1.189 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1CCc2c(nnn2CC2CC2)[C@@H]1COC ZINC001252546879 895258021 /nfs/dbraw/zinc/25/80/21/895258021.db2.gz BWJSZJXBEGQBEF-HOCLYGCPSA-N 1 2 306.410 1.171 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1CCc2c(nnn2CC2CC2)[C@@H]1COC ZINC001252546879 895258030 /nfs/dbraw/zinc/25/80/30/895258030.db2.gz BWJSZJXBEGQBEF-HOCLYGCPSA-N 1 2 306.410 1.171 20 30 DDEDLO CC(C)[C@@H](O)C(=O)NCC1C[NH+](Cc2ccc(C#N)c(F)c2)C1 ZINC001366965812 895450453 /nfs/dbraw/zinc/45/04/53/895450453.db2.gz XGTNGJLSXBPJBB-MRXNPFEDSA-N 1 2 319.380 1.262 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001367143014 895992105 /nfs/dbraw/zinc/99/21/05/895992105.db2.gz RTXNNGZYWRKQKG-ZIAGYGMSSA-N 1 2 322.453 1.231 20 30 DDEDLO CCN(C(=O)[C@H](C)C#N)[C@@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001367143014 895992119 /nfs/dbraw/zinc/99/21/19/895992119.db2.gz RTXNNGZYWRKQKG-ZIAGYGMSSA-N 1 2 322.453 1.231 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)C2(C(F)(F)F)CCC2)C1 ZINC001278882736 897102412 /nfs/dbraw/zinc/10/24/12/897102412.db2.gz AMUJHIVIQLEJKJ-UHFFFAOYSA-N 1 2 306.328 1.458 20 30 DDEDLO COCC#CC[N@H+](C)CCN(C(=O)Cn1cncc1C)C(C)C ZINC001278914917 897294300 /nfs/dbraw/zinc/29/43/00/897294300.db2.gz MZJHWZGAEHGLJC-UHFFFAOYSA-N 1 2 320.437 1.010 20 30 DDEDLO COCC#CC[N@@H+](C)CCN(C(=O)Cn1cncc1C)C(C)C ZINC001278914917 897294320 /nfs/dbraw/zinc/29/43/20/897294320.db2.gz MZJHWZGAEHGLJC-UHFFFAOYSA-N 1 2 320.437 1.010 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C(=O)[C@H]1CC12CCC2)C(C)C ZINC001278922226 897339206 /nfs/dbraw/zinc/33/92/06/897339206.db2.gz MLLQLYTUWDXXIG-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C(=O)[C@H]1CC12CCC2)C(C)C ZINC001278922226 897339197 /nfs/dbraw/zinc/33/91/97/897339197.db2.gz MLLQLYTUWDXXIG-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H](C[NH2+]Cc1nnn(C)n1)C(C)(C)C ZINC001278988064 897693615 /nfs/dbraw/zinc/69/36/15/897693615.db2.gz APSQURIOQUKBLS-CHWSQXEVSA-N 1 2 322.457 1.433 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@](C)(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001299578679 898639952 /nfs/dbraw/zinc/63/99/52/898639952.db2.gz QYQODXQLPCXXKZ-KRWDZBQOSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](C)(NC(=O)[C@@H](C)COC)C1 ZINC001368150826 898819006 /nfs/dbraw/zinc/81/90/06/898819006.db2.gz KAMZWXVDBKZTOV-GWCFXTLKSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H](C)COC)C1 ZINC001368150826 898819022 /nfs/dbraw/zinc/81/90/22/898819022.db2.gz KAMZWXVDBKZTOV-GWCFXTLKSA-N 1 2 319.243 1.758 20 30 DDEDLO C#CCCCC(=O)NC[C@]1(C)CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001299643664 899047002 /nfs/dbraw/zinc/04/70/02/899047002.db2.gz SXWVVEYKGXFKMP-KRWDZBQOSA-N 1 2 316.405 1.111 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)COCc1ncc(C)o1 ZINC001390783249 900169539 /nfs/dbraw/zinc/16/95/39/900169539.db2.gz BBJUVSBBXCVNJG-UHFFFAOYSA-N 1 2 301.774 1.300 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)COCc1ncc(C)o1 ZINC001390783249 900169544 /nfs/dbraw/zinc/16/95/44/900169544.db2.gz BBJUVSBBXCVNJG-UHFFFAOYSA-N 1 2 301.774 1.300 20 30 DDEDLO C[C@@H]1CO[C@@H](C(=O)NCC[N@H+](C)Cc2ccc(C#N)cc2F)C1 ZINC001390793459 900197889 /nfs/dbraw/zinc/19/78/89/900197889.db2.gz OLQIFOHQEOVJRK-BLLLJJGKSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@@H]1CO[C@@H](C(=O)NCC[N@@H+](C)Cc2ccc(C#N)cc2F)C1 ZINC001390793459 900197891 /nfs/dbraw/zinc/19/78/91/900197891.db2.gz OLQIFOHQEOVJRK-BLLLJJGKSA-N 1 2 319.380 1.670 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CCCF)C1=O ZINC001263807744 900720974 /nfs/dbraw/zinc/72/09/74/900720974.db2.gz QJUYGIFKAYDAAN-KGLIPLIRSA-N 1 2 311.401 1.056 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CCCF)C1=O ZINC001263807744 900720988 /nfs/dbraw/zinc/72/09/88/900720988.db2.gz QJUYGIFKAYDAAN-KGLIPLIRSA-N 1 2 311.401 1.056 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](C)C[C@H]1C[NH2+]Cc1nc(C)no1 ZINC001263986715 900859043 /nfs/dbraw/zinc/85/90/43/900859043.db2.gz PFZGUQQVOIFHOL-HIFRSBDPSA-N 1 2 318.421 1.898 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cnns2)[C@@H]1CC ZINC001264051974 900897955 /nfs/dbraw/zinc/89/79/55/900897955.db2.gz XZIIWQBSZRHRLC-KWCYVHTRSA-N 1 2 322.434 1.046 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cnns2)[C@@H]1CC ZINC001264051974 900897961 /nfs/dbraw/zinc/89/79/61/900897961.db2.gz XZIIWQBSZRHRLC-KWCYVHTRSA-N 1 2 322.434 1.046 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CC=CCC1 ZINC001264359858 901039990 /nfs/dbraw/zinc/03/99/90/901039990.db2.gz OVIWZZANGZQOMD-CABCVRRESA-N 1 2 305.422 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CC=CCC1 ZINC001264359858 901039998 /nfs/dbraw/zinc/03/99/98/901039998.db2.gz OVIWZZANGZQOMD-CABCVRRESA-N 1 2 305.422 1.178 20 30 DDEDLO C=CC[NH2+]Cc1nc2c(s1)CCN(C(=O)c1cnc(C)[nH]1)C2 ZINC001264746781 901327986 /nfs/dbraw/zinc/32/79/86/901327986.db2.gz DMEBKMZDSOQJTF-UHFFFAOYSA-N 1 2 317.418 1.649 20 30 DDEDLO CCc1nocc1C[N@@H+]1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001265203604 901696453 /nfs/dbraw/zinc/69/64/53/901696453.db2.gz MXOJSFWLJPMBMD-ZDUSSCGKSA-N 1 2 322.434 1.574 20 30 DDEDLO CCc1nocc1C[N@H+]1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001265203604 901696459 /nfs/dbraw/zinc/69/64/59/901696459.db2.gz MXOJSFWLJPMBMD-ZDUSSCGKSA-N 1 2 322.434 1.574 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC1CN(Cc2cccc(C#N)c2)C1 ZINC001391435682 901732731 /nfs/dbraw/zinc/73/27/31/901732731.db2.gz RAFVKCBCFRFDKN-UHFFFAOYSA-N 1 2 323.400 1.225 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)CCc2cccs2)CC1 ZINC001265266285 901790050 /nfs/dbraw/zinc/79/00/50/901790050.db2.gz HHCVQURLRJCFLC-UHFFFAOYSA-N 1 2 305.447 1.048 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H](F)c2ccccc2)C1 ZINC001265306369 901861047 /nfs/dbraw/zinc/86/10/47/901861047.db2.gz OFSBONSVICOYRL-GDBMZVCRSA-N 1 2 319.380 1.190 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H](F)c2ccccc2)C1 ZINC001265306369 901861054 /nfs/dbraw/zinc/86/10/54/901861054.db2.gz OFSBONSVICOYRL-GDBMZVCRSA-N 1 2 319.380 1.190 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CCC[N@H+](Cc3cnon3)C2)C1 ZINC001265591580 902171421 /nfs/dbraw/zinc/17/14/21/902171421.db2.gz XHUANMMNZLIVTB-ZDUSSCGKSA-N 1 2 304.394 1.754 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CCC[N@@H+](Cc3cnon3)C2)C1 ZINC001265591580 902171428 /nfs/dbraw/zinc/17/14/28/902171428.db2.gz XHUANMMNZLIVTB-ZDUSSCGKSA-N 1 2 304.394 1.754 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CCC[N@H+](Cc3cnon3)C2)C1 ZINC001265591579 902171755 /nfs/dbraw/zinc/17/17/55/902171755.db2.gz XHUANMMNZLIVTB-CYBMUJFWSA-N 1 2 304.394 1.754 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CCC[N@@H+](Cc3cnon3)C2)C1 ZINC001265591579 902171759 /nfs/dbraw/zinc/17/17/59/902171759.db2.gz XHUANMMNZLIVTB-CYBMUJFWSA-N 1 2 304.394 1.754 20 30 DDEDLO CCOCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001369944364 902254588 /nfs/dbraw/zinc/25/45/88/902254588.db2.gz UDCSGIANPUKASI-AWEZNQCLSA-N 1 2 305.353 1.424 20 30 DDEDLO CCOCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001369944364 902254596 /nfs/dbraw/zinc/25/45/96/902254596.db2.gz UDCSGIANPUKASI-AWEZNQCLSA-N 1 2 305.353 1.424 20 30 DDEDLO CCc1noc(C[NH2+]C[C@H]2CCCCN2C(=O)C#CC(C)C)n1 ZINC001265670659 902282994 /nfs/dbraw/zinc/28/29/94/902282994.db2.gz RVFSXAMRQQRMPH-CQSZACIVSA-N 1 2 318.421 1.762 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)C[C@@H]1CCOC1 ZINC001265817298 902438132 /nfs/dbraw/zinc/43/81/32/902438132.db2.gz NRFBQXISRPQVFT-LBPRGKRZSA-N 1 2 319.243 1.760 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)C[C@@H]1CCOC1 ZINC001265817298 902438136 /nfs/dbraw/zinc/43/81/36/902438136.db2.gz NRFBQXISRPQVFT-LBPRGKRZSA-N 1 2 319.243 1.760 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001266215987 903128994 /nfs/dbraw/zinc/12/89/94/903128994.db2.gz OYMXZCHVIOTPSU-HZPDHXFCSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001266215987 903128998 /nfs/dbraw/zinc/12/89/98/903128998.db2.gz OYMXZCHVIOTPSU-HZPDHXFCSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1COCC[N@H+]1CCCC(C)C ZINC001280406251 903641299 /nfs/dbraw/zinc/64/12/99/903641299.db2.gz LRCQIMVXZBVWGX-CVEARBPZSA-N 1 2 310.438 1.278 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1COCC[N@@H+]1CCCC(C)C ZINC001280406251 903641303 /nfs/dbraw/zinc/64/13/03/903641303.db2.gz LRCQIMVXZBVWGX-CVEARBPZSA-N 1 2 310.438 1.278 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001392292942 903790496 /nfs/dbraw/zinc/79/04/96/903790496.db2.gz HTGMANXGMGNKNU-LBPRGKRZSA-N 1 2 317.861 1.680 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001392292942 903790499 /nfs/dbraw/zinc/79/04/99/903790499.db2.gz HTGMANXGMGNKNU-LBPRGKRZSA-N 1 2 317.861 1.680 20 30 DDEDLO C=CCOCC(=O)N1CCOC2(C[NH+](CC/C=C\CCC)C2)C1 ZINC001280890978 904155484 /nfs/dbraw/zinc/15/54/84/904155484.db2.gz GQXWCVOXYRHHAS-SREVYHEPSA-N 1 2 322.449 1.849 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)[C@H](C)COC)CCO2 ZINC001280900561 904168060 /nfs/dbraw/zinc/16/80/60/904168060.db2.gz YJBLTGUXLAEJCN-CABCVRRESA-N 1 2 310.438 1.394 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCOC2(C[NH+](CCCOCC)C2)C1 ZINC001280906700 904176018 /nfs/dbraw/zinc/17/60/18/904176018.db2.gz JFURNJUYZUVOOT-QGZVFWFLSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)/C(C)=C/CC)O2 ZINC001280917700 904186706 /nfs/dbraw/zinc/18/67/06/904186706.db2.gz CRVSQOFPTGDZJS-KBHCHJSJSA-N 1 2 322.449 1.895 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](C)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001280983234 904273289 /nfs/dbraw/zinc/27/32/89/904273289.db2.gz GOOLQGCMFALGDZ-KBPBESRZSA-N 1 2 318.421 1.375 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001281019381 904324464 /nfs/dbraw/zinc/32/44/64/904324464.db2.gz APXUTCKWCDXBNT-JHJVBQTASA-N 1 2 318.421 1.689 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001281019381 904324468 /nfs/dbraw/zinc/32/44/68/904324468.db2.gz APXUTCKWCDXBNT-JHJVBQTASA-N 1 2 318.421 1.689 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)CCOCC ZINC001281062430 904366281 /nfs/dbraw/zinc/36/62/81/904366281.db2.gz HBYSVOGCSZGGIJ-KRWDZBQOSA-N 1 2 300.402 1.589 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)CCOCC ZINC001281062430 904366299 /nfs/dbraw/zinc/36/62/99/904366299.db2.gz HBYSVOGCSZGGIJ-KRWDZBQOSA-N 1 2 300.402 1.589 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)[C@H]1C ZINC001281135210 904454725 /nfs/dbraw/zinc/45/47/25/904454725.db2.gz MDEDHDOTBFEIDD-HUUCEWRRSA-N 1 2 316.405 1.182 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](NC(=O)Cc2c[nH+]cn2C)C[C@H]1C ZINC001281179041 904532793 /nfs/dbraw/zinc/53/27/93/904532793.db2.gz ILWVFRJAXKFJLY-KGLIPLIRSA-N 1 2 318.421 1.425 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001282079721 905520148 /nfs/dbraw/zinc/52/01/48/905520148.db2.gz HGUCIOFSMLYPRF-BETUJISGSA-N 1 2 304.394 1.106 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)CC(C)(C)O ZINC001377390938 921205659 /nfs/dbraw/zinc/20/56/59/921205659.db2.gz YPCUSYSPJAFURD-LLVKDONJSA-N 1 2 319.243 1.637 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)CC(C)(C)O ZINC001377390938 921205669 /nfs/dbraw/zinc/20/56/69/921205669.db2.gz YPCUSYSPJAFURD-LLVKDONJSA-N 1 2 319.243 1.637 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@@H]1CC[C@H]2CN(CC#N)C[C@@H]2C1 ZINC001282957829 906332652 /nfs/dbraw/zinc/33/26/52/906332652.db2.gz IZRVJIBLFPIFPO-SOUVJXGZSA-N 1 2 315.421 1.093 20 30 DDEDLO CN(CCNC(=O)CCn1cc[nH+]c1)Cc1ccccc1C#N ZINC001372061596 906371839 /nfs/dbraw/zinc/37/18/39/906371839.db2.gz RFUKMRUNXLNMBL-UHFFFAOYSA-N 1 2 311.389 1.393 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC001283348809 907190744 /nfs/dbraw/zinc/19/07/44/907190744.db2.gz RDCYYMDTZPBQGZ-ZIAGYGMSSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C(C)CCC(=O)N1CCC(NC(=O)Cc2c[nH+]cn2C)CC1 ZINC001283426300 907340573 /nfs/dbraw/zinc/34/05/73/907340573.db2.gz LMZZORYYQWIBIW-UHFFFAOYSA-N 1 2 318.421 1.426 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCN(C)C(=O)c1nc[nH]n1 ZINC001393637257 907578566 /nfs/dbraw/zinc/57/85/66/907578566.db2.gz IGYZKNFKTJMAIP-UHFFFAOYSA-N 1 2 316.203 1.107 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCN(C)C(=O)c1nc[nH]n1 ZINC001393637257 907578577 /nfs/dbraw/zinc/57/85/77/907578577.db2.gz IGYZKNFKTJMAIP-UHFFFAOYSA-N 1 2 316.203 1.107 20 30 DDEDLO C=C1CC(C)(C(=O)NCCCN(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001283655262 907752492 /nfs/dbraw/zinc/75/24/92/907752492.db2.gz ULXFGKURBAXYAT-UHFFFAOYSA-N 1 2 304.394 1.273 20 30 DDEDLO CCC[N@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1cscn1 ZINC001372689882 907984200 /nfs/dbraw/zinc/98/42/00/907984200.db2.gz OKGAYTUHYXCXPQ-UHFFFAOYSA-N 1 2 317.418 1.985 20 30 DDEDLO CCC[N@@H+](CCNC(=O)c1c[nH]c(C#N)c1)Cc1cscn1 ZINC001372689882 907984221 /nfs/dbraw/zinc/98/42/21/907984221.db2.gz OKGAYTUHYXCXPQ-UHFFFAOYSA-N 1 2 317.418 1.985 20 30 DDEDLO C[C@H](NC(=O)c1ncn[nH]1)[C@H](C)[NH2+]Cc1cc(F)ccc1C#N ZINC001393978074 908439076 /nfs/dbraw/zinc/43/90/76/908439076.db2.gz YMTAVKNXKSKVEM-UWVGGRQHSA-N 1 2 316.340 1.112 20 30 DDEDLO C[C@H](NC(=O)c1nc[nH]n1)[C@H](C)[NH2+]Cc1cc(F)ccc1C#N ZINC001393978074 908439083 /nfs/dbraw/zinc/43/90/83/908439083.db2.gz YMTAVKNXKSKVEM-UWVGGRQHSA-N 1 2 316.340 1.112 20 30 DDEDLO C=CCCCC(=O)NCC1=CC[N@H+](Cc2cnnn2CC)CC1 ZINC001284958784 909824061 /nfs/dbraw/zinc/82/40/61/909824061.db2.gz BLRKSJJONCGVOA-UHFFFAOYSA-N 1 2 317.437 1.903 20 30 DDEDLO C=CCCCC(=O)NCC1=CC[N@@H+](Cc2cnnn2CC)CC1 ZINC001284958784 909824087 /nfs/dbraw/zinc/82/40/87/909824087.db2.gz BLRKSJJONCGVOA-UHFFFAOYSA-N 1 2 317.437 1.903 20 30 DDEDLO C=CCCCC(=O)N1CC(CNC(=O)CCn2cc[nH+]c2)C1 ZINC001284982530 909877711 /nfs/dbraw/zinc/87/77/11/909877711.db2.gz MCLXIOVPPHTPRD-UHFFFAOYSA-N 1 2 304.394 1.204 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001284984577 909883700 /nfs/dbraw/zinc/88/37/00/909883700.db2.gz QSYUVGNYJFDGTO-UHFFFAOYSA-N 1 2 304.394 1.129 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](CNC(=O)Cc1c[nH]c[nH+]1)CC(C)C ZINC001285253162 910273613 /nfs/dbraw/zinc/27/36/13/910273613.db2.gz HXYZDVSZUCERHU-HNNXBMFYSA-N 1 2 318.421 1.259 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(C)CCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001285382356 910444459 /nfs/dbraw/zinc/44/44/59/910444459.db2.gz HXMZGAKVNYKFNB-STQMWFEESA-N 1 2 320.437 1.636 20 30 DDEDLO C=CCCC(=O)NC/C=C/CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001285496915 910668017 /nfs/dbraw/zinc/66/80/17/910668017.db2.gz MIORNRPNBDUELU-ISZGNANSSA-N 1 2 316.405 1.200 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001285758697 911161122 /nfs/dbraw/zinc/16/11/22/911161122.db2.gz OVXSPMTVRBWWQX-WMLDXEAASA-N 1 2 320.437 1.839 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001285769184 911180599 /nfs/dbraw/zinc/18/05/99/911180599.db2.gz KWFRZEMZILEJRD-KBPBESRZSA-N 1 2 318.421 1.403 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001285769184 911180612 /nfs/dbraw/zinc/18/06/12/911180612.db2.gz KWFRZEMZILEJRD-KBPBESRZSA-N 1 2 318.421 1.403 20 30 DDEDLO CC(C)C#CC(=O)NCC1(NC(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC001285924723 911454264 /nfs/dbraw/zinc/45/42/64/911454264.db2.gz MUSKHYZEOXIVBU-CQSZACIVSA-N 1 2 316.405 1.259 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001285959914 911500393 /nfs/dbraw/zinc/50/03/93/911500393.db2.gz UVXGHLTWZXQBNI-HNNXBMFYSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCCC(=O)N(C)C1CN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001285999260 911538530 /nfs/dbraw/zinc/53/85/30/911538530.db2.gz NNUOBBHDSQOFJC-LBPRGKRZSA-N 1 2 304.394 1.224 20 30 DDEDLO C=CCCC(=O)N(C)C1CN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001285999260 911538552 /nfs/dbraw/zinc/53/85/52/911538552.db2.gz NNUOBBHDSQOFJC-LBPRGKRZSA-N 1 2 304.394 1.224 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001286341066 911935251 /nfs/dbraw/zinc/93/52/51/911935251.db2.gz NHPHUVVGCOWCTG-QWHCGFSZSA-N 1 2 318.421 1.708 20 30 DDEDLO C=CCN(c1nnc(CC)n1CC[N@@H+]1CCOC[C@@H]1C)C1CC1 ZINC001286735680 912092563 /nfs/dbraw/zinc/09/25/63/912092563.db2.gz JWNQAMRQFPOIRO-AWEZNQCLSA-N 1 2 319.453 1.716 20 30 DDEDLO C=CCN(c1nnc(CC)n1CC[N@H+]1CCOC[C@@H]1C)C1CC1 ZINC001286735680 912092580 /nfs/dbraw/zinc/09/25/80/912092580.db2.gz JWNQAMRQFPOIRO-AWEZNQCLSA-N 1 2 319.453 1.716 20 30 DDEDLO C[C@@H](CC(=O)N(C)CCCNC(=O)C#CC1CC1)n1cc[nH+]c1 ZINC001294065212 914892798 /nfs/dbraw/zinc/89/27/98/914892798.db2.gz ABANNNQXALJMRG-AWEZNQCLSA-N 1 2 316.405 1.212 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001294797396 915375081 /nfs/dbraw/zinc/37/50/81/915375081.db2.gz XDGSJTFAMTWRQH-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001295603856 915924195 /nfs/dbraw/zinc/92/41/95/915924195.db2.gz XEUOOZRELDAJKA-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001295729251 916023793 /nfs/dbraw/zinc/02/37/93/916023793.db2.gz OCPLKFRDCOGHAD-CQSZACIVSA-N 1 2 316.405 1.204 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCN(CC)C(=O)Cc1c[nH+]cn1C ZINC001296370916 916365563 /nfs/dbraw/zinc/36/55/63/916365563.db2.gz LTTHQWXRAUDDCW-KRWDZBQOSA-N 1 2 320.437 1.530 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC(CNC(=O)Cc2c[nH+]cn2C)C1 ZINC001297236802 916823868 /nfs/dbraw/zinc/82/38/68/916823868.db2.gz HAEVLRZCFAGXGG-QGZVFWFLSA-N 1 2 318.421 1.140 20 30 DDEDLO CC(C)n1ncnc1C[NH+]1CCC(C)(NC(=O)[C@H](C)C#N)CC1 ZINC001375773577 916927206 /nfs/dbraw/zinc/92/72/06/916927206.db2.gz MGQAGAMMBBXSCX-CYBMUJFWSA-N 1 2 318.425 1.489 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@H+](Cc2cc(C3CC3)no2)C1 ZINC001376034758 917528546 /nfs/dbraw/zinc/52/85/46/917528546.db2.gz IJEDGFOYJXQURE-YPMHNXCESA-N 1 2 302.378 1.792 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@@H+](Cc2cc(C3CC3)no2)C1 ZINC001376034758 917528554 /nfs/dbraw/zinc/52/85/54/917528554.db2.gz IJEDGFOYJXQURE-YPMHNXCESA-N 1 2 302.378 1.792 20 30 DDEDLO N#Cc1ccc(NCCn2cc[nH+]c2)nc1NCCn1ccnc1 ZINC000278485273 214184572 /nfs/dbraw/zinc/18/45/72/214184572.db2.gz VRGZQXBVDROWDI-UHFFFAOYSA-N 1 2 322.376 1.570 20 30 DDEDLO N#Cc1ccc(NCCn2ccnc2)nc1NCCn1cc[nH+]c1 ZINC000278485273 214184574 /nfs/dbraw/zinc/18/45/74/214184574.db2.gz VRGZQXBVDROWDI-UHFFFAOYSA-N 1 2 322.376 1.570 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2cccc(CC)c2)C1=O ZINC000281208806 539283096 /nfs/dbraw/zinc/28/30/96/539283096.db2.gz CYZAUXUBUIQZKD-INIZCTEOSA-N 1 2 315.417 1.906 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2cccc(CC)c2)C1=O ZINC000281208806 539283097 /nfs/dbraw/zinc/28/30/97/539283097.db2.gz CYZAUXUBUIQZKD-INIZCTEOSA-N 1 2 315.417 1.906 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)N1C[C@H]2CC[C@@H](C1)O2 ZINC000328661708 539298259 /nfs/dbraw/zinc/29/82/59/539298259.db2.gz AHBAXFPAUGFOIT-MCIONIFRSA-N 1 2 302.378 1.438 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)C(=O)c2ccc(Cl)s2)C1 ZINC000331184855 529787901 /nfs/dbraw/zinc/78/79/01/529787901.db2.gz MZPMSTMUQWCBFS-MRVPVSSYSA-N 1 2 302.783 1.871 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)C(=O)c2ccc(Cl)s2)C1 ZINC000331184855 529787902 /nfs/dbraw/zinc/78/79/02/529787902.db2.gz MZPMSTMUQWCBFS-MRVPVSSYSA-N 1 2 302.783 1.871 20 30 DDEDLO C[N@H+](CC(=O)Nc1nc2c(s1)CCC2)[C@]1(CO)CCOC1 ZINC000329697869 529852787 /nfs/dbraw/zinc/85/27/87/529852787.db2.gz CLRHUFSFUDSOQZ-AWEZNQCLSA-N 1 2 311.407 1.303 20 30 DDEDLO C[N@@H+](CC(=O)Nc1nc2c(s1)CCC2)[C@]1(CO)CCOC1 ZINC000329697869 529852789 /nfs/dbraw/zinc/85/27/89/529852789.db2.gz CLRHUFSFUDSOQZ-AWEZNQCLSA-N 1 2 311.407 1.303 20 30 DDEDLO CNC(=O)[C@@H]1CCC[C@H]1NC(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000330545976 529905099 /nfs/dbraw/zinc/90/50/99/529905099.db2.gz BXPNAITXTPLJJR-CHWSQXEVSA-N 1 2 319.409 1.066 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc2c(c1)OCO2)[NH+]1CCC(C#N)CC1 ZINC000057907845 334954261 /nfs/dbraw/zinc/95/42/61/334954261.db2.gz VYOHTHQYUAQQAH-NSHDSACASA-N 1 2 301.346 1.978 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](C)CC(=O)Nc1cccc(C)c1C ZINC000014931049 352151538 /nfs/dbraw/zinc/15/15/38/352151538.db2.gz QWALHTBPSATOKV-AWEZNQCLSA-N 1 2 303.406 1.864 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](C)CC(=O)Nc1cccc(C)c1C ZINC000014931049 352151540 /nfs/dbraw/zinc/15/15/40/352151540.db2.gz QWALHTBPSATOKV-AWEZNQCLSA-N 1 2 303.406 1.864 20 30 DDEDLO C=CCNC(=O)c1ccc(S(=O)(=O)Nc2cc[nH+]cc2)cc1 ZINC000028835725 352232832 /nfs/dbraw/zinc/23/28/32/352232832.db2.gz WTALZZWIOUTEGT-UHFFFAOYSA-N 1 2 317.370 1.798 20 30 DDEDLO C=C(C)C[NH+]1CCN(S(=O)(=O)c2ccccc2C#N)CC1 ZINC000031294968 352264901 /nfs/dbraw/zinc/26/49/01/352264901.db2.gz WOEWPHJGORASIK-UHFFFAOYSA-N 1 2 305.403 1.441 20 30 DDEDLO CC(C)(C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000042105674 352330175 /nfs/dbraw/zinc/33/01/75/352330175.db2.gz RDUYFYQUKVSSPJ-OAHLLOKOSA-N 1 2 301.390 1.553 20 30 DDEDLO CC(C)(C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000042105674 352330178 /nfs/dbraw/zinc/33/01/78/352330178.db2.gz RDUYFYQUKVSSPJ-OAHLLOKOSA-N 1 2 301.390 1.553 20 30 DDEDLO N#CCSCC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000046017513 352432036 /nfs/dbraw/zinc/43/20/36/352432036.db2.gz ZPRDTUSEHLFZQV-HNNXBMFYSA-N 1 2 319.430 1.433 20 30 DDEDLO CC(=O)N1CCC[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)CC1 ZINC000053457306 352657252 /nfs/dbraw/zinc/65/72/52/352657252.db2.gz MDFIKYIGOQVCJV-AWEZNQCLSA-N 1 2 320.437 1.272 20 30 DDEDLO CC(=O)N1CCC[N@H+]([C@@H](C)C(=O)NC2(C#N)CCCCC2)CC1 ZINC000053457306 352657254 /nfs/dbraw/zinc/65/72/54/352657254.db2.gz MDFIKYIGOQVCJV-AWEZNQCLSA-N 1 2 320.437 1.272 20 30 DDEDLO CC(C)=CC[NH+]1CCN(S(=O)(=O)c2ccccc2C#N)CC1 ZINC000072822389 191233039 /nfs/dbraw/zinc/23/30/39/191233039.db2.gz VJCMTKUQULEARN-UHFFFAOYSA-N 1 2 319.430 1.831 20 30 DDEDLO CC(C)=CC[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000072835737 191236435 /nfs/dbraw/zinc/23/64/35/191236435.db2.gz GJGRHWABQMPXQV-UHFFFAOYSA-N 1 2 319.430 1.831 20 30 DDEDLO COc1ccc(C(=O)N2CC[NH+](CCCC#N)CC2)c(OC)c1 ZINC000055926656 352751630 /nfs/dbraw/zinc/75/16/30/352751630.db2.gz JADJZFHDSMAZRT-UHFFFAOYSA-N 1 2 317.389 1.765 20 30 DDEDLO CC(=O)N(C)C1CC[NH+](CC(=O)Nc2cccc(C#N)c2)CC1 ZINC000068576582 353121279 /nfs/dbraw/zinc/12/12/79/353121279.db2.gz ISJZONMLJSQVCQ-UHFFFAOYSA-N 1 2 314.389 1.439 20 30 DDEDLO C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)[C@H](C1CC1)[NH+]1CCCC1 ZINC000329593760 291002547 /nfs/dbraw/zinc/00/25/47/291002547.db2.gz UTNRXTYEIABDCX-UHTWSYAYSA-N 1 2 300.424 1.250 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH+]1CCC(O)(C(F)(F)F)CC1 ZINC000089969552 353786116 /nfs/dbraw/zinc/78/61/16/353786116.db2.gz KNBXKLCKOIFEBE-NSHDSACASA-N 1 2 321.343 1.384 20 30 DDEDLO N#Cc1cnn2c1NC[C@@H](CNc1ccc(N3CCCC3)c[nH+]1)C2 ZINC000107497379 353945362 /nfs/dbraw/zinc/94/53/62/353945362.db2.gz YAPJILFOXMYRHI-CYBMUJFWSA-N 1 2 323.404 1.904 20 30 DDEDLO Cc1noc(C)c1[C@H](C)CNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000328694491 222885405 /nfs/dbraw/zinc/88/54/05/222885405.db2.gz FZVLMUFYSBPTMD-HONMWMINSA-N 1 2 322.409 1.324 20 30 DDEDLO Cc1noc(C)c1[C@H](C)CNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000328694491 222885408 /nfs/dbraw/zinc/88/54/08/222885408.db2.gz FZVLMUFYSBPTMD-HONMWMINSA-N 1 2 322.409 1.324 20 30 DDEDLO NC(=O)[C@@H]1CCC[N@@H+]1CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000127968171 354069697 /nfs/dbraw/zinc/06/96/97/354069697.db2.gz PKYJPMWKTNPRHV-ZDUSSCGKSA-N 1 2 312.291 1.886 20 30 DDEDLO NC(=O)[C@@H]1CCC[N@H+]1CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000127968171 354069699 /nfs/dbraw/zinc/06/96/99/354069699.db2.gz PKYJPMWKTNPRHV-ZDUSSCGKSA-N 1 2 312.291 1.886 20 30 DDEDLO CCN1CCOC[C@H]1C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000329057470 222926240 /nfs/dbraw/zinc/92/62/40/222926240.db2.gz MPFRPAKKFRMKIV-HNNXBMFYSA-N 1 2 318.421 1.728 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)CC(=O)N1CCCC1 ZINC000321082410 354530729 /nfs/dbraw/zinc/53/07/29/354530729.db2.gz DVCCLXNBGITKKN-CYBMUJFWSA-N 1 2 314.389 1.439 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)CC(=O)N1CCCC1 ZINC000321082410 354530730 /nfs/dbraw/zinc/53/07/30/354530730.db2.gz DVCCLXNBGITKKN-CYBMUJFWSA-N 1 2 314.389 1.439 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)NC2CCOCC2)C1 ZINC000328649837 354558764 /nfs/dbraw/zinc/55/87/64/354558764.db2.gz VFPQKCUENGLENJ-OAHLLOKOSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)NC2CCOCC2)C1 ZINC000328649837 354558767 /nfs/dbraw/zinc/55/87/67/354558767.db2.gz VFPQKCUENGLENJ-OAHLLOKOSA-N 1 2 311.426 1.122 20 30 DDEDLO C=CCNC(=O)Cc1noc(C[N@@H+](C)Cc2ccccc2)n1 ZINC000350701061 354607020 /nfs/dbraw/zinc/60/70/20/354607020.db2.gz IHYLXNYNFJZTLB-UHFFFAOYSA-N 1 2 300.362 1.546 20 30 DDEDLO C=CCNC(=O)Cc1noc(C[N@H+](C)Cc2ccccc2)n1 ZINC000350701061 354607024 /nfs/dbraw/zinc/60/70/24/354607024.db2.gz IHYLXNYNFJZTLB-UHFFFAOYSA-N 1 2 300.362 1.546 20 30 DDEDLO C=CCNC(=O)Cc1noc(-c2cccc(-n3cc[nH+]c3)c2)n1 ZINC000350714951 354607885 /nfs/dbraw/zinc/60/78/85/354607885.db2.gz YJTJKCAAPPBZKK-UHFFFAOYSA-N 1 2 309.329 1.767 20 30 DDEDLO C[C@H](OCCc1ccccc1)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000579717662 354723220 /nfs/dbraw/zinc/72/32/20/354723220.db2.gz GLMQQDVZGBKOOH-YOEHRIQHSA-N 1 2 303.406 1.594 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000579796585 354723621 /nfs/dbraw/zinc/72/36/21/354723621.db2.gz PSHGWKSAFQPDRN-RDBSUJKOSA-N 1 2 304.394 1.206 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(c2cc(C#N)cc(C)n2)CC1 ZINC000585702842 354830090 /nfs/dbraw/zinc/83/00/90/354830090.db2.gz MLMAINIFDXJPNP-ZDUSSCGKSA-N 1 2 315.421 1.298 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)CCCCCC#N)CC1 ZINC000588266635 354912272 /nfs/dbraw/zinc/91/22/72/354912272.db2.gz GYARDLUSYVBURE-CQSZACIVSA-N 1 2 320.437 1.272 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@H]1CCc2c[nH+]cn2C1 ZINC000587057696 354880318 /nfs/dbraw/zinc/88/03/18/354880318.db2.gz XVERTQNQWUCZCU-JSGCOSHPSA-N 1 2 310.357 1.653 20 30 DDEDLO N#C[C@@H]1C[N@@H+](CC2=CCCOC2)C[C@@]12C(=O)Nc1ccccc12 ZINC000371465763 283061130 /nfs/dbraw/zinc/06/11/30/283061130.db2.gz HRNYFNLQLHLITH-RDTXWAMCSA-N 1 2 309.369 1.679 20 30 DDEDLO N#C[C@@H]1C[N@H+](CC2=CCCOC2)C[C@@]12C(=O)Nc1ccccc12 ZINC000371465763 283061133 /nfs/dbraw/zinc/06/11/33/283061133.db2.gz HRNYFNLQLHLITH-RDTXWAMCSA-N 1 2 309.369 1.679 20 30 DDEDLO N#C[C@H]1C[N@@H+](CC2=CCCOC2)C[C@]12C(=O)Nc1ccccc12 ZINC000371465762 283068629 /nfs/dbraw/zinc/06/86/29/283068629.db2.gz HRNYFNLQLHLITH-KSSFIOAISA-N 1 2 309.369 1.679 20 30 DDEDLO N#C[C@H]1C[N@H+](CC2=CCCOC2)C[C@]12C(=O)Nc1ccccc12 ZINC000371465762 283068631 /nfs/dbraw/zinc/06/86/31/283068631.db2.gz HRNYFNLQLHLITH-KSSFIOAISA-N 1 2 309.369 1.679 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@@H](C)C(=O)N2CCCCC2)CC1 ZINC000591020269 355233662 /nfs/dbraw/zinc/23/36/62/355233662.db2.gz ZIZRSSAUDYWDKK-HOTGVXAUSA-N 1 2 306.454 1.553 20 30 DDEDLO CS(=O)(=O)C[C@@H]([NH2+]C[C@H](C#N)CCC#N)c1ccccc1 ZINC000591747823 355376382 /nfs/dbraw/zinc/37/63/82/355376382.db2.gz MGBZFKJIWNOCJE-DZGCQCFKSA-N 1 2 305.403 1.805 20 30 DDEDLO CC(=O)Nc1cc(NC[C@@H](O)C[N@H+](C)CCC#N)ccc1Cl ZINC000591773353 355382822 /nfs/dbraw/zinc/38/28/22/355382822.db2.gz HGMUHJCCOJEJKY-CYBMUJFWSA-N 1 2 324.812 1.917 20 30 DDEDLO CC(=O)Nc1cc(NC[C@@H](O)C[N@@H+](C)CCC#N)ccc1Cl ZINC000591773353 355382825 /nfs/dbraw/zinc/38/28/25/355382825.db2.gz HGMUHJCCOJEJKY-CYBMUJFWSA-N 1 2 324.812 1.917 20 30 DDEDLO Cc1cc(N2CCN(C(=O)N3CCCCC3)CC2)c(C#N)c[nH+]1 ZINC000591818009 355390805 /nfs/dbraw/zinc/39/08/05/355390805.db2.gz VTTPVJSZEMBKHN-UHFFFAOYSA-N 1 2 313.405 1.990 20 30 DDEDLO CNC(=O)[C@]1(C(F)(F)F)CCN(c2cc(C)[nH+]cc2C#N)C1 ZINC000591994918 355456855 /nfs/dbraw/zinc/45/68/55/355456855.db2.gz QSOBUWQNCGPASZ-ZDUSSCGKSA-N 1 2 312.295 1.767 20 30 DDEDLO CCC[C@H](NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1)c1ccccc1 ZINC000592146538 355511624 /nfs/dbraw/zinc/51/16/24/355511624.db2.gz CQUBRQJDLWFAPF-FUHWJXTLSA-N 1 2 315.417 1.994 20 30 DDEDLO CCC[C@H](NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1)c1ccccc1 ZINC000592146538 355511628 /nfs/dbraw/zinc/51/16/28/355511628.db2.gz CQUBRQJDLWFAPF-FUHWJXTLSA-N 1 2 315.417 1.994 20 30 DDEDLO Cc1cccc(NC(=O)[C@@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)c1C ZINC000592148051 355514127 /nfs/dbraw/zinc/51/41/27/355514127.db2.gz QQUZXXPETKMZQZ-PBHICJAKSA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1cccc(NC(=O)[C@@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)c1C ZINC000592148051 355514131 /nfs/dbraw/zinc/51/41/31/355514131.db2.gz QQUZXXPETKMZQZ-PBHICJAKSA-N 1 2 301.390 1.981 20 30 DDEDLO CC(C)N(CC(F)(F)F)C(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592151661 355519166 /nfs/dbraw/zinc/51/91/66/355519166.db2.gz QCABGAKFQCDTJI-GFCCVEGCSA-N 1 2 307.316 1.136 20 30 DDEDLO CC(C)N(CC(F)(F)F)C(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592151661 355519170 /nfs/dbraw/zinc/51/91/70/355519170.db2.gz QCABGAKFQCDTJI-GFCCVEGCSA-N 1 2 307.316 1.136 20 30 DDEDLO COC(=O)[C@H]1CCCCC[N@@H+]1Cc1cnc2c(C#N)cnn2c1 ZINC000592504634 355604909 /nfs/dbraw/zinc/60/49/09/355604909.db2.gz ASRAVJSPCOETSY-CQSZACIVSA-N 1 2 313.361 1.519 20 30 DDEDLO COC(=O)[C@H]1CCCCC[N@H+]1Cc1cnc2c(C#N)cnn2c1 ZINC000592504634 355604912 /nfs/dbraw/zinc/60/49/12/355604912.db2.gz ASRAVJSPCOETSY-CQSZACIVSA-N 1 2 313.361 1.519 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)CC1 ZINC000592947373 355748434 /nfs/dbraw/zinc/74/84/34/355748434.db2.gz FUNHGHVBUFJLSR-GDBMZVCRSA-N 1 2 315.421 1.138 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccsc2C#N)C[C@H]1C ZINC000593567911 355928879 /nfs/dbraw/zinc/92/88/79/355928879.db2.gz DUEGPLHHNYTSKU-MNOVXSKESA-N 1 2 313.448 1.723 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccsc2C#N)C[C@H]1C ZINC000593567911 355928883 /nfs/dbraw/zinc/92/88/83/355928883.db2.gz DUEGPLHHNYTSKU-MNOVXSKESA-N 1 2 313.448 1.723 20 30 DDEDLO Cc1cc(C)nc(N2CC[NH+](Cc3ccc(C#N)cn3)CC2)n1 ZINC000593967732 356075427 /nfs/dbraw/zinc/07/54/27/356075427.db2.gz XEOBHLKQWFCBQB-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO N#Cc1ccc2c(c1)CN(C(=O)N[C@@H]1CCn3cc[nH+]c3C1)C2 ZINC000594245223 356151523 /nfs/dbraw/zinc/15/15/23/356151523.db2.gz MTIPDDLKKYWAMQ-OAHLLOKOSA-N 1 2 307.357 1.795 20 30 DDEDLO Cc1ccc2[nH+]c(CNC(=O)N3C[C@@H]4CC[C@H](O)[C@H]4C3)cn2c1 ZINC000329405317 222973915 /nfs/dbraw/zinc/97/39/15/222973915.db2.gz BVSBPPCCIFBZDO-QEJZJMRPSA-N 1 2 314.389 1.759 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)sn1 ZINC000595295613 356438007 /nfs/dbraw/zinc/43/80/07/356438007.db2.gz MYUGPXUSBZENTK-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CCN2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000595398515 356478202 /nfs/dbraw/zinc/47/82/02/356478202.db2.gz RFPOKHQOXWOKNH-BBWFWOEESA-N 1 2 308.470 1.261 20 30 DDEDLO Cc1nc(CN2CC[NH+](CCc3ccc(C#N)cc3)CC2)n[nH]1 ZINC000595399233 356478385 /nfs/dbraw/zinc/47/83/85/356478385.db2.gz XLWAWABCDJUHBF-UHFFFAOYSA-N 1 2 310.405 1.345 20 30 DDEDLO CN1CC[N@H+](Cc2nnc(-c3cccc(C#N)c3)o2)CCC1=O ZINC000595782260 356650782 /nfs/dbraw/zinc/65/07/82/356650782.db2.gz KVNLMRXNVYBTGN-UHFFFAOYSA-N 1 2 311.345 1.272 20 30 DDEDLO CN1CC[N@@H+](Cc2nnc(-c3cccc(C#N)c3)o2)CCC1=O ZINC000595782260 356650785 /nfs/dbraw/zinc/65/07/85/356650785.db2.gz KVNLMRXNVYBTGN-UHFFFAOYSA-N 1 2 311.345 1.272 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1CCC2(COC2)C1 ZINC000595832605 356669223 /nfs/dbraw/zinc/66/92/23/356669223.db2.gz GGBIVMLZWXDFMU-UHFFFAOYSA-N 1 2 317.414 1.963 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1CCC2(COC2)C1 ZINC000595832605 356669228 /nfs/dbraw/zinc/66/92/28/356669228.db2.gz GGBIVMLZWXDFMU-UHFFFAOYSA-N 1 2 317.414 1.963 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@H](C)[N@@H+]2CC[C@@](C)(C#N)C2)CC1 ZINC000595840262 356673326 /nfs/dbraw/zinc/67/33/26/356673326.db2.gz MNRRBYQBJKCFFO-LRDDRELGSA-N 1 2 307.394 1.022 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@H](C)[N@H+]2CC[C@@](C)(C#N)C2)CC1 ZINC000595840262 356673330 /nfs/dbraw/zinc/67/33/30/356673330.db2.gz MNRRBYQBJKCFFO-LRDDRELGSA-N 1 2 307.394 1.022 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@@H](C)[N@@H+]2CC[C@@](C)(C#N)C2)CC1 ZINC000595840264 356673370 /nfs/dbraw/zinc/67/33/70/356673370.db2.gz MNRRBYQBJKCFFO-WBMJQRKESA-N 1 2 307.394 1.022 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@@H](C)[N@H+]2CC[C@@](C)(C#N)C2)CC1 ZINC000595840264 356673372 /nfs/dbraw/zinc/67/33/72/356673372.db2.gz MNRRBYQBJKCFFO-WBMJQRKESA-N 1 2 307.394 1.022 20 30 DDEDLO Cc1cc(NCC[N@H+]2CCOC[C@H]2C)cc(C#N)c1[N+](=O)[O-] ZINC000596113705 356776948 /nfs/dbraw/zinc/77/69/48/356776948.db2.gz XLKYCPCFUZPTOY-GFCCVEGCSA-N 1 2 304.350 1.908 20 30 DDEDLO Cc1cc(NCC[N@@H+]2CCOC[C@H]2C)cc(C#N)c1[N+](=O)[O-] ZINC000596113705 356776953 /nfs/dbraw/zinc/77/69/53/356776953.db2.gz XLKYCPCFUZPTOY-GFCCVEGCSA-N 1 2 304.350 1.908 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000596126011 356783554 /nfs/dbraw/zinc/78/35/54/356783554.db2.gz GZSORGPBVUTUPD-UONOGXRCSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000596126011 356783555 /nfs/dbraw/zinc/78/35/55/356783555.db2.gz GZSORGPBVUTUPD-UONOGXRCSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@@H](CC#N)C(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC000596779647 356975471 /nfs/dbraw/zinc/97/54/71/356975471.db2.gz ZIRNIEIIOIBPSW-ZDUSSCGKSA-N 1 2 314.389 1.562 20 30 DDEDLO CC1CC(C#N)(C(=O)NC[C@@H]2CCC[N@H+](Cc3ncon3)C2)C1 ZINC000597641005 357265809 /nfs/dbraw/zinc/26/58/09/357265809.db2.gz OCKVJXCNXOAZLA-UYJPIKCFSA-N 1 2 317.393 1.338 20 30 DDEDLO CC1CC(C#N)(C(=O)NC[C@@H]2CCC[N@@H+](Cc3ncon3)C2)C1 ZINC000597641005 357265812 /nfs/dbraw/zinc/26/58/12/357265812.db2.gz OCKVJXCNXOAZLA-UYJPIKCFSA-N 1 2 317.393 1.338 20 30 DDEDLO C[C@@H]1c2nncn2CC[N@H+]1CCC(=O)Nc1ccccc1C#N ZINC000276845283 213128871 /nfs/dbraw/zinc/12/88/71/213128871.db2.gz ROAVXTFTQADQOA-GFCCVEGCSA-N 1 2 310.361 1.555 20 30 DDEDLO C[C@@H]1c2nncn2CC[N@@H+]1CCC(=O)Nc1ccccc1C#N ZINC000276845283 213128876 /nfs/dbraw/zinc/12/88/76/213128876.db2.gz ROAVXTFTQADQOA-GFCCVEGCSA-N 1 2 310.361 1.555 20 30 DDEDLO N#C[C@H](CO)NC(=O)[C@H]1CCCC[N@@H+]1Cc1ccc(F)cc1 ZINC000597742849 357313801 /nfs/dbraw/zinc/31/38/01/357313801.db2.gz YTBJZWUMGFORBH-HUUCEWRRSA-N 1 2 305.353 1.181 20 30 DDEDLO N#C[C@H](CO)NC(=O)[C@H]1CCCC[N@H+]1Cc1ccc(F)cc1 ZINC000597742849 357313803 /nfs/dbraw/zinc/31/38/03/357313803.db2.gz YTBJZWUMGFORBH-HUUCEWRRSA-N 1 2 305.353 1.181 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccc(F)c(C#N)c2)[C@H](C)CO1 ZINC000597998752 357414695 /nfs/dbraw/zinc/41/46/95/357414695.db2.gz VQYLIGXICUTCII-VXGBXAGGSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(F)c(C#N)c2)[C@H](C)CO1 ZINC000597998752 357414698 /nfs/dbraw/zinc/41/46/98/357414698.db2.gz VQYLIGXICUTCII-VXGBXAGGSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)[N@@H+]1CCN2CCOC[C@@H]2C1 ZINC000329642176 223007596 /nfs/dbraw/zinc/00/75/96/223007596.db2.gz ADFJIWAHSKCVRM-YPMHNXCESA-N 1 2 324.812 1.728 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)[N@H+]1CCN2CCOC[C@@H]2C1 ZINC000329642176 223007598 /nfs/dbraw/zinc/00/75/98/223007598.db2.gz ADFJIWAHSKCVRM-YPMHNXCESA-N 1 2 324.812 1.728 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(NC(=O)c2oc(C)cc2C)CC1 ZINC000598927462 357760610 /nfs/dbraw/zinc/76/06/10/357760610.db2.gz KYMJWJVWRCUQHA-CQSZACIVSA-N 1 2 320.389 1.818 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@]1(C)CCO[C@H]1C1CC1 ZINC000329758809 223025325 /nfs/dbraw/zinc/02/53/25/223025325.db2.gz OCJZOPHOIHRYCR-IVMMDQJWSA-N 1 2 311.426 1.168 20 30 DDEDLO COc1ccc2ncc(C#N)c(NC[C@H]3COCC[N@@H+]3C)c2c1 ZINC000599161884 357834428 /nfs/dbraw/zinc/83/44/28/357834428.db2.gz XQHWJIYZEOEKJY-ZDUSSCGKSA-N 1 2 312.373 1.279 20 30 DDEDLO COc1ccc2ncc(C#N)c(NC[C@H]3COCC[N@H+]3C)c2c1 ZINC000599161884 357834429 /nfs/dbraw/zinc/83/44/29/357834429.db2.gz XQHWJIYZEOEKJY-ZDUSSCGKSA-N 1 2 312.373 1.279 20 30 DDEDLO N#Cc1cncc(NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)n1 ZINC000599184627 357842458 /nfs/dbraw/zinc/84/24/58/357842458.db2.gz LBKHLAPZDHIKJE-OAHLLOKOSA-N 1 2 310.361 1.056 20 30 DDEDLO N#Cc1cncc(NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)n1 ZINC000599184627 357842461 /nfs/dbraw/zinc/84/24/61/357842461.db2.gz LBKHLAPZDHIKJE-OAHLLOKOSA-N 1 2 310.361 1.056 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1C[C@@H]2CCC[C@]2(CO)C1 ZINC000599639628 358002011 /nfs/dbraw/zinc/00/20/11/358002011.db2.gz KNJAENLZOGZAFX-SWLSCSKDSA-N 1 2 305.403 1.653 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1C[C@@H]2CCC[C@]2(CO)C1 ZINC000599639628 358002012 /nfs/dbraw/zinc/00/20/12/358002012.db2.gz KNJAENLZOGZAFX-SWLSCSKDSA-N 1 2 305.403 1.653 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000333326760 291129162 /nfs/dbraw/zinc/12/91/62/291129162.db2.gz AHLZHBJDFPFLSV-XQLPTFJDSA-N 1 2 306.410 1.183 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cnc(C2CC2)o1 ZINC000600105890 358124639 /nfs/dbraw/zinc/12/46/39/358124639.db2.gz DRUNOYFBUTWWEH-UHFFFAOYSA-N 1 2 304.394 1.600 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601977843 358762702 /nfs/dbraw/zinc/76/27/02/358762702.db2.gz UPTUSADKFJOPPY-NWDGAFQWSA-N 1 2 303.353 1.351 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601977843 358762703 /nfs/dbraw/zinc/76/27/03/358762703.db2.gz UPTUSADKFJOPPY-NWDGAFQWSA-N 1 2 303.353 1.351 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)cc1 ZINC000602197661 358878576 /nfs/dbraw/zinc/87/85/76/358878576.db2.gz HGQVKOMLSFXDRZ-UHFFFAOYSA-N 1 2 320.396 1.954 20 30 DDEDLO Cc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)sn1 ZINC000602487623 359011471 /nfs/dbraw/zinc/01/14/71/359011471.db2.gz VBWWFPUZVQDLIS-GFCCVEGCSA-N 1 2 314.418 1.824 20 30 DDEDLO Cc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)sn1 ZINC000602487623 359011474 /nfs/dbraw/zinc/01/14/74/359011474.db2.gz VBWWFPUZVQDLIS-GFCCVEGCSA-N 1 2 314.418 1.824 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@H+](Cc2ccc(C#N)c(OC)c2)CCO1 ZINC000602745093 359162708 /nfs/dbraw/zinc/16/27/08/359162708.db2.gz CYRYPLJSUGNLJE-KRWDZBQOSA-N 1 2 318.373 1.721 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@@H+](Cc2ccc(C#N)c(OC)c2)CCO1 ZINC000602745093 359162712 /nfs/dbraw/zinc/16/27/12/359162712.db2.gz CYRYPLJSUGNLJE-KRWDZBQOSA-N 1 2 318.373 1.721 20 30 DDEDLO CCCn1nccc1NC(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602859524 359244964 /nfs/dbraw/zinc/24/49/64/359244964.db2.gz ZCXWIXFDVLLYRJ-ZIAGYGMSSA-N 1 2 318.425 1.150 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2ccccc2)C[C@H](C)N1CC#N ZINC000602858741 359245031 /nfs/dbraw/zinc/24/50/31/359245031.db2.gz UWDMJWDKWQWWTR-GASCZTMLSA-N 1 2 300.406 1.221 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2ccccc2)C[C@H](C)N1CC#N ZINC000602858741 359245035 /nfs/dbraw/zinc/24/50/35/359245035.db2.gz UWDMJWDKWQWWTR-GASCZTMLSA-N 1 2 300.406 1.221 20 30 DDEDLO COc1cc(OC)c(CNC(=O)C[NH2+][C@@H](C)CC#N)c(OC)c1 ZINC000602865844 359250448 /nfs/dbraw/zinc/25/04/48/359250448.db2.gz ZRUBWBVEOMBHFK-NSHDSACASA-N 1 2 321.377 1.220 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+]([C@H]2CCN(C3CCC3)C2=O)CC1 ZINC000602796808 359198757 /nfs/dbraw/zinc/19/87/57/359198757.db2.gz SOIWQLYGDJDXQR-JKSUJKDBSA-N 1 2 304.438 1.306 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000602936754 359306972 /nfs/dbraw/zinc/30/69/72/359306972.db2.gz FQDDZFCOTLMMRT-WBVHZDCISA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@H+]1CCOc1ccc(C#N)cc1 ZINC000602936754 359306975 /nfs/dbraw/zinc/30/69/75/359306975.db2.gz FQDDZFCOTLMMRT-WBVHZDCISA-N 1 2 318.373 1.589 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@H+](CCOc2cccc(C#N)c2)CCO1 ZINC000603002421 359348297 /nfs/dbraw/zinc/34/82/97/359348297.db2.gz GEZCMDUYINMWAG-KRWDZBQOSA-N 1 2 318.373 1.591 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@@H+](CCOc2cccc(C#N)c2)CCO1 ZINC000603002421 359348302 /nfs/dbraw/zinc/34/83/02/359348302.db2.gz GEZCMDUYINMWAG-KRWDZBQOSA-N 1 2 318.373 1.591 20 30 DDEDLO COCC[N@H+](C)Cc1cn(Cc2ccc(C#N)cc2Cl)nn1 ZINC000603226195 359497588 /nfs/dbraw/zinc/49/75/88/359497588.db2.gz HCDLRMRGIFZQGA-UHFFFAOYSA-N 1 2 319.796 1.930 20 30 DDEDLO COCC[N@@H+](C)Cc1cn(Cc2ccc(C#N)cc2Cl)nn1 ZINC000603226195 359497592 /nfs/dbraw/zinc/49/75/92/359497592.db2.gz HCDLRMRGIFZQGA-UHFFFAOYSA-N 1 2 319.796 1.930 20 30 DDEDLO Cc1ncc2c(n1)CC[N@@H+](C[C@@H](O)COc1ccc(C#N)cc1)C2 ZINC000603661973 359670449 /nfs/dbraw/zinc/67/04/49/359670449.db2.gz XIJIKAAEWFLVNJ-MRXNPFEDSA-N 1 2 324.384 1.455 20 30 DDEDLO Cc1ncc2c(n1)CC[N@H+](C[C@@H](O)COc1ccc(C#N)cc1)C2 ZINC000603661973 359670456 /nfs/dbraw/zinc/67/04/56/359670456.db2.gz XIJIKAAEWFLVNJ-MRXNPFEDSA-N 1 2 324.384 1.455 20 30 DDEDLO C[C@@H]1C[NH+](CCCNC(=O)c2ccc(C#N)c(O)c2)C[C@@H](C)O1 ZINC000188243720 200215832 /nfs/dbraw/zinc/21/58/32/200215832.db2.gz VHRWKVILBHHPPN-CHWSQXEVSA-N 1 2 317.389 1.493 20 30 DDEDLO [O-]C(=[NH+]C[C@@H]1CCc2[nH+]ccn2C1)N1CCO[C@@H](C2CC2)C1 ZINC000329893645 223042677 /nfs/dbraw/zinc/04/26/77/223042677.db2.gz JPQYADOBHRRKNS-GXTWGEPZSA-N 1 2 304.394 1.470 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329938933 223050834 /nfs/dbraw/zinc/05/08/34/223050834.db2.gz SVOUWVNWDDPLTN-ZFWWWQNUSA-N 1 2 316.361 1.127 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329938933 223050836 /nfs/dbraw/zinc/05/08/36/223050836.db2.gz SVOUWVNWDDPLTN-ZFWWWQNUSA-N 1 2 316.361 1.127 20 30 DDEDLO C[N@@H+](Cc1cc[nH]n1)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000610216375 360392163 /nfs/dbraw/zinc/39/21/63/360392163.db2.gz AKDCZGNQJCBXAH-OAHLLOKOSA-N 1 2 300.362 1.347 20 30 DDEDLO C[N@H+](Cc1cc[nH]n1)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000610216375 360392165 /nfs/dbraw/zinc/39/21/65/360392165.db2.gz AKDCZGNQJCBXAH-OAHLLOKOSA-N 1 2 300.362 1.347 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)c3ccc(C#N)cn3)[nH+]c12 ZINC000610434745 360435246 /nfs/dbraw/zinc/43/52/46/360435246.db2.gz JXRWVXOWFKCHEB-UHFFFAOYSA-N 1 2 305.341 1.882 20 30 DDEDLO C[C@H](CNC(=O)Cc1csc2nccn12)[NH+]1CCN(C)CC1 ZINC000330082283 223072287 /nfs/dbraw/zinc/07/22/87/223072287.db2.gz QAZHZVKYMNNPMT-GFCCVEGCSA-N 1 2 321.450 1.531 20 30 DDEDLO C[C@H](C(=O)N(C)Cc1ccccc1)[NH+]1CCN(CCC#N)CC1 ZINC000611175528 360649371 /nfs/dbraw/zinc/64/93/71/360649371.db2.gz HFKVWLRAOZCIBT-MRXNPFEDSA-N 1 2 314.433 1.565 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CC[C@@H](C(F)F)C2)cc1 ZINC000611180608 360651787 /nfs/dbraw/zinc/65/17/87/360651787.db2.gz ZWJBMHRLWGOOGZ-GFCCVEGCSA-N 1 2 314.357 1.919 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CC[C@@H](C(F)F)C2)cc1 ZINC000611180608 360651790 /nfs/dbraw/zinc/65/17/90/360651790.db2.gz ZWJBMHRLWGOOGZ-GFCCVEGCSA-N 1 2 314.357 1.919 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)Nc1ccnn1C[C@H]1CCOC1 ZINC000330081905 223073039 /nfs/dbraw/zinc/07/30/39/223073039.db2.gz ALRYKWUEBNUDDD-LLVKDONJSA-N 1 2 304.354 1.179 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)N(C)CCC#N)C[C@H](C(F)(F)F)O1 ZINC000611302613 360695252 /nfs/dbraw/zinc/69/52/52/360695252.db2.gz CRQQHEZSZWSOKD-WDEREUQCSA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)N(C)CCC#N)C[C@H](C(F)(F)F)O1 ZINC000611302613 360695255 /nfs/dbraw/zinc/69/52/55/360695255.db2.gz CRQQHEZSZWSOKD-WDEREUQCSA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Cc1csc2nccn12 ZINC000330151094 223079952 /nfs/dbraw/zinc/07/99/52/223079952.db2.gz NKWDBBKOYNMNCR-LLVKDONJSA-N 1 2 308.407 1.616 20 30 DDEDLO CN(Cc1[nH+]ccn1CC(F)(F)F)C(=O)c1c[nH]c(C#N)c1 ZINC000272000194 209373326 /nfs/dbraw/zinc/37/33/26/209373326.db2.gz NRZUEFWMPDJZNW-UHFFFAOYSA-N 1 2 311.267 1.917 20 30 DDEDLO C=CC[C@H]1CCCC[C@H]1NC(=O)C(=O)NCCc1cn(C)c[nH+]1 ZINC000611950588 360868275 /nfs/dbraw/zinc/86/82/75/360868275.db2.gz WFFIVBPWYAVMQR-DZGCQCFKSA-N 1 2 318.421 1.330 20 30 DDEDLO C[C@H](CNC(=O)N1CC[C@@H](O)C12CCCC2)[NH+]1CCOCC1 ZINC000330346368 223104798 /nfs/dbraw/zinc/10/47/98/223104798.db2.gz GLQRLELALDVQLH-ZIAGYGMSSA-N 1 2 311.426 1.001 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)c1cc2n(n1)CCCO2 ZINC000330305246 223101108 /nfs/dbraw/zinc/10/11/08/223101108.db2.gz QMECEIPOHSBESD-UHFFFAOYSA-N 1 2 315.377 1.746 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1cccc(C(=O)N(C)C)c1 ZINC000330623056 223131240 /nfs/dbraw/zinc/13/12/40/223131240.db2.gz OXLXWBFUMACITI-ZDUSSCGKSA-N 1 2 319.405 1.414 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1cccc(C(=O)N(C)C)c1 ZINC000330623056 223131243 /nfs/dbraw/zinc/13/12/43/223131243.db2.gz OXLXWBFUMACITI-ZDUSSCGKSA-N 1 2 319.405 1.414 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000612441515 361017193 /nfs/dbraw/zinc/01/71/93/361017193.db2.gz KSDDKIPDSPXIKW-AWEZNQCLSA-N 1 2 300.362 1.421 20 30 DDEDLO N#Cc1cccnc1-n1cc(NC(=O)CCCn2cc[nH+]c2)cn1 ZINC000613119108 361276156 /nfs/dbraw/zinc/27/61/56/361276156.db2.gz QQESWPRCTJSUSO-UHFFFAOYSA-N 1 2 321.344 1.754 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)c1ccnc(C#N)c1 ZINC000193605340 201083917 /nfs/dbraw/zinc/08/39/17/201083917.db2.gz ZSMCVIROZAAWIP-UHFFFAOYSA-N 1 2 323.356 1.746 20 30 DDEDLO N#Cc1cccc(OCCC[N@@H+]2CCOC[C@@]23CCOC3)c1 ZINC000193718927 201110194 /nfs/dbraw/zinc/11/01/94/201110194.db2.gz BUNSISRKUFITRP-KRWDZBQOSA-N 1 2 302.374 1.818 20 30 DDEDLO N#Cc1cccc(OCCC[N@H+]2CCOC[C@@]23CCOC3)c1 ZINC000193718927 201110196 /nfs/dbraw/zinc/11/01/96/201110196.db2.gz BUNSISRKUFITRP-KRWDZBQOSA-N 1 2 302.374 1.818 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)c1cc2ccccc2n1CC#N ZINC000614022926 361663015 /nfs/dbraw/zinc/66/30/15/361663015.db2.gz YKJCMIGYTJAWLE-UHFFFAOYSA-N 1 2 307.357 1.871 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000341993899 223299260 /nfs/dbraw/zinc/29/92/60/223299260.db2.gz PZOVMAZAQUDQFF-HNNXBMFYSA-N 1 2 312.373 1.337 20 30 DDEDLO Cc1[nH+]c2ccc(C(=O)NC3CCN(CC#N)CC3)cc2n1C ZINC000619435474 364007471 /nfs/dbraw/zinc/00/74/71/364007471.db2.gz SFTHBOBEBOGODZ-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=C[C@@H]([NH2+]C[C@@H]1CC(=O)N(Cc2ccccc2)C1)C(=O)OC ZINC000619703245 364121364 /nfs/dbraw/zinc/12/13/64/364121364.db2.gz IGTRPYUZJHOMKQ-LSDHHAIUSA-N 1 2 302.374 1.352 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(CC(=O)NC2CCCC2)CC1 ZINC000619716842 364127844 /nfs/dbraw/zinc/12/78/44/364127844.db2.gz RWHJHUPOXRFOMN-OAHLLOKOSA-N 1 2 308.422 1.875 20 30 DDEDLO N#CC1(F)CCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)CC1 ZINC000343232686 223318969 /nfs/dbraw/zinc/31/89/69/223318969.db2.gz FSZWKOLGZDMRGL-CYBMUJFWSA-N 1 2 319.384 1.660 20 30 DDEDLO N#CC1(F)CCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)CC1 ZINC000343232686 223318970 /nfs/dbraw/zinc/31/89/70/223318970.db2.gz FSZWKOLGZDMRGL-CYBMUJFWSA-N 1 2 319.384 1.660 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001120460464 781959013 /nfs/dbraw/zinc/95/90/13/781959013.db2.gz MJOGZHXTJASDGM-LLVKDONJSA-N 1 2 320.441 1.717 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)OC(C)(C)C)CC1 ZINC000264890806 204242348 /nfs/dbraw/zinc/24/23/48/204242348.db2.gz FPYUJLOXJNXFCS-ZDUSSCGKSA-N 1 2 311.426 1.620 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)OC(C)(C)C)CC1 ZINC000264890806 204242353 /nfs/dbraw/zinc/24/23/53/204242353.db2.gz FPYUJLOXJNXFCS-ZDUSSCGKSA-N 1 2 311.426 1.620 20 30 DDEDLO CC[N@H+](Cc1ccc(C#N)c(OC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000282506277 217048890 /nfs/dbraw/zinc/04/88/90/217048890.db2.gz YNUGSXCJGFAXEM-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO CC[N@@H+](Cc1ccc(C#N)c(OC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000282506277 217048891 /nfs/dbraw/zinc/04/88/91/217048891.db2.gz YNUGSXCJGFAXEM-CQSZACIVSA-N 1 2 308.403 1.576 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CCOc2cc[nH+]cc21 ZINC000175665072 306686755 /nfs/dbraw/zinc/68/67/55/306686755.db2.gz AAZGVCVXJZQVCR-UHFFFAOYSA-N 1 2 315.354 1.849 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)Nc1ccc(F)cc1C#N ZINC000267678084 206212921 /nfs/dbraw/zinc/21/29/21/206212921.db2.gz WLKWPIZUBIRVKS-UHFFFAOYSA-N 1 2 306.341 1.493 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@@](F)(C(=O)OC)C1 ZINC000267679343 206214028 /nfs/dbraw/zinc/21/40/28/206214028.db2.gz QHMMSQITCFEGAN-WFASDCNBSA-N 1 2 300.374 1.387 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[C@@](F)(C(=O)OC)C1 ZINC000267679343 206214033 /nfs/dbraw/zinc/21/40/33/206214033.db2.gz QHMMSQITCFEGAN-WFASDCNBSA-N 1 2 300.374 1.387 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3cnc(C#N)cn3)c[nH+]2)C[C@H](C)O1 ZINC000106464631 194218517 /nfs/dbraw/zinc/21/85/17/194218517.db2.gz UCTMANHSRLWGQA-BETUJISGSA-N 1 2 324.388 1.969 20 30 DDEDLO CC(C)[C@@H]([NH2+]CC(=O)Nc1sccc1C#N)c1nncn1C ZINC000285267060 218358248 /nfs/dbraw/zinc/35/82/48/218358248.db2.gz DLXBURCCBTXUSI-GFCCVEGCSA-N 1 2 318.406 1.674 20 30 DDEDLO CN(C)C(=O)O[C@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000495867150 269683675 /nfs/dbraw/zinc/68/36/75/269683675.db2.gz QHBOFGUZVIONSK-AWEZNQCLSA-N 1 2 316.361 1.269 20 30 DDEDLO CN(C)C(=O)O[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000495867150 269683676 /nfs/dbraw/zinc/68/36/76/269683676.db2.gz QHBOFGUZVIONSK-AWEZNQCLSA-N 1 2 316.361 1.269 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000110959198 194374360 /nfs/dbraw/zinc/37/43/60/194374360.db2.gz BHFNGICZDWIETN-CVEARBPZSA-N 1 2 310.438 1.681 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)C[N@@H+]1CC[C@H]2[C@@H](C1)NC(=O)N2C ZINC000329000347 296321313 /nfs/dbraw/zinc/32/13/13/296321313.db2.gz XIRYEHNXXCNJDT-RQJABVFESA-N 1 2 308.426 1.824 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)C[N@H+]1CC[C@H]2[C@@H](C1)NC(=O)N2C ZINC000329000347 296321316 /nfs/dbraw/zinc/32/13/16/296321316.db2.gz XIRYEHNXXCNJDT-RQJABVFESA-N 1 2 308.426 1.824 20 30 DDEDLO COC(=O)c1ccccc1NC(=O)C[NH+]1CCC(C#N)CC1 ZINC000057907184 184062012 /nfs/dbraw/zinc/06/20/12/184062012.db2.gz NMHOFGOLCRMHGX-UHFFFAOYSA-N 1 2 301.346 1.647 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CC[NH+](CCO)CC2)c(Cl)c1 ZINC000269146712 207274706 /nfs/dbraw/zinc/27/47/06/207274706.db2.gz PNGHOJQBTFSOOQ-UHFFFAOYSA-N 1 2 308.769 1.353 20 30 DDEDLO N#Cc1cnc(NCc2ccc[nH+]c2N2CCOCC2)c(F)c1 ZINC000413050127 224125147 /nfs/dbraw/zinc/12/51/47/224125147.db2.gz VDRNZADJYIVCLO-UHFFFAOYSA-N 1 2 313.336 1.936 20 30 DDEDLO COCC(COC)[NH+]1CCN(c2snc(C)c2C#N)CC1 ZINC000413481851 533100805 /nfs/dbraw/zinc/10/08/05/533100805.db2.gz UNKPPYLRMZEAPJ-UHFFFAOYSA-N 1 2 310.423 1.107 20 30 DDEDLO CC(C)C(=O)NCC[N@H+](C)[C@H](C)C(=O)Nc1ccccc1C#N ZINC000157676276 197206732 /nfs/dbraw/zinc/20/67/32/197206732.db2.gz HPAYSGMKUYIHJT-CYBMUJFWSA-N 1 2 316.405 1.589 20 30 DDEDLO CC(C)C(=O)NCC[N@@H+](C)[C@H](C)C(=O)Nc1ccccc1C#N ZINC000157676276 197206734 /nfs/dbraw/zinc/20/67/34/197206734.db2.gz HPAYSGMKUYIHJT-CYBMUJFWSA-N 1 2 316.405 1.589 20 30 DDEDLO COc1cc2c(cc1OC)C[N@H+](CCNC(=O)[C@@H](C)C#N)CC2 ZINC000347039774 533171158 /nfs/dbraw/zinc/17/11/58/533171158.db2.gz QCSIVRRWUPHXGF-LBPRGKRZSA-N 1 2 317.389 1.338 20 30 DDEDLO COc1cc2c(cc1OC)C[N@@H+](CCNC(=O)[C@@H](C)C#N)CC2 ZINC000347039774 533171167 /nfs/dbraw/zinc/17/11/67/533171167.db2.gz QCSIVRRWUPHXGF-LBPRGKRZSA-N 1 2 317.389 1.338 20 30 DDEDLO Cc1nc(NCC(C)(C)CC#N)nc(NCC(C)(C)CC#N)[nH+]1 ZINC000413399748 224189523 /nfs/dbraw/zinc/18/95/23/224189523.db2.gz BMZYEHNXIZTVHX-UHFFFAOYSA-N 1 2 315.425 1.727 20 30 DDEDLO Cc1cc(C)c(C#N)c(SC[C@@H](O)C[N@H+](C)CCC#N)n1 ZINC000414089067 224300191 /nfs/dbraw/zinc/30/01/91/224300191.db2.gz AASLSFVNRPWWPZ-ZDUSSCGKSA-N 1 2 304.419 1.869 20 30 DDEDLO Cc1cc(C)c(C#N)c(SC[C@@H](O)C[N@@H+](C)CCC#N)n1 ZINC000414089067 224300193 /nfs/dbraw/zinc/30/01/93/224300193.db2.gz AASLSFVNRPWWPZ-ZDUSSCGKSA-N 1 2 304.419 1.869 20 30 DDEDLO CCNC(=O)N1CCN(Cc2c[nH+]c3ccc(C#N)cn23)CC1 ZINC000121575546 195308525 /nfs/dbraw/zinc/30/85/25/195308525.db2.gz YQOHIIQLFABQQY-UHFFFAOYSA-N 1 2 312.377 1.053 20 30 DDEDLO CO[C@](C)(CNC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1)C1CC1 ZINC000329635891 533328421 /nfs/dbraw/zinc/32/84/21/533328421.db2.gz UTJZLLYWJQCXON-OFQRWUPVSA-N 1 2 311.426 1.120 20 30 DDEDLO CO[C@](C)(CNC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1)C1CC1 ZINC000329635891 533328426 /nfs/dbraw/zinc/32/84/26/533328426.db2.gz UTJZLLYWJQCXON-OFQRWUPVSA-N 1 2 311.426 1.120 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000341994242 292208134 /nfs/dbraw/zinc/20/81/34/292208134.db2.gz SEPMOZCNALZTAQ-ZDUSSCGKSA-N 1 2 304.394 1.190 20 30 DDEDLO C[N@H+](CC#Cc1cccc(Cl)c1)CC(=O)N1CCOCC1 ZINC000178710713 407558513 /nfs/dbraw/zinc/55/85/13/407558513.db2.gz BSQYDYYWHACIIM-UHFFFAOYSA-N 1 2 306.793 1.482 20 30 DDEDLO C[N@@H+](CC#Cc1cccc(Cl)c1)CC(=O)N1CCOCC1 ZINC000178710713 407558518 /nfs/dbraw/zinc/55/85/18/407558518.db2.gz BSQYDYYWHACIIM-UHFFFAOYSA-N 1 2 306.793 1.482 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+]([C@H]2CCCCNC2=O)CC1 ZINC000128731271 407565543 /nfs/dbraw/zinc/56/55/43/407565543.db2.gz DCKGQOFFBOOIJL-INIZCTEOSA-N 1 2 316.380 1.488 20 30 DDEDLO CC1CC[NH+](Cc2csc(NC(=O)CN(C)CC#N)n2)CC1 ZINC000068971994 406674594 /nfs/dbraw/zinc/67/45/94/406674594.db2.gz XFADGBAOIBOKLW-UHFFFAOYSA-N 1 2 321.450 1.769 20 30 DDEDLO COC(=O)Cc1nc(NC(=O)[C@H](C)[NH+]2CCCCCC2)n[nH]1 ZINC000073765410 406893596 /nfs/dbraw/zinc/89/35/96/406893596.db2.gz YBEJDOGZIGYBBK-JTQLQIEISA-N 1 2 309.370 1.373 20 30 DDEDLO Cc1cc(NC(=O)C[N@H+](C)CCOc2cccc(C#N)c2)no1 ZINC000051593770 407140909 /nfs/dbraw/zinc/14/09/09/407140909.db2.gz VCDGTXBUHGOZJM-UHFFFAOYSA-N 1 2 314.345 1.804 20 30 DDEDLO Cc1cc(NC(=O)C[N@@H+](C)CCOc2cccc(C#N)c2)no1 ZINC000051593770 407140912 /nfs/dbraw/zinc/14/09/12/407140912.db2.gz VCDGTXBUHGOZJM-UHFFFAOYSA-N 1 2 314.345 1.804 20 30 DDEDLO Cc1cc(NC(=O)C[N@H+](C)CCOc2ccc(C#N)cc2)no1 ZINC000053814543 407173760 /nfs/dbraw/zinc/17/37/60/407173760.db2.gz GSZOOJRLWSBMPO-UHFFFAOYSA-N 1 2 314.345 1.804 20 30 DDEDLO Cc1cc(NC(=O)C[N@@H+](C)CCOc2ccc(C#N)cc2)no1 ZINC000053814543 407173763 /nfs/dbraw/zinc/17/37/63/407173763.db2.gz GSZOOJRLWSBMPO-UHFFFAOYSA-N 1 2 314.345 1.804 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)N(C(C)C)C(C)C)cc1 ZINC000054230188 407180573 /nfs/dbraw/zinc/18/05/73/407180573.db2.gz QMIXJRAZSJXHPN-UHFFFAOYSA-N 1 2 307.394 1.978 20 30 DDEDLO COc1ccc2c(c1)C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)CC2 ZINC000065163224 407240645 /nfs/dbraw/zinc/24/06/45/407240645.db2.gz NMZILIPNUFUVRK-GOSISDBHSA-N 1 2 313.401 1.862 20 30 DDEDLO COc1ccc2c(c1)C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)CC2 ZINC000065163224 407240647 /nfs/dbraw/zinc/24/06/47/407240647.db2.gz NMZILIPNUFUVRK-GOSISDBHSA-N 1 2 313.401 1.862 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(Cl)cc2)CC1 ZINC000122926096 407314786 /nfs/dbraw/zinc/31/47/86/407314786.db2.gz ZBESHQIGVDYETK-ZDUSSCGKSA-N 1 2 305.809 1.600 20 30 DDEDLO COC(=O)C[N@H+](C[C@H](O)COc1ccc(C#N)cc1)C(C)C ZINC000098142605 407306416 /nfs/dbraw/zinc/30/64/16/407306416.db2.gz HGNZCAITUFEBKO-AWEZNQCLSA-N 1 2 306.362 1.181 20 30 DDEDLO COC(=O)C[N@@H+](C[C@H](O)COc1ccc(C#N)cc1)C(C)C ZINC000098142605 407306417 /nfs/dbraw/zinc/30/64/17/407306417.db2.gz HGNZCAITUFEBKO-AWEZNQCLSA-N 1 2 306.362 1.181 20 30 DDEDLO C=CCN(Cc1cn2c(cccc2C)[nH+]1)[C@@H]1CCS(=O)(=O)C1 ZINC000108870735 407391430 /nfs/dbraw/zinc/39/14/30/407391430.db2.gz HABVUEPERMZJBF-OAHLLOKOSA-N 1 2 319.430 1.818 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCCC[C@@]1(C)C(N)=O)C1(C#N)CCCCC1 ZINC000185894860 407532143 /nfs/dbraw/zinc/53/21/43/407532143.db2.gz NJJYFDDCFCWOIP-INIZCTEOSA-N 1 2 320.437 1.401 20 30 DDEDLO CN(C(=O)C[N@H+]1CCCC[C@@]1(C)C(N)=O)C1(C#N)CCCCC1 ZINC000185894860 407532149 /nfs/dbraw/zinc/53/21/49/407532149.db2.gz NJJYFDDCFCWOIP-INIZCTEOSA-N 1 2 320.437 1.401 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)COc1ccccc1C#N ZINC000170860846 407535584 /nfs/dbraw/zinc/53/55/84/407535584.db2.gz MLAMFMVGYHXMTO-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)COc1ccccc1C#N ZINC000170860846 407535588 /nfs/dbraw/zinc/53/55/88/407535588.db2.gz MLAMFMVGYHXMTO-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(Cc2cccc(F)c2)CC1 ZINC000178777743 407599625 /nfs/dbraw/zinc/59/96/25/407599625.db2.gz HOEWJDLQENNQPY-CQSZACIVSA-N 1 2 305.397 1.634 20 30 DDEDLO C=CCNC(=O)[C@@H](C)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000178777743 407599634 /nfs/dbraw/zinc/59/96/34/407599634.db2.gz HOEWJDLQENNQPY-CQSZACIVSA-N 1 2 305.397 1.634 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCc2ccc(C(=O)OC)cc2C1 ZINC000271418223 407677590 /nfs/dbraw/zinc/67/75/90/407677590.db2.gz ZXZXMQKTSMHVQF-LBPRGKRZSA-N 1 2 302.374 1.522 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCc2ccc(C(=O)OC)cc2C1 ZINC000271418223 407677595 /nfs/dbraw/zinc/67/75/95/407677595.db2.gz ZXZXMQKTSMHVQF-LBPRGKRZSA-N 1 2 302.374 1.522 20 30 DDEDLO C#CCN(Cc1ccc(F)cc1)C(=O)[C@H](C)[NH+]1CCN(C)CC1 ZINC000272154016 407795264 /nfs/dbraw/zinc/79/52/64/407795264.db2.gz XWZPVYOCXUBYFA-HNNXBMFYSA-N 1 2 317.408 1.423 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@H]2CCc3[nH+]c(C)cn3C2)cc1 ZINC000153296238 407795670 /nfs/dbraw/zinc/79/56/70/407795670.db2.gz SKLNYJDHHBZVGL-HNNXBMFYSA-N 1 2 309.369 1.948 20 30 DDEDLO C=CCCCN(C)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000267226163 407799689 /nfs/dbraw/zinc/79/96/89/407799689.db2.gz VUTXYKIGSKSOLV-UHFFFAOYSA-N 1 2 321.425 1.645 20 30 DDEDLO C[C@@H]1C(=O)N(C)CC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000187005487 407811488 /nfs/dbraw/zinc/81/14/88/407811488.db2.gz MWYGBXKVDVRMIT-SNVBAGLBSA-N 1 2 306.391 1.111 20 30 DDEDLO C[C@@H]1C(=O)N(C)CC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000187005487 407811491 /nfs/dbraw/zinc/81/14/91/407811491.db2.gz MWYGBXKVDVRMIT-SNVBAGLBSA-N 1 2 306.391 1.111 20 30 DDEDLO C=C(C)C[N@@H+](C)[C@@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000181249205 407970125 /nfs/dbraw/zinc/97/01/25/407970125.db2.gz ZLFOQYRMEHSKBK-LBPRGKRZSA-N 1 2 310.419 1.925 20 30 DDEDLO C=C(C)C[N@H+](C)[C@@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000181249205 407970130 /nfs/dbraw/zinc/97/01/30/407970130.db2.gz ZLFOQYRMEHSKBK-LBPRGKRZSA-N 1 2 310.419 1.925 20 30 DDEDLO C=C(C)C[N@@H+](C)[C@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000181249226 407971520 /nfs/dbraw/zinc/97/15/20/407971520.db2.gz ZLFOQYRMEHSKBK-GFCCVEGCSA-N 1 2 310.419 1.925 20 30 DDEDLO C=C(C)C[N@H+](C)[C@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000181249226 407971526 /nfs/dbraw/zinc/97/15/26/407971526.db2.gz ZLFOQYRMEHSKBK-GFCCVEGCSA-N 1 2 310.419 1.925 20 30 DDEDLO N#Cc1ccc(NCC(=O)N2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000242850735 407918163 /nfs/dbraw/zinc/91/81/63/407918163.db2.gz FZMJRAKBAQGAIS-UHFFFAOYSA-N 1 2 321.384 1.714 20 30 DDEDLO CCN1CC[N@H+](Cc2ccc(N(C)CCC#N)cc2)CC1=O ZINC000273462084 408212507 /nfs/dbraw/zinc/21/25/07/408212507.db2.gz HXPWWNSLNQDDDB-UHFFFAOYSA-N 1 2 300.406 1.701 20 30 DDEDLO CCN1CC[N@@H+](Cc2ccc(N(C)CCC#N)cc2)CC1=O ZINC000273462084 408212511 /nfs/dbraw/zinc/21/25/11/408212511.db2.gz HXPWWNSLNQDDDB-UHFFFAOYSA-N 1 2 300.406 1.701 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2[C@@H]3CC[C@H]2CC(O)C3)cc1 ZINC000176240241 408318841 /nfs/dbraw/zinc/31/88/41/408318841.db2.gz ZABSGCFDZAYCRP-YIONKMFJSA-N 1 2 320.414 1.320 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2[C@@H]3CC[C@H]2CC(O)C3)cc1 ZINC000176240241 408318845 /nfs/dbraw/zinc/31/88/45/408318845.db2.gz ZABSGCFDZAYCRP-YIONKMFJSA-N 1 2 320.414 1.320 20 30 DDEDLO C[N@H+](CCn1cccn1)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156739413 408272219 /nfs/dbraw/zinc/27/22/19/408272219.db2.gz JKYCQOSSTNZFTQ-UHFFFAOYSA-N 1 2 318.402 1.160 20 30 DDEDLO C[N@@H+](CCn1cccn1)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000156739413 408272223 /nfs/dbraw/zinc/27/22/23/408272223.db2.gz JKYCQOSSTNZFTQ-UHFFFAOYSA-N 1 2 318.402 1.160 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)c1ccccc1 ZINC000122300146 408278836 /nfs/dbraw/zinc/27/88/36/408278836.db2.gz DEZKTXBZGARZJW-INIZCTEOSA-N 1 2 315.417 1.865 20 30 DDEDLO C#CCC(C)(C)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000274281202 408351340 /nfs/dbraw/zinc/35/13/40/408351340.db2.gz KLGUEGXGBCWHHV-UHFFFAOYSA-N 1 2 301.390 1.584 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCO[C@]2(CCc3ccccc32)C1 ZINC000158743468 408358571 /nfs/dbraw/zinc/35/85/71/408358571.db2.gz MZHAUTILIHUZLE-RDTXWAMCSA-N 1 2 300.402 1.851 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCO[C@]2(CCc3ccccc32)C1 ZINC000158743468 408358575 /nfs/dbraw/zinc/35/85/75/408358575.db2.gz MZHAUTILIHUZLE-RDTXWAMCSA-N 1 2 300.402 1.851 20 30 DDEDLO CN1CCN(c2cc(NCc3ccc(C#N)cc3)[nH+]cn2)CC1=O ZINC000274374745 408382917 /nfs/dbraw/zinc/38/29/17/408382917.db2.gz RBHCYJUBDUZZJX-UHFFFAOYSA-N 1 2 322.372 1.239 20 30 DDEDLO CN1CCN(c2cc(NCc3ccc(C#N)cc3)nc[nH+]2)CC1=O ZINC000274374745 408382922 /nfs/dbraw/zinc/38/29/22/408382922.db2.gz RBHCYJUBDUZZJX-UHFFFAOYSA-N 1 2 322.372 1.239 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2[C@@H](CO)CCC[C@@H]2C)c(C#N)c1C ZINC000269847810 408446986 /nfs/dbraw/zinc/44/69/86/408446986.db2.gz GKHFKDBNQCFZMC-GXFFZTMASA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2[C@@H](CO)CCC[C@@H]2C)c(C#N)c1C ZINC000269847810 408446991 /nfs/dbraw/zinc/44/69/91/408446991.db2.gz GKHFKDBNQCFZMC-GXFFZTMASA-N 1 2 305.378 1.942 20 30 DDEDLO C[C@H]1CCC[C@H](CO)[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000269704344 408396872 /nfs/dbraw/zinc/39/68/72/408396872.db2.gz NEFMYUWAFDWWEY-DZGCQCFKSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@H]1CCC[C@H](CO)[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000269704344 408396879 /nfs/dbraw/zinc/39/68/79/408396879.db2.gz NEFMYUWAFDWWEY-DZGCQCFKSA-N 1 2 322.430 1.567 20 30 DDEDLO COCCOCCOCCC/[NH+]=C/c1cc(OC)ccc1O ZINC000274487161 408424118 /nfs/dbraw/zinc/42/41/18/408424118.db2.gz PXOFUVKCXCYAEL-GHRIWEEISA-N 1 2 311.378 1.889 20 30 DDEDLO C[C@@H](C#N)C[NH2+]Cc1ccccc1OCC(=O)NCC1CC1 ZINC000183815381 408493488 /nfs/dbraw/zinc/49/34/88/408493488.db2.gz PHMUQLHRJDHQIZ-ZDUSSCGKSA-N 1 2 301.390 1.841 20 30 DDEDLO CC[C@@H]1C[C@H](C(=O)N(CCC#N)CC[NH+]2CCOCC2)CCO1 ZINC000183974122 408520580 /nfs/dbraw/zinc/52/05/80/408520580.db2.gz PHRFYJIBPIFKGW-HZPDHXFCSA-N 1 2 323.437 1.266 20 30 DDEDLO C=CCNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000160299308 408468152 /nfs/dbraw/zinc/46/81/52/408468152.db2.gz NNNUSOIATKDECZ-CVEARBPZSA-N 1 2 301.390 1.467 20 30 DDEDLO C=CCNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000160299308 408468158 /nfs/dbraw/zinc/46/81/58/408468158.db2.gz NNNUSOIATKDECZ-CVEARBPZSA-N 1 2 301.390 1.467 20 30 DDEDLO CC(C)(CNC(=O)CCc1ccc(C#N)cc1)[NH+]1CCOCC1 ZINC000248264836 408544973 /nfs/dbraw/zinc/54/49/73/408544973.db2.gz XMIQKGGLFOOTAQ-UHFFFAOYSA-N 1 2 315.417 1.718 20 30 DDEDLO COc1ccc(Cl)cc1NC(=O)[C@@H](C)O[NH+]=C(N)CCO ZINC000275550379 408599067 /nfs/dbraw/zinc/59/90/67/408599067.db2.gz VBXTXGQJBNDYDY-MRVPVSSYSA-N 1 2 315.757 1.347 20 30 DDEDLO C#CC[C@H](NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C)c1ccccc1 ZINC000270470944 408541524 /nfs/dbraw/zinc/54/15/24/408541524.db2.gz VLCPXMHDMIDLSR-SJORKVTESA-N 1 2 314.433 1.296 20 30 DDEDLO C#CC[C@H](NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)c1ccccc1 ZINC000270470944 408541527 /nfs/dbraw/zinc/54/15/27/408541527.db2.gz VLCPXMHDMIDLSR-SJORKVTESA-N 1 2 314.433 1.296 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C[C@@H]1O ZINC000270869917 408716268 /nfs/dbraw/zinc/71/62/68/408716268.db2.gz JASZJKSAZFKGEI-YPMHNXCESA-N 1 2 307.419 1.878 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C[C@@H]1O ZINC000270869917 408716273 /nfs/dbraw/zinc/71/62/73/408716273.db2.gz JASZJKSAZFKGEI-YPMHNXCESA-N 1 2 307.419 1.878 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000177831358 408724940 /nfs/dbraw/zinc/72/49/40/408724940.db2.gz IYBSXMGEBBOPHT-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cnc4ccccn34)CC2)nc1 ZINC000193852589 408746994 /nfs/dbraw/zinc/74/69/94/408746994.db2.gz VWFMJNBAGVXTJX-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#CCCCCS(=O)(=O)N[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000166113219 408815795 /nfs/dbraw/zinc/81/57/95/408815795.db2.gz KHXLGSYHPVXWEZ-CQSZACIVSA-N 1 2 322.434 1.664 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C1CCC(C#N)(c2ccccc2)CC1)[C@H](C)O ZINC000276405216 408850318 /nfs/dbraw/zinc/85/03/18/408850318.db2.gz DMGPLVFMDSRCRC-VADGTKANSA-N 1 2 316.401 1.903 20 30 DDEDLO CC1(C)C[C@@]1(C#N)NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000291377336 408866117 /nfs/dbraw/zinc/86/61/17/408866117.db2.gz DUQHQJJUWMAFOD-YJBOKZPZSA-N 1 2 313.401 1.696 20 30 DDEDLO CC1(C)C[C@@]1(C#N)NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000291377336 408866119 /nfs/dbraw/zinc/86/61/19/408866119.db2.gz DUQHQJJUWMAFOD-YJBOKZPZSA-N 1 2 313.401 1.696 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NCc2ccc(F)cc2)C1=O ZINC000281473968 408887404 /nfs/dbraw/zinc/88/74/04/408887404.db2.gz WCZSLMPEXTZULT-OAHLLOKOSA-N 1 2 319.380 1.161 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NCc2ccc(F)cc2)C1=O ZINC000281473968 408887405 /nfs/dbraw/zinc/88/74/05/408887405.db2.gz WCZSLMPEXTZULT-OAHLLOKOSA-N 1 2 319.380 1.161 20 30 DDEDLO COCCN1CCC[N@H+](Cc2ccc(C#N)cc2F)CC1=O ZINC000190809049 163160043 /nfs/dbraw/zinc/16/00/43/163160043.db2.gz SKISBELBGFXJQZ-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO COCCN1CCC[N@@H+](Cc2ccc(C#N)cc2F)CC1=O ZINC000190809049 163160045 /nfs/dbraw/zinc/16/00/45/163160045.db2.gz SKISBELBGFXJQZ-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO C[C@H]1CCN(Cc2c[nH+]c3ccc(C#N)cn23)CCS1(=O)=O ZINC000192052134 163215177 /nfs/dbraw/zinc/21/51/77/163215177.db2.gz LESHRUUQXRDLQN-LBPRGKRZSA-N 1 2 318.402 1.215 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[S@@](=O)C[C@@H](C)C1 ZINC000277458301 408945174 /nfs/dbraw/zinc/94/51/74/408945174.db2.gz MICSMNHITQFKII-WHEQGISXSA-N 1 2 322.430 1.646 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[S@@](=O)C[C@@H](C)C1 ZINC000277458301 408945177 /nfs/dbraw/zinc/94/51/77/408945177.db2.gz MICSMNHITQFKII-WHEQGISXSA-N 1 2 322.430 1.646 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(Cc3cccc(C)c3)CC2)C1=O ZINC000282019823 408973418 /nfs/dbraw/zinc/97/34/18/408973418.db2.gz PCIPLDXZTBEABO-GOSISDBHSA-N 1 2 313.445 1.900 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@@H](O)COc1ccc(C(=O)OC)cc1 ZINC000292073011 408973933 /nfs/dbraw/zinc/97/39/33/408973933.db2.gz CVUFNEOQPRKOHH-OAHLLOKOSA-N 1 2 318.373 1.615 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@@H](O)COc1ccc(C(=O)OC)cc1 ZINC000292073011 408973939 /nfs/dbraw/zinc/97/39/39/408973939.db2.gz CVUFNEOQPRKOHH-OAHLLOKOSA-N 1 2 318.373 1.615 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](Cc3nc(C4CC4)no3)C2)nc1 ZINC000292118539 408983424 /nfs/dbraw/zinc/98/34/24/408983424.db2.gz HVMCAWSOLXPTLY-CYBMUJFWSA-N 1 2 311.345 1.867 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](Cc3nc(C4CC4)no3)C2)nc1 ZINC000292118539 408983426 /nfs/dbraw/zinc/98/34/26/408983426.db2.gz HVMCAWSOLXPTLY-CYBMUJFWSA-N 1 2 311.345 1.867 20 30 DDEDLO C=CCN1CC[C@H](N(C)c2cc(NCCCC)[nH+]c(N)n2)C1=O ZINC000287625636 409066603 /nfs/dbraw/zinc/06/66/03/409066603.db2.gz GTZBLEANAIFUEW-LBPRGKRZSA-N 1 2 318.425 1.494 20 30 DDEDLO C=CCN1CC[C@H](N(C)c2cc(NCCCC)nc(N)[nH+]2)C1=O ZINC000287625636 409066604 /nfs/dbraw/zinc/06/66/04/409066604.db2.gz GTZBLEANAIFUEW-LBPRGKRZSA-N 1 2 318.425 1.494 20 30 DDEDLO CC(C)c1nnc(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)[nH]1 ZINC000292314481 409023380 /nfs/dbraw/zinc/02/33/80/409023380.db2.gz VLYXSRXDOHTKRE-ZDUSSCGKSA-N 1 2 312.377 1.848 20 30 DDEDLO CC(C)c1nnc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)[nH]1 ZINC000292314481 409023383 /nfs/dbraw/zinc/02/33/83/409023383.db2.gz VLYXSRXDOHTKRE-ZDUSSCGKSA-N 1 2 312.377 1.848 20 30 DDEDLO CCc1ccc(C#CC(=O)N(C)CC[NH+]2CCOCC2)cc1 ZINC000293021099 409049078 /nfs/dbraw/zinc/04/90/78/409049078.db2.gz FMRRNJUQMGQKCL-UHFFFAOYSA-N 1 2 300.402 1.391 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000293226057 409071594 /nfs/dbraw/zinc/07/15/94/409071594.db2.gz GZAACTHMGZSLDB-CYBMUJFWSA-N 1 2 310.394 1.954 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC000293226057 409071597 /nfs/dbraw/zinc/07/15/97/409071597.db2.gz GZAACTHMGZSLDB-CYBMUJFWSA-N 1 2 310.394 1.954 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3ccccc3C#N)CC2)nn1 ZINC000283539169 409173099 /nfs/dbraw/zinc/17/30/99/409173099.db2.gz MLYUOTDBOLGHMP-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)N1CCc2ccccc21 ZINC000283943883 409244355 /nfs/dbraw/zinc/24/43/55/409244355.db2.gz FNIVTCDDQFPDQP-BXUZGUMPSA-N 1 2 303.362 1.432 20 30 DDEDLO C[C@H](c1ccc(F)cc1)N(C)C(=O)CO[NH+]=C(N)[C@H]1CCCO1 ZINC000284033959 409261684 /nfs/dbraw/zinc/26/16/84/409261684.db2.gz IQXWNMIXLBUOQN-BXUZGUMPSA-N 1 2 323.368 1.813 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cnc(C3OCCO3)s2)C1=O ZINC000289908935 409289956 /nfs/dbraw/zinc/28/99/56/409289956.db2.gz XWBAKNMIIIFXSI-LBPRGKRZSA-N 1 2 323.418 1.407 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cnc(C3OCCO3)s2)C1=O ZINC000289908935 409289957 /nfs/dbraw/zinc/28/99/57/409289957.db2.gz XWBAKNMIIIFXSI-LBPRGKRZSA-N 1 2 323.418 1.407 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000290020483 409291722 /nfs/dbraw/zinc/29/17/22/409291722.db2.gz VASIPVFZPPBGCS-UKRRQHHQSA-N 1 2 323.828 1.838 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000290020483 409291724 /nfs/dbraw/zinc/29/17/24/409291724.db2.gz VASIPVFZPPBGCS-UKRRQHHQSA-N 1 2 323.828 1.838 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000294493584 409292569 /nfs/dbraw/zinc/29/25/69/409292569.db2.gz HYEHJUIOEOIAFL-OAHLLOKOSA-N 1 2 306.793 1.853 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000294493584 409292572 /nfs/dbraw/zinc/29/25/72/409292572.db2.gz HYEHJUIOEOIAFL-OAHLLOKOSA-N 1 2 306.793 1.853 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000294541617 409293385 /nfs/dbraw/zinc/29/33/85/409293385.db2.gz SOCGOUDVFQREML-SWLSCSKDSA-N 1 2 315.348 1.803 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000290087550 409299184 /nfs/dbraw/zinc/29/91/84/409299184.db2.gz VNXZPHKOMMXBIR-UHFFFAOYSA-N 1 2 300.402 1.437 20 30 DDEDLO CCOc1ccc(NC(=O)CO[NH+]=C(N)[C@@H]2CCCO2)cc1 ZINC000284589351 409350549 /nfs/dbraw/zinc/35/05/49/409350549.db2.gz XVVUMSBJJZBWHY-ZDUSSCGKSA-N 1 2 307.350 1.492 20 30 DDEDLO COCC[NH+]1CCN(C(=O)[C@@H](C)Oc2ccc(C#N)cc2)CC1 ZINC000280718634 409426185 /nfs/dbraw/zinc/42/61/85/409426185.db2.gz HGVQQYBRPONHNM-CQSZACIVSA-N 1 2 317.389 1.116 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1C(=O)N1CC=C(c2ccc(C#N)cc2)CC1 ZINC000408045029 164208372 /nfs/dbraw/zinc/20/83/72/164208372.db2.gz POAQKDITCNHYLL-KRWDZBQOSA-N 1 2 311.385 1.505 20 30 DDEDLO C[N@H+]1CCOC[C@H]1C(=O)N1CC=C(c2ccc(C#N)cc2)CC1 ZINC000408045029 164208375 /nfs/dbraw/zinc/20/83/75/164208375.db2.gz POAQKDITCNHYLL-KRWDZBQOSA-N 1 2 311.385 1.505 20 30 DDEDLO N#Cc1nccc(N(CC[NH+]2CCOCC2)Cc2ccco2)n1 ZINC000579858956 422848875 /nfs/dbraw/zinc/84/88/75/422848875.db2.gz BZUBHDBAYFULMI-UHFFFAOYSA-N 1 2 313.361 1.280 20 30 DDEDLO CCO[C@H](C)c1noc(C[N@H+](C)CCC(=O)N(C)CCC#N)n1 ZINC000357009832 409791293 /nfs/dbraw/zinc/79/12/93/409791293.db2.gz RSPDXZXJZLPRCB-GFCCVEGCSA-N 1 2 323.397 1.361 20 30 DDEDLO CCO[C@H](C)c1noc(C[N@@H+](C)CCC(=O)N(C)CCC#N)n1 ZINC000357009832 409791298 /nfs/dbraw/zinc/79/12/98/409791298.db2.gz RSPDXZXJZLPRCB-GFCCVEGCSA-N 1 2 323.397 1.361 20 30 DDEDLO COc1cc(C[NH+]2CCC(CS(C)(=O)=O)CC2)ccc1C#N ZINC000319382662 409892757 /nfs/dbraw/zinc/89/27/57/409892757.db2.gz CBGFDBFMFHFBRH-UHFFFAOYSA-N 1 2 322.430 1.823 20 30 DDEDLO CCn1cnnc1C[NH2+]Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000350619782 409972656 /nfs/dbraw/zinc/97/26/56/409972656.db2.gz SELQDESJFNYYOZ-UHFFFAOYSA-N 1 2 321.388 1.860 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@H]1CCN(C(=O)NCc2ccoc2)C1 ZINC000328637492 409940741 /nfs/dbraw/zinc/94/07/41/409940741.db2.gz OQQVGQNZTFVFKU-AWEZNQCLSA-N 1 2 315.377 1.690 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@H]1CCN(C(=O)NCc2ccoc2)C1 ZINC000328637492 409940747 /nfs/dbraw/zinc/94/07/47/409940747.db2.gz OQQVGQNZTFVFKU-AWEZNQCLSA-N 1 2 315.377 1.690 20 30 DDEDLO CCNC(=O)CN1CC[NH+](Cc2c[nH]c3nccnc23)CC1 ZINC000328878530 410000282 /nfs/dbraw/zinc/00/02/82/410000282.db2.gz JGZFZFKWXWJXDG-UHFFFAOYSA-N 1 2 302.382 1.004 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(Cc2c[nH]c3nccnc23)CC1 ZINC000328878530 410000290 /nfs/dbraw/zinc/00/02/90/410000290.db2.gz JGZFZFKWXWJXDG-UHFFFAOYSA-N 1 2 302.382 1.004 20 30 DDEDLO COCC1(CNC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)CCC1 ZINC000328893674 410003747 /nfs/dbraw/zinc/00/37/47/410003747.db2.gz UNORXHIBUAJVOE-KGLIPLIRSA-N 1 2 311.426 1.122 20 30 DDEDLO COCC1(CNC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)CCC1 ZINC000328893674 410003749 /nfs/dbraw/zinc/00/37/49/410003749.db2.gz UNORXHIBUAJVOE-KGLIPLIRSA-N 1 2 311.426 1.122 20 30 DDEDLO O=C(C[C@H]1CCCS(=O)(=O)C1)NCC[NH+]1CCSCC1 ZINC000328896207 410005936 /nfs/dbraw/zinc/00/59/36/410005936.db2.gz WSJCCHHTEAQULH-GFCCVEGCSA-N 1 2 320.480 1.207 20 30 DDEDLO C=CCn1cc(-c2nc([C@H]3C[N@@H+]4CCCC[C@H]4CO3)no2)nn1 ZINC000351379854 410072605 /nfs/dbraw/zinc/07/26/05/410072605.db2.gz RSOGAKRVNAQKMH-WCQYABFASA-N 1 2 316.365 1.440 20 30 DDEDLO C=CCn1cc(-c2nc([C@H]3C[N@H+]4CCCC[C@H]4CO3)no2)nn1 ZINC000351379854 410072611 /nfs/dbraw/zinc/07/26/11/410072611.db2.gz RSOGAKRVNAQKMH-WCQYABFASA-N 1 2 316.365 1.440 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)CCO1 ZINC000329106417 410107225 /nfs/dbraw/zinc/10/72/25/410107225.db2.gz OTNNYOLFESKZNJ-KFWWJZLASA-N 1 2 311.426 1.265 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)N1CCN(Cc2cscn2)CC1 ZINC000329188869 410155437 /nfs/dbraw/zinc/15/54/37/410155437.db2.gz PLZULZVKLMPUCZ-UHFFFAOYSA-N 1 2 320.422 1.108 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(c2ccnc3cc(F)cnc32)CC1 ZINC000329334298 410240173 /nfs/dbraw/zinc/24/01/73/410240173.db2.gz XHQPTZBMZGPRIS-UHFFFAOYSA-N 1 2 317.368 1.867 20 30 DDEDLO C[N@H+](Cc1ccc(Br)cc1C#N)[C@@H]1CCNC1=O ZINC000346971835 410287598 /nfs/dbraw/zinc/28/75/98/410287598.db2.gz BJFWCMKHCPTENO-GFCCVEGCSA-N 1 2 308.179 1.641 20 30 DDEDLO C[N@@H+](Cc1ccc(Br)cc1C#N)[C@@H]1CCNC1=O ZINC000346971835 410287602 /nfs/dbraw/zinc/28/76/02/410287602.db2.gz BJFWCMKHCPTENO-GFCCVEGCSA-N 1 2 308.179 1.641 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)N2CCOCC3(CC3)C2)CCO1 ZINC000329433259 410297278 /nfs/dbraw/zinc/29/72/78/410297278.db2.gz IEOLXVANSYYAQE-UHFFFAOYSA-N 1 2 311.426 1.124 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)N2CCOCC3(CC3)C2)CCO1 ZINC000329433259 410297285 /nfs/dbraw/zinc/29/72/85/410297285.db2.gz IEOLXVANSYYAQE-UHFFFAOYSA-N 1 2 311.426 1.124 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2cn[nH]c2C1)N1CC[C@@H]([NH+]2CC=CC2)C1 ZINC000329843022 410461136 /nfs/dbraw/zinc/46/11/36/410461136.db2.gz KRBKVOUZLWGMGJ-ZIAGYGMSSA-N 1 2 301.394 1.127 20 30 DDEDLO CN(C)c1[nH+]cccc1N(C)S(=O)(=O)c1cncc(C#N)c1 ZINC000352265074 410588639 /nfs/dbraw/zinc/58/86/39/410588639.db2.gz JPCKQPBARPDADB-UHFFFAOYSA-N 1 2 317.374 1.239 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCC(C)(C)C#N ZINC000355585234 410592289 /nfs/dbraw/zinc/59/22/89/410592289.db2.gz ILBHXBWNXQWULW-ZDUSSCGKSA-N 1 2 319.409 1.149 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCC(C)(C)C#N ZINC000355585234 410592296 /nfs/dbraw/zinc/59/22/96/410592296.db2.gz ILBHXBWNXQWULW-ZDUSSCGKSA-N 1 2 319.409 1.149 20 30 DDEDLO N#CCC(=O)NC1CC[NH+](CC(=O)Nc2ccccc2)CC1 ZINC000358899533 410537189 /nfs/dbraw/zinc/53/71/89/410537189.db2.gz GPIYVMRHJCYMDJ-UHFFFAOYSA-N 1 2 300.362 1.119 20 30 DDEDLO C[C@H](C(=O)NC[C@H]1COc2ccccc2O1)[N@@H+](C)C[C@H](C)C#N ZINC000299163856 410552641 /nfs/dbraw/zinc/55/26/41/410552641.db2.gz NCZHYBHJUWVMHJ-MCIONIFRSA-N 1 2 317.389 1.423 20 30 DDEDLO C[C@H](C(=O)NC[C@H]1COc2ccccc2O1)[N@H+](C)C[C@H](C)C#N ZINC000299163856 410552645 /nfs/dbraw/zinc/55/26/45/410552645.db2.gz NCZHYBHJUWVMHJ-MCIONIFRSA-N 1 2 317.389 1.423 20 30 DDEDLO N#Cc1cc(F)ccc1Cn1c2ccccc2[nH]c1=[NH+]CCO ZINC000343589676 410555677 /nfs/dbraw/zinc/55/56/77/410555677.db2.gz WDTATVYHVSCHOY-UHFFFAOYSA-N 1 2 310.332 2.500 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](CC(N)=O)[C@@H](C)c2ccccc2)C1=O ZINC000337193629 410661896 /nfs/dbraw/zinc/66/18/96/410661896.db2.gz VQADUOBYGALOCG-ZFWWWQNUSA-N 1 2 301.390 1.322 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](CC(N)=O)[C@@H](C)c2ccccc2)C1=O ZINC000337193629 410661903 /nfs/dbraw/zinc/66/19/03/410661903.db2.gz VQADUOBYGALOCG-ZFWWWQNUSA-N 1 2 301.390 1.322 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)N(C)CCCn1cc[nH+]c1 ZINC000352317016 410619149 /nfs/dbraw/zinc/61/91/49/410619149.db2.gz ZRQSDFDYRKIQMI-AWEZNQCLSA-N 1 2 306.410 1.593 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000330550395 410769377 /nfs/dbraw/zinc/76/93/77/410769377.db2.gz DTXROUBHOQFOLX-XHSDSOJGSA-N 1 2 310.438 1.273 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000330550395 410769382 /nfs/dbraw/zinc/76/93/82/410769382.db2.gz DTXROUBHOQFOLX-XHSDSOJGSA-N 1 2 310.438 1.273 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@H+](C)[C@H]1CCNC1=O ZINC000340226661 410775527 /nfs/dbraw/zinc/77/55/27/410775527.db2.gz SCOIWVIZHRXABV-RNCFNFMXSA-N 1 2 320.780 1.359 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@@H+](C)[C@H]1CCNC1=O ZINC000340226661 410775531 /nfs/dbraw/zinc/77/55/31/410775531.db2.gz SCOIWVIZHRXABV-RNCFNFMXSA-N 1 2 320.780 1.359 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2[nH+]ccn2CC(F)(F)F)cn1 ZINC000359958634 410971220 /nfs/dbraw/zinc/97/12/20/410971220.db2.gz LETNFEFJVJUIRG-UHFFFAOYSA-N 1 2 309.251 1.642 20 30 DDEDLO Cc1nc(NCc2cccc(C(=O)N[C@@H](C)CC#N)c2)cc[nH+]1 ZINC000348733764 411068964 /nfs/dbraw/zinc/06/89/64/411068964.db2.gz DTTWMPPGOKJDGY-LBPRGKRZSA-N 1 2 309.373 2.429 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H]1C(=O)OC(C)(C)C ZINC000353580214 411072204 /nfs/dbraw/zinc/07/22/04/411072204.db2.gz ZCIOFBYCDZURIS-CYBMUJFWSA-N 1 2 309.410 1.555 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H]1C(=O)OC(C)(C)C ZINC000353580214 411072209 /nfs/dbraw/zinc/07/22/09/411072209.db2.gz ZCIOFBYCDZURIS-CYBMUJFWSA-N 1 2 309.410 1.555 20 30 DDEDLO N#Cc1csc(C[NH+]2CCC(n3ccc([N+](=O)[O-])n3)CC2)n1 ZINC000356420171 411022743 /nfs/dbraw/zinc/02/27/43/411022743.db2.gz ILGHYKMNXVIBSY-UHFFFAOYSA-N 1 2 318.362 1.957 20 30 DDEDLO CCN1CCN(C(=O)NCCCCC#N)C[C@@H]1c1[nH]cc[nH+]1 ZINC000353832054 411133894 /nfs/dbraw/zinc/13/38/94/411133894.db2.gz ZTDIHAMQCBNDGP-CYBMUJFWSA-N 1 2 304.398 1.492 20 30 DDEDLO CC[C@H](C)NC(=O)CN1CC[NH+](Cc2ccc(C#N)s2)CC1 ZINC000129123580 196021152 /nfs/dbraw/zinc/02/11/52/196021152.db2.gz HLIKHJUUBDFSDP-ZDUSSCGKSA-N 1 2 320.462 1.652 20 30 DDEDLO C=C[C@H](C)Nc1ccc(S(=O)(=O)N2CC[NH+](C)CC2)cn1 ZINC000129266206 221581151 /nfs/dbraw/zinc/58/11/51/221581151.db2.gz URLBDCZWLLOLLR-LBPRGKRZSA-N 1 2 310.423 1.004 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCC(c2nc(C)n[nH]2)CC1 ZINC000366010115 418415688 /nfs/dbraw/zinc/41/56/88/418415688.db2.gz XBAFHCHZMKOKQJ-UHFFFAOYSA-N 1 2 305.426 1.717 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C(CCC)CCC)CC1 ZINC000366789581 418517636 /nfs/dbraw/zinc/51/76/36/418517636.db2.gz SBHYSNYPWUBHMU-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C(CCC)CCC)CC1 ZINC000366789581 418517640 /nfs/dbraw/zinc/51/76/40/418517640.db2.gz SBHYSNYPWUBHMU-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO COC(=O)CCC[N@@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000374364363 418522704 /nfs/dbraw/zinc/52/27/04/418522704.db2.gz GMOYKIUZPZJLHI-YVEFUNNKSA-N 1 2 313.357 1.285 20 30 DDEDLO COC(=O)CCC[N@H+]1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000374364363 418522705 /nfs/dbraw/zinc/52/27/05/418522705.db2.gz GMOYKIUZPZJLHI-YVEFUNNKSA-N 1 2 313.357 1.285 20 30 DDEDLO N#CC1(c2ccccn2)CC[NH+](CC(=O)NCc2ccco2)CC1 ZINC000367066909 418556957 /nfs/dbraw/zinc/55/69/57/418556957.db2.gz OUOIHEDMPCWVNN-UHFFFAOYSA-N 1 2 324.384 1.848 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@H]2CCC[C@H]2[C@@H]1C(=O)OC ZINC000191215802 222099353 /nfs/dbraw/zinc/09/93/53/222099353.db2.gz KEWUMZZBKIOYPP-IIAWOOMASA-N 1 2 306.406 1.461 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@H]2CCC[C@H]2[C@@H]1C(=O)OC ZINC000191215802 222099356 /nfs/dbraw/zinc/09/93/56/222099356.db2.gz KEWUMZZBKIOYPP-IIAWOOMASA-N 1 2 306.406 1.461 20 30 DDEDLO COCCO[C@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000189698346 222054053 /nfs/dbraw/zinc/05/40/53/222054053.db2.gz JHTFWAXESDYDIR-HNNXBMFYSA-N 1 2 303.362 1.234 20 30 DDEDLO COCCO[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000189698346 222054056 /nfs/dbraw/zinc/05/40/56/222054056.db2.gz JHTFWAXESDYDIR-HNNXBMFYSA-N 1 2 303.362 1.234 20 30 DDEDLO C#CC[N@@H+](C)[C@@H](C)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000192220759 222122565 /nfs/dbraw/zinc/12/25/65/222122565.db2.gz KSFKUFHMJZMGEI-AWEZNQCLSA-N 1 2 301.390 1.415 20 30 DDEDLO C#CC[N@H+](C)[C@@H](C)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000192220759 222122569 /nfs/dbraw/zinc/12/25/69/222122569.db2.gz KSFKUFHMJZMGEI-AWEZNQCLSA-N 1 2 301.390 1.415 20 30 DDEDLO C#CC[N@@H+](C)Cc1nc2sc(C(=O)OCC)c(C)c2c(N)n1 ZINC000192231888 222123927 /nfs/dbraw/zinc/12/39/27/222123927.db2.gz WRESKRWLUOVVGI-UHFFFAOYSA-N 1 2 318.402 1.824 20 30 DDEDLO C#CC[N@H+](C)Cc1nc2sc(C(=O)OCC)c(C)c2c(N)n1 ZINC000192231888 222123928 /nfs/dbraw/zinc/12/39/28/222123928.db2.gz WRESKRWLUOVVGI-UHFFFAOYSA-N 1 2 318.402 1.824 20 30 DDEDLO C=CCCCCC[NH+]1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000193302463 222148668 /nfs/dbraw/zinc/14/86/68/222148668.db2.gz VQQUPJQXKGZKAY-OAHLLOKOSA-N 1 2 300.468 1.538 20 30 DDEDLO CCC[N@H+](CC(=O)Nc1ccc(C#N)cc1)CC(=O)N(C)C ZINC000193492430 222156723 /nfs/dbraw/zinc/15/67/23/222156723.db2.gz VLNRTBXIWSFJCN-UHFFFAOYSA-N 1 2 302.378 1.297 20 30 DDEDLO CCC[N@@H+](CC(=O)Nc1ccc(C#N)cc1)CC(=O)N(C)C ZINC000193492430 222156728 /nfs/dbraw/zinc/15/67/28/222156728.db2.gz VLNRTBXIWSFJCN-UHFFFAOYSA-N 1 2 302.378 1.297 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+](CC)C[C@H](C)C#N)C1 ZINC000249873641 222242698 /nfs/dbraw/zinc/24/26/98/222242698.db2.gz KVIQPMKEHLTOMH-ZIAGYGMSSA-N 1 2 309.410 1.270 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+](CC)C[C@H](C)C#N)C1 ZINC000249873641 222242704 /nfs/dbraw/zinc/24/27/04/222242704.db2.gz KVIQPMKEHLTOMH-ZIAGYGMSSA-N 1 2 309.410 1.270 20 30 DDEDLO C=CCCCCCCNC(=O)N1CCC[N@@H+](CC(=O)NC)CC1 ZINC000367874647 418650553 /nfs/dbraw/zinc/65/05/53/418650553.db2.gz OPOIVNBPIRQRMK-UHFFFAOYSA-N 1 2 324.469 1.586 20 30 DDEDLO C=CCCCCCCNC(=O)N1CCC[N@H+](CC(=O)NC)CC1 ZINC000367874647 418650555 /nfs/dbraw/zinc/65/05/55/418650555.db2.gz OPOIVNBPIRQRMK-UHFFFAOYSA-N 1 2 324.469 1.586 20 30 DDEDLO CCn1nccc1C[N@H+](CCO)Cc1ccc(C#N)c(F)c1 ZINC000361362926 418635853 /nfs/dbraw/zinc/63/58/53/418635853.db2.gz WRKSCKBKHGGWIW-UHFFFAOYSA-N 1 2 302.353 1.908 20 30 DDEDLO CCn1nccc1C[N@@H+](CCO)Cc1ccc(C#N)c(F)c1 ZINC000361362926 418635857 /nfs/dbraw/zinc/63/58/57/418635857.db2.gz WRKSCKBKHGGWIW-UHFFFAOYSA-N 1 2 302.353 1.908 20 30 DDEDLO C#CCCCS(=O)(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC000367804647 418636377 /nfs/dbraw/zinc/63/63/77/418636377.db2.gz KPWOBBUQPVOQGL-AWEZNQCLSA-N 1 2 309.435 1.418 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC000368236448 418707428 /nfs/dbraw/zinc/70/74/28/418707428.db2.gz UEHFCMHKRBPKAT-CYBMUJFWSA-N 1 2 324.450 1.344 20 30 DDEDLO C#CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC000376950229 418703056 /nfs/dbraw/zinc/70/30/56/418703056.db2.gz QYKZMXBXHAFMDK-UHFFFAOYSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC000376950229 418703058 /nfs/dbraw/zinc/70/30/58/418703058.db2.gz QYKZMXBXHAFMDK-UHFFFAOYSA-N 1 2 307.438 1.095 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000377032230 418704418 /nfs/dbraw/zinc/70/44/18/418704418.db2.gz LBVJRVRCABLGTL-OAHLLOKOSA-N 1 2 322.453 1.823 20 30 DDEDLO N#CCCCn1nnc([C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000369251277 418730891 /nfs/dbraw/zinc/73/08/91/418730891.db2.gz NMECKXJZZLZTQK-OAHLLOKOSA-N 1 2 312.377 1.550 20 30 DDEDLO N#CCCCn1nnc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000369251277 418730893 /nfs/dbraw/zinc/73/08/93/418730893.db2.gz NMECKXJZZLZTQK-OAHLLOKOSA-N 1 2 312.377 1.550 20 30 DDEDLO N#CCCCn1nnc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000369251276 418731105 /nfs/dbraw/zinc/73/11/05/418731105.db2.gz NMECKXJZZLZTQK-HNNXBMFYSA-N 1 2 312.377 1.550 20 30 DDEDLO N#CCCCn1nnc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000369251276 418731108 /nfs/dbraw/zinc/73/11/08/418731108.db2.gz NMECKXJZZLZTQK-HNNXBMFYSA-N 1 2 312.377 1.550 20 30 DDEDLO COC(=O)[C@H]([NH2+]Cc1cn(C)nc1-c1ccc(C#N)cc1)C1CC1 ZINC000370335313 418743359 /nfs/dbraw/zinc/74/33/59/418743359.db2.gz RZVLXFCIPWYDGJ-QGZVFWFLSA-N 1 2 324.384 2.000 20 30 DDEDLO C=CCOc1ccccc1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000362254098 418751256 /nfs/dbraw/zinc/75/12/56/418751256.db2.gz GHVOAVUIUBXEJQ-OAHLLOKOSA-N 1 2 316.401 1.798 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)[C@]2(C#N)CCc3ccccc3C2)CC1 ZINC000378454006 418722765 /nfs/dbraw/zinc/72/27/65/418722765.db2.gz NEXAPUWHZLAHTF-HXUWFJFHSA-N 1 2 321.424 1.853 20 30 DDEDLO C=CCCCCNC(=O)N1CC[C@@H]([NH+]2CCN(CC)CC2)C1 ZINC000368810838 418725723 /nfs/dbraw/zinc/72/57/23/418725723.db2.gz PTHLVWMYHAUMIK-MRXNPFEDSA-N 1 2 308.470 1.764 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)NC(=O)NC2CCCCC2)CC1 ZINC000408158205 418790474 /nfs/dbraw/zinc/79/04/74/418790474.db2.gz JVVVGQGVGTYARZ-UHFFFAOYSA-N 1 2 306.410 1.771 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)c(C)c2)C[C@@H]1C ZINC000408436839 418803546 /nfs/dbraw/zinc/80/35/46/418803546.db2.gz KJBMLAIUKDIWRY-UONOGXRCSA-N 1 2 321.446 1.970 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)c(C)c2)C[C@@H]1C ZINC000408436839 418803550 /nfs/dbraw/zinc/80/35/50/418803550.db2.gz KJBMLAIUKDIWRY-UONOGXRCSA-N 1 2 321.446 1.970 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000365636199 418886151 /nfs/dbraw/zinc/88/61/51/418886151.db2.gz QQNWIAVCBASXNF-KRWDZBQOSA-N 1 2 322.412 1.839 20 30 DDEDLO C=CCOCCNC(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC000365261824 418846835 /nfs/dbraw/zinc/84/68/35/418846835.db2.gz OWBNNYBXAVOEED-CQSZACIVSA-N 1 2 306.410 1.587 20 30 DDEDLO COc1cc[nH+]cc1CNS(=O)(=O)c1cccc(C)c1C#N ZINC000431597640 229045018 /nfs/dbraw/zinc/04/50/18/229045018.db2.gz VIBXDMWRSSQNSM-UHFFFAOYSA-N 1 2 317.370 1.749 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCC(CS(C)(=O)=O)CC1 ZINC000429259711 419994175 /nfs/dbraw/zinc/99/41/75/419994175.db2.gz YFDVFZPWAVUYTM-UHFFFAOYSA-N 1 2 316.467 1.168 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCC[C@H]3CO)nc[nH+]2)s1 ZINC000420609162 420330853 /nfs/dbraw/zinc/33/08/53/420330853.db2.gz IXBFPKFGKZIQOX-NSHDSACASA-N 1 2 315.402 1.983 20 30 DDEDLO N#Cc1ccc(CNc2cc(N3CCC[C@H]3CO)[nH+]cn2)s1 ZINC000420609162 420330857 /nfs/dbraw/zinc/33/08/57/420330857.db2.gz IXBFPKFGKZIQOX-NSHDSACASA-N 1 2 315.402 1.983 20 30 DDEDLO C[C@H](O)[C@@H]1CCN(c2cc(N[C@@H]3CC[C@H](C#N)C3)[nH+]cn2)C1 ZINC000425276827 420335937 /nfs/dbraw/zinc/33/59/37/420335937.db2.gz WKAQPTHMWYGPOU-IGQOVBAYSA-N 1 2 301.394 1.788 20 30 DDEDLO C[C@H](O)[C@@H]1CCN(c2cc(N[C@@H]3CC[C@H](C#N)C3)nc[nH+]2)C1 ZINC000425276827 420335939 /nfs/dbraw/zinc/33/59/39/420335939.db2.gz WKAQPTHMWYGPOU-IGQOVBAYSA-N 1 2 301.394 1.788 20 30 DDEDLO C=CC[C@H](C(=O)N[C@H](CO)C[NH+]1CCOCC1)c1ccccc1 ZINC000425294783 420342759 /nfs/dbraw/zinc/34/27/59/420342759.db2.gz NXQCGQHOCLZQGD-IRXDYDNUSA-N 1 2 318.417 1.156 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CCC[C@H](c2[nH+]cc3n2CCCC3)C1 ZINC000416528840 420407789 /nfs/dbraw/zinc/40/77/89/420407789.db2.gz QYFPRTOKKSWNIQ-STQMWFEESA-N 1 2 322.434 1.641 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(c2ncccc2C#N)C1 ZINC000440653032 420593665 /nfs/dbraw/zinc/59/36/65/420593665.db2.gz UPDXSBJJLOFYQL-KRWDZBQOSA-N 1 2 316.405 1.853 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000452928035 420681730 /nfs/dbraw/zinc/68/17/30/420681730.db2.gz RVWGKEPDYDTFTR-PMPSAXMXSA-N 1 2 310.438 1.583 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(C(=O)OC(C)(C)C)C[C@@H]2C)C1=O ZINC000493034775 420740882 /nfs/dbraw/zinc/74/08/82/420740882.db2.gz GREGVYZIJDSJPF-KBPBESRZSA-N 1 2 323.437 1.715 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(C(=O)OC(C)(C)C)C[C@@H]2C)C1=O ZINC000493034775 420740886 /nfs/dbraw/zinc/74/08/86/420740886.db2.gz GREGVYZIJDSJPF-KBPBESRZSA-N 1 2 323.437 1.715 20 30 DDEDLO COc1cc(/C=C\C(=O)N[C@](C)(C#N)C[NH+](C)C)ccc1F ZINC000493119970 420762189 /nfs/dbraw/zinc/76/21/89/420762189.db2.gz PSCHLKCUTRBCIQ-QKIRZYONSA-N 1 2 305.353 1.808 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(C(=O)OC(C)(C)C)[C@H](C)C2)C1=O ZINC000493355544 420827290 /nfs/dbraw/zinc/82/72/90/420827290.db2.gz FQHNRUZMJMWSNZ-ZIAGYGMSSA-N 1 2 323.437 1.715 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(C(=O)OC(C)(C)C)[C@H](C)C2)C1=O ZINC000493355544 420827293 /nfs/dbraw/zinc/82/72/93/420827293.db2.gz FQHNRUZMJMWSNZ-ZIAGYGMSSA-N 1 2 323.437 1.715 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000448645782 420876493 /nfs/dbraw/zinc/87/64/93/420876493.db2.gz BZBZVIBWPIQYEO-CVEARBPZSA-N 1 2 301.390 1.317 20 30 DDEDLO C=C(CC)CNC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000454895593 420939324 /nfs/dbraw/zinc/93/93/24/420939324.db2.gz VBTRMIKIPFPPBA-UHFFFAOYSA-N 1 2 307.398 1.303 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](C)CCNC(=O)OC(C)(C)C ZINC000495930672 421096674 /nfs/dbraw/zinc/09/66/74/421096674.db2.gz OTEJMWKQVXSSRF-UHFFFAOYSA-N 1 2 312.414 1.205 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](C)CCNC(=O)OC(C)(C)C ZINC000495930672 421096678 /nfs/dbraw/zinc/09/66/78/421096678.db2.gz OTEJMWKQVXSSRF-UHFFFAOYSA-N 1 2 312.414 1.205 20 30 DDEDLO CN(C)C(=O)O[C@H]1CC[N@H+](Cn2nc(C#N)c3ccccc32)C1 ZINC000495938413 421098135 /nfs/dbraw/zinc/09/81/35/421098135.db2.gz ZPGRFPWTXXCMLS-LBPRGKRZSA-N 1 2 313.361 1.638 20 30 DDEDLO CN(C)C(=O)O[C@H]1CC[N@@H+](Cn2nc(C#N)c3ccccc32)C1 ZINC000495938413 421098139 /nfs/dbraw/zinc/09/81/39/421098139.db2.gz ZPGRFPWTXXCMLS-LBPRGKRZSA-N 1 2 313.361 1.638 20 30 DDEDLO C[C@@H](NC(=O)Nc1cccc(CC#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000455922006 421077509 /nfs/dbraw/zinc/07/75/09/421077509.db2.gz QIBIBTZXQYKTEC-KGLIPLIRSA-N 1 2 316.405 1.983 20 30 DDEDLO COc1ncccc1C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000488297923 421081839 /nfs/dbraw/zinc/08/18/39/421081839.db2.gz QHCBGBGZZIKOOW-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=CCCCS(=O)(=O)NCC(C)(C)[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC000489066846 421135514 /nfs/dbraw/zinc/13/55/14/421135514.db2.gz FKIXPJHDNHVPKE-KBPBESRZSA-N 1 2 318.483 1.760 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)C(C)(C)[C@H]2c2ccccc2)CC1 ZINC000490779853 421193042 /nfs/dbraw/zinc/19/30/42/421193042.db2.gz BAKYXEIRFNRJLR-QGZVFWFLSA-N 1 2 311.429 1.804 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)CC(=O)NCc1cccs1 ZINC000523653900 421243009 /nfs/dbraw/zinc/24/30/09/421243009.db2.gz FZJAQDIFTMLIPV-UHFFFAOYSA-N 1 2 323.462 1.721 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)CC(=O)NCc1cccs1 ZINC000523653900 421243015 /nfs/dbraw/zinc/24/30/15/421243015.db2.gz FZJAQDIFTMLIPV-UHFFFAOYSA-N 1 2 323.462 1.721 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000528790679 421519270 /nfs/dbraw/zinc/51/92/70/421519270.db2.gz MRFXPIBSYXZQLP-CJNGLKHVSA-N 1 2 317.393 1.374 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000528790679 421519272 /nfs/dbraw/zinc/51/92/72/421519272.db2.gz MRFXPIBSYXZQLP-CJNGLKHVSA-N 1 2 317.393 1.374 20 30 DDEDLO C[C@@H]1[C@H](C)[S@](=O)CC[N@@H+]1C[C@H](O)COc1ccccc1C#N ZINC000528386469 421485933 /nfs/dbraw/zinc/48/59/33/421485933.db2.gz IUFAXLBEHCYCEE-HPGPQFBPSA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@@H]1[C@H](C)[S@](=O)CC[N@H+]1C[C@H](O)COc1ccccc1C#N ZINC000528386469 421485935 /nfs/dbraw/zinc/48/59/35/421485935.db2.gz IUFAXLBEHCYCEE-HPGPQFBPSA-N 1 2 322.430 1.139 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2CNS(=O)(=O)[C@@H](C)C#N)cc1 ZINC000528501375 421495413 /nfs/dbraw/zinc/49/54/13/421495413.db2.gz LQAGMYDVZIOOKS-GXTWGEPZSA-N 1 2 323.418 1.101 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2CNS(=O)(=O)[C@@H](C)C#N)cc1 ZINC000528501375 421495417 /nfs/dbraw/zinc/49/54/17/421495417.db2.gz LQAGMYDVZIOOKS-GXTWGEPZSA-N 1 2 323.418 1.101 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)Nc3n[nH]c(C4CC4)c3C#N)ccn12 ZINC000565214739 421596951 /nfs/dbraw/zinc/59/69/51/421596951.db2.gz SBJHGKYZOVGWTF-UHFFFAOYSA-N 1 2 306.329 2.367 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Cc2c(F)cccc2C#N)CCO1 ZINC000552064942 421571417 /nfs/dbraw/zinc/57/14/17/421571417.db2.gz DBYLDXVPHVEEFV-LBPRGKRZSA-N 1 2 305.353 1.077 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Cc2c(F)cccc2C#N)CCO1 ZINC000552064942 421571420 /nfs/dbraw/zinc/57/14/20/421571420.db2.gz DBYLDXVPHVEEFV-LBPRGKRZSA-N 1 2 305.353 1.077 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Cc2c(F)cccc2C#N)CCO1 ZINC000552064941 421571658 /nfs/dbraw/zinc/57/16/58/421571658.db2.gz DBYLDXVPHVEEFV-GFCCVEGCSA-N 1 2 305.353 1.077 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Cc2c(F)cccc2C#N)CCO1 ZINC000552064941 421571661 /nfs/dbraw/zinc/57/16/61/421571661.db2.gz DBYLDXVPHVEEFV-GFCCVEGCSA-N 1 2 305.353 1.077 20 30 DDEDLO Cc1nsc(C)c1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000564580523 421592172 /nfs/dbraw/zinc/59/21/72/421592172.db2.gz FHQJOSAPKXYUBP-UHFFFAOYSA-N 1 2 308.451 1.808 20 30 DDEDLO CC(=O)Nc1ccc(C)cc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000565062835 421595284 /nfs/dbraw/zinc/59/52/84/421595284.db2.gz REJYAMWQIQVRRH-CQSZACIVSA-N 1 2 305.378 1.539 20 30 DDEDLO CC(=O)Nc1ccc(C)cc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000565062835 421595287 /nfs/dbraw/zinc/59/52/87/421595287.db2.gz REJYAMWQIQVRRH-CQSZACIVSA-N 1 2 305.378 1.539 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnc(-c2ccccc2)nc1 ZINC000566306684 421604271 /nfs/dbraw/zinc/60/42/71/421604271.db2.gz WEGMQSFCCVNKRH-KRWDZBQOSA-N 1 2 309.373 1.717 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[NH2+]Cc1nc(C)no1 ZINC000567725992 421614927 /nfs/dbraw/zinc/61/49/27/421614927.db2.gz TUPZLSGQFLYAAX-CQSZACIVSA-N 1 2 303.362 1.636 20 30 DDEDLO CCNC(=O)c1cccc(NC(=O)C[NH2+][C@@H](CC)CC#N)c1 ZINC000568181276 421619065 /nfs/dbraw/zinc/61/90/65/421619065.db2.gz OXVKXOPUSCEEOI-ZDUSSCGKSA-N 1 2 302.378 1.657 20 30 DDEDLO CC(C)(C#N)CCS(=O)(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000568684958 421621250 /nfs/dbraw/zinc/62/12/50/421621250.db2.gz POUMWNUUILGQNV-UHFFFAOYSA-N 1 2 310.423 1.859 20 30 DDEDLO N#C[C@H]1CN(C(=O)Nc2ccc(Cn3cc[nH+]c3)cn2)CCO1 ZINC000554465195 421642118 /nfs/dbraw/zinc/64/21/18/421642118.db2.gz DBGRSJQULWBWTK-ZDUSSCGKSA-N 1 2 312.333 1.083 20 30 DDEDLO Cc1cc(NC(=O)[C@H](C)[NH+]2CCSCC2)n(CCC#N)n1 ZINC000533089520 421668338 /nfs/dbraw/zinc/66/83/38/421668338.db2.gz AUAMFZKREVAETO-LBPRGKRZSA-N 1 2 307.423 1.481 20 30 DDEDLO N#CC1(NC(=O)c2ccc(C[NH+]3CCOCC3)cn2)CCC1 ZINC000555549061 421682417 /nfs/dbraw/zinc/68/24/17/421682417.db2.gz PVDDFGXEXGMCRS-UHFFFAOYSA-N 1 2 300.362 1.090 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[NH2+]Cc1ncn(C)n1 ZINC000572235947 421772057 /nfs/dbraw/zinc/77/20/57/421772057.db2.gz PDSLVGDDZXGTCR-CQSZACIVSA-N 1 2 302.378 1.073 20 30 DDEDLO CCc1nn(C)c(CC)c1CC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000573254081 421941791 /nfs/dbraw/zinc/94/17/91/421941791.db2.gz PLVLKIVKNSXWII-INIZCTEOSA-N 1 2 305.426 1.047 20 30 DDEDLO COc1ccc(CCC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1OC ZINC000573511150 421975475 /nfs/dbraw/zinc/97/54/75/421975475.db2.gz CRELFEYNEPMGEM-QGZVFWFLSA-N 1 2 319.405 1.596 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)s1 ZINC000574910093 422212567 /nfs/dbraw/zinc/21/25/67/422212567.db2.gz HKEDOOWITXHKEY-SECBINFHSA-N 1 2 301.375 1.700 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)s1 ZINC000574910093 422212572 /nfs/dbraw/zinc/21/25/72/422212572.db2.gz HKEDOOWITXHKEY-SECBINFHSA-N 1 2 301.375 1.700 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCO[C@H](CC(N)=O)C2)cc1OC ZINC000628474404 422190489 /nfs/dbraw/zinc/19/04/89/422190489.db2.gz YXKZROWNGIQYCN-CQSZACIVSA-N 1 2 320.389 1.336 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCO[C@H](CC(N)=O)C2)cc1OC ZINC000628474404 422190495 /nfs/dbraw/zinc/19/04/95/422190495.db2.gz YXKZROWNGIQYCN-CQSZACIVSA-N 1 2 320.389 1.336 20 30 DDEDLO CC[C@@](C)(C#N)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000576526175 422374830 /nfs/dbraw/zinc/37/48/30/422374830.db2.gz QRGPQDHLISYULY-BBRMVZONSA-N 1 2 319.409 1.292 20 30 DDEDLO CC[C@@](C)(C#N)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000576526175 422374833 /nfs/dbraw/zinc/37/48/33/422374833.db2.gz QRGPQDHLISYULY-BBRMVZONSA-N 1 2 319.409 1.292 20 30 DDEDLO Cc1c(C#N)c(NCC[N@@H+]2CCO[C@H](C)C2)nc2ccccc12 ZINC000577696891 422407378 /nfs/dbraw/zinc/40/73/78/422407378.db2.gz RWGQDNGEQHLVKF-CYBMUJFWSA-N 1 2 310.401 2.548 20 30 DDEDLO Cc1c(C#N)c(NCC[N@H+]2CCO[C@H](C)C2)nc2ccccc12 ZINC000577696891 422407383 /nfs/dbraw/zinc/40/73/83/422407383.db2.gz RWGQDNGEQHLVKF-CYBMUJFWSA-N 1 2 310.401 2.548 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NS(=O)(=O)C[C@H]1C[N@H+](C)CCO1 ZINC000632544934 422424045 /nfs/dbraw/zinc/42/40/45/422424045.db2.gz QEQYICWMGQLCOS-KFWWJZLASA-N 1 2 316.467 1.371 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NS(=O)(=O)C[C@H]1C[N@@H+](C)CCO1 ZINC000632544934 422424050 /nfs/dbraw/zinc/42/40/50/422424050.db2.gz QEQYICWMGQLCOS-KFWWJZLASA-N 1 2 316.467 1.371 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)c2nc(C(C)(C)C)no2)nn1 ZINC000640937880 423286605 /nfs/dbraw/zinc/28/66/05/423286605.db2.gz YHYWXLQWEMSOGG-LLVKDONJSA-N 1 2 302.382 1.681 20 30 DDEDLO C=CCN(CCc1ccco1)S(=O)(=O)CCn1cc[nH+]c1 ZINC000641414315 423619969 /nfs/dbraw/zinc/61/99/69/423619969.db2.gz ARERBXQNYCSKJP-UHFFFAOYSA-N 1 2 309.391 1.537 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@](C)(CO)c2cccc(O)c2)nn1 ZINC000653677534 423574735 /nfs/dbraw/zinc/57/47/35/423574735.db2.gz WGEPFRFQXRBXJA-INIZCTEOSA-N 1 2 302.378 1.557 20 30 DDEDLO C=CCCNC(=O)N1CC[NH+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000661572485 423908874 /nfs/dbraw/zinc/90/88/74/423908874.db2.gz OTCPDJVZWNGONY-OAHLLOKOSA-N 1 2 322.453 1.291 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+](C)C[C@@H]2COCCO2)c1C ZINC000661607150 423969830 /nfs/dbraw/zinc/96/98/30/423969830.db2.gz PZGYQPYRAWOCHU-OAHLLOKOSA-N 1 2 306.406 1.821 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+](C)C[C@@H]2COCCO2)c1C ZINC000661607150 423969844 /nfs/dbraw/zinc/96/98/44/423969844.db2.gz PZGYQPYRAWOCHU-OAHLLOKOSA-N 1 2 306.406 1.821 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(C(=O)C(CC)CC)CC1 ZINC000642097974 424112173 /nfs/dbraw/zinc/11/21/73/424112173.db2.gz HYCOFAHYTHNZQZ-UHFFFAOYSA-N 1 2 321.465 1.767 20 30 DDEDLO O=C(NCC#Cc1ccccc1)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000660295672 424628565 /nfs/dbraw/zinc/62/85/65/424628565.db2.gz SDKNMEIIPHIAOR-QGZVFWFLSA-N 1 2 313.401 1.154 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNc2ccc([N+](=O)[O-])cc2C#N)CCO1 ZINC000362660859 266378143 /nfs/dbraw/zinc/37/81/43/266378143.db2.gz AWJIUMKGYZVCLM-CQSZACIVSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNc2ccc([N+](=O)[O-])cc2C#N)CCO1 ZINC000362660859 266378146 /nfs/dbraw/zinc/37/81/46/266378146.db2.gz AWJIUMKGYZVCLM-CQSZACIVSA-N 1 2 304.350 1.989 20 30 DDEDLO C[C@@H]1[C@@H](C)[S@@](=O)CC[N@@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000360741349 267103632 /nfs/dbraw/zinc/10/36/32/267103632.db2.gz ZBGKOOKZPFPCOC-VJBOLBCHSA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1[C@@H](C)[S@@](=O)CC[N@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000360741349 267103635 /nfs/dbraw/zinc/10/36/35/267103635.db2.gz ZBGKOOKZPFPCOC-VJBOLBCHSA-N 1 2 319.430 1.728 20 30 DDEDLO N#Cc1cc2c(nc1N1CCN(Cc3[nH]cc[nH+]3)CC1)CCCC2 ZINC000346818631 268023503 /nfs/dbraw/zinc/02/35/03/268023503.db2.gz CUFIWBXXHUYNCO-UHFFFAOYSA-N 1 2 322.416 1.877 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CCC3(CC3)CC2)nc1 ZINC000367378639 268187995 /nfs/dbraw/zinc/18/79/95/268187995.db2.gz SVWFTWXIGWSYSL-UHFFFAOYSA-N 1 2 305.403 1.603 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)cc1 ZINC000364725916 268193019 /nfs/dbraw/zinc/19/30/19/268193019.db2.gz VWBABEDXEHYAQC-CYBMUJFWSA-N 1 2 302.359 1.807 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCC[C@@H]2c2ccc(=O)[nH]n2)cc1 ZINC000528793059 268241905 /nfs/dbraw/zinc/24/19/05/268241905.db2.gz LGTHVVUMQJTVOR-OAHLLOKOSA-N 1 2 323.356 1.829 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCC[C@@H]2c2ccc(=O)[nH]n2)cc1 ZINC000528793059 268241908 /nfs/dbraw/zinc/24/19/08/268241908.db2.gz LGTHVVUMQJTVOR-OAHLLOKOSA-N 1 2 323.356 1.829 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@H]2c2ccc(=O)[nH]n2)c1 ZINC000528787125 268279554 /nfs/dbraw/zinc/27/95/54/268279554.db2.gz FZOOFLCDWGNJBN-HNNXBMFYSA-N 1 2 323.356 1.829 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCC[C@H]2c2ccc(=O)[nH]n2)c1 ZINC000528787125 268279556 /nfs/dbraw/zinc/27/95/56/268279556.db2.gz FZOOFLCDWGNJBN-HNNXBMFYSA-N 1 2 323.356 1.829 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1CC#Cc1ccc(F)cc1 ZINC000286234386 274387544 /nfs/dbraw/zinc/38/75/44/274387544.db2.gz ZHKFQZCHBVVRIN-CRAIPNDOSA-N 1 2 302.393 1.972 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+]([C@@H]2CCCCNC2=O)CC1 ZINC000373369785 277782250 /nfs/dbraw/zinc/78/22/50/277782250.db2.gz MUAWYZAKRKCWKM-LSDHHAIUSA-N 1 2 307.438 1.402 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(Cl)c1 ZINC000225803135 288070838 /nfs/dbraw/zinc/07/08/38/288070838.db2.gz QSJWLEJWQKQNFV-SNVBAGLBSA-N 1 2 313.810 1.536 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)c(Cl)c1 ZINC000225803135 288070839 /nfs/dbraw/zinc/07/08/39/288070839.db2.gz QSJWLEJWQKQNFV-SNVBAGLBSA-N 1 2 313.810 1.536 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC000369132662 279224690 /nfs/dbraw/zinc/22/46/90/279224690.db2.gz YWQYCHGTQRAGIA-CABCVRRESA-N 1 2 323.437 1.264 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC000369132662 279224693 /nfs/dbraw/zinc/22/46/93/279224693.db2.gz YWQYCHGTQRAGIA-CABCVRRESA-N 1 2 323.437 1.264 20 30 DDEDLO C[NH+]1CCN(C([O-])=[NH+][C@@H]2CCc3nc(C(C)(C)C)cn3C2)CC1 ZINC000329204818 290005655 /nfs/dbraw/zinc/00/56/55/290005655.db2.gz DGBWLVJRPDMFRR-CYBMUJFWSA-N 1 2 319.453 1.657 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)C3(c4ccc(C#N)cc4)CC3)C[C@@H]21 ZINC000329929191 290089724 /nfs/dbraw/zinc/08/97/24/290089724.db2.gz NHBDVQKQLAFMJA-JKSUJKDBSA-N 1 2 311.385 1.131 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)C3(c4ccc(C#N)cc4)CC3)C[C@@H]21 ZINC000329929191 290089726 /nfs/dbraw/zinc/08/97/26/290089726.db2.gz NHBDVQKQLAFMJA-JKSUJKDBSA-N 1 2 311.385 1.131 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CCO[C@H](C)C1 ZINC000329243785 300422654 /nfs/dbraw/zinc/42/26/54/300422654.db2.gz TXGWCJGZMQXEHN-GBJTYRQASA-N 1 2 311.426 1.263 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2C[NH+]2CCOCC2)c([N+](=O)[O-])c1 ZINC000160402368 303193772 /nfs/dbraw/zinc/19/37/72/303193772.db2.gz RIEZTBGGPVPUQD-AWEZNQCLSA-N 1 2 316.361 1.767 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1)n1cnc(C#N)n1 ZINC000546672117 303515546 /nfs/dbraw/zinc/51/55/46/303515546.db2.gz QDLGUIHWLQLCJY-JTQLQIEISA-N 1 2 307.317 1.740 20 30 DDEDLO C=Cn1cc(C[NH+]2CCN(c3c(C#N)c(C)nn3C)CC2)cn1 ZINC000556040167 303730305 /nfs/dbraw/zinc/73/03/05/303730305.db2.gz MUWHVZMFPXXBQI-UHFFFAOYSA-N 1 2 311.393 1.219 20 30 DDEDLO N#Cc1c2c(cnc1N1CCC[C@@H]1C[NH+]1CCOCC1)CCC2 ZINC000569113230 308134295 /nfs/dbraw/zinc/13/42/95/308134295.db2.gz ULGITUGPHNRZGD-OAHLLOKOSA-N 1 2 312.417 1.743 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(CC[C@H]2CCCO2)c1=O ZINC000569416720 308143113 /nfs/dbraw/zinc/14/31/13/308143113.db2.gz CDNWOANFELUFML-MRXNPFEDSA-N 1 2 317.389 1.121 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCNC(=O)[C@@H]2Cc2ccccc2)nc1 ZINC000582368441 326021407 /nfs/dbraw/zinc/02/14/07/326021407.db2.gz IRYRAQNQBNOVCM-SFHVURJKSA-N 1 2 320.396 1.886 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCNC(=O)[C@@H]2Cc2ccccc2)nc1 ZINC000582368441 326021408 /nfs/dbraw/zinc/02/14/08/326021408.db2.gz IRYRAQNQBNOVCM-SFHVURJKSA-N 1 2 320.396 1.886 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cncc(Br)c1 ZINC000569527156 332124477 /nfs/dbraw/zinc/12/44/77/332124477.db2.gz ARLCPJCYIXXLDP-LBPRGKRZSA-N 1 2 311.183 1.418 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(NC(=O)C2CC2)cc1 ZINC000562322849 332577223 /nfs/dbraw/zinc/57/72/23/332577223.db2.gz SOSYHFZCJMMLJD-QGZVFWFLSA-N 1 2 314.389 1.609 20 30 DDEDLO C#CC[C@H]([NH2+][C@@H]1CCC[C@@H](NC(=O)OC(C)(C)C)C1)C(=O)OC ZINC000497294434 333232245 /nfs/dbraw/zinc/23/22/45/333232245.db2.gz QWYJUDPVJAALKM-MCIONIFRSA-N 1 2 324.421 1.977 20 30 DDEDLO CC(C)(C)C[N@H+](CCC#N)CC(=O)NCCCN1CCCC1=O ZINC000111250330 333328071 /nfs/dbraw/zinc/32/80/71/333328071.db2.gz IUSROCMSJZRHPT-UHFFFAOYSA-N 1 2 322.453 1.377 20 30 DDEDLO CC(C)(C)C[N@@H+](CCC#N)CC(=O)NCCCN1CCCC1=O ZINC000111250330 333328072 /nfs/dbraw/zinc/32/80/72/333328072.db2.gz IUSROCMSJZRHPT-UHFFFAOYSA-N 1 2 322.453 1.377 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CC(=O)N1CCSC[C@@H]1C#N ZINC000271769526 334026447 /nfs/dbraw/zinc/02/64/47/334026447.db2.gz XAVQOOIMKLKZTP-LBPRGKRZSA-N 1 2 300.387 1.812 20 30 DDEDLO C[C@H](NC(=O)NCC(C)(C)CCC#N)[C@H](C)[NH+]1CCOCC1 ZINC000352820775 336256288 /nfs/dbraw/zinc/25/62/88/336256288.db2.gz RDFUBUMEWHGJQC-KBPBESRZSA-N 1 2 310.442 1.725 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@H](c3ccccc3F)CC2=O)CC1 ZINC000182649331 336278389 /nfs/dbraw/zinc/27/83/89/336278389.db2.gz BCVAGSVXKNWPIL-OAHLLOKOSA-N 1 2 315.392 1.350 20 30 DDEDLO C=C(Br)C[NH+]1CCC(N2C(=O)CCCC2=O)CC1 ZINC000372376018 336929800 /nfs/dbraw/zinc/92/98/00/336929800.db2.gz JNRLKMYPHQAQAQ-UHFFFAOYSA-N 1 2 315.211 1.899 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC(C)(C)[NH+]2CCOCC2)s1 ZINC000136043134 337453542 /nfs/dbraw/zinc/45/35/42/337453542.db2.gz ABSBLKGSLGAFPQ-UHFFFAOYSA-N 1 2 324.450 1.582 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000497064446 340019406 /nfs/dbraw/zinc/01/94/06/340019406.db2.gz OIHJAQWCBHHASS-UONOGXRCSA-N 1 2 324.425 1.157 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000497064446 340019407 /nfs/dbraw/zinc/01/94/07/340019407.db2.gz OIHJAQWCBHHASS-UONOGXRCSA-N 1 2 324.425 1.157 20 30 DDEDLO CCOC(=O)N1CCC(NC(=O)C[N@H+](C)CC(C)(C)C#N)CC1 ZINC000497115261 340020655 /nfs/dbraw/zinc/02/06/55/340020655.db2.gz JOACHVZVZAYQMJ-UHFFFAOYSA-N 1 2 324.425 1.205 20 30 DDEDLO CCOC(=O)N1CCC(NC(=O)C[N@@H+](C)CC(C)(C)C#N)CC1 ZINC000497115261 340020656 /nfs/dbraw/zinc/02/06/56/340020656.db2.gz JOACHVZVZAYQMJ-UHFFFAOYSA-N 1 2 324.425 1.205 20 30 DDEDLO COc1ncccc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000193368797 340576879 /nfs/dbraw/zinc/57/68/79/340576879.db2.gz TZQXPSDNPLVBRX-UHFFFAOYSA-N 1 2 304.394 1.138 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N[C@@H](c1ccc(OC)cc1)C1CC1 ZINC000076185202 341203614 /nfs/dbraw/zinc/20/36/14/341203614.db2.gz TXRGZVVACUBFQH-LJQANCHMSA-N 1 2 310.397 1.831 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C ZINC000547535067 341221285 /nfs/dbraw/zinc/22/12/85/341221285.db2.gz VIKFCQXVEARSMM-MRXNPFEDSA-N 1 2 320.393 1.340 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CCOC[C@@H]1CC1CCC1)C1CC1 ZINC000550141870 341310931 /nfs/dbraw/zinc/31/09/31/341310931.db2.gz MIAUMBPSSWZWKP-DOTOQJQBSA-N 1 2 305.422 1.686 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CCOC[C@@H]1CC1CCC1)C1CC1 ZINC000550141870 341310932 /nfs/dbraw/zinc/31/09/32/341310932.db2.gz MIAUMBPSSWZWKP-DOTOQJQBSA-N 1 2 305.422 1.686 20 30 DDEDLO CC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C[C@H](O)C(F)(F)F ZINC000249495922 341398345 /nfs/dbraw/zinc/39/83/45/341398345.db2.gz ZVSFKSZXIWBKOZ-CMPLNLGQSA-N 1 2 309.332 1.286 20 30 DDEDLO CC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C[C@H](O)C(F)(F)F ZINC000249495922 341398346 /nfs/dbraw/zinc/39/83/46/341398346.db2.gz ZVSFKSZXIWBKOZ-CMPLNLGQSA-N 1 2 309.332 1.286 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cc(Cl)cc([N+](=O)[O-])c1 ZINC000567052518 341576921 /nfs/dbraw/zinc/57/69/21/341576921.db2.gz AQOIINFOOYXKAQ-CYBMUJFWSA-N 1 2 310.741 1.822 20 30 DDEDLO C=CCOCCCC(=O)NCc1nc(C[NH+]2CCCCC2)no1 ZINC000670401094 484805869 /nfs/dbraw/zinc/80/58/69/484805869.db2.gz VOTMEKLAQFRNJC-UHFFFAOYSA-N 1 2 322.409 1.655 20 30 DDEDLO C=C[C@](C)(O)C(=O)NCC[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000666314665 485169472 /nfs/dbraw/zinc/16/94/72/485169472.db2.gz KOHMBCLHEFYUKD-KRWDZBQOSA-N 1 2 321.396 1.001 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCc1ccc[nH+]c1N(C)C ZINC000666367081 485186518 /nfs/dbraw/zinc/18/65/18/485186518.db2.gz USHIJBMBKSWNQQ-AWEZNQCLSA-N 1 2 304.394 1.235 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NC(=O)C(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000668570336 485218506 /nfs/dbraw/zinc/21/85/06/485218506.db2.gz UTDGFGQWOIEUCJ-RBSFLKMASA-N 1 2 318.421 1.639 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)Cc1nc2c(cnn2C)c(=O)[nH]1 ZINC000679329039 485851730 /nfs/dbraw/zinc/85/17/30/485851730.db2.gz OWVBPIPUAFIMPS-UHFFFAOYSA-N 1 2 313.361 1.893 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)Cc1nc2c(cnn2C)c(=O)[nH]1 ZINC000679329039 485851735 /nfs/dbraw/zinc/85/17/35/485851735.db2.gz OWVBPIPUAFIMPS-UHFFFAOYSA-N 1 2 313.361 1.893 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)c1ccc2c(c1)OCCO2 ZINC000683782533 486106106 /nfs/dbraw/zinc/10/61/06/486106106.db2.gz SRFGDSDURNEENU-UHFFFAOYSA-N 1 2 318.373 1.893 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)c1ccc2c(c1)OCCO2 ZINC000683782533 486106111 /nfs/dbraw/zinc/10/61/11/486106111.db2.gz SRFGDSDURNEENU-UHFFFAOYSA-N 1 2 318.373 1.893 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)Nc2ccc(CC#N)cc2Cl)C1 ZINC000455705227 490267103 /nfs/dbraw/zinc/26/71/03/490267103.db2.gz OUMZEDOISWVUJQ-GFCCVEGCSA-N 1 2 322.796 1.858 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)Nc2ccc(CC#N)cc2Cl)C1 ZINC000455705227 490267107 /nfs/dbraw/zinc/26/71/07/490267107.db2.gz OUMZEDOISWVUJQ-GFCCVEGCSA-N 1 2 322.796 1.858 20 30 DDEDLO Cc1nc(C2CC[NH+](CC(=O)N[C@](C)(C#N)C3CC3)CC2)no1 ZINC000363444595 501000012 /nfs/dbraw/zinc/00/00/12/501000012.db2.gz URLAVJXZZAUCAW-MRXNPFEDSA-N 1 2 317.393 1.366 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000413952261 533719239 /nfs/dbraw/zinc/71/92/39/533719239.db2.gz DITCPRNPOGAYDX-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO COC(=O)c1cc(F)c(C)c(OC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000414157015 533841996 /nfs/dbraw/zinc/84/19/96/533841996.db2.gz XDFVLIHUMFNMFA-CYBMUJFWSA-N 1 2 324.352 1.506 20 30 DDEDLO COC(=O)c1cc(F)c(C)c(OC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000414157015 533842006 /nfs/dbraw/zinc/84/20/06/533842006.db2.gz XDFVLIHUMFNMFA-CYBMUJFWSA-N 1 2 324.352 1.506 20 30 DDEDLO O=C(C[N@@H+]1CCCOCC1)Nc1cc(-n2cnnn2)ccc1F ZINC000329980532 534335973 /nfs/dbraw/zinc/33/59/73/534335973.db2.gz LNXAYCONFNUBSN-UHFFFAOYSA-N 1 2 320.328 1.112 20 30 DDEDLO O=C(C[N@H+]1CCCOCC1)Nc1cc(-n2cnnn2)ccc1F ZINC000329980532 534335985 /nfs/dbraw/zinc/33/59/85/534335985.db2.gz LNXAYCONFNUBSN-UHFFFAOYSA-N 1 2 320.328 1.112 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)N1C[C@@H](C)[C@H](CO)C1)CCC2 ZINC000330055214 534508955 /nfs/dbraw/zinc/50/89/55/534508955.db2.gz TZZZURAYHNQOGU-BNOWGMLFSA-N 1 2 306.410 1.543 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NC[C@@H](O)C(F)(F)F)CCC2 ZINC000330407354 534511193 /nfs/dbraw/zinc/51/11/93/534511193.db2.gz RLEPBHIIISOZCI-VHSXEESVSA-N 1 2 320.315 1.496 20 30 DDEDLO N#CCNC(=O)CNC(=O)c1ccccc1Oc1cc[nH+]cc1 ZINC000344165382 534685471 /nfs/dbraw/zinc/68/54/71/534685471.db2.gz QXGCLZMPKQTLGO-UHFFFAOYSA-N 1 2 310.313 1.243 20 30 DDEDLO Cc1cccc2c1OC[C@@H](NC(=O)N1CCn3cc[nH+]c3C1)C2 ZINC000329604220 534782808 /nfs/dbraw/zinc/78/28/08/534782808.db2.gz GTAPFGCPVBXFPJ-AWEZNQCLSA-N 1 2 312.373 1.925 20 30 DDEDLO Cc1nn(C)c2ncc(C(=O)N[C@@H]3CCn4cc[nH+]c4C3)cc12 ZINC000330328087 534829256 /nfs/dbraw/zinc/82/92/56/534829256.db2.gz VWEZURXHULAIKR-GFCCVEGCSA-N 1 2 310.361 1.793 20 30 DDEDLO Cc1nc(C)c(CNC(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)s1 ZINC000329737368 534881403 /nfs/dbraw/zinc/88/14/03/534881403.db2.gz MCBSORLXVMKQJI-CYBMUJFWSA-N 1 2 324.450 1.580 20 30 DDEDLO CNC(=O)Cc1noc(C[N@@H+]2CC(C)(C)[C@@H]2[C@H]2CCCO2)n1 ZINC000329791383 526399885 /nfs/dbraw/zinc/39/98/85/526399885.db2.gz UMJXJSRXXORNPS-YGRLFVJLSA-N 1 2 308.382 1.588 20 30 DDEDLO CNC(=O)Cc1noc(C[N@H+]2CC(C)(C)[C@@H]2[C@H]2CCCO2)n1 ZINC000329791383 526399890 /nfs/dbraw/zinc/39/98/90/526399890.db2.gz UMJXJSRXXORNPS-YGRLFVJLSA-N 1 2 308.382 1.588 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2cc(C)cc(C)c2)C1 ZINC000330953259 526403113 /nfs/dbraw/zinc/40/31/13/526403113.db2.gz RMKXRQGZQWRRMK-ZDUSSCGKSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2cc(C)cc(C)c2)C1 ZINC000330953259 526403116 /nfs/dbraw/zinc/40/31/16/526403116.db2.gz RMKXRQGZQWRRMK-ZDUSSCGKSA-N 1 2 304.394 1.450 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NCCC2CCCCC2)C1=O ZINC000337203815 526499299 /nfs/dbraw/zinc/49/92/99/526499299.db2.gz GZMJNNWXGRRPIK-INIZCTEOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NCCC2CCCCC2)C1=O ZINC000337203815 526499302 /nfs/dbraw/zinc/49/93/02/526499302.db2.gz GZMJNNWXGRRPIK-INIZCTEOSA-N 1 2 321.465 1.792 20 30 DDEDLO C#CC[NH+](CC#C)Cc1ccc(OCC(=O)OC)c([N+](=O)[O-])c1 ZINC000490739391 526845749 /nfs/dbraw/zinc/84/57/49/526845749.db2.gz DFWGUOPJEIEHRK-UHFFFAOYSA-N 1 2 316.313 1.215 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)cc1 ZINC000346292882 526870044 /nfs/dbraw/zinc/87/00/44/526870044.db2.gz KFSGOQQCGCBUGP-CQSZACIVSA-N 1 2 324.446 1.717 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)cc1 ZINC000346292882 526870047 /nfs/dbraw/zinc/87/00/47/526870047.db2.gz KFSGOQQCGCBUGP-CQSZACIVSA-N 1 2 324.446 1.717 20 30 DDEDLO C#CC[N@@H+](CCCO[C@@H]1CCCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000491048361 526901664 /nfs/dbraw/zinc/90/16/64/526901664.db2.gz XWMZGIOWMLLZKX-HUUCEWRRSA-N 1 2 315.435 1.042 20 30 DDEDLO C#CC[N@H+](CCCO[C@@H]1CCCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000491048361 526901672 /nfs/dbraw/zinc/90/16/72/526901672.db2.gz XWMZGIOWMLLZKX-HUUCEWRRSA-N 1 2 315.435 1.042 20 30 DDEDLO C#CC[N@@H+](CC[C@H]1CC1(Cl)Cl)[C@@H]1CCS(=O)(=O)C1 ZINC000491771880 526901736 /nfs/dbraw/zinc/90/17/36/526901736.db2.gz ROJNQYGFVQKJBN-WDEREUQCSA-N 1 2 310.246 1.693 20 30 DDEDLO C#CC[N@H+](CC[C@H]1CC1(Cl)Cl)[C@@H]1CCS(=O)(=O)C1 ZINC000491771880 526901741 /nfs/dbraw/zinc/90/17/41/526901741.db2.gz ROJNQYGFVQKJBN-WDEREUQCSA-N 1 2 310.246 1.693 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(SC)ccc2C)CC1 ZINC000490922686 526951400 /nfs/dbraw/zinc/95/14/00/526951400.db2.gz GAERLQNDFHCDJL-UHFFFAOYSA-N 1 2 317.458 1.906 20 30 DDEDLO CC(C)(CC#N)CNC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000457998348 526952559 /nfs/dbraw/zinc/95/25/59/526952559.db2.gz XDHNVKHLGUWUMY-UHFFFAOYSA-N 1 2 315.421 1.260 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@H](c3cccc(C)c3)CC2=O)CC1 ZINC000490947948 526953681 /nfs/dbraw/zinc/95/36/81/526953681.db2.gz BMRJDPFAZAHKID-GOSISDBHSA-N 1 2 311.429 1.519 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2csc(COC)n2)CC1 ZINC000491775037 526970654 /nfs/dbraw/zinc/97/06/54/526970654.db2.gz UYQITZJQUYHUJW-UHFFFAOYSA-N 1 2 307.419 1.251 20 30 DDEDLO C#CCNC(=O)CC[NH2+]c1ccc(N2CCOCC2)cc1C ZINC000491496134 526988240 /nfs/dbraw/zinc/98/82/40/526988240.db2.gz NYWSOJIKTYWVLV-UHFFFAOYSA-N 1 2 301.390 1.383 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@@H](C)c1ncc(Br)cn1 ZINC000491363124 527021759 /nfs/dbraw/zinc/02/17/59/527021759.db2.gz XYQBYIDLJZNDTE-DTWKUNHWSA-N 1 2 311.183 1.028 20 30 DDEDLO CC(C)(CNC(=O)NC[C@@H]1CCCCS1)[NH+]1CCOCC1 ZINC000329668839 527078310 /nfs/dbraw/zinc/07/83/10/527078310.db2.gz SFBNEVDUVIYQEK-ZDUSSCGKSA-N 1 2 315.483 1.887 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)CCO[C@@H]2CCCCO2)n1 ZINC000491752800 527190044 /nfs/dbraw/zinc/19/00/44/527190044.db2.gz MTTFQEKITUJULN-QGZVFWFLSA-N 1 2 321.421 1.508 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)CCO[C@@H]2CCCCO2)n1 ZINC000491752800 527190048 /nfs/dbraw/zinc/19/00/48/527190048.db2.gz MTTFQEKITUJULN-QGZVFWFLSA-N 1 2 321.421 1.508 20 30 DDEDLO C#CCn1ccc(CN(CCOC)Cc2[nH+]ccn2C(C)C)n1 ZINC000491618606 527191353 /nfs/dbraw/zinc/19/13/53/527191353.db2.gz AALAUNDNGACCSN-UHFFFAOYSA-N 1 2 315.421 1.942 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)N(C)CC(=O)Nc1ccccc1Cl ZINC000491251896 527211440 /nfs/dbraw/zinc/21/14/40/527211440.db2.gz CWZXJBKITVHZRV-GFCCVEGCSA-N 1 2 321.808 1.690 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)N(C)CC(=O)Nc1ccccc1Cl ZINC000491251896 527211443 /nfs/dbraw/zinc/21/14/43/527211443.db2.gz CWZXJBKITVHZRV-GFCCVEGCSA-N 1 2 321.808 1.690 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@H](O)CO[C@H]1CCCc2ccccc21 ZINC000491738695 527311800 /nfs/dbraw/zinc/31/18/00/527311800.db2.gz PGRPGHYOKYPDMH-AOIWGVFYSA-N 1 2 315.413 1.776 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@H](O)CO[C@H]1CCCc2ccccc21 ZINC000491738695 527311804 /nfs/dbraw/zinc/31/18/04/527311804.db2.gz PGRPGHYOKYPDMH-AOIWGVFYSA-N 1 2 315.413 1.776 20 30 DDEDLO C=CCn1c(CCCC)nnc1N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000337869588 527318201 /nfs/dbraw/zinc/31/82/01/527318201.db2.gz TYNKCONSHXHGRF-OAHLLOKOSA-N 1 2 319.453 1.718 20 30 DDEDLO CC(C)OCCNC(=O)N[C@@H]1CCO[C@@H](c2c[nH+]cn2C)C1 ZINC000331214677 527906979 /nfs/dbraw/zinc/90/69/79/527906979.db2.gz NCXPWHZOCXXAES-TZMCWYRMSA-N 1 2 310.398 1.569 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)N[C@H](C)c1[nH+]ccn1CC ZINC000451923733 528716711 /nfs/dbraw/zinc/71/67/11/528716711.db2.gz AXOFDHWGSDOHCL-VXGBXAGGSA-N 1 2 313.427 1.280 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1sc(C)c(C)c1-c1nn[nH]n1 ZINC000819701432 606110977 /nfs/dbraw/zinc/11/09/77/606110977.db2.gz HFIJNBWCZOJTSI-UHFFFAOYSA-N 1 2 318.406 1.439 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1sc(C)c(C)c1-c1nn[nH]n1 ZINC000819701432 606110979 /nfs/dbraw/zinc/11/09/79/606110979.db2.gz HFIJNBWCZOJTSI-UHFFFAOYSA-N 1 2 318.406 1.439 20 30 DDEDLO N#CCCN1CC[NH+](Cc2cc(-c3nn[nH]n3)ccc2F)CC1 ZINC000826381815 608038284 /nfs/dbraw/zinc/03/82/84/608038284.db2.gz AEAVBVXRTYYQRE-UHFFFAOYSA-N 1 2 315.356 1.037 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)/C=C\c3ccco3)C2)C1 ZINC000972232029 695184087 /nfs/dbraw/zinc/18/40/87/695184087.db2.gz ZBGIRECHNKJQST-HPFMTEOYSA-N 1 2 314.385 1.619 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)/C=C\c3ccco3)C2)C1 ZINC000972232029 695184088 /nfs/dbraw/zinc/18/40/88/695184088.db2.gz ZBGIRECHNKJQST-HPFMTEOYSA-N 1 2 314.385 1.619 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3conc3C(C)C)C2)C1 ZINC000972406686 695241945 /nfs/dbraw/zinc/24/19/45/695241945.db2.gz ARDNQODVJIJTOS-KRWDZBQOSA-N 1 2 319.405 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3conc3C(C)C)C2)C1 ZINC000972406686 695241946 /nfs/dbraw/zinc/24/19/46/695241946.db2.gz ARDNQODVJIJTOS-KRWDZBQOSA-N 1 2 319.405 1.901 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCC3(F)F)C2)C1 ZINC000972428985 695248445 /nfs/dbraw/zinc/24/84/45/695248445.db2.gz SVQXJIQERQQREW-UKRRQHHQSA-N 1 2 312.360 1.358 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCC3(F)F)C2)C1 ZINC000972428985 695248447 /nfs/dbraw/zinc/24/84/47/695248447.db2.gz SVQXJIQERQQREW-UKRRQHHQSA-N 1 2 312.360 1.358 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccccc3)C2)C1 ZINC000972437032 695251340 /nfs/dbraw/zinc/25/13/40/695251340.db2.gz HPBUHPWGQRURGO-GOSISDBHSA-N 1 2 300.402 1.718 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccccc3)C2)C1 ZINC000972437032 695251342 /nfs/dbraw/zinc/25/13/42/695251342.db2.gz HPBUHPWGQRURGO-GOSISDBHSA-N 1 2 300.402 1.718 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3CC(C)(C)CO3)C2)C1 ZINC000972463925 695257059 /nfs/dbraw/zinc/25/70/59/695257059.db2.gz ZFZQIQJOPZYOCT-CRAIPNDOSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3CC(C)(C)CO3)C2)C1 ZINC000972463925 695257061 /nfs/dbraw/zinc/25/70/61/695257061.db2.gz ZFZQIQJOPZYOCT-CRAIPNDOSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCO[C@H]3C=C)C2)C1 ZINC000972561374 695283737 /nfs/dbraw/zinc/28/37/37/695283737.db2.gz PQKTZZJLOWJOLK-VYDXJSESSA-N 1 2 306.406 1.067 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCO[C@H]3C=C)C2)C1 ZINC000972561374 695283739 /nfs/dbraw/zinc/28/37/39/695283739.db2.gz PQKTZZJLOWJOLK-VYDXJSESSA-N 1 2 306.406 1.067 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C)CCOCC3)C2)C1 ZINC000972598274 695294215 /nfs/dbraw/zinc/29/42/15/695294215.db2.gz CYFNXPOSUFOVFE-KRWDZBQOSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C)CCOCC3)C2)C1 ZINC000972598274 695294217 /nfs/dbraw/zinc/29/42/17/695294217.db2.gz CYFNXPOSUFOVFE-KRWDZBQOSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ncoc3C(C)C)C2)C1 ZINC000972632474 695304839 /nfs/dbraw/zinc/30/48/39/695304839.db2.gz STYQHRAHELWALR-QGZVFWFLSA-N 1 2 319.405 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ncoc3C(C)C)C2)C1 ZINC000972632474 695304840 /nfs/dbraw/zinc/30/48/40/695304840.db2.gz STYQHRAHELWALR-QGZVFWFLSA-N 1 2 319.405 1.901 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)s3)C2)C1 ZINC000972694851 695318055 /nfs/dbraw/zinc/31/80/55/695318055.db2.gz FDFZMLNKSAOASN-INIZCTEOSA-N 1 2 322.405 1.827 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)s3)C2)C1 ZINC000972694851 695318057 /nfs/dbraw/zinc/31/80/57/695318057.db2.gz FDFZMLNKSAOASN-INIZCTEOSA-N 1 2 322.405 1.827 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCc2nsc(N(C)C)n2)cc1 ZINC000089736716 696579164 /nfs/dbraw/zinc/57/91/64/696579164.db2.gz ZJHQILCRVIWNES-UHFFFAOYSA-N 1 2 321.406 1.644 20 30 DDEDLO C[C@H]1CCCC[C@H]1N(C)C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000092915915 696598340 /nfs/dbraw/zinc/59/83/40/696598340.db2.gz SUPBECMVAAXGBO-DZGCQCFKSA-N 1 2 318.421 1.950 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+](CCS(C)(=O)=O)C2CC2)cc1 ZINC000093816437 696601820 /nfs/dbraw/zinc/60/18/20/696601820.db2.gz NSXPRCGPWZRHDA-CYBMUJFWSA-N 1 2 322.430 1.986 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+](CCS(C)(=O)=O)C2CC2)cc1 ZINC000093816437 696601821 /nfs/dbraw/zinc/60/18/21/696601821.db2.gz NSXPRCGPWZRHDA-CYBMUJFWSA-N 1 2 322.430 1.986 20 30 DDEDLO CCN(C)c1ccc(C(C)=[NH+]NC(=S)NCC(=O)NC)cc1 ZINC000748075229 700098039 /nfs/dbraw/zinc/09/80/39/700098039.db2.gz GQWRMDMYBNTDDS-UHFFFAOYSA-N 1 2 321.450 1.077 20 30 DDEDLO NC(CCO)=[NH+]OCC(=O)Nc1ccccc1-c1ccccc1 ZINC000136069857 696852952 /nfs/dbraw/zinc/85/29/52/696852952.db2.gz CABWRNWRDZLRKE-UHFFFAOYSA-N 1 2 313.357 1.963 20 30 DDEDLO C[C@H]1CCCC[C@H]1NC(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000137650717 696859181 /nfs/dbraw/zinc/85/91/81/696859181.db2.gz NGFCPFGYHBGVPA-GXTWGEPZSA-N 1 2 304.394 1.608 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[N@H+](Cc3ccns3)CC2)[nH]1 ZINC000980991905 696973852 /nfs/dbraw/zinc/97/38/52/696973852.db2.gz UOWPUSAAYBEAAS-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3ccns3)CC2)[nH]1 ZINC000980991905 696973855 /nfs/dbraw/zinc/97/38/55/696973855.db2.gz UOWPUSAAYBEAAS-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO CC(C)(C)CCNC(=O)CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158192260 696987578 /nfs/dbraw/zinc/98/75/78/696987578.db2.gz JSSOEALMIVYPLU-UHFFFAOYSA-N 1 2 319.405 1.811 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CCC[C@H](NCC#N)C3)ccn12 ZINC000981091127 697001987 /nfs/dbraw/zinc/00/19/87/697001987.db2.gz KTKACQXGXGQTRS-LSDHHAIUSA-N 1 2 311.389 1.797 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)Cc2cnn(C)c2C)CC1 ZINC000981303125 697060776 /nfs/dbraw/zinc/06/07/76/697060776.db2.gz ZTZGFTZIABUBOM-UHFFFAOYSA-N 1 2 310.829 1.558 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)Cc2cnn(C)c2C)CC1 ZINC000981303125 697060778 /nfs/dbraw/zinc/06/07/78/697060778.db2.gz ZTZGFTZIABUBOM-UHFFFAOYSA-N 1 2 310.829 1.558 20 30 DDEDLO Cc1ccc(C(=O)N2CCN(c3cc[nH+]c(C)n3)CC2)cc1C#N ZINC000799720348 700162833 /nfs/dbraw/zinc/16/28/33/700162833.db2.gz NCCCQYBGIMUZGP-UHFFFAOYSA-N 1 2 321.384 1.928 20 30 DDEDLO C[C@@H]1[C@H]([NH2+]Cc2nnc(C3CC3)o2)CCN1C(=O)C#CC1CC1 ZINC000986274037 697762675 /nfs/dbraw/zinc/76/26/75/697762675.db2.gz DGDCWOWJBXMLCR-BXUZGUMPSA-N 1 2 314.389 1.439 20 30 DDEDLO N#Cc1ccccc1/C=C\C(=O)NC[C@@H]1CN2CC[N@@H+]1CCC2 ZINC000773531705 697774071 /nfs/dbraw/zinc/77/40/71/697774071.db2.gz NXUVZTAJIYGJDK-JTGQJZMRSA-N 1 2 310.401 1.078 20 30 DDEDLO N#Cc1ccccc1/C=C\C(=O)NC[C@@H]1CN2CC[N@H+]1CCC2 ZINC000773531705 697774074 /nfs/dbraw/zinc/77/40/74/697774074.db2.gz NXUVZTAJIYGJDK-JTGQJZMRSA-N 1 2 310.401 1.078 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCN(c2cccc[nH+]2)CC1)[C@H]1CCCO1 ZINC000773561009 697780934 /nfs/dbraw/zinc/78/09/34/697780934.db2.gz SJBRTYSNRJAMHI-HUUCEWRRSA-N 1 2 314.389 1.094 20 30 DDEDLO Cc1cc(CN2CC[NH+](CC[S@@](C)=O)CC2)ccc1C#N ZINC000774902174 697940054 /nfs/dbraw/zinc/94/00/54/697940054.db2.gz HEMHTWRAPWECEP-OAQYLSRUSA-N 1 2 305.447 1.363 20 30 DDEDLO C#C[C@H]([NH2+][C@H](C(=O)NC1CC1)c1ccc(F)cc1)[C@H]1CCCO1 ZINC000775791611 698034726 /nfs/dbraw/zinc/03/47/26/698034726.db2.gz NBQIPUWKEMJNPP-BBWFWOEESA-N 1 2 316.376 1.916 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CCCC[C@H]2C[C@H]1C(=O)OC(C)(C)C ZINC000775845325 698044370 /nfs/dbraw/zinc/04/43/70/698044370.db2.gz PSTCBZCEWPHKMR-ZNMIVQPWSA-N 1 2 320.433 1.711 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CCCC[C@H]2C[C@H]1C(=O)OC(C)(C)C ZINC000775845325 698044376 /nfs/dbraw/zinc/04/43/76/698044376.db2.gz PSTCBZCEWPHKMR-ZNMIVQPWSA-N 1 2 320.433 1.711 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[N@H+]1CC[C@H](NC(N)=O)C1 ZINC000779167527 698399424 /nfs/dbraw/zinc/39/94/24/698399424.db2.gz COWJQQKNDZPAAT-NSHDSACASA-N 1 2 307.781 1.595 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[N@@H+]1CC[C@H](NC(N)=O)C1 ZINC000779167527 698399427 /nfs/dbraw/zinc/39/94/27/698399427.db2.gz COWJQQKNDZPAAT-NSHDSACASA-N 1 2 307.781 1.595 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=Cc2cccn2-c2ccc([N+](=O)[O-])cc2)N1 ZINC000779794665 698466173 /nfs/dbraw/zinc/46/61/73/698466173.db2.gz KOMDSSUJLZOZFU-LLVKDONJSA-N 1 2 312.333 1.657 20 30 DDEDLO C[C@@H]1CCN(C(=O)NCc2ccc(C#N)cn2)C[C@@H]1n1cc[nH+]c1 ZINC000780642808 698542343 /nfs/dbraw/zinc/54/23/43/698542343.db2.gz BQZCLUVTSLKZBH-CJNGLKHVSA-N 1 2 324.388 1.942 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@@H](C2OCCO2)C1 ZINC000718976048 699296271 /nfs/dbraw/zinc/29/62/71/699296271.db2.gz SBHPERRWFAOCJW-LLVKDONJSA-N 1 2 307.375 1.253 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@@H](C2OCCO2)C1 ZINC000718976048 699296273 /nfs/dbraw/zinc/29/62/73/699296273.db2.gz SBHPERRWFAOCJW-LLVKDONJSA-N 1 2 307.375 1.253 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=Cc2cnn(Cc3ccccc3)c2Cl)N1 ZINC000789037758 699357671 /nfs/dbraw/zinc/35/76/71/699357671.db2.gz QWNHODKOXMTXMR-LLVKDONJSA-N 1 2 316.796 1.856 20 30 DDEDLO NC(=[NH+]OC[C@@H]1CCCS(=O)(=O)C1)c1ccc(F)cc1 ZINC000789590829 699397533 /nfs/dbraw/zinc/39/75/33/699397533.db2.gz XHAGYTGEPUJVGV-JTQLQIEISA-N 1 2 300.355 1.287 20 30 DDEDLO C[NH+]1CCN(c2ncc(CN=Nc3ncccc3F)cn2)CC1 ZINC000794980513 699839791 /nfs/dbraw/zinc/83/97/91/699839791.db2.gz NBYISVKIUVXCDG-UHFFFAOYSA-N 1 2 315.356 1.209 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCN(c2ccc(Cl)c(F)c2)C[C@H]1C ZINC000795393634 699863160 /nfs/dbraw/zinc/86/31/60/699863160.db2.gz CBUCPXLXLBUYSV-GFCCVEGCSA-N 1 2 323.799 1.739 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCN(c2ccc(Cl)c(F)c2)C[C@H]1C ZINC000795393634 699863161 /nfs/dbraw/zinc/86/31/61/699863161.db2.gz CBUCPXLXLBUYSV-GFCCVEGCSA-N 1 2 323.799 1.739 20 30 DDEDLO C#CC[C@H](NC(=O)NCC[N@H+]1CCOC[C@@H]1C)c1ccccc1 ZINC000757035197 700653406 /nfs/dbraw/zinc/65/34/06/700653406.db2.gz PYCPSSGDRCOFFH-RDJZCZTQSA-N 1 2 315.417 1.771 20 30 DDEDLO C#CC[C@H](NC(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1ccccc1 ZINC000757035197 700653409 /nfs/dbraw/zinc/65/34/09/700653409.db2.gz PYCPSSGDRCOFFH-RDJZCZTQSA-N 1 2 315.417 1.771 20 30 DDEDLO CC[C@H]1C[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C[C@H]1O ZINC000761128452 700846045 /nfs/dbraw/zinc/84/60/45/700846045.db2.gz VQGIAKUMDSAGGY-SWLSCSKDSA-N 1 2 312.373 1.123 20 30 DDEDLO CC[C@H]1C[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C[C@H]1O ZINC000761128452 700846047 /nfs/dbraw/zinc/84/60/47/700846047.db2.gz VQGIAKUMDSAGGY-SWLSCSKDSA-N 1 2 312.373 1.123 20 30 DDEDLO CCS(=O)(=O)NC1CC[NH+](Cc2ccccc2C#N)CC1 ZINC000809736510 701684189 /nfs/dbraw/zinc/68/41/89/701684189.db2.gz XYFUQTGXLMPAPM-UHFFFAOYSA-N 1 2 307.419 1.462 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@H+]1CCOC[C@@H]1CC ZINC000875163322 705300901 /nfs/dbraw/zinc/30/09/01/705300901.db2.gz RHGYVNSRSJRYTM-NSHDSACASA-N 1 2 320.231 1.305 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@@H+]1CCOC[C@@H]1CC ZINC000875163322 705300907 /nfs/dbraw/zinc/30/09/07/705300907.db2.gz RHGYVNSRSJRYTM-NSHDSACASA-N 1 2 320.231 1.305 20 30 DDEDLO C[N@H+](CCc1cnccn1)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766631278 701057506 /nfs/dbraw/zinc/05/75/06/701057506.db2.gz FCWCHRIYWCZISF-UHFFFAOYSA-N 1 2 309.373 1.131 20 30 DDEDLO C[N@@H+](CCc1cnccn1)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766631278 701057508 /nfs/dbraw/zinc/05/75/08/701057508.db2.gz FCWCHRIYWCZISF-UHFFFAOYSA-N 1 2 309.373 1.131 20 30 DDEDLO C=CC[N@H+](Cc1cccc2nsnc21)[C@H]1CCS(=O)(=O)C1 ZINC000766885560 701068580 /nfs/dbraw/zinc/06/85/80/701068580.db2.gz VHKGWFJCVDNAPG-LBPRGKRZSA-N 1 2 323.443 1.866 20 30 DDEDLO C=CC[N@@H+](Cc1cccc2nsnc21)[C@H]1CCS(=O)(=O)C1 ZINC000766885560 701068582 /nfs/dbraw/zinc/06/85/82/701068582.db2.gz VHKGWFJCVDNAPG-LBPRGKRZSA-N 1 2 323.443 1.866 20 30 DDEDLO C[C@](O)(C1CC[NH+](CC(=O)NCCC#N)CC1)C(F)(F)F ZINC000767897442 701143970 /nfs/dbraw/zinc/14/39/70/701143970.db2.gz MBGQWNLDCZAEEP-LBPRGKRZSA-N 1 2 307.316 1.042 20 30 DDEDLO C#CCNc1nc(N2CCCCC2)nc(N2CCCCC2)[nH+]1 ZINC000804315771 701178116 /nfs/dbraw/zinc/17/81/16/701178116.db2.gz MSCBYUGAPJTBDG-UHFFFAOYSA-N 1 2 300.410 1.319 20 30 DDEDLO C#CCNc1nc(N2CCCCC2)[nH+]c(N2CCCCC2)n1 ZINC000804315771 701178118 /nfs/dbraw/zinc/17/81/18/701178118.db2.gz MSCBYUGAPJTBDG-UHFFFAOYSA-N 1 2 300.410 1.319 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc2c(c1)COC2 ZINC000771930549 701337416 /nfs/dbraw/zinc/33/74/16/701337416.db2.gz LPNMWTBEFCFEED-UHFFFAOYSA-N 1 2 315.417 1.765 20 30 DDEDLO CC[N@@H+]1CCO[C@@H](C(=O)OCc2ccc(Cl)cc2C#N)C1 ZINC000805468501 701383153 /nfs/dbraw/zinc/38/31/53/701383153.db2.gz HTJNEQKKSXWWOG-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO CC[N@H+]1CCO[C@@H](C(=O)OCc2ccc(Cl)cc2C#N)C1 ZINC000805468501 701383156 /nfs/dbraw/zinc/38/31/56/701383156.db2.gz HTJNEQKKSXWWOG-CQSZACIVSA-N 1 2 308.765 1.976 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000839583188 701744905 /nfs/dbraw/zinc/74/49/05/701744905.db2.gz DBGFMDJASIKWNO-SECBINFHSA-N 1 2 305.403 1.228 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000839583188 701744908 /nfs/dbraw/zinc/74/49/08/701744908.db2.gz DBGFMDJASIKWNO-SECBINFHSA-N 1 2 305.403 1.228 20 30 DDEDLO N#CCCOCCOC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000815247666 701830525 /nfs/dbraw/zinc/83/05/25/701830525.db2.gz FNUDVXMEQQSCSY-UHFFFAOYSA-N 1 2 313.357 1.947 20 30 DDEDLO CC[C@H](O)CN[NH+]=Cc1c(Cl)nc(N)nc1N1CCCC1 ZINC000811655612 702022612 /nfs/dbraw/zinc/02/26/12/702022612.db2.gz RNMBVEVHGTZBLM-VIFPVBQESA-N 1 2 312.805 1.007 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@@H](CNc3cc(C#N)cnn3)C2)c1 ZINC000866189844 706643774 /nfs/dbraw/zinc/64/37/74/706643774.db2.gz FBGWVKXSIZISJP-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@@H](CNc3cc(C#N)cnn3)C2)c1 ZINC000866189844 706643775 /nfs/dbraw/zinc/64/37/75/706643775.db2.gz FBGWVKXSIZISJP-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO CNC(=O)NC[C@H]1CCCC[N@@H+]1Cc1ccc(F)cc1C#N ZINC000879333455 706652570 /nfs/dbraw/zinc/65/25/70/706652570.db2.gz CAVGAJVBFYWHTF-OAHLLOKOSA-N 1 2 304.369 1.981 20 30 DDEDLO CNC(=O)NC[C@H]1CCCC[N@H+]1Cc1ccc(F)cc1C#N ZINC000879333455 706652573 /nfs/dbraw/zinc/65/25/73/706652573.db2.gz CAVGAJVBFYWHTF-OAHLLOKOSA-N 1 2 304.369 1.981 20 30 DDEDLO C#CC[C@@H]1CCC[N@@H+](Cn2nc(CCC(N)=O)n(C)c2=S)C1 ZINC000842627983 702745392 /nfs/dbraw/zinc/74/53/92/702745392.db2.gz RHBQODZVMUIRNZ-GFCCVEGCSA-N 1 2 321.450 1.062 20 30 DDEDLO C#CC[C@@H]1CCC[N@H+](Cn2nc(CCC(N)=O)n(C)c2=S)C1 ZINC000842627983 702745393 /nfs/dbraw/zinc/74/53/93/702745393.db2.gz RHBQODZVMUIRNZ-GFCCVEGCSA-N 1 2 321.450 1.062 20 30 DDEDLO N#CCNC(=O)C[NH+]1CCC(c2nc(C3CCC3)no2)CC1 ZINC000879551068 706715542 /nfs/dbraw/zinc/71/55/42/706715542.db2.gz KMCHJJPYQMQROD-UHFFFAOYSA-N 1 2 303.366 1.156 20 30 DDEDLO C[C@@H]([NH2+]C[C@]12COC(=O)N1CCOC2)c1cccc(C#N)c1O ZINC000866522856 706720837 /nfs/dbraw/zinc/72/08/37/706720837.db2.gz HLFHPBQRVNUURH-BZNIZROVSA-N 1 2 317.345 1.136 20 30 DDEDLO C[C@@H](CC(=O)OCC(=O)Nc1sccc1C#N)n1cc[nH+]c1 ZINC000845278573 703137784 /nfs/dbraw/zinc/13/77/84/703137784.db2.gz UQZRCGVCSQTUHV-JTQLQIEISA-N 1 2 318.358 1.949 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)COC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845281313 703138299 /nfs/dbraw/zinc/13/82/99/703138299.db2.gz KPUJBVIYCCZECG-CHWSQXEVSA-N 1 2 306.366 1.386 20 30 DDEDLO C[C@@H](CC(=O)N1CCN(c2ccc(C#N)nc2)CC1)n1cc[nH+]c1 ZINC000846044595 703230163 /nfs/dbraw/zinc/23/01/63/703230163.db2.gz OKJPERRZQDZTCM-AWEZNQCLSA-N 1 2 324.388 1.450 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)[N@H+]2C[C@@H](C)O[C@@H](C)C2)nc1 ZINC000847180601 703385206 /nfs/dbraw/zinc/38/52/06/703385206.db2.gz CWHAOKDGRDVUGR-MELADBBJSA-N 1 2 301.390 1.290 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)[N@@H+]2C[C@@H](C)O[C@@H](C)C2)nc1 ZINC000847180601 703385207 /nfs/dbraw/zinc/38/52/07/703385207.db2.gz CWHAOKDGRDVUGR-MELADBBJSA-N 1 2 301.390 1.290 20 30 DDEDLO O=S(=O)(NN=C1CCC[N@@H+]2CCCC[C@@H]12)c1ccccc1 ZINC000848399778 703546686 /nfs/dbraw/zinc/54/66/86/703546686.db2.gz YGCTUDCNXZZRSJ-HNNXBMFYSA-N 1 2 307.419 1.969 20 30 DDEDLO O=S(=O)(NN=C1CCC[N@H+]2CCCC[C@@H]12)c1ccccc1 ZINC000848399778 703546687 /nfs/dbraw/zinc/54/66/87/703546687.db2.gz YGCTUDCNXZZRSJ-HNNXBMFYSA-N 1 2 307.419 1.969 20 30 DDEDLO CC(C)(C)OC(=O)NC1CC(=NNCCCn2cc[nH+]c2)C1 ZINC000848416478 703547892 /nfs/dbraw/zinc/54/78/92/703547892.db2.gz MMIFGIVBGGAQTI-UHFFFAOYSA-N 1 2 307.398 1.906 20 30 DDEDLO CC(=NNCCCn1cc[nH+]c1)c1cnnn1-c1ccccc1 ZINC000848417035 703547945 /nfs/dbraw/zinc/54/79/45/703547945.db2.gz INGZOVAXVAAGTR-UHFFFAOYSA-N 1 2 309.377 1.868 20 30 DDEDLO CCn1cc(C[NH2+][C@H]2CCCN(c3ccc(C#N)cc3)C2=O)nn1 ZINC000849323412 703634985 /nfs/dbraw/zinc/63/49/85/703634985.db2.gz PTGZPYIDYJQYNT-INIZCTEOSA-N 1 2 324.388 1.455 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCO[C@H](C(F)(F)F)CC1 ZINC000880043090 706862129 /nfs/dbraw/zinc/86/21/29/706862129.db2.gz COWUITRHIVYOFA-LBPRGKRZSA-N 1 2 306.328 1.840 20 30 DDEDLO CC(C)C[N@H+](CCC#N)[C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000851780150 703853532 /nfs/dbraw/zinc/85/35/32/703853532.db2.gz YJCABQRRIOVDFV-CQSZACIVSA-N 1 2 323.437 1.801 20 30 DDEDLO CC(C)C[N@@H+](CCC#N)[C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000851780150 703853535 /nfs/dbraw/zinc/85/35/35/703853535.db2.gz YJCABQRRIOVDFV-CQSZACIVSA-N 1 2 323.437 1.801 20 30 DDEDLO C=C(Cl)C[C@@H](NC(=O)CCc1c[nH+]cn1C)C(=O)OCC ZINC000870032797 703897868 /nfs/dbraw/zinc/89/78/68/703897868.db2.gz NOOWCSCESDTOCV-GFCCVEGCSA-N 1 2 313.785 1.543 20 30 DDEDLO C#CCOCC[N@@H+]1CCN(Cc2ccccc2)C(=O)C1(C)C ZINC000852097564 703922598 /nfs/dbraw/zinc/92/25/98/703922598.db2.gz RCTVKXDKOQAJQY-UHFFFAOYSA-N 1 2 300.402 1.759 20 30 DDEDLO C#CCOCC[N@H+]1CCN(Cc2ccccc2)C(=O)C1(C)C ZINC000852097564 703922600 /nfs/dbraw/zinc/92/26/00/703922600.db2.gz RCTVKXDKOQAJQY-UHFFFAOYSA-N 1 2 300.402 1.759 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC3(CCC3)[C@@](F)(C(=O)OCC)C2)C1=O ZINC000852317913 704011045 /nfs/dbraw/zinc/01/10/45/704011045.db2.gz UIMWUJRBSIXJCR-DYVFJYSZSA-N 1 2 324.396 1.531 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC3(CCC3)[C@@](F)(C(=O)OCC)C2)C1=O ZINC000852317913 704011047 /nfs/dbraw/zinc/01/10/47/704011047.db2.gz UIMWUJRBSIXJCR-DYVFJYSZSA-N 1 2 324.396 1.531 20 30 DDEDLO COC(=O)N(C)CC[N@H+](C)CC(=O)Nc1cccc(C#N)c1 ZINC000852570235 704077282 /nfs/dbraw/zinc/07/72/82/704077282.db2.gz KCVURXJKHKBYHC-UHFFFAOYSA-N 1 2 304.350 1.127 20 30 DDEDLO COC(=O)N(C)CC[N@@H+](C)CC(=O)Nc1cccc(C#N)c1 ZINC000852570235 704077284 /nfs/dbraw/zinc/07/72/84/704077284.db2.gz KCVURXJKHKBYHC-UHFFFAOYSA-N 1 2 304.350 1.127 20 30 DDEDLO N#CCC[C@@H](C#N)C[NH2+]CCS(=O)(=O)CCc1ccccc1 ZINC000819292391 704101770 /nfs/dbraw/zinc/10/17/70/704101770.db2.gz TXUOROUNVDPJKE-INIZCTEOSA-N 1 2 319.430 1.677 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc3nc[nH]c3cc2F)CC1 ZINC000819845650 704178371 /nfs/dbraw/zinc/17/83/71/704178371.db2.gz FBRSRFWUXPKNTA-UHFFFAOYSA-N 1 2 300.337 1.483 20 30 DDEDLO C[NH+](C)[C@H](C(=O)N[C@@H]1CCN(O)C1=O)c1ccc(Cl)cc1 ZINC000820132294 704227171 /nfs/dbraw/zinc/22/71/71/704227171.db2.gz OWMPFOGHCDNJHE-NEPJUHHUSA-N 1 2 311.769 1.049 20 30 DDEDLO C#CC[NH+]1CCN(CN2CC[C@@H](C3CCCCC3)C2=O)CC1 ZINC000853490307 704248692 /nfs/dbraw/zinc/24/86/92/704248692.db2.gz ULJBFFRCSCVYIU-KRWDZBQOSA-N 1 2 303.450 1.624 20 30 DDEDLO CCOC(=O)[C@]1(C)CC(=O)N(C[N@@H+]2CCC[C@@H](CC#N)C2)C1 ZINC000853524420 704259289 /nfs/dbraw/zinc/25/92/89/704259289.db2.gz MYWPIIUMMOJLLW-XJKSGUPXSA-N 1 2 307.394 1.371 20 30 DDEDLO CCOC(=O)[C@]1(C)CC(=O)N(C[N@H+]2CCC[C@@H](CC#N)C2)C1 ZINC000853524420 704259291 /nfs/dbraw/zinc/25/92/91/704259291.db2.gz MYWPIIUMMOJLLW-XJKSGUPXSA-N 1 2 307.394 1.371 20 30 DDEDLO C=CC[N@H+](CN1C[C@@H](c2ccccn2)CC1=O)[C@@H](C)COC ZINC000853532889 704261264 /nfs/dbraw/zinc/26/12/64/704261264.db2.gz OTQHSLVGRTYPGT-GJZGRUSLSA-N 1 2 303.406 1.878 20 30 DDEDLO C=CC[N@@H+](CN1C[C@@H](c2ccccn2)CC1=O)[C@@H](C)COC ZINC000853532889 704261266 /nfs/dbraw/zinc/26/12/66/704261266.db2.gz OTQHSLVGRTYPGT-GJZGRUSLSA-N 1 2 303.406 1.878 20 30 DDEDLO C=CCCONC(=O)CC[NH+]1CCN(c2ccccn2)CC1 ZINC000856032697 704510402 /nfs/dbraw/zinc/51/04/02/704510402.db2.gz SVGJVYVBOJQWDU-UHFFFAOYSA-N 1 2 304.394 1.218 20 30 DDEDLO C#C[C@@H](NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1)C1CCOCC1 ZINC000856103240 704513874 /nfs/dbraw/zinc/51/38/74/704513874.db2.gz KALDBFRNIKZNIA-SJORKVTESA-N 1 2 320.433 1.174 20 30 DDEDLO C#C[C@@H](NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1)C1CCOCC1 ZINC000856103240 704513875 /nfs/dbraw/zinc/51/38/75/704513875.db2.gz KALDBFRNIKZNIA-SJORKVTESA-N 1 2 320.433 1.174 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000858133583 704669273 /nfs/dbraw/zinc/66/92/73/704669273.db2.gz YKFZEQYIPLREEQ-AWEZNQCLSA-N 1 2 304.394 1.099 20 30 DDEDLO C#CC[C@@H](COC)Nc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000858861418 704765514 /nfs/dbraw/zinc/76/55/14/704765514.db2.gz YLBKDFOYZZPWML-ZDUSSCGKSA-N 1 2 304.394 1.278 20 30 DDEDLO C#CC[C@@H](COC)Nc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000858861418 704765515 /nfs/dbraw/zinc/76/55/15/704765515.db2.gz YLBKDFOYZZPWML-ZDUSSCGKSA-N 1 2 304.394 1.278 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CC(C)=C(C)C)CC1 ZINC000874383429 705029591 /nfs/dbraw/zinc/02/95/91/705029591.db2.gz XLWDBSOZXAUTOI-UHFFFAOYSA-N 1 2 305.422 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CC(C)=C(C)C)CC1 ZINC000874383429 705029595 /nfs/dbraw/zinc/02/95/95/705029595.db2.gz XLWDBSOZXAUTOI-UHFFFAOYSA-N 1 2 305.422 1.017 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C=NOC)cc1 ZINC000874698455 705131719 /nfs/dbraw/zinc/13/17/19/705131719.db2.gz DUWUESUWLAQRMW-MRXNPFEDSA-N 1 2 319.405 1.508 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(C=NOC)cc1 ZINC000874698455 705131723 /nfs/dbraw/zinc/13/17/23/705131723.db2.gz DUWUESUWLAQRMW-MRXNPFEDSA-N 1 2 319.405 1.508 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)C(=O)NCCCCC#N ZINC000875177872 705305108 /nfs/dbraw/zinc/30/51/08/705305108.db2.gz JUYXJULLWQOTFC-OAHLLOKOSA-N 1 2 314.389 1.099 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)C(=O)NCCCCC#N ZINC000875177872 705305111 /nfs/dbraw/zinc/30/51/11/705305111.db2.gz JUYXJULLWQOTFC-OAHLLOKOSA-N 1 2 314.389 1.099 20 30 DDEDLO N#CC[C@H]1CCCN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000824747897 705522851 /nfs/dbraw/zinc/52/28/51/705522851.db2.gz WGGDIEYEKXTYTF-OAGGEKHMSA-N 1 2 321.421 1.018 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000875919817 705559929 /nfs/dbraw/zinc/55/99/29/705559929.db2.gz PKGPJQZWQZEWOG-CQSZACIVSA-N 1 2 304.394 1.658 20 30 DDEDLO N#CCCN(C(=O)Cc1[nH]cc[nH+]1)c1ccc2c(c1)OCCO2 ZINC000825939846 705749271 /nfs/dbraw/zinc/74/92/71/705749271.db2.gz IHAKAXWJYSZLKT-UHFFFAOYSA-N 1 2 312.329 1.670 20 30 DDEDLO N#Cc1ccc(Cn2cc(NC(=O)[C@H]3CSC[NH2+]3)cn2)cc1 ZINC000877216746 706020973 /nfs/dbraw/zinc/02/09/73/706020973.db2.gz YGOAWJNXKIYXPI-CQSZACIVSA-N 1 2 313.386 1.404 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000828750043 706225285 /nfs/dbraw/zinc/22/52/85/706225285.db2.gz MYQVOHNDZXANST-VFNWGFHPSA-N 1 2 309.410 1.386 20 30 DDEDLO CC[N@H+](CC[C@](C#N)(C(C)=O)c1ccccc1)CC(=O)N(C)C ZINC000877959559 706250995 /nfs/dbraw/zinc/25/09/95/706250995.db2.gz ZPLXTQBZYQVKCN-SFHVURJKSA-N 1 2 315.417 1.837 20 30 DDEDLO CC[N@@H+](CC[C@](C#N)(C(C)=O)c1ccccc1)CC(=O)N(C)C ZINC000877959559 706250998 /nfs/dbraw/zinc/25/09/98/706250998.db2.gz ZPLXTQBZYQVKCN-SFHVURJKSA-N 1 2 315.417 1.837 20 30 DDEDLO CC[N@H+](CC[C@](C#N)(C(C)=O)c1ccccc1)CC(=O)NC ZINC000877986282 706258249 /nfs/dbraw/zinc/25/82/49/706258249.db2.gz IPYAORWTWTYWDZ-KRWDZBQOSA-N 1 2 301.390 1.495 20 30 DDEDLO CC[N@@H+](CC[C@](C#N)(C(C)=O)c1ccccc1)CC(=O)NC ZINC000877986282 706258250 /nfs/dbraw/zinc/25/82/50/706258250.db2.gz IPYAORWTWTYWDZ-KRWDZBQOSA-N 1 2 301.390 1.495 20 30 DDEDLO COC(=O)C1(C#N)CCN(c2cc(C)[nH+]c(C3CC3)n2)CC1 ZINC000828969361 706265768 /nfs/dbraw/zinc/26/57/68/706265768.db2.gz HNCUPAVDRCMRMJ-UHFFFAOYSA-N 1 2 300.362 1.946 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)[C@@H]1CCn3cc[nH+]c3C1)C2 ZINC000829486461 706343238 /nfs/dbraw/zinc/34/32/38/706343238.db2.gz WJZAQLFFGGNTKF-ZBFHGGJFSA-N 1 2 306.369 1.601 20 30 DDEDLO C#CC1CC[NH+](CCS(=O)(=O)c2cccc(OC)c2)CC1 ZINC000830321343 706495540 /nfs/dbraw/zinc/49/55/40/706495540.db2.gz HEKDISGEHMMHNR-UHFFFAOYSA-N 1 2 307.415 1.814 20 30 DDEDLO C[C@@H]1C[N@@H+](C[C@@H](O)c2c(F)cccc2F)C[C@H](C)N1CC#N ZINC000878776385 706496581 /nfs/dbraw/zinc/49/65/81/706496581.db2.gz VNFZMUVGMRVZLI-TYNCELHUSA-N 1 2 309.360 1.916 20 30 DDEDLO C[C@@H]1C[N@H+](C[C@@H](O)c2c(F)cccc2F)C[C@H](C)N1CC#N ZINC000878776385 706496585 /nfs/dbraw/zinc/49/65/85/706496585.db2.gz VNFZMUVGMRVZLI-TYNCELHUSA-N 1 2 309.360 1.916 20 30 DDEDLO C#CC1CC[NH+](CC(=O)Nc2nnc(-c3ccco3)o2)CC1 ZINC000830345653 706499711 /nfs/dbraw/zinc/49/97/11/706499711.db2.gz LTUHIRQSWHDUNN-UHFFFAOYSA-N 1 2 300.318 1.613 20 30 DDEDLO COc1ccc(NC(=O)/C=C/C[NH+]2CCOCC2)cc1C#N ZINC000830413535 706513639 /nfs/dbraw/zinc/51/36/39/706513639.db2.gz VMFZVLFOHKMVPG-NSCUHMNNSA-N 1 2 301.346 1.394 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCO[C@H](C(F)(F)F)CC1 ZINC000880043090 706862127 /nfs/dbraw/zinc/86/21/27/706862127.db2.gz COWUITRHIVYOFA-LBPRGKRZSA-N 1 2 306.328 1.840 20 30 DDEDLO C#CCOc1ccccc1NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000867509185 707023325 /nfs/dbraw/zinc/02/33/25/707023325.db2.gz JYIMPJXRRNGJGZ-YVMONPNESA-N 1 2 300.358 1.526 20 30 DDEDLO COCc1cc(NC[C@H](O)C[N@H+](C)CCC#N)ccc1OC ZINC000872225725 707337696 /nfs/dbraw/zinc/33/76/96/707337696.db2.gz NZAWNUZTKXRAHO-HNNXBMFYSA-N 1 2 307.394 1.460 20 30 DDEDLO COCc1cc(NC[C@H](O)C[N@@H+](C)CCC#N)ccc1OC ZINC000872225725 707337698 /nfs/dbraw/zinc/33/76/98/707337698.db2.gz NZAWNUZTKXRAHO-HNNXBMFYSA-N 1 2 307.394 1.460 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@@H]1CCn2c[nH+]cc2C1)C(=O)OCC ZINC000881753844 707352706 /nfs/dbraw/zinc/35/27/06/707352706.db2.gz ZRHURSYXIPGBSP-TZMCWYRMSA-N 1 2 305.378 1.460 20 30 DDEDLO C(=NNc1cc[nH+]c2ccccc12)c1cn(C[C@@H]2CCCO2)nn1 ZINC000872350190 707387343 /nfs/dbraw/zinc/38/73/43/707387343.db2.gz OEJOFROQDZYJSK-AWEZNQCLSA-N 1 2 322.372 1.873 20 30 DDEDLO C#CCC1(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CCCCC1 ZINC000836867019 707535573 /nfs/dbraw/zinc/53/55/73/707535573.db2.gz UGNYRZBXDUGNHF-IYBDPMFKSA-N 1 2 304.434 1.940 20 30 DDEDLO C#CCC1(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CCCCC1 ZINC000836867019 707535574 /nfs/dbraw/zinc/53/55/74/707535574.db2.gz UGNYRZBXDUGNHF-IYBDPMFKSA-N 1 2 304.434 1.940 20 30 DDEDLO N#CCCN1CC[NH+](Cn2cnc3cc(F)c(F)cc32)CC1 ZINC000872734352 707577722 /nfs/dbraw/zinc/57/77/22/707577722.db2.gz GEHQRBAYQUMLCF-UHFFFAOYSA-N 1 2 305.332 1.803 20 30 DDEDLO Cc1nc(C#N)cc(N(CC[NH+]2CCOCC2)CC2CC2)n1 ZINC000882628591 707722903 /nfs/dbraw/zinc/72/29/03/707722903.db2.gz SSGAQZBAYZCCIU-UHFFFAOYSA-N 1 2 301.394 1.205 20 30 DDEDLO C#CCOc1cc(F)ccc1NC(=O)NCCc1cn(C)c[nH+]1 ZINC000838957829 708007072 /nfs/dbraw/zinc/00/70/72/708007072.db2.gz NZLHHMHTCQCFFB-UHFFFAOYSA-N 1 2 316.336 1.935 20 30 DDEDLO Cc1cc(Cn2cc(C[NH+]3CCC(O)CC3)nn2)ccc1C#N ZINC000883991253 708093590 /nfs/dbraw/zinc/09/35/90/708093590.db2.gz WWQXNFYWWISTOP-UHFFFAOYSA-N 1 2 311.389 1.463 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCSc2ccccc21 ZINC000884055705 708120423 /nfs/dbraw/zinc/12/04/23/708120423.db2.gz WWBUKEXCLUMELW-STQMWFEESA-N 1 2 320.414 1.786 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCOc1c(C)cccc1C ZINC000884094024 708136385 /nfs/dbraw/zinc/13/63/85/708136385.db2.gz ZKXOZYURGGGXTF-AWEZNQCLSA-N 1 2 320.389 1.245 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(COC(C)C)cc1 ZINC000884098305 708137858 /nfs/dbraw/zinc/13/78/58/708137858.db2.gz GNQDEDKRFIWOPE-HNNXBMFYSA-N 1 2 320.389 1.997 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)c1ccc(F)cc1 ZINC000884102981 708140113 /nfs/dbraw/zinc/14/01/13/708140113.db2.gz TYKHYMIVMVZLKW-FZMZJTMJSA-N 1 2 308.353 1.492 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC(C)(C)c1cccc(C)c1 ZINC000884146449 708160243 /nfs/dbraw/zinc/16/02/43/708160243.db2.gz JLLNBICUUORAKQ-AWEZNQCLSA-N 1 2 304.390 1.793 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)/C=C/c2ccc(C)cc2)C1 ZINC000885509202 708562594 /nfs/dbraw/zinc/56/25/94/708562594.db2.gz PTXOFYZZCCFOGD-JIIJFUIFSA-N 1 2 318.442 1.983 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)/C=C/c2ccc(C)cc2)C1 ZINC000885509202 708562596 /nfs/dbraw/zinc/56/25/96/708562596.db2.gz PTXOFYZZCCFOGD-JIIJFUIFSA-N 1 2 318.442 1.983 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2cccc(C#N)c2)C1 ZINC000885514324 708564124 /nfs/dbraw/zinc/56/41/24/708564124.db2.gz XOMXTXCTPJYELP-MRXNPFEDSA-N 1 2 317.414 1.075 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2cccc(C#N)c2)C1 ZINC000885514324 708564126 /nfs/dbraw/zinc/56/41/26/708564126.db2.gz XOMXTXCTPJYELP-MRXNPFEDSA-N 1 2 317.414 1.075 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)[C@@H](C#N)CCc1ccccc1 ZINC000912613901 713051244 /nfs/dbraw/zinc/05/12/44/713051244.db2.gz IDQXEQZHODNZQH-HUUCEWRRSA-N 1 2 312.373 1.342 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)c2cc(C#N)ccn2)n1 ZINC000927763345 713049895 /nfs/dbraw/zinc/04/98/95/713049895.db2.gz FFZPRSSMXYPQIL-UHFFFAOYSA-N 1 2 301.375 1.401 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)c2cc(O)cc(C#N)c2)n1 ZINC000927766703 713050633 /nfs/dbraw/zinc/05/06/33/713050633.db2.gz KDVLJQALSLEGDS-UHFFFAOYSA-N 1 2 316.386 1.712 20 30 DDEDLO CC(C)C[C@@H](C#N)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000886399509 708753640 /nfs/dbraw/zinc/75/36/40/708753640.db2.gz ZAWYCADBZYFUBE-AWEZNQCLSA-N 1 2 321.446 1.891 20 30 DDEDLO CC(C)C[C@@H](C#N)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000886399509 708753642 /nfs/dbraw/zinc/75/36/42/708753642.db2.gz ZAWYCADBZYFUBE-AWEZNQCLSA-N 1 2 321.446 1.891 20 30 DDEDLO CC[C@@H](C#N)N(C)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000886413936 708756914 /nfs/dbraw/zinc/75/69/14/708756914.db2.gz HQAGQJPPIQJZSI-ZDUSSCGKSA-N 1 2 307.419 1.597 20 30 DDEDLO CC[C@@H](C#N)N(C)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000886413936 708756915 /nfs/dbraw/zinc/75/69/15/708756915.db2.gz HQAGQJPPIQJZSI-ZDUSSCGKSA-N 1 2 307.419 1.597 20 30 DDEDLO CC(C)(CNC(=O)Cc1ccc(OCC#N)cc1)n1cc[nH+]c1 ZINC000898902477 708917214 /nfs/dbraw/zinc/91/72/14/708917214.db2.gz OPFYUOYQABKSOS-UHFFFAOYSA-N 1 2 312.373 1.879 20 30 DDEDLO C[N@@H+]1CCN(C(=O)c2ccc(C(C)(C)C#N)cc2)[C@H](CO)C1 ZINC000912739083 713079842 /nfs/dbraw/zinc/07/98/42/713079842.db2.gz PPKXOTLQWKASDN-HNNXBMFYSA-N 1 2 301.390 1.236 20 30 DDEDLO C[N@H+]1CCN(C(=O)c2ccc(C(C)(C)C#N)cc2)[C@H](CO)C1 ZINC000912739083 713079845 /nfs/dbraw/zinc/07/98/45/713079845.db2.gz PPKXOTLQWKASDN-HNNXBMFYSA-N 1 2 301.390 1.236 20 30 DDEDLO N#Cc1ccc([C@H]2CN(C(=O)CCc3[nH]cc[nH+]3)CCO2)cc1 ZINC000887734759 709114253 /nfs/dbraw/zinc/11/42/53/709114253.db2.gz ILEOIJFWATYSKK-OAHLLOKOSA-N 1 2 310.357 1.814 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)c2cccc(C#CCO)c2)c1C ZINC000900445638 709599011 /nfs/dbraw/zinc/59/90/11/709599011.db2.gz SDDNCPQGGJXMCY-UHFFFAOYSA-N 1 2 324.380 1.981 20 30 DDEDLO Cc1cccn2cc(CC(=O)NCC#C[C@@H]3CCCCO3)[nH+]c12 ZINC000891128332 710102796 /nfs/dbraw/zinc/10/27/96/710102796.db2.gz NMKVGWMLFXDMTB-INIZCTEOSA-N 1 2 311.385 1.874 20 30 DDEDLO C=CCOC[C@H]([NH2+]Cc1cc(-n2cc[nH+]c2)cs1)C(=O)[O-] ZINC000901812105 710153682 /nfs/dbraw/zinc/15/36/82/710153682.db2.gz ZUFXSMUPVXREKP-ZDUSSCGKSA-N 1 2 307.375 1.679 20 30 DDEDLO C=CCCC[C@@H](NC(=O)[C@@H]1CCc2[nH+]ccn2C1)C(=O)OC ZINC000928312840 713172775 /nfs/dbraw/zinc/17/27/75/713172775.db2.gz HIDOMSYRJBJQPD-CHWSQXEVSA-N 1 2 305.378 1.460 20 30 DDEDLO C=CCCC[C@H](NC(=O)/C=C(\C)C[NH+]1CCOCC1)C(=O)OC ZINC000928323700 713175939 /nfs/dbraw/zinc/17/59/39/713175939.db2.gz RPQWDQWZWQAKCL-ZQHYZAEZSA-N 1 2 324.421 1.279 20 30 DDEDLO Cn1c[nH+]cc1CCCN(c1cccc(C#N)c1)S(C)(=O)=O ZINC000902189036 710656824 /nfs/dbraw/zinc/65/68/24/710656824.db2.gz VSOZVEALBQYGHL-UHFFFAOYSA-N 1 2 318.402 1.691 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1CC[NH2+][C@@H](c2cnn(C)c2)C1 ZINC000913468866 713229716 /nfs/dbraw/zinc/22/97/16/713229716.db2.gz UHBHFWGMHNKCGW-MRXNPFEDSA-N 1 2 309.373 1.387 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2cccc(O)c2Br)CCCN1O ZINC000895158494 711436306 /nfs/dbraw/zinc/43/63/06/711436306.db2.gz KPOKAIAPWRWZGU-SECBINFHSA-N 1 2 315.167 1.625 20 30 DDEDLO N#Cc1nnc2ccccc2c1N1CC[NH+](Cc2cn[nH]c2)CC1 ZINC000895959782 711655855 /nfs/dbraw/zinc/65/58/55/711655855.db2.gz GTBPRBYGXBOVMV-UHFFFAOYSA-N 1 2 319.372 1.547 20 30 DDEDLO C[C@@H](NC(=O)c1cccc(CC#N)c1)[C@@H]1CN(C)CC[N@@H+]1C ZINC000896568896 711754422 /nfs/dbraw/zinc/75/44/22/711754422.db2.gz BXLNNHASSWSSRQ-CJNGLKHVSA-N 1 2 300.406 1.117 20 30 DDEDLO C[C@@H](NC(=O)c1cccc(CC#N)c1)[C@@H]1CN(C)CC[N@H+]1C ZINC000896568896 711754424 /nfs/dbraw/zinc/75/44/24/711754424.db2.gz BXLNNHASSWSSRQ-CJNGLKHVSA-N 1 2 300.406 1.117 20 30 DDEDLO C#CC[N@H+](Cn1cc(I)cn1)C(C)C ZINC000905743543 712136979 /nfs/dbraw/zinc/13/69/79/712136979.db2.gz UMHRMKAWGFHEMD-UHFFFAOYSA-N 1 2 303.147 1.789 20 30 DDEDLO C#CC[N@@H+](Cn1cc(I)cn1)C(C)C ZINC000905743543 712136980 /nfs/dbraw/zinc/13/69/80/712136980.db2.gz UMHRMKAWGFHEMD-UHFFFAOYSA-N 1 2 303.147 1.789 20 30 DDEDLO Cc1cc(C(=O)N[C@@H](C)[C@@H]2CN(C)CC[N@@H+]2C)cc(C)c1C#N ZINC000906777316 712409686 /nfs/dbraw/zinc/40/96/86/712409686.db2.gz IUIJJEYABZOUKD-YOEHRIQHSA-N 1 2 314.433 1.539 20 30 DDEDLO Cc1cc(C(=O)N[C@@H](C)[C@@H]2CN(C)CC[N@H+]2C)cc(C)c1C#N ZINC000906777316 712409687 /nfs/dbraw/zinc/40/96/87/712409687.db2.gz IUIJJEYABZOUKD-YOEHRIQHSA-N 1 2 314.433 1.539 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)cn2)C[C@H]1C ZINC000907078130 712482476 /nfs/dbraw/zinc/48/24/76/712482476.db2.gz FUBAODULCOJQGW-VXGBXAGGSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)cn2)C[C@H]1C ZINC000907078130 712482479 /nfs/dbraw/zinc/48/24/79/712482479.db2.gz FUBAODULCOJQGW-VXGBXAGGSA-N 1 2 308.407 1.056 20 30 DDEDLO C#CC[C@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000914380360 713386269 /nfs/dbraw/zinc/38/62/69/713386269.db2.gz RZEJELDWYFANTI-CYBMUJFWSA-N 1 2 316.336 1.195 20 30 DDEDLO C#CCC1(NC(=O)NCC[N@@H+]2CCO[C@@H](C)C2)CCCCC1 ZINC000915830762 713432704 /nfs/dbraw/zinc/43/27/04/713432704.db2.gz WEGUBDAPTRGDJT-HNNXBMFYSA-N 1 2 307.438 1.733 20 30 DDEDLO C#CCC1(NC(=O)NCC[N@H+]2CCO[C@@H](C)C2)CCCCC1 ZINC000915830762 713432705 /nfs/dbraw/zinc/43/27/05/713432705.db2.gz WEGUBDAPTRGDJT-HNNXBMFYSA-N 1 2 307.438 1.733 20 30 DDEDLO CCOc1ccccc1CN1CC[N@H+](C[C@H](O)CC#N)[C@H](C)C1 ZINC000930324205 713803586 /nfs/dbraw/zinc/80/35/86/713803586.db2.gz IBVRPBPVQSTVTQ-NVXWUHKLSA-N 1 2 317.433 1.866 20 30 DDEDLO CCOc1ccccc1CN1CC[N@@H+](C[C@H](O)CC#N)[C@H](C)C1 ZINC000930324205 713803588 /nfs/dbraw/zinc/80/35/88/713803588.db2.gz IBVRPBPVQSTVTQ-NVXWUHKLSA-N 1 2 317.433 1.866 20 30 DDEDLO N#Cc1cncc(C[N@H+]2CCCNC(=O)[C@@H]2Cc2ccccc2)c1 ZINC000930575584 713869074 /nfs/dbraw/zinc/86/90/74/713869074.db2.gz AZWRBUXSHLZLBI-SFHVURJKSA-N 1 2 320.396 1.886 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2CCCNC(=O)[C@@H]2Cc2ccccc2)c1 ZINC000930575584 713869075 /nfs/dbraw/zinc/86/90/75/713869075.db2.gz AZWRBUXSHLZLBI-SFHVURJKSA-N 1 2 320.396 1.886 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)OCc2c(C)cc(C#N)cc2C)[N@H+](C)C1 ZINC000922715791 714125825 /nfs/dbraw/zinc/12/58/25/714125825.db2.gz NXOBHCCDXRZIJO-HOCLYGCPSA-N 1 2 302.374 1.937 20 30 DDEDLO CO[C@H]1C[C@@H](C(=O)OCc2c(C)cc(C#N)cc2C)[N@@H+](C)C1 ZINC000922715791 714125828 /nfs/dbraw/zinc/12/58/28/714125828.db2.gz NXOBHCCDXRZIJO-HOCLYGCPSA-N 1 2 302.374 1.937 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N[C@@H](CC)C[NH+]1CCOCC1 ZINC000922730887 714130805 /nfs/dbraw/zinc/13/08/05/714130805.db2.gz JXCAAIOATJLVCC-ZNMIVQPWSA-N 1 2 311.426 1.130 20 30 DDEDLO C=CCN(C(=O)C(=O)NCc1nc(C[NH+](C)C)cs1)C(C)C ZINC000931654080 714150544 /nfs/dbraw/zinc/15/05/44/714150544.db2.gz HOKOCFDEESRKMC-UHFFFAOYSA-N 1 2 324.450 1.244 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC000923554251 714400034 /nfs/dbraw/zinc/40/00/34/714400034.db2.gz ODNVHKJZHPNCOD-VIFPVBQESA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC000923554251 714400035 /nfs/dbraw/zinc/40/00/35/714400035.db2.gz ODNVHKJZHPNCOD-VIFPVBQESA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)CCC(=O)c2cccs2)C1 ZINC000923598888 714424761 /nfs/dbraw/zinc/42/47/61/714424761.db2.gz YLBQOWKZXJFRKG-CYBMUJFWSA-N 1 2 304.415 1.925 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)CCC(=O)c2cccs2)C1 ZINC000923598888 714424763 /nfs/dbraw/zinc/42/47/63/714424763.db2.gz YLBQOWKZXJFRKG-CYBMUJFWSA-N 1 2 304.415 1.925 20 30 DDEDLO CC(C)(CNC(=O)N[C@@H](CC#N)C(F)(F)F)[NH+]1CCOCC1 ZINC000932927999 714439122 /nfs/dbraw/zinc/43/91/22/714439122.db2.gz FZENQVHFBHOCCO-JTQLQIEISA-N 1 2 322.331 1.241 20 30 DDEDLO C#CCN1CCC[C@H](NC(=O)NCCNc2cccc(C)[nH+]2)C1 ZINC000924034156 714512032 /nfs/dbraw/zinc/51/20/32/714512032.db2.gz AYBVBBWHQGXYOZ-HNNXBMFYSA-N 1 2 315.421 1.199 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](C[C@H](O)CC3(O)CCC3)CCO2)cc1 ZINC000933633072 714634917 /nfs/dbraw/zinc/63/49/17/714634917.db2.gz VABDWXQHEVRHEL-SJORKVTESA-N 1 2 316.401 1.598 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](C[C@H](O)CC3(O)CCC3)CCO2)cc1 ZINC000933633072 714634919 /nfs/dbraw/zinc/63/49/19/714634919.db2.gz VABDWXQHEVRHEL-SJORKVTESA-N 1 2 316.401 1.598 20 30 DDEDLO O=C(C[NH+]1CCC2(CC1)CC(=O)C=CO2)Nc1ccc(O)cc1 ZINC000933653016 714639612 /nfs/dbraw/zinc/63/96/12/714639612.db2.gz AHLBOYPESAOSAS-UHFFFAOYSA-N 1 2 316.357 1.668 20 30 DDEDLO CCOCCCNC(=O)[C@H](C)[N@H+](C)Cc1cc(C#N)cs1 ZINC000933774943 714669263 /nfs/dbraw/zinc/66/92/63/714669263.db2.gz AQVWDKMPVOZBBJ-LBPRGKRZSA-N 1 2 309.435 1.983 20 30 DDEDLO CCOCCCNC(=O)[C@H](C)[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933774943 714669265 /nfs/dbraw/zinc/66/92/65/714669265.db2.gz AQVWDKMPVOZBBJ-LBPRGKRZSA-N 1 2 309.435 1.983 20 30 DDEDLO C=CC[C@@H]1CC[N@H+]1CC(=O)Nc1ccccc1SCC(N)=O ZINC000934473174 714828628 /nfs/dbraw/zinc/82/86/28/714828628.db2.gz UREQQMHWKYCQIL-GFCCVEGCSA-N 1 2 319.430 1.853 20 30 DDEDLO C=CC[C@@H]1CC[N@@H+]1CC(=O)Nc1ccccc1SCC(N)=O ZINC000934473174 714828629 /nfs/dbraw/zinc/82/86/29/714828629.db2.gz UREQQMHWKYCQIL-GFCCVEGCSA-N 1 2 319.430 1.853 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000934509821 714838722 /nfs/dbraw/zinc/83/87/22/714838722.db2.gz DTFIAFXAEJMDII-HUUCEWRRSA-N 1 2 314.385 1.430 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000934509821 714838725 /nfs/dbraw/zinc/83/87/25/714838725.db2.gz DTFIAFXAEJMDII-HUUCEWRRSA-N 1 2 314.385 1.430 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000939010357 716084011 /nfs/dbraw/zinc/08/40/11/716084011.db2.gz UGSHYTWLRPMMCQ-CYBMUJFWSA-N 1 2 304.394 1.224 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCC[C@H]1C[NH2+]Cc1nnc(C2CC2)o1 ZINC000959918584 716355812 /nfs/dbraw/zinc/35/58/12/716355812.db2.gz HFEWTABMYDUIQW-YPMHNXCESA-N 1 2 317.393 1.577 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2occc2CC)[C@H](O)C1 ZINC000958374337 716509815 /nfs/dbraw/zinc/50/98/15/716509815.db2.gz MFNFFLVHELIPNJ-CHWSQXEVSA-N 1 2 312.797 1.617 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2occc2CC)[C@H](O)C1 ZINC000958374337 716509818 /nfs/dbraw/zinc/50/98/18/716509818.db2.gz MFNFFLVHELIPNJ-CHWSQXEVSA-N 1 2 312.797 1.617 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2occc2Cl)[C@H](O)C1 ZINC000958379877 716514871 /nfs/dbraw/zinc/51/48/71/716514871.db2.gz VVXRADPEYCCCHU-MWLCHTKSSA-N 1 2 319.188 1.708 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2occc2Cl)[C@H](O)C1 ZINC000958379877 716514872 /nfs/dbraw/zinc/51/48/72/716514872.db2.gz VVXRADPEYCCCHU-MWLCHTKSSA-N 1 2 319.188 1.708 20 30 DDEDLO N#CCN1CC[C@@H]([C@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC000961237128 716875202 /nfs/dbraw/zinc/87/52/02/716875202.db2.gz BPJTUSZUQWEUJA-UONOGXRCSA-N 1 2 301.394 1.036 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCN(C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC000941073566 717095878 /nfs/dbraw/zinc/09/58/78/717095878.db2.gz JLGXZFAVBHXHDE-ZIAGYGMSSA-N 1 2 317.393 1.055 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccsc3C)CC2)C1 ZINC000941401257 717170523 /nfs/dbraw/zinc/17/05/23/717170523.db2.gz ZFWUQLQJJXCUTO-UHFFFAOYSA-N 1 2 317.458 1.522 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CC[C@H]4C[C@H]4C3)CC2)C1 ZINC000941462815 717181501 /nfs/dbraw/zinc/18/15/01/717181501.db2.gz GNMZKVYBZQYYFA-BBWFWOEESA-N 1 2 315.461 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3CCC(C)(C)CC3)CC2)C1 ZINC000941682376 717254988 /nfs/dbraw/zinc/25/49/88/717254988.db2.gz QFAMHGGTWIQMQD-UHFFFAOYSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2n[nH]c(C)n2)[C@@H](C)C1 ZINC000942034178 717441687 /nfs/dbraw/zinc/44/16/87/717441687.db2.gz TXJCSJOORSNHIM-JOYOIKCWSA-N 1 2 311.817 1.235 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2n[nH]c(C)n2)[C@@H](C)C1 ZINC000942034178 717441688 /nfs/dbraw/zinc/44/16/88/717441688.db2.gz TXJCSJOORSNHIM-JOYOIKCWSA-N 1 2 311.817 1.235 20 30 DDEDLO C[C@@H]1CN(CC#N)CC[C@H]1NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000942064214 717459929 /nfs/dbraw/zinc/45/99/29/717459929.db2.gz PLEQMHVMSCFBIU-UKRRQHHQSA-N 1 2 315.421 1.112 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000942216274 717555524 /nfs/dbraw/zinc/55/55/24/717555524.db2.gz CUFPQFDELLIYNI-KRWDZBQOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3ncnn3C)C[C@@H]2C)C1 ZINC000942602847 717772703 /nfs/dbraw/zinc/77/27/03/717772703.db2.gz OGDLJCMYOIDAQJ-KBPBESRZSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3ncnn3C)C[C@@H]2C)C1 ZINC000942602847 717772704 /nfs/dbraw/zinc/77/27/04/717772704.db2.gz OGDLJCMYOIDAQJ-KBPBESRZSA-N 1 2 317.437 1.498 20 30 DDEDLO N#CCN1CCC[C@H]1[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC000963443841 717791093 /nfs/dbraw/zinc/79/10/93/717791093.db2.gz UECZZEQNBHZIIA-CABCVRRESA-N 1 2 301.394 1.110 20 30 DDEDLO CN(C(=O)[C@H]1CCn2cc[nH+]c2C1)C1CCC(NCC#N)CC1 ZINC000963582695 717836500 /nfs/dbraw/zinc/83/65/00/717836500.db2.gz SEIQOQSODNPIKQ-NFOMZHRRSA-N 1 2 315.421 1.328 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000943343776 718084966 /nfs/dbraw/zinc/08/49/66/718084966.db2.gz YDPHZZMQVWYLIT-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2nc3cccnc3s2)C1 ZINC000966724701 718641687 /nfs/dbraw/zinc/64/16/87/718641687.db2.gz SFYITHJRHKPGQJ-DGCLKSJQSA-N 1 2 314.414 1.765 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2nc3cccnc3s2)C1 ZINC000966724701 718641690 /nfs/dbraw/zinc/64/16/90/718641690.db2.gz SFYITHJRHKPGQJ-DGCLKSJQSA-N 1 2 314.414 1.765 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC000967438889 718897017 /nfs/dbraw/zinc/89/70/17/718897017.db2.gz VYVLKEJPCAGWOK-UWVGGRQHSA-N 1 2 315.295 1.767 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ocnc2C(F)(F)F)C1 ZINC000967438889 718897024 /nfs/dbraw/zinc/89/70/24/718897024.db2.gz VYVLKEJPCAGWOK-UWVGGRQHSA-N 1 2 315.295 1.767 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1ccon1 ZINC000947171131 719059358 /nfs/dbraw/zinc/05/93/58/719059358.db2.gz QFEDAWPZQKEVJF-WCQYABFASA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1ccon1 ZINC000947171131 719059362 /nfs/dbraw/zinc/05/93/62/719059362.db2.gz QFEDAWPZQKEVJF-WCQYABFASA-N 1 2 313.361 1.657 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CCN(CC#N)[C@H](C)C3)ccn12 ZINC000947315048 719115444 /nfs/dbraw/zinc/11/54/44/719115444.db2.gz MFKWWMDUZZCTGC-IUODEOHRSA-N 1 2 311.389 1.749 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)Cn2cc[nH+]c2C)C[C@@H]1C ZINC000948052708 719344054 /nfs/dbraw/zinc/34/40/54/719344054.db2.gz JGNVBLRZSBPVDY-GXTWGEPZSA-N 1 2 310.829 1.913 20 30 DDEDLO CN(C(=O)[C@@H]1CCc2[nH+]ccn2C1)[C@H]1CCCN(CC#N)CC1 ZINC000948556219 719546256 /nfs/dbraw/zinc/54/62/56/719546256.db2.gz GPXRAPCKYCBVHA-CABCVRRESA-N 1 2 315.421 1.282 20 30 DDEDLO CN(C(=O)[C@H]1CCc2[nH+]ccn2C1)[C@@H]1CCCN(CC#N)CC1 ZINC000948556222 719546424 /nfs/dbraw/zinc/54/64/24/719546424.db2.gz GPXRAPCKYCBVHA-LSDHHAIUSA-N 1 2 315.421 1.282 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2scnc2COC)C1 ZINC000968399486 719560012 /nfs/dbraw/zinc/56/00/12/719560012.db2.gz DQYVJIKHXANFFO-RYUDHWBXSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2scnc2COC)C1 ZINC000968399486 719560014 /nfs/dbraw/zinc/56/00/14/719560014.db2.gz DQYVJIKHXANFFO-RYUDHWBXSA-N 1 2 307.419 1.363 20 30 DDEDLO C[C@H]1CCN(CC#N)C[C@@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000968498262 719624768 /nfs/dbraw/zinc/62/47/68/719624768.db2.gz YVQVAAREYQOGKY-YOEHRIQHSA-N 1 2 323.400 1.836 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC000968626946 719698968 /nfs/dbraw/zinc/69/89/68/719698968.db2.gz JJCDIKYRMGPHSJ-CQSZACIVSA-N 1 2 314.389 1.441 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cncnc1 ZINC000948912596 719782027 /nfs/dbraw/zinc/78/20/27/719782027.db2.gz IDDPUETUIWCNOW-QGZVFWFLSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cncnc1 ZINC000948912596 719782029 /nfs/dbraw/zinc/78/20/29/719782029.db2.gz IDDPUETUIWCNOW-QGZVFWFLSA-N 1 2 306.369 1.439 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cc(OC)nc(OC)c2)CC1 ZINC000949106665 719910148 /nfs/dbraw/zinc/91/01/48/719910148.db2.gz GUBHDIXYZZHYIH-UHFFFAOYSA-N 1 2 305.378 1.433 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnc3cc(OC)ccn32)CC1 ZINC000949302974 720004278 /nfs/dbraw/zinc/00/42/78/720004278.db2.gz ZULNGRIOGPTHME-UHFFFAOYSA-N 1 2 300.362 1.287 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC3(CC2)C[N@H+](CCF)CCO3)c[nH]1 ZINC000949343926 720030070 /nfs/dbraw/zinc/03/00/70/720030070.db2.gz XOUXHRXXPAGMIW-UHFFFAOYSA-N 1 2 320.368 1.163 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC3(CC2)C[N@@H+](CCF)CCO3)c[nH]1 ZINC000949343926 720030074 /nfs/dbraw/zinc/03/00/74/720030074.db2.gz XOUXHRXXPAGMIW-UHFFFAOYSA-N 1 2 320.368 1.163 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3(C(F)F)CC3)CC2)C1 ZINC000949365966 720041904 /nfs/dbraw/zinc/04/19/04/720041904.db2.gz NEYKUIJIEKZPOJ-UHFFFAOYSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3(C(F)F)CC3)CC2)C1 ZINC000949365966 720041907 /nfs/dbraw/zinc/04/19/07/720041907.db2.gz NEYKUIJIEKZPOJ-UHFFFAOYSA-N 1 2 312.360 1.358 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@H]2CC[C@H](C(F)(F)F)O2)CC1 ZINC000949406207 720067746 /nfs/dbraw/zinc/06/77/46/720067746.db2.gz JZMVVLZXPFZEFC-CHWSQXEVSA-N 1 2 318.339 1.654 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2c[nH]nc2[C@H]2CCOC2)CC1 ZINC000949499374 720124817 /nfs/dbraw/zinc/12/48/17/720124817.db2.gz VOWQAYCDOYWXNM-ZDUSSCGKSA-N 1 2 304.394 1.248 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+]Cc3nc(CC)no3)C2)cc1 ZINC000969548687 720185452 /nfs/dbraw/zinc/18/54/52/720185452.db2.gz SNCLCNCBRSOCMZ-OAHLLOKOSA-N 1 2 324.384 1.618 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccnn2CC2CCC2)C1 ZINC000950331110 720580614 /nfs/dbraw/zinc/58/06/14/720580614.db2.gz LUKGBVHRJJOPIQ-UHFFFAOYSA-N 1 2 314.433 1.853 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2[nH]cnc2C(C)(C)C)C1 ZINC000950363740 720597573 /nfs/dbraw/zinc/59/75/73/720597573.db2.gz OUVBVPLSAJCNSN-UHFFFAOYSA-N 1 2 302.422 1.877 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccnc3n[nH]nc32)C1 ZINC000970121927 720633543 /nfs/dbraw/zinc/63/35/43/720633543.db2.gz YZTGGBSIILQLIU-SECBINFHSA-N 1 2 320.784 1.156 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc(OCC)no2)C1 ZINC000970167937 720650068 /nfs/dbraw/zinc/65/00/68/720650068.db2.gz RHSZVZXWGKILSQ-SNVBAGLBSA-N 1 2 313.785 1.876 20 30 DDEDLO Cc1nn[nH]c1C(=O)N[C@H](C)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970256192 720680667 /nfs/dbraw/zinc/68/06/67/720680667.db2.gz LFJLTIRTDYGDPM-LLVKDONJSA-N 1 2 324.388 1.235 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCn3cncc3C2)C1 ZINC000950639585 720716751 /nfs/dbraw/zinc/71/67/51/720716751.db2.gz LPRWJNITABREHU-AWEZNQCLSA-N 1 2 302.422 1.554 20 30 DDEDLO C=CCOc1ccccc1C(=O)N(CC)C1C[NH+](CCO)C1 ZINC000950644923 720719245 /nfs/dbraw/zinc/71/92/45/720719245.db2.gz CWUQIVXPVUJFNB-UHFFFAOYSA-N 1 2 304.390 1.390 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC000950658656 720723177 /nfs/dbraw/zinc/72/31/77/720723177.db2.gz SXQFZBNMYXHXBB-CQSZACIVSA-N 1 2 302.422 1.554 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2csc(NC(C)=O)n2)C1 ZINC000950701904 720740319 /nfs/dbraw/zinc/74/03/19/720740319.db2.gz WGUKDUXAHBYYJN-UHFFFAOYSA-N 1 2 320.418 1.271 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]1CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000950917230 720825914 /nfs/dbraw/zinc/82/59/14/720825914.db2.gz SCLQBMJUKROKRP-PWSUYJOCSA-N 1 2 311.345 1.053 20 30 DDEDLO CC#CC[NH+]1CC([C@H](C)NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC000970666744 720877063 /nfs/dbraw/zinc/87/70/63/720877063.db2.gz BJCNNUFFUPCASB-STQMWFEESA-N 1 2 314.433 1.608 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2conc2COC)C1 ZINC000970726051 720911206 /nfs/dbraw/zinc/91/12/06/720911206.db2.gz OGYVRZSONXBEQV-SNVBAGLBSA-N 1 2 313.785 1.624 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cccn(C)c2=O)C1 ZINC000970754147 720924278 /nfs/dbraw/zinc/92/42/78/720924278.db2.gz PUDYWUJSAIQZPJ-LLVKDONJSA-N 1 2 309.797 1.188 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2csc(-c3nc[nH]n3)n2)CC1 ZINC000951722004 721153286 /nfs/dbraw/zinc/15/32/86/721153286.db2.gz JDEOHWYWPKGYQC-UHFFFAOYSA-N 1 2 318.406 1.262 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC000951781749 721180425 /nfs/dbraw/zinc/18/04/25/721180425.db2.gz HSCGDSWAYYBNHX-HUUCEWRRSA-N 1 2 300.406 1.080 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2cc3n(n2)CCCC3)C1 ZINC000971212213 721180977 /nfs/dbraw/zinc/18/09/77/721180977.db2.gz WXBTXOQNQGRKIE-CJNGLKHVSA-N 1 2 315.421 1.412 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc3n(n2)CCCC3)C1 ZINC000971212213 721180983 /nfs/dbraw/zinc/18/09/83/721180983.db2.gz WXBTXOQNQGRKIE-CJNGLKHVSA-N 1 2 315.421 1.412 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2ccncc2Cl)C1 ZINC000971217914 721185211 /nfs/dbraw/zinc/18/52/11/721185211.db2.gz HNUBWTLNKMOWRB-YPMHNXCESA-N 1 2 306.797 1.927 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2ccncc2Cl)C1 ZINC000971217914 721185217 /nfs/dbraw/zinc/18/52/17/721185217.db2.gz HNUBWTLNKMOWRB-YPMHNXCESA-N 1 2 306.797 1.927 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000951913933 721234735 /nfs/dbraw/zinc/23/47/35/721234735.db2.gz KFEOIOFTYMSADF-YOEHRIQHSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCC[C@H](C(N)=O)C2)C1 ZINC000952383241 721430568 /nfs/dbraw/zinc/43/05/68/721430568.db2.gz LPVGOEOHRUXPCN-UONOGXRCSA-N 1 2 307.438 1.387 20 30 DDEDLO N#Cc1cnc(N2CC[NH+](C[C@@H]3CCCO3)CC2)c(Cl)c1 ZINC000609630849 721901978 /nfs/dbraw/zinc/90/19/78/721901978.db2.gz HAFHPVSUZIXKDH-ZDUSSCGKSA-N 1 2 306.797 1.908 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C34CCC(CC3)CC4)C2)C1 ZINC000972686026 735469468 /nfs/dbraw/zinc/46/94/68/735469468.db2.gz ZPOYFTLJHHGOND-KVZIAJEVSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C34CCC(CC3)CC4)C2)C1 ZINC000972686026 735469470 /nfs/dbraw/zinc/46/94/70/735469470.db2.gz ZPOYFTLJHHGOND-KVZIAJEVSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3CCC[C@@H](C)C3)C2)nn1 ZINC001105314534 737819478 /nfs/dbraw/zinc/81/94/78/737819478.db2.gz VGGPWJSSOPFGPD-KGLIPLIRSA-N 1 2 315.421 1.211 20 30 DDEDLO Cn1cnc(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)c1 ZINC001010554292 732578457 /nfs/dbraw/zinc/57/84/57/732578457.db2.gz VZAOUMJXQJZORH-LLVKDONJSA-N 1 2 315.402 1.358 20 30 DDEDLO Cn1cnc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)c1 ZINC001010554292 732578458 /nfs/dbraw/zinc/57/84/58/732578458.db2.gz VZAOUMJXQJZORH-LLVKDONJSA-N 1 2 315.402 1.358 20 30 DDEDLO C[C@@H](CCCNc1ncccc1C#N)NC(=O)Cn1cc[nH+]c1 ZINC001114957221 733199941 /nfs/dbraw/zinc/19/99/41/733199941.db2.gz JDYHOCJWWIHBAI-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO Cc1nc(N2CCOCC2)cc(NC2=CC(=O)C[C@H](C)C2)[nH+]1 ZINC001210768747 733275427 /nfs/dbraw/zinc/27/54/27/733275427.db2.gz JWNLLSXKIFXEMX-LLVKDONJSA-N 1 2 302.378 1.916 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCc2cn[nH]c21 ZINC001027885256 738772782 /nfs/dbraw/zinc/77/27/82/738772782.db2.gz CDMJZWIXUMBJFH-HUUCEWRRSA-N 1 2 300.406 1.434 20 30 DDEDLO C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCc2cn[nH]c21 ZINC001027885207 738773111 /nfs/dbraw/zinc/77/31/11/738773111.db2.gz BJAOIOSZLAVOIV-GJZGRUSLSA-N 1 2 302.422 1.986 20 30 DDEDLO COCCN(CCNC(=O)[C@H](C)C#N)c1nc(C)[nH+]c(C)c1C ZINC001126261973 738780409 /nfs/dbraw/zinc/78/04/09/738780409.db2.gz WIZRIZFSGUUTRX-LLVKDONJSA-N 1 2 319.409 1.131 20 30 DDEDLO CCn1nncc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038237416 734695058 /nfs/dbraw/zinc/69/50/58/734695058.db2.gz XKTJFKCRDHYWGZ-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1nncc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038237416 734695061 /nfs/dbraw/zinc/69/50/61/734695061.db2.gz XKTJFKCRDHYWGZ-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3oc(CCC)nc3C)[C@H]2C1 ZINC001083248779 734807596 /nfs/dbraw/zinc/80/75/96/734807596.db2.gz YFSMYGZFGOEZKE-UONOGXRCSA-N 1 2 319.405 1.647 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3oc(CCC)nc3C)[C@H]2C1 ZINC001083248779 734807599 /nfs/dbraw/zinc/80/75/99/734807599.db2.gz YFSMYGZFGOEZKE-UONOGXRCSA-N 1 2 319.405 1.647 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](n2cc(C[NH2+]C/C=C\Cl)nn2)C1 ZINC001098633287 738851886 /nfs/dbraw/zinc/85/18/86/738851886.db2.gz KWPIEQFMFONRDZ-ZBKLQPJUSA-N 1 2 323.828 1.860 20 30 DDEDLO C#CCNC(=O)c1ccccc1NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001125630235 734846511 /nfs/dbraw/zinc/84/65/11/734846511.db2.gz QOLOCORNPFXMQI-ZDUSSCGKSA-N 1 2 310.357 1.836 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213390241 735082531 /nfs/dbraw/zinc/08/25/31/735082531.db2.gz VHRFRMNBXKDHIW-INMHGKMJSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001213390241 735082534 /nfs/dbraw/zinc/08/25/34/735082534.db2.gz VHRFRMNBXKDHIW-INMHGKMJSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cn(C)nc1C ZINC001032563539 751349994 /nfs/dbraw/zinc/34/99/94/751349994.db2.gz ZWPMGEQJZGDLHG-GJZGRUSLSA-N 1 2 302.422 1.726 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cn(C)nc1C ZINC001032563539 751349997 /nfs/dbraw/zinc/34/99/97/751349997.db2.gz ZWPMGEQJZGDLHG-GJZGRUSLSA-N 1 2 302.422 1.726 20 30 DDEDLO CC#CCN1CCCC[C@H]1CNC(=O)c1occc1C[NH+](C)C ZINC001024624283 735989878 /nfs/dbraw/zinc/98/98/78/735989878.db2.gz NXNRUDHCHHNTIN-INIZCTEOSA-N 1 2 317.433 1.949 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)nn(C(C)(C)C)c1C ZINC001038319100 736216778 /nfs/dbraw/zinc/21/67/78/736216778.db2.gz VYGDJSIXPLEQHZ-CQSZACIVSA-N 1 2 302.422 1.692 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)nn(C(C)(C)C)c1C ZINC001038319100 736216779 /nfs/dbraw/zinc/21/67/79/736216779.db2.gz VYGDJSIXPLEQHZ-CQSZACIVSA-N 1 2 302.422 1.692 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[NH2+][C@@H](C)c1nc(CCCC)no1 ZINC001126382753 739662174 /nfs/dbraw/zinc/66/21/74/739662174.db2.gz BGGAIRPTGRTPJA-LRDDRELGSA-N 1 2 324.425 1.506 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H](NC(=O)c3ncc[nH]3)C2)s1 ZINC001007294732 751371598 /nfs/dbraw/zinc/37/15/98/751371598.db2.gz VXVVJJSBSQCRNS-NSHDSACASA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H](NC(=O)c3ncc[nH]3)C2)s1 ZINC001007294732 751371604 /nfs/dbraw/zinc/37/16/04/751371604.db2.gz VXVVJJSBSQCRNS-NSHDSACASA-N 1 2 315.402 1.737 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ncc(OC)cn1 ZINC001028018497 738939378 /nfs/dbraw/zinc/93/93/78/738939378.db2.gz VUGMGPJWLIQCBW-LLVKDONJSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ncc(OC)cn1 ZINC001028018497 738939381 /nfs/dbraw/zinc/93/93/81/738939381.db2.gz VUGMGPJWLIQCBW-LLVKDONJSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105305635 737795420 /nfs/dbraw/zinc/79/54/20/737795420.db2.gz KPEIDISKMUKAHL-KBPBESRZSA-N 1 2 315.421 1.233 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3CCC[C@H]3CC)C2)nn1 ZINC001105320647 737847079 /nfs/dbraw/zinc/84/70/79/737847079.db2.gz DWBCJDZZZKGBQG-CJNGLKHVSA-N 1 2 315.421 1.211 20 30 DDEDLO C[N@H+](Cc1ccon1)[C@@H]1CCCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC001027355432 738229739 /nfs/dbraw/zinc/22/97/39/738229739.db2.gz VZRVAXOCRWQGOS-CQSZACIVSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@@H+](Cc1ccon1)[C@@H]1CCCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC001027355432 738229742 /nfs/dbraw/zinc/22/97/42/738229742.db2.gz VZRVAXOCRWQGOS-CQSZACIVSA-N 1 2 313.361 1.611 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc(OC)nn1 ZINC001028241004 739215927 /nfs/dbraw/zinc/21/59/27/739215927.db2.gz QPCDAEWMVVMBNS-NSHDSACASA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(OC)nn1 ZINC001028241004 739215930 /nfs/dbraw/zinc/21/59/30/739215930.db2.gz QPCDAEWMVVMBNS-NSHDSACASA-N 1 2 310.785 1.432 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001126343734 739251109 /nfs/dbraw/zinc/25/11/09/739251109.db2.gz FNLCFMCHXKIUEM-GFCCVEGCSA-N 1 2 308.382 1.176 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@H+]2Cc2ccn(C)n2)cn1 ZINC001028272002 739261006 /nfs/dbraw/zinc/26/10/06/739261006.db2.gz RJJJCFMUAZYOSG-QGZVFWFLSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2ccn(C)n2)cn1 ZINC001028272002 739261010 /nfs/dbraw/zinc/26/10/10/739261010.db2.gz RJJJCFMUAZYOSG-QGZVFWFLSA-N 1 2 323.400 1.191 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3cc(C)cc(C)c3)C2)nn1 ZINC001105366342 739392592 /nfs/dbraw/zinc/39/25/92/739392592.db2.gz JSCHYZOXKGOYCT-UHFFFAOYSA-N 1 2 323.400 1.315 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)n(C)n2)C1 ZINC001035362902 751447523 /nfs/dbraw/zinc/44/75/23/751447523.db2.gz XFTUIZJGQJANIZ-CQSZACIVSA-N 1 2 320.437 1.550 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)n(C)n2)C1 ZINC001035362902 751447525 /nfs/dbraw/zinc/44/75/25/751447525.db2.gz XFTUIZJGQJANIZ-CQSZACIVSA-N 1 2 320.437 1.550 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)CC(C)C ZINC001211790948 739539355 /nfs/dbraw/zinc/53/93/55/739539355.db2.gz IHKZVVYXZZOHGR-HUUCEWRRSA-N 1 2 321.446 1.981 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)CC(C)C ZINC001211790948 739539358 /nfs/dbraw/zinc/53/93/58/739539358.db2.gz IHKZVVYXZZOHGR-HUUCEWRRSA-N 1 2 321.446 1.981 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NC[C@@]1(C)C[N@H+](CC#CC)CCO1)OCC ZINC001107979865 751471872 /nfs/dbraw/zinc/47/18/72/751471872.db2.gz MGGBUGSXLIGFNT-AEFFLSMTSA-N 1 2 322.449 1.588 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NC[C@@]1(C)C[N@@H+](CC#CC)CCO1)OCC ZINC001107979865 751471873 /nfs/dbraw/zinc/47/18/73/751471873.db2.gz MGGBUGSXLIGFNT-AEFFLSMTSA-N 1 2 322.449 1.588 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)ncn3C)C1 ZINC001035421516 751479802 /nfs/dbraw/zinc/47/98/02/751479802.db2.gz BYYYTVBWLFHQFN-AWEZNQCLSA-N 1 2 314.389 1.190 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)ncn3C)C1 ZINC001035421516 751479805 /nfs/dbraw/zinc/47/98/05/751479805.db2.gz BYYYTVBWLFHQFN-AWEZNQCLSA-N 1 2 314.389 1.190 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@H](Nc2ccc(C#N)nc2)C1 ZINC001059088005 739903133 /nfs/dbraw/zinc/90/31/33/739903133.db2.gz KEBLQCROLDPPIG-DOMZBBRYSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@H](Nc2ccc(C#N)nc2)C1 ZINC001059088005 739903138 /nfs/dbraw/zinc/90/31/38/739903138.db2.gz KEBLQCROLDPPIG-DOMZBBRYSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001035409702 751492176 /nfs/dbraw/zinc/49/21/76/751492176.db2.gz MBUNZLTZLUHNRX-OAHLLOKOSA-N 1 2 314.389 1.521 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001035409702 751492178 /nfs/dbraw/zinc/49/21/78/751492178.db2.gz MBUNZLTZLUHNRX-OAHLLOKOSA-N 1 2 314.389 1.521 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+](Cc3ncnn3C)C2)CC1 ZINC001028780326 740027801 /nfs/dbraw/zinc/02/78/01/740027801.db2.gz HFLRKLPLNOUNAD-AWEZNQCLSA-N 1 2 317.437 1.500 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+](Cc3ncnn3C)C2)CC1 ZINC001028780326 740027806 /nfs/dbraw/zinc/02/78/06/740027806.db2.gz HFLRKLPLNOUNAD-AWEZNQCLSA-N 1 2 317.437 1.500 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(COC)c2)C1 ZINC001035430227 751507729 /nfs/dbraw/zinc/50/77/29/751507729.db2.gz AGJDQKZABAKETQ-KRWDZBQOSA-N 1 2 318.417 1.840 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccc(COC)c2)C1 ZINC001035430227 751507732 /nfs/dbraw/zinc/50/77/32/751507732.db2.gz AGJDQKZABAKETQ-KRWDZBQOSA-N 1 2 318.417 1.840 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)n(CC)c2C)C1 ZINC001035439448 751516012 /nfs/dbraw/zinc/51/60/12/751516012.db2.gz PSJRGNPKUFTGGE-HNNXBMFYSA-N 1 2 305.422 1.741 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)n(CC)c2C)C1 ZINC001035439448 751516018 /nfs/dbraw/zinc/51/60/18/751516018.db2.gz PSJRGNPKUFTGGE-HNNXBMFYSA-N 1 2 305.422 1.741 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)cc(=O)oc2C)C1 ZINC001035439418 751516101 /nfs/dbraw/zinc/51/61/01/751516101.db2.gz OBZDHSYGJIOBGY-AWEZNQCLSA-N 1 2 320.389 1.263 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)cc(=O)oc2C)C1 ZINC001035439418 751516106 /nfs/dbraw/zinc/51/61/06/751516106.db2.gz OBZDHSYGJIOBGY-AWEZNQCLSA-N 1 2 320.389 1.263 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001035448473 751526015 /nfs/dbraw/zinc/52/60/15/751526015.db2.gz POCPYEJGDRWCHP-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001035448473 751526017 /nfs/dbraw/zinc/52/60/17/751526017.db2.gz POCPYEJGDRWCHP-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(F)cc1Cl ZINC001032603428 751551359 /nfs/dbraw/zinc/55/13/59/751551359.db2.gz BQZQKTGCBCBHJZ-KBPBESRZSA-N 1 2 306.768 1.940 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(F)cc1Cl ZINC001032603428 751551364 /nfs/dbraw/zinc/55/13/64/751551364.db2.gz BQZQKTGCBCBHJZ-KBPBESRZSA-N 1 2 306.768 1.940 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(F)ccc2OC)C1 ZINC001035470336 751554523 /nfs/dbraw/zinc/55/45/23/751554523.db2.gz PORCMQNGSQJSJX-CQSZACIVSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(F)ccc2OC)C1 ZINC001035470336 751554528 /nfs/dbraw/zinc/55/45/28/751554528.db2.gz PORCMQNGSQJSJX-CQSZACIVSA-N 1 2 322.380 1.841 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CCN1CC#N ZINC001087793936 740754397 /nfs/dbraw/zinc/75/43/97/740754397.db2.gz KSQHZWXSDOPVLY-GOEBONIOSA-N 1 2 324.388 1.374 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nnnn2C)C1 ZINC001029799287 741313876 /nfs/dbraw/zinc/31/38/76/741313876.db2.gz VRTVZCDUTKCEOT-CYBMUJFWSA-N 1 2 320.441 1.093 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](C[N@H+](C)Cc2nnnn2C)C1 ZINC001029799287 741313879 /nfs/dbraw/zinc/31/38/79/741313879.db2.gz VRTVZCDUTKCEOT-CYBMUJFWSA-N 1 2 320.441 1.093 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(C)nc2C)C1 ZINC001035547814 751632066 /nfs/dbraw/zinc/63/20/66/751632066.db2.gz NONQUBHZTVLLNP-AWEZNQCLSA-N 1 2 304.394 1.100 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnc(C)nc2C)C1 ZINC001035547814 751632069 /nfs/dbraw/zinc/63/20/69/751632069.db2.gz NONQUBHZTVLLNP-AWEZNQCLSA-N 1 2 304.394 1.100 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)n(C)c2C)C1 ZINC001035596477 751651939 /nfs/dbraw/zinc/65/19/39/751651939.db2.gz BJCVZLZSPMMAKN-HNNXBMFYSA-N 1 2 305.422 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)n(C)c2C)C1 ZINC001035596477 751651945 /nfs/dbraw/zinc/65/19/45/751651945.db2.gz BJCVZLZSPMMAKN-HNNXBMFYSA-N 1 2 305.422 1.649 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C[C@@H](C)CCC)C2)nn1 ZINC001098637330 741685291 /nfs/dbraw/zinc/68/52/91/741685291.db2.gz KZPGKPCGAJQLKP-HOCLYGCPSA-N 1 2 317.437 1.601 20 30 DDEDLO N#Cc1cnc(N[C@H]2C[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)c(F)c1 ZINC001059848095 741792225 /nfs/dbraw/zinc/79/22/25/741792225.db2.gz XLFPTYLPSKGXNN-PHIMTYICSA-N 1 2 314.324 1.117 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)[C@H]1C ZINC001088574162 741853457 /nfs/dbraw/zinc/85/34/57/741853457.db2.gz GKSBCRSYNWLBMH-FOUMNBMASA-N 1 2 313.829 1.233 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)[C@H]1C ZINC001088574162 741853459 /nfs/dbraw/zinc/85/34/59/741853459.db2.gz GKSBCRSYNWLBMH-FOUMNBMASA-N 1 2 313.829 1.233 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(N(C)C)c2)C1 ZINC001035587225 751681559 /nfs/dbraw/zinc/68/15/59/751681559.db2.gz OPWIZYQNRNTTKV-INIZCTEOSA-N 1 2 303.406 1.369 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(N(C)C)c2)C1 ZINC001035587225 751681564 /nfs/dbraw/zinc/68/15/64/751681564.db2.gz OPWIZYQNRNTTKV-INIZCTEOSA-N 1 2 303.406 1.369 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnc(CC)s2)C1 ZINC001035620082 751691553 /nfs/dbraw/zinc/69/15/53/751691553.db2.gz DGQDCFRRDPWRFL-GFCCVEGCSA-N 1 2 309.435 1.712 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnc(CC)s2)C1 ZINC001035620082 751691557 /nfs/dbraw/zinc/69/15/57/751691557.db2.gz DGQDCFRRDPWRFL-GFCCVEGCSA-N 1 2 309.435 1.712 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(N(C)C)c(F)c2)C1 ZINC001035615859 751721829 /nfs/dbraw/zinc/72/18/29/751721829.db2.gz ARXZQNDUUVELPW-AWEZNQCLSA-N 1 2 321.396 1.508 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(N(C)C)c(F)c2)C1 ZINC001035615859 751721831 /nfs/dbraw/zinc/72/18/31/751721831.db2.gz ARXZQNDUUVELPW-AWEZNQCLSA-N 1 2 321.396 1.508 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076320329 742663793 /nfs/dbraw/zinc/66/37/93/742663793.db2.gz HCVFWJDKGWOEPV-KGLIPLIRSA-N 1 2 320.437 1.956 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(C[NH+](Cc3ccc(F)cn3)C2)CC1 ZINC001035674064 751754181 /nfs/dbraw/zinc/75/41/81/751754181.db2.gz SPHKOKXQKQNNIO-ZDUSSCGKSA-N 1 2 316.380 1.805 20 30 DDEDLO O=C(C[C@@H]1C=CCC1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076721640 742941967 /nfs/dbraw/zinc/94/19/67/742941967.db2.gz BDBLPVVTGPGSCO-GUDVDZBRSA-N 1 2 324.424 1.556 20 30 DDEDLO O=C(C[C@@H]1C=CCC1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076721640 742941969 /nfs/dbraw/zinc/94/19/69/742941969.db2.gz BDBLPVVTGPGSCO-GUDVDZBRSA-N 1 2 324.424 1.556 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(C[NH+]([C@H](C)c3ncccn3)C2)CC1 ZINC001035671763 751777735 /nfs/dbraw/zinc/77/77/35/751777735.db2.gz ZRSCTXMLLAUDOI-ZIAGYGMSSA-N 1 2 313.405 1.622 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@@H]2CCCO2)CC1 ZINC001002298498 743013883 /nfs/dbraw/zinc/01/38/83/743013883.db2.gz VBHVSPCEHHOUJW-LBPRGKRZSA-N 1 2 317.227 1.655 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@H+](Cc3ccc(F)c(C#N)c3)C[C@H]2O)CC1 ZINC001077035846 743189625 /nfs/dbraw/zinc/18/96/25/743189625.db2.gz QAESAKZFKJUQRK-HUUCEWRRSA-N 1 2 317.364 1.159 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccc(F)c(C#N)c3)C[C@H]2O)CC1 ZINC001077035846 743189629 /nfs/dbraw/zinc/18/96/29/743189629.db2.gz QAESAKZFKJUQRK-HUUCEWRRSA-N 1 2 317.364 1.159 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001181644321 743267931 /nfs/dbraw/zinc/26/79/31/743267931.db2.gz NGBHTLYIYGMASL-GFCCVEGCSA-N 1 2 308.382 1.086 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C[C@@H]3CCCO3)c2C1 ZINC001128217728 743299712 /nfs/dbraw/zinc/29/97/12/743299712.db2.gz CDROPGHGPLGOCM-HNNXBMFYSA-N 1 2 318.421 1.460 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C[C@@H]3CCCO3)c2C1 ZINC001128217728 743299721 /nfs/dbraw/zinc/29/97/21/743299721.db2.gz CDROPGHGPLGOCM-HNNXBMFYSA-N 1 2 318.421 1.460 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)Cn1cc[nH+]c1 ZINC001077186640 743303277 /nfs/dbraw/zinc/30/32/77/743303277.db2.gz ISCOIAADZNBUID-KGLIPLIRSA-N 1 2 306.410 1.496 20 30 DDEDLO N#CCN1CC2(C1)CCN(C(=O)c1cc3c[nH+]ccc3[nH]1)CC2 ZINC001035733374 751827018 /nfs/dbraw/zinc/82/70/18/751827018.db2.gz ATSXHDIOVIXCKY-UHFFFAOYSA-N 1 2 309.373 1.624 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ncsc1C(F)(F)F ZINC001038513016 743484493 /nfs/dbraw/zinc/48/44/93/743484493.db2.gz CPQPKDKGZSQYSN-VIFPVBQESA-N 1 2 317.336 1.989 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ncsc1C(F)(F)F ZINC001038513016 743484499 /nfs/dbraw/zinc/48/44/99/743484499.db2.gz CPQPKDKGZSQYSN-VIFPVBQESA-N 1 2 317.336 1.989 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ncccc2NC(C)=O)C1 ZINC001042868045 743484719 /nfs/dbraw/zinc/48/47/19/743484719.db2.gz PMIQOEMOYZRXRL-UHFFFAOYSA-N 1 2 302.378 1.372 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001061187819 743559492 /nfs/dbraw/zinc/55/94/92/743559492.db2.gz BXCHBUTWIHYRRF-CQSZACIVSA-N 1 2 324.388 1.726 20 30 DDEDLO C#CCCCC(=O)NCc1cnn2c1C[N@H+](CC[C@H](C)F)CC2 ZINC001128326844 743598271 /nfs/dbraw/zinc/59/82/71/743598271.db2.gz DXZWYNWOBBWRPU-AWEZNQCLSA-N 1 2 320.412 1.867 20 30 DDEDLO C#CCCCC(=O)NCc1cnn2c1C[N@@H+](CC[C@H](C)F)CC2 ZINC001128326844 743598276 /nfs/dbraw/zinc/59/82/76/743598276.db2.gz DXZWYNWOBBWRPU-AWEZNQCLSA-N 1 2 320.412 1.867 20 30 DDEDLO CO[C@H](C)C[N@@H+]1CCn2ncc(CNC(=O)C#CC(C)C)c2C1 ZINC001128347007 743636220 /nfs/dbraw/zinc/63/62/20/743636220.db2.gz HYRUSWSORJFAQS-CQSZACIVSA-N 1 2 318.421 1.009 20 30 DDEDLO CO[C@H](C)C[N@H+]1CCn2ncc(CNC(=O)C#CC(C)C)c2C1 ZINC001128347007 743636223 /nfs/dbraw/zinc/63/62/23/743636223.db2.gz HYRUSWSORJFAQS-CQSZACIVSA-N 1 2 318.421 1.009 20 30 DDEDLO COC1CC(C(=O)NC[C@H]2CC[N@@H+]2CC#Cc2ccccc2)C1 ZINC001038383247 743687951 /nfs/dbraw/zinc/68/79/51/743687951.db2.gz JKFPNQRNRKUBDO-LXPRWKDFSA-N 1 2 312.413 1.654 20 30 DDEDLO COC1CC(C(=O)NC[C@H]2CC[N@H+]2CC#Cc2ccccc2)C1 ZINC001038383247 743687956 /nfs/dbraw/zinc/68/79/56/743687956.db2.gz JKFPNQRNRKUBDO-LXPRWKDFSA-N 1 2 312.413 1.654 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(OC2C[NH+](CCOCC)C2)CC1 ZINC001105720025 743758089 /nfs/dbraw/zinc/75/80/89/743758089.db2.gz TUBQDOYAWRJFSS-UHFFFAOYSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCO[C@H]2c2ccncc2)C1 ZINC001030328517 744053143 /nfs/dbraw/zinc/05/31/43/744053143.db2.gz LYOLIENBBUPZCZ-CVEARBPZSA-N 1 2 301.390 1.536 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001184638678 744076824 /nfs/dbraw/zinc/07/68/24/744076824.db2.gz VKIYVXJINDDGDA-IUODEOHRSA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCn1cccc1C(=O)NC1C[NH+](CCc2ccnn2C)C1 ZINC001030447501 744167296 /nfs/dbraw/zinc/16/72/96/744167296.db2.gz XWUUJBJLIPBVMA-UHFFFAOYSA-N 1 2 313.405 1.064 20 30 DDEDLO C=CCCc1ccc(C(=O)NCC[N@H+]2CCOC[C@@H]2C)c(=O)[nH]1 ZINC001185362467 744206848 /nfs/dbraw/zinc/20/68/48/744206848.db2.gz BKANZPBIPSUHRJ-ZDUSSCGKSA-N 1 2 319.405 1.356 20 30 DDEDLO C=CCCc1ccc(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)c(=O)[nH]1 ZINC001185362467 744206850 /nfs/dbraw/zinc/20/68/50/744206850.db2.gz BKANZPBIPSUHRJ-ZDUSSCGKSA-N 1 2 319.405 1.356 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)[C@H]1C ZINC001088850356 744212711 /nfs/dbraw/zinc/21/27/11/744212711.db2.gz MUQXAYCLPCRZFX-AGIUHOORSA-N 1 2 313.829 1.377 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)[C@H]1C ZINC001088850356 744212713 /nfs/dbraw/zinc/21/27/13/744212713.db2.gz MUQXAYCLPCRZFX-AGIUHOORSA-N 1 2 313.829 1.377 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CC(=O)N[C@H](CC(C)C)C2)C1 ZINC001030585821 744292395 /nfs/dbraw/zinc/29/23/95/744292395.db2.gz KBYVJMRHYFSCJM-ZIAGYGMSSA-N 1 2 307.438 1.304 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnon2)CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001088931679 744377750 /nfs/dbraw/zinc/37/77/50/744377750.db2.gz PGIHDWAMVSGLIG-SKDRFNHKSA-N 1 2 317.374 1.396 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnon2)CC[N@H+]1Cc1ccc(C#N)s1 ZINC001088931679 744377754 /nfs/dbraw/zinc/37/77/54/744377754.db2.gz PGIHDWAMVSGLIG-SKDRFNHKSA-N 1 2 317.374 1.396 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001188074675 744656431 /nfs/dbraw/zinc/65/64/31/744656431.db2.gz HIVWBEMTXKZJGU-LSDHHAIUSA-N 1 2 302.422 1.809 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001188074675 744656433 /nfs/dbraw/zinc/65/64/33/744656433.db2.gz HIVWBEMTXKZJGU-LSDHHAIUSA-N 1 2 302.422 1.809 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CCC)no2)C1 ZINC001188287730 744687421 /nfs/dbraw/zinc/68/74/21/744687421.db2.gz QDKGWHGELFYHJZ-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nnc(CC)o2)C1 ZINC001188301336 744693037 /nfs/dbraw/zinc/69/30/37/744693037.db2.gz BMGDGLWLMWMFMQ-CHWSQXEVSA-N 1 2 322.409 1.476 20 30 DDEDLO N#CCCCCNc1ccc(OCC[NH+]2CCOCC2)nc1 ZINC001168435418 744704904 /nfs/dbraw/zinc/70/49/04/744704904.db2.gz BKXKMEMWNRYHBO-UHFFFAOYSA-N 1 2 304.394 1.898 20 30 DDEDLO COc1ccc(C#N)c(C(=O)NCC2([NH+]3CCCC3)COC2)c1 ZINC001189097091 744827658 /nfs/dbraw/zinc/82/76/58/744827658.db2.gz PGZGFGJGHHWPPA-UHFFFAOYSA-N 1 2 315.373 1.161 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nocc2C)C1 ZINC001189250273 744853686 /nfs/dbraw/zinc/85/36/86/744853686.db2.gz GDAOYMSAIPKVNP-HUUCEWRRSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001189250273 744853688 /nfs/dbraw/zinc/85/36/88/744853688.db2.gz GDAOYMSAIPKVNP-HUUCEWRRSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001189364705 744887069 /nfs/dbraw/zinc/88/70/69/744887069.db2.gz UHDTXYGUXXLSCW-YPMHNXCESA-N 1 2 308.382 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001189364705 744887072 /nfs/dbraw/zinc/88/70/72/744887072.db2.gz UHDTXYGUXXLSCW-YPMHNXCESA-N 1 2 308.382 1.174 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001190120458 745121955 /nfs/dbraw/zinc/12/19/55/745121955.db2.gz KEGNBNGKMUQVFM-HNNXBMFYSA-N 1 2 319.405 1.364 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001190120458 745121958 /nfs/dbraw/zinc/12/19/58/745121958.db2.gz KEGNBNGKMUQVFM-HNNXBMFYSA-N 1 2 319.405 1.364 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001190441180 745220306 /nfs/dbraw/zinc/22/03/06/745220306.db2.gz PAJWMRGHSAAYRV-DZGCQCFKSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001190441180 745220307 /nfs/dbraw/zinc/22/03/07/745220307.db2.gz PAJWMRGHSAAYRV-DZGCQCFKSA-N 1 2 321.446 1.769 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001190685012 745296140 /nfs/dbraw/zinc/29/61/40/745296140.db2.gz QASLZPFCGHXNJR-HUUCEWRRSA-N 1 2 305.353 1.159 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@H]1O ZINC001190685012 745296144 /nfs/dbraw/zinc/29/61/44/745296144.db2.gz QASLZPFCGHXNJR-HUUCEWRRSA-N 1 2 305.353 1.159 20 30 DDEDLO CC[N@H+](Cc1nc(C)c(C)o1)[C@H](C)CNC(=O)CSCC#N ZINC001151965286 745583656 /nfs/dbraw/zinc/58/36/56/745583656.db2.gz IECHXLBUEYWYNP-LLVKDONJSA-N 1 2 324.450 1.875 20 30 DDEDLO CC[N@@H+](Cc1nc(C)c(C)o1)[C@H](C)CNC(=O)CSCC#N ZINC001151965286 745583657 /nfs/dbraw/zinc/58/36/57/745583657.db2.gz IECHXLBUEYWYNP-LLVKDONJSA-N 1 2 324.450 1.875 20 30 DDEDLO C[C@@H](CCNc1cncc(C#N)n1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106309461 745591796 /nfs/dbraw/zinc/59/17/96/745591796.db2.gz HWOFATGSVLFMDC-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cc(C)n[nH]2)[C@@H]1C ZINC000993206913 745822948 /nfs/dbraw/zinc/82/29/48/745822948.db2.gz ULMIODPXBIUKBL-JSGCOSHPSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cc(C)n[nH]2)[C@@H]1C ZINC000993206913 745822951 /nfs/dbraw/zinc/82/29/51/745822951.db2.gz ULMIODPXBIUKBL-JSGCOSHPSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cc(C)[nH]n2)[C@@H]1C ZINC000993206913 745822957 /nfs/dbraw/zinc/82/29/57/745822957.db2.gz ULMIODPXBIUKBL-JSGCOSHPSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cc(C)[nH]n2)[C@@H]1C ZINC000993206913 745822962 /nfs/dbraw/zinc/82/29/62/745822962.db2.gz ULMIODPXBIUKBL-JSGCOSHPSA-N 1 2 310.829 1.982 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2ccnn2C)[C@H]1C ZINC000993252912 745926885 /nfs/dbraw/zinc/92/68/85/745926885.db2.gz CQJGBGCOGGAHLG-OCCSQVGLSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2ccnn2C)[C@H]1C ZINC000993252912 745926887 /nfs/dbraw/zinc/92/68/87/745926887.db2.gz CQJGBGCOGGAHLG-OCCSQVGLSA-N 1 2 310.829 1.684 20 30 DDEDLO N#CCS(=O)(=O)N1CC[NH+]([C@@H]2CCCc3ccccc32)CC1 ZINC001192967488 745949567 /nfs/dbraw/zinc/94/95/67/745949567.db2.gz ZMKKPFHUJKZVKA-MRXNPFEDSA-N 1 2 319.430 1.535 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](CCOCC(F)(F)F)C[C@H]1O ZINC001193377752 746081488 /nfs/dbraw/zinc/08/14/88/746081488.db2.gz ZQUYRPYZPQWITJ-VXGBXAGGSA-N 1 2 324.343 1.083 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](CCOCC(F)(F)F)C[C@H]1O ZINC001193377752 746081494 /nfs/dbraw/zinc/08/14/94/746081494.db2.gz ZQUYRPYZPQWITJ-VXGBXAGGSA-N 1 2 324.343 1.083 20 30 DDEDLO CC#CCN1CCC[C@H](NC(=O)c2occc2C[NH+](C)C)[C@H]1C ZINC000993704160 746297420 /nfs/dbraw/zinc/29/74/20/746297420.db2.gz PVCJOODBBIEPQX-ZBFHGGJFSA-N 1 2 317.433 1.947 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2nccc2C)[C@H]1C ZINC000993891067 746367849 /nfs/dbraw/zinc/36/78/49/746367849.db2.gz MTRAJZBCXVYSLW-KGLIPLIRSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2nccc2C)[C@H]1C ZINC000993891067 746367855 /nfs/dbraw/zinc/36/78/55/746367855.db2.gz MTRAJZBCXVYSLW-KGLIPLIRSA-N 1 2 310.829 1.913 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2scnc2COC)[C@H]1C ZINC000993962358 746393832 /nfs/dbraw/zinc/39/38/32/746393832.db2.gz KVNMQGDXMZTHDI-VXGBXAGGSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2scnc2COC)[C@H]1C ZINC000993962358 746393836 /nfs/dbraw/zinc/39/38/36/746393836.db2.gz KVNMQGDXMZTHDI-VXGBXAGGSA-N 1 2 307.419 1.505 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC1CN(Cc2cccc(C#N)c2)C1 ZINC001031313432 746527844 /nfs/dbraw/zinc/52/78/44/746527844.db2.gz NBUQMBVYTPUOKF-UHFFFAOYSA-N 1 2 309.373 1.133 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)N(CC)CCC#N)CC1 ZINC001195192333 746541810 /nfs/dbraw/zinc/54/18/10/746541810.db2.gz WYCXSLHTTDALAF-UHFFFAOYSA-N 1 2 320.437 1.249 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)N(CC)CCC#N)CC1 ZINC001195192333 746541811 /nfs/dbraw/zinc/54/18/11/746541811.db2.gz WYCXSLHTTDALAF-UHFFFAOYSA-N 1 2 320.437 1.249 20 30 DDEDLO CCCN(CCC)C(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195314109 746574409 /nfs/dbraw/zinc/57/44/09/746574409.db2.gz HWPORMHMNJANDE-HNNXBMFYSA-N 1 2 322.453 1.329 20 30 DDEDLO CCCN(CCC)C(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195314109 746574414 /nfs/dbraw/zinc/57/44/14/746574414.db2.gz HWPORMHMNJANDE-HNNXBMFYSA-N 1 2 322.453 1.329 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)CC)CC1 ZINC001195541210 746640208 /nfs/dbraw/zinc/64/02/08/746640208.db2.gz OYNRDNWLAXVHEZ-INIZCTEOSA-N 1 2 321.465 1.629 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)CC)CC1 ZINC001195541210 746640210 /nfs/dbraw/zinc/64/02/10/746640210.db2.gz OYNRDNWLAXVHEZ-INIZCTEOSA-N 1 2 321.465 1.629 20 30 DDEDLO C#CCC[N@H+]1CCC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)[C@@H]1C ZINC000994407545 746641573 /nfs/dbraw/zinc/64/15/73/746641573.db2.gz ZGHCZBKPCPZGBU-GXTWGEPZSA-N 1 2 311.389 1.564 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)[C@@H]1C ZINC000994407545 746641577 /nfs/dbraw/zinc/64/15/77/746641577.db2.gz ZGHCZBKPCPZGBU-GXTWGEPZSA-N 1 2 311.389 1.564 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001195566620 746655163 /nfs/dbraw/zinc/65/51/63/746655163.db2.gz ACLXDTBOLLLVEB-FVQBIDKESA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001195566620 746655165 /nfs/dbraw/zinc/65/51/65/746655165.db2.gz ACLXDTBOLLLVEB-FVQBIDKESA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+](Cc2ccns2)CC1 ZINC001195681487 746669851 /nfs/dbraw/zinc/66/98/51/746669851.db2.gz DOEKOCUFRMJVGC-OAHLLOKOSA-N 1 2 309.435 1.505 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+](Cc2ccns2)CC1 ZINC001195681487 746669855 /nfs/dbraw/zinc/66/98/55/746669855.db2.gz DOEKOCUFRMJVGC-OAHLLOKOSA-N 1 2 309.435 1.505 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCCN(C(=O)C#CC(C)(C)C)CC2)cn1 ZINC001195785839 746704389 /nfs/dbraw/zinc/70/43/89/746704389.db2.gz XQLJOBUUBCTTKR-UHFFFAOYSA-N 1 2 314.433 1.869 20 30 DDEDLO Cc1ncc(C[N@H+]2CCCN(C(=O)C#CC(C)(C)C)CC2)cn1 ZINC001195785839 746704392 /nfs/dbraw/zinc/70/43/92/746704392.db2.gz XQLJOBUUBCTTKR-UHFFFAOYSA-N 1 2 314.433 1.869 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cnc(C)o2)CC1 ZINC001195807491 746712250 /nfs/dbraw/zinc/71/22/50/746712250.db2.gz VHIYASCNQNNQSX-ZDUSSCGKSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cnc(C)o2)CC1 ZINC001195807491 746712254 /nfs/dbraw/zinc/71/22/54/746712254.db2.gz VHIYASCNQNNQSX-ZDUSSCGKSA-N 1 2 305.378 1.056 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCN(C(=O)C2(C)CC2)CC1 ZINC001195907777 746734002 /nfs/dbraw/zinc/73/40/02/746734002.db2.gz PJCQVBIFBDGCGM-UHFFFAOYSA-N 1 2 320.437 1.083 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCN(C(=O)C2(C)CC2)CC1 ZINC001195907777 746734008 /nfs/dbraw/zinc/73/40/08/746734008.db2.gz PJCQVBIFBDGCGM-UHFFFAOYSA-N 1 2 320.437 1.083 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](C)CCN1C(=S)Nc1ccccc1C#N ZINC001196312637 746822657 /nfs/dbraw/zinc/82/26/57/746822657.db2.gz CEJNDBBIIHAHAD-CYBMUJFWSA-N 1 2 318.402 1.044 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](C)CCN1C(=S)Nc1ccccc1C#N ZINC001196312637 746822662 /nfs/dbraw/zinc/82/26/62/746822662.db2.gz CEJNDBBIIHAHAD-CYBMUJFWSA-N 1 2 318.402 1.044 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)NC(C)C)CC2)C1 ZINC001197094186 747049435 /nfs/dbraw/zinc/04/94/35/747049435.db2.gz YQVYXXDMDMFSHN-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)NC(C)C)CC2)C1 ZINC001197094186 747049443 /nfs/dbraw/zinc/04/94/43/747049443.db2.gz YQVYXXDMDMFSHN-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC001197292360 747131651 /nfs/dbraw/zinc/13/16/51/747131651.db2.gz XSFNETLFZXPOML-UHFFFAOYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC001197292360 747131653 /nfs/dbraw/zinc/13/16/53/747131653.db2.gz XSFNETLFZXPOML-UHFFFAOYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N(C)CC)CC1 ZINC001197291873 747131998 /nfs/dbraw/zinc/13/19/98/747131998.db2.gz ORGZIWYWTDAOKB-UHFFFAOYSA-N 1 2 309.454 1.601 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N(C)CC)CC1 ZINC001197291873 747132001 /nfs/dbraw/zinc/13/20/01/747132001.db2.gz ORGZIWYWTDAOKB-UHFFFAOYSA-N 1 2 309.454 1.601 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001110493094 747171450 /nfs/dbraw/zinc/17/14/50/747171450.db2.gz CQSNWFHDBCGNLP-KFWWJZLASA-N 1 2 305.422 1.038 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001110493094 747171456 /nfs/dbraw/zinc/17/14/56/747171456.db2.gz CQSNWFHDBCGNLP-KFWWJZLASA-N 1 2 305.422 1.038 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)[C@H]2CCCCO2)C1 ZINC001031618042 747203721 /nfs/dbraw/zinc/20/37/21/747203721.db2.gz XTACAMUNDLZHNH-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@@H](c1cnccn1)[NH+]1CC(CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001031620478 747210812 /nfs/dbraw/zinc/21/08/12/747210812.db2.gz KBELGCJUHRQWAW-NSHDSACASA-N 1 2 310.361 1.099 20 30 DDEDLO N#Cc1cccc(NC(=S)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC001197675275 747221296 /nfs/dbraw/zinc/22/12/96/747221296.db2.gz NXJBFRYGHPFDRU-HNNXBMFYSA-N 1 2 316.430 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCCC(=O)N(C)C)CC1 ZINC001197849300 747279434 /nfs/dbraw/zinc/27/94/34/747279434.db2.gz FWRDWCICZHVQNX-UHFFFAOYSA-N 1 2 315.845 1.532 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCCC(=O)N(C)C)CC1 ZINC001197849300 747279439 /nfs/dbraw/zinc/27/94/39/747279439.db2.gz FWRDWCICZHVQNX-UHFFFAOYSA-N 1 2 315.845 1.532 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnn3c2C[C@H](C)CC3)C1 ZINC001044241374 747376322 /nfs/dbraw/zinc/37/63/22/747376322.db2.gz DDFFETLEBQOLTK-CYBMUJFWSA-N 1 2 302.422 1.798 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H](C)C2CC2)CC1 ZINC001198267340 747420139 /nfs/dbraw/zinc/42/01/39/747420139.db2.gz XJFQGHILQNOSQU-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H](C)C2CC2)CC1 ZINC001198267340 747420144 /nfs/dbraw/zinc/42/01/44/747420144.db2.gz XJFQGHILQNOSQU-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cnn3ncccc23)C1 ZINC001007508588 752179760 /nfs/dbraw/zinc/17/97/60/752179760.db2.gz RYBPDARLNMUXEU-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cnn3ncccc23)C1 ZINC001007508588 752179762 /nfs/dbraw/zinc/17/97/62/752179762.db2.gz RYBPDARLNMUXEU-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC2CCCC2)[C@@H](O)C1 ZINC001090035495 747444376 /nfs/dbraw/zinc/44/43/76/747444376.db2.gz ZCFNWYDIWMQAQJ-KBPBESRZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC2CCCC2)[C@@H](O)C1 ZINC001090035495 747444380 /nfs/dbraw/zinc/44/43/80/747444380.db2.gz ZCFNWYDIWMQAQJ-KBPBESRZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3oc(C)nc3C)C[C@@H]2O)C1 ZINC001083763155 747465358 /nfs/dbraw/zinc/46/53/58/747465358.db2.gz YVJXPBVUDWIFQU-KGLIPLIRSA-N 1 2 319.405 1.309 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3oc(C)nc3C)C[C@@H]2O)C1 ZINC001083763155 747465362 /nfs/dbraw/zinc/46/53/62/747465362.db2.gz YVJXPBVUDWIFQU-KGLIPLIRSA-N 1 2 319.405 1.309 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]([NH2+]Cc2nc(C(F)F)no2)C1 ZINC000998745358 752191327 /nfs/dbraw/zinc/19/13/27/752191327.db2.gz HFJVAUBFESUUKL-BDAKNGLRSA-N 1 2 313.308 1.247 20 30 DDEDLO C=C[C@@H](CC(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001198917082 747650188 /nfs/dbraw/zinc/65/01/88/747650188.db2.gz CGBXUOLADSXICY-NUEKZKHPSA-N 1 2 306.381 1.477 20 30 DDEDLO C=C[C@@H](CC(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001198917082 747650190 /nfs/dbraw/zinc/65/01/90/747650190.db2.gz CGBXUOLADSXICY-NUEKZKHPSA-N 1 2 306.381 1.477 20 30 DDEDLO Cc1nc(N2CC=C(CNC(=O)[C@H](C)C#N)CC2)c(C)c(C)[nH+]1 ZINC001127582584 747679065 /nfs/dbraw/zinc/67/90/65/747679065.db2.gz QEDOIQWEOXXZFZ-LLVKDONJSA-N 1 2 313.405 1.814 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C(C)(C)C2CCOCC2)C1 ZINC001108062684 747926467 /nfs/dbraw/zinc/92/64/67/747926467.db2.gz HQYNYYDIEXCENQ-GOSISDBHSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)C2CCOCC2)C1 ZINC001108062684 747926472 /nfs/dbraw/zinc/92/64/72/747926472.db2.gz HQYNYYDIEXCENQ-GOSISDBHSA-N 1 2 324.465 1.832 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C)noc2C)[C@H](O)C1 ZINC001090061835 747950789 /nfs/dbraw/zinc/95/07/89/747950789.db2.gz STFLRMQTFQUQMU-VXGBXAGGSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)noc2C)[C@H](O)C1 ZINC001090061835 747950791 /nfs/dbraw/zinc/95/07/91/747950791.db2.gz STFLRMQTFQUQMU-VXGBXAGGSA-N 1 2 313.785 1.209 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)c3cnco3)C2)ccc1F ZINC001031879863 748105337 /nfs/dbraw/zinc/10/53/37/748105337.db2.gz BAZYBSAAVVDFCE-UHFFFAOYSA-N 1 2 314.320 1.547 20 30 DDEDLO N#Cc1cccnc1N1CC=C(CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001127677712 748126489 /nfs/dbraw/zinc/12/64/89/748126489.db2.gz BWBURAMVKZHTJM-UHFFFAOYSA-N 1 2 322.372 1.172 20 30 DDEDLO C=CCC[NH+]1CC(CNC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001031894259 748142822 /nfs/dbraw/zinc/14/28/22/748142822.db2.gz PBGRFRJXXKAHLK-UHFFFAOYSA-N 1 2 311.389 1.709 20 30 DDEDLO CCc1nocc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031956004 748295034 /nfs/dbraw/zinc/29/50/34/748295034.db2.gz ZRKBFMIUSXWNMQ-UHFFFAOYSA-N 1 2 323.396 1.950 20 30 DDEDLO Cc1cnn(CC(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)c1 ZINC001004362057 748362239 /nfs/dbraw/zinc/36/22/39/748362239.db2.gz XELSXAJCUYVVFG-MRXNPFEDSA-N 1 2 315.421 1.418 20 30 DDEDLO Cc1cnn(CC(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)c1 ZINC001004362057 748362244 /nfs/dbraw/zinc/36/22/44/748362244.db2.gz XELSXAJCUYVVFG-MRXNPFEDSA-N 1 2 315.421 1.418 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001007671489 752267760 /nfs/dbraw/zinc/26/77/60/752267760.db2.gz YJLIQTXMSIVNOT-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001007671489 752267768 /nfs/dbraw/zinc/26/77/68/752267768.db2.gz YJLIQTXMSIVNOT-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cncc(CC)c2)C1 ZINC001108074547 748459539 /nfs/dbraw/zinc/45/95/39/748459539.db2.gz MJIHOPHGLISBOO-SFHVURJKSA-N 1 2 315.417 1.488 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cncc(CC)c2)C1 ZINC001108074547 748459541 /nfs/dbraw/zinc/45/95/41/748459541.db2.gz MJIHOPHGLISBOO-SFHVURJKSA-N 1 2 315.417 1.488 20 30 DDEDLO CC[N@@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccc(C)c(C#N)c1 ZINC001201315641 748475851 /nfs/dbraw/zinc/47/58/51/748475851.db2.gz GZFOKDPOBXEVGN-KBPBESRZSA-N 1 2 305.403 1.334 20 30 DDEDLO CC[N@H+]1C[C@@H]2C[C@H]1CN2S(=O)(=O)c1ccc(C)c(C#N)c1 ZINC001201315641 748475854 /nfs/dbraw/zinc/47/58/54/748475854.db2.gz GZFOKDPOBXEVGN-KBPBESRZSA-N 1 2 305.403 1.334 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001033134353 748497218 /nfs/dbraw/zinc/49/72/18/748497218.db2.gz AJAVGUOLKXRLBU-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001033134353 748497223 /nfs/dbraw/zinc/49/72/23/748497223.db2.gz AJAVGUOLKXRLBU-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)Cc2ncc[nH]2)CC1 ZINC001004585809 748568803 /nfs/dbraw/zinc/56/88/03/748568803.db2.gz LNDAIPDXOVHZQE-AWEZNQCLSA-N 1 2 301.394 1.179 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)Cc2ncc[nH]2)CC1 ZINC001004585809 748568807 /nfs/dbraw/zinc/56/88/07/748568807.db2.gz LNDAIPDXOVHZQE-AWEZNQCLSA-N 1 2 301.394 1.179 20 30 DDEDLO CCn1cc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)nn1 ZINC001032081238 748591144 /nfs/dbraw/zinc/59/11/44/748591144.db2.gz IOGOGMRTVZIRTC-UHFFFAOYSA-N 1 2 323.400 1.011 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001032123798 748695258 /nfs/dbraw/zinc/69/52/58/748695258.db2.gz JZJLYMZZUJCXNH-GFCCVEGCSA-N 1 2 308.813 1.315 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@]3(C)CCOC3)c2C1 ZINC001128524574 748732769 /nfs/dbraw/zinc/73/27/69/748732769.db2.gz JCOQTWCDFPJERL-KRWDZBQOSA-N 1 2 318.421 1.318 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@]3(C)CCOC3)c2C1 ZINC001128524574 748732771 /nfs/dbraw/zinc/73/27/71/748732771.db2.gz JCOQTWCDFPJERL-KRWDZBQOSA-N 1 2 318.421 1.318 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+][C@H](C)c1nnc(C)o1)OCC ZINC001128539598 748765312 /nfs/dbraw/zinc/76/53/12/748765312.db2.gz HZJMCSWUHAWYKW-YPMHNXCESA-N 1 2 310.398 1.516 20 30 DDEDLO CCC(C)(C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001110594388 748806657 /nfs/dbraw/zinc/80/66/57/748806657.db2.gz SQZAOBIUPLOGGT-MCIONIFRSA-N 1 2 320.437 1.174 20 30 DDEDLO CCC(C)(C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001110594388 748806659 /nfs/dbraw/zinc/80/66/59/748806659.db2.gz SQZAOBIUPLOGGT-MCIONIFRSA-N 1 2 320.437 1.174 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1(C)CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110599296 748814132 /nfs/dbraw/zinc/81/41/32/748814132.db2.gz KWMOFDNRXOPHRI-ZDUSSCGKSA-N 1 2 316.405 1.298 20 30 DDEDLO C#CCN(C(=O)[C@H](C)OC)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110617801 748832138 /nfs/dbraw/zinc/83/21/38/748832138.db2.gz FBRFAVANRSTMMS-ZDUSSCGKSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)c2cnn(C)c2)C1 ZINC001108094584 748838420 /nfs/dbraw/zinc/83/84/20/748838420.db2.gz WVEIGYDQURHLKM-WMLDXEAASA-N 1 2 320.437 1.307 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)c2cnn(C)c2)C1 ZINC001108094584 748838427 /nfs/dbraw/zinc/83/84/27/748838427.db2.gz WVEIGYDQURHLKM-WMLDXEAASA-N 1 2 320.437 1.307 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCCC[C@@H]2OC)C1 ZINC001032219412 749012968 /nfs/dbraw/zinc/01/29/68/749012968.db2.gz YTBPAFQZOGWCOQ-KBPBESRZSA-N 1 2 300.830 1.992 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2CCCO2)cc1 ZINC001032265246 749136153 /nfs/dbraw/zinc/13/61/53/749136153.db2.gz KALIAHKYSJSOSU-ULQDDVLXSA-N 1 2 311.385 1.522 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2CCCO2)cc1 ZINC001032265246 749136155 /nfs/dbraw/zinc/13/61/55/749136155.db2.gz KALIAHKYSJSOSU-ULQDDVLXSA-N 1 2 311.385 1.522 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nnc(C)o4)C[C@H]32)CCC1 ZINC001114397515 749138608 /nfs/dbraw/zinc/13/86/08/749138608.db2.gz OJIKANMCEHOAMF-NHAGDIPZSA-N 1 2 314.389 1.118 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nnc(C)o4)C[C@H]32)CCC1 ZINC001114397515 749138611 /nfs/dbraw/zinc/13/86/11/749138611.db2.gz OJIKANMCEHOAMF-NHAGDIPZSA-N 1 2 314.389 1.118 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2c(C)nn(C)c2C)C1 ZINC001033310724 749162221 /nfs/dbraw/zinc/16/22/21/749162221.db2.gz ZGQKMXFJNMZWQQ-ZDUSSCGKSA-N 1 2 310.829 1.936 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2c(C)nn(C)c2C)C1 ZINC001033310724 749162225 /nfs/dbraw/zinc/16/22/25/749162225.db2.gz ZGQKMXFJNMZWQQ-ZDUSSCGKSA-N 1 2 310.829 1.936 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)COC ZINC001039315095 761927568 /nfs/dbraw/zinc/92/75/68/761927568.db2.gz YOKOBKBIGNXDRM-NEPJUHHUSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)COC ZINC001039315095 761927571 /nfs/dbraw/zinc/92/75/71/761927571.db2.gz YOKOBKBIGNXDRM-NEPJUHHUSA-N 1 2 317.227 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001033434841 749333807 /nfs/dbraw/zinc/33/38/07/749333807.db2.gz FNYVPSUGUFDVFR-LZWOXQAQSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001033434841 749333814 /nfs/dbraw/zinc/33/38/14/749333814.db2.gz FNYVPSUGUFDVFR-LZWOXQAQSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H](C)CSC)nn2)C1 ZINC001107146307 749400450 /nfs/dbraw/zinc/40/04/50/749400450.db2.gz FDIUFUDUKRIEQR-GFCCVEGCSA-N 1 2 323.466 1.326 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(C)C(=O)c2scnc2COC)C1 ZINC001033487520 749414757 /nfs/dbraw/zinc/41/47/57/749414757.db2.gz WEPOEEFVDRMJKK-LBPRGKRZSA-N 1 2 307.419 1.459 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(C)C(=O)c2scnc2COC)C1 ZINC001033487520 749414762 /nfs/dbraw/zinc/41/47/62/749414762.db2.gz WEPOEEFVDRMJKK-LBPRGKRZSA-N 1 2 307.419 1.459 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)/C=C\C3CC3)nn2)C1 ZINC001107153767 749431087 /nfs/dbraw/zinc/43/10/87/749431087.db2.gz NPQHYAJVCAXIOV-WAYWQWQTSA-N 1 2 301.394 1.293 20 30 DDEDLO C=CCNC(=O)NC1CC[NH+](CC(=O)c2ccccc2)CC1 ZINC001202578607 749442134 /nfs/dbraw/zinc/44/21/34/749442134.db2.gz XNMFHYYFVXUXBR-UHFFFAOYSA-N 1 2 301.390 1.819 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C(C)=C3CCC3)nn2)C1 ZINC001107167639 749474154 /nfs/dbraw/zinc/47/41/54/749474154.db2.gz FDVFCZRQXQSHAX-UHFFFAOYSA-N 1 2 313.405 1.275 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@](C)(F)CCCC)nn2)C1 ZINC001107182325 749499118 /nfs/dbraw/zinc/49/91/18/749499118.db2.gz QOJUSNFVPMHTOJ-MRXNPFEDSA-N 1 2 323.416 1.855 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3(F)CCCC3)nn2)C1 ZINC001107184999 749522436 /nfs/dbraw/zinc/52/24/36/749522436.db2.gz YJLRKPSPBDIPIL-UHFFFAOYSA-N 1 2 321.400 1.609 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)C(F)(F)F)nn2)C1 ZINC001107196163 749551689 /nfs/dbraw/zinc/55/16/89/749551689.db2.gz HAUJBYVHIDAPRH-VIFPVBQESA-N 1 2 317.315 1.135 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@H+](Cc3nocc3C)C2)nc1 ZINC001033595554 749582864 /nfs/dbraw/zinc/58/28/64/749582864.db2.gz DDMPTKOWVZYXLD-HNNXBMFYSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@H]2CC[N@@H+](Cc3nocc3C)C2)nc1 ZINC001033595554 749582874 /nfs/dbraw/zinc/58/28/74/749582874.db2.gz DDMPTKOWVZYXLD-HNNXBMFYSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C[C@@H]3C[C@H]3C)nn2)C1 ZINC001107205241 749589107 /nfs/dbraw/zinc/58/91/07/749589107.db2.gz PZYOEZKLFFYCDV-OLZOCXBDSA-N 1 2 303.410 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2oc(C)cc2C)[C@@H](O)C1 ZINC001090144610 749901738 /nfs/dbraw/zinc/90/17/38/749901738.db2.gz INDJMJFNMHNMFF-OLZOCXBDSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2oc(C)cc2C)[C@@H](O)C1 ZINC001090144610 749901742 /nfs/dbraw/zinc/90/17/42/749901742.db2.gz INDJMJFNMHNMFF-OLZOCXBDSA-N 1 2 312.797 1.814 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)Cn3cc[nH+]c3)CC2)cn1 ZINC001066753390 749935932 /nfs/dbraw/zinc/93/59/32/749935932.db2.gz FIJYLEUNMYFECB-CQSZACIVSA-N 1 2 324.388 1.325 20 30 DDEDLO N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001039396156 761995985 /nfs/dbraw/zinc/99/59/85/761995985.db2.gz CVPCXULGERIJFV-ZNMIVQPWSA-N 1 2 313.405 1.034 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001033864272 749990689 /nfs/dbraw/zinc/99/06/89/749990689.db2.gz OTWBOHIYOZCKQE-NSHDSACASA-N 1 2 312.801 1.713 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001033864272 749990692 /nfs/dbraw/zinc/99/06/92/749990692.db2.gz OTWBOHIYOZCKQE-NSHDSACASA-N 1 2 312.801 1.713 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccccc2C)C1 ZINC001108389538 762010152 /nfs/dbraw/zinc/01/01/52/762010152.db2.gz MAKBZMWLKXBKSX-LJQANCHMSA-N 1 2 314.429 1.768 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccccc2C)C1 ZINC001108389538 762010162 /nfs/dbraw/zinc/01/01/62/762010162.db2.gz MAKBZMWLKXBKSX-LJQANCHMSA-N 1 2 314.429 1.768 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3ccccn3)C[C@H]2O)C1 ZINC001077641847 750256096 /nfs/dbraw/zinc/25/60/96/750256096.db2.gz KMCQAACDULUEKS-HUUCEWRRSA-N 1 2 301.390 1.099 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3ccccn3)C[C@H]2O)C1 ZINC001077641847 750256099 /nfs/dbraw/zinc/25/60/99/750256099.db2.gz KMCQAACDULUEKS-HUUCEWRRSA-N 1 2 301.390 1.099 20 30 DDEDLO CC(C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)s1 ZINC001032379589 750452461 /nfs/dbraw/zinc/45/24/61/750452461.db2.gz XQICNXSGHKWEJS-RYUDHWBXSA-N 1 2 319.430 1.566 20 30 DDEDLO CC(C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)s1 ZINC001032379589 750452463 /nfs/dbraw/zinc/45/24/63/750452463.db2.gz XQICNXSGHKWEJS-RYUDHWBXSA-N 1 2 319.430 1.566 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)sn2)[C@H](O)C1 ZINC001090226943 750648921 /nfs/dbraw/zinc/64/89/21/750648921.db2.gz DXXVCCYNYVIZAX-CMPLNLGQSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)sn2)[C@H](O)C1 ZINC001090226943 750648922 /nfs/dbraw/zinc/64/89/22/750648922.db2.gz DXXVCCYNYVIZAX-CMPLNLGQSA-N 1 2 315.826 1.369 20 30 DDEDLO C[C@@H](NC(=O)c1cc2c[nH+]ccc2[nH]1)C1CCN(CC#N)CC1 ZINC000997175970 750654332 /nfs/dbraw/zinc/65/43/32/750654332.db2.gz HVRNOCKSSILAOA-GFCCVEGCSA-N 1 2 311.389 1.917 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)noc2CCC)C1 ZINC001107942842 750766378 /nfs/dbraw/zinc/76/63/78/750766378.db2.gz XAWPNKYPMXRHTE-KRWDZBQOSA-N 1 2 321.421 1.942 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)noc2CCC)C1 ZINC001107942842 750766380 /nfs/dbraw/zinc/76/63/80/750766380.db2.gz XAWPNKYPMXRHTE-KRWDZBQOSA-N 1 2 321.421 1.942 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]([C@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001114634572 750895664 /nfs/dbraw/zinc/89/56/64/750895664.db2.gz SAOJUEVFRHERMP-GXTWGEPZSA-N 1 2 304.394 1.779 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@@H]2CN(C(=O)C#CC(C)C)CCO2)cc[nH+]1 ZINC001114637363 750908581 /nfs/dbraw/zinc/90/85/81/750908581.db2.gz MWEOLZFHOPXKDM-ZFWWWQNUSA-N 1 2 316.405 1.472 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CCC[N@H+](Cc3ccccc3C#N)C2)nn1 ZINC001007257209 750934725 /nfs/dbraw/zinc/93/47/25/750934725.db2.gz WLRRYHDBJPJZDB-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cc(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)nn1 ZINC001007257209 750934731 /nfs/dbraw/zinc/93/47/31/750934731.db2.gz WLRRYHDBJPJZDB-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(CC)o3)C[C@H]21 ZINC001114686604 750988497 /nfs/dbraw/zinc/98/84/97/750988497.db2.gz OKNLWIUUBAGGNN-CIQGVGRVSA-N 1 2 318.421 1.952 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(CC)o3)C[C@H]21 ZINC001114686604 750988501 /nfs/dbraw/zinc/98/85/01/750988501.db2.gz OKNLWIUUBAGGNN-CIQGVGRVSA-N 1 2 318.421 1.952 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001032483801 750991953 /nfs/dbraw/zinc/99/19/53/750991953.db2.gz WIFPDFOIRAVLCP-IHRRRGAJSA-N 1 2 320.824 1.483 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)ncn2C ZINC001032486433 751009439 /nfs/dbraw/zinc/00/94/39/751009439.db2.gz PGXSDWOHGWQAGR-GJZGRUSLSA-N 1 2 308.385 1.495 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)ncn2C ZINC001032486433 751009441 /nfs/dbraw/zinc/00/94/41/751009441.db2.gz PGXSDWOHGWQAGR-GJZGRUSLSA-N 1 2 308.385 1.495 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cnn(C)n4)C[C@H]32)CCC1 ZINC001114785403 751077802 /nfs/dbraw/zinc/07/78/02/751077802.db2.gz NUAYQMLFIOMAIM-FICVDOATSA-N 1 2 315.421 1.108 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cnn(C)n4)C[C@H]32)CCC1 ZINC001114785403 751077808 /nfs/dbraw/zinc/07/78/08/751077808.db2.gz NUAYQMLFIOMAIM-FICVDOATSA-N 1 2 315.421 1.108 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001114791394 751083314 /nfs/dbraw/zinc/08/33/14/751083314.db2.gz DCBVEXWJUDKAMC-RPCCPQHDSA-N 1 2 300.406 1.625 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001114791394 751083321 /nfs/dbraw/zinc/08/33/21/751083321.db2.gz DCBVEXWJUDKAMC-RPCCPQHDSA-N 1 2 300.406 1.625 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1nc(C)c2cccnc21 ZINC001032533226 751212238 /nfs/dbraw/zinc/21/22/38/751212238.db2.gz VRVDTDPUZYPSDD-GJZGRUSLSA-N 1 2 323.400 1.048 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1nc(C)c2cccnc21 ZINC001032533226 751212240 /nfs/dbraw/zinc/21/22/40/751212240.db2.gz VRVDTDPUZYPSDD-GJZGRUSLSA-N 1 2 323.400 1.048 20 30 DDEDLO Cc1nc(NCCC[C@@H](C)NC(=O)CSCC#N)cc[nH+]1 ZINC001114864000 751260941 /nfs/dbraw/zinc/26/09/41/751260941.db2.gz SGMRHAGGGXRYOS-LLVKDONJSA-N 1 2 307.423 1.739 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cnn(C)c1 ZINC001032558500 751309357 /nfs/dbraw/zinc/30/93/57/751309357.db2.gz YKKWYJHJYMCQPQ-GJZGRUSLSA-N 1 2 302.422 1.808 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cnn(C)c1 ZINC001032558500 751309361 /nfs/dbraw/zinc/30/93/61/751309361.db2.gz YKKWYJHJYMCQPQ-GJZGRUSLSA-N 1 2 302.422 1.808 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](C)C(=O)NC1CC1 ZINC001032560504 751334046 /nfs/dbraw/zinc/33/40/46/751334046.db2.gz NBGHZGPTOWLFLA-UBHSHLNASA-N 1 2 305.422 1.151 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](C)C(=O)NC1CC1 ZINC001032560504 751334053 /nfs/dbraw/zinc/33/40/53/751334053.db2.gz NBGHZGPTOWLFLA-UBHSHLNASA-N 1 2 305.422 1.151 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CN2CCCCC2=O)C1 ZINC001007771689 752334751 /nfs/dbraw/zinc/33/47/51/752334751.db2.gz SVMZPUDNOADWNV-ZDUSSCGKSA-N 1 2 313.829 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CN2CCCCC2=O)C1 ZINC001007771689 752334757 /nfs/dbraw/zinc/33/47/57/752334757.db2.gz SVMZPUDNOADWNV-ZDUSSCGKSA-N 1 2 313.829 1.332 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001007862249 752395912 /nfs/dbraw/zinc/39/59/12/752395912.db2.gz IEDNSJRWVCAPRA-OLZOCXBDSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001007862249 752395918 /nfs/dbraw/zinc/39/59/18/752395918.db2.gz IEDNSJRWVCAPRA-OLZOCXBDSA-N 1 2 313.829 1.378 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999118215 752542779 /nfs/dbraw/zinc/54/27/79/752542779.db2.gz RSCXEBPXSSEYFL-BXUZGUMPSA-N 1 2 302.378 1.433 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC000999130684 752566266 /nfs/dbraw/zinc/56/62/66/752566266.db2.gz WZYCEFXBWAPAOR-AWEZNQCLSA-N 1 2 314.389 1.441 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999132682 752568223 /nfs/dbraw/zinc/56/82/23/752568223.db2.gz HHGZFBLWZZLZNU-ZDUSSCGKSA-N 1 2 302.378 1.126 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(c2cccc(OC)c2)CC1 ZINC001032656625 752614093 /nfs/dbraw/zinc/61/40/93/752614093.db2.gz IGJSPVWHWBHWBX-HOTGVXAUSA-N 1 2 310.397 1.645 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(c2cccc(OC)c2)CC1 ZINC001032656625 752614101 /nfs/dbraw/zinc/61/41/01/752614101.db2.gz IGJSPVWHWBHWBX-HOTGVXAUSA-N 1 2 310.397 1.645 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](Cc3cnnn3C)C2)C1 ZINC001008438450 752728148 /nfs/dbraw/zinc/72/81/48/752728148.db2.gz YROUFBOAXNAFOZ-ZDUSSCGKSA-N 1 2 303.410 1.252 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](Cc3cnnn3C)C2)C1 ZINC001008438450 752728152 /nfs/dbraw/zinc/72/81/52/752728152.db2.gz YROUFBOAXNAFOZ-ZDUSSCGKSA-N 1 2 303.410 1.252 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)nc1OCC ZINC001032709107 752788659 /nfs/dbraw/zinc/78/86/59/752788659.db2.gz PDYPVKQOMJYQLT-GJZGRUSLSA-N 1 2 313.401 1.711 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)nc1OCC ZINC001032709107 752788667 /nfs/dbraw/zinc/78/86/67/752788667.db2.gz PDYPVKQOMJYQLT-GJZGRUSLSA-N 1 2 313.401 1.711 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-c2cnco2)c1 ZINC001032711470 752814860 /nfs/dbraw/zinc/81/48/60/752814860.db2.gz ZAEGQVSZDLTDQL-HOTGVXAUSA-N 1 2 307.353 1.874 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-c2cnco2)c1 ZINC001032711470 752814864 /nfs/dbraw/zinc/81/48/64/752814864.db2.gz ZAEGQVSZDLTDQL-HOTGVXAUSA-N 1 2 307.353 1.874 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2ccnc2)c1 ZINC001032712444 752823560 /nfs/dbraw/zinc/82/35/60/752823560.db2.gz ZVAFUTWDUTYPAP-ROUUACIJSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-n2ccnc2)c1 ZINC001032712444 752823569 /nfs/dbraw/zinc/82/35/69/752823569.db2.gz ZVAFUTWDUTYPAP-ROUUACIJSA-N 1 2 320.396 1.794 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C3CC3)cnn2C)C1 ZINC001107989315 752877841 /nfs/dbraw/zinc/87/78/41/752877841.db2.gz RIINKOJABPDJAY-QGZVFWFLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C3CC3)cnn2C)C1 ZINC001107989315 752877848 /nfs/dbraw/zinc/87/78/48/752877848.db2.gz RIINKOJABPDJAY-QGZVFWFLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001062325081 752892460 /nfs/dbraw/zinc/89/24/60/752892460.db2.gz YGASIKUPDYDIMV-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)n2nc(C)cc2C)C1 ZINC001108433588 762169072 /nfs/dbraw/zinc/16/90/72/762169072.db2.gz GUJYBVIKMYXIAK-WBVHZDCISA-N 1 2 320.437 1.454 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)n2nc(C)cc2C)C1 ZINC001108433588 762169078 /nfs/dbraw/zinc/16/90/78/762169078.db2.gz GUJYBVIKMYXIAK-WBVHZDCISA-N 1 2 320.437 1.454 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2ccc(CC)cc2)C1 ZINC001077846457 753289994 /nfs/dbraw/zinc/28/99/94/753289994.db2.gz QXTGJDJDGYVNPQ-KBRIMQKVSA-N 1 2 300.402 1.147 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2ccc(CC)cc2)C1 ZINC001077846457 753289995 /nfs/dbraw/zinc/28/99/95/753289995.db2.gz QXTGJDJDGYVNPQ-KBRIMQKVSA-N 1 2 300.402 1.147 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001108002930 753484145 /nfs/dbraw/zinc/48/41/45/753484145.db2.gz WVAVIWVZENOVIS-OLZOCXBDSA-N 1 2 324.388 1.329 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(=O)c2ccccc2o1 ZINC001032762227 753544988 /nfs/dbraw/zinc/54/49/88/753544988.db2.gz CPSXJCKBORBGGV-KBPBESRZSA-N 1 2 322.364 1.715 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(=O)c2ccccc2o1 ZINC001032762227 753544989 /nfs/dbraw/zinc/54/49/89/753544989.db2.gz CPSXJCKBORBGGV-KBPBESRZSA-N 1 2 322.364 1.715 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)c(OC)c1 ZINC001032762342 753545716 /nfs/dbraw/zinc/54/57/16/753545716.db2.gz IARABALYAKQTSE-KBPBESRZSA-N 1 2 300.358 1.236 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)c(OC)c1 ZINC001032762342 753545717 /nfs/dbraw/zinc/54/57/17/753545717.db2.gz IARABALYAKQTSE-KBPBESRZSA-N 1 2 300.358 1.236 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@H](NC(=O)[C@H]3CCCO3)C2)ccc1F ZINC001010063170 753584489 /nfs/dbraw/zinc/58/44/89/753584489.db2.gz VJSNPZMJFLEQIY-GOEBONIOSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@H](NC(=O)[C@H]3CCCO3)C2)ccc1F ZINC001010063170 753584495 /nfs/dbraw/zinc/58/44/95/753584495.db2.gz VJSNPZMJFLEQIY-GOEBONIOSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cnsn3)C2)s1 ZINC001010331258 753770999 /nfs/dbraw/zinc/77/09/99/753770999.db2.gz ROMHVRNLPGWVGW-SECBINFHSA-N 1 2 319.415 1.476 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cnsn3)C2)s1 ZINC001010331258 753771003 /nfs/dbraw/zinc/77/10/03/753771003.db2.gz ROMHVRNLPGWVGW-SECBINFHSA-N 1 2 319.415 1.476 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)C)s2)C1 ZINC001078246272 753887190 /nfs/dbraw/zinc/88/71/90/753887190.db2.gz UBVRPIIFGWSCQZ-CHWSQXEVSA-N 1 2 306.431 1.670 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C(C)C)s2)C1 ZINC001078246272 753887192 /nfs/dbraw/zinc/88/71/92/753887192.db2.gz UBVRPIIFGWSCQZ-CHWSQXEVSA-N 1 2 306.431 1.670 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001063203664 754041015 /nfs/dbraw/zinc/04/10/15/754041015.db2.gz NGXLJMASLHPGNC-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cnccc1N[C@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001063406375 754131002 /nfs/dbraw/zinc/13/10/02/754131002.db2.gz CABXBGFDBGZQHT-HDJSIYSDSA-N 1 2 324.388 1.180 20 30 DDEDLO C[C@H](CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC#N)n1cc[nH+]c1 ZINC001039935903 762274864 /nfs/dbraw/zinc/27/48/64/762274864.db2.gz SZYGAZBIXNPHDP-KFWWJZLASA-N 1 2 301.394 1.423 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(NC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001063919848 754407416 /nfs/dbraw/zinc/40/74/16/754407416.db2.gz LMVNBDRZJMAWHL-UHFFFAOYSA-N 1 2 318.421 1.628 20 30 DDEDLO CC#CC[N@H+]1CC=C(CNC(=O)c2[nH]nc3c2CCCC3)CC1 ZINC001000890843 762307981 /nfs/dbraw/zinc/30/79/81/762307981.db2.gz LFVZEIDXOKCWGE-UHFFFAOYSA-N 1 2 312.417 1.674 20 30 DDEDLO CC#CC[N@@H+]1CC=C(CNC(=O)c2[nH]nc3c2CCCC3)CC1 ZINC001000890843 762307989 /nfs/dbraw/zinc/30/79/89/762307989.db2.gz LFVZEIDXOKCWGE-UHFFFAOYSA-N 1 2 312.417 1.674 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCN(C(=O)CCn3cc[nH+]c3)CC2)C1 ZINC001011734035 754695334 /nfs/dbraw/zinc/69/53/34/754695334.db2.gz DEVNOCDGLXTSIS-UHFFFAOYSA-N 1 2 316.405 1.300 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCN(C(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001011847097 754740383 /nfs/dbraw/zinc/74/03/83/754740383.db2.gz HIUMVPYVPXFLDY-UHFFFAOYSA-N 1 2 318.421 1.534 20 30 DDEDLO Cc1nc(NC[C@@H]2CCCN2C(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001064879005 754920925 /nfs/dbraw/zinc/92/09/25/754920925.db2.gz YGCJZUBYIJIRBL-AWEZNQCLSA-N 1 2 310.361 1.702 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cnccc2C)[C@H](O)C1 ZINC001090332679 754954922 /nfs/dbraw/zinc/95/49/22/754954922.db2.gz CGHMKCPGTCNUMS-LSDHHAIUSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cnccc2C)[C@H](O)C1 ZINC001090332679 754954929 /nfs/dbraw/zinc/95/49/29/754954929.db2.gz CGHMKCPGTCNUMS-LSDHHAIUSA-N 1 2 323.824 1.236 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H]2CNc2cc[nH+]c(C)n2)cn1 ZINC001064934808 754960886 /nfs/dbraw/zinc/96/08/86/754960886.db2.gz XMJOPZAUYWBGNX-INIZCTEOSA-N 1 2 321.384 1.878 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001079474288 755369234 /nfs/dbraw/zinc/36/92/34/755369234.db2.gz VMUOBTJOPLQJRE-AWEZNQCLSA-N 1 2 318.421 1.860 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2ccnc(OC)n2)CC1 ZINC001000940846 762388007 /nfs/dbraw/zinc/38/80/07/762388007.db2.gz ZBWKOEZCDMOKHE-UHFFFAOYSA-N 1 2 322.796 1.600 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2ccnc(OC)n2)CC1 ZINC001000940846 762388010 /nfs/dbraw/zinc/38/80/10/762388010.db2.gz ZBWKOEZCDMOKHE-UHFFFAOYSA-N 1 2 322.796 1.600 20 30 DDEDLO CCN(C(=O)C[C@@H](C)n1cc[nH+]c1)C1CN(C(=O)[C@@H](C)C#N)C1 ZINC001079791437 755576151 /nfs/dbraw/zinc/57/61/51/755576151.db2.gz GEZCFGMBMDMWAK-QWHCGFSZSA-N 1 2 317.393 1.053 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001014102230 755594670 /nfs/dbraw/zinc/59/46/70/755594670.db2.gz JKBVGTMCBRUXCE-JSGCOSHPSA-N 1 2 316.405 1.414 20 30 DDEDLO C=C(C)CCC(=O)N(CC)C1CN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001079877354 755617779 /nfs/dbraw/zinc/61/77/79/755617779.db2.gz ABXXRWNOYWSGCL-UHFFFAOYSA-N 1 2 318.421 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C3CC3)nn2C)C1 ZINC001014596834 755818528 /nfs/dbraw/zinc/81/85/28/755818528.db2.gz TUFPSPXKRYKDSO-GFCCVEGCSA-N 1 2 308.813 1.854 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C3CC3)nn2C)C1 ZINC001014596834 755818531 /nfs/dbraw/zinc/81/85/31/755818531.db2.gz TUFPSPXKRYKDSO-GFCCVEGCSA-N 1 2 308.813 1.854 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001080452541 755912514 /nfs/dbraw/zinc/91/25/14/755912514.db2.gz SLUZMOHAKNISIR-DIOULYMOSA-N 1 2 319.836 1.985 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001080452541 755912515 /nfs/dbraw/zinc/91/25/15/755912515.db2.gz SLUZMOHAKNISIR-DIOULYMOSA-N 1 2 319.836 1.985 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ccnnc1 ZINC001080499788 755958915 /nfs/dbraw/zinc/95/89/15/755958915.db2.gz PNTNKDHCHBRDLE-CXAGYDPISA-N 1 2 321.384 1.599 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1ccnnc1 ZINC001080499788 755958918 /nfs/dbraw/zinc/95/89/18/755958918.db2.gz PNTNKDHCHBRDLE-CXAGYDPISA-N 1 2 321.384 1.599 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCCOC2)C1 ZINC001080682863 756046661 /nfs/dbraw/zinc/04/66/61/756046661.db2.gz BTZJICMTXPCUEE-KYOSRNDESA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCCOC2)C1 ZINC001080682863 756046667 /nfs/dbraw/zinc/04/66/67/756046667.db2.gz BTZJICMTXPCUEE-KYOSRNDESA-N 1 2 300.830 1.992 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)[C@]1(F)CCOC1 ZINC001015607807 756408560 /nfs/dbraw/zinc/40/85/60/756408560.db2.gz MVMNDSQRJUMUFG-AEFFLSMTSA-N 1 2 316.376 1.357 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)[C@]1(F)CCOC1 ZINC001015607807 756408564 /nfs/dbraw/zinc/40/85/64/756408564.db2.gz MVMNDSQRJUMUFG-AEFFLSMTSA-N 1 2 316.376 1.357 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)nc2)[C@H](OC)C1 ZINC001081798656 756483998 /nfs/dbraw/zinc/48/39/98/756483998.db2.gz KPJPJEOURSYWGM-HZPDHXFCSA-N 1 2 301.390 1.267 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)nc2)[C@H](OC)C1 ZINC001081798656 756484000 /nfs/dbraw/zinc/48/40/00/756484000.db2.gz KPJPJEOURSYWGM-HZPDHXFCSA-N 1 2 301.390 1.267 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001015766299 756532056 /nfs/dbraw/zinc/53/20/56/756532056.db2.gz WSQDTDBZDYBTPM-HNNXBMFYSA-N 1 2 309.373 1.215 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001015766299 756532059 /nfs/dbraw/zinc/53/20/59/756532059.db2.gz WSQDTDBZDYBTPM-HNNXBMFYSA-N 1 2 309.373 1.215 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ncc(Cl)s2)[C@H](OC)C1 ZINC001081941591 756554881 /nfs/dbraw/zinc/55/48/81/756554881.db2.gz QSVDGHWGEGCOJN-RKDXNWHRSA-N 1 2 301.799 1.412 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ncc(Cl)s2)[C@H](OC)C1 ZINC001081941591 756554885 /nfs/dbraw/zinc/55/48/85/756554885.db2.gz QSVDGHWGEGCOJN-RKDXNWHRSA-N 1 2 301.799 1.412 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1ccncn1 ZINC001015876928 756610011 /nfs/dbraw/zinc/61/00/11/756610011.db2.gz JFIJIANFRHGTFX-INIZCTEOSA-N 1 2 306.369 1.332 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1ccncn1 ZINC001015876928 756610014 /nfs/dbraw/zinc/61/00/14/756610014.db2.gz JFIJIANFRHGTFX-INIZCTEOSA-N 1 2 306.369 1.332 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2ccccc2F)[C@H](OC)C1 ZINC001082238807 756695932 /nfs/dbraw/zinc/69/59/32/756695932.db2.gz LWYFLAWWRXTRCQ-HZPDHXFCSA-N 1 2 318.392 1.552 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2ccccc2F)[C@H](OC)C1 ZINC001082238807 756695934 /nfs/dbraw/zinc/69/59/34/756695934.db2.gz LWYFLAWWRXTRCQ-HZPDHXFCSA-N 1 2 318.392 1.552 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+]([C@H](C)c3cnccn3)C2)nc1 ZINC001016015978 756724446 /nfs/dbraw/zinc/72/44/46/756724446.db2.gz DZOXFJMBKURLFV-UKRRQHHQSA-N 1 2 321.384 1.418 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+]([C@H](C)c3cnccn3)C2)nc1 ZINC001016015978 756724449 /nfs/dbraw/zinc/72/44/49/756724449.db2.gz DZOXFJMBKURLFV-UKRRQHHQSA-N 1 2 321.384 1.418 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccns3)C2)cn1 ZINC001016032695 756733256 /nfs/dbraw/zinc/73/32/56/756733256.db2.gz CLLKIHYZMVVUJA-AWEZNQCLSA-N 1 2 312.398 1.524 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccns3)C2)cn1 ZINC001016032695 756733260 /nfs/dbraw/zinc/73/32/60/756733260.db2.gz CLLKIHYZMVVUJA-AWEZNQCLSA-N 1 2 312.398 1.524 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@]2(C)CCC(C)=C(C)C2)[C@H](OC)C1 ZINC001082390288 756765599 /nfs/dbraw/zinc/76/55/99/756765599.db2.gz HZELQCOXNGTPPV-NUJGCVRESA-N 1 2 304.434 1.962 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@]2(C)CCC(C)=C(C)C2)[C@H](OC)C1 ZINC001082390288 756765602 /nfs/dbraw/zinc/76/56/02/756765602.db2.gz HZELQCOXNGTPPV-NUJGCVRESA-N 1 2 304.434 1.962 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2CC(C)C)[C@H](OC)C1 ZINC001082390881 756765684 /nfs/dbraw/zinc/76/56/84/756765684.db2.gz JEMRHKFGZYVEJF-QZTJIDSGSA-N 1 2 314.429 1.947 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2CC(C)C)[C@H](OC)C1 ZINC001082390881 756765685 /nfs/dbraw/zinc/76/56/85/756765685.db2.gz JEMRHKFGZYVEJF-QZTJIDSGSA-N 1 2 314.429 1.947 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3n[nH]c(CC)c3Cl)[C@H]2C1 ZINC001083108169 757140408 /nfs/dbraw/zinc/14/04/08/757140408.db2.gz BLWSSVDYDQXOPN-NWDGAFQWSA-N 1 2 324.812 1.337 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3n[nH]c(CC)c3Cl)[C@H]2C1 ZINC001083108169 757140410 /nfs/dbraw/zinc/14/04/10/757140410.db2.gz BLWSSVDYDQXOPN-NWDGAFQWSA-N 1 2 324.812 1.337 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(F)ccc3CC)[C@H]2C1 ZINC001083130576 757145346 /nfs/dbraw/zinc/14/53/46/757145346.db2.gz YRUGFRVKYSUVOL-DLBZAZTESA-N 1 2 316.376 1.547 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(F)ccc3CC)[C@H]2C1 ZINC001083130576 757145349 /nfs/dbraw/zinc/14/53/49/757145349.db2.gz YRUGFRVKYSUVOL-DLBZAZTESA-N 1 2 316.376 1.547 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3csc(C)n3)[C@H]2C1 ZINC001083174158 757176458 /nfs/dbraw/zinc/17/64/58/757176458.db2.gz ULBXGUCOUXNRDR-UONOGXRCSA-N 1 2 305.403 1.000 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3csc(C)n3)[C@H]2C1 ZINC001083174158 757176460 /nfs/dbraw/zinc/17/64/60/757176460.db2.gz ULBXGUCOUXNRDR-UONOGXRCSA-N 1 2 305.403 1.000 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4ncccc4c3)[C@H]2C1 ZINC001083182217 757191967 /nfs/dbraw/zinc/19/19/67/757191967.db2.gz RMPFQJYZCHTRLY-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4ncccc4c3)[C@H]2C1 ZINC001083182217 757191968 /nfs/dbraw/zinc/19/19/68/757191968.db2.gz RMPFQJYZCHTRLY-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3ccc(Cl)cc3)CC2)[C@@H](O)C1 ZINC001084029661 757235373 /nfs/dbraw/zinc/23/53/73/757235373.db2.gz MEKMVINOTMVEEM-CABCVRRESA-N 1 2 318.804 1.166 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3ccc(Cl)cc3)CC2)[C@@H](O)C1 ZINC001084029661 757235379 /nfs/dbraw/zinc/23/53/79/757235379.db2.gz MEKMVINOTMVEEM-CABCVRRESA-N 1 2 318.804 1.166 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)[C@@H](O)C1 ZINC001084002826 757240624 /nfs/dbraw/zinc/24/06/24/757240624.db2.gz DSCYPZRHWACCSL-CABCVRRESA-N 1 2 324.783 1.586 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)[C@@H](O)C1 ZINC001084002826 757240629 /nfs/dbraw/zinc/24/06/29/757240629.db2.gz DSCYPZRHWACCSL-CABCVRRESA-N 1 2 324.783 1.586 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](CC)CCCC)CC2=O)C1 ZINC001108509048 762532688 /nfs/dbraw/zinc/53/26/88/762532688.db2.gz DMAHKIXSQXVJEM-GJZGRUSLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1occc1C[NH+](C)C)C2 ZINC001097738091 757446912 /nfs/dbraw/zinc/44/69/12/757446912.db2.gz YWTGAHALTXJDOD-KFWWJZLASA-N 1 2 303.406 1.862 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cn4c(n3)CCC4)[C@@H]2C1 ZINC001084353809 757508007 /nfs/dbraw/zinc/50/80/07/757508007.db2.gz AIHLZNQEJDJTRB-TZMCWYRMSA-N 1 2 320.824 1.728 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cn4c(n3)CCC4)[C@@H]2C1 ZINC001084353809 757508008 /nfs/dbraw/zinc/50/80/08/757508008.db2.gz AIHLZNQEJDJTRB-TZMCWYRMSA-N 1 2 320.824 1.728 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3sc(Cl)nc3C)[C@@H]2C1 ZINC001084375602 757525885 /nfs/dbraw/zinc/52/58/85/757525885.db2.gz RUNBJLDNCVDUIJ-GHMZBOCLSA-N 1 2 309.822 1.884 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3sc(Cl)nc3C)[C@@H]2C1 ZINC001084375602 757525894 /nfs/dbraw/zinc/52/58/94/757525894.db2.gz RUNBJLDNCVDUIJ-GHMZBOCLSA-N 1 2 309.822 1.884 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NC2CC2)C1 ZINC001097806113 757551109 /nfs/dbraw/zinc/55/11/09/757551109.db2.gz XXJNSLZYEDVLJL-KFWWJZLASA-N 1 2 317.433 1.343 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NC2CC2)C1 ZINC001097806113 757551115 /nfs/dbraw/zinc/55/11/15/757551115.db2.gz XXJNSLZYEDVLJL-KFWWJZLASA-N 1 2 317.433 1.343 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(C)[nH]c3=O)[C@@H]2C1 ZINC001084685103 757771870 /nfs/dbraw/zinc/77/18/70/757771870.db2.gz OIEZNJCKBRHPPP-TZMCWYRMSA-N 1 2 321.808 1.994 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(C)[nH]c3=O)[C@@H]2C1 ZINC001084685103 757771876 /nfs/dbraw/zinc/77/18/76/757771876.db2.gz OIEZNJCKBRHPPP-TZMCWYRMSA-N 1 2 321.808 1.994 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(CC(F)F)n1 ZINC001017642599 758111033 /nfs/dbraw/zinc/11/10/33/758111033.db2.gz ZOLCVXGIFYYUHU-BETUJISGSA-N 1 2 322.359 1.460 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(CC(F)F)n1 ZINC001017642599 758111042 /nfs/dbraw/zinc/11/10/42/758111042.db2.gz ZOLCVXGIFYYUHU-BETUJISGSA-N 1 2 322.359 1.460 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2onc(C)c2c1 ZINC001017783549 758236247 /nfs/dbraw/zinc/23/62/47/758236247.db2.gz SKCYBOSFEFJHFZ-OKILXGFUSA-N 1 2 310.357 1.453 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2onc(C)c2c1 ZINC001017783549 758236257 /nfs/dbraw/zinc/23/62/57/758236257.db2.gz SKCYBOSFEFJHFZ-OKILXGFUSA-N 1 2 310.357 1.453 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2onc(C)c2c1 ZINC001017786444 758239375 /nfs/dbraw/zinc/23/93/75/758239375.db2.gz WJZYDRQRTZIMFE-GASCZTMLSA-N 1 2 324.384 1.843 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2onc(C)c2c1 ZINC001017786444 758239379 /nfs/dbraw/zinc/23/93/79/758239379.db2.gz WJZYDRQRTZIMFE-GASCZTMLSA-N 1 2 324.384 1.843 20 30 DDEDLO O=C(C#CC1CC1)N1CCOC2(C[NH+](CC3CC(F)(F)C3)C2)C1 ZINC001053249711 758304517 /nfs/dbraw/zinc/30/45/17/758304517.db2.gz AAXMJVGBPQDJAN-UHFFFAOYSA-N 1 2 324.371 1.358 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1nc(CC)c[nH]1)CCO2 ZINC001053291804 758347437 /nfs/dbraw/zinc/34/74/37/758347437.db2.gz HSKXTJBEXJDWHF-UHFFFAOYSA-N 1 2 318.421 1.004 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCc1cncs1)CCO2 ZINC001053430041 758456932 /nfs/dbraw/zinc/45/69/32/758456932.db2.gz JCJFCUVCLIXSPQ-UHFFFAOYSA-N 1 2 321.446 1.565 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C(C)C)n1 ZINC001018017486 758479896 /nfs/dbraw/zinc/47/98/96/758479896.db2.gz XKCBBGLSJHEKIB-GASCZTMLSA-N 1 2 300.406 1.776 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C(C)C)n1 ZINC001018017486 758479898 /nfs/dbraw/zinc/47/98/98/758479898.db2.gz XKCBBGLSJHEKIB-GASCZTMLSA-N 1 2 300.406 1.776 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1cc(CC)on1)CCO2 ZINC001053510793 758515436 /nfs/dbraw/zinc/51/54/36/758515436.db2.gz AWGUTKOMMJLEIA-UHFFFAOYSA-N 1 2 319.405 1.730 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2cccc2)nc1 ZINC001018077113 758527884 /nfs/dbraw/zinc/52/78/84/758527884.db2.gz SYDVLHYKYUFUBS-CALCHBBNSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2cccc2)nc1 ZINC001018077113 758527889 /nfs/dbraw/zinc/52/78/89/758527889.db2.gz SYDVLHYKYUFUBS-CALCHBBNSA-N 1 2 320.396 1.794 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccccc1F)O2 ZINC001053562573 758568417 /nfs/dbraw/zinc/56/84/17/758568417.db2.gz AZCYOCFXVAZKBD-ZDUSSCGKSA-N 1 2 304.365 1.975 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@H](C(C)C)C1 ZINC001018144401 758579117 /nfs/dbraw/zinc/57/91/17/758579117.db2.gz POGZHSWVERXQNF-NCOADZHNSA-N 1 2 304.434 1.746 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@H](C(C)C)C1 ZINC001018144401 758579122 /nfs/dbraw/zinc/57/91/22/758579122.db2.gz POGZHSWVERXQNF-NCOADZHNSA-N 1 2 304.434 1.746 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1csnc1C)O2 ZINC001053592775 758610858 /nfs/dbraw/zinc/61/08/58/758610858.db2.gz RDPXENHLNKZGMJ-ZDUSSCGKSA-N 1 2 321.446 1.991 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1coc(OCC)n1)O2 ZINC001053624268 758642815 /nfs/dbraw/zinc/64/28/15/758642815.db2.gz NNONOFUOXPGBTI-LBPRGKRZSA-N 1 2 321.377 1.223 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(C)c1F ZINC001018225192 758646012 /nfs/dbraw/zinc/64/60/12/758646012.db2.gz ACQSQDYXTZUUCU-BETUJISGSA-N 1 2 304.369 1.180 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(C)c1F ZINC001018225192 758646017 /nfs/dbraw/zinc/64/60/17/758646017.db2.gz ACQSQDYXTZUUCU-BETUJISGSA-N 1 2 304.369 1.180 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COc2ccccc2O1 ZINC001018238726 758658744 /nfs/dbraw/zinc/65/87/44/758658744.db2.gz JLMKVKSHMKLONW-JKIFEVAISA-N 1 2 312.369 1.135 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COc2ccccc2O1 ZINC001018238726 758658750 /nfs/dbraw/zinc/65/87/50/758658750.db2.gz JLMKVKSHMKLONW-JKIFEVAISA-N 1 2 312.369 1.135 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1csc(C)n1)O2 ZINC001053649736 758662964 /nfs/dbraw/zinc/66/29/64/758662964.db2.gz VJWUEKDZHUZRMU-CYBMUJFWSA-N 1 2 321.446 1.991 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cccnc1C)O2 ZINC001053655996 758669246 /nfs/dbraw/zinc/66/92/46/758669246.db2.gz SYPXZAOXYZBCBV-CQSZACIVSA-N 1 2 301.390 1.539 20 30 DDEDLO CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C#CC(C)C)CC2)C1 ZINC001065683026 758701038 /nfs/dbraw/zinc/70/10/38/758701038.db2.gz RPTPWCTZOCXQOO-MRXNPFEDSA-N 1 2 319.449 1.191 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC3CC3)CC2)C1 ZINC001065692340 758710068 /nfs/dbraw/zinc/71/00/68/758710068.db2.gz QNCCLNOJWKSFCN-INIZCTEOSA-N 1 2 319.449 1.498 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC3CC3)CC2)C1 ZINC001065692341 758710499 /nfs/dbraw/zinc/71/04/99/758710499.db2.gz QNCCLNOJWKSFCN-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001108550317 762653244 /nfs/dbraw/zinc/65/32/44/762653244.db2.gz CEMQWDCDSZRITR-ZDUSSCGKSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001108550317 762653246 /nfs/dbraw/zinc/65/32/46/762653246.db2.gz CEMQWDCDSZRITR-ZDUSSCGKSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccnn1C(C)C)CO2 ZINC001053814523 758841737 /nfs/dbraw/zinc/84/17/37/758841737.db2.gz PECZRQJSYPYQHO-AWEZNQCLSA-N 1 2 318.421 1.613 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1nc(CC)c[nH]1)CO2 ZINC001053836714 758866638 /nfs/dbraw/zinc/86/66/38/758866638.db2.gz PIAGQFAIRZABFF-CQSZACIVSA-N 1 2 318.421 1.050 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnc3cc[nH]c3c1)CO2 ZINC001053843219 758873432 /nfs/dbraw/zinc/87/34/32/758873432.db2.gz HRZKZUZFCIDSRD-CQSZACIVSA-N 1 2 324.384 1.159 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccccc1)CO2 ZINC001053849423 758880929 /nfs/dbraw/zinc/88/09/29/758880929.db2.gz JWMOKPUZPTUDEN-MRXNPFEDSA-N 1 2 300.402 1.765 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)CC1CC(F)(F)C1)CO2 ZINC001053851048 758882018 /nfs/dbraw/zinc/88/20/18/758882018.db2.gz JHVHYXUDUKHFKG-ZDUSSCGKSA-N 1 2 312.360 1.405 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)cncc1C)CO2 ZINC001053871210 758905164 /nfs/dbraw/zinc/90/51/64/758905164.db2.gz YWVQLVRABIAWDJ-OAHLLOKOSA-N 1 2 313.401 1.295 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2COC3(C[NH+]([C@H](C)COC)C3)C2)CC1 ZINC001053914064 758947938 /nfs/dbraw/zinc/94/79/38/758947938.db2.gz FVVYHSSTLHTOIU-ZBFHGGJFSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(Cl)[nH]1)CO2 ZINC001053916707 758951383 /nfs/dbraw/zinc/95/13/83/758951383.db2.gz QCMBMLMWXKJSAA-LLVKDONJSA-N 1 2 309.797 1.817 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1conc1C1CC1)CO2 ZINC001053921708 758956793 /nfs/dbraw/zinc/95/67/93/758956793.db2.gz MBWLYDXHMVSZSW-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001065972261 758967265 /nfs/dbraw/zinc/96/72/65/758967265.db2.gz LCWFIDKWEJHPKZ-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccn(C(C)C)n1)CO2 ZINC001053945400 758981375 /nfs/dbraw/zinc/98/13/75/758981375.db2.gz WAHZFBGXEGFOND-AWEZNQCLSA-N 1 2 318.421 1.613 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1nc(CC)oc1C)CO2 ZINC001053951937 758989719 /nfs/dbraw/zinc/98/97/19/758989719.db2.gz ICQQXMDWJGXEMD-ZDUSSCGKSA-N 1 2 317.389 1.142 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ncoc1C1CC1)CO2 ZINC001053975212 759013014 /nfs/dbraw/zinc/01/30/14/759013014.db2.gz ZTVGDJBHSBPKMP-ZDUSSCGKSA-N 1 2 315.373 1.148 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)C1CCCC1)CO2 ZINC001053981140 759020460 /nfs/dbraw/zinc/02/04/60/759020460.db2.gz ZHCAEFXSEIVRRX-GDBMZVCRSA-N 1 2 304.434 1.796 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncccc1Cl)CO2 ZINC001053988991 759032062 /nfs/dbraw/zinc/03/20/62/759032062.db2.gz VTCKYVQUIGGMJN-GFCCVEGCSA-N 1 2 319.792 1.331 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC3CCC1CC3)CO2 ZINC001054019512 759069570 /nfs/dbraw/zinc/06/95/70/759069570.db2.gz XIIWXCCZZOURMU-SJJHQCBESA-N 1 2 316.445 1.796 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C)on1 ZINC001054028935 759079989 /nfs/dbraw/zinc/07/99/89/759079989.db2.gz ALRKTCFWIJUFMO-MRXNPFEDSA-N 1 2 309.369 1.773 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C)on1 ZINC001054028935 759079993 /nfs/dbraw/zinc/07/99/93/759079993.db2.gz ALRKTCFWIJUFMO-MRXNPFEDSA-N 1 2 309.369 1.773 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1CCCO1 ZINC001054029046 759080791 /nfs/dbraw/zinc/08/07/91/759080791.db2.gz DJZPYUJUBXHCCU-ZWKOTPCHSA-N 1 2 312.413 1.732 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1CCCO1 ZINC001054029046 759080797 /nfs/dbraw/zinc/08/07/97/759080797.db2.gz DJZPYUJUBXHCCU-ZWKOTPCHSA-N 1 2 312.413 1.732 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ncoc1C ZINC001054033182 759087398 /nfs/dbraw/zinc/08/73/98/759087398.db2.gz OHSRTFNGODFVGW-MRXNPFEDSA-N 1 2 309.369 1.773 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ncoc1C ZINC001054033182 759087406 /nfs/dbraw/zinc/08/74/06/759087406.db2.gz OHSRTFNGODFVGW-MRXNPFEDSA-N 1 2 309.369 1.773 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108561308 762684097 /nfs/dbraw/zinc/68/40/97/762684097.db2.gz WQYPXNYCXBEFHA-CYBMUJFWSA-N 1 2 305.422 1.176 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn(CC)n1 ZINC001054039615 759097005 /nfs/dbraw/zinc/09/70/05/759097005.db2.gz DHUUPHKTNXQPOK-INIZCTEOSA-N 1 2 323.400 1.088 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn(CC)n1 ZINC001054039615 759097018 /nfs/dbraw/zinc/09/70/18/759097018.db2.gz DHUUPHKTNXQPOK-INIZCTEOSA-N 1 2 323.400 1.088 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@H](Nc2ccncc2C#N)C[C@@H]1C ZINC001069129847 767865138 /nfs/dbraw/zinc/86/51/38/767865138.db2.gz LTFBJKLHVGUQDL-SMDDNHRTSA-N 1 2 324.388 1.051 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1)C(=O)[C@@H]1CCOC1 ZINC001085507818 759572060 /nfs/dbraw/zinc/57/20/60/759572060.db2.gz NUCAYODYAOOZSV-CHWSQXEVSA-N 1 2 319.430 1.689 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1)C(=O)[C@@H]1CCOC1 ZINC001085507818 759572068 /nfs/dbraw/zinc/57/20/68/759572068.db2.gz NUCAYODYAOOZSV-CHWSQXEVSA-N 1 2 319.430 1.689 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001069136797 767872541 /nfs/dbraw/zinc/87/25/41/767872541.db2.gz AGOZAVOXCLIMDB-FZMZJTMJSA-N 1 2 324.388 1.629 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001019232073 759703299 /nfs/dbraw/zinc/70/32/99/759703299.db2.gz HJOWPQWOORWMIO-LBPRGKRZSA-N 1 2 306.410 1.925 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(NC(=O)CC)c1 ZINC001085629901 759884674 /nfs/dbraw/zinc/88/46/74/759884674.db2.gz FPTAJYYFYKJFGA-INIZCTEOSA-N 1 2 313.401 1.815 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(NC(=O)CC)c1 ZINC001085629901 759884684 /nfs/dbraw/zinc/88/46/84/759884684.db2.gz FPTAJYYFYKJFGA-INIZCTEOSA-N 1 2 313.401 1.815 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001085681083 759995556 /nfs/dbraw/zinc/99/55/56/759995556.db2.gz RVZSMBMMMQNOFA-INIZCTEOSA-N 1 2 323.400 1.641 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001085681083 759995563 /nfs/dbraw/zinc/99/55/63/759995563.db2.gz RVZSMBMMMQNOFA-INIZCTEOSA-N 1 2 323.400 1.641 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1coc(-c2ccccn2)n1 ZINC001085696884 760035928 /nfs/dbraw/zinc/03/59/28/760035928.db2.gz VTZODVCJNJHPKN-CQSZACIVSA-N 1 2 324.384 1.906 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1coc(-c2ccccn2)n1 ZINC001085696884 760035938 /nfs/dbraw/zinc/03/59/38/760035938.db2.gz VTZODVCJNJHPKN-CQSZACIVSA-N 1 2 324.384 1.906 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(C)c1C(F)(F)F ZINC001085694718 760048521 /nfs/dbraw/zinc/04/85/21/760048521.db2.gz NXFAEYUTZKKMIG-SNVBAGLBSA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(C)c1C(F)(F)F ZINC001085694718 760048532 /nfs/dbraw/zinc/04/85/32/760048532.db2.gz NXFAEYUTZKKMIG-SNVBAGLBSA-N 1 2 314.311 1.218 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3ccn(C)n3)C2)cn1 ZINC001046782926 767922198 /nfs/dbraw/zinc/92/21/98/767922198.db2.gz HBWKZWKLAQQXFM-SFHVURJKSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@H+](Cc3ccn(C)n3)C2)cn1 ZINC001046782926 767922200 /nfs/dbraw/zinc/92/22/00/767922200.db2.gz HBWKZWKLAQQXFM-SFHVURJKSA-N 1 2 323.400 1.191 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001019761446 760234677 /nfs/dbraw/zinc/23/46/77/760234677.db2.gz HFPSYMNDNMDJIH-NSHDSACASA-N 1 2 305.769 1.515 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(CCC(C)C)nn1 ZINC001085816089 760285080 /nfs/dbraw/zinc/28/50/80/760285080.db2.gz MPXRARAPIDCDRQ-OAHLLOKOSA-N 1 2 317.437 1.494 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(CCC(C)C)nn1 ZINC001085816089 760285086 /nfs/dbraw/zinc/28/50/86/760285086.db2.gz MPXRARAPIDCDRQ-OAHLLOKOSA-N 1 2 317.437 1.494 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccnc1OCC(C)C ZINC001085929917 760557556 /nfs/dbraw/zinc/55/75/56/760557556.db2.gz JMELZRQIXOBWLQ-OAHLLOKOSA-N 1 2 315.417 1.896 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccnc1OCC(C)C ZINC001085929917 760557565 /nfs/dbraw/zinc/55/75/65/760557565.db2.gz JMELZRQIXOBWLQ-OAHLLOKOSA-N 1 2 315.417 1.896 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2ocnc2C)nc1 ZINC001085933867 760564418 /nfs/dbraw/zinc/56/44/18/760564418.db2.gz MOMDBFBPBRXGEW-OAHLLOKOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2ocnc2C)nc1 ZINC001085933867 760564424 /nfs/dbraw/zinc/56/44/24/760564424.db2.gz MOMDBFBPBRXGEW-OAHLLOKOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C(C)(F)F)CC2)[C@H](O)C1 ZINC001100011213 760574201 /nfs/dbraw/zinc/57/42/01/760574201.db2.gz NCELVRBSHOCLAX-GHMZBOCLSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C(C)(F)F)CC2)[C@H](O)C1 ZINC001100011213 760574204 /nfs/dbraw/zinc/57/42/04/760574204.db2.gz NCELVRBSHOCLAX-GHMZBOCLSA-N 1 2 322.783 1.726 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@](C)(NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001046831901 767964167 /nfs/dbraw/zinc/96/41/67/767964167.db2.gz RTJPSRDNAYCTIV-GOSISDBHSA-N 1 2 314.433 1.896 20 30 DDEDLO CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001046831901 767964169 /nfs/dbraw/zinc/96/41/69/767964169.db2.gz RTJPSRDNAYCTIV-GOSISDBHSA-N 1 2 314.433 1.896 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(OC)c(C)c(OC)c1 ZINC001085960059 760612265 /nfs/dbraw/zinc/61/22/65/760612265.db2.gz TWSFLXDRJKQUKD-OAHLLOKOSA-N 1 2 316.401 1.792 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(OC)c(C)c(OC)c1 ZINC001085960059 760612269 /nfs/dbraw/zinc/61/22/69/760612269.db2.gz TWSFLXDRJKQUKD-OAHLLOKOSA-N 1 2 316.401 1.792 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)[nH]c(=O)n2C ZINC001085989791 760668052 /nfs/dbraw/zinc/66/80/52/760668052.db2.gz ZIDAXAZJHYVISM-CYBMUJFWSA-N 1 2 312.373 1.058 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)[nH]c(=O)n2C ZINC001085989791 760668053 /nfs/dbraw/zinc/66/80/53/760668053.db2.gz ZIDAXAZJHYVISM-CYBMUJFWSA-N 1 2 312.373 1.058 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2noc3c2CCCC3)C1 ZINC001108237829 760857640 /nfs/dbraw/zinc/85/76/40/760857640.db2.gz CSRYDSPNDQOBMA-QGZVFWFLSA-N 1 2 319.405 1.560 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2noc3c2CCCC3)C1 ZINC001108237829 760857648 /nfs/dbraw/zinc/85/76/48/760857648.db2.gz CSRYDSPNDQOBMA-QGZVFWFLSA-N 1 2 319.405 1.560 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ccc(C#N)cn1 ZINC001069384049 768004515 /nfs/dbraw/zinc/00/45/15/768004515.db2.gz RVDBPRCWQNONDV-TZMCWYRMSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066445737 761183226 /nfs/dbraw/zinc/18/32/26/761183226.db2.gz NBOKNUYOAXSXCO-ZDUSSCGKSA-N 1 2 304.394 1.416 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)c2c([nH+]1)CCCC2 ZINC001056586078 761257057 /nfs/dbraw/zinc/25/70/57/761257057.db2.gz UOENVAHDWLDVMU-WCQYABFASA-N 1 2 313.405 1.836 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cn(C)nc2C)c1 ZINC001038684490 761285406 /nfs/dbraw/zinc/28/54/06/761285406.db2.gz JXCBZHPXEVXGMN-KRWDZBQOSA-N 1 2 323.400 1.109 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cn(C)nc2C)c1 ZINC001038684490 761285410 /nfs/dbraw/zinc/28/54/10/761285410.db2.gz JXCBZHPXEVXGMN-KRWDZBQOSA-N 1 2 323.400 1.109 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@H]21 ZINC001056623550 761299822 /nfs/dbraw/zinc/29/98/22/761299822.db2.gz FXGBYUPCEKXQLV-LSDHHAIUSA-N 1 2 316.405 1.299 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1noc2c1CCCCC2 ZINC001038768147 761387499 /nfs/dbraw/zinc/38/74/99/761387499.db2.gz OCXMDXKYOVYZIE-CYBMUJFWSA-N 1 2 301.390 1.771 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1noc2c1CCCCC2 ZINC001038768147 761387505 /nfs/dbraw/zinc/38/75/05/761387505.db2.gz OCXMDXKYOVYZIE-CYBMUJFWSA-N 1 2 301.390 1.771 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C(F)(F)F)ccn1 ZINC001038879651 761504284 /nfs/dbraw/zinc/50/42/84/761504284.db2.gz RCVGCKOVLKTIER-GFCCVEGCSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C(F)(F)F)ccn1 ZINC001038879651 761504285 /nfs/dbraw/zinc/50/42/85/761504285.db2.gz RCVGCKOVLKTIER-GFCCVEGCSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc2c(s1)CCOC2 ZINC001038939692 761571113 /nfs/dbraw/zinc/57/11/13/761571113.db2.gz RWUZHWSNWWYYMC-ZDUSSCGKSA-N 1 2 304.415 1.648 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc2c(s1)CCOC2 ZINC001038939692 761571118 /nfs/dbraw/zinc/57/11/18/761571118.db2.gz RWUZHWSNWWYYMC-ZDUSSCGKSA-N 1 2 304.415 1.648 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccnc2C)cn1 ZINC001038941461 761574290 /nfs/dbraw/zinc/57/42/90/761574290.db2.gz KNOSQDPLBSAVPL-SFHVURJKSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccnc2C)cn1 ZINC001038941461 761574300 /nfs/dbraw/zinc/57/43/00/761574300.db2.gz KNOSQDPLBSAVPL-SFHVURJKSA-N 1 2 320.396 1.771 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(OC)cc1OC ZINC001038960105 761594017 /nfs/dbraw/zinc/59/40/17/761594017.db2.gz GBHUQRSGCTUPSL-CYBMUJFWSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(OC)cc1OC ZINC001038960105 761594024 /nfs/dbraw/zinc/59/40/24/761594024.db2.gz GBHUQRSGCTUPSL-CYBMUJFWSA-N 1 2 302.374 1.531 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)COc2ccccc2)[C@H](O)C1 ZINC001100087444 761632869 /nfs/dbraw/zinc/63/28/69/761632869.db2.gz LQPZMIJYUPYEHO-HUUCEWRRSA-N 1 2 324.808 1.369 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)COc2ccccc2)[C@H](O)C1 ZINC001100087444 761632874 /nfs/dbraw/zinc/63/28/74/761632874.db2.gz LQPZMIJYUPYEHO-HUUCEWRRSA-N 1 2 324.808 1.369 20 30 DDEDLO Cn1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n1 ZINC001039021776 761658290 /nfs/dbraw/zinc/65/82/90/761658290.db2.gz KMRYNQYAZMHSEG-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)n1 ZINC001039021776 761658294 /nfs/dbraw/zinc/65/82/94/761658294.db2.gz KMRYNQYAZMHSEG-OAHLLOKOSA-N 1 2 309.373 1.296 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nnc1-c1ccccc1 ZINC001039054561 761694945 /nfs/dbraw/zinc/69/49/45/761694945.db2.gz JQXNAPIFKOOYBS-AWEZNQCLSA-N 1 2 309.373 1.299 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1[nH]nnc1-c1ccccc1 ZINC001039054561 761694948 /nfs/dbraw/zinc/69/49/48/761694948.db2.gz JQXNAPIFKOOYBS-AWEZNQCLSA-N 1 2 309.373 1.299 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CCC(C)(C)C)CC2=O)C1 ZINC001108581540 762743395 /nfs/dbraw/zinc/74/33/95/762743395.db2.gz SAUBBEYYZCOASR-CQSZACIVSA-N 1 2 321.465 1.790 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCN(c2ccc(C#N)cn2)CC1 ZINC001057162495 762809049 /nfs/dbraw/zinc/80/90/49/762809049.db2.gz KMGCXLPPOHEFEH-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@]2(CC[N@H+](Cc3nncs3)C2)C1 ZINC001041136987 762831029 /nfs/dbraw/zinc/83/10/29/762831029.db2.gz QDMVUBMTXVVLQK-RISCZKNCSA-N 1 2 305.407 1.122 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@]2(CC[N@@H+](Cc3nncs3)C2)C1 ZINC001041136987 762831037 /nfs/dbraw/zinc/83/10/37/762831037.db2.gz QDMVUBMTXVVLQK-RISCZKNCSA-N 1 2 305.407 1.122 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108682650 762856564 /nfs/dbraw/zinc/85/65/64/762856564.db2.gz ZCAYWFROAVGFFB-NSHDSACASA-N 1 2 306.414 1.519 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001050045536 763058312 /nfs/dbraw/zinc/05/83/12/763058312.db2.gz HLYCOEGQYRLCTH-DZGCQCFKSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001050045536 763058318 /nfs/dbraw/zinc/05/83/18/763058318.db2.gz HLYCOEGQYRLCTH-DZGCQCFKSA-N 1 2 316.430 1.374 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCCN(C(=O)[C@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001050048790 763062969 /nfs/dbraw/zinc/06/29/69/763062969.db2.gz MBDVWCFADALYJW-GRDNDAEWSA-N 1 2 312.417 1.386 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCCN(C(=O)[C@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001050048790 763062972 /nfs/dbraw/zinc/06/29/72/763062972.db2.gz MBDVWCFADALYJW-GRDNDAEWSA-N 1 2 312.417 1.386 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnc(C)cn1 ZINC001109070760 763304701 /nfs/dbraw/zinc/30/47/01/763304701.db2.gz ICYIQPCOEOBMNY-PMPSAXMXSA-N 1 2 316.405 1.209 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnc(C)cn1 ZINC001109070760 763304710 /nfs/dbraw/zinc/30/47/10/763304710.db2.gz ICYIQPCOEOBMNY-PMPSAXMXSA-N 1 2 316.405 1.209 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)C(C)C)C2 ZINC001109335663 763585613 /nfs/dbraw/zinc/58/56/13/763585613.db2.gz YFRQJTBCHBUJKY-ILXRZTDVSA-N 1 2 321.465 1.692 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)C(C)C)C2 ZINC001109335663 763585618 /nfs/dbraw/zinc/58/56/18/763585618.db2.gz YFRQJTBCHBUJKY-ILXRZTDVSA-N 1 2 321.465 1.692 20 30 DDEDLO CCc1noc(C[NH+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)CC2)n1 ZINC001050463852 763625935 /nfs/dbraw/zinc/62/59/35/763625935.db2.gz CAMAPPBQEOKCQO-GFCCVEGCSA-N 1 2 317.393 1.216 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@H+](Cc3cnon3)[C@H]2C1 ZINC001042184083 763749275 /nfs/dbraw/zinc/74/92/75/763749275.db2.gz TXINBONWWXUCRT-OCCSQVGLSA-N 1 2 304.394 1.705 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3cnon3)[C@H]2C1 ZINC001042184083 763749277 /nfs/dbraw/zinc/74/92/77/763749277.db2.gz TXINBONWWXUCRT-OCCSQVGLSA-N 1 2 304.394 1.705 20 30 DDEDLO C#CC[N@@H+]1CCC(F)(F)[C@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001046986331 768172903 /nfs/dbraw/zinc/17/29/03/768172903.db2.gz AOMDSCQEYWKHDF-GFCCVEGCSA-N 1 2 323.343 1.004 20 30 DDEDLO C#CC[N@H+]1CCC(F)(F)[C@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001046986331 768172909 /nfs/dbraw/zinc/17/29/09/768172909.db2.gz AOMDSCQEYWKHDF-GFCCVEGCSA-N 1 2 323.343 1.004 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NCC)C1 ZINC001109697237 763950064 /nfs/dbraw/zinc/95/00/64/763950064.db2.gz WIXAEVJKIVHBSN-RDBSUJKOSA-N 1 2 305.422 1.200 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NCC)C1 ZINC001109697237 763950071 /nfs/dbraw/zinc/95/00/71/763950071.db2.gz WIXAEVJKIVHBSN-RDBSUJKOSA-N 1 2 305.422 1.200 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CF)CCC1)C2 ZINC001109742411 763984164 /nfs/dbraw/zinc/98/41/64/763984164.db2.gz HEYRKZBWIXKXGD-RDBSUJKOSA-N 1 2 323.412 1.150 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CF)CCC1)C2 ZINC001109742411 763984172 /nfs/dbraw/zinc/98/41/72/763984172.db2.gz HEYRKZBWIXKXGD-RDBSUJKOSA-N 1 2 323.412 1.150 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@H]1CC)C2 ZINC001109737024 763985778 /nfs/dbraw/zinc/98/57/78/763985778.db2.gz RECPKKXVJSEAIB-ZSAUSMIDSA-N 1 2 305.422 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@H]1CC)C2 ZINC001109737024 763985784 /nfs/dbraw/zinc/98/57/84/763985784.db2.gz RECPKKXVJSEAIB-ZSAUSMIDSA-N 1 2 305.422 1.056 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncc(F)c1 ZINC001050863072 764201816 /nfs/dbraw/zinc/20/18/16/764201816.db2.gz APWXMEHTONVYAV-HNNXBMFYSA-N 1 2 307.369 1.618 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncc(F)c1 ZINC001050863072 764201818 /nfs/dbraw/zinc/20/18/18/764201818.db2.gz APWXMEHTONVYAV-HNNXBMFYSA-N 1 2 307.369 1.618 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1OCC[C@H]1C(C)C ZINC001050920949 764284274 /nfs/dbraw/zinc/28/42/74/764284274.db2.gz BSRRGWKPEWUINE-PMPSAXMXSA-N 1 2 310.438 1.441 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1OCC[C@H]1C(C)C ZINC001050920949 764284280 /nfs/dbraw/zinc/28/42/80/764284280.db2.gz BSRRGWKPEWUINE-PMPSAXMXSA-N 1 2 310.438 1.441 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1COCC[N@H+]1CCCF ZINC001050924667 764286843 /nfs/dbraw/zinc/28/68/43/764286843.db2.gz JGXCUHZLOLRSME-ZNMIVQPWSA-N 1 2 314.401 1.144 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1COCC[N@@H+]1CCCF ZINC001050924667 764286847 /nfs/dbraw/zinc/28/68/47/764286847.db2.gz JGXCUHZLOLRSME-ZNMIVQPWSA-N 1 2 314.401 1.144 20 30 DDEDLO C[C@H]1CC[C@@H](C[NH+]2CC(N(C)C(=O)c3ccc(C#N)[nH]3)C2)O1 ZINC001042628717 764291764 /nfs/dbraw/zinc/29/17/64/764291764.db2.gz NYOUHSHVLJAFAC-FZMZJTMJSA-N 1 2 302.378 1.210 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2COc3cc(F)ccc3C2)C1 ZINC001042719616 764385422 /nfs/dbraw/zinc/38/54/22/764385422.db2.gz QPBJZTIDUZSJKX-ZDUSSCGKSA-N 1 2 304.365 1.705 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)nc2)CC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067408205 764430760 /nfs/dbraw/zinc/43/07/60/764430760.db2.gz QHBJQBZERZKIFP-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(CC)nnc1C ZINC001051046710 764448780 /nfs/dbraw/zinc/44/87/80/764448780.db2.gz SUXNSRURONVZHK-OAHLLOKOSA-N 1 2 318.421 1.354 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(CC)nnc1C ZINC001051046710 764448782 /nfs/dbraw/zinc/44/87/82/764448782.db2.gz SUXNSRURONVZHK-OAHLLOKOSA-N 1 2 318.421 1.354 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c3c(nn2C)CCCC3)C1 ZINC001042803270 764457984 /nfs/dbraw/zinc/45/79/84/764457984.db2.gz SCKFCRXHZCZULS-UHFFFAOYSA-N 1 2 302.422 1.631 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn3c2cccc3OC)C1 ZINC001042834854 764476538 /nfs/dbraw/zinc/47/65/38/764476538.db2.gz HOYHIVFSOKMZGO-UHFFFAOYSA-N 1 2 312.373 1.122 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(COC)on1 ZINC001051152866 764558932 /nfs/dbraw/zinc/55/89/32/764558932.db2.gz LMPAAXYXKQBFBX-ZDUSSCGKSA-N 1 2 323.393 1.218 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(COC)on1 ZINC001051152866 764558936 /nfs/dbraw/zinc/55/89/36/764558936.db2.gz LMPAAXYXKQBFBX-ZDUSSCGKSA-N 1 2 323.393 1.218 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NC[C@@H]1COCC[N@H+]1CCC ZINC001051153292 764560486 /nfs/dbraw/zinc/56/04/86/764560486.db2.gz SIWNGSJFQRLJEW-PMPSAXMXSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NC[C@@H]1COCC[N@@H+]1CCC ZINC001051153292 764560490 /nfs/dbraw/zinc/56/04/90/764560490.db2.gz SIWNGSJFQRLJEW-PMPSAXMXSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2sc(C)nc2C)CC1 ZINC001112706514 764626536 /nfs/dbraw/zinc/62/65/36/764626536.db2.gz XJLGGBRUIDDXDQ-UHFFFAOYSA-N 1 2 309.435 1.607 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccccc1OC ZINC001051220164 764636712 /nfs/dbraw/zinc/63/67/12/764636712.db2.gz DCUAIECKPDVSNJ-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccccc1OC ZINC001051220164 764636718 /nfs/dbraw/zinc/63/67/18/764636718.db2.gz DCUAIECKPDVSNJ-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccccc1N(C)C ZINC001051268280 764691869 /nfs/dbraw/zinc/69/18/69/764691869.db2.gz IBHZCHRBOSZZDO-HNNXBMFYSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccccc1N(C)C ZINC001051268280 764691876 /nfs/dbraw/zinc/69/18/76/764691876.db2.gz IBHZCHRBOSZZDO-HNNXBMFYSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)n(C(C)C)n1 ZINC001051270406 764693028 /nfs/dbraw/zinc/69/30/28/764693028.db2.gz NNLZQEBHPQAADX-HNNXBMFYSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)n(C(C)C)n1 ZINC001051270406 764693031 /nfs/dbraw/zinc/69/30/31/764693031.db2.gz NNLZQEBHPQAADX-HNNXBMFYSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1COc2ccccc21 ZINC001051271600 764694647 /nfs/dbraw/zinc/69/46/47/764694647.db2.gz MUUZCVIGVADNMG-HOCLYGCPSA-N 1 2 316.401 1.556 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1COc2ccccc21 ZINC001051271600 764694648 /nfs/dbraw/zinc/69/46/48/764694648.db2.gz MUUZCVIGVADNMG-HOCLYGCPSA-N 1 2 316.401 1.556 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@H]1Nc1ccc(C#N)nc1 ZINC001043251777 764792388 /nfs/dbraw/zinc/79/23/88/764792388.db2.gz MYYHTVUCUBEUIX-MLGOLLRUSA-N 1 2 324.388 1.188 20 30 DDEDLO C#CCC1(C(=O)N2CC[NH+](CCc3cnn(C)c3)CC2)CCC1 ZINC001112950945 765036895 /nfs/dbraw/zinc/03/68/95/765036895.db2.gz HIRABUJFABFAHI-UHFFFAOYSA-N 1 2 314.433 1.300 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cocc2C)[C@@H](n2ccnn2)C1 ZINC001069996057 768270101 /nfs/dbraw/zinc/27/01/01/768270101.db2.gz NMIUXDCUJYIKGB-KGLIPLIRSA-N 1 2 301.350 1.021 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cocc2C)[C@@H](n2ccnn2)C1 ZINC001069996057 768270103 /nfs/dbraw/zinc/27/01/03/768270103.db2.gz NMIUXDCUJYIKGB-KGLIPLIRSA-N 1 2 301.350 1.021 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2CCC)[C@@H](n2ccnn2)C1 ZINC001070022492 768280721 /nfs/dbraw/zinc/28/07/21/768280721.db2.gz SDACRFGAZLNVDC-FPCVCCKLSA-N 1 2 315.421 1.079 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]2CCC)[C@@H](n2ccnn2)C1 ZINC001070022492 768280723 /nfs/dbraw/zinc/28/07/23/768280723.db2.gz SDACRFGAZLNVDC-FPCVCCKLSA-N 1 2 315.421 1.079 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)[C@H](C)Nc1ncccc1C#N ZINC001113137073 765324836 /nfs/dbraw/zinc/32/48/36/765324836.db2.gz AXVOWFVOGRHMPK-MNOVXSKESA-N 1 2 312.377 1.533 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)/C=C/C3CC3)C2)CC1 ZINC001051998197 765364848 /nfs/dbraw/zinc/36/48/48/765364848.db2.gz OXDMKAWABRDYLN-APHBUQMISA-N 1 2 323.868 1.924 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)C2CCC(C(=O)N(C)C)CC2)C1 ZINC001044176555 765367530 /nfs/dbraw/zinc/36/75/30/765367530.db2.gz XPCAEVLORZKZDF-UHFFFAOYSA-N 1 2 307.438 1.210 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3CC3(C)C)C2)CC1 ZINC001052013475 765381853 /nfs/dbraw/zinc/38/18/53/765381853.db2.gz ZSJAULCZFKJPPH-CVEARBPZSA-N 1 2 305.466 1.827 20 30 DDEDLO CC#CCN1CC[NH+]([C@H]2CCN(C(=O)c3coc(C)c3)C2)CC1 ZINC001052018042 765388777 /nfs/dbraw/zinc/38/87/77/765388777.db2.gz AWZZVOMCOXFBJU-KRWDZBQOSA-N 1 2 315.417 1.443 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3CC(C)(C)C3)C2)CC1 ZINC001052023391 765398827 /nfs/dbraw/zinc/39/88/27/765398827.db2.gz SOVNZJMKPYJUCI-INIZCTEOSA-N 1 2 303.450 1.274 20 30 DDEDLO CC(C)C(C)(C)C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052028477 765404522 /nfs/dbraw/zinc/40/45/22/765404522.db2.gz PVILXODEEPSIGO-HNNXBMFYSA-N 1 2 306.454 1.411 20 30 DDEDLO C[C@@]1(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)CC=CCC1 ZINC001052030237 765405321 /nfs/dbraw/zinc/40/53/21/765405321.db2.gz KDCPLTFDUZRCSF-FUHWJXTLSA-N 1 2 316.449 1.475 20 30 DDEDLO CC(C)(C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1)C1CC1 ZINC001052062557 765434978 /nfs/dbraw/zinc/43/49/78/765434978.db2.gz LDJQPKPPNJKTRN-HNNXBMFYSA-N 1 2 304.438 1.165 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@]3(C)C=CCC3)C2)CC1 ZINC001052067996 765442264 /nfs/dbraw/zinc/44/22/64/765442264.db2.gz SNAYZBYABRAOHU-AEFFLSMTSA-N 1 2 301.434 1.194 20 30 DDEDLO CCN(CCCNC(=O)Cc1c[nH]c[nH+]1)c1ccncc1C#N ZINC001095973088 765442440 /nfs/dbraw/zinc/44/24/40/765442440.db2.gz MLKAHEVXSKJLNP-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)(C)CC)C2)CC1 ZINC001052074217 765444960 /nfs/dbraw/zinc/44/49/60/765444960.db2.gz WVSLIIUNWCXOGZ-INIZCTEOSA-N 1 2 305.466 1.664 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](CCn2nc(C)cc2C)CC1 ZINC001113232230 765448340 /nfs/dbraw/zinc/44/83/40/765448340.db2.gz IJVIFVAYQHSISN-UHFFFAOYSA-N 1 2 316.449 1.838 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@](C)(C=C)CC)C2)CC1 ZINC001052077937 765450391 /nfs/dbraw/zinc/45/03/91/765450391.db2.gz YGXUJSGZYWGEKB-SJLPKXTDSA-N 1 2 303.450 1.440 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(CC)CC3)C2)CC1 ZINC001052079136 765452973 /nfs/dbraw/zinc/45/29/73/765452973.db2.gz DXOHAGJQRIEEIO-MRXNPFEDSA-N 1 2 303.450 1.418 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3CC)C2)CC1 ZINC001052087818 765459650 /nfs/dbraw/zinc/45/96/50/765459650.db2.gz CBKBWAZWRKVNRB-BRWVUGGUSA-N 1 2 305.466 1.827 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(c3cccnc3)CC2)CC1 ZINC001113247680 765469119 /nfs/dbraw/zinc/46/91/19/765469119.db2.gz BFXMXAORHZEYQC-UHFFFAOYSA-N 1 2 315.417 1.460 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)CN2CCc3sccc3C2)C1 ZINC001044325376 765483110 /nfs/dbraw/zinc/48/31/10/765483110.db2.gz BALYBAHBEMYHHQ-UHFFFAOYSA-N 1 2 317.458 1.272 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc3[nH]c(=O)oc32)C1 ZINC001044381598 765523842 /nfs/dbraw/zinc/52/38/42/765523842.db2.gz AZTIGIFLCDNJKC-UHFFFAOYSA-N 1 2 301.346 1.866 20 30 DDEDLO Cc1nc(N[C@H](C)[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001113314075 765555085 /nfs/dbraw/zinc/55/50/85/765555085.db2.gz PPJSKPSDMBZQPR-MNOVXSKESA-N 1 2 312.377 1.533 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001052193493 765568103 /nfs/dbraw/zinc/56/81/03/765568103.db2.gz JCTOFOWFGMQFLS-OLZOCXBDSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCC[C@H](C)[NH+]1CCN(C(=O)CCCS(C)(=O)=O)CC1 ZINC001113426068 765682305 /nfs/dbraw/zinc/68/23/05/765682305.db2.gz LTEHTJSHHVKFLF-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2coc(CC3CC3)n2)CC1 ZINC001113476589 765732506 /nfs/dbraw/zinc/73/25/06/765732506.db2.gz WKGDLNCGWPZZQZ-UHFFFAOYSA-N 1 2 319.405 1.588 20 30 DDEDLO C=CCOCC(=O)NC[C@H](C)N(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC001113541352 765840730 /nfs/dbraw/zinc/84/07/30/765840730.db2.gz RCECUCQBOHBTRX-ZDUSSCGKSA-N 1 2 318.421 1.806 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]1C[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001044961761 765970561 /nfs/dbraw/zinc/97/05/61/765970561.db2.gz SWNCZJVQNGNMGB-NEPJUHHUSA-N 1 2 319.409 1.607 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)C(=O)N1CC[NH+](CCO)CC1 ZINC001113663088 765999115 /nfs/dbraw/zinc/99/91/15/765999115.db2.gz WPFXLKGFBJTKEB-KRWDZBQOSA-N 1 2 302.418 1.558 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H](C)N(C)c1ncccc1C#N ZINC001113682374 766013346 /nfs/dbraw/zinc/01/33/46/766013346.db2.gz YISPZOGHVRRGGS-NSHDSACASA-N 1 2 312.377 1.168 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](CCc2ccns2)CC1 ZINC001113710593 766049743 /nfs/dbraw/zinc/04/97/43/766049743.db2.gz QWKRWHKVKIMNJZ-UHFFFAOYSA-N 1 2 309.435 1.423 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@H](OC)C2CCCCC2)CC1 ZINC001113747872 766088447 /nfs/dbraw/zinc/08/84/47/766088447.db2.gz ZRJCBAGEALNGNL-QGZVFWFLSA-N 1 2 324.465 1.929 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCn2c[nH+]cc2C1)Nc1ccc(C#N)nc1 ZINC001098116157 768359250 /nfs/dbraw/zinc/35/92/50/768359250.db2.gz URCJDNRIRSIVNS-OLZOCXBDSA-N 1 2 324.388 1.329 20 30 DDEDLO COc1ccc(C[C@H](C)N2CC[NH2+]C[C@@H]2C#N)c([N+](=O)[O-])c1 ZINC001170335616 766257614 /nfs/dbraw/zinc/25/76/14/766257614.db2.gz OXPYPASKLNCEPG-AAEUAGOBSA-N 1 2 304.350 1.332 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001058172045 766287038 /nfs/dbraw/zinc/28/70/38/766287038.db2.gz QOIGEPKSPODHPU-OAHLLOKOSA-N 1 2 324.388 1.243 20 30 DDEDLO Cc1nc(NCC2CC(NC(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001067860680 766761753 /nfs/dbraw/zinc/76/17/53/766761753.db2.gz KALWZINHKYAHTE-UHFFFAOYSA-N 1 2 324.388 1.534 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](CCCC)CNc1cc[nH+]c(C)n1 ZINC001114281806 766850577 /nfs/dbraw/zinc/85/05/77/766850577.db2.gz LGVJYIITFCWTMH-ZFWWWQNUSA-N 1 2 318.421 1.910 20 30 DDEDLO C[C@H]1CCN(c2ccc(C#N)cn2)C[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067994385 766861633 /nfs/dbraw/zinc/86/16/33/766861633.db2.gz URSBLZVQUIMUIU-SWLSCSKDSA-N 1 2 324.388 1.250 20 30 DDEDLO CCC(CC)(CC)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046072585 766885506 /nfs/dbraw/zinc/88/55/06/766885506.db2.gz IVQSYMVCGXQKIN-INIZCTEOSA-N 1 2 320.481 1.945 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)[C@H]3CC34CCCC4)CC2)C1 ZINC001046074877 766888816 /nfs/dbraw/zinc/88/88/16/766888816.db2.gz JFYJFHSBRRXQGV-HZPDHXFCSA-N 1 2 316.449 1.309 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+]Cc1nc(CC)no1 ZINC001129502989 766907678 /nfs/dbraw/zinc/90/76/78/766907678.db2.gz UOIIOUHJPPJGDB-UHFFFAOYSA-N 1 2 303.366 1.139 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(C)(F)CC1 ZINC001121610172 782591073 /nfs/dbraw/zinc/59/10/73/782591073.db2.gz QYSAMWRABXLEOM-CHWSQXEVSA-N 1 2 323.416 1.530 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(C)(F)CC1 ZINC001121610172 782591083 /nfs/dbraw/zinc/59/10/83/782591083.db2.gz QYSAMWRABXLEOM-CHWSQXEVSA-N 1 2 323.416 1.530 20 30 DDEDLO C[C@@H]1C[C@@H](CNc2ccc(C#N)cn2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068376265 767220481 /nfs/dbraw/zinc/22/04/81/767220481.db2.gz SBDZULLOKDFBHB-OCCSQVGLSA-N 1 2 324.388 1.568 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](CNc2ncccc2C#N)C1 ZINC001068510336 767384702 /nfs/dbraw/zinc/38/47/02/767384702.db2.gz BHBATFMQIGHCSI-MQMHXKEQSA-N 1 2 324.388 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cccc3n[nH]nc32)C1 ZINC001046342571 767444556 /nfs/dbraw/zinc/44/45/56/767444556.db2.gz SJKOGWXJTRCXDX-HNNXBMFYSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cccc3n[nH]nc32)C1 ZINC001046342571 767444563 /nfs/dbraw/zinc/44/45/63/767444563.db2.gz SJKOGWXJTRCXDX-HNNXBMFYSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cc3n(n2)CCCO3)C1 ZINC001046469803 767604457 /nfs/dbraw/zinc/60/44/57/767604457.db2.gz AXCCVJSMOKONEX-HNNXBMFYSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cc3n(n2)CCCO3)C1 ZINC001046469803 767604460 /nfs/dbraw/zinc/60/44/60/767604460.db2.gz AXCCVJSMOKONEX-HNNXBMFYSA-N 1 2 324.812 1.612 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@@H+](Cc3cnnn3C)C2)cc1 ZINC001046530348 767660483 /nfs/dbraw/zinc/66/04/83/767660483.db2.gz GWRSLCIOBPXZSK-GOSISDBHSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@]2(C)CC[N@H+](Cc3cnnn3C)C2)cc1 ZINC001046530348 767660485 /nfs/dbraw/zinc/66/04/85/767660485.db2.gz GWRSLCIOBPXZSK-GOSISDBHSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3ccn(C)n3)C2)cc1 ZINC001046532662 767663072 /nfs/dbraw/zinc/66/30/72/767663072.db2.gz ZNKRBDFCKOHYJA-IBGZPJMESA-N 1 2 322.412 1.796 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@H+](Cc3ccn(C)n3)C2)cc1 ZINC001046532662 767663077 /nfs/dbraw/zinc/66/30/77/767663077.db2.gz ZNKRBDFCKOHYJA-IBGZPJMESA-N 1 2 322.412 1.796 20 30 DDEDLO C[C@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)CCn1cc[nH+]c1 ZINC001068927324 767703445 /nfs/dbraw/zinc/70/34/45/767703445.db2.gz DNKKZYUUUVVMPY-DZGCQCFKSA-N 1 2 324.388 1.641 20 30 DDEDLO N#Cc1ccc(C[NH2+]CCNC(=O)c2[nH]nc3c2CCC3)s1 ZINC001130738818 767706294 /nfs/dbraw/zinc/70/62/94/767706294.db2.gz QRRHSYPLIUJPFA-UHFFFAOYSA-N 1 2 315.402 1.351 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CNC(=O)CCC)CC[C@H]1C ZINC001131862210 768419454 /nfs/dbraw/zinc/41/94/54/768419454.db2.gz SMNFDSFMSHDQBP-CHWSQXEVSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CNC(=O)CCC)CC[C@H]1C ZINC001131862210 768419459 /nfs/dbraw/zinc/41/94/59/768419459.db2.gz SMNFDSFMSHDQBP-CHWSQXEVSA-N 1 2 315.845 1.624 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001131947525 768506593 /nfs/dbraw/zinc/50/65/93/768506593.db2.gz VMIIDWSVEWPAOE-KBPBESRZSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001131947525 768506598 /nfs/dbraw/zinc/50/65/98/768506598.db2.gz VMIIDWSVEWPAOE-KBPBESRZSA-N 1 2 306.410 1.092 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001131973121 768518760 /nfs/dbraw/zinc/51/87/60/768518760.db2.gz YINWPUICOOXMCY-CABCVRRESA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001131973121 768518764 /nfs/dbraw/zinc/51/87/64/768518764.db2.gz YINWPUICOOXMCY-CABCVRRESA-N 1 2 317.437 1.478 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@@H]2C)cn1 ZINC001132021904 768559350 /nfs/dbraw/zinc/55/93/50/768559350.db2.gz RLNICZUDFRNCKU-JKSUJKDBSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@@H]2C)cn1 ZINC001132021904 768559354 /nfs/dbraw/zinc/55/93/54/768559354.db2.gz RLNICZUDFRNCKU-JKSUJKDBSA-N 1 2 314.433 1.914 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C3CC3)s2)C1 ZINC001047596025 768597635 /nfs/dbraw/zinc/59/76/35/768597635.db2.gz NDADRWXEWYLDEW-KBPBESRZSA-N 1 2 318.442 1.766 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C3CC3)s2)C1 ZINC001047596025 768597637 /nfs/dbraw/zinc/59/76/37/768597637.db2.gz NDADRWXEWYLDEW-KBPBESRZSA-N 1 2 318.442 1.766 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)C(C)C)CC[C@H]1C ZINC001132065178 768614982 /nfs/dbraw/zinc/61/49/82/768614982.db2.gz QQHGSDRGIJKRRB-CABCVRRESA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C(C)(C)C(C)C)CC[C@H]1C ZINC001132065178 768614985 /nfs/dbraw/zinc/61/49/85/768614985.db2.gz QQHGSDRGIJKRRB-CABCVRRESA-N 1 2 321.465 1.387 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C(C)(C)C(N)=O)CC[C@H]1C ZINC001132120788 768630033 /nfs/dbraw/zinc/63/00/33/768630033.db2.gz XMSDZRDRSICMPK-MNOVXSKESA-N 1 2 301.818 1.220 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C(C)(C)C(N)=O)CC[C@H]1C ZINC001132120788 768630040 /nfs/dbraw/zinc/63/00/40/768630040.db2.gz XMSDZRDRSICMPK-MNOVXSKESA-N 1 2 301.818 1.220 20 30 DDEDLO CC(=O)NCCC[NH+]1CCN(c2ncc(C#N)cc2Cl)CC1 ZINC001096242656 768738049 /nfs/dbraw/zinc/73/80/49/768738049.db2.gz DPGSSZUBOVRBLK-UHFFFAOYSA-N 1 2 321.812 1.255 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001071071229 768929555 /nfs/dbraw/zinc/92/95/55/768929555.db2.gz GEARLCCYLWGCIR-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(c2nccc(C)n2)CC1 ZINC001096299997 769105222 /nfs/dbraw/zinc/10/52/22/769105222.db2.gz NGYNNAODIXOMRL-UHFFFAOYSA-N 1 2 317.437 1.380 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@H]1C ZINC001071326675 769289472 /nfs/dbraw/zinc/28/94/72/769289472.db2.gz GYPLEWHITPALFM-MNOVXSKESA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@H]1C ZINC001071326675 769289480 /nfs/dbraw/zinc/28/94/80/769289480.db2.gz GYPLEWHITPALFM-MNOVXSKESA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc[n+]([O-])cc2)CC[C@H]1C ZINC001071333273 769295952 /nfs/dbraw/zinc/29/59/52/769295952.db2.gz ZZCFIZHZKMZICN-TZMCWYRMSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc[n+]([O-])cc2)CC[C@H]1C ZINC001071333273 769295956 /nfs/dbraw/zinc/29/59/56/769295956.db2.gz ZZCFIZHZKMZICN-TZMCWYRMSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(CC)cn1)C2 ZINC001096359166 769373605 /nfs/dbraw/zinc/37/36/05/769373605.db2.gz CNKUJORUCJFZGU-DYEKYZERSA-N 1 2 308.813 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(CC)cn1)C2 ZINC001096359166 769373609 /nfs/dbraw/zinc/37/36/09/769373609.db2.gz CNKUJORUCJFZGU-DYEKYZERSA-N 1 2 308.813 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CC2OCCCO2)CC[C@@H]1C ZINC001071458449 769464762 /nfs/dbraw/zinc/46/47/62/769464762.db2.gz SOCKEVZVQLOQHL-QWHCGFSZSA-N 1 2 316.829 1.861 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CC2OCCCO2)CC[C@@H]1C ZINC001071458449 769464768 /nfs/dbraw/zinc/46/47/68/769464768.db2.gz SOCKEVZVQLOQHL-QWHCGFSZSA-N 1 2 316.829 1.861 20 30 DDEDLO C[C@H]1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C[C@H]1CNCC#N ZINC001133240810 769617037 /nfs/dbraw/zinc/61/70/37/769617037.db2.gz AIYSLIBHLNZUQB-QWHCGFSZSA-N 1 2 303.410 1.285 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2cn[nH]n2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071634935 769761207 /nfs/dbraw/zinc/76/12/07/769761207.db2.gz KWBUUJDMACGLRN-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2cn[nH]n2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071634935 769761218 /nfs/dbraw/zinc/76/12/18/769761218.db2.gz KWBUUJDMACGLRN-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2cnn[nH]2)C[N@@H+]1Cc1cccc(C#N)c1 ZINC001071634935 769761223 /nfs/dbraw/zinc/76/12/23/769761223.db2.gz KWBUUJDMACGLRN-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2cnn[nH]2)C[N@H+]1Cc1cccc(C#N)c1 ZINC001071634935 769761238 /nfs/dbraw/zinc/76/12/38/769761238.db2.gz KWBUUJDMACGLRN-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO CCc1nnc(C[N@H+]2C[C@H]3CN(C(=O)[C@@H](C)C#N)C[C@H]3C2)s1 ZINC001048727653 769780114 /nfs/dbraw/zinc/78/01/14/769780114.db2.gz LSXKOKPDOSKAOW-SDDRHHMPSA-N 1 2 319.434 1.150 20 30 DDEDLO CCc1nnc(C[N@@H+]2C[C@H]3CN(C(=O)[C@@H](C)C#N)C[C@H]3C2)s1 ZINC001048727653 769780123 /nfs/dbraw/zinc/78/01/23/769780123.db2.gz LSXKOKPDOSKAOW-SDDRHHMPSA-N 1 2 319.434 1.150 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001072114856 770559680 /nfs/dbraw/zinc/55/96/80/770559680.db2.gz OYNVPSUBTSVMJO-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001072317639 770754658 /nfs/dbraw/zinc/75/46/58/770754658.db2.gz RYNOOSIBTCHYPI-OCCSQVGLSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001072317639 770754659 /nfs/dbraw/zinc/75/46/59/770754659.db2.gz RYNOOSIBTCHYPI-OCCSQVGLSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049457136 770867918 /nfs/dbraw/zinc/86/79/18/770867918.db2.gz RVDJRZNQZFXMNC-NEPJUHHUSA-N 1 2 309.801 1.247 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049457136 770867928 /nfs/dbraw/zinc/86/79/28/770867928.db2.gz RVDJRZNQZFXMNC-NEPJUHHUSA-N 1 2 309.801 1.247 20 30 DDEDLO Cn1ccnc1C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C#CC1CC1 ZINC001049470650 770896537 /nfs/dbraw/zinc/89/65/37/770896537.db2.gz HVRFENUVPYIJOC-JKSUJKDBSA-N 1 2 312.417 1.399 20 30 DDEDLO Cn1ccnc1C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C#CC1CC1 ZINC001049470650 770896548 /nfs/dbraw/zinc/89/65/48/770896548.db2.gz HVRFENUVPYIJOC-JKSUJKDBSA-N 1 2 312.417 1.399 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H](Nc1ccc(C#N)cn1)C1CC1 ZINC001096706420 771404667 /nfs/dbraw/zinc/40/46/67/771404667.db2.gz MYIDTDIDJNWYNT-HNNXBMFYSA-N 1 2 324.388 1.534 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001096924568 771516946 /nfs/dbraw/zinc/51/69/46/771516946.db2.gz ACPPTBYEOIUNLJ-NSHDSACASA-N 1 2 300.366 1.342 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001096977396 771542953 /nfs/dbraw/zinc/54/29/53/771542953.db2.gz REHHIEQBPITWLC-LBPRGKRZSA-N 1 2 314.393 1.732 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)c1cc(-n2cc[nH+]c2)ccn1)NCC#N ZINC001135852222 771753069 /nfs/dbraw/zinc/75/30/69/771753069.db2.gz CWHSUPDJTJVBKO-OLZOCXBDSA-N 1 2 312.377 1.277 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2occc2C)[C@@H](O)C1 ZINC001090551414 771984374 /nfs/dbraw/zinc/98/43/74/771984374.db2.gz YYGVGKWVMNHIIL-STQMWFEESA-N 1 2 312.797 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2occc2C)[C@@H](O)C1 ZINC001090551414 771984376 /nfs/dbraw/zinc/98/43/76/771984376.db2.gz YYGVGKWVMNHIIL-STQMWFEESA-N 1 2 312.797 1.434 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnoc2CC)[C@@H](O)C1 ZINC001090616383 772044057 /nfs/dbraw/zinc/04/40/57/772044057.db2.gz OYHJDKYSUGKUBC-NEPJUHHUSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnoc2CC)[C@@H](O)C1 ZINC001090616383 772044061 /nfs/dbraw/zinc/04/40/61/772044061.db2.gz OYHJDKYSUGKUBC-NEPJUHHUSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2csc(C)c2)[C@H](O)C1 ZINC001090644114 772068467 /nfs/dbraw/zinc/06/84/67/772068467.db2.gz JHVNMYIJRPVSSE-CHWSQXEVSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2csc(C)c2)[C@H](O)C1 ZINC001090644114 772068471 /nfs/dbraw/zinc/06/84/71/772068471.db2.gz JHVNMYIJRPVSSE-CHWSQXEVSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2csc(C)c2)[C@H](O)C1 ZINC001090644116 772068599 /nfs/dbraw/zinc/06/85/99/772068599.db2.gz JHVNMYIJRPVSSE-QWHCGFSZSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2csc(C)c2)[C@H](O)C1 ZINC001090644116 772068608 /nfs/dbraw/zinc/06/86/08/772068608.db2.gz JHVNMYIJRPVSSE-QWHCGFSZSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnc(C)c2)[C@H](O)C1 ZINC001090655799 772080487 /nfs/dbraw/zinc/08/04/87/772080487.db2.gz WDRIFCFTSWYQKI-UONOGXRCSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnc(C)c2)[C@H](O)C1 ZINC001090655799 772080494 /nfs/dbraw/zinc/08/04/94/772080494.db2.gz WDRIFCFTSWYQKI-UONOGXRCSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(F)CCCCC2)[C@H](O)C1 ZINC001090700770 772126352 /nfs/dbraw/zinc/12/63/52/772126352.db2.gz NUNSXVVJELAJTM-QWHCGFSZSA-N 1 2 318.820 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(F)CCCCC2)[C@H](O)C1 ZINC001090700770 772126357 /nfs/dbraw/zinc/12/63/57/772126357.db2.gz NUNSXVVJELAJTM-QWHCGFSZSA-N 1 2 318.820 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc(OC)c2)[C@@H](O)C1 ZINC001090757835 772170760 /nfs/dbraw/zinc/17/07/60/772170760.db2.gz QDSQCSNCWYRJEE-CABCVRRESA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(OC)c2)[C@@H](O)C1 ZINC001090757835 772170761 /nfs/dbraw/zinc/17/07/61/772170761.db2.gz QDSQCSNCWYRJEE-CABCVRRESA-N 1 2 324.808 1.613 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(C)cc2)[C@@H](O)C1 ZINC001090755957 772171653 /nfs/dbraw/zinc/17/16/53/772171653.db2.gz ZJZJZVXFVAKIMY-CABCVRRESA-N 1 2 308.809 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)cc2)[C@@H](O)C1 ZINC001090755957 772171656 /nfs/dbraw/zinc/17/16/56/772171656.db2.gz ZJZJZVXFVAKIMY-CABCVRRESA-N 1 2 308.809 1.913 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001091515702 772796947 /nfs/dbraw/zinc/79/69/47/772796947.db2.gz TWVXCXVFUAIFTP-JOCQHMNTSA-N 1 2 310.361 1.226 20 30 DDEDLO C=C[C@@H]1C[C@]1(NC(=O)OC(C)(C)C)C(=O)NCCn1cc[nH+]c1 ZINC001146217841 772824295 /nfs/dbraw/zinc/82/42/95/772824295.db2.gz JHMXLVSCJSBCFY-MLGOLLRUSA-N 1 2 320.393 1.469 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cnon1)C2 ZINC001147381506 773125876 /nfs/dbraw/zinc/12/58/76/773125876.db2.gz KIUBEBAXJOXHMT-UHFFFAOYSA-N 1 2 302.378 1.298 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnon1)C2 ZINC001147381506 773125878 /nfs/dbraw/zinc/12/58/78/773125878.db2.gz KIUBEBAXJOXHMT-UHFFFAOYSA-N 1 2 302.378 1.298 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001073772469 773398113 /nfs/dbraw/zinc/39/81/13/773398113.db2.gz OYBHZWNFSKNUAF-MGPQQGTHSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001073772469 773398123 /nfs/dbraw/zinc/39/81/23/773398123.db2.gz OYBHZWNFSKNUAF-MGPQQGTHSA-N 1 2 316.829 1.514 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001073806672 773431114 /nfs/dbraw/zinc/43/11/14/773431114.db2.gz XPDRWIARLUIFNZ-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001073999419 773578929 /nfs/dbraw/zinc/57/89/29/773578929.db2.gz MMBRLJOEDXQQGR-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cccs3)C[C@@H]21 ZINC001074143794 773669323 /nfs/dbraw/zinc/66/93/23/773669323.db2.gz DUXWZXRXCUTJML-HOTGVXAUSA-N 1 2 318.442 1.616 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cccs3)C[C@@H]21 ZINC001074143794 773669325 /nfs/dbraw/zinc/66/93/25/773669325.db2.gz DUXWZXRXCUTJML-HOTGVXAUSA-N 1 2 318.442 1.616 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cccc(F)c3)C[C@H]21 ZINC001074159104 773681502 /nfs/dbraw/zinc/68/15/02/773681502.db2.gz ZAQOKVFKRWIMKG-SJORKVTESA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccc(F)c3)C[C@H]21 ZINC001074159104 773681506 /nfs/dbraw/zinc/68/15/06/773681506.db2.gz ZAQOKVFKRWIMKG-SJORKVTESA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3O)C[C@@H]21 ZINC001074179401 773701299 /nfs/dbraw/zinc/70/12/99/773701299.db2.gz ZNIXNEGRDKTJKL-DOTOQJQBSA-N 1 2 314.385 1.331 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3O)C[C@@H]21 ZINC001074179401 773701305 /nfs/dbraw/zinc/70/13/05/773701305.db2.gz ZNIXNEGRDKTJKL-DOTOQJQBSA-N 1 2 314.385 1.331 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(F)(F)F)C[C@@H]21 ZINC001074185346 773706652 /nfs/dbraw/zinc/70/66/52/773706652.db2.gz ZTIUQYDHBVPENJ-NWDGAFQWSA-N 1 2 306.328 1.817 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC(F)(F)F)C[C@@H]21 ZINC001074185346 773706656 /nfs/dbraw/zinc/70/66/56/773706656.db2.gz ZTIUQYDHBVPENJ-NWDGAFQWSA-N 1 2 306.328 1.817 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@@H]21 ZINC001074209071 773732456 /nfs/dbraw/zinc/73/24/56/773732456.db2.gz SBCLCZJQENZJKL-KBPBESRZSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@@H]21 ZINC001074209071 773732458 /nfs/dbraw/zinc/73/24/58/773732458.db2.gz SBCLCZJQENZJKL-KBPBESRZSA-N 1 2 312.360 1.357 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2ccncc2)[C@H](O)C1 ZINC001099903544 773808206 /nfs/dbraw/zinc/80/82/06/773808206.db2.gz ICDFHGZPWBEBCA-LSDHHAIUSA-N 1 2 323.824 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2ccncc2)[C@H](O)C1 ZINC001099903544 773808210 /nfs/dbraw/zinc/80/82/10/773808210.db2.gz ICDFHGZPWBEBCA-LSDHHAIUSA-N 1 2 323.824 1.318 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCCC3)C[C@@H]21 ZINC001074308261 773811468 /nfs/dbraw/zinc/81/14/68/773811468.db2.gz WHJZXDVWGCYUGY-JKSUJKDBSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCCC3)C[C@@H]21 ZINC001074308261 773811474 /nfs/dbraw/zinc/81/14/74/773811474.db2.gz WHJZXDVWGCYUGY-JKSUJKDBSA-N 1 2 304.434 1.892 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3OCC[N@@H+](CCOC)[C@H]3C2)C1 ZINC001074334865 773832220 /nfs/dbraw/zinc/83/22/20/773832220.db2.gz ZSUACDCUXADOLJ-GJZGRUSLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3OCC[N@H+](CCOC)[C@H]3C2)C1 ZINC001074334865 773832223 /nfs/dbraw/zinc/83/22/23/773832223.db2.gz ZSUACDCUXADOLJ-GJZGRUSLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(=O)[nH]c3)C[C@H]21 ZINC001074397604 773892114 /nfs/dbraw/zinc/89/21/14/773892114.db2.gz KEYVYIWBZWCNEJ-CABCVRRESA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(=O)[nH]c3)C[C@H]21 ZINC001074397604 773892120 /nfs/dbraw/zinc/89/21/20/773892120.db2.gz KEYVYIWBZWCNEJ-CABCVRRESA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3cccn3CC)C[C@H]21 ZINC001074406012 773900258 /nfs/dbraw/zinc/90/02/58/773900258.db2.gz ZFTFJKQIHQDJPD-SJORKVTESA-N 1 2 317.433 1.999 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccn3CC)C[C@H]21 ZINC001074406012 773900263 /nfs/dbraw/zinc/90/02/63/773900263.db2.gz ZFTFJKQIHQDJPD-SJORKVTESA-N 1 2 317.433 1.999 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2nc(C3CCC3)no2)[C@@H]1C ZINC001074568345 774000165 /nfs/dbraw/zinc/00/01/65/774000165.db2.gz OFELBKCZLATYIX-MDZLAQPJSA-N 1 2 317.393 1.576 20 30 DDEDLO Cc1nc(NC2CC(CNC(=O)CSCC#N)C2)cc[nH+]1 ZINC001092207734 774028284 /nfs/dbraw/zinc/02/82/84/774028284.db2.gz NLOCPUUBEBQTSC-UHFFFAOYSA-N 1 2 305.407 1.349 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C1CCCC1)c1nccn12 ZINC001092342435 774091497 /nfs/dbraw/zinc/09/14/97/774091497.db2.gz MINUUSZOHVCALS-HNNXBMFYSA-N 1 2 312.417 1.669 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccncc1C)c1nccn12 ZINC001092365128 774104706 /nfs/dbraw/zinc/10/47/06/774104706.db2.gz CFQPYFZBAXNBKO-HNNXBMFYSA-N 1 2 323.400 1.658 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)=C1CCC1)c1nccn12 ZINC001092366327 774105900 /nfs/dbraw/zinc/10/59/00/774105900.db2.gz RGGAJWKDHUJCEV-INIZCTEOSA-N 1 2 324.428 1.979 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074884665 774215419 /nfs/dbraw/zinc/21/54/19/774215419.db2.gz UKGLOMNFFZCFSI-OLZOCXBDSA-N 1 2 304.394 1.414 20 30 DDEDLO C=C[C@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(N)=O)c1ccccc1 ZINC001110137019 774280124 /nfs/dbraw/zinc/28/01/24/774280124.db2.gz XHKGEISKWZHNIR-UGUYLWEFSA-N 1 2 313.401 1.163 20 30 DDEDLO C=C[C@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(N)=O)c1ccccc1 ZINC001110137019 774280127 /nfs/dbraw/zinc/28/01/27/774280127.db2.gz XHKGEISKWZHNIR-UGUYLWEFSA-N 1 2 313.401 1.163 20 30 DDEDLO CCc1cc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H](C)C#N)C3)nc(C)[nH+]1 ZINC001092966514 774340775 /nfs/dbraw/zinc/34/07/75/774340775.db2.gz CCMUKWJLCXQIFL-QOWREQOWSA-N 1 2 313.405 1.733 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001075127711 774371793 /nfs/dbraw/zinc/37/17/93/774371793.db2.gz PNDIOJDJXRTLKN-STQMWFEESA-N 1 2 304.394 1.414 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2[C@H](CC[N@@H+]2Cc2cnsn2)C1 ZINC001036714204 774387410 /nfs/dbraw/zinc/38/74/10/774387410.db2.gz UOAMHJDGYROIRN-HIFRSBDPSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2[C@H](CC[N@H+]2Cc2cnsn2)C1 ZINC001036714204 774387417 /nfs/dbraw/zinc/38/74/17/774387417.db2.gz UOAMHJDGYROIRN-HIFRSBDPSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2[C@@H](CC[N@@H+]2Cc2cnsn2)C1 ZINC001036714203 774387680 /nfs/dbraw/zinc/38/76/80/774387680.db2.gz UOAMHJDGYROIRN-DZGCQCFKSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2[C@@H](CC[N@H+]2Cc2cnsn2)C1 ZINC001036714203 774387686 /nfs/dbraw/zinc/38/76/86/774387686.db2.gz UOAMHJDGYROIRN-DZGCQCFKSA-N 1 2 316.430 1.374 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001098636815 774627652 /nfs/dbraw/zinc/62/76/52/774627652.db2.gz KNODFBWRELNFDL-LSDHHAIUSA-N 1 2 316.405 1.664 20 30 DDEDLO Cc1nc(N(C)C)nc(N[C@@H](C)CCNC(=O)C#CC(C)C)[nH+]1 ZINC001099065969 774806542 /nfs/dbraw/zinc/80/65/42/774806542.db2.gz YJCWVFCAGVMBBT-LBPRGKRZSA-N 1 2 318.425 1.212 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCO[C@H]3C=C)CC2)C1 ZINC001093574305 774847739 /nfs/dbraw/zinc/84/77/39/774847739.db2.gz XLOGASAJYCKQDN-SJORKVTESA-N 1 2 320.433 1.455 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3ncccn3)CC2)C1 ZINC001093585060 774863274 /nfs/dbraw/zinc/86/32/74/774863274.db2.gz UCKCSUHPHIWVGZ-UHFFFAOYSA-N 1 2 316.405 1.358 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001093878762 775175459 /nfs/dbraw/zinc/17/54/59/775175459.db2.gz XBLQYNVQXWGONS-GFCCVEGCSA-N 1 2 302.378 1.229 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cccc(Cl)n2)C[C@@H]1O ZINC001099726910 775191004 /nfs/dbraw/zinc/19/10/04/775191004.db2.gz KZKSDSFZMQIVCF-KGLIPLIRSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2cccc(Cl)n2)C[C@@H]1O ZINC001099726910 775191009 /nfs/dbraw/zinc/19/10/09/775191009.db2.gz KZKSDSFZMQIVCF-KGLIPLIRSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099975593 775507292 /nfs/dbraw/zinc/50/72/92/775507292.db2.gz DUHBUOJSETZKBH-KBPBESRZSA-N 1 2 323.462 1.859 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099975593 775507300 /nfs/dbraw/zinc/50/73/00/775507300.db2.gz DUHBUOJSETZKBH-KBPBESRZSA-N 1 2 323.462 1.859 20 30 DDEDLO N#Cc1cnc(NCCNC(=O)c2cc3c[nH+]ccc3[nH]2)cn1 ZINC001094236652 775573207 /nfs/dbraw/zinc/57/32/07/775573207.db2.gz WVAOPVYTKPRGBB-UHFFFAOYSA-N 1 2 307.317 1.066 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3CCCCC3)nn2)C1 ZINC001094265849 775604286 /nfs/dbraw/zinc/60/42/86/775604286.db2.gz DWFKKEWAAMQHDZ-UHFFFAOYSA-N 1 2 303.410 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)C(C)(F)F)[C@@H](O)C1 ZINC001100089734 775665624 /nfs/dbraw/zinc/66/56/24/775665624.db2.gz ISGKKTJPDUPWJR-MNOVXSKESA-N 1 2 324.799 1.972 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)C(C)(F)F)[C@@H](O)C1 ZINC001100089734 775665620 /nfs/dbraw/zinc/66/56/20/775665620.db2.gz ISGKKTJPDUPWJR-MNOVXSKESA-N 1 2 324.799 1.972 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CCCC34CC4)nn2)C1 ZINC001094298720 775701925 /nfs/dbraw/zinc/70/19/25/775701925.db2.gz YOAQPTMQKIFELA-OAHLLOKOSA-N 1 2 315.421 1.517 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1CC([NH2+]Cc2noc(C(C)(C)C)n2)C1 ZINC001100190587 775793841 /nfs/dbraw/zinc/79/38/41/775793841.db2.gz YVWIIQKLOGMWDB-UNXYVOJBSA-N 1 2 319.409 1.511 20 30 DDEDLO N#Cc1nc(NCCCNC(=O)Cn2cc[nH+]c2)sc1Cl ZINC001094472257 775927593 /nfs/dbraw/zinc/92/75/93/775927593.db2.gz XRTMUVLDISIPMV-UHFFFAOYSA-N 1 2 324.797 1.483 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCCCNc1cccc(F)c1C#N ZINC001094503982 775941845 /nfs/dbraw/zinc/94/18/45/775941845.db2.gz AQCMNIOXRUAAQK-UHFFFAOYSA-N 1 2 315.352 1.592 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1CC([NH2+]CC(F)(F)C(F)F)C1 ZINC001100324136 775998663 /nfs/dbraw/zinc/99/86/63/775998663.db2.gz YJJWABFASOBLKZ-WHXUTIOJSA-N 1 2 324.318 1.410 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CC3=CCCCC3)CC2=O)C1 ZINC001094716869 776184286 /nfs/dbraw/zinc/18/42/86/776184286.db2.gz DEAXTEZKMUJOOW-HNNXBMFYSA-N 1 2 317.433 1.464 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3ccc(C)s3)CC2=O)C1 ZINC001094693115 776203811 /nfs/dbraw/zinc/20/38/11/776203811.db2.gz LULZORAJSVYHFJ-GFCCVEGCSA-N 1 2 319.430 1.257 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]([NH2+]Cc2ncc(C#N)s2)CC1=O ZINC001171695433 776438829 /nfs/dbraw/zinc/43/88/29/776438829.db2.gz UOFZEWCIDFSUKN-VIFPVBQESA-N 1 2 322.390 1.640 20 30 DDEDLO CCN(CCNC(=O)Cc1c[nH]c[nH+]1)c1snc(C)c1C#N ZINC001100735818 776486591 /nfs/dbraw/zinc/48/65/91/776486591.db2.gz ZVUWYCCLAJHWTM-UHFFFAOYSA-N 1 2 318.406 1.232 20 30 DDEDLO Cc1cc(N2CCC[C@@H]2CNC(=O)Cc2c[nH]c[nH+]2)c(C#N)cn1 ZINC001100910705 776695455 /nfs/dbraw/zinc/69/54/55/776695455.db2.gz QPCQZARSTIXUTB-OAHLLOKOSA-N 1 2 324.388 1.313 20 30 DDEDLO COC(=O)C[C@H]1C[N@@H+]([C@@H](C)Cc2ccc(C#N)cc2)CCO1 ZINC001172319746 776747408 /nfs/dbraw/zinc/74/74/08/776747408.db2.gz QVPUUMQSHSUINQ-BBRMVZONSA-N 1 2 302.374 1.753 20 30 DDEDLO COC(=O)C[C@H]1C[N@H+]([C@@H](C)Cc2ccc(C#N)cc2)CCO1 ZINC001172319746 776747412 /nfs/dbraw/zinc/74/74/12/776747412.db2.gz QVPUUMQSHSUINQ-BBRMVZONSA-N 1 2 302.374 1.753 20 30 DDEDLO COC(=O)C1([NH2+][C@@H](C)Cc2ccc(C#N)cc2)CCOCC1 ZINC001172297409 776761022 /nfs/dbraw/zinc/76/10/22/776761022.db2.gz PCSSFYVVCHYSQM-ZDUSSCGKSA-N 1 2 302.374 1.801 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001101005915 776816187 /nfs/dbraw/zinc/81/61/87/776816187.db2.gz SBYPIZYLIAXZCQ-ZDUSSCGKSA-N 1 2 324.388 1.170 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095095262 777091307 /nfs/dbraw/zinc/09/13/07/777091307.db2.gz DPDYBCFNDSARLI-HIFRSBDPSA-N 1 2 318.421 1.803 20 30 DDEDLO O=C(N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#Cc1ccccc1)c1ccn[nH]1 ZINC001095196600 777214111 /nfs/dbraw/zinc/21/41/11/777214111.db2.gz PKNHXJPXBWBXLQ-NJAFHUGGSA-N 1 2 320.396 1.797 20 30 DDEDLO O=C(N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#Cc1ccccc1)c1ccn[nH]1 ZINC001095196600 777214114 /nfs/dbraw/zinc/21/41/14/777214114.db2.gz PKNHXJPXBWBXLQ-NJAFHUGGSA-N 1 2 320.396 1.797 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCC1CC1)c1nccn12 ZINC001101617118 777308726 /nfs/dbraw/zinc/30/87/26/777308726.db2.gz KQXJSHHYXGNCLS-CQSZACIVSA-N 1 2 300.406 1.831 20 30 DDEDLO CN(CCNc1ccc(C#N)nn1)C(=O)c1cccc2[nH+]ccn21 ZINC001101855124 777607860 /nfs/dbraw/zinc/60/78/60/777607860.db2.gz ZXWYBWIMKVEONC-UHFFFAOYSA-N 1 2 321.344 1.180 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101913384 777672697 /nfs/dbraw/zinc/67/26/97/777672697.db2.gz HWPDAJKGYOWDOR-NWDGAFQWSA-N 1 2 320.441 1.575 20 30 DDEDLO CN(CCNc1ncc(C#N)cc1Cl)C(=O)Cc1c[nH+]c[nH]1 ZINC001101975658 777754848 /nfs/dbraw/zinc/75/48/48/777754848.db2.gz MHRLAYXEWKCLSW-UHFFFAOYSA-N 1 2 318.768 1.443 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1C ZINC001102252721 777976681 /nfs/dbraw/zinc/97/66/81/777976681.db2.gz MNEJXAYAZGOISP-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1C ZINC001102252721 777976688 /nfs/dbraw/zinc/97/66/88/777976688.db2.gz MNEJXAYAZGOISP-ZIAGYGMSSA-N 1 2 320.462 1.916 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](CCNC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001102338656 778075472 /nfs/dbraw/zinc/07/54/72/778075472.db2.gz RKALRTSSSORYEY-CQSZACIVSA-N 1 2 324.388 1.183 20 30 DDEDLO CC(C)C(=O)N(C)CC[NH+]1CCN(c2ncccc2C#N)CC1 ZINC001102414703 778124646 /nfs/dbraw/zinc/12/46/46/778124646.db2.gz CBWXOSOHSBCFTF-UHFFFAOYSA-N 1 2 315.421 1.190 20 30 DDEDLO N#Cc1cnn2c1[nH]c(C[N@@H+]1CCc3ccsc3C1)cc2=O ZINC001176767346 778248032 /nfs/dbraw/zinc/24/80/32/778248032.db2.gz GSDVYSKLDFVNTP-UHFFFAOYSA-N 1 2 311.370 1.926 20 30 DDEDLO N#Cc1cnn2c1[nH]c(C[N@H+]1CCc3ccsc3C1)cc2=O ZINC001176767346 778248035 /nfs/dbraw/zinc/24/80/35/778248035.db2.gz GSDVYSKLDFVNTP-UHFFFAOYSA-N 1 2 311.370 1.926 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOC(C)C)[C@@H]2C1 ZINC001176987516 778368933 /nfs/dbraw/zinc/36/89/33/778368933.db2.gz DYDZRKJPVLLYCS-IAGOWNOFSA-N 1 2 322.449 1.517 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2OCC[N@H+](CCOC(C)C)[C@@H]2C1 ZINC001176987516 778368940 /nfs/dbraw/zinc/36/89/40/778368940.db2.gz DYDZRKJPVLLYCS-IAGOWNOFSA-N 1 2 322.449 1.517 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102806755 778416924 /nfs/dbraw/zinc/41/69/24/778416924.db2.gz OFLCPETUMJPTDB-RHSMWYFYSA-N 1 2 318.421 1.539 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCC3)C[C@@H]21 ZINC001177060162 778420367 /nfs/dbraw/zinc/42/03/67/778420367.db2.gz BDWBRBBUVIKORA-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C)CCC3)C[C@@H]21 ZINC001177060162 778420373 /nfs/dbraw/zinc/42/03/73/778420373.db2.gz BDWBRBBUVIKORA-HOTGVXAUSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C/C=C(\C)C=C)C[C@@H]21 ZINC001177067518 778426281 /nfs/dbraw/zinc/42/62/81/778426281.db2.gz QWLKZOSYFTZGPN-NVCKSXSZSA-N 1 2 302.418 1.834 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C/C=C(\C)C=C)C[C@@H]21 ZINC001177067518 778426284 /nfs/dbraw/zinc/42/62/84/778426284.db2.gz QWLKZOSYFTZGPN-NVCKSXSZSA-N 1 2 302.418 1.834 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCC3CC3)C[C@@H]21 ZINC001177073788 778429038 /nfs/dbraw/zinc/42/90/38/778429038.db2.gz SLUFGYOEXKWYAA-IRXDYDNUSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCC3CC3)C[C@@H]21 ZINC001177073788 778429040 /nfs/dbraw/zinc/42/90/40/778429040.db2.gz SLUFGYOEXKWYAA-IRXDYDNUSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCCCNC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)OC(C)(C)C ZINC001177089461 778441858 /nfs/dbraw/zinc/44/18/58/778441858.db2.gz WOAFSZLPWHRLFI-CYBMUJFWSA-N 1 2 320.393 1.375 20 30 DDEDLO C#CCCCNC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)OC(C)(C)C ZINC001177089461 778441861 /nfs/dbraw/zinc/44/18/61/778441861.db2.gz WOAFSZLPWHRLFI-CYBMUJFWSA-N 1 2 320.393 1.375 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(CCC)CC3)C[C@@H]21 ZINC001177093599 778443991 /nfs/dbraw/zinc/44/39/91/778443991.db2.gz HGDPRCXNAAENDA-HOTGVXAUSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CCC)CC3)C[C@@H]21 ZINC001177093599 778443993 /nfs/dbraw/zinc/44/39/93/778443993.db2.gz HGDPRCXNAAENDA-HOTGVXAUSA-N 1 2 304.434 1.892 20 30 DDEDLO Cc1nc(N2CCCC[C@H](NC(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001102865452 778460778 /nfs/dbraw/zinc/46/07/78/778460778.db2.gz NIYWPVDNUIDSDV-HNNXBMFYSA-N 1 2 300.406 1.920 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCC[NH2+]Cc1noc(C(C)(C)C)n1 ZINC001177269163 778523791 /nfs/dbraw/zinc/52/37/91/778523791.db2.gz FZLSPIBDVPBANJ-GFCCVEGCSA-N 1 2 324.425 1.554 20 30 DDEDLO N#Cc1c(F)cccc1NC1(CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001110206710 778576556 /nfs/dbraw/zinc/57/65/56/778576556.db2.gz CRBVILUTJVPDSR-UHFFFAOYSA-N 1 2 313.336 1.724 20 30 DDEDLO CC[C@@H](CNC(=O)Cn1cc[nH+]c1)Nc1ncc(C#N)cc1F ZINC001103117074 778648558 /nfs/dbraw/zinc/64/85/58/778648558.db2.gz CVZHDLQOEGXAFO-LBPRGKRZSA-N 1 2 316.340 1.296 20 30 DDEDLO C[C@](CNc1ccc(C#N)cn1)(NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001103576773 778952767 /nfs/dbraw/zinc/95/27/67/778952767.db2.gz KYVGLCMDPVYIKF-QGZVFWFLSA-N 1 2 324.388 1.616 20 30 DDEDLO Cc1nsc(NC[C@H](C)CNC(=O)Cn2cc[nH+]c2)c1C#N ZINC001104001792 779235274 /nfs/dbraw/zinc/23/52/74/779235274.db2.gz CJFWINVJDNGLFO-SNVBAGLBSA-N 1 2 318.406 1.384 20 30 DDEDLO N#Cc1nc[nH]c1NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001178986132 779252801 /nfs/dbraw/zinc/25/28/01/779252801.db2.gz HTVCXFBLJARGNV-AWEZNQCLSA-N 1 2 311.345 1.121 20 30 DDEDLO N#Cc1nc[nH]c1NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001178986132 779252805 /nfs/dbraw/zinc/25/28/05/779252805.db2.gz HTVCXFBLJARGNV-AWEZNQCLSA-N 1 2 311.345 1.121 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)CCc2cn[nH]n2)C1 ZINC001111876778 779533837 /nfs/dbraw/zinc/53/38/37/779533837.db2.gz FIYDKYWPGJTJID-WFASDCNBSA-N 1 2 323.828 1.461 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)CCc2cn[nH]n2)C1 ZINC001111876778 779533842 /nfs/dbraw/zinc/53/38/42/779533842.db2.gz FIYDKYWPGJTJID-WFASDCNBSA-N 1 2 323.828 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)CCc2c[nH]nn2)C1 ZINC001111876778 779533846 /nfs/dbraw/zinc/53/38/46/779533846.db2.gz FIYDKYWPGJTJID-WFASDCNBSA-N 1 2 323.828 1.461 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)CCc2c[nH]nn2)C1 ZINC001111876778 779533848 /nfs/dbraw/zinc/53/38/48/779533848.db2.gz FIYDKYWPGJTJID-WFASDCNBSA-N 1 2 323.828 1.461 20 30 DDEDLO Cc1nc(NC[C@H](C2CC2)N(C)C(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001115514639 780204061 /nfs/dbraw/zinc/20/40/61/780204061.db2.gz LAAZXBRJENIUOV-OAHLLOKOSA-N 1 2 324.388 1.948 20 30 DDEDLO N#CCNC1(CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)CCCCC1 ZINC001115603839 780262773 /nfs/dbraw/zinc/26/27/73/780262773.db2.gz XACWCMRXFFXQHS-CQSZACIVSA-N 1 2 315.421 1.378 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)NCc2cc(C)[nH+]c(C)c2)CC1 ZINC001118052130 781008438 /nfs/dbraw/zinc/00/84/38/781008438.db2.gz BBRQCGNSRVTELE-UHFFFAOYSA-N 1 2 301.390 1.739 20 30 DDEDLO C#CCC1(C(=O)N(C)CC[NH2+]Cc2nc(C)no2)CCCCC1 ZINC001267126706 837393655 /nfs/dbraw/zinc/39/36/55/837393655.db2.gz KGMFBNLBVBTHPO-UHFFFAOYSA-N 1 2 318.421 1.900 20 30 DDEDLO C#CCOCCC(=O)NCC[N@@H+](C)Cc1cc(F)ccc1F ZINC001267194463 837510405 /nfs/dbraw/zinc/51/04/05/837510405.db2.gz QEQDITGCDUUTJS-UHFFFAOYSA-N 1 2 310.344 1.553 20 30 DDEDLO C#CCOCCC(=O)NCC[N@H+](C)Cc1cc(F)ccc1F ZINC001267194463 837510408 /nfs/dbraw/zinc/51/04/08/837510408.db2.gz QEQDITGCDUUTJS-UHFFFAOYSA-N 1 2 310.344 1.553 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1cccc2cc[nH]c21 ZINC001267219606 837554283 /nfs/dbraw/zinc/55/42/83/837554283.db2.gz UCBJOHGWENATSR-UHFFFAOYSA-N 1 2 314.389 1.132 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1cccc2cc[nH]c21 ZINC001267219606 837554291 /nfs/dbraw/zinc/55/42/91/837554291.db2.gz UCBJOHGWENATSR-UHFFFAOYSA-N 1 2 314.389 1.132 20 30 DDEDLO C=C(Cl)C[N@@H+](CCCNC(=O)[C@H](C)S(C)(=O)=O)C(C)C ZINC001267274396 837720630 /nfs/dbraw/zinc/72/06/30/837720630.db2.gz UZZVZZMDFJDMPC-LBPRGKRZSA-N 1 2 324.874 1.389 20 30 DDEDLO C=C(Cl)C[N@H+](CCCNC(=O)[C@H](C)S(C)(=O)=O)C(C)C ZINC001267274396 837720636 /nfs/dbraw/zinc/72/06/36/837720636.db2.gz UZZVZZMDFJDMPC-LBPRGKRZSA-N 1 2 324.874 1.389 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@H]1CC[N@H+](Cc2cnon2)C1 ZINC001267297624 837775401 /nfs/dbraw/zinc/77/54/01/837775401.db2.gz DRRDKMISPQMQNI-CZUORRHYSA-N 1 2 322.409 1.237 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@H]1CC[N@@H+](Cc2cnon2)C1 ZINC001267297624 837775407 /nfs/dbraw/zinc/77/54/07/837775407.db2.gz DRRDKMISPQMQNI-CZUORRHYSA-N 1 2 322.409 1.237 20 30 DDEDLO CCCc1nc(C(=O)N[C@@H]2CCC[N@H+](CC#CCOC)C2)co1 ZINC001266246000 835982576 /nfs/dbraw/zinc/98/25/76/835982576.db2.gz JDDPGRLGXMIFGU-CQSZACIVSA-N 1 2 319.405 1.471 20 30 DDEDLO CCCc1nc(C(=O)N[C@@H]2CCC[N@@H+](CC#CCOC)C2)co1 ZINC001266246000 835982584 /nfs/dbraw/zinc/98/25/84/835982584.db2.gz JDDPGRLGXMIFGU-CQSZACIVSA-N 1 2 319.405 1.471 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H](C)[C@@H](C)C(C)(C)C ZINC001266338030 836139724 /nfs/dbraw/zinc/13/97/24/836139724.db2.gz VCEKLWCABFLNQX-UONOGXRCSA-N 1 2 311.470 1.655 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H](C)[C@@H](C)C(C)(C)C ZINC001266338030 836139726 /nfs/dbraw/zinc/13/97/26/836139726.db2.gz VCEKLWCABFLNQX-UONOGXRCSA-N 1 2 311.470 1.655 20 30 DDEDLO Cc1nocc1C[N@H+](C)CCNC(=O)CC#Cc1ccccc1 ZINC001266362729 836174711 /nfs/dbraw/zinc/17/47/11/836174711.db2.gz RSBSIALTEDLHOP-UHFFFAOYSA-N 1 2 311.385 1.973 20 30 DDEDLO Cc1nocc1C[N@@H+](C)CCNC(=O)CC#Cc1ccccc1 ZINC001266362729 836174715 /nfs/dbraw/zinc/17/47/15/836174715.db2.gz RSBSIALTEDLHOP-UHFFFAOYSA-N 1 2 311.385 1.973 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC12CC[NH+](Cc1nncn1C)CC2 ZINC001266376698 836186680 /nfs/dbraw/zinc/18/66/80/836186680.db2.gz HQWJVWHVNRCKLN-ZDUSSCGKSA-N 1 2 317.437 1.498 20 30 DDEDLO CC[N@@H+](CC#Cc1ccccc1)CCNC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC001266390742 836197090 /nfs/dbraw/zinc/19/70/90/836197090.db2.gz JXCGOQSYMCVKTF-HZPDHXFCSA-N 1 2 315.373 1.141 20 30 DDEDLO CC[N@H+](CC#Cc1ccccc1)CCNC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC001266390742 836197092 /nfs/dbraw/zinc/19/70/92/836197092.db2.gz JXCGOQSYMCVKTF-HZPDHXFCSA-N 1 2 315.373 1.141 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@H](CNC(=O)C#CC(C)C)C2)s1 ZINC001266749714 836776032 /nfs/dbraw/zinc/77/60/32/836776032.db2.gz LOBNCQCEKRYFPV-CQSZACIVSA-N 1 2 320.462 1.834 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@H](CNC(=O)C#CC(C)C)C2)s1 ZINC001266749714 836776038 /nfs/dbraw/zinc/77/60/38/836776038.db2.gz LOBNCQCEKRYFPV-CQSZACIVSA-N 1 2 320.462 1.834 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC1CC1 ZINC001266842401 836916191 /nfs/dbraw/zinc/91/61/91/836916191.db2.gz NNMGNWBRVRGGFK-INIZCTEOSA-N 1 2 319.449 1.143 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC1CC1 ZINC001266842401 836916201 /nfs/dbraw/zinc/91/62/01/836916201.db2.gz NNMGNWBRVRGGFK-INIZCTEOSA-N 1 2 319.449 1.143 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CCCC[N@H+]2Cc2cnnn2C)C1 ZINC001266855496 836942622 /nfs/dbraw/zinc/94/26/22/836942622.db2.gz DCKDEUPVLFSMQF-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CCCC[N@@H+]2Cc2cnnn2C)C1 ZINC001266855496 836942629 /nfs/dbraw/zinc/94/26/29/836942629.db2.gz DCKDEUPVLFSMQF-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C#CCCCC(=O)NCC[C@H]1CC[N@H+](Cc2nncs2)C1 ZINC001267524131 838247475 /nfs/dbraw/zinc/24/74/75/838247475.db2.gz PJUUNVNZJLUGAJ-ZDUSSCGKSA-N 1 2 306.435 1.670 20 30 DDEDLO C#CCCCC(=O)NCC[C@H]1CC[N@@H+](Cc2nncs2)C1 ZINC001267524131 838247478 /nfs/dbraw/zinc/24/74/78/838247478.db2.gz PJUUNVNZJLUGAJ-ZDUSSCGKSA-N 1 2 306.435 1.670 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)c2ccc(C)n2C)CC1 ZINC001267574193 838340720 /nfs/dbraw/zinc/34/07/20/838340720.db2.gz XSGGVUVKBAJTJB-UHFFFAOYSA-N 1 2 316.449 1.046 20 30 DDEDLO CC[C@@H](CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1)C(C)C ZINC001267633935 838541996 /nfs/dbraw/zinc/54/19/96/838541996.db2.gz VVCWKIALLIPPBB-GJZGRUSLSA-N 1 2 322.453 1.279 20 30 DDEDLO CC[C@@H](CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1)C(C)C ZINC001267633935 838542000 /nfs/dbraw/zinc/54/20/00/838542000.db2.gz VVCWKIALLIPPBB-GJZGRUSLSA-N 1 2 322.453 1.279 20 30 DDEDLO C#CCCOc1ccc(C(=O)NC2C[NH+]([C@@H](C)COC)C2)cc1 ZINC001267645321 838566810 /nfs/dbraw/zinc/56/68/10/838566810.db2.gz SZPURHDHFCGAGR-AWEZNQCLSA-N 1 2 316.401 1.538 20 30 DDEDLO C=CC[C@@H](C(=O)NCC1C[NH+]([C@H](C)C(N)=O)C1)c1ccccc1 ZINC001267699560 838671924 /nfs/dbraw/zinc/67/19/24/838671924.db2.gz XBHDKZLSGNTCIN-CZUORRHYSA-N 1 2 315.417 1.268 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COCC1CCCC1 ZINC001267709774 838695675 /nfs/dbraw/zinc/69/56/75/838695675.db2.gz WDXKTPSYKBXGKE-IRXDYDNUSA-N 1 2 320.433 1.128 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COCC1CCCC1 ZINC001267709774 838695685 /nfs/dbraw/zinc/69/56/85/838695685.db2.gz WDXKTPSYKBXGKE-IRXDYDNUSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1cc(C)c(C)cn1 ZINC001268242738 839883328 /nfs/dbraw/zinc/88/33/28/839883328.db2.gz CGZOWZMWTPSWTN-UHFFFAOYSA-N 1 2 318.421 1.004 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1cc(C)c(C)cn1 ZINC001268242738 839883333 /nfs/dbraw/zinc/88/33/33/839883333.db2.gz CGZOWZMWTPSWTN-UHFFFAOYSA-N 1 2 318.421 1.004 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cc(C(C)C)nn2CC)C1 ZINC001268332620 840011126 /nfs/dbraw/zinc/01/11/26/840011126.db2.gz RGCXKPMRFUDNPA-UHFFFAOYSA-N 1 2 320.437 1.643 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](C)C[N@H+](C)Cc1csc(C)n1 ZINC001268729229 840721724 /nfs/dbraw/zinc/72/17/24/840721724.db2.gz MUCJKTOVTAKPTQ-NHYWBVRUSA-N 1 2 311.451 1.715 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](C)C[N@@H+](C)Cc1csc(C)n1 ZINC001268729229 840721729 /nfs/dbraw/zinc/72/17/29/840721729.db2.gz MUCJKTOVTAKPTQ-NHYWBVRUSA-N 1 2 311.451 1.715 20 30 DDEDLO CCC[C@H](C)C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001268971999 841070756 /nfs/dbraw/zinc/07/07/56/841070756.db2.gz VPOZBZVIXWXTTI-AWEZNQCLSA-N 1 2 314.433 1.977 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@@H+]([C@@H](C)C(N)=O)C2)CCCCC1 ZINC001269091996 841195505 /nfs/dbraw/zinc/19/55/05/841195505.db2.gz HDLBRYGZDQZEOO-KBPBESRZSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CC[N@H+]([C@@H](C)C(N)=O)C2)CCCCC1 ZINC001269091996 841195507 /nfs/dbraw/zinc/19/55/07/841195507.db2.gz HDLBRYGZDQZEOO-KBPBESRZSA-N 1 2 307.438 1.577 20 30 DDEDLO C=C(C)CN1CC[C@@]2(CCN(C(=O)CCc3[nH]cc[nH+]3)C2)C1=O ZINC001269175759 841297171 /nfs/dbraw/zinc/29/71/71/841297171.db2.gz PBOKETPXMBZXKR-KRWDZBQOSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H]1CC[N@@H+]1[C@@H]1CCCNC1=O ZINC001269241077 841407829 /nfs/dbraw/zinc/40/78/29/841407829.db2.gz QECZSUNXAYIBTM-LSDHHAIUSA-N 1 2 305.422 1.224 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H]1CC[N@H+]1[C@@H]1CCCNC1=O ZINC001269241077 841407836 /nfs/dbraw/zinc/40/78/36/841407836.db2.gz QECZSUNXAYIBTM-LSDHHAIUSA-N 1 2 305.422 1.224 20 30 DDEDLO CCO[C@@H](CC(=O)NC[C@@H]1CC[N@@H+]1CC#CCOC)C(C)C ZINC001269249094 841420340 /nfs/dbraw/zinc/42/03/40/841420340.db2.gz PXSFORMJRWVRSG-HOTGVXAUSA-N 1 2 310.438 1.278 20 30 DDEDLO CCO[C@@H](CC(=O)NC[C@@H]1CC[N@H+]1CC#CCOC)C(C)C ZINC001269249094 841420345 /nfs/dbraw/zinc/42/03/45/841420345.db2.gz PXSFORMJRWVRSG-HOTGVXAUSA-N 1 2 310.438 1.278 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CC[N@@H+]1CCNC(=O)C1CC1 ZINC001269288080 841468086 /nfs/dbraw/zinc/46/80/86/841468086.db2.gz ZEZZQMVFIBMONC-WMLDXEAASA-N 1 2 307.438 1.305 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CC[N@H+]1CCNC(=O)C1CC1 ZINC001269288080 841468092 /nfs/dbraw/zinc/46/80/92/841468092.db2.gz ZEZZQMVFIBMONC-WMLDXEAASA-N 1 2 307.438 1.305 20 30 DDEDLO CCCCCCC(=O)N(C)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269292542 841478511 /nfs/dbraw/zinc/47/85/11/841478511.db2.gz NWKLQXWWKPSUPY-CQSZACIVSA-N 1 2 308.426 1.129 20 30 DDEDLO CCCCCCC(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269292542 841478518 /nfs/dbraw/zinc/47/85/18/841478518.db2.gz NWKLQXWWKPSUPY-CQSZACIVSA-N 1 2 308.426 1.129 20 30 DDEDLO C=CCC1(C(=O)N(C)[C@@H]2CC[N@H+](CC(N)=O)C2)CCCCC1 ZINC001269295949 841484879 /nfs/dbraw/zinc/48/48/79/841484879.db2.gz JASILWBEVLXQME-CQSZACIVSA-N 1 2 307.438 1.531 20 30 DDEDLO C=CCC1(C(=O)N(C)[C@@H]2CC[N@@H+](CC(N)=O)C2)CCCCC1 ZINC001269295949 841484887 /nfs/dbraw/zinc/48/48/87/841484887.db2.gz JASILWBEVLXQME-CQSZACIVSA-N 1 2 307.438 1.531 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2CCOCC(F)F)nc1 ZINC001269331680 841527527 /nfs/dbraw/zinc/52/75/27/841527527.db2.gz MBEDBDLFBQBMSJ-CYBMUJFWSA-N 1 2 323.343 1.149 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2CCOCC(F)F)nc1 ZINC001269331680 841527532 /nfs/dbraw/zinc/52/75/32/841527532.db2.gz MBEDBDLFBQBMSJ-CYBMUJFWSA-N 1 2 323.343 1.149 20 30 DDEDLO C=CCC[C@@H](C)[N@@H+]1CCO[C@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001270682018 842815483 /nfs/dbraw/zinc/81/54/83/842815483.db2.gz HSJMUGPTMJKAAP-VXGBXAGGSA-N 1 2 310.373 1.334 20 30 DDEDLO C=CCC[C@@H](C)[N@H+]1CCO[C@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001270682018 842815487 /nfs/dbraw/zinc/81/54/87/842815487.db2.gz HSJMUGPTMJKAAP-VXGBXAGGSA-N 1 2 310.373 1.334 20 30 DDEDLO C[C@@H](NC(=O)C#CC(C)(C)C)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280292855 842907222 /nfs/dbraw/zinc/90/72/22/842907222.db2.gz HHMBIUIRRFGJOI-QWHCGFSZSA-N 1 2 318.421 1.401 20 30 DDEDLO C[C@@H](NC(=O)C#CC(C)(C)C)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280292855 842907225 /nfs/dbraw/zinc/90/72/25/842907225.db2.gz HHMBIUIRRFGJOI-QWHCGFSZSA-N 1 2 318.421 1.401 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[N@H+]([C@@H](C)C(N)=O)CCC1(F)F ZINC001271099430 843213841 /nfs/dbraw/zinc/21/38/41/843213841.db2.gz COPXFUYSXRREKO-QWRGUYRKSA-N 1 2 317.380 1.146 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[N@@H+]([C@@H](C)C(N)=O)CCC1(F)F ZINC001271099430 843213852 /nfs/dbraw/zinc/21/38/52/843213852.db2.gz COPXFUYSXRREKO-QWRGUYRKSA-N 1 2 317.380 1.146 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)[C@H](C)Cc2ccc(C)cc2)C1 ZINC001271394199 843553713 /nfs/dbraw/zinc/55/37/13/843553713.db2.gz WRBUDDJKGFTMOR-MRXNPFEDSA-N 1 2 316.445 1.913 20 30 DDEDLO C[C@H]1CCCN(C(=O)CCc2[nH+]ccn2C)[C@@H]1CNCC#N ZINC001271728908 843816758 /nfs/dbraw/zinc/81/67/58/843816758.db2.gz VMDCVZITZRIYJM-UONOGXRCSA-N 1 2 303.410 1.093 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@H+](Cc3cnn[nH]3)CC2)C1=O ZINC001272570993 846325987 /nfs/dbraw/zinc/32/59/87/846325987.db2.gz WOLXFEDYSHYBRL-MRXNPFEDSA-N 1 2 303.410 1.585 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@@H+](Cc3cnn[nH]3)CC2)C1=O ZINC001272570993 846325992 /nfs/dbraw/zinc/32/59/92/846325992.db2.gz WOLXFEDYSHYBRL-MRXNPFEDSA-N 1 2 303.410 1.585 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@H+](Cc3c[nH]nn3)CC2)C1=O ZINC001272570993 846325999 /nfs/dbraw/zinc/32/59/99/846325999.db2.gz WOLXFEDYSHYBRL-MRXNPFEDSA-N 1 2 303.410 1.585 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@@H+](Cc3c[nH]nn3)CC2)C1=O ZINC001272570993 846326009 /nfs/dbraw/zinc/32/60/09/846326009.db2.gz WOLXFEDYSHYBRL-MRXNPFEDSA-N 1 2 303.410 1.585 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@H+](Cc3cn[nH]n3)CC2)C1=O ZINC001272570993 846326013 /nfs/dbraw/zinc/32/60/13/846326013.db2.gz WOLXFEDYSHYBRL-MRXNPFEDSA-N 1 2 303.410 1.585 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@@H+](Cc3cn[nH]n3)CC2)C1=O ZINC001272570993 846326020 /nfs/dbraw/zinc/32/60/20/846326020.db2.gz WOLXFEDYSHYBRL-MRXNPFEDSA-N 1 2 303.410 1.585 20 30 DDEDLO N#CCCCN1CC[C@@]2(CCC[N@H+](Cc3cnn[nH]3)CC2)C1=O ZINC001272571099 846327108 /nfs/dbraw/zinc/32/71/08/846327108.db2.gz YHKYTMOVURPFCA-INIZCTEOSA-N 1 2 316.409 1.313 20 30 DDEDLO N#CCCCN1CC[C@@]2(CCC[N@@H+](Cc3cnn[nH]3)CC2)C1=O ZINC001272571099 846327115 /nfs/dbraw/zinc/32/71/15/846327115.db2.gz YHKYTMOVURPFCA-INIZCTEOSA-N 1 2 316.409 1.313 20 30 DDEDLO N#CCCCN1CC[C@@]2(CCC[N@H+](Cc3c[nH]nn3)CC2)C1=O ZINC001272571099 846327124 /nfs/dbraw/zinc/32/71/24/846327124.db2.gz YHKYTMOVURPFCA-INIZCTEOSA-N 1 2 316.409 1.313 20 30 DDEDLO N#CCCCN1CC[C@@]2(CCC[N@@H+](Cc3c[nH]nn3)CC2)C1=O ZINC001272571099 846327130 /nfs/dbraw/zinc/32/71/30/846327130.db2.gz YHKYTMOVURPFCA-INIZCTEOSA-N 1 2 316.409 1.313 20 30 DDEDLO N#CCCCN1CC[C@@]2(CCC[N@H+](Cc3cn[nH]n3)CC2)C1=O ZINC001272571099 846327139 /nfs/dbraw/zinc/32/71/39/846327139.db2.gz YHKYTMOVURPFCA-INIZCTEOSA-N 1 2 316.409 1.313 20 30 DDEDLO N#CCCCN1CC[C@@]2(CCC[N@@H+](Cc3cn[nH]n3)CC2)C1=O ZINC001272571099 846327147 /nfs/dbraw/zinc/32/71/47/846327147.db2.gz YHKYTMOVURPFCA-INIZCTEOSA-N 1 2 316.409 1.313 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CC[C@@H](C)OC)C1 ZINC001149574432 861719210 /nfs/dbraw/zinc/71/92/10/861719210.db2.gz YAYHUKWZVNBOHS-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CC[C@@H](C)OC)C1 ZINC001149574432 861719231 /nfs/dbraw/zinc/71/92/31/861719231.db2.gz YAYHUKWZVNBOHS-ZIAGYGMSSA-N 1 2 318.845 1.761 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)OCCCC)C1 ZINC001107791434 847086573 /nfs/dbraw/zinc/08/65/73/847086573.db2.gz BNDNMSKOCGLTLL-WBVHZDCISA-N 1 2 310.438 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)OCCCC)C1 ZINC001107791434 847086580 /nfs/dbraw/zinc/08/65/80/847086580.db2.gz BNDNMSKOCGLTLL-WBVHZDCISA-N 1 2 310.438 1.422 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)OCCCC)C1 ZINC001107791432 847087035 /nfs/dbraw/zinc/08/70/35/847087035.db2.gz BNDNMSKOCGLTLL-NVXWUHKLSA-N 1 2 310.438 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)OCCCC)C1 ZINC001107791432 847087039 /nfs/dbraw/zinc/08/70/39/847087039.db2.gz BNDNMSKOCGLTLL-NVXWUHKLSA-N 1 2 310.438 1.422 20 30 DDEDLO C=C(C)CN1C[C@@]2(CCN(Cc3c[nH+]c(C)n3C)C2)OCC1=O ZINC001272771040 847403061 /nfs/dbraw/zinc/40/30/61/847403061.db2.gz CDWMOQJYLOOYIR-KRWDZBQOSA-N 1 2 318.421 1.108 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1COCC[N@@H+]1CC[C@H]1CCCCO1 ZINC001272876638 847579371 /nfs/dbraw/zinc/57/93/71/847579371.db2.gz IZCYYIAAGAYCJP-HZPDHXFCSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1COCC[N@H+]1CC[C@H]1CCCCO1 ZINC001272876638 847579378 /nfs/dbraw/zinc/57/93/78/847579378.db2.gz IZCYYIAAGAYCJP-HZPDHXFCSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1COCC[N@H+]1C[C@@H](CC)OC ZINC001272893295 847600135 /nfs/dbraw/zinc/60/01/35/847600135.db2.gz KMTJCEQDWAJCPS-HUUCEWRRSA-N 1 2 312.454 1.831 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1COCC[N@@H+]1C[C@@H](CC)OC ZINC001272893295 847600142 /nfs/dbraw/zinc/60/01/42/847600142.db2.gz KMTJCEQDWAJCPS-HUUCEWRRSA-N 1 2 312.454 1.831 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cccc(Cl)n2)C1=O ZINC001272963614 847713019 /nfs/dbraw/zinc/71/30/19/847713019.db2.gz XQGRBROWOVIMKI-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cccc(Cl)n2)C1=O ZINC001272963614 847713025 /nfs/dbraw/zinc/71/30/25/847713025.db2.gz XQGRBROWOVIMKI-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C=CCOCCN1CC[NH+]([C@@H]2CCN(C(=O)/C(C)=C\C)C2)CC1 ZINC001327082292 861863150 /nfs/dbraw/zinc/86/31/50/861863150.db2.gz LSCAYCIGOKEDOY-HFLUPCSWSA-N 1 2 321.465 1.374 20 30 DDEDLO C=CCOCCN1CC[NH+]([C@H]2CCN(C(=O)/C(C)=C/C)C2)CC1 ZINC001327082291 861862708 /nfs/dbraw/zinc/86/27/08/861862708.db2.gz LSCAYCIGOKEDOY-DNIVAWAASA-N 1 2 321.465 1.374 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CCCCN(CC#N)C3)ccn12 ZINC001034206957 848113602 /nfs/dbraw/zinc/11/36/02/848113602.db2.gz NHBQUWOZWOQQAE-OAHLLOKOSA-N 1 2 311.389 1.751 20 30 DDEDLO CC#CCCCC(=O)N(C)CCC[N@H+](C)[C@@H](C)c1nnnn1C ZINC001327245557 861992847 /nfs/dbraw/zinc/99/28/47/861992847.db2.gz LYTACTWWDKSJGN-AWEZNQCLSA-N 1 2 320.441 1.245 20 30 DDEDLO CC#CCCCC(=O)N(C)CCC[N@@H+](C)[C@@H](C)c1nnnn1C ZINC001327245557 861992854 /nfs/dbraw/zinc/99/28/54/861992854.db2.gz LYTACTWWDKSJGN-AWEZNQCLSA-N 1 2 320.441 1.245 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)CCOCC(C)C)O2 ZINC001273646035 851179031 /nfs/dbraw/zinc/17/90/31/851179031.db2.gz MBCNSJZJWPDICZ-INIZCTEOSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)C[C@H](C)n1cccn1)O2 ZINC001273668275 851203188 /nfs/dbraw/zinc/20/31/88/851203188.db2.gz BEUGZTDPWBJEMK-LSDHHAIUSA-N 1 2 318.421 1.370 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2[C@@H](C)C(=O)OC(C)(C)C ZINC001273707955 851251375 /nfs/dbraw/zinc/25/13/75/851251375.db2.gz VOASRJIGFSJRAT-KBXCAEBGSA-N 1 2 320.433 1.807 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@@H+]2[C@@H](C)C(=O)OC(C)(C)C ZINC001273707955 851251385 /nfs/dbraw/zinc/25/13/85/851251385.db2.gz VOASRJIGFSJRAT-KBXCAEBGSA-N 1 2 320.433 1.807 20 30 DDEDLO C#CCC[N@H+]1Cc2ccc(CNC(=O)CCc3cnn[nH]3)cc2C1 ZINC001274036277 851875115 /nfs/dbraw/zinc/87/51/15/851875115.db2.gz MOCRBYBCGKMFGL-UHFFFAOYSA-N 1 2 323.400 1.393 20 30 DDEDLO C#CCC[N@@H+]1Cc2ccc(CNC(=O)CCc3cnn[nH]3)cc2C1 ZINC001274036277 851875119 /nfs/dbraw/zinc/87/51/19/851875119.db2.gz MOCRBYBCGKMFGL-UHFFFAOYSA-N 1 2 323.400 1.393 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1c(F)ccc(O)c1F ZINC001274167457 851995288 /nfs/dbraw/zinc/99/52/88/851995288.db2.gz DMGAZGSZBWRART-ZIAGYGMSSA-N 1 2 320.339 1.869 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1c(F)ccc(O)c1F ZINC001274167457 851995295 /nfs/dbraw/zinc/99/52/95/851995295.db2.gz DMGAZGSZBWRART-ZIAGYGMSSA-N 1 2 320.339 1.869 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1C[C@]2(F)CN([C@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274619931 852453077 /nfs/dbraw/zinc/45/30/77/852453077.db2.gz FOKUWVRSOCULRD-BFJAYTPKSA-N 1 2 316.392 1.686 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1C[C@]2(F)CN([C@H](C)CC)C(=O)[C@]2(F)C1 ZINC001274619931 852453078 /nfs/dbraw/zinc/45/30/78/852453078.db2.gz FOKUWVRSOCULRD-BFJAYTPKSA-N 1 2 316.392 1.686 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(CC)no2)[C@@H](C)C1 ZINC001274623920 852458218 /nfs/dbraw/zinc/45/82/18/852458218.db2.gz NZQLKUTVEQOVMZ-KBPBESRZSA-N 1 2 318.421 1.905 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nc(CC)no2)[C@@H](C)C1 ZINC001274623920 852458221 /nfs/dbraw/zinc/45/82/21/852458221.db2.gz NZQLKUTVEQOVMZ-KBPBESRZSA-N 1 2 318.421 1.905 20 30 DDEDLO CC(=O)[C@H](C)N1CC[C@@]2(CC[N@H+](Cc3[nH]ccc3C#N)C2)C1=O ZINC001274855863 852643991 /nfs/dbraw/zinc/64/39/91/852643991.db2.gz SZFRUQMITMCPKW-YVEFUNNKSA-N 1 2 314.389 1.288 20 30 DDEDLO CC(=O)[C@H](C)N1CC[C@@]2(CC[N@@H+](Cc3[nH]ccc3C#N)C2)C1=O ZINC001274855863 852643995 /nfs/dbraw/zinc/64/39/95/852643995.db2.gz SZFRUQMITMCPKW-YVEFUNNKSA-N 1 2 314.389 1.288 20 30 DDEDLO C[C@@H](C[NH2+]Cc1nc(C2CCC2)no1)NC(=O)C#CC(C)(C)C ZINC001275150077 852840267 /nfs/dbraw/zinc/84/02/67/852840267.db2.gz WBRWXYQRHQQYQG-LBPRGKRZSA-N 1 2 318.421 1.981 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)c1F ZINC001275662828 853517849 /nfs/dbraw/zinc/51/78/49/853517849.db2.gz BWJLYIFUIPBOGI-UHFFFAOYSA-N 1 2 324.359 1.983 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@@H]2CCO[C@@H](CC)C2)C1 ZINC001276094373 854755470 /nfs/dbraw/zinc/75/54/70/854755470.db2.gz GPKGYCWRNJGRNA-CABCVRRESA-N 1 2 310.438 1.321 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@H](C=C)c2ccccc2)C1 ZINC001276107423 854768499 /nfs/dbraw/zinc/76/84/99/854768499.db2.gz GZZUPAUPACSNHE-QGZVFWFLSA-N 1 2 312.413 1.533 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc3c(c2)O[C@@H](C)C(=O)N3)CC1 ZINC001151200312 862676701 /nfs/dbraw/zinc/67/67/01/862676701.db2.gz KYRFGGGIGZEKRV-LBPRGKRZSA-N 1 2 315.373 1.350 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]2C1 ZINC001111298044 855458143 /nfs/dbraw/zinc/45/81/43/855458143.db2.gz GVKQWQLYNXSHRK-YUELXQCFSA-N 1 2 316.405 1.271 20 30 DDEDLO C[C@H]([NH2+][C@@H](C)c1nc(N)nc(N(C)C)n1)c1cccc(C#N)c1 ZINC001413057759 856261580 /nfs/dbraw/zinc/26/15/80/856261580.db2.gz CPCHDEIVKNIHPV-QWRGUYRKSA-N 1 2 311.393 1.803 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)C1C[NH+](Cc2cncc(F)c2)C1 ZINC001276377136 856302497 /nfs/dbraw/zinc/30/24/97/856302497.db2.gz SLRGBRXCDRMOAV-ZDUSSCGKSA-N 1 2 303.381 1.817 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2C)C[C@H]1C ZINC001328239195 862800761 /nfs/dbraw/zinc/80/07/61/862800761.db2.gz FUFHBWFRMYIUMV-DOMZBBRYSA-N 1 2 318.421 1.259 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2C)C[C@H]1C ZINC001328239195 862800781 /nfs/dbraw/zinc/80/07/81/862800781.db2.gz FUFHBWFRMYIUMV-DOMZBBRYSA-N 1 2 318.421 1.259 20 30 DDEDLO Cc1nc(C[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)cs1 ZINC001072544421 857426337 /nfs/dbraw/zinc/42/63/37/857426337.db2.gz MAFQWGKCAOIUIK-LLVKDONJSA-N 1 2 304.419 1.646 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)cs1 ZINC001072544421 857426345 /nfs/dbraw/zinc/42/63/45/857426345.db2.gz MAFQWGKCAOIUIK-LLVKDONJSA-N 1 2 304.419 1.646 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072562717 857452563 /nfs/dbraw/zinc/45/25/63/857452563.db2.gz CGVDYLWGVRDWHI-CABCVRRESA-N 1 2 316.405 1.040 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072801069 857720135 /nfs/dbraw/zinc/72/01/35/857720135.db2.gz LBYYWCGQYUNRNC-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H]2CCCO2)C1 ZINC001073522841 858409961 /nfs/dbraw/zinc/40/99/61/858409961.db2.gz MEZBJOYVPJEJCJ-STQMWFEESA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H]2CCCO2)C1 ZINC001073522841 858409964 /nfs/dbraw/zinc/40/99/64/858409964.db2.gz MEZBJOYVPJEJCJ-STQMWFEESA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H]2CC2(F)F)C1 ZINC001073598297 858471383 /nfs/dbraw/zinc/47/13/83/858471383.db2.gz VSERGXJDFIZHKB-QWRGUYRKSA-N 1 2 308.756 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H]2CC2(F)F)C1 ZINC001073598297 858471385 /nfs/dbraw/zinc/47/13/85/858471385.db2.gz VSERGXJDFIZHKB-QWRGUYRKSA-N 1 2 308.756 1.601 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H](CO)Nc1cc[nH+]c(C)n1 ZINC001122310139 858746119 /nfs/dbraw/zinc/74/61/19/858746119.db2.gz IQOKLNONPRZKIQ-AWEZNQCLSA-N 1 2 304.394 1.258 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)[C@H]1C ZINC001123066197 859077338 /nfs/dbraw/zinc/07/73/38/859077338.db2.gz AYDULUSCDTZRNF-TZMCWYRMSA-N 1 2 302.378 1.226 20 30 DDEDLO Cc1cc(NC[C@H](O)CN(C)C(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001124192404 859622487 /nfs/dbraw/zinc/62/24/87/859622487.db2.gz WHIMOTDNMDRUDY-GWCFXTLKSA-N 1 2 317.393 1.053 20 30 DDEDLO COc1ccc(CN2CC([N@@H+]3CCOC(C)(C)C3)C2)c(C#N)c1 ZINC001137704435 859965004 /nfs/dbraw/zinc/96/50/04/859965004.db2.gz FMQWKGWJBLLINL-UHFFFAOYSA-N 1 2 315.417 1.862 20 30 DDEDLO COc1ccc(CN2CC([N@H+]3CCOC(C)(C)C3)C2)c(C#N)c1 ZINC001137704435 859965014 /nfs/dbraw/zinc/96/50/14/859965014.db2.gz FMQWKGWJBLLINL-UHFFFAOYSA-N 1 2 315.417 1.862 20 30 DDEDLO CS(=O)(=O)[C@H]1CC[N@H+](Cc2c[nH]c3c2cccc3C#N)C1 ZINC001139703663 860458823 /nfs/dbraw/zinc/45/88/23/860458823.db2.gz RPNKNVIQTZMDIR-ZDUSSCGKSA-N 1 2 303.387 1.658 20 30 DDEDLO CS(=O)(=O)[C@H]1CC[N@@H+](Cc2c[nH]c3c2cccc3C#N)C1 ZINC001139703663 860458826 /nfs/dbraw/zinc/45/88/26/860458826.db2.gz RPNKNVIQTZMDIR-ZDUSSCGKSA-N 1 2 303.387 1.658 20 30 DDEDLO COC(=O)[C@@H]1COCCC12C[NH+](Cc1cc(F)cc(C#N)c1)C2 ZINC001139875774 860505056 /nfs/dbraw/zinc/50/50/56/860505056.db2.gz FBFDNOKDUQTKPV-HNNXBMFYSA-N 1 2 318.348 1.709 20 30 DDEDLO CS(=O)(=O)[C@H]1CC[N@H+](Cc2c[nH]c3ccc(C#N)cc23)C1 ZINC001140114955 860576022 /nfs/dbraw/zinc/57/60/22/860576022.db2.gz HJBABNYAMWZLRH-ZDUSSCGKSA-N 1 2 303.387 1.658 20 30 DDEDLO CS(=O)(=O)[C@H]1CC[N@@H+](Cc2c[nH]c3ccc(C#N)cc23)C1 ZINC001140114955 860576034 /nfs/dbraw/zinc/57/60/34/860576034.db2.gz HJBABNYAMWZLRH-ZDUSSCGKSA-N 1 2 303.387 1.658 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1ccon1 ZINC001325896834 860931150 /nfs/dbraw/zinc/93/11/50/860931150.db2.gz DVMFZZOCHMQTEE-VYDXJSESSA-N 1 2 319.405 1.567 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1ccon1 ZINC001325896834 860931166 /nfs/dbraw/zinc/93/11/66/860931166.db2.gz DVMFZZOCHMQTEE-VYDXJSESSA-N 1 2 319.405 1.567 20 30 DDEDLO C=C(C)CC[NH2+]CCN(CCO)C(=O)C(F)C(F)(F)F ZINC001326033334 861055460 /nfs/dbraw/zinc/05/54/60/861055460.db2.gz QOSYWVRLBBSLCJ-SNVBAGLBSA-N 1 2 300.296 1.264 20 30 DDEDLO C=C(C)CC[NH2+]CCN(CCO)C(=O)[C@@H](F)C(F)(F)F ZINC001326033334 861055479 /nfs/dbraw/zinc/05/54/79/861055479.db2.gz QOSYWVRLBBSLCJ-SNVBAGLBSA-N 1 2 300.296 1.264 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC001328873425 863300857 /nfs/dbraw/zinc/30/08/57/863300857.db2.gz IQNZDTDRBDPDFV-UHFFFAOYSA-N 1 2 314.389 1.336 20 30 DDEDLO CSc1nc(Cl)c(C#N)c(NCc2cc[nH+]c(N)c2)n1 ZINC001156756026 863322580 /nfs/dbraw/zinc/32/25/80/863322580.db2.gz OMEKZPQIWFHKPS-UHFFFAOYSA-N 1 2 306.782 1.735 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nccc(C)n2)CCCCC1 ZINC001153009034 863649224 /nfs/dbraw/zinc/64/92/24/863649224.db2.gz DFOSRUFPYVGAOB-UHFFFAOYSA-N 1 2 314.433 1.965 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC[NH2+]Cc1noc(CC(C)C)n1 ZINC001157202274 863650574 /nfs/dbraw/zinc/65/05/74/863650574.db2.gz QUYDPDUQVSUKMQ-MRXNPFEDSA-N 1 2 324.425 1.191 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2ccc(-n3cncn3)nc2)nn1 ZINC001329541468 863720713 /nfs/dbraw/zinc/72/07/13/863720713.db2.gz CBTGMUCKSXCSKE-UHFFFAOYSA-N 1 2 310.365 1.120 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC001153158771 863739702 /nfs/dbraw/zinc/73/97/02/863739702.db2.gz FUFANCKFIPULDA-LBPRGKRZSA-N 1 2 309.410 1.769 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccs1 ZINC001153302868 863812711 /nfs/dbraw/zinc/81/27/11/863812711.db2.gz VDSUMCBDBLOZCL-CYBMUJFWSA-N 1 2 309.435 1.510 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccs1 ZINC001153302868 863812714 /nfs/dbraw/zinc/81/27/14/863812714.db2.gz VDSUMCBDBLOZCL-CYBMUJFWSA-N 1 2 309.435 1.510 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1 ZINC001153478536 863908453 /nfs/dbraw/zinc/90/84/53/863908453.db2.gz ZQHSBSKSSDFLKI-DOMZBBRYSA-N 1 2 318.425 1.140 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1 ZINC001153478536 863908465 /nfs/dbraw/zinc/90/84/65/863908465.db2.gz ZQHSBSKSSDFLKI-DOMZBBRYSA-N 1 2 318.425 1.140 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@H](C)C(CC)CC)C1 ZINC001330020218 864024144 /nfs/dbraw/zinc/02/41/44/864024144.db2.gz HGEWTDKYDPQTOJ-KGLIPLIRSA-N 1 2 321.465 1.245 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ccn(C)n1 ZINC001157705815 864063915 /nfs/dbraw/zinc/06/39/15/864063915.db2.gz KONANTRKYBXOGE-MRXNPFEDSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ccn(C)n1 ZINC001157705815 864063933 /nfs/dbraw/zinc/06/39/33/864063933.db2.gz KONANTRKYBXOGE-MRXNPFEDSA-N 1 2 320.437 1.436 20 30 DDEDLO Cc1cnc(N2CC[C@@H]([NH+]3CCCC3)C(F)(F)C2)c(C#N)n1 ZINC001158621713 864764861 /nfs/dbraw/zinc/76/48/61/864764861.db2.gz IBVARMIGQTVYJD-CYBMUJFWSA-N 1 2 307.348 1.967 20 30 DDEDLO C#CCOCCOCCNc1[nH+]cc(C)cc1C(OC)OC ZINC001160670967 866009957 /nfs/dbraw/zinc/00/99/57/866009957.db2.gz BPZIEVQYRUHMPH-UHFFFAOYSA-N 1 2 308.378 1.760 20 30 DDEDLO CCCCS(=O)(=O)Cc1noc([C@H]([NH3+])CCCCC#N)n1 ZINC001332944408 866279960 /nfs/dbraw/zinc/27/99/60/866279960.db2.gz FNXGMZKOAYMNCK-LLVKDONJSA-N 1 2 314.411 1.868 20 30 DDEDLO CCOC(=O)C[NH+]1CCC(Nc2ccc(C#N)c(C)n2)CC1 ZINC001161085514 866363507 /nfs/dbraw/zinc/36/35/07/866363507.db2.gz ADSQEJRUTMIHBL-UHFFFAOYSA-N 1 2 302.378 1.701 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)C1CC(C)C1 ZINC001323209099 866431694 /nfs/dbraw/zinc/43/16/94/866431694.db2.gz FLXFSSLIMBYAJN-GPANFISMSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CNC(=O)C1CC(C)C1 ZINC001323209099 866431704 /nfs/dbraw/zinc/43/17/04/866431704.db2.gz FLXFSSLIMBYAJN-GPANFISMSA-N 1 2 321.465 1.648 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCC(C)C ZINC001323233923 866457673 /nfs/dbraw/zinc/45/76/73/866457673.db2.gz XIPYBNJXMXMTLC-CABCVRRESA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCC(C)C ZINC001323233923 866457675 /nfs/dbraw/zinc/45/76/75/866457675.db2.gz XIPYBNJXMXMTLC-CABCVRRESA-N 1 2 307.438 1.141 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc2ccccc2c1 ZINC001323309275 866519516 /nfs/dbraw/zinc/51/95/16/866519516.db2.gz NRPIYQHCFRDINM-QGZVFWFLSA-N 1 2 323.396 1.689 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc2ccccc2c1 ZINC001323309275 866519535 /nfs/dbraw/zinc/51/95/35/866519535.db2.gz NRPIYQHCFRDINM-QGZVFWFLSA-N 1 2 323.396 1.689 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001323333384 866537413 /nfs/dbraw/zinc/53/74/13/866537413.db2.gz BXFRBYSUALLLCT-FPCVCCKLSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001323333384 866537429 /nfs/dbraw/zinc/53/74/29/866537429.db2.gz BXFRBYSUALLLCT-FPCVCCKLSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1 ZINC001323673757 866762820 /nfs/dbraw/zinc/76/28/20/866762820.db2.gz SLCCVXXWJQZESA-CABCVRRESA-N 1 2 317.389 1.261 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1 ZINC001323673757 866762833 /nfs/dbraw/zinc/76/28/33/866762833.db2.gz SLCCVXXWJQZESA-CABCVRRESA-N 1 2 317.389 1.261 20 30 DDEDLO N#Cc1cc(NS(=O)(=O)c2ccc(C[NH3+])cc2)ncc1Cl ZINC001161746921 866957039 /nfs/dbraw/zinc/95/70/39/866957039.db2.gz QDLQACPNKFXKSK-UHFFFAOYSA-N 1 2 322.777 1.866 20 30 DDEDLO Cc1noc([C@@H](C)[NH+]2CCC(C)(NC(=O)C#CC3CC3)CC2)n1 ZINC001324307890 867195107 /nfs/dbraw/zinc/19/51/07/867195107.db2.gz AFCRRHWPCKZPHH-GFCCVEGCSA-N 1 2 316.405 1.823 20 30 DDEDLO C#CCN(C(=O)[C@H]1C[C@@H]1C)C1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001324365681 867229737 /nfs/dbraw/zinc/22/97/37/867229737.db2.gz XUDOHTKOTWAIQE-YOEHRIQHSA-N 1 2 314.433 1.502 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)CCCC3CC3)CC2)C1 ZINC001324561931 867358173 /nfs/dbraw/zinc/35/81/73/867358173.db2.gz ZIWJUYWZOIDBKX-MRXNPFEDSA-N 1 2 304.438 1.309 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)N(C)C(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001334188407 867367140 /nfs/dbraw/zinc/36/71/40/867367140.db2.gz DWWRUEPRALPRBG-AWEZNQCLSA-N 1 2 320.437 1.856 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)[C@@H](C)CNC(=O)Cn1cc[nH+]c1 ZINC001334370560 867521367 /nfs/dbraw/zinc/52/13/67/867521367.db2.gz SEMAJOSLJBIENO-KBPBESRZSA-N 1 2 306.410 1.449 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C/C[NH2+]Cc2nccc(C)n2)cn1 ZINC001321369242 867536323 /nfs/dbraw/zinc/53/63/23/867536323.db2.gz RAWNAUWGHXOMFC-SNAWJCMRSA-N 1 2 321.384 1.237 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccc3cc(C)cn3c2)C1 ZINC001325224917 867875244 /nfs/dbraw/zinc/87/52/44/867875244.db2.gz ODOUOMGHOSLQKP-UHFFFAOYSA-N 1 2 311.385 1.048 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CN2C(=O)N[C@@](CCC)(C3CC3)C2=O)C1 ZINC001325280198 867909122 /nfs/dbraw/zinc/90/91/22/867909122.db2.gz VUFRIYQGBXJNTQ-YOEHRIQHSA-N 1 2 321.421 1.722 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CN2C(=O)N[C@@](CCC)(C3CC3)C2=O)C1 ZINC001325280198 867909139 /nfs/dbraw/zinc/90/91/39/867909139.db2.gz VUFRIYQGBXJNTQ-YOEHRIQHSA-N 1 2 321.421 1.722 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001325308773 867932191 /nfs/dbraw/zinc/93/21/91/867932191.db2.gz QLKBFXNJZGOAKP-HNNXBMFYSA-N 1 2 300.402 1.051 20 30 DDEDLO Cc1cc(/C=N/[S@@](=O)C(C)(C)C)cc(NC[C@@H](O)CO)[nH+]1 ZINC001163353354 868387461 /nfs/dbraw/zinc/38/74/61/868387461.db2.gz UKPYNWSVFPDISY-NZYXMOTPSA-N 1 2 313.423 1.036 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(-c2ccc3[nH]c(C#N)cc3n2)cn1 ZINC001163415800 868434210 /nfs/dbraw/zinc/43/42/10/868434210.db2.gz ALRWGSRDLYBJEE-LBPRGKRZSA-N 1 2 324.344 1.053 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@H](CC(C)C)NC(C)=O ZINC001381622605 882355114 /nfs/dbraw/zinc/35/51/14/882355114.db2.gz IHOMJTAZADRNQW-JSGCOSHPSA-N 1 2 317.861 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@H](CC(C)C)NC(C)=O ZINC001381622605 882355134 /nfs/dbraw/zinc/35/51/34/882355134.db2.gz IHOMJTAZADRNQW-JSGCOSHPSA-N 1 2 317.861 1.726 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C12CCC(CC1)C2 ZINC001317047660 870162694 /nfs/dbraw/zinc/16/26/94/870162694.db2.gz BVMPUWAEMXGOPA-RJSVMHHESA-N 1 2 316.445 1.892 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C12CCC(CC1)C2 ZINC001317047660 870162698 /nfs/dbraw/zinc/16/26/98/870162698.db2.gz BVMPUWAEMXGOPA-RJSVMHHESA-N 1 2 316.445 1.892 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001297780559 870177432 /nfs/dbraw/zinc/17/74/32/870177432.db2.gz AOTIHXWFQOOECK-ZDUSSCGKSA-N 1 2 304.394 1.014 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](NC(=O)Cn1cc[nH+]c1)C(C)(C)C ZINC001338897351 870231949 /nfs/dbraw/zinc/23/19/49/870231949.db2.gz YKOXTZOCYIWTEV-CQSZACIVSA-N 1 2 320.437 1.887 20 30 DDEDLO C=CCn1c(N(C)CC(=C)C)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001339069198 870330599 /nfs/dbraw/zinc/33/05/99/870330599.db2.gz MKJNPNNPHDTMQO-BBRMVZONSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCn1c(N(C)CC(=C)C)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001339069198 870330621 /nfs/dbraw/zinc/33/06/21/870330621.db2.gz MKJNPNNPHDTMQO-BBRMVZONSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001317305441 870612065 /nfs/dbraw/zinc/61/20/65/870612065.db2.gz MOGXINSMNMTQHU-LBPRGKRZSA-N 1 2 321.425 1.428 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC1CC[NH+](Cc2nonc2C)CC1 ZINC001226457482 882440447 /nfs/dbraw/zinc/44/04/47/882440447.db2.gz XYVLTTDAYGNZKN-ZDUSSCGKSA-N 1 2 322.409 1.440 20 30 DDEDLO CCN(C(=O)Cc1[nH]c[nH+]c1C)[C@H](C)CNC(=O)C#CC(C)C ZINC001340078943 870880870 /nfs/dbraw/zinc/88/08/70/870880870.db2.gz LEAVNKFRAQAXRT-CYBMUJFWSA-N 1 2 318.421 1.273 20 30 DDEDLO C[C@H](CC1CCCCC1)C(=O)NCC[N@H+](C)CC(=O)NCC#N ZINC001317463303 870882246 /nfs/dbraw/zinc/88/22/46/870882246.db2.gz DIDJBDIHFKBETE-CQSZACIVSA-N 1 2 322.453 1.281 20 30 DDEDLO C[C@H](CC1CCCCC1)C(=O)NCC[N@@H+](C)CC(=O)NCC#N ZINC001317463303 870882257 /nfs/dbraw/zinc/88/22/57/870882257.db2.gz DIDJBDIHFKBETE-CQSZACIVSA-N 1 2 322.453 1.281 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc3c(c2)OCO3)CC1 ZINC001204055715 870907218 /nfs/dbraw/zinc/90/72/18/870907218.db2.gz LQPBJUVJCVGPCN-UHFFFAOYSA-N 1 2 302.330 1.694 20 30 DDEDLO C=CC1CCN(c2nnc([C@H]3C[C@@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001340402749 871083431 /nfs/dbraw/zinc/08/34/31/871083431.db2.gz WVJDOPKBTAHCRS-ZIAGYGMSSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CC1CCN(c2nnc([C@H]3C[C@@H](O)C[N@H+]3C)n2CC)CC1 ZINC001340402749 871083446 /nfs/dbraw/zinc/08/34/46/871083446.db2.gz WVJDOPKBTAHCRS-ZIAGYGMSSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N(C)CC(C)C ZINC001340449021 871117830 /nfs/dbraw/zinc/11/78/30/871117830.db2.gz HWEJKJQPXRDBIZ-UHFFFAOYSA-N 1 2 321.469 1.761 20 30 DDEDLO C=CCCC(=O)NC1CC[NH+](Cc2cc(=O)c(OC)co2)CC1 ZINC001226518675 882481478 /nfs/dbraw/zinc/48/14/78/882481478.db2.gz KPWHVGKRLITEEJ-UHFFFAOYSA-N 1 2 320.389 1.695 20 30 DDEDLO CC(C)C(C#N)=CNNc1ccc(S(=O)(=O)NC2CC2)c[nH+]1 ZINC001340949949 871438268 /nfs/dbraw/zinc/43/82/68/871438268.db2.gz FBUWJPNUPMIQIX-NSHDSACASA-N 1 2 321.406 1.716 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+]([C@@H](C)c2nc(C)no2)CC1 ZINC001226571189 882515649 /nfs/dbraw/zinc/51/56/49/882515649.db2.gz POTVOBSHRMSNJM-NSHDSACASA-N 1 2 308.382 1.222 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@@H](F)c1ccccc1 ZINC001317823402 871546409 /nfs/dbraw/zinc/54/64/09/871546409.db2.gz WSDSOFOOKCHJLQ-INIZCTEOSA-N 1 2 318.396 1.297 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001317929762 871629938 /nfs/dbraw/zinc/62/99/38/871629938.db2.gz CBSIWIMEIBUWNE-JKSUJKDBSA-N 1 2 321.465 1.675 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@@H](C)CC)C1 ZINC001317929762 871629945 /nfs/dbraw/zinc/62/99/45/871629945.db2.gz CBSIWIMEIBUWNE-JKSUJKDBSA-N 1 2 321.465 1.675 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001317994931 871676120 /nfs/dbraw/zinc/67/61/20/871676120.db2.gz IXRDXKGAKLSYCH-STQMWFEESA-N 1 2 315.845 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001317994931 871676128 /nfs/dbraw/zinc/67/61/28/871676128.db2.gz IXRDXKGAKLSYCH-STQMWFEESA-N 1 2 315.845 1.467 20 30 DDEDLO N#Cc1ccc(C(=O)NC2C[NH+](CCOCc3ccccc3)C2)[nH]1 ZINC001318016288 871687804 /nfs/dbraw/zinc/68/78/04/871687804.db2.gz MYUHAGZWPYTXNB-UHFFFAOYSA-N 1 2 324.384 1.517 20 30 DDEDLO C=C(C)CCC(=O)NCC1C[NH+](CC(=O)NCC(C)(C)C)C1 ZINC001318127653 871768771 /nfs/dbraw/zinc/76/87/71/871768771.db2.gz VWVJMWXDRCBFMU-UHFFFAOYSA-N 1 2 309.454 1.553 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1C[NH+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001318140700 871776057 /nfs/dbraw/zinc/77/60/57/871776057.db2.gz WEJXMXWTCAHECU-ZDUSSCGKSA-N 1 2 321.465 1.551 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1NC(=O)CC1CCCCC1 ZINC001205827857 871841173 /nfs/dbraw/zinc/84/11/73/871841173.db2.gz WZNGDVILBKUQPF-UKRRQHHQSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1NC(=O)CC1CCCCC1 ZINC001205827857 871841184 /nfs/dbraw/zinc/84/11/84/871841184.db2.gz WZNGDVILBKUQPF-UKRRQHHQSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)CCCCOC ZINC001342061659 871979119 /nfs/dbraw/zinc/97/91/19/871979119.db2.gz JZXKRPPREGLCJR-KBPBESRZSA-N 1 2 323.441 1.064 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)CCCCOC ZINC001342061659 871979127 /nfs/dbraw/zinc/97/91/27/871979127.db2.gz JZXKRPPREGLCJR-KBPBESRZSA-N 1 2 323.441 1.064 20 30 DDEDLO CC[C@H](CNC(=O)C#CC(C)C)[NH2+]Cc1nc(C2CC2)no1 ZINC001318518644 872126545 /nfs/dbraw/zinc/12/65/45/872126545.db2.gz NKQIRZQVMTUXHM-CYBMUJFWSA-N 1 2 304.394 1.591 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC1(CNCC#N)CCCC1 ZINC001318654446 872188541 /nfs/dbraw/zinc/18/85/41/872188541.db2.gz QDEDIWOVXYCMGP-CHWSQXEVSA-N 1 2 301.394 1.066 20 30 DDEDLO C=CCOCC(=O)N(C)C1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001316930637 872402111 /nfs/dbraw/zinc/40/21/11/872402111.db2.gz DDMQIYWZGHVEOD-UHFFFAOYSA-N 1 2 308.382 1.003 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cncc(OC)n2)C[C@H]1C ZINC001206537164 872425497 /nfs/dbraw/zinc/42/54/97/872425497.db2.gz KIAHFFZPMOEWCU-TZMCWYRMSA-N 1 2 304.394 1.388 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cncc(OC)n2)C[C@H]1C ZINC001206537164 872425508 /nfs/dbraw/zinc/42/55/08/872425508.db2.gz KIAHFFZPMOEWCU-TZMCWYRMSA-N 1 2 304.394 1.388 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1CN(Cc2c[nH+]cn2C)CCO1 ZINC001319319272 872569008 /nfs/dbraw/zinc/56/90/08/872569008.db2.gz PZVPNDUCCSOTAD-HOCLYGCPSA-N 1 2 320.437 1.339 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)Cc2ccc(OC)cc2)C1 ZINC001319330741 872582003 /nfs/dbraw/zinc/58/20/03/872582003.db2.gz UHBQFHVQNGMWRJ-KRWDZBQOSA-N 1 2 318.417 1.631 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)Cc2ccc(OC)cc2)C1 ZINC001319330741 872582010 /nfs/dbraw/zinc/58/20/10/872582010.db2.gz UHBQFHVQNGMWRJ-KRWDZBQOSA-N 1 2 318.417 1.631 20 30 DDEDLO CC(C)NC(=O)N1CCC12C[NH+](Cc1ccc(C#N)cc1O)C2 ZINC001276493204 872598691 /nfs/dbraw/zinc/59/86/91/872598691.db2.gz GEAXNXXOPGYBCU-UHFFFAOYSA-N 1 2 314.389 1.642 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@H]1CCOC1 ZINC001345414702 873395901 /nfs/dbraw/zinc/39/59/01/873395901.db2.gz SHURCTILAFOXDN-ZIAGYGMSSA-N 1 2 305.426 1.704 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@H]1CCOC1 ZINC001345414702 873395913 /nfs/dbraw/zinc/39/59/13/873395913.db2.gz SHURCTILAFOXDN-ZIAGYGMSSA-N 1 2 305.426 1.704 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)CCS(=O)(=O)CC(C)C)C1 ZINC001207727312 873508489 /nfs/dbraw/zinc/50/84/89/873508489.db2.gz DXOUNIOOYFCPNF-ZIAGYGMSSA-N 1 2 316.467 1.070 20 30 DDEDLO C=CC[N@H+]1C[C@@H](C)[C@H](NC(=O)CCS(=O)(=O)CC(C)C)C1 ZINC001207727312 873508498 /nfs/dbraw/zinc/50/84/98/873508498.db2.gz DXOUNIOOYFCPNF-ZIAGYGMSSA-N 1 2 316.467 1.070 20 30 DDEDLO C=C[C@H](C(=O)NC[C@@H](C)[NH+]1CCN(C)CC1)c1ccccc1 ZINC001345952771 873571356 /nfs/dbraw/zinc/57/13/56/873571356.db2.gz KYQRSWUVFKNPQR-WBVHZDCISA-N 1 2 301.434 1.708 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnnn2CC)C[C@H]1C ZINC001208294797 873970516 /nfs/dbraw/zinc/97/05/16/873970516.db2.gz QQLHRHIQSUDZQF-UKRRQHHQSA-N 1 2 319.453 1.837 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnnn2CC)C[C@H]1C ZINC001208294797 873970524 /nfs/dbraw/zinc/97/05/24/873970524.db2.gz QQLHRHIQSUDZQF-UKRRQHHQSA-N 1 2 319.453 1.837 20 30 DDEDLO C=CCCC1(C(=O)N2CCN(C(=O)Cc3[nH]cc[nH+]3)CC2)CC1 ZINC001348261753 874454007 /nfs/dbraw/zinc/45/40/07/874454007.db2.gz HPXVBVKQVFIOHL-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO COCCN(Cc1ccc(C#N)cc1)C(=O)Cc1[nH]c[nH+]c1C ZINC001362021970 882845515 /nfs/dbraw/zinc/84/55/15/882845515.db2.gz YSRNIUXADKXFPK-UHFFFAOYSA-N 1 2 312.373 1.808 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)F)n2CC2CC2)CC1 ZINC001349052176 874948308 /nfs/dbraw/zinc/94/83/08/874948308.db2.gz LFGYSFGFHISMGI-UHFFFAOYSA-N 1 2 305.401 1.648 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc(Cl)cc3C(=O)NO)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227178215 882896184 /nfs/dbraw/zinc/89/61/84/882896184.db2.gz WMWAQHNJQOAYCC-LSVRBPJHSA-N 1 2 324.764 1.450 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc(Cl)cc3C(=O)NO)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227178215 882896200 /nfs/dbraw/zinc/89/62/00/882896200.db2.gz WMWAQHNJQOAYCC-LSVRBPJHSA-N 1 2 324.764 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCCOCC)C1 ZINC001211293121 875744438 /nfs/dbraw/zinc/74/44/38/875744438.db2.gz VRAAUPZECQJQNO-KWCYVHTRSA-N 1 2 318.845 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCCOCC)C1 ZINC001211293121 875744448 /nfs/dbraw/zinc/74/44/48/875744448.db2.gz VRAAUPZECQJQNO-KWCYVHTRSA-N 1 2 318.845 1.617 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCC(C)(C)C2)[C@H](OC)C1 ZINC001213404033 875925074 /nfs/dbraw/zinc/92/50/74/875925074.db2.gz XGXGXUABBCBKAV-ARFHVFGLSA-N 1 2 322.449 1.278 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CCC(C)(C)C2)[C@H](OC)C1 ZINC001213404033 875925082 /nfs/dbraw/zinc/92/50/82/875925082.db2.gz XGXGXUABBCBKAV-ARFHVFGLSA-N 1 2 322.449 1.278 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)COc2ccccc2Cl)[C@H](OC)C1 ZINC001213845515 876076110 /nfs/dbraw/zinc/07/61/10/876076110.db2.gz BCGNMVAQBDOZLX-UKRRQHHQSA-N 1 2 322.792 1.167 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)COc2ccccc2Cl)[C@H](OC)C1 ZINC001213845515 876076126 /nfs/dbraw/zinc/07/61/26/876076126.db2.gz BCGNMVAQBDOZLX-UKRRQHHQSA-N 1 2 322.792 1.167 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)COc2cc(C)cc(C)c2)[C@H](OC)C1 ZINC001213848607 876078462 /nfs/dbraw/zinc/07/84/62/876078462.db2.gz NCAGSGJGCMEMBQ-IAGOWNOFSA-N 1 2 316.401 1.131 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)COc2cc(C)cc(C)c2)[C@H](OC)C1 ZINC001213848607 876078474 /nfs/dbraw/zinc/07/84/74/876078474.db2.gz NCAGSGJGCMEMBQ-IAGOWNOFSA-N 1 2 316.401 1.131 20 30 DDEDLO C=C(Cl)CN(C)CCN(C)C(=O)c1cc(C[NH+](C)C)on1 ZINC001379081915 876336097 /nfs/dbraw/zinc/33/60/97/876336097.db2.gz WFGDKYPVSCLKSQ-UHFFFAOYSA-N 1 2 314.817 1.493 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@H](OCC)C1 ZINC001352093413 876594859 /nfs/dbraw/zinc/59/48/59/876594859.db2.gz KKAYORUZBXOKLG-ZDUSSCGKSA-N 1 2 316.409 1.404 20 30 DDEDLO CC(C)CCC(=O)NCCC[NH+]1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC001352643126 876848319 /nfs/dbraw/zinc/84/83/19/876848319.db2.gz NDQAAUKGFOYKSR-HNNXBMFYSA-N 1 2 322.453 1.233 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ocnc3C)C[C@@H]21 ZINC001217510547 877217020 /nfs/dbraw/zinc/21/70/20/877217020.db2.gz NPIFCTWMBPMAQE-DZGCQCFKSA-N 1 2 305.378 1.361 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3ocnc3C)C[C@@H]21 ZINC001217510547 877217026 /nfs/dbraw/zinc/21/70/26/877217026.db2.gz NPIFCTWMBPMAQE-DZGCQCFKSA-N 1 2 305.378 1.361 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H]([C@@H](C)[NH2+]Cc2nc(C)no2)C1 ZINC001276786798 877493462 /nfs/dbraw/zinc/49/34/62/877493462.db2.gz GLJBHXFGTRVQCQ-TZMCWYRMSA-N 1 2 322.409 1.440 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001379679178 877723994 /nfs/dbraw/zinc/72/39/94/877723994.db2.gz DWPBDYZTCTUEDF-SNVBAGLBSA-N 1 2 324.812 1.862 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001379679178 877724001 /nfs/dbraw/zinc/72/40/01/877724001.db2.gz DWPBDYZTCTUEDF-SNVBAGLBSA-N 1 2 324.812 1.862 20 30 DDEDLO C=CCCCC(=O)NC1CCC(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001354202217 877804280 /nfs/dbraw/zinc/80/42/80/877804280.db2.gz MBVKOKBLSPJBRH-UHFFFAOYSA-N 1 2 318.421 1.783 20 30 DDEDLO CC[C@@H](C)CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219515592 878287536 /nfs/dbraw/zinc/28/75/36/878287536.db2.gz PUTRKPSSOVRXMM-DFBGVHRSSA-N 1 2 321.446 1.717 20 30 DDEDLO CC[C@@H](C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219515592 878287552 /nfs/dbraw/zinc/28/75/52/878287552.db2.gz PUTRKPSSOVRXMM-DFBGVHRSSA-N 1 2 321.446 1.717 20 30 DDEDLO CC/C(C)=C/C(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219576307 878352556 /nfs/dbraw/zinc/35/25/56/878352556.db2.gz LXFHMNSIBCLAAG-CYJKWWOWSA-N 1 2 313.401 1.576 20 30 DDEDLO CC/C(C)=C/C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219576307 878352567 /nfs/dbraw/zinc/35/25/67/878352567.db2.gz LXFHMNSIBCLAAG-CYJKWWOWSA-N 1 2 313.401 1.576 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCN(C)C(=O)CCc1c[nH+]cn1C ZINC001355794616 878720901 /nfs/dbraw/zinc/72/09/01/878720901.db2.gz PULSIGUCUYRIJO-UHFFFAOYSA-N 1 2 320.437 1.530 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220201197 878814924 /nfs/dbraw/zinc/81/49/24/878814924.db2.gz IXFHPEWNLUPLNQ-MAZHCROVSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@@H]1O ZINC001220201197 878814927 /nfs/dbraw/zinc/81/49/27/878814927.db2.gz IXFHPEWNLUPLNQ-MAZHCROVSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@@H]1O ZINC001220239675 878841769 /nfs/dbraw/zinc/84/17/69/878841769.db2.gz PBHRHYWJVOFBKK-CVEARBPZSA-N 1 2 306.381 1.843 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@@H]1O ZINC001220239675 878841781 /nfs/dbraw/zinc/84/17/81/878841781.db2.gz PBHRHYWJVOFBKK-CVEARBPZSA-N 1 2 306.381 1.843 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@@H]1O ZINC001220434539 879008608 /nfs/dbraw/zinc/00/86/08/879008608.db2.gz OOPYSOISKZBVGJ-CABCVRRESA-N 1 2 303.406 1.345 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@@H]1O ZINC001220434539 879008624 /nfs/dbraw/zinc/00/86/24/879008624.db2.gz OOPYSOISKZBVGJ-CABCVRRESA-N 1 2 303.406 1.345 20 30 DDEDLO C=CCC1(C(=O)NC2CN(C(=O)CCc3c[nH]c[nH+]3)C2)CCC1 ZINC001356791152 879364933 /nfs/dbraw/zinc/36/49/33/879364933.db2.gz URQZCXYWTYGUOK-UHFFFAOYSA-N 1 2 316.405 1.416 20 30 DDEDLO C=CCC1(C(=O)NC2CN(C(=O)CCc3c[nH+]c[nH]3)C2)CCC1 ZINC001356791152 879364945 /nfs/dbraw/zinc/36/49/45/879364945.db2.gz URQZCXYWTYGUOK-UHFFFAOYSA-N 1 2 316.405 1.416 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001380358549 879444037 /nfs/dbraw/zinc/44/40/37/879444037.db2.gz ZWDWUEJYKHAQTM-HNNXBMFYSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001380358549 879444044 /nfs/dbraw/zinc/44/40/44/879444044.db2.gz ZWDWUEJYKHAQTM-HNNXBMFYSA-N 1 2 323.828 1.489 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)C(=O)N[C@@H]1C[N@@H+](CCF)C[C@@H]1O ZINC001221032681 879459349 /nfs/dbraw/zinc/45/93/49/879459349.db2.gz BHFGOUVUYRMIHP-BBWFWOEESA-N 1 2 320.408 1.552 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)C(=O)N[C@@H]1C[N@H+](CCF)C[C@@H]1O ZINC001221032681 879459354 /nfs/dbraw/zinc/45/93/54/879459354.db2.gz BHFGOUVUYRMIHP-BBWFWOEESA-N 1 2 320.408 1.552 20 30 DDEDLO C=CCCC(=O)N[C@@H](CC)CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001356898981 879559591 /nfs/dbraw/zinc/55/95/91/879559591.db2.gz SSCNQBQIMOMVAC-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001357011422 879697680 /nfs/dbraw/zinc/69/76/80/879697680.db2.gz KUFUZYOCQUOSQO-KGLIPLIRSA-N 1 2 318.421 1.280 20 30 DDEDLO Cc1nonc1C[N@H+]1CCC[C@@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001380467567 879717332 /nfs/dbraw/zinc/71/73/32/879717332.db2.gz ZKSCYCGBGDOGQE-ABAIWWIYSA-N 1 2 305.382 1.256 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCC[C@@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001380467567 879717344 /nfs/dbraw/zinc/71/73/44/879717344.db2.gz ZKSCYCGBGDOGQE-ABAIWWIYSA-N 1 2 305.382 1.256 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](C)[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001357072345 879755773 /nfs/dbraw/zinc/75/57/73/879755773.db2.gz DITOBLUQOWBGHO-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC1(C(=O)N2C[C@H]3CC[N@@H+]([C@H](C)C(N)=O)C[C@H]32)CC1 ZINC001221794929 880086865 /nfs/dbraw/zinc/08/68/65/880086865.db2.gz AOEFXUHJDOFITJ-MGPQQGTHSA-N 1 2 305.422 1.139 20 30 DDEDLO C=CCCC1(C(=O)N2C[C@H]3CC[N@H+]([C@H](C)C(N)=O)C[C@H]32)CC1 ZINC001221794929 880086876 /nfs/dbraw/zinc/08/68/76/880086876.db2.gz AOEFXUHJDOFITJ-MGPQQGTHSA-N 1 2 305.422 1.139 20 30 DDEDLO CC(C)NC(=O)N1C[C@@H]2CC[N@H+](Cc3[nH]ccc3C#N)[C@@H]2C1 ZINC001276840229 880706631 /nfs/dbraw/zinc/70/66/31/880706631.db2.gz LHSUYOVFYGTVSD-DZGCQCFKSA-N 1 2 301.394 1.510 20 30 DDEDLO CC(C)NC(=O)N1C[C@@H]2CC[N@@H+](Cc3[nH]ccc3C#N)[C@@H]2C1 ZINC001276840229 880706641 /nfs/dbraw/zinc/70/66/41/880706641.db2.gz LHSUYOVFYGTVSD-DZGCQCFKSA-N 1 2 301.394 1.510 20 30 DDEDLO COCCOC[N@@H+]1C[C@@H]2CCN(Cc3[nH]ccc3C#N)[C@@H]2C1 ZINC001276840712 880709252 /nfs/dbraw/zinc/70/92/52/880709252.db2.gz UVMLKKWTDSWMSE-GOEBONIOSA-N 1 2 304.394 1.013 20 30 DDEDLO COCCOC[N@H+]1C[C@@H]2CCN(Cc3[nH]ccc3C#N)[C@@H]2C1 ZINC001276840712 880709262 /nfs/dbraw/zinc/70/92/62/880709262.db2.gz UVMLKKWTDSWMSE-GOEBONIOSA-N 1 2 304.394 1.013 20 30 DDEDLO COCCOCN1C[C@@H]2CC[N@H+](Cc3[nH]ccc3C#N)[C@@H]2C1 ZINC001276840712 880709271 /nfs/dbraw/zinc/70/92/71/880709271.db2.gz UVMLKKWTDSWMSE-GOEBONIOSA-N 1 2 304.394 1.013 20 30 DDEDLO COCCOCN1C[C@@H]2CC[N@@H+](Cc3[nH]ccc3C#N)[C@@H]2C1 ZINC001276840712 880709281 /nfs/dbraw/zinc/70/92/81/880709281.db2.gz UVMLKKWTDSWMSE-GOEBONIOSA-N 1 2 304.394 1.013 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC1(NC(=O)CCn2cc[nH+]c2)CC1 ZINC001358660400 880716305 /nfs/dbraw/zinc/71/63/05/880716305.db2.gz AJVNYONKJFPPRX-UHFFFAOYSA-N 1 2 316.405 1.088 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+](CC(=O)NCc3ccc(C#N)cc3)C2)[nH]1 ZINC001414002617 880815193 /nfs/dbraw/zinc/81/51/93/880815193.db2.gz YNMQLXSAKZSCTK-OAHLLOKOSA-N 1 2 324.388 1.091 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+](CC(=O)NCc3ccc(C#N)cc3)C2)[nH]1 ZINC001414002617 880815211 /nfs/dbraw/zinc/81/52/11/880815211.db2.gz YNMQLXSAKZSCTK-OAHLLOKOSA-N 1 2 324.388 1.091 20 30 DDEDLO C=CCCC(=O)NC[C@H](NC(=O)Cc1[nH]cc[nH+]1)C1CCCC1 ZINC001358773015 880886627 /nfs/dbraw/zinc/88/66/27/880886627.db2.gz RPOSSDKDWVWNQG-AWEZNQCLSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@](C)(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001358828622 880971160 /nfs/dbraw/zinc/97/11/60/880971160.db2.gz MPOMNCGUUHXSCR-QGZVFWFLSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1(C)CC=CC1 ZINC001276915931 881080115 /nfs/dbraw/zinc/08/01/15/881080115.db2.gz GEHBEKLLHZIJIS-KBPBESRZSA-N 1 2 305.422 1.224 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CNC(=O)C1(C)CC=CC1 ZINC001276915931 881080134 /nfs/dbraw/zinc/08/01/34/881080134.db2.gz GEHBEKLLHZIJIS-KBPBESRZSA-N 1 2 305.422 1.224 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CCCC(F)(F)C1 ZINC001276923106 881109383 /nfs/dbraw/zinc/10/93/83/881109383.db2.gz FZRMKKYIOPEIQX-UONOGXRCSA-N 1 2 314.376 1.652 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CCCC(F)(F)C1 ZINC001276923106 881109387 /nfs/dbraw/zinc/10/93/87/881109387.db2.gz FZRMKKYIOPEIQX-UONOGXRCSA-N 1 2 314.376 1.652 20 30 DDEDLO N#Cc1ccccc1N1C[C@@H]2COC[C@H](C1)N2CCn1cc[nH+]c1 ZINC001276953883 881244666 /nfs/dbraw/zinc/24/46/66/881244666.db2.gz SKHXEEJXKBXWMQ-CALCHBBNSA-N 1 2 323.400 1.344 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001224197970 881268857 /nfs/dbraw/zinc/26/88/57/881268857.db2.gz IXUBXWFTTSLJFP-CQSZACIVSA-N 1 2 319.453 1.746 20 30 DDEDLO CCOC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)nc1C ZINC001228813230 883684630 /nfs/dbraw/zinc/68/46/30/883684630.db2.gz VUQVNZADOKNKKC-UCHAVSLVSA-N 1 2 318.373 1.558 20 30 DDEDLO CCOC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)nc1C ZINC001228813230 883684649 /nfs/dbraw/zinc/68/46/49/883684649.db2.gz VUQVNZADOKNKKC-UCHAVSLVSA-N 1 2 318.373 1.558 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cc1C ZINC001229454422 883992878 /nfs/dbraw/zinc/99/28/78/883992878.db2.gz MAYKMIDWZDHCRT-KNMAVKMESA-N 1 2 303.358 1.773 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cc1C ZINC001229454422 883992888 /nfs/dbraw/zinc/99/28/88/883992888.db2.gz MAYKMIDWZDHCRT-KNMAVKMESA-N 1 2 303.358 1.773 20 30 DDEDLO CC(=O)Nc1ccc(O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001229721212 884127269 /nfs/dbraw/zinc/12/72/69/884127269.db2.gz UYZFEPDPBWRNFG-FDAWXEHDSA-N 1 2 304.346 1.342 20 30 DDEDLO CC(=O)Nc1ccc(O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001229721212 884127282 /nfs/dbraw/zinc/12/72/82/884127282.db2.gz UYZFEPDPBWRNFG-FDAWXEHDSA-N 1 2 304.346 1.342 20 30 DDEDLO COC(=O)c1cccc(O)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001230287754 884407344 /nfs/dbraw/zinc/40/73/44/884407344.db2.gz VPCXXNKESBQEQC-DSRGUXITSA-N 1 2 305.330 1.170 20 30 DDEDLO COC(=O)c1cccc(O)c1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001230287754 884407355 /nfs/dbraw/zinc/40/73/55/884407355.db2.gz VPCXXNKESBQEQC-DSRGUXITSA-N 1 2 305.330 1.170 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+]([C@H](C)c2ncccn2)CC1 ZINC001230415684 884483244 /nfs/dbraw/zinc/48/32/44/884483244.db2.gz WMYWHRZBAHQRMQ-ZIAGYGMSSA-N 1 2 318.421 1.709 20 30 DDEDLO CCCC(=O)NCC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230523000 884538913 /nfs/dbraw/zinc/53/89/13/884538913.db2.gz XMLLREXFGGDFFX-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO CCCC(=O)NCC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230523000 884538925 /nfs/dbraw/zinc/53/89/25/884538925.db2.gz XMLLREXFGGDFFX-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)CC2CC2)C1=O ZINC001230603328 884639910 /nfs/dbraw/zinc/63/99/10/884639910.db2.gz MBUNDHLEQAUPOJ-LSDHHAIUSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)CC2CC2)C1=O ZINC001230603328 884639917 /nfs/dbraw/zinc/63/99/17/884639917.db2.gz MBUNDHLEQAUPOJ-LSDHHAIUSA-N 1 2 305.422 1.106 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)NCc2c[nH]cn2)c1 ZINC001277477904 885121761 /nfs/dbraw/zinc/12/17/61/885121761.db2.gz DKHPIHZZMMMROW-QAPCUYQASA-N 1 2 321.384 1.562 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)NCc2c[nH]cn2)c1 ZINC001277477904 885121771 /nfs/dbraw/zinc/12/17/71/885121771.db2.gz DKHPIHZZMMMROW-QAPCUYQASA-N 1 2 321.384 1.562 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001231096051 885216382 /nfs/dbraw/zinc/21/63/82/885216382.db2.gz WXQQVGXUNVBOKA-CVEARBPZSA-N 1 2 308.422 1.150 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001231096051 885216395 /nfs/dbraw/zinc/21/63/95/885216395.db2.gz WXQQVGXUNVBOKA-CVEARBPZSA-N 1 2 308.422 1.150 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@H](CC#N)c2ccccc2)[C@@H](C)CO1 ZINC001363045093 885315119 /nfs/dbraw/zinc/31/51/19/885315119.db2.gz CFWICIRMJGMLQD-ZMSDIMECSA-N 1 2 315.417 1.909 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@H](CC#N)c2ccccc2)[C@@H](C)CO1 ZINC001363045093 885315138 /nfs/dbraw/zinc/31/51/38/885315138.db2.gz CFWICIRMJGMLQD-ZMSDIMECSA-N 1 2 315.417 1.909 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H]1CCN(C2CCC2)C1=O ZINC001231170989 885320551 /nfs/dbraw/zinc/32/05/51/885320551.db2.gz XFXNKFYHLHCOKD-HOTGVXAUSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H]1CCN(C2CCC2)C1=O ZINC001231170989 885320574 /nfs/dbraw/zinc/32/05/74/885320574.db2.gz XFXNKFYHLHCOKD-HOTGVXAUSA-N 1 2 319.449 1.639 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC=C)CC=C ZINC001231180125 885332779 /nfs/dbraw/zinc/33/27/79/885332779.db2.gz ZRORVPMDLUDTDF-MRXNPFEDSA-N 1 2 319.449 1.686 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC=C)CC=C ZINC001231180125 885332798 /nfs/dbraw/zinc/33/27/98/885332798.db2.gz ZRORVPMDLUDTDF-MRXNPFEDSA-N 1 2 319.449 1.686 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccccc1C#N ZINC001231233851 885412245 /nfs/dbraw/zinc/41/22/45/885412245.db2.gz NASGJFXFJBPQQC-QGZVFWFLSA-N 1 2 313.401 1.794 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccccc1C#N ZINC001231233851 885412248 /nfs/dbraw/zinc/41/22/48/885412248.db2.gz NASGJFXFJBPQQC-QGZVFWFLSA-N 1 2 313.401 1.794 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC001231246802 885426599 /nfs/dbraw/zinc/42/65/99/885426599.db2.gz IETIRHGZSDFBNL-IUODEOHRSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC001231246802 885426603 /nfs/dbraw/zinc/42/66/03/885426603.db2.gz IETIRHGZSDFBNL-IUODEOHRSA-N 1 2 314.364 1.890 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C1C[NH+](CCc2scnc2C)C1 ZINC001277597762 886000889 /nfs/dbraw/zinc/00/08/89/886000889.db2.gz KQSZSIVCDMHDRT-ZDUSSCGKSA-N 1 2 321.446 1.175 20 30 DDEDLO C=CCN1CC[N@@H+](C)C2(CCN(Cc3ccns3)CC2)C1=O ZINC001232191103 886149495 /nfs/dbraw/zinc/14/94/95/886149495.db2.gz IOYDXNWZFMOJQU-UHFFFAOYSA-N 1 2 320.462 1.438 20 30 DDEDLO C=CCN1CC[N@H+](C)C2(CCN(Cc3ccns3)CC2)C1=O ZINC001232191103 886149504 /nfs/dbraw/zinc/14/95/04/886149504.db2.gz IOYDXNWZFMOJQU-UHFFFAOYSA-N 1 2 320.462 1.438 20 30 DDEDLO N#Cc1ccc(O)c(C[N@H+]2C[C@H]3CN(c4ncccn4)C[C@H]3C2)c1 ZINC001232677575 886532197 /nfs/dbraw/zinc/53/21/97/886532197.db2.gz MRCQAIWVDYANGD-IYBDPMFKSA-N 1 2 321.384 1.622 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2C[C@H]3CN(c4ncccn4)C[C@H]3C2)c1 ZINC001232677575 886532209 /nfs/dbraw/zinc/53/22/09/886532209.db2.gz MRCQAIWVDYANGD-IYBDPMFKSA-N 1 2 321.384 1.622 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1CCC2(CC1)OC(=O)c1ccncc12 ZINC001233048748 886757816 /nfs/dbraw/zinc/75/78/16/886757816.db2.gz LOPXPNZEQLWDOO-UHFFFAOYSA-N 1 2 308.341 1.943 20 30 DDEDLO COC[C@H]1C[N@@H+](Cc2ccc(C#N)cc2O)Cc2nnn(C)c21 ZINC001233384455 886967585 /nfs/dbraw/zinc/96/75/85/886967585.db2.gz WVSKFXWIIDMOOJ-CYBMUJFWSA-N 1 2 313.361 1.138 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc4ncc(F)cc4n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233734812 887265467 /nfs/dbraw/zinc/26/54/67/887265467.db2.gz HBLXLEJYLMTVDA-KIMJBNCKSA-N 1 2 301.321 1.760 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc4ncc(F)cc4n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233734812 887265480 /nfs/dbraw/zinc/26/54/80/887265480.db2.gz HBLXLEJYLMTVDA-KIMJBNCKSA-N 1 2 301.321 1.760 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])c(F)c3F)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233764347 887298482 /nfs/dbraw/zinc/29/84/82/887298482.db2.gz CDGLOOOERPHUPY-KRNQRHRWSA-N 1 2 312.272 1.864 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc([N+](=O)[O-])c(F)c3F)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233764347 887298499 /nfs/dbraw/zinc/29/84/99/887298499.db2.gz CDGLOOOERPHUPY-KRNQRHRWSA-N 1 2 312.272 1.864 20 30 DDEDLO CCc1occc1C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001233990835 887532317 /nfs/dbraw/zinc/53/23/17/887532317.db2.gz MTMFQNRHKHUHSB-CQSZACIVSA-N 1 2 304.390 1.638 20 30 DDEDLO CCc1occc1C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001233990835 887532332 /nfs/dbraw/zinc/53/23/32/887532332.db2.gz MTMFQNRHKHUHSB-CQSZACIVSA-N 1 2 304.390 1.638 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(F)ccc1F ZINC001235354346 888583216 /nfs/dbraw/zinc/58/32/16/888583216.db2.gz LYITVUNNRMNDMW-AWEZNQCLSA-N 1 2 322.355 1.761 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(F)ccc1F ZINC001235354346 888583231 /nfs/dbraw/zinc/58/32/31/888583231.db2.gz LYITVUNNRMNDMW-AWEZNQCLSA-N 1 2 322.355 1.761 20 30 DDEDLO C#CCN(C(=O)CC)C1CC[NH+]([C@H](C)c2ncccn2)CC1 ZINC001278107381 889675345 /nfs/dbraw/zinc/67/53/45/889675345.db2.gz NCUCGJBQJISZSX-CQSZACIVSA-N 1 2 300.406 1.874 20 30 DDEDLO C#CCN(C(=O)C(F)C(F)(F)F)C1CC[NH+](CCOC)CC1 ZINC001278151265 889873200 /nfs/dbraw/zinc/87/32/00/889873200.db2.gz LWGYQOBHUVLPAE-LBPRGKRZSA-N 1 2 324.318 1.459 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](CNCC#N)C1CCCC1 ZINC001278275774 890333654 /nfs/dbraw/zinc/33/36/54/890333654.db2.gz RWJAHXMRHXLKET-DOMZBBRYSA-N 1 2 303.410 1.376 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](CNCC#N)C1CCCC1 ZINC001278275774 890333671 /nfs/dbraw/zinc/33/36/71/890333671.db2.gz RWJAHXMRHXLKET-DOMZBBRYSA-N 1 2 303.410 1.376 20 30 DDEDLO Cc1nc(NC2CC[NH+]([C@@H]3CCOC3=O)CC2)ccc1C#N ZINC001365226925 890391907 /nfs/dbraw/zinc/39/19/07/890391907.db2.gz UTRJUENECHDFHQ-CQSZACIVSA-N 1 2 300.362 1.454 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(c2ccc(C#N)cc2)C1=O)c1nncn1C ZINC001365288208 890512016 /nfs/dbraw/zinc/51/20/16/890512016.db2.gz JLPCBMFPBLRXDV-FZMZJTMJSA-N 1 2 310.361 1.143 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+]([C@@H](C)c2nnc(CC)o2)C1 ZINC001278368589 891702222 /nfs/dbraw/zinc/70/22/22/891702222.db2.gz DCVDDOIPVJWLCL-LRDDRELGSA-N 1 2 322.409 1.476 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+]([C@@H](C)c2nnc(CC)o2)C1 ZINC001278368589 891702235 /nfs/dbraw/zinc/70/22/35/891702235.db2.gz DCVDDOIPVJWLCL-LRDDRELGSA-N 1 2 322.409 1.476 20 30 DDEDLO N#C[C@@H]1CC=C(c2[nH+]c(N3CCOCC3)nc3c2CCN3)CC1 ZINC001243789776 891730844 /nfs/dbraw/zinc/73/08/44/891730844.db2.gz RVBDLZARTLDUSD-GFCCVEGCSA-N 1 2 311.389 1.584 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@]1(C)CC[N@@H+](Cc2nocc2C)C1 ZINC001278381173 891844514 /nfs/dbraw/zinc/84/45/14/891844514.db2.gz HTHIWQQFTLSTTL-CVEARBPZSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@]1(C)CC[N@H+](Cc2nocc2C)C1 ZINC001278381173 891844518 /nfs/dbraw/zinc/84/45/18/891844518.db2.gz HTHIWQQFTLSTTL-CVEARBPZSA-N 1 2 307.394 1.391 20 30 DDEDLO CCc1noc([C@H](C)[NH2+]C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001374744109 913769002 /nfs/dbraw/zinc/76/90/02/913769002.db2.gz YJASJJHRFQOTRL-ZJUUUORDSA-N 1 2 316.365 1.301 20 30 DDEDLO Cc1oc(-c2ccco2)nc1C[N@@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001366520735 893844164 /nfs/dbraw/zinc/84/41/64/893844164.db2.gz RHEBNIYGRUCRLA-NSHDSACASA-N 1 2 316.361 1.951 20 30 DDEDLO Cc1oc(-c2ccco2)nc1C[N@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001366520735 893844188 /nfs/dbraw/zinc/84/41/88/893844188.db2.gz RHEBNIYGRUCRLA-NSHDSACASA-N 1 2 316.361 1.951 20 30 DDEDLO N#Cc1ccc2cc(C[N@@H+]3CCN4C(=O)COC[C@H]4C3)[nH]c2c1 ZINC001249429899 893981365 /nfs/dbraw/zinc/98/13/65/893981365.db2.gz VMYMWUKSOXBPBS-OAHLLOKOSA-N 1 2 310.357 1.083 20 30 DDEDLO N#Cc1ccc2cc(C[N@H+]3CCN4C(=O)COC[C@H]4C3)[nH]c2c1 ZINC001249429899 893981377 /nfs/dbraw/zinc/98/13/77/893981377.db2.gz VMYMWUKSOXBPBS-OAHLLOKOSA-N 1 2 310.357 1.083 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1ccc(N(C)C)nc1 ZINC001366622714 894311004 /nfs/dbraw/zinc/31/10/04/894311004.db2.gz WZYHTVSEZJJLKJ-UHFFFAOYSA-N 1 2 310.829 1.952 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1ccc(N(C)C)nc1 ZINC001366622714 894311021 /nfs/dbraw/zinc/31/10/21/894311021.db2.gz WZYHTVSEZJJLKJ-UHFFFAOYSA-N 1 2 310.829 1.952 20 30 DDEDLO N#Cc1cc(F)c(NC[C@@H](O)C[NH+]2CCOCC2)c(Cl)c1 ZINC001251028429 894580371 /nfs/dbraw/zinc/58/03/71/894580371.db2.gz FJPHZNAIBRCVIR-LLVKDONJSA-N 1 2 313.760 1.456 20 30 DDEDLO C#CCOC[C@H](O)CNc1cc(C)c(Br)c(C)[nH+]1 ZINC001251819101 894822680 /nfs/dbraw/zinc/82/26/80/894822680.db2.gz HJRLEXMRTRBEKH-LLVKDONJSA-N 1 2 313.195 1.884 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@]1(C)CCC[N@H+](Cc2cnn(C)n2)C1 ZINC001278658573 895080929 /nfs/dbraw/zinc/08/09/29/895080929.db2.gz IWIKOWLEYSIFAY-QGZVFWFLSA-N 1 2 317.437 1.193 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@]1(C)CCC[N@@H+](Cc2cnn(C)n2)C1 ZINC001278658573 895080947 /nfs/dbraw/zinc/08/09/47/895080947.db2.gz IWIKOWLEYSIFAY-QGZVFWFLSA-N 1 2 317.437 1.193 20 30 DDEDLO CC[C@H](OC)C(=O)NCC1C[NH+](Cc2ccc(C#N)cc2F)C1 ZINC001366963452 895443827 /nfs/dbraw/zinc/44/38/27/895443827.db2.gz NLVMDCVSYYUXTL-INIZCTEOSA-N 1 2 319.380 1.670 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)CCOC2CCOCC2)C1 ZINC001366987626 895499203 /nfs/dbraw/zinc/49/92/03/895499203.db2.gz OBBPTGBLCQEWGG-UHFFFAOYSA-N 1 2 316.829 1.373 20 30 DDEDLO CC[C@@H](CNC(=O)c1c[nH]c(C#N)c1)[NH2+]Cc1cnsn1 ZINC001367102019 895870251 /nfs/dbraw/zinc/87/02/51/895870251.db2.gz QIQONOWENQQFFE-JTQLQIEISA-N 1 2 304.379 1.036 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C1CCN(c2ccc([N+](=O)[O-])cc2)CC1 ZINC001254473519 896456037 /nfs/dbraw/zinc/45/60/37/896456037.db2.gz DLGNCVPKTYGZIA-MRXNPFEDSA-N 1 2 315.377 1.361 20 30 DDEDLO C#CC[C@H]([NH2+][C@H]1C[C@H]2CC[C@@H](C1)N2C(=O)OCC)C(=O)OC ZINC001255195753 896779332 /nfs/dbraw/zinc/77/93/32/896779332.db2.gz YILRMEFGACAMIH-CRWXNKLISA-N 1 2 308.378 1.293 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CC2(c3ccccc3)CC2)C1 ZINC001278872388 897011491 /nfs/dbraw/zinc/01/14/91/897011491.db2.gz GWSFBWQEMAYCDB-UHFFFAOYSA-N 1 2 314.429 1.847 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cn2nccc2nc1C ZINC001367542540 897112574 /nfs/dbraw/zinc/11/25/74/897112574.db2.gz PPPOJJWIWINIBQ-UHFFFAOYSA-N 1 2 321.812 1.794 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cn2nccc2nc1C ZINC001367542540 897112582 /nfs/dbraw/zinc/11/25/82/897112582.db2.gz PPPOJJWIWINIBQ-UHFFFAOYSA-N 1 2 321.812 1.794 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2c(C)n[nH]c2C)C1 ZINC001389510019 897405780 /nfs/dbraw/zinc/40/57/80/897405780.db2.gz VXSKAHVAPHXVEK-ZDUSSCGKSA-N 1 2 310.829 1.902 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2c(C)n[nH]c2C)C1 ZINC001389510019 897405786 /nfs/dbraw/zinc/40/57/86/897405786.db2.gz VXSKAHVAPHXVEK-ZDUSSCGKSA-N 1 2 310.829 1.902 20 30 DDEDLO CC(C)(C)[C@H](CNCC#N)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001278978755 897643095 /nfs/dbraw/zinc/64/30/95/897643095.db2.gz MCNPEIRVZZRNRQ-OCCSQVGLSA-N 1 2 303.410 1.090 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001374873420 914195143 /nfs/dbraw/zinc/19/51/43/914195143.db2.gz GXADESLHJZHGFI-JTQLQIEISA-N 1 2 316.365 1.130 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cnn2ncccc12 ZINC001367801354 897850114 /nfs/dbraw/zinc/85/01/14/897850114.db2.gz DEWFIECFIWXEDW-NSHDSACASA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cnn2ncccc12 ZINC001367801354 897850121 /nfs/dbraw/zinc/85/01/21/897850121.db2.gz DEWFIECFIWXEDW-NSHDSACASA-N 1 2 307.785 1.532 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H](N2CC[NH2+]C[C@H]2C#N)CC1(C)C ZINC001258557868 898240803 /nfs/dbraw/zinc/24/08/03/898240803.db2.gz XGUYHIVQGUHXES-UONOGXRCSA-N 1 2 322.453 1.962 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CN(C)C(C)=O ZINC001368041539 898563939 /nfs/dbraw/zinc/56/39/39/898563939.db2.gz MNLJNOKOZRNATF-KGLIPLIRSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CN(C)C(C)=O ZINC001368041539 898563944 /nfs/dbraw/zinc/56/39/44/898563944.db2.gz MNLJNOKOZRNATF-KGLIPLIRSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)Cc1nonc1C ZINC001390141869 898774988 /nfs/dbraw/zinc/77/49/88/898774988.db2.gz ONHQUDQYKIZNJE-GFCCVEGCSA-N 1 2 312.801 1.644 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)Cc1nonc1C ZINC001390141869 898774995 /nfs/dbraw/zinc/77/49/95/898774995.db2.gz ONHQUDQYKIZNJE-GFCCVEGCSA-N 1 2 312.801 1.644 20 30 DDEDLO Cc1nc(C[N@H+](C)CCNC(=O)c2ccc(C#N)[nH]2)sc1C ZINC001390754977 900105428 /nfs/dbraw/zinc/10/54/28/900105428.db2.gz VAWWQMYZDXZHNV-UHFFFAOYSA-N 1 2 317.418 1.822 20 30 DDEDLO Cc1nc(C[N@@H+](C)CCNC(=O)c2ccc(C#N)[nH]2)sc1C ZINC001390754977 900105432 /nfs/dbraw/zinc/10/54/32/900105432.db2.gz VAWWQMYZDXZHNV-UHFFFAOYSA-N 1 2 317.418 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001390788648 900184863 /nfs/dbraw/zinc/18/48/63/900184863.db2.gz CQMWAQOSDQIGKV-LLVKDONJSA-N 1 2 303.834 1.338 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001390788648 900184866 /nfs/dbraw/zinc/18/48/66/900184866.db2.gz CQMWAQOSDQIGKV-LLVKDONJSA-N 1 2 303.834 1.338 20 30 DDEDLO C=CCN(C)c1nnc(C[NH+]2CCCC2)n1C[C@H]1CCOC1 ZINC001263572968 900642365 /nfs/dbraw/zinc/64/23/65/900642365.db2.gz ATZJZIPKQFNWCI-CQSZACIVSA-N 1 2 305.426 1.533 20 30 DDEDLO CCCc1nc(C[NH2+]C2CC(N(C)C(=O)[C@@H](C)C#N)C2)no1 ZINC001369571832 901555942 /nfs/dbraw/zinc/55/59/42/901555942.db2.gz GIFBHIAOZIQNNQ-UNXYVOJBSA-N 1 2 305.382 1.261 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCCCN(CC#N)C1CC1 ZINC001265176167 901666532 /nfs/dbraw/zinc/66/65/32/901666532.db2.gz SQLWCSJITTXPDG-ZIAGYGMSSA-N 1 2 301.394 1.018 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@@H+]([C@@H](C)C(=O)NCC(C)C)C1 ZINC001265213878 901719752 /nfs/dbraw/zinc/71/97/52/901719752.db2.gz ZZXSCXJYSSGEME-JKSUJKDBSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@H+]([C@@H](C)C(=O)NCC(C)C)C1 ZINC001265213878 901719762 /nfs/dbraw/zinc/71/97/62/901719762.db2.gz ZZXSCXJYSSGEME-JKSUJKDBSA-N 1 2 321.465 1.387 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001265224301 901738050 /nfs/dbraw/zinc/73/80/50/901738050.db2.gz AFWNELTZUSHPCN-NEPJUHHUSA-N 1 2 317.380 1.161 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001265224301 901738058 /nfs/dbraw/zinc/73/80/58/901738058.db2.gz AFWNELTZUSHPCN-NEPJUHHUSA-N 1 2 317.380 1.161 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H](CCC)C(C)C)C1 ZINC001265226554 901740970 /nfs/dbraw/zinc/74/09/70/901740970.db2.gz DMMBVJSSOOBASM-HOTGVXAUSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](CCC)C(C)C)C1 ZINC001265226554 901740981 /nfs/dbraw/zinc/74/09/81/901740981.db2.gz DMMBVJSSOOBASM-HOTGVXAUSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NCC2CCCCC2)C1 ZINC001265275148 901805194 /nfs/dbraw/zinc/80/51/94/901805194.db2.gz MBSWTQUOCYLGIO-MRXNPFEDSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC2CCCCC2)C1 ZINC001265275148 901805201 /nfs/dbraw/zinc/80/52/01/901805201.db2.gz MBSWTQUOCYLGIO-MRXNPFEDSA-N 1 2 321.465 1.840 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001265286595 901829326 /nfs/dbraw/zinc/82/93/26/901829326.db2.gz YBVHELCXEFJXIO-OAHLLOKOSA-N 1 2 307.438 1.592 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001265286595 901829335 /nfs/dbraw/zinc/82/93/35/901829335.db2.gz YBVHELCXEFJXIO-OAHLLOKOSA-N 1 2 307.438 1.592 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001265410308 901985338 /nfs/dbraw/zinc/98/53/38/901985338.db2.gz ROOPEYIJOAGQBU-KFWWJZLASA-N 1 2 319.449 1.236 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001265410308 901985351 /nfs/dbraw/zinc/98/53/51/901985351.db2.gz ROOPEYIJOAGQBU-KFWWJZLASA-N 1 2 319.449 1.236 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001293610093 914583129 /nfs/dbraw/zinc/58/31/29/914583129.db2.gz IHLZHNOWXGPPLK-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001293610093 914583140 /nfs/dbraw/zinc/58/31/40/914583140.db2.gz IHLZHNOWXGPPLK-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1nocc1C ZINC001265640615 902234728 /nfs/dbraw/zinc/23/47/28/902234728.db2.gz HUQZDFNKZVDUCG-AWEZNQCLSA-N 1 2 307.394 1.656 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1nocc1C ZINC001265640615 902234733 /nfs/dbraw/zinc/23/47/33/902234733.db2.gz HUQZDFNKZVDUCG-AWEZNQCLSA-N 1 2 307.394 1.656 20 30 DDEDLO CCOc1nnc(C[NH2+][C@H](C)CNC(=O)C#CC(C)(C)C)s1 ZINC001265772473 902389686 /nfs/dbraw/zinc/38/96/86/902389686.db2.gz BNCXJGFCFYVRCC-LLVKDONJSA-N 1 2 324.450 1.581 20 30 DDEDLO C=CCCCC(=O)N(C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001293677893 914631128 /nfs/dbraw/zinc/63/11/28/914631128.db2.gz VHHLULDQKGWTAB-CQSZACIVSA-N 1 2 304.394 1.299 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C[C@H]2C=CCC2)C1 ZINC001266200813 903096164 /nfs/dbraw/zinc/09/61/64/903096164.db2.gz RBKZLUHDFQKOGG-LSDHHAIUSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C[C@H]2C=CCC2)C1 ZINC001266200813 903096177 /nfs/dbraw/zinc/09/61/77/903096177.db2.gz RBKZLUHDFQKOGG-LSDHHAIUSA-N 1 2 305.422 1.226 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001266218517 903131479 /nfs/dbraw/zinc/13/14/79/903131479.db2.gz RCWXGYPCJLJKSN-QAPCUYQASA-N 1 2 317.433 1.063 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001266218517 903131485 /nfs/dbraw/zinc/13/14/85/903131485.db2.gz RCWXGYPCJLJKSN-QAPCUYQASA-N 1 2 317.433 1.063 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](C)CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001280486904 903731391 /nfs/dbraw/zinc/73/13/91/903731391.db2.gz DRHPNRORXAPPBC-STQMWFEESA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](C)CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001280486904 903731401 /nfs/dbraw/zinc/73/14/01/903731401.db2.gz DRHPNRORXAPPBC-STQMWFEESA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@H](C[NH2+][C@H](C)c2csnn2)C1 ZINC001280632656 903866312 /nfs/dbraw/zinc/86/63/12/903866312.db2.gz ZAGBENVAXSFKLI-CHWSQXEVSA-N 1 2 324.450 1.772 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2nncn2C)CC1 ZINC001280734603 903970321 /nfs/dbraw/zinc/97/03/21/903970321.db2.gz XFSACAXJRKKXDF-AWEZNQCLSA-N 1 2 303.410 1.089 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2nncn2C)CC1 ZINC001280734603 903970327 /nfs/dbraw/zinc/97/03/27/903970327.db2.gz XFSACAXJRKKXDF-AWEZNQCLSA-N 1 2 303.410 1.089 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)c1c(C)noc1C ZINC001316606775 904132552 /nfs/dbraw/zinc/13/25/52/904132552.db2.gz PVKBFMYMCZPPQF-CQSZACIVSA-N 1 2 303.406 1.735 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)c1c(C)noc1C ZINC001316606775 904132560 /nfs/dbraw/zinc/13/25/60/904132560.db2.gz PVKBFMYMCZPPQF-CQSZACIVSA-N 1 2 303.406 1.735 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cnc2c(cnn2C)c1 ZINC001392714493 905021845 /nfs/dbraw/zinc/02/18/45/905021845.db2.gz UAPCKFSHIYPFBI-NSHDSACASA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cnc2c(cnn2C)c1 ZINC001392714493 905021864 /nfs/dbraw/zinc/02/18/64/905021864.db2.gz UAPCKFSHIYPFBI-NSHDSACASA-N 1 2 321.812 1.771 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CCC[C@H]1CN(C)CC#N)n1cc[nH+]c1 ZINC001281943350 905341239 /nfs/dbraw/zinc/34/12/39/905341239.db2.gz ZLAZSAKKYBXMTJ-HOTGVXAUSA-N 1 2 317.437 1.917 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C2(CNC(=O)c3ccc(C#N)[nH]3)CC2)n1 ZINC001392902429 905646963 /nfs/dbraw/zinc/64/69/63/905646963.db2.gz ODDUKPSWTTXQHT-VIFPVBQESA-N 1 2 314.349 1.191 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H](C)[N@H+](C)CC(=O)Nc1ccon1 ZINC001282456351 905798915 /nfs/dbraw/zinc/79/89/15/905798915.db2.gz ZMHPAIJTIROPEH-LRDDRELGSA-N 1 2 322.409 1.652 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H](C)[N@@H+](C)CC(=O)Nc1ccon1 ZINC001282456351 905798917 /nfs/dbraw/zinc/79/89/17/905798917.db2.gz ZMHPAIJTIROPEH-LRDDRELGSA-N 1 2 322.409 1.652 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C1C[NH+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001282734962 905997875 /nfs/dbraw/zinc/99/78/75/905997875.db2.gz URSHDCBFPIDEPS-QWHCGFSZSA-N 1 2 321.465 1.550 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(CCF)CC3)C2)C1 ZINC001282772267 906020382 /nfs/dbraw/zinc/02/03/82/906020382.db2.gz IBXZYFYLYNZYID-KRWDZBQOSA-N 1 2 308.397 1.453 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(CCF)CC3)C2)C1 ZINC001282772267 906020400 /nfs/dbraw/zinc/02/04/00/906020400.db2.gz IBXZYFYLYNZYID-KRWDZBQOSA-N 1 2 308.397 1.453 20 30 DDEDLO C=CC(C)(C)C(=O)NCCCNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001283288035 907057668 /nfs/dbraw/zinc/05/76/68/907057668.db2.gz SJGBRLCRKTYNCR-UHFFFAOYSA-N 1 2 320.437 1.761 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001283884972 908131702 /nfs/dbraw/zinc/13/17/02/908131702.db2.gz BPEVMZGCSQGXIS-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001284033122 908353306 /nfs/dbraw/zinc/35/33/06/908353306.db2.gz GITIADUTCPMAHI-SUMWQHHRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@H]1CCc2nccn2C1 ZINC001394084640 908745508 /nfs/dbraw/zinc/74/55/08/908745508.db2.gz YGTZQWPEYJMJRQ-OLZOCXBDSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@H]1CCc2nccn2C1 ZINC001394084640 908745517 /nfs/dbraw/zinc/74/55/17/908745517.db2.gz YGTZQWPEYJMJRQ-OLZOCXBDSA-N 1 2 310.829 1.635 20 30 DDEDLO CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001373360833 909622626 /nfs/dbraw/zinc/62/26/26/909622626.db2.gz DIJMHQRUQDISEM-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001373360833 909622636 /nfs/dbraw/zinc/62/26/36/909622636.db2.gz DIJMHQRUQDISEM-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284935225 909766428 /nfs/dbraw/zinc/76/64/28/909766428.db2.gz YNKULZSSBOUIQX-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284935225 909766444 /nfs/dbraw/zinc/76/64/44/909766444.db2.gz YNKULZSSBOUIQX-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO CS[C@@H](C)C(=O)NCC1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001373495918 910005599 /nfs/dbraw/zinc/00/55/99/910005599.db2.gz FJWAPTFVDQGDBL-NSHDSACASA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)[C@H](C)NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001285372285 910436540 /nfs/dbraw/zinc/43/65/40/910436540.db2.gz AUSULDCGOGINHQ-KBPBESRZSA-N 1 2 318.421 1.639 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)CCn2ccnn2)C1 ZINC001373701506 910664606 /nfs/dbraw/zinc/66/46/06/910664606.db2.gz FWNCYAYVVQUONT-CYBMUJFWSA-N 1 2 311.817 1.344 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)CCn2ccnn2)C1 ZINC001373701506 910664616 /nfs/dbraw/zinc/66/46/16/910664616.db2.gz FWNCYAYVVQUONT-CYBMUJFWSA-N 1 2 311.817 1.344 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1C[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001286409802 911965284 /nfs/dbraw/zinc/96/52/84/911965284.db2.gz DALDASNGLKVELI-SHTZXODSSA-N 1 2 316.405 1.088 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](NC(C)=O)C(C)C)[C@H]1C ZINC001397267380 915616825 /nfs/dbraw/zinc/61/68/25/915616825.db2.gz YHWURUGGTLWBEM-KWCYVHTRSA-N 1 2 315.845 1.479 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](NC(C)=O)C(C)C)[C@H]1C ZINC001397267380 915616835 /nfs/dbraw/zinc/61/68/35/915616835.db2.gz YHWURUGGTLWBEM-KWCYVHTRSA-N 1 2 315.845 1.479 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(CC)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001296349829 916354056 /nfs/dbraw/zinc/35/40/56/916354056.db2.gz DAFWMNFBGMTZAF-OLZOCXBDSA-N 1 2 320.437 1.684 20 30 DDEDLO C=CCC1(C(=O)N(CC)CCNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001296360657 916362051 /nfs/dbraw/zinc/36/20/51/916362051.db2.gz ADBUVBWOPXUYKC-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CN(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001296840506 916590269 /nfs/dbraw/zinc/59/02/69/916590269.db2.gz OEXNQHFQHDAWTM-ZDUSSCGKSA-N 1 2 304.394 1.225 20 30 DDEDLO CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)N(CC)CCC#N)C1 ZINC001376026137 917503350 /nfs/dbraw/zinc/50/33/50/917503350.db2.gz AZZMJZXHZVJMLG-HNNXBMFYSA-N 1 2 322.453 1.519 20 30 DDEDLO CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)N(CC)CCC#N)C1 ZINC001376026137 917503362 /nfs/dbraw/zinc/50/33/62/917503362.db2.gz AZZMJZXHZVJMLG-HNNXBMFYSA-N 1 2 322.453 1.519 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1(O)C[NH+](CCCc2cccc(F)c2)C1 ZINC001376152991 917822414 /nfs/dbraw/zinc/82/24/14/917822414.db2.gz WRPNJDXRCOQICC-CYBMUJFWSA-N 1 2 319.380 1.081 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)[nH]1 ZINC001376912923 919766551 /nfs/dbraw/zinc/76/65/51/919766551.db2.gz XRBSZAQJFPOEFM-NWDGAFQWSA-N 1 2 306.414 1.368 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+](C)CCCN(C)C(=O)[C@@H](C)C#N)[nH]1 ZINC001376912923 919766562 /nfs/dbraw/zinc/76/65/62/919766562.db2.gz XRBSZAQJFPOEFM-NWDGAFQWSA-N 1 2 306.414 1.368 20 30 DDEDLO C=C(Cl)CN1CC[NH+](CCN(C)C(=O)[C@@H]2CC23CC3)CC1 ZINC001377733918 922833965 /nfs/dbraw/zinc/83/39/65/922833965.db2.gz QJSGXQBQPNZICY-AWEZNQCLSA-N 1 2 311.857 1.615 20 30 DDEDLO CS(=O)(=O)N[C@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000158047119 539216354 /nfs/dbraw/zinc/21/63/54/539216354.db2.gz CEPBTKKLJYCWLZ-HNNXBMFYSA-N 1 2 310.394 1.191 20 30 DDEDLO CS(=O)(=O)N[C@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000158047119 539216355 /nfs/dbraw/zinc/21/63/55/539216355.db2.gz CEPBTKKLJYCWLZ-HNNXBMFYSA-N 1 2 310.394 1.191 20 30 DDEDLO CCc1ccc(CN(CCC#N)CC[NH+]2CCOCC2)cn1 ZINC000347674280 529637033 /nfs/dbraw/zinc/63/70/33/529637033.db2.gz NOCMRBJSSOOPHQ-UHFFFAOYSA-N 1 2 302.422 1.692 20 30 DDEDLO Cn1cc(-c2nc(C(=O)NC[C@H]3C[N@@H+](C)CCO3)cs2)cn1 ZINC000329093272 539301065 /nfs/dbraw/zinc/30/10/65/539301065.db2.gz XJHAYCIYWGYQRW-NSHDSACASA-N 1 2 321.406 1.179 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1)[C@@H]1CCCOC1 ZINC000328901713 539299399 /nfs/dbraw/zinc/29/93/99/539299399.db2.gz ZKWNLQFYHSFPFQ-YJNKXOJESA-N 1 2 311.426 1.120 20 30 DDEDLO C[C@H](NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1)[C@@H]1CCCOC1 ZINC000328901713 539299400 /nfs/dbraw/zinc/29/94/00/539299400.db2.gz ZKWNLQFYHSFPFQ-YJNKXOJESA-N 1 2 311.426 1.120 20 30 DDEDLO Cn1cc(-c2nc(C(=O)NC[C@H]3C[N@H+](C)CCO3)cs2)cn1 ZINC000329093272 539301064 /nfs/dbraw/zinc/30/10/64/539301064.db2.gz XJHAYCIYWGYQRW-NSHDSACASA-N 1 2 321.406 1.179 20 30 DDEDLO O=C(N[C@H]1CCCS(=O)(=O)C1)c1cccc(-n2cc[nH+]c2)c1 ZINC000329570478 539305820 /nfs/dbraw/zinc/30/58/20/539305820.db2.gz QIRWWHLSTUHNPA-ZDUSSCGKSA-N 1 2 319.386 1.754 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NCCOC3CCCCC3)C[C@@H]21 ZINC000329626509 529784849 /nfs/dbraw/zinc/78/48/49/529784849.db2.gz TYYHBFVVKWGBQA-LSDHHAIUSA-N 1 2 311.426 1.265 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NCCOC3CCCCC3)C[C@@H]21 ZINC000329626509 529784850 /nfs/dbraw/zinc/78/48/50/529784850.db2.gz TYYHBFVVKWGBQA-LSDHHAIUSA-N 1 2 311.426 1.265 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)CCc3ccc(C#N)cc3)C[C@H]21 ZINC000328902359 529790144 /nfs/dbraw/zinc/79/01/44/529790144.db2.gz GMZKKCVTYNHIGU-SJORKVTESA-N 1 2 313.401 1.422 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)CCc3ccc(C#N)cc3)C[C@H]21 ZINC000328902359 529790145 /nfs/dbraw/zinc/79/01/45/529790145.db2.gz GMZKKCVTYNHIGU-SJORKVTESA-N 1 2 313.401 1.422 20 30 DDEDLO C=CCS(=O)(=O)CCNc1nc(C(C)C)[nH+]c(N(C)C)n1 ZINC000272797667 210201156 /nfs/dbraw/zinc/20/11/56/210201156.db2.gz DHVBAVJKQKBYJJ-UHFFFAOYSA-N 1 2 313.427 1.074 20 30 DDEDLO CN(C)c1ccccc1NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C ZINC000330694970 529795365 /nfs/dbraw/zinc/79/53/65/529795365.db2.gz BPEUKRDFKLFPGI-ZDUSSCGKSA-N 1 2 305.426 1.324 20 30 DDEDLO CN(C)c1ccccc1NC(=O)NC[C@H]1CN(C)CC[N@H+]1C ZINC000330694970 529795366 /nfs/dbraw/zinc/79/53/66/529795366.db2.gz BPEUKRDFKLFPGI-ZDUSSCGKSA-N 1 2 305.426 1.324 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNC(=O)N1CC[C@](F)(C#N)C1 ZINC000615528719 362320786 /nfs/dbraw/zinc/32/07/86/362320786.db2.gz GSWWKRRUCPCFDK-INIZCTEOSA-N 1 2 315.352 1.992 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)N(CCCO)Cc2ccccn2)CC1 ZINC000279895957 215214921 /nfs/dbraw/zinc/21/49/21/215214921.db2.gz AQDRPBQBSRWGQK-UHFFFAOYSA-N 1 2 315.417 1.138 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCC[C@](F)(CO)C1 ZINC000287093443 219309444 /nfs/dbraw/zinc/30/94/44/219309444.db2.gz WAEKVPMLMXRVHC-BLLLJJGKSA-N 1 2 305.353 1.682 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCC[C@](F)(CO)C1 ZINC000287093443 219309447 /nfs/dbraw/zinc/30/94/47/219309447.db2.gz WAEKVPMLMXRVHC-BLLLJJGKSA-N 1 2 305.353 1.682 20 30 DDEDLO N#Cc1cncnc1NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000601240102 358459657 /nfs/dbraw/zinc/45/96/57/358459657.db2.gz GNTNYIBUPNRVLS-UHFFFAOYSA-N 1 2 312.402 1.330 20 30 DDEDLO C#CCO[C@H](C)C(=O)Nc1ccc(N2CCOCC2)[nH+]c1C ZINC000295605156 222811605 /nfs/dbraw/zinc/81/16/05/222811605.db2.gz YIIXGYIGCJTGPR-CYBMUJFWSA-N 1 2 303.362 1.203 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(CC(=O)NCC3CC3)CC2)cc1 ZINC000042354352 352335676 /nfs/dbraw/zinc/33/56/76/352335676.db2.gz DBGGDIQNFBNAEP-UHFFFAOYSA-N 1 2 312.417 1.202 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000042748773 352350157 /nfs/dbraw/zinc/35/01/57/352350157.db2.gz CSIFQQJFHKZOHG-ZDUSSCGKSA-N 1 2 317.393 1.219 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(CCOc2ccccc2)CC1 ZINC000052659537 352622194 /nfs/dbraw/zinc/62/21/94/352622194.db2.gz VRTBPWBXSYOONK-INIZCTEOSA-N 1 2 317.433 1.374 20 30 DDEDLO CCCNC(=O)C[N@@H+]1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000055172514 352718794 /nfs/dbraw/zinc/71/87/94/352718794.db2.gz FLYNHXCPIHFJGS-UHFFFAOYSA-N 1 2 314.433 1.592 20 30 DDEDLO CCCNC(=O)C[N@H+]1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000055172514 352718798 /nfs/dbraw/zinc/71/87/98/352718798.db2.gz FLYNHXCPIHFJGS-UHFFFAOYSA-N 1 2 314.433 1.592 20 30 DDEDLO N#Cc1cccc(C2([NH2+]Cc3nnnn3CC(F)(F)F)CC2)c1 ZINC000277157877 213334088 /nfs/dbraw/zinc/33/40/88/213334088.db2.gz WNVJHJAAZBXZLG-UHFFFAOYSA-N 1 2 322.294 1.886 20 30 DDEDLO CN(C)C(=O)C(C)(C)[NH2+]Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000072631565 353205601 /nfs/dbraw/zinc/20/56/01/353205601.db2.gz JGSRDTKLQQKHES-UHFFFAOYSA-N 1 2 313.361 1.565 20 30 DDEDLO CCN(C(=O)[C@H]1C[N@H+](C)CCO1)[C@H](C)c1ccc(C#N)cc1 ZINC000174661080 198366437 /nfs/dbraw/zinc/36/64/37/198366437.db2.gz QLWYLOTUPNGYRL-CZUORRHYSA-N 1 2 301.390 1.798 20 30 DDEDLO CCN(C(=O)[C@H]1C[N@@H+](C)CCO1)[C@H](C)c1ccc(C#N)cc1 ZINC000174661080 198366438 /nfs/dbraw/zinc/36/64/38/198366438.db2.gz QLWYLOTUPNGYRL-CZUORRHYSA-N 1 2 301.390 1.798 20 30 DDEDLO COCCCNC(=O)C[N@@H+]1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000076153894 353401642 /nfs/dbraw/zinc/40/16/42/353401642.db2.gz SFQUBIHKKHBNPR-IRXDYDNUSA-N 1 2 315.417 1.911 20 30 DDEDLO COCCCNC(=O)C[N@H+]1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000076153894 353401646 /nfs/dbraw/zinc/40/16/46/353401646.db2.gz SFQUBIHKKHBNPR-IRXDYDNUSA-N 1 2 315.417 1.911 20 30 DDEDLO C=CCNC(=O)[C@H](C)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000076343189 353415915 /nfs/dbraw/zinc/41/59/15/353415915.db2.gz QUPHQMDKLHUYNF-HNNXBMFYSA-N 1 2 317.433 1.504 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(Cc2ccccc2OC)CC1 ZINC000076343189 353415917 /nfs/dbraw/zinc/41/59/17/353415917.db2.gz QUPHQMDKLHUYNF-HNNXBMFYSA-N 1 2 317.433 1.504 20 30 DDEDLO N#Cc1csc(C(=O)N2CC[NH+]([C@H]3CCSC3)CC2)c1 ZINC000087493859 353751288 /nfs/dbraw/zinc/75/12/88/353751288.db2.gz HKWGWSQNRGSNRX-LBPRGKRZSA-N 1 2 307.444 1.883 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCC[C@H](NS(C)(=O)=O)C1 ZINC000158049455 354228933 /nfs/dbraw/zinc/22/89/33/354228933.db2.gz SIOHISWXVWAPBC-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCC[C@H](NS(C)(=O)=O)C1 ZINC000158049455 354228935 /nfs/dbraw/zinc/22/89/35/354228935.db2.gz SIOHISWXVWAPBC-AWEZNQCLSA-N 1 2 323.418 1.080 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH2+][C@@H](C)c1nnc2ccccn21 ZINC000193322359 354291372 /nfs/dbraw/zinc/29/13/72/354291372.db2.gz IVCPOAWUJHXWOZ-ZDUSSCGKSA-N 1 2 301.394 1.805 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000311683661 354476482 /nfs/dbraw/zinc/47/64/82/354476482.db2.gz MSMXYOCJLLYDLP-HOTGVXAUSA-N 1 2 301.390 1.553 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000311683661 354476484 /nfs/dbraw/zinc/47/64/84/354476484.db2.gz MSMXYOCJLLYDLP-HOTGVXAUSA-N 1 2 301.390 1.553 20 30 DDEDLO CO[C@]1(CO)CCC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000401724815 354665911 /nfs/dbraw/zinc/66/59/11/354665911.db2.gz PQSKOJSYJLBROW-MRXNPFEDSA-N 1 2 321.377 1.180 20 30 DDEDLO CO[C@]1(CO)CCC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000401724815 354665914 /nfs/dbraw/zinc/66/59/14/354665914.db2.gz PQSKOJSYJLBROW-MRXNPFEDSA-N 1 2 321.377 1.180 20 30 DDEDLO N#CCN1CCC([NH2+][C@@H](c2cnn[nH]2)c2ccc(F)cc2)CC1 ZINC000585725512 354832704 /nfs/dbraw/zinc/83/27/04/354832704.db2.gz SKSVWTXIUTXOJS-MRXNPFEDSA-N 1 2 314.368 1.611 20 30 DDEDLO N#CCN1CCC([NH2+][C@@H](c2cn[nH]n2)c2ccc(F)cc2)CC1 ZINC000585725512 354832709 /nfs/dbraw/zinc/83/27/09/354832709.db2.gz SKSVWTXIUTXOJS-MRXNPFEDSA-N 1 2 314.368 1.611 20 30 DDEDLO N#CCN1CCC([NH2+][C@@H](c2c[nH]nn2)c2ccc(F)cc2)CC1 ZINC000585725512 354832712 /nfs/dbraw/zinc/83/27/12/354832712.db2.gz SKSVWTXIUTXOJS-MRXNPFEDSA-N 1 2 314.368 1.611 20 30 DDEDLO C=CCN(CC(F)(F)F)C(=O)c1ccc(-n2cc[nH+]c2)nn1 ZINC000588099027 354901778 /nfs/dbraw/zinc/90/17/78/354901778.db2.gz XCTQFBOZSHNRGF-UHFFFAOYSA-N 1 2 311.267 1.853 20 30 DDEDLO Cc1cc(C#N)cc(N2CC[NH+]([C@H](C)C(=O)NC3CC3)CC2)n1 ZINC000587084183 354880296 /nfs/dbraw/zinc/88/02/96/354880296.db2.gz SCYVEDUIZZXDLF-CYBMUJFWSA-N 1 2 313.405 1.051 20 30 DDEDLO Cn1c2nnc(C[NH2+][C@@H]3CCC[C@H]3C#N)n2c2ccccc2c1=O ZINC000589163886 354966285 /nfs/dbraw/zinc/96/62/85/354966285.db2.gz HQNDGZXLOGPCGV-WCQYABFASA-N 1 2 322.372 1.363 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1F ZINC000589908691 355031243 /nfs/dbraw/zinc/03/12/43/355031243.db2.gz XRYUMSOSAJLKCP-LLVKDONJSA-N 1 2 320.349 1.187 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@@H+]2C[C@H]3[C@H](CO)[C@H]3C2)c1C#N ZINC000590005845 355039351 /nfs/dbraw/zinc/03/93/51/355039351.db2.gz SMQWWGFHUWJTTF-FVQHAEBGSA-N 1 2 308.385 1.723 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[N@H+]2C[C@H]3[C@H](CO)[C@H]3C2)c1C#N ZINC000590005845 355039355 /nfs/dbraw/zinc/03/93/55/355039355.db2.gz SMQWWGFHUWJTTF-FVQHAEBGSA-N 1 2 308.385 1.723 20 30 DDEDLO CCC[C@@H](C#N)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000589835104 355023352 /nfs/dbraw/zinc/02/33/52/355023352.db2.gz UBZOTCPETMOLSF-JSGCOSHPSA-N 1 2 319.409 1.292 20 30 DDEDLO CCC[C@@H](C#N)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000589835104 355023355 /nfs/dbraw/zinc/02/33/55/355023355.db2.gz UBZOTCPETMOLSF-JSGCOSHPSA-N 1 2 319.409 1.292 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@H]3C2)c1 ZINC000332631242 235220826 /nfs/dbraw/zinc/22/08/26/235220826.db2.gz TTZPTLXYPQCRHX-JKSUJKDBSA-N 1 2 314.389 1.804 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2CC[C@H]3OCC[N@H+](C)[C@H]3C2)c1 ZINC000332631242 235220829 /nfs/dbraw/zinc/22/08/29/235220829.db2.gz TTZPTLXYPQCRHX-JKSUJKDBSA-N 1 2 314.389 1.804 20 30 DDEDLO CCC(=O)Nc1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000591772484 355382857 /nfs/dbraw/zinc/38/28/57/355382857.db2.gz HCUUUBLXZVBMAT-OAHLLOKOSA-N 1 2 304.394 1.653 20 30 DDEDLO CCC(=O)Nc1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000591772484 355382861 /nfs/dbraw/zinc/38/28/61/355382861.db2.gz HCUUUBLXZVBMAT-OAHLLOKOSA-N 1 2 304.394 1.653 20 30 DDEDLO Cc1ccc(F)cc1NC(=O)[C@H](C)[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592145930 355510834 /nfs/dbraw/zinc/51/08/34/355510834.db2.gz BLXYFXPVJOLEHV-BLLLJJGKSA-N 1 2 305.353 1.812 20 30 DDEDLO Cc1ccc(F)cc1NC(=O)[C@H](C)[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592145930 355510837 /nfs/dbraw/zinc/51/08/37/355510837.db2.gz BLXYFXPVJOLEHV-BLLLJJGKSA-N 1 2 305.353 1.812 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592147172 355512949 /nfs/dbraw/zinc/51/29/49/355512949.db2.gz IXDZDDAOQBYQTE-GUYCJALGSA-N 1 2 317.389 1.223 20 30 DDEDLO COc1ccccc1[C@H](C)NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592147172 355512952 /nfs/dbraw/zinc/51/29/52/355512952.db2.gz IXDZDDAOQBYQTE-GUYCJALGSA-N 1 2 317.389 1.223 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2nnc(-c3ccc(C#N)cc3)o2)C1 ZINC000592150293 355517464 /nfs/dbraw/zinc/51/74/64/355517464.db2.gz DMFDMXCBWCSOIG-INIZCTEOSA-N 1 2 309.329 1.459 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2nnc(-c3ccc(C#N)cc3)o2)C1 ZINC000592150293 355517467 /nfs/dbraw/zinc/51/74/67/355517467.db2.gz DMFDMXCBWCSOIG-INIZCTEOSA-N 1 2 309.329 1.459 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[NH+]1CCC(C2(O)CC2)CC1 ZINC000593051754 355780176 /nfs/dbraw/zinc/78/01/76/355780176.db2.gz WCBPAUNASJQYCJ-UHFFFAOYSA-N 1 2 305.403 1.795 20 30 DDEDLO Cn1cc(N2CCC[C@@H]([N@@H+]3Cc4cccc(C#N)c4C3)C2=O)cn1 ZINC000593119598 355805187 /nfs/dbraw/zinc/80/51/87/355805187.db2.gz BQBOBBJWEXCOGS-QGZVFWFLSA-N 1 2 321.384 1.803 20 30 DDEDLO Cn1cc(N2CCC[C@@H]([N@H+]3Cc4cccc(C#N)c4C3)C2=O)cn1 ZINC000593119598 355805192 /nfs/dbraw/zinc/80/51/92/355805192.db2.gz BQBOBBJWEXCOGS-QGZVFWFLSA-N 1 2 321.384 1.803 20 30 DDEDLO N#Cc1cccc2c1C[N@H+](C[C@H](O)COC1CCOCC1)C2 ZINC000593119588 355805408 /nfs/dbraw/zinc/80/54/08/355805408.db2.gz BEQTWZVNSHCVFF-HNNXBMFYSA-N 1 2 302.374 1.430 20 30 DDEDLO N#Cc1cccc2c1C[N@@H+](C[C@H](O)COC1CCOCC1)C2 ZINC000593119588 355805410 /nfs/dbraw/zinc/80/54/10/355805410.db2.gz BEQTWZVNSHCVFF-HNNXBMFYSA-N 1 2 302.374 1.430 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000593399400 355873430 /nfs/dbraw/zinc/87/34/30/355873430.db2.gz HYVHUXXWWYLDLU-GOEBONIOSA-N 1 2 301.390 1.726 20 30 DDEDLO C[N@H+](CC(=O)NCc1cccs1)Cc1ccc(C#N)cn1 ZINC000593958587 356073097 /nfs/dbraw/zinc/07/30/97/356073097.db2.gz STQHBAITJCHWQK-UHFFFAOYSA-N 1 2 300.387 1.763 20 30 DDEDLO C[N@@H+](CC(=O)NCc1cccs1)Cc1ccc(C#N)cn1 ZINC000593958587 356073098 /nfs/dbraw/zinc/07/30/98/356073098.db2.gz STQHBAITJCHWQK-UHFFFAOYSA-N 1 2 300.387 1.763 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(C(=O)CCCCC#N)CC1 ZINC000594312379 356170754 /nfs/dbraw/zinc/17/07/54/356170754.db2.gz DZGIGXSLHVZXCH-HNNXBMFYSA-N 1 2 320.437 1.225 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ncc(F)cn3)CC2)s1 ZINC000273729750 283141141 /nfs/dbraw/zinc/14/11/41/283141141.db2.gz JWJDUFRLUGPJPU-UHFFFAOYSA-N 1 2 303.366 1.871 20 30 DDEDLO Cc1cn2ccc(NC(=O)C(=O)NCC3(C#N)CCC3)cc2[nH+]1 ZINC000595038349 356375201 /nfs/dbraw/zinc/37/52/01/356375201.db2.gz LXAWXLLITLDDTM-UHFFFAOYSA-N 1 2 311.345 1.391 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)N[C@@H](C)c1[nH+]ccn1C ZINC000595219817 356410677 /nfs/dbraw/zinc/41/06/77/356410677.db2.gz RZCXJTLFDFRANU-NSHDSACASA-N 1 2 311.345 1.416 20 30 DDEDLO N#Cc1cccc(CNC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC000595457586 356500257 /nfs/dbraw/zinc/50/02/57/356500257.db2.gz LNLARBUDOPANKW-MRXNPFEDSA-N 1 2 314.389 1.174 20 30 DDEDLO N#CC[C@H]1CCCN(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000595570990 356556650 /nfs/dbraw/zinc/55/66/50/356556650.db2.gz RGWKUOSYCBDPHR-HUUCEWRRSA-N 1 2 313.405 1.996 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+](C)C[C@H]2COCCO2)c(C#N)c1C ZINC000595737497 356629262 /nfs/dbraw/zinc/62/92/62/356629262.db2.gz QXONMQDQLJVTJU-AAEUAGOBSA-N 1 2 321.377 1.442 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+](C)C[C@H]2COCCO2)c(C#N)c1C ZINC000595737497 356629265 /nfs/dbraw/zinc/62/92/65/356629265.db2.gz QXONMQDQLJVTJU-AAEUAGOBSA-N 1 2 321.377 1.442 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCc1ccccc1)[N@@H+]1CC[C@@](C)(C#N)C1 ZINC000595842120 356674630 /nfs/dbraw/zinc/67/46/30/356674630.db2.gz XRJKLOAAOXXASK-DYVFJYSZSA-N 1 2 314.389 1.636 20 30 DDEDLO C[C@H](C(=O)NC(=O)NCc1ccccc1)[N@H+]1CC[C@@](C)(C#N)C1 ZINC000595842120 356674631 /nfs/dbraw/zinc/67/46/31/356674631.db2.gz XRJKLOAAOXXASK-DYVFJYSZSA-N 1 2 314.389 1.636 20 30 DDEDLO CCc1nc(C#N)cc(N2CC[NH+](Cc3ccccn3)CC2)n1 ZINC000596060448 356756945 /nfs/dbraw/zinc/75/69/45/356756945.db2.gz JKFCSTKFLADELJ-UHFFFAOYSA-N 1 2 308.389 1.628 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1nc(C#N)c(Cl)s1 ZINC000596232653 356826203 /nfs/dbraw/zinc/82/62/03/356826203.db2.gz RGMXMRQSXHMSMX-NXEZZACHSA-N 1 2 312.826 1.968 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2ccc(C#N)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596429433 356876884 /nfs/dbraw/zinc/87/68/84/356876884.db2.gz JIDCYZNQIAEYMG-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2ccc(C#N)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596429433 356876887 /nfs/dbraw/zinc/87/68/87/356876887.db2.gz JIDCYZNQIAEYMG-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO Cc1nc(N2CC[C@@](O)(CC#N)C2)c(Br)c(C)[nH+]1 ZINC000596288348 356846313 /nfs/dbraw/zinc/84/63/13/356846313.db2.gz ICZSDXOUOWIJPZ-LBPRGKRZSA-N 1 2 311.183 1.711 20 30 DDEDLO N#Cc1cn(CC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c(=O)c2ccccc12 ZINC000596314701 356854994 /nfs/dbraw/zinc/85/49/94/356854994.db2.gz MPERQBLQPDSMLE-GASCZTMLSA-N 1 2 309.369 1.736 20 30 DDEDLO N#Cc1cn(CC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c(=O)c2ccccc12 ZINC000596314701 356854998 /nfs/dbraw/zinc/85/49/98/356854998.db2.gz MPERQBLQPDSMLE-GASCZTMLSA-N 1 2 309.369 1.736 20 30 DDEDLO C[C@H](O)[C@H]1CCN(c2cc(N3CCC[C@H](CC#N)C3)[nH+]cn2)C1 ZINC000596325373 356857785 /nfs/dbraw/zinc/85/77/85/356857785.db2.gz KAKXGBWVKOTCOV-ZNMIVQPWSA-N 1 2 315.421 1.814 20 30 DDEDLO C[C@H](O)[C@H]1CCN(c2cc(N3CCC[C@H](CC#N)C3)nc[nH+]2)C1 ZINC000596325373 356857790 /nfs/dbraw/zinc/85/77/90/356857790.db2.gz KAKXGBWVKOTCOV-ZNMIVQPWSA-N 1 2 315.421 1.814 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](Cc2cnn3c2CCC3)CC1 ZINC000596982411 357033244 /nfs/dbraw/zinc/03/32/44/357033244.db2.gz AIQXFAWKXBYSDJ-UHFFFAOYSA-N 1 2 308.389 1.418 20 30 DDEDLO C[C@@]1(C#N)CCN(C(=O)c2ccc(C[NH+]3CCOCC3)cn2)C1 ZINC000598416835 357571108 /nfs/dbraw/zinc/57/11/08/357571108.db2.gz FTYPGYWQYIYCBV-KRWDZBQOSA-N 1 2 314.389 1.290 20 30 DDEDLO Cc1ccccc1[C@@H]1C(=O)NCC[N@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598603081 357649694 /nfs/dbraw/zinc/64/96/94/357649694.db2.gz GGOPPZKPVCZASL-GOEBONIOSA-N 1 2 315.417 1.769 20 30 DDEDLO Cc1ccccc1[C@@H]1C(=O)NCC[N@@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598603081 357649697 /nfs/dbraw/zinc/64/96/97/357649697.db2.gz GGOPPZKPVCZASL-GOEBONIOSA-N 1 2 315.417 1.769 20 30 DDEDLO Cc1cc(=O)n(C)cc1[NH+]=C([O-])N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000329785461 223028518 /nfs/dbraw/zinc/02/85/18/223028518.db2.gz PYNHZOZSAOAKMM-SNVBAGLBSA-N 1 2 301.350 1.300 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@H]1CCn2c[nH+]cc2C1 ZINC000598950834 357774334 /nfs/dbraw/zinc/77/43/34/357774334.db2.gz UEUHOJJDRWLRPP-OCCSQVGLSA-N 1 2 310.357 1.653 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1CCCC12CC2 ZINC000599229605 357856877 /nfs/dbraw/zinc/85/68/77/357856877.db2.gz GAKHGQGLOUQSPD-HNNXBMFYSA-N 1 2 305.422 1.641 20 30 DDEDLO COC(=O)C1([NH2+]C[C@@H](O)CC2(C#N)CCOCC2)CCCCC1 ZINC000599301285 357882507 /nfs/dbraw/zinc/88/25/07/357882507.db2.gz AQCZLJDOFQZLNZ-AWEZNQCLSA-N 1 2 324.421 1.523 20 30 DDEDLO Cn1nccc1[C@@H]1c2[nH]c[nH+]c2CCN1CCOCCC#N ZINC000599445731 357940081 /nfs/dbraw/zinc/94/00/81/357940081.db2.gz PCLJMOLXJKOGBE-OAHLLOKOSA-N 1 2 300.366 1.021 20 30 DDEDLO Cc1noc(C[N@H+](C)C[C@H](O)COc2ccccc2C#N)n1 ZINC000599453919 357944733 /nfs/dbraw/zinc/94/47/33/357944733.db2.gz FEVANXRBFHQVFK-ZDUSSCGKSA-N 1 2 302.334 1.121 20 30 DDEDLO Cc1noc(C[N@@H+](C)C[C@H](O)COc2ccccc2C#N)n1 ZINC000599453919 357944735 /nfs/dbraw/zinc/94/47/35/357944735.db2.gz FEVANXRBFHQVFK-ZDUSSCGKSA-N 1 2 302.334 1.121 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)Nc1ccc(C#N)cc1Cl ZINC000599755888 358048778 /nfs/dbraw/zinc/04/87/78/358048778.db2.gz LTQNZJVUNLARJU-LLVKDONJSA-N 1 2 308.769 1.664 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)Nc1ccc(C#N)cc1Cl ZINC000599755888 358048783 /nfs/dbraw/zinc/04/87/83/358048783.db2.gz LTQNZJVUNLARJU-LLVKDONJSA-N 1 2 308.769 1.664 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)C1(c2cc(F)cc(C#N)c2)CC1 ZINC000600423026 358216500 /nfs/dbraw/zinc/21/65/00/358216500.db2.gz ZPNZKNQLEGNTBD-HNNXBMFYSA-N 1 2 317.364 1.176 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)C1(c2cc(F)cc(C#N)c2)CC1 ZINC000600423026 358216503 /nfs/dbraw/zinc/21/65/03/358216503.db2.gz ZPNZKNQLEGNTBD-HNNXBMFYSA-N 1 2 317.364 1.176 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000600681450 358281852 /nfs/dbraw/zinc/28/18/52/358281852.db2.gz HNPNANGDPKLNNY-DOTOQJQBSA-N 1 2 316.405 1.338 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000600681450 358281853 /nfs/dbraw/zinc/28/18/53/358281853.db2.gz HNPNANGDPKLNNY-DOTOQJQBSA-N 1 2 316.405 1.338 20 30 DDEDLO N#Cc1cccc2c1C[N@H+](C[C@@H](O)CC1(O)CCOCC1)C2 ZINC000600845353 358330633 /nfs/dbraw/zinc/33/06/33/358330633.db2.gz CQQFAKDVWGTTRT-HNNXBMFYSA-N 1 2 302.374 1.166 20 30 DDEDLO N#Cc1cccc2c1C[N@@H+](C[C@@H](O)CC1(O)CCOCC1)C2 ZINC000600845353 358330635 /nfs/dbraw/zinc/33/06/35/358330635.db2.gz CQQFAKDVWGTTRT-HNNXBMFYSA-N 1 2 302.374 1.166 20 30 DDEDLO C[C@@H](NS(=O)(=O)c1ccccc1CC#N)c1[nH+]ccn1C ZINC000601469681 358567277 /nfs/dbraw/zinc/56/72/77/358567277.db2.gz JCVNFROWHKTGNY-LLVKDONJSA-N 1 2 304.375 1.526 20 30 DDEDLO N#CCc1ccccc1S(=O)(=O)N1CC(n2cc[nH+]c2)C1 ZINC000601392345 358532058 /nfs/dbraw/zinc/53/20/58/358532058.db2.gz ZKJPHDXPBYGLFC-UHFFFAOYSA-N 1 2 302.359 1.195 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccccc1CC#N ZINC000601575403 358607985 /nfs/dbraw/zinc/60/79/85/358607985.db2.gz UTVPJOWNVQGTRN-GDBMZVCRSA-N 1 2 313.401 1.688 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccc(O)cc3)CC2)nc1 ZINC000601728921 358666494 /nfs/dbraw/zinc/66/64/94/358666494.db2.gz MDFKIGDOFNTHSW-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCO[C@H](C(F)F)CC2)cc1 ZINC000601973986 358761337 /nfs/dbraw/zinc/76/13/37/358761337.db2.gz GGKHLLTUMOZZIF-AWEZNQCLSA-N 1 2 323.343 1.530 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCO[C@H](C(F)F)CC2)cc1 ZINC000601973986 358761340 /nfs/dbraw/zinc/76/13/40/358761340.db2.gz GGKHLLTUMOZZIF-AWEZNQCLSA-N 1 2 323.343 1.530 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(C(=O)OC)n2C)C1=O ZINC000602650546 359099639 /nfs/dbraw/zinc/09/96/39/359099639.db2.gz XYRUMFPIEISNOW-ZDUSSCGKSA-N 1 2 305.378 1.030 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(C(=O)OC)n2C)C1=O ZINC000602650546 359099642 /nfs/dbraw/zinc/09/96/42/359099642.db2.gz XYRUMFPIEISNOW-ZDUSSCGKSA-N 1 2 305.378 1.030 20 30 DDEDLO COc1cc(C[NH+]2CCN(CCC#N)CC2)cc2c1OCO2 ZINC000602752536 359170349 /nfs/dbraw/zinc/17/03/49/359170349.db2.gz XZYRPACBTNWJSU-UHFFFAOYSA-N 1 2 303.362 1.455 20 30 DDEDLO COc1cccc(CNC(=O)C[N@H+](C)[C@@H]2CCC[C@H]2C#N)c1 ZINC000602974733 359334510 /nfs/dbraw/zinc/33/45/10/359334510.db2.gz SLEPMHCDTXUVGP-GOEBONIOSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1cccc(CNC(=O)C[N@@H+](C)[C@@H]2CCC[C@H]2C#N)c1 ZINC000602974733 359334512 /nfs/dbraw/zinc/33/45/12/359334512.db2.gz SLEPMHCDTXUVGP-GOEBONIOSA-N 1 2 301.390 1.935 20 30 DDEDLO Cc1cc(C#N)ccc1Cn1cc(CC[NH+]2CCOCC2)nn1 ZINC000603234907 359503473 /nfs/dbraw/zinc/50/34/73/359503473.db2.gz FPOXNGXPUXLYIR-UHFFFAOYSA-N 1 2 311.389 1.381 20 30 DDEDLO N#Cc1c(F)cccc1N1CCN(c2cc(CO)cc[nH+]2)CC1 ZINC000603277239 359535861 /nfs/dbraw/zinc/53/58/61/359535861.db2.gz YVZXMECMHDYMGZ-UHFFFAOYSA-N 1 2 312.348 1.911 20 30 DDEDLO CC1(CO)CC[NH+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000186894374 200027599 /nfs/dbraw/zinc/02/75/99/200027599.db2.gz FOTWSROFYZGZGY-CYBMUJFWSA-N 1 2 319.430 1.819 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[NH+]1CCC(C)(CO)CC1 ZINC000186970993 200041545 /nfs/dbraw/zinc/04/15/45/200041545.db2.gz VDTJJYVOAXLKEC-HNNXBMFYSA-N 1 2 302.374 1.867 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)NC[C@H]1COCC[N@H+]1C ZINC000624739255 366537196 /nfs/dbraw/zinc/53/71/96/366537196.db2.gz NCEMOALCUMYEBQ-KRWDZBQOSA-N 1 2 317.433 1.757 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)NC[C@H]1COCC[N@@H+]1C ZINC000624739255 366537203 /nfs/dbraw/zinc/53/72/03/366537203.db2.gz NCEMOALCUMYEBQ-KRWDZBQOSA-N 1 2 317.433 1.757 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@@H](C[NH+]3CCOCC3)C2)cc1O ZINC000188564059 200266989 /nfs/dbraw/zinc/26/69/89/200266989.db2.gz PLEYEVJJJSZTHT-ZDUSSCGKSA-N 1 2 315.373 1.058 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](Cc2cccc(C(N)=O)c2)CC1 ZINC000609485484 360312644 /nfs/dbraw/zinc/31/26/44/360312644.db2.gz RAQJODKESVKHIM-INIZCTEOSA-N 1 2 300.406 1.451 20 30 DDEDLO COC(=O)c1coc(C[NH+]2CCN([C@@H](C#N)C(C)C)CC2)c1 ZINC000609537769 360320315 /nfs/dbraw/zinc/32/03/15/360320315.db2.gz DBPLLXWHHVFJAZ-HNNXBMFYSA-N 1 2 305.378 1.732 20 30 DDEDLO N#Cc1cccnc1NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000609578081 360327455 /nfs/dbraw/zinc/32/74/55/360327455.db2.gz HTXZVLMHOZPDAQ-UHFFFAOYSA-N 1 2 313.405 1.309 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1cccc(C#N)c1 ZINC000610404830 360428372 /nfs/dbraw/zinc/42/83/72/360428372.db2.gz SGTBPKGDXQSGMH-KBPBESRZSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCOC[C@@H]1C)c1cccc(C#N)c1 ZINC000610404830 360428373 /nfs/dbraw/zinc/42/83/73/360428373.db2.gz SGTBPKGDXQSGMH-KBPBESRZSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@H](C(=O)NCc1ccccc1)[NH+]1CCN(CCC#N)CC1 ZINC000611173973 360646367 /nfs/dbraw/zinc/64/63/67/360646367.db2.gz TUZPYPYGZNVLOG-OAHLLOKOSA-N 1 2 300.406 1.223 20 30 DDEDLO COc1ccc(NC(=O)[C@@H](C)[NH+]2CCN(CCC#N)CC2)cc1 ZINC000611174355 360647394 /nfs/dbraw/zinc/64/73/94/360647394.db2.gz CNIPUPLYHJPCJQ-CQSZACIVSA-N 1 2 316.405 1.553 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)NCc2cc(F)cc(F)c2)CC1 ZINC000611174579 360647992 /nfs/dbraw/zinc/64/79/92/360647992.db2.gz IBRNVJDYVNDADM-UHFFFAOYSA-N 1 2 322.359 1.112 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+]1Cc1cccc(C(=O)OC)c1C#N ZINC000611126657 360630399 /nfs/dbraw/zinc/63/03/99/360630399.db2.gz IWVNFMAEFRZXQL-AWEZNQCLSA-N 1 2 301.346 1.055 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+]1Cc1cccc(C(=O)OC)c1C#N ZINC000611126657 360630404 /nfs/dbraw/zinc/63/04/04/360630404.db2.gz IWVNFMAEFRZXQL-AWEZNQCLSA-N 1 2 301.346 1.055 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC000611472397 360726660 /nfs/dbraw/zinc/72/66/60/360726660.db2.gz KTTUTVIBJWRJGO-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC000611472397 360726663 /nfs/dbraw/zinc/72/66/63/360726663.db2.gz KTTUTVIBJWRJGO-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO C[C@H]([NH2+]Cc1nc2c(cnn2C)c(=O)[nH]1)c1cccc(C#N)c1 ZINC000611387542 360709792 /nfs/dbraw/zinc/70/97/92/360709792.db2.gz CCXAFZKOVMWJDK-JTQLQIEISA-N 1 2 308.345 1.791 20 30 DDEDLO Cc1[nH+]c2ccccn2c1[C@H]1C(=O)NCCN1CC1(C#N)CC1 ZINC000611429930 360718872 /nfs/dbraw/zinc/71/88/72/360718872.db2.gz OBQNHLILJIFXQO-HNNXBMFYSA-N 1 2 309.373 1.419 20 30 DDEDLO C[C@H]1[N@H+](CC(=O)NC(=O)Nc2ccccc2)CCOC1(C)C ZINC000331061614 223183859 /nfs/dbraw/zinc/18/38/59/223183859.db2.gz UMLZPIDGXBFJQP-GFCCVEGCSA-N 1 2 305.378 1.848 20 30 DDEDLO C[C@H]1[N@@H+](CC(=O)NC(=O)Nc2ccccc2)CCOC1(C)C ZINC000331061614 223183861 /nfs/dbraw/zinc/18/38/61/223183861.db2.gz UMLZPIDGXBFJQP-GFCCVEGCSA-N 1 2 305.378 1.848 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000331060192 223183910 /nfs/dbraw/zinc/18/39/10/223183910.db2.gz NLZWAROQMMMAGC-CQSZACIVSA-N 1 2 315.417 1.938 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000331060192 223183912 /nfs/dbraw/zinc/18/39/12/223183912.db2.gz NLZWAROQMMMAGC-CQSZACIVSA-N 1 2 315.417 1.938 20 30 DDEDLO N#CCC[C@H](C#N)C[NH+]1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000337434154 223243774 /nfs/dbraw/zinc/24/37/74/223243774.db2.gz BRPMBUQCHRHVFG-CQSZACIVSA-N 1 2 324.450 1.320 20 30 DDEDLO C[C@H]1CCNC(=O)[C@@H]1[NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000193647011 201094440 /nfs/dbraw/zinc/09/44/40/201094440.db2.gz OAHGJWPJDNBYKX-IINYFYTJSA-N 1 2 311.345 1.222 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](Cc3ccccc3)CC2)ccn1 ZINC000194968396 201318505 /nfs/dbraw/zinc/31/85/05/201318505.db2.gz FAPCKPXMCPLAHB-UHFFFAOYSA-N 1 2 306.369 1.911 20 30 DDEDLO CCNC(=O)C[NH+]1CCN([C@H](C#N)c2ccc(Cl)cc2)CC1 ZINC000619784590 364148954 /nfs/dbraw/zinc/14/89/54/364148954.db2.gz CSNBERMHWWUIEE-OAHLLOKOSA-N 1 2 320.824 1.658 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@H](C)[N@@H+]2Cc2ccnc(C#N)c2)O1 ZINC000619785088 364149541 /nfs/dbraw/zinc/14/95/41/364149541.db2.gz WLZOFIWRJQEKPQ-SRMUXQRQSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@H](C)[N@H+]2Cc2ccnc(C#N)c2)O1 ZINC000619785088 364149546 /nfs/dbraw/zinc/14/95/46/364149546.db2.gz WLZOFIWRJQEKPQ-SRMUXQRQSA-N 1 2 315.373 1.637 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccc(CO)o1 ZINC000091936749 193128959 /nfs/dbraw/zinc/12/89/59/193128959.db2.gz ZNIJJTRTZHMONX-LBPRGKRZSA-N 1 2 313.361 1.144 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccc(CO)o1 ZINC000091936749 193128961 /nfs/dbraw/zinc/12/89/61/193128961.db2.gz ZNIJJTRTZHMONX-LBPRGKRZSA-N 1 2 313.361 1.144 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCNC(=O)C2(C)C)cc(OC)c1O ZINC000093084472 193201592 /nfs/dbraw/zinc/20/15/92/193201592.db2.gz TWVAMGPGXPLLEW-UHFFFAOYSA-N 1 2 304.390 1.840 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCNC(=O)C2(C)C)cc(OC)c1O ZINC000093084472 193201594 /nfs/dbraw/zinc/20/15/94/193201594.db2.gz TWVAMGPGXPLLEW-UHFFFAOYSA-N 1 2 304.390 1.840 20 30 DDEDLO C#CCCNC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000264965506 204308701 /nfs/dbraw/zinc/30/87/01/204308701.db2.gz FUZUOZQXNUVCJM-INIZCTEOSA-N 1 2 301.390 1.210 20 30 DDEDLO C#CCCNC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000264965506 204308704 /nfs/dbraw/zinc/30/87/04/204308704.db2.gz FUZUOZQXNUVCJM-INIZCTEOSA-N 1 2 301.390 1.210 20 30 DDEDLO Cc1cccc([C@@H](CNc2ncncc2C#N)[NH+]2CCOCC2)c1 ZINC000566528383 304165802 /nfs/dbraw/zinc/16/58/02/304165802.db2.gz QZGIPPFMZNIMOT-QGZVFWFLSA-N 1 2 323.400 1.564 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CC)CC(=O)N1CCCCCC1 ZINC000353211878 284382458 /nfs/dbraw/zinc/38/24/58/284382458.db2.gz QWLRIRXBAPRPDR-UHFFFAOYSA-N 1 2 322.453 1.473 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CC)CC(=O)N1CCCCCC1 ZINC000353211878 284382463 /nfs/dbraw/zinc/38/24/63/284382463.db2.gz QWLRIRXBAPRPDR-UHFFFAOYSA-N 1 2 322.453 1.473 20 30 DDEDLO C=CC[NH+](CC=C)[C@@H](C)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000267909478 206333161 /nfs/dbraw/zinc/33/31/61/206333161.db2.gz YYRBVJYPMATXHE-NSHDSACASA-N 1 2 300.362 1.857 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)Nc1cc(Cl)ccc1C#N)c1nncn1C ZINC000274013258 211269738 /nfs/dbraw/zinc/26/97/38/211269738.db2.gz LYTRNZWZPRQABY-SECBINFHSA-N 1 2 318.768 1.629 20 30 DDEDLO Cc1cccc(S(=O)(=O)N2C[C@@H](C)[NH+](C)[C@H](C)C2)c1C#N ZINC000339074465 250282244 /nfs/dbraw/zinc/28/22/44/250282244.db2.gz LWAQQKYXDMRWAE-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO N#Cc1cccc(NCC[NH+]2CCN(C(=O)C3CCC3)CC2)n1 ZINC000339222024 250347934 /nfs/dbraw/zinc/34/79/34/250347934.db2.gz NJRYIZOPXDKBFS-UHFFFAOYSA-N 1 2 313.405 1.309 20 30 DDEDLO CCc1nnc(NCC[N@@H+]2CCO[C@H](C)C2)c(C#N)c1CC ZINC000531823644 269681654 /nfs/dbraw/zinc/68/16/54/269681654.db2.gz VSTRRIGUKBAQNG-GFCCVEGCSA-N 1 2 303.410 1.606 20 30 DDEDLO CCc1nnc(NCC[N@H+]2CCO[C@H](C)C2)c(C#N)c1CC ZINC000531823644 269681655 /nfs/dbraw/zinc/68/16/55/269681655.db2.gz VSTRRIGUKBAQNG-GFCCVEGCSA-N 1 2 303.410 1.606 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Nc1ccc(C#N)cc1 ZINC000285567967 218513905 /nfs/dbraw/zinc/51/39/05/218513905.db2.gz HNYXRWDQKQOYIB-CZUORRHYSA-N 1 2 314.389 1.885 20 30 DDEDLO CC(=O)Nc1ccc(NC(=O)C[NH+]2CCC(C#N)CC2)cc1 ZINC000057907203 184062015 /nfs/dbraw/zinc/06/20/15/184062015.db2.gz NZDXAGDDPLVWOU-UHFFFAOYSA-N 1 2 300.362 1.819 20 30 DDEDLO CCC[N@@H+](CC#N)CCC(=O)NC(=O)NCc1ccccc1 ZINC000058912359 184131179 /nfs/dbraw/zinc/13/11/79/184131179.db2.gz CEKWBSWGLJVBOE-UHFFFAOYSA-N 1 2 302.378 1.638 20 30 DDEDLO CCC[N@H+](CC#N)CCC(=O)NC(=O)NCc1ccccc1 ZINC000058912359 184131181 /nfs/dbraw/zinc/13/11/81/184131181.db2.gz CEKWBSWGLJVBOE-UHFFFAOYSA-N 1 2 302.378 1.638 20 30 DDEDLO CC[C@H](C)NC(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000060480809 184150127 /nfs/dbraw/zinc/15/01/27/184150127.db2.gz WUVLNUWWIOBMRV-UONOGXRCSA-N 1 2 315.421 1.378 20 30 DDEDLO COc1ccc([C@@H](C)NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)cc1 ZINC000329629485 533087569 /nfs/dbraw/zinc/08/75/69/533087569.db2.gz VBRBDRDRWJBWSQ-UHOFOFEASA-N 1 2 319.405 1.685 20 30 DDEDLO COc1ccc([C@@H](C)NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)cc1 ZINC000329629485 533087577 /nfs/dbraw/zinc/08/75/77/533087577.db2.gz VBRBDRDRWJBWSQ-UHOFOFEASA-N 1 2 319.405 1.685 20 30 DDEDLO COCC[N@H+](CC(=O)N(C)C)Cc1ccc(C#N)cc1OC ZINC000120646415 195208244 /nfs/dbraw/zinc/20/82/44/195208244.db2.gz IBUPIEHMGRAOKE-UHFFFAOYSA-N 1 2 305.378 1.103 20 30 DDEDLO COCC[N@@H+](CC(=O)N(C)C)Cc1ccc(C#N)cc1OC ZINC000120646415 195208248 /nfs/dbraw/zinc/20/82/48/195208248.db2.gz IBUPIEHMGRAOKE-UHFFFAOYSA-N 1 2 305.378 1.103 20 30 DDEDLO Cc1nc(-c2ccc(OC[C@@H](O)C[N@H+](C)CCC#N)cc2)no1 ZINC000414151780 224306582 /nfs/dbraw/zinc/30/65/82/224306582.db2.gz WPDDHOCBSOLMQP-AWEZNQCLSA-N 1 2 316.361 1.630 20 30 DDEDLO Cc1nc(-c2ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc2)no1 ZINC000414151780 224306584 /nfs/dbraw/zinc/30/65/84/224306584.db2.gz WPDDHOCBSOLMQP-AWEZNQCLSA-N 1 2 316.361 1.630 20 30 DDEDLO NC(COc1ccccc1)=[NH+]OCC1CCS(=O)(=O)CC1 ZINC000271180551 407560178 /nfs/dbraw/zinc/56/01/78/407560178.db2.gz XPDLDDNAQMMKET-UHFFFAOYSA-N 1 2 312.391 1.179 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)C(C)C)CC1 ZINC000069609616 406741973 /nfs/dbraw/zinc/74/19/73/406741973.db2.gz RBGFEVUCPFTFQY-UHFFFAOYSA-N 1 2 309.454 1.601 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)C(C)C)CC1 ZINC000069609616 406741975 /nfs/dbraw/zinc/74/19/75/406741975.db2.gz RBGFEVUCPFTFQY-UHFFFAOYSA-N 1 2 309.454 1.601 20 30 DDEDLO N#Cc1cc(S(=O)(=O)NCCCn2cc[nH+]c2)ccc1F ZINC000071248626 406834179 /nfs/dbraw/zinc/83/41/79/406834179.db2.gz FXYHUIOAEARMHO-UHFFFAOYSA-N 1 2 308.338 1.262 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)Cc1cnn(C)c1 ZINC000032540062 406948758 /nfs/dbraw/zinc/94/87/58/406948758.db2.gz DQGXQYWEGIBTLP-CYBMUJFWSA-N 1 2 303.410 1.583 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)Cc1cnn(C)c1 ZINC000032540062 406948763 /nfs/dbraw/zinc/94/87/63/406948763.db2.gz DQGXQYWEGIBTLP-CYBMUJFWSA-N 1 2 303.410 1.583 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000078269226 407023514 /nfs/dbraw/zinc/02/35/14/407023514.db2.gz HXQZDYRXNLOBBC-RDJZCZTQSA-N 1 2 312.417 1.560 20 30 DDEDLO C=CC[N@@H+](CC(=O)N[C@@H](C)C(=O)N(C)C)Cc1cccs1 ZINC000051262365 407138458 /nfs/dbraw/zinc/13/84/58/407138458.db2.gz WFWOUOKGTPYXPG-LBPRGKRZSA-N 1 2 309.435 1.329 20 30 DDEDLO C=CC[N@H+](CC(=O)N[C@@H](C)C(=O)N(C)C)Cc1cccs1 ZINC000051262365 407138459 /nfs/dbraw/zinc/13/84/59/407138459.db2.gz WFWOUOKGTPYXPG-LBPRGKRZSA-N 1 2 309.435 1.329 20 30 DDEDLO N#Cc1c(Cl)cccc1S(=O)(=O)NCCCn1cc[nH+]c1 ZINC000091212517 407180894 /nfs/dbraw/zinc/18/08/94/407180894.db2.gz IHKHCQINESCKAV-UHFFFAOYSA-N 1 2 324.793 1.777 20 30 DDEDLO C=CC[N@@H+](Cc1cccc(OC)c1O)[C@H]1CCS(=O)(=O)C1 ZINC000092361468 407188469 /nfs/dbraw/zinc/18/84/69/407188469.db2.gz OZORFHAZDHSCBF-ZDUSSCGKSA-N 1 2 311.403 1.576 20 30 DDEDLO C=CC[N@H+](Cc1cccc(OC)c1O)[C@H]1CCS(=O)(=O)C1 ZINC000092361468 407188470 /nfs/dbraw/zinc/18/84/70/407188470.db2.gz OZORFHAZDHSCBF-ZDUSSCGKSA-N 1 2 311.403 1.576 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cc(C)ccc2C)CC1 ZINC000063589776 407233154 /nfs/dbraw/zinc/23/31/54/407233154.db2.gz ZSGXNCBKGQFXOF-UHFFFAOYSA-N 1 2 306.431 1.633 20 30 DDEDLO C=C(C)C[N@@H+](CC)[C@@H](C)C(=O)N(CC)[C@@H]1CCS(=O)(=O)C1 ZINC000124571252 407362021 /nfs/dbraw/zinc/36/20/21/407362021.db2.gz FRXHWDPKIJXOHJ-UONOGXRCSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)C[N@H+](CC)[C@@H](C)C(=O)N(CC)[C@@H]1CCS(=O)(=O)C1 ZINC000124571252 407362023 /nfs/dbraw/zinc/36/20/23/407362023.db2.gz FRXHWDPKIJXOHJ-UONOGXRCSA-N 1 2 316.467 1.309 20 30 DDEDLO CCC[C@](C)([NH2+]C[C@@H](O)COc1ccc(C#N)cc1)C(=O)OC ZINC000109803605 407398508 /nfs/dbraw/zinc/39/85/08/407398508.db2.gz PGSMIHCDRSMPPB-PBHICJAKSA-N 1 2 320.389 1.619 20 30 DDEDLO N#Cc1c(C[NH+]2CCN(c3cccnn3)CC2)cn2ccccc12 ZINC000170649606 407463583 /nfs/dbraw/zinc/46/35/83/407463583.db2.gz AAPBHDSFDMKGNO-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO Cc1ccc(NC(=O)CNC(=O)c2ccc(C#N)cc2)c(C)[nH+]1 ZINC000127707665 407455402 /nfs/dbraw/zinc/45/54/02/407455402.db2.gz ULTMDXFRVLBODD-UHFFFAOYSA-N 1 2 308.341 1.939 20 30 DDEDLO C=CC[N@@H+](CCc1csc(C)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000128394508 407527929 /nfs/dbraw/zinc/52/79/29/407527929.db2.gz RKOCAPXWXUBQQO-CYBMUJFWSA-N 1 2 300.449 1.669 20 30 DDEDLO C=CC[N@H+](CCc1csc(C)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000128394508 407527935 /nfs/dbraw/zinc/52/79/35/407527935.db2.gz RKOCAPXWXUBQQO-CYBMUJFWSA-N 1 2 300.449 1.669 20 30 DDEDLO C=CC[N@@H+](CCNC(=O)OC(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000178857435 407626572 /nfs/dbraw/zinc/62/65/72/407626572.db2.gz YRWKPUSGUMEKFT-LBPRGKRZSA-N 1 2 318.439 1.186 20 30 DDEDLO C=CC[N@H+](CCNC(=O)OC(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000178857435 407626579 /nfs/dbraw/zinc/62/65/79/407626579.db2.gz YRWKPUSGUMEKFT-LBPRGKRZSA-N 1 2 318.439 1.186 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000132381692 407783168 /nfs/dbraw/zinc/78/31/68/407783168.db2.gz FBNOQPVFGOEHED-AEFFLSMTSA-N 1 2 315.417 1.942 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000132381692 407783175 /nfs/dbraw/zinc/78/31/75/407783175.db2.gz FBNOQPVFGOEHED-AEFFLSMTSA-N 1 2 315.417 1.942 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)NC[C@H](C)Cn1cc[nH+]c1 ZINC000153486494 407834693 /nfs/dbraw/zinc/83/46/93/407834693.db2.gz WYWFVJODMHTTBL-UONOGXRCSA-N 1 2 312.373 1.975 20 30 DDEDLO CC1(C#N)CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)CC1 ZINC000272552330 407978545 /nfs/dbraw/zinc/97/85/45/407978545.db2.gz UBWXUCSBFVMDGW-CQSZACIVSA-N 1 2 315.421 1.815 20 30 DDEDLO CC1(C#N)CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)CC1 ZINC000272552330 407978552 /nfs/dbraw/zinc/97/85/52/407978552.db2.gz UBWXUCSBFVMDGW-CQSZACIVSA-N 1 2 315.421 1.815 20 30 DDEDLO CC[N@@H+](CC(=O)NC1(C#N)CCCCC1)C[C@@H](O)C(F)(F)F ZINC000189527152 408042785 /nfs/dbraw/zinc/04/27/85/408042785.db2.gz BKFNNVHEPOSVAT-LLVKDONJSA-N 1 2 321.343 1.574 20 30 DDEDLO CC[N@H+](CC(=O)NC1(C#N)CCCCC1)C[C@@H](O)C(F)(F)F ZINC000189527152 408042789 /nfs/dbraw/zinc/04/27/89/408042789.db2.gz BKFNNVHEPOSVAT-LLVKDONJSA-N 1 2 321.343 1.574 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000119092908 407993750 /nfs/dbraw/zinc/99/37/50/407993750.db2.gz AHNVNVQYYMBLPQ-HZPDHXFCSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000119092908 407993756 /nfs/dbraw/zinc/99/37/56/407993756.db2.gz AHNVNVQYYMBLPQ-HZPDHXFCSA-N 1 2 319.449 1.096 20 30 DDEDLO C=C(C)CNC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000119095929 407993768 /nfs/dbraw/zinc/99/37/68/407993768.db2.gz GQWSRRVJUQCYHB-KRWDZBQOSA-N 1 2 317.433 1.805 20 30 DDEDLO COc1cccc(CN(CCC#N)CC[NH+]2CCOCC2)c1O ZINC000154752561 408077742 /nfs/dbraw/zinc/07/77/42/408077742.db2.gz WDYHDFGKFRMCJG-UHFFFAOYSA-N 1 2 319.405 1.449 20 30 DDEDLO COc1cccc(C[N@H+](CCC#N)CCN2CCOCC2)c1O ZINC000154752561 408077747 /nfs/dbraw/zinc/07/77/47/408077747.db2.gz WDYHDFGKFRMCJG-UHFFFAOYSA-N 1 2 319.405 1.449 20 30 DDEDLO COc1cccc(C[N@@H+](CCC#N)CCN2CCOCC2)c1O ZINC000154752561 408077753 /nfs/dbraw/zinc/07/77/53/408077753.db2.gz WDYHDFGKFRMCJG-UHFFFAOYSA-N 1 2 319.405 1.449 20 30 DDEDLO CCc1ccc([C@H](COC)[NH2+]CC(=O)N(CC)CCC#N)o1 ZINC000273247146 408121721 /nfs/dbraw/zinc/12/17/21/408121721.db2.gz IMNLDRZQIXJCBC-AWEZNQCLSA-N 1 2 307.394 1.881 20 30 DDEDLO CN1CC[NH+](Cc2cccc(NC(=O)c3cc(C#N)c[nH]3)c2)CC1 ZINC000175419374 408128385 /nfs/dbraw/zinc/12/83/85/408128385.db2.gz NWLSBCMXTJEOKK-UHFFFAOYSA-N 1 2 323.400 1.886 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1C[C@@H](C)O[C@@H](CO)C1 ZINC000190153188 408177852 /nfs/dbraw/zinc/17/78/52/408177852.db2.gz ZQDBDXKSARDFPH-TZMCWYRMSA-N 1 2 306.362 1.027 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1C[C@@H](C)O[C@@H](CO)C1 ZINC000190153188 408177858 /nfs/dbraw/zinc/17/78/58/408177858.db2.gz ZQDBDXKSARDFPH-TZMCWYRMSA-N 1 2 306.362 1.027 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2cccs2)CC1 ZINC000121303989 408180038 /nfs/dbraw/zinc/18/00/38/408180038.db2.gz DASZSWNDRGCZDB-CYBMUJFWSA-N 1 2 306.435 1.631 20 30 DDEDLO N#Cc1cc(C[NH+]2CCN(c3ncc(F)cn3)CC2)ccc1F ZINC000273559361 408246893 /nfs/dbraw/zinc/24/68/93/408246893.db2.gz BVFBJTMJCMVWIL-UHFFFAOYSA-N 1 2 315.327 1.949 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[NH+](CC3CC3)CC2)c(F)c1 ZINC000151085789 408252352 /nfs/dbraw/zinc/25/23/52/408252352.db2.gz MPXBZIYFBBWCHR-UHFFFAOYSA-N 1 2 323.393 1.414 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH+]1CCN(c2cccs2)CC1 ZINC000121465220 408216618 /nfs/dbraw/zinc/21/66/18/408216618.db2.gz QPIDQIVLKZYMPW-LBPRGKRZSA-N 1 2 322.434 1.270 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(Cl)cc2F)CC1 ZINC000158018130 408324295 /nfs/dbraw/zinc/32/42/95/408324295.db2.gz WRQRYNJWUJORRG-UHFFFAOYSA-N 1 2 310.756 1.635 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)NCc2cn3c([nH+]2)CCCC3)c1 ZINC000263822302 408274917 /nfs/dbraw/zinc/27/49/17/408274917.db2.gz YJTIWDPKZCZDCY-UHFFFAOYSA-N 1 2 316.386 1.570 20 30 DDEDLO COC(=O)c1coc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)c1 ZINC000158216895 408331847 /nfs/dbraw/zinc/33/18/47/408331847.db2.gz LFQCPLIOVBKDPP-UHFFFAOYSA-N 1 2 316.313 1.838 20 30 DDEDLO C#CCNC(=O)N1CC[NH+](CCOc2cccc(OC)c2)CC1 ZINC000151291523 408291043 /nfs/dbraw/zinc/29/10/43/408291043.db2.gz UDFQIFYBKXASEG-UHFFFAOYSA-N 1 2 317.389 1.034 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)Nc1cc(C#N)ccc1[N+](=O)[O-] ZINC000274260908 408344445 /nfs/dbraw/zinc/34/44/45/408344445.db2.gz NACMUMJTQWSNPM-GFCCVEGCSA-N 1 2 303.366 1.514 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCCCOCc1ccccc1 ZINC000183256271 408365987 /nfs/dbraw/zinc/36/59/87/408365987.db2.gz OFYZTISDXOAFNI-UHFFFAOYSA-N 1 2 307.394 1.214 20 30 DDEDLO COCCCOC1C[NH+](C[C@H](O)COc2ccc(C#N)cc2)C1 ZINC000177224517 408555536 /nfs/dbraw/zinc/55/55/36/408555536.db2.gz JXALHJJTZIZCLJ-HNNXBMFYSA-N 1 2 320.389 1.035 20 30 DDEDLO C[NH+](C)C1(C#N)CCN(C(=O)N[C@H]2CCCc3c[nH]nc32)CC1 ZINC000275084362 408578959 /nfs/dbraw/zinc/57/89/59/408578959.db2.gz GCKGMOJCARIDOI-ZDUSSCGKSA-N 1 2 316.409 1.416 20 30 DDEDLO CC[N@H+](C[C@@H](O)c1ccc(C#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000248123745 408538366 /nfs/dbraw/zinc/53/83/66/408538366.db2.gz FLTVITWHDOMRPB-LSDHHAIUSA-N 1 2 308.403 1.101 20 30 DDEDLO CC[N@@H+](C[C@@H](O)c1ccc(C#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000248123745 408538373 /nfs/dbraw/zinc/53/83/73/408538373.db2.gz FLTVITWHDOMRPB-LSDHHAIUSA-N 1 2 308.403 1.101 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000275630651 408625864 /nfs/dbraw/zinc/62/58/64/408625864.db2.gz CGGQDGVAUBUWJT-GFCCVEGCSA-N 1 2 311.345 1.429 20 30 DDEDLO CC[C@H]1CN(C(=O)c2cc(C#N)cs2)CC[N@@H+]1CCOC ZINC000177488014 408637379 /nfs/dbraw/zinc/63/73/79/408637379.db2.gz KPPLBKLDRMEKQE-ZDUSSCGKSA-N 1 2 307.419 1.803 20 30 DDEDLO CC[C@H]1CN(C(=O)c2cc(C#N)cs2)CC[N@H+]1CCOC ZINC000177488014 408637384 /nfs/dbraw/zinc/63/73/84/408637384.db2.gz KPPLBKLDRMEKQE-ZDUSSCGKSA-N 1 2 307.419 1.803 20 30 DDEDLO COC[C@H]([NH2+]CC(=O)N[C@](C)(C#N)C1CC1)c1ccc(C)o1 ZINC000184689080 408666732 /nfs/dbraw/zinc/66/67/32/408666732.db2.gz WFARPLWPMWZXGB-XJKSGUPXSA-N 1 2 305.378 1.674 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH2+][C@H](COC)c1ccc(C)o1 ZINC000184765143 408685167 /nfs/dbraw/zinc/68/51/67/408685167.db2.gz CYGXTITZBCSODM-GXTWGEPZSA-N 1 2 307.394 1.873 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCN(c2ccccc2C#N)CC1 ZINC000193054931 408689849 /nfs/dbraw/zinc/68/98/49/408689849.db2.gz JZNNAYFFWPZARE-UHFFFAOYSA-N 1 2 323.400 1.573 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)Nc1ncccc1OCCCC#N ZINC000276025274 408753890 /nfs/dbraw/zinc/75/38/90/408753890.db2.gz CZZPSTIAKOGXCR-UHFFFAOYSA-N 1 2 314.349 1.819 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1Cc1cc(C#N)ccc1F ZINC000285895394 408883734 /nfs/dbraw/zinc/88/37/34/408883734.db2.gz KCWATGGEAPDOEI-CZUORRHYSA-N 1 2 303.381 1.992 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@@H](NC(=O)c2cccc(C#N)c2)CCO1 ZINC000276484936 408868684 /nfs/dbraw/zinc/86/86/84/408868684.db2.gz ZVFRQUCLDDAHQU-HOCLYGCPSA-N 1 2 310.357 1.942 20 30 DDEDLO Cc1n[nH]cc1C[NH+]1CCN(c2snc(C)c2C#N)CC1 ZINC000291638006 408898705 /nfs/dbraw/zinc/89/87/05/408898705.db2.gz SYSSNNKKJZVRPY-UHFFFAOYSA-N 1 2 302.407 1.677 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2cccc(F)c2)C1=O ZINC000282024041 408973497 /nfs/dbraw/zinc/97/34/97/408973497.db2.gz PLFOAFDLFYILHK-CQSZACIVSA-N 1 2 305.353 1.483 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2cccc(F)c2)C1=O ZINC000282024041 408973499 /nfs/dbraw/zinc/97/34/99/408973499.db2.gz PLFOAFDLFYILHK-CQSZACIVSA-N 1 2 305.353 1.483 20 30 DDEDLO C=CCSCCNC(=O)C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000286471245 408989046 /nfs/dbraw/zinc/98/90/46/408989046.db2.gz KUHTXNFSDPQPSH-GFCCVEGCSA-N 1 2 322.434 1.499 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3ccccc3C#N)CC2)C1=O ZINC000282138560 408999241 /nfs/dbraw/zinc/99/92/41/408999241.db2.gz ZIKKDJAWTWHUTM-KRWDZBQOSA-N 1 2 310.401 1.467 20 30 DDEDLO Cc1[nH]c(C(=O)N[C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)c[nH+]1 ZINC000277793419 409013011 /nfs/dbraw/zinc/01/30/11/409013011.db2.gz KANYJTFTLBHUGN-CQSZACIVSA-N 1 2 323.356 1.121 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000293247875 409075600 /nfs/dbraw/zinc/07/56/00/409075600.db2.gz TVVHUQDJRJYOTO-UHFFFAOYSA-N 1 2 319.361 1.555 20 30 DDEDLO C#CC(C)(C)[N@H+](C)CCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000279223892 409172112 /nfs/dbraw/zinc/17/21/12/409172112.db2.gz IKDFAHOYEOLYIB-UHFFFAOYSA-N 1 2 310.375 1.712 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)CCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC000279223892 409172115 /nfs/dbraw/zinc/17/21/15/409172115.db2.gz IKDFAHOYEOLYIB-UHFFFAOYSA-N 1 2 310.375 1.712 20 30 DDEDLO CO/N=C/C(=O)NC[C@@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000283837845 409225493 /nfs/dbraw/zinc/22/54/93/409225493.db2.gz ZYLUYGAOAJNYKT-BRFSQIRFSA-N 1 2 305.378 1.117 20 30 DDEDLO Cc1nsc(Nc2cnn(CC[NH+]3CCOCC3)c2)c1C#N ZINC000288963856 409202163 /nfs/dbraw/zinc/20/21/63/409202163.db2.gz TYXARHRUFFBKMQ-UHFFFAOYSA-N 1 2 318.406 1.596 20 30 DDEDLO C[C@@H](CNC(=O)[C@H](C)O[NH+]=C(N)[C@@H]1CCCO1)c1ccccc1 ZINC000284104725 409275958 /nfs/dbraw/zinc/27/59/58/409275958.db2.gz LIGFYRKERVGSEP-YDHLFZDLSA-N 1 2 319.405 1.763 20 30 DDEDLO C=CCOCC[NH+]1CCN(c2nc(F)c(F)cc2F)CC1 ZINC000294328532 409280844 /nfs/dbraw/zinc/28/08/44/409280844.db2.gz YISVNBNXAJQDEH-UHFFFAOYSA-N 1 2 301.312 1.824 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000294665459 409296944 /nfs/dbraw/zinc/29/69/44/409296944.db2.gz SEBAWMZBPJIAHL-HNNXBMFYSA-N 1 2 316.401 1.378 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)NC[C@H](C(C)C)[NH+]1CCOCC1 ZINC000280584128 409369027 /nfs/dbraw/zinc/36/90/27/409369027.db2.gz NANGZWFDGTZAEF-OAHLLOKOSA-N 1 2 305.378 1.546 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2cncc(C#N)c2)CC1 ZINC000295219046 409383325 /nfs/dbraw/zinc/38/33/25/409383325.db2.gz AXZTYWRKCUOFQS-LBPRGKRZSA-N 1 2 308.407 1.058 20 30 DDEDLO Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)N1CC(C)(C#N)C1 ZINC000280524986 409342351 /nfs/dbraw/zinc/34/23/51/409342351.db2.gz RKUFNFSVZAYEHB-UHFFFAOYSA-N 1 2 304.300 1.995 20 30 DDEDLO COc1ccc(CN(C)C(=O)CO[NH+]=C(N)[C@H]2CCCO2)cc1 ZINC000284456612 409342693 /nfs/dbraw/zinc/34/26/93/409342693.db2.gz QLOUMEAJBMMLIP-CQSZACIVSA-N 1 2 321.377 1.121 20 30 DDEDLO CCOC(=O)CC[N@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000316549351 164022657 /nfs/dbraw/zinc/02/26/57/164022657.db2.gz DGOOMOCGSGDDLS-UHFFFAOYSA-N 1 2 324.402 1.217 20 30 DDEDLO CCOC(=O)CC[N@@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000316549351 164022659 /nfs/dbraw/zinc/02/26/59/164022659.db2.gz DGOOMOCGSGDDLS-UHFFFAOYSA-N 1 2 324.402 1.217 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)c2cnccc2C#N)CC1 ZINC000342609525 409765055 /nfs/dbraw/zinc/76/50/55/409765055.db2.gz RPWGBRMJPNEBIO-CQSZACIVSA-N 1 2 321.384 1.867 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+](CCCO)Cc3ccccn3)n2c1 ZINC000349275687 409774690 /nfs/dbraw/zinc/77/46/90/409774690.db2.gz IEGZVCQGSAZHSJ-UHFFFAOYSA-N 1 2 321.384 1.986 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+](CCCO)Cc3ccccn3)n2c1 ZINC000349275687 409774694 /nfs/dbraw/zinc/77/46/94/409774694.db2.gz IEGZVCQGSAZHSJ-UHFFFAOYSA-N 1 2 321.384 1.986 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)c1cccc(C#N)c1C ZINC000338184929 409785818 /nfs/dbraw/zinc/78/58/18/409785818.db2.gz YEYYLKJDSAURBO-CQSZACIVSA-N 1 2 312.329 1.104 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)c1cccc(C#N)c1C ZINC000338184929 409785828 /nfs/dbraw/zinc/78/58/28/409785828.db2.gz YEYYLKJDSAURBO-CQSZACIVSA-N 1 2 312.329 1.104 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)Nc3ccnnc3)CC2)cc1 ZINC000297503380 409922197 /nfs/dbraw/zinc/92/21/97/409922197.db2.gz WIDSQYMXRVRNKF-UHFFFAOYSA-N 1 2 322.372 1.698 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)N2CCn3cc[nH+]c3C2)c(C#N)c1C ZINC000332107057 409890220 /nfs/dbraw/zinc/89/02/20/409890220.db2.gz SCSCDUMBWHOFJJ-LLVKDONJSA-N 1 2 313.361 1.807 20 30 DDEDLO Cc1[nH+]ccn1CC[NH+]=C([O-])N1CC[C@@H](C2CCOCC2)C1 ZINC000328724636 409962751 /nfs/dbraw/zinc/96/27/51/409962751.db2.gz AZRRURLHPBZTRK-OAHLLOKOSA-N 1 2 306.410 1.854 20 30 DDEDLO Cn1ncc(C(=O)NCc2cc[nH+]c(N3CCCCC3)c2)c1C#N ZINC000354606343 409964559 /nfs/dbraw/zinc/96/45/59/409964559.db2.gz RVTLLYZXSSOHHV-UHFFFAOYSA-N 1 2 324.388 1.607 20 30 DDEDLO C[C@H](CN1CCCC1=O)NC(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000328797921 409983553 /nfs/dbraw/zinc/98/35/53/409983553.db2.gz WFKLNTSSXNALPV-OLZOCXBDSA-N 1 2 319.409 1.134 20 30 DDEDLO CCN1CCN(C(=O)Nc2nc(C)c(C)o2)C[C@H]1c1[nH]cc[nH+]1 ZINC000328636825 409941491 /nfs/dbraw/zinc/94/14/91/409941491.db2.gz VQXHHKRNBCLOQB-LBPRGKRZSA-N 1 2 318.381 1.939 20 30 DDEDLO N#Cc1cc(C(=O)NCCCn2cc[nH+]c2)cnc1C(F)(F)F ZINC000338492321 410023278 /nfs/dbraw/zinc/02/32/78/410023278.db2.gz YQXDBCGBBJSGDV-UHFFFAOYSA-N 1 2 323.278 1.989 20 30 DDEDLO Cc1ccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)nc1 ZINC000332330338 410071502 /nfs/dbraw/zinc/07/15/02/410071502.db2.gz BQOILSWNJVXTEI-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)nc1 ZINC000332330338 410071504 /nfs/dbraw/zinc/07/15/04/410071504.db2.gz BQOILSWNJVXTEI-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO CCc1nc(C)c(NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)s1 ZINC000329062499 410078817 /nfs/dbraw/zinc/07/88/17/410078817.db2.gz VCTIGLLANDCCMF-RYUDHWBXSA-N 1 2 324.450 1.964 20 30 DDEDLO CCc1nc(C)c(NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)s1 ZINC000329062499 410078822 /nfs/dbraw/zinc/07/88/22/410078822.db2.gz VCTIGLLANDCCMF-RYUDHWBXSA-N 1 2 324.450 1.964 20 30 DDEDLO COC(=O)[C@H](NC(=O)C[N@H+](CCC#N)CC(C)(C)C)C(C)C ZINC000351517098 410087735 /nfs/dbraw/zinc/08/77/35/410087735.db2.gz IIXKKERJRKAGOD-CQSZACIVSA-N 1 2 311.426 1.562 20 30 DDEDLO COC(=O)[C@H](NC(=O)C[N@@H+](CCC#N)CC(C)(C)C)C(C)C ZINC000351517098 410087738 /nfs/dbraw/zinc/08/77/38/410087738.db2.gz IIXKKERJRKAGOD-CQSZACIVSA-N 1 2 311.426 1.562 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)CN1CCCCCC1=O)[NH+]1CCOCC1 ZINC000329096020 410099720 /nfs/dbraw/zinc/09/97/20/410099720.db2.gz LBCXHCIZOHPEQS-UONOGXRCSA-N 1 2 311.426 1.455 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCN(CCOC)C(=O)C2)cc1 ZINC000354905173 410163005 /nfs/dbraw/zinc/16/30/05/410163005.db2.gz KJZKTMAJDIOHHJ-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCN(CCOC)C(=O)C2)cc1 ZINC000354905173 410163012 /nfs/dbraw/zinc/16/30/12/410163012.db2.gz KJZKTMAJDIOHHJ-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO CN(C)c1ncc(C[N@H+](C)CC(=O)NC2CCOCC2)cn1 ZINC000329522360 410342753 /nfs/dbraw/zinc/34/27/53/410342753.db2.gz RDOTWRYLOALDIY-UHFFFAOYSA-N 1 2 307.398 1.110 20 30 DDEDLO CN(C)c1ncc(C[N@@H+](C)CC(=O)NC2CCOCC2)cn1 ZINC000329522360 410342759 /nfs/dbraw/zinc/34/27/59/410342759.db2.gz RDOTWRYLOALDIY-UHFFFAOYSA-N 1 2 307.398 1.110 20 30 DDEDLO CC(C)N(C)C(=O)[C@H](C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355075843 410292468 /nfs/dbraw/zinc/29/24/68/410292468.db2.gz BTPSNGXTHWUDNG-AWEZNQCLSA-N 1 2 315.421 1.331 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cnc4c(c3)CCCC4)C[C@@H]21 ZINC000329452853 410305852 /nfs/dbraw/zinc/30/58/52/410305852.db2.gz MGVRSVOAAPMXGD-HOTGVXAUSA-N 1 2 316.405 1.521 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cnc4c(c3)CCCC4)C[C@@H]21 ZINC000329452853 410305859 /nfs/dbraw/zinc/30/58/59/410305859.db2.gz MGVRSVOAAPMXGD-HOTGVXAUSA-N 1 2 316.405 1.521 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@@H+]2CCOCC3(CC3)C2)C1=O ZINC000329466364 410314220 /nfs/dbraw/zinc/31/42/20/410314220.db2.gz RYGBECMESOWGPH-ZDUSSCGKSA-N 1 2 317.414 1.837 20 30 DDEDLO N#Cc1ccsc1N1CC[C@H]([N@H+]2CCOCC3(CC3)C2)C1=O ZINC000329466364 410314226 /nfs/dbraw/zinc/31/42/26/410314226.db2.gz RYGBECMESOWGPH-ZDUSSCGKSA-N 1 2 317.414 1.837 20 30 DDEDLO Cc1ncc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cn1 ZINC000351893368 410320066 /nfs/dbraw/zinc/32/00/66/410320066.db2.gz VKWVTMLJPYPLFA-GFCCVEGCSA-N 1 2 309.377 1.157 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cn1 ZINC000351893368 410320072 /nfs/dbraw/zinc/32/00/72/410320072.db2.gz VKWVTMLJPYPLFA-GFCCVEGCSA-N 1 2 309.377 1.157 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2C[C@@H](C)[N@H+](C)C[C@H]2C)cc1C#N ZINC000352007707 410409298 /nfs/dbraw/zinc/40/92/98/410409298.db2.gz YJAQRQPHKKLVIB-NXEZZACHSA-N 1 2 320.418 1.545 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2C[C@@H](C)[N@@H+](C)C[C@H]2C)cc1C#N ZINC000352007707 410409310 /nfs/dbraw/zinc/40/93/10/410409310.db2.gz YJAQRQPHKKLVIB-NXEZZACHSA-N 1 2 320.418 1.545 20 30 DDEDLO Cc1ccc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)c2n[nH]cc21 ZINC000329929956 410488663 /nfs/dbraw/zinc/48/86/63/410488663.db2.gz NZSAZBZOGAQDOX-ZIAGYGMSSA-N 1 2 315.377 1.432 20 30 DDEDLO Cc1ccc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)c2n[nH]cc21 ZINC000329929956 410488668 /nfs/dbraw/zinc/48/86/68/410488668.db2.gz NZSAZBZOGAQDOX-ZIAGYGMSSA-N 1 2 315.377 1.432 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NC(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000339758036 410438734 /nfs/dbraw/zinc/43/87/34/410438734.db2.gz YPQSWYJEWNONGV-UHFFFAOYSA-N 1 2 317.433 1.736 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NC(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000339758036 410438737 /nfs/dbraw/zinc/43/87/37/410438737.db2.gz YPQSWYJEWNONGV-UHFFFAOYSA-N 1 2 317.433 1.736 20 30 DDEDLO O=C(C[C@@H]1CCOC1)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000329797860 410445004 /nfs/dbraw/zinc/44/50/04/410445004.db2.gz XIIVBVCERYJBQM-ZFWWWQNUSA-N 1 2 314.451 1.577 20 30 DDEDLO COc1cc(CNC(=O)[C@H]2CCn3cc[nH+]c3C2)ccc1C#N ZINC000358966876 410540413 /nfs/dbraw/zinc/54/04/13/410540413.db2.gz BRUBREILNVLORQ-ZDUSSCGKSA-N 1 2 310.357 1.642 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@@H+]3CCO[C@@H](C4CC4)C3)C2=O)cc1 ZINC000330115458 410548741 /nfs/dbraw/zinc/54/87/41/410548741.db2.gz FQBUXWQURMRUFX-IAGOWNOFSA-N 1 2 311.385 1.774 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@H+]3CCO[C@@H](C4CC4)C3)C2=O)cc1 ZINC000330115458 410548746 /nfs/dbraw/zinc/54/87/46/410548746.db2.gz FQBUXWQURMRUFX-IAGOWNOFSA-N 1 2 311.385 1.774 20 30 DDEDLO COc1ccccc1CNC(=O)C[NH+]1CCC(F)(C#N)CC1 ZINC000352467327 410660538 /nfs/dbraw/zinc/66/05/38/410660538.db2.gz JFJVGAIMFSVGPQ-UHFFFAOYSA-N 1 2 305.353 1.639 20 30 DDEDLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)N[C@@H]1CC[S@](=O)C1 ZINC000330285665 410613598 /nfs/dbraw/zinc/61/35/98/410613598.db2.gz ZFSWIDKCMQHLNT-IFMYKAFSSA-N 1 2 324.450 1.865 20 30 DDEDLO Cn1cc(C[NH+]2CCC(Oc3ccc(C#N)cc3F)CC2)nn1 ZINC000353008012 410730657 /nfs/dbraw/zinc/73/06/57/410730657.db2.gz MMTOJTMFCDHCFE-UHFFFAOYSA-N 1 2 315.352 1.869 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](CC)CC(=O)N2CCCCCC2)C1=O ZINC000353178625 410834089 /nfs/dbraw/zinc/83/40/89/410834089.db2.gz YBAYGUBCDLGEPW-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](CC)CC(=O)N2CCCCCC2)C1=O ZINC000353178625 410834092 /nfs/dbraw/zinc/83/40/92/410834092.db2.gz YBAYGUBCDLGEPW-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO CC#CCN(C)C(=O)c1cccc(CNc2cc[nH+]c(C)n2)c1 ZINC000341071196 410884293 /nfs/dbraw/zinc/88/42/93/410884293.db2.gz XQQSMTUWCCQECW-UHFFFAOYSA-N 1 2 308.385 2.492 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000356080406 410819742 /nfs/dbraw/zinc/81/97/42/410819742.db2.gz GYYSAZALTWVTCO-LBPRGKRZSA-N 1 2 318.377 1.409 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000356080406 410819749 /nfs/dbraw/zinc/81/97/49/410819749.db2.gz GYYSAZALTWVTCO-LBPRGKRZSA-N 1 2 318.377 1.409 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356220308 410904131 /nfs/dbraw/zinc/90/41/31/410904131.db2.gz MJQWOIFOVUANJA-CQSZACIVSA-N 1 2 319.453 1.788 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+](C)Cc1cnc(N(C)C)nc1 ZINC000356220308 410904137 /nfs/dbraw/zinc/90/41/37/410904137.db2.gz MJQWOIFOVUANJA-CQSZACIVSA-N 1 2 319.453 1.788 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000341367726 411026180 /nfs/dbraw/zinc/02/61/80/411026180.db2.gz JOADKWOFJQCWTR-OAHLLOKOSA-N 1 2 305.426 1.602 20 30 DDEDLO CCCN(CC#N)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000353795668 411131483 /nfs/dbraw/zinc/13/14/83/411131483.db2.gz PYEORUCPPQRROV-UHFFFAOYSA-N 1 2 318.402 1.856 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNC(=O)c1cnn(C)c1C#N ZINC000353677383 411105222 /nfs/dbraw/zinc/10/52/22/411105222.db2.gz QMYDOFJPIMTOQS-UHFFFAOYSA-N 1 2 308.345 1.380 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000645339425 422997352 /nfs/dbraw/zinc/99/73/52/422997352.db2.gz SHUAMCRIVUQVRO-OAHLLOKOSA-N 1 2 314.389 1.259 20 30 DDEDLO Cc1[nH]c(=O)c(C#N)c(C)c1CCC(=O)Nc1ccc(N)[nH+]c1 ZINC000131808689 196200810 /nfs/dbraw/zinc/20/08/10/196200810.db2.gz SXCZTILRURDQPH-UHFFFAOYSA-N 1 2 311.345 1.412 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000652403246 423003660 /nfs/dbraw/zinc/00/36/60/423003660.db2.gz RIGBEQDXQRSCBK-CABCVRRESA-N 1 2 314.389 1.828 20 30 DDEDLO Cc1cc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)ncc1C#N ZINC000603109952 416631004 /nfs/dbraw/zinc/63/10/04/416631004.db2.gz CEVNNKNOSOCJII-AWEZNQCLSA-N 1 2 315.377 1.200 20 30 DDEDLO Cc1cc(NC(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)ncc1C#N ZINC000603115153 416632936 /nfs/dbraw/zinc/63/29/36/416632936.db2.gz CDLJIXORLNPLJL-QWHCGFSZSA-N 1 2 317.393 1.492 20 30 DDEDLO CCc1ccc([C@H](C)NC(=O)[C@H](C)O[NH+]=C(N)CCO)cc1 ZINC000121359660 221539997 /nfs/dbraw/zinc/53/99/97/221539997.db2.gz OSVSPULLRYNREW-RYUDHWBXSA-N 1 2 307.394 1.486 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CC[NH2+][C@@H](c3ccncc3)C2)cc1 ZINC000374383002 418525117 /nfs/dbraw/zinc/52/51/17/418525117.db2.gz CMBZMJFCVMYIMT-GOSISDBHSA-N 1 2 321.380 1.880 20 30 DDEDLO COc1ccc2c(c1)OCC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C2 ZINC000374851881 418569846 /nfs/dbraw/zinc/56/98/46/418569846.db2.gz AAAUOLJUHOSQQX-ZDUSSCGKSA-N 1 2 317.389 1.650 20 30 DDEDLO COc1ccc2c(c1)OCC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C2 ZINC000374851881 418569849 /nfs/dbraw/zinc/56/98/49/418569849.db2.gz AAAUOLJUHOSQQX-ZDUSSCGKSA-N 1 2 317.389 1.650 20 30 DDEDLO C#CCN(CC#C)C(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000190415471 222077720 /nfs/dbraw/zinc/07/77/20/222077720.db2.gz RSJAORKCPMYUNG-UHFFFAOYSA-N 1 2 320.352 1.121 20 30 DDEDLO C[C@H](NC(=O)c1cccc(CC#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000264205215 222329619 /nfs/dbraw/zinc/32/96/19/222329619.db2.gz FBAFNMIEOXAKRE-KBPBESRZSA-N 1 2 301.390 1.592 20 30 DDEDLO CCOc1ccccc1OCCO[NH+]=C(N)C(C)(C)NC(C)=O ZINC000266363096 222361494 /nfs/dbraw/zinc/36/14/94/222361494.db2.gz ZRJUVVWMDPDPQK-UHFFFAOYSA-N 1 2 323.393 1.668 20 30 DDEDLO N#CCc1ccc(C(=O)Nc2c[nH+]ccc2-n2ccnn2)cc1 ZINC000377436251 418709473 /nfs/dbraw/zinc/70/94/73/418709473.db2.gz YYOCNOYFFIRIEY-UHFFFAOYSA-N 1 2 304.313 1.981 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000377703898 418713320 /nfs/dbraw/zinc/71/33/20/418713320.db2.gz QHKYLPFZOACQRA-DUVNUKRYSA-N 1 2 320.414 1.596 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+]2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000377703898 418713321 /nfs/dbraw/zinc/71/33/21/418713321.db2.gz QHKYLPFZOACQRA-DUVNUKRYSA-N 1 2 320.414 1.596 20 30 DDEDLO Cc1cc([C@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)[nH]n1 ZINC000377845757 418716369 /nfs/dbraw/zinc/71/63/69/418716369.db2.gz VMBMBPZVKIDISE-YOEHRIQHSA-N 1 2 317.437 1.952 20 30 DDEDLO Cc1cc([C@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)[nH]n1 ZINC000377845757 418716371 /nfs/dbraw/zinc/71/63/71/418716371.db2.gz VMBMBPZVKIDISE-YOEHRIQHSA-N 1 2 317.437 1.952 20 30 DDEDLO Cc1cc([C@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000377845757 418716374 /nfs/dbraw/zinc/71/63/74/418716374.db2.gz VMBMBPZVKIDISE-YOEHRIQHSA-N 1 2 317.437 1.952 20 30 DDEDLO Cc1cc([C@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000377845757 418716375 /nfs/dbraw/zinc/71/63/75/418716375.db2.gz VMBMBPZVKIDISE-YOEHRIQHSA-N 1 2 317.437 1.952 20 30 DDEDLO CC(C)CCN(CCC#N)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000377064848 418704904 /nfs/dbraw/zinc/70/49/04/418704904.db2.gz WPQIPRRZYGNCNR-IAGOWNOFSA-N 1 2 308.470 1.958 20 30 DDEDLO C=C(C)CN(C)C(=O)NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000370149162 418741197 /nfs/dbraw/zinc/74/11/97/418741197.db2.gz NFXKMDJMZUMUDH-MRXNPFEDSA-N 1 2 311.426 1.085 20 30 DDEDLO C[NH+]1CCN(C2(C(=O)N3CCC(C)(C#N)CC3)CCCC2)CC1 ZINC000370356957 418743086 /nfs/dbraw/zinc/74/30/86/418743086.db2.gz GSFKGMRMTGVYQN-UHFFFAOYSA-N 1 2 318.465 1.699 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1ccc(C#N)s1)[NH+]1CCOCC1 ZINC000362892742 418760840 /nfs/dbraw/zinc/76/08/40/418760840.db2.gz JUHPZODXOBPIAB-CYBMUJFWSA-N 1 2 307.419 1.706 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC000363537322 418767496 /nfs/dbraw/zinc/76/74/96/418767496.db2.gz WXGSZXXJVBFUPS-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC000363537322 418767499 /nfs/dbraw/zinc/76/74/99/418767499.db2.gz WXGSZXXJVBFUPS-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO N#CCOc1ccccc1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000363708582 418769099 /nfs/dbraw/zinc/76/90/99/418769099.db2.gz KJHVDNSSIRMQIE-CQSZACIVSA-N 1 2 315.373 1.136 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCC(c2nnc(C)[nH]2)CC1 ZINC000364013617 418772284 /nfs/dbraw/zinc/77/22/84/418772284.db2.gz GIOVVSBQGWIRER-ZDUSSCGKSA-N 1 2 317.437 1.882 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCCC[C@H]1c1ncc(C)s1 ZINC000371342886 418780349 /nfs/dbraw/zinc/78/03/49/418780349.db2.gz CPZKXOOXYWHKQY-LBPRGKRZSA-N 1 2 322.434 1.990 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCCC[C@H]1c1ncc(C)s1 ZINC000371342886 418780351 /nfs/dbraw/zinc/78/03/51/418780351.db2.gz CPZKXOOXYWHKQY-LBPRGKRZSA-N 1 2 322.434 1.990 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CCN3CCOC[C@H]3C2)c1C ZINC000368813384 418725827 /nfs/dbraw/zinc/72/58/27/418725827.db2.gz GAUAYNWMGJRSEL-MRXNPFEDSA-N 1 2 317.433 1.490 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CCN3CCOC[C@H]3C2)c1C ZINC000368813384 418725828 /nfs/dbraw/zinc/72/58/28/418725828.db2.gz GAUAYNWMGJRSEL-MRXNPFEDSA-N 1 2 317.433 1.490 20 30 DDEDLO CCOC(=O)c1cnn(Cc2ccc(-n3cc[nH+]c3)nc2)c1C#N ZINC000364721726 418804293 /nfs/dbraw/zinc/80/42/93/418804293.db2.gz LYJSMNDVNAPDES-UHFFFAOYSA-N 1 2 322.328 1.560 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1[C@@H]1CCCC1=O ZINC000365044573 418832640 /nfs/dbraw/zinc/83/26/40/418832640.db2.gz HEEIWJKNNRDXRV-LSDHHAIUSA-N 1 2 308.422 1.481 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1[C@@H]1CCCC1=O ZINC000365044573 418832643 /nfs/dbraw/zinc/83/26/43/418832643.db2.gz HEEIWJKNNRDXRV-LSDHHAIUSA-N 1 2 308.422 1.481 20 30 DDEDLO CCCC[C@@H](ON=C(C)C)C(=O)NC[C@@H]1C[NH+]2CCN1CC2 ZINC000371894016 418823957 /nfs/dbraw/zinc/82/39/57/418823957.db2.gz MUTAZLFVTWOKHF-HUUCEWRRSA-N 1 2 310.442 1.074 20 30 DDEDLO C#CCCCC(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000365550102 418874578 /nfs/dbraw/zinc/87/45/78/418874578.db2.gz RVCYFNHDGJZKFP-UHFFFAOYSA-N 1 2 308.422 1.176 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)NCc1ccc(C#N)s1 ZINC000420904035 419347377 /nfs/dbraw/zinc/34/73/77/419347377.db2.gz BIDSIIOZKFLSDA-UHFFFAOYSA-N 1 2 322.434 1.530 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)NCc1ccc(C#N)s1 ZINC000420904035 419347379 /nfs/dbraw/zinc/34/73/79/419347379.db2.gz BIDSIIOZKFLSDA-UHFFFAOYSA-N 1 2 322.434 1.530 20 30 DDEDLO CC[C@H]1C(=O)N(CC)CC[N@H+]1CCOc1cccc(C#N)c1 ZINC000425934119 419371665 /nfs/dbraw/zinc/37/16/65/419371665.db2.gz ZGLCRDHIMKOXGQ-INIZCTEOSA-N 1 2 301.390 1.880 20 30 DDEDLO CC[C@H]1C(=O)N(CC)CC[N@@H+]1CCOc1cccc(C#N)c1 ZINC000425934119 419371668 /nfs/dbraw/zinc/37/16/68/419371668.db2.gz ZGLCRDHIMKOXGQ-INIZCTEOSA-N 1 2 301.390 1.880 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@H](C2CCC2)C1 ZINC000411860965 419442438 /nfs/dbraw/zinc/44/24/38/419442438.db2.gz MPVNZVXZZKIPFO-FRFSOERESA-N 1 2 307.438 1.930 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@@H](C2CCC2)C1 ZINC000411860965 419442442 /nfs/dbraw/zinc/44/24/42/419442442.db2.gz MPVNZVXZZKIPFO-FRFSOERESA-N 1 2 307.438 1.930 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](C(=O)N(C)C)C1 ZINC000411962264 419524028 /nfs/dbraw/zinc/52/40/28/419524028.db2.gz ZLMBJHAVWNXBLZ-GJZGRUSLSA-N 1 2 315.373 1.182 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](C(=O)N(C)C)C1 ZINC000411962264 419524037 /nfs/dbraw/zinc/52/40/37/419524037.db2.gz ZLMBJHAVWNXBLZ-GJZGRUSLSA-N 1 2 315.373 1.182 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)[nH]1 ZINC000412024906 419569540 /nfs/dbraw/zinc/56/95/40/419569540.db2.gz GCPUKGRRKDGOFQ-GHJWDPDVSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)[nH]1 ZINC000412024906 419569545 /nfs/dbraw/zinc/56/95/45/419569545.db2.gz GCPUKGRRKDGOFQ-GHJWDPDVSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000412024906 419569554 /nfs/dbraw/zinc/56/95/54/419569554.db2.gz GCPUKGRRKDGOFQ-GHJWDPDVSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1n[nH]c([C@@H]2CC[N@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000412024906 419569563 /nfs/dbraw/zinc/56/95/63/419569563.db2.gz GCPUKGRRKDGOFQ-GHJWDPDVSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000412024906 419569567 /nfs/dbraw/zinc/56/95/67/419569567.db2.gz GCPUKGRRKDGOFQ-GHJWDPDVSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C2)n[nH]1 ZINC000412024906 419569574 /nfs/dbraw/zinc/56/95/74/419569574.db2.gz GCPUKGRRKDGOFQ-GHJWDPDVSA-N 1 2 318.425 1.345 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2C[C@H](O)C[C@H](C)C2)c(C#N)c1C ZINC000412046356 419590281 /nfs/dbraw/zinc/59/02/81/419590281.db2.gz ZTWHNZKTFABIFR-XHVZSJERSA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2C[C@H](O)C[C@H](C)C2)c(C#N)c1C ZINC000412046356 419590290 /nfs/dbraw/zinc/59/02/90/419590290.db2.gz ZTWHNZKTFABIFR-XHVZSJERSA-N 1 2 305.378 1.798 20 30 DDEDLO CC[C@@](C)([NH2+]CCC(=O)Nc1sccc1C#N)C(=O)OC ZINC000428299128 419843426 /nfs/dbraw/zinc/84/34/26/419843426.db2.gz HLXHFWZBBNUVCB-CQSZACIVSA-N 1 2 309.391 1.880 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NC[C@@H]2CC[NH2+]CC2(F)F)cc1 ZINC000420470035 420305756 /nfs/dbraw/zinc/30/57/56/420305756.db2.gz PZTMJRKBBXOHFM-NSHDSACASA-N 1 2 315.345 1.081 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCCNS(=O)(=O)[C@@H](C)C#N ZINC000416365769 420343674 /nfs/dbraw/zinc/34/36/74/420343674.db2.gz BOTBVFVILQAVIX-NSHDSACASA-N 1 2 306.391 1.566 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N[C@@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000416389390 420353863 /nfs/dbraw/zinc/35/38/63/420353863.db2.gz OHWQKTYVFLJGLV-WDEREUQCSA-N 1 2 310.423 1.327 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000450897412 420555770 /nfs/dbraw/zinc/55/57/70/420555770.db2.gz PQHLXJUROPDSGX-ZIAGYGMSSA-N 1 2 306.410 1.567 20 30 DDEDLO C=CCC[C@H](CO)Nc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000450897412 420555774 /nfs/dbraw/zinc/55/57/74/420555774.db2.gz PQHLXJUROPDSGX-ZIAGYGMSSA-N 1 2 306.410 1.567 20 30 DDEDLO CCN1CC[NH+](CC(C)(C)NC(=O)c2cc(C#N)ccn2)CC1 ZINC000456890075 420561511 /nfs/dbraw/zinc/56/15/11/420561511.db2.gz YMGWNSHMILNBHB-UHFFFAOYSA-N 1 2 315.421 1.099 20 30 DDEDLO N#Cc1ccnc(N(CC[NH+]2CCOCC2)Cc2ccco2)c1 ZINC000450946085 420566975 /nfs/dbraw/zinc/56/69/75/420566975.db2.gz YDUYASOMQMMJDA-UHFFFAOYSA-N 1 2 312.373 1.885 20 30 DDEDLO N#CCCN(Cc1cccnc1)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000440709687 420599543 /nfs/dbraw/zinc/59/95/43/420599543.db2.gz JXRYSBNTATVXRJ-OAHLLOKOSA-N 1 2 309.373 1.783 20 30 DDEDLO CC(C)C[C@@H](CNC(=O)c1cc(C#N)ccn1)[NH+]1CCOCC1 ZINC000478691086 420970422 /nfs/dbraw/zinc/97/04/22/420970422.db2.gz SVSXTHUQOHWSGL-HNNXBMFYSA-N 1 2 316.405 1.430 20 30 DDEDLO C=CCC[C@H]([NH2+][C@H]1CCC[C@@H](S(C)(=O)=O)C1)C(=O)OCC ZINC000449655237 421047340 /nfs/dbraw/zinc/04/73/40/421047340.db2.gz GWLXGCOGYUDAKS-MJBXVCDLSA-N 1 2 317.451 1.830 20 30 DDEDLO Cc1nc(N2CC[NH+]([C@H](C)C(=O)NC3CC3)CC2)ccc1C#N ZINC000487944770 421057719 /nfs/dbraw/zinc/05/77/19/421057719.db2.gz UECKXLBTENOVSK-CYBMUJFWSA-N 1 2 313.405 1.051 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)Nc2ccc(F)cc2C#N)CCO1 ZINC000488907783 421125448 /nfs/dbraw/zinc/12/54/48/421125448.db2.gz JXKXHOIUOWGXKF-AWEZNQCLSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(F)cc2C#N)CCO1 ZINC000488907783 421125451 /nfs/dbraw/zinc/12/54/51/421125451.db2.gz JXKXHOIUOWGXKF-AWEZNQCLSA-N 1 2 320.368 1.930 20 30 DDEDLO CN(C)C(=O)OC[C@@H]1CCCC[N@@H+]1CC(=O)NC1(C#N)CCC1 ZINC000495815304 421070474 /nfs/dbraw/zinc/07/04/74/421070474.db2.gz YKKMAEXXLZPDAL-ZDUSSCGKSA-N 1 2 322.409 1.102 20 30 DDEDLO CN(C)C(=O)OC[C@@H]1CCCC[N@H+]1CC(=O)NC1(C#N)CCC1 ZINC000495815304 421070477 /nfs/dbraw/zinc/07/04/77/421070477.db2.gz YKKMAEXXLZPDAL-ZDUSSCGKSA-N 1 2 322.409 1.102 20 30 DDEDLO N#Cc1cnc(C(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)c(Cl)c1 ZINC000560344700 421249420 /nfs/dbraw/zinc/24/94/20/421249420.db2.gz BLMAQGWEOVFDNS-SECBINFHSA-N 1 2 301.737 1.617 20 30 DDEDLO N#Cc1cnc(C(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)c(Cl)c1 ZINC000560344700 421249424 /nfs/dbraw/zinc/24/94/24/421249424.db2.gz BLMAQGWEOVFDNS-SECBINFHSA-N 1 2 301.737 1.617 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCc3c(cccc3[N+](=O)[O-])C2)C1=O ZINC000525290235 421284846 /nfs/dbraw/zinc/28/48/46/421284846.db2.gz HJJATDYEPXLHJO-HNNXBMFYSA-N 1 2 301.346 1.740 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCc3c(cccc3[N+](=O)[O-])C2)C1=O ZINC000525290235 421284847 /nfs/dbraw/zinc/28/48/47/421284847.db2.gz HJJATDYEPXLHJO-HNNXBMFYSA-N 1 2 301.346 1.740 20 30 DDEDLO C[NH+](C)[C@H](CNc1cc(C#N)ncn1)c1c(F)cccc1F ZINC000562422082 421364278 /nfs/dbraw/zinc/36/42/78/421364278.db2.gz GTPXJLLTVJBGSN-CYBMUJFWSA-N 1 2 303.316 2.341 20 30 DDEDLO CN1CC[N@H+](C)C[C@@H]1c1noc(CCc2ccc(C#N)cc2)n1 ZINC000547203937 421316518 /nfs/dbraw/zinc/31/65/18/421316518.db2.gz IFYSOKMLLUHKIW-OAHLLOKOSA-N 1 2 311.389 1.645 20 30 DDEDLO CN1CC[N@@H+](C)C[C@@H]1c1noc(CCc2ccc(C#N)cc2)n1 ZINC000547203937 421316520 /nfs/dbraw/zinc/31/65/20/421316520.db2.gz IFYSOKMLLUHKIW-OAHLLOKOSA-N 1 2 311.389 1.645 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccc(C#N)c(Cl)c2)CCO1 ZINC000548304686 421419870 /nfs/dbraw/zinc/41/98/70/421419870.db2.gz NLWYXUIGEIVFGW-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(C#N)c(Cl)c2)CCO1 ZINC000548304686 421419873 /nfs/dbraw/zinc/41/98/73/421419873.db2.gz NLWYXUIGEIVFGW-LLVKDONJSA-N 1 2 307.781 1.662 20 30 DDEDLO C[C@H]1CCN(C(=O)Cc2ccc(C#N)nc2)C[C@H]1n1cc[nH+]c1 ZINC000528826299 421518603 /nfs/dbraw/zinc/51/86/03/421518603.db2.gz JKRCSBABQHEZMQ-XJKSGUPXSA-N 1 2 309.373 1.802 20 30 DDEDLO CCO[C@H](C)c1ncc(C(=O)N[C@](C)(C#N)C[NH+](C)C)s1 ZINC000563219962 421474726 /nfs/dbraw/zinc/47/47/26/421474726.db2.gz CRACTUKUHFZFJL-QMTHXVAHSA-N 1 2 310.423 1.814 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1csc(-c2ccoc2)n1 ZINC000515063329 421478317 /nfs/dbraw/zinc/47/83/17/421478317.db2.gz SESBQJILEXQYAE-CQSZACIVSA-N 1 2 304.375 1.977 20 30 DDEDLO CC1(C)C[S@@](=O)CC[N@@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000528463794 421491965 /nfs/dbraw/zinc/49/19/65/421491965.db2.gz AYFOZRVUYRYKQA-PEBXRYMYSA-N 1 2 322.430 1.141 20 30 DDEDLO CC1(C)C[S@@](=O)CC[N@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000528463794 421491968 /nfs/dbraw/zinc/49/19/68/421491968.db2.gz AYFOZRVUYRYKQA-PEBXRYMYSA-N 1 2 322.430 1.141 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000563417038 421506743 /nfs/dbraw/zinc/50/67/43/421506743.db2.gz NJEVLIZGOKAEKN-CQSZACIVSA-N 1 2 318.421 1.599 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)c2[nH]nc3c2CCCCC3)CC1 ZINC000530561054 421606371 /nfs/dbraw/zinc/60/63/71/421606371.db2.gz SIWHSPBKQLFAKL-UHFFFAOYSA-N 1 2 301.394 1.396 20 30 DDEDLO N#Cc1nccc(N[C@H](C[NH+]2CCOCC2)c2ccccc2)n1 ZINC000571212705 421696332 /nfs/dbraw/zinc/69/63/32/421696332.db2.gz RFDUFRNZOSMTLW-OAHLLOKOSA-N 1 2 309.373 1.256 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCc3n[nH]c(=O)cc3C2)c([N+](=O)[O-])c1 ZINC000571215093 421697750 /nfs/dbraw/zinc/69/77/50/421697750.db2.gz YLODZCVAEMOQED-UHFFFAOYSA-N 1 2 311.301 1.520 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCc3n[nH]c(=O)cc3C2)c([N+](=O)[O-])c1 ZINC000571215093 421697752 /nfs/dbraw/zinc/69/77/52/421697752.db2.gz YLODZCVAEMOQED-UHFFFAOYSA-N 1 2 311.301 1.520 20 30 DDEDLO COc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc(OC)c1OC ZINC000570899270 421674649 /nfs/dbraw/zinc/67/46/49/421674649.db2.gz BGBLTFSFGSONPV-MRXNPFEDSA-N 1 2 321.377 1.286 20 30 DDEDLO CC[C@H](C)Oc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)ccn1 ZINC000571734558 421739583 /nfs/dbraw/zinc/73/95/83/421739583.db2.gz YHWJSONZGWBCFC-LRDDRELGSA-N 1 2 304.394 1.833 20 30 DDEDLO CC(=O)N1CCc2c1cccc2NC[C@H](O)C[N@H+](C)CCC#N ZINC000572236409 421771694 /nfs/dbraw/zinc/77/16/94/421771694.db2.gz FFVOHBBSZQSSIX-AWEZNQCLSA-N 1 2 316.405 1.214 20 30 DDEDLO CC(=O)N1CCc2c1cccc2NC[C@H](O)C[N@@H+](C)CCC#N ZINC000572236409 421771697 /nfs/dbraw/zinc/77/16/97/421771697.db2.gz FFVOHBBSZQSSIX-AWEZNQCLSA-N 1 2 316.405 1.214 20 30 DDEDLO N#Cc1ccc(F)c(CS(=O)(=O)N2CC(n3cc[nH+]c3)C2)c1 ZINC000543913338 421842232 /nfs/dbraw/zinc/84/22/32/421842232.db2.gz MERQNSCFERURKG-UHFFFAOYSA-N 1 2 320.349 1.281 20 30 DDEDLO C=CCCOCC(=O)N1CCc2[nH]c[nH+]c2C12CCOCC2 ZINC000633526041 421952104 /nfs/dbraw/zinc/95/21/04/421952104.db2.gz KZIDTEIYNJIOFF-UHFFFAOYSA-N 1 2 305.378 1.393 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2ccc(C#N)cn2)CC1 ZINC000582190229 422128674 /nfs/dbraw/zinc/12/86/74/422128674.db2.gz NUIPPIASDFAXHE-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2ccc(C#N)cn2)CC1 ZINC000582190229 422128681 /nfs/dbraw/zinc/12/86/81/422128681.db2.gz NUIPPIASDFAXHE-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CC[C@@H]3[C@H](CCC(=O)N3C3CC3)C2)n1 ZINC000583984661 422231557 /nfs/dbraw/zinc/23/15/57/422231557.db2.gz UMEMHIYRPQAORU-BXUZGUMPSA-N 1 2 316.430 1.990 20 30 DDEDLO N#Cc1csc(C[N@H+]2CC[C@@H]3[C@H](CCC(=O)N3C3CC3)C2)n1 ZINC000583984661 422231560 /nfs/dbraw/zinc/23/15/60/422231560.db2.gz UMEMHIYRPQAORU-BXUZGUMPSA-N 1 2 316.430 1.990 20 30 DDEDLO CN1CC[NH+]([C@@H](CNC(=O)CCCC#N)c2cccs2)CC1 ZINC000584206019 422283154 /nfs/dbraw/zinc/28/31/54/422283154.db2.gz FDASXIZRLHBLMW-AWEZNQCLSA-N 1 2 320.462 1.847 20 30 DDEDLO CCc1nc(C#N)cc(NC2CCN(c3cccc[nH+]3)CC2)n1 ZINC000596078470 422362517 /nfs/dbraw/zinc/36/25/17/422362517.db2.gz QPGLWMOMPMNOQR-UHFFFAOYSA-N 1 2 308.389 2.387 20 30 DDEDLO C[C@@H](NC(=O)CC1CCCCC1)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000576403769 422374633 /nfs/dbraw/zinc/37/46/33/422374633.db2.gz YFAYUSGDAFMWKK-DYVFJYSZSA-N 1 2 322.453 1.422 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNc1ncc2c(c1C#N)CCC2 ZINC000596434042 422376597 /nfs/dbraw/zinc/37/65/97/422376597.db2.gz WWWZBVGGWQGCTF-OLZOCXBDSA-N 1 2 300.406 1.963 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNc1ncc2c(c1C#N)CCC2 ZINC000596434042 422376602 /nfs/dbraw/zinc/37/66/02/422376602.db2.gz WWWZBVGGWQGCTF-OLZOCXBDSA-N 1 2 300.406 1.963 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000634303617 422379029 /nfs/dbraw/zinc/37/90/29/422379029.db2.gz AGDNZSIKTCSNCL-INIZCTEOSA-N 1 2 321.446 1.578 20 30 DDEDLO C=CCOCC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000634302892 422379080 /nfs/dbraw/zinc/37/90/80/422379080.db2.gz XXVDXBSWHCTQJU-UHFFFAOYSA-N 1 2 307.419 1.454 20 30 DDEDLO C[N@H+](CC#CCN1C(=O)c2ccccc2C1=O)[C@@H]1CCC[C@@H]1C#N ZINC000602981917 422439955 /nfs/dbraw/zinc/43/99/55/422439955.db2.gz LLXYUHAWCQEEIC-RHSMWYFYSA-N 1 2 321.380 1.910 20 30 DDEDLO C[N@@H+](CC#CCN1C(=O)c2ccccc2C1=O)[C@@H]1CCC[C@@H]1C#N ZINC000602981917 422439959 /nfs/dbraw/zinc/43/99/59/422439959.db2.gz LLXYUHAWCQEEIC-RHSMWYFYSA-N 1 2 321.380 1.910 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)N1CC[NH2+]CC1=O ZINC000637890798 422449237 /nfs/dbraw/zinc/44/92/37/422449237.db2.gz WXZDWKOZSMZMLZ-LBPRGKRZSA-N 1 2 307.419 1.083 20 30 DDEDLO C=CCN(CCOC)C(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC000635848661 422404676 /nfs/dbraw/zinc/40/46/76/422404676.db2.gz PIOCZAQAABYSNW-UHFFFAOYSA-N 1 2 301.346 1.853 20 30 DDEDLO Cc1cc(C#N)cc(NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)n1 ZINC000599184969 422404974 /nfs/dbraw/zinc/40/49/74/422404974.db2.gz OAIQPIMBRUPVBX-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cc(C#N)cc(NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)n1 ZINC000599184969 422404980 /nfs/dbraw/zinc/40/49/80/422404980.db2.gz OAIQPIMBRUPVBX-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cn1ncc2c1nc(N[C@@H]1CCc3cc(C#N)ccc31)[nH+]c2N ZINC000618693456 422526636 /nfs/dbraw/zinc/52/66/36/422526636.db2.gz ONBJFGRWYSAXOS-CYBMUJFWSA-N 1 2 305.345 1.917 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCc3ccccc3NC2=O)nn1 ZINC000641101361 423387529 /nfs/dbraw/zinc/38/75/29/423387529.db2.gz RAMJCQJNUJXKNQ-INIZCTEOSA-N 1 2 309.373 1.517 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cccc3c2OC(F)(F)O3)nn1 ZINC000641162824 423436395 /nfs/dbraw/zinc/43/63/95/423436395.db2.gz WCOAJJSORGLSSY-UHFFFAOYSA-N 1 2 320.299 1.761 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H](c3nnc(C)[nH]3)C2)nn1 ZINC000653569786 423535345 /nfs/dbraw/zinc/53/53/45/423535345.db2.gz KYBXKLSNHXTQHO-ZDUSSCGKSA-N 1 2 301.398 1.660 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H](c3nnc(C)[nH]3)C2)nn1 ZINC000653569786 423535353 /nfs/dbraw/zinc/53/53/53/423535353.db2.gz KYBXKLSNHXTQHO-ZDUSSCGKSA-N 1 2 301.398 1.660 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H](c3nc(C)n[nH]3)C2)nn1 ZINC000653569786 423535358 /nfs/dbraw/zinc/53/53/58/423535358.db2.gz KYBXKLSNHXTQHO-ZDUSSCGKSA-N 1 2 301.398 1.660 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H](c3nc(C)n[nH]3)C2)nn1 ZINC000653569786 423535361 /nfs/dbraw/zinc/53/53/61/423535361.db2.gz KYBXKLSNHXTQHO-ZDUSSCGKSA-N 1 2 301.398 1.660 20 30 DDEDLO C=CCCn1cc(C[N@@H+](C)C(C)(C)CN2CCOCC2)nn1 ZINC000653763700 423597941 /nfs/dbraw/zinc/59/79/41/423597941.db2.gz VCJONFUFAANMSL-UHFFFAOYSA-N 1 2 307.442 1.397 20 30 DDEDLO C=CCCn1cc(C[N@H+](C)C(C)(C)CN2CCOCC2)nn1 ZINC000653763700 423597946 /nfs/dbraw/zinc/59/79/46/423597946.db2.gz VCJONFUFAANMSL-UHFFFAOYSA-N 1 2 307.442 1.397 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000649188504 423668048 /nfs/dbraw/zinc/66/80/48/423668048.db2.gz LSAZOSRNJWFEBR-QGZVFWFLSA-N 1 2 316.401 1.023 20 30 DDEDLO C=C(C)c1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@H](O)C2)cc1 ZINC000649444402 423902424 /nfs/dbraw/zinc/90/24/24/423902424.db2.gz SFNWWZFRBOASCG-HNNXBMFYSA-N 1 2 309.431 1.950 20 30 DDEDLO C=C(C)c1ccc(S(=O)(=O)CC[N@H+]2CCC[C@H](O)C2)cc1 ZINC000649444402 423902431 /nfs/dbraw/zinc/90/24/31/423902431.db2.gz SFNWWZFRBOASCG-HNNXBMFYSA-N 1 2 309.431 1.950 20 30 DDEDLO C=CC[N@@H+](CC(=O)N(CC)[C@H]1CCS(=O)(=O)C1)C(C)(C)C ZINC000661755185 424152505 /nfs/dbraw/zinc/15/25/05/424152505.db2.gz IMRQZTPHUCXQBG-ZDUSSCGKSA-N 1 2 316.467 1.309 20 30 DDEDLO C=CC[N@H+](CC(=O)N(CC)[C@H]1CCS(=O)(=O)C1)C(C)(C)C ZINC000661755185 424152514 /nfs/dbraw/zinc/15/25/14/424152514.db2.gz IMRQZTPHUCXQBG-ZDUSSCGKSA-N 1 2 316.467 1.309 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCN(C)C[C@@H]2Cc2ccccc2)C1=O ZINC000649827129 424228790 /nfs/dbraw/zinc/22/87/90/424228790.db2.gz INXUYECAVUCHSO-ZWKOTPCHSA-N 1 2 313.445 1.632 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCN(C)C[C@@H]2Cc2ccccc2)C1=O ZINC000649827129 424228792 /nfs/dbraw/zinc/22/87/92/424228792.db2.gz INXUYECAVUCHSO-ZWKOTPCHSA-N 1 2 313.445 1.632 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)C[C@@H](c1[nH+]ccn1C)C(F)(F)F ZINC000655161541 424263521 /nfs/dbraw/zinc/26/35/21/424263521.db2.gz ZDSOBFQKNQSQKV-QWRGUYRKSA-N 1 2 317.311 1.347 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+][C@@H](CO)c1ccc(F)cc1F ZINC000662163686 424448094 /nfs/dbraw/zinc/44/80/94/424448094.db2.gz YMIOHZZZHVAZQM-HNNXBMFYSA-N 1 2 310.344 1.788 20 30 DDEDLO C=CCCNC(=O)NC[C@H](c1cccs1)[NH+]1CCOCC1 ZINC000660215982 424600182 /nfs/dbraw/zinc/60/01/82/424600182.db2.gz YMUKOMZJZBFGKL-CYBMUJFWSA-N 1 2 309.435 1.997 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@H+]1C[C@@H](C)OC[C@@H]1C ZINC000665038750 424733895 /nfs/dbraw/zinc/73/38/95/424733895.db2.gz RSEFPFSLZFBMHC-YJNKXOJESA-N 1 2 311.426 1.128 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@@H]1C ZINC000665038750 424733897 /nfs/dbraw/zinc/73/38/97/424733897.db2.gz RSEFPFSLZFBMHC-YJNKXOJESA-N 1 2 311.426 1.128 20 30 DDEDLO C[C@@H]1CC[S@@](=O)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000375293886 267032314 /nfs/dbraw/zinc/03/23/14/267032314.db2.gz SYJZQHPUZJADOV-XUSGNXJCSA-N 1 2 302.403 1.549 20 30 DDEDLO C[C@H]1C[N@H+](Cc2cnc3ccc(C#N)cn23)C[C@]2(CCOC2)O1 ZINC000376014787 267233740 /nfs/dbraw/zinc/23/37/40/267233740.db2.gz OJIBIFZFNKUWPH-GUYCJALGSA-N 1 2 312.373 1.586 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2cnc3ccc(C#N)cn23)C[C@]2(CCOC2)O1 ZINC000376014787 267233742 /nfs/dbraw/zinc/23/37/42/267233742.db2.gz OJIBIFZFNKUWPH-GUYCJALGSA-N 1 2 312.373 1.586 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[NH2+]C[C@@H]2C(F)F)ccc1C#N ZINC000367480321 267355597 /nfs/dbraw/zinc/35/55/97/267355597.db2.gz JHENNAMPYBJIIU-GFCCVEGCSA-N 1 2 315.345 1.094 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000366596410 267387259 /nfs/dbraw/zinc/38/72/59/267387259.db2.gz KLASQBDSJVBEAK-OAHLLOKOSA-N 1 2 314.389 1.851 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000366596410 267387263 /nfs/dbraw/zinc/38/72/63/267387263.db2.gz KLASQBDSJVBEAK-OAHLLOKOSA-N 1 2 314.389 1.851 20 30 DDEDLO Cc1onc(CC(=O)NCc2cn3c(cccc3C)[nH+]2)c1C#N ZINC000353880210 267748403 /nfs/dbraw/zinc/74/84/03/267748403.db2.gz IUSRTUMWNZFELX-UHFFFAOYSA-N 1 2 309.329 1.670 20 30 DDEDLO N#Cc1cc(N2CC[NH+](CC(=O)Nc3ccccc3)CC2)ccn1 ZINC000341418344 268000021 /nfs/dbraw/zinc/00/00/21/268000021.db2.gz MKJZKXGWGPFKFD-UHFFFAOYSA-N 1 2 321.384 1.714 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)c1cccc(C#N)c1)C2 ZINC000516437489 268079372 /nfs/dbraw/zinc/07/93/72/268079372.db2.gz ORHWCCYJQJANLH-HNNXBMFYSA-N 1 2 324.384 1.343 20 30 DDEDLO Cn1cc(Cl)c(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000519133676 268129924 /nfs/dbraw/zinc/12/99/24/268129924.db2.gz IDVGWKOAHPTAAT-GFCCVEGCSA-N 1 2 317.780 1.993 20 30 DDEDLO Cn1cc(Cl)c(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000519133676 268129926 /nfs/dbraw/zinc/12/99/26/268129926.db2.gz IDVGWKOAHPTAAT-GFCCVEGCSA-N 1 2 317.780 1.993 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCC23CCOCC3)c1 ZINC000368896073 268275732 /nfs/dbraw/zinc/27/57/32/268275732.db2.gz LNPOISDNHAZXEV-UHFFFAOYSA-N 1 2 320.414 1.587 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCC23CCOCC3)c1 ZINC000368896073 268275735 /nfs/dbraw/zinc/27/57/35/268275735.db2.gz LNPOISDNHAZXEV-UHFFFAOYSA-N 1 2 320.414 1.587 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C#N)cc3Cl)C[C@@H]21 ZINC000329537245 277123745 /nfs/dbraw/zinc/12/37/45/277123745.db2.gz UVRSAPXXOHYBGI-UONOGXRCSA-N 1 2 320.780 1.772 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C#N)cc3Cl)C[C@@H]21 ZINC000329537245 277123746 /nfs/dbraw/zinc/12/37/46/277123746.db2.gz UVRSAPXXOHYBGI-UONOGXRCSA-N 1 2 320.780 1.772 20 30 DDEDLO C=CCCS(=O)(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000121072014 277834401 /nfs/dbraw/zinc/83/44/01/277834401.db2.gz GUUFQGHRUKOELS-AWEZNQCLSA-N 1 2 302.440 1.079 20 30 DDEDLO C=C[C@@H](C)NC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000476306731 277896700 /nfs/dbraw/zinc/89/67/00/277896700.db2.gz MPAHIGNOAFAWHY-XJKCOSOUSA-N 1 2 318.421 1.801 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+](CCOC)Cc1ccccn1 ZINC000287571728 279223259 /nfs/dbraw/zinc/22/32/59/279223259.db2.gz XJXYOCZMTCQPOJ-OAHLLOKOSA-N 1 2 318.421 1.538 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CCOC)Cc1ccccn1 ZINC000287571728 279223260 /nfs/dbraw/zinc/22/32/60/279223260.db2.gz XJXYOCZMTCQPOJ-OAHLLOKOSA-N 1 2 318.421 1.538 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N[C@@H]2CCCCC[C@H]2C(N)=O)C1 ZINC000329793582 290106386 /nfs/dbraw/zinc/10/63/86/290106386.db2.gz BEDMVBCLAOEWCS-JHJVBQTASA-N 1 2 312.414 1.305 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N[C@@H]2CCCCC[C@H]2C(N)=O)C1 ZINC000329793582 290106391 /nfs/dbraw/zinc/10/63/91/290106391.db2.gz BEDMVBCLAOEWCS-JHJVBQTASA-N 1 2 312.414 1.305 20 30 DDEDLO Cc1cnc([C@H](C)NC(=O)N2C[C@H]([NH+]3CCOCC3)C[C@H]2C)o1 ZINC000329297970 292944585 /nfs/dbraw/zinc/94/45/85/292944585.db2.gz UDZXGNZMLXMYEU-KWCYVHTRSA-N 1 2 322.409 1.753 20 30 DDEDLO Cc1nc(NC(=O)[C@H](C(C)C)[NH+]2C[C@@H](C)O[C@H](C)C2)nn1C ZINC000329284443 293307467 /nfs/dbraw/zinc/30/74/67/293307467.db2.gz OLPWYUAIHGGWGK-WZRBSPASSA-N 1 2 309.414 1.845 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)cn1 ZINC000121423578 294936728 /nfs/dbraw/zinc/93/67/28/294936728.db2.gz MEWRJWHHLBABDQ-CYBMUJFWSA-N 1 2 317.374 1.592 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N1CCOC[C@@H]1C1CC1 ZINC000328813758 295378511 /nfs/dbraw/zinc/37/85/11/295378511.db2.gz BVXITCZMALANRD-UONOGXRCSA-N 1 2 304.394 1.785 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ncncc3C#N)c[nH+]2)C[C@H](C)O1 ZINC000567751406 308094474 /nfs/dbraw/zinc/09/44/74/308094474.db2.gz BKPSTKPOSJIPJC-BETUJISGSA-N 1 2 324.388 1.391 20 30 DDEDLO Cc1ccc2ncc(C#N)c(NCC[N@@H+]3CCO[C@H](C)C3)c2c1 ZINC000570109856 308159004 /nfs/dbraw/zinc/15/90/04/308159004.db2.gz WMNAWBJFDHSARG-CQSZACIVSA-N 1 2 310.401 1.969 20 30 DDEDLO Cc1ccc2ncc(C#N)c(NCC[N@H+]3CCO[C@H](C)C3)c2c1 ZINC000570109856 308159005 /nfs/dbraw/zinc/15/90/05/308159005.db2.gz WMNAWBJFDHSARG-CQSZACIVSA-N 1 2 310.401 1.969 20 30 DDEDLO CC(C)O[C@@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000577219094 308372001 /nfs/dbraw/zinc/37/20/01/308372001.db2.gz SIDBNJDIYCBSQQ-OAHLLOKOSA-N 1 2 322.430 1.831 20 30 DDEDLO CC(C)O[C@@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000577219094 308372002 /nfs/dbraw/zinc/37/20/02/308372002.db2.gz SIDBNJDIYCBSQQ-OAHLLOKOSA-N 1 2 322.430 1.831 20 30 DDEDLO Cn1nc(-c2ccccc2)cc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000584294864 332221347 /nfs/dbraw/zinc/22/13/47/332221347.db2.gz IIEVIDQKNVURGS-QGZVFWFLSA-N 1 2 311.389 1.661 20 30 DDEDLO CC[N@@H+](CC(=O)NC(=O)NCc1ccccc1)C[C@H](C)C#N ZINC000108975279 333216723 /nfs/dbraw/zinc/21/67/23/333216723.db2.gz DRAFDYBOIYKXLP-CYBMUJFWSA-N 1 2 302.378 1.494 20 30 DDEDLO CC[N@H+](CC(=O)NC(=O)NCc1ccccc1)C[C@H](C)C#N ZINC000108975279 333216724 /nfs/dbraw/zinc/21/67/24/333216724.db2.gz DRAFDYBOIYKXLP-CYBMUJFWSA-N 1 2 302.378 1.494 20 30 DDEDLO Cc1cccc([C@@H](CNC(=O)[C@@H](C)C#N)[NH+]2CCOCC2)c1 ZINC000345841821 335354851 /nfs/dbraw/zinc/35/48/51/335354851.db2.gz KJLJYAIXDKJYHL-GOEBONIOSA-N 1 2 301.390 1.644 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1C[C@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000351543638 336041877 /nfs/dbraw/zinc/04/18/77/336041877.db2.gz ITAHWBQRRIKKSX-HOTGVXAUSA-N 1 2 320.412 1.642 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1C[C@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000351543638 336041878 /nfs/dbraw/zinc/04/18/78/336041878.db2.gz ITAHWBQRRIKKSX-HOTGVXAUSA-N 1 2 320.412 1.642 20 30 DDEDLO C=CCCS(=O)(=O)NCC[NH+]1CCN(c2ccccc2)CC1 ZINC000532448396 336247901 /nfs/dbraw/zinc/24/79/01/336247901.db2.gz GYVBJIBETBXNDD-UHFFFAOYSA-N 1 2 323.462 1.304 20 30 DDEDLO Cc1cc(NCc2ccc3c(c2)CCS3(=O)=O)c(C#N)c[nH+]1 ZINC000582602286 337112254 /nfs/dbraw/zinc/11/22/54/337112254.db2.gz VXUYQQISXGODIJ-UHFFFAOYSA-N 1 2 313.382 1.626 20 30 DDEDLO CC[C@H](C)Oc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)ccn1 ZINC000571734557 337574417 /nfs/dbraw/zinc/57/44/17/337574417.db2.gz YHWJSONZGWBCFC-BLLLJJGKSA-N 1 2 304.394 1.833 20 30 DDEDLO CCN(C1CC[NH+](C[C@@H](C#N)CCC#N)CC1)S(C)(=O)=O ZINC000121257028 337889552 /nfs/dbraw/zinc/88/95/52/337889552.db2.gz JLGQEANOGARQFI-CYBMUJFWSA-N 1 2 312.439 1.176 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000496352410 340003676 /nfs/dbraw/zinc/00/36/76/340003676.db2.gz SQSNOCYMNGUIFD-CYBMUJFWSA-N 1 2 300.399 1.415 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000496352410 340003677 /nfs/dbraw/zinc/00/36/77/340003677.db2.gz SQSNOCYMNGUIFD-CYBMUJFWSA-N 1 2 300.399 1.415 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc(C(C)=O)c(C)c2)CC1 ZINC000135065628 341230164 /nfs/dbraw/zinc/23/01/64/341230164.db2.gz HYCJRYRQXSZLMX-UHFFFAOYSA-N 1 2 313.401 1.387 20 30 DDEDLO N#CCC[N@@H+](Cc1ccc(C(N)=O)cc1[N+](=O)[O-])CC1CC1 ZINC000081043881 341278836 /nfs/dbraw/zinc/27/88/36/341278836.db2.gz IORXADRUYLDISL-UHFFFAOYSA-N 1 2 302.334 1.819 20 30 DDEDLO N#CCC[N@H+](Cc1ccc(C(N)=O)cc1[N+](=O)[O-])CC1CC1 ZINC000081043881 341278837 /nfs/dbraw/zinc/27/88/37/341278837.db2.gz IORXADRUYLDISL-UHFFFAOYSA-N 1 2 302.334 1.819 20 30 DDEDLO CCCc1nc(CN(CCC#N)CC[NH+]2CCOCC2)no1 ZINC000081158237 341280467 /nfs/dbraw/zinc/28/04/67/341280467.db2.gz CQABDILZGOKONL-UHFFFAOYSA-N 1 2 307.398 1.070 20 30 DDEDLO COCCOC1CCC(C(=O)N[C@](C)(C#N)C[NH+](C)C)CC1 ZINC000575063039 341774636 /nfs/dbraw/zinc/77/46/36/341774636.db2.gz IFIUBEDRUGHBLU-ZBCRRDGASA-N 1 2 311.426 1.168 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1ccc(Br)cc1 ZINC000577324982 341904144 /nfs/dbraw/zinc/90/41/44/341904144.db2.gz CDGIFTNOQPITOG-AWEZNQCLSA-N 1 2 324.222 1.952 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)c1nc([C@@H]2C[N@H+](C(C)C)CCO2)no1 ZINC000656752431 484313911 /nfs/dbraw/zinc/31/39/11/484313911.db2.gz AYSUQBROSMRNOL-KBPBESRZSA-N 1 2 322.409 1.995 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)c1nc([C@@H]2C[N@@H+](C(C)C)CCO2)no1 ZINC000656752431 484313920 /nfs/dbraw/zinc/31/39/20/484313920.db2.gz AYSUQBROSMRNOL-KBPBESRZSA-N 1 2 322.409 1.995 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NC(=O)C(=O)NCCCn1cc[nH+]c1 ZINC000668332649 485111865 /nfs/dbraw/zinc/11/18/65/485111865.db2.gz SQJXRHJOMMRMAS-CABCVRRESA-N 1 2 318.421 1.641 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000667157571 485416854 /nfs/dbraw/zinc/41/68/54/485416854.db2.gz CGBNCLLHVXVAOO-KRWDZBQOSA-N 1 2 315.417 1.589 20 30 DDEDLO CCC#C[C@H](C)[NH+]1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000677476824 486476767 /nfs/dbraw/zinc/47/67/67/486476767.db2.gz HCGNWYLLBSHDDS-KSZLIROESA-N 1 2 319.493 1.910 20 30 DDEDLO CCC#C[C@H](C)N1CC[NH+](CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000677476824 486476771 /nfs/dbraw/zinc/47/67/71/486476771.db2.gz HCGNWYLLBSHDDS-KSZLIROESA-N 1 2 319.493 1.910 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)C(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000431983229 533724677 /nfs/dbraw/zinc/72/46/77/533724677.db2.gz VOTKCIAHKAYSSH-NSHDSACASA-N 1 2 311.345 1.207 20 30 DDEDLO Cc1cc(NC[C@@H](O)COc2ccc(F)cc2)c(C#N)c[nH+]1 ZINC000425205532 534033680 /nfs/dbraw/zinc/03/36/80/534033680.db2.gz BPPYLHMLEKRWJF-CQSZACIVSA-N 1 2 301.321 1.675 20 30 DDEDLO C[N@@H+](CC(=O)NCC#N)Cc1ccccc1OC(F)(F)F ZINC000102823041 517920107 /nfs/dbraw/zinc/92/01/07/517920107.db2.gz CSNIFZPKUKEGRF-UHFFFAOYSA-N 1 2 301.268 1.657 20 30 DDEDLO C[N@H+](CC(=O)NCC#N)Cc1ccccc1OC(F)(F)F ZINC000102823041 517920099 /nfs/dbraw/zinc/92/00/99/517920099.db2.gz CSNIFZPKUKEGRF-UHFFFAOYSA-N 1 2 301.268 1.657 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)CCC1 ZINC000349657365 534557108 /nfs/dbraw/zinc/55/71/08/534557108.db2.gz QVCPEQIAZDVPPF-CQSZACIVSA-N 1 2 321.402 1.558 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000331718479 534773553 /nfs/dbraw/zinc/77/35/53/534773553.db2.gz JCQVQPDIXYBTLC-INIZCTEOSA-N 1 2 315.352 1.316 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000331718479 534773555 /nfs/dbraw/zinc/77/35/55/534773555.db2.gz JCQVQPDIXYBTLC-INIZCTEOSA-N 1 2 315.352 1.316 20 30 DDEDLO Cc1ccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)nc1 ZINC000156839523 524460607 /nfs/dbraw/zinc/46/06/07/524460607.db2.gz BQOILSWNJVXTEI-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)nc1 ZINC000156839523 524460610 /nfs/dbraw/zinc/46/06/10/524460610.db2.gz BQOILSWNJVXTEI-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO N#Cc1ccccc1N1CCCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC000299675990 534816108 /nfs/dbraw/zinc/81/61/08/534816108.db2.gz QKAWGXYHGOEKMB-UHFFFAOYSA-N 1 2 323.400 1.884 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000339754207 526358489 /nfs/dbraw/zinc/35/84/89/526358489.db2.gz NEXZQRPSJOVERR-UHFFFAOYSA-N 1 2 303.381 1.429 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000339754207 526358495 /nfs/dbraw/zinc/35/84/95/526358495.db2.gz NEXZQRPSJOVERR-UHFFFAOYSA-N 1 2 303.381 1.429 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](Cc2ccc(Br)cn2)C1 ZINC000330939682 526401167 /nfs/dbraw/zinc/40/11/67/526401167.db2.gz CXXGFWJNFAFPES-LLVKDONJSA-N 1 2 313.199 1.552 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(Br)cn2)C1 ZINC000330939682 526401169 /nfs/dbraw/zinc/40/11/69/526401169.db2.gz CXXGFWJNFAFPES-LLVKDONJSA-N 1 2 313.199 1.552 20 30 DDEDLO C=C(C)C(C)(C)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000424641276 526436562 /nfs/dbraw/zinc/43/65/62/526436562.db2.gz JAGXVDPYVCDIFP-UHFFFAOYSA-N 1 2 306.410 1.756 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C)c(C(=O)OC)o2)C1=O ZINC000337237623 526500672 /nfs/dbraw/zinc/50/06/72/526500672.db2.gz YRYZABXHEFZWLY-ZDUSSCGKSA-N 1 2 306.362 1.593 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C)c(C(=O)OC)o2)C1=O ZINC000337237623 526500676 /nfs/dbraw/zinc/50/06/76/526500676.db2.gz YRYZABXHEFZWLY-ZDUSSCGKSA-N 1 2 306.362 1.593 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)NCC2CCCCC2)C1=O ZINC000337218844 526501808 /nfs/dbraw/zinc/50/18/08/526501808.db2.gz JHRFAURRUSUCBY-HOCLYGCPSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)NCC2CCCCC2)C1=O ZINC000337218844 526501811 /nfs/dbraw/zinc/50/18/11/526501811.db2.gz JHRFAURRUSUCBY-HOCLYGCPSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H](c3ccccc3)[C@@](F)(CO)C2)C1=O ZINC000451281828 526512810 /nfs/dbraw/zinc/51/28/10/526512810.db2.gz JZHSHIYRUWIODI-RYRKJORJSA-N 1 2 318.392 1.573 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H](c3ccccc3)[C@@](F)(CO)C2)C1=O ZINC000451281828 526512814 /nfs/dbraw/zinc/51/28/14/526512814.db2.gz JZHSHIYRUWIODI-RYRKJORJSA-N 1 2 318.392 1.573 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc([C@H]3C[C@@H]3C)o2)CC1 ZINC000349730767 526538288 /nfs/dbraw/zinc/53/82/88/526538288.db2.gz UMUICAPFWYVAOH-HOCLYGCPSA-N 1 2 317.433 1.823 20 30 DDEDLO C=C(C)COc1cc(C[NH+]2CC(NC(=O)NC)C2)ccc1OC ZINC000459607478 526659398 /nfs/dbraw/zinc/65/93/98/526659398.db2.gz FRQIGHFMXCUAJI-UHFFFAOYSA-N 1 2 319.405 1.763 20 30 DDEDLO C#CCN(CC#N)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000490840633 526882604 /nfs/dbraw/zinc/88/26/04/526882604.db2.gz PDSDVVOYXUSBMK-UHFFFAOYSA-N 1 2 314.370 1.079 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCCCS(=O)(=O)C(C)C)CC1 ZINC000490866117 526934450 /nfs/dbraw/zinc/93/44/50/526934450.db2.gz MPNOUQNXDCTLOF-UHFFFAOYSA-N 1 2 315.435 1.088 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN2C[C@@H](C)Oc3ccccc32)CC1 ZINC000490760281 526949999 /nfs/dbraw/zinc/94/99/99/526949999.db2.gz KMSHJPCUPRBXPG-OAHLLOKOSA-N 1 2 313.401 1.051 20 30 DDEDLO C#CCN1CCN(C(=O)COc2cc(C)[nH+]c3ccccc32)CC1 ZINC000491395485 526951343 /nfs/dbraw/zinc/95/13/43/526951343.db2.gz SQHYWENJTUDFLX-UHFFFAOYSA-N 1 2 323.396 1.699 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)C[C@H]2c2ccc(Cl)cc2)CC1 ZINC000490700732 526952863 /nfs/dbraw/zinc/95/28/63/526952863.db2.gz ULPUFRRBUGGCFJ-INIZCTEOSA-N 1 2 317.820 1.822 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N(C)Cc1[nH+]ccn1Cc1ccccc1 ZINC000490840878 527015880 /nfs/dbraw/zinc/01/58/80/527015880.db2.gz PYBIACGUZBORFN-OAHLLOKOSA-N 1 2 310.401 1.501 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@H](O)C[N@H+](C)CCC#N)c(F)c1 ZINC000414156968 527020767 /nfs/dbraw/zinc/02/07/67/527020767.db2.gz XAXMRYYIANLFQN-CYBMUJFWSA-N 1 2 309.341 1.369 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)c(F)c1 ZINC000414156968 527020776 /nfs/dbraw/zinc/02/07/76/527020776.db2.gz XAXMRYYIANLFQN-CYBMUJFWSA-N 1 2 309.341 1.369 20 30 DDEDLO C=CCn1c(C2CC2)nnc1N1CC[C@@H]([NH+]2CCOCC2)C1 ZINC000337821317 527306110 /nfs/dbraw/zinc/30/61/10/527306110.db2.gz RXCJUWOQLKGMEF-CQSZACIVSA-N 1 2 303.410 1.252 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)NCC(=O)Nc1ccccc1CC ZINC000491417399 527331679 /nfs/dbraw/zinc/33/16/79/527331679.db2.gz NFBRLKYNWYQMJB-ZDUSSCGKSA-N 1 2 301.390 1.257 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)NCC(=O)Nc1ccccc1CC ZINC000491417399 527331685 /nfs/dbraw/zinc/33/16/85/527331685.db2.gz NFBRLKYNWYQMJB-ZDUSSCGKSA-N 1 2 301.390 1.257 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@@H](O)COc1cccc2[nH]ccc21 ZINC000491653496 527428732 /nfs/dbraw/zinc/42/87/32/527428732.db2.gz DCPPAGOPOWRUEA-UONOGXRCSA-N 1 2 300.358 1.242 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@@H](O)COc1cccc2[nH]ccc21 ZINC000491653496 527428735 /nfs/dbraw/zinc/42/87/35/527428735.db2.gz DCPPAGOPOWRUEA-UONOGXRCSA-N 1 2 300.358 1.242 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@H](O)COCc1ccccc1Cl ZINC000491297827 527429209 /nfs/dbraw/zinc/42/92/09/527429209.db2.gz SCJRYDHDEKPFJT-GJZGRUSLSA-N 1 2 309.793 1.552 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@H](O)COCc1ccccc1Cl ZINC000491297827 527429214 /nfs/dbraw/zinc/42/92/14/527429214.db2.gz SCJRYDHDEKPFJT-GJZGRUSLSA-N 1 2 309.793 1.552 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1Cc1ccc(OCc2noc(C)n2)cc1 ZINC000491619860 527429939 /nfs/dbraw/zinc/42/99/39/527429939.db2.gz FMLHTSHIQBEESI-HNNXBMFYSA-N 1 2 313.357 1.791 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1Cc1ccc(OCc2noc(C)n2)cc1 ZINC000491619860 527429946 /nfs/dbraw/zinc/42/99/46/527429946.db2.gz FMLHTSHIQBEESI-HNNXBMFYSA-N 1 2 313.357 1.791 20 30 DDEDLO CC(=O)N[C@H](CC1CCC1)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329897638 527544253 /nfs/dbraw/zinc/54/42/53/527544253.db2.gz LNIFVSPGBMPQLA-KFWWJZLASA-N 1 2 309.410 1.063 20 30 DDEDLO CC(=O)N[C@H](CC1CCC1)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329897638 527544259 /nfs/dbraw/zinc/54/42/59/527544259.db2.gz LNIFVSPGBMPQLA-KFWWJZLASA-N 1 2 309.410 1.063 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000342269413 527600661 /nfs/dbraw/zinc/60/06/61/527600661.db2.gz PMBWRFKEKHJAAJ-CQSZACIVSA-N 1 2 316.405 1.386 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)N[C@@H]1CCC(=O)NC1(C)C ZINC000330456417 528727194 /nfs/dbraw/zinc/72/71/94/528727194.db2.gz XPJQCOPSFCTLNM-GFCCVEGCSA-N 1 2 321.425 1.600 20 30 DDEDLO CC(C)[C@@H]1OCC[C@@H]1CNC(=O)NC[C@H](C)[NH+]1CCOCC1 ZINC000330237510 528834183 /nfs/dbraw/zinc/83/41/83/528834183.db2.gz ZMVMYHBWHPJJOB-ZNMIVQPWSA-N 1 2 313.442 1.272 20 30 DDEDLO CCN1CCN(C(=O)c2ccc(C#N)c(C)n2)C[C@H]1c1[nH]cc[nH+]1 ZINC000433122680 529134175 /nfs/dbraw/zinc/13/41/75/529134175.db2.gz RJEIPIXUSCDNTA-HNNXBMFYSA-N 1 2 324.388 1.504 20 30 DDEDLO CC[NH+]1CCN(S(=O)(=O)Cc2ccc(F)cc2C#N)CC1 ZINC000330904013 529150224 /nfs/dbraw/zinc/15/02/24/529150224.db2.gz LZMZFEQPTXOBCF-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO COc1cc(-c2nn[nH]n2)ccc1OCC[N@H+](C)C[C@H](C)C#N ZINC000737034025 598985331 /nfs/dbraw/zinc/98/53/31/598985331.db2.gz VQLCXFVGCYLKSR-LLVKDONJSA-N 1 2 316.365 1.346 20 30 DDEDLO COc1cc(-c2nn[nH]n2)ccc1OCC[N@@H+](C)C[C@H](C)C#N ZINC000737034025 598985333 /nfs/dbraw/zinc/98/53/33/598985333.db2.gz VQLCXFVGCYLKSR-LLVKDONJSA-N 1 2 316.365 1.346 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)N[C@](C)(C#N)C(C)C ZINC000745084807 699971066 /nfs/dbraw/zinc/97/10/66/699971066.db2.gz BLUDUVTULLMRAA-CZUORRHYSA-N 1 2 309.410 1.458 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)N[C@](C)(C#N)C(C)C ZINC000745084807 699971068 /nfs/dbraw/zinc/97/10/68/699971068.db2.gz BLUDUVTULLMRAA-CZUORRHYSA-N 1 2 309.410 1.458 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC=CCC3)C2)C1 ZINC000972252117 695191017 /nfs/dbraw/zinc/19/10/17/695191017.db2.gz BCWMQGFTLUUVRF-FUHWJXTLSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC=CCC3)C2)C1 ZINC000972252117 695191018 /nfs/dbraw/zinc/19/10/18/695191018.db2.gz BCWMQGFTLUUVRF-FUHWJXTLSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ncoc3CC)C2)C1 ZINC000972308507 695212504 /nfs/dbraw/zinc/21/25/04/695212504.db2.gz NFTYCGSBWPSKNO-QGZVFWFLSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ncoc3CC)C2)C1 ZINC000972308507 695212508 /nfs/dbraw/zinc/21/25/08/695212508.db2.gz NFTYCGSBWPSKNO-QGZVFWFLSA-N 1 2 317.389 1.177 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccncc3F)C2)C1 ZINC000972311955 695213714 /nfs/dbraw/zinc/21/37/14/695213714.db2.gz QENPPBXGDMHQGK-MRXNPFEDSA-N 1 2 305.353 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccncc3F)C2)C1 ZINC000972311955 695213716 /nfs/dbraw/zinc/21/37/16/695213716.db2.gz QENPPBXGDMHQGK-MRXNPFEDSA-N 1 2 305.353 1.324 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3C(C)(C)C3(C)C)C2)C1 ZINC000972321788 695215999 /nfs/dbraw/zinc/21/59/99/695215999.db2.gz XOVZHVSJUDMHKX-IBGZPJMESA-N 1 2 318.461 1.995 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3C(C)(C)C3(C)C)C2)C1 ZINC000972321788 695216000 /nfs/dbraw/zinc/21/60/00/695216000.db2.gz XOVZHVSJUDMHKX-IBGZPJMESA-N 1 2 318.461 1.995 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)cn3)C2)C1 ZINC000972355306 695225832 /nfs/dbraw/zinc/22/58/32/695225832.db2.gz KTRVKPZUFPFDSG-KRWDZBQOSA-N 1 2 317.364 1.161 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)cn3)C2)C1 ZINC000972355306 695225834 /nfs/dbraw/zinc/22/58/34/695225834.db2.gz KTRVKPZUFPFDSG-KRWDZBQOSA-N 1 2 317.364 1.161 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)/C=C/c3ccc[nH]3)C2)C1 ZINC000972358025 695227313 /nfs/dbraw/zinc/22/73/13/695227313.db2.gz PDQRUFLYSHEWTK-DKFQHHCZSA-N 1 2 313.401 1.355 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)/C=C/c3ccc[nH]3)C2)C1 ZINC000972358025 695227314 /nfs/dbraw/zinc/22/73/14/695227314.db2.gz PDQRUFLYSHEWTK-DKFQHHCZSA-N 1 2 313.401 1.355 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@]3(C)CC=CCC3)C2)C1 ZINC000972396793 695239534 /nfs/dbraw/zinc/23/95/34/695239534.db2.gz LUJLLKXTVIBHPB-ZWKOTPCHSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@]3(C)CC=CCC3)C2)C1 ZINC000972396793 695239535 /nfs/dbraw/zinc/23/95/35/695239535.db2.gz LUJLLKXTVIBHPB-ZWKOTPCHSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc4occc4c3)C2)C1 ZINC000972397482 695239885 /nfs/dbraw/zinc/23/98/85/695239885.db2.gz RSGYABYOHKPXNG-LJQANCHMSA-N 1 2 324.380 1.983 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc4occc4c3)C2)C1 ZINC000972397482 695239886 /nfs/dbraw/zinc/23/98/86/695239886.db2.gz RSGYABYOHKPXNG-LJQANCHMSA-N 1 2 324.380 1.983 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccccc3CC)C2)C1 ZINC000972519779 695271884 /nfs/dbraw/zinc/27/18/84/695271884.db2.gz YSWDYMPLNKSZMI-IBGZPJMESA-N 1 2 312.413 1.799 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccccc3CC)C2)C1 ZINC000972519779 695271886 /nfs/dbraw/zinc/27/18/86/695271886.db2.gz YSWDYMPLNKSZMI-IBGZPJMESA-N 1 2 312.413 1.799 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3cccs3)C2)C1 ZINC000972547864 695278978 /nfs/dbraw/zinc/27/89/78/695278978.db2.gz DWSZVGAUFHNDIU-WMLDXEAASA-N 1 2 318.442 1.788 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3cccs3)C2)C1 ZINC000972547864 695278979 /nfs/dbraw/zinc/27/89/79/695278979.db2.gz DWSZVGAUFHNDIU-WMLDXEAASA-N 1 2 318.442 1.788 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(C)nc3)C2)C1 ZINC000972677054 695315842 /nfs/dbraw/zinc/31/58/42/695315842.db2.gz OKOFVSWKFCSIHW-SFHVURJKSA-N 1 2 315.417 1.422 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(C)nc3)C2)C1 ZINC000972677054 695315845 /nfs/dbraw/zinc/31/58/45/695315845.db2.gz OKOFVSWKFCSIHW-SFHVURJKSA-N 1 2 315.417 1.422 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C[C@@H]2COC(=O)C2)C(C)(C)C1 ZINC000973037851 695401581 /nfs/dbraw/zinc/40/15/81/695401581.db2.gz JLNULKHJNDKFCT-NWDGAFQWSA-N 1 2 314.813 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C[C@@H]2COC(=O)C2)C(C)(C)C1 ZINC000973037851 695401582 /nfs/dbraw/zinc/40/15/82/695401582.db2.gz JLNULKHJNDKFCT-NWDGAFQWSA-N 1 2 314.813 1.519 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2cn3cc(C)nc3s2)C(C)(C)C1 ZINC000974682694 695710966 /nfs/dbraw/zinc/71/09/66/695710966.db2.gz NXFCUUDJPSEFDM-ZDUSSCGKSA-N 1 2 316.430 1.778 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2cn3cc(C)nc3s2)C(C)(C)C1 ZINC000974682694 695710968 /nfs/dbraw/zinc/71/09/68/695710968.db2.gz NXFCUUDJPSEFDM-ZDUSSCGKSA-N 1 2 316.430 1.778 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc3n(n2)CCO3)C(C)(C)C1 ZINC000974704485 695715446 /nfs/dbraw/zinc/71/54/46/695715446.db2.gz XKYYAWDJUCJTHW-GFCCVEGCSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc3n(n2)CCO3)C(C)(C)C1 ZINC000974704485 695715449 /nfs/dbraw/zinc/71/54/49/695715449.db2.gz XKYYAWDJUCJTHW-GFCCVEGCSA-N 1 2 324.812 1.468 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1cn[nH]n1 ZINC000974783489 695734013 /nfs/dbraw/zinc/73/40/13/695734013.db2.gz IZTVITVMHWILJI-OAHLLOKOSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1cn[nH]n1 ZINC000974783489 695734016 /nfs/dbraw/zinc/73/40/16/695734016.db2.gz IZTVITVMHWILJI-OAHLLOKOSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC000974783489 695734017 /nfs/dbraw/zinc/73/40/17/695734017.db2.gz IZTVITVMHWILJI-OAHLLOKOSA-N 1 2 324.388 1.317 20 30 DDEDLO CC1(C)C[N@@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC000974783489 695734020 /nfs/dbraw/zinc/73/40/20/695734020.db2.gz IZTVITVMHWILJI-OAHLLOKOSA-N 1 2 324.388 1.317 20 30 DDEDLO CCC[N@@H+](Cc1ccc(C#N)cc1)CN1C(=O)C(=O)N(C)C1=O ZINC000054515921 696277040 /nfs/dbraw/zinc/27/70/40/696277040.db2.gz SGNKRLBUJPZKQH-UHFFFAOYSA-N 1 2 314.345 1.148 20 30 DDEDLO CCC[N@H+](Cc1ccc(C#N)cc1)CN1C(=O)C(=O)N(C)C1=O ZINC000054515921 696277041 /nfs/dbraw/zinc/27/70/41/696277041.db2.gz SGNKRLBUJPZKQH-UHFFFAOYSA-N 1 2 314.345 1.148 20 30 DDEDLO CCS(=O)(=O)CC[N@H+](C)CCC(=O)Nc1cccc(C#N)c1 ZINC000128548980 696780145 /nfs/dbraw/zinc/78/01/45/696780145.db2.gz PGFROQRJZSHDDZ-UHFFFAOYSA-N 1 2 323.418 1.253 20 30 DDEDLO CCS(=O)(=O)CC[N@@H+](C)CCC(=O)Nc1cccc(C#N)c1 ZINC000128548980 696780147 /nfs/dbraw/zinc/78/01/47/696780147.db2.gz PGFROQRJZSHDDZ-UHFFFAOYSA-N 1 2 323.418 1.253 20 30 DDEDLO CC#CC[N@@H+]1CCCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000981786627 696915114 /nfs/dbraw/zinc/91/51/14/696915114.db2.gz SEYISSXNLLAKLD-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@H+]1CCCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000981786627 696915119 /nfs/dbraw/zinc/91/51/19/696915119.db2.gz SEYISSXNLLAKLD-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@@H+]1CCCC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC000981786627 696915122 /nfs/dbraw/zinc/91/51/22/696915122.db2.gz SEYISSXNLLAKLD-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[N@H+]1CCCC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC000981786627 696915124 /nfs/dbraw/zinc/91/51/24/696915124.db2.gz SEYISSXNLLAKLD-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)Cc2cc[nH]n2)CC1 ZINC000980885241 696933187 /nfs/dbraw/zinc/93/31/87/696933187.db2.gz QOUQLZXUULWRMH-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)Cc2cc[nH]n2)CC1 ZINC000980885241 696933189 /nfs/dbraw/zinc/93/31/89/696933189.db2.gz QOUQLZXUULWRMH-UHFFFAOYSA-N 1 2 323.400 1.558 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cc(-c3ccncc3)on2)CC1 ZINC000981849707 696942398 /nfs/dbraw/zinc/94/23/98/696942398.db2.gz SZTRLQCWKDTKRF-UHFFFAOYSA-N 1 2 310.357 1.518 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cc(-c3ccncc3)on2)CC1 ZINC000981849707 696942399 /nfs/dbraw/zinc/94/23/99/696942399.db2.gz SZTRLQCWKDTKRF-UHFFFAOYSA-N 1 2 310.357 1.518 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2cnc3cc[nH]cc-3c2=O)CC1 ZINC000981259145 697049144 /nfs/dbraw/zinc/04/91/44/697049144.db2.gz MUQHEKDTNBGGRM-UHFFFAOYSA-N 1 2 324.384 1.094 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2cnc3cc[nH]cc-3c2=O)CC1 ZINC000981259145 697049147 /nfs/dbraw/zinc/04/91/47/697049147.db2.gz MUQHEKDTNBGGRM-UHFFFAOYSA-N 1 2 324.384 1.094 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)c2nc(C)c(C)[nH]c2=O)CC1 ZINC000981426439 697094427 /nfs/dbraw/zinc/09/44/27/697094427.db2.gz YXEQVDWIAKITLQ-UHFFFAOYSA-N 1 2 324.812 1.287 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)c2nc(C)c(C)[nH]c2=O)CC1 ZINC000981426439 697094429 /nfs/dbraw/zinc/09/44/29/697094429.db2.gz YXEQVDWIAKITLQ-UHFFFAOYSA-N 1 2 324.812 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+](CCC)[C@H](C)C(=O)Nc1ccccc1 ZINC000749395326 700161458 /nfs/dbraw/zinc/16/14/58/700161458.db2.gz FJCLZAHIVVTBES-CQSZACIVSA-N 1 2 301.390 1.475 20 30 DDEDLO C#CCNC(=O)C[N@H+](CCC)[C@H](C)C(=O)Nc1ccccc1 ZINC000749395326 700161460 /nfs/dbraw/zinc/16/14/60/700161460.db2.gz FJCLZAHIVVTBES-CQSZACIVSA-N 1 2 301.390 1.475 20 30 DDEDLO CNC(=O)c1ccc(CO[NH+]=C(N)c2ccc(OC)cc2)cc1 ZINC000187806248 697549655 /nfs/dbraw/zinc/54/96/55/697549655.db2.gz QQQUDSVSACLDMB-UHFFFAOYSA-N 1 2 313.357 1.892 20 30 DDEDLO C[C@H]1[C@H]([NH2+]Cc2cnsn2)CCN1C(=O)c1c[nH]c(C#N)c1 ZINC000986185146 697724177 /nfs/dbraw/zinc/72/41/77/697724177.db2.gz NQKAJMGPBNGYDN-TVQRCGJNSA-N 1 2 316.390 1.131 20 30 DDEDLO CCOCCCNC(=O)[C@H](C)O[NH+]=C(N)c1ccc(F)cc1 ZINC000749787736 700184616 /nfs/dbraw/zinc/18/46/16/700184616.db2.gz XQLWZQDZGDZEIO-NSHDSACASA-N 1 2 311.357 1.394 20 30 DDEDLO C[C@@H]1C[N@H+](CCOC(=O)COc2ccc(C#N)cc2)CCO1 ZINC000800082160 700184791 /nfs/dbraw/zinc/18/47/91/700184791.db2.gz KBIMHRNXGVKADP-CYBMUJFWSA-N 1 2 304.346 1.201 20 30 DDEDLO C[C@@H]1C[N@@H+](CCOC(=O)COc2ccc(C#N)cc2)CCO1 ZINC000800082160 700184793 /nfs/dbraw/zinc/18/47/93/700184793.db2.gz KBIMHRNXGVKADP-CYBMUJFWSA-N 1 2 304.346 1.201 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2C[C@@H](C)N(C(=O)C#CC3CC3)C2)n1 ZINC000988823742 698477245 /nfs/dbraw/zinc/47/72/45/698477245.db2.gz DJRMPUJWLFUJMT-OCCSQVGLSA-N 1 2 316.405 1.515 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)NCc2ccn3cc[nH+]c3c2)cc1 ZINC000780980615 698579159 /nfs/dbraw/zinc/57/91/59/698579159.db2.gz NAMYXTVFRONOAP-INIZCTEOSA-N 1 2 306.325 1.556 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC([NH2+]Cc2noc(C3CC3)n2)CC1 ZINC000990254472 699011572 /nfs/dbraw/zinc/01/15/72/699011572.db2.gz RXEWKCDPNARMQD-SNVBAGLBSA-N 1 2 303.366 1.187 20 30 DDEDLO COc1ccc2[nH]cc(C=NNC3=[NH+]C[C@@H](C)N3)c2c1[N+](=O)[O-] ZINC000785460197 699078374 /nfs/dbraw/zinc/07/83/74/699078374.db2.gz AIAOMWNBXXPYAS-MRVPVSSYSA-N 1 2 316.321 1.356 20 30 DDEDLO N#CCCNC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000426442888 699155214 /nfs/dbraw/zinc/15/52/14/699155214.db2.gz MDMBGXFHYZJWMR-UHFFFAOYSA-N 1 2 302.378 1.306 20 30 DDEDLO NC(=[NH+]OC[C@H]1CCS(=O)(=O)C1)c1ccc(Cl)cc1 ZINC000788504664 699319747 /nfs/dbraw/zinc/31/97/47/699319747.db2.gz RLCMYWMWDSCWCR-SECBINFHSA-N 1 2 302.783 1.412 20 30 DDEDLO N#CCN1CC[C@]2(NC(=O)[C@H]3CCn4cc[nH+]c4C3)CCC[C@@H]12 ZINC000991474552 699332712 /nfs/dbraw/zinc/33/27/12/699332712.db2.gz QQNLMTNMTTYMGR-JJRVBVJISA-N 1 2 313.405 1.082 20 30 DDEDLO CC(C)(C#N)CS(=O)(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000790042767 699436643 /nfs/dbraw/zinc/43/66/43/699436643.db2.gz UOHJCJMJZJZVGV-LBPRGKRZSA-N 1 2 308.407 1.129 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCOc2c(F)cccc21 ZINC000730280108 699506349 /nfs/dbraw/zinc/50/63/49/699506349.db2.gz KEOYNLMSTMIFPV-CABCVRRESA-N 1 2 302.349 1.863 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCOc2c(F)cccc21 ZINC000730280108 699506351 /nfs/dbraw/zinc/50/63/51/699506351.db2.gz KEOYNLMSTMIFPV-CABCVRRESA-N 1 2 302.349 1.863 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(-c2n[nH]c(C)n2)c1 ZINC000732059979 699545132 /nfs/dbraw/zinc/54/51/32/699545132.db2.gz YFCIJJMHMQKIQJ-HNNXBMFYSA-N 1 2 309.373 1.816 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(-c2n[nH]c(C)n2)c1 ZINC000732059979 699545133 /nfs/dbraw/zinc/54/51/33/699545133.db2.gz YFCIJJMHMQKIQJ-HNNXBMFYSA-N 1 2 309.373 1.816 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)c2ccncc2Cl)CC1 ZINC000791901783 699661836 /nfs/dbraw/zinc/66/18/36/699661836.db2.gz KLEULNMSLZMFOR-UHFFFAOYSA-N 1 2 320.776 1.806 20 30 DDEDLO C=CCNC(=O)[C@@H](C)OC(=O)[C@@H](c1ccccc1)[N@@H+](C)CC ZINC000741150406 699818506 /nfs/dbraw/zinc/81/85/06/699818506.db2.gz FLRIHDQMWKJHGX-UKRRQHHQSA-N 1 2 304.390 1.913 20 30 DDEDLO C=CCNC(=O)[C@@H](C)OC(=O)[C@@H](c1ccccc1)[N@H+](C)CC ZINC000741150406 699818508 /nfs/dbraw/zinc/81/85/08/699818508.db2.gz FLRIHDQMWKJHGX-UKRRQHHQSA-N 1 2 304.390 1.913 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H](C#N)[C@H]([NH2+]CC2=CCCOC2)C1 ZINC000758030264 700681497 /nfs/dbraw/zinc/68/14/97/700681497.db2.gz PLZNKSZOQSULSW-UONOGXRCSA-N 1 2 307.394 1.682 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(c2nc(F)c(F)cc2F)CC1 ZINC000759970866 700785516 /nfs/dbraw/zinc/78/55/16/700785516.db2.gz OCMSKMCVSUBDGV-GFCCVEGCSA-N 1 2 301.312 1.558 20 30 DDEDLO C[N@@H+](Cc1cc2c(cc1OC(F)F)OCO2)C[C@H](O)CC#N ZINC000809574587 701671092 /nfs/dbraw/zinc/67/10/92/701671092.db2.gz MTBHEQQHNTUWED-SNVBAGLBSA-N 1 2 314.288 1.723 20 30 DDEDLO C[N@H+](Cc1cc2c(cc1OC(F)F)OCO2)C[C@H](O)CC#N ZINC000809574587 701671095 /nfs/dbraw/zinc/67/10/95/701671095.db2.gz MTBHEQQHNTUWED-SNVBAGLBSA-N 1 2 314.288 1.723 20 30 DDEDLO COC(=O)/C(C)=C/C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000760912207 700829147 /nfs/dbraw/zinc/82/91/47/700829147.db2.gz WLMFNQVMNPOMMD-AWNIVKPZSA-N 1 2 300.362 1.195 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1cn(-c2ccccc2)nn1 ZINC000761458955 700860981 /nfs/dbraw/zinc/86/09/81/700860981.db2.gz ASHOBSZBDTXJFI-YOEHRIQHSA-N 1 2 323.400 1.542 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1cn(-c2ccccc2)nn1 ZINC000761458955 700860983 /nfs/dbraw/zinc/86/09/83/700860983.db2.gz ASHOBSZBDTXJFI-YOEHRIQHSA-N 1 2 323.400 1.542 20 30 DDEDLO NC(=[NH+]OCCOCCO)c1ccc(Br)cc1 ZINC000763426046 700937694 /nfs/dbraw/zinc/93/76/94/700937694.db2.gz PNWQZKLBJJFXTC-UHFFFAOYSA-N 1 2 303.156 1.095 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN2CCCC(C)(C)[C@@H]2C#N)C1 ZINC000766113909 701038039 /nfs/dbraw/zinc/03/80/39/701038039.db2.gz URNRKPXHBIHJGY-HIFRSBDPSA-N 1 2 315.421 1.708 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN2CCCC(C)(C)[C@H]2C#N)C1 ZINC000766113911 701038083 /nfs/dbraw/zinc/03/80/83/701038083.db2.gz URNRKPXHBIHJGY-UKRRQHHQSA-N 1 2 315.421 1.708 20 30 DDEDLO CO[C@@H]1C[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC[C@H]1C ZINC000766635152 701057866 /nfs/dbraw/zinc/05/78/66/701057866.db2.gz VICFJAXBNPYVMO-MLGOLLRUSA-N 1 2 301.390 1.523 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CC[C@H]1C ZINC000766635152 701057868 /nfs/dbraw/zinc/05/78/68/701057868.db2.gz VICFJAXBNPYVMO-MLGOLLRUSA-N 1 2 301.390 1.523 20 30 DDEDLO C#CCCCS(=O)(=O)N(C)CCCc1[nH+]ccn1CCC ZINC000805078023 701231219 /nfs/dbraw/zinc/23/12/19/701231219.db2.gz HRTVIVAVEUTZJX-UHFFFAOYSA-N 1 2 311.451 1.901 20 30 DDEDLO C#Cc1cccc(NC(=S)N[C@@H](C)[C@H]2C[N@H+](C)CCO2)c1 ZINC000769796972 701256266 /nfs/dbraw/zinc/25/62/66/701256266.db2.gz WYMHHGQDROGFEB-SWLSCSKDSA-N 1 2 303.431 1.673 20 30 DDEDLO C#Cc1cccc(NC(=S)N[C@@H](C)[C@H]2C[N@@H+](C)CCO2)c1 ZINC000769796972 701256268 /nfs/dbraw/zinc/25/62/68/701256268.db2.gz WYMHHGQDROGFEB-SWLSCSKDSA-N 1 2 303.431 1.673 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000805819674 701411307 /nfs/dbraw/zinc/41/13/07/701411307.db2.gz WTJZKSVPYYBPJT-CQSZACIVSA-N 1 2 304.346 1.353 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000805819674 701411308 /nfs/dbraw/zinc/41/13/08/701411308.db2.gz WTJZKSVPYYBPJT-CQSZACIVSA-N 1 2 304.346 1.353 20 30 DDEDLO CNc1ccc(C#N)cc1NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000867975009 701867277 /nfs/dbraw/zinc/86/72/77/701867277.db2.gz YZARMVUDHACNDM-NSCUHMNNSA-N 1 2 300.362 1.427 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](CN3C(=O)[C@@H]4CCC[C@@H]43)C2)nc1 ZINC000840073256 701952742 /nfs/dbraw/zinc/95/27/42/701952742.db2.gz ACDZELMVIQDVTD-KFWWJZLASA-N 1 2 312.373 1.375 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](CN3C(=O)[C@@H]4CCC[C@@H]43)C2)nc1 ZINC000840073256 701952745 /nfs/dbraw/zinc/95/27/45/701952745.db2.gz ACDZELMVIQDVTD-KFWWJZLASA-N 1 2 312.373 1.375 20 30 DDEDLO CC[C@@H](O)CNN=Cc1ccc(C=[NH+]NC[C@H](O)CC)cc1 ZINC000811653106 702021653 /nfs/dbraw/zinc/02/16/53/702021653.db2.gz GNARBQIYOCOFFB-HZPDHXFCSA-N 1 2 306.410 1.075 20 30 DDEDLO COC(=O)C1(C#N)CCN(c2cc(NC3CC3)[nH+]cn2)CC1 ZINC000840310470 702066029 /nfs/dbraw/zinc/06/60/29/702066029.db2.gz RROHOMLSHHZQHD-UHFFFAOYSA-N 1 2 301.350 1.334 20 30 DDEDLO COC(=O)C1(C#N)CCN(c2cc(NC3CC3)nc[nH+]2)CC1 ZINC000840310470 702066037 /nfs/dbraw/zinc/06/60/37/702066037.db2.gz RROHOMLSHHZQHD-UHFFFAOYSA-N 1 2 301.350 1.334 20 30 DDEDLO O=C1Nc2c(ccc(O)c2F)C1=NNC1=[NH+][C@H]2CCCC[C@@H]2N1 ZINC000841634346 702514595 /nfs/dbraw/zinc/51/45/95/702514595.db2.gz XQMRQULXUUVFGM-IUCAKERBSA-N 1 2 317.324 1.048 20 30 DDEDLO C=C[C@H](C)ONC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000844284320 703004737 /nfs/dbraw/zinc/00/47/37/703004737.db2.gz SGVVOOJPCJRSLD-HOCLYGCPSA-N 1 2 319.405 1.693 20 30 DDEDLO C=C[C@H](C)ONC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000844284320 703004740 /nfs/dbraw/zinc/00/47/40/703004740.db2.gz SGVVOOJPCJRSLD-HOCLYGCPSA-N 1 2 319.405 1.693 20 30 DDEDLO C[C@H](CC(=O)[C@H](C#N)C(=O)NCc1ccccc1)n1cc[nH+]c1 ZINC000845440320 703157203 /nfs/dbraw/zinc/15/72/03/703157203.db2.gz DKQHWMXGFZBRQZ-HIFRSBDPSA-N 1 2 310.357 1.859 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(C#N)c(F)c1 ZINC000879598983 706733392 /nfs/dbraw/zinc/73/33/92/706733392.db2.gz HLXASSLLNKKWKF-CQSZACIVSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(C#N)c(F)c1 ZINC000879598983 706733396 /nfs/dbraw/zinc/73/33/96/706733396.db2.gz HLXASSLLNKKWKF-CQSZACIVSA-N 1 2 320.368 1.930 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[NH+]1CCN(CCC#N)CC1)c1ccccc1 ZINC000879598935 706733639 /nfs/dbraw/zinc/73/36/39/706733639.db2.gz GULDHMATNIBSDV-IBGZPJMESA-N 1 2 324.428 1.958 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc2nonc2c1 ZINC000846810918 703336149 /nfs/dbraw/zinc/33/61/49/703336149.db2.gz ILOXOVWWPHFNJX-UHFFFAOYSA-N 1 2 315.377 1.271 20 30 DDEDLO Cc1cc(C)cc([C@@H](O)C[NH+]2CCN(C(=O)CC#N)CC2)c1 ZINC000846953159 703356883 /nfs/dbraw/zinc/35/68/83/703356883.db2.gz AIVUQOINKIADLJ-INIZCTEOSA-N 1 2 301.390 1.395 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(/C=C/C(=O)OC)o2)C1=O ZINC000848907540 703601615 /nfs/dbraw/zinc/60/16/15/703601615.db2.gz FPLLOHHBOZGTET-MVGZEHJDSA-N 1 2 318.373 1.685 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(/C=C/C(=O)OC)o2)C1=O ZINC000848907540 703601617 /nfs/dbraw/zinc/60/16/17/703601617.db2.gz FPLLOHHBOZGTET-MVGZEHJDSA-N 1 2 318.373 1.685 20 30 DDEDLO N#Cc1ccc(-c2nnc(NCCC[NH+]3CCOCC3)o2)cc1 ZINC000849159028 703622576 /nfs/dbraw/zinc/62/25/76/703622576.db2.gz LBZSSHBJNYRODJ-UHFFFAOYSA-N 1 2 313.361 1.742 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)COc2ccc(C)cc2)CC1 ZINC000851742451 703841752 /nfs/dbraw/zinc/84/17/52/703841752.db2.gz TVMFEHWYXQZQPZ-UHFFFAOYSA-N 1 2 316.401 1.168 20 30 DDEDLO COc1cccc([C@@H]2CN(C(=O)c3cc(C#N)ccn3)CC[NH2+]2)c1 ZINC000870154102 703935816 /nfs/dbraw/zinc/93/58/16/703935816.db2.gz YQSPCPCGDGMOIL-KRWDZBQOSA-N 1 2 322.368 1.749 20 30 DDEDLO N#Cc1ccccc1CSCCNC(=O)Cc1[nH]cc[nH+]1 ZINC000854269096 704373734 /nfs/dbraw/zinc/37/37/34/704373734.db2.gz GZMYQCWCGHSIOD-UHFFFAOYSA-N 1 2 300.387 1.873 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(c2nc(Cl)cs2)CC1 ZINC000858408766 704707247 /nfs/dbraw/zinc/70/72/47/704707247.db2.gz SOYRGJJQEIDKCF-UHFFFAOYSA-N 1 2 312.826 1.058 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(c2nc(Cl)cs2)CC1 ZINC000858408766 704707248 /nfs/dbraw/zinc/70/72/48/704707248.db2.gz SOYRGJJQEIDKCF-UHFFFAOYSA-N 1 2 312.826 1.058 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000875291570 705342143 /nfs/dbraw/zinc/34/21/43/705342143.db2.gz JLKKIUNFPPLEFI-CQSZACIVSA-N 1 2 310.357 1.319 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000824867628 705546780 /nfs/dbraw/zinc/54/67/80/705546780.db2.gz UCGXYVUERYNMEQ-UHFFFAOYSA-N 1 2 303.318 1.874 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ncoc2C(F)(F)F)CC1 ZINC000825078150 705595243 /nfs/dbraw/zinc/59/52/43/705595243.db2.gz VUVYFVUNPIXTSI-UHFFFAOYSA-N 1 2 301.268 1.475 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000825080073 705596192 /nfs/dbraw/zinc/59/61/92/705596192.db2.gz GIOYZVPDLINUBP-NWDGAFQWSA-N 1 2 317.311 1.921 20 30 DDEDLO C#CCN(C)C(=O)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000876194939 705653601 /nfs/dbraw/zinc/65/36/01/705653601.db2.gz CHZNSCDFFDNQNT-QGZVFWFLSA-N 1 2 315.417 1.470 20 30 DDEDLO C#CCN(C)C(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000876194939 705653603 /nfs/dbraw/zinc/65/36/03/705653603.db2.gz CHZNSCDFFDNQNT-QGZVFWFLSA-N 1 2 315.417 1.470 20 30 DDEDLO C#C[C@@H](NC(=O)N[C@H](C)C[NH+]1CCOCC1)c1ccccc1 ZINC000825660696 705710150 /nfs/dbraw/zinc/71/01/50/705710150.db2.gz XCWODPANBQMRNO-GDBMZVCRSA-N 1 2 301.390 1.381 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000862525989 705726600 /nfs/dbraw/zinc/72/66/00/705726600.db2.gz KDKLZAQRSJGCTG-CVEARBPZSA-N 1 2 319.426 1.850 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000862525989 705726602 /nfs/dbraw/zinc/72/66/02/705726602.db2.gz KDKLZAQRSJGCTG-CVEARBPZSA-N 1 2 319.426 1.850 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC000826682881 705844552 /nfs/dbraw/zinc/84/45/52/705844552.db2.gz RBDQUAJSKIEEMC-IRXDYDNUSA-N 1 2 315.417 1.760 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC000826682881 705844554 /nfs/dbraw/zinc/84/45/54/705844554.db2.gz RBDQUAJSKIEEMC-IRXDYDNUSA-N 1 2 315.417 1.760 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H]3CS(=O)(=O)C[C@H]3C2)ccc1C#N ZINC000877789087 706213756 /nfs/dbraw/zinc/21/37/56/706213756.db2.gz HXVLDBPAFAYXFI-LSDHHAIUSA-N 1 2 320.414 1.433 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H]3CS(=O)(=O)C[C@H]3C2)ccc1C#N ZINC000877789087 706213758 /nfs/dbraw/zinc/21/37/58/706213758.db2.gz HXVLDBPAFAYXFI-LSDHHAIUSA-N 1 2 320.414 1.433 20 30 DDEDLO C#C[C@H](CO)NC(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000878010841 706263990 /nfs/dbraw/zinc/26/39/90/706263990.db2.gz UEXOHFUATNFTSW-LLVKDONJSA-N 1 2 308.407 1.245 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@@H](NC(=O)[C@@H]1CCc3c[nH+]cn3C1)C2 ZINC000829485837 706343161 /nfs/dbraw/zinc/34/31/61/706343161.db2.gz KTIHPEUYRUCFLN-ZBFHGGJFSA-N 1 2 306.369 1.601 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCN(C(C)=O)C2(CCCCC2)C1 ZINC000878326558 706360977 /nfs/dbraw/zinc/36/09/77/706360977.db2.gz QFCISGKCDIPFDT-HNNXBMFYSA-N 1 2 308.422 1.971 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCN(C(C)=O)C2(CCCCC2)C1 ZINC000878326558 706360980 /nfs/dbraw/zinc/36/09/80/706360980.db2.gz QFCISGKCDIPFDT-HNNXBMFYSA-N 1 2 308.422 1.971 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@H]2OCC[C@@H]2C1 ZINC000829599065 706364543 /nfs/dbraw/zinc/36/45/43/706364543.db2.gz NAZAQJXRJVIOMQ-OWCLPIDISA-N 1 2 300.358 1.883 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@H]2OCC[C@@H]2C1 ZINC000829599065 706364545 /nfs/dbraw/zinc/36/45/45/706364545.db2.gz NAZAQJXRJVIOMQ-OWCLPIDISA-N 1 2 300.358 1.883 20 30 DDEDLO C=C(Cl)C[C@@H]1NC(=O)N([C@@H](C)[C@@H](C)[NH+]2CCOCC2)C1=O ZINC000865303128 706399377 /nfs/dbraw/zinc/39/93/77/706399377.db2.gz ZJPJWDJSZTVTPF-WOPDTQHZSA-N 1 2 315.801 1.159 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@H+]2CCOC[C@H]2C)cc1 ZINC000836352554 707445161 /nfs/dbraw/zinc/44/51/61/707445161.db2.gz XSEMWLMXEIOZFK-CQSZACIVSA-N 1 2 301.390 1.188 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@@H+]2CCOC[C@H]2C)cc1 ZINC000836352554 707445164 /nfs/dbraw/zinc/44/51/64/707445164.db2.gz XSEMWLMXEIOZFK-CQSZACIVSA-N 1 2 301.390 1.188 20 30 DDEDLO CCCNc1cc(N2CCN(c3cc(C#N)ccn3)CC2)nc[nH+]1 ZINC000865429247 706428833 /nfs/dbraw/zinc/42/88/33/706428833.db2.gz NSABVUJVUBDJEY-UHFFFAOYSA-N 1 2 323.404 1.892 20 30 DDEDLO CCCNc1cc(N2CCN(c3cc(C#N)ccn3)CC2)[nH+]cn1 ZINC000865429247 706428835 /nfs/dbraw/zinc/42/88/35/706428835.db2.gz NSABVUJVUBDJEY-UHFFFAOYSA-N 1 2 323.404 1.892 20 30 DDEDLO N#CCOc1ccc(C[NH+]2CCN(Cc3nnc[nH]3)CC2)cc1 ZINC000878809040 706505283 /nfs/dbraw/zinc/50/52/83/706505283.db2.gz NCWDJRWSJHLEHB-UHFFFAOYSA-N 1 2 312.377 1.025 20 30 DDEDLO N#CCOc1ccc(C[NH+]2CCN(Cc3nc[nH]n3)CC2)cc1 ZINC000878809040 706505285 /nfs/dbraw/zinc/50/52/85/706505285.db2.gz NCWDJRWSJHLEHB-UHFFFAOYSA-N 1 2 312.377 1.025 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC2(C#N)CCC2)CC[C@@H](C(F)(F)F)O1 ZINC000880063303 706868057 /nfs/dbraw/zinc/86/80/57/706868057.db2.gz YJAIJDQOMBPUMC-MNOVXSKESA-N 1 2 319.327 1.591 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)CC[C@@H](C(F)(F)F)O1 ZINC000880063303 706868059 /nfs/dbraw/zinc/86/80/59/706868059.db2.gz YJAIJDQOMBPUMC-MNOVXSKESA-N 1 2 319.327 1.591 20 30 DDEDLO CC(C)(CO)[NH+]1CCN(C(=O)c2sccc2CC#N)CC1 ZINC000867014834 706872961 /nfs/dbraw/zinc/87/29/61/706872961.db2.gz NWJQSGLDAXWYMX-UHFFFAOYSA-N 1 2 307.419 1.343 20 30 DDEDLO Cc1ccc(CNC(=O)N(C)C[C@@H]2C[N@H+](C)CCO2)cc1C#N ZINC000926874113 712915395 /nfs/dbraw/zinc/91/53/95/712915395.db2.gz OTJKHFHRLCHYNF-INIZCTEOSA-N 1 2 316.405 1.339 20 30 DDEDLO Cc1ccc(CNC(=O)N(C)C[C@@H]2C[N@@H+](C)CCO2)cc1C#N ZINC000926874113 712915396 /nfs/dbraw/zinc/91/53/96/712915396.db2.gz OTJKHFHRLCHYNF-INIZCTEOSA-N 1 2 316.405 1.339 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)Nc2ccc(Cl)cn2)CC1 ZINC000880482000 706988203 /nfs/dbraw/zinc/98/82/03/706988203.db2.gz UNXOKRADXBNIJG-UHFFFAOYSA-N 1 2 307.781 1.524 20 30 DDEDLO C#CCC1(O)CC[NH+]([C@@H](C)C(=O)Nc2nc(C)c(C)s2)CC1 ZINC000880482080 706988280 /nfs/dbraw/zinc/98/82/80/706988280.db2.gz WSEILAUDADYOIE-LBPRGKRZSA-N 1 2 321.446 1.937 20 30 DDEDLO COC[C@@H](C)NC(=S)NN=C1CCCc2[nH+]c(N)ccc21 ZINC000834924188 707148417 /nfs/dbraw/zinc/14/84/17/707148417.db2.gz LSRNDUCENSUOJA-SECBINFHSA-N 1 2 307.423 1.203 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)c2ccc(F)cc2F)nn1 ZINC000881408889 707242436 /nfs/dbraw/zinc/24/24/36/707242436.db2.gz CZMIPRAFKSCBKG-HNNXBMFYSA-N 1 2 306.316 1.403 20 30 DDEDLO N#Cc1ccccc1/C=C\C(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000836864902 707533966 /nfs/dbraw/zinc/53/39/66/707533966.db2.gz XBEGHCXZXNEEDT-HGGBWVLCSA-N 1 2 311.385 1.551 20 30 DDEDLO N#Cc1ccccc1/C=C\C(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000836864902 707533970 /nfs/dbraw/zinc/53/39/70/707533970.db2.gz XBEGHCXZXNEEDT-HGGBWVLCSA-N 1 2 311.385 1.551 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N[C@H](CO)CC(=C)C)nc[nH+]1 ZINC000883006377 707894326 /nfs/dbraw/zinc/89/43/26/707894326.db2.gz QGECALCYKYYZTL-OKILXGFUSA-N 1 2 306.410 1.955 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N[C@H](CO)CC(=C)C)[nH+]cn1 ZINC000883006377 707894330 /nfs/dbraw/zinc/89/43/30/707894330.db2.gz QGECALCYKYYZTL-OKILXGFUSA-N 1 2 306.410 1.955 20 30 DDEDLO CC(C)(C#N)c1ccc(C[NH2+]Cc2nnc3n2CCNC3=O)cc1 ZINC000883294148 707966948 /nfs/dbraw/zinc/96/69/48/707966948.db2.gz QMAHTPBYQAUPPP-UHFFFAOYSA-N 1 2 324.388 1.112 20 30 DDEDLO C#CC[C@@H]([NH2+]C1CCN(c2ccc(C#N)cc2)CC1)C(=O)OC ZINC000839168743 708032982 /nfs/dbraw/zinc/03/29/82/708032982.db2.gz NVPLEJWXWOZWIF-QGZVFWFLSA-N 1 2 311.385 1.682 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CCC)Cc1ccccc1O ZINC000884113260 708145281 /nfs/dbraw/zinc/14/52/81/708145281.db2.gz LCRSEMCFEBTWKX-AWEZNQCLSA-N 1 2 320.389 1.577 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000884379022 708271036 /nfs/dbraw/zinc/27/10/36/708271036.db2.gz LSVUQTQVJFYJGL-ZNMIVQPWSA-N 1 2 324.421 1.098 20 30 DDEDLO N#CCCc1ccc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)cc1 ZINC000885097836 708471008 /nfs/dbraw/zinc/47/10/08/708471008.db2.gz CABMDFJGFYAEQY-UHFFFAOYSA-N 1 2 316.386 1.585 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)/C=C/c2ccc(C)cc2)C1 ZINC000885509205 708562417 /nfs/dbraw/zinc/56/24/17/708562417.db2.gz PTXOFYZZCCFOGD-RTGRKSDTSA-N 1 2 318.442 1.983 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)/C=C/c2ccc(C)cc2)C1 ZINC000885509205 708562420 /nfs/dbraw/zinc/56/24/20/708562420.db2.gz PTXOFYZZCCFOGD-RTGRKSDTSA-N 1 2 318.442 1.983 20 30 DDEDLO C=CCC1(O)CC[NH+](Cc2nc3ccc(OC)nc3[nH]2)CC1 ZINC000886776645 708842649 /nfs/dbraw/zinc/84/26/49/708842649.db2.gz LUZFUEUEQBUWHD-UHFFFAOYSA-N 1 2 302.378 1.870 20 30 DDEDLO N#C[C@@]1(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)CC12CCCC2 ZINC000899062110 708969941 /nfs/dbraw/zinc/96/99/41/708969941.db2.gz TXOQRFIQWZFXRV-MRXNPFEDSA-N 1 2 319.405 1.025 20 30 DDEDLO N#C[C@@]1(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)CC12CCCC2 ZINC000899062110 708969944 /nfs/dbraw/zinc/96/99/44/708969944.db2.gz TXOQRFIQWZFXRV-MRXNPFEDSA-N 1 2 319.405 1.025 20 30 DDEDLO C#C[C@H]1CCCCN1C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000890647275 709938220 /nfs/dbraw/zinc/93/82/20/709938220.db2.gz CRWUBHGTQKDYFU-INIZCTEOSA-N 1 2 314.433 1.646 20 30 DDEDLO C/C(=C/C(=O)N1CCc2ccc(C#N)cc21)C[NH+]1CCOCC1 ZINC000901218585 709973068 /nfs/dbraw/zinc/97/30/68/709973068.db2.gz XILUENNBHOQPDR-UVTDQMKNSA-N 1 2 311.385 1.726 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C)c(C)c2)C1 ZINC000891606468 710246570 /nfs/dbraw/zinc/24/65/70/710246570.db2.gz NALDJENJIQASQY-MRXNPFEDSA-N 1 2 313.401 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C)c(C)c2)C1 ZINC000891606468 710246571 /nfs/dbraw/zinc/24/65/71/710246571.db2.gz NALDJENJIQASQY-MRXNPFEDSA-N 1 2 313.401 1.456 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(F)ccc2C)C1 ZINC000891606514 710246631 /nfs/dbraw/zinc/24/66/31/710246631.db2.gz OHHFEYVMICYIHJ-CQSZACIVSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(F)ccc2C)C1 ZINC000891606514 710246634 /nfs/dbraw/zinc/24/66/34/710246634.db2.gz OHHFEYVMICYIHJ-CQSZACIVSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(C)c2C)C1 ZINC000891605779 710246752 /nfs/dbraw/zinc/24/67/52/710246752.db2.gz IGDHBXHECRPQTH-OAHLLOKOSA-N 1 2 313.401 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(C)c2C)C1 ZINC000891605779 710246754 /nfs/dbraw/zinc/24/67/54/710246754.db2.gz IGDHBXHECRPQTH-OAHLLOKOSA-N 1 2 313.401 1.456 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2cc(C)cc(F)c2C#N)CC1 ZINC000891821577 710302673 /nfs/dbraw/zinc/30/26/73/710302673.db2.gz KIAIUVDBJJAFSQ-UHFFFAOYSA-N 1 2 304.369 1.582 20 30 DDEDLO N#Cc1ccc(C2(C(=O)N3CC[NH2+][C@@H](c4cn[nH]c4)C3)CC2)cc1 ZINC000913450783 713221014 /nfs/dbraw/zinc/22/10/14/713221014.db2.gz FXWNMXCTCIZFRA-MRXNPFEDSA-N 1 2 321.384 1.486 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)cc1 ZINC000913442920 713217906 /nfs/dbraw/zinc/21/79/06/713217906.db2.gz MDROLQUBDQSEAQ-INIZCTEOSA-N 1 2 312.373 1.761 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@@H+]2CCC[C@H](F)C2)CC1 ZINC000902995127 710989529 /nfs/dbraw/zinc/98/95/29/710989529.db2.gz LSMBWOJCNYVMJB-GJZGRUSLSA-N 1 2 310.417 1.113 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@H+]2CCC[C@H](F)C2)CC1 ZINC000902995127 710989533 /nfs/dbraw/zinc/98/95/33/710989533.db2.gz LSMBWOJCNYVMJB-GJZGRUSLSA-N 1 2 310.417 1.113 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)c(O)c1 ZINC000928643574 713247552 /nfs/dbraw/zinc/24/75/52/713247552.db2.gz FFTNOFFHQSEWHM-AWEZNQCLSA-N 1 2 303.362 1.104 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H](CC)C[NH+]1CCOCC1 ZINC000928646633 713249294 /nfs/dbraw/zinc/24/92/94/713249294.db2.gz HQXXVNWGWWBGIX-KRWDZBQOSA-N 1 2 300.402 1.437 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2cc3ccncc3s2)CC1 ZINC000895067610 711394857 /nfs/dbraw/zinc/39/48/57/711394857.db2.gz ZARYVOIGIAZLEK-UHFFFAOYSA-N 1 2 300.387 1.854 20 30 DDEDLO C[C@H](NC(=O)c1cccc(CC#N)c1)[C@H]1CN(C)CC[N@@H+]1C ZINC000896568898 711754568 /nfs/dbraw/zinc/75/45/68/711754568.db2.gz BXLNNHASSWSSRQ-XJKSGUPXSA-N 1 2 300.406 1.117 20 30 DDEDLO C[C@H](NC(=O)c1cccc(CC#N)c1)[C@H]1CN(C)CC[N@H+]1C ZINC000896568898 711754570 /nfs/dbraw/zinc/75/45/70/711754570.db2.gz BXLNNHASSWSSRQ-XJKSGUPXSA-N 1 2 300.406 1.117 20 30 DDEDLO CN(CCC#N)C[C@H](O)C[NH2+][C@@H]1c2ccccc2OC[C@H]1F ZINC000905540185 712070598 /nfs/dbraw/zinc/07/05/98/712070598.db2.gz XLTKENRPOSCEJL-XNRPHZJLSA-N 1 2 307.369 1.254 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CN[C@@H]1c2ccccc2OC[C@H]1F ZINC000905540185 712070600 /nfs/dbraw/zinc/07/06/00/712070600.db2.gz XLTKENRPOSCEJL-XNRPHZJLSA-N 1 2 307.369 1.254 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CN[C@@H]1c2ccccc2OC[C@H]1F ZINC000905540185 712070603 /nfs/dbraw/zinc/07/06/03/712070603.db2.gz XLTKENRPOSCEJL-XNRPHZJLSA-N 1 2 307.369 1.254 20 30 DDEDLO C=CC[C@H]([NH2+]CCCn1cc(Br)cn1)C(=O)OC ZINC000905767260 712142059 /nfs/dbraw/zinc/14/20/59/712142059.db2.gz JSELUOUNJGYINC-NSHDSACASA-N 1 2 316.199 1.743 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)C(F)(F)c2cccc(OC)c2)CC1 ZINC000906484059 712337133 /nfs/dbraw/zinc/33/71/33/712337133.db2.gz SASAHDVFYVNOTL-UHFFFAOYSA-N 1 2 322.355 1.955 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2cc(C)c(C#N)c(C)c2)CC1 ZINC000906670024 712384913 /nfs/dbraw/zinc/38/49/13/712384913.db2.gz IIKBCGYBKVBXOV-UHFFFAOYSA-N 1 2 301.390 1.579 20 30 DDEDLO COCC[NH+]1CCN(C(=O)[C@]2(C#N)CC3CCC2CC3)CC1 ZINC000906669929 712385068 /nfs/dbraw/zinc/38/50/68/712385068.db2.gz HJVSVBOJLGKLSR-DQPZFDDXSA-N 1 2 305.422 1.497 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)Cc1csc(C#N)c1 ZINC000913926894 713320957 /nfs/dbraw/zinc/32/09/57/713320957.db2.gz PFIRSSIQZPIKTJ-SNVBAGLBSA-N 1 2 310.404 1.324 20 30 DDEDLO C=C[C@@H](O)C(=O)N[C@@H]1CCc2[nH+]c(C3CCCCC3)[nH]c2C1 ZINC000907816114 712630412 /nfs/dbraw/zinc/63/04/12/712630412.db2.gz QLIXNDRRFCDYOS-IUODEOHRSA-N 1 2 303.406 1.978 20 30 DDEDLO C=C[C@@H](O)C(=O)N[C@@H]1CCc2[nH]c(C3CCCCC3)[nH+]c2C1 ZINC000907816114 712630414 /nfs/dbraw/zinc/63/04/14/712630414.db2.gz QLIXNDRRFCDYOS-IUODEOHRSA-N 1 2 303.406 1.978 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C#N)cc1 ZINC000914053040 713335769 /nfs/dbraw/zinc/33/57/69/713335769.db2.gz MKJHKTBPFBEJQL-MRXNPFEDSA-N 1 2 316.405 1.468 20 30 DDEDLO C#CCC1(NC(=O)NCC[N@@H+]2CCO[C@H](C)C2)CCCCC1 ZINC000915830763 713432618 /nfs/dbraw/zinc/43/26/18/713432618.db2.gz WEGUBDAPTRGDJT-OAHLLOKOSA-N 1 2 307.438 1.733 20 30 DDEDLO C#CCC1(NC(=O)NCC[N@H+]2CCO[C@H](C)C2)CCCCC1 ZINC000915830763 713432619 /nfs/dbraw/zinc/43/26/19/713432619.db2.gz WEGUBDAPTRGDJT-OAHLLOKOSA-N 1 2 307.438 1.733 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)N[C@H](CC#N)C(F)(F)F)[nH]n1 ZINC000928799348 713482855 /nfs/dbraw/zinc/48/28/55/713482855.db2.gz AZDVYNWSXJSSBY-WDEREUQCSA-N 1 2 315.299 1.751 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)N[C@H](CC#N)C(F)(F)F)[nH]n1 ZINC000928799348 713482856 /nfs/dbraw/zinc/48/28/56/713482856.db2.gz AZDVYNWSXJSSBY-WDEREUQCSA-N 1 2 315.299 1.751 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(Cc2cc(Cl)cc(C#N)c2)CC1 ZINC000929226926 713571252 /nfs/dbraw/zinc/57/12/52/713571252.db2.gz PQUUIEFEYIMJTB-UHFFFAOYSA-N 1 2 320.824 1.417 20 30 DDEDLO CCCC[N@H+](Cc1cncc(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000929971140 713725226 /nfs/dbraw/zinc/72/52/26/713725226.db2.gz MJSIQMJIWGSJTQ-OAHLLOKOSA-N 1 2 307.419 1.742 20 30 DDEDLO CCCC[N@@H+](Cc1cncc(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000929971140 713725228 /nfs/dbraw/zinc/72/52/28/713725228.db2.gz MJSIQMJIWGSJTQ-OAHLLOKOSA-N 1 2 307.419 1.742 20 30 DDEDLO COCC[N@H+](Cc1c(C)nn(C)c1C)Cc1cncc(C#N)c1 ZINC000930686954 713896721 /nfs/dbraw/zinc/89/67/21/713896721.db2.gz KFZAWBXLVRZIGN-UHFFFAOYSA-N 1 2 313.405 1.952 20 30 DDEDLO COCC[N@@H+](Cc1c(C)nn(C)c1C)Cc1cncc(C#N)c1 ZINC000930686954 713896723 /nfs/dbraw/zinc/89/67/23/713896723.db2.gz KFZAWBXLVRZIGN-UHFFFAOYSA-N 1 2 313.405 1.952 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@@H+](C[C@H](O)C2(O)CCC2)C1 ZINC000930924882 713961481 /nfs/dbraw/zinc/96/14/81/713961481.db2.gz XBSWHLADCYIGHA-HOTGVXAUSA-N 1 2 301.390 1.215 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@H+](C[C@H](O)C2(O)CCC2)C1 ZINC000930924882 713961482 /nfs/dbraw/zinc/96/14/82/713961482.db2.gz XBSWHLADCYIGHA-HOTGVXAUSA-N 1 2 301.390 1.215 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cc(C#N)ccc1F ZINC000931712958 714167291 /nfs/dbraw/zinc/16/72/91/714167291.db2.gz MPPUJGAQHGEQNN-LBPRGKRZSA-N 1 2 320.368 1.882 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC1CC[NH+](CCS(C)(=O)=O)CC1 ZINC000932452770 714335470 /nfs/dbraw/zinc/33/54/70/714335470.db2.gz KQEBIEPLZVGPEM-CYBMUJFWSA-N 1 2 316.467 1.214 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2scc3c2OCCO3)C1 ZINC000923552903 714399109 /nfs/dbraw/zinc/39/91/09/714399109.db2.gz FNYFLNYXRWCUNJ-NSHDSACASA-N 1 2 306.387 1.347 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2scc3c2OCCO3)C1 ZINC000923552903 714399112 /nfs/dbraw/zinc/39/91/12/714399112.db2.gz FNYFLNYXRWCUNJ-NSHDSACASA-N 1 2 306.387 1.347 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2nnc(-c3ccco3)o2)C1 ZINC000923557646 714401947 /nfs/dbraw/zinc/40/19/47/714401947.db2.gz MHBLFUPOMZAJLP-NSHDSACASA-N 1 2 300.318 1.157 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2nnc(-c3ccco3)o2)C1 ZINC000923557646 714401949 /nfs/dbraw/zinc/40/19/49/714401949.db2.gz MHBLFUPOMZAJLP-NSHDSACASA-N 1 2 300.318 1.157 20 30 DDEDLO O=C(C[NH+]1CCC2(CC1)CC(=O)C=CO2)Nc1ccccc1 ZINC000933652793 714639243 /nfs/dbraw/zinc/63/92/43/714639243.db2.gz MUBZBTSGGUPXOP-UHFFFAOYSA-N 1 2 300.358 1.963 20 30 DDEDLO CC[N@H+](CCC(=O)NC)[C@@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000933861794 714685598 /nfs/dbraw/zinc/68/55/98/714685598.db2.gz VREXTFWPSWWJKG-OAHLLOKOSA-N 1 2 303.362 1.230 20 30 DDEDLO CC[N@@H+](CCC(=O)NC)[C@@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000933861794 714685601 /nfs/dbraw/zinc/68/56/01/714685601.db2.gz VREXTFWPSWWJKG-OAHLLOKOSA-N 1 2 303.362 1.230 20 30 DDEDLO C=CC[C@@H]1CC[N@H+]1CC(=O)N[C@@H](Cc1ccccc1)C(C)=O ZINC000934470056 714827845 /nfs/dbraw/zinc/82/78/45/714827845.db2.gz CBCZJCONAZAPDV-SJORKVTESA-N 1 2 300.402 1.953 20 30 DDEDLO C=CC[C@@H]1CC[N@@H+]1CC(=O)N[C@@H](Cc1ccccc1)C(C)=O ZINC000934470056 714827847 /nfs/dbraw/zinc/82/78/47/714827847.db2.gz CBCZJCONAZAPDV-SJORKVTESA-N 1 2 300.402 1.953 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000935250933 715012727 /nfs/dbraw/zinc/01/27/27/715012727.db2.gz KKTXAUPQXHSDGF-XHSDSOJGSA-N 1 2 310.438 1.727 20 30 DDEDLO C=C1CCC(C(=O)N(C)C2CN(C(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC000955219720 715682288 /nfs/dbraw/zinc/68/22/88/715682288.db2.gz ANCQVVRRQORHDV-UHFFFAOYSA-N 1 2 316.405 1.368 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn(C)c2C(F)F)C1 ZINC000957120863 715757479 /nfs/dbraw/zinc/75/74/79/715757479.db2.gz SXVMSNSFRWHIBM-UHFFFAOYSA-N 1 2 310.348 1.527 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CC(=O)N([C@@H](C)CCC)C2)CC1 ZINC000957767984 716034508 /nfs/dbraw/zinc/03/45/08/716034508.db2.gz QINNZUGGDJRBAA-LSDHHAIUSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2onc(C)c2C)[C@H](O)C1 ZINC000958172629 716374800 /nfs/dbraw/zinc/37/48/00/716374800.db2.gz WVCMZWVQIHEASO-VXGBXAGGSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2onc(C)c2C)[C@H](O)C1 ZINC000958172629 716374804 /nfs/dbraw/zinc/37/48/04/716374804.db2.gz WVCMZWVQIHEASO-VXGBXAGGSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC000960781059 716687506 /nfs/dbraw/zinc/68/75/06/716687506.db2.gz BGKOIYRONFUYKP-OAHLLOKOSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC000960781059 716687510 /nfs/dbraw/zinc/68/75/10/716687510.db2.gz BGKOIYRONFUYKP-OAHLLOKOSA-N 1 2 319.453 1.840 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000940748072 716943467 /nfs/dbraw/zinc/94/34/67/716943467.db2.gz UTMLSLAYYDCZKC-CJNGLKHVSA-N 1 2 314.433 1.680 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000940748072 716943469 /nfs/dbraw/zinc/94/34/69/716943469.db2.gz UTMLSLAYYDCZKC-CJNGLKHVSA-N 1 2 314.433 1.680 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC(C)(C)C3)CC2)C1 ZINC000941555914 717208757 /nfs/dbraw/zinc/20/87/57/717208757.db2.gz UDYSROLOJMFQFD-INIZCTEOSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(C)cc3F)CC2)C1 ZINC000941582047 717218753 /nfs/dbraw/zinc/21/87/53/717218753.db2.gz FWWFGOSCYBASGY-UHFFFAOYSA-N 1 2 315.392 1.209 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CCN(CC#N)C[C@H]3C)ccn12 ZINC000942052721 717451935 /nfs/dbraw/zinc/45/19/35/717451935.db2.gz HCDNYKIFWASSEY-IUODEOHRSA-N 1 2 311.389 1.607 20 30 DDEDLO C[C@@H]1CN(CC#N)CC[C@@H]1NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000942064213 717459748 /nfs/dbraw/zinc/45/97/48/717459748.db2.gz PLEQMHVMSCFBIU-HIFRSBDPSA-N 1 2 315.421 1.112 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000964876795 717463720 /nfs/dbraw/zinc/46/37/20/717463720.db2.gz QWXLJPRMHAFESQ-SMDDNHRTSA-N 1 2 309.797 1.513 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000964876795 717463722 /nfs/dbraw/zinc/46/37/22/717463722.db2.gz QWXLJPRMHAFESQ-SMDDNHRTSA-N 1 2 309.797 1.513 20 30 DDEDLO C[C@@H]1CN(CC#N)CC[C@@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000942532236 717724993 /nfs/dbraw/zinc/72/49/93/717724993.db2.gz ICWQSTSWFAMZKH-PBHICJAKSA-N 1 2 323.400 1.836 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnon3)C[C@H]2C)cc1 ZINC000942553489 717740012 /nfs/dbraw/zinc/74/00/12/717740012.db2.gz PWFQNCSUXJCSJU-DYVFJYSZSA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnon3)C[C@H]2C)cc1 ZINC000942553489 717740016 /nfs/dbraw/zinc/74/00/16/717740016.db2.gz PWFQNCSUXJCSJU-DYVFJYSZSA-N 1 2 324.384 1.691 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cn(C)nn3)C[C@@H]2C)C1 ZINC000942607483 717774262 /nfs/dbraw/zinc/77/42/62/717774262.db2.gz GIQIGORRZZZISS-DZGCQCFKSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cn(C)nn3)C[C@@H]2C)C1 ZINC000942607483 717774266 /nfs/dbraw/zinc/77/42/66/717774266.db2.gz GIQIGORRZZZISS-DZGCQCFKSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cc2ccnn2C)C1 ZINC000965964133 717836985 /nfs/dbraw/zinc/83/69/85/717836985.db2.gz OEWCNCOBCLCLRF-SMDDNHRTSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2ccnn2C)C1 ZINC000965964133 717836989 /nfs/dbraw/zinc/83/69/89/717836989.db2.gz OEWCNCOBCLCLRF-SMDDNHRTSA-N 1 2 310.829 1.542 20 30 DDEDLO C[C@H]1C[N@H+](CC#Cc2ccccc2)CC[C@@H]1NC(=O)c1ncn[nH]1 ZINC000943674897 718173161 /nfs/dbraw/zinc/17/31/61/718173161.db2.gz KYLMDLQCBBOJOI-HOCLYGCPSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@@H]1NC(=O)c1ncn[nH]1 ZINC000943674897 718173162 /nfs/dbraw/zinc/17/31/62/718173162.db2.gz KYLMDLQCBBOJOI-HOCLYGCPSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@H]1C[N@H+](CC#Cc2ccccc2)CC[C@@H]1NC(=O)c1nc[nH]n1 ZINC000943674897 718173163 /nfs/dbraw/zinc/17/31/63/718173163.db2.gz KYLMDLQCBBOJOI-HOCLYGCPSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@@H]1NC(=O)c1nc[nH]n1 ZINC000943674897 718173164 /nfs/dbraw/zinc/17/31/64/718173164.db2.gz KYLMDLQCBBOJOI-HOCLYGCPSA-N 1 2 323.400 1.297 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2nnc[nH]2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947828801 719281950 /nfs/dbraw/zinc/28/19/50/719281950.db2.gz IPGXHIKXWUNCJT-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2nnc[nH]2)CC[N@H+]1Cc1ccccc1C#N ZINC000947828801 719281951 /nfs/dbraw/zinc/28/19/51/719281951.db2.gz IPGXHIKXWUNCJT-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2ncn[nH]2)CC[N@@H+]1Cc1ccccc1C#N ZINC000947828801 719281952 /nfs/dbraw/zinc/28/19/52/719281952.db2.gz IPGXHIKXWUNCJT-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2ncn[nH]2)CC[N@H+]1Cc1ccccc1C#N ZINC000947828801 719281953 /nfs/dbraw/zinc/28/19/53/719281953.db2.gz IPGXHIKXWUNCJT-DOMZBBRYSA-N 1 2 324.388 1.459 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000968606998 719689495 /nfs/dbraw/zinc/68/94/95/719689495.db2.gz NCAIXBDQCIQZER-UKRRQHHQSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000968606998 719689496 /nfs/dbraw/zinc/68/94/96/719689496.db2.gz NCAIXBDQCIQZER-UKRRQHHQSA-N 1 2 324.380 1.866 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccnc(C)n2)C1 ZINC000968665246 719723283 /nfs/dbraw/zinc/72/32/83/719723283.db2.gz OXUUNHRFUBAKAO-IINYFYTJSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccnc(C)n2)C1 ZINC000968665246 719723285 /nfs/dbraw/zinc/72/32/85/719723285.db2.gz OXUUNHRFUBAKAO-IINYFYTJSA-N 1 2 308.813 1.978 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)CC[C@@H]3CCOC3)CC2)C1 ZINC000949335549 720024174 /nfs/dbraw/zinc/02/41/74/720024174.db2.gz BUADGJVLLPSWGT-MRXNPFEDSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)CC[C@@H]3CCOC3)CC2)C1 ZINC000949335549 720024175 /nfs/dbraw/zinc/02/41/75/720024175.db2.gz BUADGJVLLPSWGT-MRXNPFEDSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cncc(F)c3)CC2)C1 ZINC000949337938 720025841 /nfs/dbraw/zinc/02/58/41/720025841.db2.gz DPXAXHJOOHKXPU-UHFFFAOYSA-N 1 2 317.364 1.161 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cncc(F)c3)CC2)C1 ZINC000949337938 720025842 /nfs/dbraw/zinc/02/58/42/720025842.db2.gz DPXAXHJOOHKXPU-UHFFFAOYSA-N 1 2 317.364 1.161 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@@H](OC)C3)CC2)C1 ZINC000949401368 720063245 /nfs/dbraw/zinc/06/32/45/720063245.db2.gz ALHAYVVNFUGQHA-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CC[C@@H](OC)C3)CC2)C1 ZINC000949401368 720063250 /nfs/dbraw/zinc/06/32/50/720063250.db2.gz ALHAYVVNFUGQHA-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3CCO[C@H](C)C3)CC2)C1 ZINC000949499210 720124986 /nfs/dbraw/zinc/12/49/86/720124986.db2.gz OLXBDZJVFHRYEU-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3CCO[C@H](C)C3)CC2)C1 ZINC000949499210 720124988 /nfs/dbraw/zinc/12/49/88/720124988.db2.gz OLXBDZJVFHRYEU-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(C#C)nc3)CC2)C1 ZINC000949509907 720132709 /nfs/dbraw/zinc/13/27/09/720132709.db2.gz YKVYKGKAWSPCGY-UHFFFAOYSA-N 1 2 323.396 1.003 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(C#C)nc3)CC2)C1 ZINC000949509907 720132711 /nfs/dbraw/zinc/13/27/11/720132711.db2.gz YKVYKGKAWSPCGY-UHFFFAOYSA-N 1 2 323.396 1.003 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cc(CC)on3)CC2)C1 ZINC000949515479 720135253 /nfs/dbraw/zinc/13/52/53/720135253.db2.gz UEVMWBSYSYDKRJ-UHFFFAOYSA-N 1 2 317.389 1.177 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cc(CC)on3)CC2)C1 ZINC000949515479 720135256 /nfs/dbraw/zinc/13/52/56/720135256.db2.gz UEVMWBSYSYDKRJ-UHFFFAOYSA-N 1 2 317.389 1.177 20 30 DDEDLO C#CCC[NH+]1CC([C@H](C)NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000969667960 720243260 /nfs/dbraw/zinc/24/32/60/720243260.db2.gz OOUVSZFYUPXUFY-JSGCOSHPSA-N 1 2 300.406 1.289 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccnc(-n3cccn3)c2)CC1 ZINC000950441885 720634413 /nfs/dbraw/zinc/63/44/13/720634413.db2.gz ULWQREMPOJXEPR-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cn(C)nc2COC)C1 ZINC000950649432 720721083 /nfs/dbraw/zinc/72/10/83/720721083.db2.gz NMKRJCDHPDURQJ-UHFFFAOYSA-N 1 2 306.410 1.289 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC000970433803 720759879 /nfs/dbraw/zinc/75/98/79/720759879.db2.gz LQXFOMWNQULIDU-TUAOUCFPSA-N 1 2 313.829 1.077 20 30 DDEDLO C=C(Cl)CN1CC([C@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC000970444093 720764353 /nfs/dbraw/zinc/76/43/53/720764353.db2.gz DXGYNLTZVROJLW-IACUBPJLSA-N 1 2 322.840 1.713 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnc(OC)nc2)C1 ZINC000970682090 720886016 /nfs/dbraw/zinc/88/60/16/720886016.db2.gz RGPFSEXACMJFCC-SNVBAGLBSA-N 1 2 310.785 1.288 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC000970734293 720914084 /nfs/dbraw/zinc/91/40/84/720914084.db2.gz DAWLNIUCHQLFMA-WDEREUQCSA-N 1 2 323.828 1.099 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@H]2OCCc3ccccc32)C1 ZINC000951114224 720922271 /nfs/dbraw/zinc/92/22/71/720922271.db2.gz SIWWIYUEUYSUAH-GOSISDBHSA-N 1 2 312.413 1.856 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccnn2C2CCOCC2)CC1 ZINC000951603956 721093499 /nfs/dbraw/zinc/09/34/99/721093499.db2.gz CANXOYLHJXNMMP-UHFFFAOYSA-N 1 2 318.421 1.569 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(F)CCCCC3)C2)C1 ZINC000972626716 735375233 /nfs/dbraw/zinc/37/52/33/735375233.db2.gz FKXVBLWQKKKJFF-QGZVFWFLSA-N 1 2 322.424 1.985 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(F)CCCCC3)C2)C1 ZINC000972626716 735375239 /nfs/dbraw/zinc/37/52/39/735375239.db2.gz FKXVBLWQKKKJFF-QGZVFWFLSA-N 1 2 322.424 1.985 20 30 DDEDLO Cn1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)s2)c1 ZINC001038147871 738569544 /nfs/dbraw/zinc/56/95/44/738569544.db2.gz AFVBMVUTDDBYJP-ZDUSSCGKSA-N 1 2 314.414 1.963 20 30 DDEDLO Cn1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)s2)c1 ZINC001038147871 738569546 /nfs/dbraw/zinc/56/95/46/738569546.db2.gz AFVBMVUTDDBYJP-ZDUSSCGKSA-N 1 2 314.414 1.963 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)s1 ZINC001038175755 732661284 /nfs/dbraw/zinc/66/12/84/732661284.db2.gz SZJLCOAUCVNCGN-CYBMUJFWSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)s1 ZINC001038175755 732661288 /nfs/dbraw/zinc/66/12/88/732661288.db2.gz SZJLCOAUCVNCGN-CYBMUJFWSA-N 1 2 315.402 1.656 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)CNc1ncccc1C#N ZINC001104258440 733069028 /nfs/dbraw/zinc/06/90/28/733069028.db2.gz HBBYWOSTGHXJSW-IACUBPJLSA-N 1 2 324.388 1.644 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)CNc1ncccc1C#N ZINC001104258440 733069030 /nfs/dbraw/zinc/06/90/30/733069030.db2.gz HBBYWOSTGHXJSW-IACUBPJLSA-N 1 2 324.388 1.644 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NC2C[C@H]3CC[C@@H](C2)N3CC#N)c[nH+]1 ZINC001021294471 733115802 /nfs/dbraw/zinc/11/58/02/733115802.db2.gz CRFCOXMAVSQHCZ-GOOCMWNKSA-N 1 2 315.421 1.562 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3[C@@H]4CCCCCC[C@@H]43)[C@H]2C1 ZINC001083203556 733168557 /nfs/dbraw/zinc/16/85/57/733168557.db2.gz VRSSWGWWZHMBGB-IGKNDFSCSA-N 1 2 316.445 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3[C@@H]4CCCCCC[C@@H]43)[C@H]2C1 ZINC001083203556 733168559 /nfs/dbraw/zinc/16/85/59/733168559.db2.gz VRSSWGWWZHMBGB-IGKNDFSCSA-N 1 2 316.445 1.748 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)CC[C@@H]3C2)cc[nH+]1 ZINC001067453345 734352564 /nfs/dbraw/zinc/35/25/64/734352564.db2.gz UKDCOMQGQAHVFC-AEGPPILISA-N 1 2 313.405 1.620 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001027920056 738809958 /nfs/dbraw/zinc/80/99/58/738809958.db2.gz FOQXGJOVZGWQOR-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001027920056 738809962 /nfs/dbraw/zinc/80/99/62/738809962.db2.gz FOQXGJOVZGWQOR-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1nc(C)c(C)[nH]c1=O ZINC001027963917 738873107 /nfs/dbraw/zinc/87/31/07/738873107.db2.gz GQIOIXFJHWRVFR-LBPRGKRZSA-N 1 2 324.812 1.746 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1nc(C)c(C)[nH]c1=O ZINC001027963917 738873108 /nfs/dbraw/zinc/87/31/08/738873108.db2.gz GQIOIXFJHWRVFR-LBPRGKRZSA-N 1 2 324.812 1.746 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3C[C@@H]3OCCCC)n2C)CC1 ZINC001121350665 782467344 /nfs/dbraw/zinc/46/73/44/782467344.db2.gz JIWZMZFIBKSJHL-CABCVRRESA-N 1 2 317.437 1.243 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C3CCOCC3)C2)C1 ZINC000972707419 735557852 /nfs/dbraw/zinc/55/78/52/735557852.db2.gz JFVPOMLJXISRST-MAUKXSAKSA-N 1 2 322.449 1.538 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)C3CCOCC3)C2)C1 ZINC000972707419 735557856 /nfs/dbraw/zinc/55/78/56/735557856.db2.gz JFVPOMLJXISRST-MAUKXSAKSA-N 1 2 322.449 1.538 20 30 DDEDLO N#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001024646503 736002149 /nfs/dbraw/zinc/00/21/49/736002149.db2.gz CMQPNRIHRCGUGU-STQMWFEESA-N 1 2 301.394 1.324 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC001027995694 738919346 /nfs/dbraw/zinc/91/93/46/738919346.db2.gz UZBJEOANPAOHKI-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC001027995694 738919351 /nfs/dbraw/zinc/91/93/51/738919351.db2.gz UZBJEOANPAOHKI-CYBMUJFWSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]([C@@H](C)NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001025616614 736788941 /nfs/dbraw/zinc/78/89/41/736788941.db2.gz BIBLZOGEGMBTHT-OCCSQVGLSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]([C@@H](C)NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001025616614 736788943 /nfs/dbraw/zinc/78/89/43/736788943.db2.gz BIBLZOGEGMBTHT-OCCSQVGLSA-N 1 2 311.389 1.421 20 30 DDEDLO C=CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC001025908786 737021991 /nfs/dbraw/zinc/02/19/91/737021991.db2.gz XVCNPOMXZNPJLH-XGVIZULCSA-N 1 2 300.406 1.308 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC001025908786 737021995 /nfs/dbraw/zinc/02/19/95/737021995.db2.gz XVCNPOMXZNPJLH-XGVIZULCSA-N 1 2 300.406 1.308 20 30 DDEDLO Cc1nc(N[C@H](CNC(=O)c2c[nH]c(C#N)c2)C(C)C)cc[nH+]1 ZINC001105158587 737587850 /nfs/dbraw/zinc/58/78/50/737587850.db2.gz VYFIPEKKOKNIPQ-CQSZACIVSA-N 1 2 312.377 1.851 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105309791 737807407 /nfs/dbraw/zinc/80/74/07/737807407.db2.gz CEFUMNOUWIPDGA-CQSZACIVSA-N 1 2 315.421 1.377 20 30 DDEDLO COc1nscc1C[N@H+](C)[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027330519 738202554 /nfs/dbraw/zinc/20/25/54/738202554.db2.gz ROHIVEQMSPFFJI-AAEUAGOBSA-N 1 2 322.434 1.734 20 30 DDEDLO COc1nscc1C[N@@H+](C)[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001027330519 738202558 /nfs/dbraw/zinc/20/25/58/738202558.db2.gz ROHIVEQMSPFFJI-AAEUAGOBSA-N 1 2 322.434 1.734 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CCC[N@H+]2Cc2cnnn2C)CC1 ZINC001028114274 739053513 /nfs/dbraw/zinc/05/35/13/739053513.db2.gz GOMXCAKXMSWPLL-OAHLLOKOSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CCC[N@@H+]2Cc2cnnn2C)CC1 ZINC001028114274 739053515 /nfs/dbraw/zinc/05/35/15/739053515.db2.gz GOMXCAKXMSWPLL-OAHLLOKOSA-N 1 2 317.437 1.642 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2cnon2)C1 ZINC001087440328 739258370 /nfs/dbraw/zinc/25/83/70/739258370.db2.gz UKOIORRPVOXWHB-GDBMZVCRSA-N 1 2 310.357 1.291 20 30 DDEDLO C#CC[N@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2cnon2)C1 ZINC001087440328 739258376 /nfs/dbraw/zinc/25/83/76/739258376.db2.gz UKOIORRPVOXWHB-GDBMZVCRSA-N 1 2 310.357 1.291 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)c3ccccc3)C2)nn1 ZINC001105363336 739337150 /nfs/dbraw/zinc/33/71/50/739337150.db2.gz VPBJNVCWFGLRQU-AWEZNQCLSA-N 1 2 323.400 1.188 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3cccnc3n2)C1 ZINC001035373839 751437897 /nfs/dbraw/zinc/43/78/97/751437897.db2.gz YASZGXJQEPUBAH-AWEZNQCLSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3cccnc3n2)C1 ZINC001035373839 751437899 /nfs/dbraw/zinc/43/78/99/751437899.db2.gz YASZGXJQEPUBAH-AWEZNQCLSA-N 1 2 312.373 1.246 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3cc(C)ccc3C)C2)nn1 ZINC001105366613 739392941 /nfs/dbraw/zinc/39/29/41/739392941.db2.gz ZYNWWUQSKRLXFE-UHFFFAOYSA-N 1 2 323.400 1.315 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2sccc2C)[C@H](OC)C1 ZINC001211766071 739514075 /nfs/dbraw/zinc/51/40/75/739514075.db2.gz QDSCKHUAIPVETF-ZIAGYGMSSA-N 1 2 322.430 1.135 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2sccc2C)[C@H](OC)C1 ZINC001211766071 739514076 /nfs/dbraw/zinc/51/40/76/739514076.db2.gz QDSCKHUAIPVETF-ZIAGYGMSSA-N 1 2 322.430 1.135 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cn(C)nc2C2CC2)C1 ZINC001035388409 751459421 /nfs/dbraw/zinc/45/94/21/751459421.db2.gz UWJMALNVIIATOX-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cn(C)nc2C2CC2)C1 ZINC001035388409 751459423 /nfs/dbraw/zinc/45/94/23/751459423.db2.gz UWJMALNVIIATOX-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cncc(COC)c2)C1 ZINC001035402685 751472294 /nfs/dbraw/zinc/47/22/94/751472294.db2.gz NMMXVFJLATUXEV-INIZCTEOSA-N 1 2 319.405 1.235 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cncc(COC)c2)C1 ZINC001035402685 751472298 /nfs/dbraw/zinc/47/22/98/751472298.db2.gz NMMXVFJLATUXEV-INIZCTEOSA-N 1 2 319.405 1.235 20 30 DDEDLO N#Cc1c(F)cccc1N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001059079176 739886963 /nfs/dbraw/zinc/88/69/63/739886963.db2.gz ANLXHFKGFQPTLV-NSHDSACASA-N 1 2 313.336 1.676 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccsc2COC)C1 ZINC001035415637 751496739 /nfs/dbraw/zinc/49/67/39/751496739.db2.gz CUGJMJSONBELGY-ZDUSSCGKSA-N 1 2 322.430 1.348 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccsc2COC)C1 ZINC001035415637 751496743 /nfs/dbraw/zinc/49/67/43/751496743.db2.gz CUGJMJSONBELGY-ZDUSSCGKSA-N 1 2 322.430 1.348 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccn(C(C)C)c2C)C1 ZINC001035487263 751540715 /nfs/dbraw/zinc/54/07/15/751540715.db2.gz JNMSWANBQPBTOZ-HNNXBMFYSA-N 1 2 305.422 1.994 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccn(C(C)C)c2C)C1 ZINC001035487263 751540718 /nfs/dbraw/zinc/54/07/18/751540718.db2.gz JNMSWANBQPBTOZ-HNNXBMFYSA-N 1 2 305.422 1.994 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3ccsc3c2)C1 ZINC001035466532 751552136 /nfs/dbraw/zinc/55/21/36/751552136.db2.gz HNXRGHMAAZUDGV-CYBMUJFWSA-N 1 2 317.414 1.913 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc3ccsc3c2)C1 ZINC001035466532 751552138 /nfs/dbraw/zinc/55/21/38/751552138.db2.gz HNXRGHMAAZUDGV-CYBMUJFWSA-N 1 2 317.414 1.913 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001035467936 751552777 /nfs/dbraw/zinc/55/27/77/751552777.db2.gz VMAAPXBFALWBLK-ZDUSSCGKSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001035467936 751552783 /nfs/dbraw/zinc/55/27/83/751552783.db2.gz VMAAPXBFALWBLK-ZDUSSCGKSA-N 1 2 300.362 1.180 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc(OC)nc2)[C@H]1CC ZINC001087782570 740748363 /nfs/dbraw/zinc/74/83/63/740748363.db2.gz CPICDRPWAVTJJM-QWHCGFSZSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc(OC)nc2)[C@H]1CC ZINC001087782570 740748365 /nfs/dbraw/zinc/74/83/65/740748365.db2.gz CPICDRPWAVTJJM-QWHCGFSZSA-N 1 2 324.812 1.820 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnn(C)c1 ZINC001029422939 740758053 /nfs/dbraw/zinc/75/80/53/740758053.db2.gz KZHWKDFHIQNGEK-GASCZTMLSA-N 1 2 302.422 1.808 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnn(C)c1 ZINC001029422939 740758054 /nfs/dbraw/zinc/75/80/54/740758054.db2.gz KZHWKDFHIQNGEK-GASCZTMLSA-N 1 2 302.422 1.808 20 30 DDEDLO N#Cc1c(F)cccc1N[C@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001059426613 740916047 /nfs/dbraw/zinc/91/60/47/740916047.db2.gz JNKARQOJARLQPO-XYPYZODXSA-N 1 2 313.336 1.722 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCOc3ccccc32)C1 ZINC001035543424 751590304 /nfs/dbraw/zinc/59/03/04/751590304.db2.gz XOVYDWYQJPXTIZ-GDBMZVCRSA-N 1 2 316.401 1.556 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCOc3ccccc32)C1 ZINC001035543424 751590308 /nfs/dbraw/zinc/59/03/08/751590308.db2.gz XOVYDWYQJPXTIZ-GDBMZVCRSA-N 1 2 316.401 1.556 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCOc3ccccc32)C1 ZINC001035543428 751590835 /nfs/dbraw/zinc/59/08/35/751590835.db2.gz XOVYDWYQJPXTIZ-HOCLYGCPSA-N 1 2 316.401 1.556 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCOc3ccccc32)C1 ZINC001035543428 751590841 /nfs/dbraw/zinc/59/08/41/751590841.db2.gz XOVYDWYQJPXTIZ-HOCLYGCPSA-N 1 2 316.401 1.556 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3[C@H](CC)C(N)=O)CC1 ZINC001032607545 751592882 /nfs/dbraw/zinc/59/28/82/751592882.db2.gz WISBFBCJRVYOFX-SOUVJXGZSA-N 1 2 305.422 1.282 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3[C@H](CC)C(N)=O)CC1 ZINC001032607545 751592887 /nfs/dbraw/zinc/59/28/87/751592887.db2.gz WISBFBCJRVYOFX-SOUVJXGZSA-N 1 2 305.422 1.282 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)nn(CC)c2C)C1 ZINC001035546372 751594163 /nfs/dbraw/zinc/59/41/63/751594163.db2.gz SPXXFZWLAJSOLH-OAHLLOKOSA-N 1 2 320.437 1.527 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)nn(CC)c2C)C1 ZINC001035546372 751594170 /nfs/dbraw/zinc/59/41/70/751594170.db2.gz SPXXFZWLAJSOLH-OAHLLOKOSA-N 1 2 320.437 1.527 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001035519641 751594969 /nfs/dbraw/zinc/59/49/69/751594969.db2.gz RBSONIPRWBOMGS-OWCLPIDISA-N 1 2 301.390 1.188 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001035519641 751594974 /nfs/dbraw/zinc/59/49/74/751594974.db2.gz RBSONIPRWBOMGS-OWCLPIDISA-N 1 2 301.390 1.188 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3cnccc3n2)C1 ZINC001035520465 751596954 /nfs/dbraw/zinc/59/69/54/751596954.db2.gz CCYLKQIFCGDYFQ-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3cnccc3n2)C1 ZINC001035520465 751596959 /nfs/dbraw/zinc/59/69/59/751596959.db2.gz CCYLKQIFCGDYFQ-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2C2CC2)C1 ZINC001035568597 751622347 /nfs/dbraw/zinc/62/23/47/751622347.db2.gz VOUNIMCOXCWWRL-CYBMUJFWSA-N 1 2 304.394 1.294 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2C2CC2)C1 ZINC001035568597 751622349 /nfs/dbraw/zinc/62/23/49/751622349.db2.gz VOUNIMCOXCWWRL-CYBMUJFWSA-N 1 2 304.394 1.294 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2coc(C3CCC3)n2)C1 ZINC001035572829 751627010 /nfs/dbraw/zinc/62/70/10/751627010.db2.gz DWCOQKQVWITZRH-AWEZNQCLSA-N 1 2 317.389 1.396 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2coc(C3CCC3)n2)C1 ZINC001035572829 751627017 /nfs/dbraw/zinc/62/70/17/751627017.db2.gz DWCOQKQVWITZRH-AWEZNQCLSA-N 1 2 317.389 1.396 20 30 DDEDLO N#CCN[C@H]1CCCCCN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC001088338151 741411306 /nfs/dbraw/zinc/41/13/06/741411306.db2.gz WJRCBYLCUZXZFP-CABCVRRESA-N 1 2 315.421 1.330 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1C[C@H](Nc2cc(C)ncc2C#N)C1 ZINC001059689592 741549433 /nfs/dbraw/zinc/54/94/33/741549433.db2.gz SZQLLKQCIAQUSS-OKILXGFUSA-N 1 2 324.388 1.017 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(C)s2)C1 ZINC001035597882 751654618 /nfs/dbraw/zinc/65/46/18/751654618.db2.gz PTCAAQAXJRERHP-AWEZNQCLSA-N 1 2 306.431 1.819 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(C)s2)C1 ZINC001035597882 751654623 /nfs/dbraw/zinc/65/46/23/751654623.db2.gz PTCAAQAXJRERHP-AWEZNQCLSA-N 1 2 306.431 1.819 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001075885692 741717580 /nfs/dbraw/zinc/71/75/80/741717580.db2.gz JSCFCMLORVHLJP-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001075885692 741717583 /nfs/dbraw/zinc/71/75/83/741717583.db2.gz JSCFCMLORVHLJP-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ncsc2C(C)C)C1 ZINC001035576433 751664787 /nfs/dbraw/zinc/66/47/87/751664787.db2.gz GPUNMROZPOJJFW-ZDUSSCGKSA-N 1 2 321.446 1.720 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ncsc2C(C)C)C1 ZINC001035576433 751664794 /nfs/dbraw/zinc/66/47/94/751664794.db2.gz GPUNMROZPOJJFW-ZDUSSCGKSA-N 1 2 321.446 1.720 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2sccc2COC)C1 ZINC001035578295 751668050 /nfs/dbraw/zinc/66/80/50/751668050.db2.gz WAFADICGFIYGKW-CQSZACIVSA-N 1 2 324.446 1.901 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2sccc2COC)C1 ZINC001035578295 751668054 /nfs/dbraw/zinc/66/80/54/751668054.db2.gz WAFADICGFIYGKW-CQSZACIVSA-N 1 2 324.446 1.901 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2nccnc2C)C[C@H]1OC ZINC001212022602 741946100 /nfs/dbraw/zinc/94/61/00/741946100.db2.gz YXRNJEAKUTWKMG-HUUCEWRRSA-N 1 2 304.394 1.067 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2nccnc2C)C[C@H]1OC ZINC001212022602 741946103 /nfs/dbraw/zinc/94/61/03/741946103.db2.gz YXRNJEAKUTWKMG-HUUCEWRRSA-N 1 2 304.394 1.067 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001035589317 751683615 /nfs/dbraw/zinc/68/36/15/751683615.db2.gz KMRJWEGQJNWFNX-CVEARBPZSA-N 1 2 315.417 1.190 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001035589317 751683616 /nfs/dbraw/zinc/68/36/16/751683616.db2.gz KMRJWEGQJNWFNX-CVEARBPZSA-N 1 2 315.417 1.190 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cncnc2C2CC2)C1 ZINC001035593565 751688691 /nfs/dbraw/zinc/68/86/91/751688691.db2.gz XTBRZOWVAQZQEE-CQSZACIVSA-N 1 2 316.405 1.361 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cncnc2C2CC2)C1 ZINC001035593565 751688696 /nfs/dbraw/zinc/68/86/96/751688696.db2.gz XTBRZOWVAQZQEE-CQSZACIVSA-N 1 2 316.405 1.361 20 30 DDEDLO C[C@@H](CCNC(=O)C[C@H](C)n1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001076546071 742783088 /nfs/dbraw/zinc/78/30/88/742783088.db2.gz QWLBPEBVKRDCBN-KBPBESRZSA-N 1 2 316.405 1.259 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001076688459 742909151 /nfs/dbraw/zinc/90/91/51/742909151.db2.gz OFNAVAQXXASYAX-LBPRGKRZSA-N 1 2 320.437 1.874 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC001181626560 743278450 /nfs/dbraw/zinc/27/84/50/743278450.db2.gz PDWXVMUTSIVUMF-GFCCVEGCSA-N 1 2 322.409 1.260 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(C)nc2CC(C)C)C1 ZINC001077317343 743401242 /nfs/dbraw/zinc/40/12/42/743401242.db2.gz MQJODXWAVAHBTG-ZIAGYGMSSA-N 1 2 323.462 1.611 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(C)nc2CC(C)C)C1 ZINC001077317343 743401241 /nfs/dbraw/zinc/40/12/41/743401241.db2.gz MQJODXWAVAHBTG-ZIAGYGMSSA-N 1 2 323.462 1.611 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH2+]Cc2nn(C)cc2Cl)C1 ZINC001182113085 743481563 /nfs/dbraw/zinc/48/15/63/743481563.db2.gz KNKBVWTUOGCRAI-CYBMUJFWSA-N 1 2 322.840 1.958 20 30 DDEDLO C#C[C@@H](C)NC(=O)C[N@@H+]1CCC[C@H](N(C)C(=O)OC(C)(C)C)C1 ZINC001182305464 743560759 /nfs/dbraw/zinc/56/07/59/743560759.db2.gz ISCNMJFKIDRWAD-KGLIPLIRSA-N 1 2 323.437 1.456 20 30 DDEDLO C#C[C@@H](C)NC(=O)C[N@H+]1CCC[C@H](N(C)C(=O)OC(C)(C)C)C1 ZINC001182305464 743560765 /nfs/dbraw/zinc/56/07/65/743560765.db2.gz ISCNMJFKIDRWAD-KGLIPLIRSA-N 1 2 323.437 1.456 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)COc1ccc(C#N)cc1 ZINC001182916528 743751963 /nfs/dbraw/zinc/75/19/63/743751963.db2.gz BSKTWHAQUQGFNX-UHFFFAOYSA-N 1 2 314.345 1.096 20 30 DDEDLO C=CCCCC(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105721666 743760529 /nfs/dbraw/zinc/76/05/29/743760529.db2.gz IFLLMIXNJBBLGC-UHFFFAOYSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1=O ZINC001038619823 751870291 /nfs/dbraw/zinc/87/02/91/751870291.db2.gz KCPLXRGKIIPHBI-CQJMVSDSSA-N 1 2 317.433 1.010 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1=O ZINC001038619823 751870300 /nfs/dbraw/zinc/87/03/00/751870300.db2.gz KCPLXRGKIIPHBI-CQJMVSDSSA-N 1 2 317.433 1.010 20 30 DDEDLO C=C[C@@H]1C[C@]1(NC(=O)CCc1ccc(N)[nH+]c1)C(=O)OCC ZINC001183935107 743930470 /nfs/dbraw/zinc/93/04/70/743930470.db2.gz GVNYGBMLEPJUOK-MLGOLLRUSA-N 1 2 303.362 1.220 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CCCN2C(=O)C(C)(C)C)C1 ZINC001030358329 744076011 /nfs/dbraw/zinc/07/60/11/744076011.db2.gz WNWOHLKPYNKBNG-CQSZACIVSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cc(C3CCCCC3)n[nH]2)C1 ZINC001030456993 744173045 /nfs/dbraw/zinc/17/30/45/744173045.db2.gz SCTZZDWMBMAYRU-UHFFFAOYSA-N 1 2 300.406 1.895 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2csc3ccccc23)C1 ZINC001077470414 744189445 /nfs/dbraw/zinc/18/94/45/744189445.db2.gz QOFCOYXAFFDOPZ-ZIAGYGMSSA-N 1 2 300.383 1.309 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2csc3ccccc23)C1 ZINC001077470414 744189447 /nfs/dbraw/zinc/18/94/47/744189447.db2.gz QOFCOYXAFFDOPZ-ZIAGYGMSSA-N 1 2 300.383 1.309 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(CC)nn2C)[C@H]1C ZINC001088939646 744387943 /nfs/dbraw/zinc/38/79/43/744387943.db2.gz BGSKZQKKIHHMRN-YPMHNXCESA-N 1 2 310.829 1.928 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(CC)nn2C)[C@H]1C ZINC001088939646 744387947 /nfs/dbraw/zinc/38/79/47/744387947.db2.gz BGSKZQKKIHHMRN-YPMHNXCESA-N 1 2 310.829 1.928 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cccs3)[C@@H]2C1 ZINC001186696870 744436784 /nfs/dbraw/zinc/43/67/84/744436784.db2.gz DXRQFJYSNQTVLF-QWHCGFSZSA-N 1 2 319.430 1.197 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H]2CCN(C(=O)c3cccs3)[C@@H]2C1 ZINC001186696870 744436787 /nfs/dbraw/zinc/43/67/87/744436787.db2.gz DXRQFJYSNQTVLF-QWHCGFSZSA-N 1 2 319.430 1.197 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@H]2COc3ccc(F)cc3C2)C1 ZINC001030678963 744438263 /nfs/dbraw/zinc/43/82/63/744438263.db2.gz YDTOSJVJCYCPLW-CYBMUJFWSA-N 1 2 302.349 1.201 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(Cn3cncn3)cc2)CC1 ZINC001187065958 744494527 /nfs/dbraw/zinc/49/45/27/744494527.db2.gz OVZHXZQKUWYJKU-UHFFFAOYSA-N 1 2 311.389 1.270 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001187569538 744582902 /nfs/dbraw/zinc/58/29/02/744582902.db2.gz QKHBNOHWFUWTKI-GOEBONIOSA-N 1 2 301.390 1.901 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001187569538 744582904 /nfs/dbraw/zinc/58/29/04/744582904.db2.gz QKHBNOHWFUWTKI-GOEBONIOSA-N 1 2 301.390 1.901 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cc(Cl)ccc3[nH]2)C1 ZINC001077489776 744594612 /nfs/dbraw/zinc/59/46/12/744594612.db2.gz FJNYCNGTAWUZEY-HUUCEWRRSA-N 1 2 317.776 1.229 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cc(Cl)ccc3[nH]2)C1 ZINC001077489776 744594614 /nfs/dbraw/zinc/59/46/14/744594614.db2.gz FJNYCNGTAWUZEY-HUUCEWRRSA-N 1 2 317.776 1.229 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188973836 744802671 /nfs/dbraw/zinc/80/26/71/744802671.db2.gz JKZBHLVMSGWUHT-PWSUYJOCSA-N 1 2 323.422 1.229 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188973836 744802675 /nfs/dbraw/zinc/80/26/75/744802675.db2.gz JKZBHLVMSGWUHT-PWSUYJOCSA-N 1 2 323.422 1.229 20 30 DDEDLO CCc1noc([C@@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190005299 745077142 /nfs/dbraw/zinc/07/71/42/745077142.db2.gz YWHGUEVSIWAGLM-ZIAGYGMSSA-N 1 2 318.421 1.885 20 30 DDEDLO CCc1noc([C@@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)n1 ZINC001190005299 745077149 /nfs/dbraw/zinc/07/71/49/745077149.db2.gz YWHGUEVSIWAGLM-ZIAGYGMSSA-N 1 2 318.421 1.885 20 30 DDEDLO C#Cc1cnc(NC(=O)c2ccccc2-n2cc[nH+]c2)c(C#C)n1 ZINC001190075067 745104026 /nfs/dbraw/zinc/10/40/26/745104026.db2.gz NMMIYIRZLKRPOL-UHFFFAOYSA-N 1 2 313.320 1.877 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001190425045 745216611 /nfs/dbraw/zinc/21/66/11/745216611.db2.gz JVOIWXVXRDCWNR-DZGCQCFKSA-N 1 2 320.393 1.003 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001190425045 745216614 /nfs/dbraw/zinc/21/66/14/745216614.db2.gz JVOIWXVXRDCWNR-DZGCQCFKSA-N 1 2 320.393 1.003 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@@H]([NH+]3CCCC3)C(F)(F)C2)nc1 ZINC001190590721 745266107 /nfs/dbraw/zinc/26/61/07/745266107.db2.gz QUISTTDALGGMBU-CQSZACIVSA-N 1 2 320.343 1.899 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(C(F)(F)F)cn1 ZINC001038571856 745266898 /nfs/dbraw/zinc/26/68/98/745266898.db2.gz PAWWOCHSCNFGBW-GFCCVEGCSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C(F)(F)F)cn1 ZINC001038571856 745266909 /nfs/dbraw/zinc/26/69/09/745266909.db2.gz PAWWOCHSCNFGBW-GFCCVEGCSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc2cc(C)nn2c1C ZINC001038575447 745714773 /nfs/dbraw/zinc/71/47/73/745714773.db2.gz LQSOWSNQDSSZQT-CQSZACIVSA-N 1 2 311.389 1.174 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc2cc(C)nn2c1C ZINC001038575447 745714779 /nfs/dbraw/zinc/71/47/79/745714779.db2.gz LQSOWSNQDSSZQT-CQSZACIVSA-N 1 2 311.389 1.174 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]([N@@H+](C)Cc3cnnn3C)C2)CCC1 ZINC001192218195 745730009 /nfs/dbraw/zinc/73/00/09/745730009.db2.gz NSIDWXIFKGXIDF-CQSZACIVSA-N 1 2 317.437 1.594 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]([N@H+](C)Cc3cnnn3C)C2)CCC1 ZINC001192218195 745730014 /nfs/dbraw/zinc/73/00/14/745730014.db2.gz NSIDWXIFKGXIDF-CQSZACIVSA-N 1 2 317.437 1.594 20 30 DDEDLO C#Cc1ccc(C(=O)N(CCO)CCNc2cc[nH+]c(C)n2)cc1 ZINC001111535201 745760104 /nfs/dbraw/zinc/76/01/04/745760104.db2.gz NFUTWFWSYWGPGR-UHFFFAOYSA-N 1 2 324.384 1.313 20 30 DDEDLO Cc1ccc(C#N)c(NCC[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001106599340 745824858 /nfs/dbraw/zinc/82/48/58/745824858.db2.gz ZVASPIGKWOTEMT-LBPRGKRZSA-N 1 2 312.377 1.534 20 30 DDEDLO CC(C)(C)[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)o1 ZINC001192691602 745863754 /nfs/dbraw/zinc/86/37/54/745863754.db2.gz UKHPNSTUYVKBRN-CQSZACIVSA-N 1 2 305.378 1.628 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007157152 752041052 /nfs/dbraw/zinc/04/10/52/752041052.db2.gz RTVUVVAVTWIKKW-OCCSQVGLSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CCC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007157152 752041056 /nfs/dbraw/zinc/04/10/56/752041056.db2.gz RTVUVVAVTWIKKW-OCCSQVGLSA-N 1 2 324.388 1.632 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@H]1O ZINC001192752166 745893516 /nfs/dbraw/zinc/89/35/16/745893516.db2.gz UJHKWVILKBUVFM-HZPDHXFCSA-N 1 2 320.820 1.661 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@H]1O ZINC001192752166 745893521 /nfs/dbraw/zinc/89/35/21/745893521.db2.gz UJHKWVILKBUVFM-HZPDHXFCSA-N 1 2 320.820 1.661 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001193337106 746066917 /nfs/dbraw/zinc/06/69/17/746066917.db2.gz JERPWEHZKYNBPS-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001193337106 746066921 /nfs/dbraw/zinc/06/69/21/746066921.db2.gz JERPWEHZKYNBPS-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cccnc2OC)C[C@H]1O ZINC001193391855 746088893 /nfs/dbraw/zinc/08/88/93/746088893.db2.gz UMXONWHXXRIDRC-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cccnc2OC)C[C@H]1O ZINC001193391855 746088899 /nfs/dbraw/zinc/08/88/99/746088899.db2.gz UMXONWHXXRIDRC-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO N#Cc1ccc(NC2CCC(NC(=O)Cc3[nH]cc[nH+]3)CC2)nc1 ZINC001060603679 746254426 /nfs/dbraw/zinc/25/44/26/746254426.db2.gz XBHUGIGETDMFTM-UHFFFAOYSA-N 1 2 324.388 1.758 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(OC)c(F)c(OC)c2)CC1 ZINC001194296795 746350116 /nfs/dbraw/zinc/35/01/16/746350116.db2.gz KXABAPPGOJBLHU-UHFFFAOYSA-N 1 2 308.353 1.787 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2snnc2C)C1 ZINC001194388922 746364554 /nfs/dbraw/zinc/36/45/54/746364554.db2.gz ZFMYZHZXYBPSRT-CYBMUJFWSA-N 1 2 324.450 1.472 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2snnc2C)C1 ZINC001194388922 746364557 /nfs/dbraw/zinc/36/45/57/746364557.db2.gz ZFMYZHZXYBPSRT-CYBMUJFWSA-N 1 2 324.450 1.472 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc(C(F)(F)F)nc2)C1 ZINC001043022329 752092807 /nfs/dbraw/zinc/09/28/07/752092807.db2.gz RDLPYIKITACSBF-UHFFFAOYSA-N 1 2 312.295 1.275 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001007322363 752096068 /nfs/dbraw/zinc/09/60/68/752096068.db2.gz UMHOIXJBBBMGJC-CQSZACIVSA-N 1 2 323.824 1.490 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001007322363 752096076 /nfs/dbraw/zinc/09/60/76/752096076.db2.gz UMHOIXJBBBMGJC-CQSZACIVSA-N 1 2 323.824 1.490 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cccn(C)c2=O)[C@@H]1C ZINC000994239718 746505775 /nfs/dbraw/zinc/50/57/75/746505775.db2.gz KCDGLVZPIKHEBF-GXTWGEPZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cccn(C)c2=O)[C@@H]1C ZINC000994239718 746505776 /nfs/dbraw/zinc/50/57/76/746505776.db2.gz KCDGLVZPIKHEBF-GXTWGEPZSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@@H+]([C@@H](C)c2ncc(C)o2)CC1 ZINC001195651880 746673593 /nfs/dbraw/zinc/67/35/93/746673593.db2.gz CSUQCZSJAPVCDP-WMLDXEAASA-N 1 2 321.421 1.905 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[N@H+]([C@@H](C)c2ncc(C)o2)CC1 ZINC001195651880 746673595 /nfs/dbraw/zinc/67/35/95/746673595.db2.gz CSUQCZSJAPVCDP-WMLDXEAASA-N 1 2 321.421 1.905 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195924448 746738099 /nfs/dbraw/zinc/73/80/99/746738099.db2.gz WALHJWLHAVAEKM-IIAWOOMASA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@H]1O ZINC001195924448 746738102 /nfs/dbraw/zinc/73/81/02/746738102.db2.gz WALHJWLHAVAEKM-IIAWOOMASA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1O ZINC001195932057 746738810 /nfs/dbraw/zinc/73/88/10/746738810.db2.gz GFZYFEXVOBBGQT-INMHGKMJSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1O ZINC001195932057 746738817 /nfs/dbraw/zinc/73/88/17/746738817.db2.gz GFZYFEXVOBBGQT-INMHGKMJSA-N 1 2 303.406 1.345 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1nccnc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089380753 746758759 /nfs/dbraw/zinc/75/87/59/746758759.db2.gz NNSRMTIYBYBLSD-GHMZBOCLSA-N 1 2 313.365 1.009 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)Cc2ccccc2Cl)C1 ZINC001196519977 746884246 /nfs/dbraw/zinc/88/42/46/746884246.db2.gz YJWUYCPBXVHLNM-DAXOMENPSA-N 1 2 320.820 1.313 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)Cc2ccccc2Cl)C1 ZINC001196519977 746884252 /nfs/dbraw/zinc/88/42/52/746884252.db2.gz YJWUYCPBXVHLNM-DAXOMENPSA-N 1 2 320.820 1.313 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ccc(NC(C)=O)c(F)c2)C1 ZINC001031490599 746892717 /nfs/dbraw/zinc/89/27/17/746892717.db2.gz XCKCIIQWYPNTFZ-UHFFFAOYSA-N 1 2 303.337 1.221 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C#CC(C)(C)C)c2C1 ZINC001128350861 746895465 /nfs/dbraw/zinc/89/54/65/746895465.db2.gz KQXPHZRWKIHLKT-UHFFFAOYSA-N 1 2 314.433 1.941 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C#CC(C)(C)C)c2C1 ZINC001128350861 746895469 /nfs/dbraw/zinc/89/54/69/746895469.db2.gz KQXPHZRWKIHLKT-UHFFFAOYSA-N 1 2 314.433 1.941 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)c3ccncc3)C2)c1 ZINC001031514285 746933368 /nfs/dbraw/zinc/93/33/68/746933368.db2.gz KDXXCQSTJIDQGQ-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)NCC1C[NH+](CC#Cc2ccc(F)cc2)C1 ZINC001031521845 746948428 /nfs/dbraw/zinc/94/84/28/746948428.db2.gz LPJVSKNFTOLPJM-CXAGYDPISA-N 1 2 300.377 1.881 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC001196732922 746952447 /nfs/dbraw/zinc/95/24/47/746952447.db2.gz XNJWDFIDHKWHOC-UHFFFAOYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC001196732922 746952453 /nfs/dbraw/zinc/95/24/53/746952453.db2.gz XNJWDFIDHKWHOC-UHFFFAOYSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001196874686 746986082 /nfs/dbraw/zinc/98/60/82/746986082.db2.gz UXFIZGMURLNJDZ-AWEZNQCLSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001196874686 746986086 /nfs/dbraw/zinc/98/60/86/746986086.db2.gz UXFIZGMURLNJDZ-AWEZNQCLSA-N 1 2 321.465 1.648 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](CCC)C(C)C)CC1 ZINC001197314439 747127629 /nfs/dbraw/zinc/12/76/29/747127629.db2.gz IGLNWVWEUUOVGD-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](CCC)C(C)C)CC1 ZINC001197314439 747127636 /nfs/dbraw/zinc/12/76/36/747127636.db2.gz IGLNWVWEUUOVGD-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC001197403753 747148813 /nfs/dbraw/zinc/14/88/13/747148813.db2.gz RNTSVYPJXCKLNE-JKSUJKDBSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC001197403753 747148815 /nfs/dbraw/zinc/14/88/15/747148815.db2.gz RNTSVYPJXCKLNE-JKSUJKDBSA-N 1 2 319.449 1.259 20 30 DDEDLO Cc1nc(N2CCCO[C@@H](CNC(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001089539522 747172151 /nfs/dbraw/zinc/17/21/51/747172151.db2.gz HZEGCSMJPBJART-HNNXBMFYSA-N 1 2 316.405 1.156 20 30 DDEDLO N#Cc1ccc(NCC2(CCNC(=O)Cc3c[nH]c[nH+]3)CC2)cn1 ZINC001090003983 747211288 /nfs/dbraw/zinc/21/12/88/747211288.db2.gz VNUNCBBSTNOJLF-UHFFFAOYSA-N 1 2 324.388 1.617 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)C2(F)CCCCC2)CC1 ZINC001197684179 747237081 /nfs/dbraw/zinc/23/70/81/747237081.db2.gz OWFPAEZFBPFSIG-UHFFFAOYSA-N 1 2 310.413 1.843 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)C2(F)CCCCC2)CC1 ZINC001197684179 747237086 /nfs/dbraw/zinc/23/70/86/747237086.db2.gz OWFPAEZFBPFSIG-UHFFFAOYSA-N 1 2 310.413 1.843 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CC(=O)NCC2CC2)CC1 ZINC001197760066 747248430 /nfs/dbraw/zinc/24/84/30/747248430.db2.gz IAHVXFNKGWFTQK-UHFFFAOYSA-N 1 2 313.829 1.190 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CC(=O)NCC2CC2)CC1 ZINC001197760066 747248434 /nfs/dbraw/zinc/24/84/34/747248434.db2.gz IAHVXFNKGWFTQK-UHFFFAOYSA-N 1 2 313.829 1.190 20 30 DDEDLO CCn1ncc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)n1 ZINC001031654316 747322649 /nfs/dbraw/zinc/32/26/49/747322649.db2.gz ODBDKTXTWBDQNJ-UHFFFAOYSA-N 1 2 323.400 1.011 20 30 DDEDLO C=CCC[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCCCS2(=O)=O)C1 ZINC001007492386 752171256 /nfs/dbraw/zinc/17/12/56/752171256.db2.gz MQJQEXRGFIHKSW-ZIAGYGMSSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCC[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCCCS2(=O)=O)C1 ZINC001007492386 752171259 /nfs/dbraw/zinc/17/12/59/752171259.db2.gz MQJQEXRGFIHKSW-ZIAGYGMSSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001078876513 747421784 /nfs/dbraw/zinc/42/17/84/747421784.db2.gz YCSWFWUHEWEDNL-OAHLLOKOSA-N 1 2 318.421 1.689 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2cncs2)CC1 ZINC001198330984 747453723 /nfs/dbraw/zinc/45/37/23/747453723.db2.gz SIIJNXAAKPNHFH-UHFFFAOYSA-N 1 2 309.435 1.770 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2cncs2)CC1 ZINC001198330984 747453725 /nfs/dbraw/zinc/45/37/25/747453725.db2.gz SIIJNXAAKPNHFH-UHFFFAOYSA-N 1 2 309.435 1.770 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+](CC(=O)NC)CC2)CCCC1 ZINC001198417472 747485753 /nfs/dbraw/zinc/48/57/53/747485753.db2.gz JLKBZFAIJBNFNF-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+](CC(=O)NC)CC2)CCCC1 ZINC001198417472 747485759 /nfs/dbraw/zinc/48/57/59/747485759.db2.gz JLKBZFAIJBNFNF-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccsc2)[C@@H](O)C1 ZINC001090048514 747590134 /nfs/dbraw/zinc/59/01/34/747590134.db2.gz ZMGZWXDXXCSWTC-RYUDHWBXSA-N 1 2 300.811 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccsc2)[C@@H](O)C1 ZINC001090048514 747590135 /nfs/dbraw/zinc/59/01/35/747590135.db2.gz ZMGZWXDXXCSWTC-RYUDHWBXSA-N 1 2 300.811 1.666 20 30 DDEDLO CN(C(=O)c1ccon1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001032934724 747711659 /nfs/dbraw/zinc/71/16/59/747711659.db2.gz NJICZEHCKCEYGA-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C(=O)c1ccon1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001032934724 747711665 /nfs/dbraw/zinc/71/16/65/747711665.db2.gz NJICZEHCKCEYGA-HNNXBMFYSA-N 1 2 310.357 1.893 20 30 DDEDLO CCCC[C@H](C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O)C(C)C ZINC001199153055 747720267 /nfs/dbraw/zinc/72/02/67/747720267.db2.gz FDSICVVVXAXIOU-GVDBMIGSSA-N 1 2 324.465 1.260 20 30 DDEDLO CCCC[C@H](C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O)C(C)C ZINC001199153055 747720271 /nfs/dbraw/zinc/72/02/71/747720271.db2.gz FDSICVVVXAXIOU-GVDBMIGSSA-N 1 2 324.465 1.260 20 30 DDEDLO C=C(Cl)CN1CC(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC001031775146 747804566 /nfs/dbraw/zinc/80/45/66/747804566.db2.gz ACZJDTBJKAQQAS-ZDUSSCGKSA-N 1 2 308.813 1.246 20 30 DDEDLO N#Cc1cnc(C(=O)N2CC[C@H]([NH+]3CCCC3)C(F)(F)C2)cn1 ZINC001199666585 747943639 /nfs/dbraw/zinc/94/36/39/747943639.db2.gz YNXMJALUGOWEJB-ZDUSSCGKSA-N 1 2 321.331 1.294 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncs1 ZINC001110540530 747952182 /nfs/dbraw/zinc/95/21/82/747952182.db2.gz WUTRIGIBTCNKCC-YUELXQCFSA-N 1 2 301.415 1.778 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncs1 ZINC001110540530 747952186 /nfs/dbraw/zinc/95/21/86/747952186.db2.gz WUTRIGIBTCNKCC-YUELXQCFSA-N 1 2 301.415 1.778 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CCC(NCC#N)CC1)n1cc[nH+]c1 ZINC001201174154 748427682 /nfs/dbraw/zinc/42/76/82/748427682.db2.gz FQYVAKFNYKVXBQ-OAHLLOKOSA-N 1 2 303.410 1.574 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCC[C@@H](C(N)=O)C2)C1 ZINC001032019659 748441498 /nfs/dbraw/zinc/44/14/98/748441498.db2.gz HDBVWANZQWFGJK-OLZOCXBDSA-N 1 2 313.829 1.079 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)[C@]2(F)CCOC2)CC1 ZINC001004518951 748508809 /nfs/dbraw/zinc/50/88/09/748508809.db2.gz AWSAXBDVFSPBPI-HOCLYGCPSA-N 1 2 309.385 1.342 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)[C@]2(F)CCOC2)CC1 ZINC001004518951 748508815 /nfs/dbraw/zinc/50/88/15/748508815.db2.gz AWSAXBDVFSPBPI-HOCLYGCPSA-N 1 2 309.385 1.342 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnn3ncccc23)C1 ZINC001033149278 748597796 /nfs/dbraw/zinc/59/77/96/748597796.db2.gz RTCQKUACZQUIPQ-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnn3ncccc23)C1 ZINC001033149278 748597803 /nfs/dbraw/zinc/59/78/03/748597803.db2.gz RTCQKUACZQUIPQ-LBPRGKRZSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ccc3c(c2)OCCO3)C1 ZINC001032182323 748776440 /nfs/dbraw/zinc/77/64/40/748776440.db2.gz JIMGIJLESANXQG-UHFFFAOYSA-N 1 2 322.792 1.872 20 30 DDEDLO Cc1nc(N2CC[C@](C)(NC(=O)[C@@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001110735660 748953638 /nfs/dbraw/zinc/95/36/38/748953638.db2.gz JIJKTXYVZXFYJN-QFYYESIMSA-N 1 2 301.394 1.647 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H]([NH2+]Cc2nc(C3CC3)no2)C(C)(C)C1 ZINC000995577802 748955775 /nfs/dbraw/zinc/95/57/75/748955775.db2.gz CYNVJIXSFPCBFZ-ZYHUDNBSSA-N 1 2 317.393 1.433 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114353783 749106834 /nfs/dbraw/zinc/10/68/34/749106834.db2.gz ZIZWDCBVZSKJIM-CXTNEJHOSA-N 1 2 303.410 1.279 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001114353783 749106835 /nfs/dbraw/zinc/10/68/35/749106835.db2.gz ZIZWDCBVZSKJIM-CXTNEJHOSA-N 1 2 303.410 1.279 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4coc(C)n4)C[C@H]32)CCC1 ZINC001114397988 749139410 /nfs/dbraw/zinc/13/94/10/749139410.db2.gz WVYPUIGLPRHWHE-ZSHCYNCHSA-N 1 2 313.401 1.723 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4coc(C)n4)C[C@H]32)CCC1 ZINC001114397988 749139413 /nfs/dbraw/zinc/13/94/13/749139413.db2.gz WVYPUIGLPRHWHE-ZSHCYNCHSA-N 1 2 313.401 1.723 20 30 DDEDLO CC1(C)CN(C(=O)Cc2cn3c([nH+]2)CCCC3)C[C@H]1NCC#N ZINC000995845213 749257462 /nfs/dbraw/zinc/25/74/62/749257462.db2.gz IKFHIXHXNNBWFH-CQSZACIVSA-N 1 2 315.421 1.112 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](CC)SC)nn2)C1 ZINC001107159780 749436052 /nfs/dbraw/zinc/43/60/52/749436052.db2.gz VNYYJHSEDKNCTM-ZDUSSCGKSA-N 1 2 309.439 1.079 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)c2cccnc2)C1 ZINC001108347614 761952488 /nfs/dbraw/zinc/95/24/88/761952488.db2.gz PCGCCCWGPRQUBA-PBHICJAKSA-N 1 2 303.406 1.578 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)c2cccnc2)C1 ZINC001108347614 761952495 /nfs/dbraw/zinc/95/24/95/761952495.db2.gz PCGCCCWGPRQUBA-PBHICJAKSA-N 1 2 303.406 1.578 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CN(C(=O)CCc2cnn[nH]2)CC1(C)C ZINC000996321207 749509775 /nfs/dbraw/zinc/50/97/75/749509775.db2.gz AEBTYYORLQUOJG-LBPRGKRZSA-N 1 2 311.817 1.316 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CCCCCC)nn2)C1 ZINC001107233111 749662806 /nfs/dbraw/zinc/66/28/06/749662806.db2.gz DXZMYJGTTDLXPJ-UHFFFAOYSA-N 1 2 317.437 1.745 20 30 DDEDLO C[NH+](C)[C@H](C(=O)N1C[C@H](NCC#N)C(C)(C)C1)c1cccnc1 ZINC000996694113 749918558 /nfs/dbraw/zinc/91/85/58/749918558.db2.gz MVUYWKBWTJNIBQ-GJZGRUSLSA-N 1 2 315.421 1.034 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cccc(OC)c2)C1 ZINC001108376150 761998442 /nfs/dbraw/zinc/99/84/42/761998442.db2.gz CUFOZPMWGYCEFH-SFHVURJKSA-N 1 2 318.417 1.631 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cccc(OC)c2)C1 ZINC001108376150 761998448 /nfs/dbraw/zinc/99/84/48/761998448.db2.gz CUFOZPMWGYCEFH-SFHVURJKSA-N 1 2 318.417 1.631 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccccc2F)C1 ZINC001108376257 761998048 /nfs/dbraw/zinc/99/80/48/761998048.db2.gz HDNIBMPKROMKGI-SFHVURJKSA-N 1 2 318.392 1.599 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccccc2F)C1 ZINC001108376257 761998049 /nfs/dbraw/zinc/99/80/49/761998049.db2.gz HDNIBMPKROMKGI-SFHVURJKSA-N 1 2 318.392 1.599 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001033936640 750156308 /nfs/dbraw/zinc/15/63/08/750156308.db2.gz XJIVWFJLJBAIAT-QWHCGFSZSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001033936640 750156310 /nfs/dbraw/zinc/15/63/10/750156310.db2.gz XJIVWFJLJBAIAT-QWHCGFSZSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001110933345 750240745 /nfs/dbraw/zinc/24/07/45/750240745.db2.gz KCSIOIFTQHJWPC-XZDPQHSOSA-N 1 2 319.449 1.589 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001110933345 750240748 /nfs/dbraw/zinc/24/07/48/750240748.db2.gz KCSIOIFTQHJWPC-XZDPQHSOSA-N 1 2 319.449 1.589 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001077747783 750498116 /nfs/dbraw/zinc/49/81/16/750498116.db2.gz WECZPVTXYGOAQD-ZIAGYGMSSA-N 1 2 304.390 1.294 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001077747783 750498117 /nfs/dbraw/zinc/49/81/17/750498117.db2.gz WECZPVTXYGOAQD-ZIAGYGMSSA-N 1 2 304.390 1.294 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nn1)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108137101 750672205 /nfs/dbraw/zinc/67/22/05/750672205.db2.gz MHZMKRHOBNKADA-NEPJUHHUSA-N 1 2 313.365 1.113 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](OCC)C(C)C)C1 ZINC001107937898 750685168 /nfs/dbraw/zinc/68/51/68/750685168.db2.gz DEEWLIIIYPHGJL-WBVHZDCISA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](OCC)C(C)C)C1 ZINC001107937898 750685169 /nfs/dbraw/zinc/68/51/69/750685169.db2.gz DEEWLIIIYPHGJL-WBVHZDCISA-N 1 2 310.438 1.278 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](OCC)C2CC2)C1 ZINC001107947573 750797143 /nfs/dbraw/zinc/79/71/43/750797143.db2.gz MSFDIMNDKXIYPE-DOTOQJQBSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](OCC)C2CC2)C1 ZINC001107947573 750797145 /nfs/dbraw/zinc/79/71/45/750797145.db2.gz MSFDIMNDKXIYPE-DOTOQJQBSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1[C@H](C)CC ZINC001032428114 750805954 /nfs/dbraw/zinc/80/59/54/750805954.db2.gz JLDILLPXQNJZBO-ILXRZTDVSA-N 1 2 300.406 1.776 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1[C@H](C)CC ZINC001032428114 750805960 /nfs/dbraw/zinc/80/59/60/750805960.db2.gz JLDILLPXQNJZBO-ILXRZTDVSA-N 1 2 300.406 1.776 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cn(C3CCC3)nn2)C1 ZINC001107948937 750818254 /nfs/dbraw/zinc/81/82/54/750818254.db2.gz CBPRZXQLRGNKPK-MRXNPFEDSA-N 1 2 319.409 1.010 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cn(C3CCC3)nn2)C1 ZINC001107948937 750818261 /nfs/dbraw/zinc/81/82/61/750818261.db2.gz CBPRZXQLRGNKPK-MRXNPFEDSA-N 1 2 319.409 1.010 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2ccc(C)nn2)CC1 ZINC001000666693 762072354 /nfs/dbraw/zinc/07/23/54/762072354.db2.gz NUNXXAQFVUDRRN-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2ccc(C)nn2)CC1 ZINC001000666693 762072360 /nfs/dbraw/zinc/07/23/60/762072360.db2.gz NUNXXAQFVUDRRN-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc[nH]c2CCC)C1 ZINC001108153395 750840204 /nfs/dbraw/zinc/84/02/04/750840204.db2.gz PACAPRHPBKJBEK-SFHVURJKSA-N 1 2 317.433 1.811 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc[nH]c2CCC)C1 ZINC001108153395 750840213 /nfs/dbraw/zinc/84/02/13/750840213.db2.gz PACAPRHPBKJBEK-SFHVURJKSA-N 1 2 317.433 1.811 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2Cc3ccc(F)cc32)C1 ZINC001108161162 750900353 /nfs/dbraw/zinc/90/03/53/750900353.db2.gz AERNVRSCEBUMPW-WMZOPIPTSA-N 1 2 318.392 1.859 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2Cc3ccc(F)cc32)C1 ZINC001108161162 750900357 /nfs/dbraw/zinc/90/03/57/750900357.db2.gz AERNVRSCEBUMPW-WMZOPIPTSA-N 1 2 318.392 1.859 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001114690378 750993762 /nfs/dbraw/zinc/99/37/62/750993762.db2.gz YQJOAVYTNODIAK-QLPKVWCKSA-N 1 2 301.390 1.805 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001114690378 750993763 /nfs/dbraw/zinc/99/37/63/750993763.db2.gz YQJOAVYTNODIAK-QLPKVWCKSA-N 1 2 301.390 1.805 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001114710952 751012212 /nfs/dbraw/zinc/01/22/12/751012212.db2.gz NDAPRLFSZMSJIS-VIKVFOODSA-N 1 2 303.431 1.883 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001114710952 751012216 /nfs/dbraw/zinc/01/22/16/751012216.db2.gz NDAPRLFSZMSJIS-VIKVFOODSA-N 1 2 303.431 1.883 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001060863191 751043243 /nfs/dbraw/zinc/04/32/43/751043243.db2.gz GKMFKQBWENBYOV-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC001034935192 751048227 /nfs/dbraw/zinc/04/82/27/751048227.db2.gz HJZMSEQXKWXEIJ-CQSZACIVSA-N 1 2 316.405 1.516 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(C(F)(F)F)n1 ZINC001032500394 751099208 /nfs/dbraw/zinc/09/92/08/751099208.db2.gz UZFIDSWBEVCDLQ-UWVGGRQHSA-N 1 2 313.279 1.615 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(C(F)(F)F)n1 ZINC001032500394 751099215 /nfs/dbraw/zinc/09/92/15/751099215.db2.gz UZFIDSWBEVCDLQ-UWVGGRQHSA-N 1 2 313.279 1.615 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2ccc(C)o2)C1 ZINC001108418417 762111626 /nfs/dbraw/zinc/11/16/26/762111626.db2.gz WGIYNQQVDCCKDM-QGZVFWFLSA-N 1 2 306.406 1.914 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2ccc(C)o2)C1 ZINC001108418417 762111631 /nfs/dbraw/zinc/11/16/31/762111631.db2.gz WGIYNQQVDCCKDM-QGZVFWFLSA-N 1 2 306.406 1.914 20 30 DDEDLO Cc1ccc(C#N)c(N(C)[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001056935735 762132848 /nfs/dbraw/zinc/13/28/48/762132848.db2.gz PKWZCYAISIKGJY-HNNXBMFYSA-N 1 2 324.388 1.196 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(F)cc2cccnc21 ZINC001032662369 752632150 /nfs/dbraw/zinc/63/21/50/752632150.db2.gz KZWRSUWRHXHBFU-GJZGRUSLSA-N 1 2 309.344 1.906 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(F)cc2cccnc21 ZINC001032662369 752632155 /nfs/dbraw/zinc/63/21/55/752632155.db2.gz KZWRSUWRHXHBFU-GJZGRUSLSA-N 1 2 309.344 1.906 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CC2CCCC2)c1 ZINC001032703023 752759648 /nfs/dbraw/zinc/75/96/48/752759648.db2.gz HYOKWUNUQLTLPL-IRXDYDNUSA-N 1 2 312.417 1.605 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CC2CCCC2)c1 ZINC001032703023 752759651 /nfs/dbraw/zinc/75/96/51/752759651.db2.gz HYOKWUNUQLTLPL-IRXDYDNUSA-N 1 2 312.417 1.605 20 30 DDEDLO CN(C[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1)c1ccc(C#N)cn1 ZINC001062138434 752784181 /nfs/dbraw/zinc/78/41/81/752784181.db2.gz GDZYRYNQNSJSBA-AWEZNQCLSA-N 1 2 324.388 1.204 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001128081300 752877126 /nfs/dbraw/zinc/87/71/26/752877126.db2.gz KVLFIHVVVUHPCD-LBPRGKRZSA-N 1 2 322.409 1.751 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001062364045 752906487 /nfs/dbraw/zinc/90/64/87/752906487.db2.gz DGGCSLJXPKNACD-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO N#Cc1cnccc1N1C[C@@H]2C[C@H]1CN2C(=O)CCc1[nH]cc[nH+]1 ZINC001062482864 753051041 /nfs/dbraw/zinc/05/10/41/753051041.db2.gz RAXZTUMWHFTVMR-KBPBESRZSA-N 1 2 322.372 1.099 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccncc2C)[C@H](O)C1 ZINC001090266849 753319232 /nfs/dbraw/zinc/31/92/32/753319232.db2.gz XURKBUZEVHZWEL-HUUCEWRRSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccncc2C)[C@H](O)C1 ZINC001090266849 753319233 /nfs/dbraw/zinc/31/92/33/753319233.db2.gz XURKBUZEVHZWEL-HUUCEWRRSA-N 1 2 323.824 1.236 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@](C)(OC)c1ccccc1 ZINC001032738178 753409849 /nfs/dbraw/zinc/40/98/49/753409849.db2.gz BTUGQGNZBRCFKW-LNLFQRSKSA-N 1 2 312.413 1.857 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@](C)(OC)c1ccccc1 ZINC001032738178 753409856 /nfs/dbraw/zinc/40/98/56/753409856.db2.gz BTUGQGNZBRCFKW-LNLFQRSKSA-N 1 2 312.413 1.857 20 30 DDEDLO C#CCCCC(=O)NCC1(NC(=O)Cc2[nH]c[nH+]c2C)CCC1 ZINC001062861687 753777847 /nfs/dbraw/zinc/77/78/47/753777847.db2.gz UZWIKSVRFKNHBI-UHFFFAOYSA-N 1 2 316.405 1.219 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2nc(C(F)(F)F)ccc2C#N)C[NH2+]1 ZINC001169124097 762242023 /nfs/dbraw/zinc/24/20/23/762242023.db2.gz RISVAFSPCOZIQO-BDAKNGLRSA-N 1 2 314.267 1.287 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001060955844 753785443 /nfs/dbraw/zinc/78/54/43/753785443.db2.gz XSJSDMQVMSWKFA-CQSZACIVSA-N 1 2 324.388 1.500 20 30 DDEDLO C=CCCC1(C(=O)NCC[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001078219008 753851040 /nfs/dbraw/zinc/85/10/40/753851040.db2.gz NXSMTCDXKLOXPT-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC1(CNC(=O)C#CC(C)C)CCC1 ZINC001062956915 753882891 /nfs/dbraw/zinc/88/28/91/753882891.db2.gz WQWFAUSTWCZLNN-UHFFFAOYSA-N 1 2 316.405 1.075 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(NC(=O)Cc2c[nH+]cn2C)CCC1 ZINC001063917505 754406430 /nfs/dbraw/zinc/40/64/30/754406430.db2.gz MWEXRWOCXHRGCA-UHFFFAOYSA-N 1 2 318.421 1.330 20 30 DDEDLO Cc1nc(N2CC[C@@]3(CCN(C(=O)C#CC4CC4)C3)C2)cc[nH+]1 ZINC001064621708 754734014 /nfs/dbraw/zinc/73/40/14/754734014.db2.gz BXQWJDJCZXUNCM-GOSISDBHSA-N 1 2 310.401 1.627 20 30 DDEDLO C=C(C)CCC(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001079879763 755618549 /nfs/dbraw/zinc/61/85/49/755618549.db2.gz HINIQPCHJOLSPQ-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(OCC)n[nH]2)C1 ZINC001079935160 755638242 /nfs/dbraw/zinc/63/82/42/755638242.db2.gz GVKKNXGMLPQJQU-BXKDBHETSA-N 1 2 312.801 1.611 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(OCC)n[nH]2)C1 ZINC001079935160 755638244 /nfs/dbraw/zinc/63/82/44/755638244.db2.gz GVKKNXGMLPQJQU-BXKDBHETSA-N 1 2 312.801 1.611 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)c3ccc(C#N)[nH]3)C[C@@H]2C)cc[nH+]1 ZINC001067080155 755763064 /nfs/dbraw/zinc/76/30/64/755763064.db2.gz QCDNMPDCXWKVIZ-IINYFYTJSA-N 1 2 310.361 1.557 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCc3cnn(C)c3C2)C1 ZINC001015613933 756413574 /nfs/dbraw/zinc/41/35/74/756413574.db2.gz MSRBRXSAFVFJLO-JSGCOSHPSA-N 1 2 322.840 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCc3cnn(C)c3C2)C1 ZINC001015613933 756413578 /nfs/dbraw/zinc/41/35/78/756413578.db2.gz MSRBRXSAFVFJLO-JSGCOSHPSA-N 1 2 322.840 1.468 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2cc(OC)ns2)[C@H](OC)C1 ZINC001081687522 756429705 /nfs/dbraw/zinc/42/97/05/756429705.db2.gz XDGWMVDLFBXDAC-GHMZBOCLSA-N 1 2 311.407 1.157 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](NC(=O)c2cc(OC)ns2)[C@H](OC)C1 ZINC001081687522 756429706 /nfs/dbraw/zinc/42/97/06/756429706.db2.gz XDGWMVDLFBXDAC-GHMZBOCLSA-N 1 2 311.407 1.157 20 30 DDEDLO Cc1nc(C)c(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001015720450 756493414 /nfs/dbraw/zinc/49/34/14/756493414.db2.gz BCOKXFZROGEKFW-MRXNPFEDSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1nc(C)c(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)[nH]1 ZINC001015720450 756493415 /nfs/dbraw/zinc/49/34/15/756493415.db2.gz BCOKXFZROGEKFW-MRXNPFEDSA-N 1 2 323.400 1.903 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001015723315 756496079 /nfs/dbraw/zinc/49/60/79/756496079.db2.gz PYVJSJBXPALGFR-JHJVBQTASA-N 1 2 308.813 1.467 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2ccns2)C1 ZINC001015725683 756497577 /nfs/dbraw/zinc/49/75/77/756497577.db2.gz ACVNRKDZBHERTK-YUTCNCBUSA-N 1 2 307.419 1.425 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C1 ZINC001015725683 756497583 /nfs/dbraw/zinc/49/75/83/756497583.db2.gz ACVNRKDZBHERTK-YUTCNCBUSA-N 1 2 307.419 1.425 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001015726752 756499290 /nfs/dbraw/zinc/49/92/90/756499290.db2.gz RUXQFWPXXOPFMA-MJBXVCDLSA-N 1 2 321.446 1.733 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001015726752 756499293 /nfs/dbraw/zinc/49/92/93/756499293.db2.gz RUXQFWPXXOPFMA-MJBXVCDLSA-N 1 2 321.446 1.733 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3ccccc3)CCC2)[C@H](OC)C1 ZINC001081918140 756535271 /nfs/dbraw/zinc/53/52/71/756535271.db2.gz KHTNONHJWWYXDF-IAGOWNOFSA-N 1 2 312.413 1.557 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3ccccc3)CCC2)[C@H](OC)C1 ZINC001081918140 756535273 /nfs/dbraw/zinc/53/52/73/756535273.db2.gz KHTNONHJWWYXDF-IAGOWNOFSA-N 1 2 312.413 1.557 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CC[C@@H](NC(=O)c3cnon3)C2)ccc1F ZINC001015875754 756609379 /nfs/dbraw/zinc/60/93/79/756609379.db2.gz BVASGBKPDZMAEM-GFCCVEGCSA-N 1 2 315.308 1.085 20 30 DDEDLO N#Cc1cc(C[N@H+]2CC[C@@H](NC(=O)c3cnon3)C2)ccc1F ZINC001015875754 756609382 /nfs/dbraw/zinc/60/93/82/756609382.db2.gz BVASGBKPDZMAEM-GFCCVEGCSA-N 1 2 315.308 1.085 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(C)c2)[C@H](OC)C1 ZINC001082094001 756627569 /nfs/dbraw/zinc/62/75/69/756627569.db2.gz VEWVVGNNEGHTRP-HUUCEWRRSA-N 1 2 306.793 1.711 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(C)c2)[C@H](OC)C1 ZINC001082094001 756627574 /nfs/dbraw/zinc/62/75/74/756627574.db2.gz VEWVVGNNEGHTRP-HUUCEWRRSA-N 1 2 306.793 1.711 20 30 DDEDLO C=C(C)CCC(=O)N1CCCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001082110138 756644857 /nfs/dbraw/zinc/64/48/57/756644857.db2.gz GAVCTIZLHRWFSL-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)cc(Cl)c2)[C@H](OC)C1 ZINC001082167119 756657554 /nfs/dbraw/zinc/65/75/54/756657554.db2.gz AFWKIKWXSREOHW-ZIAGYGMSSA-N 1 2 310.756 1.541 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)cc(Cl)c2)[C@H](OC)C1 ZINC001082167119 756657556 /nfs/dbraw/zinc/65/75/56/756657556.db2.gz AFWKIKWXSREOHW-ZIAGYGMSSA-N 1 2 310.756 1.541 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cc(F)ccc3o2)[C@H](OC)C1 ZINC001082193271 756670882 /nfs/dbraw/zinc/67/08/82/756670882.db2.gz XAXHNGQDIKODPG-CZUORRHYSA-N 1 2 316.332 1.634 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3cc(F)ccc3o2)[C@H](OC)C1 ZINC001082193271 756670884 /nfs/dbraw/zinc/67/08/84/756670884.db2.gz XAXHNGQDIKODPG-CZUORRHYSA-N 1 2 316.332 1.634 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@]2(C)CCN(C(C)=O)C2)C1 ZINC001015962974 756681029 /nfs/dbraw/zinc/68/10/29/756681029.db2.gz KHILBOPYPSOBFG-DZGCQCFKSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@]2(C)CCN(C(C)=O)C2)C1 ZINC001015962974 756681032 /nfs/dbraw/zinc/68/10/32/756681032.db2.gz KHILBOPYPSOBFG-DZGCQCFKSA-N 1 2 313.829 1.188 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)cccc2F)[C@H](OC)C1 ZINC001082397660 756768747 /nfs/dbraw/zinc/76/87/47/756768747.db2.gz MPDJAMXJFYZTHP-HUUCEWRRSA-N 1 2 304.365 1.586 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(C)cccc2F)[C@H](OC)C1 ZINC001082397660 756768751 /nfs/dbraw/zinc/76/87/51/756768751.db2.gz MPDJAMXJFYZTHP-HUUCEWRRSA-N 1 2 304.365 1.586 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001016321621 756926765 /nfs/dbraw/zinc/92/67/65/756926765.db2.gz SWZMPJDLOIKLBQ-HNNXBMFYSA-N 1 2 318.357 1.762 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001016321621 756926767 /nfs/dbraw/zinc/92/67/67/756926767.db2.gz SWZMPJDLOIKLBQ-HNNXBMFYSA-N 1 2 318.357 1.762 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)ncn1C)C2 ZINC001097267226 757036883 /nfs/dbraw/zinc/03/68/83/757036883.db2.gz ABYXHYSEDOSVAE-UPJWGTAASA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)ncn1C)C2 ZINC001097267226 757036890 /nfs/dbraw/zinc/03/68/90/757036890.db2.gz ABYXHYSEDOSVAE-UPJWGTAASA-N 1 2 308.813 1.816 20 30 DDEDLO C/C=C(/C)C(=O)N1CCO[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001083043489 757094130 /nfs/dbraw/zinc/09/41/30/757094130.db2.gz FZYCAZMSKSOHKK-OPXLZXMFSA-N 1 2 324.424 1.916 20 30 DDEDLO C/C=C(/C)C(=O)N1CCO[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]21 ZINC001083043489 757094142 /nfs/dbraw/zinc/09/41/42/757094142.db2.gz FZYCAZMSKSOHKK-OPXLZXMFSA-N 1 2 324.424 1.916 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001097349232 757103127 /nfs/dbraw/zinc/10/31/27/757103127.db2.gz QMOFJYXVHHVFKM-AWEZNQCLSA-N 1 2 324.388 1.252 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3nc(C)sc3C)[C@H]2C1 ZINC001083118263 757120358 /nfs/dbraw/zinc/12/03/58/757120358.db2.gz ZXRDJTRGXDPOEF-UONOGXRCSA-N 1 2 319.430 1.308 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3nc(C)sc3C)[C@H]2C1 ZINC001083118263 757120363 /nfs/dbraw/zinc/12/03/63/757120363.db2.gz ZXRDJTRGXDPOEF-UONOGXRCSA-N 1 2 319.430 1.308 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)C)on3)[C@H]2C1 ZINC001083155600 757159166 /nfs/dbraw/zinc/15/91/66/757159166.db2.gz CQJDYLMVZZCJRI-GOEBONIOSA-N 1 2 317.389 1.347 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C(C)C)on3)[C@H]2C1 ZINC001083155600 757159167 /nfs/dbraw/zinc/15/91/67/757159167.db2.gz CQJDYLMVZZCJRI-GOEBONIOSA-N 1 2 317.389 1.347 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CC34CCN(CC#N)CC4)ccn12 ZINC001016624484 757213900 /nfs/dbraw/zinc/21/39/00/757213900.db2.gz JBBROSCAMHFXEX-OAHLLOKOSA-N 1 2 323.400 1.751 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(CC)c(C)s2)[C@@H](O)C1 ZINC001084037617 757238994 /nfs/dbraw/zinc/23/89/94/757238994.db2.gz AIRQUIBNIBJKAA-KGLIPLIRSA-N 1 2 306.431 1.417 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(CC)c(C)s2)[C@@H](O)C1 ZINC001084037617 757238999 /nfs/dbraw/zinc/23/89/99/757238999.db2.gz AIRQUIBNIBJKAA-KGLIPLIRSA-N 1 2 306.431 1.417 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ncoc3C(F)(F)F)[C@@H]2C1 ZINC001084467102 757585839 /nfs/dbraw/zinc/58/58/39/757585839.db2.gz QHCJZPULEOXJQG-NXEZZACHSA-N 1 2 313.279 1.473 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ncoc3C(F)(F)F)[C@@H]2C1 ZINC001084467102 757585844 /nfs/dbraw/zinc/58/58/44/757585844.db2.gz QHCJZPULEOXJQG-NXEZZACHSA-N 1 2 313.279 1.473 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1cc(C#N)cc(C(F)(F)F)n1 ZINC001169652419 762574359 /nfs/dbraw/zinc/57/43/59/762574359.db2.gz ZMQNYNBAXABDTH-SNVBAGLBSA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1cc(C#N)cc(C(F)(F)F)n1 ZINC001169652419 762574365 /nfs/dbraw/zinc/57/43/65/762574365.db2.gz ZMQNYNBAXABDTH-SNVBAGLBSA-N 1 2 300.284 1.715 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001084824918 757951368 /nfs/dbraw/zinc/95/13/68/757951368.db2.gz ZWABDISZSMCVSN-CZUORRHYSA-N 1 2 309.373 1.128 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001084824918 757951373 /nfs/dbraw/zinc/95/13/73/757951373.db2.gz ZWABDISZSMCVSN-CZUORRHYSA-N 1 2 309.373 1.128 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(CC(C)C)n1 ZINC001017550366 758016918 /nfs/dbraw/zinc/01/69/18/758016918.db2.gz YMZOODGXXKWVKC-OKILXGFUSA-N 1 2 300.406 1.530 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(CC(C)C)n1 ZINC001017550366 758016925 /nfs/dbraw/zinc/01/69/25/758016925.db2.gz YMZOODGXXKWVKC-OKILXGFUSA-N 1 2 300.406 1.530 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(F)cc1F ZINC001017598308 758065167 /nfs/dbraw/zinc/06/51/67/758065167.db2.gz DRWRTGRATTZOTQ-OKILXGFUSA-N 1 2 320.339 1.652 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)COc1ccc(F)cc1F ZINC001017598308 758065179 /nfs/dbraw/zinc/06/51/79/758065179.db2.gz DRWRTGRATTZOTQ-OKILXGFUSA-N 1 2 320.339 1.652 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2snnc2C)C[C@@H]1O ZINC001099858275 758116610 /nfs/dbraw/zinc/11/66/10/758116610.db2.gz JSJKJSOORBSUBR-OLZOCXBDSA-N 1 2 324.450 1.254 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2snnc2C)C[C@@H]1O ZINC001099858275 758116618 /nfs/dbraw/zinc/11/66/18/758116618.db2.gz JSJKJSOORBSUBR-OLZOCXBDSA-N 1 2 324.450 1.254 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001017719212 758179627 /nfs/dbraw/zinc/17/96/27/758179627.db2.gz QLQNJMUJOMMNKD-IYBDPMFKSA-N 1 2 320.396 1.999 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001017719212 758179635 /nfs/dbraw/zinc/17/96/35/758179635.db2.gz QLQNJMUJOMMNKD-IYBDPMFKSA-N 1 2 320.396 1.999 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)F)n(C)n1 ZINC001017733357 758193205 /nfs/dbraw/zinc/19/32/05/758193205.db2.gz IFPIXWWLIFTQNB-PHIMTYICSA-N 1 2 310.348 1.833 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)F)n(C)n1 ZINC001017733357 758193210 /nfs/dbraw/zinc/19/32/10/758193210.db2.gz IFPIXWWLIFTQNB-PHIMTYICSA-N 1 2 310.348 1.833 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nc(C)cs1 ZINC001017737179 758196428 /nfs/dbraw/zinc/19/64/28/758196428.db2.gz HBEYVJYEKJCSKE-OKILXGFUSA-N 1 2 303.431 1.693 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nc(C)cs1 ZINC001017737179 758196432 /nfs/dbraw/zinc/19/64/32/758196432.db2.gz HBEYVJYEKJCSKE-OKILXGFUSA-N 1 2 303.431 1.693 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ccncc2n1 ZINC001017741138 758199013 /nfs/dbraw/zinc/19/90/13/758199013.db2.gz PTNYDMLVARLYED-IYBDPMFKSA-N 1 2 320.396 1.942 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ccncc2n1 ZINC001017741138 758199017 /nfs/dbraw/zinc/19/90/17/758199017.db2.gz PTNYDMLVARLYED-IYBDPMFKSA-N 1 2 320.396 1.942 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2C[C@H]2C)C1=O ZINC001017802928 758257359 /nfs/dbraw/zinc/25/73/59/758257359.db2.gz WWXNMJPHWWFBOZ-LJIZCISZSA-N 1 2 317.433 1.105 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2C[C@H]2C)C1=O ZINC001017802928 758257369 /nfs/dbraw/zinc/25/73/69/758257369.db2.gz WWXNMJPHWWFBOZ-LJIZCISZSA-N 1 2 317.433 1.105 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1onc(C)c1C)CCO2 ZINC001053301824 758355072 /nfs/dbraw/zinc/35/50/72/758355072.db2.gz XMXXOVICTZLHIM-UHFFFAOYSA-N 1 2 319.405 1.784 20 30 DDEDLO C=CCC[C@@H](C)[N@@H+]1CCO[C@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108195367 758421245 /nfs/dbraw/zinc/42/12/45/758421245.db2.gz AFGCOTZECOXOGG-MLGOLLRUSA-N 1 2 324.400 1.724 20 30 DDEDLO C=CCC[C@@H](C)[N@H+]1CCO[C@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108195367 758421253 /nfs/dbraw/zinc/42/12/53/758421253.db2.gz AFGCOTZECOXOGG-MLGOLLRUSA-N 1 2 324.400 1.724 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(F)c(F)cc1F ZINC001017995778 758453814 /nfs/dbraw/zinc/45/38/14/758453814.db2.gz OATGDMAJFZASRO-BETUJISGSA-N 1 2 322.330 1.955 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(F)c(F)cc1F ZINC001017995778 758453819 /nfs/dbraw/zinc/45/38/19/758453819.db2.gz OATGDMAJFZASRO-BETUJISGSA-N 1 2 322.330 1.955 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)ncc1Cl ZINC001018031421 758490240 /nfs/dbraw/zinc/49/02/40/758490240.db2.gz FOPMNMHSPFCEOZ-BETUJISGSA-N 1 2 318.808 1.751 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)ncc1Cl ZINC001018031421 758490249 /nfs/dbraw/zinc/49/02/49/758490249.db2.gz FOPMNMHSPFCEOZ-BETUJISGSA-N 1 2 318.808 1.751 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001053503125 758509166 /nfs/dbraw/zinc/50/91/66/758509166.db2.gz IFMIEIFRRJYSOC-OLZOCXBDSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCC(F)(F)C1)O2 ZINC001053608898 758628596 /nfs/dbraw/zinc/62/85/96/758628596.db2.gz OFNKBHGNCMIQOD-OLZOCXBDSA-N 1 2 314.376 1.957 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cccc(CC)n1)O2 ZINC001053610924 758630458 /nfs/dbraw/zinc/63/04/58/758630458.db2.gz GBHAXXJPMSSDRF-HNNXBMFYSA-N 1 2 315.417 1.793 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)nc1C1CC1 ZINC001018226615 758648492 /nfs/dbraw/zinc/64/84/92/758648492.db2.gz NLZNIIXYHPQZLK-GASCZTMLSA-N 1 2 310.401 1.584 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)nc1C1CC1 ZINC001018226615 758648495 /nfs/dbraw/zinc/64/84/95/758648495.db2.gz NLZNIIXYHPQZLK-GASCZTMLSA-N 1 2 310.401 1.584 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(OC)c(OC)c1 ZINC001018232069 758654594 /nfs/dbraw/zinc/65/45/94/758654594.db2.gz UTHIHPOLAFXYGZ-GASCZTMLSA-N 1 2 314.385 1.626 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(OC)c(OC)c1 ZINC001018232069 758654599 /nfs/dbraw/zinc/65/45/99/758654599.db2.gz UTHIHPOLAFXYGZ-GASCZTMLSA-N 1 2 314.385 1.626 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C(C)C)no1)O2 ZINC001053674334 758684619 /nfs/dbraw/zinc/68/46/19/758684619.db2.gz LYJOXUTXCGWSOI-ZDUSSCGKSA-N 1 2 319.405 1.947 20 30 DDEDLO CCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)C#CC(C)(C)C)CC2)C1 ZINC001065675838 758695913 /nfs/dbraw/zinc/69/59/13/758695913.db2.gz USPXVKMAXHRWAQ-HNNXBMFYSA-N 1 2 319.449 1.191 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C3CC3)CC2)C1 ZINC001065703261 758704032 /nfs/dbraw/zinc/70/40/32/758704032.db2.gz AVQLZZXSJVENMA-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(=O)[nH]c1)O2 ZINC001053694933 758705087 /nfs/dbraw/zinc/70/50/87/758705087.db2.gz RRYKCFHKURVULG-CQSZACIVSA-N 1 2 317.389 1.327 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(F)cn1)CO2 ZINC001053783287 758808066 /nfs/dbraw/zinc/80/80/66/758808066.db2.gz NBQIZTWYDQXFFN-CYBMUJFWSA-N 1 2 305.353 1.370 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccoc1CC)CO2 ZINC001053790480 758817900 /nfs/dbraw/zinc/81/79/00/758817900.db2.gz WQDGIILWLZVJSA-CYBMUJFWSA-N 1 2 304.390 1.991 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1nc(C)sc1C)CO2 ZINC001053843144 758873143 /nfs/dbraw/zinc/87/31/43/758873143.db2.gz GDAJWIFBFFDCSV-CYBMUJFWSA-N 1 2 319.430 1.356 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]nc1CC)CO2 ZINC001053856842 758889402 /nfs/dbraw/zinc/88/94/02/758889402.db2.gz QLFVMQYSIXQNFK-LBPRGKRZSA-N 1 2 304.394 1.121 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cncc(CC)c1)CO2 ZINC001053871387 758905472 /nfs/dbraw/zinc/90/54/72/758905472.db2.gz DXCLBHMQMSKKHI-MRXNPFEDSA-N 1 2 315.417 1.793 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ocnc1C1CC1)CO2 ZINC001053924848 758961023 /nfs/dbraw/zinc/96/10/23/758961023.db2.gz CZPHZJNNOVLVOW-ZDUSSCGKSA-N 1 2 315.373 1.148 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccn(C(C)C)n1)CO2 ZINC001053945401 758982056 /nfs/dbraw/zinc/98/20/56/758982056.db2.gz WAHZFBGXEGFOND-CQSZACIVSA-N 1 2 318.421 1.613 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C(C1CC1)C1CC1)CO2 ZINC001053980875 759020496 /nfs/dbraw/zinc/02/04/96/759020496.db2.gz UCZQRHOSTRHCTG-HNNXBMFYSA-N 1 2 302.418 1.405 20 30 DDEDLO C#CCCCCC(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067235491 759132193 /nfs/dbraw/zinc/13/21/93/759132193.db2.gz WEEUOQGGJCDOJY-UHFFFAOYSA-N 1 2 318.421 1.453 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(C#N)c[nH]3)cc2C1 ZINC001054260332 759358900 /nfs/dbraw/zinc/35/89/00/759358900.db2.gz KRGYBOITUBMUSK-UHFFFAOYSA-N 1 2 304.353 1.765 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(C#N)c[nH]3)cc2C1 ZINC001054260332 759358912 /nfs/dbraw/zinc/35/89/12/759358912.db2.gz KRGYBOITUBMUSK-UHFFFAOYSA-N 1 2 304.353 1.765 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnn(CC)c3)cc2C1 ZINC001054259733 759359692 /nfs/dbraw/zinc/35/96/92/759359692.db2.gz PDVQXADDRIGMID-UHFFFAOYSA-N 1 2 308.385 1.782 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnn(CC)c3)cc2C1 ZINC001054259733 759359699 /nfs/dbraw/zinc/35/96/99/759359699.db2.gz PDVQXADDRIGMID-UHFFFAOYSA-N 1 2 308.385 1.782 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3c(C)ncn3C)cc2C1 ZINC001054269326 759373777 /nfs/dbraw/zinc/37/37/77/759373777.db2.gz VLAIFXOMHLGWTN-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3c(C)ncn3C)cc2C1 ZINC001054269326 759373785 /nfs/dbraw/zinc/37/37/85/759373785.db2.gz VLAIFXOMHLGWTN-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)Cc1c[nH+]cn1C ZINC001069122058 767860739 /nfs/dbraw/zinc/86/07/39/767860739.db2.gz MZKKXEXZTUUZEG-TZMCWYRMSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CCN(C(=O)Cc1c[nH+]c[nH]1)C2 ZINC001019256642 759727556 /nfs/dbraw/zinc/72/75/56/759727556.db2.gz NIFPQGSBUUGHSL-UHFFFAOYSA-N 1 2 316.405 1.225 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001019332714 759813287 /nfs/dbraw/zinc/81/32/87/759813287.db2.gz WILBPAOBCYWNAH-BLLLJJGKSA-N 1 2 321.425 1.428 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([NH2+][C@H](C)c3nc(C)no3)C2)C1 ZINC001019352078 759838798 /nfs/dbraw/zinc/83/87/98/759838798.db2.gz AHKSOKCBHMLKSN-YPMHNXCESA-N 1 2 304.394 1.986 20 30 DDEDLO C[C@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001069215641 767920717 /nfs/dbraw/zinc/92/07/17/767920717.db2.gz XHCJOTKPQFDJTQ-FZMZJTMJSA-N 1 2 310.361 1.320 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(CCC(C)C)nn1 ZINC001085815025 760292883 /nfs/dbraw/zinc/29/28/83/760292883.db2.gz MZWGHYKUPUYZSL-CQSZACIVSA-N 1 2 303.410 1.104 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(CCC(C)C)nn1 ZINC001085815025 760292890 /nfs/dbraw/zinc/29/28/90/760292890.db2.gz MZWGHYKUPUYZSL-CQSZACIVSA-N 1 2 303.410 1.104 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc2c1OCCCO2 ZINC001085894511 760480770 /nfs/dbraw/zinc/48/07/70/760480770.db2.gz DBHMUGPQRGDDGF-CQSZACIVSA-N 1 2 314.385 1.627 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc2c1OCCCO2 ZINC001085894511 760480772 /nfs/dbraw/zinc/48/07/72/760480772.db2.gz DBHMUGPQRGDDGF-CQSZACIVSA-N 1 2 314.385 1.627 20 30 DDEDLO N#Cc1cccnc1N1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1c[nH]c[nH+]1)C2 ZINC001055456020 760503531 /nfs/dbraw/zinc/50/35/31/760503531.db2.gz RGCXLYRNDVRHTN-KFWWJZLASA-N 1 2 322.372 1.145 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OC)c(OCC)c1 ZINC001085960330 760614254 /nfs/dbraw/zinc/61/42/54/760614254.db2.gz BEGFKCBXULCJSU-HNNXBMFYSA-N 1 2 316.401 1.873 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(OC)c(OCC)c1 ZINC001085960330 760614256 /nfs/dbraw/zinc/61/42/56/760614256.db2.gz BEGFKCBXULCJSU-HNNXBMFYSA-N 1 2 316.401 1.873 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1csc([C@H]2CCCO2)n1 ZINC001038252914 760949653 /nfs/dbraw/zinc/94/96/53/760949653.db2.gz LJPTXBUFGCYOPA-DGCLKSJQSA-N 1 2 307.419 1.985 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CNC(=O)c1csc([C@H]2CCCO2)n1 ZINC001038252914 760949659 /nfs/dbraw/zinc/94/96/59/760949659.db2.gz LJPTXBUFGCYOPA-DGCLKSJQSA-N 1 2 307.419 1.985 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ccc(C#N)nc1 ZINC001069382905 768002741 /nfs/dbraw/zinc/00/27/41/768002741.db2.gz BHVFCTNASROQLE-WFASDCNBSA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@H]1[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ccc(C#N)cn1 ZINC001069384046 768004627 /nfs/dbraw/zinc/00/46/27/768004627.db2.gz RVDBPRCWQNONDV-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn2c(n1)CC[C@H](C)C2 ZINC001038409301 761093052 /nfs/dbraw/zinc/09/30/52/761093052.db2.gz IICXGNNDGZPLTL-KBPBESRZSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn2c(n1)CC[C@H](C)C2 ZINC001038409301 761093064 /nfs/dbraw/zinc/09/30/64/761093064.db2.gz IICXGNNDGZPLTL-KBPBESRZSA-N 1 2 300.406 1.293 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(C(C)C)c1Cl ZINC001038558713 761196565 /nfs/dbraw/zinc/19/65/65/761196565.db2.gz CULPUBDTZOMREC-LBPRGKRZSA-N 1 2 308.813 1.945 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(C(C)C)c1Cl ZINC001038558713 761196569 /nfs/dbraw/zinc/19/65/69/761196569.db2.gz CULPUBDTZOMREC-LBPRGKRZSA-N 1 2 308.813 1.945 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(-c2cccnc2)on1 ZINC001038654577 761253406 /nfs/dbraw/zinc/25/34/06/761253406.db2.gz NDRDVZFZEUNYAU-AWEZNQCLSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(-c2cccnc2)on1 ZINC001038654577 761253411 /nfs/dbraw/zinc/25/34/11/761253411.db2.gz NDRDVZFZEUNYAU-AWEZNQCLSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C(F)(F)F)c1C ZINC001038774794 761397052 /nfs/dbraw/zinc/39/70/52/761397052.db2.gz BUVUQLUHNZYVIK-SNVBAGLBSA-N 1 2 314.311 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C(F)(F)F)c1C ZINC001038774794 761397060 /nfs/dbraw/zinc/39/70/60/761397060.db2.gz BUVUQLUHNZYVIK-SNVBAGLBSA-N 1 2 314.311 1.564 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001038806962 761424727 /nfs/dbraw/zinc/42/47/27/761424727.db2.gz DZLKRIGBYWIEHK-JYJNAYRXSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001038806962 761424730 /nfs/dbraw/zinc/42/47/30/761424730.db2.gz DZLKRIGBYWIEHK-JYJNAYRXSA-N 1 2 319.405 1.655 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc2c1OCCCO2 ZINC001038851620 761475476 /nfs/dbraw/zinc/47/54/76/761475476.db2.gz PHPSDTKSJSRSKW-ZDUSSCGKSA-N 1 2 300.358 1.285 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc2c1OCCCO2 ZINC001038851620 761475478 /nfs/dbraw/zinc/47/54/78/761475478.db2.gz PHPSDTKSJSRSKW-ZDUSSCGKSA-N 1 2 300.358 1.285 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(CC2CCCC2)c1 ZINC001038852676 761477875 /nfs/dbraw/zinc/47/78/75/761477875.db2.gz BIBNVXCRSVJVOP-MRXNPFEDSA-N 1 2 300.406 1.511 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(CC2CCCC2)c1 ZINC001038852676 761477879 /nfs/dbraw/zinc/47/78/79/761477879.db2.gz BIBNVXCRSVJVOP-MRXNPFEDSA-N 1 2 300.406 1.511 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(OCC)c(OC)c1 ZINC001039014605 761646855 /nfs/dbraw/zinc/64/68/55/761646855.db2.gz FFIYYCUJZDMJGE-HNNXBMFYSA-N 1 2 316.401 1.921 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(OCC)c(OC)c1 ZINC001039014605 761646860 /nfs/dbraw/zinc/64/68/60/761646860.db2.gz FFIYYCUJZDMJGE-HNNXBMFYSA-N 1 2 316.401 1.921 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnc(-n2ccnc2)c1 ZINC001039026114 761663584 /nfs/dbraw/zinc/66/35/84/761663584.db2.gz SFUUMYNDYITTPD-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnc(-n2ccnc2)c1 ZINC001039026114 761663588 /nfs/dbraw/zinc/66/35/88/761663588.db2.gz SFUUMYNDYITTPD-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)c2c(C)n[nH]c2C)C1 ZINC001108284316 761753542 /nfs/dbraw/zinc/75/35/42/761753542.db2.gz RXDZGWIIOMNWIY-SJCJKPOMSA-N 1 2 320.437 1.523 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)c2c(C)n[nH]c2C)C1 ZINC001108284316 761753548 /nfs/dbraw/zinc/75/35/48/761753548.db2.gz RXDZGWIIOMNWIY-SJCJKPOMSA-N 1 2 320.437 1.523 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn(CC)c2C)C1 ZINC001108299174 761850098 /nfs/dbraw/zinc/85/00/98/761850098.db2.gz IEKZVHDKPOLAPN-INIZCTEOSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn(CC)c2C)C1 ZINC001108299174 761850084 /nfs/dbraw/zinc/85/00/84/761850084.db2.gz IEKZVHDKPOLAPN-INIZCTEOSA-N 1 2 306.410 1.218 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(C)(CC)CC)CC2=O)C1 ZINC001108591475 762781959 /nfs/dbraw/zinc/78/19/59/762781959.db2.gz ZODXBOGWSBBIKO-ZDUSSCGKSA-N 1 2 307.438 1.400 20 30 DDEDLO N#CCN1CC[C@]2(CCN(C(=O)c3cc4c[nH+]ccc4[nH]3)C2)C1 ZINC001041408414 763010510 /nfs/dbraw/zinc/01/05/10/763010510.db2.gz VFXYWEVABCDMJJ-KRWDZBQOSA-N 1 2 309.373 1.624 20 30 DDEDLO Cc1nc(N(C)C[C@H](C)NC(=O)C#CC2CC2)c(C)c(C)[nH+]1 ZINC001108940690 763124553 /nfs/dbraw/zinc/12/45/53/763124553.db2.gz HKRAKMPKPMXERF-NSHDSACASA-N 1 2 300.406 1.756 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCCN(C(=O)c3cccc4n[nH]cc43)[C@@H]2C1 ZINC001050108165 763148196 /nfs/dbraw/zinc/14/81/96/763148196.db2.gz RZRIBYRGPYCZBV-SUMWQHHRSA-N 1 2 308.385 1.733 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCCN(C(=O)c3cccc4n[nH]cc43)[C@@H]2C1 ZINC001050108165 763148202 /nfs/dbraw/zinc/14/82/02/763148202.db2.gz RZRIBYRGPYCZBV-SUMWQHHRSA-N 1 2 308.385 1.733 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCCN(C(=O)c3cc(CCC)[nH]n3)[C@@H]2C1 ZINC001050107862 763148594 /nfs/dbraw/zinc/14/85/94/763148594.db2.gz CTXXCPLQOPUKNL-XJKSGUPXSA-N 1 2 300.406 1.532 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCCN(C(=O)c3cc(CCC)[nH]n3)[C@@H]2C1 ZINC001050107862 763148599 /nfs/dbraw/zinc/14/85/99/763148599.db2.gz CTXXCPLQOPUKNL-XJKSGUPXSA-N 1 2 300.406 1.532 20 30 DDEDLO Cc1nnsc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C#N)C2 ZINC001109088482 763310419 /nfs/dbraw/zinc/31/04/19/763310419.db2.gz NNIKGXRPPIARAH-IELRGYKMSA-N 1 2 305.407 1.228 20 30 DDEDLO Cc1nnsc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C#N)C2 ZINC001109088482 763310427 /nfs/dbraw/zinc/31/04/27/763310427.db2.gz NNIKGXRPPIARAH-IELRGYKMSA-N 1 2 305.407 1.228 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)c(C)o1)C2 ZINC001109149881 763386667 /nfs/dbraw/zinc/38/66/67/763386667.db2.gz MHUJFQLQDWMCGU-PMPSAXMXSA-N 1 2 316.401 1.881 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)c(C)o1)C2 ZINC001109149881 763386675 /nfs/dbraw/zinc/38/66/75/763386675.db2.gz MHUJFQLQDWMCGU-PMPSAXMXSA-N 1 2 316.401 1.881 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@H](C)NC(=O)Cc2c[nH+]cn2C)C1 ZINC001050267680 763391153 /nfs/dbraw/zinc/39/11/53/763391153.db2.gz DZMMDOYGLCYRAU-KBPBESRZSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001050268939 763395464 /nfs/dbraw/zinc/39/54/64/763395464.db2.gz PRIIUNSGORTZNG-OCCSQVGLSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC(=O)NC)C2 ZINC001109180772 763434900 /nfs/dbraw/zinc/43/49/00/763434900.db2.gz PINNNNRVYWKFGF-AGIUHOORSA-N 1 2 313.829 1.377 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC(=O)NC)C2 ZINC001109180772 763434906 /nfs/dbraw/zinc/43/49/06/763434906.db2.gz PINNNNRVYWKFGF-AGIUHOORSA-N 1 2 313.829 1.377 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CC1 ZINC001109261103 763526998 /nfs/dbraw/zinc/52/69/98/763526998.db2.gz SHJNQCZVRQKUGQ-ILXRZTDVSA-N 1 2 317.433 1.036 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CC1 ZINC001109261103 763527001 /nfs/dbraw/zinc/52/70/01/763527001.db2.gz SHJNQCZVRQKUGQ-ILXRZTDVSA-N 1 2 317.433 1.036 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[NH+]([C@H](C)c1csnn1)CC2 ZINC001050464660 763628743 /nfs/dbraw/zinc/62/87/43/763628743.db2.gz XUDYAYOFMDIHRF-VXGBXAGGSA-N 1 2 319.434 1.683 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)c3csnn3)C[C@@H]21 ZINC001042164922 763731069 /nfs/dbraw/zinc/73/10/69/763731069.db2.gz ALZWMOCUJPQIBY-JQWIXIFHSA-N 1 2 312.826 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)c3csnn3)C[C@@H]21 ZINC001042164922 763731072 /nfs/dbraw/zinc/73/10/72/763731072.db2.gz ALZWMOCUJPQIBY-JQWIXIFHSA-N 1 2 312.826 1.827 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001050586917 763789390 /nfs/dbraw/zinc/78/93/90/763789390.db2.gz GQZKUHZLJCZWCF-LSDHHAIUSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](F)C(C)C)C2 ZINC001109619240 763873009 /nfs/dbraw/zinc/87/30/09/763873009.db2.gz LVXMKXOMQVQDEG-UKTARXLSSA-N 1 2 311.401 1.004 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](F)C(C)C)C2 ZINC001109619240 763873017 /nfs/dbraw/zinc/87/30/17/763873017.db2.gz LVXMKXOMQVQDEG-UKTARXLSSA-N 1 2 311.401 1.004 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3C[C@@H]3C(F)F)c2C1 ZINC001069859766 768192252 /nfs/dbraw/zinc/19/22/52/768192252.db2.gz MRGQFNYMOHJYQH-STQMWFEESA-N 1 2 324.375 1.792 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3C[C@@H]3C(F)F)c2C1 ZINC001069859766 768192256 /nfs/dbraw/zinc/19/22/56/768192256.db2.gz MRGQFNYMOHJYQH-STQMWFEESA-N 1 2 324.375 1.792 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)nc2)CC[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067402355 764258866 /nfs/dbraw/zinc/25/88/66/764258866.db2.gz HJOUNLRURDOVHQ-CJNGLKHVSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCN1CCOC[C@H]1CNC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001050919165 764279513 /nfs/dbraw/zinc/27/95/13/764279513.db2.gz CVQAIZLFJSCOSM-OAHLLOKOSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCCO[C@H]1C=C ZINC001050922868 764286039 /nfs/dbraw/zinc/28/60/39/764286039.db2.gz BMBZGSQGOMSQEO-OAGGEKHMSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCCO[C@H]1C=C ZINC001050922868 764286044 /nfs/dbraw/zinc/28/60/44/764286044.db2.gz BMBZGSQGOMSQEO-OAGGEKHMSA-N 1 2 308.422 1.361 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnn1[C@H](C)CC ZINC001050944313 764318823 /nfs/dbraw/zinc/31/88/23/764318823.db2.gz WJRFYRDDZGJLHS-HUUCEWRRSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnn1[C@H](C)CC ZINC001050944313 764318828 /nfs/dbraw/zinc/31/88/28/764318828.db2.gz WJRFYRDDZGJLHS-HUUCEWRRSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(CC)nnc1C ZINC001051046709 764448867 /nfs/dbraw/zinc/44/88/67/764448867.db2.gz SUXNSRURONVZHK-HNNXBMFYSA-N 1 2 318.421 1.354 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(CC)nnc1C ZINC001051046709 764448872 /nfs/dbraw/zinc/44/88/72/764448872.db2.gz SUXNSRURONVZHK-HNNXBMFYSA-N 1 2 318.421 1.354 20 30 DDEDLO Cc1nc(N2CCC[C@@H](N(C)C(=O)[C@@H](C)C#N)CC2)cc[nH+]1 ZINC001112625237 764491477 /nfs/dbraw/zinc/49/14/77/764491477.db2.gz PZFUDMQDEINVFE-GXTWGEPZSA-N 1 2 301.394 1.762 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ocnc1CC ZINC001051102905 764506731 /nfs/dbraw/zinc/50/67/31/764506731.db2.gz ONMDKRXDFZSHCG-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ocnc1CC ZINC001051102905 764506736 /nfs/dbraw/zinc/50/67/36/764506736.db2.gz ONMDKRXDFZSHCG-CYBMUJFWSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(C)C)n[nH]1 ZINC001051129139 764529053 /nfs/dbraw/zinc/52/90/53/764529053.db2.gz GPKLRZJYEAIICF-AWEZNQCLSA-N 1 2 320.437 1.930 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(C)C)n[nH]1 ZINC001051129139 764529063 /nfs/dbraw/zinc/52/90/63/764529063.db2.gz GPKLRZJYEAIICF-AWEZNQCLSA-N 1 2 320.437 1.930 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc3c(c2)OCC(=O)N3)C1 ZINC001042926444 764531959 /nfs/dbraw/zinc/53/19/59/764531959.db2.gz BENWHJNWKSDENV-UHFFFAOYSA-N 1 2 315.373 1.350 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001096152001 768221104 /nfs/dbraw/zinc/22/11/04/768221104.db2.gz NDVDCTWMYFTYGX-QMTHXVAHSA-N 1 2 301.394 1.647 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CCCC[C@@H]2n2cccn2)C1 ZINC001042959689 764554202 /nfs/dbraw/zinc/55/42/02/764554202.db2.gz YGFAHIXOUPNDDJ-CVEARBPZSA-N 1 2 302.422 1.943 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc(OC)n1 ZINC001051191262 764599747 /nfs/dbraw/zinc/59/97/47/764599747.db2.gz ZAMZQSJLZRWJHM-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc(OC)n1 ZINC001051191262 764599754 /nfs/dbraw/zinc/59/97/54/764599754.db2.gz ZAMZQSJLZRWJHM-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO CC(C)COCC[NH+]1CCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC001112754074 764703908 /nfs/dbraw/zinc/70/39/08/764703908.db2.gz KCNKTSVRXXXQIJ-UHFFFAOYSA-N 1 2 304.394 1.317 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051426015 764864568 /nfs/dbraw/zinc/86/45/68/764864568.db2.gz FGIDAXXEOKIERD-UONOGXRCSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2sc(C)nc2C)CC1 ZINC001112843235 764867203 /nfs/dbraw/zinc/86/72/03/764867203.db2.gz PWJKAFQPMCIPKU-UHFFFAOYSA-N 1 2 321.446 1.444 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](CCc2csc(C)n2)CC1 ZINC001112843923 764867350 /nfs/dbraw/zinc/86/73/50/764867350.db2.gz MZXHLBKWUFWNJA-UHFFFAOYSA-N 1 2 321.446 1.178 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccnc3[nH]c(CC)nc32)C1 ZINC001043439120 764904429 /nfs/dbraw/zinc/90/44/29/764904429.db2.gz GFXUYZAMKKEKQZ-UHFFFAOYSA-N 1 2 313.405 1.853 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnn(C)c2-n2cccc2)C1 ZINC001043500755 764942734 /nfs/dbraw/zinc/94/27/34/764942734.db2.gz SYESLOQTRUKULW-UHFFFAOYSA-N 1 2 313.405 1.543 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@@H]3CC[C@H](C)O3)C2)cc1 ZINC001043505569 764949834 /nfs/dbraw/zinc/94/98/34/764949834.db2.gz WLGVNSFINZOBNE-KSSFIOAISA-N 1 2 312.413 1.992 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2C[C@H](C)Cc3cn[nH]c32)C1 ZINC001043621534 765010073 /nfs/dbraw/zinc/01/00/73/765010073.db2.gz HNSCDAIISJHDOA-DOMZBBRYSA-N 1 2 302.422 1.794 20 30 DDEDLO C=CCC[NH+]1CC(N(C)C(=O)[C@H]2C[C@@H](C)Cc3c[nH]nc32)C1 ZINC001043623288 765011625 /nfs/dbraw/zinc/01/16/25/765011625.db2.gz UCCDTFLZSLPZCX-WFASDCNBSA-N 1 2 302.422 1.794 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccccc3F)C2)CC1 ZINC001051973169 765333425 /nfs/dbraw/zinc/33/34/25/765333425.db2.gz UWVRVUXHNFHFTJ-CQSZACIVSA-N 1 2 316.380 1.181 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3cncc(F)c3)C2)CC1 ZINC001052006176 765373512 /nfs/dbraw/zinc/37/35/12/765373512.db2.gz NAUYXXXVMDQVGI-INIZCTEOSA-N 1 2 318.396 1.239 20 30 DDEDLO C#CCCCCCC(=O)N1CC[NH+]([C@H]2CCCN(C)C2=O)CC1 ZINC001113205627 765407235 /nfs/dbraw/zinc/40/72/35/765407235.db2.gz XYIRNHGHRDENIF-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CCCCCCC(=O)N1CC[NH+]([C@@H]2CCCN(C)C2=O)CC1 ZINC001113205629 765407661 /nfs/dbraw/zinc/40/76/61/765407661.db2.gz XYIRNHGHRDENIF-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO CC(C)(C)C(C)(C)C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052060407 765432460 /nfs/dbraw/zinc/43/24/60/765432460.db2.gz CXDDUFZDEJJPIT-OAHLLOKOSA-N 1 2 320.481 1.801 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)(C)C(C)(C)C)C2)CC1 ZINC001052061500 765433889 /nfs/dbraw/zinc/43/38/89/765433889.db2.gz ZZXRLILOYZARMH-INIZCTEOSA-N 1 2 319.493 1.910 20 30 DDEDLO C[C@@H](NC(=O)CCn1cc[nH+]c1)[C@@H](C)Nc1ccc(C#N)cn1 ZINC001113238786 765458442 /nfs/dbraw/zinc/45/84/42/765458442.db2.gz RLIPMEXUTKFCSC-CHWSQXEVSA-N 1 2 312.377 1.545 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)CCCC(C)=O)CC[C@H]1C ZINC001131803049 768380803 /nfs/dbraw/zinc/38/08/03/768380803.db2.gz OMPRNJRJLMAHSB-HIFRSBDPSA-N 1 2 323.437 1.017 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)CCCC(C)=O)CC[C@H]1C ZINC001131803049 768380810 /nfs/dbraw/zinc/38/08/10/768380810.db2.gz OMPRNJRJLMAHSB-HIFRSBDPSA-N 1 2 323.437 1.017 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)(CC)CC)C2)CC1 ZINC001052119109 765478792 /nfs/dbraw/zinc/47/87/92/765478792.db2.gz KCVRBUHPBCYBQS-MRXNPFEDSA-N 1 2 305.466 1.664 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCN2CC(F)(F)F)C1 ZINC001044335988 765491457 /nfs/dbraw/zinc/49/14/57/765491457.db2.gz UCSFIZIQHNFQHD-CYBMUJFWSA-N 1 2 319.371 1.732 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H](C)c2c(C)nn(C)c2C)C1 ZINC001044371709 765515979 /nfs/dbraw/zinc/51/59/79/765515979.db2.gz JDAXYDVPFXIEOZ-LBPRGKRZSA-N 1 2 302.422 1.306 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCOc2cccc(C)c2)CC1 ZINC001113629751 765958967 /nfs/dbraw/zinc/95/89/67/765958967.db2.gz XFYNMPFDCGUEAM-UHFFFAOYSA-N 1 2 300.402 1.931 20 30 DDEDLO Cc1nc(N2CCC(CNC(=O)c3c[nH]c(C#N)c3)CC2)cc[nH+]1 ZINC001057787286 766053363 /nfs/dbraw/zinc/05/33/63/766053363.db2.gz XDSDQFVRXNFKKQ-UHFFFAOYSA-N 1 2 324.388 1.631 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)N(C)c1[nH+]cnc2c1cnn2C ZINC001113859523 766317504 /nfs/dbraw/zinc/31/75/04/766317504.db2.gz HHPQMISBLPGLQO-GFCCVEGCSA-N 1 2 316.409 1.661 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1(C)CC[NH+](Cc2ccon2)CC1 ZINC001045560822 766401692 /nfs/dbraw/zinc/40/16/92/766401692.db2.gz WSIXBVFCHOXLIQ-CABCVRRESA-N 1 2 319.405 1.736 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)CC[C@H]1C ZINC001114030093 766562007 /nfs/dbraw/zinc/56/20/07/766562007.db2.gz IOSQFVBUMINSCK-UKRRQHHQSA-N 1 2 318.421 1.709 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)CCC3CCCC3)CC2)C1 ZINC001045999397 766784129 /nfs/dbraw/zinc/78/41/29/766784129.db2.gz PLDARDDVUPCMDT-KRWDZBQOSA-N 1 2 318.465 1.699 20 30 DDEDLO CC(C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)=C1CCC1 ZINC001046027788 766832321 /nfs/dbraw/zinc/83/23/21/766832321.db2.gz ROPRRYXNNCHXSL-MRXNPFEDSA-N 1 2 302.422 1.229 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C[C@@H]3C=CCCC3)CC2)C1 ZINC001046038815 766845879 /nfs/dbraw/zinc/84/58/79/766845879.db2.gz JRSMSNUMXXHRDB-SJORKVTESA-N 1 2 316.449 1.475 20 30 DDEDLO C[C@H](C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C(C)(C)C ZINC001046039293 766847285 /nfs/dbraw/zinc/84/72/85/766847285.db2.gz VLSPMRUZCBUMEK-CABCVRRESA-N 1 2 306.454 1.411 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067972682 766848616 /nfs/dbraw/zinc/84/86/16/766848616.db2.gz GNUYNDFJEWQXSC-ZIAGYGMSSA-N 1 2 320.437 1.717 20 30 DDEDLO C[C@]1(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)C=CCC1 ZINC001046050279 766858677 /nfs/dbraw/zinc/85/86/77/766858677.db2.gz JGMYLYGPEKRMAY-WBVHZDCISA-N 1 2 302.422 1.085 20 30 DDEDLO C=C1CCC(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)CC1 ZINC001046058248 766867806 /nfs/dbraw/zinc/86/78/06/766867806.db2.gz FMRJTFBTZPALGS-QGZVFWFLSA-N 1 2 316.449 1.475 20 30 DDEDLO C=CCn1c(N(C)CC2CC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121606710 782588074 /nfs/dbraw/zinc/58/80/74/782588074.db2.gz CIAHXMTZBJJTPI-XJKSGUPXSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCn1c(N(C)CC2CC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001121606710 782588079 /nfs/dbraw/zinc/58/80/79/782588079.db2.gz CIAHXMTZBJJTPI-XJKSGUPXSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)CCC1CC1 ZINC001121607622 782590088 /nfs/dbraw/zinc/59/00/88/782590088.db2.gz ANUNPZMYQCKOAZ-ZIAGYGMSSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)CCC1CC1 ZINC001121607622 782590098 /nfs/dbraw/zinc/59/00/98/782590098.db2.gz ANUNPZMYQCKOAZ-ZIAGYGMSSA-N 1 2 305.426 1.438 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111554867 766965282 /nfs/dbraw/zinc/96/52/82/766965282.db2.gz ICRYCXRFCNEYBL-INIZCTEOSA-N 1 2 306.410 1.620 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC001098058882 766979775 /nfs/dbraw/zinc/97/97/75/766979775.db2.gz FWQYSCPEGNJFOR-KGLIPLIRSA-N 1 2 318.421 1.863 20 30 DDEDLO Cc1nc(NC[C@H]2C[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001068468660 767340431 /nfs/dbraw/zinc/34/04/31/767340431.db2.gz PDTTWFXVYOGZBM-AULYBMBSSA-N 1 2 310.361 1.605 20 30 DDEDLO CN(c1ccncc1C#N)C1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001068683399 767519704 /nfs/dbraw/zinc/51/97/04/767519704.db2.gz PBMSRPYAAVWEFK-UHFFFAOYSA-N 1 2 324.388 1.277 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001068829403 767645656 /nfs/dbraw/zinc/64/56/56/767645656.db2.gz JYCQQBDAHLXETE-DOMZBBRYSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2nccs2)C1 ZINC001046631222 767754912 /nfs/dbraw/zinc/75/49/12/767754912.db2.gz CBUPRPDUERIGAD-DVOMOZLQSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2nccs2)C1 ZINC001046631222 767754919 /nfs/dbraw/zinc/75/49/19/767754919.db2.gz CBUPRPDUERIGAD-DVOMOZLQSA-N 1 2 321.446 1.815 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@]2(C)CC[N@H+](CC(=C)Cl)C2)nn1 ZINC001046690560 767809175 /nfs/dbraw/zinc/80/91/75/767809175.db2.gz WFEZKWKCEVYWDL-OAHLLOKOSA-N 1 2 323.828 1.801 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@]2(C)CC[N@@H+](CC(=C)Cl)C2)nn1 ZINC001046690560 767809181 /nfs/dbraw/zinc/80/91/81/767809181.db2.gz WFEZKWKCEVYWDL-OAHLLOKOSA-N 1 2 323.828 1.801 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CNC(=O)CC)CC[C@H]1C ZINC001131909015 768472708 /nfs/dbraw/zinc/47/27/08/768472708.db2.gz RHDVSRXSRUKGLJ-NEPJUHHUSA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CNC(=O)CC)CC[C@H]1C ZINC001131909015 768472712 /nfs/dbraw/zinc/47/27/12/768472712.db2.gz RHDVSRXSRUKGLJ-NEPJUHHUSA-N 1 2 301.818 1.234 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)onc2C2CC2)C1 ZINC001047459842 768494115 /nfs/dbraw/zinc/49/41/15/768494115.db2.gz FSKQDIYORZLUNB-KBPBESRZSA-N 1 2 317.389 1.001 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)onc2C2CC2)C1 ZINC001047459842 768494116 /nfs/dbraw/zinc/49/41/16/768494116.db2.gz FSKQDIYORZLUNB-KBPBESRZSA-N 1 2 317.389 1.001 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cc(OC)no2)C1 ZINC001132003026 768554841 /nfs/dbraw/zinc/55/48/41/768554841.db2.gz PIEXAOVYNNFEOX-ZIAGYGMSSA-N 1 2 319.405 1.956 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cc(OC)no2)C1 ZINC001132003026 768554843 /nfs/dbraw/zinc/55/48/43/768554843.db2.gz PIEXAOVYNNFEOX-ZIAGYGMSSA-N 1 2 319.405 1.956 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@H]2C)cn1 ZINC001132021745 768558819 /nfs/dbraw/zinc/55/88/19/768558819.db2.gz PFYGYRHFUWBGDR-PBHICJAKSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@H]2C)cn1 ZINC001132021745 768558823 /nfs/dbraw/zinc/55/88/23/768558823.db2.gz PFYGYRHFUWBGDR-PBHICJAKSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@H]2C)cn1 ZINC001132034692 768581364 /nfs/dbraw/zinc/58/13/64/768581364.db2.gz UMFNFULRLNZTMS-ZBFHGGJFSA-N 1 2 312.417 1.668 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@H]2C)cn1 ZINC001132034692 768581368 /nfs/dbraw/zinc/58/13/68/768581368.db2.gz UMFNFULRLNZTMS-ZBFHGGJFSA-N 1 2 312.417 1.668 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3c(cccc3C)o2)C1 ZINC001047642427 768632270 /nfs/dbraw/zinc/63/22/70/768632270.db2.gz VHXFVPUCPVNWLA-GJZGRUSLSA-N 1 2 312.369 1.492 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3c(cccc3C)o2)C1 ZINC001047642427 768632274 /nfs/dbraw/zinc/63/22/74/768632274.db2.gz VHXFVPUCPVNWLA-GJZGRUSLSA-N 1 2 312.369 1.492 20 30 DDEDLO C[C@@H](CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1)C1CC1 ZINC001070720626 768691702 /nfs/dbraw/zinc/69/17/02/768691702.db2.gz AVSNTCNVCRWWDD-NWANDNLSSA-N 1 2 315.421 1.264 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2nccs2)CC[C@@H]1C ZINC001132373070 768791672 /nfs/dbraw/zinc/79/16/72/768791672.db2.gz XZPHOAVSCDCKIJ-STQMWFEESA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2nccs2)CC[C@@H]1C ZINC001132373070 768791676 /nfs/dbraw/zinc/79/16/76/768791676.db2.gz XZPHOAVSCDCKIJ-STQMWFEESA-N 1 2 307.419 1.376 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071176970 769103785 /nfs/dbraw/zinc/10/37/85/769103785.db2.gz ISHVAPPBNHHQGJ-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)n1 ZINC001096370158 769446447 /nfs/dbraw/zinc/44/64/47/769446447.db2.gz MDEWWFNUHDVMLC-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C2CN(C(C)=O)C2)CC[C@@H]1C ZINC001071460114 769467201 /nfs/dbraw/zinc/46/72/01/769467201.db2.gz IRGNLQBNTNCAKU-SMDDNHRTSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C2CN(C(C)=O)C2)CC[C@@H]1C ZINC001071460114 769467206 /nfs/dbraw/zinc/46/72/06/769467206.db2.gz IRGNLQBNTNCAKU-SMDDNHRTSA-N 1 2 313.829 1.186 20 30 DDEDLO C=CCCCC(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001133154888 769534397 /nfs/dbraw/zinc/53/43/97/769534397.db2.gz VHIZAYOKMUZMEA-UHFFFAOYSA-N 1 2 304.350 1.892 20 30 DDEDLO C[C@@H]1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C[C@H]1CNCC#N ZINC001133240808 769616810 /nfs/dbraw/zinc/61/68/10/769616810.db2.gz AIYSLIBHLNZUQB-CHWSQXEVSA-N 1 2 303.410 1.285 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](NC(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001096497908 770507967 /nfs/dbraw/zinc/50/79/67/770507967.db2.gz WWBZOBFHWOZVNL-AWEZNQCLSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](NC(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001096497908 770507972 /nfs/dbraw/zinc/50/79/72/770507972.db2.gz WWBZOBFHWOZVNL-AWEZNQCLSA-N 1 2 310.361 1.004 20 30 DDEDLO CCn1cc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)nn1 ZINC001049368572 770743680 /nfs/dbraw/zinc/74/36/80/770743680.db2.gz ACTLDOSNMACRCQ-VHDGCEQUSA-N 1 2 316.409 1.023 20 30 DDEDLO CCn1cc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)nn1 ZINC001049368572 770743689 /nfs/dbraw/zinc/74/36/89/770743689.db2.gz ACTLDOSNMACRCQ-VHDGCEQUSA-N 1 2 316.409 1.023 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049382501 770762602 /nfs/dbraw/zinc/76/26/02/770762602.db2.gz OTJRLUBDTMBBIO-FPMFFAJLSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H](C)C#N)o1 ZINC001049382501 770762612 /nfs/dbraw/zinc/76/26/12/770762612.db2.gz OTJRLUBDTMBBIO-FPMFFAJLSA-N 1 2 302.378 1.708 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001072484347 770961547 /nfs/dbraw/zinc/96/15/47/770961547.db2.gz OIZPZNSEDVQHMY-DOMZBBRYSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)CCc2c[nH+]cn2C)C[C@H]1C ZINC001072513323 771003183 /nfs/dbraw/zinc/00/31/83/771003183.db2.gz NRCTVGSUWSXWMF-HIFRSBDPSA-N 1 2 318.421 1.282 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001049998609 771353328 /nfs/dbraw/zinc/35/33/28/771353328.db2.gz JETHBPAWGGJDPD-FRRDWIJNSA-N 1 2 304.419 1.726 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001049998609 771353333 /nfs/dbraw/zinc/35/33/33/771353333.db2.gz JETHBPAWGGJDPD-FRRDWIJNSA-N 1 2 304.419 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(OC)o2)[C@@H](O)C1 ZINC001090674623 772095427 /nfs/dbraw/zinc/09/54/27/772095427.db2.gz XUZYCIFRBWRXEG-MNOVXSKESA-N 1 2 314.769 1.206 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(OC)o2)[C@@H](O)C1 ZINC001090674623 772095432 /nfs/dbraw/zinc/09/54/32/772095432.db2.gz XUZYCIFRBWRXEG-MNOVXSKESA-N 1 2 314.769 1.206 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncccc2F)[C@H](O)C1 ZINC001090676699 772104073 /nfs/dbraw/zinc/10/40/73/772104073.db2.gz RSXJLGJEULSNRN-NWDGAFQWSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncccc2F)[C@H](O)C1 ZINC001090676699 772104076 /nfs/dbraw/zinc/10/40/76/772104076.db2.gz RSXJLGJEULSNRN-NWDGAFQWSA-N 1 2 313.760 1.138 20 30 DDEDLO CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001090747132 772157824 /nfs/dbraw/zinc/15/78/24/772157824.db2.gz LNVLPOUKMYVPIU-HOTGVXAUSA-N 1 2 315.417 1.656 20 30 DDEDLO CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001090747132 772157826 /nfs/dbraw/zinc/15/78/26/772157826.db2.gz LNVLPOUKMYVPIU-HOTGVXAUSA-N 1 2 315.417 1.656 20 30 DDEDLO N#Cc1cccc(Cl)c1C[NH+]1CCC2(CC1)CNC(=O)CO2 ZINC001143731070 772299255 /nfs/dbraw/zinc/29/92/55/772299255.db2.gz KZASVKWNSDDEOF-UHFFFAOYSA-N 1 2 319.792 1.693 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001091450194 772771769 /nfs/dbraw/zinc/77/17/69/772771769.db2.gz IBTPTYJLYYJJQB-HDJSIYSDSA-N 1 2 324.388 1.616 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H]1C[C@H](Nc2ncccc2C#N)C1 ZINC001091468691 772775912 /nfs/dbraw/zinc/77/59/12/772775912.db2.gz LYORUERSSZQIEP-MQMHXKEQSA-N 1 2 324.388 1.236 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001091496012 772786091 /nfs/dbraw/zinc/78/60/91/772786091.db2.gz RKTYTYVGFWIGFS-SAZUREKKSA-N 1 2 324.388 1.547 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2c3c(ccc2F)OCO3)CC1 ZINC001147291028 773102526 /nfs/dbraw/zinc/10/25/26/773102526.db2.gz FLMMOBONEMURLA-UHFFFAOYSA-N 1 2 304.321 1.336 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2c3c(ccc2F)OCO3)CC1 ZINC001147291028 773102530 /nfs/dbraw/zinc/10/25/30/773102530.db2.gz FLMMOBONEMURLA-UHFFFAOYSA-N 1 2 304.321 1.336 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cn(C)nn1)C2 ZINC001147374913 773123846 /nfs/dbraw/zinc/12/38/46/773123846.db2.gz VGRMMPCVIGBNAK-UHFFFAOYSA-N 1 2 315.421 1.043 20 30 DDEDLO C#CCCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cn(C)nn1)C2 ZINC001147374913 773123849 /nfs/dbraw/zinc/12/38/49/773123849.db2.gz VGRMMPCVIGBNAK-UHFFFAOYSA-N 1 2 315.421 1.043 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+](Cc1cn(CC)nn1)C2 ZINC001147647123 773189596 /nfs/dbraw/zinc/18/95/96/773189596.db2.gz NBPMBBNGCHNIPU-UHFFFAOYSA-N 1 2 317.437 1.689 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+](Cc1cn(CC)nn1)C2 ZINC001147647123 773189599 /nfs/dbraw/zinc/18/95/99/773189599.db2.gz NBPMBBNGCHNIPU-UHFFFAOYSA-N 1 2 317.437 1.689 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nccn1C)C2 ZINC001148137935 773363658 /nfs/dbraw/zinc/36/36/58/773363658.db2.gz JGAIISXRDOQVJF-GJZGRUSLSA-N 1 2 316.449 1.913 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1C)C2 ZINC001148137935 773363665 /nfs/dbraw/zinc/36/36/65/773363665.db2.gz JGAIISXRDOQVJF-GJZGRUSLSA-N 1 2 316.449 1.913 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001074035388 773597977 /nfs/dbraw/zinc/59/79/77/773597977.db2.gz CJYWMSJFTZDWHO-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC(F)(F)F)C[C@@H]21 ZINC001074184915 773702591 /nfs/dbraw/zinc/70/25/91/773702591.db2.gz UDDPMMBNSYPOEV-RYUDHWBXSA-N 1 2 304.312 1.264 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC(F)(F)F)C[C@@H]21 ZINC001074184915 773702594 /nfs/dbraw/zinc/70/25/94/773702594.db2.gz UDDPMMBNSYPOEV-RYUDHWBXSA-N 1 2 304.312 1.264 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)COC3CCCC3)C[C@@H]21 ZINC001074181453 773704039 /nfs/dbraw/zinc/70/40/39/773704039.db2.gz VHXNNZFCEAMBER-DLBZAZTESA-N 1 2 322.449 1.823 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)COC3CCCC3)C[C@@H]21 ZINC001074181453 773704044 /nfs/dbraw/zinc/70/40/44/773704044.db2.gz VHXNNZFCEAMBER-DLBZAZTESA-N 1 2 322.449 1.823 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccnc(F)c3)C[C@H]21 ZINC001074188717 773710423 /nfs/dbraw/zinc/71/04/23/773710423.db2.gz YEFASYILLOKOBT-HUUCEWRRSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccnc(F)c3)C[C@H]21 ZINC001074188717 773710428 /nfs/dbraw/zinc/71/04/28/773710428.db2.gz YEFASYILLOKOBT-HUUCEWRRSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccc(C)o3)C[C@@H]21 ZINC001074218967 773740916 /nfs/dbraw/zinc/74/09/16/773740916.db2.gz IFWJHBMUJMAOBU-DLBZAZTESA-N 1 2 316.401 1.456 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccc(C)o3)C[C@@H]21 ZINC001074218967 773740920 /nfs/dbraw/zinc/74/09/20/773740920.db2.gz IFWJHBMUJMAOBU-DLBZAZTESA-N 1 2 316.401 1.456 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C[C@H]21 ZINC001074219913 773743624 /nfs/dbraw/zinc/74/36/24/773743624.db2.gz YWTKSXQEJCAIKD-HZPDHXFCSA-N 1 2 314.389 1.378 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C[C@H]21 ZINC001074219913 773743629 /nfs/dbraw/zinc/74/36/29/773743629.db2.gz YWTKSXQEJCAIKD-HZPDHXFCSA-N 1 2 314.389 1.378 20 30 DDEDLO C[C@H](CNC(=O)C[C@@H](C)n1cc[nH+]c1)Nc1cncc(C#N)n1 ZINC001098302406 773793478 /nfs/dbraw/zinc/79/34/78/773793478.db2.gz KLMGROROMDPJRY-VXGBXAGGSA-N 1 2 313.365 1.113 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CCN(c3cc[nH+]c(C)n3)C[C@H]2C1 ZINC001091844584 773823420 /nfs/dbraw/zinc/82/34/20/773823420.db2.gz OOQWSKDSGURITN-CABCVRRESA-N 1 2 316.405 1.272 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3=CCOCC3)C[C@H]21 ZINC001074334289 773830550 /nfs/dbraw/zinc/83/05/50/773830550.db2.gz PRDSZAWWOCZRDT-IAGOWNOFSA-N 1 2 318.417 1.048 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3=CCOCC3)C[C@H]21 ZINC001074334289 773830555 /nfs/dbraw/zinc/83/05/55/773830555.db2.gz PRDSZAWWOCZRDT-IAGOWNOFSA-N 1 2 318.417 1.048 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3[nH]cnc3C)C[C@H]21 ZINC001074392869 773886606 /nfs/dbraw/zinc/88/66/06/773886606.db2.gz QNYSHRBBDYJPOE-HZPDHXFCSA-N 1 2 318.421 1.138 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3[nH]cnc3C)C[C@H]21 ZINC001074392869 773886610 /nfs/dbraw/zinc/88/66/10/773886610.db2.gz QNYSHRBBDYJPOE-HZPDHXFCSA-N 1 2 318.421 1.138 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092165202 773969387 /nfs/dbraw/zinc/96/93/87/773969387.db2.gz URUNLJASYUUSTO-ZIAGYGMSSA-N 1 2 304.394 1.197 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ocnc1C)c1nccn12 ZINC001092360781 774075729 /nfs/dbraw/zinc/07/57/29/774075729.db2.gz YOHWRFVZYOGFDZ-LBPRGKRZSA-N 1 2 313.361 1.251 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@]13C[C@H]1CCC3)c1nccn12 ZINC001092383701 774094856 /nfs/dbraw/zinc/09/48/56/774094856.db2.gz LLOBNACPIZWUDO-HBUWYVDXSA-N 1 2 312.417 1.831 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)nc2)C[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001092381717 774094894 /nfs/dbraw/zinc/09/48/94/774094894.db2.gz QMQJXGLQDXVROR-ZIAGYGMSSA-N 1 2 324.388 1.038 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@H]1C1CC1)c1nccn12 ZINC001092402403 774103006 /nfs/dbraw/zinc/10/30/06/774103006.db2.gz OVMRUOIRQHGAAH-ZNMIVQPWSA-N 1 2 312.417 1.687 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1CC(OC)C1)c1nccn12 ZINC001092374674 774108538 /nfs/dbraw/zinc/10/85/38/774108538.db2.gz DBHQLCZVSXAQTD-RUXDESIVSA-N 1 2 316.405 1.066 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)[C@H](C)C1 ZINC001074884506 774215197 /nfs/dbraw/zinc/21/51/97/774215197.db2.gz SJGXAARPDPHVAI-OCCSQVGLSA-N 1 2 318.421 1.723 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001074964484 774270514 /nfs/dbraw/zinc/27/05/14/774270514.db2.gz ZQOVCPQCITYLBN-KGLIPLIRSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001075045451 774318578 /nfs/dbraw/zinc/31/85/78/774318578.db2.gz JATDABNDUIYMLU-KBPBESRZSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001075045452 774319349 /nfs/dbraw/zinc/31/93/49/774319349.db2.gz JATDABNDUIYMLU-KGLIPLIRSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001075097218 774347256 /nfs/dbraw/zinc/34/72/56/774347256.db2.gz MPHDCBYQRGQIKV-KBPBESRZSA-N 1 2 318.421 1.591 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2[C@@H](CC[N@@H+]2Cc2csnn2)C1 ZINC001036714335 774386739 /nfs/dbraw/zinc/38/67/39/774386739.db2.gz WPEDZYMZPWMOFA-DZGCQCFKSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2[C@@H](CC[N@H+]2Cc2csnn2)C1 ZINC001036714335 774386745 /nfs/dbraw/zinc/38/67/45/774386745.db2.gz WPEDZYMZPWMOFA-DZGCQCFKSA-N 1 2 316.430 1.374 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@H]4[C@@H](CCN4CC#N)C3)ccn12 ZINC001036717628 774392588 /nfs/dbraw/zinc/39/25/88/774392588.db2.gz YUUJPLVTXIHLBC-HOTGVXAUSA-N 1 2 323.400 1.703 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sccc1COC)C2 ZINC001098212138 774514355 /nfs/dbraw/zinc/51/43/55/774514355.db2.gz KHOGLAZSFRWGRV-MCIONIFRSA-N 1 2 304.415 1.863 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sccc1COC)C2 ZINC001098212138 774514360 /nfs/dbraw/zinc/51/43/60/774514360.db2.gz KHOGLAZSFRWGRV-MCIONIFRSA-N 1 2 304.415 1.863 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@]34CCC[C@H]3CN(CC#N)C4)ccn12 ZINC001098835946 774689275 /nfs/dbraw/zinc/68/92/75/774689275.db2.gz OGOSXTHKIIRZIG-YJBOKZPZSA-N 1 2 323.400 1.751 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@@H]3CCOC3)CC2)C1 ZINC001093518985 774770499 /nfs/dbraw/zinc/77/04/99/774770499.db2.gz GCBZUQWEGIOMDL-AWEZNQCLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3c[nH]c(C#N)c3)CC2)C1 ZINC001093524815 774776580 /nfs/dbraw/zinc/77/65/80/774776580.db2.gz MMZIYOSNHYONEB-UHFFFAOYSA-N 1 2 314.389 1.378 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3[nH]ccc3C)CC2)C1 ZINC001093533090 774787769 /nfs/dbraw/zinc/78/77/69/774787769.db2.gz WSZRRAVCOYKXMU-UHFFFAOYSA-N 1 2 303.406 1.815 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3C[C@@H](C)CO3)CC2)C1 ZINC001093553981 774799880 /nfs/dbraw/zinc/79/98/80/774799880.db2.gz ZURSJTOJBWPBBP-RHSMWYFYSA-N 1 2 322.449 1.679 20 30 DDEDLO Cc1nc(NCCNC(=O)c2ccc(C#N)[nH]2)c2c([nH+]1)CCCC2 ZINC001093587900 774869100 /nfs/dbraw/zinc/86/91/00/774869100.db2.gz OLBIPMUMFXFQOS-UHFFFAOYSA-N 1 2 324.388 1.706 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001093693450 775002843 /nfs/dbraw/zinc/00/28/43/775002843.db2.gz PAEPCTMUROWALJ-GFCCVEGCSA-N 1 2 304.394 1.782 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCOCC2CC2)[C@H](O)C1 ZINC001099830018 775337404 /nfs/dbraw/zinc/33/74/04/775337404.db2.gz LZAGDXXBGCJFHJ-UONOGXRCSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCOCC2CC2)[C@H](O)C1 ZINC001099830018 775337415 /nfs/dbraw/zinc/33/74/15/775337415.db2.gz LZAGDXXBGCJFHJ-UONOGXRCSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C1CCC(C(=O)NCCNc2nc(N(C)C)nc(C)[nH+]2)CC1 ZINC001094098539 775429713 /nfs/dbraw/zinc/42/97/13/775429713.db2.gz HIGOQSGMVQJSPD-UHFFFAOYSA-N 1 2 318.425 1.521 20 30 DDEDLO N#Cc1cnc(NCCNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)c(F)c1 ZINC001094220111 775542193 /nfs/dbraw/zinc/54/21/93/775542193.db2.gz GPSVAEZQBVNFNF-GHMZBOCLSA-N 1 2 314.324 1.147 20 30 DDEDLO N#Cc1cnc(NCCNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)c(F)c1 ZINC001094220111 775542198 /nfs/dbraw/zinc/54/21/98/775542198.db2.gz GPSVAEZQBVNFNF-GHMZBOCLSA-N 1 2 314.324 1.147 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H]3CC=CCC3)nn2)C1 ZINC001094274412 775622432 /nfs/dbraw/zinc/62/24/32/775622432.db2.gz LTFMNMPBOCWIEU-AWEZNQCLSA-N 1 2 315.421 1.683 20 30 DDEDLO Cc1cc(N(C)CCNC(=O)C#CC2CC2)nc(C(C)C)[nH+]1 ZINC001100060937 775634267 /nfs/dbraw/zinc/63/42/67/775634267.db2.gz BHGGGVVZHVASFI-UHFFFAOYSA-N 1 2 300.406 1.874 20 30 DDEDLO N#Cc1nc(NCCCNC(=O)Cc2[nH]cc[nH+]2)sc1Cl ZINC001094551119 776062046 /nfs/dbraw/zinc/06/20/46/776062046.db2.gz SXBUOEVJFDFPGF-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)[C@H]2CCn3cc[nH+]c3C2)cn1 ZINC001094655819 776175189 /nfs/dbraw/zinc/17/51/89/776175189.db2.gz AXLPLONILDZFJA-ZDUSSCGKSA-N 1 2 324.388 1.331 20 30 DDEDLO N#Cc1c(F)cccc1NCCCNC(=O)CCn1cc[nH+]c1 ZINC001094692374 776202014 /nfs/dbraw/zinc/20/20/14/776202014.db2.gz IGQUPEOMGQSVTR-UHFFFAOYSA-N 1 2 315.352 1.902 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3CC3(C)C)CC2=O)C1 ZINC001094726487 776229290 /nfs/dbraw/zinc/22/92/90/776229290.db2.gz QRQFHVPQJZYXFW-JSGCOSHPSA-N 1 2 305.422 1.010 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094755777 776250071 /nfs/dbraw/zinc/25/00/71/776250071.db2.gz FTRFECUOZGNGNF-INIZCTEOSA-N 1 2 316.409 1.884 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3(C)CC(=C)C3)CC2=O)C1 ZINC001094781883 776272736 /nfs/dbraw/zinc/27/27/36/776272736.db2.gz CDOIXLMRJRKVLR-AWEZNQCLSA-N 1 2 317.433 1.320 20 30 DDEDLO N#Cc1c(F)cccc1NCCCNC(=O)Cc1c[nH]c[nH+]1 ZINC001094808312 776307667 /nfs/dbraw/zinc/30/76/67/776307667.db2.gz KAYVMBMSPFKTTL-UHFFFAOYSA-N 1 2 301.325 1.581 20 30 DDEDLO COC(=O)[C@@H](Cc1ccc(C#N)cc1)[NH2+]C1C[C@H]2CC[C@@H](C1)O2 ZINC001172226250 776712010 /nfs/dbraw/zinc/71/20/10/776712010.db2.gz RPUWXPZQBXBPKQ-NPYXIHBLSA-N 1 2 314.385 1.942 20 30 DDEDLO N#Cc1cnccc1N1CC[C@@H](CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001100966540 776772358 /nfs/dbraw/zinc/77/23/58/776772358.db2.gz DLRNWYQJYOHNQE-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(C2CCC(C)(C#N)CC2)cn1 ZINC001173591970 777272248 /nfs/dbraw/zinc/27/22/48/777272248.db2.gz AQXMYZWNVVPPMT-BBBYJDLNSA-N 1 2 304.394 1.961 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C/c1ccco1)c1nccn12 ZINC001101603071 777293891 /nfs/dbraw/zinc/29/38/91/777293891.db2.gz XJWJLKWZVHLJCB-LLYBFZRZSA-N 1 2 324.384 1.947 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CCNc1cccc(F)c1C#N ZINC001101718238 777430433 /nfs/dbraw/zinc/43/04/33/777430433.db2.gz NZYWXMHYGVIKQJ-UHFFFAOYSA-N 1 2 315.352 1.842 20 30 DDEDLO C#Cc1cc(Nc2ccc(OCC[NH+]3CCOCC3)cc2)ccn1 ZINC001174430454 777476345 /nfs/dbraw/zinc/47/63/45/777476345.db2.gz YKUKACSKMLSJJA-UHFFFAOYSA-N 1 2 323.396 1.939 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@H]2CN(C(=O)OC(C)(C)C)C[C@@]2(C)C1 ZINC001175984624 777985391 /nfs/dbraw/zinc/98/53/91/777985391.db2.gz WIANLCMXAIJMLS-OGHNNQOOSA-N 1 2 324.421 1.903 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@H]2CN(C(=O)OC(C)(C)C)C[C@@]2(C)C1 ZINC001175984624 777985396 /nfs/dbraw/zinc/98/53/96/777985396.db2.gz WIANLCMXAIJMLS-OGHNNQOOSA-N 1 2 324.421 1.903 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C(CC)CC)C[C@@H]21 ZINC001176923538 778311035 /nfs/dbraw/zinc/31/10/35/778311035.db2.gz HDZUHSUTVZIQLV-IRXDYDNUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(CC)CC)C[C@@H]21 ZINC001176923538 778311040 /nfs/dbraw/zinc/31/10/40/778311040.db2.gz HDZUHSUTVZIQLV-IRXDYDNUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102705011 778332629 /nfs/dbraw/zinc/33/26/29/778332629.db2.gz VOYBEIUJAJDGLG-XJKSGUPXSA-N 1 2 304.394 1.149 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC(C)C)C[C@@H]21 ZINC001176913840 778338456 /nfs/dbraw/zinc/33/84/56/778338456.db2.gz YVJWTXQVQSAFEY-IRXDYDNUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC(C)C)C[C@@H]21 ZINC001176913840 778338462 /nfs/dbraw/zinc/33/84/62/778338462.db2.gz YVJWTXQVQSAFEY-IRXDYDNUSA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)COCCCC)C[C@@H]21 ZINC001176976800 778361520 /nfs/dbraw/zinc/36/15/20/778361520.db2.gz LSCNHCNVNVCZBX-JKSUJKDBSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)COCCCC)C[C@@H]21 ZINC001176976800 778361523 /nfs/dbraw/zinc/36/15/23/778361523.db2.gz LSCNHCNVNVCZBX-JKSUJKDBSA-N 1 2 308.422 1.128 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C/CC)C[C@@H]21 ZINC001176944626 778372858 /nfs/dbraw/zinc/37/28/58/778372858.db2.gz ZYUAVCANOMDERG-RMBMISDLSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C(C)=C/CC)C[C@@H]21 ZINC001176944626 778372863 /nfs/dbraw/zinc/37/28/63/778372863.db2.gz ZYUAVCANOMDERG-RMBMISDLSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1C ZINC001102770814 778385104 /nfs/dbraw/zinc/38/51/04/778385104.db2.gz QVSXZYIKNPVVIS-CHWSQXEVSA-N 1 2 324.450 1.315 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1C ZINC001102770814 778385112 /nfs/dbraw/zinc/38/51/12/778385112.db2.gz QVSXZYIKNPVVIS-CHWSQXEVSA-N 1 2 324.450 1.315 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CCCF)[C@@H]3C2)CCC1 ZINC001177030870 778400319 /nfs/dbraw/zinc/40/03/19/778400319.db2.gz QJASYSNFNGUIOL-HZPDHXFCSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]3OCC[N@H+](CCCF)[C@@H]3C2)CCC1 ZINC001177030870 778400321 /nfs/dbraw/zinc/40/03/21/778400321.db2.gz QJASYSNFNGUIOL-HZPDHXFCSA-N 1 2 322.424 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cn(C)nn1)C2 ZINC001110210068 778641866 /nfs/dbraw/zinc/64/18/66/778641866.db2.gz SCYFYHQPACWVDZ-RDBSUJKOSA-N 1 2 323.828 1.222 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cn(C)nn1)C2 ZINC001110210068 778641871 /nfs/dbraw/zinc/64/18/71/778641871.db2.gz SCYFYHQPACWVDZ-RDBSUJKOSA-N 1 2 323.828 1.222 20 30 DDEDLO CC[C@@H](CNC(=O)Cn1cc[nH+]c1)Nc1nc(C)ccc1C#N ZINC001103116993 778648751 /nfs/dbraw/zinc/64/87/51/778648751.db2.gz BDLDLGRRKKDDNU-AWEZNQCLSA-N 1 2 312.377 1.465 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(c3ccncn3)C2)CC1 ZINC001178128332 778917988 /nfs/dbraw/zinc/91/79/88/778917988.db2.gz BQJNAEDVHIFGLY-HNNXBMFYSA-N 1 2 315.421 1.023 20 30 DDEDLO CCc1nc(C[NH2+]CC[C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)no1 ZINC001104005309 779239145 /nfs/dbraw/zinc/23/91/45/779239145.db2.gz ZKWNDXQTPLBMEL-JHJVBQTASA-N 1 2 319.409 1.366 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnns1)C2 ZINC001111650516 779417800 /nfs/dbraw/zinc/41/78/00/779417800.db2.gz VILKUHQECYBLGS-WFASDCNBSA-N 1 2 306.435 1.975 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnns1)C2 ZINC001111650516 779417802 /nfs/dbraw/zinc/41/78/02/779417802.db2.gz VILKUHQECYBLGS-WFASDCNBSA-N 1 2 306.435 1.975 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C[C@@H]2OC(=O)c3ccccc32)CC1 ZINC001180182159 779639577 /nfs/dbraw/zinc/63/95/77/779639577.db2.gz VBSRZFNAFSRXNC-HNNXBMFYSA-N 1 2 300.358 1.618 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@](CO)(Nc2cc[nH+]c(C)n2)C1 ZINC001112232699 779658632 /nfs/dbraw/zinc/65/86/32/779658632.db2.gz DMAMOQQBFJTJOF-QGZVFWFLSA-N 1 2 318.421 1.907 20 30 DDEDLO C=CCSCC(=O)N1CC[NH+](CCc2ccncc2)CC1 ZINC001180558983 779832405 /nfs/dbraw/zinc/83/24/05/779832405.db2.gz BSBZZHDJUBOONX-UHFFFAOYSA-N 1 2 305.447 1.688 20 30 DDEDLO C[C@H](CCCNc1nccnc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115088880 779868897 /nfs/dbraw/zinc/86/88/97/779868897.db2.gz OERISBQAYZNURV-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001115331982 780045448 /nfs/dbraw/zinc/04/54/48/780045448.db2.gz HPOMNDBSKALPJX-RMRHIDDWSA-N 1 2 305.378 1.121 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001115331982 780045457 /nfs/dbraw/zinc/04/54/57/780045457.db2.gz HPOMNDBSKALPJX-RMRHIDDWSA-N 1 2 305.378 1.121 20 30 DDEDLO Cc1nc(NC[C@H](C2CC2)N(C)C(=O)c2cc(C#N)c[nH]2)cc[nH+]1 ZINC001115496060 780188554 /nfs/dbraw/zinc/18/85/54/780188554.db2.gz XVAUHVYMJYILAH-OAHLLOKOSA-N 1 2 324.388 1.948 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001115544246 780226926 /nfs/dbraw/zinc/22/69/26/780226926.db2.gz MTZOGAMIXSRTLF-SWLSCSKDSA-N 1 2 316.405 1.472 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+]2CCn3cnnc3[C@@H]2C)cc1 ZINC001119767288 781600564 /nfs/dbraw/zinc/60/05/64/781600564.db2.gz SLHIBVNATLPPFL-ZDUSSCGKSA-N 1 2 309.373 1.665 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+]2CCn3cnnc3[C@@H]2C)cc1 ZINC001119767288 781600569 /nfs/dbraw/zinc/60/05/69/781600569.db2.gz SLHIBVNATLPPFL-ZDUSSCGKSA-N 1 2 309.373 1.665 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)c2cc[nH]c2C(C)C)CC1 ZINC001266290295 836061256 /nfs/dbraw/zinc/06/12/56/836061256.db2.gz UGGPDFGYWDZJNY-UHFFFAOYSA-N 1 2 316.449 1.509 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001266321638 836117268 /nfs/dbraw/zinc/11/72/68/836117268.db2.gz SSTVPAOAGBDHCZ-HNNXBMFYSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC2CCC2)C1 ZINC001266321638 836117271 /nfs/dbraw/zinc/11/72/71/836117271.db2.gz SSTVPAOAGBDHCZ-HNNXBMFYSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCCC(=O)N(CCC)C1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001266384501 836193397 /nfs/dbraw/zinc/19/33/97/836193397.db2.gz KUFWOUSKJPXSAP-UHFFFAOYSA-N 1 2 319.453 1.984 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]12C[C@@H]1CCCC2 ZINC001266494017 836344995 /nfs/dbraw/zinc/34/49/95/836344995.db2.gz ILIYAULCLYTBSC-BMFAXAFESA-N 1 2 316.445 1.892 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]12C[C@@H]1CCCC2 ZINC001266494017 836345003 /nfs/dbraw/zinc/34/50/03/836345003.db2.gz ILIYAULCLYTBSC-BMFAXAFESA-N 1 2 316.445 1.892 20 30 DDEDLO CC[C@H](C)CC(=O)NC[C@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001266840671 836911084 /nfs/dbraw/zinc/91/10/84/836911084.db2.gz YYUZUAHKMWAQGY-UONOGXRCSA-N 1 2 308.426 1.033 20 30 DDEDLO CC[C@H](C)CC(=O)NC[C@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001266840671 836911088 /nfs/dbraw/zinc/91/10/88/836911088.db2.gz YYUZUAHKMWAQGY-UONOGXRCSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001267104826 837353864 /nfs/dbraw/zinc/35/38/64/837353864.db2.gz FUIPSUCJSDTYAT-WBVHZDCISA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001267104826 837353872 /nfs/dbraw/zinc/35/38/72/837353872.db2.gz FUIPSUCJSDTYAT-WBVHZDCISA-N 1 2 321.421 1.733 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CC(=O)NC)CCC1 ZINC001267423994 838020658 /nfs/dbraw/zinc/02/06/58/838020658.db2.gz ZMIHGAODZCYPBR-OKILXGFUSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CC(=O)NC)CCC1 ZINC001267423994 838020670 /nfs/dbraw/zinc/02/06/70/838020670.db2.gz ZMIHGAODZCYPBR-OKILXGFUSA-N 1 2 305.422 1.154 20 30 DDEDLO CCCN(C(=O)Cc1c[nH+]ccc1OC)[C@@H]1CCN(CC#N)C1 ZINC001267466419 838113587 /nfs/dbraw/zinc/11/35/87/838113587.db2.gz OZBRPUJFRUOHNP-OAHLLOKOSA-N 1 2 316.405 1.469 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H](C[N@@H+](C)Cc2nncs2)C1 ZINC001267596908 838394945 /nfs/dbraw/zinc/39/49/45/838394945.db2.gz UFVKOKSQQMRBNZ-ZDUSSCGKSA-N 1 2 324.450 1.411 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H](C[N@H+](C)Cc2nncs2)C1 ZINC001267596908 838394953 /nfs/dbraw/zinc/39/49/53/838394953.db2.gz UFVKOKSQQMRBNZ-ZDUSSCGKSA-N 1 2 324.450 1.411 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@H]2CC=CCC2)C1 ZINC001267629034 838528122 /nfs/dbraw/zinc/52/81/22/838528122.db2.gz JQERVUXHJHGCSY-HOTGVXAUSA-N 1 2 317.433 1.063 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@H]2CC=CCC2)C1 ZINC001267629034 838528127 /nfs/dbraw/zinc/52/81/27/838528127.db2.gz JQERVUXHJHGCSY-HOTGVXAUSA-N 1 2 317.433 1.063 20 30 DDEDLO CN(C(=O)C#CC1CC1)[C@H]1CC[N@H+](Cc2cc3n(n2)CCC3)C1 ZINC001267763651 838898658 /nfs/dbraw/zinc/89/86/58/838898658.db2.gz ZIDPSDFMRKSLRG-KRWDZBQOSA-N 1 2 312.417 1.275 20 30 DDEDLO CN(C(=O)C#CC1CC1)[C@H]1CC[N@@H+](Cc2cc3n(n2)CCC3)C1 ZINC001267763651 838898671 /nfs/dbraw/zinc/89/86/71/838898671.db2.gz ZIDPSDFMRKSLRG-KRWDZBQOSA-N 1 2 312.417 1.275 20 30 DDEDLO CCCC[C@@H](C)C(=O)N(CC)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267784290 838970100 /nfs/dbraw/zinc/97/01/00/838970100.db2.gz OIEDVVHMPBUGAL-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO CCCC[C@@H](C)C(=O)N(CC)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267784290 838970113 /nfs/dbraw/zinc/97/01/13/838970113.db2.gz OIEDVVHMPBUGAL-CABCVRRESA-N 1 2 322.453 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)CCCCC(N)=O)C1 ZINC001267790486 838985091 /nfs/dbraw/zinc/98/50/91/838985091.db2.gz POBJQDZZIZIXNY-CYBMUJFWSA-N 1 2 315.845 1.707 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)CCCCC(N)=O)C1 ZINC001267790486 838985096 /nfs/dbraw/zinc/98/50/96/838985096.db2.gz POBJQDZZIZIXNY-CYBMUJFWSA-N 1 2 315.845 1.707 20 30 DDEDLO C=CCCOCC(=O)N(CC)[C@H]1CC[N@H+](Cc2ncc(C)o2)C1 ZINC001267794664 838990933 /nfs/dbraw/zinc/99/09/33/838990933.db2.gz QKOKELBJGIZDNU-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCOCC(=O)N(CC)[C@H]1CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001267794664 838990941 /nfs/dbraw/zinc/99/09/41/838990941.db2.gz QKOKELBJGIZDNU-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO CCC[C@@H]1CCC[C@H]1C(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001272055672 844474440 /nfs/dbraw/zinc/47/44/40/844474440.db2.gz UXAFHSJCPOBTMO-HUUCEWRRSA-N 1 2 322.453 1.233 20 30 DDEDLO CCC[C@@H]1CCC[C@H]1C(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001272055672 844474443 /nfs/dbraw/zinc/47/44/43/844474443.db2.gz UXAFHSJCPOBTMO-HUUCEWRRSA-N 1 2 322.453 1.233 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@@H+](Cc2cnnn2CC)C1 ZINC001268194779 839823423 /nfs/dbraw/zinc/82/34/23/839823423.db2.gz WXQHLGNAXQKJEE-AWEZNQCLSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@H+](Cc2cnnn2CC)C1 ZINC001268194779 839823429 /nfs/dbraw/zinc/82/34/29/839823429.db2.gz WXQHLGNAXQKJEE-AWEZNQCLSA-N 1 2 319.453 1.981 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)CC[N@H+](C)Cc1nc(C)cs1 ZINC001268237814 839875488 /nfs/dbraw/zinc/87/54/88/839875488.db2.gz PTOVJTRDRUFKET-CYBMUJFWSA-N 1 2 309.435 1.380 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)CC[N@@H+](C)Cc1nc(C)cs1 ZINC001268237814 839875494 /nfs/dbraw/zinc/87/54/94/839875494.db2.gz PTOVJTRDRUFKET-CYBMUJFWSA-N 1 2 309.435 1.380 20 30 DDEDLO CC#CC[N@H+](C)CCN(C)C(=O)c1n[nH]c(C(F)(F)F)c1C ZINC001268252384 839898283 /nfs/dbraw/zinc/89/82/83/839898283.db2.gz BOGRXAAWVPTXTJ-UHFFFAOYSA-N 1 2 316.327 1.764 20 30 DDEDLO CC#CC[N@@H+](C)CCN(C)C(=O)c1n[nH]c(C(F)(F)F)c1C ZINC001268252384 839898289 /nfs/dbraw/zinc/89/82/89/839898289.db2.gz BOGRXAAWVPTXTJ-UHFFFAOYSA-N 1 2 316.327 1.764 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)C[NH2+]Cc2nc(CC)no2)CCC1 ZINC001268703164 840690913 /nfs/dbraw/zinc/69/09/13/840690913.db2.gz KBVDNKHRNYFJTC-LBPRGKRZSA-N 1 2 306.410 1.973 20 30 DDEDLO CCCN(CCC)C(=O)C[NH+]1CC(CNC(=O)C#CC2CC2)C1 ZINC001268824273 840870367 /nfs/dbraw/zinc/87/03/67/840870367.db2.gz AZILHIASPSSGFP-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO CC[C@H](C)C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001272094314 844594272 /nfs/dbraw/zinc/59/42/72/844594272.db2.gz IWAJDSIIAZSTEL-ZDUSSCGKSA-N 1 2 300.406 1.587 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](C)C(=O)NC(C)(C)C ZINC001268960259 841057789 /nfs/dbraw/zinc/05/77/89/841057789.db2.gz KLBCCFXDOSGWES-RDBSUJKOSA-N 1 2 321.465 1.787 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](C)C(=O)NC(C)(C)C ZINC001268960259 841057800 /nfs/dbraw/zinc/05/78/00/841057800.db2.gz KLBCCFXDOSGWES-RDBSUJKOSA-N 1 2 321.465 1.787 20 30 DDEDLO C=CC[C@H](C)C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001268968202 841071283 /nfs/dbraw/zinc/07/12/83/841071283.db2.gz LTRDHLMHSDIJIX-AWEZNQCLSA-N 1 2 312.417 1.753 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC2(C1)CC[N@@H+](COCCOC)C2 ZINC001269002364 841102456 /nfs/dbraw/zinc/10/24/56/841102456.db2.gz XSTXYUPTPGKTDX-UHFFFAOYSA-N 1 2 318.364 1.353 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC2(C1)CC[N@H+](COCCOC)C2 ZINC001269002364 841102460 /nfs/dbraw/zinc/10/24/60/841102460.db2.gz XSTXYUPTPGKTDX-UHFFFAOYSA-N 1 2 318.364 1.353 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CC[N@H+](C)Cc1cc(C)n(C)n1 ZINC001272110775 844621676 /nfs/dbraw/zinc/62/16/76/844621676.db2.gz GBIHKWDOMXMZAC-CVEARBPZSA-N 1 2 320.437 1.210 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CC[N@@H+](C)Cc1cc(C)n(C)n1 ZINC001272110775 844621682 /nfs/dbraw/zinc/62/16/82/844621682.db2.gz GBIHKWDOMXMZAC-CVEARBPZSA-N 1 2 320.437 1.210 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H](C)n1cnc2ccccc21 ZINC001269327061 841521775 /nfs/dbraw/zinc/52/17/75/841521775.db2.gz ROJNRUKRMDVRNX-HUUCEWRRSA-N 1 2 310.401 1.811 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H](C)n1cnc2ccccc21 ZINC001269327061 841521786 /nfs/dbraw/zinc/52/17/86/841521786.db2.gz ROJNRUKRMDVRNX-HUUCEWRRSA-N 1 2 310.401 1.811 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(CC)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269345699 841550128 /nfs/dbraw/zinc/55/01/28/841550128.db2.gz UMOSKRPQMZFGPA-GJZGRUSLSA-N 1 2 320.437 1.151 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(CC)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269345699 841550135 /nfs/dbraw/zinc/55/01/35/841550135.db2.gz UMOSKRPQMZFGPA-GJZGRUSLSA-N 1 2 320.437 1.151 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)CCc1c[nH]c[nH+]1 ZINC001269661214 841911396 /nfs/dbraw/zinc/91/13/96/841911396.db2.gz IYAJGXFPAZZIEL-ZIAGYGMSSA-N 1 2 302.378 1.120 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)CCc1c[nH+]c[nH]1 ZINC001269661214 841911409 /nfs/dbraw/zinc/91/14/09/841911409.db2.gz IYAJGXFPAZZIEL-ZIAGYGMSSA-N 1 2 302.378 1.120 20 30 DDEDLO CN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](CCOCC2CC2)C1 ZINC001269768315 842027556 /nfs/dbraw/zinc/02/75/56/842027556.db2.gz HLXZSHJYRCLFGQ-UHFFFAOYSA-N 1 2 302.378 1.069 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C1C[NH+](C[C@@H](O)c2ccccc2)C1 ZINC001269780729 842040982 /nfs/dbraw/zinc/04/09/82/842040982.db2.gz LCPBCGOOUUAGRF-AEFFLSMTSA-N 1 2 318.417 1.190 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(CC)nn1 ZINC001154606010 861271341 /nfs/dbraw/zinc/27/13/41/861271341.db2.gz WPEOFWDPQNPOLT-CYBMUJFWSA-N 1 2 307.442 1.837 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(CC)nn1 ZINC001154606010 861271355 /nfs/dbraw/zinc/27/13/55/861271355.db2.gz WPEOFWDPQNPOLT-CYBMUJFWSA-N 1 2 307.442 1.837 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@](C)(NC(=O)c2cccs2)C1 ZINC001270528566 842643364 /nfs/dbraw/zinc/64/33/64/842643364.db2.gz DRNYKLXYTDAOFL-LRDDRELGSA-N 1 2 319.430 1.080 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@](C)(NC(=O)c2cccs2)C1 ZINC001270528566 842643369 /nfs/dbraw/zinc/64/33/69/842643369.db2.gz DRNYKLXYTDAOFL-LRDDRELGSA-N 1 2 319.430 1.080 20 30 DDEDLO C=CCCC(=O)N[C@]1(C)CC[N@H+](CC(=O)NCc2ccco2)C1 ZINC001270557690 842669720 /nfs/dbraw/zinc/66/97/20/842669720.db2.gz MZXPGDHQZVOYTH-QGZVFWFLSA-N 1 2 319.405 1.443 20 30 DDEDLO C=CCCC(=O)N[C@]1(C)CC[N@@H+](CC(=O)NCc2ccco2)C1 ZINC001270557690 842669723 /nfs/dbraw/zinc/66/97/23/842669723.db2.gz MZXPGDHQZVOYTH-QGZVFWFLSA-N 1 2 319.405 1.443 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001270665473 842796485 /nfs/dbraw/zinc/79/64/85/842796485.db2.gz MEDHATOCHGZXJT-NEPJUHHUSA-N 1 2 308.344 1.968 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001270665473 842796492 /nfs/dbraw/zinc/79/64/92/842796492.db2.gz MEDHATOCHGZXJT-NEPJUHHUSA-N 1 2 308.344 1.968 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)C4(C#N)CCC4)C3)C2)cc1C#N ZINC001271111666 843223974 /nfs/dbraw/zinc/22/39/74/843223974.db2.gz GHHWWMYMWHZYPO-UHFFFAOYSA-N 1 2 323.400 1.235 20 30 DDEDLO N#Cc1ccc(F)c(CN2CC[C@H]([NH+]3CCOCC3)C2)c1F ZINC001143172672 861397136 /nfs/dbraw/zinc/39/71/36/861397136.db2.gz BGIYFISKULWAHQ-ZDUSSCGKSA-N 1 2 307.344 1.743 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@H](N3CCOCC3)C2)c1F ZINC001143172672 861397150 /nfs/dbraw/zinc/39/71/50/861397150.db2.gz BGIYFISKULWAHQ-ZDUSSCGKSA-N 1 2 307.344 1.743 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@H](N3CCOCC3)C2)c1F ZINC001143172672 861397162 /nfs/dbraw/zinc/39/71/62/861397162.db2.gz BGIYFISKULWAHQ-ZDUSSCGKSA-N 1 2 307.344 1.743 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001154751411 861424452 /nfs/dbraw/zinc/42/44/52/861424452.db2.gz VWPXUHWCPTWENG-ZIAGYGMSSA-N 1 2 307.442 1.744 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001154751411 861424457 /nfs/dbraw/zinc/42/44/57/861424457.db2.gz VWPXUHWCPTWENG-ZIAGYGMSSA-N 1 2 307.442 1.744 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CO[C@H]2CCCC[C@H]2C)C1 ZINC001271362159 843524592 /nfs/dbraw/zinc/52/45/92/843524592.db2.gz ZXQGSUSZMVIKMJ-CABCVRRESA-N 1 2 310.438 1.321 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1COCC[N@@H+]1CCC[C@H]1CCOC1 ZINC001326631197 861493981 /nfs/dbraw/zinc/49/39/81/861493981.db2.gz BIPWKQHGAQQTMZ-DLBZAZTESA-N 1 2 322.449 1.280 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1COCC[N@H+]1CCC[C@H]1CCOC1 ZINC001326631197 861493990 /nfs/dbraw/zinc/49/39/90/861493990.db2.gz BIPWKQHGAQQTMZ-DLBZAZTESA-N 1 2 322.449 1.280 20 30 DDEDLO N#CCCCN1CC2(C[NH+](Cc3ccccc3O)C2)OCC1=O ZINC001272618301 846415470 /nfs/dbraw/zinc/41/54/70/846415470.db2.gz FLRKXDJUHVXECI-UHFFFAOYSA-N 1 2 315.373 1.109 20 30 DDEDLO C#CC[NH+]1CCN(Cc2c(C)cncc2Br)CC1 ZINC001235640633 846446861 /nfs/dbraw/zinc/44/68/61/846446861.db2.gz CMADBRLQJVTOPK-UHFFFAOYSA-N 1 2 308.223 1.903 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(F)c(C)c1)C2 ZINC001272687026 846619544 /nfs/dbraw/zinc/61/95/44/846619544.db2.gz STCXHUIFJXPLOH-UHFFFAOYSA-N 1 2 304.365 1.733 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@H+](Cc3cc(C)on3)C2)OCC1=O ZINC001272772667 847406607 /nfs/dbraw/zinc/40/66/07/847406607.db2.gz LZVQJLHYEJXHIO-MRXNPFEDSA-N 1 2 305.378 1.362 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@@H+](Cc3cc(C)on3)C2)OCC1=O ZINC001272772667 847406610 /nfs/dbraw/zinc/40/66/10/847406610.db2.gz LZVQJLHYEJXHIO-MRXNPFEDSA-N 1 2 305.378 1.362 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1COCC[N@@H+]1CC[C@@H]1CCCCO1 ZINC001272876637 847579155 /nfs/dbraw/zinc/57/91/55/847579155.db2.gz IZCYYIAAGAYCJP-HOTGVXAUSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1COCC[N@H+]1CC[C@@H]1CCCCO1 ZINC001272876637 847579162 /nfs/dbraw/zinc/57/91/62/847579162.db2.gz IZCYYIAAGAYCJP-HOTGVXAUSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)C#CC(C)C)C2)CC1 ZINC001327082141 861862136 /nfs/dbraw/zinc/86/21/36/861862136.db2.gz KYQSQOIBCYQDSC-INIZCTEOSA-N 1 2 323.868 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2cnn(CC)n2)C1 ZINC001034198781 848106139 /nfs/dbraw/zinc/10/61/39/848106139.db2.gz NYKBXOCPUDOMKL-GFCCVEGCSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2cnn(CC)n2)C1 ZINC001034198781 848106142 /nfs/dbraw/zinc/10/61/42/848106142.db2.gz NYKBXOCPUDOMKL-GFCCVEGCSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCCC[N@@H+](Cc3cn(C)nn3)C2)C1 ZINC001034389351 848447944 /nfs/dbraw/zinc/44/79/44/848447944.db2.gz PSGBNHGTPFGEFZ-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CCCC[N@H+](Cc3cn(C)nn3)C2)C1 ZINC001034389351 848447950 /nfs/dbraw/zinc/44/79/50/848447950.db2.gz PSGBNHGTPFGEFZ-CQSZACIVSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CCC3(C[NH+](CCOCC)C3)O2)C1 ZINC001327364464 862105648 /nfs/dbraw/zinc/10/56/48/862105648.db2.gz MZHRKQUIYMUHHC-HNNXBMFYSA-N 1 2 322.449 1.729 20 30 DDEDLO C#CCN1CC2(C[NH+](CCCc3ccc(F)cc3)C2)OCC1=O ZINC001273432544 850299394 /nfs/dbraw/zinc/29/93/94/850299394.db2.gz VOGNUQIQQNVOFR-UHFFFAOYSA-N 1 2 316.376 1.305 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1COC2(C[NH+](CCOCC3CC3)C2)C1 ZINC001273704860 851246116 /nfs/dbraw/zinc/24/61/16/851246116.db2.gz PMJOEQQTAFJPEJ-MRXNPFEDSA-N 1 2 322.449 1.729 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3cccc(C)c3O)C2)OCC1=O ZINC001273770566 851324597 /nfs/dbraw/zinc/32/45/97/851324597.db2.gz TYZWECMJQWDNAQ-UHFFFAOYSA-N 1 2 302.374 1.300 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCC[N@H+]2[C@@H](C)C(=O)OCC ZINC001273912160 851499501 /nfs/dbraw/zinc/49/95/01/851499501.db2.gz BOVYAZMOOHNICG-WMLDXEAASA-N 1 2 308.422 1.971 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCC[N@@H+]2[C@@H](C)C(=O)OCC ZINC001273912160 851499507 /nfs/dbraw/zinc/49/95/07/851499507.db2.gz BOVYAZMOOHNICG-WMLDXEAASA-N 1 2 308.422 1.971 20 30 DDEDLO CCCc1nnc(C[NH2+]C/C=C\CNC(=O)[C@H](C)C#N)s1 ZINC001273912230 851499821 /nfs/dbraw/zinc/49/98/21/851499821.db2.gz XBKSJMUWVOAZDW-DOGVGXBMSA-N 1 2 307.423 1.412 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@]2(C)CCOC2)C1 ZINC001150498549 862278675 /nfs/dbraw/zinc/27/86/75/862278675.db2.gz PKVYZBXRLWKYJX-ZFWWWQNUSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@]2(C)CCOC2)C1 ZINC001150498549 862278689 /nfs/dbraw/zinc/27/86/89/862278689.db2.gz PKVYZBXRLWKYJX-ZFWWWQNUSA-N 1 2 316.829 1.373 20 30 DDEDLO C=CCCC(=O)N1C[C@H]([NH2+]Cc2nc(N(C)C)no2)[C@@H](C)C1 ZINC001274179002 852008325 /nfs/dbraw/zinc/00/83/25/852008325.db2.gz FHDZBUIPPZMMHF-RYUDHWBXSA-N 1 2 307.398 1.038 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1cn[nH]c1CC ZINC001274381920 852215871 /nfs/dbraw/zinc/21/58/71/852215871.db2.gz VGIXLTRKZBYFMA-QGZVFWFLSA-N 1 2 300.406 1.562 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1cn[nH]c1CC ZINC001274381920 852215880 /nfs/dbraw/zinc/21/58/80/852215880.db2.gz VGIXLTRKZBYFMA-QGZVFWFLSA-N 1 2 300.406 1.562 20 30 DDEDLO COC(=O)c1cn2c(n1)CN(c1[nH+]ccc3[nH]cc(C#N)c31)CC2 ZINC001155660413 862352716 /nfs/dbraw/zinc/35/27/16/862352716.db2.gz VMSFWLCPCPCKMF-UHFFFAOYSA-N 1 2 322.328 1.438 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1C[C@]2(F)CN(CC(F)F)C(=O)[C@]2(F)C1 ZINC001274626104 852457935 /nfs/dbraw/zinc/45/79/35/852457935.db2.gz GFEQABPTQBCGHJ-DDTOSNHZSA-N 1 2 324.318 1.153 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1C[C@]2(F)CN(CC(F)F)C(=O)[C@]2(F)C1 ZINC001274626104 852457940 /nfs/dbraw/zinc/45/79/40/852457940.db2.gz GFEQABPTQBCGHJ-DDTOSNHZSA-N 1 2 324.318 1.153 20 30 DDEDLO C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](C)[NH2+]Cc1nnc(C2CC2)o1 ZINC001275395686 853062888 /nfs/dbraw/zinc/06/28/88/853062888.db2.gz WNFOWNSQYTTWEU-VXGBXAGGSA-N 1 2 318.421 1.979 20 30 DDEDLO N#CC1(C(=O)NCC2([NH+]3CCOCC3)CCOCC2)CCCC1 ZINC001411430620 853102280 /nfs/dbraw/zinc/10/22/80/853102280.db2.gz FFJUNJKITUWORB-UHFFFAOYSA-N 1 2 321.421 1.068 20 30 DDEDLO N#CCCN(CCC#N)C(=O)c1cc(-n2cc[nH+]c2)ccc1O ZINC001411666781 853549529 /nfs/dbraw/zinc/54/95/29/853549529.db2.gz RMMWVUYYUCPNKB-UHFFFAOYSA-N 1 2 309.329 1.847 20 30 DDEDLO CN(C)[C@@H](C(=O)Nc1cccc(OCC#N)c1)c1c[nH+]cn1C ZINC001412430233 854540984 /nfs/dbraw/zinc/54/09/84/854540984.db2.gz MVKPKQUGPWLFJZ-OAHLLOKOSA-N 1 2 313.361 1.564 20 30 DDEDLO N#Cc1ccccc1OCC[NH+]1CCN(Cc2ccno2)CC1 ZINC001413052585 856190530 /nfs/dbraw/zinc/19/05/30/856190530.db2.gz ZGXJDYQTUQFNBG-UHFFFAOYSA-N 1 2 312.373 1.743 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NCCC[NH2+]CC(F)(F)C(F)F)c1 ZINC001156287468 862908993 /nfs/dbraw/zinc/90/89/93/862908993.db2.gz MAFKXVFTPRNTGI-UHFFFAOYSA-N 1 2 306.263 1.496 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001072551537 857434800 /nfs/dbraw/zinc/43/48/00/857434800.db2.gz GUXLXTCVKJRPNO-VXGBXAGGSA-N 1 2 317.393 1.387 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC001072551537 857434805 /nfs/dbraw/zinc/43/48/05/857434805.db2.gz GUXLXTCVKJRPNO-VXGBXAGGSA-N 1 2 317.393 1.387 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)s1 ZINC001072552736 857436962 /nfs/dbraw/zinc/43/69/62/857436962.db2.gz SCROBCFARWHWRZ-MNOVXSKESA-N 1 2 319.434 1.602 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)s1 ZINC001072552736 857436967 /nfs/dbraw/zinc/43/69/67/857436967.db2.gz SCROBCFARWHWRZ-MNOVXSKESA-N 1 2 319.434 1.602 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001072631606 857535170 /nfs/dbraw/zinc/53/51/70/857535170.db2.gz BNQPMZONPDBSGV-HIFRSBDPSA-N 1 2 318.421 1.282 20 30 DDEDLO COc1cc2c(cc1Cl)ncnc2N1CC[NH2+]C[C@@H]1C#N ZINC001156320086 862932294 /nfs/dbraw/zinc/93/22/94/862932294.db2.gz PZSRVABJXLETSH-VIFPVBQESA-N 1 2 303.753 1.594 20 30 DDEDLO C=C(C)C[NH+]1CCN(CCCCCNC(=O)[C@@H](C)C#N)CC1 ZINC001328500983 863012191 /nfs/dbraw/zinc/01/21/91/863012191.db2.gz OPNSRPIIWGFGTE-INIZCTEOSA-N 1 2 306.454 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cccc(C)n2)C1 ZINC001073529992 858414482 /nfs/dbraw/zinc/41/44/82/858414482.db2.gz FRFAXXBSOZBPDX-CQSZACIVSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cccc(C)n2)C1 ZINC001073529992 858414483 /nfs/dbraw/zinc/41/44/83/858414483.db2.gz FRFAXXBSOZBPDX-CQSZACIVSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H]2CCCOC2)C1 ZINC001073548052 858429505 /nfs/dbraw/zinc/42/95/05/858429505.db2.gz AZBSQYFPBXUDSQ-KBPBESRZSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H]2CCCOC2)C1 ZINC001073548052 858429509 /nfs/dbraw/zinc/42/95/09/858429509.db2.gz AZBSQYFPBXUDSQ-KBPBESRZSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ncccc2C)C1 ZINC001073569564 858447616 /nfs/dbraw/zinc/44/76/16/858447616.db2.gz AUDDFIFLVUTIDN-CQSZACIVSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ncccc2C)C1 ZINC001073569564 858447619 /nfs/dbraw/zinc/44/76/19/858447619.db2.gz AUDDFIFLVUTIDN-CQSZACIVSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cnnc(C)c2)C1 ZINC001073585230 858461593 /nfs/dbraw/zinc/46/15/93/858461593.db2.gz BRRFTQJXPNURSE-CQSZACIVSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cnnc(C)c2)C1 ZINC001073585230 858461598 /nfs/dbraw/zinc/46/15/98/858461598.db2.gz BRRFTQJXPNURSE-CQSZACIVSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N1C[C@H]2CC[C@@H](C1)O2 ZINC001122529547 858868499 /nfs/dbraw/zinc/86/84/99/858868499.db2.gz GUILBTVNIFWEJG-ZNMIVQPWSA-N 1 2 317.437 1.989 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N1C[C@H]2CC[C@@H](C1)O2 ZINC001122529547 858868518 /nfs/dbraw/zinc/86/85/18/858868518.db2.gz GUILBTVNIFWEJG-ZNMIVQPWSA-N 1 2 317.437 1.989 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC(C)C ZINC001122739516 858954322 /nfs/dbraw/zinc/95/43/22/858954322.db2.gz PAHVUECFRCOBFA-JJRVBVJISA-N 1 2 319.453 1.304 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC(C)C ZINC001122739516 858954330 /nfs/dbraw/zinc/95/43/30/858954330.db2.gz PAHVUECFRCOBFA-JJRVBVJISA-N 1 2 319.453 1.304 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2CC[NH+](Cc3nnc(C)[nH]3)CC2)C1 ZINC001224476431 881413077 /nfs/dbraw/zinc/41/30/77/881413077.db2.gz DXMGCYZKVJUPLC-UHFFFAOYSA-N 1 2 317.437 1.798 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[NH2+]Cc1nc(-c2cccs2)no1 ZINC001124902640 859843257 /nfs/dbraw/zinc/84/32/57/859843257.db2.gz LMLJPPRFIKQRCJ-VIFPVBQESA-N 1 2 305.363 1.164 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125179815 859911712 /nfs/dbraw/zinc/91/17/12/859911712.db2.gz IXMGXOXPZHESMB-CQSZACIVSA-N 1 2 306.410 1.397 20 30 DDEDLO Cc1[nH]c(=O)[nH]c(=O)c1C[NH+]1CC(Oc2ccccc2C#N)C1 ZINC001138469282 860111253 /nfs/dbraw/zinc/11/12/53/860111253.db2.gz ZUILJWJRLYVLNE-UHFFFAOYSA-N 1 2 312.329 1.331 20 30 DDEDLO C=CCc1cccc(C[N@@H+]2CCO[C@@H](CC(=O)OC)C2)c1O ZINC001138627655 860148331 /nfs/dbraw/zinc/14/83/31/860148331.db2.gz DPIPOELDCAZDGM-HNNXBMFYSA-N 1 2 305.374 1.885 20 30 DDEDLO C=CCc1cccc(C[N@H+]2CCO[C@@H](CC(=O)OC)C2)c1O ZINC001138627655 860148339 /nfs/dbraw/zinc/14/83/39/860148339.db2.gz DPIPOELDCAZDGM-HNNXBMFYSA-N 1 2 305.374 1.885 20 30 DDEDLO C[N@@H+]1CCC12CN(C(=O)c1cc(C#N)ccc1Br)C2 ZINC001276397696 860312420 /nfs/dbraw/zinc/31/24/20/860312420.db2.gz ISQFNLHOQLCZAB-UHFFFAOYSA-N 1 2 320.190 1.851 20 30 DDEDLO C[N@H+]1CCC12CN(C(=O)c1cc(C#N)ccc1Br)C2 ZINC001276397696 860312434 /nfs/dbraw/zinc/31/24/34/860312434.db2.gz ISQFNLHOQLCZAB-UHFFFAOYSA-N 1 2 320.190 1.851 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)NCc2c[nH+]c(C)cc2C)CC1 ZINC001325950492 860985464 /nfs/dbraw/zinc/98/54/64/860985464.db2.gz PLVOOJLLZMNELR-UHFFFAOYSA-N 1 2 301.390 1.739 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(CC(C)C)no1 ZINC001153135108 863729491 /nfs/dbraw/zinc/72/94/91/863729491.db2.gz NJVUOHZAYQGFJM-GFCCVEGCSA-N 1 2 310.398 1.065 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)s1 ZINC001153331928 863832591 /nfs/dbraw/zinc/83/25/91/863832591.db2.gz NVIVPQOHHALNBE-OCCSQVGLSA-N 1 2 323.462 1.816 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)s1 ZINC001153331928 863832600 /nfs/dbraw/zinc/83/26/00/863832600.db2.gz NVIVPQOHHALNBE-OCCSQVGLSA-N 1 2 323.462 1.816 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3(OC)CCC3)C2)C1 ZINC001330162097 864114207 /nfs/dbraw/zinc/11/42/07/864114207.db2.gz SVXJKDOIHWOGSR-KRWDZBQOSA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3(OC)CCC3)C2)C1 ZINC001330162097 864114213 /nfs/dbraw/zinc/11/42/13/864114213.db2.gz SVXJKDOIHWOGSR-KRWDZBQOSA-N 1 2 308.422 1.435 20 30 DDEDLO N#CC1(NC(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)CCOCC1 ZINC001330228244 864172894 /nfs/dbraw/zinc/17/28/94/864172894.db2.gz PVNHPQQWKLGNNW-SNVBAGLBSA-N 1 2 316.283 1.566 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)[C@@H](C#N)Cc2ccc(C#N)cc2)c1 ZINC001361490090 881754388 /nfs/dbraw/zinc/75/43/88/881754388.db2.gz MTYAYQMBKIYYRP-OAHLLOKOSA-N 1 2 307.357 1.333 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)[C@H]1CCc2[nH+]c(C)[nH]c2C1 ZINC001154083195 864397507 /nfs/dbraw/zinc/39/75/07/864397507.db2.gz LRUBLGWBLNYSAD-YPMHNXCESA-N 1 2 303.410 1.173 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)[C@H]1CCc2[nH]c(C)[nH+]c2C1 ZINC001154083195 864397522 /nfs/dbraw/zinc/39/75/22/864397522.db2.gz LRUBLGWBLNYSAD-YPMHNXCESA-N 1 2 303.410 1.173 20 30 DDEDLO COc1cc(N2CCN(c3ncc(C#N)cc3C#N)CC2)cc[nH+]1 ZINC001158098165 864427495 /nfs/dbraw/zinc/42/74/95/864427495.db2.gz LXUHVFVFSWLKEA-UHFFFAOYSA-N 1 2 320.356 1.555 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001158468555 864672938 /nfs/dbraw/zinc/67/29/38/864672938.db2.gz VQXGKLZZHNPQSD-KGLIPLIRSA-N 1 2 315.421 1.666 20 30 DDEDLO CC(C)C#CC(=O)N1CCC([N@H+](C)Cc2nncs2)CC1 ZINC001331015614 864779453 /nfs/dbraw/zinc/77/94/53/864779453.db2.gz DZFABIVJNQVMBI-UHFFFAOYSA-N 1 2 306.435 1.620 20 30 DDEDLO CC(C)C#CC(=O)N1CCC([N@@H+](C)Cc2nncs2)CC1 ZINC001331015614 864779460 /nfs/dbraw/zinc/77/94/60/864779460.db2.gz DZFABIVJNQVMBI-UHFFFAOYSA-N 1 2 306.435 1.620 20 30 DDEDLO C[C@H]1C[NH+](C2CN(c3ncnc4ccc(C#N)cc43)C2)C[C@H](C)O1 ZINC001158720501 864852089 /nfs/dbraw/zinc/85/20/89/864852089.db2.gz UBLZOYMRUAOMEK-STQMWFEESA-N 1 2 323.400 1.799 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)CCOCCNC(=O)C#CC1CC1 ZINC001331117448 864872188 /nfs/dbraw/zinc/87/21/88/864872188.db2.gz GZFLJFYXAMDMBC-CQSZACIVSA-N 1 2 316.405 1.016 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)CCOCCNC(=O)C#CC1CC1 ZINC001331117448 864872196 /nfs/dbraw/zinc/87/21/96/864872196.db2.gz GZFLJFYXAMDMBC-CQSZACIVSA-N 1 2 316.405 1.016 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC001331232433 864955763 /nfs/dbraw/zinc/95/57/63/864955763.db2.gz HZFPQYXNLYFTJA-KGLIPLIRSA-N 1 2 316.405 1.303 20 30 DDEDLO COC(=O)c1[nH]c(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)cc1C ZINC001331567484 865158297 /nfs/dbraw/zinc/15/82/97/865158297.db2.gz WCPMXDQWSWEYBB-CHWSQXEVSA-N 1 2 304.394 1.528 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](CO)[NH2+]Cc2coc(C)n2)CC1 ZINC001331788295 865330202 /nfs/dbraw/zinc/33/02/02/865330202.db2.gz RTCJAAIVCPIPAC-AWEZNQCLSA-N 1 2 307.394 1.296 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1([NH2+]Cc2ncc(CC)o2)CC1 ZINC001277048463 881865610 /nfs/dbraw/zinc/86/56/10/881865610.db2.gz KEMPUPPHXRSSGB-HNNXBMFYSA-N 1 2 307.394 1.303 20 30 DDEDLO CCn1ccnc1C[N@H+]1CC=C(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001159587008 865414023 /nfs/dbraw/zinc/41/40/23/865414023.db2.gz FSNBIMZVTGTSGW-AWEZNQCLSA-N 1 2 315.421 1.701 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CC=C(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001159587008 865414024 /nfs/dbraw/zinc/41/40/24/865414024.db2.gz FSNBIMZVTGTSGW-AWEZNQCLSA-N 1 2 315.421 1.701 20 30 DDEDLO Cc1nc(NC(=N)c2ccc(C(N)=O)cc2)cc(N2CCCC2)[nH+]1 ZINC001160077622 865670019 /nfs/dbraw/zinc/67/00/19/865670019.db2.gz SVYNBRQFBFESSG-UHFFFAOYSA-N 1 2 324.388 1.521 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]cn1C)Nc1ccc(CC#N)c(C)n1 ZINC001160696499 866042979 /nfs/dbraw/zinc/04/29/79/866042979.db2.gz MORTWLJAYHNLEM-AWEZNQCLSA-N 1 2 313.361 1.386 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC[N@H+](C)Cc1ccnn1C)C(C)C ZINC001332707893 866085181 /nfs/dbraw/zinc/08/51/81/866085181.db2.gz UKGLRILCPMZRFJ-HNNXBMFYSA-N 1 2 320.437 1.127 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC[N@@H+](C)Cc1ccnn1C)C(C)C ZINC001332707893 866085199 /nfs/dbraw/zinc/08/51/99/866085199.db2.gz UKGLRILCPMZRFJ-HNNXBMFYSA-N 1 2 320.437 1.127 20 30 DDEDLO COC(=O)[C@@H]([NH3+])CC(=O)N(CCC#N)Cc1ccc(Cl)cc1 ZINC001160739188 866089959 /nfs/dbraw/zinc/08/99/59/866089959.db2.gz SMRVJDYEYNZCLD-ZDUSSCGKSA-N 1 2 323.780 1.473 20 30 DDEDLO C#CCCN1CCC[C@@H](Nc2[nH+]ccc(C)c2NC(C)=O)C1=O ZINC001160900067 866176003 /nfs/dbraw/zinc/17/60/03/866176003.db2.gz UBTHPQMVFXBLJZ-CQSZACIVSA-N 1 2 314.389 1.775 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)C1CC[NH+](Cc2nc(C)no2)CC1 ZINC001332986070 866314689 /nfs/dbraw/zinc/31/46/89/866314689.db2.gz ZBAZVBTWDQZFLA-LBPRGKRZSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001323199335 866424566 /nfs/dbraw/zinc/42/45/66/866424566.db2.gz PVQWTDUTVLQXAH-HIFRSBDPSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001323199335 866424579 /nfs/dbraw/zinc/42/45/79/866424579.db2.gz PVQWTDUTVLQXAH-HIFRSBDPSA-N 1 2 306.410 1.092 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC1(C2CCN(CC#N)CC2)CC1 ZINC001333180803 866493119 /nfs/dbraw/zinc/49/31/19/866493119.db2.gz JSUOAURWCHFYKC-UHFFFAOYSA-N 1 2 315.421 1.237 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@H+]2[C@@H]2CCCN(C)C2=O)CCC1 ZINC001323301307 866512833 /nfs/dbraw/zinc/51/28/33/866512833.db2.gz GZCQXHPQXDGCMF-HUUCEWRRSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@@H+]2[C@@H]2CCCN(C)C2=O)CCC1 ZINC001323301307 866512842 /nfs/dbraw/zinc/51/28/42/866512842.db2.gz GZCQXHPQXDGCMF-HUUCEWRRSA-N 1 2 319.449 1.544 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)COC[C@@H](C)c1ccccc1 ZINC001323339467 866542802 /nfs/dbraw/zinc/54/28/02/866542802.db2.gz HAULGYFZTSNRGF-NVXWUHKLSA-N 1 2 300.402 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)COC[C@@H](C)c1ccccc1 ZINC001323339467 866542817 /nfs/dbraw/zinc/54/28/17/866542817.db2.gz HAULGYFZTSNRGF-NVXWUHKLSA-N 1 2 300.402 1.630 20 30 DDEDLO C[C@H](CC(=O)NCCC1=CCN(CC#N)CC1)n1cc[nH+]c1 ZINC001161349592 866571173 /nfs/dbraw/zinc/57/11/73/866571173.db2.gz QATBSRJWWIWUPI-CQSZACIVSA-N 1 2 301.394 1.496 20 30 DDEDLO C=CCCC(=O)NCC1([NH2+]Cc2csc(NC(C)=O)n2)CC1 ZINC001323407460 866592509 /nfs/dbraw/zinc/59/25/09/866592509.db2.gz WOLCPMQZGCOUQD-UHFFFAOYSA-N 1 2 322.434 1.806 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)CC[NH2+][C@@H](C)c1nc(C)no1 ZINC001320722553 866980280 /nfs/dbraw/zinc/98/02/80/866980280.db2.gz NWBFYVSPMIFDAM-XHDPSFHLSA-N 1 2 310.398 1.204 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@]1(C)CCC[N@H+](Cc2cnon2)C1 ZINC001324926378 867632044 /nfs/dbraw/zinc/63/20/44/867632044.db2.gz IKISQZUPVUULRC-JKSUJKDBSA-N 1 2 322.409 1.115 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@]1(C)CCC[N@@H+](Cc2cnon2)C1 ZINC001324926378 867632046 /nfs/dbraw/zinc/63/20/46/867632046.db2.gz IKISQZUPVUULRC-JKSUJKDBSA-N 1 2 322.409 1.115 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CCc2ccc(F)cc2F)C1 ZINC001325293743 867922157 /nfs/dbraw/zinc/92/21/57/867922157.db2.gz LNMGBDAEXXMABW-UHFFFAOYSA-N 1 2 324.371 1.636 20 30 DDEDLO C#CC[C@H]1CCCN(c2nnnn2Cc2cc(C)[nH+]c(C)c2)C1 ZINC001335730038 868501960 /nfs/dbraw/zinc/50/19/60/868501960.db2.gz JMIAIOJCYWKPFK-HNNXBMFYSA-N 1 2 310.405 1.973 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)CCc2ccc(C)cc2)C1 ZINC001337553767 869537691 /nfs/dbraw/zinc/53/76/91/869537691.db2.gz DFJQNYWQMUYOLV-KRWDZBQOSA-N 1 2 320.458 1.555 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)CCc2ccc(C)cc2)C1 ZINC001337553767 869537697 /nfs/dbraw/zinc/53/76/97/869537697.db2.gz DFJQNYWQMUYOLV-KRWDZBQOSA-N 1 2 320.458 1.555 20 30 DDEDLO N#Cc1cc(F)cnc1N1CCc2onc(Cn3cc[nH+]c3)c2C1 ZINC001165546554 869636072 /nfs/dbraw/zinc/63/60/72/869636072.db2.gz IPEULUGDJFDDSX-UHFFFAOYSA-N 1 2 324.319 1.888 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H]1C ZINC001337944542 869707594 /nfs/dbraw/zinc/70/75/94/869707594.db2.gz IZFQGEYHCXIBPE-UKRRQHHQSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CCCC(=O)NCCN1CC[NH+](Cc2cnc(C)o2)CC1 ZINC001316962125 869998516 /nfs/dbraw/zinc/99/85/16/869998516.db2.gz IMRIPGUAYQINFR-UHFFFAOYSA-N 1 2 306.410 1.183 20 30 DDEDLO C=CCN1CC[NH+](CCNC(=O)Cc2ccccc2CC)CC1 ZINC001316965200 870006094 /nfs/dbraw/zinc/00/60/94/870006094.db2.gz UELUABMMDWHSNN-UHFFFAOYSA-N 1 2 315.461 1.711 20 30 DDEDLO C[C@H]1CC(C(=O)NCC[NH+]2CCN(CC#N)CC2)C[C@H](C)C1 ZINC001316968220 870008189 /nfs/dbraw/zinc/00/81/89/870008189.db2.gz RIGOSJJXVYTNKK-HUUCEWRRSA-N 1 2 306.454 1.316 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NCCCC)C2)CCC1 ZINC001316985048 870066159 /nfs/dbraw/zinc/06/61/59/870066159.db2.gz CLBOPNDACAWXHL-OAHLLOKOSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@H+](CC(=O)NCCCC)C2)CCC1 ZINC001316985048 870066167 /nfs/dbraw/zinc/06/61/67/870066167.db2.gz CLBOPNDACAWXHL-OAHLLOKOSA-N 1 2 321.465 1.840 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@](C)(NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001297573527 870107628 /nfs/dbraw/zinc/10/76/28/870107628.db2.gz AKWUODZCGCQDJK-KRWDZBQOSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CCOCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)[nH]1 ZINC001317042749 870154444 /nfs/dbraw/zinc/15/44/44/870154444.db2.gz ZPCCZHBNKJKTFF-OKILXGFUSA-N 1 2 304.394 1.210 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)[nH]1 ZINC001317042749 870154452 /nfs/dbraw/zinc/15/44/52/870154452.db2.gz ZPCCZHBNKJKTFF-OKILXGFUSA-N 1 2 304.394 1.210 20 30 DDEDLO C=CCCC(=O)N[C@@H](CNC(=O)Cc1[nH]c[nH+]c1C)C(C)C ZINC001297996469 870233361 /nfs/dbraw/zinc/23/33/61/870233361.db2.gz PGDOZJPKGQCRHH-AWEZNQCLSA-N 1 2 306.410 1.484 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](NC(=O)Cn1cc[nH+]c1)C(C)C ZINC001297998852 870235349 /nfs/dbraw/zinc/23/53/49/870235349.db2.gz RQZPTYBIOQQGGM-OAHLLOKOSA-N 1 2 318.421 1.334 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N(C)CC(=C)C ZINC001338963284 870267264 /nfs/dbraw/zinc/26/72/64/870267264.db2.gz KCJOUTNDDLJJCI-UHFFFAOYSA-N 1 2 319.453 1.681 20 30 DDEDLO C=CCCCC(=O)N(C)C1CC(NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001339276468 870434776 /nfs/dbraw/zinc/43/47/76/870434776.db2.gz BWOPTCSSVDLFRN-UHFFFAOYSA-N 1 2 304.394 1.414 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001317202142 870459754 /nfs/dbraw/zinc/45/97/54/870459754.db2.gz FFLBNAPPHDOWMI-LSDHHAIUSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001317202142 870459761 /nfs/dbraw/zinc/45/97/61/870459761.db2.gz FFLBNAPPHDOWMI-LSDHHAIUSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001298605351 870644662 /nfs/dbraw/zinc/64/46/62/870644662.db2.gz YCKXGNJGTKQREJ-CHWSQXEVSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001298605351 870644664 /nfs/dbraw/zinc/64/46/64/870644664.db2.gz YCKXGNJGTKQREJ-CHWSQXEVSA-N 1 2 306.410 1.566 20 30 DDEDLO CC#CC[NH2+]C[C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001317329600 870653083 /nfs/dbraw/zinc/65/30/83/870653083.db2.gz DTNCZCLFXOZBNV-AWEZNQCLSA-N 1 2 323.400 1.547 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)C2(CC)CCC2)C1 ZINC001276414285 870673831 /nfs/dbraw/zinc/67/38/31/870673831.db2.gz OCESLUUWVOZMAK-CYBMUJFWSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)CCNC(=O)c1ccsn1 ZINC001317449369 870858406 /nfs/dbraw/zinc/85/84/06/870858406.db2.gz OLWXWFGJPFGVIM-UHFFFAOYSA-N 1 2 324.450 1.229 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)CCNC(=O)c1ccsn1 ZINC001317449369 870858410 /nfs/dbraw/zinc/85/84/10/870858410.db2.gz OLWXWFGJPFGVIM-UHFFFAOYSA-N 1 2 324.450 1.229 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001317460993 870877582 /nfs/dbraw/zinc/87/75/82/870877582.db2.gz OHROKLPPXHXSSD-QLPKVWCKSA-N 1 2 319.449 1.000 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001317460993 870877594 /nfs/dbraw/zinc/87/75/94/870877594.db2.gz OHROKLPPXHXSSD-QLPKVWCKSA-N 1 2 319.449 1.000 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CCC[N@@H+]1CC=C(Cl)Cl ZINC001317505790 870942791 /nfs/dbraw/zinc/94/27/91/870942791.db2.gz SZOVDSMIVDXKPC-NWDGAFQWSA-N 1 2 319.232 1.924 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1CCC[N@H+]1CC=C(Cl)Cl ZINC001317505790 870942803 /nfs/dbraw/zinc/94/28/03/870942803.db2.gz SZOVDSMIVDXKPC-NWDGAFQWSA-N 1 2 319.232 1.924 20 30 DDEDLO C=CCN(CCOC)c1nnc(C)n1Cc1c[nH+]ccc1OC ZINC001301416193 870991374 /nfs/dbraw/zinc/99/13/74/870991374.db2.gz DZBHQYVADUNNDY-UHFFFAOYSA-N 1 2 317.393 1.677 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N(C)CC1(C)COC1 ZINC001340297309 871015256 /nfs/dbraw/zinc/01/52/56/871015256.db2.gz NCOFVRCZGKOTHQ-UHFFFAOYSA-N 1 2 319.453 1.923 20 30 DDEDLO C#CC[N@@H+](CCNC(=O)c1ccc(-c2nnc[nH]2)cc1)C1CC1 ZINC001317559173 871073011 /nfs/dbraw/zinc/07/30/11/871073011.db2.gz RLDLIOUXNNJLMA-UHFFFAOYSA-N 1 2 309.373 1.299 20 30 DDEDLO C#CC[N@H+](CCNC(=O)c1ccc(-c2nnc[nH]2)cc1)C1CC1 ZINC001317559173 871073029 /nfs/dbraw/zinc/07/30/29/871073029.db2.gz RLDLIOUXNNJLMA-UHFFFAOYSA-N 1 2 309.373 1.299 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@H](CC)C[NH+]2CCOCC2)cc1 ZINC001303791083 871156069 /nfs/dbraw/zinc/15/60/69/871156069.db2.gz TZPBLTYMYOXCCC-GOSISDBHSA-N 1 2 314.429 1.828 20 30 DDEDLO C=CCN(c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC=C)C1CC1 ZINC001340573490 871203167 /nfs/dbraw/zinc/20/31/67/871203167.db2.gz YAVRWGRIXKKISP-WMLDXEAASA-N 1 2 317.437 1.531 20 30 DDEDLO C=CCN(c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC=C)C1CC1 ZINC001340573490 871203182 /nfs/dbraw/zinc/20/31/82/871203182.db2.gz YAVRWGRIXKKISP-WMLDXEAASA-N 1 2 317.437 1.531 20 30 DDEDLO COC(=O)N1CCC[N@@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC001340785937 871350087 /nfs/dbraw/zinc/35/00/87/871350087.db2.gz KYJNBMQYGJMBHG-BBRMVZONSA-N 1 2 324.425 1.203 20 30 DDEDLO COC(=O)N1CCC[N@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC001340785937 871350111 /nfs/dbraw/zinc/35/01/11/871350111.db2.gz KYJNBMQYGJMBHG-BBRMVZONSA-N 1 2 324.425 1.203 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(Cc3ccc(F)c(C#N)c3)C2)C[C@H](C)O1 ZINC001205502410 871591200 /nfs/dbraw/zinc/59/12/00/871591200.db2.gz UTDKRLHAGXQJBC-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(Cc3ccc(F)c(C#N)c3)C2)C[C@H](C)O1 ZINC001205502410 871591216 /nfs/dbraw/zinc/59/12/16/871591216.db2.gz UTDKRLHAGXQJBC-BETUJISGSA-N 1 2 303.381 1.991 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001317969251 871659129 /nfs/dbraw/zinc/65/91/29/871659129.db2.gz RKMWHXPTNUKLLX-AWEZNQCLSA-N 1 2 316.405 1.369 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001317969251 871659132 /nfs/dbraw/zinc/65/91/32/871659132.db2.gz RKMWHXPTNUKLLX-AWEZNQCLSA-N 1 2 316.405 1.369 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(C(C)C)cn3)n2C)CC1 ZINC001341504686 871686182 /nfs/dbraw/zinc/68/61/82/871686182.db2.gz SCQHHUCMQSKJSP-UHFFFAOYSA-N 1 2 324.432 1.756 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc3c(c2)ncn3C)C1 ZINC001318037546 871705275 /nfs/dbraw/zinc/70/52/75/871705275.db2.gz HEAVGEJLWHCVMU-UHFFFAOYSA-N 1 2 314.389 1.190 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C2(CC=C)CCOCC2)C1 ZINC001318081857 871735539 /nfs/dbraw/zinc/73/55/39/871735539.db2.gz PSKOEEBFRCFWQY-UHFFFAOYSA-N 1 2 308.422 1.362 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@H](C)CCC)C1 ZINC001316939373 871853798 /nfs/dbraw/zinc/85/37/98/871853798.db2.gz IAFXHIBCBDERBJ-CABCVRRESA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@H](C)CCC)C1 ZINC001316939373 871853812 /nfs/dbraw/zinc/85/38/12/871853812.db2.gz IAFXHIBCBDERBJ-CABCVRRESA-N 1 2 307.438 1.143 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N1CCO[C@H](C)C1 ZINC001341903114 871888651 /nfs/dbraw/zinc/88/86/51/871888651.db2.gz LDZBUBFRABNZNK-KGLIPLIRSA-N 1 2 305.426 1.846 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N1CCO[C@H](C)C1 ZINC001341903114 871888671 /nfs/dbraw/zinc/88/86/71/871888671.db2.gz LDZBUBFRABNZNK-KGLIPLIRSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](CCCS(=O)(=O)C(C)C)C1 ZINC001318320003 871924144 /nfs/dbraw/zinc/92/41/44/871924144.db2.gz RBRJPYCRBNFGOO-CQSZACIVSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](CCCS(=O)(=O)C(C)C)C1 ZINC001318320003 871924153 /nfs/dbraw/zinc/92/41/53/871924153.db2.gz RBRJPYCRBNFGOO-CQSZACIVSA-N 1 2 316.467 1.356 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCOCC[N@H+](C)[C@H](C)c1ncccn1 ZINC001316761218 872004945 /nfs/dbraw/zinc/00/49/45/872004945.db2.gz LVYHAQNVCYDOPL-ZIAGYGMSSA-N 1 2 319.409 1.104 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCOCC[N@@H+](C)[C@H](C)c1ncccn1 ZINC001316761218 872004964 /nfs/dbraw/zinc/00/49/64/872004964.db2.gz LVYHAQNVCYDOPL-ZIAGYGMSSA-N 1 2 319.409 1.104 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H]2C)nnc1N(C)CC[NH+]1CCOCC1 ZINC001342114869 872014779 /nfs/dbraw/zinc/01/47/79/872014779.db2.gz GCSSMKMRNRUBFV-ZIAGYGMSSA-N 1 2 305.426 1.356 20 30 DDEDLO CCn1ccc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)CSCC#N)C2)n1 ZINC001206096696 872054956 /nfs/dbraw/zinc/05/49/56/872054956.db2.gz ZBFYGBAKSZKYQS-TZMCWYRMSA-N 1 2 321.450 1.096 20 30 DDEDLO CCn1ccc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)CSCC#N)C2)n1 ZINC001206096696 872054977 /nfs/dbraw/zinc/05/49/77/872054977.db2.gz ZBFYGBAKSZKYQS-TZMCWYRMSA-N 1 2 321.450 1.096 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(CC)C(=O)C[C@@H]2CCCS2(=O)=O)C1 ZINC001318479563 872103165 /nfs/dbraw/zinc/10/31/65/872103165.db2.gz RKBMIOFMQMSLOE-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(CC)C(=O)C[C@@H]2CCCS2(=O)=O)C1 ZINC001318479563 872103169 /nfs/dbraw/zinc/10/31/69/872103169.db2.gz RKBMIOFMQMSLOE-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@H+](C)Cc1nc(C2CCC2)no1 ZINC001316822845 872116746 /nfs/dbraw/zinc/11/67/46/872116746.db2.gz XVHDUIKKJVVWKV-UHFFFAOYSA-N 1 2 318.421 1.935 20 30 DDEDLO CC(C)C#CC(=O)NCCC[N@@H+](C)Cc1nc(C2CCC2)no1 ZINC001316822845 872116753 /nfs/dbraw/zinc/11/67/53/872116753.db2.gz XVHDUIKKJVVWKV-UHFFFAOYSA-N 1 2 318.421 1.935 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NCC#C[C@H]2CCCCO2)C1 ZINC001342590737 872282365 /nfs/dbraw/zinc/28/23/65/872282365.db2.gz UUJJCYUPLKTBTF-HUUCEWRRSA-N 1 2 316.405 1.492 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(C(C)C)no1 ZINC001319057142 872416145 /nfs/dbraw/zinc/41/61/45/872416145.db2.gz FXRJNWWYDOKYGK-CYBMUJFWSA-N 1 2 322.409 1.476 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@H]1CCCO1 ZINC001343160504 872522165 /nfs/dbraw/zinc/52/21/65/872522165.db2.gz ZKCIYNBYABEUKT-ZNMIVQPWSA-N 1 2 317.437 1.682 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@H]1CCCO1 ZINC001343160504 872522174 /nfs/dbraw/zinc/52/21/74/872522174.db2.gz ZKCIYNBYABEUKT-ZNMIVQPWSA-N 1 2 317.437 1.682 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2ncccn2)C[C@H]1C ZINC001206947108 872826232 /nfs/dbraw/zinc/82/62/32/872826232.db2.gz GBBGBWZHRDGDKW-RBSFLKMASA-N 1 2 300.406 1.634 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+]([C@H](C)c2ncccn2)C[C@H]1C ZINC001206947108 872826240 /nfs/dbraw/zinc/82/62/40/872826240.db2.gz GBBGBWZHRDGDKW-RBSFLKMASA-N 1 2 300.406 1.634 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2ccc(Cl)c(C#N)c2)CC1 ZINC001361932370 882665314 /nfs/dbraw/zinc/66/53/14/882665314.db2.gz UPVGUKVGLJTELN-UHFFFAOYSA-N 1 2 307.781 1.616 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001344228997 872959420 /nfs/dbraw/zinc/95/94/20/872959420.db2.gz DOLVUGWGDJACCW-RFQIPJPRSA-N 1 2 318.421 1.421 20 30 DDEDLO C#CCN(CC)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC(C)C ZINC001345132234 873266062 /nfs/dbraw/zinc/26/60/62/873266062.db2.gz LKHHGBWZWVCAIU-RHSMWYFYSA-N 1 2 319.453 1.305 20 30 DDEDLO C#CCN(CC)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC(C)C ZINC001345132234 873266080 /nfs/dbraw/zinc/26/60/80/873266080.db2.gz LKHHGBWZWVCAIU-RHSMWYFYSA-N 1 2 319.453 1.305 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@@H]2CCCN(C(=O)C#CC(C)C)C2)n1 ZINC001207992358 873722500 /nfs/dbraw/zinc/72/25/00/873722500.db2.gz JQOXTAAOPJHJMR-UONOGXRCSA-N 1 2 318.421 1.933 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COCc2ccncc2)C1 ZINC001378085226 874116008 /nfs/dbraw/zinc/11/60/08/874116008.db2.gz RZXDFLPXVJZPOM-AWEZNQCLSA-N 1 2 309.797 1.541 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COCc2ccncc2)C1 ZINC001378085226 874116012 /nfs/dbraw/zinc/11/60/12/874116012.db2.gz RZXDFLPXVJZPOM-AWEZNQCLSA-N 1 2 309.797 1.541 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@@H](C)NC(C)=O)C1 ZINC001378180776 874350540 /nfs/dbraw/zinc/35/05/40/874350540.db2.gz NESAUUOHLHZKHL-YPMHNXCESA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H](C)NC(C)=O)C1 ZINC001378180776 874350550 /nfs/dbraw/zinc/35/05/50/874350550.db2.gz NESAUUOHLHZKHL-YPMHNXCESA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COc2cccnc2)C1 ZINC001209497947 874922997 /nfs/dbraw/zinc/92/29/97/874922997.db2.gz AIOLKHMFRJMARJ-BXUZGUMPSA-N 1 2 309.797 1.649 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)COc2cccnc2)C1 ZINC001209497947 874923002 /nfs/dbraw/zinc/92/30/02/874923002.db2.gz AIOLKHMFRJMARJ-BXUZGUMPSA-N 1 2 309.797 1.649 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nccs2)C[C@H]1C ZINC001211426013 875802207 /nfs/dbraw/zinc/80/22/07/875802207.db2.gz WLCSSWMBKHKKQI-JHJVBQTASA-N 1 2 309.435 1.671 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nccs2)C[C@H]1C ZINC001211426013 875802215 /nfs/dbraw/zinc/80/22/15/875802215.db2.gz WLCSSWMBKHKKQI-JHJVBQTASA-N 1 2 309.435 1.671 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+]([C@H](C)c2nc(C)no2)CC1 ZINC001227307909 882965924 /nfs/dbraw/zinc/96/59/24/882965924.db2.gz MCJXBQWSIWNDMD-NEPJUHHUSA-N 1 2 320.393 1.058 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001350711997 875849902 /nfs/dbraw/zinc/84/99/02/875849902.db2.gz NNKFSJSYFLBSHP-HUUCEWRRSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213390336 875917166 /nfs/dbraw/zinc/91/71/66/875917166.db2.gz YLTKBUAVXSEEEX-INMHGKMJSA-N 1 2 308.422 1.054 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213390336 875917178 /nfs/dbraw/zinc/91/71/78/875917178.db2.gz YLTKBUAVXSEEEX-INMHGKMJSA-N 1 2 308.422 1.054 20 30 DDEDLO C#CCCCCC(=O)N1CCO[C@@H]2C[N@@H+](C/C=C\Cl)C[C@@H]21 ZINC001217684418 877264615 /nfs/dbraw/zinc/26/46/15/877264615.db2.gz NFXAPKACJBXAFT-NEFCLYRVSA-N 1 2 310.825 1.844 20 30 DDEDLO C#CCCCCC(=O)N1CCO[C@@H]2C[N@H+](C/C=C\Cl)C[C@@H]21 ZINC001217684418 877264632 /nfs/dbraw/zinc/26/46/32/877264632.db2.gz NFXAPKACJBXAFT-NEFCLYRVSA-N 1 2 310.825 1.844 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1C[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001353801970 877575081 /nfs/dbraw/zinc/57/50/81/877575081.db2.gz AQPNNQJNBUHTOW-GASCZTMLSA-N 1 2 316.405 1.230 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCC(C)CC1 ZINC001276800234 877836222 /nfs/dbraw/zinc/83/62/22/877836222.db2.gz IGMQCZFZGYULHL-HNNXBMFYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCC(C)CC1 ZINC001276800234 877836224 /nfs/dbraw/zinc/83/62/24/877836224.db2.gz IGMQCZFZGYULHL-HNNXBMFYSA-N 1 2 307.438 1.402 20 30 DDEDLO C/C(=C\C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O)C1CC1 ZINC001219279330 878077164 /nfs/dbraw/zinc/07/71/64/878077164.db2.gz NXQQYYSPHRFASG-WIGMZFAJSA-N 1 2 324.424 1.556 20 30 DDEDLO C/C(=C\C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O)C1CC1 ZINC001219279330 878077184 /nfs/dbraw/zinc/07/71/84/878077184.db2.gz NXQQYYSPHRFASG-WIGMZFAJSA-N 1 2 324.424 1.556 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)NC(=O)Cc2[nH]c[nH+]c2C)cc1 ZINC001354609268 878103811 /nfs/dbraw/zinc/10/38/11/878103811.db2.gz JMNOQYQDIFISOS-LBPRGKRZSA-N 1 2 324.384 1.177 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219435046 878211006 /nfs/dbraw/zinc/21/10/06/878211006.db2.gz UUUYYBJMODQKDZ-NHMTZTLUSA-N 1 2 305.403 1.247 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219435046 878211023 /nfs/dbraw/zinc/21/10/23/878211023.db2.gz UUUYYBJMODQKDZ-NHMTZTLUSA-N 1 2 305.403 1.247 20 30 DDEDLO C[C@H](CCNC(=O)C#CC1CC1)NC(=O)c1cccc2[nH+]ccn21 ZINC001355145933 878446659 /nfs/dbraw/zinc/44/66/59/878446659.db2.gz HLRSKLAERKYLBL-CYBMUJFWSA-N 1 2 324.384 1.372 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnc(C)cn2)C[C@@H]1O ZINC001219718805 878478108 /nfs/dbraw/zinc/47/81/08/878478108.db2.gz PFFZIGICDDDNLW-IMJJTQAJSA-N 1 2 318.421 1.364 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnc(C)cn2)C[C@@H]1O ZINC001219718805 878478111 /nfs/dbraw/zinc/47/81/11/878478111.db2.gz PFFZIGICDDDNLW-IMJJTQAJSA-N 1 2 318.421 1.364 20 30 DDEDLO CCn1nnc(C)c1C[N@H+](C)CCN(C(=O)[C@@H](C)C#N)C(C)C ZINC001379999378 878517882 /nfs/dbraw/zinc/51/78/82/878517882.db2.gz LZDMVDZYZLMGRN-ZDUSSCGKSA-N 1 2 320.441 1.435 20 30 DDEDLO CCn1nnc(C)c1C[N@@H+](C)CCN(C(=O)[C@@H](C)C#N)C(C)C ZINC001379999378 878517889 /nfs/dbraw/zinc/51/78/89/878517889.db2.gz LZDMVDZYZLMGRN-ZDUSSCGKSA-N 1 2 320.441 1.435 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001355685063 878675902 /nfs/dbraw/zinc/67/59/02/878675902.db2.gz MZRJLNZLZWTFSG-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001355685063 878675913 /nfs/dbraw/zinc/67/59/13/878675913.db2.gz MZRJLNZLZWTFSG-UHFFFAOYSA-N 1 2 306.410 1.519 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)CCNC(=O)CCc1c[nH+]cn1C ZINC001355816993 878731661 /nfs/dbraw/zinc/73/16/61/878731661.db2.gz AMFKZBQRIYIZIQ-KRWDZBQOSA-N 1 2 320.437 1.530 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@@H]1O ZINC001220176992 878786006 /nfs/dbraw/zinc/78/60/06/878786006.db2.gz YEPVEURQXQVSJY-SJORKVTESA-N 1 2 318.392 1.681 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@@H]1O ZINC001220176992 878786025 /nfs/dbraw/zinc/78/60/25/878786025.db2.gz YEPVEURQXQVSJY-SJORKVTESA-N 1 2 318.392 1.681 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnccn2)C[C@@H]1O ZINC001220245808 878849303 /nfs/dbraw/zinc/84/93/03/878849303.db2.gz IMGTYGZGIXMVKO-YUELXQCFSA-N 1 2 304.394 1.055 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnccn2)C[C@@H]1O ZINC001220245808 878849313 /nfs/dbraw/zinc/84/93/13/878849313.db2.gz IMGTYGZGIXMVKO-YUELXQCFSA-N 1 2 304.394 1.055 20 30 DDEDLO C=CCn1c(N2CCC(C)CC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001356135296 878882566 /nfs/dbraw/zinc/88/25/66/878882566.db2.gz WAVKWEXAMHZTDT-WMLDXEAASA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N2CCC(C)CC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001356135296 878882574 /nfs/dbraw/zinc/88/25/74/878882574.db2.gz WAVKWEXAMHZTDT-WMLDXEAASA-N 1 2 319.453 1.612 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@@H]1O ZINC001220293681 878890222 /nfs/dbraw/zinc/89/02/22/878890222.db2.gz QJALOTPHOPDEQR-VNHYZAJKSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@@H]1O ZINC001220293681 878890233 /nfs/dbraw/zinc/89/02/33/878890233.db2.gz QJALOTPHOPDEQR-VNHYZAJKSA-N 1 2 309.435 1.407 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C[C@@H](C)CC(C)(C)C)[C@@H](O)C1 ZINC001220415966 878998288 /nfs/dbraw/zinc/99/82/88/878998288.db2.gz DMZOIQZDEOETOT-OAGGEKHMSA-N 1 2 324.465 1.260 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C[C@@H](C)CC(C)(C)C)[C@@H](O)C1 ZINC001220415966 878998303 /nfs/dbraw/zinc/99/83/03/878998303.db2.gz DMZOIQZDEOETOT-OAGGEKHMSA-N 1 2 324.465 1.260 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1CN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001356785978 879353614 /nfs/dbraw/zinc/35/36/14/879353614.db2.gz FYUSWCZTRAWFGU-KGLIPLIRSA-N 1 2 318.421 1.764 20 30 DDEDLO C=C[C@@H](CC(=O)N[C@@H]1C[N@@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221042166 879464502 /nfs/dbraw/zinc/46/45/02/879464502.db2.gz CGBXUOLADSXICY-IMJJTQAJSA-N 1 2 306.381 1.477 20 30 DDEDLO C=C[C@@H](CC(=O)N[C@@H]1C[N@H+](CCF)C[C@@H]1O)c1ccccc1 ZINC001221042166 879464505 /nfs/dbraw/zinc/46/45/05/879464505.db2.gz CGBXUOLADSXICY-IMJJTQAJSA-N 1 2 306.381 1.477 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)CCCc2ccccc2)[C@@H](O)C1 ZINC001221114839 879508937 /nfs/dbraw/zinc/50/89/37/879508937.db2.gz QWLXQADSKYLFMS-NXHRZFHOSA-N 1 2 314.429 1.440 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)CCCc2ccccc2)[C@@H](O)C1 ZINC001221114839 879508943 /nfs/dbraw/zinc/50/89/43/879508943.db2.gz QWLXQADSKYLFMS-NXHRZFHOSA-N 1 2 314.429 1.440 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](CC)NC(=O)CCc1c[nH+]cn1C ZINC001356911473 879574874 /nfs/dbraw/zinc/57/48/74/879574874.db2.gz SAJSQMHBTUZVCZ-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001221515227 879907574 /nfs/dbraw/zinc/90/75/74/879907574.db2.gz YIGXIHVBJFZRSZ-NVXWUHKLSA-N 1 2 314.433 1.739 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001221515227 879907584 /nfs/dbraw/zinc/90/75/84/879907584.db2.gz YIGXIHVBJFZRSZ-NVXWUHKLSA-N 1 2 314.433 1.739 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@@H](C)CC)C[C@H]21 ZINC001221583228 879958261 /nfs/dbraw/zinc/95/82/61/879958261.db2.gz GNDDVHXCNXYOGR-ARFHVFGLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@@H](C)CC)C[C@H]21 ZINC001221583228 879958272 /nfs/dbraw/zinc/95/82/72/879958272.db2.gz GNDDVHXCNXYOGR-ARFHVFGLSA-N 1 2 321.465 1.790 20 30 DDEDLO CC(C)C[C@H](C(=O)N1C[C@H]2CCN(CC#N)C[C@H]21)n1cc[nH+]c1 ZINC001221635865 879981329 /nfs/dbraw/zinc/98/13/29/879981329.db2.gz BEJYKHUXYBIDOZ-BZUAXINKSA-N 1 2 315.421 1.527 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[N@@H+](CC(=O)NCCC)C[C@H]32)C1 ZINC001221802656 880096391 /nfs/dbraw/zinc/09/63/91/880096391.db2.gz OKXRQIMPLSYCNO-HUUCEWRRSA-N 1 2 319.449 1.402 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[N@H+](CC(=O)NCCC)C[C@H]32)C1 ZINC001221802656 880096410 /nfs/dbraw/zinc/09/64/10/880096410.db2.gz OKXRQIMPLSYCNO-HUUCEWRRSA-N 1 2 319.449 1.402 20 30 DDEDLO CCCCCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC#N)C[C@H]21 ZINC001221993605 880178401 /nfs/dbraw/zinc/17/84/01/880178401.db2.gz PVHYRDMAWGAIDM-HUUCEWRRSA-N 1 2 320.437 1.129 20 30 DDEDLO CCCCCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC#N)C[C@H]21 ZINC001221993605 880178412 /nfs/dbraw/zinc/17/84/12/880178412.db2.gz PVHYRDMAWGAIDM-HUUCEWRRSA-N 1 2 320.437 1.129 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001358555048 880527589 /nfs/dbraw/zinc/52/75/89/880527589.db2.gz SFVYYOADAFKQHE-DZGCQCFKSA-N 1 2 318.421 1.710 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)s1 ZINC001222636395 880613615 /nfs/dbraw/zinc/61/36/15/880613615.db2.gz MCEWWWPUUFGLHT-JTQLQIEISA-N 1 2 307.423 1.334 20 30 DDEDLO CCc1nc(C)c(C[N@@H+]2CC[C@@H]2CNC(=O)C#CC(C)C)o1 ZINC001276842623 880717974 /nfs/dbraw/zinc/71/79/74/880717974.db2.gz PMUQBQKGADGGKT-CQSZACIVSA-N 1 2 303.406 1.895 20 30 DDEDLO CCc1nc(C)c(C[N@H+]2CC[C@@H]2CNC(=O)C#CC(C)C)o1 ZINC001276842623 880717984 /nfs/dbraw/zinc/71/79/84/880717984.db2.gz PMUQBQKGADGGKT-CQSZACIVSA-N 1 2 303.406 1.895 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@H](C)C(=O)NCCC(C)C ZINC001276898811 880998805 /nfs/dbraw/zinc/99/88/05/880998805.db2.gz LQPXQVBPRGKIAL-HUUCEWRRSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCCC(C)C ZINC001276898811 880998811 /nfs/dbraw/zinc/99/88/11/880998811.db2.gz LQPXQVBPRGKIAL-HUUCEWRRSA-N 1 2 323.481 1.940 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2CC(C)(C)COC)CC1 ZINC001359035703 881165036 /nfs/dbraw/zinc/16/50/36/881165036.db2.gz BVRLHDCPQYFALX-UHFFFAOYSA-N 1 2 319.453 1.268 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001288002085 912656799 /nfs/dbraw/zinc/65/67/99/912656799.db2.gz SDOOHBYQLFAZSF-UKRRQHHQSA-N 1 2 316.405 1.251 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(OC)cc1 ZINC001276964271 881331783 /nfs/dbraw/zinc/33/17/83/881331783.db2.gz ROGNHNWZDOOIDK-HNNXBMFYSA-N 1 2 302.374 1.149 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(OC)cc1 ZINC001276964271 881331797 /nfs/dbraw/zinc/33/17/97/881331797.db2.gz ROGNHNWZDOOIDK-HNNXBMFYSA-N 1 2 302.374 1.149 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@H]1CCC(=O)N1C)C(C)C ZINC001382498452 884132927 /nfs/dbraw/zinc/13/29/27/884132927.db2.gz WQIMFPCJFQCYJU-CYBMUJFWSA-N 1 2 315.845 1.529 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@H]1CCC(=O)N1C)C(C)C ZINC001382498452 884132938 /nfs/dbraw/zinc/13/29/38/884132938.db2.gz WQIMFPCJFQCYJU-CYBMUJFWSA-N 1 2 315.845 1.529 20 30 DDEDLO CCC[C@H](OC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2CC#CCOC ZINC001277436812 884264195 /nfs/dbraw/zinc/26/41/95/884264195.db2.gz YZBNVIKZYBFPKI-BBWFWOEESA-N 1 2 322.449 1.517 20 30 DDEDLO CCC[C@H](OC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2CC#CCOC ZINC001277436812 884264209 /nfs/dbraw/zinc/26/42/09/884264209.db2.gz YZBNVIKZYBFPKI-BBWFWOEESA-N 1 2 322.449 1.517 20 30 DDEDLO N#CC1(C(=O)NC2CC[NH+]([C@H]3CCOC3=O)CC2)CCCCC1 ZINC001362665282 884361774 /nfs/dbraw/zinc/36/17/74/884361774.db2.gz PBTFJZDRWPJTQN-AWEZNQCLSA-N 1 2 319.405 1.357 20 30 DDEDLO N#CC1(NC(=O)[C@@H]2CC23C[NH+](Cc2ccc(F)nc2)C3)CCC1 ZINC001277458289 884536705 /nfs/dbraw/zinc/53/67/05/884536705.db2.gz PVVYWEBVPOIBIT-ZDUSSCGKSA-N 1 2 314.364 1.605 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)CCCCC(N)=O)CC1 ZINC001230614214 884653862 /nfs/dbraw/zinc/65/38/62/884653862.db2.gz YBSFOIMPOURHJX-HNNXBMFYSA-N 1 2 320.437 1.259 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)CCCCC(N)=O)CC1 ZINC001230614214 884653872 /nfs/dbraw/zinc/65/38/72/884653872.db2.gz YBSFOIMPOURHJX-HNNXBMFYSA-N 1 2 320.437 1.259 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770311 884855801 /nfs/dbraw/zinc/85/58/01/884855801.db2.gz MKBGGGZVZPJFRZ-UONOGXRCSA-N 1 2 307.438 1.093 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770311 884855810 /nfs/dbraw/zinc/85/58/10/884855810.db2.gz MKBGGGZVZPJFRZ-UONOGXRCSA-N 1 2 307.438 1.093 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(CC)CC ZINC001230834774 884933521 /nfs/dbraw/zinc/93/35/21/884933521.db2.gz LXLQIVFOPGKKEH-UKRRQHHQSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(CC)CC ZINC001230834774 884933536 /nfs/dbraw/zinc/93/35/36/884933536.db2.gz LXLQIVFOPGKKEH-UKRRQHHQSA-N 1 2 309.454 1.646 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)[C@@H](C)C#N)ccn1 ZINC001231245865 885424189 /nfs/dbraw/zinc/42/41/89/885424189.db2.gz BOLXWUBBZBWAFF-GXTWGEPZSA-N 1 2 302.378 1.283 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)[C@@H](C)C#N)ccn1 ZINC001231245865 885424194 /nfs/dbraw/zinc/42/41/94/885424194.db2.gz BOLXWUBBZBWAFF-GXTWGEPZSA-N 1 2 302.378 1.283 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C/C(C)(C)C ZINC001231254179 885436988 /nfs/dbraw/zinc/43/69/88/885436988.db2.gz DRGCCINTCVMFTH-VFDMCBIDSA-N 1 2 319.449 1.259 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C=C/C(C)(C)C ZINC001231254179 885437001 /nfs/dbraw/zinc/43/70/01/885437001.db2.gz DRGCCINTCVMFTH-VFDMCBIDSA-N 1 2 319.449 1.259 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)Cc1cc[nH]n1 ZINC001231378267 885573314 /nfs/dbraw/zinc/57/33/14/885573314.db2.gz QQHBNLOYSNFYKI-SFHVURJKSA-N 1 2 322.412 1.537 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)Cc1cc[nH]n1 ZINC001231378267 885573319 /nfs/dbraw/zinc/57/33/19/885573319.db2.gz QQHBNLOYSNFYKI-SFHVURJKSA-N 1 2 322.412 1.537 20 30 DDEDLO COC(=O)[C@H](COC1CCC1)[N@H+](C)C[C@@H](O)CC1(C#N)CCC1 ZINC001363206945 885713600 /nfs/dbraw/zinc/71/36/00/885713600.db2.gz PGONBBZAWWPIGZ-ZFWWWQNUSA-N 1 2 324.421 1.474 20 30 DDEDLO COC(=O)[C@H](COC1CCC1)[N@@H+](C)C[C@@H](O)CC1(C#N)CCC1 ZINC001363206945 885713609 /nfs/dbraw/zinc/71/36/09/885713609.db2.gz PGONBBZAWWPIGZ-ZFWWWQNUSA-N 1 2 324.421 1.474 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001374469656 912970427 /nfs/dbraw/zinc/97/04/27/912970427.db2.gz BTPNMEHXFIVRHD-LBPRGKRZSA-N 1 2 302.353 1.747 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001374469656 912970434 /nfs/dbraw/zinc/97/04/34/912970434.db2.gz BTPNMEHXFIVRHD-LBPRGKRZSA-N 1 2 302.353 1.747 20 30 DDEDLO Cc1[nH]c(CN2CCN(C(=O)OC(C)(C)C)C[C@@H]2C#N)c[nH+]1 ZINC001232459227 886397469 /nfs/dbraw/zinc/39/74/69/886397469.db2.gz BELGDBLGKUWVGT-ZDUSSCGKSA-N 1 2 305.382 1.663 20 30 DDEDLO Cc1[nH]cc(CN2CCN(C(=O)OC(C)(C)C)C[C@@H]2C#N)[nH+]1 ZINC001232459227 886397487 /nfs/dbraw/zinc/39/74/87/886397487.db2.gz BELGDBLGKUWVGT-ZDUSSCGKSA-N 1 2 305.382 1.663 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2cn(C[C@H]3CCOC3)nn2)s1 ZINC001363465906 886413118 /nfs/dbraw/zinc/41/31/18/886413118.db2.gz XXZRFFIRGXUAKA-LLVKDONJSA-N 1 2 303.391 1.538 20 30 DDEDLO CC(C)(C)OC(=O)N[C@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001232845638 886633758 /nfs/dbraw/zinc/63/37/58/886633758.db2.gz HCYQBHVLNCRGSJ-QWHCGFSZSA-N 1 2 323.418 1.690 20 30 DDEDLO CC(C)(C)OC(=O)N[C@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001232845638 886633766 /nfs/dbraw/zinc/63/37/66/886633766.db2.gz HCYQBHVLNCRGSJ-QWHCGFSZSA-N 1 2 323.418 1.690 20 30 DDEDLO CCOC[C@H]1Cn2nccc2C[N@H+](Cc2cccc(C#N)n2)C1 ZINC001232923966 886676240 /nfs/dbraw/zinc/67/62/40/886676240.db2.gz OETLXKWDEGBBNS-CQSZACIVSA-N 1 2 311.389 1.818 20 30 DDEDLO CCOC[C@H]1Cn2nccc2C[N@@H+](Cc2cccc(C#N)n2)C1 ZINC001232923966 886676244 /nfs/dbraw/zinc/67/62/44/886676244.db2.gz OETLXKWDEGBBNS-CQSZACIVSA-N 1 2 311.389 1.818 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3CCN(C(=O)c4ccco4)[C@@H]3C2)n1 ZINC001232925042 886676438 /nfs/dbraw/zinc/67/64/38/886676438.db2.gz JVZYCUHYMRWCGD-XJKSGUPXSA-N 1 2 322.368 1.893 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3CCN(C(=O)c4ccco4)[C@@H]3C2)n1 ZINC001232925042 886676445 /nfs/dbraw/zinc/67/64/45/886676445.db2.gz JVZYCUHYMRWCGD-XJKSGUPXSA-N 1 2 322.368 1.893 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CC2CCC2)C1=O ZINC001233521542 887071211 /nfs/dbraw/zinc/07/12/11/887071211.db2.gz WDTFPLMNNRYWCD-CVEARBPZSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CC2CCC2)C1=O ZINC001233521542 887071221 /nfs/dbraw/zinc/07/12/21/887071221.db2.gz WDTFPLMNNRYWCD-CVEARBPZSA-N 1 2 319.449 1.496 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C(C)=C1CCCC1 ZINC001233582156 887130843 /nfs/dbraw/zinc/13/08/43/887130843.db2.gz WLEUGYFWRJYKMF-INIZCTEOSA-N 1 2 317.433 1.159 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)=C1CCCC1 ZINC001233582156 887130852 /nfs/dbraw/zinc/13/08/52/887130852.db2.gz WLEUGYFWRJYKMF-INIZCTEOSA-N 1 2 317.433 1.159 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@H]2CS(N)(=O)=O)cc1F ZINC001363815928 887299397 /nfs/dbraw/zinc/29/93/97/887299397.db2.gz QXTXLVKFWVYWMW-ZDUSSCGKSA-N 1 2 311.382 1.340 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@H]2CS(N)(=O)=O)cc1F ZINC001363815928 887299416 /nfs/dbraw/zinc/29/94/16/887299416.db2.gz QXTXLVKFWVYWMW-ZDUSSCGKSA-N 1 2 311.382 1.340 20 30 DDEDLO CCOC(=O)C[NH+]1CCC2(C[C@@H]2C(=O)N2CC(CC#N)C2)CC1 ZINC001277816276 887434986 /nfs/dbraw/zinc/43/49/86/887434986.db2.gz LTLTVLPWPFIUDB-CQSZACIVSA-N 1 2 319.405 1.024 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ocnc1C ZINC001234246586 887786797 /nfs/dbraw/zinc/78/67/97/887786797.db2.gz QMMRFHJGWYVWTF-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ocnc1C ZINC001234246586 887786813 /nfs/dbraw/zinc/78/68/13/887786813.db2.gz QMMRFHJGWYVWTF-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO CCC(=O)C[N@H+]1C[C@H]2CC[C@@H](C1)N2Cc1cc(C#N)n(C)c1 ZINC001277851351 887918227 /nfs/dbraw/zinc/91/82/27/887918227.db2.gz FTSVABFLESHBCU-GASCZTMLSA-N 1 2 300.406 1.524 20 30 DDEDLO CCC(=O)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2Cc1cc(C#N)n(C)c1 ZINC001277851351 887918233 /nfs/dbraw/zinc/91/82/33/887918233.db2.gz FTSVABFLESHBCU-GASCZTMLSA-N 1 2 300.406 1.524 20 30 DDEDLO CCC(=O)CN1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001277851351 887918242 /nfs/dbraw/zinc/91/82/42/887918242.db2.gz FTSVABFLESHBCU-GASCZTMLSA-N 1 2 300.406 1.524 20 30 DDEDLO CCC(=O)CN1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001277851351 887918245 /nfs/dbraw/zinc/91/82/45/887918245.db2.gz FTSVABFLESHBCU-GASCZTMLSA-N 1 2 300.406 1.524 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC(C)C ZINC001234538219 888071232 /nfs/dbraw/zinc/07/12/32/888071232.db2.gz VSVLXMMATHOSME-CQSZACIVSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC(C)C ZINC001234538219 888071246 /nfs/dbraw/zinc/07/12/46/888071246.db2.gz VSVLXMMATHOSME-CQSZACIVSA-N 1 2 309.454 1.646 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](CC)C(N)=O ZINC001234555938 888091401 /nfs/dbraw/zinc/09/14/01/888091401.db2.gz ZLRQCHYEUMOZOB-NWDGAFQWSA-N 1 2 315.845 1.562 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](CC)C(N)=O ZINC001234555938 888091413 /nfs/dbraw/zinc/09/14/13/888091413.db2.gz ZLRQCHYEUMOZOB-NWDGAFQWSA-N 1 2 315.845 1.562 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234563776 888102271 /nfs/dbraw/zinc/10/22/71/888102271.db2.gz XQXDGDBWHLLBPO-GJZGRUSLSA-N 1 2 321.465 1.339 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234563776 888102273 /nfs/dbraw/zinc/10/22/73/888102273.db2.gz XQXDGDBWHLLBPO-GJZGRUSLSA-N 1 2 321.465 1.339 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@@H](C)C1CC1 ZINC001234626224 888152781 /nfs/dbraw/zinc/15/27/81/888152781.db2.gz RATRTYUXCLLDMB-HOCLYGCPSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@@H](C)C1CC1 ZINC001234626224 888152788 /nfs/dbraw/zinc/15/27/88/888152788.db2.gz RATRTYUXCLLDMB-HOCLYGCPSA-N 1 2 321.465 1.790 20 30 DDEDLO N#Cc1cc(F)ccc1C[NH+]1CCC(Cc2nn[nH]n2)CC1 ZINC001364514737 888819032 /nfs/dbraw/zinc/81/90/32/888819032.db2.gz QTZSAXOQMLCDBX-UHFFFAOYSA-N 1 2 300.341 1.665 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)n1cnc(C)c1 ZINC001235794452 888953042 /nfs/dbraw/zinc/95/30/42/888953042.db2.gz BMPQWSKVACOBOZ-HNNXBMFYSA-N 1 2 302.422 1.483 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)n1cnc(C)c1 ZINC001235794452 888953054 /nfs/dbraw/zinc/95/30/54/888953054.db2.gz BMPQWSKVACOBOZ-HNNXBMFYSA-N 1 2 302.422 1.483 20 30 DDEDLO N#Cc1c(N)sc2c1CC[N@H+]([C@@H]1CCN(C3CCC3)C1=O)C2 ZINC001364837835 889515359 /nfs/dbraw/zinc/51/53/59/889515359.db2.gz WOBMSXWMPTXNER-CYBMUJFWSA-N 1 2 316.430 1.713 20 30 DDEDLO N#Cc1c(N)sc2c1CC[N@@H+]([C@@H]1CCN(C3CCC3)C1=O)C2 ZINC001364837835 889515369 /nfs/dbraw/zinc/51/53/69/889515369.db2.gz WOBMSXWMPTXNER-CYBMUJFWSA-N 1 2 316.430 1.713 20 30 DDEDLO N#Cc1ccc2nc(CN3CC[C@H]([NH+]4CCOCC4)C3)cn2c1 ZINC001237613356 889758239 /nfs/dbraw/zinc/75/82/39/889758239.db2.gz GHWYPNKVRXBVGD-INIZCTEOSA-N 1 2 311.389 1.112 20 30 DDEDLO COCCN(C)C(=O)[C@@H]1CC12C[NH+](Cc1ccccc1C#N)C2 ZINC001278239196 890237304 /nfs/dbraw/zinc/23/73/04/890237304.db2.gz IDGKLNDRYYBYPO-INIZCTEOSA-N 1 2 313.401 1.485 20 30 DDEDLO COCCN(C)C(=O)[C@H]1CC12C[NH+](Cc1ccccc1C#N)C2 ZINC001278239197 890238820 /nfs/dbraw/zinc/23/88/20/890238820.db2.gz IDGKLNDRYYBYPO-MRXNPFEDSA-N 1 2 313.401 1.485 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2ccc(Cl)c(C#N)c2)c[nH+]1 ZINC001365744517 891442214 /nfs/dbraw/zinc/44/22/14/891442214.db2.gz CNGLPNAFJRJKAF-UHFFFAOYSA-N 1 2 324.793 1.976 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)c2ccc(Cl)c(C#N)c2)[nH+]1 ZINC001365744517 891442217 /nfs/dbraw/zinc/44/22/17/891442217.db2.gz CNGLPNAFJRJKAF-UHFFFAOYSA-N 1 2 324.793 1.976 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@]1(C)CC[N@@H+](Cc2nocc2C)C1 ZINC001278381174 891846031 /nfs/dbraw/zinc/84/60/31/891846031.db2.gz HTHIWQQFTLSTTL-HOTGVXAUSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@]1(C)CC[N@H+](Cc2nocc2C)C1 ZINC001278381174 891846038 /nfs/dbraw/zinc/84/60/38/891846038.db2.gz HTHIWQQFTLSTTL-HOTGVXAUSA-N 1 2 307.394 1.391 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H]([NH2+]Cc2cnsn2)C2CC2)c[nH]1 ZINC001366035291 892286424 /nfs/dbraw/zinc/28/64/24/892286424.db2.gz KIWNZWRDTHAPKF-CYBMUJFWSA-N 1 2 316.390 1.036 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@@H]1[N@H+](Cc1ncnn1C)CC2 ZINC001278446568 892622650 /nfs/dbraw/zinc/62/26/50/892622650.db2.gz QKYHCZHXOSFWOM-YOEHRIQHSA-N 1 2 315.421 1.232 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@@H]1[N@@H+](Cc1ncnn1C)CC2 ZINC001278446568 892622660 /nfs/dbraw/zinc/62/26/60/892622660.db2.gz QKYHCZHXOSFWOM-YOEHRIQHSA-N 1 2 315.421 1.232 20 30 DDEDLO N#Cc1ccn2ncc(C[NH+]3CCN(c4cncnc4)CC3)c2c1 ZINC001249119343 893824642 /nfs/dbraw/zinc/82/46/42/893824642.db2.gz SWVQXDGDCBRKRH-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H]1CCCN1C(=O)CCC ZINC001366592692 894174527 /nfs/dbraw/zinc/17/45/27/894174527.db2.gz NYDGWOCVMWVMAV-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H]1CCCN1C(=O)CCC ZINC001366592692 894174532 /nfs/dbraw/zinc/17/45/32/894174532.db2.gz NYDGWOCVMWVMAV-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)Cc1cnn(C)c1C)C1CC1 ZINC001366744317 894788374 /nfs/dbraw/zinc/78/83/74/894788374.db2.gz IZAVTNBBFYOWCC-UHFFFAOYSA-N 1 2 310.829 1.604 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)Cc1cnn(C)c1C)C1CC1 ZINC001366744317 894788388 /nfs/dbraw/zinc/78/83/88/894788388.db2.gz IZAVTNBBFYOWCC-UHFFFAOYSA-N 1 2 310.829 1.604 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cc(CC)nn1C)C1CC1 ZINC001366753871 894822456 /nfs/dbraw/zinc/82/24/56/894822456.db2.gz CNEWKVHDIHWCDZ-UHFFFAOYSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cc(CC)nn1C)C1CC1 ZINC001366753871 894822469 /nfs/dbraw/zinc/82/24/69/894822469.db2.gz CNEWKVHDIHWCDZ-UHFFFAOYSA-N 1 2 310.829 1.929 20 30 DDEDLO CC(=O)N(C)Cc1nnc2n1CCC[N@H+]([C@@H]1CC[C@@H](C#N)C1)C2 ZINC001254665224 896562289 /nfs/dbraw/zinc/56/22/89/896562289.db2.gz ZPBXGUWCPDUDDY-ZIAGYGMSSA-N 1 2 316.409 1.154 20 30 DDEDLO CC(=O)N(C)Cc1nnc2n1CCC[N@@H+]([C@@H]1CC[C@@H](C#N)C1)C2 ZINC001254665224 896562300 /nfs/dbraw/zinc/56/23/00/896562300.db2.gz ZPBXGUWCPDUDDY-ZIAGYGMSSA-N 1 2 316.409 1.154 20 30 DDEDLO CC[C@@H](CC#N)[N@@H+]1CCC[C@@]2(CCCCN2S(C)(=O)=O)C1 ZINC001255169173 896767127 /nfs/dbraw/zinc/76/71/27/896767127.db2.gz AUCPJFHKSIAPRN-GJZGRUSLSA-N 1 2 313.467 1.959 20 30 DDEDLO CC[C@@H](CC#N)[N@H+]1CCC[C@@]2(CCCCN2S(C)(=O)=O)C1 ZINC001255169173 896767134 /nfs/dbraw/zinc/76/71/34/896767134.db2.gz AUCPJFHKSIAPRN-GJZGRUSLSA-N 1 2 313.467 1.959 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1c(C)nn(C)c1OC ZINC001367534780 897081613 /nfs/dbraw/zinc/08/16/13/897081613.db2.gz PGQPITOIBXVHHG-UHFFFAOYSA-N 1 2 314.817 1.493 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1c(C)nn(C)c1OC ZINC001367534780 897081620 /nfs/dbraw/zinc/08/16/20/897081620.db2.gz PGQPITOIBXVHHG-UHFFFAOYSA-N 1 2 314.817 1.493 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C[NH2+]Cc1cnsn1)C1CCCC1 ZINC001368140314 898790308 /nfs/dbraw/zinc/79/03/08/898790308.db2.gz XTQDMMDRNSPEPU-ZWNOBZJWSA-N 1 2 307.423 1.462 20 30 DDEDLO C=CCCC(=O)NCCCNC(=O)c1cccc2[nH+]ccn21 ZINC001293190905 914319754 /nfs/dbraw/zinc/31/97/54/914319754.db2.gz KMXLDIDASCYORC-UHFFFAOYSA-N 1 2 300.362 1.537 20 30 DDEDLO C[N@H+](CCNC(=O)[C@H]1CCOC1)Cc1ccc(C#N)cc1F ZINC001390740576 900069809 /nfs/dbraw/zinc/06/98/09/900069809.db2.gz GFSRALHJFUBMRC-AWEZNQCLSA-N 1 2 305.353 1.282 20 30 DDEDLO C[N@@H+](CCNC(=O)[C@H]1CCOC1)Cc1ccc(C#N)cc1F ZINC001390740576 900069818 /nfs/dbraw/zinc/06/98/18/900069818.db2.gz GFSRALHJFUBMRC-AWEZNQCLSA-N 1 2 305.353 1.282 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3(c4ccccc4)CC3)n2C)CC1 ZINC001262927775 900421589 /nfs/dbraw/zinc/42/15/89/900421589.db2.gz RNFRIPOVIPDIAO-UHFFFAOYSA-N 1 2 321.428 1.650 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N1CC(C)(OC)C1 ZINC001262948629 900430843 /nfs/dbraw/zinc/43/08/43/900430843.db2.gz RLJGOPOCTJROCP-CYBMUJFWSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N1CC(C)(OC)C1 ZINC001262948629 900430852 /nfs/dbraw/zinc/43/08/52/900430852.db2.gz RLJGOPOCTJROCP-CYBMUJFWSA-N 1 2 305.426 1.846 20 30 DDEDLO C#CCN(CC)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001263679686 900670507 /nfs/dbraw/zinc/67/05/07/900670507.db2.gz KMDYZMDDENTVGL-RHSMWYFYSA-N 1 2 317.437 1.059 20 30 DDEDLO C#CCN(CC)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC1CC1 ZINC001263679686 900670511 /nfs/dbraw/zinc/67/05/11/900670511.db2.gz KMDYZMDDENTVGL-RHSMWYFYSA-N 1 2 317.437 1.059 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)C#CC(C)C)CC[N@@H+]1Cc1cn(CC)nn1 ZINC001264051573 900894349 /nfs/dbraw/zinc/89/43/49/900894349.db2.gz HZLSSXFNSHJPDB-JKSUJKDBSA-N 1 2 317.437 1.427 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)C#CC(C)C)CC[N@H+]1Cc1cn(CC)nn1 ZINC001264051573 900894353 /nfs/dbraw/zinc/89/43/53/900894353.db2.gz HZLSSXFNSHJPDB-JKSUJKDBSA-N 1 2 317.437 1.427 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2sccc2C)[C@H]1C ZINC001264129634 900964677 /nfs/dbraw/zinc/96/46/77/900964677.db2.gz XULKACMHIKFRLJ-OLZOCXBDSA-N 1 2 321.446 1.551 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2sccc2C)[C@H]1C ZINC001264129634 900964684 /nfs/dbraw/zinc/96/46/84/900964684.db2.gz XULKACMHIKFRLJ-OLZOCXBDSA-N 1 2 321.446 1.551 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnnn2CC)[C@@H]1C ZINC001264139129 900970499 /nfs/dbraw/zinc/97/04/99/900970499.db2.gz CXHXSPMQQMTMLO-HIFRSBDPSA-N 1 2 303.410 1.181 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnnn2CC)[C@@H]1C ZINC001264139129 900970504 /nfs/dbraw/zinc/97/05/04/900970504.db2.gz CXHXSPMQQMTMLO-HIFRSBDPSA-N 1 2 303.410 1.181 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@@H]23)o1 ZINC001369413715 901229606 /nfs/dbraw/zinc/22/96/06/901229606.db2.gz NNGIENFCBUZTPX-JVLSTEMRSA-N 1 2 303.366 1.151 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@@H]23)o1 ZINC001369413715 901229618 /nfs/dbraw/zinc/22/96/18/901229618.db2.gz NNGIENFCBUZTPX-JVLSTEMRSA-N 1 2 303.366 1.151 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@@H](CC)OC)C2 ZINC001264747215 901327455 /nfs/dbraw/zinc/32/74/55/901327455.db2.gz UWYSBLYFGZQCTH-GFCCVEGCSA-N 1 2 307.419 1.176 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@H]1C[C@@H]1C(=O)OC)C(C)C ZINC001369514582 901425874 /nfs/dbraw/zinc/42/58/74/901425874.db2.gz HNTDFNANCLSKLE-STQMWFEESA-N 1 2 316.829 1.717 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@H]1C[C@@H]1C(=O)OC)C(C)C ZINC001369514582 901425877 /nfs/dbraw/zinc/42/58/77/901425877.db2.gz HNTDFNANCLSKLE-STQMWFEESA-N 1 2 316.829 1.717 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C2(CC)CCCC2)C1 ZINC001265229290 901746520 /nfs/dbraw/zinc/74/65/20/901746520.db2.gz UOMSRHFLBIJEQZ-OAHLLOKOSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C2(CC)CCCC2)C1 ZINC001265229290 901746528 /nfs/dbraw/zinc/74/65/28/901746528.db2.gz UOMSRHFLBIJEQZ-OAHLLOKOSA-N 1 2 319.449 1.287 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)CCC2CCCC2)CC1 ZINC001265255945 901775815 /nfs/dbraw/zinc/77/58/15/901775815.db2.gz HKZMLPXOOSHAPL-UHFFFAOYSA-N 1 2 305.466 1.714 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)CCc2ccccc2)CC1 ZINC001265264739 901787041 /nfs/dbraw/zinc/78/70/41/901787041.db2.gz BGIGMROLQHUSSO-UHFFFAOYSA-N 1 2 313.445 1.376 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C2=CCCCCC2)C1 ZINC001265279371 901814429 /nfs/dbraw/zinc/81/44/29/901814429.db2.gz RPVYKNOTAQRWPM-OAHLLOKOSA-N 1 2 305.422 1.370 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C2=CCCCCC2)C1 ZINC001265279371 901814442 /nfs/dbraw/zinc/81/44/42/901814442.db2.gz RPVYKNOTAQRWPM-OAHLLOKOSA-N 1 2 305.422 1.370 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H](C)c2ccsc2)C1 ZINC001265303146 901853051 /nfs/dbraw/zinc/85/30/51/901853051.db2.gz BUFJDMXYTMJVQJ-GXTWGEPZSA-N 1 2 321.446 1.344 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)c2ccsc2)C1 ZINC001265303146 901853059 /nfs/dbraw/zinc/85/30/59/901853059.db2.gz BUFJDMXYTMJVQJ-GXTWGEPZSA-N 1 2 321.446 1.344 20 30 DDEDLO C=CCC[C@@H](C(=O)N[C@H]1CC[N@@H+](CC(N)=O)C1)c1ccccc1 ZINC001265321168 901883419 /nfs/dbraw/zinc/88/34/19/901883419.db2.gz GFJDXEJWXVDXJN-JKSUJKDBSA-N 1 2 315.417 1.412 20 30 DDEDLO C=CCC[C@@H](C(=O)N[C@H]1CC[N@H+](CC(N)=O)C1)c1ccccc1 ZINC001265321168 901883430 /nfs/dbraw/zinc/88/34/30/901883430.db2.gz GFJDXEJWXVDXJN-JKSUJKDBSA-N 1 2 315.417 1.412 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001293610092 914582634 /nfs/dbraw/zinc/58/26/34/914582634.db2.gz IHLZHNOWXGPPLK-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001293610092 914582645 /nfs/dbraw/zinc/58/26/45/914582645.db2.gz IHLZHNOWXGPPLK-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CCC[C@H](CNCC#N)C1)n1cc[nH+]c1 ZINC001265613024 902202318 /nfs/dbraw/zinc/20/23/18/902202318.db2.gz HBUYWZZRWPFBRK-CVEARBPZSA-N 1 2 317.437 1.822 20 30 DDEDLO C[C@@H](CNC(=O)C#CC(C)(C)C)[NH2+]Cc1nc(C(F)F)no1 ZINC001265773376 902392925 /nfs/dbraw/zinc/39/29/25/902392925.db2.gz UPFYLYXDXZYGGA-VIFPVBQESA-N 1 2 314.336 1.651 20 30 DDEDLO CC#CCCCC(=O)N(C)C1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001266186617 903078263 /nfs/dbraw/zinc/07/82/63/903078263.db2.gz GQHPEOYYXQWKGK-UHFFFAOYSA-N 1 2 317.437 1.432 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC001266204674 903106014 /nfs/dbraw/zinc/10/60/14/903106014.db2.gz FPQYGCPZUCUCPV-QWHCGFSZSA-N 1 2 319.434 1.375 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC001266204674 903106018 /nfs/dbraw/zinc/10/60/18/903106018.db2.gz FPQYGCPZUCUCPV-QWHCGFSZSA-N 1 2 319.434 1.375 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC001266204670 903106828 /nfs/dbraw/zinc/10/68/28/903106828.db2.gz FPQYGCPZUCUCPV-CHWSQXEVSA-N 1 2 319.434 1.375 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC001266204670 903106834 /nfs/dbraw/zinc/10/68/34/903106834.db2.gz FPQYGCPZUCUCPV-CHWSQXEVSA-N 1 2 319.434 1.375 20 30 DDEDLO C#CC[N@H+]1CCC[C@](CO)(NC(=O)c2cc(COC)cs2)C1 ZINC001279500279 903286923 /nfs/dbraw/zinc/28/69/23/903286923.db2.gz QDKDUPWYIFQASG-INIZCTEOSA-N 1 2 322.430 1.084 20 30 DDEDLO C#CC[N@@H+]1CCC[C@](CO)(NC(=O)c2cc(COC)cs2)C1 ZINC001279500279 903286939 /nfs/dbraw/zinc/28/69/39/903286939.db2.gz QDKDUPWYIFQASG-INIZCTEOSA-N 1 2 322.430 1.084 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)C(C)C ZINC001316599795 903448978 /nfs/dbraw/zinc/44/89/78/903448978.db2.gz FSMDSEBACUBVPD-INIZCTEOSA-N 1 2 321.465 1.580 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)C(C)C ZINC001316599795 903448989 /nfs/dbraw/zinc/44/89/89/903448989.db2.gz FSMDSEBACUBVPD-INIZCTEOSA-N 1 2 321.465 1.580 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnoc1C(C)C ZINC001316602944 903619603 /nfs/dbraw/zinc/61/96/03/903619603.db2.gz AOYIJELRERMAEF-CQSZACIVSA-N 1 2 319.405 1.594 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnoc1C(C)C ZINC001316602944 903619616 /nfs/dbraw/zinc/61/96/16/903619616.db2.gz AOYIJELRERMAEF-CQSZACIVSA-N 1 2 319.405 1.594 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1COCC[N@H+]1CC/C=C\CC ZINC001280406612 903644253 /nfs/dbraw/zinc/64/42/53/903644253.db2.gz UCDRICLPYVTQFF-UWUBPMADSA-N 1 2 308.422 1.198 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1COCC[N@@H+]1CC/C=C\CC ZINC001280406612 903644260 /nfs/dbraw/zinc/64/42/60/903644260.db2.gz UCDRICLPYVTQFF-UWUBPMADSA-N 1 2 308.422 1.198 20 30 DDEDLO C=CCOCCN1CC[NH+]([C@H]2CCN(C(=O)C3CCC3)C2)CC1 ZINC001280680582 903915860 /nfs/dbraw/zinc/91/58/60/903915860.db2.gz XRFCRMGXFHRDRH-KRWDZBQOSA-N 1 2 321.465 1.208 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)[C@@H]1C ZINC001281200483 904554813 /nfs/dbraw/zinc/55/48/13/904554813.db2.gz FKRCDYCXFGNWOS-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1Cc2ccccc21 ZINC001316609016 904571545 /nfs/dbraw/zinc/57/15/45/904571545.db2.gz ZDFJACSLININRH-SJLPKXTDSA-N 1 2 312.413 1.509 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1Cc2ccccc21 ZINC001316609016 904571555 /nfs/dbraw/zinc/57/15/55/904571555.db2.gz ZDFJACSLININRH-SJLPKXTDSA-N 1 2 312.413 1.509 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]1CN(C)C(=O)CCn1cc[nH+]c1 ZINC001282046572 905464498 /nfs/dbraw/zinc/46/44/98/905464498.db2.gz FWXYMCQZVSXAEL-AWEZNQCLSA-N 1 2 304.394 1.299 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H](C)[C@@H](C)NC(=O)C#CC2CC2)o1 ZINC001282130324 905566329 /nfs/dbraw/zinc/56/63/29/905566329.db2.gz XEEFEPVMUWGBGQ-NWDGAFQWSA-N 1 2 304.394 1.589 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)[C@@H]1C ZINC001282332178 905693500 /nfs/dbraw/zinc/69/35/00/905693500.db2.gz OIYDBFZNKDTMGX-KFWWJZLASA-N 1 2 318.421 1.906 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001282745499 906014978 /nfs/dbraw/zinc/01/49/78/906014978.db2.gz YUDOCOTYYLZWEF-MNOVXSKESA-N 1 2 317.380 1.016 20 30 DDEDLO C=CCCC(=O)N1CCC(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC001283417377 907321687 /nfs/dbraw/zinc/32/16/87/907321687.db2.gz GKLNXJRJQPLFPE-ZIAGYGMSSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCCC(=O)N1CCC(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CC1 ZINC001283417377 907321703 /nfs/dbraw/zinc/32/17/03/907321703.db2.gz GKLNXJRJQPLFPE-ZIAGYGMSSA-N 1 2 316.405 1.587 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](CO)[NH2+]Cc1nc(C)oc1C ZINC001283793006 907969227 /nfs/dbraw/zinc/96/92/27/907969227.db2.gz RCVXNLVELUVIMA-AWEZNQCLSA-N 1 2 307.394 1.052 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001283886215 908133081 /nfs/dbraw/zinc/13/30/81/908133081.db2.gz XZRAMEVVFPWWAS-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H](CNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001283951015 908229126 /nfs/dbraw/zinc/22/91/26/908229126.db2.gz SKZRJCMCUUTBIM-ZFWWWQNUSA-N 1 2 318.421 1.496 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001284531473 909150621 /nfs/dbraw/zinc/15/06/21/909150621.db2.gz MFUJLGWKOXWLQW-GUYCJALGSA-N 1 2 320.437 1.765 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001284531473 909150632 /nfs/dbraw/zinc/15/06/32/909150632.db2.gz MFUJLGWKOXWLQW-GUYCJALGSA-N 1 2 320.437 1.765 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CC[NH+]([C@@H](C)c2nnnn2C)CC1 ZINC001284713689 909385235 /nfs/dbraw/zinc/38/52/35/909385235.db2.gz ZYNWGBKCZASSNV-STQMWFEESA-N 1 2 320.441 1.454 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C(=O)Cc1c[nH+]c[nH]1)C1CC1 ZINC001284746441 909435165 /nfs/dbraw/zinc/43/51/65/909435165.db2.gz LYIBXTGYQHHXGY-UHFFFAOYSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCCC1 ZINC001285111859 910080723 /nfs/dbraw/zinc/08/07/23/910080723.db2.gz SPXGLSYUPMCHND-UHFFFAOYSA-N 1 2 304.394 1.395 20 30 DDEDLO C=CCCC(=O)N[C@@](C)(CNC(=O)Cc1c[nH+]cn1C)C1CC1 ZINC001285132759 910104685 /nfs/dbraw/zinc/10/46/85/910104685.db2.gz YWSHGWSJLQKQHL-KRWDZBQOSA-N 1 2 318.421 1.330 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)Cc3c[nH]cn3)C2)s1 ZINC001373534853 910115220 /nfs/dbraw/zinc/11/52/20/910115220.db2.gz FCCSPIPZJSBJFA-UHFFFAOYSA-N 1 2 315.402 1.134 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001285199011 910196503 /nfs/dbraw/zinc/19/65/03/910196503.db2.gz RUBWOHDQOFXWPN-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CN(C)C(C)=O)[C@H]1C ZINC001394685075 910273786 /nfs/dbraw/zinc/27/37/86/910273786.db2.gz BVDYSVQCDJAFNQ-YPMHNXCESA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CN(C)C(C)=O)[C@H]1C ZINC001394685075 910273797 /nfs/dbraw/zinc/27/37/97/910273797.db2.gz BVDYSVQCDJAFNQ-YPMHNXCESA-N 1 2 301.818 1.186 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNC(=O)CCn1cc[nH+]c1 ZINC001285758696 911160880 /nfs/dbraw/zinc/16/08/80/911160880.db2.gz OVXSPMTVRBWWQX-RHSMWYFYSA-N 1 2 320.437 1.839 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)C)n2CCCCOC)CC1 ZINC001286471012 911989828 /nfs/dbraw/zinc/98/98/28/911989828.db2.gz FWVOYMBNAVKKEV-UHFFFAOYSA-N 1 2 319.453 1.583 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001375105422 914973162 /nfs/dbraw/zinc/97/31/62/914973162.db2.gz SZBBVRLQJCVTGO-UTUOFQBUSA-N 1 2 301.818 1.077 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001375105422 914973172 /nfs/dbraw/zinc/97/31/72/914973172.db2.gz SZBBVRLQJCVTGO-UTUOFQBUSA-N 1 2 301.818 1.077 20 30 DDEDLO CN(CCCNC(=O)CCn1cc[nH+]c1)C(=O)C#CC(C)(C)C ZINC001294211730 914985005 /nfs/dbraw/zinc/98/50/05/914985005.db2.gz VRCOCEUGIVVMRE-UHFFFAOYSA-N 1 2 318.421 1.287 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CN(C)C(=O)CCc1c[nH+]cn1C ZINC001397042771 915034087 /nfs/dbraw/zinc/03/40/87/915034087.db2.gz XPRKOKFMTJRDJQ-AWEZNQCLSA-N 1 2 310.829 1.638 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC001375136205 915074001 /nfs/dbraw/zinc/07/40/01/915074001.db2.gz ZFYXRXGNTWJWFH-SWLSCSKDSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC001375136205 915074021 /nfs/dbraw/zinc/07/40/21/915074021.db2.gz ZFYXRXGNTWJWFH-SWLSCSKDSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001296439930 916417971 /nfs/dbraw/zinc/41/79/71/916417971.db2.gz RCLUVLUWSKMCRU-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(C)CCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@H]2C[C@@H]2C)CC1 ZINC001296826219 916583116 /nfs/dbraw/zinc/58/31/16/916583116.db2.gz FTLKYRIZTKHLSE-HOTGVXAUSA-N 1 2 321.465 1.601 20 30 DDEDLO CCc1ccccc1CC[NH+]1CC(O)(CNC(=O)[C@@H](C)C#N)C1 ZINC001376142567 917791935 /nfs/dbraw/zinc/79/19/35/917791935.db2.gz NABNAMYCBPKZDX-AWEZNQCLSA-N 1 2 315.417 1.114 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)C[C@@H]1COC(=O)C1 ZINC001376562977 918765262 /nfs/dbraw/zinc/76/52/62/918765262.db2.gz BCLTWHCFSISAQR-STQMWFEESA-N 1 2 314.813 1.663 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)C[C@@H]1COC(=O)C1 ZINC001376562977 918765275 /nfs/dbraw/zinc/76/52/75/918765275.db2.gz BCLTWHCFSISAQR-STQMWFEESA-N 1 2 314.813 1.663 20 30 DDEDLO COCC1(C(=O)NCC[N@H+](C)Cc2ccccc2C#N)CC1 ZINC001377195375 920599350 /nfs/dbraw/zinc/59/93/50/920599350.db2.gz FDUIMCKTFQKESF-UHFFFAOYSA-N 1 2 301.390 1.533 20 30 DDEDLO COCC1(C(=O)NCC[N@@H+](C)Cc2ccccc2C#N)CC1 ZINC001377195375 920599362 /nfs/dbraw/zinc/59/93/62/920599362.db2.gz FDUIMCKTFQKESF-UHFFFAOYSA-N 1 2 301.390 1.533 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@H+](Cc2nccn2C(F)F)C1 ZINC001377441304 921924110 /nfs/dbraw/zinc/92/41/10/921924110.db2.gz RVJJOQMXXKBBGH-WDEREUQCSA-N 1 2 311.336 1.376 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CC[N@@H+](Cc2nccn2C(F)F)C1 ZINC001377441304 921924115 /nfs/dbraw/zinc/92/41/15/921924115.db2.gz RVJJOQMXXKBBGH-WDEREUQCSA-N 1 2 311.336 1.376 20 30 DDEDLO C[N@@H+]1CCc2nc(NC(=O)c3cc(O)cc(C#N)c3)sc2C1 ZINC000614292982 361792987 /nfs/dbraw/zinc/79/29/87/361792987.db2.gz LWSJTBBCWHFAHZ-UHFFFAOYSA-N 1 2 314.370 1.961 20 30 DDEDLO C[N@H+]1CCc2nc(NC(=O)c3cc(O)cc(C#N)c3)sc2C1 ZINC000614292982 361792989 /nfs/dbraw/zinc/79/29/89/361792989.db2.gz LWSJTBBCWHFAHZ-UHFFFAOYSA-N 1 2 314.370 1.961 20 30 DDEDLO CCc1ccc(NC(=O)[C@H](C)[N@@H+]2CC[C@H](NC(=O)NC)C2)cc1 ZINC000330937742 529685769 /nfs/dbraw/zinc/68/57/69/529685769.db2.gz AXYBGFLUHZEOGB-WFASDCNBSA-N 1 2 318.421 1.784 20 30 DDEDLO CCc1ccc(NC(=O)[C@H](C)[N@H+]2CC[C@H](NC(=O)NC)C2)cc1 ZINC000330937742 529685771 /nfs/dbraw/zinc/68/57/71/529685771.db2.gz AXYBGFLUHZEOGB-WFASDCNBSA-N 1 2 318.421 1.784 20 30 DDEDLO COC(=O)[C@H]1[C@H](O)CCC[N@@H+]1Cc1ccc(C(C)(C)C#N)cc1 ZINC000614919785 362077134 /nfs/dbraw/zinc/07/71/34/362077134.db2.gz OSHXUDAASWWPIW-HZPDHXFCSA-N 1 2 316.401 1.986 20 30 DDEDLO COC(=O)[C@H]1[C@H](O)CCC[N@H+]1Cc1ccc(C(C)(C)C#N)cc1 ZINC000614919785 362077139 /nfs/dbraw/zinc/07/71/39/362077139.db2.gz OSHXUDAASWWPIW-HZPDHXFCSA-N 1 2 316.401 1.986 20 30 DDEDLO Cn1ncc2c1CCC[C@@H]2[N@H+](C)CC(=O)NC1(C#N)CCC1 ZINC000615226497 362204194 /nfs/dbraw/zinc/20/41/94/362204194.db2.gz ZCURAOASUZGBBZ-ZDUSSCGKSA-N 1 2 301.394 1.292 20 30 DDEDLO Cn1ncc2c1CCC[C@@H]2[N@@H+](C)CC(=O)NC1(C#N)CCC1 ZINC000615226497 362204199 /nfs/dbraw/zinc/20/41/99/362204199.db2.gz ZCURAOASUZGBBZ-ZDUSSCGKSA-N 1 2 301.394 1.292 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)C[C@H](CC#N)c3ccccc3)C[C@H]21 ZINC000330029781 529785866 /nfs/dbraw/zinc/78/58/66/529785866.db2.gz QOXCMGLPDZSMKM-GVDBMIGSSA-N 1 2 313.401 1.615 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)C[C@H](CC#N)c3ccccc3)C[C@H]21 ZINC000330029781 529785867 /nfs/dbraw/zinc/78/58/67/529785867.db2.gz QOXCMGLPDZSMKM-GVDBMIGSSA-N 1 2 313.401 1.615 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)[C@@H](C#N)CCc1ccccc1 ZINC000346313871 529911225 /nfs/dbraw/zinc/91/12/25/529911225.db2.gz YGODUJYHQQGQMX-QGZVFWFLSA-N 1 2 315.417 1.550 20 30 DDEDLO C[N@H+]([C@@H]1CCCN(c2ccc(C#N)cc2)C1=O)C(C)(C)C(N)=O ZINC000295603702 530033327 /nfs/dbraw/zinc/03/33/27/530033327.db2.gz COHNSLJSQHVUKK-CQSZACIVSA-N 1 2 314.389 1.249 20 30 DDEDLO C[N@@H+]([C@@H]1CCCN(c2ccc(C#N)cc2)C1=O)C(C)(C)C(N)=O ZINC000295603702 530033328 /nfs/dbraw/zinc/03/33/28/530033328.db2.gz COHNSLJSQHVUKK-CQSZACIVSA-N 1 2 314.389 1.249 20 30 DDEDLO CN1C(=O)CC[C@@H]1C(=O)Nc1cccc(C[NH+]2CCOCC2)c1 ZINC000329941239 530041781 /nfs/dbraw/zinc/04/17/81/530041781.db2.gz CAGARJXHIMTXML-OAHLLOKOSA-N 1 2 317.389 1.728 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1cc(C#N)cs1)[NH+]1CCOCC1 ZINC000084073934 192399904 /nfs/dbraw/zinc/39/99/04/192399904.db2.gz PKPODAFXQTXIHE-ZDUSSCGKSA-N 1 2 307.419 1.706 20 30 DDEDLO C=CC[N@@H+](Cc1cccc(C#N)c1)C[C@@H]1CCS(=O)(=O)C1 ZINC000279964622 215275081 /nfs/dbraw/zinc/27/50/81/215275081.db2.gz INVUDAVOTBNJTN-INIZCTEOSA-N 1 2 304.415 1.981 20 30 DDEDLO C=CC[N@H+](Cc1cccc(C#N)c1)C[C@@H]1CCS(=O)(=O)C1 ZINC000279964622 215275084 /nfs/dbraw/zinc/27/50/84/215275084.db2.gz INVUDAVOTBNJTN-INIZCTEOSA-N 1 2 304.415 1.981 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](CC)CC(=O)Nc1ccccc1OC ZINC000155748697 186109160 /nfs/dbraw/zinc/10/91/60/186109160.db2.gz IXEVOUFPISRRQR-ZDUSSCGKSA-N 1 2 319.405 1.646 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](CC)CC(=O)Nc1ccccc1OC ZINC000155748697 186109161 /nfs/dbraw/zinc/10/91/61/186109161.db2.gz IXEVOUFPISRRQR-ZDUSSCGKSA-N 1 2 319.405 1.646 20 30 DDEDLO CC(C)NC(=O)CN1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC000023286849 352183204 /nfs/dbraw/zinc/18/32/04/352183204.db2.gz XQMACCQEPNXCBN-UHFFFAOYSA-N 1 2 300.406 1.200 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(Cc2cccc(C#N)c2)CC1 ZINC000023286849 352183206 /nfs/dbraw/zinc/18/32/06/352183206.db2.gz XQMACCQEPNXCBN-UHFFFAOYSA-N 1 2 300.406 1.200 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)NCCNc1cccc[nH+]1 ZINC000063822594 352925702 /nfs/dbraw/zinc/92/57/02/352925702.db2.gz JQZDVMXBXAFIAI-UHFFFAOYSA-N 1 2 323.356 1.429 20 30 DDEDLO COCC[N@H+](CC(=O)N(CCC#N)CCC#N)Cc1ccco1 ZINC000065588649 352956484 /nfs/dbraw/zinc/95/64/84/352956484.db2.gz GDVUKNMHRKJSLB-UHFFFAOYSA-N 1 2 318.377 1.384 20 30 DDEDLO COCC[N@@H+](CC(=O)N(CCC#N)CCC#N)Cc1ccco1 ZINC000065588649 352956488 /nfs/dbraw/zinc/95/64/88/352956488.db2.gz GDVUKNMHRKJSLB-UHFFFAOYSA-N 1 2 318.377 1.384 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)C)CC1 ZINC000067229756 353039528 /nfs/dbraw/zinc/03/95/28/353039528.db2.gz AAIIUKIWBJSZSL-UHFFFAOYSA-N 1 2 323.481 1.991 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)C(C)(C)C)CC1 ZINC000067229756 353039529 /nfs/dbraw/zinc/03/95/29/353039529.db2.gz AAIIUKIWBJSZSL-UHFFFAOYSA-N 1 2 323.481 1.991 20 30 DDEDLO CCOC(=O)[C@H](CC)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000173560006 198226690 /nfs/dbraw/zinc/22/66/90/198226690.db2.gz FZQJRXDDTWRPQX-AWEZNQCLSA-N 1 2 302.378 1.417 20 30 DDEDLO C=C(CC)CNC(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000624178505 366223778 /nfs/dbraw/zinc/22/37/78/366223778.db2.gz NMNGLKVUIOJEAX-MRXNPFEDSA-N 1 2 318.421 1.548 20 30 DDEDLO C=C(CC)CNC(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000624178505 366223783 /nfs/dbraw/zinc/22/37/83/366223783.db2.gz NMNGLKVUIOJEAX-MRXNPFEDSA-N 1 2 318.421 1.548 20 30 DDEDLO Cc1nnc(-c2cccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c2)o1 ZINC000581196505 354731566 /nfs/dbraw/zinc/73/15/66/354731566.db2.gz WSHYSLULULOJNW-MRXNPFEDSA-N 1 2 313.361 1.619 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cccc(CC#N)c2)[C@H](C)C1 ZINC000586772628 354863015 /nfs/dbraw/zinc/86/30/15/354863015.db2.gz SCTXVJFFFIXBHA-CYBMUJFWSA-N 1 2 307.419 1.467 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cccc(CC#N)c2)[C@H](C)C1 ZINC000586772628 354863018 /nfs/dbraw/zinc/86/30/18/354863018.db2.gz SCTXVJFFFIXBHA-CYBMUJFWSA-N 1 2 307.419 1.467 20 30 DDEDLO Cc1cc(N2CCC[C@@H](O)C2)c(C#N)c(N2CCC[C@@H](O)C2)[nH+]1 ZINC000589103280 354959199 /nfs/dbraw/zinc/95/91/99/354959199.db2.gz VRIFQITXYSCYGT-ZIAGYGMSSA-N 1 2 316.405 1.184 20 30 DDEDLO N#Cc1cccc(C(=O)NCc2ccc[nH+]c2N2CCOCC2)n1 ZINC000589285065 354981397 /nfs/dbraw/zinc/98/13/97/354981397.db2.gz YRYWWJMDILIQAE-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000591529066 355323496 /nfs/dbraw/zinc/32/34/96/355323496.db2.gz OSSOWSJNFXTPOZ-BZUAXINKSA-N 1 2 305.422 1.497 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CN[C@@H]1COc2cc(F)cc(F)c21 ZINC000591760647 355379518 /nfs/dbraw/zinc/37/95/18/355379518.db2.gz ODNUWZMRHQUELX-DGCLKSJQSA-N 1 2 311.332 1.194 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CN[C@@H]1COc2cc(F)cc(F)c21 ZINC000591760647 355379520 /nfs/dbraw/zinc/37/95/20/355379520.db2.gz ODNUWZMRHQUELX-DGCLKSJQSA-N 1 2 311.332 1.194 20 30 DDEDLO COC[C@]1(C(N)=O)CCC[N@@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000591628033 355343843 /nfs/dbraw/zinc/34/38/43/355343843.db2.gz KDJUDNCSVCWVTN-INIZCTEOSA-N 1 2 303.362 1.033 20 30 DDEDLO COC[C@]1(C(N)=O)CCC[N@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000591628033 355343847 /nfs/dbraw/zinc/34/38/47/355343847.db2.gz KDJUDNCSVCWVTN-INIZCTEOSA-N 1 2 303.362 1.033 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(F)cc1F)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592147553 355513322 /nfs/dbraw/zinc/51/33/22/355513322.db2.gz QAOYDUCSOHARQT-BMIGLBTASA-N 1 2 309.316 1.642 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(F)cc1F)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592147553 355513325 /nfs/dbraw/zinc/51/33/25/355513325.db2.gz QAOYDUCSOHARQT-BMIGLBTASA-N 1 2 309.316 1.642 20 30 DDEDLO C[C@H](C(=O)Nc1cccc2ncccc21)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149304 355516519 /nfs/dbraw/zinc/51/65/19/355516519.db2.gz MQUKHKXREXBCOH-ACJLOTCBSA-N 1 2 324.384 1.912 20 30 DDEDLO C[C@H](C(=O)Nc1cccc2ncccc21)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149304 355516525 /nfs/dbraw/zinc/51/65/25/355516525.db2.gz MQUKHKXREXBCOH-ACJLOTCBSA-N 1 2 324.384 1.912 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2ccn(-c3ccccc3F)n2)C1 ZINC000592152855 355519094 /nfs/dbraw/zinc/51/90/94/355519094.db2.gz FTXNFXALPYJMIZ-INIZCTEOSA-N 1 2 300.337 1.862 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2ccn(-c3ccccc3F)n2)C1 ZINC000592152855 355519098 /nfs/dbraw/zinc/51/90/98/355519098.db2.gz FTXNFXALPYJMIZ-INIZCTEOSA-N 1 2 300.337 1.862 20 30 DDEDLO N#Cc1cccc2c1C[N@H+](C[C@@H](O)COC1CCOCC1)C2 ZINC000593119589 355805780 /nfs/dbraw/zinc/80/57/80/355805780.db2.gz BEQTWZVNSHCVFF-OAHLLOKOSA-N 1 2 302.374 1.430 20 30 DDEDLO N#Cc1cccc2c1C[N@@H+](C[C@@H](O)COC1CCOCC1)C2 ZINC000593119589 355805785 /nfs/dbraw/zinc/80/57/85/355805785.db2.gz BEQTWZVNSHCVFF-OAHLLOKOSA-N 1 2 302.374 1.430 20 30 DDEDLO COc1cc([N+](=O)[O-])ccc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000593153288 355813069 /nfs/dbraw/zinc/81/30/69/355813069.db2.gz SDWIXOPYWCRRHQ-AWEZNQCLSA-N 1 2 306.322 1.177 20 30 DDEDLO COc1ccc(COCC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000593155289 355813602 /nfs/dbraw/zinc/81/36/02/355813602.db2.gz JMXOTWZAHDKJJJ-MRXNPFEDSA-N 1 2 305.378 1.172 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccccc1-c1nnco1 ZINC000593342458 355858753 /nfs/dbraw/zinc/85/87/53/355858753.db2.gz LYQVVARXUMDUGC-LBPRGKRZSA-N 1 2 302.334 1.322 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccccc1-c1nnco1 ZINC000593342458 355858756 /nfs/dbraw/zinc/85/87/56/355858756.db2.gz LYQVVARXUMDUGC-LBPRGKRZSA-N 1 2 302.334 1.322 20 30 DDEDLO CCn1cc(N2C(=O)C[C@@H]([N@@H+]3CCC[C@@H](CC#N)C3)C2=O)cn1 ZINC000594659267 356277892 /nfs/dbraw/zinc/27/78/92/356277892.db2.gz ANANHGDHUDCZRU-GXTWGEPZSA-N 1 2 315.377 1.161 20 30 DDEDLO CCn1cc(N2C(=O)C[C@@H]([N@H+]3CCC[C@@H](CC#N)C3)C2=O)cn1 ZINC000594659267 356277896 /nfs/dbraw/zinc/27/78/96/356277896.db2.gz ANANHGDHUDCZRU-GXTWGEPZSA-N 1 2 315.377 1.161 20 30 DDEDLO C[C@@]1(C#N)CCN(C(=O)NC2CCN(c3cccc[nH+]3)CC2)C1 ZINC000595455830 356499048 /nfs/dbraw/zinc/49/90/48/356499048.db2.gz VQUGGZXBTRTQOW-KRWDZBQOSA-N 1 2 313.405 1.996 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@@H](O)COc2ccc(CC#N)cc2)o1 ZINC000595701775 356614434 /nfs/dbraw/zinc/61/44/34/356614434.db2.gz UIQIHSOKYJMNHV-CQSZACIVSA-N 1 2 316.361 1.316 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@@H](O)COc2ccc(CC#N)cc2)o1 ZINC000595701775 356614441 /nfs/dbraw/zinc/61/44/41/356614441.db2.gz UIQIHSOKYJMNHV-CQSZACIVSA-N 1 2 316.361 1.316 20 30 DDEDLO C[C@@H]1COC2(CCC2)C[N@@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000595677327 356606161 /nfs/dbraw/zinc/60/61/61/356606161.db2.gz ZEXCOKMUJOLGDC-CQSZACIVSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@@H]1COC2(CCC2)C[N@H+]1CC(=O)NCc1ccc(C#N)cc1 ZINC000595677327 356606163 /nfs/dbraw/zinc/60/61/63/356606163.db2.gz ZEXCOKMUJOLGDC-CQSZACIVSA-N 1 2 313.401 1.818 20 30 DDEDLO COc1cccc(CN(C)C(=O)C[N@@H+]2CC[C@@](C)(C#N)C2)c1 ZINC000595834182 356670640 /nfs/dbraw/zinc/67/06/40/356670640.db2.gz KEHHUHUVOLAAIR-KRWDZBQOSA-N 1 2 301.390 1.889 20 30 DDEDLO COc1cccc(CN(C)C(=O)C[N@H+]2CC[C@@](C)(C#N)C2)c1 ZINC000595834182 356670646 /nfs/dbraw/zinc/67/06/46/356670646.db2.gz KEHHUHUVOLAAIR-KRWDZBQOSA-N 1 2 301.390 1.889 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@@H+]2CC[C@@](C)(C#N)C2)CC1 ZINC000595836176 356671210 /nfs/dbraw/zinc/67/12/10/356671210.db2.gz XCDZDBKJCUDYKM-DYVFJYSZSA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@H+]2CC[C@@](C)(C#N)C2)CC1 ZINC000595836176 356671214 /nfs/dbraw/zinc/67/12/14/356671214.db2.gz XCDZDBKJCUDYKM-DYVFJYSZSA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@@H+]2CC[C@@](C)(C#N)C2)CC1 ZINC000595835447 356671699 /nfs/dbraw/zinc/67/16/99/356671699.db2.gz PYMQIOLOOPPICT-INIZCTEOSA-N 1 2 307.394 1.024 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@H+]2CC[C@@](C)(C#N)C2)CC1 ZINC000595835447 356671702 /nfs/dbraw/zinc/67/17/02/356671702.db2.gz PYMQIOLOOPPICT-INIZCTEOSA-N 1 2 307.394 1.024 20 30 DDEDLO CCc1nc(C#N)cc(N2CC[NH+](Cc3cc(C)no3)CC2)n1 ZINC000596061911 356757744 /nfs/dbraw/zinc/75/77/44/356757744.db2.gz FTSUZARBBARERM-UHFFFAOYSA-N 1 2 312.377 1.529 20 30 DDEDLO C[C@@H](CC#N)C(=O)N1CC[NH+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000596726224 356962007 /nfs/dbraw/zinc/96/20/07/356962007.db2.gz JMBZHGCUOXFZBO-LSDHHAIUSA-N 1 2 320.437 1.081 20 30 DDEDLO C[N@H+](Cc1ccc(C2(C#N)CC2)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000596977398 357032207 /nfs/dbraw/zinc/03/22/07/357032207.db2.gz LOXBZIUCEDLXFD-HNNXBMFYSA-N 1 2 304.415 1.861 20 30 DDEDLO C[N@@H+](Cc1ccc(C2(C#N)CC2)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000596977398 357032210 /nfs/dbraw/zinc/03/22/10/357032210.db2.gz LOXBZIUCEDLXFD-HNNXBMFYSA-N 1 2 304.415 1.861 20 30 DDEDLO C[C@@H](CC(=O)N(CCC#N)CC[NH+]1CCOCC1)[C@H]1CCCO1 ZINC000597024102 357042728 /nfs/dbraw/zinc/04/27/28/357042728.db2.gz FPFCSEONATYERL-JKSUJKDBSA-N 1 2 323.437 1.266 20 30 DDEDLO C[C@H](CC(=O)N(CCC#N)CC[NH+]1CCOCC1)[C@H]1CCCO1 ZINC000597024101 357042739 /nfs/dbraw/zinc/04/27/39/357042739.db2.gz FPFCSEONATYERL-HZPDHXFCSA-N 1 2 323.437 1.266 20 30 DDEDLO Cc1nsc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1C#N ZINC000596903736 357011495 /nfs/dbraw/zinc/01/14/95/357011495.db2.gz FAWNFVKLZGTMOC-NSHDSACASA-N 1 2 321.406 1.262 20 30 DDEDLO Cc1csc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1C#N ZINC000596905349 357012018 /nfs/dbraw/zinc/01/20/18/357012018.db2.gz RHOIEZGHGBZQIU-GFCCVEGCSA-N 1 2 320.418 1.867 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCCOc1ccc(C#N)cc1 ZINC000597300061 357126954 /nfs/dbraw/zinc/12/69/54/357126954.db2.gz YPBWFOONTKUAIN-HUUCEWRRSA-N 1 2 310.357 1.590 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@@H]2CCC[C@H]2[NH+](C)C)cc1C#N ZINC000597535255 357214164 /nfs/dbraw/zinc/21/41/64/357214164.db2.gz UQEVWTDWPTUGNW-VXGBXAGGSA-N 1 2 320.418 1.593 20 30 DDEDLO N#Cc1c(F)cc(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1F ZINC000597727690 357306042 /nfs/dbraw/zinc/30/60/42/357306042.db2.gz KHQBBBZTKORGGB-TXEJJXNPSA-N 1 2 321.327 1.429 20 30 DDEDLO N#Cc1c(F)cc(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1F ZINC000597727690 357306048 /nfs/dbraw/zinc/30/60/48/357306048.db2.gz KHQBBBZTKORGGB-TXEJJXNPSA-N 1 2 321.327 1.429 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2ccc(F)c(C#N)c2)[C@@H](C)CO1 ZINC000597998751 357414463 /nfs/dbraw/zinc/41/44/63/357414463.db2.gz VQYLIGXICUTCII-RYUDHWBXSA-N 1 2 305.353 1.536 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2ccc(F)c(C#N)c2)[C@@H](C)CO1 ZINC000597998751 357414468 /nfs/dbraw/zinc/41/44/68/357414468.db2.gz VQYLIGXICUTCII-RYUDHWBXSA-N 1 2 305.353 1.536 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@@H+]1CCN(c2ccccc2)C(=O)C1 ZINC000598605887 357650524 /nfs/dbraw/zinc/65/05/24/357650524.db2.gz WENIRGJPAQHRAG-OAHLLOKOSA-N 1 2 301.390 1.636 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@H+]1CCN(c2ccccc2)C(=O)C1 ZINC000598605887 357650526 /nfs/dbraw/zinc/65/05/26/357650526.db2.gz WENIRGJPAQHRAG-OAHLLOKOSA-N 1 2 301.390 1.636 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)[N@@H+]1CCN2CCOC[C@H]2C1 ZINC000329642165 223006756 /nfs/dbraw/zinc/00/67/56/223006756.db2.gz ADFJIWAHSKCVRM-DGCLKSJQSA-N 1 2 324.812 1.728 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(Cl)cn1)[N@H+]1CCN2CCOC[C@H]2C1 ZINC000329642165 223006758 /nfs/dbraw/zinc/00/67/58/223006758.db2.gz ADFJIWAHSKCVRM-DGCLKSJQSA-N 1 2 324.812 1.728 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2C(=O)N[C@@H]2Cc3c[nH+]cn3C2)cc1 ZINC000598943308 357767909 /nfs/dbraw/zinc/76/79/09/357767909.db2.gz GTDNMSKNQPNIDX-PBHICJAKSA-N 1 2 321.384 1.465 20 30 DDEDLO C[C@@H](NC(=O)NC[C@H]1COCC[N@@H+]1C)c1cccc(C#N)c1 ZINC000598998232 357787571 /nfs/dbraw/zinc/78/75/71/357787571.db2.gz IMTHAJGQBJSGKZ-DOMZBBRYSA-N 1 2 302.378 1.249 20 30 DDEDLO C[C@@H](NC(=O)NC[C@H]1COCC[N@H+]1C)c1cccc(C#N)c1 ZINC000598998232 357787577 /nfs/dbraw/zinc/78/75/77/357787577.db2.gz IMTHAJGQBJSGKZ-DOMZBBRYSA-N 1 2 302.378 1.249 20 30 DDEDLO COCC[N@H+](Cc1ccc(C)c(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000599335780 357899181 /nfs/dbraw/zinc/89/91/81/357899181.db2.gz DKWCVZFPUVOJGJ-INIZCTEOSA-N 1 2 322.430 1.502 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C)c(C#N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000599335780 357899183 /nfs/dbraw/zinc/89/91/83/357899183.db2.gz DKWCVZFPUVOJGJ-INIZCTEOSA-N 1 2 322.430 1.502 20 30 DDEDLO C[N@H+](CC#CCN1C(=O)c2ccccc2C1=O)CC(C)(C)C#N ZINC000599427547 357930981 /nfs/dbraw/zinc/93/09/81/357930981.db2.gz RWOGJVHYQSEEGV-UHFFFAOYSA-N 1 2 309.369 1.768 20 30 DDEDLO C[N@@H+](CC#CCN1C(=O)c2ccccc2C1=O)CC(C)(C)C#N ZINC000599427547 357930985 /nfs/dbraw/zinc/93/09/85/357930985.db2.gz RWOGJVHYQSEEGV-UHFFFAOYSA-N 1 2 309.369 1.768 20 30 DDEDLO C[C@H]1C[N@H+](CC2(CC#N)CC2)CCN1C(=O)c1cscn1 ZINC000602839272 359229321 /nfs/dbraw/zinc/22/93/21/359229321.db2.gz XKHWYSJCNNALFF-LBPRGKRZSA-N 1 2 304.419 1.983 20 30 DDEDLO C[C@H]1C[N@@H+](CC2(CC#N)CC2)CCN1C(=O)c1cscn1 ZINC000602839272 359229322 /nfs/dbraw/zinc/22/93/22/359229322.db2.gz XKHWYSJCNNALFF-LBPRGKRZSA-N 1 2 304.419 1.983 20 30 DDEDLO C[C@@H]1C[N@H+]([C@H]2CCN(CC(F)(F)F)C2=O)C[C@H](C)N1CC#N ZINC000602855534 359241480 /nfs/dbraw/zinc/24/14/80/359241480.db2.gz HVQWMHBUBQUWCG-TUAOUCFPSA-N 1 2 318.343 1.068 20 30 DDEDLO C[C@@H]1C[N@@H+]([C@H]2CCN(CC(F)(F)F)C2=O)C[C@H](C)N1CC#N ZINC000602855534 359241483 /nfs/dbraw/zinc/24/14/83/359241483.db2.gz HVQWMHBUBQUWCG-TUAOUCFPSA-N 1 2 318.343 1.068 20 30 DDEDLO C[C@@H]1C[N@H+]([C@@H]2CCN(CC(F)(F)F)C2=O)C[C@H](C)N1CC#N ZINC000602855532 359241544 /nfs/dbraw/zinc/24/15/44/359241544.db2.gz HVQWMHBUBQUWCG-GRYCIOLGSA-N 1 2 318.343 1.068 20 30 DDEDLO C[C@@H]1C[N@@H+]([C@@H]2CCN(CC(F)(F)F)C2=O)C[C@H](C)N1CC#N ZINC000602855532 359241548 /nfs/dbraw/zinc/24/15/48/359241548.db2.gz HVQWMHBUBQUWCG-GRYCIOLGSA-N 1 2 318.343 1.068 20 30 DDEDLO C[C@H]1C[NH+](Cc2nnc(-c3ccco3)o2)C[C@H](C)N1CC#N ZINC000602857007 359242659 /nfs/dbraw/zinc/24/26/59/359242659.db2.gz NVBCDZNTVICQSA-RYUDHWBXSA-N 1 2 301.350 1.748 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)Nc2ccc(Cl)cn2)C[C@@H](C)N1CC#N ZINC000602858377 359244907 /nfs/dbraw/zinc/24/49/07/359244907.db2.gz AOIZUUQXFKCTTL-VXGBXAGGSA-N 1 2 321.812 1.592 20 30 DDEDLO CC(C)S(=O)(=O)CCC[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602864816 359248673 /nfs/dbraw/zinc/24/86/73/359248673.db2.gz QTENJFIQYXBEKD-ZIAGYGMSSA-N 1 2 301.456 1.118 20 30 DDEDLO Cc1cccc(C)c1NC(=O)CNC(=O)[C@@H](C)[NH2+][C@H](C)CC#N ZINC000602864344 359248687 /nfs/dbraw/zinc/24/86/87/359248687.db2.gz RXIFZVALTGYCMI-ZIAGYGMSSA-N 1 2 316.405 1.638 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@H](C)N1CC#N ZINC000602865528 359250250 /nfs/dbraw/zinc/25/02/50/359250250.db2.gz TZEHSIRVVQQSSZ-OKILXGFUSA-N 1 2 311.389 1.415 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@H](C)N1CC#N ZINC000602865528 359250251 /nfs/dbraw/zinc/25/02/51/359250251.db2.gz TZEHSIRVVQQSSZ-OKILXGFUSA-N 1 2 311.389 1.415 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@H](C)C(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000602869268 359252922 /nfs/dbraw/zinc/25/29/22/359252922.db2.gz NCODCDJFWNZTGX-ZIAGYGMSSA-N 1 2 318.396 1.755 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@@H](C)C(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000602869264 359253250 /nfs/dbraw/zinc/25/32/50/359253250.db2.gz NCODCDJFWNZTGX-KGLIPLIRSA-N 1 2 318.396 1.755 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)NCCc1nc2ccccc2s1 ZINC000602869823 359254820 /nfs/dbraw/zinc/25/48/20/359254820.db2.gz PHYHPBQYXKFGHG-LLVKDONJSA-N 1 2 302.403 1.847 20 30 DDEDLO N#CCNCc1cn(Cc2[nH+]ccn2Cc2ccccc2)nn1 ZINC000603204806 359479649 /nfs/dbraw/zinc/47/96/49/359479649.db2.gz ZQGIXBZHKGTPRC-UHFFFAOYSA-N 1 2 307.361 1.184 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)n1cc(CC[NH+]2CCOCC2)nn1 ZINC000603228112 359498815 /nfs/dbraw/zinc/49/88/15/359498815.db2.gz QLYWZHWHXQSCAA-CQSZACIVSA-N 1 2 311.389 1.634 20 30 DDEDLO N#CCc1ccc(CNS(=O)(=O)CCn2cc[nH+]c2)cc1 ZINC000603366719 359595996 /nfs/dbraw/zinc/59/59/96/359595996.db2.gz NCWOUEUQGWVMBJ-UHFFFAOYSA-N 1 2 304.375 1.069 20 30 DDEDLO Cn1cccc1C(=O)N1CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC000603684163 359671712 /nfs/dbraw/zinc/67/17/12/359671712.db2.gz WIZISOIIKWBIBU-UHFFFAOYSA-N 1 2 308.385 1.855 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)NC[C@@H]1COCC[N@H+]1C ZINC000624742899 366538704 /nfs/dbraw/zinc/53/87/04/366538704.db2.gz JTQDKOXGMXQSRH-CYBMUJFWSA-N 1 2 309.435 1.776 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000624742899 366538713 /nfs/dbraw/zinc/53/87/13/366538713.db2.gz JTQDKOXGMXQSRH-CYBMUJFWSA-N 1 2 309.435 1.776 20 30 DDEDLO C=CCCOCC(=O)N(CC[NH+]1CCOCC1)c1ccccc1 ZINC000624908959 366618352 /nfs/dbraw/zinc/61/83/52/366618352.db2.gz FEKWQOLZYYQGPB-UHFFFAOYSA-N 1 2 318.417 1.945 20 30 DDEDLO C=C(C)CN(C)C(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000624930157 366625300 /nfs/dbraw/zinc/62/53/00/366625300.db2.gz VZKNCFXKZCMUND-MRXNPFEDSA-N 1 2 318.421 1.500 20 30 DDEDLO C=C(C)CN(C)C(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000624930157 366625307 /nfs/dbraw/zinc/62/53/07/366625307.db2.gz VZKNCFXKZCMUND-MRXNPFEDSA-N 1 2 318.421 1.500 20 30 DDEDLO CN(C(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1)C1CC1 ZINC000329854692 223038213 /nfs/dbraw/zinc/03/82/13/223038213.db2.gz SUSNRBYDRSWFMC-UHFFFAOYSA-N 1 2 317.393 1.109 20 30 DDEDLO COc1c(C)ccc(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)c1C ZINC000329919643 223046833 /nfs/dbraw/zinc/04/68/33/223046833.db2.gz GLKLCFXUHCDPMK-GJZGRUSLSA-N 1 2 319.405 1.872 20 30 DDEDLO COc1c(C)ccc(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)c1C ZINC000329919643 223046835 /nfs/dbraw/zinc/04/68/35/223046835.db2.gz GLKLCFXUHCDPMK-GJZGRUSLSA-N 1 2 319.405 1.872 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1Cc2ccccc21 ZINC000610114616 360382973 /nfs/dbraw/zinc/38/29/73/360382973.db2.gz VSCMSTKKMPFZOR-QGZVFWFLSA-N 1 2 313.401 1.401 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000610505221 360455861 /nfs/dbraw/zinc/45/58/61/360455861.db2.gz CRNCNXFDFDRWTH-RISCZKNCSA-N 1 2 305.353 1.973 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000610505221 360455864 /nfs/dbraw/zinc/45/58/64/360455864.db2.gz CRNCNXFDFDRWTH-RISCZKNCSA-N 1 2 305.353 1.973 20 30 DDEDLO Cc1ccc(NC(=O)N(CCC#N)CC[NH+]2CCOCC2)cn1 ZINC000610903740 360569486 /nfs/dbraw/zinc/56/94/86/360569486.db2.gz QHRAEJKVWFLHDF-UHFFFAOYSA-N 1 2 317.393 1.470 20 30 DDEDLO CN(C(=O)C[NH+]1CCN(CCC#N)CC1)C1(C#N)CCCCC1 ZINC000611175804 360649262 /nfs/dbraw/zinc/64/92/62/360649262.db2.gz MQJFDPXWIIEXBW-UHFFFAOYSA-N 1 2 317.437 1.203 20 30 DDEDLO Cc1n[nH]cc1C[NH+]1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000611259812 360682556 /nfs/dbraw/zinc/68/25/56/360682556.db2.gz CZGPJQCHOVTBCD-UHFFFAOYSA-N 1 2 312.377 1.777 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](C[C@@H](O)COc2ccc3c(c2)OCO3)C1 ZINC000612163613 360937173 /nfs/dbraw/zinc/93/71/73/360937173.db2.gz XZQYYYITRNDXEB-DYVFJYSZSA-N 1 2 318.373 1.781 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](C[C@@H](O)COc2ccc3c(c2)OCO3)C1 ZINC000612163613 360937177 /nfs/dbraw/zinc/93/71/77/360937177.db2.gz XZQYYYITRNDXEB-DYVFJYSZSA-N 1 2 318.373 1.781 20 30 DDEDLO Cn1cc([C@H]2CCCC[N@@H+]2CC(=O)NC(=O)NC(C)(C)C)cn1 ZINC000330511955 223124057 /nfs/dbraw/zinc/12/40/57/223124057.db2.gz RZLOUNFACJNJFD-CYBMUJFWSA-N 1 2 321.425 1.776 20 30 DDEDLO Cn1cc([C@H]2CCCC[N@H+]2CC(=O)NC(=O)NC(C)(C)C)cn1 ZINC000330511955 223124060 /nfs/dbraw/zinc/12/40/60/223124060.db2.gz RZLOUNFACJNJFD-CYBMUJFWSA-N 1 2 321.425 1.776 20 30 DDEDLO Cn1cc([C@@H]2CCCC[N@@H+]2CC(=O)NC(=O)NC(C)(C)C)cn1 ZINC000330511956 223124196 /nfs/dbraw/zinc/12/41/96/223124196.db2.gz RZLOUNFACJNJFD-ZDUSSCGKSA-N 1 2 321.425 1.776 20 30 DDEDLO Cn1cc([C@@H]2CCCC[N@H+]2CC(=O)NC(=O)NC(C)(C)C)cn1 ZINC000330511956 223124199 /nfs/dbraw/zinc/12/41/99/223124199.db2.gz RZLOUNFACJNJFD-ZDUSSCGKSA-N 1 2 321.425 1.776 20 30 DDEDLO COCCN1CN(C(=O)Nc2c(C)cc(C)[nH+]c2C)CC1=O ZINC000331349022 223203983 /nfs/dbraw/zinc/20/39/83/223203983.db2.gz XMBDCDISRQRANU-UHFFFAOYSA-N 1 2 306.366 1.300 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)CC1(C#N)CCCC1)CC2 ZINC000612682334 361108507 /nfs/dbraw/zinc/10/85/07/361108507.db2.gz XTMZZAKLYDNVPV-UHFFFAOYSA-N 1 2 308.407 1.421 20 30 DDEDLO N#C[C@@H]1CCC[C@@H]1NC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000331903828 223209422 /nfs/dbraw/zinc/20/94/22/223209422.db2.gz ZNJNWQSNGUCLAV-ZFWWWQNUSA-N 1 2 302.378 1.799 20 30 DDEDLO N#CCC1(O)CN(C(=O)c2ccccc2Oc2cc[nH+]cc2)C1 ZINC000338221382 223257116 /nfs/dbraw/zinc/25/71/16/223257116.db2.gz OBWGXUGINNFOAD-UHFFFAOYSA-N 1 2 309.325 1.974 20 30 DDEDLO CN(CC#CCN1C(=O)c2ccccc2C1=O)Cc1[nH+]ccn1C ZINC000625270421 366820504 /nfs/dbraw/zinc/82/05/04/366820504.db2.gz IQUWKUYUGUYUON-UHFFFAOYSA-N 1 2 322.368 1.152 20 30 DDEDLO Cc1nc(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)ccc1C#N ZINC000194910272 201310101 /nfs/dbraw/zinc/31/01/01/201310101.db2.gz WNDJVVSRVLCQQZ-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO Cc1nc(C(=O)NCC[N@H+]2CCOC(C)(C)C2)ccc1C#N ZINC000194910272 201310105 /nfs/dbraw/zinc/31/01/05/201310105.db2.gz WNDJVVSRVLCQQZ-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)Nc2ccc(C#N)cc2)CCO1 ZINC000195118285 201342249 /nfs/dbraw/zinc/34/22/49/201342249.db2.gz FMJMDRHUIGHJCB-UHFFFAOYSA-N 1 2 302.378 1.791 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)Nc2ccc(C#N)cc2)CCO1 ZINC000195118285 201342251 /nfs/dbraw/zinc/34/22/51/201342251.db2.gz FMJMDRHUIGHJCB-UHFFFAOYSA-N 1 2 302.378 1.791 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)CCc2c[nH+]cn2C)CC1 ZINC000619433280 364006608 /nfs/dbraw/zinc/00/66/08/364006608.db2.gz FKHFKUXJQIJQGK-OAHLLOKOSA-N 1 2 303.410 1.045 20 30 DDEDLO CCn1ncnc1C[NH2+][C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000619506634 364043430 /nfs/dbraw/zinc/04/34/30/364043430.db2.gz CTZRRRJHUBUROT-OAHLLOKOSA-N 1 2 324.388 1.455 20 30 DDEDLO CC(C)(C(=O)N[C@@H]1CCc2cc(C#N)ccc21)[NH+]1CCOCC1 ZINC000619777377 364146854 /nfs/dbraw/zinc/14/68/54/364146854.db2.gz QCCOLHVQIQAGAY-MRXNPFEDSA-N 1 2 313.401 1.773 20 30 DDEDLO N#CC1(F)CCN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)CC1 ZINC000345602919 223348403 /nfs/dbraw/zinc/34/84/03/223348403.db2.gz QMHHFOPXMDTVIJ-LBPRGKRZSA-N 1 2 305.357 1.798 20 30 DDEDLO C[C@@H](NCC#CC[NH2+][C@H](C)c1csnn1)c1csnn1 ZINC000625470252 366947053 /nfs/dbraw/zinc/94/70/53/366947053.db2.gz CHVIELASOYIUAY-NXEZZACHSA-N 1 2 308.436 1.394 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000182907491 335004698 /nfs/dbraw/zinc/00/46/98/335004698.db2.gz SKCJMJHVAQCJJQ-AWEZNQCLSA-N 1 2 304.394 1.418 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)Cc3cccnc3)CC2)cc1 ZINC000264882328 204235512 /nfs/dbraw/zinc/23/55/12/204235512.db2.gz SYKOGTGSYJOGTA-UHFFFAOYSA-N 1 2 320.396 1.840 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](C(=O)OC)C1 ZINC000267571949 206151754 /nfs/dbraw/zinc/15/17/54/206151754.db2.gz DOYAMCHZNACJQF-ZIAGYGMSSA-N 1 2 302.330 1.267 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](C(=O)OC)C1 ZINC000267571949 206151757 /nfs/dbraw/zinc/15/17/57/206151757.db2.gz DOYAMCHZNACJQF-ZIAGYGMSSA-N 1 2 302.330 1.267 20 30 DDEDLO CS(=O)(=O)[C@H]1CCC[N@H+](C[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000274166435 211394517 /nfs/dbraw/zinc/39/45/17/211394517.db2.gz NAEBNBHEAACNIN-LSDHHAIUSA-N 1 2 308.403 1.101 20 30 DDEDLO CS(=O)(=O)[C@H]1CCC[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000274166435 211394521 /nfs/dbraw/zinc/39/45/21/211394521.db2.gz NAEBNBHEAACNIN-LSDHHAIUSA-N 1 2 308.403 1.101 20 30 DDEDLO N#Cc1ncccc1N1CC[NH+]([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000274089128 211345624 /nfs/dbraw/zinc/34/56/24/211345624.db2.gz RLRAJWKBRPRMOA-QGZVFWFLSA-N 1 2 321.384 1.302 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@H]21 ZINC000284911855 218231359 /nfs/dbraw/zinc/23/13/59/218231359.db2.gz SOXZEJDHOBDIKI-CABCVRRESA-N 1 2 318.352 1.634 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@H]21 ZINC000284911855 218231361 /nfs/dbraw/zinc/23/13/61/218231361.db2.gz SOXZEJDHOBDIKI-CABCVRRESA-N 1 2 318.352 1.634 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1C[C@@H](C)[NH+](C)[C@H](C)C1 ZINC000339073570 250281990 /nfs/dbraw/zinc/28/19/90/250281990.db2.gz KLZMKYVGFOLOGA-VXGBXAGGSA-N 1 2 323.418 1.280 20 30 DDEDLO CCN(CC)c1ccc(CNC(=O)N2CCO[C@H](C#N)C2)c[nH+]1 ZINC000106330904 194198071 /nfs/dbraw/zinc/19/80/71/194198071.db2.gz RSIICPTWRWAJQB-CQSZACIVSA-N 1 2 317.393 1.362 20 30 DDEDLO N#Cc1cscc1C(=O)N[C@@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000567583935 304227418 /nfs/dbraw/zinc/22/74/18/304227418.db2.gz AQDFRAWZCXIGMG-HUUCEWRRSA-N 1 2 319.430 1.993 20 30 DDEDLO COC(=O)[C@@H]1CN(C(=O)Nc2c(C)cc(C)[nH+]c2C)CCO1 ZINC000331246912 532985126 /nfs/dbraw/zinc/98/51/26/532985126.db2.gz VOVVUQMJIVBCQB-LBPRGKRZSA-N 1 2 307.350 1.426 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCO[C@]4(CCSC4)C3)cnc12 ZINC000567905224 304254984 /nfs/dbraw/zinc/25/49/84/304254984.db2.gz LLNOCLZDGDQUJZ-OAHLLOKOSA-N 1 2 315.402 1.309 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCO[C@]4(CCSC4)C3)cnc12 ZINC000567905224 304254986 /nfs/dbraw/zinc/25/49/86/304254986.db2.gz LLNOCLZDGDQUJZ-OAHLLOKOSA-N 1 2 315.402 1.309 20 30 DDEDLO C[C@@H](C(=O)N(C)[C@@H](C)c1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000425130958 533179403 /nfs/dbraw/zinc/17/94/03/533179403.db2.gz OXLLGSVGQIOXJC-KBPBESRZSA-N 1 2 301.390 1.798 20 30 DDEDLO N#Cc1cncc(S(=O)(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)c1 ZINC000289531831 221045472 /nfs/dbraw/zinc/04/54/72/221045472.db2.gz SHTPBNAVNZBPJD-LBPRGKRZSA-N 1 2 317.374 1.245 20 30 DDEDLO COCC1CC[NH+](CCS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000289858452 221272771 /nfs/dbraw/zinc/27/27/71/221272771.db2.gz BMSLGDKTAPGFNB-UHFFFAOYSA-N 1 2 323.418 1.085 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)C2(C#N)CCCC2)C[C@H]1C ZINC000330718820 533418684 /nfs/dbraw/zinc/41/86/84/533418684.db2.gz DOMHDHVUFNISRZ-CYBMUJFWSA-N 1 2 307.394 1.166 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)C2(C#N)CCCC2)C[C@H]1C ZINC000330718820 533418690 /nfs/dbraw/zinc/41/86/90/533418690.db2.gz DOMHDHVUFNISRZ-CYBMUJFWSA-N 1 2 307.394 1.166 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N(C)CC[NH+]1CCOCC1 ZINC000071874857 406858282 /nfs/dbraw/zinc/85/82/82/406858282.db2.gz NKXVUYTUZGURPB-AWEZNQCLSA-N 1 2 317.389 1.116 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCC[N@@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000027565893 406911286 /nfs/dbraw/zinc/91/12/86/406911286.db2.gz XBHQFGPHYSDCCO-AWEZNQCLSA-N 1 2 300.362 1.049 20 30 DDEDLO CN(C)C(=O)[C@@H]1CCC[N@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000027565893 406911287 /nfs/dbraw/zinc/91/12/87/406911287.db2.gz XBHQFGPHYSDCCO-AWEZNQCLSA-N 1 2 300.362 1.049 20 30 DDEDLO CC[C@@H]1C(=O)NCC[N@H+]1CCOc1ccc(C#N)cc1OC ZINC000077318347 406984022 /nfs/dbraw/zinc/98/40/22/406984022.db2.gz NGERRJOXAMYIHD-CYBMUJFWSA-N 1 2 303.362 1.156 20 30 DDEDLO CC[C@@H]1C(=O)NCC[N@@H+]1CCOc1ccc(C#N)cc1OC ZINC000077318347 406984025 /nfs/dbraw/zinc/98/40/25/406984025.db2.gz NGERRJOXAMYIHD-CYBMUJFWSA-N 1 2 303.362 1.156 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1CC[NH+](CC2CC2)CC1 ZINC000077386632 406988214 /nfs/dbraw/zinc/98/82/14/406988214.db2.gz YRWCYMMHYHQLHO-UHFFFAOYSA-N 1 2 319.430 1.583 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CCC[C@H](CO)C2)c(C#N)c1C ZINC000049774013 407124921 /nfs/dbraw/zinc/12/49/21/407124921.db2.gz PZQNZUDNEZWWAQ-LBPRGKRZSA-N 1 2 307.419 1.879 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CCC[C@H](CO)C2)c(C#N)c1C ZINC000049774013 407124924 /nfs/dbraw/zinc/12/49/24/407124924.db2.gz PZQNZUDNEZWWAQ-LBPRGKRZSA-N 1 2 307.419 1.879 20 30 DDEDLO C=CCOCCCC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000079475314 407055938 /nfs/dbraw/zinc/05/59/38/407055938.db2.gz NEMKIFXSXHKTHO-UHFFFAOYSA-N 1 2 319.405 1.517 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@@H](NC(=O)Cc1cccc(OCC#N)c1)C2 ZINC000089760466 407146925 /nfs/dbraw/zinc/14/69/25/407146925.db2.gz DVDLUIJJFVAITK-OAHLLOKOSA-N 1 2 324.384 1.768 20 30 DDEDLO CSc1nc(C2CC2)cc(C(=O)N2CC[NH+](C)CC2)c1C#N ZINC000066609366 407255511 /nfs/dbraw/zinc/25/55/11/407255511.db2.gz LHIBWTJPIYDYJX-UHFFFAOYSA-N 1 2 316.430 1.940 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCO[C@H](COC)C2)cc(OC)c1O ZINC000093528525 407198306 /nfs/dbraw/zinc/19/83/06/407198306.db2.gz YKMVRYGGBYCSPX-HNNXBMFYSA-N 1 2 307.390 1.977 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCO[C@H](COC)C2)cc(OC)c1O ZINC000093528525 407198309 /nfs/dbraw/zinc/19/83/09/407198309.db2.gz YKMVRYGGBYCSPX-HNNXBMFYSA-N 1 2 307.390 1.977 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cccc(C(N)=O)c3)CC2)nc1 ZINC000060480602 407226274 /nfs/dbraw/zinc/22/62/74/407226274.db2.gz YAJLXSBUCXOXIX-UHFFFAOYSA-N 1 2 321.384 1.374 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCCOc1cccc(C#N)c1 ZINC000124258811 407352405 /nfs/dbraw/zinc/35/24/05/407352405.db2.gz AQLAHEBEHGBGPG-UHFFFAOYSA-N 1 2 318.377 1.531 20 30 DDEDLO CC(C)C[C@@H](CNC(=O)C1(C#N)CCOCC1)[NH+]1CCOCC1 ZINC000109139133 407395119 /nfs/dbraw/zinc/39/51/19/407395119.db2.gz RTRXQNNWLFISPO-HNNXBMFYSA-N 1 2 323.437 1.170 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000111333142 407408014 /nfs/dbraw/zinc/40/80/14/407408014.db2.gz CGOAIZQRPFQWOC-UHFFFAOYSA-N 1 2 322.365 1.735 20 30 DDEDLO CN(C)c1ncc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)cn1 ZINC000271054483 407490059 /nfs/dbraw/zinc/49/00/59/407490059.db2.gz SDRQCOYYYJBTKW-UHFFFAOYSA-N 1 2 323.404 1.131 20 30 DDEDLO CC[C@@](C)([NH2+]CCOc1ccc(C#N)cc1OC)C(=O)OC ZINC000271213332 407579036 /nfs/dbraw/zinc/57/90/36/407579036.db2.gz WMBUUOHMCBCUPP-MRXNPFEDSA-N 1 2 306.362 1.877 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000266867632 407656947 /nfs/dbraw/zinc/65/69/47/407656947.db2.gz NQWRJTCLEUFGBE-ZDUSSCGKSA-N 1 2 300.406 1.501 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCN(CCOC(C)C)CC1 ZINC000266960921 407693547 /nfs/dbraw/zinc/69/35/47/407693547.db2.gz XNDXWLTUIXXPDU-QGZVFWFLSA-N 1 2 323.481 1.618 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](C)N(C(=O)OC(C)(C)C)C[C@@H]1C ZINC000271467432 407696012 /nfs/dbraw/zinc/69/60/12/407696012.db2.gz FSQUJLJJUTZYIR-STQMWFEESA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](C)N(C(=O)OC(C)(C)C)C[C@@H]1C ZINC000271467432 407696019 /nfs/dbraw/zinc/69/60/19/407696019.db2.gz FSQUJLJJUTZYIR-STQMWFEESA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCOc1cccc(C[NH+]2CCN(C(=O)[C@@H](C)O)CC2)c1 ZINC000131643618 407743360 /nfs/dbraw/zinc/74/33/60/407743360.db2.gz DRZIYULJBZSXQR-CQSZACIVSA-N 1 2 304.390 1.276 20 30 DDEDLO C=CC[C@@H](C)NC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000267197897 407787019 /nfs/dbraw/zinc/78/70/19/407787019.db2.gz RXIUOLMJAWHYJL-CYBMUJFWSA-N 1 2 307.398 1.301 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1CCN(C)C(=O)[C@H]1C ZINC000187021604 407811442 /nfs/dbraw/zinc/81/14/42/407811442.db2.gz CUQJFTPVICSQFK-ZBFHGGJFSA-N 1 2 318.417 1.317 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1CCN(C)C(=O)[C@H]1C ZINC000187021604 407811447 /nfs/dbraw/zinc/81/14/47/407811447.db2.gz CUQJFTPVICSQFK-ZBFHGGJFSA-N 1 2 318.417 1.317 20 30 DDEDLO C[C@@H](C(=O)N(C)CC#N)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000117775395 407859242 /nfs/dbraw/zinc/85/92/42/407859242.db2.gz KNGPBLCSGILNNC-ZDUSSCGKSA-N 1 2 304.369 1.318 20 30 DDEDLO CC(C)(C#N)c1ccccc1C[NH+]1CCN(S(C)(=O)=O)CC1 ZINC000135108758 407976545 /nfs/dbraw/zinc/97/65/45/407976545.db2.gz LHUHZHCVKYAEQO-UHFFFAOYSA-N 1 2 321.446 1.565 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CC(=O)N[C@H]4CCCC[C@H]43)n2c1 ZINC000245567262 408019652 /nfs/dbraw/zinc/01/96/52/408019652.db2.gz UHTFNESLVAADJM-LSDHHAIUSA-N 1 2 309.373 1.449 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1nc(C(F)(F)F)cs1 ZINC000154343032 408020397 /nfs/dbraw/zinc/02/03/97/408020397.db2.gz SITCMFNGCAKVQE-UHFFFAOYSA-N 1 2 324.328 1.283 20 30 DDEDLO Cc1nc2sccn2c1C[N@@H+](C)[C@H](C)C(=O)N(C)CCC#N ZINC000268501644 408071159 /nfs/dbraw/zinc/07/11/59/408071159.db2.gz SMUMSFOECCBRIJ-GFCCVEGCSA-N 1 2 319.434 1.897 20 30 DDEDLO Cc1nc2sccn2c1C[N@H+](C)[C@H](C)C(=O)N(C)CCC#N ZINC000268501644 408071166 /nfs/dbraw/zinc/07/11/66/408071166.db2.gz SMUMSFOECCBRIJ-GFCCVEGCSA-N 1 2 319.434 1.897 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000189666662 408073320 /nfs/dbraw/zinc/07/33/20/408073320.db2.gz AVUOQBRGEDJHAK-SFHVURJKSA-N 1 2 317.433 1.955 20 30 DDEDLO COc1ccc(CN(CCC#N)CC[NH+]2CCOCC2)cc1 ZINC000154784106 408086056 /nfs/dbraw/zinc/08/60/56/408086056.db2.gz WDCSTPJHZMCSOI-UHFFFAOYSA-N 1 2 303.406 1.743 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+](CCO)Cc1cccnc1 ZINC000268692936 408161626 /nfs/dbraw/zinc/16/16/26/408161626.db2.gz RZHUSHVLPKACMQ-UHFFFAOYSA-N 1 2 316.386 1.448 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+](CCO)Cc1cccnc1 ZINC000268692936 408161632 /nfs/dbraw/zinc/16/16/32/408161632.db2.gz RZHUSHVLPKACMQ-UHFFFAOYSA-N 1 2 316.386 1.448 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CCOc3cc[nH+]cc32)c1 ZINC000175661118 408181280 /nfs/dbraw/zinc/18/12/80/408181280.db2.gz IZKABBCJUXENHU-UHFFFAOYSA-N 1 2 301.327 1.541 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)NCC#Cc2ccccc2)CCO1 ZINC000263656703 408191896 /nfs/dbraw/zinc/19/18/96/408191896.db2.gz DJWZJXXRAXSFGQ-UHFFFAOYSA-N 1 2 315.417 1.448 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)NCC#Cc2ccccc2)CCO1 ZINC000263656703 408191903 /nfs/dbraw/zinc/19/19/03/408191903.db2.gz DJWZJXXRAXSFGQ-UHFFFAOYSA-N 1 2 315.417 1.448 20 30 DDEDLO COc1cc2c(cc1OC)C[N@H+](CC(=O)N[C@H](C)C#N)CC2 ZINC000151115717 408260786 /nfs/dbraw/zinc/26/07/86/408260786.db2.gz IRNVKEWSDSEZCG-LLVKDONJSA-N 1 2 303.362 1.090 20 30 DDEDLO COc1cc2c(cc1OC)C[N@@H+](CC(=O)N[C@H](C)C#N)CC2 ZINC000151115717 408260793 /nfs/dbraw/zinc/26/07/93/408260793.db2.gz IRNVKEWSDSEZCG-LLVKDONJSA-N 1 2 303.362 1.090 20 30 DDEDLO CC(C)OC(=O)[C@H](C)[N@H+](C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000246463919 408262971 /nfs/dbraw/zinc/26/29/71/408262971.db2.gz MHGWGWAPJLGKMF-DZGCQCFKSA-N 1 2 320.389 1.570 20 30 DDEDLO CC(C)OC(=O)[C@H](C)[N@@H+](C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000246463919 408262975 /nfs/dbraw/zinc/26/29/75/408262975.db2.gz MHGWGWAPJLGKMF-DZGCQCFKSA-N 1 2 320.389 1.570 20 30 DDEDLO N#Cc1ccc2ncc(C[NH+]3CCN(c4cnccn4)CC3)n2c1 ZINC000157903962 408322664 /nfs/dbraw/zinc/32/26/64/408322664.db2.gz HKMMVCDLSBGJMG-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCN(c4cnccn4)CC3)n2c1 ZINC000157903962 408322668 /nfs/dbraw/zinc/32/26/68/408322668.db2.gz HKMMVCDLSBGJMG-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1cc(OC)c(OC)c(OC)c1)C1CC1 ZINC000274212824 408327925 /nfs/dbraw/zinc/32/79/25/408327925.db2.gz UAAMFTQISHQPAR-UHFFFAOYSA-N 1 2 318.373 1.749 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1cc(OC)c(OC)c(OC)c1)C1CC1 ZINC000274212824 408327929 /nfs/dbraw/zinc/32/79/29/408327929.db2.gz UAAMFTQISHQPAR-UHFFFAOYSA-N 1 2 318.373 1.749 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1cccnc1-n1cc[nH+]c1 ZINC000182918854 408282536 /nfs/dbraw/zinc/28/25/36/408282536.db2.gz KYGUYTFPDQFYNG-CYBMUJFWSA-N 1 2 301.350 1.004 20 30 DDEDLO CC1(C)C[NH+]=C(N2CCN(c3ccc(C#N)nc3)CC2)S1 ZINC000269374473 408286476 /nfs/dbraw/zinc/28/64/76/408286476.db2.gz SWOOHFUAHVYDCE-UHFFFAOYSA-N 1 2 301.419 1.957 20 30 DDEDLO C#Cc1cccc(NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC000269497918 408331931 /nfs/dbraw/zinc/33/19/31/408331931.db2.gz UPDMDYYLWHICRU-QGZVFWFLSA-N 1 2 313.401 1.996 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN(c2nc3cc(C)ccc3o2)CC1 ZINC000264305931 408438478 /nfs/dbraw/zinc/43/84/78/408438478.db2.gz XGAKHFOXAOOGLX-UHFFFAOYSA-N 1 2 312.373 1.008 20 30 DDEDLO C=C1CC[NH+](CC(=O)NC(=O)Nc2ccc3c(c2)OCO3)CC1 ZINC000264444172 408493563 /nfs/dbraw/zinc/49/35/63/408493563.db2.gz GJOGTGBUSXPFCD-UHFFFAOYSA-N 1 2 317.345 1.715 20 30 DDEDLO CC1(O)CC[NH+]([C@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000177313719 408578521 /nfs/dbraw/zinc/57/85/21/408578521.db2.gz DRWJEIIKGFFPCQ-LBPRGKRZSA-N 1 2 305.403 1.572 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)N(CCC#N)c1ccccc1)c1nncn1C ZINC000275138491 408579211 /nfs/dbraw/zinc/57/92/11/408579211.db2.gz DCBIHRCONVOJDH-CYBMUJFWSA-N 1 2 312.377 1.413 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](C)[C@H]1CCCN(c2ccccc2)C1=O ZINC000265206353 408580996 /nfs/dbraw/zinc/58/09/96/408580996.db2.gz KTQWLQUJTGMLHV-ZBFHGGJFSA-N 1 2 313.401 1.252 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](C)[C@H]1CCCN(c2ccccc2)C1=O ZINC000265206353 408580999 /nfs/dbraw/zinc/58/09/99/408580999.db2.gz KTQWLQUJTGMLHV-ZBFHGGJFSA-N 1 2 313.401 1.252 20 30 DDEDLO CCC[C@H](C)NC(=O)[C@H](C)O[NH+]=C(N)Cc1csc(C)n1 ZINC000177476509 408634191 /nfs/dbraw/zinc/63/41/91/408634191.db2.gz RXKNLOLQDAPSPY-UWVGGRQHSA-N 1 2 312.439 1.976 20 30 DDEDLO Cc1cnc([C@H](C)[NH2+]CC(=O)N(CCC#N)CCC#N)s1 ZINC000185027727 408739233 /nfs/dbraw/zinc/73/92/33/408739233.db2.gz LEZBGPBNSGRGAL-LBPRGKRZSA-N 1 2 305.407 1.758 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2c[nH]c(C#N)c2)C1 ZINC000252550896 408804473 /nfs/dbraw/zinc/80/44/73/408804473.db2.gz TTZNIPUAIASAHN-OAHLLOKOSA-N 1 2 302.378 1.069 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2c[nH]c(C#N)c2)C1 ZINC000252550896 408804475 /nfs/dbraw/zinc/80/44/75/408804475.db2.gz TTZNIPUAIASAHN-OAHLLOKOSA-N 1 2 302.378 1.069 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)NCc2cccs2)C1=O ZINC000281407850 408885320 /nfs/dbraw/zinc/88/53/20/408885320.db2.gz QXHDYYCMZOFKMK-OCCSQVGLSA-N 1 2 321.446 1.472 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)NCc2cccs2)C1=O ZINC000281407850 408885323 /nfs/dbraw/zinc/88/53/23/408885323.db2.gz QXHDYYCMZOFKMK-OCCSQVGLSA-N 1 2 321.446 1.472 20 30 DDEDLO C#C[C@H](NC(=O)N1CCN(C2=[NH+]CC(C)(C)S2)CC1)C(C)C ZINC000281030414 408869639 /nfs/dbraw/zinc/86/96/39/408869639.db2.gz HVEZUHDVRWTCDS-ZDUSSCGKSA-N 1 2 322.478 1.853 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3ccccc3F)CC2)C1=O ZINC000281153729 408872734 /nfs/dbraw/zinc/87/27/34/408872734.db2.gz BNCTVCDJZFTISO-MRXNPFEDSA-N 1 2 303.381 1.735 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(C)Cc2ccccc2)C1=O ZINC000281488818 408888109 /nfs/dbraw/zinc/88/81/09/408888109.db2.gz XCUSVJVNJIELBE-MRXNPFEDSA-N 1 2 315.417 1.364 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(C)Cc2ccccc2)C1=O ZINC000281488818 408888111 /nfs/dbraw/zinc/88/81/11/408888111.db2.gz XCUSVJVNJIELBE-MRXNPFEDSA-N 1 2 315.417 1.364 20 30 DDEDLO C=C[C@H](CO)NC(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000292208726 409001190 /nfs/dbraw/zinc/00/11/90/409001190.db2.gz CRDSUFVHGBIBOD-CQSZACIVSA-N 1 2 304.394 1.418 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@H](CS(C)(=O)=O)c2ccccc2)C1=O ZINC000281916838 408953960 /nfs/dbraw/zinc/95/39/60/408953960.db2.gz GWOQSODMSLUEMR-LSDHHAIUSA-N 1 2 322.430 1.149 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccc(C)cc2)C1=O ZINC000282052805 408981031 /nfs/dbraw/zinc/98/10/31/408981031.db2.gz RRUVRFLNRXYKSB-HNNXBMFYSA-N 1 2 301.390 1.652 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccc(C)cc2)C1=O ZINC000282052805 408981034 /nfs/dbraw/zinc/98/10/34/408981034.db2.gz RRUVRFLNRXYKSB-HNNXBMFYSA-N 1 2 301.390 1.652 20 30 DDEDLO C[N@H+](CC#Cc1ccc(F)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000292133145 408986580 /nfs/dbraw/zinc/98/65/80/408986580.db2.gz IVPRYVOBZOQAOC-OAHLLOKOSA-N 1 2 310.394 1.143 20 30 DDEDLO C[N@@H+](CC#Cc1ccc(F)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000292133145 408986583 /nfs/dbraw/zinc/98/65/83/408986583.db2.gz IVPRYVOBZOQAOC-OAHLLOKOSA-N 1 2 310.394 1.143 20 30 DDEDLO C#CCN(C)C(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1F ZINC000292299650 409019763 /nfs/dbraw/zinc/01/97/63/409019763.db2.gz HRJHGRHVUKKLIL-CQSZACIVSA-N 1 2 300.337 1.923 20 30 DDEDLO C#CC[C@@H](Cc1ccccc1)NC(=O)[C@H](c1c[nH+]cn1C)N(C)C ZINC000287364721 409025836 /nfs/dbraw/zinc/02/58/36/409025836.db2.gz NVADVWLREYIIMG-WMZOPIPTSA-N 1 2 324.428 1.774 20 30 DDEDLO Cc1cccn2cc(CNS(=O)(=O)N(C)[C@@H](C)CC#N)[nH+]c12 ZINC000282352176 409039294 /nfs/dbraw/zinc/03/92/94/409039294.db2.gz SJHCGVKJQSNIBQ-LBPRGKRZSA-N 1 2 321.406 1.211 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@H](C(C)C)[NH+]2C[C@H](C)O[C@@H](C)C2)c1C#N ZINC000278768504 409088384 /nfs/dbraw/zinc/08/83/84/409088384.db2.gz XZBMTISMRUEEOC-MJVIPROJSA-N 1 2 319.409 1.662 20 30 DDEDLO COc1ccc(C)cc1NC(=O)CO[NH+]=C(N)[C@@H]1CCCO1 ZINC000283758805 409210985 /nfs/dbraw/zinc/21/09/85/409210985.db2.gz ASBTVTUPTRWWFM-ZDUSSCGKSA-N 1 2 307.350 1.410 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccc(Cl)c3)n2C)CC1 ZINC000283787270 409216059 /nfs/dbraw/zinc/21/60/59/409216059.db2.gz LUTNMJVXQZKKAO-UHFFFAOYSA-N 1 2 315.808 1.891 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)[C@@H](C)C(=O)N(C)CCC#N)n1 ZINC000279657638 409246107 /nfs/dbraw/zinc/24/61/07/409246107.db2.gz CJWLMIDYHMPBIA-AWEZNQCLSA-N 1 2 301.394 1.099 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)[C@@H](C)C(=O)N(C)CCC#N)n1 ZINC000279657638 409246109 /nfs/dbraw/zinc/24/61/09/409246109.db2.gz CJWLMIDYHMPBIA-AWEZNQCLSA-N 1 2 301.394 1.099 20 30 DDEDLO C[C@H](CNC(=O)[C@H](C#N)Cc1ccc(C#N)cc1)Cn1cc[nH+]c1 ZINC000280174724 409263737 /nfs/dbraw/zinc/26/37/37/409263737.db2.gz COALVLYLEBSBFB-PBHICJAKSA-N 1 2 321.384 1.890 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289977912 409290835 /nfs/dbraw/zinc/29/08/35/409290835.db2.gz RMDCOJFPXDTZGQ-DGCLKSJQSA-N 1 2 309.801 1.544 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289977912 409290838 /nfs/dbraw/zinc/29/08/38/409290838.db2.gz RMDCOJFPXDTZGQ-DGCLKSJQSA-N 1 2 309.801 1.544 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCc2nnc3n2CCOC3)ccc1F ZINC000280709001 409419701 /nfs/dbraw/zinc/41/97/01/409419701.db2.gz HPORTFXGMSLYRO-UHFFFAOYSA-N 1 2 305.313 1.093 20 30 DDEDLO CO[C@@H]1C[NH+]([C@H](C)C(=O)Nc2ccccc2C#N)C[C@H]1OC ZINC000320217221 164041395 /nfs/dbraw/zinc/04/13/95/164041395.db2.gz FLGIIGJGKITERR-KCPJHIHWSA-N 1 2 303.362 1.231 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC2([NH+]3CCOCC3)CC2)cc1 ZINC000295413963 409473529 /nfs/dbraw/zinc/47/35/29/409473529.db2.gz RVBZVQGTQZSXLG-UHFFFAOYSA-N 1 2 312.413 1.582 20 30 DDEDLO CC(=O)N[C@H]1CCCN(C(=O)C[N@@H+]2CCC[C@H]3C(=O)NC[C@H]32)C1 ZINC000328843084 409992815 /nfs/dbraw/zinc/99/28/15/409992815.db2.gz UTIKLZOSVHRMDZ-BFHYXJOUSA-N 1 2 322.409 1.005 20 30 DDEDLO CC(=O)N[C@H]1CCCN(C(=O)C[N@H+]2CCC[C@H]3C(=O)NC[C@H]32)C1 ZINC000328843084 409992826 /nfs/dbraw/zinc/99/28/26/409992826.db2.gz UTIKLZOSVHRMDZ-BFHYXJOUSA-N 1 2 322.409 1.005 20 30 DDEDLO Cc1cn2cc(NS(=O)(=O)c3ccc(C#N)nc3)ccc2[nH+]1 ZINC000350728674 409985220 /nfs/dbraw/zinc/98/52/20/409985220.db2.gz DTEWPRMAHNMCNS-UHFFFAOYSA-N 1 2 313.342 1.710 20 30 DDEDLO C#CCN(C)C(=O)C(=O)N1CC[NH+]([C@H](C)c2ccsc2)CC1 ZINC000297594218 409933936 /nfs/dbraw/zinc/93/39/36/409933936.db2.gz ABDCINMBGQVVEF-CYBMUJFWSA-N 1 2 319.430 1.045 20 30 DDEDLO CCN1CCN(C(=O)NCc2c[nH]nc2C)C[C@H]1c1[nH]cc[nH+]1 ZINC000328628796 409939758 /nfs/dbraw/zinc/93/97/58/409939758.db2.gz ODMAQCZGBRVUDL-ZDUSSCGKSA-N 1 2 317.397 1.234 20 30 DDEDLO C[C@@H](CCC#N)[NH+]1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000297778389 409994827 /nfs/dbraw/zinc/99/48/27/409994827.db2.gz GMNCNIHKKDJGBH-AWEZNQCLSA-N 1 2 307.419 1.685 20 30 DDEDLO Cc1nn(C)cc1[C@H](C)NC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000328866279 409996028 /nfs/dbraw/zinc/99/60/28/409996028.db2.gz NSQIMZUEMUDTSC-WCQYABFASA-N 1 2 316.409 1.927 20 30 DDEDLO Cc1n[nH]c(CCNC(=O)[C@H]2CCC[N@@H+]2C2CCOCC2)n1 ZINC000329089543 410094556 /nfs/dbraw/zinc/09/45/56/410094556.db2.gz INHIJXVSPUOZIC-CYBMUJFWSA-N 1 2 307.398 1.256 20 30 DDEDLO Cc1n[nH]c(CCNC(=O)[C@H]2CCC[N@H+]2C2CCOCC2)n1 ZINC000329089543 410094565 /nfs/dbraw/zinc/09/45/65/410094565.db2.gz INHIJXVSPUOZIC-CYBMUJFWSA-N 1 2 307.398 1.256 20 30 DDEDLO Cc1cnc([C@@H](C)[NH+]2CCN(c3ccc(C#N)cn3)CC2)cn1 ZINC000332400803 410128018 /nfs/dbraw/zinc/12/80/18/410128018.db2.gz VKYKGZNPZPLMII-CQSZACIVSA-N 1 2 308.389 1.935 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000332406242 410131270 /nfs/dbraw/zinc/13/12/70/410131270.db2.gz XUQTWSUFHFJFEK-RYUDHWBXSA-N 1 2 311.411 1.273 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@@](C)(CC(=O)OC)c2ccccn2)C1=O ZINC000351815650 410255457 /nfs/dbraw/zinc/25/54/57/410255457.db2.gz NGFBXMLHPLUSFD-GUYCJALGSA-N 1 2 317.389 1.236 20 30 DDEDLO CC(C)c1nnc2n1C[C@@H](NC([O-])=[NH+]Cc1[nH+]ccn1C)CC2 ZINC000329316537 410229038 /nfs/dbraw/zinc/22/90/38/410229038.db2.gz HNJFKMJKSPDKPZ-NSHDSACASA-N 1 2 317.397 1.154 20 30 DDEDLO C[C@@H]1CC(CNC([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)C[C@@H](C)O1 ZINC000329459665 410311042 /nfs/dbraw/zinc/31/10/42/410311042.db2.gz RNTRPKYJKMJVKA-YRGRVCCFSA-N 1 2 306.410 1.905 20 30 DDEDLO C[C@@H]1CC(C[NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)C[C@@H](C)O1 ZINC000329459665 410311050 /nfs/dbraw/zinc/31/10/50/410311050.db2.gz RNTRPKYJKMJVKA-YRGRVCCFSA-N 1 2 306.410 1.905 20 30 DDEDLO CCOC(=O)[C@H](CC)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355098882 410314678 /nfs/dbraw/zinc/31/46/78/410314678.db2.gz FKPMCUBNLSAMHT-AWEZNQCLSA-N 1 2 302.378 1.417 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCCC(=O)OC)Cc1ccc(OC)cc1 ZINC000298738600 410377031 /nfs/dbraw/zinc/37/70/31/410377031.db2.gz AQJGTUGPQSFESZ-UHFFFAOYSA-N 1 2 320.389 1.363 20 30 DDEDLO C=CC[N@H+](CC(=O)NCCC(=O)OC)Cc1ccc(OC)cc1 ZINC000298738600 410377035 /nfs/dbraw/zinc/37/70/35/410377035.db2.gz AQJGTUGPQSFESZ-UHFFFAOYSA-N 1 2 320.389 1.363 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000358463950 410476411 /nfs/dbraw/zinc/47/64/11/410476411.db2.gz IWYRADKIFHGMDW-ZIAGYGMSSA-N 1 2 318.352 1.495 20 30 DDEDLO CCOC1CC([N@H+](C)CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000347443962 410551448 /nfs/dbraw/zinc/55/14/48/410551448.db2.gz IYLZIOZACHTNOF-UHFFFAOYSA-N 1 2 322.430 1.831 20 30 DDEDLO CCOC1CC([N@@H+](C)CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000347443962 410551453 /nfs/dbraw/zinc/55/14/53/410551453.db2.gz IYLZIOZACHTNOF-UHFFFAOYSA-N 1 2 322.430 1.831 20 30 DDEDLO C=CCN(C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C1CC1 ZINC000355514858 410559576 /nfs/dbraw/zinc/55/95/76/410559576.db2.gz QCCNYZAMGYYLIV-HNNXBMFYSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCN(C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C1CC1 ZINC000355514858 410559583 /nfs/dbraw/zinc/55/95/83/410559583.db2.gz QCCNYZAMGYYLIV-HNNXBMFYSA-N 1 2 318.421 1.660 20 30 DDEDLO O=C(N[C@H]1CCS(=O)(=O)C1)[C@H]1CCCC[N@@H+]1C1CCCC1 ZINC000330302931 410620876 /nfs/dbraw/zinc/62/08/76/410620876.db2.gz OTNVTMQYRSDOPC-GXTWGEPZSA-N 1 2 314.451 1.927 20 30 DDEDLO O=C(N[C@H]1CCS(=O)(=O)C1)[C@H]1CCCC[N@H+]1C1CCCC1 ZINC000330302931 410620881 /nfs/dbraw/zinc/62/08/81/410620881.db2.gz OTNVTMQYRSDOPC-GXTWGEPZSA-N 1 2 314.451 1.927 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000352419100 410653768 /nfs/dbraw/zinc/65/37/68/410653768.db2.gz LMPDNQPNXUUKCS-KRWDZBQOSA-N 1 2 320.437 1.293 20 30 DDEDLO Cc1cc(N2CC[C@@H]([NH+]3CCC(F)(C#N)CC3)C2=O)n(C)n1 ZINC000352484085 410658776 /nfs/dbraw/zinc/65/87/76/410658776.db2.gz LFNAJLYSDYMESN-GFCCVEGCSA-N 1 2 305.357 1.162 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CC[C@H](COC(F)F)C2)cc1 ZINC000353027156 410744438 /nfs/dbraw/zinc/74/44/38/410744438.db2.gz WXGDXDGDRNOSRZ-AAEUAGOBSA-N 1 2 309.316 1.646 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CC[C@H](COC(F)F)C2)cc1 ZINC000353027156 410744447 /nfs/dbraw/zinc/74/44/47/410744447.db2.gz WXGDXDGDRNOSRZ-AAEUAGOBSA-N 1 2 309.316 1.646 20 30 DDEDLO CC[C@@H](C(=O)OC)N1CC[NH+](CC#Cc2ccc(F)cc2)CC1 ZINC000352723915 410677385 /nfs/dbraw/zinc/67/73/85/410677385.db2.gz HIMIONSICVECMJ-KRWDZBQOSA-N 1 2 318.392 1.746 20 30 DDEDLO CC[C@@H](C(=O)OC)[NH+]1CCN(CC#Cc2ccc(F)cc2)CC1 ZINC000352723915 410677392 /nfs/dbraw/zinc/67/73/92/410677392.db2.gz HIMIONSICVECMJ-KRWDZBQOSA-N 1 2 318.392 1.746 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CC[NH+](C3CCC3)CC2)CCCC1 ZINC000352885358 410683945 /nfs/dbraw/zinc/68/39/45/410683945.db2.gz TWHKGEMIUAKZCE-UHFFFAOYSA-N 1 2 311.451 1.570 20 30 DDEDLO N#Cc1ccnc(N2CC[C@H](Oc3cc[nH+]cc3)C2)c1[N+](=O)[O-] ZINC000301657552 410761907 /nfs/dbraw/zinc/76/19/07/410761907.db2.gz LOHORMAMNYQOKE-ZDUSSCGKSA-N 1 2 311.301 1.914 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@H+](C)[C@@H]1CCNC1=O ZINC000340226663 410776333 /nfs/dbraw/zinc/77/63/33/410776333.db2.gz SCOIWVIZHRXABV-TVQRCGJNSA-N 1 2 320.780 1.359 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@@H+](C)[C@@H]1CCNC1=O ZINC000340226663 410776341 /nfs/dbraw/zinc/77/63/41/410776341.db2.gz SCOIWVIZHRXABV-TVQRCGJNSA-N 1 2 320.780 1.359 20 30 DDEDLO C[N@@H+]1CCN(CCS(=O)(=O)c2cccc(C#N)c2)C(C)(C)C1 ZINC000330569904 410784350 /nfs/dbraw/zinc/78/43/50/410784350.db2.gz NIFUDLJUPVTTHB-UHFFFAOYSA-N 1 2 321.446 1.358 20 30 DDEDLO C[N@H+]1CCN(CCS(=O)(=O)c2cccc(C#N)c2)C(C)(C)C1 ZINC000330569904 410784362 /nfs/dbraw/zinc/78/43/62/410784362.db2.gz NIFUDLJUPVTTHB-UHFFFAOYSA-N 1 2 321.446 1.358 20 30 DDEDLO C[C@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000330633614 410842436 /nfs/dbraw/zinc/84/24/36/410842436.db2.gz KFMXPPSEMUSEOA-RHSMWYFYSA-N 1 2 313.401 1.627 20 30 DDEDLO N#Cc1ccc(F)c(CNc2cc(N3CCOCC3)nc[nH+]2)c1 ZINC000302870813 410881670 /nfs/dbraw/zinc/88/16/70/410881670.db2.gz BKRGUGNPPLXXQR-UHFFFAOYSA-N 1 2 313.336 1.936 20 30 DDEDLO N#Cc1ccc(F)c(CNc2cc(N3CCOCC3)[nH+]cn2)c1 ZINC000302870813 410881672 /nfs/dbraw/zinc/88/16/72/410881672.db2.gz BKRGUGNPPLXXQR-UHFFFAOYSA-N 1 2 313.336 1.936 20 30 DDEDLO Cc1c[nH+]c(CN2CCN(c3snc(C)c3C#N)CC2)n1C ZINC000356270884 410934205 /nfs/dbraw/zinc/93/42/05/410934205.db2.gz CLXAOAWJADEXHH-UHFFFAOYSA-N 1 2 316.434 1.687 20 30 DDEDLO C[C@@H](C(=O)N[C@@H](C)C[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000360008489 410998579 /nfs/dbraw/zinc/99/85/79/410998579.db2.gz VOPBGCQSFPIYJQ-UONOGXRCSA-N 1 2 301.390 1.499 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)c1cnc(C(F)(F)F)c(C#N)c1 ZINC000356512859 411064545 /nfs/dbraw/zinc/06/45/45/411064545.db2.gz XZVPLBJTGFZZRT-UHFFFAOYSA-N 1 2 309.251 1.636 20 30 DDEDLO C[C@@H](C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1)c1cccc(C#N)c1 ZINC000360176684 411091383 /nfs/dbraw/zinc/09/13/83/411091383.db2.gz XALPBDJIJMWQJA-LSDHHAIUSA-N 1 2 314.433 1.414 20 30 DDEDLO C[N@@H+](Cc1cnc2ccc(C#N)cn12)C[C@@H]1CCS(=O)(=O)C1 ZINC000353769243 411125995 /nfs/dbraw/zinc/12/59/95/411125995.db2.gz QTGVZWCKUUOYID-ZDUSSCGKSA-N 1 2 318.402 1.072 20 30 DDEDLO C[N@H+](Cc1cnc2ccc(C#N)cn12)C[C@@H]1CCS(=O)(=O)C1 ZINC000353769243 411125996 /nfs/dbraw/zinc/12/59/96/411125996.db2.gz QTGVZWCKUUOYID-ZDUSSCGKSA-N 1 2 318.402 1.072 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)n1 ZINC000580192946 422901085 /nfs/dbraw/zinc/90/10/85/422901085.db2.gz UPQMGYKHTIEPGM-UHFFFAOYSA-N 1 2 324.344 1.021 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)n1 ZINC000580192946 422901100 /nfs/dbraw/zinc/90/11/00/422901100.db2.gz UPQMGYKHTIEPGM-UHFFFAOYSA-N 1 2 324.344 1.021 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCO[C@@H](C3CCC3)C2)cc1 ZINC000580820032 422954943 /nfs/dbraw/zinc/95/49/43/422954943.db2.gz YFDZPQCPBIZBLZ-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCO[C@@H](C3CCC3)C2)cc1 ZINC000580820032 422954945 /nfs/dbraw/zinc/95/49/45/422954945.db2.gz YFDZPQCPBIZBLZ-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCc1cn2c(cccc2C)[nH+]1 ZINC000645277356 422974204 /nfs/dbraw/zinc/97/42/04/422974204.db2.gz SMQQKSPJHDWRMT-CYBMUJFWSA-N 1 2 300.362 1.216 20 30 DDEDLO N#Cc1cccnc1-n1cc(NC(=O)CCc2c[nH+]c[nH]2)cn1 ZINC000373824306 418464349 /nfs/dbraw/zinc/46/43/49/418464349.db2.gz KECFSVUOJFZHMT-UHFFFAOYSA-N 1 2 307.317 1.433 20 30 DDEDLO N#Cc1cccnc1-n1cc(NC(=O)CCc2c[nH]c[nH+]2)cn1 ZINC000373824306 418464353 /nfs/dbraw/zinc/46/43/53/418464353.db2.gz KECFSVUOJFZHMT-UHFFFAOYSA-N 1 2 307.317 1.433 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@@H]2CNC(=O)CCCC#N)cn1 ZINC000360715575 418499231 /nfs/dbraw/zinc/49/92/31/418499231.db2.gz NGUPFFCFHCWGHI-UONOGXRCSA-N 1 2 307.373 1.143 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@@H]2CNC(=O)CCCC#N)cn1 ZINC000360715575 418499237 /nfs/dbraw/zinc/49/92/37/418499237.db2.gz NGUPFFCFHCWGHI-UONOGXRCSA-N 1 2 307.373 1.143 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000191982399 418522917 /nfs/dbraw/zinc/52/29/17/418522917.db2.gz RQZWOBCNTGPDMJ-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000191982399 418522921 /nfs/dbraw/zinc/52/29/21/418522921.db2.gz RQZWOBCNTGPDMJ-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1Cc2ccccc2OC[C@H]1C ZINC000374664266 418552732 /nfs/dbraw/zinc/55/27/32/418552732.db2.gz OGBNZQGFXLZCCD-GFCCVEGCSA-N 1 2 303.362 1.281 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1Cc2ccccc2OC[C@H]1C ZINC000374664266 418552734 /nfs/dbraw/zinc/55/27/34/418552734.db2.gz OGBNZQGFXLZCCD-GFCCVEGCSA-N 1 2 303.362 1.281 20 30 DDEDLO CCOc1ccc(OCCO[NH+]=C(N)C(C)(C)NC(C)=O)cc1 ZINC000264840773 222337092 /nfs/dbraw/zinc/33/70/92/222337092.db2.gz WRDUEYWZDLTKRN-UHFFFAOYSA-N 1 2 323.393 1.668 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCC(F)(F)[C@H](CO)C1 ZINC000361419873 418649234 /nfs/dbraw/zinc/64/92/34/418649234.db2.gz IGYFRTITCXASEY-AAEUAGOBSA-N 1 2 323.343 1.835 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCC(F)(F)[C@H](CO)C1 ZINC000361419873 418649235 /nfs/dbraw/zinc/64/92/35/418649235.db2.gz IGYFRTITCXASEY-AAEUAGOBSA-N 1 2 323.343 1.835 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCc2ccccc2[C@H]1CO ZINC000268080033 222410224 /nfs/dbraw/zinc/41/02/24/222410224.db2.gz GFOWQWLMVIVFLD-SJLPKXTDSA-N 1 2 315.417 1.633 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCc2ccccc2[C@H]1CO ZINC000268080033 222410225 /nfs/dbraw/zinc/41/02/25/222410225.db2.gz GFOWQWLMVIVFLD-SJLPKXTDSA-N 1 2 315.417 1.633 20 30 DDEDLO C=CCNC(=O)C(=O)Nc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000378276188 418720300 /nfs/dbraw/zinc/72/03/00/418720300.db2.gz XVDQHUKTKZSFLF-UHFFFAOYSA-N 1 2 322.368 1.843 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@H]2c2nncn2CC(C)C)C1=O ZINC000375606835 418661759 /nfs/dbraw/zinc/66/17/59/418661759.db2.gz JXDMVJBITFEIFT-GJZGRUSLSA-N 1 2 317.437 1.858 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@H]2c2nncn2CC(C)C)C1=O ZINC000375606835 418661762 /nfs/dbraw/zinc/66/17/62/418661762.db2.gz JXDMVJBITFEIFT-GJZGRUSLSA-N 1 2 317.437 1.858 20 30 DDEDLO C=C(Cl)Cn1nnc([C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000375797263 418687144 /nfs/dbraw/zinc/68/71/44/418687144.db2.gz UJLVUJNHOZGJIG-CQSZACIVSA-N 1 2 319.796 1.999 20 30 DDEDLO C=C(Cl)Cn1nnc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000375797263 418687146 /nfs/dbraw/zinc/68/71/46/418687146.db2.gz UJLVUJNHOZGJIG-CQSZACIVSA-N 1 2 319.796 1.999 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1snc(Cl)c1C#N ZINC000376202039 418693972 /nfs/dbraw/zinc/69/39/72/418693972.db2.gz XZRLMHSSFUEFPD-VHSXEESVSA-N 1 2 312.826 1.968 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1N(CCC#N)CC(C)(C)C ZINC000377051172 418704594 /nfs/dbraw/zinc/70/45/94/418704594.db2.gz MVYQTUXLRXNGEI-HZPDHXFCSA-N 1 2 308.470 1.958 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+](C)[C@H](C)C(=O)NC(C)(C)C ZINC000362584684 418756538 /nfs/dbraw/zinc/75/65/38/418756538.db2.gz XDJHZWZTGAJHQO-WBMJQRKESA-N 1 2 310.442 1.276 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+](C)[C@H](C)C(=O)NC(C)(C)C ZINC000362584684 418756540 /nfs/dbraw/zinc/75/65/40/418756540.db2.gz XDJHZWZTGAJHQO-WBMJQRKESA-N 1 2 310.442 1.276 20 30 DDEDLO C=C[C@H]1CCCCN1c1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000362852849 418760207 /nfs/dbraw/zinc/76/02/07/418760207.db2.gz JMGPYZRCOWEOJI-ZDUSSCGKSA-N 1 2 301.394 1.300 20 30 DDEDLO C=C[C@H]1CCCCN1c1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000362852849 418760209 /nfs/dbraw/zinc/76/02/09/418760209.db2.gz JMGPYZRCOWEOJI-ZDUSSCGKSA-N 1 2 301.394 1.300 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)s2)C[C@H]1C ZINC000408408150 418801781 /nfs/dbraw/zinc/80/17/81/418801781.db2.gz YQGDHUTUNIMXQD-MNOVXSKESA-N 1 2 313.448 1.723 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)s2)C[C@H]1C ZINC000408408150 418801784 /nfs/dbraw/zinc/80/17/84/418801784.db2.gz YQGDHUTUNIMXQD-MNOVXSKESA-N 1 2 313.448 1.723 20 30 DDEDLO Cc1cn2c([nH+]1)CN([C@@H]1CCN(c3ccc(C#N)cc3)C1=O)CC2 ZINC000371736875 418812666 /nfs/dbraw/zinc/81/26/66/418812666.db2.gz QDHSQLFGQFWZHY-MRXNPFEDSA-N 1 2 321.384 1.684 20 30 DDEDLO Cc1nc(C(=O)N2CC[C@@H](Oc3cc[nH+]cc3)C2)ccc1C#N ZINC000365521304 418870673 /nfs/dbraw/zinc/87/06/73/418870673.db2.gz YELCYTUOMXMSSJ-OAHLLOKOSA-N 1 2 308.341 1.950 20 30 DDEDLO CC(C)OC[C@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)CCO1 ZINC000372124313 418837776 /nfs/dbraw/zinc/83/77/76/418837776.db2.gz LURRJOCBEMYHPK-MRXNPFEDSA-N 1 2 314.389 1.832 20 30 DDEDLO O=S(=O)(NC1CC1)[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC000365275002 418848680 /nfs/dbraw/zinc/84/86/80/418848680.db2.gz NNYVKLGBFHMORO-MRXNPFEDSA-N 1 2 304.415 1.194 20 30 DDEDLO O=S(=O)(NC1CC1)[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC000365275002 418848684 /nfs/dbraw/zinc/84/86/84/418848684.db2.gz NNYVKLGBFHMORO-MRXNPFEDSA-N 1 2 304.415 1.194 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1CCC[C@@H](F)C1 ZINC000424627905 228296275 /nfs/dbraw/zinc/29/62/75/228296275.db2.gz LUSOATMYCLWQFM-HUUCEWRRSA-N 1 2 311.401 1.589 20 30 DDEDLO COCCC(=O)N1CCC(Nc2cc(C)[nH+]cc2C#N)CC1 ZINC000425237622 228396975 /nfs/dbraw/zinc/39/69/75/228396975.db2.gz LVFYPXIJJLNIOM-UHFFFAOYSA-N 1 2 302.378 1.123 20 30 DDEDLO COC[C@@H]1C[C@H](O)C[N@@H+]1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000411913067 419479384 /nfs/dbraw/zinc/47/93/84/419479384.db2.gz QOSSTGBIUIDNHQ-STQMWFEESA-N 1 2 323.780 1.232 20 30 DDEDLO COC[C@@H]1C[C@H](O)C[N@H+]1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000411913067 419479395 /nfs/dbraw/zinc/47/93/95/419479395.db2.gz QOSSTGBIUIDNHQ-STQMWFEESA-N 1 2 323.780 1.232 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@H](C2CCC2)C1 ZINC000411860967 419443604 /nfs/dbraw/zinc/44/36/04/419443604.db2.gz MPVNZVXZZKIPFO-KMFMINBZSA-N 1 2 307.438 1.930 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@H](C2CCC2)C1 ZINC000411860967 419443614 /nfs/dbraw/zinc/44/36/14/419443614.db2.gz MPVNZVXZZKIPFO-KMFMINBZSA-N 1 2 307.438 1.930 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCO[C@@H](C2CCC2)C1 ZINC000411879191 419450544 /nfs/dbraw/zinc/45/05/44/419450544.db2.gz UESBQBGZKQUPMB-OAHLLOKOSA-N 1 2 304.394 1.143 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCO[C@@H](C2CCC2)C1 ZINC000411879191 419450549 /nfs/dbraw/zinc/45/05/49/419450549.db2.gz UESBQBGZKQUPMB-OAHLLOKOSA-N 1 2 304.394 1.143 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@]2(C1)CC(=O)c1ccccc1O2 ZINC000411991599 419548851 /nfs/dbraw/zinc/54/88/51/419548851.db2.gz UKRBCOWUGCMHOH-SCLBCKFNSA-N 1 2 314.385 1.787 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@]2(C1)CC(=O)c1ccccc1O2 ZINC000411991599 419548856 /nfs/dbraw/zinc/54/88/56/419548856.db2.gz UKRBCOWUGCMHOH-SCLBCKFNSA-N 1 2 314.385 1.787 20 30 DDEDLO C[C@]1(F)C[NH2+]CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)C1 ZINC000420434114 420283896 /nfs/dbraw/zinc/28/38/96/420283896.db2.gz DPYMDRAFPGSWSN-ZDUSSCGKSA-N 1 2 315.345 1.019 20 30 DDEDLO C=C[C@H](CO)NC(=O)c1c2ccccc2nc2c1C[N@H+](C)CC2 ZINC000416234013 420290748 /nfs/dbraw/zinc/29/07/48/420290748.db2.gz LNTQHBGKVGMATD-GFCCVEGCSA-N 1 2 311.385 1.499 20 30 DDEDLO C=C[C@H](CO)NC(=O)c1c2ccccc2nc2c1C[N@@H+](C)CC2 ZINC000416234013 420290753 /nfs/dbraw/zinc/29/07/53/420290753.db2.gz LNTQHBGKVGMATD-GFCCVEGCSA-N 1 2 311.385 1.499 20 30 DDEDLO CCC(CC)[C@@H](C(=O)NCC1(C#N)CCC1)[NH+]1CCOCC1 ZINC000416348446 420337920 /nfs/dbraw/zinc/33/79/20/420337920.db2.gz UGHLWQKUDXHWDI-HNNXBMFYSA-N 1 2 307.438 1.933 20 30 DDEDLO N#CCC1(CNC(=O)c2cccc(C[NH+]3CCOCC3)c2)CC1 ZINC000435955384 420297758 /nfs/dbraw/zinc/29/77/58/420297758.db2.gz DMTHROSEDMVYNM-UHFFFAOYSA-N 1 2 313.401 1.942 20 30 DDEDLO N#CCC1(CNC(=O)C[NH+]2CCN(c3ccccn3)CC2)CC1 ZINC000435959649 420299606 /nfs/dbraw/zinc/29/96/06/420299606.db2.gz OHMVBSVXUJODAC-UHFFFAOYSA-N 1 2 313.405 1.014 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](c2nnc[nH]2)C1 ZINC000425528187 420409656 /nfs/dbraw/zinc/40/96/56/420409656.db2.gz CJZJZUCHHHRGRN-KBPBESRZSA-N 1 2 311.345 1.380 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](c2nnc[nH]2)C1 ZINC000425528187 420409657 /nfs/dbraw/zinc/40/96/57/420409657.db2.gz CJZJZUCHHHRGRN-KBPBESRZSA-N 1 2 311.345 1.380 20 30 DDEDLO CS(=O)(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2Cl)C1 ZINC000439458210 420513252 /nfs/dbraw/zinc/51/32/52/420513252.db2.gz SDEMTDZBKUPMJQ-LBPRGKRZSA-N 1 2 313.810 1.335 20 30 DDEDLO CS(=O)(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2Cl)C1 ZINC000439458210 420513254 /nfs/dbraw/zinc/51/32/54/420513254.db2.gz SDEMTDZBKUPMJQ-LBPRGKRZSA-N 1 2 313.810 1.335 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000456775984 420542003 /nfs/dbraw/zinc/54/20/03/420542003.db2.gz LKZMOFCNSVTICZ-MRXNPFEDSA-N 1 2 318.417 1.576 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+]Cc2cc(C#N)ccc2F)C(N)=O)cc1 ZINC000439633820 420528410 /nfs/dbraw/zinc/52/84/10/420528410.db2.gz NJRRBYNZBZAYBF-MRXNPFEDSA-N 1 2 308.316 1.885 20 30 DDEDLO N#Cc1ccc(C(=O)NCCc2cn3c([nH+]2)CCCC3)cc1O ZINC000442612901 420689662 /nfs/dbraw/zinc/68/96/62/420689662.db2.gz BPFRHBZNOHOOTK-UHFFFAOYSA-N 1 2 310.357 1.769 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000458757785 420729453 /nfs/dbraw/zinc/72/94/53/420729453.db2.gz FFGVTRNAVCKTJF-OKILXGFUSA-N 1 2 308.426 1.479 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000458757785 420729456 /nfs/dbraw/zinc/72/94/56/420729456.db2.gz FFGVTRNAVCKTJF-OKILXGFUSA-N 1 2 308.426 1.479 20 30 DDEDLO CNC(=O)c1ccc(/C=C/C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000493001787 420732257 /nfs/dbraw/zinc/73/22/57/420732257.db2.gz CNIGADTXUWBOMG-PYUISTEWSA-N 1 2 314.389 1.019 20 30 DDEDLO CC[C@H]1CCC[C@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000448837592 420904108 /nfs/dbraw/zinc/90/41/08/420904108.db2.gz UPVPGOWAOFYHNT-JKSUJKDBSA-N 1 2 307.438 1.887 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C\c1ccc(OCC#N)cc1 ZINC000493659611 420909604 /nfs/dbraw/zinc/90/96/04/420909604.db2.gz LUDNVOBNTXNCLU-UXHZXRBQSA-N 1 2 312.373 1.562 20 30 DDEDLO CCNC(=O)OC[C@H]1CCCC[N@@H+]1CC(=O)NC1(C#N)CCC1 ZINC000495678852 421045759 /nfs/dbraw/zinc/04/57/59/421045759.db2.gz DGJAKTBKTPZGOY-CYBMUJFWSA-N 1 2 322.409 1.149 20 30 DDEDLO CCNC(=O)OC[C@H]1CCCC[N@H+]1CC(=O)NC1(C#N)CCC1 ZINC000495678852 421045763 /nfs/dbraw/zinc/04/57/63/421045763.db2.gz DGJAKTBKTPZGOY-CYBMUJFWSA-N 1 2 322.409 1.149 20 30 DDEDLO CNC(=O)OC[C@@H]1CCCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000495637836 421039329 /nfs/dbraw/zinc/03/93/29/421039329.db2.gz FKGVNGSOULWYAU-BBRMVZONSA-N 1 2 322.409 1.005 20 30 DDEDLO CNC(=O)OC[C@@H]1CCCC[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000495637836 421039333 /nfs/dbraw/zinc/03/93/33/421039333.db2.gz FKGVNGSOULWYAU-BBRMVZONSA-N 1 2 322.409 1.005 20 30 DDEDLO C=CCn1cccc1C(=O)N1C[C@@H](C)[C@H]([NH+]2CCOCC2)C1 ZINC000488754370 421111816 /nfs/dbraw/zinc/11/18/16/421111816.db2.gz UINDADZYQLDRDF-GDBMZVCRSA-N 1 2 303.406 1.467 20 30 DDEDLO CO[C@@H]1C[NH+](Cc2nnc(-c3ccc(C#N)cc3)o2)C[C@H]1OC ZINC000488084783 421069421 /nfs/dbraw/zinc/06/94/21/421069421.db2.gz DAROGFVFYRTMTL-ZIAGYGMSSA-N 1 2 314.345 1.454 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3nccc(C#N)n3)c[nH+]2)C[C@H](C)O1 ZINC000450254039 421172072 /nfs/dbraw/zinc/17/20/72/421172072.db2.gz GYCVIEPOFGKNJE-BETUJISGSA-N 1 2 324.388 1.969 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCc2c(cnn2-c2ccccc2)C1 ZINC000490759880 421193503 /nfs/dbraw/zinc/19/35/03/421193503.db2.gz HAVQONAPLUREOD-CQSZACIVSA-N 1 2 308.385 1.368 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCc2c(cnn2-c2ccccc2)C1 ZINC000490759880 421193505 /nfs/dbraw/zinc/19/35/05/421193505.db2.gz HAVQONAPLUREOD-CQSZACIVSA-N 1 2 308.385 1.368 20 30 DDEDLO CCOc1ccnc(N2CC[NH+](CC3(C#N)CCC3)CC2)n1 ZINC000450372515 421189710 /nfs/dbraw/zinc/18/97/10/421189710.db2.gz PMAGMQNBGBQDDB-UHFFFAOYSA-N 1 2 301.394 1.691 20 30 DDEDLO C#CC[N@@H+](C[C@@]1(C)CC1(Cl)Cl)[C@@H]1CCS(=O)(=O)C1 ZINC000491708135 421202016 /nfs/dbraw/zinc/20/20/16/421202016.db2.gz MTVOYDOWXXTADD-GHMZBOCLSA-N 1 2 310.246 1.693 20 30 DDEDLO C#CC[N@H+](C[C@@]1(C)CC1(Cl)Cl)[C@@H]1CCS(=O)(=O)C1 ZINC000491708135 421202018 /nfs/dbraw/zinc/20/20/18/421202018.db2.gz MTVOYDOWXXTADD-GHMZBOCLSA-N 1 2 310.246 1.693 20 30 DDEDLO CC(C)COC(=O)N1CC[NH+](Cc2cc(C#N)n(C)c2)CC1 ZINC000496423221 421252047 /nfs/dbraw/zinc/25/20/47/421252047.db2.gz ZKJRCLHWTNDFQV-UHFFFAOYSA-N 1 2 304.394 1.807 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCCC[C@H]1C1(O)CC1 ZINC000562683922 421398675 /nfs/dbraw/zinc/39/86/75/421398675.db2.gz MRAKECZLSTVIFB-LBPRGKRZSA-N 1 2 305.403 1.938 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCCC[C@H]1C1(O)CC1 ZINC000562683922 421398677 /nfs/dbraw/zinc/39/86/77/421398677.db2.gz MRAKECZLSTVIFB-LBPRGKRZSA-N 1 2 305.403 1.938 20 30 DDEDLO CCc1noc2ncc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc12 ZINC000563221146 421474779 /nfs/dbraw/zinc/47/47/79/421474779.db2.gz ZNRUZAXATSQMRE-HNNXBMFYSA-N 1 2 301.350 1.359 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@@H](c3nc(C4CC4)no3)C2)CCC1 ZINC000563334372 421487261 /nfs/dbraw/zinc/48/72/61/421487261.db2.gz LSIWSBSIMQRESC-GFCCVEGCSA-N 1 2 315.377 1.299 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@@H](c3nc(C4CC4)no3)C2)CCC1 ZINC000563334372 421487264 /nfs/dbraw/zinc/48/72/64/421487264.db2.gz LSIWSBSIMQRESC-GFCCVEGCSA-N 1 2 315.377 1.299 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NCCCN(C)c1ccccc1 ZINC000516782204 421568225 /nfs/dbraw/zinc/56/82/25/421568225.db2.gz KNZUKHPHCUZIFS-OAHLLOKOSA-N 1 2 302.422 1.911 20 30 DDEDLO Cc1cc(OCC(=O)N[C@](C)(C#N)C[NH+](C)C)ccc1[N+](=O)[O-] ZINC000566309989 421604389 /nfs/dbraw/zinc/60/43/89/421604389.db2.gz ZCCJMQSUSAYGBP-OAHLLOKOSA-N 1 2 320.349 1.242 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2c(C)noc2C)CC1 ZINC000568178978 421618868 /nfs/dbraw/zinc/61/88/68/421618868.db2.gz UNQIJIUGBLFTMR-ZBFHGGJFSA-N 1 2 319.405 1.527 20 30 DDEDLO Cc1nc2[nH]ccc2c(N2CCN(c3cnccc3C#N)CC2)[nH+]1 ZINC000518042203 421636936 /nfs/dbraw/zinc/63/69/36/421636936.db2.gz HKXGALIGLOAHAL-UHFFFAOYSA-N 1 2 319.372 1.860 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)[C@@H](CC)[N@@H+]1CCO[C@H](CC)C1 ZINC000556680463 421720151 /nfs/dbraw/zinc/72/01/51/421720151.db2.gz VPAZMZMTOLEPNH-FPCVCCKLSA-N 1 2 310.438 1.726 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)[C@@H](CC)[N@H+]1CCO[C@H](CC)C1 ZINC000556680463 421720153 /nfs/dbraw/zinc/72/01/53/421720153.db2.gz VPAZMZMTOLEPNH-FPCVCCKLSA-N 1 2 310.438 1.726 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)Cc2cccc(C#N)c2)CCO1 ZINC000572573631 421800686 /nfs/dbraw/zinc/80/06/86/421800686.db2.gz QKIMASGIIZWNSW-MRXNPFEDSA-N 1 2 301.390 1.328 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)Cc2cccc(C#N)c2)CCO1 ZINC000572573631 421800688 /nfs/dbraw/zinc/80/06/88/421800688.db2.gz QKIMASGIIZWNSW-MRXNPFEDSA-N 1 2 301.390 1.328 20 30 DDEDLO C[C@@H]1C[C@]2(CCO1)C[N@@H+](CC(=O)NC1(C#N)CCC1)C[C@@H](C)O2 ZINC000574264730 422083045 /nfs/dbraw/zinc/08/30/45/422083045.db2.gz JQTXDVNCJKEFAI-CKEIUWERSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@@H]1C[C@]2(CCO1)C[N@H+](CC(=O)NC1(C#N)CCC1)C[C@@H](C)O2 ZINC000574264730 422083051 /nfs/dbraw/zinc/08/30/51/422083051.db2.gz JQTXDVNCJKEFAI-CKEIUWERSA-N 1 2 321.421 1.207 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CC[NH+](Cc3ccncc3)CC2)n1 ZINC000574080162 422059984 /nfs/dbraw/zinc/05/99/84/422059984.db2.gz NZPOKHPJFFGCAD-UHFFFAOYSA-N 1 2 322.372 1.698 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](Cc3cn(CC4CC4)nn3)C2)nc1 ZINC000582222515 422133119 /nfs/dbraw/zinc/13/31/19/422133119.db2.gz VKAAFXHICGWYOI-MRXNPFEDSA-N 1 2 324.388 1.608 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](Cc3cn(CC4CC4)nn3)C2)nc1 ZINC000582222515 422133121 /nfs/dbraw/zinc/13/31/21/422133121.db2.gz VKAAFXHICGWYOI-MRXNPFEDSA-N 1 2 324.388 1.608 20 30 DDEDLO Cn1cc[nH+]c1[C@H](CC(=O)N[C@@H](C#N)C1CC1)C(F)(F)F ZINC000575428336 422297508 /nfs/dbraw/zinc/29/75/08/422297508.db2.gz RDQROHWTKKNMMH-UWVGGRQHSA-N 1 2 300.284 1.874 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc2c(c1)CCCC(=O)N2 ZINC000577056469 422380547 /nfs/dbraw/zinc/38/05/47/422380547.db2.gz CJPLSSGPNXPKLV-OAHLLOKOSA-N 1 2 316.405 1.580 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc2c(c1)CCCC(=O)N2 ZINC000577056469 422380549 /nfs/dbraw/zinc/38/05/49/422380549.db2.gz CJPLSSGPNXPKLV-OAHLLOKOSA-N 1 2 316.405 1.580 20 30 DDEDLO Cc1ccc([C@@H](C[NH+]2CCOCC2)Nc2cc(C#N)cnn2)cc1 ZINC000596061966 422363064 /nfs/dbraw/zinc/36/30/64/422363064.db2.gz DCUCBWCBMIYEMN-QGZVFWFLSA-N 1 2 323.400 2.142 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000635766462 422325025 /nfs/dbraw/zinc/32/50/25/422325025.db2.gz NRBNBLNYTVXHFT-MNOVXSKESA-N 1 2 305.300 1.677 20 30 DDEDLO CCn1c[nH+]cc1CNc1nn(-c2ccc(C#N)cn2)cc1C ZINC000591356567 422326433 /nfs/dbraw/zinc/32/64/33/422326433.db2.gz VDQCBHSJZNARCM-UHFFFAOYSA-N 1 2 307.361 2.276 20 30 DDEDLO Cc1cc(NC(=O)N[C@H](C)c2[nH+]ccn2C)nn1CCC#N ZINC000596817217 422382267 /nfs/dbraw/zinc/38/22/67/422382267.db2.gz UQTSEDFVQKRJJI-LLVKDONJSA-N 1 2 301.354 1.721 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]2C(=O)NC2CC2)c([N+](=O)[O-])c1 ZINC000577637858 422399821 /nfs/dbraw/zinc/39/98/21/422399821.db2.gz IFRAEWINEVCXGI-CQSZACIVSA-N 1 2 314.345 1.709 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]2C(=O)NC2CC2)c([N+](=O)[O-])c1 ZINC000577637858 422399826 /nfs/dbraw/zinc/39/98/26/422399826.db2.gz IFRAEWINEVCXGI-CQSZACIVSA-N 1 2 314.345 1.709 20 30 DDEDLO COCCOCC[N@H+](C)Cc1cccc(C(=O)OC)c1C#N ZINC000577914402 422454716 /nfs/dbraw/zinc/45/47/16/422454716.db2.gz SFZKDSIGKVZXRF-UHFFFAOYSA-N 1 2 306.362 1.440 20 30 DDEDLO COCCOCC[N@@H+](C)Cc1cccc(C(=O)OC)c1C#N ZINC000577914402 422454717 /nfs/dbraw/zinc/45/47/17/422454717.db2.gz SFZKDSIGKVZXRF-UHFFFAOYSA-N 1 2 306.362 1.440 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccncc2Br)nn1 ZINC000631163972 422574844 /nfs/dbraw/zinc/57/48/44/422574844.db2.gz VWVJVTYERUYLSH-UHFFFAOYSA-N 1 2 308.183 1.911 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N(C)C2CCCCC2)nn1 ZINC000640806415 423200447 /nfs/dbraw/zinc/20/04/47/423200447.db2.gz ZCPZYBJHVZXSDL-CQSZACIVSA-N 1 2 317.437 1.743 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000645938566 423250954 /nfs/dbraw/zinc/25/09/54/423250954.db2.gz GOVYSFNHHUYYJO-KBPBESRZSA-N 1 2 313.392 1.601 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCN2CC(F)(F)C[C@H]2C1 ZINC000645938566 423250962 /nfs/dbraw/zinc/25/09/62/423250962.db2.gz GOVYSFNHHUYYJO-KBPBESRZSA-N 1 2 313.392 1.601 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)N(C(C)C)C(C)C)nn1 ZINC000640889776 423258561 /nfs/dbraw/zinc/25/85/61/423258561.db2.gz FQOCXBJDYFYKTL-AWEZNQCLSA-N 1 2 305.426 1.597 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2c3c(nn2C)CCCC3)C1=O ZINC000639131914 423325384 /nfs/dbraw/zinc/32/53/84/423325384.db2.gz BKABUAQOCOTBIF-HNNXBMFYSA-N 1 2 302.422 1.518 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2c3c(nn2C)CCCC3)C1=O ZINC000639131914 423325389 /nfs/dbraw/zinc/32/53/89/423325389.db2.gz BKABUAQOCOTBIF-HNNXBMFYSA-N 1 2 302.422 1.518 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc3c(c2)OC(F)(F)O3)nn1 ZINC000641120237 423401469 /nfs/dbraw/zinc/40/14/69/423401469.db2.gz BRYZOWMTPJORHB-UHFFFAOYSA-N 1 2 320.299 1.761 20 30 DDEDLO C=CCN(CCc1ccccc1)S(=O)(=O)CCn1cc[nH+]c1 ZINC000641301070 423537495 /nfs/dbraw/zinc/53/74/95/423537495.db2.gz NKJPHVLMSKXZDO-UHFFFAOYSA-N 1 2 319.430 1.944 20 30 DDEDLO C=CC[N@H+](Cc1cccc2c1CCOC2)[C@H]1CCS(=O)(=O)C1 ZINC000639531623 423561671 /nfs/dbraw/zinc/56/16/71/423561671.db2.gz LGLJBJFFHPZHIR-INIZCTEOSA-N 1 2 321.442 1.934 20 30 DDEDLO C=CC[N@@H+](Cc1cccc2c1CCOC2)[C@H]1CCS(=O)(=O)C1 ZINC000639531623 423561676 /nfs/dbraw/zinc/56/16/76/423561676.db2.gz LGLJBJFFHPZHIR-INIZCTEOSA-N 1 2 321.442 1.934 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+](C/C=C\c2ccccc2)CC1 ZINC000665150621 424750879 /nfs/dbraw/zinc/75/08/79/424750879.db2.gz HPFQFZNDYSSCLU-IEODJVTJSA-N 1 2 300.402 1.781 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)NCCc1cccc(C#N)c1 ZINC000358988457 267069148 /nfs/dbraw/zinc/06/91/48/267069148.db2.gz XGEUYFHADIHXJU-CQSZACIVSA-N 1 2 316.405 1.121 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)NCCc1cccc(C#N)c1 ZINC000358988457 267069152 /nfs/dbraw/zinc/06/91/52/267069152.db2.gz XGEUYFHADIHXJU-CQSZACIVSA-N 1 2 316.405 1.121 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+]([C@H](C)c3cnccn3)CC2)c1C#N ZINC000530519860 268091379 /nfs/dbraw/zinc/09/13/79/268091379.db2.gz VNEBGPGWANHAEX-CYBMUJFWSA-N 1 2 311.393 1.273 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CC3(C2)CCCC3)nc1 ZINC000367974404 268187074 /nfs/dbraw/zinc/18/70/74/268187074.db2.gz SZEVQXCNOGLOGS-UHFFFAOYSA-N 1 2 305.403 1.603 20 30 DDEDLO C#Cc1cccc(NC(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@@H]2C)c1 ZINC000286928848 275388521 /nfs/dbraw/zinc/38/85/21/275388521.db2.gz GAWSQEMQCDWXFE-YOEHRIQHSA-N 1 2 313.401 1.995 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC#N)C2)CC1 ZINC000376172001 277188539 /nfs/dbraw/zinc/18/85/39/277188539.db2.gz BHIOZMXNKPPJRT-CYBMUJFWSA-N 1 2 322.409 1.054 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(F)cc2C#N)[C@@H](C)C1 ZINC000285182708 279365403 /nfs/dbraw/zinc/36/54/03/279365403.db2.gz BWJQGIUZQSUKJH-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(F)cc2C#N)[C@@H](C)C1 ZINC000285182708 279365406 /nfs/dbraw/zinc/36/54/06/279365406.db2.gz BWJQGIUZQSUKJH-NSHDSACASA-N 1 2 311.382 1.412 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCC[C@@H]1c1cnn(C)c1 ZINC000332390249 280102687 /nfs/dbraw/zinc/10/26/87/280102687.db2.gz RCNNSKPONYYVRP-FRFSOERESA-N 1 2 317.437 2.000 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCC[C@@H]1c1cnn(C)c1 ZINC000332390249 280102688 /nfs/dbraw/zinc/10/26/88/280102688.db2.gz RCNNSKPONYYVRP-FRFSOERESA-N 1 2 317.437 2.000 20 30 DDEDLO COC(=O)c1cc(C[NH+]2CCN(c3ccccc3C#N)CC2)c[nH]1 ZINC000126941394 281257701 /nfs/dbraw/zinc/25/77/01/281257701.db2.gz YDFZUAZSMBIDRE-UHFFFAOYSA-N 1 2 324.384 1.995 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CCC[C@H](CN2CC[NH+](C)CC2)C1 ZINC000367206249 288383541 /nfs/dbraw/zinc/38/35/41/288383541.db2.gz OXROJWIFENSAGR-NVXWUHKLSA-N 1 2 306.454 1.412 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1nc2c(cc1C#N)CCC2 ZINC000286464606 288718952 /nfs/dbraw/zinc/71/89/52/288718952.db2.gz VGKOFJRBIHPVOC-CJNGLKHVSA-N 1 2 312.417 1.741 20 30 DDEDLO CN(C)[C@H](C(=O)N[C@@H]1CCO[C@H](C2CC2)C1)c1c[nH+]cn1C ZINC000328800835 289343042 /nfs/dbraw/zinc/34/30/42/289343042.db2.gz YUOIZCPGBURASN-SNPRPXQTSA-N 1 2 306.410 1.937 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2cccc(C#N)c2)C1 ZINC000276160505 290107399 /nfs/dbraw/zinc/10/73/99/290107399.db2.gz ATMZGQDCSKWRFE-KRWDZBQOSA-N 1 2 313.401 1.741 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2cccc(C#N)c2)C1 ZINC000276160505 290107402 /nfs/dbraw/zinc/10/74/02/290107402.db2.gz ATMZGQDCSKWRFE-KRWDZBQOSA-N 1 2 313.401 1.741 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@H](C)CN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000330599081 297008592 /nfs/dbraw/zinc/00/85/92/297008592.db2.gz UGKSOXGAPLKTFX-ZIAGYGMSSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@H](C)CN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000330599081 297008593 /nfs/dbraw/zinc/00/85/93/297008593.db2.gz UGKSOXGAPLKTFX-ZIAGYGMSSA-N 1 2 321.446 1.356 20 30 DDEDLO O=C(NC[C@H](O)C[NH+]1CCOCC1)[C@@H]1CCc2ccccc21 ZINC000328797252 295378814 /nfs/dbraw/zinc/37/88/14/295378814.db2.gz DYCGSMDBMTWAIF-GOEBONIOSA-N 1 2 304.390 1.366 20 30 DDEDLO C[C@@H]1COCC[C@@H]1NC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000329647147 297129592 /nfs/dbraw/zinc/12/95/92/297129592.db2.gz JCLUTLFVOYCLNJ-ILXRZTDVSA-N 1 2 311.426 1.122 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1nccc(C#N)c1[N+](=O)[O-] ZINC000286426504 297266615 /nfs/dbraw/zinc/26/66/15/297266615.db2.gz RLRGZPZSVZZKAE-DGCLKSJQSA-N 1 2 317.349 1.161 20 30 DDEDLO CNS(=O)(=O)C1CC[NH+](Cc2ccc(F)cc2C#N)CC1 ZINC000370830594 301396345 /nfs/dbraw/zinc/39/63/45/301396345.db2.gz OEUHDCQCUANNOO-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(C#N)cc2F)CC[N@H+]1C ZINC000538854286 303391366 /nfs/dbraw/zinc/39/13/66/303391366.db2.gz ZWSUGFCPRQNGAK-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(C#N)cc2F)CC[N@@H+]1C ZINC000538854286 303391367 /nfs/dbraw/zinc/39/13/67/303391367.db2.gz ZWSUGFCPRQNGAK-MNOVXSKESA-N 1 2 311.382 1.410 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)NCCc1c[nH+]cn1C ZINC000541552146 303423428 /nfs/dbraw/zinc/42/34/28/303423428.db2.gz UVNUSHGODXWQNP-UHFFFAOYSA-N 1 2 314.345 1.038 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](C3(C(N)=O)CCCC3)CC2)o1 ZINC000371379220 307148143 /nfs/dbraw/zinc/14/81/43/307148143.db2.gz MGVOIVCBYDOUBF-UHFFFAOYSA-N 1 2 302.378 1.067 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000558901690 307897783 /nfs/dbraw/zinc/89/77/83/307897783.db2.gz QBBFTUKPBIIMSW-UHFFFAOYSA-N 1 2 310.357 1.813 20 30 DDEDLO Cc1nc(C2CC[NH+](CC(=O)N[C@](C)(C#N)C(C)C)CC2)no1 ZINC000363443450 331912249 /nfs/dbraw/zinc/91/22/49/331912249.db2.gz FTHBGPROZHQGHB-MRXNPFEDSA-N 1 2 319.409 1.612 20 30 DDEDLO C#CCN(Cc1c[nH+]c2ccc(C)cn12)[C@H]1CCS(=O)(=O)C1 ZINC000092194924 332115838 /nfs/dbraw/zinc/11/58/38/332115838.db2.gz ULJXDFCSVMOOQA-AWEZNQCLSA-N 1 2 317.414 1.265 20 30 DDEDLO C#CC[N@@H+](Cc1cc(C)c(OC)c(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000092193541 332115866 /nfs/dbraw/zinc/11/58/66/332115866.db2.gz CEDIWCVFVMBBTE-INIZCTEOSA-N 1 2 321.442 1.934 20 30 DDEDLO C#CC[N@H+](Cc1cc(C)c(OC)c(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000092193541 332115868 /nfs/dbraw/zinc/11/58/68/332115868.db2.gz CEDIWCVFVMBBTE-INIZCTEOSA-N 1 2 321.442 1.934 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N(C)[C@@H](C)C[NH+]1CCOCC1 ZINC000560565452 332360237 /nfs/dbraw/zinc/36/02/37/332360237.db2.gz HZEMGJLOFHWULG-ZNMIVQPWSA-N 1 2 311.426 1.082 20 30 DDEDLO CCO[C@H](C)c1ncc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)s1 ZINC000563219963 333486167 /nfs/dbraw/zinc/48/61/67/333486167.db2.gz CRACTUKUHFZFJL-YGRLFVJLSA-N 1 2 310.423 1.814 20 30 DDEDLO CC(=O)Nc1ccc(Cl)c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000571568655 333818136 /nfs/dbraw/zinc/81/81/36/333818136.db2.gz SPHKFEPFLXAOCS-HNNXBMFYSA-N 1 2 322.796 1.872 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1C[C@@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000351543633 336041974 /nfs/dbraw/zinc/04/19/74/336041974.db2.gz ITAHWBQRRIKKSX-CVEARBPZSA-N 1 2 320.412 1.642 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1C[C@@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000351543633 336041975 /nfs/dbraw/zinc/04/19/75/336041975.db2.gz ITAHWBQRRIKKSX-CVEARBPZSA-N 1 2 320.412 1.642 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)NC2CCC(C)CC2)C1=O ZINC000532479540 336251541 /nfs/dbraw/zinc/25/15/41/336251541.db2.gz BRUFGPLAKRDYDQ-GRAKBVBRSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)NC2CCC(C)CC2)C1=O ZINC000532479540 336251542 /nfs/dbraw/zinc/25/15/42/336251542.db2.gz BRUFGPLAKRDYDQ-GRAKBVBRSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cccc(Cl)c2)CC1 ZINC000023265205 336374239 /nfs/dbraw/zinc/37/42/39/336374239.db2.gz IQAALGAUASTNHD-UHFFFAOYSA-N 1 2 307.825 1.760 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cccc(Cl)c2)CC1 ZINC000023265205 336374240 /nfs/dbraw/zinc/37/42/40/336374240.db2.gz IQAALGAUASTNHD-UHFFFAOYSA-N 1 2 307.825 1.760 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)COc1ccc(F)cc1Cl ZINC000584564994 337361877 /nfs/dbraw/zinc/36/18/77/337361877.db2.gz NHUZSNSLRCANGK-CQSZACIVSA-N 1 2 313.760 1.818 20 30 DDEDLO CC(C)COc1ncccc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000572004790 337586101 /nfs/dbraw/zinc/58/61/01/337586101.db2.gz KYTYXNFYPLNLMT-INIZCTEOSA-N 1 2 304.394 1.690 20 30 DDEDLO C#CC[C@H]([NH2+]C[C@@]12C[C@@H]1CN(C(=O)OC(C)(C)C)C2)C(=O)OC ZINC000496545401 340007530 /nfs/dbraw/zinc/00/75/30/340007530.db2.gz GCUCPAILGJVLDX-IIYDPXPESA-N 1 2 322.405 1.398 20 30 DDEDLO CCOC(=O)Nc1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000496700524 340010838 /nfs/dbraw/zinc/01/08/38/340010838.db2.gz RNFZDNRRBIOORQ-INIZCTEOSA-N 1 2 318.377 1.829 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N[C@H](c1nccs1)c1ccccc1 ZINC000072906504 341110477 /nfs/dbraw/zinc/11/04/77/341110477.db2.gz BVBPWFPOWQYMBR-KRWDZBQOSA-N 1 2 323.421 1.917 20 30 DDEDLO C=C[C@@H](C)NC(=O)C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000133762611 341186085 /nfs/dbraw/zinc/18/60/85/341186085.db2.gz MXATXQAKNKMAHA-CYBMUJFWSA-N 1 2 317.389 1.148 20 30 DDEDLO CC[N@H+](C[C@@H](C)C#N)[C@H](C)C(=O)N1CCC(C(=O)OC)CC1 ZINC000245909003 341317621 /nfs/dbraw/zinc/31/76/21/341317621.db2.gz VDPWZKVRRGTUFR-QWHCGFSZSA-N 1 2 309.410 1.268 20 30 DDEDLO CC[N@@H+](C[C@@H](C)C#N)[C@H](C)C(=O)N1CCC(C(=O)OC)CC1 ZINC000245909003 341317622 /nfs/dbraw/zinc/31/76/22/341317622.db2.gz VDPWZKVRRGTUFR-QWHCGFSZSA-N 1 2 309.410 1.268 20 30 DDEDLO C[C@H]1CC[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)[C@@H](C(N)=O)C1 ZINC000249533055 341398980 /nfs/dbraw/zinc/39/89/80/341398980.db2.gz BMDSDNYNCISERK-UONOGXRCSA-N 1 2 320.437 1.257 20 30 DDEDLO C[C@H]1CC[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)[C@@H](C(N)=O)C1 ZINC000249533055 341398981 /nfs/dbraw/zinc/39/89/81/341398981.db2.gz BMDSDNYNCISERK-UONOGXRCSA-N 1 2 320.437 1.257 20 30 DDEDLO N#CCCC1CCN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC000565538484 341530477 /nfs/dbraw/zinc/53/04/77/341530477.db2.gz RVIDGZLLQXAJIJ-CQSZACIVSA-N 1 2 301.394 1.923 20 30 DDEDLO C[C@H](CC(=O)N[C@](C)(C#N)C[NH+](C)C)NC(=O)C1CCCCC1 ZINC000567995572 341644697 /nfs/dbraw/zinc/64/46/97/341644697.db2.gz OPAJYKFETNYGTB-CXAGYDPISA-N 1 2 322.453 1.422 20 30 DDEDLO CCC#C[C@H](C)[N@@H+]1CCc2c(cccc2S(=O)(=O)NC)C1 ZINC000671245122 484981350 /nfs/dbraw/zinc/98/13/50/484981350.db2.gz NGWSKLPTNKDNFW-ZDUSSCGKSA-N 1 2 306.431 1.755 20 30 DDEDLO CCC#C[C@H](C)[N@H+]1CCc2c(cccc2S(=O)(=O)NC)C1 ZINC000671245122 484981358 /nfs/dbraw/zinc/98/13/58/484981358.db2.gz NGWSKLPTNKDNFW-ZDUSSCGKSA-N 1 2 306.431 1.755 20 30 DDEDLO C=C[C@@H](O)C(=O)Nc1ccccc1C[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000666647222 485311542 /nfs/dbraw/zinc/31/15/42/485311542.db2.gz FMGAQBFOVWLJMK-DVOMOZLQSA-N 1 2 304.390 1.781 20 30 DDEDLO C=C[C@@H](O)C(=O)Nc1ccccc1C[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000666647222 485311544 /nfs/dbraw/zinc/31/15/44/485311544.db2.gz FMGAQBFOVWLJMK-DVOMOZLQSA-N 1 2 304.390 1.781 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cn3cccc(F)c3n2)C1=O ZINC000684966782 486469928 /nfs/dbraw/zinc/46/99/28/486469928.db2.gz NKXJPJXSPCTHSO-CQSZACIVSA-N 1 2 302.353 1.692 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cn3cccc(F)c3n2)C1=O ZINC000684966782 486469933 /nfs/dbraw/zinc/46/99/33/486469933.db2.gz NKXJPJXSPCTHSO-CQSZACIVSA-N 1 2 302.353 1.692 20 30 DDEDLO COCC#CC[NH+]1CCN(Cc2noc(C(C)(C)C)n2)CC1 ZINC000677479438 486477920 /nfs/dbraw/zinc/47/79/20/486477920.db2.gz PPODJVRNFUYBJO-UHFFFAOYSA-N 1 2 306.410 1.135 20 30 DDEDLO COC(=O)C[C@]1([NH2+]Cc2c(C#N)cccc2[N+](=O)[O-])CCOC1 ZINC000459406581 533749323 /nfs/dbraw/zinc/74/93/23/533749323.db2.gz ULTQOVCQMYIGSC-OAHLLOKOSA-N 1 2 319.317 1.278 20 30 DDEDLO C[C@@H]1CC[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@H]1O ZINC000305756730 534029500 /nfs/dbraw/zinc/02/95/00/534029500.db2.gz DIHHWSVJSCDTTJ-QMTHXVAHSA-N 1 2 307.781 1.853 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@H]1O ZINC000305756730 534029511 /nfs/dbraw/zinc/02/95/11/534029511.db2.gz DIHHWSVJSCDTTJ-QMTHXVAHSA-N 1 2 307.781 1.853 20 30 DDEDLO C[C@@H]1OCC[C@]1(C)NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000329766414 534204452 /nfs/dbraw/zinc/20/44/52/534204452.db2.gz YDNTUSNLLZUZLT-ZENOOKHLSA-N 1 2 304.394 1.731 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@@H](NC(=O)c2cc(C#N)cn2C)CCO1 ZINC000331744016 534813803 /nfs/dbraw/zinc/81/38/03/534813803.db2.gz HDABDOQXHYJXNQ-WFASDCNBSA-N 1 2 313.361 1.280 20 30 DDEDLO C=CC[N@H+](CCOCC1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000459379079 526306616 /nfs/dbraw/zinc/30/66/16/526306616.db2.gz ZSROWUMCXRAUDQ-OAHLLOKOSA-N 1 2 301.452 1.868 20 30 DDEDLO C=CC[N@@H+](CCOCC1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000459379079 526306623 /nfs/dbraw/zinc/30/66/23/526306623.db2.gz ZSROWUMCXRAUDQ-OAHLLOKOSA-N 1 2 301.452 1.868 20 30 DDEDLO CNC(=O)c1cccc(C[N@H+](C)C[C@@H]2CCS(=O)(=O)C2)c1 ZINC000330877396 526410657 /nfs/dbraw/zinc/41/06/57/526410657.db2.gz WIVOGXHPHMZCKO-ZDUSSCGKSA-N 1 2 310.419 1.488 20 30 DDEDLO CNC(=O)c1cccc(C[N@@H+](C)C[C@@H]2CCS(=O)(=O)C2)c1 ZINC000330877396 526410661 /nfs/dbraw/zinc/41/06/61/526410661.db2.gz WIVOGXHPHMZCKO-ZDUSSCGKSA-N 1 2 310.419 1.488 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000342269206 526477513 /nfs/dbraw/zinc/47/75/13/526477513.db2.gz OQIDAAYHDLLJAI-CYBMUJFWSA-N 1 2 304.394 1.220 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(CC(C)C)C2CC2)C1=O ZINC000337203245 526499016 /nfs/dbraw/zinc/49/90/16/526499016.db2.gz GFKJVPOZWDKDPN-HNNXBMFYSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(CC(C)C)C2CC2)C1=O ZINC000337203245 526499018 /nfs/dbraw/zinc/49/90/18/526499018.db2.gz GFKJVPOZWDKDPN-HNNXBMFYSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCc2cc(F)cc(C)c2C1 ZINC000337443469 526602914 /nfs/dbraw/zinc/60/29/14/526602914.db2.gz HCNLAXRLYDNXSN-GFCCVEGCSA-N 1 2 319.380 1.893 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCc2cc(F)cc(C)c2C1 ZINC000337443469 526602919 /nfs/dbraw/zinc/60/29/19/526602919.db2.gz HCNLAXRLYDNXSN-GFCCVEGCSA-N 1 2 319.380 1.893 20 30 DDEDLO CC(=O)N1CC[C@H](NC(=O)N2CCC(c3c[nH+]c[nH]3)CC2)C1 ZINC000329950828 526654250 /nfs/dbraw/zinc/65/42/50/526654250.db2.gz HZZIEGRQVPQTCG-ZDUSSCGKSA-N 1 2 305.382 1.124 20 30 DDEDLO CC(=O)N1CC[C@H](NC(=O)N2CCC(c3c[nH]c[nH+]3)CC2)C1 ZINC000329950828 526654254 /nfs/dbraw/zinc/65/42/54/526654254.db2.gz HZZIEGRQVPQTCG-ZDUSSCGKSA-N 1 2 305.382 1.124 20 30 DDEDLO C=CCOCCC[NH+]1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000347971742 526755364 /nfs/dbraw/zinc/75/53/64/526755364.db2.gz YBTYJRAGINEFSH-UHFFFAOYSA-N 1 2 324.446 1.586 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000346372061 526764498 /nfs/dbraw/zinc/76/44/98/526764498.db2.gz IJPMTYQHSYIBBB-DZKIICNBSA-N 1 2 301.390 1.758 20 30 DDEDLO C#CCN(CC#N)C(=O)c1c2ccccc2nc2c1C[N@H+](C)CC2 ZINC000491639917 526874324 /nfs/dbraw/zinc/87/43/24/526874324.db2.gz ZDIITQNEWWZXBK-UHFFFAOYSA-N 1 2 318.380 1.822 20 30 DDEDLO C#CCN(CC#N)C(=O)c1c2ccccc2nc2c1C[N@@H+](C)CC2 ZINC000491639917 526874329 /nfs/dbraw/zinc/87/43/29/526874329.db2.gz ZDIITQNEWWZXBK-UHFFFAOYSA-N 1 2 318.380 1.822 20 30 DDEDLO C#CC[N@@H+](CC(=O)N[C@@H](C)c1cc(OC)ccc1OC)C1CC1 ZINC000491538568 526883042 /nfs/dbraw/zinc/88/30/42/526883042.db2.gz ZVQHZKOAADEKLU-ZDUSSCGKSA-N 1 2 316.401 1.979 20 30 DDEDLO C#CC[N@H+](CC(=O)N[C@@H](C)c1cc(OC)ccc1OC)C1CC1 ZINC000491538568 526883044 /nfs/dbraw/zinc/88/30/44/526883044.db2.gz ZVQHZKOAADEKLU-ZDUSSCGKSA-N 1 2 316.401 1.979 20 30 DDEDLO C#CC[N@@H+](CCCCOC(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000490741392 526901621 /nfs/dbraw/zinc/90/16/21/526901621.db2.gz RBFPPTABSQJPPC-CQSZACIVSA-N 1 2 301.452 1.704 20 30 DDEDLO C#CC[N@H+](CCCCOC(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000490741392 526901627 /nfs/dbraw/zinc/90/16/27/526901627.db2.gz RBFPPTABSQJPPC-CQSZACIVSA-N 1 2 301.452 1.704 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN[C@H](c2ccccc2)C(F)F)CC1 ZINC000491241329 526952281 /nfs/dbraw/zinc/95/22/81/526952281.db2.gz IIOYNKORVXGTAD-MRXNPFEDSA-N 1 2 321.371 1.360 20 30 DDEDLO C#CC[NH+]1CCN(c2ccc([N+](=O)[O-])c(OC(C)C)n2)CC1 ZINC000491724554 526955468 /nfs/dbraw/zinc/95/54/68/526955468.db2.gz GNLDBDQAPJBLKR-UHFFFAOYSA-N 1 2 304.350 1.532 20 30 DDEDLO C#CC[N@H+]1C[C@@H](c2cnn(C)c2)[C@H](NC(=O)OC(C)(C)C)C1 ZINC000491811036 526957198 /nfs/dbraw/zinc/95/71/98/526957198.db2.gz AZYNDAUHTUWYLN-UONOGXRCSA-N 1 2 304.394 1.346 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](c2cnn(C)c2)[C@H](NC(=O)OC(C)(C)C)C1 ZINC000491811036 526957206 /nfs/dbraw/zinc/95/72/06/526957206.db2.gz AZYNDAUHTUWYLN-UONOGXRCSA-N 1 2 304.394 1.346 20 30 DDEDLO C#CCNC(=O)C1CCN(Cc2[nH+]cc(C)c(OC)c2C)CC1 ZINC000491103866 526971829 /nfs/dbraw/zinc/97/18/29/526971829.db2.gz QSUJJKBUHWNIHP-UHFFFAOYSA-N 1 2 315.417 1.668 20 30 DDEDLO C#CCNC(=O)C1CCN(c2nc(C3CC3)[nH+]c3c2CCC3)CC1 ZINC000491696470 526972941 /nfs/dbraw/zinc/97/29/41/526972941.db2.gz JEUODLJPEBXVSZ-UHFFFAOYSA-N 1 2 324.428 1.809 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CC2CC(C)(C)C2)CC1 ZINC000491209276 526989517 /nfs/dbraw/zinc/98/95/17/526989517.db2.gz DVSKITMWIPCKRN-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CC2CC(C)(C)C2)CC1 ZINC000491209276 526989521 /nfs/dbraw/zinc/98/95/21/526989521.db2.gz DVSKITMWIPCKRN-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)O[C@H](C)CC(C)C)CC1 ZINC000491394791 526995518 /nfs/dbraw/zinc/99/55/18/526995518.db2.gz OGMZISDRLSZINF-OAHLLOKOSA-N 1 2 323.437 1.315 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)O[C@H](C)CC(C)C)CC1 ZINC000491394791 526995520 /nfs/dbraw/zinc/99/55/20/526995520.db2.gz OGMZISDRLSZINF-OAHLLOKOSA-N 1 2 323.437 1.315 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCc1ccc(N(CC)CC)[nH+]c1 ZINC000341858106 527064264 /nfs/dbraw/zinc/06/42/64/527064264.db2.gz FURPSYNKMDGRJO-OAHLLOKOSA-N 1 2 320.437 1.912 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)NCC#Cc1ccccc1 ZINC000413078238 527085067 /nfs/dbraw/zinc/08/50/67/527085067.db2.gz VVLBZMKWPUOXMD-UHFFFAOYSA-N 1 2 315.417 1.448 20 30 DDEDLO C=CC[C@H](CC)NC(=O)N1CC[NH+]([C@@H](C)C(=O)NC2CC2)CC1 ZINC000341432833 527148826 /nfs/dbraw/zinc/14/88/26/527148826.db2.gz MSTGPGROEYDCNY-KBPBESRZSA-N 1 2 322.453 1.335 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000491337819 527311575 /nfs/dbraw/zinc/31/15/75/527311575.db2.gz CYVYPARGHZNCHK-PBHICJAKSA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000491337819 527311577 /nfs/dbraw/zinc/31/15/77/527311577.db2.gz CYVYPARGHZNCHK-PBHICJAKSA-N 1 2 308.422 1.968 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)N(C)CC(=O)Nc1ccccc1Cl ZINC000491251897 527330722 /nfs/dbraw/zinc/33/07/22/527330722.db2.gz CWZXJBKITVHZRV-LBPRGKRZSA-N 1 2 321.808 1.690 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)N(C)CC(=O)Nc1ccccc1Cl ZINC000491251897 527330728 /nfs/dbraw/zinc/33/07/28/527330728.db2.gz CWZXJBKITVHZRV-LBPRGKRZSA-N 1 2 321.808 1.690 20 30 DDEDLO C#C[C@H](CCC)NC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000491804208 527370285 /nfs/dbraw/zinc/37/02/85/527370285.db2.gz KURCCKRYQVVQGP-OAHLLOKOSA-N 1 2 316.405 1.519 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@H](O)Cc1ccccc1C(F)(F)F ZINC000491689410 527429141 /nfs/dbraw/zinc/42/91/41/527429141.db2.gz ILHGTRLFRXDGKW-UONOGXRCSA-N 1 2 313.319 1.943 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@H](O)Cc1ccccc1C(F)(F)F ZINC000491689410 527429145 /nfs/dbraw/zinc/42/91/45/527429145.db2.gz ILHGTRLFRXDGKW-UONOGXRCSA-N 1 2 313.319 1.943 20 30 DDEDLO CC(=O)N[C@H]1CCCN(C(=O)C[N@@H+]2CCO[C@H]3CCC[C@H]32)C1 ZINC000330278140 527544189 /nfs/dbraw/zinc/54/41/89/527544189.db2.gz PFUYCMWTROLKPW-ZNMIVQPWSA-N 1 2 309.410 1.207 20 30 DDEDLO CC(=O)N[C@H]1CCCN(C(=O)C[N@H+]2CCO[C@H]3CCC[C@H]32)C1 ZINC000330278140 527544197 /nfs/dbraw/zinc/54/41/97/527544197.db2.gz PFUYCMWTROLKPW-ZNMIVQPWSA-N 1 2 309.410 1.207 20 30 DDEDLO CCCC1(CCC)NC(=O)N(C[C@H](O)C[N@H+](C)CCC#N)C1=O ZINC000414117056 528036020 /nfs/dbraw/zinc/03/60/20/528036020.db2.gz YJJXUZFGTPQSJJ-CYBMUJFWSA-N 1 2 324.425 1.084 20 30 DDEDLO CCCC1(CCC)NC(=O)N(C[C@H](O)C[N@@H+](C)CCC#N)C1=O ZINC000414117056 528036026 /nfs/dbraw/zinc/03/60/26/528036026.db2.gz YJJXUZFGTPQSJJ-CYBMUJFWSA-N 1 2 324.425 1.084 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000336948336 528383118 /nfs/dbraw/zinc/38/31/18/528383118.db2.gz QJJBMNQHLVSIOC-AWEZNQCLSA-N 1 2 324.406 1.015 20 30 DDEDLO CC(C)[C@@H]([NH2+]CC(=O)NC1(C#N)CCC1)c1nc(C2CC2)no1 ZINC000346983574 528583550 /nfs/dbraw/zinc/58/35/50/528583550.db2.gz ZRAHCYOLMVTPDU-CYBMUJFWSA-N 1 2 317.393 1.796 20 30 DDEDLO CC(C)n1ncnc1C[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292364443 528692075 /nfs/dbraw/zinc/69/20/75/528692075.db2.gz YDFTVSFSNAEPSD-CQSZACIVSA-N 1 2 312.377 1.779 20 30 DDEDLO CC(C)n1ncnc1C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292364443 528692077 /nfs/dbraw/zinc/69/20/77/528692077.db2.gz YDFTVSFSNAEPSD-CQSZACIVSA-N 1 2 312.377 1.779 20 30 DDEDLO CCOc1ccccc1NC(=O)CN1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000330280464 528738339 /nfs/dbraw/zinc/73/83/39/528738339.db2.gz SLSTVKKMXBOLCS-ZBFHGGJFSA-N 1 2 319.405 1.688 20 30 DDEDLO CCOc1ccccc1NC(=O)CN1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000330280464 528738345 /nfs/dbraw/zinc/73/83/45/528738345.db2.gz SLSTVKKMXBOLCS-ZBFHGGJFSA-N 1 2 319.405 1.688 20 30 DDEDLO CC(C)[C@H](C#N)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000345641038 528908785 /nfs/dbraw/zinc/90/87/85/528908785.db2.gz VHVHFRHJEBBELD-AWEZNQCLSA-N 1 2 318.402 1.758 20 30 DDEDLO CCN1CCN(C(=O)NCc2ncoc2C)C[C@@H]1c1[nH]cc[nH+]1 ZINC000330000413 529108201 /nfs/dbraw/zinc/10/82/01/529108201.db2.gz WJMJADPLVVTDJH-CYBMUJFWSA-N 1 2 318.381 1.499 20 30 DDEDLO CCN1CCN(C(=O)Nc2nccs2)C[C@H]1c1[nH]cc[nH+]1 ZINC000330064268 529136449 /nfs/dbraw/zinc/13/64/49/529136449.db2.gz QXWANVYGWIKGIU-JTQLQIEISA-N 1 2 306.395 1.791 20 30 DDEDLO N#CCCN1CC[NH+](Cc2cc(F)ccc2-c2nn[nH]n2)CC1 ZINC000826382334 608038326 /nfs/dbraw/zinc/03/83/26/608038326.db2.gz YWFSDACOGUAYTC-UHFFFAOYSA-N 1 2 315.356 1.037 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)O[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000745085872 699971509 /nfs/dbraw/zinc/97/15/09/699971509.db2.gz JADNQDNLXNFPAW-CPUCHLNUSA-N 1 2 323.437 1.847 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)O[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000745085872 699971511 /nfs/dbraw/zinc/97/15/11/699971511.db2.gz JADNQDNLXNFPAW-CPUCHLNUSA-N 1 2 323.437 1.847 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCOCC3)C2)C1 ZINC000972361736 695228432 /nfs/dbraw/zinc/22/84/32/695228432.db2.gz JVEJYGUVECYASM-AEFFLSMTSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCOCC3)C2)C1 ZINC000972361736 695228435 /nfs/dbraw/zinc/22/84/35/695228435.db2.gz JVEJYGUVECYASM-AEFFLSMTSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnc4[nH]ccc4c3)C2)C1 ZINC000972420575 695245189 /nfs/dbraw/zinc/24/51/89/695245189.db2.gz ZRCPNMOVYOPANU-GOSISDBHSA-N 1 2 324.384 1.065 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnc4[nH]ccc4c3)C2)C1 ZINC000972420575 695245191 /nfs/dbraw/zinc/24/51/91/695245191.db2.gz ZRCPNMOVYOPANU-GOSISDBHSA-N 1 2 324.384 1.065 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C3CCC3)C2)C1 ZINC000972483860 695260915 /nfs/dbraw/zinc/26/09/15/695260915.db2.gz KLBZNWLHOMFHIZ-GOSISDBHSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C3CCC3)C2)C1 ZINC000972483860 695260917 /nfs/dbraw/zinc/26/09/17/695260917.db2.gz KLBZNWLHOMFHIZ-GOSISDBHSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3CC=CCC3)C2)C1 ZINC000972503614 695266905 /nfs/dbraw/zinc/26/69/05/695266905.db2.gz HEUNGFIVBCBRKU-SJLPKXTDSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3CC=CCC3)C2)C1 ZINC000972503614 695266906 /nfs/dbraw/zinc/26/69/06/695266906.db2.gz HEUNGFIVBCBRKU-SJLPKXTDSA-N 1 2 302.418 1.669 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3cncnc3)C2)C1 ZINC000972519852 695271820 /nfs/dbraw/zinc/27/18/20/695271820.db2.gz KYOSOPKCACDQFF-YOEHRIQHSA-N 1 2 316.405 1.069 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3cncnc3)C2)C1 ZINC000972519852 695271822 /nfs/dbraw/zinc/27/18/22/695271822.db2.gz KYOSOPKCACDQFF-YOEHRIQHSA-N 1 2 316.405 1.069 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(F)F)C2)C1 ZINC000972545064 695278293 /nfs/dbraw/zinc/27/82/93/695278293.db2.gz YOSWMIVGNWZCQF-OAHLLOKOSA-N 1 2 300.349 1.214 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C(C)(C)C(F)F)C2)C1 ZINC000972545064 695278296 /nfs/dbraw/zinc/27/82/96/695278296.db2.gz YOSWMIVGNWZCQF-OAHLLOKOSA-N 1 2 300.349 1.214 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c[nH]nc3C3CC3)C2)C1 ZINC000972623547 695301968 /nfs/dbraw/zinc/30/19/68/695301968.db2.gz AFSBYEXEMPDMMA-QGZVFWFLSA-N 1 2 316.405 1.390 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c[nH]nc3C3CC3)C2)C1 ZINC000972623547 695301971 /nfs/dbraw/zinc/30/19/71/695301971.db2.gz AFSBYEXEMPDMMA-QGZVFWFLSA-N 1 2 316.405 1.390 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[C@@]2(C1)C[N@H+](CC=C)CCO2 ZINC000972653187 695310031 /nfs/dbraw/zinc/31/00/31/695310031.db2.gz GEAMTAGTVAJQLX-FQEVSTJZSA-N 1 2 324.424 1.700 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[C@@]2(C1)C[N@@H+](CC=C)CCO2 ZINC000972653187 695310032 /nfs/dbraw/zinc/31/00/32/695310032.db2.gz GEAMTAGTVAJQLX-FQEVSTJZSA-N 1 2 324.424 1.700 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)ccc3C)C2)C1 ZINC000972655178 695310437 /nfs/dbraw/zinc/31/04/37/695310437.db2.gz FDKWALZPBMWBAH-IBGZPJMESA-N 1 2 312.413 1.854 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)ccc3C)C2)C1 ZINC000972655178 695310440 /nfs/dbraw/zinc/31/04/40/695310440.db2.gz FDKWALZPBMWBAH-IBGZPJMESA-N 1 2 312.413 1.854 20 30 DDEDLO COC[C@H](C)ON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000748097104 700099110 /nfs/dbraw/zinc/09/91/10/700099110.db2.gz BWEJZHDRQSMZSG-ZDUSSCGKSA-N 1 2 307.394 1.191 20 30 DDEDLO CC(C)CN(C(=O)CO[NH+]=C(N)Cc1cccnc1)C1CC1 ZINC000092914066 696597912 /nfs/dbraw/zinc/59/79/12/696597912.db2.gz VHVWGTCBPKVONH-UHFFFAOYSA-N 1 2 304.394 1.560 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)NC(C1CC1)C1CC1 ZINC000092915543 696598150 /nfs/dbraw/zinc/59/81/50/696598150.db2.gz UMFNPUKVIYTTJL-UHFFFAOYSA-N 1 2 302.378 1.218 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC000981669682 696867455 /nfs/dbraw/zinc/86/74/55/696867455.db2.gz TXFHKAIKKWATTR-VXGBXAGGSA-N 1 2 317.393 1.523 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC000981669682 696867457 /nfs/dbraw/zinc/86/74/57/696867457.db2.gz TXFHKAIKKWATTR-VXGBXAGGSA-N 1 2 317.393 1.523 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)c2cn[nH]c2)CC1 ZINC000980755506 696876956 /nfs/dbraw/zinc/87/69/56/696876956.db2.gz GAPYACPHRMYPQD-UHFFFAOYSA-N 1 2 309.373 1.629 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)c2cn[nH]c2)CC1 ZINC000980755506 696876959 /nfs/dbraw/zinc/87/69/59/696876959.db2.gz GAPYACPHRMYPQD-UHFFFAOYSA-N 1 2 309.373 1.629 20 30 DDEDLO C#CC[N@H+]1CCCC2(CN(C(=O)[C@@H]3CCCc4[nH]ncc43)C2)C1 ZINC000981770695 696909907 /nfs/dbraw/zinc/90/99/07/696909907.db2.gz AMQMIQHSFGJVJV-CQSZACIVSA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@@H+]1CCCC2(CN(C(=O)[C@@H]3CCCc4[nH]ncc43)C2)C1 ZINC000981770695 696909909 /nfs/dbraw/zinc/90/99/09/696909909.db2.gz AMQMIQHSFGJVJV-CQSZACIVSA-N 1 2 312.417 1.387 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](CC#Cc2ccc(F)cc2)CC1 ZINC000980848486 696917013 /nfs/dbraw/zinc/91/70/13/696917013.db2.gz YMSSTRDVMGZOCI-HNNXBMFYSA-N 1 2 313.376 1.871 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](CC#Cc2ccc(F)cc2)CC1 ZINC000980848486 696917014 /nfs/dbraw/zinc/91/70/14/696917014.db2.gz YMSSTRDVMGZOCI-HNNXBMFYSA-N 1 2 313.376 1.871 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CCC[N@@H+](Cc1cnn(C)n1)C2 ZINC000981937963 696976491 /nfs/dbraw/zinc/97/64/91/696976491.db2.gz RTNHMZOIURQKLH-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CCC[N@H+](Cc1cnn(C)n1)C2 ZINC000981937963 696976493 /nfs/dbraw/zinc/97/64/93/696976493.db2.gz RTNHMZOIURQKLH-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCN(C(=O)c2ccncn2)CC1 ZINC000982270998 697079249 /nfs/dbraw/zinc/07/92/49/697079249.db2.gz HAYUNYZCHBBPEA-UHFFFAOYSA-N 1 2 321.384 1.696 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCN(C(=O)c2ccncn2)CC1 ZINC000982270998 697079251 /nfs/dbraw/zinc/07/92/51/697079251.db2.gz HAYUNYZCHBBPEA-UHFFFAOYSA-N 1 2 321.384 1.696 20 30 DDEDLO O=C(c1ccncn1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000982271402 697079694 /nfs/dbraw/zinc/07/96/94/697079694.db2.gz OMLKPRIGRDCSHV-UHFFFAOYSA-N 1 2 320.396 1.676 20 30 DDEDLO O=C(c1ccncn1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000982271402 697079696 /nfs/dbraw/zinc/07/96/96/697079696.db2.gz OMLKPRIGRDCSHV-UHFFFAOYSA-N 1 2 320.396 1.676 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000799271720 700131183 /nfs/dbraw/zinc/13/11/83/700131183.db2.gz JFONGUNSVMIDKQ-LBPRGKRZSA-N 1 2 304.394 1.865 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@H+]1CCOCC1(C)C ZINC000171106001 697354326 /nfs/dbraw/zinc/35/43/26/697354326.db2.gz BABQEUYSGCSBBA-UHFFFAOYSA-N 1 2 320.231 1.305 20 30 DDEDLO C=C(Br)CNC(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000171106001 697354328 /nfs/dbraw/zinc/35/43/28/697354328.db2.gz BABQEUYSGCSBBA-UHFFFAOYSA-N 1 2 320.231 1.305 20 30 DDEDLO CN(CC#N)C1CCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000985723719 697562782 /nfs/dbraw/zinc/56/27/82/697562782.db2.gz HGJWAKPLBRQKAQ-UHFFFAOYSA-N 1 2 323.400 1.932 20 30 DDEDLO C#C[C@H](NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1)[C@H]1CCCO1 ZINC000773240174 697733147 /nfs/dbraw/zinc/73/31/47/697733147.db2.gz KHOSEZBXQHQFOH-DLBZAZTESA-N 1 2 324.384 1.852 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[NH+](CCCO)CC1 ZINC000195444454 697743792 /nfs/dbraw/zinc/74/37/92/697743792.db2.gz OAWUYQITIYUXQZ-UHFFFAOYSA-N 1 2 306.472 1.287 20 30 DDEDLO N#Cc1ccccc1/C=C\C(=O)NC[C@H]1CN2CC[N@@H+]1CCC2 ZINC000773531707 697773957 /nfs/dbraw/zinc/77/39/57/697773957.db2.gz NXUVZTAJIYGJDK-TUYSUELWSA-N 1 2 310.401 1.078 20 30 DDEDLO N#Cc1ccccc1/C=C\C(=O)NC[C@H]1CN2CC[N@H+]1CCC2 ZINC000773531707 697773959 /nfs/dbraw/zinc/77/39/59/697773959.db2.gz NXUVZTAJIYGJDK-TUYSUELWSA-N 1 2 310.401 1.078 20 30 DDEDLO CCCCCCCCNC(=O)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000774773279 697927053 /nfs/dbraw/zinc/92/70/53/697927053.db2.gz RWEXSHBYTXLALX-CQSZACIVSA-N 1 2 320.437 1.791 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1)[C@@H]1CCCO1 ZINC000775322726 697981772 /nfs/dbraw/zinc/98/17/72/697981772.db2.gz FXAJZADHMNPAND-ULQDDVLXSA-N 1 2 322.449 1.420 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)Nc1nnc(-c2ccco2)o1)[C@@H]1CCCO1 ZINC000775789075 698033505 /nfs/dbraw/zinc/03/35/05/698033505.db2.gz BMOHUKZASZHHIZ-QWRGUYRKSA-N 1 2 316.317 1.039 20 30 DDEDLO C[C@H]1[C@H](NCC#N)CCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000987300170 698075880 /nfs/dbraw/zinc/07/58/80/698075880.db2.gz NBYYNEIRBRHZSH-XJKSGUPXSA-N 1 2 309.373 1.588 20 30 DDEDLO C[C@H]1C[C@H]([NH2+]Cc2csnn2)CN1C(=O)c1cc(C#N)c[nH]1 ZINC000988504920 698392877 /nfs/dbraw/zinc/39/28/77/698392877.db2.gz ZZAWESKQHZARFZ-ONGXEEELSA-N 1 2 316.390 1.131 20 30 DDEDLO CCc1nn(Cc2cc[nH+]c(N(C)C)c2)c(=O)c(C#N)c1CC ZINC000782111599 698684944 /nfs/dbraw/zinc/68/49/44/698684944.db2.gz ITUKQNYDKAXMBN-UHFFFAOYSA-N 1 2 311.389 1.749 20 30 DDEDLO C=CCS(=O)(=O)CCNc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000782962829 698789845 /nfs/dbraw/zinc/78/98/45/698789845.db2.gz GTZXUKQXBUWMGM-UHFFFAOYSA-N 1 2 323.422 1.594 20 30 DDEDLO CC(C)CCN(CCC#N)CN1C[C@H](c2c[nH+]cn2C)CC1=O ZINC000783981107 698897937 /nfs/dbraw/zinc/89/79/37/698897937.db2.gz ZQULKBDGIFQSIF-OAHLLOKOSA-N 1 2 317.437 1.955 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1CC(=O)N(CN2CCC[C@](C)(C#N)C2)C1 ZINC000784012947 698902632 /nfs/dbraw/zinc/90/26/32/698902632.db2.gz KNJOIEPUVMQYLQ-XJKSGUPXSA-N 1 2 301.394 1.319 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC([NH2+]Cc2noc(C3CCC3)n2)CC1 ZINC000990315306 699023354 /nfs/dbraw/zinc/02/33/54/699023354.db2.gz SMYJAHOZRFFSRB-LLVKDONJSA-N 1 2 317.393 1.577 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@]34CCC[C@@H]3N(CC#N)CC4)ccn12 ZINC000990711316 699138969 /nfs/dbraw/zinc/13/89/69/699138969.db2.gz MIEKTNHZEIRIGF-YJBOKZPZSA-N 1 2 323.400 1.893 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@]12CCC[C@@H]1N(CC#N)CC2 ZINC000991335668 699302339 /nfs/dbraw/zinc/30/23/39/699302339.db2.gz WAWLSJWKLFGBNS-RDJZCZTQSA-N 1 2 314.389 1.269 20 30 DDEDLO NC(=NOCc1ccno1)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000725792255 699333716 /nfs/dbraw/zinc/33/37/16/699333716.db2.gz HEYGEWZZVPBNNJ-UHFFFAOYSA-N 1 2 316.361 1.344 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)N(C)Cc2ccc(C#N)cc2)CCO1 ZINC000726259048 699358918 /nfs/dbraw/zinc/35/89/18/699358918.db2.gz YXNWERYXGZHACB-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)N(C)Cc2ccc(C#N)cc2)CCO1 ZINC000726259048 699358921 /nfs/dbraw/zinc/35/89/21/699358921.db2.gz YXNWERYXGZHACB-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1)[C@H]1CCCO1 ZINC000789206215 699370025 /nfs/dbraw/zinc/37/00/25/699370025.db2.gz LDHYLBGWASMMMV-OWCLPIDISA-N 1 2 315.373 1.425 20 30 DDEDLO C[N@H+](CCn1cccn1)Cc1nc2ccccc2c(=O)n1CC#N ZINC000732209671 699550218 /nfs/dbraw/zinc/55/02/18/699550218.db2.gz QZGXZRJJQWPTJY-UHFFFAOYSA-N 1 2 322.372 1.249 20 30 DDEDLO C[N@@H+](CCn1cccn1)Cc1nc2ccccc2c(=O)n1CC#N ZINC000732209671 699550219 /nfs/dbraw/zinc/55/02/19/699550219.db2.gz QZGXZRJJQWPTJY-UHFFFAOYSA-N 1 2 322.372 1.249 20 30 DDEDLO C#CCC[NH+]1CCN(Cc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000792871376 699720122 /nfs/dbraw/zinc/72/01/22/699720122.db2.gz KLFSGZXHWMEZLG-UHFFFAOYSA-N 1 2 306.431 1.231 20 30 DDEDLO C[C@@H]1CC(=O)N(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793606510 699767129 /nfs/dbraw/zinc/76/71/29/699767129.db2.gz IVSSRZYRTXLHOL-TZMCWYRMSA-N 1 2 300.362 1.232 20 30 DDEDLO C[C@@H]1CC(=O)N(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793606510 699767131 /nfs/dbraw/zinc/76/71/31/699767131.db2.gz IVSSRZYRTXLHOL-TZMCWYRMSA-N 1 2 300.362 1.232 20 30 DDEDLO C#CCCCNC(=O)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000793716578 699774067 /nfs/dbraw/zinc/77/40/67/699774067.db2.gz GMWSERUVDSHNMX-UHFFFAOYSA-N 1 2 310.357 1.018 20 30 DDEDLO C=CCNC(=O)[C@H](C)OC(=O)[C@H](c1ccccc1)[N@@H+](C)CC ZINC000741150407 699818488 /nfs/dbraw/zinc/81/84/88/699818488.db2.gz FLRIHDQMWKJHGX-ZFWWWQNUSA-N 1 2 304.390 1.913 20 30 DDEDLO C=CCNC(=O)[C@H](C)OC(=O)[C@H](c1ccccc1)[N@H+](C)CC ZINC000741150407 699818490 /nfs/dbraw/zinc/81/84/90/699818490.db2.gz FLRIHDQMWKJHGX-ZFWWWQNUSA-N 1 2 304.390 1.913 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CC[C@H]([C@@H]3CCOC3)C2)c1=S ZINC000794633792 699820037 /nfs/dbraw/zinc/82/00/37/699820037.db2.gz MVNASKUWTUUTNV-NWDGAFQWSA-N 1 2 307.423 1.333 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CC[C@H]([C@@H]3CCOC3)C2)c1=S ZINC000794633792 699820040 /nfs/dbraw/zinc/82/00/40/699820040.db2.gz MVNASKUWTUUTNV-NWDGAFQWSA-N 1 2 307.423 1.333 20 30 DDEDLO COC[C@@H](C#N)OC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000796004396 699906355 /nfs/dbraw/zinc/90/63/55/699906355.db2.gz RWGYTGAWBAEMDN-SECBINFHSA-N 1 2 309.272 1.705 20 30 DDEDLO COC(=O)[C@@]1(C(F)(F)F)CC[N@H+](Cc2ccnc(C#N)c2)C1 ZINC000796287038 699923758 /nfs/dbraw/zinc/92/37/58/699923758.db2.gz JBFMIKBREDPBSS-CYBMUJFWSA-N 1 2 313.279 1.881 20 30 DDEDLO COC(=O)[C@@]1(C(F)(F)F)CC[N@@H+](Cc2ccnc(C#N)c2)C1 ZINC000796287038 699923761 /nfs/dbraw/zinc/92/37/61/699923761.db2.gz JBFMIKBREDPBSS-CYBMUJFWSA-N 1 2 313.279 1.881 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(C(=O)OC)c1N ZINC000801981616 700362284 /nfs/dbraw/zinc/36/22/84/700362284.db2.gz YWDNYWPYBHEDEV-ZDUSSCGKSA-N 1 2 301.346 1.092 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(C(=O)OC)c1N ZINC000801981616 700362287 /nfs/dbraw/zinc/36/22/87/700362287.db2.gz YWDNYWPYBHEDEV-ZDUSSCGKSA-N 1 2 301.346 1.092 20 30 DDEDLO C[C@H]1C[N@@H+](C2CC2)CC1=NNS(=O)(=O)CCc1ccccc1 ZINC000755891539 700590138 /nfs/dbraw/zinc/59/01/38/700590138.db2.gz IPESERCWZWGFJH-ZDUSSCGKSA-N 1 2 321.446 1.619 20 30 DDEDLO C[C@H]1C[N@H+](C2CC2)CC1=NNS(=O)(=O)CCc1ccccc1 ZINC000755891539 700590141 /nfs/dbraw/zinc/59/01/41/700590141.db2.gz IPESERCWZWGFJH-ZDUSSCGKSA-N 1 2 321.446 1.619 20 30 DDEDLO COC[C@@H](C)NC(=S)N[NH+]=Cc1ccc(N2CCCC2)nc1 ZINC000758367859 700701783 /nfs/dbraw/zinc/70/17/83/700701783.db2.gz QECJJQFZHCOBEJ-GFCCVEGCSA-N 1 2 321.450 1.515 20 30 DDEDLO Cn1c[nH+]cc1Cc1noc(Cc2cccc(OCC#N)c2)n1 ZINC000762408218 700891637 /nfs/dbraw/zinc/89/16/37/700891637.db2.gz JRHZEIXHKLMMJK-UHFFFAOYSA-N 1 2 309.329 1.887 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000763411831 700937343 /nfs/dbraw/zinc/93/73/43/700937343.db2.gz VWQPAHPVWGJILH-INIZCTEOSA-N 1 2 318.373 1.591 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000763411831 700937344 /nfs/dbraw/zinc/93/73/44/700937344.db2.gz VWQPAHPVWGJILH-INIZCTEOSA-N 1 2 318.373 1.591 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCCN(CC(C)(C)C)C2=O)CC1 ZINC000763870967 700951279 /nfs/dbraw/zinc/95/12/79/700951279.db2.gz BYOQMWOROFLACE-INIZCTEOSA-N 1 2 305.466 1.664 20 30 DDEDLO COc1cc(C(C)=NN2CC[NH+](C)CC2)cc([N+](=O)[O-])c1O ZINC000764647534 700980621 /nfs/dbraw/zinc/98/06/21/700980621.db2.gz CCCQLOZJLWIPTQ-UHFFFAOYSA-N 1 2 308.338 1.280 20 30 DDEDLO Cc1cc(NC(=O)NCCNc2ncccc2C#N)c(C)c[nH+]1 ZINC000767948425 701146959 /nfs/dbraw/zinc/14/69/59/701146959.db2.gz QGOPUPDDYSQOPN-UHFFFAOYSA-N 1 2 310.361 1.621 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(CC)CC(=O)N1CCCCCC1 ZINC000804745562 701211629 /nfs/dbraw/zinc/21/16/29/701211629.db2.gz RUYNEWCEDKTDDL-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(CC)CC(=O)N1CCCCCC1 ZINC000804745562 701211631 /nfs/dbraw/zinc/21/16/31/701211631.db2.gz RUYNEWCEDKTDDL-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)c1[nH]c(C)c(C(=O)OC)c1C)[C@H]1CCCO1 ZINC000839565488 701738393 /nfs/dbraw/zinc/73/83/93/701738393.db2.gz XKKKYAFZILGOOH-TZMCWYRMSA-N 1 2 318.373 1.371 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)c1[nH]c(C)c(C(=O)OC)c1C)[C@H]1CCCO1 ZINC000839565485 701738494 /nfs/dbraw/zinc/73/84/94/701738494.db2.gz XKKKYAFZILGOOH-GXTWGEPZSA-N 1 2 318.373 1.371 20 30 DDEDLO C#CC(C)(C)[N@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000839579855 701744183 /nfs/dbraw/zinc/74/41/83/701744183.db2.gz BVPYZVDNRRIVJN-UHFFFAOYSA-N 1 2 319.430 1.618 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000839579855 701744187 /nfs/dbraw/zinc/74/41/87/701744187.db2.gz BVPYZVDNRRIVJN-UHFFFAOYSA-N 1 2 319.430 1.618 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000810227799 701744390 /nfs/dbraw/zinc/74/43/90/701744390.db2.gz JQZMHFZZLFPXEJ-UHFFFAOYSA-N 1 2 308.363 1.057 20 30 DDEDLO N#CCCOCCOC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000815213660 701825966 /nfs/dbraw/zinc/82/59/66/701825966.db2.gz LRKXZNYZVQLFCR-MRXNPFEDSA-N 1 2 318.373 1.361 20 30 DDEDLO N#CCCOCCOC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000815213660 701825971 /nfs/dbraw/zinc/82/59/71/701825971.db2.gz LRKXZNYZVQLFCR-MRXNPFEDSA-N 1 2 318.373 1.361 20 30 DDEDLO C=CCN1CCN(C[N@H+]2CCC[C@H](c3nc(C)no3)C2)C1=O ZINC000839974108 701886206 /nfs/dbraw/zinc/88/62/06/701886206.db2.gz JDJQPSHLHJBAOQ-ZDUSSCGKSA-N 1 2 305.382 1.439 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2CCC[C@H](c3nc(C)no3)C2)C1=O ZINC000839974108 701886212 /nfs/dbraw/zinc/88/62/12/701886212.db2.gz JDJQPSHLHJBAOQ-ZDUSSCGKSA-N 1 2 305.382 1.439 20 30 DDEDLO Cn1cc([C@@H](O)C[C@@H]2CCC[N@@H+]2Cc2nc(C#N)cs2)cn1 ZINC000811467947 701992066 /nfs/dbraw/zinc/99/20/66/701992066.db2.gz CLVWVSBBWTXKRE-KBPBESRZSA-N 1 2 317.418 1.836 20 30 DDEDLO Cn1cc([C@@H](O)C[C@@H]2CCC[N@H+]2Cc2nc(C#N)cs2)cn1 ZINC000811467947 701992069 /nfs/dbraw/zinc/99/20/69/701992069.db2.gz CLVWVSBBWTXKRE-KBPBESRZSA-N 1 2 317.418 1.836 20 30 DDEDLO CC1(C)C(=O)N(C[NH+]2CCC(C)(C#N)CC2)[C@@H]1[C@@H]1CCCO1 ZINC000811759408 702047028 /nfs/dbraw/zinc/04/70/28/702047028.db2.gz AHPFTQICEYAROH-UONOGXRCSA-N 1 2 305.422 1.986 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(Nc2cnc(C#N)cn2)CC1 ZINC000866168811 706638963 /nfs/dbraw/zinc/63/89/63/706638963.db2.gz BTEVFILXCHWWNZ-UHFFFAOYSA-N 1 2 317.393 1.566 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(NC(N)=O)c1 ZINC000817212899 702308004 /nfs/dbraw/zinc/30/80/04/702308004.db2.gz LYYLKVXXFDUXBW-ZDUSSCGKSA-N 1 2 304.325 1.352 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(NC(N)=O)c1 ZINC000817212899 702308009 /nfs/dbraw/zinc/30/80/09/702308009.db2.gz LYYLKVXXFDUXBW-ZDUSSCGKSA-N 1 2 304.325 1.352 20 30 DDEDLO C#C[C@H](NC(=O)Cc1ccc(-n2cc[nH+]c2)cc1)C1CCOCC1 ZINC000869287537 702554815 /nfs/dbraw/zinc/55/48/15/702554815.db2.gz GWTLJPMJJGCUEC-SFHVURJKSA-N 1 2 323.396 1.959 20 30 DDEDLO COc1ccc(CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)cc1C#N ZINC000844138771 702976813 /nfs/dbraw/zinc/97/68/13/702976813.db2.gz UNUVVJAVCGJGDI-CYBMUJFWSA-N 1 2 310.357 1.642 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)CCc1c[nH+]cn1C ZINC000846333135 703266000 /nfs/dbraw/zinc/26/60/00/703266000.db2.gz KQESWCQYWZRKPD-MRXNPFEDSA-N 1 2 312.373 1.416 20 30 DDEDLO C#C[C@H]1CCCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)C1 ZINC000848751379 703583952 /nfs/dbraw/zinc/58/39/52/703583952.db2.gz YGQTXFFBTBKADS-GJZGRUSLSA-N 1 2 300.406 1.677 20 30 DDEDLO C#C[C@H]1CCCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC000848751379 703583954 /nfs/dbraw/zinc/58/39/54/703583954.db2.gz YGQTXFFBTBKADS-GJZGRUSLSA-N 1 2 300.406 1.677 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H]2[C@H]1CCC[N@@H+]2CC(=O)NCC#N ZINC000879754451 706780568 /nfs/dbraw/zinc/78/05/68/706780568.db2.gz LXQKBCIUDKCZLI-QWHCGFSZSA-N 1 2 322.409 1.100 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H]2[C@H]1CCC[N@H+]2CC(=O)NCC#N ZINC000879754451 706780569 /nfs/dbraw/zinc/78/05/69/706780569.db2.gz LXQKBCIUDKCZLI-QWHCGFSZSA-N 1 2 322.409 1.100 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000852633564 704088306 /nfs/dbraw/zinc/08/83/06/704088306.db2.gz GTOGVZOTHVTCAD-WBVHZDCISA-N 1 2 318.373 1.246 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@H+]1C[C@@H](O)c1ccc(C#N)cc1 ZINC000852633564 704088309 /nfs/dbraw/zinc/08/83/09/704088309.db2.gz GTOGVZOTHVTCAD-WBVHZDCISA-N 1 2 318.373 1.246 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC(c2[nH]cc[nH+]2)CC1)C1CCOCC1 ZINC000852643639 704091298 /nfs/dbraw/zinc/09/12/98/704091298.db2.gz FJPPKLYJYFZPKB-HNNXBMFYSA-N 1 2 316.405 1.727 20 30 DDEDLO C#CCOc1cc(F)ccc1NC[C@H](O)C[N@H+](C)CCC#N ZINC000819449689 704127209 /nfs/dbraw/zinc/12/72/09/704127209.db2.gz OWXUTNOJARFEMG-AWEZNQCLSA-N 1 2 305.353 1.456 20 30 DDEDLO C#CCOc1cc(F)ccc1NC[C@H](O)C[N@@H+](C)CCC#N ZINC000819449689 704127211 /nfs/dbraw/zinc/12/72/11/704127211.db2.gz OWXUTNOJARFEMG-AWEZNQCLSA-N 1 2 305.353 1.456 20 30 DDEDLO C[C@@H](C#N)Oc1ccccc1NC[C@@H](O)C[N@H+](C)CCC#N ZINC000819465262 704130122 /nfs/dbraw/zinc/13/01/22/704130122.db2.gz JNQRPGQXUVBRGW-UONOGXRCSA-N 1 2 302.378 1.596 20 30 DDEDLO C[C@@H](C#N)Oc1ccccc1NC[C@@H](O)C[N@@H+](C)CCC#N ZINC000819465262 704130125 /nfs/dbraw/zinc/13/01/25/704130125.db2.gz JNQRPGQXUVBRGW-UONOGXRCSA-N 1 2 302.378 1.596 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(OC)c(COC)c1 ZINC000871194896 704241906 /nfs/dbraw/zinc/24/19/06/704241906.db2.gz SOUAQGJKBMPSEZ-HNNXBMFYSA-N 1 2 302.374 1.878 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(OC)c(COC)c1 ZINC000871194896 704241908 /nfs/dbraw/zinc/24/19/08/704241908.db2.gz SOUAQGJKBMPSEZ-HNNXBMFYSA-N 1 2 302.374 1.878 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)[N@H+]2Cn1nc(CCC(N)=O)n(C)c1=S ZINC000853598734 704282201 /nfs/dbraw/zinc/28/22/01/704282201.db2.gz FBPVWMKSBDCVKV-TXEJJXNPSA-N 1 2 321.450 1.509 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2Cn1nc(CCC(N)=O)n(C)c1=S ZINC000853598734 704282207 /nfs/dbraw/zinc/28/22/07/704282207.db2.gz FBPVWMKSBDCVKV-TXEJJXNPSA-N 1 2 321.450 1.509 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCCc2nnn(C(C)(C)C)n2)ccc1F ZINC000853657006 704294099 /nfs/dbraw/zinc/29/40/99/704294099.db2.gz JJGBGRKULUXFRI-UHFFFAOYSA-N 1 2 320.372 1.755 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NC[C@]1(OCCO)CCOC1 ZINC000853798403 704316740 /nfs/dbraw/zinc/31/67/40/704316740.db2.gz DTAWGXNMPPQPRC-QGZVFWFLSA-N 1 2 320.389 1.454 20 30 DDEDLO COC[C@@H](C#N)OC(=O)C1CC[NH+](Cc2csc(C)n2)CC1 ZINC000857066995 704549496 /nfs/dbraw/zinc/54/94/96/704549496.db2.gz BPCCYGLFRITFAO-CQSZACIVSA-N 1 2 323.418 1.745 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@@H+]2CCO[C@@H](C(F)F)C2)CCOCC1 ZINC000859296806 704854258 /nfs/dbraw/zinc/85/42/58/704854258.db2.gz OJYFWNNYADBCMQ-VXGBXAGGSA-N 1 2 304.337 1.024 20 30 DDEDLO N#CC1(C[C@@H](O)C[N@H+]2CCO[C@@H](C(F)F)C2)CCOCC1 ZINC000859296806 704854263 /nfs/dbraw/zinc/85/42/63/704854263.db2.gz OJYFWNNYADBCMQ-VXGBXAGGSA-N 1 2 304.337 1.024 20 30 DDEDLO CCCCCCCNC(=O)C(=O)N1CC[C@@H](c2[nH+]ccn2C)C1 ZINC000859378190 704883096 /nfs/dbraw/zinc/88/30/96/704883096.db2.gz AMYDGVRGUQRMJO-CQSZACIVSA-N 1 2 320.437 1.823 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccc([N+](=O)[O-])c(OC)c2O)nn1 ZINC000822494064 704960190 /nfs/dbraw/zinc/96/01/90/704960190.db2.gz FLYNPEUTCGLSBD-UHFFFAOYSA-N 1 2 319.321 1.376 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)[C@@H]3C[C@]3(C(C)C)C2=O)CC1 ZINC000902373830 710733500 /nfs/dbraw/zinc/73/35/00/710733500.db2.gz GJQLYHZOZGQYRO-SUMWQHHRSA-N 1 2 306.406 1.378 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC000875766070 705503917 /nfs/dbraw/zinc/50/39/17/705503917.db2.gz HWZAXFXLLCOHPX-INIZCTEOSA-N 1 2 315.417 1.762 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC000875766070 705503922 /nfs/dbraw/zinc/50/39/22/705503922.db2.gz HWZAXFXLLCOHPX-INIZCTEOSA-N 1 2 315.417 1.762 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)c2cc(C#N)ccc2F)CCO1 ZINC000825184888 705619131 /nfs/dbraw/zinc/61/91/31/705619131.db2.gz BTHQSJPGIABGOK-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)c2cc(C#N)ccc2F)CCO1 ZINC000825184888 705619135 /nfs/dbraw/zinc/61/91/35/705619135.db2.gz BTHQSJPGIABGOK-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO C#CC[C@@H]1CCCN(c2nc(NCCO)[nH+]c(NC(C)C)n2)C1 ZINC000826586248 705819481 /nfs/dbraw/zinc/81/94/81/705819481.db2.gz ZORVWMNBBYCCSL-CYBMUJFWSA-N 1 2 318.425 1.336 20 30 DDEDLO COCC(COC)NN=C(C)c1ccc(-n2cc[nH+]c2)cc1 ZINC000863197329 705876713 /nfs/dbraw/zinc/87/67/13/705876713.db2.gz ADHMURIBPOTMTN-UHFFFAOYSA-N 1 2 302.378 1.847 20 30 DDEDLO C[NH+]1CCN(C2CCN(C(=O)C3(C#N)CCCC3)CC2)CC1 ZINC000827468637 706008001 /nfs/dbraw/zinc/00/80/01/706008001.db2.gz YEMANCXYIYHNTH-UHFFFAOYSA-N 1 2 304.438 1.309 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1cc(C#N)ccc1C ZINC000828928885 706257513 /nfs/dbraw/zinc/25/75/13/706257513.db2.gz QXVVMTVCPBOCMX-HNNXBMFYSA-N 1 2 301.390 1.707 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1cc(C#N)ccc1C ZINC000828928885 706257517 /nfs/dbraw/zinc/25/75/17/706257517.db2.gz QXVVMTVCPBOCMX-HNNXBMFYSA-N 1 2 301.390 1.707 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+]1CC[C@@](C#N)(C(C)=O)c1ccccc1 ZINC000877991213 706259575 /nfs/dbraw/zinc/25/95/75/706259575.db2.gz HAGHIBQDJFNHBP-SJLPKXTDSA-N 1 2 313.401 1.637 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+]1CC[C@@](C#N)(C(C)=O)c1ccccc1 ZINC000877991213 706259576 /nfs/dbraw/zinc/25/95/76/706259576.db2.gz HAGHIBQDJFNHBP-SJLPKXTDSA-N 1 2 313.401 1.637 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000878251625 706339118 /nfs/dbraw/zinc/33/91/18/706339118.db2.gz PBGCYJARMGTJBZ-WCQYABFASA-N 1 2 320.349 1.594 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CC[C@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000878251625 706339122 /nfs/dbraw/zinc/33/91/22/706339122.db2.gz PBGCYJARMGTJBZ-WCQYABFASA-N 1 2 320.349 1.594 20 30 DDEDLO C#C[C@@](C)(CC)NC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000878850545 706516584 /nfs/dbraw/zinc/51/65/84/706516584.db2.gz YZBNZXUYBILSPZ-INIZCTEOSA-N 1 2 319.409 1.139 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)CC)n2CC2CC2)CC1 ZINC000830820954 706586476 /nfs/dbraw/zinc/58/64/76/706586476.db2.gz MJWBXJUFVVSLMT-CQSZACIVSA-N 1 2 301.438 1.957 20 30 DDEDLO CCOC(=O)[C@H](CC1CC1)[NH2+]C[C@@H](O)CC1(C#N)CCOCC1 ZINC000879979702 706842490 /nfs/dbraw/zinc/84/24/90/706842490.db2.gz CTIJWMZXYBLZIR-GJZGRUSLSA-N 1 2 324.421 1.379 20 30 DDEDLO N#C[C@@]1(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CCCOC1 ZINC000866933290 706848667 /nfs/dbraw/zinc/84/86/67/706848667.db2.gz KAALMIZIUSNVSF-BBRMVZONSA-N 1 2 300.362 1.097 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(-c2cnn(C)c2)c(C)n1 ZINC000832974670 706998887 /nfs/dbraw/zinc/99/88/87/706998887.db2.gz JZVLCLJQBSNTAF-INIZCTEOSA-N 1 2 323.400 1.827 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(-c2cnn(C)c2)c(C)n1 ZINC000832974670 706998888 /nfs/dbraw/zinc/99/88/88/706998888.db2.gz JZVLCLJQBSNTAF-INIZCTEOSA-N 1 2 323.400 1.827 20 30 DDEDLO COc1cc(C(=O)N(C)[C@H](C)C[NH+]2CCOCC2)ccc1C#N ZINC000834708834 707104984 /nfs/dbraw/zinc/10/49/84/707104984.db2.gz BNBNYKIQWKGHJV-CYBMUJFWSA-N 1 2 317.389 1.360 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=Cc2cn(C)nc2-c2ccc(F)cc2)N1 ZINC000834970882 707154074 /nfs/dbraw/zinc/15/40/74/707154074.db2.gz RVPRPEYTONBJQP-SNVBAGLBSA-N 1 2 300.341 1.497 20 30 DDEDLO COC1CC[NH+](Cc2cn(Cc3ccc(C#N)o3)nn2)CC1 ZINC000881302783 707188605 /nfs/dbraw/zinc/18/86/05/707188605.db2.gz IQMZVBRNMDJYRC-UHFFFAOYSA-N 1 2 301.350 1.402 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[NH+](CC2CC2)CC1 ZINC000872438576 707410605 /nfs/dbraw/zinc/41/06/05/707410605.db2.gz AYXGNSVZCXEGGP-UHFFFAOYSA-N 1 2 319.430 1.583 20 30 DDEDLO C#CCOc1ccc(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)cc1 ZINC000836868723 707535529 /nfs/dbraw/zinc/53/55/29/707535529.db2.gz DVNFDKQBUQJLBP-HNNXBMFYSA-N 1 2 309.369 1.774 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000882903182 707845222 /nfs/dbraw/zinc/84/52/22/707845222.db2.gz XIZAWKUWIXLQKZ-WDEREUQCSA-N 1 2 305.300 1.820 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NCC1(S(N)(=O)=O)CC1 ZINC000882997969 707890031 /nfs/dbraw/zinc/89/00/31/707890031.db2.gz WZARXLDYDRUJMR-UHFFFAOYSA-N 1 2 309.391 1.107 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000883005126 707893335 /nfs/dbraw/zinc/89/33/35/707893335.db2.gz FMUCDVQBIRGUAF-MELADBBJSA-N 1 2 306.410 1.423 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000883005126 707893337 /nfs/dbraw/zinc/89/33/37/707893337.db2.gz FMUCDVQBIRGUAF-MELADBBJSA-N 1 2 306.410 1.423 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)N2CCO[C@@](C)(C#N)C2)n1 ZINC000896597606 708093111 /nfs/dbraw/zinc/09/31/11/708093111.db2.gz UYZGWSGMLWQZIO-AWEZNQCLSA-N 1 2 323.422 1.029 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1cc(C)ccc1OC ZINC000884059824 708121616 /nfs/dbraw/zinc/12/16/16/708121616.db2.gz GKIHVQBGVIPCJV-AWEZNQCLSA-N 1 2 320.389 1.109 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)CCOc1ccc(F)cc1 ZINC000884061525 708122576 /nfs/dbraw/zinc/12/25/76/708122576.db2.gz KCLANQDWIAVIPO-AWEZNQCLSA-N 1 2 324.352 1.110 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)Oc1ccccc1 ZINC000884092952 708135913 /nfs/dbraw/zinc/13/59/13/708135913.db2.gz UNLMKVPPQCITKF-OCCSQVGLSA-N 1 2 306.362 1.017 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1cccc(F)c1F ZINC000884137089 708156405 /nfs/dbraw/zinc/15/64/05/708156405.db2.gz CYQFHYDEPOTLHJ-LBPRGKRZSA-N 1 2 312.316 1.070 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCOc2c(F)cccc21 ZINC000884164885 708168129 /nfs/dbraw/zinc/16/81/29/708168129.db2.gz SOZUZCWZIVIEHH-QWHCGFSZSA-N 1 2 322.336 1.212 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@H](Oc2ccccc2)C1 ZINC000884373555 708268642 /nfs/dbraw/zinc/26/86/42/708268642.db2.gz QCCMQOCYJXNIJN-GJZGRUSLSA-N 1 2 318.373 1.113 20 30 DDEDLO CCn1cnc2c1CC[N@H+](Cc1cnc3c(C#N)cnn3c1)C2 ZINC000897336867 708295911 /nfs/dbraw/zinc/29/59/11/708295911.db2.gz PYRMBSKKFKVMKC-UHFFFAOYSA-N 1 2 307.361 1.376 20 30 DDEDLO CCn1cnc2c1CC[N@@H+](Cc1cnc3c(C#N)cnn3c1)C2 ZINC000897336867 708295912 /nfs/dbraw/zinc/29/59/12/708295912.db2.gz PYRMBSKKFKVMKC-UHFFFAOYSA-N 1 2 307.361 1.376 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H](C2CCC2)CC1 ZINC000884465854 708308062 /nfs/dbraw/zinc/30/80/62/708308062.db2.gz KZSGXHDBRPYCKZ-GJZGRUSLSA-N 1 2 308.422 1.862 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(OC)cc2)C1 ZINC000885513649 708563595 /nfs/dbraw/zinc/56/35/95/708563595.db2.gz BIBPHUYSXNLCQF-CYBMUJFWSA-N 1 2 308.403 1.071 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(OC)cc2)C1 ZINC000885513649 708563596 /nfs/dbraw/zinc/56/35/96/708563596.db2.gz BIBPHUYSXNLCQF-CYBMUJFWSA-N 1 2 308.403 1.071 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NCc2csc(N(CC)C(C)=O)n2)C1 ZINC000886212986 708716338 /nfs/dbraw/zinc/71/63/38/708716338.db2.gz JERXVQOFWLQNIK-AWEZNQCLSA-N 1 2 320.462 1.703 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NCc2csc(N(CC)C(C)=O)n2)C1 ZINC000886212986 708716340 /nfs/dbraw/zinc/71/63/40/708716340.db2.gz JERXVQOFWLQNIK-AWEZNQCLSA-N 1 2 320.462 1.703 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCC[C@@H](c2ncccn2)C1)C1CC1 ZINC000886675136 708811274 /nfs/dbraw/zinc/81/12/74/708811274.db2.gz XPNALYFOCWPFLY-DYVFJYSZSA-N 1 2 313.405 1.464 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@@H](c2ncccn2)C1)C1CC1 ZINC000886675136 708811277 /nfs/dbraw/zinc/81/12/77/708811277.db2.gz XPNALYFOCWPFLY-DYVFJYSZSA-N 1 2 313.405 1.464 20 30 DDEDLO C=CCC1(O)CC[NH+](CCS(=O)(=O)c2ccccc2)CC1 ZINC000886755973 708837781 /nfs/dbraw/zinc/83/77/81/708837781.db2.gz YKRIDOZPYBXVIL-UHFFFAOYSA-N 1 2 309.431 1.863 20 30 DDEDLO C=CCOc1ccc(CC(=O)N[C@H](CO)c2c[nH+]cn2C)cc1 ZINC000898890716 708910278 /nfs/dbraw/zinc/91/02/78/708910278.db2.gz BQXCHNGENBSVCV-OAHLLOKOSA-N 1 2 315.373 1.377 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc([C@H](C)CC(N)=O)cc1 ZINC000888929249 709405953 /nfs/dbraw/zinc/40/59/53/709405953.db2.gz FMSXHAYHCAEPJT-CJNGLKHVSA-N 1 2 313.401 1.702 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc([C@H](C)CC(N)=O)cc1 ZINC000888929249 709405954 /nfs/dbraw/zinc/40/59/54/709405954.db2.gz FMSXHAYHCAEPJT-CJNGLKHVSA-N 1 2 313.401 1.702 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)cn2)[C@@H](C)CO1 ZINC000889241025 709466425 /nfs/dbraw/zinc/46/64/25/709466425.db2.gz WMAYONBLJAZCBO-RYUDHWBXSA-N 1 2 303.366 1.184 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)cn2)[C@@H](C)CO1 ZINC000889241025 709466426 /nfs/dbraw/zinc/46/64/26/709466426.db2.gz WMAYONBLJAZCBO-RYUDHWBXSA-N 1 2 303.366 1.184 20 30 DDEDLO C/C(=C/C(=O)Nc1cccc(OCC#N)c1)C[NH+]1CCOCC1 ZINC000900237146 709499455 /nfs/dbraw/zinc/49/94/55/709499455.db2.gz FCRPOYOCNKEORO-KAMYIIQDSA-N 1 2 315.373 1.806 20 30 DDEDLO C=CCCCN(CC)C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000890809915 709997647 /nfs/dbraw/zinc/99/76/47/709997647.db2.gz HJZFBMYQACHWCR-CVEARBPZSA-N 1 2 310.438 1.681 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)N2CCC[C@@H](C#N)C2)CC1 ZINC000928219642 713154146 /nfs/dbraw/zinc/15/41/46/713154146.db2.gz BFHGHRFBVHZESW-AWEZNQCLSA-N 1 2 315.421 1.394 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(Br)no2)C1 ZINC000902731232 710882056 /nfs/dbraw/zinc/88/20/56/710882056.db2.gz WUGCBVFPRNVOIF-SECBINFHSA-N 1 2 312.167 1.265 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(Br)no2)C1 ZINC000902731232 710882060 /nfs/dbraw/zinc/88/20/60/710882060.db2.gz WUGCBVFPRNVOIF-SECBINFHSA-N 1 2 312.167 1.265 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)cc1 ZINC000913442922 713217868 /nfs/dbraw/zinc/21/78/68/713217868.db2.gz MDROLQUBDQSEAQ-MRXNPFEDSA-N 1 2 312.373 1.761 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)[C@@]3(C#N)CC34CCCC4)CC[NH2+]2)cn1 ZINC000913461213 713226285 /nfs/dbraw/zinc/22/62/85/713226285.db2.gz UYZVEFGJBFVHCW-YOEHRIQHSA-N 1 2 313.405 1.367 20 30 DDEDLO CN(C[C@@H]1C[N@H+](C)CCO1)C(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000913508350 713241059 /nfs/dbraw/zinc/24/10/59/713241059.db2.gz YAYBOLJFOYYAHH-UMPYDWHISA-N 1 2 305.422 1.495 20 30 DDEDLO CN(C[C@@H]1C[N@@H+](C)CCO1)C(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000913508350 713241061 /nfs/dbraw/zinc/24/10/61/713241061.db2.gz YAYBOLJFOYYAHH-UMPYDWHISA-N 1 2 305.422 1.495 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(-c2ccc3c(c2)CCC3)no1 ZINC000904118196 711385996 /nfs/dbraw/zinc/38/59/96/711385996.db2.gz HQBSNERCJAFYCA-AWEZNQCLSA-N 1 2 313.357 1.824 20 30 DDEDLO COCCOc1ccc(C[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000895066827 711394671 /nfs/dbraw/zinc/39/46/71/711394671.db2.gz YAYDHBQUUSQGAL-UHFFFAOYSA-N 1 2 317.389 1.270 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCc3nc[nH]c3C23CCOCC3)n1 ZINC000895069928 711396244 /nfs/dbraw/zinc/39/62/44/711396244.db2.gz KFYZOZPNWJPKKR-UHFFFAOYSA-N 1 2 309.373 1.740 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCc3nc[nH]c3C23CCOCC3)n1 ZINC000895069928 711396248 /nfs/dbraw/zinc/39/62/48/711396248.db2.gz KFYZOZPNWJPKKR-UHFFFAOYSA-N 1 2 309.373 1.740 20 30 DDEDLO C=CCC1(O)CCN(c2cc(N[C@H](CC)CO)[nH+]cn2)CC1 ZINC000895229830 711458710 /nfs/dbraw/zinc/45/87/10/711458710.db2.gz KXHNJRHMXCSHBT-CYBMUJFWSA-N 1 2 306.410 1.567 20 30 DDEDLO C=CCC1(O)CCN(c2cc(N[C@H](CC)CO)nc[nH+]2)CC1 ZINC000895229830 711458711 /nfs/dbraw/zinc/45/87/11/711458711.db2.gz KXHNJRHMXCSHBT-CYBMUJFWSA-N 1 2 306.410 1.567 20 30 DDEDLO C[C@H](Nc1cccc(C#N)c1[N+](=O)[O-])[C@H]1CN(C)CC[N@@H+]1C ZINC000895245916 711464221 /nfs/dbraw/zinc/46/42/21/711464221.db2.gz JQILEPDPMGQANQ-SMDDNHRTSA-N 1 2 303.366 1.513 20 30 DDEDLO C[C@H](Nc1cccc(C#N)c1[N+](=O)[O-])[C@H]1CN(C)CC[N@H+]1C ZINC000895245916 711464223 /nfs/dbraw/zinc/46/42/23/711464223.db2.gz JQILEPDPMGQANQ-SMDDNHRTSA-N 1 2 303.366 1.513 20 30 DDEDLO C#CC1(F)CN(Cc2ccc(OCC[NH+]3CCOCC3)cc2)C1 ZINC000895616921 711560013 /nfs/dbraw/zinc/56/00/13/711560013.db2.gz RYZZSMLWTLLCCJ-UHFFFAOYSA-N 1 2 318.392 1.555 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)C(C)(C)n2c[nH+]c(C)c2)c1 ZINC000895796107 711608372 /nfs/dbraw/zinc/60/83/72/711608372.db2.gz ZSOOODXZDNAMED-UHFFFAOYSA-N 1 2 324.384 1.663 20 30 DDEDLO Cc1c(C(=O)NCC[NH+]2Cc3ccccc3C2)cnn1CCC#N ZINC000895950906 711654281 /nfs/dbraw/zinc/65/42/81/711654281.db2.gz JOLCXFZHAJYGAO-UHFFFAOYSA-N 1 2 323.400 1.851 20 30 DDEDLO C#Cc1ccc(C(=O)Nc2cnn(CC[NH+]3CCOCC3)c2)cc1 ZINC000896151073 711683030 /nfs/dbraw/zinc/68/30/30/711683030.db2.gz BQTVUEABKPPVGP-UHFFFAOYSA-N 1 2 324.384 1.449 20 30 DDEDLO COC(=O)Cn1cc(C(=O)/C=C\c2c[nH+]cn2C(C)C)nn1 ZINC000905082901 711930695 /nfs/dbraw/zinc/93/06/95/711930695.db2.gz CMGRDOUYAQGOLU-PLNGDYQASA-N 1 2 303.322 1.125 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000906177836 712260939 /nfs/dbraw/zinc/26/09/39/712260939.db2.gz WZQSWNGUDSUUGR-HNNXBMFYSA-N 1 2 315.417 1.555 20 30 DDEDLO C#Cc1ccc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)cc1 ZINC000907136062 712496031 /nfs/dbraw/zinc/49/60/31/712496031.db2.gz HFUZEFRBKJPQHV-CYBMUJFWSA-N 1 2 301.371 1.158 20 30 DDEDLO CC(C)(C#N)CNC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000907295711 712536096 /nfs/dbraw/zinc/53/60/96/712536096.db2.gz OCKGXHYDRYSQPD-UHFFFAOYSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CCCC(=O)[C@@H]1CCCN1C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000908658261 712831004 /nfs/dbraw/zinc/83/10/04/712831004.db2.gz CRSVOSCFILXNME-HIFRSBDPSA-N 1 2 301.390 1.972 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)Cc2csc(C#N)c2)C[C@H](C)[N@H+]1C ZINC000915905843 713435052 /nfs/dbraw/zinc/43/50/52/713435052.db2.gz UMVBZVLURLMKOZ-PHIMTYICSA-N 1 2 313.448 1.474 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)Cc2csc(C#N)c2)C[C@H](C)[N@@H+]1C ZINC000915905843 713435053 /nfs/dbraw/zinc/43/50/53/713435053.db2.gz UMVBZVLURLMKOZ-PHIMTYICSA-N 1 2 313.448 1.474 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(CCO[C@@H]3CCOC3)CC2)cc1 ZINC000929237109 713573395 /nfs/dbraw/zinc/57/33/95/713573395.db2.gz PELWJJMERJTZMN-GOSISDBHSA-N 1 2 315.417 1.481 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)C[C@H](O)C2(O)CCCC2)c1 ZINC000929290048 713583609 /nfs/dbraw/zinc/58/36/09/713583609.db2.gz KHEAZIMUCWXZCK-INIZCTEOSA-N 1 2 316.401 1.204 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)C[C@H](O)C2(O)CCCC2)c1 ZINC000929290048 713583611 /nfs/dbraw/zinc/58/36/11/713583611.db2.gz KHEAZIMUCWXZCK-INIZCTEOSA-N 1 2 316.401 1.204 20 30 DDEDLO N#Cc1cncc(C[N@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)c1 ZINC000929488597 713622994 /nfs/dbraw/zinc/62/29/94/713622994.db2.gz MZSQBKGAEXRKMT-MRXNPFEDSA-N 1 2 314.389 1.167 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2CCCC[C@@H]2C(=O)N2CCOCC2)c1 ZINC000929488597 713622995 /nfs/dbraw/zinc/62/29/95/713622995.db2.gz MZSQBKGAEXRKMT-MRXNPFEDSA-N 1 2 314.389 1.167 20 30 DDEDLO Cn1nc2c(cc1=O)C[N@@H+](Cc1cc(Cl)cc(C#N)c1)CC2 ZINC000929756981 713684007 /nfs/dbraw/zinc/68/40/07/713684007.db2.gz XQRJTIQVSMHRJM-UHFFFAOYSA-N 1 2 314.776 1.864 20 30 DDEDLO Cn1nc2c(cc1=O)C[N@H+](Cc1cc(Cl)cc(C#N)c1)CC2 ZINC000929756981 713684010 /nfs/dbraw/zinc/68/40/10/713684010.db2.gz XQRJTIQVSMHRJM-UHFFFAOYSA-N 1 2 314.776 1.864 20 30 DDEDLO C=CCCCS(=O)(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000921093491 713713541 /nfs/dbraw/zinc/71/35/41/713713541.db2.gz OYQHKJWNAQDKKG-UHFFFAOYSA-N 1 2 303.453 1.590 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](C[C@H]2CC[C@H](C(F)(F)F)O2)CC1 ZINC000930637152 713883777 /nfs/dbraw/zinc/88/37/77/713883777.db2.gz BJQWZZOKSMYWEV-GHMZBOCLSA-N 1 2 320.311 1.875 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1nc(C[NH+](C)C)cs1)[C@H]1CCCO1 ZINC000922046256 713945626 /nfs/dbraw/zinc/94/56/26/713945626.db2.gz BWPOVQJAFKGGRA-CHWSQXEVSA-N 1 2 322.434 1.185 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1snc(C)c1C#N ZINC000931343621 714073292 /nfs/dbraw/zinc/07/32/92/714073292.db2.gz JNGBCDSFRRQRJX-NSHDSACASA-N 1 2 323.422 1.556 20 30 DDEDLO C[C@]1([C@@H]2CCC[N@H+](Cc3nc(C#N)cs3)C2)COC(=O)N1 ZINC000932021078 714238005 /nfs/dbraw/zinc/23/80/05/714238005.db2.gz GYRZUPYXBVSHSU-QMTHXVAHSA-N 1 2 306.391 1.725 20 30 DDEDLO C[C@]1([C@@H]2CCC[N@@H+](Cc3nc(C#N)cs3)C2)COC(=O)N1 ZINC000932021078 714238008 /nfs/dbraw/zinc/23/80/08/714238008.db2.gz GYRZUPYXBVSHSU-QMTHXVAHSA-N 1 2 306.391 1.725 20 30 DDEDLO Cc1cc(NC(=O)N(C)[C@H](C)C[NH+]2CCOCC2)ncc1C#N ZINC000932155497 714273285 /nfs/dbraw/zinc/27/32/85/714273285.db2.gz GRVZGMRZAYDXHQ-CYBMUJFWSA-N 1 2 317.393 1.446 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1ccccc1C(=O)NC1CC1)C(C)C ZINC000932391384 714321860 /nfs/dbraw/zinc/32/18/60/714321860.db2.gz YMWACHOJLWJBQG-UHFFFAOYSA-N 1 2 313.401 1.861 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1ccccc1C(=O)NC1CC1)C(C)C ZINC000932391384 714321864 /nfs/dbraw/zinc/32/18/64/714321864.db2.gz YMWACHOJLWJBQG-UHFFFAOYSA-N 1 2 313.401 1.861 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCOC[C@H]1C[C@H]1CNc2ccccc21 ZINC000923548290 714396928 /nfs/dbraw/zinc/39/69/28/714396928.db2.gz RDTNQTXDKDZLJP-LSDHHAIUSA-N 1 2 315.417 1.589 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCOC[C@H]1C[C@H]1CNc2ccccc21 ZINC000923548290 714396930 /nfs/dbraw/zinc/39/69/30/714396930.db2.gz RDTNQTXDKDZLJP-LSDHHAIUSA-N 1 2 315.417 1.589 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2sc3nccn3c2C)C1 ZINC000923566399 714407577 /nfs/dbraw/zinc/40/75/77/714407577.db2.gz MYNPRNPBFBZQNJ-GFCCVEGCSA-N 1 2 302.403 1.532 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc3nccn3c2C)C1 ZINC000923566399 714407580 /nfs/dbraw/zinc/40/75/80/714407580.db2.gz MYNPRNPBFBZQNJ-GFCCVEGCSA-N 1 2 302.403 1.532 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC000923567879 714408825 /nfs/dbraw/zinc/40/88/25/714408825.db2.gz WTMBLHLJUNSWTE-CHWSQXEVSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc([C@H]3CCCO3)s2)C1 ZINC000923567879 714408826 /nfs/dbraw/zinc/40/88/26/714408826.db2.gz WTMBLHLJUNSWTE-CHWSQXEVSA-N 1 2 319.430 1.822 20 30 DDEDLO CCn1cnc2c1CC[N@H+](C[C@@H](O)CC1(C#N)CCOCC1)C2 ZINC000933252883 714547498 /nfs/dbraw/zinc/54/74/98/714547498.db2.gz ITZKNPIXBRSVJV-AWEZNQCLSA-N 1 2 318.421 1.332 20 30 DDEDLO CCn1cnc2c1CC[N@@H+](C[C@@H](O)CC1(C#N)CCOCC1)C2 ZINC000933252883 714547501 /nfs/dbraw/zinc/54/75/01/714547501.db2.gz ITZKNPIXBRSVJV-AWEZNQCLSA-N 1 2 318.421 1.332 20 30 DDEDLO COC[C@H]1COCC[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000933333392 714565002 /nfs/dbraw/zinc/56/50/02/714565002.db2.gz OUPIVAPUVSZHDG-LBPRGKRZSA-N 1 2 309.391 1.296 20 30 DDEDLO COC[C@H]1COCC[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000933333392 714565004 /nfs/dbraw/zinc/56/50/04/714565004.db2.gz OUPIVAPUVSZHDG-LBPRGKRZSA-N 1 2 309.391 1.296 20 30 DDEDLO Cn1nccc1[C@H]1C[N@H+](CCOc2ccc(C#N)cc2)CCO1 ZINC000933525274 714608767 /nfs/dbraw/zinc/60/87/67/714608767.db2.gz NQVAFTDKTXOBMQ-QGZVFWFLSA-N 1 2 312.373 1.744 20 30 DDEDLO Cn1nccc1[C@H]1C[N@@H+](CCOc2ccc(C#N)cc2)CCO1 ZINC000933525274 714608769 /nfs/dbraw/zinc/60/87/69/714608769.db2.gz NQVAFTDKTXOBMQ-QGZVFWFLSA-N 1 2 312.373 1.744 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000933659771 714641010 /nfs/dbraw/zinc/64/10/10/714641010.db2.gz LXACURKBXJBTLQ-MRXNPFEDSA-N 1 2 313.401 1.804 20 30 DDEDLO Cc1cc(C)cc(N(CCC#N)C(=O)C[N@@H+]2CC[C@H]2CO)c1 ZINC000934026439 714724878 /nfs/dbraw/zinc/72/48/78/714724878.db2.gz MXHCRZAERCUNTL-HNNXBMFYSA-N 1 2 301.390 1.617 20 30 DDEDLO Cc1cc(C)cc(N(CCC#N)C(=O)C[N@H+]2CC[C@H]2CO)c1 ZINC000934026439 714724879 /nfs/dbraw/zinc/72/48/79/714724879.db2.gz MXHCRZAERCUNTL-HNNXBMFYSA-N 1 2 301.390 1.617 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N(C)[C@@H](C)C[NH+]1CCOCC1 ZINC000935712514 715120655 /nfs/dbraw/zinc/12/06/55/715120655.db2.gz DPNRFJGZPNZJSK-ZDUSSCGKSA-N 1 2 317.389 1.360 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC000956566855 715471217 /nfs/dbraw/zinc/47/12/17/715471217.db2.gz HZJIXENLSJDPIZ-QAPCUYQASA-N 1 2 321.465 1.744 20 30 DDEDLO C=C1CCC(C(=O)N(C)C2CN(C(=O)Cn3cc[nH+]c3)C2)CC1 ZINC000955215636 715679031 /nfs/dbraw/zinc/67/90/31/715679031.db2.gz IIKKNJQCZSGXMO-UHFFFAOYSA-N 1 2 316.405 1.299 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(CC)C1C[NH+](CCOC)C1 ZINC000957113465 715753842 /nfs/dbraw/zinc/75/38/42/715753842.db2.gz LPFCKHSAIDRVJV-UHFFFAOYSA-N 1 2 319.405 1.439 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC000957130020 715761381 /nfs/dbraw/zinc/76/13/81/715761381.db2.gz MXHONVUQGPABGJ-LBPRGKRZSA-N 1 2 303.410 1.018 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)N([C@H](C)CCC)C2)C1 ZINC000957422108 715895955 /nfs/dbraw/zinc/89/59/55/715895955.db2.gz JLNGCHFLYSKJHT-CABCVRRESA-N 1 2 321.465 1.742 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@H+](CC#Cc2ccccc2Cl)C[C@@H]1O ZINC000957789764 716049316 /nfs/dbraw/zinc/04/93/16/716049316.db2.gz YPYGLPYBWVPVNQ-ZBFHGGJFSA-N 1 2 306.793 1.120 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@@H+](CC#Cc2ccccc2Cl)C[C@@H]1O ZINC000957789764 716049318 /nfs/dbraw/zinc/04/93/18/716049318.db2.gz YPYGLPYBWVPVNQ-ZBFHGGJFSA-N 1 2 306.793 1.120 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2oc(C)nc2C)C1 ZINC000957954240 716248683 /nfs/dbraw/zinc/24/86/83/716248683.db2.gz ZUTSUQQPFJLVRT-NEPJUHHUSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2oc(C)nc2C)C1 ZINC000957954240 716248689 /nfs/dbraw/zinc/24/86/89/716248689.db2.gz ZUTSUQQPFJLVRT-NEPJUHHUSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cocn1 ZINC000958394124 716523470 /nfs/dbraw/zinc/52/34/70/716523470.db2.gz XZBKVNZCKHQJIH-JZYVYDRUSA-N 1 2 312.167 1.243 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cocn1 ZINC000958394124 716523472 /nfs/dbraw/zinc/52/34/72/716523472.db2.gz XZBKVNZCKHQJIH-JZYVYDRUSA-N 1 2 312.167 1.243 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)oc1C ZINC000960488777 716577661 /nfs/dbraw/zinc/57/76/61/716577661.db2.gz DIFOIVXHDPVYPT-FZMZJTMJSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)oc1C ZINC000960488777 716577665 /nfs/dbraw/zinc/57/76/65/716577665.db2.gz DIFOIVXHDPVYPT-FZMZJTMJSA-N 1 2 304.394 1.874 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)c(OC)co1 ZINC000961146622 716833545 /nfs/dbraw/zinc/83/35/45/716833545.db2.gz XUJMWYJEGPRCHG-MSRIBSCDSA-N 1 2 324.764 1.061 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)c(OC)co1 ZINC000961146622 716833550 /nfs/dbraw/zinc/83/35/50/716833550.db2.gz XUJMWYJEGPRCHG-MSRIBSCDSA-N 1 2 324.764 1.061 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000941022898 717077278 /nfs/dbraw/zinc/07/72/78/717077278.db2.gz XAQFYRKJMVSZLM-JSGCOSHPSA-N 1 2 315.421 1.570 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)CCc3ccco3)CC2)C1 ZINC000941305396 717151234 /nfs/dbraw/zinc/15/12/34/717151234.db2.gz DDAPOGRDKZKFIY-UHFFFAOYSA-N 1 2 315.417 1.064 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C(C)=C3CCC3)CC2)C1 ZINC000941403610 717170818 /nfs/dbraw/zinc/17/08/18/717170818.db2.gz CWBVGXPNJKCURN-UHFFFAOYSA-N 1 2 301.434 1.339 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC000965845553 717780281 /nfs/dbraw/zinc/78/02/81/717780281.db2.gz MOFDMFHRSICDEN-GWCFXTLKSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC000965845553 717780285 /nfs/dbraw/zinc/78/02/85/717780285.db2.gz MOFDMFHRSICDEN-GWCFXTLKSA-N 1 2 309.797 1.980 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000966661087 718619865 /nfs/dbraw/zinc/61/98/65/718619865.db2.gz FJMKDGUBJFGKON-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CN(CC#N)CC[C@@H]2C)c[nH+]1 ZINC000966907908 718706566 /nfs/dbraw/zinc/70/65/66/718706566.db2.gz HVIXQKXVHVGJCS-JSGCOSHPSA-N 1 2 303.410 1.277 20 30 DDEDLO C=CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967185680 718786821 /nfs/dbraw/zinc/78/68/21/718786821.db2.gz QNLNDNCSLOCPDQ-SWLSCSKDSA-N 1 2 302.422 1.915 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967185680 718786824 /nfs/dbraw/zinc/78/68/24/718786824.db2.gz QNLNDNCSLOCPDQ-SWLSCSKDSA-N 1 2 302.422 1.915 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1cn[nH]n1 ZINC000967187735 718787828 /nfs/dbraw/zinc/78/78/28/718787828.db2.gz WVRKBFWJAKOOTI-MLGOLLRUSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1cn[nH]n1 ZINC000967187735 718787829 /nfs/dbraw/zinc/78/78/29/718787829.db2.gz WVRKBFWJAKOOTI-MLGOLLRUSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC000967187735 718787831 /nfs/dbraw/zinc/78/78/31/718787831.db2.gz WVRKBFWJAKOOTI-MLGOLLRUSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC000967187735 718787832 /nfs/dbraw/zinc/78/78/32/718787832.db2.gz WVRKBFWJAKOOTI-MLGOLLRUSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC000967336856 718848244 /nfs/dbraw/zinc/84/82/44/718848244.db2.gz NBNMDRCCLLHWLI-ABAIWWIYSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC000967336856 718848246 /nfs/dbraw/zinc/84/82/46/718848246.db2.gz NBNMDRCCLLHWLI-ABAIWWIYSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[N@@H+](Cc3cnnn3C)CC[C@H]2C)C1 ZINC000968275287 719483641 /nfs/dbraw/zinc/48/36/41/719483641.db2.gz QRTLYQPXFJKRHA-HIFRSBDPSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[N@H+](Cc3cnnn3C)CC[C@H]2C)C1 ZINC000968275287 719483643 /nfs/dbraw/zinc/48/36/43/719483643.db2.gz QRTLYQPXFJKRHA-HIFRSBDPSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC000968716584 719754186 /nfs/dbraw/zinc/75/41/86/719754186.db2.gz AMDMBXGDKHIKPG-BNOWGMLFSA-N 1 2 322.434 1.210 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2c(OC)ccnc2OC)CC1 ZINC000949249956 719974677 /nfs/dbraw/zinc/97/46/77/719974677.db2.gz HJLXXUUDLWMPEO-UHFFFAOYSA-N 1 2 305.378 1.433 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC[C@@H](F)C3)CC2)C1 ZINC000949365746 720041942 /nfs/dbraw/zinc/04/19/42/720041942.db2.gz CSOPBUQCNFLGTK-HZPDHXFCSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC[C@@H](F)C3)CC2)C1 ZINC000949365746 720041947 /nfs/dbraw/zinc/04/19/47/720041947.db2.gz CSOPBUQCNFLGTK-HZPDHXFCSA-N 1 2 322.424 1.841 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@@H]3C(C)C)CC2)C1 ZINC000949504952 720129384 /nfs/dbraw/zinc/12/93/84/720129384.db2.gz YAOHOTBCPOECSZ-CVEARBPZSA-N 1 2 304.434 1.605 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H]3C[C@@H]3C(C)C)CC2)C1 ZINC000949504952 720129385 /nfs/dbraw/zinc/12/93/85/720129385.db2.gz YAOHOTBCPOECSZ-CVEARBPZSA-N 1 2 304.434 1.605 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2cc3ccccc3[nH]c2=O)CC1 ZINC000949560450 720165759 /nfs/dbraw/zinc/16/57/59/720165759.db2.gz MCPJPLULZNNVMI-UHFFFAOYSA-N 1 2 309.369 1.722 20 30 DDEDLO C[C@@H](NC(=O)c1ncc[nH]1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000969641911 720229323 /nfs/dbraw/zinc/22/93/23/720229323.db2.gz OKJSSHJCGGIFSK-GFCCVEGCSA-N 1 2 309.373 1.532 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2occc2-c2cnn(C)c2)CC1 ZINC000949830972 720370257 /nfs/dbraw/zinc/37/02/57/720370257.db2.gz GNDFHBHNBDIQHS-UHFFFAOYSA-N 1 2 312.373 1.461 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2ccnc3ccnn32)C1 ZINC000969832773 720525708 /nfs/dbraw/zinc/52/57/08/720525708.db2.gz JHHFEIYXGRIYAC-LLVKDONJSA-N 1 2 319.796 1.532 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([NH2+][C@H](C)c3nc(C)no3)C2)C1 ZINC000969882497 720546386 /nfs/dbraw/zinc/54/63/86/720546386.db2.gz AHKSOKCBHMLKSN-DGCLKSJQSA-N 1 2 304.394 1.986 20 30 DDEDLO C=C(Cl)CN1CC([C@@H](C)NC(=O)[C@H]2CCn3c[nH+]cc3C2)C1 ZINC000969977906 720583880 /nfs/dbraw/zinc/58/38/80/720583880.db2.gz BFRFQDIBCCCJST-OLZOCXBDSA-N 1 2 322.840 1.635 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCCn3nccc32)C1 ZINC000969985853 720586581 /nfs/dbraw/zinc/58/65/81/720586581.db2.gz TYJSNXLOYBMKIJ-OCCSQVGLSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+](CCCc3nc(C)no3)CC2)C1 ZINC000950383423 720607297 /nfs/dbraw/zinc/60/72/97/720607297.db2.gz XGRFGUOILNDTTL-UHFFFAOYSA-N 1 2 318.421 1.811 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC000950433345 720630390 /nfs/dbraw/zinc/63/03/90/720630390.db2.gz BDQVDFBKSYFIDV-LBPRGKRZSA-N 1 2 308.813 1.726 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn3c(n2)C[C@H](C)CC3)C1 ZINC000950621378 720708171 /nfs/dbraw/zinc/70/81/71/720708171.db2.gz ZZSRVBLWCVUOJH-CQSZACIVSA-N 1 2 314.433 1.635 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(F)cc(OC)cc2F)CC1 ZINC000950647673 720720447 /nfs/dbraw/zinc/72/04/47/720720447.db2.gz QISYIWXYGKAQSP-UHFFFAOYSA-N 1 2 308.328 1.755 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(N(C)C)nc2)C1 ZINC000950701319 720740108 /nfs/dbraw/zinc/74/01/08/720740108.db2.gz IIQNWDHEZKWCGX-UHFFFAOYSA-N 1 2 300.406 1.317 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC000970433804 720759950 /nfs/dbraw/zinc/75/99/50/720759950.db2.gz LQXFOMWNQULIDU-UTUOFQBUSA-N 1 2 313.829 1.077 20 30 DDEDLO C[C@@H](NC(=O)c1ncccn1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970625534 720856113 /nfs/dbraw/zinc/85/61/13/720856113.db2.gz PECQSKBGWIFSSE-CYBMUJFWSA-N 1 2 321.384 1.599 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2cccn(CC(C)C)c2=O)CC1 ZINC000951214745 720960628 /nfs/dbraw/zinc/96/06/28/720960628.db2.gz LSRVZCHXRLNLPZ-UHFFFAOYSA-N 1 2 315.417 1.285 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2c[nH]nc2[C@H]2CCCO2)C1 ZINC000951365939 721003612 /nfs/dbraw/zinc/00/36/12/721003612.db2.gz UGZWCLLHSFBOHJ-OAHLLOKOSA-N 1 2 318.421 1.984 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c[nH]nc2[C@H]2CCCO2)C1 ZINC000951366706 721004279 /nfs/dbraw/zinc/00/42/79/721004279.db2.gz ZPOBINZVQMNHQS-OAHLLOKOSA-N 1 2 316.405 1.431 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2nnn(CC)c2CC)C1 ZINC000951732565 721157631 /nfs/dbraw/zinc/15/76/31/721157631.db2.gz KDFOMZUIXUMGBP-UHFFFAOYSA-N 1 2 305.426 1.583 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971218746 721185879 /nfs/dbraw/zinc/18/58/79/721185879.db2.gz RXAGBRVCCFOELZ-BLLLJJGKSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(F)ccc2C#N)C1 ZINC000971218746 721185884 /nfs/dbraw/zinc/18/58/84/721185884.db2.gz RXAGBRVCCFOELZ-BLLLJJGKSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)[C@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971364294 721258929 /nfs/dbraw/zinc/25/89/29/721258929.db2.gz SRPDYIJBNSBKOZ-OCCSQVGLSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)[C@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971364294 721258933 /nfs/dbraw/zinc/25/89/33/721258933.db2.gz SRPDYIJBNSBKOZ-OCCSQVGLSA-N 1 2 324.388 1.584 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCCOC3)C2)C1 ZINC000972618209 735360225 /nfs/dbraw/zinc/36/02/25/735360225.db2.gz XGUZPNJPECKISD-AEFFLSMTSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCCOC3)C2)C1 ZINC000972618209 735360227 /nfs/dbraw/zinc/36/02/27/735360227.db2.gz XGUZPNJPECKISD-AEFFLSMTSA-N 1 2 320.433 1.130 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnoc3C(C)C)C2)C1 ZINC000972621876 735368355 /nfs/dbraw/zinc/36/83/55/735368355.db2.gz RFJJPPXKNWMYFL-KRWDZBQOSA-N 1 2 319.405 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnoc3C(C)C)C2)C1 ZINC000972621876 735368358 /nfs/dbraw/zinc/36/83/58/735368358.db2.gz RFJJPPXKNWMYFL-KRWDZBQOSA-N 1 2 319.405 1.901 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C=C)c3ccccc3)C2)C1 ZINC000972681768 735470304 /nfs/dbraw/zinc/47/03/04/735470304.db2.gz ACLOGYQIKXKKFL-ICSRJNTNSA-N 1 2 324.424 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C=C)c3ccccc3)C2)C1 ZINC000972681768 735470306 /nfs/dbraw/zinc/47/03/06/735470306.db2.gz ACLOGYQIKXKKFL-ICSRJNTNSA-N 1 2 324.424 1.893 20 30 DDEDLO CC(C)c1noc([C@H](C)[NH2+]CCNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001125819272 736227958 /nfs/dbraw/zinc/22/79/58/736227958.db2.gz VSZPGVISJQPUFM-JTQLQIEISA-N 1 2 316.365 1.473 20 30 DDEDLO CN(CC#N)[C@H]1CCCN(C(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC001027644992 738505673 /nfs/dbraw/zinc/50/56/73/738505673.db2.gz ALEVOUJMRXFABJ-HNNXBMFYSA-N 1 2 324.388 1.327 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406555 738266737 /nfs/dbraw/zinc/26/67/37/738266737.db2.gz VDAKYQXWRSRPBC-GOEBONIOSA-N 1 2 312.417 1.874 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406555 738266739 /nfs/dbraw/zinc/26/67/39/738266739.db2.gz VDAKYQXWRSRPBC-GOEBONIOSA-N 1 2 312.417 1.874 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1n[nH]c2c1CCCCC2 ZINC001039020780 732367260 /nfs/dbraw/zinc/36/72/60/732367260.db2.gz HFNMPSUQMXJIOX-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1n[nH]c2c1CCCCC2 ZINC001039020780 732367267 /nfs/dbraw/zinc/36/72/67/732367267.db2.gz HFNMPSUQMXJIOX-ZDUSSCGKSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CC[NH+]1CCN(Cc2csc(Br)n2)CC1 ZINC001137584041 738674695 /nfs/dbraw/zinc/67/46/95/738674695.db2.gz COKNOSAYUKGSEZ-UHFFFAOYSA-N 1 2 300.225 1.656 20 30 DDEDLO N#CCNC1C[C@H]2CCC[C@@H](C1)N2C(=O)CCc1[nH]cc[nH+]1 ZINC001039274863 733404214 /nfs/dbraw/zinc/40/42/14/733404214.db2.gz NWSYOEWXSXNBHZ-AGUYFDCRSA-N 1 2 301.394 1.368 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc([C@H](C)CC)no3)[C@H]2C1 ZINC001083217633 733656021 /nfs/dbraw/zinc/65/60/21/733656021.db2.gz IHMNVNSPTWXTEN-IVMMDQJWSA-N 1 2 319.405 1.899 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc([C@H](C)CC)no3)[C@H]2C1 ZINC001083217633 733656025 /nfs/dbraw/zinc/65/60/25/733656025.db2.gz IHMNVNSPTWXTEN-IVMMDQJWSA-N 1 2 319.405 1.899 20 30 DDEDLO C[C@H]1CN(c2ccc(C#N)cn2)CC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067439460 734898685 /nfs/dbraw/zinc/89/86/85/734898685.db2.gz AKSWSFXOLCBOTH-WFASDCNBSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H]1CN(c2ncccc2C#N)CC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067441317 735019385 /nfs/dbraw/zinc/01/93/85/735019385.db2.gz XUIQCXSEEOLZNM-DOMZBBRYSA-N 1 2 324.388 1.250 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001023368643 735203059 /nfs/dbraw/zinc/20/30/59/735203059.db2.gz SBHJGMPDZNKSPQ-ZIAGYGMSSA-N 1 2 300.406 1.291 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001023368643 735203060 /nfs/dbraw/zinc/20/30/60/735203060.db2.gz SBHJGMPDZNKSPQ-ZIAGYGMSSA-N 1 2 300.406 1.291 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC000972719595 735569319 /nfs/dbraw/zinc/56/93/19/735569319.db2.gz XDWBXLTXXNHOTD-VXGBXAGGSA-N 1 2 323.828 1.195 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC000972719595 735569321 /nfs/dbraw/zinc/56/93/21/735569321.db2.gz XDWBXLTXXNHOTD-VXGBXAGGSA-N 1 2 323.828 1.195 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001024523894 735898846 /nfs/dbraw/zinc/89/88/46/735898846.db2.gz RQGRJPIKGVMPCU-AWEZNQCLSA-N 1 2 323.824 1.722 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001024523894 735898848 /nfs/dbraw/zinc/89/88/48/735898848.db2.gz RQGRJPIKGVMPCU-AWEZNQCLSA-N 1 2 323.824 1.722 20 30 DDEDLO N#Cc1cccnc1N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001058591140 736026435 /nfs/dbraw/zinc/02/64/35/736026435.db2.gz XFXMKXUEDXTORE-MGPQQGTHSA-N 1 2 322.372 1.175 20 30 DDEDLO N#Cc1cccnc1N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001058591140 736026439 /nfs/dbraw/zinc/02/64/39/736026439.db2.gz XFXMKXUEDXTORE-MGPQQGTHSA-N 1 2 322.372 1.175 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cn(CC)nn1 ZINC001024732592 736054269 /nfs/dbraw/zinc/05/42/69/736054269.db2.gz CTRUZTAHEZTGDW-LBPRGKRZSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cn(CC)nn1 ZINC001024732592 736054273 /nfs/dbraw/zinc/05/42/73/736054273.db2.gz CTRUZTAHEZTGDW-LBPRGKRZSA-N 1 2 311.817 1.635 20 30 DDEDLO C#CCN1CCCC[C@H]1CNC(=O)c1c[nH+]ccc1N(C)C ZINC001024764373 736075376 /nfs/dbraw/zinc/07/53/76/736075376.db2.gz QAHWSYDVJHTMSY-AWEZNQCLSA-N 1 2 300.406 1.365 20 30 DDEDLO N#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001025279463 736406815 /nfs/dbraw/zinc/40/68/15/736406815.db2.gz CASSGQZAFDKLHH-UONOGXRCSA-N 1 2 301.394 1.321 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc2n(n1)CCCO2 ZINC001028017376 738938804 /nfs/dbraw/zinc/93/88/04/738938804.db2.gz TUTASSXFMHNBDB-LBPRGKRZSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cc2n(n1)CCCO2 ZINC001028017376 738938805 /nfs/dbraw/zinc/93/88/05/738938805.db2.gz TUTASSXFMHNBDB-LBPRGKRZSA-N 1 2 324.812 1.612 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001104855759 737289299 /nfs/dbraw/zinc/28/92/99/737289299.db2.gz AVZRXFAVVHDGDW-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)N(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001104855759 737289300 /nfs/dbraw/zinc/28/93/00/737289300.db2.gz AVZRXFAVVHDGDW-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cnc(C(C)C)s3)[C@H]2C1 ZINC001083294673 737406489 /nfs/dbraw/zinc/40/64/89/737406489.db2.gz NDWODVCYMUQQKE-QWHCGFSZSA-N 1 2 321.446 1.978 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cnc(C(C)C)s3)[C@H]2C1 ZINC001083294673 737406491 /nfs/dbraw/zinc/40/64/91/737406491.db2.gz NDWODVCYMUQQKE-QWHCGFSZSA-N 1 2 321.446 1.978 20 30 DDEDLO Cc1nc(N[C@H](CNC(=O)c2cc(C#N)c[nH]2)C(C)C)cc[nH+]1 ZINC001105148545 737583234 /nfs/dbraw/zinc/58/32/34/737583234.db2.gz JXLZMZHUGKORRK-CQSZACIVSA-N 1 2 312.377 1.851 20 30 DDEDLO CC(C)[C@@H](CNC(=O)Cn1cc[nH+]c1)Nc1ncccc1C#N ZINC001105196658 737607720 /nfs/dbraw/zinc/60/77/20/737607720.db2.gz RPYXKEJLCVHZSP-CQSZACIVSA-N 1 2 312.377 1.403 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCC[C@H](NC(=O)C(F)F)C1 ZINC001006504270 737707088 /nfs/dbraw/zinc/70/70/88/737707088.db2.gz VKSSRGAHEFTUQB-ZDUSSCGKSA-N 1 2 323.343 1.913 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCC[C@H](NC(=O)C(F)F)C1 ZINC001006504270 737707093 /nfs/dbraw/zinc/70/70/93/737707093.db2.gz VKSSRGAHEFTUQB-ZDUSSCGKSA-N 1 2 323.343 1.913 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3CCCC[C@H]3C)C2)nn1 ZINC001105315376 737822893 /nfs/dbraw/zinc/82/28/93/737822893.db2.gz KDNCUMPPVYUYCT-CJNGLKHVSA-N 1 2 315.421 1.211 20 30 DDEDLO C[C@H](c1nncn1C)[N@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406210 738266080 /nfs/dbraw/zinc/26/60/80/738266080.db2.gz OGXWXUNDXQQVAX-HIFRSBDPSA-N 1 2 315.421 1.212 20 30 DDEDLO C[C@H](c1nncn1C)[N@@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406210 738266083 /nfs/dbraw/zinc/26/60/83/738266083.db2.gz OGXWXUNDXQQVAX-HIFRSBDPSA-N 1 2 315.421 1.212 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CCC[N@H+]2Cc2cn(C)nn2)CC1 ZINC001028115080 739053493 /nfs/dbraw/zinc/05/34/93/739053493.db2.gz WQNQBPSLFUYWPA-INIZCTEOSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2cn(C)nn2)CC1 ZINC001028115080 739053495 /nfs/dbraw/zinc/05/34/95/739053495.db2.gz WQNQBPSLFUYWPA-INIZCTEOSA-N 1 2 317.437 1.642 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H]1CNC(=O)c1snnc1C(C)C ZINC001028220549 739187336 /nfs/dbraw/zinc/18/73/36/739187336.db2.gz XUOMXLKUAYIBNR-LBPRGKRZSA-N 1 2 306.435 1.879 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1snnc1C(C)C ZINC001028220549 739187337 /nfs/dbraw/zinc/18/73/37/739187337.db2.gz XUOMXLKUAYIBNR-LBPRGKRZSA-N 1 2 306.435 1.879 20 30 DDEDLO CC(C)(C(=O)N1CC[C@H](Nc2ccc(C#N)cn2)C1)c1c[nH+]c[nH]1 ZINC001058930911 739218670 /nfs/dbraw/zinc/21/86/70/739218670.db2.gz MMTYWCUCILQHOP-ZDUSSCGKSA-N 1 2 324.388 1.667 20 30 DDEDLO C[C@@H](CCCNc1cnc(C#N)cn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114983641 739283778 /nfs/dbraw/zinc/28/37/78/739283778.db2.gz OHRCIEUVJFCTMZ-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3scnc32)C1 ZINC001035369970 751434649 /nfs/dbraw/zinc/43/46/49/751434649.db2.gz LMOUUPAKOQCGTL-LBPRGKRZSA-N 1 2 317.414 1.913 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3scnc32)C1 ZINC001035369970 751434652 /nfs/dbraw/zinc/43/46/52/751434652.db2.gz LMOUUPAKOQCGTL-LBPRGKRZSA-N 1 2 317.414 1.913 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C)c2)[C@H](OC)C1 ZINC001211795527 739548080 /nfs/dbraw/zinc/54/80/80/739548080.db2.gz RXUZTJDAUYUXAV-IAGOWNOFSA-N 1 2 316.401 1.074 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C)c2)[C@H](OC)C1 ZINC001211795527 739548081 /nfs/dbraw/zinc/54/80/81/739548081.db2.gz RXUZTJDAUYUXAV-IAGOWNOFSA-N 1 2 316.401 1.074 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001059016526 739631299 /nfs/dbraw/zinc/63/12/99/739631299.db2.gz FXVJISIRSFJLMZ-AWEZNQCLSA-N 1 2 310.361 1.253 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H](C)C3CCC3)C2)nn1 ZINC001098685858 739761922 /nfs/dbraw/zinc/76/19/22/739761922.db2.gz RZKDIZHPRNAWCG-CZUORRHYSA-N 1 2 315.421 1.211 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@H](Nc2ccc(C#N)cn2)C1)n1cc[nH+]c1 ZINC001059080862 739890419 /nfs/dbraw/zinc/89/04/19/739890419.db2.gz LAIUFTQOZSCIGS-ZFWWWQNUSA-N 1 2 324.388 1.814 20 30 DDEDLO C=CCN1CCO[C@H](CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001035416957 751497878 /nfs/dbraw/zinc/49/78/78/751497878.db2.gz OQGASJATZXTCTA-CYBMUJFWSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001035416957 751497882 /nfs/dbraw/zinc/49/78/82/751497882.db2.gz OQGASJATZXTCTA-CYBMUJFWSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001035416957 751497885 /nfs/dbraw/zinc/49/78/85/751497885.db2.gz OQGASJATZXTCTA-CYBMUJFWSA-N 1 2 300.362 1.180 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]c3ccccc23)C1 ZINC001035432496 751509503 /nfs/dbraw/zinc/50/95/03/751509503.db2.gz VRPWPSZNHARKFY-CQSZACIVSA-N 1 2 311.385 1.622 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c[nH]c3ccccc23)C1 ZINC001035432496 751509506 /nfs/dbraw/zinc/50/95/06/751509506.db2.gz VRPWPSZNHARKFY-CQSZACIVSA-N 1 2 311.385 1.622 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccn3nccc23)C1 ZINC001035433575 751510751 /nfs/dbraw/zinc/51/07/51/751510751.db2.gz QUMAZLZVQMGJLU-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccn3nccc23)C1 ZINC001035433575 751510756 /nfs/dbraw/zinc/51/07/56/751510756.db2.gz QUMAZLZVQMGJLU-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)C1(C)CCC1)CC2 ZINC001128019453 751527703 /nfs/dbraw/zinc/52/77/03/751527703.db2.gz FVVIRJSKCBZARM-CYBMUJFWSA-N 1 2 315.421 1.012 20 30 DDEDLO N#Cc1nc(Br)cnc1NCCCn1cc[nH+]c1 ZINC001161684951 740474967 /nfs/dbraw/zinc/47/49/67/740474967.db2.gz YXFYUHQXNGKVRL-UHFFFAOYSA-N 1 2 307.155 1.809 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3ncccc32)C1 ZINC001035469044 751553894 /nfs/dbraw/zinc/55/38/94/751553894.db2.gz JHYLSXZVEGKKND-CQSZACIVSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3ncccc32)C1 ZINC001035469044 751553896 /nfs/dbraw/zinc/55/38/96/751553896.db2.gz JHYLSXZVEGKKND-CQSZACIVSA-N 1 2 311.385 1.851 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)CCN1CC#N ZINC001087731782 740724976 /nfs/dbraw/zinc/72/49/76/740724976.db2.gz IJFMJQPGEHLRMY-YIYPIFLZSA-N 1 2 301.394 1.016 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncc(OC)n2)[C@H]1CC ZINC001087750010 740733182 /nfs/dbraw/zinc/73/31/82/740733182.db2.gz NAFSTHOYIAVIEO-WCQYABFASA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncc(OC)n2)[C@H]1CC ZINC001087750010 740733183 /nfs/dbraw/zinc/73/31/83/740733183.db2.gz NAFSTHOYIAVIEO-WCQYABFASA-N 1 2 324.812 1.820 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C[C@H](C=C)CC)C2)nn1 ZINC001098706000 740760194 /nfs/dbraw/zinc/76/01/94/740760194.db2.gz OQMLMHBXUJTYKV-GDBMZVCRSA-N 1 2 315.421 1.377 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc([C@@H](C)CC)no2)C1 ZINC001035541696 751588297 /nfs/dbraw/zinc/58/82/97/751588297.db2.gz JWABATSCPYPETC-UONOGXRCSA-N 1 2 319.405 1.642 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc([C@@H](C)CC)no2)C1 ZINC001035541696 751588300 /nfs/dbraw/zinc/58/83/00/751588300.db2.gz JWABATSCPYPETC-UONOGXRCSA-N 1 2 319.405 1.642 20 30 DDEDLO CSc1nc(C[N@@H+]2CCCN(C(C)=O)CC2)ccc1C#N ZINC001141929616 740949336 /nfs/dbraw/zinc/94/93/36/740949336.db2.gz YMEDWORYLZRDDU-UHFFFAOYSA-N 1 2 304.419 1.729 20 30 DDEDLO CSc1nc(C[N@H+]2CCCN(C(C)=O)CC2)ccc1C#N ZINC001141929616 740949338 /nfs/dbraw/zinc/94/93/38/740949338.db2.gz YMEDWORYLZRDDU-UHFFFAOYSA-N 1 2 304.419 1.729 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)ccc2F)C1 ZINC001035531087 751612614 /nfs/dbraw/zinc/61/26/14/751612614.db2.gz YYWRQHUJVHPYGV-CQSZACIVSA-N 1 2 304.365 1.588 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)ccc2F)C1 ZINC001035531087 751612617 /nfs/dbraw/zinc/61/26/17/751612617.db2.gz YYWRQHUJVHPYGV-CQSZACIVSA-N 1 2 304.365 1.588 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn([C@H](C)CC)c2C)C1 ZINC001035572924 751628069 /nfs/dbraw/zinc/62/80/69/751628069.db2.gz GCPZSNIYVVBRGI-HIFRSBDPSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnn([C@H](C)CC)c2C)C1 ZINC001035572924 751628073 /nfs/dbraw/zinc/62/80/73/751628073.db2.gz GCPZSNIYVVBRGI-HIFRSBDPSA-N 1 2 320.437 1.779 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn3c2CCCC3)C1 ZINC001035578430 751667549 /nfs/dbraw/zinc/66/75/49/751667549.db2.gz RKOFBWXGQGNLOT-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn3c2CCCC3)C1 ZINC001035578430 751667553 /nfs/dbraw/zinc/66/75/53/751667553.db2.gz RKOFBWXGQGNLOT-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn(C3CCC3)nn2)[C@H]1C ZINC001088572992 741850545 /nfs/dbraw/zinc/85/05/45/741850545.db2.gz TWBPXKUGNQDMDF-YPMHNXCESA-N 1 2 323.828 1.948 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn(C3CCC3)nn2)[C@H]1C ZINC001088572992 741850548 /nfs/dbraw/zinc/85/05/48/741850548.db2.gz TWBPXKUGNQDMDF-YPMHNXCESA-N 1 2 323.828 1.948 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)[C@H]3OCCO[C@H]3C)[C@@H]2C1 ZINC001075902981 741866678 /nfs/dbraw/zinc/86/66/78/741866678.db2.gz MXXOHNCSLWPMQO-FQUUOJAGSA-N 1 2 314.813 1.076 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)[C@H]3OCCO[C@H]3C)[C@@H]2C1 ZINC001075902981 741866683 /nfs/dbraw/zinc/86/66/83/741866683.db2.gz MXXOHNCSLWPMQO-FQUUOJAGSA-N 1 2 314.813 1.076 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001035589322 751683766 /nfs/dbraw/zinc/68/37/66/751683766.db2.gz KMRJWEGQJNWFNX-HZPDHXFCSA-N 1 2 315.417 1.190 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001035589322 751683767 /nfs/dbraw/zinc/68/37/67/751683767.db2.gz KMRJWEGQJNWFNX-HZPDHXFCSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3cccc(F)c3O2)C1 ZINC001035606343 751685156 /nfs/dbraw/zinc/68/51/56/751685156.db2.gz BOKWWRRYQUJALM-DZGCQCFKSA-N 1 2 320.364 1.132 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3cccc(F)c3O2)C1 ZINC001035606343 751685160 /nfs/dbraw/zinc/68/51/60/751685160.db2.gz BOKWWRRYQUJALM-DZGCQCFKSA-N 1 2 320.364 1.132 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(N(C)C)ccn2)C1 ZINC001035619365 751689802 /nfs/dbraw/zinc/68/98/02/751689802.db2.gz JHJMTOOQAZWFNN-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(N(C)C)ccn2)C1 ZINC001035619365 751689805 /nfs/dbraw/zinc/68/98/05/751689805.db2.gz JHJMTOOQAZWFNN-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(N(C)C)cn2)C1 ZINC001035622320 751692617 /nfs/dbraw/zinc/69/26/17/751692617.db2.gz UWIPAKAJGDGULO-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(N(C)C)cn2)C1 ZINC001035622320 751692622 /nfs/dbraw/zinc/69/26/22/751692622.db2.gz UWIPAKAJGDGULO-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(N(C)C)cn2)C1 ZINC001035622318 751693119 /nfs/dbraw/zinc/69/31/19/751693119.db2.gz UWIPAKAJGDGULO-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(N(C)C)cn2)C1 ZINC001035622318 751693125 /nfs/dbraw/zinc/69/31/25/751693125.db2.gz UWIPAKAJGDGULO-HNNXBMFYSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3oc(C)nc32)C1 ZINC001035625757 751696864 /nfs/dbraw/zinc/69/68/64/751696864.db2.gz QWKRNYWPXRBWAK-ZDUSSCGKSA-N 1 2 315.373 1.753 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3oc(C)nc32)C1 ZINC001035625757 751696870 /nfs/dbraw/zinc/69/68/70/751696870.db2.gz QWKRNYWPXRBWAK-ZDUSSCGKSA-N 1 2 315.373 1.753 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-n2cccn2)ccn1 ZINC001032624042 751698113 /nfs/dbraw/zinc/69/81/13/751698113.db2.gz WIQPJJBUZTTXRU-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-n2cccn2)ccn1 ZINC001032624042 751698116 /nfs/dbraw/zinc/69/81/16/751698116.db2.gz WIQPJJBUZTTXRU-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncccc2OCC)C1 ZINC001035632050 751704689 /nfs/dbraw/zinc/70/46/89/751704689.db2.gz XLBVBVHWERVUGG-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncccc2OCC)C1 ZINC001035632050 751704691 /nfs/dbraw/zinc/70/46/91/751704691.db2.gz XLBVBVHWERVUGG-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C(N)=O)cn2C)[C@H]1C ZINC001088707574 742223848 /nfs/dbraw/zinc/22/38/48/742223848.db2.gz AZCNHSCQXQUKBC-PWSUYJOCSA-N 1 2 324.812 1.069 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C(N)=O)cn2C)[C@H]1C ZINC001088707574 742223852 /nfs/dbraw/zinc/22/38/52/742223852.db2.gz AZCNHSCQXQUKBC-PWSUYJOCSA-N 1 2 324.812 1.069 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)cn1 ZINC001060093738 742495491 /nfs/dbraw/zinc/49/54/91/742495491.db2.gz NEXDDWURVUOPKX-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3ccc(-c4nnc[nH]4)cc3)[C@@H]2C1 ZINC001076425804 742726123 /nfs/dbraw/zinc/72/61/23/742726123.db2.gz PGBCLRIPIYHVQT-JKSUJKDBSA-N 1 2 321.384 1.251 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3ccc(-c4nnc[nH]4)cc3)[C@@H]2C1 ZINC001076425804 742726126 /nfs/dbraw/zinc/72/61/26/742726126.db2.gz PGBCLRIPIYHVQT-JKSUJKDBSA-N 1 2 321.384 1.251 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001108041223 742864256 /nfs/dbraw/zinc/86/42/56/742864256.db2.gz IHRGGUFUQDZKNC-GFCCVEGCSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001108041223 742864264 /nfs/dbraw/zinc/86/42/64/742864264.db2.gz IHRGGUFUQDZKNC-GFCCVEGCSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](O)c2ccc(OCCC)cc2)CC1 ZINC001180836290 742943821 /nfs/dbraw/zinc/94/38/21/742943821.db2.gz KHWLNYCRRZHWDU-KRWDZBQOSA-N 1 2 318.417 1.839 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2COCCN2CCCC)C1 ZINC001043473828 742964160 /nfs/dbraw/zinc/96/41/60/742964160.db2.gz PGCZBDGJSAFSGX-MRXNPFEDSA-N 1 2 309.454 1.206 20 30 DDEDLO C#CCC1(C(=O)NCC[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001076836412 743027923 /nfs/dbraw/zinc/02/79/23/743027923.db2.gz KZGIWXZCZRIAGG-ZDUSSCGKSA-N 1 2 316.405 1.157 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@@H](NC(=O)c3ccco3)C2)C1=O ZINC001006599693 751788655 /nfs/dbraw/zinc/78/86/55/751788655.db2.gz VLMURRALKDMIDW-KGLIPLIRSA-N 1 2 317.389 1.261 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@@H](NC(=O)c3ccco3)C2)C1=O ZINC001006599693 751788657 /nfs/dbraw/zinc/78/86/57/751788657.db2.gz VLMURRALKDMIDW-KGLIPLIRSA-N 1 2 317.389 1.261 20 30 DDEDLO CC(C)(Oc1ccc(C#N)cc1)C(=O)N1CCc2[nH+]ccn2CC1 ZINC001181202292 743103792 /nfs/dbraw/zinc/10/37/92/743103792.db2.gz SQGYKWYFTPQYEL-UHFFFAOYSA-N 1 2 324.384 1.997 20 30 DDEDLO C=C[C@H](O)C(=O)NCCc1cn(Cc2ccc(Cl)cc2)c[nH+]1 ZINC001181359829 743166783 /nfs/dbraw/zinc/16/67/83/743166783.db2.gz JMEVKCNNRLVSRT-HNNXBMFYSA-N 1 2 319.792 1.790 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001061095683 743187155 /nfs/dbraw/zinc/18/71/55/743187155.db2.gz NMABVAZCNPPIQK-CABCVRRESA-N 1 2 324.388 1.689 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NCC[NH2+]Cc1nc(COC)no1 ZINC001128208507 743286640 /nfs/dbraw/zinc/28/66/40/743286640.db2.gz DFOJWNMXZNHIQX-UHFFFAOYSA-N 1 2 316.789 1.201 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCN(CC(F)F)C2)C1 ZINC001043653524 743299607 /nfs/dbraw/zinc/29/96/07/743299607.db2.gz PXQLDSGHTXKOSE-ZDUSSCGKSA-N 1 2 315.408 1.682 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH2+]Cc2ncc(CC)o2)C1 ZINC001181890577 743380187 /nfs/dbraw/zinc/38/01/87/743380187.db2.gz LGLBGTFAYMLLAH-CQSZACIVSA-N 1 2 321.421 1.910 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001181898383 743383693 /nfs/dbraw/zinc/38/36/93/743383693.db2.gz RCENJUSFSOUDBF-GFCCVEGCSA-N 1 2 310.423 1.211 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc(-c3ccccc3)no2)[C@@H](O)C1 ZINC001083596790 743513113 /nfs/dbraw/zinc/51/31/13/743513113.db2.gz OSFJLPDULLVNOG-CABCVRRESA-N 1 2 313.357 1.303 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc(-c3ccccc3)no2)[C@@H](O)C1 ZINC001083596790 743513120 /nfs/dbraw/zinc/51/31/20/743513120.db2.gz OSFJLPDULLVNOG-CABCVRRESA-N 1 2 313.357 1.303 20 30 DDEDLO CC(C)C#CC(=O)NCc1cnn2c1C[N@H+](CC[C@H](C)F)CC2 ZINC001128346643 743634568 /nfs/dbraw/zinc/63/45/68/743634568.db2.gz AIJZBXZGRCJQHZ-AWEZNQCLSA-N 1 2 320.412 1.722 20 30 DDEDLO CC(C)C#CC(=O)NCc1cnn2c1C[N@@H+](CC[C@H](C)F)CC2 ZINC001128346643 743634570 /nfs/dbraw/zinc/63/45/70/743634570.db2.gz AIJZBXZGRCJQHZ-AWEZNQCLSA-N 1 2 320.412 1.722 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(CC3CC3)s2)C1 ZINC001077436537 743658892 /nfs/dbraw/zinc/65/88/92/743658892.db2.gz LIRFRGJPAVIZQN-CHWSQXEVSA-N 1 2 321.446 1.447 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(CC3CC3)s2)C1 ZINC001077436537 743658895 /nfs/dbraw/zinc/65/88/95/743658895.db2.gz LIRFRGJPAVIZQN-CHWSQXEVSA-N 1 2 321.446 1.447 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CCC(F)F)CC2)C1 ZINC001105717119 743755940 /nfs/dbraw/zinc/75/59/40/743755940.db2.gz FYBPFCWGUMRANJ-UHFFFAOYSA-N 1 2 302.365 1.910 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3ccn(C)n3)[C@@H]2C)C1 ZINC001088840225 743818281 /nfs/dbraw/zinc/81/82/81/743818281.db2.gz BEARRHMDTIBRLI-HIFRSBDPSA-N 1 2 302.422 1.855 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3ccn(C)n3)[C@@H]2C)C1 ZINC001088840225 743818284 /nfs/dbraw/zinc/81/82/84/743818284.db2.gz BEARRHMDTIBRLI-HIFRSBDPSA-N 1 2 302.422 1.855 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1=O ZINC001038619834 751870553 /nfs/dbraw/zinc/87/05/53/751870553.db2.gz KCPLXRGKIIPHBI-OTJKEOIZSA-N 1 2 317.433 1.010 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1=O ZINC001038619834 751870562 /nfs/dbraw/zinc/87/05/62/751870562.db2.gz KCPLXRGKIIPHBI-OTJKEOIZSA-N 1 2 317.433 1.010 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2ccc3c(c2)OCCCO3)C1 ZINC001030444587 744164078 /nfs/dbraw/zinc/16/40/78/744164078.db2.gz JYYZXOQRJJWCNY-UHFFFAOYSA-N 1 2 300.358 1.285 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001212187281 744272556 /nfs/dbraw/zinc/27/25/56/744272556.db2.gz OBPUIUKMSQXDNS-HUUCEWRRSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1OC ZINC001212187281 744272559 /nfs/dbraw/zinc/27/25/59/744272559.db2.gz OBPUIUKMSQXDNS-HUUCEWRRSA-N 1 2 305.378 1.102 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CCC[N@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001006841220 751907255 /nfs/dbraw/zinc/90/72/55/751907255.db2.gz AGVRKPMRBAPPKQ-MRXNPFEDSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001006841220 751907258 /nfs/dbraw/zinc/90/72/58/751907258.db2.gz AGVRKPMRBAPPKQ-MRXNPFEDSA-N 1 2 323.400 1.984 20 30 DDEDLO N#C[C@H]1C[C@H]1C(=O)N1Cc2c[nH+]cn2C[C@H](COCC2CC2)C1 ZINC001186976307 744481234 /nfs/dbraw/zinc/48/12/34/744481234.db2.gz YYIDZJNFPALHBA-IIAWOOMASA-N 1 2 314.389 1.428 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC[C@@H]1CCC(C)(C)CN1CC#N ZINC001099149032 744794847 /nfs/dbraw/zinc/79/48/47/744794847.db2.gz NQMIBADUFBDVPN-AWEZNQCLSA-N 1 2 317.437 1.483 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001189242990 744851996 /nfs/dbraw/zinc/85/19/96/744851996.db2.gz OHRVFPPMZFGYHS-CZUORRHYSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001189242990 744851998 /nfs/dbraw/zinc/85/19/98/744851998.db2.gz OHRVFPPMZFGYHS-CZUORRHYSA-N 1 2 321.421 1.997 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@@H]12 ZINC000992469816 744898528 /nfs/dbraw/zinc/89/85/28/744898528.db2.gz ZETIFAAYERMQEM-NVXWUHKLSA-N 1 2 309.373 1.318 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@@H]12 ZINC000992469816 744898532 /nfs/dbraw/zinc/89/85/32/744898532.db2.gz ZETIFAAYERMQEM-NVXWUHKLSA-N 1 2 309.373 1.318 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001190441741 745221363 /nfs/dbraw/zinc/22/13/63/745221363.db2.gz ZNYFXAUPVMUEKV-SOUVJXGZSA-N 1 2 319.405 1.607 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001190441741 745221371 /nfs/dbraw/zinc/22/13/71/745221371.db2.gz ZNYFXAUPVMUEKV-SOUVJXGZSA-N 1 2 319.405 1.607 20 30 DDEDLO C#CCCCNC(=O)c1ccc(C[NH+]2CCN(CC)CC2)cc1 ZINC001190858355 745357574 /nfs/dbraw/zinc/35/75/74/745357574.db2.gz YILRANUZCJFCTA-UHFFFAOYSA-N 1 2 313.445 1.967 20 30 DDEDLO C[C@H](CCNc1cccc(F)c1C#N)NC(=O)Cn1cc[nH+]c1 ZINC001106362921 745631078 /nfs/dbraw/zinc/63/10/78/745631078.db2.gz VTHTXXACXPPHDT-GFCCVEGCSA-N 1 2 315.352 1.901 20 30 DDEDLO Cc1nc(NCC[C@H](C)NC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001106363019 745631638 /nfs/dbraw/zinc/63/16/38/745631638.db2.gz WVNFJVVWVACVFO-LBPRGKRZSA-N 1 2 312.377 1.465 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1C[C@@H](O)[C@H](NC(=O)/C=C\C2CC2)C1 ZINC001192087718 745684769 /nfs/dbraw/zinc/68/47/69/745684769.db2.gz MRTNRHOHVSAMSR-PMKBXARWSA-N 1 2 311.385 1.186 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)/C=C\C2CC2)C1 ZINC001192087718 745684774 /nfs/dbraw/zinc/68/47/74/745684774.db2.gz MRTNRHOHVSAMSR-PMKBXARWSA-N 1 2 311.385 1.186 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H](C)CCNc2cc[nH+]c(C)n2)nn1 ZINC001106596731 745826012 /nfs/dbraw/zinc/82/60/12/745826012.db2.gz CFPNWRDRHUTCDI-NSHDSACASA-N 1 2 315.381 1.183 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC1C[NH+](C[C@H](CC)OC)C1 ZINC001031231516 745948884 /nfs/dbraw/zinc/94/88/84/745948884.db2.gz BYZOJFUWXXKSFZ-AWEZNQCLSA-N 1 2 319.405 1.485 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](C3CCOCC3)CC2)c1O ZINC001193643854 746160859 /nfs/dbraw/zinc/16/08/59/746160859.db2.gz FUJGNMWNJGWICL-UHFFFAOYSA-N 1 2 315.373 1.201 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@H]1C ZINC000993463401 746173534 /nfs/dbraw/zinc/17/35/34/746173534.db2.gz NBEWYJCVOPWSHF-MNOVXSKESA-N 1 2 312.801 1.759 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@H]1C ZINC000993463401 746173537 /nfs/dbraw/zinc/17/35/37/746173537.db2.gz NBEWYJCVOPWSHF-MNOVXSKESA-N 1 2 312.801 1.759 20 30 DDEDLO N#Cc1ccc(NC2CCC(NC(=O)Cn3cc[nH+]c3)CC2)nc1 ZINC001060597379 746193986 /nfs/dbraw/zinc/19/39/86/746193986.db2.gz DZBLHLFNUVSADC-UHFFFAOYSA-N 1 2 324.388 1.689 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001194397206 746367894 /nfs/dbraw/zinc/36/78/94/746367894.db2.gz QQAYMBLKRBFNBQ-JSGCOSHPSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001194397206 746367899 /nfs/dbraw/zinc/36/78/99/746367899.db2.gz QQAYMBLKRBFNBQ-JSGCOSHPSA-N 1 2 322.409 1.564 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CCC[N@H+](Cc3ccns3)C2)c[nH]1 ZINC001007156785 746386167 /nfs/dbraw/zinc/38/61/67/746386167.db2.gz PFEXTNKPWZSSBD-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccns3)C2)c[nH]1 ZINC001007156785 746386175 /nfs/dbraw/zinc/38/61/75/746386175.db2.gz PFEXTNKPWZSSBD-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2scnc2C)CC1 ZINC001194935903 746490477 /nfs/dbraw/zinc/49/04/77/746490477.db2.gz JARZKUPZWWOQKV-UHFFFAOYSA-N 1 2 307.419 1.249 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2scnc2C)CC1 ZINC001194935903 746490481 /nfs/dbraw/zinc/49/04/81/746490481.db2.gz JARZKUPZWWOQKV-UHFFFAOYSA-N 1 2 307.419 1.249 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](Cc2c(C)nnn2CC)CC1 ZINC001195191877 746541732 /nfs/dbraw/zinc/54/17/32/746541732.db2.gz PGPBCMIDTKYREA-UHFFFAOYSA-N 1 2 305.426 1.607 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](Cc2c(C)nnn2CC)CC1 ZINC001195191877 746541735 /nfs/dbraw/zinc/54/17/35/746541735.db2.gz PGPBCMIDTKYREA-UHFFFAOYSA-N 1 2 305.426 1.607 20 30 DDEDLO C#CCC[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001007344290 752105201 /nfs/dbraw/zinc/10/52/01/752105201.db2.gz OJXOUWZGXYPUPM-LSDHHAIUSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001007344290 752105203 /nfs/dbraw/zinc/10/52/03/752105203.db2.gz OJXOUWZGXYPUPM-LSDHHAIUSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@@H+](CC(=O)NCCCC)CC1 ZINC001195541474 746640674 /nfs/dbraw/zinc/64/06/74/746640674.db2.gz VTGRIBPIRXBEMN-UHFFFAOYSA-N 1 2 321.465 1.631 20 30 DDEDLO C#CCCCCC(=O)N1CCC[N@H+](CC(=O)NCCCC)CC1 ZINC001195541474 746640676 /nfs/dbraw/zinc/64/06/76/746640676.db2.gz VTGRIBPIRXBEMN-UHFFFAOYSA-N 1 2 321.465 1.631 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cnc(C)nc2)CC1 ZINC001195681230 746669988 /nfs/dbraw/zinc/66/99/88/746669988.db2.gz BJZMNKZWEBPGEZ-KRWDZBQOSA-N 1 2 318.421 1.146 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+](Cc2cnc(C)nc2)CC1 ZINC001195681230 746669991 /nfs/dbraw/zinc/66/99/91/746669991.db2.gz BJZMNKZWEBPGEZ-KRWDZBQOSA-N 1 2 318.421 1.146 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)CCC)CC1 ZINC001195681916 746670791 /nfs/dbraw/zinc/67/07/91/746670791.db2.gz LHJROSOPYVMGKO-MRXNPFEDSA-N 1 2 321.465 1.629 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)CCC)CC1 ZINC001195681916 746670794 /nfs/dbraw/zinc/67/07/94/746670794.db2.gz LHJROSOPYVMGKO-MRXNPFEDSA-N 1 2 321.465 1.629 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754438 746687507 /nfs/dbraw/zinc/68/75/07/746687507.db2.gz NQTYWHZTUCTNIT-HUUCEWRRSA-N 1 2 319.449 1.237 20 30 DDEDLO CC[C@@H](C)NC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754438 746687509 /nfs/dbraw/zinc/68/75/09/746687509.db2.gz NQTYWHZTUCTNIT-HUUCEWRRSA-N 1 2 319.449 1.237 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cncs3)C[C@H]2O)CC1 ZINC001195905797 746733894 /nfs/dbraw/zinc/73/38/94/746733894.db2.gz CROSANOEMFXRDM-ZIAGYGMSSA-N 1 2 321.446 1.551 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3cncs3)C[C@H]2O)CC1 ZINC001195905797 746733896 /nfs/dbraw/zinc/73/38/96/746733896.db2.gz CROSANOEMFXRDM-ZIAGYGMSSA-N 1 2 321.446 1.551 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](CC(=O)N[C@@H](C)C2CC2)CC1 ZINC001196017974 746757970 /nfs/dbraw/zinc/75/79/70/746757970.db2.gz FOJZSWRQUXLCPA-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](CC(=O)N[C@@H](C)C2CC2)CC1 ZINC001196017974 746757975 /nfs/dbraw/zinc/75/79/75/746757975.db2.gz FOJZSWRQUXLCPA-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1cnc(C#N)cn1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089381401 746761797 /nfs/dbraw/zinc/76/17/97/746761797.db2.gz RWEFUPILECFIIK-QWRGUYRKSA-N 1 2 313.365 1.009 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cccnc2)C[C@H]1NC(=O)C#CC(C)C ZINC001212231141 746825014 /nfs/dbraw/zinc/82/50/14/746825014.db2.gz NPRUQYUVVABWSJ-HZPDHXFCSA-N 1 2 301.390 1.056 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cccnc2)C[C@H]1NC(=O)C#CC(C)C ZINC001212231141 746825022 /nfs/dbraw/zinc/82/50/22/746825022.db2.gz NPRUQYUVVABWSJ-HZPDHXFCSA-N 1 2 301.390 1.056 20 30 DDEDLO CCc1nocc1C[N@@H+]1C[C@@H](NC(=O)C#CC(C)C)[C@H](OC)C1 ZINC001212234221 746894740 /nfs/dbraw/zinc/89/47/40/746894740.db2.gz RIAJPWWKOKMYIV-HZPDHXFCSA-N 1 2 319.405 1.212 20 30 DDEDLO CCc1nocc1C[N@H+]1C[C@@H](NC(=O)C#CC(C)C)[C@H](OC)C1 ZINC001212234221 746894747 /nfs/dbraw/zinc/89/47/47/746894747.db2.gz RIAJPWWKOKMYIV-HZPDHXFCSA-N 1 2 319.405 1.212 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1ccccn1 ZINC001031506747 746917230 /nfs/dbraw/zinc/91/72/30/746917230.db2.gz UCGONCMQDOTFOT-UHFFFAOYSA-N 1 2 305.381 1.795 20 30 DDEDLO C=CC[NH+]1CCN(C(=S)Nc2ccc(C(=O)OC)cc2)CC1 ZINC001196742591 746955134 /nfs/dbraw/zinc/95/51/34/746955134.db2.gz BOBGERQRSOHZQC-UHFFFAOYSA-N 1 2 319.430 1.974 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001196832032 746976148 /nfs/dbraw/zinc/97/61/48/746976148.db2.gz VSABWJPJQUXXAB-JKSUJKDBSA-N 1 2 323.481 1.751 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001196832032 746976152 /nfs/dbraw/zinc/97/61/52/746976152.db2.gz VSABWJPJQUXXAB-JKSUJKDBSA-N 1 2 323.481 1.751 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](Cc2c(C)nnn2CC)CC1 ZINC001196984778 747024544 /nfs/dbraw/zinc/02/45/44/747024544.db2.gz PHODXBDYDQXAMR-UHFFFAOYSA-N 1 2 319.453 1.997 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](Cc2c(C)nnn2CC)CC1 ZINC001196984778 747024551 /nfs/dbraw/zinc/02/45/51/747024551.db2.gz PHODXBDYDQXAMR-UHFFFAOYSA-N 1 2 319.453 1.997 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001197062609 747051571 /nfs/dbraw/zinc/05/15/71/747051571.db2.gz UUIHWNXPLULEHA-GOSISDBHSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001197062609 747051578 /nfs/dbraw/zinc/05/15/78/747051578.db2.gz UUIHWNXPLULEHA-GOSISDBHSA-N 1 2 321.465 1.649 20 30 DDEDLO CCO[C@@H]1C[C@@H]1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031567090 747054068 /nfs/dbraw/zinc/05/40/68/747054068.db2.gz XMMXDNWSINODSD-DLBZAZTESA-N 1 2 313.401 1.531 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)[C@H]3CCOC3)C2)cc1F ZINC001031570673 747065815 /nfs/dbraw/zinc/06/58/15/747065815.db2.gz VFGVIVQNJDIYJK-HNNXBMFYSA-N 1 2 317.364 1.282 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+]([C@H](C)C(=O)NCCC)CC2)C1 ZINC001197102727 747067346 /nfs/dbraw/zinc/06/73/46/747067346.db2.gz XBJYZPYFHWLWGN-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+]([C@H](C)C(=O)NCCC)CC2)C1 ZINC001197102727 747067351 /nfs/dbraw/zinc/06/73/51/747067351.db2.gz XBJYZPYFHWLWGN-OAHLLOKOSA-N 1 2 321.465 1.792 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@@H](NC(=O)C3CC3)[C@H](O)C2)c1 ZINC001089995228 747098651 /nfs/dbraw/zinc/09/86/51/747098651.db2.gz ZZJRHHSDIBAVPU-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@@H](NC(=O)C3CC3)[C@H](O)C2)c1 ZINC001089995228 747098657 /nfs/dbraw/zinc/09/86/57/747098657.db2.gz ZZJRHHSDIBAVPU-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)CC(C)C)CC1 ZINC001197596420 747216085 /nfs/dbraw/zinc/21/60/85/747216085.db2.gz HBODVALCXABQAN-HNNXBMFYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)CC(C)C)CC1 ZINC001197596420 747216088 /nfs/dbraw/zinc/21/60/88/747216088.db2.gz HBODVALCXABQAN-HNNXBMFYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCCCC(N)=O)CC1 ZINC001197729733 747252074 /nfs/dbraw/zinc/25/20/74/747252074.db2.gz IUZYPHPLSJPODE-UHFFFAOYSA-N 1 2 301.818 1.319 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCCCC(N)=O)CC1 ZINC001197729733 747252078 /nfs/dbraw/zinc/25/20/78/747252078.db2.gz IUZYPHPLSJPODE-UHFFFAOYSA-N 1 2 301.818 1.319 20 30 DDEDLO Cc1cc(C)c(C(=O)NCC2CN(C(=O)[C@H](C)C#N)C2)c(C)[nH+]1 ZINC001003603202 747320836 /nfs/dbraw/zinc/32/08/36/747320836.db2.gz YZSIQADMRXVEBR-LLVKDONJSA-N 1 2 314.389 1.355 20 30 DDEDLO Cc1ccc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)nn1 ZINC001031658983 747332677 /nfs/dbraw/zinc/33/26/77/747332677.db2.gz GKBUQCABXNZVEC-UHFFFAOYSA-N 1 2 320.396 1.498 20 30 DDEDLO CCc1noc(C)c1C(=O)N1CCC[N@H+](CC#CCOC)CC1 ZINC001198085354 747362441 /nfs/dbraw/zinc/36/24/41/747362441.db2.gz ALPAWVHMFMCOFO-UHFFFAOYSA-N 1 2 319.405 1.343 20 30 DDEDLO CCc1noc(C)c1C(=O)N1CCC[N@@H+](CC#CCOC)CC1 ZINC001198085354 747362447 /nfs/dbraw/zinc/36/24/47/747362447.db2.gz ALPAWVHMFMCOFO-UHFFFAOYSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ccc3c(c2)NC(=O)C3)C1 ZINC001031691345 747389017 /nfs/dbraw/zinc/38/90/17/747389017.db2.gz ZUGCPURXERWKTK-UHFFFAOYSA-N 1 2 319.792 1.595 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(NCC(C)C)ncn2)CC1 ZINC001199442715 747853532 /nfs/dbraw/zinc/85/35/32/747853532.db2.gz UCZXCQHAJGTJFM-UHFFFAOYSA-N 1 2 303.410 1.488 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3ccon3)CC2)cn1 ZINC001003983940 747876416 /nfs/dbraw/zinc/87/64/16/747876416.db2.gz VLYARBRYDOVMQY-UHFFFAOYSA-N 1 2 310.357 1.445 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnn(CC3CCOCC3)c2)CC1 ZINC001199567454 747898246 /nfs/dbraw/zinc/89/82/46/747898246.db2.gz ZBKITCOVLWSPBP-UHFFFAOYSA-N 1 2 318.421 1.254 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](N(C)C(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001033018820 747927518 /nfs/dbraw/zinc/92/75/18/747927518.db2.gz DAOJAFRLLOIMKC-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](N(C)C(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001033018820 747927522 /nfs/dbraw/zinc/92/75/22/747927522.db2.gz DAOJAFRLLOIMKC-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2c(Cl)cnn2C)C1 ZINC001033083829 748221234 /nfs/dbraw/zinc/22/12/34/748221234.db2.gz AKLMKWUNOJCUFG-SNVBAGLBSA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2c(Cl)cnn2C)C1 ZINC001033083829 748221239 /nfs/dbraw/zinc/22/12/39/748221239.db2.gz AKLMKWUNOJCUFG-SNVBAGLBSA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCc3cccnc32)C1 ZINC001031929358 748231658 /nfs/dbraw/zinc/23/16/58/748231658.db2.gz GYVJRCCWMOSCAC-AWEZNQCLSA-N 1 2 305.809 1.912 20 30 DDEDLO C=C1CCC(C(=O)NCC2C[NH+](Cc3cncn3C)C2)CC1 ZINC001031952114 748283299 /nfs/dbraw/zinc/28/32/99/748283299.db2.gz YXTORSYEAMXEER-UHFFFAOYSA-N 1 2 302.422 1.715 20 30 DDEDLO C=C(C)CCC(=O)N1CCCO[C@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001200627307 748295183 /nfs/dbraw/zinc/29/51/83/748295183.db2.gz IKSKMGBGQXPTQI-CQSZACIVSA-N 1 2 322.409 1.441 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2cnsn2)CC1 ZINC001004348750 748340531 /nfs/dbraw/zinc/34/05/31/748340531.db2.gz FOISOTCILUCGPG-ZDUSSCGKSA-N 1 2 305.407 1.378 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2cnsn2)CC1 ZINC001004348750 748340537 /nfs/dbraw/zinc/34/05/37/748340537.db2.gz FOISOTCILUCGPG-ZDUSSCGKSA-N 1 2 305.407 1.378 20 30 DDEDLO Cc1nc(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)c[nH]1 ZINC001004394051 748397310 /nfs/dbraw/zinc/39/73/10/748397310.db2.gz FPBSWFKMGXTIGC-OAHLLOKOSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1nc(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)c[nH]1 ZINC001004394051 748397315 /nfs/dbraw/zinc/39/73/15/748397315.db2.gz FPBSWFKMGXTIGC-OAHLLOKOSA-N 1 2 301.394 1.558 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CCC(NCC#N)CC1)n1cc[nH+]c1 ZINC001201174153 748427615 /nfs/dbraw/zinc/42/76/15/748427615.db2.gz FQYVAKFNYKVXBQ-HNNXBMFYSA-N 1 2 303.410 1.574 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cncc(CC)c2)C1 ZINC001108074382 748457698 /nfs/dbraw/zinc/45/76/98/748457698.db2.gz IHMYDFXKJKPIEZ-KRWDZBQOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cncc(CC)c2)C1 ZINC001108074382 748457702 /nfs/dbraw/zinc/45/77/02/748457702.db2.gz IHMYDFXKJKPIEZ-KRWDZBQOSA-N 1 2 303.406 1.651 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)CC2=CCOCC2)CC1 ZINC001004527534 748517271 /nfs/dbraw/zinc/51/72/71/748517271.db2.gz FSCVRBXVLLKRBT-KRWDZBQOSA-N 1 2 317.433 1.950 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)CC2=CCOCC2)CC1 ZINC001004527534 748517274 /nfs/dbraw/zinc/51/72/74/748517274.db2.gz FSCVRBXVLLKRBT-KRWDZBQOSA-N 1 2 317.433 1.950 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2c(OC)cc[nH]c2=O)C1 ZINC001032132931 748724921 /nfs/dbraw/zinc/72/49/21/748724921.db2.gz POMIQIRQFWZECO-UHFFFAOYSA-N 1 2 311.769 1.210 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2CN(C(=O)[C@H](C)C#N)CC2(C)C)o1 ZINC000995577548 748954899 /nfs/dbraw/zinc/95/48/99/748954899.db2.gz CSZWOJQMKRIFFB-GHMZBOCLSA-N 1 2 305.382 1.118 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114336059 749083087 /nfs/dbraw/zinc/08/30/87/749083087.db2.gz HUCDTCCFJHHSHT-PJXYFTJBSA-N 1 2 324.812 1.703 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114336059 749083094 /nfs/dbraw/zinc/08/30/94/749083094.db2.gz HUCDTCCFJHHSHT-PJXYFTJBSA-N 1 2 324.812 1.703 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnc(Cl)n2C)C1 ZINC001033263247 749091040 /nfs/dbraw/zinc/09/10/40/749091040.db2.gz PWHFMBKPNAHEBU-SNVBAGLBSA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc(Cl)n2C)C1 ZINC001033263247 749091045 /nfs/dbraw/zinc/09/10/45/749091045.db2.gz PWHFMBKPNAHEBU-SNVBAGLBSA-N 1 2 317.220 1.972 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C[C@H]3C=CCC3)nn2)C1 ZINC001107124911 749172173 /nfs/dbraw/zinc/17/21/73/749172173.db2.gz ALURVHISEXTBEJ-AWEZNQCLSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001033319455 749179303 /nfs/dbraw/zinc/17/93/03/749179303.db2.gz DVYRWJVUJHDZPZ-QWHCGFSZSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001033319455 749179307 /nfs/dbraw/zinc/17/93/07/749179307.db2.gz DVYRWJVUJHDZPZ-QWHCGFSZSA-N 1 2 313.829 1.283 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@@H]2CC[N@H+](Cc3ccn(C)n3)C2)C1 ZINC001033394892 749285383 /nfs/dbraw/zinc/28/53/83/749285383.db2.gz AJCOKFTWPPSVBI-OAHLLOKOSA-N 1 2 302.422 1.809 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3ccn(C)n3)C2)C1 ZINC001033394892 749285386 /nfs/dbraw/zinc/28/53/86/749285386.db2.gz AJCOKFTWPPSVBI-OAHLLOKOSA-N 1 2 302.422 1.809 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnn(C(C)C)c2)C1 ZINC001108339060 761941258 /nfs/dbraw/zinc/94/12/58/761941258.db2.gz QKVZLIPAUJSPOR-MRXNPFEDSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnn(C(C)C)c2)C1 ZINC001108339060 761941262 /nfs/dbraw/zinc/94/12/62/761941262.db2.gz QKVZLIPAUJSPOR-MRXNPFEDSA-N 1 2 306.410 1.471 20 30 DDEDLO C#CCCCC(=O)NCc1cn(C2C[NH+](CC=C(C)C)C2)nn1 ZINC001107164715 749451122 /nfs/dbraw/zinc/45/11/22/749451122.db2.gz GDUQEZWPCYPQCS-UHFFFAOYSA-N 1 2 315.421 1.521 20 30 DDEDLO C=CCCCC(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107200048 749568925 /nfs/dbraw/zinc/56/89/25/749568925.db2.gz VIQPZOVLVLAMSW-UHFFFAOYSA-N 1 2 303.410 1.683 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2cc(=O)c3ccccc3o2)C1 ZINC001033631472 749635028 /nfs/dbraw/zinc/63/50/28/749635028.db2.gz RULHHBYGVKEOKY-ZDUSSCGKSA-N 1 2 310.353 1.573 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2cc(=O)c3ccccc3o2)C1 ZINC001033631472 749635031 /nfs/dbraw/zinc/63/50/31/749635031.db2.gz RULHHBYGVKEOKY-ZDUSSCGKSA-N 1 2 310.353 1.573 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2c(C)n[nH]c2C)C1 ZINC001033654667 749656949 /nfs/dbraw/zinc/65/69/49/749656949.db2.gz BKILEWTXELUMSV-CYBMUJFWSA-N 1 2 310.829 1.854 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2c(C)n[nH]c2C)C1 ZINC001033654667 749656952 /nfs/dbraw/zinc/65/69/52/749656952.db2.gz BKILEWTXELUMSV-CYBMUJFWSA-N 1 2 310.829 1.854 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C(C3CC3)C3CC3)nn2)C1 ZINC001107229774 749667728 /nfs/dbraw/zinc/66/77/28/749667728.db2.gz RFMAYDRHFRSCFP-UHFFFAOYSA-N 1 2 315.421 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001033687916 749720081 /nfs/dbraw/zinc/72/00/81/749720081.db2.gz GNGFYURTHNBCQQ-QWHCGFSZSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001033687916 749720084 /nfs/dbraw/zinc/72/00/84/749720084.db2.gz GNGFYURTHNBCQQ-QWHCGFSZSA-N 1 2 313.829 1.140 20 30 DDEDLO Cn1nccc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(C#N)c1 ZINC001032309818 749833141 /nfs/dbraw/zinc/83/31/41/749833141.db2.gz ZLYYKPOJRGSKAF-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO Cn1nccc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(C#N)c1 ZINC001032309818 749833144 /nfs/dbraw/zinc/83/31/44/749833144.db2.gz ZLYYKPOJRGSKAF-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO C=CCCOCC(=O)NC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095417866 749879821 /nfs/dbraw/zinc/87/98/21/749879821.db2.gz GYARCSIPIJYJGI-UHFFFAOYSA-N 1 2 304.394 1.463 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095418514 749880750 /nfs/dbraw/zinc/88/07/50/749880750.db2.gz NQGYFFJWKRAIDQ-GFCCVEGCSA-N 1 2 304.394 1.461 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(N(C)C)cc2)C1 ZINC001108364009 761994689 /nfs/dbraw/zinc/99/46/89/761994689.db2.gz KDMYVXZPQXWRCW-GOSISDBHSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(N(C)C)cc2)C1 ZINC001108364009 761994694 /nfs/dbraw/zinc/99/46/94/761994694.db2.gz KDMYVXZPQXWRCW-GOSISDBHSA-N 1 2 317.433 1.759 20 30 DDEDLO N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001039396155 761995511 /nfs/dbraw/zinc/99/55/11/761995511.db2.gz CVPCXULGERIJFV-KFWWJZLASA-N 1 2 313.405 1.034 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(F)ccc(F)c2F)C1 ZINC001077594170 749975235 /nfs/dbraw/zinc/97/52/35/749975235.db2.gz WUOICZJRPZGDSG-GHMZBOCLSA-N 1 2 300.280 1.065 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(F)ccc(F)c2F)C1 ZINC001077594170 749975238 /nfs/dbraw/zinc/97/52/38/749975238.db2.gz WUOICZJRPZGDSG-GHMZBOCLSA-N 1 2 300.280 1.065 20 30 DDEDLO N#Cc1cc(C[NH2+]CCNC(=O)C(F)C(F)(F)F)ccc1F ZINC001127778999 749993500 /nfs/dbraw/zinc/99/35/00/749993500.db2.gz IIPFYWFGZMQAQS-NSHDSACASA-N 1 2 321.249 1.804 20 30 DDEDLO N#Cc1cc(C[NH2+]CCNC(=O)[C@H](F)C(F)(F)F)ccc1F ZINC001127778999 749993505 /nfs/dbraw/zinc/99/35/05/749993505.db2.gz IIPFYWFGZMQAQS-NSHDSACASA-N 1 2 321.249 1.804 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnc(C)o1)C2 ZINC001095527436 750009792 /nfs/dbraw/zinc/00/97/92/750009792.db2.gz YRHVWWRAVVLSPD-XBFCOCLRSA-N 1 2 309.797 2.000 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnc(C)o1)C2 ZINC001095527436 750009800 /nfs/dbraw/zinc/00/98/00/750009800.db2.gz YRHVWWRAVVLSPD-XBFCOCLRSA-N 1 2 309.797 2.000 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001033882282 750049492 /nfs/dbraw/zinc/04/94/92/750049492.db2.gz PYWQYNRABDBHSS-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001033882282 750049498 /nfs/dbraw/zinc/04/94/98/750049498.db2.gz PYWQYNRABDBHSS-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N[C@H]1C[C@H]3CC[C@@H]1N3CC#N)C2 ZINC001095647084 750108445 /nfs/dbraw/zinc/10/84/45/750108445.db2.gz DCGOQLDFWVMHJX-MPTQWLOMSA-N 1 2 313.405 1.068 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N[C@H]1C[C@H]3CC[C@@H]1N3CC#N)CC2 ZINC001095647084 750108451 /nfs/dbraw/zinc/10/84/51/750108451.db2.gz DCGOQLDFWVMHJX-MPTQWLOMSA-N 1 2 313.405 1.068 20 30 DDEDLO Cc1nc(NC/C=C/CNC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001107539345 750112816 /nfs/dbraw/zinc/11/28/16/750112816.db2.gz KSJDSNASWXYNDF-NSCUHMNNSA-N 1 2 310.361 1.312 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCC=CCNc1ccc(C#N)nc1 ZINC001107549120 750122053 /nfs/dbraw/zinc/12/20/53/750122053.db2.gz GVCJTOSGBWWWDC-ZRMMWKCHSA-N 1 2 324.388 1.639 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCC=CCNc1ccc(C#N)nc1 ZINC001107549120 750122056 /nfs/dbraw/zinc/12/20/56/750122056.db2.gz GVCJTOSGBWWWDC-ZRMMWKCHSA-N 1 2 324.388 1.639 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCCC ZINC001110897207 750185481 /nfs/dbraw/zinc/18/54/81/750185481.db2.gz FRICEAUSYDLYIO-KFWWJZLASA-N 1 2 321.465 1.836 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCCC ZINC001110897207 750185486 /nfs/dbraw/zinc/18/54/86/750185486.db2.gz FRICEAUSYDLYIO-KFWWJZLASA-N 1 2 321.465 1.836 20 30 DDEDLO O=C(NCC[NH2+]CCOCC(F)(F)F)C(F)C(F)(F)F ZINC001127811030 750252335 /nfs/dbraw/zinc/25/23/35/750252335.db2.gz WZWPUVIQXDEDBX-ZCFIWIBFSA-N 1 2 314.201 1.172 20 30 DDEDLO O=C(NCC[NH2+]CCOCC(F)(F)F)[C@@H](F)C(F)(F)F ZINC001127811030 750252339 /nfs/dbraw/zinc/25/23/39/750252339.db2.gz WZWPUVIQXDEDBX-ZCFIWIBFSA-N 1 2 314.201 1.172 20 30 DDEDLO C#CCC[N@H+]1CC[C@@]2(CNC(=O)Cc3[nH]c[nH+]c3C)CCC[C@@H]12 ZINC001107621864 750261385 /nfs/dbraw/zinc/26/13/85/750261385.db2.gz ZMBSIASNUULZDJ-SJLPKXTDSA-N 1 2 314.433 1.645 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@H]1CC)C2 ZINC001111041754 750328092 /nfs/dbraw/zinc/32/80/92/750328092.db2.gz RECPKKXVJSEAIB-RYPNDVFKSA-N 1 2 305.422 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@H]1CC)C2 ZINC001111041754 750328098 /nfs/dbraw/zinc/32/80/98/750328098.db2.gz RECPKKXVJSEAIB-RYPNDVFKSA-N 1 2 305.422 1.056 20 30 DDEDLO C=C(Cl)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1c[nH+]cn1C)C2 ZINC001111340553 750489926 /nfs/dbraw/zinc/48/99/26/750489926.db2.gz AYILFAJHVCIQKW-YUELXQCFSA-N 1 2 322.840 1.827 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2cccnc2n1 ZINC001032415908 750688931 /nfs/dbraw/zinc/68/89/31/750688931.db2.gz PFVYHHDNAKKZIK-GJZGRUSLSA-N 1 2 306.369 1.552 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2cccnc2n1 ZINC001032415908 750688933 /nfs/dbraw/zinc/68/89/33/750688933.db2.gz PFVYHHDNAKKZIK-GJZGRUSLSA-N 1 2 306.369 1.552 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCC(=O)N1C)C2 ZINC001095882439 750719608 /nfs/dbraw/zinc/71/96/08/750719608.db2.gz HIINCMYJDLRFMM-VOAKCMCISA-N 1 2 311.813 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCC(=O)N1C)C2 ZINC001095882439 750719613 /nfs/dbraw/zinc/71/96/13/750719613.db2.gz HIINCMYJDLRFMM-VOAKCMCISA-N 1 2 311.813 1.081 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)[C@H]2CCCCO2)C1 ZINC001107950124 750815408 /nfs/dbraw/zinc/81/54/08/750815408.db2.gz YMEWSBOBNCIKOB-QAPCUYQASA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)[C@H]2CCCCO2)C1 ZINC001107950124 750815414 /nfs/dbraw/zinc/81/54/14/750815414.db2.gz YMEWSBOBNCIKOB-QAPCUYQASA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCOCC(=O)N1CCO[C@H]([C@@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001114634178 750897081 /nfs/dbraw/zinc/89/70/81/750897081.db2.gz MALZTZLRAUVMAD-OCCSQVGLSA-N 1 2 320.393 1.015 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(OC)cccc1OC ZINC001032502409 751101101 /nfs/dbraw/zinc/10/11/01/751101101.db2.gz SCEUFFZNWXZVFT-KBPBESRZSA-N 1 2 314.385 1.165 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(OC)cccc1OC ZINC001032502409 751101103 /nfs/dbraw/zinc/10/11/03/751101103.db2.gz SCEUFFZNWXZVFT-KBPBESRZSA-N 1 2 314.385 1.165 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc2ncccc12 ZINC001032516428 751169006 /nfs/dbraw/zinc/16/90/06/751169006.db2.gz XLDZOGFFQPOLQO-HOTGVXAUSA-N 1 2 305.381 1.696 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc2ncccc12 ZINC001032516428 751169008 /nfs/dbraw/zinc/16/90/08/751169008.db2.gz XLDZOGFFQPOLQO-HOTGVXAUSA-N 1 2 305.381 1.696 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)F)nn1C ZINC001032517746 751174352 /nfs/dbraw/zinc/17/43/52/751174352.db2.gz GEETUNGDHVJCMM-QWRGUYRKSA-N 1 2 308.332 1.280 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)F)nn1C ZINC001032517746 751174356 /nfs/dbraw/zinc/17/43/56/751174356.db2.gz GEETUNGDHVJCMM-QWRGUYRKSA-N 1 2 308.332 1.280 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1COc2ccc(Cl)cc21 ZINC001032522115 751187199 /nfs/dbraw/zinc/18/71/99/751187199.db2.gz WASVKXWJGUUHCB-YDHLFZDLSA-N 1 2 316.788 1.734 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1COc2ccc(Cl)cc21 ZINC001032522115 751187200 /nfs/dbraw/zinc/18/72/00/751187200.db2.gz WASVKXWJGUUHCB-YDHLFZDLSA-N 1 2 316.788 1.734 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NC[C@@]1(C)C[N@H+](CC#CC)CCO1 ZINC001107971734 751256462 /nfs/dbraw/zinc/25/64/62/751256462.db2.gz XHGFUGPUALMRIT-INIZCTEOSA-N 1 2 312.841 1.996 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NC[C@@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001107971734 751256463 /nfs/dbraw/zinc/25/64/63/751256463.db2.gz XHGFUGPUALMRIT-INIZCTEOSA-N 1 2 312.841 1.996 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[C@H]([NH2+]Cc3cnsn3)C2)[nH]1 ZINC000998963357 752390687 /nfs/dbraw/zinc/39/06/87/752390687.db2.gz WOASRRIUJCENHW-NSHDSACASA-N 1 2 316.390 1.132 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001008005111 752493074 /nfs/dbraw/zinc/49/30/74/752493074.db2.gz YRVVKBAPPNWFDH-DEPYFDJDSA-N 1 2 310.825 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001008005111 752493077 /nfs/dbraw/zinc/49/30/77/752493077.db2.gz YRVVKBAPPNWFDH-DEPYFDJDSA-N 1 2 310.825 1.743 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)C2)C1 ZINC000999261138 752741035 /nfs/dbraw/zinc/74/10/35/752741035.db2.gz AZLSMDGCIGVMFA-UHFFFAOYSA-N 1 2 324.384 1.860 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001008549506 752794536 /nfs/dbraw/zinc/79/45/36/752794536.db2.gz GZKDKVUPJRZZNN-FRRDWIJNSA-N 1 2 313.829 1.221 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001008549506 752794539 /nfs/dbraw/zinc/79/45/39/752794539.db2.gz GZKDKVUPJRZZNN-FRRDWIJNSA-N 1 2 313.829 1.221 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCCCCOCC)C1 ZINC001108432193 762159182 /nfs/dbraw/zinc/15/91/82/762159182.db2.gz DPCZSEXIICSNNP-QGZVFWFLSA-N 1 2 312.454 1.976 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCCCCOCC)C1 ZINC001108432193 762159188 /nfs/dbraw/zinc/15/91/88/762159188.db2.gz DPCZSEXIICSNNP-QGZVFWFLSA-N 1 2 312.454 1.976 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC000999898355 753210715 /nfs/dbraw/zinc/21/07/15/753210715.db2.gz IHACUCZPCRRZRX-GFCCVEGCSA-N 1 2 306.410 1.925 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCc2sccc2C1)C1CC(NCC#N)C1 ZINC000999869101 753219407 /nfs/dbraw/zinc/21/94/07/753219407.db2.gz ATHZWESSZVIPPC-UHFFFAOYSA-N 1 2 318.446 1.209 20 30 DDEDLO CN(C(=O)C[N@H+]1CCc2sccc2C1)C1CC(NCC#N)C1 ZINC000999869101 753219410 /nfs/dbraw/zinc/21/94/10/753219410.db2.gz ATHZWESSZVIPPC-UHFFFAOYSA-N 1 2 318.446 1.209 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001108168955 753301618 /nfs/dbraw/zinc/30/16/18/753301618.db2.gz IZPYWMNWUDNONN-FUHWJXTLSA-N 1 2 300.402 1.719 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001108168955 753301620 /nfs/dbraw/zinc/30/16/20/753301620.db2.gz IZPYWMNWUDNONN-FUHWJXTLSA-N 1 2 300.402 1.719 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001128088003 753365270 /nfs/dbraw/zinc/36/52/70/753365270.db2.gz HSCBPQWZYJQNLG-UHFFFAOYSA-N 1 2 324.450 1.911 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1OCCc2ccsc21 ZINC001032739589 753411288 /nfs/dbraw/zinc/41/12/88/753411288.db2.gz HZVNRKMDMBVGRG-KKUMJFAQSA-N 1 2 316.426 1.670 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1OCCc2ccsc21 ZINC001032739589 753411293 /nfs/dbraw/zinc/41/12/93/753411293.db2.gz HZVNRKMDMBVGRG-KKUMJFAQSA-N 1 2 316.426 1.670 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001077926943 753433250 /nfs/dbraw/zinc/43/32/50/753433250.db2.gz HWLLKRQOPUANPH-STQMWFEESA-N 1 2 306.410 1.566 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cnccn3)C2)s1 ZINC001009968443 753510600 /nfs/dbraw/zinc/51/06/00/753510600.db2.gz PKVPJPJVHGNXRO-NSHDSACASA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cnccn3)C2)s1 ZINC001009968443 753510604 /nfs/dbraw/zinc/51/06/04/753510604.db2.gz PKVPJPJVHGNXRO-NSHDSACASA-N 1 2 313.386 1.414 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001077968406 753526399 /nfs/dbraw/zinc/52/63/99/753526399.db2.gz NLIOOPSHUDIYJC-HBNTYKKESA-N 1 2 312.822 1.300 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001077968406 753526403 /nfs/dbraw/zinc/52/64/03/753526403.db2.gz NLIOOPSHUDIYJC-HBNTYKKESA-N 1 2 312.822 1.300 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)NC ZINC001039821568 762230803 /nfs/dbraw/zinc/23/08/03/762230803.db2.gz TZLCVXFEKHKFEX-MELADBBJSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H](C)C(=O)NC ZINC001039821568 762230807 /nfs/dbraw/zinc/23/08/07/762230807.db2.gz TZLCVXFEKHKFEX-MELADBBJSA-N 1 2 307.438 1.398 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(C#N)s3)C2)on1 ZINC001010156777 753646146 /nfs/dbraw/zinc/64/61/46/753646146.db2.gz YOZGSTKBMFBSRL-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1cc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(C#N)s3)C2)on1 ZINC001010156777 753646149 /nfs/dbraw/zinc/64/61/49/753646149.db2.gz YOZGSTKBMFBSRL-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1ccn[nH]1 ZINC001010286710 753734490 /nfs/dbraw/zinc/73/44/90/753734490.db2.gz MAFFUNIIMWHCBU-OAHLLOKOSA-N 1 2 312.348 1.405 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1ccn[nH]1 ZINC001010286710 753734494 /nfs/dbraw/zinc/73/44/94/753734494.db2.gz MAFFUNIIMWHCBU-OAHLLOKOSA-N 1 2 312.348 1.405 20 30 DDEDLO Cc1nn(C)cc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001010378702 753835801 /nfs/dbraw/zinc/83/58/01/753835801.db2.gz RUNCYDVHWOZCSC-QGZVFWFLSA-N 1 2 322.412 1.584 20 30 DDEDLO Cc1nn(C)cc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001010378702 753835804 /nfs/dbraw/zinc/83/58/04/753835804.db2.gz RUNCYDVHWOZCSC-QGZVFWFLSA-N 1 2 322.412 1.584 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(C(C)(C)C)s2)C1 ZINC001078235281 753871015 /nfs/dbraw/zinc/87/10/15/753871015.db2.gz MGFHLTMSWPFURL-VXGBXAGGSA-N 1 2 323.462 1.792 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(C(C)(C)C)s2)C1 ZINC001078235281 753871024 /nfs/dbraw/zinc/87/10/24/753871024.db2.gz MGFHLTMSWPFURL-VXGBXAGGSA-N 1 2 323.462 1.792 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001010458880 753939714 /nfs/dbraw/zinc/93/97/14/753939714.db2.gz VBFGLMVQXRFJRT-DGCLKSJQSA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)o1 ZINC001010458880 753939721 /nfs/dbraw/zinc/93/97/21/753939721.db2.gz VBFGLMVQXRFJRT-DGCLKSJQSA-N 1 2 313.361 1.748 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001066985525 754189013 /nfs/dbraw/zinc/18/90/13/754189013.db2.gz RUKWDUVICNPYRJ-UHFFFAOYSA-N 1 2 320.437 1.960 20 30 DDEDLO C[C@H](CNc1cccc(F)c1C#N)NC(=O)CCn1cc[nH+]c1 ZINC001108036115 754251362 /nfs/dbraw/zinc/25/13/62/754251362.db2.gz XXPKLICOQVBZTK-GFCCVEGCSA-N 1 2 315.352 1.901 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(F)c2)[C@@H](O)C1 ZINC001083803733 754439060 /nfs/dbraw/zinc/43/90/60/754439060.db2.gz ALHLSBYMANHMOL-KGLIPLIRSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(F)c2)[C@@H](O)C1 ZINC001083803733 754439062 /nfs/dbraw/zinc/43/90/62/754439062.db2.gz ALHLSBYMANHMOL-KGLIPLIRSA-N 1 2 310.756 1.277 20 30 DDEDLO Cc1nc(N2CCC(C)(NC(=O)c3c[nH]c(C#N)c3)CC2)cc[nH+]1 ZINC001065073526 755063649 /nfs/dbraw/zinc/06/36/49/755063649.db2.gz YKHNZAUYOFTCSH-UHFFFAOYSA-N 1 2 324.388 1.774 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080631760 756014085 /nfs/dbraw/zinc/01/40/85/756014085.db2.gz FUEXJWLMNUKZBP-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1NC(=O)C[N@@H+]1CCc2sccc2C1 ZINC001080990986 756178375 /nfs/dbraw/zinc/17/83/75/756178375.db2.gz OZKRKBXIDMZPKN-TZMCWYRMSA-N 1 2 318.446 1.066 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1NC(=O)C[N@H+]1CCc2sccc2C1 ZINC001080990986 756178378 /nfs/dbraw/zinc/17/83/78/756178378.db2.gz OZKRKBXIDMZPKN-TZMCWYRMSA-N 1 2 318.446 1.066 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cccc(F)c2F)C[C@H]1O ZINC001099727246 756429686 /nfs/dbraw/zinc/42/96/86/756429686.db2.gz ODUSMCHGWRMOQW-HUUCEWRRSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2cccc(F)c2F)C[C@H]1O ZINC001099727246 756429688 /nfs/dbraw/zinc/42/96/88/756429688.db2.gz ODUSMCHGWRMOQW-HUUCEWRRSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cnnn3CC)C2)C1 ZINC001015674219 756456602 /nfs/dbraw/zinc/45/66/02/756456602.db2.gz WXEBLOHJBJDWGJ-CYBMUJFWSA-N 1 2 303.410 1.345 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cnnn3CC)C2)C1 ZINC001015674219 756456606 /nfs/dbraw/zinc/45/66/06/756456606.db2.gz WXEBLOHJBJDWGJ-CYBMUJFWSA-N 1 2 303.410 1.345 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@H](OC)C1 ZINC001081807087 756487691 /nfs/dbraw/zinc/48/76/91/756487691.db2.gz VDUKLACJWMUSOH-VXGBXAGGSA-N 1 2 324.812 1.078 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@H](OC)C1 ZINC001081807087 756487694 /nfs/dbraw/zinc/48/76/94/756487694.db2.gz VDUKLACJWMUSOH-VXGBXAGGSA-N 1 2 324.812 1.078 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001015732798 756504126 /nfs/dbraw/zinc/50/41/26/756504126.db2.gz FZGZCVLPSLXHMK-HZSPNIEDSA-N 1 2 308.813 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001015732798 756504130 /nfs/dbraw/zinc/50/41/30/756504130.db2.gz FZGZCVLPSLXHMK-HZSPNIEDSA-N 1 2 308.813 1.467 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c(F)cc2F)[C@H](OC)C1 ZINC001081840986 756510604 /nfs/dbraw/zinc/51/06/04/756510604.db2.gz ZYXUFXHLLHNIDV-HZPDHXFCSA-N 1 2 322.355 1.726 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c(F)cc2F)[C@H](OC)C1 ZINC001081840986 756510609 /nfs/dbraw/zinc/51/06/09/756510609.db2.gz ZYXUFXHLLHNIDV-HZPDHXFCSA-N 1 2 322.355 1.726 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(C)(C)C)on2)[C@H](OC)C1 ZINC001081933816 756551406 /nfs/dbraw/zinc/55/14/06/756551406.db2.gz XAPLKNPARNSTKM-ZIAGYGMSSA-N 1 2 319.405 1.424 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(C)(C)C)on2)[C@H](OC)C1 ZINC001081933816 756551407 /nfs/dbraw/zinc/55/14/07/756551407.db2.gz XAPLKNPARNSTKM-ZIAGYGMSSA-N 1 2 319.405 1.424 20 30 DDEDLO CCn1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001015792060 756552440 /nfs/dbraw/zinc/55/24/40/756552440.db2.gz RCCIMOXURYIFIT-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001015792060 756552442 /nfs/dbraw/zinc/55/24/42/756552442.db2.gz RCCIMOXURYIFIT-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@H](C)[C@H](Nc3cc[nH+]c(C)n3)C2)cn1 ZINC001067124866 756601674 /nfs/dbraw/zinc/60/16/74/756601674.db2.gz FQCAMGJAKHCRBU-BLLLJJGKSA-N 1 2 321.384 1.734 20 30 DDEDLO Cc1conc1C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001015951026 756672917 /nfs/dbraw/zinc/67/29/17/756672917.db2.gz JTPXAHMTNCUVEL-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1conc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001015951026 756672922 /nfs/dbraw/zinc/67/29/22/756672922.db2.gz JTPXAHMTNCUVEL-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(-c3c[nH]cn3)cc2)[C@H](OC)C1 ZINC001082295227 756718011 /nfs/dbraw/zinc/71/80/11/756718011.db2.gz IZYCDAPOUDHHHI-IAGOWNOFSA-N 1 2 324.384 1.139 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(-c3c[nH]cn3)cc2)[C@H](OC)C1 ZINC001082295227 756718015 /nfs/dbraw/zinc/71/80/15/756718015.db2.gz IZYCDAPOUDHHHI-IAGOWNOFSA-N 1 2 324.384 1.139 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3ccn(C)c3c2)[C@H](OC)C1 ZINC001082300527 756726758 /nfs/dbraw/zinc/72/67/58/756726758.db2.gz OSZOSCZBJHCFFQ-NVXWUHKLSA-N 1 2 311.385 1.241 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3ccn(C)c3c2)[C@H](OC)C1 ZINC001082300527 756726763 /nfs/dbraw/zinc/72/67/63/756726763.db2.gz OSZOSCZBJHCFFQ-NVXWUHKLSA-N 1 2 311.385 1.241 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cn(CCCC)nc2C)[C@H](OC)C1 ZINC001082315103 756730944 /nfs/dbraw/zinc/73/09/44/756730944.db2.gz CRZVGABKVVCRPJ-HZPDHXFCSA-N 1 2 318.421 1.054 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cn(CCCC)nc2C)[C@H](OC)C1 ZINC001082315103 756730948 /nfs/dbraw/zinc/73/09/48/756730948.db2.gz CRZVGABKVVCRPJ-HZPDHXFCSA-N 1 2 318.421 1.054 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001082320260 756737093 /nfs/dbraw/zinc/73/70/93/756737093.db2.gz GFSVQZFSLQTAOX-HNNXBMFYSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(OC(C)C)cc2)[C@H](OC)C1 ZINC001082355942 756748720 /nfs/dbraw/zinc/74/87/20/756748720.db2.gz WMKKTXGPRPZDIN-IAGOWNOFSA-N 1 2 316.401 1.536 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(OC(C)C)cc2)[C@H](OC)C1 ZINC001082355942 756748723 /nfs/dbraw/zinc/74/87/23/756748723.db2.gz WMKKTXGPRPZDIN-IAGOWNOFSA-N 1 2 316.401 1.536 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@]2(C)CCc3ccccc32)[C@H](OC)C1 ZINC001082391298 756765881 /nfs/dbraw/zinc/76/58/81/756765881.db2.gz DBLXPBRAJODYQF-LMMKCTJWSA-N 1 2 312.413 1.339 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@]2(C)CCc3ccccc32)[C@H](OC)C1 ZINC001082391298 756765884 /nfs/dbraw/zinc/76/58/84/756765884.db2.gz DBLXPBRAJODYQF-LMMKCTJWSA-N 1 2 312.413 1.339 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](C)N2CCCCC2=O)C1 ZINC001016319754 756926195 /nfs/dbraw/zinc/92/61/95/756926195.db2.gz DJWUOZVKRAGSGI-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](C)N2CCCCC2=O)C1 ZINC001016319754 756926199 /nfs/dbraw/zinc/92/61/99/756926199.db2.gz DJWUOZVKRAGSGI-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001097265893 757030986 /nfs/dbraw/zinc/03/09/86/757030986.db2.gz JNBVRJGTORDECB-OKILXGFUSA-N 1 2 324.388 1.379 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097310771 757081458 /nfs/dbraw/zinc/08/14/58/757081458.db2.gz BPAKUYLWWNQINM-ZFWWWQNUSA-N 1 2 318.421 1.709 20 30 DDEDLO Cc1nc(NC[C@H]2CCCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001097357076 757106771 /nfs/dbraw/zinc/10/67/71/757106771.db2.gz KQUIUMHXGSCYDP-CYBMUJFWSA-N 1 2 319.434 1.692 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)c(F)c3)[C@H]2C1 ZINC001083105867 757139674 /nfs/dbraw/zinc/13/96/74/757139674.db2.gz XEGBBZAXSHQDQY-LSDHHAIUSA-N 1 2 306.312 1.123 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)c(F)c3)[C@H]2C1 ZINC001083105867 757139677 /nfs/dbraw/zinc/13/96/77/757139677.db2.gz XEGBBZAXSHQDQY-LSDHHAIUSA-N 1 2 306.312 1.123 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(F)ccc3F)[C@H]2C1 ZINC001083106337 757140393 /nfs/dbraw/zinc/14/03/93/757140393.db2.gz WKSQZAMZOJURLJ-LSDHHAIUSA-N 1 2 306.312 1.123 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(F)ccc3F)[C@H]2C1 ZINC001083106337 757140398 /nfs/dbraw/zinc/14/03/98/757140398.db2.gz WKSQZAMZOJURLJ-LSDHHAIUSA-N 1 2 306.312 1.123 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ncc(C)cc3C)[C@H]2C1 ZINC001083150389 757154752 /nfs/dbraw/zinc/15/47/52/757154752.db2.gz UTAHCRFZECOJLH-JKSUJKDBSA-N 1 2 313.401 1.247 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ncc(C)cc3C)[C@H]2C1 ZINC001083150389 757154755 /nfs/dbraw/zinc/15/47/55/757154755.db2.gz UTAHCRFZECOJLH-JKSUJKDBSA-N 1 2 313.401 1.247 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)c(C)c3)[C@H]2C1 ZINC001083178994 757183412 /nfs/dbraw/zinc/18/34/12/757183412.db2.gz ZDILDSYILGMVAU-DLBZAZTESA-N 1 2 316.376 1.683 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)c(C)c3)[C@H]2C1 ZINC001083178994 757183417 /nfs/dbraw/zinc/18/34/17/757183417.db2.gz ZDILDSYILGMVAU-DLBZAZTESA-N 1 2 316.376 1.683 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2sccc2C(F)F)[C@@H](O)C1 ZINC001084008065 757244265 /nfs/dbraw/zinc/24/42/65/757244265.db2.gz WLUMFXXPFTYIEU-MNOVXSKESA-N 1 2 314.357 1.484 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2sccc2C(F)F)[C@@H](O)C1 ZINC001084008065 757244269 /nfs/dbraw/zinc/24/42/69/757244269.db2.gz WLUMFXXPFTYIEU-MNOVXSKESA-N 1 2 314.357 1.484 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)c3ccnn3C)[C@@H]2C1 ZINC001084352735 757507493 /nfs/dbraw/zinc/50/74/93/757507493.db2.gz MDLRENQKOJIPTL-UMVBOHGHSA-N 1 2 322.840 1.809 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)c3ccnn3C)[C@@H]2C1 ZINC001084352735 757507498 /nfs/dbraw/zinc/50/74/98/757507498.db2.gz MDLRENQKOJIPTL-UMVBOHGHSA-N 1 2 322.840 1.809 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC(N(C)C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001017104111 757640495 /nfs/dbraw/zinc/64/04/95/757640495.db2.gz ULLLHJDRFYWIAO-UHFFFAOYSA-N 1 2 316.405 1.414 20 30 DDEDLO N#CCN1CCC[C@@H](NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001052700433 757663449 /nfs/dbraw/zinc/66/34/49/757663449.db2.gz MCNTZZUWYLCFPU-OLZOCXBDSA-N 1 2 301.394 1.324 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]2CN(C(=O)c3snnc3C(C)C)[C@@H]2C1 ZINC001084734955 757841415 /nfs/dbraw/zinc/84/14/15/757841415.db2.gz PEGRZVINYCPSNV-VXGBXAGGSA-N 1 2 306.435 1.994 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]2CN(C(=O)c3snnc3C(C)C)[C@@H]2C1 ZINC001084734955 757841427 /nfs/dbraw/zinc/84/14/27/757841427.db2.gz PEGRZVINYCPSNV-VXGBXAGGSA-N 1 2 306.435 1.994 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2[nH]c(C)nc2c1 ZINC001017607177 758075164 /nfs/dbraw/zinc/07/51/64/758075164.db2.gz WRKSAYOXKMJTQK-GASCZTMLSA-N 1 2 323.400 1.578 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2[nH]c(C)nc2c1 ZINC001017607177 758075172 /nfs/dbraw/zinc/07/51/72/758075172.db2.gz WRKSAYOXKMJTQK-GASCZTMLSA-N 1 2 323.400 1.578 20 30 DDEDLO N#CCN1CCC[C@H]([C@@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001053045829 758106170 /nfs/dbraw/zinc/10/61/70/758106170.db2.gz DNKMYZNPSQFRNV-LSDHHAIUSA-N 1 2 315.421 1.426 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ncoc1CC)CCO2 ZINC001053197095 758254672 /nfs/dbraw/zinc/25/46/72/758254672.db2.gz XEUOTASQNOYLJA-UHFFFAOYSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCCO[C@@H]1C)CCO2 ZINC001053232686 758287728 /nfs/dbraw/zinc/28/77/28/758287728.db2.gz WLUQJPKSRNYFTA-CABCVRRESA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC(C)(C)C[N@H+]1Cc1cn(C)nn1 ZINC001098965925 758316823 /nfs/dbraw/zinc/31/68/23/758316823.db2.gz CYQLSIDHELSHTB-HNNXBMFYSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCC(C)(C)C[N@@H+]1Cc1cn(C)nn1 ZINC001098965925 758316834 /nfs/dbraw/zinc/31/68/34/758316834.db2.gz CYQLSIDHELSHTB-HNNXBMFYSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1nn(C)cc1Cl)CCO2 ZINC001053270807 758326461 /nfs/dbraw/zinc/32/64/61/758326461.db2.gz QPIQOMONDNUOLV-UHFFFAOYSA-N 1 2 324.812 1.176 20 30 DDEDLO C=CCn1cccc1C(=O)N1CCOC2(C[NH+](CCC)C2)C1 ZINC001053288148 758343362 /nfs/dbraw/zinc/34/33/62/758343362.db2.gz BAPHBEXZQGMAJR-UHFFFAOYSA-N 1 2 303.406 1.611 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nc(C2CC2)no1 ZINC001018031292 758490625 /nfs/dbraw/zinc/49/06/25/758490625.db2.gz CZWHIAZPNAXIJO-OKILXGFUSA-N 1 2 314.389 1.188 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nc(C2CC2)no1 ZINC001018031292 758490632 /nfs/dbraw/zinc/49/06/32/758490632.db2.gz CZWHIAZPNAXIJO-OKILXGFUSA-N 1 2 314.389 1.188 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)C[C@H]1CCCO1)CCO2 ZINC001053546182 758550952 /nfs/dbraw/zinc/55/09/52/758550952.db2.gz KNKBDUJHSRUHRY-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cccnc1)O2 ZINC001053561478 758568520 /nfs/dbraw/zinc/56/85/20/758568520.db2.gz OLFUTNDKBFEMIV-HNNXBMFYSA-N 1 2 301.390 1.621 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(CC)n[nH]1)O2 ZINC001053575241 758584667 /nfs/dbraw/zinc/58/46/67/758584667.db2.gz SCSSMYXZBFLERX-ZDUSSCGKSA-N 1 2 304.394 1.121 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1csc(COC)n1 ZINC001018156996 758587331 /nfs/dbraw/zinc/58/73/31/758587331.db2.gz AYVUYQPMFYKHLG-OKILXGFUSA-N 1 2 319.430 1.140 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1csc(COC)n1 ZINC001018156996 758587340 /nfs/dbraw/zinc/58/73/40/758587340.db2.gz AYVUYQPMFYKHLG-OKILXGFUSA-N 1 2 319.430 1.140 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cncnc1C)O2 ZINC001053578311 758590276 /nfs/dbraw/zinc/59/02/76/758590276.db2.gz CKEQNBXKOKRMKZ-CQSZACIVSA-N 1 2 316.405 1.324 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1CCCOC1)O2 ZINC001053581636 758594324 /nfs/dbraw/zinc/59/43/24/758594324.db2.gz SGKFGBGTYMFHKQ-LSDHHAIUSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)nc(C)c1)O2 ZINC001053605419 758624658 /nfs/dbraw/zinc/62/46/58/758624658.db2.gz GDUHWPIOPUAKSE-INIZCTEOSA-N 1 2 315.417 1.848 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)c(C)cn1)O2 ZINC001053637663 758654168 /nfs/dbraw/zinc/65/41/68/758654168.db2.gz BMJYYSLIXOAVAR-HNNXBMFYSA-N 1 2 315.417 1.848 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1coc(C3CC3)n1)O2 ZINC001053674472 758684808 /nfs/dbraw/zinc/68/48/08/758684808.db2.gz OYNQMJPKKXTNQF-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC001065676203 758696304 /nfs/dbraw/zinc/69/63/04/758696304.db2.gz HFAMFCSITBNVGO-JKSUJKDBSA-N 1 2 321.465 1.744 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001065684799 758703335 /nfs/dbraw/zinc/70/33/35/758703335.db2.gz BYZUPGFUWLMDPA-AAEUAGOBSA-N 1 2 324.388 1.948 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1[nH]cnc1CC)O2 ZINC001053693525 758703401 /nfs/dbraw/zinc/70/34/01/758703401.db2.gz FNDQMADGWYDKMB-ZDUSSCGKSA-N 1 2 318.421 1.511 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)/C(C)=C/C)CC2)C1 ZINC001065690920 758709223 /nfs/dbraw/zinc/70/92/23/758709223.db2.gz GNEXHFBAAQCBCG-UZPPOTPCSA-N 1 2 319.449 1.664 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CC13CCOCC3)O2 ZINC001053705325 758713701 /nfs/dbraw/zinc/71/37/01/758713701.db2.gz ZNYNYPGLQTUOGJ-CABCVRRESA-N 1 2 320.433 1.339 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1C(=O)Nc2ccccc21 ZINC001018331639 758735657 /nfs/dbraw/zinc/73/56/57/758735657.db2.gz FZAWNIPPAUJAFH-LZWOXQAQSA-N 1 2 323.396 1.421 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1C(=O)Nc2ccccc21 ZINC001018331639 758735659 /nfs/dbraw/zinc/73/56/59/758735659.db2.gz FZAWNIPPAUJAFH-LZWOXQAQSA-N 1 2 323.396 1.421 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccccc1F)CO2 ZINC001053725861 758742698 /nfs/dbraw/zinc/74/26/98/758742698.db2.gz OIGGQFSZSNKGMG-ZDUSSCGKSA-N 1 2 302.349 1.422 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)=C1CCCC1)CO2 ZINC001053772474 758794811 /nfs/dbraw/zinc/79/48/11/758794811.db2.gz PDKHCWQDDMKAFN-MRXNPFEDSA-N 1 2 302.418 1.860 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1coc(CC)n1)CO2 ZINC001053782893 758806925 /nfs/dbraw/zinc/80/69/25/758806925.db2.gz FLWVAZZPXOJUOC-LBPRGKRZSA-N 1 2 305.378 1.386 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1CNc1ncccc1C#N ZINC001065861011 758866208 /nfs/dbraw/zinc/86/62/08/758866208.db2.gz LFGVJTLXQLOMLT-ZFWWWQNUSA-N 1 2 324.388 1.356 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncc(C)cc1C)CO2 ZINC001053876744 758911905 /nfs/dbraw/zinc/91/19/05/758911905.db2.gz JQDJLSDRTTXDHG-OAHLLOKOSA-N 1 2 313.401 1.295 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N[C@H]1COC2(C[NH+](CC)C2)C1 ZINC001053951715 758989310 /nfs/dbraw/zinc/98/93/10/758989310.db2.gz DEBLCUYLKFWNPK-ILXRZTDVSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ncccc1F)CO2 ZINC001053967172 759003770 /nfs/dbraw/zinc/00/37/70/759003770.db2.gz SSZKDPWJGNACIM-LBPRGKRZSA-N 1 2 305.353 1.370 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(F)cc1C)CO2 ZINC001053988972 759032620 /nfs/dbraw/zinc/03/26/20/759032620.db2.gz VLISPLHRURNDDF-OAHLLOKOSA-N 1 2 316.376 1.731 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([NH2+]Cc2noc(-c3ccoc3)n2)C1 ZINC001018630728 759048828 /nfs/dbraw/zinc/04/88/28/759048828.db2.gz PUZNCFRQIAZEGF-JQWIXIFHSA-N 1 2 315.333 1.180 20 30 DDEDLO C=CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnsn1 ZINC001054033043 759086631 /nfs/dbraw/zinc/08/66/31/759086631.db2.gz MNTZSRJHYHDBHJ-AWEZNQCLSA-N 1 2 314.414 1.881 20 30 DDEDLO C=CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnsn1 ZINC001054033043 759086636 /nfs/dbraw/zinc/08/66/36/759086636.db2.gz MNTZSRJHYHDBHJ-AWEZNQCLSA-N 1 2 314.414 1.881 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CCCC#CC)CC2=O)C1 ZINC001108563461 762689033 /nfs/dbraw/zinc/68/90/33/762689033.db2.gz NLUWLMRBSKHFJO-HNNXBMFYSA-N 1 2 317.433 1.157 20 30 DDEDLO C#CCC[N@@H+](CCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001098371189 759237899 /nfs/dbraw/zinc/23/78/99/759237899.db2.gz KMGVJJRQRYJOKQ-LBPRGKRZSA-N 1 2 324.318 1.195 20 30 DDEDLO C#CCC[N@H+](CCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001098371189 759237904 /nfs/dbraw/zinc/23/79/04/759237904.db2.gz KMGVJJRQRYJOKQ-LBPRGKRZSA-N 1 2 324.318 1.195 20 30 DDEDLO C#CCC[N@@H+](CCO)C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001098371189 759237909 /nfs/dbraw/zinc/23/79/09/759237909.db2.gz KMGVJJRQRYJOKQ-LBPRGKRZSA-N 1 2 324.318 1.195 20 30 DDEDLO C#CCC[N@H+](CCO)C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001098371189 759237913 /nfs/dbraw/zinc/23/79/13/759237913.db2.gz KMGVJJRQRYJOKQ-LBPRGKRZSA-N 1 2 324.318 1.195 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC[C@@]2(CCN(CC#N)C2)C1 ZINC001054212530 759297526 /nfs/dbraw/zinc/29/75/26/759297526.db2.gz JBPDRYIBEXUAGY-PBHICJAKSA-N 1 2 315.421 1.426 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC[C@@]2(CCN(CC#N)C2)C1 ZINC001054212530 759297530 /nfs/dbraw/zinc/29/75/30/759297530.db2.gz JBPDRYIBEXUAGY-PBHICJAKSA-N 1 2 315.421 1.426 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cnn4c3CCC4)cc2C1 ZINC001054267895 759371209 /nfs/dbraw/zinc/37/12/09/759371209.db2.gz DNTFGRKVLRMFRO-UHFFFAOYSA-N 1 2 320.396 1.708 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnn4c3CCC4)cc2C1 ZINC001054267895 759371220 /nfs/dbraw/zinc/37/12/20/759371220.db2.gz DNTFGRKVLRMFRO-UHFFFAOYSA-N 1 2 320.396 1.708 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cn(CC)cn3)cc2C1 ZINC001054290159 759397067 /nfs/dbraw/zinc/39/70/67/759397067.db2.gz BGUIGKXCFBBDHF-UHFFFAOYSA-N 1 2 308.385 1.782 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cn(CC)cn3)cc2C1 ZINC001054290159 759397079 /nfs/dbraw/zinc/39/70/79/759397079.db2.gz BGUIGKXCFBBDHF-UHFFFAOYSA-N 1 2 308.385 1.782 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](Nc2ccncc2C#N)C[C@H]1C ZINC001069129845 767865058 /nfs/dbraw/zinc/86/50/58/767865058.db2.gz LTFBJKLHVGUQDL-RISCZKNCSA-N 1 2 324.388 1.051 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1)C(=O)c1ccn[nH]1 ZINC001085495786 759535026 /nfs/dbraw/zinc/53/50/26/759535026.db2.gz OVWZTRKNSKNTQO-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1)C(=O)c1ccn[nH]1 ZINC001085495786 759535030 /nfs/dbraw/zinc/53/50/30/759535030.db2.gz OVWZTRKNSKNTQO-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1)C(=O)[C@H]1CCOC1 ZINC001085507820 759572604 /nfs/dbraw/zinc/57/26/04/759572604.db2.gz NUCAYODYAOOZSV-QWHCGFSZSA-N 1 2 319.430 1.689 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1)C(=O)[C@H]1CCOC1 ZINC001085507820 759572609 /nfs/dbraw/zinc/57/26/09/759572609.db2.gz NUCAYODYAOOZSV-QWHCGFSZSA-N 1 2 319.430 1.689 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2nc(C)n(C)c2c1 ZINC001085759189 760181688 /nfs/dbraw/zinc/18/16/88/760181688.db2.gz AWZFIQYSZILTOM-OAHLLOKOSA-N 1 2 310.401 1.661 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2nc(C)n(C)c2c1 ZINC001085759189 760181694 /nfs/dbraw/zinc/18/16/94/760181694.db2.gz AWZFIQYSZILTOM-OAHLLOKOSA-N 1 2 310.401 1.661 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ocnc1C ZINC001085827289 760306482 /nfs/dbraw/zinc/30/64/82/760306482.db2.gz NENHBXZNUFRXLX-ZNMIVQPWSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ocnc1C ZINC001085827289 760306492 /nfs/dbraw/zinc/30/64/92/760306492.db2.gz NENHBXZNUFRXLX-ZNMIVQPWSA-N 1 2 319.405 1.607 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C)nc1C(F)(F)F ZINC001085840237 760337872 /nfs/dbraw/zinc/33/78/72/760337872.db2.gz CEDXIWNDBIZKOE-SNVBAGLBSA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C)nc1C(F)(F)F ZINC001085840237 760337879 /nfs/dbraw/zinc/33/78/79/760337879.db2.gz CEDXIWNDBIZKOE-SNVBAGLBSA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnccc1C(F)(F)F ZINC001085844028 760346098 /nfs/dbraw/zinc/34/60/98/760346098.db2.gz BUIWQSMJLNBXEV-LLVKDONJSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnccc1C(F)(F)F ZINC001085844028 760346110 /nfs/dbraw/zinc/34/61/10/760346110.db2.gz BUIWQSMJLNBXEV-LLVKDONJSA-N 1 2 311.307 1.880 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(CNC(C)=O)s1 ZINC001085845574 760357467 /nfs/dbraw/zinc/35/74/67/760357467.db2.gz PEDITJLIAVJOBD-CYBMUJFWSA-N 1 2 321.446 1.717 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(CNC(C)=O)s1 ZINC001085845574 760357481 /nfs/dbraw/zinc/35/74/81/760357481.db2.gz PEDITJLIAVJOBD-CYBMUJFWSA-N 1 2 321.446 1.717 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CN(C)C(=O)c1sc(N(C)C)nc1C ZINC001085856749 760375247 /nfs/dbraw/zinc/37/52/47/760375247.db2.gz HNALKYOKGDJUFG-LBPRGKRZSA-N 1 2 308.451 1.850 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1sc(N(C)C)nc1C ZINC001085856749 760375256 /nfs/dbraw/zinc/37/52/56/760375256.db2.gz HNALKYOKGDJUFG-LBPRGKRZSA-N 1 2 308.451 1.850 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC(F)(F)C(F)F)C1 ZINC001108215592 760401603 /nfs/dbraw/zinc/40/16/03/760401603.db2.gz GKJOSHRJYXZTOD-CYBMUJFWSA-N 1 2 324.318 1.507 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC(F)(F)C(F)F)C1 ZINC001108215592 760401608 /nfs/dbraw/zinc/40/16/08/760401608.db2.gz GKJOSHRJYXZTOD-CYBMUJFWSA-N 1 2 324.318 1.507 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(Cl)nn(C)c1Cl ZINC001085867889 760413926 /nfs/dbraw/zinc/41/39/26/760413926.db2.gz YNPMKEQDVFUJNT-VIFPVBQESA-N 1 2 315.204 1.506 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(Cl)nn(C)c1Cl ZINC001085867889 760413927 /nfs/dbraw/zinc/41/39/27/760413927.db2.gz YNPMKEQDVFUJNT-VIFPVBQESA-N 1 2 315.204 1.506 20 30 DDEDLO C[C@@H](CNC(=O)CCc1c[nH+]cn1C)Nc1ccc(C#N)cn1 ZINC001097985186 760451446 /nfs/dbraw/zinc/45/14/46/760451446.db2.gz JTGDZEKWXNJBPY-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn([C@@H](C)CC)c1C ZINC001085918218 760527124 /nfs/dbraw/zinc/52/71/24/760527124.db2.gz XILYQWWWFWAGOZ-ZFWWWQNUSA-N 1 2 302.422 1.942 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn([C@@H](C)CC)c1C ZINC001085918218 760527132 /nfs/dbraw/zinc/52/71/32/760527132.db2.gz XILYQWWWFWAGOZ-ZFWWWQNUSA-N 1 2 302.422 1.942 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cnoc2C)cn1 ZINC001085933140 760562866 /nfs/dbraw/zinc/56/28/66/760562866.db2.gz QJEGPGHEJSYXJB-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cnoc2C)cn1 ZINC001085933140 760562875 /nfs/dbraw/zinc/56/28/75/760562875.db2.gz QJEGPGHEJSYXJB-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn(-c2ccccc2)cn1 ZINC001038270113 760962267 /nfs/dbraw/zinc/96/22/67/760962267.db2.gz WERPCXHHLAAWOF-MRXNPFEDSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn(-c2ccccc2)cn1 ZINC001038270113 760962273 /nfs/dbraw/zinc/96/22/73/760962273.db2.gz WERPCXHHLAAWOF-MRXNPFEDSA-N 1 2 308.385 1.700 20 30 DDEDLO C=CCC[N@H+]1CC[C@@H]1CNC(=O)c1coc(S(C)(=O)=O)c1 ZINC001038273352 760966275 /nfs/dbraw/zinc/96/62/75/760966275.db2.gz PKXNYTVYXYAVSH-GFCCVEGCSA-N 1 2 312.391 1.063 20 30 DDEDLO C=CCC[N@@H+]1CC[C@@H]1CNC(=O)c1coc(S(C)(=O)=O)c1 ZINC001038273352 760966279 /nfs/dbraw/zinc/96/62/79/760966279.db2.gz PKXNYTVYXYAVSH-GFCCVEGCSA-N 1 2 312.391 1.063 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)c1cnc[nH]c1=O ZINC001038383322 761069356 /nfs/dbraw/zinc/06/93/56/761069356.db2.gz BAOUQUIAZSHWAX-OAHLLOKOSA-N 1 2 322.368 1.038 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)c1cnc[nH]c1=O ZINC001038383322 761069368 /nfs/dbraw/zinc/06/93/68/761069368.db2.gz BAOUQUIAZSHWAX-OAHLLOKOSA-N 1 2 322.368 1.038 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)n([C@H](C)C2CC2)n1 ZINC001038442535 761121657 /nfs/dbraw/zinc/12/16/57/761121657.db2.gz OZEJYXCBKRREGY-ZBFHGGJFSA-N 1 2 314.433 1.990 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C)n([C@H](C)C2CC2)n1 ZINC001038442535 761121664 /nfs/dbraw/zinc/12/16/64/761121664.db2.gz OZEJYXCBKRREGY-ZBFHGGJFSA-N 1 2 314.433 1.990 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCC2CCOCC2)C1 ZINC001108256011 761213164 /nfs/dbraw/zinc/21/31/64/761213164.db2.gz PSMVDYMICSQPSE-QGZVFWFLSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCC2CCOCC2)C1 ZINC001108256011 761213174 /nfs/dbraw/zinc/21/31/74/761213174.db2.gz PSMVDYMICSQPSE-QGZVFWFLSA-N 1 2 310.438 1.586 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C(F)(F)F)c1C ZINC001038774867 761396646 /nfs/dbraw/zinc/39/66/46/761396646.db2.gz CKIDRQBJPRXFJH-VIFPVBQESA-N 1 2 300.284 1.174 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C(F)(F)F)c1C ZINC001038774867 761396654 /nfs/dbraw/zinc/39/66/54/761396654.db2.gz CKIDRQBJPRXFJH-VIFPVBQESA-N 1 2 300.284 1.174 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1scc2c1OCCO2 ZINC001038871448 761495885 /nfs/dbraw/zinc/49/58/85/761495885.db2.gz CWVDCEHJZUVRJE-LLVKDONJSA-N 1 2 306.387 1.347 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1scc2c1OCCO2 ZINC001038871448 761495887 /nfs/dbraw/zinc/49/58/87/761495887.db2.gz CWVDCEHJZUVRJE-LLVKDONJSA-N 1 2 306.387 1.347 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(-n2ccnc2)c1 ZINC001038873629 761496947 /nfs/dbraw/zinc/49/69/47/761496947.db2.gz JJYIIIKTCQNITO-QGZVFWFLSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(-n2ccnc2)c1 ZINC001038873629 761496949 /nfs/dbraw/zinc/49/69/49/761496949.db2.gz JJYIIIKTCQNITO-QGZVFWFLSA-N 1 2 308.385 1.700 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@@H](C)Nc2ccnc(C#N)n2)c(C)[nH+]1 ZINC001098431684 761541303 /nfs/dbraw/zinc/54/13/03/761541303.db2.gz UVFMWZUZXICPPG-GFCCVEGCSA-N 1 2 324.388 1.321 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)CNc1cc(C)[nH+]c(C2CC2)n1 ZINC001108307519 761875569 /nfs/dbraw/zinc/87/55/69/761875569.db2.gz GMNXEUNWHIASQY-LBPRGKRZSA-N 1 2 304.394 1.782 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3(CC)CCC3)CC2=O)C1 ZINC001108566950 762709555 /nfs/dbraw/zinc/70/95/55/762709555.db2.gz ROUVKCJJXMYQRC-ZDUSSCGKSA-N 1 2 305.422 1.154 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001041142143 762835701 /nfs/dbraw/zinc/83/57/01/762835701.db2.gz ULGWCASTXWWFMW-MLGOLLRUSA-N 1 2 317.393 1.216 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001041142143 762835706 /nfs/dbraw/zinc/83/57/06/762835706.db2.gz ULGWCASTXWWFMW-MLGOLLRUSA-N 1 2 317.393 1.216 20 30 DDEDLO C[C@@H](CNc1nc(C#N)c(Cl)s1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001108718491 762876133 /nfs/dbraw/zinc/87/61/33/762876133.db2.gz DEIOQYDIYPIXFJ-ZETCQYMHSA-N 1 2 324.797 1.551 20 30 DDEDLO C#CC[NH+]1CCC(CNC(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC001001475665 762897019 /nfs/dbraw/zinc/89/70/19/762897019.db2.gz SAGDAPLXRFHJRR-UHFFFAOYSA-N 1 2 323.400 1.547 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001050043805 763055293 /nfs/dbraw/zinc/05/52/93/763055293.db2.gz JRYZBGXQTHRPEF-LSDHHAIUSA-N 1 2 315.442 1.979 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001050043805 763055296 /nfs/dbraw/zinc/05/52/96/763055296.db2.gz JRYZBGXQTHRPEF-LSDHHAIUSA-N 1 2 315.442 1.979 20 30 DDEDLO Cc1nc(N(C)C[C@H](C)NC(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001108880334 763063765 /nfs/dbraw/zinc/06/37/65/763063765.db2.gz PUFPKIILODZTPX-NEPJUHHUSA-N 1 2 315.421 1.764 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)C1CC(Nc2ncccc2C#N)C1 ZINC001069758938 768129464 /nfs/dbraw/zinc/12/94/64/768129464.db2.gz AYXDHGUQKOPSHH-UHFFFAOYSA-N 1 2 324.388 1.629 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)CCc3nnc[nH]3)C[C@H]21 ZINC001042005033 763555919 /nfs/dbraw/zinc/55/59/19/763555919.db2.gz BUQPBHLEHPRKDH-QWHCGFSZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)CCc3nnc[nH]3)C[C@H]21 ZINC001042005033 763555928 /nfs/dbraw/zinc/55/59/28/763555928.db2.gz BUQPBHLEHPRKDH-QWHCGFSZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)CCc3nc[nH]n3)C[C@H]21 ZINC001042005033 763555937 /nfs/dbraw/zinc/55/59/37/763555937.db2.gz BUQPBHLEHPRKDH-QWHCGFSZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)CCc3nc[nH]n3)C[C@H]21 ZINC001042005033 763555946 /nfs/dbraw/zinc/55/59/46/763555946.db2.gz BUQPBHLEHPRKDH-QWHCGFSZSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCCC ZINC001109351267 763592813 /nfs/dbraw/zinc/59/28/13/763592813.db2.gz VMBUJKNFAWWTJC-PMPSAXMXSA-N 1 2 321.465 1.981 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCCC ZINC001109351267 763592821 /nfs/dbraw/zinc/59/28/21/763592821.db2.gz VMBUJKNFAWWTJC-PMPSAXMXSA-N 1 2 321.465 1.981 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)C1CC(Nc2ncccc2C#N)C1 ZINC001069797966 768147621 /nfs/dbraw/zinc/14/76/21/768147621.db2.gz RTKTYVANHIILHU-UHFFFAOYSA-N 1 2 310.361 1.320 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccc(C#N)cn1 ZINC001050520655 763701263 /nfs/dbraw/zinc/70/12/63/763701263.db2.gz PPJBTEGASQGIMA-YPMHNXCESA-N 1 2 310.361 1.002 20 30 DDEDLO C#CCC[NH+]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)CC1 ZINC001050526719 763709437 /nfs/dbraw/zinc/70/94/37/763709437.db2.gz OMBDIRZUXIVJRP-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[NH+]1CCC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)CC1 ZINC001050526719 763709441 /nfs/dbraw/zinc/70/94/41/763709441.db2.gz OMBDIRZUXIVJRP-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)CCc3cn[nH]n3)C[C@@H]21 ZINC001042241420 763806235 /nfs/dbraw/zinc/80/62/35/763806235.db2.gz XVRLNLNBVLFIBJ-JSGCOSHPSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)CCc3cn[nH]n3)C[C@@H]21 ZINC001042241420 763806242 /nfs/dbraw/zinc/80/62/42/763806242.db2.gz XVRLNLNBVLFIBJ-JSGCOSHPSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)CCc3c[nH]nn3)C[C@@H]21 ZINC001042241420 763806251 /nfs/dbraw/zinc/80/62/51/763806251.db2.gz XVRLNLNBVLFIBJ-JSGCOSHPSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)CCc3c[nH]nn3)C[C@@H]21 ZINC001042241420 763806258 /nfs/dbraw/zinc/80/62/58/763806258.db2.gz XVRLNLNBVLFIBJ-JSGCOSHPSA-N 1 2 323.828 1.413 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C)CC=CC1)C2 ZINC001109671516 763934842 /nfs/dbraw/zinc/93/48/42/763934842.db2.gz NENFWUGKJMDCAZ-ILXRZTDVSA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C)CC=CC1)C2 ZINC001109671516 763934849 /nfs/dbraw/zinc/93/48/49/763934849.db2.gz NENFWUGKJMDCAZ-ILXRZTDVSA-N 1 2 317.433 1.366 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3[nH]nc4ccccc43)C[C@@H]21 ZINC001042338805 763938640 /nfs/dbraw/zinc/93/86/40/763938640.db2.gz MEZZHBZRJPMUDR-BBRMVZONSA-N 1 2 308.385 1.733 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3[nH]nc4ccccc43)C[C@@H]21 ZINC001042338805 763938646 /nfs/dbraw/zinc/93/86/46/763938646.db2.gz MEZZHBZRJPMUDR-BBRMVZONSA-N 1 2 308.385 1.733 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@](C)(C=C)CC)C2 ZINC001109681708 763940602 /nfs/dbraw/zinc/94/06/02/763940602.db2.gz FJDLVZJMADXNJY-LLDVTBCESA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@](C)(C=C)CC)C2 ZINC001109681708 763940609 /nfs/dbraw/zinc/94/06/09/763940609.db2.gz FJDLVZJMADXNJY-LLDVTBCESA-N 1 2 319.449 1.612 20 30 DDEDLO Cc1nc(N[C@H]2CCCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001057617418 764141921 /nfs/dbraw/zinc/14/19/21/764141921.db2.gz DOPKCQGEDOMAIF-ZDUSSCGKSA-N 1 2 310.361 1.702 20 30 DDEDLO CN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](C[C@@H](O)c2ccccc2)C1 ZINC001042589057 764240614 /nfs/dbraw/zinc/24/06/14/764240614.db2.gz CANALNDTTWAKMG-QGZVFWFLSA-N 1 2 324.384 1.376 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(C)c(C)n2)[C@H](O)C1 ZINC001090434641 764332507 /nfs/dbraw/zinc/33/25/07/764332507.db2.gz AKVNLYAMGKEUOA-UKRRQHHQSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)c(C)n2)[C@H](O)C1 ZINC001090434641 764332508 /nfs/dbraw/zinc/33/25/08/764332508.db2.gz AKVNLYAMGKEUOA-UKRRQHHQSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn([C@@H](C)COC)nc2C)C1 ZINC001042723730 764390412 /nfs/dbraw/zinc/39/04/12/764390412.db2.gz YZDBAJRVVMZJBI-ZDUSSCGKSA-N 1 2 320.437 1.731 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cnn3c2C[N@H+](CCC)CC3)cn1 ZINC001069880862 768209877 /nfs/dbraw/zinc/20/98/77/768209877.db2.gz YSQQOENHPXHXSJ-UHFFFAOYSA-N 1 2 323.400 1.415 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cnn3c2C[N@@H+](CCC)CC3)cn1 ZINC001069880862 768209880 /nfs/dbraw/zinc/20/98/80/768209880.db2.gz YSQQOENHPXHXSJ-UHFFFAOYSA-N 1 2 323.400 1.415 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccn2ccnc2c1 ZINC001051025196 764429274 /nfs/dbraw/zinc/42/92/74/764429274.db2.gz HMSWNGGRIXQFKS-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccn2ccnc2c1 ZINC001051025196 764429277 /nfs/dbraw/zinc/42/92/77/764429277.db2.gz HMSWNGGRIXQFKS-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C2CCCCC2)[C@@H](n2ccnn2)C1 ZINC001069891720 768217103 /nfs/dbraw/zinc/21/71/03/768217103.db2.gz NPEWDFKTEFYHOT-CVEARBPZSA-N 1 2 315.421 1.223 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C2CCCCC2)[C@@H](n2ccnn2)C1 ZINC001069891720 768217107 /nfs/dbraw/zinc/21/71/07/768217107.db2.gz NPEWDFKTEFYHOT-CVEARBPZSA-N 1 2 315.421 1.223 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cccnc1 ZINC001051125761 764526126 /nfs/dbraw/zinc/52/61/26/764526126.db2.gz VYUCYNMGQVDUKJ-IXDOHACOSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cccnc1 ZINC001051125761 764526131 /nfs/dbraw/zinc/52/61/31/764526131.db2.gz VYUCYNMGQVDUKJ-IXDOHACOSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccncc1Cl ZINC001051136235 764538458 /nfs/dbraw/zinc/53/84/58/764538458.db2.gz UFVOBUAZTTXXCM-GFCCVEGCSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccncc1Cl ZINC001051136235 764538466 /nfs/dbraw/zinc/53/84/66/764538466.db2.gz UFVOBUAZTTXXCM-GFCCVEGCSA-N 1 2 309.797 1.742 20 30 DDEDLO CC(C)C#CC(=O)N1CC[NH+](CCOC[C@@H]2CCCCO2)CC1 ZINC001112834152 764829350 /nfs/dbraw/zinc/82/93/50/764829350.db2.gz FATMPWRBJOHIGA-KRWDZBQOSA-N 1 2 322.449 1.376 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051428668 764870200 /nfs/dbraw/zinc/87/02/00/764870200.db2.gz YUUXOKGKAQPINB-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(F)cccc2OC)CC1 ZINC001112871302 764910151 /nfs/dbraw/zinc/91/01/51/764910151.db2.gz OYUJYFKYSTZPNQ-UHFFFAOYSA-N 1 2 322.380 1.795 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CCN(Cc2c[nH+]cn2C)CC1)OCC ZINC001112906929 764949312 /nfs/dbraw/zinc/94/93/12/764949312.db2.gz TZJFJJAOCVCADU-INIZCTEOSA-N 1 2 320.437 1.436 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@H]2C)ccc1C#N ZINC001043541628 764965332 /nfs/dbraw/zinc/96/53/32/764965332.db2.gz LPHSLPJAPMNACD-IAQYHMDHSA-N 1 2 324.388 1.486 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(-n3cccn3)ccn2)C1 ZINC001043837842 765124559 /nfs/dbraw/zinc/12/45/59/765124559.db2.gz LPOLYFGZNMYRBT-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H](C[NH2+][C@@H](C)c2csnn2)C1 ZINC001051757210 765130848 /nfs/dbraw/zinc/13/08/48/765130848.db2.gz ZIDHQOITLBKJFY-RYUDHWBXSA-N 1 2 324.450 1.628 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113010287 765135360 /nfs/dbraw/zinc/13/53/60/765135360.db2.gz WYBKEEMCFRKIEO-RYUDHWBXSA-N 1 2 314.393 1.472 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113004253 765138366 /nfs/dbraw/zinc/13/83/66/765138366.db2.gz MDXDYKNEQYIVOC-MNOVXSKESA-N 1 2 312.377 1.082 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncc(C)cc2C)[C@@H](O)C1 ZINC001090446380 765202373 /nfs/dbraw/zinc/20/23/73/765202373.db2.gz RJZVHZAAGLTDLW-KGLIPLIRSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncc(C)cc2C)[C@@H](O)C1 ZINC001090446380 765202376 /nfs/dbraw/zinc/20/23/76/765202376.db2.gz RJZVHZAAGLTDLW-KGLIPLIRSA-N 1 2 323.824 1.616 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2Cc3cc(F)ccc3O2)C1 ZINC001043991752 765213929 /nfs/dbraw/zinc/21/39/29/765213929.db2.gz HSRGGQOIPUIJAT-MRXNPFEDSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(OC(C)C)n2)C1 ZINC001044110046 765312567 /nfs/dbraw/zinc/31/25/67/765312567.db2.gz ASBDROJUGRCLME-UHFFFAOYSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC001051975270 765335133 /nfs/dbraw/zinc/33/51/33/765335133.db2.gz QLCZEIOBUFTGND-SFHVURJKSA-N 1 2 317.477 1.809 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3CC=CCC3)C2)CC1 ZINC001051981058 765344111 /nfs/dbraw/zinc/34/41/11/765344111.db2.gz MUNUXERQKWCKLY-ZWKOTPCHSA-N 1 2 315.461 1.585 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)CC(C)(C)C)C2)CC1 ZINC001051982833 765346100 /nfs/dbraw/zinc/34/61/00/765346100.db2.gz JUJWOIBVYKCMAL-MRXNPFEDSA-N 1 2 305.466 1.664 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc[nH]3)C2)CC1 ZINC001051982557 765346379 /nfs/dbraw/zinc/34/63/79/765346379.db2.gz HGWJCWTUPQLZIC-CQSZACIVSA-N 1 2 322.840 1.599 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H](C)C3CC3)C2)CC1 ZINC001052006184 765372980 /nfs/dbraw/zinc/37/29/80/765372980.db2.gz NBVSYIQDCAVYBF-RDJZCZTQSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(NC(=O)NC)c2)C1 ZINC001044184870 765373993 /nfs/dbraw/zinc/37/39/93/765373993.db2.gz RLVBXRSMSGXQHY-UHFFFAOYSA-N 1 2 314.389 1.217 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)c3cc[nH]c3C)C2)CC1 ZINC001052008590 765377105 /nfs/dbraw/zinc/37/71/05/765377105.db2.gz ILUZVZBNPNJIBC-MRXNPFEDSA-N 1 2 316.449 1.731 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3sccc3F)C2)CC1 ZINC001052020466 765393170 /nfs/dbraw/zinc/39/31/70/765393170.db2.gz HIMMZEZIQBYCHR-ZDUSSCGKSA-N 1 2 321.421 1.353 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NC)CC1 ZINC001095970899 765397786 /nfs/dbraw/zinc/39/77/86/765397786.db2.gz WMFQRVDRHFUFTO-ILXRZTDVSA-N 1 2 305.422 1.200 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NC)CC1 ZINC001095970899 765397797 /nfs/dbraw/zinc/39/77/97/765397797.db2.gz WMFQRVDRHFUFTO-ILXRZTDVSA-N 1 2 305.422 1.200 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3cc(C)cs3)C2)CC1 ZINC001052024641 765400125 /nfs/dbraw/zinc/40/01/25/765400125.db2.gz ITULJCAXGCFHBU-HNNXBMFYSA-N 1 2 317.458 1.522 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3cc(C)cs3)C2)CC1 ZINC001052024641 765400129 /nfs/dbraw/zinc/40/01/29/765400129.db2.gz ITULJCAXGCFHBU-HNNXBMFYSA-N 1 2 317.458 1.522 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccsn3)C2)CC1 ZINC001052040403 765413384 /nfs/dbraw/zinc/41/33/84/765413384.db2.gz FLMHPJFTWKJBBD-CYBMUJFWSA-N 1 2 306.435 1.161 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H](C)C3CCC3)C2)CC1 ZINC001052042427 765414612 /nfs/dbraw/zinc/41/46/12/765414612.db2.gz BVMODQRUQSISGD-AEFFLSMTSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc(NC(N)=O)c2)C1 ZINC001044282180 765446576 /nfs/dbraw/zinc/44/65/76/765446576.db2.gz KRQIVKBSVPKJFO-UHFFFAOYSA-N 1 2 302.378 1.510 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(CC)CCCC3)C2)CC1 ZINC001052103277 765474164 /nfs/dbraw/zinc/47/41/64/765474164.db2.gz OBRYGZSESBHZQW-QGZVFWFLSA-N 1 2 317.477 1.809 20 30 DDEDLO C[C@@H](Nc1ncc(C#N)cc1F)[C@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001113314268 765555288 /nfs/dbraw/zinc/55/52/88/765555288.db2.gz QXSIQZTWDZNJDG-VHSXEESVSA-N 1 2 316.340 1.363 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[NH+](CCOCC3CC3)CC2)c1 ZINC001113331332 765572946 /nfs/dbraw/zinc/57/29/46/765572946.db2.gz SGSRQJWRBUDPRW-UHFFFAOYSA-N 1 2 313.401 1.247 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)c(C)cn2)[C@@H](O)C1 ZINC001090450078 765597506 /nfs/dbraw/zinc/59/75/06/765597506.db2.gz STCBGINISVHNGK-ZFWWWQNUSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)c(C)cn2)[C@@H](O)C1 ZINC001090450078 765597509 /nfs/dbraw/zinc/59/75/09/765597509.db2.gz STCBGINISVHNGK-ZFWWWQNUSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[NH+](CCOCCCC)CC1 ZINC001113420331 765676259 /nfs/dbraw/zinc/67/62/59/765676259.db2.gz SEHCJUUBEKPQHN-IRXDYDNUSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](CCOCCC(C)C)CC1 ZINC001113710296 766048786 /nfs/dbraw/zinc/04/87/86/766048786.db2.gz KAPOOYXKZIRWOS-UHFFFAOYSA-N 1 2 312.454 1.786 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[NH+](CCc2cnn(CC)c2)CC1 ZINC001113713310 766052521 /nfs/dbraw/zinc/05/25/21/766052521.db2.gz OGTKOFBIRLSBPA-OAHLLOKOSA-N 1 2 320.437 1.181 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]1C[NH2+]Cc1nc(N(C)C)no1 ZINC001045149563 766115000 /nfs/dbraw/zinc/11/50/00/766115000.db2.gz ILNNKKZTEXKDQV-LBPRGKRZSA-N 1 2 321.425 1.428 20 30 DDEDLO CN(C(=O)CC1CC1)[C@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047275463 768359667 /nfs/dbraw/zinc/35/96/67/768359667.db2.gz KJBIJYGOKUVAAO-IRXDYDNUSA-N 1 2 313.401 1.362 20 30 DDEDLO CN(C(=O)CC1CC1)[C@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047275463 768359674 /nfs/dbraw/zinc/35/96/74/768359674.db2.gz KJBIJYGOKUVAAO-IRXDYDNUSA-N 1 2 313.401 1.362 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3csnc3OC)C[C@H]21 ZINC001114025485 766574055 /nfs/dbraw/zinc/57/40/55/766574055.db2.gz LGTZQOJLBBVBAO-IMRBUKKESA-N 1 2 307.419 1.664 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3csnc3OC)C[C@H]21 ZINC001114025485 766574063 /nfs/dbraw/zinc/57/40/63/766574063.db2.gz LGTZQOJLBBVBAO-IMRBUKKESA-N 1 2 307.419 1.664 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C4CC4)n3)C[C@H]21 ZINC001114067791 766593343 /nfs/dbraw/zinc/59/33/43/766593343.db2.gz WZJWTLJQHBCVEE-OLRMPSLUSA-N 1 2 300.362 1.258 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C4CC4)n3)C[C@H]21 ZINC001114067791 766593351 /nfs/dbraw/zinc/59/33/51/766593351.db2.gz WZJWTLJQHBCVEE-OLRMPSLUSA-N 1 2 300.362 1.258 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001114194217 766754815 /nfs/dbraw/zinc/75/48/15/766754815.db2.gz MQPLBMIDSFJJAC-FDRIWYBQSA-N 1 2 307.419 1.017 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001114194217 766754822 /nfs/dbraw/zinc/75/48/22/766754822.db2.gz MQPLBMIDSFJJAC-FDRIWYBQSA-N 1 2 307.419 1.017 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1[C@H]2C[N@H+](Cc3cc4n(n3)CCCC4)C[C@H]21 ZINC001114255988 766827022 /nfs/dbraw/zinc/82/70/22/766827022.db2.gz RTMTXEJHIWICGQ-DZFIZOCASA-N 1 2 324.428 1.179 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1[C@H]2C[N@@H+](Cc3cc4n(n3)CCCC4)C[C@H]21 ZINC001114255988 766827032 /nfs/dbraw/zinc/82/70/32/766827032.db2.gz RTMTXEJHIWICGQ-DZFIZOCASA-N 1 2 324.428 1.179 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@](C)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001046227994 767225135 /nfs/dbraw/zinc/22/51/35/767225135.db2.gz YNGYVTZLPFPBMO-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@](C)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001046227994 767225136 /nfs/dbraw/zinc/22/51/36/767225136.db2.gz YNGYVTZLPFPBMO-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CC[C@@](C)(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001046252862 767302669 /nfs/dbraw/zinc/30/26/69/767302669.db2.gz LGCLEXIHASFJKW-YVEFUNNKSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CC[C@@](C)(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001046252862 767302670 /nfs/dbraw/zinc/30/26/70/767302670.db2.gz LGCLEXIHASFJKW-YVEFUNNKSA-N 1 2 324.388 1.632 20 30 DDEDLO Cn1cnc(C(=O)N[C@@]2(C)CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001046285797 767363968 /nfs/dbraw/zinc/36/39/68/767363968.db2.gz BHZJPBGNWCBBHT-KRWDZBQOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cnc(C(=O)N[C@@]2(C)CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001046285797 767363978 /nfs/dbraw/zinc/36/39/78/767363978.db2.gz BHZJPBGNWCBBHT-KRWDZBQOSA-N 1 2 324.388 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001046422609 767542511 /nfs/dbraw/zinc/54/25/11/767542511.db2.gz CSCBGFZRWSADLQ-CZUORRHYSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001046422609 767542518 /nfs/dbraw/zinc/54/25/18/767542518.db2.gz CSCBGFZRWSADLQ-CZUORRHYSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001046428907 767551559 /nfs/dbraw/zinc/55/15/59/767551559.db2.gz OLWDHUNBIQRLCR-CJNGLKHVSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001046428907 767551563 /nfs/dbraw/zinc/55/15/63/767551563.db2.gz OLWDHUNBIQRLCR-CJNGLKHVSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccnc(OC)n2)C1 ZINC001046477584 767612438 /nfs/dbraw/zinc/61/24/38/767612438.db2.gz TYVQSTYKIBXOIB-CQSZACIVSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccnc(OC)n2)C1 ZINC001046477584 767612440 /nfs/dbraw/zinc/61/24/40/767612440.db2.gz TYVQSTYKIBXOIB-CQSZACIVSA-N 1 2 310.785 1.432 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H](Nc3cc[nH+]c(C)n3)[C@H]2C)nc1 ZINC001068875161 767672603 /nfs/dbraw/zinc/67/26/03/767672603.db2.gz BHAGRENFMCDSFD-DOMZBBRYSA-N 1 2 321.384 1.876 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CNC(=O)OC)CC[C@H]1C ZINC001131834232 768414030 /nfs/dbraw/zinc/41/40/30/768414030.db2.gz LMOLSZVHXPTVNN-GHMZBOCLSA-N 1 2 303.790 1.064 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CNC(=O)OC)CC[C@H]1C ZINC001131834232 768414033 /nfs/dbraw/zinc/41/40/33/768414033.db2.gz LMOLSZVHXPTVNN-GHMZBOCLSA-N 1 2 303.790 1.064 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scnc2C2CC2)C1 ZINC001047554238 768566095 /nfs/dbraw/zinc/56/60/95/768566095.db2.gz QCCOJXXRJMQDQH-STQMWFEESA-N 1 2 319.430 1.161 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scnc2C2CC2)C1 ZINC001047554238 768566098 /nfs/dbraw/zinc/56/60/98/768566098.db2.gz QCCOJXXRJMQDQH-STQMWFEESA-N 1 2 319.430 1.161 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC2CC2)C1 ZINC001132016312 768572925 /nfs/dbraw/zinc/57/29/25/768572925.db2.gz GGRCIDKYRSRIIH-ZBFHGGJFSA-N 1 2 319.449 1.141 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC2CC2)C1 ZINC001132016312 768572927 /nfs/dbraw/zinc/57/29/27/768572927.db2.gz GGRCIDKYRSRIIH-ZBFHGGJFSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001047633780 768625491 /nfs/dbraw/zinc/62/54/91/768625491.db2.gz LHWXTUIUZNUYPA-STQMWFEESA-N 1 2 320.458 1.796 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001047633780 768625498 /nfs/dbraw/zinc/62/54/98/768625498.db2.gz LHWXTUIUZNUYPA-STQMWFEESA-N 1 2 320.458 1.796 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3cccc(F)c3o2)C1 ZINC001047634122 768626560 /nfs/dbraw/zinc/62/65/60/768626560.db2.gz XBQFBYFERRRLTP-KBPBESRZSA-N 1 2 316.332 1.322 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3cccc(F)c3o2)C1 ZINC001047634122 768626566 /nfs/dbraw/zinc/62/65/66/768626566.db2.gz XBQFBYFERRRLTP-KBPBESRZSA-N 1 2 316.332 1.322 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)C2CCC2)C1 ZINC001070900522 768775682 /nfs/dbraw/zinc/77/56/82/768775682.db2.gz OTXLTROMBXMBJS-KFWWJZLASA-N 1 2 319.405 1.078 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)C2CCC2)C1 ZINC001070900522 768775685 /nfs/dbraw/zinc/77/56/85/768775685.db2.gz OTXLTROMBXMBJS-KFWWJZLASA-N 1 2 319.405 1.078 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@]2(C)C(C)C)CC1 ZINC001071013289 768880084 /nfs/dbraw/zinc/88/00/84/768880084.db2.gz XJZHMYRJQQAPBV-CRAIPNDOSA-N 1 2 321.465 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@]2(C)C(C)C)CC1 ZINC001071013289 768880093 /nfs/dbraw/zinc/88/00/93/768880093.db2.gz XJZHMYRJQQAPBV-CRAIPNDOSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001132577081 768996988 /nfs/dbraw/zinc/99/69/88/768996988.db2.gz QYMQINWSTFNHJA-GHMZBOCLSA-N 1 2 324.450 1.766 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071177134 769103679 /nfs/dbraw/zinc/10/36/79/769103679.db2.gz OUBPCVNXCSLXEC-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[N@H+]1CCN([C@@H]2CCCN(C(=O)C=C(C)C)C2)C(=O)C1 ZINC001071250495 769193177 /nfs/dbraw/zinc/19/31/77/769193177.db2.gz MZJTYFBIIJBCFM-MRXNPFEDSA-N 1 2 317.433 1.111 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@@H]2CCCN(C(=O)C=C(C)C)C2)C(=O)C1 ZINC001071250495 769193181 /nfs/dbraw/zinc/19/31/81/769193181.db2.gz MZJTYFBIIJBCFM-MRXNPFEDSA-N 1 2 317.433 1.111 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)[C@H](C)C#N)C2)c2c([nH+]1)CCCC2 ZINC001096339732 769298091 /nfs/dbraw/zinc/29/80/91/769298091.db2.gz VMPOCIUSNRHZIU-DGCLKSJQSA-N 1 2 313.405 1.518 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cn2cc(C)cn2)CC[C@H]1C ZINC001071376103 769356783 /nfs/dbraw/zinc/35/67/83/769356783.db2.gz NPKFESGNYMOGRQ-ZIAGYGMSSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cn2cc(C)cn2)CC[C@H]1C ZINC001071376103 769356791 /nfs/dbraw/zinc/35/67/91/769356791.db2.gz NPKFESGNYMOGRQ-ZIAGYGMSSA-N 1 2 310.829 1.913 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071416503 769403075 /nfs/dbraw/zinc/40/30/75/769403075.db2.gz URSGZNLURWBRPJ-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nc(COC)no2)CCC1 ZINC001133392013 769772991 /nfs/dbraw/zinc/77/29/91/769772991.db2.gz CMYJYWTVPGVEAQ-UHFFFAOYSA-N 1 2 308.382 1.168 20 30 DDEDLO C#CCN1C[C@H](NC(=O)c2ccn(-c3cc[nH+]cc3)n2)CC[C@H]1C ZINC001071676749 769849472 /nfs/dbraw/zinc/84/94/72/769849472.db2.gz OCYGFMJJTLSDPC-HUUCEWRRSA-N 1 2 323.400 1.483 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@H]4CN(CC#N)C[C@H]4C3)ccn12 ZINC001048817925 769938291 /nfs/dbraw/zinc/93/82/91/769938291.db2.gz BHMNSDGIFONYFB-GASCZTMLSA-N 1 2 309.373 1.170 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096440823 770086722 /nfs/dbraw/zinc/08/67/22/770086722.db2.gz ANFNCJKUPLZQSS-UKRRQHHQSA-N 1 2 316.405 1.135 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cn(CC)nn2)CC[C@H]1C ZINC001071942077 770318848 /nfs/dbraw/zinc/31/88/48/770318848.db2.gz MTLUAVHYABBOPG-NEPJUHHUSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cn(CC)nn2)CC[C@H]1C ZINC001071942077 770318857 /nfs/dbraw/zinc/31/88/57/770318857.db2.gz MTLUAVHYABBOPG-NEPJUHHUSA-N 1 2 311.817 1.633 20 30 DDEDLO C[C@@H](CC(=O)N[C@H]1CCN(c2ncccc2C#N)C1)n1cc[nH+]c1 ZINC001096488759 770450189 /nfs/dbraw/zinc/45/01/89/770450189.db2.gz SGUIDBMODJQBTL-ZFWWWQNUSA-N 1 2 324.388 1.496 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1C ZINC001072426185 770875283 /nfs/dbraw/zinc/87/52/83/770875283.db2.gz DRUFCRHRKIEFEN-ZFWWWQNUSA-N 1 2 318.421 1.282 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001049715164 771108102 /nfs/dbraw/zinc/10/81/02/771108102.db2.gz SYZBUEKFUOGGAO-VHDGCEQUSA-N 1 2 313.405 1.418 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[NH2+][C@@H](C)c1nc(C)no1 ZINC001135147565 771358437 /nfs/dbraw/zinc/35/84/37/771358437.db2.gz MOOBQRJOVDZFPF-DRZSPHRISA-N 1 2 308.382 1.126 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001097002237 771568181 /nfs/dbraw/zinc/56/81/81/771568181.db2.gz XTEWIWINPBCNOE-XYPYZODXSA-N 1 2 300.366 1.389 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@H]1C[C@H](Nc2ncccc2C#N)C1 ZINC001097113019 771629551 /nfs/dbraw/zinc/62/95/51/771629551.db2.gz DSXBCVZBSUVAND-HDJSIYSDSA-N 1 2 324.388 1.379 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001090569820 771996253 /nfs/dbraw/zinc/99/62/53/771996253.db2.gz JCXVYRHYARIMHI-AWEZNQCLSA-N 1 2 304.394 1.781 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnoc2CC)[C@H](O)C1 ZINC001090616384 772043978 /nfs/dbraw/zinc/04/39/78/772043978.db2.gz OYHJDKYSUGKUBC-NWDGAFQWSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnoc2CC)[C@H](O)C1 ZINC001090616384 772043984 /nfs/dbraw/zinc/04/39/84/772043984.db2.gz OYHJDKYSUGKUBC-NWDGAFQWSA-N 1 2 313.785 1.155 20 30 DDEDLO COC(=O)CO[C@H]1CC[N@H+](Cc2c(Cl)cccc2C#N)C1 ZINC001143734243 772297634 /nfs/dbraw/zinc/29/76/34/772297634.db2.gz GCXIBQJXHMPZMU-LBPRGKRZSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)CO[C@H]1CC[N@@H+](Cc2c(Cl)cccc2C#N)C1 ZINC001143734243 772297636 /nfs/dbraw/zinc/29/76/36/772297636.db2.gz GCXIBQJXHMPZMU-LBPRGKRZSA-N 1 2 308.765 1.976 20 30 DDEDLO C=CC[C@H](C)C(=O)N1CCCn2nnc(Cn3cc[nH+]c3)c2C1 ZINC001144014697 772373914 /nfs/dbraw/zinc/37/39/14/772373914.db2.gz SFTNDWFZMYKMPK-ZDUSSCGKSA-N 1 2 314.393 1.467 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@@H]1O ZINC001091149156 772551353 /nfs/dbraw/zinc/55/13/53/772551353.db2.gz KFOVTVKKDHFNMZ-CABCVRRESA-N 1 2 318.421 1.424 20 30 DDEDLO N=C(Nc1ccc(Cn2cc[nH+]c2)cn1)c1ccc(C(N)=O)cc1 ZINC001171252494 772727406 /nfs/dbraw/zinc/72/74/06/772727406.db2.gz GSTZXVXYQCJXAD-UHFFFAOYSA-N 1 2 320.356 1.462 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CC(=O)N(C3CCCCC3)C2)CC1 ZINC001144988810 772747693 /nfs/dbraw/zinc/74/76/93/772747693.db2.gz OZNBMGWQPXATGO-HNNXBMFYSA-N 1 2 319.449 1.498 20 30 DDEDLO Cc1nc(C[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)oc1C ZINC001147498485 773153623 /nfs/dbraw/zinc/15/36/23/773153623.db2.gz AKDJLIYDFYHGBX-UHFFFAOYSA-N 1 2 315.417 1.985 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)oc1C ZINC001147498485 773153626 /nfs/dbraw/zinc/15/36/26/773153626.db2.gz AKDJLIYDFYHGBX-UHFFFAOYSA-N 1 2 315.417 1.985 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(CC)n1)C2 ZINC001147640167 773188476 /nfs/dbraw/zinc/18/84/76/773188476.db2.gz OWFWXCFQJUPUPR-UHFFFAOYSA-N 1 2 317.437 1.689 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+](Cc1cnn(CC)n1)C2 ZINC001147640167 773188480 /nfs/dbraw/zinc/18/84/80/773188480.db2.gz OWFWXCFQJUPUPR-UHFFFAOYSA-N 1 2 317.437 1.689 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001148147323 773366005 /nfs/dbraw/zinc/36/60/05/773366005.db2.gz PGXIVTWJKZACIV-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001148147323 773366013 /nfs/dbraw/zinc/36/60/13/773366013.db2.gz PGXIVTWJKZACIV-CQSZACIVSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H]2C[C@H]2CC)C1 ZINC001073778778 773401016 /nfs/dbraw/zinc/40/10/16/773401016.db2.gz JCDRRQKOBTZMQN-MGPQQGTHSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H]2C[C@H]2CC)C1 ZINC001073778778 773401021 /nfs/dbraw/zinc/40/10/21/773401021.db2.gz JCDRRQKOBTZMQN-MGPQQGTHSA-N 1 2 300.830 1.992 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)nn1C ZINC001073972934 773565934 /nfs/dbraw/zinc/56/59/34/773565934.db2.gz KJEROWZYAYJMJK-XJKSGUPXSA-N 1 2 317.437 1.701 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)nn1C ZINC001073972934 773565936 /nfs/dbraw/zinc/56/59/36/773565936.db2.gz KJEROWZYAYJMJK-XJKSGUPXSA-N 1 2 317.437 1.701 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3C)C[C@H]21 ZINC001074208313 773731350 /nfs/dbraw/zinc/73/13/50/773731350.db2.gz GUGKXJGFMGMLJS-HZPDHXFCSA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3C)C[C@H]21 ZINC001074208313 773731354 /nfs/dbraw/zinc/73/13/54/773731354.db2.gz GUGKXJGFMGMLJS-HZPDHXFCSA-N 1 2 301.390 1.262 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3OCCCO3)C[C@@H]21 ZINC001074222810 773748110 /nfs/dbraw/zinc/74/81/10/773748110.db2.gz NKMANOOLOWSMLH-LSDHHAIUSA-N 1 2 324.421 1.017 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CC3OCCCO3)C[C@@H]21 ZINC001074222810 773748113 /nfs/dbraw/zinc/74/81/13/773748113.db2.gz NKMANOOLOWSMLH-LSDHHAIUSA-N 1 2 324.421 1.017 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3csc(=O)[nH]3)C[C@H]21 ZINC001074316679 773817277 /nfs/dbraw/zinc/81/72/77/773817277.db2.gz COGVCDVZYRBISE-OLZOCXBDSA-N 1 2 323.418 1.340 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3csc(=O)[nH]3)C[C@H]21 ZINC001074316679 773817282 /nfs/dbraw/zinc/81/72/82/773817282.db2.gz COGVCDVZYRBISE-OLZOCXBDSA-N 1 2 323.418 1.340 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cnoc3CC)C[C@@H]21 ZINC001074348021 773843889 /nfs/dbraw/zinc/84/38/89/773843889.db2.gz GJCUQRVGBTZOSK-GOEBONIOSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cnoc3CC)C[C@@H]21 ZINC001074348021 773843895 /nfs/dbraw/zinc/84/38/95/773843895.db2.gz GJCUQRVGBTZOSK-GOEBONIOSA-N 1 2 319.405 1.728 20 30 DDEDLO N#Cc1cccnc1NC1CC(CNC(=O)CCn2cc[nH+]c2)C1 ZINC001092250216 774045933 /nfs/dbraw/zinc/04/59/33/774045933.db2.gz NWUVGQXDGNHUIL-UHFFFAOYSA-N 1 2 324.388 1.547 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC1(C)C)c1nccn12 ZINC001092362745 774076970 /nfs/dbraw/zinc/07/69/70/774076970.db2.gz XRKVEWRBUQBQEH-ZIAGYGMSSA-N 1 2 312.417 1.524 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C1CC(C)C1)c1nccn12 ZINC001092362724 774077123 /nfs/dbraw/zinc/07/71/23/774077123.db2.gz XJKGAXAZFWFVPF-NRXISQOPSA-N 1 2 312.417 1.524 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@]1(C)C=CCC1)c1nccn12 ZINC001092386153 774095662 /nfs/dbraw/zinc/09/56/62/774095662.db2.gz DVHFFHNNICTGBQ-MAUKXSAKSA-N 1 2 324.428 1.835 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccco1)c1nccn12 ZINC001092403023 774103291 /nfs/dbraw/zinc/10/32/91/774103291.db2.gz AIKSWKKDQHBCHT-HNNXBMFYSA-N 1 2 324.384 1.314 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001075045981 774319281 /nfs/dbraw/zinc/31/92/81/774319281.db2.gz QLUXXSRKCRYHJI-HUUCEWRRSA-N 1 2 318.421 1.735 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@@H]1C ZINC001075210698 774425386 /nfs/dbraw/zinc/42/53/86/774425386.db2.gz FPKVBAWXIPPESE-UONOGXRCSA-N 1 2 316.405 1.107 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001098711857 774650202 /nfs/dbraw/zinc/65/02/02/774650202.db2.gz XZCBZGHGQHRFNG-MRXNPFEDSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cnn(C)c1)C2 ZINC001110144476 774657770 /nfs/dbraw/zinc/65/77/70/774657770.db2.gz XCTZTOOPHSCSAY-ILXRZTDVSA-N 1 2 322.840 1.827 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cnn(C)c1)C2 ZINC001110144476 774657772 /nfs/dbraw/zinc/65/77/72/774657772.db2.gz XCTZTOOPHSCSAY-ILXRZTDVSA-N 1 2 322.840 1.827 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@H]21 ZINC001036818966 774707240 /nfs/dbraw/zinc/70/72/40/774707240.db2.gz LJNQGYOHAHRCQQ-ZWNOBZJWSA-N 1 2 308.332 1.517 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@H]21 ZINC001036818966 774707242 /nfs/dbraw/zinc/70/72/42/774707242.db2.gz LJNQGYOHAHRCQQ-ZWNOBZJWSA-N 1 2 308.332 1.517 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C3=CCOCC3)CC2)C1 ZINC001093529793 774781663 /nfs/dbraw/zinc/78/16/63/774781663.db2.gz ISNPTWDLZAWKHD-UHFFFAOYSA-N 1 2 320.433 1.601 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)nn1 ZINC001099032577 774784385 /nfs/dbraw/zinc/78/43/85/774784385.db2.gz ULRBWAGTANYRRC-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C3CC(OC)C3)CC2)C1 ZINC001093544423 774818728 /nfs/dbraw/zinc/81/87/28/774818728.db2.gz FOCIGLBUDHDUIV-UHFFFAOYSA-N 1 2 322.449 1.679 20 30 DDEDLO Cc1nsc(NCCNC(=O)CCCn2cc[nH+]c2)c1C#N ZINC001093714682 775029948 /nfs/dbraw/zinc/02/99/48/775029948.db2.gz SNXMWGPUFBWGKY-UHFFFAOYSA-N 1 2 318.406 1.528 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099728120 775195076 /nfs/dbraw/zinc/19/50/76/775195076.db2.gz VUZDQRWFLVUPLX-STQMWFEESA-N 1 2 309.435 1.469 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C[C@@H]1O ZINC001099728120 775195083 /nfs/dbraw/zinc/19/50/83/775195083.db2.gz VUZDQRWFLVUPLX-STQMWFEESA-N 1 2 309.435 1.469 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC(C)(C)COC)[C@H](O)C1 ZINC001099932664 775491354 /nfs/dbraw/zinc/49/13/54/775491354.db2.gz BQMOCKMNLNSAJX-CHWSQXEVSA-N 1 2 318.845 1.353 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC(C)(C)COC)[C@H](O)C1 ZINC001099932664 775491361 /nfs/dbraw/zinc/49/13/61/775491361.db2.gz BQMOCKMNLNSAJX-CHWSQXEVSA-N 1 2 318.845 1.353 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3ccccc3)nn2)C1 ZINC001094270266 775612448 /nfs/dbraw/zinc/61/24/48/775612448.db2.gz PIBBWVZHTPSHCW-UHFFFAOYSA-N 1 2 311.389 1.641 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@H]3CCC)nn2)C1 ZINC001094318345 775678738 /nfs/dbraw/zinc/67/87/38/775678738.db2.gz LHBCVWWKZZKITI-IUODEOHRSA-N 1 2 303.410 1.373 20 30 DDEDLO Cc1nsc(NCCCNC(=O)Cn2cc[nH+]c2)c1C#N ZINC001094471506 775926721 /nfs/dbraw/zinc/92/67/21/775926721.db2.gz JRJAXXPTPCTHJR-UHFFFAOYSA-N 1 2 304.379 1.138 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3cscc3C)CC2=O)C1 ZINC001094715712 776183296 /nfs/dbraw/zinc/18/32/96/776183296.db2.gz PIRLGNLDMLAGKA-GFCCVEGCSA-N 1 2 319.430 1.257 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3C(C)(C)C3(C)C)CC2=O)C1 ZINC001094721353 776188657 /nfs/dbraw/zinc/18/86/57/776188657.db2.gz AFFDKNNZVVATIR-LBPRGKRZSA-N 1 2 319.449 1.256 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3ccccc3F)CC2=O)C1 ZINC001094697614 776209212 /nfs/dbraw/zinc/20/92/12/776209212.db2.gz PDJBLZUOHVEWSV-GFCCVEGCSA-N 1 2 317.364 1.027 20 30 DDEDLO C[C@@H](CCCCNCC#N)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001171596036 776361451 /nfs/dbraw/zinc/36/14/51/776361451.db2.gz IZZLJPDVNYQNSH-KBPBESRZSA-N 1 2 303.410 1.234 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC[C@H]2CNC(=O)Cn2cc[nH+]c2)n1 ZINC001100843306 776624467 /nfs/dbraw/zinc/62/44/67/776624467.db2.gz DYTBDNWNNVHJQS-HNNXBMFYSA-N 1 2 324.388 1.243 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2CNC(=O)CCn2cc[nH+]c2)nc1 ZINC001100884684 776666644 /nfs/dbraw/zinc/66/66/44/776666644.db2.gz DWFMBVAQBOVZOM-HNNXBMFYSA-N 1 2 324.388 1.325 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2CNC(=O)CCc2c[nH]c[nH+]2)nc1 ZINC001100918909 776702627 /nfs/dbraw/zinc/70/26/27/776702627.db2.gz KWAUCORXLOALIE-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]2CNC(=O)CCc2c[nH+]c[nH]2)nc1 ZINC001100918909 776702632 /nfs/dbraw/zinc/70/26/32/776702632.db2.gz KWAUCORXLOALIE-HNNXBMFYSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1nc(N(CCNC(=O)Cn2cc[nH+]c2)C2CC2)ccc1C#N ZINC001101358966 777094685 /nfs/dbraw/zinc/09/46/85/777094685.db2.gz AWPSPFXJERHODS-UHFFFAOYSA-N 1 2 324.388 1.243 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CCCC#CC)c1nccn12 ZINC001101639568 777336508 /nfs/dbraw/zinc/33/65/08/777336508.db2.gz LHDZHWYYNNEAPZ-HNNXBMFYSA-N 1 2 312.417 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)C(C)(F)F)c1nccn12 ZINC001101645147 777341855 /nfs/dbraw/zinc/34/18/55/777341855.db2.gz YKHMOGKNHYLMSN-NEPJUHHUSA-N 1 2 324.375 1.932 20 30 DDEDLO CN(CCNc1ncc(C#N)cc1F)C(=O)CCn1cc[nH+]c1 ZINC001101889293 777648265 /nfs/dbraw/zinc/64/82/65/777648265.db2.gz VBVLPKMYURFKPB-UHFFFAOYSA-N 1 2 316.340 1.249 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102443334 778144652 /nfs/dbraw/zinc/14/46/52/778144652.db2.gz GZPHITHDHBFFHW-ZFWWWQNUSA-N 1 2 318.421 1.661 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(F)F)C[C@H]21 ZINC001176882204 778290238 /nfs/dbraw/zinc/29/02/38/778290238.db2.gz MZBOSGGSLLKLJG-CHWSQXEVSA-N 1 2 302.365 1.910 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(F)F)C[C@H]21 ZINC001176882204 778290242 /nfs/dbraw/zinc/29/02/42/778290242.db2.gz MZBOSGGSLLKLJG-CHWSQXEVSA-N 1 2 302.365 1.910 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCOCC)C[C@@H]21 ZINC001176929832 778318430 /nfs/dbraw/zinc/31/84/30/778318430.db2.gz NDCHEFQDPGPVDC-JKSUJKDBSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCOCC)C[C@@H]21 ZINC001176929832 778318435 /nfs/dbraw/zinc/31/84/35/778318435.db2.gz NDCHEFQDPGPVDC-JKSUJKDBSA-N 1 2 308.422 1.128 20 30 DDEDLO CCOCCC[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001177006965 778386652 /nfs/dbraw/zinc/38/66/52/778386652.db2.gz WATGUXWNKCSBAV-IRXDYDNUSA-N 1 2 320.433 1.128 20 30 DDEDLO CCOCCC[N@H+]1CCO[C@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001177006965 778386656 /nfs/dbraw/zinc/38/66/56/778386656.db2.gz WATGUXWNKCSBAV-IRXDYDNUSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCOCC(=O)N(Cc1ccccc1)CC1(O)C[NH+](CC)C1 ZINC001177520466 778632063 /nfs/dbraw/zinc/63/20/63/778632063.db2.gz PANHSVMTEMNJQJ-UHFFFAOYSA-N 1 2 318.417 1.284 20 30 DDEDLO C#CCCCC(=O)N(Cc1ccccc1)CC1(O)C[NH+](CC)C1 ZINC001177530267 778638839 /nfs/dbraw/zinc/63/88/39/778638839.db2.gz ZFNMTDBKYKNLAF-UHFFFAOYSA-N 1 2 314.429 1.885 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2ccnc(C(F)(F)F)c2)CC1 ZINC001177567287 778650653 /nfs/dbraw/zinc/65/06/53/778650653.db2.gz DBCRHLZMGOVZIQ-UHFFFAOYSA-N 1 2 313.323 1.973 20 30 DDEDLO COCC[NH+]1CCN(C(=O)[C@H](C#N)Cc2cccs2)CC1 ZINC001177908165 778779695 /nfs/dbraw/zinc/77/96/95/778779695.db2.gz JIZXIAWMQSFPCK-ZDUSSCGKSA-N 1 2 307.419 1.221 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1nccnc1C#N ZINC001103357681 778813456 /nfs/dbraw/zinc/81/34/56/778813456.db2.gz YTBOAHBSQAGSQZ-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1nccnc1C#N ZINC001103357681 778813463 /nfs/dbraw/zinc/81/34/63/778813463.db2.gz YTBOAHBSQAGSQZ-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H]1CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001103784067 779042772 /nfs/dbraw/zinc/04/27/72/779042772.db2.gz FBOBEHNTEDGLOZ-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1nsc(NC[C@@H](C)CNC(=O)Cn2cc[nH+]c2)c1C#N ZINC001104001791 779235603 /nfs/dbraw/zinc/23/56/03/779235603.db2.gz CJFWINVJDNGLFO-JTQLQIEISA-N 1 2 318.406 1.384 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104177168 779354343 /nfs/dbraw/zinc/35/43/43/779354343.db2.gz NRRHMAGUQSRDIL-LLVKDONJSA-N 1 2 320.441 1.622 20 30 DDEDLO C#CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CC[NH+](CC=C)CC1 ZINC001179584064 779432785 /nfs/dbraw/zinc/43/27/85/779432785.db2.gz SUXOOOCPGDVUMH-AWEZNQCLSA-N 1 2 321.421 1.233 20 30 DDEDLO Cc1nc(NC2(CNC(=O)c3c[nH]c(C#N)c3)CCC2)cc[nH+]1 ZINC001111785211 779480875 /nfs/dbraw/zinc/48/08/75/779480875.db2.gz YBMDCHZHKSVBCO-UHFFFAOYSA-N 1 2 310.361 1.749 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)CCn2cc[nH+]c2)CCC1 ZINC001111876367 779534035 /nfs/dbraw/zinc/53/40/35/779534035.db2.gz JZIYKBWFGWNTCQ-UHFFFAOYSA-N 1 2 324.388 1.691 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@](CO)(Nc2cc[nH+]c(C)n2)C1 ZINC001112227888 779655266 /nfs/dbraw/zinc/65/52/66/779655266.db2.gz DDOYUQKTSHMKJX-QGZVFWFLSA-N 1 2 316.405 1.354 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]([NH2+]Cc2cnsn2)[C@@H]1C ZINC001180268664 779686971 /nfs/dbraw/zinc/68/69/71/779686971.db2.gz FDIMVHITXPNYSD-GVXVVHGQSA-N 1 2 310.423 1.208 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H](Nc2cc[nH+]c(C)n2)[C@@H](C)C1 ZINC001112320128 779715407 /nfs/dbraw/zinc/71/54/07/779715407.db2.gz NSAFNBXZPNVZDD-JSGCOSHPSA-N 1 2 304.394 1.637 20 30 DDEDLO C=CCOCC(=O)N1CCC(N(C)c2cc[nH+]c(C)n2)CC1 ZINC001115134363 779895413 /nfs/dbraw/zinc/89/54/13/779895413.db2.gz JQTCJNSLZHRRCN-UHFFFAOYSA-N 1 2 304.394 1.415 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC(N(C)c2cc[nH+]c(C)n2)CC1 ZINC001115142936 779901113 /nfs/dbraw/zinc/90/11/13/779901113.db2.gz JQXRGXPFSBOLMJ-CYBMUJFWSA-N 1 2 318.421 1.803 20 30 DDEDLO C#CCOCC[N@@H+](C)[C@H](C)C(=O)Nc1cccc(C(C)=O)c1 ZINC001116401049 780505067 /nfs/dbraw/zinc/50/50/67/780505067.db2.gz BKSZGIBMBZRDIV-CYBMUJFWSA-N 1 2 302.374 1.798 20 30 DDEDLO C#CCOCC[N@H+](C)[C@H](C)C(=O)Nc1cccc(C(C)=O)c1 ZINC001116401049 780505075 /nfs/dbraw/zinc/50/50/75/780505075.db2.gz BKSZGIBMBZRDIV-CYBMUJFWSA-N 1 2 302.374 1.798 20 30 DDEDLO COCC#CC(=O)NCCN(Cc1ccccc1)c1cccc[nH+]1 ZINC001119053665 781308670 /nfs/dbraw/zinc/30/86/70/781308670.db2.gz KIHLPNZJVNRGIB-UHFFFAOYSA-N 1 2 323.396 1.854 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)C(=O)N(C)CCCn2cc[nH+]c2)CC1 ZINC001119290743 781395594 /nfs/dbraw/zinc/39/55/94/781395594.db2.gz FYGRLUACYKEUET-SHTZXODSSA-N 1 2 316.405 1.040 20 30 DDEDLO C[C@H](CN(C)C(=O)Cc1[nH]cc[nH+]1)Nc1ncc(C#N)cc1F ZINC001119978343 781704862 /nfs/dbraw/zinc/70/48/62/781704862.db2.gz GHPZFWUPKIJMGP-SNVBAGLBSA-N 1 2 316.340 1.317 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)CCNC(=O)c1cc(C)co1 ZINC001267212096 837541805 /nfs/dbraw/zinc/54/18/05/837541805.db2.gz XFJXUYKJLLWYBX-UHFFFAOYSA-N 1 2 321.421 1.674 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)CCNC(=O)c1cc(C)co1 ZINC001267212096 837541811 /nfs/dbraw/zinc/54/18/11/837541811.db2.gz XFJXUYKJLLWYBX-UHFFFAOYSA-N 1 2 321.421 1.674 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](C)Cc1cc(C)ns1 ZINC001267217896 837550851 /nfs/dbraw/zinc/55/08/51/837550851.db2.gz SHEPUMUZGNYWMM-KGLIPLIRSA-N 1 2 309.435 1.591 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](C)Cc1cc(C)ns1 ZINC001267217896 837550856 /nfs/dbraw/zinc/55/08/56/837550856.db2.gz SHEPUMUZGNYWMM-KGLIPLIRSA-N 1 2 309.435 1.591 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)C[C@H](C)C1CCCCC1 ZINC001267221750 837557829 /nfs/dbraw/zinc/55/78/29/837557829.db2.gz VUVXMBGSKRZUOR-HNNXBMFYSA-N 1 2 321.465 1.390 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)C[C@H](C)C1CCCCC1 ZINC001267221750 837557836 /nfs/dbraw/zinc/55/78/36/837557836.db2.gz VUVXMBGSKRZUOR-HNNXBMFYSA-N 1 2 321.465 1.390 20 30 DDEDLO O=C(CC#Cc1ccccc1)NC[C@H]1CC[N@H+](Cc2cnon2)C1 ZINC001267310508 837800462 /nfs/dbraw/zinc/80/04/62/837800462.db2.gz XERLNBPWHDHEJP-MRXNPFEDSA-N 1 2 324.384 1.450 20 30 DDEDLO O=C(CC#Cc1ccccc1)NC[C@H]1CC[N@@H+](Cc2cnon2)C1 ZINC001267310508 837800476 /nfs/dbraw/zinc/80/04/76/837800476.db2.gz XERLNBPWHDHEJP-MRXNPFEDSA-N 1 2 324.384 1.450 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001266307773 836093106 /nfs/dbraw/zinc/09/31/06/836093106.db2.gz KOJAWZHDIWJFCA-CHWSQXEVSA-N 1 2 323.393 1.355 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001266307773 836093111 /nfs/dbraw/zinc/09/31/11/836093111.db2.gz KOJAWZHDIWJFCA-CHWSQXEVSA-N 1 2 323.393 1.355 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001266318814 836111291 /nfs/dbraw/zinc/11/12/91/836111291.db2.gz AXXSDJUFMCGNLI-CYBMUJFWSA-N 1 2 307.419 1.182 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001266318814 836111295 /nfs/dbraw/zinc/11/12/95/836111295.db2.gz AXXSDJUFMCGNLI-CYBMUJFWSA-N 1 2 307.419 1.182 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H]1CC[N@H+](Cc2nncs2)C1 ZINC001266502539 836359332 /nfs/dbraw/zinc/35/93/32/836359332.db2.gz GCQGTRRCJHQSEJ-STQMWFEESA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H]1CC[N@@H+](Cc2nncs2)C1 ZINC001266502539 836359338 /nfs/dbraw/zinc/35/93/38/836359338.db2.gz GCQGTRRCJHQSEJ-STQMWFEESA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1CCC[N@@H+](Cc2nnc(C)[nH]2)C1 ZINC001266770014 836805556 /nfs/dbraw/zinc/80/55/56/836805556.db2.gz NDNQAXIOQWFXDG-CQSZACIVSA-N 1 2 321.425 1.034 20 30 DDEDLO C=CCCOCC(=O)NC[C@H]1CCC[N@H+](Cc2nnc(C)[nH]2)C1 ZINC001266770014 836805567 /nfs/dbraw/zinc/80/55/67/836805567.db2.gz NDNQAXIOQWFXDG-CQSZACIVSA-N 1 2 321.425 1.034 20 30 DDEDLO CC1(CCC(=O)NC[C@@H]2CCCC[N@@H+]2CC(=O)NCC#N)CC1 ZINC001266859430 836950299 /nfs/dbraw/zinc/95/02/99/836950299.db2.gz YLARJVNYRRJGHQ-AWEZNQCLSA-N 1 2 320.437 1.177 20 30 DDEDLO CC1(CCC(=O)NC[C@@H]2CCCC[N@H+]2CC(=O)NCC#N)CC1 ZINC001266859430 836950309 /nfs/dbraw/zinc/95/03/09/836950309.db2.gz YLARJVNYRRJGHQ-AWEZNQCLSA-N 1 2 320.437 1.177 20 30 DDEDLO CC(C)c1noc([C@@H](C)[NH2+][C@H](C)CNC(=O)C#CC2CC2)n1 ZINC001266937011 837082994 /nfs/dbraw/zinc/08/29/94/837082994.db2.gz AHYDLIQKSOXBAY-VXGBXAGGSA-N 1 2 304.394 1.762 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)CCOCCNC(=O)[C@H](C)C#N)o1 ZINC001283360517 837188581 /nfs/dbraw/zinc/18/85/81/837188581.db2.gz WKUYMUIHCLBUFG-DGCLKSJQSA-N 1 2 308.382 1.268 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)CCOCCNC(=O)[C@H](C)C#N)o1 ZINC001283360517 837188585 /nfs/dbraw/zinc/18/85/85/837188585.db2.gz WKUYMUIHCLBUFG-DGCLKSJQSA-N 1 2 308.382 1.268 20 30 DDEDLO CCCN(C(=O)CC(C)(C)C)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267451433 838066460 /nfs/dbraw/zinc/06/64/60/838066460.db2.gz ZZZOMQNFFQBXGI-CQSZACIVSA-N 1 2 322.453 1.375 20 30 DDEDLO CCCN(C(=O)CC(C)(C)C)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267451433 838066474 /nfs/dbraw/zinc/06/64/74/838066474.db2.gz ZZZOMQNFFQBXGI-CQSZACIVSA-N 1 2 322.453 1.375 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)c2cccc(OC)c2)CC1 ZINC001267576342 838346396 /nfs/dbraw/zinc/34/63/96/838346396.db2.gz WHSKHMAAHIQFSW-UHFFFAOYSA-N 1 2 317.433 1.571 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@@]2(C)CC=CCC2)CC1 ZINC001267607333 838435542 /nfs/dbraw/zinc/43/55/42/838435542.db2.gz FJGUBOLWMLWUCR-SFHVURJKSA-N 1 2 305.466 1.995 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)[C@@H](OC)[C@@H](C)CC)CC1 ZINC001267609341 838451776 /nfs/dbraw/zinc/45/17/76/838451776.db2.gz WTAWXGIKYXWMFO-IRXDYDNUSA-N 1 2 323.481 1.147 20 30 DDEDLO COCC(=O)N[C@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001267621269 838511172 /nfs/dbraw/zinc/51/11/72/838511172.db2.gz SAEHBBDKSPFKSZ-INIZCTEOSA-N 1 2 304.365 1.404 20 30 DDEDLO COCC(=O)N[C@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001267621269 838511175 /nfs/dbraw/zinc/51/11/75/838511175.db2.gz SAEHBBDKSPFKSZ-INIZCTEOSA-N 1 2 304.365 1.404 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+]([C@H]3CCNC3=O)C2)CCC1 ZINC001267629764 838531374 /nfs/dbraw/zinc/53/13/74/838531374.db2.gz JGOJULJCWDVBOB-KBPBESRZSA-N 1 2 305.422 1.202 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+]([C@H]3CCNC3=O)C2)CCC1 ZINC001267629764 838531379 /nfs/dbraw/zinc/53/13/79/838531379.db2.gz JGOJULJCWDVBOB-KBPBESRZSA-N 1 2 305.422 1.202 20 30 DDEDLO CCCCCCC[C@@H](O)CC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC001272026396 844353822 /nfs/dbraw/zinc/35/38/22/844353822.db2.gz DCPBWKQEWJDSFP-PMPSAXMXSA-N 1 2 312.454 1.639 20 30 DDEDLO CCCCCCC[C@@H](O)CC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC001272026396 844353831 /nfs/dbraw/zinc/35/38/31/844353831.db2.gz DCPBWKQEWJDSFP-PMPSAXMXSA-N 1 2 312.454 1.639 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001267739746 838805174 /nfs/dbraw/zinc/80/51/74/838805174.db2.gz WWAIXBCAZSYYBN-CYBMUJFWSA-N 1 2 324.450 1.520 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001267739746 838805186 /nfs/dbraw/zinc/80/51/86/838805186.db2.gz WWAIXBCAZSYYBN-CYBMUJFWSA-N 1 2 324.450 1.520 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](N(CC)C(=O)c2ccco2)C1 ZINC001267780960 838962777 /nfs/dbraw/zinc/96/27/77/838962777.db2.gz YSVRMNIHPCZKDS-CYBMUJFWSA-N 1 2 305.378 1.118 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccco2)C1 ZINC001267780960 838962790 /nfs/dbraw/zinc/96/27/90/838962790.db2.gz YSVRMNIHPCZKDS-CYBMUJFWSA-N 1 2 305.378 1.118 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1(C[NH2+]Cc2nnn(C)n2)CCCC1 ZINC001267836898 839058198 /nfs/dbraw/zinc/05/81/98/839058198.db2.gz ANYPGYWKXVVPDG-UHFFFAOYSA-N 1 2 320.441 1.331 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)[C@H]1C ZINC001283864216 839088059 /nfs/dbraw/zinc/08/80/59/839088059.db2.gz CYQOQUYLINOXCT-VXGBXAGGSA-N 1 2 307.398 1.181 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001268158435 839768687 /nfs/dbraw/zinc/76/86/87/839768687.db2.gz PKYOQIMORIBOLT-ZDUSSCGKSA-N 1 2 319.434 1.122 20 30 DDEDLO Cc1nccnc1C[N@H+]1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001268158435 839768692 /nfs/dbraw/zinc/76/86/92/839768692.db2.gz PKYOQIMORIBOLT-ZDUSSCGKSA-N 1 2 319.434 1.122 20 30 DDEDLO C=CCC1(C(=O)NC2C[NH+](C[C@H]3CC[C@@H](C)O3)C2)CCOCC1 ZINC001268479180 840274401 /nfs/dbraw/zinc/27/44/01/840274401.db2.gz SOXJAGAEAICEGW-GDBMZVCRSA-N 1 2 322.449 1.727 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001279847075 840398156 /nfs/dbraw/zinc/39/81/56/840398156.db2.gz DGCCDEJTOJRIQJ-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CCCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001279847075 840398159 /nfs/dbraw/zinc/39/81/59/840398159.db2.gz DGCCDEJTOJRIQJ-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)C[NH2+][C@@H](C)c2nc(COC)no2)C1 ZINC001268661004 840645105 /nfs/dbraw/zinc/64/51/05/840645105.db2.gz FFQFWQMORYKTTO-NEPJUHHUSA-N 1 2 322.409 1.728 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](CCCC)C(N)=O ZINC001268947550 841047425 /nfs/dbraw/zinc/04/74/25/841047425.db2.gz TVCXDABSFHTCJP-KKUMJFAQSA-N 1 2 307.438 1.672 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](CCCC)C(N)=O ZINC001268947550 841047430 /nfs/dbraw/zinc/04/74/30/841047430.db2.gz TVCXDABSFHTCJP-KKUMJFAQSA-N 1 2 307.438 1.672 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)CCc1[nH]cc[nH+]1 ZINC001269174892 841294308 /nfs/dbraw/zinc/29/43/08/841294308.db2.gz CXHKBEAESIHMAN-CHWSQXEVSA-N 1 2 302.378 1.120 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@H+]1[C@H](C)C(=O)N1CCCCC1 ZINC001269240662 841407110 /nfs/dbraw/zinc/40/71/10/841407110.db2.gz MUZRHQCNGCYFCU-HZPDHXFCSA-N 1 2 321.465 1.934 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CC[N@@H+]1[C@H](C)C(=O)N1CCCCC1 ZINC001269240662 841407116 /nfs/dbraw/zinc/40/71/16/841407116.db2.gz MUZRHQCNGCYFCU-HZPDHXFCSA-N 1 2 321.465 1.934 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)cc(F)cc1C ZINC001269272073 841452212 /nfs/dbraw/zinc/45/22/12/841452212.db2.gz HRJBHLAFNMBSQD-INIZCTEOSA-N 1 2 318.392 1.896 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)cc(F)cc1C ZINC001269272073 841452216 /nfs/dbraw/zinc/45/22/16/841452216.db2.gz HRJBHLAFNMBSQD-INIZCTEOSA-N 1 2 318.392 1.896 20 30 DDEDLO CC[C@H](CNC(=O)C#CC1CC1)[NH2+]Cc1nc(C2CCC2)no1 ZINC001269402073 841609753 /nfs/dbraw/zinc/60/97/53/841609753.db2.gz ILGCYJXJAOKIPF-CQSZACIVSA-N 1 2 316.405 1.735 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC3(CCN3CCn3cc[nH+]c3)C2)c1 ZINC001269714865 841960636 /nfs/dbraw/zinc/96/06/36/841960636.db2.gz FULWSFBSSQLMIR-UHFFFAOYSA-N 1 2 321.384 1.355 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](CCN(C)C(=O)CCCC)CC1 ZINC001284835161 842339513 /nfs/dbraw/zinc/33/95/13/842339513.db2.gz CSPRJWALBKJJNF-UHFFFAOYSA-N 1 2 309.454 1.745 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1(C)CC[NH+](Cc2ncccn2)CC1 ZINC001270226184 842401014 /nfs/dbraw/zinc/40/10/14/842401014.db2.gz VKMJBZFQLCADCC-QGZVFWFLSA-N 1 2 318.421 1.274 20 30 DDEDLO C#CCN(C(=O)c1ccc[nH]1)C1CC[NH+](Cc2ncccn2)CC1 ZINC001270284693 842457122 /nfs/dbraw/zinc/45/71/22/842457122.db2.gz DIKIGIJOHWLCDI-UHFFFAOYSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CCN(C(=O)[C@@H](C)CC)C1CC[NH+](Cc2ncccn2)CC1 ZINC001270290221 842460141 /nfs/dbraw/zinc/46/01/41/842460141.db2.gz IJYKSJOYKZQTGV-HNNXBMFYSA-N 1 2 314.433 1.949 20 30 DDEDLO N#CCSCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccon1 ZINC001270468724 842599869 /nfs/dbraw/zinc/59/98/69/842599869.db2.gz QTLFHZSPSKEBMF-KGLIPLIRSA-N 1 2 320.418 1.497 20 30 DDEDLO N#CCSCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccon1 ZINC001270468724 842599873 /nfs/dbraw/zinc/59/98/73/842599873.db2.gz QTLFHZSPSKEBMF-KGLIPLIRSA-N 1 2 320.418 1.497 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1C[N@H+](CCOC2CCC2)CCO1 ZINC001270681774 842814881 /nfs/dbraw/zinc/81/48/81/842814881.db2.gz DIZRBLLWGQOVKX-MRXNPFEDSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1C[N@@H+](CCOC2CCC2)CCO1 ZINC001270681774 842814893 /nfs/dbraw/zinc/81/48/93/842814893.db2.gz DIZRBLLWGQOVKX-MRXNPFEDSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)Cc2c(C)nn(CC)c2C)C1 ZINC001270690509 842821451 /nfs/dbraw/zinc/82/14/51/842821451.db2.gz DOKMHTGCGQJSFY-HNNXBMFYSA-N 1 2 320.437 1.065 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)Cc2c(C)nn(CC)c2C)C1 ZINC001270690509 842821459 /nfs/dbraw/zinc/82/14/59/842821459.db2.gz DOKMHTGCGQJSFY-HNNXBMFYSA-N 1 2 320.437 1.065 20 30 DDEDLO CC[C@@H]1CCCC[C@H]1C(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001272146778 844653954 /nfs/dbraw/zinc/65/39/54/844653954.db2.gz ZKWBNOFYNVERBA-HUUCEWRRSA-N 1 2 322.453 1.233 20 30 DDEDLO CC[C@@H]1CCCC[C@H]1C(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001272146778 844653960 /nfs/dbraw/zinc/65/39/60/844653960.db2.gz ZKWBNOFYNVERBA-HUUCEWRRSA-N 1 2 322.453 1.233 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H](C)[N@H+](C)Cc1nc(-c2ccoc2)no1 ZINC001409506405 845210401 /nfs/dbraw/zinc/21/04/01/845210401.db2.gz KBOTXNPYLZBLBS-QWRGUYRKSA-N 1 2 317.349 1.426 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H](C)[N@@H+](C)Cc1nc(-c2ccoc2)no1 ZINC001409506405 845210410 /nfs/dbraw/zinc/21/04/10/845210410.db2.gz KBOTXNPYLZBLBS-QWRGUYRKSA-N 1 2 317.349 1.426 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)Cc1cn2c(n1)CCCC2 ZINC001409566961 845327102 /nfs/dbraw/zinc/32/71/02/845327102.db2.gz IKNIJUFOITWACE-ZDUSSCGKSA-N 1 2 324.856 1.951 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)Cc1cn2c(n1)CCCC2 ZINC001409566961 845327108 /nfs/dbraw/zinc/32/71/08/845327108.db2.gz IKNIJUFOITWACE-ZDUSSCGKSA-N 1 2 324.856 1.951 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CC[C@H](CCNCC#N)C1)n1cc[nH+]c1 ZINC001272465903 846163939 /nfs/dbraw/zinc/16/39/39/846163939.db2.gz MXYFTNTYGWGVCO-HOTGVXAUSA-N 1 2 317.437 1.822 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cncnc2)cc1 ZINC001032370178 847202311 /nfs/dbraw/zinc/20/23/11/847202311.db2.gz MSNMEICUIPZARZ-IRXDYDNUSA-N 1 2 319.368 1.447 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cncnc2)cc1 ZINC001032370178 847202321 /nfs/dbraw/zinc/20/23/21/847202321.db2.gz MSNMEICUIPZARZ-IRXDYDNUSA-N 1 2 319.368 1.447 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@H+](Cc3ccncc3F)C2)OCC1=O ZINC001272771286 847402615 /nfs/dbraw/zinc/40/26/15/847402615.db2.gz GDRODMCVXFYYPK-QGZVFWFLSA-N 1 2 319.380 1.600 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@@H+](Cc3ccncc3F)C2)OCC1=O ZINC001272771286 847402619 /nfs/dbraw/zinc/40/26/19/847402619.db2.gz GDRODMCVXFYYPK-QGZVFWFLSA-N 1 2 319.380 1.600 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1c(F)cncc1F ZINC001272780152 847418049 /nfs/dbraw/zinc/41/80/49/847418049.db2.gz AJNOVYSJZXLLLU-HUUCEWRRSA-N 1 2 305.328 1.558 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1c(F)cncc1F ZINC001272780152 847418055 /nfs/dbraw/zinc/41/80/55/847418055.db2.gz AJNOVYSJZXLLLU-HUUCEWRRSA-N 1 2 305.328 1.558 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3ccc(Cl)cn3)C2)OCC1=O ZINC001272846692 847544699 /nfs/dbraw/zinc/54/46/99/847544699.db2.gz IKQBDOMMQVMBIA-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3ccc(Cl)cn3)C2)OCC1=O ZINC001272846692 847544706 /nfs/dbraw/zinc/54/47/06/847544706.db2.gz IKQBDOMMQVMBIA-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3cc(C)cc(C)c3)C2)OCC1=O ZINC001273005049 847768179 /nfs/dbraw/zinc/76/81/79/847768179.db2.gz DBPTVWCTVRBURV-UHFFFAOYSA-N 1 2 300.402 1.903 20 30 DDEDLO N#CCCCN1C[C@]2(CC[N@H+](Cc3nccs3)C2)OCC1=O ZINC001273013642 847781172 /nfs/dbraw/zinc/78/11/72/847781172.db2.gz DFLPBXPCQXIHBP-OAHLLOKOSA-N 1 2 320.418 1.250 20 30 DDEDLO N#CCCCN1C[C@]2(CC[N@@H+](Cc3nccs3)C2)OCC1=O ZINC001273013642 847781176 /nfs/dbraw/zinc/78/11/76/847781176.db2.gz DFLPBXPCQXIHBP-OAHLLOKOSA-N 1 2 320.418 1.250 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](N2CC[NH+](CC#CC)CC2)C1 ZINC001327082200 861862938 /nfs/dbraw/zinc/86/29/38/861862938.db2.gz LGWXGWWJYFGUSU-QGZVFWFLSA-N 1 2 301.434 1.032 20 30 DDEDLO N#CCN1CCCC[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC001034218487 848126972 /nfs/dbraw/zinc/12/69/72/848126972.db2.gz GYPHGOWEJUKOBZ-CQSZACIVSA-N 1 2 315.421 1.256 20 30 DDEDLO CCn1ncc(C[N@@H+]2CCC[C@H](NC(=O)C#CC3CC3)CC2)n1 ZINC001327125292 861910286 /nfs/dbraw/zinc/91/02/86/861910286.db2.gz IAEDAMLWRQSYNU-HNNXBMFYSA-N 1 2 315.421 1.182 20 30 DDEDLO CCn1ncc(C[N@H+]2CCC[C@H](NC(=O)C#CC3CC3)CC2)n1 ZINC001327125292 861910294 /nfs/dbraw/zinc/91/02/94/861910294.db2.gz IAEDAMLWRQSYNU-HNNXBMFYSA-N 1 2 315.421 1.182 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cc(O)ccc2F)C1=O ZINC001273286283 849334665 /nfs/dbraw/zinc/33/46/65/849334665.db2.gz FNSCFDVUTATJIX-KRWDZBQOSA-N 1 2 302.349 1.731 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cc(O)ccc2F)C1=O ZINC001273286283 849334677 /nfs/dbraw/zinc/33/46/77/849334677.db2.gz FNSCFDVUTATJIX-KRWDZBQOSA-N 1 2 302.349 1.731 20 30 DDEDLO Cc1nnc(C[NH+]2CCC([C@H](C)NC(=O)[C@@H](C)C#N)CC2)o1 ZINC001411231322 850448326 /nfs/dbraw/zinc/44/83/26/850448326.db2.gz TYRIMBODDDQDBN-QWRGUYRKSA-N 1 2 305.382 1.254 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H](C)C1CC1)CO2 ZINC001327424785 862164606 /nfs/dbraw/zinc/16/46/06/862164606.db2.gz FPGMGLHKFYUFOD-GDBMZVCRSA-N 1 2 322.449 1.585 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H](C)OCC2CC2)C1 ZINC001441148710 850849549 /nfs/dbraw/zinc/84/95/49/850849549.db2.gz DEACXTXOYRPLBN-RYUDHWBXSA-N 1 2 300.830 1.991 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H](OCC)C1CC1)O2 ZINC001273660943 851197044 /nfs/dbraw/zinc/19/70/44/851197044.db2.gz CTWSRBMNHDGCRE-GJZGRUSLSA-N 1 2 308.422 1.337 20 30 DDEDLO C#Cc1ccc(C[NH+]2CC3(C2)COCC(=O)N3CC(=C)C)cc1 ZINC001273674173 851211346 /nfs/dbraw/zinc/21/13/46/851211346.db2.gz LNHGYUXBJFPSCL-UHFFFAOYSA-N 1 2 310.397 1.657 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1cncc(Cl)n1 ZINC001273835715 851400629 /nfs/dbraw/zinc/40/06/29/851400629.db2.gz JATZOTBEUXBGGI-CHWSQXEVSA-N 1 2 306.797 1.881 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cncc(Cl)n1 ZINC001273835715 851400637 /nfs/dbraw/zinc/40/06/37/851400637.db2.gz JATZOTBEUXBGGI-CHWSQXEVSA-N 1 2 306.797 1.881 20 30 DDEDLO C=CCN1C[C@@]2(F)CN(Cc3c[nH+]cn3CC)C[C@@]2(F)C1=O ZINC001274341690 852174781 /nfs/dbraw/zinc/17/47/81/852174781.db2.gz HIVFXCHWDKPEJK-LSDHHAIUSA-N 1 2 310.348 1.163 20 30 DDEDLO C=CCN1C(=O)C[C@]2(CCC[N@@H+](Cc3cn[nH]c3CC)C2)C1=O ZINC001274381234 852215399 /nfs/dbraw/zinc/21/53/99/852215399.db2.gz MQKNYPDLYSJPHP-KRWDZBQOSA-N 1 2 316.405 1.499 20 30 DDEDLO C=CCN1C(=O)C[C@]2(CCC[N@H+](Cc3cn[nH]c3CC)C2)C1=O ZINC001274381234 852215409 /nfs/dbraw/zinc/21/54/09/852215409.db2.gz MQKNYPDLYSJPHP-KRWDZBQOSA-N 1 2 316.405 1.499 20 30 DDEDLO C=CCC[C@@H](O)C[NH+]1CC2(C1)CN(CC=C(C)C)C(=O)CO2 ZINC001274621977 852456720 /nfs/dbraw/zinc/45/67/20/852456720.db2.gz ZVLZABTVLNEIQO-OAHLLOKOSA-N 1 2 308.422 1.193 20 30 DDEDLO N#Cc1cncc(CN2C[C@@H]3C[N@@H+](Cc4ccc[nH]4)C[C@H](C2)O3)c1 ZINC001275027121 852752504 /nfs/dbraw/zinc/75/25/04/852752504.db2.gz FVWZBYUOTXXSTB-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1cncc(CN2C[C@@H]3C[N@H+](Cc4ccc[nH]4)C[C@H](C2)O3)c1 ZINC001275027121 852752508 /nfs/dbraw/zinc/75/25/08/852752508.db2.gz FVWZBYUOTXXSTB-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C[N@H+](C)CC(=O)Nc1cnccn1 ZINC001275621716 853445137 /nfs/dbraw/zinc/44/51/37/853445137.db2.gz IHJJUYGLQXQZMJ-LBPRGKRZSA-N 1 2 319.409 1.064 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C[N@@H+](C)CC(=O)Nc1cnccn1 ZINC001275621716 853445142 /nfs/dbraw/zinc/44/51/42/853445142.db2.gz IHJJUYGLQXQZMJ-LBPRGKRZSA-N 1 2 319.409 1.064 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2nnnn2C)CC1 ZINC001280756343 853888296 /nfs/dbraw/zinc/88/82/96/853888296.db2.gz JOZJWYQFZDEHQO-CYBMUJFWSA-N 1 2 306.414 1.037 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2nnnn2C)CC1 ZINC001280756343 853888301 /nfs/dbraw/zinc/88/83/01/853888301.db2.gz JOZJWYQFZDEHQO-CYBMUJFWSA-N 1 2 306.414 1.037 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4ccncc4)C3)C2)cc1C#N ZINC001275889609 853908485 /nfs/dbraw/zinc/90/84/85/853908485.db2.gz XBWVZQMUUCVMSY-UHFFFAOYSA-N 1 2 307.401 1.610 20 30 DDEDLO C=CCC[NH+]1CC(CCO)(NC(=O)c2ocnc2C(F)F)C1 ZINC001276082755 854720889 /nfs/dbraw/zinc/72/08/89/854720889.db2.gz MLEHWJYBGFMOAC-UHFFFAOYSA-N 1 2 315.320 1.355 20 30 DDEDLO Cc1cnccc1CN1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001276256942 855064492 /nfs/dbraw/zinc/06/44/92/855064492.db2.gz PFTJYCAHTSJMMM-UHFFFAOYSA-N 1 2 321.428 1.918 20 30 DDEDLO Cc1nsc(N(C)CCCNC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001095746746 855290646 /nfs/dbraw/zinc/29/06/46/855290646.db2.gz ZWYYYBKFKYAGAI-UHFFFAOYSA-N 1 2 318.406 1.232 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCCN(C)c1cc[nH+]c(C)n1 ZINC001095757607 855320987 /nfs/dbraw/zinc/32/09/87/855320987.db2.gz SKGJKXYLPYPYQS-HOCLYGCPSA-N 1 2 318.421 1.709 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001072602874 857501655 /nfs/dbraw/zinc/50/16/55/857501655.db2.gz MNTLBWAHBZQPQI-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO N#Cc1cc(C(=O)N2CC3(C2)CC[N@H+](Cc2ccon2)C3)c[nH]1 ZINC001072639789 857544243 /nfs/dbraw/zinc/54/42/43/857544243.db2.gz KVFLFBUKANQONU-UHFFFAOYSA-N 1 2 311.345 1.222 20 30 DDEDLO N#Cc1cc(C(=O)N2CC3(C2)CC[N@@H+](Cc2ccon2)C3)c[nH]1 ZINC001072639789 857544250 /nfs/dbraw/zinc/54/42/50/857544250.db2.gz KVFLFBUKANQONU-UHFFFAOYSA-N 1 2 311.345 1.222 20 30 DDEDLO CC(C)C#CC(=O)N1CCC(C)(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001073010390 857994900 /nfs/dbraw/zinc/99/49/00/857994900.db2.gz KPJFYOPHUCKXPN-UHFFFAOYSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C(C)(C)C1 ZINC001073420562 858322114 /nfs/dbraw/zinc/32/21/14/858322114.db2.gz DJVGAKNAQFRJSK-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccccn2)C1 ZINC001073501637 858387347 /nfs/dbraw/zinc/38/73/47/858387347.db2.gz OUGNKNZOINWTMK-ZDUSSCGKSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccccn2)C1 ZINC001073501637 858387351 /nfs/dbraw/zinc/38/73/51/858387351.db2.gz OUGNKNZOINWTMK-ZDUSSCGKSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H]2CCCOC2)C1 ZINC001073548055 858429937 /nfs/dbraw/zinc/42/99/37/858429937.db2.gz AZBSQYFPBXUDSQ-ZIAGYGMSSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H]2CCCOC2)C1 ZINC001073548055 858429943 /nfs/dbraw/zinc/42/99/43/858429943.db2.gz AZBSQYFPBXUDSQ-ZIAGYGMSSA-N 1 2 316.829 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H]2CC2(C)C)C1 ZINC001073578852 858457136 /nfs/dbraw/zinc/45/71/36/858457136.db2.gz XTXZOEOINRWYNO-OLZOCXBDSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H]2CC2(C)C)C1 ZINC001073578852 858457138 /nfs/dbraw/zinc/45/71/38/858457138.db2.gz XTXZOEOINRWYNO-OLZOCXBDSA-N 1 2 300.830 1.992 20 30 DDEDLO C#CCCC[C@H](C)OC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001123154247 859106781 /nfs/dbraw/zinc/10/67/81/859106781.db2.gz WRBHUSPFJUJWGQ-XHSDSOJGSA-N 1 2 309.406 1.601 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@@H](C)[C@@H]1CN(C)CC[N@@H+]1C ZINC001123793332 859417502 /nfs/dbraw/zinc/41/75/02/859417502.db2.gz AXBPMYGVZUMMEX-JONQDZQNSA-N 1 2 306.454 1.513 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@@H](C)[C@@H]1CN(C)CC[N@H+]1C ZINC001123793332 859417505 /nfs/dbraw/zinc/41/75/05/859417505.db2.gz AXBPMYGVZUMMEX-JONQDZQNSA-N 1 2 306.454 1.513 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001124487474 859720529 /nfs/dbraw/zinc/72/05/29/859720529.db2.gz CIPWMZYOOFTSRJ-GFCCVEGCSA-N 1 2 322.409 1.209 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cc(O)ccc2Br)CC1 ZINC001138146970 860016257 /nfs/dbraw/zinc/01/62/57/860016257.db2.gz GMSCVQZJGWIMPH-UHFFFAOYSA-N 1 2 309.207 1.906 20 30 DDEDLO C[C@@H](CS)OCC[NH+]1CCN(C(=O)OC(C)(C)C)CC1 ZINC001224735057 881517618 /nfs/dbraw/zinc/51/76/18/881517618.db2.gz PJYKJCQYQHUUAW-LBPRGKRZSA-N 1 2 304.456 1.874 20 30 DDEDLO CNC(=O)C[N@H+](C)Cc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC001139426801 860395650 /nfs/dbraw/zinc/39/56/50/860395650.db2.gz MTMMOIQHWUQFRB-UHFFFAOYSA-N 1 2 310.357 1.923 20 30 DDEDLO CNC(=O)C[N@@H+](C)Cc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC001139426801 860395654 /nfs/dbraw/zinc/39/56/54/860395654.db2.gz MTMMOIQHWUQFRB-UHFFFAOYSA-N 1 2 310.357 1.923 20 30 DDEDLO C=CCOC(=O)N1CC2(C1)CC[NH+](Cc1cc(C)[nH]n1)CC2 ZINC001203070585 860707719 /nfs/dbraw/zinc/70/77/19/860707719.db2.gz ZUYNNOGFFOAVBR-UHFFFAOYSA-N 1 2 304.394 1.939 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]CCCNC(=O)c2c[nH]c(C#N)c2)no1 ZINC001156841843 863390178 /nfs/dbraw/zinc/39/01/78/863390178.db2.gz SHKNTZBBWJXOEI-SECBINFHSA-N 1 2 302.338 1.049 20 30 DDEDLO Cc1cc(CNC(=O)C(=O)N2CCC[C@](C)(C#N)C2)cc(C)[nH+]1 ZINC001329020702 863423401 /nfs/dbraw/zinc/42/34/01/863423401.db2.gz RNAIQNGEUWGYNB-QGZVFWFLSA-N 1 2 314.389 1.467 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccn1C ZINC001152585328 863448251 /nfs/dbraw/zinc/44/82/51/863448251.db2.gz XQRSJZNLOHPDPF-OAHLLOKOSA-N 1 2 322.453 1.730 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccn1C ZINC001152585328 863448259 /nfs/dbraw/zinc/44/82/59/863448259.db2.gz XQRSJZNLOHPDPF-OAHLLOKOSA-N 1 2 322.453 1.730 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccc(C)n1 ZINC001157203286 863650841 /nfs/dbraw/zinc/65/08/41/863650841.db2.gz GJIZIDAREDXALE-OAHLLOKOSA-N 1 2 320.437 1.704 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccc(C)n1 ZINC001157203286 863650846 /nfs/dbraw/zinc/65/08/46/863650846.db2.gz GJIZIDAREDXALE-OAHLLOKOSA-N 1 2 320.437 1.704 20 30 DDEDLO C[C@H](NC(=O)C#CC(C)(C)C)C1C[NH+](CC(=O)NC(C)(C)C)C1 ZINC001329996614 864005139 /nfs/dbraw/zinc/00/51/39/864005139.db2.gz DTGOIZXTJTWNJE-ZDUSSCGKSA-N 1 2 321.465 1.387 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)C1C[NH+](CC(=O)NCCCC)C1 ZINC001330030035 864030164 /nfs/dbraw/zinc/03/01/64/864030164.db2.gz CNDIYPWXOHMMGI-CQSZACIVSA-N 1 2 323.481 1.942 20 30 DDEDLO CC(C)CC(C)(C)C(=O)N[C@@H](C)C1C[NH+](CC(=O)NCC#N)C1 ZINC001330071462 864063803 /nfs/dbraw/zinc/06/38/03/864063803.db2.gz OCBGYDYZEVOJRR-ZDUSSCGKSA-N 1 2 322.453 1.135 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCCCCOCC)C2)C1 ZINC001330170464 864120666 /nfs/dbraw/zinc/12/06/66/864120666.db2.gz JXHSUNVGDFRKKG-SFHVURJKSA-N 1 2 322.449 1.520 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCCCCOCC)C2)C1 ZINC001330170464 864120676 /nfs/dbraw/zinc/12/06/76/864120676.db2.gz JXHSUNVGDFRKKG-SFHVURJKSA-N 1 2 322.449 1.520 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)[nH]3)C[C@H]21 ZINC001330326714 864241830 /nfs/dbraw/zinc/24/18/30/864241830.db2.gz HQTQLACYNOMLPK-TUVASFSCSA-N 1 2 317.437 1.509 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)[nH]3)C[C@H]21 ZINC001330326714 864241841 /nfs/dbraw/zinc/24/18/41/864241841.db2.gz HQTQLACYNOMLPK-TUVASFSCSA-N 1 2 317.437 1.509 20 30 DDEDLO CC#CC[N@H+](C)C[C@H]1CCCCN1C(=O)CCc1cnn[nH]1 ZINC001158496867 864690344 /nfs/dbraw/zinc/69/03/44/864690344.db2.gz IVRSLYMNHQMCRZ-OAHLLOKOSA-N 1 2 303.410 1.074 20 30 DDEDLO CC#CC[N@@H+](C)C[C@H]1CCCCN1C(=O)CCc1cnn[nH]1 ZINC001158496867 864690351 /nfs/dbraw/zinc/69/03/51/864690351.db2.gz IVRSLYMNHQMCRZ-OAHLLOKOSA-N 1 2 303.410 1.074 20 30 DDEDLO COC(=O)C1(C#N)CCN(c2nc(C)[nH+]c3c2CCC3)CC1 ZINC001158689804 864814692 /nfs/dbraw/zinc/81/46/92/864814692.db2.gz LLXSOGDCDNNGGK-UHFFFAOYSA-N 1 2 300.362 1.557 20 30 DDEDLO Cc1ccnc(C[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)n1 ZINC001159587493 865415617 /nfs/dbraw/zinc/41/56/17/865415617.db2.gz SGVNFCPPFBNXNF-CYBMUJFWSA-N 1 2 313.405 1.583 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)n1 ZINC001159587493 865415622 /nfs/dbraw/zinc/41/56/22/865415622.db2.gz SGVNFCPPFBNXNF-CYBMUJFWSA-N 1 2 313.405 1.583 20 30 DDEDLO C#CC[C@H](COC)NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC001332483270 865870514 /nfs/dbraw/zinc/87/05/14/865870514.db2.gz ONEZQSNLJXEZPW-OAHLLOKOSA-N 1 2 312.373 1.710 20 30 DDEDLO N#Cc1ccc(CC2CCN(C(=O)C3(F)C[NH2+]C3)CC2)cc1 ZINC001160565014 865943612 /nfs/dbraw/zinc/94/36/12/865943612.db2.gz BQWHBQUTDKMYBA-UHFFFAOYSA-N 1 2 301.365 1.651 20 30 DDEDLO COc1nc(N[C@@H]2CCC[C@H]2[NH+]2CCOCC2)c(F)cc1C#N ZINC001160726356 866078698 /nfs/dbraw/zinc/07/86/98/866078698.db2.gz SDORBYVOYZFRAW-ZIAGYGMSSA-N 1 2 320.368 1.766 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC001323102742 866341230 /nfs/dbraw/zinc/34/12/30/866341230.db2.gz WSQDXZCHIXYNAW-HOCLYGCPSA-N 1 2 315.417 1.760 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC001323102742 866341241 /nfs/dbraw/zinc/34/12/41/866341241.db2.gz WSQDXZCHIXYNAW-HOCLYGCPSA-N 1 2 315.417 1.760 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]([NH2+]Cc1nnn(C)n1)C(C)C ZINC001319948126 866399630 /nfs/dbraw/zinc/39/96/30/866399630.db2.gz SWGRPYKKUXFEGR-LBPRGKRZSA-N 1 2 308.430 1.043 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N(C)CC[N@H+](C)CC(=C)Cl ZINC001320060445 866451679 /nfs/dbraw/zinc/45/16/79/866451679.db2.gz YBXDJBOUUPKXME-AWEZNQCLSA-N 1 2 315.845 1.600 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N(C)CC[N@@H+](C)CC(=C)Cl ZINC001320060445 866451681 /nfs/dbraw/zinc/45/16/81/866451681.db2.gz YBXDJBOUUPKXME-AWEZNQCLSA-N 1 2 315.845 1.600 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CC[N@H+]1Cc1c(C)noc1CC ZINC001323231911 866455437 /nfs/dbraw/zinc/45/54/37/866455437.db2.gz MFDLDLWIMUTQSO-DYVFJYSZSA-N 1 2 321.421 1.563 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CC[N@@H+]1Cc1c(C)noc1CC ZINC001323231911 866455444 /nfs/dbraw/zinc/45/54/44/866455444.db2.gz MFDLDLWIMUTQSO-DYVFJYSZSA-N 1 2 321.421 1.563 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H](C)c1ccccc1F ZINC001323325357 866532289 /nfs/dbraw/zinc/53/22/89/866532289.db2.gz SSSDOMSPXHIWIA-GJZGRUSLSA-N 1 2 318.392 1.770 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H](C)c1ccccc1F ZINC001323325357 866532302 /nfs/dbraw/zinc/53/23/02/866532302.db2.gz SSSDOMSPXHIWIA-GJZGRUSLSA-N 1 2 318.392 1.770 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@]1(C)c1ccccc1 ZINC001333258480 866567387 /nfs/dbraw/zinc/56/73/87/866567387.db2.gz QBPROXCHAVFGTC-YJBOKZPZSA-N 1 2 316.401 1.971 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)N[C@@](C)(C(C)(C)C)C2=O)C1 ZINC001320849291 867076423 /nfs/dbraw/zinc/07/64/23/867076423.db2.gz RWVRJOSVVZIVRH-MLGOLLRUSA-N 1 2 309.410 1.577 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)N[C@@](C)(C(C)(C)C)C2=O)C1 ZINC001320849291 867076442 /nfs/dbraw/zinc/07/64/42/867076442.db2.gz RWVRJOSVVZIVRH-MLGOLLRUSA-N 1 2 309.410 1.577 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001333887014 867118703 /nfs/dbraw/zinc/11/87/03/867118703.db2.gz NPKKQSWMEPAAGB-QWHCGFSZSA-N 1 2 318.421 1.464 20 30 DDEDLO C#CCN(C(C)=O)C1CC[NH+]([C@H](C)c2nc(C3CC3)no2)CC1 ZINC001324352475 867219756 /nfs/dbraw/zinc/21/97/56/867219756.db2.gz GYXADCAIRNGTKS-GFCCVEGCSA-N 1 2 316.405 1.954 20 30 DDEDLO C#CCN(C(=O)[C@H](C)OC)C1CC[NH+](Cc2coc(C)n2)CC1 ZINC001324374980 867236667 /nfs/dbraw/zinc/23/66/67/867236667.db2.gz WVFYDDGXHNZMKE-ZDUSSCGKSA-N 1 2 319.405 1.444 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC001321837390 867825639 /nfs/dbraw/zinc/82/56/39/867825639.db2.gz BMRSZASKMICKNH-INIZCTEOSA-N 1 2 315.417 1.589 20 30 DDEDLO C#CCCCCNC(=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC001321838754 867825969 /nfs/dbraw/zinc/82/59/69/867825969.db2.gz MAVLHPNUUUIVBN-UHFFFAOYSA-N 1 2 313.401 1.038 20 30 DDEDLO CCCC[C@@H](CNC(=O)C#CC(C)C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001334863855 867872079 /nfs/dbraw/zinc/87/20/79/867872079.db2.gz ORXQCFAAEFHJEH-AWEZNQCLSA-N 1 2 318.421 1.403 20 30 DDEDLO CC#CC[C@@H](NC(=O)OC(C)(C)C)C(=O)NCCn1cc[nH+]c1 ZINC001335707248 868488229 /nfs/dbraw/zinc/48/82/29/868488229.db2.gz HDWMAPNUVNVFBY-CYBMUJFWSA-N 1 2 320.393 1.306 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC001336345807 868885351 /nfs/dbraw/zinc/88/53/51/868885351.db2.gz DMRYBOSXUTZGDE-GDBMZVCRSA-N 1 2 315.417 1.760 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC001336345807 868885357 /nfs/dbraw/zinc/88/53/57/868885357.db2.gz DMRYBOSXUTZGDE-GDBMZVCRSA-N 1 2 315.417 1.760 20 30 DDEDLO Cc1noc([C@]23COC[C@H]2CN(c2cc(CC#N)cc[nH+]2)C3)n1 ZINC001165854952 869738383 /nfs/dbraw/zinc/73/83/83/869738383.db2.gz YBRCNRQXOMVUEV-CZUORRHYSA-N 1 2 311.345 1.244 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](C)N(C(=O)Cn2cc[nH+]c2)C1 ZINC001338116955 869814251 /nfs/dbraw/zinc/81/42/51/869814251.db2.gz NDRHGVBRKTUSNL-KBPBESRZSA-N 1 2 304.394 1.345 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)CNC(=O)c1ccccc1 ZINC001381619740 882346583 /nfs/dbraw/zinc/34/65/83/882346583.db2.gz GNAFGGFBPXKRRM-ZDUSSCGKSA-N 1 2 323.824 1.605 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)CNC(=O)c1ccccc1 ZINC001381619740 882346598 /nfs/dbraw/zinc/34/65/98/882346598.db2.gz GNAFGGFBPXKRRM-ZDUSSCGKSA-N 1 2 323.824 1.605 20 30 DDEDLO CO[C@@H](C)C(=O)NC1CC[NH+](Cc2cc(F)ccc2C#N)CC1 ZINC001226310269 882343942 /nfs/dbraw/zinc/34/39/42/882343942.db2.gz WKJJBTQYBPSXAH-LBPRGKRZSA-N 1 2 319.380 1.813 20 30 DDEDLO C=CCCC(=O)N1CC[C@@]2(NC(=O)Cc3c[nH]c[nH+]3)CCC[C@@H]12 ZINC001338223335 869872757 /nfs/dbraw/zinc/87/27/57/869872757.db2.gz MCOCSUWWYRMNPH-PBHICJAKSA-N 1 2 316.405 1.558 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)c2cccc3ncccc32)CC1 ZINC001316965293 870005266 /nfs/dbraw/zinc/00/52/66/870005266.db2.gz AUMKFVZTHHAZOO-UHFFFAOYSA-N 1 2 323.400 1.106 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)C[C@@H](C)C(F)(F)F)CC1 ZINC001316966480 870005815 /nfs/dbraw/zinc/00/58/15/870005815.db2.gz DNZVDRKJDMOZJI-CYBMUJFWSA-N 1 2 319.371 1.332 20 30 DDEDLO C[C@@H](CC(=O)N1CCC[C@H](CN(C)CC#N)C1)n1cc[nH+]c1 ZINC001317044382 870158929 /nfs/dbraw/zinc/15/89/29/870158929.db2.gz QWHWSBMDOQHURK-LSDHHAIUSA-N 1 2 303.410 1.528 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C(F)(F)F ZINC001317051202 870168601 /nfs/dbraw/zinc/16/86/01/870168601.db2.gz UFCJRGLMNGFRDC-UPJWGTAASA-N 1 2 318.339 1.510 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C(F)(F)F ZINC001317051202 870168611 /nfs/dbraw/zinc/16/86/11/870168611.db2.gz UFCJRGLMNGFRDC-UPJWGTAASA-N 1 2 318.339 1.510 20 30 DDEDLO C=CCn1c(N(C)CCC2CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001339198533 870401757 /nfs/dbraw/zinc/40/17/57/870401757.db2.gz YJKGLZFBBUMMQZ-RHSMWYFYSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N(C)CCC2CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001339198533 870401765 /nfs/dbraw/zinc/40/17/65/870401765.db2.gz YJKGLZFBBUMMQZ-RHSMWYFYSA-N 1 2 319.453 1.612 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CCCC[N@H+]1Cc1ncc(C)o1 ZINC001317171307 870409236 /nfs/dbraw/zinc/40/92/36/870409236.db2.gz RSTAJLCFMJCVQO-PBHICJAKSA-N 1 2 321.421 1.781 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1CCCC[N@@H+]1Cc1ncc(C)o1 ZINC001317171307 870409245 /nfs/dbraw/zinc/40/92/45/870409245.db2.gz RSTAJLCFMJCVQO-PBHICJAKSA-N 1 2 321.421 1.781 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[NH2+]Cc2cnsn2)cc1 ZINC001317255920 870543822 /nfs/dbraw/zinc/54/38/22/870543822.db2.gz RLRRFGLWRZUDMH-LLVKDONJSA-N 1 2 300.387 1.428 20 30 DDEDLO C[N@@H+]1CCC12CN(C(=O)c1ccc(Br)c(C#N)c1)C2 ZINC001276412170 870611372 /nfs/dbraw/zinc/61/13/72/870611372.db2.gz QGXRMFQWXLOTEY-UHFFFAOYSA-N 1 2 320.190 1.851 20 30 DDEDLO C[N@H+]1CCC12CN(C(=O)c1ccc(Br)c(C#N)c1)C2 ZINC001276412170 870611380 /nfs/dbraw/zinc/61/13/80/870611380.db2.gz QGXRMFQWXLOTEY-UHFFFAOYSA-N 1 2 320.190 1.851 20 30 DDEDLO C=C(C)CCC(=O)NCC[N@@H+](C)CC(=O)N1C[C@@H](C)C[C@H](C)C1 ZINC001317446489 870848926 /nfs/dbraw/zinc/84/89/26/870848926.db2.gz GVNXTXRGQYKDJX-HOTGVXAUSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)CCC(=O)NCC[N@H+](C)CC(=O)N1C[C@@H](C)C[C@H](C)C1 ZINC001317446489 870848942 /nfs/dbraw/zinc/84/89/42/870848942.db2.gz GVNXTXRGQYKDJX-HOTGVXAUSA-N 1 2 323.481 1.895 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@H](CCC)C(C)C)C1 ZINC001276439469 870927225 /nfs/dbraw/zinc/92/72/25/870927225.db2.gz DTIRDUSSZQEJPR-GOEBONIOSA-N 1 2 321.465 1.245 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)C1(F)CCCC1 ZINC001317502205 870930417 /nfs/dbraw/zinc/93/04/17/870930417.db2.gz HFRNQCWYVUSDMW-CYBMUJFWSA-N 1 2 311.401 1.152 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)C1(F)CCCC1 ZINC001317502205 870930425 /nfs/dbraw/zinc/93/04/25/870930425.db2.gz HFRNQCWYVUSDMW-CYBMUJFWSA-N 1 2 311.401 1.152 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)[C@H](O)CC)n2CC=C)CC1 ZINC001340302794 871021938 /nfs/dbraw/zinc/02/19/38/871021938.db2.gz QGVMILRDAAPQHK-LSDHHAIUSA-N 1 2 317.437 1.094 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2csnn2)C1 ZINC001317310892 871100767 /nfs/dbraw/zinc/10/07/67/871100767.db2.gz BINWWHRINKFEAG-RYUDHWBXSA-N 1 2 310.423 1.382 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCC[N@@H+](C)[C@H](C)c1nncn1C ZINC001316839777 871234423 /nfs/dbraw/zinc/23/44/23/871234423.db2.gz HYMGQZAVNXBVMC-CYBMUJFWSA-N 1 2 307.442 1.917 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCC[N@H+](C)[C@H](C)c1nncn1C ZINC001316839777 871234441 /nfs/dbraw/zinc/23/44/41/871234441.db2.gz HYMGQZAVNXBVMC-CYBMUJFWSA-N 1 2 307.442 1.917 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@H+](C)CC(=O)Nc1ccc(F)cc1 ZINC001317443376 871328855 /nfs/dbraw/zinc/32/88/55/871328855.db2.gz JBPDQAFOZBVFAP-UHFFFAOYSA-N 1 2 319.380 1.472 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@@H+](C)CC(=O)Nc1ccc(F)cc1 ZINC001317443376 871328877 /nfs/dbraw/zinc/32/88/77/871328877.db2.gz JBPDQAFOZBVFAP-UHFFFAOYSA-N 1 2 319.380 1.472 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)N(C)CC ZINC001317744950 871456777 /nfs/dbraw/zinc/45/67/77/871456777.db2.gz SYFLGDFQIXGVGX-GASCZTMLSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(C)CC ZINC001317744950 871456788 /nfs/dbraw/zinc/45/67/88/871456788.db2.gz SYFLGDFQIXGVGX-GASCZTMLSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+](Cc2ncc(C3CC3)o2)CC1 ZINC001226589668 882526582 /nfs/dbraw/zinc/52/65/82/882526582.db2.gz VQVLSKBXXROQNK-UHFFFAOYSA-N 1 2 319.405 1.835 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)CCCCC(=O)NC)C1 ZINC001318446692 872058467 /nfs/dbraw/zinc/05/84/67/872058467.db2.gz XEVRPYKEKUHKOA-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)CCCCC(=O)NC)C1 ZINC001318446692 872058490 /nfs/dbraw/zinc/05/84/90/872058490.db2.gz XEVRPYKEKUHKOA-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)CCCOC)C1 ZINC001206236139 872153343 /nfs/dbraw/zinc/15/33/43/872153343.db2.gz UJDWYPMRZUEUMD-ZYHUDNBSSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)CCCOC)C1 ZINC001206236139 872153356 /nfs/dbraw/zinc/15/33/56/872153356.db2.gz UJDWYPMRZUEUMD-ZYHUDNBSSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCC2CC2)C1 ZINC001206472449 872355552 /nfs/dbraw/zinc/35/55/52/872355552.db2.gz HRZUMMIFRVMMSP-MPKXVKKWSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCC2CC2)C1 ZINC001206472449 872355571 /nfs/dbraw/zinc/35/55/71/872355571.db2.gz HRZUMMIFRVMMSP-MPKXVKKWSA-N 1 2 300.830 1.991 20 30 DDEDLO COc1cnccc1C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC001206480116 872362625 /nfs/dbraw/zinc/36/26/25/872362625.db2.gz KINJPONVDKYYEW-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2nn(CC)nc2C)C[C@H]1C ZINC001206536918 872425300 /nfs/dbraw/zinc/42/53/00/872425300.db2.gz FGJROHMICSJDIP-TZMCWYRMSA-N 1 2 305.426 1.509 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2nn(CC)nc2C)C[C@H]1C ZINC001206536918 872425312 /nfs/dbraw/zinc/42/53/12/872425312.db2.gz FGJROHMICSJDIP-TZMCWYRMSA-N 1 2 305.426 1.509 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nc(CC)no2)C[C@H]1C ZINC001206585743 872452923 /nfs/dbraw/zinc/45/29/23/872452923.db2.gz CXKYJNIJEIKPJF-JHJVBQTASA-N 1 2 322.409 1.332 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nc(CC)no2)C[C@H]1C ZINC001206585743 872452943 /nfs/dbraw/zinc/45/29/43/872452943.db2.gz CXKYJNIJEIKPJF-JHJVBQTASA-N 1 2 322.409 1.332 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@H+](CCOc2ccccc2)CCO1 ZINC001319297364 872550260 /nfs/dbraw/zinc/55/02/60/872550260.db2.gz OIMQXUNYCMNROP-KRWDZBQOSA-N 1 2 318.417 1.849 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[N@@H+](CCOc2ccccc2)CCO1 ZINC001319297364 872550265 /nfs/dbraw/zinc/55/02/65/872550265.db2.gz OIMQXUNYCMNROP-KRWDZBQOSA-N 1 2 318.417 1.849 20 30 DDEDLO CCCCOCC[N@@H+]1CCO[C@H](CNC(=O)C#CC(C)C)C1 ZINC001319310276 872561393 /nfs/dbraw/zinc/56/13/93/872561393.db2.gz PBUNMKGXOAIYQV-MRXNPFEDSA-N 1 2 310.438 1.280 20 30 DDEDLO CCCCOCC[N@H+]1CCO[C@H](CNC(=O)C#CC(C)C)C1 ZINC001319310276 872561403 /nfs/dbraw/zinc/56/14/03/872561403.db2.gz PBUNMKGXOAIYQV-MRXNPFEDSA-N 1 2 310.438 1.280 20 30 DDEDLO CN(C[C@@H]1CCN1C(=O)C#CC(C)(C)C)C(=O)Cc1c[nH+]c[nH]1 ZINC001344189928 872923793 /nfs/dbraw/zinc/92/37/93/872923793.db2.gz CKTCZLHNSMHRPA-AWEZNQCLSA-N 1 2 316.405 1.061 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001207680487 873471908 /nfs/dbraw/zinc/47/19/08/873471908.db2.gz ORRWRHGODQSGEF-AAEUAGOBSA-N 1 2 308.382 1.222 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208392913 874102850 /nfs/dbraw/zinc/10/28/50/874102850.db2.gz FSGVOBYTNSHWRB-IJEWVQPXSA-N 1 2 304.438 1.959 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208392913 874102855 /nfs/dbraw/zinc/10/28/55/874102855.db2.gz FSGVOBYTNSHWRB-IJEWVQPXSA-N 1 2 304.438 1.959 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)[N+](=O)[O-])n2CC(C)C)CC1 ZINC001347610382 874209806 /nfs/dbraw/zinc/20/98/06/874209806.db2.gz FHXLAIFKNRZCFW-CYBMUJFWSA-N 1 2 320.397 1.027 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+]([C@@H](C)c2nnc(C)[nH]2)CC1 ZINC001227124832 882855722 /nfs/dbraw/zinc/85/57/22/882855722.db2.gz ZUQGKACZLAOBQL-ZBEGNZNMSA-N 1 2 321.425 1.082 20 30 DDEDLO C=CCOC(=O)N1CC2(C1)CC[NH+](Cc1cn[nH]c1C)CC2 ZINC001209554648 874962717 /nfs/dbraw/zinc/96/27/17/874962717.db2.gz KCZNVTRUFOHQOR-UHFFFAOYSA-N 1 2 304.394 1.939 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)Cc2ccc(OC)cn2)C1 ZINC001378543253 875092312 /nfs/dbraw/zinc/09/23/12/875092312.db2.gz HCRWPANLOHACKO-LBPRGKRZSA-N 1 2 323.824 1.822 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001350233561 875590465 /nfs/dbraw/zinc/59/04/65/875590465.db2.gz DXWZBGAUQVUYSU-XNJGSVPQSA-N 1 2 318.421 1.738 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001350233561 875590478 /nfs/dbraw/zinc/59/04/78/875590478.db2.gz DXWZBGAUQVUYSU-XNJGSVPQSA-N 1 2 318.421 1.738 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001350742753 875867377 /nfs/dbraw/zinc/86/73/77/875867377.db2.gz IDVURJNSZLDVLO-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001350742753 875867394 /nfs/dbraw/zinc/86/73/94/875867394.db2.gz IDVURJNSZLDVLO-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1CC=C ZINC001351544307 876313120 /nfs/dbraw/zinc/31/31/20/876313120.db2.gz OBQRCZGWHJUBQV-AWEZNQCLSA-N 1 2 303.410 1.315 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1CC=C ZINC001351544307 876313133 /nfs/dbraw/zinc/31/31/33/876313133.db2.gz OBQRCZGWHJUBQV-AWEZNQCLSA-N 1 2 303.410 1.315 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC[C@H]1CCC ZINC001351605188 876344031 /nfs/dbraw/zinc/34/40/31/876344031.db2.gz RXLWLLUWTRCVBY-ILXRZTDVSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC[C@H]1CCC ZINC001351605188 876344048 /nfs/dbraw/zinc/34/40/48/876344048.db2.gz RXLWLLUWTRCVBY-ILXRZTDVSA-N 1 2 319.453 1.971 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@@H]2CN(C(=O)C#CC(C)C)C[C@H]2C)no1 ZINC001214584229 876404503 /nfs/dbraw/zinc/40/45/03/876404503.db2.gz SMEZTNRCKCZKSQ-YRGRVCCFSA-N 1 2 304.394 1.535 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H](C)[NH2+]Cc1nc(CC2CC2)no1 ZINC001379105839 876418091 /nfs/dbraw/zinc/41/80/91/876418091.db2.gz NYBBDXZOZJKQAV-QWRGUYRKSA-N 1 2 305.382 1.118 20 30 DDEDLO C/C=C(\C)C(=O)NCCC[NH+]1CCN(C(=O)C#CC(C)C)CC1 ZINC001352651501 876852214 /nfs/dbraw/zinc/85/22/14/876852214.db2.gz CCXWEBNDEDXXNL-FZSIALSZSA-N 1 2 319.449 1.263 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001352910346 877003847 /nfs/dbraw/zinc/00/38/47/877003847.db2.gz ZSCHUWGMOKRGPF-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cc(C)no3)C[C@@H]21 ZINC001217476054 877205200 /nfs/dbraw/zinc/20/52/00/877205200.db2.gz RXWZYDGLAKQNTJ-LSDHHAIUSA-N 1 2 305.378 1.361 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cc(C)no3)C[C@@H]21 ZINC001217476054 877205220 /nfs/dbraw/zinc/20/52/20/877205220.db2.gz RXWZYDGLAKQNTJ-LSDHHAIUSA-N 1 2 305.378 1.361 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cscc2C)C1 ZINC001353466519 877354449 /nfs/dbraw/zinc/35/44/49/877354449.db2.gz ZXNHWAQJJRTEGI-GFCCVEGCSA-N 1 2 305.403 1.209 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cscc2C)C1 ZINC001353466519 877354458 /nfs/dbraw/zinc/35/44/58/877354458.db2.gz ZXNHWAQJJRTEGI-GFCCVEGCSA-N 1 2 305.403 1.209 20 30 DDEDLO C#CCN(C)c1nnc(C(C)(C)C)n1CC[N@H+]1CCOC[C@H]1C ZINC001300432394 877620768 /nfs/dbraw/zinc/62/07/68/877620768.db2.gz IFTNWYWMUHJOGP-CQSZACIVSA-N 1 2 319.453 1.366 20 30 DDEDLO C#CCN(C)c1nnc(C(C)(C)C)n1CC[N@@H+]1CCOC[C@H]1C ZINC001300432394 877620776 /nfs/dbraw/zinc/62/07/76/877620776.db2.gz IFTNWYWMUHJOGP-CQSZACIVSA-N 1 2 319.453 1.366 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cnc2n[nH]cc2c1 ZINC001379679126 877723845 /nfs/dbraw/zinc/72/38/45/877723845.db2.gz DTSXIUCRKSQVDH-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cnc2n[nH]cc2c1 ZINC001379679126 877723858 /nfs/dbraw/zinc/72/38/58/877723858.db2.gz DTSXIUCRKSQVDH-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C/C=C\c3ccc(C)cc3)[C@H]2C1 ZINC001219087376 877911383 /nfs/dbraw/zinc/91/13/83/877911383.db2.gz GIKKCUMMXIINKY-WFRZBGSESA-N 1 2 324.424 1.943 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C/C=C\c3ccc(C)cc3)[C@H]2C1 ZINC001219087376 877911389 /nfs/dbraw/zinc/91/13/89/877911389.db2.gz GIKKCUMMXIINKY-WFRZBGSESA-N 1 2 324.424 1.943 20 30 DDEDLO CCc1cc(NC(=O)C[C@H]([NH3+])C(=O)OC)cc(CC)c1C#N ZINC001219112765 877929829 /nfs/dbraw/zinc/92/98/29/877929829.db2.gz USQLJVZBNFBJFV-AWEZNQCLSA-N 1 2 303.362 1.512 20 30 DDEDLO C[C@H](C[N@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1cnon1 ZINC001379763711 877951009 /nfs/dbraw/zinc/95/10/09/877951009.db2.gz MHHQVXRVMMXFHS-SNVBAGLBSA-N 1 2 317.324 1.331 20 30 DDEDLO C[C@H](C[N@@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1cnon1 ZINC001379763711 877951030 /nfs/dbraw/zinc/95/10/30/877951030.db2.gz MHHQVXRVMMXFHS-SNVBAGLBSA-N 1 2 317.324 1.331 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C3CC3)no2)C[C@@H]1O ZINC001219539756 878314218 /nfs/dbraw/zinc/31/42/18/878314218.db2.gz ZXWDKHHLVUFNGH-CVEARBPZSA-N 1 2 317.389 1.017 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C3CC3)no2)C[C@@H]1O ZINC001219539756 878314233 /nfs/dbraw/zinc/31/42/33/878314233.db2.gz ZXWDKHHLVUFNGH-CVEARBPZSA-N 1 2 317.389 1.017 20 30 DDEDLO C=CCCC(=O)NCC[C@@H](C)NC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001355093823 878421721 /nfs/dbraw/zinc/42/17/21/878421721.db2.gz LTJLXIMSTAHERW-CYBMUJFWSA-N 1 2 320.437 1.904 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CN(C)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC001287617339 912353585 /nfs/dbraw/zinc/35/35/85/912353585.db2.gz STTGPLMBJULKNV-KBPBESRZSA-N 1 2 306.410 1.764 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(C)CCNC(=O)CCn1cc[nH+]c1 ZINC001355765366 878706502 /nfs/dbraw/zinc/70/65/02/878706502.db2.gz GVDBGDDJKCKBPB-KBPBESRZSA-N 1 2 306.410 1.306 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](CCOCC(F)(F)F)C[C@@H]1O ZINC001220239481 878842207 /nfs/dbraw/zinc/84/22/07/878842207.db2.gz GXELADLWMZAXHA-NEPJUHHUSA-N 1 2 324.343 1.083 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](CCOCC(F)(F)F)C[C@@H]1O ZINC001220239481 878842222 /nfs/dbraw/zinc/84/22/22/878842222.db2.gz GXELADLWMZAXHA-NEPJUHHUSA-N 1 2 324.343 1.083 20 30 DDEDLO CCCCCCCCC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001220835119 879297485 /nfs/dbraw/zinc/29/74/85/879297485.db2.gz SJLZWEXFWIFNQF-SJORKVTESA-N 1 2 324.465 1.548 20 30 DDEDLO CCCCCCCCC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001220835119 879297493 /nfs/dbraw/zinc/29/74/93/879297493.db2.gz SJLZWEXFWIFNQF-SJORKVTESA-N 1 2 324.465 1.548 20 30 DDEDLO C=C(C)CCC(=O)N1CC(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001356772609 879331294 /nfs/dbraw/zinc/33/12/94/879331294.db2.gz SFVDWMCDVIBDJJ-CHWSQXEVSA-N 1 2 302.378 1.197 20 30 DDEDLO C=C(C)CCC(=O)N1CC(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001356772609 879331319 /nfs/dbraw/zinc/33/13/19/879331319.db2.gz SFVDWMCDVIBDJJ-CHWSQXEVSA-N 1 2 302.378 1.197 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC(CNC(=O)CCn2cc[nH+]c2)C1 ZINC001356824023 879430390 /nfs/dbraw/zinc/43/03/90/879430390.db2.gz WUJNQUKRYBIVEB-CQSZACIVSA-N 1 2 318.421 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(CNC(=O)CCc2c[nH+]cn2C)C1 ZINC001356826874 879436190 /nfs/dbraw/zinc/43/61/90/879436190.db2.gz SJCGVOAECKXJKW-UHFFFAOYSA-N 1 2 318.421 1.140 20 30 DDEDLO C=CCCC(=O)N[C@H](CC)CNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001356897996 879560675 /nfs/dbraw/zinc/56/06/75/879560675.db2.gz AIBZJWDALDKOHB-ZIAGYGMSSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](C)[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001357072346 879756680 /nfs/dbraw/zinc/75/66/80/879756680.db2.gz DITOBLUQOWBGHO-ZFWWWQNUSA-N 1 2 318.421 1.662 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@@H+]([C@H](C)c3cnccn3)C[C@H]21 ZINC001221533163 879928449 /nfs/dbraw/zinc/92/84/49/879928449.db2.gz BETVVHARDMAJIH-BFYDXBDKSA-N 1 2 312.417 1.730 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2CC[N@H+]([C@H](C)c3cnccn3)C[C@H]21 ZINC001221533163 879928465 /nfs/dbraw/zinc/92/84/65/879928465.db2.gz BETVVHARDMAJIH-BFYDXBDKSA-N 1 2 312.417 1.730 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NC3CC3)C[C@H]21 ZINC001221759011 880060919 /nfs/dbraw/zinc/06/09/19/880060919.db2.gz LOKLIHAOAJSTSY-SQWLQELKSA-N 1 2 319.449 1.400 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NC3CC3)C[C@H]21 ZINC001221759011 880060934 /nfs/dbraw/zinc/06/09/34/880060934.db2.gz LOKLIHAOAJSTSY-SQWLQELKSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C(C)(C)CC=C)[C@@H]2C1 ZINC001221839139 880113086 /nfs/dbraw/zinc/11/30/86/880113086.db2.gz GOWJZAYNJQGAAP-HUUCEWRRSA-N 1 2 319.449 1.424 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(C)CC=C)[C@@H]2C1 ZINC001221839139 880113092 /nfs/dbraw/zinc/11/30/92/880113092.db2.gz GOWJZAYNJQGAAP-HUUCEWRRSA-N 1 2 319.449 1.424 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001287899365 912561945 /nfs/dbraw/zinc/56/19/45/912561945.db2.gz WWRVJSSWOXXDDJ-STQMWFEESA-N 1 2 318.421 1.660 20 30 DDEDLO CC(C)n1cc(C[NH+]2CCC(CNC(=O)[C@H](C)C#N)CC2)nn1 ZINC001222640691 880615917 /nfs/dbraw/zinc/61/59/17/880615917.db2.gz CQEIHQATUOANFX-CYBMUJFWSA-N 1 2 318.425 1.347 20 30 DDEDLO CCc1nnc(C[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001222641003 880618010 /nfs/dbraw/zinc/61/80/10/880618010.db2.gz GKPHDGSJDRFERV-NSHDSACASA-N 1 2 305.382 1.120 20 30 DDEDLO CCc1noc([C@@H](C)[N@H+](C)CCCN(C)C(=O)[C@H](C)C#N)n1 ZINC001380950968 880731751 /nfs/dbraw/zinc/73/17/51/880731751.db2.gz XIEUWRUWBMMDCB-VXGBXAGGSA-N 1 2 307.398 1.633 20 30 DDEDLO CCc1noc([C@@H](C)[N@@H+](C)CCCN(C)C(=O)[C@H](C)C#N)n1 ZINC001380950968 880731760 /nfs/dbraw/zinc/73/17/60/880731760.db2.gz XIEUWRUWBMMDCB-VXGBXAGGSA-N 1 2 307.398 1.633 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001287932195 912602671 /nfs/dbraw/zinc/60/26/71/912602671.db2.gz CESBDEWBHMPICV-LSDHHAIUSA-N 1 2 318.421 1.735 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC1(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001358697089 880774666 /nfs/dbraw/zinc/77/46/66/880774666.db2.gz ASKYOMMLLLQSIQ-LBPRGKRZSA-N 1 2 304.394 1.320 20 30 DDEDLO C#CCN(C(C)=O)C1CCN(C(=O)[C@@H](C)Cc2c[nH]c[nH+]2)CC1 ZINC001358754338 880871179 /nfs/dbraw/zinc/87/11/79/880871179.db2.gz YIPLECKLWOPCDM-ZDUSSCGKSA-N 1 2 316.405 1.061 20 30 DDEDLO C#CCN(C(C)=O)C1CCN(C(=O)[C@@H](C)Cc2c[nH+]c[nH]2)CC1 ZINC001358754338 880871196 /nfs/dbraw/zinc/87/11/96/880871196.db2.gz YIPLECKLWOPCDM-ZDUSSCGKSA-N 1 2 316.405 1.061 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[NH+](CCOC3CCC3)CC2)c1 ZINC001381076691 880969167 /nfs/dbraw/zinc/96/91/67/880969167.db2.gz FMYSULHEPAFXAC-UHFFFAOYSA-N 1 2 302.378 1.213 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@@H+]1CCNC(=O)C1CC1 ZINC001276899255 880999065 /nfs/dbraw/zinc/99/90/65/880999065.db2.gz NZTCTBGBVWOTKT-CQSZACIVSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@H+]1CCNC(=O)C1CC1 ZINC001276899255 880999073 /nfs/dbraw/zinc/99/90/73/880999073.db2.gz NZTCTBGBVWOTKT-CQSZACIVSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)NC(C)(C)C ZINC001276910215 881052506 /nfs/dbraw/zinc/05/25/06/881052506.db2.gz WZJWSFLVVVSQQQ-KBPBESRZSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1CC[N@H+]1CC(=O)NC(C)(C)C ZINC001276910215 881052525 /nfs/dbraw/zinc/05/25/25/881052525.db2.gz WZJWSFLVVVSQQQ-KBPBESRZSA-N 1 2 309.454 1.694 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(COCC)n2CC(=C)Cl)CC1 ZINC001359039513 881164818 /nfs/dbraw/zinc/16/48/18/881164818.db2.gz LVBCXTXZBSQYEI-UHFFFAOYSA-N 1 2 323.828 1.322 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224051417 881193855 /nfs/dbraw/zinc/19/38/55/881193855.db2.gz WHXCKTJNBPIENF-UHFFFAOYSA-N 1 2 305.426 1.356 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001224057027 881198534 /nfs/dbraw/zinc/19/85/34/881198534.db2.gz BSGJGHNASCDJKG-UHFFFAOYSA-N 1 2 319.453 1.838 20 30 DDEDLO N#Cc1ccccc1N1C[C@@H]2OCCN(CCn3cc[nH+]c3)[C@H]2C1 ZINC001276953753 881264353 /nfs/dbraw/zinc/26/43/53/881264353.db2.gz PTHDESIRUCUXGQ-ROUUACIJSA-N 1 2 323.400 1.344 20 30 DDEDLO N#Cc1ccccc1N1C[C@@H]2OCC[N@H+](CCn3ccnc3)[C@H]2C1 ZINC001276953753 881264372 /nfs/dbraw/zinc/26/43/72/881264372.db2.gz PTHDESIRUCUXGQ-ROUUACIJSA-N 1 2 323.400 1.344 20 30 DDEDLO N#Cc1ccccc1N1C[C@@H]2OCC[N@@H+](CCn3ccnc3)[C@H]2C1 ZINC001276953753 881264390 /nfs/dbraw/zinc/26/43/90/881264390.db2.gz PTHDESIRUCUXGQ-ROUUACIJSA-N 1 2 323.400 1.344 20 30 DDEDLO COC(=O)c1cc(O)cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001228092967 883331498 /nfs/dbraw/zinc/33/14/98/883331498.db2.gz RYISBVBCHZECGD-ABWMFPBJSA-N 1 2 305.330 1.170 20 30 DDEDLO COC(=O)c1cc(O)cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001228092967 883331517 /nfs/dbraw/zinc/33/15/17/883331517.db2.gz RYISBVBCHZECGD-ABWMFPBJSA-N 1 2 305.330 1.170 20 30 DDEDLO N#Cc1cscc1C(=O)NC1CC[NH+]([C@H]2CCOC2=O)CC1 ZINC001362270189 883449984 /nfs/dbraw/zinc/44/99/84/883449984.db2.gz OBABUUWBWJAINS-ZDUSSCGKSA-N 1 2 319.386 1.129 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cccc(C#N)c3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001229600877 884066296 /nfs/dbraw/zinc/06/62/96/884066296.db2.gz XHAPBBZMMXBESG-RBRDRRMGSA-N 1 2 301.302 1.458 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cccc(C#N)c3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001229600877 884066316 /nfs/dbraw/zinc/06/63/16/884066316.db2.gz XHAPBBZMMXBESG-RBRDRRMGSA-N 1 2 301.302 1.458 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC1CCCCC1 ZINC001230681842 884743330 /nfs/dbraw/zinc/74/33/30/884743330.db2.gz PBICCBPCXWSNFY-INIZCTEOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC1CCCCC1 ZINC001230681842 884743345 /nfs/dbraw/zinc/74/33/45/884743345.db2.gz PBICCBPCXWSNFY-INIZCTEOSA-N 1 2 321.465 1.792 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770309 884854766 /nfs/dbraw/zinc/85/47/66/884854766.db2.gz MKBGGGZVZPJFRZ-KBPBESRZSA-N 1 2 307.438 1.093 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770309 884854779 /nfs/dbraw/zinc/85/47/79/884854779.db2.gz MKBGGGZVZPJFRZ-KBPBESRZSA-N 1 2 307.438 1.093 20 30 DDEDLO Cn1ccnc1NC(=O)[C@@]12C[C@@H]1CC[N@@H+]2Cc1cccc(C#N)c1 ZINC001277476897 885110324 /nfs/dbraw/zinc/11/03/24/885110324.db2.gz AHHVYWMXIGWGNP-MAUKXSAKSA-N 1 2 321.384 1.895 20 30 DDEDLO Cn1ccnc1NC(=O)[C@@]12C[C@@H]1CC[N@H+]2Cc1cccc(C#N)c1 ZINC001277476897 885110335 /nfs/dbraw/zinc/11/03/35/885110335.db2.gz AHHVYWMXIGWGNP-MAUKXSAKSA-N 1 2 321.384 1.895 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C/CC ZINC001231006290 885129203 /nfs/dbraw/zinc/12/92/03/885129203.db2.gz SQTPMDBUTQYYGJ-DMUBJSPUSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C/CC ZINC001231006290 885129226 /nfs/dbraw/zinc/12/92/26/885129226.db2.gz SQTPMDBUTQYYGJ-DMUBJSPUSA-N 1 2 305.422 1.013 20 30 DDEDLO Cn1c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)nc2cc(Cl)cnc21 ZINC001231089229 885209795 /nfs/dbraw/zinc/20/97/95/885209795.db2.gz YTNDJYYOWSWTGM-DERRMCRCSA-N 1 2 320.780 1.613 20 30 DDEDLO Cn1c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)nc2cc(Cl)cnc21 ZINC001231089229 885209806 /nfs/dbraw/zinc/20/98/06/885209806.db2.gz YTNDJYYOWSWTGM-DERRMCRCSA-N 1 2 320.780 1.613 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@@H](C)C(C)C ZINC001231113540 885244532 /nfs/dbraw/zinc/24/45/32/885244532.db2.gz IJVOYBQSQQGVCC-CABCVRRESA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@@H](C)C(C)C ZINC001231113540 885244548 /nfs/dbraw/zinc/24/45/48/885244548.db2.gz IJVOYBQSQQGVCC-CABCVRRESA-N 1 2 309.454 1.504 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC1=CCCCC1 ZINC001231264510 885451981 /nfs/dbraw/zinc/45/19/81/885451981.db2.gz WRIQWVGCCZORMK-INIZCTEOSA-N 1 2 317.433 1.159 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC1=CCCCC1 ZINC001231264510 885451986 /nfs/dbraw/zinc/45/19/86/885451986.db2.gz WRIQWVGCCZORMK-INIZCTEOSA-N 1 2 317.433 1.159 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CCC1CC1 ZINC001231343426 885523757 /nfs/dbraw/zinc/52/37/57/885523757.db2.gz VETYRZNBEQEJLR-HIFRSBDPSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CCC1CC1 ZINC001231343426 885523769 /nfs/dbraw/zinc/52/37/69/885523769.db2.gz VETYRZNBEQEJLR-HIFRSBDPSA-N 1 2 307.438 1.400 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@@H](NC(=O)N3CCCC3)C2)n1 ZINC001232926853 886678147 /nfs/dbraw/zinc/67/81/47/886678147.db2.gz FTNADAUMMAHBBH-MRXNPFEDSA-N 1 2 313.405 1.723 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@@H](NC(=O)N3CCCC3)C2)n1 ZINC001232926853 886678151 /nfs/dbraw/zinc/67/81/51/886678151.db2.gz FTNADAUMMAHBBH-MRXNPFEDSA-N 1 2 313.405 1.723 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC001363805852 887270686 /nfs/dbraw/zinc/27/06/86/887270686.db2.gz RRIQCMWJRHLWBL-CYBMUJFWSA-N 1 2 322.796 1.746 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC001363805852 887270696 /nfs/dbraw/zinc/27/06/96/887270696.db2.gz RRIQCMWJRHLWBL-CYBMUJFWSA-N 1 2 322.796 1.746 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCCC ZINC001233800570 887333925 /nfs/dbraw/zinc/33/39/25/887333925.db2.gz JBNCUQZBYBXSIQ-HOTGVXAUSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCC ZINC001233800570 887333932 /nfs/dbraw/zinc/33/39/32/887333932.db2.gz JBNCUQZBYBXSIQ-HOTGVXAUSA-N 1 2 321.465 1.627 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]ccc1C ZINC001233990909 887529899 /nfs/dbraw/zinc/52/98/99/887529899.db2.gz NKMOTUNHTIIQBZ-KGLIPLIRSA-N 1 2 318.421 1.160 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]ccc1C ZINC001233990909 887529910 /nfs/dbraw/zinc/52/99/10/887529910.db2.gz NKMOTUNHTIIQBZ-KGLIPLIRSA-N 1 2 318.421 1.160 20 30 DDEDLO COc1cc(CN2CCC(=O)[C@@H](C#N)C2)ccc1-n1cc[nH+]c1 ZINC001234065704 887607628 /nfs/dbraw/zinc/60/76/28/887607628.db2.gz DHHKIQLZNYQSKA-AWEZNQCLSA-N 1 2 310.357 1.795 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001234171615 887710283 /nfs/dbraw/zinc/71/02/83/887710283.db2.gz QZJJNSWNAQNIOE-HZPDHXFCSA-N 1 2 319.449 1.188 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001234171615 887710295 /nfs/dbraw/zinc/71/02/95/887710295.db2.gz QZJJNSWNAQNIOE-HZPDHXFCSA-N 1 2 319.449 1.188 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186853 887724861 /nfs/dbraw/zinc/72/48/61/887724861.db2.gz OSTLCCBYGNOOKE-HOCLYGCPSA-N 1 2 319.449 1.710 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(C)=C1CCC1 ZINC001234186853 887724882 /nfs/dbraw/zinc/72/48/82/887724882.db2.gz OSTLCCBYGNOOKE-HOCLYGCPSA-N 1 2 319.449 1.710 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234194441 887735026 /nfs/dbraw/zinc/73/50/26/887735026.db2.gz DACMCFSFTPXUNN-DZGCQCFKSA-N 1 2 319.449 1.236 20 30 DDEDLO C[C@@H](C(=O)NC(C)(C)C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234194441 887735038 /nfs/dbraw/zinc/73/50/38/887735038.db2.gz DACMCFSFTPXUNN-DZGCQCFKSA-N 1 2 319.449 1.236 20 30 DDEDLO CCCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214569 887752315 /nfs/dbraw/zinc/75/23/15/887752315.db2.gz CRGFASIKWKAUEV-GJZGRUSLSA-N 1 2 321.465 1.483 20 30 DDEDLO CCCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214569 887752325 /nfs/dbraw/zinc/75/23/25/887752325.db2.gz CRGFASIKWKAUEV-GJZGRUSLSA-N 1 2 321.465 1.483 20 30 DDEDLO Cc1nnc(C[NH2+]C[C@H]2CCCN2C(=O)C#CC(C)(C)C)o1 ZINC001277851195 887911762 /nfs/dbraw/zinc/91/17/62/887911762.db2.gz FRMFYWBRKPNFQN-CYBMUJFWSA-N 1 2 304.394 1.508 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234528826 888059653 /nfs/dbraw/zinc/05/96/53/888059653.db2.gz VZKCQGLRBOGJLJ-AWEZNQCLSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234528826 888059664 /nfs/dbraw/zinc/05/96/64/888059664.db2.gz VZKCQGLRBOGJLJ-AWEZNQCLSA-N 1 2 309.454 1.648 20 30 DDEDLO N#CCC(=O)N1CC[NH+](C[C@H]2COC3(CCCCC3)O2)CC1 ZINC001364412660 888576570 /nfs/dbraw/zinc/57/65/70/888576570.db2.gz URCLMPMJRJYCPB-AWEZNQCLSA-N 1 2 307.394 1.120 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001364436273 888632553 /nfs/dbraw/zinc/63/25/53/888632553.db2.gz QDEIJRXUOOTRMX-UONOGXRCSA-N 1 2 313.361 1.295 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001364436273 888632560 /nfs/dbraw/zinc/63/25/60/888632560.db2.gz QDEIJRXUOOTRMX-UONOGXRCSA-N 1 2 313.361 1.295 20 30 DDEDLO COC(=O)Nc1ccc(CNC(=O)C[NH2+][C@H](C)CC#N)cc1 ZINC001364455138 888673140 /nfs/dbraw/zinc/67/31/40/888673140.db2.gz VDKWHSVEFLVXIN-LLVKDONJSA-N 1 2 304.350 1.373 20 30 DDEDLO N#Cc1cc(F)c(C[NH+]2CCC3(CC2)CNC(=O)CO3)c(F)c1 ZINC001235664877 888860752 /nfs/dbraw/zinc/86/07/52/888860752.db2.gz QLYQLMKOQYCYCU-UHFFFAOYSA-N 1 2 321.327 1.317 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001290057706 913368129 /nfs/dbraw/zinc/36/81/29/913368129.db2.gz WPSQTBFCGHKABE-XBXGTLAGSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1C[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001290057706 913368144 /nfs/dbraw/zinc/36/81/44/913368144.db2.gz WPSQTBFCGHKABE-XBXGTLAGSA-N 1 2 318.421 1.566 20 30 DDEDLO C#CCN(C(=O)[C@H]1C[C@@H]1C)C1CC[NH+](Cc2nonc2C)CC1 ZINC001278177393 889978581 /nfs/dbraw/zinc/97/85/81/889978581.db2.gz QFEAAYQDGQNLFL-WFASDCNBSA-N 1 2 316.405 1.460 20 30 DDEDLO N#CCC1CN(C(=O)[C@H]2CC23C[NH+](Cc2cccc(F)n2)C3)C1 ZINC001278298734 890669758 /nfs/dbraw/zinc/66/97/58/890669758.db2.gz HNEIDEZBHUKLDC-CQSZACIVSA-N 1 2 314.364 1.415 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](NC(=O)CCOC)[C@H]1C ZINC001365904846 891864739 /nfs/dbraw/zinc/86/47/39/891864739.db2.gz JVQDRPIFNWENED-MNOVXSKESA-N 1 2 305.216 1.511 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](NC(=O)CCOC)[C@H]1C ZINC001365904846 891864746 /nfs/dbraw/zinc/86/47/46/891864746.db2.gz JVQDRPIFNWENED-MNOVXSKESA-N 1 2 305.216 1.511 20 30 DDEDLO CC(C)C[C@H](C)N(C)C(=O)C[N@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001366520600 893843283 /nfs/dbraw/zinc/84/32/83/893843283.db2.gz QNXRLFDGGOIONK-KBPBESRZSA-N 1 2 310.442 1.087 20 30 DDEDLO CC(C)C[C@H](C)N(C)C(=O)C[N@@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001366520600 893843298 /nfs/dbraw/zinc/84/32/98/893843298.db2.gz QNXRLFDGGOIONK-KBPBESRZSA-N 1 2 310.442 1.087 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(C)(C)CC=C)[C@H]1C ZINC001278520368 894052348 /nfs/dbraw/zinc/05/23/48/894052348.db2.gz FPPNWERUJPOWLT-CABCVRRESA-N 1 2 319.449 1.307 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(C)(C)CC=C)[C@H]1C ZINC001278520368 894052367 /nfs/dbraw/zinc/05/23/67/894052367.db2.gz FPPNWERUJPOWLT-CABCVRRESA-N 1 2 319.449 1.307 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](Cc2cccc(C#N)c2F)C1 ZINC001249693809 894107331 /nfs/dbraw/zinc/10/73/31/894107331.db2.gz QAOOQVHBGWGQRL-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](Cc2cccc(C#N)c2F)C1 ZINC001249693809 894107336 /nfs/dbraw/zinc/10/73/36/894107336.db2.gz QAOOQVHBGWGQRL-CQSZACIVSA-N 1 2 305.353 1.424 20 30 DDEDLO C[NH+]1CCN(C(=O)c2cccc(-c3ncc(C#N)cn3)c2)CC1 ZINC001250507851 894306839 /nfs/dbraw/zinc/30/68/39/894306839.db2.gz QZUXHNVASRONAE-UHFFFAOYSA-N 1 2 307.357 1.403 20 30 DDEDLO C=C[C@@](C)(O)CNc1[nH+]c2ccccc2n1CCNC(C)=O ZINC001252547416 895258098 /nfs/dbraw/zinc/25/80/98/895258098.db2.gz HZTHILZIFJWXCL-MRXNPFEDSA-N 1 2 302.378 1.521 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCc2c(nnn2C)[C@@H]1COCC=C ZINC001252595587 895297812 /nfs/dbraw/zinc/29/78/12/895297812.db2.gz JCYWPPKCUHTTQA-HIFRSBDPSA-N 1 2 306.410 1.244 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCc2c(nnn2C)[C@@H]1COCC=C ZINC001252595587 895297828 /nfs/dbraw/zinc/29/78/28/895297828.db2.gz JCYWPPKCUHTTQA-HIFRSBDPSA-N 1 2 306.410 1.244 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCOC[C@@H]1CNC(=O)OC(C)(C)C ZINC001252602762 895309307 /nfs/dbraw/zinc/30/93/07/895309307.db2.gz FXNNTYOXOUHURQ-UONOGXRCSA-N 1 2 314.426 1.539 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCOC[C@@H]1CNC(=O)OC(C)(C)C ZINC001252602762 895309321 /nfs/dbraw/zinc/30/93/21/895309321.db2.gz FXNNTYOXOUHURQ-UONOGXRCSA-N 1 2 314.426 1.539 20 30 DDEDLO CCOc1cccc(C[N@@H+]2CC[C@@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001367027990 895616264 /nfs/dbraw/zinc/61/62/64/895616264.db2.gz KSJGIWKKZXWEBR-TZMCWYRMSA-N 1 2 302.378 1.330 20 30 DDEDLO CCOc1cccc(C[N@H+]2CC[C@@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001367027990 895616266 /nfs/dbraw/zinc/61/62/66/895616266.db2.gz KSJGIWKKZXWEBR-TZMCWYRMSA-N 1 2 302.378 1.330 20 30 DDEDLO N#C[C@@H]1CCC[N@@H+](C[C@H](O)COc2ccc(CCO)cc2)C1 ZINC001253145300 895668329 /nfs/dbraw/zinc/66/83/29/895668329.db2.gz IZQJXCNETPXZTH-HOTGVXAUSA-N 1 2 304.390 1.197 20 30 DDEDLO N#C[C@@H]1CCC[N@H+](C[C@H](O)COc2ccc(CCO)cc2)C1 ZINC001253145300 895668339 /nfs/dbraw/zinc/66/83/39/895668339.db2.gz IZQJXCNETPXZTH-HOTGVXAUSA-N 1 2 304.390 1.197 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)CC2(O)CCC2)C1 ZINC001367072232 895756920 /nfs/dbraw/zinc/75/69/20/895756920.db2.gz RTXLISFYAQHINH-ZDUSSCGKSA-N 1 2 300.830 1.967 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)CC2(O)CCC2)C1 ZINC001367072232 895756934 /nfs/dbraw/zinc/75/69/34/895756934.db2.gz RTXLISFYAQHINH-ZDUSSCGKSA-N 1 2 300.830 1.967 20 30 DDEDLO CC[C@H](CNC(=O)c1c[nH]c(C#N)c1)[NH2+]Cc1csnn1 ZINC001367106344 895882502 /nfs/dbraw/zinc/88/25/02/895882502.db2.gz WKRUNVFQMAQPFI-SNVBAGLBSA-N 1 2 304.379 1.036 20 30 DDEDLO CCOC(=O)CN1CCC([N@@H+]2CCC3SC(=O)C=C3C2)CC1 ZINC001254407788 896428885 /nfs/dbraw/zinc/42/88/85/896428885.db2.gz MSMASVXZOBAJBZ-AWEZNQCLSA-N 1 2 324.446 1.288 20 30 DDEDLO CCOC(=O)CN1CCC([N@H+]2CCC3SC(=O)C=C3C2)CC1 ZINC001254407788 896428900 /nfs/dbraw/zinc/42/89/00/896428900.db2.gz MSMASVXZOBAJBZ-AWEZNQCLSA-N 1 2 324.446 1.288 20 30 DDEDLO CCOC(=O)CN1CCC([N@@H+]2CC[C@@H]3SC(=O)C=C3C2)CC1 ZINC001254407788 896428909 /nfs/dbraw/zinc/42/89/09/896428909.db2.gz MSMASVXZOBAJBZ-AWEZNQCLSA-N 1 2 324.446 1.288 20 30 DDEDLO CCOC(=O)CN1CCC([N@H+]2CC[C@@H]3SC(=O)C=C3C2)CC1 ZINC001254407788 896428915 /nfs/dbraw/zinc/42/89/15/896428915.db2.gz MSMASVXZOBAJBZ-AWEZNQCLSA-N 1 2 324.446 1.288 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](O)C[NH2+][C@@H](C)c1nnc(C)o1 ZINC001278790339 896458176 /nfs/dbraw/zinc/45/81/76/896458176.db2.gz DZAQVTGAEQUSHM-WCQYABFASA-N 1 2 324.425 1.450 20 30 DDEDLO N#C[C@H]1CC[C@H]([NH2+]CCNC(=O)C(F)(F)Br)C1 ZINC001254653722 896549287 /nfs/dbraw/zinc/54/92/87/896549287.db2.gz PPVFDCOXEVURSY-YUMQZZPRSA-N 1 2 310.142 1.372 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CC[N@H+](C)CC(=O)Nc1ccccc1F ZINC001367508029 896970325 /nfs/dbraw/zinc/97/03/25/896970325.db2.gz BKJZDIAFLBGFQW-LBPRGKRZSA-N 1 2 320.368 1.314 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)CC[N@@H+](C)CC(=O)Nc1ccccc1F ZINC001367508029 896970331 /nfs/dbraw/zinc/97/03/31/896970331.db2.gz BKJZDIAFLBGFQW-LBPRGKRZSA-N 1 2 320.368 1.314 20 30 DDEDLO Cc1c[nH]nc1C(=O)N(C)CC[N@H+](C)Cc1ccccc1C#N ZINC001367511372 896983346 /nfs/dbraw/zinc/98/33/46/896983346.db2.gz FQWWWNORVFNHQV-UHFFFAOYSA-N 1 2 311.389 1.794 20 30 DDEDLO Cc1c[nH]nc1C(=O)N(C)CC[N@@H+](C)Cc1ccccc1C#N ZINC001367511372 896983357 /nfs/dbraw/zinc/98/33/57/896983357.db2.gz FQWWWNORVFNHQV-UHFFFAOYSA-N 1 2 311.389 1.794 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@@H](C)NC(=O)c2c[nH]c(C#N)c2)oc1C ZINC001367795245 897823760 /nfs/dbraw/zinc/82/37/60/897823760.db2.gz MPMKMBGLBQBLDC-SNVBAGLBSA-N 1 2 315.377 1.742 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@@H](C)NC(=O)c2c[nH]c(C#N)c2)oc1C ZINC001367795245 897823769 /nfs/dbraw/zinc/82/37/69/897823769.db2.gz MPMKMBGLBQBLDC-SNVBAGLBSA-N 1 2 315.377 1.742 20 30 DDEDLO CCCC[N@H+](CC#N)[C@@H]1CC[C@@H](C(=O)OC)[C@H](C(=O)OC)C1 ZINC001257747156 897938204 /nfs/dbraw/zinc/93/82/04/897938204.db2.gz ZVNSXRQAKJEVGL-MGPQQGTHSA-N 1 2 310.394 1.743 20 30 DDEDLO CCCC[N@@H+](CC#N)[C@@H]1CC[C@@H](C(=O)OC)[C@H](C(=O)OC)C1 ZINC001257747156 897938211 /nfs/dbraw/zinc/93/82/11/897938211.db2.gz ZVNSXRQAKJEVGL-MGPQQGTHSA-N 1 2 310.394 1.743 20 30 DDEDLO C[NH+](C)CCn1cc(NS(=O)(=O)c2ccc(C#N)cc2)cn1 ZINC001258026469 898025794 /nfs/dbraw/zinc/02/57/94/898025794.db2.gz BIKJDTCZTMTSFV-UHFFFAOYSA-N 1 2 319.390 1.117 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)CCc2nccn2C)C1 ZINC001368159586 898849995 /nfs/dbraw/zinc/84/99/95/898849995.db2.gz CZOPCTXDIKHIOU-HNNXBMFYSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)CCc2nccn2C)C1 ZINC001368159586 898850008 /nfs/dbraw/zinc/85/00/08/898850008.db2.gz CZOPCTXDIKHIOU-HNNXBMFYSA-N 1 2 310.829 1.686 20 30 DDEDLO C#CCN(C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C)C(C)C ZINC001262298735 900086496 /nfs/dbraw/zinc/08/64/96/900086496.db2.gz XWMYEIOZCQYBKJ-MRXNPFEDSA-N 1 2 313.401 1.160 20 30 DDEDLO C#CCN(C(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C)C(C)C ZINC001262298735 900086509 /nfs/dbraw/zinc/08/65/09/900086509.db2.gz XWMYEIOZCQYBKJ-MRXNPFEDSA-N 1 2 313.401 1.160 20 30 DDEDLO CC[N@H+](CCNC(=O)[C@@H](C)C#N)CC(=O)Nc1ccccc1 ZINC001390883289 900435976 /nfs/dbraw/zinc/43/59/76/900435976.db2.gz CULIFRPOFXKVMA-ZDUSSCGKSA-N 1 2 302.378 1.223 20 30 DDEDLO CC[N@@H+](CCNC(=O)[C@@H](C)C#N)CC(=O)Nc1ccccc1 ZINC001390883289 900435981 /nfs/dbraw/zinc/43/59/81/900435981.db2.gz CULIFRPOFXKVMA-ZDUSSCGKSA-N 1 2 302.378 1.223 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)CCC#N)n2CC)CC1 ZINC001263051308 900476965 /nfs/dbraw/zinc/47/69/65/900476965.db2.gz ULJYYTLIADJVKN-AWEZNQCLSA-N 1 2 300.410 1.460 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001263806949 900719890 /nfs/dbraw/zinc/71/98/90/900719890.db2.gz GPJKPLALNQNBDZ-XHSDSOJGSA-N 1 2 321.465 1.600 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001263806949 900719895 /nfs/dbraw/zinc/71/98/95/900719895.db2.gz GPJKPLALNQNBDZ-XHSDSOJGSA-N 1 2 321.465 1.600 20 30 DDEDLO C[C@@H]([NH2+]CCN(C)C(=O)c1cc(C#N)c[nH]1)c1csnn1 ZINC001391209043 901228645 /nfs/dbraw/zinc/22/86/45/901228645.db2.gz ILGSIMSDPAJGSO-SECBINFHSA-N 1 2 304.379 1.161 20 30 DDEDLO CC(C)c1nc(C[NH2+]C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C)no1 ZINC001369543681 901497515 /nfs/dbraw/zinc/49/75/15/901497515.db2.gz KPVGJGUDXKNNAV-NEPJUHHUSA-N 1 2 321.425 1.973 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@@H](C)C#N)[C@@H](C)c1cnc(C)cn1 ZINC001369550511 901515016 /nfs/dbraw/zinc/51/50/16/901515016.db2.gz PBACBFIJTPFMPY-JSGCOSHPSA-N 1 2 319.409 1.070 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@@H](C)C#N)[C@@H](C)c1cnc(C)cn1 ZINC001369550511 901515021 /nfs/dbraw/zinc/51/50/21/901515021.db2.gz PBACBFIJTPFMPY-JSGCOSHPSA-N 1 2 319.409 1.070 20 30 DDEDLO C=C(Cl)CN1CCC[C@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001391403833 901676016 /nfs/dbraw/zinc/67/60/16/901676016.db2.gz CUWWUWLHTBWMIX-AWEZNQCLSA-N 1 2 310.829 1.996 20 30 DDEDLO Cc1nonc1C[NH+]1CCC(N(C)C(=O)C#CC2CC2)CC1 ZINC001265191853 901686658 /nfs/dbraw/zinc/68/66/58/901686658.db2.gz GGCNOCZHNCBKLG-UHFFFAOYSA-N 1 2 302.378 1.214 20 30 DDEDLO COCC(=O)N[C@H]1CCC[N@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001265201984 901695658 /nfs/dbraw/zinc/69/56/58/901695658.db2.gz YNLHBRTWLOCICX-INIZCTEOSA-N 1 2 320.820 1.919 20 30 DDEDLO COCC(=O)N[C@H]1CCC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001265201984 901695665 /nfs/dbraw/zinc/69/56/65/901695665.db2.gz YNLHBRTWLOCICX-INIZCTEOSA-N 1 2 320.820 1.919 20 30 DDEDLO C=CCN1CC[NH+](CCNC(=O)Cc2ccc(C)c(C)c2)CC1 ZINC001265266660 901790222 /nfs/dbraw/zinc/79/02/22/901790222.db2.gz WPEPNCGJOXLJRX-UHFFFAOYSA-N 1 2 315.461 1.766 20 30 DDEDLO CCn1cc(Cl)cc1C(=O)NCC[NH+]1CCN(CC#N)CC1 ZINC001265266225 901790278 /nfs/dbraw/zinc/79/02/78/901790278.db2.gz FFLUQDXUCGDLCE-UHFFFAOYSA-N 1 2 323.828 1.032 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001293601091 914573759 /nfs/dbraw/zinc/57/37/59/914573759.db2.gz IDXFZDZLDYIALY-TZMCWYRMSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)COC[C@@H]2CCCO2)C1 ZINC001391633159 902196281 /nfs/dbraw/zinc/19/62/81/902196281.db2.gz KIEXMRNFNVOAGA-KBPBESRZSA-N 1 2 316.829 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)COC[C@@H]2CCCO2)C1 ZINC001391633159 902196294 /nfs/dbraw/zinc/19/62/94/902196294.db2.gz KIEXMRNFNVOAGA-KBPBESRZSA-N 1 2 316.829 1.467 20 30 DDEDLO CCCCc1noc([C@H](C)[NH2+][C@H](C)CNC(=O)C#CC2CC2)n1 ZINC001265773026 902394095 /nfs/dbraw/zinc/39/40/95/902394095.db2.gz NVDGKPIRIOWBCD-OLZOCXBDSA-N 1 2 318.421 1.981 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[N@@H+](C)[C@@H](C)c1nnc(C)[nH]1 ZINC001265924825 902604597 /nfs/dbraw/zinc/60/45/97/902604597.db2.gz HKCMHXVQBJXURP-YUTCNCBUSA-N 1 2 321.425 1.203 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[N@H+](C)[C@@H](C)c1nnc(C)[nH]1 ZINC001265924825 902604602 /nfs/dbraw/zinc/60/46/02/902604602.db2.gz HKCMHXVQBJXURP-YUTCNCBUSA-N 1 2 321.425 1.203 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001293697012 914642525 /nfs/dbraw/zinc/64/25/25/914642525.db2.gz JHHLDDYWDOWOQQ-CQSZACIVSA-N 1 2 316.405 1.205 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001266217291 903133720 /nfs/dbraw/zinc/13/37/20/903133720.db2.gz ZBXUJVLHYSYPSO-ZIAGYGMSSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001266217291 903133725 /nfs/dbraw/zinc/13/37/25/903133725.db2.gz ZBXUJVLHYSYPSO-ZIAGYGMSSA-N 1 2 308.426 1.033 20 30 DDEDLO C=CCCCC(=O)N1CC([C@H](C)NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001280640159 903873968 /nfs/dbraw/zinc/87/39/68/903873968.db2.gz DYBKXTNMGPGFRZ-LBPRGKRZSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)/C=C(\C)CC)O2 ZINC001280920473 904189210 /nfs/dbraw/zinc/18/92/10/904189210.db2.gz SBYRHNDNWKSEKT-SFKKXYGYSA-N 1 2 322.449 1.895 20 30 DDEDLO CC(C)CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)C#N)CO2 ZINC001280935266 904210020 /nfs/dbraw/zinc/21/00/20/904210020.db2.gz KTMUBCQXZZIPCF-CABCVRRESA-N 1 2 323.437 1.168 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1COC2(C[NH+](CCOCC3CC3)C2)C1 ZINC001280947681 904229289 /nfs/dbraw/zinc/22/92/89/904229289.db2.gz NZDKAURQTOZPLI-INIZCTEOSA-N 1 2 320.433 1.032 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001281139853 904464047 /nfs/dbraw/zinc/46/40/47/904464047.db2.gz JCERZUCGHYKKER-KGLIPLIRSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CCCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001316609210 904624250 /nfs/dbraw/zinc/62/42/50/904624250.db2.gz BKHGPSDMGAWLLH-HNNXBMFYSA-N 1 2 306.410 1.046 20 30 DDEDLO C=CCCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001316609210 904624260 /nfs/dbraw/zinc/62/42/60/904624260.db2.gz BKHGPSDMGAWLLH-HNNXBMFYSA-N 1 2 306.410 1.046 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC001282036311 905448831 /nfs/dbraw/zinc/44/88/31/905448831.db2.gz LSQFFZGLAKZYNW-OAHLLOKOSA-N 1 2 318.421 1.689 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)[N@H+](C)CC(=O)Nc1ccon1 ZINC001282456352 905799232 /nfs/dbraw/zinc/79/92/32/905799232.db2.gz ZMHPAIJTIROPEH-MLGOLLRUSA-N 1 2 322.409 1.652 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)[N@@H+](C)CC(=O)Nc1ccon1 ZINC001282456352 905799242 /nfs/dbraw/zinc/79/92/42/905799242.db2.gz ZMHPAIJTIROPEH-MLGOLLRUSA-N 1 2 322.409 1.652 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCC2(C)C)C1 ZINC001282734269 905993603 /nfs/dbraw/zinc/99/36/03/905993603.db2.gz NPFGLJORDWBNBW-JSGCOSHPSA-N 1 2 307.438 1.161 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H](NC(=O)c2ccco2)C(C)(C)C1 ZINC001282785732 906036130 /nfs/dbraw/zinc/03/61/30/906036130.db2.gz ASCRUKQFFCGMJA-TZMCWYRMSA-N 1 2 319.405 1.411 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H](NC(=O)c2ccco2)C(C)(C)C1 ZINC001282785732 906036142 /nfs/dbraw/zinc/03/61/42/906036142.db2.gz ASCRUKQFFCGMJA-TZMCWYRMSA-N 1 2 319.405 1.411 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)CC(C)(C)O ZINC001377390952 921205631 /nfs/dbraw/zinc/20/56/31/921205631.db2.gz YPCUSYSPJAFURD-NSHDSACASA-N 1 2 319.243 1.637 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)CC(C)(C)O ZINC001377390952 921205640 /nfs/dbraw/zinc/20/56/40/921205640.db2.gz YPCUSYSPJAFURD-NSHDSACASA-N 1 2 319.243 1.637 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)CCc2[nH+]ccn2C)C1 ZINC001283345374 907185490 /nfs/dbraw/zinc/18/54/90/907185490.db2.gz IBSSRESJIZGIMF-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001283353071 907198945 /nfs/dbraw/zinc/19/89/45/907198945.db2.gz IJXYRSXVOQINTK-GFCCVEGCSA-N 1 2 318.421 1.617 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001283892918 908145613 /nfs/dbraw/zinc/14/56/13/908145613.db2.gz LRBFDQBNLWAMNS-WBMJQRKESA-N 1 2 304.394 1.272 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001284327133 908832646 /nfs/dbraw/zinc/83/26/46/908832646.db2.gz WVHGZDAFRRNQTK-UONOGXRCSA-N 1 2 318.421 1.811 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284392754 908933093 /nfs/dbraw/zinc/93/30/93/908933093.db2.gz GJRNBRFIIIFUPM-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284392754 908933100 /nfs/dbraw/zinc/93/31/00/908933100.db2.gz GJRNBRFIIIFUPM-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](N(CCC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001284817587 909523768 /nfs/dbraw/zinc/52/37/68/909523768.db2.gz XOTSSUOAPCGJLD-OAHLLOKOSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCN2CCCC2=O)C1 ZINC001373384939 909698448 /nfs/dbraw/zinc/69/84/48/909698448.db2.gz MMMHOFDANPLJPW-CYBMUJFWSA-N 1 2 313.829 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCN2CCCC2=O)C1 ZINC001373384939 909698451 /nfs/dbraw/zinc/69/84/51/909698451.db2.gz MMMHOFDANPLJPW-CYBMUJFWSA-N 1 2 313.829 1.332 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C(C)C)C1 ZINC001285341403 910380529 /nfs/dbraw/zinc/38/05/29/910380529.db2.gz PJHSIDLUQJKGOC-CYBMUJFWSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CN(C(=O)Cc3[nH]cc[nH+]3)CC[C@H]21 ZINC001285399497 910463849 /nfs/dbraw/zinc/46/38/49/910463849.db2.gz ZYDVTSPDCNGNNF-UONOGXRCSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)C[C@H]1CCNC1=O)C(C)C ZINC001394806194 910611568 /nfs/dbraw/zinc/61/15/68/910611568.db2.gz RBCSSWHYWQHDOC-CYBMUJFWSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)C[C@H]1CCNC1=O)C(C)C ZINC001394806194 910611574 /nfs/dbraw/zinc/61/15/74/910611574.db2.gz RBCSSWHYWQHDOC-CYBMUJFWSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H](C)N(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001285799404 911218938 /nfs/dbraw/zinc/21/89/38/911218938.db2.gz WNURROUIHJRELE-JSGCOSHPSA-N 1 2 320.437 1.826 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCN1C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001285893109 911379447 /nfs/dbraw/zinc/37/94/47/911379447.db2.gz KBAGHYDBXNFWBX-ZDUSSCGKSA-N 1 2 318.421 1.761 20 30 DDEDLO Cc1cc(C[N@@H+]2CCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)c(C)s1 ZINC001374124469 911951633 /nfs/dbraw/zinc/95/16/33/911951633.db2.gz POGLWKHRGHQEKA-NHYWBVRUSA-N 1 2 321.446 1.842 20 30 DDEDLO Cc1cc(C[N@H+]2CCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)c(C)s1 ZINC001374124469 911951642 /nfs/dbraw/zinc/95/16/42/911951642.db2.gz POGLWKHRGHQEKA-NHYWBVRUSA-N 1 2 321.446 1.842 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001294941516 915471446 /nfs/dbraw/zinc/47/14/46/915471446.db2.gz BEZFODMBXICAOQ-DOMZBBRYSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001295038643 915522877 /nfs/dbraw/zinc/52/28/77/915522877.db2.gz LNAXFNMBXDDDBT-BFHYXJOUSA-N 1 2 316.405 1.587 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001295038643 915522891 /nfs/dbraw/zinc/52/28/91/915522891.db2.gz LNAXFNMBXDDDBT-BFHYXJOUSA-N 1 2 316.405 1.587 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)NC(=O)c1cccc2[nH+]ccn21 ZINC001295629327 915944329 /nfs/dbraw/zinc/94/43/29/915944329.db2.gz RXPOATUJNPTXDB-CYBMUJFWSA-N 1 2 314.389 1.925 20 30 DDEDLO C#CCC1(C(=O)N[C@H](C)CCNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001295873831 916106054 /nfs/dbraw/zinc/10/60/54/916106054.db2.gz QPSNAKMNRMFRKL-CQSZACIVSA-N 1 2 316.405 1.088 20 30 DDEDLO C=CCCC1(C(=O)N(C)CCNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001296254111 916298969 /nfs/dbraw/zinc/29/89/69/916298969.db2.gz YHYDTCNHQNUQLN-UHFFFAOYSA-N 1 2 304.394 1.273 20 30 DDEDLO C[N@H+](CCNC(=O)c1cnsn1)Cc1ccccc1C#N ZINC001377135304 920430128 /nfs/dbraw/zinc/43/01/28/920430128.db2.gz VIHIWTUCKKTIHB-UHFFFAOYSA-N 1 2 301.375 1.272 20 30 DDEDLO C[N@@H+](CCNC(=O)c1cnsn1)Cc1ccccc1C#N ZINC001377135304 920430141 /nfs/dbraw/zinc/43/01/41/920430141.db2.gz VIHIWTUCKKTIHB-UHFFFAOYSA-N 1 2 301.375 1.272 20 30 DDEDLO CCCN(C(=O)[C@@H](C)C#N)[C@@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001377647315 922568008 /nfs/dbraw/zinc/56/80/08/922568008.db2.gz ISSVQCXAGXVCAM-LSDHHAIUSA-N 1 2 322.453 1.231 20 30 DDEDLO CCCN(C(=O)[C@@H](C)C#N)[C@@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001377647315 922568020 /nfs/dbraw/zinc/56/80/20/922568020.db2.gz ISSVQCXAGXVCAM-LSDHHAIUSA-N 1 2 322.453 1.231 20 30 DDEDLO CCN(C(=O)[C@@H](C)O[NH+]=C(N)[C@H]1CCCO1)c1ccccc1 ZINC000284013559 222613013 /nfs/dbraw/zinc/61/30/13/222613013.db2.gz HTZAVCSUUFQLEB-TZMCWYRMSA-N 1 2 305.378 1.896 20 30 DDEDLO C=CCOCCCC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000079329905 185165269 /nfs/dbraw/zinc/16/52/69/185165269.db2.gz VXTXMJVPDHTLOC-UHFFFAOYSA-N 1 2 303.406 1.709 20 30 DDEDLO Cc1cccn2cc(CNS(=O)(=O)CC3(CC#N)CC3)[nH+]c12 ZINC000451366638 231078212 /nfs/dbraw/zinc/07/82/12/231078212.db2.gz ILTOZORRQSFVNS-UHFFFAOYSA-N 1 2 318.402 1.756 20 30 DDEDLO CC[C@H](NC(=O)NC[C@H]1C[N@H+](C)CCO1)c1c(C)nn(C)c1C ZINC000330942024 529454242 /nfs/dbraw/zinc/45/42/42/529454242.db2.gz ZKHFQDZUSCKDJC-KBPBESRZSA-N 1 2 323.441 1.322 20 30 DDEDLO CC[C@H](NC(=O)NC[C@H]1C[N@@H+](C)CCO1)c1c(C)nn(C)c1C ZINC000330942024 529454246 /nfs/dbraw/zinc/45/42/46/529454246.db2.gz ZKHFQDZUSCKDJC-KBPBESRZSA-N 1 2 323.441 1.322 20 30 DDEDLO C[C@H]1C[C@@H](O)C[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000452064494 231286960 /nfs/dbraw/zinc/28/69/60/231286960.db2.gz FMLRTTCUQOAHAV-GXFFZTMASA-N 1 2 307.781 1.853 20 30 DDEDLO C[C@H]1C[C@@H](O)C[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000452064494 231286962 /nfs/dbraw/zinc/28/69/62/231286962.db2.gz FMLRTTCUQOAHAV-GXFFZTMASA-N 1 2 307.781 1.853 20 30 DDEDLO Cn1ncc2c1CCC[C@H]2[N@H+](C)CC(=O)NC1(C#N)CCC1 ZINC000615226490 362203414 /nfs/dbraw/zinc/20/34/14/362203414.db2.gz ZCURAOASUZGBBZ-CYBMUJFWSA-N 1 2 301.394 1.292 20 30 DDEDLO Cn1ncc2c1CCC[C@H]2[N@@H+](C)CC(=O)NC1(C#N)CCC1 ZINC000615226490 362203417 /nfs/dbraw/zinc/20/34/17/362203417.db2.gz ZCURAOASUZGBBZ-CYBMUJFWSA-N 1 2 301.394 1.292 20 30 DDEDLO COc1cc2c(c(C[NH+]3CCN(CC#N)CC3)c1)O[C@@H](C)C2 ZINC000092936093 185349496 /nfs/dbraw/zinc/34/94/96/185349496.db2.gz BZFCJAHMZPGUET-ZDUSSCGKSA-N 1 2 301.390 1.660 20 30 DDEDLO CCc1nc(NC(=O)CN2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)sc1C ZINC000329940093 529742038 /nfs/dbraw/zinc/74/20/38/529742038.db2.gz BKASXEYNBVERMR-OLZOCXBDSA-N 1 2 324.450 1.617 20 30 DDEDLO CCc1nc(NC(=O)CN2C[C@@H]3[C@H](C2)OCC[N@H+]3C)sc1C ZINC000329940093 529742039 /nfs/dbraw/zinc/74/20/39/529742039.db2.gz BKASXEYNBVERMR-OLZOCXBDSA-N 1 2 324.450 1.617 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)N[C@@H]2CC[C@H](C#N)C2)C1 ZINC000333454671 529782681 /nfs/dbraw/zinc/78/26/81/529782681.db2.gz ZHTZMMKUECBRSU-ARFHVFGLSA-N 1 2 320.437 1.431 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)N[C@@H]2CC[C@H](C#N)C2)C1 ZINC000333454671 529782683 /nfs/dbraw/zinc/78/26/83/529782683.db2.gz ZHTZMMKUECBRSU-ARFHVFGLSA-N 1 2 320.437 1.431 20 30 DDEDLO CN(CC#N)C(=O)c1cccc(NC(=O)CCn2cc[nH+]c2)c1 ZINC000337531968 529824401 /nfs/dbraw/zinc/82/44/01/529824401.db2.gz FHTKQWYTMRQMMR-UHFFFAOYSA-N 1 2 311.345 1.507 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](Cc3ccncc3)CC2)CCOCC1 ZINC000109138677 185553408 /nfs/dbraw/zinc/55/34/08/185553408.db2.gz GJZXYKWUERUMLV-UHFFFAOYSA-N 1 2 314.389 1.046 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2cc(-c3cnn(C)c3)no2)n1 ZINC000279781475 215142261 /nfs/dbraw/zinc/14/22/61/215142261.db2.gz UKIUXKFBKPLIGU-UHFFFAOYSA-N 1 2 324.388 1.927 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2cc(-c3cnn(C)c3)no2)n1 ZINC000279781475 215142266 /nfs/dbraw/zinc/14/22/66/215142266.db2.gz UKIUXKFBKPLIGU-UHFFFAOYSA-N 1 2 324.388 1.927 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CCC[C@@H](OCCO)C1 ZINC000459378023 233145233 /nfs/dbraw/zinc/14/52/33/233145233.db2.gz PHABVXZFOOSVSK-CYBMUJFWSA-N 1 2 305.334 1.440 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CCC[C@@H](OCCO)C1 ZINC000459378023 233145237 /nfs/dbraw/zinc/14/52/37/233145237.db2.gz PHABVXZFOOSVSK-CYBMUJFWSA-N 1 2 305.334 1.440 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(Cc2ccc(C#N)cc2F)CC1 ZINC000266848453 186358216 /nfs/dbraw/zinc/35/82/16/186358216.db2.gz BMMDPXPUQPIFJX-UHFFFAOYSA-N 1 2 304.369 1.079 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ccc(C#N)cc3)CC2)c1C#N ZINC000471820668 233702182 /nfs/dbraw/zinc/70/21/82/233702182.db2.gz HWDMOHUAXXNFQQ-UHFFFAOYSA-N 1 2 320.400 1.794 20 30 DDEDLO Cn1cc(C[NH+]2CCN(c3ncc4c(c3C#N)CCC4)CC2)cn1 ZINC000564876644 304022551 /nfs/dbraw/zinc/02/25/51/304022551.db2.gz INNFHKVVMCMYEP-UHFFFAOYSA-N 1 2 322.416 1.498 20 30 DDEDLO CC(C)(CNC(=O)Nc1ccc(C#N)cc1)[NH+]1CCOCC1 ZINC000045027177 352400160 /nfs/dbraw/zinc/40/01/60/352400160.db2.gz OITDYFXLUSGSQZ-UHFFFAOYSA-N 1 2 302.378 1.791 20 30 DDEDLO C=CCN(C(=O)[C@H](CC)[N@@H+]1CCO[C@@H](CC)C1)[C@@H](C)COC ZINC000617582813 363192481 /nfs/dbraw/zinc/19/24/81/363192481.db2.gz VSJWOAHCESGRBG-JYJNAYRXSA-N 1 2 312.454 1.925 20 30 DDEDLO C=CCN(C(=O)[C@H](CC)[N@H+]1CCO[C@@H](CC)C1)[C@@H](C)COC ZINC000617582813 363192483 /nfs/dbraw/zinc/19/24/83/363192483.db2.gz VSJWOAHCESGRBG-JYJNAYRXSA-N 1 2 312.454 1.925 20 30 DDEDLO CCC[N@H+](CC(=O)NC)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000056508526 352775336 /nfs/dbraw/zinc/77/53/36/352775336.db2.gz UQNCZJGSFUCABD-UHFFFAOYSA-N 1 2 308.426 1.129 20 30 DDEDLO CCC[N@@H+](CC(=O)NC)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000056508526 352775337 /nfs/dbraw/zinc/77/53/37/352775337.db2.gz UQNCZJGSFUCABD-UHFFFAOYSA-N 1 2 308.426 1.129 20 30 DDEDLO C=CCNC(=O)[C@@H](C)N(C)Cc1[nH+]ccn1CC(F)(F)F ZINC000057845106 352830829 /nfs/dbraw/zinc/83/08/29/352830829.db2.gz WKLMGWQEFPPVSH-SNVBAGLBSA-N 1 2 304.316 1.568 20 30 DDEDLO C#CCNC(=O)N(C)C1CC[NH+](c2ccc(OC)cc2)CC1 ZINC000066149275 352979785 /nfs/dbraw/zinc/97/97/85/352979785.db2.gz YTYFDXLPANGGDH-UHFFFAOYSA-N 1 2 301.390 1.939 20 30 DDEDLO Cc1cccc(OCCNC(=O)[C@@H](C)[N@@H+](C)C[C@@H](C)C#N)c1 ZINC000066469397 352998737 /nfs/dbraw/zinc/99/87/37/352998737.db2.gz JLGPQSKHVVCPCD-LSDHHAIUSA-N 1 2 303.406 1.970 20 30 DDEDLO Cc1cccc(OCCNC(=O)[C@@H](C)[N@H+](C)C[C@@H](C)C#N)c1 ZINC000066469397 352998741 /nfs/dbraw/zinc/99/87/41/352998741.db2.gz JLGPQSKHVVCPCD-LSDHHAIUSA-N 1 2 303.406 1.970 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(OC)ccc2[N+](=O)[O-])CC1 ZINC000068444208 353113012 /nfs/dbraw/zinc/11/30/12/353113012.db2.gz XVMXUJMIGAHOKF-UHFFFAOYSA-N 1 2 317.345 1.385 20 30 DDEDLO C[C@H]([NH2+]Cc1nnc2n1CCCC2)C(=O)Nc1ccccc1C#N ZINC000173636191 198234737 /nfs/dbraw/zinc/23/47/37/198234737.db2.gz ZYYYKXZVCPYEOS-LBPRGKRZSA-N 1 2 324.388 1.603 20 30 DDEDLO CN1CC[C@@H]([N@H+](C)Cc2nnc(-c3ccc(C#N)cc3)o2)C1=O ZINC000075752489 353378879 /nfs/dbraw/zinc/37/88/79/353378879.db2.gz VWHSTNLMLGZRQQ-CYBMUJFWSA-N 1 2 311.345 1.271 20 30 DDEDLO CN1CC[C@@H]([N@@H+](C)Cc2nnc(-c3ccc(C#N)cc3)o2)C1=O ZINC000075752489 353378883 /nfs/dbraw/zinc/37/88/83/353378883.db2.gz VWHSTNLMLGZRQQ-CYBMUJFWSA-N 1 2 311.345 1.271 20 30 DDEDLO C[N@H+](CC(=O)NCC#N)Cc1ccc(Br)s1 ZINC000076668057 353431815 /nfs/dbraw/zinc/43/18/15/353431815.db2.gz JZMCAOCEAFRIHY-UHFFFAOYSA-N 1 2 302.197 1.582 20 30 DDEDLO C[N@@H+](CC(=O)NCC#N)Cc1ccc(Br)s1 ZINC000076668057 353431818 /nfs/dbraw/zinc/43/18/18/353431818.db2.gz JZMCAOCEAFRIHY-UHFFFAOYSA-N 1 2 302.197 1.582 20 30 DDEDLO C=CCNC(=O)[C@H](C)N1CCN(C2=[NH+]CC(C)(C)S2)CC1 ZINC000081016394 353645154 /nfs/dbraw/zinc/64/51/54/353645154.db2.gz UCLJTJBEIAYBKV-LBPRGKRZSA-N 1 2 310.467 1.176 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(C2=NCC(C)(C)S2)CC1 ZINC000081016394 353645156 /nfs/dbraw/zinc/64/51/56/353645156.db2.gz UCLJTJBEIAYBKV-LBPRGKRZSA-N 1 2 310.467 1.176 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@](O)(C(F)(F)F)C1 ZINC000081052484 353649394 /nfs/dbraw/zinc/64/93/94/353649394.db2.gz MXWKFJXFUFCOQA-VXGBXAGGSA-N 1 2 307.316 1.040 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@](O)(C(F)(F)F)C1 ZINC000081052484 353649396 /nfs/dbraw/zinc/64/93/96/353649396.db2.gz MXWKFJXFUFCOQA-VXGBXAGGSA-N 1 2 307.316 1.040 20 30 DDEDLO C#CCCOc1ccc(C[NH+]2CCN(C(=O)OC)CC2)cc1 ZINC000092217279 353847320 /nfs/dbraw/zinc/84/73/20/353847320.db2.gz MJDAOZWNEDKDGI-UHFFFAOYSA-N 1 2 302.374 1.973 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3cccc(C#N)n3)CC2)cc[nH+]1 ZINC000589487805 354995134 /nfs/dbraw/zinc/99/51/34/354995134.db2.gz DPCZAFKQFHTKTC-UHFFFAOYSA-N 1 2 308.345 1.014 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N[C@@H]2CCc3c[nH+]cn3C2)c1 ZINC000590374908 355078239 /nfs/dbraw/zinc/07/82/39/355078239.db2.gz VTUJWKOTZYFFRN-CQSZACIVSA-N 1 2 309.373 1.566 20 30 DDEDLO N#CCCC1CCN(C(=O)NCC2([NH+]3CCOCC3)CC2)CC1 ZINC000591974366 355450796 /nfs/dbraw/zinc/45/07/96/355450796.db2.gz IDPCATYQIGPZML-UHFFFAOYSA-N 1 2 320.437 1.577 20 30 DDEDLO CC(C)N(C(=O)C[N@@H+]1CC[C@](O)(CC#N)C1)c1ccccc1 ZINC000592146350 355512180 /nfs/dbraw/zinc/51/21/80/355512180.db2.gz BWCHXKIXCPIYMD-QGZVFWFLSA-N 1 2 301.390 1.778 20 30 DDEDLO CC(C)N(C(=O)C[N@H+]1CC[C@](O)(CC#N)C1)c1ccccc1 ZINC000592146350 355512182 /nfs/dbraw/zinc/51/21/82/355512182.db2.gz BWCHXKIXCPIYMD-QGZVFWFLSA-N 1 2 301.390 1.778 20 30 DDEDLO CC(C)CN(CC(F)(F)F)C(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148798 355514874 /nfs/dbraw/zinc/51/48/74/355514874.db2.gz CCYIBKNCSROEOR-CYBMUJFWSA-N 1 2 321.343 1.384 20 30 DDEDLO CC(C)CN(CC(F)(F)F)C(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148798 355514876 /nfs/dbraw/zinc/51/48/76/355514876.db2.gz CCYIBKNCSROEOR-CYBMUJFWSA-N 1 2 321.343 1.384 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC000592149975 355516150 /nfs/dbraw/zinc/51/61/50/355516150.db2.gz AOVOOWYGBQYKBK-HOCLYGCPSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC000592149975 355516154 /nfs/dbraw/zinc/51/61/54/355516154.db2.gz AOVOOWYGBQYKBK-HOCLYGCPSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+]([C@@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000592149461 355516691 /nfs/dbraw/zinc/51/66/91/355516691.db2.gz PKNYLXJSNHWINL-WBVHZDCISA-N 1 2 317.364 1.496 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+]([C@@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000592149461 355516694 /nfs/dbraw/zinc/51/66/94/355516694.db2.gz PKNYLXJSNHWINL-WBVHZDCISA-N 1 2 317.364 1.496 20 30 DDEDLO COC(=O)c1cccc(Cl)c1C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592154205 355520194 /nfs/dbraw/zinc/52/01/94/355520194.db2.gz RNDWFCGBMUNOPS-OAHLLOKOSA-N 1 2 308.765 1.977 20 30 DDEDLO COC(=O)c1cccc(Cl)c1C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592154205 355520198 /nfs/dbraw/zinc/52/01/98/355520198.db2.gz RNDWFCGBMUNOPS-OAHLLOKOSA-N 1 2 308.765 1.977 20 30 DDEDLO COC(=O)[C@H](C)[N@H+](Cc1cnc2c(C#N)cnn2c1)C1CCC1 ZINC000592509545 355607248 /nfs/dbraw/zinc/60/72/48/355607248.db2.gz OLHAJFBZNXDANF-NSHDSACASA-N 1 2 313.361 1.517 20 30 DDEDLO COC(=O)[C@H](C)[N@@H+](Cc1cnc2c(C#N)cnn2c1)C1CCC1 ZINC000592509545 355607254 /nfs/dbraw/zinc/60/72/54/355607254.db2.gz OLHAJFBZNXDANF-NSHDSACASA-N 1 2 313.361 1.517 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H]1CC[C@H](C(=O)OC(C)(C)C)C1 ZINC000593153235 355813043 /nfs/dbraw/zinc/81/30/43/355813043.db2.gz GGQFTVZQUSPBHM-IGCXYCKISA-N 1 2 323.437 1.704 20 30 DDEDLO CC[C@@H](C#N)C(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000593462250 355892842 /nfs/dbraw/zinc/89/28/42/355892842.db2.gz BZYQXFDHCGDOKP-IRXDYDNUSA-N 1 2 315.417 1.596 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NC[C@H](C)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594098394 356112384 /nfs/dbraw/zinc/11/23/84/356112384.db2.gz GZSNZOAYHZANSY-MELADBBJSA-N 1 2 316.405 1.489 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NC[C@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594098394 356112385 /nfs/dbraw/zinc/11/23/85/356112385.db2.gz GZSNZOAYHZANSY-MELADBBJSA-N 1 2 316.405 1.489 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000594261369 356156095 /nfs/dbraw/zinc/15/60/95/356156095.db2.gz ZYTSUVZVOXGMPA-LSDHHAIUSA-N 1 2 315.417 1.574 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000594261369 356156096 /nfs/dbraw/zinc/15/60/96/356156096.db2.gz ZYTSUVZVOXGMPA-LSDHHAIUSA-N 1 2 315.417 1.574 20 30 DDEDLO CC[NH+]1CCN(C(=O)C(=O)Nc2ccc(C#N)c(Cl)c2)CC1 ZINC000080234984 192014564 /nfs/dbraw/zinc/01/45/64/192014564.db2.gz WJNGLFZXFGQZGM-UHFFFAOYSA-N 1 2 320.780 1.314 20 30 DDEDLO O=C(NCC1([NH+]2CCOCC2)CC1)N[C@@H]1CCCCC[C@H]1O ZINC000329541185 222992387 /nfs/dbraw/zinc/99/23/87/222992387.db2.gz NHEAPNBSXJZQBB-ZIAGYGMSSA-N 1 2 311.426 1.048 20 30 DDEDLO CC[N@H+](C[C@H](C)C#N)[C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595305794 356441830 /nfs/dbraw/zinc/44/18/30/356441830.db2.gz QWLOKYJZKJOIQG-CHWSQXEVSA-N 1 2 309.410 1.411 20 30 DDEDLO CC[N@@H+](C[C@H](C)C#N)[C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595305794 356441835 /nfs/dbraw/zinc/44/18/35/356441835.db2.gz QWLOKYJZKJOIQG-CHWSQXEVSA-N 1 2 309.410 1.411 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCCc1ccc(C#N)cc1 ZINC000595444333 356494870 /nfs/dbraw/zinc/49/48/70/356494870.db2.gz NNQSVSZMSIZCMX-CQSZACIVSA-N 1 2 316.405 1.121 20 30 DDEDLO N#CC[C@H]1CCCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000595570988 356556632 /nfs/dbraw/zinc/55/66/32/356556632.db2.gz RGWKUOSYCBDPHR-CABCVRRESA-N 1 2 313.405 1.996 20 30 DDEDLO N#Cc1sccc1N1CC[NH+](C[C@@H](O)C(F)(F)F)CC1 ZINC000595630043 356584630 /nfs/dbraw/zinc/58/46/30/356584630.db2.gz ROWLEASUGMJMIV-LLVKDONJSA-N 1 2 305.325 1.665 20 30 DDEDLO Cc1c(C[NH+]2CCN(c3ccsc3C#N)CC2)cnn1C ZINC000595632381 356585102 /nfs/dbraw/zinc/58/51/02/356585102.db2.gz WIJHOCJKYHFZNG-UHFFFAOYSA-N 1 2 301.419 1.984 20 30 DDEDLO C[N@H+](C[C@@H]1COCCO1)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000595738054 356629547 /nfs/dbraw/zinc/62/95/47/356629547.db2.gz VNIJHSXOMLBAFR-OLZOCXBDSA-N 1 2 321.402 1.072 20 30 DDEDLO C[N@@H+](C[C@@H]1COCCO1)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000595738054 356629549 /nfs/dbraw/zinc/62/95/49/356629549.db2.gz VNIJHSXOMLBAFR-OLZOCXBDSA-N 1 2 321.402 1.072 20 30 DDEDLO N#Cc1cccc(C2([NH2+]Cc3nnnn3C3CC3)CCOCC2)c1 ZINC000595774057 356647522 /nfs/dbraw/zinc/64/75/22/356647522.db2.gz NMAUCFHLIRCUBR-UHFFFAOYSA-N 1 2 324.388 1.675 20 30 DDEDLO Cc1cc(N2CCCOCC2)c(C#N)c(N2CCCOCC2)[nH+]1 ZINC000596117514 356779558 /nfs/dbraw/zinc/77/95/58/356779558.db2.gz IDUPHQPXRAXSAD-UHFFFAOYSA-N 1 2 316.405 1.715 20 30 DDEDLO C[C@H](CC#N)C(=O)N(CC[NH+]1CCOCC1)[C@H]1CCSC1 ZINC000597676605 357280250 /nfs/dbraw/zinc/28/02/50/357280250.db2.gz ASFYDUZSZVWFOR-KGLIPLIRSA-N 1 2 311.451 1.202 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1cc(C#N)ccn1)C(C)(C)CO ZINC000597847151 357356002 /nfs/dbraw/zinc/35/60/02/357356002.db2.gz NTUCGWMMFQGFKJ-CYBMUJFWSA-N 1 2 313.361 1.176 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1ccc(C#N)[nH]1)C(C)(C)CO ZINC000597846998 357356179 /nfs/dbraw/zinc/35/61/79/357356179.db2.gz MUBJJSNJOXXHCQ-GFCCVEGCSA-N 1 2 301.350 1.109 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2cc(F)c(C#N)c(F)c2)[C@@H](C)CO1 ZINC000598000264 357415284 /nfs/dbraw/zinc/41/52/84/357415284.db2.gz YREAZVAANHTCGZ-QWRGUYRKSA-N 1 2 323.343 1.675 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2cc(F)c(C#N)c(F)c2)[C@@H](C)CO1 ZINC000598000264 357415288 /nfs/dbraw/zinc/41/52/88/357415288.db2.gz YREAZVAANHTCGZ-QWRGUYRKSA-N 1 2 323.343 1.675 20 30 DDEDLO CC(C)(C(=O)N1CCN(c2cc(C#N)ccn2)CC1)c1c[nH+]c[nH]1 ZINC000598647323 357669367 /nfs/dbraw/zinc/66/93/67/357669367.db2.gz BIFQHIAIKTVANL-UHFFFAOYSA-N 1 2 324.388 1.303 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3nccnc3C)CC2)c1C#N ZINC000598673610 357680824 /nfs/dbraw/zinc/68/08/24/357680824.db2.gz ZJFPCFDSJFIOQG-UHFFFAOYSA-N 1 2 314.418 1.744 20 30 DDEDLO Cc1cccc2[nH+]c(CNC(=O)N3C[C@@H]4CC[C@H](O)[C@@H]4C3)cn21 ZINC000329752736 223024548 /nfs/dbraw/zinc/02/45/48/223024548.db2.gz JQPOUKWWDLVOBK-CFVMTHIKSA-N 1 2 314.389 1.759 20 30 DDEDLO N#Cc1cc(F)cc(C2(C(=O)N[C@H]3Cc4c[nH+]cn4C3)CC2)c1 ZINC000598946974 357770709 /nfs/dbraw/zinc/77/07/09/357770709.db2.gz JYVGPHQTYMVXOO-AWEZNQCLSA-N 1 2 310.332 1.667 20 30 DDEDLO N#Cc1ccc(C[C@H](C#N)C(=O)N[C@H]2CCn3c[nH+]cc3C2)cc1 ZINC000598948462 357772405 /nfs/dbraw/zinc/77/24/05/357772405.db2.gz QBQHLHZAVFKAKQ-CVEARBPZSA-N 1 2 319.368 1.568 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cc(=O)[nH]cn3)CC2)cc1 ZINC000598978356 357780806 /nfs/dbraw/zinc/78/08/06/357780806.db2.gz STQVCNGCXLYSEA-UHFFFAOYSA-N 1 2 323.356 1.012 20 30 DDEDLO CC[C@@](C)([NH2+]C[C@H](O)COc1ccccc1C#N)C(=O)OC ZINC000599351732 357905836 /nfs/dbraw/zinc/90/58/36/357905836.db2.gz IHMAJNDRQJWTOI-XJKSGUPXSA-N 1 2 306.362 1.229 20 30 DDEDLO COC1CC[NH+](Cc2cn(Cc3cccc(C#N)c3)nn2)CC1 ZINC000600972293 358364767 /nfs/dbraw/zinc/36/47/67/358364767.db2.gz DYTRTGOGEHMXJY-UHFFFAOYSA-N 1 2 311.389 1.809 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccccc1CC#N ZINC000601431577 358550278 /nfs/dbraw/zinc/55/02/78/358550278.db2.gz QJLAICOIVNHFDJ-OAHLLOKOSA-N 1 2 321.446 1.857 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccccc1CC#N ZINC000601431577 358550281 /nfs/dbraw/zinc/55/02/81/358550281.db2.gz QJLAICOIVNHFDJ-OAHLLOKOSA-N 1 2 321.446 1.857 20 30 DDEDLO N#Cc1cc(C(=O)NCc2ccccc2C[NH+]2CCOCC2)c[nH]1 ZINC000181166045 199259576 /nfs/dbraw/zinc/25/95/76/199259576.db2.gz OTGAPHHLNCMTOE-UHFFFAOYSA-N 1 2 324.384 1.649 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@H](CF)c1ccc(F)cc1 ZINC000601937559 358744836 /nfs/dbraw/zinc/74/48/36/358744836.db2.gz COQVQAUTJWZIRU-ZWNOBZJWSA-N 1 2 311.332 1.826 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N[C@H]2CCn3c[nH+]cc3C2)c1 ZINC000601970025 358758587 /nfs/dbraw/zinc/75/85/87/358758587.db2.gz WRHCJTOHZFROQB-LBPRGKRZSA-N 1 2 302.359 1.048 20 30 DDEDLO C[C@H](C#N)C[N@H+](C)Cc1ccc(Cl)c(S(N)(=O)=O)c1 ZINC000602448943 358983786 /nfs/dbraw/zinc/98/37/86/358983786.db2.gz WLNNMTQALBVRGU-SECBINFHSA-N 1 2 301.799 1.579 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C)Cc1ccc(Cl)c(S(N)(=O)=O)c1 ZINC000602448943 358983790 /nfs/dbraw/zinc/98/37/90/358983790.db2.gz WLNNMTQALBVRGU-SECBINFHSA-N 1 2 301.799 1.579 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C(=O)OC)c(C)[nH]2)C1=O ZINC000602648351 359098722 /nfs/dbraw/zinc/09/87/22/359098722.db2.gz PPLYHDKZCZFNPR-CQSZACIVSA-N 1 2 305.378 1.328 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C(=O)OC)c(C)[nH]2)C1=O ZINC000602648351 359098725 /nfs/dbraw/zinc/09/87/25/359098725.db2.gz PPLYHDKZCZFNPR-CQSZACIVSA-N 1 2 305.378 1.328 20 30 DDEDLO Cn1nc(C(=O)OC(C)(C)C)cc1C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000602699662 359133167 /nfs/dbraw/zinc/13/31/67/359133167.db2.gz ZFFJMIXXSSANJF-MRXNPFEDSA-N 1 2 320.393 1.226 20 30 DDEDLO Cn1nc(C(=O)OC(C)(C)C)cc1C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000602699662 359133171 /nfs/dbraw/zinc/13/31/71/359133171.db2.gz ZFFJMIXXSSANJF-MRXNPFEDSA-N 1 2 320.393 1.226 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCC2CCCCC2)C[C@H](C)N1CC#N ZINC000602854363 359241018 /nfs/dbraw/zinc/24/10/18/359241018.db2.gz BQTWXQKVDFNIPQ-GASCZTMLSA-N 1 2 306.454 1.601 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCC2CCCCC2)C[C@H](C)N1CC#N ZINC000602854363 359241021 /nfs/dbraw/zinc/24/10/21/359241021.db2.gz BQTWXQKVDFNIPQ-GASCZTMLSA-N 1 2 306.454 1.601 20 30 DDEDLO C[C@@H]1CN(Cc2[nH+]ccn2CC(F)(F)F)C[C@H](C)N1CC#N ZINC000602854854 359241513 /nfs/dbraw/zinc/24/15/13/359241513.db2.gz FKDRAEMYMCJYIM-TXEJJXNPSA-N 1 2 315.343 1.864 20 30 DDEDLO C[C@@H]1C[NH+]([C@H]2CCN(c3ccccc3)C2=O)C[C@@H](C)N1CC#N ZINC000602858575 359244782 /nfs/dbraw/zinc/24/47/82/359244782.db2.gz TUZMWGCZCRONIC-INMHGKMJSA-N 1 2 312.417 1.710 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC=C(c2cnn(C)c2)C1 ZINC000602888262 359272528 /nfs/dbraw/zinc/27/25/28/359272528.db2.gz QICDSNSORAHGQF-KRWDZBQOSA-N 1 2 315.421 1.564 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC=C(c2cnn(C)c2)C1 ZINC000602888262 359272531 /nfs/dbraw/zinc/27/25/31/359272531.db2.gz QICDSNSORAHGQF-KRWDZBQOSA-N 1 2 315.421 1.564 20 30 DDEDLO C[N@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)[C@@H]1CCC[C@@H]1C#N ZINC000602978001 359336959 /nfs/dbraw/zinc/33/69/59/359336959.db2.gz KCNQKSLINAOCIQ-OWCLPIDISA-N 1 2 313.401 1.730 20 30 DDEDLO C[N@@H+](CC(=O)NC[C@@H]1Cc2ccccc2O1)[C@@H]1CCC[C@@H]1C#N ZINC000602978001 359336965 /nfs/dbraw/zinc/33/69/65/359336965.db2.gz KCNQKSLINAOCIQ-OWCLPIDISA-N 1 2 313.401 1.730 20 30 DDEDLO CN(Cc1cccc(C#N)c1)S(=O)(=O)CCn1cc[nH+]c1 ZINC000603274815 359533528 /nfs/dbraw/zinc/53/35/28/359533528.db2.gz VWRUASVPYBGMIG-UHFFFAOYSA-N 1 2 304.375 1.217 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)C[C@@H]2CCOC2)CC1 ZINC000329803625 223031389 /nfs/dbraw/zinc/03/13/89/223031389.db2.gz ZRCGNDPMDSZZOC-OLZOCXBDSA-N 1 2 309.410 1.065 20 30 DDEDLO C[C@H](NS(=O)(=O)CCn1cc[nH+]c1)c1cccc(C#N)c1 ZINC000603296217 359550583 /nfs/dbraw/zinc/55/05/83/359550583.db2.gz MWHZNRLIYJXTCU-LBPRGKRZSA-N 1 2 304.375 1.435 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)CC[C@@H]1O ZINC000186911163 200029674 /nfs/dbraw/zinc/02/96/74/200029674.db2.gz PVCQDZONMGQPLM-HZMBPMFUSA-N 1 2 307.781 1.853 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)CC[C@@H]1O ZINC000186911163 200029675 /nfs/dbraw/zinc/02/96/75/200029675.db2.gz PVCQDZONMGQPLM-HZMBPMFUSA-N 1 2 307.781 1.853 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2C[C@H](O)C[C@@H]2C(=O)OC)cc1 ZINC000187574095 200129813 /nfs/dbraw/zinc/12/98/13/200129813.db2.gz UYPSKEVUVGQRMK-GDBMZVCRSA-N 1 2 303.358 1.197 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2C[C@H](O)C[C@@H]2C(=O)OC)cc1 ZINC000187574095 200129814 /nfs/dbraw/zinc/12/98/14/200129814.db2.gz UYPSKEVUVGQRMK-GDBMZVCRSA-N 1 2 303.358 1.197 20 30 DDEDLO N#Cc1ccsc1C(=O)N1CC[NH+](C[C@@H]2CCCO2)CC1 ZINC000604523611 359761278 /nfs/dbraw/zinc/76/12/78/359761278.db2.gz FJNSNPPJCSGUBV-ZDUSSCGKSA-N 1 2 305.403 1.557 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NC[C@@H]1CN(C)CC[N@@H+]1C ZINC000610277960 360406497 /nfs/dbraw/zinc/40/64/97/360406497.db2.gz QNQGQFRSVZLBQL-OAHLLOKOSA-N 1 2 309.417 1.495 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2NC[C@@H]1CN(C)CC[N@H+]1C ZINC000610277960 360406500 /nfs/dbraw/zinc/40/65/00/360406500.db2.gz QNQGQFRSVZLBQL-OAHLLOKOSA-N 1 2 309.417 1.495 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCOC[C@H]1C)c1cccc(C#N)c1 ZINC000610404831 360428340 /nfs/dbraw/zinc/42/83/40/360428340.db2.gz SGTBPKGDXQSGMH-KGLIPLIRSA-N 1 2 316.405 1.639 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCOC[C@H]1C)c1cccc(C#N)c1 ZINC000610404831 360428341 /nfs/dbraw/zinc/42/83/41/360428341.db2.gz SGTBPKGDXQSGMH-KGLIPLIRSA-N 1 2 316.405 1.639 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+]([C@@H]3CCC[C@H]3O)CC2)s1 ZINC000610521413 360459442 /nfs/dbraw/zinc/45/94/42/360459442.db2.gz LLHLTAZFXBWCAP-CHWSQXEVSA-N 1 2 305.403 1.291 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)s1 ZINC000610519913 360459472 /nfs/dbraw/zinc/45/94/72/360459472.db2.gz UXFUTQRTYUTBLJ-GFCCVEGCSA-N 1 2 305.403 1.557 20 30 DDEDLO C[C@H](C(=O)NCc1nc(C(F)(F)F)n[nH]1)[NH+]1CCSCC1 ZINC000330076273 223071780 /nfs/dbraw/zinc/07/17/80/223071780.db2.gz MGEJTHLQTLJBIY-SSDOTTSWSA-N 1 2 323.344 1.717 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)N(C)Cc2[nH]cc[nH+]2)c(C#N)c1C ZINC000611191110 360655507 /nfs/dbraw/zinc/65/55/07/360655507.db2.gz MCPOBTVWKJMYKG-JTQLQIEISA-N 1 2 301.350 1.950 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCC[C@H](OC(F)F)C2)cc1 ZINC000611578473 360766744 /nfs/dbraw/zinc/76/67/44/360766744.db2.gz PJXBOBDBLKZZBD-AWEZNQCLSA-N 1 2 323.343 1.878 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCC[C@H](OC(F)F)C2)cc1 ZINC000611578473 360766749 /nfs/dbraw/zinc/76/67/49/360766749.db2.gz PJXBOBDBLKZZBD-AWEZNQCLSA-N 1 2 323.343 1.878 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N1CCO[C@@H](C2CC2)C1 ZINC000330125073 223077491 /nfs/dbraw/zinc/07/74/91/223077491.db2.gz IGHOVTYPJOYJQI-UONOGXRCSA-N 1 2 304.394 1.785 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@@H+]2CCC[C@](C)(C#N)C2)C1 ZINC000612167726 360938550 /nfs/dbraw/zinc/93/85/50/360938550.db2.gz VUHJLULBHSLPGA-RHSMWYFYSA-N 1 2 321.421 1.414 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)C[N@H+]2CCC[C@](C)(C#N)C2)C1 ZINC000612167726 360938556 /nfs/dbraw/zinc/93/85/56/360938556.db2.gz VUHJLULBHSLPGA-RHSMWYFYSA-N 1 2 321.421 1.414 20 30 DDEDLO CO[C@@H]1[C@H](C)[C@H]([NH+]=C([O-])N[C@H]2CCn3cc[nH+]c3C2)C1(C)C ZINC000330202284 223087402 /nfs/dbraw/zinc/08/74/02/223087402.db2.gz ZCKFQMLGQGAUPK-UVLXDEKHSA-N 1 2 306.410 1.761 20 30 DDEDLO CO[C@@H]1[C@H](C)[C@H](NC([O-])=[NH+][C@H]2CCn3cc[nH+]c3C2)C1(C)C ZINC000330202284 223087405 /nfs/dbraw/zinc/08/74/05/223087405.db2.gz ZCKFQMLGQGAUPK-UVLXDEKHSA-N 1 2 306.410 1.761 20 30 DDEDLO CN(C)S(=O)(=O)c1cccc(C[NH2+][C@H]2CCC[C@H]2C#N)c1 ZINC000330857058 223148934 /nfs/dbraw/zinc/14/89/34/223148934.db2.gz SRNVQITVSZISEZ-ZFWWWQNUSA-N 1 2 307.419 1.719 20 30 DDEDLO N#CC1CCC(CNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC000333046262 223217114 /nfs/dbraw/zinc/21/71/14/223217114.db2.gz KMKAVBOSXHAPIA-JXQTWKCFSA-N 1 2 301.394 1.827 20 30 DDEDLO CO[C@@H]1CCCC[C@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000339586808 223271026 /nfs/dbraw/zinc/27/10/26/223271026.db2.gz LWYKWUOCLBSNMC-HZPDHXFCSA-N 1 2 323.437 1.266 20 30 DDEDLO C=C[C@H](O)C(=O)N1CCN(c2cc(C(F)(F)F)cc[nH+]2)CC1 ZINC000613599531 361483929 /nfs/dbraw/zinc/48/39/29/361483929.db2.gz SXUIKAHWEMYXFM-NSHDSACASA-N 1 2 315.295 1.296 20 30 DDEDLO CCC(C)(C)N(C)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000619592137 364075034 /nfs/dbraw/zinc/07/50/34/364075034.db2.gz OCMOGYHDIBFGEW-UHFFFAOYSA-N 1 2 310.442 1.775 20 30 DDEDLO Cc1cnc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cc2)s1 ZINC000566114793 304120065 /nfs/dbraw/zinc/12/00/65/304120065.db2.gz FAAQKOAPKUIWLP-UHFFFAOYSA-N 1 2 321.427 1.887 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cccc(O)c1 ZINC000091936824 193128426 /nfs/dbraw/zinc/12/84/26/193128426.db2.gz RANYPNBXTGDVEO-CYBMUJFWSA-N 1 2 309.373 1.765 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cccc(O)c1 ZINC000091936824 193128428 /nfs/dbraw/zinc/12/84/28/193128428.db2.gz RANYPNBXTGDVEO-CYBMUJFWSA-N 1 2 309.373 1.765 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccc(C#N)o1 ZINC000091936765 193128747 /nfs/dbraw/zinc/12/87/47/193128747.db2.gz DMLAQFDNILOVMI-GFCCVEGCSA-N 1 2 308.345 1.524 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccc(C#N)o1 ZINC000091936765 193128749 /nfs/dbraw/zinc/12/87/49/193128749.db2.gz DMLAQFDNILOVMI-GFCCVEGCSA-N 1 2 308.345 1.524 20 30 DDEDLO CCn1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cn1 ZINC000091936564 193129250 /nfs/dbraw/zinc/12/92/50/193129250.db2.gz NHTGCAACSNXNHS-ZDUSSCGKSA-N 1 2 311.393 1.275 20 30 DDEDLO CCn1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cn1 ZINC000091936564 193129251 /nfs/dbraw/zinc/12/92/51/193129251.db2.gz NHTGCAACSNXNHS-ZDUSSCGKSA-N 1 2 311.393 1.275 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(c2ccc(F)cc2C#N)CC1 ZINC000264644789 204051661 /nfs/dbraw/zinc/05/16/61/204051661.db2.gz NNABBVNWFYYUGH-ZDUSSCGKSA-N 1 2 318.396 1.734 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)c2sccc2C#N)CC1 ZINC000106029663 248249079 /nfs/dbraw/zinc/24/90/79/248249079.db2.gz YVYIWLKQWAGODG-UHFFFAOYSA-N 1 2 315.402 1.311 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C[C@H]3COCCO3)CC2)cc1 ZINC000336856618 249264417 /nfs/dbraw/zinc/26/44/17/249264417.db2.gz NEXHOFKETAWTPE-KRWDZBQOSA-N 1 2 301.390 1.091 20 30 DDEDLO Cc1cc(C(=O)NC[C@H](C(C)C)[NH+]2CCOCC2)ncc1C#N ZINC000457399927 291755820 /nfs/dbraw/zinc/75/58/20/291755820.db2.gz JKBYUACBQSHITB-MRXNPFEDSA-N 1 2 316.405 1.348 20 30 DDEDLO CC(C)CO[C@H]1CC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000120016124 195081201 /nfs/dbraw/zinc/08/12/01/195081201.db2.gz PKTMJEDGPLIKNW-HOTGVXAUSA-N 1 2 301.390 1.832 20 30 DDEDLO CC(C)CO[C@H]1CC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000120016124 195081203 /nfs/dbraw/zinc/08/12/03/195081203.db2.gz PKTMJEDGPLIKNW-HOTGVXAUSA-N 1 2 301.390 1.832 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@@H+]1CCOC[C@H](O)C1 ZINC000295615155 533316597 /nfs/dbraw/zinc/31/65/97/533316597.db2.gz OBZPPSWPSNDCQY-GXFFZTMASA-N 1 2 323.780 1.232 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@H+]1CCOC[C@H](O)C1 ZINC000295615155 533316601 /nfs/dbraw/zinc/31/66/01/533316601.db2.gz OBZPPSWPSNDCQY-GXFFZTMASA-N 1 2 323.780 1.232 20 30 DDEDLO Cc1ccc(C)c(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000078346186 407025493 /nfs/dbraw/zinc/02/54/93/407025493.db2.gz BFFAADSGHPLRRO-UHFFFAOYSA-N 1 2 315.417 1.992 20 30 DDEDLO COC(=O)[C@H]1CCC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000066835375 407260601 /nfs/dbraw/zinc/26/06/01/407260601.db2.gz JXADIBBGNYTALH-ZDUSSCGKSA-N 1 2 301.346 1.382 20 30 DDEDLO COC(=O)[C@H]1CCC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000066835375 407260603 /nfs/dbraw/zinc/26/06/03/407260603.db2.gz JXADIBBGNYTALH-ZDUSSCGKSA-N 1 2 301.346 1.382 20 30 DDEDLO N#Cc1ccc2ncc(C[NH2+]Cc3nnc4n3CCCCC4)n2c1 ZINC000123171642 407321653 /nfs/dbraw/zinc/32/16/53/407321653.db2.gz OSRKPJIJNYIQLS-UHFFFAOYSA-N 1 2 321.388 1.814 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)N1CC[NH+](Cc2ccc(C#N)s2)CC1 ZINC000123353444 407326616 /nfs/dbraw/zinc/32/66/16/407326616.db2.gz QPEGVZMPSSZEHQ-LBPRGKRZSA-N 1 2 318.446 1.404 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(Cc2ccc(C#N)s2)CC1 ZINC000123353444 407326618 /nfs/dbraw/zinc/32/66/18/407326618.db2.gz QPEGVZMPSSZEHQ-LBPRGKRZSA-N 1 2 318.446 1.404 20 30 DDEDLO CC(C)[N@H+](CCOc1ccccc1C#N)CCS(C)(=O)=O ZINC000122528025 407304249 /nfs/dbraw/zinc/30/42/49/407304249.db2.gz FIDNVUAUNJOCPL-UHFFFAOYSA-N 1 2 310.419 1.692 20 30 DDEDLO CC(C)[N@@H+](CCOc1ccccc1C#N)CCS(C)(=O)=O ZINC000122528025 407304250 /nfs/dbraw/zinc/30/42/50/407304250.db2.gz FIDNVUAUNJOCPL-UHFFFAOYSA-N 1 2 310.419 1.692 20 30 DDEDLO COc1ccccc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000098076438 407305491 /nfs/dbraw/zinc/30/54/91/407305491.db2.gz FYELLOFEROQCID-UHFFFAOYSA-N 1 2 317.389 1.383 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000101554659 407311669 /nfs/dbraw/zinc/31/16/69/407311669.db2.gz FDFCECGWXYSGRS-HNNXBMFYSA-N 1 2 314.433 1.841 20 30 DDEDLO CC[NH+]1CCN(S(=O)(=O)c2c(F)cc(C#N)cc2F)CC1 ZINC000123927228 407342845 /nfs/dbraw/zinc/34/28/45/407342845.db2.gz PJEUKSKMRQHGLY-UHFFFAOYSA-N 1 2 315.345 1.163 20 30 DDEDLO C[C@H]1CC[C@H](C(N)=O)C[N@@H+]1CC(=O)Nc1sccc1C#N ZINC000104075117 407346577 /nfs/dbraw/zinc/34/65/77/407346577.db2.gz CUXSEGRXGLBLHH-ONGXEEELSA-N 1 2 306.391 1.144 20 30 DDEDLO C[C@H]1CC[C@H](C(N)=O)C[N@H+]1CC(=O)Nc1sccc1C#N ZINC000104075117 407346578 /nfs/dbraw/zinc/34/65/78/407346578.db2.gz CUXSEGRXGLBLHH-ONGXEEELSA-N 1 2 306.391 1.144 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)Nc1ccc([NH+](C)C)cc1C ZINC000107073712 407370088 /nfs/dbraw/zinc/37/00/88/407370088.db2.gz QGIRDCDQOARZLA-LBPRGKRZSA-N 1 2 304.394 1.873 20 30 DDEDLO CC(=O)NC[C@H]1C[N@H+](Cc2ccccc2C(C)(C)C#N)CCO1 ZINC000178537173 407485964 /nfs/dbraw/zinc/48/59/64/407485964.db2.gz RZTSJMGPESGPBJ-INIZCTEOSA-N 1 2 315.417 1.825 20 30 DDEDLO CC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2C(C)(C)C#N)CCO1 ZINC000178537173 407485966 /nfs/dbraw/zinc/48/59/66/407485966.db2.gz RZTSJMGPESGPBJ-INIZCTEOSA-N 1 2 315.417 1.825 20 30 DDEDLO N#CCCCCS(=O)(=O)N1CCCN(c2cccc[nH+]2)CC1 ZINC000186108356 407576951 /nfs/dbraw/zinc/57/69/51/407576951.db2.gz GFKUSWVPQAPKSZ-UHFFFAOYSA-N 1 2 322.434 1.617 20 30 DDEDLO CS(=O)(=O)N1CCC[N@H+](CC#Cc2ccc(F)cc2)CC1 ZINC000178678512 407543716 /nfs/dbraw/zinc/54/37/16/407543716.db2.gz RMGSDXKRSRRLKI-UHFFFAOYSA-N 1 2 310.394 1.145 20 30 DDEDLO CS(=O)(=O)N1CCC[N@@H+](CC#Cc2ccc(F)cc2)CC1 ZINC000178678512 407543723 /nfs/dbraw/zinc/54/37/23/407543723.db2.gz RMGSDXKRSRRLKI-UHFFFAOYSA-N 1 2 310.394 1.145 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(Cc2cccc(F)c2)CC1 ZINC000178777732 407599239 /nfs/dbraw/zinc/59/92/39/407599239.db2.gz HOEWJDLQENNQPY-AWEZNQCLSA-N 1 2 305.397 1.634 20 30 DDEDLO C=CCNC(=O)[C@H](C)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000178777732 407599242 /nfs/dbraw/zinc/59/92/42/407599242.db2.gz HOEWJDLQENNQPY-AWEZNQCLSA-N 1 2 305.397 1.634 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1ccnn1Cc1cccs1 ZINC000266925996 407678143 /nfs/dbraw/zinc/67/81/43/407678143.db2.gz NIDDUKYEWQJKAS-UHFFFAOYSA-N 1 2 302.403 1.887 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1ccnn1Cc1cccs1 ZINC000266925996 407678147 /nfs/dbraw/zinc/67/81/47/407678147.db2.gz NIDDUKYEWQJKAS-UHFFFAOYSA-N 1 2 302.403 1.887 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)N(C(=O)OC(C)(C)C)C[C@@H]1C ZINC000271467428 407693902 /nfs/dbraw/zinc/69/39/02/407693902.db2.gz FSQUJLJJUTZYIR-QWHCGFSZSA-N 1 2 311.426 1.618 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)N(C(=O)OC(C)(C)C)C[C@@H]1C ZINC000271467428 407693904 /nfs/dbraw/zinc/69/39/04/407693904.db2.gz FSQUJLJJUTZYIR-QWHCGFSZSA-N 1 2 311.426 1.618 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000153200408 407774741 /nfs/dbraw/zinc/77/47/41/407774741.db2.gz ZLNUFVGVTMSKGE-GFCCVEGCSA-N 1 2 316.361 1.713 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCc2c(cccc2[N+](=O)[O-])C1 ZINC000153200408 407774745 /nfs/dbraw/zinc/77/47/45/407774745.db2.gz ZLNUFVGVTMSKGE-GFCCVEGCSA-N 1 2 316.361 1.713 20 30 DDEDLO C=CC[C@H](C)NC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000116948787 407801167 /nfs/dbraw/zinc/80/11/67/407801167.db2.gz OZVCWEUXTWOLOU-ZFWWWQNUSA-N 1 2 313.467 1.458 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[NH2+][C@@H](C)c1nnc2ccccn21 ZINC000172612674 407841976 /nfs/dbraw/zinc/84/19/76/407841976.db2.gz DPYZEHQBIIQTJG-LRDDRELGSA-N 1 2 314.393 1.434 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1ccn(-c2ccccc2)n1 ZINC000272865384 408016086 /nfs/dbraw/zinc/01/60/86/408016086.db2.gz RLLNEZZTDATWMI-UHFFFAOYSA-N 1 2 305.403 1.563 20 30 DDEDLO COC(=O)[C@H]1[C@H](O)CCC[N@@H+]1CCCOc1cccc(C#N)c1 ZINC000189609896 408064439 /nfs/dbraw/zinc/06/44/39/408064439.db2.gz UNGQIVDDIYSCON-HZPDHXFCSA-N 1 2 318.373 1.325 20 30 DDEDLO COC(=O)[C@H]1[C@H](O)CCC[N@H+]1CCCOc1cccc(C#N)c1 ZINC000189609896 408064444 /nfs/dbraw/zinc/06/44/44/408064444.db2.gz UNGQIVDDIYSCON-HZPDHXFCSA-N 1 2 318.373 1.325 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000119549090 408094385 /nfs/dbraw/zinc/09/43/85/408094385.db2.gz KSYPKONRDQJTTI-OAHLLOKOSA-N 1 2 318.483 1.619 20 30 DDEDLO CC[N@H+](C[C@H](O)c1ccc(C#N)cc1)[C@@H](C)CS(C)(=O)=O ZINC000268639025 408131302 /nfs/dbraw/zinc/13/13/02/408131302.db2.gz DDOKDMVMZHMJBH-WFASDCNBSA-N 1 2 310.419 1.347 20 30 DDEDLO CC[N@@H+](C[C@H](O)c1ccc(C#N)cc1)[C@@H](C)CS(C)(=O)=O ZINC000268639025 408131309 /nfs/dbraw/zinc/13/13/09/408131309.db2.gz DDOKDMVMZHMJBH-WFASDCNBSA-N 1 2 310.419 1.347 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@H+]3CCC[C@@H]3C(N)=O)C2=O)cc1 ZINC000182631703 408211065 /nfs/dbraw/zinc/21/10/65/408211065.db2.gz NHZGZRKSFJJBME-CABCVRRESA-N 1 2 312.373 1.003 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@@H+]3CCC[C@@H]3C(N)=O)C2=O)cc1 ZINC000182631703 408211071 /nfs/dbraw/zinc/21/10/71/408211071.db2.gz NHZGZRKSFJJBME-CABCVRRESA-N 1 2 312.373 1.003 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCCc1cnn(-c2ccccc2)c1)C1CC1 ZINC000274153094 408310909 /nfs/dbraw/zinc/31/09/09/408310909.db2.gz LFJLLPOPFMBLIO-UHFFFAOYSA-N 1 2 322.412 1.629 20 30 DDEDLO C#CC[N@H+](CC(=O)NCCc1cnn(-c2ccccc2)c1)C1CC1 ZINC000274153094 408310914 /nfs/dbraw/zinc/31/09/14/408310914.db2.gz LFJLLPOPFMBLIO-UHFFFAOYSA-N 1 2 322.412 1.629 20 30 DDEDLO C[C@H]([NH2+]CC(=O)Nc1ccc(C#N)cc1)c1nnc2ccccn21 ZINC000172610714 162287345 /nfs/dbraw/zinc/28/73/45/162287345.db2.gz IYALXVUKZPXBNC-LBPRGKRZSA-N 1 2 320.356 1.890 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@@H+]1Cc1ncc(-c2ccc(C#N)cc2)o1 ZINC000159557484 408416900 /nfs/dbraw/zinc/41/69/00/408416900.db2.gz KDBSGZQFHKJKFQ-CQSZACIVSA-N 1 2 310.357 1.924 20 30 DDEDLO CNC(=O)[C@H]1CCC[N@H+]1Cc1ncc(-c2ccc(C#N)cc2)o1 ZINC000159557484 408416907 /nfs/dbraw/zinc/41/69/07/408416907.db2.gz KDBSGZQFHKJKFQ-CQSZACIVSA-N 1 2 310.357 1.924 20 30 DDEDLO C#CC[N@@H+](C)[C@H](C)C(=O)NCC(=O)Nc1c(C)cccc1C ZINC000192219354 408558069 /nfs/dbraw/zinc/55/80/69/408558069.db2.gz IXLOWAGFRUHZBB-CQSZACIVSA-N 1 2 301.390 1.312 20 30 DDEDLO C#CC[N@H+](C)[C@H](C)C(=O)NCC(=O)Nc1c(C)cccc1C ZINC000192219354 408558073 /nfs/dbraw/zinc/55/80/73/408558073.db2.gz IXLOWAGFRUHZBB-CQSZACIVSA-N 1 2 301.390 1.312 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)N(CC(C)C)C2CC2)cs1 ZINC000177476531 408633199 /nfs/dbraw/zinc/63/31/99/408633199.db2.gz XYMITPPVSXTHBR-UHFFFAOYSA-N 1 2 324.450 1.930 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)OC ZINC000248844380 408645986 /nfs/dbraw/zinc/64/59/86/408645986.db2.gz OWKUYQSQESXGMN-DZKIICNBSA-N 1 2 308.422 1.685 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)OC ZINC000248844380 408645990 /nfs/dbraw/zinc/64/59/90/408645990.db2.gz OWKUYQSQESXGMN-DZKIICNBSA-N 1 2 308.422 1.685 20 30 DDEDLO COc1ccc(CC(N)=[NH+]O[C@@H]2CCN(C(C)C)C2=O)cc1 ZINC000177563391 408658701 /nfs/dbraw/zinc/65/87/01/408658701.db2.gz PWMVVXJZGDMNFM-CQSZACIVSA-N 1 2 305.378 1.536 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@@H](C)c1nc(C2CCCCC2)no1 ZINC000185239953 408785813 /nfs/dbraw/zinc/78/58/13/408785813.db2.gz PVDYHIZZQNLVBM-NEPJUHHUSA-N 1 2 304.394 1.906 20 30 DDEDLO C=CCC[C@H](O)C[NH+]1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000178025771 408759573 /nfs/dbraw/zinc/75/95/73/408759573.db2.gz VWFJACMPQBYBQG-HNNXBMFYSA-N 1 2 324.446 1.320 20 30 DDEDLO CCCCNC(=O)[C@H](C)O[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000178147636 408781013 /nfs/dbraw/zinc/78/10/13/408781013.db2.gz VAYGRNOAEHCFDI-LBPRGKRZSA-N 1 2 307.394 1.831 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(C(=O)OC)s2)C1=O ZINC000281187898 408874116 /nfs/dbraw/zinc/87/41/16/408874116.db2.gz BKYIQBCFQFMFNU-LBPRGKRZSA-N 1 2 308.403 1.753 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(C(=O)OC)s2)C1=O ZINC000281187898 408874118 /nfs/dbraw/zinc/87/41/18/408874118.db2.gz BKYIQBCFQFMFNU-LBPRGKRZSA-N 1 2 308.403 1.753 20 30 DDEDLO CC(=O)N1CCC[C@H](C/[NH+]=C/c2c(CO)cnc(C)c2O)C1 ZINC000281245570 408876789 /nfs/dbraw/zinc/87/67/89/408876789.db2.gz VLKXRAWMTUULFA-QOSBVPTNSA-N 1 2 305.378 1.265 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3ccccc3F)CC2)C1=O ZINC000281153723 408873123 /nfs/dbraw/zinc/87/31/23/408873123.db2.gz BNCTVCDJZFTISO-INIZCTEOSA-N 1 2 303.381 1.735 20 30 DDEDLO C#C[C@@H](NC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1)C(C)C ZINC000281678098 408909093 /nfs/dbraw/zinc/90/90/93/408909093.db2.gz ZWRARJMERKWJJY-OAHLLOKOSA-N 1 2 324.384 1.800 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](Cc3cc(=O)c(O)co3)C2)nc1 ZINC000292090912 408977951 /nfs/dbraw/zinc/97/79/51/408977951.db2.gz FMUQBOFKNHSNSM-LBPRGKRZSA-N 1 2 313.313 1.265 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](Cc3cc(=O)c(O)co3)C2)nc1 ZINC000292090912 408977954 /nfs/dbraw/zinc/97/79/54/408977954.db2.gz FMUQBOFKNHSNSM-LBPRGKRZSA-N 1 2 313.313 1.265 20 30 DDEDLO N#Cc1ccnc(NC(=O)[C@H]2C[N@H+](Cc3ccccc3)CCO2)c1 ZINC000277850143 409023820 /nfs/dbraw/zinc/02/38/20/409023820.db2.gz LIPFOFHTUAVCSU-MRXNPFEDSA-N 1 2 322.368 1.793 20 30 DDEDLO N#Cc1ccnc(NC(=O)[C@H]2C[N@@H+](Cc3ccccc3)CCO2)c1 ZINC000277850143 409023823 /nfs/dbraw/zinc/02/38/23/409023823.db2.gz LIPFOFHTUAVCSU-MRXNPFEDSA-N 1 2 322.368 1.793 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@@H](O)COCc1ccccc1OC ZINC000292369038 409027607 /nfs/dbraw/zinc/02/76/07/409027607.db2.gz YMKCBVAWHJSSPN-MRXNPFEDSA-N 1 2 304.390 1.974 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@@H](O)COCc1ccccc1OC ZINC000292369038 409027608 /nfs/dbraw/zinc/02/76/08/409027608.db2.gz YMKCBVAWHJSSPN-MRXNPFEDSA-N 1 2 304.390 1.974 20 30 DDEDLO C=CCn1c(C)nnc1N1CC[NH+](Cc2nc(C)c(C)o2)CC1 ZINC000282527570 409056835 /nfs/dbraw/zinc/05/68/35/409056835.db2.gz GYGVGDCJCSOGLL-UHFFFAOYSA-N 1 2 316.409 1.700 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC[NH+]([C@H](C)CC(=O)OC)CC1 ZINC000293140946 409057617 /nfs/dbraw/zinc/05/76/17/409057617.db2.gz GTFNNOVSDLOODC-LLVKDONJSA-N 1 2 304.337 1.294 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N(C)[C@@H](C)C[NH+]1CCOCC1 ZINC000279075421 409144258 /nfs/dbraw/zinc/14/42/58/409144258.db2.gz FOTUSKAZJHBTJG-AWEZNQCLSA-N 1 2 301.390 1.659 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC000279246948 409177398 /nfs/dbraw/zinc/17/73/98/409177398.db2.gz REBRDCTTYRCUNQ-MRXNPFEDSA-N 1 2 323.400 1.751 20 30 DDEDLO C=CCNC(=O)C[NH2+]Cc1cnn(Cc2ccccc2)c1Cl ZINC000283918597 409239023 /nfs/dbraw/zinc/23/90/23/409239023.db2.gz LAFKALYVIUBEON-UHFFFAOYSA-N 1 2 318.808 1.977 20 30 DDEDLO Cc1cc(=O)[nH]c([C@H](C)[NH+]2CCN(c3cc(C#N)ccn3)CC2)n1 ZINC000279578142 409241370 /nfs/dbraw/zinc/24/13/70/409241370.db2.gz VMHGNCCRKPMXNZ-ZDUSSCGKSA-N 1 2 324.388 1.641 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3ncccc3C#N)CC2)c1 ZINC000289352465 409251706 /nfs/dbraw/zinc/25/17/06/409251706.db2.gz YDKLSKZVJBWUDV-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO NC(=[NH+]OCC(=O)N[C@H](c1ccccc1)C1CC1)[C@@H]1CCCO1 ZINC000284460398 409341350 /nfs/dbraw/zinc/34/13/50/409341350.db2.gz QQQZIMFTHRHEMB-GOEBONIOSA-N 1 2 317.389 1.722 20 30 DDEDLO O[C@H]1COCC[N@H+](CC#Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000295545700 409341899 /nfs/dbraw/zinc/34/18/99/409341899.db2.gz JWPUCMJXADCOOA-CYBMUJFWSA-N 1 2 315.291 1.630 20 30 DDEDLO O[C@H]1COCC[N@@H+](CC#Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000295545700 409341907 /nfs/dbraw/zinc/34/19/07/409341907.db2.gz JWPUCMJXADCOOA-CYBMUJFWSA-N 1 2 315.291 1.630 20 30 DDEDLO Cc1ccccc1CCNC(=O)[C@@H](C)O[NH+]=C(N)[C@H]1CCCO1 ZINC000284587271 409351786 /nfs/dbraw/zinc/35/17/86/409351786.db2.gz XSSYWSXWUXDEQS-UKRRQHHQSA-N 1 2 319.405 1.510 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(CC#N)cc3)C[C@@H]21 ZINC000284621341 409355772 /nfs/dbraw/zinc/35/57/72/409355772.db2.gz SYSWICRQVPJWOD-HOTGVXAUSA-N 1 2 314.389 1.689 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(CC#N)cc3)C[C@@H]21 ZINC000284621341 409355776 /nfs/dbraw/zinc/35/57/76/409355776.db2.gz SYSWICRQVPJWOD-HOTGVXAUSA-N 1 2 314.389 1.689 20 30 DDEDLO NC(=[NH+]OC[C@H]1CCCS(=O)(=O)C1)c1cccc(Cl)c1 ZINC000284610702 409356436 /nfs/dbraw/zinc/35/64/36/409356436.db2.gz ZADOXOLWZIFOBZ-SNVBAGLBSA-N 1 2 316.810 1.802 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)N2CCn3c[nH+]cc3C2)c1C#N ZINC000290458420 409408871 /nfs/dbraw/zinc/40/88/71/409408871.db2.gz YBRUWLGNHYACRR-UHFFFAOYSA-N 1 2 309.329 1.044 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2cc(C#N)ccc2C)CC1 ZINC000295305834 409425851 /nfs/dbraw/zinc/42/58/51/409425851.db2.gz KPXAREUAPAPJQW-CQSZACIVSA-N 1 2 321.446 1.972 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2C[C@@H]3C[N@@H+](C)C[C@@H]3C2)cc1C#N ZINC000408375591 164311396 /nfs/dbraw/zinc/31/13/96/164311396.db2.gz TVOSUWCGRMXROG-PHIMTYICSA-N 1 2 318.402 1.014 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2C[C@@H]3C[N@H+](C)C[C@@H]3C2)cc1C#N ZINC000408375591 164311398 /nfs/dbraw/zinc/31/13/98/164311398.db2.gz TVOSUWCGRMXROG-PHIMTYICSA-N 1 2 318.402 1.014 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@H](C)[C@@H]2CO)c(C#N)c1C ZINC000305258790 409745510 /nfs/dbraw/zinc/74/55/10/409745510.db2.gz QDXOEDXWDGZMRO-HZMBPMFUSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@H](C)[C@@H]2CO)c(C#N)c1C ZINC000305258790 409745522 /nfs/dbraw/zinc/74/55/22/409745522.db2.gz QDXOEDXWDGZMRO-HZMBPMFUSA-N 1 2 305.378 1.799 20 30 DDEDLO C[C@@H](O)C1CC[NH+](CCS(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000305249938 409747074 /nfs/dbraw/zinc/74/70/74/409747074.db2.gz HDRLNWNNNLJRPH-CYBMUJFWSA-N 1 2 322.430 1.425 20 30 DDEDLO CCCC[N@@H+]1CCOC[C@@H]1C(=O)N1CCC(C#N)(COC)CC1 ZINC000357094940 409870407 /nfs/dbraw/zinc/87/04/07/409870407.db2.gz PWHFBVMMHMQVBF-OAHLLOKOSA-N 1 2 323.437 1.266 20 30 DDEDLO CCCC[N@H+]1CCOC[C@@H]1C(=O)N1CCC(C#N)(COC)CC1 ZINC000357094940 409870415 /nfs/dbraw/zinc/87/04/15/409870415.db2.gz PWHFBVMMHMQVBF-OAHLLOKOSA-N 1 2 323.437 1.266 20 30 DDEDLO C=CCCCN(C)C(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000354472962 409878507 /nfs/dbraw/zinc/87/85/07/409878507.db2.gz ZFEBJGOJUMUOKW-UHFFFAOYSA-N 1 2 303.406 1.952 20 30 DDEDLO Cc1nnc2n1C[C@@H](CNC(=O)C(C)(C)[NH+]1CCOCC1)CC2 ZINC000328742620 409969194 /nfs/dbraw/zinc/96/91/94/409969194.db2.gz OADPEKOPGJQRHH-CYBMUJFWSA-N 1 2 321.425 1.216 20 30 DDEDLO CC[C@H](C(=O)N[C@H]1CCN(C(N)=O)C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000328745367 409969865 /nfs/dbraw/zinc/96/98/65/409969865.db2.gz ACUOTDOSVSUDSU-YNEHKIRRSA-N 1 2 312.414 1.399 20 30 DDEDLO CC[C@H](C(=O)N[C@H]1CCN(C(N)=O)C1)[N@H+]1CCO[C@H](CC)C1 ZINC000328745367 409969870 /nfs/dbraw/zinc/96/98/70/409969870.db2.gz ACUOTDOSVSUDSU-YNEHKIRRSA-N 1 2 312.414 1.399 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)N[C@H]2CSC2(C)C)CC1 ZINC000328786191 409978267 /nfs/dbraw/zinc/97/82/67/409978267.db2.gz WNZBIBXUOCJBSG-JTQLQIEISA-N 1 2 314.455 1.726 20 30 DDEDLO CC(C)(C(=O)NCCC(=O)N1CCCCC1)[NH+]1CCOCC1 ZINC000328669107 409948896 /nfs/dbraw/zinc/94/88/96/409948896.db2.gz DYEWQNUNSLVXRS-UHFFFAOYSA-N 1 2 311.426 1.456 20 30 DDEDLO CCC(=O)N1CSC[C@@H]1C(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000328940899 410017299 /nfs/dbraw/zinc/01/72/99/410017299.db2.gz KVIZTXXXXDARIE-BXKDBHETSA-N 1 2 308.407 1.535 20 30 DDEDLO CCC(=O)N1CSC[C@@H]1C(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000328940899 410017306 /nfs/dbraw/zinc/01/73/06/410017306.db2.gz KVIZTXXXXDARIE-BXKDBHETSA-N 1 2 308.407 1.535 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000328943074 410017565 /nfs/dbraw/zinc/01/75/65/410017565.db2.gz ITVFKDBRSWYEMR-FRRDWIJNSA-N 1 2 323.418 1.298 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3scnc3C3CC3)C[C@H]21 ZINC000328961610 410022352 /nfs/dbraw/zinc/02/23/52/410022352.db2.gz KRPYVASPWLYDEB-VXGBXAGGSA-N 1 2 322.434 1.971 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3scnc3C3CC3)C[C@H]21 ZINC000328961610 410022354 /nfs/dbraw/zinc/02/23/54/410022354.db2.gz KRPYVASPWLYDEB-VXGBXAGGSA-N 1 2 322.434 1.971 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2CC[C@H]3OCC[N@H+](C)[C@H]3C2)c1C ZINC000329054576 410075582 /nfs/dbraw/zinc/07/55/82/410075582.db2.gz SDBAHDOTQXIMGU-UONOGXRCSA-N 1 2 321.425 1.470 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@H]3C2)c1C ZINC000329054576 410075592 /nfs/dbraw/zinc/07/55/92/410075592.db2.gz SDBAHDOTQXIMGU-UONOGXRCSA-N 1 2 321.425 1.470 20 30 DDEDLO Cc1noc([C@H]2C[N@@H+](Cc3ccc(C#N)c(F)c3)CCN2C)n1 ZINC000332326006 410068292 /nfs/dbraw/zinc/06/82/92/410068292.db2.gz OHHKHDOWXDKRPK-OAHLLOKOSA-N 1 2 315.352 1.878 20 30 DDEDLO Cc1noc([C@H]2C[N@H+](Cc3ccc(C#N)c(F)c3)CCN2C)n1 ZINC000332326006 410068297 /nfs/dbraw/zinc/06/82/97/410068297.db2.gz OHHKHDOWXDKRPK-OAHLLOKOSA-N 1 2 315.352 1.878 20 30 DDEDLO C[C@H]1CN(c2ccc(CNC(=O)[C@H]3CCC(=O)N3)c[nH+]2)CCO1 ZINC000329136173 410122587 /nfs/dbraw/zinc/12/25/87/410122587.db2.gz GVMIMWMTROSSEK-WCQYABFASA-N 1 2 318.377 1.882 20 30 DDEDLO CC(C)[C@H]1C[C@H](NC(=O)N[C@H](C)C[NH+]2CCOCC2)CCO1 ZINC000329146242 410128711 /nfs/dbraw/zinc/12/87/11/410128711.db2.gz OFPGAQNTBXSSOG-RBSFLKMASA-N 1 2 313.442 1.414 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2csc(C)n2)CC1 ZINC000357616294 410139325 /nfs/dbraw/zinc/13/93/25/410139325.db2.gz GLSKYPPNPFPRRE-UHFFFAOYSA-N 1 2 309.435 1.688 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2csc(C)n2)CC1 ZINC000357616294 410139333 /nfs/dbraw/zinc/13/93/33/410139333.db2.gz GLSKYPPNPFPRRE-UHFFFAOYSA-N 1 2 309.435 1.688 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CCC[N@@H+](CC(=O)OC)C2)CCC1 ZINC000354897653 410155724 /nfs/dbraw/zinc/15/57/24/410155724.db2.gz IENYAZQHTDJGCT-CQSZACIVSA-N 1 2 308.422 1.734 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CCC[N@H+](CC(=O)OC)C2)CCC1 ZINC000354897653 410155731 /nfs/dbraw/zinc/15/57/31/410155731.db2.gz IENYAZQHTDJGCT-CQSZACIVSA-N 1 2 308.422 1.734 20 30 DDEDLO Cc1noc([C@H](C)NC(=O)N2C[C@H]([NH+]3CCOCC3)C[C@H]2C)n1 ZINC000329293560 410218499 /nfs/dbraw/zinc/21/84/99/410218499.db2.gz RPMBGHHBGUEILN-NTZNESFSSA-N 1 2 323.397 1.148 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)c2ccc(C#N)cc2)C1 ZINC000354917146 410169171 /nfs/dbraw/zinc/16/91/71/410169171.db2.gz TZCYDLQCIAABJY-AWEZNQCLSA-N 1 2 315.373 1.173 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNC(=O)c2ccc(C#N)cc2)C1 ZINC000354917146 410169179 /nfs/dbraw/zinc/16/91/79/410169179.db2.gz TZCYDLQCIAABJY-AWEZNQCLSA-N 1 2 315.373 1.173 20 30 DDEDLO CC[N@@H+]1CCCC[C@@H]1C(=O)NC[C@H]1CCCS(=O)(=O)C1 ZINC000329347218 410246872 /nfs/dbraw/zinc/24/68/72/410246872.db2.gz VLZMVLUISNSDMW-CHWSQXEVSA-N 1 2 302.440 1.642 20 30 DDEDLO CC[N@H+]1CCCC[C@@H]1C(=O)NC[C@H]1CCCS(=O)(=O)C1 ZINC000329347218 410246879 /nfs/dbraw/zinc/24/68/79/410246879.db2.gz VLZMVLUISNSDMW-CHWSQXEVSA-N 1 2 302.440 1.642 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)Nc1cc2n(n1)CCCC2 ZINC000329409264 410283333 /nfs/dbraw/zinc/28/33/33/410283333.db2.gz CRIVGXAXMSOMSW-LBPRGKRZSA-N 1 2 314.393 2.319 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](NC(=O)N1CC(F)C1)C1CCOCC1 ZINC000329506306 410333252 /nfs/dbraw/zinc/33/32/52/410333252.db2.gz LLOXQMJXQGPHJQ-ZDUSSCGKSA-N 1 2 310.373 1.938 20 30 DDEDLO C[C@H](NC(=O)N1C[C@H]2OCC[N@H+](C)[C@@H]2C1)c1ccc(C#N)cc1 ZINC000332929455 410290621 /nfs/dbraw/zinc/29/06/21/410290621.db2.gz AVVTUIGJHWHPMH-APHBMKBZSA-N 1 2 314.389 1.344 20 30 DDEDLO C[C@H](NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@@H]2C1)c1ccc(C#N)cc1 ZINC000332929455 410290628 /nfs/dbraw/zinc/29/06/28/410290628.db2.gz AVVTUIGJHWHPMH-APHBMKBZSA-N 1 2 314.389 1.344 20 30 DDEDLO C=CCN(CCOC)C(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000359255282 410583929 /nfs/dbraw/zinc/58/39/29/410583929.db2.gz XPCLJAVNOWFANE-AWEZNQCLSA-N 1 2 306.410 1.913 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N(CC)C[C@@H](C)C#N)C1CCCC1 ZINC000347533455 410600011 /nfs/dbraw/zinc/60/00/11/410600011.db2.gz WTRPXBNLJLORAK-AWEZNQCLSA-N 1 2 323.437 1.802 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N(CC)C[C@@H](C)C#N)C1CCCC1 ZINC000347533455 410600017 /nfs/dbraw/zinc/60/00/17/410600017.db2.gz WTRPXBNLJLORAK-AWEZNQCLSA-N 1 2 323.437 1.802 20 30 DDEDLO CCOC(=O)c1cnn2c1[nH]c(C[N@H+](C)C[C@H](C)C#N)cc2=O ZINC000299175948 410556409 /nfs/dbraw/zinc/55/64/09/410556409.db2.gz XETYSSPUBQTGPL-SNVBAGLBSA-N 1 2 317.349 1.203 20 30 DDEDLO CCOC(=O)c1cnn2c1[nH]c(C[N@@H+](C)C[C@H](C)C#N)cc2=O ZINC000299175948 410556415 /nfs/dbraw/zinc/55/64/15/410556415.db2.gz XETYSSPUBQTGPL-SNVBAGLBSA-N 1 2 317.349 1.203 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000352314568 410617578 /nfs/dbraw/zinc/61/75/78/410617578.db2.gz KHMXUBRREDYNLC-KGLIPLIRSA-N 1 2 318.421 1.597 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)Nc3cncnc3)CC2)cc1 ZINC000343798154 410709421 /nfs/dbraw/zinc/70/94/21/410709421.db2.gz QHCQITNDHDDFNB-UHFFFAOYSA-N 1 2 322.372 1.698 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000330416133 410678434 /nfs/dbraw/zinc/67/84/34/410678434.db2.gz DDCLRLOOUBEUMU-WFASDCNBSA-N 1 2 314.389 1.486 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000330416133 410678440 /nfs/dbraw/zinc/67/84/40/410678440.db2.gz DDCLRLOOUBEUMU-WFASDCNBSA-N 1 2 314.389 1.486 20 30 DDEDLO COC(=O)[C@H](C1CC1)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000359584550 410750520 /nfs/dbraw/zinc/75/05/20/410750520.db2.gz KKXCXGRESXTQPM-HNNXBMFYSA-N 1 2 300.362 1.027 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]CCS(=O)(=O)c2cccc(C#N)c2)n1 ZINC000348092951 410832356 /nfs/dbraw/zinc/83/23/56/410832356.db2.gz QRVZYGJEBPHVRU-SNVBAGLBSA-N 1 2 320.374 1.374 20 30 DDEDLO COc1cc[nH+]cc1CNC(=O)CNC(=O)c1ccc(C#N)cc1 ZINC000348239363 410871342 /nfs/dbraw/zinc/87/13/42/410871342.db2.gz GXLJCJHWLZZGQR-UHFFFAOYSA-N 1 2 324.340 1.008 20 30 DDEDLO N#CC1(C[NH+]2CCN(Cc3nc4ccccc4c(=O)[nH]3)CC2)CC1 ZINC000353256168 410884569 /nfs/dbraw/zinc/88/45/69/410884569.db2.gz HJGXUYKVSUDQRS-UHFFFAOYSA-N 1 2 323.400 1.757 20 30 DDEDLO CC(C)(C)OC(=O)C[C@](C)(C#N)C(=O)N1CC[NH+](C2CC2)CC1 ZINC000356466778 411045760 /nfs/dbraw/zinc/04/57/60/411045760.db2.gz UGCYOTXRZLUJDM-QGZVFWFLSA-N 1 2 321.421 1.555 20 30 DDEDLO CN(CCOCc1ccc(C#N)cc1)C(=O)CCc1[nH]cc[nH+]1 ZINC000356533073 411077039 /nfs/dbraw/zinc/07/70/39/411077039.db2.gz KCJOLMLGMXMYJK-UHFFFAOYSA-N 1 2 312.373 1.889 20 30 DDEDLO CC(C)Oc1ccc(NC(=O)[C@H]2C[N@H+](C)CCO2)cc1C#N ZINC000130740209 196105415 /nfs/dbraw/zinc/10/54/15/196105415.db2.gz QVZRUGZNIJGWEY-OAHLLOKOSA-N 1 2 303.362 1.615 20 30 DDEDLO CC(C)Oc1ccc(NC(=O)[C@H]2C[N@@H+](C)CCO2)cc1C#N ZINC000130740209 196105417 /nfs/dbraw/zinc/10/54/17/196105417.db2.gz QVZRUGZNIJGWEY-OAHLLOKOSA-N 1 2 303.362 1.615 20 30 DDEDLO N#Cc1cnc(NC[C@@H](c2ccccc2)[NH+]2CCOCC2)cn1 ZINC000133088820 196310591 /nfs/dbraw/zinc/31/05/91/196310591.db2.gz JRSGPYGXHBPDIY-INIZCTEOSA-N 1 2 309.373 1.834 20 30 DDEDLO CC#CCC[NH+]1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000360449584 418437906 /nfs/dbraw/zinc/43/79/06/418437906.db2.gz SKSQYAMIORJYRP-UHFFFAOYSA-N 1 2 313.448 1.171 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Cc1ccccc1 ZINC000194058487 418538755 /nfs/dbraw/zinc/53/87/55/418538755.db2.gz YANJUEHIHHOBFU-UHFFFAOYSA-N 1 2 301.390 1.304 20 30 DDEDLO CN(C)c1noc([C@@H]2CCC[N@H+](C[C@@H](C#N)CCC#N)C2)n1 ZINC000374635192 418548408 /nfs/dbraw/zinc/54/84/08/418548408.db2.gz GQWIGJZYEFTAKY-CHWSQXEVSA-N 1 2 302.382 1.759 20 30 DDEDLO CN(C)c1noc([C@@H]2CCC[N@@H+](C[C@@H](C#N)CCC#N)C2)n1 ZINC000374635192 418548412 /nfs/dbraw/zinc/54/84/12/418548412.db2.gz GQWIGJZYEFTAKY-CHWSQXEVSA-N 1 2 302.382 1.759 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CCC(N2CC[N@H+](C)CC2=O)CC1 ZINC000367105828 418560600 /nfs/dbraw/zinc/56/06/00/418560600.db2.gz GLQPMSNRQBGHMC-CQSZACIVSA-N 1 2 322.453 1.289 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CCC(N2CC[N@@H+](C)CC2=O)CC1 ZINC000367105828 418560602 /nfs/dbraw/zinc/56/06/02/418560602.db2.gz GLQPMSNRQBGHMC-CQSZACIVSA-N 1 2 322.453 1.289 20 30 DDEDLO CC(C)(C)n1cc(CN(CCC#N)CC[NH+]2CCOCC2)nn1 ZINC000191148365 222098458 /nfs/dbraw/zinc/09/84/58/222098458.db2.gz VXMVRQUAZCHWCJ-UHFFFAOYSA-N 1 2 320.441 1.081 20 30 DDEDLO COCCN1CCC[N@H+](CC#Cc2ccc(Cl)cc2)CC1=O ZINC000190806439 222090539 /nfs/dbraw/zinc/09/05/39/222090539.db2.gz HMYCYISBWNMNAJ-UHFFFAOYSA-N 1 2 320.820 1.872 20 30 DDEDLO COCCN1CCC[N@@H+](CC#Cc2ccc(Cl)cc2)CC1=O ZINC000190806439 222090542 /nfs/dbraw/zinc/09/05/42/222090542.db2.gz HMYCYISBWNMNAJ-UHFFFAOYSA-N 1 2 320.820 1.872 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CCOCC(F)(F)F)CC2)nc1 ZINC000194329945 222174217 /nfs/dbraw/zinc/17/42/17/222174217.db2.gz BBQBHQFOCWSYMI-UHFFFAOYSA-N 1 2 314.311 1.654 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000247371601 222228990 /nfs/dbraw/zinc/22/89/90/222228990.db2.gz PEOQNASJPWQNQB-ARFHVFGLSA-N 1 2 308.422 1.455 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000247371601 222228992 /nfs/dbraw/zinc/22/89/92/222228992.db2.gz PEOQNASJPWQNQB-ARFHVFGLSA-N 1 2 308.422 1.455 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)c1cccc(CC#N)c1 ZINC000264489616 222332033 /nfs/dbraw/zinc/33/20/33/222332033.db2.gz XYPLRRMNCQFKAJ-AWEZNQCLSA-N 1 2 300.406 1.118 20 30 DDEDLO COC(=O)c1cccc(OCC(N)=[NH+]OCCOC(C)(C)C)c1 ZINC000267015959 222366083 /nfs/dbraw/zinc/36/60/83/222366083.db2.gz OWGXQKWCRFPFMQ-UHFFFAOYSA-N 1 2 324.377 1.956 20 30 DDEDLO C=CCOCCCC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000361787673 418710764 /nfs/dbraw/zinc/71/07/64/418710764.db2.gz NJWBUCIQKALBOP-UHFFFAOYSA-N 1 2 306.410 1.047 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC(C)(C)[C@@H]1[C@H]1CCCO1 ZINC000377683760 418713307 /nfs/dbraw/zinc/71/33/07/418713307.db2.gz TUKWCNPNJXEJMB-KMFMINBZSA-N 1 2 307.438 1.930 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC(C)(C)[C@@H]1[C@H]1CCCO1 ZINC000377683760 418713308 /nfs/dbraw/zinc/71/33/08/418713308.db2.gz TUKWCNPNJXEJMB-KMFMINBZSA-N 1 2 307.438 1.930 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000368430262 418719822 /nfs/dbraw/zinc/71/98/22/418719822.db2.gz UYRCXDRDYCMBLT-BBRMVZONSA-N 1 2 301.394 1.365 20 30 DDEDLO Cc1ccc(C[N@@H+](CCO)CC(=O)N[C@@](C)(C#N)C(C)C)o1 ZINC000361509020 418666303 /nfs/dbraw/zinc/66/63/03/418666303.db2.gz SFITYOOAQHICAP-INIZCTEOSA-N 1 2 307.394 1.437 20 30 DDEDLO Cc1ccc(C[N@H+](CCO)CC(=O)N[C@@](C)(C#N)C(C)C)o1 ZINC000361509020 418666305 /nfs/dbraw/zinc/66/63/05/418666305.db2.gz SFITYOOAQHICAP-INIZCTEOSA-N 1 2 307.394 1.437 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H](NS(=O)(=O)c2ccc(C#N)o2)C1 ZINC000376314588 418695512 /nfs/dbraw/zinc/69/55/12/418695512.db2.gz OZFYHTYZHXBFTH-CQSZACIVSA-N 1 2 317.370 1.616 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H](NS(=O)(=O)c2ccc(C#N)o2)C1 ZINC000376314588 418695514 /nfs/dbraw/zinc/69/55/14/418695514.db2.gz OZFYHTYZHXBFTH-CQSZACIVSA-N 1 2 317.370 1.616 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1cccc(-c2[nH+]ccn2C)c1 ZINC000376494543 418698413 /nfs/dbraw/zinc/69/84/13/418698413.db2.gz GKOWHGVTGVDCHS-HNNXBMFYSA-N 1 2 314.389 1.823 20 30 DDEDLO C=CCCCN(C)C(=O)c1cnn(CC[NH+]2CCOCC2)c1 ZINC000362891139 418760857 /nfs/dbraw/zinc/76/08/57/418760857.db2.gz RTMXFYCBBSRIGT-UHFFFAOYSA-N 1 2 306.410 1.254 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@@H+]1CCOc1ccccc1C#N ZINC000378487110 418724454 /nfs/dbraw/zinc/72/44/54/418724454.db2.gz YKFTXTNELJCAGX-CABCVRRESA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@H+]1CCOc1ccccc1C#N ZINC000378487110 418724455 /nfs/dbraw/zinc/72/44/55/418724455.db2.gz YKFTXTNELJCAGX-CABCVRRESA-N 1 2 318.373 1.589 20 30 DDEDLO N#CCc1ccc(NC(=O)C(=O)N[C@@H]2CCc3[nH+]ccn3C2)cc1 ZINC000365442185 418861220 /nfs/dbraw/zinc/86/12/20/418861220.db2.gz AFCVSKGHBYVTOF-CQSZACIVSA-N 1 2 323.356 1.019 20 30 DDEDLO N#C[C@H]1COCCN1C(=O)[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000365643122 418887159 /nfs/dbraw/zinc/88/71/59/418887159.db2.gz YXQWPRGDGXSJRB-KBPBESRZSA-N 1 2 300.362 1.049 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@H+](Cc2cc(C#N)ccc2OC)C1 ZINC000372125937 418838235 /nfs/dbraw/zinc/83/82/35/418838235.db2.gz FQBUCJQDMIDDGP-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CCC[N@@H+](Cc2cc(C#N)ccc2OC)C1 ZINC000372125937 418838239 /nfs/dbraw/zinc/83/82/39/418838239.db2.gz FQBUCJQDMIDDGP-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N1CCN(c2ccc(C#N)cc2)CC1 ZINC000298125010 228369110 /nfs/dbraw/zinc/36/91/10/228369110.db2.gz DRWFGGGWICTGFY-UHFFFAOYSA-N 1 2 319.372 1.562 20 30 DDEDLO CCOC(=O)c1nn(C)cc1C[NH2+]Cc1ccc(C#N)s1 ZINC000421352992 419577828 /nfs/dbraw/zinc/57/78/28/419577828.db2.gz RNTVSZCUCYVNJZ-UHFFFAOYSA-N 1 2 304.375 1.820 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+]1CCC[C@H](CS(N)(=O)=O)C1 ZINC000428233980 419833513 /nfs/dbraw/zinc/83/35/13/419833513.db2.gz WLUOJTYXSGHQBE-NSHDSACASA-N 1 2 311.382 1.198 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+]1CCC[C@H](CS(N)(=O)=O)C1 ZINC000428233980 419833519 /nfs/dbraw/zinc/83/35/19/419833519.db2.gz WLUOJTYXSGHQBE-NSHDSACASA-N 1 2 311.382 1.198 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+]1CCC[C@](O)(Cn2ccnn2)C1 ZINC000428954870 419952466 /nfs/dbraw/zinc/95/24/66/419952466.db2.gz SRRWPCCPMIEHLH-MRXNPFEDSA-N 1 2 315.352 1.316 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+]1CCC[C@](O)(Cn2ccnn2)C1 ZINC000428954870 419952469 /nfs/dbraw/zinc/95/24/69/419952469.db2.gz SRRWPCCPMIEHLH-MRXNPFEDSA-N 1 2 315.352 1.316 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(c2ccnc(C#N)c2)C1 ZINC000418954488 420029142 /nfs/dbraw/zinc/02/91/42/420029142.db2.gz WPMGDEQMOIOFKI-QGZVFWFLSA-N 1 2 316.405 1.853 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3cncc(C#N)n3)c[nH+]2)C[C@H](C)O1 ZINC000420581593 420322359 /nfs/dbraw/zinc/32/23/59/420322359.db2.gz FQJJBJZFCLCVKG-STQMWFEESA-N 1 2 324.388 1.969 20 30 DDEDLO Cc1cc(NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)c(C#N)c[nH+]1 ZINC000425255348 420331210 /nfs/dbraw/zinc/33/12/10/420331210.db2.gz PFZUTMBCQQCTCY-TZMCWYRMSA-N 1 2 322.434 1.204 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC000457559220 420631608 /nfs/dbraw/zinc/63/16/08/420631608.db2.gz XYGXRPZVKBAQDB-ARFHVFGLSA-N 1 2 309.454 1.110 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)NCCNc2cccc[nH+]2)c1C#N ZINC000441572937 420655508 /nfs/dbraw/zinc/65/55/08/420655508.db2.gz HIHQENNQRPNESD-UHFFFAOYSA-N 1 2 323.356 1.429 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000442655230 420694484 /nfs/dbraw/zinc/69/44/84/420694484.db2.gz VAPIPARDCPECFL-WBVHZDCISA-N 1 2 310.438 1.538 20 30 DDEDLO N#CCCCNC(=O)N(CC[NH+]1CCOCC1)Cc1ccco1 ZINC000458674381 420711912 /nfs/dbraw/zinc/71/19/12/420711912.db2.gz ZGCGIPTUSKUYCS-UHFFFAOYSA-N 1 2 320.393 1.427 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(C(=O)OC(C)(C)C)[C@@H](C)C2)C1=O ZINC000493355541 420827944 /nfs/dbraw/zinc/82/79/44/420827944.db2.gz FQHNRUZMJMWSNZ-KBPBESRZSA-N 1 2 323.437 1.715 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(C(=O)OC(C)(C)C)[C@@H](C)C2)C1=O ZINC000493355541 420827946 /nfs/dbraw/zinc/82/79/46/420827946.db2.gz FQHNRUZMJMWSNZ-KBPBESRZSA-N 1 2 323.437 1.715 20 30 DDEDLO N#Cc1cccnc1N1CCN(C(=O)/C=C\c2[nH]cc[nH+]2)CC1 ZINC000493653287 420907720 /nfs/dbraw/zinc/90/77/20/420907720.db2.gz OBVWBGRMOPTAHW-ARJAWSKDSA-N 1 2 308.345 1.038 20 30 DDEDLO CO[C@@H]1C[NH+](CC(=O)Nc2cc(Cl)ccc2C#N)C[C@H]1OC ZINC000487977064 421061434 /nfs/dbraw/zinc/06/14/34/421061434.db2.gz FPIBONUCIBOGDI-ZIAGYGMSSA-N 1 2 323.780 1.496 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000489536882 421162844 /nfs/dbraw/zinc/16/28/44/421162844.db2.gz YGEANIITAQMKAI-OAHLLOKOSA-N 1 2 316.467 1.469 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489687845 421170443 /nfs/dbraw/zinc/17/04/43/421170443.db2.gz YNOWSDKMYAMHMF-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489687845 421170444 /nfs/dbraw/zinc/17/04/44/421170444.db2.gz YNOWSDKMYAMHMF-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C=CC[N@@H+](CCCc1cc(CC)no1)[C@@H]1CCS(=O)(=O)C1 ZINC000450557665 421209841 /nfs/dbraw/zinc/20/98/41/421209841.db2.gz NUQONNNIGMGWEU-CQSZACIVSA-N 1 2 312.435 1.845 20 30 DDEDLO C=CC[N@H+](CCCc1cc(CC)no1)[C@@H]1CCS(=O)(=O)C1 ZINC000450557665 421209844 /nfs/dbraw/zinc/20/98/44/421209844.db2.gz NUQONNNIGMGWEU-CQSZACIVSA-N 1 2 312.435 1.845 20 30 DDEDLO N#Cc1cccc(N2CCN(Cc3cccc4[nH+]ccn43)CC2)n1 ZINC000545552771 421262334 /nfs/dbraw/zinc/26/23/34/421262334.db2.gz MLRGYOQOODYBAP-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO CCC[C@@H](C#N)NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000524938218 421276037 /nfs/dbraw/zinc/27/60/37/421276037.db2.gz SWVBNDBCZFUGEN-JKSUJKDBSA-N 1 2 301.390 1.696 20 30 DDEDLO CCC[C@@H](C#N)NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000524938218 421276039 /nfs/dbraw/zinc/27/60/39/421276039.db2.gz SWVBNDBCZFUGEN-JKSUJKDBSA-N 1 2 301.390 1.696 20 30 DDEDLO N#CCCn1ccc(NC(=O)NCCCCn2cc[nH+]c2)n1 ZINC000525232876 421281668 /nfs/dbraw/zinc/28/16/68/421281668.db2.gz HCFSCMZOLTYWRW-UHFFFAOYSA-N 1 2 301.354 1.595 20 30 DDEDLO C[C@@H]1[C@H](C)OCC[N@@H+]1CC#CCN1C(=O)c2ccccc2C1=O ZINC000528408465 421485010 /nfs/dbraw/zinc/48/50/10/421485010.db2.gz WNXRFZVFVBWZNX-KGLIPLIRSA-N 1 2 312.369 1.395 20 30 DDEDLO C[C@@H]1[C@H](C)OCC[N@H+]1CC#CCN1C(=O)c2ccccc2C1=O ZINC000528408465 421485012 /nfs/dbraw/zinc/48/50/12/421485012.db2.gz WNXRFZVFVBWZNX-KGLIPLIRSA-N 1 2 312.369 1.395 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000567075696 421609715 /nfs/dbraw/zinc/60/97/15/421609715.db2.gz NANLDQSXLVKUMO-UHFFFAOYSA-N 1 2 300.362 1.903 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)Nc1ccn(CCC#N)n1)C1CC1 ZINC000554477588 421641322 /nfs/dbraw/zinc/64/13/22/421641322.db2.gz SNWLRSRNTKCZNH-ZDUSSCGKSA-N 1 2 313.365 1.803 20 30 DDEDLO CC1CC(C#N)(C(=O)N2CCN(Cc3[nH+]ccn3C)CC2)C1 ZINC000532074526 421647770 /nfs/dbraw/zinc/64/77/70/421647770.db2.gz PDERVXGAZUVFAC-UHFFFAOYSA-N 1 2 301.394 1.004 20 30 DDEDLO CSC[C@](C)(C#N)NC(=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000554778005 421660705 /nfs/dbraw/zinc/66/07/05/421660705.db2.gz SGYVONWNWBCNRX-HNNXBMFYSA-N 1 2 302.403 1.947 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000633897900 422142162 /nfs/dbraw/zinc/14/21/62/422142162.db2.gz PDFFNWJMGGTLQT-KRWDZBQOSA-N 1 2 304.390 1.364 20 30 DDEDLO CSc1ccc([N+](=O)[O-])c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000574952082 422220391 /nfs/dbraw/zinc/22/03/91/422220391.db2.gz QZUMWEYLCVOGCT-AWEZNQCLSA-N 1 2 322.390 1.890 20 30 DDEDLO CCc1cc2c(ccn(C[C@H](O)C[N@H+](C)CCC#N)c2=O)o1 ZINC000583935199 422222627 /nfs/dbraw/zinc/22/26/27/422222627.db2.gz VOCAEAXQFKBVCE-GFCCVEGCSA-N 1 2 303.362 1.363 20 30 DDEDLO CCc1cc2c(ccn(C[C@H](O)C[N@@H+](C)CCC#N)c2=O)o1 ZINC000583935199 422222634 /nfs/dbraw/zinc/22/26/34/422222634.db2.gz VOCAEAXQFKBVCE-GFCCVEGCSA-N 1 2 303.362 1.363 20 30 DDEDLO CCn1c2ccccc2n(C[C@H](O)C[N@H+](C)CCC#N)c1=O ZINC000584159833 422272915 /nfs/dbraw/zinc/27/29/15/422272915.db2.gz QMYOQCQISHDIBD-CYBMUJFWSA-N 1 2 302.378 1.029 20 30 DDEDLO CCn1c2ccccc2n(C[C@H](O)C[N@@H+](C)CCC#N)c1=O ZINC000584159833 422272918 /nfs/dbraw/zinc/27/29/18/422272918.db2.gz QMYOQCQISHDIBD-CYBMUJFWSA-N 1 2 302.378 1.029 20 30 DDEDLO C=CCCn1cc(C[NH2+]C[C@H](n2cncn2)C(F)(F)F)nn1 ZINC000631210801 422604665 /nfs/dbraw/zinc/60/46/65/422604665.db2.gz ZSDRYJRIBJYAOK-NSHDSACASA-N 1 2 315.303 1.339 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)NCc2ccccc2)nn1 ZINC000640800643 423196830 /nfs/dbraw/zinc/19/68/30/423196830.db2.gz GYFZFYLVTHAXHO-AWEZNQCLSA-N 1 2 311.389 1.268 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)Nc2cccc(C)c2)nn1 ZINC000640807151 423203421 /nfs/dbraw/zinc/20/34/21/423203421.db2.gz KHNZMDUAQZMKFQ-AWEZNQCLSA-N 1 2 311.389 1.899 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)n1cc(Cn2cc[nH+]c2)nn1 ZINC000640920089 423275934 /nfs/dbraw/zinc/27/59/34/423275934.db2.gz MFOINJMJMHCZDR-ZDUSSCGKSA-N 1 2 300.366 1.285 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc(Cc3ccccc3)no2)nn1 ZINC000640948132 423291940 /nfs/dbraw/zinc/29/19/40/423291940.db2.gz UEVVNQZODWBXOQ-UHFFFAOYSA-N 1 2 322.372 1.413 20 30 DDEDLO C=CCn1cc(C(=O)NCc2[nH+]ccn2CC(F)(F)F)nn1 ZINC000648766302 423449067 /nfs/dbraw/zinc/44/90/67/423449067.db2.gz OBIWJHJDUMQPKR-UHFFFAOYSA-N 1 2 314.271 1.153 20 30 DDEDLO CC#CCCCC(=O)N(CC[NH+]1CCOCC1)[C@@H]1CCSC1 ZINC000646321392 423450066 /nfs/dbraw/zinc/45/00/66/423450066.db2.gz GRLFAZSPOXQUBV-MRXNPFEDSA-N 1 2 324.490 1.846 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(OC[C@H]3CCOC3)CC2)nn1 ZINC000653593487 423542216 /nfs/dbraw/zinc/54/22/16/423542216.db2.gz CSRPBSDMNINLDF-HNNXBMFYSA-N 1 2 320.437 1.872 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@]3(CCOC3)OC(C)(C)C2)nn1 ZINC000653654137 423566259 /nfs/dbraw/zinc/56/62/59/423566259.db2.gz AXZCNTHXFAFDKG-INIZCTEOSA-N 1 2 306.410 1.624 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@]3(CCOC3)OC(C)(C)C2)nn1 ZINC000653654137 423566263 /nfs/dbraw/zinc/56/62/63/423566263.db2.gz AXZCNTHXFAFDKG-INIZCTEOSA-N 1 2 306.410 1.624 20 30 DDEDLO CCNC(=O)C[N@H+](CC)[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000008309550 264256040 /nfs/dbraw/zinc/25/60/40/264256040.db2.gz BAOUBAJVJWDHLX-LBPRGKRZSA-N 1 2 302.378 1.343 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000008309550 264256043 /nfs/dbraw/zinc/25/60/43/264256043.db2.gz BAOUBAJVJWDHLX-LBPRGKRZSA-N 1 2 302.378 1.343 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCc2nc(C)ncc2C1 ZINC000642531323 424188113 /nfs/dbraw/zinc/18/81/13/424188113.db2.gz IQWYZNSJZCZPRA-CYBMUJFWSA-N 1 2 302.422 1.956 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCc2nc(C)ncc2C1 ZINC000642531323 424188122 /nfs/dbraw/zinc/18/81/22/424188122.db2.gz IQWYZNSJZCZPRA-CYBMUJFWSA-N 1 2 302.422 1.956 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCc2nc(C)ncc2C1 ZINC000642531293 424190344 /nfs/dbraw/zinc/19/03/44/424190344.db2.gz CVHRQUYIHBYTRG-ZDUSSCGKSA-N 1 2 300.406 1.732 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCc2nc(C)ncc2C1 ZINC000642531293 424190348 /nfs/dbraw/zinc/19/03/48/424190348.db2.gz CVHRQUYIHBYTRG-ZDUSSCGKSA-N 1 2 300.406 1.732 20 30 DDEDLO C=CCN(C)C(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000662113285 424403538 /nfs/dbraw/zinc/40/35/38/424403538.db2.gz QSLMXDZIIACCKF-QGZVFWFLSA-N 1 2 316.449 1.630 20 30 DDEDLO C=CCN(C)C(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000662113285 424403543 /nfs/dbraw/zinc/40/35/43/424403543.db2.gz QSLMXDZIIACCKF-QGZVFWFLSA-N 1 2 316.449 1.630 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1nc(N)nc(Nc2ccccc2C)n1 ZINC000662166732 424452490 /nfs/dbraw/zinc/45/24/90/424452490.db2.gz FLSFLOLDUORDDR-LLVKDONJSA-N 1 2 300.366 1.142 20 30 DDEDLO C=CCOCCCNC(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000660317778 424638302 /nfs/dbraw/zinc/63/83/02/424638302.db2.gz SHYOPNQNOOWHIA-UHFFFAOYSA-N 1 2 306.410 1.654 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000660880723 424801905 /nfs/dbraw/zinc/80/19/05/424801905.db2.gz BQGBSLDGZACCLW-WBVHZDCISA-N 1 2 316.449 1.676 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000660880723 424801907 /nfs/dbraw/zinc/80/19/07/424801907.db2.gz BQGBSLDGZACCLW-WBVHZDCISA-N 1 2 316.449 1.676 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@H+](Cc3ccc(C#N)cc3F)CCN2C1=O ZINC000369397514 266141676 /nfs/dbraw/zinc/14/16/76/266141676.db2.gz HJKUUNJISFIXKM-AWEZNQCLSA-N 1 2 316.336 1.166 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@@H+](Cc3ccc(C#N)cc3F)CCN2C1=O ZINC000369397514 266141677 /nfs/dbraw/zinc/14/16/77/266141677.db2.gz HJKUUNJISFIXKM-AWEZNQCLSA-N 1 2 316.336 1.166 20 30 DDEDLO C[C@@H]1CC[C@H](O)C[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000399213434 267030266 /nfs/dbraw/zinc/03/02/66/267030266.db2.gz SEHWRJSCCMJLOF-OCCSQVGLSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@@H]1CC[C@H](O)C[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000399213434 267030269 /nfs/dbraw/zinc/03/02/69/267030269.db2.gz SEHWRJSCCMJLOF-OCCSQVGLSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@H]1CCN(S(=O)(=O)c2ccc(C#N)o2)C[C@@H]1n1cc[nH+]c1 ZINC000367784793 267216563 /nfs/dbraw/zinc/21/65/63/267216563.db2.gz PTFCCJJKBGWFDU-AAEUAGOBSA-N 1 2 320.374 1.620 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2Cl)C1 ZINC000527378017 267350481 /nfs/dbraw/zinc/35/04/81/267350481.db2.gz XCLRWGXVXBWPNH-GFCCVEGCSA-N 1 2 313.810 1.335 20 30 DDEDLO CNS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2Cl)C1 ZINC000527378017 267350483 /nfs/dbraw/zinc/35/04/83/267350483.db2.gz XCLRWGXVXBWPNH-GFCCVEGCSA-N 1 2 313.810 1.335 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)s1 ZINC000367097368 268192355 /nfs/dbraw/zinc/19/23/55/268192355.db2.gz AMCPPKLWEDFSKI-SNVBAGLBSA-N 1 2 308.388 1.869 20 30 DDEDLO N#Cc1cccc(CC(=O)N2CCN(c3cccc[nH+]3)CC2)c1 ZINC000531386177 268273156 /nfs/dbraw/zinc/27/31/56/268273156.db2.gz DITUYEMYWXLOGE-UHFFFAOYSA-N 1 2 306.369 1.845 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CCOC[C@@H]1[C@H]1CCCO1 ZINC000375741836 268282346 /nfs/dbraw/zinc/28/23/46/268282346.db2.gz JLWYIKWIUATJEQ-NVXWUHKLSA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CCOC[C@@H]1[C@H]1CCCO1 ZINC000375741836 268282349 /nfs/dbraw/zinc/28/23/49/268282349.db2.gz JLWYIKWIUATJEQ-NVXWUHKLSA-N 1 2 302.374 1.817 20 30 DDEDLO N#Cc1ncccc1S(=O)(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000365426415 268329417 /nfs/dbraw/zinc/32/94/17/268329417.db2.gz HJUCUESDIBLSGZ-LLVKDONJSA-N 1 2 303.347 1.202 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)Nc1ccc(C#N)cc1[N+](=O)[O-] ZINC000308656826 275859988 /nfs/dbraw/zinc/85/99/88/275859988.db2.gz BPUCPZIXDCOGAH-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000442655228 277906127 /nfs/dbraw/zinc/90/61/27/277906127.db2.gz VAPIPARDCPECFL-RDJZCZTQSA-N 1 2 310.438 1.538 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1ncc(C#N)cc1Cl ZINC000286436368 277975741 /nfs/dbraw/zinc/97/57/41/277975741.db2.gz SFINIBMHCXZMND-DGCLKSJQSA-N 1 2 306.797 1.906 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1C[C@@H](C)O[C@@]2(CCO[C@H]2C)C1 ZINC000366098959 280102246 /nfs/dbraw/zinc/10/22/46/280102246.db2.gz YAWKMSDHHYLBAK-WTTBNOFXSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](C)O[C@@]2(CCO[C@H]2C)C1 ZINC000366098959 280102249 /nfs/dbraw/zinc/10/22/49/280102249.db2.gz YAWKMSDHHYLBAK-WTTBNOFXSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@H](C(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1)N1CCCC1=O ZINC000329725120 280133839 /nfs/dbraw/zinc/13/38/39/280133839.db2.gz OCJAYKZYRMUIOU-IAQYHMDHSA-N 1 2 304.394 1.871 20 30 DDEDLO CC(C)[C@H](C(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1)N1CCCC1=O ZINC000329725120 280133842 /nfs/dbraw/zinc/13/38/42/280133842.db2.gz OCJAYKZYRMUIOU-IAQYHMDHSA-N 1 2 304.394 1.871 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CC[NH+](C3CC3)CC2)CCCCC1 ZINC000330034128 294789023 /nfs/dbraw/zinc/78/90/23/294789023.db2.gz WDSFXFZXMBETMG-UHFFFAOYSA-N 1 2 311.451 1.570 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)Nc1ccccc1-n1cnc(C#N)n1 ZINC000366543615 307075929 /nfs/dbraw/zinc/07/59/29/307075929.db2.gz IXARMIUMVSMNLC-UHFFFAOYSA-N 1 2 321.344 1.444 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000555650273 307847017 /nfs/dbraw/zinc/84/70/17/307847017.db2.gz CLKGHURODHSZKZ-CQSZACIVSA-N 1 2 315.421 1.380 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@@H](C)[N@@H+]2Cc2ccc(C#N)cn2)O1 ZINC000565160835 308021924 /nfs/dbraw/zinc/02/19/24/308021924.db2.gz DGFZHMDBUITRRJ-MPESAESLSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@@H](C)[N@H+]2Cc2ccc(C#N)cn2)O1 ZINC000565160835 308021926 /nfs/dbraw/zinc/02/19/26/308021926.db2.gz DGFZHMDBUITRRJ-MPESAESLSA-N 1 2 315.373 1.637 20 30 DDEDLO C[C@@H]1CN(c2ccc(Nc3ccnc(C#N)n3)c[nH+]2)C[C@@H](C)O1 ZINC000568533786 308122616 /nfs/dbraw/zinc/12/26/16/308122616.db2.gz WSBPUGADRBEDAS-VXGBXAGGSA-N 1 2 310.361 1.522 20 30 DDEDLO CC[C@H](Oc1ccccc1F)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000575062864 332903126 /nfs/dbraw/zinc/90/31/26/332903126.db2.gz BJPZZPPCOUGWFL-BBRMVZONSA-N 1 2 307.369 1.943 20 30 DDEDLO COCCOCCO[NH+]=C(N)c1ccc(N2CCCCC2)nc1 ZINC000179639506 333153956 /nfs/dbraw/zinc/15/39/56/333153956.db2.gz HGVSYHQQZLIZIZ-UHFFFAOYSA-N 1 2 322.409 1.372 20 30 DDEDLO COc1ccc(C[C@@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000518778924 333165938 /nfs/dbraw/zinc/16/59/38/333165938.db2.gz WDQZEWYOHFLWBW-CXAGYDPISA-N 1 2 303.406 1.834 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[NH+]2CC(C(F)(F)F)C2)cc1 ZINC000583660996 336035459 /nfs/dbraw/zinc/03/54/59/336035459.db2.gz VWGFHWPZWPCYHT-LBPRGKRZSA-N 1 2 300.280 1.792 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)CC2(C#N)CCCCC2)[C@@H](C)C1 ZINC000352624680 336227566 /nfs/dbraw/zinc/22/75/66/336227566.db2.gz ATRWTSAUNPKVAH-AWEZNQCLSA-N 1 2 313.467 1.816 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)CC2(C#N)CCCCC2)[C@@H](C)C1 ZINC000352624680 336227567 /nfs/dbraw/zinc/22/75/67/336227567.db2.gz ATRWTSAUNPKVAH-AWEZNQCLSA-N 1 2 313.467 1.816 20 30 DDEDLO C[C@@H](CN(C)C(=O)Cc1c[nH+]c[nH]1)Nc1ncc(C#N)cc1F ZINC001120712571 782103394 /nfs/dbraw/zinc/10/33/94/782103394.db2.gz OLCGPKAHTCEREF-JTQLQIEISA-N 1 2 316.340 1.317 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)NC(=O)NC)Cc1cnn(C(C)C)c1 ZINC000352917009 336376854 /nfs/dbraw/zinc/37/68/54/336376854.db2.gz VRHRQERGKICBGM-UHFFFAOYSA-N 1 2 307.398 1.298 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)NC(=O)NC)Cc1cnn(C(C)C)c1 ZINC000352917009 336376855 /nfs/dbraw/zinc/37/68/55/336376855.db2.gz VRHRQERGKICBGM-UHFFFAOYSA-N 1 2 307.398 1.298 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NS(=O)(=O)CC1(C#N)CCC1)C1CC1 ZINC000582754338 337134075 /nfs/dbraw/zinc/13/40/75/337134075.db2.gz HEHIVFBPXYJTLY-LBPRGKRZSA-N 1 2 308.407 1.484 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+]1CCOC[C@H]1C1CCC1 ZINC000583022972 337226195 /nfs/dbraw/zinc/22/61/95/337226195.db2.gz NFQJACKDMWXHKD-HNNXBMFYSA-N 1 2 304.394 1.143 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+]1CCOC[C@H]1C1CCC1 ZINC000583022972 337226196 /nfs/dbraw/zinc/22/61/96/337226196.db2.gz NFQJACKDMWXHKD-HNNXBMFYSA-N 1 2 304.394 1.143 20 30 DDEDLO CCOC(=O)Nc1cccc(OC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000496329660 340003176 /nfs/dbraw/zinc/00/31/76/340003176.db2.gz TXNZLILSSIPPLL-CQSZACIVSA-N 1 2 321.377 1.840 20 30 DDEDLO CCOC(=O)Nc1cccc(OC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000496329660 340003177 /nfs/dbraw/zinc/00/31/77/340003177.db2.gz TXNZLILSSIPPLL-CQSZACIVSA-N 1 2 321.377 1.840 20 30 DDEDLO C[C@@H]1CN(C(=O)OC(C)(C)C)[C@H](C)[C@@H](C)[N@@H+]1CC(=O)NCC#N ZINC000496979359 340017430 /nfs/dbraw/zinc/01/74/30/340017430.db2.gz HQSWATHONSQUFX-JHJVBQTASA-N 1 2 324.425 1.344 20 30 DDEDLO C[C@@H]1CN(C(=O)OC(C)(C)C)[C@H](C)[C@@H](C)[N@H+]1CC(=O)NCC#N ZINC000496979359 340017431 /nfs/dbraw/zinc/01/74/31/340017431.db2.gz HQSWATHONSQUFX-JHJVBQTASA-N 1 2 324.425 1.344 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@H+](CC(=O)N2CCCC2)CC1 ZINC000134929711 341226842 /nfs/dbraw/zinc/22/68/42/341226842.db2.gz FFZMJKCNFZHQNW-SFHVURJKSA-N 1 2 321.465 1.745 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[N@@H+](CC(=O)N2CCCC2)CC1 ZINC000134929711 341226843 /nfs/dbraw/zinc/22/68/43/341226843.db2.gz FFZMJKCNFZHQNW-SFHVURJKSA-N 1 2 321.465 1.745 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1nnsc1Cl ZINC000078720314 341246666 /nfs/dbraw/zinc/24/66/66/341246666.db2.gz ZAHPFFZUPNUNBE-UHFFFAOYSA-N 1 2 315.830 1.239 20 30 DDEDLO C[C@H](C#N)CNC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000248465182 341378512 /nfs/dbraw/zinc/37/85/12/341378512.db2.gz ZXFPRWBFDWYZEG-OLZOCXBDSA-N 1 2 317.393 1.266 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@@H]1CCC[N@H+](Cc2c(F)cccc2F)C1 ZINC000668571159 485220009 /nfs/dbraw/zinc/22/00/09/485220009.db2.gz CHEQHZIGSKXKOM-ABAIWWIYSA-N 1 2 310.344 1.592 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@@H]1CCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC000668571159 485220012 /nfs/dbraw/zinc/22/00/12/485220012.db2.gz CHEQHZIGSKXKOM-ABAIWWIYSA-N 1 2 310.344 1.592 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC000673288496 485404885 /nfs/dbraw/zinc/40/48/85/485404885.db2.gz LQTYBBSBCSAVLW-OAHLLOKOSA-N 1 2 301.390 1.324 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC000673288496 485404888 /nfs/dbraw/zinc/40/48/88/485404888.db2.gz LQTYBBSBCSAVLW-OAHLLOKOSA-N 1 2 301.390 1.324 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCC(S(C)(=O)=O)CC1 ZINC000675504085 485960547 /nfs/dbraw/zinc/96/05/47/485960547.db2.gz ZSFJWGRQHMSEMC-ZDUSSCGKSA-N 1 2 314.451 1.085 20 30 DDEDLO COCC#CC[NH+]1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000677476889 486476919 /nfs/dbraw/zinc/47/69/19/486476919.db2.gz JEWHSISUFGRNSE-UHFFFAOYSA-N 1 2 319.380 1.032 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000333326758 500907852 /nfs/dbraw/zinc/90/78/52/500907852.db2.gz AHLZHBJDFPFLSV-LXTVHRRPSA-N 1 2 306.410 1.183 20 30 DDEDLO C[C@@H]1CCC[C@@H](CO)[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000305824306 533755049 /nfs/dbraw/zinc/75/50/49/533755049.db2.gz BZFLFVYEQXLGFY-HIFRSBDPSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@@H]1CCC[C@@H](CO)[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000305824306 533755057 /nfs/dbraw/zinc/75/50/57/533755057.db2.gz BZFLFVYEQXLGFY-HIFRSBDPSA-N 1 2 322.430 1.567 20 30 DDEDLO Cc1cc(NC[C@@H](N2CCOCC2)C(F)(F)F)c(C#N)c[nH+]1 ZINC000425252737 534031845 /nfs/dbraw/zinc/03/18/45/534031845.db2.gz IYCWQNKTYBOFSA-CYBMUJFWSA-N 1 2 314.311 1.359 20 30 DDEDLO COc1ccc(NC(=O)C[NH2+][C@@H]2CCC[C@@H]2C#N)c(OC)c1 ZINC000459445139 534080643 /nfs/dbraw/zinc/08/06/43/534080643.db2.gz BNEKDUJPNKDMAQ-DGCLKSJQSA-N 1 2 303.362 1.924 20 30 DDEDLO COC(=O)c1cn([C@@H]2CCN(c3cc(C)[nH+]cc3C#N)C2)nn1 ZINC000425246013 534304305 /nfs/dbraw/zinc/30/43/05/534304305.db2.gz VJOHQWCELJAYTM-GFCCVEGCSA-N 1 2 312.333 1.091 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)C2(S(C)(=O)=O)CCC2)C[N@@H+]1C1CC1 ZINC000330001616 534490699 /nfs/dbraw/zinc/49/06/99/534490699.db2.gz ALXFOAUXXZPWRF-GHMZBOCLSA-N 1 2 300.424 1.535 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)C2(S(C)(=O)=O)CCC2)C[N@H+]1C1CC1 ZINC000330001616 534490706 /nfs/dbraw/zinc/49/07/06/534490706.db2.gz ALXFOAUXXZPWRF-GHMZBOCLSA-N 1 2 300.424 1.535 20 30 DDEDLO Cc1cn2c([nH+]1)CN([C@H](C)C(=O)NC1(C#N)CCCCC1)CC2 ZINC000363712596 534509447 /nfs/dbraw/zinc/50/94/47/534509447.db2.gz LVDBQXKSQKZCTK-CQSZACIVSA-N 1 2 315.421 1.738 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC[S@](=O)c2ccccc2)C1=O ZINC000337217527 526501313 /nfs/dbraw/zinc/50/13/13/526501313.db2.gz ILJDVFRBIROQBJ-BTYIYWSLSA-N 1 2 306.431 1.513 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC[S@](=O)c2ccccc2)C1=O ZINC000337217527 526501320 /nfs/dbraw/zinc/50/13/20/526501320.db2.gz ILJDVFRBIROQBJ-BTYIYWSLSA-N 1 2 306.431 1.513 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](CCCC)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000337153598 526505141 /nfs/dbraw/zinc/50/51/41/526505141.db2.gz MCCIOFGHEQCJPP-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](CCCC)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000337153598 526505146 /nfs/dbraw/zinc/50/51/46/526505146.db2.gz MCCIOFGHEQCJPP-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@H](CO)c2cccc(Cl)c2F)C1=O ZINC000337202833 526514983 /nfs/dbraw/zinc/51/49/83/526514983.db2.gz FOTWZDBBZXFPLM-QWHCGFSZSA-N 1 2 312.772 1.889 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494309731 526535625 /nfs/dbraw/zinc/53/56/25/526535625.db2.gz CRYKUDUOTVCNIW-KGLIPLIRSA-N 1 2 309.410 1.326 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494309731 526535635 /nfs/dbraw/zinc/53/56/35/526535635.db2.gz CRYKUDUOTVCNIW-KGLIPLIRSA-N 1 2 309.410 1.326 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1ccccc1SC ZINC000348329970 526586660 /nfs/dbraw/zinc/58/66/60/526586660.db2.gz LPDNHWFITVMNEG-UHFFFAOYSA-N 1 2 307.419 1.852 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1ccccc1SC ZINC000348329970 526586665 /nfs/dbraw/zinc/58/66/65/526586665.db2.gz LPDNHWFITVMNEG-UHFFFAOYSA-N 1 2 307.419 1.852 20 30 DDEDLO C#CCN(C)c1ccc([N+](=O)[O-])c(NC(=O)C[N@H+](C)CC#C)c1 ZINC000491714701 526801105 /nfs/dbraw/zinc/80/11/05/526801105.db2.gz NLWFNIRZTGECLI-UHFFFAOYSA-N 1 2 314.345 1.168 20 30 DDEDLO C#CCN(C)c1ccc([N+](=O)[O-])c(NC(=O)C[N@@H+](C)CC#C)c1 ZINC000491714701 526801110 /nfs/dbraw/zinc/80/11/10/526801110.db2.gz NLWFNIRZTGECLI-UHFFFAOYSA-N 1 2 314.345 1.168 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1)OCC ZINC000339365396 526806614 /nfs/dbraw/zinc/80/66/14/526806614.db2.gz JOXFUAKFSOXTDP-CVEARBPZSA-N 1 2 310.438 1.681 20 30 DDEDLO C#CC[N@@H+](CC#CC)CC(=O)N1CCC[C@@H](C(F)(F)F)C1 ZINC000490840337 526863332 /nfs/dbraw/zinc/86/33/32/526863332.db2.gz NOTGNEMUHBQREN-CYBMUJFWSA-N 1 2 300.324 1.746 20 30 DDEDLO C#CC[N@H+](CC#CC)CC(=O)N1CCC[C@@H](C(F)(F)F)C1 ZINC000490840337 526863335 /nfs/dbraw/zinc/86/33/35/526863335.db2.gz NOTGNEMUHBQREN-CYBMUJFWSA-N 1 2 300.324 1.746 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1ccc2c(c1)OCCCO2)C1CC1 ZINC000491276309 526883002 /nfs/dbraw/zinc/88/30/02/526883002.db2.gz IOWAXZZPEJENSX-UHFFFAOYSA-N 1 2 300.358 1.884 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1ccc2c(c1)OCCCO2)C1CC1 ZINC000491276309 526883008 /nfs/dbraw/zinc/88/30/08/526883008.db2.gz IOWAXZZPEJENSX-UHFFFAOYSA-N 1 2 300.358 1.884 20 30 DDEDLO C#CC[N@@H+](CCc1ccccc1CC)[C@H]1CCS(=O)(=O)C1 ZINC000491666821 526901255 /nfs/dbraw/zinc/90/12/55/526901255.db2.gz XJQSHSVYCUWFMH-KRWDZBQOSA-N 1 2 305.443 1.914 20 30 DDEDLO C#CC[N@H+](CCc1ccccc1CC)[C@H]1CCS(=O)(=O)C1 ZINC000491666821 526901258 /nfs/dbraw/zinc/90/12/58/526901258.db2.gz XJQSHSVYCUWFMH-KRWDZBQOSA-N 1 2 305.443 1.914 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc3scnc3c2)CC1 ZINC000490781154 526951254 /nfs/dbraw/zinc/95/12/54/526951254.db2.gz XJZXEFGSJTZSPB-UHFFFAOYSA-N 1 2 314.414 1.486 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cccc(CCOC)c2)CC1 ZINC000491765323 526953224 /nfs/dbraw/zinc/95/32/24/526953224.db2.gz CLWKEPGLIZODNT-UHFFFAOYSA-N 1 2 316.401 1.032 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)Cn2ccc(-c3cccs3)n2)CC1 ZINC000490761533 526953739 /nfs/dbraw/zinc/95/37/39/526953739.db2.gz AAMDTUBCEBMQKA-UHFFFAOYSA-N 1 2 314.414 1.389 20 30 DDEDLO C#CC[NH+]1CCN(c2cnc(C(=O)OC(C)(C)C)cn2)CC1 ZINC000491093825 526955874 /nfs/dbraw/zinc/95/58/74/526955874.db2.gz GJYOXFTXLXYHPV-UHFFFAOYSA-N 1 2 302.378 1.187 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CC[C@H](C)O3)n2C(C)C)CC1 ZINC000491254352 526956015 /nfs/dbraw/zinc/95/60/15/526956015.db2.gz SIEGDDVOCZCULL-GJZGRUSLSA-N 1 2 317.437 1.854 20 30 DDEDLO C=CCC1(C(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)CCOCC1 ZINC000424667522 527044250 /nfs/dbraw/zinc/04/42/50/527044250.db2.gz PMTVLKJQCRPECM-UHFFFAOYSA-N 1 2 318.421 1.427 20 30 DDEDLO C#CCOCCC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1F ZINC000363165290 527067597 /nfs/dbraw/zinc/06/75/97/527067597.db2.gz BMPMYPCTVCITLO-INIZCTEOSA-N 1 2 315.348 1.805 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)c1ccc(CO)c(F)c1 ZINC000331361054 527082022 /nfs/dbraw/zinc/08/20/22/527082022.db2.gz YODRKICAKMDSNA-UHFFFAOYSA-N 1 2 310.369 1.733 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1 ZINC000491338294 527303309 /nfs/dbraw/zinc/30/33/09/527303309.db2.gz HQRFSDLJFAGKNN-CVEARBPZSA-N 1 2 324.384 1.553 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1Cc1ccc(O[C@H](C)C(=O)OC)cc1 ZINC000491658213 527312146 /nfs/dbraw/zinc/31/21/46/527312146.db2.gz HGJIFMSCUFCLNF-UKRRQHHQSA-N 1 2 303.358 1.461 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1Cc1ccc(O[C@H](C)C(=O)OC)cc1 ZINC000491658213 527312155 /nfs/dbraw/zinc/31/21/55/527312155.db2.gz HGJIFMSCUFCLNF-UKRRQHHQSA-N 1 2 303.358 1.461 20 30 DDEDLO C=C[C@@H](CO)NC(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000349973469 527437795 /nfs/dbraw/zinc/43/77/95/527437795.db2.gz UWQXBGNGCWTOMC-NSHDSACASA-N 1 2 310.423 1.797 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@H+]2C[C@H](C)O[C@@H](C3CC3)C2)C1 ZINC000329903110 527543617 /nfs/dbraw/zinc/54/36/17/527543617.db2.gz PXCJGSOXRRNVHE-APHBMKBZSA-N 1 2 323.437 1.453 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@@H+]2C[C@H](C)O[C@@H](C3CC3)C2)C1 ZINC000329903110 527543622 /nfs/dbraw/zinc/54/36/22/527543622.db2.gz PXCJGSOXRRNVHE-APHBMKBZSA-N 1 2 323.437 1.453 20 30 DDEDLO CCOc1cc(C#N)ccc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000414140264 528203543 /nfs/dbraw/zinc/20/35/43/528203543.db2.gz LJJCMMUGDHLOLH-AWEZNQCLSA-N 1 2 303.362 1.542 20 30 DDEDLO CCOc1cc(C#N)ccc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414140264 528203552 /nfs/dbraw/zinc/20/35/52/528203552.db2.gz LJJCMMUGDHLOLH-AWEZNQCLSA-N 1 2 303.362 1.542 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@H+](C)CC(C)(C)C#N)CC1 ZINC000433318467 528335300 /nfs/dbraw/zinc/33/53/00/528335300.db2.gz GPBIHXNQFSKBNI-OAHLLOKOSA-N 1 2 319.453 1.160 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@@H+](C)CC(C)(C)C#N)CC1 ZINC000433318467 528335306 /nfs/dbraw/zinc/33/53/06/528335306.db2.gz GPBIHXNQFSKBNI-OAHLLOKOSA-N 1 2 319.453 1.160 20 30 DDEDLO CCNC(=O)NCc1ccc[nH+]c1N1CCC(C(N)=O)CC1 ZINC000330419007 528826786 /nfs/dbraw/zinc/82/67/86/528826786.db2.gz PAGNJKFEQOQOOB-UHFFFAOYSA-N 1 2 305.382 1.857 20 30 DDEDLO CC(C)[C@@H]1OCC[C@@H]1CNC([O-])=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330193913 528834155 /nfs/dbraw/zinc/83/41/55/528834155.db2.gz OMIDXTZBBZCRHM-NFAWXSAZSA-N 1 2 306.410 1.763 20 30 DDEDLO CC(C)[C@@H]1OCC[C@@H]1C[NH+]=C([O-])N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330193913 528834159 /nfs/dbraw/zinc/83/41/59/528834159.db2.gz OMIDXTZBBZCRHM-NFAWXSAZSA-N 1 2 306.410 1.763 20 30 DDEDLO CCN1CCN(C(=O)c2ccc(C#N)cc2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328625254 529134298 /nfs/dbraw/zinc/13/42/98/529134298.db2.gz KNIKVHJHJZFVFE-OAHLLOKOSA-N 1 2 309.373 1.800 20 30 DDEDLO COc1cc(-c2nn[nH]n2)ccc1OCC[N@H+](C)C[C@@H](C)C#N ZINC000737034028 598985087 /nfs/dbraw/zinc/98/50/87/598985087.db2.gz VQLCXFVGCYLKSR-NSHDSACASA-N 1 2 316.365 1.346 20 30 DDEDLO COc1cc(-c2nn[nH]n2)ccc1OCC[N@@H+](C)C[C@@H](C)C#N ZINC000737034028 598985089 /nfs/dbraw/zinc/98/50/89/598985089.db2.gz VQLCXFVGCYLKSR-NSHDSACASA-N 1 2 316.365 1.346 20 30 DDEDLO CC(C)Cc1nc(-c2nn[nH]n2)c(N=NC2C[N@H+](C)C[C@@H]2C)o1 ZINC000820108278 606461153 /nfs/dbraw/zinc/46/11/53/606461153.db2.gz HYMHGFLSHCFTPZ-VIFPVBQESA-N 1 2 318.385 1.403 20 30 DDEDLO CC(C)Cc1nc(-c2nn[nH]n2)c(N=NC2C[N@@H+](C)C[C@@H]2C)o1 ZINC000820108278 606461154 /nfs/dbraw/zinc/46/11/54/606461154.db2.gz HYMHGFLSHCFTPZ-VIFPVBQESA-N 1 2 318.385 1.403 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1ccc(-c2nn[nH]n2)s1 ZINC000823850489 607924022 /nfs/dbraw/zinc/92/40/22/607924022.db2.gz PCTZWCLXNRIPML-JTQLQIEISA-N 1 2 317.374 1.183 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1ccc(-c2nn[nH]n2)s1 ZINC000823850489 607924023 /nfs/dbraw/zinc/92/40/23/607924023.db2.gz PCTZWCLXNRIPML-JTQLQIEISA-N 1 2 317.374 1.183 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccs3)C2)C1 ZINC000972240389 695186452 /nfs/dbraw/zinc/18/64/52/695186452.db2.gz SZTDHUCIIVQMOQ-INIZCTEOSA-N 1 2 304.415 1.688 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccs3)C2)C1 ZINC000972240389 695186454 /nfs/dbraw/zinc/18/64/54/695186454.db2.gz SZTDHUCIIVQMOQ-INIZCTEOSA-N 1 2 304.415 1.688 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3C(C)(C)C3(C)C)C2)C1 ZINC000972321762 695215781 /nfs/dbraw/zinc/21/57/81/695215781.db2.gz XFIVJCUPPXQNAK-GOSISDBHSA-N 1 2 304.434 1.605 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3C(C)(C)C3(C)C)C2)C1 ZINC000972321762 695215785 /nfs/dbraw/zinc/21/57/85/695215785.db2.gz XFIVJCUPPXQNAK-GOSISDBHSA-N 1 2 304.434 1.605 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3nccn3CC)C2)C1 ZINC000972363369 695229331 /nfs/dbraw/zinc/22/93/31/695229331.db2.gz YDJUKPGJUYZJSA-MRXNPFEDSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3nccn3CC)C2)C1 ZINC000972363369 695229333 /nfs/dbraw/zinc/22/93/33/695229333.db2.gz YDJUKPGJUYZJSA-MRXNPFEDSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)cncc3C)C2)C1 ZINC000972463782 695256982 /nfs/dbraw/zinc/25/69/82/695256982.db2.gz XHZSMCVGQAUGEA-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)cncc3C)C2)C1 ZINC000972463782 695256984 /nfs/dbraw/zinc/25/69/84/695256984.db2.gz XHZSMCVGQAUGEA-SFHVURJKSA-N 1 2 315.417 1.801 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972472286 695258859 /nfs/dbraw/zinc/25/88/59/695258859.db2.gz UNXQXUVHNXBWNH-JENIJYKNSA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972472286 695258860 /nfs/dbraw/zinc/25/88/60/695258860.db2.gz UNXQXUVHNXBWNH-JENIJYKNSA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C)CCCC3)C2)C1 ZINC000972491085 695263777 /nfs/dbraw/zinc/26/37/77/695263777.db2.gz YRVXCVQVXWKIAB-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C)CCCC3)C2)C1 ZINC000972491085 695263779 /nfs/dbraw/zinc/26/37/79/695263779.db2.gz YRVXCVQVXWKIAB-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C(C)C)CC3)C2)C1 ZINC000972543624 695277801 /nfs/dbraw/zinc/27/78/01/695277801.db2.gz QJTPQTQJEWLZTO-KRWDZBQOSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C(C)C)CC3)C2)C1 ZINC000972543624 695277802 /nfs/dbraw/zinc/27/78/02/695277802.db2.gz QJTPQTQJEWLZTO-KRWDZBQOSA-N 1 2 304.434 1.749 20 30 DDEDLO Cc1cccn2cc(CC(=O)N3CC[C@@H](N(C)CC#N)C3)[nH+]c12 ZINC000972547164 695278686 /nfs/dbraw/zinc/27/86/86/695278686.db2.gz MRGUXFFXNHBMMY-OAHLLOKOSA-N 1 2 311.389 1.242 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(OC)cc3)C2)C1 ZINC000972651941 695309468 /nfs/dbraw/zinc/30/94/68/695309468.db2.gz ZIMUDGSZXIHOBZ-GOSISDBHSA-N 1 2 314.385 1.245 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(OC)cc3)C2)C1 ZINC000972651941 695309471 /nfs/dbraw/zinc/30/94/71/695309471.db2.gz ZIMUDGSZXIHOBZ-GOSISDBHSA-N 1 2 314.385 1.245 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2snnc2C2CC2)C(C)(C)C1 ZINC000974709188 695716225 /nfs/dbraw/zinc/71/62/25/695716225.db2.gz HHFFKGURGSHHJL-GFCCVEGCSA-N 1 2 318.446 1.879 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2snnc2C2CC2)C(C)(C)C1 ZINC000974709188 695716226 /nfs/dbraw/zinc/71/62/26/695716226.db2.gz HHFFKGURGSHHJL-GFCCVEGCSA-N 1 2 318.446 1.879 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CN(C(=O)Cc3[nH]cc[nH+]3)[C@@H]2C1 ZINC000974913867 695758979 /nfs/dbraw/zinc/75/89/79/695758979.db2.gz UJBOPIUGAZBQFS-CHWSQXEVSA-N 1 2 316.405 1.224 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1C[N@@H+](CCF)CC1(C)C ZINC000977515859 696196381 /nfs/dbraw/zinc/19/63/81/696196381.db2.gz RKSFDRZIFZOZCA-CQSZACIVSA-N 1 2 319.380 1.503 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@@H]1C[N@H+](CCF)CC1(C)C ZINC000977515859 696196384 /nfs/dbraw/zinc/19/63/84/696196384.db2.gz RKSFDRZIFZOZCA-CQSZACIVSA-N 1 2 319.380 1.503 20 30 DDEDLO C[C@@H](c1ccc([S@@](C)=O)cc1)[N@H+](C)CC(=O)NCCC#N ZINC000747533295 700074843 /nfs/dbraw/zinc/07/48/43/700074843.db2.gz WVQXRINYOAQSSY-LAJNKCICSA-N 1 2 307.419 1.447 20 30 DDEDLO C[C@@H](c1ccc([S@@](C)=O)cc1)[N@@H+](C)CC(=O)NCCC#N ZINC000747533295 700074844 /nfs/dbraw/zinc/07/48/44/700074844.db2.gz WVQXRINYOAQSSY-LAJNKCICSA-N 1 2 307.419 1.447 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)Nc1ccc(F)cc1F ZINC000092916541 696598100 /nfs/dbraw/zinc/59/81/00/696598100.db2.gz KNSLNEYZEIPHBL-UHFFFAOYSA-N 1 2 320.299 1.830 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cn(C)nc2C(F)(F)F)CC1 ZINC000127876263 696774672 /nfs/dbraw/zinc/77/46/72/696774672.db2.gz NXNBJVQBLQKAPN-UHFFFAOYSA-N 1 2 314.311 1.220 20 30 DDEDLO Cc1nnc(-c2cccc(C#N)c2)n1C[NH+]1CCN(C2CC2)CC1 ZINC000131110824 696804210 /nfs/dbraw/zinc/80/42/10/696804210.db2.gz LRNWMVSRFRJXIA-UHFFFAOYSA-N 1 2 322.416 1.863 20 30 DDEDLO Cn1cc(C(=O)N2CCC[N@H+](Cc3ccccc3C#N)CC2)cn1 ZINC000980685740 696845809 /nfs/dbraw/zinc/84/58/09/696845809.db2.gz BHBWHXOEISUZAC-UHFFFAOYSA-N 1 2 323.400 1.640 20 30 DDEDLO Cn1cc(C(=O)N2CCC[N@@H+](Cc3ccccc3C#N)CC2)cn1 ZINC000980685740 696845813 /nfs/dbraw/zinc/84/58/13/696845813.db2.gz BHBWHXOEISUZAC-UHFFFAOYSA-N 1 2 323.400 1.640 20 30 DDEDLO O=C(Cn1cccn1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980709011 696854470 /nfs/dbraw/zinc/85/44/70/696854470.db2.gz BFGVXXSSSDUXHP-UHFFFAOYSA-N 1 2 322.412 1.469 20 30 DDEDLO O=C(Cn1cccn1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980709011 696854473 /nfs/dbraw/zinc/85/44/73/696854473.db2.gz BFGVXXSSSDUXHP-UHFFFAOYSA-N 1 2 322.412 1.469 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)c3cc[nH]n3)CC2)s1 ZINC000980743089 696869979 /nfs/dbraw/zinc/86/99/79/696869979.db2.gz FBYJKIXUMJLBSI-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)c3cc[nH]n3)CC2)s1 ZINC000980743089 696869981 /nfs/dbraw/zinc/86/99/81/696869981.db2.gz FBYJKIXUMJLBSI-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)c3ccn[nH]3)CC2)s1 ZINC000980743089 696869985 /nfs/dbraw/zinc/86/99/85/696869985.db2.gz FBYJKIXUMJLBSI-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)c3ccn[nH]3)CC2)s1 ZINC000980743089 696869988 /nfs/dbraw/zinc/86/99/88/696869988.db2.gz FBYJKIXUMJLBSI-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[N@H+](Cc3cncs3)CC2)[nH]1 ZINC000980991434 696973604 /nfs/dbraw/zinc/97/36/04/696973604.db2.gz KWJPXMGFQXIRCS-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3cncs3)CC2)[nH]1 ZINC000980991434 696973606 /nfs/dbraw/zinc/97/36/06/696973606.db2.gz KWJPXMGFQXIRCS-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC[N@H+](Cc3ccns3)CC2)c[nH]1 ZINC000981006327 696977865 /nfs/dbraw/zinc/97/78/65/696977865.db2.gz XMGDYQDARUOEQA-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC[N@@H+](Cc3ccns3)CC2)c[nH]1 ZINC000981006327 696977866 /nfs/dbraw/zinc/97/78/66/696977866.db2.gz XMGDYQDARUOEQA-UHFFFAOYSA-N 1 2 315.402 1.691 20 30 DDEDLO N#CCN[C@H]1CCC[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)C1 ZINC000981103893 697005666 /nfs/dbraw/zinc/00/56/66/697005666.db2.gz WPSINLVQDJSOEB-UONOGXRCSA-N 1 2 315.421 1.302 20 30 DDEDLO C#CCNC(=O)c1ccccc1NC(=O)CCCn1cc[nH+]c1 ZINC000748623881 700129442 /nfs/dbraw/zinc/12/94/42/700129442.db2.gz ORCCVJIHALSRDY-UHFFFAOYSA-N 1 2 310.357 1.665 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@]2(C)C[N@H+](Cc3ncccn3)C[C@]2(C)C1 ZINC000982236048 697069319 /nfs/dbraw/zinc/06/93/19/697069319.db2.gz BZHLAFJBQCAJLG-XYPHTWIQSA-N 1 2 313.405 1.307 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@]2(C)C[N@@H+](Cc3ncccn3)C[C@]2(C)C1 ZINC000982236048 697069322 /nfs/dbraw/zinc/06/93/22/697069322.db2.gz BZHLAFJBQCAJLG-XYPHTWIQSA-N 1 2 313.405 1.307 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC([N@H+](C)Cc2nn(C)cc2Cl)CC1 ZINC000985338940 697493820 /nfs/dbraw/zinc/49/38/20/697493820.db2.gz NVZIMNREDXULOZ-LLVKDONJSA-N 1 2 323.828 1.656 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC([N@@H+](C)Cc2nn(C)cc2Cl)CC1 ZINC000985338940 697493821 /nfs/dbraw/zinc/49/38/21/697493821.db2.gz NVZIMNREDXULOZ-LLVKDONJSA-N 1 2 323.828 1.656 20 30 DDEDLO COc1cc(C[N@H+](C)CC(C)(C)S(C)(=O)=O)ccc1C#N ZINC000799733258 700163542 /nfs/dbraw/zinc/16/35/42/700163542.db2.gz GBLSIMKJUMGLQS-UHFFFAOYSA-N 1 2 310.419 1.822 20 30 DDEDLO COc1cc(C[N@@H+](C)CC(C)(C)S(C)(=O)=O)ccc1C#N ZINC000799733258 700163543 /nfs/dbraw/zinc/16/35/43/700163543.db2.gz GBLSIMKJUMGLQS-UHFFFAOYSA-N 1 2 310.419 1.822 20 30 DDEDLO C#C[C@@H](NC(=O)NCCCNc1cccc[nH+]1)[C@H]1CCCO1 ZINC000773312408 697742623 /nfs/dbraw/zinc/74/26/23/697742623.db2.gz VZNYBZQXZALEDW-ZIAGYGMSSA-N 1 2 302.378 1.364 20 30 DDEDLO N#CCOc1ccc(C[NH+]2CCN([C@@H]3CCOC3)CC2)cc1 ZINC000773484556 697766979 /nfs/dbraw/zinc/76/69/79/697766979.db2.gz WGXVIMRHKONUHY-MRXNPFEDSA-N 1 2 301.390 1.495 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[C@H](c2[nH+]ccn2C)C1)[C@H]1CCCO1 ZINC000773545119 697776557 /nfs/dbraw/zinc/77/65/57/697776557.db2.gz BBJYHGCFHTXBCU-MELADBBJSA-N 1 2 302.378 1.100 20 30 DDEDLO N#Cc1ccc(CNC(=O)NCCCNc2cccc[nH+]2)nc1 ZINC000780295716 698504258 /nfs/dbraw/zinc/50/42/58/698504258.db2.gz AJQNIIMGPQOHAQ-UHFFFAOYSA-N 1 2 310.361 1.650 20 30 DDEDLO N#Cc1ccc(CNC(=O)NCc2ccn3cc[nH+]c3c2)nc1 ZINC000780542199 698529659 /nfs/dbraw/zinc/52/96/59/698529659.db2.gz LPNYKPRYCPIVIS-UHFFFAOYSA-N 1 2 306.329 1.600 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1CCC[N@@H+](CCF)CC1 ZINC000989452228 698627175 /nfs/dbraw/zinc/62/71/75/698627175.db2.gz UEQKQHAEBLWZSM-UHFFFAOYSA-N 1 2 307.369 1.764 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1CCC[N@H+](CCF)CC1 ZINC000989452228 698627177 /nfs/dbraw/zinc/62/71/77/698627177.db2.gz UEQKQHAEBLWZSM-UHFFFAOYSA-N 1 2 307.369 1.764 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3cc(C)no3)CC2)nc1 ZINC000989505758 698649160 /nfs/dbraw/zinc/64/91/60/698649160.db2.gz VQLYMPLESMGOSC-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3cc(C)no3)CC2)nc1 ZINC000989505758 698649161 /nfs/dbraw/zinc/64/91/61/698649161.db2.gz VQLYMPLESMGOSC-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+](C)CC1(O)CCOCC1 ZINC000781967720 698667511 /nfs/dbraw/zinc/66/75/11/698667511.db2.gz FQBXQZWJKAGPHF-OAHLLOKOSA-N 1 2 318.373 1.246 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+](C)CC1(O)CCOCC1 ZINC000781967720 698667513 /nfs/dbraw/zinc/66/75/13/698667513.db2.gz FQBXQZWJKAGPHF-OAHLLOKOSA-N 1 2 318.373 1.246 20 30 DDEDLO C[C@H](C(=O)NCCC#N)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000427648276 699156745 /nfs/dbraw/zinc/15/67/45/699156745.db2.gz OYTGVIRXWYXTTL-CYBMUJFWSA-N 1 2 304.369 1.366 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)Cc2ccc(-n3cccc3)cc2)CC1 ZINC000725906113 699340054 /nfs/dbraw/zinc/34/00/54/699340054.db2.gz TXEVHYFMLSFOLO-UHFFFAOYSA-N 1 2 307.397 1.797 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[N@@H+]2C[C@@H](C)O[C@@H](C)C2)cn1 ZINC000790124683 699443895 /nfs/dbraw/zinc/44/38/95/699443895.db2.gz BFCWLHWDGOFTCF-OKILXGFUSA-N 1 2 301.390 1.244 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)CC[N@H+]2C[C@@H](C)O[C@@H](C)C2)cn1 ZINC000790124683 699443896 /nfs/dbraw/zinc/44/38/96/699443896.db2.gz BFCWLHWDGOFTCF-OKILXGFUSA-N 1 2 301.390 1.244 20 30 DDEDLO NC(=[NH+]OCCC1CS(=O)(=O)C1)c1ccc(Cl)cc1 ZINC000790433503 699459308 /nfs/dbraw/zinc/45/93/08/699459308.db2.gz UYBKNCXNHODXRJ-UHFFFAOYSA-N 1 2 302.783 1.412 20 30 DDEDLO C=CCNC(=S)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000731952377 699542974 /nfs/dbraw/zinc/54/29/74/699542974.db2.gz UWECPQWXWUJZHT-INIZCTEOSA-N 1 2 319.474 1.580 20 30 DDEDLO C=CC[N@@H+](CCOC)Cc1ccc(S(=O)(=O)N(C)C)o1 ZINC000792405827 699695848 /nfs/dbraw/zinc/69/58/48/699695848.db2.gz FPCJGSYSWDYXMZ-UHFFFAOYSA-N 1 2 302.396 1.164 20 30 DDEDLO C=CC[N@H+](CCOC)Cc1ccc(S(=O)(=O)N(C)C)o1 ZINC000792405827 699695849 /nfs/dbraw/zinc/69/58/49/699695849.db2.gz FPCJGSYSWDYXMZ-UHFFFAOYSA-N 1 2 302.396 1.164 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[NH2+][C@H](C)c1nnnn1C1CC1 ZINC000792470326 699696810 /nfs/dbraw/zinc/69/68/10/699696810.db2.gz QVHCTJALBQVQJD-JRPNMDOOSA-N 1 2 319.413 1.102 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cc(OC)cc(-n2cccn2)c1 ZINC000792629246 699705486 /nfs/dbraw/zinc/70/54/86/699705486.db2.gz GRQNAXMDIKQBJX-KRWDZBQOSA-N 1 2 324.384 1.917 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cc(OC)cc(-n2cccn2)c1 ZINC000792629246 699705489 /nfs/dbraw/zinc/70/54/89/699705489.db2.gz GRQNAXMDIKQBJX-KRWDZBQOSA-N 1 2 324.384 1.917 20 30 DDEDLO C[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1cccc(C#N)c1 ZINC000741146427 699817106 /nfs/dbraw/zinc/81/71/06/699817106.db2.gz JPVHGNCUICPEBW-AWEZNQCLSA-N 1 2 301.346 1.524 20 30 DDEDLO C[N@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1cccc(C#N)c1 ZINC000741146427 699817109 /nfs/dbraw/zinc/81/71/09/699817109.db2.gz JPVHGNCUICPEBW-AWEZNQCLSA-N 1 2 301.346 1.524 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CC[C@H]([C@H]3CCOC3)C2)c1=S ZINC000794633793 699820198 /nfs/dbraw/zinc/82/01/98/699820198.db2.gz MVNASKUWTUUTNV-RYUDHWBXSA-N 1 2 307.423 1.333 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CC[C@H]([C@H]3CCOC3)C2)c1=S ZINC000794633793 699820201 /nfs/dbraw/zinc/82/02/01/699820201.db2.gz MVNASKUWTUUTNV-RYUDHWBXSA-N 1 2 307.423 1.333 20 30 DDEDLO N#CCOc1ccc(C[N@@H+]2CCOC[C@H]2[C@@H]2CCCO2)cc1 ZINC000796340768 699927776 /nfs/dbraw/zinc/92/77/76/699927776.db2.gz MELJIRNIYGEHGW-IRXDYDNUSA-N 1 2 302.374 1.969 20 30 DDEDLO N#CCOc1ccc(C[N@H+]2CCOC[C@H]2[C@@H]2CCCO2)cc1 ZINC000796340768 699927777 /nfs/dbraw/zinc/92/77/77/699927777.db2.gz MELJIRNIYGEHGW-IRXDYDNUSA-N 1 2 302.374 1.969 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[NH+]2CCC(c3nnc[nH]3)CC2)cc1 ZINC000751501725 700295562 /nfs/dbraw/zinc/29/55/62/700295562.db2.gz YZRJGOBTSDGIGH-CQSZACIVSA-N 1 2 310.361 1.082 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)/C=C(/C)c2ccc([N+](=O)[O-])cc2)CC1 ZINC000752349045 700357792 /nfs/dbraw/zinc/35/77/92/700357792.db2.gz ICIGBNBMOQWMKO-YPKPFQOOSA-N 1 2 313.357 1.776 20 30 DDEDLO CN1CCc2cc(C=[NH+]Nc3nc4c(cnn4C)c(=O)[nH]3)ccc21 ZINC000752732120 700382840 /nfs/dbraw/zinc/38/28/40/700382840.db2.gz BZRHVHUOIRWURS-UHFFFAOYSA-N 1 2 323.360 1.507 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1COC(=O)c1ccc([S@](C)=O)cc1 ZINC000756540598 700626429 /nfs/dbraw/zinc/62/64/29/700626429.db2.gz BNTBMZYFSWSPKU-QKKBWIMNSA-N 1 2 305.399 1.678 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1COC(=O)c1ccc([S@](C)=O)cc1 ZINC000756540598 700626431 /nfs/dbraw/zinc/62/64/31/700626431.db2.gz BNTBMZYFSWSPKU-QKKBWIMNSA-N 1 2 305.399 1.678 20 30 DDEDLO CC(C)S(=O)(=O)NN=C1C[C@H](C)[N@H+](Cc2ccccc2)C1 ZINC000757577022 700664514 /nfs/dbraw/zinc/66/45/14/700664514.db2.gz XRBPVQFHFBCSPE-ZDUSSCGKSA-N 1 2 309.435 1.965 20 30 DDEDLO CC(C)S(=O)(=O)NN=C1C[C@H](C)[N@@H+](Cc2ccccc2)C1 ZINC000757577022 700664516 /nfs/dbraw/zinc/66/45/16/700664516.db2.gz XRBPVQFHFBCSPE-ZDUSSCGKSA-N 1 2 309.435 1.965 20 30 DDEDLO N#Cc1cccn(C[NH+]2CCC(OC[C@@H]3CCCO3)CC2)c1=O ZINC000758234813 700692548 /nfs/dbraw/zinc/69/25/48/700692548.db2.gz FQSGSQYGPASKNI-INIZCTEOSA-N 1 2 317.389 1.337 20 30 DDEDLO CON=CC(=O)N1CC[NH+](Cc2cc(C)ccc2OC)CC1 ZINC000809549285 701668240 /nfs/dbraw/zinc/66/82/40/701668240.db2.gz UPMLMSVBRLGUMY-UHFFFAOYSA-N 1 2 305.378 1.280 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)[C@H]1C ZINC000766634025 701057741 /nfs/dbraw/zinc/05/77/41/701057741.db2.gz KHBGCJUBZXUQRO-AAEUAGOBSA-N 1 2 315.373 1.050 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)[C@H]1C ZINC000766634025 701057742 /nfs/dbraw/zinc/05/77/42/701057742.db2.gz KHBGCJUBZXUQRO-AAEUAGOBSA-N 1 2 315.373 1.050 20 30 DDEDLO Cc1nn(CCC#N)c(C)c1CCC(=O)OCCn1cc[nH+]c1 ZINC000771920206 701337033 /nfs/dbraw/zinc/33/70/33/701337033.db2.gz GRGSYCVPLXYQLO-UHFFFAOYSA-N 1 2 315.377 1.786 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccn(Cc3ccccc3)n2)CC1 ZINC000771965442 701338699 /nfs/dbraw/zinc/33/86/99/701338699.db2.gz FWMZUSZSLPIKCX-UHFFFAOYSA-N 1 2 322.412 1.713 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@H](OC)C[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000879154115 706600493 /nfs/dbraw/zinc/60/04/93/706600493.db2.gz MFIORSHVEWDGCZ-DOTOQJQBSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@H](OC)C[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000879154115 706600496 /nfs/dbraw/zinc/60/04/96/706600496.db2.gz MFIORSHVEWDGCZ-DOTOQJQBSA-N 1 2 318.373 1.741 20 30 DDEDLO C=CC[N@@H+](CCS(=O)(=O)CC(=O)OC(C)(C)C)C(C)C ZINC000808710584 701532502 /nfs/dbraw/zinc/53/25/02/701532502.db2.gz LLDXVOBBNJVYPX-UHFFFAOYSA-N 1 2 305.440 1.639 20 30 DDEDLO C=CC[N@H+](CCS(=O)(=O)CC(=O)OC(C)(C)C)C(C)C ZINC000808710584 701532503 /nfs/dbraw/zinc/53/25/03/701532503.db2.gz LLDXVOBBNJVYPX-UHFFFAOYSA-N 1 2 305.440 1.639 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)c1[nH]c(C)c(C(=O)OC)c1C)[C@@H]1CCCO1 ZINC000839565487 701738270 /nfs/dbraw/zinc/73/82/70/701738270.db2.gz XKKKYAFZILGOOH-OCCSQVGLSA-N 1 2 318.373 1.371 20 30 DDEDLO CCOC(=O)c1ccc(N[NH+]=Cc2cnn(C)c2N)cc1C ZINC000814935335 701773330 /nfs/dbraw/zinc/77/33/30/701773330.db2.gz PUZSDDWUGVVBOA-UHFFFAOYSA-N 1 2 301.350 1.933 20 30 DDEDLO C#CCOc1cc(F)ccc1NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000866076345 706612116 /nfs/dbraw/zinc/61/21/16/706612116.db2.gz ORSDRLCRBVTOCV-ONEGZZNKSA-N 1 2 318.348 1.665 20 30 DDEDLO C=CCc1cc(OCC)cc(C[N@H+]2CC(=O)N[C@H](C)C2)c1O ZINC000840135062 701989078 /nfs/dbraw/zinc/98/90/78/701989078.db2.gz QRQLEAUBVRZRDP-GFCCVEGCSA-N 1 2 304.390 1.840 20 30 DDEDLO C=CCc1cc(OCC)cc(C[N@@H+]2CC(=O)N[C@H](C)C2)c1O ZINC000840135062 701989082 /nfs/dbraw/zinc/98/90/82/701989082.db2.gz QRQLEAUBVRZRDP-GFCCVEGCSA-N 1 2 304.390 1.840 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)[C@@H]1CCCN1c1ccc(C#N)cc1 ZINC000811589191 702014122 /nfs/dbraw/zinc/01/41/22/702014122.db2.gz OCPHCRLVGRYJNB-INIZCTEOSA-N 1 2 309.373 1.577 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)[C@H]1CCCN1c1ccc(C#N)cc1 ZINC000811589261 702014668 /nfs/dbraw/zinc/01/46/68/702014668.db2.gz OCPHCRLVGRYJNB-MRXNPFEDSA-N 1 2 309.373 1.577 20 30 DDEDLO C#CCCNC(=O)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000868253893 702024574 /nfs/dbraw/zinc/02/45/74/702024574.db2.gz YOWSVPSGLTYXTG-QGZVFWFLSA-N 1 2 315.417 1.518 20 30 DDEDLO C#CCCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000868253893 702024581 /nfs/dbraw/zinc/02/45/81/702024581.db2.gz YOWSVPSGLTYXTG-QGZVFWFLSA-N 1 2 315.417 1.518 20 30 DDEDLO COc1ccc(CNc2cc(NC[C@H](C)O)[nH+]cn2)cc1C#N ZINC000840276954 702053045 /nfs/dbraw/zinc/05/30/45/702053045.db2.gz OWEAGYVCVBXBGY-NSHDSACASA-N 1 2 313.361 1.762 20 30 DDEDLO COc1ccc(CNc2cc(NC[C@H](C)O)nc[nH+]2)cc1C#N ZINC000840276954 702053053 /nfs/dbraw/zinc/05/30/53/702053053.db2.gz OWEAGYVCVBXBGY-NSHDSACASA-N 1 2 313.361 1.762 20 30 DDEDLO Cc1cnn([C@H]2CC[N@H+](Cn3cc4c(c(C#N)c3=O)CCC4)C2)c1 ZINC000811800204 702062512 /nfs/dbraw/zinc/06/25/12/702062512.db2.gz MDDHBCCACFYJLG-HNNXBMFYSA-N 1 2 323.400 1.618 20 30 DDEDLO Cc1cnn([C@H]2CC[N@@H+](Cn3cc4c(c(C#N)c3=O)CCC4)C2)c1 ZINC000811800204 702062520 /nfs/dbraw/zinc/06/25/20/702062520.db2.gz MDDHBCCACFYJLG-HNNXBMFYSA-N 1 2 323.400 1.618 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000868515589 702172395 /nfs/dbraw/zinc/17/23/95/702172395.db2.gz ZYIVGTLBBJPQQM-RDJZCZTQSA-N 1 2 315.417 1.517 20 30 DDEDLO C#C[C@H](C)NC(=O)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000868515589 702172398 /nfs/dbraw/zinc/17/23/98/702172398.db2.gz ZYIVGTLBBJPQQM-RDJZCZTQSA-N 1 2 315.417 1.517 20 30 DDEDLO C[C@H]([NH2+]C[C@H]1CC[C@@H](C(=O)N(C)C)O1)c1cccc(C#N)c1O ZINC000866316507 706671846 /nfs/dbraw/zinc/67/18/46/706671846.db2.gz ZSGKSDLAMZQPCY-LNSITVRQSA-N 1 2 317.389 1.550 20 30 DDEDLO CC(=NNC1=[NH+]CCN1)c1cnn(-c2ccc(F)cc2)c1C ZINC000841658476 702521263 /nfs/dbraw/zinc/52/12/63/702521263.db2.gz CHPZHRTYAZUNEM-UHFFFAOYSA-N 1 2 300.341 1.593 20 30 DDEDLO C[C@H]([NH2+]CC1(S(C)(=O)=O)CCC1)c1cccc(C#N)c1O ZINC000866351592 706679255 /nfs/dbraw/zinc/67/92/55/706679255.db2.gz QBPLYCLLSYHHSK-NSHDSACASA-N 1 2 308.403 1.882 20 30 DDEDLO Cn1nc(C2CC2)nc1CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000842649937 702750019 /nfs/dbraw/zinc/75/00/19/702750019.db2.gz VSKQDEVWAANYDK-UHFFFAOYSA-N 1 2 313.361 1.465 20 30 DDEDLO C=CC[N@@H+](CC(=O)[O-])Cc1cccc(C[NH+]2CCOCC2)c1 ZINC000846262935 703257583 /nfs/dbraw/zinc/25/75/83/703257583.db2.gz OBDCDPADXNDSAO-UHFFFAOYSA-N 1 2 304.390 1.591 20 30 DDEDLO C=CC[N@H+](CC(=O)[O-])Cc1cccc(C[NH+]2CCOCC2)c1 ZINC000846262935 703257585 /nfs/dbraw/zinc/25/75/85/703257585.db2.gz OBDCDPADXNDSAO-UHFFFAOYSA-N 1 2 304.390 1.591 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000846339925 703267147 /nfs/dbraw/zinc/26/71/47/703267147.db2.gz FNEDDWXJANHYMI-HOTGVXAUSA-N 1 2 324.384 1.509 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000846658465 703309662 /nfs/dbraw/zinc/30/96/62/703309662.db2.gz DIDVRQPOMICPKU-RDJZCZTQSA-N 1 2 324.384 1.509 20 30 DDEDLO C[C@@H]1CN(c2cccc(Cl)c2)CC[N@@H+]1CC(=O)NCCC#N ZINC000846922005 703352378 /nfs/dbraw/zinc/35/23/78/703352378.db2.gz BMERUATYNJUHDA-CYBMUJFWSA-N 1 2 320.824 1.880 20 30 DDEDLO C[C@@H]1CN(c2cccc(Cl)c2)CC[N@H+]1CC(=O)NCCC#N ZINC000846922005 703352380 /nfs/dbraw/zinc/35/23/80/703352380.db2.gz BMERUATYNJUHDA-CYBMUJFWSA-N 1 2 320.824 1.880 20 30 DDEDLO CC1(C)OC[C@@H](CC[N@H+](CCC#N)CCN2CCOCC2)O1 ZINC000851798898 703859631 /nfs/dbraw/zinc/85/96/31/703859631.db2.gz UHWDLWRRCJVCGZ-OAHLLOKOSA-N 1 2 311.426 1.076 20 30 DDEDLO CC1(C)OC[C@@H](CC[N@@H+](CCC#N)CCN2CCOCC2)O1 ZINC000851798898 703859632 /nfs/dbraw/zinc/85/96/32/703859632.db2.gz UHWDLWRRCJVCGZ-OAHLLOKOSA-N 1 2 311.426 1.076 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc(N(C)C)nc2)CC1 ZINC000852136669 703940475 /nfs/dbraw/zinc/94/04/75/703940475.db2.gz NVTAXKRJZCZRIZ-UHFFFAOYSA-N 1 2 302.378 1.426 20 30 DDEDLO COC(=O)N(C)CC[N@H+](C)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000852567766 704076662 /nfs/dbraw/zinc/07/66/62/704076662.db2.gz UFHRVJOXEYONQJ-UHFFFAOYSA-N 1 2 306.322 1.596 20 30 DDEDLO COC(=O)N(C)CC[N@@H+](C)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000852567766 704076663 /nfs/dbraw/zinc/07/66/63/704076663.db2.gz UFHRVJOXEYONQJ-UHFFFAOYSA-N 1 2 306.322 1.596 20 30 DDEDLO CC[C@@H]([NH2+]CC(=O)NCCC#N)c1nnc2n1CCCCC2 ZINC000852629041 704086793 /nfs/dbraw/zinc/08/67/93/704086793.db2.gz SQTCJFAVLYXCBM-GFCCVEGCSA-N 1 2 304.398 1.075 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[C@@H](c2[nH+]ccn2C)C1)C1CCOCC1 ZINC000852643693 704091225 /nfs/dbraw/zinc/09/12/25/704091225.db2.gz YFSLLRGMQCPGQC-CABCVRRESA-N 1 2 316.405 1.347 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1CC[NH+](CCS(C)(=O)=O)CC1 ZINC000819265430 704097262 /nfs/dbraw/zinc/09/72/62/704097262.db2.gz PAWXTXAYTMEONZ-UHFFFAOYSA-N 1 2 316.467 1.214 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000852751622 704114648 /nfs/dbraw/zinc/11/46/48/704114648.db2.gz IZWKBIFQJAJDPR-UHFFFAOYSA-N 1 2 303.362 1.678 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000852751622 704114649 /nfs/dbraw/zinc/11/46/49/704114649.db2.gz IZWKBIFQJAJDPR-UHFFFAOYSA-N 1 2 303.362 1.678 20 30 DDEDLO CC(=O)Nc1ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc1C ZINC000819401875 704120731 /nfs/dbraw/zinc/12/07/31/704120731.db2.gz OIVGCTYMBJXRNS-HNNXBMFYSA-N 1 2 304.394 1.572 20 30 DDEDLO CC(=O)Nc1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc1C ZINC000819401875 704120733 /nfs/dbraw/zinc/12/07/33/704120733.db2.gz OIVGCTYMBJXRNS-HNNXBMFYSA-N 1 2 304.394 1.572 20 30 DDEDLO Cn1cc([C@@H]2N(C[N@@H+]3CCC[C@@H](CC#N)C3)C(=O)C2(C)C)cn1 ZINC000853525169 704259818 /nfs/dbraw/zinc/25/98/18/704259818.db2.gz UGKKLWNZJJEBRD-ZFWWWQNUSA-N 1 2 315.421 1.913 20 30 DDEDLO Cn1cc([C@@H]2N(C[N@H+]3CCC[C@@H](CC#N)C3)C(=O)C2(C)C)cn1 ZINC000853525169 704259819 /nfs/dbraw/zinc/25/98/19/704259819.db2.gz UGKKLWNZJJEBRD-ZFWWWQNUSA-N 1 2 315.421 1.913 20 30 DDEDLO C=CC[N@H+](CN1C(=O)C(=O)N(C2CCCC2)C1=O)[C@@H](C)COC ZINC000853533111 704261337 /nfs/dbraw/zinc/26/13/37/704261337.db2.gz UYMDFWDTOKTZDT-LBPRGKRZSA-N 1 2 323.393 1.200 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)C(=O)N(C2CCCC2)C1=O)[C@@H](C)COC ZINC000853533111 704261338 /nfs/dbraw/zinc/26/13/38/704261338.db2.gz UYMDFWDTOKTZDT-LBPRGKRZSA-N 1 2 323.393 1.200 20 30 DDEDLO C=C(Cl)CON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000853657647 704294347 /nfs/dbraw/zinc/29/43/47/704294347.db2.gz GSNXWDWAZAWXDO-UHFFFAOYSA-N 1 2 309.797 1.908 20 30 DDEDLO C#CCN1C(=O)C[C@@H]([N@@H+]2CC[C@@H](c3c(F)cccc3F)C2)C1=O ZINC000821771629 704440992 /nfs/dbraw/zinc/44/09/92/704440992.db2.gz IHDXPSUZZWQSAO-BXUZGUMPSA-N 1 2 318.323 1.515 20 30 DDEDLO C#CCN1C(=O)C[C@@H]([N@H+]2CC[C@@H](c3c(F)cccc3F)C2)C1=O ZINC000821771629 704440994 /nfs/dbraw/zinc/44/09/94/704440994.db2.gz IHDXPSUZZWQSAO-BXUZGUMPSA-N 1 2 318.323 1.515 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccccc2OCC#N)[C@@H](C)CO1 ZINC000855413647 704484159 /nfs/dbraw/zinc/48/41/59/704484159.db2.gz OQINFVOEFVTKKI-UONOGXRCSA-N 1 2 317.389 1.428 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccccc2OCC#N)[C@@H](C)CO1 ZINC000855413647 704484160 /nfs/dbraw/zinc/48/41/60/704484160.db2.gz OQINFVOEFVTKKI-UONOGXRCSA-N 1 2 317.389 1.428 20 30 DDEDLO C#CCC[N@@H+](CCOC)CCS(=O)(=O)C1CCCCC1 ZINC000856762813 704537402 /nfs/dbraw/zinc/53/74/02/704537402.db2.gz XBXBSRLEPYXEBO-UHFFFAOYSA-N 1 2 301.452 1.706 20 30 DDEDLO C#CCC[N@H+](CCOC)CCS(=O)(=O)C1CCCCC1 ZINC000856762813 704537403 /nfs/dbraw/zinc/53/74/03/704537403.db2.gz XBXBSRLEPYXEBO-UHFFFAOYSA-N 1 2 301.452 1.706 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000879938607 706826418 /nfs/dbraw/zinc/82/64/18/706826418.db2.gz DUISAJNDPBDTET-CABCVRRESA-N 1 2 320.414 1.433 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000879938607 706826420 /nfs/dbraw/zinc/82/64/20/706826420.db2.gz DUISAJNDPBDTET-CABCVRRESA-N 1 2 320.414 1.433 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000859222926 704827327 /nfs/dbraw/zinc/82/73/27/704827327.db2.gz PBKKASNOOZCLLD-HUUCEWRRSA-N 1 2 312.373 1.858 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[N@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000859222926 704827331 /nfs/dbraw/zinc/82/73/31/704827331.db2.gz PBKKASNOOZCLLD-HUUCEWRRSA-N 1 2 312.373 1.858 20 30 DDEDLO CN(C[C@@H](O)C[N@@H+]1CCC[C@@](C)(C#N)C1)C(=O)OC(C)(C)C ZINC000822842200 705056660 /nfs/dbraw/zinc/05/66/60/705056660.db2.gz XTEUJBCRSGLOGZ-CJNGLKHVSA-N 1 2 311.426 1.840 20 30 DDEDLO CN(C[C@@H](O)C[N@H+]1CCC[C@@](C)(C#N)C1)C(=O)OC(C)(C)C ZINC000822842200 705056666 /nfs/dbraw/zinc/05/66/66/705056666.db2.gz XTEUJBCRSGLOGZ-CJNGLKHVSA-N 1 2 311.426 1.840 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@H+]2CCOC[C@H]2CC)cc1 ZINC000874702239 705135163 /nfs/dbraw/zinc/13/51/63/705135163.db2.gz JCIIVOUAHFKJTE-MRXNPFEDSA-N 1 2 316.401 1.539 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@@H+]2CCOC[C@H]2CC)cc1 ZINC000874702239 705135165 /nfs/dbraw/zinc/13/51/65/705135165.db2.gz JCIIVOUAHFKJTE-MRXNPFEDSA-N 1 2 316.401 1.539 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)[C@]1(C#N)CC12CCCC2 ZINC000874712146 705146735 /nfs/dbraw/zinc/14/67/35/705146735.db2.gz URLVFEKJNXWFSH-RHSMWYFYSA-N 1 2 305.422 1.687 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)[C@]1(C#N)CC12CCCC2 ZINC000874712146 705146739 /nfs/dbraw/zinc/14/67/39/705146739.db2.gz URLVFEKJNXWFSH-RHSMWYFYSA-N 1 2 305.422 1.687 20 30 DDEDLO C=CCONC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000875649728 705462874 /nfs/dbraw/zinc/46/28/74/705462874.db2.gz VUBFAJMTBCAKKL-UHFFFAOYSA-N 1 2 308.407 1.552 20 30 DDEDLO C#CCCN(CCOC)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC000876203844 705655624 /nfs/dbraw/zinc/65/56/24/705655624.db2.gz HKVXDLOHXLXGEM-QGZVFWFLSA-N 1 2 315.417 1.854 20 30 DDEDLO C#CCCN(CCOC)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC000876203844 705655628 /nfs/dbraw/zinc/65/56/28/705655628.db2.gz HKVXDLOHXLXGEM-QGZVFWFLSA-N 1 2 315.417 1.854 20 30 DDEDLO C#CC1CCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)CC1 ZINC000826714766 705855523 /nfs/dbraw/zinc/85/55/23/705855523.db2.gz JCPMRJCFWSGOCU-HNNXBMFYSA-N 1 2 300.406 1.535 20 30 DDEDLO C#CC1CCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)CC1 ZINC000826714766 705855525 /nfs/dbraw/zinc/85/55/25/705855525.db2.gz JCPMRJCFWSGOCU-HNNXBMFYSA-N 1 2 300.406 1.535 20 30 DDEDLO C#CCCCCCC(=O)N1CCC(N2CC[NH+](C)CC2)CC1 ZINC000827445571 706003419 /nfs/dbraw/zinc/00/34/19/706003419.db2.gz SWIXMBHXFDHJOR-UHFFFAOYSA-N 1 2 305.466 1.809 20 30 DDEDLO C[S@@](=O)CC[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000828750044 706225329 /nfs/dbraw/zinc/22/53/29/706225329.db2.gz MYQVOHNDZXANST-YCRPNKLZSA-N 1 2 309.410 1.386 20 30 DDEDLO Cc1ccc(S(=O)(=O)N(C)CCCn2cc[nH+]c2)c(C#N)c1 ZINC000872464230 707426439 /nfs/dbraw/zinc/42/64/39/707426439.db2.gz SBPMIAJHFKMDQT-UHFFFAOYSA-N 1 2 318.402 1.774 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[N@@H+](C)CC1(C)C ZINC000872467002 707428511 /nfs/dbraw/zinc/42/85/11/707428511.db2.gz HCILLCFBJZHACN-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[N@H+](C)CC1(C)C ZINC000872467002 707428516 /nfs/dbraw/zinc/42/85/16/707428516.db2.gz HCILLCFBJZHACN-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO C=C(Cl)C[C@H]1NC(=O)N(CC(C)(C)[NH+]2CCOCC2)C1=O ZINC000865297169 706397262 /nfs/dbraw/zinc/39/72/62/706397262.db2.gz OMNACMMNKJXYIN-LLVKDONJSA-N 1 2 315.801 1.160 20 30 DDEDLO C#C[C@@H](CO)NC(=O)Nc1cccc([C@H](C)[NH+]2CCOCC2)c1 ZINC000878626935 706449011 /nfs/dbraw/zinc/44/90/11/706449011.db2.gz IXURKTMPGXYJCN-ZFWWWQNUSA-N 1 2 317.389 1.195 20 30 DDEDLO C#CCOc1ccc(NC(=O)/C=C\C[NH+]2CCOCC2)cc1 ZINC000865601890 706474419 /nfs/dbraw/zinc/47/44/19/706474419.db2.gz GLKIZSVTFKWTGH-ARJAWSKDSA-N 1 2 300.358 1.526 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccn3C)n2CC2CC2)CC1 ZINC000830474248 706523180 /nfs/dbraw/zinc/52/31/80/706523180.db2.gz KQIZOLYFWSYCFL-UHFFFAOYSA-N 1 2 324.432 1.449 20 30 DDEDLO N#CCOc1ccc(C[N@@H+]2CCO[C@@H](Cn3ccnn3)C2)cc1 ZINC000878985355 706553336 /nfs/dbraw/zinc/55/33/36/706553336.db2.gz IISMSHJYVBGSMD-MRXNPFEDSA-N 1 2 313.361 1.081 20 30 DDEDLO N#CCOc1ccc(C[N@H+]2CCO[C@@H](Cn3ccnn3)C2)cc1 ZINC000878985355 706553339 /nfs/dbraw/zinc/55/33/39/706553339.db2.gz IISMSHJYVBGSMD-MRXNPFEDSA-N 1 2 313.361 1.081 20 30 DDEDLO Cc1cc(C)cc(N(CCC#N)C(=O)C[N@@H+]2CC=C[C@H]2CO)c1 ZINC000880484029 706989279 /nfs/dbraw/zinc/98/92/79/706989279.db2.gz LHMKRNOVJLWDTM-INIZCTEOSA-N 1 2 313.401 1.783 20 30 DDEDLO Cc1cc(C)cc(N(CCC#N)C(=O)C[N@H+]2CC=C[C@H]2CO)c1 ZINC000880484029 706989282 /nfs/dbraw/zinc/98/92/82/706989282.db2.gz LHMKRNOVJLWDTM-INIZCTEOSA-N 1 2 313.401 1.783 20 30 DDEDLO COC(=O)c1cc(N[NH2+]C2=CC(=O)N3CCC[C@@H]23)ccc1F ZINC000835010962 707156353 /nfs/dbraw/zinc/15/63/53/707156353.db2.gz CSPDMBHDECSHQT-ZDUSSCGKSA-N 1 2 305.309 1.775 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCC[N@H+]2CC=CCC2)c(C#N)c1 ZINC000872482742 707437787 /nfs/dbraw/zinc/43/77/87/707437787.db2.gz ZIFCIYARGRWHCZ-UHFFFAOYSA-N 1 2 305.403 1.407 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCC[N@@H+]2CC=CCC2)c(C#N)c1 ZINC000872482742 707437791 /nfs/dbraw/zinc/43/77/91/707437791.db2.gz ZIFCIYARGRWHCZ-UHFFFAOYSA-N 1 2 305.403 1.407 20 30 DDEDLO C=CC(C)(C)CCCNS(=O)(=O)CCC[NH+]1CCOCC1 ZINC000872545628 707470434 /nfs/dbraw/zinc/47/04/34/707470434.db2.gz KWCKKCXBQXECNY-UHFFFAOYSA-N 1 2 318.483 1.621 20 30 DDEDLO C#CCC1(O)CCN(C(=O)NCc2c[nH+]c(C)cc2C)CC1 ZINC000883734653 708056747 /nfs/dbraw/zinc/05/67/47/708056747.db2.gz FCTYMDPZLYGMRT-UHFFFAOYSA-N 1 2 301.390 1.758 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(OC)ccc1OC ZINC000884047291 708116635 /nfs/dbraw/zinc/11/66/35/708116635.db2.gz NCSXIYRGEJEDPS-NSHDSACASA-N 1 2 308.334 1.089 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cccc(Cl)c1OC ZINC000884070093 708125811 /nfs/dbraw/zinc/12/58/11/708125811.db2.gz YXVRMKPUFJTLRH-JTQLQIEISA-N 1 2 312.753 1.734 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H]1CCOc2ccccc21 ZINC000884114187 708145619 /nfs/dbraw/zinc/14/56/19/708145619.db2.gz KPRBZHWVBBAVPV-JSGCOSHPSA-N 1 2 318.373 1.116 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCOC1CCCCCC1 ZINC000884138807 708156853 /nfs/dbraw/zinc/15/68/53/708156853.db2.gz AHRBIDFMXVPVIB-AWEZNQCLSA-N 1 2 312.410 1.289 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2ccccc2F)CC1 ZINC000884147116 708160223 /nfs/dbraw/zinc/16/02/23/708160223.db2.gz AECWZZAPPRUCOF-ZDUSSCGKSA-N 1 2 306.337 1.378 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2ccccc2Cl)CC1 ZINC000884372965 708268327 /nfs/dbraw/zinc/26/83/27/708268327.db2.gz XXOUQCSWWAFHIZ-ZDUSSCGKSA-N 1 2 322.792 1.892 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](Nc2ncc(C(=O)N(C)C)cc2Cl)C1 ZINC000884613404 708338490 /nfs/dbraw/zinc/33/84/90/708338490.db2.gz LSWNGHXPAQGPQZ-CYBMUJFWSA-N 1 2 320.824 1.946 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](Nc2ncc(C(=O)N(C)C)cc2Cl)C1 ZINC000884613404 708338493 /nfs/dbraw/zinc/33/84/93/708338493.db2.gz LSWNGHXPAQGPQZ-CYBMUJFWSA-N 1 2 320.824 1.946 20 30 DDEDLO COC(=O)c1cc2n(n1)CCC[C@H]2[NH2+]Cc1nc(C#N)cs1 ZINC000897987409 708512049 /nfs/dbraw/zinc/51/20/49/708512049.db2.gz QFRQAKRCORXSKR-SNVBAGLBSA-N 1 2 317.374 1.623 20 30 DDEDLO C=CCC1(O)CC[NH+](CC(=O)Nc2ccccc2[N+](=O)[O-])CC1 ZINC000886769598 708841162 /nfs/dbraw/zinc/84/11/62/708841162.db2.gz XTJVMRITIMHXTR-UHFFFAOYSA-N 1 2 319.361 1.936 20 30 DDEDLO COC(=O)N[C@H]1CC[N@@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000886867400 708872105 /nfs/dbraw/zinc/87/21/05/708872105.db2.gz DRYGVXAZVPOXEX-OLZOCXBDSA-N 1 2 322.409 1.148 20 30 DDEDLO COC(=O)N[C@H]1CC[N@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000886867400 708872109 /nfs/dbraw/zinc/87/21/09/708872109.db2.gz DRYGVXAZVPOXEX-OLZOCXBDSA-N 1 2 322.409 1.148 20 30 DDEDLO COC(=O)[C@H](C[C@@H]1CCCO1)[NH2+]C[C@H](O)CC1(C#N)CCC1 ZINC000886941517 708898578 /nfs/dbraw/zinc/89/85/78/708898578.db2.gz GFBNUCNTTLXUTJ-RDBSUJKOSA-N 1 2 310.394 1.132 20 30 DDEDLO CCC(CC)[C@@H](C(=O)N1CCO[C@@](C)(C#N)C1)[NH+]1CCOCC1 ZINC000887676773 709098940 /nfs/dbraw/zinc/09/89/40/709098940.db2.gz KAKIEFPQFJFJQP-RDJZCZTQSA-N 1 2 323.437 1.264 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccc(C#N)cn1)[C@@H](C)[NH+]1CCOCC1 ZINC000889225181 709464539 /nfs/dbraw/zinc/46/45/39/709464539.db2.gz KAHIZRCYAUALBB-VXGBXAGGSA-N 1 2 303.366 1.184 20 30 DDEDLO C/C(=C\C(=O)N1CCc2ccc(C#N)cc21)C[NH+]1CCOCC1 ZINC000901218584 709973030 /nfs/dbraw/zinc/97/30/30/709973030.db2.gz XILUENNBHOQPDR-GXDHUFHOSA-N 1 2 311.385 1.726 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC000894419842 711112401 /nfs/dbraw/zinc/11/24/01/711112401.db2.gz VETWPJKTVPGXJA-OAHLLOKOSA-N 1 2 314.433 1.541 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)Nc1ccc([N+](=O)[O-])cc1C#N ZINC000895800558 711609198 /nfs/dbraw/zinc/60/91/98/711609198.db2.gz AGBDNUSJVPUQLG-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(N(C)CCOC)nc1)C1CSC1 ZINC000895813761 711613964 /nfs/dbraw/zinc/61/39/64/711613964.db2.gz GTVOIOPNWUAYNF-UHFFFAOYSA-N 1 2 305.447 1.715 20 30 DDEDLO C#CC[N@H+](Cc1ccc(N(C)CCOC)nc1)C1CSC1 ZINC000895813761 711613967 /nfs/dbraw/zinc/61/39/67/711613967.db2.gz GTVOIOPNWUAYNF-UHFFFAOYSA-N 1 2 305.447 1.715 20 30 DDEDLO CC(=NNCCS(C)(=O)=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000905448362 712040056 /nfs/dbraw/zinc/04/00/56/712040056.db2.gz OLJFHCPEFPCOPX-UHFFFAOYSA-N 1 2 306.391 1.231 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[N@H+](C)Cc1cn(Cc2ncon2)nn1 ZINC000906313531 712294955 /nfs/dbraw/zinc/29/49/55/712294955.db2.gz ADSFPCVOZQLGNQ-LBPRGKRZSA-N 1 2 323.360 1.774 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[N@@H+](C)Cc1cn(Cc2ncon2)nn1 ZINC000906313531 712294956 /nfs/dbraw/zinc/29/49/56/712294956.db2.gz ADSFPCVOZQLGNQ-LBPRGKRZSA-N 1 2 323.360 1.774 20 30 DDEDLO C=CCN(CCOC)C(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000907700363 712615744 /nfs/dbraw/zinc/61/57/44/712615744.db2.gz WBERJANAIRHYKT-UHFFFAOYSA-N 1 2 321.421 1.095 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccsc1C#N ZINC000908145329 712697643 /nfs/dbraw/zinc/69/76/43/712697643.db2.gz MMOAMQHQHQNFDH-VXGBXAGGSA-N 1 2 305.403 1.555 20 30 DDEDLO CC(=NN[C@H]1CCS(=O)(=O)C1)c1ccc(-n2cc[nH+]c2)cc1 ZINC000916239086 713448287 /nfs/dbraw/zinc/44/82/87/713448287.db2.gz MUEJYVPMVVLWHD-AWEZNQCLSA-N 1 2 318.402 1.373 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCN(C(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC000928720394 713471348 /nfs/dbraw/zinc/47/13/48/713471348.db2.gz UHYNHBWLJGQOPA-OAHLLOKOSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCN(C(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC000928720394 713471349 /nfs/dbraw/zinc/47/13/49/713471349.db2.gz UHYNHBWLJGQOPA-OAHLLOKOSA-N 1 2 324.388 1.712 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+](Cc2c(C)cc(C#N)cc2C)CC1 ZINC000929421400 713607001 /nfs/dbraw/zinc/60/70/01/713607001.db2.gz HHNTYCJTUUYVMS-UHFFFAOYSA-N 1 2 321.446 1.642 20 30 DDEDLO CC[C@](O)(CN(CCC#N)CC[NH+]1CCOCC1)C(F)(F)F ZINC000929774521 713687764 /nfs/dbraw/zinc/68/77/64/713687764.db2.gz PVKQFTSKKDZALT-ZDUSSCGKSA-N 1 2 323.359 1.238 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cncc(C(=O)OC)c2)C1=O ZINC000930310867 713800229 /nfs/dbraw/zinc/80/02/29/713800229.db2.gz ROUKUHYHVBUESB-CQSZACIVSA-N 1 2 303.362 1.087 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cncc(C(=O)OC)c2)C1=O ZINC000930310867 713800233 /nfs/dbraw/zinc/80/02/33/713800233.db2.gz ROUKUHYHVBUESB-CQSZACIVSA-N 1 2 303.362 1.087 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1[C@H](C)C(=O)N[C@@H](C)[C@@H]1C ZINC000930829599 713930563 /nfs/dbraw/zinc/93/05/63/713930563.db2.gz ADLWOOAIBIYVKJ-RWMBFGLXSA-N 1 2 317.389 1.543 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1[C@H](C)C(=O)N[C@@H](C)[C@@H]1C ZINC000930829599 713930565 /nfs/dbraw/zinc/93/05/65/713930565.db2.gz ADLWOOAIBIYVKJ-RWMBFGLXSA-N 1 2 317.389 1.543 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C)C(=O)Nc2ccc(C)cc2F)CC1 ZINC000931144843 714015099 /nfs/dbraw/zinc/01/50/99/714015099.db2.gz HPHQFGHGKHQQFI-ZDUSSCGKSA-N 1 2 304.365 1.921 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000931147170 714015895 /nfs/dbraw/zinc/01/58/95/714015895.db2.gz VVVGENNMBDAYEU-LBPRGKRZSA-N 1 2 317.345 1.382 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)N(CCC)CC(F)(F)F)CC1 ZINC000931151761 714016566 /nfs/dbraw/zinc/01/65/66/714016566.db2.gz SKYKYLPAOBAJIF-UHFFFAOYSA-N 1 2 306.328 1.247 20 30 DDEDLO CNC(=O)NC[C@H]1COCC[N@@H+]1CCCCC1(C#N)CCC1 ZINC000931507568 714114346 /nfs/dbraw/zinc/11/43/46/714114346.db2.gz XWCKSCPNQOCPOX-AWEZNQCLSA-N 1 2 308.426 1.480 20 30 DDEDLO CNC(=O)NC[C@H]1COCC[N@H+]1CCCCC1(C#N)CCC1 ZINC000931507568 714114349 /nfs/dbraw/zinc/11/43/49/714114349.db2.gz XWCKSCPNQOCPOX-AWEZNQCLSA-N 1 2 308.426 1.480 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cccc(C[S@](C)=O)c2)C1 ZINC000923588596 714419056 /nfs/dbraw/zinc/41/90/56/714419056.db2.gz GSBZINYMMCLFLU-AOMKIAJQSA-N 1 2 318.442 1.393 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cccc(C[S@](C)=O)c2)C1 ZINC000923588596 714419058 /nfs/dbraw/zinc/41/90/58/714419058.db2.gz GSBZINYMMCLFLU-AOMKIAJQSA-N 1 2 318.442 1.393 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)N[C@H](CC#N)C(F)(F)F)CCO1 ZINC000932919580 714437788 /nfs/dbraw/zinc/43/77/88/714437788.db2.gz QIRQRUMJULOFSV-SNVBAGLBSA-N 1 2 322.331 1.241 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)N[C@H](CC#N)C(F)(F)F)CCO1 ZINC000932919580 714437790 /nfs/dbraw/zinc/43/77/90/714437790.db2.gz QIRQRUMJULOFSV-SNVBAGLBSA-N 1 2 322.331 1.241 20 30 DDEDLO CCO[C@H]1CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000932974295 714447208 /nfs/dbraw/zinc/44/72/08/714447208.db2.gz SLILSMZCDBPSIO-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO CCO[C@H]1CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000932974295 714447210 /nfs/dbraw/zinc/44/72/10/714447210.db2.gz SLILSMZCDBPSIO-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO COC[C@@H]1CC[C@H]([C@@H]2COCC[N@@H+]2Cc2ccc(C#N)cc2)O1 ZINC000933199688 714534550 /nfs/dbraw/zinc/53/45/50/714534550.db2.gz UIZWYWJKOLPITO-OKZBNKHCSA-N 1 2 316.401 1.953 20 30 DDEDLO COC[C@@H]1CC[C@H]([C@@H]2COCC[N@H+]2Cc2ccc(C#N)cc2)O1 ZINC000933199688 714534552 /nfs/dbraw/zinc/53/45/52/714534552.db2.gz UIZWYWJKOLPITO-OKZBNKHCSA-N 1 2 316.401 1.953 20 30 DDEDLO CCn1c[nH+]c2c1CCN(CC(=O)N[C@@](C)(C#N)C1CC1)C2 ZINC000933264141 714549623 /nfs/dbraw/zinc/54/96/23/714549623.db2.gz VCQDOKJGRRVRRP-INIZCTEOSA-N 1 2 301.394 1.070 20 30 DDEDLO NC(=O)[C@H](c1ccccc1)[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000933649902 714639034 /nfs/dbraw/zinc/63/90/34/714639034.db2.gz JCZVKNSHKWCGEG-HNNXBMFYSA-N 1 2 300.358 1.551 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOC[C@@H]1C[C@H]1CCCO1 ZINC000933678719 714646681 /nfs/dbraw/zinc/64/66/81/714646681.db2.gz HTEXBUQPRLQWLF-JKSUJKDBSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOC[C@@H]1C[C@H]1CCCO1 ZINC000933678719 714646683 /nfs/dbraw/zinc/64/66/83/714646683.db2.gz HTEXBUQPRLQWLF-JKSUJKDBSA-N 1 2 308.422 1.457 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)N2CC[C@](C)(C#N)C2)n1 ZINC000924784724 714663655 /nfs/dbraw/zinc/66/36/55/714663655.db2.gz HMPTWNQTMSCALE-CQSZACIVSA-N 1 2 307.423 1.650 20 30 DDEDLO CC[C@@H]([NH2+]C[C@@]1(OCCO)CCOC1)c1cccc(C#N)c1O ZINC000926690705 715113701 /nfs/dbraw/zinc/11/37/01/715113701.db2.gz QCTRHMZPRORZOV-WBVHZDCISA-N 1 2 320.389 1.473 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC000956574159 715476086 /nfs/dbraw/zinc/47/60/86/715476086.db2.gz XHQUGPPVHZLZJX-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2coc(C3CCOCC3)n2)CC1 ZINC000957099787 715745413 /nfs/dbraw/zinc/74/54/13/715745413.db2.gz ZMJQRIBOXXDMTB-UHFFFAOYSA-N 1 2 319.405 1.903 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@@H](C)n2nc(C)cc2C)CC1 ZINC000957661608 715991098 /nfs/dbraw/zinc/99/10/98/715991098.db2.gz MPOQVYYOKKSECN-MRXNPFEDSA-N 1 2 302.422 1.619 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](N(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC000939008267 716083118 /nfs/dbraw/zinc/08/31/18/716083118.db2.gz CVMOFJUYYPXILK-CYBMUJFWSA-N 1 2 318.421 1.234 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H](N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC000939477389 716274486 /nfs/dbraw/zinc/27/44/86/716274486.db2.gz JGHNHXVDSRQVTG-PBHICJAKSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000939483132 716279458 /nfs/dbraw/zinc/27/94/58/716279458.db2.gz WVFYFFRMTBNHBS-GUYCJALGSA-N 1 2 318.421 1.614 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)c(C)o1 ZINC000960503203 716585526 /nfs/dbraw/zinc/58/55/26/716585526.db2.gz PPFKRQBJYXWZBF-RISCZKNCSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)c(C)o1 ZINC000960503203 716585532 /nfs/dbraw/zinc/58/55/32/716585532.db2.gz PPFKRQBJYXWZBF-RISCZKNCSA-N 1 2 304.394 1.874 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C#N)c[nH]1 ZINC000958505503 716599566 /nfs/dbraw/zinc/59/95/66/716599566.db2.gz WTSGZWAYICYWLL-PBOSXPJTSA-N 1 2 322.372 1.098 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C#N)c[nH]1 ZINC000958505503 716599571 /nfs/dbraw/zinc/59/95/71/716599571.db2.gz WTSGZWAYICYWLL-PBOSXPJTSA-N 1 2 322.372 1.098 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000958564222 716625777 /nfs/dbraw/zinc/62/57/77/716625777.db2.gz QOQNYIYGKOVVEG-CKEIUWERSA-N 1 2 318.421 1.449 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccs4)C[C@H]32)[nH]1 ZINC000958601695 716654721 /nfs/dbraw/zinc/65/47/21/716654721.db2.gz IPDKMSFAWKHIGW-YABSGUDNSA-N 1 2 313.386 1.203 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccs4)C[C@H]32)[nH]1 ZINC000958601695 716654726 /nfs/dbraw/zinc/65/47/26/716654726.db2.gz IPDKMSFAWKHIGW-YABSGUDNSA-N 1 2 313.386 1.203 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccsc3C)CC2)C1 ZINC000941400887 717170521 /nfs/dbraw/zinc/17/05/21/717170521.db2.gz HVDNRDGCHGMYNU-UHFFFAOYSA-N 1 2 303.431 1.132 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3CCC[C@H]3C)CC2)C1 ZINC000941418416 717173742 /nfs/dbraw/zinc/17/37/42/717173742.db2.gz SQNSGDUCFKFVNA-HZPDHXFCSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3C=CCCC3)CC2)C1 ZINC000941455439 717180343 /nfs/dbraw/zinc/18/03/43/717180343.db2.gz QUZMETNSQDETHP-MRXNPFEDSA-N 1 2 301.434 1.194 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3CC[C@H]4C[C@H]43)CC2)C1 ZINC000941468229 717182955 /nfs/dbraw/zinc/18/29/55/717182955.db2.gz PGIAIBLDKQANSX-XYJFISCASA-N 1 2 315.461 1.274 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cnc(C)cn2)C1 ZINC000964807090 717434909 /nfs/dbraw/zinc/43/49/09/717434909.db2.gz OZVCXQLETTWDRU-IINYFYTJSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cnc(C)cn2)C1 ZINC000964807090 717434911 /nfs/dbraw/zinc/43/49/11/717434911.db2.gz OZVCXQLETTWDRU-IINYFYTJSA-N 1 2 308.813 1.978 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cn[nH]c1 ZINC000965374633 717634533 /nfs/dbraw/zinc/63/45/33/717634533.db2.gz STZSGOABOJXKPW-SUMWQHHRSA-N 1 2 323.400 1.922 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1NC(=O)c1cn[nH]c1 ZINC000965374633 717634535 /nfs/dbraw/zinc/63/45/35/717634535.db2.gz STZSGOABOJXKPW-SUMWQHHRSA-N 1 2 323.400 1.922 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)Cn2c[nH+]cc2C)[C@@H](C)C1 ZINC000942517905 717713734 /nfs/dbraw/zinc/71/37/34/717713734.db2.gz ISADXSQESLLFSW-FZMZJTMJSA-N 1 2 310.829 1.771 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)[C@H](C)C1 ZINC000944831614 718351042 /nfs/dbraw/zinc/35/10/42/718351042.db2.gz QOTVCNAJMYZYKF-TZMCWYRMSA-N 1 2 311.389 1.421 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)[C@H](C)C1 ZINC000944831614 718351045 /nfs/dbraw/zinc/35/10/45/718351045.db2.gz QOTVCNAJMYZYKF-TZMCWYRMSA-N 1 2 311.389 1.421 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC000966790164 718661369 /nfs/dbraw/zinc/66/13/69/718661369.db2.gz WAPMAQJTOCQBPQ-IAQYHMDHSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC000966790164 718661373 /nfs/dbraw/zinc/66/13/73/718661373.db2.gz WAPMAQJTOCQBPQ-IAQYHMDHSA-N 1 2 324.856 1.850 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2ccc3oc(=O)nc-3[nH]2)C[C@@H]1C ZINC000947924379 719308610 /nfs/dbraw/zinc/30/86/10/719308610.db2.gz CEGXFOWBGCNOKF-QWRGUYRKSA-N 1 2 314.345 1.144 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3oc(=O)nc-3[nH]2)C[C@@H]1C ZINC000947924379 719308611 /nfs/dbraw/zinc/30/86/11/719308611.db2.gz CEGXFOWBGCNOKF-QWRGUYRKSA-N 1 2 314.345 1.144 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230265 719464881 /nfs/dbraw/zinc/46/48/81/719464881.db2.gz DHDDZTXSOVCEPC-ONGXEEELSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230265 719464885 /nfs/dbraw/zinc/46/48/85/719464885.db2.gz DHDDZTXSOVCEPC-ONGXEEELSA-N 1 2 312.801 1.318 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[NH+](CCn2cccn2)CC1 ZINC000948765111 719694190 /nfs/dbraw/zinc/69/41/90/719694190.db2.gz WDWKFAWJHBGRLM-UHFFFAOYSA-N 1 2 313.405 1.329 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccnc(C)n1 ZINC000948985463 719824442 /nfs/dbraw/zinc/82/44/42/719824442.db2.gz DPBJOYJIRKYOKM-SFHVURJKSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccnc(C)n1 ZINC000948985463 719824446 /nfs/dbraw/zinc/82/44/46/719824446.db2.gz DPBJOYJIRKYOKM-SFHVURJKSA-N 1 2 320.396 1.747 20 30 DDEDLO CC(=O)N1CCC2(CC1)C[N@H+](CC#Cc1ccccc1)CCO2 ZINC000949258356 719978511 /nfs/dbraw/zinc/97/85/11/719978511.db2.gz WUFPZRXPBWHMFQ-UHFFFAOYSA-N 1 2 312.413 1.751 20 30 DDEDLO CC(=O)N1CCC2(CC1)C[N@@H+](CC#Cc1ccccc1)CCO2 ZINC000949258356 719978514 /nfs/dbraw/zinc/97/85/14/719978514.db2.gz WUFPZRXPBWHMFQ-UHFFFAOYSA-N 1 2 312.413 1.751 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2COc3ccc(F)cc3C2)CC1 ZINC000949280971 719991957 /nfs/dbraw/zinc/99/19/57/719991957.db2.gz VZZJOPQKXIREAB-AWEZNQCLSA-N 1 2 304.365 1.707 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)/C=C\c3ccco3)CC2)C1 ZINC000949282682 719992502 /nfs/dbraw/zinc/99/25/02/719992502.db2.gz TTZSAXAGLGJVQV-WAYWQWQTSA-N 1 2 314.385 1.619 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)/C=C\c3ccco3)CC2)C1 ZINC000949282682 719992506 /nfs/dbraw/zinc/99/25/06/719992506.db2.gz TTZSAXAGLGJVQV-WAYWQWQTSA-N 1 2 314.385 1.619 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+]Cc3nnc(C)o3)C2)cc1 ZINC000969546071 720182891 /nfs/dbraw/zinc/18/28/91/720182891.db2.gz OKRQFFCQSNMSLT-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc(OC)ns2)C1 ZINC000969692867 720252877 /nfs/dbraw/zinc/25/28/77/720252877.db2.gz QCXRADOZUTWJOU-SECBINFHSA-N 1 2 315.826 1.954 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC000969985544 720586218 /nfs/dbraw/zinc/58/62/18/720586218.db2.gz RHPMNVFFQUOJAN-NEPJUHHUSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnn3c2OCCC3)C1 ZINC000970054919 720612788 /nfs/dbraw/zinc/61/27/88/720612788.db2.gz QNQJZQXOXFDOMR-NSHDSACASA-N 1 2 324.812 1.468 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc3c([nH]2)CCOC3)C1 ZINC000950493494 720657553 /nfs/dbraw/zinc/65/75/53/720657553.db2.gz ZLDIPGWBFKCQQT-UHFFFAOYSA-N 1 2 301.390 1.257 20 30 DDEDLO C[C@@H](NC(=O)c1cnco1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970232277 720671684 /nfs/dbraw/zinc/67/16/84/720671684.db2.gz SLRDSLCYLDQBBZ-GFCCVEGCSA-N 1 2 310.357 1.797 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2nn(C)c3c2CCCC3)CC1 ZINC000950618282 720707118 /nfs/dbraw/zinc/70/71/18/720707118.db2.gz XLBSFBOTNLLYAU-UHFFFAOYSA-N 1 2 302.422 1.633 20 30 DDEDLO C[C@H](NC(=O)c1cccnn1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970634695 720862070 /nfs/dbraw/zinc/86/20/70/720862070.db2.gz OEOREAJUOMURQS-ZDUSSCGKSA-N 1 2 321.384 1.599 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c[nH]nc2[C@H]2CCOC2)C1 ZINC000951001742 720872548 /nfs/dbraw/zinc/87/25/48/720872548.db2.gz MROYWECOWMTMKU-ZDUSSCGKSA-N 1 2 316.405 1.083 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC000970734292 720914128 /nfs/dbraw/zinc/91/41/28/720914128.db2.gz DAWLNIUCHQLFMA-QWRGUYRKSA-N 1 2 323.828 1.099 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2sc(N(C)C)nc2C)CC1 ZINC000951364506 721002775 /nfs/dbraw/zinc/00/27/75/721002775.db2.gz CFPIZFCMCBGFPJ-UHFFFAOYSA-N 1 2 306.435 1.299 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cc(CC)n(C)n2)C1 ZINC000970982031 721032951 /nfs/dbraw/zinc/03/29/51/721032951.db2.gz OISACEVRRLJVLS-LLVKDONJSA-N 1 2 310.829 1.785 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2ccccc2COC)CC1 ZINC000951710297 721148365 /nfs/dbraw/zinc/14/83/65/721148365.db2.gz IMCVFBWZBSCVSQ-UHFFFAOYSA-N 1 2 300.402 1.543 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3ncn(C)c3n2)C1 ZINC000951741891 721161318 /nfs/dbraw/zinc/16/13/18/721161318.db2.gz JBENKKYWVPJUKH-UHFFFAOYSA-N 1 2 311.389 1.138 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3cscn3)C2)C1 ZINC000972697611 735489397 /nfs/dbraw/zinc/48/93/97/735489397.db2.gz YTAFWJAQOCDJAA-INIZCTEOSA-N 1 2 319.430 1.012 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3cscn3)C2)C1 ZINC000972697611 735489402 /nfs/dbraw/zinc/48/94/02/735489402.db2.gz YTAFWJAQOCDJAA-INIZCTEOSA-N 1 2 319.430 1.012 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1C[C@@H]1C ZINC001026009895 737075211 /nfs/dbraw/zinc/07/52/11/737075211.db2.gz ZWKPBWWYOURZCG-LKVULVMHSA-N 1 2 313.239 1.988 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1C[C@@H]1C ZINC001026009895 737075216 /nfs/dbraw/zinc/07/52/16/737075216.db2.gz ZWKPBWWYOURZCG-LKVULVMHSA-N 1 2 313.239 1.988 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H]1CNC(=O)c1cnccn1 ZINC001027730652 738600081 /nfs/dbraw/zinc/60/00/81/738600081.db2.gz VWGHRSDXANDQPY-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnccn1 ZINC001027730652 738600082 /nfs/dbraw/zinc/60/00/82/738600082.db2.gz VWGHRSDXANDQPY-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]([NH2+]Cc3noc(CC)n3)C2)C1 ZINC001020562738 732508898 /nfs/dbraw/zinc/50/88/98/732508898.db2.gz MVLRJBPMTSDAAG-HAQNSBGRSA-N 1 2 304.394 1.725 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCC[C@H]1[C@H]1CCN(CC#N)C1 ZINC001039252119 733374410 /nfs/dbraw/zinc/37/44/10/733374410.db2.gz ZWNABIBBHKECEN-HOCLYGCPSA-N 1 2 315.421 1.487 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001021733664 733493371 /nfs/dbraw/zinc/49/33/71/733493371.db2.gz QMKRGIIBDHVETB-WMLDXEAASA-N 1 2 323.400 1.518 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001021733664 733493373 /nfs/dbraw/zinc/49/33/73/733493373.db2.gz QMKRGIIBDHVETB-WMLDXEAASA-N 1 2 323.400 1.518 20 30 DDEDLO C[C@@H]1CCN(C(=O)C#CC2CC2)[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001087164129 734401183 /nfs/dbraw/zinc/40/11/83/734401183.db2.gz JISKRRXCCKAUHQ-DOMZBBRYSA-N 1 2 318.446 1.668 20 30 DDEDLO C=C(C)C(=O)NCCCNc1ccc(C2(C(N)=O)CC2)c[nH+]1 ZINC001167666648 735010157 /nfs/dbraw/zinc/01/01/57/735010157.db2.gz XDHPOLYCTQJVLF-UHFFFAOYSA-N 1 2 302.378 1.093 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001027973538 738893319 /nfs/dbraw/zinc/89/33/19/738893319.db2.gz STQUAYLHDAETRG-ZIAGYGMSSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001027973538 738893323 /nfs/dbraw/zinc/89/33/23/738893323.db2.gz STQUAYLHDAETRG-ZIAGYGMSSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1nonc1C ZINC001028141369 739083613 /nfs/dbraw/zinc/08/36/13/739083613.db2.gz CESIVARVJQIFGK-GUTXKFCHSA-N 1 2 320.393 1.050 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1nonc1C ZINC001028141369 739083620 /nfs/dbraw/zinc/08/36/20/739083620.db2.gz CESIVARVJQIFGK-GUTXKFCHSA-N 1 2 320.393 1.050 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001024523895 735898729 /nfs/dbraw/zinc/89/87/29/735898729.db2.gz RQGRJPIKGVMPCU-CQSZACIVSA-N 1 2 323.824 1.722 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001024523895 735898732 /nfs/dbraw/zinc/89/87/32/735898732.db2.gz RQGRJPIKGVMPCU-CQSZACIVSA-N 1 2 323.824 1.722 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001024651963 736003073 /nfs/dbraw/zinc/00/30/73/736003073.db2.gz GMHNRPHYXJXEDE-NSHDSACASA-N 1 2 324.812 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001024651963 736003076 /nfs/dbraw/zinc/00/30/76/736003076.db2.gz GMHNRPHYXJXEDE-NSHDSACASA-N 1 2 324.812 1.450 20 30 DDEDLO CC1(C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H]2CCCN(CC#N)[C@H]21 ZINC001087306924 736012860 /nfs/dbraw/zinc/01/28/60/736012860.db2.gz QNEJNONRJOFEFW-BPLDGKMQSA-N 1 2 301.394 1.081 20 30 DDEDLO C#CCC[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001007338974 736309374 /nfs/dbraw/zinc/30/93/74/736309374.db2.gz NRIQNWDQVWJBJS-ZIAGYGMSSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001007338974 736309375 /nfs/dbraw/zinc/30/93/75/736309375.db2.gz NRIQNWDQVWJBJS-ZIAGYGMSSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]([C@H](C)NC(=O)c2cncc3nc[nH]c32)C1 ZINC001025422294 736513989 /nfs/dbraw/zinc/51/39/89/736513989.db2.gz HGWMXZBYAGCGGT-STQMWFEESA-N 1 2 311.389 1.421 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]([C@H](C)NC(=O)c2cncc3nc[nH]c32)C1 ZINC001025422294 736513990 /nfs/dbraw/zinc/51/39/90/736513990.db2.gz HGWMXZBYAGCGGT-STQMWFEESA-N 1 2 311.389 1.421 20 30 DDEDLO O=C(C=C1CCC1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083400834 740119642 /nfs/dbraw/zinc/11/96/42/740119642.db2.gz IAIHOTDJKZZWHF-MSOLQXFVSA-N 1 2 310.397 1.310 20 30 DDEDLO O=C(C=C1CCC1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083400834 740119645 /nfs/dbraw/zinc/11/96/45/740119645.db2.gz IAIHOTDJKZZWHF-MSOLQXFVSA-N 1 2 310.397 1.310 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ncc(OC)cn1 ZINC001028018498 738939468 /nfs/dbraw/zinc/93/94/68/738939468.db2.gz VUGMGPJWLIQCBW-NSHDSACASA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ncc(OC)cn1 ZINC001028018498 738939471 /nfs/dbraw/zinc/93/94/71/738939471.db2.gz VUGMGPJWLIQCBW-NSHDSACASA-N 1 2 310.785 1.432 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3C[C@@H]4CC[C@H](NCC#N)[C@@H]4C3)ccn12 ZINC001026266696 737270889 /nfs/dbraw/zinc/27/08/89/737270889.db2.gz HYRZTKBWFCBYOQ-XHSDSOJGSA-N 1 2 323.400 1.607 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)CSCC#N)C[C@@H]2C)cc[nH+]1 ZINC001105027559 737467281 /nfs/dbraw/zinc/46/72/81/737467281.db2.gz ASNYDWBKBJYBIY-AAEUAGOBSA-N 1 2 319.434 1.691 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C[C@@H]3CCC[C@@H]3C)C2)nn1 ZINC001105247119 737654878 /nfs/dbraw/zinc/65/48/78/737654878.db2.gz QFWVVRRJELNVNN-KBPBESRZSA-N 1 2 315.421 1.211 20 30 DDEDLO CCc1nc(N2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)cc(C)[nH+]1 ZINC001058734149 737895821 /nfs/dbraw/zinc/89/58/21/737895821.db2.gz GGFYTWUFNBLLKH-BNOWGMLFSA-N 1 2 313.405 1.687 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2CCC[N@@H+](C/C=C\Cl)C2)nn1 ZINC001008801301 738039881 /nfs/dbraw/zinc/03/98/81/738039881.db2.gz WAJUVILQRJZPDM-TXNVCOODSA-N 1 2 309.801 1.411 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2CCC[N@H+](C/C=C\Cl)C2)nn1 ZINC001008801301 738039882 /nfs/dbraw/zinc/03/98/82/738039882.db2.gz WAJUVILQRJZPDM-TXNVCOODSA-N 1 2 309.801 1.411 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1CNC(=O)c1cnc([C@H]2CCCO2)s1 ZINC001028188201 739138055 /nfs/dbraw/zinc/13/80/55/739138055.db2.gz WSQFUMGJPWMXPY-CHWSQXEVSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc([C@H]2CCCO2)s1 ZINC001028188201 739138057 /nfs/dbraw/zinc/13/80/57/739138057.db2.gz WSQFUMGJPWMXPY-CHWSQXEVSA-N 1 2 319.430 1.822 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001028231331 739203032 /nfs/dbraw/zinc/20/30/32/739203032.db2.gz LIFZHYPYOZDVLB-STQMWFEESA-N 1 2 300.406 1.362 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001028231331 739203036 /nfs/dbraw/zinc/20/30/36/739203036.db2.gz LIFZHYPYOZDVLB-STQMWFEESA-N 1 2 300.406 1.362 20 30 DDEDLO N#CCN1CCC[C@H]1CNC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001028239801 739213837 /nfs/dbraw/zinc/21/38/37/739213837.db2.gz XMPIJVGHBFIDSM-INIZCTEOSA-N 1 2 309.373 1.590 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1conc1COC ZINC001028256611 739241127 /nfs/dbraw/zinc/24/11/27/739241127.db2.gz LFLPTLLFQMPUTI-LLVKDONJSA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1conc1COC ZINC001028256611 739241128 /nfs/dbraw/zinc/24/11/28/739241128.db2.gz LFLPTLLFQMPUTI-LLVKDONJSA-N 1 2 313.785 1.768 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](Nc2ccc(C#N)nc2)C1 ZINC001058937603 739254673 /nfs/dbraw/zinc/25/46/73/739254673.db2.gz HXGDZIWHSFQGMQ-AWEZNQCLSA-N 1 2 310.361 1.240 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2cc(C)on2)cn1 ZINC001028273052 739263439 /nfs/dbraw/zinc/26/34/39/739263439.db2.gz YRYBLSXXXOXPBD-KRWDZBQOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2cc(C)on2)cn1 ZINC001028273052 739263445 /nfs/dbraw/zinc/26/34/45/739263445.db2.gz YRYBLSXXXOXPBD-KRWDZBQOSA-N 1 2 324.384 1.754 20 30 DDEDLO C[C@@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1cncc(C#N)n1 ZINC001098152713 739272757 /nfs/dbraw/zinc/27/27/57/739272757.db2.gz CQSCHQOCUUOTTK-NSHDSACASA-N 1 2 321.344 1.226 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccnn2CC(C)C)C1 ZINC001035386387 751457135 /nfs/dbraw/zinc/45/71/35/751457135.db2.gz NIWWNRQBWJQLHV-AWEZNQCLSA-N 1 2 306.410 1.156 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccnn2CC(C)C)C1 ZINC001035386387 751457136 /nfs/dbraw/zinc/45/71/36/751457136.db2.gz NIWWNRQBWJQLHV-AWEZNQCLSA-N 1 2 306.410 1.156 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C(C)C)nc2)C1 ZINC001035393670 751463728 /nfs/dbraw/zinc/46/37/28/751463728.db2.gz HQRDPBAGBUWFOE-MRXNPFEDSA-N 1 2 315.417 1.659 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C(C)C)nc2)C1 ZINC001035393670 751463730 /nfs/dbraw/zinc/46/37/30/751463730.db2.gz HQRDPBAGBUWFOE-MRXNPFEDSA-N 1 2 315.417 1.659 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cncc(OC)c2C)C1 ZINC001035422154 751480538 /nfs/dbraw/zinc/48/05/38/751480538.db2.gz YSGXAOXJCBLCMI-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cncc(OC)c2C)C1 ZINC001035422154 751480541 /nfs/dbraw/zinc/48/05/41/751480541.db2.gz YSGXAOXJCBLCMI-AWEZNQCLSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccsc2COC)C1 ZINC001035415640 751496651 /nfs/dbraw/zinc/49/66/51/751496651.db2.gz DBUDWPFXGHIFPZ-CYBMUJFWSA-N 1 2 324.446 1.901 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccsc2COC)C1 ZINC001035415640 751496656 /nfs/dbraw/zinc/49/66/56/751496656.db2.gz DBUDWPFXGHIFPZ-CYBMUJFWSA-N 1 2 324.446 1.901 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+](Cc3nc(C)no3)C2)cc1 ZINC001028730311 739967987 /nfs/dbraw/zinc/96/79/87/739967987.db2.gz HAVGANODKGJPJC-HNNXBMFYSA-N 1 2 324.384 1.611 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+](Cc3nc(C)no3)C2)cc1 ZINC001028730311 739967990 /nfs/dbraw/zinc/96/79/90/739967990.db2.gz HAVGANODKGJPJC-HNNXBMFYSA-N 1 2 324.384 1.611 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+](Cc3cnn(C)n3)C2)cc1 ZINC001028730923 739968795 /nfs/dbraw/zinc/96/87/95/739968795.db2.gz UWJWWSUBHMUCSL-HNNXBMFYSA-N 1 2 323.400 1.048 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+](Cc3cnn(C)n3)C2)cc1 ZINC001028730923 739968800 /nfs/dbraw/zinc/96/88/00/739968800.db2.gz UWJWWSUBHMUCSL-HNNXBMFYSA-N 1 2 323.400 1.048 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)[C@@H](C)CO3)C1 ZINC001035480676 751534225 /nfs/dbraw/zinc/53/42/25/751534225.db2.gz HMDSXMVANWNQHR-ZFWWWQNUSA-N 1 2 316.401 1.799 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3c(c2)[C@@H](C)CO3)C1 ZINC001035480676 751534231 /nfs/dbraw/zinc/53/42/31/751534231.db2.gz HMDSXMVANWNQHR-ZFWWWQNUSA-N 1 2 316.401 1.799 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001035500711 751557027 /nfs/dbraw/zinc/55/70/27/751557027.db2.gz ICCPIOYMKLWMJW-DOTOQJQBSA-N 1 2 300.402 1.719 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001035500711 751557029 /nfs/dbraw/zinc/55/70/29/751557029.db2.gz ICCPIOYMKLWMJW-DOTOQJQBSA-N 1 2 300.402 1.719 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)[C@H]1CCCOC1 ZINC001038103174 740650219 /nfs/dbraw/zinc/65/02/19/740650219.db2.gz JLRDOZAHZIYDOB-ROUUACIJSA-N 1 2 312.413 1.655 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)[C@H]1CCCOC1 ZINC001038103174 740650222 /nfs/dbraw/zinc/65/02/22/740650222.db2.gz JLRDOZAHZIYDOB-ROUUACIJSA-N 1 2 312.413 1.655 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@@H]3C2)o1 ZINC001087911309 740849762 /nfs/dbraw/zinc/84/97/62/740849762.db2.gz QITVDBFVBBFKIR-UPJWGTAASA-N 1 2 317.393 1.072 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@@H]3C2)o1 ZINC001087911309 740849768 /nfs/dbraw/zinc/84/97/68/740849768.db2.gz QITVDBFVBBFKIR-UPJWGTAASA-N 1 2 317.393 1.072 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2sc(CC)nc2C)C1 ZINC001035547022 751591617 /nfs/dbraw/zinc/59/16/17/751591617.db2.gz KODOICCMBQRNDJ-ZDUSSCGKSA-N 1 2 321.446 1.468 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2sc(CC)nc2C)C1 ZINC001035547022 751591620 /nfs/dbraw/zinc/59/16/20/751591620.db2.gz KODOICCMBQRNDJ-ZDUSSCGKSA-N 1 2 321.446 1.468 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3cnccc3n2)C1 ZINC001035521429 751597689 /nfs/dbraw/zinc/59/76/89/751597689.db2.gz KTHQAVGJZBDYGI-AWEZNQCLSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3cnccc3n2)C1 ZINC001035521429 751597695 /nfs/dbraw/zinc/59/76/95/751597695.db2.gz KTHQAVGJZBDYGI-AWEZNQCLSA-N 1 2 312.373 1.246 20 30 DDEDLO CC(C)c1nnc(C[N@H+](C)C[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001029678808 741219627 /nfs/dbraw/zinc/21/96/27/741219627.db2.gz SYHROUFJRGPUDX-QWHCGFSZSA-N 1 2 318.425 1.368 20 30 DDEDLO CC(C)c1nnc(C[N@@H+](C)C[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001029678808 741219629 /nfs/dbraw/zinc/21/96/29/741219629.db2.gz SYHROUFJRGPUDX-QWHCGFSZSA-N 1 2 318.425 1.368 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(CC3CC3)c2)C1 ZINC001035572668 751626474 /nfs/dbraw/zinc/62/64/74/751626474.db2.gz GWVYMADWPLNHAT-INIZCTEOSA-N 1 2 318.421 1.300 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnn(CC3CC3)c2)C1 ZINC001035572668 751626476 /nfs/dbraw/zinc/62/64/76/751626476.db2.gz GWVYMADWPLNHAT-INIZCTEOSA-N 1 2 318.421 1.300 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(Cl)s2)C1 ZINC001035596896 751653410 /nfs/dbraw/zinc/65/34/10/751653410.db2.gz IBECKYGXWXHDBD-LLVKDONJSA-N 1 2 312.822 1.855 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(Cl)s2)C1 ZINC001035596896 751653416 /nfs/dbraw/zinc/65/34/16/751653416.db2.gz IBECKYGXWXHDBD-LLVKDONJSA-N 1 2 312.822 1.855 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001035604972 751663583 /nfs/dbraw/zinc/66/35/83/751663583.db2.gz AAZYBFOFWUBEJO-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001035604972 751663587 /nfs/dbraw/zinc/66/35/87/751663587.db2.gz AAZYBFOFWUBEJO-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(-c3ccoc3)n[nH]2)C1 ZINC001035605289 751663170 /nfs/dbraw/zinc/66/31/70/751663170.db2.gz YGWUCEWWBRWQOA-ZDUSSCGKSA-N 1 2 316.361 1.286 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(-c3ccoc3)n[nH]2)C1 ZINC001035605289 751663173 /nfs/dbraw/zinc/66/31/73/751663173.db2.gz YGWUCEWWBRWQOA-ZDUSSCGKSA-N 1 2 316.361 1.286 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(OC)c2)C1 ZINC001035584391 751677748 /nfs/dbraw/zinc/67/77/48/751677748.db2.gz RQJJQBYOUOTNSU-INIZCTEOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccc(OC)c2)C1 ZINC001035584391 751677749 /nfs/dbraw/zinc/67/77/49/751677749.db2.gz RQJJQBYOUOTNSU-INIZCTEOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1OC ZINC001211972578 741907221 /nfs/dbraw/zinc/90/72/21/741907221.db2.gz RWWBUUSPNNWFIB-FRVVEFSOSA-N 1 2 316.829 1.536 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1OC ZINC001211972578 741907224 /nfs/dbraw/zinc/90/72/24/741907224.db2.gz RWWBUUSPNNWFIB-FRVVEFSOSA-N 1 2 316.829 1.536 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2coc3ccccc23)C1 ZINC001035624415 751694895 /nfs/dbraw/zinc/69/48/95/751694895.db2.gz NOANGIXOQYIJCS-CQSZACIVSA-N 1 2 312.369 1.887 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2coc3ccccc23)C1 ZINC001035624415 751694899 /nfs/dbraw/zinc/69/48/99/751694899.db2.gz NOANGIXOQYIJCS-CQSZACIVSA-N 1 2 312.369 1.887 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001038480201 742136251 /nfs/dbraw/zinc/13/62/51/742136251.db2.gz GWHNYBBKSPMVKY-OAHLLOKOSA-N 1 2 311.385 1.399 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001038480201 742136254 /nfs/dbraw/zinc/13/62/54/742136254.db2.gz GWHNYBBKSPMVKY-OAHLLOKOSA-N 1 2 311.385 1.399 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2sccc2CC)C1 ZINC001035608848 751713506 /nfs/dbraw/zinc/71/35/06/751713506.db2.gz KXYQFJUHVSIZCC-AWEZNQCLSA-N 1 2 306.431 1.764 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2sccc2CC)C1 ZINC001035608848 751713510 /nfs/dbraw/zinc/71/35/10/751713510.db2.gz KXYQFJUHVSIZCC-AWEZNQCLSA-N 1 2 306.431 1.764 20 30 DDEDLO CC(C)Cc1nnc([C@H](C)[NH2+]CCNC(=O)C#CC2CC2)o1 ZINC001126880822 742415230 /nfs/dbraw/zinc/41/52/30/742415230.db2.gz BXTLUSDTUQPLMQ-LBPRGKRZSA-N 1 2 304.394 1.448 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@H+](C[C@@H](F)CC)C[C@@H]1O ZINC001083526463 742466237 /nfs/dbraw/zinc/46/62/37/742466237.db2.gz TVNNGYBHVMRGPB-GUTXKFCHSA-N 1 2 309.385 1.197 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@@H+](C[C@@H](F)CC)C[C@@H]1O ZINC001083526463 742466240 /nfs/dbraw/zinc/46/62/40/742466240.db2.gz TVNNGYBHVMRGPB-GUTXKFCHSA-N 1 2 309.385 1.197 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnc(OC)c2)C1 ZINC001108043289 743098408 /nfs/dbraw/zinc/09/84/08/743098408.db2.gz IFNLKVBMXAEJSK-INIZCTEOSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnc(OC)c2)C1 ZINC001108043289 743098412 /nfs/dbraw/zinc/09/84/12/743098412.db2.gz IFNLKVBMXAEJSK-INIZCTEOSA-N 1 2 305.378 1.097 20 30 DDEDLO COC(=O)c1cc(C#N)cc(NC(=O)Cc2cc[nH+]c(N)c2)c1 ZINC001181262831 743129539 /nfs/dbraw/zinc/12/95/39/743129539.db2.gz AFCCWFFXUWJZNC-UHFFFAOYSA-N 1 2 310.313 1.503 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)COCCC)c2C1 ZINC001128240818 743353954 /nfs/dbraw/zinc/35/39/54/743353954.db2.gz QLLFHSQGEXHGOR-UHFFFAOYSA-N 1 2 306.410 1.318 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)COCCC)c2C1 ZINC001128240818 743353962 /nfs/dbraw/zinc/35/39/62/743353962.db2.gz QLLFHSQGEXHGOR-UHFFFAOYSA-N 1 2 306.410 1.318 20 30 DDEDLO C=CCCC(=O)N1CCC(OC2C[NH+](CCOCC)C2)CC1 ZINC001105697308 743525267 /nfs/dbraw/zinc/52/52/67/743525267.db2.gz SVSUBIPBNXVKCW-UHFFFAOYSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C#CC(C)(C)C)CC2)C1 ZINC001105707344 743604879 /nfs/dbraw/zinc/60/48/79/743604879.db2.gz XINCGLOZYICJKK-UHFFFAOYSA-N 1 2 304.434 1.914 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(C(=O)C#CC(C)(C)C)C1)c1csnn1 ZINC001182458077 743638070 /nfs/dbraw/zinc/63/80/70/743638070.db2.gz AYRNLQLCIFZJKJ-VXGBXAGGSA-N 1 2 306.435 1.839 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C2=CCCCCC2)[C@H](OC)C1 ZINC001212164079 743859133 /nfs/dbraw/zinc/85/91/33/743859133.db2.gz XNNQRACOZAUBBN-IAGOWNOFSA-N 1 2 320.433 1.342 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C2=CCCCCC2)[C@H](OC)C1 ZINC001212164079 743859135 /nfs/dbraw/zinc/85/91/35/743859135.db2.gz XNNQRACOZAUBBN-IAGOWNOFSA-N 1 2 320.433 1.342 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCC[C@@H]1C(=O)N1CC(CO)C1 ZINC001183830832 743915106 /nfs/dbraw/zinc/91/51/06/743915106.db2.gz IYAXFUVFAPHNDK-QGZVFWFLSA-N 1 2 313.401 1.363 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCC[C@@H]1C(=O)N1CC(CO)C1 ZINC001183830832 743915107 /nfs/dbraw/zinc/91/51/07/743915107.db2.gz IYAXFUVFAPHNDK-QGZVFWFLSA-N 1 2 313.401 1.363 20 30 DDEDLO CO[C@H](C[NH+]1CC(NC(=O)c2ccc(C#N)[nH]2)C1)c1ccccc1 ZINC001030224056 743960537 /nfs/dbraw/zinc/96/05/37/743960537.db2.gz HWDZLUQFCHPEFN-QGZVFWFLSA-N 1 2 324.384 1.688 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cccc3[nH+]ccn32)CC[C@H]1CNCC#N ZINC001184800768 744103776 /nfs/dbraw/zinc/10/37/76/744103776.db2.gz YWDHKOJUWWXEIA-KGLIPLIRSA-N 1 2 311.389 1.546 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001185056121 744144378 /nfs/dbraw/zinc/14/43/78/744144378.db2.gz JMTZUGZTSIJTNW-QWHCGFSZSA-N 1 2 321.425 1.428 20 30 DDEDLO N#Cc1ccc(Br)nc1N1CCn2c[nH+]cc2C1 ZINC001120849378 744505049 /nfs/dbraw/zinc/50/50/49/744505049.db2.gz RQASSQMZAMDMGC-UHFFFAOYSA-N 1 2 304.151 1.932 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C(N)=O)ccn2)[C@H]1C ZINC001089091617 744513571 /nfs/dbraw/zinc/51/35/71/744513571.db2.gz JPSMAVAEOUHDMR-PWSUYJOCSA-N 1 2 322.796 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C(N)=O)ccn2)[C@H]1C ZINC001089091617 744513574 /nfs/dbraw/zinc/51/35/74/744513574.db2.gz JPSMAVAEOUHDMR-PWSUYJOCSA-N 1 2 322.796 1.126 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001187569532 744583158 /nfs/dbraw/zinc/58/31/58/744583158.db2.gz PYGPTYSERWAAFU-GOEBONIOSA-N 1 2 316.405 1.604 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001187569532 744583161 /nfs/dbraw/zinc/58/31/61/744583161.db2.gz PYGPTYSERWAAFU-GOEBONIOSA-N 1 2 316.405 1.604 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001187729809 744597756 /nfs/dbraw/zinc/59/77/56/744597756.db2.gz YANICIYYJKZZLR-LSDHHAIUSA-N 1 2 301.390 1.819 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001187729809 744597760 /nfs/dbraw/zinc/59/77/60/744597760.db2.gz YANICIYYJKZZLR-LSDHHAIUSA-N 1 2 301.390 1.819 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)cn2)C1 ZINC001189379087 744879295 /nfs/dbraw/zinc/87/92/95/744879295.db2.gz GHAKZCZRVNXRLZ-CQSZACIVSA-N 1 2 304.394 1.020 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)cn2)C1 ZINC001189379087 744879299 /nfs/dbraw/zinc/87/92/99/744879299.db2.gz GHAKZCZRVNXRLZ-CQSZACIVSA-N 1 2 304.394 1.020 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(NC(=O)c3n[nH]c4ccccc43)CCC[C@@H]12 ZINC000992485398 744910742 /nfs/dbraw/zinc/91/07/42/744910742.db2.gz FXGSMJVCZNWNSW-CRAIPNDOSA-N 1 2 308.385 1.923 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(NC(=O)c3n[nH]c4ccccc43)CCC[C@@H]12 ZINC000992485398 744910749 /nfs/dbraw/zinc/91/07/49/744910749.db2.gz FXGSMJVCZNWNSW-CRAIPNDOSA-N 1 2 308.385 1.923 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)cn2)C1 ZINC001189910387 745026196 /nfs/dbraw/zinc/02/61/96/745026196.db2.gz OBRZRAFONJWXED-HNNXBMFYSA-N 1 2 300.406 1.621 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)cn2)C1 ZINC001189910387 745026202 /nfs/dbraw/zinc/02/62/02/745026202.db2.gz OBRZRAFONJWXED-HNNXBMFYSA-N 1 2 300.406 1.621 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2c(C)noc2C(F)(F)F)C1 ZINC001031019209 745100216 /nfs/dbraw/zinc/10/02/16/745100216.db2.gz AEUODKCUBPZZIW-UHFFFAOYSA-N 1 2 303.284 1.992 20 30 DDEDLO C=CCn1cc(C(=O)NC2C[NH+]([C@@H](C)c3ccccc3)C2)nn1 ZINC001031068805 745298606 /nfs/dbraw/zinc/29/86/06/745298606.db2.gz GHSSZAPFTFAWSV-ZDUSSCGKSA-N 1 2 311.389 1.639 20 30 DDEDLO CCCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191033693 745410126 /nfs/dbraw/zinc/41/01/26/745410126.db2.gz NEFMSBRNPCFQPA-HUUCEWRRSA-N 1 2 321.446 1.861 20 30 DDEDLO CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191033693 745410128 /nfs/dbraw/zinc/41/01/28/745410128.db2.gz NEFMSBRNPCFQPA-HUUCEWRRSA-N 1 2 321.446 1.861 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C3CC3)no2)C[C@H]1O ZINC001191724665 745583976 /nfs/dbraw/zinc/58/39/76/745583976.db2.gz NYNXBBBSPRRBGU-HUUCEWRRSA-N 1 2 305.378 1.180 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C3CC3)no2)C[C@H]1O ZINC001191724665 745583979 /nfs/dbraw/zinc/58/39/79/745583979.db2.gz NYNXBBBSPRRBGU-HUUCEWRRSA-N 1 2 305.378 1.180 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)CCNc2cc[nH+]c(C)n2)nc1 ZINC001106400290 745662311 /nfs/dbraw/zinc/66/23/11/745662311.db2.gz AYVIGBOSQILPOI-GFCCVEGCSA-N 1 2 309.373 1.782 20 30 DDEDLO C[C@H](CCNc1cnc(C#N)cn1)NC(=O)CCc1c[nH]c[nH+]1 ZINC001106621137 745847067 /nfs/dbraw/zinc/84/70/67/745847067.db2.gz WXKJNPUZJLIZDG-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@H](CCNc1cnc(C#N)cn1)NC(=O)CCc1c[nH+]c[nH]1 ZINC001106621137 745847069 /nfs/dbraw/zinc/84/70/69/745847069.db2.gz WXKJNPUZJLIZDG-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007157155 752040918 /nfs/dbraw/zinc/04/09/18/752040918.db2.gz RTVUVVAVTWIKKW-TZMCWYRMSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007157155 752040920 /nfs/dbraw/zinc/04/09/20/752040920.db2.gz RTVUVVAVTWIKKW-TZMCWYRMSA-N 1 2 324.388 1.632 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(OC)ns2)[C@H]1C ZINC000993368170 746039446 /nfs/dbraw/zinc/03/94/46/746039446.db2.gz RSYMSISYGHWDSF-VXGBXAGGSA-N 1 2 307.419 1.758 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(OC)ns2)[C@H]1C ZINC000993368170 746039450 /nfs/dbraw/zinc/03/94/50/746039450.db2.gz RSYMSISYGHWDSF-VXGBXAGGSA-N 1 2 307.419 1.758 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cn3cc(C)nc3s2)[C@H]1C ZINC000993406825 746078838 /nfs/dbraw/zinc/07/88/38/746078838.db2.gz ALZVTGFVHVTIFQ-OLZOCXBDSA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cn3cc(C)nc3s2)[C@H]1C ZINC000993406825 746078841 /nfs/dbraw/zinc/07/88/41/746078841.db2.gz ALZVTGFVHVTIFQ-OLZOCXBDSA-N 1 2 316.430 1.920 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](CC3CC(F)(F)C3)C2)nc1 ZINC001031278443 746120220 /nfs/dbraw/zinc/12/02/20/746120220.db2.gz MQLRTOLSYKGHRC-UHFFFAOYSA-N 1 2 305.328 1.522 20 30 DDEDLO C=CCOC(=O)N1CCCn2nnc(Cn3cc[nH+]c3)c2C1 ZINC001194299302 746328179 /nfs/dbraw/zinc/32/81/79/746328179.db2.gz FALPFNULNQRTIG-UHFFFAOYSA-N 1 2 302.338 1.051 20 30 DDEDLO CCOCC(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC001194746526 746444607 /nfs/dbraw/zinc/44/46/07/746444607.db2.gz HNWGBDGSYKETBK-UHFFFAOYSA-N 1 2 300.402 1.609 20 30 DDEDLO CCOCC(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC001194746526 746444608 /nfs/dbraw/zinc/44/46/08/746444608.db2.gz HNWGBDGSYKETBK-UHFFFAOYSA-N 1 2 300.402 1.609 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001195566621 746655250 /nfs/dbraw/zinc/65/52/50/746655250.db2.gz ACLXDTBOLLLVEB-NUEKZKHPSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001195566621 746655253 /nfs/dbraw/zinc/65/52/53/746655253.db2.gz ACLXDTBOLLLVEB-NUEKZKHPSA-N 1 2 318.421 1.049 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](Cc2cncc(OC)n2)CC1 ZINC001196018322 746758677 /nfs/dbraw/zinc/75/86/77/746758677.db2.gz ONMJHMODEDTLKO-UHFFFAOYSA-N 1 2 318.421 1.876 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](Cc2cncc(OC)n2)CC1 ZINC001196018322 746758681 /nfs/dbraw/zinc/75/86/81/746758681.db2.gz ONMJHMODEDTLKO-UHFFFAOYSA-N 1 2 318.421 1.876 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cnc(C3CC3)o2)CC1 ZINC001196185186 746786736 /nfs/dbraw/zinc/78/67/36/746786736.db2.gz PQTCUWDYDFHPHC-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cnc(C3CC3)o2)CC1 ZINC001196185186 746786741 /nfs/dbraw/zinc/78/67/41/746786741.db2.gz PQTCUWDYDFHPHC-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CCCN(CC#N)CC1)n1cc[nH+]c1 ZINC001196243170 746821054 /nfs/dbraw/zinc/82/10/54/746821054.db2.gz XHFIHLNRHCGJMI-OAHLLOKOSA-N 1 2 303.410 1.528 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccn[nH]3)C2)c(F)c1 ZINC001031557743 747029278 /nfs/dbraw/zinc/02/92/78/747029278.db2.gz FZQWKIUNKFUEOA-UHFFFAOYSA-N 1 2 313.336 1.282 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)c3cocn3)C2)c1 ZINC001031579703 747094139 /nfs/dbraw/zinc/09/41/39/747094139.db2.gz KMAIDTCXIZULIC-UHFFFAOYSA-N 1 2 314.320 1.547 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2coc(C3CC3)n2)CC1 ZINC001197353665 747134810 /nfs/dbraw/zinc/13/48/10/747134810.db2.gz FYNQRDRBGVRKDZ-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2coc(C3CC3)n2)CC1 ZINC001197353665 747134812 /nfs/dbraw/zinc/13/48/12/747134812.db2.gz FYNQRDRBGVRKDZ-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)CCCO1 ZINC001089537651 747166947 /nfs/dbraw/zinc/16/69/47/747166947.db2.gz RLCSAJPLDUOKBW-OAHLLOKOSA-N 1 2 316.405 1.300 20 30 DDEDLO CCc1oc(C(=O)N2CCC[N@H+](CC#CCOC)CC2)cc1C ZINC001197793934 747266698 /nfs/dbraw/zinc/26/66/98/747266698.db2.gz DXOQDLVJRXGAGX-UHFFFAOYSA-N 1 2 318.417 1.948 20 30 DDEDLO CCc1oc(C(=O)N2CCC[N@@H+](CC#CCOC)CC2)cc1C ZINC001197793934 747266703 /nfs/dbraw/zinc/26/67/03/747266703.db2.gz DXOQDLVJRXGAGX-UHFFFAOYSA-N 1 2 318.417 1.948 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(F)c(N(C)C)c(F)c2)CC1 ZINC001197840152 747275148 /nfs/dbraw/zinc/27/51/48/747275148.db2.gz VHKIWLRXCIFLQH-UHFFFAOYSA-N 1 2 309.360 1.975 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2CCCCC2)[C@@H](O)C1 ZINC001090024183 747353102 /nfs/dbraw/zinc/35/31/02/747353102.db2.gz ZRIAJJRUISSBJH-KBPBESRZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2CCCCC2)[C@@H](O)C1 ZINC001090024183 747353111 /nfs/dbraw/zinc/35/31/11/747353111.db2.gz ZRIAJJRUISSBJH-KBPBESRZSA-N 1 2 300.830 1.871 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccncc2OC(F)(F)F)CC1 ZINC001198249083 747427296 /nfs/dbraw/zinc/42/72/96/747427296.db2.gz XWELQEKXFCTRRW-UHFFFAOYSA-N 1 2 315.295 1.924 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+]([C@@H](C)c2cnccn2)CC1 ZINC001198344430 747459578 /nfs/dbraw/zinc/45/95/78/747459578.db2.gz UZCNOJUWENIIHN-LSDHHAIUSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+]([C@@H](C)c2cnccn2)CC1 ZINC001198344430 747459584 /nfs/dbraw/zinc/45/95/84/747459584.db2.gz UZCNOJUWENIIHN-LSDHHAIUSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+]([C@@H](C)c2cnccn2)CC1 ZINC001198344428 747459774 /nfs/dbraw/zinc/45/97/74/747459774.db2.gz UZCNOJUWENIIHN-GJZGRUSLSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+]([C@@H](C)c2cnccn2)CC1 ZINC001198344428 747459779 /nfs/dbraw/zinc/45/97/79/747459779.db2.gz UZCNOJUWENIIHN-GJZGRUSLSA-N 1 2 318.421 1.663 20 30 DDEDLO C#CC[NH2+][C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@@H]1C ZINC001036140933 752201879 /nfs/dbraw/zinc/20/18/79/752201879.db2.gz MFZYWEVPLDSUSF-BBRMVZONSA-N 1 2 323.400 1.545 20 30 DDEDLO CCN(c1ccc(C#N)nc1)[C@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001089754758 747736195 /nfs/dbraw/zinc/73/61/95/747736195.db2.gz XKSUQONCFZECCO-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(C)nn2-c2cccnc2)CC1 ZINC001199584964 747918026 /nfs/dbraw/zinc/91/80/26/747918026.db2.gz OKBDQLBNFWBRLG-UHFFFAOYSA-N 1 2 311.389 1.520 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CC[C@@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001033019689 747931561 /nfs/dbraw/zinc/93/15/61/747931561.db2.gz CJDLABZHDUNVDD-IUODEOHRSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CC[C@@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001033019689 747931568 /nfs/dbraw/zinc/93/15/68/747931568.db2.gz CJDLABZHDUNVDD-IUODEOHRSA-N 1 2 324.388 1.584 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2ccn(CC)n2)C1 ZINC001033100221 748282754 /nfs/dbraw/zinc/28/27/54/748282754.db2.gz VRAATKDVBQZBNL-CQSZACIVSA-N 1 2 310.829 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2ccn(CC)n2)C1 ZINC001033100221 748282760 /nfs/dbraw/zinc/28/27/60/748282760.db2.gz VRAATKDVBQZBNL-CQSZACIVSA-N 1 2 310.829 1.731 20 30 DDEDLO Cc1nc(C)c(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)[nH]1 ZINC001031984971 748355691 /nfs/dbraw/zinc/35/56/91/748355691.db2.gz HYEJWXILGMIBAT-UHFFFAOYSA-N 1 2 323.400 1.760 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2cc[nH]c(=O)c2)CC1 ZINC001004389609 748387621 /nfs/dbraw/zinc/38/76/21/748387621.db2.gz FUKRWYCJZVDBBZ-OAHLLOKOSA-N 1 2 314.389 1.627 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2cc[nH]c(=O)c2)CC1 ZINC001004389609 748387626 /nfs/dbraw/zinc/38/76/26/748387626.db2.gz FUKRWYCJZVDBBZ-OAHLLOKOSA-N 1 2 314.389 1.627 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)[C@H]2CCCCO2)CC1 ZINC001004409463 748408939 /nfs/dbraw/zinc/40/89/39/748408939.db2.gz WNLIPFXGYHRAMY-JKSUJKDBSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)[C@H]2CCCCO2)CC1 ZINC001004409463 748408945 /nfs/dbraw/zinc/40/89/45/748408945.db2.gz WNLIPFXGYHRAMY-JKSUJKDBSA-N 1 2 305.422 1.782 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2CCc3[nH+]ccn3CC2)cc1C#N ZINC001201312088 748474217 /nfs/dbraw/zinc/47/42/17/748474217.db2.gz HNBNWASPIHAERU-UHFFFAOYSA-N 1 2 316.386 1.310 20 30 DDEDLO Cc1c[nH]c(C(=O)NCC2C[NH+](Cc3ccc(C#N)s3)C2)n1 ZINC001032040592 748482377 /nfs/dbraw/zinc/48/23/77/748482377.db2.gz LLIYNTGELBCECB-UHFFFAOYSA-N 1 2 315.402 1.513 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004580561 748564937 /nfs/dbraw/zinc/56/49/37/748564937.db2.gz FTZGOWSPXRYADI-OAHLLOKOSA-N 1 2 315.421 1.867 20 30 DDEDLO Cc1n[nH]c(C)c1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004580561 748564944 /nfs/dbraw/zinc/56/49/44/748564944.db2.gz FTZGOWSPXRYADI-OAHLLOKOSA-N 1 2 315.421 1.867 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+]Cc1nc(CCC)no1)OCC ZINC001128529049 748740444 /nfs/dbraw/zinc/74/04/44/748740444.db2.gz WPRJCVUVXPORIS-ZDUSSCGKSA-N 1 2 324.425 1.599 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@]1(C)CCN(c2cc[nH+]c(C)n2)C1 ZINC001110755506 748984396 /nfs/dbraw/zinc/98/43/96/748984396.db2.gz QXHXJTALJRMEMK-HZPDHXFCSA-N 1 2 304.394 1.197 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114326864 749057748 /nfs/dbraw/zinc/05/77/48/749057748.db2.gz YSFIPIFDTOMSFC-FOLVSLTJSA-N 1 2 316.405 1.693 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114326864 749057757 /nfs/dbraw/zinc/05/77/57/749057757.db2.gz YSFIPIFDTOMSFC-FOLVSLTJSA-N 1 2 316.405 1.693 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2occ3c2CCOC3)C1 ZINC001108099374 749102147 /nfs/dbraw/zinc/10/21/47/749102147.db2.gz KPNHPFNOHKIQNK-KRWDZBQOSA-N 1 2 320.389 1.359 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2occ3c2CCOC3)C1 ZINC001108099374 749102150 /nfs/dbraw/zinc/10/21/50/749102150.db2.gz KPNHPFNOHKIQNK-KRWDZBQOSA-N 1 2 320.389 1.359 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001108099972 749116935 /nfs/dbraw/zinc/11/69/35/749116935.db2.gz COBBFUUCCKONDS-DGCLKSJQSA-N 1 2 324.388 1.713 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@H+](Cc3cnnn3C)C2)cc1 ZINC001033323799 749189561 /nfs/dbraw/zinc/18/95/61/749189561.db2.gz XYBPOPKACXTJQC-MRXNPFEDSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3cnnn3C)C2)cc1 ZINC001033323799 749189564 /nfs/dbraw/zinc/18/95/64/749189564.db2.gz XYBPOPKACXTJQC-MRXNPFEDSA-N 1 2 323.400 1.143 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CN(C(=O)C#CC2CC2)CC1(C)C)c1csnn1 ZINC000995800004 749233005 /nfs/dbraw/zinc/23/30/05/749233005.db2.gz UHXFOLXURULFLX-RISCZKNCSA-N 1 2 318.446 1.839 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)Oc2ccccn2)C1 ZINC001108346416 761949005 /nfs/dbraw/zinc/94/90/05/761949005.db2.gz JXFWZJWKALSXEU-WMLDXEAASA-N 1 2 319.405 1.242 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)Oc2ccccn2)C1 ZINC001108346416 761949011 /nfs/dbraw/zinc/94/90/11/761949011.db2.gz JXFWZJWKALSXEU-WMLDXEAASA-N 1 2 319.405 1.242 20 30 DDEDLO CN(C(=O)c1cnon1)[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001033527250 749478990 /nfs/dbraw/zinc/47/89/90/749478990.db2.gz NQSWVFWEAHXOAP-HNNXBMFYSA-N 1 2 310.357 1.268 20 30 DDEDLO CN(C(=O)c1cnon1)[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001033527250 749478992 /nfs/dbraw/zinc/47/89/92/749478992.db2.gz NQSWVFWEAHXOAP-HNNXBMFYSA-N 1 2 310.357 1.268 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@](C)(CNc2cc[nH+]c(C)n2)C1 ZINC001110849143 749755898 /nfs/dbraw/zinc/75/58/98/749755898.db2.gz WJTYXMJICROTMN-QGZVFWFLSA-N 1 2 316.405 1.475 20 30 DDEDLO N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001039396763 761995654 /nfs/dbraw/zinc/99/56/54/761995654.db2.gz DZISLEJCQBYHFB-ZNMIVQPWSA-N 1 2 313.405 1.034 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001033837916 749962511 /nfs/dbraw/zinc/96/25/11/749962511.db2.gz VCKNQNUGBNPPCU-HUUCEWRRSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001033837916 749962515 /nfs/dbraw/zinc/96/25/15/749962515.db2.gz VCKNQNUGBNPPCU-HUUCEWRRSA-N 1 2 300.406 1.386 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2coc(OC)n2)C1 ZINC001033911746 750109898 /nfs/dbraw/zinc/10/98/98/750109898.db2.gz ZSFGSDMBBZBYAE-NSHDSACASA-N 1 2 313.785 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2coc(OC)n2)C1 ZINC001033911746 750109901 /nfs/dbraw/zinc/10/99/01/750109901.db2.gz ZSFGSDMBBZBYAE-NSHDSACASA-N 1 2 313.785 1.972 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC ZINC001110888703 750176665 /nfs/dbraw/zinc/17/66/65/750176665.db2.gz QYRFPUBRHNQSHG-MCIONIFRSA-N 1 2 307.438 1.446 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC ZINC001110888703 750176671 /nfs/dbraw/zinc/17/66/71/750176671.db2.gz QYRFPUBRHNQSHG-MCIONIFRSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CC(=C)C)C2 ZINC001110931898 750239160 /nfs/dbraw/zinc/23/91/60/750239160.db2.gz AZUWHCBJDCBMFL-JJXSEGSLSA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CC(=C)C)C2 ZINC001110931898 750239168 /nfs/dbraw/zinc/23/91/68/750239168.db2.gz AZUWHCBJDCBMFL-JJXSEGSLSA-N 1 2 319.449 1.612 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3ocnc3C)C[C@H]2O)C1 ZINC001077645594 750287346 /nfs/dbraw/zinc/28/73/46/750287346.db2.gz OCVWOTCKAGLBKC-CHWSQXEVSA-N 1 2 305.378 1.001 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3ocnc3C)C[C@H]2O)C1 ZINC001077645594 750287347 /nfs/dbraw/zinc/28/73/47/750287347.db2.gz OCVWOTCKAGLBKC-CHWSQXEVSA-N 1 2 305.378 1.001 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](C[C@H](F)CC)C[C@@H]1n1ccnn1 ZINC001128817293 750320720 /nfs/dbraw/zinc/32/07/20/750320720.db2.gz ZLSKJVMJWRWULO-MCIONIFRSA-N 1 2 309.389 1.334 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](C[C@H](F)CC)C[C@@H]1n1ccnn1 ZINC001128817293 750320727 /nfs/dbraw/zinc/32/07/27/750320727.db2.gz ZLSKJVMJWRWULO-MCIONIFRSA-N 1 2 309.389 1.334 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C[C@H](C)C(C)C)[C@@H](n2ccnn2)C1 ZINC001128824350 750328082 /nfs/dbraw/zinc/32/80/82/750328082.db2.gz BJCCDQKELABWTF-XHSDSOJGSA-N 1 2 317.437 1.325 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C[C@H](C)C(C)C)[C@@H](n2ccnn2)C1 ZINC001128824350 750328088 /nfs/dbraw/zinc/32/80/88/750328088.db2.gz BJCCDQKELABWTF-XHSDSOJGSA-N 1 2 317.437 1.325 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cc(C)n[nH]2)C1 ZINC001107860457 750469248 /nfs/dbraw/zinc/46/92/48/750469248.db2.gz PSFWAOQRTLQWGF-INIZCTEOSA-N 1 2 306.410 1.044 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cc(C)n[nH]2)C1 ZINC001107860457 750469255 /nfs/dbraw/zinc/46/92/55/750469255.db2.gz PSFWAOQRTLQWGF-INIZCTEOSA-N 1 2 306.410 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC001034468900 750473189 /nfs/dbraw/zinc/47/31/89/750473189.db2.gz UTPNAIQXGILOSN-LBPRGKRZSA-N 1 2 311.817 1.635 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC001034468900 750473193 /nfs/dbraw/zinc/47/31/93/750473193.db2.gz UTPNAIQXGILOSN-LBPRGKRZSA-N 1 2 311.817 1.635 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2O[C@H](C)CC)C1 ZINC001077754671 750522062 /nfs/dbraw/zinc/52/20/62/750522062.db2.gz NABYWDZTDZHWDT-FVQBIDKESA-N 1 2 316.401 1.272 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccccc2O[C@H](C)CC)C1 ZINC001077754671 750522067 /nfs/dbraw/zinc/52/20/67/750522067.db2.gz NABYWDZTDZHWDT-FVQBIDKESA-N 1 2 316.401 1.272 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C#CC1CC1 ZINC001032396806 750563787 /nfs/dbraw/zinc/56/37/87/750563787.db2.gz FYPCDABWHUPGQI-GJZGRUSLSA-N 1 2 313.401 1.744 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C#CC1CC1 ZINC001032396806 750563789 /nfs/dbraw/zinc/56/37/89/750563789.db2.gz FYPCDABWHUPGQI-GJZGRUSLSA-N 1 2 313.401 1.744 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)C(F)(F)F)C1 ZINC001108131984 750621410 /nfs/dbraw/zinc/62/14/10/750621410.db2.gz GOKHDYAKFZDWLY-WCQYABFASA-N 1 2 306.328 1.415 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)C(F)(F)F)C1 ZINC001108131984 750621414 /nfs/dbraw/zinc/62/14/14/750621414.db2.gz GOKHDYAKFZDWLY-WCQYABFASA-N 1 2 306.328 1.415 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N[C@H](C)CNc1cc[nH+]c(C)n1 ZINC001107938989 750716019 /nfs/dbraw/zinc/71/60/19/750716019.db2.gz JOLJKWQUVIREOY-RISCZKNCSA-N 1 2 319.409 1.173 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(c3ccccn3)CC2)C1 ZINC001107943677 750764158 /nfs/dbraw/zinc/76/41/58/750764158.db2.gz DNBPKDVNJYWAHL-QGZVFWFLSA-N 1 2 315.417 1.506 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(c3ccccn3)CC2)C1 ZINC001107943677 750764162 /nfs/dbraw/zinc/76/41/62/750764162.db2.gz DNBPKDVNJYWAHL-QGZVFWFLSA-N 1 2 315.417 1.506 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2nc(Cl)cs2)C1 ZINC001108160532 750897344 /nfs/dbraw/zinc/89/73/44/750897344.db2.gz NECKUQXNPXZNHE-ZDUSSCGKSA-N 1 2 315.826 1.803 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2nc(Cl)cs2)C1 ZINC001108160532 750897355 /nfs/dbraw/zinc/89/73/55/750897355.db2.gz NECKUQXNPXZNHE-ZDUSSCGKSA-N 1 2 315.826 1.803 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114692648 750994921 /nfs/dbraw/zinc/99/49/21/750994921.db2.gz MCIJUYCJVROGQZ-HALDLXJZSA-N 1 2 316.405 1.508 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114692648 750994923 /nfs/dbraw/zinc/99/49/23/750994923.db2.gz MCIJUYCJVROGQZ-HALDLXJZSA-N 1 2 316.405 1.508 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001032484899 751001584 /nfs/dbraw/zinc/00/15/84/751001584.db2.gz RJSSPFMSVOUERQ-RDBSUJKOSA-N 1 2 320.824 1.483 20 30 DDEDLO CCc1noc(C[NH2+]C[C@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC001034935795 751047405 /nfs/dbraw/zinc/04/74/05/751047405.db2.gz YPCJAUYUGIXRHT-CYBMUJFWSA-N 1 2 302.378 1.126 20 30 DDEDLO Cn1cncc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccc(C#N)cc1 ZINC001032501815 751101043 /nfs/dbraw/zinc/10/10/43/751101043.db2.gz YKAZGICBTYZSMF-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO Cn1cncc1C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccc(C#N)cc1 ZINC001032501815 751101048 /nfs/dbraw/zinc/10/10/48/751101048.db2.gz YKAZGICBTYZSMF-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1nc2ccccc2[nH]1 ZINC001032504032 751106648 /nfs/dbraw/zinc/10/66/48/751106648.db2.gz DYMKCNZFUAUWNC-KBPBESRZSA-N 1 2 308.385 1.414 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1nc2ccccc2[nH]1 ZINC001032504032 751106652 /nfs/dbraw/zinc/10/66/52/751106652.db2.gz DYMKCNZFUAUWNC-KBPBESRZSA-N 1 2 308.385 1.414 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)C1(C)CC1)CC2 ZINC001127990987 751196463 /nfs/dbraw/zinc/19/64/63/751196463.db2.gz MNYJHMWRNCYVRD-ZDUSSCGKSA-N 1 2 315.421 1.012 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2c(c1)c(C)nn2C ZINC001032551808 751279580 /nfs/dbraw/zinc/27/95/80/751279580.db2.gz HGQMGDIGBPURFU-GJZGRUSLSA-N 1 2 323.400 1.199 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2c(c1)c(C)nn2C ZINC001032551808 751279585 /nfs/dbraw/zinc/27/95/85/751279585.db2.gz HGQMGDIGBPURFU-GJZGRUSLSA-N 1 2 323.400 1.199 20 30 DDEDLO O=C(N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1)c1ncc[nH]1 ZINC001007285967 751281069 /nfs/dbraw/zinc/28/10/69/751281069.db2.gz LYMPMZCBLTZCGY-INIZCTEOSA-N 1 2 308.385 1.656 20 30 DDEDLO O=C(N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1)c1ncc[nH]1 ZINC001007285967 751281072 /nfs/dbraw/zinc/28/10/72/751281072.db2.gz LYMPMZCBLTZCGY-INIZCTEOSA-N 1 2 308.385 1.656 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nc(C)c2)C1 ZINC001107971919 751283309 /nfs/dbraw/zinc/28/33/09/751283309.db2.gz CCDWXZMOSAIBCM-SFHVURJKSA-N 1 2 315.417 1.542 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nc(C)c2)C1 ZINC001107971919 751283311 /nfs/dbraw/zinc/28/33/11/751283311.db2.gz CCDWXZMOSAIBCM-SFHVURJKSA-N 1 2 315.417 1.542 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2ccc(C)o2)C1 ZINC001108417946 762112277 /nfs/dbraw/zinc/11/22/77/762112277.db2.gz LEKYCUAARWJMSA-GOSISDBHSA-N 1 2 318.417 1.751 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2ccc(C)o2)C1 ZINC001108417946 762112279 /nfs/dbraw/zinc/11/22/79/762112279.db2.gz LEKYCUAARWJMSA-GOSISDBHSA-N 1 2 318.417 1.751 20 30 DDEDLO C#CC[N@H+]1CCC2(C1)CCN(C(=O)C(F)C(F)(F)F)CC2 ZINC001035186820 751287336 /nfs/dbraw/zinc/28/73/36/751287336.db2.gz KODKKQYGCWHSIX-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CCC2(C1)CCN(C(=O)C(F)C(F)(F)F)CC2 ZINC001035186820 751287338 /nfs/dbraw/zinc/28/73/38/751287338.db2.gz KODKKQYGCWHSIX-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@H+]1CCC2(C1)CCN(C(=O)[C@H](F)C(F)(F)F)CC2 ZINC001035186820 751287341 /nfs/dbraw/zinc/28/73/41/751287341.db2.gz KODKKQYGCWHSIX-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CCC2(C1)CCN(C(=O)[C@H](F)C(F)(F)F)CC2 ZINC001035186820 751287343 /nfs/dbraw/zinc/28/73/43/751287343.db2.gz KODKKQYGCWHSIX-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+][C@@H](C)c2ncc(C)o2)CCOCC1 ZINC001129116558 751302738 /nfs/dbraw/zinc/30/27/38/751302738.db2.gz BBSPYZCUTPODOQ-AWEZNQCLSA-N 1 2 319.405 1.570 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2csc(CC)n2)C1 ZINC001108419215 762114858 /nfs/dbraw/zinc/11/48/58/762114858.db2.gz FGICGRLPAIJOGS-INIZCTEOSA-N 1 2 323.462 1.641 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2csc(CC)n2)C1 ZINC001108419215 762114862 /nfs/dbraw/zinc/11/48/62/762114862.db2.gz FGICGRLPAIJOGS-INIZCTEOSA-N 1 2 323.462 1.641 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H]1CCN(C)C1=O ZINC001032560311 751334509 /nfs/dbraw/zinc/33/45/09/751334509.db2.gz UWJPXYNEBDZLNV-MELADBBJSA-N 1 2 305.422 1.105 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H]1CCN(C)C1=O ZINC001032560311 751334515 /nfs/dbraw/zinc/33/45/15/751334515.db2.gz UWJPXYNEBDZLNV-MELADBBJSA-N 1 2 305.422 1.105 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(N(C)C)cn2)C1 ZINC001108427393 762134703 /nfs/dbraw/zinc/13/47/03/762134703.db2.gz YVBPOJSVCPUKHI-KRWDZBQOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(N(C)C)cn2)C1 ZINC001108427393 762134707 /nfs/dbraw/zinc/13/47/07/762134707.db2.gz YVBPOJSVCPUKHI-KRWDZBQOSA-N 1 2 318.421 1.154 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2occc2C[NH+](C)C)C1 ZINC001008343982 752682473 /nfs/dbraw/zinc/68/24/73/752682473.db2.gz PKNWPJJPALGGDL-HNNXBMFYSA-N 1 2 303.406 1.559 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2cc(OC)ccc12 ZINC001032686262 752723525 /nfs/dbraw/zinc/72/35/25/752723525.db2.gz LKZFWRBQPQFDJV-KBPBESRZSA-N 1 2 324.384 1.265 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2cc(OC)ccc12 ZINC001032686262 752723531 /nfs/dbraw/zinc/72/35/31/752723531.db2.gz LKZFWRBQPQFDJV-KBPBESRZSA-N 1 2 324.384 1.265 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cccc(=O)n2C)C1 ZINC001008485555 752759751 /nfs/dbraw/zinc/75/97/51/752759751.db2.gz INHUPERQJHFSET-LBPRGKRZSA-N 1 2 309.797 1.332 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cccc(=O)n2C)C1 ZINC001008485555 752759756 /nfs/dbraw/zinc/75/97/56/752759756.db2.gz INHUPERQJHFSET-LBPRGKRZSA-N 1 2 309.797 1.332 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001032720648 753207522 /nfs/dbraw/zinc/20/75/22/753207522.db2.gz BCGYUUSCAXNJAD-GJZGRUSLSA-N 1 2 324.384 1.602 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001032720648 753207524 /nfs/dbraw/zinc/20/75/24/753207524.db2.gz BCGYUUSCAXNJAD-GJZGRUSLSA-N 1 2 324.384 1.602 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)[nH]nc1C(C)C ZINC001032720684 753207622 /nfs/dbraw/zinc/20/76/22/753207622.db2.gz CUZDLAPTJBJCDF-KBPBESRZSA-N 1 2 300.406 1.764 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)[nH]nc1C(C)C ZINC001032720684 753207625 /nfs/dbraw/zinc/20/76/25/753207625.db2.gz CUZDLAPTJBJCDF-KBPBESRZSA-N 1 2 300.406 1.764 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)n[nH]c1C(C)C ZINC001032720684 753207629 /nfs/dbraw/zinc/20/76/29/753207629.db2.gz CUZDLAPTJBJCDF-KBPBESRZSA-N 1 2 300.406 1.764 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)n[nH]c1C(C)C ZINC001032720684 753207632 /nfs/dbraw/zinc/20/76/32/753207632.db2.gz CUZDLAPTJBJCDF-KBPBESRZSA-N 1 2 300.406 1.764 20 30 DDEDLO CC#CCCCC(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001077901553 753375711 /nfs/dbraw/zinc/37/57/11/753375711.db2.gz TZZVPXUCKYQUCY-AWEZNQCLSA-N 1 2 318.421 1.167 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049479805 753381994 /nfs/dbraw/zinc/38/19/94/753381994.db2.gz CBDPIKDJOSUOAD-IJLUTSLNSA-N 1 2 306.303 1.975 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049479805 753382004 /nfs/dbraw/zinc/38/20/04/753382004.db2.gz CBDPIKDJOSUOAD-IJLUTSLNSA-N 1 2 306.303 1.975 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001077927594 753435064 /nfs/dbraw/zinc/43/50/64/753435064.db2.gz XXONQGJQMYQZBU-KBPBESRZSA-N 1 2 320.437 1.576 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C(C)(C)C)c1CC ZINC001032785912 753681332 /nfs/dbraw/zinc/68/13/32/753681332.db2.gz WMXKEQXFXZGCRJ-KBPBESRZSA-N 1 2 314.433 1.732 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C(C)(C)C)c1CC ZINC001032785912 753681336 /nfs/dbraw/zinc/68/13/36/753681336.db2.gz WMXKEQXFXZGCRJ-KBPBESRZSA-N 1 2 314.433 1.732 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CCN(C(=O)Cc1c[nH+]c[nH]1)CC2 ZINC001060940161 753687588 /nfs/dbraw/zinc/68/75/88/753687588.db2.gz UJMUBTVKVJKFPO-UHFFFAOYSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001078099292 753732477 /nfs/dbraw/zinc/73/24/77/753732477.db2.gz CTYVSDXVXPORMK-ZDUSSCGKSA-N 1 2 306.410 1.710 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001078099292 753732482 /nfs/dbraw/zinc/73/24/82/753732482.db2.gz CTYVSDXVXPORMK-ZDUSSCGKSA-N 1 2 306.410 1.710 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(F)cn2)C1 ZINC001108032664 753807597 /nfs/dbraw/zinc/80/75/97/753807597.db2.gz DIUKWMUIDZSDME-INIZCTEOSA-N 1 2 307.369 1.156 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(F)cn2)C1 ZINC001108032664 753807602 /nfs/dbraw/zinc/80/76/02/753807602.db2.gz DIUKWMUIDZSDME-INIZCTEOSA-N 1 2 307.369 1.156 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3cncc(F)c3)C2)c1 ZINC001010457551 753937551 /nfs/dbraw/zinc/93/75/51/753937551.db2.gz MLMDEWRWIHLWGM-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3cncc(F)c3)C2)c1 ZINC001010457551 753937555 /nfs/dbraw/zinc/93/75/55/753937555.db2.gz MLMDEWRWIHLWGM-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO CCN(C(=O)c1cc(C#N)c[nH]1)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001063098102 753971739 /nfs/dbraw/zinc/97/17/39/753971739.db2.gz TVTYTOYIMVNWFF-CQSZACIVSA-N 1 2 324.388 1.726 20 30 DDEDLO CCn1nccc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001010738360 754135107 /nfs/dbraw/zinc/13/51/07/754135107.db2.gz AUSCRDLHQJLSDK-KRWDZBQOSA-N 1 2 322.412 1.759 20 30 DDEDLO CCn1nccc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001010738360 754135112 /nfs/dbraw/zinc/13/51/12/754135112.db2.gz AUSCRDLHQJLSDK-KRWDZBQOSA-N 1 2 322.412 1.759 20 30 DDEDLO C#CCCCCC(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063517540 754204455 /nfs/dbraw/zinc/20/44/55/754204455.db2.gz ITVRSXRMOWHDFR-UHFFFAOYSA-N 1 2 316.405 1.232 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)CC[C@@H]1Nc1ccc(C#N)nc1 ZINC001063761164 754357787 /nfs/dbraw/zinc/35/77/87/754357787.db2.gz VUWKGLKLEIOZNH-DOMZBBRYSA-N 1 2 324.388 1.568 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)CCc2c[nH+]cn2C)C1 ZINC001079471223 755365718 /nfs/dbraw/zinc/36/57/18/755365718.db2.gz UJLJFTSJPXBKCD-UHFFFAOYSA-N 1 2 318.421 1.378 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001038631958 755569109 /nfs/dbraw/zinc/56/91/09/755569109.db2.gz MPKKYVAGMSLRJG-GXTWGEPZSA-N 1 2 302.378 1.089 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001038631958 755569112 /nfs/dbraw/zinc/56/91/12/755569112.db2.gz MPKKYVAGMSLRJG-GXTWGEPZSA-N 1 2 302.378 1.089 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001014366381 755698751 /nfs/dbraw/zinc/69/87/51/755698751.db2.gz BQPSQXFQWLSBOH-MRXNPFEDSA-N 1 2 308.385 1.574 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001014366381 755698753 /nfs/dbraw/zinc/69/87/53/755698753.db2.gz BQPSQXFQWLSBOH-MRXNPFEDSA-N 1 2 308.385 1.574 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC2CC(F)(F)C2)[C@@H](O)C1 ZINC001090368669 756057948 /nfs/dbraw/zinc/05/79/48/756057948.db2.gz SEEICRGGIIUFJF-RYUDHWBXSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC2CC(F)(F)C2)[C@@H](O)C1 ZINC001090368669 756057958 /nfs/dbraw/zinc/05/79/58/756057958.db2.gz SEEICRGGIIUFJF-RYUDHWBXSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001015109525 756127435 /nfs/dbraw/zinc/12/74/35/756127435.db2.gz VEAVAOMOQKNPNO-JTQLQIEISA-N 1 2 305.769 1.466 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001015109525 756127437 /nfs/dbraw/zinc/12/74/37/756127437.db2.gz VEAVAOMOQKNPNO-JTQLQIEISA-N 1 2 305.769 1.466 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067115340 756355903 /nfs/dbraw/zinc/35/59/03/756355903.db2.gz ZKJJOAYJIADWRU-DOMZBBRYSA-N 1 2 310.361 1.109 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2n[nH]c(CC)c2Cl)[C@H](OC)C1 ZINC001081807072 756487518 /nfs/dbraw/zinc/48/75/18/756487518.db2.gz UDJNJJQTGOMKIL-VXGBXAGGSA-N 1 2 324.812 1.078 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2n[nH]c(CC)c2Cl)[C@H](OC)C1 ZINC001081807072 756487521 /nfs/dbraw/zinc/48/75/21/756487521.db2.gz UDJNJJQTGOMKIL-VXGBXAGGSA-N 1 2 324.812 1.078 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(F)c2F)[C@H](OC)C1 ZINC001082077800 756630279 /nfs/dbraw/zinc/63/02/79/756630279.db2.gz FHSJHAQGHYRBEJ-ZIAGYGMSSA-N 1 2 308.328 1.417 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(F)c2F)[C@H](OC)C1 ZINC001082077800 756630280 /nfs/dbraw/zinc/63/02/80/756630280.db2.gz FHSJHAQGHYRBEJ-ZIAGYGMSSA-N 1 2 308.328 1.417 20 30 DDEDLO Cc1cnoc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015937551 756663457 /nfs/dbraw/zinc/66/34/57/756663457.db2.gz DCWNFWCJMLENAF-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cnoc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015937551 756663459 /nfs/dbraw/zinc/66/34/59/756663459.db2.gz DCWNFWCJMLENAF-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2oc(C(C)C)nc2C)[C@H](OC)C1 ZINC001082215894 756688055 /nfs/dbraw/zinc/68/80/55/756688055.db2.gz HLNLXNNGOMOKIX-ZIAGYGMSSA-N 1 2 319.405 1.559 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2oc(C(C)C)nc2C)[C@H](OC)C1 ZINC001082215894 756688059 /nfs/dbraw/zinc/68/80/59/756688059.db2.gz HLNLXNNGOMOKIX-ZIAGYGMSSA-N 1 2 319.405 1.559 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001082318393 756732847 /nfs/dbraw/zinc/73/28/47/756732847.db2.gz IVTUBCOKVQHVAL-HNNXBMFYSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)cccc2Cl)[C@H](OC)C1 ZINC001082339753 756745724 /nfs/dbraw/zinc/74/57/24/756745724.db2.gz CFUAWVXGUUHFIF-CHWSQXEVSA-N 1 2 310.756 1.541 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2c(F)cccc2Cl)[C@H](OC)C1 ZINC001082339753 756745725 /nfs/dbraw/zinc/74/57/25/756745725.db2.gz CFUAWVXGUUHFIF-CHWSQXEVSA-N 1 2 310.756 1.541 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001097174887 756948568 /nfs/dbraw/zinc/94/85/68/756948568.db2.gz WJMQIFFHQMKFKP-BETUJISGSA-N 1 2 316.409 1.109 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001097257020 757024497 /nfs/dbraw/zinc/02/44/97/757024497.db2.gz DWYPMERHBYEZGA-BNOWGMLFSA-N 1 2 324.388 1.614 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001097257020 757024507 /nfs/dbraw/zinc/02/45/07/757024507.db2.gz DWYPMERHBYEZGA-BNOWGMLFSA-N 1 2 324.388 1.614 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(COC)o3)[C@H]2C1 ZINC001083137379 757151562 /nfs/dbraw/zinc/15/15/62/757151562.db2.gz WQSWNFUFXVSPQR-DZGCQCFKSA-N 1 2 306.362 1.137 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(COC)o3)[C@H]2C1 ZINC001083137379 757151565 /nfs/dbraw/zinc/15/15/65/757151565.db2.gz WQSWNFUFXVSPQR-DZGCQCFKSA-N 1 2 306.362 1.137 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)C[C@@H]3COC(=O)C3)[C@@H]2C1 ZINC001084278786 757465478 /nfs/dbraw/zinc/46/54/78/757465478.db2.gz IRUXNEDSQZWYFA-YNEHKIRRSA-N 1 2 312.797 1.225 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)C[C@@H]3COC(=O)C3)[C@@H]2C1 ZINC001084278786 757465482 /nfs/dbraw/zinc/46/54/82/757465482.db2.gz IRUXNEDSQZWYFA-YNEHKIRRSA-N 1 2 312.797 1.225 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001017179608 757694600 /nfs/dbraw/zinc/69/46/00/757694600.db2.gz LSSCLWBRBHAUIE-CQSZACIVSA-N 1 2 319.453 1.698 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001017179608 757694603 /nfs/dbraw/zinc/69/46/03/757694603.db2.gz LSSCLWBRBHAUIE-CQSZACIVSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cn(C)c(=O)[nH]3)[C@@H]2C1 ZINC001084632761 757722134 /nfs/dbraw/zinc/72/21/34/757722134.db2.gz KJNCFRHWLDBMBK-ZYHUDNBSSA-N 1 2 310.785 1.025 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cn(C)c(=O)[nH]3)[C@@H]2C1 ZINC001084632761 757722140 /nfs/dbraw/zinc/72/21/40/757722140.db2.gz KJNCFRHWLDBMBK-ZYHUDNBSSA-N 1 2 310.785 1.025 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3[nH]c(C)nc3C)[C@@H]2C1 ZINC001084669072 757755050 /nfs/dbraw/zinc/75/50/50/757755050.db2.gz YMEJXLFTIHXDOF-CHWSQXEVSA-N 1 2 308.813 1.925 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3[nH]c(C)nc3C)[C@@H]2C1 ZINC001084669072 757755056 /nfs/dbraw/zinc/75/50/56/757755056.db2.gz YMEJXLFTIHXDOF-CHWSQXEVSA-N 1 2 308.813 1.925 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(-c4ccccn4)no3)[C@@H]2C1 ZINC001084743063 757858219 /nfs/dbraw/zinc/85/82/19/757858219.db2.gz UCTKAZKRPLLDIV-CZUORRHYSA-N 1 2 322.368 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(-c4ccccn4)no3)[C@@H]2C1 ZINC001084743063 757858229 /nfs/dbraw/zinc/85/82/29/757858229.db2.gz UCTKAZKRPLLDIV-CZUORRHYSA-N 1 2 322.368 1.516 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)CC)C1=O ZINC001017365786 757866907 /nfs/dbraw/zinc/86/69/07/757866907.db2.gz DRWNNWUBUYNUSK-LVQVYYBASA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)CC)C1=O ZINC001017365786 757866918 /nfs/dbraw/zinc/86/69/18/757866918.db2.gz DRWNNWUBUYNUSK-LVQVYYBASA-N 1 2 319.449 1.495 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@@H]1C(C)C ZINC001017605944 758073544 /nfs/dbraw/zinc/07/35/44/758073544.db2.gz LKMDFIWAYVSCMV-VVLHAWIVSA-N 1 2 304.434 1.746 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@@H]1C(C)C ZINC001017605944 758073551 /nfs/dbraw/zinc/07/35/51/758073551.db2.gz LKMDFIWAYVSCMV-VVLHAWIVSA-N 1 2 304.434 1.746 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(Cl)n[nH]c1CC ZINC001017607582 758075479 /nfs/dbraw/zinc/07/54/79/758075479.db2.gz ASXOUUOIJPZLNA-TXEJJXNPSA-N 1 2 320.824 1.938 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(Cl)n[nH]c1CC ZINC001017607582 758075488 /nfs/dbraw/zinc/07/54/88/758075488.db2.gz ASXOUUOIJPZLNA-TXEJJXNPSA-N 1 2 320.824 1.938 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c(cn1)OCCO2 ZINC001017625734 758094960 /nfs/dbraw/zinc/09/49/60/758094960.db2.gz QEKJQULCEBQRJW-BETUJISGSA-N 1 2 315.373 1.328 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c(cn1)OCCO2 ZINC001017625734 758094968 /nfs/dbraw/zinc/09/49/68/758094968.db2.gz QEKJQULCEBQRJW-BETUJISGSA-N 1 2 315.373 1.328 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2c1ccc(C)c2C ZINC001017633100 758101678 /nfs/dbraw/zinc/10/16/78/758101678.db2.gz QWFIXJJJIGDSAO-IYBDPMFKSA-N 1 2 322.412 1.873 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2c1ccc(C)c2C ZINC001017633100 758101682 /nfs/dbraw/zinc/10/16/82/758101682.db2.gz QWFIXJJJIGDSAO-IYBDPMFKSA-N 1 2 322.412 1.873 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(CCOC)CC2)[C@H](O)C1 ZINC001099871238 758168910 /nfs/dbraw/zinc/16/89/10/758168910.db2.gz AUEINUSGUWPWHK-QWHCGFSZSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(CCOC)CC2)[C@H](O)C1 ZINC001099871238 758168914 /nfs/dbraw/zinc/16/89/14/758168914.db2.gz AUEINUSGUWPWHK-QWHCGFSZSA-N 1 2 316.829 1.107 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(N2CCCC2)ccn1 ZINC001017712272 758175252 /nfs/dbraw/zinc/17/52/52/758175252.db2.gz RRELSXIOLYNCFM-CALCHBBNSA-N 1 2 324.428 1.604 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(N2CCCC2)ccn1 ZINC001017712272 758175258 /nfs/dbraw/zinc/17/52/58/758175258.db2.gz RRELSXIOLYNCFM-CALCHBBNSA-N 1 2 324.428 1.604 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2ccc(C)cn12 ZINC001017732020 758191806 /nfs/dbraw/zinc/19/18/06/758191806.db2.gz MHTVHBBESJIZML-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2ccc(C)cn12 ZINC001017732020 758191810 /nfs/dbraw/zinc/19/18/10/758191810.db2.gz MHTVHBBESJIZML-GASCZTMLSA-N 1 2 308.385 1.565 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1occ2c1CCOC2 ZINC001017774052 758225490 /nfs/dbraw/zinc/22/54/90/758225490.db2.gz WVVCMGBTDLZGHX-GASCZTMLSA-N 1 2 314.385 1.664 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1occ2c1CCOC2 ZINC001017774052 758225497 /nfs/dbraw/zinc/22/54/97/758225497.db2.gz WVVCMGBTDLZGHX-GASCZTMLSA-N 1 2 314.385 1.664 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)nn(C)c1C ZINC001017779043 758230003 /nfs/dbraw/zinc/23/00/03/758230003.db2.gz WANJQGRFAYJXSH-IYBDPMFKSA-N 1 2 314.433 1.278 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)nn(C)c1C ZINC001017779043 758230012 /nfs/dbraw/zinc/23/00/12/758230012.db2.gz WANJQGRFAYJXSH-IYBDPMFKSA-N 1 2 314.433 1.278 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1c(C)nn(C)c1C ZINC001017780737 758232707 /nfs/dbraw/zinc/23/27/07/758232707.db2.gz SZQZLFGZOGQSQU-IYBDPMFKSA-N 1 2 314.433 1.278 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1c(C)nn(C)c1C ZINC001017780737 758232714 /nfs/dbraw/zinc/23/27/14/758232714.db2.gz SZQZLFGZOGQSQU-IYBDPMFKSA-N 1 2 314.433 1.278 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ncccc1OC ZINC001017852845 758297720 /nfs/dbraw/zinc/29/77/20/758297720.db2.gz QQURQGORCWVNLK-GASCZTMLSA-N 1 2 313.401 1.331 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ncccc1OC ZINC001017852845 758297728 /nfs/dbraw/zinc/29/77/28/758297728.db2.gz QQURQGORCWVNLK-GASCZTMLSA-N 1 2 313.401 1.331 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCOC3(C[NH+](C[C@H](CC)OC)C3)C2)C1 ZINC001053417833 758447322 /nfs/dbraw/zinc/44/73/22/758447322.db2.gz FXCIOFXOQBTULQ-HNNXBMFYSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(N2CC=CC2)c1 ZINC001018007973 758468685 /nfs/dbraw/zinc/46/86/85/758468685.db2.gz VVGFLKPNRGWVLR-KDURUIRLSA-N 1 2 321.424 1.985 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(N2CC=CC2)c1 ZINC001018007973 758468689 /nfs/dbraw/zinc/46/86/89/758468689.db2.gz VVGFLKPNRGWVLR-KDURUIRLSA-N 1 2 321.424 1.985 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C1(CC)CCOCC1)CCO2 ZINC001053486774 758494113 /nfs/dbraw/zinc/49/41/13/758494113.db2.gz BKWYMFCEFFPDGU-UHFFFAOYSA-N 1 2 322.449 1.683 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(CC)on1)CCO2 ZINC001053510869 758516756 /nfs/dbraw/zinc/51/67/56/758516756.db2.gz IDPIPFFMJZYNAD-UHFFFAOYSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCCC[C@H]1OC)CCO2 ZINC001053546470 758552272 /nfs/dbraw/zinc/55/22/72/758552272.db2.gz OMKXDQUAALMHPN-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@@H](CCC)C1 ZINC001018106529 758552740 /nfs/dbraw/zinc/55/27/40/758552740.db2.gz BXPMFVCRVHZLTR-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@@H](CCC)C1 ZINC001018106529 758552744 /nfs/dbraw/zinc/55/27/44/758552744.db2.gz BXPMFVCRVHZLTR-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(C(C)C)c1C ZINC001018114886 758557584 /nfs/dbraw/zinc/55/75/84/758557584.db2.gz YZUTYQSWWGFNAG-GASCZTMLSA-N 1 2 315.421 1.480 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(C(C)C)c1C ZINC001018114886 758557589 /nfs/dbraw/zinc/55/75/89/758557589.db2.gz YZUTYQSWWGFNAG-GASCZTMLSA-N 1 2 315.421 1.480 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CCCOC1)O2 ZINC001053581634 758593849 /nfs/dbraw/zinc/59/38/49/758593849.db2.gz SGKFGBGTYMFHKQ-GJZGRUSLSA-N 1 2 308.422 1.339 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1oc(C)nc1C)O2 ZINC001053583646 758597527 /nfs/dbraw/zinc/59/75/27/758597527.db2.gz FENDVZOZYPKTFO-AWEZNQCLSA-N 1 2 319.405 1.831 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)/C=C(/C)CC)CC2=O)C1 ZINC001108542881 762643528 /nfs/dbraw/zinc/64/35/28/762643528.db2.gz PSQWKEOYQGJCSG-CNTYAEFGSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccnn1C(C)C)O2 ZINC001053604353 758624835 /nfs/dbraw/zinc/62/48/35/758624835.db2.gz CYUWTBSQZWFSJE-AWEZNQCLSA-N 1 2 318.421 1.613 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccns1)O2 ZINC001053606740 758626261 /nfs/dbraw/zinc/62/62/61/758626261.db2.gz NAUCSYLUZMOETB-GFCCVEGCSA-N 1 2 307.419 1.682 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1nc(C)sc1C)O2 ZINC001053614904 758632863 /nfs/dbraw/zinc/63/28/63/758632863.db2.gz UUPREVGMUWQLKQ-ZDUSSCGKSA-N 1 2 321.446 1.909 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ocnc1CC)O2 ZINC001053662332 758675835 /nfs/dbraw/zinc/67/58/35/758675835.db2.gz JJPXEUVVIYEFQA-CYBMUJFWSA-N 1 2 319.405 1.776 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CCCC)CC2)C1 ZINC001065691287 758709397 /nfs/dbraw/zinc/70/93/97/758709397.db2.gz JOVPKWBDZQKCJE-INIZCTEOSA-N 1 2 321.465 1.888 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N(C)C)c(F)c1 ZINC001018313435 758723022 /nfs/dbraw/zinc/72/30/22/758723022.db2.gz NUFXLXVCPXTVMF-GASCZTMLSA-N 1 2 315.392 1.814 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(N(C)C)c(F)c1 ZINC001018313435 758723028 /nfs/dbraw/zinc/72/30/28/758723028.db2.gz NUFXLXVCPXTVMF-GASCZTMLSA-N 1 2 315.392 1.814 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(F)cc1)CO2 ZINC001053721042 758737455 /nfs/dbraw/zinc/73/74/55/758737455.db2.gz MCIYVICADHGLMX-OAHLLOKOSA-N 1 2 304.365 1.975 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@@H]3C=C[C@H]1CC3)CO2 ZINC001053810115 758836817 /nfs/dbraw/zinc/83/68/17/758836817.db2.gz KYUJLJMRZQYSFP-YYIAUSFCSA-N 1 2 314.429 1.572 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cncc(CC)c1)CO2 ZINC001053872220 758906616 /nfs/dbraw/zinc/90/66/16/758906616.db2.gz UVQWKBDPHYWOGQ-MRXNPFEDSA-N 1 2 313.401 1.240 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@@H]3CCCC[C@H]13)CO2 ZINC001053878541 758913611 /nfs/dbraw/zinc/91/36/11/758913611.db2.gz IGALCCXSSRQQAM-LUKYLMHMSA-N 1 2 316.445 1.796 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc(F)c1F)CO2 ZINC001053904700 758937046 /nfs/dbraw/zinc/93/70/46/758937046.db2.gz BJYLPNVJPFSZFQ-GFCCVEGCSA-N 1 2 320.339 1.561 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1Cc3ccccc31)CO2 ZINC001053911963 758946404 /nfs/dbraw/zinc/94/64/04/758946404.db2.gz QWGLEISZRIVQDF-DOTOQJQBSA-N 1 2 312.413 1.862 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2COC3(C[NH+](C[C@H](C)OC)C3)C2)CC1 ZINC001053914030 758947703 /nfs/dbraw/zinc/94/77/03/758947703.db2.gz DTZOOBWIAQFOMD-GOEBONIOSA-N 1 2 322.449 1.727 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001065972138 758966797 /nfs/dbraw/zinc/96/67/97/758966797.db2.gz INXJELKGYUKZLZ-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1COC2(C[NH+](CC=C(C)C)C2)C1 ZINC001053931038 758967102 /nfs/dbraw/zinc/96/71/02/758967102.db2.gz MGRFDENOTOMTSS-OAGGEKHMSA-N 1 2 320.433 1.503 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1csc(C)c1)CO2 ZINC001053956736 758993326 /nfs/dbraw/zinc/99/33/26/758993326.db2.gz NUWWHWPYVFDVSS-AWEZNQCLSA-N 1 2 304.415 1.653 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2occc2C)C1 ZINC001108202188 759028223 /nfs/dbraw/zinc/02/82/23/759028223.db2.gz YDENUCZAAGQLLX-KRWDZBQOSA-N 1 2 304.390 1.361 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2occc2C)C1 ZINC001108202188 759028236 /nfs/dbraw/zinc/02/82/36/759028236.db2.gz YDENUCZAAGQLLX-KRWDZBQOSA-N 1 2 304.390 1.361 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cccn1C ZINC001054033327 759086608 /nfs/dbraw/zinc/08/66/08/759086608.db2.gz QVDAIJSGLKBATF-QGZVFWFLSA-N 1 2 307.397 1.815 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cccn1C ZINC001054033327 759086615 /nfs/dbraw/zinc/08/66/15/759086615.db2.gz QVDAIJSGLKBATF-QGZVFWFLSA-N 1 2 307.397 1.815 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnnn1CC ZINC001054040634 759098202 /nfs/dbraw/zinc/09/82/02/759098202.db2.gz ZDJVUBGEWBJQJT-INIZCTEOSA-N 1 2 323.400 1.088 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnnn1CC ZINC001054040634 759098208 /nfs/dbraw/zinc/09/82/08/759098208.db2.gz ZDJVUBGEWBJQJT-INIZCTEOSA-N 1 2 323.400 1.088 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1CCO[C@H]1C=C ZINC001054053445 759107768 /nfs/dbraw/zinc/10/77/68/759107768.db2.gz UXJYWHGFEGOWBB-OTWHNJEPSA-N 1 2 324.424 1.754 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1CCO[C@H]1C=C ZINC001054053445 759107775 /nfs/dbraw/zinc/10/77/75/759107775.db2.gz UXJYWHGFEGOWBB-OTWHNJEPSA-N 1 2 324.424 1.754 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC001018900508 759385379 /nfs/dbraw/zinc/38/53/79/759385379.db2.gz BFKVTAFZBIKWQY-JTQLQIEISA-N 1 2 305.769 1.515 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cn(CCC)nn3)cc2C1 ZINC001054286499 759393702 /nfs/dbraw/zinc/39/37/02/759393702.db2.gz IKRMVHCATNFQHQ-UHFFFAOYSA-N 1 2 323.400 1.567 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cn(CCC)nn3)cc2C1 ZINC001054286499 759393707 /nfs/dbraw/zinc/39/37/07/759393707.db2.gz IKRMVHCATNFQHQ-UHFFFAOYSA-N 1 2 323.400 1.567 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1cc[nH]n1 ZINC001085495668 759534846 /nfs/dbraw/zinc/53/48/46/759534846.db2.gz MNLCFIXSQQSDSJ-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1cc[nH]n1 ZINC001085495668 759534849 /nfs/dbraw/zinc/53/48/49/759534849.db2.gz MNLCFIXSQQSDSJ-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1ccn[nH]1 ZINC001085495668 759534850 /nfs/dbraw/zinc/53/48/50/759534850.db2.gz MNLCFIXSQQSDSJ-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1ccn[nH]1 ZINC001085495668 759534852 /nfs/dbraw/zinc/53/48/52/759534852.db2.gz MNLCFIXSQQSDSJ-HNNXBMFYSA-N 1 2 309.373 1.628 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)c2ccc(C#N)[nH]2)on1 ZINC001085552799 759681247 /nfs/dbraw/zinc/68/12/47/759681247.db2.gz OJFHAZUHNXVNHA-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)c2ccc(C#N)[nH]2)on1 ZINC001085552799 759681255 /nfs/dbraw/zinc/68/12/55/759681255.db2.gz OJFHAZUHNXVNHA-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001085679061 759990569 /nfs/dbraw/zinc/99/05/69/759990569.db2.gz ZHHKBRRPDGDMFM-GFCCVEGCSA-N 1 2 310.357 1.115 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(cc[nH]c2=O)n1 ZINC001085679061 759990574 /nfs/dbraw/zinc/99/05/74/759990574.db2.gz ZHHKBRRPDGDMFM-GFCCVEGCSA-N 1 2 310.357 1.115 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCc2ccc(F)cc2O1 ZINC001085722815 760120867 /nfs/dbraw/zinc/12/08/67/760120867.db2.gz HLHHALWQKPVCEF-HZPDHXFCSA-N 1 2 316.376 1.685 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCc2ccc(F)cc2O1 ZINC001085722815 760120868 /nfs/dbraw/zinc/12/08/68/760120868.db2.gz HLHHALWQKPVCEF-HZPDHXFCSA-N 1 2 316.376 1.685 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ocnc1C(F)(F)F ZINC001085742119 760142348 /nfs/dbraw/zinc/14/23/48/760142348.db2.gz JNDNHORWTWBVDI-JTQLQIEISA-N 1 2 315.295 1.863 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ocnc1C(F)(F)F ZINC001085742119 760142352 /nfs/dbraw/zinc/14/23/52/760142352.db2.gz JNDNHORWTWBVDI-JTQLQIEISA-N 1 2 315.295 1.863 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(CC)nnc1C ZINC001085743947 760153403 /nfs/dbraw/zinc/15/34/03/760153403.db2.gz ZGLDUYCWRDBVRN-HNNXBMFYSA-N 1 2 300.406 1.517 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(CC)nnc1C ZINC001085743947 760153410 /nfs/dbraw/zinc/15/34/10/760153410.db2.gz ZGLDUYCWRDBVRN-HNNXBMFYSA-N 1 2 300.406 1.517 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccncc2C#N)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069221248 767924790 /nfs/dbraw/zinc/92/47/90/767924790.db2.gz LKPBEIJEFCFUCA-SWLSCSKDSA-N 1 2 324.388 1.132 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccncc2C#N)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069221248 767924799 /nfs/dbraw/zinc/92/47/99/767924799.db2.gz LKPBEIJEFCFUCA-SWLSCSKDSA-N 1 2 324.388 1.132 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001085822920 760310346 /nfs/dbraw/zinc/31/03/46/760310346.db2.gz VJUWVGXVSMSEQS-BMFZPTHFSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001085822920 760310358 /nfs/dbraw/zinc/31/03/58/760310358.db2.gz VJUWVGXVSMSEQS-BMFZPTHFSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001085823104 760310668 /nfs/dbraw/zinc/31/06/68/760310668.db2.gz ZWNOHIABWWEGIV-OAGGEKHMSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001085823104 760310673 /nfs/dbraw/zinc/31/06/73/760310673.db2.gz ZWNOHIABWWEGIV-OAGGEKHMSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(CCC)CC2)[C@H](O)C1 ZINC001100004560 760454379 /nfs/dbraw/zinc/45/43/79/760454379.db2.gz YZHAZCLQOZACMT-CHWSQXEVSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(CCC)CC2)[C@H](O)C1 ZINC001100004560 760454381 /nfs/dbraw/zinc/45/43/81/760454381.db2.gz YZHAZCLQOZACMT-CHWSQXEVSA-N 1 2 300.830 1.871 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)C(C)(F)F)C1 ZINC001108221011 760505854 /nfs/dbraw/zinc/50/58/54/760505854.db2.gz RHQKRBWLKMILMM-GXTWGEPZSA-N 1 2 302.365 1.508 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)C(C)(F)F)C1 ZINC001108221011 760505859 /nfs/dbraw/zinc/50/58/59/760505859.db2.gz RHQKRBWLKMILMM-GXTWGEPZSA-N 1 2 302.365 1.508 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2C/C=C\Cl)cn1 ZINC001085933772 760564673 /nfs/dbraw/zinc/56/46/73/760564673.db2.gz KIOHGDRDQFCFIW-UFRMUOTOSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2C/C=C\Cl)cn1 ZINC001085933772 760564678 /nfs/dbraw/zinc/56/46/78/760564678.db2.gz KIOHGDRDQFCFIW-UFRMUOTOSA-N 1 2 303.793 1.962 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)nc[nH]c2=O ZINC001085967858 760622403 /nfs/dbraw/zinc/62/24/03/760622403.db2.gz WYYODBVDDZMBKL-CYBMUJFWSA-N 1 2 310.357 1.115 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)nc[nH]c2=O ZINC001085967858 760622407 /nfs/dbraw/zinc/62/24/07/760622407.db2.gz WYYODBVDDZMBKL-CYBMUJFWSA-N 1 2 310.357 1.115 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccn(C2CCCCC2)n1 ZINC001038252011 760947176 /nfs/dbraw/zinc/94/71/76/760947176.db2.gz TXEASNVNJSPXNC-OAHLLOKOSA-N 1 2 300.406 1.826 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccn(C2CCCCC2)n1 ZINC001038252011 760947179 /nfs/dbraw/zinc/94/71/79/760947179.db2.gz TXEASNVNJSPXNC-OAHLLOKOSA-N 1 2 300.406 1.826 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ccncc1C#N ZINC001069376161 767999438 /nfs/dbraw/zinc/99/94/38/767999438.db2.gz STNOIPGNTSJBKO-UKRRQHHQSA-N 1 2 324.388 1.323 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]ncc1C(F)(F)F ZINC001038323426 761007147 /nfs/dbraw/zinc/00/71/47/761007147.db2.gz HBENAVMSESHWPA-SECBINFHSA-N 1 2 300.284 1.256 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1[nH]ncc1C(F)(F)F ZINC001038323426 761007158 /nfs/dbraw/zinc/00/71/58/761007158.db2.gz HBENAVMSESHWPA-SECBINFHSA-N 1 2 300.284 1.256 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnc(OCC)cn2)C1 ZINC001046874898 768004192 /nfs/dbraw/zinc/00/41/92/768004192.db2.gz WQDQCPOOJNDAMK-OAHLLOKOSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnc(OCC)cn2)C1 ZINC001046874898 768004198 /nfs/dbraw/zinc/00/41/98/768004198.db2.gz WQDQCPOOJNDAMK-OAHLLOKOSA-N 1 2 324.812 1.822 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)nc2ccnn2c1C ZINC001038436250 761115957 /nfs/dbraw/zinc/11/59/57/761115957.db2.gz FMSGPUDPSGZOIP-CQSZACIVSA-N 1 2 311.389 1.174 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)nc2ccnn2c1C ZINC001038436250 761115961 /nfs/dbraw/zinc/11/59/61/761115961.db2.gz FMSGPUDPSGZOIP-CQSZACIVSA-N 1 2 311.389 1.174 20 30 DDEDLO CCc1n[nH]cc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038443113 761121254 /nfs/dbraw/zinc/12/12/54/761121254.db2.gz FZTCIBVZZAIJIZ-HNNXBMFYSA-N 1 2 323.400 1.848 20 30 DDEDLO CCc1n[nH]cc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038443113 761121258 /nfs/dbraw/zinc/12/12/58/761121258.db2.gz FZTCIBVZZAIJIZ-HNNXBMFYSA-N 1 2 323.400 1.848 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn2cccc(Cl)c12 ZINC001038490975 761156392 /nfs/dbraw/zinc/15/63/92/761156392.db2.gz ZOTVITCPEFQGBX-NSHDSACASA-N 1 2 302.765 1.425 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn2cccc(Cl)c12 ZINC001038490975 761156394 /nfs/dbraw/zinc/15/63/94/761156394.db2.gz ZOTVITCPEFQGBX-NSHDSACASA-N 1 2 302.765 1.425 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1COc2ccc(F)cc2C1 ZINC001038519553 761172558 /nfs/dbraw/zinc/17/25/58/761172558.db2.gz MTQPCCUYIGLMSU-HIFRSBDPSA-N 1 2 302.349 1.201 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1COc2ccc(F)cc2C1 ZINC001038519553 761172559 /nfs/dbraw/zinc/17/25/59/761172559.db2.gz MTQPCCUYIGLMSU-HIFRSBDPSA-N 1 2 302.349 1.201 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@H+]2Cc2c(C)noc2C)c1 ZINC001038683433 761283966 /nfs/dbraw/zinc/28/39/66/761283966.db2.gz DZUBSWAUCZUGSY-MRXNPFEDSA-N 1 2 324.384 1.672 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@@H+]2Cc2c(C)noc2C)c1 ZINC001038683433 761283969 /nfs/dbraw/zinc/28/39/69/761283969.db2.gz DZUBSWAUCZUGSY-MRXNPFEDSA-N 1 2 324.384 1.672 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]2CNC(=O)c2cccnn2)c1 ZINC001038842328 761463283 /nfs/dbraw/zinc/46/32/83/761463283.db2.gz FXUSDIMOSSNQSW-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]2CNC(=O)c2cccnn2)c1 ZINC001038842328 761463285 /nfs/dbraw/zinc/46/32/85/761463285.db2.gz FXUSDIMOSSNQSW-HNNXBMFYSA-N 1 2 307.357 1.353 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccccc1C(=O)N(C)C ZINC001038939882 761571816 /nfs/dbraw/zinc/57/18/16/761571816.db2.gz UVGULRRBXWKLJJ-AWEZNQCLSA-N 1 2 313.401 1.216 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccccc1C(=O)N(C)C ZINC001038939882 761571824 /nfs/dbraw/zinc/57/18/24/761571824.db2.gz UVGULRRBXWKLJJ-AWEZNQCLSA-N 1 2 313.401 1.216 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccnc2)cn1 ZINC001038942304 761575847 /nfs/dbraw/zinc/57/58/47/761575847.db2.gz TWMMZSYVPTXCKM-QGZVFWFLSA-N 1 2 306.369 1.462 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccnc2)cn1 ZINC001038942304 761575852 /nfs/dbraw/zinc/57/58/52/761575852.db2.gz TWMMZSYVPTXCKM-QGZVFWFLSA-N 1 2 306.369 1.462 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(OC)ccc1OC ZINC001039003978 761635242 /nfs/dbraw/zinc/63/52/42/761635242.db2.gz RRSDORRKCGMMKU-ZDUSSCGKSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(OC)ccc1OC ZINC001039003978 761635246 /nfs/dbraw/zinc/63/52/46/761635246.db2.gz RRSDORRKCGMMKU-ZDUSSCGKSA-N 1 2 302.374 1.531 20 30 DDEDLO N#CCN1CC[C@@H]([C@@H]2CCCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001039239999 761876329 /nfs/dbraw/zinc/87/63/29/761876329.db2.gz VOEDMXDCVSTYIJ-HIFRSBDPSA-N 1 2 301.394 1.179 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(C)(C)CC=C)CC2=O)C1 ZINC001108575370 762722972 /nfs/dbraw/zinc/72/29/72/762722972.db2.gz HYWPHKSGMBXMMC-CYBMUJFWSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@@H](CC)C(C)C)CC2=O)C1 ZINC001108587040 762757590 /nfs/dbraw/zinc/75/75/90/762757590.db2.gz HNGLMEGHJGNRCU-CABCVRRESA-N 1 2 321.465 1.646 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(CCN(C(=O)CCc3nc[nH]n3)C2)C1 ZINC001041183718 762864472 /nfs/dbraw/zinc/86/44/72/762864472.db2.gz ZQPSGDUQSAHBCT-OAHLLOKOSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(CCN(C(=O)CCc3nc[nH]n3)C2)C1 ZINC001041183718 762864479 /nfs/dbraw/zinc/86/44/79/762864479.db2.gz ZQPSGDUQSAHBCT-OAHLLOKOSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001046888247 768094812 /nfs/dbraw/zinc/09/48/12/768094812.db2.gz HVHNDWYWCIUMTM-HNNXBMFYSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001046888247 768094820 /nfs/dbraw/zinc/09/48/20/768094820.db2.gz HVHNDWYWCIUMTM-HNNXBMFYSA-N 1 2 322.796 1.127 20 30 DDEDLO C[C@H](CN(C)c1cccc(F)c1C#N)NC(=O)Cn1cc[nH+]c1 ZINC001109014146 763229817 /nfs/dbraw/zinc/22/98/17/763229817.db2.gz GKKGNNWIAIUTPF-GFCCVEGCSA-N 1 2 315.352 1.535 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001050267403 763391067 /nfs/dbraw/zinc/39/10/67/763391067.db2.gz BXNSKVXXSFPTFT-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@@H]3CCN(CC#N)[C@H]3C2)c[nH+]1 ZINC001042114318 763667492 /nfs/dbraw/zinc/66/74/92/763667492.db2.gz JPSXDAPYLBYLQV-GJZGRUSLSA-N 1 2 315.421 1.373 20 30 DDEDLO N#CCN1CC[C@@H]2CCN(C(=O)c3cccc4[nH+]ccn43)C[C@H]21 ZINC001042148991 763710230 /nfs/dbraw/zinc/71/02/30/763710230.db2.gz OBNVNDWAOJBYID-UKRRQHHQSA-N 1 2 309.373 1.394 20 30 DDEDLO Cc1nc(N2C[C@@H](C)[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001042158486 763723257 /nfs/dbraw/zinc/72/32/57/763723257.db2.gz TWJNBAXGDWPDBY-BXUZGUMPSA-N 1 2 324.388 1.168 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@@H+](Cc3nncn3C)[C@H]2C1 ZINC001042186638 763752882 /nfs/dbraw/zinc/75/28/82/763752882.db2.gz IWQMURDQRNLRLU-KBPBESRZSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@H+](Cc3nncn3C)[C@H]2C1 ZINC001042186638 763752887 /nfs/dbraw/zinc/75/28/87/763752887.db2.gz IWQMURDQRNLRLU-KBPBESRZSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cocc1C)C2 ZINC001109612711 763862923 /nfs/dbraw/zinc/86/29/23/763862923.db2.gz OZXRYBQRQQNLMS-SNPRPXQTSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cocc1C)C2 ZINC001109612711 763862933 /nfs/dbraw/zinc/86/29/33/763862933.db2.gz OZXRYBQRQQNLMS-SNPRPXQTSA-N 1 2 317.389 1.225 20 30 DDEDLO N#Cc1ccc(NC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C2CC2)nc1 ZINC001109821178 764103773 /nfs/dbraw/zinc/10/37/73/764103773.db2.gz YNIDEBHAVISQSW-CQSZACIVSA-N 1 2 324.388 1.616 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001057671025 764289250 /nfs/dbraw/zinc/28/92/50/764289250.db2.gz JXYMRUVRGAYZBR-OAHLLOKOSA-N 1 2 324.388 1.332 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(OC)nc1 ZINC001051013635 764417377 /nfs/dbraw/zinc/41/73/77/764417377.db2.gz WJUKTUUTJDCFMO-CQSZACIVSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(OC)nc1 ZINC001051013635 764417381 /nfs/dbraw/zinc/41/73/81/764417381.db2.gz WJUKTUUTJDCFMO-CQSZACIVSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCCC(=O)NCC1(Nc2nc(N(C)C)nc(C)[nH+]2)CC1 ZINC001110080251 764419563 /nfs/dbraw/zinc/41/95/63/764419563.db2.gz SPFLOXHSVWAGIW-UHFFFAOYSA-N 1 2 304.398 1.273 20 30 DDEDLO C#CCN1CCOC[C@H]1CNC(=O)c1c(C)cc(C)[nH+]c1C ZINC001051037993 764443077 /nfs/dbraw/zinc/44/30/77/764443077.db2.gz PVMBCWSDDNVTRS-OAHLLOKOSA-N 1 2 301.390 1.071 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc2c[nH]nc21 ZINC001051058855 764462123 /nfs/dbraw/zinc/46/21/23/764462123.db2.gz WCUBZNSYUHHIGZ-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc2c[nH]nc21 ZINC001051058855 764462124 /nfs/dbraw/zinc/46/21/24/764462124.db2.gz WCUBZNSYUHHIGZ-CQSZACIVSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](C[C@H](O)c2ccc(F)cc2)CC1 ZINC001112703613 764622210 /nfs/dbraw/zinc/62/22/10/764622210.db2.gz ALZVFFRXFMQZCH-INIZCTEOSA-N 1 2 322.380 1.206 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc2n(c1)CCCC2 ZINC001051274607 764698804 /nfs/dbraw/zinc/69/88/04/764698804.db2.gz XZJLCCAHWMZBJI-QGZVFWFLSA-N 1 2 317.433 1.831 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc2n(c1)CCCC2 ZINC001051274607 764698809 /nfs/dbraw/zinc/69/88/09/764698809.db2.gz XZJLCCAHWMZBJI-QGZVFWFLSA-N 1 2 317.433 1.831 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH+](Cc2ccc(OC)cc2)CC1 ZINC001112801482 764790873 /nfs/dbraw/zinc/79/08/73/764790873.db2.gz UGQSGHHJHXDDQX-SFHVURJKSA-N 1 2 318.417 1.667 20 30 DDEDLO C[C@H](NC(=O)C#CC1CC1)[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113004254 765138376 /nfs/dbraw/zinc/13/83/76/765138376.db2.gz MDXDYKNEQYIVOC-QWRGUYRKSA-N 1 2 312.377 1.082 20 30 DDEDLO C=C(Br)CN1CC(N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001044090215 765292345 /nfs/dbraw/zinc/29/23/45/765292345.db2.gz CHPPMJBZIXAMJB-UHFFFAOYSA-N 1 2 313.199 1.003 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c[nH]nc2-c2cnn(C)c2)C1 ZINC001044166715 765359141 /nfs/dbraw/zinc/35/91/41/765359141.db2.gz YUXZGZUCUSEAFQ-UHFFFAOYSA-N 1 2 314.393 1.143 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[NH+](CCOC2CCC2)CC1 ZINC001113164639 765360773 /nfs/dbraw/zinc/36/07/73/765360773.db2.gz VCMKVYSRTDULGG-GOSISDBHSA-N 1 2 324.465 1.929 20 30 DDEDLO C=C(C)C[NH+]1CCN([C@H]2CCN(C(=O)c3cnc(C)[nH]3)C2)CC1 ZINC001051998175 765364832 /nfs/dbraw/zinc/36/48/32/765364832.db2.gz OLRFWFIGTCNICQ-HNNXBMFYSA-N 1 2 317.437 1.126 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)CCC3CC3)C2)CC1 ZINC001052002197 765367763 /nfs/dbraw/zinc/36/77/63/765367763.db2.gz FIKYRULWTQNSIF-KRWDZBQOSA-N 1 2 303.450 1.418 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@H]3CCCCO3)C2)nc1 ZINC001044202713 765392618 /nfs/dbraw/zinc/39/26/18/765392618.db2.gz BRGYBIIJIGANMR-MRXNPFEDSA-N 1 2 313.401 1.388 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001113248137 765470365 /nfs/dbraw/zinc/47/03/65/765470365.db2.gz RXTVPJJBBJZAPB-SJORKVTESA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCOCC(=O)N(C)CC(C)(C)CNc1cc[nH+]c(C)n1 ZINC001113408521 765669969 /nfs/dbraw/zinc/66/99/69/765669969.db2.gz VOUBLYZFNDSSLR-UHFFFAOYSA-N 1 2 306.410 1.884 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cccc(OC)c2F)CC1 ZINC001113450662 765703337 /nfs/dbraw/zinc/70/33/37/765703337.db2.gz ZHJWKLBWHUBJLS-UHFFFAOYSA-N 1 2 322.380 1.795 20 30 DDEDLO C[C@H]1C[C@@H](NCC#N)CCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001044802655 765823489 /nfs/dbraw/zinc/82/34/89/765823489.db2.gz XHUWBTKCLITCOF-HOCLYGCPSA-N 1 2 323.400 1.979 20 30 DDEDLO O=C(CC#Cc1ccccc1)N1CC[NH+](CCn2cccn2)CC1 ZINC001113665214 765990773 /nfs/dbraw/zinc/99/07/73/765990773.db2.gz VIOSFMGKRJELOS-UHFFFAOYSA-N 1 2 322.412 1.469 20 30 DDEDLO C=CCC[C@H](C)[NH+]1CCN(C(=O)[C@H](C)CS(C)(=O)=O)CC1 ZINC001113693798 766024640 /nfs/dbraw/zinc/02/46/40/766024640.db2.gz NMAWCQRZCNDPID-KGLIPLIRSA-N 1 2 316.467 1.166 20 30 DDEDLO Cc1cc(N(C)[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)c(C#N)cn1 ZINC001113695688 766027274 /nfs/dbraw/zinc/02/72/74/766027274.db2.gz IPKKGVKNNSRTJX-GFCCVEGCSA-N 1 2 312.377 1.168 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@H](C)OCCC(C)C)CC1 ZINC001113716440 766057471 /nfs/dbraw/zinc/05/74/71/766057471.db2.gz BITDIHMGIILKRX-INIZCTEOSA-N 1 2 312.454 1.784 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCn2c[nH+]cc2C1)Nc1ncccc1C#N ZINC001098115243 768347023 /nfs/dbraw/zinc/34/70/23/768347023.db2.gz BNMFPHCBHFWVTE-OLZOCXBDSA-N 1 2 324.388 1.329 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(N)=O)[nH]1)C2 ZINC001096017543 766197428 /nfs/dbraw/zinc/19/74/28/766197428.db2.gz WFFVBVZQUSQZBY-ICCXJUOJSA-N 1 2 322.796 1.201 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(N)=O)[nH]1)C2 ZINC001096017543 766197436 /nfs/dbraw/zinc/19/74/36/766197436.db2.gz WFFVBVZQUSQZBY-ICCXJUOJSA-N 1 2 322.796 1.201 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)C1CCN(c2ccncc2C#N)CC1 ZINC001058061638 766203139 /nfs/dbraw/zinc/20/31/39/766203139.db2.gz IJWHHTLBUNOYLX-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO CC1(NC(=O)c2cc(C#N)c[nH]2)CC[NH+](Cc2cnon2)CC1 ZINC001045402205 766284883 /nfs/dbraw/zinc/28/48/83/766284883.db2.gz ZUAIPNSORDBSAQ-UHFFFAOYSA-N 1 2 314.349 1.054 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001058243173 766322057 /nfs/dbraw/zinc/32/20/57/766322057.db2.gz XNSFEKHXFBGHRS-KFWWJZLASA-N 1 2 316.405 1.461 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001058246747 766323336 /nfs/dbraw/zinc/32/33/36/766323336.db2.gz ALSWLLYOGITQLR-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO CC(=O)CCCC(=O)N[C@@H]1[C@H]2C[N@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001113857492 766327336 /nfs/dbraw/zinc/32/73/36/766327336.db2.gz LUUVWCYGOSJVNB-RUYXUALKSA-N 1 2 324.424 1.844 20 30 DDEDLO CC(=O)CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001113857492 766327341 /nfs/dbraw/zinc/32/73/41/766327341.db2.gz LUUVWCYGOSJVNB-RUYXUALKSA-N 1 2 324.424 1.844 20 30 DDEDLO CC1(NC(=O)c2nc[nH]n2)CC[NH+](Cc2cccc(C#N)c2)CC1 ZINC001045591834 766426738 /nfs/dbraw/zinc/42/67/38/766426738.db2.gz KYRAEDNVSLGXJJ-UHFFFAOYSA-N 1 2 324.388 1.461 20 30 DDEDLO CC1(NC(=O)c2ccc(-n3cc[nH+]c3)cn2)CCN(CC#N)CC1 ZINC001045619002 766451031 /nfs/dbraw/zinc/45/10/31/766451031.db2.gz VNOOOZXYNBKHBQ-UHFFFAOYSA-N 1 2 324.388 1.375 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)CC3=CCCCC3)CC2)C1 ZINC001046013147 766807004 /nfs/dbraw/zinc/80/70/04/766807004.db2.gz SXDFXMZQHUCQLL-KRWDZBQOSA-N 1 2 316.449 1.619 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)no1 ZINC001046251493 767298868 /nfs/dbraw/zinc/29/88/68/767298868.db2.gz NYUZEGKGPHTWJA-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)no1 ZINC001046251493 767298870 /nfs/dbraw/zinc/29/88/70/767298870.db2.gz NYUZEGKGPHTWJA-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](CNC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001046320930 767418755 /nfs/dbraw/zinc/41/87/55/767418755.db2.gz FOCUGDBOUXKILJ-BJHJDKERSA-N 1 2 310.361 1.605 20 30 DDEDLO CC#CC[NH+]1CC2(C1)CN(C(=O)C(C)C)C[C@@H]2c1nnc(C)[nH]1 ZINC001070439350 768482257 /nfs/dbraw/zinc/48/22/57/768482257.db2.gz QLGVBFNLTDAADN-CQSZACIVSA-N 1 2 315.421 1.020 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2csc(C(C)C)n2)C1 ZINC001047566302 768577638 /nfs/dbraw/zinc/57/76/38/768577638.db2.gz YPNHZVSEJZLGQR-KBPBESRZSA-N 1 2 321.446 1.407 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2csc(C(C)C)n2)C1 ZINC001047566302 768577642 /nfs/dbraw/zinc/57/76/42/768577642.db2.gz YPNHZVSEJZLGQR-KBPBESRZSA-N 1 2 321.446 1.407 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[N@@H+]1CC(=O)NC1CC1 ZINC001132035088 768581279 /nfs/dbraw/zinc/58/12/79/768581279.db2.gz XKAYCMLDAKPOOK-UKRRQHHQSA-N 1 2 319.449 1.284 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[N@H+]1CC(=O)NC1CC1 ZINC001132035088 768581287 /nfs/dbraw/zinc/58/12/87/768581287.db2.gz XKAYCMLDAKPOOK-UKRRQHHQSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2ncc(C)o2)C1 ZINC001132041336 768583226 /nfs/dbraw/zinc/58/32/26/768583226.db2.gz CCWRYDNSOAHXMG-HIFRSBDPSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2ncc(C)o2)C1 ZINC001132041336 768583229 /nfs/dbraw/zinc/58/32/29/768583229.db2.gz CCWRYDNSOAHXMG-HIFRSBDPSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2nocc2C)C1 ZINC001132028804 768587577 /nfs/dbraw/zinc/58/75/77/768587577.db2.gz BTCREXOGRLHANP-LSDHHAIUSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2nocc2C)C1 ZINC001132028804 768587581 /nfs/dbraw/zinc/58/75/81/768587581.db2.gz BTCREXOGRLHANP-LSDHHAIUSA-N 1 2 319.405 1.492 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+][C@H](C)c2nc(CC)no2)cc1 ZINC001132226833 768697170 /nfs/dbraw/zinc/69/71/70/768697170.db2.gz QECWVQVNPUTDFH-GFCCVEGCSA-N 1 2 312.373 1.694 20 30 DDEDLO CCCC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132288959 768740589 /nfs/dbraw/zinc/74/05/89/768740589.db2.gz ZSMQSKCGVJZDRO-ZIAGYGMSSA-N 1 2 322.453 1.422 20 30 DDEDLO CCCC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132288959 768740592 /nfs/dbraw/zinc/74/05/92/768740592.db2.gz ZSMQSKCGVJZDRO-ZIAGYGMSSA-N 1 2 322.453 1.422 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC=CCC2)CC1 ZINC001070938528 768798328 /nfs/dbraw/zinc/79/83/28/768798328.db2.gz XUKRSPUCXJUCPF-OAHLLOKOSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC=CCC2)CC1 ZINC001070938528 768798334 /nfs/dbraw/zinc/79/83/34/768798334.db2.gz XUKRSPUCXJUCPF-OAHLLOKOSA-N 1 2 305.422 1.179 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2CC=CC2)CC1 ZINC001070949883 768803683 /nfs/dbraw/zinc/80/36/83/768803683.db2.gz CWNRLGLWBFMRQF-UHFFFAOYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2CC=CC2)CC1 ZINC001070949883 768803690 /nfs/dbraw/zinc/80/36/90/768803690.db2.gz CWNRLGLWBFMRQF-UHFFFAOYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)CC1 ZINC001070975668 768828720 /nfs/dbraw/zinc/82/87/20/768828720.db2.gz WVOUJSGLQMPUJB-OAGGEKHMSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)CC1 ZINC001070975668 768828732 /nfs/dbraw/zinc/82/87/32/768828732.db2.gz WVOUJSGLQMPUJB-OAGGEKHMSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@]2(C)C=CCC2)CC1 ZINC001070988256 768841591 /nfs/dbraw/zinc/84/15/91/768841591.db2.gz NJAYOLZBTIUFTL-KRWDZBQOSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@]2(C)C=CCC2)CC1 ZINC001070988256 768841602 /nfs/dbraw/zinc/84/16/02/768841602.db2.gz NJAYOLZBTIUFTL-KRWDZBQOSA-N 1 2 305.422 1.179 20 30 DDEDLO CCCCC(=O)NCC[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC001096266866 768851261 /nfs/dbraw/zinc/85/12/61/768851261.db2.gz ZFTABAOUKLFDKR-UHFFFAOYSA-N 1 2 315.421 1.382 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@](C)(C=C)CC)CC1 ZINC001070994982 768855899 /nfs/dbraw/zinc/85/58/99/768855899.db2.gz LVGHFYGOTNMWOY-KRWDZBQOSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@](C)(C=C)CC)CC1 ZINC001070994982 768855909 /nfs/dbraw/zinc/85/59/09/768855909.db2.gz LVGHFYGOTNMWOY-KRWDZBQOSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[C@H](C)[N@@H+](CC(N)=O)C2)CCCC1 ZINC001132545929 768961562 /nfs/dbraw/zinc/96/15/62/768961562.db2.gz GVLPFWWLMYYGDR-UONOGXRCSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[C@H](C)[N@H+](CC(N)=O)C2)CCCC1 ZINC001132545929 768961568 /nfs/dbraw/zinc/96/15/68/768961568.db2.gz GVLPFWWLMYYGDR-UONOGXRCSA-N 1 2 307.438 1.577 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)CSC)C[C@H](C)O2 ZINC001071131203 768990306 /nfs/dbraw/zinc/99/03/06/768990306.db2.gz BTNQEMJOJPQGGE-GXTWGEPZSA-N 1 2 318.870 1.794 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)CSC)C[C@H](C)O2 ZINC001071131203 768990312 /nfs/dbraw/zinc/99/03/12/768990312.db2.gz BTNQEMJOJPQGGE-GXTWGEPZSA-N 1 2 318.870 1.794 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[NH2+][C@@H](C)c1nc(COC)no1 ZINC001132660204 769070516 /nfs/dbraw/zinc/07/05/16/769070516.db2.gz KLATWXCEMPXEKX-RYUDHWBXSA-N 1 2 310.398 1.585 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067992265 769075023 /nfs/dbraw/zinc/07/50/23/769075023.db2.gz KSHZMHVHUJQRLV-CQSZACIVSA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cncn1C)C2 ZINC001096350688 769340255 /nfs/dbraw/zinc/34/02/55/769340255.db2.gz TZUKEJGACWDVNG-XBFCOCLRSA-N 1 2 308.813 1.437 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cncn1C)C2 ZINC001096350688 769340261 /nfs/dbraw/zinc/34/02/61/769340261.db2.gz TZUKEJGACWDVNG-XBFCOCLRSA-N 1 2 308.813 1.437 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cnn(C)c2)CC[C@@H]1C ZINC001071384356 769366253 /nfs/dbraw/zinc/36/62/53/769366253.db2.gz WBCBYDSRABLUEI-GXTWGEPZSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cnn(C)c2)CC[C@@H]1C ZINC001071384356 769366264 /nfs/dbraw/zinc/36/62/64/769366264.db2.gz WBCBYDSRABLUEI-GXTWGEPZSA-N 1 2 310.829 1.684 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)CCc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001096370195 769446781 /nfs/dbraw/zinc/44/67/81/769446781.db2.gz NEFSYIPYENOSMI-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cnc[nH]c2=O)CC[C@H]1C ZINC001071600135 769691945 /nfs/dbraw/zinc/69/19/45/769691945.db2.gz HGGWMIVJFRIKPC-GHMZBOCLSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cnc[nH]c2=O)CC[C@H]1C ZINC001071600135 769691954 /nfs/dbraw/zinc/69/19/54/769691954.db2.gz HGGWMIVJFRIKPC-GHMZBOCLSA-N 1 2 310.785 1.517 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001096413992 769803648 /nfs/dbraw/zinc/80/36/48/769803648.db2.gz PEIHWMSQPDSSPJ-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccc(C#N)nc1 ZINC001049022154 770259023 /nfs/dbraw/zinc/25/90/23/770259023.db2.gz MVJBODLVPXBZEM-JKSUJKDBSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CCCCCC(=O)N1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001071933102 770308696 /nfs/dbraw/zinc/30/86/96/770308696.db2.gz QYNYLHNQQCOLFA-ZFWWWQNUSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072192399 770644592 /nfs/dbraw/zinc/64/45/92/770644592.db2.gz RZQDFPNKLBAWSV-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1cnns1 ZINC001049468953 770894773 /nfs/dbraw/zinc/89/47/73/770894773.db2.gz YZIXDIYCBXWAIS-CABCVRRESA-N 1 2 316.430 1.517 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1cnns1 ZINC001049468953 770894781 /nfs/dbraw/zinc/89/47/81/770894781.db2.gz YZIXDIYCBXWAIS-CABCVRRESA-N 1 2 316.430 1.517 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1[nH]nc2ccccc21 ZINC001049878650 771229642 /nfs/dbraw/zinc/22/96/42/771229642.db2.gz ZBSVWISMUDPWDL-JKSUJKDBSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1[nH]nc2ccccc21 ZINC001049878650 771229646 /nfs/dbraw/zinc/22/96/46/771229646.db2.gz ZBSVWISMUDPWDL-JKSUJKDBSA-N 1 2 308.385 1.875 20 30 DDEDLO Cc1nc(N[C@H](CNC(=O)CSCC#N)C2CC2)cc[nH+]1 ZINC001096585001 771232626 /nfs/dbraw/zinc/23/26/26/771232626.db2.gz JBEWLXMKHUJZGB-GFCCVEGCSA-N 1 2 305.407 1.349 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@@H]2CCCN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001049997757 771352338 /nfs/dbraw/zinc/35/23/38/771352338.db2.gz XLSANSIGXDMIPW-CORIIIEPSA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@@H]2CCCN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001049997757 771352341 /nfs/dbraw/zinc/35/23/41/771352341.db2.gz XLSANSIGXDMIPW-CORIIIEPSA-N 1 2 302.378 1.566 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C3CC3)n[nH]2)[C@H](O)C1 ZINC001090584349 772009187 /nfs/dbraw/zinc/00/91/87/772009187.db2.gz HAKUUOBZYLYCBF-SMDDNHRTSA-N 1 2 324.812 1.205 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C3CC3)n[nH]2)[C@H](O)C1 ZINC001090584349 772009193 /nfs/dbraw/zinc/00/91/93/772009193.db2.gz HAKUUOBZYLYCBF-SMDDNHRTSA-N 1 2 324.812 1.205 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2cccc3nc[nH]c32)[C@H](O)C1 ZINC001090670475 772096058 /nfs/dbraw/zinc/09/60/58/772096058.db2.gz DWOGGAUTHJIBIX-DZGCQCFKSA-N 1 2 314.389 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](NC(=O)c2cccc3nc[nH]c32)[C@H](O)C1 ZINC001090670475 772096064 /nfs/dbraw/zinc/09/60/64/772096064.db2.gz DWOGGAUTHJIBIX-DZGCQCFKSA-N 1 2 314.389 1.304 20 30 DDEDLO C[C@@H]1CN(c2ccncc2C#N)C[C@H]1NC(=O)CCc1[nH]cc[nH+]1 ZINC001090695605 772118885 /nfs/dbraw/zinc/11/88/85/772118885.db2.gz OJJLJQYJIQJSBJ-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccn2CC)[C@H](O)C1 ZINC001090812843 772233615 /nfs/dbraw/zinc/23/36/15/772233615.db2.gz INOHVHFLJLIHQJ-GXTWGEPZSA-N 1 2 311.813 1.426 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccn2CC)[C@H](O)C1 ZINC001090812843 772233622 /nfs/dbraw/zinc/23/36/22/772233622.db2.gz INOHVHFLJLIHQJ-GXTWGEPZSA-N 1 2 311.813 1.426 20 30 DDEDLO C[C@@H]1CN(c2ncccc2C#N)C[C@H]1NC(=O)CCc1c[nH]c[nH+]1 ZINC001090842962 772261441 /nfs/dbraw/zinc/26/14/41/772261441.db2.gz ADDAIEIOLDZZNG-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@@H]1CN(c2ncccc2C#N)C[C@H]1NC(=O)CCc1c[nH+]c[nH]1 ZINC001090842962 772261445 /nfs/dbraw/zinc/26/14/45/772261445.db2.gz ADDAIEIOLDZZNG-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO CC(=O)/C=C(/C)NC(=O)[C@@H]1[C@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143675806 772291596 /nfs/dbraw/zinc/29/15/96/772291596.db2.gz KHDQSWKCGUNCPG-QLZIMGNHSA-N 1 2 302.374 1.231 20 30 DDEDLO CC(=O)/C=C(/C)NC(=O)[C@@H]1[C@H](O)CC[N@H+]1Cc1ccccc1 ZINC001143675806 772291599 /nfs/dbraw/zinc/29/15/99/772291599.db2.gz KHDQSWKCGUNCPG-QLZIMGNHSA-N 1 2 302.374 1.231 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC2(C1)CC[N@H+](Cc1nccs1)C2 ZINC001147439651 773146903 /nfs/dbraw/zinc/14/69/03/773146903.db2.gz FLHFDDWNOHQDAW-OAHLLOKOSA-N 1 2 321.446 1.505 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC2(C1)CC[N@@H+](Cc1nccs1)C2 ZINC001147439651 773146905 /nfs/dbraw/zinc/14/69/05/773146905.db2.gz FLHFDDWNOHQDAW-OAHLLOKOSA-N 1 2 321.446 1.505 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nccs1)C2 ZINC001147531210 773169695 /nfs/dbraw/zinc/16/96/95/773169695.db2.gz ZGJUOTFMQUDIOH-ZDUSSCGKSA-N 1 2 319.430 1.216 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nccs1)C2 ZINC001147531210 773169697 /nfs/dbraw/zinc/16/96/97/773169697.db2.gz ZGJUOTFMQUDIOH-ZDUSSCGKSA-N 1 2 319.430 1.216 20 30 DDEDLO Cc1conc1C[N@@H+](C)C[C@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001073970706 773564151 /nfs/dbraw/zinc/56/41/51/773564151.db2.gz VSWIEAHBFBKSGA-GXTWGEPZSA-N 1 2 304.394 1.956 20 30 DDEDLO Cc1conc1C[N@H+](C)C[C@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001073970706 773564157 /nfs/dbraw/zinc/56/41/57/773564157.db2.gz VSWIEAHBFBKSGA-GXTWGEPZSA-N 1 2 304.394 1.956 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCn2cc[nH+]c2)[C@H](C)C1 ZINC001074084035 773622022 /nfs/dbraw/zinc/62/20/22/773622022.db2.gz BLKVPFKWAJXQTG-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(F)F)C[C@H]21 ZINC001074132898 773658526 /nfs/dbraw/zinc/65/85/26/773658526.db2.gz CAQGRXKWSSLVSA-OLZOCXBDSA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(F)F)C[C@H]21 ZINC001074132898 773658528 /nfs/dbraw/zinc/65/85/28/773658528.db2.gz CAQGRXKWSSLVSA-OLZOCXBDSA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(C)(F)F)C[C@H]21 ZINC001074132897 773658746 /nfs/dbraw/zinc/65/87/46/773658746.db2.gz CAQGRXKWSSLVSA-CHWSQXEVSA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@@H]2CCN(C(=O)C(C)(F)F)C[C@H]21 ZINC001074132897 773658749 /nfs/dbraw/zinc/65/87/49/773658749.db2.gz CAQGRXKWSSLVSA-CHWSQXEVSA-N 1 2 302.365 1.910 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001074172109 773693998 /nfs/dbraw/zinc/69/39/98/773693998.db2.gz NHNPIRSOZMCZII-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ncoc3C)C[C@@H]21 ZINC001074185097 773706090 /nfs/dbraw/zinc/70/60/90/773706090.db2.gz XFSNJRNAFKJKMK-KBPBESRZSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ncoc3C)C[C@@H]21 ZINC001074185097 773706093 /nfs/dbraw/zinc/70/60/93/773706093.db2.gz XFSNJRNAFKJKMK-KBPBESRZSA-N 1 2 305.378 1.474 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cc(C)on3)C[C@H]21 ZINC001074196171 773720456 /nfs/dbraw/zinc/72/04/56/773720456.db2.gz HUGGOKFCRYFLET-CVEARBPZSA-N 1 2 319.405 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cc(C)on3)C[C@H]21 ZINC001074196171 773720459 /nfs/dbraw/zinc/72/04/59/773720459.db2.gz HUGGOKFCRYFLET-CVEARBPZSA-N 1 2 319.405 1.403 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC2(C[C@H]1C)CCN(CC#N)CC2 ZINC001086954809 773743146 /nfs/dbraw/zinc/74/31/46/773743146.db2.gz UQPOMOUCAKEYRU-CYBMUJFWSA-N 1 2 315.421 1.487 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cnoc3)C[C@H]21 ZINC001074319048 773819410 /nfs/dbraw/zinc/81/94/10/773819410.db2.gz FIEVZMLCQNXSNP-HUUCEWRRSA-N 1 2 305.378 1.095 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cnoc3)C[C@H]21 ZINC001074319048 773819415 /nfs/dbraw/zinc/81/94/15/773819415.db2.gz FIEVZMLCQNXSNP-HUUCEWRRSA-N 1 2 305.378 1.095 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ocnc3CC)C[C@@H]21 ZINC001074335980 773832621 /nfs/dbraw/zinc/83/26/21/773832621.db2.gz HXYVYQBBRGWMLR-LSDHHAIUSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ocnc3CC)C[C@@H]21 ZINC001074335980 773832624 /nfs/dbraw/zinc/83/26/24/773832624.db2.gz HXYVYQBBRGWMLR-LSDHHAIUSA-N 1 2 319.405 1.728 20 30 DDEDLO N#Cc1cccnc1NC1CC(CNC(=O)Cn2cc[nH+]c2)C1 ZINC001092227164 774016428 /nfs/dbraw/zinc/01/64/28/774016428.db2.gz BIFDJPZTTBBUBI-UHFFFAOYSA-N 1 2 310.361 1.157 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1[C@@H]3CCC[C@@H]31)c1nccn12 ZINC001092359114 774074191 /nfs/dbraw/zinc/07/41/91/774074191.db2.gz NEOFCYJPBUCLIM-BARDWOONSA-N 1 2 312.417 1.687 20 30 DDEDLO CC(=O)N[C@H]1CC2(C[NH+](Cc3ccc(C#N)cc3)C2)n2ccnc21 ZINC001092336066 774085627 /nfs/dbraw/zinc/08/56/27/774085627.db2.gz KSTHAFMTPGNPTB-INIZCTEOSA-N 1 2 321.384 1.547 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccnc1C)c1nccn12 ZINC001092391310 774098622 /nfs/dbraw/zinc/09/86/22/774098622.db2.gz STDSTROKMJMPOQ-HNNXBMFYSA-N 1 2 323.400 1.658 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CC3(CC3)C1)c1nccn12 ZINC001092394331 774100249 /nfs/dbraw/zinc/10/02/49/774100249.db2.gz QQPAWFNFNIGHEP-CQSZACIVSA-N 1 2 312.417 1.831 20 30 DDEDLO Cc1nc(N2C[C@@H](C)[C@H](CCNC(=O)[C@@H](C)C#N)C2)cc[nH+]1 ZINC001092486042 774144592 /nfs/dbraw/zinc/14/45/92/774144592.db2.gz XTXSLFNQHOYSOA-OUCADQQQSA-N 1 2 301.394 1.523 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074975254 774279147 /nfs/dbraw/zinc/27/91/47/774279147.db2.gz OWCMXHVRRZXGNR-UONOGXRCSA-N 1 2 316.405 1.107 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCN(C(=O)[C@H]2CCc3[nH]nnc3C2)[C@H]1C ZINC001075037750 774312477 /nfs/dbraw/zinc/31/24/77/774312477.db2.gz OMTWMWYGJYZDOK-SRVKXCTJSA-N 1 2 323.828 1.241 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1CCN(C(=O)[C@H]2CCc3nn[nH]c3C2)[C@H]1C ZINC001075037750 774312485 /nfs/dbraw/zinc/31/24/85/774312485.db2.gz OMTWMWYGJYZDOK-SRVKXCTJSA-N 1 2 323.828 1.241 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001098387217 774558227 /nfs/dbraw/zinc/55/82/27/774558227.db2.gz CMVMGZBPHKBWNK-LERXQTSPSA-N 1 2 310.361 1.397 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001098387217 774558230 /nfs/dbraw/zinc/55/82/30/774558230.db2.gz CMVMGZBPHKBWNK-LERXQTSPSA-N 1 2 310.361 1.397 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)c3ccc(C)cc3)C2)nn1 ZINC001098774942 774671190 /nfs/dbraw/zinc/67/11/90/774671190.db2.gz VKNPLPBMRVGWCS-KRWDZBQOSA-N 1 2 323.400 1.397 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@H]21 ZINC001036819575 774708072 /nfs/dbraw/zinc/70/80/72/774708072.db2.gz VNEXADDLXJPJAV-BXUZGUMPSA-N 1 2 322.359 1.907 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@H]21 ZINC001036819575 774708075 /nfs/dbraw/zinc/70/80/75/774708075.db2.gz VNEXADDLXJPJAV-BXUZGUMPSA-N 1 2 322.359 1.907 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCCOC3)CC2)C1 ZINC001093515358 774765519 /nfs/dbraw/zinc/76/55/19/774765519.db2.gz SKVGASYGRMECCS-OAHLLOKOSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC[NH+]1CC(OC2CCN(C(=O)C(=O)C(C)(C)C)CC2)C1 ZINC001093517539 774768431 /nfs/dbraw/zinc/76/84/31/774768431.db2.gz QXQSEMQNTARNEY-UHFFFAOYSA-N 1 2 322.449 1.870 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)CC(C)(C)O)CC2)C1 ZINC001093531490 774784613 /nfs/dbraw/zinc/78/46/13/774784613.db2.gz ZJNVPKMNGKAJFI-UHFFFAOYSA-N 1 2 310.438 1.415 20 30 DDEDLO C#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)[C@H]3C[C@H]3C)c2)nn1 ZINC001099600718 775059758 /nfs/dbraw/zinc/05/97/58/775059758.db2.gz ZZECFZQOSKCKPH-DYVFJYSZSA-N 1 2 323.400 1.262 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCCNc1cc[nH+]c(C)n1 ZINC001093852512 775142020 /nfs/dbraw/zinc/14/20/20/775142020.db2.gz MVCWEYODCLSVFM-UHFFFAOYSA-N 1 2 313.361 1.587 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCSCC)[C@@H](O)C1 ZINC001099707279 775162766 /nfs/dbraw/zinc/16/27/66/775162766.db2.gz PKFXIZVLFJURFW-RYUDHWBXSA-N 1 2 306.859 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCSCC)[C@@H](O)C1 ZINC001099707279 775162769 /nfs/dbraw/zinc/16/27/69/775162769.db2.gz PKFXIZVLFJURFW-RYUDHWBXSA-N 1 2 306.859 1.434 20 30 DDEDLO Cc1nc(N[C@H](C)CCNC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001099714097 775172593 /nfs/dbraw/zinc/17/25/93/775172593.db2.gz ZIPIBQOZOHAIOV-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)/C=C\C(C)(C)C)[C@@H](O)C1 ZINC001099746287 775215427 /nfs/dbraw/zinc/21/54/27/775215427.db2.gz GIMHIYMHPQCVQE-REPZICSESA-N 1 2 300.830 1.893 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)/C=C\C(C)(C)C)[C@@H](O)C1 ZINC001099746287 775215438 /nfs/dbraw/zinc/21/54/38/775215438.db2.gz GIMHIYMHPQCVQE-REPZICSESA-N 1 2 300.830 1.893 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H](NC(=O)C#CC(C)C)[C@H](O)C2)c(C)o1 ZINC001099824556 775330237 /nfs/dbraw/zinc/33/02/37/775330237.db2.gz VHMTUXVHZFUZFU-GDBMZVCRSA-N 1 2 319.405 1.002 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H](NC(=O)C#CC(C)C)[C@H](O)C2)c(C)o1 ZINC001099824556 775330243 /nfs/dbraw/zinc/33/02/43/775330243.db2.gz VHMTUXVHZFUZFU-GDBMZVCRSA-N 1 2 319.405 1.002 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094045601 775368572 /nfs/dbraw/zinc/36/85/72/775368572.db2.gz CLBTWWGISWQUGL-QWHCGFSZSA-N 1 2 317.437 1.451 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094045601 775368583 /nfs/dbraw/zinc/36/85/83/775368583.db2.gz CLBTWWGISWQUGL-QWHCGFSZSA-N 1 2 317.437 1.451 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001099961694 775485320 /nfs/dbraw/zinc/48/53/20/775485320.db2.gz AVOHJCSNLSSAJB-GDBMZVCRSA-N 1 2 319.405 1.228 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001099961694 775485325 /nfs/dbraw/zinc/48/53/25/775485325.db2.gz AVOHJCSNLSSAJB-GDBMZVCRSA-N 1 2 319.405 1.228 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)NCCNc1ccc(C#N)cn1 ZINC001094225761 775596016 /nfs/dbraw/zinc/59/60/16/775596016.db2.gz DULZFBSLORGIPF-UHFFFAOYSA-N 1 2 311.345 1.128 20 30 DDEDLO Cc1nsc(NCCCNC(=O)Cc2c[nH+]cn2C)c1C#N ZINC001094504048 775941914 /nfs/dbraw/zinc/94/19/14/775941914.db2.gz DVHHLGOXLUHRAN-UHFFFAOYSA-N 1 2 318.406 1.218 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001094594714 776090222 /nfs/dbraw/zinc/09/02/22/776090222.db2.gz NBTYIRKJVPUWEJ-ZDUSSCGKSA-N 1 2 316.405 1.619 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)c2cccc3[nH+]ccn32)nc1 ZINC001094667442 776149271 /nfs/dbraw/zinc/14/92/71/776149271.db2.gz QJYVRFLVNXIUKJ-UHFFFAOYSA-N 1 2 320.356 1.833 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C[C@H]3C=CCC3)CC2=O)C1 ZINC001094701055 776211268 /nfs/dbraw/zinc/21/12/68/776211268.db2.gz NBSAJKQKABIZEV-LSDHHAIUSA-N 1 2 317.433 1.320 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C=C3CCCCC3)CC2=O)C1 ZINC001094706913 776217017 /nfs/dbraw/zinc/21/70/17/776217017.db2.gz IDARUDCHRBTRMG-OAHLLOKOSA-N 1 2 317.433 1.464 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3C[C@H]3C(C)(C)C)CC2=O)C1 ZINC001094759724 776219094 /nfs/dbraw/zinc/21/90/94/776219094.db2.gz MCFUTBNMGMHDRD-NWANDNLSSA-N 1 2 319.449 1.256 20 30 DDEDLO Cc1cc(N(C)CCNC(=O)CSCC#N)nc(C(C)C)[nH+]1 ZINC001099937372 776445245 /nfs/dbraw/zinc/44/52/45/776445245.db2.gz LWGBSEGMGBMEPL-UHFFFAOYSA-N 1 2 321.450 1.718 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCC1CC1)c1nccn12 ZINC001101619141 777311546 /nfs/dbraw/zinc/31/15/46/777311546.db2.gz PMIFOPZCCCBXSS-OAHLLOKOSA-N 1 2 312.417 1.669 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CCC(F)F)c1nccn12 ZINC001101633788 777329968 /nfs/dbraw/zinc/32/99/68/777329968.db2.gz FYUNAIMNYISOJV-NSHDSACASA-N 1 2 310.348 1.686 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2c1nc(C)[nH+]c(C)c1C ZINC001102551527 778204609 /nfs/dbraw/zinc/20/46/09/778204609.db2.gz PZLPZOOLAIAMCA-HOTGVXAUSA-N 1 2 312.417 1.995 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3CC3)C[C@H]21 ZINC001176883550 778291726 /nfs/dbraw/zinc/29/17/26/778291726.db2.gz YZEVUPWFDILULP-HZPDHXFCSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3CC3)C[C@H]21 ZINC001176883550 778291731 /nfs/dbraw/zinc/29/17/31/778291731.db2.gz YZEVUPWFDILULP-HZPDHXFCSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CSCCC)C[C@H]21 ZINC001176929691 778318080 /nfs/dbraw/zinc/31/80/80/778318080.db2.gz KVQIQAHWFQXYCU-HUUCEWRRSA-N 1 2 310.463 1.455 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CSCCC)C[C@H]21 ZINC001176929691 778318081 /nfs/dbraw/zinc/31/80/81/778318081.db2.gz KVQIQAHWFQXYCU-HUUCEWRRSA-N 1 2 310.463 1.455 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2OCC[N@@H+](CC=C(C)C)[C@@H]2C1 ZINC001176948660 778341105 /nfs/dbraw/zinc/34/11/05/778341105.db2.gz CGUNNAYXNGNWFD-HZPDHXFCSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2OCC[N@H+](CC=C(C)C)[C@@H]2C1 ZINC001176948660 778341114 /nfs/dbraw/zinc/34/11/14/778341114.db2.gz CGUNNAYXNGNWFD-HZPDHXFCSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@H]21 ZINC001176949596 778343195 /nfs/dbraw/zinc/34/31/95/778343195.db2.gz QABJKDFYGWWUCA-HUUCEWRRSA-N 1 2 322.449 1.870 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@H]21 ZINC001176949596 778343202 /nfs/dbraw/zinc/34/32/02/778343202.db2.gz QABJKDFYGWWUCA-HUUCEWRRSA-N 1 2 322.449 1.870 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](CC)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001103071704 778618536 /nfs/dbraw/zinc/61/85/36/778618536.db2.gz ALSIKIRYYOGTRK-CYBMUJFWSA-N 1 2 318.425 1.356 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NC)CCCC1 ZINC001110212930 778694492 /nfs/dbraw/zinc/69/44/92/778694492.db2.gz WEBGTJUBSOBMNO-ILXRZTDVSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NC)CCCC1 ZINC001110212930 778694494 /nfs/dbraw/zinc/69/44/94/778694494.db2.gz WEBGTJUBSOBMNO-ILXRZTDVSA-N 1 2 319.449 1.590 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1cnc(C#N)cn1 ZINC001103357500 778813268 /nfs/dbraw/zinc/81/32/68/778813268.db2.gz UHHHBJSRMMCSMF-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1cnc(C#N)cn1 ZINC001103357500 778813274 /nfs/dbraw/zinc/81/32/74/778813274.db2.gz UHHHBJSRMMCSMF-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1ccncc1C#N ZINC001103357669 778813773 /nfs/dbraw/zinc/81/37/73/778813773.db2.gz YNDBZEHQFFIJBB-CYBMUJFWSA-N 1 2 312.377 1.038 20 30 DDEDLO CC[C@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1ccncc1C#N ZINC001103357669 778813782 /nfs/dbraw/zinc/81/37/82/778813782.db2.gz YNDBZEHQFFIJBB-CYBMUJFWSA-N 1 2 312.377 1.038 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001103808433 779063189 /nfs/dbraw/zinc/06/31/89/779063189.db2.gz BJGVNHDYZIYIQH-TZMCWYRMSA-N 1 2 304.394 1.779 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2C[C@@]23C(=O)Nc2ccccc23)CC1 ZINC001179427835 779371426 /nfs/dbraw/zinc/37/14/26/779371426.db2.gz AELUBCBIUFWNHY-KDOFPFPSSA-N 1 2 311.385 1.227 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCSCc1csc(C[NH+](C)C)n1 ZINC001179901544 779527052 /nfs/dbraw/zinc/52/70/52/779527052.db2.gz YUIKEZJWEMXZFH-JTQLQIEISA-N 1 2 312.464 1.714 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC(C)(Nc2cc[nH+]c(C)n2)CC1 ZINC001112356309 779734980 /nfs/dbraw/zinc/73/49/80/779734980.db2.gz RJJPJBAVLDVPNE-CYBMUJFWSA-N 1 2 316.405 1.616 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001115330367 780042888 /nfs/dbraw/zinc/04/28/88/780042888.db2.gz LIPXPCZBMKLKDQ-NHAGDIPZSA-N 1 2 307.419 1.282 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001115330367 780042895 /nfs/dbraw/zinc/04/28/95/780042895.db2.gz LIPXPCZBMKLKDQ-NHAGDIPZSA-N 1 2 307.419 1.282 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCOCCCNc1cc[nH+]c(C)n1 ZINC001115402315 780105688 /nfs/dbraw/zinc/10/56/88/780105688.db2.gz NNHXHEBCRKNOGC-MRXNPFEDSA-N 1 2 322.409 1.047 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001115674981 780332025 /nfs/dbraw/zinc/33/20/25/780332025.db2.gz VYUFYAHTAKISJU-GFCCVEGCSA-N 1 2 318.425 1.308 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCNC(=O)[C@H]2C[C@H]2C)c1 ZINC001267183499 837487755 /nfs/dbraw/zinc/48/77/55/837487755.db2.gz RYPYMHOVJPCAAE-CJNGLKHVSA-N 1 2 313.401 1.310 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCNC(=O)[C@H]2C[C@H]2C)c1 ZINC001267183499 837487761 /nfs/dbraw/zinc/48/77/61/837487761.db2.gz RYPYMHOVJPCAAE-CJNGLKHVSA-N 1 2 313.401 1.310 20 30 DDEDLO Cc1ncc(C[N@H+](C)CCNC(=O)CC#Cc2ccccc2)o1 ZINC001267231000 837570730 /nfs/dbraw/zinc/57/07/30/837570730.db2.gz KZIFMPMYUPYWTR-UHFFFAOYSA-N 1 2 311.385 1.973 20 30 DDEDLO Cc1ncc(C[N@@H+](C)CCNC(=O)CC#Cc2ccccc2)o1 ZINC001267231000 837570741 /nfs/dbraw/zinc/57/07/41/837570741.db2.gz KZIFMPMYUPYWTR-UHFFFAOYSA-N 1 2 311.385 1.973 20 30 DDEDLO CC1(C(=O)NC[C@H]2CCC[N@@H+]2CC(=O)NCC#N)CCCCC1 ZINC001267274261 837720279 /nfs/dbraw/zinc/72/02/79/837720279.db2.gz MSHBBKFBPQDASD-CQSZACIVSA-N 1 2 320.437 1.177 20 30 DDEDLO CC1(C(=O)NC[C@H]2CCC[N@H+]2CC(=O)NCC#N)CCCCC1 ZINC001267274261 837720287 /nfs/dbraw/zinc/72/02/87/837720287.db2.gz MSHBBKFBPQDASD-CQSZACIVSA-N 1 2 320.437 1.177 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@H](CNC(=O)C#CC(C)(C)C)C2)n1 ZINC001267293805 837767878 /nfs/dbraw/zinc/76/78/78/837767878.db2.gz ZIUHTIOIVNKDCB-CYBMUJFWSA-N 1 2 304.394 1.366 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@H](CNC(=O)C#CC(C)(C)C)C2)n1 ZINC001267293805 837767890 /nfs/dbraw/zinc/76/78/90/837767890.db2.gz ZIUHTIOIVNKDCB-CYBMUJFWSA-N 1 2 304.394 1.366 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1CC[N@H+](Cc2nc(C)no2)C1 ZINC001267299146 837779345 /nfs/dbraw/zinc/77/93/45/837779345.db2.gz AFMKKCKMOSMHPV-UONOGXRCSA-N 1 2 306.410 1.918 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@H]1CC[N@@H+](Cc2nc(C)no2)C1 ZINC001267299146 837779350 /nfs/dbraw/zinc/77/93/50/837779350.db2.gz AFMKKCKMOSMHPV-UONOGXRCSA-N 1 2 306.410 1.918 20 30 DDEDLO CCOCC(=O)N[C@@H]1CC[N@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001266302479 836083513 /nfs/dbraw/zinc/08/35/13/836083513.db2.gz QJKPLDOITUNSJP-MRXNPFEDSA-N 1 2 320.820 1.919 20 30 DDEDLO CCOCC(=O)N[C@@H]1CC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001266302479 836083526 /nfs/dbraw/zinc/08/35/26/836083526.db2.gz QJKPLDOITUNSJP-MRXNPFEDSA-N 1 2 320.820 1.919 20 30 DDEDLO C=CCCC(=O)N[C@]1(CO)CCC[N@@H+](Cc2snnc2C)C1 ZINC001279472749 836607100 /nfs/dbraw/zinc/60/71/00/836607100.db2.gz ZYCMDCFZQLETEX-OAHLLOKOSA-N 1 2 324.450 1.256 20 30 DDEDLO C=CCCC(=O)N[C@]1(CO)CCC[N@H+](Cc2snnc2C)C1 ZINC001279472749 836607106 /nfs/dbraw/zinc/60/71/06/836607106.db2.gz ZYCMDCFZQLETEX-OAHLLOKOSA-N 1 2 324.450 1.256 20 30 DDEDLO C=CCN(CC[N@@H+]1CCN2C(=O)CC[C@@H]2C1)C(=O)OC(C)(C)C ZINC001207203559 836835619 /nfs/dbraw/zinc/83/56/19/836835619.db2.gz IJTKLFIJVDUBGO-CQSZACIVSA-N 1 2 323.437 1.716 20 30 DDEDLO C=CCN(CC[N@H+]1CCN2C(=O)CC[C@@H]2C1)C(=O)OC(C)(C)C ZINC001207203559 836835629 /nfs/dbraw/zinc/83/56/29/836835629.db2.gz IJTKLFIJVDUBGO-CQSZACIVSA-N 1 2 323.437 1.716 20 30 DDEDLO Cc1nc(C[NH2+]CCN(C)C(=O)c2c[nH]c(C#N)c2)oc1C ZINC001267364227 837916267 /nfs/dbraw/zinc/91/62/67/837916267.db2.gz WSUOIHLPJLDVAS-UHFFFAOYSA-N 1 2 301.350 1.353 20 30 DDEDLO C#CCCCC(=O)N(C)CC[NH2+]Cc1nc(C2CCC2)no1 ZINC001267367426 837927302 /nfs/dbraw/zinc/92/73/02/837927302.db2.gz WATQHUCVIOWYAR-UHFFFAOYSA-N 1 2 304.394 1.689 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NCCCC ZINC001267532689 838260265 /nfs/dbraw/zinc/26/02/65/838260265.db2.gz FOMHDFWMZKJKHG-IYBDPMFKSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NCCCC ZINC001267532689 838260271 /nfs/dbraw/zinc/26/02/71/838260271.db2.gz FOMHDFWMZKJKHG-IYBDPMFKSA-N 1 2 321.465 1.934 20 30 DDEDLO CCc1nc(C[N@@H+]2CC[C@@H](CCNC(=O)C#CC3CC3)C2)no1 ZINC001267556408 838300263 /nfs/dbraw/zinc/30/02/63/838300263.db2.gz POAHIFRLLADBHY-CQSZACIVSA-N 1 2 316.405 1.374 20 30 DDEDLO CCc1nc(C[N@H+]2CC[C@@H](CCNC(=O)C#CC3CC3)C2)no1 ZINC001267556408 838300266 /nfs/dbraw/zinc/30/02/66/838300266.db2.gz POAHIFRLLADBHY-CQSZACIVSA-N 1 2 316.405 1.374 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H](C)C(C)(C)C)C1 ZINC001267615942 838478972 /nfs/dbraw/zinc/47/89/72/838478972.db2.gz XJWXSGSBEVRSKG-UONOGXRCSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)C(C)(C)C)C1 ZINC001267615942 838478976 /nfs/dbraw/zinc/47/89/76/838478976.db2.gz XJWXSGSBEVRSKG-UONOGXRCSA-N 1 2 309.454 1.551 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnoc2C2CC2)C1 ZINC001267619249 838507116 /nfs/dbraw/zinc/50/71/16/838507116.db2.gz DGYVVJGULGVRBG-CQSZACIVSA-N 1 2 317.389 1.396 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2cnoc2C2CC2)C1 ZINC001267619249 838507121 /nfs/dbraw/zinc/50/71/21/838507121.db2.gz DGYVVJGULGVRBG-CQSZACIVSA-N 1 2 317.389 1.396 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC1C[NH+](Cc2ccc(F)c(F)c2)C1 ZINC001267680903 838634130 /nfs/dbraw/zinc/63/41/30/838634130.db2.gz AXDMIGYTSKLKQW-GFCCVEGCSA-N 1 2 322.355 1.551 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2c(F)cccc2F)C1 ZINC001267721458 838730582 /nfs/dbraw/zinc/73/05/82/838730582.db2.gz ALATZNRQPXZHIY-YVEFUNNKSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2c(F)cccc2F)C1 ZINC001267721458 838730587 /nfs/dbraw/zinc/73/05/87/838730587.db2.gz ALATZNRQPXZHIY-YVEFUNNKSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001267724411 838740552 /nfs/dbraw/zinc/74/05/52/838740552.db2.gz YOYMMCIOZOIKFP-AWEZNQCLSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001267724411 838740558 /nfs/dbraw/zinc/74/05/58/838740558.db2.gz YOYMMCIOZOIKFP-AWEZNQCLSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001267729072 838766667 /nfs/dbraw/zinc/76/66/67/838766667.db2.gz MWGRDZJIHXOCON-ZBFHGGJFSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001267729072 838766671 /nfs/dbraw/zinc/76/66/71/838766671.db2.gz MWGRDZJIHXOCON-ZBFHGGJFSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)cn2)C1 ZINC001267734912 838785142 /nfs/dbraw/zinc/78/51/42/838785142.db2.gz DZLKWBIOGDJVJQ-AWEZNQCLSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+](Cc2cnc(C)cn2)C1 ZINC001267734912 838785146 /nfs/dbraw/zinc/78/51/46/838785146.db2.gz DZLKWBIOGDJVJQ-AWEZNQCLSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@@H+](Cc2snnc2C)C1 ZINC001267753579 838857974 /nfs/dbraw/zinc/85/79/74/838857974.db2.gz HMEBEUIOOZBOEC-GFCCVEGCSA-N 1 2 310.423 1.130 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CC[N@H+](Cc2snnc2C)C1 ZINC001267753579 838857985 /nfs/dbraw/zinc/85/79/85/838857985.db2.gz HMEBEUIOOZBOEC-GFCCVEGCSA-N 1 2 310.423 1.130 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001267778721 838957359 /nfs/dbraw/zinc/95/73/59/838957359.db2.gz GFJRWUVRWMANSN-ZDUSSCGKSA-N 1 2 323.393 1.309 20 30 DDEDLO C=CCCOCC(=O)N(C)[C@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001267778721 838957364 /nfs/dbraw/zinc/95/73/64/838957364.db2.gz GFJRWUVRWMANSN-ZDUSSCGKSA-N 1 2 323.393 1.309 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001267925941 839204027 /nfs/dbraw/zinc/20/40/27/839204027.db2.gz UNFWZZZIKRXJHT-RHSMWYFYSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CCC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001267925941 839204038 /nfs/dbraw/zinc/20/40/38/839204038.db2.gz UNFWZZZIKRXJHT-RHSMWYFYSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)C2(CCOC)CCCC2)C1 ZINC001268028408 839440046 /nfs/dbraw/zinc/44/00/46/839440046.db2.gz FKZJUQHNJOPWES-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)C2(CCOC)CCCC2)C1 ZINC001268028408 839440051 /nfs/dbraw/zinc/44/00/51/839440051.db2.gz FKZJUQHNJOPWES-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C1CCC2(CC2)CC1 ZINC001268243436 839884607 /nfs/dbraw/zinc/88/46/07/839884607.db2.gz KBAWZABXZKSJSS-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)C1CCC2(CC2)CC1 ZINC001268243436 839884621 /nfs/dbraw/zinc/88/46/21/839884621.db2.gz KBAWZABXZKSJSS-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1ccoc1C(C)C ZINC001268260679 839908462 /nfs/dbraw/zinc/90/84/62/839908462.db2.gz XVRJJNATJUVZLD-UHFFFAOYSA-N 1 2 321.421 1.709 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1ccoc1C(C)C ZINC001268260679 839908470 /nfs/dbraw/zinc/90/84/70/839908470.db2.gz XVRJJNATJUVZLD-UHFFFAOYSA-N 1 2 321.421 1.709 20 30 DDEDLO C=CCC1(C(=O)NC2C[NH+](C[C@@H]3CC[C@H](C)O3)C2)CCOCC1 ZINC001268479182 840273305 /nfs/dbraw/zinc/27/33/05/840273305.db2.gz SOXJAGAEAICEGW-HOCLYGCPSA-N 1 2 322.449 1.727 20 30 DDEDLO N#Cc1ccc(CNS(=O)(=O)CCn2cc[nH+]c2)c(F)c1 ZINC001414209271 840647135 /nfs/dbraw/zinc/64/71/35/840647135.db2.gz AYQWHGFRPGPZJY-UHFFFAOYSA-N 1 2 308.338 1.013 20 30 DDEDLO CCOc1nnc(C[NH2+]C[C@H](C)NC(=O)C#CC(C)C)s1 ZINC001268685706 840670639 /nfs/dbraw/zinc/67/06/39/840670639.db2.gz IPCPFRNNWPXQCX-NSHDSACASA-N 1 2 310.423 1.191 20 30 DDEDLO C=CCN1CC[C@]2(CCCN2C(=O)CCc2[nH]cc[nH+]2)C1=O ZINC001269175096 841296791 /nfs/dbraw/zinc/29/67/91/841296791.db2.gz HLHOXUYCTIIQNI-MRXNPFEDSA-N 1 2 302.378 1.122 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)[C@H]4C[C@@H]4C4CC4)C3)C2)cc1C#N ZINC001272119165 844631461 /nfs/dbraw/zinc/63/14/61/844631461.db2.gz NWODLZKOJBEZDB-SJORKVTESA-N 1 2 324.428 1.587 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)CCC2CC2)C1=O ZINC001269209590 841350326 /nfs/dbraw/zinc/35/03/26/841350326.db2.gz BZJWXXNUISIRTE-CABCVRRESA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)CCC2CC2)C1=O ZINC001269209590 841350338 /nfs/dbraw/zinc/35/03/38/841350338.db2.gz BZJWXXNUISIRTE-CABCVRRESA-N 1 2 305.422 1.154 20 30 DDEDLO CCO[C@H](CC(=O)NC[C@@H]1CC[N@@H+]1CC#CCOC)C(C)C ZINC001269249099 841417247 /nfs/dbraw/zinc/41/72/47/841417247.db2.gz PXSFORMJRWVRSG-JKSUJKDBSA-N 1 2 310.438 1.278 20 30 DDEDLO CCO[C@H](CC(=O)NC[C@@H]1CC[N@H+]1CC#CCOC)C(C)C ZINC001269249099 841417251 /nfs/dbraw/zinc/41/72/51/841417251.db2.gz PXSFORMJRWVRSG-JKSUJKDBSA-N 1 2 310.438 1.278 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)N(C)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269286944 841472432 /nfs/dbraw/zinc/47/24/32/841472432.db2.gz FGKCRYXZJZHUIN-CABCVRRESA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269286944 841472437 /nfs/dbraw/zinc/47/24/37/841472437.db2.gz FGKCRYXZJZHUIN-CABCVRRESA-N 1 2 322.453 1.231 20 30 DDEDLO CC1(NC(=O)CSCC#N)CC[NH+](Cc2nccs2)CC1 ZINC001270207797 842384055 /nfs/dbraw/zinc/38/40/55/842384055.db2.gz KSTUHWOCYIHVRC-UHFFFAOYSA-N 1 2 324.475 1.871 20 30 DDEDLO C#CCN(C(=O)C(F)F)C1CC[NH+](Cc2cc(C)on2)CC1 ZINC001270279908 842451687 /nfs/dbraw/zinc/45/16/87/842451687.db2.gz ZAYDTIUFBPDDTC-UHFFFAOYSA-N 1 2 311.332 1.674 20 30 DDEDLO CCC(CC)[C@H](C)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001270520111 842638882 /nfs/dbraw/zinc/63/88/82/842638882.db2.gz KKAWVTWUEJYMPL-RDJZCZTQSA-N 1 2 320.481 1.801 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@](C)(NC(=O)c2cncs2)C1 ZINC001270547462 842658092 /nfs/dbraw/zinc/65/80/92/842658092.db2.gz FIQLPGNKEFSRGF-IAQYHMDHSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@](C)(NC(=O)c2cncs2)C1 ZINC001270547462 842658082 /nfs/dbraw/zinc/65/80/82/842658082.db2.gz FIQLPGNKEFSRGF-IAQYHMDHSA-N 1 2 322.434 1.028 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@](C)(NC(=O)CSCC#N)C2)c(C)o1 ZINC001270549005 842659082 /nfs/dbraw/zinc/65/90/82/842659082.db2.gz MKFPZAGRFRFWEV-OAHLLOKOSA-N 1 2 322.434 1.629 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@](C)(NC(=O)CSCC#N)C2)c(C)o1 ZINC001270549005 842659087 /nfs/dbraw/zinc/65/90/87/842659087.db2.gz MKFPZAGRFRFWEV-OAHLLOKOSA-N 1 2 322.434 1.629 20 30 DDEDLO N#Cc1ccc2cc[nH+]c(NCCC(=O)N3CCOCC3)c2c1 ZINC001154659454 861334202 /nfs/dbraw/zinc/33/42/02/861334202.db2.gz UJQHUXVIEHCQHY-UHFFFAOYSA-N 1 2 310.357 1.767 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)C2(C)CCCCC2)C1 ZINC001270675285 842808071 /nfs/dbraw/zinc/80/80/71/842808071.db2.gz ZCIMKVIVVKFDGK-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)C2(C)CCCCC2)C1 ZINC001270675285 842808074 /nfs/dbraw/zinc/80/80/74/842808074.db2.gz ZCIMKVIVVKFDGK-INIZCTEOSA-N 1 2 324.465 1.976 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C[C@@H]2C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001271212535 843417100 /nfs/dbraw/zinc/41/71/00/843417100.db2.gz UBDYEMHWUDKKKD-VXGBXAGGSA-N 1 2 323.356 1.281 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CC[C@H]2[C@@H]1CCN2C(=O)CCCC#N ZINC001271252381 843443287 /nfs/dbraw/zinc/44/32/87/843443287.db2.gz CKQHRTVNQLOUBD-GJZGRUSLSA-N 1 2 315.421 1.772 20 30 DDEDLO CCn1ccnc1C[N@H+]1CC[C@H]2[C@@H]1CCN2C(=O)CCCC#N ZINC001271252381 843443288 /nfs/dbraw/zinc/44/32/88/843443288.db2.gz CKQHRTVNQLOUBD-GJZGRUSLSA-N 1 2 315.421 1.772 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCCO1 ZINC001326610007 861476697 /nfs/dbraw/zinc/47/66/97/861476697.db2.gz BHWDKUGJWNUQNS-CVEARBPZSA-N 1 2 310.438 1.729 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCCO1 ZINC001326610007 861476707 /nfs/dbraw/zinc/47/67/07/861476707.db2.gz BHWDKUGJWNUQNS-CVEARBPZSA-N 1 2 310.438 1.729 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1CC(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001272185261 844683266 /nfs/dbraw/zinc/68/32/66/844683266.db2.gz JQFDCWQEGCHVAA-HUUCEWRRSA-N 1 2 322.453 1.233 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1CC(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001272185261 844683269 /nfs/dbraw/zinc/68/32/69/844683269.db2.gz JQFDCWQEGCHVAA-HUUCEWRRSA-N 1 2 322.453 1.233 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)CCC4CC4)C3)C2)cc1C#N ZINC001272261425 844969749 /nfs/dbraw/zinc/96/97/49/844969749.db2.gz IZVPTSOPHMJLFY-UHFFFAOYSA-N 1 2 312.417 1.731 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H](C)[N@H+](C)Cc1cnc(Cl)s1 ZINC001409496889 845198559 /nfs/dbraw/zinc/19/85/59/845198559.db2.gz DGQUHRLYHZHGHQ-RKDXNWHRSA-N 1 2 300.815 1.893 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H](C)[N@@H+](C)Cc1cnc(Cl)s1 ZINC001409496889 845198561 /nfs/dbraw/zinc/19/85/61/845198561.db2.gz DGQUHRLYHZHGHQ-RKDXNWHRSA-N 1 2 300.815 1.893 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@H+]2Cc2ccnc(OC)n2)C1=O ZINC001272638437 846443163 /nfs/dbraw/zinc/44/31/63/846443163.db2.gz HHWURCCYGWCWTM-QGZVFWFLSA-N 1 2 316.405 1.628 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@@H+]2Cc2ccnc(OC)n2)C1=O ZINC001272638437 846443171 /nfs/dbraw/zinc/44/31/71/846443171.db2.gz HHWURCCYGWCWTM-QGZVFWFLSA-N 1 2 316.405 1.628 20 30 DDEDLO C#CCN1CC[C@]2(CC[N@@H+](Cc3ncc(Cl)s3)C2)C1=O ZINC001272866899 847567268 /nfs/dbraw/zinc/56/72/68/847567268.db2.gz ADRYUGVHXUUMMR-AWEZNQCLSA-N 1 2 309.822 1.854 20 30 DDEDLO C#CCN1CC[C@]2(CC[N@H+](Cc3ncc(Cl)s3)C2)C1=O ZINC001272866899 847567275 /nfs/dbraw/zinc/56/72/75/847567275.db2.gz ADRYUGVHXUUMMR-AWEZNQCLSA-N 1 2 309.822 1.854 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cc(Cl)ccn2)C1=O ZINC001272966005 847717822 /nfs/dbraw/zinc/71/78/22/847717822.db2.gz NEQMWGUJDPIVPO-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cc(Cl)ccn2)C1=O ZINC001272966005 847717828 /nfs/dbraw/zinc/71/78/28/847717828.db2.gz NEQMWGUJDPIVPO-INIZCTEOSA-N 1 2 303.793 1.935 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC(C)(C)C)CC2)[nH]1 ZINC001327126021 861910465 /nfs/dbraw/zinc/91/04/65/861910465.db2.gz NHFLRZVYRZWMEG-CQSZACIVSA-N 1 2 317.437 1.633 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@H](NC(=O)C#CC(C)(C)C)CC2)[nH]1 ZINC001327126021 861910466 /nfs/dbraw/zinc/91/04/66/861910466.db2.gz NHFLRZVYRZWMEG-CQSZACIVSA-N 1 2 317.437 1.633 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3cnccc3Cl)C2)OCC1=O ZINC001273172462 848629778 /nfs/dbraw/zinc/62/97/78/848629778.db2.gz NNDSJVDTGVHZBL-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3cnccc3Cl)C2)OCC1=O ZINC001273172462 848629785 /nfs/dbraw/zinc/62/97/85/848629785.db2.gz NNDSJVDTGVHZBL-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO CCOc1nnc(C[NH2+][C@@H](C)CN(C)C(=O)[C@H](C)C#N)s1 ZINC001410340371 849105369 /nfs/dbraw/zinc/10/53/69/849105369.db2.gz HIFJEUTXIQCKMI-ZJUUUORDSA-N 1 2 311.411 1.033 20 30 DDEDLO C=C(C)CN1CC2(C[NH+](Cc3cc(O)cc(O)c3)C2)OCC1=O ZINC001273317270 849435133 /nfs/dbraw/zinc/43/51/33/849435133.db2.gz WOCZPAALHINFRS-UHFFFAOYSA-N 1 2 318.373 1.087 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2nc(C)no2)CC1 ZINC001273410209 850030093 /nfs/dbraw/zinc/03/00/93/850030093.db2.gz LCEPLQUXDPMYMB-CQSZACIVSA-N 1 2 304.394 1.652 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2nc(C)no2)CC1 ZINC001273410209 850030101 /nfs/dbraw/zinc/03/01/01/850030101.db2.gz LCEPLQUXDPMYMB-CQSZACIVSA-N 1 2 304.394 1.652 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@@H](C)C[NH2+]Cc1nc(C(F)F)no1 ZINC001438631668 850287428 /nfs/dbraw/zinc/28/74/28/850287428.db2.gz FBYUEDYPRITANS-SFYZADRCSA-N 1 2 301.297 1.103 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2COC3(C[NH+](CCOC)C3)C2)CCC1 ZINC001327405368 862144595 /nfs/dbraw/zinc/14/45/95/862144595.db2.gz HZKYHBDRKWUWOO-AWEZNQCLSA-N 1 2 308.422 1.339 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCC[N@H+](Cc2ncnn2C)CC1 ZINC001273447850 850817071 /nfs/dbraw/zinc/81/70/71/850817071.db2.gz ZTQWGRRMNORNBM-CABCVRRESA-N 1 2 319.453 1.888 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCC[N@@H+](Cc2ncnn2C)CC1 ZINC001273447850 850817077 /nfs/dbraw/zinc/81/70/77/850817077.db2.gz ZTQWGRRMNORNBM-CABCVRRESA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](CCCc3cccnc3)C[C@@]2(F)C1=O ZINC001273494323 851027307 /nfs/dbraw/zinc/02/73/07/851027307.db2.gz YWWFAMPWOLLFQQ-DLBZAZTESA-N 1 2 321.371 1.775 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](CCCc3cccnc3)C[C@@]2(F)C1=O ZINC001273494323 851027314 /nfs/dbraw/zinc/02/73/14/851027314.db2.gz YWWFAMPWOLLFQQ-DLBZAZTESA-N 1 2 321.371 1.775 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H](OCC)C1CC1)O2 ZINC001273660944 851197523 /nfs/dbraw/zinc/19/75/23/851197523.db2.gz CTWSRBMNHDGCRE-HUUCEWRRSA-N 1 2 308.422 1.337 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)CC(C)(C)O ZINC001327507787 862225056 /nfs/dbraw/zinc/22/50/56/862225056.db2.gz MVRPCUGMRSQAIT-MRXNPFEDSA-N 1 2 300.402 1.324 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)CC(C)(C)O ZINC001327507787 862225074 /nfs/dbraw/zinc/22/50/74/862225074.db2.gz MVRPCUGMRSQAIT-MRXNPFEDSA-N 1 2 300.402 1.324 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1c[nH]c3cccnc13)C2 ZINC001273726368 851277403 /nfs/dbraw/zinc/27/74/03/851277403.db2.gz YZRTYXQVDDOAFB-UHFFFAOYSA-N 1 2 312.373 1.162 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)OCCC(C)C)CO2 ZINC001273729236 851281036 /nfs/dbraw/zinc/28/10/36/851281036.db2.gz MBMUCWRGGXHLIK-HZPDHXFCSA-N 1 2 324.465 1.973 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](CCOc1ccc(C)cc1)C2 ZINC001273730659 851281426 /nfs/dbraw/zinc/28/14/26/851281426.db2.gz NJKJVIXNUKDMKC-UHFFFAOYSA-N 1 2 316.401 1.473 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)Cc1nonc1C ZINC001327511444 862228612 /nfs/dbraw/zinc/22/86/12/862228612.db2.gz GINRTVCMWBUQNX-INIZCTEOSA-N 1 2 324.384 1.097 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)Cc1nonc1C ZINC001327511444 862228625 /nfs/dbraw/zinc/22/86/25/862228625.db2.gz GINRTVCMWBUQNX-INIZCTEOSA-N 1 2 324.384 1.097 20 30 DDEDLO N#CCC[N@H+]1CCC[C@@]12CCN(CCc1ccc(O)cc1)C2=O ZINC001274031768 851872255 /nfs/dbraw/zinc/87/22/55/851872255.db2.gz ZUMVCNCSVNZVSI-SFHVURJKSA-N 1 2 313.401 1.915 20 30 DDEDLO N#CCC[N@@H+]1CCC[C@@]12CCN(CCc1ccc(O)cc1)C2=O ZINC001274031768 851872261 /nfs/dbraw/zinc/87/22/61/851872261.db2.gz ZUMVCNCSVNZVSI-SFHVURJKSA-N 1 2 313.401 1.915 20 30 DDEDLO N#Cc1ccnc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)c1 ZINC001274209610 852038505 /nfs/dbraw/zinc/03/85/05/852038505.db2.gz CFZQJFQPUPJJSX-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1ccnc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)c1 ZINC001274209610 852038511 /nfs/dbraw/zinc/03/85/11/852038511.db2.gz CFZQJFQPUPJJSX-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1cc2ccccn2n1 ZINC001274263866 852107503 /nfs/dbraw/zinc/10/75/03/852107503.db2.gz KLZZDDUTAMCYNA-IBGZPJMESA-N 1 2 322.412 1.925 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1cc2ccccn2n1 ZINC001274263866 852107513 /nfs/dbraw/zinc/10/75/13/852107513.db2.gz KLZZDDUTAMCYNA-IBGZPJMESA-N 1 2 322.412 1.925 20 30 DDEDLO C#CCNc1[nH+]cnc2c1ccn2S(=O)(=O)c1ccccc1 ZINC001155650801 862341257 /nfs/dbraw/zinc/34/12/57/862341257.db2.gz JTTRIGSKZYDFIV-UHFFFAOYSA-N 1 2 312.354 1.713 20 30 DDEDLO Cc1ncc(C[NH+]2CCC3(C[C@H]3C(=O)NCCCC#N)CC2)[nH]1 ZINC001274576954 852408241 /nfs/dbraw/zinc/40/82/41/852408241.db2.gz SQYJFTUYNLKAIV-HNNXBMFYSA-N 1 2 315.421 1.740 20 30 DDEDLO N#CCCCN1C[C@@]2(CCN(c3cccc[nH+]3)C2)OCC1=O ZINC001274740159 852570464 /nfs/dbraw/zinc/57/04/64/852570464.db2.gz SAYQPRVNZUPOQC-INIZCTEOSA-N 1 2 300.362 1.193 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cccc(O)c2F)C1=O ZINC001274859219 852646387 /nfs/dbraw/zinc/64/63/87/852646387.db2.gz CVHDCIBSNFXBLE-KRWDZBQOSA-N 1 2 302.349 1.731 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cccc(O)c2F)C1=O ZINC001274859219 852646396 /nfs/dbraw/zinc/64/63/96/852646396.db2.gz CVHDCIBSNFXBLE-KRWDZBQOSA-N 1 2 302.349 1.731 20 30 DDEDLO CSc1nc(CN2C[C@@H]3OCC[N@H+](C)[C@H]3C2)ccc1C#N ZINC001275498972 853232218 /nfs/dbraw/zinc/23/22/18/853232218.db2.gz BOLBDTZAXWTTRR-KBPBESRZSA-N 1 2 304.419 1.190 20 30 DDEDLO CSc1nc(CN2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)ccc1C#N ZINC001275498972 853232226 /nfs/dbraw/zinc/23/22/26/853232226.db2.gz BOLBDTZAXWTTRR-KBPBESRZSA-N 1 2 304.419 1.190 20 30 DDEDLO CN1CCO[C@@]2(CCC[N@H+](Cc3cc(C#N)ccc3O)C2)C1 ZINC001275547359 853316083 /nfs/dbraw/zinc/31/60/83/853316083.db2.gz JZTWKCUOTMZKLM-KRWDZBQOSA-N 1 2 301.390 1.560 20 30 DDEDLO CN1CCO[C@@]2(CCC[N@@H+](Cc3cc(C#N)ccc3O)C2)C1 ZINC001275547359 853316089 /nfs/dbraw/zinc/31/60/89/853316089.db2.gz JZTWKCUOTMZKLM-KRWDZBQOSA-N 1 2 301.390 1.560 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+](C)C[C@H](C)NC(=O)C#CC(C)C ZINC001275553481 853327557 /nfs/dbraw/zinc/32/75/57/853327557.db2.gz ZJIJHZYLXKBQAE-LBPRGKRZSA-N 1 2 324.856 1.978 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+](C)C[C@H](C)NC(=O)C#CC(C)C ZINC001275553481 853327564 /nfs/dbraw/zinc/32/75/64/853327564.db2.gz ZJIJHZYLXKBQAE-LBPRGKRZSA-N 1 2 324.856 1.978 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CCCCC[N@@H+]1Cc1cnon1 ZINC001276333253 855671956 /nfs/dbraw/zinc/67/19/56/855671956.db2.gz FSYNIJNPPMOFHR-HNNXBMFYSA-N 1 2 322.409 1.523 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CCCCC[N@H+]1Cc1cnon1 ZINC001276333253 855671962 /nfs/dbraw/zinc/67/19/62/855671962.db2.gz FSYNIJNPPMOFHR-HNNXBMFYSA-N 1 2 322.409 1.523 20 30 DDEDLO Cc1nnc2n1CC[N@@H+](CCC(=O)Nc1cccc(C#N)c1)[C@H]2C ZINC001413110255 856444340 /nfs/dbraw/zinc/44/43/40/856444340.db2.gz XCBGIEJNYOQHHM-LBPRGKRZSA-N 1 2 324.388 1.864 20 30 DDEDLO Cc1nnc2n1CC[N@H+](CCC(=O)Nc1cccc(C#N)c1)[C@H]2C ZINC001413110255 856444344 /nfs/dbraw/zinc/44/43/44/856444344.db2.gz XCBGIEJNYOQHHM-LBPRGKRZSA-N 1 2 324.388 1.864 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[N@H+](Cc1nc(C3CC3)no1)C2 ZINC001072553147 857438447 /nfs/dbraw/zinc/43/84/47/857438447.db2.gz WDOHGFGOZCPOSA-LLVKDONJSA-N 1 2 315.377 1.141 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C3CC3)no1)C2 ZINC001072553147 857438452 /nfs/dbraw/zinc/43/84/52/857438452.db2.gz WDOHGFGOZCPOSA-LLVKDONJSA-N 1 2 315.377 1.141 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072561928 857452537 /nfs/dbraw/zinc/45/25/37/857452537.db2.gz ZEWVJUZPPVSTKC-UONOGXRCSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072603204 857502180 /nfs/dbraw/zinc/50/21/80/857502180.db2.gz ORIAXRHDMMLTCP-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CC[N@H+](Cc1nccs1)C2 ZINC001072718682 857637355 /nfs/dbraw/zinc/63/73/55/857637355.db2.gz JHHPGVURJFLNBF-UHFFFAOYSA-N 1 2 301.415 1.591 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CC[N@@H+](Cc1nccs1)C2 ZINC001072718682 857637359 /nfs/dbraw/zinc/63/73/59/857637359.db2.gz JHHPGVURJFLNBF-UHFFFAOYSA-N 1 2 301.415 1.591 20 30 DDEDLO CCN(c1ccccc1)c1cc(N2CC[NH2+]C[C@@H]2C#N)ncn1 ZINC001156332252 862943329 /nfs/dbraw/zinc/94/33/29/862943329.db2.gz FRWYAVLNNOQVDP-HNNXBMFYSA-N 1 2 308.389 1.936 20 30 DDEDLO CC(C)C#CC(=O)N1CCC(C)(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001073011684 857996748 /nfs/dbraw/zinc/99/67/48/857996748.db2.gz OSVUJZUJHCWAQY-UHFFFAOYSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H](C)OCC ZINC001328463083 862987924 /nfs/dbraw/zinc/98/79/24/862987924.db2.gz BTEOYLOLDDREHL-RHSMWYFYSA-N 1 2 300.402 1.760 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@@H](C)OCC ZINC001328463083 862987931 /nfs/dbraw/zinc/98/79/31/862987931.db2.gz BTEOYLOLDDREHL-RHSMWYFYSA-N 1 2 300.402 1.760 20 30 DDEDLO C=CC[C@@H](C(=O)NCC[NH2+]Cc1nnc(C)o1)c1ccccc1 ZINC001151838726 862999544 /nfs/dbraw/zinc/99/95/44/862999544.db2.gz YDEWXXSHBTYAEQ-OAHLLOKOSA-N 1 2 314.389 1.944 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2C[N@@H+]3CCCC[C@H]3CO2)n1CC ZINC001121803827 858600237 /nfs/dbraw/zinc/60/02/37/858600237.db2.gz MPHUDFCSZJFUBK-KBPBESRZSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2C[N@H+]3CCCC[C@H]3CO2)n1CC ZINC001121803827 858600239 /nfs/dbraw/zinc/60/02/39/858600239.db2.gz MPHUDFCSZJFUBK-KBPBESRZSA-N 1 2 305.426 1.846 20 30 DDEDLO O=C(NCC#C[C@H]1CCCCO1)NCCNc1cccc[nH+]1 ZINC001122032259 858667764 /nfs/dbraw/zinc/66/77/64/858667764.db2.gz UHNFUTFPUDUROB-CQSZACIVSA-N 1 2 302.378 1.365 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](CO)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001122310185 858746386 /nfs/dbraw/zinc/74/63/86/858746386.db2.gz JXRRWCXLFJBBSY-CYBMUJFWSA-N 1 2 318.421 1.764 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N1CCO[C@H](C#N)C1 ZINC001122487643 858847036 /nfs/dbraw/zinc/84/70/36/858847036.db2.gz FBFRQGVOTFYDAH-CQSZACIVSA-N 1 2 316.409 1.179 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)[C@H](F)C(C)C)CC1 ZINC001381253501 881376286 /nfs/dbraw/zinc/37/62/86/881376286.db2.gz HHBSMZMMZCGKAG-GFCCVEGCSA-N 1 2 306.809 1.676 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c(F)c1 ZINC001140139575 860582441 /nfs/dbraw/zinc/58/24/41/860582441.db2.gz WZKDLQVBMQOBPV-UHFFFAOYSA-N 1 2 300.293 1.457 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCc3[nH]c(=O)[nH]c(=O)c3C2)c(F)c1 ZINC001140139575 860582446 /nfs/dbraw/zinc/58/24/46/860582446.db2.gz WZKDLQVBMQOBPV-UHFFFAOYSA-N 1 2 300.293 1.457 20 30 DDEDLO N#CCC1CN(C(=O)[C@H]2CC23CC[NH+](Cc2ncc[nH]2)CC3)C1 ZINC001277001641 881568558 /nfs/dbraw/zinc/56/85/58/881568558.db2.gz USQXUTIJFIRMNN-CQSZACIVSA-N 1 2 313.405 1.384 20 30 DDEDLO CC(C)c1cnc(C[NH2+]C2(CNC(=O)CSCC#N)CC2)o1 ZINC001277006316 881584598 /nfs/dbraw/zinc/58/45/98/881584598.db2.gz BZYXIAAGNRKVHL-UHFFFAOYSA-N 1 2 322.434 1.793 20 30 DDEDLO N#Cc1ccc(C(=O)NCCC[NH2+]CC(F)(F)C(F)F)[nH]1 ZINC001156697974 863270294 /nfs/dbraw/zinc/27/02/94/863270294.db2.gz PUHLFDAMLAZBIB-UHFFFAOYSA-N 1 2 306.263 1.496 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC[NH2+]Cc1noc(CCCC)n1 ZINC001157189472 863643459 /nfs/dbraw/zinc/64/34/59/863643459.db2.gz LRCIZHOUJSANOT-MRXNPFEDSA-N 1 2 324.425 1.335 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC001153091658 863705998 /nfs/dbraw/zinc/70/59/98/863705998.db2.gz YDJLTXZSCSHIKB-UHFFFAOYSA-N 1 2 309.410 1.771 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@H]2CCO[C@H](C(C)C)C2)C1 ZINC001329707770 863803845 /nfs/dbraw/zinc/80/38/45/863803845.db2.gz PEHBLPDNEUPCML-HOTGVXAUSA-N 1 2 324.465 1.567 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(CC)o1 ZINC001153326631 863826421 /nfs/dbraw/zinc/82/64/21/863826421.db2.gz BDSRPCYBGNRUNM-ZIAGYGMSSA-N 1 2 321.421 1.602 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(CC)o1 ZINC001153326631 863826426 /nfs/dbraw/zinc/82/64/26/863826426.db2.gz BDSRPCYBGNRUNM-ZIAGYGMSSA-N 1 2 321.421 1.602 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCCC2=CCN(CC#N)CC2)c[nH+]1 ZINC001160466109 865861732 /nfs/dbraw/zinc/86/17/32/865861732.db2.gz BCJXWEVNUCVSDG-UHFFFAOYSA-N 1 2 315.421 1.589 20 30 DDEDLO C=CCC[N@@H+](C)C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001332632685 865998015 /nfs/dbraw/zinc/99/80/15/865998015.db2.gz OLFFXSSAZNNYBL-UWVGGRQHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=CCC[N@H+](C)C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001332632685 865998023 /nfs/dbraw/zinc/99/80/23/865998023.db2.gz OLFFXSSAZNNYBL-UWVGGRQHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=CCC[N@@H+](C)C[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001332632685 865998034 /nfs/dbraw/zinc/99/80/34/865998034.db2.gz OLFFXSSAZNNYBL-UWVGGRQHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=CCC[N@H+](C)C[C@H](O)CN(C)C(=O)[C@H](F)C(F)(F)F ZINC001332632685 865998041 /nfs/dbraw/zinc/99/80/41/865998041.db2.gz OLFFXSSAZNNYBL-UWVGGRQHSA-N 1 2 300.296 1.214 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)c1cc(C)co1)C(C)C ZINC001332722066 866098019 /nfs/dbraw/zinc/09/80/19/866098019.db2.gz DBZOJYQSVXBZGQ-UHFFFAOYSA-N 1 2 321.421 1.673 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)c1cc(C)co1)C(C)C ZINC001332722066 866098034 /nfs/dbraw/zinc/09/80/34/866098034.db2.gz DBZOJYQSVXBZGQ-UHFFFAOYSA-N 1 2 321.421 1.673 20 30 DDEDLO CCc1nnc(C[NH2+]C[C@@H](NC(=O)C#CC2CC2)C2CC2)o1 ZINC001322991983 866245541 /nfs/dbraw/zinc/24/55/41/866245541.db2.gz QGZCEXJKWLAJIY-CYBMUJFWSA-N 1 2 302.378 1.030 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(OC)cc2OC)C1 ZINC001320040024 866441798 /nfs/dbraw/zinc/44/17/98/866441798.db2.gz URGACPRQACNHCE-CQSZACIVSA-N 1 2 320.389 1.919 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CC(=O)Nc2ccc(OC)cc2OC)C1 ZINC001320040024 866441805 /nfs/dbraw/zinc/44/18/05/866441805.db2.gz URGACPRQACNHCE-CQSZACIVSA-N 1 2 320.389 1.919 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC001320072538 866456126 /nfs/dbraw/zinc/45/61/26/866456126.db2.gz SFSAPZQUIHJXCB-LSDHHAIUSA-N 1 2 316.405 1.647 20 30 DDEDLO CC(C)C#CC(=O)NCC1([NH2+]Cc2ncnn2C(C)C)CC1 ZINC001323437026 866608637 /nfs/dbraw/zinc/60/86/37/866608637.db2.gz BVMAPVHFLMOQRJ-UHFFFAOYSA-N 1 2 303.410 1.257 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2CC(=O)N(C)C ZINC001323788008 866844261 /nfs/dbraw/zinc/84/42/61/866844261.db2.gz KXIIYVWMKHJQHG-GASCZTMLSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2CC(=O)N(C)C ZINC001323788008 866844275 /nfs/dbraw/zinc/84/42/75/866844275.db2.gz KXIIYVWMKHJQHG-GASCZTMLSA-N 1 2 307.438 1.496 20 30 DDEDLO COc1nc(NS(=O)(=O)c2ccc(C[NH3+])cc2)ccc1C#N ZINC001161747084 866956960 /nfs/dbraw/zinc/95/69/60/866956960.db2.gz ZDHLKNPIDSABQZ-UHFFFAOYSA-N 1 2 318.358 1.221 20 30 DDEDLO CCN(CC[NH2+]Cc1cnon1)C(=O)CC#Cc1ccccc1 ZINC001320920290 867121584 /nfs/dbraw/zinc/12/15/84/867121584.db2.gz FROQUENAPFGKAQ-UHFFFAOYSA-N 1 2 312.373 1.450 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C/C=C\CNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001321026419 867227734 /nfs/dbraw/zinc/22/77/34/867227734.db2.gz CGKFZLIQTCZPIX-XOULXFPDSA-N 1 2 314.349 1.215 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)N(C)C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001334177897 867357716 /nfs/dbraw/zinc/35/77/16/867357716.db2.gz JUUQFVNUUGWJJB-QWHCGFSZSA-N 1 2 318.421 1.759 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H](CC)SC)C1 ZINC001324601235 867392430 /nfs/dbraw/zinc/39/24/30/867392430.db2.gz KDARVGKPWKLMAN-IUODEOHRSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H](CC)SC)C1 ZINC001324601235 867392445 /nfs/dbraw/zinc/39/24/45/867392445.db2.gz KDARVGKPWKLMAN-IUODEOHRSA-N 1 2 313.467 1.011 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1Cc2ccc(C(=O)OC)cc2C1=O ZINC001321453651 867602907 /nfs/dbraw/zinc/60/29/07/867602907.db2.gz HUJYBRWDGBMVPT-UHFFFAOYSA-N 1 2 312.369 1.732 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1Cc2ccc(C(=O)OC)cc2C1=O ZINC001321453651 867602913 /nfs/dbraw/zinc/60/29/13/867602913.db2.gz HUJYBRWDGBMVPT-UHFFFAOYSA-N 1 2 312.369 1.732 20 30 DDEDLO COc1cc(C#N)ccc1OCC[NH2+][C@@H](C)c1noc(C)n1 ZINC001325322825 867943422 /nfs/dbraw/zinc/94/34/22/867943422.db2.gz ORXUEICHYXHOAA-JTQLQIEISA-N 1 2 302.334 1.988 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)CC1 ZINC001325670819 868236943 /nfs/dbraw/zinc/23/69/43/868236943.db2.gz NVIDEPWIGBDNRR-UHFFFAOYSA-N 1 2 316.405 1.540 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001325766274 868338237 /nfs/dbraw/zinc/33/82/37/868338237.db2.gz KBSSBYHVFJROJL-OKILXGFUSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001325766274 868338257 /nfs/dbraw/zinc/33/82/57/868338257.db2.gz KBSSBYHVFJROJL-OKILXGFUSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC001322880248 868441937 /nfs/dbraw/zinc/44/19/37/868441937.db2.gz OIYJYNIVDGAQOE-STQMWFEESA-N 1 2 304.394 1.207 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001337069619 869305080 /nfs/dbraw/zinc/30/50/80/869305080.db2.gz CVGBIZZRFPBJRD-BFHYXJOUSA-N 1 2 318.421 1.453 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1c1cc([N+](=O)[O-])cc2c(Cl)ncnc21 ZINC001164675232 869348244 /nfs/dbraw/zinc/34/82/44/869348244.db2.gz CEZOMHHHNMLUAF-SECBINFHSA-N 1 2 318.724 1.493 20 30 DDEDLO C[C@H](CN(C)C(=O)C#CC(C)(C)C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001337160483 869353662 /nfs/dbraw/zinc/35/36/62/869353662.db2.gz ORKPSZLYYPCCSY-CYBMUJFWSA-N 1 2 318.421 1.355 20 30 DDEDLO C[C@H](CN(C)C(=O)C#CC(C)(C)C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001337160483 869353668 /nfs/dbraw/zinc/35/36/68/869353668.db2.gz ORKPSZLYYPCCSY-CYBMUJFWSA-N 1 2 318.421 1.355 20 30 DDEDLO C[C@@H](CN(C)C(=O)C#CC(C)(C)C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001337160485 869353893 /nfs/dbraw/zinc/35/38/93/869353893.db2.gz ORKPSZLYYPCCSY-ZDUSSCGKSA-N 1 2 318.421 1.355 20 30 DDEDLO C[C@@H](CN(C)C(=O)C#CC(C)(C)C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001337160485 869353899 /nfs/dbraw/zinc/35/38/99/869353899.db2.gz ORKPSZLYYPCCSY-ZDUSSCGKSA-N 1 2 318.421 1.355 20 30 DDEDLO C=CCN1C(=O)CC[C@]12CCCN(c1cc(N)nc[nH+]1)[C@H]2CC ZINC001165597117 869647842 /nfs/dbraw/zinc/64/78/42/869647842.db2.gz XYVNPZHLFQDGME-GUYCJALGSA-N 1 2 315.421 1.985 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)CC(C)(C)C)n2C)CC1 ZINC001337898370 869675022 /nfs/dbraw/zinc/67/50/22/869675022.db2.gz SUQNSRGWXAZZHL-CYBMUJFWSA-N 1 2 305.426 1.040 20 30 DDEDLO CCOC(=O)c1ccc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)[nH]1 ZINC001338290541 869906659 /nfs/dbraw/zinc/90/66/59/869906659.db2.gz WWULNKQGZCAAQF-BETUJISGSA-N 1 2 304.394 1.610 20 30 DDEDLO CCOC(=O)c1ccc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)[nH]1 ZINC001338290541 869906666 /nfs/dbraw/zinc/90/66/66/869906666.db2.gz WWULNKQGZCAAQF-BETUJISGSA-N 1 2 304.394 1.610 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)c2oc(C)cc2C)C1 ZINC001316974047 870032258 /nfs/dbraw/zinc/03/22/58/870032258.db2.gz PSCHIEYMDAOCRS-ZIAGYGMSSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(=O)c2oc(C)cc2C)C1 ZINC001316974047 870032269 /nfs/dbraw/zinc/03/22/69/870032269.db2.gz PSCHIEYMDAOCRS-ZIAGYGMSSA-N 1 2 319.405 1.391 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C(F)(F)F ZINC001317051201 870168076 /nfs/dbraw/zinc/16/80/76/870168076.db2.gz UFCJRGLMNGFRDC-RWMBFGLXSA-N 1 2 318.339 1.510 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C(F)(F)F ZINC001317051201 870168087 /nfs/dbraw/zinc/16/80/87/870168087.db2.gz UFCJRGLMNGFRDC-RWMBFGLXSA-N 1 2 318.339 1.510 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([NH2+]Cc2ncc(C(C)C)o2)C1 ZINC001317057613 870179826 /nfs/dbraw/zinc/17/98/26/870179826.db2.gz FYAOJZRVIAXGCY-KBPBESRZSA-N 1 2 319.405 1.527 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(CC#N)cc3)n2C)CC1 ZINC001338838579 870198147 /nfs/dbraw/zinc/19/81/47/870198147.db2.gz PLVWLTAYIFBDTK-UHFFFAOYSA-N 1 2 320.400 1.303 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001297961973 870216673 /nfs/dbraw/zinc/21/66/73/870216673.db2.gz LHCRFFRPQSATMA-CQSZACIVSA-N 1 2 304.394 1.013 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)C3CCC3)n2CC)CC1 ZINC001339295734 870439011 /nfs/dbraw/zinc/43/90/11/870439011.db2.gz HLIPPMHTHHAZFU-CQSZACIVSA-N 1 2 301.438 1.957 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CNC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001298858282 870757243 /nfs/dbraw/zinc/75/72/43/870757243.db2.gz CUPMGUQVBKPCPV-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+](Cc2cn(C(C)C)nn2)C1 ZINC001317518222 870976213 /nfs/dbraw/zinc/97/62/13/870976213.db2.gz JIXWODULIDOPJN-HNNXBMFYSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+](Cc2cn(C(C)C)nn2)C1 ZINC001317518222 870976224 /nfs/dbraw/zinc/97/62/24/870976224.db2.gz JIXWODULIDOPJN-HNNXBMFYSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(C(C)C)[nH]2)C1 ZINC001317524489 870991074 /nfs/dbraw/zinc/99/10/74/870991074.db2.gz YCNGETSYPDJTGY-ZDUSSCGKSA-N 1 2 321.425 1.069 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CC[N@H+](Cc2nnc(C(C)C)[nH]2)C1 ZINC001317524489 870991079 /nfs/dbraw/zinc/99/10/79/870991079.db2.gz YCNGETSYPDJTGY-ZDUSSCGKSA-N 1 2 321.425 1.069 20 30 DDEDLO Cc1ccc(C(=O)C=CC(=O)N[C@H](CO)Cc2c[nH]c[nH+]2)cc1 ZINC001302761742 871080303 /nfs/dbraw/zinc/08/03/03/871080303.db2.gz XEZKDSWALADZGT-LFAOLKIESA-N 1 2 313.357 1.177 20 30 DDEDLO Cc1ccc(C(=O)C=CC(=O)N[C@H](CO)Cc2c[nH+]c[nH]2)cc1 ZINC001302761742 871080310 /nfs/dbraw/zinc/08/03/10/871080310.db2.gz XEZKDSWALADZGT-LFAOLKIESA-N 1 2 313.357 1.177 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCOC[C@H]1Nc1cc[nH+]c(C)n1 ZINC001305693101 871295602 /nfs/dbraw/zinc/29/56/02/871295602.db2.gz MAUZWWXYVWRNCY-UONOGXRCSA-N 1 2 304.394 1.827 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1C[C@@H]2[C@H](C1)C2(C)C ZINC001340732601 871315876 /nfs/dbraw/zinc/31/58/76/871315876.db2.gz IPQYYKSATJVRJB-BETUJISGSA-N 1 2 312.421 1.881 20 30 DDEDLO C=CCOCC(=O)NC1CC[NH+](CC(=C)Br)CC1 ZINC001226589600 882526090 /nfs/dbraw/zinc/52/60/90/882526090.db2.gz UVTCPBPPSGRHJR-UHFFFAOYSA-N 1 2 317.227 1.678 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001317941383 871639950 /nfs/dbraw/zinc/63/99/50/871639950.db2.gz YEKLLXKODXTFNC-OAHLLOKOSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001317941383 871639969 /nfs/dbraw/zinc/63/99/69/871639969.db2.gz YEKLLXKODXTFNC-OAHLLOKOSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCCCC(=O)NCC1C[NH+](CC(=O)N2CCC[C@@H](C)C2)C1 ZINC001318148317 871780036 /nfs/dbraw/zinc/78/00/36/871780036.db2.gz FYXQUSULOFKWQM-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO CN(CCCCCCNCC#N)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001316726096 871920986 /nfs/dbraw/zinc/92/09/86/871920986.db2.gz GWMREIJTAZTJAA-OAHLLOKOSA-N 1 2 317.437 1.577 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001318329109 871933030 /nfs/dbraw/zinc/93/30/30/871933030.db2.gz DXKOILPNSLUFHM-CJNGLKHVSA-N 1 2 307.394 1.309 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001318329109 871933040 /nfs/dbraw/zinc/93/30/40/871933040.db2.gz DXKOILPNSLUFHM-CJNGLKHVSA-N 1 2 307.394 1.309 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H]2C[N@@H+](Cc3ncccn3)CC[C@@H]2C1 ZINC001316752357 871993754 /nfs/dbraw/zinc/99/37/54/871993754.db2.gz LTDGIODUUSSWSP-CVEARBPZSA-N 1 2 312.417 1.416 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H]2C[N@H+](Cc3ncccn3)CC[C@@H]2C1 ZINC001316752357 871993761 /nfs/dbraw/zinc/99/37/61/871993761.db2.gz LTDGIODUUSSWSP-CVEARBPZSA-N 1 2 312.417 1.416 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@H]1CCCCO1 ZINC001316820843 872112288 /nfs/dbraw/zinc/11/22/88/872112288.db2.gz ZYTZKMOJZNYURR-GFCCVEGCSA-N 1 2 319.243 1.902 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC)[C@H]1CC[N@H+](Cc2coc(C)n2)C1 ZINC001318469514 872090760 /nfs/dbraw/zinc/09/07/60/872090760.db2.gz FBHFFPLIGSONTP-CJNGLKHVSA-N 1 2 319.405 1.444 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC)[C@H]1CC[N@@H+](Cc2coc(C)n2)C1 ZINC001318469514 872090783 /nfs/dbraw/zinc/09/07/83/872090783.db2.gz FBHFFPLIGSONTP-CJNGLKHVSA-N 1 2 319.405 1.444 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@H]1CCCCO1 ZINC001316820843 872112281 /nfs/dbraw/zinc/11/22/81/872112281.db2.gz ZYTZKMOJZNYURR-GFCCVEGCSA-N 1 2 319.243 1.902 20 30 DDEDLO C[C@H]1CCCC[C@@]1(CNCC#N)NC(=O)CCCn1cc[nH+]c1 ZINC001318757674 872248821 /nfs/dbraw/zinc/24/88/21/872248821.db2.gz ITQJXCRYRRQRPW-RDJZCZTQSA-N 1 2 317.437 1.842 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-c1cnc(C#N)nc1 ZINC001206509137 872395500 /nfs/dbraw/zinc/39/55/00/872395500.db2.gz FACLWGAJWQPRHB-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC)C1 ZINC001316946257 872440240 /nfs/dbraw/zinc/44/02/40/872440240.db2.gz ABADQZGPMAZCIK-HNNXBMFYSA-N 1 2 307.438 1.287 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC)C1 ZINC001316946257 872440245 /nfs/dbraw/zinc/44/02/45/872440245.db2.gz ABADQZGPMAZCIK-HNNXBMFYSA-N 1 2 307.438 1.287 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001316950395 872449826 /nfs/dbraw/zinc/44/98/26/872449826.db2.gz PDZLJDZQTYKSKZ-OWCLPIDISA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001316950395 872449830 /nfs/dbraw/zinc/44/98/30/872449830.db2.gz PDZLJDZQTYKSKZ-OWCLPIDISA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCOCCCC(=O)NC1CC[NH+](Cc2nccn2C)CC1 ZINC001226759819 882636835 /nfs/dbraw/zinc/63/68/35/882636835.db2.gz AZOPUTJUTYKVIL-UHFFFAOYSA-N 1 2 320.437 1.484 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nncn2C)C[C@H]1C ZINC001206884809 872703233 /nfs/dbraw/zinc/70/32/33/872703233.db2.gz GTGXJXKNRSCUJN-RBSFLKMASA-N 1 2 317.437 1.506 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nncn2C)C[C@H]1C ZINC001206884809 872703242 /nfs/dbraw/zinc/70/32/42/872703242.db2.gz GTGXJXKNRSCUJN-RBSFLKMASA-N 1 2 317.437 1.506 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]1CN(C)C(=O)Cn1cc[nH+]c1 ZINC001344168724 872905577 /nfs/dbraw/zinc/90/55/77/872905577.db2.gz ISTREKXXHRRQEW-HNNXBMFYSA-N 1 2 316.405 1.136 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@]2(C1)C[N@H+](CC#CC)CCO2 ZINC001276541342 873412263 /nfs/dbraw/zinc/41/22/63/873412263.db2.gz XQHHLSRTNZNAID-SFHVURJKSA-N 1 2 320.433 1.296 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@]2(C1)C[N@@H+](CC#CC)CCO2 ZINC001276541342 873412266 /nfs/dbraw/zinc/41/22/66/873412266.db2.gz XQHHLSRTNZNAID-SFHVURJKSA-N 1 2 320.433 1.296 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001207859721 873617489 /nfs/dbraw/zinc/61/74/89/873617489.db2.gz CAWQHYDCWGHXOW-CYBMUJFWSA-N 1 2 306.435 1.812 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2nccn2C)C[C@H]1C ZINC001208312575 873993434 /nfs/dbraw/zinc/99/34/34/873993434.db2.gz MVMMSHBUKHSGDO-HZPDHXFCSA-N 1 2 316.449 1.940 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2nccn2C)C[C@H]1C ZINC001208312575 873993440 /nfs/dbraw/zinc/99/34/40/873993440.db2.gz MVMMSHBUKHSGDO-HZPDHXFCSA-N 1 2 316.449 1.940 20 30 DDEDLO C#CCC1(C(=O)N2CCC[C@@H]([NH2+]Cc3csnn3)C2)CCC1 ZINC001208319425 874006350 /nfs/dbraw/zinc/00/63/50/874006350.db2.gz SYGAPCGVNAZZRE-CYBMUJFWSA-N 1 2 318.446 1.812 20 30 DDEDLO CCNc1cc(CNC(=O)NCC#C[C@H]2CCCCO2)cc[nH+]1 ZINC001347913847 874306238 /nfs/dbraw/zinc/30/62/38/874306238.db2.gz JFNPUBXUGZMDFL-OAHLLOKOSA-N 1 2 316.405 1.885 20 30 DDEDLO C=C(CC)C(=O)N1CC[N@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC001348102072 874360399 /nfs/dbraw/zinc/36/03/99/874360399.db2.gz NDNRPUPRPCDWSV-ZDUSSCGKSA-N 1 2 311.426 1.620 20 30 DDEDLO C=C(CC)C(=O)N1CC[N@@H+](C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC001348102072 874360415 /nfs/dbraw/zinc/36/04/15/874360415.db2.gz NDNRPUPRPCDWSV-ZDUSSCGKSA-N 1 2 311.426 1.620 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001348454049 874569491 /nfs/dbraw/zinc/56/94/91/874569491.db2.gz KUOYURZWKUHFCD-SJORKVTESA-N 1 2 317.433 1.879 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001348454049 874569496 /nfs/dbraw/zinc/56/94/96/874569496.db2.gz KUOYURZWKUHFCD-SJORKVTESA-N 1 2 317.433 1.879 20 30 DDEDLO CC(C)C#CC(=O)NCCNC(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC001348925542 874878572 /nfs/dbraw/zinc/87/85/72/874878572.db2.gz WCVXXVJJWSSAFE-HNNXBMFYSA-N 1 2 318.421 1.362 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1Cc1cc(OC(F)(F)F)ccc1N ZINC001210123075 875234969 /nfs/dbraw/zinc/23/49/69/875234969.db2.gz YGMPHUKSTLBTMY-SNVBAGLBSA-N 1 2 300.284 1.465 20 30 DDEDLO CCn1nncc1C[NH+]1CCC(NC(=O)C#CC(C)(C)C)CC1 ZINC001227280318 882951111 /nfs/dbraw/zinc/95/11/11/882951111.db2.gz ARSADQICVSQCMW-UHFFFAOYSA-N 1 2 317.437 1.428 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC1([NH2+]Cc2cscn2)CC1 ZINC001277196102 882953497 /nfs/dbraw/zinc/95/34/97/882953497.db2.gz YZWAJRKRIKEFQZ-KBPBESRZSA-N 1 2 321.446 1.863 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1NC[C@H](CO)[C@H]1CCOC1 ZINC001350480472 875715407 /nfs/dbraw/zinc/71/54/07/875715407.db2.gz SLNSDQWMFZKPBQ-LSDHHAIUSA-N 1 2 304.390 1.931 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213268561 875866229 /nfs/dbraw/zinc/86/62/29/875866229.db2.gz NFFKVBKTQMIOPS-ZIAGYGMSSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1OC ZINC001213268561 875866235 /nfs/dbraw/zinc/86/62/35/875866235.db2.gz NFFKVBKTQMIOPS-ZIAGYGMSSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001213350095 875904685 /nfs/dbraw/zinc/90/46/85/875904685.db2.gz HBFSEPMTHJKABH-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001213350095 875904694 /nfs/dbraw/zinc/90/46/94/875904694.db2.gz HBFSEPMTHJKABH-HUUCEWRRSA-N 1 2 307.394 1.655 20 30 DDEDLO CCC(CC)(CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213626563 875999750 /nfs/dbraw/zinc/99/97/50/875999750.db2.gz AWSIBLPLOTWUNU-HZPDHXFCSA-N 1 2 324.465 1.668 20 30 DDEDLO CCC(CC)(CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213626563 875999764 /nfs/dbraw/zinc/99/97/64/875999764.db2.gz AWSIBLPLOTWUNU-HZPDHXFCSA-N 1 2 324.465 1.668 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)CCCC ZINC001351606166 876345536 /nfs/dbraw/zinc/34/55/36/876345536.db2.gz WRRKQHOGBZBYPX-UONOGXRCSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)CCCC ZINC001351606166 876345549 /nfs/dbraw/zinc/34/55/49/876345549.db2.gz WRRKQHOGBZBYPX-UONOGXRCSA-N 1 2 307.442 1.828 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001351645462 876363043 /nfs/dbraw/zinc/36/30/43/876363043.db2.gz MHQFABLRCGZLDL-ZIAGYGMSSA-N 1 2 316.405 1.137 20 30 DDEDLO CC(C)C#CC(=O)N(C)CCCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001351645462 876363059 /nfs/dbraw/zinc/36/30/59/876363059.db2.gz MHQFABLRCGZLDL-ZIAGYGMSSA-N 1 2 316.405 1.137 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001215366024 876678042 /nfs/dbraw/zinc/67/80/42/876678042.db2.gz WWQDHIDHXJVYFQ-OUCADQQQSA-N 1 2 306.410 1.917 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2OCCN(C(=O)CC3CCCC3)[C@H]2C1 ZINC001217200283 877134401 /nfs/dbraw/zinc/13/44/01/877134401.db2.gz DJHLWYNXHJAGTC-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2OCCN(C(=O)CC3CCCC3)[C@H]2C1 ZINC001217200283 877134410 /nfs/dbraw/zinc/13/44/10/877134410.db2.gz DJHLWYNXHJAGTC-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](CN(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001353235342 877205903 /nfs/dbraw/zinc/20/59/03/877205903.db2.gz CGVVDOLJRPLLSJ-HNNXBMFYSA-N 1 2 318.421 1.546 20 30 DDEDLO CCC(C)(CC)OC(=O)C[C@H]([NH3+])C(=O)Nc1nc[nH]c1C#N ZINC001218596187 877602067 /nfs/dbraw/zinc/60/20/67/877602067.db2.gz WYFJNNPRCIMMRH-VIFPVBQESA-N 1 2 307.354 1.059 20 30 DDEDLO CC(C)c1cccc(C[N@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](O)C2)n1 ZINC001219379669 878165360 /nfs/dbraw/zinc/16/53/60/878165360.db2.gz WGOVOTLIHDZUME-MAZHCROVSA-N 1 2 316.405 1.026 20 30 DDEDLO CC(C)c1cccc(C[N@@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](O)C2)n1 ZINC001219379669 878165363 /nfs/dbraw/zinc/16/53/63/878165363.db2.gz WGOVOTLIHDZUME-MAZHCROVSA-N 1 2 316.405 1.026 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(C)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001355886579 878764781 /nfs/dbraw/zinc/76/47/81/878764781.db2.gz WQKFNEVRXPOOJW-UHFFFAOYSA-N 1 2 304.394 1.192 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@@H]1O ZINC001220203831 878817434 /nfs/dbraw/zinc/81/74/34/878817434.db2.gz RJVRFVKWBRCDLH-BMFZPTHFSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@@H]1O ZINC001220203831 878817440 /nfs/dbraw/zinc/81/74/40/878817440.db2.gz RJVRFVKWBRCDLH-BMFZPTHFSA-N 1 2 303.406 1.345 20 30 DDEDLO O=C(C[C@H]1CCOC1)NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001276811551 878833768 /nfs/dbraw/zinc/83/37/68/878833768.db2.gz XFSQAPVRVBRRPF-QZTJIDSGSA-N 1 2 312.413 1.655 20 30 DDEDLO O=C(C[C@H]1CCOC1)NC[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001276811551 878833775 /nfs/dbraw/zinc/83/37/75/878833775.db2.gz XFSQAPVRVBRRPF-QZTJIDSGSA-N 1 2 312.413 1.655 20 30 DDEDLO C=C(C)Cn1c([C@@H]2C[C@@H]2C)nnc1N(C)CC[NH+]1CCOCC1 ZINC001356331426 878997821 /nfs/dbraw/zinc/99/78/21/878997821.db2.gz WSGJPMSGISOZSG-LSDHHAIUSA-N 1 2 319.453 1.746 20 30 DDEDLO CCCCC[C@@H](C)CC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001220498007 879066015 /nfs/dbraw/zinc/06/60/15/879066015.db2.gz PMCIIXGVCYXQLA-ZACQAIPSSA-N 1 2 324.465 1.404 20 30 DDEDLO CCCCC[C@@H](C)CC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001220498007 879066025 /nfs/dbraw/zinc/06/60/25/879066025.db2.gz PMCIIXGVCYXQLA-ZACQAIPSSA-N 1 2 324.465 1.404 20 30 DDEDLO C=CCCCC(=O)N1CC(CNC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001356815433 879420342 /nfs/dbraw/zinc/42/03/42/879420342.db2.gz XNFNWNLOZQKHKG-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCCC(=O)N1CC(CNC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001356815433 879420349 /nfs/dbraw/zinc/42/03/49/879420349.db2.gz XNFNWNLOZQKHKG-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](O)(CNC(=O)C(C)C)C1 ZINC001380522458 879852958 /nfs/dbraw/zinc/85/29/58/879852958.db2.gz QUVIRMZHKYAMRA-LBPRGKRZSA-N 1 2 305.216 1.104 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](O)(CNC(=O)C(C)C)C1 ZINC001380522458 879852967 /nfs/dbraw/zinc/85/29/67/879852967.db2.gz QUVIRMZHKYAMRA-LBPRGKRZSA-N 1 2 305.216 1.104 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](Cc2nnc(CC)n2C)CC1 ZINC001222579357 880573016 /nfs/dbraw/zinc/57/30/16/880573016.db2.gz TZPKPUZIRRAEQM-UHFFFAOYSA-N 1 2 319.453 1.672 20 30 DDEDLO C=CCOCC(=O)NCC1CC[NH+]([C@@H](C)c2nnc(C)[nH]2)CC1 ZINC001222618863 880605117 /nfs/dbraw/zinc/60/51/17/880605117.db2.gz FMAYIEOWTSRCRK-LBPRGKRZSA-N 1 2 321.425 1.205 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCN(C)C(=O)c1ncc[nH]1 ZINC001380957693 880747451 /nfs/dbraw/zinc/74/74/51/880747451.db2.gz XIXYIBYBDUTEQP-UHFFFAOYSA-N 1 2 315.215 1.712 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCN(C)C(=O)c1ncc[nH]1 ZINC001380957693 880747458 /nfs/dbraw/zinc/74/74/58/880747458.db2.gz XIXYIBYBDUTEQP-UHFFFAOYSA-N 1 2 315.215 1.712 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3ncnn3C)[C@H]2C1 ZINC001223401759 880948270 /nfs/dbraw/zinc/94/82/70/880948270.db2.gz PUQPXRDSAZVTGY-CABCVRRESA-N 1 2 317.437 1.594 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3ncnn3C)[C@H]2C1 ZINC001223401759 880948283 /nfs/dbraw/zinc/94/82/83/880948283.db2.gz PUQPXRDSAZVTGY-CABCVRRESA-N 1 2 317.437 1.594 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc2n[nH]cc21 ZINC001276898266 880995990 /nfs/dbraw/zinc/99/59/90/880995990.db2.gz HQVZIEZQQBECMF-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc2n[nH]cc21 ZINC001276898266 880995996 /nfs/dbraw/zinc/99/59/96/880995996.db2.gz HQVZIEZQQBECMF-ZDUSSCGKSA-N 1 2 312.373 1.017 20 30 DDEDLO CCc1noc(C[NH2+]C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C)n1 ZINC001382528353 884189633 /nfs/dbraw/zinc/18/96/33/884189633.db2.gz SRYLHASWBXAWAX-MNOVXSKESA-N 1 2 307.398 1.412 20 30 DDEDLO N#CC[C@H](C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1)c1ccccc1 ZINC001362738979 884517586 /nfs/dbraw/zinc/51/75/86/884517586.db2.gz MTXMSUFQVOPOTP-JKSUJKDBSA-N 1 2 309.373 1.580 20 30 DDEDLO COCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C#N)ccc1OC ZINC001230538035 884552077 /nfs/dbraw/zinc/55/20/77/884552077.db2.gz JAELRBVKRYIUHF-HNNXBMFYSA-N 1 2 317.389 1.246 20 30 DDEDLO COCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C#N)ccc1OC ZINC001230538035 884552092 /nfs/dbraw/zinc/55/20/92/884552092.db2.gz JAELRBVKRYIUHF-HNNXBMFYSA-N 1 2 317.389 1.246 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001230568112 884592008 /nfs/dbraw/zinc/59/20/08/884592008.db2.gz FMHKJRWBMKPUSN-KRWDZBQOSA-N 1 2 300.402 1.607 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001230568112 884592021 /nfs/dbraw/zinc/59/20/21/884592021.db2.gz FMHKJRWBMKPUSN-KRWDZBQOSA-N 1 2 300.402 1.607 20 30 DDEDLO CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)CCC#N ZINC001230613673 884654020 /nfs/dbraw/zinc/65/40/20/884654020.db2.gz DMHAWEPEROLIOC-AWEZNQCLSA-N 1 2 308.426 1.081 20 30 DDEDLO CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)CCC#N ZINC001230613673 884654033 /nfs/dbraw/zinc/65/40/33/884654033.db2.gz DMHAWEPEROLIOC-AWEZNQCLSA-N 1 2 308.426 1.081 20 30 DDEDLO CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230658536 884709897 /nfs/dbraw/zinc/70/98/97/884709897.db2.gz BLWJYJAVDSIDDN-OAHLLOKOSA-N 1 2 319.380 1.767 20 30 DDEDLO CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(F)c(C#N)c1 ZINC001230658536 884709903 /nfs/dbraw/zinc/70/99/03/884709903.db2.gz BLWJYJAVDSIDDN-OAHLLOKOSA-N 1 2 319.380 1.767 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)Cc1ccsc1 ZINC001230671372 884727877 /nfs/dbraw/zinc/72/78/77/884727877.db2.gz OHSUVIMAJHNVFA-HNNXBMFYSA-N 1 2 306.431 1.473 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)Cc1ccsc1 ZINC001230671372 884727892 /nfs/dbraw/zinc/72/78/92/884727892.db2.gz OHSUVIMAJHNVFA-HNNXBMFYSA-N 1 2 306.431 1.473 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccsc1 ZINC001230696604 884760874 /nfs/dbraw/zinc/76/08/74/884760874.db2.gz CUKLLUUOROVUEV-OCCSQVGLSA-N 1 2 321.446 1.585 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1ccsc1 ZINC001230696604 884760881 /nfs/dbraw/zinc/76/08/81/884760881.db2.gz CUKLLUUOROVUEV-OCCSQVGLSA-N 1 2 321.446 1.585 20 30 DDEDLO N#CCC1CN(C(=O)[C@]23C[C@H]2CC[N@H+]3Cc2ccc(F)nc2)C1 ZINC001277463824 884766312 /nfs/dbraw/zinc/76/63/12/884766312.db2.gz PIVLMAVPSXKMLR-PBHICJAKSA-N 1 2 314.364 1.557 20 30 DDEDLO N#CCC1CN(C(=O)[C@]23C[C@H]2CC[N@@H+]3Cc2ccc(F)nc2)C1 ZINC001277463824 884766323 /nfs/dbraw/zinc/76/63/23/884766323.db2.gz PIVLMAVPSXKMLR-PBHICJAKSA-N 1 2 314.364 1.557 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccoc1C ZINC001230702033 884768243 /nfs/dbraw/zinc/76/82/43/884768243.db2.gz JCVXOGWNLAVQTP-JSGCOSHPSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1ccoc1C ZINC001230702033 884768246 /nfs/dbraw/zinc/76/82/46/884768246.db2.gz JCVXOGWNLAVQTP-JSGCOSHPSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)CC ZINC001230812617 884909868 /nfs/dbraw/zinc/90/98/68/884909868.db2.gz XJAUMNKQPRSRIO-HOTGVXAUSA-N 1 2 321.465 1.766 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)CC ZINC001230812617 884909881 /nfs/dbraw/zinc/90/98/81/884909881.db2.gz XJAUMNKQPRSRIO-HOTGVXAUSA-N 1 2 321.465 1.766 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815342 884917061 /nfs/dbraw/zinc/91/70/61/884917061.db2.gz NDALCMFSBXJAFS-STQMWFEESA-N 1 2 319.434 1.327 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815342 884917077 /nfs/dbraw/zinc/91/70/77/884917077.db2.gz NDALCMFSBXJAFS-STQMWFEESA-N 1 2 319.434 1.327 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C(/C)C1CC1 ZINC001230958650 885088455 /nfs/dbraw/zinc/08/84/55/885088455.db2.gz QJRRXACWGUBVRE-FTCZOVHISA-N 1 2 319.449 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C=C(/C)C1CC1 ZINC001230958650 885088479 /nfs/dbraw/zinc/08/84/79/885088479.db2.gz QJRRXACWGUBVRE-FTCZOVHISA-N 1 2 319.449 1.566 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N(C)[C@@H](C)CNC(=O)[C@H](C)C#N)c[nH+]1 ZINC001383098446 885245910 /nfs/dbraw/zinc/24/59/10/885245910.db2.gz ZHDCMLDZZVDSNR-YPMHNXCESA-N 1 2 319.409 1.049 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H]1CCN(CC=C)C1=O ZINC001231171214 885319441 /nfs/dbraw/zinc/31/94/41/885319441.db2.gz ZEQLHMXBKJLAIA-LSDHHAIUSA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H]1CCN(CC=C)C1=O ZINC001231171214 885319463 /nfs/dbraw/zinc/31/94/63/885319463.db2.gz ZEQLHMXBKJLAIA-LSDHHAIUSA-N 1 2 305.422 1.272 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1C[C@@H](C)CS(C)(=O)=O ZINC001231179195 885333519 /nfs/dbraw/zinc/33/35/19/885333519.db2.gz QYEAAYWULUWDQB-ZIAGYGMSSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1C[C@@H](C)CS(C)(=O)=O ZINC001231179195 885333535 /nfs/dbraw/zinc/33/35/35/885333535.db2.gz QYEAAYWULUWDQB-ZIAGYGMSSA-N 1 2 316.467 1.166 20 30 DDEDLO CC(C)N(CCN(C)C(=O)CCn1cc[nH+]c1)C(=O)[C@@H](C)C#N ZINC001383192695 885383029 /nfs/dbraw/zinc/38/30/29/885383029.db2.gz YPCQTZZHILLEIW-AWEZNQCLSA-N 1 2 319.409 1.128 20 30 DDEDLO C=CCOC[C@@H]1CCC[C@@]12C[N@H+](Cc1ccc(=O)[nH]n1)CCO2 ZINC001232025072 886022926 /nfs/dbraw/zinc/02/29/26/886022926.db2.gz YQPDSGLZXHAUOI-WMLDXEAASA-N 1 2 319.405 1.756 20 30 DDEDLO C=CCOC[C@@H]1CCC[C@@]12C[N@@H+](Cc1ccc(=O)[nH]n1)CCO2 ZINC001232025072 886022937 /nfs/dbraw/zinc/02/29/37/886022937.db2.gz YQPDSGLZXHAUOI-WMLDXEAASA-N 1 2 319.405 1.756 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2CC[C@@]3(CCN3c3ncccn3)C2)c1 ZINC001232676858 886530678 /nfs/dbraw/zinc/53/06/78/886530678.db2.gz FABQIRMQTIGDCZ-GOSISDBHSA-N 1 2 321.384 1.909 20 30 DDEDLO N#Cc1ccc(O)c(C[N@H+]2CC[C@@]3(CCN3c3ncccn3)C2)c1 ZINC001232676858 886530691 /nfs/dbraw/zinc/53/06/91/886530691.db2.gz FABQIRMQTIGDCZ-GOSISDBHSA-N 1 2 321.384 1.909 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2CC[C@]3(CCN3c3ncccn3)C2)c1 ZINC001232676862 886532560 /nfs/dbraw/zinc/53/25/60/886532560.db2.gz FABQIRMQTIGDCZ-SFHVURJKSA-N 1 2 321.384 1.909 20 30 DDEDLO N#Cc1ccc(O)c(C[N@H+]2CC[C@]3(CCN3c3ncccn3)C2)c1 ZINC001232676862 886532577 /nfs/dbraw/zinc/53/25/77/886532577.db2.gz FABQIRMQTIGDCZ-SFHVURJKSA-N 1 2 321.384 1.909 20 30 DDEDLO N#Cc1cc[nH]c1C[N@@H+]1C[C@@H]2COC[C@]2(COc2cccnc2)C1 ZINC001233043734 886751802 /nfs/dbraw/zinc/75/18/02/886751802.db2.gz FADSVLFFDRACLQ-QAPCUYQASA-N 1 2 324.384 1.809 20 30 DDEDLO N#Cc1cc[nH]c1C[N@H+]1C[C@@H]2COC[C@]2(COc2cccnc2)C1 ZINC001233043734 886751815 /nfs/dbraw/zinc/75/18/15/886751815.db2.gz FADSVLFFDRACLQ-QAPCUYQASA-N 1 2 324.384 1.809 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CCN1Cc1cccc(N)[nH+]1 ZINC001233369333 886950596 /nfs/dbraw/zinc/95/05/96/886950596.db2.gz KBLPBIVPOALJME-AWEZNQCLSA-N 1 2 314.393 1.094 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H](OCC)[C@@H]1CCOC1 ZINC001374523169 913144683 /nfs/dbraw/zinc/14/46/83/913144683.db2.gz YSFULUFVQUUCCY-KGLIPLIRSA-N 1 2 318.845 1.571 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H](OCC)[C@@H]1CCOC1 ZINC001374523169 913144695 /nfs/dbraw/zinc/14/46/95/913144695.db2.gz YSFULUFVQUUCCY-KGLIPLIRSA-N 1 2 318.845 1.571 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1nccnc1C ZINC001233978266 887519643 /nfs/dbraw/zinc/51/96/43/887519643.db2.gz SCQBOYQFBUSXMG-PBHICJAKSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1nccnc1C ZINC001233978266 887519650 /nfs/dbraw/zinc/51/96/50/887519650.db2.gz SCQBOYQFBUSXMG-PBHICJAKSA-N 1 2 318.421 1.145 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001234246616 887791156 /nfs/dbraw/zinc/79/11/56/887791156.db2.gz RNCQXUMJPIKBOQ-OAHLLOKOSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001234246616 887791166 /nfs/dbraw/zinc/79/11/66/887791166.db2.gz RNCQXUMJPIKBOQ-OAHLLOKOSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001234264537 887800996 /nfs/dbraw/zinc/80/09/96/887800996.db2.gz CQHWZGOOVYHSMV-DZGCQCFKSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001234264537 887801011 /nfs/dbraw/zinc/80/10/11/887801011.db2.gz CQHWZGOOVYHSMV-DZGCQCFKSA-N 1 2 305.378 1.054 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCC1 ZINC001234509061 888037522 /nfs/dbraw/zinc/03/75/22/888037522.db2.gz HVEOTYJMDLHSED-INIZCTEOSA-N 1 2 319.449 1.520 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCC1 ZINC001234509061 888037535 /nfs/dbraw/zinc/03/75/35/888037535.db2.gz HVEOTYJMDLHSED-INIZCTEOSA-N 1 2 319.449 1.520 20 30 DDEDLO CCc1[nH]c(CNC(=O)COc2ccc(C#N)cc2OC)c[nH+]1 ZINC001364221419 888167489 /nfs/dbraw/zinc/16/74/89/888167489.db2.gz QHDNZESWBWUWHR-UHFFFAOYSA-N 1 2 314.345 1.548 20 30 DDEDLO CCc1[nH]cc(CNC(=O)COc2ccc(C#N)cc2OC)[nH+]1 ZINC001364221419 888167495 /nfs/dbraw/zinc/16/74/95/888167495.db2.gz QHDNZESWBWUWHR-UHFFFAOYSA-N 1 2 314.345 1.548 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@@H]2COC[C@H](C1)N2CCCC#N ZINC001277943229 888625418 /nfs/dbraw/zinc/62/54/18/888625418.db2.gz PNPUHFKPFKEBLO-GASCZTMLSA-N 1 2 303.410 1.092 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@@H]2COC[C@H](C1)N2CCCC#N ZINC001277943229 888625429 /nfs/dbraw/zinc/62/54/29/888625429.db2.gz PNPUHFKPFKEBLO-GASCZTMLSA-N 1 2 303.410 1.092 20 30 DDEDLO COC(=O)[C@H]1C[C@@H]2COC[C@H](C1)[N@@H+]2Cc1ccccc1CC#N ZINC001235530541 888715011 /nfs/dbraw/zinc/71/50/11/888715011.db2.gz VURYBHIEXIQFRQ-BJWYYQGGSA-N 1 2 314.385 1.905 20 30 DDEDLO COC(=O)[C@H]1C[C@@H]2COC[C@H](C1)[N@H+]2Cc1ccccc1CC#N ZINC001235530541 888715020 /nfs/dbraw/zinc/71/50/20/888715020.db2.gz VURYBHIEXIQFRQ-BJWYYQGGSA-N 1 2 314.385 1.905 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cccc(C#N)c1Cl ZINC001364783651 889405813 /nfs/dbraw/zinc/40/58/13/889405813.db2.gz MZWGOAVPDLUZRC-SNVBAGLBSA-N 1 2 324.793 1.775 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2ccc(C)cc2C#N)c[nH+]1 ZINC001364969302 889780782 /nfs/dbraw/zinc/78/07/82/889780782.db2.gz WGIGALJNIKCBOC-UHFFFAOYSA-N 1 2 304.375 1.631 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)c2ccc(C)cc2C#N)[nH+]1 ZINC001364969302 889780795 /nfs/dbraw/zinc/78/07/95/889780795.db2.gz WGIGALJNIKCBOC-UHFFFAOYSA-N 1 2 304.375 1.631 20 30 DDEDLO CCc1[nH]ncc1C[N@@H+]1CCCN(c2ccc(C#N)cn2)CC1 ZINC001237651505 889783240 /nfs/dbraw/zinc/78/32/40/889783240.db2.gz SQCUQHVGEPAWBM-UHFFFAOYSA-N 1 2 310.405 1.951 20 30 DDEDLO CCc1[nH]ncc1C[N@H+]1CCCN(c2ccc(C#N)cn2)CC1 ZINC001237651505 889783253 /nfs/dbraw/zinc/78/32/53/889783253.db2.gz SQCUQHVGEPAWBM-UHFFFAOYSA-N 1 2 310.405 1.951 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2cccc3c2OCC3)CC1 ZINC001237748244 889832951 /nfs/dbraw/zinc/83/29/51/889832951.db2.gz FTGJSSPQHAFORC-UHFFFAOYSA-N 1 2 321.384 1.605 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC2(C[C@@H]2C(=O)N2CCCCO2)C1 ZINC001278239736 890240400 /nfs/dbraw/zinc/24/04/00/890240400.db2.gz WYABVABJGZIVKJ-MRXNPFEDSA-N 1 2 311.385 1.934 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)[C@@H]1C ZINC001278249860 890271850 /nfs/dbraw/zinc/27/18/50/890271850.db2.gz DAWVUFFWAHMAIG-GXTWGEPZSA-N 1 2 316.405 1.830 20 30 DDEDLO CCc1cc(C[N@@H+]2CCN(C)[C@H](C(=O)OC)C2)ccc1C#N ZINC001238769862 890336687 /nfs/dbraw/zinc/33/66/87/890336687.db2.gz VUECHCXVNDZDDP-INIZCTEOSA-N 1 2 301.390 1.410 20 30 DDEDLO CCc1cc(C[N@H+]2CCN(C)[C@H](C(=O)OC)C2)ccc1C#N ZINC001238769862 890336703 /nfs/dbraw/zinc/33/67/03/890336703.db2.gz VUECHCXVNDZDDP-INIZCTEOSA-N 1 2 301.390 1.410 20 30 DDEDLO N#Cc1cc(-c2ccc(OCC[NH+]3CCOCC3)cc2)ncn1 ZINC001240447545 890930307 /nfs/dbraw/zinc/93/03/07/890930307.db2.gz HPTRDWKAMXLEKC-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO C=C/C(=N\COC)c1ccc(OCC[NH+]2CCOCC2)cc1 ZINC001240448812 890930737 /nfs/dbraw/zinc/93/07/37/890930737.db2.gz LJHBGEWXHKVMCN-ISLYRVAYSA-N 1 2 304.390 1.977 20 30 DDEDLO C[NH+]1CCN(C(=O)c2ccnc(-c3cccnc3C#N)c2)CC1 ZINC001242309801 891387522 /nfs/dbraw/zinc/38/75/22/891387522.db2.gz UJJSCOBWFHAHQE-UHFFFAOYSA-N 1 2 307.357 1.403 20 30 DDEDLO Cc1cc(-c2cc(C(=O)N3CC[NH+](C)CC3)ccn2)cnc1C#N ZINC001242354071 891398456 /nfs/dbraw/zinc/39/84/56/891398456.db2.gz QBHRNOJFXASXTI-UHFFFAOYSA-N 1 2 321.384 1.711 20 30 DDEDLO CN(CCNC(=O)Cc1[nH]cc[nH+]1)c1nc(Cl)c(C#N)s1 ZINC001365772191 891514251 /nfs/dbraw/zinc/51/42/51/891514251.db2.gz FZJKWTHURQXZPY-UHFFFAOYSA-N 1 2 324.797 1.186 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)Cc1c[nH+]c[nH]1 ZINC001291888011 913590942 /nfs/dbraw/zinc/59/09/42/913590942.db2.gz WEQXUSKZQNZRTD-ILXRZTDVSA-N 1 2 316.405 1.557 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCc2ncccn2)C1 ZINC001365937932 891974291 /nfs/dbraw/zinc/97/42/91/891974291.db2.gz HGSWQBKADUNQPO-CYBMUJFWSA-N 1 2 308.813 1.742 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCc2ncccn2)C1 ZINC001365937932 891974308 /nfs/dbraw/zinc/97/43/08/891974308.db2.gz HGSWQBKADUNQPO-CYBMUJFWSA-N 1 2 308.813 1.742 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)CNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001366264487 892940315 /nfs/dbraw/zinc/94/03/15/892940315.db2.gz FHZFHKGRBBMZOQ-DTWKUNHWSA-N 1 2 302.338 1.047 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CC2CCCC2)CCC[N@@H+]1CC(=O)NCC#N ZINC001278495934 893498287 /nfs/dbraw/zinc/49/82/87/893498287.db2.gz XBEKSPAFNWUSST-HIFRSBDPSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CC2CCCC2)CCC[N@H+]1CC(=O)NCC#N ZINC001278495934 893498296 /nfs/dbraw/zinc/49/82/96/893498296.db2.gz XBEKSPAFNWUSST-HIFRSBDPSA-N 1 2 320.437 1.176 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2snnc2C)[C@@H]1C ZINC001278496307 893500171 /nfs/dbraw/zinc/50/01/71/893500171.db2.gz YSNAWOAHKQYRPE-STQMWFEESA-N 1 2 322.434 1.079 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2snnc2C)[C@@H]1C ZINC001278496307 893500181 /nfs/dbraw/zinc/50/01/81/893500181.db2.gz YSNAWOAHKQYRPE-STQMWFEESA-N 1 2 322.434 1.079 20 30 DDEDLO C=CCOCc1ncn2c1C[N@H+](C[C@@H](O)C(F)(F)F)CCC2 ZINC001250966049 894524397 /nfs/dbraw/zinc/52/43/97/894524397.db2.gz RIYXBTIMGZBURY-CYBMUJFWSA-N 1 2 319.327 1.715 20 30 DDEDLO C=CCOCc1ncn2c1C[N@@H+](C[C@@H](O)C(F)(F)F)CCC2 ZINC001250966049 894524411 /nfs/dbraw/zinc/52/44/11/894524411.db2.gz RIYXBTIMGZBURY-CYBMUJFWSA-N 1 2 319.327 1.715 20 30 DDEDLO Cc1cc(Cl)nc(NC[C@@H](O)C[NH+]2CCOCC2)c1C#N ZINC001251010763 894567170 /nfs/dbraw/zinc/56/71/70/894567170.db2.gz CNCURMHQTZCEAU-LLVKDONJSA-N 1 2 310.785 1.020 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)Cc1cnn(CC)c1)C1CC1 ZINC001366762982 894856339 /nfs/dbraw/zinc/85/63/39/894856339.db2.gz TZAPQKHKMZBXTG-UHFFFAOYSA-N 1 2 310.829 1.779 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)Cc1cnn(CC)c1)C1CC1 ZINC001366762982 894856350 /nfs/dbraw/zinc/85/63/50/894856350.db2.gz TZAPQKHKMZBXTG-UHFFFAOYSA-N 1 2 310.829 1.779 20 30 DDEDLO C=CCOC[C@H](O)C[N@@H+]1CCN2C(=O)c3ccccc3[C@H]2C1 ZINC001252461175 895169534 /nfs/dbraw/zinc/16/95/34/895169534.db2.gz MZWRLPUKMJIAQP-CZUORRHYSA-N 1 2 302.374 1.063 20 30 DDEDLO C=CCOC[C@H](O)C[N@H+]1CCN2C(=O)c3ccccc3[C@H]2C1 ZINC001252461175 895169541 /nfs/dbraw/zinc/16/95/41/895169541.db2.gz MZWRLPUKMJIAQP-CZUORRHYSA-N 1 2 302.374 1.063 20 30 DDEDLO C=C[C@@H]1C[C@]1(NC1CC[NH+](CC(=O)OCC)CC1)C(=O)OCC ZINC001254399220 896419105 /nfs/dbraw/zinc/41/91/05/896419105.db2.gz HBABHGUVFZZOMH-CXAGYDPISA-N 1 2 324.421 1.111 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)C[C@@H](C)c2ccncc2)C1 ZINC001278877870 897073329 /nfs/dbraw/zinc/07/33/29/897073329.db2.gz SDYLGVXLGFQETO-CQSZACIVSA-N 1 2 303.406 1.314 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@H](C)NC(=O)c2cc(C#N)c[nH]2)cs1 ZINC001367793399 897815230 /nfs/dbraw/zinc/81/52/30/897815230.db2.gz JNEUAFPRYPLPNU-JTQLQIEISA-N 1 2 317.418 1.902 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@H](C)NC(=O)c2cc(C#N)c[nH]2)cs1 ZINC001367793399 897815236 /nfs/dbraw/zinc/81/52/36/897815236.db2.gz JNEUAFPRYPLPNU-JTQLQIEISA-N 1 2 317.418 1.902 20 30 DDEDLO C#CC[NH2+][C@H](C)[C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001279017295 897871208 /nfs/dbraw/zinc/87/12/08/897871208.db2.gz JRQZFGLQAOLUTR-CJNGLKHVSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cc(OCC)n[nH]1 ZINC001367817052 897902274 /nfs/dbraw/zinc/90/22/74/897902274.db2.gz ZBOAWXYLFDNIGN-SNVBAGLBSA-N 1 2 300.790 1.611 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cc(OCC)n[nH]1 ZINC001367817052 897902284 /nfs/dbraw/zinc/90/22/84/897902284.db2.gz ZBOAWXYLFDNIGN-SNVBAGLBSA-N 1 2 300.790 1.611 20 30 DDEDLO C[N@H+](CCNC(=O)c1ccc[nH]1)Cc1cc(C#N)ccc1F ZINC001390736254 900052507 /nfs/dbraw/zinc/05/25/07/900052507.db2.gz XTMYXSKOLHHZDJ-UHFFFAOYSA-N 1 2 300.337 1.887 20 30 DDEDLO C[N@@H+](CCNC(=O)c1ccc[nH]1)Cc1cc(C#N)ccc1F ZINC001390736254 900052514 /nfs/dbraw/zinc/05/25/14/900052514.db2.gz XTMYXSKOLHHZDJ-UHFFFAOYSA-N 1 2 300.337 1.887 20 30 DDEDLO C[C@H]1OCC[C@@H]1C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001390835201 900313557 /nfs/dbraw/zinc/31/35/57/900313557.db2.gz HSGCUOUADKFSIX-DOMZBBRYSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@H]1OCC[C@@H]1C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001390835201 900313564 /nfs/dbraw/zinc/31/35/64/900313564.db2.gz HSGCUOUADKFSIX-DOMZBBRYSA-N 1 2 319.380 1.670 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)[C@@H](OC)c1cnn(C)c1 ZINC001390943262 900555362 /nfs/dbraw/zinc/55/53/62/900555362.db2.gz HZJWGQVHSMUVIX-ZDUSSCGKSA-N 1 2 314.817 1.298 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)[C@@H](OC)c1cnn(C)c1 ZINC001390943262 900555363 /nfs/dbraw/zinc/55/53/63/900555363.db2.gz HZJWGQVHSMUVIX-ZDUSSCGKSA-N 1 2 314.817 1.298 20 30 DDEDLO CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001263796723 900711510 /nfs/dbraw/zinc/71/15/10/900711510.db2.gz VYDWXGAOHNWCSP-SJLPKXTDSA-N 1 2 314.429 1.996 20 30 DDEDLO CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001263796723 900711516 /nfs/dbraw/zinc/71/15/16/900711516.db2.gz VYDWXGAOHNWCSP-SJLPKXTDSA-N 1 2 314.429 1.996 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)CCCOC ZINC001263816624 900730546 /nfs/dbraw/zinc/73/05/46/900730546.db2.gz FZKSHKCXUZWBLL-JKSUJKDBSA-N 1 2 310.438 1.232 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)CCCOC ZINC001263816624 900730560 /nfs/dbraw/zinc/73/05/60/900730560.db2.gz FZKSHKCXUZWBLL-JKSUJKDBSA-N 1 2 310.438 1.232 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](Cc2nocc2C)[C@@H]1C ZINC001264142302 900973916 /nfs/dbraw/zinc/97/39/16/900973916.db2.gz WOBJDVILAHTCBZ-KGLIPLIRSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)[C@@H]1C ZINC001264142302 900973931 /nfs/dbraw/zinc/97/39/31/900973931.db2.gz WOBJDVILAHTCBZ-KGLIPLIRSA-N 1 2 305.378 1.102 20 30 DDEDLO COc1cncc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)C)[C@H]2C)n1 ZINC001264140539 900975889 /nfs/dbraw/zinc/97/58/89/900975889.db2.gz JTYPYKOVVGFQFJ-HIFRSBDPSA-N 1 2 316.405 1.224 20 30 DDEDLO COc1cncc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)C)[C@H]2C)n1 ZINC001264140539 900975901 /nfs/dbraw/zinc/97/59/01/900975901.db2.gz JTYPYKOVVGFQFJ-HIFRSBDPSA-N 1 2 316.405 1.224 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnnn2CC)[C@@H]1C ZINC001264157351 900987700 /nfs/dbraw/zinc/98/77/00/900987700.db2.gz BVCRRHQKXRSOJG-HIFRSBDPSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnnn2CC)[C@@H]1C ZINC001264157351 900987706 /nfs/dbraw/zinc/98/77/06/900987706.db2.gz BVCRRHQKXRSOJG-HIFRSBDPSA-N 1 2 305.426 1.733 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)CC ZINC001264368778 901046021 /nfs/dbraw/zinc/04/60/21/901046021.db2.gz LQHLTKJCKQMWKH-OAHLLOKOSA-N 1 2 307.438 1.191 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)CC ZINC001264368778 901046031 /nfs/dbraw/zinc/04/60/31/901046031.db2.gz LQHLTKJCKQMWKH-OAHLLOKOSA-N 1 2 307.438 1.191 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001264367078 901046194 /nfs/dbraw/zinc/04/61/94/901046194.db2.gz QTDJHJGARHNOAR-CQSZACIVSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001264367078 901046204 /nfs/dbraw/zinc/04/62/04/901046204.db2.gz QTDJHJGARHNOAR-CQSZACIVSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001264372396 901052289 /nfs/dbraw/zinc/05/22/89/901052289.db2.gz DTFJWGPOKWWXJM-OAHLLOKOSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001264372396 901052295 /nfs/dbraw/zinc/05/22/95/901052295.db2.gz DTFJWGPOKWWXJM-OAHLLOKOSA-N 1 2 321.465 1.742 20 30 DDEDLO CCCc1nc(C(=O)N(C)C[C@@H]2CC[N@@H+]2CC#CCOC)co1 ZINC001264376306 901061479 /nfs/dbraw/zinc/06/14/79/901061479.db2.gz GSZOVENBYUWETL-AWEZNQCLSA-N 1 2 319.405 1.423 20 30 DDEDLO CCCc1nc(C(=O)N(C)C[C@@H]2CC[N@H+]2CC#CCOC)co1 ZINC001264376306 901061496 /nfs/dbraw/zinc/06/14/96/901061496.db2.gz GSZOVENBYUWETL-AWEZNQCLSA-N 1 2 319.405 1.423 20 30 DDEDLO CC(C)c1nnc(C[N@H+](CCCNC(=O)[C@@H](C)C#N)C2CC2)o1 ZINC001265156359 901642656 /nfs/dbraw/zinc/64/26/56/901642656.db2.gz WXDMVADYRZOIPW-LBPRGKRZSA-N 1 2 319.409 1.823 20 30 DDEDLO CC(C)c1nnc(C[N@@H+](CCCNC(=O)[C@@H](C)C#N)C2CC2)o1 ZINC001265156359 901642664 /nfs/dbraw/zinc/64/26/64/901642664.db2.gz WXDMVADYRZOIPW-LBPRGKRZSA-N 1 2 319.409 1.823 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@@H+]([C@H](C)C(=O)NCC(C)C)C1 ZINC001265213877 901721316 /nfs/dbraw/zinc/72/13/16/901721316.db2.gz ZZXSCXJYSSGEME-HZPDHXFCSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@H+]([C@H](C)C(=O)NCC(C)C)C1 ZINC001265213877 901721323 /nfs/dbraw/zinc/72/13/23/901721323.db2.gz ZZXSCXJYSSGEME-HZPDHXFCSA-N 1 2 321.465 1.387 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)c2ccc3ncccc3c2)CC1 ZINC001265263125 901783472 /nfs/dbraw/zinc/78/34/72/901783472.db2.gz SZCRSDLFHNPSQJ-UHFFFAOYSA-N 1 2 323.400 1.106 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001265278067 901811267 /nfs/dbraw/zinc/81/12/67/901811267.db2.gz ZIBRHIYNQZOYBF-MRXNPFEDSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)nc2)C1 ZINC001265278067 901811278 /nfs/dbraw/zinc/81/12/78/901811278.db2.gz ZIBRHIYNQZOYBF-MRXNPFEDSA-N 1 2 318.421 1.458 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001265286172 901826930 /nfs/dbraw/zinc/82/69/30/901826930.db2.gz PCCNZDMXWNKKNF-UKRRQHHQSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001265286172 901826945 /nfs/dbraw/zinc/82/69/45/901826945.db2.gz PCCNZDMXWNKKNF-UKRRQHHQSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCC[N@@H+](Cc2ncnn2C)C1 ZINC001265591457 902172265 /nfs/dbraw/zinc/17/22/65/902172265.db2.gz VDPLABWBHJWEIQ-AWEZNQCLSA-N 1 2 305.426 1.500 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCC[N@H+](Cc2ncnn2C)C1 ZINC001265591457 902172267 /nfs/dbraw/zinc/17/22/67/902172267.db2.gz VDPLABWBHJWEIQ-AWEZNQCLSA-N 1 2 305.426 1.500 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cocc1C ZINC001265648910 902251424 /nfs/dbraw/zinc/25/14/24/902251424.db2.gz JEGYVBZBRMFGMK-CQSZACIVSA-N 1 2 319.405 1.475 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cocc1C ZINC001265648910 902251442 /nfs/dbraw/zinc/25/14/42/902251442.db2.gz JEGYVBZBRMFGMK-CQSZACIVSA-N 1 2 319.405 1.475 20 30 DDEDLO CO[C@@H](C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1)C1CC1 ZINC001369979581 902329335 /nfs/dbraw/zinc/32/93/35/902329335.db2.gz XHDJNCPDWCMVHO-DLBZAZTESA-N 1 2 313.401 1.674 20 30 DDEDLO CO[C@@H](C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1)C1CC1 ZINC001369979581 902329342 /nfs/dbraw/zinc/32/93/42/902329342.db2.gz XHDJNCPDWCMVHO-DLBZAZTESA-N 1 2 313.401 1.674 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2ccnc(C)n2)C1 ZINC001370003077 902366995 /nfs/dbraw/zinc/36/69/95/902366995.db2.gz AZWPAWMVEZESEX-AWEZNQCLSA-N 1 2 308.813 1.661 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2ccnc(C)n2)C1 ZINC001370003077 902367008 /nfs/dbraw/zinc/36/70/08/902367008.db2.gz AZWPAWMVEZESEX-AWEZNQCLSA-N 1 2 308.813 1.661 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([N@@H+](C)[C@@H](C)c2nncn2C)C1 ZINC001266096285 902931699 /nfs/dbraw/zinc/93/16/99/902931699.db2.gz DXVDGKKTXNSTQO-GJZGRUSLSA-N 1 2 317.437 1.602 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([N@H+](C)[C@@H](C)c2nncn2C)C1 ZINC001266096285 902931707 /nfs/dbraw/zinc/93/17/07/902931707.db2.gz DXVDGKKTXNSTQO-GJZGRUSLSA-N 1 2 317.437 1.602 20 30 DDEDLO C[C@H](c1cnccn1)[N@@H+]1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001266204672 903106155 /nfs/dbraw/zinc/10/61/55/903106155.db2.gz FPQYGCPZUCUCPV-OLZOCXBDSA-N 1 2 319.434 1.375 20 30 DDEDLO C[C@H](c1cnccn1)[N@H+]1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001266204672 903106160 /nfs/dbraw/zinc/10/61/60/903106160.db2.gz FPQYGCPZUCUCPV-OLZOCXBDSA-N 1 2 319.434 1.375 20 30 DDEDLO C=C(C)CCC(=O)NCCC[C@H]1CCC[N@H+]1Cc1nnn(C)n1 ZINC001266220222 903135686 /nfs/dbraw/zinc/13/56/86/903135686.db2.gz PYHQJWDYHSYKKH-AWEZNQCLSA-N 1 2 320.441 1.427 20 30 DDEDLO C=C(C)CCC(=O)NCCC[C@H]1CCC[N@@H+]1Cc1nnn(C)n1 ZINC001266220222 903135691 /nfs/dbraw/zinc/13/56/91/903135691.db2.gz PYHQJWDYHSYKKH-AWEZNQCLSA-N 1 2 320.441 1.427 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](C)C1CC[NH+](Cc2cnon2)CC1 ZINC001279129182 903183924 /nfs/dbraw/zinc/18/39/24/903183924.db2.gz KVVAJSQSFSHKDP-LRDDRELGSA-N 1 2 322.409 1.113 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001293795095 914705201 /nfs/dbraw/zinc/70/52/01/914705201.db2.gz MYFWOOAYVNKHBU-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@H](C)N(C)C(=O)c1ccc2[nH]nnc2c1 ZINC001392135224 903361459 /nfs/dbraw/zinc/36/14/59/903361459.db2.gz UVRYMQXWYYHAFK-JTQLQIEISA-N 1 2 307.785 1.761 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1COCC[N@@H+]1CC1=CCCCC1 ZINC001280402190 903638404 /nfs/dbraw/zinc/63/84/04/903638404.db2.gz GPMQBXZJVVMGAP-AEFFLSMTSA-N 1 2 322.449 1.631 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H]1COCC[N@H+]1CC1=CCCCC1 ZINC001280402190 903638417 /nfs/dbraw/zinc/63/84/17/903638417.db2.gz GPMQBXZJVVMGAP-AEFFLSMTSA-N 1 2 322.449 1.631 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1COCC[N@H+]1CCCOCC ZINC001280411602 903646576 /nfs/dbraw/zinc/64/65/76/903646576.db2.gz BUGOUNGAGUSBKK-HNNXBMFYSA-N 1 2 312.454 1.832 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1COCC[N@@H+]1CCCOCC ZINC001280411602 903646581 /nfs/dbraw/zinc/64/65/81/903646581.db2.gz BUGOUNGAGUSBKK-HNNXBMFYSA-N 1 2 312.454 1.832 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC([C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001280656746 903889228 /nfs/dbraw/zinc/88/92/28/903889228.db2.gz ZDYJBQZPIVWIFJ-PXAZEXFGSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](C)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001280675032 903907979 /nfs/dbraw/zinc/90/79/79/903907979.db2.gz WQRYNLYIFRKHQY-CXAGYDPISA-N 1 2 318.421 1.449 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001280845628 904108770 /nfs/dbraw/zinc/10/87/70/904108770.db2.gz MWQJXSZZEVGKIP-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)CCC1CCOCC1)O2 ZINC001280932542 904206571 /nfs/dbraw/zinc/20/65/71/904206571.db2.gz YXQKJMZHLGBPHA-MRXNPFEDSA-N 1 2 322.449 1.729 20 30 DDEDLO CC(C)CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)C#N)CO2 ZINC001280935270 904212668 /nfs/dbraw/zinc/21/26/68/904212668.db2.gz KTMUBCQXZZIPCF-HUUCEWRRSA-N 1 2 323.437 1.168 20 30 DDEDLO CO[C@@H](C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C#CC(C)(C)C)CO2 ZINC001280949273 904234301 /nfs/dbraw/zinc/23/43/01/904234301.db2.gz ZMPKJAGPVRNPEC-UONOGXRCSA-N 1 2 308.422 1.030 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C)C[N@H+](C)Cc1nc2c(o1)CCCC2 ZINC001392647158 904728750 /nfs/dbraw/zinc/72/87/50/904728750.db2.gz YKMQPGLSEHICKO-VXGBXAGGSA-N 1 2 304.394 1.650 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C)C[N@@H+](C)Cc1nc2c(o1)CCCC2 ZINC001392647158 904728759 /nfs/dbraw/zinc/72/87/59/904728759.db2.gz YKMQPGLSEHICKO-VXGBXAGGSA-N 1 2 304.394 1.650 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1C[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001282086268 905530508 /nfs/dbraw/zinc/53/05/08/905530508.db2.gz JBDUCQZHCBFDTC-OKILXGFUSA-N 1 2 316.405 1.157 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@@H](C)[C@@H](C)NC(=O)C#CC2CC2)n1 ZINC001282129485 905566636 /nfs/dbraw/zinc/56/66/36/905566636.db2.gz SMXIGLVBBFMJEP-QWHCGFSZSA-N 1 2 318.421 1.664 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H](C)[C@H](C)NC(=O)C#CC2CC2)o1 ZINC001282130323 905566969 /nfs/dbraw/zinc/56/69/69/905566969.db2.gz XEEFEPVMUWGBGQ-NEPJUHHUSA-N 1 2 304.394 1.589 20 30 DDEDLO CN(C(=O)CCCn1cc[nH+]c1)C1CCC(NCC#N)CC1 ZINC001282279933 905657752 /nfs/dbraw/zinc/65/77/52/905657752.db2.gz JALRJOGQFBRRJK-UHFFFAOYSA-N 1 2 303.410 1.546 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2cc(F)ccc2C)C1 ZINC001282530813 905854639 /nfs/dbraw/zinc/85/46/39/905854639.db2.gz QARJTPWETPDADG-UHFFFAOYSA-N 1 2 304.365 1.324 20 30 DDEDLO C=CCC[NH+]1CC(CCO)(NC(=O)c2c(F)cccc2F)C1 ZINC001282539621 905859799 /nfs/dbraw/zinc/85/97/99/905859799.db2.gz NHMJCTQZDNHQJJ-UHFFFAOYSA-N 1 2 310.344 1.708 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]([C@H](C)[NH2+]Cc2nnc(C)o2)C1 ZINC001282904384 906204453 /nfs/dbraw/zinc/20/44/53/906204453.db2.gz JHIKHULSLPBKJK-WCQYABFASA-N 1 2 308.382 1.050 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)CCn1ccccc1=O ZINC001372329665 907046788 /nfs/dbraw/zinc/04/67/88/907046788.db2.gz GUFKQRYNXPRNIL-UHFFFAOYSA-N 1 2 311.813 1.429 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)CCn1ccccc1=O ZINC001372329665 907046797 /nfs/dbraw/zinc/04/67/97/907046797.db2.gz GUFKQRYNXPRNIL-UHFFFAOYSA-N 1 2 311.813 1.429 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1COCC[N@@H+]1CCCC1CCOCC1 ZINC001393496913 907144121 /nfs/dbraw/zinc/14/41/21/907144121.db2.gz FZKORYDFKLJXBC-ZBFHGGJFSA-N 1 2 323.437 1.170 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1COCC[N@H+]1CCCC1CCOCC1 ZINC001393496913 907144133 /nfs/dbraw/zinc/14/41/33/907144133.db2.gz FZKORYDFKLJXBC-ZBFHGGJFSA-N 1 2 323.437 1.170 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCCNC(=O)Cn1cc[nH+]c1 ZINC001283582006 907634413 /nfs/dbraw/zinc/63/44/13/907634413.db2.gz QGQGNUUTGQBLCP-ZIAGYGMSSA-N 1 2 306.410 1.306 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](CO)[NH2+]Cc1nc(C)oc1C ZINC001283793008 907968641 /nfs/dbraw/zinc/96/86/41/907968641.db2.gz RCVXNLVELUVIMA-CQSZACIVSA-N 1 2 307.394 1.052 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001283863580 908093360 /nfs/dbraw/zinc/09/33/60/908093360.db2.gz JUXSNEVBDOHDJP-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001283863580 908093370 /nfs/dbraw/zinc/09/33/70/908093370.db2.gz JUXSNEVBDOHDJP-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001283865304 908097198 /nfs/dbraw/zinc/09/71/98/908097198.db2.gz XLRSLXGVUQVNES-CABCVRRESA-N 1 2 318.421 1.908 20 30 DDEDLO C=CCCC1(C(=O)N[C@H]2C[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC001284100244 908474087 /nfs/dbraw/zinc/47/40/87/908474087.db2.gz LBDSJTIFGAZYNH-BETUJISGSA-N 1 2 316.405 1.462 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cc(OC)no2)[C@@H]1C ZINC001284118610 908503936 /nfs/dbraw/zinc/50/39/36/908503936.db2.gz AGCCZPCOVOAJBX-HIFRSBDPSA-N 1 2 319.405 1.956 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2cc(OC)no2)[C@@H]1C ZINC001284118610 908503951 /nfs/dbraw/zinc/50/39/51/908503951.db2.gz AGCCZPCOVOAJBX-HIFRSBDPSA-N 1 2 319.405 1.956 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@H+](Cc2cnnn2C)[C@@H]1C ZINC001284122797 908513908 /nfs/dbraw/zinc/51/39/08/908513908.db2.gz OTGKTXJNCPNNMU-GDBMZVCRSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cnnn2C)[C@@H]1C ZINC001284122797 908513916 /nfs/dbraw/zinc/51/39/16/908513916.db2.gz OTGKTXJNCPNNMU-GDBMZVCRSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C(C)(C)C2CC2)[C@@H]1C ZINC001284129412 908523584 /nfs/dbraw/zinc/52/35/84/908523584.db2.gz HIJTULOUHLMLTC-ZFWWWQNUSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C2CC2)[C@@H]1C ZINC001284129412 908523594 /nfs/dbraw/zinc/52/35/94/908523594.db2.gz HIJTULOUHLMLTC-ZFWWWQNUSA-N 1 2 319.449 1.141 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@@H]1CCc2c[nH]nc2C1)C1CC1 ZINC001372908006 908550813 /nfs/dbraw/zinc/55/08/13/908550813.db2.gz SXRBBMDWCSKMJV-GFCCVEGCSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@@H]1CCc2c[nH]nc2C1)C1CC1 ZINC001372908006 908550825 /nfs/dbraw/zinc/55/08/25/908550825.db2.gz SXRBBMDWCSKMJV-GFCCVEGCSA-N 1 2 322.840 1.848 20 30 DDEDLO C=CCCC(=O)NC1CCC(NC(=O)CCn2cc[nH+]c2)CC1 ZINC001284183545 908608455 /nfs/dbraw/zinc/60/84/55/908608455.db2.gz MYQKOXPOQSDYID-UHFFFAOYSA-N 1 2 318.421 1.783 20 30 DDEDLO C[C@H](CNC(=O)CCCn1cc[nH+]c1)NC(=O)C#CC(C)(C)C ZINC001284271622 908755773 /nfs/dbraw/zinc/75/57/73/908755773.db2.gz WEECEQAKRVMRJC-CQSZACIVSA-N 1 2 318.421 1.334 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1ncnc2[nH]ccc21 ZINC001394096099 908775822 /nfs/dbraw/zinc/77/58/22/908775822.db2.gz VLXMEQRICPMSIF-JTQLQIEISA-N 1 2 307.785 1.712 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1ncnc2[nH]ccc21 ZINC001394096099 908775831 /nfs/dbraw/zinc/77/58/31/908775831.db2.gz VLXMEQRICPMSIF-JTQLQIEISA-N 1 2 307.785 1.712 20 30 DDEDLO C#CCCCC(=O)N1CC(NC(=O)c2c(C)cc(C)[nH+]c2C)C1 ZINC001284932127 909758047 /nfs/dbraw/zinc/75/80/47/909758047.db2.gz WPBRBJSOBVPSEV-UHFFFAOYSA-N 1 2 313.401 1.751 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H](OCC)[C@@H]2CCOC2)C1 ZINC001373528496 910095656 /nfs/dbraw/zinc/09/56/56/910095656.db2.gz WSQCZYLDAZKXNK-KGLIPLIRSA-N 1 2 316.829 1.229 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001285198293 910195913 /nfs/dbraw/zinc/19/59/13/910195913.db2.gz FMCYZDBUVYIKNU-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373667051 910556428 /nfs/dbraw/zinc/55/64/28/910556428.db2.gz IBXNHSUIBDKYKG-DOMZBBRYSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373667051 910556435 /nfs/dbraw/zinc/55/64/35/910556435.db2.gz IBXNHSUIBDKYKG-DOMZBBRYSA-N 1 2 314.364 1.890 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]1CNC(=O)CCn1cc[nH+]c1 ZINC001285874485 911346131 /nfs/dbraw/zinc/34/61/31/911346131.db2.gz ATAVBMRNLQUYJE-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCC(C)(C)Cn1c(C)nnc1N(C)CC[NH+]1CCOCC1 ZINC001286667996 912082810 /nfs/dbraw/zinc/08/28/10/912082810.db2.gz OJZQDCLAWXSTLA-UHFFFAOYSA-N 1 2 321.469 1.957 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCCN(CC)C(=O)Cc1[nH]cc[nH+]1 ZINC001294504009 915177617 /nfs/dbraw/zinc/17/76/17/915177617.db2.gz YQSWGEXMCKAHTJ-UHFFFAOYSA-N 1 2 320.437 1.909 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001295729250 916023520 /nfs/dbraw/zinc/02/35/20/916023520.db2.gz OCPLKFRDCOGHAD-AWEZNQCLSA-N 1 2 316.405 1.204 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295748767 916035301 /nfs/dbraw/zinc/03/53/01/916035301.db2.gz FMFFVMSVMRQIOS-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CC(C)(C)C(=O)N(CC)CCNC(=O)CCn1cc[nH+]c1 ZINC001296343081 916349715 /nfs/dbraw/zinc/34/97/15/916349715.db2.gz FSNXVCBFYJPDRY-UHFFFAOYSA-N 1 2 306.410 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(CC)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001296352205 916352005 /nfs/dbraw/zinc/35/20/05/916352005.db2.gz OPDPKYDDRIWRNX-CYBMUJFWSA-N 1 2 320.437 1.765 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(CC)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001296352205 916352018 /nfs/dbraw/zinc/35/20/18/916352018.db2.gz OPDPKYDDRIWRNX-CYBMUJFWSA-N 1 2 320.437 1.765 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001296451100 916427223 /nfs/dbraw/zinc/42/72/23/916427223.db2.gz RSOYWVFDUMGKQH-ZDUSSCGKSA-N 1 2 304.394 1.272 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]([NH2+]Cc1nnc(C2CC2)o1)C1CC1 ZINC001376199332 917938099 /nfs/dbraw/zinc/93/80/99/917938099.db2.gz NUPPWAFPJNWYED-CABZTGNLSA-N 1 2 303.366 1.091 20 30 DDEDLO C[N@H+](CCNC(=O)c1cncs1)Cc1ccccc1C#N ZINC001377137764 920438280 /nfs/dbraw/zinc/43/82/80/920438280.db2.gz VOFNYVZRXZGFBQ-UHFFFAOYSA-N 1 2 300.387 1.877 20 30 DDEDLO C[N@@H+](CCNC(=O)c1cncs1)Cc1ccccc1C#N ZINC001377137764 920438289 /nfs/dbraw/zinc/43/82/89/920438289.db2.gz VOFNYVZRXZGFBQ-UHFFFAOYSA-N 1 2 300.387 1.877 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001377230894 920714127 /nfs/dbraw/zinc/71/41/27/920714127.db2.gz JOMCWANVBQRBSC-CQSZACIVSA-N 1 2 312.772 1.930 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001377230894 920714140 /nfs/dbraw/zinc/71/41/40/920714140.db2.gz JOMCWANVBQRBSC-CQSZACIVSA-N 1 2 312.772 1.930 20 30 DDEDLO C[N@H+](CCNC(=O)c1nccs1)Cc1ccccc1C#N ZINC001377235145 920727635 /nfs/dbraw/zinc/72/76/35/920727635.db2.gz IEOGNOYLPZWVEA-UHFFFAOYSA-N 1 2 300.387 1.877 20 30 DDEDLO C[N@@H+](CCNC(=O)c1nccs1)Cc1ccccc1C#N ZINC001377235145 920727648 /nfs/dbraw/zinc/72/76/48/920727648.db2.gz IEOGNOYLPZWVEA-UHFFFAOYSA-N 1 2 300.387 1.877 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1ccccc1-n1cnnn1 ZINC001377255896 920801354 /nfs/dbraw/zinc/80/13/54/920801354.db2.gz JJHZXUODWSABRX-UHFFFAOYSA-N 1 2 320.784 1.076 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1ccccc1-n1cnnn1 ZINC001377255896 920801367 /nfs/dbraw/zinc/80/13/67/920801367.db2.gz JJHZXUODWSABRX-UHFFFAOYSA-N 1 2 320.784 1.076 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@@H](c3ccccc3C)CC2=O)CC1 ZINC000076918925 185055660 /nfs/dbraw/zinc/05/56/60/185055660.db2.gz YRDPKXSGQPNEPK-KRWDZBQOSA-N 1 2 311.429 1.519 20 30 DDEDLO CC[C@H](COC)NC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000331039726 529392520 /nfs/dbraw/zinc/39/25/20/529392520.db2.gz PNYNROAOSWWPFG-CHWSQXEVSA-N 1 2 309.414 1.427 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000278739389 214366966 /nfs/dbraw/zinc/36/69/66/214366966.db2.gz DPBHZSCGNWAQFF-WMLDXEAASA-N 1 2 303.406 1.614 20 30 DDEDLO Cc1cc([C@@H]2CCCN2C(=O)NC[C@@H]2C[N@H+](C)CCO2)on1 ZINC000329076953 539300865 /nfs/dbraw/zinc/30/08/65/539300865.db2.gz AZWGSGICTRMBEB-OLZOCXBDSA-N 1 2 308.382 1.365 20 30 DDEDLO Cc1cc([C@@H]2CCCN2C(=O)NC[C@@H]2C[N@@H+](C)CCO2)on1 ZINC000329076953 539300867 /nfs/dbraw/zinc/30/08/67/539300867.db2.gz AZWGSGICTRMBEB-OLZOCXBDSA-N 1 2 308.382 1.365 20 30 DDEDLO O=C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)N1CCn2cc[nH+]c2C1 ZINC000328711680 539298678 /nfs/dbraw/zinc/29/86/78/539298678.db2.gz CAWQDGLOYDLFCX-IACUBPJLSA-N 1 2 302.378 1.570 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)N1CCC(N2CCCC2=O)CC1 ZINC000329116868 539301326 /nfs/dbraw/zinc/30/13/26/539301326.db2.gz NOVZNMBPAZRHKH-ZDUSSCGKSA-N 1 2 319.409 1.272 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)[NH+]=C([O-])N1CCC(N2CCCC2=O)CC1 ZINC000329116868 539301327 /nfs/dbraw/zinc/30/13/27/539301327.db2.gz NOVZNMBPAZRHKH-ZDUSSCGKSA-N 1 2 319.409 1.272 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)Nc2ccc(C#N)cc2)CC[S@]1=O ZINC000330823908 232095485 /nfs/dbraw/zinc/09/54/85/232095485.db2.gz FAGUDXVJDKDJHV-XUSGNXJCSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)Nc2ccc(C#N)cc2)CC[S@]1=O ZINC000330823908 232095486 /nfs/dbraw/zinc/09/54/86/232095486.db2.gz FAGUDXVJDKDJHV-XUSGNXJCSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@H](O)[C@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000305199217 282391269 /nfs/dbraw/zinc/39/12/69/282391269.db2.gz VGFDUQDPHREINO-ZFWWWQNUSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H](O)[C@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000305199217 282391271 /nfs/dbraw/zinc/39/12/71/282391271.db2.gz VGFDUQDPHREINO-ZFWWWQNUSA-N 1 2 322.430 1.425 20 30 DDEDLO C=CCc1ccc(OCC(=O)NCc2c[nH+]cn2C)c(OC)c1 ZINC000355301411 539458144 /nfs/dbraw/zinc/45/81/44/539458144.db2.gz ZOAUCHPVDLQZTO-UHFFFAOYSA-N 1 2 315.373 1.852 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1C(=O)c1cc(-c2cccc(C#N)c2)no1 ZINC000330997032 232305522 /nfs/dbraw/zinc/30/55/22/232305522.db2.gz UGPWETXFRIKFHW-LBPRGKRZSA-N 1 2 310.357 1.989 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1C(=O)c1cc(-c2cccc(C#N)c2)no1 ZINC000330997032 232305534 /nfs/dbraw/zinc/30/55/34/232305534.db2.gz UGPWETXFRIKFHW-LBPRGKRZSA-N 1 2 310.357 1.989 20 30 DDEDLO Cc1cc(OS(=O)(=O)CCC[NH+]2CCOCC2)ccc1C#N ZINC000277543148 213605805 /nfs/dbraw/zinc/60/58/05/213605805.db2.gz XHTOZTAFQIBMKR-UHFFFAOYSA-N 1 2 324.402 1.298 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)NCC#Cc1ccccc1 ZINC000048415636 352539052 /nfs/dbraw/zinc/53/90/52/352539052.db2.gz VVAIDKVQUGBIGE-UHFFFAOYSA-N 1 2 308.385 1.999 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[NH2+][C@H]2CCC[C@H]2C#N)c1 ZINC000459479775 233230769 /nfs/dbraw/zinc/23/07/69/233230769.db2.gz OJIPCQDXUCGONU-ZFWWWQNUSA-N 1 2 303.387 1.614 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)CC(=O)NC(C)(C)C ZINC000007659544 352126315 /nfs/dbraw/zinc/12/63/15/352126315.db2.gz LSKQRNISEYYSHP-GFCCVEGCSA-N 1 2 316.405 1.732 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)CC(=O)NC(C)(C)C ZINC000007659544 352126316 /nfs/dbraw/zinc/12/63/16/352126316.db2.gz LSKQRNISEYYSHP-GFCCVEGCSA-N 1 2 316.405 1.732 20 30 DDEDLO N#Cc1ccccc1OCC(=O)NCc1cn2ccccc2[nH+]1 ZINC000007798999 352127151 /nfs/dbraw/zinc/12/71/51/352127151.db2.gz UAPHCNMPTBHSKC-UHFFFAOYSA-N 1 2 306.325 1.901 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3ccc[n+]([O-])c3)CC2)cc1 ZINC000016497540 352162070 /nfs/dbraw/zinc/16/20/70/352162070.db2.gz XIUMHHPEZVOTFX-UHFFFAOYSA-N 1 2 322.368 1.150 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)NCc2cn3ccccc3[nH+]2)c1 ZINC000048940059 352548043 /nfs/dbraw/zinc/54/80/43/352548043.db2.gz NJEFOVMAWCENRV-UHFFFAOYSA-N 1 2 319.324 1.461 20 30 DDEDLO CC(=O)N1CCC[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)CC1 ZINC000053456987 352657076 /nfs/dbraw/zinc/65/70/76/352657076.db2.gz SGPCEKQLUAMVRR-UHFFFAOYSA-N 1 2 320.437 1.225 20 30 DDEDLO CC(=O)N1CCC[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)CC1 ZINC000053456987 352657080 /nfs/dbraw/zinc/65/70/80/352657080.db2.gz SGPCEKQLUAMVRR-UHFFFAOYSA-N 1 2 320.437 1.225 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](Cc1ccco1)C[C@@H]1CCCO1 ZINC000064482651 352938619 /nfs/dbraw/zinc/93/86/19/352938619.db2.gz BGYVYCIADIEDAW-AWEZNQCLSA-N 1 2 321.377 1.272 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](Cc1ccco1)C[C@@H]1CCCO1 ZINC000064482651 352938621 /nfs/dbraw/zinc/93/86/21/352938621.db2.gz BGYVYCIADIEDAW-AWEZNQCLSA-N 1 2 321.377 1.272 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(C(=O)OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000066559768 353003648 /nfs/dbraw/zinc/00/36/48/353003648.db2.gz DCKSTHXGXQBQOK-HNNXBMFYSA-N 1 2 323.414 1.648 20 30 DDEDLO C=CC[N@H+](Cc1ccc(C(=O)OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000066559768 353003652 /nfs/dbraw/zinc/00/36/52/353003652.db2.gz DCKSTHXGXQBQOK-HNNXBMFYSA-N 1 2 323.414 1.648 20 30 DDEDLO CCN(C(=O)[C@H]1C[N@H+](C)CCO1)[C@@H](C)c1ccc(C#N)cc1 ZINC000174661067 198366371 /nfs/dbraw/zinc/36/63/71/198366371.db2.gz QLWYLOTUPNGYRL-XJKSGUPXSA-N 1 2 301.390 1.798 20 30 DDEDLO CCN(C(=O)[C@H]1C[N@@H+](C)CCO1)[C@@H](C)c1ccc(C#N)cc1 ZINC000174661067 198366372 /nfs/dbraw/zinc/36/63/72/198366372.db2.gz QLWYLOTUPNGYRL-XJKSGUPXSA-N 1 2 301.390 1.798 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@H]1CCC[N@H+]1CC(=O)N1CCOCC1 ZINC000076153642 353401861 /nfs/dbraw/zinc/40/18/61/353401861.db2.gz HQVMZCBZMUTUOW-IAGOWNOFSA-N 1 2 313.401 1.617 20 30 DDEDLO N#C[C@H](c1ccccc1)[C@H]1CCC[N@@H+]1CC(=O)N1CCOCC1 ZINC000076153642 353401863 /nfs/dbraw/zinc/40/18/63/353401863.db2.gz HQVMZCBZMUTUOW-IAGOWNOFSA-N 1 2 313.401 1.617 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@H](CO)OC[C@@H]2C)cc1OC ZINC000092042037 353841460 /nfs/dbraw/zinc/84/14/60/353841460.db2.gz LCMZFVDQZHUJBE-DZGCQCFKSA-N 1 2 307.390 1.842 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@H](CO)OC[C@@H]2C)cc1OC ZINC000092042037 353841462 /nfs/dbraw/zinc/84/14/62/353841462.db2.gz LCMZFVDQZHUJBE-DZGCQCFKSA-N 1 2 307.390 1.842 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CC[C@@H](C)[C@H](O)C2)c(C#N)c1C ZINC000093342974 353896889 /nfs/dbraw/zinc/89/68/89/353896889.db2.gz QMUYABOIIYSBLH-NOZJJQNGSA-N 1 2 307.419 1.878 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CC[C@@H](C)[C@H](O)C2)c(C#N)c1C ZINC000093342974 353896891 /nfs/dbraw/zinc/89/68/91/353896891.db2.gz QMUYABOIIYSBLH-NOZJJQNGSA-N 1 2 307.419 1.878 20 30 DDEDLO C[C@@H](C#N)CNC(=O)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000182826182 354276310 /nfs/dbraw/zinc/27/63/10/354276310.db2.gz DXJADVUNNZHEID-UONOGXRCSA-N 1 2 301.394 1.629 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@@H](CO)CC1 ZINC000293267399 354454661 /nfs/dbraw/zinc/45/46/61/354454661.db2.gz UTCPSSSFZUWHAF-CZUORRHYSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CCC[C@@H](CO)CC1 ZINC000293267399 354454664 /nfs/dbraw/zinc/45/46/64/354454664.db2.gz UTCPSSSFZUWHAF-CZUORRHYSA-N 1 2 302.374 1.867 20 30 DDEDLO CC(=O)N1CCc2cc(NC[C@@H](O)C[N@H+](C)CCC#N)ccc21 ZINC000578064755 354703541 /nfs/dbraw/zinc/70/35/41/354703541.db2.gz RWMSICYGRRQXJT-MRXNPFEDSA-N 1 2 316.405 1.214 20 30 DDEDLO CC(=O)N1CCc2cc(NC[C@@H](O)C[N@@H+](C)CCC#N)ccc21 ZINC000578064755 354703545 /nfs/dbraw/zinc/70/35/45/354703545.db2.gz RWMSICYGRRQXJT-MRXNPFEDSA-N 1 2 316.405 1.214 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N(C)Cc1cn2c([nH+]1)CCCC2 ZINC000584824677 354776732 /nfs/dbraw/zinc/77/67/32/354776732.db2.gz JVLTXFAYVAGCHB-KGLIPLIRSA-N 1 2 304.394 1.704 20 30 DDEDLO N#CCCCCCC(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000588647445 354924966 /nfs/dbraw/zinc/92/49/66/354924966.db2.gz VZJICFDRBSYXFR-INIZCTEOSA-N 1 2 323.437 1.410 20 30 DDEDLO N#Cc1c(NC(=O)Cc2cn3ccccc3[nH+]2)n[nH]c1C1CC1 ZINC000588766575 354935391 /nfs/dbraw/zinc/93/53/91/354935391.db2.gz NTRVKXCRBAVSMH-UHFFFAOYSA-N 1 2 306.329 1.988 20 30 DDEDLO CCC[C@@H](C#N)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000589835098 355023525 /nfs/dbraw/zinc/02/35/25/355023525.db2.gz UBZOTCPETMOLSF-GXTWGEPZSA-N 1 2 319.409 1.292 20 30 DDEDLO CCC[C@@H](C#N)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000589835098 355023526 /nfs/dbraw/zinc/02/35/26/355023526.db2.gz UBZOTCPETMOLSF-GXTWGEPZSA-N 1 2 319.409 1.292 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000590313345 355068869 /nfs/dbraw/zinc/06/88/69/355068869.db2.gz GUUJWNCMTFYDPP-AWEZNQCLSA-N 1 2 307.357 1.665 20 30 DDEDLO Cc1ccc(CNC(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)cc1F ZINC000592145448 355510713 /nfs/dbraw/zinc/51/07/13/355510713.db2.gz VOKPDTUPCVGFHF-MRXNPFEDSA-N 1 2 305.353 1.101 20 30 DDEDLO Cc1ccc(CNC(=O)C[N@H+]2CC[C@](O)(CC#N)C2)cc1F ZINC000592145448 355510714 /nfs/dbraw/zinc/51/07/14/355510714.db2.gz VOKPDTUPCVGFHF-MRXNPFEDSA-N 1 2 305.353 1.101 20 30 DDEDLO CC[C@@H]([NH2+]C1CC[NH+](Cc2ccc(C#N)cc2)CC1)C(=O)[O-] ZINC000592424210 355579153 /nfs/dbraw/zinc/57/91/53/355579153.db2.gz UZBVCQMMRGDSLX-MRXNPFEDSA-N 1 2 301.390 1.975 20 30 DDEDLO C[C@H](CNC(=O)c1cc(C#N)cs1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594098015 356112254 /nfs/dbraw/zinc/11/22/54/356112254.db2.gz CTMJWTCFPWHGCA-UTUOFQBUSA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@H](CNC(=O)c1cc(C#N)cs1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594098015 356112257 /nfs/dbraw/zinc/11/22/57/356112257.db2.gz CTMJWTCFPWHGCA-UTUOFQBUSA-N 1 2 307.419 1.847 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000594009016 356086245 /nfs/dbraw/zinc/08/62/45/356086245.db2.gz YDPRKGZAXRYYGX-SNVBAGLBSA-N 1 2 318.333 1.345 20 30 DDEDLO CN(C)c1ncc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1Cl ZINC000594024643 356093062 /nfs/dbraw/zinc/09/30/62/356093062.db2.gz RIVKHKHSSOLXDG-AWEZNQCLSA-N 1 2 309.801 1.375 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000594281119 356162962 /nfs/dbraw/zinc/16/29/62/356162962.db2.gz BTMPNCRTORUDKM-GJZGRUSLSA-N 1 2 315.417 1.574 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000594281119 356162965 /nfs/dbraw/zinc/16/29/65/356162965.db2.gz BTMPNCRTORUDKM-GJZGRUSLSA-N 1 2 315.417 1.574 20 30 DDEDLO C=CCSCCNC(=O)C(=O)Nc1ccn2cc(C)[nH+]c2c1 ZINC000594974879 356366978 /nfs/dbraw/zinc/36/69/78/356366978.db2.gz LHBXODDFFSFSTH-UHFFFAOYSA-N 1 2 318.402 1.617 20 30 DDEDLO COC(=O)CCN1CCC[C@@H]([N@@H+]2CCC[C@@](C)(C#N)C2)C1=O ZINC000595422614 356486875 /nfs/dbraw/zinc/48/68/75/356486875.db2.gz BBOJAVXCYMOGLO-CJNGLKHVSA-N 1 2 307.394 1.166 20 30 DDEDLO COC(=O)CCN1CCC[C@@H]([N@H+]2CCC[C@@](C)(C#N)C2)C1=O ZINC000595422614 356486877 /nfs/dbraw/zinc/48/68/77/356486877.db2.gz BBOJAVXCYMOGLO-CJNGLKHVSA-N 1 2 307.394 1.166 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)NCCc1ccc(C#N)cc1 ZINC000595444332 356494612 /nfs/dbraw/zinc/49/46/12/356494612.db2.gz NNQSVSZMSIZCMX-AWEZNQCLSA-N 1 2 316.405 1.121 20 30 DDEDLO N#Cc1ccccc1OCC[NH+]1CCN(c2ncns2)CC1 ZINC000595517555 356528928 /nfs/dbraw/zinc/52/89/28/356528928.db2.gz WUEIWTHJHDDSAT-UHFFFAOYSA-N 1 2 315.402 1.611 20 30 DDEDLO COC(=O)[C@](C)(CCF)[NH2+]CCC(=O)Nc1cccc(C#N)c1 ZINC000595774240 356647250 /nfs/dbraw/zinc/64/72/50/356647250.db2.gz FVXFNYQNEVTADM-INIZCTEOSA-N 1 2 321.352 1.768 20 30 DDEDLO CO[C@@H](C)CNC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000595753898 356637005 /nfs/dbraw/zinc/63/70/05/356637005.db2.gz ZTVQHGNWTOZHNV-AWEZNQCLSA-N 1 2 316.405 1.420 20 30 DDEDLO Cc1ccc(C#N)nc1N1CC[NH+]([C@H](C)C(=O)NC2CC2)CC1 ZINC000596066204 356759586 /nfs/dbraw/zinc/75/95/86/356759586.db2.gz ZXLYIEHOKQEXOQ-CYBMUJFWSA-N 1 2 313.405 1.051 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3c(C#N)cnn3C)c[nH+]2)CCO1 ZINC000596077403 356764371 /nfs/dbraw/zinc/76/43/71/356764371.db2.gz VQBTZROGVASCHD-LBPRGKRZSA-N 1 2 312.377 1.524 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000596453357 356884607 /nfs/dbraw/zinc/88/46/07/356884607.db2.gz JYBMATHIPIVEKV-STQMWFEESA-N 1 2 302.378 1.789 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2cccc(C#N)c2)[C@@H](C)CO1 ZINC000596453357 356884610 /nfs/dbraw/zinc/88/46/10/356884610.db2.gz JYBMATHIPIVEKV-STQMWFEESA-N 1 2 302.378 1.789 20 30 DDEDLO Cc1ccc(Cn2cc(C[NH+]3CCOCC3)cc(C#N)c2=O)cn1 ZINC000596285756 356845429 /nfs/dbraw/zinc/84/54/29/356845429.db2.gz OHRWYWWXNKJLCA-UHFFFAOYSA-N 1 2 324.384 1.304 20 30 DDEDLO CCCn1nccc1C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000596983077 357033911 /nfs/dbraw/zinc/03/39/11/357033911.db2.gz NNRRJFYRGPBCKW-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)c1 ZINC000596927368 357016841 /nfs/dbraw/zinc/01/68/41/357016841.db2.gz AVDIPUNRTDMJHW-INIZCTEOSA-N 1 2 314.389 1.805 20 30 DDEDLO C[C@H](CNC(=O)CC1(C#N)CCCCC1)[NH+]1CCN(C)CC1 ZINC000597235252 357104998 /nfs/dbraw/zinc/10/49/98/357104998.db2.gz WGQXJCJMGAVKRV-OAHLLOKOSA-N 1 2 306.454 1.603 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[C@H]([NH+](C)C)[C@H]2C)cc1C#N ZINC000597699177 357291076 /nfs/dbraw/zinc/29/10/76/357291076.db2.gz ILGAFYJXTQOYJN-SKDRFNHKSA-N 1 2 320.418 1.545 20 30 DDEDLO N#Cc1cscc1C(=O)N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000597707361 357294880 /nfs/dbraw/zinc/29/48/80/357294880.db2.gz OYLDFDXXHXXWHI-CYBMUJFWSA-N 1 2 305.403 1.557 20 30 DDEDLO N#C[C@@]1(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)CC12CCCC2 ZINC000597708633 357295477 /nfs/dbraw/zinc/29/54/77/357295477.db2.gz ZAZMKYMFPNMTSI-MAUKXSAKSA-N 1 2 317.433 1.784 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)C[N@H+](C)C[C@H]1CCS(=O)(=O)C1 ZINC000329595436 223000131 /nfs/dbraw/zinc/00/01/31/223000131.db2.gz VIXFEERIYKVGFU-MCIONIFRSA-N 1 2 316.467 1.888 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)C[N@@H+](C)C[C@H]1CCS(=O)(=O)C1 ZINC000329595436 223000134 /nfs/dbraw/zinc/00/01/34/223000134.db2.gz VIXFEERIYKVGFU-MCIONIFRSA-N 1 2 316.467 1.888 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N[C@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000597849194 357357595 /nfs/dbraw/zinc/35/75/95/357357595.db2.gz ZWUWLJWUWIERJO-CYBMUJFWSA-N 1 2 316.361 1.683 20 30 DDEDLO COC(=O)c1cc(C[NH+]2CCN(CC#N)CC2)n2c1CCCC2 ZINC000598670335 357679065 /nfs/dbraw/zinc/67/90/65/357679065.db2.gz LJLRNIYJZMSGDN-UHFFFAOYSA-N 1 2 316.405 1.252 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC000329786156 223028572 /nfs/dbraw/zinc/02/85/72/223028572.db2.gz QMLYVYBJPLXNAF-DUVNUKRYSA-N 1 2 319.405 1.770 20 30 DDEDLO C[C@@H](CC(=O)N(CCC#N)CC[NH+]1CCOCC1)c1ccco1 ZINC000599231075 357856885 /nfs/dbraw/zinc/85/68/85/357856885.db2.gz ZFBIJTNNLZHZEZ-HNNXBMFYSA-N 1 2 319.405 1.848 20 30 DDEDLO C[C@H](CC(=O)N(CCC#N)CC[NH+]1CCOCC1)c1ccco1 ZINC000599231076 357857185 /nfs/dbraw/zinc/85/71/85/357857185.db2.gz ZFBIJTNNLZHZEZ-OAHLLOKOSA-N 1 2 319.405 1.848 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1ncc(Br)cc1C#N ZINC000599161973 357834740 /nfs/dbraw/zinc/83/47/40/357834740.db2.gz ZMXCAMYDFPPJGY-LLVKDONJSA-N 1 2 311.183 1.458 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1ncc(Br)cc1C#N ZINC000599161973 357834744 /nfs/dbraw/zinc/83/47/44/357834744.db2.gz ZMXCAMYDFPPJGY-LLVKDONJSA-N 1 2 311.183 1.458 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N(C)C(=O)NC[C@H]1COCC[N@@H+]1C ZINC000599192816 357846318 /nfs/dbraw/zinc/84/63/18/357846318.db2.gz IGJRZPLHKOEPDR-CJNGLKHVSA-N 1 2 316.405 1.591 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N(C)C(=O)NC[C@H]1COCC[N@H+]1C ZINC000599192816 357846319 /nfs/dbraw/zinc/84/63/19/357846319.db2.gz IGJRZPLHKOEPDR-CJNGLKHVSA-N 1 2 316.405 1.591 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)C1 ZINC000599361439 357909155 /nfs/dbraw/zinc/90/91/55/357909155.db2.gz GSAYPQKFLSCOOE-LJQANCHMSA-N 1 2 321.380 1.912 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)C1 ZINC000599361439 357909159 /nfs/dbraw/zinc/90/91/59/357909159.db2.gz GSAYPQKFLSCOOE-LJQANCHMSA-N 1 2 321.380 1.912 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)C[C@@H](O)COc2ccccc2C#N)n1 ZINC000599454909 357944756 /nfs/dbraw/zinc/94/47/56/357944756.db2.gz YAXFIZURAHVUEA-SMDDNHRTSA-N 1 2 316.361 1.682 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)C[C@@H](O)COc2ccccc2C#N)n1 ZINC000599454909 357944761 /nfs/dbraw/zinc/94/47/61/357944761.db2.gz YAXFIZURAHVUEA-SMDDNHRTSA-N 1 2 316.361 1.682 20 30 DDEDLO N#Cc1cc(Cl)ccc1-n1cc(CC[NH+]2CCOCC2)nn1 ZINC000600976778 358366093 /nfs/dbraw/zinc/36/60/93/358366093.db2.gz YHGXPRUKAJKWLR-UHFFFAOYSA-N 1 2 317.780 1.667 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH2+][C@@H](CF)c1ccc(F)cc1 ZINC000601937540 358744776 /nfs/dbraw/zinc/74/47/76/358744776.db2.gz COQVQAUTJWZIRU-GWCFXTLKSA-N 1 2 311.332 1.826 20 30 DDEDLO CN1CCCC[C@H]([N@H+](C)[C@@H](C(N)=O)c2ccc(C#N)cc2)C1=O ZINC000601967748 358757822 /nfs/dbraw/zinc/75/78/22/358757822.db2.gz APIWFCBPSQTSQD-LSDHHAIUSA-N 1 2 314.389 1.027 20 30 DDEDLO CN1CCCC[C@H]([N@@H+](C)[C@@H](C(N)=O)c2ccc(C#N)cc2)C1=O ZINC000601967748 358757824 /nfs/dbraw/zinc/75/78/24/358757824.db2.gz APIWFCBPSQTSQD-LSDHHAIUSA-N 1 2 314.389 1.027 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N[C@@H](C#N)C(C)C)cc1 ZINC000602096711 358811275 /nfs/dbraw/zinc/81/12/75/358811275.db2.gz JRECUEAWWJJLAX-JKSUJKDBSA-N 1 2 301.390 1.934 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N[C@@H](C#N)C(C)C)cc1 ZINC000602096711 358811279 /nfs/dbraw/zinc/81/12/79/358811279.db2.gz JRECUEAWWJJLAX-JKSUJKDBSA-N 1 2 301.390 1.934 20 30 DDEDLO Cc1[nH]nc(NC(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)c1C#N ZINC000602190773 358873278 /nfs/dbraw/zinc/87/32/78/358873278.db2.gz UQEOMKOQOVKBBE-SECBINFHSA-N 1 2 312.255 1.919 20 30 DDEDLO Cc1ncc([N+](=O)[O-])n1CC[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000602794138 359196619 /nfs/dbraw/zinc/19/66/19/359196619.db2.gz GIMUEALZCVKDIT-AWEZNQCLSA-N 1 2 320.397 1.266 20 30 DDEDLO N#Cc1csc(C[N@H+]2C[C@@H](O)[C@H](Oc3ccccc3)C2)n1 ZINC000602728068 359152948 /nfs/dbraw/zinc/15/29/48/359152948.db2.gz IEXZOYVETBJCCI-ZIAGYGMSSA-N 1 2 301.371 1.639 20 30 DDEDLO N#Cc1csc(C[N@@H+]2C[C@@H](O)[C@H](Oc3ccccc3)C2)n1 ZINC000602728068 359152952 /nfs/dbraw/zinc/15/29/52/359152952.db2.gz IEXZOYVETBJCCI-ZIAGYGMSSA-N 1 2 301.371 1.639 20 30 DDEDLO COC(=O)c1oc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)cc1C ZINC000602854549 359241092 /nfs/dbraw/zinc/24/10/92/359241092.db2.gz CPBWZCLEUGTSIW-STQMWFEESA-N 1 2 305.378 1.793 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)CC#N)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000602867007 359252405 /nfs/dbraw/zinc/25/24/05/359252405.db2.gz AZVYTLKKRNUHIQ-WDEREUQCSA-N 1 2 309.391 1.309 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000602869082 359253345 /nfs/dbraw/zinc/25/33/45/359253345.db2.gz MAEXMPJRXVILFJ-CYBMUJFWSA-N 1 2 304.369 1.366 20 30 DDEDLO COC(=O)c1ccc(CNC(=O)[C@@H](C)[NH2+][C@@H](C)CC#N)cc1 ZINC000602870610 359254459 /nfs/dbraw/zinc/25/44/59/359254459.db2.gz UWHGPSFOPMISAE-NWDGAFQWSA-N 1 2 303.362 1.370 20 30 DDEDLO Cc1cn2cc(NC(=O)N3CCN(CCC#N)CC3)ccc2[nH+]1 ZINC000602824740 359217965 /nfs/dbraw/zinc/21/79/65/359217965.db2.gz QIHZOQAOROJVHG-UHFFFAOYSA-N 1 2 312.377 1.706 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@@H+](C)[C@@H]3CCC[C@H]3C#N)sc2n1 ZINC000602976071 359336133 /nfs/dbraw/zinc/33/61/33/359336133.db2.gz KPFQQNARWYXIIV-WDEREUQCSA-N 1 2 303.391 1.584 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@H+](C)[C@@H]3CCC[C@H]3C#N)sc2n1 ZINC000602976071 359336138 /nfs/dbraw/zinc/33/61/38/359336138.db2.gz KPFQQNARWYXIIV-WDEREUQCSA-N 1 2 303.391 1.584 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NCc1ccccc1)[C@H]1CCC[C@H]1C#N ZINC000602978706 359336631 /nfs/dbraw/zinc/33/66/31/359336631.db2.gz VNNLXBBWFYGGTH-GJZGRUSLSA-N 1 2 314.389 1.636 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NCc1ccccc1)[C@H]1CCC[C@H]1C#N ZINC000602978706 359336632 /nfs/dbraw/zinc/33/66/32/359336632.db2.gz VNNLXBBWFYGGTH-GJZGRUSLSA-N 1 2 314.389 1.636 20 30 DDEDLO C[N@H+](CC(=O)NCCOc1ccc(F)cc1)[C@H]1CCC[C@@H]1C#N ZINC000602978371 359336811 /nfs/dbraw/zinc/33/68/11/359336811.db2.gz PQTTZZLGMJXEGN-CJNGLKHVSA-N 1 2 319.380 1.945 20 30 DDEDLO C[N@@H+](CC(=O)NCCOc1ccc(F)cc1)[C@H]1CCC[C@@H]1C#N ZINC000602978371 359336817 /nfs/dbraw/zinc/33/68/17/359336817.db2.gz PQTTZZLGMJXEGN-CJNGLKHVSA-N 1 2 319.380 1.945 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@H+](CCOc2ccc(C#N)cc2)CCO1 ZINC000603003375 359350229 /nfs/dbraw/zinc/35/02/29/359350229.db2.gz XWIGPMZSFKGBGD-QGZVFWFLSA-N 1 2 318.373 1.591 20 30 DDEDLO CCOC(=O)[C@@]1(C)C[N@@H+](CCOc2ccc(C#N)cc2)CCO1 ZINC000603003375 359350231 /nfs/dbraw/zinc/35/02/31/359350231.db2.gz XWIGPMZSFKGBGD-QGZVFWFLSA-N 1 2 318.373 1.591 20 30 DDEDLO CC(C)C[C@H](C(=O)N1C[C@@H](C)N(CC#N)[C@@H](C)C1)n1cc[nH+]c1 ZINC000603090035 359396159 /nfs/dbraw/zinc/39/61/59/359396159.db2.gz JBWZLOPGHPFKMC-OWCLPIDISA-N 1 2 317.437 1.915 20 30 DDEDLO Cc1cc(Cn2cc(CN(C)c3cnc(C#N)cn3)nn2)cc[nH+]1 ZINC000603231579 359500703 /nfs/dbraw/zinc/50/07/03/359500703.db2.gz CYBCUJWGVSVZDE-UHFFFAOYSA-N 1 2 320.360 1.328 20 30 DDEDLO COCc1cc(C#N)ccc1NCC1([NH+]2CCOCC2)CC1 ZINC000603363209 359593927 /nfs/dbraw/zinc/59/39/27/359593927.db2.gz TXWOEGYVCLQFEU-UHFFFAOYSA-N 1 2 301.390 1.981 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)NC2CCC(C)CC2)CC1 ZINC000609485191 360312854 /nfs/dbraw/zinc/31/28/54/360312854.db2.gz KXZNKQUTJHOIQV-JCYILVPMSA-N 1 2 320.481 1.847 20 30 DDEDLO Cc1nc(CC2CC2)oc1C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000330012612 223063755 /nfs/dbraw/zinc/06/37/55/223063755.db2.gz KVTBZXUUKCUTOT-LLVKDONJSA-N 1 2 307.394 1.961 20 30 DDEDLO Cc1nc(CC2CC2)oc1C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000330012612 223063757 /nfs/dbraw/zinc/06/37/57/223063757.db2.gz KVTBZXUUKCUTOT-LLVKDONJSA-N 1 2 307.394 1.961 20 30 DDEDLO Cc1nc(CC2CC2)oc1C(=O)NC[C@H](C)[NH+]1CCOCC1 ZINC000330021025 223063761 /nfs/dbraw/zinc/06/37/61/223063761.db2.gz XDSFILKYZDXCOE-NSHDSACASA-N 1 2 307.394 1.961 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CC(c2nc3ccccc3[nH]2)C1 ZINC000610847156 360552577 /nfs/dbraw/zinc/55/25/77/360552577.db2.gz OJBYVRLZTXDVHU-GFCCVEGCSA-N 1 2 311.389 1.723 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@H+](C)CCOc1cccc(C#N)c1 ZINC000610826758 360545096 /nfs/dbraw/zinc/54/50/96/360545096.db2.gz PATQTCCMHCGVMT-MRXNPFEDSA-N 1 2 320.389 1.835 20 30 DDEDLO COC(=O)[C@@H](COC(C)C)[N@@H+](C)CCOc1cccc(C#N)c1 ZINC000610826758 360545101 /nfs/dbraw/zinc/54/51/01/360545101.db2.gz PATQTCCMHCGVMT-MRXNPFEDSA-N 1 2 320.389 1.835 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(Cc2ccnc(C#N)c2)CC1 ZINC000610993244 360595166 /nfs/dbraw/zinc/59/51/66/360595166.db2.gz IESMDGIMFDUWJI-UHFFFAOYSA-N 1 2 311.389 1.876 20 30 DDEDLO CCOC[C@@H](C(=O)OC)[N@H+](C)CCOc1cccc(C#N)c1 ZINC000610998571 360596281 /nfs/dbraw/zinc/59/62/81/360596281.db2.gz KKLDMVZDYQDEPF-HNNXBMFYSA-N 1 2 306.362 1.447 20 30 DDEDLO CCOC[C@@H](C(=O)OC)[N@@H+](C)CCOc1cccc(C#N)c1 ZINC000610998571 360596287 /nfs/dbraw/zinc/59/62/87/360596287.db2.gz KKLDMVZDYQDEPF-HNNXBMFYSA-N 1 2 306.362 1.447 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000611552187 360756490 /nfs/dbraw/zinc/75/64/90/360756490.db2.gz RDNSSZFEXGBUPV-HNNXBMFYSA-N 1 2 304.369 1.096 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N2CCC[C@](C)(C#N)C2)c(C)[nH+]1 ZINC000612269986 360955498 /nfs/dbraw/zinc/95/54/98/360955498.db2.gz MNPMMBYXHXEACN-MRXNPFEDSA-N 1 2 300.362 1.789 20 30 DDEDLO C[C@H](C#N)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000330447013 223118319 /nfs/dbraw/zinc/11/83/19/223118319.db2.gz HTBDAOUVGUDYEW-BXUZGUMPSA-N 1 2 317.393 1.046 20 30 DDEDLO C[C@H](C#N)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000330447013 223118322 /nfs/dbraw/zinc/11/83/22/223118322.db2.gz HTBDAOUVGUDYEW-BXUZGUMPSA-N 1 2 317.393 1.046 20 30 DDEDLO C[C@H](SCC[NH+]1CCOCC1)C(=O)NC(=O)NC(C)(C)C ZINC000330673722 223136311 /nfs/dbraw/zinc/13/63/11/223136311.db2.gz YYCRXFIAZQZIES-NSHDSACASA-N 1 2 317.455 1.269 20 30 DDEDLO Cn1nc(C[NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)c2ccccc21 ZINC000612674526 361104443 /nfs/dbraw/zinc/10/44/43/361104443.db2.gz AUHSGHYELDCJPK-QGZVFWFLSA-N 1 2 319.368 1.761 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000612901947 361188164 /nfs/dbraw/zinc/18/81/64/361188164.db2.gz MNIBLMPZGSVUCF-LSDHHAIUSA-N 1 2 316.405 1.247 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2OC)C[C@@H]1C ZINC000613350865 361388260 /nfs/dbraw/zinc/38/82/60/361388260.db2.gz PNOMCCAAXFDSQN-LBPRGKRZSA-N 1 2 323.418 1.282 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2OC)C[C@@H]1C ZINC000613350865 361388266 /nfs/dbraw/zinc/38/82/66/361388266.db2.gz PNOMCCAAXFDSQN-LBPRGKRZSA-N 1 2 323.418 1.282 20 30 DDEDLO N#CCc1ccccc1S(=O)(=O)N1CC[NH+](CC2CC2)CC1 ZINC000619572652 364066306 /nfs/dbraw/zinc/06/63/06/364066306.db2.gz OGAIRMZGPNPXEE-UHFFFAOYSA-N 1 2 319.430 1.469 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2Cc3ccc(C(=O)OC)cc3C2)C1=O ZINC000619620381 364091029 /nfs/dbraw/zinc/09/10/29/364091029.db2.gz VSXXBUHTBXGHGE-HNNXBMFYSA-N 1 2 300.358 1.576 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2Cc3ccc(C(=O)OC)cc3C2)C1=O ZINC000619620381 364091035 /nfs/dbraw/zinc/09/10/35/364091035.db2.gz VSXXBUHTBXGHGE-HNNXBMFYSA-N 1 2 300.358 1.576 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCCc1ccc(C)[nH+]c1 ZINC000619709787 364124719 /nfs/dbraw/zinc/12/47/19/364124719.db2.gz VYMSWKPIVPCLGK-LBPRGKRZSA-N 1 2 310.423 1.249 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(CO)COCC[N@@H+]1Cc1ccccc1 ZINC000619941247 364209922 /nfs/dbraw/zinc/20/99/22/364209922.db2.gz IYRRPFYEHXJZRG-GOSISDBHSA-N 1 2 318.417 1.332 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(CO)COCC[N@H+]1Cc1ccccc1 ZINC000619941247 364209927 /nfs/dbraw/zinc/20/99/27/364209927.db2.gz IYRRPFYEHXJZRG-GOSISDBHSA-N 1 2 318.417 1.332 20 30 DDEDLO N#CC1(NC(=O)c2ccc(Cn3cc[nH+]c3)cc2)CCOCC1 ZINC000347049907 223365993 /nfs/dbraw/zinc/36/59/93/223365993.db2.gz ICAFTGNHKGHZFV-UHFFFAOYSA-N 1 2 310.357 1.734 20 30 DDEDLO C[C@@H](CS(C)(=O)=O)N(C)Cc1c[nH+]c2ccc(C#N)cn12 ZINC000093384540 193242097 /nfs/dbraw/zinc/24/20/97/193242097.db2.gz DECYHBIPWGBNAC-NSHDSACASA-N 1 2 306.391 1.071 20 30 DDEDLO CC(C)OC(=O)[C@H](C)N(C)Cc1c[nH+]c2ccc(C#N)cn12 ZINC000093773041 193305143 /nfs/dbraw/zinc/30/51/43/193305143.db2.gz RMBMHWWPGDRQTE-LBPRGKRZSA-N 1 2 300.362 1.978 20 30 DDEDLO C#CCCNC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000264959405 204305915 /nfs/dbraw/zinc/30/59/15/204305915.db2.gz NUAKCUOBWGKZLV-MRXNPFEDSA-N 1 2 301.390 1.382 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)C(=O)NC[C@H]1CCCO1)c1ccc(C#N)cc1 ZINC000271681043 209121016 /nfs/dbraw/zinc/12/10/16/209121016.db2.gz POGKNRTYYSZRAB-DVOMOZLQSA-N 1 2 301.390 1.893 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3nc(N)ns3)CC2)cc1 ZINC000267947536 206354389 /nfs/dbraw/zinc/35/43/89/206354389.db2.gz RAAMNFNWZOXOJQ-UHFFFAOYSA-N 1 2 300.391 1.314 20 30 DDEDLO C=CCSCCNC(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000105202779 194085603 /nfs/dbraw/zinc/08/56/03/194085603.db2.gz AOOHLQALZHLJLP-CHWSQXEVSA-N 1 2 301.456 1.314 20 30 DDEDLO CC(C)NC(=O)CN1CC[NH+](Cc2cc(C#N)cs2)CC1 ZINC000119602329 195000362 /nfs/dbraw/zinc/00/03/62/195000362.db2.gz MLYYBHCKUNHVHJ-UHFFFAOYSA-N 1 2 306.435 1.262 20 30 DDEDLO CC#CC[NH+]1CCN(Cc2nc(-c3cccs3)no2)CC1 ZINC000158839913 197305589 /nfs/dbraw/zinc/30/55/89/197305589.db2.gz BCRIRDQUYYZKIG-UHFFFAOYSA-N 1 2 302.403 1.939 20 30 DDEDLO COCC1(C#N)CC[NH+](Cc2cc(=O)n3ccsc3n2)CC1 ZINC000341991422 533110995 /nfs/dbraw/zinc/11/09/95/533110995.db2.gz NIHZLRCFWDSSBP-UHFFFAOYSA-N 1 2 318.402 1.508 20 30 DDEDLO CC(C)C(=O)NCC[N@H+](C)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000157703806 197209205 /nfs/dbraw/zinc/20/92/05/197209205.db2.gz DVUXRPLBKGVJIK-ZDUSSCGKSA-N 1 2 316.405 1.589 20 30 DDEDLO CC(C)C(=O)NCC[N@@H+](C)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000157703806 197209206 /nfs/dbraw/zinc/20/92/06/197209206.db2.gz DVUXRPLBKGVJIK-ZDUSSCGKSA-N 1 2 316.405 1.589 20 30 DDEDLO N#Cc1cccc(OCCC[N@H+]2CCSC[C@@H]2C(N)=O)c1 ZINC000271615165 209062000 /nfs/dbraw/zinc/06/20/00/209062000.db2.gz VYBOZGAWZMAOIX-CQSZACIVSA-N 1 2 305.403 1.230 20 30 DDEDLO N#Cc1cccc(OCCC[N@@H+]2CCSC[C@@H]2C(N)=O)c1 ZINC000271615165 209062004 /nfs/dbraw/zinc/06/20/04/209062004.db2.gz VYBOZGAWZMAOIX-CQSZACIVSA-N 1 2 305.403 1.230 20 30 DDEDLO C[C@@H](CNC(=O)Cc1ccc2n[nH]cc2c1)[NH+]1CCN(C)CC1 ZINC000330092990 533361100 /nfs/dbraw/zinc/36/11/00/533361100.db2.gz RGVQQGGGBIYSSF-ZDUSSCGKSA-N 1 2 315.421 1.698 20 30 DDEDLO COC(=O)c1ccc(/C=C\C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000493289714 292006158 /nfs/dbraw/zinc/00/61/58/292006158.db2.gz HWCVCYIDMDPJTK-JEZWAEDTSA-N 1 2 315.373 1.446 20 30 DDEDLO N#CCOc1ccc(CNC(=O)NCCCn2cc[nH+]c2)cc1 ZINC000069717709 406763206 /nfs/dbraw/zinc/76/32/06/406763206.db2.gz YKQQSDKOTQANBW-UHFFFAOYSA-N 1 2 313.361 1.675 20 30 DDEDLO N#CCCN(CCOc1ccccc1F)CC[NH+]1CCOCC1 ZINC000078681773 407041438 /nfs/dbraw/zinc/04/14/38/407041438.db2.gz OJMQKMUNKHTJBQ-UHFFFAOYSA-N 1 2 321.396 1.752 20 30 DDEDLO C=CCc1ccc(OC[C@H](O)C[NH+]2CCOCC2)c(OC)c1 ZINC000046345067 407059747 /nfs/dbraw/zinc/05/97/47/407059747.db2.gz KVMCFPSRKVSBKA-OAHLLOKOSA-N 1 2 307.390 1.496 20 30 DDEDLO N#CCC[N@@H+](CC(=O)NC(=O)NCc1ccco1)CC1CC1 ZINC000081044606 407075147 /nfs/dbraw/zinc/07/51/47/407075147.db2.gz MGLUMTCBVOUVRD-UHFFFAOYSA-N 1 2 304.350 1.231 20 30 DDEDLO N#CCC[N@H+](CC(=O)NC(=O)NCc1ccco1)CC1CC1 ZINC000081044606 407075149 /nfs/dbraw/zinc/07/51/49/407075149.db2.gz MGLUMTCBVOUVRD-UHFFFAOYSA-N 1 2 304.350 1.231 20 30 DDEDLO C[C@H](C[S@@](C)=O)[N@H+](C)CC(=O)N(CCC#N)c1ccccc1 ZINC000126125837 407405483 /nfs/dbraw/zinc/40/54/83/407405483.db2.gz OHNOLDWTFHOKGJ-PEBXRYMYSA-N 1 2 321.446 1.632 20 30 DDEDLO C[C@H](C[S@@](C)=O)[N@@H+](C)CC(=O)N(CCC#N)c1ccccc1 ZINC000126125837 407405484 /nfs/dbraw/zinc/40/54/84/407405484.db2.gz OHNOLDWTFHOKGJ-PEBXRYMYSA-N 1 2 321.446 1.632 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@H](C)c1csc(CC)n1 ZINC000111375394 407409720 /nfs/dbraw/zinc/40/97/20/407409720.db2.gz WNBGRVCQZUDHPA-NXEZZACHSA-N 1 2 310.423 1.756 20 30 DDEDLO C[N@@H+](CC(=O)OC(C)(C)C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000170646025 407463376 /nfs/dbraw/zinc/46/33/76/407463376.db2.gz WKWSESZHIQHEMH-AWEZNQCLSA-N 1 2 320.389 1.571 20 30 DDEDLO C[N@H+](CC(=O)OC(C)(C)C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000170646025 407463383 /nfs/dbraw/zinc/46/33/83/407463383.db2.gz WKWSESZHIQHEMH-AWEZNQCLSA-N 1 2 320.389 1.571 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)c1ccc(C#N)cc1C ZINC000271107834 407519883 /nfs/dbraw/zinc/51/98/83/407519883.db2.gz ICWGOXTTWFJOLP-AWEZNQCLSA-N 1 2 312.329 1.104 20 30 DDEDLO COC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)c1ccc(C#N)cc1C ZINC000271107834 407519889 /nfs/dbraw/zinc/51/98/89/407519889.db2.gz ICWGOXTTWFJOLP-AWEZNQCLSA-N 1 2 312.329 1.104 20 30 DDEDLO NC(=[NH+]OCC1CCS(=O)(=O)CC1)c1ccc(F)c(F)c1 ZINC000271119868 407526348 /nfs/dbraw/zinc/52/63/48/407526348.db2.gz GIJXOJXLDRONNL-UHFFFAOYSA-N 1 2 318.345 1.427 20 30 DDEDLO C=CC[N@@H+](CCc1csc(C)n1)[C@H]1CCS(=O)(=O)C1 ZINC000128394744 407528050 /nfs/dbraw/zinc/52/80/50/407528050.db2.gz RKOCAPXWXUBQQO-ZDUSSCGKSA-N 1 2 300.449 1.669 20 30 DDEDLO C=CC[N@H+](CCc1csc(C)n1)[C@H]1CCS(=O)(=O)C1 ZINC000128394744 407528058 /nfs/dbraw/zinc/52/80/58/407528058.db2.gz RKOCAPXWXUBQQO-ZDUSSCGKSA-N 1 2 300.449 1.669 20 30 DDEDLO C[C@]1(C(N)=O)CCCC[N@@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000185949173 407548034 /nfs/dbraw/zinc/54/80/34/407548034.db2.gz JKBULDBFRPHJKJ-MRXNPFEDSA-N 1 2 300.362 1.227 20 30 DDEDLO C[C@]1(C(N)=O)CCCC[N@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000185949173 407548044 /nfs/dbraw/zinc/54/80/44/407548044.db2.gz JKBULDBFRPHJKJ-MRXNPFEDSA-N 1 2 300.362 1.227 20 30 DDEDLO C[NH+](C)Cc1nc(C(C)(C)NC(=O)c2ccc(C#N)nc2)no1 ZINC000115355688 407671066 /nfs/dbraw/zinc/67/10/66/407671066.db2.gz LSFVCBMJFWKLKA-UHFFFAOYSA-N 1 2 314.349 1.063 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[NH+]2CCC(n3ccnc3)CC2)cc1 ZINC000115543981 407690068 /nfs/dbraw/zinc/69/00/68/407690068.db2.gz RGXMLWQSCNFQLL-INIZCTEOSA-N 1 2 309.373 1.618 20 30 DDEDLO C#CCSCCNC(=O)N1CCCN(c2cccc[nH+]2)CC1 ZINC000186515397 407690337 /nfs/dbraw/zinc/69/03/37/407690337.db2.gz RCPBXBSDEBYFHI-UHFFFAOYSA-N 1 2 318.446 1.670 20 30 DDEDLO N#CCCn1ccc(NC(=O)NCCCNc2cccc[nH+]2)n1 ZINC000173347651 407876315 /nfs/dbraw/zinc/87/63/15/407876315.db2.gz VOJUGIIMIQOMQS-UHFFFAOYSA-N 1 2 313.365 1.815 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCc2ccc(F)cc2C1)C1CC1 ZINC000133971721 407882442 /nfs/dbraw/zinc/88/24/42/407882442.db2.gz ZNTGAMBRBKBSNM-KRWDZBQOSA-N 1 2 301.365 1.992 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCc2ccc(F)cc2C1)C1CC1 ZINC000133971721 407882445 /nfs/dbraw/zinc/88/24/45/407882445.db2.gz ZNTGAMBRBKBSNM-KRWDZBQOSA-N 1 2 301.365 1.992 20 30 DDEDLO C[C@@H](CNC(=O)C1(c2ccc(C#N)cc2)CC1)[NH+]1CCOCC1 ZINC000187864689 407899813 /nfs/dbraw/zinc/89/98/13/407899813.db2.gz CJIKICHFPLACBR-AWEZNQCLSA-N 1 2 313.401 1.427 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1nc2ccccc2o1 ZINC000153823103 407910674 /nfs/dbraw/zinc/91/06/74/407910674.db2.gz MXDDZWOQUZQTCO-NSHDSACASA-N 1 2 316.361 1.660 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1nc2ccccc2o1 ZINC000153823103 407910683 /nfs/dbraw/zinc/91/06/83/407910683.db2.gz MXDDZWOQUZQTCO-NSHDSACASA-N 1 2 316.361 1.660 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(Cc2ccon2)CC1 ZINC000153831294 407913438 /nfs/dbraw/zinc/91/34/38/407913438.db2.gz XFXFCKCSJIGNIL-UHFFFAOYSA-N 1 2 312.373 1.873 20 30 DDEDLO Cc1cccc(CNC(=O)CO[NH+]=C(N)[C@@H](C)OCC(C)C)c1 ZINC000174224317 407921398 /nfs/dbraw/zinc/92/13/98/407921398.db2.gz MONZFIPTFSPRMU-CQSZACIVSA-N 1 2 321.421 1.961 20 30 DDEDLO N#CCNC(=O)C[NH+]1CCC(Oc2ccc([N+](=O)[O-])cc2)CC1 ZINC000174247854 407924884 /nfs/dbraw/zinc/92/48/84/407924884.db2.gz NFQJXWKUVLZCJY-UHFFFAOYSA-N 1 2 318.333 1.078 20 30 DDEDLO CCOCCON=C(N)C[NH+]1CCN(c2ccccc2)CC1 ZINC000181591070 408017598 /nfs/dbraw/zinc/01/75/98/408017598.db2.gz WHEOOKGLVIPHLK-UHFFFAOYSA-N 1 2 306.410 1.134 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCOCC12CCC2)C1(C#N)CCCCC1 ZINC000135501026 408021701 /nfs/dbraw/zinc/02/17/01/408021701.db2.gz SYPBNABYDPDMLK-UHFFFAOYSA-N 1 2 305.422 1.926 20 30 DDEDLO CN(C(=O)C[N@H+]1CCOCC12CCC2)C1(C#N)CCCCC1 ZINC000135501026 408021705 /nfs/dbraw/zinc/02/17/05/408021705.db2.gz SYPBNABYDPDMLK-UHFFFAOYSA-N 1 2 305.422 1.926 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000273193174 408099923 /nfs/dbraw/zinc/09/99/23/408099923.db2.gz DDFLBZGHCAAMJL-INIZCTEOSA-N 1 2 314.389 1.668 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[N@@H+]2CCNC(=O)[C@H]2c2ccccc2)cc1 ZINC000268638325 408131245 /nfs/dbraw/zinc/13/12/45/408131245.db2.gz GOPLPWZODPJHMR-ZWKOTPCHSA-N 1 2 321.380 1.765 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[N@H+]2CCNC(=O)[C@H]2c2ccccc2)cc1 ZINC000268638325 408131252 /nfs/dbraw/zinc/13/12/52/408131252.db2.gz GOPLPWZODPJHMR-ZWKOTPCHSA-N 1 2 321.380 1.765 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)[C@@H]2C1 ZINC000246245012 408190421 /nfs/dbraw/zinc/19/04/21/408190421.db2.gz GLQCNEAMKNYPGB-AIANPOQGSA-N 1 2 321.421 1.063 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)[C@@H]2C1 ZINC000246245012 408190429 /nfs/dbraw/zinc/19/04/29/408190429.db2.gz GLQCNEAMKNYPGB-AIANPOQGSA-N 1 2 321.421 1.063 20 30 DDEDLO NC(CCO)=[NH+]OCc1nn(-c2ccc(F)cc2)c2c1CCC2 ZINC000121396392 408200923 /nfs/dbraw/zinc/20/09/23/408200923.db2.gz CKLZCOLVDOGBMV-UHFFFAOYSA-N 1 2 318.352 1.671 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCN(C(=O)C2CC2)CC1 ZINC000121471336 408219712 /nfs/dbraw/zinc/21/97/12/408219712.db2.gz HTQFUEHQQLKCHM-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCN(C(=O)C2CC2)CC1 ZINC000121471336 408219719 /nfs/dbraw/zinc/21/97/19/408219719.db2.gz HTQFUEHQQLKCHM-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO CC1CCN(C(=O)CO[NH+]=C(N)c2ccc3c(c2)CCO3)CC1 ZINC000158165282 408331195 /nfs/dbraw/zinc/33/11/95/408331195.db2.gz DQKROPPDSXLLDN-UHFFFAOYSA-N 1 2 317.389 1.517 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNc1ncc([N+](=O)[O-])cc1C#N ZINC000263984958 408335016 /nfs/dbraw/zinc/33/50/16/408335016.db2.gz HIYLIIRTINGPBN-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNc1ncc([N+](=O)[O-])cc1C#N ZINC000263984958 408335017 /nfs/dbraw/zinc/33/50/17/408335017.db2.gz HIYLIIRTINGPBN-UHFFFAOYSA-N 1 2 305.338 1.384 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)NC3CC3)C2)c(F)c1 ZINC000274279942 408351128 /nfs/dbraw/zinc/35/11/28/408351128.db2.gz YIRXGOSIJQLBNG-AWEZNQCLSA-N 1 2 302.353 1.733 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)NC3CC3)C2)c(F)c1 ZINC000274279942 408351134 /nfs/dbraw/zinc/35/11/34/408351134.db2.gz YIRXGOSIJQLBNG-AWEZNQCLSA-N 1 2 302.353 1.733 20 30 DDEDLO CS(=O)(=O)N[C@H]1CC[N@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000177192681 408549026 /nfs/dbraw/zinc/54/90/26/408549026.db2.gz WBXUGJDXLOCNNS-AWEZNQCLSA-N 1 2 312.822 1.315 20 30 DDEDLO CS(=O)(=O)N[C@H]1CC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000177192681 408549028 /nfs/dbraw/zinc/54/90/28/408549028.db2.gz WBXUGJDXLOCNNS-AWEZNQCLSA-N 1 2 312.822 1.315 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1ccnc(C#N)c1)[NH+]1CCOCC1 ZINC000192475588 408595954 /nfs/dbraw/zinc/59/59/54/408595954.db2.gz MJKPLMHIGAZAEO-HNNXBMFYSA-N 1 2 302.378 1.040 20 30 DDEDLO C=CCS(=O)(=O)CCN[C@H](c1[nH]cc[nH+]1)c1ccc(F)cc1 ZINC000275725043 408659480 /nfs/dbraw/zinc/65/94/80/408659480.db2.gz OHDBDUMILXEUCU-AWEZNQCLSA-N 1 2 323.393 1.829 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000275994526 408743800 /nfs/dbraw/zinc/74/38/00/408743800.db2.gz OBSSPOOBUIGECF-PBHICJAKSA-N 1 2 320.437 1.464 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@H]1C ZINC000249062792 408683312 /nfs/dbraw/zinc/68/33/12/408683312.db2.gz JTIAUAIJKDJWBC-IUODEOHRSA-N 1 2 315.373 1.628 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@H]1C ZINC000249062792 408683320 /nfs/dbraw/zinc/68/33/20/408683320.db2.gz JTIAUAIJKDJWBC-IUODEOHRSA-N 1 2 315.373 1.628 20 30 DDEDLO C#CCCCON=C(N)C[NH+]1CCN(c2ccccc2)CC1 ZINC000270965549 408764023 /nfs/dbraw/zinc/76/40/23/408764023.db2.gz YOTOZKWDJRFUIP-UHFFFAOYSA-N 1 2 300.406 1.511 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)O[NH+]=C(N)Cc1ccc(OC)cc1 ZINC000178147371 408781183 /nfs/dbraw/zinc/78/11/83/408781183.db2.gz LCESZCPYEVZVPS-LBPRGKRZSA-N 1 2 307.394 1.783 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000290969564 408855346 /nfs/dbraw/zinc/85/53/46/408855346.db2.gz YTBDGJHRVCPAMU-GOSISDBHSA-N 1 2 312.413 1.534 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCNC(=O)C[C@H]2c2ccccc2)C1=O ZINC000282005706 408969571 /nfs/dbraw/zinc/96/95/71/408969571.db2.gz NZTWPTKDRVMHDV-HOTGVXAUSA-N 1 2 313.401 1.337 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCNC(=O)C[C@H]2c2ccccc2)C1=O ZINC000282005706 408969574 /nfs/dbraw/zinc/96/95/74/408969574.db2.gz NZTWPTKDRVMHDV-HOTGVXAUSA-N 1 2 313.401 1.337 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCO[C@@H](Cc3ccccc3)C2)C1=O ZINC000282025769 408974986 /nfs/dbraw/zinc/97/49/86/408974986.db2.gz POACGYGYTKEWAN-IRXDYDNUSA-N 1 2 300.402 1.717 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCO[C@@H](Cc3ccccc3)C2)C1=O ZINC000282025769 408974988 /nfs/dbraw/zinc/97/49/88/408974988.db2.gz POACGYGYTKEWAN-IRXDYDNUSA-N 1 2 300.402 1.717 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](C)Cc1nc([C@H](C)OCC(C)C)no1 ZINC000292742183 409041383 /nfs/dbraw/zinc/04/13/83/409041383.db2.gz HLSQVCNYEWCZEF-ZDUSSCGKSA-N 1 2 322.409 1.375 20 30 DDEDLO C#CCNC(=O)CC[N@H+](C)Cc1nc([C@H](C)OCC(C)C)no1 ZINC000292742183 409041385 /nfs/dbraw/zinc/04/13/85/409041385.db2.gz HLSQVCNYEWCZEF-ZDUSSCGKSA-N 1 2 322.409 1.375 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccc(N(C)CCC#N)cc2)CC(=O)N1C ZINC000282400850 409047362 /nfs/dbraw/zinc/04/73/62/409047362.db2.gz CPOLNAUMEPFKED-AWEZNQCLSA-N 1 2 300.406 1.699 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccc(N(C)CCC#N)cc2)CC(=O)N1C ZINC000282400850 409047364 /nfs/dbraw/zinc/04/73/64/409047364.db2.gz CPOLNAUMEPFKED-AWEZNQCLSA-N 1 2 300.406 1.699 20 30 DDEDLO CC#CC[N@H+](C)[C@@H](C)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000292984936 409048003 /nfs/dbraw/zinc/04/80/03/409048003.db2.gz BPSXSFLJPMWJFA-HNNXBMFYSA-N 1 2 315.417 1.805 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H](C)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000292984936 409048005 /nfs/dbraw/zinc/04/80/05/409048005.db2.gz BPSXSFLJPMWJFA-HNNXBMFYSA-N 1 2 315.417 1.805 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CCc2ccccc2)CC1 ZINC000282985566 409071986 /nfs/dbraw/zinc/07/19/86/409071986.db2.gz FBBYAZRNFZLHDR-UHFFFAOYSA-N 1 2 309.417 1.584 20 30 DDEDLO CCc1cc(N)nc(SCC(=O)N(CC)C[C@H](C)C#N)[nH+]1 ZINC000279145154 409157961 /nfs/dbraw/zinc/15/79/61/409157961.db2.gz YZUXASAKSXONOJ-SNVBAGLBSA-N 1 2 307.423 1.721 20 30 DDEDLO Cn1nnc(CO[NH+]=C(N)c2cccc(C(F)(F)F)c2)n1 ZINC000283462027 409160621 /nfs/dbraw/zinc/16/06/21/409160621.db2.gz FYBBKSWITUQHPM-UHFFFAOYSA-N 1 2 300.244 1.066 20 30 DDEDLO COc1cc(C#N)ccc1OCCO[NH+]=C(N)[C@H]1CCCO1 ZINC000284010640 409256334 /nfs/dbraw/zinc/25/63/34/409256334.db2.gz HSVLLGYMGLFZKR-CYBMUJFWSA-N 1 2 305.334 1.413 20 30 DDEDLO N#Cc1ccccc1N1CCCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC000279380462 409202596 /nfs/dbraw/zinc/20/25/96/409202596.db2.gz HWOAAMAIFMSFIM-UHFFFAOYSA-N 1 2 323.400 1.953 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000289544147 409281555 /nfs/dbraw/zinc/28/15/55/409281555.db2.gz OHPLYWXIBYXUAI-UHFFFAOYSA-N 1 2 319.408 1.950 20 30 DDEDLO C[C@@H]1CC[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C[C@H]1O ZINC000305758325 164003410 /nfs/dbraw/zinc/00/34/10/164003410.db2.gz GLEPZXXBNLUVAE-RAIGVLPGSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@@H]1CC[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C[C@H]1O ZINC000305758325 164003412 /nfs/dbraw/zinc/00/34/12/164003412.db2.gz GLEPZXXBNLUVAE-RAIGVLPGSA-N 1 2 305.403 1.428 20 30 DDEDLO C[N@H+](CC[C@H]1CCCO1)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000342582314 409741129 /nfs/dbraw/zinc/74/11/29/409741129.db2.gz GUTTVDRQBXSWOK-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO C[N@@H+](CC[C@H]1CCCO1)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000342582314 409741136 /nfs/dbraw/zinc/74/11/36/409741136.db2.gz GUTTVDRQBXSWOK-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000354305764 409751911 /nfs/dbraw/zinc/75/19/11/409751911.db2.gz SSEVGCAUAKECEJ-INIZCTEOSA-N 1 2 304.390 1.206 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000354305764 409751919 /nfs/dbraw/zinc/75/19/19/409751919.db2.gz SSEVGCAUAKECEJ-INIZCTEOSA-N 1 2 304.390 1.206 20 30 DDEDLO C=CCCOCCNc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000305437864 409756603 /nfs/dbraw/zinc/75/66/03/409756603.db2.gz JVHNNOGKGVVREK-AWEZNQCLSA-N 1 2 306.410 1.690 20 30 DDEDLO C=CCCOCCNc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000305437864 409756608 /nfs/dbraw/zinc/75/66/08/409756608.db2.gz JVHNNOGKGVVREK-AWEZNQCLSA-N 1 2 306.410 1.690 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C#N)s1 ZINC000332130324 409914330 /nfs/dbraw/zinc/91/43/30/409914330.db2.gz NGTBPYAWOIDLME-NEPJUHHUSA-N 1 2 305.403 1.555 20 30 DDEDLO Cc1ccnc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)n1 ZINC000332134012 409916248 /nfs/dbraw/zinc/91/62/48/409916248.db2.gz PHXVUROCPRFCAV-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)nn1 ZINC000338307720 409892069 /nfs/dbraw/zinc/89/20/69/409892069.db2.gz YRXYSJHRJFPTNU-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO CC(C)[C@@H](NC(=O)c1cn(CC2(C)COC2)nn1)c1[nH]cc[nH+]1 ZINC000328718997 409961818 /nfs/dbraw/zinc/96/18/18/409961818.db2.gz GCNPLCDSMKYFDJ-GFCCVEGCSA-N 1 2 318.381 1.740 20 30 DDEDLO CC1=CCN(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)CC1 ZINC000328787579 409978695 /nfs/dbraw/zinc/97/86/95/409978695.db2.gz OZKFACNTRPJOJZ-UHFFFAOYSA-N 1 2 316.405 1.984 20 30 DDEDLO C[C@H](NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)c1nncn1C ZINC000328835382 409987946 /nfs/dbraw/zinc/98/79/46/409987946.db2.gz XBFKGGGCJMUDNV-RYUDHWBXSA-N 1 2 315.381 1.054 20 30 DDEDLO COC[C@@H]1CN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)CCO1 ZINC000328616764 409936709 /nfs/dbraw/zinc/93/67/09/409936709.db2.gz SVJCKISCAOCWIL-STQMWFEESA-N 1 2 308.382 1.022 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)NC[C@]2(C)CCCO2)CCN1C ZINC000328645964 409945483 /nfs/dbraw/zinc/94/54/83/409945483.db2.gz ZWKCHRBLDWUEHB-CJNGLKHVSA-N 1 2 321.425 1.192 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CC[N@@H+](C2CC2)C1)N1CCC[C@H](Cn2ccnn2)C1 ZINC000328653646 409945898 /nfs/dbraw/zinc/94/58/98/409945898.db2.gz CHNFVEPOXSCJSQ-UONOGXRCSA-N 1 2 318.425 1.141 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CC[N@H+](C2CC2)C1)N1CCC[C@H](Cn2ccnn2)C1 ZINC000328653646 409945905 /nfs/dbraw/zinc/94/59/05/409945905.db2.gz CHNFVEPOXSCJSQ-UONOGXRCSA-N 1 2 318.425 1.141 20 30 DDEDLO O=C(C[N@H+]1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O)NC(C1CC1)C1CC1 ZINC000328975327 410029857 /nfs/dbraw/zinc/02/98/57/410029857.db2.gz PWEMMJNTVIRNMD-XHSDSOJGSA-N 1 2 322.449 1.993 20 30 DDEDLO O=C(C[N@@H+]1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O)NC(C1CC1)C1CC1 ZINC000328975327 410029862 /nfs/dbraw/zinc/02/98/62/410029862.db2.gz PWEMMJNTVIRNMD-XHSDSOJGSA-N 1 2 322.449 1.993 20 30 DDEDLO C[C@H](C[C@@H]1CCCO1)NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000328891262 410003654 /nfs/dbraw/zinc/00/36/54/410003654.db2.gz SFFKHNZFLVMTRI-QPSCCSFWSA-N 1 2 311.426 1.263 20 30 DDEDLO C[C@H](C[C@@H]1CCCO1)NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000328891262 410003658 /nfs/dbraw/zinc/00/36/58/410003658.db2.gz SFFKHNZFLVMTRI-QPSCCSFWSA-N 1 2 311.426 1.263 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNC(=O)[C@H]3CCC(=O)N3)c[nH+]2)CCO1 ZINC000329136172 410122248 /nfs/dbraw/zinc/12/22/48/410122248.db2.gz GVMIMWMTROSSEK-DGCLKSJQSA-N 1 2 318.377 1.882 20 30 DDEDLO CC(C)[C@@H]1C[C@H](NC(=O)N[C@H](C)C[NH+]2CCOCC2)CCO1 ZINC000329146241 410128247 /nfs/dbraw/zinc/12/82/47/410128247.db2.gz OFPGAQNTBXSSOG-KFWWJZLASA-N 1 2 313.442 1.414 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1cccc2c1OCO2)[NH+]1CCOCC1 ZINC000329289260 410213051 /nfs/dbraw/zinc/21/30/51/410213051.db2.gz ACGIGSYXIGYWSG-NWDGAFQWSA-N 1 2 321.377 1.850 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)CCNC(=O)c2ccsc2)C1 ZINC000329228545 410178595 /nfs/dbraw/zinc/17/85/95/410178595.db2.gz ISQRXMNJQYNCRH-CYBMUJFWSA-N 1 2 324.450 1.645 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)CCNC(=O)c2ccsc2)C1 ZINC000329228545 410178601 /nfs/dbraw/zinc/17/86/01/410178601.db2.gz ISQRXMNJQYNCRH-CYBMUJFWSA-N 1 2 324.450 1.645 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)CCc2nc3ccccc3o2)C1 ZINC000329391726 410272307 /nfs/dbraw/zinc/27/23/07/410272307.db2.gz VMEKCPMBLMMWAB-CYBMUJFWSA-N 1 2 316.405 1.963 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)CCc2nc3ccccc3o2)C1 ZINC000329391726 410272317 /nfs/dbraw/zinc/27/23/17/410272317.db2.gz VMEKCPMBLMMWAB-CYBMUJFWSA-N 1 2 316.405 1.963 20 30 DDEDLO C[C@H](NC(=O)N1CCN(c2cccc[nH+]2)CC1)[C@H]1CCCOC1 ZINC000329401032 410279423 /nfs/dbraw/zinc/27/94/23/410279423.db2.gz XXUXMWFXDXOUHZ-GJZGRUSLSA-N 1 2 318.421 1.933 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH]c[nH+]c2C1)N1CC[C@@H](C2CCOCC2)C1 ZINC000329500713 410329466 /nfs/dbraw/zinc/32/94/66/410329466.db2.gz ACKWESWMGANZTF-ZIAGYGMSSA-N 1 2 318.421 1.930 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCc2[nH+]c[nH]c2C1)N1CC[C@@H](C2CCOCC2)C1 ZINC000329500713 410329472 /nfs/dbraw/zinc/32/94/72/410329472.db2.gz ACKWESWMGANZTF-ZIAGYGMSSA-N 1 2 318.421 1.930 20 30 DDEDLO CCOC[C@@H]1CCCN(C([O-])=[NH+][C@@H]2CCc3[nH]c[nH+]c3C2)C1 ZINC000329502562 410333133 /nfs/dbraw/zinc/33/31/33/410333133.db2.gz AKRRDNWEDIVFBF-CHWSQXEVSA-N 1 2 306.410 1.930 20 30 DDEDLO CCOC[C@@H]1CCCN(C([O-])=[NH+][C@@H]2CCc3[nH+]c[nH]c3C2)C1 ZINC000329502562 410333138 /nfs/dbraw/zinc/33/31/38/410333138.db2.gz AKRRDNWEDIVFBF-CHWSQXEVSA-N 1 2 306.410 1.930 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@H+]1CCC[C@@H](CO)C1 ZINC000298665347 410337509 /nfs/dbraw/zinc/33/75/09/410337509.db2.gz MCBHSOWIXZNRAB-LLVKDONJSA-N 1 2 307.781 1.854 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1CCC[C@@H](CO)C1 ZINC000298665347 410337513 /nfs/dbraw/zinc/33/75/13/410337513.db2.gz MCBHSOWIXZNRAB-LLVKDONJSA-N 1 2 307.781 1.854 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N1CCOCC2(CC2)C1 ZINC000329420939 410288485 /nfs/dbraw/zinc/28/84/85/410288485.db2.gz DOFGYKDPNRRLFO-ZDUSSCGKSA-N 1 2 304.394 1.787 20 30 DDEDLO CCCN1C(=O)CC[C@H](C(=O)N[C@H](C)C#N)[C@@H]1c1c[nH+]cn1C ZINC000355281681 410417060 /nfs/dbraw/zinc/41/70/60/410417060.db2.gz XASISXMYIYSOOJ-TYNCELHUSA-N 1 2 317.393 1.138 20 30 DDEDLO Cc1cn2cc(NC(=O)N3C[C@@H]4CC[C@H](O)[C@@H]4C3)ccc2[nH+]1 ZINC000329564479 410363445 /nfs/dbraw/zinc/36/34/45/410363445.db2.gz NXIRGEZYZIRVRZ-YUTCNCBUSA-N 1 2 300.362 1.891 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)C3(c4ccc(C#N)cc4)CC3)C[C@H]21 ZINC000329929190 410486678 /nfs/dbraw/zinc/48/66/78/410486678.db2.gz NHBDVQKQLAFMJA-HZPDHXFCSA-N 1 2 311.385 1.131 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)C3(c4ccc(C#N)cc4)CC3)C[C@H]21 ZINC000329929190 410486685 /nfs/dbraw/zinc/48/66/85/410486685.db2.gz NHBDVQKQLAFMJA-HZPDHXFCSA-N 1 2 311.385 1.131 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000358716343 410531929 /nfs/dbraw/zinc/53/19/29/410531929.db2.gz AQHDLFHNFURHDU-VXGBXAGGSA-N 1 2 306.410 1.342 20 30 DDEDLO Cc1cccc(OCCNC(=O)[C@@H](C)[N@@H+](C)C[C@H](C)C#N)c1 ZINC000299158257 410549324 /nfs/dbraw/zinc/54/93/24/410549324.db2.gz JLGPQSKHVVCPCD-HUUCEWRRSA-N 1 2 303.406 1.970 20 30 DDEDLO Cc1cccc(OCCNC(=O)[C@@H](C)[N@H+](C)C[C@H](C)C#N)c1 ZINC000299158257 410549329 /nfs/dbraw/zinc/54/93/29/410549329.db2.gz JLGPQSKHVVCPCD-HUUCEWRRSA-N 1 2 303.406 1.970 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)[N@@H+](C)C[C@H](C)C#N ZINC000299163859 410553422 /nfs/dbraw/zinc/55/34/22/410553422.db2.gz NCZHYBHJUWVMHJ-MGPQQGTHSA-N 1 2 317.389 1.423 20 30 DDEDLO C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)[N@H+](C)C[C@H](C)C#N ZINC000299163859 410553428 /nfs/dbraw/zinc/55/34/28/410553428.db2.gz NCZHYBHJUWVMHJ-MGPQQGTHSA-N 1 2 317.389 1.423 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+](C)[C@H]1COC[C@@H]1O ZINC000352805935 410682375 /nfs/dbraw/zinc/68/23/75/410682375.db2.gz SYAGEODHUZNQTQ-KBPBESRZSA-N 1 2 322.430 1.595 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+](C)[C@H]1COC[C@@H]1O ZINC000352805935 410682380 /nfs/dbraw/zinc/68/23/80/410682380.db2.gz SYAGEODHUZNQTQ-KBPBESRZSA-N 1 2 322.430 1.595 20 30 DDEDLO COC[C@@H]1C[C@@H](OC)C[N@@H+]1CC(=O)Nc1oc(C)c(C)c1C#N ZINC000330540422 410760062 /nfs/dbraw/zinc/76/00/62/410760062.db2.gz VRMIFPVWFUENOX-QWHCGFSZSA-N 1 2 321.377 1.442 20 30 DDEDLO COC[C@@H]1C[C@@H](OC)C[N@H+]1CC(=O)Nc1oc(C)c(C)c1C#N ZINC000330540422 410760069 /nfs/dbraw/zinc/76/00/69/410760069.db2.gz VRMIFPVWFUENOX-QWHCGFSZSA-N 1 2 321.377 1.442 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@H](c2[nH+]ccn2C)C2CC2)s1 ZINC000359603048 410762827 /nfs/dbraw/zinc/76/28/27/410762827.db2.gz KKSJFTRECCZBOS-LBPRGKRZSA-N 1 2 317.418 2.356 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@@H]2CNc2cnc(C#N)cn2)cn1 ZINC000359658395 410799681 /nfs/dbraw/zinc/79/96/81/410799681.db2.gz CVQVNMMZEJEGLK-GXTWGEPZSA-N 1 2 315.356 1.106 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@@H]2CNc2cnc(C#N)cn2)cn1 ZINC000359658395 410799687 /nfs/dbraw/zinc/79/96/87/410799687.db2.gz CVQVNMMZEJEGLK-GXTWGEPZSA-N 1 2 315.356 1.106 20 30 DDEDLO N#Cc1csc(C[N@H+]2CCC[C@H](C(=O)N3CCCC3)C2)n1 ZINC000356127559 410851932 /nfs/dbraw/zinc/85/19/32/410851932.db2.gz WMXKEEISQYHGOD-LBPRGKRZSA-N 1 2 304.419 1.849 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CCC[C@H](C(=O)N3CCCC3)C2)n1 ZINC000356127559 410851935 /nfs/dbraw/zinc/85/19/35/410851935.db2.gz WMXKEEISQYHGOD-LBPRGKRZSA-N 1 2 304.419 1.849 20 30 DDEDLO C=CC[C@H](CC)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000356366981 410987195 /nfs/dbraw/zinc/98/71/95/410987195.db2.gz LTCHPRXJMSMTBZ-DZGCQCFKSA-N 1 2 320.437 1.954 20 30 DDEDLO C=CC[C@H](CC)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000356366981 410987199 /nfs/dbraw/zinc/98/71/99/410987199.db2.gz LTCHPRXJMSMTBZ-DZGCQCFKSA-N 1 2 320.437 1.954 20 30 DDEDLO C[C@@H](C(=O)NCC[N@@H+]1CCOCC1(C)C)c1cccc(C#N)c1 ZINC000360147164 411074151 /nfs/dbraw/zinc/07/41/51/411074151.db2.gz AREPKDOKVCTGSE-CQSZACIVSA-N 1 2 315.417 1.889 20 30 DDEDLO C[C@@H](C(=O)NCC[N@H+]1CCOCC1(C)C)c1cccc(C#N)c1 ZINC000360147164 411074156 /nfs/dbraw/zinc/07/41/56/411074156.db2.gz AREPKDOKVCTGSE-CQSZACIVSA-N 1 2 315.417 1.889 20 30 DDEDLO COc1ccnc(N2CC[NH+](Cc3ccc(C#N)s3)CC2)n1 ZINC000129599727 196060761 /nfs/dbraw/zinc/06/07/61/196060761.db2.gz WQTBYJYGBNJUPJ-UHFFFAOYSA-N 1 2 315.402 1.741 20 30 DDEDLO C=CCCC1(CNC(=O)[C@H](C)N2CC[NH2+]CC2=O)CCCC1 ZINC000638404607 422890981 /nfs/dbraw/zinc/89/09/81/422890981.db2.gz HGLYIRQHBPLHLA-AWEZNQCLSA-N 1 2 307.438 1.450 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3cncc(C#N)n3)c[nH+]2)C[C@H](C)O1 ZINC000420581590 226513112 /nfs/dbraw/zinc/51/31/12/226513112.db2.gz FQJJBJZFCLCVKG-BETUJISGSA-N 1 2 324.388 1.969 20 30 DDEDLO CCOC(=O)C1(COC)CC[NH+](C[C@@H](C#N)CCC#N)CC1 ZINC000373735955 418454718 /nfs/dbraw/zinc/45/47/18/418454718.db2.gz KTDYTVAVLQTPBW-CQSZACIVSA-N 1 2 307.394 1.722 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N[C@@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000373921019 418473730 /nfs/dbraw/zinc/47/37/30/418473730.db2.gz LJTYBUDJUZLYQN-CVEARBPZSA-N 1 2 317.389 1.833 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN1C(=O)C#Cc1ccc2c(c1)OCO2 ZINC000366721008 418509295 /nfs/dbraw/zinc/50/92/95/418509295.db2.gz KHTNQGXGKXCZBG-AWEZNQCLSA-N 1 2 323.352 1.864 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@H](COC(C)C)C1 ZINC000366740682 418511806 /nfs/dbraw/zinc/51/18/06/418511806.db2.gz TUBVEFQCMWBWCY-HOTGVXAUSA-N 1 2 310.438 1.701 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@H](COC(C)C)C1 ZINC000366740682 418511809 /nfs/dbraw/zinc/51/18/09/418511809.db2.gz TUBVEFQCMWBWCY-HOTGVXAUSA-N 1 2 310.438 1.701 20 30 DDEDLO N#CC1(c2ccccn2)CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC000374408746 418526825 /nfs/dbraw/zinc/52/68/25/418526825.db2.gz KJGWQOLYANOKJL-UHFFFAOYSA-N 1 2 309.373 1.752 20 30 DDEDLO CCN1CCC[N@@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)CC1=O ZINC000190161827 222070413 /nfs/dbraw/zinc/07/04/13/222070413.db2.gz ZBIDSRJTGVYQPS-CQSZACIVSA-N 1 2 320.437 1.272 20 30 DDEDLO CCN1CCC[N@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)CC1=O ZINC000190161827 222070417 /nfs/dbraw/zinc/07/04/17/222070417.db2.gz ZBIDSRJTGVYQPS-CQSZACIVSA-N 1 2 320.437 1.272 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCO[C@@H](c2ccc(CC)cc2)C1 ZINC000248704797 222236586 /nfs/dbraw/zinc/23/65/86/222236586.db2.gz GQLIJIVTRVLMPM-RHSMWYFYSA-N 1 2 300.402 1.760 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCO[C@@H](c2ccc(CC)cc2)C1 ZINC000248704797 222236589 /nfs/dbraw/zinc/23/65/89/222236589.db2.gz GQLIJIVTRVLMPM-RHSMWYFYSA-N 1 2 300.402 1.760 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)OC ZINC000248825123 222237537 /nfs/dbraw/zinc/23/75/37/222237537.db2.gz KEWUMZZBKIOYPP-SQWLQELKSA-N 1 2 306.406 1.461 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)OC ZINC000248825123 222237539 /nfs/dbraw/zinc/23/75/39/222237539.db2.gz KEWUMZZBKIOYPP-SQWLQELKSA-N 1 2 306.406 1.461 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]([C@H](C)C(=O)OC)C1CCC1 ZINC000248888095 222237630 /nfs/dbraw/zinc/23/76/30/222237630.db2.gz RLWBGHIYBIKCHB-QWHCGFSZSA-N 1 2 309.410 1.411 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]([C@H](C)C(=O)OC)C1CCC1 ZINC000248888095 222237634 /nfs/dbraw/zinc/23/76/34/222237634.db2.gz RLWBGHIYBIKCHB-QWHCGFSZSA-N 1 2 309.410 1.411 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)Nc1ncn(C(C)(C)C)n1 ZINC000330853834 418613359 /nfs/dbraw/zinc/61/33/59/418613359.db2.gz YBMHLAGAYAPUER-UHFFFAOYSA-N 1 2 319.413 2.412 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@H]2CCc3[nH+]c(C(C)C)[nH]c3C2)c1C#N ZINC000377217982 418706504 /nfs/dbraw/zinc/70/65/04/418706504.db2.gz QEIWMJYBPJGXNP-JTQLQIEISA-N 1 2 312.377 2.180 20 30 DDEDLO Cc1[nH]nc(NC(=O)[C@H]2CCc3[nH]c(C(C)C)[nH+]c3C2)c1C#N ZINC000377217982 418706505 /nfs/dbraw/zinc/70/65/05/418706505.db2.gz QEIWMJYBPJGXNP-JTQLQIEISA-N 1 2 312.377 2.180 20 30 DDEDLO CN(C[C@@H]1CCn2cc[nH+]c2C1)S(=O)(=O)CCC(C)(C)C#N ZINC000377827663 418715722 /nfs/dbraw/zinc/71/57/22/418715722.db2.gz YSFWLAPXZDCCKA-CYBMUJFWSA-N 1 2 324.450 1.647 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000378197295 418720000 /nfs/dbraw/zinc/72/00/00/418720000.db2.gz XIUPHFGIYLTGHS-DGCLKSJQSA-N 1 2 310.357 1.722 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000378197295 418720003 /nfs/dbraw/zinc/72/00/03/418720003.db2.gz XIUPHFGIYLTGHS-DGCLKSJQSA-N 1 2 310.357 1.722 20 30 DDEDLO N#Cc1c(F)ccc(C[N@H+]2CCC[C@](O)(C(N)=O)C2)c1Cl ZINC000375537953 418656829 /nfs/dbraw/zinc/65/68/29/418656829.db2.gz VPCLJQHDQURCPI-CQSZACIVSA-N 1 2 311.744 1.163 20 30 DDEDLO N#Cc1c(F)ccc(C[N@@H+]2CCC[C@](O)(C(N)=O)C2)c1Cl ZINC000375537953 418656830 /nfs/dbraw/zinc/65/68/30/418656830.db2.gz VPCLJQHDQURCPI-CQSZACIVSA-N 1 2 311.744 1.163 20 30 DDEDLO CN(C)S(=O)(=O)CC[N@@H+]1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000375574464 418657402 /nfs/dbraw/zinc/65/74/02/418657402.db2.gz OMOXZOITFBMHDS-CVEARBPZSA-N 1 2 321.446 1.650 20 30 DDEDLO CN(C)S(=O)(=O)CC[N@H+]1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000375574464 418657405 /nfs/dbraw/zinc/65/74/05/418657405.db2.gz OMOXZOITFBMHDS-CVEARBPZSA-N 1 2 321.446 1.650 20 30 DDEDLO N#CC1(c2ccccn2)CC[NH+](CC(=O)NC2CCCC2)CC1 ZINC000369207200 418729639 /nfs/dbraw/zinc/72/96/39/418729639.db2.gz OTWYUKDUYZQNRS-UHFFFAOYSA-N 1 2 312.417 1.998 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CCCC(C)(C)C)CC1 ZINC000369309787 418732174 /nfs/dbraw/zinc/73/21/74/418732174.db2.gz IBAKZTZSRACZAH-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CCCC(C)(C)C)CC1 ZINC000369309787 418732176 /nfs/dbraw/zinc/73/21/76/418732176.db2.gz IBAKZTZSRACZAH-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)C2(C#N)CCOCC2)C1 ZINC000369371032 418732781 /nfs/dbraw/zinc/73/27/81/418732781.db2.gz SMQQKIYWAQVNJO-CYBMUJFWSA-N 1 2 302.378 1.446 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(S(=O)(=O)CCCCC#N)C1 ZINC000369367570 418733233 /nfs/dbraw/zinc/73/32/33/418733233.db2.gz AQHAJNFXPPHLAX-ZDUSSCGKSA-N 1 2 310.423 1.623 20 30 DDEDLO N#C[C@H]1C[N@@H+](CCn2cccn2)C[C@]12C(=O)Nc1ccccc12 ZINC000369522823 418734412 /nfs/dbraw/zinc/73/44/12/418734412.db2.gz ZOOMKGYVKRKMFD-GUYCJALGSA-N 1 2 307.357 1.229 20 30 DDEDLO N#C[C@H]1C[N@H+](CCn2cccn2)C[C@]12C(=O)Nc1ccccc12 ZINC000369522823 418734415 /nfs/dbraw/zinc/73/44/15/418734415.db2.gz ZOOMKGYVKRKMFD-GUYCJALGSA-N 1 2 307.357 1.229 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@H]3OCC[C@H]32)c(C#N)c1C ZINC000369536533 418735228 /nfs/dbraw/zinc/73/52/28/418735228.db2.gz IIHUTOKQGMKMAO-ZIAGYGMSSA-N 1 2 303.362 1.960 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@H]3OCC[C@H]32)c(C#N)c1C ZINC000369536533 418735231 /nfs/dbraw/zinc/73/52/31/418735231.db2.gz IIHUTOKQGMKMAO-ZIAGYGMSSA-N 1 2 303.362 1.960 20 30 DDEDLO C=C(Br)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000368899581 418726605 /nfs/dbraw/zinc/72/66/05/418726605.db2.gz LJYHCSPLCXXYBG-LBPRGKRZSA-N 1 2 317.227 1.608 20 30 DDEDLO C=C(Br)C[N@H+]1CCCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000368899581 418726607 /nfs/dbraw/zinc/72/66/07/418726607.db2.gz LJYHCSPLCXXYBG-LBPRGKRZSA-N 1 2 317.227 1.608 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H](OC)C[C@@H]1CC(=O)OCC ZINC000372288672 418852280 /nfs/dbraw/zinc/85/22/80/418852280.db2.gz LXIHPHWLKCMPGB-GHMZBOCLSA-N 1 2 306.200 1.938 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H](OC)C[C@@H]1CC(=O)OCC ZINC000372288672 418852282 /nfs/dbraw/zinc/85/22/82/418852282.db2.gz LXIHPHWLKCMPGB-GHMZBOCLSA-N 1 2 306.200 1.938 20 30 DDEDLO C=CC[C@H]1N(C(=O)C(=O)N2CC[NH+](CC)CC2)CCCC1(C)C ZINC000411006446 418863345 /nfs/dbraw/zinc/86/33/45/418863345.db2.gz XVKKOSVDRPNADD-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNc1nccc(C#N)c1[N+](=O)[O-])CCC2 ZINC000372744369 418898374 /nfs/dbraw/zinc/89/83/74/418898374.db2.gz UTOXEJYOMIXFOX-LBPRGKRZSA-N 1 2 312.333 2.356 20 30 DDEDLO C=CCCOCCNC(=O)N(C)Cc1cn2c([nH+]1)CCCC2 ZINC000372962551 418917271 /nfs/dbraw/zinc/91/72/71/418917271.db2.gz WGEPITMRIQMMHB-UHFFFAOYSA-N 1 2 306.410 1.954 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H](C(=O)N(C)C)C2)c(C#N)c1C ZINC000411935024 419505952 /nfs/dbraw/zinc/50/59/52/419505952.db2.gz KSGSRRVDMSQZJJ-GFCCVEGCSA-N 1 2 318.377 1.117 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H](C(=O)N(C)C)C2)c(C#N)c1C ZINC000411935024 419505964 /nfs/dbraw/zinc/50/59/64/419505964.db2.gz KSGSRRVDMSQZJJ-GFCCVEGCSA-N 1 2 318.377 1.117 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(c2ccc(C#N)cc2)C1=O)c1csnn1 ZINC000412035291 419583525 /nfs/dbraw/zinc/58/35/25/419583525.db2.gz HCODBCMBHSVDBA-ZWNOBZJWSA-N 1 2 313.386 1.866 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CN1C(=O)[C@H]2CC[C@@](C)(C1=O)C2(C)C ZINC000414113710 419811021 /nfs/dbraw/zinc/81/10/21/419811021.db2.gz SKBOEFSDCDGSBI-XNJGSVPQSA-N 1 2 321.421 1.004 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CN1C(=O)[C@H]2CC[C@@](C)(C1=O)C2(C)C ZINC000414113710 419811031 /nfs/dbraw/zinc/81/10/31/419811031.db2.gz SKBOEFSDCDGSBI-XNJGSVPQSA-N 1 2 321.421 1.004 20 30 DDEDLO C=C(C)COCCNC(=O)N(C)Cc1cn2c([nH+]1)CCCC2 ZINC000428793844 419931939 /nfs/dbraw/zinc/93/19/39/419931939.db2.gz LSHJNFIDCMNQHN-UHFFFAOYSA-N 1 2 306.410 1.954 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C1(CO)CCC1 ZINC000429269566 419995423 /nfs/dbraw/zinc/99/54/23/419995423.db2.gz VYOUGQSSYZSCET-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C1(CO)CCC1 ZINC000429269566 419995425 /nfs/dbraw/zinc/99/54/25/419995425.db2.gz VYOUGQSSYZSCET-UHFFFAOYSA-N 1 2 308.403 1.179 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NC[C@H](c1ccccc1Cl)[NH+](C)C ZINC000416368487 420344692 /nfs/dbraw/zinc/34/46/92/420344692.db2.gz GZJYYOIMWQAFRJ-ZWNOBZJWSA-N 1 2 315.826 1.774 20 30 DDEDLO N#C[C@H]1CC[C@@H](NC(=O)N2CC[NH+](Cc3ccccn3)CC2)C1 ZINC000425604176 420423050 /nfs/dbraw/zinc/42/30/50/420423050.db2.gz ARVPQJBVMFBTLU-LSDHHAIUSA-N 1 2 313.405 1.601 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)NCc1ccc(N(C)C)[nH+]c1 ZINC000437635525 420416786 /nfs/dbraw/zinc/41/67/86/420416786.db2.gz PEVAGRXSSWVLSS-GDBMZVCRSA-N 1 2 318.421 1.928 20 30 DDEDLO Cc1cc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)n(C)n1 ZINC000417802545 420482700 /nfs/dbraw/zinc/48/27/00/420482700.db2.gz VQDUOBNPSLTMIC-ZDUSSCGKSA-N 1 2 311.393 1.101 20 30 DDEDLO Cc1cc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)n(C)n1 ZINC000417802545 420482704 /nfs/dbraw/zinc/48/27/04/420482704.db2.gz VQDUOBNPSLTMIC-ZDUSSCGKSA-N 1 2 311.393 1.101 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)NCCc2cccc(C#N)c2)c(C)[nH+]1 ZINC000440129406 420556645 /nfs/dbraw/zinc/55/66/45/420556645.db2.gz BMMJGXAVADTGKX-UHFFFAOYSA-N 1 2 322.368 1.868 20 30 DDEDLO N#Cc1cccc(N(CC[NH+]2CCOCC2)Cc2ccco2)n1 ZINC000450939383 420565466 /nfs/dbraw/zinc/56/54/66/420565466.db2.gz LJBAYGYRQZDYSR-UHFFFAOYSA-N 1 2 312.373 1.885 20 30 DDEDLO C=CC[N@@H+](CCC(=O)OC(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000440298171 420567096 /nfs/dbraw/zinc/56/70/96/420567096.db2.gz PJNIWGFAMZHHNE-LBPRGKRZSA-N 1 2 303.424 1.393 20 30 DDEDLO C=CC[N@H+](CCC(=O)OC(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000440298171 420567097 /nfs/dbraw/zinc/56/70/97/420567097.db2.gz PJNIWGFAMZHHNE-LBPRGKRZSA-N 1 2 303.424 1.393 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CC[NH+](CC(=O)N(CC)CC)CC1 ZINC000458993401 420778045 /nfs/dbraw/zinc/77/80/45/420778045.db2.gz XCBKMEDIZLUFCA-UHFFFAOYSA-N 1 2 324.469 1.394 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)NCc2ccc(C#N)c(F)c2)c1 ZINC000459125906 420810791 /nfs/dbraw/zinc/81/07/91/420810791.db2.gz RKVOCJAYXOZPOX-UHFFFAOYSA-N 1 2 301.325 1.473 20 30 DDEDLO C=CCCCCCN(C)C(=O)C(=O)N1CC[NH+](C2CC2)CC1 ZINC000459940465 420900640 /nfs/dbraw/zinc/90/06/40/420900640.db2.gz XTFQOYAFFKMVAX-UHFFFAOYSA-N 1 2 307.438 1.498 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)[C@@]2(C#N)C[C@H]2C)CC1 ZINC000448903350 420912284 /nfs/dbraw/zinc/91/22/84/420912284.db2.gz YGJMTBMPSZRRGE-RDTXWAMCSA-N 1 2 313.401 1.889 20 30 DDEDLO C=CCC[C@H]([NH2+]Cc1ccc2c(c1)nnn2C)C(=O)OCC ZINC000449658692 421049331 /nfs/dbraw/zinc/04/93/31/421049331.db2.gz UDUAQWDDZPVSQO-ZDUSSCGKSA-N 1 2 302.378 1.956 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@]2(C1)CC(=O)c1ccccc1O2 ZINC000491386517 421197327 /nfs/dbraw/zinc/19/73/27/421197327.db2.gz VBIFRSCINYYMPK-FZKQIMNGSA-N 1 2 312.369 1.234 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@]2(C1)CC(=O)c1ccccc1O2 ZINC000491386517 421197329 /nfs/dbraw/zinc/19/73/29/421197329.db2.gz VBIFRSCINYYMPK-FZKQIMNGSA-N 1 2 312.369 1.234 20 30 DDEDLO CC(=O)Nc1ccccc1C[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000525757104 421299946 /nfs/dbraw/zinc/29/99/46/421299946.db2.gz DHTKPWXQGIFJDV-KRWDZBQOSA-N 1 2 322.368 1.833 20 30 DDEDLO CC(=O)Nc1ccccc1C[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000525757105 421300231 /nfs/dbraw/zinc/30/02/31/421300231.db2.gz DHTKPWXQGIFJDV-QGZVFWFLSA-N 1 2 322.368 1.833 20 30 DDEDLO CC[C@@H](CC#N)NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000527129573 421359080 /nfs/dbraw/zinc/35/90/80/421359080.db2.gz MBTPPEVGZDPFIV-NSHDSACASA-N 1 2 313.361 1.629 20 30 DDEDLO COCCO[C@@H]1CC[N@H+](Cc2cccc(C(=O)OC)c2C#N)C1 ZINC000548077096 421396823 /nfs/dbraw/zinc/39/68/23/421396823.db2.gz STTBIHNLMLFFBV-CQSZACIVSA-N 1 2 318.373 1.582 20 30 DDEDLO COCCO[C@@H]1CC[N@@H+](Cc2cccc(C(=O)OC)c2C#N)C1 ZINC000548077096 421396826 /nfs/dbraw/zinc/39/68/26/421396826.db2.gz STTBIHNLMLFFBV-CQSZACIVSA-N 1 2 318.373 1.582 20 30 DDEDLO Cc1nsc(NC[C@@]2(CO)COCC3(CCC3)[N@H+]2C)c1C#N ZINC000528126707 421470407 /nfs/dbraw/zinc/47/04/07/421470407.db2.gz AFONVHXABIEMJL-OAHLLOKOSA-N 1 2 322.434 1.351 20 30 DDEDLO Cc1nsc(NC[C@@]2(CO)COCC3(CCC3)[N@@H+]2C)c1C#N ZINC000528126707 421470410 /nfs/dbraw/zinc/47/04/10/421470410.db2.gz AFONVHXABIEMJL-OAHLLOKOSA-N 1 2 322.434 1.351 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000528386468 421485901 /nfs/dbraw/zinc/48/59/01/421485901.db2.gz IUFAXLBEHCYCEE-HWZVDKPASA-N 1 2 322.430 1.139 20 30 DDEDLO C[C@@H]1[C@H](C)[S@@](=O)CC[N@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000528386468 421485903 /nfs/dbraw/zinc/48/59/03/421485903.db2.gz IUFAXLBEHCYCEE-HWZVDKPASA-N 1 2 322.430 1.139 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1cccc(C[S@](C)=O)c1 ZINC000564722965 421592614 /nfs/dbraw/zinc/59/26/14/421592614.db2.gz AHDOZMGJFKCRLV-VFNWGFHPSA-N 1 2 309.435 1.183 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1cccc(C[S@](C)=O)c1 ZINC000564722965 421592616 /nfs/dbraw/zinc/59/26/16/421592616.db2.gz AHDOZMGJFKCRLV-VFNWGFHPSA-N 1 2 309.435 1.183 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)N(C)c1ccccc1C(=O)OC ZINC000566688206 421608077 /nfs/dbraw/zinc/60/80/77/421608077.db2.gz XIYUUXJKQIMPFC-LBPRGKRZSA-N 1 2 303.362 1.718 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000518230735 421645199 /nfs/dbraw/zinc/64/51/99/421645199.db2.gz KNRFLBOMLAZWEB-UHFFFAOYSA-N 1 2 317.364 1.221 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H](N[C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000533818086 421683394 /nfs/dbraw/zinc/68/33/94/421683394.db2.gz BJOJMSKUTSQJDE-MSOLQXFVSA-N 1 2 320.396 1.861 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H](N[C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000533818086 421683397 /nfs/dbraw/zinc/68/33/97/421683397.db2.gz BJOJMSKUTSQJDE-MSOLQXFVSA-N 1 2 320.396 1.861 20 30 DDEDLO CN1Cc2ccccc2[C@H]([NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000533818086 421683399 /nfs/dbraw/zinc/68/33/99/421683399.db2.gz BJOJMSKUTSQJDE-MSOLQXFVSA-N 1 2 320.396 1.861 20 30 DDEDLO N#CC1(NC(=O)c2ccc(C[NH+]3CCOCC3)cn2)CCCC1 ZINC000540542500 421766772 /nfs/dbraw/zinc/76/67/72/421766772.db2.gz ATWDYSMLMVCVNR-UHFFFAOYSA-N 1 2 314.389 1.480 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000572175154 421767254 /nfs/dbraw/zinc/76/72/54/421767254.db2.gz ASBWGIGIJBFCHJ-LBPRGKRZSA-N 1 2 302.334 1.766 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)[C@@H](C)[NH+]1CC(C)C1 ZINC000572422120 421786725 /nfs/dbraw/zinc/78/67/25/421786725.db2.gz SSHIXMRSSKZRRL-CYBMUJFWSA-N 1 2 324.388 1.726 20 30 DDEDLO C=CCOc1ccc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000573568555 421982985 /nfs/dbraw/zinc/98/29/85/421982985.db2.gz YKVZPICMOWZMFS-KRWDZBQOSA-N 1 2 301.390 1.754 20 30 DDEDLO C=CCCCS(=O)(=O)N[C@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000574255611 422080289 /nfs/dbraw/zinc/08/02/89/422080289.db2.gz BEZBRSQKTUQDSK-LSDHHAIUSA-N 1 2 316.467 1.515 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCO[C@@H](CC(N)=O)C2)cc(OC)c1O ZINC000628474672 422189217 /nfs/dbraw/zinc/18/92/17/422189217.db2.gz KTLVJCURYHFFJC-AWEZNQCLSA-N 1 2 320.389 1.206 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCO[C@@H](CC(N)=O)C2)cc(OC)c1O ZINC000628474672 422189220 /nfs/dbraw/zinc/18/92/20/422189220.db2.gz KTLVJCURYHFFJC-AWEZNQCLSA-N 1 2 320.389 1.206 20 30 DDEDLO C[C@H]([NH2+]CCS(=O)(=O)c1ccc(C#N)cn1)c1nccs1 ZINC000584227094 422287011 /nfs/dbraw/zinc/28/70/11/422287011.db2.gz DXEUIFMSLNJPRU-JTQLQIEISA-N 1 2 322.415 1.534 20 30 DDEDLO CCc1nc(C#N)cc(NCc2ccc[nH+]c2N2CCOCC2)n1 ZINC000596072757 422361713 /nfs/dbraw/zinc/36/17/13/422361713.db2.gz HJXBVNUJGMLOHZ-UHFFFAOYSA-N 1 2 324.388 1.754 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2C)cc1OC ZINC000628924087 422402344 /nfs/dbraw/zinc/40/23/44/422402344.db2.gz BWWWEJDUQDCDGS-CQSZACIVSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CCOc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2C)cc1OC ZINC000628924087 422402350 /nfs/dbraw/zinc/40/23/50/422402350.db2.gz BWWWEJDUQDCDGS-CQSZACIVSA-N 1 2 320.389 1.320 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCN(Cc3[nH+]ccn3CC)CC2)C1 ZINC000631231594 422617396 /nfs/dbraw/zinc/61/73/96/422617396.db2.gz HVDGFRGGZHNHKQ-UHFFFAOYSA-N 1 2 302.422 1.904 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)Nc2ccccc2C)nn1 ZINC000640833845 423221640 /nfs/dbraw/zinc/22/16/40/423221640.db2.gz NBNSNZXFSOAJCN-CQSZACIVSA-N 1 2 311.389 1.899 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)COCc2cccs2)nn1 ZINC000641197457 423468470 /nfs/dbraw/zinc/46/84/70/423468470.db2.gz HDQGRAVMIQBCLE-CQSZACIVSA-N 1 2 320.418 1.030 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H]([C@@H](O)C(F)(F)F)C2)nn1 ZINC000653643275 423563391 /nfs/dbraw/zinc/56/33/91/423563391.db2.gz KIJMAMDSNANQDF-WCQYABFASA-N 1 2 318.343 1.989 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H]([C@@H](O)C(F)(F)F)C2)nn1 ZINC000653643275 423563397 /nfs/dbraw/zinc/56/33/97/423563397.db2.gz KIJMAMDSNANQDF-WCQYABFASA-N 1 2 318.343 1.989 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000641523469 423694612 /nfs/dbraw/zinc/69/46/12/423694612.db2.gz MXCDWDPUKGRIJT-CABCVRRESA-N 1 2 322.430 1.296 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000641523469 423694618 /nfs/dbraw/zinc/69/46/18/423694618.db2.gz MXCDWDPUKGRIJT-CABCVRRESA-N 1 2 322.430 1.296 20 30 DDEDLO C=CCN(C(=O)NCc1ccc(N(C)C)[nH+]c1)[C@H](C)COC ZINC000663623861 424015158 /nfs/dbraw/zinc/01/51/58/424015158.db2.gz HOPIKNAOCMGEDG-CYBMUJFWSA-N 1 2 306.410 1.880 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000645199456 424232789 /nfs/dbraw/zinc/23/27/89/424232789.db2.gz FFFGCLROEVNFDB-ZDUSSCGKSA-N 1 2 300.362 1.216 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCO[C@@](C)(C(F)F)C1 ZINC000662373971 424592173 /nfs/dbraw/zinc/59/21/73/424592173.db2.gz LNIQSTPQKKLOEW-IUODEOHRSA-N 1 2 302.365 1.932 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCO[C@@](C)(C(F)F)C1 ZINC000662373971 424592177 /nfs/dbraw/zinc/59/21/77/424592177.db2.gz LNIQSTPQKKLOEW-IUODEOHRSA-N 1 2 302.365 1.932 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@H](C)c2nnc3n2CCCCC3)C1=O ZINC000660265208 424616437 /nfs/dbraw/zinc/61/64/37/424616437.db2.gz IRAHLYNFBBPAFW-CHWSQXEVSA-N 1 2 303.410 1.442 20 30 DDEDLO CCS(=O)(=O)N1CC[N@H+](Cc2cccc(C#N)c2)[C@H](C)C1 ZINC000347290976 266338462 /nfs/dbraw/zinc/33/84/62/266338462.db2.gz MVUVORCDVDFKIR-CYBMUJFWSA-N 1 2 307.419 1.414 20 30 DDEDLO CCS(=O)(=O)N1CC[N@@H+](Cc2cccc(C#N)c2)[C@H](C)C1 ZINC000347290976 266338465 /nfs/dbraw/zinc/33/84/65/266338465.db2.gz MVUVORCDVDFKIR-CYBMUJFWSA-N 1 2 307.419 1.414 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@H+](Cc1cccc(C#N)c1F)C2 ZINC000348355984 266471649 /nfs/dbraw/zinc/47/16/49/266471649.db2.gz YQEGLLZOJQCBAZ-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@@H+](Cc1cccc(C#N)c1F)C2 ZINC000348355984 266471651 /nfs/dbraw/zinc/47/16/51/266471651.db2.gz YQEGLLZOJQCBAZ-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)c2cnccc2C#N)CC1 ZINC000342609524 267002994 /nfs/dbraw/zinc/00/29/94/267002994.db2.gz RPWGBRMJPNEBIO-AWEZNQCLSA-N 1 2 321.384 1.867 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cnc3ccc(C#N)cn23)C[C@]2(CCOC2)O1 ZINC000376014785 267055475 /nfs/dbraw/zinc/05/54/75/267055475.db2.gz OJIBIFZFNKUWPH-DYVFJYSZSA-N 1 2 312.373 1.586 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cnc3ccc(C#N)cn23)C[C@]2(CCOC2)O1 ZINC000376014785 267055478 /nfs/dbraw/zinc/05/54/78/267055478.db2.gz OJIBIFZFNKUWPH-DYVFJYSZSA-N 1 2 312.373 1.586 20 30 DDEDLO C[C@H](C(=O)NCC(=O)c1ccc(C#N)cc1)[NH+]1CCSCC1 ZINC000356177672 267132590 /nfs/dbraw/zinc/13/25/90/267132590.db2.gz BSFZSNSGPWHKHQ-GFCCVEGCSA-N 1 2 317.414 1.294 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)[C@@H](C)c1cccc(C#N)c1 ZINC000360488305 267157969 /nfs/dbraw/zinc/15/79/69/267157969.db2.gz IXRBJXLLINMSMV-CABCVRRESA-N 1 2 315.417 1.841 20 30 DDEDLO C[C@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@H](C)S1(=O)=O ZINC000356516741 267234235 /nfs/dbraw/zinc/23/42/35/267234235.db2.gz BCNYZDJQQBSLCV-RYUDHWBXSA-N 1 2 318.402 1.213 20 30 DDEDLO N#Cc1cccc(NCC(=O)NCc2cn3ccccc3[nH+]2)c1 ZINC000351718198 268270086 /nfs/dbraw/zinc/27/00/86/268270086.db2.gz JLCOUZQSWRBACE-UHFFFAOYSA-N 1 2 305.341 1.934 20 30 DDEDLO Cn1cc([C@@H]2CCN(C([O-])=[NH+][C@@H]3CCc4[nH]c[nH+]c4C3)C2)cn1 ZINC000329543541 279347110 /nfs/dbraw/zinc/34/71/10/279347110.db2.gz FNAQTVUCXCSXIW-DGCLKSJQSA-N 1 2 314.393 1.404 20 30 DDEDLO Cn1cc([C@@H]2CCN(C([O-])=[NH+][C@@H]3CCc4[nH+]c[nH]c4C3)C2)cn1 ZINC000329543541 279347112 /nfs/dbraw/zinc/34/71/12/279347112.db2.gz FNAQTVUCXCSXIW-DGCLKSJQSA-N 1 2 314.393 1.404 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NC2(C#N)CCCCC2)C[C@@]2(CCOC2)O1 ZINC000366578669 300239502 /nfs/dbraw/zinc/23/95/02/300239502.db2.gz VWIYPTZVDOUBLC-WMLDXEAASA-N 1 2 321.421 1.209 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C[C@@]2(CCOC2)O1 ZINC000366578669 300239506 /nfs/dbraw/zinc/23/95/06/300239506.db2.gz VWIYPTZVDOUBLC-WMLDXEAASA-N 1 2 321.421 1.209 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@H](C)CN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000535070240 303343485 /nfs/dbraw/zinc/34/34/85/303343485.db2.gz NHXGEPUMOLEHHA-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@H](C)CN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000535070240 303343487 /nfs/dbraw/zinc/34/34/87/303343487.db2.gz NHXGEPUMOLEHHA-GHMZBOCLSA-N 1 2 311.382 1.410 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(c2ccc3cc(C#N)ccc3n2)CC1 ZINC000537877050 303380690 /nfs/dbraw/zinc/38/06/90/303380690.db2.gz RDJZSEJPLIAWGP-UHFFFAOYSA-N 1 2 323.400 1.492 20 30 DDEDLO C[C@H]1C[C@@H](NS(=O)(=O)c2cccc(C#N)c2)c2[nH+]ccn21 ZINC000578150521 308441693 /nfs/dbraw/zinc/44/16/93/308441693.db2.gz DLKVASFSBMMIJR-GXFFZTMASA-N 1 2 302.359 1.739 20 30 DDEDLO CC1(C)C[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)[C@H]1[C@@H]1CCCO1 ZINC000582329812 326012692 /nfs/dbraw/zinc/01/26/92/326012692.db2.gz KLDQZMBPJUZYJR-JYJNAYRXSA-N 1 2 313.401 1.974 20 30 DDEDLO CC1(C)C[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)[C@H]1[C@@H]1CCCO1 ZINC000582329812 326012696 /nfs/dbraw/zinc/01/26/96/326012696.db2.gz KLDQZMBPJUZYJR-JYJNAYRXSA-N 1 2 313.401 1.974 20 30 DDEDLO O=C(NCC#Cc1cccc(F)c1)N[C@H]1CCn2cc[nH+]c2C1 ZINC000584184549 331859792 /nfs/dbraw/zinc/85/97/92/331859792.db2.gz KVKVUEVVTTUFAA-HNNXBMFYSA-N 1 2 312.348 1.688 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CC[NH+]([C@H](C)c2cccc(F)c2)CC1 ZINC000091689740 332080043 /nfs/dbraw/zinc/08/00/43/332080043.db2.gz SAGIPNXBWHLLRF-CABCVRRESA-N 1 2 317.408 1.642 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN([C@H](C)c2cccc(F)c2)CC1 ZINC000091689740 332080044 /nfs/dbraw/zinc/08/00/44/332080044.db2.gz SAGIPNXBWHLLRF-CABCVRRESA-N 1 2 317.408 1.642 20 30 DDEDLO N#Cc1csc(C[NH2+][C@H]2CCN(Cc3ccccc3)C2=O)n1 ZINC000584297756 332227987 /nfs/dbraw/zinc/22/79/87/332227987.db2.gz ZCHCCSVMCORGKQ-AWEZNQCLSA-N 1 2 312.398 1.905 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCc1ccc2c(c1)OCCO2 ZINC000582097864 333216152 /nfs/dbraw/zinc/21/61/52/333216152.db2.gz SKFAZRFIBJOTBS-QGZVFWFLSA-N 1 2 317.389 1.350 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@H+](C)[C@H]1CCNC1=O ZINC000340219095 334151064 /nfs/dbraw/zinc/15/10/64/334151064.db2.gz LJEQZQZEAWOSDS-HIFRSBDPSA-N 1 2 314.389 1.142 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+](C)[C@H]1CCNC1=O ZINC000340219095 334151065 /nfs/dbraw/zinc/15/10/65/334151065.db2.gz LJEQZQZEAWOSDS-HIFRSBDPSA-N 1 2 314.389 1.142 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)CC2(C#N)CCCCC2)[C@H](C)C1 ZINC000352624681 336227470 /nfs/dbraw/zinc/22/74/70/336227470.db2.gz ATRWTSAUNPKVAH-CQSZACIVSA-N 1 2 313.467 1.816 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)CC2(C#N)CCCCC2)[C@H](C)C1 ZINC000352624681 336227471 /nfs/dbraw/zinc/22/74/71/336227471.db2.gz ATRWTSAUNPKVAH-CQSZACIVSA-N 1 2 313.467 1.816 20 30 DDEDLO C#CC[N@@H+](CCc1ccc(OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000104093183 336245422 /nfs/dbraw/zinc/24/54/22/336245422.db2.gz FNONZJKVDSJXRY-HNNXBMFYSA-N 1 2 307.415 1.360 20 30 DDEDLO C#CC[N@H+](CCc1ccc(OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000104093183 336245423 /nfs/dbraw/zinc/24/54/23/336245423.db2.gz FNONZJKVDSJXRY-HNNXBMFYSA-N 1 2 307.415 1.360 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+](C)CC(=O)Nc1ccccc1 ZINC000527050761 336281391 /nfs/dbraw/zinc/28/13/91/336281391.db2.gz XLSCHUMBGKUORR-CYBMUJFWSA-N 1 2 314.389 1.508 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+](C)CC(=O)Nc1ccccc1 ZINC000527050761 336281392 /nfs/dbraw/zinc/28/13/92/336281392.db2.gz XLSCHUMBGKUORR-CYBMUJFWSA-N 1 2 314.389 1.508 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N[C@H](c1[nH+]ccn1C)C1CC1 ZINC000582343500 336934982 /nfs/dbraw/zinc/93/49/82/336934982.db2.gz WDQTUAGVGRVCDQ-RDBSUJKOSA-N 1 2 304.394 1.904 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CCOC)[C@H]1CCCc2cccnc21 ZINC000375390419 337010150 /nfs/dbraw/zinc/01/01/50/337010150.db2.gz KBTPNYCSLYQMKR-HNNXBMFYSA-N 1 2 303.406 1.710 20 30 DDEDLO C=CCNC(=O)C[N@H+](CCOC)[C@H]1CCCc2cccnc21 ZINC000375390419 337010151 /nfs/dbraw/zinc/01/01/51/337010151.db2.gz KBTPNYCSLYQMKR-HNNXBMFYSA-N 1 2 303.406 1.710 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)NCC2(C#N)CCC2)CCN1C ZINC000582895305 337212230 /nfs/dbraw/zinc/21/22/30/337212230.db2.gz CPWBIMKXKPWVMU-ZDUSSCGKSA-N 1 2 316.409 1.112 20 30 DDEDLO CN(C)C(=O)O[C@@H]1CC[N@H+](CC(=O)NC2(C#N)CCCCC2)C1 ZINC000496469028 340006064 /nfs/dbraw/zinc/00/60/64/340006064.db2.gz KMMORLIPKYGGLK-CYBMUJFWSA-N 1 2 322.409 1.102 20 30 DDEDLO CN(C)C(=O)O[C@@H]1CC[N@@H+](CC(=O)NC2(C#N)CCCCC2)C1 ZINC000496469028 340006065 /nfs/dbraw/zinc/00/60/65/340006065.db2.gz KMMORLIPKYGGLK-CYBMUJFWSA-N 1 2 322.409 1.102 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C[C@@H](O)COc2ccc(CC#N)cc2)n1 ZINC000516684352 340453853 /nfs/dbraw/zinc/45/38/53/340453853.db2.gz CIQYCQQBYZWKNG-SMDDNHRTSA-N 1 2 316.361 1.535 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(C(C)=O)cc2)CC1 ZINC000128430917 340885106 /nfs/dbraw/zinc/88/51/06/340885106.db2.gz MPDALEDPDBBRAD-UHFFFAOYSA-N 1 2 300.358 1.045 20 30 DDEDLO COC(=O)[C@H]([NH2+]CCC(=O)N(C)CCC#N)C1CCCCC1 ZINC000542619548 341015205 /nfs/dbraw/zinc/01/52/05/341015205.db2.gz HPWOPTJZVOHAEP-OAHLLOKOSA-N 1 2 309.410 1.460 20 30 DDEDLO CCN1CCN(C(=O)C2(C#N)CC(C)C2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000548675382 341269114 /nfs/dbraw/zinc/26/91/14/341269114.db2.gz YJJOHTRMHDUISS-MFOWVQHXSA-N 1 2 301.394 1.555 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cccc([C@@H]2CCOC2)c1 ZINC000566563253 341561881 /nfs/dbraw/zinc/56/18/81/341561881.db2.gz AZEXKPQMYUCILK-WBVHZDCISA-N 1 2 301.390 1.764 20 30 DDEDLO COc1nc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc2ccccc21 ZINC000576764254 341862196 /nfs/dbraw/zinc/86/21/96/341862196.db2.gz DPEDVNGOUUMLLI-KRWDZBQOSA-N 1 2 312.373 1.817 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[NH+](C(C)C)CC2)ccc1C#N ZINC000232639942 484174166 /nfs/dbraw/zinc/17/41/66/484174166.db2.gz MJFVYEYOCFUQDQ-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+]([C@H](C)c2cccc(OC)c2)CC1 ZINC000668239037 485048081 /nfs/dbraw/zinc/04/80/81/485048081.db2.gz FWMGZLLELOALEW-RDTXWAMCSA-N 1 2 318.417 1.837 20 30 DDEDLO O=C(C[C@@H]1CCC[N@@H+]1Cc1nc2ccccc2c(=O)[nH]1)NO ZINC000671996197 485215346 /nfs/dbraw/zinc/21/53/46/485215346.db2.gz PQQQFCJIHKZSJH-JTQLQIEISA-N 1 2 302.334 1.195 20 30 DDEDLO O=C(C[C@@H]1CCC[N@H+]1Cc1nc2ccccc2c(=O)[nH]1)NO ZINC000671996197 485215349 /nfs/dbraw/zinc/21/53/49/485215349.db2.gz PQQQFCJIHKZSJH-JTQLQIEISA-N 1 2 302.334 1.195 20 30 DDEDLO COCC(=O)NC1CC[NH+](CC#Cc2ccccc2Cl)CC1 ZINC000676714744 486300181 /nfs/dbraw/zinc/30/01/81/486300181.db2.gz PMSYURZBTTYBQP-UHFFFAOYSA-N 1 2 320.820 1.919 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000677476269 486476991 /nfs/dbraw/zinc/47/69/91/486476991.db2.gz NBOZECVHOOJYRR-INIZCTEOSA-N 1 2 324.446 1.071 20 30 DDEDLO C[C@H]1C[N@H+](Cc2cn(C)nc2-c2ccc(C#N)cc2)CC(=O)N1 ZINC000294718613 533957748 /nfs/dbraw/zinc/95/77/48/533957748.db2.gz CKAAKSJHTFAISV-LBPRGKRZSA-N 1 2 309.373 1.279 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2cn(C)nc2-c2ccc(C#N)cc2)CC(=O)N1 ZINC000294718613 533957754 /nfs/dbraw/zinc/95/77/54/533957754.db2.gz CKAAKSJHTFAISV-LBPRGKRZSA-N 1 2 309.373 1.279 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCC(C)(C)CC#N ZINC000459226990 534097737 /nfs/dbraw/zinc/09/77/37/534097737.db2.gz AXPWCTNEUNDTPA-UONOGXRCSA-N 1 2 308.426 1.431 20 30 DDEDLO Cc1ccc(-n2c[nH+]c3c2CCN(CC(=O)NCC#N)C3)cc1 ZINC000488037832 534241680 /nfs/dbraw/zinc/24/16/80/534241680.db2.gz WSOJOPWEXARUOY-UHFFFAOYSA-N 1 2 309.373 1.179 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N(C[C@H](C)O)[C@H](C)CO)CCC2 ZINC000331275453 534510714 /nfs/dbraw/zinc/51/07/14/534510714.db2.gz DZRJYMQHRYEDBZ-RDBSUJKOSA-N 1 2 324.425 1.047 20 30 DDEDLO O=C(NC1CN(C(=O)[C@@H]2CCn3c[nH+]cc3C2)C1)C1CCC1 ZINC000330156608 534594465 /nfs/dbraw/zinc/59/44/65/534594465.db2.gz YUEUSKUWPMNSRW-GFCCVEGCSA-N 1 2 302.378 1.413 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CC[C@H](c2cccc(Br)c2)C1 ZINC000451574442 534674407 /nfs/dbraw/zinc/67/44/07/534674407.db2.gz VUFGHVJBVATVND-LBPRGKRZSA-N 1 2 322.206 1.878 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CC[C@H](c2cccc(Br)c2)C1 ZINC000451574442 534674413 /nfs/dbraw/zinc/67/44/13/534674413.db2.gz VUFGHVJBVATVND-LBPRGKRZSA-N 1 2 322.206 1.878 20 30 DDEDLO Cn1cc(N2CCC[C@@H](NC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC000329879229 534737112 /nfs/dbraw/zinc/73/71/12/534737112.db2.gz LYTCJFLDFHKJCE-GFCCVEGCSA-N 1 2 302.382 1.701 20 30 DDEDLO Cn1cc[nH+]c1CN1C[C@@H](F)C[C@H]1CNC(=O)[C@@H]1CCCOC1 ZINC000329985257 534787148 /nfs/dbraw/zinc/78/71/48/534787148.db2.gz QYMRQVBHPSFHDA-RDBSUJKOSA-N 1 2 324.400 1.716 20 30 DDEDLO N#Cc1ccnc(N2CCN(c3cc(NC4CC4)[nH+]cn3)CC2)c1 ZINC000413042527 534823697 /nfs/dbraw/zinc/82/36/97/534823697.db2.gz MCHSTAWPZKZBQV-UHFFFAOYSA-N 1 2 321.388 1.644 20 30 DDEDLO N#Cc1ccnc(N2CCN(c3cc(NC4CC4)nc[nH+]3)CC2)c1 ZINC000413042527 534823702 /nfs/dbraw/zinc/82/37/02/534823702.db2.gz MCHSTAWPZKZBQV-UHFFFAOYSA-N 1 2 321.388 1.644 20 30 DDEDLO O=C(CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)NCc1c[nH+]c[nH]1 ZINC000329753950 534835901 /nfs/dbraw/zinc/83/59/01/534835901.db2.gz XCAZZSVOIMQANI-TXEJJXNPSA-N 1 2 304.350 1.432 20 30 DDEDLO O=C(CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)NCc1c[nH]c[nH+]1 ZINC000329753950 534835910 /nfs/dbraw/zinc/83/59/10/534835910.db2.gz XCAZZSVOIMQANI-TXEJJXNPSA-N 1 2 304.350 1.432 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(C(=O)C(C)(C)C#N)C1 ZINC000331271716 526370511 /nfs/dbraw/zinc/37/05/11/526370511.db2.gz LQWPQQGCUBRBOY-INIZCTEOSA-N 1 2 309.410 1.458 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2ccccc2C)C1 ZINC000330937249 526401385 /nfs/dbraw/zinc/40/13/85/526401385.db2.gz AMSNKIAINYYZFU-QWHCGFSZSA-N 1 2 304.394 1.530 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2ccccc2C)C1 ZINC000330937249 526401387 /nfs/dbraw/zinc/40/13/87/526401387.db2.gz AMSNKIAINYYZFU-QWHCGFSZSA-N 1 2 304.394 1.530 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2cc(F)cc(F)c2)C1 ZINC000330948920 526403102 /nfs/dbraw/zinc/40/31/02/526403102.db2.gz NBDIYRGPGDBLSE-NSHDSACASA-N 1 2 312.320 1.111 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2cc(F)cc(F)c2)C1 ZINC000330948920 526403105 /nfs/dbraw/zinc/40/31/05/526403105.db2.gz NBDIYRGPGDBLSE-NSHDSACASA-N 1 2 312.320 1.111 20 30 DDEDLO CC(C)(C)OC(=O)N[C@@H]1CC(=O)N(C[NH+]2CCC(C#N)CC2)C1 ZINC000495125002 526481038 /nfs/dbraw/zinc/48/10/38/526481038.db2.gz WJBXKBKYPQEFOP-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)NCC2CCCCC2)C1=O ZINC000337230462 526500095 /nfs/dbraw/zinc/50/00/95/526500095.db2.gz SUOZJVFFSKEPPQ-HNNXBMFYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)NCC2CCCCC2)C1=O ZINC000337230462 526500099 /nfs/dbraw/zinc/50/00/99/526500099.db2.gz SUOZJVFFSKEPPQ-HNNXBMFYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@@H](c3ccccc3)[C@](F)(CO)C2)C1=O ZINC000451281832 526511460 /nfs/dbraw/zinc/51/14/60/526511460.db2.gz JZHSHIYRUWIODI-XYJFISCASA-N 1 2 318.392 1.573 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@@H](c3ccccc3)[C@](F)(CO)C2)C1=O ZINC000451281832 526511467 /nfs/dbraw/zinc/51/14/67/526511467.db2.gz JZHSHIYRUWIODI-XYJFISCASA-N 1 2 318.392 1.573 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH2+][C@@H](c1nc(C)no1)C1CCOCC1 ZINC000431639976 526635471 /nfs/dbraw/zinc/63/54/71/526635471.db2.gz NWVNESIRAYCNIO-GXFFZTMASA-N 1 2 308.382 1.126 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000332908631 526800218 /nfs/dbraw/zinc/80/02/18/526800218.db2.gz PKLTXERWWDBXJI-UAGQMJEPSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000332908631 526800225 /nfs/dbraw/zinc/80/02/25/526800225.db2.gz PKLTXERWWDBXJI-UAGQMJEPSA-N 1 2 322.449 1.727 20 30 DDEDLO C#CCN1C(=O)C[C@H]([N@@H+]2Cc3ccc(O)cc3C3(CC3)C2)C1=O ZINC000491807750 526914371 /nfs/dbraw/zinc/91/43/71/526914371.db2.gz UFLZPSHHTCNRSU-HNNXBMFYSA-N 1 2 310.353 1.000 20 30 DDEDLO C#CCN1C(=O)C[C@H]([N@H+]2Cc3ccc(O)cc3C3(CC3)C2)C1=O ZINC000491807750 526914375 /nfs/dbraw/zinc/91/43/75/526914375.db2.gz UFLZPSHHTCNRSU-HNNXBMFYSA-N 1 2 310.353 1.000 20 30 DDEDLO C=CCOc1cccc(NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)c1 ZINC000350085786 526914819 /nfs/dbraw/zinc/91/48/19/526914819.db2.gz YNPOAPXYQLUWIY-OAHLLOKOSA-N 1 2 318.421 1.619 20 30 DDEDLO C=CCOc1cccc(NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)c1 ZINC000350085786 526914822 /nfs/dbraw/zinc/91/48/22/526914822.db2.gz YNPOAPXYQLUWIY-OAHLLOKOSA-N 1 2 318.421 1.619 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(OCC=C)cc2)CC1 ZINC000490762717 526952361 /nfs/dbraw/zinc/95/23/61/526952361.db2.gz KMIXTNWLSUZMEW-UHFFFAOYSA-N 1 2 314.385 1.408 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@]3(C)CCCO3)n2C2CC2)CC1 ZINC000491742354 526957604 /nfs/dbraw/zinc/95/76/04/526957604.db2.gz GOOSZENTPQWCQJ-QGZVFWFLSA-N 1 2 315.421 1.394 20 30 DDEDLO C#CCNC(=O)CC[NH2+][C@@H](C)c1nc(C2CCCCC2)no1 ZINC000491753988 526985288 /nfs/dbraw/zinc/98/52/88/526985288.db2.gz QVFJNZFITNLOIH-LBPRGKRZSA-N 1 2 304.394 1.907 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C(\C)CCC)CC1 ZINC000490655366 526990032 /nfs/dbraw/zinc/99/00/32/526990032.db2.gz AACYLBCQSQXROW-FYWRMAATSA-N 1 2 305.422 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C(\C)CCC)CC1 ZINC000490655366 526990034 /nfs/dbraw/zinc/99/00/34/526990034.db2.gz AACYLBCQSQXROW-FYWRMAATSA-N 1 2 305.422 1.017 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000491733740 527003415 /nfs/dbraw/zinc/00/34/15/527003415.db2.gz KLBBTWJNJFKZDH-DLBZAZTESA-N 1 2 315.392 1.049 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN([C@@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000491733740 527003420 /nfs/dbraw/zinc/00/34/20/527003420.db2.gz KLBBTWJNJFKZDH-DLBZAZTESA-N 1 2 315.392 1.049 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+](Cc2cnn(C)c2)CC1 ZINC000342746423 527024959 /nfs/dbraw/zinc/02/49/59/527024959.db2.gz WRKDYHWVKGMIRX-UHFFFAOYSA-N 1 2 302.422 1.833 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000330974273 527034967 /nfs/dbraw/zinc/03/49/67/527034967.db2.gz ITUHANIKBVGPPJ-OAHLLOKOSA-N 1 2 322.453 1.495 20 30 DDEDLO C=CC[C@@H](CO)CNc1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000340874346 527084585 /nfs/dbraw/zinc/08/45/85/527084585.db2.gz FDWSLUZMOIOZTG-KGLIPLIRSA-N 1 2 306.410 1.282 20 30 DDEDLO C=CC[C@@H](CO)CNc1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000340874346 527084591 /nfs/dbraw/zinc/08/45/91/527084591.db2.gz FDWSLUZMOIOZTG-KGLIPLIRSA-N 1 2 306.410 1.282 20 30 DDEDLO C=CCCCNC(=O)C(=O)NC[C@@H]1CCCn2cc(C)[nH+]c21 ZINC000342210845 527206808 /nfs/dbraw/zinc/20/68/08/527206808.db2.gz XZYJKHDHGHAKFG-ZDUSSCGKSA-N 1 2 304.394 1.268 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)N1CCN(CC)[C@@H](c2[nH]cc[nH+]2)C1 ZINC000490738518 527252362 /nfs/dbraw/zinc/25/23/62/527252362.db2.gz ASEMXBWWYJLTJE-UONOGXRCSA-N 1 2 303.410 1.600 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000491276900 527252611 /nfs/dbraw/zinc/25/26/11/527252611.db2.gz LIUVXDOXINMKGU-INIZCTEOSA-N 1 2 300.406 1.711 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CCNC(=O)[C@H]1CC ZINC000349740197 527264513 /nfs/dbraw/zinc/26/45/13/527264513.db2.gz AMUFGOCHOFPPCK-HZPDHXFCSA-N 1 2 318.417 1.365 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CCNC(=O)[C@H]1CC ZINC000349740197 527264518 /nfs/dbraw/zinc/26/45/18/527264518.db2.gz AMUFGOCHOFPPCK-HZPDHXFCSA-N 1 2 318.417 1.365 20 30 DDEDLO C#C[C@@H]1COCCN1Cc1c[nH+]c2ccc(Br)cn12 ZINC000491427372 527312087 /nfs/dbraw/zinc/31/20/87/527312087.db2.gz KPOXONIOQXRHCM-GFCCVEGCSA-N 1 2 320.190 1.931 20 30 DDEDLO C=CCn1c(N2CC[C@@H]([NH+]3CCOCC3)C2)nnc1C(C)(C)C ZINC000337809119 527329787 /nfs/dbraw/zinc/32/97/87/527329787.db2.gz KAMSIGMVLMSRLP-CQSZACIVSA-N 1 2 319.453 1.673 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)C(=O)N([C@@H](C)c2ccccc2)C1=O ZINC000491752927 527332243 /nfs/dbraw/zinc/33/22/43/527332243.db2.gz ZSCLMBBVLUIBKW-STQMWFEESA-N 1 2 313.357 1.449 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)C(=O)N([C@@H](C)c2ccccc2)C1=O ZINC000491752927 527332247 /nfs/dbraw/zinc/33/22/47/527332247.db2.gz ZSCLMBBVLUIBKW-STQMWFEESA-N 1 2 313.357 1.449 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc3c(c(OC)c2)OCO3)nn1 ZINC000424169228 527372200 /nfs/dbraw/zinc/37/22/00/527372200.db2.gz HQRMOJKLPYMOGT-UHFFFAOYSA-N 1 2 302.334 1.491 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)Nc1ccc2c(c1)OCO2 ZINC000491682634 527427393 /nfs/dbraw/zinc/42/73/93/527427393.db2.gz SYLUNKFMWSDWEA-ZDUSSCGKSA-N 1 2 302.330 1.078 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)Nc1ccc2c(c1)OCO2 ZINC000491682634 527427400 /nfs/dbraw/zinc/42/74/00/527427400.db2.gz SYLUNKFMWSDWEA-ZDUSSCGKSA-N 1 2 302.330 1.078 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000343980860 527524262 /nfs/dbraw/zinc/52/42/62/527524262.db2.gz OQYLDNCHNACKDF-GUYCJALGSA-N 1 2 318.421 1.630 20 30 DDEDLO CCNc1nc(NC(C)(C)C)nc(NCC(C)(C)CC#N)[nH+]1 ZINC000413412229 528005426 /nfs/dbraw/zinc/00/54/26/528005426.db2.gz RZQBYDPIDQFLBA-UHFFFAOYSA-N 1 2 305.430 1.709 20 30 DDEDLO CCNc1nc(NCC(C)(C)CC#N)nc(NC(C)(C)C)[nH+]1 ZINC000413412229 528005430 /nfs/dbraw/zinc/00/54/30/528005430.db2.gz RZQBYDPIDQFLBA-UHFFFAOYSA-N 1 2 305.430 1.709 20 30 DDEDLO CCNc1nc(NCC(C)(C)CC#N)[nH+]c(NC(C)(C)C)n1 ZINC000413412229 528005431 /nfs/dbraw/zinc/00/54/31/528005431.db2.gz RZQBYDPIDQFLBA-UHFFFAOYSA-N 1 2 305.430 1.709 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@@H+]1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC000330746223 528021000 /nfs/dbraw/zinc/02/10/00/528021000.db2.gz RVKFVJDWDTZHDX-GFCCVEGCSA-N 1 2 322.413 1.123 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@H+]1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC000330746223 528021005 /nfs/dbraw/zinc/02/10/05/528021005.db2.gz RVKFVJDWDTZHDX-GFCCVEGCSA-N 1 2 322.413 1.123 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@@H+]1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000330746223 528021009 /nfs/dbraw/zinc/02/10/09/528021009.db2.gz RVKFVJDWDTZHDX-GFCCVEGCSA-N 1 2 322.413 1.123 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@H+]1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000330746223 528021014 /nfs/dbraw/zinc/02/10/14/528021014.db2.gz RVKFVJDWDTZHDX-GFCCVEGCSA-N 1 2 322.413 1.123 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000330746223 528021018 /nfs/dbraw/zinc/02/10/18/528021018.db2.gz RVKFVJDWDTZHDX-GFCCVEGCSA-N 1 2 322.413 1.123 20 30 DDEDLO CCCCNC(=O)NC(=O)C[N@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000330746223 528021025 /nfs/dbraw/zinc/02/10/25/528021025.db2.gz RVKFVJDWDTZHDX-GFCCVEGCSA-N 1 2 322.413 1.123 20 30 DDEDLO CCNC(=O)c1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000414144558 528261399 /nfs/dbraw/zinc/26/13/99/528261399.db2.gz JRPCAGAQKLWBBN-AWEZNQCLSA-N 1 2 305.378 1.021 20 30 DDEDLO CCNC(=O)c1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000414144558 528261405 /nfs/dbraw/zinc/26/14/05/528261405.db2.gz JRPCAGAQKLWBBN-AWEZNQCLSA-N 1 2 305.378 1.021 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000349444780 528396751 /nfs/dbraw/zinc/39/67/51/528396751.db2.gz GWJSLYIISXJQEI-UHFFFAOYSA-N 1 2 318.402 1.856 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCCC[C@@H]1c1cnn(C)c1 ZINC000330518543 528619994 /nfs/dbraw/zinc/61/99/94/528619994.db2.gz YGABRQAEXMGFFP-GOEBONIOSA-N 1 2 317.437 1.955 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCCC[C@@H]1c1cnn(C)c1 ZINC000330518543 528620003 /nfs/dbraw/zinc/62/00/03/528620003.db2.gz YGABRQAEXMGFFP-GOEBONIOSA-N 1 2 317.437 1.955 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000330850254 528621512 /nfs/dbraw/zinc/62/15/12/528621512.db2.gz DUGOGBMYOZDORM-WCQYABFASA-N 1 2 321.343 1.384 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000330850254 528621515 /nfs/dbraw/zinc/62/15/15/528621515.db2.gz DUGOGBMYOZDORM-WCQYABFASA-N 1 2 321.343 1.384 20 30 DDEDLO CC(C)[C@H](C#N)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000429073292 528907159 /nfs/dbraw/zinc/90/71/59/528907159.db2.gz BJAORAUBOVVRGD-INIZCTEOSA-N 1 2 301.390 1.797 20 30 DDEDLO CCN1CCN(CC(=O)NC(=O)NC2CC2)C[C@H]1c1[nH]cc[nH+]1 ZINC000329923873 529140038 /nfs/dbraw/zinc/14/00/38/529140038.db2.gz HFWZEKOWVIZKJM-LBPRGKRZSA-N 1 2 320.397 1.121 20 30 DDEDLO CCN1CC[N@H+](CCC(=O)Nc2cccc(C#N)c2)[C@H](C)C1=O ZINC000495262092 529142405 /nfs/dbraw/zinc/14/24/05/529142405.db2.gz MWLYGGKKJFBPQV-CYBMUJFWSA-N 1 2 314.389 1.439 20 30 DDEDLO CCN1CC[N@@H+](CCC(=O)Nc2cccc(C#N)c2)[C@H](C)C1=O ZINC000495262092 529142406 /nfs/dbraw/zinc/14/24/06/529142406.db2.gz MWLYGGKKJFBPQV-CYBMUJFWSA-N 1 2 314.389 1.439 20 30 DDEDLO CCCN(Cc1ccc(C)cc1)C(=O)CO[NH+]=C(N)CCO ZINC000121358680 696708912 /nfs/dbraw/zinc/70/89/12/696708912.db2.gz NOSUFXVAXLUYNV-UHFFFAOYSA-N 1 2 307.394 1.405 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccc(C)c3)C2)C1 ZINC000972229612 695182752 /nfs/dbraw/zinc/18/27/52/695182752.db2.gz WYQCNHSBEKBEBD-LJQANCHMSA-N 1 2 312.413 1.935 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccc(C)c3)C2)C1 ZINC000972229612 695182754 /nfs/dbraw/zinc/18/27/54/695182754.db2.gz WYQCNHSBEKBEBD-LJQANCHMSA-N 1 2 312.413 1.935 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CCCCC3)C2)C1 ZINC000972232775 695183899 /nfs/dbraw/zinc/18/38/99/695183899.db2.gz FPFNQVGGBLQPNK-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CCCCC3)C2)C1 ZINC000972232775 695183901 /nfs/dbraw/zinc/18/39/01/695183901.db2.gz FPFNQVGGBLQPNK-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)nc3)C2)C1 ZINC000972297731 695209888 /nfs/dbraw/zinc/20/98/88/695209888.db2.gz MKLOZVPGVFQPJE-KRWDZBQOSA-N 1 2 317.364 1.161 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)nc3)C2)C1 ZINC000972297731 695209891 /nfs/dbraw/zinc/20/98/91/695209891.db2.gz MKLOZVPGVFQPJE-KRWDZBQOSA-N 1 2 317.364 1.161 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3=CCCCCC3)C2)C1 ZINC000972357389 695227100 /nfs/dbraw/zinc/22/71/00/695227100.db2.gz JLBLAWHAUNPBTE-SFHVURJKSA-N 1 2 302.418 1.813 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3=CCCCCC3)C2)C1 ZINC000972357389 695227102 /nfs/dbraw/zinc/22/71/02/695227102.db2.gz JLBLAWHAUNPBTE-SFHVURJKSA-N 1 2 302.418 1.813 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)[nH]nc3Cl)C2)C1 ZINC000972405215 695241561 /nfs/dbraw/zinc/24/15/61/695241561.db2.gz NJAWAXDQDSGRIK-HNNXBMFYSA-N 1 2 324.812 1.474 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c(C)[nH]nc3Cl)C2)C1 ZINC000972405215 695241563 /nfs/dbraw/zinc/24/15/63/695241563.db2.gz NJAWAXDQDSGRIK-HNNXBMFYSA-N 1 2 324.812 1.474 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cn(C)nc3CC)C2)C1 ZINC000972425164 695246972 /nfs/dbraw/zinc/24/69/72/695246972.db2.gz NSWAIMCNJAQSKE-QGZVFWFLSA-N 1 2 318.421 1.085 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cn(C)nc3CC)C2)C1 ZINC000972425164 695246974 /nfs/dbraw/zinc/24/69/74/695246974.db2.gz NSWAIMCNJAQSKE-QGZVFWFLSA-N 1 2 318.421 1.085 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCCC34CC4)C2)C1 ZINC000972435533 695250703 /nfs/dbraw/zinc/25/07/03/695250703.db2.gz OLZRZIZNHFRMCP-QAPCUYQASA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCCC34CC4)C2)C1 ZINC000972435533 695250704 /nfs/dbraw/zinc/25/07/04/695250704.db2.gz OLZRZIZNHFRMCP-QAPCUYQASA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972472287 695259015 /nfs/dbraw/zinc/25/90/15/695259015.db2.gz UNXQXUVHNXBWNH-LNLFQRSKSA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972472287 695259017 /nfs/dbraw/zinc/25/90/17/695259017.db2.gz UNXQXUVHNXBWNH-LNLFQRSKSA-N 1 2 316.445 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C(F)(F)F)C2)C1 ZINC000972493161 695264571 /nfs/dbraw/zinc/26/45/71/695264571.db2.gz BYOIFJRBWRFRLX-WCQYABFASA-N 1 2 306.328 1.674 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C(F)(F)F)C2)C1 ZINC000972493161 695264572 /nfs/dbraw/zinc/26/45/72/695264572.db2.gz BYOIFJRBWRFRLX-WCQYABFASA-N 1 2 306.328 1.674 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3CC(C)C)C2)C1 ZINC000972564870 695284766 /nfs/dbraw/zinc/28/47/66/695284766.db2.gz NIWWLPVQMSQXKN-ZHALLVOQSA-N 1 2 318.461 1.995 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3CC(C)C)C2)C1 ZINC000972564870 695284768 /nfs/dbraw/zinc/28/47/68/695284768.db2.gz NIWWLPVQMSQXKN-ZHALLVOQSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc4c([nH]3)CCC4)C2)C1 ZINC000972635496 695305472 /nfs/dbraw/zinc/30/54/72/695305472.db2.gz REZPAFXPZVBIHA-SFHVURJKSA-N 1 2 313.401 1.054 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc4c([nH]3)CCC4)C2)C1 ZINC000972635496 695305474 /nfs/dbraw/zinc/30/54/74/695305474.db2.gz REZPAFXPZVBIHA-SFHVURJKSA-N 1 2 313.401 1.054 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccc(OC)c3)C2)C1 ZINC000972656934 695310879 /nfs/dbraw/zinc/31/08/79/695310879.db2.gz XKNCYBYAFUDYON-GOSISDBHSA-N 1 2 314.385 1.245 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccc(OC)c3)C2)C1 ZINC000972656934 695310883 /nfs/dbraw/zinc/31/08/83/695310883.db2.gz XKNCYBYAFUDYON-GOSISDBHSA-N 1 2 314.385 1.245 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2nc3cnccc3s2)C(C)(C)C1 ZINC000974803029 695738877 /nfs/dbraw/zinc/73/88/77/695738877.db2.gz SCBSVXGQWHKQKM-ZDUSSCGKSA-N 1 2 314.414 1.765 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2nc3cnccc3s2)C(C)(C)C1 ZINC000974803029 695738879 /nfs/dbraw/zinc/73/88/79/695738879.db2.gz SCBSVXGQWHKQKM-ZDUSSCGKSA-N 1 2 314.414 1.765 20 30 DDEDLO C=CC[N@@H+](CCc1ccccc1)Cn1cc(C(=O)OC)nn1 ZINC000065943199 696348323 /nfs/dbraw/zinc/34/83/23/696348323.db2.gz MDMFSAPBBHHPKD-UHFFFAOYSA-N 1 2 300.362 1.753 20 30 DDEDLO C=CC[N@H+](CCc1ccccc1)Cn1cc(C(=O)OC)nn1 ZINC000065943199 696348325 /nfs/dbraw/zinc/34/83/25/696348325.db2.gz MDMFSAPBBHHPKD-UHFFFAOYSA-N 1 2 300.362 1.753 20 30 DDEDLO CC(C)[NH+]1CCN(S(=O)(=O)c2cccc(F)c2C#N)CC1 ZINC000070397940 696387854 /nfs/dbraw/zinc/38/78/54/696387854.db2.gz URDSUPJILILLJR-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO CNC(=O)[C@@H](C)C[N@H+](C)[C@@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000747967261 700093788 /nfs/dbraw/zinc/09/37/88/700093788.db2.gz QMMYUFPEMYVJJB-SMDDNHRTSA-N 1 2 303.362 1.086 20 30 DDEDLO CNC(=O)[C@@H](C)C[N@@H+](C)[C@@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000747967261 700093791 /nfs/dbraw/zinc/09/37/91/700093791.db2.gz QMMYUFPEMYVJJB-SMDDNHRTSA-N 1 2 303.362 1.086 20 30 DDEDLO C[C@@H](C1CC1)N(C(=O)CO[NH+]=C(N)Cc1cccnc1)C1CC1 ZINC000092916173 696598111 /nfs/dbraw/zinc/59/81/11/696598111.db2.gz KVZVJCFBUQAKNN-LBPRGKRZSA-N 1 2 316.405 1.702 20 30 DDEDLO COCC(=O)N1CCC[N@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000980583648 696806314 /nfs/dbraw/zinc/80/63/14/696806314.db2.gz OAQVRDIDKHFLDR-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO COCC(=O)N1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000980583648 696806317 /nfs/dbraw/zinc/80/63/17/696806317.db2.gz OAQVRDIDKHFLDR-UHFFFAOYSA-N 1 2 305.353 1.378 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cc(=O)c3ccccc3[nH]2)CC1 ZINC000981575873 696825847 /nfs/dbraw/zinc/82/58/47/696825847.db2.gz VOKCWTUVIMBBCC-UHFFFAOYSA-N 1 2 309.369 1.309 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cc(=O)c3ccccc3[nH]2)CC1 ZINC000981575873 696825850 /nfs/dbraw/zinc/82/58/50/696825850.db2.gz VOKCWTUVIMBBCC-UHFFFAOYSA-N 1 2 309.369 1.309 20 30 DDEDLO Cc1ccccc1CNC(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000137645652 696858915 /nfs/dbraw/zinc/85/89/15/696858915.db2.gz DOMKXILIJFUUNN-UHFFFAOYSA-N 1 2 312.373 1.538 20 30 DDEDLO Cn1nccc1C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980809024 696897299 /nfs/dbraw/zinc/89/72/99/696897299.db2.gz VGXBTYMNIPUNBN-UHFFFAOYSA-N 1 2 322.412 1.620 20 30 DDEDLO Cn1nccc1C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980809024 696897302 /nfs/dbraw/zinc/89/73/02/696897302.db2.gz VGXBTYMNIPUNBN-UHFFFAOYSA-N 1 2 322.412 1.620 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2ncoc2[C@H]2CCCO2)CC1 ZINC000981746955 696900857 /nfs/dbraw/zinc/90/08/57/696900857.db2.gz SXEOGJRTGPSGEW-CYBMUJFWSA-N 1 2 303.362 1.307 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2ncoc2[C@H]2CCCO2)CC1 ZINC000981746955 696900862 /nfs/dbraw/zinc/90/08/62/696900862.db2.gz SXEOGJRTGPSGEW-CYBMUJFWSA-N 1 2 303.362 1.307 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+]2CCCN(C(=O)C#CC3CC3)CC2)cn1 ZINC000981126121 697011753 /nfs/dbraw/zinc/01/17/53/697011753.db2.gz POTTZAFJVSTDEU-OAHLLOKOSA-N 1 2 312.417 1.794 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+]2CCCN(C(=O)C#CC3CC3)CC2)cn1 ZINC000981126121 697011756 /nfs/dbraw/zinc/01/17/56/697011756.db2.gz POTTZAFJVSTDEU-OAHLLOKOSA-N 1 2 312.417 1.794 20 30 DDEDLO Cc1noc(C[N@H+]2C[C@@]3(C)CN(C(=O)[C@@H](C)C#N)C[C@@]3(C)C2)n1 ZINC000982236711 697069603 /nfs/dbraw/zinc/06/96/03/697069603.db2.gz OPFWDFFDGQCWEB-KNXALSJPSA-N 1 2 317.393 1.208 20 30 DDEDLO Cc1noc(C[N@@H+]2C[C@@]3(C)CN(C(=O)[C@@H](C)C#N)C[C@@]3(C)C2)n1 ZINC000982236711 697069606 /nfs/dbraw/zinc/06/96/06/697069606.db2.gz OPFWDFFDGQCWEB-KNXALSJPSA-N 1 2 317.393 1.208 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC000981469583 697105391 /nfs/dbraw/zinc/10/53/91/697105391.db2.gz FEZXAIMCFVOWII-ZDUSSCGKSA-N 1 2 313.829 1.142 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC000981469583 697105393 /nfs/dbraw/zinc/10/53/93/697105393.db2.gz FEZXAIMCFVOWII-ZDUSSCGKSA-N 1 2 313.829 1.142 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC([N@H+](C)Cc2nnc(C3CC3)[nH]2)CC1 ZINC000985339030 697493946 /nfs/dbraw/zinc/49/39/46/697493946.db2.gz PKDZYBQNMQFQGF-NSHDSACASA-N 1 2 316.409 1.265 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC([N@@H+](C)Cc2nnc(C3CC3)[nH]2)CC1 ZINC000985339030 697493947 /nfs/dbraw/zinc/49/39/47/697493947.db2.gz PKDZYBQNMQFQGF-NSHDSACASA-N 1 2 316.409 1.265 20 30 DDEDLO CCCCCCCS(=O)(=O)NC[C@H](C)C[NH+]1CCOCC1 ZINC000799773735 700165697 /nfs/dbraw/zinc/16/56/97/700165697.db2.gz WSMHRPALBOLCGI-HNNXBMFYSA-N 1 2 320.499 1.845 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1ccccc1-n1cc[nH+]c1)[C@@H]1CCCO1 ZINC000773232806 697731907 /nfs/dbraw/zinc/73/19/07/697731907.db2.gz FOAQRIHBINTLHG-WBVHZDCISA-N 1 2 324.384 1.852 20 30 DDEDLO C[C@H]1[C@@H]([NH2+]Cc2nnc(C3CC3)o2)CCN1C(=O)C#CC1CC1 ZINC000986274040 697762501 /nfs/dbraw/zinc/76/25/01/697762501.db2.gz DGDCWOWJBXMLCR-FZMZJTMJSA-N 1 2 314.389 1.439 20 30 DDEDLO C[C@@H]1C[C@H]([NH2+]Cc2nc(C3CC3)no2)CN1C(=O)C#CC1CC1 ZINC000988827316 698477737 /nfs/dbraw/zinc/47/77/37/698477737.db2.gz RUELZUUKSUCJCH-RISCZKNCSA-N 1 2 314.389 1.439 20 30 DDEDLO N#Cc1ccc(CNC(=O)N2CCC(c3[nH]cc[nH+]3)CC2)nc1 ZINC000780644567 698542393 /nfs/dbraw/zinc/54/23/93/698542393.db2.gz HCSZTZZUSJVZGW-UHFFFAOYSA-N 1 2 310.361 1.766 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)cc1 ZINC000780965233 698577562 /nfs/dbraw/zinc/57/75/62/698577562.db2.gz KYWSJURZESMCIG-MRXNPFEDSA-N 1 2 310.357 1.721 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)cc1 ZINC000780965233 698577565 /nfs/dbraw/zinc/57/75/65/698577565.db2.gz KYWSJURZESMCIG-MRXNPFEDSA-N 1 2 310.357 1.721 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2C[C@@H]3C[C@]3(CO)C2)cc1Cl ZINC000782621589 698752889 /nfs/dbraw/zinc/75/28/89/698752889.db2.gz TVCSSYPNIJWHAL-XHDPSFHLSA-N 1 2 305.765 1.464 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2C[C@@H]3C[C@]3(CO)C2)cc1Cl ZINC000782621589 698752891 /nfs/dbraw/zinc/75/28/91/698752891.db2.gz TVCSSYPNIJWHAL-XHDPSFHLSA-N 1 2 305.765 1.464 20 30 DDEDLO N#CCCNC(=O)c1cccc(NC(=O)CCn2cc[nH+]c2)c1 ZINC000357708943 699042806 /nfs/dbraw/zinc/04/28/06/699042806.db2.gz SETMVEIOEZHZOC-UHFFFAOYSA-N 1 2 311.345 1.555 20 30 DDEDLO C=CCNC(=O)C[NH2+]Cc1nc(C)c(Br)s1 ZINC000719221221 699299321 /nfs/dbraw/zinc/29/93/21/699299321.db2.gz KNUCVVUVHGZNMQ-UHFFFAOYSA-N 1 2 304.213 1.606 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(N(CC)CC)nc1 ZINC000730277578 699506043 /nfs/dbraw/zinc/50/60/43/699506043.db2.gz DNNCKLMIZWRSAK-HNNXBMFYSA-N 1 2 300.406 1.964 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(N(CC)CC)nc1 ZINC000730277578 699506044 /nfs/dbraw/zinc/50/60/44/699506044.db2.gz DNNCKLMIZWRSAK-HNNXBMFYSA-N 1 2 300.406 1.964 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NCc1ncc(C)c(OC)c1C ZINC000730281800 699506545 /nfs/dbraw/zinc/50/65/45/699506545.db2.gz WRMGLTQCZNVREW-HNNXBMFYSA-N 1 2 301.390 1.421 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1ncc(C)c(OC)c1C ZINC000730281800 699506547 /nfs/dbraw/zinc/50/65/47/699506547.db2.gz WRMGLTQCZNVREW-HNNXBMFYSA-N 1 2 301.390 1.421 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCN(c2ccccc2)C1=O ZINC000731839362 699537653 /nfs/dbraw/zinc/53/76/53/699537653.db2.gz VUEUYEULTZNAIJ-CVEARBPZSA-N 1 2 311.385 1.006 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCN(c2ccccc2)C1=O ZINC000731839362 699537654 /nfs/dbraw/zinc/53/76/54/699537654.db2.gz VUEUYEULTZNAIJ-CVEARBPZSA-N 1 2 311.385 1.006 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=S)Nc2ccccc2C#N)C1 ZINC000731948298 699542486 /nfs/dbraw/zinc/54/24/86/699542486.db2.gz YMAQLCRXKFCSHO-CYBMUJFWSA-N 1 2 303.435 1.090 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=S)Nc2ccccc2C#N)C1 ZINC000731948298 699542487 /nfs/dbraw/zinc/54/24/87/699542487.db2.gz YMAQLCRXKFCSHO-CYBMUJFWSA-N 1 2 303.435 1.090 20 30 DDEDLO C[C@@]1(O)CCC[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)CC1 ZINC000793515442 699762647 /nfs/dbraw/zinc/76/26/47/699762647.db2.gz JPEMVTZUWUVAOG-GOSISDBHSA-N 1 2 315.417 1.793 20 30 DDEDLO C[C@@]1(O)CCC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)CC1 ZINC000793515442 699762648 /nfs/dbraw/zinc/76/26/48/699762648.db2.gz JPEMVTZUWUVAOG-GOSISDBHSA-N 1 2 315.417 1.793 20 30 DDEDLO N#CCCN(CC[C@@H](O)c1ccccc1)CC[NH+]1CCOCC1 ZINC000795926246 699902241 /nfs/dbraw/zinc/90/22/41/699902241.db2.gz DERHKZMIMBVJGE-GOSISDBHSA-N 1 2 317.433 1.658 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)/C=C\c2ccc(C)cc2)CC1 ZINC000744244616 699942053 /nfs/dbraw/zinc/94/20/53/699942053.db2.gz GWRDUQDDLQLDID-DHDCSXOGSA-N 1 2 318.442 1.936 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=S)Nc2ccccc2C#N)CCO1 ZINC000751014697 700265140 /nfs/dbraw/zinc/26/51/40/700265140.db2.gz LGOMQLACJMQFSQ-GFCCVEGCSA-N 1 2 304.419 1.565 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=S)Nc2ccccc2C#N)CCO1 ZINC000751014697 700265144 /nfs/dbraw/zinc/26/51/44/700265144.db2.gz LGOMQLACJMQFSQ-GFCCVEGCSA-N 1 2 304.419 1.565 20 30 DDEDLO CCc1ccc(C(=O)N2CC[NH+](CCO[C@@H](C)C#N)CC2)cc1 ZINC000801911012 700352089 /nfs/dbraw/zinc/35/20/89/700352089.db2.gz RULBTYRYBWPKQI-HNNXBMFYSA-N 1 2 315.417 1.935 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000801910618 700352268 /nfs/dbraw/zinc/35/22/68/700352268.db2.gz NKYGQFPHDUHJSO-GFCCVEGCSA-N 1 2 323.343 1.651 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)O[C@H]1CC[N@H+](CCF)C1 ZINC000802239816 700387874 /nfs/dbraw/zinc/38/78/74/700387874.db2.gz MYYHSOAHAVEAAX-JSGCOSHPSA-N 1 2 306.337 1.913 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)O[C@H]1CC[N@@H+](CCF)C1 ZINC000802239816 700387879 /nfs/dbraw/zinc/38/78/79/700387879.db2.gz MYYHSOAHAVEAAX-JSGCOSHPSA-N 1 2 306.337 1.913 20 30 DDEDLO Cc1cc(N[C@H]2CN(C(=O)OC(C)(C)C)C[C@@H]2C#N)nc(N)[nH+]1 ZINC000754128215 700485455 /nfs/dbraw/zinc/48/54/55/700485455.db2.gz OESIYMLLLGHROX-QWRGUYRKSA-N 1 2 318.381 1.538 20 30 DDEDLO C#CCNC(=S)Nc1cccc(C[NH+]2CCN(C)CC2)c1 ZINC000758217318 700690327 /nfs/dbraw/zinc/69/03/27/700690327.db2.gz SWUOBDASEWXGOI-UHFFFAOYSA-N 1 2 302.447 1.354 20 30 DDEDLO C#CCCCS(=O)(=O)N1CC[NH+](CCc2ccccc2)CC1 ZINC000804943325 701220893 /nfs/dbraw/zinc/22/08/93/701220893.db2.gz FDRZLKNDCYMHJF-UHFFFAOYSA-N 1 2 320.458 1.590 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(c2ccc(C#N)c(Cl)c2)CC1 ZINC000771143653 701310997 /nfs/dbraw/zinc/31/09/97/701310997.db2.gz DVANHJFWTLCAIZ-FQEVSTJZSA-N 1 2 311.838 1.712 20 30 DDEDLO C[C@@H](C#N)Oc1ccccc1NC(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000879137265 706596650 /nfs/dbraw/zinc/59/66/50/706596650.db2.gz REAYZHIXXHKRNU-NWDGAFQWSA-N 1 2 311.345 1.920 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCc2cn3ccccc3c2C#N)C1 ZINC000805476328 701384627 /nfs/dbraw/zinc/38/46/27/701384627.db2.gz MECQSZKACVLFEQ-INIZCTEOSA-N 1 2 313.357 1.575 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCc2cn3ccccc3c2C#N)C1 ZINC000805476328 701384628 /nfs/dbraw/zinc/38/46/28/701384628.db2.gz MECQSZKACVLFEQ-INIZCTEOSA-N 1 2 313.357 1.575 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000879154125 706600807 /nfs/dbraw/zinc/60/08/07/706600807.db2.gz MFIORSHVEWDGCZ-WBVHZDCISA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000879154125 706600810 /nfs/dbraw/zinc/60/08/10/706600810.db2.gz MFIORSHVEWDGCZ-WBVHZDCISA-N 1 2 318.373 1.741 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@@H+]1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000810068307 701719790 /nfs/dbraw/zinc/71/97/90/701719790.db2.gz MLUNSBFHLVKDRU-NWDGAFQWSA-N 1 2 316.327 1.820 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@H+]1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000810068307 701719789 /nfs/dbraw/zinc/71/97/89/701719789.db2.gz MLUNSBFHLVKDRU-NWDGAFQWSA-N 1 2 316.327 1.820 20 30 DDEDLO CN(C)c1ncc(C=[NH+]Nc2ccc(S(C)(=O)=O)cc2)cn1 ZINC000814802280 701752051 /nfs/dbraw/zinc/75/20/51/701752051.db2.gz ADPLPWJUBKHBRR-UHFFFAOYSA-N 1 2 319.390 1.392 20 30 DDEDLO CCc1ccc(C#CC(=O)N2CC[NH+](CCOC)CC2)cc1 ZINC000815591132 701900917 /nfs/dbraw/zinc/90/09/17/701900917.db2.gz XXASVVYCWDKDDD-UHFFFAOYSA-N 1 2 300.402 1.391 20 30 DDEDLO COc1ncnc(N)c1C=[NH+]N[C@H](C)COc1ccccc1 ZINC000811666455 702025503 /nfs/dbraw/zinc/02/55/03/702025503.db2.gz DNXSDBYBLRPYEZ-LLVKDONJSA-N 1 2 301.350 1.458 20 30 DDEDLO COC(=O)c1cnc(SC[C@@H](O)C[N@H+](C)CCC#N)s1 ZINC000840769407 702233628 /nfs/dbraw/zinc/23/36/28/702233628.db2.gz ZEWQTGUEBXKJQG-VIFPVBQESA-N 1 2 315.420 1.228 20 30 DDEDLO COC(=O)c1cnc(SC[C@@H](O)C[N@@H+](C)CCC#N)s1 ZINC000840769407 702233634 /nfs/dbraw/zinc/23/36/34/702233634.db2.gz ZEWQTGUEBXKJQG-VIFPVBQESA-N 1 2 315.420 1.228 20 30 DDEDLO C#Cc1cccc(NC(=S)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000840803397 702243627 /nfs/dbraw/zinc/24/36/27/702243627.db2.gz QIJSNNHYEWAQAL-IYBDPMFKSA-N 1 2 315.442 1.818 20 30 DDEDLO C#Cc1cccc(NC(=S)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000840803397 702243631 /nfs/dbraw/zinc/24/36/31/702243631.db2.gz QIJSNNHYEWAQAL-IYBDPMFKSA-N 1 2 315.442 1.818 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC000817282909 702328243 /nfs/dbraw/zinc/32/82/43/702328243.db2.gz PWGVTHNEGNGAGS-CQSZACIVSA-N 1 2 307.781 1.953 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC000817282909 702328251 /nfs/dbraw/zinc/32/82/51/702328251.db2.gz PWGVTHNEGNGAGS-CQSZACIVSA-N 1 2 307.781 1.953 20 30 DDEDLO COC[C@@]1(C(=O)OC(C)(C)C)C[N@@H+]([C@@H](C)CCC#N)CCO1 ZINC000879360140 706660212 /nfs/dbraw/zinc/66/02/12/706660212.db2.gz ZQXSSNYPUAUOHG-XJKSGUPXSA-N 1 2 312.410 1.738 20 30 DDEDLO COC[C@@]1(C(=O)OC(C)(C)C)C[N@H+]([C@@H](C)CCC#N)CCO1 ZINC000879360140 706660214 /nfs/dbraw/zinc/66/02/14/706660214.db2.gz ZQXSSNYPUAUOHG-XJKSGUPXSA-N 1 2 312.410 1.738 20 30 DDEDLO CC(=NNC1=[NH+]CCN1)c1cc(Br)cc(F)c1O ZINC000841660808 702522606 /nfs/dbraw/zinc/52/26/06/702522606.db2.gz JMVJCRIDCJMQDX-UHFFFAOYSA-N 1 2 315.146 1.567 20 30 DDEDLO COC(=O)CCN(CC#N)Cc1c[nH+]cn1Cc1ccccc1 ZINC000879474465 706689179 /nfs/dbraw/zinc/68/91/79/706689179.db2.gz OVTKTSNFHQCZEQ-UHFFFAOYSA-N 1 2 312.373 1.820 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H](NCc2cnc3c(C#N)cnn3c2)C1 ZINC000843401359 702874211 /nfs/dbraw/zinc/87/42/11/702874211.db2.gz PTGMHVTZKGVUED-QGZVFWFLSA-N 1 2 318.384 1.877 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H](NCc2cnc3c(C#N)cnn3c2)C1 ZINC000843401359 702874214 /nfs/dbraw/zinc/87/42/14/702874214.db2.gz PTGMHVTZKGVUED-QGZVFWFLSA-N 1 2 318.384 1.877 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000844134316 702976103 /nfs/dbraw/zinc/97/61/03/702976103.db2.gz IJWNVIXDUIBRKE-OAHLLOKOSA-N 1 2 309.369 1.774 20 30 DDEDLO N#CCCNC(=O)C[NH+]1CCC(c2nc(C3CCC3)no2)CC1 ZINC000879550638 706715599 /nfs/dbraw/zinc/71/55/99/706715599.db2.gz FXHOXFJPMKVRBW-UHFFFAOYSA-N 1 2 317.393 1.546 20 30 DDEDLO Cn1c(Cl)ncc1C[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000848912534 703602731 /nfs/dbraw/zinc/60/27/31/703602731.db2.gz HRDPJOHSPNDCRN-CYBMUJFWSA-N 1 2 317.780 1.993 20 30 DDEDLO Cn1c(Cl)ncc1C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000848912534 703602732 /nfs/dbraw/zinc/60/27/32/703602732.db2.gz HRDPJOHSPNDCRN-CYBMUJFWSA-N 1 2 317.780 1.993 20 30 DDEDLO N#Cc1nc(C2CC2)oc1N1CC[NH+](C[C@H]2CCC(=O)O2)CC1 ZINC000851822258 703865022 /nfs/dbraw/zinc/86/50/22/703865022.db2.gz LEEDPOWMXOXYRS-GFCCVEGCSA-N 1 2 316.361 1.251 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3ccc(C#N)nc3)CC2)nc(C)n1 ZINC000852000216 703900990 /nfs/dbraw/zinc/90/09/90/703900990.db2.gz QDGAJBYGPNXZCT-UHFFFAOYSA-N 1 2 308.389 1.682 20 30 DDEDLO C#CCCCCCC(=O)N1CCO[C@@H](C[NH+]2CCOCC2)C1 ZINC000870063402 703906356 /nfs/dbraw/zinc/90/63/56/703906356.db2.gz LQCYGZDSDRYOOS-INIZCTEOSA-N 1 2 308.422 1.130 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](C[C@H](O)c2ccccn2)CC1 ZINC000852075409 703918071 /nfs/dbraw/zinc/91/80/71/703918071.db2.gz BDHIDXTUFLGZCW-KRWDZBQOSA-N 1 2 309.373 1.204 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC3(CCC3)[C@@](F)(C(=O)OCC)C2)C1=O ZINC000852317914 704010630 /nfs/dbraw/zinc/01/06/30/704010630.db2.gz UIMWUJRBSIXJCR-GUYCJALGSA-N 1 2 324.396 1.531 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC3(CCC3)[C@@](F)(C(=O)OCC)C2)C1=O ZINC000852317914 704010633 /nfs/dbraw/zinc/01/06/33/704010633.db2.gz UIMWUJRBSIXJCR-GUYCJALGSA-N 1 2 324.396 1.531 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(C)(C)C)nn1 ZINC000819152139 704076903 /nfs/dbraw/zinc/07/69/03/704076903.db2.gz MJGZSENNODYJAQ-CQSZACIVSA-N 1 2 316.409 1.895 20 30 DDEDLO CSC[C@H](O)C[NH2+][C@@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000819230267 704091205 /nfs/dbraw/zinc/09/12/05/704091205.db2.gz UDXJTDNFCQDIQH-QJPTWQEYSA-N 1 2 315.439 1.059 20 30 DDEDLO C#CCC[N@@H+](CCOC)Cc1ccc(S(=O)(=O)CC)cc1 ZINC000852748581 704113094 /nfs/dbraw/zinc/11/30/94/704113094.db2.gz DPUCGAJDCNVTGD-UHFFFAOYSA-N 1 2 309.431 1.952 20 30 DDEDLO C#CCC[N@H+](CCOC)Cc1ccc(S(=O)(=O)CC)cc1 ZINC000852748581 704113097 /nfs/dbraw/zinc/11/30/97/704113097.db2.gz DPUCGAJDCNVTGD-UHFFFAOYSA-N 1 2 309.431 1.952 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)NC2=NO[C@@H](C)C2)cc1 ZINC000821395309 704403502 /nfs/dbraw/zinc/40/35/02/704403502.db2.gz TZAUNURJXWPYPD-SMDDNHRTSA-N 1 2 303.362 1.508 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2C(=O)NC2=NO[C@@H](C)C2)cc1 ZINC000821395309 704403504 /nfs/dbraw/zinc/40/35/04/704403504.db2.gz TZAUNURJXWPYPD-SMDDNHRTSA-N 1 2 303.362 1.508 20 30 DDEDLO Cn1cc(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)c(Cl)n1 ZINC000857048524 704548965 /nfs/dbraw/zinc/54/89/65/704548965.db2.gz JPWRPCUYODXYCU-CYBMUJFWSA-N 1 2 317.780 1.993 20 30 DDEDLO Cn1cc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)c(Cl)n1 ZINC000857048524 704548966 /nfs/dbraw/zinc/54/89/66/704548966.db2.gz JPWRPCUYODXYCU-CYBMUJFWSA-N 1 2 317.780 1.993 20 30 DDEDLO Cc1ccc(C[N@@H+]2CC[C@@H]3CS(=O)(=O)C[C@H]3C2)cc1C#N ZINC000879936848 706825394 /nfs/dbraw/zinc/82/53/94/706825394.db2.gz LLIOHUAQJGGAJU-GDBMZVCRSA-N 1 2 304.415 1.733 20 30 DDEDLO Cc1ccc(C[N@H+]2CC[C@@H]3CS(=O)(=O)C[C@H]3C2)cc1C#N ZINC000879936848 706825396 /nfs/dbraw/zinc/82/53/96/706825396.db2.gz LLIOHUAQJGGAJU-GDBMZVCRSA-N 1 2 304.415 1.733 20 30 DDEDLO C=CC[N@@H+](CC(=O)OCC)C[C@H](O)CC1(C#N)CCOCC1 ZINC000859093817 704796715 /nfs/dbraw/zinc/79/67/15/704796715.db2.gz WCQWACDQBIYADD-CQSZACIVSA-N 1 2 310.394 1.109 20 30 DDEDLO C=CC[N@H+](CC(=O)OCC)C[C@H](O)CC1(C#N)CCOCC1 ZINC000859093817 704796716 /nfs/dbraw/zinc/79/67/16/704796716.db2.gz WCQWACDQBIYADD-CQSZACIVSA-N 1 2 310.394 1.109 20 30 DDEDLO N#Cc1c(C[N@@H+](CC(N)=O)C2CCOCC2)cn2ccccc12 ZINC000880391358 706961669 /nfs/dbraw/zinc/96/16/69/706961669.db2.gz KMYJUAUSHLMCEJ-UHFFFAOYSA-N 1 2 312.373 1.277 20 30 DDEDLO N#Cc1c(C[N@H+](CC(N)=O)C2CCOCC2)cn2ccccc12 ZINC000880391358 706961672 /nfs/dbraw/zinc/96/16/72/706961672.db2.gz KMYJUAUSHLMCEJ-UHFFFAOYSA-N 1 2 312.373 1.277 20 30 DDEDLO C=CC[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(S(C)(=O)=O)c2)C1 ZINC000859443296 704904981 /nfs/dbraw/zinc/90/49/81/704904981.db2.gz CBEIWJYZZOZBDT-CYBMUJFWSA-N 1 2 322.430 1.927 20 30 DDEDLO C=CC[C@@H]1CC[N@H+](CC(=O)Nc2cccc(S(C)(=O)=O)c2)C1 ZINC000859443296 704904983 /nfs/dbraw/zinc/90/49/83/704904983.db2.gz CBEIWJYZZOZBDT-CYBMUJFWSA-N 1 2 322.430 1.927 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CC[NH+](Cc2cc3ccccc3[nH]2)CC1 ZINC000860012024 705070857 /nfs/dbraw/zinc/07/08/57/705070857.db2.gz BRJSEMFVRXDBGA-OAHLLOKOSA-N 1 2 324.428 1.423 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)C1CCOCC1 ZINC000823186144 705165551 /nfs/dbraw/zinc/16/55/51/705165551.db2.gz JBHQUIMTBLZVIF-CABCVRRESA-N 1 2 316.405 1.727 20 30 DDEDLO C=CC(C)(C)CCCNC(=O)C(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000875090309 705278927 /nfs/dbraw/zinc/27/89/27/705278927.db2.gz KBMPEEMSGYWPBD-CYBMUJFWSA-N 1 2 306.410 1.496 20 30 DDEDLO C#CCNC(=O)c1ccccc1NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC000824171233 705389929 /nfs/dbraw/zinc/38/99/29/705389929.db2.gz LRGCSBGGSKECFY-ZIAGYGMSSA-N 1 2 322.368 1.525 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2c(C)cc(OC)nc2C)CC1 ZINC000824872020 705548490 /nfs/dbraw/zinc/54/84/90/705548490.db2.gz NIEHDCXZGSSQLT-UHFFFAOYSA-N 1 2 317.389 1.986 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)N[C@H]1Cc3c[nH+]cn3C1)C2 ZINC000876278879 705676947 /nfs/dbraw/zinc/67/69/47/705676947.db2.gz IPJJGFLSIZSKST-CABCVRRESA-N 1 2 307.357 1.146 20 30 DDEDLO Cc1[nH]c(CN[C@H]2CN(C(=O)OC(C)(C)C)C[C@@H]2C#N)[nH+]c1C ZINC000862324129 705696472 /nfs/dbraw/zinc/69/64/72/705696472.db2.gz DAZBAUHNDBMCKW-STQMWFEESA-N 1 2 319.409 1.875 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCC[C@@]2(COC)C(=O)OC)cc1 ZINC000827489167 706012793 /nfs/dbraw/zinc/01/27/93/706012793.db2.gz ONAFDHXRARPBFO-GOSISDBHSA-N 1 2 317.385 1.853 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCC[C@@]2(COC)C(=O)OC)cc1 ZINC000827489167 706012796 /nfs/dbraw/zinc/01/27/96/706012796.db2.gz ONAFDHXRARPBFO-GOSISDBHSA-N 1 2 317.385 1.853 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@H]1Cc2ccc(C#N)cc2C1 ZINC000829485458 706342850 /nfs/dbraw/zinc/34/28/50/706342850.db2.gz DIOAQLDQFNFCSU-ARFHVFGLSA-N 1 2 306.369 1.679 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccc(C)cc1C#N ZINC000872492402 707444058 /nfs/dbraw/zinc/44/40/58/707444058.db2.gz FTIBMRVFFHOTCT-HNNXBMFYSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccc(C)cc1C#N ZINC000872492402 707444062 /nfs/dbraw/zinc/44/40/62/707444062.db2.gz FTIBMRVFFHOTCT-HNNXBMFYSA-N 1 2 321.446 1.972 20 30 DDEDLO C=C(Cl)C[C@@H]1NC(=O)N([C@H](C)[C@@H](C)[NH+]2CCOCC2)C1=O ZINC000865303127 706399453 /nfs/dbraw/zinc/39/94/53/706399453.db2.gz ZJPJWDJSZTVTPF-UTUOFQBUSA-N 1 2 315.801 1.159 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@@H](C3CCCCC3)CC2=O)CC1 ZINC000872557227 707478346 /nfs/dbraw/zinc/47/83/46/707478346.db2.gz KWXAQAKAFFTFBP-KRWDZBQOSA-N 1 2 303.450 1.624 20 30 DDEDLO N#Cc1cc(F)cc(S(=O)(=O)NCC[N@H+]2CC=CCC2)c1 ZINC000867214267 706927061 /nfs/dbraw/zinc/92/70/61/706927061.db2.gz JDGIKERYKPLQSJ-UHFFFAOYSA-N 1 2 309.366 1.238 20 30 DDEDLO N#Cc1cc(F)cc(S(=O)(=O)NCC[N@@H+]2CC=CCC2)c1 ZINC000867214267 706927064 /nfs/dbraw/zinc/92/70/64/706927064.db2.gz JDGIKERYKPLQSJ-UHFFFAOYSA-N 1 2 309.366 1.238 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880303420 706933933 /nfs/dbraw/zinc/93/39/33/706933933.db2.gz NVNMKMXBLTUUMF-CABZTGNLSA-N 1 2 323.315 1.040 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880303420 706933936 /nfs/dbraw/zinc/93/39/36/706933936.db2.gz NVNMKMXBLTUUMF-CABZTGNLSA-N 1 2 323.315 1.040 20 30 DDEDLO COC(=O)CC[N@H+](CC(=O)OC(C)(C)C)[C@H]1CC[C@@H](C#N)C1 ZINC000880573503 707023324 /nfs/dbraw/zinc/02/33/24/707023324.db2.gz IGTUWSSIHVILFZ-OLZOCXBDSA-N 1 2 310.394 1.885 20 30 DDEDLO COC(=O)CC[N@@H+](CC(=O)OC(C)(C)C)[C@H]1CC[C@@H](C#N)C1 ZINC000880573503 707023328 /nfs/dbraw/zinc/02/33/28/707023328.db2.gz IGTUWSSIHVILFZ-OLZOCXBDSA-N 1 2 310.394 1.885 20 30 DDEDLO N#C[C@@]1(C(=O)N2CCC(Nc3cccc[nH+]3)CC2)CCCOC1 ZINC000927105148 712943395 /nfs/dbraw/zinc/94/33/95/712943395.db2.gz CJRDHJKOYVHXEA-KRWDZBQOSA-N 1 2 314.389 1.805 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C(=O)OCC ZINC000881752659 707351678 /nfs/dbraw/zinc/35/16/78/707351678.db2.gz WMKBGSCVJZAWJG-STQMWFEESA-N 1 2 305.378 1.460 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CCNC(=O)CC12CCOCC2 ZINC000872288476 707366980 /nfs/dbraw/zinc/36/69/80/707366980.db2.gz DBJYLMXADUMCIA-UHFFFAOYSA-N 1 2 317.364 1.568 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CCNC(=O)CC12CCOCC2 ZINC000872288476 707366984 /nfs/dbraw/zinc/36/69/84/707366984.db2.gz DBJYLMXADUMCIA-UHFFFAOYSA-N 1 2 317.364 1.568 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCN(C)c2ncccc2C1 ZINC000872317273 707379372 /nfs/dbraw/zinc/37/93/72/707379372.db2.gz MKESJVQPMOJGQK-ZDUSSCGKSA-N 1 2 301.394 1.094 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCN(C)c2ncccc2C1 ZINC000872317273 707379375 /nfs/dbraw/zinc/37/93/75/707379375.db2.gz MKESJVQPMOJGQK-ZDUSSCGKSA-N 1 2 301.394 1.094 20 30 DDEDLO Cc1nc(C#N)cc(N2CCC[N@H+](Cc3cscn3)CC2)n1 ZINC000881910638 707419878 /nfs/dbraw/zinc/41/98/78/707419878.db2.gz UVJOGCKJUQVDCT-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO Cc1nc(C#N)cc(N2CCC[N@@H+](Cc3cscn3)CC2)n1 ZINC000881910638 707419879 /nfs/dbraw/zinc/41/98/79/707419879.db2.gz UVJOGCKJUQVDCT-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C[C@@](C)(c2ccccc2F)C1=O ZINC000872623154 707519838 /nfs/dbraw/zinc/51/98/38/707519838.db2.gz OSJYQRXTGZYVJM-SFHVURJKSA-N 1 2 318.392 1.855 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C[C@@](C)(c2ccccc2F)C1=O ZINC000872623154 707519841 /nfs/dbraw/zinc/51/98/41/707519841.db2.gz OSJYQRXTGZYVJM-SFHVURJKSA-N 1 2 318.392 1.855 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000837433328 707646239 /nfs/dbraw/zinc/64/62/39/707646239.db2.gz SIRBOFAVINBCOK-CRAIPNDOSA-N 1 2 312.413 1.806 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000837433328 707646241 /nfs/dbraw/zinc/64/62/41/707646241.db2.gz SIRBOFAVINBCOK-CRAIPNDOSA-N 1 2 312.413 1.806 20 30 DDEDLO CC[N@@H+]1CC[C@@H]1CNS(=O)(=O)c1c(C)cc(F)cc1C#N ZINC000882763442 707778800 /nfs/dbraw/zinc/77/88/00/707778800.db2.gz OIJKHALJHMMUFN-CYBMUJFWSA-N 1 2 311.382 1.378 20 30 DDEDLO CC[N@H+]1CC[C@@H]1CNS(=O)(=O)c1c(C)cc(F)cc1C#N ZINC000882763442 707778804 /nfs/dbraw/zinc/77/88/04/707778804.db2.gz OIJKHALJHMMUFN-CYBMUJFWSA-N 1 2 311.382 1.378 20 30 DDEDLO C#CCCS(=O)(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882837364 707811235 /nfs/dbraw/zinc/81/12/35/707811235.db2.gz FSWHFVQJURBECG-OAHLLOKOSA-N 1 2 303.387 1.567 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000838055674 707822337 /nfs/dbraw/zinc/82/23/37/707822337.db2.gz MLALOEQVZHTSJD-CYBMUJFWSA-N 1 2 303.362 1.251 20 30 DDEDLO C#CCC1(O)CCN(c2cc(NCCCC)[nH+]c(N)n2)CC1 ZINC000882969549 707878077 /nfs/dbraw/zinc/87/80/77/707878077.db2.gz YKHCGZDCBGKFRA-UHFFFAOYSA-N 1 2 303.410 1.625 20 30 DDEDLO C#CCC1(O)CCN(c2cc(NCCCC)nc(N)[nH+]2)CC1 ZINC000882969549 707878082 /nfs/dbraw/zinc/87/80/82/707878082.db2.gz YKHCGZDCBGKFRA-UHFFFAOYSA-N 1 2 303.410 1.625 20 30 DDEDLO C=C(C)C[C@@H](CO)Nc1nc(NCCO)c2ccccc2[nH+]1 ZINC000883007456 707894913 /nfs/dbraw/zinc/89/49/13/707894913.db2.gz WCTOXVHMWKRIOZ-LBPRGKRZSA-N 1 2 302.378 1.773 20 30 DDEDLO C=CCNC(=O)c1ccc(N2CCc3c([nH+]cn3CC)C2)nc1 ZINC000896660125 708110573 /nfs/dbraw/zinc/11/05/73/708110573.db2.gz RBCGJTAXPWIPDM-UHFFFAOYSA-N 1 2 311.389 1.777 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1nc2ccccc2s1 ZINC000884056105 708120076 /nfs/dbraw/zinc/12/00/76/708120076.db2.gz LMIMUPKJBDBOHW-JTQLQIEISA-N 1 2 319.386 1.359 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCCc1ccc(C)cc1C ZINC000884059008 708121248 /nfs/dbraw/zinc/12/12/48/708121248.db2.gz CFEWNKRRXSIYHE-INIZCTEOSA-N 1 2 318.417 1.799 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCC(C)(C)c1ccccc1 ZINC000884103557 708140291 /nfs/dbraw/zinc/14/02/91/708140291.db2.gz NFGXYXKAHDKVPX-HNNXBMFYSA-N 1 2 318.417 1.917 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2cccc(F)c2[C@H]1C ZINC000884139048 708156981 /nfs/dbraw/zinc/15/69/81/708156981.db2.gz HIAGKRAVMUSQTD-RISCZKNCSA-N 1 2 320.364 1.718 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)Oc1cccc(C)c1 ZINC000884156021 708164013 /nfs/dbraw/zinc/16/40/13/708164013.db2.gz QIDHJNVDIPBFMF-HIFRSBDPSA-N 1 2 320.389 1.325 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](C(C)(C)C)C(F)(F)F ZINC000884160815 708166364 /nfs/dbraw/zinc/16/63/64/708166364.db2.gz PDZMOVBKNNBFOK-KWQFWETISA-N 1 2 310.316 1.526 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2cc(F)ccc2C1 ZINC000884312172 708238903 /nfs/dbraw/zinc/23/89/03/708238903.db2.gz XZFYVABRCXRPBI-AWEZNQCLSA-N 1 2 306.337 1.157 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)CC1(c2ccccc2)CC1 ZINC000884322670 708244201 /nfs/dbraw/zinc/24/42/01/708244201.db2.gz RUDUWWZRGZGUJB-HNNXBMFYSA-N 1 2 316.401 1.623 20 30 DDEDLO C#C[C@H]1CCCCN1c1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000897360886 708305033 /nfs/dbraw/zinc/30/50/33/708305033.db2.gz SNMKRBBBYGICFY-GJZGRUSLSA-N 1 2 300.406 1.820 20 30 DDEDLO C=CCC1(O)CC[NH+](Cn2cc(C(=O)OCC)c(C)n2)CC1 ZINC000884478686 708311327 /nfs/dbraw/zinc/31/13/27/708311327.db2.gz ISTZYQKKGWJHKT-UHFFFAOYSA-N 1 2 307.394 1.729 20 30 DDEDLO COC(=O)COc1ccccc1C[NH2+]Cc1nc(C#N)cs1 ZINC000897626171 708399768 /nfs/dbraw/zinc/39/97/68/708399768.db2.gz NIGBMSIPCMCNCX-UHFFFAOYSA-N 1 2 317.370 1.856 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(N(C)C)cc2)C1 ZINC000885512770 708563616 /nfs/dbraw/zinc/56/36/16/708563616.db2.gz YSASBGXVRHVHQP-CQSZACIVSA-N 1 2 321.446 1.129 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(N(C)C)cc2)C1 ZINC000885512770 708563617 /nfs/dbraw/zinc/56/36/17/708563617.db2.gz YSASBGXVRHVHQP-CQSZACIVSA-N 1 2 321.446 1.129 20 30 DDEDLO C[C@@H]1CN(CC(C)(C)O)CC[N@@H+]1C[C@H](O)CC1(C#N)CCC1 ZINC000886157826 708707472 /nfs/dbraw/zinc/70/74/72/708707472.db2.gz LVWDXHQHCBWDNL-HUUCEWRRSA-N 1 2 309.454 1.208 20 30 DDEDLO C[C@@H]1CN(CC(C)(C)O)CC[N@H+]1C[C@H](O)CC1(C#N)CCC1 ZINC000886157826 708707474 /nfs/dbraw/zinc/70/74/74/708707474.db2.gz LVWDXHQHCBWDNL-HUUCEWRRSA-N 1 2 309.454 1.208 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@]1(CO)CNC(=O)OC(C)(C)C ZINC000886291209 708736339 /nfs/dbraw/zinc/73/63/39/708736339.db2.gz ZVBFZZNMYUAOPG-HNNXBMFYSA-N 1 2 300.399 1.151 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@]1(CO)CNC(=O)OC(C)(C)C ZINC000886291209 708736340 /nfs/dbraw/zinc/73/63/40/708736340.db2.gz ZVBFZZNMYUAOPG-HNNXBMFYSA-N 1 2 300.399 1.151 20 30 DDEDLO CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000886468454 708764983 /nfs/dbraw/zinc/76/49/83/708764983.db2.gz AGGBHEVTDJEZFA-ZDUSSCGKSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000886468454 708764984 /nfs/dbraw/zinc/76/49/84/708764984.db2.gz AGGBHEVTDJEZFA-ZDUSSCGKSA-N 1 2 311.382 1.412 20 30 DDEDLO N#Cc1ccnc(N2CCN(C(=O)CNc3cccc[nH+]3)CC2)c1 ZINC000900080189 709282970 /nfs/dbraw/zinc/28/29/70/709282970.db2.gz FVHVGRMKBZLJKS-UHFFFAOYSA-N 1 2 322.372 1.109 20 30 DDEDLO COCC(COC)[NH+]1CCN(C(=O)c2ccsc2C#N)CC1 ZINC000910401623 709924944 /nfs/dbraw/zinc/92/49/44/709924944.db2.gz AIBRMULUYKVFHY-UHFFFAOYSA-N 1 2 323.418 1.039 20 30 DDEDLO C=CCCC[C@@H](NC(=O)c1cccc2[nH+]ccn21)C(=O)OC ZINC000928315690 713173740 /nfs/dbraw/zinc/17/37/40/713173740.db2.gz CRSQMXOFNXCTDC-GFCCVEGCSA-N 1 2 301.346 1.962 20 30 DDEDLO N#C[C@@]1(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)CC2CCC1CC2 ZINC000913440347 713216767 /nfs/dbraw/zinc/21/67/67/713216767.db2.gz RBYURCJPKWXNCM-BDKZHOIZSA-N 1 2 313.405 1.603 20 30 DDEDLO N#CC[C@H](CC(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1)c1ccccc1 ZINC000913453467 713222273 /nfs/dbraw/zinc/22/22/73/713222273.db2.gz RUAVPKCPNKRLHG-NVXWUHKLSA-N 1 2 323.400 1.970 20 30 DDEDLO N#Cc1sccc1N1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC000912304190 711269445 /nfs/dbraw/zinc/26/94/45/711269445.db2.gz OVQHJTCKEPSOMV-UHFFFAOYSA-N 1 2 301.375 1.234 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2ccc(C3(C#N)CC3)cc2)CC1 ZINC000895066377 711394725 /nfs/dbraw/zinc/39/47/25/711394725.db2.gz JLYKDSJDXCUANT-UHFFFAOYSA-N 1 2 308.385 1.800 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)[C@@]1(C#N)CC2CCC1CC2)[NH+]1CCOCC1 ZINC000906581004 712360138 /nfs/dbraw/zinc/36/01/38/712360138.db2.gz IAYRKPDDJZLVHN-JSCVFSPLSA-N 1 2 319.449 1.932 20 30 DDEDLO C[C@H](NC(=O)[C@@]1(C#N)CC2CCC1CC2)[C@H](C)[NH+]1CCOCC1 ZINC000906581006 712360144 /nfs/dbraw/zinc/36/01/44/712360144.db2.gz IAYRKPDDJZLVHN-MZSBTYNLSA-N 1 2 319.449 1.932 20 30 DDEDLO C=CC1CCN(C(=O)c2cccn2CC[NH+]2CCOCC2)CC1 ZINC000906647160 712378734 /nfs/dbraw/zinc/37/87/34/712378734.db2.gz OKWVZXPLVHOACT-UHFFFAOYSA-N 1 2 317.433 1.859 20 30 DDEDLO CC[N@@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)cn2)C[C@H]1C ZINC000907078127 712482588 /nfs/dbraw/zinc/48/25/88/712482588.db2.gz FUBAODULCOJQGW-NEPJUHHUSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@H](C)N(S(=O)(=O)c2ccc(C#N)cn2)C[C@H]1C ZINC000907078127 712482589 /nfs/dbraw/zinc/48/25/89/712482589.db2.gz FUBAODULCOJQGW-NEPJUHHUSA-N 1 2 308.407 1.056 20 30 DDEDLO C#CCSCC(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000908067204 712676455 /nfs/dbraw/zinc/67/64/55/712676455.db2.gz LBGDWTZGPMHGDE-UHFFFAOYSA-N 1 2 317.418 1.012 20 30 DDEDLO Cc1ccc(CNC(=O)NC[C@H](C)[NH+]2CCOCC2)cc1C#N ZINC000914067409 713337453 /nfs/dbraw/zinc/33/74/53/713337453.db2.gz RFKBZIBGHIZDCW-AWEZNQCLSA-N 1 2 316.405 1.387 20 30 DDEDLO C[C@@H]1CN(C(=O)NCCCCn2cc[nH+]c2)C[C@@H](C)N1CC#N ZINC000914308630 713374639 /nfs/dbraw/zinc/37/46/39/713374639.db2.gz WOFVOOYRKSYYNI-HUUCEWRRSA-N 1 2 318.425 1.291 20 30 DDEDLO C[C@@H]1CN(c2ccccc2C=[NH+]NC(=S)NC2CC2)CCO1 ZINC000915945520 713436268 /nfs/dbraw/zinc/43/62/68/713436268.db2.gz RQNSYRLRBVIYNH-GFCCVEGCSA-N 1 2 318.446 1.872 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cnn(CCF)c3)CC2)c1 ZINC000929269782 713579751 /nfs/dbraw/zinc/57/97/51/713579751.db2.gz NELCUXOEGGPBFX-UHFFFAOYSA-N 1 2 314.368 1.441 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(F)c(C#N)c1 ZINC000930189160 713774867 /nfs/dbraw/zinc/77/48/67/713774867.db2.gz VLKCGOWNAXUJTM-CYBMUJFWSA-N 1 2 320.368 1.930 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2ccc(OCC)cc2)CC1 ZINC000931145678 714015122 /nfs/dbraw/zinc/01/51/22/714015122.db2.gz NFVMWGYFIORCDP-UHFFFAOYSA-N 1 2 302.374 1.484 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2cc(C)ccc2OC)CC1 ZINC000931144722 714015277 /nfs/dbraw/zinc/01/52/77/714015277.db2.gz CJSHZLFPKKFQLJ-UHFFFAOYSA-N 1 2 302.374 1.402 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2c(C)cccc2CC)CC1 ZINC000931145954 714015477 /nfs/dbraw/zinc/01/54/77/714015477.db2.gz XSLZYPCXCOXMNX-UHFFFAOYSA-N 1 2 300.402 1.956 20 30 DDEDLO CN(C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)C1CCC(NCC#N)CC1 ZINC000963926043 717947951 /nfs/dbraw/zinc/94/79/51/717947951.db2.gz ASQPFSQFKJZVPJ-NEXFUWMNSA-N 1 2 315.421 1.406 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)Cc2n[nH]c3c2CCCC3)C1 ZINC000923566630 714408300 /nfs/dbraw/zinc/40/83/00/714408300.db2.gz RVURWMUWNFGJIX-ZDUSSCGKSA-N 1 2 300.406 1.045 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)Cc2n[nH]c3c2CCCC3)C1 ZINC000923566630 714408301 /nfs/dbraw/zinc/40/83/01/714408301.db2.gz RVURWMUWNFGJIX-ZDUSSCGKSA-N 1 2 300.406 1.045 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH2+]C1(c2ncccn2)CCOCC1 ZINC000933343757 714567591 /nfs/dbraw/zinc/56/75/91/714567591.db2.gz WUUCWRBBNMTYEE-UHFFFAOYSA-N 1 2 316.405 1.273 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOc2ccccc2[C@H]1CO ZINC000933381966 714576636 /nfs/dbraw/zinc/57/66/36/714576636.db2.gz IOUBNMKBJLRLSB-MRXNPFEDSA-N 1 2 318.417 1.839 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOc2ccccc2[C@H]1CO ZINC000933381966 714576637 /nfs/dbraw/zinc/57/66/37/714576637.db2.gz IOUBNMKBJLRLSB-MRXNPFEDSA-N 1 2 318.417 1.839 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@@H+]2CCOC(C)(C)C2)cc1 ZINC000934933908 714932572 /nfs/dbraw/zinc/93/25/72/714932572.db2.gz LMRUQMRQSYPYFN-UHFFFAOYSA-N 1 2 301.390 1.900 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@H+]2CCOC(C)(C)C2)cc1 ZINC000934933908 714932575 /nfs/dbraw/zinc/93/25/75/714932575.db2.gz LMRUQMRQSYPYFN-UHFFFAOYSA-N 1 2 301.390 1.900 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC(N(C)C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC000954702531 715474163 /nfs/dbraw/zinc/47/41/63/715474163.db2.gz TYFBNRWJFWVQHR-JTQLQIEISA-N 1 2 311.345 1.005 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC(N(C)C(=O)Cc3[nH]c[nH+]c3C)C2)C1 ZINC000954932343 715551190 /nfs/dbraw/zinc/55/11/90/715551190.db2.gz SMRABRQUDWGZGN-UHFFFAOYSA-N 1 2 316.405 1.286 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2n[nH]c3c2C[C@H](C)CC3)C1 ZINC000957075638 715734837 /nfs/dbraw/zinc/73/48/37/715734837.db2.gz ZQNHYWOYTYUHOK-CYBMUJFWSA-N 1 2 314.433 1.704 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cccc(NC(N)=O)c2)C1 ZINC000957369765 715865614 /nfs/dbraw/zinc/86/56/14/715865614.db2.gz AGUKKNDMKQBRDN-UHFFFAOYSA-N 1 2 316.405 1.900 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC000939477392 716274591 /nfs/dbraw/zinc/27/45/91/716274591.db2.gz JGHNHXVDSRQVTG-YOEHRIQHSA-N 1 2 318.421 1.545 20 30 DDEDLO N#CCN1CC[C@H]([C@@H]2CCCN(C(=O)CCn3cc[nH+]c3)C2)C1 ZINC000961221521 716867314 /nfs/dbraw/zinc/86/73/14/716867314.db2.gz WCZMEVNNWOTFCI-CVEARBPZSA-N 1 2 315.421 1.357 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000940846563 716988751 /nfs/dbraw/zinc/98/87/51/716988751.db2.gz BVFXTJOQDXFHNL-DZGCQCFKSA-N 1 2 315.421 1.186 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+]([C@@H](C)c4ncccn4)C[C@H]32)C1 ZINC000961731462 717077938 /nfs/dbraw/zinc/07/79/38/717077938.db2.gz JSMIYRIEZBJCOI-BYNSBNAKSA-N 1 2 312.417 1.940 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+]([C@@H](C)c4ncccn4)C[C@H]32)C1 ZINC000961731462 717077942 /nfs/dbraw/zinc/07/79/42/717077942.db2.gz JSMIYRIEZBJCOI-BYNSBNAKSA-N 1 2 312.417 1.940 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000941305815 717151154 /nfs/dbraw/zinc/15/11/54/717151154.db2.gz DTTZPIRLRJBUCI-CZUORRHYSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000964876793 717463414 /nfs/dbraw/zinc/46/34/14/717463414.db2.gz QWXLJPRMHAFESQ-FZMZJTMJSA-N 1 2 309.797 1.513 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000964876793 717463417 /nfs/dbraw/zinc/46/34/17/717463417.db2.gz QWXLJPRMHAFESQ-FZMZJTMJSA-N 1 2 309.797 1.513 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC000945438986 718462112 /nfs/dbraw/zinc/46/21/12/718462112.db2.gz LYSUGDNHBRLXNW-ZIAGYGMSSA-N 1 2 318.421 1.449 20 30 DDEDLO C[C@H]1CCN(CC#N)C[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000967498938 718917786 /nfs/dbraw/zinc/91/77/86/718917786.db2.gz QDGQDCSCEWRVHT-WMLDXEAASA-N 1 2 323.400 1.836 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1ncccn1 ZINC000947175609 719060835 /nfs/dbraw/zinc/06/08/35/719060835.db2.gz UFBILDATCBVCRS-OCCSQVGLSA-N 1 2 324.388 1.459 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1ncccn1 ZINC000947175609 719060839 /nfs/dbraw/zinc/06/08/39/719060839.db2.gz UFBILDATCBVCRS-OCCSQVGLSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cncn2C)C1 ZINC000968534993 719650905 /nfs/dbraw/zinc/65/09/05/719650905.db2.gz UTUOFRKZARUAOW-FZMZJTMJSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cncn2C)C1 ZINC000968534993 719650906 /nfs/dbraw/zinc/65/09/06/719650906.db2.gz UTUOFRKZARUAOW-FZMZJTMJSA-N 1 2 310.829 1.542 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc3c(c2)occc3=O)C1 ZINC000968554348 719662499 /nfs/dbraw/zinc/66/24/99/719662499.db2.gz UQQINTJGMRIVGV-XJKSGUPXSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc3c(c2)occc3=O)C1 ZINC000968554348 719662501 /nfs/dbraw/zinc/66/25/01/719662501.db2.gz UQQINTJGMRIVGV-XJKSGUPXSA-N 1 2 324.380 1.866 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc3c(c2)OCC(=O)N3)CC1 ZINC000948772863 719699026 /nfs/dbraw/zinc/69/90/26/719699026.db2.gz MQWKUWAFGREQNI-UHFFFAOYSA-N 1 2 315.373 1.351 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC000968717249 719753958 /nfs/dbraw/zinc/75/39/58/719753958.db2.gz SOSJFAIVBZUOSM-MCIONIFRSA-N 1 2 320.393 1.050 20 30 DDEDLO C=C(C)C[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nnc[nH]1 ZINC000948970340 719816814 /nfs/dbraw/zinc/81/68/14/719816814.db2.gz XNQWQQIUIRVHHN-OAHLLOKOSA-N 1 2 311.389 1.710 20 30 DDEDLO C=C(C)C[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nnc[nH]1 ZINC000948970340 719816817 /nfs/dbraw/zinc/81/68/17/719816817.db2.gz XNQWQQIUIRVHHN-OAHLLOKOSA-N 1 2 311.389 1.710 20 30 DDEDLO C=C(C)C[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ncn[nH]1 ZINC000948970340 719816821 /nfs/dbraw/zinc/81/68/21/719816821.db2.gz XNQWQQIUIRVHHN-OAHLLOKOSA-N 1 2 311.389 1.710 20 30 DDEDLO C=C(C)C[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ncn[nH]1 ZINC000948970340 719816824 /nfs/dbraw/zinc/81/68/24/719816824.db2.gz XNQWQQIUIRVHHN-OAHLLOKOSA-N 1 2 311.389 1.710 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3(C4CC4)CC3)CC2)C1 ZINC000949391821 720056978 /nfs/dbraw/zinc/05/69/78/720056978.db2.gz ZIXPZTGRZHSUPY-UHFFFAOYSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3(C4CC4)CC3)CC2)C1 ZINC000949391821 720056982 /nfs/dbraw/zinc/05/69/82/720056982.db2.gz ZIXPZTGRZHSUPY-UHFFFAOYSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C[C@@H]3CC[C@@H]4C[C@@H]43)CC2)C1 ZINC000949401730 720064446 /nfs/dbraw/zinc/06/44/46/720064446.db2.gz KOOXMZWCUFUCAO-IKGGRYGDSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C[C@@H]3CC[C@@H]4C[C@@H]43)CC2)C1 ZINC000949401730 720064453 /nfs/dbraw/zinc/06/44/53/720064453.db2.gz KOOXMZWCUFUCAO-IKGGRYGDSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3occc3CC)CC2)C1 ZINC000949458766 720102378 /nfs/dbraw/zinc/10/23/78/720102378.db2.gz TVKOQBZMLMSVNG-UHFFFAOYSA-N 1 2 316.401 1.782 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3occc3CC)CC2)C1 ZINC000949458766 720102381 /nfs/dbraw/zinc/10/23/81/720102381.db2.gz TVKOQBZMLMSVNG-UHFFFAOYSA-N 1 2 316.401 1.782 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccnn2CC(C)C)C1 ZINC000950440475 720634695 /nfs/dbraw/zinc/63/46/95/720634695.db2.gz PBVNJYNHZPIUSC-UHFFFAOYSA-N 1 2 302.422 1.709 20 30 DDEDLO CC#CCN1CC([C@H](C)NC(=O)c2ccn(-c3cc[nH+]cc3)n2)C1 ZINC000970130440 720637219 /nfs/dbraw/zinc/63/72/19/720637219.db2.gz IBJQITOCKGHXQD-AWEZNQCLSA-N 1 2 323.400 1.341 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2csc(=O)[nH]2)C1 ZINC000970307776 720700448 /nfs/dbraw/zinc/70/04/48/720700448.db2.gz WGNHLUWMGAPXMG-QMMMGPOBSA-N 1 2 301.799 1.651 20 30 DDEDLO C=C(C)CN1CC(N(CC)C(=O)c2cc(C[NH+](C)C)on2)C1 ZINC000950689927 720735912 /nfs/dbraw/zinc/73/59/12/720735912.db2.gz CTKLLRMRPMPTJB-UHFFFAOYSA-N 1 2 306.410 1.459 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccc(C)[nH]c2=O)C1 ZINC000970492496 720782820 /nfs/dbraw/zinc/78/28/20/720782820.db2.gz JTWZYCREVQQSJT-NSHDSACASA-N 1 2 309.797 1.898 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC000970724541 720910422 /nfs/dbraw/zinc/91/04/22/720910422.db2.gz FMDVWRUXPCMZNP-NEPJUHHUSA-N 1 2 322.840 1.704 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncoc2[C@@H]2CCCO2)C1 ZINC000951121794 720925641 /nfs/dbraw/zinc/92/56/41/720925641.db2.gz MHZONAQKCQYDDR-AWEZNQCLSA-N 1 2 317.389 1.696 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2C[C@H](C)Cc3cn[nH]c32)C1 ZINC000951371611 721005917 /nfs/dbraw/zinc/00/59/17/721005917.db2.gz ASHSGQUNIDMNAH-DOMZBBRYSA-N 1 2 302.422 1.794 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CC23CCOCC3)C1 ZINC000970996061 721044844 /nfs/dbraw/zinc/04/48/44/721044844.db2.gz HCZXLUXXWGDMPK-TZMCWYRMSA-N 1 2 312.841 1.992 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000951531465 721060851 /nfs/dbraw/zinc/06/08/51/721060851.db2.gz LATHPKOOJNOSFW-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(-n3cccn3)ccn2)C1 ZINC000951733398 721158384 /nfs/dbraw/zinc/15/83/84/721158384.db2.gz RNSCFPWAQVBRKR-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)c(C)s1 ZINC000971220080 721186674 /nfs/dbraw/zinc/18/66/74/721186674.db2.gz COAIFVHSRQWICG-GWCFXTLKSA-N 1 2 306.435 1.952 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)c(C)s1 ZINC000971220080 721186677 /nfs/dbraw/zinc/18/66/77/721186677.db2.gz COAIFVHSRQWICG-GWCFXTLKSA-N 1 2 306.435 1.952 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001039081558 732639887 /nfs/dbraw/zinc/63/98/87/732639887.db2.gz AATVOFPBEUPKCF-CYBMUJFWSA-N 1 2 303.337 1.221 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001039081558 732639890 /nfs/dbraw/zinc/63/98/90/732639890.db2.gz AATVOFPBEUPKCF-CYBMUJFWSA-N 1 2 303.337 1.221 20 30 DDEDLO CCOC(=O)[C@@H](C#C[Si](C)(C)C)OCC[NH+]1CCOCC1 ZINC001210224967 733093306 /nfs/dbraw/zinc/09/33/06/733093306.db2.gz LXRGSTPEUIHCOX-CQSZACIVSA-N 1 2 313.470 1.148 20 30 DDEDLO C=CC(C)(C)CC(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111513421 733107837 /nfs/dbraw/zinc/10/78/37/733107837.db2.gz JSBBXCJUJXMOIN-UHFFFAOYSA-N 1 2 306.410 1.620 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H](C)C[C@H]1C[NH2+]Cc1cnsn1 ZINC001087136550 733465443 /nfs/dbraw/zinc/46/54/43/733465443.db2.gz YOUJENIXHTZMFL-WZRBSPASSA-N 1 2 307.423 1.414 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2[C@@H](CCCN2C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001021733666 733493383 /nfs/dbraw/zinc/49/33/83/733493383.db2.gz QMKRGIIBDHVETB-YOEHRIQHSA-N 1 2 323.400 1.518 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2[C@@H](CCCN2C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001021733666 733493384 /nfs/dbraw/zinc/49/33/84/733493384.db2.gz QMKRGIIBDHVETB-YOEHRIQHSA-N 1 2 323.400 1.518 20 30 DDEDLO Cn1ccc(C(=O)NC[C@@H]2CC[N@@H+]2CC#Cc2ccccc2)c1 ZINC001038149957 738778951 /nfs/dbraw/zinc/77/89/51/738778951.db2.gz MCXSKKOYCRKKQM-SFHVURJKSA-N 1 2 307.397 1.881 20 30 DDEDLO Cn1ccc(C(=O)NC[C@@H]2CC[N@H+]2CC#Cc2ccccc2)c1 ZINC001038149957 738778952 /nfs/dbraw/zinc/77/89/52/738778952.db2.gz MCXSKKOYCRKKQM-SFHVURJKSA-N 1 2 307.397 1.881 20 30 DDEDLO C=CCCC(=O)NC[C@@H](CO)Nc1nc(C)[nH+]c2c1CCCC2 ZINC001121199170 782405681 /nfs/dbraw/zinc/40/56/81/782405681.db2.gz WJTBXLZQARZTQL-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@@H]3CCc4ccccc43)[C@H]2C1 ZINC001083228578 734518112 /nfs/dbraw/zinc/51/81/12/734518112.db2.gz JGQYUIGUHMQTKT-YTQUADARSA-N 1 2 324.424 1.651 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@@H]3CCc4ccccc43)[C@H]2C1 ZINC001083228578 734518115 /nfs/dbraw/zinc/51/81/15/734518115.db2.gz JGQYUIGUHMQTKT-YTQUADARSA-N 1 2 324.424 1.651 20 30 DDEDLO Cc1c[nH]nc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038236635 734664017 /nfs/dbraw/zinc/66/40/17/734664017.db2.gz WDPYAKSKISBVQT-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1c[nH]nc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038236635 734664019 /nfs/dbraw/zinc/66/40/19/734664019.db2.gz WDPYAKSKISBVQT-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc2n[nH]nc2c1 ZINC001027937967 738837233 /nfs/dbraw/zinc/83/72/33/738837233.db2.gz YUMZMEQMQCNAKK-LLVKDONJSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cnc2n[nH]nc2c1 ZINC001027937967 738837237 /nfs/dbraw/zinc/83/72/37/738837237.db2.gz YUMZMEQMQCNAKK-LLVKDONJSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cncs1 ZINC001038267271 735145297 /nfs/dbraw/zinc/14/52/97/735145297.db2.gz QZMUWRVSKWXDII-YUELXQCFSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cncs1 ZINC001038267271 735145298 /nfs/dbraw/zinc/14/52/98/735145298.db2.gz QZMUWRVSKWXDII-YUELXQCFSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001024511390 735886042 /nfs/dbraw/zinc/88/60/42/735886042.db2.gz GVBIFVBXMHTSCC-CQSZACIVSA-N 1 2 323.824 1.722 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001024511390 735886047 /nfs/dbraw/zinc/88/60/47/735886047.db2.gz GVBIFVBXMHTSCC-CQSZACIVSA-N 1 2 323.824 1.722 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H](C)c2ccnn2C)C1 ZINC001007356395 736314573 /nfs/dbraw/zinc/31/45/73/736314573.db2.gz JSOBVACSFXYQCG-CHWSQXEVSA-N 1 2 310.829 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)c2ccnn2C)C1 ZINC001007356395 736314574 /nfs/dbraw/zinc/31/45/74/736314574.db2.gz JSOBVACSFXYQCG-CHWSQXEVSA-N 1 2 310.829 1.857 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)CCn1cc[nH+]c1 ZINC001025255613 736395934 /nfs/dbraw/zinc/39/59/34/736395934.db2.gz RDESGOIVKZLCEO-HZPDHXFCSA-N 1 2 315.421 1.642 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)/C=C\c2ccc(OC)c(O)c2)CC1 ZINC000588417182 736453605 /nfs/dbraw/zinc/45/36/05/736453605.db2.gz UOOFIEWKODYLNN-ALCCZGGFSA-N 1 2 300.358 1.191 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H](C)CNc1cc[nH+]c(C)n1 ZINC001104808500 737231683 /nfs/dbraw/zinc/23/16/83/737231683.db2.gz VSJDAKDFGNDVSA-BNOWGMLFSA-N 1 2 304.394 1.635 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CNC(=O)c1scnc1C(F)(F)F ZINC001038362619 737321537 /nfs/dbraw/zinc/32/15/37/737321537.db2.gz RRCFVDYNOMGRJJ-SECBINFHSA-N 1 2 317.336 1.989 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1scnc1C(F)(F)F ZINC001038362619 737321538 /nfs/dbraw/zinc/32/15/38/737321538.db2.gz RRCFVDYNOMGRJJ-SECBINFHSA-N 1 2 317.336 1.989 20 30 DDEDLO CC(C)[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001105293789 737746369 /nfs/dbraw/zinc/74/63/69/737746369.db2.gz GKLQNSTYWJDFJD-OAHLLOKOSA-N 1 2 312.377 1.472 20 30 DDEDLO N#Cc1cc(C(=O)NCC[NH2+]Cc2nc3c(o2)CCCC3)c[nH]1 ZINC001125995255 738107869 /nfs/dbraw/zinc/10/78/69/738107869.db2.gz YDWUHAYCYFFVEW-UHFFFAOYSA-N 1 2 313.361 1.273 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)c(C)o1 ZINC001027336061 738208327 /nfs/dbraw/zinc/20/83/27/738208327.db2.gz MUFAJUXPALIBFK-SMDDNHRTSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)c(C)o1 ZINC001027336061 738208329 /nfs/dbraw/zinc/20/83/29/738208329.db2.gz MUFAJUXPALIBFK-SMDDNHRTSA-N 1 2 304.394 1.874 20 30 DDEDLO Cn1ccnc1C[N@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405105 738269085 /nfs/dbraw/zinc/26/90/85/738269085.db2.gz BFKGRMGTCJKMBB-HNNXBMFYSA-N 1 2 300.406 1.256 20 30 DDEDLO Cn1ccnc1C[N@@H+](C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405105 738269087 /nfs/dbraw/zinc/26/90/87/738269087.db2.gz BFKGRMGTCJKMBB-HNNXBMFYSA-N 1 2 300.406 1.256 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)[C@H](C)n3cccn3)[C@@H]2C1 ZINC001075568411 738333844 /nfs/dbraw/zinc/33/38/44/738333844.db2.gz ZXTZTVGBWMNWPH-MELADBBJSA-N 1 2 308.813 1.729 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)[C@H](C)n3cccn3)[C@@H]2C1 ZINC001075568411 738333845 /nfs/dbraw/zinc/33/38/45/738333845.db2.gz ZXTZTVGBWMNWPH-MELADBBJSA-N 1 2 308.813 1.729 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cccc2ncnn21 ZINC001028002321 738925434 /nfs/dbraw/zinc/92/54/34/738925434.db2.gz WHPNVJDVNSKQBR-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cccc2ncnn21 ZINC001028002321 738925435 /nfs/dbraw/zinc/92/54/35/738925435.db2.gz WHPNVJDVNSKQBR-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cn(C)nc1OC ZINC001028071487 738991043 /nfs/dbraw/zinc/99/10/43/738991043.db2.gz UOEFSCBKHIJTBM-NSHDSACASA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cn(C)nc1OC ZINC001028071487 738991044 /nfs/dbraw/zinc/99/10/44/738991044.db2.gz UOEFSCBKHIJTBM-NSHDSACASA-N 1 2 312.801 1.375 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]2CNC(=O)c2nnc[nH]2)s1 ZINC001028211642 739165564 /nfs/dbraw/zinc/16/55/64/739165564.db2.gz SKTKVRVJAZXXKZ-JTQLQIEISA-N 1 2 316.390 1.132 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]2CNC(=O)c2nnc[nH]2)s1 ZINC001028211642 739165565 /nfs/dbraw/zinc/16/55/65/739165565.db2.gz SKTKVRVJAZXXKZ-JTQLQIEISA-N 1 2 316.390 1.132 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cc(CC)nn1C ZINC001028224705 739194612 /nfs/dbraw/zinc/19/46/12/739194612.db2.gz JTFRWNJDPKOBRV-ZDUSSCGKSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc(CC)nn1C ZINC001028224705 739194614 /nfs/dbraw/zinc/19/46/14/739194614.db2.gz JTFRWNJDPKOBRV-ZDUSSCGKSA-N 1 2 310.829 1.929 20 30 DDEDLO Cc1csc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001075621108 739232294 /nfs/dbraw/zinc/23/22/94/739232294.db2.gz ONKOWXYYYZDURY-KGYLQXTDSA-N 1 2 304.419 1.644 20 30 DDEDLO Cc1csc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)n1 ZINC001075621108 739232297 /nfs/dbraw/zinc/23/22/97/739232297.db2.gz ONKOWXYYYZDURY-KGYLQXTDSA-N 1 2 304.419 1.644 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)n(C)n2)C1 ZINC001035367785 751432083 /nfs/dbraw/zinc/43/20/83/751432083.db2.gz MPAQXBPYBFPDCH-ZDUSSCGKSA-N 1 2 306.410 1.160 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)C)n(C)n2)C1 ZINC001035367785 751432084 /nfs/dbraw/zinc/43/20/84/751432084.db2.gz MPAQXBPYBFPDCH-ZDUSSCGKSA-N 1 2 306.410 1.160 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001035370929 751435445 /nfs/dbraw/zinc/43/54/45/751435445.db2.gz QSXITNAKXOJMOL-KBPBESRZSA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001035370929 751435448 /nfs/dbraw/zinc/43/54/48/751435448.db2.gz QSXITNAKXOJMOL-KBPBESRZSA-N 1 2 318.421 1.223 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H](C[N@H+](C)Cc2nncs2)C1 ZINC001017072000 751435859 /nfs/dbraw/zinc/43/58/59/751435859.db2.gz QYZARXCJZAIPIB-RYUDHWBXSA-N 1 2 307.423 1.368 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H](C[N@@H+](C)Cc2nncs2)C1 ZINC001017072000 751435862 /nfs/dbraw/zinc/43/58/62/751435862.db2.gz QYZARXCJZAIPIB-RYUDHWBXSA-N 1 2 307.423 1.368 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OCC)nc2)C1 ZINC001035390666 751460330 /nfs/dbraw/zinc/46/03/30/751460330.db2.gz KKXOODXKNNWBOD-AWEZNQCLSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OCC)nc2)C1 ZINC001035390666 751460331 /nfs/dbraw/zinc/46/03/31/751460331.db2.gz KKXOODXKNNWBOD-AWEZNQCLSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001035390823 751460382 /nfs/dbraw/zinc/46/03/82/751460382.db2.gz AGWIHDZCMJWAOU-CQSZACIVSA-N 1 2 319.405 1.094 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001035390823 751460383 /nfs/dbraw/zinc/46/03/83/751460383.db2.gz AGWIHDZCMJWAOU-CQSZACIVSA-N 1 2 319.405 1.094 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccc(CC)n2)C1 ZINC001035396925 751466940 /nfs/dbraw/zinc/46/69/40/751466940.db2.gz ALVRXZLUDWBCEA-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccc(CC)n2)C1 ZINC001035396925 751466943 /nfs/dbraw/zinc/46/69/43/751466943.db2.gz ALVRXZLUDWBCEA-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C[C@@H](CC(=O)N1CC[C@H](Nc2ncccc2C#N)C1)n1cc[nH+]c1 ZINC001059080766 739890725 /nfs/dbraw/zinc/89/07/25/739890725.db2.gz HFPYAELUZKOWQG-ZFWWWQNUSA-N 1 2 324.388 1.814 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+](Cc3nnc(C)[nH]3)C2)CC1 ZINC001028781027 740027182 /nfs/dbraw/zinc/02/71/82/740027182.db2.gz QSUGNZFGAMOVOJ-CQSZACIVSA-N 1 2 317.437 1.798 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+](Cc3nnc(C)[nH]3)C2)CC1 ZINC001028781027 740027189 /nfs/dbraw/zinc/02/71/89/740027189.db2.gz QSUGNZFGAMOVOJ-CQSZACIVSA-N 1 2 317.437 1.798 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098690423 740103176 /nfs/dbraw/zinc/10/31/76/740103176.db2.gz OENFAHUOXOQCSN-PBHICJAKSA-N 1 2 318.421 1.539 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3c2CCOC3)C1 ZINC001035441478 751518263 /nfs/dbraw/zinc/51/82/63/751518263.db2.gz AXPLATCVPXCNNP-HNNXBMFYSA-N 1 2 316.401 1.376 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3c2CCOC3)C1 ZINC001035441478 751518266 /nfs/dbraw/zinc/51/82/66/751518266.db2.gz AXPLATCVPXCNNP-HNNXBMFYSA-N 1 2 316.401 1.376 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)noc2C2CC2)C1 ZINC001035447937 751525045 /nfs/dbraw/zinc/52/50/45/751525045.db2.gz XWRHMHRAGICCDZ-CQSZACIVSA-N 1 2 317.389 1.314 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2c(C)noc2C2CC2)C1 ZINC001035447937 751525048 /nfs/dbraw/zinc/52/50/48/751525048.db2.gz XWRHMHRAGICCDZ-CQSZACIVSA-N 1 2 317.389 1.314 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccc(F)c2F)C1 ZINC001035487022 751539803 /nfs/dbraw/zinc/53/98/03/751539803.db2.gz HKMBLZAGWVMBMS-GFCCVEGCSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccc(F)c2F)C1 ZINC001035487022 751539805 /nfs/dbraw/zinc/53/98/05/751539805.db2.gz HKMBLZAGWVMBMS-GFCCVEGCSA-N 1 2 310.344 1.972 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3CCC)C2)nn1 ZINC001098722513 741091378 /nfs/dbraw/zinc/09/13/78/741091378.db2.gz NRPAEUZYBSQPDF-FVQBIDKESA-N 1 2 315.421 1.211 20 30 DDEDLO CN(CCCN(C)c1ncccc1C#N)C(=O)Cc1c[nH+]c[nH]1 ZINC001112184036 741166028 /nfs/dbraw/zinc/16/60/28/741166028.db2.gz NFFSDBQCMSFUPN-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001059553077 741277700 /nfs/dbraw/zinc/27/77/00/741277700.db2.gz LEHVRMGBOWQJPR-OAHLLOKOSA-N 1 2 316.405 1.041 20 30 DDEDLO Cc1nc(N(C)CCN(C)C(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001105419164 741404731 /nfs/dbraw/zinc/40/47/31/741404731.db2.gz JAVFGLIBGBRUOR-UHFFFAOYSA-N 1 2 312.377 1.053 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2nc(C3CC3)oc2C)C1 ZINC001035562839 751644988 /nfs/dbraw/zinc/64/49/88/751644988.db2.gz BAEVXTMRCFROPZ-CQSZACIVSA-N 1 2 319.405 1.867 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2nc(C3CC3)oc2C)C1 ZINC001035562839 751644989 /nfs/dbraw/zinc/64/49/89/751644989.db2.gz BAEVXTMRCFROPZ-CQSZACIVSA-N 1 2 319.405 1.867 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ncoc2C(C)C)C1 ZINC001035578710 751668027 /nfs/dbraw/zinc/66/80/27/751668027.db2.gz UJFAAMDDZZKDSP-CYBMUJFWSA-N 1 2 305.378 1.252 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ncoc2C(C)C)C1 ZINC001035578710 751668036 /nfs/dbraw/zinc/66/80/36/751668036.db2.gz UJFAAMDDZZKDSP-CYBMUJFWSA-N 1 2 305.378 1.252 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)n1 ZINC001059847732 741791224 /nfs/dbraw/zinc/79/12/24/741791224.db2.gz QEZLGEVTRSIIBH-BETUJISGSA-N 1 2 310.361 1.287 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3c(cccc3C)O2)C1 ZINC001035579716 751668978 /nfs/dbraw/zinc/66/89/78/751668978.db2.gz LPRXSIVRODJBQH-YOEHRIQHSA-N 1 2 316.401 1.302 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3c(cccc3C)O2)C1 ZINC001035579716 751668984 /nfs/dbraw/zinc/66/89/84/751668984.db2.gz LPRXSIVRODJBQH-YOEHRIQHSA-N 1 2 316.401 1.302 20 30 DDEDLO Cc1cnc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)cn1 ZINC001038026096 751689903 /nfs/dbraw/zinc/68/99/03/751689903.db2.gz PLHQMGWEWXGSJV-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1cnc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)cn1 ZINC001038026096 751689907 /nfs/dbraw/zinc/68/99/07/751689907.db2.gz PLHQMGWEWXGSJV-INIZCTEOSA-N 1 2 321.384 1.661 20 30 DDEDLO CN(CCN(C)c1cccc(F)c1C#N)C(=O)Cc1c[nH+]c[nH]1 ZINC001105576310 742124047 /nfs/dbraw/zinc/12/40/47/742124047.db2.gz YKLXBJDIXFARMF-UHFFFAOYSA-N 1 2 315.352 1.558 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc3nccn3c2)[C@H]1C ZINC001088747126 742272027 /nfs/dbraw/zinc/27/20/27/742272027.db2.gz KIVLOQOZDHGIPA-YPMHNXCESA-N 1 2 319.796 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc3nccn3c2)[C@H]1C ZINC001088747126 742272029 /nfs/dbraw/zinc/27/20/29/742272029.db2.gz KIVLOQOZDHGIPA-YPMHNXCESA-N 1 2 319.796 1.674 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sc(Cl)nc2C)[C@@H](O)C1 ZINC001083492395 742371695 /nfs/dbraw/zinc/37/16/95/742371695.db2.gz ZSAHVNYPHACAAS-BDAKNGLRSA-N 1 2 301.799 1.066 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sc(Cl)nc2C)[C@@H](O)C1 ZINC001083492395 742371701 /nfs/dbraw/zinc/37/17/01/742371701.db2.gz ZSAHVNYPHACAAS-BDAKNGLRSA-N 1 2 301.799 1.066 20 30 DDEDLO Cc1ncoc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038132854 742408268 /nfs/dbraw/zinc/40/82/68/742408268.db2.gz LOILAXOEVGOIHJ-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1ncoc1C(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038132854 742408270 /nfs/dbraw/zinc/40/82/70/742408270.db2.gz LOILAXOEVGOIHJ-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C[C@H]([NH2+]CCNC(=O)C#CC(C)(C)C)c1nc(C2CC2)no1 ZINC001126907064 742467028 /nfs/dbraw/zinc/46/70/28/742467028.db2.gz FBOMBERKOSEJJH-NSHDSACASA-N 1 2 304.394 1.763 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCN(C(=O)c3snnc3CC)[C@@H]2C1 ZINC001076007423 742472403 /nfs/dbraw/zinc/47/24/03/742472403.db2.gz YNBGIHILSORPBM-WCQYABFASA-N 1 2 304.419 1.270 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3snnc3CC)[C@@H]2C1 ZINC001076007423 742472406 /nfs/dbraw/zinc/47/24/06/742472406.db2.gz YNBGIHILSORPBM-WCQYABFASA-N 1 2 304.419 1.270 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001105679214 742475469 /nfs/dbraw/zinc/47/54/69/742475469.db2.gz VKQXMQDVDIHMIS-LSDHHAIUSA-N 1 2 316.405 1.522 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3c(C)cnn3C)[C@@H]2C1 ZINC001076147653 742567945 /nfs/dbraw/zinc/56/79/45/742567945.db2.gz PJNSSPBYDQZKEF-QWHCGFSZSA-N 1 2 308.813 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3c(C)cnn3C)[C@@H]2C1 ZINC001076147653 742567949 /nfs/dbraw/zinc/56/79/49/742567949.db2.gz PJNSSPBYDQZKEF-QWHCGFSZSA-N 1 2 308.813 1.627 20 30 DDEDLO N#CCc1nc(Cl)cc(N[C@@H]2CCC[N@@H+](C3COC3)C2)n1 ZINC001168366629 742699097 /nfs/dbraw/zinc/69/90/97/742699097.db2.gz BPWRADWRISJOGL-SNVBAGLBSA-N 1 2 307.785 1.471 20 30 DDEDLO N#CCc1nc(Cl)cc(N[C@@H]2CCC[N@H+](C3COC3)C2)n1 ZINC001168366629 742699100 /nfs/dbraw/zinc/69/91/00/742699100.db2.gz BPWRADWRISJOGL-SNVBAGLBSA-N 1 2 307.785 1.471 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001076413671 742718583 /nfs/dbraw/zinc/71/85/83/742718583.db2.gz JIJFNLAKZDFRCC-ZDUSSCGKSA-N 1 2 304.394 1.013 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001076413671 742718586 /nfs/dbraw/zinc/71/85/86/742718586.db2.gz JIJFNLAKZDFRCC-ZDUSSCGKSA-N 1 2 304.394 1.013 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001076755597 742970967 /nfs/dbraw/zinc/97/09/67/742970967.db2.gz HTDCINBOMZUCND-HUUCEWRRSA-N 1 2 305.353 1.015 20 30 DDEDLO CC(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001076755597 742970972 /nfs/dbraw/zinc/97/09/72/742970972.db2.gz HTDCINBOMZUCND-HUUCEWRRSA-N 1 2 305.353 1.015 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCCN(C)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001067512124 743073982 /nfs/dbraw/zinc/07/39/82/743073982.db2.gz WAMOIYWJFCKIRR-CHWSQXEVSA-N 1 2 319.409 1.055 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CCCN(C)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001067512124 743073991 /nfs/dbraw/zinc/07/39/91/743073991.db2.gz WAMOIYWJFCKIRR-CHWSQXEVSA-N 1 2 319.409 1.055 20 30 DDEDLO C=CCOCCCC(=O)NCc1cnn2c1C[N@H+](CC)CC2 ZINC001128285071 743464343 /nfs/dbraw/zinc/46/43/43/743464343.db2.gz XJBOZWJQXXPPNW-UHFFFAOYSA-N 1 2 306.410 1.318 20 30 DDEDLO C=CCOCCCC(=O)NCc1cnn2c1C[N@@H+](CC)CC2 ZINC001128285071 743464349 /nfs/dbraw/zinc/46/43/49/743464349.db2.gz XJBOZWJQXXPPNW-UHFFFAOYSA-N 1 2 306.410 1.318 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCc1cnn2c1C[N@H+](CCC)CC2 ZINC001128327531 743599398 /nfs/dbraw/zinc/59/93/98/743599398.db2.gz RCNYRKLGAJBVIG-INIZCTEOSA-N 1 2 306.410 1.052 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCc1cnn2c1C[N@@H+](CCC)CC2 ZINC001128327531 743599403 /nfs/dbraw/zinc/59/94/03/743599403.db2.gz RCNYRKLGAJBVIG-INIZCTEOSA-N 1 2 306.410 1.052 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CC3(O)CCC3)c2C1 ZINC001128341603 743627964 /nfs/dbraw/zinc/62/79/64/743627964.db2.gz GBPFWVMASDWATB-UHFFFAOYSA-N 1 2 318.421 1.196 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CC3(O)CCC3)c2C1 ZINC001128341603 743627967 /nfs/dbraw/zinc/62/79/67/743627967.db2.gz GBPFWVMASDWATB-UHFFFAOYSA-N 1 2 318.421 1.196 20 30 DDEDLO C[C@@H](C(=O)NCC[N@@H+]1CCOC[C@@H]1C)c1ccc(C#N)cc1 ZINC001182798432 743719463 /nfs/dbraw/zinc/71/94/63/743719463.db2.gz HXTMAOHUNHRXBF-UONOGXRCSA-N 1 2 301.390 1.499 20 30 DDEDLO C[C@@H](C(=O)NCC[N@H+]1CCOC[C@@H]1C)c1ccc(C#N)cc1 ZINC001182798432 743719465 /nfs/dbraw/zinc/71/94/65/743719465.db2.gz HXTMAOHUNHRXBF-UONOGXRCSA-N 1 2 301.390 1.499 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH2+]Cc2nc(CCOC)no2)C1 ZINC001182934016 743759149 /nfs/dbraw/zinc/75/91/49/743759149.db2.gz ZZBQTTULLWOPIR-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([NH2+]Cc3nc(CC)no3)C2)CCC1 ZINC001183193275 743794019 /nfs/dbraw/zinc/79/40/19/743794019.db2.gz HIBWTTYWDIDQQA-CYBMUJFWSA-N 1 2 316.405 1.516 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+][C@H](C)c1nnc(C)o1 ZINC001127129711 743847699 /nfs/dbraw/zinc/84/76/99/743847699.db2.gz XJLWLOBTQHCDFU-CYBMUJFWSA-N 1 2 324.425 1.908 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3ccn(C)n3)[C@@H]2C)c1 ZINC001088846300 744200121 /nfs/dbraw/zinc/20/01/21/744200121.db2.gz HHDVFTSVWRSXHA-DYVFJYSZSA-N 1 2 323.400 1.189 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3ccn(C)n3)[C@@H]2C)c1 ZINC001088846300 744200122 /nfs/dbraw/zinc/20/01/22/744200122.db2.gz HHDVFTSVWRSXHA-DYVFJYSZSA-N 1 2 323.400 1.189 20 30 DDEDLO C[C@@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC[C@H]1CNCC#N ZINC001185493450 744237204 /nfs/dbraw/zinc/23/72/04/744237204.db2.gz WWCMXVVAPKUJRC-OLZOCXBDSA-N 1 2 303.410 1.285 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)c2cn[nH]c2)C1 ZINC001006826870 751902968 /nfs/dbraw/zinc/90/29/68/751902968.db2.gz ZVNQYRDRTHVUAS-INIZCTEOSA-N 1 2 309.373 1.676 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)c2cn[nH]c2)C1 ZINC001006826870 751902972 /nfs/dbraw/zinc/90/29/72/751902972.db2.gz ZVNQYRDRTHVUAS-INIZCTEOSA-N 1 2 309.373 1.676 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001187737699 744602269 /nfs/dbraw/zinc/60/22/69/744602269.db2.gz YIPQYWFQRQSNBA-GXTWGEPZSA-N 1 2 304.419 1.374 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001187737699 744602271 /nfs/dbraw/zinc/60/22/71/744602271.db2.gz YIPQYWFQRQSNBA-GXTWGEPZSA-N 1 2 304.419 1.374 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)on1 ZINC001187841031 744616451 /nfs/dbraw/zinc/61/64/51/744616451.db2.gz PDLPNQHRVSDLHX-DZGCQCFKSA-N 1 2 317.389 1.375 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)on1 ZINC001187841031 744616454 /nfs/dbraw/zinc/61/64/54/744616454.db2.gz PDLPNQHRVSDLHX-DZGCQCFKSA-N 1 2 317.389 1.375 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H]2C[N@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001188909723 744793030 /nfs/dbraw/zinc/79/30/30/744793030.db2.gz XRFZSINLUVDKCJ-IJEWVQPXSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H]2C[N@@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001188909723 744793033 /nfs/dbraw/zinc/79/30/33/744793033.db2.gz XRFZSINLUVDKCJ-IJEWVQPXSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C1CCC(C(=O)NC2C[NH+](CCc3ccnn3C)C2)CC1 ZINC001030900619 744797380 /nfs/dbraw/zinc/79/73/80/744797380.db2.gz VARUFWWGSBWXBK-UHFFFAOYSA-N 1 2 302.422 1.509 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188973834 744802704 /nfs/dbraw/zinc/80/27/04/744802704.db2.gz JKZBHLVMSGWUHT-JQWIXIFHSA-N 1 2 323.422 1.229 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188973834 744802705 /nfs/dbraw/zinc/80/27/05/744802705.db2.gz JKZBHLVMSGWUHT-JQWIXIFHSA-N 1 2 323.422 1.229 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NCC1(Nc2ccc(C#N)nc2)CC1 ZINC001110410333 744915887 /nfs/dbraw/zinc/91/58/87/744915887.db2.gz LPIFNIGTKOZNRK-UHFFFAOYSA-N 1 2 324.388 1.380 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cc(OC)ncn2)C1 ZINC001046320164 744959042 /nfs/dbraw/zinc/95/90/42/744959042.db2.gz PSHZBXNACHPMAC-CQSZACIVSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc(OC)ncn2)C1 ZINC001046320164 744959044 /nfs/dbraw/zinc/95/90/44/744959044.db2.gz PSHZBXNACHPMAC-CQSZACIVSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2csc(C)n2)C1 ZINC001189865838 745005563 /nfs/dbraw/zinc/00/55/63/745005563.db2.gz HPFCUTFXQCAXNF-ZBFHGGJFSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2csc(C)n2)C1 ZINC001189865838 745005569 /nfs/dbraw/zinc/00/55/69/745005569.db2.gz HPFCUTFXQCAXNF-ZBFHGGJFSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001189919244 745035185 /nfs/dbraw/zinc/03/51/85/745035185.db2.gz ZTWWTZDFLVESGU-RHSMWYFYSA-N 1 2 321.421 1.651 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001189919244 745035188 /nfs/dbraw/zinc/03/51/88/745035188.db2.gz ZTWWTZDFLVESGU-RHSMWYFYSA-N 1 2 321.421 1.651 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)cs2)C1 ZINC001189919006 745035539 /nfs/dbraw/zinc/03/55/39/745035539.db2.gz YAERMPXGFUIBAL-XJKSGUPXSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)cs2)C1 ZINC001189919006 745035546 /nfs/dbraw/zinc/03/55/46/745035546.db2.gz YAERMPXGFUIBAL-XJKSGUPXSA-N 1 2 323.462 1.811 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001190425134 745216789 /nfs/dbraw/zinc/21/67/89/745216789.db2.gz NIUWWJUCMOZYQF-GOEBONIOSA-N 1 2 319.405 1.608 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001190425134 745216796 /nfs/dbraw/zinc/21/67/96/745216796.db2.gz NIUWWJUCMOZYQF-GOEBONIOSA-N 1 2 319.405 1.608 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2noc3ccc(F)cc23)C1 ZINC001031091660 745355727 /nfs/dbraw/zinc/35/57/27/745355727.db2.gz DBGXNBCXETXAOH-UHFFFAOYSA-N 1 2 303.337 1.886 20 30 DDEDLO CCN1CC[NH+](Cc2ccc(C(=O)NCCCS)cc2)CC1 ZINC001190865653 745359719 /nfs/dbraw/zinc/35/97/19/745359719.db2.gz FRAXQYIRRBUAMZ-UHFFFAOYSA-N 1 2 321.490 1.874 20 30 DDEDLO O=C(/C=C\C1CC1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192087538 745685004 /nfs/dbraw/zinc/68/50/04/745685004.db2.gz JTNHPEHLAJWBSN-QAZIKRLUSA-N 1 2 310.397 1.166 20 30 DDEDLO O=C(/C=C\C1CC1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192087538 745685006 /nfs/dbraw/zinc/68/50/06/745685006.db2.gz JTNHPEHLAJWBSN-QAZIKRLUSA-N 1 2 310.397 1.166 20 30 DDEDLO CC[C@@H](C)CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192511146 745802477 /nfs/dbraw/zinc/80/24/77/745802477.db2.gz XMQYEBWDKDEZMP-KBAYOESNSA-N 1 2 314.429 1.636 20 30 DDEDLO CC[C@@H](C)CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192511146 745802482 /nfs/dbraw/zinc/80/24/82/745802482.db2.gz XMQYEBWDKDEZMP-KBAYOESNSA-N 1 2 314.429 1.636 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC1C[NH+](C[C@H](C)OC)C1 ZINC001031231646 745950289 /nfs/dbraw/zinc/95/02/89/745950289.db2.gz GEOQZQKCIQNJQV-LBPRGKRZSA-N 1 2 305.378 1.095 20 30 DDEDLO COc1cc(N2CCN(C(=O)c3cnccc3C#N)CC2)cc[nH+]1 ZINC001192974172 745952392 /nfs/dbraw/zinc/95/23/92/745952392.db2.gz MWDXXVXLVVLSJN-UHFFFAOYSA-N 1 2 323.356 1.319 20 30 DDEDLO N#Cc1cccnc1NC1CCC(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001060597561 746194910 /nfs/dbraw/zinc/19/49/10/746194910.db2.gz PETXVUHTMZHZJL-UHFFFAOYSA-N 1 2 324.388 1.689 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CN(C(C)=O)C[C@H]2c2c[nH+]cn2C)C1 ZINC000993572829 746210525 /nfs/dbraw/zinc/21/05/25/746210525.db2.gz DYDUMQKKDWKVQW-ZIAGYGMSSA-N 1 2 316.405 1.207 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2c[nH]c(=O)n2C)[C@@H]1C ZINC000993696498 746293413 /nfs/dbraw/zinc/29/34/13/746293413.db2.gz CAYNMPFLCVOANR-QWRGUYRKSA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(=O)n2C)[C@@H]1C ZINC000993696498 746293417 /nfs/dbraw/zinc/29/34/17/746293417.db2.gz CAYNMPFLCVOANR-QWRGUYRKSA-N 1 2 312.801 1.461 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2scnc2COC)[C@@H]1C ZINC000993957192 746391244 /nfs/dbraw/zinc/39/12/44/746391244.db2.gz BMNNDZUJRJPHLL-QWHCGFSZSA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2scnc2COC)[C@@H]1C ZINC000993957192 746391248 /nfs/dbraw/zinc/39/12/48/746391248.db2.gz BMNNDZUJRJPHLL-QWHCGFSZSA-N 1 2 321.446 1.896 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2ccccc2C)CC1 ZINC001194689572 746437760 /nfs/dbraw/zinc/43/77/60/746437760.db2.gz JUHFBZFLGQMKDX-UHFFFAOYSA-N 1 2 315.417 1.445 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2ccccc2C)CC1 ZINC001194689572 746437761 /nfs/dbraw/zinc/43/77/61/746437761.db2.gz JUHFBZFLGQMKDX-UHFFFAOYSA-N 1 2 315.417 1.445 20 30 DDEDLO C#CCN1CCC[C@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)[C@@H]1C ZINC000994119347 746453386 /nfs/dbraw/zinc/45/33/86/746453386.db2.gz WASYNXUCDBIOFS-YOEHRIQHSA-N 1 2 323.400 1.483 20 30 DDEDLO CC(C)CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195321246 746563907 /nfs/dbraw/zinc/56/39/07/746563907.db2.gz GHZKFXFWSIXRDD-GJZGRUSLSA-N 1 2 322.453 1.231 20 30 DDEDLO CC(C)CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195321246 746563910 /nfs/dbraw/zinc/56/39/10/746563910.db2.gz GHZKFXFWSIXRDD-GJZGRUSLSA-N 1 2 322.453 1.231 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2sc(COC)nc2C)[C@H]1C ZINC000994298033 746586882 /nfs/dbraw/zinc/58/68/82/746586882.db2.gz KHFAKFWSOITKJH-CHWSQXEVSA-N 1 2 321.446 1.814 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2sc(COC)nc2C)[C@H]1C ZINC000994298033 746586885 /nfs/dbraw/zinc/58/68/85/746586885.db2.gz KHFAKFWSOITKJH-CHWSQXEVSA-N 1 2 321.446 1.814 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cc(OC)c(C)c(OC)c2)C1 ZINC001031357403 746663424 /nfs/dbraw/zinc/66/34/24/746663424.db2.gz LWUXUCCDZBVKSH-UHFFFAOYSA-N 1 2 302.374 1.450 20 30 DDEDLO CC[C@H](C)NC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195754671 746687426 /nfs/dbraw/zinc/68/74/26/746687426.db2.gz RNNOSYWJYYYDTB-JKSUJKDBSA-N 1 2 321.465 1.483 20 30 DDEDLO CC[C@H](C)NC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195754671 746687427 /nfs/dbraw/zinc/68/74/27/746687427.db2.gz RNNOSYWJYYYDTB-JKSUJKDBSA-N 1 2 321.465 1.483 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3cc(C)no3)C[C@H]2O)CC1 ZINC001195897011 746725053 /nfs/dbraw/zinc/72/50/53/746725053.db2.gz KUBYJRYEWKXTCP-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cc(C)no3)C[C@H]2O)CC1 ZINC001195897011 746725057 /nfs/dbraw/zinc/72/50/57/746725057.db2.gz KUBYJRYEWKXTCP-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)[C@H]3CCCO3)C2)c(F)c1 ZINC001031535699 746978339 /nfs/dbraw/zinc/97/83/39/746978339.db2.gz ZGFUIONGJFSCPZ-MRXNPFEDSA-N 1 2 317.364 1.424 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC[C@@H]2CC)CC1 ZINC001197055191 747038073 /nfs/dbraw/zinc/03/80/73/747038073.db2.gz LJWOTZAMZPVISW-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC[C@@H]2CC)CC1 ZINC001197055191 747038080 /nfs/dbraw/zinc/03/80/80/747038080.db2.gz LJWOTZAMZPVISW-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC[C@H]2CC)CC1 ZINC001197055190 747038428 /nfs/dbraw/zinc/03/84/28/747038428.db2.gz LJWOTZAMZPVISW-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC[C@H]2CC)CC1 ZINC001197055190 747038435 /nfs/dbraw/zinc/03/84/35/747038435.db2.gz LJWOTZAMZPVISW-HZPDHXFCSA-N 1 2 321.465 1.649 20 30 DDEDLO CCO[C@@H]1C[C@H]1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031567091 747054344 /nfs/dbraw/zinc/05/43/44/747054344.db2.gz XMMXDNWSINODSD-IAGOWNOFSA-N 1 2 313.401 1.531 20 30 DDEDLO Cc1ocnc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038089761 747158713 /nfs/dbraw/zinc/15/87/13/747158713.db2.gz OBANAUOBZJPQME-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1ocnc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038089761 747158720 /nfs/dbraw/zinc/15/87/20/747158720.db2.gz OBANAUOBZJPQME-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C)s2)[C@@H](O)C1 ZINC001090021600 747333728 /nfs/dbraw/zinc/33/37/28/747333728.db2.gz BUAZCMLBJQZATR-RYUDHWBXSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C)s2)[C@@H](O)C1 ZINC001090021600 747333734 /nfs/dbraw/zinc/33/37/34/747333734.db2.gz BUAZCMLBJQZATR-RYUDHWBXSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001007496827 752174500 /nfs/dbraw/zinc/17/45/00/752174500.db2.gz RZQDYXZCDLHPRC-CHWSQXEVSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001007496827 752174504 /nfs/dbraw/zinc/17/45/04/752174504.db2.gz RZQDYXZCDLHPRC-CHWSQXEVSA-N 1 2 313.829 1.236 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccnn2C)CC1 ZINC001198337022 747456206 /nfs/dbraw/zinc/45/62/06/747456206.db2.gz IQFGYEGMARSQRE-AWEZNQCLSA-N 1 2 306.410 1.046 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccnn2C)CC1 ZINC001198337022 747456211 /nfs/dbraw/zinc/45/62/11/747456211.db2.gz IQFGYEGMARSQRE-AWEZNQCLSA-N 1 2 306.410 1.046 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2Cc3cccc(F)c3O2)C1 ZINC001044317476 747682834 /nfs/dbraw/zinc/68/28/34/747682834.db2.gz NGJGDIPEXAQQLO-HNNXBMFYSA-N 1 2 304.365 1.848 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+]Cc1nc(CCC)no1 ZINC001127604816 747728231 /nfs/dbraw/zinc/72/82/31/747728231.db2.gz OFSMXQLMBZJWNP-OLZOCXBDSA-N 1 2 322.409 1.209 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+]Cc1ncc(C(C)C)o1 ZINC001127609273 747765155 /nfs/dbraw/zinc/76/51/55/747765155.db2.gz SQZWRZLJBFSGBH-KGLIPLIRSA-N 1 2 321.421 1.985 20 30 DDEDLO C=CCCC[N@@H+]1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@H](OC)C1 ZINC001212307116 747820552 /nfs/dbraw/zinc/82/05/52/747820552.db2.gz VFXPUTHZVOQLDA-MXWKQRLJSA-N 1 2 312.307 1.668 20 30 DDEDLO C=CCCC[N@H+]1C[C@@H](NC(=O)C(F)C(F)(F)F)[C@H](OC)C1 ZINC001212307116 747820560 /nfs/dbraw/zinc/82/05/60/747820560.db2.gz VFXPUTHZVOQLDA-MXWKQRLJSA-N 1 2 312.307 1.668 20 30 DDEDLO C=CCCC[N@@H+]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@H](OC)C1 ZINC001212307116 747820565 /nfs/dbraw/zinc/82/05/65/747820565.db2.gz VFXPUTHZVOQLDA-MXWKQRLJSA-N 1 2 312.307 1.668 20 30 DDEDLO C=CCCC[N@H+]1C[C@@H](NC(=O)[C@H](F)C(F)(F)F)[C@H](OC)C1 ZINC001212307116 747820571 /nfs/dbraw/zinc/82/05/71/747820571.db2.gz VFXPUTHZVOQLDA-MXWKQRLJSA-N 1 2 312.307 1.668 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C2CCC(O)CC2)C1 ZINC001033038537 748014746 /nfs/dbraw/zinc/01/47/46/748014746.db2.gz RKBMGEVLRYEKBB-MOKVOYLWSA-N 1 2 300.830 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C2CCC(O)CC2)C1 ZINC001033038537 748014749 /nfs/dbraw/zinc/01/47/49/748014749.db2.gz RKBMGEVLRYEKBB-MOKVOYLWSA-N 1 2 300.830 1.823 20 30 DDEDLO N#Cc1cccnc1N1CC=C(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001127664327 748066092 /nfs/dbraw/zinc/06/60/92/748066092.db2.gz MJTJSUSLFJPXNV-UHFFFAOYSA-N 1 2 322.372 1.103 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+][C@H](C)c1nnc(CC)o1 ZINC001124494029 748285470 /nfs/dbraw/zinc/28/54/70/748285470.db2.gz DEAHZPVXHKIRFW-NEPJUHHUSA-N 1 2 310.398 1.380 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC001031968532 748328234 /nfs/dbraw/zinc/32/82/34/748328234.db2.gz ZNLIXFISSRNBMH-UHFFFAOYSA-N 1 2 304.728 1.761 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1C[NH+](Cc2cnc(C)o2)C1 ZINC001031988147 748364463 /nfs/dbraw/zinc/36/44/63/748364463.db2.gz NFYDOXXFSGSLBR-CABCVRRESA-N 1 2 305.378 1.122 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001108072903 748442562 /nfs/dbraw/zinc/44/25/62/748442562.db2.gz XAEQKBVJBUTNFZ-VXGBXAGGSA-N 1 2 316.409 1.882 20 30 DDEDLO Cc1[nH]c(=O)[nH]c1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004465219 748454207 /nfs/dbraw/zinc/45/42/07/748454207.db2.gz QPZFSSYOCBFWJQ-CYBMUJFWSA-N 1 2 317.393 1.264 20 30 DDEDLO Cc1[nH]c(=O)[nH]c1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004465219 748454209 /nfs/dbraw/zinc/45/42/09/748454209.db2.gz QPZFSSYOCBFWJQ-CYBMUJFWSA-N 1 2 317.393 1.264 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC1C[NH+](Cc2cnc(C)o2)C1 ZINC001032048245 748499708 /nfs/dbraw/zinc/49/97/08/748499708.db2.gz WIXZVUYBRVPJSY-HOTGVXAUSA-N 1 2 319.405 1.512 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3ccns3)C2)cn1 ZINC001032138420 748730913 /nfs/dbraw/zinc/73/09/13/748730913.db2.gz YGJLNFHXECUUHI-UHFFFAOYSA-N 1 2 312.398 1.381 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001014690216 748735791 /nfs/dbraw/zinc/73/57/91/748735791.db2.gz XEXGFRWQOUMHCE-GXTWGEPZSA-N 1 2 320.824 1.525 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001014690216 748735794 /nfs/dbraw/zinc/73/57/94/748735794.db2.gz XEXGFRWQOUMHCE-GXTWGEPZSA-N 1 2 320.824 1.525 20 30 DDEDLO C#CCN(C(=O)CSC)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110614656 748828343 /nfs/dbraw/zinc/82/83/43/748828343.db2.gz IOOLDQNCOQAEDF-UHFFFAOYSA-N 1 2 318.446 1.579 20 30 DDEDLO C#CCN(C(=O)C(C)C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110618255 748832348 /nfs/dbraw/zinc/83/23/48/748832348.db2.gz QWFLDRYVXDHIBZ-UHFFFAOYSA-N 1 2 300.406 1.872 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001114319983 749052879 /nfs/dbraw/zinc/05/28/79/749052879.db2.gz QIPYQFRAZODZPT-QLPKVWCKSA-N 1 2 312.417 1.791 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001114319983 749052882 /nfs/dbraw/zinc/05/28/82/749052882.db2.gz QIPYQFRAZODZPT-QLPKVWCKSA-N 1 2 312.417 1.791 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2cc(C)nn2C)C1 ZINC001033266977 749089393 /nfs/dbraw/zinc/08/93/93/749089393.db2.gz LPLQIGMIJCXIRF-ZDUSSCGKSA-N 1 2 310.829 1.556 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2cc(C)nn2C)C1 ZINC001033266977 749089398 /nfs/dbraw/zinc/08/93/98/749089398.db2.gz LPLQIGMIJCXIRF-ZDUSSCGKSA-N 1 2 310.829 1.556 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@H+](Cc3ccn(C)n3)C2)cc1 ZINC001033321245 749186779 /nfs/dbraw/zinc/18/67/79/749186779.db2.gz DQLWWGJEDRAQGJ-GOSISDBHSA-N 1 2 322.412 1.748 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3ccn(C)n3)C2)cc1 ZINC001033321245 749186783 /nfs/dbraw/zinc/18/67/83/749186783.db2.gz DQLWWGJEDRAQGJ-GOSISDBHSA-N 1 2 322.412 1.748 20 30 DDEDLO C=CCCOCC(=O)NCc1cnn2c1C[N@H+](C(C)C)CC2 ZINC001128602505 749242457 /nfs/dbraw/zinc/24/24/57/749242457.db2.gz KYPSGBKVXUPWNZ-UHFFFAOYSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCCOCC(=O)NCc1cnn2c1C[N@@H+](C(C)C)CC2 ZINC001128602505 749242462 /nfs/dbraw/zinc/24/24/62/749242462.db2.gz KYPSGBKVXUPWNZ-UHFFFAOYSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCc1cnn2c1C[N@H+](C(C)C)CC2 ZINC001128602748 749243691 /nfs/dbraw/zinc/24/36/91/749243691.db2.gz WJEDCYCDKSFHHF-ZDUSSCGKSA-N 1 2 306.410 1.314 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCc1cnn2c1C[N@@H+](C(C)C)CC2 ZINC001128602748 749243697 /nfs/dbraw/zinc/24/36/97/749243697.db2.gz WJEDCYCDKSFHHF-ZDUSSCGKSA-N 1 2 306.410 1.314 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc3nccn3c2)C1 ZINC001108336992 761936628 /nfs/dbraw/zinc/93/66/28/761936628.db2.gz QOZYPSFIIVESSJ-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc3nccn3c2)C1 ZINC001108336992 761936630 /nfs/dbraw/zinc/93/66/30/761936630.db2.gz QOZYPSFIIVESSJ-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO COc1ncnc2c1CC[N@H+](Cc1ccc(OCC#N)cc1)C2 ZINC001137119532 749343375 /nfs/dbraw/zinc/34/33/75/749343375.db2.gz TXMLEYZUYPHLRC-UHFFFAOYSA-N 1 2 310.357 1.946 20 30 DDEDLO COc1ncnc2c1CC[N@@H+](Cc1ccc(OCC#N)cc1)C2 ZINC001137119532 749343382 /nfs/dbraw/zinc/34/33/82/749343382.db2.gz TXMLEYZUYPHLRC-UHFFFAOYSA-N 1 2 310.357 1.946 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CCC3CC3)nn2)C1 ZINC001107145548 749397324 /nfs/dbraw/zinc/39/73/24/749397324.db2.gz ADDVNRBWKBFSQF-UHFFFAOYSA-N 1 2 303.410 1.517 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)COCCCC)nn2)C1 ZINC001107150219 749408725 /nfs/dbraw/zinc/40/87/25/749408725.db2.gz DRLNVJJCVBODFY-UHFFFAOYSA-N 1 2 321.425 1.144 20 30 DDEDLO C=CCNC(=O)NCc1c[nH+]cn1Cc1ccccc1OC ZINC001202577793 749441164 /nfs/dbraw/zinc/44/11/64/749441164.db2.gz JLMAQXNUFOWPJD-UHFFFAOYSA-N 1 2 300.362 1.925 20 30 DDEDLO CN(C(=O)c1ccncn1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033513395 749447371 /nfs/dbraw/zinc/44/73/71/749447371.db2.gz MXRRGYKJJVIMIM-INIZCTEOSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C(=O)c1ccncn1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033513395 749447376 /nfs/dbraw/zinc/44/73/76/749447376.db2.gz MXRRGYKJJVIMIM-INIZCTEOSA-N 1 2 321.384 1.695 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc(CC)nn2C)C1 ZINC001033534476 749497460 /nfs/dbraw/zinc/49/74/60/749497460.db2.gz PUAXWTFAWGMAHM-ZDUSSCGKSA-N 1 2 310.829 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(CC)nn2C)C1 ZINC001033534476 749497464 /nfs/dbraw/zinc/49/74/64/749497464.db2.gz PUAXWTFAWGMAHM-ZDUSSCGKSA-N 1 2 310.829 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc[nH]n1 ZINC001039349830 761955762 /nfs/dbraw/zinc/95/57/62/761955762.db2.gz QECSYKATCGUTNH-KGLIPLIRSA-N 1 2 308.813 1.770 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc[nH]n1 ZINC001039349830 761955766 /nfs/dbraw/zinc/95/57/66/761955766.db2.gz QECSYKATCGUTNH-KGLIPLIRSA-N 1 2 308.813 1.770 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cc2cnn(CC)c2)C1 ZINC001033574238 749549493 /nfs/dbraw/zinc/54/94/93/749549493.db2.gz SMMCTBAEKWVQAP-CQSZACIVSA-N 1 2 310.829 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cc2cnn(CC)c2)C1 ZINC001033574238 749549496 /nfs/dbraw/zinc/54/94/96/749549496.db2.gz SMMCTBAEKWVQAP-CQSZACIVSA-N 1 2 310.829 1.731 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107197849 749559940 /nfs/dbraw/zinc/55/99/40/749559940.db2.gz KDNKDSMQLBBIAD-ZIAGYGMSSA-N 1 2 317.437 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cccn(C)c2=O)C1 ZINC001033590267 749575225 /nfs/dbraw/zinc/57/52/25/749575225.db2.gz PLZPWEPSJVTMAO-GFCCVEGCSA-N 1 2 309.797 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cccn(C)c2=O)C1 ZINC001033590267 749575231 /nfs/dbraw/zinc/57/52/31/749575231.db2.gz PLZPWEPSJVTMAO-GFCCVEGCSA-N 1 2 309.797 1.284 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CC[C@@H](C)CC)nn2)C1 ZINC001107222253 749643053 /nfs/dbraw/zinc/64/30/53/749643053.db2.gz LGLFUNKJYDZZDF-AWEZNQCLSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2nnn(C)c2C)C1 ZINC001033783947 749880130 /nfs/dbraw/zinc/88/01/30/749880130.db2.gz RCXFGWAUKVPRHE-GFCCVEGCSA-N 1 2 311.817 1.412 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2nnn(C)c2C)C1 ZINC001033783947 749880135 /nfs/dbraw/zinc/88/01/35/749880135.db2.gz RCXFGWAUKVPRHE-GFCCVEGCSA-N 1 2 311.817 1.412 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)CC2)nc1 ZINC001066759216 749964532 /nfs/dbraw/zinc/96/45/32/749964532.db2.gz PRJFIWRWOYCABF-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncoc2CC)[C@@H](O)C1 ZINC001090151589 750106024 /nfs/dbraw/zinc/10/60/24/750106024.db2.gz SIBIYIAJSKYQPC-QWRGUYRKSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncoc2CC)[C@@H](O)C1 ZINC001090151589 750106028 /nfs/dbraw/zinc/10/60/28/750106028.db2.gz SIBIYIAJSKYQPC-QWRGUYRKSA-N 1 2 313.785 1.155 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc(C3CC3)c1Cl)C2 ZINC001095687812 750146742 /nfs/dbraw/zinc/14/67/42/750146742.db2.gz UQRBVZCYPOXXQB-WOPDTQHZSA-N 1 2 318.808 1.909 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc(C3CC3)c1Cl)C2 ZINC001095687812 750146747 /nfs/dbraw/zinc/14/67/47/750146747.db2.gz UQRBVZCYPOXXQB-WOPDTQHZSA-N 1 2 318.808 1.909 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cnoc3C)C[C@H]2O)C1 ZINC001077641998 750269398 /nfs/dbraw/zinc/26/93/98/750269398.db2.gz ROSILDPBTDKGQN-ZIAGYGMSSA-N 1 2 305.378 1.001 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cnoc3C)C[C@H]2O)C1 ZINC001077641998 750269401 /nfs/dbraw/zinc/26/94/01/750269401.db2.gz ROSILDPBTDKGQN-ZIAGYGMSSA-N 1 2 305.378 1.001 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)CCCn1cc[nH+]c1 ZINC001077744571 750486477 /nfs/dbraw/zinc/48/64/77/750486477.db2.gz XRNXHKFYDQYHIZ-AWEZNQCLSA-N 1 2 320.437 1.887 20 30 DDEDLO Cc1nc(C)c(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)s1 ZINC001032396646 750562072 /nfs/dbraw/zinc/56/20/72/750562072.db2.gz AIHFKRAAEJTMEF-GJZGRUSLSA-N 1 2 315.442 1.958 20 30 DDEDLO Cc1nc(C)c(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)s1 ZINC001032396646 750562076 /nfs/dbraw/zinc/56/20/76/750562076.db2.gz AIHFKRAAEJTMEF-GJZGRUSLSA-N 1 2 315.442 1.958 20 30 DDEDLO C[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C1CCN(CC#N)CC1 ZINC000997147788 750564914 /nfs/dbraw/zinc/56/49/14/750564914.db2.gz VBKKSYRHGFXCBX-MRVWCRGKSA-N 1 2 301.394 1.253 20 30 DDEDLO C[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C1CCN(CC#N)CC1 ZINC000997147788 750564919 /nfs/dbraw/zinc/56/49/19/750564919.db2.gz VBKKSYRHGFXCBX-MRVWCRGKSA-N 1 2 301.394 1.253 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)C=C(C)C)CC2 ZINC001127932130 750716794 /nfs/dbraw/zinc/71/67/94/750716794.db2.gz MJDYTESDVZAKQM-CQSZACIVSA-N 1 2 315.421 1.178 20 30 DDEDLO Cc1ccccc1CC(N)=[NH+]OCc1nsc(N(C)C)n1 ZINC000089739924 750728494 /nfs/dbraw/zinc/72/84/94/750728494.db2.gz DSNFRDMZTXTKAD-UHFFFAOYSA-N 1 2 305.407 1.944 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccncc2C)C1 ZINC001107947600 750792855 /nfs/dbraw/zinc/79/28/55/750792855.db2.gz NINNWDQRIPOESN-SFHVURJKSA-N 1 2 315.417 1.163 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccncc2C)C1 ZINC001107947600 750792857 /nfs/dbraw/zinc/79/28/57/750792857.db2.gz NINNWDQRIPOESN-SFHVURJKSA-N 1 2 315.417 1.163 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2c(=O)[nH]1 ZINC001032445524 750846478 /nfs/dbraw/zinc/84/64/78/750846478.db2.gz JLFBRODOOIXUJR-KBPBESRZSA-N 1 2 307.353 1.472 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2c(=O)[nH]1 ZINC001032445524 750846486 /nfs/dbraw/zinc/84/64/86/750846486.db2.gz JLFBRODOOIXUJR-KBPBESRZSA-N 1 2 307.353 1.472 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(OC)ns2)C1 ZINC001107953068 750851392 /nfs/dbraw/zinc/85/13/92/750851392.db2.gz QHJBAACYSJSHKH-AWEZNQCLSA-N 1 2 311.407 1.158 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(OC)ns2)C1 ZINC001107953068 750851402 /nfs/dbraw/zinc/85/14/02/750851402.db2.gz QHJBAACYSJSHKH-AWEZNQCLSA-N 1 2 311.407 1.158 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001032509316 751122977 /nfs/dbraw/zinc/12/29/77/751122977.db2.gz CEHKUNJIZIULJQ-HOTGVXAUSA-N 1 2 323.396 1.493 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001032509316 751122978 /nfs/dbraw/zinc/12/29/78/751122978.db2.gz CEHKUNJIZIULJQ-HOTGVXAUSA-N 1 2 323.396 1.493 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(CC(C)C)CC2)[C@@H](n2ccnn2)C1 ZINC001129043523 751145131 /nfs/dbraw/zinc/14/51/31/751145131.db2.gz RVIAILWVPQPLIS-CABCVRRESA-N 1 2 315.421 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(CC(C)C)CC2)[C@@H](n2ccnn2)C1 ZINC001129043523 751145133 /nfs/dbraw/zinc/14/51/33/751145133.db2.gz RVIAILWVPQPLIS-CABCVRRESA-N 1 2 315.421 1.079 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc2cnccc2c1 ZINC001032517421 751174496 /nfs/dbraw/zinc/17/44/96/751174496.db2.gz OMLWYJQJTIOUCV-ROUUACIJSA-N 1 2 305.381 1.696 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc2cnccc2c1 ZINC001032517421 751174499 /nfs/dbraw/zinc/17/44/99/751174499.db2.gz OMLWYJQJTIOUCV-ROUUACIJSA-N 1 2 305.381 1.696 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2coc(C(F)(F)F)n2)C1 ZINC001007788676 752352738 /nfs/dbraw/zinc/35/27/38/752352738.db2.gz XSPQZTUEPIJPEV-VIFPVBQESA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2coc(C(F)(F)F)n2)C1 ZINC001007788676 752352741 /nfs/dbraw/zinc/35/27/41/752352741.db2.gz XSPQZTUEPIJPEV-VIFPVBQESA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1OCCCO2 ZINC001032700405 752741045 /nfs/dbraw/zinc/74/10/45/752741045.db2.gz WPIXEFFQBBYXFN-KBPBESRZSA-N 1 2 312.369 1.380 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1OCCCO2 ZINC001032700405 752741050 /nfs/dbraw/zinc/74/10/50/752741050.db2.gz WPIXEFFQBBYXFN-KBPBESRZSA-N 1 2 312.369 1.380 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001008493853 752765290 /nfs/dbraw/zinc/76/52/90/752765290.db2.gz PTHJMCJEYCDDNI-QWHCGFSZSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001008493853 752765294 /nfs/dbraw/zinc/76/52/94/752765294.db2.gz PTHJMCJEYCDDNI-QWHCGFSZSA-N 1 2 313.829 1.378 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1noc2c1C[C@H](C)CC2 ZINC001032711058 752808821 /nfs/dbraw/zinc/80/88/21/752808821.db2.gz GEJQIBHXTXXZNC-AGIUHOORSA-N 1 2 301.390 1.884 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1noc2c1C[C@H](C)CC2 ZINC001032711058 752808829 /nfs/dbraw/zinc/80/88/29/752808829.db2.gz GEJQIBHXTXXZNC-AGIUHOORSA-N 1 2 301.390 1.884 20 30 DDEDLO C=C(C)CCC(=O)N1CCCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001062244578 752844137 /nfs/dbraw/zinc/84/41/37/752844137.db2.gz OSXQDSWVQGCITG-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](N(C)c2ccncc2C#N)C1 ZINC001056949854 762166601 /nfs/dbraw/zinc/16/66/01/762166601.db2.gz WTEFFEYFKDMJDM-CQSZACIVSA-N 1 2 324.388 1.265 20 30 DDEDLO C=CC[N@H+]1CCC[C@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC001008725493 752891861 /nfs/dbraw/zinc/89/18/61/752891861.db2.gz ITMLUCOJYYJVMK-LBPRGKRZSA-N 1 2 312.373 1.716 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC001008725493 752891869 /nfs/dbraw/zinc/89/18/69/752891869.db2.gz ITMLUCOJYYJVMK-LBPRGKRZSA-N 1 2 312.373 1.716 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H]2C[C@H]1CN2c1ccc(C#N)cn1 ZINC001062515934 753082100 /nfs/dbraw/zinc/08/21/00/753082100.db2.gz CPKLETIPMRFQFJ-KBPBESRZSA-N 1 2 322.372 1.017 20 30 DDEDLO Cc1nc(NC[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001060888272 753168449 /nfs/dbraw/zinc/16/84/49/753168449.db2.gz WZULAXBOXHLLIH-AWEZNQCLSA-N 1 2 324.388 1.419 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2ccccc2F)C1 ZINC001077838217 753181742 /nfs/dbraw/zinc/18/17/42/753181742.db2.gz RNMTZQGWZGOYFG-HZPDHXFCSA-N 1 2 318.392 1.288 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)c2ccccc2F)C1 ZINC001077838217 753181747 /nfs/dbraw/zinc/18/17/47/753181747.db2.gz RNMTZQGWZGOYFG-HZPDHXFCSA-N 1 2 318.392 1.288 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CCC1 ZINC001062686863 753383856 /nfs/dbraw/zinc/38/38/56/753383856.db2.gz CNLNQMYHDCMQPA-CHWSQXEVSA-N 1 2 316.405 1.635 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CCC1 ZINC001062686863 753383860 /nfs/dbraw/zinc/38/38/60/753383860.db2.gz CNLNQMYHDCMQPA-CHWSQXEVSA-N 1 2 316.405 1.635 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001077926844 753435107 /nfs/dbraw/zinc/43/51/07/753435107.db2.gz FLPFRGFATMQUJN-GXTWGEPZSA-N 1 2 320.437 1.874 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001077928774 753437065 /nfs/dbraw/zinc/43/70/65/753437065.db2.gz QGYJKZYMEZJWRB-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001077928774 753437068 /nfs/dbraw/zinc/43/70/68/753437068.db2.gz QGYJKZYMEZJWRB-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cnc(C3CC3)o2)C1 ZINC001108001618 753465256 /nfs/dbraw/zinc/46/52/56/753465256.db2.gz IXWKMKAAGWEXEM-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cnc(C3CC3)o2)C1 ZINC001108001618 753465259 /nfs/dbraw/zinc/46/52/59/753465259.db2.gz IXWKMKAAGWEXEM-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccn3c2CCCC3)C1 ZINC001108004524 753484708 /nfs/dbraw/zinc/48/47/08/753484708.db2.gz WCGPXBUYIZGFDO-GOSISDBHSA-N 1 2 317.433 1.831 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccn3c2CCCC3)C1 ZINC001108004524 753484709 /nfs/dbraw/zinc/48/47/09/753484709.db2.gz WCGPXBUYIZGFDO-GOSISDBHSA-N 1 2 317.433 1.831 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2snnc2C2CC2)C1 ZINC001108007525 753490283 /nfs/dbraw/zinc/49/02/83/753490283.db2.gz ZEFKQQIRKMKOTG-HNNXBMFYSA-N 1 2 322.434 1.422 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2snnc2C2CC2)C1 ZINC001108007525 753490285 /nfs/dbraw/zinc/49/02/85/753490285.db2.gz ZEFKQQIRKMKOTG-HNNXBMFYSA-N 1 2 322.434 1.422 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(C)cc1C ZINC001032777021 753595822 /nfs/dbraw/zinc/59/58/22/753595822.db2.gz KBQUCXSNAFJCIB-IRXDYDNUSA-N 1 2 312.413 1.991 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(C)cc1C ZINC001032777021 753595831 /nfs/dbraw/zinc/59/58/31/753595831.db2.gz KBQUCXSNAFJCIB-IRXDYDNUSA-N 1 2 312.413 1.991 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@H](NC(=O)c3ccn[nH]3)C2)c1 ZINC001010274931 753727043 /nfs/dbraw/zinc/72/70/43/753727043.db2.gz XWZVKHFYIRVGAG-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@H](NC(=O)c3ccn[nH]3)C2)c1 ZINC001010274931 753727047 /nfs/dbraw/zinc/72/70/47/753727047.db2.gz XWZVKHFYIRVGAG-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](Cc1ccccc1)OC ZINC001032791640 753759353 /nfs/dbraw/zinc/75/93/53/753759353.db2.gz BQWOGLJZHLTVOH-OKZBNKHCSA-N 1 2 312.413 1.553 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](Cc1ccccc1)OC ZINC001032791640 753759359 /nfs/dbraw/zinc/75/93/59/753759359.db2.gz BQWOGLJZHLTVOH-OKZBNKHCSA-N 1 2 312.413 1.553 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC001000570556 753812146 /nfs/dbraw/zinc/81/21/46/753812146.db2.gz WZWYLIMAELKBCP-CYBMUJFWSA-N 1 2 311.813 1.013 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC001000570556 753812153 /nfs/dbraw/zinc/81/21/53/753812153.db2.gz WZWYLIMAELKBCP-CYBMUJFWSA-N 1 2 311.813 1.013 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cocn3)C2)cc1F ZINC001010373733 753830138 /nfs/dbraw/zinc/83/01/38/753830138.db2.gz PAUVBJGHODSLNG-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cocn3)C2)cc1F ZINC001010373733 753830139 /nfs/dbraw/zinc/83/01/39/753830139.db2.gz PAUVBJGHODSLNG-CYBMUJFWSA-N 1 2 314.320 1.690 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3ccc(C)cc3)CCC2)C1 ZINC001078253871 753896637 /nfs/dbraw/zinc/89/66/37/753896637.db2.gz WOLXFMBOHUKOSL-IAGOWNOFSA-N 1 2 312.413 1.211 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3ccc(C)cc3)CCC2)C1 ZINC001078253871 753896645 /nfs/dbraw/zinc/89/66/45/753896645.db2.gz WOLXFMBOHUKOSL-IAGOWNOFSA-N 1 2 312.413 1.211 20 30 DDEDLO N#Cc1cccnc1N1CCCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001063235534 754055211 /nfs/dbraw/zinc/05/52/11/754055211.db2.gz QOHMIMUUHKSESZ-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC001010650425 754080229 /nfs/dbraw/zinc/08/02/29/754080229.db2.gz LRJVNRHDIJXBOF-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC001010650425 754080236 /nfs/dbraw/zinc/08/02/36/754080236.db2.gz LRJVNRHDIJXBOF-LBPRGKRZSA-N 1 2 315.402 1.656 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2onc(CC)c2c1 ZINC001032804129 754101587 /nfs/dbraw/zinc/10/15/87/754101587.db2.gz XXUXRQJBNPURDZ-STQMWFEESA-N 1 2 310.357 1.317 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2onc(CC)c2c1 ZINC001032804129 754101592 /nfs/dbraw/zinc/10/15/92/754101592.db2.gz XXUXRQJBNPURDZ-STQMWFEESA-N 1 2 310.357 1.317 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCOC(C)C)[C@H](O)C1 ZINC001099693483 754117878 /nfs/dbraw/zinc/11/78/78/754117878.db2.gz SODHVIDKZRZLKC-CHWSQXEVSA-N 1 2 304.818 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCOC(C)C)[C@H](O)C1 ZINC001099693483 754117881 /nfs/dbraw/zinc/11/78/81/754117881.db2.gz SODHVIDKZRZLKC-CHWSQXEVSA-N 1 2 304.818 1.105 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc(OC(C)C)c1 ZINC001032810421 754188533 /nfs/dbraw/zinc/18/85/33/754188533.db2.gz FBPZHLLFZKLUHF-IRXDYDNUSA-N 1 2 312.413 1.935 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc(OC(C)C)c1 ZINC001032810421 754188538 /nfs/dbraw/zinc/18/85/38/754188538.db2.gz FBPZHLLFZKLUHF-IRXDYDNUSA-N 1 2 312.413 1.935 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN2C(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001063510777 754201722 /nfs/dbraw/zinc/20/17/22/754201722.db2.gz WEGGHPRYDOOTMQ-CQSZACIVSA-N 1 2 310.361 1.322 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)CC[C@H]1Nc1ccc(C#N)cn1 ZINC001063760620 754357189 /nfs/dbraw/zinc/35/71/89/754357189.db2.gz NEDUZGHNKZQRHB-GXTWGEPZSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001063896351 754392826 /nfs/dbraw/zinc/39/28/26/754392826.db2.gz IONANGWTZZIUGD-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ncoc2C(F)(F)F)C1 ZINC001043169974 754394137 /nfs/dbraw/zinc/39/41/37/754394137.db2.gz IOMDRUHDIUFZCN-UHFFFAOYSA-N 1 2 301.268 1.473 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)[C@@H]3CC34CC4)[C@@H](O)C2)s1 ZINC001083807447 754454183 /nfs/dbraw/zinc/45/41/83/754454183.db2.gz MAHCGYBZHLYMAN-MJBXVCDLSA-N 1 2 317.414 1.081 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)[C@@H]3CC34CC4)[C@@H](O)C2)s1 ZINC001083807447 754454188 /nfs/dbraw/zinc/45/41/88/754454188.db2.gz MAHCGYBZHLYMAN-MJBXVCDLSA-N 1 2 317.414 1.081 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3nc(C)sc3c2)[C@@H](O)C1 ZINC001083916660 754867890 /nfs/dbraw/zinc/86/78/90/754867890.db2.gz QKBKHVRPOAGWBQ-KGLIPLIRSA-N 1 2 315.398 1.013 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3nc(C)sc3c2)[C@@H](O)C1 ZINC001083916660 754867893 /nfs/dbraw/zinc/86/78/93/754867893.db2.gz QKBKHVRPOAGWBQ-KGLIPLIRSA-N 1 2 315.398 1.013 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064833264 754875463 /nfs/dbraw/zinc/87/54/63/754875463.db2.gz XAGVNJZBKJXRNR-CHWSQXEVSA-N 1 2 324.388 1.710 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001064901611 754940634 /nfs/dbraw/zinc/94/06/34/754940634.db2.gz JDPNBKJJEXTNBE-AWEZNQCLSA-N 1 2 324.388 1.134 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2C[C@@H](C)[C@H](NC(=O)CC)C2)c1 ZINC001079327843 755224308 /nfs/dbraw/zinc/22/43/08/755224308.db2.gz XIYSNEDEVZZXMX-CZUORRHYSA-N 1 2 313.401 1.453 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2C[C@@H](C)[C@H](NC(=O)CC)C2)c1 ZINC001079327843 755224313 /nfs/dbraw/zinc/22/43/13/755224313.db2.gz XIYSNEDEVZZXMX-CZUORRHYSA-N 1 2 313.401 1.453 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2OCC[C@H]2CC)C1 ZINC001079885986 755632298 /nfs/dbraw/zinc/63/22/98/755632298.db2.gz CNYSHIPRGODZPJ-ZRJCITRHSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2OCC[C@H]2CC)C1 ZINC001079885986 755632300 /nfs/dbraw/zinc/63/23/00/755632300.db2.gz CNYSHIPRGODZPJ-ZRJCITRHSA-N 1 2 300.830 1.991 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CNc1cccc(F)c1C#N ZINC001108469023 762411708 /nfs/dbraw/zinc/41/17/08/762411708.db2.gz XGPNTSCUZGDLJY-JTQLQIEISA-N 1 2 315.352 1.888 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3ncc[nH]3)C2)s1 ZINC001014382631 755709527 /nfs/dbraw/zinc/70/95/27/755709527.db2.gz VPHSLIAMJINTFK-SNVBAGLBSA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3ncc[nH]3)C2)s1 ZINC001014382631 755709531 /nfs/dbraw/zinc/70/95/31/755709531.db2.gz VPHSLIAMJINTFK-SNVBAGLBSA-N 1 2 301.375 1.347 20 30 DDEDLO C[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@@H]1Nc1ncccc1C#N ZINC001067083774 755821440 /nfs/dbraw/zinc/82/14/40/755821440.db2.gz FLBVGYPGPIOPIA-JSGCOSHPSA-N 1 2 324.388 1.568 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)c1cc([N+](=O)[O-])cnc1Cl ZINC001156320300 762430580 /nfs/dbraw/zinc/43/05/80/762430580.db2.gz WKGQBNRNYLEZST-QMMMGPOBSA-N 1 2 317.683 1.490 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC(N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080632136 756014773 /nfs/dbraw/zinc/01/47/73/756014773.db2.gz VCTSNUMUHIQDIO-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001014998740 756063590 /nfs/dbraw/zinc/06/35/90/756063590.db2.gz DURRUWLRKROMSP-CHWSQXEVSA-N 1 2 308.813 1.389 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cncc(OC)n3)C2)C1 ZINC001015676414 756459029 /nfs/dbraw/zinc/45/90/29/756459029.db2.gz LLZJJLJVZJOFDH-ZDUSSCGKSA-N 1 2 316.405 1.532 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cncc(OC)n3)C2)C1 ZINC001015676414 756459031 /nfs/dbraw/zinc/45/90/31/756459031.db2.gz LLZJJLJVZJOFDH-ZDUSSCGKSA-N 1 2 316.405 1.532 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(F)ccc2F)[C@H](OC)C1 ZINC001081802181 756485231 /nfs/dbraw/zinc/48/52/31/756485231.db2.gz XXHHZNAOJUTIEI-HUUCEWRRSA-N 1 2 308.328 1.417 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(F)ccc2F)[C@H](OC)C1 ZINC001081802181 756485232 /nfs/dbraw/zinc/48/52/32/756485232.db2.gz XXHHZNAOJUTIEI-HUUCEWRRSA-N 1 2 308.328 1.417 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2ccnnc2)C1 ZINC001015748713 756516731 /nfs/dbraw/zinc/51/67/31/756516731.db2.gz UTLXCZLIOVDVSG-MRXNPFEDSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2ccnnc2)C1 ZINC001015748713 756516735 /nfs/dbraw/zinc/51/67/35/756516735.db2.gz UTLXCZLIOVDVSG-MRXNPFEDSA-N 1 2 307.357 1.353 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)cc(OC)c2C)[C@H](OC)C1 ZINC001081898486 756523634 /nfs/dbraw/zinc/52/36/34/756523634.db2.gz XHBNQYJKMZAUSV-NVXWUHKLSA-N 1 2 316.401 1.374 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)cc(OC)c2C)[C@H](OC)C1 ZINC001081898486 756523639 /nfs/dbraw/zinc/52/36/39/756523639.db2.gz XHBNQYJKMZAUSV-NVXWUHKLSA-N 1 2 316.401 1.374 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cn(CC)nc2C(C)C)[C@H](OC)C1 ZINC001082187555 756679841 /nfs/dbraw/zinc/67/98/41/756679841.db2.gz QSZCQPBTSLJOSP-HUUCEWRRSA-N 1 2 318.421 1.089 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cn(CC)nc2C(C)C)[C@H](OC)C1 ZINC001082187555 756679846 /nfs/dbraw/zinc/67/98/46/756679846.db2.gz QSZCQPBTSLJOSP-HUUCEWRRSA-N 1 2 318.421 1.089 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2oc(CC(C)C)nc2C)[C@H](OC)C1 ZINC001082215926 756688065 /nfs/dbraw/zinc/68/80/65/756688065.db2.gz IJQHAXSBLAIAQW-ZIAGYGMSSA-N 1 2 319.405 1.244 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2oc(CC(C)C)nc2C)[C@H](OC)C1 ZINC001082215926 756688068 /nfs/dbraw/zinc/68/80/68/756688068.db2.gz IJQHAXSBLAIAQW-ZIAGYGMSSA-N 1 2 319.405 1.244 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001082251655 756709797 /nfs/dbraw/zinc/70/97/97/756709797.db2.gz YGASIKUPDYDIMV-ZDUSSCGKSA-N 1 2 304.394 1.416 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(F)cn3)C2)nc1 ZINC001016025176 756729940 /nfs/dbraw/zinc/72/99/40/756729940.db2.gz VQOUVKWUHJYUCA-MRXNPFEDSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(F)cn3)C2)nc1 ZINC001016025176 756729944 /nfs/dbraw/zinc/72/99/44/756729944.db2.gz VQOUVKWUHJYUCA-MRXNPFEDSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+]([C@H](C)c3cnccn3)C2)cn1 ZINC001016032391 756732996 /nfs/dbraw/zinc/73/29/96/756732996.db2.gz YOLTZJKDAJGHAZ-CJNGLKHVSA-N 1 2 321.384 1.418 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+]([C@H](C)c3cnccn3)C2)cn1 ZINC001016032391 756732999 /nfs/dbraw/zinc/73/29/99/756732999.db2.gz YOLTZJKDAJGHAZ-CJNGLKHVSA-N 1 2 321.384 1.418 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCC(F)(F)F)[C@@H](O)C1 ZINC001099757865 756875022 /nfs/dbraw/zinc/87/50/22/756875022.db2.gz KTZHQCQPWJMIRL-ZJUUUORDSA-N 1 2 314.735 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCC(F)(F)F)[C@@H](O)C1 ZINC001099757865 756875028 /nfs/dbraw/zinc/87/50/28/756875028.db2.gz KTZHQCQPWJMIRL-ZJUUUORDSA-N 1 2 314.735 1.633 20 30 DDEDLO CC(=O)N1CCO[C@@H]2C[N@H+](Cc3ccc(C#N)c(F)c3)C[C@@H]21 ZINC001082970545 756993607 /nfs/dbraw/zinc/99/36/07/756993607.db2.gz RKXCNVJMMFSEAX-JKSUJKDBSA-N 1 2 303.337 1.129 20 30 DDEDLO CC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccc(C#N)c(F)c3)C[C@@H]21 ZINC001082970545 756993613 /nfs/dbraw/zinc/99/36/13/756993613.db2.gz RKXCNVJMMFSEAX-JKSUJKDBSA-N 1 2 303.337 1.129 20 30 DDEDLO Cc1nonc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001016413843 757016593 /nfs/dbraw/zinc/01/65/93/757016593.db2.gz GCQOLCSCGDYIFM-HNNXBMFYSA-N 1 2 310.357 1.234 20 30 DDEDLO Cc1nonc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001016413843 757016597 /nfs/dbraw/zinc/01/65/97/757016597.db2.gz GCQOLCSCGDYIFM-HNNXBMFYSA-N 1 2 310.357 1.234 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097317634 757087450 /nfs/dbraw/zinc/08/74/50/757087450.db2.gz IOANIKFCUYGXKV-ZFWWWQNUSA-N 1 2 316.405 1.156 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCO[C@@H]3C[N@@H+](C/C=C/Cl)C[C@@H]32)[nH]1 ZINC001083054757 757107047 /nfs/dbraw/zinc/10/70/47/757107047.db2.gz XTGZBPUBAURYLO-IVYVCMAYSA-N 1 2 320.780 1.164 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCO[C@@H]3C[N@H+](C/C=C/Cl)C[C@@H]32)[nH]1 ZINC001083054757 757107051 /nfs/dbraw/zinc/10/70/51/757107051.db2.gz XTGZBPUBAURYLO-IVYVCMAYSA-N 1 2 320.780 1.164 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ocnc1C(F)(F)F)C2 ZINC001097580230 757258057 /nfs/dbraw/zinc/25/80/57/757258057.db2.gz WITGZECONDGPSM-BBBLOLIVSA-N 1 2 313.279 1.662 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ocnc1C(F)(F)F)C2 ZINC001097580230 757258063 /nfs/dbraw/zinc/25/80/63/757258063.db2.gz WITGZECONDGPSM-BBBLOLIVSA-N 1 2 313.279 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3cc(C)on3)[C@@H]2C1 ZINC001084239152 757441074 /nfs/dbraw/zinc/44/10/74/757441074.db2.gz CLAATTZRSPPQNT-TZMCWYRMSA-N 1 2 309.797 1.811 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cc(C)on3)[C@@H]2C1 ZINC001084239152 757441078 /nfs/dbraw/zinc/44/10/78/757441078.db2.gz CLAATTZRSPPQNT-TZMCWYRMSA-N 1 2 309.797 1.811 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cnc([C@H](C)OC)s3)[C@@H]2C1 ZINC001084346199 757504037 /nfs/dbraw/zinc/50/40/37/757504037.db2.gz AEBHIHWCCQGTMP-YNEHKIRRSA-N 1 2 319.430 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc([C@H](C)OC)s3)[C@@H]2C1 ZINC001084346199 757504041 /nfs/dbraw/zinc/50/40/41/757504041.db2.gz AEBHIHWCCQGTMP-YNEHKIRRSA-N 1 2 319.430 1.630 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)s1 ZINC001017071531 757615172 /nfs/dbraw/zinc/61/51/72/757615172.db2.gz NRQQHMOSSHTMFV-YPMHNXCESA-N 1 2 321.450 1.677 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)s1 ZINC001017071531 757615176 /nfs/dbraw/zinc/61/51/76/757615176.db2.gz NRQQHMOSSHTMFV-YPMHNXCESA-N 1 2 321.450 1.677 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3cnn(C)n3)[C@@H]2C1 ZINC001084665896 757749544 /nfs/dbraw/zinc/74/95/44/757749544.db2.gz AAOADMKGAMBLKR-TZMCWYRMSA-N 1 2 323.828 1.033 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3cnn(C)n3)[C@@H]2C1 ZINC001084665896 757749549 /nfs/dbraw/zinc/74/95/49/757749549.db2.gz AAOADMKGAMBLKR-TZMCWYRMSA-N 1 2 323.828 1.033 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1c(C#N)cnc2nc(Cl)ccc21 ZINC001169653292 762575059 /nfs/dbraw/zinc/57/50/59/762575059.db2.gz NMRAALQDLOKHBR-NSHDSACASA-N 1 2 317.780 1.897 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1c(C#N)cnc2nc(Cl)ccc21 ZINC001169653292 762575065 /nfs/dbraw/zinc/57/50/65/762575065.db2.gz NMRAALQDLOKHBR-NSHDSACASA-N 1 2 317.780 1.897 20 30 DDEDLO CCc1onc(C)c1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001017417948 757914330 /nfs/dbraw/zinc/91/43/30/757914330.db2.gz FACUHUCYAXBJIC-BNOWGMLFSA-N 1 2 316.405 1.880 20 30 DDEDLO CCc1onc(C)c1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001017417948 757914336 /nfs/dbraw/zinc/91/43/36/757914336.db2.gz FACUHUCYAXBJIC-BNOWGMLFSA-N 1 2 316.405 1.880 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2ccnc2C)CC1 ZINC001052931247 757972404 /nfs/dbraw/zinc/97/24/04/757972404.db2.gz RMLQDIWDSMNBIN-AWEZNQCLSA-N 1 2 310.829 1.915 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2ccnc2C)CC1 ZINC001052931247 757972417 /nfs/dbraw/zinc/97/24/17/757972417.db2.gz RMLQDIWDSMNBIN-AWEZNQCLSA-N 1 2 310.829 1.915 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C2CCC2)nn1 ZINC001017558895 758027754 /nfs/dbraw/zinc/02/77/54/758027754.db2.gz LVWFVCLPICVBNN-OKILXGFUSA-N 1 2 301.394 1.478 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C2CCC2)nn1 ZINC001017558895 758027763 /nfs/dbraw/zinc/02/77/63/758027763.db2.gz LVWFVCLPICVBNN-OKILXGFUSA-N 1 2 301.394 1.478 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCO[C@@H]1CC ZINC001017608032 758075205 /nfs/dbraw/zinc/07/52/05/758075205.db2.gz GUQWLAWBMSVOQW-VVLHAWIVSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCO[C@@H]1CC ZINC001017608032 758075217 /nfs/dbraw/zinc/07/52/17/758075217.db2.gz GUQWLAWBMSVOQW-VVLHAWIVSA-N 1 2 304.434 1.890 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2cc(OC)no2)C[C@H]1O ZINC001099859188 758123778 /nfs/dbraw/zinc/12/37/78/758123778.db2.gz YHRLEDIEMFQYNX-UONOGXRCSA-N 1 2 323.393 1.091 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2cc(OC)no2)C[C@H]1O ZINC001099859188 758123783 /nfs/dbraw/zinc/12/37/83/758123783.db2.gz YHRLEDIEMFQYNX-UONOGXRCSA-N 1 2 323.393 1.091 20 30 DDEDLO CC#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C[NH+](C)C)on1 ZINC001017690319 758155205 /nfs/dbraw/zinc/15/52/05/758155205.db2.gz CRDIBOPMZFJBPA-OKILXGFUSA-N 1 2 316.405 1.048 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)nn(C)c1Cl ZINC001017706277 758169544 /nfs/dbraw/zinc/16/95/44/758169544.db2.gz NNVUOBKACITYCM-BETUJISGSA-N 1 2 320.824 1.233 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)nn(C)c1Cl ZINC001017706277 758169547 /nfs/dbraw/zinc/16/95/47/758169547.db2.gz NNVUOBKACITYCM-BETUJISGSA-N 1 2 320.824 1.233 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(OC)c(F)c1 ZINC001017713156 758176647 /nfs/dbraw/zinc/17/66/47/758176647.db2.gz IJOVZXXFFBGLQU-GASCZTMLSA-N 1 2 316.376 1.685 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(OC)c(F)c1 ZINC001017713156 758176650 /nfs/dbraw/zinc/17/66/50/758176650.db2.gz IJOVZXXFFBGLQU-GASCZTMLSA-N 1 2 316.376 1.685 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCC(C)(C)CO1 ZINC001017747672 758204643 /nfs/dbraw/zinc/20/46/43/758204643.db2.gz SQJVIQUYQQQWKW-XHSDSOJGSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCC(C)(C)CO1 ZINC001017747672 758204654 /nfs/dbraw/zinc/20/46/54/758204654.db2.gz SQJVIQUYQQQWKW-XHSDSOJGSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CC[C@@H]1CCCO1)CCO2 ZINC001053166664 758222707 /nfs/dbraw/zinc/22/27/07/758222707.db2.gz NGJJFSOAPVTKGK-HNNXBMFYSA-N 1 2 308.422 1.435 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CN(C(=O)Cc3c[nH+]c[nH]3)C[C@@H]2C)n1 ZINC001067208399 758259137 /nfs/dbraw/zinc/25/91/37/758259137.db2.gz RZAOWRKRAXDWSG-XHDPSFHLSA-N 1 2 324.388 1.486 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1ccc(C)o1)CCO2 ZINC001053225515 758282597 /nfs/dbraw/zinc/28/25/97/758282597.db2.gz HQVHEHFIFXGUER-UHFFFAOYSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncn(C(C)(C)C)n1 ZINC001017945097 758413557 /nfs/dbraw/zinc/41/35/57/758413557.db2.gz HTAIZAKQIANGLV-BETUJISGSA-N 1 2 303.410 1.508 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncn(C(C)(C)C)n1 ZINC001017945097 758413559 /nfs/dbraw/zinc/41/35/59/758413559.db2.gz HTAIZAKQIANGLV-BETUJISGSA-N 1 2 303.410 1.508 20 30 DDEDLO C=C1CCC(C(=O)N2CCOC3(C[NH+](C[C@@H](C)OC)C3)C2)CC1 ZINC001053405222 758435516 /nfs/dbraw/zinc/43/55/16/758435516.db2.gz JSSYFCCPIXGYFG-OAHLLOKOSA-N 1 2 322.449 1.681 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CC)c1C ZINC001018094073 758543613 /nfs/dbraw/zinc/54/36/13/758543613.db2.gz PJLYKMWAVWKYNV-GASCZTMLSA-N 1 2 300.406 1.524 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CC)c1C ZINC001018094073 758543620 /nfs/dbraw/zinc/54/36/20/758543620.db2.gz PJLYKMWAVWKYNV-GASCZTMLSA-N 1 2 300.406 1.524 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001018126496 758566525 /nfs/dbraw/zinc/56/65/25/758566525.db2.gz HFOORWDYYYOSHF-MCIONIFRSA-N 1 2 312.417 1.457 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001018126496 758566528 /nfs/dbraw/zinc/56/65/28/758566528.db2.gz HFOORWDYYYOSHF-MCIONIFRSA-N 1 2 312.417 1.457 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)nn1C ZINC001018134264 758568710 /nfs/dbraw/zinc/56/87/10/758568710.db2.gz GHRNCFHTXCJFFL-GASCZTMLSA-N 1 2 314.433 1.856 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)nn1C ZINC001018134264 758568717 /nfs/dbraw/zinc/56/87/17/758568717.db2.gz GHRNCFHTXCJFFL-GASCZTMLSA-N 1 2 314.433 1.856 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@@H](C(C)C)C1 ZINC001018144403 758579001 /nfs/dbraw/zinc/57/90/01/758579001.db2.gz POGZHSWVERXQNF-WCXIOVBPSA-N 1 2 304.434 1.746 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@@H](C(C)C)C1 ZINC001018144403 758579006 /nfs/dbraw/zinc/57/90/06/758579006.db2.gz POGZHSWVERXQNF-WCXIOVBPSA-N 1 2 304.434 1.746 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(C#N)[nH]1)O2 ZINC001053590945 758607763 /nfs/dbraw/zinc/60/77/63/758607763.db2.gz SRYAPSPIZGYGDT-AWEZNQCLSA-N 1 2 314.389 1.426 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnnc(C)c1)O2 ZINC001053596334 758617773 /nfs/dbraw/zinc/61/77/73/758617773.db2.gz QRMOWCPXILBZDK-OAHLLOKOSA-N 1 2 316.405 1.324 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1onc(C)c1C)O2 ZINC001053615423 758633726 /nfs/dbraw/zinc/63/37/26/758633726.db2.gz LRDLXDJVKWGLTM-ZDUSSCGKSA-N 1 2 305.378 1.441 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccn(CC)c1C)O2 ZINC001053649297 758661886 /nfs/dbraw/zinc/66/18/86/758661886.db2.gz IQQUTQBHHIXOIW-OAHLLOKOSA-N 1 2 317.433 1.966 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1occc1CC)O2 ZINC001053656293 758668997 /nfs/dbraw/zinc/66/89/97/758668997.db2.gz VPDZJSMSEZGOEW-CQSZACIVSA-N 1 2 304.390 1.991 20 30 DDEDLO C=CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]nnc2c1 ZINC001018253834 758669960 /nfs/dbraw/zinc/66/99/60/758669960.db2.gz KOHPBGNWTZVDPJ-OKILXGFUSA-N 1 2 311.389 1.823 20 30 DDEDLO C=CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]nnc2c1 ZINC001018253834 758669961 /nfs/dbraw/zinc/66/99/61/758669961.db2.gz KOHPBGNWTZVDPJ-OKILXGFUSA-N 1 2 311.389 1.823 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccnc(C)c1)O2 ZINC001053677605 758686219 /nfs/dbraw/zinc/68/62/19/758686219.db2.gz DJPODKNJMKAWBX-MRXNPFEDSA-N 1 2 315.417 1.929 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[NH+]([C@@H]2CCN(C(C)=O)C2)CC1 ZINC001065676210 758696275 /nfs/dbraw/zinc/69/62/75/758696275.db2.gz HFKSFCVLCFNAOM-HZPDHXFCSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1Cl)O2 ZINC001053691761 758701812 /nfs/dbraw/zinc/70/18/12/758701812.db2.gz CWOJNSQAEXLBNR-LBPRGKRZSA-N 1 2 321.808 1.884 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cc(Cl)cn1 ZINC001018305190 758715744 /nfs/dbraw/zinc/71/57/44/758715744.db2.gz JORYBGDTMGGBFY-OKILXGFUSA-N 1 2 306.797 1.235 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cc(Cl)cn1 ZINC001018305190 758715750 /nfs/dbraw/zinc/71/57/50/758715750.db2.gz JORYBGDTMGGBFY-OKILXGFUSA-N 1 2 306.797 1.235 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065711012 758728317 /nfs/dbraw/zinc/72/83/17/758728317.db2.gz HQDYIHRXDWFLQW-HOTGVXAUSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065711434 758728362 /nfs/dbraw/zinc/72/83/62/758728362.db2.gz MJYJZOUIMLQSOY-HOTGVXAUSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc(F)c1)CO2 ZINC001053727175 758743806 /nfs/dbraw/zinc/74/38/06/758743806.db2.gz SQQIDVUCBZLJDG-OAHLLOKOSA-N 1 2 304.365 1.975 20 30 DDEDLO C[C@H](Nc1ccc(C#N)nc1)[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065728887 758745157 /nfs/dbraw/zinc/74/51/57/758745157.db2.gz FVLJTFQMRGXUGU-STQMWFEESA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(Cl)c[nH]1)CO2 ZINC001053732967 758747863 /nfs/dbraw/zinc/74/78/63/758747863.db2.gz JQCIZPHJLSUYCW-GFCCVEGCSA-N 1 2 309.797 1.817 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1C[C@@H]3C=C[C@H]1CC3)CO2 ZINC001053810114 758837614 /nfs/dbraw/zinc/83/76/14/758837614.db2.gz KYUJLJMRZQYSFP-LTIDMASMSA-N 1 2 314.429 1.572 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccnn1CCC)CO2 ZINC001053819691 758847129 /nfs/dbraw/zinc/84/71/29/758847129.db2.gz FMCQUTWFAKOJTM-CQSZACIVSA-N 1 2 318.421 1.442 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1CNc1ccc(C#N)nc1 ZINC001065860685 758866117 /nfs/dbraw/zinc/86/61/17/758866117.db2.gz FOJBOLKFYWODPT-KBPBESRZSA-N 1 2 324.388 1.356 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(CC)o1)CO2 ZINC001053849437 758880904 /nfs/dbraw/zinc/88/09/04/758880904.db2.gz KFTXABOWSSODQA-ZDUSSCGKSA-N 1 2 302.374 1.438 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)cc(C)n1)CO2 ZINC001053855109 758887126 /nfs/dbraw/zinc/88/71/26/758887126.db2.gz FHJBGISQNQTGJG-OAHLLOKOSA-N 1 2 315.417 1.848 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)c(F)c1)CO2 ZINC001053865379 758899801 /nfs/dbraw/zinc/89/98/01/758899801.db2.gz XUFXBUQFSHQTNG-OAHLLOKOSA-N 1 2 316.376 1.731 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H]1C[C@H]1C1CC1)CO2 ZINC001053876004 758910493 /nfs/dbraw/zinc/91/04/93/758910493.db2.gz RKQZZISZRFAFIM-XHSDSOJGSA-N 1 2 302.418 1.405 20 30 DDEDLO C[C@@H](CNC(=O)CCCn1cc[nH+]c1)Nc1ccc(C#N)nc1 ZINC001097912452 758915848 /nfs/dbraw/zinc/91/58/48/758915848.db2.gz YOJHHYGHNVDOMK-ZDUSSCGKSA-N 1 2 312.377 1.547 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccnc1OC)CO2 ZINC001053914689 758949076 /nfs/dbraw/zinc/94/90/76/758949076.db2.gz TYRUPAOCPHOGDC-CYBMUJFWSA-N 1 2 317.389 1.239 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cnoc1C1CC1)CO2 ZINC001053971505 759007665 /nfs/dbraw/zinc/00/76/65/759007665.db2.gz MAMNWDZDIWNJOH-ZDUSSCGKSA-N 1 2 315.373 1.148 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1coc(CCC)n1)CO2 ZINC001053982517 759023885 /nfs/dbraw/zinc/02/38/85/759023885.db2.gz LIIIICWVHAQDOY-ZDUSSCGKSA-N 1 2 319.405 1.776 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn(CC)n1 ZINC001054039616 759097656 /nfs/dbraw/zinc/09/76/56/759097656.db2.gz DHUUPHKTNXQPOK-MRXNPFEDSA-N 1 2 323.400 1.088 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn(CC)n1 ZINC001054039616 759097662 /nfs/dbraw/zinc/09/76/62/759097662.db2.gz DHUUPHKTNXQPOK-MRXNPFEDSA-N 1 2 323.400 1.088 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cccnn1 ZINC001054057883 759110392 /nfs/dbraw/zinc/11/03/92/759110392.db2.gz UAZXVTSYRFUFLS-INIZCTEOSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cccnn1 ZINC001054057883 759110396 /nfs/dbraw/zinc/11/03/96/759110396.db2.gz UAZXVTSYRFUFLS-INIZCTEOSA-N 1 2 306.369 1.267 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@@H](C)N(C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001069117732 767858381 /nfs/dbraw/zinc/85/83/81/767858381.db2.gz ZXGUMUREHKEWIU-IUODEOHRSA-N 1 2 324.388 1.560 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](C)N(C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001069117734 767858921 /nfs/dbraw/zinc/85/89/21/767858921.db2.gz ZXGUMUREHKEWIU-WFASDCNBSA-N 1 2 324.388 1.560 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H](Nc3cc[nH+]c(C)n3)C[C@H]2C)cn1 ZINC001069124005 767861609 /nfs/dbraw/zinc/86/16/09/767861609.db2.gz IUDOATUEKDZLHG-WBMJQRKESA-N 1 2 321.384 1.876 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@H](Nc2ccc(C#N)cn2)C[C@@H]1C ZINC001069129453 767864573 /nfs/dbraw/zinc/86/45/73/767864573.db2.gz JAGKIBZVKMJOJQ-SMDDNHRTSA-N 1 2 324.388 1.629 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@H](Nc2ccc(C#N)cn2)C[C@H]1C ZINC001069129450 767864743 /nfs/dbraw/zinc/86/47/43/767864743.db2.gz JAGKIBZVKMJOJQ-BXUZGUMPSA-N 1 2 324.388 1.629 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@H]2CN(C)C(=O)c2ccc(C#N)[nH]2)o1 ZINC001085553265 759680402 /nfs/dbraw/zinc/68/04/02/759680402.db2.gz BFPFOEOSNHPQQC-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@H]2CN(C)C(=O)c2ccc(C#N)[nH]2)o1 ZINC001085553265 759680403 /nfs/dbraw/zinc/68/04/03/759680403.db2.gz BFPFOEOSNHPQQC-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cncs1)C(=O)c1c[nH]c(C#N)c1 ZINC001085555972 759699040 /nfs/dbraw/zinc/69/90/40/759699040.db2.gz FVJWXMNBBMOJCK-CYBMUJFWSA-N 1 2 315.402 1.689 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cncs1)C(=O)c1c[nH]c(C#N)c1 ZINC001085555972 759699044 /nfs/dbraw/zinc/69/90/44/759699044.db2.gz FVJWXMNBBMOJCK-CYBMUJFWSA-N 1 2 315.402 1.689 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(C)c1C(F)(F)F ZINC001085694717 760048096 /nfs/dbraw/zinc/04/80/96/760048096.db2.gz NXFAEYUTZKKMIG-JTQLQIEISA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(C)c1C(F)(F)F ZINC001085694717 760048107 /nfs/dbraw/zinc/04/81/07/760048107.db2.gz NXFAEYUTZKKMIG-JTQLQIEISA-N 1 2 314.311 1.218 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1coc(-c2cccnc2)n1 ZINC001085699049 760053841 /nfs/dbraw/zinc/05/38/41/760053841.db2.gz DZPOIYQHPGNWKG-AWEZNQCLSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1coc(-c2cccnc2)n1 ZINC001085699049 760053850 /nfs/dbraw/zinc/05/38/50/760053850.db2.gz DZPOIYQHPGNWKG-AWEZNQCLSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccncc1OC(C)C ZINC001085740909 760138559 /nfs/dbraw/zinc/13/85/59/760138559.db2.gz DZEXIGAMUQCPIW-AWEZNQCLSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccncc1OC(C)C ZINC001085740909 760138563 /nfs/dbraw/zinc/13/85/63/760138563.db2.gz DZEXIGAMUQCPIW-AWEZNQCLSA-N 1 2 301.390 1.648 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccn2cc(C)nc2c1 ZINC001085817454 760300156 /nfs/dbraw/zinc/30/01/56/760300156.db2.gz YSWDVHCCHCDDGK-INIZCTEOSA-N 1 2 310.401 1.812 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccn2cc(C)nc2c1 ZINC001085817454 760300164 /nfs/dbraw/zinc/30/01/64/760300164.db2.gz YSWDVHCCHCDDGK-INIZCTEOSA-N 1 2 310.401 1.812 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccnn1C ZINC001085824084 760313722 /nfs/dbraw/zinc/31/37/22/760313722.db2.gz ZUAWHNVKVIEPQZ-OAGGEKHMSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccnn1C ZINC001085824084 760313730 /nfs/dbraw/zinc/31/37/30/760313730.db2.gz ZUAWHNVKVIEPQZ-OAGGEKHMSA-N 1 2 318.421 1.044 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2C/C=C/Cl)nn1 ZINC001085863975 760407572 /nfs/dbraw/zinc/40/75/72/760407572.db2.gz OLBNGCDRIQCFPC-VUDGCMKMSA-N 1 2 323.828 1.753 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)C[C@H]2CC[N@H+]2C/C=C/Cl)nn1 ZINC001085863975 760407573 /nfs/dbraw/zinc/40/75/73/760407573.db2.gz OLBNGCDRIQCFPC-VUDGCMKMSA-N 1 2 323.828 1.753 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@H](C)CNc2cnc(C#N)cn2)c(C)[nH+]1 ZINC001108218636 760464841 /nfs/dbraw/zinc/46/48/41/760464841.db2.gz QDKUTALNBKRKIK-GFCCVEGCSA-N 1 2 324.388 1.899 20 30 DDEDLO N#Cc1ccc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)Cn2cc[nH+]c2)C3)nc1 ZINC001055354632 760474928 /nfs/dbraw/zinc/47/49/28/760474928.db2.gz MXNPFDGSIMXWJM-KFWWJZLASA-N 1 2 322.372 1.076 20 30 DDEDLO C[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1cccc(F)c1C#N ZINC001098007169 760770623 /nfs/dbraw/zinc/77/06/23/760770623.db2.gz VDKCOJSLBDOYKQ-JTQLQIEISA-N 1 2 301.325 1.580 20 30 DDEDLO CCc1nocc1C[N@@H+]1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038175469 760890631 /nfs/dbraw/zinc/89/06/31/760890631.db2.gz PJWKUUZIHPWOIB-CQSZACIVSA-N 1 2 313.361 1.441 20 30 DDEDLO CCc1nocc1C[N@H+]1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038175469 760890644 /nfs/dbraw/zinc/89/06/44/760890644.db2.gz PJWKUUZIHPWOIB-CQSZACIVSA-N 1 2 313.361 1.441 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066376152 760915140 /nfs/dbraw/zinc/91/51/40/760915140.db2.gz BEDSNOPMGQAWBM-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn(CC)nc1C1CC1 ZINC001038301092 760987833 /nfs/dbraw/zinc/98/78/33/760987833.db2.gz LWUVQBWKMFPHPJ-CQSZACIVSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn(CC)nc1C1CC1 ZINC001038301092 760987841 /nfs/dbraw/zinc/98/78/41/760987841.db2.gz LWUVQBWKMFPHPJ-CQSZACIVSA-N 1 2 300.406 1.608 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001046872138 768000468 /nfs/dbraw/zinc/00/04/68/768000468.db2.gz AZIHDFYBDLAPPI-OAHLLOKOSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001046872138 768000476 /nfs/dbraw/zinc/00/04/76/768000476.db2.gz AZIHDFYBDLAPPI-OAHLLOKOSA-N 1 2 322.796 1.127 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1CCN1Cc1c[nH+]cn1C ZINC001038353821 761039200 /nfs/dbraw/zinc/03/92/00/761039200.db2.gz PLECAGQRDQDRBH-AWEZNQCLSA-N 1 2 313.405 1.412 20 30 DDEDLO C#CC[NH2+][C@H]1C[C@@H](CNC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001086165937 761100200 /nfs/dbraw/zinc/10/02/00/761100200.db2.gz PPLLXCNEXFENIC-JNSHFYNHSA-N 1 2 309.373 1.203 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066462188 761261968 /nfs/dbraw/zinc/26/19/68/761261968.db2.gz CXAOXUOBBRCWPB-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO Cc1nocc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038668278 761268250 /nfs/dbraw/zinc/26/82/50/761268250.db2.gz YNTWIXSYPBDLJT-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1nocc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038668278 761268253 /nfs/dbraw/zinc/26/82/53/761268253.db2.gz YNTWIXSYPBDLJT-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001038703957 761305385 /nfs/dbraw/zinc/30/53/85/761305385.db2.gz BGELHVUQYMTIEK-QRVBRYPASA-N 1 2 324.424 1.820 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001038703957 761305397 /nfs/dbraw/zinc/30/53/97/761305397.db2.gz BGELHVUQYMTIEK-QRVBRYPASA-N 1 2 324.424 1.820 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1conc1C ZINC001038713697 761317289 /nfs/dbraw/zinc/31/72/89/761317289.db2.gz DGHAEUFOHQAVJU-ZNMIVQPWSA-N 1 2 305.378 1.265 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1conc1C ZINC001038713697 761317297 /nfs/dbraw/zinc/31/72/97/761317297.db2.gz DGHAEUFOHQAVJU-ZNMIVQPWSA-N 1 2 305.378 1.265 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001069476299 768032345 /nfs/dbraw/zinc/03/23/45/768032345.db2.gz TWNCABUCOLCFGZ-DZGCQCFKSA-N 1 2 324.388 1.547 20 30 DDEDLO CC1(Nc2ccncc2C#N)CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001067269395 761390364 /nfs/dbraw/zinc/39/03/64/761390364.db2.gz BTNCXRORPLPZBC-UHFFFAOYSA-N 1 2 324.388 1.134 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(-c2nccs2)c1 ZINC001038895387 761519604 /nfs/dbraw/zinc/51/96/04/761519604.db2.gz CQISNBAXEZJHRR-CYBMUJFWSA-N 1 2 315.402 1.156 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(-c2nccs2)c1 ZINC001038895387 761519610 /nfs/dbraw/zinc/51/96/10/761519610.db2.gz CQISNBAXEZJHRR-CYBMUJFWSA-N 1 2 315.402 1.156 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(CCCC)c1C ZINC001038906349 761531338 /nfs/dbraw/zinc/53/13/38/761531338.db2.gz XFQBOPOJAOYXLK-HNNXBMFYSA-N 1 2 302.422 1.819 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(CCCC)c1C ZINC001038906349 761531343 /nfs/dbraw/zinc/53/13/43/761531343.db2.gz XFQBOPOJAOYXLK-HNNXBMFYSA-N 1 2 302.422 1.819 20 30 DDEDLO CCn1cnc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)c1 ZINC001038920110 761546093 /nfs/dbraw/zinc/54/60/93/761546093.db2.gz BIQZLIQHFYCQJL-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1cnc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)c1 ZINC001038920110 761546099 /nfs/dbraw/zinc/54/60/99/761546099.db2.gz BIQZLIQHFYCQJL-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnc(-n2ccnc2)c1 ZINC001039026112 761663804 /nfs/dbraw/zinc/66/38/04/761663804.db2.gz SFUUMYNDYITTPD-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnc(-n2ccnc2)c1 ZINC001039026112 761663809 /nfs/dbraw/zinc/66/38/09/761663809.db2.gz SFUUMYNDYITTPD-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO Cc1nonc1C[N@@H+]1CC[C@]2(CCN(C(=O)C#CC3CC3)C2)C1 ZINC001041272813 762923572 /nfs/dbraw/zinc/92/35/72/762923572.db2.gz JXHIVYZGVZGQLV-KRWDZBQOSA-N 1 2 314.389 1.216 20 30 DDEDLO Cc1nonc1C[N@H+]1CC[C@]2(CCN(C(=O)C#CC3CC3)C2)C1 ZINC001041272813 762923575 /nfs/dbraw/zinc/92/35/75/762923575.db2.gz JXHIVYZGVZGQLV-KRWDZBQOSA-N 1 2 314.389 1.216 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)CC(C)(C)C)CC1 ZINC001131386042 768099188 /nfs/dbraw/zinc/09/91/88/768099188.db2.gz SAPJRNYNGYPIDN-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)CC(C)(C)C)CC1 ZINC001131386042 768099196 /nfs/dbraw/zinc/09/91/96/768099196.db2.gz SAPJRNYNGYPIDN-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CCC(C)(C)C)CC1 ZINC001131388147 768108191 /nfs/dbraw/zinc/10/81/91/768108191.db2.gz GDHZLPVQRUFWJA-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CCC(C)(C)C)CC1 ZINC001131388147 768108199 /nfs/dbraw/zinc/10/81/99/768108199.db2.gz GDHZLPVQRUFWJA-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(CCN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001041825730 763377442 /nfs/dbraw/zinc/37/74/42/763377442.db2.gz TXDCAEARYJEKFR-KRWDZBQOSA-N 1 2 309.373 1.129 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(CCN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001041825730 763377453 /nfs/dbraw/zinc/37/74/53/763377453.db2.gz TXDCAEARYJEKFR-KRWDZBQOSA-N 1 2 309.373 1.129 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001050267402 763391697 /nfs/dbraw/zinc/39/16/97/763391697.db2.gz BXNSKVXXSFPTFT-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001050269886 763395598 /nfs/dbraw/zinc/39/55/98/763395598.db2.gz YWKMXMBWNJDZNB-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109222065 763481544 /nfs/dbraw/zinc/48/15/44/763481544.db2.gz GOONQAXNROSVIR-KBXIAJHMSA-N 1 2 320.437 1.174 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109222065 763481553 /nfs/dbraw/zinc/48/15/53/763481553.db2.gz GOONQAXNROSVIR-KBXIAJHMSA-N 1 2 320.437 1.174 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@H+](Cc3cnns3)[C@H]2C1 ZINC001042057330 763600294 /nfs/dbraw/zinc/60/02/94/763600294.db2.gz IMDRIWSOBAFLNK-ZFWWWQNUSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2CC[N@@H+](Cc3cnns3)[C@H]2C1 ZINC001042057330 763600301 /nfs/dbraw/zinc/60/03/01/763600301.db2.gz IMDRIWSOBAFLNK-ZFWWWQNUSA-N 1 2 316.430 1.374 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)C(=C)C)C2 ZINC001109592265 763846036 /nfs/dbraw/zinc/84/60/36/763846036.db2.gz MIQZYDXITMXOTN-ILXRZTDVSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)C(=C)C)C2 ZINC001109592265 763846041 /nfs/dbraw/zinc/84/60/41/763846041.db2.gz MIQZYDXITMXOTN-ILXRZTDVSA-N 1 2 317.433 1.060 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)N[C@H](C)CC ZINC001109601700 763850714 /nfs/dbraw/zinc/85/07/14/763850714.db2.gz MDYJYJMDLJQNQE-KBXIAJHMSA-N 1 2 321.465 1.835 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)N[C@H](C)CC ZINC001109601700 763850719 /nfs/dbraw/zinc/85/07/19/763850719.db2.gz MDYJYJMDLJQNQE-KBXIAJHMSA-N 1 2 321.465 1.835 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001109631551 763894645 /nfs/dbraw/zinc/89/46/45/763894645.db2.gz FYAJWZPPCXQFIH-XRGAULLZSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001109631551 763894653 /nfs/dbraw/zinc/89/46/53/763894653.db2.gz FYAJWZPPCXQFIH-XRGAULLZSA-N 1 2 317.437 1.639 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]21 ZINC001042350687 763952205 /nfs/dbraw/zinc/95/22/05/763952205.db2.gz JGSOPRNTCWZMEU-LRDDRELGSA-N 1 2 311.389 1.680 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]21 ZINC001042350687 763952207 /nfs/dbraw/zinc/95/22/07/763952207.db2.gz JGSOPRNTCWZMEU-LRDDRELGSA-N 1 2 311.389 1.680 20 30 DDEDLO C=CC1(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(N)=O)CCCCC1 ZINC001109834317 764118770 /nfs/dbraw/zinc/11/87/70/764118770.db2.gz WBGJISBDPYOKFQ-ILXRZTDVSA-N 1 2 319.449 1.720 20 30 DDEDLO C=CC1(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(N)=O)CCCCC1 ZINC001109834317 764118776 /nfs/dbraw/zinc/11/87/76/764118776.db2.gz WBGJISBDPYOKFQ-ILXRZTDVSA-N 1 2 319.449 1.720 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc(C)n1 ZINC001050822905 764134601 /nfs/dbraw/zinc/13/46/01/764134601.db2.gz YJQRVDAEPQECMD-HNNXBMFYSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc(C)n1 ZINC001050822905 764134604 /nfs/dbraw/zinc/13/46/04/764134604.db2.gz YJQRVDAEPQECMD-HNNXBMFYSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncnc1C ZINC001050828737 764141217 /nfs/dbraw/zinc/14/12/17/764141217.db2.gz UEZXSQVMUKSSSE-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cncnc1C ZINC001050828737 764141222 /nfs/dbraw/zinc/14/12/22/764141222.db2.gz UEZXSQVMUKSSSE-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](CNc2cc[nH+]c(C)n2)C2CC2)cn1 ZINC001109877191 764160587 /nfs/dbraw/zinc/16/05/87/764160587.db2.gz HZQUMVZMHWNRLC-MRXNPFEDSA-N 1 2 321.384 1.782 20 30 DDEDLO C[C@H](F)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001050867873 764209185 /nfs/dbraw/zinc/20/91/85/764209185.db2.gz JZTINKNFMLUZPX-WCQYABFASA-N 1 2 308.357 1.065 20 30 DDEDLO C[C@H](F)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001050867873 764209189 /nfs/dbraw/zinc/20/91/89/764209189.db2.gz JZTINKNFMLUZPX-WCQYABFASA-N 1 2 308.357 1.065 20 30 DDEDLO C[C@@H](F)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001050880024 764226691 /nfs/dbraw/zinc/22/66/91/764226691.db2.gz KJOVZRDRKXTOHV-RISCZKNCSA-N 1 2 308.357 1.065 20 30 DDEDLO C[C@@H](F)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001050880024 764226703 /nfs/dbraw/zinc/22/67/03/764226703.db2.gz KJOVZRDRKXTOHV-RISCZKNCSA-N 1 2 308.357 1.065 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C2CC2)n1 ZINC001109991332 764270208 /nfs/dbraw/zinc/27/02/08/764270208.db2.gz GRWZCCNYOCRPIS-HNNXBMFYSA-N 1 2 324.388 1.534 20 30 DDEDLO C#Cc1cncc(C(=O)NCc2cnn3c2C[N@H+](CCC)CC3)c1 ZINC001069872754 768203524 /nfs/dbraw/zinc/20/35/24/768203524.db2.gz BAFVAFPBDOUZGP-UHFFFAOYSA-N 1 2 323.400 1.415 20 30 DDEDLO C#Cc1cncc(C(=O)NCc2cnn3c2C[N@@H+](CCC)CC3)c1 ZINC001069872754 768203530 /nfs/dbraw/zinc/20/35/30/768203530.db2.gz BAFVAFPBDOUZGP-UHFFFAOYSA-N 1 2 323.400 1.415 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCCC)C2 ZINC001110057604 764348286 /nfs/dbraw/zinc/34/82/86/764348286.db2.gz CDBXPUSYIVQEIZ-PMPSAXMXSA-N 1 2 319.449 1.428 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCCC)C2 ZINC001110057604 764348293 /nfs/dbraw/zinc/34/82/93/764348293.db2.gz CDBXPUSYIVQEIZ-PMPSAXMXSA-N 1 2 319.449 1.428 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1Cc2ccccc2O1 ZINC001051018596 764421781 /nfs/dbraw/zinc/42/17/81/764421781.db2.gz YAEPTZGKTKHESO-RDJZCZTQSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1Cc2ccccc2O1 ZINC001051018596 764421789 /nfs/dbraw/zinc/42/17/89/764421789.db2.gz YAEPTZGKTKHESO-RDJZCZTQSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C(F)F)n[nH]1 ZINC001051107482 764511468 /nfs/dbraw/zinc/51/14/68/764511468.db2.gz NCDRNMKNWBOVKD-SNVBAGLBSA-N 1 2 314.336 1.354 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C(F)F)n[nH]1 ZINC001051107482 764511475 /nfs/dbraw/zinc/51/14/75/764511475.db2.gz NCDRNMKNWBOVKD-SNVBAGLBSA-N 1 2 314.336 1.354 20 30 DDEDLO C=CCCCC(=O)NC1(CO)CCN(c2cc[nH+]c(C)n2)CC1 ZINC001112673608 764557867 /nfs/dbraw/zinc/55/78/67/764557867.db2.gz VLZSMRWGBWUTNE-UHFFFAOYSA-N 1 2 318.421 1.589 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nc(C)n1 ZINC001051164769 764568980 /nfs/dbraw/zinc/56/89/80/764568980.db2.gz XMBDHNVWXQUMKN-AWEZNQCLSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)nc(C)n1 ZINC001051164769 764568986 /nfs/dbraw/zinc/56/89/86/764568986.db2.gz XMBDHNVWXQUMKN-AWEZNQCLSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1nnn(C(C)C)c1C ZINC001051184592 764591911 /nfs/dbraw/zinc/59/19/11/764591911.db2.gz GYWNALYZBPZCSX-AWEZNQCLSA-N 1 2 321.425 1.174 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nnn(C(C)C)c1C ZINC001051184592 764591915 /nfs/dbraw/zinc/59/19/15/764591915.db2.gz GYWNALYZBPZCSX-AWEZNQCLSA-N 1 2 321.425 1.174 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ncn(-c3ccccc3)n2)C1 ZINC001043024638 764595546 /nfs/dbraw/zinc/59/55/46/764595546.db2.gz UUPIJBCZVOYTEN-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c(C)cc1=O ZINC001051194176 764603442 /nfs/dbraw/zinc/60/34/42/764603442.db2.gz ZCGHTEALICYUID-CQSZACIVSA-N 1 2 319.405 1.080 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]c(C)cc1=O ZINC001051194176 764603447 /nfs/dbraw/zinc/60/34/47/764603447.db2.gz ZCGHTEALICYUID-CQSZACIVSA-N 1 2 319.405 1.080 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1c(C)noc1CC ZINC001051237776 764660353 /nfs/dbraw/zinc/66/03/53/764660353.db2.gz BXAOMULEOQEEIL-CQSZACIVSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1c(C)noc1CC ZINC001051237776 764660362 /nfs/dbraw/zinc/66/03/62/764660362.db2.gz BXAOMULEOQEEIL-CQSZACIVSA-N 1 2 321.421 1.942 20 30 DDEDLO C=CCOCCCC(=O)N1CC[NH+](CCc2ccns2)CC1 ZINC001112756824 764708030 /nfs/dbraw/zinc/70/80/30/764708030.db2.gz AFBPVDIPZZAEAY-UHFFFAOYSA-N 1 2 323.462 1.813 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2csc(NC(C)=O)n2)C1 ZINC001043200134 764758929 /nfs/dbraw/zinc/75/89/29/764758929.db2.gz NQYIJYNCPFSZEX-UHFFFAOYSA-N 1 2 308.407 1.434 20 30 DDEDLO C=CCCC(=O)NC1CC(CNc2[nH+]cnc3c2cnn3C)C1 ZINC001112819479 764811056 /nfs/dbraw/zinc/81/10/56/764811056.db2.gz WXMKQWKHEAYFFF-UHFFFAOYSA-N 1 2 314.393 1.636 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@H]2C)n1 ZINC001043294806 764817376 /nfs/dbraw/zinc/81/73/76/764817376.db2.gz IAWAOXUHRFTXCB-BXUZGUMPSA-N 1 2 324.388 1.486 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001051463559 764906698 /nfs/dbraw/zinc/90/66/98/764906698.db2.gz DZBBHFFDYZAJGR-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnc3onc(C)c3c2)C1 ZINC001043503033 764946231 /nfs/dbraw/zinc/94/62/31/764946231.db2.gz LDZLKIUPMHQMIA-UHFFFAOYSA-N 1 2 300.362 1.864 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(F)ccc2F)CC1 ZINC001112967269 765057666 /nfs/dbraw/zinc/05/76/66/765057666.db2.gz HEMAHSVLRYCNKR-UHFFFAOYSA-N 1 2 310.344 1.925 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051692352 765079236 /nfs/dbraw/zinc/07/92/36/765079236.db2.gz IGMGDDANAUWMCE-QWHCGFSZSA-N 1 2 318.421 1.518 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1cncc(C#N)n1 ZINC001112994854 765107224 /nfs/dbraw/zinc/10/72/24/765107224.db2.gz ZXIVUCKELXUEOD-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCO[C@@H](C[NH2+]Cc2csnn2)C1 ZINC001051804314 765176014 /nfs/dbraw/zinc/17/60/14/765176014.db2.gz YXSNBNGYISIVTB-ZFWWWQNUSA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCC[NH+]1CC(N(C)C(=O)c2nnc3ccccc3c2O)C1 ZINC001043993346 765215408 /nfs/dbraw/zinc/21/54/08/765215408.db2.gz YHVVUQVOHAGRNM-UHFFFAOYSA-N 1 2 312.373 1.668 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2ccccc2NC(C)=O)C1 ZINC001044069844 765269133 /nfs/dbraw/zinc/26/91/33/765269133.db2.gz YCACUBWEDJWOQU-UHFFFAOYSA-N 1 2 315.417 1.906 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)C3CCC3)C2)CC1 ZINC001051965100 765322549 /nfs/dbraw/zinc/32/25/49/765322549.db2.gz TUFNJQSGWPWYNU-HNNXBMFYSA-N 1 2 311.857 1.758 20 30 DDEDLO C[C@@H](Nc1ncc(C#N)cc1F)[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001113155033 765344030 /nfs/dbraw/zinc/34/40/30/765344030.db2.gz MCYQYGRYUHPQSX-VHSXEESVSA-N 1 2 316.340 1.363 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cccc(C(=O)N(C)C)c2)C1 ZINC001044199641 765388787 /nfs/dbraw/zinc/38/87/87/765388787.db2.gz RAPBHZZZUFXKJD-UHFFFAOYSA-N 1 2 315.417 1.721 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccoc3Cl)C2)CC1 ZINC001052016993 765389166 /nfs/dbraw/zinc/38/91/66/765389166.db2.gz CPWHFWKRBIHHHY-ZDUSSCGKSA-N 1 2 321.808 1.398 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccoc3Cl)C2)CC1 ZINC001052016993 765389173 /nfs/dbraw/zinc/38/91/73/765389173.db2.gz CPWHFWKRBIHHHY-ZDUSSCGKSA-N 1 2 321.808 1.398 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](C[C@@H]3CCCCO3)C2)nc1 ZINC001044202712 765391853 /nfs/dbraw/zinc/39/18/53/765391853.db2.gz BRGYBIIJIGANMR-INIZCTEOSA-N 1 2 313.401 1.388 20 30 DDEDLO C=CCCC[NH+]1CCN(C(=O)[C@H]2CN(C(C)C)CCO2)CC1 ZINC001113254681 765490968 /nfs/dbraw/zinc/49/09/68/765490968.db2.gz KAPRGVRJLBVXEH-MRXNPFEDSA-N 1 2 309.454 1.206 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](CNC(=O)CCc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001052180993 765553208 /nfs/dbraw/zinc/55/32/08/765553208.db2.gz IFSQYJRULGNHRW-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+](Cc2ccn(C)c(=O)c2)CC1 ZINC001113388754 765651179 /nfs/dbraw/zinc/65/11/79/765651179.db2.gz NYCPIYPHKODRRY-UHFFFAOYSA-N 1 2 317.433 1.632 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c[nH]nc2C(C)(C)C)CC1 ZINC001113522111 765792908 /nfs/dbraw/zinc/79/29/08/765792908.db2.gz WCIVNQLMSGRBBL-UHFFFAOYSA-N 1 2 320.437 1.668 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)cn1 ZINC001057764879 765841415 /nfs/dbraw/zinc/84/14/15/765841415.db2.gz HPXCAYSALSFHIP-CQSZACIVSA-N 1 2 310.361 1.322 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001052472012 765860943 /nfs/dbraw/zinc/86/09/43/765860943.db2.gz OBBDXIZRLYUEEW-ZIAGYGMSSA-N 1 2 318.421 1.519 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)c2cnn[nH]2)CC1 ZINC001052520783 765914263 /nfs/dbraw/zinc/91/42/63/765914263.db2.gz KDPLDMPIDAWJKU-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)c2cnn[nH]2)CC1 ZINC001052520783 765914266 /nfs/dbraw/zinc/91/42/66/765914266.db2.gz KDPLDMPIDAWJKU-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)ccc2C)[C@@H](n2ccnn2)C1 ZINC001070083514 768332825 /nfs/dbraw/zinc/33/28/25/768332825.db2.gz IIKOGDDNQIYXHO-SJORKVTESA-N 1 2 323.400 1.183 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)ccc2C)[C@@H](n2ccnn2)C1 ZINC001070083514 768332833 /nfs/dbraw/zinc/33/28/33/768332833.db2.gz IIKOGDDNQIYXHO-SJORKVTESA-N 1 2 323.400 1.183 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CC2CCCC2)C[N@@H+]1CC(=O)NCC#N ZINC001131767468 768333215 /nfs/dbraw/zinc/33/32/15/768333215.db2.gz AMBZXAJXXDBWCW-UKRRQHHQSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)CC2CCCC2)C[N@H+]1CC(=O)NCC#N ZINC001131767468 768333222 /nfs/dbraw/zinc/33/32/22/768333222.db2.gz AMBZXAJXXDBWCW-UKRRQHHQSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CC2CCCC2)C[N@@H+]1CC(=O)NCC#N ZINC001131767467 768334204 /nfs/dbraw/zinc/33/42/04/768334204.db2.gz AMBZXAJXXDBWCW-HIFRSBDPSA-N 1 2 320.437 1.176 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)CC2CCCC2)C[N@H+]1CC(=O)NCC#N ZINC001131767467 768334211 /nfs/dbraw/zinc/33/42/11/768334211.db2.gz AMBZXAJXXDBWCW-HIFRSBDPSA-N 1 2 320.437 1.176 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113639530 765964110 /nfs/dbraw/zinc/96/41/10/765964110.db2.gz FTDSAHYDWKXHPO-RISCZKNCSA-N 1 2 320.437 1.934 20 30 DDEDLO CCC(=O)N(C)[C@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001047266858 768348437 /nfs/dbraw/zinc/34/84/37/768348437.db2.gz JJQYMLPNKROHOV-GJZGRUSLSA-N 1 2 305.353 1.111 20 30 DDEDLO CCC(=O)N(C)[C@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001047266858 768348442 /nfs/dbraw/zinc/34/84/42/768348442.db2.gz JJQYMLPNKROHOV-GJZGRUSLSA-N 1 2 305.353 1.111 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)N(C)c1[nH+]cnc2c1cnn2C ZINC001113802119 766147180 /nfs/dbraw/zinc/14/71/80/766147180.db2.gz HYXTVYIQXRJEGP-NSHDSACASA-N 1 2 316.409 1.516 20 30 DDEDLO CSCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001113830883 766262198 /nfs/dbraw/zinc/26/21/98/766262198.db2.gz DAZQXMBFQCILCI-FOLVSLTJSA-N 1 2 319.405 1.607 20 30 DDEDLO CSCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001113830883 766262207 /nfs/dbraw/zinc/26/22/07/766262207.db2.gz DAZQXMBFQCILCI-FOLVSLTJSA-N 1 2 319.405 1.607 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001058247678 766324446 /nfs/dbraw/zinc/32/44/46/766324446.db2.gz WKJHFGXMPZWWIF-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)N(C)c1ccc(C#N)cn1 ZINC001113958160 766447520 /nfs/dbraw/zinc/44/75/20/766447520.db2.gz UXFTYDYWYLXKAX-MRVWCRGKSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)N(C)c1ccc(C#N)cn1 ZINC001113958160 766447522 /nfs/dbraw/zinc/44/75/22/766447522.db2.gz UXFTYDYWYLXKAX-MRVWCRGKSA-N 1 2 324.388 1.421 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC(C)C)no3)C[C@H]21 ZINC001114004852 766523595 /nfs/dbraw/zinc/52/35/95/766523595.db2.gz LVQLQSLXCCKDAO-LAQFHYBYSA-N 1 2 318.421 1.781 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC(C)C)no3)C[C@H]21 ZINC001114004852 766523598 /nfs/dbraw/zinc/52/35/98/766523598.db2.gz LVQLQSLXCCKDAO-LAQFHYBYSA-N 1 2 318.421 1.781 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1nccs1 ZINC001045735636 766544831 /nfs/dbraw/zinc/54/48/31/766544831.db2.gz QRVBWFKLGLMGTM-UPJWGTAASA-N 1 2 304.419 1.868 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1nccs1 ZINC001045735636 766544834 /nfs/dbraw/zinc/54/48/34/766544834.db2.gz QRVBWFKLGLMGTM-UPJWGTAASA-N 1 2 304.419 1.868 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114198313 766770918 /nfs/dbraw/zinc/77/09/18/766770918.db2.gz AKAQNABJFHWMEC-PBOSXPJTSA-N 1 2 301.394 1.024 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001114198313 766770926 /nfs/dbraw/zinc/77/09/26/766770926.db2.gz AKAQNABJFHWMEC-PBOSXPJTSA-N 1 2 301.394 1.024 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)CC3CCCC3)CC2)C1 ZINC001045996447 766779629 /nfs/dbraw/zinc/77/96/29/766779629.db2.gz AWKLFTIFOVYPPF-INIZCTEOSA-N 1 2 304.438 1.309 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)CC2)C1 ZINC001046072092 766885604 /nfs/dbraw/zinc/88/56/04/766885604.db2.gz RXLUQBKTLWREFZ-YESZJQIVSA-N 1 2 316.449 1.165 20 30 DDEDLO CC1(C)CN(c2ccc(C#N)cn2)C[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001068119550 766931149 /nfs/dbraw/zinc/93/11/49/766931149.db2.gz PVWBPMAZMZEFIY-CYBMUJFWSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H]1C[C@@H](CNc2ccc(C#N)cn2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068376264 767220573 /nfs/dbraw/zinc/22/05/73/767220573.db2.gz SBDZULLOKDFBHB-JSGCOSHPSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cn3c(n2)CCC3)C1 ZINC001046326193 767426007 /nfs/dbraw/zinc/42/60/07/767426007.db2.gz HIIHKOGYXYUPPZ-HNNXBMFYSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cn3c(n2)CCC3)C1 ZINC001046326193 767426010 /nfs/dbraw/zinc/42/60/10/767426010.db2.gz HIIHKOGYXYUPPZ-HNNXBMFYSA-N 1 2 308.813 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cncc3[nH]cnc32)C1 ZINC001046335805 767435495 /nfs/dbraw/zinc/43/54/95/767435495.db2.gz NOWXTVBGAXHVRU-OAHLLOKOSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cncc3[nH]cnc32)C1 ZINC001046335805 767435498 /nfs/dbraw/zinc/43/54/98/767435498.db2.gz NOWXTVBGAXHVRU-OAHLLOKOSA-N 1 2 319.796 1.905 20 30 DDEDLO C[C@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001068829388 767645668 /nfs/dbraw/zinc/64/56/68/767645668.db2.gz JPVNJCJFOQHXEL-GXTWGEPZSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C1CCC(C(=O)N[C@@]2(C)CC[N@@H+](Cc3cn(C)nn3)C2)CC1 ZINC001046594842 767719044 /nfs/dbraw/zinc/71/90/44/767719044.db2.gz QDCRPZYOJANOMM-KRWDZBQOSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CCC(C(=O)N[C@@]2(C)CC[N@H+](Cc3cn(C)nn3)C2)CC1 ZINC001046594842 767719051 /nfs/dbraw/zinc/71/90/51/767719051.db2.gz QDCRPZYOJANOMM-KRWDZBQOSA-N 1 2 317.437 1.642 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069078535 767827612 /nfs/dbraw/zinc/82/76/12/767827612.db2.gz HGUNPPVEKNPGDF-SWLSCSKDSA-N 1 2 324.388 1.710 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131004039 767837836 /nfs/dbraw/zinc/83/78/36/767837836.db2.gz YJBAWFGKGVNRMD-ZIAGYGMSSA-N 1 2 315.421 1.430 20 30 DDEDLO C[C@]1(NC(=O)c2ncn[nH]2)CC[N@H+](Cc2ccc(C#N)cc2)C1 ZINC001046715223 767839977 /nfs/dbraw/zinc/83/99/77/767839977.db2.gz WUOYTFNIEPIYFD-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@]1(NC(=O)c2ncn[nH]2)CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001046715223 767839983 /nfs/dbraw/zinc/83/99/83/767839983.db2.gz WUOYTFNIEPIYFD-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@]1(NC(=O)c2nc[nH]n2)CC[N@H+](Cc2ccc(C#N)cc2)C1 ZINC001046715223 767839991 /nfs/dbraw/zinc/83/99/91/767839991.db2.gz WUOYTFNIEPIYFD-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@]1(NC(=O)c2nc[nH]n2)CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001046715223 767839997 /nfs/dbraw/zinc/83/99/97/767839997.db2.gz WUOYTFNIEPIYFD-INIZCTEOSA-N 1 2 310.361 1.071 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](CCCS(C)(=O)=O)C1 ZINC001131894696 768459172 /nfs/dbraw/zinc/45/91/72/768459172.db2.gz BKXNZWNWVXVXNO-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](CCCS(C)(=O)=O)C1 ZINC001131894696 768459178 /nfs/dbraw/zinc/45/91/78/768459178.db2.gz BKXNZWNWVXVXNO-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C(C)C)[nH]n2)C1 ZINC001047538692 768552055 /nfs/dbraw/zinc/55/20/55/768552055.db2.gz WFGKWDKGVALDER-GJZGRUSLSA-N 1 2 306.410 1.226 20 30 DDEDLO C=CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C(C)C)[nH]n2)C1 ZINC001047538692 768552058 /nfs/dbraw/zinc/55/20/58/768552058.db2.gz WFGKWDKGVALDER-GJZGRUSLSA-N 1 2 306.410 1.226 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]2C)nn1C ZINC001132021899 768559051 /nfs/dbraw/zinc/55/90/51/768559051.db2.gz RHYXWDNOPMFUOO-HOCLYGCPSA-N 1 2 316.449 1.857 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]2C)nn1C ZINC001132021899 768559053 /nfs/dbraw/zinc/55/90/53/768559053.db2.gz RHYXWDNOPMFUOO-HOCLYGCPSA-N 1 2 316.449 1.857 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@@H]2C)on1 ZINC001132045560 768599388 /nfs/dbraw/zinc/59/93/88/768599388.db2.gz GVPBAZJSWSRTRK-JSGCOSHPSA-N 1 2 317.389 1.566 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H](NC(=O)C#CC3CC3)CC[C@@H]2C)on1 ZINC001132045560 768599394 /nfs/dbraw/zinc/59/93/94/768599394.db2.gz GVPBAZJSWSRTRK-JSGCOSHPSA-N 1 2 317.389 1.566 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C2(CC)CCC2)CC[C@H]1C ZINC001132244736 768711952 /nfs/dbraw/zinc/71/19/52/768711952.db2.gz KDYFPPOZXVUOFB-HUUCEWRRSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C2(CC)CCC2)CC[C@H]1C ZINC001132244736 768711953 /nfs/dbraw/zinc/71/19/53/768711953.db2.gz KDYFPPOZXVUOFB-HUUCEWRRSA-N 1 2 319.449 1.285 20 30 DDEDLO CC(C)C1(C(=O)N[C@@H]2CC[C@H](C)[N@H+](CC(=O)NCC#N)C2)CC1 ZINC001132282278 768726528 /nfs/dbraw/zinc/72/65/28/768726528.db2.gz ISWMBJVUKRTCNC-UONOGXRCSA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)C1(C(=O)N[C@@H]2CC[C@H](C)[N@@H+](CC(=O)NCC#N)C2)CC1 ZINC001132282278 768726534 /nfs/dbraw/zinc/72/65/34/768726534.db2.gz ISWMBJVUKRTCNC-UONOGXRCSA-N 1 2 320.437 1.031 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001070997758 768859674 /nfs/dbraw/zinc/85/96/74/768859674.db2.gz XGQHCOLTUNZUPZ-HUUCEWRRSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001070997758 768859662 /nfs/dbraw/zinc/85/96/62/768859662.db2.gz XGQHCOLTUNZUPZ-HUUCEWRRSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@@]2(C)C(C)C)CC1 ZINC001071013291 768879562 /nfs/dbraw/zinc/87/95/62/768879562.db2.gz XJZHMYRJQQAPBV-QAPCUYQASA-N 1 2 321.465 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@@]2(C)C(C)C)CC1 ZINC001071013291 768879572 /nfs/dbraw/zinc/87/95/72/768879572.db2.gz XJZHMYRJQQAPBV-QAPCUYQASA-N 1 2 321.465 1.505 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN(c2nc(C)cc(C)n2)CC1 ZINC001096271798 768881348 /nfs/dbraw/zinc/88/13/48/768881348.db2.gz AYJSFSJAANTJBU-UHFFFAOYSA-N 1 2 317.437 1.298 20 30 DDEDLO CC[C@H](C)C(=O)NCC[NH+]1CCN(c2ncccc2C#N)CC1 ZINC001096274781 768898390 /nfs/dbraw/zinc/89/83/90/768898390.db2.gz IJUJQHOLNYCJGO-AWEZNQCLSA-N 1 2 315.421 1.238 20 30 DDEDLO CC[C@@H](C)C(=O)NCC[NH+]1CCN(c2ccncc2C#N)CC1 ZINC001096274523 768914486 /nfs/dbraw/zinc/91/44/86/768914486.db2.gz AIONPLOHYUKRGT-CQSZACIVSA-N 1 2 315.421 1.238 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)C(F)F)C[C@H](C)O2 ZINC001071115783 768966167 /nfs/dbraw/zinc/96/61/67/768966167.db2.gz DFJLFBUTWGCBNH-GXFFZTMASA-N 1 2 308.756 1.696 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)C(F)F)C[C@H](C)O2 ZINC001071115783 768966168 /nfs/dbraw/zinc/96/61/68/768966168.db2.gz DFJLFBUTWGCBNH-GXFFZTMASA-N 1 2 308.756 1.696 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(C1)CN(C(=O)c1cn[nH]c1)C[C@H](C)O2 ZINC001071139591 769009495 /nfs/dbraw/zinc/00/94/95/769009495.db2.gz XLJSKTDVOKXZHX-WFASDCNBSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(C1)CN(C(=O)c1cn[nH]c1)C[C@H](C)O2 ZINC001071139591 769009506 /nfs/dbraw/zinc/00/95/06/769009506.db2.gz XLJSKTDVOKXZHX-WFASDCNBSA-N 1 2 324.812 1.468 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(c2ncc(F)cn2)CC1 ZINC001096300530 769086661 /nfs/dbraw/zinc/08/66/61/769086661.db2.gz YIFXRBHFZILANQ-UHFFFAOYSA-N 1 2 321.400 1.210 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nc([C@@H](C)OC)no2)CCC1 ZINC001133392180 769772322 /nfs/dbraw/zinc/77/23/22/769772322.db2.gz LBFWORXUYLXPHH-GFCCVEGCSA-N 1 2 322.409 1.729 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[NH2+]Cc2nnc(C(C)C)o2)c1 ZINC001133589575 770020911 /nfs/dbraw/zinc/02/09/11/770020911.db2.gz QDMOOPQOXHKVHK-UHFFFAOYSA-N 1 2 313.361 1.089 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@@H]1C ZINC001071804113 770102132 /nfs/dbraw/zinc/10/21/32/770102132.db2.gz XDCMQFFUXYSWHC-QWRGUYRKSA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@@H]1C ZINC001071804113 770102133 /nfs/dbraw/zinc/10/21/33/770102133.db2.gz XDCMQFFUXYSWHC-QWRGUYRKSA-N 1 2 312.801 1.461 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001096491253 770463237 /nfs/dbraw/zinc/46/32/37/770463237.db2.gz JAAGWERDTSIYGM-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001096491253 770463243 /nfs/dbraw/zinc/46/32/43/770463243.db2.gz JAAGWERDTSIYGM-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C#CCC[N@H+]1C[C@H](NC(=O)c2ccc3[nH]nnc3c2)CC[C@@H]1C ZINC001072066535 770507987 /nfs/dbraw/zinc/50/79/87/770507987.db2.gz MKAHJEQTMUNTFT-GXTWGEPZSA-N 1 2 311.389 1.564 20 30 DDEDLO C#CCC[N@@H+]1C[C@H](NC(=O)c2ccc3[nH]nnc3c2)CC[C@@H]1C ZINC001072066535 770507991 /nfs/dbraw/zinc/50/79/91/770507991.db2.gz MKAHJEQTMUNTFT-GXTWGEPZSA-N 1 2 311.389 1.564 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(CC)CC)C2 ZINC001111431888 770553434 /nfs/dbraw/zinc/55/34/34/770553434.db2.gz PJHYEECBEGUZJT-KFWWJZLASA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(CC)CC)C2 ZINC001111431888 770553438 /nfs/dbraw/zinc/55/34/38/770553438.db2.gz PJHYEECBEGUZJT-KFWWJZLASA-N 1 2 319.449 1.284 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)CCCn2cc[nH+]c2)C[C@H]1C ZINC001072372969 770791901 /nfs/dbraw/zinc/79/19/01/770791901.db2.gz PALNBJRTJUFJSN-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001072452491 770918739 /nfs/dbraw/zinc/91/87/39/770918739.db2.gz CGWQMLXYBCKZIX-UONOGXRCSA-N 1 2 304.394 1.203 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001072453725 770920339 /nfs/dbraw/zinc/92/03/39/770920339.db2.gz NYNJLEPJIGWROT-LSDHHAIUSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001072484349 770961406 /nfs/dbraw/zinc/96/14/06/770961406.db2.gz OIZPZNSEDVQHMY-SWLSCSKDSA-N 1 2 318.421 1.580 20 30 DDEDLO Cc1ccnc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001049998566 771353132 /nfs/dbraw/zinc/35/31/32/771353132.db2.gz HMVVKCXIEWNYLQ-AEGPPILISA-N 1 2 313.405 1.368 20 30 DDEDLO Cc1ccnc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n1 ZINC001049998566 771353136 /nfs/dbraw/zinc/35/31/36/771353136.db2.gz HMVVKCXIEWNYLQ-AEGPPILISA-N 1 2 313.405 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nnc(C)[nH]1)C2 ZINC001097045289 771595077 /nfs/dbraw/zinc/59/50/77/771595077.db2.gz NCFDOTUMIKKJFG-UTUOFQBUSA-N 1 2 309.801 1.130 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nnc(C)[nH]1)C2 ZINC001097045289 771595080 /nfs/dbraw/zinc/59/50/80/771595080.db2.gz NCFDOTUMIKKJFG-UTUOFQBUSA-N 1 2 309.801 1.130 20 30 DDEDLO C[C@@H](CCCCNCC#N)NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001170896699 771896378 /nfs/dbraw/zinc/89/63/78/771896378.db2.gz ZKUWWGCMAVLNNF-AWEZNQCLSA-N 1 2 317.437 1.550 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)c3ccncc3)C2)nc1 ZINC001144166643 772435073 /nfs/dbraw/zinc/43/50/73/772435073.db2.gz OQBMMMIRVXCXDT-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccncc3)C2)nc1 ZINC001144166643 772435075 /nfs/dbraw/zinc/43/50/75/772435075.db2.gz OQBMMMIRVXCXDT-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@@H+]([C@@H](C)Cc2cccc(C#N)c2)C1 ZINC001171211475 772598203 /nfs/dbraw/zinc/59/82/03/772598203.db2.gz ZYCGRBSFFQZGDK-BBRMVZONSA-N 1 2 314.385 1.943 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@H+]([C@@H](C)Cc2cccc(C#N)c2)C1 ZINC001171211475 772598205 /nfs/dbraw/zinc/59/82/05/772598205.db2.gz ZYCGRBSFFQZGDK-BBRMVZONSA-N 1 2 314.385 1.943 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nncn1C)C2 ZINC001147640006 773188164 /nfs/dbraw/zinc/18/81/64/773188164.db2.gz LQIHINGJQFQBRZ-AWEZNQCLSA-N 1 2 317.437 1.767 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nncn1C)C2 ZINC001147640006 773188165 /nfs/dbraw/zinc/18/81/65/773188165.db2.gz LQIHINGJQFQBRZ-AWEZNQCLSA-N 1 2 317.437 1.767 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001073812556 773436247 /nfs/dbraw/zinc/43/62/47/773436247.db2.gz YQBKBBITUDPZQX-OCCSQVGLSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001148358226 773455102 /nfs/dbraw/zinc/45/51/02/773455102.db2.gz XXUBJJHSBPVUKO-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001148358226 773455108 /nfs/dbraw/zinc/45/51/08/773455108.db2.gz XXUBJJHSBPVUKO-UHFFFAOYSA-N 1 2 317.437 1.452 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CCOCC3)C[C@H]21 ZINC001074136503 773661052 /nfs/dbraw/zinc/66/10/52/773661052.db2.gz CUMZDPFBPOFWLL-HZPDHXFCSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3CCOCC3)C[C@H]21 ZINC001074136503 773661054 /nfs/dbraw/zinc/66/10/54/773661054.db2.gz CUMZDPFBPOFWLL-HZPDHXFCSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)cc3)C[C@H]21 ZINC001074141404 773667076 /nfs/dbraw/zinc/66/70/76/773667076.db2.gz WZCZTBNWECFBRI-SJORKVTESA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccc(F)cc3)C[C@H]21 ZINC001074141404 773667079 /nfs/dbraw/zinc/66/70/79/773667079.db2.gz WZCZTBNWECFBRI-SJORKVTESA-N 1 2 316.376 1.764 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3=CCOCC3)C[C@H]21 ZINC001074223429 773748943 /nfs/dbraw/zinc/74/89/43/773748943.db2.gz ZFQQHNHEQZKGHE-HZPDHXFCSA-N 1 2 306.406 1.211 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3=CCOCC3)C[C@H]21 ZINC001074223429 773748945 /nfs/dbraw/zinc/74/89/45/773748945.db2.gz ZFQQHNHEQZKGHE-HZPDHXFCSA-N 1 2 306.406 1.211 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc[nH]3)C[C@H]21 ZINC001074225650 773749830 /nfs/dbraw/zinc/74/98/30/773749830.db2.gz GJIWMQSCUUVGDZ-KGVXHEBISA-N 1 2 313.401 1.353 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc[nH]3)C[C@H]21 ZINC001074225650 773749832 /nfs/dbraw/zinc/74/98/32/773749832.db2.gz GJIWMQSCUUVGDZ-KGVXHEBISA-N 1 2 313.401 1.353 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001074264593 773777355 /nfs/dbraw/zinc/77/73/55/773777355.db2.gz XSJXZHPPXSZGJQ-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO CCc1cc(N2CC[C@H](NC(=O)[C@H](C)C#N)[C@H]2CC)nc(C)[nH+]1 ZINC001091774432 773801821 /nfs/dbraw/zinc/80/18/21/773801821.db2.gz AEUFOHHFNKLOCS-BYCMXARLSA-N 1 2 315.421 1.981 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2ccncc2)[C@H](O)C1 ZINC001099903543 773808665 /nfs/dbraw/zinc/80/86/65/773808665.db2.gz ICDFHGZPWBEBCA-HUUCEWRRSA-N 1 2 323.824 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2ccncc2)[C@H](O)C1 ZINC001099903543 773808670 /nfs/dbraw/zinc/80/86/70/773808670.db2.gz ICDFHGZPWBEBCA-HUUCEWRRSA-N 1 2 323.824 1.318 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3c(C)cnn3C)C[C@@H]21 ZINC001074316551 773817353 /nfs/dbraw/zinc/81/73/53/773817353.db2.gz AMVFBMVDQSOQTN-GJZGRUSLSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3c(C)cnn3C)C[C@@H]21 ZINC001074316551 773817359 /nfs/dbraw/zinc/81/73/59/773817359.db2.gz AMVFBMVDQSOQTN-GJZGRUSLSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)c3csc(=O)[nH]3)C[C@@H]21 ZINC001074316681 773817624 /nfs/dbraw/zinc/81/76/24/773817624.db2.gz COGVCDVZYRBISE-STQMWFEESA-N 1 2 323.418 1.340 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)c3csc(=O)[nH]3)C[C@@H]21 ZINC001074316681 773817627 /nfs/dbraw/zinc/81/76/27/773817627.db2.gz COGVCDVZYRBISE-STQMWFEESA-N 1 2 323.418 1.340 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC(C)(F)F)C[C@@H]21 ZINC001074329994 773826276 /nfs/dbraw/zinc/82/62/76/773826276.db2.gz TUFHILNCGGOSPN-STQMWFEESA-N 1 2 302.365 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC(C)(F)F)C[C@@H]21 ZINC001074329994 773826284 /nfs/dbraw/zinc/82/62/84/773826284.db2.gz TUFHILNCGGOSPN-STQMWFEESA-N 1 2 302.365 1.910 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C(C)C)CC3)C[C@H]21 ZINC001074334426 773830773 /nfs/dbraw/zinc/83/07/73/773830773.db2.gz RLGRVRVQROALOR-HZPDHXFCSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C(C)C)CC3)C[C@H]21 ZINC001074334426 773830780 /nfs/dbraw/zinc/83/07/80/773830780.db2.gz RLGRVRVQROALOR-HZPDHXFCSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C(F)F)C[C@@H]21 ZINC001074334503 773831953 /nfs/dbraw/zinc/83/19/53/773831953.db2.gz SRBMGPYKKMNAMX-STQMWFEESA-N 1 2 314.376 1.603 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C(F)F)C[C@@H]21 ZINC001074334503 773831954 /nfs/dbraw/zinc/83/19/54/773831954.db2.gz SRBMGPYKKMNAMX-STQMWFEESA-N 1 2 314.376 1.603 20 30 DDEDLO N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(-n3cc[nH+]c3)c1)C2 ZINC001098145216 773849326 /nfs/dbraw/zinc/84/93/26/773849326.db2.gz RKUYVHGUNPCFBH-ZACQAIPSSA-N 1 2 321.384 1.731 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccco3)C[C@@H]21 ZINC001074366004 773858146 /nfs/dbraw/zinc/85/81/46/773858146.db2.gz MOACDZMPMCFOGN-JKSUJKDBSA-N 1 2 302.374 1.147 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccco3)C[C@@H]21 ZINC001074366004 773858151 /nfs/dbraw/zinc/85/81/51/773858151.db2.gz MOACDZMPMCFOGN-JKSUJKDBSA-N 1 2 302.374 1.147 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092177610 773984376 /nfs/dbraw/zinc/98/43/76/773984376.db2.gz NQNVGWPBSZVQSL-CHWSQXEVSA-N 1 2 304.394 1.053 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cocc1C)c1nccn12 ZINC001092384455 774095875 /nfs/dbraw/zinc/09/58/75/774095875.db2.gz IHKPSSZEBPXSGD-AWEZNQCLSA-N 1 2 312.373 1.856 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC1(F)F)c1nccn12 ZINC001092366046 774105795 /nfs/dbraw/zinc/10/57/95/774105795.db2.gz OBBUSLDYSHQJLI-RYUDHWBXSA-N 1 2 320.343 1.134 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C[C@@H]1C ZINC001074957319 774264750 /nfs/dbraw/zinc/26/47/50/774264750.db2.gz ANWGBPVIZLMJKJ-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001075016689 774300349 /nfs/dbraw/zinc/30/03/49/774300349.db2.gz FBZJLEREOAWSLV-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@H]1C ZINC001075211464 774425412 /nfs/dbraw/zinc/42/54/12/774425412.db2.gz WAYHXHLNQRBBAA-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C=C3CCC3)C2)nn1 ZINC001098654915 774632128 /nfs/dbraw/zinc/63/21/28/774632128.db2.gz CSVWFFRANKTIQG-MRXNPFEDSA-N 1 2 313.405 1.275 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098890559 774707680 /nfs/dbraw/zinc/70/76/80/774707680.db2.gz ZVKAYAMVKLOXLW-NSHDSACASA-N 1 2 302.382 1.636 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cc(C)ncn3)CC2)C1 ZINC001093528114 774779815 /nfs/dbraw/zinc/77/98/15/774779815.db2.gz COKFYUXLRSIHMR-UHFFFAOYSA-N 1 2 316.405 1.276 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1ncccc1C#N ZINC001099032085 774783599 /nfs/dbraw/zinc/78/35/99/774783599.db2.gz NMSORJUKSZRSML-LBPRGKRZSA-N 1 2 312.377 1.616 20 30 DDEDLO C=CCOCC(=O)NCCNc1nc(C)[nH+]c2c1CCCC2 ZINC001093555492 774803967 /nfs/dbraw/zinc/80/39/67/774803967.db2.gz SVTCNXYVEKNNGX-UHFFFAOYSA-N 1 2 304.394 1.395 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)c(F)c2)C[C@H]1O ZINC001099724167 775185530 /nfs/dbraw/zinc/18/55/30/775185530.db2.gz MPXXHENEBMASOK-HZPDHXFCSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)c(F)c2)C[C@H]1O ZINC001099724167 775185534 /nfs/dbraw/zinc/18/55/34/775185534.db2.gz MPXXHENEBMASOK-HZPDHXFCSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099726250 775189653 /nfs/dbraw/zinc/18/96/53/775189653.db2.gz FRCGLKQBEZPDGR-IRXDYDNUSA-N 1 2 313.401 1.576 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099726250 775189661 /nfs/dbraw/zinc/18/96/61/775189661.db2.gz FRCGLKQBEZPDGR-IRXDYDNUSA-N 1 2 313.401 1.576 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001099794985 775290464 /nfs/dbraw/zinc/29/04/64/775290464.db2.gz VFLYAAXPFQAWIC-OLZOCXBDSA-N 1 2 316.829 1.250 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001099794985 775290469 /nfs/dbraw/zinc/29/04/69/775290469.db2.gz VFLYAAXPFQAWIC-OLZOCXBDSA-N 1 2 316.829 1.250 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001099977314 775510292 /nfs/dbraw/zinc/51/02/92/775510292.db2.gz XBSQMPWVBWSICC-HOTGVXAUSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001099977314 775510298 /nfs/dbraw/zinc/51/02/98/775510298.db2.gz XBSQMPWVBWSICC-HOTGVXAUSA-N 1 2 318.421 1.193 20 30 DDEDLO N#Cc1c(F)cccc1NCCNC(=O)CCc1c[nH]c[nH+]1 ZINC001094223537 775545353 /nfs/dbraw/zinc/54/53/53/775545353.db2.gz PHBOUFCLTNGYIZ-UHFFFAOYSA-N 1 2 301.325 1.581 20 30 DDEDLO N#Cc1c(F)cccc1NCCNC(=O)CCc1c[nH+]c[nH]1 ZINC001094223537 775545362 /nfs/dbraw/zinc/54/53/62/775545362.db2.gz PHBOUFCLTNGYIZ-UHFFFAOYSA-N 1 2 301.325 1.581 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=C)Cl)C[C@@H]1O ZINC001100020872 775583147 /nfs/dbraw/zinc/58/31/47/775583147.db2.gz UOYDBWURWGLGQW-OLZOCXBDSA-N 1 2 300.830 1.893 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=C)Cl)C[C@@H]1O ZINC001100020872 775583152 /nfs/dbraw/zinc/58/31/52/775583152.db2.gz UOYDBWURWGLGQW-OLZOCXBDSA-N 1 2 300.830 1.893 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccc(Cl)o3)nn2)C1 ZINC001094275181 775625302 /nfs/dbraw/zinc/62/53/02/775625302.db2.gz CAORQFRGLVWRBQ-UHFFFAOYSA-N 1 2 321.768 1.497 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)CCOC)[C@@H](O)C1 ZINC001100058597 775630409 /nfs/dbraw/zinc/63/04/09/775630409.db2.gz WTDCVNMIMOBLMQ-STQMWFEESA-N 1 2 318.845 1.353 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)CCOC)[C@@H](O)C1 ZINC001100058597 775630414 /nfs/dbraw/zinc/63/04/14/775630414.db2.gz WTDCVNMIMOBLMQ-STQMWFEESA-N 1 2 318.845 1.353 20 30 DDEDLO Cc1cc(C)c(C(=O)NCCNc2cncc(C#N)n2)c(C)[nH+]1 ZINC001094291484 775691860 /nfs/dbraw/zinc/69/18/60/775691860.db2.gz GUIYHQDSGCOFBT-UHFFFAOYSA-N 1 2 310.361 1.510 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NCCCNc1ncccc1C#N ZINC001094525456 775985137 /nfs/dbraw/zinc/98/51/37/775985137.db2.gz LPCQGCGSBCANGW-UHFFFAOYSA-N 1 2 312.377 1.238 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094709968 776178620 /nfs/dbraw/zinc/17/86/20/776178620.db2.gz CNYAHZMWGQCXGA-LBPRGKRZSA-N 1 2 316.409 1.884 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)CC3CCC3)CC2=O)C1 ZINC001094716836 776184845 /nfs/dbraw/zinc/18/48/45/776184845.db2.gz CXUPBKKEYDWDDR-AWEZNQCLSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3(C)CCCCC3)CC2=O)C1 ZINC001094781915 776272683 /nfs/dbraw/zinc/27/26/83/776272683.db2.gz CZWXVDWNGFDRCE-AWEZNQCLSA-N 1 2 319.449 1.544 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1CCCN1c1ccncc1C#N ZINC001100856009 776637175 /nfs/dbraw/zinc/63/71/75/776637175.db2.gz RVJPXKPPCCDITB-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001100942668 776739610 /nfs/dbraw/zinc/73/96/10/776739610.db2.gz ZWJZJUPFBBMWBQ-LBPRGKRZSA-N 1 2 314.393 1.272 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001101002166 776810418 /nfs/dbraw/zinc/81/04/18/776810418.db2.gz JPCHNUUOMCJMHJ-CQSZACIVSA-N 1 2 324.388 1.170 20 30 DDEDLO C#CCOCCC(=O)NCCCCCCNc1cc[nH+]c(C)n1 ZINC001094972813 776916752 /nfs/dbraw/zinc/91/67/52/776916752.db2.gz XKBHCBICSAKHHQ-UHFFFAOYSA-N 1 2 318.421 1.913 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC001172999669 776958038 /nfs/dbraw/zinc/95/80/38/776958038.db2.gz NMSFKPLWAMMMPE-MRXNPFEDSA-N 1 2 316.380 1.228 20 30 DDEDLO CCc1nc(N(CCNC(=O)[C@H](C)C#N)C2CC2)cc(C)[nH+]1 ZINC001101319731 777065533 /nfs/dbraw/zinc/06/55/33/777065533.db2.gz DWOKEFSTPDOJEY-LLVKDONJSA-N 1 2 301.394 1.592 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCC(C)C)c1nccn12 ZINC001101607072 777297304 /nfs/dbraw/zinc/29/73/04/777297304.db2.gz FBOVRMNQYQIKED-OAHLLOKOSA-N 1 2 314.433 1.915 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)SC)c1nccn12 ZINC001101611788 777301992 /nfs/dbraw/zinc/30/19/92/777301992.db2.gz JMHQBLBOHPBBQQ-RYUDHWBXSA-N 1 2 306.435 1.393 20 30 DDEDLO C=CCOCC(=O)N1CCC(CNc2cc[nH+]c(C)n2)CC1 ZINC001095257942 777364350 /nfs/dbraw/zinc/36/43/50/777364350.db2.gz SUHANFGLYPIVIG-UHFFFAOYSA-N 1 2 304.394 1.638 20 30 DDEDLO N#Cc1ccc(Nc2cnn(CC[NH+]3CCOCC3)c2)cc1F ZINC001174492067 777486217 /nfs/dbraw/zinc/48/62/17/777486217.db2.gz JYINBJFKOFGGNH-UHFFFAOYSA-N 1 2 315.352 1.970 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001101847804 777599197 /nfs/dbraw/zinc/59/91/97/777599197.db2.gz NQWDARBIFSFVMY-CYBMUJFWSA-N 1 2 324.388 1.283 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCCOC)C[C@H]21 ZINC001176943208 778371919 /nfs/dbraw/zinc/37/19/19/778371919.db2.gz GUHUAGSDTSFHSJ-CVEARBPZSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCCOC)C[C@H]21 ZINC001176943208 778371926 /nfs/dbraw/zinc/37/19/26/778371926.db2.gz GUHUAGSDTSFHSJ-CVEARBPZSA-N 1 2 308.422 1.128 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC3(OC)CCC3)C[C@@H]21 ZINC001177005753 778385584 /nfs/dbraw/zinc/38/55/84/778385584.db2.gz JUHMOMHZLUBLBQ-HOTGVXAUSA-N 1 2 322.449 1.823 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC3(OC)CCC3)C[C@@H]21 ZINC001177005753 778385588 /nfs/dbraw/zinc/38/55/88/778385588.db2.gz JUHMOMHZLUBLBQ-HOTGVXAUSA-N 1 2 322.449 1.823 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)(C)C)C[C@H]21 ZINC001177007040 778387518 /nfs/dbraw/zinc/38/75/18/778387518.db2.gz WNKXVGNXCQCIBS-HZPDHXFCSA-N 1 2 302.418 1.361 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC(C)(C)C)C[C@H]21 ZINC001177007040 778387524 /nfs/dbraw/zinc/38/75/24/778387524.db2.gz WNKXVGNXCQCIBS-HZPDHXFCSA-N 1 2 302.418 1.361 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CCOC)CC3)C[C@H]21 ZINC001177029677 778399936 /nfs/dbraw/zinc/39/99/36/778399936.db2.gz HURNPLGYQHRNSN-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CCOC)CC3)C[C@H]21 ZINC001177029677 778399940 /nfs/dbraw/zinc/39/99/40/778399940.db2.gz HURNPLGYQHRNSN-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102875703 778466638 /nfs/dbraw/zinc/46/66/38/778466638.db2.gz BQRCCCYJPGPLRV-HNNXBMFYSA-N 1 2 316.405 1.300 20 30 DDEDLO C#CCCCC(=O)NC[C@H](CC)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001103071707 778618731 /nfs/dbraw/zinc/61/87/31/778618731.db2.gz ALSIKIRYYOGTRK-ZDUSSCGKSA-N 1 2 318.425 1.356 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H]1CCNC(=O)Cn1cc[nH+]c1 ZINC001103749259 779028584 /nfs/dbraw/zinc/02/85/84/779028584.db2.gz NOSBQPHINJPTEW-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO N#Cc1nc[nH]c1NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001178986133 779252275 /nfs/dbraw/zinc/25/22/75/779252275.db2.gz HTVCXFBLJARGNV-CQSZACIVSA-N 1 2 311.345 1.121 20 30 DDEDLO N#Cc1nc[nH]c1NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001178986133 779252281 /nfs/dbraw/zinc/25/22/81/779252281.db2.gz HTVCXFBLJARGNV-CQSZACIVSA-N 1 2 311.345 1.121 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1(Nc2cc[nH+]c(C)n2)CCC1 ZINC001111793390 779484162 /nfs/dbraw/zinc/48/41/62/779484162.db2.gz SNZYYEVOQCIARR-HNNXBMFYSA-N 1 2 304.394 1.563 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2noc(C)n2)[C@@H]1C ZINC001180260820 779683156 /nfs/dbraw/zinc/68/31/56/779683156.db2.gz NNENCAOQSNYEIF-OBJOEFQTSA-N 1 2 322.409 1.611 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001115655285 780310356 /nfs/dbraw/zinc/31/03/56/780310356.db2.gz SYOMFMXKJCWGQS-ZDUSSCGKSA-N 1 2 317.437 1.690 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001115655285 780310358 /nfs/dbraw/zinc/31/03/58/780310358.db2.gz SYOMFMXKJCWGQS-ZDUSSCGKSA-N 1 2 317.437 1.690 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001267300191 837780729 /nfs/dbraw/zinc/78/07/29/837780729.db2.gz LLKWTSVHCIZEHJ-OAHLLOKOSA-N 1 2 317.437 1.430 20 30 DDEDLO CC#CCCCC(=O)NC[C@H]1CC[N@H+](Cc2cnn(CC)n2)C1 ZINC001267300191 837780738 /nfs/dbraw/zinc/78/07/38/837780738.db2.gz LLKWTSVHCIZEHJ-OAHLLOKOSA-N 1 2 317.437 1.430 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN1CC[NH+](Cc2ocnc2C)CC1 ZINC001266290340 836062288 /nfs/dbraw/zinc/06/22/88/836062288.db2.gz WJROTLUPASMNNJ-UHFFFAOYSA-N 1 2 320.437 1.429 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001266316836 836107676 /nfs/dbraw/zinc/10/76/76/836107676.db2.gz JGWHSHGKALIOPY-WFASDCNBSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001266316836 836107681 /nfs/dbraw/zinc/10/76/81/836107681.db2.gz JGWHSHGKALIOPY-WFASDCNBSA-N 1 2 309.435 1.469 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@H+](Cc2ccncc2Cl)C1 ZINC001266317827 836110521 /nfs/dbraw/zinc/11/05/21/836110521.db2.gz VEZJCLOKXQWOSN-CJNGLKHVSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccncc2Cl)C1 ZINC001266317827 836110527 /nfs/dbraw/zinc/11/05/27/836110527.db2.gz VEZJCLOKXQWOSN-CJNGLKHVSA-N 1 2 323.824 1.753 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@H+]1Cc1csc(C)n1 ZINC001266461309 836294465 /nfs/dbraw/zinc/29/44/65/836294465.db2.gz NGUVYRGHZWGIHZ-OAHLLOKOSA-N 1 2 321.446 1.572 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1csc(C)n1 ZINC001266461309 836294481 /nfs/dbraw/zinc/29/44/81/836294481.db2.gz NGUVYRGHZWGIHZ-OAHLLOKOSA-N 1 2 321.446 1.572 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(CCOC)CCC1 ZINC001266499172 836351959 /nfs/dbraw/zinc/35/19/59/836351959.db2.gz RJWWOJWPXTZTLN-IYBDPMFKSA-N 1 2 304.434 1.892 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(CCOC)CCC1 ZINC001266499172 836351970 /nfs/dbraw/zinc/35/19/70/836351970.db2.gz RJWWOJWPXTZTLN-IYBDPMFKSA-N 1 2 304.434 1.892 20 30 DDEDLO CC(C)c1nnc(C[NH2+]CCN(C)C(=O)c2cc(C#N)c[nH]2)o1 ZINC001266668930 836625132 /nfs/dbraw/zinc/62/51/32/836625132.db2.gz IOGBGWSGHRKBMZ-UHFFFAOYSA-N 1 2 316.365 1.255 20 30 DDEDLO Cc1cc(C[N@H+]2CCCC[C@H]2CNC(=O)C#CC(C)C)nn1C ZINC001266842191 836913310 /nfs/dbraw/zinc/91/33/10/836913310.db2.gz IQILLOVDDAJBLR-KRWDZBQOSA-N 1 2 316.449 1.859 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCC[C@H]2CNC(=O)C#CC(C)C)nn1C ZINC001266842191 836913315 /nfs/dbraw/zinc/91/33/15/836913315.db2.gz IQILLOVDDAJBLR-KRWDZBQOSA-N 1 2 316.449 1.859 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@H]1CCC[N@H+](Cc2nnnn2C)C1 ZINC001266894270 837015956 /nfs/dbraw/zinc/01/59/56/837015956.db2.gz HMBQLMOSBNSFSN-KGLIPLIRSA-N 1 2 320.441 1.283 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@H]1CCC[N@@H+](Cc2nnnn2C)C1 ZINC001266894270 837015966 /nfs/dbraw/zinc/01/59/66/837015966.db2.gz HMBQLMOSBNSFSN-KGLIPLIRSA-N 1 2 320.441 1.283 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](Cc1cnnn1CC)C1CC1 ZINC001267330672 837840508 /nfs/dbraw/zinc/84/05/08/837840508.db2.gz ITGLPTMJLINYIA-UHFFFAOYSA-N 1 2 305.426 1.591 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](Cc1cnnn1CC)C1CC1 ZINC001267330672 837840513 /nfs/dbraw/zinc/84/05/13/837840513.db2.gz ITGLPTMJLINYIA-UHFFFAOYSA-N 1 2 305.426 1.591 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC(=O)NCCC ZINC001267423282 838019660 /nfs/dbraw/zinc/01/96/60/838019660.db2.gz MWIPOJBZVYEHRL-HRCADAONSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NCCC ZINC001267423282 838019668 /nfs/dbraw/zinc/01/96/68/838019668.db2.gz MWIPOJBZVYEHRL-HRCADAONSA-N 1 2 321.465 1.790 20 30 DDEDLO CCn1nnc(C)c1C[N@H+](C)CCN(C)C(=O)C#CC(C)(C)C ZINC001272014134 844321171 /nfs/dbraw/zinc/32/11/71/844321171.db2.gz XFMUKDZEDXBOFI-UHFFFAOYSA-N 1 2 319.453 1.546 20 30 DDEDLO CCn1nnc(C)c1C[N@@H+](C)CCN(C)C(=O)C#CC(C)(C)C ZINC001272014134 844321185 /nfs/dbraw/zinc/32/11/85/844321185.db2.gz XFMUKDZEDXBOFI-UHFFFAOYSA-N 1 2 319.453 1.546 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001267616452 838485951 /nfs/dbraw/zinc/48/59/51/838485951.db2.gz MRJPZYJIFBFMEK-UKRRQHHQSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001267616452 838485954 /nfs/dbraw/zinc/48/59/54/838485954.db2.gz MRJPZYJIFBFMEK-UKRRQHHQSA-N 1 2 321.465 1.694 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCCC)C1 ZINC001267628971 838528394 /nfs/dbraw/zinc/52/83/94/838528394.db2.gz GUAKVCWQOHDADX-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCCC)C1 ZINC001267628971 838528399 /nfs/dbraw/zinc/52/83/99/838528399.db2.gz GUAKVCWQOHDADX-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO CCCOCC(=O)NCC1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001267676944 838626950 /nfs/dbraw/zinc/62/69/50/838626950.db2.gz SUPIFJPZZOWNNT-UHFFFAOYSA-N 1 2 319.380 1.672 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC1C[NH+](Cc2snnc2C)C1 ZINC001267676456 838627689 /nfs/dbraw/zinc/62/76/89/838627689.db2.gz KKLFIRVHDUOXBF-LBPRGKRZSA-N 1 2 324.450 1.376 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2cccc(Cl)n2)C1 ZINC001267721706 838730623 /nfs/dbraw/zinc/73/06/23/838730623.db2.gz HAZSCJGBBJREHC-BBRMVZONSA-N 1 2 323.824 1.753 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2cccc(Cl)n2)C1 ZINC001267721706 838730627 /nfs/dbraw/zinc/73/06/27/838730627.db2.gz HAZSCJGBBJREHC-BBRMVZONSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCC(C)=C(C)C2)C1 ZINC001267741847 838812486 /nfs/dbraw/zinc/81/24/86/838812486.db2.gz PAMFJVHSOIZJAX-JKSUJKDBSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCC(C)=C(C)C2)C1 ZINC001267741847 838812495 /nfs/dbraw/zinc/81/24/95/838812495.db2.gz PAMFJVHSOIZJAX-JKSUJKDBSA-N 1 2 319.449 1.616 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCCCC)C1 ZINC001267957149 839267978 /nfs/dbraw/zinc/26/79/78/839267978.db2.gz YCNWOZJYDHCJCH-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCCCC)C1 ZINC001267957149 839267985 /nfs/dbraw/zinc/26/79/85/839267985.db2.gz YCNWOZJYDHCJCH-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CC[N@@H+](C)Cc1ccnc(OC)n1 ZINC001268246266 839890223 /nfs/dbraw/zinc/89/02/23/839890223.db2.gz XHJYBVFUDJZQFS-UHFFFAOYSA-N 1 2 320.437 1.978 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CC[N@H+](C)Cc1ccnc(OC)n1 ZINC001268246266 839890230 /nfs/dbraw/zinc/89/02/30/839890230.db2.gz XHJYBVFUDJZQFS-UHFFFAOYSA-N 1 2 320.437 1.978 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](O)C[NH2+][C@H](C)c1nc(C(C)C)no1 ZINC001268317691 839989320 /nfs/dbraw/zinc/98/93/20/839989320.db2.gz RWMKNVHOUZPUKL-VXGBXAGGSA-N 1 2 324.425 1.533 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@@H+](Cc3cnn(C)n3)C[C@H]21 ZINC001272062484 844520392 /nfs/dbraw/zinc/52/03/92/844520392.db2.gz LPJINIKJANZIEZ-DZGCQCFKSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2C[N@H+](Cc3cnn(C)n3)C[C@H]21 ZINC001272062484 844520401 /nfs/dbraw/zinc/52/04/01/844520401.db2.gz LPJINIKJANZIEZ-DZGCQCFKSA-N 1 2 303.410 1.204 20 30 DDEDLO C=C1CCC(C(=O)NC/C=C/C[NH2+]Cc2nonc2C)CC1 ZINC001268587743 840494656 /nfs/dbraw/zinc/49/46/56/840494656.db2.gz KVPQWHSFWQCMKB-ONEGZZNKSA-N 1 2 304.394 1.886 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C[N@H+](C)CC(=O)Nc1cc(C)no1 ZINC001268725439 840721220 /nfs/dbraw/zinc/72/12/20/840721220.db2.gz UAKKMQGUONOXLK-LBPRGKRZSA-N 1 2 308.382 1.324 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C[N@@H+](C)CC(=O)Nc1cc(C)no1 ZINC001268725439 840721224 /nfs/dbraw/zinc/72/12/24/840721224.db2.gz UAKKMQGUONOXLK-LBPRGKRZSA-N 1 2 308.382 1.324 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@H]1CCCCN(C)C1=O ZINC001268752099 840754140 /nfs/dbraw/zinc/75/41/40/840754140.db2.gz MOLXQEAWMDWEEB-QWHCGFSZSA-N 1 2 315.845 1.434 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@H]1CCCCN(C)C1=O ZINC001268752099 840754149 /nfs/dbraw/zinc/75/41/49/840754149.db2.gz MOLXQEAWMDWEEB-QWHCGFSZSA-N 1 2 315.845 1.434 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CC(CNC(=O)c2oc(C)cc2C)C1 ZINC001268818286 840860140 /nfs/dbraw/zinc/86/01/40/840860140.db2.gz JHMIDNZUIIBWMP-ZDUSSCGKSA-N 1 2 319.405 1.249 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC1C[NH+](Cc2cnc(C)o2)C1 ZINC001268858575 840908684 /nfs/dbraw/zinc/90/86/84/840908684.db2.gz OTNFONUCKUCSPO-KRWDZBQOSA-N 1 2 321.421 1.760 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)C(=C)C ZINC001268960273 841056677 /nfs/dbraw/zinc/05/66/77/841056677.db2.gz KTMBRHBQARUARU-KKUMJFAQSA-N 1 2 319.449 1.565 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)C(=C)C ZINC001268960273 841056690 /nfs/dbraw/zinc/05/66/90/841056690.db2.gz KTMBRHBQARUARU-KKUMJFAQSA-N 1 2 319.449 1.565 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2ocnc2C2CC2)C1 ZINC001269064945 841163732 /nfs/dbraw/zinc/16/37/32/841163732.db2.gz DHYDMCWURGWSFF-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2ocnc2C2CC2)C1 ZINC001269064945 841163738 /nfs/dbraw/zinc/16/37/38/841163738.db2.gz DHYDMCWURGWSFF-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2nc(Cl)cs2)C1 ZINC001269159865 841281449 /nfs/dbraw/zinc/28/14/49/841281449.db2.gz ZJSGAVKJVMNVSG-SNVBAGLBSA-N 1 2 313.810 1.250 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2nc(Cl)cs2)C1 ZINC001269159865 841281455 /nfs/dbraw/zinc/28/14/55/841281455.db2.gz ZJSGAVKJVMNVSG-SNVBAGLBSA-N 1 2 313.810 1.250 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1c(C)cccc1C ZINC001272117170 844627677 /nfs/dbraw/zinc/62/76/77/844627677.db2.gz BYFUOSLWVVHBKC-UHFFFAOYSA-N 1 2 317.433 1.609 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1c(C)cccc1C ZINC001272117170 844627681 /nfs/dbraw/zinc/62/76/81/844627681.db2.gz BYFUOSLWVVHBKC-UHFFFAOYSA-N 1 2 317.433 1.609 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001269274147 841454018 /nfs/dbraw/zinc/45/40/18/841454018.db2.gz HIXRYTYPYXNAGF-WMLDXEAASA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001269274147 841454021 /nfs/dbraw/zinc/45/40/21/841454021.db2.gz HIXRYTYPYXNAGF-WMLDXEAASA-N 1 2 319.453 1.933 20 30 DDEDLO O=C(CCc1cnn[nH]1)NCC1([NH2+]CC#Cc2ccccc2)CC1 ZINC001269494013 841692589 /nfs/dbraw/zinc/69/25/89/841692589.db2.gz MHVZLCZBXNHCGW-UHFFFAOYSA-N 1 2 323.400 1.027 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]1C[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001270016494 842228952 /nfs/dbraw/zinc/22/89/52/842228952.db2.gz UEBUZLBRZVDQDK-OLZOCXBDSA-N 1 2 322.409 1.824 20 30 DDEDLO COc1cc(N2CCN(C(=O)C#Cc3ccccc3)CC2)cc[nH+]1 ZINC001142860084 861287464 /nfs/dbraw/zinc/28/74/64/861287464.db2.gz MWVNUVXBTIJNEO-UHFFFAOYSA-N 1 2 321.380 1.791 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)c4cc[nH]c4)C3)C2)cc1C#N ZINC001270156203 842342402 /nfs/dbraw/zinc/34/24/02/842342402.db2.gz LXXGITMURSRHCW-UHFFFAOYSA-N 1 2 309.373 1.183 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001270657593 842782747 /nfs/dbraw/zinc/78/27/47/842782747.db2.gz KTLPDVWZNJFTRJ-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001270657593 842782757 /nfs/dbraw/zinc/78/27/57/842782757.db2.gz KTLPDVWZNJFTRJ-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001270663343 842791689 /nfs/dbraw/zinc/79/16/89/842791689.db2.gz AYLIEGMEASLEBO-INIZCTEOSA-N 1 2 323.393 1.357 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@H+](Cc2cc(OC)no2)C1 ZINC001270663343 842791697 /nfs/dbraw/zinc/79/16/97/842791697.db2.gz AYLIEGMEASLEBO-INIZCTEOSA-N 1 2 323.393 1.357 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](C)OCC)C1 ZINC001149237861 861372808 /nfs/dbraw/zinc/37/28/08/861372808.db2.gz URMGZQRUCVVMIV-STQMWFEESA-N 1 2 304.818 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H](C)OCC)C1 ZINC001149237861 861372821 /nfs/dbraw/zinc/37/28/21/861372821.db2.gz URMGZQRUCVVMIV-STQMWFEESA-N 1 2 304.818 1.371 20 30 DDEDLO CC#CC(=O)N1CC2(C[C@H]2C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001271088653 843196390 /nfs/dbraw/zinc/19/63/90/843196390.db2.gz LACMVVBFNRDCJA-LBPRGKRZSA-N 1 2 308.341 1.145 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CO[C@@H]2CCCC[C@@H]2C)C1 ZINC001271362163 843524506 /nfs/dbraw/zinc/52/45/06/843524506.db2.gz ZXQGSUSZMVIKMJ-LSDHHAIUSA-N 1 2 310.438 1.321 20 30 DDEDLO N#Cc1ccccc1CC(=O)N1CC2(C1)C[NH+](Cc1ccc[nH]1)C2 ZINC001271656226 843759054 /nfs/dbraw/zinc/75/90/54/843759054.db2.gz NLZXIERUQKAJOG-UHFFFAOYSA-N 1 2 320.396 1.773 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cnn(C)c1OC ZINC001409646189 845489809 /nfs/dbraw/zinc/48/98/09/845489809.db2.gz KXGQEHQOBIWGMB-SNVBAGLBSA-N 1 2 300.790 1.231 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cnn(C)c1OC ZINC001409646189 845489815 /nfs/dbraw/zinc/48/98/15/845489815.db2.gz KXGQEHQOBIWGMB-SNVBAGLBSA-N 1 2 300.790 1.231 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](O)C[NH2+][C@H](C)c1nnc(CC)o1 ZINC001272495666 846214179 /nfs/dbraw/zinc/21/41/79/846214179.db2.gz GYLLVSXPJNLQIL-VXGBXAGGSA-N 1 2 324.425 1.362 20 30 DDEDLO Cc1cc(C[NH+]2CCC3(C[C@H]3C(=O)NCCCC#N)CC2)n[nH]1 ZINC001272545006 846301032 /nfs/dbraw/zinc/30/10/32/846301032.db2.gz VNOYQDKWPQXFFB-HNNXBMFYSA-N 1 2 315.421 1.740 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)CCCn1cc[nH+]c1 ZINC001107809229 847151108 /nfs/dbraw/zinc/15/11/08/847151108.db2.gz ROQSDNLTSXNJQY-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1C[N@H+](Cc2nocc2C)CCCO1 ZINC001149646684 861780465 /nfs/dbraw/zinc/78/04/65/861780465.db2.gz PFLBDNCIDIAAAH-HNNXBMFYSA-N 1 2 319.405 1.494 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1C[N@@H+](Cc2nocc2C)CCCO1 ZINC001149646684 861780468 /nfs/dbraw/zinc/78/04/68/861780468.db2.gz PFLBDNCIDIAAAH-HNNXBMFYSA-N 1 2 319.405 1.494 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@@H+](Cc3nccn3CC)C2)OCC1=O ZINC001272828058 847516137 /nfs/dbraw/zinc/51/61/37/847516137.db2.gz FRDVYSYTNRRXSU-QGZVFWFLSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(C)CN1C[C@]2(CC[N@H+](Cc3nccn3CC)C2)OCC1=O ZINC001272828058 847516153 /nfs/dbraw/zinc/51/61/53/847516153.db2.gz FRDVYSYTNRRXSU-QGZVFWFLSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](CCOCc1ccccc1)C2 ZINC001272852900 847552292 /nfs/dbraw/zinc/55/22/92/847552292.db2.gz NGHTZDLWJJPSLC-UHFFFAOYSA-N 1 2 316.401 1.302 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1cc(C)n(C)n1 ZINC001272880759 847584744 /nfs/dbraw/zinc/58/47/44/847584744.db2.gz MXRRPHCROHNYSC-KRWDZBQOSA-N 1 2 300.406 1.319 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1cc(C)n(C)n1 ZINC001272880759 847584752 /nfs/dbraw/zinc/58/47/52/847584752.db2.gz MXRRPHCROHNYSC-KRWDZBQOSA-N 1 2 300.406 1.319 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@@H]1n1ccnn1 ZINC001128939357 848360462 /nfs/dbraw/zinc/36/04/62/848360462.db2.gz MSLKVBHTLHFFRE-UMGRQFOVSA-N 1 2 321.812 1.176 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@@H]1n1ccnn1 ZINC001128939357 848360466 /nfs/dbraw/zinc/36/04/66/848360466.db2.gz MSLKVBHTLHFFRE-UMGRQFOVSA-N 1 2 321.812 1.176 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@@H+](Cc2nncn2C)CC1 ZINC001327125089 861910180 /nfs/dbraw/zinc/91/01/80/861910180.db2.gz GZVZDTKERADKMA-AWEZNQCLSA-N 1 2 305.426 1.642 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCC[N@H+](Cc2nncn2C)CC1 ZINC001327125089 861910187 /nfs/dbraw/zinc/91/01/87/861910187.db2.gz GZVZDTKERADKMA-AWEZNQCLSA-N 1 2 305.426 1.642 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2ncnn2C)CC1 ZINC001327142323 861922604 /nfs/dbraw/zinc/92/26/04/861922604.db2.gz ITZHLMCSVHRCLR-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[N@H+](Cc2ncnn2C)CC1 ZINC001327142323 861922616 /nfs/dbraw/zinc/92/26/16/861922616.db2.gz ITZHLMCSVHRCLR-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3cccnc3Cl)C2)OCC1=O ZINC001273192857 848684336 /nfs/dbraw/zinc/68/43/36/848684336.db2.gz HWWCJXXNNKEJJU-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3cccnc3Cl)C2)OCC1=O ZINC001273192857 848684344 /nfs/dbraw/zinc/68/43/44/848684344.db2.gz HWWCJXXNNKEJJU-MRXNPFEDSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCc1cccc(C[N@H+]2C[C@]3(F)CN(C)C(=O)[C@]3(F)C2)c1O ZINC001273360957 849670711 /nfs/dbraw/zinc/67/07/11/849670711.db2.gz ZOMGPXKIGIBDRD-IAGOWNOFSA-N 1 2 322.355 1.825 20 30 DDEDLO C=CCc1cccc(C[N@@H+]2C[C@]3(F)CN(C)C(=O)[C@]3(F)C2)c1O ZINC001273360957 849670715 /nfs/dbraw/zinc/67/07/15/849670715.db2.gz ZOMGPXKIGIBDRD-IAGOWNOFSA-N 1 2 322.355 1.825 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C[C@H](C)SC)C2)CC1 ZINC001273374113 849732076 /nfs/dbraw/zinc/73/20/76/849732076.db2.gz HRORKKXOVZYQCV-JKSUJKDBSA-N 1 2 323.506 1.370 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[NH2+]Cc1noc(CC)n1 ZINC001155426615 862072761 /nfs/dbraw/zinc/07/27/61/862072761.db2.gz HHYLMMQFJYEZQO-GFCCVEGCSA-N 1 2 310.398 1.209 20 30 DDEDLO CN1C[C@@]2(F)C[N@H+](CCCc3ccc(C#N)cc3)C[C@@]2(F)C1=O ZINC001273439055 850417119 /nfs/dbraw/zinc/41/71/19/850417119.db2.gz BUNHPULJXJUMTF-IAGOWNOFSA-N 1 2 319.355 1.695 20 30 DDEDLO CN1C[C@@]2(F)C[N@@H+](CCCc3ccc(C#N)cc3)C[C@@]2(F)C1=O ZINC001273439055 850417128 /nfs/dbraw/zinc/41/71/28/850417128.db2.gz BUNHPULJXJUMTF-IAGOWNOFSA-N 1 2 319.355 1.695 20 30 DDEDLO COc1cc(N2CC[C@@H]3[C@H]2CCC(=O)N3CCCC#N)cc[nH+]1 ZINC001273585767 851116405 /nfs/dbraw/zinc/11/64/05/851116405.db2.gz TXORALOJHOTTNH-HUUCEWRRSA-N 1 2 314.389 1.964 20 30 DDEDLO COc1cc(N2CC[C@@]3(CCN(CCCC#N)C3=O)C2)cc[nH+]1 ZINC001273586098 851117144 /nfs/dbraw/zinc/11/71/44/851117144.db2.gz XVKCRJWSPFDUJQ-KRWDZBQOSA-N 1 2 314.389 1.823 20 30 DDEDLO Cc1cc(CNC(=O)[C@@H]2CC23CC[NH+](CCC#N)CC3)n(C)n1 ZINC001274032650 851873457 /nfs/dbraw/zinc/87/34/57/851873457.db2.gz UKYQIZPHQKOLBT-HNNXBMFYSA-N 1 2 315.421 1.361 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@](CO)([NH2+]Cc2csnn2)C1 ZINC001327591669 862299311 /nfs/dbraw/zinc/29/93/11/862299311.db2.gz MAKGKOCPIBMMHU-OAHLLOKOSA-N 1 2 324.450 1.338 20 30 DDEDLO CCc1[nH]ncc1C[N@@H+]1CC[C@@H]2[C@H]1CCC(=O)N2CCCC#N ZINC001274380381 852214255 /nfs/dbraw/zinc/21/42/55/852214255.db2.gz ACVQYGWUJBCKMF-HZPDHXFCSA-N 1 2 315.421 1.841 20 30 DDEDLO CCc1[nH]ncc1C[N@H+]1CC[C@@H]2[C@H]1CCC(=O)N2CCCC#N ZINC001274380381 852214268 /nfs/dbraw/zinc/21/42/68/852214268.db2.gz ACVQYGWUJBCKMF-HZPDHXFCSA-N 1 2 315.421 1.841 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H](CCC)OCC)CC2)C1 ZINC001274868134 852657735 /nfs/dbraw/zinc/65/77/35/852657735.db2.gz USNFTPBZOSFJQD-INIZCTEOSA-N 1 2 322.449 1.518 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H](CCC)OCC)CC2)C1 ZINC001274868134 852657738 /nfs/dbraw/zinc/65/77/38/852657738.db2.gz USNFTPBZOSFJQD-INIZCTEOSA-N 1 2 322.449 1.518 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C[NH2+][C@H](C)c2nnc(C)o2)nc1 ZINC001274991520 852737142 /nfs/dbraw/zinc/73/71/42/852737142.db2.gz JIEUDZZTABNDQA-GHMZBOCLSA-N 1 2 313.361 1.223 20 30 DDEDLO Cc1cc(C[NH+]2CC3(CN(Cc4ccc(C#N)cn4)C3)C2)n(C)n1 ZINC001275034115 852761296 /nfs/dbraw/zinc/76/12/96/852761296.db2.gz FIKVWVQBBWMJKP-UHFFFAOYSA-N 1 2 322.416 1.313 20 30 DDEDLO C=CCCC(=O)NC[C@@H](NC(=O)Cn1cc[nH+]c1)C1CCCC1 ZINC001299485708 852956725 /nfs/dbraw/zinc/95/67/25/852956725.db2.gz MFMXOFWJUNHMQV-OAHLLOKOSA-N 1 2 318.421 1.641 20 30 DDEDLO N#Cc1cc(F)cc(CN2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)c1 ZINC001275413376 853087798 /nfs/dbraw/zinc/08/77/98/853087798.db2.gz JONWDCYWGLNJBY-UHFFFAOYSA-N 1 2 311.364 1.738 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C[N@H+](C)Cc2nocc2C)nc1 ZINC001275697592 853584837 /nfs/dbraw/zinc/58/48/37/853584837.db2.gz KTUMNXNMRJSHSV-ZDUSSCGKSA-N 1 2 312.373 1.610 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C[N@@H+](C)Cc2nocc2C)nc1 ZINC001275697592 853584844 /nfs/dbraw/zinc/58/48/44/853584844.db2.gz KTUMNXNMRJSHSV-ZDUSSCGKSA-N 1 2 312.373 1.610 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)C1C[NH+](Cc2cc(C3CC3)no2)C1 ZINC001276377509 856302346 /nfs/dbraw/zinc/30/23/46/856302346.db2.gz WQGFQAQXESFIGV-GFCCVEGCSA-N 1 2 313.401 1.902 20 30 DDEDLO CCn1ccc(C[NH2+][C@@H]2CCN(Cc3ccc(C#N)cc3)C2=O)n1 ZINC001413146024 856481780 /nfs/dbraw/zinc/48/17/80/856481780.db2.gz QJUUOVBQWMYALD-QGZVFWFLSA-N 1 2 323.400 1.665 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H]2CCCCO2)C1 ZINC001073569833 858449236 /nfs/dbraw/zinc/44/92/36/858449236.db2.gz FQXJSHWMEMKRIG-UONOGXRCSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H]2CCCCO2)C1 ZINC001073569833 858449242 /nfs/dbraw/zinc/44/92/42/858449242.db2.gz FQXJSHWMEMKRIG-UONOGXRCSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2n[nH]cc2C)C1 ZINC001073597825 858471485 /nfs/dbraw/zinc/47/14/85/858471485.db2.gz JKICNURIQTYFAF-GFCCVEGCSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2n[nH]cc2C)C1 ZINC001073597825 858471486 /nfs/dbraw/zinc/47/14/86/858471486.db2.gz JKICNURIQTYFAF-GFCCVEGCSA-N 1 2 312.801 1.291 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](O)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001124959092 859855203 /nfs/dbraw/zinc/85/52/03/859855203.db2.gz ZYRXKKRIJTXGBZ-OAHLLOKOSA-N 1 2 320.437 1.624 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(C#N)ccc2Cl)C1 ZINC001140604223 860659788 /nfs/dbraw/zinc/65/97/88/860659788.db2.gz VOZNKMLYYPPWBI-CQSZACIVSA-N 1 2 321.808 1.939 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C#N)ccc2Cl)C1 ZINC001140604223 860659790 /nfs/dbraw/zinc/65/97/90/860659790.db2.gz VOZNKMLYYPPWBI-CQSZACIVSA-N 1 2 321.808 1.939 20 30 DDEDLO COc1nccc(C[NH+]2CCN(c3cccc(C#N)c3)CC2)n1 ZINC001140703064 860681436 /nfs/dbraw/zinc/68/14/36/860681436.db2.gz ABLFNOIEXUTNDW-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO Cc1cc(C)c(CNC(=O)C(=O)NCC(C)(C)CC#N)c[nH+]1 ZINC001328943062 863357830 /nfs/dbraw/zinc/35/78/30/863357830.db2.gz OEZAWAYGLSLTMK-UHFFFAOYSA-N 1 2 302.378 1.371 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc(CC)no2)CCCCC1 ZINC001153014895 863652356 /nfs/dbraw/zinc/65/23/56/863652356.db2.gz HEOBFNGOEDGNAK-UHFFFAOYSA-N 1 2 318.421 1.812 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ccon1 ZINC001157945395 864293658 /nfs/dbraw/zinc/29/36/58/864293658.db2.gz LZPREGRVQMJMQU-GOEBONIOSA-N 1 2 319.405 1.526 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ccon1 ZINC001157945395 864293667 /nfs/dbraw/zinc/29/36/67/864293667.db2.gz LZPREGRVQMJMQU-GOEBONIOSA-N 1 2 319.405 1.526 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](CO)[NH2+]Cc2nccs2)CC1 ZINC001331787132 865327259 /nfs/dbraw/zinc/32/72/59/865327259.db2.gz GPAVYHJSGOFSSL-CYBMUJFWSA-N 1 2 309.435 1.456 20 30 DDEDLO COC(=O)c1ccc(C(=N)Nc2cc(N(C)C)[nH+]c(C)n2)cc1 ZINC001159848155 865560192 /nfs/dbraw/zinc/56/01/92/865560192.db2.gz TXIPQJYLRQWQHI-UHFFFAOYSA-N 1 2 313.361 1.675 20 30 DDEDLO C#CC[NH+]1CCN(Cc2c(F)cncc2Br)CC1 ZINC001232128743 886111578 /nfs/dbraw/zinc/11/15/78/886111578.db2.gz UDXDYQMTWJSVQX-UHFFFAOYSA-N 1 2 312.186 1.734 20 30 DDEDLO COCC[N@H+](CCNC(=O)C#CC(C)C)Cc1nccnc1C ZINC001332913644 866247497 /nfs/dbraw/zinc/24/74/97/866247497.db2.gz JDPHDLMRFYDJHY-UHFFFAOYSA-N 1 2 318.421 1.009 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C#CC(C)C)Cc1nccnc1C ZINC001332913644 866247514 /nfs/dbraw/zinc/24/75/14/866247514.db2.gz JDPHDLMRFYDJHY-UHFFFAOYSA-N 1 2 318.421 1.009 20 30 DDEDLO C=CCC1(C(=O)N(C)CC[N@@H+](C)CC(=O)NC)CCCCC1 ZINC001320085980 866459474 /nfs/dbraw/zinc/45/94/74/866459474.db2.gz VGMHVPABANERJO-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCC1(C(=O)N(C)CC[N@H+](C)CC(=O)NC)CCCCC1 ZINC001320085980 866459481 /nfs/dbraw/zinc/45/94/81/866459481.db2.gz VGMHVPABANERJO-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H](CC)c1c(C)noc1C ZINC001323318027 866527516 /nfs/dbraw/zinc/52/75/16/866527516.db2.gz JEYIOEYYCRTSOE-LSDHHAIUSA-N 1 2 303.406 1.999 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H](CC)c1c(C)noc1C ZINC001323318027 866527533 /nfs/dbraw/zinc/52/75/33/866527533.db2.gz JEYIOEYYCRTSOE-LSDHHAIUSA-N 1 2 303.406 1.999 20 30 DDEDLO CCc1nnc([C@H](C)[NH2+]C2(CNC(=O)C#CC(C)C)CC2)o1 ZINC001323437558 866610062 /nfs/dbraw/zinc/61/00/62/866610062.db2.gz JUHXOFPUFPOXJY-LBPRGKRZSA-N 1 2 304.394 1.591 20 30 DDEDLO CCn1nc(C)c(C[NH2+]C2(CNC(=O)C#CC(C)C)CC2)n1 ZINC001323437551 866610665 /nfs/dbraw/zinc/61/06/65/866610665.db2.gz JJTKBNZVIMAWDS-UHFFFAOYSA-N 1 2 303.410 1.004 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C1C[NH+](Cc2ccc(CO)cc2)C1 ZINC001323921970 866951159 /nfs/dbraw/zinc/95/11/59/866951159.db2.gz PQLXTWVOPAFAQF-SFHVURJKSA-N 1 2 318.417 1.149 20 30 DDEDLO C=C(C)CCC(=O)NCC(C)(C)CN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001334089311 867286026 /nfs/dbraw/zinc/28/60/26/867286026.db2.gz VUGTXBQGEYBMEH-UHFFFAOYSA-N 1 2 320.437 1.909 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ncccn1 ZINC001324527294 867333649 /nfs/dbraw/zinc/33/36/49/867333649.db2.gz GAIFZOBQELVZGA-CVEARBPZSA-N 1 2 312.417 1.701 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1ncccn1 ZINC001324527294 867333664 /nfs/dbraw/zinc/33/36/64/867333664.db2.gz GAIFZOBQELVZGA-CVEARBPZSA-N 1 2 312.417 1.701 20 30 DDEDLO C=CCOCCCC(=O)N[C@@]1(C)CC[N@H+](Cc2ncccn2)C1 ZINC001324603436 867397462 /nfs/dbraw/zinc/39/74/62/867397462.db2.gz YIEQGRIWDTWFEP-KRWDZBQOSA-N 1 2 318.421 1.540 20 30 DDEDLO C=CCOCCCC(=O)N[C@@]1(C)CC[N@@H+](Cc2ncccn2)C1 ZINC001324603436 867397482 /nfs/dbraw/zinc/39/74/82/867397482.db2.gz YIEQGRIWDTWFEP-KRWDZBQOSA-N 1 2 318.421 1.540 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)COCCOCC)C1 ZINC001324673937 867445239 /nfs/dbraw/zinc/44/52/39/867445239.db2.gz OUZYVWHJEFIIMK-AWEZNQCLSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)COCCOCC)C1 ZINC001324673937 867445243 /nfs/dbraw/zinc/44/52/43/867445243.db2.gz OUZYVWHJEFIIMK-AWEZNQCLSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC/C=C\C[NH2+][C@@H](C)c1nc(C)no1 ZINC001321252026 867437594 /nfs/dbraw/zinc/43/75/94/867437594.db2.gz MHLUNUXFWTYUBL-OHYCUKDJSA-N 1 2 320.393 1.292 20 30 DDEDLO C=CCOCC(=O)NC[C@@]1(C)CCC[N@H+](Cc2ncccn2)C1 ZINC001324921092 867627422 /nfs/dbraw/zinc/62/74/22/867627422.db2.gz KWSSFWYSANDGLI-QGZVFWFLSA-N 1 2 318.421 1.398 20 30 DDEDLO C=CCOCC(=O)NC[C@@]1(C)CCC[N@@H+](Cc2ncccn2)C1 ZINC001324921092 867627430 /nfs/dbraw/zinc/62/74/30/867627430.db2.gz KWSSFWYSANDGLI-QGZVFWFLSA-N 1 2 318.421 1.398 20 30 DDEDLO Cc1cc(/C=N/[S@](=O)C(C)(C)C)cc(NC2(C(N)=O)CC2)[nH+]1 ZINC001162547348 867629235 /nfs/dbraw/zinc/62/92/35/867629235.db2.gz ASIOEDNKKSZDIP-CQTHNFAISA-N 1 2 322.434 1.701 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1C[N@H+](CCF)CCC1(F)F ZINC001325049092 867718911 /nfs/dbraw/zinc/71/89/11/867718911.db2.gz ABYZUYPCVOIXSI-RYUDHWBXSA-N 1 2 306.328 1.068 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]1C[N@@H+](CCF)CCC1(F)F ZINC001325049092 867718917 /nfs/dbraw/zinc/71/89/17/867718917.db2.gz ABYZUYPCVOIXSI-RYUDHWBXSA-N 1 2 306.328 1.068 20 30 DDEDLO COc1nc(NC[C@H]2C[N@H+](C)CCO2)c(C#N)c(C)c1Cl ZINC001162680298 867721101 /nfs/dbraw/zinc/72/11/01/867721101.db2.gz IOGJZXSACYBNEO-JTQLQIEISA-N 1 2 310.785 1.666 20 30 DDEDLO COc1nc(NC[C@H]2C[N@@H+](C)CCO2)c(C#N)c(C)c1Cl ZINC001162680298 867721113 /nfs/dbraw/zinc/72/11/13/867721113.db2.gz IOGJZXSACYBNEO-JTQLQIEISA-N 1 2 310.785 1.666 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@H]1CCn2ccnc2C1 ZINC001381540587 882119606 /nfs/dbraw/zinc/11/96/06/882119606.db2.gz MANJQILBSAGMOX-STQMWFEESA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@H]1CCn2ccnc2C1 ZINC001381540587 882119611 /nfs/dbraw/zinc/11/96/11/882119611.db2.gz MANJQILBSAGMOX-STQMWFEESA-N 1 2 310.829 1.635 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001325132942 867804204 /nfs/dbraw/zinc/80/42/04/867804204.db2.gz FZOKWYGTCNHALV-AWEZNQCLSA-N 1 2 316.405 1.614 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)CO[C@H]2CCC[C@H](C)C2)C1 ZINC001325286161 867913723 /nfs/dbraw/zinc/91/37/23/867913723.db2.gz UHBZJRGKEWDGPW-GJZGRUSLSA-N 1 2 310.438 1.321 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001335086836 868054027 /nfs/dbraw/zinc/05/40/27/868054027.db2.gz PBTJZEHZGPMYHP-GFCCVEGCSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001335124724 868082364 /nfs/dbraw/zinc/08/23/64/868082364.db2.gz BVZSJRPIKMHMPR-SJKOYZFVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)CC1 ZINC001325726386 868295621 /nfs/dbraw/zinc/29/56/21/868295621.db2.gz BIBWCGKSMTUNOQ-UHFFFAOYSA-N 1 2 316.405 1.540 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)CC1 ZINC001325726386 868295640 /nfs/dbraw/zinc/29/56/40/868295640.db2.gz BIBWCGKSMTUNOQ-UHFFFAOYSA-N 1 2 316.405 1.540 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001163860201 868762171 /nfs/dbraw/zinc/76/21/71/868762171.db2.gz AWYOJZSJGFFQEY-UHFFFAOYSA-N 1 2 322.457 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1cnc2c(cnn2C)c1 ZINC001381601846 882286353 /nfs/dbraw/zinc/28/63/53/882286353.db2.gz PQKSYDGGDILRKP-NSHDSACASA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1cnc2c(cnn2C)c1 ZINC001381601846 882286364 /nfs/dbraw/zinc/28/63/64/882286364.db2.gz PQKSYDGGDILRKP-NSHDSACASA-N 1 2 321.812 1.771 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)c1cc(Cl)nc(Cl)n1 ZINC001164640518 869338036 /nfs/dbraw/zinc/33/80/36/869338036.db2.gz BJKKQCWLCCGGNI-ZCFIWIBFSA-N 1 2 308.119 1.630 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCC(F)(F)C3)n2C)CC1 ZINC001338503795 870020606 /nfs/dbraw/zinc/02/06/06/870020606.db2.gz HINDIIFNVUUZOV-LBPRGKRZSA-N 1 2 309.364 1.473 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001316985114 870068454 /nfs/dbraw/zinc/06/84/54/870068454.db2.gz PSRJGKZLORBFOD-ZDUSSCGKSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001316985114 870068468 /nfs/dbraw/zinc/06/84/68/870068468.db2.gz PSRJGKZLORBFOD-ZDUSSCGKSA-N 1 2 309.454 1.551 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@H](C)C1 ZINC001317050137 870166547 /nfs/dbraw/zinc/16/65/47/870166547.db2.gz NZBMUPZETWHUHD-VQHPVUNQSA-N 1 2 320.433 1.127 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCO[C@H](C)C1 ZINC001317050137 870166553 /nfs/dbraw/zinc/16/65/53/870166553.db2.gz NZBMUPZETWHUHD-VQHPVUNQSA-N 1 2 320.433 1.127 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCC1(C[NH2+]Cc2nnn(C)n2)CC1 ZINC001166746467 870218231 /nfs/dbraw/zinc/21/82/31/870218231.db2.gz FWRWNMZKMJREBT-ZDUSSCGKSA-N 1 2 320.441 1.189 20 30 DDEDLO C#CCCCC(=O)NC[C@H](NC(=O)Cc1c[nH]c[nH+]1)C(C)(C)C ZINC001338952260 870262729 /nfs/dbraw/zinc/26/27/29/870262729.db2.gz YOHDOGHPPFPZJK-AWEZNQCLSA-N 1 2 318.421 1.403 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCC[N@@H+](Cc2cnn(C)n2)C1 ZINC001317133765 870328927 /nfs/dbraw/zinc/32/89/27/870328927.db2.gz OCMWARSRFLFCPJ-OAHLLOKOSA-N 1 2 317.437 1.337 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCC[N@H+](Cc2cnn(C)n2)C1 ZINC001317133765 870328941 /nfs/dbraw/zinc/32/89/41/870328941.db2.gz OCMWARSRFLFCPJ-OAHLLOKOSA-N 1 2 317.437 1.337 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH2+][C@H](c2ccc(Cl)cc2)C1 ZINC001339116383 870351427 /nfs/dbraw/zinc/35/14/27/870351427.db2.gz NPFOTUUKWNLSDU-DOMZBBRYSA-N 1 2 306.793 1.851 20 30 DDEDLO C#C[C@H]1CCCCN1c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1C ZINC001339282313 870436579 /nfs/dbraw/zinc/43/65/79/870436579.db2.gz FVXWHLCYPCNKRU-LSDHHAIUSA-N 1 2 317.437 1.589 20 30 DDEDLO C#C[C@H]1CCCCN1c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1C ZINC001339282313 870436585 /nfs/dbraw/zinc/43/65/85/870436585.db2.gz FVXWHLCYPCNKRU-LSDHHAIUSA-N 1 2 317.437 1.589 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3csc(C)n3)n2CC)CC1 ZINC001339354036 870456174 /nfs/dbraw/zinc/45/61/74/870456174.db2.gz KTOTVCKMZZMHKA-UHFFFAOYSA-N 1 2 316.434 1.485 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001339853052 870735958 /nfs/dbraw/zinc/73/59/58/870735958.db2.gz VTVIACZJINZMKO-STQMWFEESA-N 1 2 306.410 1.708 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)C(C)(C)CC(C)(C)C ZINC001317471311 870891799 /nfs/dbraw/zinc/89/17/99/870891799.db2.gz DYAVTYKSWLUNLX-UHFFFAOYSA-N 1 2 311.470 1.799 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)C(C)(C)CC(C)(C)C ZINC001317471311 870891802 /nfs/dbraw/zinc/89/18/02/870891802.db2.gz DYAVTYKSWLUNLX-UHFFFAOYSA-N 1 2 311.470 1.799 20 30 DDEDLO C#CC[C@@H]1CCCN(c2nnc([C@H]3C[C@@H](O)C[N@@H+]3C)n2CC)C1 ZINC001340400989 871080296 /nfs/dbraw/zinc/08/02/96/871080296.db2.gz OATYSJIZTSAMTE-RBSFLKMASA-N 1 2 317.437 1.275 20 30 DDEDLO C#CC[C@@H]1CCCN(c2nnc([C@H]3C[C@@H](O)C[N@H+]3C)n2CC)C1 ZINC001340400989 871080302 /nfs/dbraw/zinc/08/03/02/871080302.db2.gz OATYSJIZTSAMTE-RBSFLKMASA-N 1 2 317.437 1.275 20 30 DDEDLO C=C(C)CN(CC)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC ZINC001340882793 871406827 /nfs/dbraw/zinc/40/68/27/871406827.db2.gz CAMHVYCVMQRAFU-BBRMVZONSA-N 1 2 307.442 1.612 20 30 DDEDLO C=C(C)CN(CC)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC ZINC001340882793 871406834 /nfs/dbraw/zinc/40/68/34/871406834.db2.gz CAMHVYCVMQRAFU-BBRMVZONSA-N 1 2 307.442 1.612 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@@H](C)COC)CC1 ZINC001226564056 882508280 /nfs/dbraw/zinc/50/82/80/882508280.db2.gz RSMCBTVVBGYFMY-JTQLQIEISA-N 1 2 319.243 1.758 20 30 DDEDLO Cn1ccnc1C[NH2+][C@H](CNC(=O)C#CC1CC1)c1ccccc1 ZINC001317717522 871437554 /nfs/dbraw/zinc/43/75/54/871437554.db2.gz FUFAAONSBUQSGQ-QGZVFWFLSA-N 1 2 322.412 1.781 20 30 DDEDLO C=CCOc1ccc(C[NH2+][C@@H](C)c2nnnn2C)cc1OC ZINC001308545107 871508235 /nfs/dbraw/zinc/50/82/35/871508235.db2.gz ZMCGVKCWZMAMJA-NSHDSACASA-N 1 2 303.366 1.634 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)[C@@H]1CCC[C@H](F)C1 ZINC001317818788 871544414 /nfs/dbraw/zinc/54/44/14/871544414.db2.gz ICNAUKVEVKAUCT-CABCVRRESA-N 1 2 310.417 1.114 20 30 DDEDLO N#Cc1cc(Cl)cc(C[N@@H+]2CCC[C@]3(C2)NC(=O)NC3=O)c1 ZINC001341427344 871656937 /nfs/dbraw/zinc/65/69/37/871656937.db2.gz NUNSAPCBAYRXAA-OAHLLOKOSA-N 1 2 318.764 1.386 20 30 DDEDLO N#Cc1cc(Cl)cc(C[N@H+]2CCC[C@]3(C2)NC(=O)NC3=O)c1 ZINC001341427344 871656938 /nfs/dbraw/zinc/65/69/38/871656938.db2.gz NUNSAPCBAYRXAA-OAHLLOKOSA-N 1 2 318.764 1.386 20 30 DDEDLO C=C1CCN(c2nnc([C@H]3CCC[N@@H+]3C)n2CCOC)CC1 ZINC001341559379 871713735 /nfs/dbraw/zinc/71/37/35/871713735.db2.gz CYPPRCFZKJPUDX-CQSZACIVSA-N 1 2 305.426 1.848 20 30 DDEDLO C=C1CCN(c2nnc([C@H]3CCC[N@H+]3C)n2CCOC)CC1 ZINC001341559379 871713750 /nfs/dbraw/zinc/71/37/50/871713750.db2.gz CYPPRCFZKJPUDX-CQSZACIVSA-N 1 2 305.426 1.848 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)CCOc2cc(C)ccc2C)C1 ZINC001318086146 871735826 /nfs/dbraw/zinc/73/58/26/871735826.db2.gz ONJCEXCFGBPHEW-UHFFFAOYSA-N 1 2 300.402 1.896 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccc1F ZINC001318196264 871817671 /nfs/dbraw/zinc/81/76/71/871817671.db2.gz PXGLHRBXAJZJAH-MPGHIAIKSA-N 1 2 318.392 1.938 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1F ZINC001318196264 871817699 /nfs/dbraw/zinc/81/76/99/871817699.db2.gz PXGLHRBXAJZJAH-MPGHIAIKSA-N 1 2 318.392 1.938 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@H]2C[C@H](O)C[N@@H+]2C)n1CC=C ZINC001342061734 871978009 /nfs/dbraw/zinc/97/80/09/871978009.db2.gz KYGUPNHBSVXJQV-LSDHHAIUSA-N 1 2 319.453 1.994 20 30 DDEDLO C=CCCCN(CC)c1nnc([C@H]2C[C@H](O)C[N@H+]2C)n1CC=C ZINC001342061734 871978034 /nfs/dbraw/zinc/97/80/34/871978034.db2.gz KYGUPNHBSVXJQV-LSDHHAIUSA-N 1 2 319.453 1.994 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](N(CC)C(=O)c2coc(C)c2)C1 ZINC001318464795 872087537 /nfs/dbraw/zinc/08/75/37/872087537.db2.gz DFFFNJITAYVPDL-HNNXBMFYSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](N(CC)C(=O)c2coc(C)c2)C1 ZINC001318464795 872087555 /nfs/dbraw/zinc/08/75/55/872087555.db2.gz DFFFNJITAYVPDL-HNNXBMFYSA-N 1 2 319.405 1.427 20 30 DDEDLO Cc1nc(C)c(C[NH+]2CCN(c3nccnc3C#N)CC2)s1 ZINC001206179043 872113324 /nfs/dbraw/zinc/11/33/24/872113324.db2.gz BEEZEOTVDXTYHU-UHFFFAOYSA-N 1 2 314.418 1.744 20 30 DDEDLO C=CCN(CC=C)c1nnc(C[N@@H+]2CCC[C@H](O)C2)n1CC ZINC001342870313 872418622 /nfs/dbraw/zinc/41/86/22/872418622.db2.gz PHWFXGKJTMREFL-AWEZNQCLSA-N 1 2 305.426 1.433 20 30 DDEDLO C=CCN(CC=C)c1nnc(C[N@H+]2CCC[C@H](O)C2)n1CC ZINC001342870313 872418629 /nfs/dbraw/zinc/41/86/29/872418629.db2.gz PHWFXGKJTMREFL-AWEZNQCLSA-N 1 2 305.426 1.433 20 30 DDEDLO CC(C)C[C@@H](C(=O)N[C@@H]1CCCN(CC#N)C1)n1cc[nH+]c1 ZINC001316942782 872427151 /nfs/dbraw/zinc/42/71/51/872427151.db2.gz FUPWPOSDOCGYEP-CABCVRRESA-N 1 2 303.410 1.574 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC(C)C)C1 ZINC001316945855 872440384 /nfs/dbraw/zinc/44/03/84/872440384.db2.gz VVKNRNZBQBOMPI-MRXNPFEDSA-N 1 2 321.465 1.860 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NC(C)C)C1 ZINC001316945855 872440389 /nfs/dbraw/zinc/44/03/89/872440389.db2.gz VVKNRNZBQBOMPI-MRXNPFEDSA-N 1 2 321.465 1.860 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2cnnn2C)C1 ZINC001316949027 872445213 /nfs/dbraw/zinc/44/52/13/872445213.db2.gz KHVRFMXWBHRAFM-AWEZNQCLSA-N 1 2 303.410 1.089 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cnnn2C)C1 ZINC001316949027 872445216 /nfs/dbraw/zinc/44/52/16/872445216.db2.gz KHVRFMXWBHRAFM-AWEZNQCLSA-N 1 2 303.410 1.089 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001316948913 872445380 /nfs/dbraw/zinc/44/53/80/872445380.db2.gz ZITHKTYQQNJKDZ-OAHLLOKOSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001316948913 872445385 /nfs/dbraw/zinc/44/53/85/872445385.db2.gz ZITHKTYQQNJKDZ-OAHLLOKOSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC2CC2)C1 ZINC001316949098 872451007 /nfs/dbraw/zinc/45/10/07/872451007.db2.gz LAAFXGOWDYPYRZ-ZBFHGGJFSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC2CC2)C1 ZINC001316949098 872451022 /nfs/dbraw/zinc/45/10/22/872451022.db2.gz LAAFXGOWDYPYRZ-ZBFHGGJFSA-N 1 2 321.465 1.696 20 30 DDEDLO CCc1nc(C)c(C(=O)N[C@H]2CCC[N@H+](CC#CCOC)C2)o1 ZINC001316955669 872464073 /nfs/dbraw/zinc/46/40/73/872464073.db2.gz KVJPYAPKCKADSC-AWEZNQCLSA-N 1 2 319.405 1.389 20 30 DDEDLO CCc1nc(C)c(C(=O)N[C@H]2CCC[N@@H+](CC#CCOC)C2)o1 ZINC001316955669 872464077 /nfs/dbraw/zinc/46/40/77/872464077.db2.gz KVJPYAPKCKADSC-AWEZNQCLSA-N 1 2 319.405 1.389 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1CC(=C)C ZINC001343007694 872466078 /nfs/dbraw/zinc/46/60/78/872466078.db2.gz RGRYSZPSABMNQJ-HNNXBMFYSA-N 1 2 317.437 1.705 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1CC(=C)C ZINC001343007694 872466080 /nfs/dbraw/zinc/46/60/80/872466080.db2.gz RGRYSZPSABMNQJ-HNNXBMFYSA-N 1 2 317.437 1.705 20 30 DDEDLO C[C@H](NC(=O)C(F)F)C1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001381767012 882625720 /nfs/dbraw/zinc/62/57/20/882625720.db2.gz GKYDHZKTHSGOJL-VIFPVBQESA-N 1 2 311.307 1.899 20 30 DDEDLO COc1cc(C)ccc1C[NH+]1CCN(c2nccnc2C#N)CC1 ZINC001206771097 872563867 /nfs/dbraw/zinc/56/38/67/872563867.db2.gz XGPQINVYHPIWNP-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO C#CCN(C)c1nnc(Cc2[nH+]ccn2C)n1CCCOCC ZINC001343955769 872820838 /nfs/dbraw/zinc/82/08/38/872820838.db2.gz CNMGXLJFGQKXTA-UHFFFAOYSA-N 1 2 316.409 1.098 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001207058675 872968667 /nfs/dbraw/zinc/96/86/67/872968667.db2.gz YEYLVIURMYPGLD-ADEWGFFLSA-N 1 2 301.818 1.090 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001207058675 872968657 /nfs/dbraw/zinc/96/86/57/872968657.db2.gz YEYLVIURMYPGLD-ADEWGFFLSA-N 1 2 301.818 1.090 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001207158175 873086866 /nfs/dbraw/zinc/08/68/66/873086866.db2.gz JPSUSGMGHYERKO-UKRRQHHQSA-N 1 2 304.438 1.959 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001207158175 873086878 /nfs/dbraw/zinc/08/68/78/873086878.db2.gz JPSUSGMGHYERKO-UKRRQHHQSA-N 1 2 304.438 1.959 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001207172247 873105038 /nfs/dbraw/zinc/10/50/38/873105038.db2.gz SQLFSLBWOWNYKU-UKRRQHHQSA-N 1 2 319.453 1.837 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001207172247 873105045 /nfs/dbraw/zinc/10/50/45/873105045.db2.gz SQLFSLBWOWNYKU-UKRRQHHQSA-N 1 2 319.453 1.837 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1CC1(C)COC1 ZINC001207791809 873569665 /nfs/dbraw/zinc/56/96/65/873569665.db2.gz YGPKUDMOWCPNGE-CQSZACIVSA-N 1 2 306.410 1.436 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1CC1(C)COC1 ZINC001207791809 873569662 /nfs/dbraw/zinc/56/96/62/873569662.db2.gz YGPKUDMOWCPNGE-CQSZACIVSA-N 1 2 306.410 1.436 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001207995662 873726953 /nfs/dbraw/zinc/72/69/53/873726953.db2.gz MXCVDNYNZSUIKE-AWEZNQCLSA-N 1 2 318.421 1.933 20 30 DDEDLO CC(C)[N@@H+]1CCC[C@@H]1C(=O)[C@@H](C#N)C(=O)Nc1ccccn1 ZINC001346537851 873794197 /nfs/dbraw/zinc/79/41/97/873794197.db2.gz QWXGSZQKNRBMIU-CHWSQXEVSA-N 1 2 300.362 1.602 20 30 DDEDLO CC(C)[N@H+]1CCC[C@@H]1C(=O)[C@@H](C#N)C(=O)Nc1ccccn1 ZINC001346537851 873794204 /nfs/dbraw/zinc/79/42/04/873794204.db2.gz QWXGSZQKNRBMIU-CHWSQXEVSA-N 1 2 300.362 1.602 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)N[C@@H](C)[C@@H]2CN(C)CC[N@@H+]2C)C1 ZINC001346766019 873886872 /nfs/dbraw/zinc/88/68/72/873886872.db2.gz FPCFJMGTEXRCMU-XHSDSOJGSA-N 1 2 308.470 1.618 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)N[C@@H](C)[C@@H]2CN(C)CC[N@H+]2C)C1 ZINC001346766019 873886887 /nfs/dbraw/zinc/88/68/87/873886887.db2.gz FPCFJMGTEXRCMU-XHSDSOJGSA-N 1 2 308.470 1.618 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2nonc2C)C[C@H]1C ZINC001208292193 873966443 /nfs/dbraw/zinc/96/64/43/873966443.db2.gz MSOJFKSONAHDHN-DGCLKSJQSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2nonc2C)C[C@H]1C ZINC001208292193 873966447 /nfs/dbraw/zinc/96/64/47/873966447.db2.gz MSOJFKSONAHDHN-DGCLKSJQSA-N 1 2 306.410 1.917 20 30 DDEDLO COC(=O)c1ccc(O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001227014593 882779475 /nfs/dbraw/zinc/77/94/75/882779475.db2.gz DLYNFTPYSKXPOE-RBRDRRMGSA-N 1 2 305.330 1.170 20 30 DDEDLO COC(=O)c1ccc(O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001227014593 882779492 /nfs/dbraw/zinc/77/94/92/882779492.db2.gz DLYNFTPYSKXPOE-RBRDRRMGSA-N 1 2 305.330 1.170 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208388849 874097505 /nfs/dbraw/zinc/09/75/05/874097505.db2.gz QNGCVFPRECIUAT-IIAWOOMASA-N 1 2 304.438 1.959 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccn(C)n2)C[C@H]1C ZINC001208388849 874097515 /nfs/dbraw/zinc/09/75/15/874097515.db2.gz QNGCVFPRECIUAT-IIAWOOMASA-N 1 2 304.438 1.959 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001208393581 874102266 /nfs/dbraw/zinc/10/22/66/874102266.db2.gz SYVVCCOQOQFHCT-IIAWOOMASA-N 1 2 319.453 1.837 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001208393581 874102272 /nfs/dbraw/zinc/10/22/72/874102272.db2.gz SYVVCCOQOQFHCT-IIAWOOMASA-N 1 2 319.453 1.837 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001208458276 874159431 /nfs/dbraw/zinc/15/94/31/874159431.db2.gz BCBKFJOJKATGFF-UKRRQHHQSA-N 1 2 305.426 1.591 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001208458276 874159435 /nfs/dbraw/zinc/15/94/35/874159435.db2.gz BCBKFJOJKATGFF-UKRRQHHQSA-N 1 2 305.426 1.591 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001208817299 874471499 /nfs/dbraw/zinc/47/14/99/874471499.db2.gz LENNEFHGDLVGOJ-JIMOISOXSA-N 1 2 301.818 1.090 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001208817299 874471504 /nfs/dbraw/zinc/47/15/04/874471504.db2.gz LENNEFHGDLVGOJ-JIMOISOXSA-N 1 2 301.818 1.090 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+](Cc2ncc(C)o2)CC1 ZINC001227113553 882843051 /nfs/dbraw/zinc/84/30/51/882843051.db2.gz DRGKQGHVJXTHRC-INIZCTEOSA-N 1 2 307.394 1.391 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1CCCOCOC ZINC001209024236 874612381 /nfs/dbraw/zinc/61/23/81/874612381.db2.gz GFZLDVFBDLSEDT-OAHLLOKOSA-N 1 2 324.425 1.410 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1CCCOCOC ZINC001209024236 874612387 /nfs/dbraw/zinc/61/23/87/874612387.db2.gz GFZLDVFBDLSEDT-OAHLLOKOSA-N 1 2 324.425 1.410 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2c3ccccc3nn2C)CC1 ZINC001209541713 874948841 /nfs/dbraw/zinc/94/88/41/874948841.db2.gz RNCJHORDOKEBLI-UHFFFAOYSA-N 1 2 312.373 1.852 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cncn2C)C[C@H]1OC ZINC001213320164 875896905 /nfs/dbraw/zinc/89/69/05/875896905.db2.gz OMMXVBOJOWUENF-NUEKZKHPSA-N 1 2 320.437 1.338 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cncn2C)C[C@H]1OC ZINC001213320164 875896913 /nfs/dbraw/zinc/89/69/13/875896913.db2.gz OMMXVBOJOWUENF-NUEKZKHPSA-N 1 2 320.437 1.338 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C2CC2)n1C[C@@H](C)[NH+]1CCOCC1 ZINC001300305620 877351019 /nfs/dbraw/zinc/35/10/19/877351019.db2.gz XRTCRHJGURZKRK-UONOGXRCSA-N 1 2 317.437 1.334 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1C[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001353808202 877580190 /nfs/dbraw/zinc/58/01/90/877580190.db2.gz HXDZMOVNXAPCFJ-SOUVJXGZSA-N 1 2 318.421 1.639 20 30 DDEDLO O=C(C[C@@H]1CCCO1)NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001276792716 877664223 /nfs/dbraw/zinc/66/42/23/877664223.db2.gz KYEHZGYQHHZFSE-MSOLQXFVSA-N 1 2 312.413 1.798 20 30 DDEDLO O=C(C[C@@H]1CCCO1)NC[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001276792716 877664234 /nfs/dbraw/zinc/66/42/34/877664234.db2.gz KYEHZGYQHHZFSE-MSOLQXFVSA-N 1 2 312.413 1.798 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219200978 878008155 /nfs/dbraw/zinc/00/81/55/878008155.db2.gz LALOKOVODCFTET-CVEARBPZSA-N 1 2 319.380 1.549 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219200978 878008171 /nfs/dbraw/zinc/00/81/71/878008171.db2.gz LALOKOVODCFTET-CVEARBPZSA-N 1 2 319.380 1.549 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(CC)nc2C)C[C@@H]1O ZINC001219344851 878137532 /nfs/dbraw/zinc/13/75/32/878137532.db2.gz YBPZVXANMRUUQT-OLZOCXBDSA-N 1 2 307.394 1.173 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2oc(CC)nc2C)C[C@@H]1O ZINC001219344851 878137527 /nfs/dbraw/zinc/13/75/27/878137527.db2.gz YBPZVXANMRUUQT-OLZOCXBDSA-N 1 2 307.394 1.173 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@@H]1O ZINC001219706593 878469267 /nfs/dbraw/zinc/46/92/67/878469267.db2.gz GDFPWSWSKWKMTK-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@@H]1O ZINC001219706593 878469274 /nfs/dbraw/zinc/46/92/74/878469274.db2.gz GDFPWSWSKWKMTK-KGLIPLIRSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)CCC(=O)N(CC)CCNC(=O)Cc1c[nH+]cn1C ZINC001356134393 878882274 /nfs/dbraw/zinc/88/22/74/878882274.db2.gz JIAXLCFBVOURQB-UHFFFAOYSA-N 1 2 306.410 1.284 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)OC2CCCCCC2)[C@@H](O)C1 ZINC001220330851 878926015 /nfs/dbraw/zinc/92/60/15/878926015.db2.gz ALLXTTXWQKRXIM-OIISXLGYSA-N 1 2 322.449 1.299 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)OC2CCCCCC2)[C@@H](O)C1 ZINC001220330851 878926025 /nfs/dbraw/zinc/92/60/25/878926025.db2.gz ALLXTTXWQKRXIM-OIISXLGYSA-N 1 2 322.449 1.299 20 30 DDEDLO CN(C(=O)CCn1cc[nH+]c1)C1CN(Cc2cccc(C#N)c2)C1 ZINC001380238302 879121744 /nfs/dbraw/zinc/12/17/44/879121744.db2.gz YMAVTOYZULLSSR-UHFFFAOYSA-N 1 2 323.400 1.488 20 30 DDEDLO CC[C@H]1CC[C@H](C(=O)N[C@@H]2C[N@H+](CC#CCOC)C[C@@H]2O)CC1 ZINC001220812605 879279862 /nfs/dbraw/zinc/27/98/62/879279862.db2.gz KINMLLTZFSDDBF-VQHPVUNQSA-N 1 2 322.449 1.014 20 30 DDEDLO CC[C@H]1CC[C@H](C(=O)N[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]2O)CC1 ZINC001220812605 879279879 /nfs/dbraw/zinc/27/98/79/879279879.db2.gz KINMLLTZFSDDBF-VQHPVUNQSA-N 1 2 322.449 1.014 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](CC)NC(=O)Cc1c[nH]c[nH+]1 ZINC001356921908 879592101 /nfs/dbraw/zinc/59/21/01/879592101.db2.gz WQNUMMZIUOUFMQ-WBMJQRKESA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCCCC)C[C@H]21 ZINC001221425082 879779540 /nfs/dbraw/zinc/77/95/40/879779540.db2.gz YYMOQNYVFZIVLK-HUUCEWRRSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)NCCCC)C[C@H]21 ZINC001221425082 879779561 /nfs/dbraw/zinc/77/95/61/879779561.db2.gz YYMOQNYVFZIVLK-HUUCEWRRSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCN(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001357250874 879878560 /nfs/dbraw/zinc/87/85/60/879878560.db2.gz IQHFWVZECPMTNK-UHFFFAOYSA-N 1 2 306.410 1.471 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCN(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001357250874 879878573 /nfs/dbraw/zinc/87/85/73/879878573.db2.gz IQHFWVZECPMTNK-UHFFFAOYSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)CCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001357269747 879884003 /nfs/dbraw/zinc/88/40/03/879884003.db2.gz BZKQMLMJQSXMKG-AWEZNQCLSA-N 1 2 320.437 1.780 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001357319485 879909457 /nfs/dbraw/zinc/90/94/57/879909457.db2.gz QCVPPMAXFRYMDR-KBPBESRZSA-N 1 2 304.394 1.250 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001221561914 879943697 /nfs/dbraw/zinc/94/36/97/879943697.db2.gz BOEXUFDJZJLIPW-UTUOFQBUSA-N 1 2 324.318 1.622 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001221561914 879943715 /nfs/dbraw/zinc/94/37/15/879943715.db2.gz BOEXUFDJZJLIPW-UTUOFQBUSA-N 1 2 324.318 1.622 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2csnn2)C1 ZINC001222067723 880210105 /nfs/dbraw/zinc/21/01/05/880210105.db2.gz LTROMABRSIXPIJ-RYUDHWBXSA-N 1 2 310.423 1.210 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001358517615 880477648 /nfs/dbraw/zinc/47/76/48/880477648.db2.gz UGUWIMQRGHQOAB-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO N#Cc1ccc(F)cc1NC1CC[NH+]([C@@H]2CCOC2=O)CC1 ZINC001413881522 880576567 /nfs/dbraw/zinc/57/65/67/880576567.db2.gz GWJJGFJBPVKLSS-OAHLLOKOSA-N 1 2 303.337 1.889 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+]([C@H](C)c2nnnn2C)CC1 ZINC001222609858 880596835 /nfs/dbraw/zinc/59/68/35/880596835.db2.gz SQEDUPAPCHGVAV-GFCCVEGCSA-N 1 2 306.414 1.066 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2CC[N@H+](Cc3ccon3)[C@H]2C1 ZINC001222661994 880625841 /nfs/dbraw/zinc/62/58/41/880625841.db2.gz VBVKJOPRRJNQGP-LZWOXQAQSA-N 1 2 317.389 1.136 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3ccon3)[C@H]2C1 ZINC001222661994 880625848 /nfs/dbraw/zinc/62/58/48/880625848.db2.gz VBVKJOPRRJNQGP-LZWOXQAQSA-N 1 2 317.389 1.136 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnn(C)n3)[C@H]2C1 ZINC001222781220 880697149 /nfs/dbraw/zinc/69/71/49/880697149.db2.gz JRNLJVIKPXTWND-ZBFHGGJFSA-N 1 2 317.437 1.594 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2CC[N@H+](Cc3cnn(C)n3)[C@H]2C1 ZINC001222781220 880697153 /nfs/dbraw/zinc/69/71/53/880697153.db2.gz JRNLJVIKPXTWND-ZBFHGGJFSA-N 1 2 317.437 1.594 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CC[N@@H+]1[C@H]1CCN(C(C)(C)C)C1=O ZINC001276843272 880699768 /nfs/dbraw/zinc/69/97/68/880699768.db2.gz WMGRFPCQCXQMOU-GJZGRUSLSA-N 1 2 319.449 1.236 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CC[N@H+]1[C@H]1CCN(C(C)(C)C)C1=O ZINC001276843272 880699773 /nfs/dbraw/zinc/69/97/73/880699773.db2.gz WMGRFPCQCXQMOU-GJZGRUSLSA-N 1 2 319.449 1.236 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C(C)C)n1CC[N@@H+]1CCOC[C@@H]1C ZINC001358871402 881075193 /nfs/dbraw/zinc/07/51/93/881075193.db2.gz FAGYCSKINYFZPR-CABCVRRESA-N 1 2 319.453 1.580 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C(C)C)n1CC[N@H+]1CCOC[C@@H]1C ZINC001358871402 881075216 /nfs/dbraw/zinc/07/52/16/881075216.db2.gz FAGYCSKINYFZPR-CABCVRRESA-N 1 2 319.453 1.580 20 30 DDEDLO COc1ccc(OC)c(/C(=N/O)OCC[N@H+]2CC[C@@H](OC)C2)c1 ZINC001223891494 881134604 /nfs/dbraw/zinc/13/46/04/881134604.db2.gz LRJPIXNQMUAACC-VLUKHXRESA-N 1 2 324.377 1.577 20 30 DDEDLO COc1ccc(OC)c(/C(=N/O)OCC[N@@H+]2CC[C@@H](OC)C2)c1 ZINC001223891494 881134619 /nfs/dbraw/zinc/13/46/19/881134619.db2.gz LRJPIXNQMUAACC-VLUKHXRESA-N 1 2 324.377 1.577 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)O/C(=N\O)c1cc(F)ccc1F ZINC001223888961 881136428 /nfs/dbraw/zinc/13/64/28/881136428.db2.gz UQMDMYQDPORDTA-PXAAABLISA-N 1 2 300.305 1.838 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1CCNC(=O)C1CC1 ZINC001276933595 881159844 /nfs/dbraw/zinc/15/98/44/881159844.db2.gz XMHVESBDKJNUNT-AWEZNQCLSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1CCNC(=O)C1CC1 ZINC001276933595 881159849 /nfs/dbraw/zinc/15/98/49/881159849.db2.gz XMHVESBDKJNUNT-AWEZNQCLSA-N 1 2 307.438 1.305 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224166216 881252374 /nfs/dbraw/zinc/25/23/74/881252374.db2.gz ZLULPBMMBMHKKP-KGLIPLIRSA-N 1 2 319.453 1.602 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)Cc1ccc(Cl)cc1 ZINC001276964355 881331878 /nfs/dbraw/zinc/33/18/78/881331878.db2.gz SXLGUFLJSHRMQC-INIZCTEOSA-N 1 2 320.820 1.723 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)Cc1ccc(Cl)cc1 ZINC001276964355 881331896 /nfs/dbraw/zinc/33/18/96/881331896.db2.gz SXLGUFLJSHRMQC-INIZCTEOSA-N 1 2 320.820 1.723 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+]([C@H](C)c2nc(C)no2)CC1 ZINC001230414796 884480343 /nfs/dbraw/zinc/48/03/43/884480343.db2.gz GLBCFQVLPYIXJR-VXGBXAGGSA-N 1 2 322.409 1.611 20 30 DDEDLO COC(=O)c1cc(F)cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001230413887 884481422 /nfs/dbraw/zinc/48/14/22/884481422.db2.gz AWGBJNGDVIGDNT-ABWMFPBJSA-N 1 2 307.321 1.604 20 30 DDEDLO COC(=O)c1cc(F)cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001230413887 884481430 /nfs/dbraw/zinc/48/14/30/884481430.db2.gz AWGBJNGDVIGDNT-ABWMFPBJSA-N 1 2 307.321 1.604 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1C ZINC001230638967 884682114 /nfs/dbraw/zinc/68/21/14/884682114.db2.gz RSUVARPIHNFHLY-INIZCTEOSA-N 1 2 300.402 1.791 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1C ZINC001230638967 884682119 /nfs/dbraw/zinc/68/21/19/884682119.db2.gz RSUVARPIHNFHLY-INIZCTEOSA-N 1 2 300.402 1.791 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)/C(C)=C\CC)C1=O ZINC001231001981 885124546 /nfs/dbraw/zinc/12/45/46/885124546.db2.gz AXBBJFMXELDHBV-BKZJWICZSA-N 1 2 319.449 1.662 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)/C(C)=C\CC)C1=O ZINC001231001981 885124552 /nfs/dbraw/zinc/12/45/52/885124552.db2.gz AXBBJFMXELDHBV-BKZJWICZSA-N 1 2 319.449 1.662 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ocnc1C ZINC001231103614 885229890 /nfs/dbraw/zinc/22/98/90/885229890.db2.gz QTGQNRKBHNGULC-GJZGRUSLSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ocnc1C ZINC001231103614 885229906 /nfs/dbraw/zinc/22/99/06/885229906.db2.gz QTGQNRKBHNGULC-GJZGRUSLSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(OC)nc1C ZINC001231121496 885253696 /nfs/dbraw/zinc/25/36/96/885253696.db2.gz DXBCHBKRWOCOMD-OAHLLOKOSA-N 1 2 318.421 1.793 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(OC)nc1C ZINC001231121496 885253714 /nfs/dbraw/zinc/25/37/14/885253714.db2.gz DXBCHBKRWOCOMD-OAHLLOKOSA-N 1 2 318.421 1.793 20 30 DDEDLO CO[C@H](C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231150468 885294576 /nfs/dbraw/zinc/29/45/76/885294576.db2.gz QBPXGCPKDQYZIF-AEFFLSMTSA-N 1 2 314.429 1.996 20 30 DDEDLO CO[C@H](C)CC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231150468 885294590 /nfs/dbraw/zinc/29/45/90/885294590.db2.gz QBPXGCPKDQYZIF-AEFFLSMTSA-N 1 2 314.429 1.996 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC1CCC1 ZINC001231413396 885643160 /nfs/dbraw/zinc/64/31/60/885643160.db2.gz RVALICIDRBGUBQ-UKRRQHHQSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC1CCC1 ZINC001231413396 885643168 /nfs/dbraw/zinc/64/31/68/885643168.db2.gz RVALICIDRBGUBQ-UKRRQHHQSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[NH+]1CCN(Cc2nc(Br)ccc2F)CC1 ZINC001231944530 885968379 /nfs/dbraw/zinc/96/83/79/885968379.db2.gz VSUIMVAMVGIFPH-UHFFFAOYSA-N 1 2 312.186 1.734 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(c4ccc(C#N)cn4)C3)C2)cc1C#N ZINC001277687088 886488866 /nfs/dbraw/zinc/48/88/66/886488866.db2.gz DWJBDQJJEAHGHZ-UHFFFAOYSA-N 1 2 318.384 1.486 20 30 DDEDLO N#Cc1ccc(O)c(C[NH+]2CCC(N3CCOCC3)CC2)c1 ZINC001232673427 886526718 /nfs/dbraw/zinc/52/67/18/886526718.db2.gz GEAPCWDFILULLG-UHFFFAOYSA-N 1 2 301.390 1.560 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H](C)OC[C@H]2CCCCO2)C1 ZINC001277706812 886555734 /nfs/dbraw/zinc/55/57/34/886555734.db2.gz JGHAJSLGHVBERR-GDBMZVCRSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C/C ZINC001233549429 887101635 /nfs/dbraw/zinc/10/16/35/887101635.db2.gz MGMHCZDCZUTVIF-NHKNYXOTSA-N 1 2 321.465 1.910 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C/C ZINC001233549429 887101649 /nfs/dbraw/zinc/10/16/49/887101649.db2.gz MGMHCZDCZUTVIF-NHKNYXOTSA-N 1 2 321.465 1.910 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C=C1CCC1 ZINC001233636423 887179781 /nfs/dbraw/zinc/17/97/81/887179781.db2.gz YRZNAPZLJNEPFM-HIFRSBDPSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C=C1CCC1 ZINC001233636423 887179786 /nfs/dbraw/zinc/17/97/86/887179786.db2.gz YRZNAPZLJNEPFM-HIFRSBDPSA-N 1 2 305.422 1.320 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@H](O)CC12CCC2 ZINC001363808688 887281005 /nfs/dbraw/zinc/28/10/05/887281005.db2.gz JBEDVPCGAQMPNM-LBPRGKRZSA-N 1 2 305.403 1.938 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@H](O)CC12CCC2 ZINC001363808688 887281016 /nfs/dbraw/zinc/28/10/16/887281016.db2.gz JBEDVPCGAQMPNM-LBPRGKRZSA-N 1 2 305.403 1.938 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001233762809 887296161 /nfs/dbraw/zinc/29/61/61/887296161.db2.gz WBIBIFGKBJWSCH-MRXNPFEDSA-N 1 2 319.449 1.334 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001233762809 887296178 /nfs/dbraw/zinc/29/61/78/887296178.db2.gz WBIBIFGKBJWSCH-MRXNPFEDSA-N 1 2 319.449 1.334 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3nc4cccc5c4n3CCC5)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233805231 887340456 /nfs/dbraw/zinc/34/04/56/887340456.db2.gz WLJVGLXYTGPJNO-BSTOKRDTSA-N 1 2 311.385 1.974 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3nc4cccc5c4n3CCC5)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233805231 887340471 /nfs/dbraw/zinc/34/04/71/887340471.db2.gz WLJVGLXYTGPJNO-BSTOKRDTSA-N 1 2 311.385 1.974 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCCCC ZINC001233907356 887447415 /nfs/dbraw/zinc/44/74/15/887447415.db2.gz QYLFMCPSVKJWFG-HOTGVXAUSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCCC ZINC001233907356 887447417 /nfs/dbraw/zinc/44/74/17/887447417.db2.gz QYLFMCPSVKJWFG-HOTGVXAUSA-N 1 2 321.465 1.627 20 30 DDEDLO CCO[C@@H](C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC)C(C)C ZINC001234247356 887791438 /nfs/dbraw/zinc/79/14/38/887791438.db2.gz ZYHCZOFUPHOMBH-HZPDHXFCSA-N 1 2 310.438 1.230 20 30 DDEDLO CCO[C@@H](C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC)C(C)C ZINC001234247356 887791451 /nfs/dbraw/zinc/79/14/51/887791451.db2.gz ZYHCZOFUPHOMBH-HZPDHXFCSA-N 1 2 310.438 1.230 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001234479543 888015100 /nfs/dbraw/zinc/01/51/00/888015100.db2.gz XECHAVAOOFMZMF-HIFRSBDPSA-N 1 2 304.365 1.759 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001234479543 888015111 /nfs/dbraw/zinc/01/51/11/888015111.db2.gz XECHAVAOOFMZMF-HIFRSBDPSA-N 1 2 304.365 1.759 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001234595553 888131210 /nfs/dbraw/zinc/13/12/10/888131210.db2.gz ULSQAUQZXAKNRR-INIZCTEOSA-N 1 2 321.465 1.888 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001234595553 888131213 /nfs/dbraw/zinc/13/12/13/888131213.db2.gz ULSQAUQZXAKNRR-INIZCTEOSA-N 1 2 321.465 1.888 20 30 DDEDLO CC[N@H+](C[C@H](O)c1cccc(C#N)c1)[C@@H](C)CS(=O)(=O)CC ZINC001364353381 888441195 /nfs/dbraw/zinc/44/11/95/888441195.db2.gz SUAWJCXVDHAXHF-BBRMVZONSA-N 1 2 324.446 1.737 20 30 DDEDLO CC[N@@H+](C[C@H](O)c1cccc(C#N)c1)[C@@H](C)CS(=O)(=O)CC ZINC001364353381 888441198 /nfs/dbraw/zinc/44/11/98/888441198.db2.gz SUAWJCXVDHAXHF-BBRMVZONSA-N 1 2 324.446 1.737 20 30 DDEDLO Cc1noc(C)c1NC(=O)N1C[C@@H]2CC[N@H+](CCC#N)[C@@H]2C1 ZINC001277940841 888598588 /nfs/dbraw/zinc/59/85/88/888598588.db2.gz GUSRAYBWKLDBKP-QWHCGFSZSA-N 1 2 303.366 1.743 20 30 DDEDLO Cc1noc(C)c1NC(=O)N1C[C@@H]2CC[N@@H+](CCC#N)[C@@H]2C1 ZINC001277940841 888598602 /nfs/dbraw/zinc/59/86/02/888598602.db2.gz GUSRAYBWKLDBKP-QWHCGFSZSA-N 1 2 303.366 1.743 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1ccc2n[nH]nc2c1 ZINC001374558652 913281062 /nfs/dbraw/zinc/28/10/62/913281062.db2.gz VIQCUVOHRXPDIC-UHFFFAOYSA-N 1 2 307.785 1.714 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1ccc2n[nH]nc2c1 ZINC001374558652 913281072 /nfs/dbraw/zinc/28/10/72/913281072.db2.gz VIQCUVOHRXPDIC-UHFFFAOYSA-N 1 2 307.785 1.714 20 30 DDEDLO C#CCN(C(C)=O)C1CC[NH+](Cc2cn(C(C)C)nn2)CC1 ZINC001278107282 889675713 /nfs/dbraw/zinc/67/57/13/889675713.db2.gz JFFKHUBYIZMQIQ-UHFFFAOYSA-N 1 2 303.410 1.305 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](CO)[NH2+]Cc2nnc(C)s2)CC1 ZINC001278146942 889848583 /nfs/dbraw/zinc/84/85/83/889848583.db2.gz IQWWCVNICQATMZ-CYBMUJFWSA-N 1 2 324.450 1.160 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(c2ccc(C#N)cc2)C1=O)c1nncn1C ZINC001365288211 890510909 /nfs/dbraw/zinc/51/09/09/890510909.db2.gz JLPCBMFPBLRXDV-RISCZKNCSA-N 1 2 310.361 1.143 20 30 DDEDLO CCCCCCCNC(=O)NC[C@H]([NH3+])C(=O)OC(C)(C)C ZINC001239256312 890568704 /nfs/dbraw/zinc/56/87/04/890568704.db2.gz XNQDWNOLEVBCGH-LBPRGKRZSA-N 1 2 301.431 1.925 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@]1(C)CC[N@@H+](Cc2nocc2C)C1 ZINC001278381183 891844336 /nfs/dbraw/zinc/84/43/36/891844336.db2.gz HTHIWQQFTLSTTL-JKSUJKDBSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@]1(C)CC[N@H+](Cc2nocc2C)C1 ZINC001278381183 891844341 /nfs/dbraw/zinc/84/43/41/891844341.db2.gz HTHIWQQFTLSTTL-JKSUJKDBSA-N 1 2 307.394 1.391 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@H](NC(=O)[C@@H](C)C#N)C2)sn1 ZINC001365932229 891956456 /nfs/dbraw/zinc/95/64/56/891956456.db2.gz YLRMWPQHILAVFT-QWRGUYRKSA-N 1 2 308.407 1.392 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@H](NC(=O)[C@@H](C)C#N)C2)sn1 ZINC001365932229 891956469 /nfs/dbraw/zinc/95/64/69/891956469.db2.gz YLRMWPQHILAVFT-QWRGUYRKSA-N 1 2 308.407 1.392 20 30 DDEDLO C#CCCCCC(=O)N1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001292397986 913654394 /nfs/dbraw/zinc/65/43/94/913654394.db2.gz RINGJDCLXURDSZ-UHFFFAOYSA-N 1 2 316.405 1.207 20 30 DDEDLO Cc1cnn(CC(=O)NCC[N@@H+](C)Cc2ccccc2C#N)c1 ZINC001366523785 893861976 /nfs/dbraw/zinc/86/19/76/893861976.db2.gz YYAKOZMVHTYDAI-UHFFFAOYSA-N 1 2 311.389 1.311 20 30 DDEDLO Cc1cnn(CC(=O)NCC[N@H+](C)Cc2ccccc2C#N)c1 ZINC001366523785 893861996 /nfs/dbraw/zinc/86/19/96/893861996.db2.gz YYAKOZMVHTYDAI-UHFFFAOYSA-N 1 2 311.389 1.311 20 30 DDEDLO C#CCOC[C@H](O)C[N@@H+]1CCc2ncc(C(F)(F)F)cc2C1 ZINC001251847342 894839513 /nfs/dbraw/zinc/83/95/13/894839513.db2.gz DJDYKSZGYFQLBT-CYBMUJFWSA-N 1 2 314.307 1.469 20 30 DDEDLO C#CCOC[C@H](O)C[N@H+]1CCc2ncc(C(F)(F)F)cc2C1 ZINC001251847342 894839525 /nfs/dbraw/zinc/83/95/25/894839525.db2.gz DJDYKSZGYFQLBT-CYBMUJFWSA-N 1 2 314.307 1.469 20 30 DDEDLO C=CCOC[C@H](O)C[NH+]1CCN(C(=O)c2cccs2)CC1 ZINC001252470049 895184743 /nfs/dbraw/zinc/18/47/43/895184743.db2.gz GZPVVNWNAHYQCO-CYBMUJFWSA-N 1 2 310.419 1.069 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1CCc2c(nnn2CC2CC2)[C@H]1COC ZINC001252594771 895294255 /nfs/dbraw/zinc/29/42/55/895294255.db2.gz BIGSCEMUCOUPCY-GOEBONIOSA-N 1 2 320.437 1.561 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1CCc2c(nnn2CC2CC2)[C@H]1COC ZINC001252594771 895294261 /nfs/dbraw/zinc/29/42/61/895294261.db2.gz BIGSCEMUCOUPCY-GOEBONIOSA-N 1 2 320.437 1.561 20 30 DDEDLO C=CCC[C@@H](O)CN1CCCn2c(C[N@@H+](C)C(C)C)nnc2C1 ZINC001252597706 895301275 /nfs/dbraw/zinc/30/12/75/895301275.db2.gz CFUMGRXJLLTNOX-OAHLLOKOSA-N 1 2 321.469 1.651 20 30 DDEDLO C=CCC[C@@H](O)CN1CCCn2c(C[N@H+](C)C(C)C)nnc2C1 ZINC001252597706 895301292 /nfs/dbraw/zinc/30/12/92/895301292.db2.gz CFUMGRXJLLTNOX-OAHLLOKOSA-N 1 2 321.469 1.651 20 30 DDEDLO N#C[C@@H]1CN(C[C@]2(O)CC[N@H+](Cc3ccccc3)C2)CCC1=O ZINC001252930396 895559045 /nfs/dbraw/zinc/55/90/45/895559045.db2.gz UUOMKVUCRDYVGC-SJLPKXTDSA-N 1 2 313.401 1.038 20 30 DDEDLO N#C[C@@H]1CN(C[C@]2(O)CC[N@@H+](Cc3ccccc3)C2)CCC1=O ZINC001252930396 895559057 /nfs/dbraw/zinc/55/90/57/895559057.db2.gz UUOMKVUCRDYVGC-SJLPKXTDSA-N 1 2 313.401 1.038 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1cc(=O)[nH]c(CNC(=O)C2=CCCC2)n1 ZINC001388765255 895850418 /nfs/dbraw/zinc/85/04/18/895850418.db2.gz PYMQRSWLRXXUSI-UHFFFAOYSA-N 1 2 322.796 1.751 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1C[C@H](O)C(C)C ZINC001253758771 896063578 /nfs/dbraw/zinc/06/35/78/896063578.db2.gz BRGSMRKWHUELHA-CABCVRRESA-N 1 2 308.426 1.417 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1C[C@H](O)C(C)C ZINC001253758771 896063588 /nfs/dbraw/zinc/06/35/88/896063588.db2.gz BRGSMRKWHUELHA-CABCVRRESA-N 1 2 308.426 1.417 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@]1(O)CC[N@@H+](Cc2ncc(C)cn2)C1 ZINC001278794510 896505113 /nfs/dbraw/zinc/50/51/13/896505113.db2.gz CAJNNAFKYJMAOL-QGZVFWFLSA-N 1 2 318.421 1.050 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@]1(O)CC[N@H+](Cc2ncc(C)cn2)C1 ZINC001278794510 896505122 /nfs/dbraw/zinc/50/51/22/896505122.db2.gz CAJNNAFKYJMAOL-QGZVFWFLSA-N 1 2 318.421 1.050 20 30 DDEDLO N#C[C@H]1CC[C@@H]([NH2+]CCNC(=O)C(F)(F)Br)C1 ZINC001254653720 896549820 /nfs/dbraw/zinc/54/98/20/896549820.db2.gz PPVFDCOXEVURSY-JGVFFNPUSA-N 1 2 310.142 1.372 20 30 DDEDLO COc1nscc1C[NH+]1CCC(N(C)C(=O)[C@@H](C)C#N)CC1 ZINC001389337691 896972655 /nfs/dbraw/zinc/97/26/55/896972655.db2.gz YCUDKWWEHCNCNY-NSHDSACASA-N 1 2 322.434 1.734 20 30 DDEDLO CCOC(=O)c1nc2n(n1)CC[N@@H+](C1CCC(C#N)CC1)C2 ZINC001256963222 897647974 /nfs/dbraw/zinc/64/79/74/897647974.db2.gz DQGNNIOUVJFVRC-UHFFFAOYSA-N 1 2 303.366 1.353 20 30 DDEDLO CCOC(=O)c1nc2n(n1)CC[N@H+](C1CCC(C#N)CC1)C2 ZINC001256963222 897647979 /nfs/dbraw/zinc/64/79/79/897647979.db2.gz DQGNNIOUVJFVRC-UHFFFAOYSA-N 1 2 303.366 1.353 20 30 DDEDLO CC(C)n1cc(C[N@@H+]2CCC[C@@H](CNC(=O)[C@@H](C)C#N)C2)nn1 ZINC001390034619 898529672 /nfs/dbraw/zinc/52/96/72/898529672.db2.gz CBOSBAGFLPTZNC-KBPBESRZSA-N 1 2 318.425 1.347 20 30 DDEDLO CC(C)n1cc(C[N@H+]2CCC[C@@H](CNC(=O)[C@@H](C)C#N)C2)nn1 ZINC001390034619 898529680 /nfs/dbraw/zinc/52/96/80/898529680.db2.gz CBOSBAGFLPTZNC-KBPBESRZSA-N 1 2 318.425 1.347 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H](CC)C(C)C ZINC001263817323 900729936 /nfs/dbraw/zinc/72/99/36/900729936.db2.gz SINFMFKVWQRSBX-HZPDHXFCSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H](CC)C(C)C ZINC001263817323 900729940 /nfs/dbraw/zinc/72/99/40/900729940.db2.gz SINFMFKVWQRSBX-HZPDHXFCSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CC[N@H+](Cc2cnnn2C)[C@@H]1C ZINC001264714140 901305512 /nfs/dbraw/zinc/30/55/12/901305512.db2.gz PZYBUDYFNHPJPX-ZBFHGGJFSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnnn2C)[C@@H]1C ZINC001264714140 901305519 /nfs/dbraw/zinc/30/55/19/901305519.db2.gz PZYBUDYFNHPJPX-ZBFHGGJFSA-N 1 2 317.437 1.478 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@@H+](Cc1cnn(C)n1)C1CC1 ZINC001265170872 901659986 /nfs/dbraw/zinc/65/99/86/901659986.db2.gz DULZQQFQIYOFRC-UHFFFAOYSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[N@H+](Cc1cnn(C)n1)C1CC1 ZINC001265170872 901659993 /nfs/dbraw/zinc/65/99/93/901659993.db2.gz DULZQQFQIYOFRC-UHFFFAOYSA-N 1 2 305.426 1.498 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)c2c[nH]c3ccccc23)CC1 ZINC001265261622 901785296 /nfs/dbraw/zinc/78/52/96/901785296.db2.gz NLQBFRNGFFKPCS-UHFFFAOYSA-N 1 2 311.389 1.039 20 30 DDEDLO CC[C@@H](CC(=O)NCC[NH+]1CCN(CC#N)CC1)C(C)(C)C ZINC001265264756 901787736 /nfs/dbraw/zinc/78/77/36/901787736.db2.gz BUUKEZVMRXGECX-HNNXBMFYSA-N 1 2 308.470 1.706 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)c2cc(C)oc2C)C1 ZINC001265270866 901797892 /nfs/dbraw/zinc/79/78/92/901797892.db2.gz NGQFCVKFNRTUFX-OCCSQVGLSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)c2cc(C)oc2C)C1 ZINC001265270866 901797903 /nfs/dbraw/zinc/79/79/03/901797903.db2.gz NGQFCVKFNRTUFX-OCCSQVGLSA-N 1 2 319.405 1.391 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)Cc2ccc[nH]2)C1 ZINC001391525055 901911088 /nfs/dbraw/zinc/91/10/88/901911088.db2.gz YUCOWDLQVKIIFQ-UHFFFAOYSA-N 1 2 308.385 1.677 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001293611330 914581772 /nfs/dbraw/zinc/58/17/72/914581772.db2.gz VGEWQVPLCUBIHD-ZIAGYGMSSA-N 1 2 304.394 1.203 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(COC)CCCCC1 ZINC001265408554 901982457 /nfs/dbraw/zinc/98/24/57/901982457.db2.gz MFNPAODBJVNXAW-IYBDPMFKSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(COC)CCCCC1 ZINC001265408554 901982465 /nfs/dbraw/zinc/98/24/65/901982465.db2.gz MFNPAODBJVNXAW-IYBDPMFKSA-N 1 2 304.434 1.892 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CCC[C@H](CNCC#N)C1)n1cc[nH+]c1 ZINC001265613026 902203831 /nfs/dbraw/zinc/20/38/31/902203831.db2.gz HBUYWZZRWPFBRK-HZPDHXFCSA-N 1 2 317.437 1.822 20 30 DDEDLO C=CCCCC(=O)N(C)[C@@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001293685904 914633280 /nfs/dbraw/zinc/63/32/80/914633280.db2.gz PPTJXVCPEVIOPH-CQSZACIVSA-N 1 2 318.421 1.676 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2cscc2C)C1 ZINC001266212750 903119994 /nfs/dbraw/zinc/11/99/94/903119994.db2.gz QBXWCPNGGKIUIT-ZDUSSCGKSA-N 1 2 321.446 1.553 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2cscc2C)C1 ZINC001266212750 903120005 /nfs/dbraw/zinc/12/00/05/903120005.db2.gz QBXWCPNGGKIUIT-ZDUSSCGKSA-N 1 2 321.446 1.553 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1CCCNC(=O)c1cncc2nc[nH]c21 ZINC001266214797 903126882 /nfs/dbraw/zinc/12/68/82/903126882.db2.gz FZADQRNPZUQXHX-ZDUSSCGKSA-N 1 2 311.389 1.566 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1CCCNC(=O)c1cncc2nc[nH]c21 ZINC001266214797 903126894 /nfs/dbraw/zinc/12/68/94/903126894.db2.gz FZADQRNPZUQXHX-ZDUSSCGKSA-N 1 2 311.389 1.566 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1cnn(C)n1 ZINC001279556409 903325446 /nfs/dbraw/zinc/32/54/46/903325446.db2.gz QVCQVMPUAYNVLI-CVEARBPZSA-N 1 2 315.421 1.184 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1cnn(C)n1 ZINC001279556409 903325460 /nfs/dbraw/zinc/32/54/60/903325460.db2.gz QVCQVMPUAYNVLI-CVEARBPZSA-N 1 2 315.421 1.184 20 30 DDEDLO C=CCCC(=O)N1CCC(CNC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001293813289 914717263 /nfs/dbraw/zinc/71/72/63/914717263.db2.gz RGFKBIPIJOYILX-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCC(=O)N1CCC(CNC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001293813289 914717274 /nfs/dbraw/zinc/71/72/74/914717274.db2.gz RGFKBIPIJOYILX-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]1COCC[N@@H+]1CCc1ccccc1 ZINC001280406179 903641621 /nfs/dbraw/zinc/64/16/21/903641621.db2.gz KIOKEPSKNCXIQA-GOSISDBHSA-N 1 2 312.413 1.460 20 30 DDEDLO O=C(C#CC1CC1)NC[C@@H]1COCC[N@H+]1CCc1ccccc1 ZINC001280406179 903641625 /nfs/dbraw/zinc/64/16/25/903641625.db2.gz KIOKEPSKNCXIQA-GOSISDBHSA-N 1 2 312.413 1.460 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H]1CCCC(=O)N1C ZINC001392322326 903890357 /nfs/dbraw/zinc/89/03/57/903890357.db2.gz WFZJFLLJHOWHKS-GFCCVEGCSA-N 1 2 301.818 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H]1CCCC(=O)N1C ZINC001392322326 903890343 /nfs/dbraw/zinc/89/03/43/903890343.db2.gz WFZJFLLJHOWHKS-GFCCVEGCSA-N 1 2 301.818 1.140 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H]2CC[N@@H+]2CC(=O)NC(C)(C)C)C1 ZINC001316606584 904098190 /nfs/dbraw/zinc/09/81/90/904098190.db2.gz WGGCALUQJYGGIA-CQSZACIVSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@H]2CC[N@H+]2CC(=O)NC(C)(C)C)C1 ZINC001316606584 904098202 /nfs/dbraw/zinc/09/82/02/904098202.db2.gz WGGCALUQJYGGIA-CQSZACIVSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1CCC2(C[NH+](CCOC)C2)O1 ZINC001280930554 904201286 /nfs/dbraw/zinc/20/12/86/904201286.db2.gz ZAWXHBHLHFSTQP-AWEZNQCLSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC13CCC3)CO2 ZINC001280962469 904248271 /nfs/dbraw/zinc/24/82/71/904248271.db2.gz RGMPTKCMDKIZTD-HUUCEWRRSA-N 1 2 320.433 1.339 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001281035150 904340428 /nfs/dbraw/zinc/34/04/28/904340428.db2.gz QEPWTOYAXIKHSC-GFCCVEGCSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1N(C(=O)Cc1c[nH+]c[nH]1)CC2 ZINC001281185145 904542361 /nfs/dbraw/zinc/54/23/61/904542361.db2.gz QSCLJHRZTNOMTN-PBHICJAKSA-N 1 2 316.405 1.558 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)[C@H](C)[NH2+]Cc2cnsn2)cc1 ZINC001282166154 905593028 /nfs/dbraw/zinc/59/30/28/905593028.db2.gz CXAUQIJLLBNXPM-RYUDHWBXSA-N 1 2 314.414 1.816 20 30 DDEDLO Cn1cc(C[N@@H+]2CCCCC[C@@H]2CNC(=O)C#CC2CC2)nn1 ZINC001282668239 905934966 /nfs/dbraw/zinc/93/49/66/905934966.db2.gz WATXBZHUBOVHCB-MRXNPFEDSA-N 1 2 315.421 1.089 20 30 DDEDLO Cn1cc(C[N@H+]2CCCCC[C@@H]2CNC(=O)C#CC2CC2)nn1 ZINC001282668239 905934973 /nfs/dbraw/zinc/93/49/73/905934973.db2.gz WATXBZHUBOVHCB-MRXNPFEDSA-N 1 2 315.421 1.089 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)Cn1cc[nH+]c1 ZINC001282709092 905972763 /nfs/dbraw/zinc/97/27/63/905972763.db2.gz GRGLWIFBAHBKTB-MCIONIFRSA-N 1 2 302.378 1.097 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC[N@@H+]1Cc1cc2n(n1)CCC2 ZINC001377389916 921202823 /nfs/dbraw/zinc/20/28/23/921202823.db2.gz UUOQDQFUJSOKNU-SWLSCSKDSA-N 1 2 301.394 1.070 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC[N@H+]1Cc1cc2n(n1)CCC2 ZINC001377389916 921202836 /nfs/dbraw/zinc/20/28/36/921202836.db2.gz UUOQDQFUJSOKNU-SWLSCSKDSA-N 1 2 301.394 1.070 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C[C@@H](C2CC2)N(C)C(=O)C#CC(C)C)no1 ZINC001283500956 907475896 /nfs/dbraw/zinc/47/58/96/907475896.db2.gz ATQJBGDOQYLICZ-DOMZBBRYSA-N 1 2 318.421 1.925 20 30 DDEDLO CNc1cc(CNC(=O)NCc2nc(C#N)cs2)cc[nH+]1 ZINC001372757502 908159720 /nfs/dbraw/zinc/15/97/20/908159720.db2.gz BQGMZXXBADCGHF-UHFFFAOYSA-N 1 2 302.363 1.451 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C2CC2)C1 ZINC001283962713 908240304 /nfs/dbraw/zinc/24/03/04/908240304.db2.gz ZHJBLWQSIIIANE-ZDUSSCGKSA-N 1 2 316.405 1.320 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H](NC(=O)CCc2c[nH+]cn2C)C1 ZINC001284056068 908404062 /nfs/dbraw/zinc/40/40/62/908404062.db2.gz MCQOBZNXBLREJS-HDJSIYSDSA-N 1 2 318.421 1.472 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC2(CCO)C[NH+](Cc3ccccc3)C2)c1 ZINC001394149350 908973650 /nfs/dbraw/zinc/97/36/50/908973650.db2.gz WNPUQKYWFLKNQS-UHFFFAOYSA-N 1 2 324.384 1.253 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(CNC(=O)Cn2cc[nH+]c2)C1 ZINC001284981116 909875044 /nfs/dbraw/zinc/87/50/44/909875044.db2.gz XOYOUUJPQBMVLQ-INIZCTEOSA-N 1 2 304.394 1.060 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001284997869 909911515 /nfs/dbraw/zinc/91/15/15/909911515.db2.gz UZZIXRKGNVLYCP-UHFFFAOYSA-N 1 2 304.394 1.129 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001285190046 910180350 /nfs/dbraw/zinc/18/03/50/910180350.db2.gz GULFNRQYCVOGDU-CQSZACIVSA-N 1 2 304.394 1.416 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+]1CC[C@@H](NC(=O)[C@@H](C)C#N)C1 ZINC001373624193 910395907 /nfs/dbraw/zinc/39/59/07/910395907.db2.gz OGQYZXNQEMALOQ-GXSJLCMTSA-N 1 2 309.801 1.232 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)C#N)C1 ZINC001373624193 910395925 /nfs/dbraw/zinc/39/59/25/910395925.db2.gz OGQYZXNQEMALOQ-GXSJLCMTSA-N 1 2 309.801 1.232 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)[C@@H](C)NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001285372288 910435856 /nfs/dbraw/zinc/43/58/56/910435856.db2.gz AUSULDCGOGINHQ-ZIAGYGMSSA-N 1 2 318.421 1.639 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373667057 910557373 /nfs/dbraw/zinc/55/73/73/910557373.db2.gz IBXNHSUIBDKYKG-WFASDCNBSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373667057 910557383 /nfs/dbraw/zinc/55/73/83/910557383.db2.gz IBXNHSUIBDKYKG-WFASDCNBSA-N 1 2 314.364 1.890 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)CN1CCOCC1)C(C)C ZINC001394802060 910597098 /nfs/dbraw/zinc/59/70/98/910597098.db2.gz SQNOGIMTFRFJQV-UHFFFAOYSA-N 1 2 317.861 1.240 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)CN1CCOCC1)C(C)C ZINC001394802060 910597106 /nfs/dbraw/zinc/59/71/06/910597106.db2.gz SQNOGIMTFRFJQV-UHFFFAOYSA-N 1 2 317.861 1.240 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](C)CNC(=O)CCc1[nH+]ccn1C ZINC001285690713 911044102 /nfs/dbraw/zinc/04/41/02/911044102.db2.gz QWEVAQSPDXFJMB-DYVFJYSZSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H](C)CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001285693131 911048930 /nfs/dbraw/zinc/04/89/30/911048930.db2.gz NZQVEQIOWYCMET-CHWSQXEVSA-N 1 2 320.437 1.764 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H](C)CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001285693131 911048949 /nfs/dbraw/zinc/04/89/49/911048949.db2.gz NZQVEQIOWYCMET-CHWSQXEVSA-N 1 2 320.437 1.764 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001285799407 911218780 /nfs/dbraw/zinc/21/87/80/911218780.db2.gz WNURROUIHJRELE-OCCSQVGLSA-N 1 2 320.437 1.826 20 30 DDEDLO C#CCCCCC(=O)N1CC(N(C)C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001286028662 911593037 /nfs/dbraw/zinc/59/30/37/911593037.db2.gz WZGLGXDBICLTFW-UHFFFAOYSA-N 1 2 316.405 1.123 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@]1(C)CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001286093640 911684263 /nfs/dbraw/zinc/68/42/63/911684263.db2.gz XHSBRKRVKAXGLI-QGZVFWFLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N1CC([C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001286209238 911835262 /nfs/dbraw/zinc/83/52/62/911835262.db2.gz OIIBYWMBMBTMDS-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(CC)n1CC[N@@H+]1CCOC[C@@H]1C ZINC001286294844 911915128 /nfs/dbraw/zinc/91/51/28/911915128.db2.gz DEGAXGYUYFHNNO-KGLIPLIRSA-N 1 2 305.426 1.019 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(CC)n1CC[N@H+]1CCOC[C@@H]1C ZINC001286294844 911915152 /nfs/dbraw/zinc/91/51/52/911915152.db2.gz DEGAXGYUYFHNNO-KGLIPLIRSA-N 1 2 305.426 1.019 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001294747593 915334894 /nfs/dbraw/zinc/33/48/94/915334894.db2.gz CLEGFYXELBRVEE-LSDHHAIUSA-N 1 2 316.405 1.211 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001295435774 915785601 /nfs/dbraw/zinc/78/56/01/915785601.db2.gz KSSNWZSVXDGWAD-QWHCGFSZSA-N 1 2 304.394 1.033 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001295895310 916115353 /nfs/dbraw/zinc/11/53/53/916115353.db2.gz QFOKJKWIIUHCND-GFCCVEGCSA-N 1 2 306.410 1.628 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001296439931 916419024 /nfs/dbraw/zinc/41/90/24/916419024.db2.gz RCLUVLUWSKMCRU-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001296465029 916435586 /nfs/dbraw/zinc/43/55/86/916435586.db2.gz OLBXEVUQFHZSNX-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCc1ccc(C(=O)NO)cc1 ZINC001297054189 916696382 /nfs/dbraw/zinc/69/63/82/916696382.db2.gz IPGZSDZWSOZQCB-JTQLQIEISA-N 1 2 302.334 1.024 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCc1ccc(C(=O)NO)cc1 ZINC001297054189 916696394 /nfs/dbraw/zinc/69/63/94/916696394.db2.gz IPGZSDZWSOZQCB-JTQLQIEISA-N 1 2 302.334 1.024 20 30 DDEDLO CCC(=O)NC[C@]1(O)CC[N@H+](Cc2cc(F)ccc2C#N)C1 ZINC001375999096 917447216 /nfs/dbraw/zinc/44/72/16/917447216.db2.gz QWHIXPXRENSUMF-MRXNPFEDSA-N 1 2 305.353 1.160 20 30 DDEDLO CCC(=O)NC[C@]1(O)CC[N@@H+](Cc2cc(F)ccc2C#N)C1 ZINC001375999096 917447224 /nfs/dbraw/zinc/44/72/24/917447224.db2.gz QWHIXPXRENSUMF-MRXNPFEDSA-N 1 2 305.353 1.160 20 30 DDEDLO Cc1ccc(C[NH+]2CC(O)(CNC(=O)c3ccc(C#N)[nH]3)C2)cc1 ZINC001376164274 917854072 /nfs/dbraw/zinc/85/40/72/917854072.db2.gz CRURMFXWIOSXCL-UHFFFAOYSA-N 1 2 324.384 1.172 20 30 DDEDLO CCc1noc(C[N@@H+]2CCC[C@H](NC(=O)[C@H](C)C#N)CC2)n1 ZINC001376886957 919679895 /nfs/dbraw/zinc/67/98/95/919679895.db2.gz VERPSUZSLKHZKP-NEPJUHHUSA-N 1 2 305.382 1.262 20 30 DDEDLO CCc1noc(C[N@H+]2CCC[C@H](NC(=O)[C@H](C)C#N)CC2)n1 ZINC001376886957 919679906 /nfs/dbraw/zinc/67/99/06/919679906.db2.gz VERPSUZSLKHZKP-NEPJUHHUSA-N 1 2 305.382 1.262 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@@]1(C)CCC(=O)NC1)C1CC1 ZINC001377540107 922271698 /nfs/dbraw/zinc/27/16/98/922271698.db2.gz DFORWQDRMSEWFI-HNNXBMFYSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@@]1(C)CCC(=O)NC1)C1CC1 ZINC001377540107 922271715 /nfs/dbraw/zinc/27/17/15/922271715.db2.gz DFORWQDRMSEWFI-HNNXBMFYSA-N 1 2 313.829 1.236 20 30 DDEDLO Cc1cnn(CC(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)c1 ZINC001377955807 923509257 /nfs/dbraw/zinc/50/92/57/923509257.db2.gz MKBUZPAIEDFCLQ-UHFFFAOYSA-N 1 2 323.400 1.311 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000278603772 214268833 /nfs/dbraw/zinc/26/88/33/214268833.db2.gz LFUSAARDHVLAKH-FUHWJXTLSA-N 1 2 318.417 1.503 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)N1CC[C@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000451443438 231098762 /nfs/dbraw/zinc/09/87/62/231098762.db2.gz CTJROWPQHZPKKW-DQYPLSBCSA-N 1 2 321.421 1.458 20 30 DDEDLO CNS(=O)(=O)[C@H]1CC[N@H+](CC#Cc2ccccc2Cl)C1 ZINC000156190148 539210880 /nfs/dbraw/zinc/21/08/80/539210880.db2.gz KIHMFGHWUPGKJH-ZDUSSCGKSA-N 1 2 312.822 1.315 20 30 DDEDLO CNS(=O)(=O)[C@H]1CC[N@@H+](CC#Cc2ccccc2Cl)C1 ZINC000156190148 539210881 /nfs/dbraw/zinc/21/08/81/539210881.db2.gz KIHMFGHWUPGKJH-ZDUSSCGKSA-N 1 2 312.822 1.315 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)c1ccc(C#N)nc1 ZINC000451938957 529589973 /nfs/dbraw/zinc/58/99/73/529589973.db2.gz YGQBXWGOQLYNDY-SNVBAGLBSA-N 1 2 305.363 1.209 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)CN1CCCCCCC1=O ZINC000329121628 539301185 /nfs/dbraw/zinc/30/11/85/539301185.db2.gz WFPPZPPXPOEMBN-AWEZNQCLSA-N 1 2 311.426 1.456 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)CN1CCCCCCC1=O ZINC000329121628 539301186 /nfs/dbraw/zinc/30/11/86/539301186.db2.gz WFPPZPPXPOEMBN-AWEZNQCLSA-N 1 2 311.426 1.456 20 30 DDEDLO Cc1nc(C)c(CCC(=O)NC[C@@H](C)[NH+]2CCOCC2)c(=O)[nH]1 ZINC000329172963 539301537 /nfs/dbraw/zinc/30/15/37/539301537.db2.gz LEOGCXIZVAMTBE-LLVKDONJSA-N 1 2 322.409 1.409 20 30 DDEDLO O=C(CCc1c[nH+]c[nH]1)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000329372583 539303869 /nfs/dbraw/zinc/30/38/69/539303869.db2.gz JKYYOKPKHAXVCE-SNVBAGLBSA-N 1 2 318.299 1.852 20 30 DDEDLO O=C(CCc1c[nH]c[nH+]1)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000329372583 539303870 /nfs/dbraw/zinc/30/38/70/539303870.db2.gz JKYYOKPKHAXVCE-SNVBAGLBSA-N 1 2 318.299 1.852 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)[C@H]1CCCCO1 ZINC000329479167 539304867 /nfs/dbraw/zinc/30/48/67/539304867.db2.gz OWLQZHUCWNQETH-UONOGXRCSA-N 1 2 313.442 1.558 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)[C@H]1CCCCO1 ZINC000329479167 539304868 /nfs/dbraw/zinc/30/48/68/539304868.db2.gz OWLQZHUCWNQETH-UONOGXRCSA-N 1 2 313.442 1.558 20 30 DDEDLO COc1cc(C[NH+]2CCN(CC#N)CC2)cc(Cl)c1OC ZINC000092936245 185349757 /nfs/dbraw/zinc/34/97/57/185349757.db2.gz SAZUSXGDOOWOJP-UHFFFAOYSA-N 1 2 309.797 1.998 20 30 DDEDLO CCc1nc(C)c(C(=O)NC[C@H](O)C[NH+]2CCOCC2)s1 ZINC000330483903 529717512 /nfs/dbraw/zinc/71/75/12/529717512.db2.gz WOUKNLFZAGPJGX-NSHDSACASA-N 1 2 313.423 1.012 20 30 DDEDLO CCc1nc(C)c(C(=O)NC[C@@H](O)C[NH+]2CCOCC2)s1 ZINC000330483902 529717288 /nfs/dbraw/zinc/71/72/88/529717288.db2.gz WOUKNLFZAGPJGX-LLVKDONJSA-N 1 2 313.423 1.012 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)C(=O)c2ccc(Cl)s2)C1 ZINC000331184856 529782530 /nfs/dbraw/zinc/78/25/30/529782530.db2.gz MZPMSTMUQWCBFS-QMMMGPOBSA-N 1 2 302.783 1.871 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)C(=O)c2ccc(Cl)s2)C1 ZINC000331184856 529782531 /nfs/dbraw/zinc/78/25/31/529782531.db2.gz MZPMSTMUQWCBFS-QMMMGPOBSA-N 1 2 302.783 1.871 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000330961491 529787905 /nfs/dbraw/zinc/78/79/05/529787905.db2.gz JQAYUZNVWPRPFZ-GFCCVEGCSA-N 1 2 312.301 1.390 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000330961491 529787906 /nfs/dbraw/zinc/78/79/06/529787906.db2.gz JQAYUZNVWPRPFZ-GFCCVEGCSA-N 1 2 312.301 1.390 20 30 DDEDLO CN(CC(=O)NC(C)(C)C)C([O-])=[NH+]C[C@H]1CCc2[nH+]ccn2C1 ZINC000331003468 529830373 /nfs/dbraw/zinc/83/03/73/529830373.db2.gz WTVGZXFCXILCNB-GFCCVEGCSA-N 1 2 321.425 1.206 20 30 DDEDLO C[N@H+](CC(=O)NC[C@H]1COc2ccccc2O1)CC(C)(C)C#N ZINC000433313828 529835040 /nfs/dbraw/zinc/83/50/40/529835040.db2.gz SBFDKETXFUWEHF-ZDUSSCGKSA-N 1 2 317.389 1.424 20 30 DDEDLO C[N@@H+](CC(=O)NC[C@H]1COc2ccccc2O1)CC(C)(C)C#N ZINC000433313828 529835041 /nfs/dbraw/zinc/83/50/41/529835041.db2.gz SBFDKETXFUWEHF-ZDUSSCGKSA-N 1 2 317.389 1.424 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000414118934 529868283 /nfs/dbraw/zinc/86/82/83/529868283.db2.gz CAPABAUZPJBKQE-NSHDSACASA-N 1 2 313.741 1.833 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc(Cl)cc1[N+](=O)[O-] ZINC000414118934 529868284 /nfs/dbraw/zinc/86/82/84/529868284.db2.gz CAPABAUZPJBKQE-NSHDSACASA-N 1 2 313.741 1.833 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)C1 ZINC000615531392 362322160 /nfs/dbraw/zinc/32/21/60/362322160.db2.gz KTKJGKIJPJGVMX-INIZCTEOSA-N 1 2 317.368 1.829 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)ncc1C#N ZINC000457657635 232099389 /nfs/dbraw/zinc/09/93/89/232099389.db2.gz CQBMQCWMYLCBDP-AWEZNQCLSA-N 1 2 307.357 1.665 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CC[NH+]1CCOCC1)c1ccccc1 ZINC000294664743 222790474 /nfs/dbraw/zinc/79/04/74/222790474.db2.gz IPPSJDKXKFPNPT-MRXNPFEDSA-N 1 2 316.401 1.390 20 30 DDEDLO CO[C@H](C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1)C1CC1 ZINC000280092913 215369265 /nfs/dbraw/zinc/36/92/65/215369265.db2.gz WSEQPUNBKOZXJM-KRWDZBQOSA-N 1 2 313.401 1.627 20 30 DDEDLO CC(=O)NCC1CC[NH+](CC(=O)Nc2sccc2C#N)CC1 ZINC000052848643 352628668 /nfs/dbraw/zinc/62/86/68/352628668.db2.gz BNOWEJYWKISIIU-UHFFFAOYSA-N 1 2 320.418 1.406 20 30 DDEDLO N#CCOc1ccc(/C=C\C(=O)N2CC(n3cc[nH+]c3)C2)cc1 ZINC000491839753 234309798 /nfs/dbraw/zinc/30/97/98/234309798.db2.gz WIAYVIXXAUSYJB-UTCJRWHESA-N 1 2 308.341 1.882 20 30 DDEDLO CN1CC[C@H]([N@H+](C)Cc2nnc(-c3ccc(C#N)cc3)o2)C1=O ZINC000075752483 353379212 /nfs/dbraw/zinc/37/92/12/353379212.db2.gz VWHSTNLMLGZRQQ-ZDUSSCGKSA-N 1 2 311.345 1.271 20 30 DDEDLO CN1CC[C@H]([N@@H+](C)Cc2nnc(-c3ccc(C#N)cc3)o2)C1=O ZINC000075752483 353379214 /nfs/dbraw/zinc/37/92/14/353379214.db2.gz VWHSTNLMLGZRQQ-ZDUSSCGKSA-N 1 2 311.345 1.271 20 30 DDEDLO C[C@H]1Oc2ccc(-c3csc(NC(N)=[NH2+])n3)cc2NC1=O ZINC000076392011 353418012 /nfs/dbraw/zinc/41/80/12/353418012.db2.gz UESUNAYRMYSTPF-ZCFIWIBFSA-N 1 2 303.347 1.434 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ncccc2C(F)(F)F)CC1 ZINC000092372803 353855233 /nfs/dbraw/zinc/85/52/33/353855233.db2.gz BGNVQSVYQGGTRU-UHFFFAOYSA-N 1 2 311.307 1.882 20 30 DDEDLO N#CC1CCN(C(=O)NC2CCN(c3cccc[nH+]3)CC2)CC1 ZINC000144302569 354153056 /nfs/dbraw/zinc/15/30/56/354153056.db2.gz WQIZEBCWZVXQKH-UHFFFAOYSA-N 1 2 313.405 1.996 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000182493310 354272821 /nfs/dbraw/zinc/27/28/21/354272821.db2.gz ZXFPRWBFDWYZEG-STQMWFEESA-N 1 2 317.393 1.266 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1ncc[nH]1 ZINC000287445625 354435078 /nfs/dbraw/zinc/43/50/78/354435078.db2.gz LDYJVFUCSNTAHI-WFASDCNBSA-N 1 2 309.373 1.733 20 30 DDEDLO Cn1c2cc(NC[C@H](O)C[N@H+](C)CCC#N)ccc2ccc1=O ZINC000578316881 354707157 /nfs/dbraw/zinc/70/71/57/354707157.db2.gz QYICPAPOJCDLGQ-HNNXBMFYSA-N 1 2 314.389 1.157 20 30 DDEDLO Cn1c2cc(NC[C@H](O)C[N@@H+](C)CCC#N)ccc2ccc1=O ZINC000578316881 354707159 /nfs/dbraw/zinc/70/71/59/354707159.db2.gz QYICPAPOJCDLGQ-HNNXBMFYSA-N 1 2 314.389 1.157 20 30 DDEDLO C[C@H](Oc1ccc(F)c(F)c1)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000578657500 354711319 /nfs/dbraw/zinc/71/13/19/354711319.db2.gz NYCOCYDTZPIHIE-ZUZCIYMTSA-N 1 2 311.332 1.692 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCCNC(=O)[C@H]1CC=CCC1 ZINC000578776111 354712461 /nfs/dbraw/zinc/71/24/61/354712461.db2.gz VWLSUQDAKVNPAQ-YOEHRIQHSA-N 1 2 320.437 1.199 20 30 DDEDLO N#C[C@H]1CCC[C@@H]1[NH2+]Cc1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000589164334 354966694 /nfs/dbraw/zinc/96/66/94/354966694.db2.gz XXAFKGGKLIHOEN-OCCSQVGLSA-N 1 2 323.418 1.846 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1C[C@@H](c2cnn(C)c2)C2(C1)CCOCC2 ZINC000590755282 355167011 /nfs/dbraw/zinc/16/70/11/355167011.db2.gz AOQWTLNHXOFGIO-LSDHHAIUSA-N 1 2 319.405 1.344 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1C[C@@H](c2cnn(C)c2)C2(C1)CCOCC2 ZINC000590755282 355167014 /nfs/dbraw/zinc/16/70/14/355167014.db2.gz AOQWTLNHXOFGIO-LSDHHAIUSA-N 1 2 319.405 1.344 20 30 DDEDLO Cc1sc(NC(=O)CN2CCc3[nH+]c[nH]c3C2)c(C#N)c1C ZINC000590599538 355125794 /nfs/dbraw/zinc/12/57/94/355125794.db2.gz IIYDPFKSWDBUMV-UHFFFAOYSA-N 1 2 315.402 1.957 20 30 DDEDLO N#C[C@@H](c1ccc(F)cc1)N1CC[NH+]([C@@H]2CCCNC2=O)CC1 ZINC000591025973 355236074 /nfs/dbraw/zinc/23/60/74/355236074.db2.gz OBFHIYBDYXKDQX-CVEARBPZSA-N 1 2 316.380 1.287 20 30 DDEDLO N#Cc1cnccc1C[NH+]1CCC(OC[C@H]2CCOC2)CC1 ZINC000592086301 355490678 /nfs/dbraw/zinc/49/06/78/355490678.db2.gz MRSUYZSNWYDVMX-AWEZNQCLSA-N 1 2 301.390 1.971 20 30 DDEDLO COc1ccc(Cl)cc1NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592147296 355512739 /nfs/dbraw/zinc/51/27/39/355512739.db2.gz NKMOOFDOZTVRQT-OAHLLOKOSA-N 1 2 323.780 1.638 20 30 DDEDLO COc1ccc(Cl)cc1NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592147296 355512741 /nfs/dbraw/zinc/51/27/41/355512741.db2.gz NKMOOFDOZTVRQT-OAHLLOKOSA-N 1 2 323.780 1.638 20 30 DDEDLO CCc1ccc([C@H](C)NC(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592148310 355515253 /nfs/dbraw/zinc/51/52/53/355515253.db2.gz UBQLJANWWYHZQP-KSSFIOAISA-N 1 2 315.417 1.777 20 30 DDEDLO CCc1ccc([C@H](C)NC(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592148310 355515256 /nfs/dbraw/zinc/51/52/56/355515256.db2.gz UBQLJANWWYHZQP-KSSFIOAISA-N 1 2 315.417 1.777 20 30 DDEDLO CCOc1cccc(CNC(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592149876 355516392 /nfs/dbraw/zinc/51/63/92/355516392.db2.gz YODYHOHRLOXITH-QGZVFWFLSA-N 1 2 317.389 1.052 20 30 DDEDLO CCOc1cccc(CNC(=O)C[N@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592149876 355516398 /nfs/dbraw/zinc/51/63/98/355516398.db2.gz YODYHOHRLOXITH-QGZVFWFLSA-N 1 2 317.389 1.052 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2ccn(CCc3ccccc3)n2)C1 ZINC000592152736 355518530 /nfs/dbraw/zinc/51/85/30/355518530.db2.gz CHEMLZHBGQJRLA-GOSISDBHSA-N 1 2 310.401 1.976 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2ccn(CCc3ccccc3)n2)C1 ZINC000592152736 355518532 /nfs/dbraw/zinc/51/85/32/355518532.db2.gz CHEMLZHBGQJRLA-GOSISDBHSA-N 1 2 310.401 1.976 20 30 DDEDLO N#Cc1cc2c(nc1N1CC[C@H]([NH+]3CCOCC3)C1)CCCC2 ZINC000105569457 283116359 /nfs/dbraw/zinc/11/63/59/283116359.db2.gz OFTIRUNGIOHCRA-INIZCTEOSA-N 1 2 312.417 1.743 20 30 DDEDLO Cc1cccc2[nH+]c(CCNC(=O)[C@@H](C)n3cnc(C#N)n3)cn21 ZINC000592746183 355673659 /nfs/dbraw/zinc/67/36/59/355673659.db2.gz PLEMUPWCIXWCSL-GFCCVEGCSA-N 1 2 323.360 1.026 20 30 DDEDLO N#Cc1ccc(C[N@@H+](CC(N)=O)C2CCCC2)c([N+](=O)[O-])c1 ZINC000593011815 355769527 /nfs/dbraw/zinc/76/95/27/355769527.db2.gz QMRBKUSLKINMLB-UHFFFAOYSA-N 1 2 302.334 1.696 20 30 DDEDLO N#Cc1ccc(C[N@H+](CC(N)=O)C2CCCC2)c([N+](=O)[O-])c1 ZINC000593011815 355769531 /nfs/dbraw/zinc/76/95/31/355769531.db2.gz QMRBKUSLKINMLB-UHFFFAOYSA-N 1 2 302.334 1.696 20 30 DDEDLO Cc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)nn1[C@@H](C)C1CC1 ZINC000593154965 355813919 /nfs/dbraw/zinc/81/39/19/355813919.db2.gz RWSBLZSOURCRIK-BLLLJJGKSA-N 1 2 303.410 1.736 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(Cc2cncs2)c1=O ZINC000594897254 356350036 /nfs/dbraw/zinc/35/00/36/356350036.db2.gz LULHUVNPNAFWRX-UHFFFAOYSA-N 1 2 316.386 1.057 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CCC[C@H](CC#N)C2)c[nH+]1 ZINC000595451171 356497480 /nfs/dbraw/zinc/49/74/80/356497480.db2.gz WUYXMODQPXHUSP-CYBMUJFWSA-N 1 2 301.394 1.983 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+](CC(=O)N2CC(=O)Nc3ccccc32)C1 ZINC000595613235 356576265 /nfs/dbraw/zinc/57/62/65/356576265.db2.gz BCVGPLWPTCFTDF-CYBMUJFWSA-N 1 2 312.373 1.597 20 30 DDEDLO N#CC[C@H]1CCC[N@H+](CC(=O)N2CC(=O)Nc3ccccc32)C1 ZINC000595613235 356576267 /nfs/dbraw/zinc/57/62/67/356576267.db2.gz BCVGPLWPTCFTDF-CYBMUJFWSA-N 1 2 312.373 1.597 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000595618957 356580152 /nfs/dbraw/zinc/58/01/52/356580152.db2.gz XCYPKNAGNIKESU-KBRIMQKVSA-N 1 2 307.438 1.930 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000595618957 356580155 /nfs/dbraw/zinc/58/01/55/356580155.db2.gz XCYPKNAGNIKESU-KBRIMQKVSA-N 1 2 307.438 1.930 20 30 DDEDLO C[C@H](O)[C@H]1CCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000595767441 356643922 /nfs/dbraw/zinc/64/39/22/356643922.db2.gz XJHUSTGZCQPAQO-SWLSCSKDSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@H](O)[C@H]1CCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000595767441 356643927 /nfs/dbraw/zinc/64/39/27/356643927.db2.gz XJHUSTGZCQPAQO-SWLSCSKDSA-N 1 2 308.403 1.177 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](C)CC2=CCCOC2)c(C#N)c1C ZINC000595754208 356637150 /nfs/dbraw/zinc/63/71/50/356637150.db2.gz WUSJVQYZKJAPDG-UHFFFAOYSA-N 1 2 303.362 1.985 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](C)CC2=CCCOC2)c(C#N)c1C ZINC000595754208 356637152 /nfs/dbraw/zinc/63/71/52/356637152.db2.gz WUSJVQYZKJAPDG-UHFFFAOYSA-N 1 2 303.362 1.985 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCOC(C2CC2)(C2CC2)C1 ZINC000595821176 356664061 /nfs/dbraw/zinc/66/40/61/356664061.db2.gz AYURWMIGMFPNOA-CQSZACIVSA-N 1 2 319.449 1.886 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCOC(C2CC2)(C2CC2)C1 ZINC000595821176 356664067 /nfs/dbraw/zinc/66/40/67/356664067.db2.gz AYURWMIGMFPNOA-CQSZACIVSA-N 1 2 319.449 1.886 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@@H+]2CCc3nccnc3C2)cc1 ZINC000595851257 356677306 /nfs/dbraw/zinc/67/73/06/356677306.db2.gz QBYJFFJHLXXXRC-OAHLLOKOSA-N 1 2 324.384 1.341 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@H+]2CCc3nccnc3C2)cc1 ZINC000595851257 356677308 /nfs/dbraw/zinc/67/73/08/356677308.db2.gz QBYJFFJHLXXXRC-OAHLLOKOSA-N 1 2 324.384 1.341 20 30 DDEDLO C=CCN1CC[C@@H](N(C)c2cc(CC(=O)OC)cc[nH+]2)C1=O ZINC000596224602 356822613 /nfs/dbraw/zinc/82/26/13/356822613.db2.gz IBBUQMCTBWWYCL-CYBMUJFWSA-N 1 2 303.362 1.020 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2cccc(C#N)c2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596427965 356875978 /nfs/dbraw/zinc/87/59/78/356875978.db2.gz GHHVNSGWCNBFLN-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2cccc(C#N)c2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596427965 356875981 /nfs/dbraw/zinc/87/59/81/356875981.db2.gz GHHVNSGWCNBFLN-NWDGAFQWSA-N 1 2 304.350 1.988 20 30 DDEDLO CC1(C)Cc2n[nH]cc2C[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000596596527 356929491 /nfs/dbraw/zinc/92/94/91/356929491.db2.gz CDKREVZPDBSXOD-INIZCTEOSA-N 1 2 323.400 1.892 20 30 DDEDLO CC1(C)Cc2n[nH]cc2C[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000596596527 356929495 /nfs/dbraw/zinc/92/94/95/356929495.db2.gz CDKREVZPDBSXOD-INIZCTEOSA-N 1 2 323.400 1.892 20 30 DDEDLO C=CCOCCCNC(=O)N1CC[C@@H]([NH+]2CCN(CC)CC2)C1 ZINC000596818841 356985204 /nfs/dbraw/zinc/98/52/04/356985204.db2.gz MQIGJQDWPWKHSK-MRXNPFEDSA-N 1 2 324.469 1.001 20 30 DDEDLO COC(=O)[C@@H]1[C@H](O)CCC[N@@H+]1Cc1ccc(C2(C#N)CC2)cc1 ZINC000597110296 357063700 /nfs/dbraw/zinc/06/37/00/357063700.db2.gz IEBQEYWSJFLAGO-CVEARBPZSA-N 1 2 314.385 1.740 20 30 DDEDLO COC(=O)[C@@H]1[C@H](O)CCC[N@H+]1Cc1ccc(C2(C#N)CC2)cc1 ZINC000597110296 357063705 /nfs/dbraw/zinc/06/37/05/357063705.db2.gz IEBQEYWSJFLAGO-CVEARBPZSA-N 1 2 314.385 1.740 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)c1cccc(CC#N)c1 ZINC000597999215 357415942 /nfs/dbraw/zinc/41/59/42/357415942.db2.gz RHQAVGPSEFUYCQ-KGLIPLIRSA-N 1 2 301.390 1.592 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)c1cccc(CC#N)c1 ZINC000597999215 357415945 /nfs/dbraw/zinc/41/59/45/357415945.db2.gz RHQAVGPSEFUYCQ-KGLIPLIRSA-N 1 2 301.390 1.592 20 30 DDEDLO Cc1nc(C)c(NC(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)s1 ZINC000329773826 223027989 /nfs/dbraw/zinc/02/79/89/223027989.db2.gz LTHOPEMVBADNPJ-GFCCVEGCSA-N 1 2 310.423 1.712 20 30 DDEDLO O=C(Nc1ccn2cc[nH+]c2c1)N[C@H]1CCCS(=O)(=O)C1 ZINC000329787634 223028962 /nfs/dbraw/zinc/02/89/62/223028962.db2.gz RHUFOPXGEGUUAY-NSHDSACASA-N 1 2 308.363 1.047 20 30 DDEDLO CC(C)OC(=O)[C@H](C)[N@H+](C)C[C@@H](O)COc1ccccc1C#N ZINC000599311211 357887765 /nfs/dbraw/zinc/88/77/65/357887765.db2.gz HRLREOOJCKKOTG-DZGCQCFKSA-N 1 2 320.389 1.570 20 30 DDEDLO CC(C)OC(=O)[C@H](C)[N@@H+](C)C[C@@H](O)COc1ccccc1C#N ZINC000599311211 357887770 /nfs/dbraw/zinc/88/77/70/357887770.db2.gz HRLREOOJCKKOTG-DZGCQCFKSA-N 1 2 320.389 1.570 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000599642877 358004089 /nfs/dbraw/zinc/00/40/89/358004089.db2.gz WVQVUAFHDDUVMH-TZMCWYRMSA-N 1 2 317.393 1.327 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000599642877 358004094 /nfs/dbraw/zinc/00/40/94/358004094.db2.gz WVQVUAFHDDUVMH-TZMCWYRMSA-N 1 2 317.393 1.327 20 30 DDEDLO C[C@@H](C(=O)Nc1ncccn1)[N@@H+]1CCc2c(CC#N)cccc2C1 ZINC000599676384 358020525 /nfs/dbraw/zinc/02/05/25/358020525.db2.gz CGQUYIRUKGHXJY-ZDUSSCGKSA-N 1 2 321.384 1.928 20 30 DDEDLO C[C@@H](C(=O)Nc1ncccn1)[N@H+]1CCc2c(CC#N)cccc2C1 ZINC000599676384 358020528 /nfs/dbraw/zinc/02/05/28/358020528.db2.gz CGQUYIRUKGHXJY-ZDUSSCGKSA-N 1 2 321.384 1.928 20 30 DDEDLO CC(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000599700472 358031483 /nfs/dbraw/zinc/03/14/83/358031483.db2.gz GWMXIFDOULSIDZ-GOEBONIOSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000599700472 358031487 /nfs/dbraw/zinc/03/14/87/358031487.db2.gz GWMXIFDOULSIDZ-GOEBONIOSA-N 1 2 308.426 1.031 20 30 DDEDLO CC#CC[C@@H](CO)Nc1cc(CCC(=O)OCC)[nH+]c(C)n1 ZINC000601185424 358438446 /nfs/dbraw/zinc/43/84/46/358438446.db2.gz RQFLFYSJHYNYSF-AWEZNQCLSA-N 1 2 305.378 1.467 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)C1(C#N)CC2(CC2)C1 ZINC000601575603 358608280 /nfs/dbraw/zinc/60/82/80/358608280.db2.gz VSQCSHSNXDHMHM-KBPBESRZSA-N 1 2 303.406 1.392 20 30 DDEDLO Cc1cc(C)c(CNC(=O)N2CCN(CCC#N)CC2)c[nH+]1 ZINC000601609296 358621831 /nfs/dbraw/zinc/62/18/31/358621831.db2.gz YKYBQYWQBWGTRT-UHFFFAOYSA-N 1 2 301.394 1.439 20 30 DDEDLO N#Cc1cc(C(=O)NC[C@@H](c2ccccc2)[NH+]2CCOCC2)c[nH]1 ZINC000181193039 199263976 /nfs/dbraw/zinc/26/39/76/199263976.db2.gz VKCQLVUISFQNLM-KRWDZBQOSA-N 1 2 324.384 1.690 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601978665 358763644 /nfs/dbraw/zinc/76/36/44/358763644.db2.gz ZQMPPECNXIPFIV-GHMZBOCLSA-N 1 2 301.337 1.293 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601978665 358763646 /nfs/dbraw/zinc/76/36/46/358763646.db2.gz ZQMPPECNXIPFIV-GHMZBOCLSA-N 1 2 301.337 1.293 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2C(=O)N2CC[C@](F)(C#N)C2)cc1 ZINC000602145608 358845461 /nfs/dbraw/zinc/84/54/61/358845461.db2.gz ZJQMGOHXEKWNBN-WBVHZDCISA-N 1 2 317.364 1.734 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2C(=O)N2CC[C@](F)(C#N)C2)cc1 ZINC000602145608 358845463 /nfs/dbraw/zinc/84/54/63/358845463.db2.gz ZJQMGOHXEKWNBN-WBVHZDCISA-N 1 2 317.364 1.734 20 30 DDEDLO Cc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)nn1C1CCOCC1 ZINC000602336052 358936225 /nfs/dbraw/zinc/93/62/25/358936225.db2.gz WXMJCNUYOQOCPN-MRXNPFEDSA-N 1 2 319.409 1.117 20 30 DDEDLO N#CCN(Cc1ccc(OCC[NH+]2CCOCC2)cc1)C1CC1 ZINC000602450160 358984676 /nfs/dbraw/zinc/98/46/76/358984676.db2.gz VBBYBLDMSSKBBI-UHFFFAOYSA-N 1 2 315.417 1.886 20 30 DDEDLO N#CCC1C[NH+](Cc2cnn3cc(Br)cnc23)C1 ZINC000602710241 359139931 /nfs/dbraw/zinc/13/99/31/359139931.db2.gz VYYSEBRFQUSMMF-UHFFFAOYSA-N 1 2 306.167 1.837 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N(C)C2CCCCC2)C[C@H](C)N1CC#N ZINC000602857098 359244166 /nfs/dbraw/zinc/24/41/66/359244166.db2.gz OPQOGRBTRZSXJM-GASCZTMLSA-N 1 2 306.454 1.696 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N(C)C2CCCCC2)C[C@H](C)N1CC#N ZINC000602857098 359244172 /nfs/dbraw/zinc/24/41/72/359244172.db2.gz OPQOGRBTRZSXJM-GASCZTMLSA-N 1 2 306.454 1.696 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@H+](C)[C@@H]2CCC[C@H]2C#N)CC1 ZINC000602974588 359334479 /nfs/dbraw/zinc/33/44/79/359334479.db2.gz QJKUKCRWLOFCGG-LSDHHAIUSA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@@H+](C)[C@@H]2CCC[C@H]2C#N)CC1 ZINC000602974588 359334481 /nfs/dbraw/zinc/33/44/81/359334481.db2.gz QJKUKCRWLOFCGG-LSDHHAIUSA-N 1 2 321.421 1.412 20 30 DDEDLO Cc1cc(C#N)nc(NCc2cc[nH+]c(N3CCOCC3)c2)n1 ZINC000281000308 216014597 /nfs/dbraw/zinc/01/45/97/216014597.db2.gz PMBFFTMLBYLGQN-UHFFFAOYSA-N 1 2 310.361 1.500 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCC[C@@H](O)[C@H]2C(=O)OC)cc1 ZINC000188790399 200304081 /nfs/dbraw/zinc/30/40/81/200304081.db2.gz JDVMIHGZHGIEGB-CVEARBPZSA-N 1 2 303.358 1.197 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCC[C@@H](O)[C@H]2C(=O)OC)cc1 ZINC000188790399 200304082 /nfs/dbraw/zinc/30/40/82/200304082.db2.gz JDVMIHGZHGIEGB-CVEARBPZSA-N 1 2 303.358 1.197 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)N2CCC[C@H](n3cc[nH+]c3)C2)cc1 ZINC000609672113 360343163 /nfs/dbraw/zinc/34/31/63/360343163.db2.gz QGMZKOUDQONARN-JKSUJKDBSA-N 1 2 309.373 1.618 20 30 DDEDLO C[C@@H]1CCC[C@](C#N)(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)C1 ZINC000609741666 360347786 /nfs/dbraw/zinc/34/77/86/360347786.db2.gz WLEYUSGHZQFPNB-WBMJQRKESA-N 1 2 310.361 1.865 20 30 DDEDLO Cc1cccc2c1ncc(C#N)c2N[C@@H](C)C[NH+]1CCOCC1 ZINC000610211420 360390999 /nfs/dbraw/zinc/39/09/99/360390999.db2.gz VPMOPIJTAFXXMU-AWEZNQCLSA-N 1 2 310.401 1.969 20 30 DDEDLO CCOc1ccnc(N2CCC[N@H+](CC3(C#N)CC3)CC2)n1 ZINC000611430499 360718914 /nfs/dbraw/zinc/71/89/14/360718914.db2.gz VTYBNTJNGBQPHK-UHFFFAOYSA-N 1 2 301.394 1.691 20 30 DDEDLO CCOc1ccnc(N2CCC[N@@H+](CC3(C#N)CC3)CC2)n1 ZINC000611430499 360718919 /nfs/dbraw/zinc/71/89/19/360718919.db2.gz VTYBNTJNGBQPHK-UHFFFAOYSA-N 1 2 301.394 1.691 20 30 DDEDLO Cc1nc(CN2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)cs1 ZINC000191204755 200645167 /nfs/dbraw/zinc/64/51/67/200645167.db2.gz MQFAAHZAVZMSFS-UHFFFAOYSA-N 1 2 315.446 1.980 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](C[C@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000612162780 360937419 /nfs/dbraw/zinc/93/74/19/360937419.db2.gz QUTGRLLBCPLYRF-XJKSGUPXSA-N 1 2 319.361 1.960 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](C[C@H](O)COc2ccccc2[N+](=O)[O-])C1 ZINC000612162780 360937423 /nfs/dbraw/zinc/93/74/23/360937423.db2.gz QUTGRLLBCPLYRF-XJKSGUPXSA-N 1 2 319.361 1.960 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1c(F)cc(C#N)cc1F ZINC000331335760 223203758 /nfs/dbraw/zinc/20/37/58/223203758.db2.gz YFNOSBYRDLMRIW-JTQLQIEISA-N 1 2 309.316 1.862 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1c(F)cc(C#N)cc1F ZINC000331335760 223203759 /nfs/dbraw/zinc/20/37/59/223203759.db2.gz YFNOSBYRDLMRIW-JTQLQIEISA-N 1 2 309.316 1.862 20 30 DDEDLO Cn1nc(C(C)(C)C)cc1NC(=O)C[NH2+][C@@H]1CCC[C@@H]1C#N ZINC000331356862 223204039 /nfs/dbraw/zinc/20/40/39/223204039.db2.gz SMBAYZOZYTXBBY-VXGBXAGGSA-N 1 2 303.410 1.938 20 30 DDEDLO COCCN(CCC#N)C(=O)c1ccc2[nH+]c(C)n(C)c2c1 ZINC000619434620 364006403 /nfs/dbraw/zinc/00/64/03/364006403.db2.gz HCOWFKZQWKFSCE-UHFFFAOYSA-N 1 2 300.362 1.884 20 30 DDEDLO CN(CC1CC1)C(=O)C[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000619722831 364131997 /nfs/dbraw/zinc/13/19/97/364131997.db2.gz PUJAHJWWJFFUHI-UHFFFAOYSA-N 1 2 312.417 1.807 20 30 DDEDLO CCNC(=O)C[NH+]1CCN([C@@H](C#N)c2ccc(Cl)cc2)CC1 ZINC000619784589 364149225 /nfs/dbraw/zinc/14/92/25/364149225.db2.gz CSNBERMHWWUIEE-HNNXBMFYSA-N 1 2 320.824 1.658 20 30 DDEDLO COCc1cnc(CN(CCC#N)CC[NH+]2CCOCC2)s1 ZINC000342674238 223312041 /nfs/dbraw/zinc/31/20/41/223312041.db2.gz KIFHRZMBYBHMIE-UHFFFAOYSA-N 1 2 324.450 1.337 20 30 DDEDLO CCC[N@H+](Cc1cc(C#N)ccc1F)[C@@H]1CC(=O)N(C)C1=O ZINC000282478841 217025115 /nfs/dbraw/zinc/02/51/15/217025115.db2.gz LLTVNUVSUGMVBL-CQSZACIVSA-N 1 2 303.337 1.667 20 30 DDEDLO CCC[N@@H+](Cc1cc(C#N)ccc1F)[C@@H]1CC(=O)N(C)C1=O ZINC000282478841 217025119 /nfs/dbraw/zinc/02/51/19/217025119.db2.gz LLTVNUVSUGMVBL-CQSZACIVSA-N 1 2 303.337 1.667 20 30 DDEDLO CN(CC[NH+]1CCOCC1)c1nc2c(cc1C#N)CCCC2 ZINC000270930258 248712440 /nfs/dbraw/zinc/71/24/40/248712440.db2.gz HQAXAQGRKKGKTA-UHFFFAOYSA-N 1 2 300.406 1.600 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000334048800 249194247 /nfs/dbraw/zinc/19/42/47/249194247.db2.gz XLDIEJWWYPPPOO-STQMWFEESA-N 1 2 301.350 1.138 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000334048800 249194250 /nfs/dbraw/zinc/19/42/50/249194250.db2.gz XLDIEJWWYPPPOO-STQMWFEESA-N 1 2 301.350 1.138 20 30 DDEDLO N#Cc1ncccc1N1CC[NH+]([C@H](C(N)=O)c2ccccc2)CC1 ZINC000274089126 211345895 /nfs/dbraw/zinc/34/58/95/211345895.db2.gz RLRAJWKBRPRMOA-KRWDZBQOSA-N 1 2 321.384 1.302 20 30 DDEDLO Cc1cc2[nH+]cn(C[C@H](O)CN3CCO[C@H](C#N)C3)c2cc1C ZINC000106307446 194195390 /nfs/dbraw/zinc/19/53/90/194195390.db2.gz VVGLBESYEOAGTH-HUUCEWRRSA-N 1 2 314.389 1.238 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NC1CCCCC1)[NH+]1CCC(C#N)CC1 ZINC000057907403 184061990 /nfs/dbraw/zinc/06/19/90/184061990.db2.gz DECWGWSPKMXSCP-LBPRGKRZSA-N 1 2 306.410 1.769 20 30 DDEDLO Cc1cc(NC[C@H](C)[NH+]2CCN(C)CC2)c(C#N)cc1[N+](=O)[O-] ZINC000413118949 224138318 /nfs/dbraw/zinc/13/83/18/224138318.db2.gz VVLGTZQRKSCKDI-ZDUSSCGKSA-N 1 2 317.393 1.823 20 30 DDEDLO COc1ccc([C@H](C)NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)cc1 ZINC000329629486 533148760 /nfs/dbraw/zinc/14/87/60/533148760.db2.gz VBRBDRDRWJBWSQ-VBNZEHGJSA-N 1 2 319.405 1.685 20 30 DDEDLO COc1ccc([C@H](C)NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)cc1 ZINC000329629486 533148762 /nfs/dbraw/zinc/14/87/62/533148762.db2.gz VBRBDRDRWJBWSQ-VBNZEHGJSA-N 1 2 319.405 1.685 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[NH+](Cc2ccco2)CC1 ZINC000345790296 533238895 /nfs/dbraw/zinc/23/88/95/533238895.db2.gz PAUASCTVRNJGMC-AWEZNQCLSA-N 1 2 316.405 1.348 20 30 DDEDLO CO[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C[C@H]1C ZINC000451576027 533414696 /nfs/dbraw/zinc/41/46/96/533414696.db2.gz TYABDNNGXRWWGJ-TZMCWYRMSA-N 1 2 323.418 1.084 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C[C@H]1C ZINC000451576027 533414701 /nfs/dbraw/zinc/41/47/01/533414701.db2.gz TYABDNNGXRWWGJ-TZMCWYRMSA-N 1 2 323.418 1.084 20 30 DDEDLO COc1cccc(NC(=O)CN2C[C@H]3OCC[N@H+](C)[C@@H]3C2)c1 ZINC000329976747 533590752 /nfs/dbraw/zinc/59/07/52/533590752.db2.gz YYCPKVOPJDHWNM-HUUCEWRRSA-N 1 2 305.378 1.298 20 30 DDEDLO COc1cccc(NC(=O)CN2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)c1 ZINC000329976747 533590760 /nfs/dbraw/zinc/59/07/60/533590760.db2.gz YYCPKVOPJDHWNM-HUUCEWRRSA-N 1 2 305.378 1.298 20 30 DDEDLO COc1cccc(NC(=O)C[N@@H+]2C[C@H]3OCCN(C)[C@@H]3C2)c1 ZINC000329976747 533590765 /nfs/dbraw/zinc/59/07/65/533590765.db2.gz YYCPKVOPJDHWNM-HUUCEWRRSA-N 1 2 305.378 1.298 20 30 DDEDLO COc1cccc(NC(=O)C[N@H+]2C[C@H]3OCCN(C)[C@@H]3C2)c1 ZINC000329976747 533590776 /nfs/dbraw/zinc/59/07/76/533590776.db2.gz YYCPKVOPJDHWNM-HUUCEWRRSA-N 1 2 305.378 1.298 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000341984652 292208889 /nfs/dbraw/zinc/20/88/89/292208889.db2.gz DSHVKNNZRSZBQY-ZBFHGGJFSA-N 1 2 320.437 1.682 20 30 DDEDLO Cc1cc(F)cc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000079844514 407063892 /nfs/dbraw/zinc/06/38/92/407063892.db2.gz YZHQMGOGGBXLLR-UHFFFAOYSA-N 1 2 319.380 1.822 20 30 DDEDLO CC(=O)NC1CC[NH+](CC(=O)Nc2oc(C)c(C)c2C#N)CC1 ZINC000050991401 407134730 /nfs/dbraw/zinc/13/47/30/407134730.db2.gz NWZIJEFLKZACFG-UHFFFAOYSA-N 1 2 318.377 1.307 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[NH+]1CCC(O)(C(F)(F)F)CC1)C1CC1 ZINC000089970125 407162394 /nfs/dbraw/zinc/16/23/94/407162394.db2.gz UFALWTBZQQESFA-LBPRGKRZSA-N 1 2 319.327 1.184 20 30 DDEDLO C=CCCC(=O)NCc1ccccc1OCC[NH+]1CCOCC1 ZINC000102341370 407322175 /nfs/dbraw/zinc/32/21/75/407322175.db2.gz OUTZOFXZJHOPDX-UHFFFAOYSA-N 1 2 318.417 1.980 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)[C@H](C)c1sc(C)nc1C ZINC000106877499 407363884 /nfs/dbraw/zinc/36/38/84/407363884.db2.gz RJIRIJLYCRBCOX-SNVBAGLBSA-N 1 2 310.423 1.765 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)[C@H](C)c1sc(C)nc1C ZINC000106877499 407363885 /nfs/dbraw/zinc/36/38/85/407363885.db2.gz RJIRIJLYCRBCOX-SNVBAGLBSA-N 1 2 310.423 1.765 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2cncc(F)c2)CC1 ZINC000271004293 407464234 /nfs/dbraw/zinc/46/42/34/407464234.db2.gz ZCJSRXCFPAUKQU-CYBMUJFWSA-N 1 2 319.384 1.103 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)/C=C\c2ccc(OCC#N)cc2)C1 ZINC000254943760 407469050 /nfs/dbraw/zinc/46/90/50/407469050.db2.gz QKADZOANZBFNFS-HQQUCIOCSA-N 1 2 315.373 1.049 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)/C=C\c2ccc(OCC#N)cc2)C1 ZINC000254943760 407469079 /nfs/dbraw/zinc/46/90/79/407469079.db2.gz QKADZOANZBFNFS-HQQUCIOCSA-N 1 2 315.373 1.049 20 30 DDEDLO COC(=O)C1(O)CC[NH+](Cc2ccc(OC)c(C#N)c2)CC1 ZINC000271230987 407588519 /nfs/dbraw/zinc/58/85/19/407588519.db2.gz DFDRMFNAYKXMKK-UHFFFAOYSA-N 1 2 304.346 1.067 20 30 DDEDLO N#CCSc1cccc(C(=O)NC[C@@H]2C[NH+]3CCN2CC3)c1 ZINC000178636604 407521910 /nfs/dbraw/zinc/52/19/10/407521910.db2.gz VZPNPNGQNJRFTB-CQSZACIVSA-N 1 2 316.430 1.032 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C#N)cc1F ZINC000171483412 407691962 /nfs/dbraw/zinc/69/19/62/407691962.db2.gz KOGVLTVIBQVTJV-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO C=CCN(C(=O)c1ccc2[nH+]ccn2c1)[C@H]1CCS(=O)(=O)C1 ZINC000171730784 407750006 /nfs/dbraw/zinc/75/00/06/407750006.db2.gz MXYVFGWVLYFSFZ-ZDUSSCGKSA-N 1 2 319.386 1.150 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2C[N@H+](Cc3ccccc3)CCO2)c1 ZINC000267142587 407764200 /nfs/dbraw/zinc/76/42/00/407764200.db2.gz YGAQAEZUMLPEFK-INIZCTEOSA-N 1 2 324.384 1.517 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)c1 ZINC000267142587 407764202 /nfs/dbraw/zinc/76/42/02/407764202.db2.gz YGAQAEZUMLPEFK-INIZCTEOSA-N 1 2 324.384 1.517 20 30 DDEDLO C=CCC[NH+]1CCN(S(=O)(=O)c2ccccc2C#N)CC1 ZINC000132351108 407781159 /nfs/dbraw/zinc/78/11/59/407781159.db2.gz VTRADMBOJAJGTC-UHFFFAOYSA-N 1 2 305.403 1.441 20 30 DDEDLO COCCO[C@@H]1CCC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000179362632 407780050 /nfs/dbraw/zinc/78/00/50/407780050.db2.gz RJXOHWOABRGWPG-MRXNPFEDSA-N 1 2 317.389 1.624 20 30 DDEDLO COCCO[C@@H]1CCC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000179362632 407780058 /nfs/dbraw/zinc/78/00/58/407780058.db2.gz RJXOHWOABRGWPG-MRXNPFEDSA-N 1 2 317.389 1.624 20 30 DDEDLO CCc1nn(C)cc1C[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000179640936 407815870 /nfs/dbraw/zinc/81/58/70/407815870.db2.gz DHHNCRCPKFHUMU-UHFFFAOYSA-N 1 2 310.405 1.571 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000180506260 407893988 /nfs/dbraw/zinc/89/39/88/407893988.db2.gz VQZAEVBKZAAWEL-UHFFFAOYSA-N 1 2 315.295 1.548 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000180506260 407893991 /nfs/dbraw/zinc/89/39/91/407893991.db2.gz VQZAEVBKZAAWEL-UHFFFAOYSA-N 1 2 315.295 1.548 20 30 DDEDLO C[C@H](C(=O)N(C)C)[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000153860334 407919095 /nfs/dbraw/zinc/91/90/95/407919095.db2.gz YRFQBFNEZJXVLN-ZBFHGGJFSA-N 1 2 300.406 1.346 20 30 DDEDLO CC(C)CO[C@H](C)C(N)=[NH+]OCC(=O)N1CCc2ccccc21 ZINC000174219999 407921759 /nfs/dbraw/zinc/92/17/59/407921759.db2.gz UDSJANYSBHOHLS-CYBMUJFWSA-N 1 2 319.405 1.926 20 30 DDEDLO C=CCN(C)C(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000154177168 407988753 /nfs/dbraw/zinc/98/87/53/407988753.db2.gz HVPOFEOXSFVHKX-UHFFFAOYSA-N 1 2 304.390 1.706 20 30 DDEDLO C=CCN(C)C(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000154177168 407988757 /nfs/dbraw/zinc/98/87/57/407988757.db2.gz HVPOFEOXSFVHKX-UHFFFAOYSA-N 1 2 304.390 1.706 20 30 DDEDLO N#CCCCNC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000273108743 408062919 /nfs/dbraw/zinc/06/29/19/408062919.db2.gz PXJQEXVEQLNILK-UHFFFAOYSA-N 1 2 316.405 1.696 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000119549217 408092092 /nfs/dbraw/zinc/09/20/92/408092092.db2.gz KSYPKONRDQJTTI-HNNXBMFYSA-N 1 2 318.483 1.619 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC000268463849 408054977 /nfs/dbraw/zinc/05/49/77/408054977.db2.gz LINQMWFNJZRWLK-OCCSQVGLSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC000268463849 408054981 /nfs/dbraw/zinc/05/49/81/408054981.db2.gz LINQMWFNJZRWLK-OCCSQVGLSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000268463849 408054990 /nfs/dbraw/zinc/05/49/90/408054990.db2.gz LINQMWFNJZRWLK-OCCSQVGLSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000268463849 408054995 /nfs/dbraw/zinc/05/49/95/408054995.db2.gz LINQMWFNJZRWLK-OCCSQVGLSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000268463849 408055000 /nfs/dbraw/zinc/05/50/00/408055000.db2.gz LINQMWFNJZRWLK-OCCSQVGLSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000268463849 408055005 /nfs/dbraw/zinc/05/50/05/408055005.db2.gz LINQMWFNJZRWLK-OCCSQVGLSA-N 1 2 318.425 1.301 20 30 DDEDLO Cc1cncc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)c1 ZINC000189921553 408134672 /nfs/dbraw/zinc/13/46/72/408134672.db2.gz XMHCHAFXIJZKIT-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1cncc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)c1 ZINC000189921553 408134677 /nfs/dbraw/zinc/13/46/77/408134677.db2.gz XMHCHAFXIJZKIT-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@H+](C[C@H](O)c1ccc(C#N)cc1)C1CC1 ZINC000268731937 408178899 /nfs/dbraw/zinc/17/88/99/408178899.db2.gz XMHDBVZTXXMQRA-WBMJQRKESA-N 1 2 322.430 1.489 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@@H+](C[C@H](O)c1ccc(C#N)cc1)C1CC1 ZINC000268731937 408178903 /nfs/dbraw/zinc/17/89/03/408178903.db2.gz XMHDBVZTXXMQRA-WBMJQRKESA-N 1 2 322.430 1.489 20 30 DDEDLO N#Cc1cc(Cl)ccc1S(=O)(=O)NCCn1cc[nH+]c1 ZINC000156172580 408240221 /nfs/dbraw/zinc/24/02/21/408240221.db2.gz DZXXQGTYKRNVRT-UHFFFAOYSA-N 1 2 310.766 1.387 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+](Cc1ccncc1)C1CC1 ZINC000122317331 408279464 /nfs/dbraw/zinc/27/94/64/408279464.db2.gz RLMGUVFVBUTSPN-UHFFFAOYSA-N 1 2 311.389 1.702 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+](Cc1ccncc1)C1CC1 ZINC000122317331 408279472 /nfs/dbraw/zinc/27/94/72/408279472.db2.gz RLMGUVFVBUTSPN-UHFFFAOYSA-N 1 2 311.389 1.702 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)[C@H]2C1 ZINC000158289421 408333699 /nfs/dbraw/zinc/33/36/99/408333699.db2.gz WRDINWMIMMTQEL-AESZEHBQSA-N 1 2 323.437 1.309 20 30 DDEDLO CO[C@@H]1CC[C@@H]2OCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)[C@H]2C1 ZINC000158289421 408333702 /nfs/dbraw/zinc/33/37/02/408333702.db2.gz WRDINWMIMMTQEL-AESZEHBQSA-N 1 2 323.437 1.309 20 30 DDEDLO COC(=O)C1([N@H+](C)CC(=O)N[C@](C)(C#N)C2CC2)CCCC1 ZINC000159238852 408393712 /nfs/dbraw/zinc/39/37/12/408393712.db2.gz AVNAEZQSULXTBP-OAHLLOKOSA-N 1 2 307.394 1.212 20 30 DDEDLO COC(=O)C1([N@@H+](C)CC(=O)N[C@](C)(C#N)C2CC2)CCCC1 ZINC000159238852 408393715 /nfs/dbraw/zinc/39/37/15/408393715.db2.gz AVNAEZQSULXTBP-OAHLLOKOSA-N 1 2 307.394 1.212 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)NCC#Cc1ccccc1 ZINC000264230195 408412055 /nfs/dbraw/zinc/41/20/55/408412055.db2.gz FDPRFIMFEYWOKV-UHFFFAOYSA-N 1 2 301.390 1.012 20 30 DDEDLO COc1ccc(CC(N)=[NH+]O[C@H]2CCCN(C(C)C)C2=O)cc1 ZINC000176860525 408460044 /nfs/dbraw/zinc/46/00/44/408460044.db2.gz GGSHGAWEJUFLEL-HNNXBMFYSA-N 1 2 319.405 1.926 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1CC=C(C(F)(F)F)CC1)C1CC1 ZINC000270232532 408486818 /nfs/dbraw/zinc/48/68/18/408486818.db2.gz UKTZMKMRKSPRIM-CYBMUJFWSA-N 1 2 301.312 1.989 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1CC=C(C(F)(F)F)CC1)C1CC1 ZINC000270232532 408486822 /nfs/dbraw/zinc/48/68/22/408486822.db2.gz UKTZMKMRKSPRIM-CYBMUJFWSA-N 1 2 301.312 1.989 20 30 DDEDLO C[C@@H](C#N)C[NH2+]Cc1ccccc1OCC(=O)N1CCCC1 ZINC000183840126 408498719 /nfs/dbraw/zinc/49/87/19/408498719.db2.gz RRHHAMCPGNFPRZ-AWEZNQCLSA-N 1 2 301.390 1.937 20 30 DDEDLO Cc1cc(=O)[nH]c([C@@H](C)[NH+]2CCN(c3ccc(C#N)cn3)CC2)n1 ZINC000192551675 408609326 /nfs/dbraw/zinc/60/93/26/408609326.db2.gz RYKUSAPXMZPFBZ-CYBMUJFWSA-N 1 2 324.388 1.641 20 30 DDEDLO CCC[C@H](C)NC(=O)[C@@H](C)O[NH+]=C(N)Cc1csc(C)n1 ZINC000177476477 408634719 /nfs/dbraw/zinc/63/47/19/408634719.db2.gz RXKNLOLQDAPSPY-VHSXEESVSA-N 1 2 312.439 1.976 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2C[N@@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000248806978 408638196 /nfs/dbraw/zinc/63/81/96/408638196.db2.gz GZZLYEIKABZPIF-LNCBFAARSA-N 1 2 321.421 1.314 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2C[N@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000248806978 408638199 /nfs/dbraw/zinc/63/81/99/408638199.db2.gz GZZLYEIKABZPIF-LNCBFAARSA-N 1 2 321.421 1.314 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2nc([C@H](C)OC)no2)cc1 ZINC000177555528 408657477 /nfs/dbraw/zinc/65/74/77/408657477.db2.gz NDTZFGSLRNEXRV-VIFPVBQESA-N 1 2 306.322 1.623 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000275994535 408742893 /nfs/dbraw/zinc/74/28/93/408742893.db2.gz OBSSPOOBUIGECF-YOEHRIQHSA-N 1 2 320.437 1.464 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000177741571 408697564 /nfs/dbraw/zinc/69/75/64/408697564.db2.gz CFFBGFYAXCHOPD-HZPDHXFCSA-N 1 2 320.414 1.243 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000177741571 408697568 /nfs/dbraw/zinc/69/75/68/408697568.db2.gz CFFBGFYAXCHOPD-HZPDHXFCSA-N 1 2 320.414 1.243 20 30 DDEDLO CC[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1O ZINC000270831902 408698915 /nfs/dbraw/zinc/69/89/15/408698915.db2.gz CUAUWKBTZDXOBB-UKRRQHHQSA-N 1 2 308.403 1.035 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1O ZINC000270831902 408698921 /nfs/dbraw/zinc/69/89/21/408698921.db2.gz CUAUWKBTZDXOBB-UKRRQHHQSA-N 1 2 308.403 1.035 20 30 DDEDLO C#CCC(C)(C)C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000185283710 408791660 /nfs/dbraw/zinc/79/16/60/408791660.db2.gz XMLOTBNXPKCKPA-MRXNPFEDSA-N 1 2 310.463 1.360 20 30 DDEDLO COC(=O)[C@@H]1[C@@H](O)CCC[N@@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000249778790 408764022 /nfs/dbraw/zinc/76/40/22/408764022.db2.gz KXSLHMNHAYVTDG-KCXAZCMYSA-N 1 2 318.373 1.476 20 30 DDEDLO COC(=O)[C@@H]1[C@@H](O)CCC[N@H+]1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000249778790 408764028 /nfs/dbraw/zinc/76/40/28/408764028.db2.gz KXSLHMNHAYVTDG-KCXAZCMYSA-N 1 2 318.373 1.476 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc([S@](C)=O)cc2)C1=O ZINC000281223213 408875958 /nfs/dbraw/zinc/87/59/58/408875958.db2.gz KLMGDNYLKSXYJB-VFNWGFHPSA-N 1 2 306.431 1.643 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc([S@](C)=O)cc2)C1=O ZINC000281223213 408875960 /nfs/dbraw/zinc/87/59/60/408875960.db2.gz KLMGDNYLKSXYJB-VFNWGFHPSA-N 1 2 306.431 1.643 20 30 DDEDLO C[C@@H](O)[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000167746409 408817960 /nfs/dbraw/zinc/81/79/60/408817960.db2.gz UZJKRIRWPGONCQ-TZMCWYRMSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H](O)[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000167746409 408817961 /nfs/dbraw/zinc/81/79/61/408817961.db2.gz UZJKRIRWPGONCQ-TZMCWYRMSA-N 1 2 308.403 1.035 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CCN(C(=O)C=Cc2c[nH]c[nH+]2)CC1 ZINC000277281101 408912076 /nfs/dbraw/zinc/91/20/76/408912076.db2.gz CFGSYIWDWHSZBJ-DKRLNXSXSA-N 1 2 321.384 1.832 20 30 DDEDLO Cc1ncc(C[NH+]2CCN(Cc3cc(C#N)n(C)c3)CC2)s1 ZINC000191334653 163180932 /nfs/dbraw/zinc/18/09/32/163180932.db2.gz MRYWVBMUUOVXNB-UHFFFAOYSA-N 1 2 315.446 1.980 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1Br)[C@@H]1COC[C@H]1O ZINC000286106553 408925355 /nfs/dbraw/zinc/92/53/55/408925355.db2.gz UFKXHMXVYMDAOA-CHWSQXEVSA-N 1 2 311.179 1.512 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1Br)[C@@H]1COC[C@H]1O ZINC000286106553 408925358 /nfs/dbraw/zinc/92/53/58/408925358.db2.gz UFKXHMXVYMDAOA-CHWSQXEVSA-N 1 2 311.179 1.512 20 30 DDEDLO Cc1cc(S(=O)(=O)N2C[C@@H](C)[NH+](C)[C@H](C)C2)ccc1C#N ZINC000235356810 163355353 /nfs/dbraw/zinc/35/53/53/163355353.db2.gz YOVXYQLDFAYHDB-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO C[C@@H]1CCN(C(=O)C(=O)NCCCCC#N)C[C@H]1n1cc[nH+]c1 ZINC000286342794 408968358 /nfs/dbraw/zinc/96/83/58/408968358.db2.gz BEKRRFVCBDLWSF-ZIAGYGMSSA-N 1 2 317.393 1.103 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](Cc3nnc4n3CCCC4)C2)nc1 ZINC000292117024 408983212 /nfs/dbraw/zinc/98/32/12/408983212.db2.gz HRVMHYGBTCUCLI-AWEZNQCLSA-N 1 2 324.388 1.534 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](Cc3nnc4n3CCCC4)C2)nc1 ZINC000292117024 408983213 /nfs/dbraw/zinc/98/32/13/408983213.db2.gz HRVMHYGBTCUCLI-AWEZNQCLSA-N 1 2 324.388 1.534 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1Cc2ccccc2O[C@H](C)C1 ZINC000292120461 408984753 /nfs/dbraw/zinc/98/47/53/408984753.db2.gz AJSWLRYOQQTNJA-CHWSQXEVSA-N 1 2 317.389 1.670 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1Cc2ccccc2O[C@H](C)C1 ZINC000292120461 408984755 /nfs/dbraw/zinc/98/47/55/408984755.db2.gz AJSWLRYOQQTNJA-CHWSQXEVSA-N 1 2 317.389 1.670 20 30 DDEDLO CC#CCNC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000292505866 409033497 /nfs/dbraw/zinc/03/34/97/409033497.db2.gz RHPTZBCQXXQWAM-INIZCTEOSA-N 1 2 301.390 1.210 20 30 DDEDLO CC#CCNC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000292505866 409033499 /nfs/dbraw/zinc/03/34/99/409033499.db2.gz RHPTZBCQXXQWAM-INIZCTEOSA-N 1 2 301.390 1.210 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCc2cc(OC)c(Cl)cc2C1 ZINC000293271944 409080050 /nfs/dbraw/zinc/08/00/50/409080050.db2.gz UXUFUTRAUBMWMI-LLVKDONJSA-N 1 2 306.793 1.845 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCc2cc(OC)c(Cl)cc2C1 ZINC000293271944 409080053 /nfs/dbraw/zinc/08/00/53/409080053.db2.gz UXUFUTRAUBMWMI-LLVKDONJSA-N 1 2 306.793 1.845 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000293274501 409080232 /nfs/dbraw/zinc/08/02/32/409080232.db2.gz VCCVFNMEIASQTP-UHFFFAOYSA-N 1 2 305.290 1.217 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000293274501 409080234 /nfs/dbraw/zinc/08/02/34/409080234.db2.gz VCCVFNMEIASQTP-UHFFFAOYSA-N 1 2 305.290 1.217 20 30 DDEDLO N#Cc1cc(C[N@@H+]2CCC[C@@H](c3n[nH]c(=O)o3)C2)ccc1F ZINC000283633188 409186956 /nfs/dbraw/zinc/18/69/56/409186956.db2.gz ADMDJWSXUJDAIQ-LLVKDONJSA-N 1 2 302.309 1.753 20 30 DDEDLO N#Cc1cc(C[N@H+]2CCC[C@@H](c3n[nH]c(=O)o3)C2)ccc1F ZINC000283633188 409186958 /nfs/dbraw/zinc/18/69/58/409186958.db2.gz ADMDJWSXUJDAIQ-LLVKDONJSA-N 1 2 302.309 1.753 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)Sc1nc(N)cc(CC)[nH+]1 ZINC000279088196 409146609 /nfs/dbraw/zinc/14/66/09/409146609.db2.gz NAUYUINJBOCCLG-QMMMGPOBSA-N 1 2 309.395 1.114 20 30 DDEDLO Cn1cncc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000289209308 409233069 /nfs/dbraw/zinc/23/30/69/409233069.db2.gz WIADSBOIQZYFGY-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO Cc1ccc(CNC(=O)CO[NH+]=C(N)[C@H]2CCCO2)cc1F ZINC000284042179 409263929 /nfs/dbraw/zinc/26/39/29/409263929.db2.gz IZZQSTKZOITEKT-CYBMUJFWSA-N 1 2 309.341 1.218 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3cccc(C#N)c3)C[C@H]21 ZINC000284130254 409281764 /nfs/dbraw/zinc/28/17/64/409281764.db2.gz PEHDCRCQQKWTGP-SDKBWNRFSA-N 1 2 311.385 1.503 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3cccc(C#N)c3)C[C@H]21 ZINC000284130254 409281768 /nfs/dbraw/zinc/28/17/68/409281768.db2.gz PEHDCRCQQKWTGP-SDKBWNRFSA-N 1 2 311.385 1.503 20 30 DDEDLO C=CCN1CC[C@@H](O[NH+]=C(N)Cc2ccc(OC)cc2)C1=O ZINC000289411544 409266389 /nfs/dbraw/zinc/26/63/89/409266389.db2.gz PKVLGXOWEQUVIB-CQSZACIVSA-N 1 2 303.362 1.313 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCc1[nH+]ccn1CCc1ccccc1 ZINC000295175479 409357074 /nfs/dbraw/zinc/35/70/74/409357074.db2.gz SEZFRMWJTCZZQQ-OAHLLOKOSA-N 1 2 311.385 1.780 20 30 DDEDLO C=CCn1c([C@@H]2CCCO2)nnc1N(C)CC[NH+]1CCOCC1 ZINC000295987664 409391146 /nfs/dbraw/zinc/39/11/46/409391146.db2.gz PFIIXIIRQVEAHB-AWEZNQCLSA-N 1 2 321.425 1.084 20 30 DDEDLO C=CCCS(=O)(=O)N[C@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000285249200 409405152 /nfs/dbraw/zinc/40/51/52/409405152.db2.gz YYEPBPLRLLEZKQ-ZDUSSCGKSA-N 1 2 313.423 1.383 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(c2cccnc2C#N)CC1 ZINC000290501383 409423485 /nfs/dbraw/zinc/42/34/85/409423485.db2.gz AUDHCBPXUVIXGC-AWEZNQCLSA-N 1 2 313.405 1.086 20 30 DDEDLO C[C@@H]1CC[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C[C@@H]1O ZINC000305758327 164003234 /nfs/dbraw/zinc/00/32/34/164003234.db2.gz GLEPZXXBNLUVAE-WXHSDQCUSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@@H]1CC[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C[C@@H]1O ZINC000305758327 164003235 /nfs/dbraw/zinc/00/32/35/164003235.db2.gz GLEPZXXBNLUVAE-WXHSDQCUSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@H](c1nc(Cc2cn3ccccc3[nH+]2)no1)n1cnc(C#N)n1 ZINC000345801815 409735948 /nfs/dbraw/zinc/73/59/48/409735948.db2.gz QJKDDCMSIKEOPM-SNVBAGLBSA-N 1 2 320.316 1.381 20 30 DDEDLO C=CCOCC(=O)N[C@H](C[NH+]1CCOCC1)c1ccc(C)cc1 ZINC000354310429 409754236 /nfs/dbraw/zinc/75/42/36/409754236.db2.gz CCTYHPNPXUBPJK-QGZVFWFLSA-N 1 2 318.417 1.687 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+]([C@@H](C)c3cccnc3)CC2)c1C#N ZINC000349859179 409910906 /nfs/dbraw/zinc/91/09/06/409910906.db2.gz YYGKJUXZRKSMEB-AWEZNQCLSA-N 1 2 310.405 1.878 20 30 DDEDLO C#Cc1ccc(CNC(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)cc1 ZINC000297720973 409971224 /nfs/dbraw/zinc/97/12/24/409971224.db2.gz SLSRVMTVRAURCC-HNNXBMFYSA-N 1 2 315.417 1.530 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3scnc3C3CC3)C[C@@H]21 ZINC000328961408 410022251 /nfs/dbraw/zinc/02/22/51/410022251.db2.gz KRPYVASPWLYDEB-NWDGAFQWSA-N 1 2 322.434 1.971 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3scnc3C3CC3)C[C@@H]21 ZINC000328961408 410022255 /nfs/dbraw/zinc/02/22/55/410022255.db2.gz KRPYVASPWLYDEB-NWDGAFQWSA-N 1 2 322.434 1.971 20 30 DDEDLO CCOc1cc(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)ccc1C ZINC000329130503 410118581 /nfs/dbraw/zinc/11/85/81/410118581.db2.gz OKYGRPIERGATKR-HOCLYGCPSA-N 1 2 319.405 1.954 20 30 DDEDLO CCOc1cc(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)ccc1C ZINC000329130503 410118587 /nfs/dbraw/zinc/11/85/87/410118587.db2.gz OKYGRPIERGATKR-HOCLYGCPSA-N 1 2 319.405 1.954 20 30 DDEDLO COC[C@@H](C(N)=O)[N@H+](C)Cc1ccc(C#N)cc1OC(F)F ZINC000339361233 410126889 /nfs/dbraw/zinc/12/68/89/410126889.db2.gz WCKZHCPPRKVGGS-NSHDSACASA-N 1 2 313.304 1.092 20 30 DDEDLO COC[C@@H](C(N)=O)[N@@H+](C)Cc1ccc(C#N)cc1OC(F)F ZINC000339361233 410126893 /nfs/dbraw/zinc/12/68/93/410126893.db2.gz WCKZHCPPRKVGGS-NSHDSACASA-N 1 2 313.304 1.092 20 30 DDEDLO Cc1nc(C)n(C[C@@H]2C[N@H+]([C@@H](C)C(=O)NC3CC3)CCO2)n1 ZINC000329142864 410127437 /nfs/dbraw/zinc/12/74/37/410127437.db2.gz LQGWEZWOGUMCBK-HZMBPMFUSA-N 1 2 307.398 1.103 20 30 DDEDLO Cc1nc(C)n(C[C@@H]2C[N@@H+]([C@@H](C)C(=O)NC3CC3)CCO2)n1 ZINC000329142864 410127439 /nfs/dbraw/zinc/12/74/39/410127439.db2.gz LQGWEZWOGUMCBK-HZMBPMFUSA-N 1 2 307.398 1.103 20 30 DDEDLO N#Cc1ccc(NC(=O)c2cccc(Cn3cc[nH+]c3)c2)nc1 ZINC000346861711 410205813 /nfs/dbraw/zinc/20/58/13/410205813.db2.gz RWNXKRCDNQNJIJ-UHFFFAOYSA-N 1 2 303.325 2.450 20 30 DDEDLO COC1(C)CN(C(=O)N[C@H](c2[nH+]ccn2C)C2CCOCC2)C1 ZINC000329346633 410245804 /nfs/dbraw/zinc/24/58/04/410245804.db2.gz RKTXSGQIWBHIFE-ZDUSSCGKSA-N 1 2 322.409 1.523 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)N[C@@H]1CCCOCC1 ZINC000329541171 410349164 /nfs/dbraw/zinc/34/91/64/410349164.db2.gz NGMHNTDDZZNPNM-KFWWJZLASA-N 1 2 311.426 1.265 20 30 DDEDLO CN(C)C(=O)[C@H]1CC[C@@H](C(=O)NCc2cn3c([nH+]2)CCCC3)O1 ZINC000329428985 410293080 /nfs/dbraw/zinc/29/30/80/410293080.db2.gz JQHNWCCTQGCCJL-QWHCGFSZSA-N 1 2 320.393 1.312 20 30 DDEDLO CC1CC[NH+](CC(=O)NC[C@H]2CCCCS2(=O)=O)CC1 ZINC000329546596 410354113 /nfs/dbraw/zinc/35/41/13/410354113.db2.gz IDUVMULRYNLEIC-CYBMUJFWSA-N 1 2 302.440 1.642 20 30 DDEDLO C[N@H+](Cc1ccc(Br)cc1C#N)[C@H]1COC[C@H]1O ZINC000347134974 410354917 /nfs/dbraw/zinc/35/49/17/410354917.db2.gz OMXHEVDUWKAJDQ-QWHCGFSZSA-N 1 2 311.179 1.512 20 30 DDEDLO C[N@@H+](Cc1ccc(Br)cc1C#N)[C@H]1COC[C@H]1O ZINC000347134974 410354921 /nfs/dbraw/zinc/35/49/21/410354921.db2.gz OMXHEVDUWKAJDQ-QWHCGFSZSA-N 1 2 311.179 1.512 20 30 DDEDLO CC(C)C[C@@H](CNC(=O)c1cnccc1C#N)[NH+]1CCOCC1 ZINC000358386067 410457411 /nfs/dbraw/zinc/45/74/11/410457411.db2.gz PYDWJIMINIYPSL-HNNXBMFYSA-N 1 2 316.405 1.430 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000358528189 410478887 /nfs/dbraw/zinc/47/88/87/410478887.db2.gz AABHEKSWECBWJJ-LBPRGKRZSA-N 1 2 304.325 1.358 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@@H+]1C[C@@]2(CCOC2)OC(C)(C)C1)C1CC1 ZINC000330165455 410568143 /nfs/dbraw/zinc/56/81/43/410568143.db2.gz WZPWIQCNZYOSTM-IAGOWNOFSA-N 1 2 321.421 1.905 20 30 DDEDLO C[C@](C#N)(NC(=O)C[N@H+]1C[C@@]2(CCOC2)OC(C)(C)C1)C1CC1 ZINC000330165455 410568148 /nfs/dbraw/zinc/56/81/48/410568148.db2.gz WZPWIQCNZYOSTM-IAGOWNOFSA-N 1 2 321.421 1.905 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000299280273 410586498 /nfs/dbraw/zinc/58/64/98/410586498.db2.gz BNABZNCCDQUZJX-AWEZNQCLSA-N 1 2 319.405 1.516 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(c2snc(Cl)c2C#N)CC1 ZINC000301182948 410672709 /nfs/dbraw/zinc/67/27/09/410672709.db2.gz CDEVFYDGNKFYCV-UHFFFAOYSA-N 1 2 322.825 1.724 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN([C@@H](C#N)c2cccc(Cl)c2)CC1 ZINC000352364778 410651551 /nfs/dbraw/zinc/65/15/51/410651551.db2.gz IJOYVGBWMKXALO-HNNXBMFYSA-N 1 2 320.824 1.610 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNc2cnc(C#N)cn2)cn1 ZINC000359658396 410798642 /nfs/dbraw/zinc/79/86/42/410798642.db2.gz CVQVNMMZEJEGLK-JSGCOSHPSA-N 1 2 315.356 1.106 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNc2cnc(C#N)cn2)cn1 ZINC000359658396 410798651 /nfs/dbraw/zinc/79/86/51/410798651.db2.gz CVQVNMMZEJEGLK-JSGCOSHPSA-N 1 2 315.356 1.106 20 30 DDEDLO Cc1cc(N2CCN(c3ccc(C#N)nn3)CC2)nc(C(C)C)[nH+]1 ZINC000301837664 410809894 /nfs/dbraw/zinc/80/98/94/410809894.db2.gz MVBCQBUIXFZWLH-UHFFFAOYSA-N 1 2 323.404 1.897 20 30 DDEDLO COC(=O)c1ccc(C[NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)o1 ZINC000360068408 411028976 /nfs/dbraw/zinc/02/89/76/411028976.db2.gz ISQZVJTVGZYXCD-CQSZACIVSA-N 1 2 313.313 1.254 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+](C)C[C@H]1CCS(=O)(=O)C1 ZINC000331246516 411038342 /nfs/dbraw/zinc/03/83/42/411038342.db2.gz MLBYOMHOCXIMTM-CYBMUJFWSA-N 1 2 308.403 1.433 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+](C)C[C@H]1CCS(=O)(=O)C1 ZINC000331246516 411038346 /nfs/dbraw/zinc/03/83/46/411038346.db2.gz MLBYOMHOCXIMTM-CYBMUJFWSA-N 1 2 308.403 1.433 20 30 DDEDLO N#C[C@@H]1CN(Cc2[nH+]ccn2CC(F)(F)F)CCN1C1CC1 ZINC000360092495 411043786 /nfs/dbraw/zinc/04/37/86/411043786.db2.gz JPDLKQOYTVOGCF-GFCCVEGCSA-N 1 2 313.327 1.618 20 30 DDEDLO CC(C)[C@H](C(=O)Nc1n[nH]cc1C#N)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000601640641 416623845 /nfs/dbraw/zinc/62/38/45/416623845.db2.gz JWNXWYCVKAHTPC-NQBHXWOUSA-N 1 2 305.382 1.354 20 30 DDEDLO N#CC1(CNC(=O)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CCC1 ZINC000419819223 226383201 /nfs/dbraw/zinc/38/32/01/226383201.db2.gz PTBDREGTBCQFEK-UHFFFAOYSA-N 1 2 323.356 1.621 20 30 DDEDLO CCOC(=O)[C@H](CC)[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000366445630 418473942 /nfs/dbraw/zinc/47/39/42/418473942.db2.gz WIDBCIITSGISEX-KGLIPLIRSA-N 1 2 303.362 1.748 20 30 DDEDLO CCOC(=O)[C@H](CC)[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000366445630 418473944 /nfs/dbraw/zinc/47/39/44/418473944.db2.gz WIDBCIITSGISEX-KGLIPLIRSA-N 1 2 303.362 1.748 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@H](C)O[C@@]2(CCCOC2)C1 ZINC000366573811 418492616 /nfs/dbraw/zinc/49/26/16/418492616.db2.gz NIBVDYMZVXVQSI-HOCLYGCPSA-N 1 2 309.410 1.018 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C)O[C@@]2(CCCOC2)C1 ZINC000366573811 418492617 /nfs/dbraw/zinc/49/26/17/418492617.db2.gz NIBVDYMZVXVQSI-HOCLYGCPSA-N 1 2 309.410 1.018 20 30 DDEDLO C[C@@H](c1cnn(C)c1)[N@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000180424344 418519588 /nfs/dbraw/zinc/51/95/88/418519588.db2.gz FMBRNOZYSSVZHH-ZDUSSCGKSA-N 1 2 303.410 1.756 20 30 DDEDLO C[C@@H](c1cnn(C)c1)[N@@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000180424344 418519591 /nfs/dbraw/zinc/51/95/91/418519591.db2.gz FMBRNOZYSSVZHH-ZDUSSCGKSA-N 1 2 303.410 1.756 20 30 DDEDLO O=C(c1ccc(=O)[nH]c1)N1CC[NH+](CC#Cc2ccccc2)CC1 ZINC000192124389 222121514 /nfs/dbraw/zinc/12/15/14/222121514.db2.gz SQLRXUNFKFATBP-UHFFFAOYSA-N 1 2 321.380 1.184 20 30 DDEDLO C=CCOC[C@@H](NCc1c[nH+]c2cccc(C)n12)C(=O)OC ZINC000361106604 418583957 /nfs/dbraw/zinc/58/39/57/418583957.db2.gz FSKHJRGKLKMQMM-CQSZACIVSA-N 1 2 303.362 1.477 20 30 DDEDLO CC(C)c1[nH+]c2c(n1C)CCN(S(=O)(=O)CCCCC#N)C2 ZINC000264914428 222337000 /nfs/dbraw/zinc/33/70/00/222337000.db2.gz GBGPHGKEIDZMKB-UHFFFAOYSA-N 1 2 324.450 1.925 20 30 DDEDLO [O-]C(=[NH+]C[C@H]1CCCn2cc[nH+]c21)N1CCn2ccnc2C1 ZINC000328598585 418605960 /nfs/dbraw/zinc/60/59/60/418605960.db2.gz BGKVLVDSINIIOZ-GFCCVEGCSA-N 1 2 300.366 1.387 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCCN(c2cccc[nH+]2)CC1)C(C)C ZINC000377283897 418708338 /nfs/dbraw/zinc/70/83/38/418708338.db2.gz VYMMPCFQYWBHAN-OAHLLOKOSA-N 1 2 300.406 1.961 20 30 DDEDLO C#CCCCCNC(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC000378113747 418719229 /nfs/dbraw/zinc/71/92/29/418719229.db2.gz JUOWBRCJAYOBFH-UHFFFAOYSA-N 1 2 322.453 1.032 20 30 DDEDLO C#CCCCCNC(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC000378113747 418719232 /nfs/dbraw/zinc/71/92/32/418719232.db2.gz JUOWBRCJAYOBFH-UHFFFAOYSA-N 1 2 322.453 1.032 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@@H+](Cc2nc(C)c(C)o2)CC1 ZINC000378280776 418720485 /nfs/dbraw/zinc/72/04/85/418720485.db2.gz OLFQAOKFGIKBHR-UHFFFAOYSA-N 1 2 319.405 1.366 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@H+](Cc2nc(C)c(C)o2)CC1 ZINC000378280776 418720489 /nfs/dbraw/zinc/72/04/89/418720489.db2.gz OLFQAOKFGIKBHR-UHFFFAOYSA-N 1 2 319.405 1.366 20 30 DDEDLO Cc1cc(C)n(Cc2cccc(C(N)=[NH+]OCCCO)c2)n1 ZINC000270825593 222445584 /nfs/dbraw/zinc/44/55/84/222445584.db2.gz ZPNJCAUNUIGEAP-UHFFFAOYSA-N 1 2 302.378 1.568 20 30 DDEDLO C=CCOCCNC(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC000368016494 418675112 /nfs/dbraw/zinc/67/51/12/418675112.db2.gz BWUAIWUBEMNDOD-UHFFFAOYSA-N 1 2 324.450 1.609 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1CCOC[C@H]1C1CC1)Cc1ccccc1 ZINC000375855067 418689732 /nfs/dbraw/zinc/68/97/32/418689732.db2.gz YEDAKGNPUJGBOB-SFHVURJKSA-N 1 2 312.413 1.759 20 30 DDEDLO C#CC[N@H+](CC(=O)N1CCOC[C@H]1C1CC1)Cc1ccccc1 ZINC000375855067 418689735 /nfs/dbraw/zinc/68/97/35/418689735.db2.gz YEDAKGNPUJGBOB-SFHVURJKSA-N 1 2 312.413 1.759 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCN1CCC(C#N)(c2ccccn2)CC1 ZINC000369203390 418730024 /nfs/dbraw/zinc/73/00/24/418730024.db2.gz CBCXWUGWVMBVIC-INIZCTEOSA-N 1 2 314.433 1.659 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCN1CCC(C#N)(c2ccccn2)CC1 ZINC000369203390 418730025 /nfs/dbraw/zinc/73/00/25/418730025.db2.gz CBCXWUGWVMBVIC-INIZCTEOSA-N 1 2 314.433 1.659 20 30 DDEDLO C#CCCNC(=O)N[C@H](c1[nH+]ccn1C)c1cccc(OC)c1 ZINC000369288465 418732474 /nfs/dbraw/zinc/73/24/74/418732474.db2.gz QRHNKDADEBPZCD-HNNXBMFYSA-N 1 2 312.373 1.841 20 30 DDEDLO NS(=O)(=O)[C@@H]1CCC[N@H+](CC#Cc2cccc(Cl)c2)C1 ZINC000370328112 418743432 /nfs/dbraw/zinc/74/34/32/418743432.db2.gz VXGPXRBLHGHICN-CQSZACIVSA-N 1 2 312.822 1.444 20 30 DDEDLO NS(=O)(=O)[C@@H]1CCC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC000370328112 418743435 /nfs/dbraw/zinc/74/34/35/418743435.db2.gz VXGPXRBLHGHICN-CQSZACIVSA-N 1 2 312.822 1.444 20 30 DDEDLO CCCN(CC#N)C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000362584360 418756734 /nfs/dbraw/zinc/75/67/34/418756734.db2.gz UFXQGZBPESGUHR-AWEZNQCLSA-N 1 2 319.409 1.245 20 30 DDEDLO CCCN(CC#N)C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000362584360 418756735 /nfs/dbraw/zinc/75/67/35/418756735.db2.gz UFXQGZBPESGUHR-AWEZNQCLSA-N 1 2 319.409 1.245 20 30 DDEDLO C=C(Br)C[N@H+]1CCc2nn(CCC)c(=O)cc2C1 ZINC000362906082 418761104 /nfs/dbraw/zinc/76/11/04/418761104.db2.gz MOPUMRWQNBIFED-UHFFFAOYSA-N 1 2 312.211 1.920 20 30 DDEDLO C=C(Br)C[N@@H+]1CCc2nn(CCC)c(=O)cc2C1 ZINC000362906082 418761106 /nfs/dbraw/zinc/76/11/06/418761106.db2.gz MOPUMRWQNBIFED-UHFFFAOYSA-N 1 2 312.211 1.920 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccc(OC)cc1 ZINC000364319984 418775303 /nfs/dbraw/zinc/77/53/03/418775303.db2.gz UZXSEVLAXAHFCU-DOMZBBRYSA-N 1 2 312.373 1.839 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000365288699 418849640 /nfs/dbraw/zinc/84/96/40/418849640.db2.gz CMCJSTRGRMLXOK-OAHLLOKOSA-N 1 2 318.421 1.994 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000365288699 418849642 /nfs/dbraw/zinc/84/96/42/418849642.db2.gz CMCJSTRGRMLXOK-OAHLLOKOSA-N 1 2 318.421 1.994 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@H]2CCN(c3sccc3C#N)C2=O)n1 ZINC000411519124 418911793 /nfs/dbraw/zinc/91/17/93/418911793.db2.gz LGJOFCZIDLHIOR-KCJUWKMLSA-N 1 2 317.374 1.767 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000420988361 419421166 /nfs/dbraw/zinc/42/11/66/419421166.db2.gz QFFZNANNHBGRBD-CYBMUJFWSA-N 1 2 309.316 1.870 20 30 DDEDLO CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000420988361 419421172 /nfs/dbraw/zinc/42/11/72/419421172.db2.gz QFFZNANNHBGRBD-CYBMUJFWSA-N 1 2 309.316 1.870 20 30 DDEDLO COC(=O)[C@H](C1CC1)[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000428786231 419932487 /nfs/dbraw/zinc/93/24/87/419932487.db2.gz HLOQDTCWVRUZPF-ZFWWWQNUSA-N 1 2 301.346 1.358 20 30 DDEDLO COC(=O)[C@H](C1CC1)[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000428786231 419932491 /nfs/dbraw/zinc/93/24/91/419932491.db2.gz HLOQDTCWVRUZPF-ZFWWWQNUSA-N 1 2 301.346 1.358 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)OCCC2=O ZINC000416407858 420361967 /nfs/dbraw/zinc/36/19/67/420361967.db2.gz MOAXLDNHEKUGNJ-GFCCVEGCSA-N 1 2 304.346 1.237 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)OCCC2=O ZINC000416407858 420361969 /nfs/dbraw/zinc/36/19/69/420361969.db2.gz MOAXLDNHEKUGNJ-GFCCVEGCSA-N 1 2 304.346 1.237 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1C[C@@H](C)[N@H+](Cc2ccccc2)C[C@@H]1C ZINC000416449369 420376411 /nfs/dbraw/zinc/37/64/11/420376411.db2.gz JOZQJQDMDUWXIP-ILXRZTDVSA-N 1 2 321.446 1.823 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1C[C@@H](C)[N@@H+](Cc2ccccc2)C[C@@H]1C ZINC000416449369 420376416 /nfs/dbraw/zinc/37/64/16/420376416.db2.gz JOZQJQDMDUWXIP-ILXRZTDVSA-N 1 2 321.446 1.823 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](c2nnc[nH]2)C1 ZINC000425528189 420409779 /nfs/dbraw/zinc/40/97/79/420409779.db2.gz CJZJZUCHHHRGRN-UONOGXRCSA-N 1 2 311.345 1.380 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](c2nnc[nH]2)C1 ZINC000425528189 420409783 /nfs/dbraw/zinc/40/97/83/420409783.db2.gz CJZJZUCHHHRGRN-UONOGXRCSA-N 1 2 311.345 1.380 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC1CC1)C[C@@H]1C[N@H+](C)CCO1 ZINC000450905034 420557497 /nfs/dbraw/zinc/55/74/97/420557497.db2.gz GOYPHBSYPXSABI-XHSDSOJGSA-N 1 2 308.422 1.147 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC1CC1)C[C@@H]1C[N@@H+](C)CCO1 ZINC000450905034 420557498 /nfs/dbraw/zinc/55/74/98/420557498.db2.gz GOYPHBSYPXSABI-XHSDSOJGSA-N 1 2 308.422 1.147 20 30 DDEDLO COc1cc(/C=C/C(=O)N[C@](C)(C#N)C[NH+](C)C)ccc1F ZINC000493119969 420762285 /nfs/dbraw/zinc/76/22/85/420762285.db2.gz PSCHLKCUTRBCIQ-IQIBNGDESA-N 1 2 305.353 1.808 20 30 DDEDLO N#C[C@@H]1N(C(=O)/C=C/c2[nH]cc[nH+]2)CCC[C@]12CCCCO2 ZINC000493307223 420814269 /nfs/dbraw/zinc/81/42/69/420814269.db2.gz WELJXQXVMKEGKI-KJJZUGDZSA-N 1 2 300.362 1.877 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C\c1ccccc1[N+](=O)[O-] ZINC000493826589 420950721 /nfs/dbraw/zinc/95/07/21/420950721.db2.gz RMYHYYLEYKJCCF-WHLNPGIBSA-N 1 2 302.334 1.568 20 30 DDEDLO N#Cc1cccc(/C=C/C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000493730370 420926025 /nfs/dbraw/zinc/92/60/25/420926025.db2.gz VWDQQIGTTZSZEN-FKFPMXNCSA-N 1 2 311.385 1.551 20 30 DDEDLO N#Cc1cccc(/C=C/C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000493730370 420926028 /nfs/dbraw/zinc/92/60/28/420926028.db2.gz VWDQQIGTTZSZEN-FKFPMXNCSA-N 1 2 311.385 1.551 20 30 DDEDLO Cc1cc(CN(CCC#N)CC[NH+]2CCOCC2)ccc1C#N ZINC000488442560 421091942 /nfs/dbraw/zinc/09/19/42/421091942.db2.gz TZJHFTSLCWVWRY-UHFFFAOYSA-N 1 2 312.417 1.915 20 30 DDEDLO CCOC(=O)N1CCN(C(=O)[C@@H](C)[N@H+](C)CC(C)(C)C#N)CC1 ZINC000495854440 421075243 /nfs/dbraw/zinc/07/52/43/421075243.db2.gz FTBDVJHEYBPLBY-CYBMUJFWSA-N 1 2 324.425 1.157 20 30 DDEDLO CCOC(=O)N1CCN(C(=O)[C@@H](C)[N@@H+](C)CC(C)(C)C#N)CC1 ZINC000495854440 421075245 /nfs/dbraw/zinc/07/52/45/421075245.db2.gz FTBDVJHEYBPLBY-CYBMUJFWSA-N 1 2 324.425 1.157 20 30 DDEDLO COCC[N@H+](CC(=O)Nc1sccc1C#N)[C@H]1CCO[C@@H]1C ZINC000489463840 421158250 /nfs/dbraw/zinc/15/82/50/421158250.db2.gz AHPDKGODRBFNQR-YPMHNXCESA-N 1 2 323.418 1.684 20 30 DDEDLO COCC[N@@H+](CC(=O)Nc1sccc1C#N)[C@H]1CCO[C@@H]1C ZINC000489463840 421158252 /nfs/dbraw/zinc/15/82/52/421158252.db2.gz AHPDKGODRBFNQR-YPMHNXCESA-N 1 2 323.418 1.684 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)N1CCOc2ccccc21 ZINC000491623822 421200637 /nfs/dbraw/zinc/20/06/37/421200637.db2.gz QPKNIARNNHSHCH-AWEZNQCLSA-N 1 2 300.358 1.136 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)N1CCOc2ccccc21 ZINC000491623822 421200640 /nfs/dbraw/zinc/20/06/40/421200640.db2.gz QPKNIARNNHSHCH-AWEZNQCLSA-N 1 2 300.358 1.136 20 30 DDEDLO C[C@@]1(CO)CCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000545398546 421258107 /nfs/dbraw/zinc/25/81/07/421258107.db2.gz RVDRZRPQLWCXTB-HNNXBMFYSA-N 1 2 308.403 1.179 20 30 DDEDLO C[C@@]1(CO)CCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000545398546 421258109 /nfs/dbraw/zinc/25/81/09/421258109.db2.gz RVDRZRPQLWCXTB-HNNXBMFYSA-N 1 2 308.403 1.179 20 30 DDEDLO Cc1noc(C2CC[NH+](CC(=O)NC3(C#N)CCC3)CC2)n1 ZINC000527377758 421383850 /nfs/dbraw/zinc/38/38/50/421383850.db2.gz WDMMXITWWPQRLG-UHFFFAOYSA-N 1 2 303.366 1.120 20 30 DDEDLO C[N@H+](CCCc1[nH]nc(N)c1C#N)Cc1nnc(C2CC2)s1 ZINC000528426739 421488547 /nfs/dbraw/zinc/48/85/47/421488547.db2.gz SKUNDZILJXIXSC-UHFFFAOYSA-N 1 2 317.422 1.657 20 30 DDEDLO C[N@@H+](CCCc1[nH]nc(N)c1C#N)Cc1nnc(C2CC2)s1 ZINC000528426739 421488552 /nfs/dbraw/zinc/48/85/52/421488552.db2.gz SKUNDZILJXIXSC-UHFFFAOYSA-N 1 2 317.422 1.657 20 30 DDEDLO CCN1CC[N@H+](C[C@@H](O)COc2ccccc2C#N)[C@@H](C)C1 ZINC000528510677 421496544 /nfs/dbraw/zinc/49/65/44/421496544.db2.gz YHZUNYUOFOQSCW-GOEBONIOSA-N 1 2 303.406 1.324 20 30 DDEDLO CCN1CC[N@@H+](C[C@@H](O)COc2ccccc2C#N)[C@@H](C)C1 ZINC000528510677 421496548 /nfs/dbraw/zinc/49/65/48/421496548.db2.gz YHZUNYUOFOQSCW-GOEBONIOSA-N 1 2 303.406 1.324 20 30 DDEDLO CCOC[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)CCO1 ZINC000529664250 421551541 /nfs/dbraw/zinc/55/15/41/421551541.db2.gz BYBVUPCAFJQPCV-HNNXBMFYSA-N 1 2 300.362 1.443 20 30 DDEDLO CCn1cc[nH+]c1CNC(=O)[C@@H](C#N)Cc1ccc(C#N)cc1 ZINC000565214866 421597468 /nfs/dbraw/zinc/59/74/68/421597468.db2.gz SIUBLDJBBQHYCR-OAHLLOKOSA-N 1 2 307.357 1.773 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1c1ncc2c(c1C#N)CCC2 ZINC000517361346 421610633 /nfs/dbraw/zinc/61/06/33/421610633.db2.gz OTAXIAJUGZISFK-HIFRSBDPSA-N 1 2 312.417 1.741 20 30 DDEDLO Cc1c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)nnn1CC1CCCC1 ZINC000569725924 421627714 /nfs/dbraw/zinc/62/77/14/421627714.db2.gz HBZNNEHRKRHBCW-INIZCTEOSA-N 1 2 318.425 1.350 20 30 DDEDLO Cc1csc(NC(=O)N[C@@H](C)C[NH+]2CCN(C)CC2)c1C#N ZINC000571460682 421718549 /nfs/dbraw/zinc/71/85/49/421718549.db2.gz XHTMQROEROIDDO-LBPRGKRZSA-N 1 2 321.450 1.686 20 30 DDEDLO CN(C)c1cccc(CCC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000519739683 421722591 /nfs/dbraw/zinc/72/25/91/421722591.db2.gz SKDKUXYEDLUONW-KRWDZBQOSA-N 1 2 302.422 1.645 20 30 DDEDLO CCN1CC[N@H+](CCC(=O)Nc2sccc2C#N)CC1=O ZINC000544487122 421845111 /nfs/dbraw/zinc/84/51/11/421845111.db2.gz NFIKEAJMARICBO-UHFFFAOYSA-N 1 2 306.391 1.112 20 30 DDEDLO CCN1CC[N@@H+](CCC(=O)Nc2sccc2C#N)CC1=O ZINC000544487122 421845112 /nfs/dbraw/zinc/84/51/12/421845112.db2.gz NFIKEAJMARICBO-UHFFFAOYSA-N 1 2 306.391 1.112 20 30 DDEDLO C=CCC1(NC(=O)[C@H]2CCn3cc(C)[nH+]c3C2)CCOCC1 ZINC000628079936 422027775 /nfs/dbraw/zinc/02/77/75/422027775.db2.gz IAJJUZAOKWFNCI-AWEZNQCLSA-N 1 2 303.406 1.995 20 30 DDEDLO C=CCCCCCNc1cc(N2CCN(C)C(=O)C2)nc[nH+]1 ZINC000584124053 422268295 /nfs/dbraw/zinc/26/82/95/422268295.db2.gz RSVIRWTXPIRLGD-UHFFFAOYSA-N 1 2 303.410 1.913 20 30 DDEDLO C=CCCCCCNc1cc(N2CCN(C)C(=O)C2)[nH+]cn1 ZINC000584124053 422268299 /nfs/dbraw/zinc/26/82/99/422268299.db2.gz RSVIRWTXPIRLGD-UHFFFAOYSA-N 1 2 303.410 1.913 20 30 DDEDLO Cc1nn(C)c(C)c1C[C@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000576044283 422361642 /nfs/dbraw/zinc/36/16/42/422361642.db2.gz NVUBUVNORKCBPP-ZBEGNZNMSA-N 1 2 305.426 1.176 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2ncc3c(c2C#N)CCC3)[C@@H](C)CO1 ZINC000596434043 422375602 /nfs/dbraw/zinc/37/56/02/422375602.db2.gz WWWZBVGGWQGCTF-QWHCGFSZSA-N 1 2 300.406 1.963 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2ncc3c(c2C#N)CCC3)[C@@H](C)CO1 ZINC000596434043 422375610 /nfs/dbraw/zinc/37/56/10/422375610.db2.gz WWWZBVGGWQGCTF-QWHCGFSZSA-N 1 2 300.406 1.963 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)NCc1cccc(C#N)c1 ZINC000356239915 269892209 /nfs/dbraw/zinc/89/22/09/269892209.db2.gz YVFVLDGABJVIGW-ZDUSSCGKSA-N 1 2 302.378 1.078 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)NCc1cccc(C#N)c1 ZINC000356239915 269892210 /nfs/dbraw/zinc/89/22/10/269892210.db2.gz YVFVLDGABJVIGW-ZDUSSCGKSA-N 1 2 302.378 1.078 20 30 DDEDLO Cc1nc(N2CCCN(c3ccccc3C#N)CC2)nc(N)[nH+]1 ZINC000601104902 422416426 /nfs/dbraw/zinc/41/64/26/422416426.db2.gz LLQLKFDNONGNSU-UHFFFAOYSA-N 1 2 309.377 1.351 20 30 DDEDLO Cc1nc(N)nc(N2CCCN(c3ccccc3C#N)CC2)[nH+]1 ZINC000601104902 422416430 /nfs/dbraw/zinc/41/64/30/422416430.db2.gz LLQLKFDNONGNSU-UHFFFAOYSA-N 1 2 309.377 1.351 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCOC[C@@H]2C2CCC2)cc1 ZINC000579234210 422729723 /nfs/dbraw/zinc/72/97/23/422729723.db2.gz ROAMZYARDLHMFZ-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCOC[C@@H]2C2CCC2)cc1 ZINC000579234210 422729727 /nfs/dbraw/zinc/72/97/27/422729727.db2.gz ROAMZYARDLHMFZ-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N2CCC(C)CC2)nn1 ZINC000640826433 423215301 /nfs/dbraw/zinc/21/53/01/423215301.db2.gz VUWKUBXSDLMOBL-CQSZACIVSA-N 1 2 303.410 1.211 20 30 DDEDLO Cn1c[nH+]cc1CNS(=O)(=O)c1ccc(C#N)c(Cl)c1 ZINC000349777349 269936764 /nfs/dbraw/zinc/93/67/64/269936764.db2.gz OPAPCRXLXCDGHU-UHFFFAOYSA-N 1 2 310.766 1.424 20 30 DDEDLO C[N@H+](Cc1ccc2c(c1)OCO2)[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000115532384 263338042 /nfs/dbraw/zinc/33/80/42/263338042.db2.gz LVBMQYPJEOJJHE-KRWDZBQOSA-N 1 2 323.352 1.945 20 30 DDEDLO C[N@@H+](Cc1ccc2c(c1)OCO2)[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000115532384 263338044 /nfs/dbraw/zinc/33/80/44/263338044.db2.gz LVBMQYPJEOJJHE-KRWDZBQOSA-N 1 2 323.352 1.945 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(CC(C)(C)C)C2=O)nn1 ZINC000641117593 423399488 /nfs/dbraw/zinc/39/94/88/423399488.db2.gz NXZOVAOVINRSBJ-HNNXBMFYSA-N 1 2 317.437 1.601 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCOC[C@H]3[C@@H]3CCCO3)n2c1 ZINC000377709405 269993621 /nfs/dbraw/zinc/99/36/21/269993621.db2.gz YVZJGBBXYMYQFA-HOTGVXAUSA-N 1 2 312.373 1.586 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H]2CC(=O)N(c3ccccc3)C2)nn1 ZINC000657332478 424288462 /nfs/dbraw/zinc/28/84/62/424288462.db2.gz JHZCVAXJHMBONO-CQSZACIVSA-N 1 2 311.389 1.749 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1 ZINC000660286902 424624585 /nfs/dbraw/zinc/62/45/85/424624585.db2.gz KDWJQTLYSPMTGT-KGLIPLIRSA-N 1 2 300.362 1.356 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)[C@@H](C)c2cccc(C#N)c2)CCO1 ZINC000360454858 266379350 /nfs/dbraw/zinc/37/93/50/266379350.db2.gz LBDDCDRDSGFXGS-WMLDXEAASA-N 1 2 315.417 1.889 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)[C@@H](C)c2cccc(C#N)c2)CCO1 ZINC000360454858 266379356 /nfs/dbraw/zinc/37/93/56/266379356.db2.gz LBDDCDRDSGFXGS-WMLDXEAASA-N 1 2 315.417 1.889 20 30 DDEDLO C[NH+]1CCN(C[C@H]2CCCN(c3c(C#N)cccc3C#N)C2)CC1 ZINC000373047473 266592378 /nfs/dbraw/zinc/59/23/78/266592378.db2.gz DGGGNUXGKBHEBE-MRXNPFEDSA-N 1 2 323.444 1.894 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1c1nccc(C#N)c1Cl ZINC000343250841 267080409 /nfs/dbraw/zinc/08/04/09/267080409.db2.gz NPCKQGSKNRPOMH-DGCLKSJQSA-N 1 2 306.797 1.906 20 30 DDEDLO C[N@@H+]1C2(CCC2)COC[C@]1(CO)CNc1ccc(F)cc1C#N ZINC000528131000 267331630 /nfs/dbraw/zinc/33/16/30/267331630.db2.gz KLOCHELCJRYACF-QGZVFWFLSA-N 1 2 319.380 1.725 20 30 DDEDLO C[N@H+]1C2(CCC2)COC[C@]1(CO)CNc1ccc(F)cc1C#N ZINC000528131000 267331634 /nfs/dbraw/zinc/33/16/34/267331634.db2.gz KLOCHELCJRYACF-QGZVFWFLSA-N 1 2 319.380 1.725 20 30 DDEDLO N#Cc1ccc(CNC(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)cc1 ZINC000366248707 268071946 /nfs/dbraw/zinc/07/19/46/268071946.db2.gz YGAKELYTKZWHSD-MRXNPFEDSA-N 1 2 314.389 1.221 20 30 DDEDLO N#Cc1ccc(CNC(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)cc1 ZINC000366248707 268071947 /nfs/dbraw/zinc/07/19/47/268071947.db2.gz YGAKELYTKZWHSD-MRXNPFEDSA-N 1 2 314.389 1.221 20 30 DDEDLO N#Cc1ccc(NC(=O)C[NH+]2CCC3(CCOC3=O)CC2)cc1 ZINC000366671479 268139240 /nfs/dbraw/zinc/13/92/40/268139240.db2.gz PNQTXNBRGZGAOJ-UHFFFAOYSA-N 1 2 313.357 1.526 20 30 DDEDLO N#Cc1ccc(C2(NC(=O)Cn3cc[nH+]c3)CCOCC2)cc1 ZINC000530060976 268210390 /nfs/dbraw/zinc/21/03/90/268210390.db2.gz RJFPDSLWTQAQDL-UHFFFAOYSA-N 1 2 310.357 1.577 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)NCC3COC3)CC2)cc1 ZINC000528311114 268219528 /nfs/dbraw/zinc/21/95/28/268219528.db2.gz UWKIDBDBXXTQKD-UHFFFAOYSA-N 1 2 314.389 1.032 20 30 DDEDLO N#Cc1ccncc1NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000378366136 268300595 /nfs/dbraw/zinc/30/05/95/268300595.db2.gz AUWVASONOZRSQX-INIZCTEOSA-N 1 2 302.378 1.247 20 30 DDEDLO N#Cc1ccncc1C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000341687703 271171277 /nfs/dbraw/zinc/17/12/77/271171277.db2.gz ZXEYVABXIXCYFU-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO C=CCN(C)C(=O)[C@@H]1CCC(=O)N(CCC)[C@@H]1c1c[nH+]cn1C ZINC000356903364 277841290 /nfs/dbraw/zinc/84/12/90/277841290.db2.gz NAKWDQUNCCAUGB-CJNGLKHVSA-N 1 2 318.421 1.754 20 30 DDEDLO CC(C)C[C@H](CNC(=O)C1(C#N)CCOCC1)[NH+]1CCOCC1 ZINC000109139134 279147299 /nfs/dbraw/zinc/14/72/99/279147299.db2.gz RTRXQNNWLFISPO-OAHLLOKOSA-N 1 2 323.437 1.170 20 30 DDEDLO CC(C)[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C)[NH+]1CCCCC1 ZINC000330219723 280133911 /nfs/dbraw/zinc/13/39/11/280133911.db2.gz KJPWHMOJCLIQAI-BFHYXJOUSA-N 1 2 316.467 1.887 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)N[C@@H]2CCC[C@@H]2C#N)CCN1C ZINC000331838660 294419485 /nfs/dbraw/zinc/41/94/85/294419485.db2.gz ZRCZBCUXHNAROX-MCIONIFRSA-N 1 2 316.409 1.110 20 30 DDEDLO C[C@H]1CN(S(=O)(=O)CC2(C#N)CCCCC2)C[C@H](C)[NH+]1C ZINC000339065824 300275747 /nfs/dbraw/zinc/27/57/47/300275747.db2.gz HQOVFRJKUZSOMS-KBPBESRZSA-N 1 2 313.467 1.815 20 30 DDEDLO N#Cc1ncn(C2CCN(c3nc[nH+]c(N)c3Cl)CC2)n1 ZINC000376009483 302024037 /nfs/dbraw/zinc/02/40/37/302024037.db2.gz XFYTWZDSYQIABV-UHFFFAOYSA-N 1 2 304.745 1.017 20 30 DDEDLO N#Cc1ncn(C2CCN(c3[nH+]cnc(N)c3Cl)CC2)n1 ZINC000376009483 302024038 /nfs/dbraw/zinc/02/40/38/302024038.db2.gz XFYTWZDSYQIABV-UHFFFAOYSA-N 1 2 304.745 1.017 20 30 DDEDLO Cc1cnc(C(=O)NC[C@H](C(C)C)[NH+]2CCOCC2)c(O)c1 ZINC000330873765 302330572 /nfs/dbraw/zinc/33/05/72/302330572.db2.gz IBRHUAGUGYDZRH-CYBMUJFWSA-N 1 2 307.394 1.757 20 30 DDEDLO Cc1nc(C(=O)NCC2CC[NH+](CC(F)F)CC2)ccc1C#N ZINC000560602607 307916479 /nfs/dbraw/zinc/91/64/79/307916479.db2.gz BZHRRDZWMZKFKK-UHFFFAOYSA-N 1 2 322.359 1.969 20 30 DDEDLO CCN1CCN(c2ncc(C#N)cc2F)C[C@@H]1c1[nH]cc[nH+]1 ZINC000562727375 307942939 /nfs/dbraw/zinc/94/29/39/307942939.db2.gz MKYOXKNYBRXMHS-CYBMUJFWSA-N 1 2 300.341 1.699 20 30 DDEDLO CC(C)CNC(=O)[C@@H](C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000576893441 308347670 /nfs/dbraw/zinc/34/76/70/308347670.db2.gz GSXVURHLLXMIMZ-CQSZACIVSA-N 1 2 315.421 1.236 20 30 DDEDLO N#Cc1ccncc1N1CC[NH+](Cc2cccc3nccn32)CC1 ZINC000577167913 308367236 /nfs/dbraw/zinc/36/72/36/308367236.db2.gz BZSPIFMUMRJTRY-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#Cc1ccncc1N1CCN(Cc2cccc3[nH+]ccn32)CC1 ZINC000577167913 308367239 /nfs/dbraw/zinc/36/72/39/308367239.db2.gz BZSPIFMUMRJTRY-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO C[C@H](O)C[C@H]1COCCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000581575268 325878334 /nfs/dbraw/zinc/87/83/34/325878334.db2.gz IOXWLNZAVKXPAA-JSGCOSHPSA-N 1 2 300.362 1.178 20 30 DDEDLO C=CCOC[C@H](NC(=O)c1ccn2c(C)c[nH+]c2c1)C(=O)OC ZINC000572661556 332843889 /nfs/dbraw/zinc/84/38/89/332843889.db2.gz MIUGWMVFYQGEQB-ZDUSSCGKSA-N 1 2 317.345 1.117 20 30 DDEDLO C=CC1CC[NH+](CC(=O)Nc2nc(CC(=O)OC)cs2)CC1 ZINC000111259043 333328283 /nfs/dbraw/zinc/32/82/83/333328283.db2.gz QZVITHCDOVBGHK-UHFFFAOYSA-N 1 2 323.418 1.695 20 30 DDEDLO CCNC(=O)N1CC[C@H](NC(=O)C(C)(C)[NH+]2CCOCC2)C1 ZINC000328671613 334747099 /nfs/dbraw/zinc/74/70/99/334747099.db2.gz HKLKQSYTDYLEKY-LBPRGKRZSA-N 1 2 312.414 1.062 20 30 DDEDLO C[C@H](CN(C)C(=O)Cc1c[nH+]c[nH]1)Nc1cccc(F)c1C#N ZINC001120712274 782102384 /nfs/dbraw/zinc/10/23/84/782102384.db2.gz LDFMUKGTAYVQPN-LLVKDONJSA-N 1 2 315.352 1.922 20 30 DDEDLO N#C[C@@H]1CCC[C@@H](Nc2cnn(CC[NH+]3CCOCC3)c2)C1 ZINC000373065716 336947014 /nfs/dbraw/zinc/94/70/14/336947014.db2.gz JWPQFQBNCOEJNF-HUUCEWRRSA-N 1 2 303.410 1.710 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(Cc2ccsc2)c1=O ZINC000584666461 337378320 /nfs/dbraw/zinc/37/83/20/337378320.db2.gz WJCJMOFBYOFLPC-UHFFFAOYSA-N 1 2 315.398 1.662 20 30 DDEDLO CN(CCCNC(=O)C[NH+]1CCC(C#N)CC1)c1ccccc1 ZINC000057872107 337457993 /nfs/dbraw/zinc/45/79/93/337457993.db2.gz OONLRCXXZJIORD-UHFFFAOYSA-N 1 2 314.433 1.865 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+]([C@H](C)c2ccco2)C2CC2)CC1 ZINC000086235716 338014239 /nfs/dbraw/zinc/01/42/39/338014239.db2.gz SGRNUFPXHZRINR-OAHLLOKOSA-N 1 2 315.417 1.582 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+]([C@H](C)c2ccco2)C2CC2)CC1 ZINC000086235716 338014240 /nfs/dbraw/zinc/01/42/40/338014240.db2.gz SGRNUFPXHZRINR-OAHLLOKOSA-N 1 2 315.417 1.582 20 30 DDEDLO CC(C)(C)OC(=O)N[C@@H](CCCC#N)C(=O)NCc1[nH]cc[nH+]1 ZINC000496230473 340001054 /nfs/dbraw/zinc/00/10/54/340001054.db2.gz VRJYHVCABOXHHL-NSHDSACASA-N 1 2 321.381 1.613 20 30 DDEDLO C=CCNC(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)CC[N@H+]1C ZINC000496416785 340004994 /nfs/dbraw/zinc/00/49/94/340004994.db2.gz CGWSTTCYGYZEOY-LBPRGKRZSA-N 1 2 312.414 1.023 20 30 DDEDLO C=CCNC(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)CC[N@@H+]1C ZINC000496416785 340004995 /nfs/dbraw/zinc/00/49/95/340004995.db2.gz CGWSTTCYGYZEOY-LBPRGKRZSA-N 1 2 312.414 1.023 20 30 DDEDLO COC(=O)Nc1ccccc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000496873458 340015055 /nfs/dbraw/zinc/01/50/55/340015055.db2.gz SUGDCERXFJDFAS-GFCCVEGCSA-N 1 2 307.350 1.450 20 30 DDEDLO COC(=O)Nc1ccccc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000496873458 340015056 /nfs/dbraw/zinc/01/50/56/340015056.db2.gz SUGDCERXFJDFAS-GFCCVEGCSA-N 1 2 307.350 1.450 20 30 DDEDLO C=CC[N@H+](CCO[C@H]1CCCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000248842929 341388479 /nfs/dbraw/zinc/38/84/79/341388479.db2.gz RSKMTTDFEOIKCT-KBPBESRZSA-N 1 2 303.424 1.205 20 30 DDEDLO C=CC[N@@H+](CCO[C@H]1CCCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000248842929 341388480 /nfs/dbraw/zinc/38/84/80/341388480.db2.gz RSKMTTDFEOIKCT-KBPBESRZSA-N 1 2 303.424 1.205 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)Nc2ccccc2SCC#N)CC[C@H]1O ZINC000249596462 341399746 /nfs/dbraw/zinc/39/97/46/341399746.db2.gz WZEKLIIGKDJPHS-GXTWGEPZSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)Nc2ccccc2SCC#N)CC[C@H]1O ZINC000249596462 341399747 /nfs/dbraw/zinc/39/97/47/341399747.db2.gz WZEKLIIGKDJPHS-GXTWGEPZSA-N 1 2 319.430 1.943 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)C1(C#N)CCCC1)C2 ZINC000554683184 341461185 /nfs/dbraw/zinc/46/11/85/341461185.db2.gz CXEWRQXZRQLOCZ-CYBMUJFWSA-N 1 2 316.405 1.241 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCNc1ccccc1[N+](=O)[O-] ZINC000555482616 341483594 /nfs/dbraw/zinc/48/35/94/341483594.db2.gz HKFAYUMQVLAHQP-OAHLLOKOSA-N 1 2 319.365 1.357 20 30 DDEDLO CCc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1[N+](=O)[O-] ZINC000568769001 341681073 /nfs/dbraw/zinc/68/10/73/341681073.db2.gz NYHZOINAEQBBCE-OAHLLOKOSA-N 1 2 304.350 1.731 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000575932909 341815626 /nfs/dbraw/zinc/81/56/26/341815626.db2.gz YNPRICJSABSRLI-CQSZACIVSA-N 1 2 316.405 1.674 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2C[N@@H+]1Cc1cnc2ccc(C#N)cn12 ZINC000367436828 484537230 /nfs/dbraw/zinc/53/72/30/484537230.db2.gz HHBZYWVNNXGQJD-UNEWFSDZSA-N 1 2 324.384 1.979 20 30 DDEDLO COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2C[N@H+]1Cc1cnc2ccc(C#N)cn12 ZINC000367436828 484537236 /nfs/dbraw/zinc/53/72/36/484537236.db2.gz HHBZYWVNNXGQJD-UNEWFSDZSA-N 1 2 324.384 1.979 20 30 DDEDLO COCC#CC[NH+]1CCN(c2cc(C(F)(F)F)ccn2)CC1 ZINC000669933618 484689513 /nfs/dbraw/zinc/68/95/13/484689513.db2.gz VICOPDIRQCAVCE-UHFFFAOYSA-N 1 2 313.323 1.872 20 30 DDEDLO C=C[C@@H](CC(=O)NC[C@@H]1CN2CC[N@@H+]1CCC2)c1ccccc1 ZINC000670407364 484813950 /nfs/dbraw/zinc/81/39/50/484813950.db2.gz PHEZSAMZCMNORA-FUHWJXTLSA-N 1 2 313.445 1.852 20 30 DDEDLO C=C[C@@H](CC(=O)NC[C@@H]1CN2CC[N@H+]1CCC2)c1ccccc1 ZINC000670407364 484813953 /nfs/dbraw/zinc/81/39/53/484813953.db2.gz PHEZSAMZCMNORA-FUHWJXTLSA-N 1 2 313.445 1.852 20 30 DDEDLO CCC#C[C@@H](C)[NH+]1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000677475844 486475649 /nfs/dbraw/zinc/47/56/49/486475649.db2.gz GJVGPWUAFUHYQB-CQSZACIVSA-N 1 2 314.385 1.975 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC1(C#N)CCC1 ZINC000333617400 533903248 /nfs/dbraw/zinc/90/32/48/533903248.db2.gz YZBRGCYVGSTCOW-KGLIPLIRSA-N 1 2 306.410 1.185 20 30 DDEDLO C[C@@H]1CCN(C(=O)NC[C@@H]2COCCO2)C[C@H]1n1cc[nH+]c1 ZINC000329941787 533909433 /nfs/dbraw/zinc/90/94/33/533909433.db2.gz GVHWMUMFLRSNEC-MGPQQGTHSA-N 1 2 308.382 1.095 20 30 DDEDLO C[C@@H]1CCN(C([O-])=[NH+]C[C@@H]2COCCO2)C[C@H]1n1cc[nH+]c1 ZINC000329941787 533909436 /nfs/dbraw/zinc/90/94/36/533909436.db2.gz GVHWMUMFLRSNEC-MGPQQGTHSA-N 1 2 308.382 1.095 20 30 DDEDLO COc1ccccc1N1C(=O)C[C@H]([NH+]2CCC(C#N)CC2)C1=O ZINC000352272184 534129871 /nfs/dbraw/zinc/12/98/71/534129871.db2.gz VJBZSPHENFGGRB-AWEZNQCLSA-N 1 2 313.357 1.563 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)NCCc2ccc(F)cc2)CC1 ZINC000352459024 534567916 /nfs/dbraw/zinc/56/79/16/534567916.db2.gz SBKLCDKPQQTKMF-UHFFFAOYSA-N 1 2 307.344 1.812 20 30 DDEDLO [O-]C(=[NH+]C[C@H]1COc2ccccc2O1)N1CCn2c[nH+]cc2C1 ZINC000329742306 534755565 /nfs/dbraw/zinc/75/55/65/534755565.db2.gz WMGZSRIGNJHJTC-ZDUSSCGKSA-N 1 2 314.345 1.453 20 30 DDEDLO CNC(=O)Cc1noc(C[N@@H+]2CC(C)(C)[C@@H]2[C@@H]2CCCO2)n1 ZINC000329791382 526399865 /nfs/dbraw/zinc/39/98/65/526399865.db2.gz UMJXJSRXXORNPS-HZMBPMFUSA-N 1 2 308.382 1.588 20 30 DDEDLO CNC(=O)Cc1noc(C[N@H+]2CC(C)(C)[C@@H]2[C@@H]2CCCO2)n1 ZINC000329791382 526399871 /nfs/dbraw/zinc/39/98/71/526399871.db2.gz UMJXJSRXXORNPS-HZMBPMFUSA-N 1 2 308.382 1.588 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000330944001 526402643 /nfs/dbraw/zinc/40/26/43/526402643.db2.gz HZCPJKJFSFKOKW-JTQLQIEISA-N 1 2 312.757 1.956 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](Cc2ccc([N+](=O)[O-])cc2Cl)C1 ZINC000330944001 526402649 /nfs/dbraw/zinc/40/26/49/526402649.db2.gz HZCPJKJFSFKOKW-JTQLQIEISA-N 1 2 312.757 1.956 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](Cc2nnc(-c3ccccc3)s2)C1 ZINC000329844831 526402953 /nfs/dbraw/zinc/40/29/53/526402953.db2.gz QBVKZYQIXPKMKQ-LBPRGKRZSA-N 1 2 317.418 1.913 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](Cc2nnc(-c3ccccc3)s2)C1 ZINC000329844831 526402959 /nfs/dbraw/zinc/40/29/59/526402959.db2.gz QBVKZYQIXPKMKQ-LBPRGKRZSA-N 1 2 317.418 1.913 20 30 DDEDLO CNC(=O)c1cccc(NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)c1 ZINC000330712235 526410446 /nfs/dbraw/zinc/41/04/46/526410446.db2.gz QONNXFDQGHPJSH-CQSZACIVSA-N 1 2 319.409 1.193 20 30 DDEDLO CNC(=O)c1cccc(NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)c1 ZINC000330712235 526410448 /nfs/dbraw/zinc/41/04/48/526410448.db2.gz QONNXFDQGHPJSH-CQSZACIVSA-N 1 2 319.409 1.193 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(CC)C2CCCCC2)C1=O ZINC000337231190 526500170 /nfs/dbraw/zinc/50/01/70/526500170.db2.gz UGTCVZBAJWUETR-INIZCTEOSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(CC)C2CCCCC2)C1=O ZINC000337231190 526500178 /nfs/dbraw/zinc/50/01/78/526500178.db2.gz UGTCVZBAJWUETR-INIZCTEOSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc([C@@H]3C[C@H]3C)o2)CC1 ZINC000349730765 526539410 /nfs/dbraw/zinc/53/94/10/526539410.db2.gz UMUICAPFWYVAOH-GDBMZVCRSA-N 1 2 317.433 1.823 20 30 DDEDLO CC(=O)N1CCC[C@@H](C(=O)NCC2([NH+]3CCOCC3)CC2)C1 ZINC000329907346 526561309 /nfs/dbraw/zinc/56/13/09/526561309.db2.gz SWPYYSBOUZFGCB-CQSZACIVSA-N 1 2 309.410 1.066 20 30 DDEDLO C#CCN(C(=O)C(=O)NCc1cn2ccccc2[nH+]1)C1CCCC1 ZINC000491071581 526758171 /nfs/dbraw/zinc/75/81/71/526758171.db2.gz LQXKPBZUXJJERZ-UHFFFAOYSA-N 1 2 324.384 1.355 20 30 DDEDLO C=CCOCCCS[C@@H](C)C(=O)N1CC[NH+](CC)CC1 ZINC000342021724 526763631 /nfs/dbraw/zinc/76/36/31/526763631.db2.gz MCOOKZWNEUBMMX-AWEZNQCLSA-N 1 2 300.468 1.865 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)Nc1ccc(/C=C\C(=O)OCC)cc1 ZINC000491240815 526800940 /nfs/dbraw/zinc/80/09/40/526800940.db2.gz CZVPXHVYMVTRIK-FLIBITNWSA-N 1 2 300.358 1.766 20 30 DDEDLO C#CC[N@H+](C)CC(=O)Nc1ccc(/C=C\C(=O)OCC)cc1 ZINC000491240815 526800948 /nfs/dbraw/zinc/80/09/48/526800948.db2.gz CZVPXHVYMVTRIK-FLIBITNWSA-N 1 2 300.358 1.766 20 30 DDEDLO C=CCOC[C@H]([NH2+][C@H]1CCO[C@H](C(F)(F)F)C1)C(=O)OC ZINC000348361712 526810794 /nfs/dbraw/zinc/81/07/94/526810794.db2.gz UDTKTMLXAHJJQK-DCAQKATOSA-N 1 2 311.300 1.430 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330453934 526855318 /nfs/dbraw/zinc/85/53/18/526855318.db2.gz MESAFTIFDJTVDL-XNRPHZJLSA-N 1 2 308.426 1.030 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+]([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000330453934 526855320 /nfs/dbraw/zinc/85/53/20/526855320.db2.gz MESAFTIFDJTVDL-XNRPHZJLSA-N 1 2 308.426 1.030 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)C(C)(C)[C@H]2c2ccncc2)CC1 ZINC000491474406 526952910 /nfs/dbraw/zinc/95/29/10/526952910.db2.gz MLYQTNCHMHXRNB-MRXNPFEDSA-N 1 2 312.417 1.199 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cccc(-n3cccn3)c2)CC1 ZINC000490779181 526953179 /nfs/dbraw/zinc/95/31/79/526953179.db2.gz SDPRSSBBETUAEW-UHFFFAOYSA-N 1 2 324.384 1.029 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2cn(-c3ccccc3)nn2)CC1 ZINC000491202067 526970199 /nfs/dbraw/zinc/97/01/99/526970199.db2.gz LNTVQZPQWHIFAP-UHFFFAOYSA-N 1 2 323.400 1.229 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)O[C@@H](C)CC(C)C)CC1 ZINC000491394790 526994597 /nfs/dbraw/zinc/99/45/97/526994597.db2.gz OGMZISDRLSZINF-HNNXBMFYSA-N 1 2 323.437 1.315 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)O[C@@H](C)CC(C)C)CC1 ZINC000491394790 526994601 /nfs/dbraw/zinc/99/46/01/526994601.db2.gz OGMZISDRLSZINF-HNNXBMFYSA-N 1 2 323.437 1.315 20 30 DDEDLO C#C[C@@H](CC)NC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000491751390 527238912 /nfs/dbraw/zinc/23/89/12/527238912.db2.gz UIOQFVBDGGYXBD-IRXDYDNUSA-N 1 2 315.417 1.771 20 30 DDEDLO C=CCCC[C@@H](C)[NH+]1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000347997154 527249918 /nfs/dbraw/zinc/24/99/18/527249918.db2.gz LXBHNDFEYAFGEZ-HUUCEWRRSA-N 1 2 300.468 1.536 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@H](O)COCc1ccccc1Cl ZINC000491297828 527311033 /nfs/dbraw/zinc/31/10/33/527311033.db2.gz SCJRYDHDEKPFJT-HUUCEWRRSA-N 1 2 309.793 1.552 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@H](O)COCc1ccccc1Cl ZINC000491297828 527311037 /nfs/dbraw/zinc/31/10/37/527311037.db2.gz SCJRYDHDEKPFJT-HUUCEWRRSA-N 1 2 309.793 1.552 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N1CC(C)(C)[C@@H]1c1[nH+]ccn1C ZINC000333182534 527501662 /nfs/dbraw/zinc/50/16/62/527501662.db2.gz MCLUXMPTLYTUEA-AGIUHOORSA-N 1 2 304.394 1.856 20 30 DDEDLO C=CCN(C)C(=O)C(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000339987287 527557755 /nfs/dbraw/zinc/55/77/55/527557755.db2.gz DXFJBJTZKSJSRY-UHFFFAOYSA-N 1 2 306.753 1.248 20 30 DDEDLO CC[N@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419220827 528376518 /nfs/dbraw/zinc/37/65/18/528376518.db2.gz VATYAEZXPQEEEX-CQSZACIVSA-N 1 2 317.389 1.547 20 30 DDEDLO CC[N@@H+](CCC#N)C[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419220827 528376521 /nfs/dbraw/zinc/37/65/21/528376521.db2.gz VATYAEZXPQEEEX-CQSZACIVSA-N 1 2 317.389 1.547 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2cc(C)c(C#N)cn2)CC1 ZINC000457554643 528745492 /nfs/dbraw/zinc/74/54/92/528745492.db2.gz JYPDAURMRXBOSL-UHFFFAOYSA-N 1 2 302.378 1.056 20 30 DDEDLO CCN1CCN(C(=O)NCc2ccncc2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000330322932 529107777 /nfs/dbraw/zinc/10/77/77/529107777.db2.gz HFCBLIPVVAHDTH-CQSZACIVSA-N 1 2 314.393 1.598 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)NC[C@@H]2CCCO2)C[C@@]2(CCOC2)O1 ZINC000330100394 529111947 /nfs/dbraw/zinc/11/19/47/529111947.db2.gz DNBDTRCHSBSGDK-XJKSGUPXSA-N 1 2 312.410 1.392 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)NC[C@@H]2CCCO2)C[C@@]2(CCOC2)O1 ZINC000330100394 529111950 /nfs/dbraw/zinc/11/19/50/529111950.db2.gz DNBDTRCHSBSGDK-XJKSGUPXSA-N 1 2 312.410 1.392 20 30 DDEDLO CC[C@@H](C(=O)N(CCC#N)CCC#N)[N@@H+]1CCO[C@H](CC)C1 ZINC000339250708 529274001 /nfs/dbraw/zinc/27/40/01/529274001.db2.gz IHDXEHGSOLZBHW-CABCVRRESA-N 1 2 306.410 1.532 20 30 DDEDLO CC[C@@H](C(=O)N(CCC#N)CCC#N)[N@H+]1CCO[C@H](CC)C1 ZINC000339250708 529274003 /nfs/dbraw/zinc/27/40/03/529274003.db2.gz IHDXEHGSOLZBHW-CABCVRRESA-N 1 2 306.410 1.532 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000494683437 529292616 /nfs/dbraw/zinc/29/26/16/529292616.db2.gz AHYHVRDQQYULSX-INIZCTEOSA-N 1 2 316.405 1.468 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000494683437 529292618 /nfs/dbraw/zinc/29/26/18/529292618.db2.gz AHYHVRDQQYULSX-INIZCTEOSA-N 1 2 316.405 1.468 20 30 DDEDLO NC(CCO)=[NH+]OCC(=O)N1CCC(Cc2ccccc2)CC1 ZINC000121354410 696708838 /nfs/dbraw/zinc/70/88/38/696708838.db2.gz JUTVLMYWAOGHOL-UHFFFAOYSA-N 1 2 319.405 1.139 20 30 DDEDLO C[N@@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1sccc1C#N ZINC000745079600 699970752 /nfs/dbraw/zinc/97/07/52/699970752.db2.gz NQNYGSYGWWDAPK-LLVKDONJSA-N 1 2 307.375 1.586 20 30 DDEDLO C[N@H+]1CCCC[C@@H]1C(=O)OCC(=O)Nc1sccc1C#N ZINC000745079600 699970753 /nfs/dbraw/zinc/97/07/53/699970753.db2.gz NQNYGSYGWWDAPK-LLVKDONJSA-N 1 2 307.375 1.586 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC000972073050 695150961 /nfs/dbraw/zinc/15/09/61/695150961.db2.gz OGOYKTGPELKHTP-ZDUSSCGKSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2C)C1 ZINC000972073050 695150964 /nfs/dbraw/zinc/15/09/64/695150964.db2.gz OGOYKTGPELKHTP-ZDUSSCGKSA-N 1 2 305.426 1.450 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(C)c3)C2)C1 ZINC000972229611 695182843 /nfs/dbraw/zinc/18/28/43/695182843.db2.gz WYQCNHSBEKBEBD-IBGZPJMESA-N 1 2 312.413 1.935 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccc(C)c3)C2)C1 ZINC000972229611 695182845 /nfs/dbraw/zinc/18/28/45/695182845.db2.gz WYQCNHSBEKBEBD-IBGZPJMESA-N 1 2 312.413 1.935 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccccc3O)C2)C1 ZINC000972270127 695198898 /nfs/dbraw/zinc/19/88/98/695198898.db2.gz YTNOIBRHGGILAK-SFHVURJKSA-N 1 2 314.385 1.332 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccccc3O)C2)C1 ZINC000972270127 695198900 /nfs/dbraw/zinc/19/89/00/695198900.db2.gz YTNOIBRHGGILAK-SFHVURJKSA-N 1 2 314.385 1.332 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@]2(C1)C[N@H+](Cc1cccnc1)CCO2 ZINC000972299047 695210391 /nfs/dbraw/zinc/21/03/91/695210391.db2.gz XKNDEKIIOLMSPJ-YOEHRIQHSA-N 1 2 314.389 1.045 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@]2(C1)C[N@@H+](Cc1cccnc1)CCO2 ZINC000972299047 695210392 /nfs/dbraw/zinc/21/03/92/695210392.db2.gz XKNDEKIIOLMSPJ-YOEHRIQHSA-N 1 2 314.389 1.045 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c(C)[nH]nc3Cl)C2)C1 ZINC000972405224 695241488 /nfs/dbraw/zinc/24/14/88/695241488.db2.gz NJAWAXDQDSGRIK-OAHLLOKOSA-N 1 2 324.812 1.474 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c(C)[nH]nc3Cl)C2)C1 ZINC000972405224 695241489 /nfs/dbraw/zinc/24/14/89/695241489.db2.gz NJAWAXDQDSGRIK-OAHLLOKOSA-N 1 2 324.812 1.474 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3n[nH]c4c3CCC4)C2)C1 ZINC000972446960 695253759 /nfs/dbraw/zinc/25/37/59/695253759.db2.gz NOQYIAUIHYFVHA-KRWDZBQOSA-N 1 2 316.405 1.001 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3n[nH]c4c3CCC4)C2)C1 ZINC000972446960 695253761 /nfs/dbraw/zinc/25/37/61/695253761.db2.gz NOQYIAUIHYFVHA-KRWDZBQOSA-N 1 2 316.405 1.001 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C(C)C)on3)C2)C1 ZINC000972484667 695261376 /nfs/dbraw/zinc/26/13/76/695261376.db2.gz OWOGCYDHDWSJQX-QGZVFWFLSA-N 1 2 319.405 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C(C)C)on3)C2)C1 ZINC000972484667 695261378 /nfs/dbraw/zinc/26/13/78/695261378.db2.gz OWOGCYDHDWSJQX-QGZVFWFLSA-N 1 2 319.405 1.901 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(F)ccc3C)C2)C1 ZINC000972488495 695263715 /nfs/dbraw/zinc/26/37/15/695263715.db2.gz ABXJUHPBDYKGQZ-GOSISDBHSA-N 1 2 316.376 1.684 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(F)ccc3C)C2)C1 ZINC000972488495 695263716 /nfs/dbraw/zinc/26/37/16/695263716.db2.gz ABXJUHPBDYKGQZ-GOSISDBHSA-N 1 2 316.376 1.684 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccc(C)c3)C2)C1 ZINC000972528144 695273431 /nfs/dbraw/zinc/27/34/31/695273431.db2.gz IJWSKDASJBWCBV-IBGZPJMESA-N 1 2 312.413 1.474 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cccc(C)c3)C2)C1 ZINC000972528144 695273432 /nfs/dbraw/zinc/27/34/32/695273432.db2.gz IJWSKDASJBWCBV-IBGZPJMESA-N 1 2 312.413 1.474 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C(F)(F)F)CC3)C2)C1 ZINC000972563089 695284287 /nfs/dbraw/zinc/28/42/87/695284287.db2.gz LKRQCXGIVNSNII-CYBMUJFWSA-N 1 2 318.339 1.818 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C(F)(F)F)CC3)C2)C1 ZINC000972563089 695284288 /nfs/dbraw/zinc/28/42/88/695284288.db2.gz LKRQCXGIVNSNII-CYBMUJFWSA-N 1 2 318.339 1.818 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(CC)s3)C2)C1 ZINC000972696290 695318390 /nfs/dbraw/zinc/31/83/90/695318390.db2.gz WLYIVSLYZQATFO-INIZCTEOSA-N 1 2 321.446 1.808 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(CC)s3)C2)C1 ZINC000972696290 695318393 /nfs/dbraw/zinc/31/83/93/695318393.db2.gz WLYIVSLYZQATFO-INIZCTEOSA-N 1 2 321.446 1.808 20 30 DDEDLO C=CCn1c(C)nn(C[N@H+]2CC[C@@](C)(C(=O)NC)C2)c1=S ZINC000797413976 700008199 /nfs/dbraw/zinc/00/81/99/700008199.db2.gz JZFZTIQUSWUNTG-CQSZACIVSA-N 1 2 309.439 1.324 20 30 DDEDLO C=CCn1c(C)nn(C[N@@H+]2CC[C@@](C)(C(=O)NC)C2)c1=S ZINC000797413976 700008201 /nfs/dbraw/zinc/00/82/01/700008201.db2.gz JZFZTIQUSWUNTG-CQSZACIVSA-N 1 2 309.439 1.324 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc(=O)n(C)o2)C(C)(C)C1 ZINC000974500394 695681100 /nfs/dbraw/zinc/68/11/00/695681100.db2.gz RXCHKTWMMQUGLN-NSHDSACASA-N 1 2 313.785 1.171 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc(=O)n(C)o2)C(C)(C)C1 ZINC000974500394 695681101 /nfs/dbraw/zinc/68/11/01/695681101.db2.gz RXCHKTWMMQUGLN-NSHDSACASA-N 1 2 313.785 1.171 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974881889 695752668 /nfs/dbraw/zinc/75/26/68/695752668.db2.gz SFRLDTKNGILDPY-NHYWBVRUSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974881889 695752670 /nfs/dbraw/zinc/75/26/70/695752670.db2.gz SFRLDTKNGILDPY-NHYWBVRUSA-N 1 2 313.829 1.092 20 30 DDEDLO C#CCCS(=O)(=O)NC[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000798226080 700051048 /nfs/dbraw/zinc/05/10/48/700051048.db2.gz YDJRTLVONFSFEA-HNNXBMFYSA-N 1 2 316.467 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C(C)(C)C1 ZINC000977625880 696239210 /nfs/dbraw/zinc/23/92/10/696239210.db2.gz UUWZWAXCUCHNNC-GFCCVEGCSA-N 1 2 324.812 1.306 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C(C)(C)C1 ZINC000977625880 696239211 /nfs/dbraw/zinc/23/92/11/696239211.db2.gz UUWZWAXCUCHNNC-GFCCVEGCSA-N 1 2 324.812 1.306 20 30 DDEDLO COc1ccc(CCN[NH+]=Cc2c(N)ncnc2OC)cc1 ZINC000747631891 700078572 /nfs/dbraw/zinc/07/85/72/700078572.db2.gz BYWNQSMVUUYPQI-UHFFFAOYSA-N 1 2 301.350 1.242 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)NCC1CCCCC1 ZINC000092915360 696598082 /nfs/dbraw/zinc/59/80/82/696598082.db2.gz UKLMSBSQXJYOTC-UHFFFAOYSA-N 1 2 304.394 1.609 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cc(C(F)(F)F)ncn2)CC1 ZINC000981608593 696840486 /nfs/dbraw/zinc/84/04/86/696840486.db2.gz ZQWYRXNJUZPUAF-UHFFFAOYSA-N 1 2 312.295 1.277 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cc(C(F)(F)F)ncn2)CC1 ZINC000981608593 696840488 /nfs/dbraw/zinc/84/04/88/696840488.db2.gz ZQWYRXNJUZPUAF-UHFFFAOYSA-N 1 2 312.295 1.277 20 30 DDEDLO Cc1nc(C[N@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)co1 ZINC000981671827 696868889 /nfs/dbraw/zinc/86/88/89/696868889.db2.gz JWVKYXGAYDWSMA-GFCCVEGCSA-N 1 2 302.378 1.567 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)co1 ZINC000981671827 696868893 /nfs/dbraw/zinc/86/88/93/696868893.db2.gz JWVKYXGAYDWSMA-GFCCVEGCSA-N 1 2 302.378 1.567 20 30 DDEDLO C#CCC[N@H+]1CCCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000981786324 696915289 /nfs/dbraw/zinc/91/52/89/696915289.db2.gz DMICDAKLECKBKR-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@@H+]1CCCC2(CN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000981786324 696915291 /nfs/dbraw/zinc/91/52/91/696915291.db2.gz DMICDAKLECKBKR-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@H+]1CCCC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC000981786324 696915292 /nfs/dbraw/zinc/91/52/92/696915292.db2.gz DMICDAKLECKBKR-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CCC[N@@H+]1CCCC2(CN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC000981786324 696915294 /nfs/dbraw/zinc/91/52/94/696915294.db2.gz DMICDAKLECKBKR-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCCN(C(=O)c3ccc(C#N)[nH]3)CC2)cn1 ZINC000980990994 696973456 /nfs/dbraw/zinc/97/34/56/696973456.db2.gz FOHDJPGCFPKYFT-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO Cc1ncc(C[N@H+]2CCCN(C(=O)c3ccc(C#N)[nH]3)CC2)cn1 ZINC000980990994 696973457 /nfs/dbraw/zinc/97/34/57/696973457.db2.gz FOHDJPGCFPKYFT-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO Cc1noc(C[N@H+]2C[C@@]3(C)CN(C(=O)[C@H](C)C#N)C[C@@]3(C)C2)n1 ZINC000982236712 697069611 /nfs/dbraw/zinc/06/96/11/697069611.db2.gz OPFWDFFDGQCWEB-LYRGGWFBSA-N 1 2 317.393 1.208 20 30 DDEDLO Cc1noc(C[N@@H+]2C[C@@]3(C)CN(C(=O)[C@H](C)C#N)C[C@@]3(C)C2)n1 ZINC000982236712 697069614 /nfs/dbraw/zinc/06/96/14/697069614.db2.gz OPFWDFFDGQCWEB-LYRGGWFBSA-N 1 2 317.393 1.208 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2cc(C(F)(F)F)no2)CC1 ZINC000981397884 697085355 /nfs/dbraw/zinc/08/53/55/697085355.db2.gz UWSOEZFKRZHLQA-UHFFFAOYSA-N 1 2 315.295 1.865 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2cc(C(F)(F)F)no2)CC1 ZINC000981397884 697085357 /nfs/dbraw/zinc/08/53/57/697085357.db2.gz UWSOEZFKRZHLQA-UHFFFAOYSA-N 1 2 315.295 1.865 20 30 DDEDLO C[C@]12CN(CC#N)C[C@@]1(C)CN(C(=O)c1cc3c[nH+]ccc3[nH]1)C2 ZINC000982307967 697089210 /nfs/dbraw/zinc/08/92/10/697089210.db2.gz IIOLZLRSLZRAGN-HDICACEKSA-N 1 2 323.400 1.870 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@]2(C)C[N@H+](Cc3cnon3)C[C@]2(C)C1 ZINC000982430830 697127575 /nfs/dbraw/zinc/12/75/75/697127575.db2.gz FIVGXRHYNQGSLA-CALCHBBNSA-N 1 2 318.421 1.952 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@]2(C)C[N@@H+](Cc3cnon3)C[C@]2(C)C1 ZINC000982430830 697127577 /nfs/dbraw/zinc/12/75/77/697127577.db2.gz FIVGXRHYNQGSLA-CALCHBBNSA-N 1 2 318.421 1.952 20 30 DDEDLO CCC[N@H+](CC(=O)NCCC#N)[C@@H](C)C(=O)Nc1ccccc1 ZINC000749395317 700161425 /nfs/dbraw/zinc/16/14/25/700161425.db2.gz FDEKGMCJDNGVEE-AWEZNQCLSA-N 1 2 316.405 1.755 20 30 DDEDLO CCC[N@@H+](CC(=O)NCCC#N)[C@@H](C)C(=O)Nc1ccccc1 ZINC000749395317 700161427 /nfs/dbraw/zinc/16/14/27/700161427.db2.gz FDEKGMCJDNGVEE-AWEZNQCLSA-N 1 2 316.405 1.755 20 30 DDEDLO N#Cc1c(F)cccc1S(=O)(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000778090897 698241166 /nfs/dbraw/zinc/24/11/66/698241166.db2.gz JMFMJKVKTMSNEG-JTQLQIEISA-N 1 2 320.349 1.187 20 30 DDEDLO C[C@@H]1C[C@H]([NH2+]Cc2cnsn2)CN1C(=O)c1ccc(C#N)[nH]1 ZINC000988642680 698425858 /nfs/dbraw/zinc/42/58/58/698425858.db2.gz AUCDHZNNYNMUAY-KOLCDFICSA-N 1 2 316.390 1.131 20 30 DDEDLO Cc1nn(-c2ccc(F)cc2)c(C)c1C=NNC1=[NH+]C[C@@H](C)N1 ZINC000779799599 698467434 /nfs/dbraw/zinc/46/74/34/698467434.db2.gz KMGDQKNWCFGQKV-SNVBAGLBSA-N 1 2 314.368 1.899 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)Nc2nn(C)cc2C#N)c1C ZINC000750613283 700234589 /nfs/dbraw/zinc/23/45/89/700234589.db2.gz HHVBZKMQXMVZKB-UHFFFAOYSA-N 1 2 314.349 1.634 20 30 DDEDLO Cc1cc(C(N)=[NH+]OCc2nnc3n2CCCC3)ccc1F ZINC000783029636 698799465 /nfs/dbraw/zinc/79/94/65/698799465.db2.gz UOCSHQSZUOZQLV-UHFFFAOYSA-N 1 2 303.341 1.899 20 30 DDEDLO Cn1cncc1[C@H]1CC(=O)N(C[N@H+](C)Cc2ccc(C#N)cc2)C1 ZINC000783973096 698897338 /nfs/dbraw/zinc/89/73/38/698897338.db2.gz OABNZLPJONBUPN-INIZCTEOSA-N 1 2 323.400 1.697 20 30 DDEDLO Cn1cncc1[C@H]1CC(=O)N(C[N@@H+](C)Cc2ccc(C#N)cc2)C1 ZINC000783973096 698897339 /nfs/dbraw/zinc/89/73/39/698897339.db2.gz OABNZLPJONBUPN-INIZCTEOSA-N 1 2 323.400 1.697 20 30 DDEDLO N#CCCNC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000426440636 699154748 /nfs/dbraw/zinc/15/47/48/699154748.db2.gz RXIQRVMISSNOCS-UHFFFAOYSA-N 1 2 302.378 1.232 20 30 DDEDLO C#CCn1cc(CNC(=O)c2cc(-n3cc[nH+]c3)ccn2)cn1 ZINC000788219479 699292451 /nfs/dbraw/zinc/29/24/51/699292451.db2.gz WNCCCEGFYUMHKR-UHFFFAOYSA-N 1 2 306.329 1.027 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](N(C)C(=O)OC(C)(C)C)C1 ZINC000725811997 699335149 /nfs/dbraw/zinc/33/51/49/699335149.db2.gz AHNZYHHJLDTLJI-CYBMUJFWSA-N 1 2 309.410 1.067 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](N(C)C(=O)OC(C)(C)C)C1 ZINC000725811997 699335153 /nfs/dbraw/zinc/33/51/53/699335153.db2.gz AHNZYHHJLDTLJI-CYBMUJFWSA-N 1 2 309.410 1.067 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)C(F)(F)C2CCOCC2)CC1 ZINC000790552067 699466498 /nfs/dbraw/zinc/46/64/98/699466498.db2.gz RZJSIBXYVOWKQN-UHFFFAOYSA-N 1 2 300.349 1.216 20 30 DDEDLO Cc1ncc(CO)c(/C=N/Cc2c[nH+]c3n2CCCC3)c1O ZINC000790573645 699467285 /nfs/dbraw/zinc/46/72/85/699467285.db2.gz FTGLBMPLBKNFGI-RQZCQDPDSA-N 1 2 300.362 1.740 20 30 DDEDLO COCC1CC[NH+](Cn2cc3c(c(C#N)c2=O)CCCC3)CC1 ZINC000793500793 699761651 /nfs/dbraw/zinc/76/16/51/699761651.db2.gz XPISSKFIDVEPGT-UHFFFAOYSA-N 1 2 315.417 1.915 20 30 DDEDLO N#Cc1ccc(NCCNC(=O)Nc2ccc3[nH+]ccn3c2)nc1 ZINC000738952386 699767798 /nfs/dbraw/zinc/76/77/98/699767798.db2.gz QZHUCSQVOASHPY-UHFFFAOYSA-N 1 2 321.344 1.835 20 30 DDEDLO C=CCN(C(=O)C(=O)NCC[NH+]1Cc2ccccc2C1)C(C)C ZINC000793866397 699784040 /nfs/dbraw/zinc/78/40/40/699784040.db2.gz ODOHTYSBVQWUOG-UHFFFAOYSA-N 1 2 315.417 1.541 20 30 DDEDLO NC(=NOCc1ccon1)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000740872311 699803725 /nfs/dbraw/zinc/80/37/25/699803725.db2.gz NEVBCTMHIOUYEX-UHFFFAOYSA-N 1 2 316.361 1.344 20 30 DDEDLO Cc1cnc([C@H](C)[NH+]2CCN(c3ccc(C#N)nc3)CC2)cn1 ZINC000794530697 699814383 /nfs/dbraw/zinc/81/43/83/699814383.db2.gz VKJMFBSQUMEYEB-AWEZNQCLSA-N 1 2 308.389 1.935 20 30 DDEDLO C[C@@H](OC(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)Nc1cccc(C#N)c1 ZINC000741144929 699816812 /nfs/dbraw/zinc/81/68/12/699816812.db2.gz HCHSKZVOXUKCSK-DOMZBBRYSA-N 1 2 315.373 1.913 20 30 DDEDLO C[C@@H](OC(=O)[C@@H]1CCCC[N@H+]1C)C(=O)Nc1cccc(C#N)c1 ZINC000741144929 699816814 /nfs/dbraw/zinc/81/68/14/699816814.db2.gz HCHSKZVOXUKCSK-DOMZBBRYSA-N 1 2 315.373 1.913 20 30 DDEDLO CC(C)[C@H](C(=O)Nc1cnn(CC#N)c1)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000751397128 700285494 /nfs/dbraw/zinc/28/54/94/700285494.db2.gz NYWROYGPZMQPDX-UMVBOHGHSA-N 1 2 319.409 1.479 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC000801909456 700351676 /nfs/dbraw/zinc/35/16/76/700351676.db2.gz CECFTDCPCUNBIS-ZDUSSCGKSA-N 1 2 305.353 1.512 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000756022695 700599670 /nfs/dbraw/zinc/59/96/70/700599670.db2.gz LYZAIUWCHSJCIZ-KCPJHIHWSA-N 1 2 316.357 1.513 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000756022695 700599672 /nfs/dbraw/zinc/59/96/72/700599672.db2.gz LYZAIUWCHSJCIZ-KCPJHIHWSA-N 1 2 316.357 1.513 20 30 DDEDLO C=CCN(CC=C)C(=O)COC(=O)CCc1ccc(N)[nH+]c1 ZINC000759814557 700774785 /nfs/dbraw/zinc/77/47/85/700774785.db2.gz JXWPCIUSAKRFFT-UHFFFAOYSA-N 1 2 303.362 1.340 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](C)CC(=O)c1cccs1 ZINC000765211283 701003273 /nfs/dbraw/zinc/00/32/73/701003273.db2.gz SRTAXLRXJPTNAV-OLZOCXBDSA-N 1 2 304.415 1.923 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)CC(=O)c1cccs1 ZINC000765211283 701003274 /nfs/dbraw/zinc/00/32/74/701003274.db2.gz SRTAXLRXJPTNAV-OLZOCXBDSA-N 1 2 304.415 1.923 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCC(O)(c2ccccc2Cl)CC1 ZINC000769813062 701257553 /nfs/dbraw/zinc/25/75/53/701257553.db2.gz IXBPUQUTGPPPIZ-UHFFFAOYSA-N 1 2 306.793 1.373 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1ccccc1C(=O)NC(C)C ZINC000769962005 701262303 /nfs/dbraw/zinc/26/23/03/701262303.db2.gz OGAQWTAWPBADFH-ZDUSSCGKSA-N 1 2 301.390 1.717 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1ccccc1C(=O)NC(C)C ZINC000769962005 701262304 /nfs/dbraw/zinc/26/23/04/701262304.db2.gz OGAQWTAWPBADFH-ZDUSSCGKSA-N 1 2 301.390 1.717 20 30 DDEDLO S=C(NC[C@@H]1CCCO1)NN=Cc1cccc2[nH+]ccn21 ZINC000771089457 701309594 /nfs/dbraw/zinc/30/95/94/701309594.db2.gz RHBGKQIDENJJAY-LBPRGKRZSA-N 1 2 303.391 1.311 20 30 DDEDLO N#Cc1cnn(-c2ccc(C(=O)OCCn3cc[nH+]c3)cc2)c1N ZINC000771921388 701336982 /nfs/dbraw/zinc/33/69/82/701336982.db2.gz DTVLBWWPSPTQMN-UHFFFAOYSA-N 1 2 322.328 1.380 20 30 DDEDLO C#CCCCCC(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000808051751 701495598 /nfs/dbraw/zinc/49/55/98/701495598.db2.gz HEHVWTDOPXOYBU-UHFFFAOYSA-N 1 2 313.405 1.839 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC000867796467 701755695 /nfs/dbraw/zinc/75/56/95/701755695.db2.gz ZBYBSPWGFQYXLY-OAHLLOKOSA-N 1 2 322.434 1.243 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC000867796467 701755698 /nfs/dbraw/zinc/75/56/98/701755698.db2.gz ZBYBSPWGFQYXLY-OAHLLOKOSA-N 1 2 322.434 1.243 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CCC[C@@H](CO)CC1 ZINC000815276840 701836383 /nfs/dbraw/zinc/83/63/83/701836383.db2.gz JRHUYICIAXLPBJ-GDBMZVCRSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CCC[C@@H](CO)CC1 ZINC000815276840 701836389 /nfs/dbraw/zinc/83/63/89/701836389.db2.gz JRHUYICIAXLPBJ-GDBMZVCRSA-N 1 2 302.374 1.867 20 30 DDEDLO C[N@H+](CCOCc1ccc(C#N)cc1)CN1C(=O)[C@@H]2CCC[C@@H]21 ZINC000840077163 701954885 /nfs/dbraw/zinc/95/48/85/701954885.db2.gz WWUOYKNOYQKVNY-SJORKVTESA-N 1 2 313.401 1.975 20 30 DDEDLO C[N@@H+](CCOCc1ccc(C#N)cc1)CN1C(=O)[C@@H]2CCC[C@@H]21 ZINC000840077163 701954887 /nfs/dbraw/zinc/95/48/87/701954887.db2.gz WWUOYKNOYQKVNY-SJORKVTESA-N 1 2 313.401 1.975 20 30 DDEDLO Cn1cc([C@H](O)C[C@H]2CCC[N@@H+]2Cc2nc(C#N)cs2)cn1 ZINC000811467950 701991727 /nfs/dbraw/zinc/99/17/27/701991727.db2.gz CLVWVSBBWTXKRE-ZIAGYGMSSA-N 1 2 317.418 1.836 20 30 DDEDLO Cn1cc([C@H](O)C[C@H]2CCC[N@H+]2Cc2nc(C#N)cs2)cn1 ZINC000811467950 701991732 /nfs/dbraw/zinc/99/17/32/701991732.db2.gz CLVWVSBBWTXKRE-ZIAGYGMSSA-N 1 2 317.418 1.836 20 30 DDEDLO N#Cc1c2c(cn(C[N@@H+]3CCC[C@@]4(CCOC4)C3)c1=O)CCC2 ZINC000840143750 701994915 /nfs/dbraw/zinc/99/49/15/701994915.db2.gz KFMANENGSJCWNE-GOSISDBHSA-N 1 2 313.401 1.669 20 30 DDEDLO N#Cc1c2c(cn(C[N@H+]3CCC[C@@]4(CCOC4)C3)c1=O)CCC2 ZINC000840143750 701994920 /nfs/dbraw/zinc/99/49/20/701994920.db2.gz KFMANENGSJCWNE-GOSISDBHSA-N 1 2 313.401 1.669 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(Nc2cncc(C#N)n2)CC1 ZINC000866169928 706639468 /nfs/dbraw/zinc/63/94/68/706639468.db2.gz YDXNTWMHHJGUAA-UHFFFAOYSA-N 1 2 317.393 1.566 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1CCO[C@H](C)C1)c1ccc(F)cc1 ZINC000813052440 702277987 /nfs/dbraw/zinc/27/79/87/702277987.db2.gz CUDHTQBXRIZGSF-CJNGLKHVSA-N 1 2 319.380 1.520 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1CCO[C@H](C)C1)c1ccc(F)cc1 ZINC000813052440 702277991 /nfs/dbraw/zinc/27/79/91/702277991.db2.gz CUDHTQBXRIZGSF-CJNGLKHVSA-N 1 2 319.380 1.520 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@H](CO)C[C@H](C)C1 ZINC000817278142 702323736 /nfs/dbraw/zinc/32/37/36/702323736.db2.gz SUQAAJDNDDPYEB-BJJXKVORSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@H](CO)C[C@H](C)C1 ZINC000817278142 702323744 /nfs/dbraw/zinc/32/37/44/702323744.db2.gz SUQAAJDNDDPYEB-BJJXKVORSA-N 1 2 302.374 1.723 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@@H]2CCC[N@@H+]2Cc2ccccn2)nc1 ZINC000813708949 702402643 /nfs/dbraw/zinc/40/26/43/702402643.db2.gz BLZQVOQUTCTFBW-KRWDZBQOSA-N 1 2 321.384 1.629 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@@H]2CCC[N@H+]2Cc2ccccn2)nc1 ZINC000813708949 702402646 /nfs/dbraw/zinc/40/26/46/702402646.db2.gz BLZQVOQUTCTFBW-KRWDZBQOSA-N 1 2 321.384 1.629 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000869498998 702626262 /nfs/dbraw/zinc/62/62/62/702626262.db2.gz UAFSVMWACMTXCN-INIZCTEOSA-N 1 2 311.385 1.959 20 30 DDEDLO C[N@@H+](Cc1cn(CC2CC2)nn1)C[C@H](O)c1cccc(C#N)c1 ZINC000844371057 703021844 /nfs/dbraw/zinc/02/18/44/703021844.db2.gz MASQKMPDNACQKX-KRWDZBQOSA-N 1 2 311.389 1.725 20 30 DDEDLO C[N@H+](Cc1cn(CC2CC2)nn1)C[C@H](O)c1cccc(C#N)c1 ZINC000844371057 703021845 /nfs/dbraw/zinc/02/18/45/703021845.db2.gz MASQKMPDNACQKX-KRWDZBQOSA-N 1 2 311.389 1.725 20 30 DDEDLO CCOC(=O)c1cnn2c1[nH]c(C[NH2+][C@H](CC)CC#N)cc2=O ZINC000846984988 703361622 /nfs/dbraw/zinc/36/16/22/703361622.db2.gz DAIBQXYEDDCLRY-SNVBAGLBSA-N 1 2 317.349 1.393 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1ccccc1C(=O)NC1CC1 ZINC000846988903 703362684 /nfs/dbraw/zinc/36/26/84/703362684.db2.gz VSHLLRMFZOKBIS-LBPRGKRZSA-N 1 2 314.389 1.799 20 30 DDEDLO Cc1ccc(F)c2c1NC(=O)C2=NNCCCn1cc[nH+]c1 ZINC000848417633 703548176 /nfs/dbraw/zinc/54/81/76/703548176.db2.gz CTMUIUBQKWSXFG-UHFFFAOYSA-N 1 2 301.325 1.667 20 30 DDEDLO CN1c2ccccc2N(C)C1=C1C(=[NH2+])N(C/C=C\CO)CC1=O ZINC000849174263 703623518 /nfs/dbraw/zinc/62/35/18/703623518.db2.gz PIZDEUKXMSIFEB-DYTZNTCASA-N 1 2 312.373 1.195 20 30 DDEDLO C=CCNC(=S)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000849275940 703630708 /nfs/dbraw/zinc/63/07/08/703630708.db2.gz VEMGROQJSAXHHI-CVEARBPZSA-N 1 2 317.458 1.632 20 30 DDEDLO C=CCNC(=S)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000849275940 703630709 /nfs/dbraw/zinc/63/07/09/703630709.db2.gz VEMGROQJSAXHHI-CVEARBPZSA-N 1 2 317.458 1.632 20 30 DDEDLO C=CCCn1cc(C[NH2+]CC(=O)N2CCc3ccccc32)nn1 ZINC000850120765 703705101 /nfs/dbraw/zinc/70/51/01/703705101.db2.gz BWGFAECIVWVQHA-UHFFFAOYSA-N 1 2 311.389 1.533 20 30 DDEDLO C=CCC1(C(=O)N2CCO[C@@H](C[NH+]3CCOCC3)C2)CCCC1 ZINC000870058032 703905453 /nfs/dbraw/zinc/90/54/53/703905453.db2.gz LJRKZDOECLQRSS-INIZCTEOSA-N 1 2 322.449 1.683 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH2+][C@@H](c3cccc(OC)c3)C2)cn1 ZINC000870149032 703931700 /nfs/dbraw/zinc/93/17/00/703931700.db2.gz BFKBEXAHNVFCPQ-GOSISDBHSA-N 1 2 321.380 1.858 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000870156463 703936720 /nfs/dbraw/zinc/93/67/20/703936720.db2.gz VSDCLUXRKLRZTF-UHFFFAOYSA-N 1 2 318.417 1.932 20 30 DDEDLO C=CCOCCCNC(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000852564768 704076068 /nfs/dbraw/zinc/07/60/68/704076068.db2.gz RQDAWPQNKOMWQL-CQSZACIVSA-N 1 2 306.410 1.902 20 30 DDEDLO COC(=O)N(C)CC[N@H+](C)[C@H](C)C(=O)Nc1ccccc1C#N ZINC000852571888 704078880 /nfs/dbraw/zinc/07/88/80/704078880.db2.gz HURUNXKSVKURLC-GFCCVEGCSA-N 1 2 318.377 1.515 20 30 DDEDLO COC(=O)N(C)CC[N@@H+](C)[C@H](C)C(=O)Nc1ccccc1C#N ZINC000852571888 704078883 /nfs/dbraw/zinc/07/88/83/704078883.db2.gz HURUNXKSVKURLC-GFCCVEGCSA-N 1 2 318.377 1.515 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@@H](CO)c1cccc(Cl)c1Cl ZINC000852674470 704097765 /nfs/dbraw/zinc/09/77/65/704097765.db2.gz BYGLNBIIIYCYOW-CABZTGNLSA-N 1 2 315.200 1.754 20 30 DDEDLO CC(C)(C)OC(=O)N1CCO[C@@H](C[N@@H+]2CC[C@](C)(C#N)C2)C1 ZINC000852686659 704100164 /nfs/dbraw/zinc/10/01/64/704100164.db2.gz JMUZVELJCXRCRJ-XJKSGUPXSA-N 1 2 309.410 1.858 20 30 DDEDLO CC(C)(C)OC(=O)N1CCO[C@@H](C[N@H+]2CC[C@](C)(C#N)C2)C1 ZINC000852686659 704100167 /nfs/dbraw/zinc/10/01/67/704100167.db2.gz JMUZVELJCXRCRJ-XJKSGUPXSA-N 1 2 309.410 1.858 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@H](C)[C@@](C)(CO)C1 ZINC000852703222 704102549 /nfs/dbraw/zinc/10/25/49/704102549.db2.gz NQMDMBNMLPIURF-YLQAJVPDSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@H](C)[C@@](C)(CO)C1 ZINC000852703222 704102551 /nfs/dbraw/zinc/10/25/51/704102551.db2.gz NQMDMBNMLPIURF-YLQAJVPDSA-N 1 2 302.374 1.723 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000819990891 704199034 /nfs/dbraw/zinc/19/90/34/704199034.db2.gz MFNBZULVMMCAQN-HNNXBMFYSA-N 1 2 309.373 1.361 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)NCCCNc1cccc[nH+]1 ZINC000858231663 704682611 /nfs/dbraw/zinc/68/26/11/704682611.db2.gz USLYJOYGYLEPDK-AWEZNQCLSA-N 1 2 304.394 1.611 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@@H+](CC(N)=O)C1CCOCC1 ZINC000880394850 706963089 /nfs/dbraw/zinc/96/30/89/706963089.db2.gz VXHUESJOHDCDDT-UHFFFAOYSA-N 1 2 307.781 1.678 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@H+](CC(N)=O)C1CCOCC1 ZINC000880394850 706963091 /nfs/dbraw/zinc/96/30/91/706963091.db2.gz VXHUESJOHDCDDT-UHFFFAOYSA-N 1 2 307.781 1.678 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CC[NH2+][C@@H](c2ccc(F)cc2)C1 ZINC000874888554 705217130 /nfs/dbraw/zinc/21/71/30/705217130.db2.gz DHCCWZCWZSACPI-OAHLLOKOSA-N 1 2 312.348 1.823 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000826590932 705820899 /nfs/dbraw/zinc/82/08/99/705820899.db2.gz WKEIJVNISNCAHC-AEFFLSMTSA-N 1 2 318.417 1.249 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000826590932 705820905 /nfs/dbraw/zinc/82/09/05/705820905.db2.gz WKEIJVNISNCAHC-AEFFLSMTSA-N 1 2 318.417 1.249 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)[C@@H](CC)[N@@H+]1CCO[C@@H](CC)C1 ZINC000863780543 706009330 /nfs/dbraw/zinc/00/93/30/706009330.db2.gz BYEAQMIJNQVVHQ-OWCLPIDISA-N 1 2 310.438 1.420 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)[C@@H](CC)[N@H+]1CCO[C@@H](CC)C1 ZINC000863780543 706009331 /nfs/dbraw/zinc/00/93/31/706009331.db2.gz BYEAQMIJNQVVHQ-OWCLPIDISA-N 1 2 310.438 1.420 20 30 DDEDLO C[NH+](C)CC#CCNC(=O)c1cccc2nc(C(F)F)[nH]c21 ZINC000827971797 706096892 /nfs/dbraw/zinc/09/68/92/706096892.db2.gz HPIQIHNSQRXDPL-UHFFFAOYSA-N 1 2 306.316 1.795 20 30 DDEDLO N#C[C@]1(C(=O)N2CC[NH+](Cc3cccs3)CC2)CCCOC1 ZINC000864562801 706196768 /nfs/dbraw/zinc/19/67/68/706196768.db2.gz UIPLCMDCZJUREH-MRXNPFEDSA-N 1 2 319.430 1.713 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1)Cc1nc2c(c(=O)[nH]1)COCC2 ZINC000877916481 706240418 /nfs/dbraw/zinc/24/04/18/706240418.db2.gz DKZWVFSNHMTEBG-UHFFFAOYSA-N 1 2 310.357 1.759 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1)Cc1nc2c(c(=O)[nH]1)COCC2 ZINC000877916481 706240421 /nfs/dbraw/zinc/24/04/21/706240421.db2.gz DKZWVFSNHMTEBG-UHFFFAOYSA-N 1 2 310.357 1.759 20 30 DDEDLO N#Cc1ccc(F)c(C(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)c1 ZINC000828955249 706262341 /nfs/dbraw/zinc/26/23/41/706262341.db2.gz FHANJUNGTUTUSM-CQSZACIVSA-N 1 2 317.364 1.634 20 30 DDEDLO C#C[C@H]([NH2+]Cc1csc(-c2ccn(C)n2)n1)[C@H]1CCCO1 ZINC000878453893 706392079 /nfs/dbraw/zinc/39/20/79/706392079.db2.gz OYUDIAPNFVZFSO-GXTWGEPZSA-N 1 2 302.403 1.814 20 30 DDEDLO C=C(Cl)C[C@@H]1NC(=O)N([C@@H](C)[C@H](C)[NH+]2CCOCC2)C1=O ZINC000865303125 706399212 /nfs/dbraw/zinc/39/92/12/706399212.db2.gz ZJPJWDJSZTVTPF-SRVKXCTJSA-N 1 2 315.801 1.159 20 30 DDEDLO COc1ccc(NC(=O)[C@H](c2c[nH+]cn2C)N(C)C)cc1C#N ZINC000830412952 706513593 /nfs/dbraw/zinc/51/35/93/706513593.db2.gz AZXPUCODMMEWCA-HNNXBMFYSA-N 1 2 313.361 1.542 20 30 DDEDLO N#Cc1ccc(C2([NH2+]Cc3nnc4c(=O)[nH]ccn34)CCC2)cc1 ZINC000878847653 706515858 /nfs/dbraw/zinc/51/58/58/706515858.db2.gz UNVIZOBHEBLBOG-UHFFFAOYSA-N 1 2 320.356 1.458 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)/C=C/C[NH+]1CCOCC1 ZINC000865886602 706552754 /nfs/dbraw/zinc/55/27/54/706552754.db2.gz CEIJAEVGDLOWNU-VMPITWQZSA-N 1 2 314.385 1.203 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@@H+]2CCc3ncncc3C2)cc1 ZINC000880593263 707031892 /nfs/dbraw/zinc/03/18/92/707031892.db2.gz SDIYENPVJMHWBK-INIZCTEOSA-N 1 2 324.384 1.341 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[N@H+]2CCc3ncncc3C2)cc1 ZINC000880593263 707031895 /nfs/dbraw/zinc/03/18/95/707031895.db2.gz SDIYENPVJMHWBK-INIZCTEOSA-N 1 2 324.384 1.341 20 30 DDEDLO Cc1cc(C)c(CNC(=O)NCCNc2ncccc2C#N)c[nH+]1 ZINC000836654782 707497967 /nfs/dbraw/zinc/49/79/67/707497967.db2.gz CKHDHENGKSEFQV-UHFFFAOYSA-N 1 2 324.388 1.876 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1CC2(CC1=O)CCC1(CC2)OCCO1 ZINC000872601504 707505957 /nfs/dbraw/zinc/50/59/57/707505957.db2.gz QJGGCCRQBWUXQN-CQSZACIVSA-N 1 2 306.406 1.433 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1CC2(CC1=O)CCC1(CC2)OCCO1 ZINC000872601504 707505959 /nfs/dbraw/zinc/50/59/59/707505959.db2.gz QJGGCCRQBWUXQN-CQSZACIVSA-N 1 2 306.406 1.433 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1c[nH]c2ccccc12 ZINC000884047540 708116600 /nfs/dbraw/zinc/11/66/00/708116600.db2.gz ZQFPVZIXVWSGLM-AWEZNQCLSA-N 1 2 315.373 1.273 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCCc2cc(F)ccc21 ZINC000884077783 708129143 /nfs/dbraw/zinc/12/91/43/708129143.db2.gz XVPVIKXRKPWRKD-ZDUSSCGKSA-N 1 2 306.337 1.552 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](CC)c1ccccc1OC ZINC000884079730 708129571 /nfs/dbraw/zinc/12/95/71/708129571.db2.gz ZDWYIGLJWHQXER-KBPBESRZSA-N 1 2 320.389 1.709 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(OC)cc1C ZINC000884141021 708157870 /nfs/dbraw/zinc/15/78/70/708157870.db2.gz MMLBHBJSUDZJKT-AWEZNQCLSA-N 1 2 306.362 1.066 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1cc2ccccc2o1 ZINC000884157836 708165059 /nfs/dbraw/zinc/16/50/59/708165059.db2.gz LEJNQSPHPXHDII-AWEZNQCLSA-N 1 2 316.357 1.838 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(C)cc1SC ZINC000884164165 708167523 /nfs/dbraw/zinc/16/75/23/708167523.db2.gz ZTBIQSFXCAEJQE-ZDUSSCGKSA-N 1 2 322.430 1.780 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2ccc(F)cc2C1 ZINC000884311831 708239014 /nfs/dbraw/zinc/23/90/14/708239014.db2.gz NSPALEJBVCZWOQ-AWEZNQCLSA-N 1 2 306.337 1.157 20 30 DDEDLO N#Cc1csc(CNC(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)n1 ZINC000897296522 708282489 /nfs/dbraw/zinc/28/24/89/708282489.db2.gz VOCGDJKEIOLIOD-JTQLQIEISA-N 1 2 316.390 1.827 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)C1 ZINC000884593614 708334894 /nfs/dbraw/zinc/33/48/94/708334894.db2.gz ORCBGHACTLNXGR-HUUCEWRRSA-N 1 2 300.406 1.535 20 30 DDEDLO C#CC[C@@H]1CCN(c2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)C1 ZINC000884593614 708334896 /nfs/dbraw/zinc/33/48/96/708334896.db2.gz ORCBGHACTLNXGR-HUUCEWRRSA-N 1 2 300.406 1.535 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccc(C)cc2F)C1 ZINC000885512762 708563417 /nfs/dbraw/zinc/56/34/17/708563417.db2.gz YRMXMVYRWIGBKV-OAHLLOKOSA-N 1 2 324.421 1.651 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccc(C)cc2F)C1 ZINC000885512762 708563421 /nfs/dbraw/zinc/56/34/21/708563421.db2.gz YRMXMVYRWIGBKV-OAHLLOKOSA-N 1 2 324.421 1.651 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(F)cc2C)C1 ZINC000885514160 708563971 /nfs/dbraw/zinc/56/39/71/708563971.db2.gz RDHRTEPMSUKXLI-AWEZNQCLSA-N 1 2 310.394 1.510 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(F)cc2C)C1 ZINC000885514160 708563973 /nfs/dbraw/zinc/56/39/73/708563973.db2.gz RDHRTEPMSUKXLI-AWEZNQCLSA-N 1 2 310.394 1.510 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)C2(C#N)CC3(CC3)C2)n1 ZINC000927762332 713049235 /nfs/dbraw/zinc/04/92/35/713049235.db2.gz XYAPHKZFUBPRJG-UHFFFAOYSA-N 1 2 304.419 1.905 20 30 DDEDLO CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000886468785 708765184 /nfs/dbraw/zinc/76/51/84/708765184.db2.gz QNURSNRXMAWJAR-OAHLLOKOSA-N 1 2 321.446 1.857 20 30 DDEDLO CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(CCC#N)cc1 ZINC000886468785 708765186 /nfs/dbraw/zinc/76/51/86/708765186.db2.gz QNURSNRXMAWJAR-OAHLLOKOSA-N 1 2 321.446 1.857 20 30 DDEDLO Cc1c(C(=O)NCC(C)(C)n2cc[nH+]c2)cnn1CCC#N ZINC000898902234 708917178 /nfs/dbraw/zinc/91/71/78/708917178.db2.gz SNILDDZXDUBFLE-UHFFFAOYSA-N 1 2 300.366 1.467 20 30 DDEDLO N#Cc1ccc2nc(NC(=O)C3C[NH+]([C@@H]4CCOC4)C3)[nH]c2c1 ZINC000899169929 709008148 /nfs/dbraw/zinc/00/81/48/709008148.db2.gz GHEGCCCUZGMWCW-GFCCVEGCSA-N 1 2 311.345 1.094 20 30 DDEDLO C#C[C@@H]1CCCCN1C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000890647276 709938421 /nfs/dbraw/zinc/93/84/21/709938421.db2.gz CRWUBHGTQKDYFU-MRXNPFEDSA-N 1 2 314.433 1.646 20 30 DDEDLO N#Cc1cccc([C@H]2CN(C(=O)CNc3cccc[nH+]3)CCO2)c1 ZINC000901251990 709984874 /nfs/dbraw/zinc/98/48/74/709984874.db2.gz WRDHPVOFOHDTJP-MRXNPFEDSA-N 1 2 322.368 1.965 20 30 DDEDLO CCn1cc(CN(CCC#N)CC[NH+]2CCOCC2)c(C)n1 ZINC000891393840 710183246 /nfs/dbraw/zinc/18/32/46/710183246.db2.gz JPJVUVDBUBLVFA-UHFFFAOYSA-N 1 2 305.426 1.259 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)c2ccc(Cl)cc2)C1 ZINC000902729114 710881090 /nfs/dbraw/zinc/88/10/90/710881090.db2.gz DXJQRCPWUSWGLQ-CQSZACIVSA-N 1 2 304.777 1.737 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)c2ccc(Cl)cc2)C1 ZINC000902729114 710881093 /nfs/dbraw/zinc/88/10/93/710881093.db2.gz DXJQRCPWUSWGLQ-CQSZACIVSA-N 1 2 304.777 1.737 20 30 DDEDLO N#CCSCC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000893987166 710912339 /nfs/dbraw/zinc/91/23/39/710912339.db2.gz ISULUUWNTWHOQX-AWEZNQCLSA-N 1 2 300.387 1.997 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1 ZINC000913441417 713217525 /nfs/dbraw/zinc/21/75/25/713217525.db2.gz KRSYQSAAMZPBAN-QGZVFWFLSA-N 1 2 323.400 1.614 20 30 DDEDLO Cn1nc(Br)nc1C[NH2+]Cc1ccc(C#N)cc1 ZINC000894186067 711005520 /nfs/dbraw/zinc/00/55/20/711005520.db2.gz HHZSRAULIVNHOF-UHFFFAOYSA-N 1 2 306.167 1.739 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@@H](CC)C[NH+]2CCOCC2)cc1 ZINC000928642981 713247347 /nfs/dbraw/zinc/24/73/47/713247347.db2.gz XTNWMHALLKQXHR-INIZCTEOSA-N 1 2 316.401 1.539 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2ccc(F)cc2F)no1 ZINC000904088511 711377696 /nfs/dbraw/zinc/37/76/96/711377696.db2.gz ZTWTXYWDFNJQOE-LBPRGKRZSA-N 1 2 323.299 1.538 20 30 DDEDLO C#CC[N@@H+](Cc1ccc([N+](=O)[O-])c(OC)c1O)C1CSC1 ZINC000895813782 711614279 /nfs/dbraw/zinc/61/42/79/711614279.db2.gz HMQXJCTZDVUJKH-UHFFFAOYSA-N 1 2 308.359 1.860 20 30 DDEDLO C#CC[N@H+](Cc1ccc([N+](=O)[O-])c(OC)c1O)C1CSC1 ZINC000895813782 711614281 /nfs/dbraw/zinc/61/42/81/711614281.db2.gz HMQXJCTZDVUJKH-UHFFFAOYSA-N 1 2 308.359 1.860 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+](Cn2ccc(C)c(C#N)c2=O)C[C@H]1C ZINC000905713229 712128830 /nfs/dbraw/zinc/12/88/30/712128830.db2.gz WBIFCWJKABGZHQ-CHWSQXEVSA-N 1 2 303.362 1.117 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+](Cn2ccc(C)c(C#N)c2=O)C[C@H]1C ZINC000905713229 712128831 /nfs/dbraw/zinc/12/88/31/712128831.db2.gz WBIFCWJKABGZHQ-CHWSQXEVSA-N 1 2 303.362 1.117 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000913809198 713303804 /nfs/dbraw/zinc/30/38/04/713303804.db2.gz MANYFASDZSICIM-IOTAOYMUSA-N 1 2 319.449 1.933 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000913809198 713303805 /nfs/dbraw/zinc/30/38/05/713303805.db2.gz MANYFASDZSICIM-IOTAOYMUSA-N 1 2 319.449 1.933 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H](n3cc[nH+]c3)C2)nc1 ZINC000906912521 712437186 /nfs/dbraw/zinc/43/71/86/712437186.db2.gz OIZYTAXDPUIOAD-ZDUSSCGKSA-N 1 2 317.374 1.176 20 30 DDEDLO C=C(CC[NH+](C)C)C(=O)Nc1cccc(-c2n[nH]c(=O)[nH]2)c1 ZINC000908068883 712676803 /nfs/dbraw/zinc/67/68/03/712676803.db2.gz NPRLPUSMRNDUFT-UHFFFAOYSA-N 1 2 301.350 1.624 20 30 DDEDLO Cc1cc(=O)oc2cc(OC[C@H](O)C[N@H+](C)CCC#N)ccc12 ZINC000916627519 713461636 /nfs/dbraw/zinc/46/16/36/713461636.db2.gz ACCVEYPLZCJWTQ-CYBMUJFWSA-N 1 2 316.357 1.687 20 30 DDEDLO Cc1cc(=O)oc2cc(OC[C@H](O)C[N@@H+](C)CCC#N)ccc12 ZINC000916627519 713461637 /nfs/dbraw/zinc/46/16/37/713461637.db2.gz ACCVEYPLZCJWTQ-CYBMUJFWSA-N 1 2 316.357 1.687 20 30 DDEDLO C[N@H+](Cc1cncc(C#N)c1)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000929998029 713731957 /nfs/dbraw/zinc/73/19/57/713731957.db2.gz AVFGPXQRPBOEGN-HUUCEWRRSA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1cncc(C#N)c1)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000929998029 713731959 /nfs/dbraw/zinc/73/19/59/713731959.db2.gz AVFGPXQRPBOEGN-HUUCEWRRSA-N 1 2 307.419 1.741 20 30 DDEDLO C#CC[NH+]1CCC(NS(=O)(=O)c2cccc(F)c2C#N)CC1 ZINC000921439336 713771850 /nfs/dbraw/zinc/77/18/50/713771850.db2.gz YFLUIHJZMVPXDC-UHFFFAOYSA-N 1 2 321.377 1.073 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1[C@H](C)C(=O)N[C@@H](C)[C@H]1C ZINC000930829601 713930591 /nfs/dbraw/zinc/93/05/91/713930591.db2.gz ADLWOOAIBIYVKJ-YNEHKIRRSA-N 1 2 317.389 1.543 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1[C@H](C)C(=O)N[C@@H](C)[C@H]1C ZINC000930829601 713930593 /nfs/dbraw/zinc/93/05/93/713930593.db2.gz ADLWOOAIBIYVKJ-YNEHKIRRSA-N 1 2 317.389 1.543 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)N(CC(F)(F)F)C(C)C)CC1 ZINC000931143979 714014619 /nfs/dbraw/zinc/01/46/19/714014619.db2.gz PEFLYBIYCCRBDX-UHFFFAOYSA-N 1 2 306.328 1.246 20 30 DDEDLO Cc1cc(CNC(=O)N2C[C@@H](C)N(CC#N)[C@H](C)C2)cc(C)[nH+]1 ZINC000922927515 714189033 /nfs/dbraw/zinc/18/90/33/714189033.db2.gz NHEDAHKWTZXZON-HUUCEWRRSA-N 1 2 315.421 1.826 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](C2OCCO2)C1 ZINC000932002142 714230348 /nfs/dbraw/zinc/23/03/48/714230348.db2.gz DTLJRNIZLWHNQW-GJZGRUSLSA-N 1 2 316.357 1.467 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](C2OCCO2)C1 ZINC000932002142 714230350 /nfs/dbraw/zinc/23/03/50/714230350.db2.gz DTLJRNIZLWHNQW-GJZGRUSLSA-N 1 2 316.357 1.467 20 30 DDEDLO N#C[C@H]1CCC[N@@H+](CCC(=O)Nc2sccc2C(N)=O)C1 ZINC000932155903 714273234 /nfs/dbraw/zinc/27/32/34/714273234.db2.gz QPDVCRJPRAJAFA-SNVBAGLBSA-N 1 2 306.391 1.411 20 30 DDEDLO N#C[C@H]1CCC[N@H+](CCC(=O)Nc2sccc2C(N)=O)C1 ZINC000932155903 714273237 /nfs/dbraw/zinc/27/32/37/714273237.db2.gz QPDVCRJPRAJAFA-SNVBAGLBSA-N 1 2 306.391 1.411 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@@H+]2CCC[C@H](C#N)C2)cc1 ZINC000932155148 714273246 /nfs/dbraw/zinc/27/32/46/714273246.db2.gz XKZWMTLBEVPPAY-IAGOWNOFSA-N 1 2 318.417 1.851 20 30 DDEDLO COCCc1ccc(OC[C@H](O)C[N@H+]2CCC[C@H](C#N)C2)cc1 ZINC000932155148 714273249 /nfs/dbraw/zinc/27/32/49/714273249.db2.gz XKZWMTLBEVPPAY-IAGOWNOFSA-N 1 2 318.417 1.851 20 30 DDEDLO Cn1nccc1[C@H]1CCC[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000932268801 714296297 /nfs/dbraw/zinc/29/62/97/714296297.db2.gz DQQOLZZNMRUWEK-OAHLLOKOSA-N 1 2 314.393 1.213 20 30 DDEDLO Cn1nccc1[C@H]1CCC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000932268801 714296300 /nfs/dbraw/zinc/29/63/00/714296300.db2.gz DQQOLZZNMRUWEK-OAHLLOKOSA-N 1 2 314.393 1.213 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1nc(CC(=O)OC)cs1)C(C)C ZINC000932388312 714321611 /nfs/dbraw/zinc/32/16/11/714321611.db2.gz GFIYHVVSNPZBJD-UHFFFAOYSA-N 1 2 309.391 1.141 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1nc(CC(=O)OC)cs1)C(C)C ZINC000932388312 714321612 /nfs/dbraw/zinc/32/16/12/714321612.db2.gz GFIYHVVSNPZBJD-UHFFFAOYSA-N 1 2 309.391 1.141 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H]1C(=O)NC(C)(C)C ZINC000932438762 714331369 /nfs/dbraw/zinc/33/13/69/714331369.db2.gz UFLPGNQUQAEVOM-UONOGXRCSA-N 1 2 322.453 1.374 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H]1C(=O)NC(C)(C)C ZINC000932438762 714331371 /nfs/dbraw/zinc/33/13/71/714331371.db2.gz UFLPGNQUQAEVOM-UONOGXRCSA-N 1 2 322.453 1.374 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1C[C@@H](CNC(=O)OC(C)(C)C)[C@H](C)C1 ZINC000932720857 714397698 /nfs/dbraw/zinc/39/76/98/714397698.db2.gz PSCSJCATOUOYNV-UPJWGTAASA-N 1 2 312.410 1.807 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1C[C@@H](CNC(=O)OC(C)(C)C)[C@H](C)C1 ZINC000932720857 714397699 /nfs/dbraw/zinc/39/76/99/714397699.db2.gz PSCSJCATOUOYNV-UPJWGTAASA-N 1 2 312.410 1.807 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2nc3cnccc3s2)C1 ZINC000923559360 714402536 /nfs/dbraw/zinc/40/25/36/714402536.db2.gz CXIXGYDNMQVDBR-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2nc3cnccc3s2)C1 ZINC000923559360 714402539 /nfs/dbraw/zinc/40/25/39/714402539.db2.gz CXIXGYDNMQVDBR-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ccccc2[S@](=O)CC)C1 ZINC000923591043 714420617 /nfs/dbraw/zinc/42/06/17/714420617.db2.gz LZQBZJFFTYSXBK-RCDICMHDSA-N 1 2 318.442 1.642 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccccc2[S@](=O)CC)C1 ZINC000923591043 714420618 /nfs/dbraw/zinc/42/06/18/714420618.db2.gz LZQBZJFFTYSXBK-RCDICMHDSA-N 1 2 318.442 1.642 20 30 DDEDLO C#CCN(C)c1cnc(-c2noc([C@@H]3C[C@H]3c3c[nH]c[nH+]3)n2)cn1 ZINC000924060067 714518667 /nfs/dbraw/zinc/51/86/67/714518667.db2.gz CKKUNEQBACHNGO-GHMZBOCLSA-N 1 2 321.344 1.590 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1C[C@H]1CCCO1 ZINC000933680463 714646991 /nfs/dbraw/zinc/64/69/91/714646991.db2.gz XGERTTORHWWRAZ-JKSUJKDBSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1C[C@H]1CCCO1 ZINC000933680463 714646993 /nfs/dbraw/zinc/64/69/93/714646993.db2.gz XGERTTORHWWRAZ-JKSUJKDBSA-N 1 2 310.438 1.681 20 30 DDEDLO CC(C)NC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1cc(C#N)cs1 ZINC000933775915 714669385 /nfs/dbraw/zinc/66/93/85/714669385.db2.gz OWTQSTCZOYLFEH-JTQLQIEISA-N 1 2 308.407 1.674 20 30 DDEDLO CC(C)NC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933775915 714669387 /nfs/dbraw/zinc/66/93/87/714669387.db2.gz OWTQSTCZOYLFEH-JTQLQIEISA-N 1 2 308.407 1.674 20 30 DDEDLO C#Cc1ccc(NC(=O)N[C@@H](CC)C[NH+]2CCOCC2)cc1 ZINC000934917599 714929601 /nfs/dbraw/zinc/92/96/01/714929601.db2.gz DZTZVKZRXDVHMI-HNNXBMFYSA-N 1 2 301.390 1.900 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)cc1 ZINC000934922763 714930341 /nfs/dbraw/zinc/93/03/41/714930341.db2.gz NEJDCWADBZIQMR-ZIAGYGMSSA-N 1 2 301.390 1.899 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)cc1 ZINC000934922763 714930343 /nfs/dbraw/zinc/93/03/43/714930343.db2.gz NEJDCWADBZIQMR-ZIAGYGMSSA-N 1 2 301.390 1.899 20 30 DDEDLO C=C1CCC(C(=O)N(C)C2CN(C(=O)Cc3c[nH+]c[nH]3)C2)CC1 ZINC000955219854 715683045 /nfs/dbraw/zinc/68/30/45/715683045.db2.gz CPWDGHDKVQGQLX-UHFFFAOYSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2CN(C(=O)Cc3[nH]c[nH+]c3C)C2)C1 ZINC000955255441 715704700 /nfs/dbraw/zinc/70/47/00/715704700.db2.gz DVGYLKRAOXZPCC-UHFFFAOYSA-N 1 2 316.405 1.286 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCCN2C(=O)CCC)C1 ZINC000957419898 715893457 /nfs/dbraw/zinc/89/34/57/715893457.db2.gz AGLDNMOXGMWLEZ-INIZCTEOSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)COc2ccc(OCC)cc2)CC1 ZINC000957555905 715952138 /nfs/dbraw/zinc/95/21/38/715952138.db2.gz WFHGOQFAICKYEP-UHFFFAOYSA-N 1 2 304.390 1.794 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccs4)C[C@H]32)c1 ZINC000958500791 716597881 /nfs/dbraw/zinc/59/78/81/716597881.db2.gz UHNLYIVSYPUGSR-YABSGUDNSA-N 1 2 313.386 1.203 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccs4)C[C@H]32)c1 ZINC000958500791 716597884 /nfs/dbraw/zinc/59/78/84/716597884.db2.gz UHNLYIVSYPUGSR-YABSGUDNSA-N 1 2 313.386 1.203 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)/C=C/c3ccco3)CC2)C1 ZINC000941288646 717147523 /nfs/dbraw/zinc/14/75/23/717147523.db2.gz ZIICETMYVLYMKT-VOTSOKGWSA-N 1 2 313.401 1.145 20 30 DDEDLO C=CCC[NH+]1CC(N2CCN(C(=O)C(F)C(F)(F)F)CC2)C1 ZINC000941416475 717173155 /nfs/dbraw/zinc/17/31/55/717173155.db2.gz ARSQJHISOGVFPE-GFCCVEGCSA-N 1 2 323.334 1.291 20 30 DDEDLO C=CCC[NH+]1CC(N2CCN(C(=O)[C@@H](F)C(F)(F)F)CC2)C1 ZINC000941416475 717173161 /nfs/dbraw/zinc/17/31/61/717173161.db2.gz ARSQJHISOGVFPE-GFCCVEGCSA-N 1 2 323.334 1.291 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3CC[C@H](C)C3)CC2)C1 ZINC000941524700 717193600 /nfs/dbraw/zinc/19/36/00/717193600.db2.gz UGWMJYBDKDTEAZ-HOTGVXAUSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3CC[C@@H](C)C3)CC2)C1 ZINC000941524701 717193689 /nfs/dbraw/zinc/19/36/89/717193689.db2.gz UGWMJYBDKDTEAZ-HZPDHXFCSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)CC3CC(C)(C)C3)CC2)C1 ZINC000941529572 717195968 /nfs/dbraw/zinc/19/59/68/717195968.db2.gz RZJKRZJNKVXHQH-UHFFFAOYSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCCC[C@H]3C)CC2)C1 ZINC000941541423 717200678 /nfs/dbraw/zinc/20/06/78/717200678.db2.gz ZLUWBRKNXYRCLE-WBVHZDCISA-N 1 2 303.450 1.274 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000942081004 717470007 /nfs/dbraw/zinc/47/00/07/717470007.db2.gz LQDOBXPIJCFWLG-QGZVFWFLSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cn(C)nc2C)C1 ZINC000965596823 717704826 /nfs/dbraw/zinc/70/48/26/717704826.db2.gz MIAMHONCQKWWHU-HZMBPMFUSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cn(C)nc2C)C1 ZINC000965596823 717704831 /nfs/dbraw/zinc/70/48/31/717704831.db2.gz MIAMHONCQKWWHU-HZMBPMFUSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cncnc2)C1 ZINC000965963352 717835590 /nfs/dbraw/zinc/83/55/90/717835590.db2.gz IDWHGOOXAGJYKV-SMDDNHRTSA-N 1 2 308.813 1.598 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cncnc2)C1 ZINC000965963352 717835595 /nfs/dbraw/zinc/83/55/95/717835595.db2.gz IDWHGOOXAGJYKV-SMDDNHRTSA-N 1 2 308.813 1.598 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000943480563 718125417 /nfs/dbraw/zinc/12/54/17/718125417.db2.gz JRQSKLWIXNTUIN-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccon3)C[C@@H]2C)cn1 ZINC000944215000 718273234 /nfs/dbraw/zinc/27/32/34/718273234.db2.gz PKJRMJFXCWFQHJ-SUMWQHHRSA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccon3)C[C@@H]2C)cn1 ZINC000944215000 718273236 /nfs/dbraw/zinc/27/32/36/718273236.db2.gz PKJRMJFXCWFQHJ-SUMWQHHRSA-N 1 2 324.384 1.691 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC000966750698 718649457 /nfs/dbraw/zinc/64/94/57/718649457.db2.gz JSNAKEYFVDCMNY-MFKMUULPSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC000966750698 718649461 /nfs/dbraw/zinc/64/94/61/718649461.db2.gz JSNAKEYFVDCMNY-MFKMUULPSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC000967336861 718848467 /nfs/dbraw/zinc/84/84/67/718848467.db2.gz NBNMDRCCLLHWLI-NHYWBVRUSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC000967336861 718848471 /nfs/dbraw/zinc/84/84/71/718848471.db2.gz NBNMDRCCLLHWLI-NHYWBVRUSA-N 1 2 324.856 1.850 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CCN(CC#N)[C@@H](C)C3)ccn12 ZINC000947315049 719115276 /nfs/dbraw/zinc/11/52/76/719115276.db2.gz MFKWWMDUZZCTGC-SWLSCSKDSA-N 1 2 311.389 1.749 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2cn[nH]c2-c2ccccn2)C[C@H]1C ZINC000947376989 719141361 /nfs/dbraw/zinc/14/13/61/719141361.db2.gz CMTZHQFJINKVKC-ZIAGYGMSSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2cn[nH]c2-c2ccccn2)C[C@H]1C ZINC000947376989 719141363 /nfs/dbraw/zinc/14/13/63/719141363.db2.gz CMTZHQFJINKVKC-ZIAGYGMSSA-N 1 2 323.400 1.688 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(NC(=O)Cn2cc[nH+]c2)CC1 ZINC000947705250 719249221 /nfs/dbraw/zinc/24/92/21/719249221.db2.gz UATDEKVNPPSZER-UHFFFAOYSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(NC(=O)Cc2c[nH+]cn2C)CC1 ZINC000947718674 719251727 /nfs/dbraw/zinc/25/17/27/719251727.db2.gz ZRVROTPLOPUPOT-UHFFFAOYSA-N 1 2 318.421 1.282 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1)c1csnn1 ZINC000968442238 719589566 /nfs/dbraw/zinc/58/95/66/719589566.db2.gz UKHWPCCALNWOGZ-MWLCHTKSSA-N 1 2 316.390 1.303 20 30 DDEDLO C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)C1 ZINC000968477757 719608008 /nfs/dbraw/zinc/60/80/08/719608008.db2.gz WGJPAAYVMGQUTK-PBHICJAKSA-N 1 2 323.400 1.341 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2sc(COC)nc2C)C1 ZINC000968597296 719684104 /nfs/dbraw/zinc/68/41/04/719684104.db2.gz VLEOTSDWEBKTLK-YPMHNXCESA-N 1 2 321.446 1.671 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2sc(COC)nc2C)C1 ZINC000968597296 719684107 /nfs/dbraw/zinc/68/41/07/719684107.db2.gz VLEOTSDWEBKTLK-YPMHNXCESA-N 1 2 321.446 1.671 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968636200 719706585 /nfs/dbraw/zinc/70/65/85/719706585.db2.gz XMQRSTMZRFHIEL-GXTWGEPZSA-N 1 2 323.824 1.427 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968636200 719706590 /nfs/dbraw/zinc/70/65/90/719706590.db2.gz XMQRSTMZRFHIEL-GXTWGEPZSA-N 1 2 323.824 1.427 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn(C)c1 ZINC000948891373 719769738 /nfs/dbraw/zinc/76/97/38/719769738.db2.gz YGGFTBRORBNPBA-KRWDZBQOSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn(C)c1 ZINC000948891373 719769741 /nfs/dbraw/zinc/76/97/41/719769741.db2.gz YGGFTBRORBNPBA-KRWDZBQOSA-N 1 2 308.385 1.383 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CCCOC1 ZINC000948898873 719773155 /nfs/dbraw/zinc/77/31/55/719773155.db2.gz OIZBNQHYMGEXSX-FUHWJXTLSA-N 1 2 312.413 1.762 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CCCOC1 ZINC000948898873 719773157 /nfs/dbraw/zinc/77/31/57/719773157.db2.gz OIZBNQHYMGEXSX-FUHWJXTLSA-N 1 2 312.413 1.762 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@H](C)c2c(C)n[nH]c2C)CC1 ZINC000948939657 719794636 /nfs/dbraw/zinc/79/46/36/719794636.db2.gz RLZHXLDIMYIJCN-ZDUSSCGKSA-N 1 2 302.422 1.688 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nc(C)c[nH]1 ZINC000948970294 719816225 /nfs/dbraw/zinc/81/62/25/719816225.db2.gz WMCRXDGYJHNXAQ-MRXNPFEDSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nc(C)c[nH]1 ZINC000948970294 719816230 /nfs/dbraw/zinc/81/62/30/719816230.db2.gz WMCRXDGYJHNXAQ-MRXNPFEDSA-N 1 2 308.385 1.681 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnon1 ZINC000948974839 719818984 /nfs/dbraw/zinc/81/89/84/719818984.db2.gz MHLUQNSKWSEAGG-INIZCTEOSA-N 1 2 310.357 1.422 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnon1 ZINC000948974839 719818990 /nfs/dbraw/zinc/81/89/90/719818990.db2.gz MHLUQNSKWSEAGG-INIZCTEOSA-N 1 2 310.357 1.422 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccc(Cl)[nH]3)CC2)C1 ZINC000949463494 720105149 /nfs/dbraw/zinc/10/51/49/720105149.db2.gz NYVIGJBRGUVDSC-UHFFFAOYSA-N 1 2 321.808 1.608 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccc(Cl)[nH]3)CC2)C1 ZINC000949463494 720105154 /nfs/dbraw/zinc/10/51/54/720105154.db2.gz NYVIGJBRGUVDSC-UHFFFAOYSA-N 1 2 321.808 1.608 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3(CF)CCC3)CC2)C1 ZINC000949475012 720111943 /nfs/dbraw/zinc/11/19/43/720111943.db2.gz UAWFPXFWAUVINW-UHFFFAOYSA-N 1 2 308.397 1.453 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3(CF)CCC3)CC2)C1 ZINC000949475012 720111945 /nfs/dbraw/zinc/11/19/45/720111945.db2.gz UAWFPXFWAUVINW-UHFFFAOYSA-N 1 2 308.397 1.453 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3nccs3)CC2)C1 ZINC000949498123 720124291 /nfs/dbraw/zinc/12/42/91/720124291.db2.gz ABSKRRNYBQSIRN-UHFFFAOYSA-N 1 2 305.403 1.083 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3nccs3)CC2)C1 ZINC000949498123 720124293 /nfs/dbraw/zinc/12/42/93/720124293.db2.gz ABSKRRNYBQSIRN-UHFFFAOYSA-N 1 2 305.403 1.083 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@@]3(C)CC)CC2)C1 ZINC000949504423 720129707 /nfs/dbraw/zinc/12/97/07/720129707.db2.gz SCRGBBYFDSYUEW-DOTOQJQBSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3C[C@@]3(C)CC)CC2)C1 ZINC000949504423 720129710 /nfs/dbraw/zinc/12/97/10/720129710.db2.gz SCRGBBYFDSYUEW-DOTOQJQBSA-N 1 2 304.434 1.749 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[NH+](CCN2CCCC2=O)CC1 ZINC000949631677 720225334 /nfs/dbraw/zinc/22/53/34/720225334.db2.gz MOVYFFDKZWWANR-UHFFFAOYSA-N 1 2 307.438 1.355 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(N3CCCC3)ncn2)CC1 ZINC000950506290 720662818 /nfs/dbraw/zinc/66/28/18/720662818.db2.gz YEMMINWEWAANDQ-UHFFFAOYSA-N 1 2 301.394 1.021 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2ccc3c(c2)N(C)CCO3)C1 ZINC000950621305 720708192 /nfs/dbraw/zinc/70/81/92/720708192.db2.gz XTVPDGQAPDBLTG-UHFFFAOYSA-N 1 2 315.417 1.848 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC000950808518 720780833 /nfs/dbraw/zinc/78/08/33/720780833.db2.gz MQSFZDWVQPRLHM-HNNXBMFYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnc3cccnn32)C1 ZINC000970541877 720804819 /nfs/dbraw/zinc/80/48/19/720804819.db2.gz XDWSQSGXBBQOTC-LLVKDONJSA-N 1 2 319.796 1.532 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN(C(C)(C)C)C2=O)C1 ZINC000951218268 720960875 /nfs/dbraw/zinc/96/08/75/720960875.db2.gz RBJWWMHHJLMLSZ-HNNXBMFYSA-N 1 2 321.465 1.742 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c[nH]nc2[C@@H]2CCCO2)C1 ZINC000951366705 721004387 /nfs/dbraw/zinc/00/43/87/721004387.db2.gz ZPOBINZVQMNHQS-HNNXBMFYSA-N 1 2 316.405 1.431 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@H](CC)OC)C1 ZINC000971190782 721165653 /nfs/dbraw/zinc/16/56/53/721165653.db2.gz JABJMJGRUGQZMH-NEPJUHHUSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)[C@H](CC)OC)C1 ZINC000971190782 721165655 /nfs/dbraw/zinc/16/56/55/721165655.db2.gz JABJMJGRUGQZMH-NEPJUHHUSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC000951954681 721253183 /nfs/dbraw/zinc/25/31/83/721253183.db2.gz JCTMEHSQQJTLLP-CYBMUJFWSA-N 1 2 316.405 1.416 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2cnc(C3CC3)[nH]c2=O)C1 ZINC000952418517 721442795 /nfs/dbraw/zinc/44/27/95/721442795.db2.gz SINBBRZUUCVGPN-UHFFFAOYSA-N 1 2 302.378 1.392 20 30 DDEDLO C=CC[NH+]1CCN(c2nnc(Cl)cc2C(=O)OCC)CC1 ZINC001165031343 721865501 /nfs/dbraw/zinc/86/55/01/721865501.db2.gz DZJMINVOTZNGTP-UHFFFAOYSA-N 1 2 310.785 1.615 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C34CCC(CC3)CC4)C2)C1 ZINC000972686027 735469556 /nfs/dbraw/zinc/46/95/56/735469556.db2.gz ZPOYFTLJHHGOND-NKELODKYSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C34CCC(CC3)CC4)C2)C1 ZINC000972686027 735469558 /nfs/dbraw/zinc/46/95/58/735469558.db2.gz ZPOYFTLJHHGOND-NKELODKYSA-N 1 2 316.445 1.893 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(C)s3)C2)C1 ZINC000972688206 735473442 /nfs/dbraw/zinc/47/34/42/735473442.db2.gz NBKPJXHLQAWIGT-QGZVFWFLSA-N 1 2 318.442 1.536 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(C)s3)C2)C1 ZINC000972688206 735473444 /nfs/dbraw/zinc/47/34/44/735473444.db2.gz NBKPJXHLQAWIGT-QGZVFWFLSA-N 1 2 318.442 1.536 20 30 DDEDLO C=CC[N@H+](C)CCN(CC)S(=O)(=O)C1CCC(=O)CC1 ZINC001212447864 743056050 /nfs/dbraw/zinc/05/60/50/743056050.db2.gz NMTCWNPKTKJQPM-UHFFFAOYSA-N 1 2 302.440 1.268 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@H](CC[NH2+]Cc2nnn(C)n2)C1 ZINC001104245613 732846256 /nfs/dbraw/zinc/84/62/56/732846256.db2.gz RWHGWHGEKXZLAA-ZIAGYGMSSA-N 1 2 320.441 1.141 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098536460 732934640 /nfs/dbraw/zinc/93/46/40/732934640.db2.gz NRICSENNKVZJII-CQSZACIVSA-N 1 2 304.394 1.638 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(c2cc(C)[nH+]c(CC)n2)CCO1 ZINC001104311903 733447018 /nfs/dbraw/zinc/44/70/18/733447018.db2.gz NUCXWCZHURHKGM-CQSZACIVSA-N 1 2 318.421 1.635 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCN1c1ccc(C#N)nc1 ZINC001067578399 733713050 /nfs/dbraw/zinc/71/30/50/733713050.db2.gz WQLBMMIOLMTVFU-QWHCGFSZSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](C)SC)C1 ZINC001149263468 734726105 /nfs/dbraw/zinc/72/61/05/734726105.db2.gz MKKUMPBZRJUVDI-RYUDHWBXSA-N 1 2 306.859 1.698 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H](C)SC)C1 ZINC001149263468 734726108 /nfs/dbraw/zinc/72/61/08/734726108.db2.gz MKKUMPBZRJUVDI-RYUDHWBXSA-N 1 2 306.859 1.698 20 30 DDEDLO C[C@@H]1CN(c2ncccc2C#N)CC[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067441318 735019244 /nfs/dbraw/zinc/01/92/44/735019244.db2.gz XUIQCXSEEOLZNM-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(=O)[nH]n2)C(C)(C)C1 ZINC000972770889 735606536 /nfs/dbraw/zinc/60/65/36/735606536.db2.gz AKUULKPDPIHZHI-NSHDSACASA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(=O)[nH]n2)C(C)(C)C1 ZINC000972770889 735606537 /nfs/dbraw/zinc/60/65/37/735606537.db2.gz AKUULKPDPIHZHI-NSHDSACASA-N 1 2 310.785 1.375 20 30 DDEDLO N#Cc1ccc(C(=O)NCC[NH2+]Cc2nc3c(o2)CCCC3)[nH]1 ZINC001125782670 735671503 /nfs/dbraw/zinc/67/15/03/735671503.db2.gz HGFVXUDNWMUSJB-UHFFFAOYSA-N 1 2 313.361 1.273 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1COCCN1C ZINC001024392825 735809774 /nfs/dbraw/zinc/80/97/74/735809774.db2.gz WREIQMDMJTVEEB-KBPBESRZSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1COCCN1C ZINC001024392825 735809778 /nfs/dbraw/zinc/80/97/78/735809778.db2.gz WREIQMDMJTVEEB-KBPBESRZSA-N 1 2 315.845 1.040 20 30 DDEDLO CC1(C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H]2CCCN(CC#N)[C@@H]21 ZINC001087306925 736012926 /nfs/dbraw/zinc/01/29/26/736012926.db2.gz QNEJNONRJOFEFW-CFVMTHIKSA-N 1 2 301.394 1.081 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+][C@H](C)c1nc(C(C)C)no1 ZINC001126382764 739662141 /nfs/dbraw/zinc/66/21/41/739662141.db2.gz BLSJUBOCHGMUDI-IAQYHMDHSA-N 1 2 310.398 1.287 20 30 DDEDLO C[C@H](CNc1cccc(F)c1C#N)N(C)C(=O)Cn1cc[nH+]c1 ZINC001104604547 736445816 /nfs/dbraw/zinc/44/58/16/736445816.db2.gz QKMXYBATHHNACQ-GFCCVEGCSA-N 1 2 315.352 1.853 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)c3ccco3)C2)nn1 ZINC001098600629 736529861 /nfs/dbraw/zinc/52/98/61/736529861.db2.gz DMQWFTDINXVQAO-CQSZACIVSA-N 1 2 313.361 1.071 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001104706997 736533618 /nfs/dbraw/zinc/53/36/18/736533618.db2.gz RJAJXAALBAJVKM-LBPRGKRZSA-N 1 2 316.409 1.978 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(OC(C)C)nc1 ZINC001038330485 736790909 /nfs/dbraw/zinc/79/09/09/736790909.db2.gz TUHRYTPOLTYTRN-OAHLLOKOSA-N 1 2 301.390 1.696 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(OC(C)C)nc1 ZINC001038330485 736790910 /nfs/dbraw/zinc/79/09/10/736790910.db2.gz TUHRYTPOLTYTRN-OAHLLOKOSA-N 1 2 301.390 1.696 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001038353293 737121057 /nfs/dbraw/zinc/12/10/57/737121057.db2.gz MMFJADZKEDKJBD-HNNXBMFYSA-N 1 2 313.405 1.412 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001038353293 737121061 /nfs/dbraw/zinc/12/10/61/737121061.db2.gz MMFJADZKEDKJBD-HNNXBMFYSA-N 1 2 313.405 1.412 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)c3ccsc3)C2)nn1 ZINC001098608575 737251241 /nfs/dbraw/zinc/25/12/41/737251241.db2.gz ZNGPSJMLBSWBBV-CQSZACIVSA-N 1 2 315.402 1.150 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)CCCC)C2)nn1 ZINC001105230286 737636854 /nfs/dbraw/zinc/63/68/54/737636854.db2.gz FDXGXPWRAASFIT-CQSZACIVSA-N 1 2 317.437 1.601 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)/C=C(\C)CC)C2)nn1 ZINC001105232997 737639908 /nfs/dbraw/zinc/63/99/08/737639908.db2.gz CMILSBOBBYBFET-MDWZMJQESA-N 1 2 301.394 1.131 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3ccsc3C)C2)nn1 ZINC001105233306 737640016 /nfs/dbraw/zinc/64/00/16/737640016.db2.gz SNFDNODOFRTDLI-UHFFFAOYSA-N 1 2 315.402 1.068 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)CC[C@H](C)CC)C2)nn1 ZINC001105349696 738977263 /nfs/dbraw/zinc/97/72/63/738977263.db2.gz OMCNQUFPYZFGSW-CYBMUJFWSA-N 1 2 303.410 1.211 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2snnc2C(C)C)C1 ZINC001008945708 738087037 /nfs/dbraw/zinc/08/70/37/738087037.db2.gz NYKOIICZRRHOIF-LBPRGKRZSA-N 1 2 306.435 1.879 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2snnc2C(C)C)C1 ZINC001008945708 738087041 /nfs/dbraw/zinc/08/70/41/738087041.db2.gz NYKOIICZRRHOIF-LBPRGKRZSA-N 1 2 306.435 1.879 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+][C@@H](C)c1nnc(C)o1 ZINC001149129327 738221780 /nfs/dbraw/zinc/22/17/80/738221780.db2.gz AFLWIXVKPUECIP-LBPRGKRZSA-N 1 2 312.373 1.369 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)C(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129153778 751392728 /nfs/dbraw/zinc/39/27/28/751392728.db2.gz GBYDXVOXNUGLJB-KGLIPLIRSA-N 1 2 317.437 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)C(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129153778 751392734 /nfs/dbraw/zinc/39/27/34/751392734.db2.gz GBYDXVOXNUGLJB-KGLIPLIRSA-N 1 2 317.437 1.325 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1cc(C)on1 ZINC001028143119 739085661 /nfs/dbraw/zinc/08/56/61/739085661.db2.gz YPYNVLMQRITHMI-XHSDSOJGSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1cc(C)on1 ZINC001028143119 739085664 /nfs/dbraw/zinc/08/56/64/739085664.db2.gz YPYNVLMQRITHMI-XHSDSOJGSA-N 1 2 319.405 1.655 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(CCOC)no2)C1 ZINC001207636913 739176434 /nfs/dbraw/zinc/17/64/34/739176434.db2.gz ZACLGUMKCXTUNX-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC001035372942 751437268 /nfs/dbraw/zinc/43/72/68/751437268.db2.gz OUGPKPZESULYCN-HNNXBMFYSA-N 1 2 317.433 1.996 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC001035372942 751437271 /nfs/dbraw/zinc/43/72/71/751437271.db2.gz OUGPKPZESULYCN-HNNXBMFYSA-N 1 2 317.433 1.996 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(F)cncc2F)C1 ZINC001035381225 751450731 /nfs/dbraw/zinc/45/07/31/751450731.db2.gz OCGSXWFMPDTQMO-LLVKDONJSA-N 1 2 311.332 1.367 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(F)cncc2F)C1 ZINC001035381225 751450737 /nfs/dbraw/zinc/45/07/37/751450737.db2.gz OCGSXWFMPDTQMO-LLVKDONJSA-N 1 2 311.332 1.367 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001211768433 739521980 /nfs/dbraw/zinc/52/19/80/739521980.db2.gz DFNYDBZNOXGGHY-IAGOWNOFSA-N 1 2 300.402 1.654 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001211768433 739521983 /nfs/dbraw/zinc/52/19/83/739521983.db2.gz DFNYDBZNOXGGHY-IAGOWNOFSA-N 1 2 300.402 1.654 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)c(F)c2)C1 ZINC001035402660 751472277 /nfs/dbraw/zinc/47/22/77/751472277.db2.gz NCAFUHDOSCMLGH-AWEZNQCLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)c(F)c2)C1 ZINC001035402660 751472279 /nfs/dbraw/zinc/47/22/79/751472279.db2.gz NCAFUHDOSCMLGH-AWEZNQCLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn3c(n2)C[C@H](C)CC3)C1 ZINC001035419477 751478036 /nfs/dbraw/zinc/47/80/36/751478036.db2.gz GVXQNVDXNZYBBK-ZIAGYGMSSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn3c(n2)C[C@H](C)CC3)C1 ZINC001035419477 751478038 /nfs/dbraw/zinc/47/80/38/751478038.db2.gz GVXQNVDXNZYBBK-ZIAGYGMSSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn(C)nc2C2CCC2)C1 ZINC001035421520 751479793 /nfs/dbraw/zinc/47/97/93/751479793.db2.gz CEVIOUUIDNHIDX-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn(C)nc2C2CCC2)C1 ZINC001035421520 751479796 /nfs/dbraw/zinc/47/97/96/751479796.db2.gz CEVIOUUIDNHIDX-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2n[nH]c3c2CCCC3)C1 ZINC001035428383 751486859 /nfs/dbraw/zinc/48/68/59/751486859.db2.gz ZEBJXMQNIMTTLR-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2n[nH]c3c2CCCC3)C1 ZINC001035428383 751486860 /nfs/dbraw/zinc/48/68/60/751486860.db2.gz ZEBJXMQNIMTTLR-CYBMUJFWSA-N 1 2 318.421 1.295 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc3n(n2)CCCC3)C1 ZINC001035411253 751492846 /nfs/dbraw/zinc/49/28/46/751492846.db2.gz ICJPIYVLYFAIQF-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc3n(n2)CCCC3)C1 ZINC001035411253 751492849 /nfs/dbraw/zinc/49/28/49/751492849.db2.gz ICJPIYVLYFAIQF-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2snnc2CC)C1 ZINC001035435734 751513260 /nfs/dbraw/zinc/51/32/60/751513260.db2.gz VQHPUONUVBOQDE-NSHDSACASA-N 1 2 310.423 1.107 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2snnc2CC)C1 ZINC001035435734 751513264 /nfs/dbraw/zinc/51/32/64/751513264.db2.gz VQHPUONUVBOQDE-NSHDSACASA-N 1 2 310.423 1.107 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2nc3c(s2)CCC3)C1 ZINC001035446299 751523111 /nfs/dbraw/zinc/52/31/11/751523111.db2.gz ZTTDUUPXQHUCMJ-LBPRGKRZSA-N 1 2 319.430 1.086 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2nc3c(s2)CCC3)C1 ZINC001035446299 751523115 /nfs/dbraw/zinc/52/31/15/751523115.db2.gz ZTTDUUPXQHUCMJ-LBPRGKRZSA-N 1 2 319.430 1.086 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc(F)c(C#N)c1 ZINC001029177392 740410254 /nfs/dbraw/zinc/41/02/54/740410254.db2.gz FWWPKARXQFFLQF-GASCZTMLSA-N 1 2 317.364 1.519 20 30 DDEDLO COCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(F)c(C#N)c1 ZINC001029177392 740410256 /nfs/dbraw/zinc/41/02/56/740410256.db2.gz FWWPKARXQFFLQF-GASCZTMLSA-N 1 2 317.364 1.519 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(Cl)c(Cl)[nH]2)C1 ZINC001035460516 751546805 /nfs/dbraw/zinc/54/68/05/751546805.db2.gz LENDHTFRTGDPNN-SECBINFHSA-N 1 2 318.204 1.938 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(Cl)c(Cl)[nH]2)C1 ZINC001035460516 751546810 /nfs/dbraw/zinc/54/68/10/751546810.db2.gz LENDHTFRTGDPNN-SECBINFHSA-N 1 2 318.204 1.938 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CC(C)=C(C)C)C2)nn1 ZINC001098699773 740519162 /nfs/dbraw/zinc/51/91/62/740519162.db2.gz AFOZWRZXCQSXCP-INIZCTEOSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3[C@@H](CC)C(N)=O)C1 ZINC001029466090 740868366 /nfs/dbraw/zinc/86/83/66/740868366.db2.gz AJTKZWTUCMOOTD-MJBXVCDLSA-N 1 2 305.422 1.282 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3[C@@H](CC)C(N)=O)C1 ZINC001029466090 740868369 /nfs/dbraw/zinc/86/83/69/740868369.db2.gz AJTKZWTUCMOOTD-MJBXVCDLSA-N 1 2 305.422 1.282 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2C1 ZINC001087973350 740899618 /nfs/dbraw/zinc/89/96/18/740899618.db2.gz VAYWIEAVYUXTTQ-GRYCIOLGSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)C(F)C(F)(F)F)C[C@@H]2C1 ZINC001087973350 740899619 /nfs/dbraw/zinc/89/96/19/740899619.db2.gz VAYWIEAVYUXTTQ-GRYCIOLGSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]2C1 ZINC001087973350 740899621 /nfs/dbraw/zinc/89/96/21/740899621.db2.gz VAYWIEAVYUXTTQ-GRYCIOLGSA-N 1 2 306.303 1.690 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](F)C(F)(F)F)C[C@@H]2C1 ZINC001087973350 740899622 /nfs/dbraw/zinc/89/96/22/740899622.db2.gz VAYWIEAVYUXTTQ-GRYCIOLGSA-N 1 2 306.303 1.690 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C)c(F)c2F)C1 ZINC001035518379 751594528 /nfs/dbraw/zinc/59/45/28/751594528.db2.gz FOETVNUIWCJBQY-GFCCVEGCSA-N 1 2 310.344 1.890 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C)c(F)c2F)C1 ZINC001035518379 751594535 /nfs/dbraw/zinc/59/45/35/751594535.db2.gz FOETVNUIWCJBQY-GFCCVEGCSA-N 1 2 310.344 1.890 20 30 DDEDLO CC[C@@H]1CN(C(=O)CCc2c[nH+]cn2C)CC[C@H]1NCC#N ZINC001037961954 751598119 /nfs/dbraw/zinc/59/81/19/751598119.db2.gz LKZFKEDOHDFSFL-UKRRQHHQSA-N 1 2 303.410 1.093 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc(C(C)C)[nH]n3)C[C@@H]2C1 ZINC001088114439 741040701 /nfs/dbraw/zinc/04/07/01/741040701.db2.gz NHXOOUKQPRVUST-KGLIPLIRSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C(C)C)[nH]n3)C[C@@H]2C1 ZINC001088114439 741040705 /nfs/dbraw/zinc/04/07/05/741040705.db2.gz NHXOOUKQPRVUST-KGLIPLIRSA-N 1 2 300.406 1.560 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)CCO3)C1 ZINC001035528349 751608215 /nfs/dbraw/zinc/60/82/15/751608215.db2.gz TWRMMVCNDMKCKT-MRXNPFEDSA-N 1 2 316.401 1.628 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)CCO3)C1 ZINC001035528349 751608218 /nfs/dbraw/zinc/60/82/18/751608218.db2.gz TWRMMVCNDMKCKT-MRXNPFEDSA-N 1 2 316.401 1.628 20 30 DDEDLO C[N@@H+](Cc1nncs1)C[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001029733499 741260413 /nfs/dbraw/zinc/26/04/13/741260413.db2.gz LGNOZPRBUSXHAA-CYBMUJFWSA-N 1 2 304.419 1.232 20 30 DDEDLO C[N@H+](Cc1nncs1)C[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001029733499 741260414 /nfs/dbraw/zinc/26/04/14/741260414.db2.gz LGNOZPRBUSXHAA-CYBMUJFWSA-N 1 2 304.419 1.232 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CCCCC[C@H](NCC#N)C2)c[nH+]1 ZINC001088334223 741405975 /nfs/dbraw/zinc/40/59/75/741405975.db2.gz DBZIGLWCICJCFM-HNNXBMFYSA-N 1 2 317.437 1.811 20 30 DDEDLO C=CCCC(=O)NCC[C@H](C)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001075885730 741715934 /nfs/dbraw/zinc/71/59/34/741715934.db2.gz LYATWDRBNJDSTN-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001038474657 741819930 /nfs/dbraw/zinc/81/99/30/741819930.db2.gz HFRZXZBYCJSQFK-ZFWWWQNUSA-N 1 2 304.777 1.467 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001038474657 741819935 /nfs/dbraw/zinc/81/99/35/741819935.db2.gz HFRZXZBYCJSQFK-ZFWWWQNUSA-N 1 2 304.777 1.467 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)C2CCCCC2)C1=O ZINC001038021411 751678908 /nfs/dbraw/zinc/67/89/08/751678908.db2.gz WPUMSQKKOKRXSN-CVEARBPZSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)C2CCCCC2)C1=O ZINC001038021411 751678911 /nfs/dbraw/zinc/67/89/11/751678911.db2.gz WPUMSQKKOKRXSN-CVEARBPZSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3c2cccc3Cl)C1 ZINC001035619677 751691850 /nfs/dbraw/zinc/69/18/50/751691850.db2.gz LEWGZTMSWRMVSV-WFASDCNBSA-N 1 2 320.820 1.983 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2Cc3c2cccc3Cl)C1 ZINC001035619677 751691854 /nfs/dbraw/zinc/69/18/54/751691854.db2.gz LEWGZTMSWRMVSV-WFASDCNBSA-N 1 2 320.820 1.983 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c(OC)cccc2OC)C1 ZINC001035591519 751686003 /nfs/dbraw/zinc/68/60/03/751686003.db2.gz WWPDVDVZWMYBRD-CYBMUJFWSA-N 1 2 320.389 1.320 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c(OC)cccc2OC)C1 ZINC001035591519 751686009 /nfs/dbraw/zinc/68/60/09/751686009.db2.gz WWPDVDVZWMYBRD-CYBMUJFWSA-N 1 2 320.389 1.320 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)cccc2F)C1 ZINC001035618638 751688781 /nfs/dbraw/zinc/68/87/81/751688781.db2.gz KWNIVPGGOSEKJR-AWEZNQCLSA-N 1 2 304.365 1.588 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)cccc2F)C1 ZINC001035618638 751688785 /nfs/dbraw/zinc/68/87/85/751688785.db2.gz KWNIVPGGOSEKJR-AWEZNQCLSA-N 1 2 304.365 1.588 20 30 DDEDLO O=C(C#CC1CC1)NCC[NH2+]Cc1nc(Cc2ccccc2)no1 ZINC001126886790 742428315 /nfs/dbraw/zinc/42/83/15/742428315.db2.gz ZBUBREMVVKZMOC-UHFFFAOYSA-N 1 2 324.384 1.280 20 30 DDEDLO CN(C(=O)C#CC1CC1)C1CC(Nc2[nH+]cnc3c2cnn3C)C1 ZINC001126935511 742820771 /nfs/dbraw/zinc/82/07/71/742820771.db2.gz HEMNENZUUIQBNG-UHFFFAOYSA-N 1 2 324.388 1.178 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)c3ccco3)C2)cc1 ZINC001076687933 742908280 /nfs/dbraw/zinc/90/82/80/742908280.db2.gz SCKVABWTKDWQHN-HUUCEWRRSA-N 1 2 311.341 1.126 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)c3ccco3)C2)cc1 ZINC001076687933 742908286 /nfs/dbraw/zinc/90/82/86/742908286.db2.gz SCKVABWTKDWQHN-HUUCEWRRSA-N 1 2 311.341 1.126 20 30 DDEDLO C=CC[N@@H+](C)CCN(CC)S(=O)(=O)C1CCC(=O)CC1 ZINC001212447864 743056042 /nfs/dbraw/zinc/05/60/42/743056042.db2.gz NMTCWNPKTKJQPM-UHFFFAOYSA-N 1 2 302.440 1.268 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2cc(F)c(OC)c(F)c2)CC1 ZINC001181649260 743284784 /nfs/dbraw/zinc/28/47/84/743284784.db2.gz ARAHVSNBGUGRDF-UHFFFAOYSA-N 1 2 310.344 1.846 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CCc2ccc(F)cc2O1 ZINC001038513238 743488011 /nfs/dbraw/zinc/48/80/11/743488011.db2.gz HJWBDMQJJFGWMP-HOTGVXAUSA-N 1 2 316.376 1.733 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CCc2ccc(F)cc2O1 ZINC001038513238 743488019 /nfs/dbraw/zinc/48/80/19/743488019.db2.gz HJWBDMQJJFGWMP-HOTGVXAUSA-N 1 2 316.376 1.733 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CC[C@H](C)OC)c2C1 ZINC001128311868 743559644 /nfs/dbraw/zinc/55/96/44/743559644.db2.gz CUGQJPSAOOLPJA-AWEZNQCLSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CC[C@H](C)OC)c2C1 ZINC001128311868 743559651 /nfs/dbraw/zinc/55/96/51/743559651.db2.gz CUGQJPSAOOLPJA-AWEZNQCLSA-N 1 2 320.437 1.706 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)CCc1cccc(C#N)c1 ZINC001182342462 743575512 /nfs/dbraw/zinc/57/55/12/743575512.db2.gz FLLDGYJYZJSHDC-CQSZACIVSA-N 1 2 301.390 1.328 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)CCc1cccc(C#N)c1 ZINC001182342462 743575513 /nfs/dbraw/zinc/57/55/13/743575513.db2.gz FLLDGYJYZJSHDC-CQSZACIVSA-N 1 2 301.390 1.328 20 30 DDEDLO Cc1ncncc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038085909 743595282 /nfs/dbraw/zinc/59/52/82/743595282.db2.gz IQXCSJWLFKQHKI-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO Cc1ncncc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038085909 743595291 /nfs/dbraw/zinc/59/52/91/743595291.db2.gz IQXCSJWLFKQHKI-MRXNPFEDSA-N 1 2 321.384 1.661 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC(OC2C[NH+](CC(=C)C)C2)CC1 ZINC001105708420 743619123 /nfs/dbraw/zinc/61/91/23/743619123.db2.gz HBIRPIORURWNKO-OAHLLOKOSA-N 1 2 320.433 1.293 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105719169 743758170 /nfs/dbraw/zinc/75/81/70/743758170.db2.gz AAXPZTPDGODVCJ-HUUCEWRRSA-N 1 2 324.465 1.783 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC(OC2C[NH+](CC(=C)C)C2)CC1 ZINC001105735859 743769470 /nfs/dbraw/zinc/76/94/70/743769470.db2.gz BWJZXLDXWZIQMD-OAHLLOKOSA-N 1 2 322.449 1.845 20 30 DDEDLO C=CCn1cccc1C(=O)NC1C[NH+](C[C@H]2CCCCO2)C1 ZINC001030447595 744168216 /nfs/dbraw/zinc/16/82/16/744168216.db2.gz DHHPAISQALJTFI-OAHLLOKOSA-N 1 2 303.406 1.657 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@H]1C ZINC001088863116 744255504 /nfs/dbraw/zinc/25/55/04/744255504.db2.gz LWDJHYMRVVMWMX-ZJUUUORDSA-N 1 2 310.785 1.059 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@H]1C ZINC001088863116 744255507 /nfs/dbraw/zinc/25/55/07/744255507.db2.gz LWDJHYMRVVMWMX-ZJUUUORDSA-N 1 2 310.785 1.059 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccccc1C#N ZINC001110394554 744579520 /nfs/dbraw/zinc/57/95/20/744579520.db2.gz FIYWBWWITYHMID-YYQUZTFQSA-N 1 2 308.385 1.939 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccccc1C#N ZINC001110394554 744579524 /nfs/dbraw/zinc/57/95/24/744579524.db2.gz FIYWBWWITYHMID-YYQUZTFQSA-N 1 2 308.385 1.939 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccccc2N(C)C(C)=O)C1 ZINC001030764051 744590681 /nfs/dbraw/zinc/59/06/81/744590681.db2.gz ZUDIJLGNBLWJQW-UHFFFAOYSA-N 1 2 301.390 1.659 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@@H]2CCN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001187804524 744610083 /nfs/dbraw/zinc/61/00/83/744610083.db2.gz MQZDLHASSYQAER-JKSUJKDBSA-N 1 2 314.433 1.595 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@@H]2CCN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001187804524 744610085 /nfs/dbraw/zinc/61/00/85/744610085.db2.gz MQZDLHASSYQAER-JKSUJKDBSA-N 1 2 314.433 1.595 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001188902876 744791322 /nfs/dbraw/zinc/79/13/22/744791322.db2.gz BEEDMAOZPCRHMG-DOTOQJQBSA-N 1 2 314.433 1.646 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001188902876 744791323 /nfs/dbraw/zinc/79/13/23/744791323.db2.gz BEEDMAOZPCRHMG-DOTOQJQBSA-N 1 2 314.433 1.646 20 30 DDEDLO CCc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188958332 744798877 /nfs/dbraw/zinc/79/88/77/744798877.db2.gz FUPMVYKRRXOXLW-GFCCVEGCSA-N 1 2 322.434 1.526 20 30 DDEDLO CCc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188958332 744798879 /nfs/dbraw/zinc/79/88/79/744798879.db2.gz FUPMVYKRRXOXLW-GFCCVEGCSA-N 1 2 322.434 1.526 20 30 DDEDLO Cn1cnc(C(=O)N[C@H]2CCC[N@H+](CC#Cc3ccccc3)C2)c1 ZINC001007105193 744811428 /nfs/dbraw/zinc/81/14/28/744811428.db2.gz HNXWFPOUOXWNDT-KRWDZBQOSA-N 1 2 322.412 1.666 20 30 DDEDLO Cn1cnc(C(=O)N[C@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)c1 ZINC001007105193 744811431 /nfs/dbraw/zinc/81/14/31/744811431.db2.gz HNXWFPOUOXWNDT-KRWDZBQOSA-N 1 2 322.412 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)[C@H](C)OCCOC)[C@@H]2C1 ZINC001189302627 744864109 /nfs/dbraw/zinc/86/41/09/744864109.db2.gz GJGXQQMYLNZSPT-MELADBBJSA-N 1 2 316.829 1.323 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)[C@H](C)OCCOC)[C@@H]2C1 ZINC001189302627 744864110 /nfs/dbraw/zinc/86/41/10/744864110.db2.gz GJGXQQMYLNZSPT-MELADBBJSA-N 1 2 316.829 1.323 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cc(CCCCC)[nH]n3)[C@@H]2C1 ZINC001189406015 744889844 /nfs/dbraw/zinc/88/98/44/744889844.db2.gz GNOCYHBKWPEBPT-WMLDXEAASA-N 1 2 314.433 1.922 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(OC)no2)C1 ZINC001189917155 745030804 /nfs/dbraw/zinc/03/08/04/745030804.db2.gz DSSFUUQRMXMPNS-BLLLJJGKSA-N 1 2 323.393 1.043 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2cc(OC)no2)C1 ZINC001189917155 745030814 /nfs/dbraw/zinc/03/08/14/745030814.db2.gz DSSFUUQRMXMPNS-BLLLJJGKSA-N 1 2 323.393 1.043 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001190112937 745119302 /nfs/dbraw/zinc/11/93/02/745119302.db2.gz GTWJSHNVTJOVOZ-HNNXBMFYSA-N 1 2 319.405 1.364 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001190112937 745119305 /nfs/dbraw/zinc/11/93/05/745119305.db2.gz GTWJSHNVTJOVOZ-HNNXBMFYSA-N 1 2 319.405 1.364 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001190115112 745119673 /nfs/dbraw/zinc/11/96/73/745119673.db2.gz XARLYPUMEGSZTJ-OCCSQVGLSA-N 1 2 320.393 1.012 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2nnc(C)o2)C1 ZINC001190115112 745119678 /nfs/dbraw/zinc/11/96/78/745119678.db2.gz XARLYPUMEGSZTJ-OCCSQVGLSA-N 1 2 320.393 1.012 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc(C3(C)OCCO3)n2)CC1 ZINC001190846699 745350242 /nfs/dbraw/zinc/35/02/42/745350242.db2.gz IRROPLPENWTNQY-UHFFFAOYSA-N 1 2 317.389 1.245 20 30 DDEDLO Cn1nccc1C[N@@H+]1CCC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007052273 751999663 /nfs/dbraw/zinc/99/96/63/751999663.db2.gz YRWKASVZDCOQQV-ZDUSSCGKSA-N 1 2 312.377 1.014 20 30 DDEDLO Cn1nccc1C[N@H+]1CCC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007052273 751999664 /nfs/dbraw/zinc/99/96/64/751999664.db2.gz YRWKASVZDCOQQV-ZDUSSCGKSA-N 1 2 312.377 1.014 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2CC)C1 ZINC001191912043 745638126 /nfs/dbraw/zinc/63/81/26/745638126.db2.gz MHVCLQAXBDHCFR-HUUCEWRRSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2CC)C1 ZINC001191912043 745638128 /nfs/dbraw/zinc/63/81/28/745638128.db2.gz MHVCLQAXBDHCFR-HUUCEWRRSA-N 1 2 319.453 1.933 20 30 DDEDLO CC[C@H]1C[N@H+](Cc2ccccc2)CCN1S(=O)(=O)[C@@H](C)C#N ZINC001193138963 745993931 /nfs/dbraw/zinc/99/39/31/745993931.db2.gz VPOWYRZEFLGEBI-HOCLYGCPSA-N 1 2 321.446 1.825 20 30 DDEDLO CC[C@H]1C[N@@H+](Cc2ccccc2)CCN1S(=O)(=O)[C@@H](C)C#N ZINC001193138963 745993933 /nfs/dbraw/zinc/99/39/33/745993933.db2.gz VPOWYRZEFLGEBI-HOCLYGCPSA-N 1 2 321.446 1.825 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC001193139902 745994508 /nfs/dbraw/zinc/99/45/08/745994508.db2.gz ONFOVUUMJHTMBN-GFCCVEGCSA-N 1 2 309.391 1.173 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](C[C@H]3CC(C)(C)CO3)C2)cn1 ZINC001031279581 746125116 /nfs/dbraw/zinc/12/51/16/746125116.db2.gz JOUAATCVTMRAAU-MRXNPFEDSA-N 1 2 313.401 1.292 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C[C@H]1CNCC#N ZINC001106828723 746276577 /nfs/dbraw/zinc/27/65/77/746276577.db2.gz GQNJHAIWYPPGET-GDBMZVCRSA-N 1 2 323.400 1.694 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cccc(=O)n2C)[C@@H]1C ZINC000993769399 746321105 /nfs/dbraw/zinc/32/11/05/746321105.db2.gz HCOJYRLNMSIEEX-STQMWFEESA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cccc(=O)n2C)[C@@H]1C ZINC000993769399 746321111 /nfs/dbraw/zinc/32/11/11/746321111.db2.gz HCOJYRLNMSIEEX-STQMWFEESA-N 1 2 323.824 1.721 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001194397397 746367507 /nfs/dbraw/zinc/36/75/07/746367507.db2.gz UXZOTQLSLBLJSO-HUUCEWRRSA-N 1 2 321.421 1.915 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001194397397 746367513 /nfs/dbraw/zinc/36/75/13/746367513.db2.gz UXZOTQLSLBLJSO-HUUCEWRRSA-N 1 2 321.421 1.915 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccnc(F)c2)CC1 ZINC001195079018 746523435 /nfs/dbraw/zinc/52/34/35/746523435.db2.gz HTDXUIMUZLGFML-UHFFFAOYSA-N 1 2 305.353 1.018 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccnc(F)c2)CC1 ZINC001195079018 746523437 /nfs/dbraw/zinc/52/34/37/746523437.db2.gz HTDXUIMUZLGFML-UHFFFAOYSA-N 1 2 305.353 1.018 20 30 DDEDLO CCC(C)(C)NC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195321288 746563744 /nfs/dbraw/zinc/56/37/44/746563744.db2.gz HDYHWIVDWLYINO-KGLIPLIRSA-N 1 2 322.453 1.374 20 30 DDEDLO CCC(C)(C)NC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195321288 746563749 /nfs/dbraw/zinc/56/37/49/746563749.db2.gz HDYHWIVDWLYINO-KGLIPLIRSA-N 1 2 322.453 1.374 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1O ZINC001195533401 746639068 /nfs/dbraw/zinc/63/90/68/746639068.db2.gz ZXBKJFFDPKKKSZ-KCPJHIHWSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1O ZINC001195533401 746639073 /nfs/dbraw/zinc/63/90/73/746639073.db2.gz ZXBKJFFDPKKKSZ-KCPJHIHWSA-N 1 2 307.394 1.247 20 30 DDEDLO CCCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195780490 746702972 /nfs/dbraw/zinc/70/29/72/746702972.db2.gz CWMCTQFPARZWHR-OAHLLOKOSA-N 1 2 321.465 1.485 20 30 DDEDLO CCCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195780490 746702974 /nfs/dbraw/zinc/70/29/74/746702974.db2.gz CWMCTQFPARZWHR-OAHLLOKOSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2cncs2)CC1 ZINC001195807721 746712577 /nfs/dbraw/zinc/71/25/77/746712577.db2.gz WZEDOFUOHUMYBF-CYBMUJFWSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2cncs2)CC1 ZINC001195807721 746712583 /nfs/dbraw/zinc/71/25/83/746712583.db2.gz WZEDOFUOHUMYBF-CYBMUJFWSA-N 1 2 307.419 1.216 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3cc(C)no3)C[C@H]2O)CCC1 ZINC001195896990 746724643 /nfs/dbraw/zinc/72/46/43/746724643.db2.gz JBJDCWQIXWWEGC-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cc(C)no3)C[C@H]2O)CCC1 ZINC001195896990 746724645 /nfs/dbraw/zinc/72/46/45/746724645.db2.gz JBJDCWQIXWWEGC-HUUCEWRRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2CCC[C@@H]2C)CC1 ZINC001195915128 746736372 /nfs/dbraw/zinc/73/63/72/746736372.db2.gz LJZDWBAQTSOKTH-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2CCC[C@@H]2C)CC1 ZINC001195915128 746736373 /nfs/dbraw/zinc/73/63/73/746736373.db2.gz LJZDWBAQTSOKTH-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](Cc2ccnc(OC)n2)CC1 ZINC001196010185 746754508 /nfs/dbraw/zinc/75/45/08/746754508.db2.gz KSFLQYRCFGOKGD-UHFFFAOYSA-N 1 2 318.421 1.876 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](Cc2ccnc(OC)n2)CC1 ZINC001196010185 746754511 /nfs/dbraw/zinc/75/45/11/746754511.db2.gz KSFLQYRCFGOKGD-UHFFFAOYSA-N 1 2 318.421 1.876 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001196881720 746988633 /nfs/dbraw/zinc/98/86/33/746988633.db2.gz XCWGJWGIGPDSMX-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001196881720 746988639 /nfs/dbraw/zinc/98/86/39/746988639.db2.gz XCWGJWGIGPDSMX-OAHLLOKOSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH2+]Cc1nc([C@@H](C)OCC)no1 ZINC001128413680 747030446 /nfs/dbraw/zinc/03/04/46/747030446.db2.gz JVGLJFMRUULOAZ-GFCCVEGCSA-N 1 2 310.398 1.729 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2cscn2)C1 ZINC001031574392 747076966 /nfs/dbraw/zinc/07/69/66/747076966.db2.gz OICOFBNYVJKCNY-UHFFFAOYSA-N 1 2 312.398 1.877 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H](C)CC(C)C)CC1 ZINC001197787205 747264592 /nfs/dbraw/zinc/26/45/92/747264592.db2.gz PTDXQWORPTXMBS-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H](C)CC(C)C)CC1 ZINC001197787205 747264596 /nfs/dbraw/zinc/26/45/96/747264596.db2.gz PTDXQWORPTXMBS-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cccs2)[C@H](O)C1 ZINC001090020206 747331779 /nfs/dbraw/zinc/33/17/79/747331779.db2.gz KUYPELGTAGIWGB-CHWSQXEVSA-N 1 2 314.838 1.595 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cccs2)[C@H](O)C1 ZINC001090020206 747331784 /nfs/dbraw/zinc/33/17/84/747331784.db2.gz KUYPELGTAGIWGB-CHWSQXEVSA-N 1 2 314.838 1.595 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2CCCCC2)[C@H](O)C1 ZINC001090024185 747353371 /nfs/dbraw/zinc/35/33/71/747353371.db2.gz ZRIAJJRUISSBJH-UONOGXRCSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2CCCCC2)[C@H](O)C1 ZINC001090024185 747353377 /nfs/dbraw/zinc/35/33/77/747353377.db2.gz ZRIAJJRUISSBJH-UONOGXRCSA-N 1 2 300.830 1.871 20 30 DDEDLO CN(C(=O)c1cnccn1)[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001032882748 747561194 /nfs/dbraw/zinc/56/11/94/747561194.db2.gz GISBWMRTSSNASO-MRXNPFEDSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C(=O)c1cnccn1)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001032882748 747561198 /nfs/dbraw/zinc/56/11/98/747561198.db2.gz GISBWMRTSSNASO-MRXNPFEDSA-N 1 2 321.384 1.695 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC000998745044 752195945 /nfs/dbraw/zinc/19/59/45/752195945.db2.gz FQFSMCQVPDUUAD-CMPLNLGQSA-N 1 2 303.366 1.187 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1CC[C@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001032987609 747835721 /nfs/dbraw/zinc/83/57/21/747835721.db2.gz STXAFYJPXOANAK-JSGCOSHPSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1CC[C@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001032987609 747835730 /nfs/dbraw/zinc/83/57/30/747835730.db2.gz STXAFYJPXOANAK-JSGCOSHPSA-N 1 2 324.388 1.584 20 30 DDEDLO C[C@@H]1C[C@@H](C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)CO1 ZINC001031789797 747853035 /nfs/dbraw/zinc/85/30/35/747853035.db2.gz PJWOLERBAXOGKD-CXAGYDPISA-N 1 2 313.401 1.531 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3ncc(C)o3)CC2)cn1 ZINC001003998593 747888867 /nfs/dbraw/zinc/88/88/67/747888867.db2.gz XCVLMBQWBXDWTJ-UHFFFAOYSA-N 1 2 324.384 1.754 20 30 DDEDLO Cc1ccnc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004423505 748417886 /nfs/dbraw/zinc/41/78/86/748417886.db2.gz ASZWZBRWOBCFEF-HNNXBMFYSA-N 1 2 313.405 1.625 20 30 DDEDLO Cc1ccnc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004423505 748417891 /nfs/dbraw/zinc/41/78/91/748417891.db2.gz ASZWZBRWOBCFEF-HNNXBMFYSA-N 1 2 313.405 1.625 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)CC2(O)CCC2)CC1 ZINC001004432085 748426771 /nfs/dbraw/zinc/42/67/71/748426771.db2.gz BTYVJVWULVBTFA-OAHLLOKOSA-N 1 2 305.422 1.518 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)CC2(O)CCC2)CC1 ZINC001004432085 748426775 /nfs/dbraw/zinc/42/67/75/748426775.db2.gz BTYVJVWULVBTFA-OAHLLOKOSA-N 1 2 305.422 1.518 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2cn[nH]c(=O)c2)CC1 ZINC001004463058 748449331 /nfs/dbraw/zinc/44/93/31/748449331.db2.gz IQFBOPMDUYAGKH-CQSZACIVSA-N 1 2 315.377 1.022 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2cn[nH]c(=O)c2)CC1 ZINC001004463058 748449336 /nfs/dbraw/zinc/44/93/36/748449336.db2.gz IQFBOPMDUYAGKH-CQSZACIVSA-N 1 2 315.377 1.022 20 30 DDEDLO CCC[NH+]1CCN(S(=O)(=O)c2ccc(C)c(C#N)c2)CC1 ZINC001201310043 748473185 /nfs/dbraw/zinc/47/31/85/748473185.db2.gz JUCHZPFGADEEBI-UHFFFAOYSA-N 1 2 307.419 1.583 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(C)C(=O)c2cc(-c3ccn(C)c3)[nH]n2)C1 ZINC001033144844 748548324 /nfs/dbraw/zinc/54/83/24/748548324.db2.gz BUEWEOCAKQNTSM-CQSZACIVSA-N 1 2 313.405 1.748 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(-c3ccn(C)c3)[nH]n2)C1 ZINC001033144844 748548330 /nfs/dbraw/zinc/54/83/30/748548330.db2.gz BUEWEOCAKQNTSM-CQSZACIVSA-N 1 2 313.405 1.748 20 30 DDEDLO C[C@H]1OCC[C@@H]1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001032074594 748576614 /nfs/dbraw/zinc/57/66/14/748576614.db2.gz TXKPGUPBKVHQJD-DYVFJYSZSA-N 1 2 313.401 1.531 20 30 DDEDLO Cc1ccc(-c2noc(C[NH2+]CCNC(=O)[C@H](C)C#N)n2)cc1 ZINC001124901646 748627230 /nfs/dbraw/zinc/62/72/30/748627230.db2.gz BHQXUOHDBGKSOC-GFCCVEGCSA-N 1 2 313.361 1.411 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2COCCN2CC)C1 ZINC001007716020 752290348 /nfs/dbraw/zinc/29/03/48/752290348.db2.gz CGCUBNRRSAACJP-ZIAGYGMSSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2COCCN2CC)C1 ZINC001007716020 752290355 /nfs/dbraw/zinc/29/03/55/752290355.db2.gz CGCUBNRRSAACJP-ZIAGYGMSSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ccc3nnn(C)c3c2)C1 ZINC001032153075 748742937 /nfs/dbraw/zinc/74/29/37/748742937.db2.gz RLKMCWKSDKHCAY-UHFFFAOYSA-N 1 2 319.796 1.382 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+][C@H](C)c1nnc(CC)o1)OCC ZINC001128540011 748765340 /nfs/dbraw/zinc/76/53/40/748765340.db2.gz NYRBVNHOXHMNQK-CHWSQXEVSA-N 1 2 324.425 1.770 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2CN(C(=O)[C@H](C)C#N)CC2(C)C)n1 ZINC000995556645 748930709 /nfs/dbraw/zinc/93/07/09/748930709.db2.gz FHXBMVINBOPVEM-GHMZBOCLSA-N 1 2 305.382 1.118 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC001125259834 749087113 /nfs/dbraw/zinc/08/71/13/749087113.db2.gz FNWDUFKYIIXJPL-GFCCVEGCSA-N 1 2 322.409 1.697 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CN(C(=O)C#CC2CC2)CC1(C)C)c1csnn1 ZINC000995800000 749232951 /nfs/dbraw/zinc/23/29/51/749232951.db2.gz UHXFOLXURULFLX-BXUZGUMPSA-N 1 2 318.446 1.839 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)CCC2CCCC2)[C@@H](n2ccnn2)C1 ZINC001128657001 749364321 /nfs/dbraw/zinc/36/43/21/749364321.db2.gz MBANDJHQODHURU-CVEARBPZSA-N 1 2 315.421 1.223 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)CCC2CCCC2)[C@@H](n2ccnn2)C1 ZINC001128657001 749364327 /nfs/dbraw/zinc/36/43/27/749364327.db2.gz MBANDJHQODHURU-CVEARBPZSA-N 1 2 315.421 1.223 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@H](C)CCC)nn2)C1 ZINC001107149581 749421405 /nfs/dbraw/zinc/42/14/05/749421405.db2.gz JRSUHJCNXOAKRO-CYBMUJFWSA-N 1 2 305.426 1.763 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001039349128 761954526 /nfs/dbraw/zinc/95/45/26/761954526.db2.gz OKWGFSBWUISTSG-BNOWGMLFSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001039349128 761954532 /nfs/dbraw/zinc/95/45/32/761954532.db2.gz OKWGFSBWUISTSG-BNOWGMLFSA-N 1 2 302.378 1.708 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C(C)(C)CCC)nn2)C1 ZINC001107202507 749578024 /nfs/dbraw/zinc/57/80/24/749578024.db2.gz JIWCJFQJUVBYJQ-UHFFFAOYSA-N 1 2 305.426 1.763 20 30 DDEDLO CCC(=O)N1CC[C@@H]([NH+]2CCN(c3ncccc3C#N)CC2)C1 ZINC001066693373 749760148 /nfs/dbraw/zinc/76/01/48/749760148.db2.gz JEYLQRQORINIOX-OAHLLOKOSA-N 1 2 313.405 1.086 20 30 DDEDLO N#Cc1c(F)cccc1NC/C=C\CNC(=O)Cc1[nH]cc[nH+]1 ZINC001107312773 749767169 /nfs/dbraw/zinc/76/71/69/749767169.db2.gz VUVZTZFPWUDHFZ-UPHRSURJSA-N 1 2 313.336 1.747 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098188718 749892745 /nfs/dbraw/zinc/89/27/45/749892745.db2.gz XGVPWWPAVXGRCP-SNVBAGLBSA-N 1 2 306.414 1.375 20 30 DDEDLO N#Cc1ccc(N2CC=C(CNC(=O)Cc3c[nH]c[nH+]3)CC2)nc1 ZINC001127774488 749964329 /nfs/dbraw/zinc/96/43/29/749964329.db2.gz NRCZPTUEQKSLCB-UHFFFAOYSA-N 1 2 322.372 1.172 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cn3ccccc3n2)C1 ZINC001108379981 762002313 /nfs/dbraw/zinc/00/23/13/762002313.db2.gz FLSKQARAULCKJQ-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cn3ccccc3n2)C1 ZINC001108379981 762002324 /nfs/dbraw/zinc/00/23/24/762002324.db2.gz FLSKQARAULCKJQ-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)ncn1C)C2 ZINC001095572454 750049458 /nfs/dbraw/zinc/04/94/58/750049458.db2.gz ABYXHYSEDOSVAE-AGIUHOORSA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)ncn1C)C2 ZINC001095572454 750049462 /nfs/dbraw/zinc/04/94/62/750049462.db2.gz ABYXHYSEDOSVAE-AGIUHOORSA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001033924320 750109805 /nfs/dbraw/zinc/10/98/05/750109805.db2.gz WVAXZBIKXQHDCK-OLZOCXBDSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001033924320 750109808 /nfs/dbraw/zinc/10/98/08/750109808.db2.gz WVAXZBIKXQHDCK-OLZOCXBDSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@H]2O)C1 ZINC001077642168 750269446 /nfs/dbraw/zinc/26/94/46/750269446.db2.gz YMXGKLYTAGRSKE-QZTJIDSGSA-N 1 2 324.424 1.556 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@H]2O)C1 ZINC001077642168 750269450 /nfs/dbraw/zinc/26/94/50/750269450.db2.gz YMXGKLYTAGRSKE-QZTJIDSGSA-N 1 2 324.424 1.556 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnn(C)n1)C2 ZINC001111729374 750272868 /nfs/dbraw/zinc/27/28/68/750272868.db2.gz MBTOOTIIGROUDP-YOEHRIQHSA-N 1 2 315.421 1.089 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnn(C)n1)C2 ZINC001111729374 750272874 /nfs/dbraw/zinc/27/28/74/750272874.db2.gz MBTOOTIIGROUDP-YOEHRIQHSA-N 1 2 315.421 1.089 20 30 DDEDLO CCc1nc(C[NH2+]C2(CNC(=O)[C@H](C)C#N)CCCCC2)no1 ZINC001115452068 750372089 /nfs/dbraw/zinc/37/20/89/750372089.db2.gz PCPFGFBSIMHMFV-GFCCVEGCSA-N 1 2 319.409 1.700 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccccc2C)C1 ZINC001107706252 750401069 /nfs/dbraw/zinc/40/10/69/750401069.db2.gz KIPHRMOSCUHHCI-SFHVURJKSA-N 1 2 300.402 1.839 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccccc2C)C1 ZINC001107706252 750401074 /nfs/dbraw/zinc/40/10/74/750401074.db2.gz KIPHRMOSCUHHCI-SFHVURJKSA-N 1 2 300.402 1.839 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2C[C@@H]2C(F)F)C1 ZINC001107914852 750631340 /nfs/dbraw/zinc/63/13/40/750631340.db2.gz SXDCVDYRGIISBX-SLEUVZQESA-N 1 2 300.349 1.118 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2C[C@@H]2C(F)F)C1 ZINC001107914852 750631346 /nfs/dbraw/zinc/63/13/46/750631346.db2.gz SXDCVDYRGIISBX-SLEUVZQESA-N 1 2 300.349 1.118 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@]1(C)C[N@H+](CCOCC2CC2)CCO1 ZINC001107930104 750663134 /nfs/dbraw/zinc/66/31/34/750663134.db2.gz FHKQOQWYCAVIBC-SFHVURJKSA-N 1 2 322.449 1.280 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@]1(C)C[N@@H+](CCOCC2CC2)CCO1 ZINC001107930104 750663136 /nfs/dbraw/zinc/66/31/36/750663136.db2.gz FHKQOQWYCAVIBC-SFHVURJKSA-N 1 2 322.449 1.280 20 30 DDEDLO Cn1nncc1C(=O)N[C@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001007241397 750679440 /nfs/dbraw/zinc/67/94/40/750679440.db2.gz HXUYUIGYVTYIRX-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1nncc1C(=O)N[C@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001007241397 750679444 /nfs/dbraw/zinc/67/94/44/750679444.db2.gz HXUYUIGYVTYIRX-HNNXBMFYSA-N 1 2 324.388 1.081 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCC(F)F ZINC001114578609 750762749 /nfs/dbraw/zinc/76/27/49/750762749.db2.gz FTIZLAYYTTUMBB-GDGBQDQQSA-N 1 2 323.181 1.987 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCC(F)F ZINC001114578609 750762752 /nfs/dbraw/zinc/76/27/52/750762752.db2.gz FTIZLAYYTTUMBB-GDGBQDQQSA-N 1 2 323.181 1.987 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(CCOCC)CCC2)C1 ZINC001107947720 750798201 /nfs/dbraw/zinc/79/82/01/750798201.db2.gz OXOYSNCIENMULO-KRWDZBQOSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(CCOCC)CCC2)C1 ZINC001107947720 750798203 /nfs/dbraw/zinc/79/82/03/750798203.db2.gz OXOYSNCIENMULO-KRWDZBQOSA-N 1 2 324.465 1.976 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ocnc1-c1ccccc1 ZINC001032439232 750835301 /nfs/dbraw/zinc/83/53/01/750835301.db2.gz AWMUJLIGQLGRIW-GJZGRUSLSA-N 1 2 307.353 1.874 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ocnc1-c1ccccc1 ZINC001032439232 750835311 /nfs/dbraw/zinc/83/53/11/750835311.db2.gz AWMUJLIGQLGRIW-GJZGRUSLSA-N 1 2 307.353 1.874 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114759863 751055861 /nfs/dbraw/zinc/05/58/61/751055861.db2.gz PROGXBAEHDIQEQ-BCUIYNNISA-N 1 2 317.437 1.831 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114759863 751055867 /nfs/dbraw/zinc/05/58/67/751055867.db2.gz PROGXBAEHDIQEQ-BCUIYNNISA-N 1 2 317.437 1.831 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(F)cccc2OC)C1 ZINC001107962563 751057071 /nfs/dbraw/zinc/05/70/71/751057071.db2.gz JHRCTADYJUUGIE-KRWDZBQOSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(F)cccc2OC)C1 ZINC001107962563 751057077 /nfs/dbraw/zinc/05/70/77/751057077.db2.gz JHRCTADYJUUGIE-KRWDZBQOSA-N 1 2 322.380 1.841 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001115544765 751203778 /nfs/dbraw/zinc/20/37/78/751203778.db2.gz ZXYORGSHQPZYKZ-OAHLLOKOSA-N 1 2 316.405 1.474 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1F ZINC001032535334 751217208 /nfs/dbraw/zinc/21/72/08/751217208.db2.gz FIVFKATUSDTVHN-DARAHFNDSA-N 1 2 316.351 1.987 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1F ZINC001032535334 751217215 /nfs/dbraw/zinc/21/72/15/751217215.db2.gz FIVFKATUSDTVHN-DARAHFNDSA-N 1 2 316.351 1.987 20 30 DDEDLO C[C@H](NC(=O)[C@H]1CCCc2[nH+]c[nH]c21)C1CCN(CC#N)CC1 ZINC000997749985 751254443 /nfs/dbraw/zinc/25/44/43/751254443.db2.gz AJNSUAVGXMGOPN-JSGCOSHPSA-N 1 2 315.421 1.570 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2coc(C(F)(F)F)n2)C1 ZINC001007788675 752352029 /nfs/dbraw/zinc/35/20/29/752352029.db2.gz XSPQZTUEPIJPEV-SECBINFHSA-N 1 2 301.268 1.521 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2coc(C(F)(F)F)n2)C1 ZINC001007788675 752352037 /nfs/dbraw/zinc/35/20/37/752352037.db2.gz XSPQZTUEPIJPEV-SECBINFHSA-N 1 2 301.268 1.521 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccnn3C)C2)c1 ZINC001008469402 752749012 /nfs/dbraw/zinc/74/90/12/752749012.db2.gz QWJXWXJLONZVTK-MRXNPFEDSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2CCC[N@H+](Cc3ccnn3C)C2)c1 ZINC001008469402 752749015 /nfs/dbraw/zinc/74/90/15/752749015.db2.gz QWJXWXJLONZVTK-MRXNPFEDSA-N 1 2 323.400 1.191 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001062364141 752906569 /nfs/dbraw/zinc/90/65/69/752906569.db2.gz IVTUBCOKVQHVAL-OAHLLOKOSA-N 1 2 316.405 1.253 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@@H+](Cc3ccnn3C)C2)cn1 ZINC001009267708 753134470 /nfs/dbraw/zinc/13/44/70/753134470.db2.gz DWIKJCUOOGQOPV-INIZCTEOSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CCC[N@H+](Cc3ccnn3C)C2)cn1 ZINC001009267708 753134473 /nfs/dbraw/zinc/13/44/73/753134473.db2.gz DWIKJCUOOGQOPV-INIZCTEOSA-N 1 2 323.400 1.191 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CC[C@H](CNc2ccc(C#N)nc2)C1 ZINC001060894056 753271974 /nfs/dbraw/zinc/27/19/74/753271974.db2.gz CODWNLZPHWAPJS-CYBMUJFWSA-N 1 2 324.388 1.190 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001108002727 753479941 /nfs/dbraw/zinc/47/99/41/753479941.db2.gz PVDACMWUSPWGFJ-OLZOCXBDSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(-c2ccccc2)n1 ZINC001032775650 753590873 /nfs/dbraw/zinc/59/08/73/753590873.db2.gz UNEZPAYQABIMRV-GJZGRUSLSA-N 1 2 309.373 1.352 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(-c2ccccc2)n1 ZINC001032775650 753590878 /nfs/dbraw/zinc/59/08/78/753590878.db2.gz UNEZPAYQABIMRV-GJZGRUSLSA-N 1 2 309.373 1.352 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc3n(c2)CCCC3)C1 ZINC001108448898 762235253 /nfs/dbraw/zinc/23/52/53/762235253.db2.gz DXJVBLWDYJAGCT-GOSISDBHSA-N 1 2 317.433 1.831 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc3n(c2)CCCC3)C1 ZINC001108448898 762235264 /nfs/dbraw/zinc/23/52/64/762235264.db2.gz DXJVBLWDYJAGCT-GOSISDBHSA-N 1 2 317.433 1.831 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3ccn[nH]3)C2)c(F)c1 ZINC001010265996 753721521 /nfs/dbraw/zinc/72/15/21/753721521.db2.gz FPILIQPHOHXWPG-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3ccn[nH]3)C2)c(F)c1 ZINC001010265996 753721525 /nfs/dbraw/zinc/72/15/25/753721525.db2.gz FPILIQPHOHXWPG-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO CCc1cc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)[nH]n1 ZINC001010290525 753738689 /nfs/dbraw/zinc/73/86/89/753738689.db2.gz FSTJOLJWCPZKSX-QGZVFWFLSA-N 1 2 322.412 1.828 20 30 DDEDLO CCc1cc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)[nH]n1 ZINC001010290525 753738697 /nfs/dbraw/zinc/73/86/97/753738697.db2.gz FSTJOLJWCPZKSX-QGZVFWFLSA-N 1 2 322.412 1.828 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(F)c(F)cc2Cl)C1 ZINC001078120940 753767562 /nfs/dbraw/zinc/76/75/62/753767562.db2.gz HWLPYHPUJYAURA-CHWSQXEVSA-N 1 2 314.719 1.026 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(F)c(F)cc2Cl)C1 ZINC001078120940 753767569 /nfs/dbraw/zinc/76/75/69/753767569.db2.gz HWLPYHPUJYAURA-CHWSQXEVSA-N 1 2 314.719 1.026 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CCC)c(C)s2)C1 ZINC001078145711 753789846 /nfs/dbraw/zinc/78/98/46/753789846.db2.gz ZCUGOBJVPPMPDY-ZIAGYGMSSA-N 1 2 306.431 1.417 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CCC)c(C)s2)C1 ZINC001078145711 753789851 /nfs/dbraw/zinc/78/98/51/753789851.db2.gz ZCUGOBJVPPMPDY-ZIAGYGMSSA-N 1 2 306.431 1.417 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(C(C)C)nc2C)C1 ZINC001078173140 753820779 /nfs/dbraw/zinc/82/07/79/753820779.db2.gz JFWYILOFTKRUBR-CHWSQXEVSA-N 1 2 321.446 1.373 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(C(C)C)nc2C)C1 ZINC001078173140 753820783 /nfs/dbraw/zinc/82/07/83/753820783.db2.gz JFWYILOFTKRUBR-CHWSQXEVSA-N 1 2 321.446 1.373 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[C@H](C)NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001078282611 753919577 /nfs/dbraw/zinc/91/95/77/753919577.db2.gz IWSQQRILDMMIKL-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[C@H](C)NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001078282611 753919580 /nfs/dbraw/zinc/91/95/80/753919580.db2.gz IWSQQRILDMMIKL-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN2C(=O)Cn2cc[nH+]c2)cn1 ZINC001063496785 754192888 /nfs/dbraw/zinc/19/28/88/754192888.db2.gz JWFQPTDKUCCWJF-OAHLLOKOSA-N 1 2 310.361 1.253 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)OCCc2ccccc21 ZINC001032814320 754304599 /nfs/dbraw/zinc/30/45/99/754304599.db2.gz IXLLZABCFXYAIY-ABSDTBQOSA-N 1 2 324.424 1.783 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)OCCc2ccccc21 ZINC001032814320 754304601 /nfs/dbraw/zinc/30/46/01/754304601.db2.gz IXLLZABCFXYAIY-ABSDTBQOSA-N 1 2 324.424 1.783 20 30 DDEDLO Cc1nc(NC[C@@H]2CCC[C@H]2NC(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001064070531 754482392 /nfs/dbraw/zinc/48/23/92/754482392.db2.gz MBLMYQBWLVIPNY-SWLSCSKDSA-N 1 2 324.388 1.995 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(=O)[nH]c2c1CCCC2 ZINC001032821197 754520165 /nfs/dbraw/zinc/52/01/65/754520165.db2.gz SKGIBZVOWBMYAL-STQMWFEESA-N 1 2 311.385 1.198 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(=O)[nH]c2c1CCCC2 ZINC001032821197 754520167 /nfs/dbraw/zinc/52/01/67/754520167.db2.gz SKGIBZVOWBMYAL-STQMWFEESA-N 1 2 311.385 1.198 20 30 DDEDLO N#CCN1CCC(CNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)CC1 ZINC001001821411 754524001 /nfs/dbraw/zinc/52/40/01/754524001.db2.gz CIEUEGIHOOIBHU-CYBMUJFWSA-N 1 2 301.394 1.181 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1OCC2(C)C ZINC001032820981 754528621 /nfs/dbraw/zinc/52/86/21/754528621.db2.gz HDPKCKQVXYDKRY-KBPBESRZSA-N 1 2 310.397 1.889 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1OCC2(C)C ZINC001032820981 754528623 /nfs/dbraw/zinc/52/86/23/754528623.db2.gz HDPKCKQVXYDKRY-KBPBESRZSA-N 1 2 310.397 1.889 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccncc2CC)C1 ZINC001108040869 754606287 /nfs/dbraw/zinc/60/62/87/754606287.db2.gz VWUUFRQCXWEGTN-QGZVFWFLSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccncc2CC)C1 ZINC001108040869 754606294 /nfs/dbraw/zinc/60/62/94/754606294.db2.gz VWUUFRQCXWEGTN-QGZVFWFLSA-N 1 2 303.406 1.651 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2CCC(F)CC2)C1 ZINC001108189710 754839915 /nfs/dbraw/zinc/83/99/15/754839915.db2.gz ISQJISYVSBPEQS-DQPZFDDXSA-N 1 2 310.413 1.745 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C2CCC(F)CC2)C1 ZINC001108189710 754839918 /nfs/dbraw/zinc/83/99/18/754839918.db2.gz ISQJISYVSBPEQS-DQPZFDDXSA-N 1 2 310.413 1.745 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccncc2C#N)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064833428 754876414 /nfs/dbraw/zinc/87/64/14/754876414.db2.gz YMOQWXUUXDYOQX-JSGCOSHPSA-N 1 2 324.388 1.132 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCO2)C1 ZINC001079391376 755283015 /nfs/dbraw/zinc/28/30/15/755283015.db2.gz ADYHJZKIPCGSIS-YUSALJHKSA-N 1 2 317.227 1.511 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCO2)C1 ZINC001079391376 755283013 /nfs/dbraw/zinc/28/30/13/755283013.db2.gz ADYHJZKIPCGSIS-YUSALJHKSA-N 1 2 317.227 1.511 20 30 DDEDLO C=CCC(C)(C)C(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001079785727 755584455 /nfs/dbraw/zinc/58/44/55/755584455.db2.gz FZDPWDUSFXBCSL-UHFFFAOYSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001079994624 755669941 /nfs/dbraw/zinc/66/99/41/755669941.db2.gz IRDAGENUAFLGMQ-IAQYHMDHSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001079994624 755669945 /nfs/dbraw/zinc/66/99/45/755669945.db2.gz IRDAGENUAFLGMQ-IAQYHMDHSA-N 1 2 322.840 1.950 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2snc(Cl)c2Cl)C1 ZINC001014566975 755801194 /nfs/dbraw/zinc/80/11/94/755801194.db2.gz RSZSVRZPEKTABY-SSDOTTSWSA-N 1 2 304.202 1.887 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2snc(Cl)c2Cl)C1 ZINC001014566975 755801197 /nfs/dbraw/zinc/80/11/97/755801197.db2.gz RSZSVRZPEKTABY-SSDOTTSWSA-N 1 2 304.202 1.887 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)c2cncnc2)C1 ZINC001080320739 755834566 /nfs/dbraw/zinc/83/45/66/755834566.db2.gz LGRQDLPKPCDGRD-MPKXVKKWSA-N 1 2 308.813 1.769 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)c2cncnc2)C1 ZINC001080320739 755834571 /nfs/dbraw/zinc/83/45/71/755834571.db2.gz LGRQDLPKPCDGRD-MPKXVKKWSA-N 1 2 308.813 1.769 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn3ncccc23)C1 ZINC001014746245 755917583 /nfs/dbraw/zinc/91/75/83/755917583.db2.gz JNPQLMYRPKDRME-NSHDSACASA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn3ncccc23)C1 ZINC001014746245 755917587 /nfs/dbraw/zinc/91/75/87/755917587.db2.gz JNPQLMYRPKDRME-NSHDSACASA-N 1 2 305.769 1.286 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cnn(C(C)(C)C)c2C)[C@H](OC)C1 ZINC001081767077 756472826 /nfs/dbraw/zinc/47/28/26/756472826.db2.gz QLHVSLCQGVFDGY-HUUCEWRRSA-N 1 2 318.421 1.009 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cnn(C(C)(C)C)c2C)[C@H](OC)C1 ZINC001081767077 756472829 /nfs/dbraw/zinc/47/28/29/756472829.db2.gz QLHVSLCQGVFDGY-HUUCEWRRSA-N 1 2 318.421 1.009 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccsc2COC)[C@H](OC)C1 ZINC001081853235 756517107 /nfs/dbraw/zinc/51/71/07/756517107.db2.gz GHNPHFLAARHBFH-ZIAGYGMSSA-N 1 2 322.430 1.347 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccsc2COC)[C@H](OC)C1 ZINC001081853235 756517109 /nfs/dbraw/zinc/51/71/09/756517109.db2.gz GHNPHFLAARHBFH-ZIAGYGMSSA-N 1 2 322.430 1.347 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)[C@@H]1C[C@H]1C ZINC001082026080 756588332 /nfs/dbraw/zinc/58/83/32/756588332.db2.gz RTYJWBRTUARHHS-JUDXGUMMSA-N 1 2 319.430 1.591 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1NC(=O)[C@@H]1C[C@H]1C ZINC001082026080 756588335 /nfs/dbraw/zinc/58/83/35/756588335.db2.gz RTYJWBRTUARHHS-JUDXGUMMSA-N 1 2 319.430 1.591 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C/C=C/Cl)C[C@H]2OC)cc1 ZINC001082012611 756596025 /nfs/dbraw/zinc/59/60/25/756596025.db2.gz AJIZGWCLNUUQKH-HWYYHXQYSA-N 1 2 318.804 1.849 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C/C=C/Cl)C[C@H]2OC)cc1 ZINC001082012611 756596029 /nfs/dbraw/zinc/59/60/29/756596029.db2.gz AJIZGWCLNUUQKH-HWYYHXQYSA-N 1 2 318.804 1.849 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H](C)[C@@H](Nc3cc[nH+]c(C)n3)C2)cn1 ZINC001067124869 756601493 /nfs/dbraw/zinc/60/14/93/756601493.db2.gz FQCAMGJAKHCRBU-WBMJQRKESA-N 1 2 321.384 1.734 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1ccncn1 ZINC001015874519 756607490 /nfs/dbraw/zinc/60/74/90/756607490.db2.gz ZNXHGCMUQRVNQO-MRXNPFEDSA-N 1 2 324.359 1.472 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1ccncn1 ZINC001015874519 756607491 /nfs/dbraw/zinc/60/74/91/756607491.db2.gz ZNXHGCMUQRVNQO-MRXNPFEDSA-N 1 2 324.359 1.472 20 30 DDEDLO CC#CCN1CC[C@@H](NC(=O)c2cnn(-c3cc[nH+]cc3)c2)C1 ZINC001015911274 756644118 /nfs/dbraw/zinc/64/41/18/756644118.db2.gz MKMLYGJAIYOKFJ-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cc(C)ncn3)C2)cn1 ZINC001016028655 756731872 /nfs/dbraw/zinc/73/18/72/756731872.db2.gz AGVXSOQDCZXQLM-INIZCTEOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cc(C)ncn3)C2)cn1 ZINC001016028655 756731874 /nfs/dbraw/zinc/73/18/74/756731874.db2.gz AGVXSOQDCZXQLM-INIZCTEOSA-N 1 2 321.384 1.166 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)o1 ZINC001082451557 756792280 /nfs/dbraw/zinc/79/22/80/756792280.db2.gz HICVECGBROOIQA-QJPTWQEYSA-N 1 2 305.382 1.289 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(=O)n(CCC)n2)C1 ZINC001016225295 756843825 /nfs/dbraw/zinc/84/38/25/756843825.db2.gz DZMFVCNDEHWWKL-GFCCVEGCSA-N 1 2 324.812 1.210 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(=O)n(CCC)n2)C1 ZINC001016225295 756843833 /nfs/dbraw/zinc/84/38/33/756843833.db2.gz DZMFVCNDEHWWKL-GFCCVEGCSA-N 1 2 324.812 1.210 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001016227561 756845654 /nfs/dbraw/zinc/84/56/54/756845654.db2.gz BNKDKDGCBFNSMC-CYBMUJFWSA-N 1 2 307.781 1.342 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001016227561 756845661 /nfs/dbraw/zinc/84/56/61/756845661.db2.gz BNKDKDGCBFNSMC-CYBMUJFWSA-N 1 2 307.781 1.342 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CN(C(=O)[C@@H]2CCc3[nH]nnc3C2)C[C@H]1C ZINC001082858841 756938210 /nfs/dbraw/zinc/93/82/10/756938210.db2.gz HHITYXLNSAGPDD-GLXFQSAKSA-N 1 2 323.828 1.099 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CN(C(=O)[C@@H]2CCc3nn[nH]c3C2)C[C@H]1C ZINC001082858841 756938216 /nfs/dbraw/zinc/93/82/16/756938216.db2.gz HHITYXLNSAGPDD-GLXFQSAKSA-N 1 2 323.828 1.099 20 30 DDEDLO N#Cc1cc(C(=O)N2CCO[C@@H]3C[N@@H+](C/C=C\Cl)C[C@@H]32)c[nH]1 ZINC001083057602 757107899 /nfs/dbraw/zinc/10/78/99/757107899.db2.gz RUWYICXYSVBOEP-CIKQRIOISA-N 1 2 320.780 1.164 20 30 DDEDLO N#Cc1cc(C(=O)N2CCO[C@@H]3C[N@H+](C/C=C\Cl)C[C@@H]32)c[nH]1 ZINC001083057602 757107905 /nfs/dbraw/zinc/10/79/05/757107905.db2.gz RUWYICXYSVBOEP-CIKQRIOISA-N 1 2 320.780 1.164 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@H]3c3ccsc3)[C@H]2C1 ZINC001083121049 757121458 /nfs/dbraw/zinc/12/14/58/757121458.db2.gz RGVDZLJUQBQORV-XUWVNRHRSA-N 1 2 316.426 1.396 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3C[C@H]3c3ccsc3)[C@H]2C1 ZINC001083121049 757121467 /nfs/dbraw/zinc/12/14/67/757121467.db2.gz RGVDZLJUQBQORV-XUWVNRHRSA-N 1 2 316.426 1.396 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2OCCN(C(=O)c3cc(OC)ns3)[C@H]2C1 ZINC001083084613 757126917 /nfs/dbraw/zinc/12/69/17/757126917.db2.gz XIQZJMTUPWLMIA-NWDGAFQWSA-N 1 2 323.418 1.253 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(OC)ns3)[C@H]2C1 ZINC001083084613 757126921 /nfs/dbraw/zinc/12/69/21/757126921.db2.gz XIQZJMTUPWLMIA-NWDGAFQWSA-N 1 2 323.418 1.253 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(OC)cs3)[C@H]2C1 ZINC001083161022 757164084 /nfs/dbraw/zinc/16/40/84/757164084.db2.gz HFCHDILUOIWKGP-QWHCGFSZSA-N 1 2 308.403 1.468 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(OC)cs3)[C@H]2C1 ZINC001083161022 757164087 /nfs/dbraw/zinc/16/40/87/757164087.db2.gz HFCHDILUOIWKGP-QWHCGFSZSA-N 1 2 308.403 1.468 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4ccsc4[nH]3)[C@H]2C1 ZINC001083157505 757167473 /nfs/dbraw/zinc/16/74/73/757167473.db2.gz AHPAVSIHQKNKQV-UONOGXRCSA-N 1 2 315.398 1.388 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4ccsc4[nH]3)[C@H]2C1 ZINC001083157505 757167474 /nfs/dbraw/zinc/16/74/74/757167474.db2.gz AHPAVSIHQKNKQV-UONOGXRCSA-N 1 2 315.398 1.388 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(CC)c(CC)o2)[C@@H](O)C1 ZINC001083958460 757218459 /nfs/dbraw/zinc/21/84/59/757218459.db2.gz ICPZDKFIIZQXHC-KGLIPLIRSA-N 1 2 304.390 1.203 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(CC)c(CC)o2)[C@@H](O)C1 ZINC001083958460 757218466 /nfs/dbraw/zinc/21/84/66/757218466.db2.gz ICPZDKFIIZQXHC-KGLIPLIRSA-N 1 2 304.390 1.203 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)nc[nH]c1=O)C2 ZINC001097689142 757368482 /nfs/dbraw/zinc/36/84/82/757368482.db2.gz MMAFVTVUZLKXHJ-UTUOFQBUSA-N 1 2 322.796 1.578 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)nc[nH]c1=O)C2 ZINC001097689142 757368490 /nfs/dbraw/zinc/36/84/90/757368490.db2.gz MMAFVTVUZLKXHJ-UTUOFQBUSA-N 1 2 322.796 1.578 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)c(C)s1 ZINC001084236331 757438422 /nfs/dbraw/zinc/43/84/22/757438422.db2.gz FEMAUMUGZSTDRC-WDBKCZKBSA-N 1 2 318.446 1.952 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)c(C)s1 ZINC001084236331 757438424 /nfs/dbraw/zinc/43/84/24/757438424.db2.gz FEMAUMUGZSTDRC-WDBKCZKBSA-N 1 2 318.446 1.952 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC=C(C)C)C2)C1 ZINC001108517290 762559699 /nfs/dbraw/zinc/55/96/99/762559699.db2.gz FWBUZPCTGBRQBA-CQSZACIVSA-N 1 2 305.422 1.320 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cn(C)nc3CC)[C@@H]2C1 ZINC001084432942 757592614 /nfs/dbraw/zinc/59/26/14/757592614.db2.gz IHZPBRKMZZQHII-IUODEOHRSA-N 1 2 322.840 1.881 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cn(C)nc3CC)[C@@H]2C1 ZINC001084432942 757592618 /nfs/dbraw/zinc/59/26/18/757592618.db2.gz IHZPBRKMZZQHII-IUODEOHRSA-N 1 2 322.840 1.881 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[N@@H+](Cc4ccnn4C)C[C@H]32)C1 ZINC001084645743 757730797 /nfs/dbraw/zinc/73/07/97/757730797.db2.gz CFAFQDYWSAGCGU-GDBMZVCRSA-N 1 2 314.433 1.809 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[N@H+](Cc4ccnn4C)C[C@H]32)C1 ZINC001084645743 757730801 /nfs/dbraw/zinc/73/08/01/757730801.db2.gz CFAFQDYWSAGCGU-GDBMZVCRSA-N 1 2 314.433 1.809 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001052866777 757903249 /nfs/dbraw/zinc/90/32/49/757903249.db2.gz JSYNGBUFCAPKJH-LSDHHAIUSA-N 1 2 318.421 1.450 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(N(C)C)cn1 ZINC001017551685 758019180 /nfs/dbraw/zinc/01/91/80/758019180.db2.gz JKXZKOQCELGMLX-BETUJISGSA-N 1 2 301.394 1.017 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(N(C)C)cn1 ZINC001017551685 758019183 /nfs/dbraw/zinc/01/91/83/758019183.db2.gz JKXZKOQCELGMLX-BETUJISGSA-N 1 2 301.394 1.017 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncnc2sccc21 ZINC001017571420 758040849 /nfs/dbraw/zinc/04/08/49/758040849.db2.gz OELJKUUAWIPSBD-TXEJJXNPSA-N 1 2 312.398 1.613 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncnc2sccc21 ZINC001017571420 758040855 /nfs/dbraw/zinc/04/08/55/758040855.db2.gz OELJKUUAWIPSBD-TXEJJXNPSA-N 1 2 312.398 1.613 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OCC)n[nH]1 ZINC001017651282 758119440 /nfs/dbraw/zinc/11/94/40/758119440.db2.gz INJRCBUFEWTBHK-BETUJISGSA-N 1 2 302.378 1.121 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OCC)n[nH]1 ZINC001017651282 758119447 /nfs/dbraw/zinc/11/94/47/758119447.db2.gz INJRCBUFEWTBHK-BETUJISGSA-N 1 2 302.378 1.121 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1nc(C)c2cccnc21 ZINC001017756085 758210171 /nfs/dbraw/zinc/21/01/71/758210171.db2.gz DYUDBRBRWBJEAV-GASCZTMLSA-N 1 2 323.400 1.048 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1nc(C)c2cccnc21 ZINC001017756085 758210180 /nfs/dbraw/zinc/21/01/80/758210180.db2.gz DYUDBRBRWBJEAV-GASCZTMLSA-N 1 2 323.400 1.048 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CC[C@H](CC)O1)CCO2 ZINC001053279057 758333922 /nfs/dbraw/zinc/33/39/22/758333922.db2.gz FFXJBLZZNLNURL-LSDHHAIUSA-N 1 2 308.422 1.433 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(COC)on1 ZINC001018033277 758491577 /nfs/dbraw/zinc/49/15/77/758491577.db2.gz ZXOYFSYADSCHKZ-BETUJISGSA-N 1 2 303.362 1.133 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(COC)on1 ZINC001018033277 758491582 /nfs/dbraw/zinc/49/15/82/758491582.db2.gz ZXOYFSYADSCHKZ-BETUJISGSA-N 1 2 303.362 1.133 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1c(C)n[nH]c1C ZINC001018070559 758522089 /nfs/dbraw/zinc/52/20/89/758522089.db2.gz KACOUBUOTRXBTF-WQVCFCJDSA-N 1 2 314.433 1.829 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1c(C)n[nH]c1C ZINC001018070559 758522095 /nfs/dbraw/zinc/52/20/95/758522095.db2.gz KACOUBUOTRXBTF-WQVCFCJDSA-N 1 2 314.433 1.829 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CCOC2(C[NH+](C(C)C)C2)C1 ZINC001053519026 758527034 /nfs/dbraw/zinc/52/70/34/758527034.db2.gz IGCBAHHTFBDFBF-UHFFFAOYSA-N 1 2 312.413 1.532 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)nn1C)O2 ZINC001053575637 758585786 /nfs/dbraw/zinc/58/57/86/758585786.db2.gz BCMSLSCQEKXNOV-CQSZACIVSA-N 1 2 318.421 1.268 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncoc1-c1ccon1 ZINC001018183248 758610763 /nfs/dbraw/zinc/61/07/63/758610763.db2.gz RDYTUMZXSJXCHJ-TXEJJXNPSA-N 1 2 312.329 1.252 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncoc1-c1ccon1 ZINC001018183248 758610770 /nfs/dbraw/zinc/61/07/70/758610770.db2.gz RDYTUMZXSJXCHJ-TXEJJXNPSA-N 1 2 312.329 1.252 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(Cl)cnn1C)O2 ZINC001053599318 758620613 /nfs/dbraw/zinc/62/06/13/758620613.db2.gz QNNDOKOYFGLUQA-LLVKDONJSA-N 1 2 324.812 1.223 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc2c(c1)COC2 ZINC001018216298 758640978 /nfs/dbraw/zinc/64/09/78/758640978.db2.gz SXYVFZCCDMRQLV-HDICACEKSA-N 1 2 310.397 1.568 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc2c(c1)COC2 ZINC001018216298 758640982 /nfs/dbraw/zinc/64/09/82/758640982.db2.gz SXYVFZCCDMRQLV-HDICACEKSA-N 1 2 310.397 1.568 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)n(C)nc1Cl ZINC001018217780 758641788 /nfs/dbraw/zinc/64/17/88/758641788.db2.gz FMBCSQXZAWKRMN-BETUJISGSA-N 1 2 320.824 1.694 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)n(C)nc1Cl ZINC001018217780 758641791 /nfs/dbraw/zinc/64/17/91/758641791.db2.gz FMBCSQXZAWKRMN-BETUJISGSA-N 1 2 320.824 1.694 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(COC)o1)O2 ZINC001053626521 758644009 /nfs/dbraw/zinc/64/40/09/758644009.db2.gz YGVBBMYXWMQYFX-ZDUSSCGKSA-N 1 2 320.389 1.575 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(C)cncc1C)O2 ZINC001053629617 758647419 /nfs/dbraw/zinc/64/74/19/758647419.db2.gz AILGFWFELGIYPD-HNNXBMFYSA-N 1 2 315.417 1.848 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2ccccc2CO1 ZINC001018226678 758648346 /nfs/dbraw/zinc/64/83/46/758648346.db2.gz PSUARNUVAZLHBA-OTWHNJEPSA-N 1 2 324.424 1.826 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2ccccc2CO1 ZINC001018226678 758648349 /nfs/dbraw/zinc/64/83/49/758648349.db2.gz PSUARNUVAZLHBA-OTWHNJEPSA-N 1 2 324.424 1.826 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)/C(C)=C\C)C2)CC1 ZINC001065699118 758715925 /nfs/dbraw/zinc/71/59/25/758715925.db2.gz KRUGKGGOLNHCCV-DNBBOTNYSA-N 1 2 319.449 1.664 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCC[C@H]1OC ZINC001018331942 758736704 /nfs/dbraw/zinc/73/67/04/758736704.db2.gz ZMHMTBVYPVFCPM-YYIAUSFCSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCC[C@H]1OC ZINC001018331942 758736710 /nfs/dbraw/zinc/73/67/10/758736710.db2.gz ZMHMTBVYPVFCPM-YYIAUSFCSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1cccs1)CO2 ZINC001053723474 758740394 /nfs/dbraw/zinc/74/03/94/758740394.db2.gz LXLMDPUTSRYRDO-CYBMUJFWSA-N 1 2 304.415 1.273 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1sccc1C)CO2 ZINC001053724950 758741269 /nfs/dbraw/zinc/74/12/69/758741269.db2.gz UYDKMAVSKVLDFT-CYBMUJFWSA-N 1 2 304.415 1.653 20 30 DDEDLO CC(C)=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]c(C#N)c1)CO2 ZINC001053779121 758801961 /nfs/dbraw/zinc/80/19/61/758801961.db2.gz NSUQPRPRKDBKKC-HNNXBMFYSA-N 1 2 314.389 1.426 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]c(C#N)c1)CO2 ZINC001053779991 758803670 /nfs/dbraw/zinc/80/36/70/758803670.db2.gz YMQFVOBDMLNDTL-AWEZNQCLSA-N 1 2 300.362 1.036 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H]2COC3(CN(CC#N)C3)C2)c(C)[nH+]1 ZINC001053875736 758910247 /nfs/dbraw/zinc/91/02/47/758910247.db2.gz LCLKEGHFQMHRLM-AWEZNQCLSA-N 1 2 314.389 1.104 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccn(CC)c1C)CO2 ZINC001053905243 758937517 /nfs/dbraw/zinc/93/75/17/758937517.db2.gz ZSMGLHCHWMPVBS-HNNXBMFYSA-N 1 2 315.417 1.413 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1Cc3ccccc31)CO2 ZINC001053911965 758946336 /nfs/dbraw/zinc/94/63/36/758946336.db2.gz QWGLEISZRIVQDF-RDJZCZTQSA-N 1 2 312.413 1.862 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(Cl)cs1)CO2 ZINC001053945224 758982107 /nfs/dbraw/zinc/98/21/07/758982107.db2.gz PYBWWTHSUBFHFQ-LBPRGKRZSA-N 1 2 324.833 1.998 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N[C@@H]1COC2(C[NH+](CC)C2)C1 ZINC001053951716 758989849 /nfs/dbraw/zinc/98/98/49/758989849.db2.gz DEBLCUYLKFWNPK-KKUMJFAQSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(CCC)on1)CO2 ZINC001053970292 759006962 /nfs/dbraw/zinc/00/69/62/759006962.db2.gz JNQUOYMLZODQKL-CYBMUJFWSA-N 1 2 319.405 1.776 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncoc1C(C)C)CO2 ZINC001053975048 759013396 /nfs/dbraw/zinc/01/33/96/759013396.db2.gz BEUGSWFKPIDBTR-CYBMUJFWSA-N 1 2 319.405 1.947 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1c(C)n[nH]c1C)CO2 ZINC001054004642 759050730 /nfs/dbraw/zinc/05/07/30/759050730.db2.gz SMISZFAENOAQFI-CQSZACIVSA-N 1 2 318.421 1.105 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)n(C)c1C)CO2 ZINC001054003765 759050884 /nfs/dbraw/zinc/05/08/84/759050884.db2.gz AHPSUSSKQCHQSN-OAHLLOKOSA-N 1 2 315.417 1.238 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ncccn1 ZINC001054056845 759111322 /nfs/dbraw/zinc/11/13/22/759111322.db2.gz UIFXTEKOAIZIQN-INIZCTEOSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ncccn1 ZINC001054056845 759111325 /nfs/dbraw/zinc/11/13/25/759111325.db2.gz UIFXTEKOAIZIQN-INIZCTEOSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccn(C)n1 ZINC001054060628 759114284 /nfs/dbraw/zinc/11/42/84/759114284.db2.gz PMWORMVAMQZWTJ-INIZCTEOSA-N 1 2 308.385 1.210 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccn(C)n1 ZINC001054060628 759114287 /nfs/dbraw/zinc/11/42/87/759114287.db2.gz PMWORMVAMQZWTJ-INIZCTEOSA-N 1 2 308.385 1.210 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1)c1csnn1 ZINC001018747681 759217192 /nfs/dbraw/zinc/21/71/92/759217192.db2.gz JNUYDUOZBVMDIH-ONGXEEELSA-N 1 2 316.390 1.303 20 30 DDEDLO C[C@@H](CC(=O)N1CCC[C@]2(CCN(CC#N)C2)C1)n1cc[nH+]c1 ZINC001054205501 759291108 /nfs/dbraw/zinc/29/11/08/759291108.db2.gz SHSURRXDUORIHI-DOTOQJQBSA-N 1 2 315.421 1.672 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cccn(C)c3=O)cc2C1 ZINC001054289758 759396598 /nfs/dbraw/zinc/39/65/98/759396598.db2.gz PFUYOPBXOFDFBR-UHFFFAOYSA-N 1 2 321.380 1.264 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cccn(C)c3=O)cc2C1 ZINC001054289758 759396610 /nfs/dbraw/zinc/39/66/10/759396610.db2.gz PFUYOPBXOFDFBR-UHFFFAOYSA-N 1 2 321.380 1.264 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccc(=O)[nH]c3)cc2C1 ZINC001054291579 759400380 /nfs/dbraw/zinc/40/03/80/759400380.db2.gz XDCXLPRXLWTQAX-UHFFFAOYSA-N 1 2 307.353 1.666 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccc(=O)[nH]c3)cc2C1 ZINC001054291579 759400385 /nfs/dbraw/zinc/40/03/85/759400385.db2.gz XDCXLPRXLWTQAX-UHFFFAOYSA-N 1 2 307.353 1.666 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001019332393 759811232 /nfs/dbraw/zinc/81/12/32/759811232.db2.gz OVQLJZBXBYKNTO-BLLLJJGKSA-N 1 2 306.410 1.925 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069221129 767925166 /nfs/dbraw/zinc/92/51/66/767925166.db2.gz JLWMBLIUEIAPMV-WBMJQRKESA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)nc2)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069221129 767925172 /nfs/dbraw/zinc/92/51/72/767925172.db2.gz JLWMBLIUEIAPMV-WBMJQRKESA-N 1 2 324.388 1.710 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)c2ccco2)C1 ZINC001108210797 760375079 /nfs/dbraw/zinc/37/50/79/760375079.db2.gz GPRRARKQKHAOST-WMLDXEAASA-N 1 2 304.390 1.614 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)c2ccco2)C1 ZINC001108210797 760375088 /nfs/dbraw/zinc/37/50/88/760375088.db2.gz GPRRARKQKHAOST-WMLDXEAASA-N 1 2 304.390 1.614 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1OCC[C@@H]1c1ccccc1 ZINC001085868326 760413965 /nfs/dbraw/zinc/41/39/65/760413965.db2.gz MYCREJRZURNQHZ-KZNAEPCWSA-N 1 2 312.413 1.725 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1OCC[C@@H]1c1ccccc1 ZINC001085868326 760413969 /nfs/dbraw/zinc/41/39/69/760413969.db2.gz MYCREJRZURNQHZ-KZNAEPCWSA-N 1 2 312.413 1.725 20 30 DDEDLO N#Cc1cccnc1N1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1cc[nH+]c1)C2 ZINC001055353129 760474546 /nfs/dbraw/zinc/47/45/46/760474546.db2.gz LBKVFVFYXKXDKC-KFWWJZLASA-N 1 2 322.372 1.076 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncoc1-c1ccon1 ZINC001085928183 760552693 /nfs/dbraw/zinc/55/26/93/760552693.db2.gz PTHRWNBUVYONFG-LLVKDONJSA-N 1 2 300.318 1.109 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncoc1-c1ccon1 ZINC001085928183 760552696 /nfs/dbraw/zinc/55/26/96/760552696.db2.gz PTHRWNBUVYONFG-LLVKDONJSA-N 1 2 300.318 1.109 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cnc(C)o2)cn1 ZINC001085932903 760562913 /nfs/dbraw/zinc/56/29/13/760562913.db2.gz LJNMLPCWLZGHOB-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cnc(C)o2)cn1 ZINC001085932903 760562917 /nfs/dbraw/zinc/56/29/17/760562917.db2.gz LJNMLPCWLZGHOB-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2ocnc2C)cn1 ZINC001085933255 760563311 /nfs/dbraw/zinc/56/33/11/760563311.db2.gz TZYGKKKLCLEMSE-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2ocnc2C)cn1 ZINC001085933255 760563319 /nfs/dbraw/zinc/56/33/19/760563319.db2.gz TZYGKKKLCLEMSE-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1scnc1COC)C2 ZINC001097999669 760566598 /nfs/dbraw/zinc/56/65/98/760566598.db2.gz IDXFVSWDZPVELP-BZPMIXESSA-N 1 2 319.430 1.648 20 30 DDEDLO CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1scnc1COC)C2 ZINC001097999669 760566602 /nfs/dbraw/zinc/56/66/02/760566602.db2.gz IDXFVSWDZPVELP-BZPMIXESSA-N 1 2 319.430 1.648 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(OC)ccc1OC ZINC001085965297 760616525 /nfs/dbraw/zinc/61/65/25/760616525.db2.gz FCKNUKQHAMDPIO-ZDUSSCGKSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(OC)ccc1OC ZINC001085965297 760616527 /nfs/dbraw/zinc/61/65/27/760616527.db2.gz FCKNUKQHAMDPIO-ZDUSSCGKSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)n(C(C)(C)C)n1 ZINC001085974576 760637100 /nfs/dbraw/zinc/63/71/00/760637100.db2.gz XXAOHERZSHEUKD-AWEZNQCLSA-N 1 2 302.422 1.726 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)n(C(C)(C)C)n1 ZINC001085974576 760637103 /nfs/dbraw/zinc/63/71/03/760637103.db2.gz XXAOHERZSHEUKD-AWEZNQCLSA-N 1 2 302.422 1.726 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cncnc1C(C)C ZINC001085976168 760639559 /nfs/dbraw/zinc/63/95/59/760639559.db2.gz PIOLJWPGIBSEFP-AWEZNQCLSA-N 1 2 300.406 1.770 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cncnc1C(C)C ZINC001085976168 760639561 /nfs/dbraw/zinc/63/95/61/760639561.db2.gz PIOLJWPGIBSEFP-AWEZNQCLSA-N 1 2 300.406 1.770 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)c(OC)c2)C1 ZINC001108233744 760777894 /nfs/dbraw/zinc/77/78/94/760777894.db2.gz BNFBNHRZCRZAQP-QGZVFWFLSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(F)c(OC)c2)C1 ZINC001108233744 760777902 /nfs/dbraw/zinc/77/79/02/760777902.db2.gz BNFBNHRZCRZAQP-QGZVFWFLSA-N 1 2 322.380 1.841 20 30 DDEDLO Cc1cccnc1C[N@@H+]1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038166972 760879260 /nfs/dbraw/zinc/87/92/60/760879260.db2.gz DVWACYNNQHAZLV-AWEZNQCLSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cccnc1C[N@H+]1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038166972 760879273 /nfs/dbraw/zinc/87/92/73/760879273.db2.gz DVWACYNNQHAZLV-AWEZNQCLSA-N 1 2 309.373 1.594 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)[C@]23C[C@H]2COC3)s1 ZINC001038272565 760965376 /nfs/dbraw/zinc/96/53/76/760965376.db2.gz VIOKGJOEPIHMPC-MKBNYLNASA-N 1 2 317.414 1.347 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)[C@]23C[C@H]2COC3)s1 ZINC001038272565 760965381 /nfs/dbraw/zinc/96/53/81/760965381.db2.gz VIOKGJOEPIHMPC-MKBNYLNASA-N 1 2 317.414 1.347 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1CCN1Cc1c[nH+]cn1C ZINC001038353823 761039715 /nfs/dbraw/zinc/03/97/15/761039715.db2.gz PLECAGQRDQDRBH-CQSZACIVSA-N 1 2 313.405 1.412 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c(F)cccc1F ZINC001038679633 761280486 /nfs/dbraw/zinc/28/04/86/761280486.db2.gz HZEQFZZMDZQGHH-YNEHKIRRSA-N 1 2 304.340 1.892 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c(F)cccc1F ZINC001038679633 761280487 /nfs/dbraw/zinc/28/04/87/761280487.db2.gz HZEQFZZMDZQGHH-YNEHKIRRSA-N 1 2 304.340 1.892 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cncc(C)c1 ZINC001038709453 761309558 /nfs/dbraw/zinc/30/95/58/761309558.db2.gz FIFALWBWCICQGY-ZACQAIPSSA-N 1 2 315.417 1.672 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cncc(C)c1 ZINC001038709453 761309567 /nfs/dbraw/zinc/30/95/67/761309567.db2.gz FIFALWBWCICQGY-ZACQAIPSSA-N 1 2 315.417 1.672 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(-c2cccs2)n[nH]1 ZINC001038727963 761333748 /nfs/dbraw/zinc/33/37/48/761333748.db2.gz WOBGZTQSIFRATQ-GFCCVEGCSA-N 1 2 314.414 1.966 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(-c2cccs2)n[nH]1 ZINC001038727963 761333758 /nfs/dbraw/zinc/33/37/58/761333758.db2.gz WOBGZTQSIFRATQ-GFCCVEGCSA-N 1 2 314.414 1.966 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C(F)(F)F)c1C ZINC001038774790 761396861 /nfs/dbraw/zinc/39/68/61/761396861.db2.gz BUVUQLUHNZYVIK-JTQLQIEISA-N 1 2 314.311 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C(F)(F)F)c1C ZINC001038774790 761396867 /nfs/dbraw/zinc/39/68/67/761396867.db2.gz BUVUQLUHNZYVIK-JTQLQIEISA-N 1 2 314.311 1.564 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001069483885 768034698 /nfs/dbraw/zinc/03/46/98/768034698.db2.gz OSZNNVDUJHJNKF-OCCSQVGLSA-N 1 2 324.388 1.038 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001038806064 761423401 /nfs/dbraw/zinc/42/34/01/761423401.db2.gz DDYBOTFXDLUFCB-KRWDZBQOSA-N 1 2 308.385 1.904 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001038806064 761423403 /nfs/dbraw/zinc/42/34/03/761423403.db2.gz DDYBOTFXDLUFCB-KRWDZBQOSA-N 1 2 308.385 1.904 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)cn1 ZINC001069511246 768040475 /nfs/dbraw/zinc/04/04/75/768040475.db2.gz WTTBALZTNNOFLU-STQMWFEESA-N 1 2 324.388 1.616 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(-n2ccnc2)cn1 ZINC001038917815 761542329 /nfs/dbraw/zinc/54/23/29/761542329.db2.gz FZJOMUIBJDQFJA-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(-n2ccnc2)cn1 ZINC001038917815 761542333 /nfs/dbraw/zinc/54/23/33/761542333.db2.gz FZJOMUIBJDQFJA-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2CCC(F)(F)F)cn1 ZINC001038930567 761559617 /nfs/dbraw/zinc/55/96/17/761559617.db2.gz DFVSWWXRFKBNKV-CYBMUJFWSA-N 1 2 311.307 1.819 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2CCC(F)(F)F)cn1 ZINC001038930567 761559622 /nfs/dbraw/zinc/55/96/22/761559622.db2.gz DFVSWWXRFKBNKV-CYBMUJFWSA-N 1 2 311.307 1.819 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCCO[C@H]2C(=C)C)C1 ZINC001108271518 761560205 /nfs/dbraw/zinc/56/02/05/761560205.db2.gz YGNTVFFKZFTTEN-XYJFISCASA-N 1 2 322.449 1.751 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCCO[C@H]2C(=C)C)C1 ZINC001108271518 761560212 /nfs/dbraw/zinc/56/02/12/761560212.db2.gz YGNTVFFKZFTTEN-XYJFISCASA-N 1 2 322.449 1.751 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001056810697 761662429 /nfs/dbraw/zinc/66/24/29/761662429.db2.gz XBCMCZBULUAGSY-MGPQQGTHSA-N 1 2 322.372 1.493 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001056810697 761662433 /nfs/dbraw/zinc/66/24/33/761662433.db2.gz XBCMCZBULUAGSY-MGPQQGTHSA-N 1 2 322.372 1.493 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001108773499 762920373 /nfs/dbraw/zinc/92/03/73/762920373.db2.gz ANLCGXZQLMJTLQ-CYZMBNFOSA-N 1 2 310.361 1.397 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001108773499 762920374 /nfs/dbraw/zinc/92/03/74/762920374.db2.gz ANLCGXZQLMJTLQ-CYZMBNFOSA-N 1 2 310.361 1.397 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CC=CCC1)C2 ZINC001108918820 763102853 /nfs/dbraw/zinc/10/28/53/763102853.db2.gz KWXCDCQEAFCTNJ-WCVJEAGWSA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CC=CCC1)C2 ZINC001108918820 763102855 /nfs/dbraw/zinc/10/28/55/763102855.db2.gz KWXCDCQEAFCTNJ-WCVJEAGWSA-N 1 2 317.433 1.366 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC[C@H]2CN(CC#N)C[C@H]21 ZINC001050160495 763239285 /nfs/dbraw/zinc/23/92/85/763239285.db2.gz FHXNNEDPRNHAQE-KCQAQPDRSA-N 1 2 301.394 1.035 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC[C@H]2CN(CC#N)C[C@H]21 ZINC001050160495 763239292 /nfs/dbraw/zinc/23/92/92/763239292.db2.gz FHXNNEDPRNHAQE-KCQAQPDRSA-N 1 2 301.394 1.035 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)Cc2c[nH+]cn2C)C1 ZINC001050267681 763391473 /nfs/dbraw/zinc/39/14/73/763391473.db2.gz DZMMDOYGLCYRAU-KGLIPLIRSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001050268936 763394285 /nfs/dbraw/zinc/39/42/85/763394285.db2.gz PRIIUNSGORTZNG-GXTWGEPZSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001050269889 763395111 /nfs/dbraw/zinc/39/51/11/763395111.db2.gz YWKMXMBWNJDZNB-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109208160 763459329 /nfs/dbraw/zinc/45/93/29/763459329.db2.gz CQSNWFHDBCGNLP-ILXRZTDVSA-N 1 2 305.422 1.038 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109208160 763459333 /nfs/dbraw/zinc/45/93/33/763459333.db2.gz CQSNWFHDBCGNLP-ILXRZTDVSA-N 1 2 305.422 1.038 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2CCN(C(=O)c3cc(C(F)F)[nH]n3)C[C@@H]21 ZINC001042230171 763788463 /nfs/dbraw/zinc/78/84/63/763788463.db2.gz NOYQFWWNXXLPMU-RISCZKNCSA-N 1 2 322.359 1.907 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cc(C(F)F)[nH]n3)C[C@@H]21 ZINC001042230171 763788468 /nfs/dbraw/zinc/78/84/68/763788468.db2.gz NOYQFWWNXXLPMU-RISCZKNCSA-N 1 2 322.359 1.907 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)co1)C2 ZINC001109620759 763872560 /nfs/dbraw/zinc/87/25/60/763872560.db2.gz NQHLBNUYRBYCQU-RDBSUJKOSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)co1)C2 ZINC001109620759 763872567 /nfs/dbraw/zinc/87/25/67/763872567.db2.gz NQHLBNUYRBYCQU-RDBSUJKOSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CC(=C)C)C2 ZINC001109631412 763894282 /nfs/dbraw/zinc/89/42/82/763894282.db2.gz AZUWHCBJDCBMFL-WCVJEAGWSA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CC(=C)C)C2 ZINC001109631412 763894294 /nfs/dbraw/zinc/89/42/94/763894294.db2.gz AZUWHCBJDCBMFL-WCVJEAGWSA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(C)n1 ZINC001109656543 763916919 /nfs/dbraw/zinc/91/69/19/763916919.db2.gz ZPPQUHRPXFJBNM-PMPSAXMXSA-N 1 2 302.422 1.998 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(C)n1 ZINC001109656543 763916926 /nfs/dbraw/zinc/91/69/26/763916926.db2.gz ZPPQUHRPXFJBNM-PMPSAXMXSA-N 1 2 302.422 1.998 20 30 DDEDLO CCc1nocc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#CCOC ZINC001109689901 763952449 /nfs/dbraw/zinc/95/24/49/763952449.db2.gz ZMPDQLKHWULTEZ-KCXAZCMYSA-N 1 2 317.389 1.222 20 30 DDEDLO CCc1nocc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#CCOC ZINC001109689901 763952455 /nfs/dbraw/zinc/95/24/55/763952455.db2.gz ZMPDQLKHWULTEZ-KCXAZCMYSA-N 1 2 317.389 1.222 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3ccoc3)c2C1 ZINC001069853136 768182336 /nfs/dbraw/zinc/18/23/36/768182336.db2.gz BMJOSKVOQFQGCH-UHFFFAOYSA-N 1 2 300.362 1.798 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3ccoc3)c2C1 ZINC001069853136 768182340 /nfs/dbraw/zinc/18/23/40/768182340.db2.gz BMJOSKVOQFQGCH-UHFFFAOYSA-N 1 2 300.362 1.798 20 30 DDEDLO N#Cc1cccnc1NC[C@H](NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001109865632 764148514 /nfs/dbraw/zinc/14/85/14/764148514.db2.gz HARGPHACEWTXEI-AWEZNQCLSA-N 1 2 310.361 1.157 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@H](CNc1ccc(C#N)cn1)C1CC1 ZINC001109875492 764159840 /nfs/dbraw/zinc/15/98/40/764159840.db2.gz KYWAYNABUYSHBX-HNNXBMFYSA-N 1 2 324.388 1.236 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3CO[C@@H](C)C3)c2C1 ZINC001069865265 768197190 /nfs/dbraw/zinc/19/71/90/768197190.db2.gz BKVLKJQDHPJVKG-UONOGXRCSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3CO[C@@H](C)C3)c2C1 ZINC001069865265 768197193 /nfs/dbraw/zinc/19/71/93/768197193.db2.gz BKVLKJQDHPJVKG-UONOGXRCSA-N 1 2 318.421 1.316 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1nn(C)cc1C ZINC001050913172 764269704 /nfs/dbraw/zinc/26/97/04/764269704.db2.gz MCYWSGIVPMHVCV-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nn(C)cc1C ZINC001050913172 764269715 /nfs/dbraw/zinc/26/97/15/764269715.db2.gz MCYWSGIVPMHVCV-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCc2c[nH]nc21 ZINC001050916044 764274270 /nfs/dbraw/zinc/27/42/70/764274270.db2.gz AIGRNSXMBSZDPL-CABCVRRESA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCc2c[nH]nc21 ZINC001050916044 764274274 /nfs/dbraw/zinc/27/42/74/764274274.db2.gz AIGRNSXMBSZDPL-CABCVRRESA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCc1cnn2c1C[N@H+](CC)CC2 ZINC001069877749 768207081 /nfs/dbraw/zinc/20/70/81/768207081.db2.gz MUSZGZJRIOMSBP-HOCLYGCPSA-N 1 2 318.421 1.316 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCc1cnn2c1C[N@@H+](CC)CC2 ZINC001069877749 768207087 /nfs/dbraw/zinc/20/70/87/768207087.db2.gz MUSZGZJRIOMSBP-HOCLYGCPSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3CCO[C@H]3C)c2C1 ZINC001069879024 768209366 /nfs/dbraw/zinc/20/93/66/768209366.db2.gz YXEIEUXYYZECEF-DZGCQCFKSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3CCO[C@H]3C)c2C1 ZINC001069879024 768209371 /nfs/dbraw/zinc/20/93/71/768209371.db2.gz YXEIEUXYYZECEF-DZGCQCFKSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(C)(C)C)n[nH]1 ZINC001050997594 764399824 /nfs/dbraw/zinc/39/98/24/764399824.db2.gz CKYNRZBMQGSCNO-ZDUSSCGKSA-N 1 2 320.437 1.714 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C(C)(C)C)n[nH]1 ZINC001050997594 764399833 /nfs/dbraw/zinc/39/98/33/764399833.db2.gz CKYNRZBMQGSCNO-ZDUSSCGKSA-N 1 2 320.437 1.714 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N(C)C1C[NH+](CCOC2CCC2)C1 ZINC001042742357 764405244 /nfs/dbraw/zinc/40/52/44/764405244.db2.gz NHUPQKUNKNUBOF-SJORKVTESA-N 1 2 322.449 1.679 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)Cc3c[nH]c[nH+]3)[C@H]2C)ccc1C#N ZINC001051069470 764473165 /nfs/dbraw/zinc/47/31/65/764473165.db2.gz YKYJZRWPZMWLPK-DOMZBBRYSA-N 1 2 324.388 1.311 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(F)c(OC)c1 ZINC001051129311 764529591 /nfs/dbraw/zinc/52/95/91/764529591.db2.gz GUWCBMOPLQRYMI-CQSZACIVSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(F)c(OC)c1 ZINC001051129311 764529595 /nfs/dbraw/zinc/52/95/95/764529595.db2.gz GUWCBMOPLQRYMI-CQSZACIVSA-N 1 2 322.380 1.841 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2sccc2-n2ccnn2)C1 ZINC001043024491 764594228 /nfs/dbraw/zinc/59/42/28/764594228.db2.gz OYMAOEZWBFGTFW-UHFFFAOYSA-N 1 2 315.402 1.108 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)n(C(C)C)n1 ZINC001051270407 764693204 /nfs/dbraw/zinc/69/32/04/764693204.db2.gz NNLZQEBHPQAADX-OAHLLOKOSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)n(C(C)C)n1 ZINC001051270407 764693208 /nfs/dbraw/zinc/69/32/08/764693208.db2.gz NNLZQEBHPQAADX-OAHLLOKOSA-N 1 2 320.437 1.779 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H](C)[C@H](Nc3cc[nH+]c(C)n3)C2)cn1 ZINC001043266447 764798665 /nfs/dbraw/zinc/79/86/65/764798665.db2.gz FQCAMGJAKHCRBU-MLGOLLRUSA-N 1 2 321.384 1.734 20 30 DDEDLO CC(C)COC[C@@H](O)C[NH+]1CCN(C(=O)C#CC(C)(C)C)CC1 ZINC001112834543 764826625 /nfs/dbraw/zinc/82/66/25/764826625.db2.gz SWRJEDCAZODPLQ-INIZCTEOSA-N 1 2 324.465 1.214 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001051417821 764858304 /nfs/dbraw/zinc/85/83/04/764858304.db2.gz OBADLTCINNFJAE-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](CCOCCC(C)C)CC1 ZINC001112842117 764863457 /nfs/dbraw/zinc/86/34/57/764863457.db2.gz YAPVXKGYQNXIPE-MRXNPFEDSA-N 1 2 310.438 1.232 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2ccc(F)cc2C)CC1 ZINC001112843968 764866824 /nfs/dbraw/zinc/86/68/24/764866824.db2.gz PJGUHZQIDPINSE-UHFFFAOYSA-N 1 2 318.392 1.818 20 30 DDEDLO N#Cc1ccc(NCC2CC(NC(=O)CCn3cc[nH+]c3)C2)nc1 ZINC001112861913 764898499 /nfs/dbraw/zinc/89/84/99/764898499.db2.gz IIMUWYUGGHWCIT-UHFFFAOYSA-N 1 2 324.388 1.547 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H](C[NH2+]Cc2nc(CC)no2)C1 ZINC001051755593 765128613 /nfs/dbraw/zinc/12/86/13/765128613.db2.gz JGWRYJBNXLGHHH-LBPRGKRZSA-N 1 2 322.409 1.161 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCO[C@@H](C[NH2+]Cc2cnsn2)C1 ZINC001051802542 765174864 /nfs/dbraw/zinc/17/48/64/765174864.db2.gz DGMDCHBRGMZYON-ZFWWWQNUSA-N 1 2 324.450 1.457 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccnc(OC)c2)CC1 ZINC001113057239 765191841 /nfs/dbraw/zinc/19/18/41/765191841.db2.gz JFWKMLOUEOAGLZ-UHFFFAOYSA-N 1 2 305.378 1.051 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccnc(NC(C)=O)c2)C1 ZINC001044074945 765275164 /nfs/dbraw/zinc/27/51/64/765275164.db2.gz YIBOGKAXEXKOSL-UHFFFAOYSA-N 1 2 302.378 1.372 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[C@@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131716477 768281488 /nfs/dbraw/zinc/28/14/88/768281488.db2.gz IGDJVBHFFUKKOT-JKSUJKDBSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[C@@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131716477 768281490 /nfs/dbraw/zinc/28/14/90/768281490.db2.gz IGDJVBHFFUKKOT-JKSUJKDBSA-N 1 2 321.465 1.744 20 30 DDEDLO N#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccsc3)C2)CC1 ZINC001051975560 765337442 /nfs/dbraw/zinc/33/74/42/765337442.db2.gz UBNCVZPSVZZYIE-AWEZNQCLSA-N 1 2 304.419 1.104 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3occc3C)C2)CC1 ZINC001051983535 765347834 /nfs/dbraw/zinc/34/78/34/765347834.db2.gz QWMAOVAULIIWLP-OAHLLOKOSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3occc3C)C2)CC1 ZINC001051983535 765347838 /nfs/dbraw/zinc/34/78/38/765347838.db2.gz QWMAOVAULIIWLP-OAHLLOKOSA-N 1 2 301.390 1.053 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C3CC(C)C3)C2)CC1 ZINC001052008320 765377332 /nfs/dbraw/zinc/37/73/32/765377332.db2.gz FNHPXEIRUCYNEL-JCYILVPMSA-N 1 2 305.466 1.827 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(CC(C)C)c2C)C1 ZINC001044240429 765417840 /nfs/dbraw/zinc/41/78/40/765417840.db2.gz NVZVEMGRXWTJMI-UHFFFAOYSA-N 1 2 302.422 1.627 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CC[NH+](CCCc2nc(C)no2)CC1 ZINC001113229154 765441044 /nfs/dbraw/zinc/44/10/44/765441044.db2.gz DOPONWLTWUYBRG-UONOGXRCSA-N 1 2 320.437 1.913 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3CCC(F)CC3)C2)CC1 ZINC001052077013 765449163 /nfs/dbraw/zinc/44/91/63/765449163.db2.gz MBJOTDLBBSHBHT-JCYILVPMSA-N 1 2 321.440 1.366 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3CC)C2)CC1 ZINC001052087860 765459848 /nfs/dbraw/zinc/45/98/48/765459848.db2.gz DVOCZVNCKQIVBK-BRWVUGGUSA-N 1 2 303.450 1.274 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(C(F)(F)F)CC2)CC1 ZINC001113369833 765623405 /nfs/dbraw/zinc/62/34/05/765623405.db2.gz AFYDUCPKILRLJJ-UHFFFAOYSA-N 1 2 306.328 1.676 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc3c([nH]2)CCC3)CC1 ZINC001113525201 765806296 /nfs/dbraw/zinc/80/62/96/765806296.db2.gz FNNGYZFIOLYTNL-UHFFFAOYSA-N 1 2 303.406 1.464 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cncnc2C2CC2)CC1 ZINC001113594833 765913585 /nfs/dbraw/zinc/91/35/85/765913585.db2.gz DHXDZRHDGQNRKC-UHFFFAOYSA-N 1 2 316.405 1.314 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)C ZINC001113798527 766143708 /nfs/dbraw/zinc/14/37/08/766143708.db2.gz NOYYGMZVJWANMR-PJXYFTJBSA-N 1 2 301.228 1.988 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)C ZINC001113798527 766143712 /nfs/dbraw/zinc/14/37/12/766143712.db2.gz NOYYGMZVJWANMR-PJXYFTJBSA-N 1 2 301.228 1.988 20 30 DDEDLO COc1ccc(C[C@H](C)N2CC[NH2+]C[C@H]2C#N)c([N+](=O)[O-])c1 ZINC001170335618 766257521 /nfs/dbraw/zinc/25/75/21/766257521.db2.gz OXPYPASKLNCEPG-WCQYABFASA-N 1 2 304.350 1.332 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCn2c[nH+]cc2C1)Nc1ccc(C#N)cn1 ZINC001098116385 768361500 /nfs/dbraw/zinc/36/15/00/768361500.db2.gz XQKWMBGHVXBQCX-TZMCWYRMSA-N 1 2 324.388 1.329 20 30 DDEDLO C#CC[NH+]1CCN([C@@H](C)Cc2ccc(OC)cc2[N+](=O)[O-])CC1 ZINC001170352058 766279895 /nfs/dbraw/zinc/27/98/95/766279895.db2.gz KNQQQFYQEWDRFG-AWEZNQCLSA-N 1 2 317.389 1.785 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001058247311 766324368 /nfs/dbraw/zinc/32/43/68/766324368.db2.gz OLMANUIUYJKRHJ-ZDUSSCGKSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001058247102 766324480 /nfs/dbraw/zinc/32/44/80/766324480.db2.gz KCFWDMDBRWURMJ-CYBMUJFWSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(C)(NC(=O)c2cn(C)ccc2=O)CC1 ZINC001045500676 766358913 /nfs/dbraw/zinc/35/89/13/766358913.db2.gz CSIXYJCPTHKYNL-UHFFFAOYSA-N 1 2 323.824 1.722 20 30 DDEDLO Cc1ccc(C#N)c(N(C)[C@@H](C)CNC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001113898065 766363423 /nfs/dbraw/zinc/36/34/23/766363423.db2.gz KHCMHOUXFJVGTH-LBPRGKRZSA-N 1 2 312.377 1.168 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(CC)o3)C[C@H]21 ZINC001114024795 766535942 /nfs/dbraw/zinc/53/59/42/766535942.db2.gz FRCBQIGVYMCIJX-FJJYHAOUSA-N 1 2 304.394 1.706 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(CC)o3)C[C@H]21 ZINC001114024795 766535945 /nfs/dbraw/zinc/53/59/45/766535945.db2.gz FRCBQIGVYMCIJX-FJJYHAOUSA-N 1 2 304.394 1.706 20 30 DDEDLO Cc1nc(NCC2CC(NC(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001067855108 766758513 /nfs/dbraw/zinc/75/85/13/766758513.db2.gz QMNWIPCMDSPXKR-UHFFFAOYSA-N 1 2 324.388 1.465 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)o1 ZINC001114247433 766814144 /nfs/dbraw/zinc/81/41/44/766814144.db2.gz KVVZJTHAZDDUCG-BCUIYNNISA-N 1 2 316.405 1.399 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)o1 ZINC001114247433 766814153 /nfs/dbraw/zinc/81/41/53/766814153.db2.gz KVVZJTHAZDDUCG-BCUIYNNISA-N 1 2 316.405 1.399 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)s1 ZINC001114253538 766822016 /nfs/dbraw/zinc/82/20/16/766822016.db2.gz PWZGDILYIANXPV-VIKVFOODSA-N 1 2 301.415 1.411 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)s1 ZINC001114253538 766822023 /nfs/dbraw/zinc/82/20/23/766822023.db2.gz PWZGDILYIANXPV-VIKVFOODSA-N 1 2 301.415 1.411 20 30 DDEDLO Cc1nc(N2CC[C@H](C)[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001067944049 766829187 /nfs/dbraw/zinc/82/91/87/766829187.db2.gz HRQMJILZBONVBF-NHYWBVRUSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)oc1C ZINC001114258497 766829675 /nfs/dbraw/zinc/82/96/75/766829675.db2.gz TXDYQNRMALHVET-HALDLXJZSA-N 1 2 315.417 1.887 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)oc1C ZINC001114258497 766829686 /nfs/dbraw/zinc/82/96/86/766829686.db2.gz TXDYQNRMALHVET-HALDLXJZSA-N 1 2 315.417 1.887 20 30 DDEDLO CC(C)C(C)(C)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046030677 766836189 /nfs/dbraw/zinc/83/61/89/766836189.db2.gz YCRDZEGVNLJDJQ-OAHLLOKOSA-N 1 2 306.454 1.411 20 30 DDEDLO CC1(C)CN(c2ccc(C#N)nc2)C[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001068119184 766929476 /nfs/dbraw/zinc/92/94/76/766929476.db2.gz JZKLEYPWWHNIQP-CQSZACIVSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CCn1c(-c2n[nH]c(Cl)n2)nnc1N1CC[NH+](CC)CC1 ZINC001121615168 782594393 /nfs/dbraw/zinc/59/43/93/782594393.db2.gz GLUPFBQASRYNGK-UHFFFAOYSA-N 1 2 322.804 1.045 20 30 DDEDLO C[C@H]1C[C@H](CNc2ncccc2C#N)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068347988 767157868 /nfs/dbraw/zinc/15/78/68/767157868.db2.gz OGSZFEVICBRHKQ-QWHCGFSZSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CC[N@H+]1C[C@@H](c2nc(CNC(=O)C(C)C)n[nH]2)[C@H](C2CC2)C1 ZINC001130171924 767343465 /nfs/dbraw/zinc/34/34/65/767343465.db2.gz GHDWCLNPONAPIR-UONOGXRCSA-N 1 2 315.421 1.136 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](c2nc(CNC(=O)C(C)C)n[nH]2)[C@H](C2CC2)C1 ZINC001130171924 767343471 /nfs/dbraw/zinc/34/34/71/767343471.db2.gz GHDWCLNPONAPIR-UONOGXRCSA-N 1 2 315.421 1.136 20 30 DDEDLO CN(c1ccncc1C#N)C1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001068734356 767552877 /nfs/dbraw/zinc/55/28/77/767552877.db2.gz YAZDVMQAMHWHIF-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001046516278 767645045 /nfs/dbraw/zinc/64/50/45/767645045.db2.gz IQDIFRVALQHPOI-IUODEOHRSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001046516278 767645046 /nfs/dbraw/zinc/64/50/46/767645046.db2.gz IQDIFRVALQHPOI-IUODEOHRSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@@H+](Cc2nccn2C)C1 ZINC001046632209 767755614 /nfs/dbraw/zinc/75/56/14/767755614.db2.gz VFXAAIOSMTUIRY-JKIFEVAISA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@]1(C)CC[N@H+](Cc2nccn2C)C1 ZINC001046632209 767755616 /nfs/dbraw/zinc/75/56/16/767755616.db2.gz VFXAAIOSMTUIRY-JKIFEVAISA-N 1 2 318.421 1.092 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@H](NC(=O)CSCC#N)CC[C@@H]2C)on1 ZINC001131824664 768390273 /nfs/dbraw/zinc/39/02/73/768390273.db2.gz CQEYFDXPROLSMP-QWHCGFSZSA-N 1 2 322.434 1.709 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@H](NC(=O)CSCC#N)CC[C@@H]2C)on1 ZINC001131824664 768390279 /nfs/dbraw/zinc/39/02/79/768390279.db2.gz CQEYFDXPROLSMP-QWHCGFSZSA-N 1 2 322.434 1.709 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCn2cncn2)CC[C@@H]1C ZINC001131814114 768397505 /nfs/dbraw/zinc/39/75/05/768397505.db2.gz SUUYIJXRVBGILK-QWHCGFSZSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCn2cncn2)CC[C@@H]1C ZINC001131814114 768397514 /nfs/dbraw/zinc/39/75/14/768397514.db2.gz SUUYIJXRVBGILK-QWHCGFSZSA-N 1 2 311.817 1.390 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cnnn2CC)C1 ZINC001132003823 768555630 /nfs/dbraw/zinc/55/56/30/768555630.db2.gz ZXANUTXNNYKUGQ-LSDHHAIUSA-N 1 2 317.437 1.571 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cnnn2CC)C1 ZINC001132003823 768555632 /nfs/dbraw/zinc/55/56/32/768555632.db2.gz ZXANUTXNNYKUGQ-LSDHHAIUSA-N 1 2 317.437 1.571 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2csc(C(C)C)n2)C1 ZINC001047566082 768577417 /nfs/dbraw/zinc/57/74/17/768577417.db2.gz VSVJKMHLDIVFJI-STQMWFEESA-N 1 2 307.419 1.017 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2csc(C(C)C)n2)C1 ZINC001047566082 768577420 /nfs/dbraw/zinc/57/74/20/768577420.db2.gz VSVJKMHLDIVFJI-STQMWFEESA-N 1 2 307.419 1.017 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132065219 768614770 /nfs/dbraw/zinc/61/47/70/768614770.db2.gz RREGXXOHWLWAAI-KGLIPLIRSA-N 1 2 305.426 1.641 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132065219 768614774 /nfs/dbraw/zinc/61/47/74/768614774.db2.gz RREGXXOHWLWAAI-KGLIPLIRSA-N 1 2 305.426 1.641 20 30 DDEDLO C[C@H](C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1)C1CCC1 ZINC001070659668 768649338 /nfs/dbraw/zinc/64/93/38/768649338.db2.gz WTFVZLLCMWQZOI-NWANDNLSSA-N 1 2 315.421 1.264 20 30 DDEDLO C=CCOCC[N@H+]1C[C@@H](NC(=O)c2[nH]ncc2F)CC[C@H]1C ZINC001132272810 768730095 /nfs/dbraw/zinc/73/00/95/768730095.db2.gz BYGIRQBCUPYEJQ-NEPJUHHUSA-N 1 2 310.373 1.334 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@@H](NC(=O)c2[nH]ncc2F)CC[C@H]1C ZINC001132272810 768730096 /nfs/dbraw/zinc/73/00/96/768730096.db2.gz BYGIRQBCUPYEJQ-NEPJUHHUSA-N 1 2 310.373 1.334 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+][C@H](C)c1nnc(CC)o1 ZINC001132407965 768813211 /nfs/dbraw/zinc/81/32/11/768813211.db2.gz WGSGTDBMMXBSPZ-CYBMUJFWSA-N 1 2 306.410 1.983 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCCC2(C)C)CC1 ZINC001070988623 768841505 /nfs/dbraw/zinc/84/15/05/768841505.db2.gz VIAZTMOSMMEDFE-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCCC2(C)C)CC1 ZINC001070988623 768841519 /nfs/dbraw/zinc/84/15/19/768841519.db2.gz VIAZTMOSMMEDFE-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C/C=C(/C)C(=O)NCC[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC001096281055 768926825 /nfs/dbraw/zinc/92/68/25/768926825.db2.gz RHROLYYVOKMVPY-BNNQUZSASA-N 1 2 313.405 1.158 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071181836 769109757 /nfs/dbraw/zinc/10/97/57/769109757.db2.gz WDJJHALSINSDHQ-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO Cc1nc([C@@H](C)[N@H+]2C[C@H]3CN(C(=O)C#CC4CC4)C[C@H]3C2)no1 ZINC001048810448 769926890 /nfs/dbraw/zinc/92/68/90/769926890.db2.gz NCDRDEATNKQKSX-DFBGVHRSSA-N 1 2 314.389 1.243 20 30 DDEDLO Cc1nc([C@@H](C)[N@@H+]2C[C@H]3CN(C(=O)C#CC4CC4)C[C@H]3C2)no1 ZINC001048810448 769926898 /nfs/dbraw/zinc/92/68/98/769926898.db2.gz NCDRDEATNKQKSX-DFBGVHRSSA-N 1 2 314.389 1.243 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2nc(C(F)F)no2)C1 ZINC001133569978 770000348 /nfs/dbraw/zinc/00/03/48/770000348.db2.gz GZTDMKLSYUBCBX-UHFFFAOYSA-N 1 2 300.309 1.569 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CC[C@H](C)[N@@H+](CC(=C)Cl)C2)nn1 ZINC001071881166 770219370 /nfs/dbraw/zinc/21/93/70/770219370.db2.gz WFDACOBKJGICSI-STQMWFEESA-N 1 2 323.828 1.799 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2CC[C@H](C)[N@H+](CC(=C)Cl)C2)nn1 ZINC001071881166 770219373 /nfs/dbraw/zinc/21/93/73/770219373.db2.gz WFDACOBKJGICSI-STQMWFEESA-N 1 2 323.828 1.799 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2CC[C@@H](C)[N@@H+](CC(=C)Cl)C2)nn1 ZINC001071881163 770219465 /nfs/dbraw/zinc/21/94/65/770219465.db2.gz WFDACOBKJGICSI-CHWSQXEVSA-N 1 2 323.828 1.799 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2CC[C@@H](C)[N@H+](CC(=C)Cl)C2)nn1 ZINC001071881163 770219470 /nfs/dbraw/zinc/21/94/70/770219470.db2.gz WFDACOBKJGICSI-CHWSQXEVSA-N 1 2 323.828 1.799 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1cnon1 ZINC001049648620 771050187 /nfs/dbraw/zinc/05/01/87/771050187.db2.gz XJHFOUSCOMPDAW-ZIAGYGMSSA-N 1 2 304.394 1.847 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1cnon1 ZINC001049648620 771050191 /nfs/dbraw/zinc/05/01/91/771050191.db2.gz XJHFOUSCOMPDAW-ZIAGYGMSSA-N 1 2 304.394 1.847 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC[NH2+][C@H](C)c1nc(C)no1 ZINC001135067788 771305137 /nfs/dbraw/zinc/30/51/37/771305137.db2.gz MHJJQVGSVOOKJO-XBFCOCLRSA-N 1 2 322.409 1.516 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(C)=O)C3)c1 ZINC001096699273 771399047 /nfs/dbraw/zinc/39/90/47/771399047.db2.gz VVLPGTYZVCCXEW-ZACQAIPSSA-N 1 2 311.385 1.348 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(C)=O)C3)c1 ZINC001096699273 771399053 /nfs/dbraw/zinc/39/90/53/771399053.db2.gz VVLPGTYZVCCXEW-ZACQAIPSSA-N 1 2 311.385 1.348 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001096934962 771524839 /nfs/dbraw/zinc/52/48/39/771524839.db2.gz XEPSMNYUIRMVQY-ZDUSSCGKSA-N 1 2 316.409 1.062 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001090569823 771996246 /nfs/dbraw/zinc/99/62/46/771996246.db2.gz JCXVYRHYARIMHI-CQSZACIVSA-N 1 2 304.394 1.781 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc3c([nH]2)CCC3)[C@@H](O)C1 ZINC001090720164 772139206 /nfs/dbraw/zinc/13/92/06/772139206.db2.gz VIEGLOWOGDCKDT-ZFWWWQNUSA-N 1 2 323.824 1.421 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc3c([nH]2)CCC3)[C@@H](O)C1 ZINC001090720164 772139209 /nfs/dbraw/zinc/13/92/09/772139209.db2.gz VIEGLOWOGDCKDT-ZFWWWQNUSA-N 1 2 323.824 1.421 20 30 DDEDLO NC(=O)c1ccc(C(=[NH2+])Nc2cc([N+](=O)[O-])c3nccn3c2)cc1 ZINC001171256432 772740159 /nfs/dbraw/zinc/74/01/59/772740159.db2.gz IPFSHOIBKITPGR-UHFFFAOYSA-N 1 2 324.300 1.378 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001073772468 773397825 /nfs/dbraw/zinc/39/78/25/773397825.db2.gz OYBHZWNFSKNUAF-HZSPNIEDSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001073772468 773397833 /nfs/dbraw/zinc/39/78/33/773397833.db2.gz OYBHZWNFSKNUAF-HZSPNIEDSA-N 1 2 316.829 1.514 20 30 DDEDLO CCn1nncc1C[N@H+](C)C[C@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001073972786 773566381 /nfs/dbraw/zinc/56/63/81/773566381.db2.gz FESJEDKWHPSJJW-UONOGXRCSA-N 1 2 318.425 1.271 20 30 DDEDLO CCn1nncc1C[N@@H+](C)C[C@H]1CCCCN1C(=O)[C@@H](C)C#N ZINC001073972786 773566388 /nfs/dbraw/zinc/56/63/88/773566388.db2.gz FESJEDKWHPSJJW-UONOGXRCSA-N 1 2 318.425 1.271 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074150537 773674975 /nfs/dbraw/zinc/67/49/75/773674975.db2.gz YREGZBLROLTZRQ-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccco3)C[C@H]21 ZINC001074151545 773676936 /nfs/dbraw/zinc/67/69/36/773676936.db2.gz OSEPUSCCKQAGNB-KGVXHEBISA-N 1 2 314.385 1.618 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccco3)C[C@H]21 ZINC001074151545 773676938 /nfs/dbraw/zinc/67/69/38/773676938.db2.gz OSEPUSCCKQAGNB-KGVXHEBISA-N 1 2 314.385 1.618 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)COC3CCCC3)C[C@@H]21 ZINC001074181455 773703589 /nfs/dbraw/zinc/70/35/89/773703589.db2.gz VHXNNZFCEAMBER-IRXDYDNUSA-N 1 2 322.449 1.823 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)COC3CCCC3)C[C@@H]21 ZINC001074181455 773703591 /nfs/dbraw/zinc/70/35/91/773703591.db2.gz VHXNNZFCEAMBER-IRXDYDNUSA-N 1 2 322.449 1.823 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001074187531 773708371 /nfs/dbraw/zinc/70/83/71/773708371.db2.gz IOXPXDROEFRVMS-JKSUJKDBSA-N 1 2 318.421 1.600 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001074187531 773708373 /nfs/dbraw/zinc/70/83/73/773708373.db2.gz IOXPXDROEFRVMS-JKSUJKDBSA-N 1 2 318.421 1.600 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@H]21 ZINC001074209072 773732212 /nfs/dbraw/zinc/73/22/12/773732212.db2.gz SBCLCZJQENZJKL-KGLIPLIRSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@H]21 ZINC001074209072 773732214 /nfs/dbraw/zinc/73/22/14/773732214.db2.gz SBCLCZJQENZJKL-KGLIPLIRSA-N 1 2 312.360 1.357 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3coc(C)n3)C[C@H]21 ZINC001074222503 773746778 /nfs/dbraw/zinc/74/67/78/773746778.db2.gz IPNHHUBPLWGPCY-CABCVRRESA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3coc(C)n3)C[C@H]21 ZINC001074222503 773746783 /nfs/dbraw/zinc/74/67/83/773746783.db2.gz IPNHHUBPLWGPCY-CABCVRRESA-N 1 2 305.378 1.474 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC3(O)CCC3)C[C@H]21 ZINC001074241491 773765555 /nfs/dbraw/zinc/76/55/55/773765555.db2.gz JISAZKAORCXPTM-CABCVRRESA-N 1 2 308.422 1.169 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC3(O)CCC3)C[C@H]21 ZINC001074241491 773765559 /nfs/dbraw/zinc/76/55/59/773765559.db2.gz JISAZKAORCXPTM-CABCVRRESA-N 1 2 308.422 1.169 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH]c[nH+]1)Nc1cccc(F)c1C#N ZINC001098344446 773787610 /nfs/dbraw/zinc/78/76/10/773787610.db2.gz MYSQZFYPROHLPM-LLVKDONJSA-N 1 2 315.352 1.970 20 30 DDEDLO C[C@H](CNC(=O)CCc1c[nH+]c[nH]1)Nc1cccc(F)c1C#N ZINC001098344446 773787612 /nfs/dbraw/zinc/78/76/12/773787612.db2.gz MYSQZFYPROHLPM-LLVKDONJSA-N 1 2 315.352 1.970 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CCN(c3cc[nH+]c(C)n3)C[C@H]2C1 ZINC001091852267 773826412 /nfs/dbraw/zinc/82/64/12/773826412.db2.gz PVDSVLJLGGPDEN-CVEARBPZSA-N 1 2 312.417 1.873 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3oncc3C)C[C@@H]21 ZINC001074375599 773868625 /nfs/dbraw/zinc/86/86/25/773868625.db2.gz WTMQHRMJBZPVTC-UONOGXRCSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3oncc3C)C[C@@H]21 ZINC001074375599 773868632 /nfs/dbraw/zinc/86/86/32/773868632.db2.gz WTMQHRMJBZPVTC-UONOGXRCSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(CC)on3)C[C@H]21 ZINC001074387578 773880757 /nfs/dbraw/zinc/88/07/57/773880757.db2.gz NLMPWSHSKZBBLI-CVEARBPZSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(CC)on3)C[C@H]21 ZINC001074387578 773880760 /nfs/dbraw/zinc/88/07/60/773880760.db2.gz NLMPWSHSKZBBLI-CVEARBPZSA-N 1 2 319.405 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2cccnc2)[C@@H](O)C1 ZINC001099908773 773941849 /nfs/dbraw/zinc/94/18/49/773941849.db2.gz JBWWDBIXZDAZPK-GJZGRUSLSA-N 1 2 323.824 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2cccnc2)[C@@H](O)C1 ZINC001099908773 773941857 /nfs/dbraw/zinc/94/18/57/773941857.db2.gz JBWWDBIXZDAZPK-GJZGRUSLSA-N 1 2 323.824 1.318 20 30 DDEDLO N#Cc1ccc(NC2CC(CNC(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001092269127 774037646 /nfs/dbraw/zinc/03/76/46/774037646.db2.gz JRWHIQDQLOOROS-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NC2CC(CNC(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001092269127 774037651 /nfs/dbraw/zinc/03/76/51/774037651.db2.gz JRWHIQDQLOOROS-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc[nH]c1C)c1nccn12 ZINC001092357565 774072221 /nfs/dbraw/zinc/07/22/21/774072221.db2.gz XXXDLVIGCKHDGD-OAHLLOKOSA-N 1 2 323.400 1.429 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C1CC=CC1)c1nccn12 ZINC001092358577 774072966 /nfs/dbraw/zinc/07/29/66/774072966.db2.gz GAEKXZFXRDSUJW-HNNXBMFYSA-N 1 2 310.401 1.445 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C#N)[nH]1)c1nccn12 ZINC001092361149 774075637 /nfs/dbraw/zinc/07/56/37/774075637.db2.gz CORFIOUGPXHUFB-AWEZNQCLSA-N 1 2 322.372 1.155 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001092377298 774093787 /nfs/dbraw/zinc/09/37/87/774093787.db2.gz WQWSSORQWSAVSG-UMVBOHGHSA-N 1 2 318.421 1.565 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001074964485 774270302 /nfs/dbraw/zinc/27/03/02/774270302.db2.gz ZQOVCPQCITYLBN-UONOGXRCSA-N 1 2 316.405 1.251 20 30 DDEDLO C[C@H](CC(=O)NC[C@H](C)Nc1ncccc1C#N)n1cc[nH+]c1 ZINC001098303136 774292430 /nfs/dbraw/zinc/29/24/30/774292430.db2.gz PVIPSJLBPKYRSG-QWHCGFSZSA-N 1 2 312.377 1.718 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2[C@H](CC[N@@H+]2Cc2cnsn2)C1 ZINC001036714205 774387240 /nfs/dbraw/zinc/38/72/40/774387240.db2.gz UOAMHJDGYROIRN-UKRRQHHQSA-N 1 2 316.430 1.374 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]2[C@H](CC[N@H+]2Cc2cnsn2)C1 ZINC001036714205 774387248 /nfs/dbraw/zinc/38/72/48/774387248.db2.gz UOAMHJDGYROIRN-UKRRQHHQSA-N 1 2 316.430 1.374 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sc(COC)nc1C)C2 ZINC001098291805 774528390 /nfs/dbraw/zinc/52/83/90/774528390.db2.gz CMRJLFXXHDTDAY-UPJWGTAASA-N 1 2 319.430 1.566 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sc(COC)nc1C)C2 ZINC001098291805 774528393 /nfs/dbraw/zinc/52/83/93/774528393.db2.gz CMRJLFXXHDTDAY-UPJWGTAASA-N 1 2 319.430 1.566 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccncc3)CC2)C1 ZINC001093499402 774723466 /nfs/dbraw/zinc/72/34/66/774723466.db2.gz SITCEEBUUCMHJM-UHFFFAOYSA-N 1 2 301.390 1.573 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)COC3CCCC3)CC2)C1 ZINC001093509061 774754050 /nfs/dbraw/zinc/75/40/50/774754050.db2.gz HMGGPHVCJRXWIV-UHFFFAOYSA-N 1 2 322.449 1.823 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C3CC(OCC)C3)CC2)C1 ZINC001093533810 774788569 /nfs/dbraw/zinc/78/85/69/774788569.db2.gz YYZPAWDRRUUKRQ-UHFFFAOYSA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3snnc3C)CC2)C1 ZINC001093505388 774796061 /nfs/dbraw/zinc/79/60/61/774796061.db2.gz IIWHUSQWQUXZSC-UHFFFAOYSA-N 1 2 322.434 1.338 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3C[C@@H](C)CO3)CC2)C1 ZINC001093553700 774798502 /nfs/dbraw/zinc/79/85/02/774798502.db2.gz TWORLNOCNBZCNW-CJNGLKHVSA-N 1 2 308.422 1.289 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC(F)(F)F)[C@H](O)C1 ZINC001099712092 775170060 /nfs/dbraw/zinc/17/00/60/775170060.db2.gz KPGFZVHZLZKGCO-DTWKUNHWSA-N 1 2 300.708 1.243 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC(F)(F)F)[C@H](O)C1 ZINC001099712092 775170064 /nfs/dbraw/zinc/17/00/64/775170064.db2.gz KPGFZVHZLZKGCO-DTWKUNHWSA-N 1 2 300.708 1.243 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@@H]2CCn3c[nH+]cc3C2)ccc1C#N ZINC001093951209 775257160 /nfs/dbraw/zinc/25/71/60/775257160.db2.gz JXPOGFNTJVOGDR-CYBMUJFWSA-N 1 2 324.388 1.249 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@H]2CCc3c[nH+]cn3C2)n1 ZINC001093958817 775271337 /nfs/dbraw/zinc/27/13/37/775271337.db2.gz SGJNQKZCBWYSLD-AWEZNQCLSA-N 1 2 324.388 1.249 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C[C@H]1O ZINC001099855074 775357494 /nfs/dbraw/zinc/35/74/94/775357494.db2.gz CACQASJAVJSTLQ-HZPDHXFCSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C[C@H]1O ZINC001099855074 775357507 /nfs/dbraw/zinc/35/75/07/775357507.db2.gz CACQASJAVJSTLQ-HZPDHXFCSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094098828 775431719 /nfs/dbraw/zinc/43/17/19/775431719.db2.gz OCJASVNWIUXFOJ-QGZVFWFLSA-N 1 2 317.437 1.595 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094098828 775431727 /nfs/dbraw/zinc/43/17/27/775431727.db2.gz OCJASVNWIUXFOJ-QGZVFWFLSA-N 1 2 317.437 1.595 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=C)Cl)C[C@@H]1O ZINC001099946762 775458043 /nfs/dbraw/zinc/45/80/43/775458043.db2.gz LFHQWJQGKWACNC-STQMWFEESA-N 1 2 300.830 1.893 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=C)Cl)C[C@@H]1O ZINC001099946762 775458047 /nfs/dbraw/zinc/45/80/47/775458047.db2.gz LFHQWJQGKWACNC-STQMWFEESA-N 1 2 300.830 1.893 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)NCCNc1ncc(C#N)cc1F ZINC001094188668 775550668 /nfs/dbraw/zinc/55/06/68/775550668.db2.gz IBTWYXDLFSCDLQ-SNVBAGLBSA-N 1 2 316.340 1.222 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)NCCNc1ncc(C#N)cc1F ZINC001094188668 775550673 /nfs/dbraw/zinc/55/06/73/775550673.db2.gz IBTWYXDLFSCDLQ-SNVBAGLBSA-N 1 2 316.340 1.222 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3CCCCCC3)nn2)C1 ZINC001094277282 775629886 /nfs/dbraw/zinc/62/98/86/775629886.db2.gz BSYRRQYBQSTUSE-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccc(C=C)cc3)nn2)C1 ZINC001094319114 775678772 /nfs/dbraw/zinc/67/87/72/775678772.db2.gz QURNPGDPAAWPSN-UHFFFAOYSA-N 1 2 323.400 1.894 20 30 DDEDLO CN(CCNC(=O)[C@H]1CCCc2[nH+]c[nH]c21)c1ccc(C#N)cn1 ZINC001100330439 776007484 /nfs/dbraw/zinc/00/74/84/776007484.db2.gz QLTMOFVBSLEICB-ZDUSSCGKSA-N 1 2 324.388 1.349 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C3CCCCC3)CC2=O)C1 ZINC001094697121 776206712 /nfs/dbraw/zinc/20/67/12/776206712.db2.gz HSEYNIDGMGAVNR-CQSZACIVSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094812193 776296153 /nfs/dbraw/zinc/29/61/53/776296153.db2.gz UQHTVWQJGWLPOR-UHFFFAOYSA-N 1 2 320.441 1.767 20 30 DDEDLO C#Cc1cncc(C(=O)NCCN(CC)c2cc[nH+]c(C)n2)c1 ZINC001100713819 776464425 /nfs/dbraw/zinc/46/44/25/776464425.db2.gz INRQEJNVECTMMV-UHFFFAOYSA-N 1 2 309.373 1.418 20 30 DDEDLO CCc1nc(N2CCC[C@@H]2CNC(=O)[C@H](C)C#N)cc(C)[nH+]1 ZINC001100810154 776577276 /nfs/dbraw/zinc/57/72/76/776577276.db2.gz PKVCPMPRXKKXNT-DGCLKSJQSA-N 1 2 301.394 1.592 20 30 DDEDLO N#Cc1cnc(NCCCNC(=O)c2cc3c[nH+]ccc3[nH]2)cn1 ZINC001094873537 776630710 /nfs/dbraw/zinc/63/07/10/776630710.db2.gz ZHMJKLZCXBDFFL-UHFFFAOYSA-N 1 2 321.344 1.457 20 30 DDEDLO Cc1nc(N2CC[C@H](CNC(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001100989683 776800590 /nfs/dbraw/zinc/80/05/90/776800590.db2.gz FTKSQADWJXETRI-CQSZACIVSA-N 1 2 324.388 1.101 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCN(CCC)c1cc[nH+]c(C)n1 ZINC001101126677 776928130 /nfs/dbraw/zinc/92/81/30/776928130.db2.gz MARHDCWDLZTJIY-INIZCTEOSA-N 1 2 306.410 1.445 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)n1cccn1)C2 ZINC001095198653 777216393 /nfs/dbraw/zinc/21/63/93/777216393.db2.gz LYKGREXWLXGENL-MQYQWHSLSA-N 1 2 308.813 1.918 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)n1cccn1)C2 ZINC001095198653 777216397 /nfs/dbraw/zinc/21/63/97/777216397.db2.gz LYKGREXWLXGENL-MQYQWHSLSA-N 1 2 308.813 1.918 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C#N)c[nH]1)C2 ZINC001095282156 777411868 /nfs/dbraw/zinc/41/18/68/777411868.db2.gz PLGDJYHFDFSGOS-DYEKYZERSA-N 1 2 304.781 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C#N)c[nH]1)C2 ZINC001095282156 777411875 /nfs/dbraw/zinc/41/18/75/777411875.db2.gz PLGDJYHFDFSGOS-DYEKYZERSA-N 1 2 304.781 1.974 20 30 DDEDLO Cc1nc(C#N)ccc1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001174490284 777483700 /nfs/dbraw/zinc/48/37/00/777483700.db2.gz MUXGXRDVUABQGG-UHFFFAOYSA-N 1 2 312.377 1.534 20 30 DDEDLO N#Cc1cccc(O)c1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001174493207 777487948 /nfs/dbraw/zinc/48/79/48/777487948.db2.gz BVZPAGNUVLNVHE-UHFFFAOYSA-N 1 2 313.361 1.536 20 30 DDEDLO C[N@@H+]1CCCn2nc(C(=O)Nc3ccc(C#N)cc3O)cc2C1 ZINC001175087830 777687938 /nfs/dbraw/zinc/68/79/38/777687938.db2.gz AHJRECIEKWMXRY-UHFFFAOYSA-N 1 2 311.345 1.548 20 30 DDEDLO C[N@H+]1CCCn2nc(C(=O)Nc3ccc(C#N)cc3O)cc2C1 ZINC001175087830 777687943 /nfs/dbraw/zinc/68/79/43/777687943.db2.gz AHJRECIEKWMXRY-UHFFFAOYSA-N 1 2 311.345 1.548 20 30 DDEDLO C[C@H](CCCCNCC#N)NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001175227092 777721079 /nfs/dbraw/zinc/72/10/79/777721079.db2.gz QPGJEOZUIIRACH-OLZOCXBDSA-N 1 2 303.410 1.618 20 30 DDEDLO C[C@@H](CC(=O)N(C)CCNc1cncc(C#N)n1)n1cc[nH+]c1 ZINC001101975527 777755441 /nfs/dbraw/zinc/75/54/41/777755441.db2.gz GBRIWCGCMZIVOH-LBPRGKRZSA-N 1 2 313.365 1.066 20 30 DDEDLO CN(CCNc1nc(Cl)c(C#N)s1)C(=O)Cc1c[nH+]c[nH]1 ZINC001101976002 777757414 /nfs/dbraw/zinc/75/74/14/777757414.db2.gz WWFHHOVUCABAAG-UHFFFAOYSA-N 1 2 324.797 1.504 20 30 DDEDLO N#Cc1cnccc1N1CC[C@@H](CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001102338828 778075276 /nfs/dbraw/zinc/07/52/76/778075276.db2.gz VSTFCQFZSQIRQJ-CQSZACIVSA-N 1 2 324.388 1.183 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(c2nccc(C)n2)CC1 ZINC001102414060 778124808 /nfs/dbraw/zinc/12/48/08/778124808.db2.gz KRQRQZMSFZTFNI-UHFFFAOYSA-N 1 2 317.437 1.332 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102439464 778142025 /nfs/dbraw/zinc/14/20/25/778142025.db2.gz DQWYDXGUORSVTR-HNNXBMFYSA-N 1 2 300.406 1.873 20 30 DDEDLO CN(C(=O)CCc1[nH]cc[nH+]1)[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001102695549 778322580 /nfs/dbraw/zinc/32/25/80/778322580.db2.gz IHBLNNRUKAGTQZ-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3=CCCC3)C[C@H]21 ZINC001176976443 778361821 /nfs/dbraw/zinc/36/18/21/778361821.db2.gz JFWNYXKZPZIFLU-SJORKVTESA-N 1 2 320.433 1.601 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C3=CCCC3)C[C@H]21 ZINC001176976443 778361828 /nfs/dbraw/zinc/36/18/28/778361828.db2.gz JFWNYXKZPZIFLU-SJORKVTESA-N 1 2 320.433 1.601 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)COC)C[C@H]21 ZINC001177054898 778415986 /nfs/dbraw/zinc/41/59/86/778415986.db2.gz DJWGBKSEVCGESP-HZPDHXFCSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC(C)(C)COC)C[C@H]21 ZINC001177054898 778415990 /nfs/dbraw/zinc/41/59/90/778415990.db2.gz DJWGBKSEVCGESP-HZPDHXFCSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCCO)[C@@H]2C1 ZINC001177060885 778419900 /nfs/dbraw/zinc/41/99/00/778419900.db2.gz GPDBRBOAGALJMZ-SJORKVTESA-N 1 2 322.449 1.254 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@@H]2OCC[N@H+](CCCO)[C@@H]2C1 ZINC001177060885 778419903 /nfs/dbraw/zinc/41/99/03/778419903.db2.gz GPDBRBOAGALJMZ-SJORKVTESA-N 1 2 322.449 1.254 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCC#CC)C[C@@H]21 ZINC001177079537 778431347 /nfs/dbraw/zinc/43/13/47/778431347.db2.gz DKMVUBCWCURDOU-DLBZAZTESA-N 1 2 302.418 1.505 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCC#CC)C[C@@H]21 ZINC001177079537 778431350 /nfs/dbraw/zinc/43/13/50/778431350.db2.gz DKMVUBCWCURDOU-DLBZAZTESA-N 1 2 302.418 1.505 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CCC)CC3)C[C@H]21 ZINC001177093601 778444112 /nfs/dbraw/zinc/44/41/12/778444112.db2.gz HGDPRCXNAAENDA-HZPDHXFCSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CCC)CC3)C[C@H]21 ZINC001177093601 778444113 /nfs/dbraw/zinc/44/41/13/778444113.db2.gz HGDPRCXNAAENDA-HZPDHXFCSA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCN1CCO[C@H]2CCN(C(=O)CCCn3cc[nH+]c3)C[C@H]21 ZINC001177161551 778468537 /nfs/dbraw/zinc/46/85/37/778468537.db2.gz DHSILYLYEWTKKM-CVEARBPZSA-N 1 2 318.421 1.151 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCCC[NH2+]Cc1noc(C2CC2)n1 ZINC001177276585 778529439 /nfs/dbraw/zinc/52/94/39/778529439.db2.gz DRUJOFJPLWZIGV-LLVKDONJSA-N 1 2 308.382 1.134 20 30 DDEDLO Cc1nonc1C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)C#CC(C)C)C1 ZINC001111735671 779455445 /nfs/dbraw/zinc/45/54/45/779455445.db2.gz KPVULYIBARORPK-YOEHRIQHSA-N 1 2 316.405 1.508 20 30 DDEDLO Cc1nonc1C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)C#CC(C)C)C1 ZINC001111735671 779455447 /nfs/dbraw/zinc/45/54/47/779455447.db2.gz KPVULYIBARORPK-YOEHRIQHSA-N 1 2 316.405 1.508 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2(Nc3cc[nH+]c(C)n3)CCC2)nc1 ZINC001111829113 779502040 /nfs/dbraw/zinc/50/20/40/779502040.db2.gz TXMCITKOTYHTGK-UHFFFAOYSA-N 1 2 321.384 1.926 20 30 DDEDLO Cc1nc(NC[C@H]2CN(C(=O)C#CC(C)C)CCO2)cc[nH+]1 ZINC001111945392 779573923 /nfs/dbraw/zinc/57/39/23/779573923.db2.gz KLCDSXQOBIWNJR-AWEZNQCLSA-N 1 2 302.378 1.084 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)[C@@H]1C ZINC001180260638 779683206 /nfs/dbraw/zinc/68/32/06/779683206.db2.gz JZWRYYPCNDWHDH-STQMWFEESA-N 1 2 322.409 1.304 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CC(=O)Nc2nnc(-c3ccco3)o2)C1 ZINC001116556189 780522931 /nfs/dbraw/zinc/52/29/31/780522931.db2.gz LNGNDSPCBQIUKD-LLVKDONJSA-N 1 2 318.333 1.545 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CC(=O)Nc2nnc(-c3ccco3)o2)C1 ZINC001116556189 780522942 /nfs/dbraw/zinc/52/29/42/780522942.db2.gz LNGNDSPCBQIUKD-LLVKDONJSA-N 1 2 318.333 1.545 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CCC[N@H+]1Cc1coc(C)n1 ZINC001267267151 837705085 /nfs/dbraw/zinc/70/50/85/837705085.db2.gz ONIUYXFVVBTSSV-GDBMZVCRSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CCC[N@@H+]1Cc1coc(C)n1 ZINC001267267151 837705090 /nfs/dbraw/zinc/70/50/90/837705090.db2.gz ONIUYXFVVBTSSV-GDBMZVCRSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@H]1CC[N@H+](Cc2cnon2)C1 ZINC001267297623 837775230 /nfs/dbraw/zinc/77/52/30/837775230.db2.gz DRRDKMISPQMQNI-CJNGLKHVSA-N 1 2 322.409 1.237 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@H]1CC[N@@H+](Cc2cnon2)C1 ZINC001267297623 837775249 /nfs/dbraw/zinc/77/52/49/837775249.db2.gz DRRDKMISPQMQNI-CJNGLKHVSA-N 1 2 322.409 1.237 20 30 DDEDLO C[NH+]1CCC(C#N)(NS(=O)(=O)Cc2ccccc2F)CC1 ZINC000396537411 836013772 /nfs/dbraw/zinc/01/37/72/836013772.db2.gz JPZFHBYWMHNIKY-UHFFFAOYSA-N 1 2 311.382 1.233 20 30 DDEDLO CCCCc1noc([C@H](C)[NH2+]CCN(C)C(=O)C#CC2CC2)n1 ZINC001266694556 836681951 /nfs/dbraw/zinc/68/19/51/836681951.db2.gz RHJCARBACQGSSE-ZDUSSCGKSA-N 1 2 318.421 1.935 20 30 DDEDLO C=CCC1(C(=O)N(C)CC[NH2+]Cc2nncs2)CCOCC1 ZINC001267461941 838098282 /nfs/dbraw/zinc/09/82/82/838098282.db2.gz FAPWUWBRTQLCRB-UHFFFAOYSA-N 1 2 324.450 1.459 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC3CC3)C2)cn1 ZINC001267614198 838469970 /nfs/dbraw/zinc/46/99/70/838469970.db2.gz CVXOJFGUVFVVRN-MRXNPFEDSA-N 1 2 313.401 1.584 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@@H](NC(=O)C#CC3CC3)C2)cn1 ZINC001267614198 838469974 /nfs/dbraw/zinc/46/99/74/838469974.db2.gz CVXOJFGUVFVVRN-MRXNPFEDSA-N 1 2 313.401 1.584 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2ccn(C)n2)C1 ZINC001267619017 838505095 /nfs/dbraw/zinc/50/50/95/838505095.db2.gz YAMYIIVHNDGHOO-OAHLLOKOSA-N 1 2 302.422 1.694 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccn(C)n2)C1 ZINC001267619017 838505097 /nfs/dbraw/zinc/50/50/97/838505097.db2.gz YAMYIIVHNDGHOO-OAHLLOKOSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)CCCOc2ccc(F)cc2)C1 ZINC001267655357 838589687 /nfs/dbraw/zinc/58/96/87/838589687.db2.gz LOAQMMKNASBPEJ-UHFFFAOYSA-N 1 2 304.365 1.808 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001267741178 838814090 /nfs/dbraw/zinc/81/40/90/838814090.db2.gz MPHNHQRWRIUWKQ-CQSZACIVSA-N 1 2 307.438 1.141 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001267741178 838814106 /nfs/dbraw/zinc/81/41/06/838814106.db2.gz MPHNHQRWRIUWKQ-CQSZACIVSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCCCCC(=O)N(C)[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001267765317 838904139 /nfs/dbraw/zinc/90/41/39/838904139.db2.gz XAMGRGNEPSUKQC-KRWDZBQOSA-N 1 2 316.449 1.955 20 30 DDEDLO C#CCCCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001267765317 838904149 /nfs/dbraw/zinc/90/41/49/838904149.db2.gz XAMGRGNEPSUKQC-KRWDZBQOSA-N 1 2 316.449 1.955 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)CCOc2cccc(F)c2)C1 ZINC001268025926 839425841 /nfs/dbraw/zinc/42/58/41/839425841.db2.gz RDNGBFWVBONJAM-MRXNPFEDSA-N 1 2 322.380 1.598 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)CCOc2cccc(F)c2)C1 ZINC001268025926 839425848 /nfs/dbraw/zinc/42/58/48/839425848.db2.gz RDNGBFWVBONJAM-MRXNPFEDSA-N 1 2 322.380 1.598 20 30 DDEDLO CC(C)C[C@@H](CNCC#N)NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001268104856 839693403 /nfs/dbraw/zinc/69/34/03/839693403.db2.gz KECKBJRJRLKNGA-AWEZNQCLSA-N 1 2 317.437 1.406 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC1C[NH+](CCCN2CCCC2=O)C1 ZINC001268437406 840185334 /nfs/dbraw/zinc/18/53/34/840185334.db2.gz JOTLODFDDIQNDK-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)C[NH2+]Cc2nnc(C3CC3)o2)cc1 ZINC001268697624 840683918 /nfs/dbraw/zinc/68/39/18/840683918.db2.gz FVXFZMBZOSAGGY-LBPRGKRZSA-N 1 2 324.384 1.836 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](C)C[N@H+](C)Cc1csc(C)n1 ZINC001268729230 840723954 /nfs/dbraw/zinc/72/39/54/840723954.db2.gz MUCJKTOVTAKPTQ-XHDPSFHLSA-N 1 2 311.451 1.715 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](C)C[N@@H+](C)Cc1csc(C)n1 ZINC001268729230 840723960 /nfs/dbraw/zinc/72/39/60/840723960.db2.gz MUCJKTOVTAKPTQ-XHDPSFHLSA-N 1 2 311.451 1.715 20 30 DDEDLO C=CC[C@H](C)C(=O)N1CCCC2(C[NH+](CC(=O)N(C)C)C2)C1 ZINC001268967786 841070306 /nfs/dbraw/zinc/07/03/06/841070306.db2.gz FCYIMELGDDKNSV-AWEZNQCLSA-N 1 2 307.438 1.211 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(Cl)c1 ZINC001268983904 841085086 /nfs/dbraw/zinc/08/50/86/841085086.db2.gz KUOFGSGKTSFRDS-HOTGVXAUSA-N 1 2 318.804 1.889 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(Cl)c1 ZINC001268983904 841085102 /nfs/dbraw/zinc/08/51/02/841085102.db2.gz KUOFGSGKTSFRDS-HOTGVXAUSA-N 1 2 318.804 1.889 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001269056941 841159833 /nfs/dbraw/zinc/15/98/33/841159833.db2.gz NHOUWTPMOGATGT-HNNXBMFYSA-N 1 2 302.422 1.613 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001269056941 841159838 /nfs/dbraw/zinc/15/98/38/841159838.db2.gz NHOUWTPMOGATGT-HNNXBMFYSA-N 1 2 302.422 1.613 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001269122134 841232859 /nfs/dbraw/zinc/23/28/59/841232859.db2.gz RLRKHSFDSMAIRL-OAHLLOKOSA-N 1 2 302.422 1.613 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001269122134 841232868 /nfs/dbraw/zinc/23/28/68/841232868.db2.gz RLRKHSFDSMAIRL-OAHLLOKOSA-N 1 2 302.422 1.613 20 30 DDEDLO C=CCN1CC[C@@]2(CCN(C(=O)[C@@H](C)n3cc[nH+]c3)C2)C1=O ZINC001269157228 841271760 /nfs/dbraw/zinc/27/17/60/841271760.db2.gz IZCIAFASYGSHRJ-CJNGLKHVSA-N 1 2 302.378 1.081 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)CCC2CC2)C1=O ZINC001269209593 841350550 /nfs/dbraw/zinc/35/05/50/841350550.db2.gz BZJWXXNUISIRTE-LSDHHAIUSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)CCC2CC2)C1=O ZINC001269209593 841350558 /nfs/dbraw/zinc/35/05/58/841350558.db2.gz BZJWXXNUISIRTE-LSDHHAIUSA-N 1 2 305.422 1.154 20 30 DDEDLO CCCCCCC[N@@H+]1CC[C@@H]1CNC(=O)C1CS(=O)(=O)C1 ZINC001269240565 841405612 /nfs/dbraw/zinc/40/56/12/841405612.db2.gz MIAXRHXVSLUIEC-CQSZACIVSA-N 1 2 316.467 1.192 20 30 DDEDLO CCCCCCC[N@H+]1CC[C@@H]1CNC(=O)C1CS(=O)(=O)C1 ZINC001269240565 841405616 /nfs/dbraw/zinc/40/56/16/841405616.db2.gz MIAXRHXVSLUIEC-CQSZACIVSA-N 1 2 316.467 1.192 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@H+]1[C@H](C)C(=O)N1CCCCC1 ZINC001269240658 841406593 /nfs/dbraw/zinc/40/65/93/841406593.db2.gz MUZRHQCNGCYFCU-CVEARBPZSA-N 1 2 321.465 1.934 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@@H+]1[C@H](C)C(=O)N1CCCCC1 ZINC001269240658 841406597 /nfs/dbraw/zinc/40/65/97/841406597.db2.gz MUZRHQCNGCYFCU-CVEARBPZSA-N 1 2 321.465 1.934 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@H+]1[C@@H]1CCN(CC)C1=O ZINC001269273003 841449750 /nfs/dbraw/zinc/44/97/50/841449750.db2.gz QFXBGMHPMBAZNV-JKSUJKDBSA-N 1 2 319.449 1.381 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H]1CCN(CC)C1=O ZINC001269273003 841449755 /nfs/dbraw/zinc/44/97/55/841449755.db2.gz QFXBGMHPMBAZNV-JKSUJKDBSA-N 1 2 319.449 1.381 20 30 DDEDLO CCn1ccc(C(=O)NC[C@@H]2CC[N@@H+]2CC#CCOC)c1C ZINC001269278747 841458641 /nfs/dbraw/zinc/45/86/41/841458641.db2.gz OSHZHVVHAMDKBC-HNNXBMFYSA-N 1 2 303.406 1.270 20 30 DDEDLO CCn1ccc(C(=O)NC[C@@H]2CC[N@H+]2CC#CCOC)c1C ZINC001269278747 841458652 /nfs/dbraw/zinc/45/86/52/841458652.db2.gz OSHZHVVHAMDKBC-HNNXBMFYSA-N 1 2 303.406 1.270 20 30 DDEDLO CCCc1nc(C[NH2+]CC[C@H]2CCN(C(=O)[C@H](C)C#N)C2)no1 ZINC001326225511 861212201 /nfs/dbraw/zinc/21/22/01/861212201.db2.gz VBVXUYXYDWLDBQ-OLZOCXBDSA-N 1 2 319.409 1.510 20 30 DDEDLO C#CCN1CC[C@]2(CCCN2C(=O)c2cccc3[nH+]ccn32)C1=O ZINC001269484947 841682617 /nfs/dbraw/zinc/68/26/17/841682617.db2.gz IXYBKIBZFAPULJ-GOSISDBHSA-N 1 2 322.368 1.175 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)COC[C@@H](C)c2ccccc2)C1 ZINC001269882033 842128463 /nfs/dbraw/zinc/12/84/63/842128463.db2.gz WINOUZKGKRYTGN-MRXNPFEDSA-N 1 2 314.429 1.973 20 30 DDEDLO CCC(CC)[C@@H](C)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001270520115 842638824 /nfs/dbraw/zinc/63/88/24/842638824.db2.gz KKAWVTWUEJYMPL-WBVHZDCISA-N 1 2 320.481 1.801 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H](CC)OC2CCCC2)C1 ZINC001270682365 842809601 /nfs/dbraw/zinc/80/96/01/842809601.db2.gz LALJRLYQSXCVNC-CVEARBPZSA-N 1 2 310.438 1.727 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H](CC)OC2CCCC2)C1 ZINC001270682365 842809608 /nfs/dbraw/zinc/80/96/08/842809608.db2.gz LALJRLYQSXCVNC-CVEARBPZSA-N 1 2 310.438 1.727 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CSCC#N)C1 ZINC001149237160 861367381 /nfs/dbraw/zinc/36/73/81/861367381.db2.gz PRYGDCBFMHHUMT-LBPRGKRZSA-N 1 2 317.842 1.203 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CSCC#N)C1 ZINC001149237160 861367393 /nfs/dbraw/zinc/36/73/93/861367393.db2.gz PRYGDCBFMHHUMT-LBPRGKRZSA-N 1 2 317.842 1.203 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001154742288 861411660 /nfs/dbraw/zinc/41/16/60/861411660.db2.gz BTEDBQWSYAIAME-QWHCGFSZSA-N 1 2 323.441 1.037 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001154742288 861411668 /nfs/dbraw/zinc/41/16/68/861411668.db2.gz BTEDBQWSYAIAME-QWHCGFSZSA-N 1 2 323.441 1.037 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1cccc(C)c1C ZINC001272165016 844671621 /nfs/dbraw/zinc/67/16/21/844671621.db2.gz LKICRKGPFIFWJU-UHFFFAOYSA-N 1 2 315.417 1.057 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1cccc(C)c1C ZINC001272165016 844671630 /nfs/dbraw/zinc/67/16/30/844671630.db2.gz LKICRKGPFIFWJU-UHFFFAOYSA-N 1 2 315.417 1.057 20 30 DDEDLO CCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001326627790 861491692 /nfs/dbraw/zinc/49/16/92/861491692.db2.gz OGSZKEOHJOCUTN-HNNXBMFYSA-N 1 2 304.394 1.507 20 30 DDEDLO CCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001326627790 861491698 /nfs/dbraw/zinc/49/16/98/861491698.db2.gz OGSZKEOHJOCUTN-HNNXBMFYSA-N 1 2 304.394 1.507 20 30 DDEDLO CCCCCC#CC(=O)N1C[C@@H](C(N)=O)[C@H](c2cn(C)c[nH+]2)C1 ZINC001272209806 844745987 /nfs/dbraw/zinc/74/59/87/844745987.db2.gz NWJUHFYWVOZSOM-ZIAGYGMSSA-N 1 2 316.405 1.031 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1COCC[N@H+]1CCC[C@@H](C)O ZINC001326657838 861517201 /nfs/dbraw/zinc/51/72/01/861517201.db2.gz AITFPFSSNRHCQT-HUUCEWRRSA-N 1 2 312.454 1.567 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1COCC[N@@H+]1CCC[C@@H](C)O ZINC001326657838 861517207 /nfs/dbraw/zinc/51/72/07/861517207.db2.gz AITFPFSSNRHCQT-HUUCEWRRSA-N 1 2 312.454 1.567 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H](CC)OCC)C1 ZINC001149309934 861537813 /nfs/dbraw/zinc/53/78/13/861537813.db2.gz KLQPROGULPMYBI-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H](CC)OCC)C1 ZINC001149309934 861537824 /nfs/dbraw/zinc/53/78/24/861537824.db2.gz KLQPROGULPMYBI-KGLIPLIRSA-N 1 2 318.845 1.761 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(F)ccc(F)c2Cl)C1 ZINC001077722029 847275784 /nfs/dbraw/zinc/27/57/84/847275784.db2.gz BBWWSENPRFIZEN-GHMZBOCLSA-N 1 2 314.719 1.026 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(F)ccc(F)c2Cl)C1 ZINC001077722029 847275793 /nfs/dbraw/zinc/27/57/93/847275793.db2.gz BBWWSENPRFIZEN-GHMZBOCLSA-N 1 2 314.719 1.026 20 30 DDEDLO Cc1[nH]ncc1C[NH+]1CCC2(CCN(CCCC#N)C2=O)CC1 ZINC001272753984 847368432 /nfs/dbraw/zinc/36/84/32/847368432.db2.gz ATMJHTQGUCGSBA-UHFFFAOYSA-N 1 2 315.421 1.836 20 30 DDEDLO C#CCN(CC#N)C(=O)c1ccc(NCCn2cc[nH+]c2)cc1 ZINC001155221610 861856751 /nfs/dbraw/zinc/85/67/51/861856751.db2.gz DEOJCCQAKVWMEJ-UHFFFAOYSA-N 1 2 307.357 1.594 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2ccncc2Cl)C1=O ZINC001273231930 848930674 /nfs/dbraw/zinc/93/06/74/848930674.db2.gz VBYQAAMKCQPJPJ-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2ccncc2Cl)C1=O ZINC001273231930 848930680 /nfs/dbraw/zinc/93/06/80/848930680.db2.gz VBYQAAMKCQPJPJ-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@H+](CC)Cc1nocc1C ZINC001155325489 861960684 /nfs/dbraw/zinc/96/06/84/861960684.db2.gz DANMKLICWITAJT-FMKPAKJESA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nocc1C ZINC001155325489 861960697 /nfs/dbraw/zinc/96/06/97/861960697.db2.gz DANMKLICWITAJT-FMKPAKJESA-N 1 2 321.421 1.901 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1COC2(C[NH+](C[C@H](C)OC)C2)C1 ZINC001327388761 862131595 /nfs/dbraw/zinc/13/15/95/862131595.db2.gz XAJDAAGWBPMTCP-LSDHHAIUSA-N 1 2 308.422 1.174 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](CC(C)(C)C(=O)CC)C[C@@]2(F)C1=O ZINC001273452472 850822074 /nfs/dbraw/zinc/82/20/74/850822074.db2.gz SOBCKTCGGAVEOJ-JKSUJKDBSA-N 1 2 314.376 1.752 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](CC(C)(C)C(=O)CC)C[C@@]2(F)C1=O ZINC001273452472 850822081 /nfs/dbraw/zinc/82/20/81/850822081.db2.gz SOBCKTCGGAVEOJ-JKSUJKDBSA-N 1 2 314.376 1.752 20 30 DDEDLO N#Cc1cnccc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cn[nH]c1 ZINC001273746457 851294576 /nfs/dbraw/zinc/29/45/76/851294576.db2.gz TYKWRBLHVFZTOB-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1cnccc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cn[nH]c1 ZINC001273746457 851294589 /nfs/dbraw/zinc/29/45/89/851294589.db2.gz TYKWRBLHVFZTOB-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1C[C@]2(F)CN(C3CCCC3)C(=O)[C@]2(F)C1 ZINC001274614756 852444251 /nfs/dbraw/zinc/44/42/51/852444251.db2.gz LZJSGQKAXLXMRG-OWCLPIDISA-N 1 2 314.376 1.440 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1C[C@]2(F)CN(C3CCCC3)C(=O)[C@]2(F)C1 ZINC001274614756 852444257 /nfs/dbraw/zinc/44/42/57/852444257.db2.gz LZJSGQKAXLXMRG-OWCLPIDISA-N 1 2 314.376 1.440 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)COCC1CC1 ZINC001274847768 852638333 /nfs/dbraw/zinc/63/83/33/852638333.db2.gz PWEJRIDFTUURLC-SFHVURJKSA-N 1 2 312.413 1.762 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)COCC1CC1 ZINC001274847768 852638339 /nfs/dbraw/zinc/63/83/39/852638339.db2.gz PWEJRIDFTUURLC-SFHVURJKSA-N 1 2 312.413 1.762 20 30 DDEDLO CCN(CC#N)CC1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC1 ZINC001274960875 852711643 /nfs/dbraw/zinc/71/16/43/852711643.db2.gz VTBSJMMVPZLGHD-UHFFFAOYSA-N 1 2 317.437 1.771 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@H]2C[C@@H](C1)N2Cc1cncc(C#N)c1 ZINC001275028022 852753548 /nfs/dbraw/zinc/75/35/48/852753548.db2.gz VDSUKMIGBYWUCT-CALCHBBNSA-N 1 2 322.416 1.628 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@H]2C[C@@H](C1)N2Cc1cncc(C#N)c1 ZINC001275028022 852753554 /nfs/dbraw/zinc/75/35/54/852753554.db2.gz VDSUKMIGBYWUCT-CALCHBBNSA-N 1 2 322.416 1.628 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@H]3CN(Cc4ccc[nH]4)C[C@@H](C2)O3)c1 ZINC001275290477 852956151 /nfs/dbraw/zinc/95/61/51/852956151.db2.gz NWWSIMORGPNJKI-KDURUIRLSA-N 1 2 322.412 1.972 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@H]3CN(Cc4ccc[nH]4)C[C@@H](C2)O3)c1 ZINC001275290477 852956156 /nfs/dbraw/zinc/95/61/56/852956156.db2.gz NWWSIMORGPNJKI-KDURUIRLSA-N 1 2 322.412 1.972 20 30 DDEDLO CC(C)NC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc(C#N)nc1 ZINC001275295982 852962274 /nfs/dbraw/zinc/96/22/74/852962274.db2.gz NESUXYHLXDGDGP-IYBDPMFKSA-N 1 2 313.405 1.720 20 30 DDEDLO CC(C)NC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(C#N)nc1 ZINC001275295982 852962279 /nfs/dbraw/zinc/96/22/79/852962279.db2.gz NESUXYHLXDGDGP-IYBDPMFKSA-N 1 2 313.405 1.720 20 30 DDEDLO CN(C)[C@@H](C(=O)Nc1ccc(F)c(C#N)c1)c1c[nH+]cn1C ZINC001411738717 853660553 /nfs/dbraw/zinc/66/05/53/853660553.db2.gz QKPPXTSPAQDXNV-CQSZACIVSA-N 1 2 301.325 1.672 20 30 DDEDLO CN(C)[C@H](C(=O)Nc1cccc(OCC#N)c1)c1c[nH+]cn1C ZINC001412430211 854540860 /nfs/dbraw/zinc/54/08/60/854540860.db2.gz MVKPKQUGPWLFJZ-HNNXBMFYSA-N 1 2 313.361 1.564 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1conc1C(F)(F)F)C2 ZINC001095769651 855334352 /nfs/dbraw/zinc/33/43/52/855334352.db2.gz YTOOHLZQLWVJII-MIMYLULJSA-N 1 2 313.279 1.662 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1conc1C(F)(F)F)C2 ZINC001095769651 855334358 /nfs/dbraw/zinc/33/43/58/855334358.db2.gz YTOOHLZQLWVJII-MIMYLULJSA-N 1 2 313.279 1.662 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001072544240 857426201 /nfs/dbraw/zinc/42/62/01/857426201.db2.gz FUCNWZCZJZOCAJ-QWRGUYRKSA-N 1 2 303.366 1.133 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001072544240 857426206 /nfs/dbraw/zinc/42/62/06/857426206.db2.gz FUCNWZCZJZOCAJ-QWRGUYRKSA-N 1 2 303.366 1.133 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001072561926 857452383 /nfs/dbraw/zinc/45/23/83/857452383.db2.gz ZEWVJUZPPVSTKC-KBPBESRZSA-N 1 2 316.405 1.109 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072801068 857719272 /nfs/dbraw/zinc/71/92/72/857719272.db2.gz LBYYWCGQYUNRNC-OCCSQVGLSA-N 1 2 318.421 1.518 20 30 DDEDLO O=C([C@@H]1C[C@H]1c1c[nH]c[nH+]1)N1CCC2(CC1)CC(=O)C=CO2 ZINC001413713256 857759878 /nfs/dbraw/zinc/75/98/78/857759878.db2.gz JGLILZKBOXONIG-CHWSQXEVSA-N 1 2 301.346 1.378 20 30 DDEDLO O=C([C@@H]1C[C@H]1c1c[nH+]c[nH]1)N1CCC2(CC1)CC(=O)C=CO2 ZINC001413713256 857759882 /nfs/dbraw/zinc/75/98/82/857759882.db2.gz JGLILZKBOXONIG-CHWSQXEVSA-N 1 2 301.346 1.378 20 30 DDEDLO C=CCCCC(=O)NC1(C)CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001073277409 858232751 /nfs/dbraw/zinc/23/27/51/858232751.db2.gz UJDLUJLGUXWERC-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cnc(C)[nH]2)C1 ZINC001073552410 858434794 /nfs/dbraw/zinc/43/47/94/858434794.db2.gz QLCKFKDVIRZABF-GFCCVEGCSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cnc(C)[nH]2)C1 ZINC001073552410 858434797 /nfs/dbraw/zinc/43/47/97/858434797.db2.gz QLCKFKDVIRZABF-GFCCVEGCSA-N 1 2 312.801 1.291 20 30 DDEDLO C=CC[N@@H+]1CCCO[C@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001073603742 858477429 /nfs/dbraw/zinc/47/74/29/858477429.db2.gz NKGNZGVXLQVOJM-KGLIPLIRSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CC[N@H+]1CCCO[C@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001073603742 858477433 /nfs/dbraw/zinc/47/74/33/858477433.db2.gz NKGNZGVXLQVOJM-KGLIPLIRSA-N 1 2 318.421 1.223 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@H](C)N(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001123156755 859109093 /nfs/dbraw/zinc/10/90/93/859109093.db2.gz KJOMTYQPHXLIGI-CMPLNLGQSA-N 1 2 305.407 1.443 20 30 DDEDLO C#CCOCCC(=O)N1C[C@H](Nc2cc[nH+]c(C)n2)C[C@H]1C ZINC001123281358 859161065 /nfs/dbraw/zinc/16/10/65/859161065.db2.gz VANZYSKYVGSCRO-TZMCWYRMSA-N 1 2 302.378 1.226 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001124495279 859721924 /nfs/dbraw/zinc/72/19/24/859721924.db2.gz OPIAWYMYDOAOBB-LBPRGKRZSA-N 1 2 324.425 1.554 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)Cc1[nH]c[nH+]c1C ZINC001138073017 860005541 /nfs/dbraw/zinc/00/55/41/860005541.db2.gz WJGNSOVEQZBIBA-UHFFFAOYSA-N 1 2 321.344 1.660 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCc3nn(C)cc3C2)cc1OC ZINC001138345346 860063942 /nfs/dbraw/zinc/06/39/42/860063942.db2.gz CXXYTXWXTUNPGD-UHFFFAOYSA-N 1 2 311.385 1.999 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCc3nn(C)cc3C2)cc1OC ZINC001138345346 860063954 /nfs/dbraw/zinc/06/39/54/860063954.db2.gz CXXYTXWXTUNPGD-UHFFFAOYSA-N 1 2 311.385 1.999 20 30 DDEDLO C#CC[NH+]1CCN(Cc2c[nH]c3cccc(C(=O)OC)c23)CC1 ZINC001139721973 860462516 /nfs/dbraw/zinc/46/25/16/860462516.db2.gz PDFBSILHUMMTMA-UHFFFAOYSA-N 1 2 311.385 1.705 20 30 DDEDLO C=CCn1cc(C[NH+]2CCN(c3ccc(Cl)nn3)CC2)cn1 ZINC001139770811 860478004 /nfs/dbraw/zinc/47/80/04/860478004.db2.gz BRFMNVUKHSLUKA-UHFFFAOYSA-N 1 2 318.812 1.835 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]([NH2+]Cc2nnn(C)n2)C12CCC2 ZINC001202988167 860524933 /nfs/dbraw/zinc/52/49/33/860524933.db2.gz QYLICKBZWOTTOA-QWHCGFSZSA-N 1 2 318.425 1.083 20 30 DDEDLO C#C[C@@H](CO)NC(=O)c1cccc(CNc2cc[nH+]c(C)n2)c1 ZINC001141496394 860869323 /nfs/dbraw/zinc/86/93/23/860869323.db2.gz PXKZJTGUIYWMNH-HNNXBMFYSA-N 1 2 310.357 1.121 20 30 DDEDLO CN(C)C(=O)C1C[NH+](Cc2cc(C#N)ccc2Br)C1 ZINC001141660887 860903607 /nfs/dbraw/zinc/90/36/07/860903607.db2.gz NROMYHQLEYNKSO-UHFFFAOYSA-N 1 2 322.206 1.841 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1nnc(C)o1 ZINC001325902031 860940432 /nfs/dbraw/zinc/94/04/32/860940432.db2.gz ZCRSJZSLLWMQCD-HUUCEWRRSA-N 1 2 316.405 1.747 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1nnc(C)o1 ZINC001325902031 860940440 /nfs/dbraw/zinc/94/04/40/860940440.db2.gz ZCRSJZSLLWMQCD-HUUCEWRRSA-N 1 2 316.405 1.747 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nonc1C ZINC001152228241 863227826 /nfs/dbraw/zinc/22/78/26/863227826.db2.gz OYFTVIQKWLSJLE-TZMCWYRMSA-N 1 2 324.425 1.686 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nonc1C ZINC001152228241 863227838 /nfs/dbraw/zinc/22/78/38/863227838.db2.gz OYFTVIQKWLSJLE-TZMCWYRMSA-N 1 2 324.425 1.686 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@@H+](C)Cc1ccn(CC)n1 ZINC001329548971 863724200 /nfs/dbraw/zinc/72/42/00/863724200.db2.gz IPANLLBOJNEZMO-BMFZPTHFSA-N 1 2 320.437 1.431 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@H+](C)Cc1ccn(CC)n1 ZINC001329548971 863724204 /nfs/dbraw/zinc/72/42/04/863724204.db2.gz IPANLLBOJNEZMO-BMFZPTHFSA-N 1 2 320.437 1.431 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](C)CCN1c1nc(Cl)cc(C)c1C#N ZINC001157770961 864121706 /nfs/dbraw/zinc/12/17/06/864121706.db2.gz WYVJPHNBRCQRNM-NSHDSACASA-N 1 2 308.769 1.209 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](C)CCN1c1nc(Cl)cc(C)c1C#N ZINC001157770961 864121711 /nfs/dbraw/zinc/12/17/11/864121711.db2.gz WYVJPHNBRCQRNM-NSHDSACASA-N 1 2 308.769 1.209 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]([NH2+]Cc2nnc(CC)o2)C(C)(C)C1 ZINC001332375103 865798035 /nfs/dbraw/zinc/79/80/35/865798035.db2.gz YRTUPUANFYXNLP-ZDUSSCGKSA-N 1 2 318.421 1.762 20 30 DDEDLO Cn1cc(Cl)c(C[NH2+]C2(CNC(=O)C#CC(C)(C)C)CC2)n1 ZINC001277055066 881907497 /nfs/dbraw/zinc/90/74/97/881907497.db2.gz MHMPJVNZVYOHGP-UHFFFAOYSA-N 1 2 322.840 1.861 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+]1[C@H](C)C(=O)NC(C)(C)CC ZINC001323229305 866450490 /nfs/dbraw/zinc/45/04/90/866450490.db2.gz AXGSDYNHFKTNTF-HUUCEWRRSA-N 1 2 321.465 1.674 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@H](C)C(=O)NC(C)(C)CC ZINC001323229305 866450492 /nfs/dbraw/zinc/45/04/92/866450492.db2.gz AXGSDYNHFKTNTF-HUUCEWRRSA-N 1 2 321.465 1.674 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)COc1ccccc1OC ZINC001323354161 866556703 /nfs/dbraw/zinc/55/67/03/866556703.db2.gz VYYVZCVSIXPVJA-CQSZACIVSA-N 1 2 302.374 1.288 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)COc1ccccc1OC ZINC001323354161 866556714 /nfs/dbraw/zinc/55/67/14/866556714.db2.gz VYYVZCVSIXPVJA-CQSZACIVSA-N 1 2 302.374 1.288 20 30 DDEDLO Cc1c(C#N)ccnc1NS(=O)(=O)c1ccc(C[NH3+])cc1 ZINC001161746750 866956348 /nfs/dbraw/zinc/95/63/48/866956348.db2.gz KYTOGUMKADYWQW-UHFFFAOYSA-N 1 2 302.359 1.521 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+]([C@H]2CC(=O)N(c3ccccc3F)C2=O)C1 ZINC001320885237 867100205 /nfs/dbraw/zinc/10/02/05/867100205.db2.gz IOUMGCKFTAXWAS-WFASDCNBSA-N 1 2 318.348 1.735 20 30 DDEDLO C=CCO[C@H]1CC[N@H+]([C@H]2CC(=O)N(c3ccccc3F)C2=O)C1 ZINC001320885237 867100219 /nfs/dbraw/zinc/10/02/19/867100219.db2.gz IOUMGCKFTAXWAS-WFASDCNBSA-N 1 2 318.348 1.735 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC/C=C/C[NH2+][C@@H](C)c1nnnn1C ZINC001321230311 867420713 /nfs/dbraw/zinc/42/07/13/867420713.db2.gz BYBWZTACEOYSLS-CFPAMBERSA-N 1 2 306.414 1.135 20 30 DDEDLO C=CCCCn1nnnc1N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001334252061 867426299 /nfs/dbraw/zinc/42/62/99/867426299.db2.gz KPPAUJSHVPRLGK-UHFFFAOYSA-N 1 2 314.397 1.064 20 30 DDEDLO C/C(=N\C#N)N(C)Cc1ccc(NC[C@H]2C[N@H+](C)CCO2)nc1 ZINC001162680050 867720390 /nfs/dbraw/zinc/72/03/90/867720390.db2.gz DDMBHCLWNXUCKO-NPRIEWAGSA-N 1 2 316.409 1.155 20 30 DDEDLO C/C(=N\C#N)N(C)Cc1ccc(NC[C@H]2C[N@@H+](C)CCO2)nc1 ZINC001162680050 867720399 /nfs/dbraw/zinc/72/03/99/867720399.db2.gz DDMBHCLWNXUCKO-NPRIEWAGSA-N 1 2 316.409 1.155 20 30 DDEDLO C=C[C@@H]1CCCCN1C(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC001325132943 867803926 /nfs/dbraw/zinc/80/39/26/867803926.db2.gz FZOKWYGTCNHALV-CQSZACIVSA-N 1 2 316.405 1.614 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)Cn2ccc3ccccc32)C1 ZINC001325307496 867932955 /nfs/dbraw/zinc/93/29/55/867932955.db2.gz FFQDUHULWYYABW-UHFFFAOYSA-N 1 2 313.401 1.380 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccc3Cl)n2C)CC1 ZINC001322674468 868316613 /nfs/dbraw/zinc/31/66/13/868316613.db2.gz JWWHQKVMLPWBAR-UHFFFAOYSA-N 1 2 315.808 1.891 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC001322878477 868440643 /nfs/dbraw/zinc/44/06/43/868440643.db2.gz DLJTYDRZHPHSRM-ZDUSSCGKSA-N 1 2 304.394 1.587 20 30 DDEDLO Cc1cnc(C[NH+]2CCC(NC(=O)CSCC#N)CC2)nc1 ZINC001226152568 882233869 /nfs/dbraw/zinc/23/38/69/882233869.db2.gz JSUVTFTZNQSDKR-UHFFFAOYSA-N 1 2 319.434 1.122 20 30 DDEDLO Cc1cnc(N2CC([N@H+]3C[C@@H](C)O[C@@H](C)C3)C2)c(C=NO)c1 ZINC001165203902 869424903 /nfs/dbraw/zinc/42/49/03/869424903.db2.gz XQFOONPYMMTJRQ-BETUJISGSA-N 1 2 304.394 1.496 20 30 DDEDLO Cc1cnc(N2CC([N@@H+]3C[C@@H](C)O[C@@H](C)C3)C2)c(C=NO)c1 ZINC001165203902 869424913 /nfs/dbraw/zinc/42/49/13/869424913.db2.gz XQFOONPYMMTJRQ-BETUJISGSA-N 1 2 304.394 1.496 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCCC2(CNCC#N)CC2)c[nH+]1 ZINC001165718954 869690726 /nfs/dbraw/zinc/69/07/26/869690726.db2.gz UTJZYNFWMNCHJB-UHFFFAOYSA-N 1 2 303.410 1.326 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@H](C)OC)CC1 ZINC001226298318 882331806 /nfs/dbraw/zinc/33/18/06/882331806.db2.gz BNWBZFPUCJRFAG-JTQLQIEISA-N 1 2 305.216 1.511 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001165928393 869768986 /nfs/dbraw/zinc/76/89/86/869768986.db2.gz AHKZHOVGGZWSLS-INIZCTEOSA-N 1 2 322.457 1.626 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@@H](NC(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001338094036 869798824 /nfs/dbraw/zinc/79/88/24/869798824.db2.gz YIWHDNKLCYCCRO-CABCVRRESA-N 1 2 316.405 1.038 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2sc(C)cc2C)C1 ZINC001316982273 870058202 /nfs/dbraw/zinc/05/82/02/870058202.db2.gz XPRLACIKPPBKTM-CYBMUJFWSA-N 1 2 321.446 1.471 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2sc(C)cc2C)C1 ZINC001316982273 870058213 /nfs/dbraw/zinc/05/82/13/870058213.db2.gz XPRLACIKPPBKTM-CYBMUJFWSA-N 1 2 321.446 1.471 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N(C)[C@@H]1CC[C@@H]1OC ZINC001339211537 870405063 /nfs/dbraw/zinc/40/50/63/870405063.db2.gz QKDUOXFVVUBFSW-OLZOCXBDSA-N 1 2 316.409 1.402 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@H+](C/C(Cl)=C\Cl)C[C@H]21 ZINC001317211709 870478288 /nfs/dbraw/zinc/47/82/88/870478288.db2.gz SPDXFHBQWFWZEX-IMCWWLGVSA-N 1 2 319.232 1.679 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@@H+](C/C(Cl)=C\Cl)C[C@H]21 ZINC001317211709 870478293 /nfs/dbraw/zinc/47/82/93/870478293.db2.gz SPDXFHBQWFWZEX-IMCWWLGVSA-N 1 2 319.232 1.679 20 30 DDEDLO C[C@H](CNC(=O)C#CC1CC1)[NH2+]Cc1nc(C2CCC2)no1 ZINC001317239172 870519325 /nfs/dbraw/zinc/51/93/25/870519325.db2.gz RAEDVECPKDNZHW-LLVKDONJSA-N 1 2 302.378 1.345 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)[NH2+]Cc2nc(CCC)no2)C1 ZINC001317267664 870558574 /nfs/dbraw/zinc/55/85/74/870558574.db2.gz UJNXKWIQUSSNCV-GFCCVEGCSA-N 1 2 306.410 1.973 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001298628376 870651996 /nfs/dbraw/zinc/65/19/96/870651996.db2.gz FOPDILYXKWKMTC-OLZOCXBDSA-N 1 2 318.421 1.279 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]([N@H+](C)Cc2ccon2)C1 ZINC001317373578 870728414 /nfs/dbraw/zinc/72/84/14/870728414.db2.gz PKSGBTZOLPMCBP-ZBFHGGJFSA-N 1 2 307.394 1.425 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[C@@H]([N@@H+](C)Cc2ccon2)C1 ZINC001317373578 870728434 /nfs/dbraw/zinc/72/84/34/870728434.db2.gz PKSGBTZOLPMCBP-ZBFHGGJFSA-N 1 2 307.394 1.425 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCC[C@@H](CF)C1 ZINC001339880380 870759192 /nfs/dbraw/zinc/75/91/92/870759192.db2.gz PSRBSEHLJVCWAK-ZDUSSCGKSA-N 1 2 318.400 1.974 20 30 DDEDLO CC(=O)CCCC(=O)NCC[N@@H+](C)CC#Cc1ccc(F)cc1 ZINC001317440244 870841313 /nfs/dbraw/zinc/84/13/13/870841313.db2.gz YUHCJWIIEXTQDG-UHFFFAOYSA-N 1 2 318.392 1.985 20 30 DDEDLO CC(=O)CCCC(=O)NCC[N@H+](C)CC#Cc1ccc(F)cc1 ZINC001317440244 870841318 /nfs/dbraw/zinc/84/13/18/870841318.db2.gz YUHCJWIIEXTQDG-UHFFFAOYSA-N 1 2 318.392 1.985 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](C)CC(=O)N[C@H]1CCCC[C@H]1C ZINC001317458121 870872030 /nfs/dbraw/zinc/87/20/30/870872030.db2.gz CFVATDFRMYQUIM-CABCVRRESA-N 1 2 323.481 1.942 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](C)CC(=O)N[C@H]1CCCC[C@H]1C ZINC001317458121 870872048 /nfs/dbraw/zinc/87/20/48/870872048.db2.gz CFVATDFRMYQUIM-CABCVRRESA-N 1 2 323.481 1.942 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[N@@H+](CC)[C@@H](C)c2ncccn2)c1 ZINC001317487113 870906673 /nfs/dbraw/zinc/90/66/73/870906673.db2.gz HQYMCQSDZZUILP-AWEZNQCLSA-N 1 2 323.400 1.666 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[N@H+](CC)[C@@H](C)c2ncccn2)c1 ZINC001317487113 870906682 /nfs/dbraw/zinc/90/66/82/870906682.db2.gz HQYMCQSDZZUILP-AWEZNQCLSA-N 1 2 323.400 1.666 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCC[C@@H](O)C3)n2CC)CC1 ZINC001340274275 871001460 /nfs/dbraw/zinc/00/14/60/871001460.db2.gz MYXXLAXRFCPEED-HUUCEWRRSA-N 1 2 317.437 1.072 20 30 DDEDLO CCCCCCCCOCC(=O)N1CC[N@H+](C)C[C@H]1CO ZINC001301605243 871008235 /nfs/dbraw/zinc/00/82/35/871008235.db2.gz HENAMVOGCXIMHL-HNNXBMFYSA-N 1 2 300.443 1.498 20 30 DDEDLO CCCCCCCCOCC(=O)N1CC[N@@H+](C)C[C@H]1CO ZINC001301605243 871008251 /nfs/dbraw/zinc/00/82/51/871008251.db2.gz HENAMVOGCXIMHL-HNNXBMFYSA-N 1 2 300.443 1.498 20 30 DDEDLO C=C(C)C[NH+]1CC([C@H](C)NC(=O)CS(=O)(=O)C(C)(C)C)C1 ZINC001276454738 871035358 /nfs/dbraw/zinc/03/53/58/871035358.db2.gz ZMMBHMCACDRQTB-LBPRGKRZSA-N 1 2 316.467 1.212 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)CC[NH2+]Cc1nnc(CC)o1 ZINC001317638763 871276885 /nfs/dbraw/zinc/27/68/85/871276885.db2.gz GDTMZGWXURYQDT-WFASDCNBSA-N 1 2 322.409 1.161 20 30 DDEDLO CC(C)N(CC#N)CCCNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001317694231 871419973 /nfs/dbraw/zinc/41/99/73/871419973.db2.gz QWZXTVFABKALHZ-CQSZACIVSA-N 1 2 303.410 1.186 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(Cc2nccs2)CC1 ZINC001317817220 871544327 /nfs/dbraw/zinc/54/43/27/871544327.db2.gz YIPXXXOUYOSKJX-UHFFFAOYSA-N 1 2 322.478 1.685 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)C(C2CC2)C2CC2)CC1 ZINC001317824554 871549618 /nfs/dbraw/zinc/54/96/18/871549618.db2.gz SQEGOKLDXCRNGF-UHFFFAOYSA-N 1 2 305.466 1.685 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1C[NH+]([C@H](C)c2cccc(OC)c2)C1 ZINC001318018908 871692178 /nfs/dbraw/zinc/69/21/78/871692178.db2.gz UOBJINZXEWTPKK-ACJLOTCBSA-N 1 2 318.417 1.884 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cccc(OCCOC)c2)C1 ZINC001318089364 871739779 /nfs/dbraw/zinc/73/97/79/871739779.db2.gz OHJZUJXNJBUQMB-UHFFFAOYSA-N 1 2 302.374 1.149 20 30 DDEDLO C[C@@H]1C[C@@H]1C[N@@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001277142220 882545351 /nfs/dbraw/zinc/54/53/51/882545351.db2.gz IOVXTUILXDIFNE-VXGBXAGGSA-N 1 2 313.405 1.600 20 30 DDEDLO C[C@@H]1C[C@@H]1C[N@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001277142220 882545366 /nfs/dbraw/zinc/54/53/66/882545366.db2.gz IOVXTUILXDIFNE-VXGBXAGGSA-N 1 2 313.405 1.600 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2cnc(C3CC3)o2)C1 ZINC001318257877 871890111 /nfs/dbraw/zinc/89/01/11/871890111.db2.gz VMPQNGCZZFEVSG-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2cnc(C3CC3)o2)C1 ZINC001318257877 871890134 /nfs/dbraw/zinc/89/01/34/871890134.db2.gz VMPQNGCZZFEVSG-ZDUSSCGKSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@@H](C)C1CC1)C2 ZINC001316808436 871911968 /nfs/dbraw/zinc/91/19/68/871911968.db2.gz BXAACMLGQWRLOJ-NSHDSACASA-N 1 2 303.431 1.797 20 30 DDEDLO CC#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@H](O)C(C)C)C2 ZINC001316808848 871918522 /nfs/dbraw/zinc/91/85/22/871918522.db2.gz HDFLXKLZLOOLNP-OAHLLOKOSA-N 1 2 321.446 1.158 20 30 DDEDLO C[C@@H]1CCN(C(=O)[C@H]2CCn3cc[nH+]c3C2)[C@H](CNCC#N)C1 ZINC001316702035 871947692 /nfs/dbraw/zinc/94/76/92/871947692.db2.gz NMYSVUMWQVQMCZ-ILXRZTDVSA-N 1 2 315.421 1.186 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)[C@H]2CCC(C)(C)C2)CC[N@@H+]1CC(=O)NCC#N ZINC001316791587 872054846 /nfs/dbraw/zinc/05/48/46/872054846.db2.gz SULDYVWUVGCMQI-RDBSUJKOSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)[C@H]2CCC(C)(C)C2)CC[N@H+]1CC(=O)NCC#N ZINC001316791587 872054864 /nfs/dbraw/zinc/05/48/64/872054864.db2.gz SULDYVWUVGCMQI-RDBSUJKOSA-N 1 2 320.437 1.031 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@H](CC)CNC(=O)C#CC2CC2)n1 ZINC001318525030 872130920 /nfs/dbraw/zinc/13/09/20/872130920.db2.gz ROBNHOSCRPZUBT-WCQYABFASA-N 1 2 304.394 1.591 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N1C[C@@H]2COC[C@@H]2C1 ZINC001342672505 872329517 /nfs/dbraw/zinc/32/95/17/872329517.db2.gz HIQDBXMJJQVEAT-ZNMIVQPWSA-N 1 2 317.437 1.704 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N1C[C@@H]2COC[C@@H]2C1 ZINC001342672505 872329534 /nfs/dbraw/zinc/32/95/34/872329534.db2.gz HIQDBXMJJQVEAT-ZNMIVQPWSA-N 1 2 317.437 1.704 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCC[N@@H+](Cc1ncnn1C)C1CC1 ZINC001316923028 872380665 /nfs/dbraw/zinc/38/06/65/872380665.db2.gz SRNMSGSDVDKRIU-UHFFFAOYSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCC[N@H+](Cc1ncnn1C)C1CC1 ZINC001316923028 872380684 /nfs/dbraw/zinc/38/06/84/872380684.db2.gz SRNMSGSDVDKRIU-UHFFFAOYSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C2(CF)CCC2)C1 ZINC001316948358 872445717 /nfs/dbraw/zinc/44/57/17/872445717.db2.gz RIBBUZJWKMHIGV-ZDUSSCGKSA-N 1 2 311.401 1.009 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C2(CF)CCC2)C1 ZINC001316948358 872445726 /nfs/dbraw/zinc/44/57/26/872445726.db2.gz RIBBUZJWKMHIGV-ZDUSSCGKSA-N 1 2 311.401 1.009 20 30 DDEDLO C=CCOCCCC(=O)NC1CC[NH+](Cc2cnns2)CC1 ZINC001226768965 882638640 /nfs/dbraw/zinc/63/86/40/882638640.db2.gz BHHWCUPKZCSYOU-UHFFFAOYSA-N 1 2 324.450 1.602 20 30 DDEDLO C#CC[NH2+]CC(=O)N1CCC[C@@H](c2nnc(-c3ccccc3)[nH]2)C1 ZINC001343590688 872679674 /nfs/dbraw/zinc/67/96/74/872679674.db2.gz DHYFDVNISZOBJW-OAHLLOKOSA-N 1 2 323.400 1.401 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001206914568 872758895 /nfs/dbraw/zinc/75/88/95/872758895.db2.gz JVJXXIFOAJOMMN-MRRJBJDNSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2ncc(CC)o2)C[C@H]1C ZINC001206914568 872758901 /nfs/dbraw/zinc/75/89/01/872758901.db2.gz JVJXXIFOAJOMMN-MRRJBJDNSA-N 1 2 321.421 1.501 20 30 DDEDLO Cn1cc(C[NH+]2CCN(CC(=O)OC(C)(C)C)CC2)cc1C#N ZINC001207106452 873020490 /nfs/dbraw/zinc/02/04/90/873020490.db2.gz LQFMKFXFYSIISL-UHFFFAOYSA-N 1 2 318.421 1.356 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCOCC3CC3)C2)C1 ZINC001276540077 873380338 /nfs/dbraw/zinc/38/03/38/873380338.db2.gz KDSSNFZZYJOLLQ-QGZVFWFLSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CCOCC3CC3)C2)C1 ZINC001276540077 873380349 /nfs/dbraw/zinc/38/03/49/873380349.db2.gz KDSSNFZZYJOLLQ-QGZVFWFLSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001207623348 873423723 /nfs/dbraw/zinc/42/37/23/873423723.db2.gz ROJCKGHWGOLSSQ-CHWSQXEVSA-N 1 2 324.450 1.600 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001207759425 873539521 /nfs/dbraw/zinc/53/95/21/873539521.db2.gz XNROLDHPHUEHMR-CQSZACIVSA-N 1 2 322.409 1.441 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C2(F)CCCC2)C1 ZINC001207790437 873566725 /nfs/dbraw/zinc/56/67/25/873566725.db2.gz UDBYHZNSZIYBIJ-CHWSQXEVSA-N 1 2 311.401 1.007 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C2(F)CCCC2)C1 ZINC001207790437 873566732 /nfs/dbraw/zinc/56/67/32/873566732.db2.gz UDBYHZNSZIYBIJ-CHWSQXEVSA-N 1 2 311.401 1.007 20 30 DDEDLO C=C[C@H](C(=O)NC[C@H](C)[NH+]1CCN(C)CC1)c1ccccc1 ZINC001345952768 873570037 /nfs/dbraw/zinc/57/00/37/873570037.db2.gz KYQRSWUVFKNPQR-RDJZCZTQSA-N 1 2 301.434 1.708 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001207945091 873679156 /nfs/dbraw/zinc/67/91/56/873679156.db2.gz WPZIVULQJODYDL-ZDUSSCGKSA-N 1 2 304.394 1.516 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001207950428 873681160 /nfs/dbraw/zinc/68/11/60/873681160.db2.gz KYPXXPNLSOFKOI-BLLLJJGKSA-N 1 2 322.409 1.040 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001208374634 874081456 /nfs/dbraw/zinc/08/14/56/874081456.db2.gz QNAMWTRQZAOKHK-GDBMZVCRSA-N 1 2 317.437 1.428 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cn(CC)nn2)C[C@H]1C ZINC001208374634 874081470 /nfs/dbraw/zinc/08/14/70/874081470.db2.gz QNAMWTRQZAOKHK-GDBMZVCRSA-N 1 2 317.437 1.428 20 30 DDEDLO C=CCNc1ncc(C(=O)NCc2coc(C[NH+](C)C)n2)s1 ZINC001347449104 874145749 /nfs/dbraw/zinc/14/57/49/874145749.db2.gz OOGSLEZIJSQPHY-UHFFFAOYSA-N 1 2 321.406 1.721 20 30 DDEDLO C[C@H](CNC(=O)c1nnc[nH]1)[N@H+](C)Cc1ccc(C#N)cc1F ZINC001378161015 874291566 /nfs/dbraw/zinc/29/15/66/874291566.db2.gz MNISBCAYDPHPTA-SNVBAGLBSA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@H](CNC(=O)c1nnc[nH]1)[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001378161015 874291578 /nfs/dbraw/zinc/29/15/78/874291578.db2.gz MNISBCAYDPHPTA-SNVBAGLBSA-N 1 2 316.340 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)CN1CCCCCC1=O ZINC001378214167 874444713 /nfs/dbraw/zinc/44/47/13/874444713.db2.gz NIXUKDOLALCKLH-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)CN1CCCCCC1=O ZINC001378214167 874444717 /nfs/dbraw/zinc/44/47/17/874444717.db2.gz NIXUKDOLALCKLH-CYBMUJFWSA-N 1 2 315.845 1.578 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001209155646 874696727 /nfs/dbraw/zinc/69/67/27/874696727.db2.gz CAJHUVGGAWPRSF-CQSZACIVSA-N 1 2 318.421 1.906 20 30 DDEDLO C#CCCC[N@H+]1CC(=O)NC[C@@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001209262334 874760247 /nfs/dbraw/zinc/76/02/47/874760247.db2.gz SMAKNKPIAAYTIL-QGZVFWFLSA-N 1 2 321.421 1.211 20 30 DDEDLO C#CCCC[N@@H+]1CC(=O)NC[C@@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001209262334 874760250 /nfs/dbraw/zinc/76/02/50/874760250.db2.gz SMAKNKPIAAYTIL-QGZVFWFLSA-N 1 2 321.421 1.211 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2C[N@@H+](CC(N)=O)CC2(C)C)CCCCC1 ZINC001276633232 874806142 /nfs/dbraw/zinc/80/61/42/874806142.db2.gz KRFHBVWYMVPERB-AWEZNQCLSA-N 1 2 319.449 1.272 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2C[N@H+](CC(N)=O)CC2(C)C)CCCCC1 ZINC001276633232 874806155 /nfs/dbraw/zinc/80/61/55/874806155.db2.gz KRFHBVWYMVPERB-AWEZNQCLSA-N 1 2 319.449 1.272 20 30 DDEDLO CC#Cc1cncc(Nc2cnn(CC[NH+]3CCOCC3)c2)c1 ZINC001211055671 875641469 /nfs/dbraw/zinc/64/14/69/875641469.db2.gz AWXGJSKKJULETC-UHFFFAOYSA-N 1 2 311.389 1.725 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213349977 875904231 /nfs/dbraw/zinc/90/42/31/875904231.db2.gz AHZKIAIQCCPXMZ-HUUCEWRRSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccnn2C)C[C@H]1OC ZINC001213349977 875904242 /nfs/dbraw/zinc/90/42/42/875904242.db2.gz AHZKIAIQCCPXMZ-HUUCEWRRSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)cn2)C[C@H]1OC ZINC001213356800 875906876 /nfs/dbraw/zinc/90/68/76/875906876.db2.gz AWUVUNOLVABWBV-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)cn2)C[C@H]1OC ZINC001213356800 875906887 /nfs/dbraw/zinc/90/68/87/875906887.db2.gz AWUVUNOLVABWBV-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC)OC2CCCC2)[C@H](OC)C1 ZINC001213506619 875960060 /nfs/dbraw/zinc/96/00/60/875960060.db2.gz NRCWUSNPWQBLLE-BZUAXINKSA-N 1 2 308.422 1.173 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)OC2CCCC2)[C@H](OC)C1 ZINC001213506619 875960068 /nfs/dbraw/zinc/96/00/68/875960068.db2.gz NRCWUSNPWQBLLE-BZUAXINKSA-N 1 2 308.422 1.173 20 30 DDEDLO C=C(C)CCC(=O)N1CCC(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001350985259 876001898 /nfs/dbraw/zinc/00/18/98/876001898.db2.gz IUPUCZLEQHFDPC-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC001351121923 876068594 /nfs/dbraw/zinc/06/85/94/876068594.db2.gz WFRUGINUECDDJZ-UHFFFAOYSA-N 1 2 305.378 1.358 20 30 DDEDLO C#Cc1cc(Nc2cnc(OC)c(NS(C)(=O)=O)c2)cc[nH+]1 ZINC001216151838 876878849 /nfs/dbraw/zinc/87/88/49/876878849.db2.gz JIWZEBLDCWMOFJ-UHFFFAOYSA-N 1 2 318.358 1.004 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001353398302 877301384 /nfs/dbraw/zinc/30/13/84/877301384.db2.gz WWGZYGVBUAQYOI-KGLIPLIRSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001353398302 877301402 /nfs/dbraw/zinc/30/14/02/877301402.db2.gz WWGZYGVBUAQYOI-KGLIPLIRSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cccnc3)C[C@@H]21 ZINC001218032598 877377045 /nfs/dbraw/zinc/37/70/45/877377045.db2.gz SZCDJNLCWIUCED-DLBZAZTESA-N 1 2 315.417 1.850 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cccnc3)C[C@@H]21 ZINC001218032598 877377056 /nfs/dbraw/zinc/37/70/56/877377056.db2.gz SZCDJNLCWIUCED-DLBZAZTESA-N 1 2 315.417 1.850 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@H+](Cc3ocnc3C)C[C@@H]21 ZINC001218041760 877379672 /nfs/dbraw/zinc/37/96/72/877379672.db2.gz ZOMKIGRCZKCLMY-GOEBONIOSA-N 1 2 319.405 1.751 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ocnc3C)C[C@@H]21 ZINC001218041760 877379690 /nfs/dbraw/zinc/37/96/90/877379690.db2.gz ZOMKIGRCZKCLMY-GOEBONIOSA-N 1 2 319.405 1.751 20 30 DDEDLO CC[C@@H]1CN(C(=O)CCCn2cc[nH+]c2)CC[C@H]1NCC#N ZINC001276772524 877407441 /nfs/dbraw/zinc/40/74/41/877407441.db2.gz OZFOYQVMRWJFGX-HUUCEWRRSA-N 1 2 303.410 1.404 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cnc2n[nH]cc2c1 ZINC001379679131 877723122 /nfs/dbraw/zinc/72/31/22/877723122.db2.gz DTSXIUCRKSQVDH-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cnc2n[nH]cc2c1 ZINC001379679131 877723131 /nfs/dbraw/zinc/72/31/31/877723131.db2.gz DTSXIUCRKSQVDH-SNVBAGLBSA-N 1 2 307.785 1.761 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001354509317 878026548 /nfs/dbraw/zinc/02/65/48/878026548.db2.gz MHDMONISIQVSEL-JHJVBQTASA-N 1 2 316.405 1.490 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H](C)CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001354509317 878026568 /nfs/dbraw/zinc/02/65/68/878026568.db2.gz MHDMONISIQVSEL-JHJVBQTASA-N 1 2 316.405 1.490 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](C)NC(=O)Cn2cc[nH+]c2)CC1 ZINC001354707120 878168182 /nfs/dbraw/zinc/16/81/82/878168182.db2.gz YMBQMRBJMGMOBX-CYBMUJFWSA-N 1 2 304.394 1.250 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cn3c(n2)C[C@H](OC)CC3)CC1 ZINC001472968455 878550021 /nfs/dbraw/zinc/55/00/21/878550021.db2.gz PYSXLTVRPAOABY-CQSZACIVSA-N 1 2 318.421 1.178 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)CC(F)(F)F ZINC001355920649 878778796 /nfs/dbraw/zinc/77/87/96/878778796.db2.gz UTWBUAUUXBVNIS-VHSXEESVSA-N 1 2 319.331 1.200 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)CC(F)(F)F ZINC001355920649 878778815 /nfs/dbraw/zinc/77/88/15/878778815.db2.gz UTWBUAUUXBVNIS-VHSXEESVSA-N 1 2 319.331 1.200 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(OC)nc2)C[C@@H]1O ZINC001220239377 878841122 /nfs/dbraw/zinc/84/11/22/878841122.db2.gz BLBHGDLTKCTXRZ-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(OC)nc2)C[C@@H]1O ZINC001220239377 878841129 /nfs/dbraw/zinc/84/11/29/878841129.db2.gz BLBHGDLTKCTXRZ-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CCC)CC2CCCC2)[C@@H](O)C1 ZINC001220474283 879049287 /nfs/dbraw/zinc/04/92/87/879049287.db2.gz JLHZWYUZUPEQLJ-ZACQAIPSSA-N 1 2 306.450 1.778 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CCC)CC2CCCC2)[C@@H](O)C1 ZINC001220474283 879049299 /nfs/dbraw/zinc/04/92/99/879049299.db2.gz JLHZWYUZUPEQLJ-ZACQAIPSSA-N 1 2 306.450 1.778 20 30 DDEDLO CO[C@H](C[NH+]1CC(N(C)C(=O)[C@H](C)C#N)C1)c1ccccc1 ZINC001380220987 879083802 /nfs/dbraw/zinc/08/38/02/879083802.db2.gz KTTGSLIRPIJNDY-CZUORRHYSA-N 1 2 301.390 1.676 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[NH+]1CCN(C(=O)CCCC)CC1 ZINC001356700098 879203634 /nfs/dbraw/zinc/20/36/34/879203634.db2.gz GEUKBUSQOMJUNM-UHFFFAOYSA-N 1 2 323.481 1.991 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@](C)(NC(=O)[C@@H](C)C#N)C2)sn1 ZINC001380345402 879403597 /nfs/dbraw/zinc/40/35/97/879403597.db2.gz HMIZVDRVKKJNLM-HZMBPMFUSA-N 1 2 308.407 1.392 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@](C)(NC(=O)[C@@H](C)C#N)C2)sn1 ZINC001380345402 879403603 /nfs/dbraw/zinc/40/36/03/879403603.db2.gz HMIZVDRVKKJNLM-HZMBPMFUSA-N 1 2 308.407 1.392 20 30 DDEDLO C=CCCC(=O)N(CC)[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001356850315 879479236 /nfs/dbraw/zinc/47/92/36/879479236.db2.gz STSXCOUPTFNNIS-CQSZACIVSA-N 1 2 304.394 1.299 20 30 DDEDLO C=CCCC(=O)N[C@H](CC)CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001356898983 879559912 /nfs/dbraw/zinc/55/99/12/879559912.db2.gz SSCNQBQIMOMVAC-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@H](C)CCC)C[C@H]21 ZINC001221430762 879787766 /nfs/dbraw/zinc/78/77/66/879787766.db2.gz OTHGGQYGHYIWND-BZUAXINKSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@H](C)CCC)C[C@H]21 ZINC001221430762 879787773 /nfs/dbraw/zinc/78/77/73/879787773.db2.gz OTHGGQYGHYIWND-BZUAXINKSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3C)C[C@H]21 ZINC001221582584 879957973 /nfs/dbraw/zinc/95/79/73/879957973.db2.gz UJGMTGMTOIINOE-UKRRQHHQSA-N 1 2 303.410 1.204 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3C)C[C@H]21 ZINC001221582584 879957985 /nfs/dbraw/zinc/95/79/85/879957985.db2.gz UJGMTGMTOIINOE-UKRRQHHQSA-N 1 2 303.410 1.204 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@]3(C)C=CCC3)[C@@H]2C1 ZINC001221740216 880040776 /nfs/dbraw/zinc/04/07/76/880040776.db2.gz ONMCMUDWUUACSU-RKVPGOIHSA-N 1 2 317.433 1.178 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@]3(C)C=CCC3)[C@@H]2C1 ZINC001221740216 880040789 /nfs/dbraw/zinc/04/07/89/880040789.db2.gz ONMCMUDWUUACSU-RKVPGOIHSA-N 1 2 317.433 1.178 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@@H](C)CC)C[C@H]21 ZINC001221780013 880076813 /nfs/dbraw/zinc/07/68/13/880076813.db2.gz XOWVQOOXNZAZIT-ARFHVFGLSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@@H](C)CC)C[C@H]21 ZINC001221780013 880076817 /nfs/dbraw/zinc/07/68/17/880076817.db2.gz XOWVQOOXNZAZIT-ARFHVFGLSA-N 1 2 321.465 1.790 20 30 DDEDLO CC(C)Cc1noc(C[N@H+](C)CCCN(C)C(=O)[C@H](C)C#N)n1 ZINC001380947030 880718102 /nfs/dbraw/zinc/71/81/02/880718102.db2.gz HQRAXQUWTLMYGW-CYBMUJFWSA-N 1 2 321.425 1.708 20 30 DDEDLO CC(C)Cc1noc(C[N@@H+](C)CCCN(C)C(=O)[C@H](C)C#N)n1 ZINC001380947030 880718109 /nfs/dbraw/zinc/71/81/09/880718109.db2.gz HQRAXQUWTLMYGW-CYBMUJFWSA-N 1 2 321.425 1.708 20 30 DDEDLO C=CC(C)(C)C(=O)NC1(CNC(=O)CCCn2cc[nH+]c2)CC1 ZINC001358674128 880740524 /nfs/dbraw/zinc/74/05/24/880740524.db2.gz SFYOXXGWEYNCSL-UHFFFAOYSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001358690711 880764504 /nfs/dbraw/zinc/76/45/04/880764504.db2.gz IOPMPXYPYFPZBS-OAHLLOKOSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1(CCC)CCC1 ZINC001276909950 881052126 /nfs/dbraw/zinc/05/21/26/881052126.db2.gz WBUFEQDZYDMVPU-LSDHHAIUSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1(CCC)CCC1 ZINC001276909950 881052144 /nfs/dbraw/zinc/05/21/44/881052144.db2.gz WBUFEQDZYDMVPU-LSDHHAIUSA-N 1 2 321.465 1.838 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(CC[N@H+](C)Cc2ncnn2C)CC1 ZINC001374401420 912729840 /nfs/dbraw/zinc/72/98/40/912729840.db2.gz UGKFMGHGLVRGBR-CYBMUJFWSA-N 1 2 318.425 1.035 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC(CC[N@@H+](C)Cc2ncnn2C)CC1 ZINC001374401420 912729847 /nfs/dbraw/zinc/72/98/47/912729847.db2.gz UGKFMGHGLVRGBR-CYBMUJFWSA-N 1 2 318.425 1.035 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H](C)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001382195627 883615408 /nfs/dbraw/zinc/61/54/08/883615408.db2.gz FAWLVRKBCFZHBB-MNOVXSKESA-N 1 2 313.361 1.299 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1CC[NH+](Cc2nonc2C)CC1 ZINC001228747694 883651379 /nfs/dbraw/zinc/65/13/79/883651379.db2.gz DHYVIAPQTAWNSX-NWDGAFQWSA-N 1 2 306.410 1.917 20 30 DDEDLO N#CC1(C(=O)NC2CC[NH+]([C@@H]3CCOC3=O)CC2)CCCCC1 ZINC001362665283 884361944 /nfs/dbraw/zinc/36/19/44/884361944.db2.gz PBTFJZDRWPJTQN-CQSZACIVSA-N 1 2 319.405 1.357 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+]([C@H](C)c2nnc(C)o2)CC1 ZINC001230414745 884479819 /nfs/dbraw/zinc/47/98/19/884479819.db2.gz GCTTWDHVYOBBSN-VXGBXAGGSA-N 1 2 322.409 1.611 20 30 DDEDLO CCCC(=O)NCC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230523001 884538974 /nfs/dbraw/zinc/53/89/74/884538974.db2.gz XMLLREXFGGDFFX-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO CCCC(=O)NCC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230523001 884538963 /nfs/dbraw/zinc/53/89/63/884538963.db2.gz XMLLREXFGGDFFX-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO CCNC(=O)CCC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230533207 884549494 /nfs/dbraw/zinc/54/94/94/884549494.db2.gz IMLQCOMWYGXZJD-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO CCNC(=O)CCC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230533207 884549502 /nfs/dbraw/zinc/54/95/02/884549502.db2.gz IMLQCOMWYGXZJD-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO C#CCOCCC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230569551 884599687 /nfs/dbraw/zinc/59/96/87/884599687.db2.gz OXIPLAKCXWMHIZ-MRXNPFEDSA-N 1 2 303.406 1.253 20 30 DDEDLO C#CCOCCC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230569551 884599692 /nfs/dbraw/zinc/59/96/92/884599692.db2.gz OXIPLAKCXWMHIZ-MRXNPFEDSA-N 1 2 303.406 1.253 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccoc1C ZINC001230702145 884768512 /nfs/dbraw/zinc/76/85/12/884768512.db2.gz JCVXOGWNLAVQTP-TZMCWYRMSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccoc1C ZINC001230702145 884768522 /nfs/dbraw/zinc/76/85/22/884768522.db2.gz JCVXOGWNLAVQTP-TZMCWYRMSA-N 1 2 319.405 1.425 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCCC[C@@H]1C ZINC001231113226 885243649 /nfs/dbraw/zinc/24/36/49/885243649.db2.gz HLLTWFRZZJVJBD-JKSUJKDBSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCCC[C@@H]1C ZINC001231113226 885243668 /nfs/dbraw/zinc/24/36/68/885243668.db2.gz HLLTWFRZZJVJBD-JKSUJKDBSA-N 1 2 321.465 1.886 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)CC[C@@H]1C ZINC001288473846 912921718 /nfs/dbraw/zinc/92/17/18/912921718.db2.gz YUWVVWGRYLJNIR-LSDHHAIUSA-N 1 2 316.405 1.038 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(CCN(C)C(=O)[C@H](C)C#N)C(C)C ZINC001383179234 885358776 /nfs/dbraw/zinc/35/87/76/885358776.db2.gz MEUTTWARGCZBBN-GFCCVEGCSA-N 1 2 319.409 1.116 20 30 DDEDLO C#CC[NH+]1CCN(Cc2cnc(Br)cc2C)CC1 ZINC001232316755 886239771 /nfs/dbraw/zinc/23/97/71/886239771.db2.gz FQOGNBOHXVFFDP-UHFFFAOYSA-N 1 2 308.223 1.903 20 30 DDEDLO COCCOC[N@@H+]1C[C@@H]2CCN(c3ccc(C#N)cn3)[C@@H]2C1 ZINC001277687742 886493237 /nfs/dbraw/zinc/49/32/37/886493237.db2.gz WDWNPHNWKNXPDF-LSDHHAIUSA-N 1 2 302.378 1.084 20 30 DDEDLO COCCOC[N@H+]1C[C@@H]2CCN(c3ccc(C#N)cn3)[C@@H]2C1 ZINC001277687742 886493246 /nfs/dbraw/zinc/49/32/46/886493246.db2.gz WDWNPHNWKNXPDF-LSDHHAIUSA-N 1 2 302.378 1.084 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H](CC)CCC)CC2)C1 ZINC001281586674 886619569 /nfs/dbraw/zinc/61/95/69/886619569.db2.gz LKSNVQWRIOCNTC-MRXNPFEDSA-N 1 2 305.466 1.664 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)[C@@H](CC#N)c1ccccc1 ZINC001363555106 886625628 /nfs/dbraw/zinc/62/56/28/886625628.db2.gz BUDOGYSPFHQXRE-SJORKVTESA-N 1 2 315.417 1.911 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)[C@@H](CC#N)c1ccccc1 ZINC001363555106 886625633 /nfs/dbraw/zinc/62/56/33/886625633.db2.gz BUDOGYSPFHQXRE-SJORKVTESA-N 1 2 315.417 1.911 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cnn2ccncc12 ZINC001374499057 913067722 /nfs/dbraw/zinc/06/77/22/913067722.db2.gz JZJNUQOQRAVRRE-UHFFFAOYSA-N 1 2 307.785 1.486 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cnn2ccncc12 ZINC001374499057 913067735 /nfs/dbraw/zinc/06/77/35/913067735.db2.gz JZJNUQOQRAVRRE-UHFFFAOYSA-N 1 2 307.785 1.486 20 30 DDEDLO N#Cc1cccc(C[NH+]2CC3(CN(c4ccccc4)C3=O)C2)n1 ZINC001232924669 886676776 /nfs/dbraw/zinc/67/67/76/886676776.db2.gz RZMQKUDWORIKES-UHFFFAOYSA-N 1 2 304.353 1.802 20 30 DDEDLO N#Cc1ncc(OC[C@H]2C[N@H+](Cc3ccccc3)CCO2)cn1 ZINC001233709821 887243852 /nfs/dbraw/zinc/24/38/52/887243852.db2.gz MUMKIFSSIBZZGH-MRXNPFEDSA-N 1 2 310.357 1.628 20 30 DDEDLO N#Cc1ncc(OC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)cn1 ZINC001233709821 887243864 /nfs/dbraw/zinc/24/38/64/887243864.db2.gz MUMKIFSSIBZZGH-MRXNPFEDSA-N 1 2 310.357 1.628 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)CC ZINC001233761468 887294794 /nfs/dbraw/zinc/29/47/94/887294794.db2.gz KMPYLWCJXAPUAI-HNNXBMFYSA-N 1 2 307.438 1.191 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)CC ZINC001233761468 887294805 /nfs/dbraw/zinc/29/48/05/887294805.db2.gz KMPYLWCJXAPUAI-HNNXBMFYSA-N 1 2 307.438 1.191 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@@H]2CS(N)(=O)=O)cc1F ZINC001363815914 887297922 /nfs/dbraw/zinc/29/79/22/887297922.db2.gz QXTXLVKFWVYWMW-CYBMUJFWSA-N 1 2 311.382 1.340 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@@H]2CS(N)(=O)=O)cc1F ZINC001363815914 887297937 /nfs/dbraw/zinc/29/79/37/887297937.db2.gz QXTXLVKFWVYWMW-CYBMUJFWSA-N 1 2 311.382 1.340 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)Cn1cccn1 ZINC001233940717 887479304 /nfs/dbraw/zinc/47/93/04/887479304.db2.gz GYFKGTVFWVAXDT-UHFFFAOYSA-N 1 2 315.215 1.230 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)Cn1cccn1 ZINC001233940717 887479308 /nfs/dbraw/zinc/47/93/08/887479308.db2.gz GYFKGTVFWVAXDT-UHFFFAOYSA-N 1 2 315.215 1.230 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001233959204 887500003 /nfs/dbraw/zinc/50/00/03/887500003.db2.gz YPTLQOOLKCFAKH-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001233959204 887500011 /nfs/dbraw/zinc/50/00/11/887500011.db2.gz YPTLQOOLKCFAKH-MRXNPFEDSA-N 1 2 319.449 1.335 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1nccnc1C ZINC001233978268 887517068 /nfs/dbraw/zinc/51/70/68/887517068.db2.gz SCQBOYQFBUSXMG-WMLDXEAASA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1nccnc1C ZINC001233978268 887517084 /nfs/dbraw/zinc/51/70/84/887517084.db2.gz SCQBOYQFBUSXMG-WMLDXEAASA-N 1 2 318.421 1.145 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)CCCC ZINC001234039511 887580819 /nfs/dbraw/zinc/58/08/19/887580819.db2.gz MYJSQMYLVYOQNW-LSDHHAIUSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)CCCC ZINC001234039511 887580830 /nfs/dbraw/zinc/58/08/30/887580830.db2.gz MYJSQMYLVYOQNW-LSDHHAIUSA-N 1 2 309.454 1.648 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234128960 887672844 /nfs/dbraw/zinc/67/28/44/887672844.db2.gz GELYCMMBUDYTGE-HZPDHXFCSA-N 1 2 321.465 1.435 20 30 DDEDLO CCN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234128960 887672848 /nfs/dbraw/zinc/67/28/48/887672848.db2.gz GELYCMMBUDYTGE-HZPDHXFCSA-N 1 2 321.465 1.435 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001234254714 887795365 /nfs/dbraw/zinc/79/53/65/887795365.db2.gz CJTZJOWKJFBXKZ-LJQANCHMSA-N 1 2 324.424 1.611 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001234254714 887795379 /nfs/dbraw/zinc/79/53/79/887795379.db2.gz CJTZJOWKJFBXKZ-LJQANCHMSA-N 1 2 324.424 1.611 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1oc(C)nc1C ZINC001234266244 887804794 /nfs/dbraw/zinc/80/47/94/887804794.db2.gz OEJYQEQBTVUNNY-DZGCQCFKSA-N 1 2 319.405 1.362 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1oc(C)nc1C ZINC001234266244 887804806 /nfs/dbraw/zinc/80/48/06/887804806.db2.gz OEJYQEQBTVUNNY-DZGCQCFKSA-N 1 2 319.405 1.362 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234426742 887960286 /nfs/dbraw/zinc/96/02/86/887960286.db2.gz YEOMYMRWYDJTGP-GHMZBOCLSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C(F)C(F)(F)F ZINC001234426742 887960297 /nfs/dbraw/zinc/96/02/97/887960297.db2.gz YEOMYMRWYDJTGP-GHMZBOCLSA-N 1 2 312.307 1.622 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H]1CCN(C)C1=O ZINC001234516115 888044613 /nfs/dbraw/zinc/04/46/13/888044613.db2.gz AQRYEJBOXYDNDS-HZPDHXFCSA-N 1 2 319.449 1.518 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@@H]1CCN(C)C1=O ZINC001234516115 888044623 /nfs/dbraw/zinc/04/46/23/888044623.db2.gz AQRYEJBOXYDNDS-HZPDHXFCSA-N 1 2 319.449 1.518 20 30 DDEDLO CCOC(=O)c1cc(C[N@@H+]2CC[C@@H]3SC(=O)C=C3C2)c[nH]1 ZINC001234936321 888258642 /nfs/dbraw/zinc/25/86/42/888258642.db2.gz HABLEPVCKNWWGY-ZDUSSCGKSA-N 1 2 306.387 1.966 20 30 DDEDLO CCOC(=O)c1cc(C[N@H+]2CC[C@@H]3SC(=O)C=C3C2)c[nH]1 ZINC001234936321 888258654 /nfs/dbraw/zinc/25/86/54/888258654.db2.gz HABLEPVCKNWWGY-ZDUSSCGKSA-N 1 2 306.387 1.966 20 30 DDEDLO CC[C@@H](CC(F)F)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001235226808 888490047 /nfs/dbraw/zinc/49/00/47/888490047.db2.gz SGRGIALBKYPXCB-UONOGXRCSA-N 1 2 316.392 1.850 20 30 DDEDLO CC[C@@H](CC(F)F)C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001235226808 888490060 /nfs/dbraw/zinc/49/00/60/888490060.db2.gz SGRGIALBKYPXCB-UONOGXRCSA-N 1 2 316.392 1.850 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)Cc1nnc(C2CCC2)n1C ZINC001235451942 888653764 /nfs/dbraw/zinc/65/37/64/888653764.db2.gz REMBGBDFIWYCBC-UHFFFAOYSA-N 1 2 319.453 1.987 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)Cc1nnc(C2CCC2)n1C ZINC001235451942 888653769 /nfs/dbraw/zinc/65/37/69/888653769.db2.gz REMBGBDFIWYCBC-UHFFFAOYSA-N 1 2 319.453 1.987 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CCN1C(=O)Cc1c[nH+]cn1C ZINC001289970812 913304817 /nfs/dbraw/zinc/30/48/17/913304817.db2.gz BJSBWTWTQBRXKD-CQSZACIVSA-N 1 2 318.421 1.378 20 30 DDEDLO CCCn1cc(CN(CCC#N)CC[NH+]2CCOCC2)c(C)n1 ZINC001364677079 889176551 /nfs/dbraw/zinc/17/65/51/889176551.db2.gz IVNUHGXIWKCSEO-UHFFFAOYSA-N 1 2 319.453 1.649 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@@H](C)[N@@H+]2Cc2cc(C#N)ccn2)O1 ZINC001364692786 889207762 /nfs/dbraw/zinc/20/77/62/889207762.db2.gz SFRAEPPYPNNWQZ-MPESAESLSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@@H](C)[N@H+]2Cc2cc(C#N)ccn2)O1 ZINC001364692786 889207775 /nfs/dbraw/zinc/20/77/75/889207775.db2.gz SFRAEPPYPNNWQZ-MPESAESLSA-N 1 2 315.373 1.637 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(Br)c(O)c2)CC1 ZINC001236699876 889309558 /nfs/dbraw/zinc/30/95/58/889309558.db2.gz XEOGRXOYILLHJW-UHFFFAOYSA-N 1 2 309.207 1.906 20 30 DDEDLO C#CCN(C(=O)CC1CC1)C1CC[NH+](Cc2nccn2C)CC1 ZINC001278107627 889675832 /nfs/dbraw/zinc/67/58/32/889675832.db2.gz XTZFYJSUCLTTQD-UHFFFAOYSA-N 1 2 314.433 1.646 20 30 DDEDLO C#CCN(C(=O)COCC)C1CC[NH+](Cc2nocc2C)CC1 ZINC001278115809 889713206 /nfs/dbraw/zinc/71/32/06/889713206.db2.gz VRXWWNABMQQISQ-UHFFFAOYSA-N 1 2 319.405 1.446 20 30 DDEDLO CCn1c[nH+]cc1CN1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001237596935 889734321 /nfs/dbraw/zinc/73/43/21/889734321.db2.gz JZHUBJCWMSYWAV-CYBMUJFWSA-N 1 2 319.409 1.848 20 30 DDEDLO C#CCN(C(=O)C(C)C)C1CC[NH+](Cc2nccc(C)n2)CC1 ZINC001278121470 889755962 /nfs/dbraw/zinc/75/59/62/889755962.db2.gz BFYCGFCLBBFDEC-UHFFFAOYSA-N 1 2 314.433 1.867 20 30 DDEDLO N#CCNC[C@@H](NC(=O)[C@@H]1CCn2cc[nH+]c2C1)C1CCCC1 ZINC001278253058 890277870 /nfs/dbraw/zinc/27/78/70/890277870.db2.gz UFYSJRKZOLEZQB-HUUCEWRRSA-N 1 2 315.421 1.234 20 30 DDEDLO CCCCCCC[C@H](C)NC(=O)NC[C@@H]([NH3+])C(=O)OCC ZINC001239244948 890564784 /nfs/dbraw/zinc/56/47/84/890564784.db2.gz LARBHTAHQOANFP-QWHCGFSZSA-N 1 2 301.431 1.925 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@@H]1C ZINC001290589952 913466742 /nfs/dbraw/zinc/46/67/42/913466742.db2.gz AQIMXNCPKBMOQM-KGLIPLIRSA-N 1 2 304.394 1.345 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001278342679 891355838 /nfs/dbraw/zinc/35/58/38/891355838.db2.gz XLCBBZUPAKBAMP-KRWDZBQOSA-N 1 2 316.449 1.497 20 30 DDEDLO C#CCCCC(=O)N[C@@]12CCC[C@@H]1[N@H+](Cc1ncnn1C)CC2 ZINC001278446566 892622210 /nfs/dbraw/zinc/62/22/10/892622210.db2.gz QKYHCZHXOSFWOM-WMLDXEAASA-N 1 2 315.421 1.232 20 30 DDEDLO C#CCCCC(=O)N[C@@]12CCC[C@@H]1[N@@H+](Cc1ncnn1C)CC2 ZINC001278446566 892622215 /nfs/dbraw/zinc/62/22/15/892622215.db2.gz QKYHCZHXOSFWOM-WMLDXEAASA-N 1 2 315.421 1.232 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)COc1cccnc1 ZINC001366660196 894472138 /nfs/dbraw/zinc/47/21/38/894472138.db2.gz DCFSBENHLJBJGA-CYBMUJFWSA-N 1 2 309.797 1.794 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)COc1cccnc1 ZINC001366660196 894472142 /nfs/dbraw/zinc/47/21/42/894472142.db2.gz DCFSBENHLJBJGA-CYBMUJFWSA-N 1 2 309.797 1.794 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001366899389 895279933 /nfs/dbraw/zinc/27/99/33/895279933.db2.gz OTDKFNIEZXWAHY-NEPJUHHUSA-N 1 2 302.403 1.860 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001366899389 895279947 /nfs/dbraw/zinc/27/99/47/895279947.db2.gz OTDKFNIEZXWAHY-NEPJUHHUSA-N 1 2 302.403 1.860 20 30 DDEDLO CC(C)(C)OC(=O)N1CC2(C1)CC[C@H](N1CC[NH2+]C[C@H]1C#N)C2 ZINC001254776276 896600098 /nfs/dbraw/zinc/60/00/98/896600098.db2.gz HKDDKPQFUBNDEQ-UONOGXRCSA-N 1 2 320.437 1.573 20 30 DDEDLO CC[C@@H](CC#N)[N@@H+]1CCc2ncnc(N3CCOCC3)c2CC1 ZINC001255167871 896762675 /nfs/dbraw/zinc/76/26/75/896762675.db2.gz JHYLEUCLTNSOJC-AWEZNQCLSA-N 1 2 315.421 1.406 20 30 DDEDLO CC[C@@H](CC#N)[N@H+]1CCc2ncnc(N3CCOCC3)c2CC1 ZINC001255167871 896762680 /nfs/dbraw/zinc/76/26/80/896762680.db2.gz JHYLEUCLTNSOJC-AWEZNQCLSA-N 1 2 315.421 1.406 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H](NC(=O)CC2CC2)C1 ZINC001389353611 897008595 /nfs/dbraw/zinc/00/85/95/897008595.db2.gz BQWCWKCSGUZOPB-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H](NC(=O)CC2CC2)C1 ZINC001389353611 897008603 /nfs/dbraw/zinc/00/86/03/897008603.db2.gz BQWCWKCSGUZOPB-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO C=C(C)CCC(=O)NCC1(O)C[NH+](CCc2cccs2)C1 ZINC001278872527 897018636 /nfs/dbraw/zinc/01/86/36/897018636.db2.gz LYBRUWJEKYPTBJ-UHFFFAOYSA-N 1 2 308.447 1.810 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001389357814 897022698 /nfs/dbraw/zinc/02/26/98/897022698.db2.gz HPMKBCSNJACWJK-OCCSQVGLSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001389357814 897022711 /nfs/dbraw/zinc/02/27/11/897022711.db2.gz HPMKBCSNJACWJK-OCCSQVGLSA-N 1 2 308.426 1.031 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCc2ccn(C)n2)C1 ZINC001389371654 897054872 /nfs/dbraw/zinc/05/48/72/897054872.db2.gz OGIGNUOZKCUUFY-AWEZNQCLSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCc2ccn(C)n2)C1 ZINC001389371654 897054889 /nfs/dbraw/zinc/05/48/89/897054889.db2.gz OGIGNUOZKCUUFY-AWEZNQCLSA-N 1 2 310.829 1.686 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC001256347379 897395684 /nfs/dbraw/zinc/39/56/84/897395684.db2.gz RFINLMILTBMIPN-CVEARBPZSA-N 1 2 308.422 1.433 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC001256347379 897395705 /nfs/dbraw/zinc/39/57/05/897395705.db2.gz RFINLMILTBMIPN-CVEARBPZSA-N 1 2 308.422 1.433 20 30 DDEDLO C#CCC1(C(=O)NCC2(O)C[NH+](CC[C@H](C)F)C2)CCCCC1 ZINC001278936685 897438183 /nfs/dbraw/zinc/43/81/83/897438183.db2.gz MCJZVOZGWGWYLY-HNNXBMFYSA-N 1 2 324.440 1.871 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccccc2C#N)C(C)(C)C1 ZINC001258256991 898120876 /nfs/dbraw/zinc/12/08/76/898120876.db2.gz RMBCHBUGVZFIRO-UHFFFAOYSA-N 1 2 307.419 1.663 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccccc2C#N)C(C)(C)C1 ZINC001258256991 898120885 /nfs/dbraw/zinc/12/08/85/898120885.db2.gz RMBCHBUGVZFIRO-UHFFFAOYSA-N 1 2 307.419 1.663 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@]1(C)CC[N@H+](CC(=O)Nc2ccccc2)C1 ZINC001368159277 898850259 /nfs/dbraw/zinc/85/02/59/898850259.db2.gz YTERDEIRWGMPKE-GUYCJALGSA-N 1 2 314.389 1.365 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@]1(C)CC[N@@H+](CC(=O)Nc2ccccc2)C1 ZINC001368159277 898850267 /nfs/dbraw/zinc/85/02/67/898850267.db2.gz YTERDEIRWGMPKE-GUYCJALGSA-N 1 2 314.389 1.365 20 30 DDEDLO C=CCn1c([C@@H]2C[N@H+](C(C)C)CCO2)nnc1N(C)C1CC1 ZINC001262941878 900427200 /nfs/dbraw/zinc/42/72/00/900427200.db2.gz XUKQFRHGCHMVSI-AWEZNQCLSA-N 1 2 305.426 1.844 20 30 DDEDLO C=CCn1c([C@@H]2C[N@@H+](C(C)C)CCO2)nnc1N(C)C1CC1 ZINC001262941878 900427208 /nfs/dbraw/zinc/42/72/08/900427208.db2.gz XUKQFRHGCHMVSI-AWEZNQCLSA-N 1 2 305.426 1.844 20 30 DDEDLO C#CCN(CC)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC1CC1 ZINC001263679685 900669737 /nfs/dbraw/zinc/66/97/37/900669737.db2.gz KMDYZMDDENTVGL-PBHICJAKSA-N 1 2 317.437 1.059 20 30 DDEDLO C#CCN(CC)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC1CC1 ZINC001263679685 900669740 /nfs/dbraw/zinc/66/97/40/900669740.db2.gz KMDYZMDDENTVGL-PBHICJAKSA-N 1 2 317.437 1.059 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@@H](C)CC ZINC001263806487 900718273 /nfs/dbraw/zinc/71/82/73/900718273.db2.gz BPSXCZVLQGFCJR-HOTGVXAUSA-N 1 2 321.465 1.627 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@@H](C)CC ZINC001263806487 900718280 /nfs/dbraw/zinc/71/82/80/900718280.db2.gz BPSXCZVLQGFCJR-HOTGVXAUSA-N 1 2 321.465 1.627 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)cc1C ZINC001263817448 900732110 /nfs/dbraw/zinc/73/21/10/900732110.db2.gz VUYRXSATFPTMGX-MRXNPFEDSA-N 1 2 318.392 1.930 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(F)cc1C ZINC001263817448 900732115 /nfs/dbraw/zinc/73/21/15/900732115.db2.gz VUYRXSATFPTMGX-MRXNPFEDSA-N 1 2 318.392 1.930 20 30 DDEDLO C=CC1(CC(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)NC)CCCCC1 ZINC001263895608 900805799 /nfs/dbraw/zinc/80/57/99/900805799.db2.gz APWVVWXATBRXFB-AWEZNQCLSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CC1(CC(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)NC)CCCCC1 ZINC001263895608 900805809 /nfs/dbraw/zinc/80/58/09/900805809.db2.gz APWVVWXATBRXFB-AWEZNQCLSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2CC(=O)N(C)CC)CCC1 ZINC001264370748 901049056 /nfs/dbraw/zinc/04/90/56/901049056.db2.gz JICQUHYCJXZQQF-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2CC(=O)N(C)CC)CCC1 ZINC001264370748 901049066 /nfs/dbraw/zinc/04/90/66/901049066.db2.gz JICQUHYCJXZQQF-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)CCc1ccnn1C)C1CC1 ZINC001391168260 901120965 /nfs/dbraw/zinc/12/09/65/901120965.db2.gz REACSJUMSWEISB-UHFFFAOYSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)CCc1ccnn1C)C1CC1 ZINC001391168260 901120975 /nfs/dbraw/zinc/12/09/75/901120975.db2.gz REACSJUMSWEISB-UHFFFAOYSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)CCc1cncnc1)C1CC1 ZINC001391177908 901148222 /nfs/dbraw/zinc/14/82/22/901148222.db2.gz YHPXXDPQLQDNKE-UHFFFAOYSA-N 1 2 308.813 1.742 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)CCc1cncnc1)C1CC1 ZINC001391177908 901148224 /nfs/dbraw/zinc/14/82/24/901148224.db2.gz YHPXXDPQLQDNKE-UHFFFAOYSA-N 1 2 308.813 1.742 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265207553 901701890 /nfs/dbraw/zinc/70/18/90/901701890.db2.gz ITELDDRGWKIPFI-WMLDXEAASA-N 1 2 313.376 1.917 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001265207553 901701903 /nfs/dbraw/zinc/70/19/03/901701903.db2.gz ITELDDRGWKIPFI-WMLDXEAASA-N 1 2 313.376 1.917 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001265213481 901717934 /nfs/dbraw/zinc/71/79/34/901717934.db2.gz SUTKDXJDTSCCFR-HZPDHXFCSA-N 1 2 321.465 1.387 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@H](C)C(C)C)C1 ZINC001265213481 901717943 /nfs/dbraw/zinc/71/79/43/901717943.db2.gz SUTKDXJDTSCCFR-HZPDHXFCSA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C[C@@H](CC)C(C)C)C1 ZINC001265231451 901748916 /nfs/dbraw/zinc/74/89/16/901748916.db2.gz YVOSBNIHMAWBCK-HZPDHXFCSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C[C@@H](CC)C(C)C)C1 ZINC001265231451 901748927 /nfs/dbraw/zinc/74/89/27/901748927.db2.gz YVOSBNIHMAWBCK-HZPDHXFCSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)C[C@H]2CCC(F)(F)C2)CC1 ZINC001265259836 901780787 /nfs/dbraw/zinc/78/07/87/901780787.db2.gz NDPDUIBOBVTZQL-CQSZACIVSA-N 1 2 313.392 1.179 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001265312801 901871093 /nfs/dbraw/zinc/87/10/93/901871093.db2.gz VRDMQHIVOUVNLB-LSDHHAIUSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001265312801 901871107 /nfs/dbraw/zinc/87/11/07/901871107.db2.gz VRDMQHIVOUVNLB-LSDHHAIUSA-N 1 2 323.481 1.942 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001265318741 901882219 /nfs/dbraw/zinc/88/22/19/901882219.db2.gz CKUJLBSADBSXQX-CABCVRRESA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001265318741 901882226 /nfs/dbraw/zinc/88/22/26/901882226.db2.gz CKUJLBSADBSXQX-CABCVRRESA-N 1 2 319.449 1.143 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCCCC ZINC001265402587 901974769 /nfs/dbraw/zinc/97/47/69/901974769.db2.gz RTBUDOQUBSBASJ-OAGGEKHMSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCCCC ZINC001265402587 901974775 /nfs/dbraw/zinc/97/47/75/901974775.db2.gz RTBUDOQUBSBASJ-OAGGEKHMSA-N 1 2 321.465 1.933 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2cc(OC)no2)C1 ZINC001369981240 902337061 /nfs/dbraw/zinc/33/70/61/902337061.db2.gz XLIHFVOSSHHIFC-NSHDSACASA-N 1 2 313.785 1.559 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2cc(OC)no2)C1 ZINC001369981240 902337075 /nfs/dbraw/zinc/33/70/75/902337075.db2.gz XLIHFVOSSHHIFC-NSHDSACASA-N 1 2 313.785 1.559 20 30 DDEDLO CC[N@H+](CCCNC(=O)[C@@H](C)C#N)Cc1nc(C2CCC2)no1 ZINC001266027777 902787938 /nfs/dbraw/zinc/78/79/38/902787938.db2.gz AINLJFGWXKUYTR-LBPRGKRZSA-N 1 2 319.409 1.825 20 30 DDEDLO CC[N@@H+](CCCNC(=O)[C@@H](C)C#N)Cc1nc(C2CCC2)no1 ZINC001266027777 902787950 /nfs/dbraw/zinc/78/79/50/902787950.db2.gz AINLJFGWXKUYTR-LBPRGKRZSA-N 1 2 319.409 1.825 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001279612835 903353496 /nfs/dbraw/zinc/35/34/96/903353496.db2.gz BROOMZTVBMKCMC-JKSUJKDBSA-N 1 2 312.417 1.559 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001279612835 903353507 /nfs/dbraw/zinc/35/35/07/903353507.db2.gz BROOMZTVBMKCMC-JKSUJKDBSA-N 1 2 312.417 1.559 20 30 DDEDLO COC[C@H](C)C(=O)N(C)CC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001392265829 903699626 /nfs/dbraw/zinc/69/96/26/903699626.db2.gz OLUNDNIWESHEDI-ZDUSSCGKSA-N 1 2 321.396 1.870 20 30 DDEDLO COC[C@H](C)C(=O)N(C)CC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001392265829 903699636 /nfs/dbraw/zinc/69/96/36/903699636.db2.gz OLUNDNIWESHEDI-ZDUSSCGKSA-N 1 2 321.396 1.870 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H](CC)CCC)C2)CC1 ZINC001280694022 903930348 /nfs/dbraw/zinc/93/03/48/903930348.db2.gz XDOKPZYYZQPBPZ-IRXDYDNUSA-N 1 2 305.466 1.664 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)ccc1F ZINC001316606933 904153795 /nfs/dbraw/zinc/15/37/95/904153795.db2.gz YDDFWAWXINHPHL-HNNXBMFYSA-N 1 2 318.392 1.930 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)ccc1F ZINC001316606933 904153801 /nfs/dbraw/zinc/15/38/01/904153801.db2.gz YDDFWAWXINHPHL-HNNXBMFYSA-N 1 2 318.392 1.930 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@H+]2CC#CCOC)CC1 ZINC001316607160 904159965 /nfs/dbraw/zinc/15/99/65/904159965.db2.gz RFLOUFZXJSLNBG-QGZVFWFLSA-N 1 2 304.434 1.915 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H]2CC[N@@H+]2CC#CCOC)CC1 ZINC001316607160 904159969 /nfs/dbraw/zinc/15/99/69/904159969.db2.gz RFLOUFZXJSLNBG-QGZVFWFLSA-N 1 2 304.434 1.915 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)(C)C(F)F)CO2 ZINC001280966140 904252821 /nfs/dbraw/zinc/25/28/21/904252821.db2.gz AWGAJMOYCPMDKX-NSHDSACASA-N 1 2 300.349 1.261 20 30 DDEDLO C=CCCC(=O)N[C@@]12CCC[C@@H]1N(C(=O)Cn1cc[nH+]c1)CC2 ZINC001281184340 904539567 /nfs/dbraw/zinc/53/95/67/904539567.db2.gz LHCUDLHTASHSKP-WMLDXEAASA-N 1 2 316.405 1.489 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)C1CC(NC(=O)Cn2cc[nH+]c2)C1 ZINC001281353443 904715411 /nfs/dbraw/zinc/71/54/11/904715411.db2.gz ORJUWXOEDGAJLA-NFOMZHRRSA-N 1 2 318.421 1.591 20 30 DDEDLO C[C@H](C[N@H+](C)Cc1nc2c(o1)CCCC2)NC(=O)[C@@H](C)C#N ZINC001392647152 904727641 /nfs/dbraw/zinc/72/76/41/904727641.db2.gz YKMQPGLSEHICKO-NWDGAFQWSA-N 1 2 304.394 1.650 20 30 DDEDLO C[C@H](C[N@@H+](C)Cc1nc2c(o1)CCCC2)NC(=O)[C@@H](C)C#N ZINC001392647152 904727651 /nfs/dbraw/zinc/72/76/51/904727651.db2.gz YKMQPGLSEHICKO-NWDGAFQWSA-N 1 2 304.394 1.650 20 30 DDEDLO C=C(C)CCC(=O)NCC1=CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001281380393 904761423 /nfs/dbraw/zinc/76/14/23/904761423.db2.gz DQVXVZFKPBICTH-UHFFFAOYSA-N 1 2 316.405 1.583 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnon2)CC1(C)C ZINC001281546061 905000706 /nfs/dbraw/zinc/00/07/06/905000706.db2.gz HCDLEHXEMRPHFM-HNNXBMFYSA-N 1 2 318.421 1.980 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](Cc2cnon2)CC1(C)C ZINC001281546061 905000724 /nfs/dbraw/zinc/00/07/24/905000724.db2.gz HCDLEHXEMRPHFM-HNNXBMFYSA-N 1 2 318.421 1.980 20 30 DDEDLO C[N@@H+](Cc1cscn1)C[C@@H]1CCCN1C(=O)CSCC#N ZINC001281916369 905305301 /nfs/dbraw/zinc/30/53/01/905305301.db2.gz KKYZRXMPKUNOAV-ZDUSSCGKSA-N 1 2 324.475 1.823 20 30 DDEDLO C[N@H+](Cc1cscn1)C[C@@H]1CCCN1C(=O)CSCC#N ZINC001281916369 905305323 /nfs/dbraw/zinc/30/53/23/905305323.db2.gz KKYZRXMPKUNOAV-ZDUSSCGKSA-N 1 2 324.475 1.823 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]C2(CNC(=O)c3cc(C#N)c[nH]3)CC2)o1 ZINC001392896466 905629547 /nfs/dbraw/zinc/62/95/47/905629547.db2.gz VOTQSYDMGCYKKG-VIFPVBQESA-N 1 2 314.349 1.191 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@H]1C ZINC001282374623 905724954 /nfs/dbraw/zinc/72/49/54/905724954.db2.gz FXFJZJFNFRBESM-KGLIPLIRSA-N 1 2 318.421 1.723 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)C2(COC)CCCC2)C1 ZINC001282518847 905849033 /nfs/dbraw/zinc/84/90/33/905849033.db2.gz YORGINWERUGBFM-UHFFFAOYSA-N 1 2 310.438 1.322 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCCOCC)C2)C1 ZINC001282774683 906018144 /nfs/dbraw/zinc/01/81/44/906018144.db2.gz JGUMEIFTYCRJSN-QGZVFWFLSA-N 1 2 308.422 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCCOCC)C2)C1 ZINC001282774683 906018161 /nfs/dbraw/zinc/01/81/61/906018161.db2.gz JGUMEIFTYCRJSN-QGZVFWFLSA-N 1 2 308.422 1.130 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2occc2C)C(C)(C)C1 ZINC001282786197 906034112 /nfs/dbraw/zinc/03/41/12/906034112.db2.gz DNPOPWSHECJITH-CYBMUJFWSA-N 1 2 319.405 1.330 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2occc2C)C(C)(C)C1 ZINC001282786197 906034121 /nfs/dbraw/zinc/03/41/21/906034121.db2.gz DNPOPWSHECJITH-CYBMUJFWSA-N 1 2 319.405 1.330 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CCC[N@H+](Cc1ncccn1)C2 ZINC001282955856 906325497 /nfs/dbraw/zinc/32/54/97/906325497.db2.gz WUEBUVMNGWHWDE-UHFFFAOYSA-N 1 2 300.406 1.867 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CCC[N@@H+](Cc1ncccn1)C2 ZINC001282955856 906325508 /nfs/dbraw/zinc/32/55/08/906325508.db2.gz WUEBUVMNGWHWDE-UHFFFAOYSA-N 1 2 300.406 1.867 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CCC[N@@H+](Cc1nonc1C)C2 ZINC001282957168 906330302 /nfs/dbraw/zinc/33/03/02/906330302.db2.gz NIUZUIDMVAETNT-UHFFFAOYSA-N 1 2 316.405 1.606 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CCC[N@H+](Cc1nonc1C)C2 ZINC001282957168 906330314 /nfs/dbraw/zinc/33/03/14/906330314.db2.gz NIUZUIDMVAETNT-UHFFFAOYSA-N 1 2 316.405 1.606 20 30 DDEDLO C=CCCC(=O)NC[C@H]1C[C@H]([NH2+]Cc2nsc(N(C)C)n2)C1 ZINC001316628162 907577793 /nfs/dbraw/zinc/57/77/93/907577793.db2.gz COZJSXVJUZEHPC-HAQNSBGRSA-N 1 2 323.466 1.555 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](CO)[NH2+]C/C(Cl)=C/Cl ZINC001283804217 907979346 /nfs/dbraw/zinc/97/93/46/907979346.db2.gz NDXFQQBPGGLRPQ-MLIUNOBVSA-N 1 2 309.237 1.974 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001283864982 908094480 /nfs/dbraw/zinc/09/44/80/908094480.db2.gz WTXVQAGJRKKAID-ZIAGYGMSSA-N 1 2 318.421 1.764 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(C#N)[nH]1)[C@@H](C)[NH2+]Cc1cnsn1 ZINC001393937062 908319198 /nfs/dbraw/zinc/31/91/98/908319198.db2.gz UBWDWIZBSHJTNA-RKDXNWHRSA-N 1 2 304.379 1.034 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1C[C@@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001284091312 908462441 /nfs/dbraw/zinc/46/24/41/908462441.db2.gz GJPOZGFACISMPH-GASCZTMLSA-N 1 2 316.405 1.086 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H](C)CNC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001284295608 908790033 /nfs/dbraw/zinc/79/00/33/908790033.db2.gz UUGXFYGRYZPXRJ-LBPRGKRZSA-N 1 2 318.421 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CCC)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001373100492 908961472 /nfs/dbraw/zinc/96/14/72/908961472.db2.gz LAYQJTNTSQUJAO-BYNSBNAKSA-N 1 2 312.841 1.944 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CCC)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001373100492 908961490 /nfs/dbraw/zinc/96/14/90/908961490.db2.gz LAYQJTNTSQUJAO-BYNSBNAKSA-N 1 2 312.841 1.944 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001284433927 908996936 /nfs/dbraw/zinc/99/69/36/908996936.db2.gz VFROJWPBXAFHBG-MGPQQGTHSA-N 1 2 318.421 1.881 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001284433927 908996948 /nfs/dbraw/zinc/99/69/48/908996948.db2.gz VFROJWPBXAFHBG-MGPQQGTHSA-N 1 2 318.421 1.881 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCN(C(=O)Cc1c[nH+]c[nH]1)C1CC1 ZINC001284752324 909446231 /nfs/dbraw/zinc/44/62/31/909446231.db2.gz GCOHIMVMWKWANS-QGZVFWFLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1CN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284909818 909712426 /nfs/dbraw/zinc/71/24/26/909712426.db2.gz HPRAMZDUUMGZRZ-GFCCVEGCSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1CN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284909818 909712435 /nfs/dbraw/zinc/71/24/35/909712435.db2.gz HPRAMZDUUMGZRZ-GFCCVEGCSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)Cc2cnn(C)c2C)CC1 ZINC001284921732 909738763 /nfs/dbraw/zinc/73/87/63/909738763.db2.gz XRXCMTQKFZUPAI-UHFFFAOYSA-N 1 2 322.840 1.772 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)Cc2cnn(C)c2C)CC1 ZINC001284921732 909738774 /nfs/dbraw/zinc/73/87/74/909738774.db2.gz XRXCMTQKFZUPAI-UHFFFAOYSA-N 1 2 322.840 1.772 20 30 DDEDLO CC[C@H](C)CCC(=O)NCC1=CC[N@H+](CC(=O)NCC#N)CC1 ZINC001284967309 909847735 /nfs/dbraw/zinc/84/77/35/909847735.db2.gz DCRLTQPHNOPHSL-AWEZNQCLSA-N 1 2 320.437 1.201 20 30 DDEDLO CC[C@H](C)CCC(=O)NCC1=CC[N@@H+](CC(=O)NCC#N)CC1 ZINC001284967309 909847744 /nfs/dbraw/zinc/84/77/44/909847744.db2.gz DCRLTQPHNOPHSL-AWEZNQCLSA-N 1 2 320.437 1.201 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](CC)NC(=O)Cc2c[nH]c[nH+]2)CCC1 ZINC001285096637 910063034 /nfs/dbraw/zinc/06/30/34/910063034.db2.gz ZIEFWKQEYRQNRT-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]1CNC(=O)CCc1[nH]cc[nH+]1 ZINC001285198387 910195559 /nfs/dbraw/zinc/19/55/59/910195559.db2.gz KOQUYCPPBIAXJT-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO COc1cncc(C[N@H+](C)CCN(C(=O)[C@@H](C)C#N)C(C)C)n1 ZINC001394800852 910596930 /nfs/dbraw/zinc/59/69/30/910596930.db2.gz IDGQMJJAWQLKMB-ZDUSSCGKSA-N 1 2 319.409 1.314 20 30 DDEDLO COc1cncc(C[N@@H+](C)CCN(C(=O)[C@@H](C)C#N)C(C)C)n1 ZINC001394800852 910596943 /nfs/dbraw/zinc/59/69/43/910596943.db2.gz IDGQMJJAWQLKMB-ZDUSSCGKSA-N 1 2 319.409 1.314 20 30 DDEDLO COCC[N@H+](CCNC(C)=O)Cc1cc(C#N)ccc1OC ZINC001394826771 910671306 /nfs/dbraw/zinc/67/13/06/910671306.db2.gz DZQUJBOTVJPZKI-UHFFFAOYSA-N 1 2 305.378 1.151 20 30 DDEDLO COCC[N@@H+](CCNC(C)=O)Cc1cc(C#N)ccc1OC ZINC001394826771 910671314 /nfs/dbraw/zinc/67/13/14/910671314.db2.gz DZQUJBOTVJPZKI-UHFFFAOYSA-N 1 2 305.378 1.151 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001285903026 911393962 /nfs/dbraw/zinc/39/39/62/911393962.db2.gz KJPRBUYLPNMYQW-CZUORRHYSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)CC1 ZINC001285937795 911470123 /nfs/dbraw/zinc/47/01/23/911470123.db2.gz IJBOGNJHVOLIHS-ZDUSSCGKSA-N 1 2 316.405 1.177 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(CC)n1CC[N@@H+]1CCOC[C@@H]1C ZINC001286294843 911914855 /nfs/dbraw/zinc/91/48/55/911914855.db2.gz DEGAXGYUYFHNNO-KBPBESRZSA-N 1 2 305.426 1.019 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(CC)n1CC[N@H+]1CCOC[C@@H]1C ZINC001286294843 911914872 /nfs/dbraw/zinc/91/48/72/911914872.db2.gz DEGAXGYUYFHNNO-KBPBESRZSA-N 1 2 305.426 1.019 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H](C[NH2+]Cc1nc(CC2CC2)no1)C1CC1 ZINC001375221094 915305709 /nfs/dbraw/zinc/30/57/09/915305709.db2.gz XRHOJEYCNWFVGP-GXFFZTMASA-N 1 2 317.393 1.166 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001294793948 915369202 /nfs/dbraw/zinc/36/92/02/915369202.db2.gz ATMXCAJELPAVQZ-CHWSQXEVSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001294918369 915460000 /nfs/dbraw/zinc/46/00/00/915460000.db2.gz REMMNMJVOZHYQO-CYBMUJFWSA-N 1 2 304.394 1.175 20 30 DDEDLO C=CCCCC(=O)NC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001295629172 915943458 /nfs/dbraw/zinc/94/34/58/915943458.db2.gz PVUODYOBPIDTSR-BFHYXJOUSA-N 1 2 318.421 1.501 20 30 DDEDLO C=C1CCC(C(=O)N[C@H](C)CCNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001295906075 916121136 /nfs/dbraw/zinc/12/11/36/916121136.db2.gz GYRMUTLBAAHTRV-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCC(C)(C)C(=O)N(CCNC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001296618115 916506316 /nfs/dbraw/zinc/50/63/16/916506316.db2.gz HWTKIERBWQZMKA-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001376033127 917520912 /nfs/dbraw/zinc/52/09/12/917520912.db2.gz RCUPCPZRHSAIIF-WFASDCNBSA-N 1 2 319.380 1.813 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001376033127 917520922 /nfs/dbraw/zinc/52/09/22/917520922.db2.gz RCUPCPZRHSAIIF-WFASDCNBSA-N 1 2 319.380 1.813 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H](C)NC(=O)C(C)(C)C)C1 ZINC001376143734 917796212 /nfs/dbraw/zinc/79/62/12/917796212.db2.gz IGYKUUNKXXVEOV-VXGBXAGGSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)NC(=O)C(C)(C)C)C1 ZINC001376143734 917796221 /nfs/dbraw/zinc/79/62/21/917796221.db2.gz IGYKUUNKXXVEOV-VXGBXAGGSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H](C)NC(C)=O ZINC001376572728 918789010 /nfs/dbraw/zinc/78/90/10/918789010.db2.gz XVCOZVYUXHOQPL-YPMHNXCESA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H](C)NC(C)=O ZINC001376572728 918789016 /nfs/dbraw/zinc/78/90/16/918789016.db2.gz XVCOZVYUXHOQPL-YPMHNXCESA-N 1 2 301.818 1.234 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)[C@H](C)C(C)(F)F)CC1 ZINC001377569276 922361682 /nfs/dbraw/zinc/36/16/82/922361682.db2.gz YWVVDLZJFSOXRP-NSHDSACASA-N 1 2 324.799 1.973 20 30 DDEDLO C[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)[C@@H](C(N)=O)C1 ZINC000249558058 282173230 /nfs/dbraw/zinc/17/32/30/282173230.db2.gz KPMQUSCZADCFMV-BXUZGUMPSA-N 1 2 300.362 1.083 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)[C@@H](C(N)=O)C1 ZINC000249558058 282173232 /nfs/dbraw/zinc/17/32/32/282173232.db2.gz KPMQUSCZADCFMV-BXUZGUMPSA-N 1 2 300.362 1.083 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CC[C@@H](O)[C@@H](C)C2)c(C#N)c1C ZINC000249580495 282176224 /nfs/dbraw/zinc/17/62/24/282176224.db2.gz QVGKKRBMSAQGRE-NURSFMCSSA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CC[C@@H](O)[C@@H](C)C2)c(C#N)c1C ZINC000249580495 282176227 /nfs/dbraw/zinc/17/62/27/282176227.db2.gz QVGKKRBMSAQGRE-NURSFMCSSA-N 1 2 305.378 1.798 20 30 DDEDLO COC[C@@H]1C[N@H+](CCOc2ccc(C#N)cc2OC)C[C@@H](C)O1 ZINC000251505860 282202080 /nfs/dbraw/zinc/20/20/80/282202080.db2.gz BXGYJLOHOVUCJN-HIFRSBDPSA-N 1 2 320.389 1.681 20 30 DDEDLO COC[C@@H]1C[N@@H+](CCOc2ccc(C#N)cc2OC)C[C@@H](C)O1 ZINC000251505860 282202081 /nfs/dbraw/zinc/20/20/81/282202081.db2.gz BXGYJLOHOVUCJN-HIFRSBDPSA-N 1 2 320.389 1.681 20 30 DDEDLO Cc1cc2c[nH]nc2c(C(=O)NC[C@H](C)[NH+]2CCOCC2)c1 ZINC000328704780 539298587 /nfs/dbraw/zinc/29/85/87/539298587.db2.gz OZMIUSXQVSRZKU-LBPRGKRZSA-N 1 2 302.378 1.897 20 30 DDEDLO O=C(NCc1cn2ccccc2[nH+]1)N1CC[C@]2(CCOC2)C1 ZINC000329273372 539302498 /nfs/dbraw/zinc/30/24/98/539302498.db2.gz APBHBXSGJKOTJJ-INIZCTEOSA-N 1 2 300.362 1.861 20 30 DDEDLO C=CCOc1ccc(C(=O)N[C@@H]2Cc3c[nH+]cn3C2)cc1OC ZINC000623277018 365772979 /nfs/dbraw/zinc/77/29/79/365772979.db2.gz PTPSDLLPZDLQMG-CYBMUJFWSA-N 1 2 313.357 1.811 20 30 DDEDLO C[C@@H](c1nncn1C)[N@@H+]1CCCN(c2ccccc2C#N)CC1 ZINC000286690209 219111214 /nfs/dbraw/zinc/11/12/14/219111214.db2.gz BOQACEDBHWBPRG-AWEZNQCLSA-N 1 2 310.405 1.960 20 30 DDEDLO C[C@@H](c1nncn1C)[N@H+]1CCCN(c2ccccc2C#N)CC1 ZINC000286690209 219111216 /nfs/dbraw/zinc/11/12/16/219111216.db2.gz BOQACEDBHWBPRG-AWEZNQCLSA-N 1 2 310.405 1.960 20 30 DDEDLO C[N@@H+]1CCCN(CCCNC(=O)c2ccc(C#N)c(O)c2)CC1 ZINC000615427355 362284486 /nfs/dbraw/zinc/28/44/86/362284486.db2.gz KAVLUXHKLSQROH-UHFFFAOYSA-N 1 2 316.405 1.021 20 30 DDEDLO C[N@H+]1CCCN(CCCNC(=O)c2ccc(C#N)c(O)c2)CC1 ZINC000615427355 362284492 /nfs/dbraw/zinc/28/44/92/362284492.db2.gz KAVLUXHKLSQROH-UHFFFAOYSA-N 1 2 316.405 1.021 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC000121468137 185804984 /nfs/dbraw/zinc/80/49/84/185804984.db2.gz KRCBKVFWOBBASB-CQSZACIVSA-N 1 2 300.406 1.232 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@H+]2C[C@@H](O)C[C@H]2CO)c1 ZINC000191839611 186261845 /nfs/dbraw/zinc/26/18/45/186261845.db2.gz BBVRYORRYUCMNN-RYUDHWBXSA-N 1 2 311.179 1.248 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@@H+]2C[C@@H](O)C[C@H]2CO)c1 ZINC000191839611 186261847 /nfs/dbraw/zinc/26/18/47/186261847.db2.gz BBVRYORRYUCMNN-RYUDHWBXSA-N 1 2 311.179 1.248 20 30 DDEDLO N#Cc1ccccc1CN1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000023250885 352183250 /nfs/dbraw/zinc/18/32/50/352183250.db2.gz IODUWGCCOMHTSZ-UHFFFAOYSA-N 1 2 312.417 1.298 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCC(=O)NC(C)(C)C)Cc1ccccc1 ZINC000052579329 352618433 /nfs/dbraw/zinc/61/84/33/352618433.db2.gz WTXLTVZYAOWDLW-UHFFFAOYSA-N 1 2 317.433 1.706 20 30 DDEDLO C=CC[N@H+](CC(=O)NCC(=O)NC(C)(C)C)Cc1ccccc1 ZINC000052579329 352618435 /nfs/dbraw/zinc/61/84/35/352618435.db2.gz WTXLTVZYAOWDLW-UHFFFAOYSA-N 1 2 317.433 1.706 20 30 DDEDLO CC(C)[N@H+](CC(N)=O)CC(=O)N(CCC#N)c1ccccc1 ZINC000052659192 352622434 /nfs/dbraw/zinc/62/24/34/352622434.db2.gz YIKDBOHXZUMYPD-UHFFFAOYSA-N 1 2 302.378 1.129 20 30 DDEDLO CC(C)[N@@H+](CC(N)=O)CC(=O)N(CCC#N)c1ccccc1 ZINC000052659192 352622437 /nfs/dbraw/zinc/62/24/37/352622437.db2.gz YIKDBOHXZUMYPD-UHFFFAOYSA-N 1 2 302.378 1.129 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)NC2CCCC2)cc1OC ZINC000060552712 352875604 /nfs/dbraw/zinc/87/56/04/352875604.db2.gz IJQTVTLKVRDOAW-UHFFFAOYSA-N 1 2 321.377 1.400 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cccc[n+]3[O-])CC2)cc1 ZINC000060740842 352879045 /nfs/dbraw/zinc/87/90/45/352879045.db2.gz WVQRJVGZXOHGCJ-UHFFFAOYSA-N 1 2 322.368 1.150 20 30 DDEDLO C[C@@H](NC(=O)N(C)CC[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000074917976 353337885 /nfs/dbraw/zinc/33/78/85/353337885.db2.gz CPMJWQNIZBYGNZ-CQSZACIVSA-N 1 2 316.405 1.593 20 30 DDEDLO CC[N@@H+](CC(=O)NCCc1ccccc1OC)C[C@H](C)C#N ZINC000140423930 354135828 /nfs/dbraw/zinc/13/58/28/354135828.db2.gz PWYAYYAFYNKKAR-CQSZACIVSA-N 1 2 303.406 1.835 20 30 DDEDLO CC[N@H+](CC(=O)NCCc1ccccc1OC)C[C@H](C)C#N ZINC000140423930 354135831 /nfs/dbraw/zinc/13/58/31/354135831.db2.gz PWYAYYAFYNKKAR-CQSZACIVSA-N 1 2 303.406 1.835 20 30 DDEDLO CC[N@@H+](CC(=O)NCCc1ccccc1OC)C[C@@H](C)C#N ZINC000140423769 354135984 /nfs/dbraw/zinc/13/59/84/354135984.db2.gz PWYAYYAFYNKKAR-AWEZNQCLSA-N 1 2 303.406 1.835 20 30 DDEDLO CC[N@H+](CC(=O)NCCc1ccccc1OC)C[C@@H](C)C#N ZINC000140423769 354135989 /nfs/dbraw/zinc/13/59/89/354135989.db2.gz PWYAYYAFYNKKAR-AWEZNQCLSA-N 1 2 303.406 1.835 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C)[C@@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000143160714 354147983 /nfs/dbraw/zinc/14/79/83/354147983.db2.gz BXBQEUFWIBJHMP-STQMWFEESA-N 1 2 302.378 1.492 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C)[C@@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000143160714 354147987 /nfs/dbraw/zinc/14/79/87/354147987.db2.gz BXBQEUFWIBJHMP-STQMWFEESA-N 1 2 302.378 1.492 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)C[C@H]1OCCc2ccccc21 ZINC000578390511 354707748 /nfs/dbraw/zinc/70/77/48/354707748.db2.gz KODWLXJLJQLERM-WBVHZDCISA-N 1 2 301.390 1.651 20 30 DDEDLO CCN1CC[NH+]([C@@H]2CCN(C(=O)CCCCCC#N)C2)CC1 ZINC000588157431 354906454 /nfs/dbraw/zinc/90/64/54/354906454.db2.gz RCGPHEXMBDAJHM-MRXNPFEDSA-N 1 2 306.454 1.699 20 30 DDEDLO N#C[C@H]1CCC[C@H]1[NH2+]Cc1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000589164336 354966602 /nfs/dbraw/zinc/96/66/02/354966602.db2.gz XXAFKGGKLIHOEN-TZMCWYRMSA-N 1 2 323.418 1.846 20 30 DDEDLO Cn1c2nnc(C[NH2+][C@@H]3CCC[C@@H]3C#N)n2c2ccccc2c1=O ZINC000589163883 354966652 /nfs/dbraw/zinc/96/66/52/354966652.db2.gz HQNDGZXLOGPCGV-DGCLKSJQSA-N 1 2 322.372 1.363 20 30 DDEDLO COc1cccc(C[NH+]2CCN(c3cnccc3C#N)CC2)n1 ZINC000590013251 355041825 /nfs/dbraw/zinc/04/18/25/355041825.db2.gz KNJSPRGRDQJTEU-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO COC(=O)[C@@H](CC(C)C)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000590012446 355041851 /nfs/dbraw/zinc/04/18/51/355041851.db2.gz WLQUTHACKBBNIS-OAHLLOKOSA-N 1 2 316.405 1.663 20 30 DDEDLO COc1ccc(OCCCC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000589826976 355022553 /nfs/dbraw/zinc/02/25/53/355022553.db2.gz RXEGKDWOMIJRDX-KRWDZBQOSA-N 1 2 319.405 1.814 20 30 DDEDLO COc1ccc(OCCCC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000589826977 355022568 /nfs/dbraw/zinc/02/25/68/355022568.db2.gz RXEGKDWOMIJRDX-QGZVFWFLSA-N 1 2 319.405 1.814 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1ccc(-n2cccn2)cc1 ZINC000589826903 355022599 /nfs/dbraw/zinc/02/25/99/355022599.db2.gz AGVNFQLPJXPYRE-QGZVFWFLSA-N 1 2 311.389 1.375 20 30 DDEDLO N#CC1CN(C(=O)Nc2ccccc2C[NH+]2CCOCC2)C1 ZINC000590107558 355049443 /nfs/dbraw/zinc/04/94/43/355049443.db2.gz RJTSZQDMNSSUJQ-UHFFFAOYSA-N 1 2 300.362 1.506 20 30 DDEDLO N#Cc1ccc(NC(=O)CN2CCc3[nH+]c[nH]c3C2)cc1Cl ZINC000590602352 355128206 /nfs/dbraw/zinc/12/82/06/355128206.db2.gz WRDJWBJHKSMZEL-UHFFFAOYSA-N 1 2 315.764 1.932 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNCc1c(F)c(F)cc(F)c1F ZINC000591750378 355377345 /nfs/dbraw/zinc/37/73/45/355377345.db2.gz SFVOGUINFXHIKM-SECBINFHSA-N 1 2 319.302 1.539 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNCc1c(F)c(F)cc(F)c1F ZINC000591750378 355377348 /nfs/dbraw/zinc/37/73/48/355377348.db2.gz SFVOGUINFXHIKM-SECBINFHSA-N 1 2 319.302 1.539 20 30 DDEDLO N#CCCC1CCN(c2cc(N3CCC[C@H]3CO)nc[nH+]2)CC1 ZINC000591957631 355446496 /nfs/dbraw/zinc/44/64/96/355446496.db2.gz RUXLISZQWPGCNU-HNNXBMFYSA-N 1 2 315.421 1.958 20 30 DDEDLO N#CCCC1CCN(c2cc(N3CCC[C@H]3CO)[nH+]cn2)CC1 ZINC000591957631 355446500 /nfs/dbraw/zinc/44/65/00/355446500.db2.gz RUXLISZQWPGCNU-HNNXBMFYSA-N 1 2 315.421 1.958 20 30 DDEDLO CCC[N@H+](CC(=O)NC1(C#N)CCCCC1)[C@@H](C)C(=O)OCC ZINC000429705843 283103110 /nfs/dbraw/zinc/10/31/10/283103110.db2.gz BULFPLQADPZOOU-AWEZNQCLSA-N 1 2 323.437 1.993 20 30 DDEDLO CCC[N@@H+](CC(=O)NC1(C#N)CCCCC1)[C@@H](C)C(=O)OCC ZINC000429705843 283103114 /nfs/dbraw/zinc/10/31/14/283103114.db2.gz BULFPLQADPZOOU-AWEZNQCLSA-N 1 2 323.437 1.993 20 30 DDEDLO CC1(C(N)=O)C[NH+](Cc2ccc(C#N)cc2Br)C1 ZINC000592136221 355509342 /nfs/dbraw/zinc/50/93/42/355509342.db2.gz HJIHYLXMFSVNHZ-UHFFFAOYSA-N 1 2 308.179 1.628 20 30 DDEDLO CSc1ccc(NC(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592149334 355516626 /nfs/dbraw/zinc/51/66/26/355516626.db2.gz NDOUJNBYFPSCSA-HNNXBMFYSA-N 1 2 305.403 1.697 20 30 DDEDLO CSc1ccc(NC(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592149334 355516629 /nfs/dbraw/zinc/51/66/29/355516629.db2.gz NDOUJNBYFPSCSA-HNNXBMFYSA-N 1 2 305.403 1.697 20 30 DDEDLO Cc1cccc(S(=O)(=O)CCC[N@@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592150202 355517143 /nfs/dbraw/zinc/51/71/43/355517143.db2.gz AVDIJOVHLNNNAU-MRXNPFEDSA-N 1 2 322.430 1.509 20 30 DDEDLO Cc1cccc(S(=O)(=O)CCC[N@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592150202 355517146 /nfs/dbraw/zinc/51/71/46/355517146.db2.gz AVDIJOVHLNNNAU-MRXNPFEDSA-N 1 2 322.430 1.509 20 30 DDEDLO COc1ncncc1C[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000592509854 355607555 /nfs/dbraw/zinc/60/75/55/355607555.db2.gz HSKNIAYNBHTBKA-UHFFFAOYSA-N 1 2 309.373 1.938 20 30 DDEDLO COc1ccc2c(ccn2CC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000593155304 355814057 /nfs/dbraw/zinc/81/40/57/355814057.db2.gz LUJXYWZBGNMRFA-KRWDZBQOSA-N 1 2 314.389 1.610 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H](C)[N@H+]2C[C@@H](C)O[C@@H](C)C2)ncc1C#N ZINC000594099513 356112449 /nfs/dbraw/zinc/11/24/49/356112449.db2.gz QRUCOVCDNPHKIC-MCIONIFRSA-N 1 2 316.405 1.489 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H](C)[N@@H+]2C[C@@H](C)O[C@@H](C)C2)ncc1C#N ZINC000594099513 356112450 /nfs/dbraw/zinc/11/24/50/356112450.db2.gz QRUCOVCDNPHKIC-MCIONIFRSA-N 1 2 316.405 1.489 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+]([C@@H]2CC(=O)N(CC(F)(F)F)C2=O)C1 ZINC000594661400 356279877 /nfs/dbraw/zinc/27/98/77/356279877.db2.gz YCFPAFDYONKISC-NXEZZACHSA-N 1 2 303.284 1.302 20 30 DDEDLO N#CC[C@H]1CCC[N@H+]([C@@H]2CC(=O)N(CC(F)(F)F)C2=O)C1 ZINC000594661400 356279881 /nfs/dbraw/zinc/27/98/81/356279881.db2.gz YCFPAFDYONKISC-NXEZZACHSA-N 1 2 303.284 1.302 20 30 DDEDLO Cc1nc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)ccc1C#N ZINC000080916236 192200501 /nfs/dbraw/zinc/20/05/01/192200501.db2.gz RCYMSYMGVMQNSA-HNNXBMFYSA-N 1 2 314.389 1.199 20 30 DDEDLO CCOc1ccc(N(CCC#N)C(=O)[C@@H]2C[N@H+](C)CCO2)cc1 ZINC000081029504 192217475 /nfs/dbraw/zinc/21/74/75/192217475.db2.gz LKNAIKJTCVSWCX-INIZCTEOSA-N 1 2 317.389 1.663 20 30 DDEDLO CCOc1ccc(N(CCC#N)C(=O)[C@@H]2C[N@@H+](C)CCO2)cc1 ZINC000081029504 192217478 /nfs/dbraw/zinc/21/74/78/192217478.db2.gz LKNAIKJTCVSWCX-INIZCTEOSA-N 1 2 317.389 1.663 20 30 DDEDLO CCC[N@H+](Cc1ccc(C#N)cc1)[C@@H]1CS(=O)(=O)C[C@@H]1OC ZINC000595289009 356434440 /nfs/dbraw/zinc/43/44/40/356434440.db2.gz ATZVSKCBPDWJDD-CVEARBPZSA-N 1 2 322.430 1.582 20 30 DDEDLO CCC[N@@H+](Cc1ccc(C#N)cc1)[C@@H]1CS(=O)(=O)C[C@@H]1OC ZINC000595289009 356434441 /nfs/dbraw/zinc/43/44/41/356434441.db2.gz ATZVSKCBPDWJDD-CVEARBPZSA-N 1 2 322.430 1.582 20 30 DDEDLO COCC[N@H+](CCc1ccc(C#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000595395970 356477673 /nfs/dbraw/zinc/47/76/73/356477673.db2.gz AFVNUTONJCBNHU-INIZCTEOSA-N 1 2 322.430 1.236 20 30 DDEDLO COCC[N@@H+](CCc1ccc(C#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000595395970 356477677 /nfs/dbraw/zinc/47/76/77/356477677.db2.gz AFVNUTONJCBNHU-INIZCTEOSA-N 1 2 322.430 1.236 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@@](CO)(OC)C1 ZINC000595658163 356594747 /nfs/dbraw/zinc/59/47/47/356594747.db2.gz HYEFIAHFVIVUCT-DOTOQJQBSA-N 1 2 318.373 1.246 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCC[C@@](CO)(OC)C1 ZINC000595658163 356594751 /nfs/dbraw/zinc/59/47/51/356594751.db2.gz HYEFIAHFVIVUCT-DOTOQJQBSA-N 1 2 318.373 1.246 20 30 DDEDLO C[C@@H]1COC2(CCC2)C[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000595673097 356603351 /nfs/dbraw/zinc/60/33/51/356603351.db2.gz AJQPBVXWDFKPLO-CQSZACIVSA-N 1 2 304.394 1.286 20 30 DDEDLO C[C@@H]1COC2(CCC2)C[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000595673097 356603355 /nfs/dbraw/zinc/60/33/55/356603355.db2.gz AJQPBVXWDFKPLO-CQSZACIVSA-N 1 2 304.394 1.286 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC(O)(CO)CC2)c(OC(F)F)c1 ZINC000595733309 356627445 /nfs/dbraw/zinc/62/74/45/356627445.db2.gz RGUKPKJYEJIJTO-UHFFFAOYSA-N 1 2 312.316 1.479 20 30 DDEDLO C[C@@H]([NH2+][C@@H](CO)c1ccc(F)cc1F)C(=O)NC1(C#N)CCC1 ZINC000595868634 356684855 /nfs/dbraw/zinc/68/48/55/356684855.db2.gz XAMZFBNJCDEXNH-YGRLFVJLSA-N 1 2 323.343 1.539 20 30 DDEDLO Cc1nc(N(CC[NH+]2CCOCC2)CC2CC2)ccc1C#N ZINC000596513763 356902647 /nfs/dbraw/zinc/90/26/47/356902647.db2.gz DOJNMNFKEKMMNU-UHFFFAOYSA-N 1 2 300.406 1.810 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)[C@@H](C)CC#N)CC1 ZINC000597121070 357066849 /nfs/dbraw/zinc/06/68/49/357066849.db2.gz MRXLSLBHMOFKMD-AWEZNQCLSA-N 1 2 301.390 1.889 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccc(C(=O)OC)c(F)c2)nn1 ZINC000597157111 357075174 /nfs/dbraw/zinc/07/51/74/357075174.db2.gz QTKAILGMIAFADH-UHFFFAOYSA-N 1 2 304.325 1.680 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)cc1O ZINC000597706409 357293998 /nfs/dbraw/zinc/29/39/98/357293998.db2.gz FIZDUVBEWWJVLW-OAHLLOKOSA-N 1 2 315.373 1.201 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+]2C[C@H](C)OC[C@@H]2C)ncc1C#N ZINC000597997546 357413107 /nfs/dbraw/zinc/41/31/07/357413107.db2.gz SSLNVNDUJKDNLE-STQMWFEESA-N 1 2 302.378 1.101 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+]2C[C@H](C)OC[C@@H]2C)ncc1C#N ZINC000597997546 357413112 /nfs/dbraw/zinc/41/31/12/357413112.db2.gz SSLNVNDUJKDNLE-STQMWFEESA-N 1 2 302.378 1.101 20 30 DDEDLO CC(C)c1nnc([C@H]2C[N@H+](C[C@H](O)CC(C)(C)C#N)CCO2)o1 ZINC000598610937 357652600 /nfs/dbraw/zinc/65/26/00/357652600.db2.gz KSIUNRBUHBBTHT-CHWSQXEVSA-N 1 2 322.409 1.867 20 30 DDEDLO CC(C)c1nnc([C@H]2C[N@@H+](C[C@H](O)CC(C)(C)C#N)CCO2)o1 ZINC000598610937 357652604 /nfs/dbraw/zinc/65/26/04/357652604.db2.gz KSIUNRBUHBBTHT-CHWSQXEVSA-N 1 2 322.409 1.867 20 30 DDEDLO [O-]C(=[NH+][C@H]1CCc2[nH]c[nH+]c2C1)N1CCOC2(CCCC2)C1 ZINC000329690817 223015268 /nfs/dbraw/zinc/01/52/68/223015268.db2.gz GODNDJIPHAQAGN-LBPRGKRZSA-N 1 2 304.394 1.826 20 30 DDEDLO [O-]C(=[NH+][C@H]1CCc2[nH+]c[nH]c2C1)N1CCOC2(CCCC2)C1 ZINC000329690817 223015270 /nfs/dbraw/zinc/01/52/70/223015270.db2.gz GODNDJIPHAQAGN-LBPRGKRZSA-N 1 2 304.394 1.826 20 30 DDEDLO COC(=O)[C@H]([NH2+]C[C@H](O)CC1(C#N)CCOCC1)C(C)(C)C ZINC000599341618 357902007 /nfs/dbraw/zinc/90/20/07/357902007.db2.gz LYYXKTAYTIEHCQ-OLZOCXBDSA-N 1 2 312.410 1.235 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCCN(S(C)(=O)=O)CC1 ZINC000179654367 199070242 /nfs/dbraw/zinc/07/02/42/199070242.db2.gz GXOYJZNLDRJEQQ-UHFFFAOYSA-N 1 2 323.418 1.034 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCCN(S(C)(=O)=O)CC1 ZINC000179654367 199070244 /nfs/dbraw/zinc/07/02/44/199070244.db2.gz GXOYJZNLDRJEQQ-UHFFFAOYSA-N 1 2 323.418 1.034 20 30 DDEDLO CS(=O)(=O)CC1(C[N@@H+]2CCc3c(CC#N)cccc3C2)CC1 ZINC000599678609 358021757 /nfs/dbraw/zinc/02/17/57/358021757.db2.gz WYWKBWOHFZSUAO-UHFFFAOYSA-N 1 2 318.442 1.936 20 30 DDEDLO CS(=O)(=O)CC1(C[N@H+]2CCc3c(CC#N)cccc3C2)CC1 ZINC000599678609 358021762 /nfs/dbraw/zinc/02/17/62/358021762.db2.gz WYWKBWOHFZSUAO-UHFFFAOYSA-N 1 2 318.442 1.936 20 30 DDEDLO N#CCc1cccc2c1CC[N@@H+](CC(=O)NC[C@@H]1CCCO1)C2 ZINC000599685228 358024705 /nfs/dbraw/zinc/02/47/05/358024705.db2.gz AQWBEDYPXKXMOS-INIZCTEOSA-N 1 2 313.401 1.406 20 30 DDEDLO N#CCc1cccc2c1CC[N@H+](CC(=O)NC[C@@H]1CCCO1)C2 ZINC000599685228 358024709 /nfs/dbraw/zinc/02/47/09/358024709.db2.gz AQWBEDYPXKXMOS-INIZCTEOSA-N 1 2 313.401 1.406 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000600681453 358281814 /nfs/dbraw/zinc/28/18/14/358281814.db2.gz HNPNANGDPKLNNY-RDJZCZTQSA-N 1 2 316.405 1.338 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000600681453 358281815 /nfs/dbraw/zinc/28/18/15/358281815.db2.gz HNPNANGDPKLNNY-RDJZCZTQSA-N 1 2 316.405 1.338 20 30 DDEDLO N#CC1(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)CC2(CC2)C1 ZINC000601671423 358647519 /nfs/dbraw/zinc/64/75/19/358647519.db2.gz PXXSWGJFJFNGPQ-UHFFFAOYSA-N 1 2 317.433 1.641 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](Cc3ccc(O)cc3)CC2)ccn1 ZINC000601727590 358665791 /nfs/dbraw/zinc/66/57/91/358665791.db2.gz GOHTZDAIWVFFEX-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO C=CCN(Cc1cccc(C#N)c1)C(=O)[C@@H]1COCC[N@H+]1CC ZINC000602252351 358899916 /nfs/dbraw/zinc/89/99/16/358899916.db2.gz QVLWWZYTRPNUSF-KRWDZBQOSA-N 1 2 313.401 1.794 20 30 DDEDLO C=CCN(Cc1cccc(C#N)c1)C(=O)[C@@H]1COCC[N@@H+]1CC ZINC000602252351 358899919 /nfs/dbraw/zinc/89/99/19/358899919.db2.gz QVLWWZYTRPNUSF-KRWDZBQOSA-N 1 2 313.401 1.794 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](Cc2cccc(F)c2N)CC1 ZINC000602433420 358973323 /nfs/dbraw/zinc/97/33/23/358973323.db2.gz MTABJAOFEZTKPS-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO CC[N@H+](CCC#N)Cc1ccc(Cl)c(S(N)(=O)=O)c1 ZINC000602437057 358976078 /nfs/dbraw/zinc/97/60/78/358976078.db2.gz DKUIRGZERCMGEX-UHFFFAOYSA-N 1 2 301.799 1.723 20 30 DDEDLO CC[N@@H+](CCC#N)Cc1ccc(Cl)c(S(N)(=O)=O)c1 ZINC000602437057 358976083 /nfs/dbraw/zinc/97/60/83/358976083.db2.gz DKUIRGZERCMGEX-UHFFFAOYSA-N 1 2 301.799 1.723 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccns1 ZINC000602482501 359008277 /nfs/dbraw/zinc/00/82/77/359008277.db2.gz GXOOAUHNZXDKRC-NSHDSACASA-N 1 2 300.391 1.515 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccns1 ZINC000602482501 359008283 /nfs/dbraw/zinc/00/82/83/359008283.db2.gz GXOOAUHNZXDKRC-NSHDSACASA-N 1 2 300.391 1.515 20 30 DDEDLO CS(=O)(=O)CC[C@H]1CCCC[N@@H+]1Cc1cccc(C#N)n1 ZINC000602670175 359115193 /nfs/dbraw/zinc/11/51/93/359115193.db2.gz BQVIWGOVUAWJJS-OAHLLOKOSA-N 1 2 307.419 1.742 20 30 DDEDLO CS(=O)(=O)CC[C@H]1CCCC[N@H+]1Cc1cccc(C#N)n1 ZINC000602670175 359115194 /nfs/dbraw/zinc/11/51/94/359115194.db2.gz BQVIWGOVUAWJJS-OAHLLOKOSA-N 1 2 307.419 1.742 20 30 DDEDLO N#Cc1cccc(CNc2cnn(CC[NH+]3CCOCC3)c2)n1 ZINC000602577897 359052710 /nfs/dbraw/zinc/05/27/10/359052710.db2.gz QVZBNRNTIUMMJJ-UHFFFAOYSA-N 1 2 312.377 1.094 20 30 DDEDLO Cc1ccc(NC(=O)C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)cc1F ZINC000602854421 359241720 /nfs/dbraw/zinc/24/17/20/359241720.db2.gz BWBWBSXISIOANX-ZIAGYGMSSA-N 1 2 318.396 1.991 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@@H](C)C(=O)N(C)C[C@@H]1COc2ccccc2O1 ZINC000602860348 359246264 /nfs/dbraw/zinc/24/62/64/359246264.db2.gz CPXLFUZGWGCDOT-HZSPNIEDSA-N 1 2 317.389 1.565 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(Cc2nc(C(C)C)n[nH]2)CC1 ZINC000602862643 359247930 /nfs/dbraw/zinc/24/79/30/359247930.db2.gz KZCJOCBMNZZNOO-CYBMUJFWSA-N 1 2 306.410 1.910 20 30 DDEDLO C[C@H]1CN(C(=O)Nc2ccc3[nH+]ccn3c2)C[C@H](C)N1CC#N ZINC000602866717 359251206 /nfs/dbraw/zinc/25/12/06/359251206.db2.gz XBHORLBTWQGQLB-STQMWFEESA-N 1 2 312.377 1.784 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NCc1cccs1)[C@@H]1CCC[C@H]1C#N ZINC000602976166 359335762 /nfs/dbraw/zinc/33/57/62/359335762.db2.gz MCSMHBRBHMNYLD-WCQYABFASA-N 1 2 320.418 1.698 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NCc1cccs1)[C@@H]1CCC[C@H]1C#N ZINC000602976166 359335769 /nfs/dbraw/zinc/33/57/69/359335769.db2.gz MCSMHBRBHMNYLD-WCQYABFASA-N 1 2 320.418 1.698 20 30 DDEDLO C[C@H](C#N)N(C1CC1)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603386667 359608754 /nfs/dbraw/zinc/60/87/54/359608754.db2.gz IMELGAICTXVNIO-GFCCVEGCSA-N 1 2 319.430 1.740 20 30 DDEDLO C[C@H](C#N)N(C1CC1)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603386667 359608759 /nfs/dbraw/zinc/60/87/59/359608759.db2.gz IMELGAICTXVNIO-GFCCVEGCSA-N 1 2 319.430 1.740 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)c1ccc(C#N)c(O)c1 ZINC000188440404 200246801 /nfs/dbraw/zinc/24/68/01/200246801.db2.gz SLSUYLWVZQGUBS-UHFFFAOYSA-N 1 2 303.362 1.104 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)c1ccc(C#N)c(O)c1 ZINC000188440404 200246802 /nfs/dbraw/zinc/24/68/02/200246802.db2.gz SLSUYLWVZQGUBS-UHFFFAOYSA-N 1 2 303.362 1.104 20 30 DDEDLO C[C@H](C(=O)N(C)CC(=O)NC1CC1)[N@@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000329872393 223040388 /nfs/dbraw/zinc/04/03/88/223040388.db2.gz BJBRFONFSQORKP-LALPHHSUSA-N 1 2 323.437 1.452 20 30 DDEDLO C[C@H](C(=O)N(C)CC(=O)NC1CC1)[N@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000329872393 223040390 /nfs/dbraw/zinc/04/03/90/223040390.db2.gz BJBRFONFSQORKP-LALPHHSUSA-N 1 2 323.437 1.452 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609537999 360321361 /nfs/dbraw/zinc/32/13/61/360321361.db2.gz GXXSMRJLBPRAHG-IRXDYDNUSA-N 1 2 320.481 1.965 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1CCCC[C@H]1C)[NH+]1CCN(CCC#N)CC1 ZINC000611174626 360647753 /nfs/dbraw/zinc/64/77/53/360647753.db2.gz NACLDIBOGKKFPU-OWCLPIDISA-N 1 2 306.454 1.601 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000611204633 360658813 /nfs/dbraw/zinc/65/88/13/360658813.db2.gz LXCQZGVOHFHURG-HNNXBMFYSA-N 1 2 314.389 1.074 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000611204633 360658818 /nfs/dbraw/zinc/65/88/18/360658818.db2.gz LXCQZGVOHFHURG-HNNXBMFYSA-N 1 2 314.389 1.074 20 30 DDEDLO Cc1nn(C)c(C)c1C(=O)NC(C)(C)c1noc(C[NH+](C)C)n1 ZINC000330387132 223109952 /nfs/dbraw/zinc/10/99/52/223109952.db2.gz BDXQWAXVCVDPDB-UHFFFAOYSA-N 1 2 320.397 1.721 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)N[C@H]1CCCOC1 ZINC000330643974 223133611 /nfs/dbraw/zinc/13/36/11/223133611.db2.gz FPDBNUWSSJTYET-VIFPVBQESA-N 1 2 306.288 1.628 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000193356679 201037210 /nfs/dbraw/zinc/03/72/10/201037210.db2.gz HGVRGHMJBUTZQO-HNNXBMFYSA-N 1 2 300.362 1.097 20 30 DDEDLO CC(=O)N[C@H]1CCC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000193356679 201037213 /nfs/dbraw/zinc/03/72/13/201037213.db2.gz HGVRGHMJBUTZQO-HNNXBMFYSA-N 1 2 300.362 1.097 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NCC[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000613832890 361594684 /nfs/dbraw/zinc/59/46/84/361594684.db2.gz NRKCXCJXOOIJDA-MRXNPFEDSA-N 1 2 308.809 1.751 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NCC[N@H+]1CCc2c(Cl)cccc2C1 ZINC000613832890 361594689 /nfs/dbraw/zinc/59/46/89/361594689.db2.gz NRKCXCJXOOIJDA-MRXNPFEDSA-N 1 2 308.809 1.751 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)[N@@H+]2Cc2ccnc(C#N)c2)O1 ZINC000619785087 364149674 /nfs/dbraw/zinc/14/96/74/364149674.db2.gz WLZOFIWRJQEKPQ-MWNCTCPHSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)[N@H+]2Cc2ccnc(C#N)c2)O1 ZINC000619785087 364149680 /nfs/dbraw/zinc/14/96/80/364149680.db2.gz WLZOFIWRJQEKPQ-MWNCTCPHSA-N 1 2 315.373 1.637 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[NH+]1CCC2(CC1)OCCCO2 ZINC000292988884 223339343 /nfs/dbraw/zinc/33/93/43/223339343.db2.gz MAGGSGQEDPOJEB-UHFFFAOYSA-N 1 2 321.402 1.787 20 30 DDEDLO N#Cc1nc(NC(=O)c2ccc3c(c2)CC[NH2+]CC3)[nH]c1C#N ZINC000566198686 304127645 /nfs/dbraw/zinc/12/76/45/304127645.db2.gz GSFWUWFSCWBJDL-UHFFFAOYSA-N 1 2 306.329 1.094 20 30 DDEDLO C=CCOc1cccc(NC(=O)NC[C@H]2COCC[N@H+]2C)c1 ZINC000626323492 367481252 /nfs/dbraw/zinc/48/12/52/367481252.db2.gz JYZJPXDZZWLZEB-AWEZNQCLSA-N 1 2 305.378 1.704 20 30 DDEDLO C=CCOc1cccc(NC(=O)NC[C@H]2COCC[N@@H+]2C)c1 ZINC000626323492 367481258 /nfs/dbraw/zinc/48/12/58/367481258.db2.gz JYZJPXDZZWLZEB-AWEZNQCLSA-N 1 2 305.378 1.704 20 30 DDEDLO C[C@@H](CC#N)NC(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000348219468 223382399 /nfs/dbraw/zinc/38/23/99/223382399.db2.gz ZMYSABJHKJANOC-LBPRGKRZSA-N 1 2 323.418 1.054 20 30 DDEDLO CCCCC[N@H+](CC(N)=O)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000566301823 304142138 /nfs/dbraw/zinc/14/21/38/304142138.db2.gz VVPCTMGNMGSIIP-UHFFFAOYSA-N 1 2 304.350 1.944 20 30 DDEDLO CCCCC[N@@H+](CC(N)=O)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000566301823 304142140 /nfs/dbraw/zinc/14/21/40/304142140.db2.gz VVPCTMGNMGSIIP-UHFFFAOYSA-N 1 2 304.350 1.944 20 30 DDEDLO COC(=O)[C@H]1CCC[N@H+](Cc2ccc(C#N)cc2)[C@@H]1C(=O)OC ZINC000156330571 284331739 /nfs/dbraw/zinc/33/17/39/284331739.db2.gz DXCRVDFGYMTYKJ-GJZGRUSLSA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2)[C@@H]1C(=O)OC ZINC000156330571 284331740 /nfs/dbraw/zinc/33/17/40/284331740.db2.gz DXCRVDFGYMTYKJ-GJZGRUSLSA-N 1 2 316.357 1.485 20 30 DDEDLO C=CC[N@@H+](CC(=O)NCCOCC)Cc1ccc(OC)cc1 ZINC000267774580 206274057 /nfs/dbraw/zinc/27/40/57/206274057.db2.gz AMFZZFHAECBUEK-UHFFFAOYSA-N 1 2 306.406 1.836 20 30 DDEDLO C=CC[N@H+](CC(=O)NCCOCC)Cc1ccc(OC)cc1 ZINC000267774580 206274060 /nfs/dbraw/zinc/27/40/60/206274060.db2.gz AMFZZFHAECBUEK-UHFFFAOYSA-N 1 2 306.406 1.836 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)c(C#N)c3)C[C@@H]21 ZINC000284609675 218099839 /nfs/dbraw/zinc/09/98/39/218099839.db2.gz TXFFYKPNRVRCIK-LSDHHAIUSA-N 1 2 303.337 1.242 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)c(C#N)c3)C[C@@H]21 ZINC000284609675 218099842 /nfs/dbraw/zinc/09/98/42/218099842.db2.gz TXFFYKPNRVRCIK-LSDHHAIUSA-N 1 2 303.337 1.242 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+](C)CC(C)(CO)CO)c1C ZINC000159561260 197361786 /nfs/dbraw/zinc/36/17/86/197361786.db2.gz AEIGTXOXHJQOKU-UHFFFAOYSA-N 1 2 308.422 1.396 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+](C)CC(C)(CO)CO)c1C ZINC000159561260 197361789 /nfs/dbraw/zinc/36/17/89/197361789.db2.gz AEIGTXOXHJQOKU-UHFFFAOYSA-N 1 2 308.422 1.396 20 30 DDEDLO C=CCCS(=O)(=O)N(C)Cc1[nH+]ccn1CC(F)(F)F ZINC000268779791 206951928 /nfs/dbraw/zinc/95/19/28/206951928.db2.gz CTKDJUNJPGNJTN-UHFFFAOYSA-N 1 2 311.329 1.783 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[NH+](C[C@@H](O)C(F)(F)F)CC1 ZINC000158590497 197285604 /nfs/dbraw/zinc/28/56/04/197285604.db2.gz RIXIVPNZKLJEBL-CYBMUJFWSA-N 1 2 317.286 1.743 20 30 DDEDLO COc1cc(OC[C@H](O)C[N@H+](C)CCC#N)ccc1[N+](=O)[O-] ZINC000414128767 533093518 /nfs/dbraw/zinc/09/35/18/533093518.db2.gz SQLQLMZNFCNTQC-LLVKDONJSA-N 1 2 309.322 1.189 20 30 DDEDLO COc1cc(OC[C@H](O)C[N@@H+](C)CCC#N)ccc1[N+](=O)[O-] ZINC000414128767 533093522 /nfs/dbraw/zinc/09/35/22/533093522.db2.gz SQLQLMZNFCNTQC-LLVKDONJSA-N 1 2 309.322 1.189 20 30 DDEDLO COCC(COC)[NH+]1CCN(C(=O)c2cscc2C#N)CC1 ZINC000413873871 533098791 /nfs/dbraw/zinc/09/87/91/533098791.db2.gz YTQCAMNQZMMFCY-UHFFFAOYSA-N 1 2 323.418 1.039 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N(C)[C@H](C)C[NH+]1CCOCC1 ZINC000568118642 304268437 /nfs/dbraw/zinc/26/84/37/304268437.db2.gz GAZHTGSTFFVULZ-CYBMUJFWSA-N 1 2 302.378 1.054 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000120579025 195193505 /nfs/dbraw/zinc/19/35/05/195193505.db2.gz BNJFTKOBVVIQGS-GOEBONIOSA-N 1 2 318.373 1.325 20 30 DDEDLO CCOC(=O)[C@H]1CCC[N@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000120579025 195193507 /nfs/dbraw/zinc/19/35/07/195193507.db2.gz BNJFTKOBVVIQGS-GOEBONIOSA-N 1 2 318.373 1.325 20 30 DDEDLO Cc1nsc(N2CC[NH+]([C@H](C)c3cnccn3)CC2)c1C#N ZINC000289574347 221077482 /nfs/dbraw/zinc/07/74/82/221077482.db2.gz VZOMZHFLDKKLIY-GFCCVEGCSA-N 1 2 314.418 1.996 20 30 DDEDLO CC(C)(CNC(=O)Nc1ccc(C#N)c(F)c1)[NH+]1CCOCC1 ZINC000290059825 221407654 /nfs/dbraw/zinc/40/76/54/221407654.db2.gz FMXGZEKWJZZUMX-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO CC(C)[NH+]1CCN(S(=O)(=O)c2ccc(F)c(C#N)c2)CC1 ZINC000071140565 406831645 /nfs/dbraw/zinc/83/16/45/406831645.db2.gz JFBCJFFKRCIXHA-UHFFFAOYSA-N 1 2 311.382 1.412 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)Nc1ccccc1 ZINC000074394412 406911765 /nfs/dbraw/zinc/91/17/65/406911765.db2.gz QHNAXMGRZLCIML-UHFFFAOYSA-N 1 2 302.378 1.766 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)NCCNc2cccc[nH+]2)c1 ZINC000049017394 407113245 /nfs/dbraw/zinc/11/32/45/407113245.db2.gz BMRJKPFKHDSRRH-UHFFFAOYSA-N 1 2 309.329 1.120 20 30 DDEDLO C=CCOc1cccc(NC(=O)C(=O)NCCn2cc[nH+]c2)c1 ZINC000067324701 407271682 /nfs/dbraw/zinc/27/16/82/407271682.db2.gz AOWWSNKORLGGTO-UHFFFAOYSA-N 1 2 314.345 1.203 20 30 DDEDLO C=CCCOCCNC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC000122381187 407299441 /nfs/dbraw/zinc/29/94/41/407299441.db2.gz MHWFUHQPWURZBW-HNNXBMFYSA-N 1 2 320.437 1.977 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)Nc1ccc([NH+](C)C)cc1C ZINC000107073715 407370058 /nfs/dbraw/zinc/37/00/58/407370058.db2.gz QGIRDCDQOARZLA-GFCCVEGCSA-N 1 2 304.394 1.873 20 30 DDEDLO CCNC(=O)C[N@H+](CC)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000126101229 407405621 /nfs/dbraw/zinc/40/56/21/407405621.db2.gz AJNRQHZNFKQAPL-HNNXBMFYSA-N 1 2 314.389 1.122 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000126101229 407405622 /nfs/dbraw/zinc/40/56/22/407405622.db2.gz AJNRQHZNFKQAPL-HNNXBMFYSA-N 1 2 314.389 1.122 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCOc1c(C)cccc1C ZINC000112335726 407426965 /nfs/dbraw/zinc/42/69/65/407426965.db2.gz LXFXBELFPFSHFN-UHFFFAOYSA-N 1 2 307.394 1.886 20 30 DDEDLO Cc1cc(N)nc(S[C@H](C)C(=O)N[C@](C)(C#N)C(C)C)[nH+]1 ZINC000198117119 407472198 /nfs/dbraw/zinc/47/21/98/407472198.db2.gz UVIKZBTWPSJBLK-QMTHXVAHSA-N 1 2 307.423 1.902 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)N(C)CC[NH+]1CCOCC1 ZINC000178579361 407499390 /nfs/dbraw/zinc/49/93/90/407499390.db2.gz AGJBYRSVKVNPHG-UHFFFAOYSA-N 1 2 302.378 1.663 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCC[C@H](CO)C2)c1 ZINC000128140496 407499843 /nfs/dbraw/zinc/49/98/43/407499843.db2.gz IIDLHPPGIQIYBA-AWEZNQCLSA-N 1 2 308.403 1.036 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCC[C@H](CO)C2)c1 ZINC000128140496 407499850 /nfs/dbraw/zinc/49/98/50/407499850.db2.gz IIDLHPPGIQIYBA-AWEZNQCLSA-N 1 2 308.403 1.036 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+](C)Cc1cc(Br)cn1C ZINC000151484212 407446399 /nfs/dbraw/zinc/44/63/99/407446399.db2.gz VXDWISTZHYMLTB-JTQLQIEISA-N 1 2 314.227 1.910 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+](C)Cc1cc(Br)cn1C ZINC000151484212 407446407 /nfs/dbraw/zinc/44/64/07/407446407.db2.gz VXDWISTZHYMLTB-JTQLQIEISA-N 1 2 314.227 1.910 20 30 DDEDLO CCCN(CCC)C(=O)CO[NH+]=C(N)c1cccc(OC)c1 ZINC000151897327 407521763 /nfs/dbraw/zinc/52/17/63/407521763.db2.gz SPFOZIULLYWONK-UHFFFAOYSA-N 1 2 307.394 1.981 20 30 DDEDLO C[C@]1(C(N)=O)CCCC[N@@H+]1CC(=O)NC1(C#N)CCCCC1 ZINC000185906397 407535975 /nfs/dbraw/zinc/53/59/75/407535975.db2.gz JATLOHUQTYOSAJ-OAHLLOKOSA-N 1 2 306.410 1.059 20 30 DDEDLO C[C@]1(C(N)=O)CCCC[N@H+]1CC(=O)NC1(C#N)CCCCC1 ZINC000185906397 407535979 /nfs/dbraw/zinc/53/59/79/407535979.db2.gz JATLOHUQTYOSAJ-OAHLLOKOSA-N 1 2 306.410 1.059 20 30 DDEDLO C=CCCS(=O)(=O)N(C)c1ccc(N2CCOCC2)[nH+]c1 ZINC000186533204 407696224 /nfs/dbraw/zinc/69/62/24/407696224.db2.gz ZAHZBZUYORPHMR-UHFFFAOYSA-N 1 2 311.407 1.260 20 30 DDEDLO COc1ccc(C[N@H+](C)[C@H](C)[C@@H](C)S(C)(=O)=O)cc1C#N ZINC000135079297 407970559 /nfs/dbraw/zinc/97/05/59/407970559.db2.gz BFHRFCQPKJLHBR-VXGBXAGGSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1ccc(C[N@@H+](C)[C@H](C)[C@@H](C)S(C)(=O)=O)cc1C#N ZINC000135079297 407970566 /nfs/dbraw/zinc/97/05/66/407970566.db2.gz BFHRFCQPKJLHBR-VXGBXAGGSA-N 1 2 310.419 1.820 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(c2ncc(C#N)cc2Cl)[C@@H](C)C1 ZINC000180902899 407930727 /nfs/dbraw/zinc/93/07/27/407930727.db2.gz PWQVMFQZNKXYIJ-NSHDSACASA-N 1 2 322.796 1.680 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(c2ncc(C#N)cc2Cl)[C@@H](C)C1 ZINC000180902899 407930732 /nfs/dbraw/zinc/93/07/32/407930732.db2.gz PWQVMFQZNKXYIJ-NSHDSACASA-N 1 2 322.796 1.680 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+](C)[C@@H]1CCCc2c1cnn2C ZINC000135579180 408035485 /nfs/dbraw/zinc/03/54/85/408035485.db2.gz MUEMXYRNMRRAHA-RHSMWYFYSA-N 1 2 317.437 1.784 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+](C)[C@@H]1CCCc2c1cnn2C ZINC000135579180 408035489 /nfs/dbraw/zinc/03/54/89/408035489.db2.gz MUEMXYRNMRRAHA-RHSMWYFYSA-N 1 2 317.437 1.784 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC000268415334 408035566 /nfs/dbraw/zinc/03/55/66/408035566.db2.gz BOPXBGBTUVKVEL-CQSZACIVSA-N 1 2 303.410 1.493 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC000268415334 408035574 /nfs/dbraw/zinc/03/55/74/408035574.db2.gz BOPXBGBTUVKVEL-CQSZACIVSA-N 1 2 303.410 1.493 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000268415334 408035578 /nfs/dbraw/zinc/03/55/78/408035578.db2.gz BOPXBGBTUVKVEL-CQSZACIVSA-N 1 2 303.410 1.493 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000268415334 408035585 /nfs/dbraw/zinc/03/55/85/408035585.db2.gz BOPXBGBTUVKVEL-CQSZACIVSA-N 1 2 303.410 1.493 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000268415334 408035591 /nfs/dbraw/zinc/03/55/91/408035591.db2.gz BOPXBGBTUVKVEL-CQSZACIVSA-N 1 2 303.410 1.493 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000268415334 408035597 /nfs/dbraw/zinc/03/55/97/408035597.db2.gz BOPXBGBTUVKVEL-CQSZACIVSA-N 1 2 303.410 1.493 20 30 DDEDLO C=C(C)CNC(=O)N[C@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000119096042 407994161 /nfs/dbraw/zinc/99/41/61/407994161.db2.gz GQWSRRVJUQCYHB-QGZVFWFLSA-N 1 2 317.433 1.805 20 30 DDEDLO C=CCn1c(=O)[nH]nc1SCCc1[nH+]c2ccccc2n1C ZINC000268358378 408010767 /nfs/dbraw/zinc/01/07/67/408010767.db2.gz WDHVIKUSCFIXBB-UHFFFAOYSA-N 1 2 315.402 1.979 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3ccncc3)CC2)c1[N+](=O)[O-] ZINC000268476340 408062598 /nfs/dbraw/zinc/06/25/98/408062598.db2.gz KHFCMLWJVBOSIG-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)N[C@H](C)CC#N ZINC000119449607 408072981 /nfs/dbraw/zinc/07/29/81/408072981.db2.gz KEWLBMPODCDECN-LLVKDONJSA-N 1 2 303.366 1.650 20 30 DDEDLO NC(CCO)=[NH+]OCc1cccc(C(=O)NCc2ccco2)c1 ZINC000136064649 408073753 /nfs/dbraw/zinc/07/37/53/408073753.db2.gz GXJCYOVIGBGPID-UHFFFAOYSA-N 1 2 317.345 1.381 20 30 DDEDLO C#CCCCCNC(=O)N1CC[C@@H]([NH+]2CCN(CC)CC2)C1 ZINC000155042047 408117067 /nfs/dbraw/zinc/11/70/67/408117067.db2.gz PKOGSJGKLOOIFO-MRXNPFEDSA-N 1 2 306.454 1.211 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)cc1 ZINC000120915785 408147201 /nfs/dbraw/zinc/14/72/01/408147201.db2.gz KKYYFLVKHRUDSI-QGZVFWFLSA-N 1 2 314.385 1.292 20 30 DDEDLO C#CCOc1ccc(C(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)cc1 ZINC000120915785 408147209 /nfs/dbraw/zinc/14/72/09/408147209.db2.gz KKYYFLVKHRUDSI-QGZVFWFLSA-N 1 2 314.385 1.292 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCN(C(C)=O)C[C@H]1C ZINC000268686152 408154326 /nfs/dbraw/zinc/15/43/26/408154326.db2.gz NPJNBOOGUGWLFW-CYBMUJFWSA-N 1 2 317.389 1.498 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCN(C(C)=O)C[C@H]1C ZINC000268686152 408154334 /nfs/dbraw/zinc/15/43/34/408154334.db2.gz NPJNBOOGUGWLFW-CYBMUJFWSA-N 1 2 317.389 1.498 20 30 DDEDLO CCc1nnc(CO[NH+]=C(N)c2ccc(OC)c(OC)c2)o1 ZINC000269451420 408315552 /nfs/dbraw/zinc/31/55/52/408315552.db2.gz VVDPGUXVNDZBJE-UHFFFAOYSA-N 1 2 306.322 1.486 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@H+](CCC#N)CC3CC3)sc2n1 ZINC000176257742 408324292 /nfs/dbraw/zinc/32/42/92/408324292.db2.gz BHPSICJWVUXIDJ-UHFFFAOYSA-N 1 2 303.391 1.585 20 30 DDEDLO Cc1cc(=O)n2nc(C[N@@H+](CCC#N)CC3CC3)sc2n1 ZINC000176257742 408324298 /nfs/dbraw/zinc/32/42/98/408324298.db2.gz BHPSICJWVUXIDJ-UHFFFAOYSA-N 1 2 303.391 1.585 20 30 DDEDLO C=CC[N@H+]1CCCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000122251317 408272110 /nfs/dbraw/zinc/27/21/10/408272110.db2.gz RWWXWYAENAKXMP-UHFFFAOYSA-N 1 2 310.419 1.578 20 30 DDEDLO C=CC[N@@H+]1CCCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000122251317 408272114 /nfs/dbraw/zinc/27/21/14/408272114.db2.gz RWWXWYAENAKXMP-UHFFFAOYSA-N 1 2 310.419 1.578 20 30 DDEDLO CC(C)c1[nH+]c2c(n1C)CCN(C(=O)c1cc(C#N)cn1C)C2 ZINC000176065708 408278719 /nfs/dbraw/zinc/27/87/19/408278719.db2.gz VAHSNTFBWDSHGY-UHFFFAOYSA-N 1 2 311.389 1.952 20 30 DDEDLO C#CC[N@@H+](CC(=O)N(C)CC(=O)Nc1ccc(C)cc1)C1CC1 ZINC000274148562 408307987 /nfs/dbraw/zinc/30/79/87/408307987.db2.gz KNRQWNISWSLVMI-UHFFFAOYSA-N 1 2 313.401 1.490 20 30 DDEDLO C#CC[N@H+](CC(=O)N(C)CC(=O)Nc1ccc(C)cc1)C1CC1 ZINC000274148562 408307990 /nfs/dbraw/zinc/30/79/90/408307990.db2.gz KNRQWNISWSLVMI-UHFFFAOYSA-N 1 2 313.401 1.490 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)CNc1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000158705492 408357598 /nfs/dbraw/zinc/35/75/98/408357598.db2.gz LDQNFKQDAXFFJL-UONOGXRCSA-N 1 2 316.405 1.196 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000191109469 408371499 /nfs/dbraw/zinc/37/14/99/408371499.db2.gz OOBVZGAZXQQYFC-UHFFFAOYSA-N 1 2 323.349 1.676 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000191109469 408371504 /nfs/dbraw/zinc/37/15/04/408371504.db2.gz OOBVZGAZXQQYFC-UHFFFAOYSA-N 1 2 323.349 1.676 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCS[C@H]2COCC[C@H]21 ZINC000247296982 408446664 /nfs/dbraw/zinc/44/66/64/408446664.db2.gz ULRWZKAXIKHICV-NEPJUHHUSA-N 1 2 323.443 1.765 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCS[C@H]2COCC[C@H]21 ZINC000247296982 408446668 /nfs/dbraw/zinc/44/66/68/408446668.db2.gz ULRWZKAXIKHICV-NEPJUHHUSA-N 1 2 323.443 1.765 20 30 DDEDLO CO/N=C/c1ccc(C(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)cc1 ZINC000160410714 408480845 /nfs/dbraw/zinc/48/08/45/408480845.db2.gz QKGQMBOQOVVRCA-MWJIIQFGSA-N 1 2 317.389 1.260 20 30 DDEDLO CO/N=C/c1ccc(C(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)cc1 ZINC000160410714 408480850 /nfs/dbraw/zinc/48/08/50/408480850.db2.gz QKGQMBOQOVVRCA-MWJIIQFGSA-N 1 2 317.389 1.260 20 30 DDEDLO N#Cc1ccc(C[N@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1F ZINC000192102698 408544986 /nfs/dbraw/zinc/54/49/86/408544986.db2.gz QXVUKMMUNDRCKY-CQSZACIVSA-N 1 2 308.378 1.849 20 30 DDEDLO N#Cc1ccc(C[N@@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1F ZINC000192102698 408544989 /nfs/dbraw/zinc/54/49/89/408544989.db2.gz QXVUKMMUNDRCKY-CQSZACIVSA-N 1 2 308.378 1.849 20 30 DDEDLO C[C@@H](CNC(=O)Cc1cccc(OCC#N)c1)Cn1cc[nH+]c1 ZINC000270481075 408545949 /nfs/dbraw/zinc/54/59/49/408545949.db2.gz IZEWJFNWLXCAKO-AWEZNQCLSA-N 1 2 312.373 1.780 20 30 DDEDLO CNC(=O)[C@@H](C)C[N@H+](C)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000177208180 408552945 /nfs/dbraw/zinc/55/29/45/408552945.db2.gz QSEULIPFXPQGFU-FZMZJTMJSA-N 1 2 303.362 1.086 20 30 DDEDLO CNC(=O)[C@@H](C)C[N@@H+](C)[C@H](C(=O)OC)c1cccc(C#N)c1 ZINC000177208180 408552947 /nfs/dbraw/zinc/55/29/47/408552947.db2.gz QSEULIPFXPQGFU-FZMZJTMJSA-N 1 2 303.362 1.086 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCC(C(=O)N2CCCCCC2)CC1 ZINC000192227856 408561226 /nfs/dbraw/zinc/56/12/26/408561226.db2.gz MZKGZSOIZYDZDL-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCC(C(=O)N2CCCCCC2)CC1 ZINC000192227856 408561232 /nfs/dbraw/zinc/56/12/32/408561232.db2.gz MZKGZSOIZYDZDL-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO N#Cc1nnc2ccccc2c1SCC[NH+]1CCOCC1 ZINC000270533280 408567503 /nfs/dbraw/zinc/56/75/03/408567503.db2.gz VCJFWJQMGQPLFY-UHFFFAOYSA-N 1 2 300.387 1.926 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](Cc3cn4ccccc4n3)CC2)n1 ZINC000265537867 408687558 /nfs/dbraw/zinc/68/75/58/408687558.db2.gz OJMDWQXMTDIZMU-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](CCOC)Cc1cccnc1 ZINC000276262197 408828067 /nfs/dbraw/zinc/82/80/67/408828067.db2.gz BIECTWTXTJINSE-UHFFFAOYSA-N 1 2 303.406 1.731 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](CCOC)Cc1cccnc1 ZINC000276262197 408828068 /nfs/dbraw/zinc/82/80/68/408828068.db2.gz BIECTWTXTJINSE-UHFFFAOYSA-N 1 2 303.406 1.731 20 30 DDEDLO C[C@@H](CCC#N)[NH+]1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000276331783 408837524 /nfs/dbraw/zinc/83/75/24/408837524.db2.gz RDPHGNASZSDDMD-ZDUSSCGKSA-N 1 2 315.373 1.865 20 30 DDEDLO COC(=O)[C@H]([NH2+]C1CCC(C#N)(c2ccccc2)CC1)[C@@H](C)O ZINC000276405220 408850672 /nfs/dbraw/zinc/85/06/72/408850672.db2.gz DMGPLVFMDSRCRC-ZFRYHDOHSA-N 1 2 316.401 1.903 20 30 DDEDLO C#CCN(CC#N)C(=O)[C@H](c1ccccc1)[NH+]1CCSCC1 ZINC000281616222 408897935 /nfs/dbraw/zinc/89/79/35/408897935.db2.gz XLAVLJKFJZBBSB-INIZCTEOSA-N 1 2 313.426 1.762 20 30 DDEDLO Cc1nsc(N2CC[NH+](CC3=CCCOC3)CC2)c1C#N ZINC000291700886 408909998 /nfs/dbraw/zinc/90/99/98/408909998.db2.gz ZBAWDEUQCCMNQY-UHFFFAOYSA-N 1 2 304.419 1.792 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCSC[C@H]2c2cnn(C)c2)C1=O ZINC000281500612 408889031 /nfs/dbraw/zinc/88/90/31/408889031.db2.gz YAZGCMINNCUVGM-KGLIPLIRSA-N 1 2 306.435 1.297 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCSC[C@H]2c2cnn(C)c2)C1=O ZINC000281500612 408889034 /nfs/dbraw/zinc/88/90/34/408889034.db2.gz YAZGCMINNCUVGM-KGLIPLIRSA-N 1 2 306.435 1.297 20 30 DDEDLO CCCOC(=O)[C@@H](C)[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292093431 408979415 /nfs/dbraw/zinc/97/94/15/408979415.db2.gz FRTIBIFUVBIDMA-OCCSQVGLSA-N 1 2 303.362 1.748 20 30 DDEDLO CCCOC(=O)[C@@H](C)[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292093431 408979417 /nfs/dbraw/zinc/97/94/17/408979417.db2.gz FRTIBIFUVBIDMA-OCCSQVGLSA-N 1 2 303.362 1.748 20 30 DDEDLO C=CCN1CC[C@@H]([NH2+][C@](C)(C(N)=O)c2cccc(Cl)c2)C1=O ZINC000282144979 408999982 /nfs/dbraw/zinc/99/99/82/408999982.db2.gz ZXBHLQAVTOFJBX-CJNGLKHVSA-N 1 2 321.808 1.417 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NCc1cc[nH+]c(N2CCCCC2)c1 ZINC000292538618 409036835 /nfs/dbraw/zinc/03/68/35/409036835.db2.gz SSZZPWIVEIJXGO-AWEZNQCLSA-N 1 2 304.394 1.418 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@H](C)C[NH+]2CCOCC2)cc1 ZINC000292596273 409037452 /nfs/dbraw/zinc/03/74/52/409037452.db2.gz JJXDNPRTTCJXJP-OAHLLOKOSA-N 1 2 300.402 1.437 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)c1ccc([N+](=O)[O-])cc1C#N ZINC000278939006 409116406 /nfs/dbraw/zinc/11/64/06/409116406.db2.gz WANDVMPTJCYWOO-GFCCVEGCSA-N 1 2 304.350 1.623 20 30 DDEDLO CCc1ccc(C(=O)N2CC[NH+](CCOCCC#N)CC2)cc1 ZINC000293566381 409138607 /nfs/dbraw/zinc/13/86/07/409138607.db2.gz SJNBREUFHPPMSB-UHFFFAOYSA-N 1 2 315.417 1.937 20 30 DDEDLO NC(CCO)=[NH+]OCc1ccc(Br)c([N+](=O)[O-])c1 ZINC000283590191 409180646 /nfs/dbraw/zinc/18/06/46/409180646.db2.gz SABWQWTYWDGDPY-UHFFFAOYSA-N 1 2 318.127 1.529 20 30 DDEDLO Cc1nc(C(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)ccc1C#N ZINC000279380132 409202507 /nfs/dbraw/zinc/20/25/07/409202507.db2.gz UCTXDHCRYGCHJL-LBPRGKRZSA-N 1 2 302.378 1.054 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2ccc(C(C)(C)C#N)cc2)CC1 ZINC000280643354 409390495 /nfs/dbraw/zinc/39/04/95/409390495.db2.gz YPADLCVQQXWRSM-UHFFFAOYSA-N 1 2 315.417 1.892 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000285053786 409394560 /nfs/dbraw/zinc/39/45/60/409394560.db2.gz FZXIOPOIMLZDAC-CXAGYDPISA-N 1 2 316.405 1.863 20 30 DDEDLO NC(=[NH+]OCCCOc1ccc2c(c1)OCO2)[C@H]1CCCO1 ZINC000284469696 409343733 /nfs/dbraw/zinc/34/37/33/409343733.db2.gz RGARRCTZXXXXNO-CYBMUJFWSA-N 1 2 308.334 1.652 20 30 DDEDLO CCOc1ccc(NC(=O)[C@@H](C)O[NH+]=C(N)[C@@H]2CCCO2)cc1 ZINC000284494767 409344163 /nfs/dbraw/zinc/34/41/63/409344163.db2.gz SOHUDZNDYZCCCB-RISCZKNCSA-N 1 2 321.377 1.880 20 30 DDEDLO C[NH+](C)[C@@H](CNS(=O)(=O)CC(C)(C)CC#N)c1ccco1 ZINC000295279898 409412595 /nfs/dbraw/zinc/41/25/95/409412595.db2.gz KLQPXKGLKDVEFD-LBPRGKRZSA-N 1 2 313.423 1.742 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC000314555936 164015465 /nfs/dbraw/zinc/01/54/65/164015465.db2.gz LGRXZEKAIYBJQB-ZDUSSCGKSA-N 1 2 310.394 1.954 20 30 DDEDLO CS(=O)(=O)C[C@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC000314555936 164015467 /nfs/dbraw/zinc/01/54/67/164015467.db2.gz LGRXZEKAIYBJQB-ZDUSSCGKSA-N 1 2 310.394 1.954 20 30 DDEDLO CCN1CCN(C(=O)NCc2cscn2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328644334 409944641 /nfs/dbraw/zinc/94/46/41/409944641.db2.gz ZCUYVZMEWMZQTH-GFCCVEGCSA-N 1 2 320.422 1.659 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)Nc3cccc4c3OCO4)C[C@H]21 ZINC000328933472 410013252 /nfs/dbraw/zinc/01/32/52/410013252.db2.gz BYENIYQKVOKPIZ-CHWSQXEVSA-N 1 2 319.361 1.366 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)Nc3cccc4c3OCO4)C[C@H]21 ZINC000328933472 410013257 /nfs/dbraw/zinc/01/32/57/410013257.db2.gz BYENIYQKVOKPIZ-CHWSQXEVSA-N 1 2 319.361 1.366 20 30 DDEDLO Cc1noc([C@@H]2C[N@@H+](Cc3cccc(C#N)c3F)CCN2C)n1 ZINC000332269675 410030060 /nfs/dbraw/zinc/03/00/60/410030060.db2.gz GKRRRVUGGXBLGN-AWEZNQCLSA-N 1 2 315.352 1.878 20 30 DDEDLO Cc1noc([C@@H]2C[N@H+](Cc3cccc(C#N)c3F)CCN2C)n1 ZINC000332269675 410030069 /nfs/dbraw/zinc/03/00/69/410030069.db2.gz GKRRRVUGGXBLGN-AWEZNQCLSA-N 1 2 315.352 1.878 20 30 DDEDLO CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000328871118 409999661 /nfs/dbraw/zinc/99/96/61/409999661.db2.gz IHCOHZTZRFEOHH-RQJABVFESA-N 1 2 324.425 1.060 20 30 DDEDLO CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000328871118 409999668 /nfs/dbraw/zinc/99/96/68/409999668.db2.gz IHCOHZTZRFEOHH-RQJABVFESA-N 1 2 324.425 1.060 20 30 DDEDLO C[C@H](C[C@@H]1CCCO1)NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000328891261 410002467 /nfs/dbraw/zinc/00/24/67/410002467.db2.gz SFFKHNZFLVMTRI-BARDWOONSA-N 1 2 311.426 1.263 20 30 DDEDLO C[C@H](C[C@@H]1CCCO1)NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000328891261 410002473 /nfs/dbraw/zinc/00/24/73/410002473.db2.gz SFFKHNZFLVMTRI-BARDWOONSA-N 1 2 311.426 1.263 20 30 DDEDLO C#CC[N@H+](Cc1ccccc1)[C@@H](C)C(=O)NC[C@H]1CCCO1 ZINC000297945359 410055909 /nfs/dbraw/zinc/05/59/09/410055909.db2.gz APQIYSNDNGTHFJ-DOTOQJQBSA-N 1 2 300.402 1.806 20 30 DDEDLO C#CC[N@@H+](Cc1ccccc1)[C@@H](C)C(=O)NC[C@H]1CCCO1 ZINC000297945359 410055915 /nfs/dbraw/zinc/05/59/15/410055915.db2.gz APQIYSNDNGTHFJ-DOTOQJQBSA-N 1 2 300.402 1.806 20 30 DDEDLO N#Cc1cc(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)c[nH]1 ZINC000346660427 410065233 /nfs/dbraw/zinc/06/52/33/410065233.db2.gz BEZXSYDZSNZSIR-UHFFFAOYSA-N 1 2 311.345 1.048 20 30 DDEDLO Cc1ncsc1C[NH+]1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000351630732 410118829 /nfs/dbraw/zinc/11/88/29/410118829.db2.gz RVHKPRFUMNNQHY-UHFFFAOYSA-N 1 2 316.434 1.687 20 30 DDEDLO Cc1nscc1C(=O)NCC(=O)NCC[NH+]1CCOCC1 ZINC000329157205 410133700 /nfs/dbraw/zinc/13/37/00/410133700.db2.gz WCQXOLHIIXTEQC-UHFFFAOYSA-N 1 2 312.395 1.045 20 30 DDEDLO CN(C)c1nccc(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)n1 ZINC000329215453 410171711 /nfs/dbraw/zinc/17/17/11/410171711.db2.gz MRUXDXMMVNNCET-NSHDSACASA-N 1 2 300.366 1.458 20 30 DDEDLO Cn1[nH]c(C[N@@H+]2CCC[C@H](C(=O)Nc3ccccc3)C2)nc1=O ZINC000329245264 410189244 /nfs/dbraw/zinc/18/92/44/410189244.db2.gz ACVSCYKCOPJGLB-LBPRGKRZSA-N 1 2 315.377 1.609 20 30 DDEDLO Cn1[nH]c(C[N@H+]2CCC[C@H](C(=O)Nc3ccccc3)C2)nc1=O ZINC000329245264 410189253 /nfs/dbraw/zinc/18/92/53/410189253.db2.gz ACVSCYKCOPJGLB-LBPRGKRZSA-N 1 2 315.377 1.609 20 30 DDEDLO CNS(=O)(=O)C1CC[NH+](Cc2cccc(C#N)c2F)CC1 ZINC000339499120 410239228 /nfs/dbraw/zinc/23/92/28/410239228.db2.gz ZCLZKRDOURILSM-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]=C([O-])N[C@H]2CCc3[nH]c[nH+]c3C2)s1 ZINC000329536989 410348446 /nfs/dbraw/zinc/34/84/46/410348446.db2.gz BIPTZVBBSCFBBT-CBAPKCEASA-N 1 2 306.395 1.692 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]=C([O-])N[C@H]2CCc3[nH+]c[nH]c3C2)s1 ZINC000329536989 410348452 /nfs/dbraw/zinc/34/84/52/410348452.db2.gz BIPTZVBBSCFBBT-CBAPKCEASA-N 1 2 306.395 1.692 20 30 DDEDLO Cc1ccc(NC(=O)NC[C@H]2CCCS(=O)(=O)C2)c(C)[nH+]1 ZINC000329434344 410294914 /nfs/dbraw/zinc/29/49/14/410294914.db2.gz JEFCODVUMRLRAK-GFCCVEGCSA-N 1 2 311.407 1.849 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCCC2)CN1C([O-])=[NH+][C@H]1CCc2[nH]c[nH+]c2C1 ZINC000329703639 410418642 /nfs/dbraw/zinc/41/86/42/410418642.db2.gz ILEZURLPAMPZBJ-RDBSUJKOSA-N 1 2 317.437 1.740 20 30 DDEDLO COC(=O)[C@@H]1CC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000298822781 410412370 /nfs/dbraw/zinc/41/23/70/410412370.db2.gz ZYBQBTKEDLSZOA-LLVKDONJSA-N 1 2 305.334 1.202 20 30 DDEDLO COC(=O)[C@@H]1CC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000298822781 410412378 /nfs/dbraw/zinc/41/23/78/410412378.db2.gz ZYBQBTKEDLSZOA-LLVKDONJSA-N 1 2 305.334 1.202 20 30 DDEDLO CSCCCCC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000352103213 410483008 /nfs/dbraw/zinc/48/30/08/410483008.db2.gz NKWCPNGAGNNEAO-UHFFFAOYSA-N 1 2 313.467 1.594 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cccc4cccnc43)C[C@H]21 ZINC000329950203 410495217 /nfs/dbraw/zinc/49/52/17/410495217.db2.gz MGHINTVYYFEIER-HUUCEWRRSA-N 1 2 312.373 1.795 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cccc4cccnc43)C[C@H]21 ZINC000329950203 410495223 /nfs/dbraw/zinc/49/52/23/410495223.db2.gz MGHINTVYYFEIER-HUUCEWRRSA-N 1 2 312.373 1.795 20 30 DDEDLO CC[C@](C)(C#N)C(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000355513976 410559861 /nfs/dbraw/zinc/55/98/61/410559861.db2.gz WEQRXVUOQRKMHH-QGZVFWFLSA-N 1 2 314.389 1.408 20 30 DDEDLO CC[C@](C)(C#N)C(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000355513976 410559864 /nfs/dbraw/zinc/55/98/64/410559864.db2.gz WEQRXVUOQRKMHH-QGZVFWFLSA-N 1 2 314.389 1.408 20 30 DDEDLO Cc1ccccc1CCNC(=O)C[NH+]1CCC(F)(C#N)CC1 ZINC000352491989 410662913 /nfs/dbraw/zinc/66/29/13/410662913.db2.gz ULVOCLOJIFAODV-UHFFFAOYSA-N 1 2 303.381 1.981 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3nccnc3C#N)c[nH+]2)C[C@@H](C)O1 ZINC000301145210 410664309 /nfs/dbraw/zinc/66/43/09/410664309.db2.gz AKIVPGWZOJMLAH-CHWSQXEVSA-N 1 2 324.388 1.969 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NS(=O)(=O)c1ccccc1C#N)C1CC1 ZINC000352616133 410671906 /nfs/dbraw/zinc/67/19/06/410671906.db2.gz KAFHMQYKJDQFSU-AWEZNQCLSA-N 1 2 316.386 1.721 20 30 DDEDLO CC[C@H](C(=O)OC)N1CC[NH+](Cc2ccccc2C#N)CC1 ZINC000352669068 410673649 /nfs/dbraw/zinc/67/36/49/410673649.db2.gz XDBUPLCWCATRQL-MRXNPFEDSA-N 1 2 301.390 1.627 20 30 DDEDLO C[C@]1([NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)CCO[C@H]1C1CC1 ZINC000330284741 410611179 /nfs/dbraw/zinc/61/11/79/410611179.db2.gz XYBNGTKBNVMCOL-INWMFGNUSA-N 1 2 304.394 1.659 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000352437536 410656570 /nfs/dbraw/zinc/65/65/70/410656570.db2.gz GYSHWPFAXZOLJK-QGZVFWFLSA-N 1 2 320.437 1.293 20 30 DDEDLO Cc1nc(N2CCC(C#N)CC2)nc(N2CCC(C#N)CC2)[nH+]1 ZINC000359528407 410710384 /nfs/dbraw/zinc/71/03/84/410710384.db2.gz CGVJZIJPEORVAL-UHFFFAOYSA-N 1 2 311.393 1.660 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNc2nccnc2C#N)cn1 ZINC000359661010 410801509 /nfs/dbraw/zinc/80/15/09/410801509.db2.gz DZXGDYCLYPWSSH-STQMWFEESA-N 1 2 315.356 1.106 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNc2nccnc2C#N)cn1 ZINC000359661010 410801515 /nfs/dbraw/zinc/80/15/15/410801515.db2.gz DZXGDYCLYPWSSH-STQMWFEESA-N 1 2 315.356 1.106 20 30 DDEDLO Cn1nccc1C[N@H+](C)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000348362513 410935203 /nfs/dbraw/zinc/93/52/03/410935203.db2.gz BVXTVCBQRHQFCL-INIZCTEOSA-N 1 2 309.373 1.529 20 30 DDEDLO Cn1nccc1C[N@@H+](C)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000348362513 410935210 /nfs/dbraw/zinc/93/52/10/410935210.db2.gz BVXTVCBQRHQFCL-INIZCTEOSA-N 1 2 309.373 1.529 20 30 DDEDLO CC[C@H](C(=O)OC)N1CC[NH+](Cc2ccc(C#N)s2)CC1 ZINC000348543068 411009926 /nfs/dbraw/zinc/00/99/26/411009926.db2.gz FMITWXWLPVBMNU-CQSZACIVSA-N 1 2 307.419 1.689 20 30 DDEDLO Cc1nc2[nH]ccc2c(N2CCN(c3ncccc3C#N)CC2)[nH+]1 ZINC000348722279 411064772 /nfs/dbraw/zinc/06/47/72/411064772.db2.gz UAZMIKNITZRZFF-UHFFFAOYSA-N 1 2 319.372 1.860 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC000636568736 422912713 /nfs/dbraw/zinc/91/27/13/422912713.db2.gz QGYJVHMLBWEJLV-UHFFFAOYSA-N 1 2 318.421 1.718 20 30 DDEDLO CN(C(C)(C)C[NH+]1CCOCC1)S(=O)(=O)CCCCC#N ZINC000416653539 225020264 /nfs/dbraw/zinc/02/02/64/225020264.db2.gz UHDXGEYXXPMXJO-UHFFFAOYSA-N 1 2 317.455 1.053 20 30 DDEDLO Cc1[nH+]c(C(C)C)ccc1CN(CC#N)S(=O)(=O)N(C)C ZINC000419007847 226003558 /nfs/dbraw/zinc/00/35/58/226003558.db2.gz SHCVYELPJVAVJK-UHFFFAOYSA-N 1 2 310.423 1.645 20 30 DDEDLO C=C(C)CS(=O)(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC000373820677 418462695 /nfs/dbraw/zinc/46/26/95/418462695.db2.gz XZFYGKCJANHKNC-UHFFFAOYSA-N 1 2 315.464 1.603 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)cc1O ZINC000188722536 222023702 /nfs/dbraw/zinc/02/37/02/222023702.db2.gz AMTWHHYNEMSGCH-CQSZACIVSA-N 1 2 308.341 1.668 20 30 DDEDLO N#Cc1ccc2ncc(C[N@@H+]3CCC(F)(F)[C@H](CO)C3)n2c1 ZINC000361057499 418574230 /nfs/dbraw/zinc/57/42/30/418574230.db2.gz ADTDWVFLGKAWNI-LBPRGKRZSA-N 1 2 306.316 1.655 20 30 DDEDLO N#Cc1ccc2ncc(C[N@H+]3CCC(F)(F)[C@H](CO)C3)n2c1 ZINC000361057499 418574233 /nfs/dbraw/zinc/57/42/33/418574233.db2.gz ADTDWVFLGKAWNI-LBPRGKRZSA-N 1 2 306.316 1.655 20 30 DDEDLO C=CC[N@H+](CCOC)CCOc1cccc(S(C)(=O)=O)c1 ZINC000189422169 222045564 /nfs/dbraw/zinc/04/55/64/222045564.db2.gz NCAOOHPESQMPLN-UHFFFAOYSA-N 1 2 313.419 1.603 20 30 DDEDLO C=CC[N@@H+](CCOC)CCOc1cccc(S(C)(=O)=O)c1 ZINC000189422169 222045565 /nfs/dbraw/zinc/04/55/65/222045565.db2.gz NCAOOHPESQMPLN-UHFFFAOYSA-N 1 2 313.419 1.603 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCO[C@H](c2ccc(CC)cc2)C1 ZINC000190311705 222076204 /nfs/dbraw/zinc/07/62/04/222076204.db2.gz GQLIJIVTRVLMPM-YOEHRIQHSA-N 1 2 300.402 1.760 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCO[C@H](c2ccc(CC)cc2)C1 ZINC000190311705 222076206 /nfs/dbraw/zinc/07/62/06/222076206.db2.gz GQLIJIVTRVLMPM-YOEHRIQHSA-N 1 2 300.402 1.760 20 30 DDEDLO N#CCC[N@H+](CCN1CCOCC1)C[C@@H](O)CC1CCCC1 ZINC000190628216 222085478 /nfs/dbraw/zinc/08/54/78/222085478.db2.gz NGXXVNPAPJDBBD-KRWDZBQOSA-N 1 2 309.454 1.475 20 30 DDEDLO N#CCC[N@@H+](CCN1CCOCC1)C[C@@H](O)CC1CCCC1 ZINC000190628216 222085480 /nfs/dbraw/zinc/08/54/80/222085480.db2.gz NGXXVNPAPJDBBD-KRWDZBQOSA-N 1 2 309.454 1.475 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@@H](O)CC1CCCC1 ZINC000190628216 222085481 /nfs/dbraw/zinc/08/54/81/222085481.db2.gz NGXXVNPAPJDBBD-KRWDZBQOSA-N 1 2 309.454 1.475 20 30 DDEDLO Cc1nnc(NC(=O)N[C@@H]2CCO[C@H](c3c[nH+]cn3C)C2)s1 ZINC000330068413 418610342 /nfs/dbraw/zinc/61/03/42/418610342.db2.gz VIWNROZEUPUNTP-KOLCDFICSA-N 1 2 322.394 1.826 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCc1ccc([N+](=O)[O-])cc1F ZINC000267022619 222366109 /nfs/dbraw/zinc/36/61/09/222366109.db2.gz RLDBGXGCKPAMSV-UHFFFAOYSA-N 1 2 312.301 1.437 20 30 DDEDLO COCC[C@](C)(C#N)NC(=O)[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000377373367 418709172 /nfs/dbraw/zinc/70/91/72/418709172.db2.gz NXVUASAEZADKTB-WMLDXEAASA-N 1 2 316.405 1.733 20 30 DDEDLO N#CC1(CCC[N@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC000377526923 418710685 /nfs/dbraw/zinc/71/06/85/418710685.db2.gz VQBVISVOZXPEKQ-CYBMUJFWSA-N 1 2 319.409 1.790 20 30 DDEDLO N#CC1(CCC[N@@H+]2CCC[C@@H](c3n[nH]c(=O)[nH]3)C2)CCOCC1 ZINC000377526923 418710688 /nfs/dbraw/zinc/71/06/88/418710688.db2.gz VQBVISVOZXPEKQ-CYBMUJFWSA-N 1 2 319.409 1.790 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC[N@H+](C)[C@@H](C)[C@@H]1C ZINC000361971751 418732828 /nfs/dbraw/zinc/73/28/28/418732828.db2.gz DQJOYWJPHHBKFF-RYUDHWBXSA-N 1 2 323.418 1.280 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CC[N@@H+](C)[C@@H](C)[C@@H]1C ZINC000361971751 418732829 /nfs/dbraw/zinc/73/28/29/418732829.db2.gz DQJOYWJPHHBKFF-RYUDHWBXSA-N 1 2 323.418 1.280 20 30 DDEDLO CN(C(=O)C[N@@H+]1CC[C@@H]2CCN(C)C[C@@H]21)C1(C#N)CCCCC1 ZINC000370204764 418742083 /nfs/dbraw/zinc/74/20/83/418742083.db2.gz TWXPCTPUNFEZJT-HOTGVXAUSA-N 1 2 318.465 1.697 20 30 DDEDLO CN(C(=O)C[N@H+]1CC[C@@H]2CCN(C)C[C@@H]21)C1(C#N)CCCCC1 ZINC000370204764 418742085 /nfs/dbraw/zinc/74/20/85/418742085.db2.gz TWXPCTPUNFEZJT-HOTGVXAUSA-N 1 2 318.465 1.697 20 30 DDEDLO N#CCC1(CNc2cc(N3CCC[C@@H](CO)C3)nc[nH+]2)CC1 ZINC000362366144 418753266 /nfs/dbraw/zinc/75/32/66/418753266.db2.gz SBBAICDTTPRUQG-CYBMUJFWSA-N 1 2 301.394 1.791 20 30 DDEDLO N#CCC1(CNc2cc(N3CCC[C@@H](CO)C3)[nH+]cn2)CC1 ZINC000362366144 418753268 /nfs/dbraw/zinc/75/32/68/418753268.db2.gz SBBAICDTTPRUQG-CYBMUJFWSA-N 1 2 301.394 1.791 20 30 DDEDLO C=C[C@H](C)NC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000362630230 418757589 /nfs/dbraw/zinc/75/75/89/418757589.db2.gz GRGYAENTFMZLSL-RDJZCZTQSA-N 1 2 317.433 1.804 20 30 DDEDLO COc1ccnc(N2CCC[N@H+](C[C@H](C#N)CCC#N)CC2)n1 ZINC000363021576 418761535 /nfs/dbraw/zinc/76/15/35/418761535.db2.gz UBBTYQAKFOBHAY-AWEZNQCLSA-N 1 2 314.393 1.441 20 30 DDEDLO COc1ccnc(N2CCC[N@@H+](C[C@H](C#N)CCC#N)CC2)n1 ZINC000363021576 418761536 /nfs/dbraw/zinc/76/15/36/418761536.db2.gz UBBTYQAKFOBHAY-AWEZNQCLSA-N 1 2 314.393 1.441 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCC[N@@H+]1CC(=O)N(CC)C[C@H](C)C#N ZINC000363852829 418769850 /nfs/dbraw/zinc/76/98/50/418769850.db2.gz OQBLGRYZICJIGV-CABCVRRESA-N 1 2 323.437 1.802 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCC[N@H+]1CC(=O)N(CC)C[C@H](C)C#N ZINC000363852829 418769852 /nfs/dbraw/zinc/76/98/52/418769852.db2.gz OQBLGRYZICJIGV-CABCVRRESA-N 1 2 323.437 1.802 20 30 DDEDLO N#Cc1cc(F)ccc1S(=O)(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000363978756 418772124 /nfs/dbraw/zinc/77/21/24/418772124.db2.gz QHWVVOUGTTWXSS-GFCCVEGCSA-N 1 2 320.349 1.946 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)CN1CCC[C@H]1c1[nH+]ccn1C ZINC000373175177 418930089 /nfs/dbraw/zinc/93/00/89/418930089.db2.gz FNZLIPSVUIGWMU-BBRMVZONSA-N 1 2 303.410 1.611 20 30 DDEDLO N#CCCC[C@H]([NH3+])C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000424191518 228243180 /nfs/dbraw/zinc/24/31/80/228243180.db2.gz NSIUNWHZGIUMGL-OLZOCXBDSA-N 1 2 316.409 1.409 20 30 DDEDLO N#Cc1cccc(NCC(=O)N2CC[NH+](Cc3ccco3)CC2)c1 ZINC000425966464 419372675 /nfs/dbraw/zinc/37/26/75/419372675.db2.gz LLXICUUQRKOQSE-UHFFFAOYSA-N 1 2 324.384 1.908 20 30 DDEDLO C[C@H]([NH2+][C@H]1CCN(c2ccc(C#N)cc2)C1=O)c1ccn(C)n1 ZINC000411829557 419428162 /nfs/dbraw/zinc/42/81/62/419428162.db2.gz XSTXBFNZLUDKHE-LRDDRELGSA-N 1 2 309.373 1.748 20 30 DDEDLO Cn1nccc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000299317077 229047140 /nfs/dbraw/zinc/04/71/40/229047140.db2.gz AVWBYCUIHXUPKF-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(c2ccnc(C#N)c2)C1 ZINC000418954485 420029338 /nfs/dbraw/zinc/02/93/38/420029338.db2.gz WPMGDEQMOIOFKI-KRWDZBQOSA-N 1 2 316.405 1.853 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[NH2+]C[C@@](C)(F)C2)ccc1C#N ZINC000420438704 420287394 /nfs/dbraw/zinc/28/73/94/420287394.db2.gz LQZFSVOAGRCQRP-CQSZACIVSA-N 1 2 311.382 1.189 20 30 DDEDLO Cc1cc(NC(=O)NCCn2cc[nH+]c2)c(C#N)cc1N(C)C ZINC000436499716 420332480 /nfs/dbraw/zinc/33/24/80/420332480.db2.gz SDUAFQWVEHCYKE-UHFFFAOYSA-N 1 2 312.377 1.951 20 30 DDEDLO C=C(C)C[N@@H+](C)CC(=O)NCc1ccc(S(C)(=O)=O)cc1 ZINC000425431782 420381463 /nfs/dbraw/zinc/38/14/63/420381463.db2.gz ABPZMFPAMYNYKA-UHFFFAOYSA-N 1 2 310.419 1.214 20 30 DDEDLO C=C(C)C[N@H+](C)CC(=O)NCc1ccc(S(C)(=O)=O)cc1 ZINC000425431782 420381469 /nfs/dbraw/zinc/38/14/69/420381469.db2.gz ABPZMFPAMYNYKA-UHFFFAOYSA-N 1 2 310.419 1.214 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)OCC ZINC000456621626 420516896 /nfs/dbraw/zinc/51/68/96/420516896.db2.gz DZUPDCFECJBRSL-CHWSQXEVSA-N 1 2 314.451 1.438 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC000457559221 420632528 /nfs/dbraw/zinc/63/25/28/420632528.db2.gz XYGXRPZVKBAQDB-BZUAXINKSA-N 1 2 309.454 1.110 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc(C#N)cc1[N+](=O)[O-] ZINC000441171019 420637185 /nfs/dbraw/zinc/63/71/85/420637185.db2.gz FFSWXPUTJNYBCF-LBPRGKRZSA-N 1 2 304.306 1.052 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc(C#N)cc1[N+](=O)[O-] ZINC000441171019 420637190 /nfs/dbraw/zinc/63/71/90/420637190.db2.gz FFSWXPUTJNYBCF-LBPRGKRZSA-N 1 2 304.306 1.052 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)NCC1CCC(C#N)CC1 ZINC000442726249 420704578 /nfs/dbraw/zinc/70/45/78/420704578.db2.gz BYLTVSAIOXHOPX-FHERZECASA-N 1 2 322.453 1.678 20 30 DDEDLO CC(=O)Nc1ccc(/C=C/C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000493284520 420807412 /nfs/dbraw/zinc/80/74/12/420807412.db2.gz BJXUZRLAXWFEDL-PYUISTEWSA-N 1 2 314.389 1.618 20 30 DDEDLO COc1ccc(/C=C/C(=O)N[C@](C)(C#N)C[NH+](C)C)c(OC)c1 ZINC000493459294 420856287 /nfs/dbraw/zinc/85/62/87/420856287.db2.gz VQAGWBQLTQJMAF-UGAXZCSASA-N 1 2 317.389 1.677 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000448969151 420925388 /nfs/dbraw/zinc/92/53/88/420925388.db2.gz UFVVGHACRCSMDE-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCOC[C@@H](O)C2)c(C(F)(F)F)c1 ZINC000449416625 421009210 /nfs/dbraw/zinc/00/92/10/421009210.db2.gz UWGJAQUAQZCQKS-LBPRGKRZSA-N 1 2 300.280 1.770 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCOC[C@@H](O)C2)c(C(F)(F)F)c1 ZINC000449416625 421009212 /nfs/dbraw/zinc/00/92/12/421009212.db2.gz UWGJAQUAQZCQKS-LBPRGKRZSA-N 1 2 300.280 1.770 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cnc(C#N)c(Cl)c1 ZINC000455797298 421063482 /nfs/dbraw/zinc/06/34/82/421063482.db2.gz VSUXUIMBMQYZTL-SNVBAGLBSA-N 1 2 323.784 1.449 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cnc(C#N)c(Cl)c1 ZINC000455797298 421063486 /nfs/dbraw/zinc/06/34/86/421063486.db2.gz VSUXUIMBMQYZTL-SNVBAGLBSA-N 1 2 323.784 1.449 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3ccncc3C#N)c[nH+]2)CCO1 ZINC000545240175 421244529 /nfs/dbraw/zinc/24/45/29/421244529.db2.gz MBYHHIWBMJEVPL-ZDUSSCGKSA-N 1 2 309.373 1.607 20 30 DDEDLO CC1(C#N)CC[NH+]([C@@H]2CC(=O)N(CC(F)(F)F)C2=O)CC1 ZINC000514991002 421470895 /nfs/dbraw/zinc/47/08/95/421470895.db2.gz HWRQYAHUQONGJV-SECBINFHSA-N 1 2 303.284 1.302 20 30 DDEDLO COc1cc2ccncc2cc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000563347786 421488119 /nfs/dbraw/zinc/48/81/19/421488119.db2.gz VVAXKRZHYANJLH-OAHLLOKOSA-N 1 2 315.373 1.829 20 30 DDEDLO COc1cc2ccncc2cc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000563347786 421488123 /nfs/dbraw/zinc/48/81/23/421488123.db2.gz VVAXKRZHYANJLH-OAHLLOKOSA-N 1 2 315.373 1.829 20 30 DDEDLO CC[C@H]1C[N@H+](CCNc2ncc3c(c2C#N)CCC3)CCO1 ZINC000516923262 421579406 /nfs/dbraw/zinc/57/94/06/421579406.db2.gz PIDTUELHVNQGQK-AWEZNQCLSA-N 1 2 300.406 1.965 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNc2ncc3c(c2C#N)CCC3)CCO1 ZINC000516923262 421579409 /nfs/dbraw/zinc/57/94/09/421579409.db2.gz PIDTUELHVNQGQK-AWEZNQCLSA-N 1 2 300.406 1.965 20 30 DDEDLO Cc1cc(Cl)ccc1S(=O)(=O)NCC[NH2+]CCC#N ZINC000564869537 421593791 /nfs/dbraw/zinc/59/37/91/421593791.db2.gz YZVMYEBHHDAQNB-UHFFFAOYSA-N 1 2 301.799 1.430 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000564885017 421594982 /nfs/dbraw/zinc/59/49/82/421594982.db2.gz NPIDGLQXPKYUGL-JONQDZQNSA-N 1 2 323.437 1.082 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000570012114 421628795 /nfs/dbraw/zinc/62/87/95/421628795.db2.gz UBUZLSWMBLAIAW-AAEUAGOBSA-N 1 2 321.812 1.734 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000570012114 421628799 /nfs/dbraw/zinc/62/87/99/421628799.db2.gz UBUZLSWMBLAIAW-AAEUAGOBSA-N 1 2 321.812 1.734 20 30 DDEDLO Cc1c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cnn1-c1ccccc1 ZINC000571169143 421694183 /nfs/dbraw/zinc/69/41/83/421694183.db2.gz FALDNXQRBUIJIK-KRWDZBQOSA-N 1 2 311.389 1.754 20 30 DDEDLO N#C[C@H]1COCCN1C(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000557632445 421782839 /nfs/dbraw/zinc/78/28/39/421782839.db2.gz UCMFLCIDYRKRLI-LSDHHAIUSA-N 1 2 310.357 1.683 20 30 DDEDLO CC#CCCNC(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000541745727 421803228 /nfs/dbraw/zinc/80/32/28/421803228.db2.gz GKXLGBAVCFOJCR-UHFFFAOYSA-N 1 2 316.401 1.592 20 30 DDEDLO CC#CCCNC(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000541745727 421803231 /nfs/dbraw/zinc/80/32/31/421803231.db2.gz GKXLGBAVCFOJCR-UHFFFAOYSA-N 1 2 316.401 1.592 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]C[C@@H](O)COc2ccc(C#N)cc2)o1 ZINC000544510761 421845314 /nfs/dbraw/zinc/84/53/14/421845314.db2.gz YOTBBRBKLNRFGG-GXFFZTMASA-N 1 2 302.334 1.340 20 30 DDEDLO C=CCCN(C)C(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000637411584 422200926 /nfs/dbraw/zinc/20/09/26/422200926.db2.gz PYWSCQWGDMRUQF-UHFFFAOYSA-N 1 2 305.426 2.538 20 30 DDEDLO N#Cc1cnnc(NC[C@H](c2ccccc2)[NH+]2CCOCC2)c1 ZINC000596071526 422361775 /nfs/dbraw/zinc/36/17/75/422361775.db2.gz PXZVUAHFJBXSIG-MRXNPFEDSA-N 1 2 309.373 1.834 20 30 DDEDLO C[C@H]([C@H](C)Nc1cc(C#N)nc(C2CC2)n1)[NH+]1CCOCC1 ZINC000601115068 422415945 /nfs/dbraw/zinc/41/59/45/422415945.db2.gz ZCGNTKFFURNATI-NWDGAFQWSA-N 1 2 301.394 1.747 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)[C@H](C)N1CC[NH2+]CC1=O ZINC000637951831 422504350 /nfs/dbraw/zinc/50/43/50/422504350.db2.gz HCXVKNINVSEGCU-HNNXBMFYSA-N 1 2 315.417 1.064 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@@H]1SCCc2ccccc21 ZINC000577928127 422454432 /nfs/dbraw/zinc/45/44/32/422454432.db2.gz CKJCLHXACOGNJG-GDBMZVCRSA-N 1 2 303.431 1.977 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000634509991 422463782 /nfs/dbraw/zinc/46/37/82/422463782.db2.gz IJZVZHIIYBWCAR-KRWDZBQOSA-N 1 2 302.422 1.766 20 30 DDEDLO C=CCOc1cccc(NC(=O)[C@H](C)N2CC[NH2+]CC2=O)c1 ZINC000637947983 422501934 /nfs/dbraw/zinc/50/19/34/422501934.db2.gz FJMYWRLGFBUWMG-LBPRGKRZSA-N 1 2 303.362 1.010 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)s1 ZINC000622144146 422537851 /nfs/dbraw/zinc/53/78/51/422537851.db2.gz UUWYEQKNLBCRNA-VXGBXAGGSA-N 1 2 324.450 1.580 20 30 DDEDLO C=CCNc1ncc(C(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)s1 ZINC000622144146 422537855 /nfs/dbraw/zinc/53/78/55/422537855.db2.gz UUWYEQKNLBCRNA-VXGBXAGGSA-N 1 2 324.450 1.580 20 30 DDEDLO C=CCn1cc(CNC(=O)[C@H](CC(C)C)n2cc[nH+]c2)nn1 ZINC000636056500 422602468 /nfs/dbraw/zinc/60/24/68/422602468.db2.gz PJIZWUJOSYZJPR-AWEZNQCLSA-N 1 2 302.382 1.564 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](CCOCC(F)F)CC2)c1C#N ZINC000349904229 269927313 /nfs/dbraw/zinc/92/73/13/269927313.db2.gz CDOMZEMLPTXPID-UHFFFAOYSA-N 1 2 313.352 1.004 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)NC2CCCCCC2)nn1 ZINC000640771459 423176257 /nfs/dbraw/zinc/17/62/57/423176257.db2.gz XPNLFLZOFHMUGH-UHFFFAOYSA-N 1 2 303.410 1.230 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N(C)c2ccccc2)nn1 ZINC000640806543 423201710 /nfs/dbraw/zinc/20/17/10/423201710.db2.gz BXQQAOUANUXNQO-CQSZACIVSA-N 1 2 311.389 1.615 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)n1cc(C[N@H+](C)CCOC)nn1 ZINC000640924396 423278237 /nfs/dbraw/zinc/27/82/37/423278237.db2.gz UYQQLNAXPKUGJK-AWEZNQCLSA-N 1 2 323.441 1.342 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)n1cc(C[N@@H+](C)CCOC)nn1 ZINC000640924396 423278241 /nfs/dbraw/zinc/27/82/41/423278241.db2.gz UYQQLNAXPKUGJK-AWEZNQCLSA-N 1 2 323.441 1.342 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc(C(F)(F)F)cn2)nn1 ZINC000641050831 423354030 /nfs/dbraw/zinc/35/40/30/423354030.db2.gz AYHCKGJMKJFXKS-UHFFFAOYSA-N 1 2 309.295 1.853 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H](c3ccnc(N)n3)C2)nn1 ZINC000653648098 423564650 /nfs/dbraw/zinc/56/46/50/423564650.db2.gz CIIBEORZIRRCFW-CYBMUJFWSA-N 1 2 313.409 1.606 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H](c3ccnc(N)n3)C2)nn1 ZINC000653648098 423564654 /nfs/dbraw/zinc/56/46/54/423564654.db2.gz CIIBEORZIRRCFW-CYBMUJFWSA-N 1 2 313.409 1.606 20 30 DDEDLO N#Cc1cncc(S(=O)(=O)NCc2cn3ccccc3[nH+]2)c1 ZINC000352241775 269991927 /nfs/dbraw/zinc/99/19/27/269991927.db2.gz NURPGBHAYNYKGJ-UHFFFAOYSA-N 1 2 313.342 1.079 20 30 DDEDLO COC(=O)c1cccc(C[NH2+]C(C)(C)C(=O)N(C)C)c1C#N ZINC000533761837 269995472 /nfs/dbraw/zinc/99/54/72/269995472.db2.gz SBKGQMGHRLVYRC-UHFFFAOYSA-N 1 2 303.362 1.301 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[NH+]2CCC(O)(CO)CC2)c1C ZINC000661618138 423992292 /nfs/dbraw/zinc/99/22/92/423992292.db2.gz VPIVSZJZZPPLPA-UHFFFAOYSA-N 1 2 306.406 1.293 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2cccnc2-n2cccn2)nn1 ZINC000657306836 424282012 /nfs/dbraw/zinc/28/20/12/424282012.db2.gz YVFJVCJEXUDTLV-UHFFFAOYSA-N 1 2 309.377 1.725 20 30 DDEDLO C=CCCn1cc(C[NH2+]CC(=O)N(C)C2CCCCC2)nn1 ZINC000657386862 424325279 /nfs/dbraw/zinc/32/52/79/424325279.db2.gz IZNMSUSWXFZMRV-UHFFFAOYSA-N 1 2 305.426 1.735 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000660707663 424752437 /nfs/dbraw/zinc/75/24/37/424752437.db2.gz QXPBGKBVFODMPY-XUWVNRHRSA-N 1 2 307.438 1.988 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@H+](Cc3ccc(C#N)s3)CCN2C1=O ZINC000362012362 266142470 /nfs/dbraw/zinc/14/24/70/266142470.db2.gz NWTIIYOWAOMDGU-LBPRGKRZSA-N 1 2 304.375 1.088 20 30 DDEDLO CCN1C(=O)[C@@H]2C[N@@H+](Cc3ccc(C#N)s3)CCN2C1=O ZINC000362012362 266142473 /nfs/dbraw/zinc/14/24/73/266142473.db2.gz NWTIIYOWAOMDGU-LBPRGKRZSA-N 1 2 304.375 1.088 20 30 DDEDLO CC[C@@H](C)[N@H+](CC(=O)OC)Cc1cnc2ccc(C#N)cn12 ZINC000342854957 266356559 /nfs/dbraw/zinc/35/65/59/266356559.db2.gz HGZMABHURDKDDG-GFCCVEGCSA-N 1 2 300.362 1.979 20 30 DDEDLO CC[C@@H](C)[N@@H+](CC(=O)OC)Cc1cnc2ccc(C#N)cn12 ZINC000342854957 266356563 /nfs/dbraw/zinc/35/65/63/266356563.db2.gz HGZMABHURDKDDG-GFCCVEGCSA-N 1 2 300.362 1.979 20 30 DDEDLO CC[C@@H](C)N(CC(=O)OC)Cc1c[nH+]c2ccc(C#N)cn12 ZINC000342854957 266356565 /nfs/dbraw/zinc/35/65/65/266356565.db2.gz HGZMABHURDKDDG-GFCCVEGCSA-N 1 2 300.362 1.979 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2C[C@@H](O)C3(CC3)C2)cc1Cl ZINC000373512073 268140743 /nfs/dbraw/zinc/14/07/43/268140743.db2.gz GQMWTRZOAFTGDJ-CYBMUJFWSA-N 1 2 305.765 1.607 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2C[C@@H](O)C3(CC3)C2)cc1Cl ZINC000373512073 268140747 /nfs/dbraw/zinc/14/07/47/268140747.db2.gz GQMWTRZOAFTGDJ-CYBMUJFWSA-N 1 2 305.765 1.607 20 30 DDEDLO N#Cc1cc(N2CC[NH+](Cc3cn4ccccc4n3)CC2)ncn1 ZINC000527130029 268184556 /nfs/dbraw/zinc/18/45/56/268184556.db2.gz PBISLKYVCZVPEU-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#Cc1cc(N2CCN(Cc3cn4ccccc4[nH+]3)CC2)ncn1 ZINC000527130029 268184559 /nfs/dbraw/zinc/18/45/59/268184559.db2.gz PBISLKYVCZVPEU-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)cn1 ZINC000375196808 268193009 /nfs/dbraw/zinc/19/30/09/268193009.db2.gz IQPFHUNSAGFXRF-LBPRGKRZSA-N 1 2 303.347 1.202 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCNc2cccc[nH+]2)cc1 ZINC000342783918 268202318 /nfs/dbraw/zinc/20/23/18/268202318.db2.gz VTKCPXFLNSPORV-UHFFFAOYSA-N 1 2 302.359 1.344 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@@H+]2CCOC[C@H]2[C@H]2CCCO2)cc1 ZINC000368516118 268214359 /nfs/dbraw/zinc/21/43/59/268214359.db2.gz XUQLBDJREAVLMZ-GVDBMIGSSA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1ccc([C@H](O)C[N@H+]2CCOC[C@H]2[C@H]2CCCO2)cc1 ZINC000368516118 268214362 /nfs/dbraw/zinc/21/43/62/268214362.db2.gz XUQLBDJREAVLMZ-GVDBMIGSSA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)NCCCF)CC2)cc1 ZINC000532265719 268218656 /nfs/dbraw/zinc/21/86/56/268218656.db2.gz ZDXFDXMUIVNLCN-UHFFFAOYSA-N 1 2 304.369 1.745 20 30 DDEDLO N#Cc1ccc2ncc(C[NH2+][C@@H](C(N)=O)c3ccc(F)cc3)n2c1 ZINC000342799963 268224799 /nfs/dbraw/zinc/22/47/99/268224799.db2.gz PAASMMWHYMSQOI-MRXNPFEDSA-N 1 2 323.331 1.661 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+]Cc2cnn3ccccc23)C(N)=O)cc1 ZINC000507216151 268263476 /nfs/dbraw/zinc/26/34/76/268263476.db2.gz XBSZBARCDSUPPN-INIZCTEOSA-N 1 2 305.341 1.522 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@@H]2c2ccc(=O)[nH]n2)c1 ZINC000528787127 268279531 /nfs/dbraw/zinc/27/95/31/268279531.db2.gz FZOOFLCDWGNJBN-OAHLLOKOSA-N 1 2 323.356 1.829 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCC[C@@H]2c2ccc(=O)[nH]n2)c1 ZINC000528787127 268279534 /nfs/dbraw/zinc/27/95/34/268279534.db2.gz FZOOFLCDWGNJBN-OAHLLOKOSA-N 1 2 323.356 1.829 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNc1nc2cc(Cl)ccn2c1C#N ZINC000341985527 271267147 /nfs/dbraw/zinc/26/71/47/271267147.db2.gz NBLMRGMXLMTLRY-NSHDSACASA-N 1 2 319.796 1.992 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNc1nc2cc(Cl)ccn2c1C#N ZINC000341985527 271267152 /nfs/dbraw/zinc/26/71/52/271267152.db2.gz NBLMRGMXLMTLRY-NSHDSACASA-N 1 2 319.796 1.992 20 30 DDEDLO CN1C[C@@H]2[C@@H](CCC[N@@H+]2Cc2c(C#N)cccc2[N+](=O)[O-])C1=O ZINC000459474406 277200492 /nfs/dbraw/zinc/20/04/92/277200492.db2.gz YASTYUVVGCBJDB-IUODEOHRSA-N 1 2 314.345 1.519 20 30 DDEDLO CN1C[C@@H]2[C@@H](CCC[N@H+]2Cc2c(C#N)cccc2[N+](=O)[O-])C1=O ZINC000459474406 277200494 /nfs/dbraw/zinc/20/04/94/277200494.db2.gz YASTYUVVGCBJDB-IUODEOHRSA-N 1 2 314.345 1.519 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(C#N)s1 ZINC000332130329 278493246 /nfs/dbraw/zinc/49/32/46/278493246.db2.gz NGTBPYAWOIDLME-RYUDHWBXSA-N 1 2 305.403 1.555 20 30 DDEDLO Cc1oc(NC(=O)C[NH+]2[C@H](C)CC(O)C[C@H]2C)c(C#N)c1C ZINC000191251280 279273801 /nfs/dbraw/zinc/27/38/01/279273801.db2.gz ZZRCPALQXFHERU-NXEZZACHSA-N 1 2 305.378 1.940 20 30 DDEDLO CC[C@@H](C(N)=O)[NH+]1CCN([C@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348331218 280090497 /nfs/dbraw/zinc/09/04/97/280090497.db2.gz QPQVISZFMSYAFG-LSDHHAIUSA-N 1 2 320.824 1.786 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1C#N ZINC000427045099 281234180 /nfs/dbraw/zinc/23/41/80/281234180.db2.gz KDJIQMLIJDPJRO-GFCCVEGCSA-N 1 2 323.356 1.133 20 30 DDEDLO N#Cc1cccc(NC(=O)CSCC[NH+]2CCOCC2)c1 ZINC000127366232 281272339 /nfs/dbraw/zinc/27/23/39/281272339.db2.gz XIHJKWPWICFTDZ-UHFFFAOYSA-N 1 2 305.403 1.562 20 30 DDEDLO C[N@@H+]1CCO[C@H](C(=O)NC2(C#N)CCC(C(C)(C)C)CC2)C1 ZINC000183902848 290104319 /nfs/dbraw/zinc/10/43/19/290104319.db2.gz DZRDACMALZTIBZ-UUCFBXCCSA-N 1 2 307.438 1.932 20 30 DDEDLO C[N@H+]1CCO[C@H](C(=O)NC2(C#N)CCC(C(C)(C)C)CC2)C1 ZINC000183902848 290104323 /nfs/dbraw/zinc/10/43/23/290104323.db2.gz DZRDACMALZTIBZ-UUCFBXCCSA-N 1 2 307.438 1.932 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[NH2+]C[C@@](C)(F)C1 ZINC000420437908 301488648 /nfs/dbraw/zinc/48/86/48/301488648.db2.gz KLIJJGAFQCYHRL-CQSZACIVSA-N 1 2 311.382 1.189 20 30 DDEDLO N#Cc1cncc(NC[C@H]2C[N@H+](Cc3ccccc3)CCO2)n1 ZINC000401317427 302371454 /nfs/dbraw/zinc/37/14/54/302371454.db2.gz DJWRVKVODWQGOU-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1cncc(NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)n1 ZINC000401317427 302371456 /nfs/dbraw/zinc/37/14/56/302371456.db2.gz DJWRVKVODWQGOU-INIZCTEOSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1cc(F)ccc1S(=O)(=O)N1CC[NH+](C2CC2)CC1 ZINC000151907545 303183691 /nfs/dbraw/zinc/18/36/91/303183691.db2.gz YFTHUAQZGIFCNM-UHFFFAOYSA-N 1 2 309.366 1.166 20 30 DDEDLO N#Cc1cccc([C@@H]2CN(C(=O)CCc3c[nH+]c[nH]3)CCO2)c1 ZINC000373597146 307191370 /nfs/dbraw/zinc/19/13/70/307191370.db2.gz WLHCZDSULLIHEI-INIZCTEOSA-N 1 2 310.357 1.814 20 30 DDEDLO N#Cc1cccc([C@@H]2CN(C(=O)CCc3c[nH]c[nH+]3)CCO2)c1 ZINC000373597146 307191371 /nfs/dbraw/zinc/19/13/71/307191371.db2.gz WLHCZDSULLIHEI-INIZCTEOSA-N 1 2 310.357 1.814 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)Cc2c(F)cccc2C#N)C1 ZINC000560602990 307916443 /nfs/dbraw/zinc/91/64/43/307916443.db2.gz NLIVNHSREMCLIK-CYBMUJFWSA-N 1 2 312.348 1.989 20 30 DDEDLO C[C@H](CC(=O)N1CC(=O)Nc2ccc(C#N)cc21)n1cc[nH+]c1 ZINC000564804838 308007012 /nfs/dbraw/zinc/00/70/12/308007012.db2.gz XBZONBDWUYLBNJ-LLVKDONJSA-N 1 2 309.329 1.691 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ncccc3[N+](=O)[O-])CC2)nc1 ZINC000573860022 308253722 /nfs/dbraw/zinc/25/37/22/308253722.db2.gz CGEHSXRKIRUSGO-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc2c(c1)OCCCO2 ZINC000584088808 331682510 /nfs/dbraw/zinc/68/25/10/331682510.db2.gz GGYSICROIJAWQO-INIZCTEOSA-N 1 2 303.362 1.422 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000078269229 331961087 /nfs/dbraw/zinc/96/10/87/331961087.db2.gz HXQZDYRXNLOBBC-NVXWUHKLSA-N 1 2 312.417 1.560 20 30 DDEDLO CC1(C#N)CCN(C(=O)C(=O)Nc2ccc3[nH+]ccn3c2)CC1 ZINC000584250703 332013255 /nfs/dbraw/zinc/01/32/55/332013255.db2.gz CKWSWJZCSCATCC-UHFFFAOYSA-N 1 2 311.345 1.425 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)N(C)[C@H](C)CC#N ZINC000118990356 332359720 /nfs/dbraw/zinc/35/97/20/332359720.db2.gz BJEGDQFQTLJNDA-GFCCVEGCSA-N 1 2 317.393 1.993 20 30 DDEDLO C=CC[N@@H+](CCOc1ccc(F)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000108870915 333211590 /nfs/dbraw/zinc/21/15/90/333211590.db2.gz MHYRGSKMMMIRLU-AWEZNQCLSA-N 1 2 313.394 1.880 20 30 DDEDLO C=CC[N@H+](CCOc1ccc(F)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000108870915 333211592 /nfs/dbraw/zinc/21/15/92/333211592.db2.gz MHYRGSKMMMIRLU-AWEZNQCLSA-N 1 2 313.394 1.880 20 30 DDEDLO CC(=O)N1CCC(NC(=O)C[N@H+](CCC#N)CC(C)(C)C)CC1 ZINC000109813815 333326618 /nfs/dbraw/zinc/32/66/18/333326618.db2.gz GUBBRPDJNUGHSV-UHFFFAOYSA-N 1 2 322.453 1.375 20 30 DDEDLO CC(=O)N1CCC(NC(=O)C[N@@H+](CCC#N)CC(C)(C)C)CC1 ZINC000109813815 333326619 /nfs/dbraw/zinc/32/66/19/333326619.db2.gz GUBBRPDJNUGHSV-UHFFFAOYSA-N 1 2 322.453 1.375 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCC(Oc3ccccn3)CC2)CCC1 ZINC000525886447 335142044 /nfs/dbraw/zinc/14/20/44/335142044.db2.gz VQPWLEJXRSLXEM-UHFFFAOYSA-N 1 2 314.389 1.487 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCC(O)(c2c(F)cccc2F)CC1 ZINC000186490668 335158355 /nfs/dbraw/zinc/15/83/55/335158355.db2.gz SIZCLCFUIYROIH-GFCCVEGCSA-N 1 2 324.371 1.939 20 30 DDEDLO C#CC[N@@H+](CCCOc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000104093269 336245555 /nfs/dbraw/zinc/24/55/55/336245555.db2.gz BISBBCNCGGNKGG-HNNXBMFYSA-N 1 2 307.415 1.578 20 30 DDEDLO C#CC[N@H+](CCCOc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000104093269 336245556 /nfs/dbraw/zinc/24/55/56/336245556.db2.gz BISBBCNCGGNKGG-HNNXBMFYSA-N 1 2 307.415 1.578 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+][C@H](c1ccccc1)c1ccccn1 ZINC000025418660 337386656 /nfs/dbraw/zinc/38/66/56/337386656.db2.gz QGBFHQFCLBIFPU-QGZVFWFLSA-N 1 2 324.384 1.772 20 30 DDEDLO CCc1ccccc1NC(=O)CNC(=O)C[NH2+][C@H](CC)CC#N ZINC000564583385 341504466 /nfs/dbraw/zinc/50/44/66/341504466.db2.gz GGMFGFCORWRGDH-CQSZACIVSA-N 1 2 316.405 1.586 20 30 DDEDLO CC(C)NC(=O)c1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000566624041 341563602 /nfs/dbraw/zinc/56/36/02/341563602.db2.gz YCWPBILCXUWTFT-KRWDZBQOSA-N 1 2 316.405 1.398 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1csc([C@@H]2CCCO2)n1 ZINC000566741227 341566709 /nfs/dbraw/zinc/56/67/09/341566709.db2.gz UJTVRNRMGMCKBQ-FZMZJTMJSA-N 1 2 308.407 1.568 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@H](C)C(=O)NCc2ccccc2)nn1 ZINC000657327316 484345784 /nfs/dbraw/zinc/34/57/84/484345784.db2.gz IRCMCRIHKBTKNC-CQSZACIVSA-N 1 2 313.405 1.649 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCc1nc(C[NH+]2CCCCC2)no1 ZINC000670398587 484805371 /nfs/dbraw/zinc/80/53/71/484805371.db2.gz BJAFGVRUWSQKIB-CYBMUJFWSA-N 1 2 322.409 1.653 20 30 DDEDLO C=CC[C@H]1CCC[N@@H+](Cc2nccn2S(=O)(=O)N(C)C)C1 ZINC000668493191 485184568 /nfs/dbraw/zinc/18/45/68/485184568.db2.gz KTAQIQXCAOOMKF-ZDUSSCGKSA-N 1 2 312.439 1.326 20 30 DDEDLO C=CC[C@H]1CCC[N@H+](Cc2nccn2S(=O)(=O)N(C)C)C1 ZINC000668493191 485184572 /nfs/dbraw/zinc/18/45/72/485184572.db2.gz KTAQIQXCAOOMKF-ZDUSSCGKSA-N 1 2 312.439 1.326 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000684796484 486431381 /nfs/dbraw/zinc/43/13/81/486431381.db2.gz SVEUQDFRAVTQMF-LSDHHAIUSA-N 1 2 323.437 1.132 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000677476531 486476124 /nfs/dbraw/zinc/47/61/24/486476124.db2.gz SYVAMDSNWWIQIF-CQSZACIVSA-N 1 2 318.373 1.110 20 30 DDEDLO C=C(C)[C@@H](CO)[N@@H+]1CCN(Cc2cccc(Cl)c2)C(=O)C1 ZINC000678050239 486617561 /nfs/dbraw/zinc/61/75/61/486617561.db2.gz WMSJUNBZOXDYBX-OAHLLOKOSA-N 1 2 308.809 1.921 20 30 DDEDLO C=C(C)[C@@H](CO)[N@H+]1CCN(Cc2cccc(Cl)c2)C(=O)C1 ZINC000678050239 486617566 /nfs/dbraw/zinc/61/75/66/486617566.db2.gz WMSJUNBZOXDYBX-OAHLLOKOSA-N 1 2 308.809 1.921 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)cc1OC ZINC000329917524 534023453 /nfs/dbraw/zinc/02/34/53/534023453.db2.gz FJVLQLSVDCRUJG-IUODEOHRSA-N 1 2 321.377 1.264 20 30 DDEDLO COc1ccc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)cc1OC ZINC000329917524 534023458 /nfs/dbraw/zinc/02/34/58/534023458.db2.gz FJVLQLSVDCRUJG-IUODEOHRSA-N 1 2 321.377 1.264 20 30 DDEDLO Cc1cc(C)c(CNC(=O)N2CCn3cc[nH+]c3C2)c(=O)[nH]1 ZINC000329858775 534064925 /nfs/dbraw/zinc/06/49/25/534064925.db2.gz WHGQRRBXAOZXNH-UHFFFAOYSA-N 1 2 301.350 1.530 20 30 DDEDLO COC(=O)c1ccc(OC)cc1OC[C@H](O)C[N@H+](C)CCC#N ZINC000414153314 534233837 /nfs/dbraw/zinc/23/38/37/534233837.db2.gz YGPMPNBHNUHJBH-GFCCVEGCSA-N 1 2 322.361 1.067 20 30 DDEDLO COC(=O)c1ccc(OC)cc1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000414153314 534233840 /nfs/dbraw/zinc/23/38/40/534233840.db2.gz YGPMPNBHNUHJBH-GFCCVEGCSA-N 1 2 322.361 1.067 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCCN(C(=O)CCCC#N)CC2)no1 ZINC000331447836 534345693 /nfs/dbraw/zinc/34/56/93/534345693.db2.gz LIYZMUMEBAMVJM-AWEZNQCLSA-N 1 2 319.409 1.885 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCCN(C(=O)CCCC#N)CC2)no1 ZINC000331447836 534345697 /nfs/dbraw/zinc/34/56/97/534345697.db2.gz LIYZMUMEBAMVJM-AWEZNQCLSA-N 1 2 319.409 1.885 20 30 DDEDLO N#CC1CCC(CNC(=O)NCC2([NH+]3CCOCC3)CC2)CC1 ZINC000333015322 534580365 /nfs/dbraw/zinc/58/03/65/534580365.db2.gz BXMNHCWNWQEXQN-UHFFFAOYSA-N 1 2 320.437 1.480 20 30 DDEDLO CCN1CC[NH+]([C@H](C)CNc2ccc([N+](=O)[O-])c(C#N)c2)CC1 ZINC000157674643 521695067 /nfs/dbraw/zinc/69/50/67/521695067.db2.gz WAQVSMBGSQNNGX-CYBMUJFWSA-N 1 2 317.393 1.904 20 30 DDEDLO Cn1cc[nH+]c1CN1C[C@@H](F)C[C@H]1CNC(=O)C[C@@H]1CCOC1 ZINC000329893404 534787255 /nfs/dbraw/zinc/78/72/55/534787255.db2.gz AOUFMCNNHVWMRA-IHRRRGAJSA-N 1 2 324.400 1.716 20 30 DDEDLO N#Cc1ccc(N2CCN(c3cc(NC4CC4)[nH+]cn3)CC2)nc1 ZINC000413074977 534792564 /nfs/dbraw/zinc/79/25/64/534792564.db2.gz SQNMLDPASMYCJN-UHFFFAOYSA-N 1 2 321.388 1.644 20 30 DDEDLO N#Cc1ccc(N2CCN(c3cc(NC4CC4)nc[nH+]3)CC2)nc1 ZINC000413074977 534792567 /nfs/dbraw/zinc/79/25/67/534792567.db2.gz SQNMLDPASMYCJN-UHFFFAOYSA-N 1 2 321.388 1.644 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2cccc(Cl)c2C)C1 ZINC000330958317 526402925 /nfs/dbraw/zinc/40/29/25/526402925.db2.gz WORLUVGWLWSREY-NSHDSACASA-N 1 2 324.812 1.795 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2cccc(Cl)c2C)C1 ZINC000330958317 526402928 /nfs/dbraw/zinc/40/29/28/526402928.db2.gz WORLUVGWLWSREY-NSHDSACASA-N 1 2 324.812 1.795 20 30 DDEDLO C=CCNC(=O)CNC(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000346741072 526555541 /nfs/dbraw/zinc/55/55/41/526555541.db2.gz DAWCRTVUJCRKHW-UHFFFAOYSA-N 1 2 321.768 1.089 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+](C)Cc2ccc(F)c(F)c2)CC1 ZINC000490760462 526948782 /nfs/dbraw/zinc/94/87/82/526948782.db2.gz LVEGAJVMMOPJOS-UHFFFAOYSA-N 1 2 321.371 1.174 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+](C)Cc2ccc(F)c(F)c2)CC1 ZINC000490760462 526948788 /nfs/dbraw/zinc/94/87/88/526948788.db2.gz LVEGAJVMMOPJOS-UHFFFAOYSA-N 1 2 321.371 1.174 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2CCCOCC)CC1 ZINC000491630633 526955575 /nfs/dbraw/zinc/95/55/75/526955575.db2.gz NJZOKNIWECUAPI-UHFFFAOYSA-N 1 2 305.426 1.022 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2Cc2ccccc2)CC1 ZINC000491708838 526956523 /nfs/dbraw/zinc/95/65/23/526956523.db2.gz VCHVTFMBUZMOMG-UHFFFAOYSA-N 1 2 309.417 1.644 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCCS3)n2CC)CC1 ZINC000491474638 526957803 /nfs/dbraw/zinc/95/78/03/526957803.db2.gz ONFUVQFOJFMZAC-CYBMUJFWSA-N 1 2 305.451 1.621 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCN([C@@H](C)c2ccc(F)c(F)c2)CC1 ZINC000491165890 527003172 /nfs/dbraw/zinc/00/31/72/527003172.db2.gz NYPZFUSREBCEAG-ZDUSSCGKSA-N 1 2 321.371 1.393 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@H](C)c1ncc(Br)cn1 ZINC000491363126 527022552 /nfs/dbraw/zinc/02/25/52/527022552.db2.gz XYQBYIDLJZNDTE-RKDXNWHRSA-N 1 2 311.183 1.028 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000341825279 527064940 /nfs/dbraw/zinc/06/49/40/527064940.db2.gz QJMZEMMFEKMTFR-OAHLLOKOSA-N 1 2 314.389 1.856 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@@H]2CC3(CC3)C(=O)O2)n1 ZINC000491738118 527189835 /nfs/dbraw/zinc/18/98/35/527189835.db2.gz WJHYNRZFGRLYIT-HNNXBMFYSA-N 1 2 317.389 1.060 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@@H]2CC3(CC3)C(=O)O2)n1 ZINC000491738118 527189838 /nfs/dbraw/zinc/18/98/38/527189838.db2.gz WJHYNRZFGRLYIT-HNNXBMFYSA-N 1 2 317.389 1.060 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000491622953 527236488 /nfs/dbraw/zinc/23/64/88/527236488.db2.gz FMOKNGVCZREQOD-DLBZAZTESA-N 1 2 315.417 1.598 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000491622953 527236491 /nfs/dbraw/zinc/23/64/91/527236491.db2.gz FMOKNGVCZREQOD-DLBZAZTESA-N 1 2 315.417 1.598 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000491814341 527309842 /nfs/dbraw/zinc/30/98/42/527309842.db2.gz MTDJMKOEOPYPHZ-CYBMUJFWSA-N 1 2 317.345 1.566 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000491814341 527309845 /nfs/dbraw/zinc/30/98/45/527309845.db2.gz MTDJMKOEOPYPHZ-CYBMUJFWSA-N 1 2 317.345 1.566 20 30 DDEDLO C#C[C@H](CCC)NC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000491749686 527367510 /nfs/dbraw/zinc/36/75/10/527367510.db2.gz ZPDXUHLEPJBIDA-OAHLLOKOSA-N 1 2 300.406 1.122 20 30 DDEDLO C#C[C@H](NC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1)C(C)(C)C ZINC000491771530 527387251 /nfs/dbraw/zinc/38/72/51/527387251.db2.gz OQLUQPQPYXYTNY-KBPBESRZSA-N 1 2 317.437 1.846 20 30 DDEDLO C=CCC[C@@H](NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)OCC ZINC000456621636 527444246 /nfs/dbraw/zinc/44/42/46/527444246.db2.gz DZUPDCFECJBRSL-QWHCGFSZSA-N 1 2 314.451 1.438 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](CC(N)=O)[C@@H](C)c1ccccc1 ZINC000342067829 527606350 /nfs/dbraw/zinc/60/63/50/527606350.db2.gz JXTXQXNFVUGVHF-HNNXBMFYSA-N 1 2 315.417 1.736 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](CC(N)=O)[C@@H](C)c1ccccc1 ZINC000342067829 527606353 /nfs/dbraw/zinc/60/63/53/527606353.db2.gz JXTXQXNFVUGVHF-HNNXBMFYSA-N 1 2 315.417 1.736 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000490579478 529105137 /nfs/dbraw/zinc/10/51/37/529105137.db2.gz VIUJCUOYFHILAB-XJKSGUPXSA-N 1 2 322.409 1.005 20 30 DDEDLO CCOC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000490579478 529105143 /nfs/dbraw/zinc/10/51/43/529105143.db2.gz VIUJCUOYFHILAB-XJKSGUPXSA-N 1 2 322.409 1.005 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)N[C@@H]2CCCSC2)CCO1 ZINC000330152902 529116055 /nfs/dbraw/zinc/11/60/55/529116055.db2.gz MILUNDQFTQCWQL-GFCCVEGCSA-N 1 2 301.456 1.496 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)N[C@@H]2CCCSC2)CCO1 ZINC000330152902 529116053 /nfs/dbraw/zinc/11/60/53/529116053.db2.gz MILUNDQFTQCWQL-GFCCVEGCSA-N 1 2 301.456 1.496 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)NC[C@H]2C[N@H+](C)CCO2)c1C ZINC000331102592 529290975 /nfs/dbraw/zinc/29/09/75/529290975.db2.gz DTERAWUZGCTQFI-AAEUAGOBSA-N 1 2 309.414 1.819 20 30 DDEDLO CC[C@H](C)n1ncc(NC(=O)NC[C@H]2C[N@@H+](C)CCO2)c1C ZINC000331102592 529290977 /nfs/dbraw/zinc/29/09/77/529290977.db2.gz DTERAWUZGCTQFI-AAEUAGOBSA-N 1 2 309.414 1.819 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCn3cncc3C2)C1 ZINC000971811038 695100432 /nfs/dbraw/zinc/10/04/32/695100432.db2.gz OGIKRMZGBVZEBE-ZIAGYGMSSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCn3cncc3C2)C1 ZINC000971811038 695100433 /nfs/dbraw/zinc/10/04/33/695100433.db2.gz OGIKRMZGBVZEBE-ZIAGYGMSSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3ccco3)C2)C1 ZINC000972252644 695191277 /nfs/dbraw/zinc/19/12/77/695191277.db2.gz IHVDFXXALDSZTO-QGZVFWFLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3ccco3)C2)C1 ZINC000972252644 695191279 /nfs/dbraw/zinc/19/12/79/695191279.db2.gz IHVDFXXALDSZTO-QGZVFWFLSA-N 1 2 304.390 1.702 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CC(F)(F)C3)C2)C1 ZINC000972331925 695219113 /nfs/dbraw/zinc/21/91/13/695219113.db2.gz IQLIXUXIPJPWHW-OAHLLOKOSA-N 1 2 312.360 1.358 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CC(F)(F)C3)C2)C1 ZINC000972331925 695219115 /nfs/dbraw/zinc/21/91/15/695219115.db2.gz IQLIXUXIPJPWHW-OAHLLOKOSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(C)o3)C2)C1 ZINC000972347727 695223530 /nfs/dbraw/zinc/22/35/30/695223530.db2.gz XTFTWEQDVPRRQQ-QGZVFWFLSA-N 1 2 302.374 1.067 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(C)o3)C2)C1 ZINC000972347727 695223532 /nfs/dbraw/zinc/22/35/32/695223532.db2.gz XTFTWEQDVPRRQQ-QGZVFWFLSA-N 1 2 302.374 1.067 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)/C=C\c3ccc[nH]3)C2)C1 ZINC000972358026 695227012 /nfs/dbraw/zinc/22/70/12/695227012.db2.gz PDQRUFLYSHEWTK-HPFMTEOYSA-N 1 2 313.401 1.355 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)/C=C\c3ccc[nH]3)C2)C1 ZINC000972358026 695227015 /nfs/dbraw/zinc/22/70/15/695227015.db2.gz PDQRUFLYSHEWTK-HPFMTEOYSA-N 1 2 313.401 1.355 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@]3(C)C=CCC3)C2)C1 ZINC000972499005 695265950 /nfs/dbraw/zinc/26/59/50/695265950.db2.gz UWNCTSMGHDOGRR-ROUUACIJSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@]3(C)C=CCC3)C2)C1 ZINC000972499005 695265952 /nfs/dbraw/zinc/26/59/52/695265952.db2.gz UWNCTSMGHDOGRR-ROUUACIJSA-N 1 2 302.418 1.669 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)Cc3ccco3)C2)C1 ZINC000972592023 695292681 /nfs/dbraw/zinc/29/26/81/695292681.db2.gz HFVOUGDPMAPACJ-CRAIPNDOSA-N 1 2 318.417 1.948 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)Cc3ccco3)C2)C1 ZINC000972592023 695292683 /nfs/dbraw/zinc/29/26/83/695292683.db2.gz HFVOUGDPMAPACJ-CRAIPNDOSA-N 1 2 318.417 1.948 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3nc(CC)oc3C)C2)C1 ZINC000972595787 695293542 /nfs/dbraw/zinc/29/35/42/695293542.db2.gz FWEVMHGIJFSYHJ-QGZVFWFLSA-N 1 2 319.405 1.648 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3nc(CC)oc3C)C2)C1 ZINC000972595787 695293543 /nfs/dbraw/zinc/29/35/43/695293543.db2.gz FWEVMHGIJFSYHJ-QGZVFWFLSA-N 1 2 319.405 1.648 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cncc(Cl)c3)C2)C1 ZINC000972596103 695294154 /nfs/dbraw/zinc/29/41/54/695294154.db2.gz HXQHBZKSNNQCOC-INIZCTEOSA-N 1 2 321.808 1.838 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cncc(Cl)c3)C2)C1 ZINC000972596103 695294155 /nfs/dbraw/zinc/29/41/55/695294155.db2.gz HXQHBZKSNNQCOC-INIZCTEOSA-N 1 2 321.808 1.838 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)cc3C)C2)C1 ZINC000972648193 695308590 /nfs/dbraw/zinc/30/85/90/695308590.db2.gz YAKYSCPBHSEKFZ-SFHVURJKSA-N 1 2 316.376 1.684 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)cc3C)C2)C1 ZINC000972648193 695308593 /nfs/dbraw/zinc/30/85/93/695308593.db2.gz YAKYSCPBHSEKFZ-SFHVURJKSA-N 1 2 316.376 1.684 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCOC(C)C)CC2)cn1 ZINC000746351799 700020273 /nfs/dbraw/zinc/02/02/73/700020273.db2.gz RGXMVZFTEQHCSG-UHFFFAOYSA-N 1 2 301.390 1.246 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2nnc(C)o2)C(C)(C)C1 ZINC000974553082 695684900 /nfs/dbraw/zinc/68/49/00/695684900.db2.gz QJCRJLQZAWDCIW-LLVKDONJSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2nnc(C)o2)C(C)(C)C1 ZINC000974553082 695684903 /nfs/dbraw/zinc/68/49/03/695684903.db2.gz QJCRJLQZAWDCIW-LLVKDONJSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cn(C)nc2C)C(C)(C)C1 ZINC000974675700 695709544 /nfs/dbraw/zinc/70/95/44/695709544.db2.gz PTEGPKZWPOVZFZ-AWEZNQCLSA-N 1 2 324.856 1.850 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cn(C)nc2C)C(C)(C)C1 ZINC000974675700 695709545 /nfs/dbraw/zinc/70/95/45/695709545.db2.gz PTEGPKZWPOVZFZ-AWEZNQCLSA-N 1 2 324.856 1.850 20 30 DDEDLO CC1(C)CN(CC#N)C[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000974888578 695753616 /nfs/dbraw/zinc/75/36/16/695753616.db2.gz WSDQFDPFMJSVDS-MRXNPFEDSA-N 1 2 323.400 1.836 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974947350 695764147 /nfs/dbraw/zinc/76/41/47/695764147.db2.gz PCYYHNQGHWDPON-NEPJUHHUSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974947350 695764148 /nfs/dbraw/zinc/76/41/48/695764148.db2.gz PCYYHNQGHWDPON-NEPJUHHUSA-N 1 2 313.829 1.186 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2C[N@@H+](CCF)CC2(C)C)nn1 ZINC000977283886 696104785 /nfs/dbraw/zinc/10/47/85/696104785.db2.gz IRHPUFDGKAJERD-ZDUSSCGKSA-N 1 2 309.389 1.264 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@H]2C[N@H+](CCF)CC2(C)C)nn1 ZINC000977283886 696104788 /nfs/dbraw/zinc/10/47/88/696104788.db2.gz IRHPUFDGKAJERD-ZDUSSCGKSA-N 1 2 309.389 1.264 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2scnc2COC)C(C)(C)C1 ZINC000977283176 696104986 /nfs/dbraw/zinc/10/49/86/696104986.db2.gz FKQSGENGLIUBBS-LBPRGKRZSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2scnc2COC)C(C)(C)C1 ZINC000977283176 696104988 /nfs/dbraw/zinc/10/49/88/696104988.db2.gz FKQSGENGLIUBBS-LBPRGKRZSA-N 1 2 307.419 1.363 20 30 DDEDLO COc1ccc(CC(N)=[NH+]OCC(=O)NC2CCCC2)cc1 ZINC000060455520 696310606 /nfs/dbraw/zinc/31/06/06/696310606.db2.gz VHUDZAWYKXZQSP-UHFFFAOYSA-N 1 2 305.378 1.585 20 30 DDEDLO CCc1nc(CO[NH+]=C(N)Cc2ccc(OC)c(OC)c2)no1 ZINC000067099203 696359309 /nfs/dbraw/zinc/35/93/09/696359309.db2.gz MSVCQNCLABNZQR-UHFFFAOYSA-N 1 2 320.349 1.681 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)Cn2ccc(=O)c3ccccc32)CC1 ZINC000127873414 696774654 /nfs/dbraw/zinc/77/46/54/696774654.db2.gz VTBDAGQXPYNUFA-UHFFFAOYSA-N 1 2 323.396 1.169 20 30 DDEDLO COCCN1[C@H](C)C[N@@H+](CCOc2ccccc2C#N)C[C@@H]1C ZINC000128792915 696782171 /nfs/dbraw/zinc/78/21/71/696782171.db2.gz GHARHESGMFBQBL-IYBDPMFKSA-N 1 2 317.433 1.978 20 30 DDEDLO COCCN1[C@H](C)C[N@H+](CCOc2ccccc2C#N)C[C@@H]1C ZINC000128792915 696782172 /nfs/dbraw/zinc/78/21/72/696782172.db2.gz GHARHESGMFBQBL-IYBDPMFKSA-N 1 2 317.433 1.978 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CCC[N@H+](Cc1cnns1)C2 ZINC000981671804 696868848 /nfs/dbraw/zinc/86/88/48/696868848.db2.gz ITGRRRJVKMWIQF-LLVKDONJSA-N 1 2 305.407 1.122 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CCC[N@@H+](Cc1cnns1)C2 ZINC000981671804 696868853 /nfs/dbraw/zinc/86/88/53/696868853.db2.gz ITGRRRJVKMWIQF-LLVKDONJSA-N 1 2 305.407 1.122 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000980786850 696889530 /nfs/dbraw/zinc/88/95/30/696889530.db2.gz ZELWACQTQLQZDX-ZDUSSCGKSA-N 1 2 319.380 1.767 20 30 DDEDLO CO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000980786850 696889533 /nfs/dbraw/zinc/88/95/33/696889533.db2.gz ZELWACQTQLQZDX-ZDUSSCGKSA-N 1 2 319.380 1.767 20 30 DDEDLO Cc1nc(C(=O)N2CCC[N@H+](CC#Cc3ccccc3)CC2)c[nH]1 ZINC000980843333 696914577 /nfs/dbraw/zinc/91/45/77/696914577.db2.gz ZHWFISVKPRAKLW-UHFFFAOYSA-N 1 2 322.412 1.918 20 30 DDEDLO Cc1nc(C(=O)N2CCC[N@@H+](CC#Cc3ccccc3)CC2)c[nH]1 ZINC000980843333 696914579 /nfs/dbraw/zinc/91/45/79/696914579.db2.gz ZHWFISVKPRAKLW-UHFFFAOYSA-N 1 2 322.412 1.918 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC2(C1)CCCN(CC#N)C2 ZINC000982061028 697018758 /nfs/dbraw/zinc/01/87/58/697018758.db2.gz IPCLVEUHWVPQIX-ZDUSSCGKSA-N 1 2 301.394 1.036 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC2(C1)CCCN(CC#N)C2 ZINC000982061028 697018761 /nfs/dbraw/zinc/01/87/61/697018761.db2.gz IPCLVEUHWVPQIX-ZDUSSCGKSA-N 1 2 301.394 1.036 20 30 DDEDLO C=CC[N@H+]1CCCN(C(=O)c2nnc3ccccc3c2O)CC1 ZINC000982062142 697019200 /nfs/dbraw/zinc/01/92/00/697019200.db2.gz IFBUHARLSPQVKH-UHFFFAOYSA-N 1 2 312.373 1.669 20 30 DDEDLO C=CC[N@@H+]1CCCN(C(=O)c2nnc3ccccc3c2O)CC1 ZINC000982062142 697019203 /nfs/dbraw/zinc/01/92/03/697019203.db2.gz IFBUHARLSPQVKH-UHFFFAOYSA-N 1 2 312.373 1.669 20 30 DDEDLO C#CCCCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000172947034 697374016 /nfs/dbraw/zinc/37/40/16/697374016.db2.gz VFHSYMMEJWCIDR-OAHLLOKOSA-N 1 2 317.408 1.866 20 30 DDEDLO C#C[C@H](NC(=O)NCc1[nH+]cc(C)c(OC)c1C)[C@H]1CCCO1 ZINC000773261475 697735900 /nfs/dbraw/zinc/73/59/00/697735900.db2.gz YPDNIJKNUVIKMB-DZGCQCFKSA-N 1 2 317.389 1.687 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)/C=C\c2ccc(OC)c(OC)c2)CC1 ZINC000221707999 697756133 /nfs/dbraw/zinc/75/61/33/697756133.db2.gz ZPJDQXJGURNWFJ-VURMDHGXSA-N 1 2 314.385 1.494 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CC[C@@H](O)[C@@H](C)C2)c(C#N)c1C ZINC000230655070 697784160 /nfs/dbraw/zinc/78/41/60/697784160.db2.gz YXVGFILCXYXNND-TVQRCGJNSA-N 1 2 307.419 1.878 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CC[C@@H](O)[C@@H](C)C2)c(C#N)c1C ZINC000230655070 697784161 /nfs/dbraw/zinc/78/41/61/697784161.db2.gz YXVGFILCXYXNND-TVQRCGJNSA-N 1 2 307.419 1.878 20 30 DDEDLO C#C[C@@H](C)NC(=O)N1CC[NH+](Cc2ccc(OCC)cc2)CC1 ZINC000773595894 697784847 /nfs/dbraw/zinc/78/48/47/697784847.db2.gz GLRGERNRZDXHII-OAHLLOKOSA-N 1 2 315.417 1.934 20 30 DDEDLO Cc1c(C#N)c2[nH]c3ccccc3n2c(=O)c1C=[NH+]N[C@H](C)CO ZINC000749818455 700187675 /nfs/dbraw/zinc/18/76/75/700187675.db2.gz XEPYVNMBOZQNFL-SNVBAGLBSA-N 1 2 323.356 1.265 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Cc2ccccc2CC#N)CCO1 ZINC000777376747 698183845 /nfs/dbraw/zinc/18/38/45/698183845.db2.gz MIUJGFUTCQJTOX-AWEZNQCLSA-N 1 2 301.390 1.132 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Cc2ccccc2CC#N)CCO1 ZINC000777376747 698183846 /nfs/dbraw/zinc/18/38/46/698183846.db2.gz MIUJGFUTCQJTOX-AWEZNQCLSA-N 1 2 301.390 1.132 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(N(C)CCO)cc1 ZINC000777429933 698189533 /nfs/dbraw/zinc/18/95/33/698189533.db2.gz RCOQOBOXWYMWBX-INIZCTEOSA-N 1 2 301.390 1.151 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(N(C)CCO)cc1 ZINC000777429933 698189535 /nfs/dbraw/zinc/18/95/35/698189535.db2.gz RCOQOBOXWYMWBX-INIZCTEOSA-N 1 2 301.390 1.151 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@H](C)N(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000989783126 698761517 /nfs/dbraw/zinc/76/15/17/698761517.db2.gz PREHVGOTSWGHBD-JQWIXIFHSA-N 1 2 319.796 1.903 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)Nc2ccc3[nH+]ccn3c2)c1 ZINC000783004536 698797048 /nfs/dbraw/zinc/79/70/48/698797048.db2.gz DJDCFSUNRHWVOV-UHFFFAOYSA-N 1 2 304.309 1.893 20 30 DDEDLO C#CCOCCNC(=O)[C@H](c1ccccc1)[NH+]1CCSCC1 ZINC000784603838 699030431 /nfs/dbraw/zinc/03/04/31/699030431.db2.gz MHWKXLUFPJJCRF-INIZCTEOSA-N 1 2 318.442 1.543 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCCC(=[NH+]n2cc(Br)cn2)C1 ZINC000788437441 699313629 /nfs/dbraw/zinc/31/36/29/699313629.db2.gz RUKLNWHZQVKNTB-SNVBAGLBSA-N 1 2 320.212 1.837 20 30 DDEDLO C[C@H]1CN(C(=O)c2cc(-c3cccc(C#N)c3)no2)CC[N@@H+]1C ZINC000789961558 699426170 /nfs/dbraw/zinc/42/61/70/699426170.db2.gz XSICWVMTWZYCNL-LBPRGKRZSA-N 1 2 310.357 1.989 20 30 DDEDLO C[C@H]1CN(C(=O)c2cc(-c3cccc(C#N)c3)no2)CC[N@H+]1C ZINC000789961558 699426172 /nfs/dbraw/zinc/42/61/72/699426172.db2.gz XSICWVMTWZYCNL-LBPRGKRZSA-N 1 2 310.357 1.989 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)NC(=O)[C@@H](C)O2 ZINC000730275082 699505736 /nfs/dbraw/zinc/50/57/36/699505736.db2.gz VJLGYFWITUTDRP-RISCZKNCSA-N 1 2 313.357 1.442 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)NC(=O)[C@@H](C)O2 ZINC000730275082 699505738 /nfs/dbraw/zinc/50/57/38/699505738.db2.gz VJLGYFWITUTDRP-RISCZKNCSA-N 1 2 313.357 1.442 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)CCc2[nH]cc[nH+]2)c1 ZINC000791205871 699615548 /nfs/dbraw/zinc/61/55/48/699615548.db2.gz SBTDLBADDXTZPS-UHFFFAOYSA-N 1 2 310.357 1.421 20 30 DDEDLO CC[C@@H]1CC(=O)N(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793588743 699765922 /nfs/dbraw/zinc/76/59/22/699765922.db2.gz DKSBUQMFNXHSHQ-HIFRSBDPSA-N 1 2 314.389 1.622 20 30 DDEDLO CC[C@@H]1CC(=O)N(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)C1 ZINC000793588743 699765923 /nfs/dbraw/zinc/76/59/23/699765923.db2.gz DKSBUQMFNXHSHQ-HIFRSBDPSA-N 1 2 314.389 1.622 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CCC[C@@H]([C@@H]3CCOC3)C2)c1=S ZINC000794635404 699820550 /nfs/dbraw/zinc/82/05/50/699820550.db2.gz VMXUQIBDTKWSDW-CHWSQXEVSA-N 1 2 321.450 1.723 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CCC[C@@H]([C@@H]3CCOC3)C2)c1=S ZINC000794635404 699820553 /nfs/dbraw/zinc/82/05/53/699820553.db2.gz VMXUQIBDTKWSDW-CHWSQXEVSA-N 1 2 321.450 1.723 20 30 DDEDLO COCC#CCOC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000796006546 699906623 /nfs/dbraw/zinc/90/66/23/699906623.db2.gz BSZOKCCSRLTLEV-UHFFFAOYSA-N 1 2 308.284 1.816 20 30 DDEDLO N#Cc1ccc([C@H]([NH2+][C@@H](CCO)c2cccs2)C(N)=O)cc1 ZINC000754383159 700498729 /nfs/dbraw/zinc/49/87/29/700498729.db2.gz CIDSGGHQOAKLMU-ZFWWWQNUSA-N 1 2 315.398 1.859 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@]2(CCOC2)C1 ZINC000756903182 700648382 /nfs/dbraw/zinc/64/83/82/700648382.db2.gz MKYKGDKLSOEPQF-RDJZCZTQSA-N 1 2 300.358 1.885 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@]2(CCOC2)C1 ZINC000756903182 700648383 /nfs/dbraw/zinc/64/83/83/700648383.db2.gz MKYKGDKLSOEPQF-RDJZCZTQSA-N 1 2 300.358 1.885 20 30 DDEDLO C#CC[NH+]1CCN([C@@H](C(=O)OC)c2cccc(Cl)c2)CC1 ZINC000766119763 701038358 /nfs/dbraw/zinc/03/83/58/701038358.db2.gz WKUZZPWVAOQHEV-OAHLLOKOSA-N 1 2 306.793 1.805 20 30 DDEDLO COC[C@@H](C)NC(=S)N[NH+]=C(C)c1ccccc1N(C)C ZINC000769569644 701248989 /nfs/dbraw/zinc/24/89/89/701248989.db2.gz TWTLDXHCMKFJEO-LLVKDONJSA-N 1 2 308.451 1.976 20 30 DDEDLO N#CCC[C@H](C#N)C[N@H+]1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000810068309 701719984 /nfs/dbraw/zinc/71/99/84/701719984.db2.gz MLUNSBFHLVKDRU-VXGBXAGGSA-N 1 2 316.327 1.820 20 30 DDEDLO N#CCC[C@H](C#N)C[N@@H+]1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000810068309 701719990 /nfs/dbraw/zinc/71/99/90/701719990.db2.gz MLUNSBFHLVKDRU-VXGBXAGGSA-N 1 2 316.327 1.820 20 30 DDEDLO C[C@H](C(=O)OCCOCCC#N)[N@@H+]1CCc2ccccc2C1 ZINC000815212708 701825635 /nfs/dbraw/zinc/82/56/35/701825635.db2.gz YMJZWRIKVAZVBP-CQSZACIVSA-N 1 2 302.374 1.907 20 30 DDEDLO C[C@H](C(=O)OCCOCCC#N)[N@H+]1CCc2ccccc2C1 ZINC000815212708 701825639 /nfs/dbraw/zinc/82/56/39/701825639.db2.gz YMJZWRIKVAZVBP-CQSZACIVSA-N 1 2 302.374 1.907 20 30 DDEDLO CS[C@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000840114226 701975721 /nfs/dbraw/zinc/97/57/21/701975721.db2.gz PPAXZRQKWLWKLD-ZDUSSCGKSA-N 1 2 303.431 1.994 20 30 DDEDLO CS[C@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000840114226 701975725 /nfs/dbraw/zinc/97/57/25/701975725.db2.gz PPAXZRQKWLWKLD-ZDUSSCGKSA-N 1 2 303.431 1.994 20 30 DDEDLO CC1(C)C(=O)N(C[NH+]2CCC(C)(C#N)CC2)[C@@H]1[C@H]1CCCO1 ZINC000811759409 702047307 /nfs/dbraw/zinc/04/73/07/702047307.db2.gz AHPFTQICEYAROH-ZIAGYGMSSA-N 1 2 305.422 1.986 20 30 DDEDLO CC#CCNC(=O)NC[C@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000868454365 702138803 /nfs/dbraw/zinc/13/88/03/702138803.db2.gz CQMWWMSGWPWJSP-KRWDZBQOSA-N 1 2 315.417 1.518 20 30 DDEDLO CC#CCNC(=O)NC[C@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000868454365 702138807 /nfs/dbraw/zinc/13/88/07/702138807.db2.gz CQMWWMSGWPWJSP-KRWDZBQOSA-N 1 2 315.417 1.518 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc(N2CCCC2)cc1 ZINC000840760465 702230841 /nfs/dbraw/zinc/23/08/41/702230841.db2.gz UWHKILFOFKZGDE-MRXNPFEDSA-N 1 2 303.406 1.872 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc(N2CCCC2)cc1 ZINC000840760465 702230844 /nfs/dbraw/zinc/23/08/44/702230844.db2.gz UWHKILFOFKZGDE-MRXNPFEDSA-N 1 2 303.406 1.872 20 30 DDEDLO C[C@H]([NH2+]C1CCN(S(C)(=O)=O)CC1)c1cccc(C#N)c1O ZINC000866225930 706654018 /nfs/dbraw/zinc/65/40/18/706654018.db2.gz HLDWAKRJKWUACI-NSHDSACASA-N 1 2 323.418 1.338 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC(F)(F)[C@H](C(=O)OCC)C1 ZINC000879371416 706666144 /nfs/dbraw/zinc/66/61/44/706666144.db2.gz RSMAKQGBCBYYSK-QWRGUYRKSA-N 1 2 304.337 1.197 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC(F)(F)[C@H](C(=O)OCC)C1 ZINC000879371416 706666145 /nfs/dbraw/zinc/66/61/45/706666145.db2.gz RSMAKQGBCBYYSK-QWRGUYRKSA-N 1 2 304.337 1.197 20 30 DDEDLO CNC(=S)N(C)N=C(C)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000841648190 702517247 /nfs/dbraw/zinc/51/72/47/702517247.db2.gz YIHCCZWNIYJXMZ-HNNXBMFYSA-N 1 2 320.462 1.699 20 30 DDEDLO CNC(=S)N(C)N=C(C)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000841648190 702517252 /nfs/dbraw/zinc/51/72/52/702517252.db2.gz YIHCCZWNIYJXMZ-HNNXBMFYSA-N 1 2 320.462 1.699 20 30 DDEDLO C[C@@H]([NH2+]C[C@H]1CCCN1CCCO)c1cccc(C#N)c1O ZINC000866366874 706682580 /nfs/dbraw/zinc/68/25/80/706682580.db2.gz UIPNVZMBHMXPQL-UKRRQHHQSA-N 1 2 303.406 1.761 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)NCCn1cc[nH+]c1 ZINC000844280456 703003879 /nfs/dbraw/zinc/00/38/79/703003879.db2.gz UTXWFJTUWJWART-OAHLLOKOSA-N 1 2 313.361 1.130 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@@H+](Cc3ccc(C#N)cc3[N+](=O)[O-])C[C@@H]21 ZINC000844332858 703016150 /nfs/dbraw/zinc/01/61/50/703016150.db2.gz YJOWNJDRTCICDL-IMRBUKKESA-N 1 2 301.302 1.317 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@H+](Cc3ccc(C#N)cc3[N+](=O)[O-])C[C@@H]21 ZINC000844332858 703016153 /nfs/dbraw/zinc/01/61/53/703016153.db2.gz YJOWNJDRTCICDL-IMRBUKKESA-N 1 2 301.302 1.317 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=S)Nc2ccccc2C#N)[C@H](C)CO1 ZINC000849280731 703631307 /nfs/dbraw/zinc/63/13/07/703631307.db2.gz GGEBKPWZOVWGGJ-CHWSQXEVSA-N 1 2 318.446 1.954 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=S)Nc2ccccc2C#N)[C@H](C)CO1 ZINC000849280731 703631308 /nfs/dbraw/zinc/63/13/08/703631308.db2.gz GGEBKPWZOVWGGJ-CHWSQXEVSA-N 1 2 318.446 1.954 20 30 DDEDLO C#C[C@@H](NC(=O)NCCCCn1cc[nH+]c1)C1CCOCC1 ZINC000851996281 703900305 /nfs/dbraw/zinc/90/03/05/703900305.db2.gz MANCJLHQJHOWRY-OAHLLOKOSA-N 1 2 304.394 1.391 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CC[C@H](N3CCOC3=O)C2)cc1 ZINC000852435194 704047944 /nfs/dbraw/zinc/04/79/44/704047944.db2.gz JRFWMYQIJVUCNU-AWEZNQCLSA-N 1 2 314.345 1.023 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CC[C@H](N3CCOC3=O)C2)cc1 ZINC000852435194 704047945 /nfs/dbraw/zinc/04/79/45/704047945.db2.gz JRFWMYQIJVUCNU-AWEZNQCLSA-N 1 2 314.345 1.023 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000852633802 704088349 /nfs/dbraw/zinc/08/83/49/704088349.db2.gz UYHXMFAFYFCRGS-QGZVFWFLSA-N 1 2 318.373 1.743 20 30 DDEDLO COC[C@@]1(C(=O)OC)CCC[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000852633802 704088351 /nfs/dbraw/zinc/08/83/51/704088351.db2.gz UYHXMFAFYFCRGS-QGZVFWFLSA-N 1 2 318.373 1.743 20 30 DDEDLO COc1cc(C)c(NC[C@@H](O)C[N@H+](C)CCC#N)cc1OC ZINC000819390407 704119633 /nfs/dbraw/zinc/11/96/33/704119633.db2.gz SAALMRILBGNCTM-CYBMUJFWSA-N 1 2 307.394 1.631 20 30 DDEDLO COc1cc(C)c(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1OC ZINC000819390407 704119634 /nfs/dbraw/zinc/11/96/34/704119634.db2.gz SAALMRILBGNCTM-CYBMUJFWSA-N 1 2 307.394 1.631 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1ccc2c(c1)CCC[C@H]2O ZINC000819478241 704131641 /nfs/dbraw/zinc/13/16/41/704131641.db2.gz LIWBLOKJAVWFSY-NVXWUHKLSA-N 1 2 303.406 1.675 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1ccc2c(c1)CCC[C@H]2O ZINC000819478241 704131642 /nfs/dbraw/zinc/13/16/42/704131642.db2.gz LIWBLOKJAVWFSY-NVXWUHKLSA-N 1 2 303.406 1.675 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1cccc(C#N)n1 ZINC000879927160 706821827 /nfs/dbraw/zinc/82/18/27/706821827.db2.gz NROPEVRMEPFQFW-CYBMUJFWSA-N 1 2 303.366 1.186 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1cccc(C#N)n1 ZINC000879927160 706821830 /nfs/dbraw/zinc/82/18/30/706821830.db2.gz NROPEVRMEPFQFW-CYBMUJFWSA-N 1 2 303.366 1.186 20 30 DDEDLO CCOC(=O)[C@]1(C)CC(=O)N(C[N@@H+]2CCC[C@H](CC#N)C2)C1 ZINC000853524419 704259373 /nfs/dbraw/zinc/25/93/73/704259373.db2.gz MYWPIIUMMOJLLW-CZUORRHYSA-N 1 2 307.394 1.371 20 30 DDEDLO CCOC(=O)[C@]1(C)CC(=O)N(C[N@H+]2CCC[C@H](CC#N)C2)C1 ZINC000853524419 704259374 /nfs/dbraw/zinc/25/93/74/704259374.db2.gz MYWPIIUMMOJLLW-CZUORRHYSA-N 1 2 307.394 1.371 20 30 DDEDLO Cn1cncc1[C@H]1CC(=O)N(C[N@@H+]2CCC[C@@H](CC#N)C2)C1 ZINC000853524812 704259522 /nfs/dbraw/zinc/25/95/22/704259522.db2.gz QPNATFKXMZPMNP-KBPBESRZSA-N 1 2 301.394 1.319 20 30 DDEDLO Cn1cncc1[C@H]1CC(=O)N(C[N@H+]2CCC[C@@H](CC#N)C2)C1 ZINC000853524812 704259523 /nfs/dbraw/zinc/25/95/23/704259523.db2.gz QPNATFKXMZPMNP-KBPBESRZSA-N 1 2 301.394 1.319 20 30 DDEDLO C=CC[N@H+](CN1C(=O)[C@]2(CCCO2)[C@H]1C(C)C)[C@@H](C)COC ZINC000853533884 704261627 /nfs/dbraw/zinc/26/16/27/704261627.db2.gz CTJHDQFPDQYUJW-UXLLHSPISA-N 1 2 310.438 1.883 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)[C@]2(CCCO2)[C@H]1C(C)C)[C@@H](C)COC ZINC000853533884 704261629 /nfs/dbraw/zinc/26/16/29/704261629.db2.gz CTJHDQFPDQYUJW-UXLLHSPISA-N 1 2 310.438 1.883 20 30 DDEDLO C#CCC[C@H](O)CNc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000853569579 704273012 /nfs/dbraw/zinc/27/30/12/704273012.db2.gz ZKCQTMVJTHVALM-ZDUSSCGKSA-N 1 2 304.394 1.014 20 30 DDEDLO C#CCC[C@H](O)CNc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000853569579 704273015 /nfs/dbraw/zinc/27/30/15/704273015.db2.gz ZKCQTMVJTHVALM-ZDUSSCGKSA-N 1 2 304.394 1.014 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(NC(=O)CCCC#N)CC1 ZINC000871543580 704311879 /nfs/dbraw/zinc/31/18/79/704311879.db2.gz OVQKFYHLJONQOK-UHFFFAOYSA-N 1 2 309.410 1.603 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC000855013417 704466085 /nfs/dbraw/zinc/46/60/85/704466085.db2.gz PUYZVUFRKCDADY-INMHGKMJSA-N 1 2 324.384 1.587 20 30 DDEDLO C#Cc1cccnc1NC[C@@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000858687822 704745326 /nfs/dbraw/zinc/74/53/26/704745326.db2.gz SSFUQKBOYKYVRR-QGZVFWFLSA-N 1 2 301.390 1.091 20 30 DDEDLO C#Cc1cccnc1NC[C@@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000858687822 704745328 /nfs/dbraw/zinc/74/53/28/704745328.db2.gz SSFUQKBOYKYVRR-QGZVFWFLSA-N 1 2 301.390 1.091 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000860160638 705119003 /nfs/dbraw/zinc/11/90/03/705119003.db2.gz ZYKOYCDTGMCBFG-ZYHUDNBSSA-N 1 2 319.748 1.255 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@H+]1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000860160638 705119005 /nfs/dbraw/zinc/11/90/05/705119005.db2.gz ZYKOYCDTGMCBFG-ZYHUDNBSSA-N 1 2 319.748 1.255 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(C(=O)OC)oc2C)CC1 ZINC000825077776 705595188 /nfs/dbraw/zinc/59/51/88/705595188.db2.gz ICNHEIQZCRPTSY-UHFFFAOYSA-N 1 2 304.346 1.156 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)c1 ZINC000825100251 705600162 /nfs/dbraw/zinc/60/01/62/705600162.db2.gz ZXKOKUVSMXYJPF-UHFFFAOYSA-N 1 2 320.396 1.458 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000876037495 705600619 /nfs/dbraw/zinc/60/06/19/705600619.db2.gz IVGIVAVHAIFYSG-ZDUSSCGKSA-N 1 2 318.446 1.798 20 30 DDEDLO COC(=O)C1(C[N@@H+]2CCO[C@@H](c3cccc(C#N)c3)C2)CC1 ZINC000828563958 706200610 /nfs/dbraw/zinc/20/06/10/706200610.db2.gz KDUIZYVJYAUIIR-OAHLLOKOSA-N 1 2 300.358 1.885 20 30 DDEDLO COC(=O)C1(C[N@H+]2CCO[C@@H](c3cccc(C#N)c3)C2)CC1 ZINC000828563958 706200615 /nfs/dbraw/zinc/20/06/15/706200615.db2.gz KDUIZYVJYAUIIR-OAHLLOKOSA-N 1 2 300.358 1.885 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@@H+]1CC[C@@](F)(CO)C1)c1ccccc1 ZINC000878569024 706429841 /nfs/dbraw/zinc/42/98/41/706429841.db2.gz QOBANSNSLMQVFD-DLBZAZTESA-N 1 2 304.365 1.833 20 30 DDEDLO CC(=O)[C@](C#N)(CC[N@H+]1CC[C@@](F)(CO)C1)c1ccccc1 ZINC000878569024 706429843 /nfs/dbraw/zinc/42/98/43/706429843.db2.gz QOBANSNSLMQVFD-DLBZAZTESA-N 1 2 304.365 1.833 20 30 DDEDLO C#CCOc1cccc(NC(=O)/C=C/C[NH+]2CCOCC2)c1 ZINC000865601980 706474480 /nfs/dbraw/zinc/47/44/80/706474480.db2.gz JRKKRKNQMUETLA-QPJJXVBHSA-N 1 2 300.358 1.526 20 30 DDEDLO CCOC(=O)[C@@H](CC1CC1)[NH2+]C[C@H](O)CC1(C#N)CCOCC1 ZINC000879979703 706842564 /nfs/dbraw/zinc/84/25/64/706842564.db2.gz CTIJWMZXYBLZIR-HUUCEWRRSA-N 1 2 324.421 1.379 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@H](C(F)(F)F)CC1 ZINC000880046534 706862942 /nfs/dbraw/zinc/86/29/42/706862942.db2.gz XTOSXTVMMJTVRL-QWRGUYRKSA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@H](C(F)(F)F)CC1 ZINC000880046534 706862944 /nfs/dbraw/zinc/86/29/44/706862944.db2.gz XTOSXTVMMJTVRL-QWRGUYRKSA-N 1 2 307.316 1.400 20 30 DDEDLO C#CCOc1ccccc1CNS(=O)(=O)CCn1cc[nH+]c1 ZINC000881899055 707413242 /nfs/dbraw/zinc/41/32/42/707413242.db2.gz WNTARUMFCXPTDX-UHFFFAOYSA-N 1 2 319.386 1.015 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCc2ccc(C#N)c(F)c2)CCO1 ZINC000838114077 707845237 /nfs/dbraw/zinc/84/52/37/707845237.db2.gz IPRYPQLDLHWLLV-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCc2ccc(C#N)c(F)c2)CCO1 ZINC000838114077 707845241 /nfs/dbraw/zinc/84/52/41/707845241.db2.gz IPRYPQLDLHWLLV-GFCCVEGCSA-N 1 2 320.368 1.217 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1N[C@H]1C[C@H](S(C)(=O)=O)C1 ZINC000882989086 707886914 /nfs/dbraw/zinc/88/69/14/707886914.db2.gz ZEZRFIWLELZBCM-JOCQHMNTSA-N 1 2 308.403 1.861 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(OC)cc1OC ZINC000884047807 708117050 /nfs/dbraw/zinc/11/70/50/708117050.db2.gz MAXVEKZGMWCRSO-NSHDSACASA-N 1 2 308.334 1.089 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(OC)c(OC)cc1C ZINC000884050616 708117805 /nfs/dbraw/zinc/11/78/05/708117805.db2.gz GFOXNZAIPLZBBJ-NSHDSACASA-N 1 2 322.361 1.397 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1ccc(OC)c(F)c1 ZINC000884059968 708121499 /nfs/dbraw/zinc/12/14/99/708121499.db2.gz KHYWQCKXDGQKJD-MFKMUULPSA-N 1 2 324.352 1.458 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1cc(F)ccc1F ZINC000884134930 708154974 /nfs/dbraw/zinc/15/49/74/708154974.db2.gz WISKAMOFNAFPQP-ZDUSSCGKSA-N 1 2 312.316 1.070 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCOC[C@H]1c1ccccc1 ZINC000884157568 708164376 /nfs/dbraw/zinc/16/43/76/708164376.db2.gz DFTJURUWFGGAOL-GJZGRUSLSA-N 1 2 318.373 1.033 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCS[C@H]2CCCC[C@H]21 ZINC000884157464 708164542 /nfs/dbraw/zinc/16/45/42/708164542.db2.gz AUNUMCWPVUAJNJ-XQQFMLRXSA-N 1 2 312.435 1.320 20 30 DDEDLO CC1=CCC[C@@H](C)[C@@H]1C[N@@H+]1C[C@@H]2CS(=O)(=O)C[C@]2(C#N)C1 ZINC000897061378 708219471 /nfs/dbraw/zinc/21/94/71/708219471.db2.gz UEXDEKLRCNMAOY-FPCVCCKLSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=CCC[C@@H](C)[C@@H]1C[N@H+]1C[C@@H]2CS(=O)(=O)C[C@]2(C#N)C1 ZINC000897061378 708219473 /nfs/dbraw/zinc/21/94/73/708219473.db2.gz UEXDEKLRCNMAOY-FPCVCCKLSA-N 1 2 308.447 1.849 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccccc1CC(C)C ZINC000884428029 708293058 /nfs/dbraw/zinc/29/30/58/708293058.db2.gz PYQFHMZRWSSHPX-INIZCTEOSA-N 1 2 318.417 1.948 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)N[C@H](CCCC)C2=O)CC1 ZINC000884479013 708311714 /nfs/dbraw/zinc/31/17/14/708311714.db2.gz SKVSTWPIUKXIAM-CYBMUJFWSA-N 1 2 309.410 1.458 20 30 DDEDLO CN1c2ccccc2C[N@H+](C[C@@H](O)CC2(C#N)CCC2)CC1=O ZINC000886296889 708737624 /nfs/dbraw/zinc/73/76/24/708737624.db2.gz CUPIJVGKHKUOBT-HNNXBMFYSA-N 1 2 313.401 1.910 20 30 DDEDLO CN1c2ccccc2C[N@@H+](C[C@@H](O)CC2(C#N)CCC2)CC1=O ZINC000886296889 708737626 /nfs/dbraw/zinc/73/76/26/708737626.db2.gz CUPIJVGKHKUOBT-HNNXBMFYSA-N 1 2 313.401 1.910 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@](O)(CC)CCC(F)(F)F ZINC000887507107 709058758 /nfs/dbraw/zinc/05/87/58/709058758.db2.gz PBPWNANDICJJOX-GXTWGEPZSA-N 1 2 320.355 1.684 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@](O)(CC)CCC(F)(F)F ZINC000887507107 709058761 /nfs/dbraw/zinc/05/87/61/709058761.db2.gz PBPWNANDICJJOX-GXTWGEPZSA-N 1 2 320.355 1.684 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnc(NC3CC3)s2)CC1 ZINC000900473787 709612683 /nfs/dbraw/zinc/61/26/83/709612683.db2.gz JMLREEIKMHMKFR-UHFFFAOYSA-N 1 2 304.419 1.499 20 30 DDEDLO CCC(CC)[C@@H](C(=O)N1CCC[C@H](C#N)C1)[NH+]1CCOCC1 ZINC000928220156 713154231 /nfs/dbraw/zinc/15/42/31/713154231.db2.gz FTICHMACZOHBHI-ZBFHGGJFSA-N 1 2 307.438 1.886 20 30 DDEDLO C#CC[NH+]1CCN(c2nc(C(=O)OCC)cc(C(C)C)n2)CC1 ZINC000891679673 710264507 /nfs/dbraw/zinc/26/45/07/710264507.db2.gz YPLKGQKRBXAHMC-UHFFFAOYSA-N 1 2 316.405 1.532 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nc(C(C)(C)C)co2)CC1 ZINC000891783736 710294008 /nfs/dbraw/zinc/29/40/08/710294008.db2.gz LQZXKDIJPCETIU-UHFFFAOYSA-N 1 2 303.406 1.934 20 30 DDEDLO O=C(NCC#C[C@@H]1CCCCO1)NCCCNc1cccc[nH+]1 ZINC000891974700 710343854 /nfs/dbraw/zinc/34/38/54/710343854.db2.gz OZRUJQPCGUSYOI-HNNXBMFYSA-N 1 2 316.405 1.755 20 30 DDEDLO C=CCN1CCN(C[NH+]2CCC(N3CCCOC3=O)CC2)C1=O ZINC000902593062 710807660 /nfs/dbraw/zinc/80/76/60/710807660.db2.gz DKEJFDAYCOZGRW-UHFFFAOYSA-N 1 2 322.409 1.174 20 30 DDEDLO CC(C)[C@@H]1CC(=O)N(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1 ZINC000902600675 710810805 /nfs/dbraw/zinc/81/08/05/710810805.db2.gz ZFEXZIMPWAWKIF-CQSZACIVSA-N 1 2 306.406 1.786 20 30 DDEDLO CCn1cc(CO[NH+]=C(N)c2ccc(Br)cc2)nn1 ZINC000902727541 710880820 /nfs/dbraw/zinc/88/08/20/710880820.db2.gz YUXGCPMNMLHPDO-UHFFFAOYSA-N 1 2 324.182 1.898 20 30 DDEDLO C[C@@H]1CN(c2cc(C#N)sc2[N+](=O)[O-])C[C@H](C)[N@H+]1CCO ZINC000894081232 710956426 /nfs/dbraw/zinc/95/64/26/710956426.db2.gz UXLZAKAYZNJSNF-AOOOYVTPSA-N 1 2 310.379 1.419 20 30 DDEDLO C[C@@H]1CN(c2cc(C#N)sc2[N+](=O)[O-])C[C@H](C)[N@@H+]1CCO ZINC000894081232 710956434 /nfs/dbraw/zinc/95/64/34/710956434.db2.gz UXLZAKAYZNJSNF-AOOOYVTPSA-N 1 2 310.379 1.419 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Cc1ccc(C#N)cc1 ZINC000928639379 713245609 /nfs/dbraw/zinc/24/56/09/713245609.db2.gz KMOBSCXMWJZTFO-MRXNPFEDSA-N 1 2 301.390 1.328 20 30 DDEDLO C=CCC1(NC(=O)/C=C(\C)C[NH+]2CCOCC2)CCOCC1 ZINC000912457312 711336665 /nfs/dbraw/zinc/33/66/65/711336665.db2.gz ZWBQZKBCMFKECW-FYWRMAATSA-N 1 2 308.422 1.506 20 30 DDEDLO C=CCC1(C(=O)N[C@H](CC)C[NH+]2CCOCC2)CCOCC1 ZINC000928646841 713249183 /nfs/dbraw/zinc/24/91/83/713249183.db2.gz MNVAQTFHQAWGCL-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000895065629 711394071 /nfs/dbraw/zinc/39/40/71/711394071.db2.gz FGHBDUGKJFKIBD-CQSZACIVSA-N 1 2 312.373 1.535 20 30 DDEDLO CCc1nn(C)c(CC)c1C[NH+]1CCN(C(=O)CC#N)CC1 ZINC000895066171 711394759 /nfs/dbraw/zinc/39/47/59/711394759.db2.gz OWGAVPYWABPOHN-UHFFFAOYSA-N 1 2 303.410 1.103 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2cccc(Br)c2O)CCCN1O ZINC000895166381 711439711 /nfs/dbraw/zinc/43/97/11/711439711.db2.gz QBJFGUKPSSUNOV-SNVBAGLBSA-N 1 2 315.167 1.625 20 30 DDEDLO COCC(COC)NN=C(C)c1cccc(-n2cc[nH+]c2)c1 ZINC000905492904 712054128 /nfs/dbraw/zinc/05/41/28/712054128.db2.gz OTYOMRAUVNGBLG-UHFFFAOYSA-N 1 2 302.378 1.847 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C(C)(C)C ZINC000919590187 713618293 /nfs/dbraw/zinc/61/82/93/713618293.db2.gz CJSOAOXTDUKBOH-OAHLLOKOSA-N 1 2 321.446 1.909 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1C(C)(C)C ZINC000919590187 713618294 /nfs/dbraw/zinc/61/82/94/713618294.db2.gz CJSOAOXTDUKBOH-OAHLLOKOSA-N 1 2 321.446 1.909 20 30 DDEDLO CCOC(=O)[C@H]1C[N@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000929704426 713673026 /nfs/dbraw/zinc/67/30/26/713673026.db2.gz XZCCZLDOXMZZFB-MRXNPFEDSA-N 1 2 302.374 1.939 20 30 DDEDLO CCOC(=O)[C@H]1C[N@@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000929704426 713673028 /nfs/dbraw/zinc/67/30/28/713673028.db2.gz XZCCZLDOXMZZFB-MRXNPFEDSA-N 1 2 302.374 1.939 20 30 DDEDLO C[N@H+](Cc1cncc(C#N)c1)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000929998031 713731976 /nfs/dbraw/zinc/73/19/76/713731976.db2.gz AVFGPXQRPBOEGN-LSDHHAIUSA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1cncc(C#N)c1)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000929998031 713731978 /nfs/dbraw/zinc/73/19/78/713731978.db2.gz AVFGPXQRPBOEGN-LSDHHAIUSA-N 1 2 307.419 1.741 20 30 DDEDLO COC(=O)[C@]1(C(F)(F)F)CC[N@H+](Cc2cncc(C#N)c2)C1 ZINC000930452490 713838346 /nfs/dbraw/zinc/83/83/46/713838346.db2.gz LQQRNYZRXIFLJR-ZDUSSCGKSA-N 1 2 313.279 1.881 20 30 DDEDLO COC(=O)[C@]1(C(F)(F)F)CC[N@@H+](Cc2cncc(C#N)c2)C1 ZINC000930452490 713838348 /nfs/dbraw/zinc/83/83/48/713838348.db2.gz LQQRNYZRXIFLJR-ZDUSSCGKSA-N 1 2 313.279 1.881 20 30 DDEDLO COC(OC)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2C)CC1 ZINC000930957819 713968048 /nfs/dbraw/zinc/96/80/48/713968048.db2.gz GIIXHGGFXBQLAL-UHFFFAOYSA-N 1 2 317.389 1.130 20 30 DDEDLO COC(OC)C(=O)N1CC[NH+]([C@@H](C)c2ccc(C#N)cc2)CC1 ZINC000930956736 713968254 /nfs/dbraw/zinc/96/82/54/713968254.db2.gz LUUPXZMYSACNHA-ZDUSSCGKSA-N 1 2 317.389 1.382 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2ccc(OC)c(Cl)c2)CC1 ZINC000931146014 714015627 /nfs/dbraw/zinc/01/56/27/714015627.db2.gz RPABQTIBWYTQJZ-UHFFFAOYSA-N 1 2 322.792 1.747 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000922719668 714126904 /nfs/dbraw/zinc/12/69/04/714126904.db2.gz LDMSHWAESCAANM-NEPJUHHUSA-N 1 2 310.423 1.347 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@H]2C[C@H]1CN2c1ccccc1 ZINC000931647659 714149009 /nfs/dbraw/zinc/14/90/09/714149009.db2.gz XTCKDMAJRCSPBW-XIRDDKMYSA-N 1 2 324.428 1.900 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@H]2C[C@H]1CN2c1ccccc1 ZINC000931647659 714149011 /nfs/dbraw/zinc/14/90/11/714149011.db2.gz XTCKDMAJRCSPBW-XIRDDKMYSA-N 1 2 324.428 1.900 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H]2CC=CCC2)CC1 ZINC000932039438 714244019 /nfs/dbraw/zinc/24/40/19/714244019.db2.gz SZHYRPWUJGTPFJ-MRXNPFEDSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H]2CC=CCC2)CC1 ZINC000932039438 714244021 /nfs/dbraw/zinc/24/40/21/714244021.db2.gz SZHYRPWUJGTPFJ-MRXNPFEDSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)/C=C\C(=O)c2ccc(C)cc2)C1 ZINC000923551167 714397826 /nfs/dbraw/zinc/39/78/26/714397826.db2.gz KCLMGDRZUNMJKC-QXPKXGMISA-N 1 2 310.397 1.948 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)/C=C\C(=O)c2ccc(C)cc2)C1 ZINC000923551167 714397827 /nfs/dbraw/zinc/39/78/27/714397827.db2.gz KCLMGDRZUNMJKC-QXPKXGMISA-N 1 2 310.397 1.948 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCOc3ccccc3[C@H]2CO)C1=O ZINC000933382880 714577224 /nfs/dbraw/zinc/57/72/24/714577224.db2.gz LSPPWOXKDWRZHQ-LSDHHAIUSA-N 1 2 302.374 1.201 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCOc3ccccc3[C@H]2CO)C1=O ZINC000933382880 714577226 /nfs/dbraw/zinc/57/72/26/714577226.db2.gz LSPPWOXKDWRZHQ-LSDHHAIUSA-N 1 2 302.374 1.201 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](C[C@@H]3C[C@@H]4COC[C@H]4O3)CCO2)cc1 ZINC000933632619 714634923 /nfs/dbraw/zinc/63/49/23/714634923.db2.gz SFRHYYZLDCOOBW-VSZNYVQBSA-N 1 2 314.385 1.735 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](C[C@@H]3C[C@@H]4COC[C@H]4O3)CCO2)cc1 ZINC000933632619 714634925 /nfs/dbraw/zinc/63/49/25/714634925.db2.gz SFRHYYZLDCOOBW-VSZNYVQBSA-N 1 2 314.385 1.735 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](C[C@@H]3C[C@H]4COC[C@@H]4O3)CCO2)cc1 ZINC000933632621 714635002 /nfs/dbraw/zinc/63/50/02/714635002.db2.gz SFRHYYZLDCOOBW-XSLAGTTESA-N 1 2 314.385 1.735 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](C[C@@H]3C[C@H]4COC[C@@H]4O3)CCO2)cc1 ZINC000933632621 714635003 /nfs/dbraw/zinc/63/50/03/714635003.db2.gz SFRHYYZLDCOOBW-XSLAGTTESA-N 1 2 314.385 1.735 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)[C@@H](C)[N@@H+]2CC[C@H]2CO)cc1 ZINC000934024388 714724559 /nfs/dbraw/zinc/72/45/59/714724559.db2.gz RCNFXUHAJCBUSQ-ZBFHGGJFSA-N 1 2 301.390 1.697 20 30 DDEDLO Cc1ccc(N(CCC#N)C(=O)[C@@H](C)[N@H+]2CC[C@H]2CO)cc1 ZINC000934024388 714724560 /nfs/dbraw/zinc/72/45/60/714724560.db2.gz RCNFXUHAJCBUSQ-ZBFHGGJFSA-N 1 2 301.390 1.697 20 30 DDEDLO C=CCC[C@@H]1NC(=O)N(Cc2nc(C[NH+](C)C)cs2)C1=O ZINC000925180507 714768728 /nfs/dbraw/zinc/76/87/28/714768728.db2.gz CMEBVULUFJXCQP-NSHDSACASA-N 1 2 308.407 1.591 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC(C)(C#N)CC1 ZINC000925592289 714894711 /nfs/dbraw/zinc/89/47/11/714894711.db2.gz HIXKVPSAZAEDOL-AWEZNQCLSA-N 1 2 308.426 1.432 20 30 DDEDLO C=CCOCC[N@H+]1CCN(C(=O)OC(C)(C)C)C[C@@H]1COC ZINC000934851609 714916604 /nfs/dbraw/zinc/91/66/04/714916604.db2.gz CTDUXSGWBKGJHM-CQSZACIVSA-N 1 2 314.426 1.757 20 30 DDEDLO C=CCOCC[N@@H+]1CCN(C(=O)OC(C)(C)C)C[C@@H]1COC ZINC000934851609 714916606 /nfs/dbraw/zinc/91/66/06/714916606.db2.gz CTDUXSGWBKGJHM-CQSZACIVSA-N 1 2 314.426 1.757 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2nnn(C(C)C)c2C)C1 ZINC000957051812 715723923 /nfs/dbraw/zinc/72/39/23/715723923.db2.gz UZQWVRCXPUQKSG-UHFFFAOYSA-N 1 2 303.410 1.337 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCN(Cc2ccccc2C#N)CC1 ZINC000957332159 715842991 /nfs/dbraw/zinc/84/29/91/715842991.db2.gz KOQXIIAGLKCOOT-UHFFFAOYSA-N 1 2 323.400 1.179 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccc(=O)n(CCC)n2)C1 ZINC000957370523 715865587 /nfs/dbraw/zinc/86/55/87/715865587.db2.gz QXKRJQWNGQPRKM-UHFFFAOYSA-N 1 2 318.421 1.376 20 30 DDEDLO CC[N@@H+](Cc1cnsn1)CC1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC000957692539 716004057 /nfs/dbraw/zinc/00/40/57/716004057.db2.gz WRDWSUJZMXAERV-LBPRGKRZSA-N 1 2 321.450 1.758 20 30 DDEDLO CC[N@H+](Cc1cnsn1)CC1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC000957692539 716004062 /nfs/dbraw/zinc/00/40/62/716004062.db2.gz WRDWSUJZMXAERV-LBPRGKRZSA-N 1 2 321.450 1.758 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C#N)c[nH]1 ZINC000958505502 716599776 /nfs/dbraw/zinc/59/97/76/716599776.db2.gz WTSGZWAYICYWLL-MUYACECFSA-N 1 2 322.372 1.098 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C#N)c[nH]1 ZINC000958505502 716599777 /nfs/dbraw/zinc/59/97/77/716599777.db2.gz WTSGZWAYICYWLL-MUYACECFSA-N 1 2 322.372 1.098 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+]([C@@H](C)c4nnc(C)[nH]4)C[C@H]32)C1 ZINC000961732169 717077959 /nfs/dbraw/zinc/07/79/59/717077959.db2.gz LJDPNQMNCBHZHX-SCUASFONSA-N 1 2 315.421 1.577 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+]([C@@H](C)c4nnc(C)[nH]4)C[C@H]32)C1 ZINC000961732169 717077964 /nfs/dbraw/zinc/07/79/64/717077964.db2.gz LJDPNQMNCBHZHX-SCUASFONSA-N 1 2 315.421 1.577 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H](C)C(F)(F)F)CC2)C1 ZINC000941520723 717192013 /nfs/dbraw/zinc/19/20/13/717192013.db2.gz MEXUNHZACOVCQE-GFCCVEGCSA-N 1 2 317.355 1.037 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@]3(C)CCC[C@@H]3C)CC2)C1 ZINC000941552654 717206590 /nfs/dbraw/zinc/20/65/90/717206590.db2.gz KSPWOQUTUQJRSM-YJBOKZPZSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cccc4[nH]ccc43)CC2)C1 ZINC000941578758 717216907 /nfs/dbraw/zinc/21/69/07/717216907.db2.gz QNCVXCAKWJMCSL-UHFFFAOYSA-N 1 2 322.412 1.243 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC000963341538 717750654 /nfs/dbraw/zinc/75/06/54/717750654.db2.gz YISLQLXSJDLQML-HZPDHXFCSA-N 1 2 315.421 1.500 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965953906 717832937 /nfs/dbraw/zinc/83/29/37/717832937.db2.gz UYLZIEGBNCZZDU-QMTHXVAHSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965953906 717832940 /nfs/dbraw/zinc/83/29/40/717832940.db2.gz UYLZIEGBNCZZDU-QMTHXVAHSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@H]2C)C1 ZINC000966557216 718588377 /nfs/dbraw/zinc/58/83/77/718588377.db2.gz PAXVZBZKESKTCJ-KGLIPLIRSA-N 1 2 316.405 1.203 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2c(C)ncn2C)C1 ZINC000966879737 718691773 /nfs/dbraw/zinc/69/17/73/718691773.db2.gz PTOCYQMHIFDYII-GWCFXTLKSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2c(C)ncn2C)C1 ZINC000966879737 718691775 /nfs/dbraw/zinc/69/17/75/718691775.db2.gz PTOCYQMHIFDYII-GWCFXTLKSA-N 1 2 310.829 1.921 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CCN(CC#N)[C@H](C)C2)c[nH+]1 ZINC000947440503 719164128 /nfs/dbraw/zinc/16/41/28/719164128.db2.gz AOGRLWPGASWCIJ-KGLIPLIRSA-N 1 2 303.410 1.419 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cn(C)nn3)[C@H](C)C2)C1 ZINC000947701465 719248045 /nfs/dbraw/zinc/24/80/45/719248045.db2.gz CFHZXVFCNYMKDF-ZIAGYGMSSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cn(C)nn3)[C@H](C)C2)C1 ZINC000947701465 719248046 /nfs/dbraw/zinc/24/80/46/719248046.db2.gz CFHZXVFCNYMKDF-ZIAGYGMSSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cccc(=O)n2C)C1 ZINC000968318542 719502665 /nfs/dbraw/zinc/50/26/65/719502665.db2.gz WZKUGOGMVNADHA-AAEUAGOBSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cccc(=O)n2C)C1 ZINC000968318542 719502669 /nfs/dbraw/zinc/50/26/69/719502669.db2.gz WZKUGOGMVNADHA-AAEUAGOBSA-N 1 2 323.824 1.578 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccnnc1 ZINC000948965093 719811516 /nfs/dbraw/zinc/81/15/16/719811516.db2.gz FHWMWKSUTBGZIS-QGZVFWFLSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ccnnc1 ZINC000948965093 719811524 /nfs/dbraw/zinc/81/15/24/719811524.db2.gz FHWMWKSUTBGZIS-QGZVFWFLSA-N 1 2 306.369 1.439 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2ccc(NC(C)=O)cc2)CC1 ZINC000949143616 719928496 /nfs/dbraw/zinc/92/84/96/719928496.db2.gz UAJBFYVRZPNGPP-UHFFFAOYSA-N 1 2 313.401 1.355 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2cccc(OC)c2)CC1 ZINC000949265952 719982783 /nfs/dbraw/zinc/98/27/83/719982783.db2.gz NMFDQYBJINPKSU-UHFFFAOYSA-N 1 2 300.402 1.795 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H](C)C3CCC3)CC2)C1 ZINC000949394793 720060259 /nfs/dbraw/zinc/06/02/59/720060259.db2.gz DVORNKIUBFHNLQ-HNNXBMFYSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H](C)C3CCC3)CC2)C1 ZINC000949394793 720060254 /nfs/dbraw/zinc/06/02/54/720060254.db2.gz DVORNKIUBFHNLQ-HNNXBMFYSA-N 1 2 304.434 1.749 20 30 DDEDLO N#Cc1cccc(CN2CCN(C(=O)CCn3cc[nH+]c3)CC2)c1 ZINC000949551575 720157254 /nfs/dbraw/zinc/15/72/54/720157254.db2.gz WINBJMGIYMSZAR-UHFFFAOYSA-N 1 2 323.400 1.489 20 30 DDEDLO CCN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](C[C@@H]2CC[C@@H](C)O2)C1 ZINC000949854279 720382890 /nfs/dbraw/zinc/38/28/90/720382890.db2.gz LBPJYWDIEADFOI-DOMZBBRYSA-N 1 2 316.405 1.600 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3nccn3C2)C1 ZINC000950658253 720723429 /nfs/dbraw/zinc/72/34/29/720723429.db2.gz GMPBOTKYLIULDD-CQSZACIVSA-N 1 2 302.422 1.554 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2nncc3ccccc32)C1 ZINC000950849958 720794641 /nfs/dbraw/zinc/79/46/41/720794641.db2.gz FJFJVHBIEJHZPJ-UHFFFAOYSA-N 1 2 308.385 1.799 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000951913931 721234363 /nfs/dbraw/zinc/23/43/63/721234363.db2.gz KFEOIOFTYMSADF-RHSMWYFYSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCC[C@@H](C(N)=O)C2)C1 ZINC000952383239 721430416 /nfs/dbraw/zinc/43/04/16/721430416.db2.gz LPVGOEOHRUXPCN-KGLIPLIRSA-N 1 2 307.438 1.387 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H]([N@H+](C)Cc3ccon3)C2)cn1 ZINC001027655772 738516605 /nfs/dbraw/zinc/51/66/05/738516605.db2.gz BUGCZKYNZHWITR-KRWDZBQOSA-N 1 2 324.384 1.788 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H]([N@@H+](C)Cc3ccon3)C2)cn1 ZINC001027655772 738516609 /nfs/dbraw/zinc/51/66/09/738516609.db2.gz BUGCZKYNZHWITR-KRWDZBQOSA-N 1 2 324.384 1.788 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@H](C)CNc2cc[nH+]c(C)n2)nn1 ZINC001104232764 732716117 /nfs/dbraw/zinc/71/61/17/732716117.db2.gz UTHAWQXQZZAWRV-LLVKDONJSA-N 1 2 315.381 1.041 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cnc4ccccc4c3)[C@H]2C1 ZINC001083206322 733236206 /nfs/dbraw/zinc/23/62/06/733236206.db2.gz UBIBJAZCEFLQHK-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cnc4ccccc4c3)[C@H]2C1 ZINC001083206322 733236208 /nfs/dbraw/zinc/23/62/08/733236208.db2.gz UBIBJAZCEFLQHK-ZWKOTPCHSA-N 1 2 321.380 1.393 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2C[N@H+](Cc3ncccn3)CC[C@@H]21 ZINC001021584969 733342671 /nfs/dbraw/zinc/34/26/71/733342671.db2.gz NGXMQNAEZOANAG-SJORKVTESA-N 1 2 324.428 1.703 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2C[N@@H+](Cc3ncccn3)CC[C@@H]21 ZINC001021584969 733342675 /nfs/dbraw/zinc/34/26/75/733342675.db2.gz NGXMQNAEZOANAG-SJORKVTESA-N 1 2 324.428 1.703 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3cccc(C)c3)[C@H]2C1 ZINC001083209104 733370694 /nfs/dbraw/zinc/37/06/94/733370694.db2.gz QSJBSLTWVNJKJU-BPQIPLTHSA-N 1 2 312.413 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3cccc(C)c3)[C@H]2C1 ZINC001083209104 733370696 /nfs/dbraw/zinc/37/06/96/733370696.db2.gz QSJBSLTWVNJKJU-BPQIPLTHSA-N 1 2 312.413 1.643 20 30 DDEDLO Cc1conc1C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001027830677 738707322 /nfs/dbraw/zinc/70/73/22/738707322.db2.gz GLAWOKDDKUQXSX-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1conc1C[N@H+]1CCC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001027830677 738707323 /nfs/dbraw/zinc/70/73/23/738707323.db2.gz GLAWOKDDKUQXSX-ZDUSSCGKSA-N 1 2 313.361 1.577 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2[C@H](CCCN2C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001021668329 733422701 /nfs/dbraw/zinc/42/27/01/733422701.db2.gz NEINJFSFCDSQCK-RISCZKNCSA-N 1 2 322.359 1.907 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2[C@H](CCCN2C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001021668329 733422702 /nfs/dbraw/zinc/42/27/02/733422702.db2.gz NEINJFSFCDSQCK-RISCZKNCSA-N 1 2 322.359 1.907 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccsc3Cl)[C@H]2C1 ZINC001083214446 733552044 /nfs/dbraw/zinc/55/20/44/733552044.db2.gz YTZYPCNOYBVUKR-NWDGAFQWSA-N 1 2 310.806 1.560 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccsc3Cl)[C@H]2C1 ZINC001083214446 733552048 /nfs/dbraw/zinc/55/20/48/733552048.db2.gz YTZYPCNOYBVUKR-NWDGAFQWSA-N 1 2 310.806 1.560 20 30 DDEDLO COCCN(CCNC(=O)[C@@H](C)C#N)c1nc(C)[nH+]c(C)c1C ZINC001126261977 738780473 /nfs/dbraw/zinc/78/04/73/738780473.db2.gz WIZRIZFSGUUTRX-NSHDSACASA-N 1 2 319.409 1.131 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(F)c(F)c2)C1 ZINC000891607212 734423929 /nfs/dbraw/zinc/42/39/29/734423929.db2.gz SNEDQWOJZPXFOB-GFCCVEGCSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(F)c(F)c2)C1 ZINC000891607212 734423931 /nfs/dbraw/zinc/42/39/31/734423931.db2.gz SNEDQWOJZPXFOB-GFCCVEGCSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(C)ccc2F)C1 ZINC000891607161 734424106 /nfs/dbraw/zinc/42/41/06/734424106.db2.gz RMRLSRISCGGVBO-CYBMUJFWSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(C)ccc2F)C1 ZINC000891607161 734424107 /nfs/dbraw/zinc/42/41/07/734424107.db2.gz RMRLSRISCGGVBO-CYBMUJFWSA-N 1 2 317.364 1.286 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3coc(CCC)n3)[C@H]2C1 ZINC001083246773 734798875 /nfs/dbraw/zinc/79/88/75/734798875.db2.gz JUNMXXDFXFHSNX-UONOGXRCSA-N 1 2 305.378 1.338 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3coc(CCC)n3)[C@H]2C1 ZINC001083246773 734798878 /nfs/dbraw/zinc/79/88/78/734798878.db2.gz JUNMXXDFXFHSNX-UONOGXRCSA-N 1 2 305.378 1.338 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001023076172 735025521 /nfs/dbraw/zinc/02/55/21/735025521.db2.gz VDTYUCWMBMRORX-PHIMTYICSA-N 1 2 305.769 1.561 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001024469089 735846643 /nfs/dbraw/zinc/84/66/43/735846643.db2.gz PMBDLQXCDUBJPV-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001024469089 735846644 /nfs/dbraw/zinc/84/66/44/735846644.db2.gz PMBDLQXCDUBJPV-STQMWFEESA-N 1 2 313.829 1.188 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H]1CNC(=O)c1snnc1C1CC1 ZINC001024490251 735866566 /nfs/dbraw/zinc/86/65/66/735866566.db2.gz JVXFDVKMLLEVMA-LBPRGKRZSA-N 1 2 304.419 1.633 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1snnc1C1CC1 ZINC001024490251 735866574 /nfs/dbraw/zinc/86/65/74/735866574.db2.gz JVXFDVKMLLEVMA-LBPRGKRZSA-N 1 2 304.419 1.633 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024519954 735889231 /nfs/dbraw/zinc/88/92/31/735889231.db2.gz ADQGXQNEQNBKQE-QWHCGFSZSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024519954 735889233 /nfs/dbraw/zinc/88/92/33/735889233.db2.gz ADQGXQNEQNBKQE-QWHCGFSZSA-N 1 2 313.829 1.236 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@H]2CNC(=O)c2cn[nH]n2)c1 ZINC001024522819 735898247 /nfs/dbraw/zinc/89/82/47/735898247.db2.gz JTJVGOGOVAGFII-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@H]2CNC(=O)c2cn[nH]n2)c1 ZINC001024522819 735898248 /nfs/dbraw/zinc/89/82/48/735898248.db2.gz JTJVGOGOVAGFII-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@H]2CNC(=O)c2cnn[nH]2)c1 ZINC001024522819 735898251 /nfs/dbraw/zinc/89/82/51/735898251.db2.gz JTJVGOGOVAGFII-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@H]2CNC(=O)c2cnn[nH]2)c1 ZINC001024522819 735898253 /nfs/dbraw/zinc/89/82/53/735898253.db2.gz JTJVGOGOVAGFII-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2C[N@@H+]3CCCC[C@H]3CO2)n1C ZINC001121433465 782507465 /nfs/dbraw/zinc/50/74/65/782507465.db2.gz JXZIKBWBIUYWHK-IHRRRGAJSA-N 1 2 303.410 1.199 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@@H]2C[N@H+]3CCCC[C@H]3CO2)n1C ZINC001121433465 782507473 /nfs/dbraw/zinc/50/74/73/782507473.db2.gz JXZIKBWBIUYWHK-IHRRRGAJSA-N 1 2 303.410 1.199 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)N(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001104552500 736284474 /nfs/dbraw/zinc/28/44/74/736284474.db2.gz ANJPCNOWYVVZIG-GFCCVEGCSA-N 1 2 312.377 1.568 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3cccc(F)c3)[C@H]2C1 ZINC001083280176 736461025 /nfs/dbraw/zinc/46/10/25/736461025.db2.gz IBYRTUHNICGUOZ-XOKHGSTOSA-N 1 2 316.376 1.474 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3cccc(F)c3)[C@H]2C1 ZINC001083280176 736461027 /nfs/dbraw/zinc/46/10/27/736461027.db2.gz IBYRTUHNICGUOZ-XOKHGSTOSA-N 1 2 316.376 1.474 20 30 DDEDLO Cc1nc(NC[C@H](C)N(C)C(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001104650330 736491024 /nfs/dbraw/zinc/49/10/24/736491024.db2.gz QZIOZVHWQDINTQ-NSHDSACASA-N 1 2 312.377 1.486 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]([C@@H](C)NC(=O)c2cncc3nc[nH]c32)C1 ZINC001025422290 736513966 /nfs/dbraw/zinc/51/39/66/736513966.db2.gz HGWMXZBYAGCGGT-OLZOCXBDSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]([C@@H](C)NC(=O)c2cncc3nc[nH]c32)C1 ZINC001025422290 736513967 /nfs/dbraw/zinc/51/39/67/736513967.db2.gz HGWMXZBYAGCGGT-OLZOCXBDSA-N 1 2 311.389 1.421 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cn(C)nc2C2CC2)C1 ZINC001107974634 751379753 /nfs/dbraw/zinc/37/97/53/751379753.db2.gz XOPGUOXFIAYDDA-QGZVFWFLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cn(C)nc2C2CC2)C1 ZINC001107974634 751379761 /nfs/dbraw/zinc/37/97/61/751379761.db2.gz XOPGUOXFIAYDDA-QGZVFWFLSA-N 1 2 318.421 1.304 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC001025908760 737021957 /nfs/dbraw/zinc/02/19/57/737021957.db2.gz XPZUPLQQLLFUJD-YYMGMBILSA-N 1 2 312.417 1.145 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC001025908760 737021959 /nfs/dbraw/zinc/02/19/59/737021959.db2.gz XPZUPLQQLLFUJD-YYMGMBILSA-N 1 2 312.417 1.145 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCCCC3(C)C)[C@H]2C1 ZINC001083287291 737033388 /nfs/dbraw/zinc/03/33/88/737033388.db2.gz AVYZWPDBXCZRRB-HRCADAONSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCCCC3(C)C)[C@H]2C1 ZINC001083287291 737033391 /nfs/dbraw/zinc/03/33/91/737033391.db2.gz AVYZWPDBXCZRRB-HRCADAONSA-N 1 2 304.434 1.748 20 30 DDEDLO Cc1nc(NC[C@@H](C)N(C)C(=O)Cc2c[nH+]c[nH]2)ccc1C#N ZINC001104826541 737253487 /nfs/dbraw/zinc/25/34/87/737253487.db2.gz ZQDCGBUQGFNJOZ-LLVKDONJSA-N 1 2 312.377 1.486 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@@H](C)C1 ZINC001105050511 737486585 /nfs/dbraw/zinc/48/65/85/737486585.db2.gz JYNMTKRLWKKTRS-ZFWWWQNUSA-N 1 2 316.405 1.474 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)C(C)(C)C1 ZINC001008287454 737491679 /nfs/dbraw/zinc/49/16/79/737491679.db2.gz CLDLBDZTFQXPQS-ZDUSSCGKSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CCCC(=O)NC[C@H](Nc1nc(N(C)C)nc(C)[nH+]1)C(C)C ZINC001105135336 737568724 /nfs/dbraw/zinc/56/87/24/737568724.db2.gz RPTSKYWBKGTIHJ-ZDUSSCGKSA-N 1 2 320.441 1.765 20 30 DDEDLO CCc1nc(N2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)cc(C)[nH+]1 ZINC001058734150 737895841 /nfs/dbraw/zinc/89/58/41/737895841.db2.gz GGFYTWUFNBLLKH-FPMFFAJLSA-N 1 2 313.405 1.687 20 30 DDEDLO C[C@@H](c1nncn1C)[N@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406209 738266097 /nfs/dbraw/zinc/26/60/97/738266097.db2.gz OGXWXUNDXQQVAX-DZGCQCFKSA-N 1 2 315.421 1.212 20 30 DDEDLO C[C@@H](c1nncn1C)[N@@H+](C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027406209 738266099 /nfs/dbraw/zinc/26/60/99/738266099.db2.gz OGXWXUNDXQQVAX-DZGCQCFKSA-N 1 2 315.421 1.212 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001028130938 739074309 /nfs/dbraw/zinc/07/43/09/739074309.db2.gz YCIDYHILOBOQEB-STQMWFEESA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001028130938 739074314 /nfs/dbraw/zinc/07/43/14/739074314.db2.gz YCIDYHILOBOQEB-STQMWFEESA-N 1 2 313.829 1.378 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@@H](NC(=O)[C@@H]3CCOC3)C2)c1 ZINC001010358279 739088173 /nfs/dbraw/zinc/08/81/73/739088173.db2.gz IFZJEJWRXFSHPG-UKRRQHHQSA-N 1 2 317.364 1.424 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@@H](NC(=O)[C@@H]3CCOC3)C2)c1 ZINC001010358279 739088177 /nfs/dbraw/zinc/08/81/77/739088177.db2.gz IFZJEJWRXFSHPG-UKRRQHHQSA-N 1 2 317.364 1.424 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001207634768 739159575 /nfs/dbraw/zinc/15/95/75/739159575.db2.gz FBPUKJFBDSBNMI-LBPRGKRZSA-N 1 2 307.398 1.182 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H]1CNC(=O)c1ccncn1 ZINC001028213362 739170545 /nfs/dbraw/zinc/17/05/45/739170545.db2.gz WUHMVXFGLVEAJA-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccncn1 ZINC001028213362 739170550 /nfs/dbraw/zinc/17/05/50/739170550.db2.gz WUHMVXFGLVEAJA-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO CC(C)c1cnc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)o1 ZINC001075616058 739187602 /nfs/dbraw/zinc/18/76/02/739187602.db2.gz ICVVIGDXYFXEIE-HZSPNIEDSA-N 1 2 316.405 1.990 20 30 DDEDLO CC(C)c1cnc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)o1 ZINC001075616058 739187604 /nfs/dbraw/zinc/18/76/04/739187604.db2.gz ICVVIGDXYFXEIE-HZSPNIEDSA-N 1 2 316.405 1.990 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H](Nc3cc[nH+]c(C)n3)C2)nc1 ZINC001058932053 739224504 /nfs/dbraw/zinc/22/45/04/739224504.db2.gz NYWNMGCPNCVPAB-AWEZNQCLSA-N 1 2 307.357 1.488 20 30 DDEDLO C[C@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1ccc(C#N)nn1 ZINC001098152822 739272973 /nfs/dbraw/zinc/27/29/73/739272973.db2.gz FTMONKKFWDXSLM-LLVKDONJSA-N 1 2 321.344 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1c(C)nn(C)c1F ZINC001028290807 739302622 /nfs/dbraw/zinc/30/26/22/739302622.db2.gz NUHXEKIHDQAJNS-LLVKDONJSA-N 1 2 314.792 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1c(C)nn(C)c1F ZINC001028290807 739302626 /nfs/dbraw/zinc/30/26/26/739302626.db2.gz NUHXEKIHDQAJNS-LLVKDONJSA-N 1 2 314.792 1.814 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001035390074 751460353 /nfs/dbraw/zinc/46/03/53/751460353.db2.gz VTPWAYMJXLKLKZ-CQSZACIVSA-N 1 2 320.437 1.645 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001035390074 751460354 /nfs/dbraw/zinc/46/03/54/751460354.db2.gz VTPWAYMJXLKLKZ-CQSZACIVSA-N 1 2 320.437 1.645 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3ccccn32)C1 ZINC001035426117 751484595 /nfs/dbraw/zinc/48/45/95/751484595.db2.gz YEPZHHMCEVNKSK-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnc3ccccn32)C1 ZINC001035426117 751484597 /nfs/dbraw/zinc/48/45/97/751484597.db2.gz YEPZHHMCEVNKSK-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3nc(C)oc3c2)C1 ZINC001035403749 751487961 /nfs/dbraw/zinc/48/79/61/751487961.db2.gz HRGZMJXKWMRZMI-CQSZACIVSA-N 1 2 315.373 1.753 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3nc(C)oc3c2)C1 ZINC001035403749 751487963 /nfs/dbraw/zinc/48/79/63/751487963.db2.gz HRGZMJXKWMRZMI-CQSZACIVSA-N 1 2 315.373 1.753 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2-c2ccoc2)C1 ZINC001035455704 751503835 /nfs/dbraw/zinc/50/38/35/751503835.db2.gz YGBNIKSNPIJMCP-CYBMUJFWSA-N 1 2 316.361 1.286 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2-c2ccoc2)C1 ZINC001035455704 751503839 /nfs/dbraw/zinc/50/38/39/751503839.db2.gz YGBNIKSNPIJMCP-CYBMUJFWSA-N 1 2 316.361 1.286 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCc3cc(F)ccc32)C1 ZINC001035457008 751504659 /nfs/dbraw/zinc/50/46/59/751504659.db2.gz XBLBDRJLBSPSSA-DOTOQJQBSA-N 1 2 318.392 1.859 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCc3cc(F)ccc32)C1 ZINC001035457008 751504663 /nfs/dbraw/zinc/50/46/63/751504663.db2.gz XBLBDRJLBSPSSA-DOTOQJQBSA-N 1 2 318.392 1.859 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cn3c(n2)CCCC3)C1 ZINC001107980823 751518869 /nfs/dbraw/zinc/51/88/69/751518869.db2.gz YIXLXTITDKAELF-QGZVFWFLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cn3c(n2)CCCC3)C1 ZINC001107980823 751518871 /nfs/dbraw/zinc/51/88/71/751518871.db2.gz YIXLXTITDKAELF-QGZVFWFLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)noc2C2CC2)C1 ZINC001035447031 751524388 /nfs/dbraw/zinc/52/43/88/751524388.db2.gz POEBQVGXFDFFEC-AWEZNQCLSA-N 1 2 319.405 1.867 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)noc2C2CC2)C1 ZINC001035447031 751524394 /nfs/dbraw/zinc/52/43/94/751524394.db2.gz POEBQVGXFDFFEC-AWEZNQCLSA-N 1 2 319.405 1.867 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)(C)C)on2)C1 ZINC001035442871 751519851 /nfs/dbraw/zinc/51/98/51/751519851.db2.gz RESQMMHBFOOMCP-ZDUSSCGKSA-N 1 2 321.421 1.979 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)(C)C)on2)C1 ZINC001035442871 751519853 /nfs/dbraw/zinc/51/98/53/751519853.db2.gz RESQMMHBFOOMCP-ZDUSSCGKSA-N 1 2 321.421 1.979 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2nc(Cl)cs2)C1 ZINC001035483994 751537859 /nfs/dbraw/zinc/53/78/59/751537859.db2.gz VVAYRCXOLJNXNV-SNVBAGLBSA-N 1 2 313.810 1.250 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2nc(Cl)cs2)C1 ZINC001035483994 751537863 /nfs/dbraw/zinc/53/78/63/751537863.db2.gz VVAYRCXOLJNXNV-SNVBAGLBSA-N 1 2 313.810 1.250 20 30 DDEDLO CC1(C)C[C@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001083412459 740493667 /nfs/dbraw/zinc/49/36/67/740493667.db2.gz HMRXTNRPOIJTFN-MJBXVCDLSA-N 1 2 319.430 1.327 20 30 DDEDLO CC1(C)C[C@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001083412459 740493671 /nfs/dbraw/zinc/49/36/71/740493671.db2.gz HMRXTNRPOIJTFN-MJBXVCDLSA-N 1 2 319.430 1.327 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCCC(F)(F)C2)C1 ZINC001035506803 751563726 /nfs/dbraw/zinc/56/37/26/751563726.db2.gz XNYXHPYZJOENAD-OLZOCXBDSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCCC(F)(F)C2)C1 ZINC001035506803 751563735 /nfs/dbraw/zinc/56/37/35/751563735.db2.gz XNYXHPYZJOENAD-OLZOCXBDSA-N 1 2 302.365 1.815 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2scnc2C2CC2)C1 ZINC001035537766 751582725 /nfs/dbraw/zinc/58/27/25/751582725.db2.gz JVHYXJXDTQJXHY-CYBMUJFWSA-N 1 2 319.430 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2scnc2C2CC2)C1 ZINC001035537766 751582727 /nfs/dbraw/zinc/58/27/27/751582727.db2.gz JVHYXJXDTQJXHY-CYBMUJFWSA-N 1 2 319.430 1.474 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2nc(C)ncc2Cl)C1 ZINC001035537296 751582876 /nfs/dbraw/zinc/58/28/76/751582876.db2.gz OAEDGRVMJGEEPM-LBPRGKRZSA-N 1 2 324.812 1.445 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2nc(C)ncc2Cl)C1 ZINC001035537296 751582879 /nfs/dbraw/zinc/58/28/79/751582879.db2.gz OAEDGRVMJGEEPM-LBPRGKRZSA-N 1 2 324.812 1.445 20 30 DDEDLO COc1cccc(C(=O)CO[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001204774378 740865788 /nfs/dbraw/zinc/86/57/88/740865788.db2.gz HSRHEPBHPJYOMB-RCKFOTBSSA-N 1 2 303.358 1.507 20 30 DDEDLO COc1cccc(C(=O)CO[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001204774378 740865790 /nfs/dbraw/zinc/86/57/90/740865790.db2.gz HSRHEPBHPJYOMB-RCKFOTBSSA-N 1 2 303.358 1.507 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCc2nnc(C[NH2+]CCF)n2CC1 ZINC001128028177 751656124 /nfs/dbraw/zinc/65/61/24/751656124.db2.gz ZNMJZXPTAYDKTK-LBPRGKRZSA-N 1 2 323.416 1.371 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(CNC(C)=O)cc1 ZINC001038472461 741760102 /nfs/dbraw/zinc/76/01/02/741760102.db2.gz YTEYMEHBEYPPQG-QGZVFWFLSA-N 1 2 313.401 1.150 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(CNC(C)=O)cc1 ZINC001038472461 741760106 /nfs/dbraw/zinc/76/01/06/741760106.db2.gz YTEYMEHBEYPPQG-QGZVFWFLSA-N 1 2 313.401 1.150 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CCC3CC3)C2)nn1 ZINC001098641880 741818916 /nfs/dbraw/zinc/81/89/16/741818916.db2.gz ZLDKKHZTNAJKOX-INIZCTEOSA-N 1 2 315.421 1.355 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccccc2OC)C1 ZINC001035587861 751680793 /nfs/dbraw/zinc/68/07/93/751680793.db2.gz AODWZZWBOADNDZ-AWEZNQCLSA-N 1 2 302.374 1.149 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccccc2OC)C1 ZINC001035587861 751680800 /nfs/dbraw/zinc/68/08/00/751680800.db2.gz AODWZZWBOADNDZ-AWEZNQCLSA-N 1 2 302.374 1.149 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc3n(n2)CCO3)[C@H]1C ZINC001088624921 742025430 /nfs/dbraw/zinc/02/54/30/742025430.db2.gz HWYUYWRGNKTGIQ-MNOVXSKESA-N 1 2 310.785 1.221 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc3n(n2)CCO3)[C@H]1C ZINC001088624921 742025438 /nfs/dbraw/zinc/02/54/38/742025438.db2.gz HWYUYWRGNKTGIQ-MNOVXSKESA-N 1 2 310.785 1.221 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)/C=C\C3CC3)C2)nn1 ZINC001098648846 742056438 /nfs/dbraw/zinc/05/64/38/742056438.db2.gz FUJSRKGJGVDNHA-MWWKQMNYSA-N 1 2 313.405 1.131 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001035622469 751692540 /nfs/dbraw/zinc/69/25/40/751692540.db2.gz UKTMQFPMCNTDGE-OAHLLOKOSA-N 1 2 320.437 1.779 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001035622469 751692545 /nfs/dbraw/zinc/69/25/45/751692545.db2.gz UKTMQFPMCNTDGE-OAHLLOKOSA-N 1 2 320.437 1.779 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(F)F)ccc2F)[C@@H](O)C1 ZINC001083465997 742218446 /nfs/dbraw/zinc/21/84/46/742218446.db2.gz AXKMGLPSKRQZAL-OLZOCXBDSA-N 1 2 312.291 1.171 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(F)F)ccc2F)[C@@H](O)C1 ZINC001083465997 742218450 /nfs/dbraw/zinc/21/84/50/742218450.db2.gz AXKMGLPSKRQZAL-OLZOCXBDSA-N 1 2 312.291 1.171 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cscc2C(F)F)[C@@H](O)C1 ZINC001083466191 742219586 /nfs/dbraw/zinc/21/95/86/742219586.db2.gz KEEBKHIJPRHUHC-MNOVXSKESA-N 1 2 300.330 1.094 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cscc2C(F)F)[C@@H](O)C1 ZINC001083466191 742219591 /nfs/dbraw/zinc/21/95/91/742219591.db2.gz KEEBKHIJPRHUHC-MNOVXSKESA-N 1 2 300.330 1.094 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)n(C(C)(C)C)n2)C1 ZINC001035614971 751721888 /nfs/dbraw/zinc/72/18/88/751721888.db2.gz VAMDECYYWABMOY-AWEZNQCLSA-N 1 2 320.437 1.563 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)n(C(C)(C)C)n2)C1 ZINC001035614971 751721889 /nfs/dbraw/zinc/72/18/89/751721889.db2.gz VAMDECYYWABMOY-AWEZNQCLSA-N 1 2 320.437 1.563 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](C)c2cncnc2)[C@H]1C ZINC001088812641 742427645 /nfs/dbraw/zinc/42/76/45/742427645.db2.gz MPNGOHITSWGUPZ-BZPMIXESSA-N 1 2 308.813 1.912 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)c2cncnc2)[C@H]1C ZINC001088812641 742427649 /nfs/dbraw/zinc/42/76/49/742427649.db2.gz MPNGOHITSWGUPZ-BZPMIXESSA-N 1 2 308.813 1.912 20 30 DDEDLO CCO[C@@H](C)c1noc(C[NH2+]CCNC(=O)C#CC(C)(C)C)n1 ZINC001126916215 742486413 /nfs/dbraw/zinc/48/64/13/742486413.db2.gz OIPHVCNBQPAXJJ-LBPRGKRZSA-N 1 2 322.409 1.422 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1nccnc1N)CC2 ZINC001035674675 751755622 /nfs/dbraw/zinc/75/56/22/751755622.db2.gz FQGCVVDMDOTPIU-UHFFFAOYSA-N 1 2 321.812 1.349 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3ccc(OC)nn3)[C@@H]2C1 ZINC001076428186 742727453 /nfs/dbraw/zinc/72/74/53/742727453.db2.gz IIWQGFPIKFYMJE-WCQYABFASA-N 1 2 322.796 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccc(OC)nn3)[C@@H]2C1 ZINC001076428186 742727455 /nfs/dbraw/zinc/72/74/55/742727455.db2.gz IIWQGFPIKFYMJE-WCQYABFASA-N 1 2 322.796 1.384 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3ncccc3OC)[C@@H]2C1 ZINC001076496702 742750979 /nfs/dbraw/zinc/75/09/79/742750979.db2.gz XQOJOERFAODQFJ-QWHCGFSZSA-N 1 2 321.808 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ncccc3OC)[C@@H]2C1 ZINC001076496702 742750984 /nfs/dbraw/zinc/75/09/84/742750984.db2.gz XQOJOERFAODQFJ-QWHCGFSZSA-N 1 2 321.808 1.989 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2csc3cc(C)ccc23)C1 ZINC001077060711 743204712 /nfs/dbraw/zinc/20/47/12/743204712.db2.gz UHOFXSAZJJGRIZ-HUUCEWRRSA-N 1 2 314.410 1.618 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2csc3cc(C)ccc23)C1 ZINC001077060711 743204719 /nfs/dbraw/zinc/20/47/19/743204719.db2.gz UHOFXSAZJJGRIZ-HUUCEWRRSA-N 1 2 314.410 1.618 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001077139262 743265955 /nfs/dbraw/zinc/26/59/55/743265955.db2.gz FAURKFRDEZYYFH-BFHYXJOUSA-N 1 2 318.421 1.881 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001077139262 743265960 /nfs/dbraw/zinc/26/59/60/743265960.db2.gz FAURKFRDEZYYFH-BFHYXJOUSA-N 1 2 318.421 1.881 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)/C=C(\C)CC)C2)nn1 ZINC001098668898 743336664 /nfs/dbraw/zinc/33/66/64/743336664.db2.gz BRYONPQBAICIEF-GLNPCMGASA-N 1 2 301.394 1.131 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c3ccccc3n2)C1 ZINC001077242528 743346452 /nfs/dbraw/zinc/34/64/52/743346452.db2.gz XAJSWTMUBQLMIB-QZTJIDSGSA-N 1 2 323.396 1.341 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c3ccccc3n2)C1 ZINC001077242528 743346458 /nfs/dbraw/zinc/34/64/58/743346458.db2.gz XAJSWTMUBQLMIB-QZTJIDSGSA-N 1 2 323.396 1.341 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC001077340426 743413942 /nfs/dbraw/zinc/41/39/42/743413942.db2.gz CVHXKJDJDWHMTM-ZIAGYGMSSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC001077340426 743413945 /nfs/dbraw/zinc/41/39/45/743413945.db2.gz CVHXKJDJDWHMTM-ZIAGYGMSSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[NH+]1CCC(NC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001002816727 743461720 /nfs/dbraw/zinc/46/17/20/743461720.db2.gz CIUWEBHRJQEEQA-CQSZACIVSA-N 1 2 300.406 1.434 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)c3ccccc3n2)[C@@H](O)C1 ZINC001083579141 743468301 /nfs/dbraw/zinc/46/83/01/743468301.db2.gz XAJSWTMUBQLMIB-MSOLQXFVSA-N 1 2 323.396 1.341 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)c3ccccc3n2)[C@@H](O)C1 ZINC001083579141 743468304 /nfs/dbraw/zinc/46/83/04/743468304.db2.gz XAJSWTMUBQLMIB-MSOLQXFVSA-N 1 2 323.396 1.341 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001127006842 743520451 /nfs/dbraw/zinc/52/04/51/743520451.db2.gz UGUFCPBESKCHNA-XGNXJENSSA-N 1 2 302.378 1.225 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC001182214786 743531072 /nfs/dbraw/zinc/53/10/72/743531072.db2.gz HVYKHUHVFNGVCI-CQSZACIVSA-N 1 2 316.405 1.831 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001060257577 743743393 /nfs/dbraw/zinc/74/33/93/743743393.db2.gz KWKOHQJWFVCAKV-HNNXBMFYSA-N 1 2 324.388 1.643 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](OC)[C@@H](C)CC)CC2)C1 ZINC001105714379 743747891 /nfs/dbraw/zinc/74/78/91/743747891.db2.gz WTUYFHFBVOURCG-YOEHRIQHSA-N 1 2 324.465 1.925 20 30 DDEDLO C=CCCOCCCCC(=O)NCC[NH2+]Cc1cnsn1 ZINC001127124556 743806810 /nfs/dbraw/zinc/80/68/10/743806810.db2.gz FCXFTSJHEZNEKK-UHFFFAOYSA-N 1 2 312.439 1.507 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2[nH]nc3c2cccc3OC)C1 ZINC001030381769 744094095 /nfs/dbraw/zinc/09/40/95/744094095.db2.gz HZFSVUKTVKIGTB-UHFFFAOYSA-N 1 2 300.362 1.562 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCCC[C@@H]2n2cccn2)C1 ZINC001030461462 744175815 /nfs/dbraw/zinc/17/58/15/744175815.db2.gz OEBIRJXXOHDUCX-CVEARBPZSA-N 1 2 302.422 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001007749829 752311876 /nfs/dbraw/zinc/31/18/76/752311876.db2.gz ABIBGOJOFSAUTR-CYBMUJFWSA-N 1 2 309.797 1.332 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001007749829 752311885 /nfs/dbraw/zinc/31/18/85/752311885.db2.gz ABIBGOJOFSAUTR-CYBMUJFWSA-N 1 2 309.797 1.332 20 30 DDEDLO C=CC[N@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)[C@H]1C ZINC001088956994 744404540 /nfs/dbraw/zinc/40/45/40/744404540.db2.gz CYSPMZRCIBBMRR-DOMZBBRYSA-N 1 2 311.389 1.850 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)[C@H]1C ZINC001088956994 744404543 /nfs/dbraw/zinc/40/45/43/744404543.db2.gz CYSPMZRCIBBMRR-DOMZBBRYSA-N 1 2 311.389 1.850 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2COc3ccc(F)cc3C2)C1 ZINC001030674777 744431029 /nfs/dbraw/zinc/43/10/29/744431029.db2.gz IUPSHMQXPGSPDN-ZDUSSCGKSA-N 1 2 304.365 1.753 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](C[C@@H]3CC(C)(C)CO3)C2)cc1 ZINC001030777424 744612318 /nfs/dbraw/zinc/61/23/18/744612318.db2.gz XOXUEULXDSTTEF-KRWDZBQOSA-N 1 2 312.413 1.897 20 30 DDEDLO C=C(C)CN1CC(NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC001030831899 744688251 /nfs/dbraw/zinc/68/82/51/744688251.db2.gz RBAKUQNILRZPEE-MRXNPFEDSA-N 1 2 307.438 1.006 20 30 DDEDLO C=C(C)CN1CC(NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC001030831899 744688255 /nfs/dbraw/zinc/68/82/55/744688255.db2.gz RBAKUQNILRZPEE-MRXNPFEDSA-N 1 2 307.438 1.006 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc(-c3cnc(N)nc3)c2)CC1 ZINC001188447762 744708033 /nfs/dbraw/zinc/70/80/33/744708033.db2.gz BNZWULFKRUDMBW-UHFFFAOYSA-N 1 2 323.400 1.670 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ccon2)C1 ZINC001189243003 744851991 /nfs/dbraw/zinc/85/19/91/744851991.db2.gz OMLDJWVEUBPERC-HIFRSBDPSA-N 1 2 307.394 1.689 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ccon2)C1 ZINC001189243003 744851993 /nfs/dbraw/zinc/85/19/93/744851993.db2.gz OMLDJWVEUBPERC-HIFRSBDPSA-N 1 2 307.394 1.689 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1CNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC001189255927 744855203 /nfs/dbraw/zinc/85/52/03/744855203.db2.gz MMEZVKFVDGNWGV-HNNXBMFYSA-N 1 2 322.430 1.818 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1CNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC001189255927 744855206 /nfs/dbraw/zinc/85/52/06/744855206.db2.gz MMEZVKFVDGNWGV-HNNXBMFYSA-N 1 2 322.430 1.818 20 30 DDEDLO C=C(Cl)CN1CC2(C1)CCN(C(=O)Cn1cc[nH+]c1)CC2 ZINC001035861531 751957938 /nfs/dbraw/zinc/95/79/38/751957938.db2.gz XDWGYQXAMFMEHX-UHFFFAOYSA-N 1 2 308.813 1.560 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)CC=C(Cl)Cl)C1 ZINC001190114207 745119612 /nfs/dbraw/zinc/11/96/12/745119612.db2.gz PBNXKGSIDDNNPO-LBPRGKRZSA-N 1 2 319.232 1.878 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)CC=C(Cl)Cl)C1 ZINC001190114207 745119617 /nfs/dbraw/zinc/11/96/17/745119617.db2.gz PBNXKGSIDDNNPO-LBPRGKRZSA-N 1 2 319.232 1.878 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2csnn2)C1 ZINC001015421169 745275824 /nfs/dbraw/zinc/27/58/24/745275824.db2.gz DWTKBHTZVCHWJI-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2csnn2)C1 ZINC001015421169 745275830 /nfs/dbraw/zinc/27/58/30/745275830.db2.gz DWTKBHTZVCHWJI-CYBMUJFWSA-N 1 2 313.386 1.414 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC1C[NH+](C[C@@H]2CCCCO2)C1 ZINC001031089385 745346334 /nfs/dbraw/zinc/34/63/34/745346334.db2.gz JOPILSYFQAJHHG-JYJNAYRXSA-N 1 2 308.422 1.337 20 30 DDEDLO Cc1cccc(Cl)c1C[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001191872756 745635952 /nfs/dbraw/zinc/63/59/52/745635952.db2.gz OIAJYSMUDCTTGP-KCPJHIHWSA-N 1 2 321.808 1.469 20 30 DDEDLO Cc1cccc(Cl)c1C[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001191872756 745635955 /nfs/dbraw/zinc/63/59/55/745635955.db2.gz OIAJYSMUDCTTGP-KCPJHIHWSA-N 1 2 321.808 1.469 20 30 DDEDLO CC/C(C)=C/C(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001192709522 745875787 /nfs/dbraw/zinc/87/57/87/745875787.db2.gz LXFHMNSIBCLAAG-UTTIPUOZSA-N 1 2 313.401 1.576 20 30 DDEDLO CC/C(C)=C/C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001192709522 745875793 /nfs/dbraw/zinc/87/57/93/745875793.db2.gz LXFHMNSIBCLAAG-UTTIPUOZSA-N 1 2 313.401 1.576 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2nnc(C)o2)[C@@H]1C ZINC000993331268 746004059 /nfs/dbraw/zinc/00/40/59/746004059.db2.gz PYSLLSCZHSIWJK-JQWIXIFHSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2nnc(C)o2)[C@@H]1C ZINC000993331268 746004063 /nfs/dbraw/zinc/00/40/63/746004063.db2.gz PYSLLSCZHSIWJK-JQWIXIFHSA-N 1 2 312.801 1.642 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1O ZINC001193231409 746024462 /nfs/dbraw/zinc/02/44/62/746024462.db2.gz AUWIDKJJLRPPGP-HZPDHXFCSA-N 1 2 319.405 1.413 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1O ZINC001193231409 746024465 /nfs/dbraw/zinc/02/44/65/746024465.db2.gz AUWIDKJJLRPPGP-HZPDHXFCSA-N 1 2 319.405 1.413 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001110459636 746108538 /nfs/dbraw/zinc/10/85/38/746108538.db2.gz UPAORMTXGZWJCL-OAGGEKHMSA-N 1 2 319.449 1.428 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001110459636 746108540 /nfs/dbraw/zinc/10/85/40/746108540.db2.gz UPAORMTXGZWJCL-OAGGEKHMSA-N 1 2 319.449 1.428 20 30 DDEDLO COc1ccc(C#N)c(S(=O)(=O)N2CC([NH+]3CCCC3)C2)c1 ZINC001193669592 746171674 /nfs/dbraw/zinc/17/16/74/746171674.db2.gz YWKQZNMNFSHMRS-UHFFFAOYSA-N 1 2 321.402 1.036 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(C)CCCCCC2)C1 ZINC001193828461 746210397 /nfs/dbraw/zinc/21/03/97/746210397.db2.gz QRYOSQDDDPOFQM-HZPDHXFCSA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(C)CCCCCC2)C1 ZINC001193828461 746210399 /nfs/dbraw/zinc/21/03/99/746210399.db2.gz QRYOSQDDDPOFQM-HZPDHXFCSA-N 1 2 322.449 1.158 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2scnc2COC)[C@H]1C ZINC000993957175 746391266 /nfs/dbraw/zinc/39/12/66/746391266.db2.gz BMNNDZUJRJPHLL-CHWSQXEVSA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2scnc2COC)[C@H]1C ZINC000993957175 746391271 /nfs/dbraw/zinc/39/12/71/746391271.db2.gz BMNNDZUJRJPHLL-CHWSQXEVSA-N 1 2 321.446 1.896 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cnc(OC)nc2)[C@@H]1C ZINC000994158822 746468722 /nfs/dbraw/zinc/46/87/22/746468722.db2.gz KOBDLPGXBBYKGT-AAEUAGOBSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cnc(OC)nc2)[C@@H]1C ZINC000994158822 746468727 /nfs/dbraw/zinc/46/87/27/746468727.db2.gz KOBDLPGXBBYKGT-AAEUAGOBSA-N 1 2 324.812 1.820 20 30 DDEDLO Cn1cc(NC(=O)c2cccc(-c3c[nH+]cn3C)c2)c(C#N)n1 ZINC001194888404 746489549 /nfs/dbraw/zinc/48/95/49/746489549.db2.gz VPHYBHPQCOZZAH-UHFFFAOYSA-N 1 2 306.329 1.945 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1CCC[N@H+](CCF)[C@H]1C ZINC000994242211 746509032 /nfs/dbraw/zinc/50/90/32/746509032.db2.gz PUQORXLAINBZLF-ZFWWWQNUSA-N 1 2 319.380 1.646 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1CCC[N@@H+](CCF)[C@H]1C ZINC000994242211 746509036 /nfs/dbraw/zinc/50/90/36/746509036.db2.gz PUQORXLAINBZLF-ZFWWWQNUSA-N 1 2 319.380 1.646 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC1CN(Cc2ccc(C#N)cc2)C1 ZINC001031313590 746528328 /nfs/dbraw/zinc/52/83/28/746528328.db2.gz PQWGCPUCXGQLDT-UHFFFAOYSA-N 1 2 309.373 1.133 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H](C)CCC)CC1 ZINC001195210127 746549964 /nfs/dbraw/zinc/54/99/64/746549964.db2.gz LWUSNAZDPIWXLQ-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H](C)CCC)CC1 ZINC001195210127 746549966 /nfs/dbraw/zinc/54/99/66/746549966.db2.gz LWUSNAZDPIWXLQ-OAHLLOKOSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](Cc2cncc(OC)n2)CC1 ZINC001195225596 746552843 /nfs/dbraw/zinc/55/28/43/746552843.db2.gz GUBBAMGVAZOGLB-UHFFFAOYSA-N 1 2 304.394 1.486 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](Cc2cncc(OC)n2)CC1 ZINC001195225596 746552847 /nfs/dbraw/zinc/55/28/47/746552847.db2.gz GUBBAMGVAZOGLB-UHFFFAOYSA-N 1 2 304.394 1.486 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1O ZINC001195277624 746562937 /nfs/dbraw/zinc/56/29/37/746562937.db2.gz INZLVGNOHZQRNH-HUUCEWRRSA-N 1 2 306.381 1.699 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1O ZINC001195277624 746562940 /nfs/dbraw/zinc/56/29/40/746562940.db2.gz INZLVGNOHZQRNH-HUUCEWRRSA-N 1 2 306.381 1.699 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](CC(=O)NCCC(C)(C)C)CC1 ZINC001195314306 746574557 /nfs/dbraw/zinc/57/45/57/746574557.db2.gz KUWSSZXLLSJJSC-AWEZNQCLSA-N 1 2 322.453 1.233 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](CC(=O)NCCC(C)(C)C)CC1 ZINC001195314306 746574559 /nfs/dbraw/zinc/57/45/59/746574559.db2.gz KUWSSZXLLSJJSC-AWEZNQCLSA-N 1 2 322.453 1.233 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2oc(C)nc2C)CC1 ZINC001195403507 746591008 /nfs/dbraw/zinc/59/10/08/746591008.db2.gz DEFATOMQAOWVDW-UHFFFAOYSA-N 1 2 305.378 1.089 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2oc(C)nc2C)CC1 ZINC001195403507 746591011 /nfs/dbraw/zinc/59/10/11/746591011.db2.gz DEFATOMQAOWVDW-UHFFFAOYSA-N 1 2 305.378 1.089 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195549452 746643227 /nfs/dbraw/zinc/64/32/27/746643227.db2.gz YZCNKHGQIJQPLU-NILFDRSVSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195549452 746643230 /nfs/dbraw/zinc/64/32/30/746643230.db2.gz YZCNKHGQIJQPLU-NILFDRSVSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3ocnc3C)C[C@H]2O)CC1 ZINC001195905828 746733671 /nfs/dbraw/zinc/73/36/71/746733671.db2.gz DDJXKPVMVRNZRS-ZIAGYGMSSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3ocnc3C)C[C@H]2O)CC1 ZINC001195905828 746733677 /nfs/dbraw/zinc/73/36/77/746733677.db2.gz DDJXKPVMVRNZRS-ZIAGYGMSSA-N 1 2 319.405 1.391 20 30 DDEDLO C[C@H](C[C@H](C)Nc1ncccc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089381597 746762502 /nfs/dbraw/zinc/76/25/02/746762502.db2.gz TTYAFTFCTARYOE-NEPJUHHUSA-N 1 2 312.377 1.614 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@@H]2CCCCN2C(=O)CCC)C1 ZINC001031427106 746787450 /nfs/dbraw/zinc/78/74/50/746787450.db2.gz QHVIRQRWQAFJAX-HNNXBMFYSA-N 1 2 307.438 1.544 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001196481922 746872177 /nfs/dbraw/zinc/87/21/77/746872177.db2.gz HJKSTJGUCLMNGY-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001196481922 746872180 /nfs/dbraw/zinc/87/21/80/746872180.db2.gz HJKSTJGUCLMNGY-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)c2cocc2C)CC1 ZINC001196774930 746969495 /nfs/dbraw/zinc/96/94/95/746969495.db2.gz NKKYKFPPNKWSSO-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)c2cocc2C)CC1 ZINC001196774930 746969501 /nfs/dbraw/zinc/96/95/01/746969501.db2.gz NKKYKFPPNKWSSO-AWEZNQCLSA-N 1 2 319.405 1.427 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001196832024 746976112 /nfs/dbraw/zinc/97/61/12/746976112.db2.gz VSABWJPJQUXXAB-CVEARBPZSA-N 1 2 323.481 1.751 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001196832024 746976115 /nfs/dbraw/zinc/97/61/15/746976115.db2.gz VSABWJPJQUXXAB-CVEARBPZSA-N 1 2 323.481 1.751 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001196881719 746988581 /nfs/dbraw/zinc/98/85/81/746988581.db2.gz XCWGJWGIGPDSMX-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001196881719 746988582 /nfs/dbraw/zinc/98/85/82/746988582.db2.gz XCWGJWGIGPDSMX-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(C)CC=CC2)CC1 ZINC001197028547 747041996 /nfs/dbraw/zinc/04/19/96/747041996.db2.gz GCIQGSTZNVIDJJ-OAHLLOKOSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(C)CC=CC2)CC1 ZINC001197028547 747042003 /nfs/dbraw/zinc/04/20/03/747042003.db2.gz GCIQGSTZNVIDJJ-OAHLLOKOSA-N 1 2 317.433 1.015 20 30 DDEDLO CCc1cc(C(=O)NCC2([NH+]3CCCC3)COC2)ccc1C#N ZINC001197292796 747131964 /nfs/dbraw/zinc/13/19/64/747131964.db2.gz UNFICHIFDVBWLO-UHFFFAOYSA-N 1 2 313.401 1.715 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(C)CC(C)C)CC1 ZINC001197754361 747258248 /nfs/dbraw/zinc/25/82/48/747258248.db2.gz PKRWMZNOQULIIS-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)CC(C)C)CC1 ZINC001197754361 747258250 /nfs/dbraw/zinc/25/82/50/747258250.db2.gz PKRWMZNOQULIIS-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H](CC)C(C)C)CC1 ZINC001197879694 747295381 /nfs/dbraw/zinc/29/53/81/747295381.db2.gz MBQAAJVPYOENAG-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H](CC)C(C)C)CC1 ZINC001197879694 747295385 /nfs/dbraw/zinc/29/53/85/747295385.db2.gz MBQAAJVPYOENAG-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)[C@@H]3CC3(F)F)C2)cc1F ZINC001031655454 747323017 /nfs/dbraw/zinc/32/30/17/747323017.db2.gz GXRRJWCQCDYZQN-ZDUSSCGKSA-N 1 2 323.318 1.901 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(F)c2)[C@H](O)C1 ZINC001090022522 747339453 /nfs/dbraw/zinc/33/94/53/747339453.db2.gz IQIAXRODENXAQQ-UONOGXRCSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(F)c2)[C@H](O)C1 ZINC001090022522 747339460 /nfs/dbraw/zinc/33/94/60/747339460.db2.gz IQIAXRODENXAQQ-UONOGXRCSA-N 1 2 312.772 1.743 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2c(C)nsc2C)CC1 ZINC001198283292 747435457 /nfs/dbraw/zinc/43/54/57/747435457.db2.gz SZVAUQVDUFNVQQ-UHFFFAOYSA-N 1 2 321.446 1.558 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2c(C)nsc2C)CC1 ZINC001198283292 747435458 /nfs/dbraw/zinc/43/54/58/747435458.db2.gz SZVAUQVDUFNVQQ-UHFFFAOYSA-N 1 2 321.446 1.558 20 30 DDEDLO CN(C(=O)C(F)F)[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC001032856540 747463422 /nfs/dbraw/zinc/46/34/22/747463422.db2.gz QBFJAPINAIWXMJ-CQSZACIVSA-N 1 2 310.319 1.975 20 30 DDEDLO CN(C(=O)C(F)F)[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC001032856540 747463429 /nfs/dbraw/zinc/46/34/29/747463429.db2.gz QBFJAPINAIWXMJ-CQSZACIVSA-N 1 2 310.319 1.975 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)C[C@H]1C ZINC001110509648 747510319 /nfs/dbraw/zinc/51/03/19/747510319.db2.gz JYAWRKCKGJFSMZ-TZMCWYRMSA-N 1 2 304.394 1.779 20 30 DDEDLO C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCCC[N@H+]1C ZINC001089657278 747601013 /nfs/dbraw/zinc/60/10/13/747601013.db2.gz BWSHSUXLEGKEKB-HZPDHXFCSA-N 1 2 305.466 1.711 20 30 DDEDLO C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCCC[N@@H+]1C ZINC001089657278 747601016 /nfs/dbraw/zinc/60/10/16/747601016.db2.gz BWSHSUXLEGKEKB-HZPDHXFCSA-N 1 2 305.466 1.711 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]([NH2+]CC(N)=O)c1ccccc1OC ZINC001198835159 747612146 /nfs/dbraw/zinc/61/21/46/747612146.db2.gz SERSVLLWSQEAGC-ZDUSSCGKSA-N 1 2 319.405 1.140 20 30 DDEDLO COc1ccc(S(=O)(=O)NC2(C#N)CC[NH+](C)CC2)c(C)c1 ZINC001198978193 747667633 /nfs/dbraw/zinc/66/76/33/747667633.db2.gz NLZWHYNJDJVUGV-UHFFFAOYSA-N 1 2 323.418 1.270 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001007548031 752201847 /nfs/dbraw/zinc/20/18/47/752201847.db2.gz SJEFLPUMCCINJJ-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001007548031 752201850 /nfs/dbraw/zinc/20/18/50/752201850.db2.gz SJEFLPUMCCINJJ-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCc3onc(Cn4cc[nH+]c4)c3C2)[nH]1 ZINC001199677590 747948948 /nfs/dbraw/zinc/94/89/48/747948948.db2.gz ACUQXBLLZYWZIC-UHFFFAOYSA-N 1 2 322.328 1.318 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108063557 747963102 /nfs/dbraw/zinc/96/31/02/747963102.db2.gz SBHOMNJJQAJLJD-YPMHNXCESA-N 1 2 320.441 1.765 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)oc2C)[C@H](O)C1 ZINC001090064190 747985236 /nfs/dbraw/zinc/98/52/36/747985236.db2.gz JVJVDTWIAUWNAA-UONOGXRCSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)oc2C)[C@H](O)C1 ZINC001090064190 747985240 /nfs/dbraw/zinc/98/52/40/747985240.db2.gz JVJVDTWIAUWNAA-UONOGXRCSA-N 1 2 312.797 1.814 20 30 DDEDLO N#Cc1ccc(N2CC=C(CNC(=O)Cn3cc[nH+]c3)CC2)cn1 ZINC001127664471 748066183 /nfs/dbraw/zinc/06/61/83/748066183.db2.gz PQARLXOFMAANBM-UHFFFAOYSA-N 1 2 322.372 1.103 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC000995068672 748110215 /nfs/dbraw/zinc/11/02/15/748110215.db2.gz VGPSHEIPDYDNFL-UHFFFAOYSA-N 1 2 312.373 1.716 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC(NC(=O)c3cc4c[nH+]ccc4[nH]3)C2)C1 ZINC000995069021 748111198 /nfs/dbraw/zinc/11/11/98/748111198.db2.gz ZLPDCVKNMOTVEX-UHFFFAOYSA-N 1 2 324.384 1.860 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(OC)c2)[C@@H](O)C1 ZINC001083836203 748416864 /nfs/dbraw/zinc/41/68/64/748416864.db2.gz NRAHTFQIALTVSD-KGLIPLIRSA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(OC)c2)[C@@H](O)C1 ZINC001083836203 748416867 /nfs/dbraw/zinc/41/68/67/748416867.db2.gz NRAHTFQIALTVSD-KGLIPLIRSA-N 1 2 322.792 1.147 20 30 DDEDLO CCn1ccnc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004428664 748425087 /nfs/dbraw/zinc/42/50/87/748425087.db2.gz YZXHIXQMOUSFKQ-HNNXBMFYSA-N 1 2 315.421 1.743 20 30 DDEDLO CCn1ccnc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004428664 748425089 /nfs/dbraw/zinc/42/50/89/748425089.db2.gz YZXHIXQMOUSFKQ-HNNXBMFYSA-N 1 2 315.421 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cc(C)nnc2C)C1 ZINC001033121288 748455632 /nfs/dbraw/zinc/45/56/32/748455632.db2.gz HMXBLVJQVWGWKB-ZDUSSCGKSA-N 1 2 308.813 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc(C)nnc2C)C1 ZINC001033121288 748455634 /nfs/dbraw/zinc/45/56/34/748455634.db2.gz HMXBLVJQVWGWKB-ZDUSSCGKSA-N 1 2 308.813 1.992 20 30 DDEDLO Cc1ncc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)n1C ZINC001004523612 748510801 /nfs/dbraw/zinc/51/08/01/748510801.db2.gz SLABNOAWZHZMQV-HNNXBMFYSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1ncc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)n1C ZINC001004523612 748510803 /nfs/dbraw/zinc/51/08/03/748510803.db2.gz SLABNOAWZHZMQV-HNNXBMFYSA-N 1 2 315.421 1.569 20 30 DDEDLO C[C@@H]1CCO[C@@H]1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004550997 748542029 /nfs/dbraw/zinc/54/20/29/748542029.db2.gz GSAXTEOJQSNWCU-KBMXLJTQSA-N 1 2 305.422 1.638 20 30 DDEDLO C[C@@H]1CCO[C@@H]1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004550997 748542032 /nfs/dbraw/zinc/54/20/32/748542032.db2.gz GSAXTEOJQSNWCU-KBMXLJTQSA-N 1 2 305.422 1.638 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cccnn3)C2)s1 ZINC001032066323 748554257 /nfs/dbraw/zinc/55/42/57/748554257.db2.gz NSQKYRNGUFLWNM-UHFFFAOYSA-N 1 2 313.386 1.272 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC([C@H]2CCCN2CC#N)CC1 ZINC001004599218 748577970 /nfs/dbraw/zinc/57/79/70/748577970.db2.gz YYXVELIRWYEEBT-MRXNPFEDSA-N 1 2 315.421 1.189 20 30 DDEDLO Cn1cncc1CC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004599218 748577975 /nfs/dbraw/zinc/57/79/75/748577975.db2.gz YYXVELIRWYEEBT-MRXNPFEDSA-N 1 2 315.421 1.189 20 30 DDEDLO Cn1cncc1CC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004599218 748577978 /nfs/dbraw/zinc/57/79/78/748577978.db2.gz YYXVELIRWYEEBT-MRXNPFEDSA-N 1 2 315.421 1.189 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](N(C)C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC001033148118 748587459 /nfs/dbraw/zinc/58/74/59/748587459.db2.gz XAUBPEDNUXDUNE-SECBINFHSA-N 1 2 302.300 1.761 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](N(C)C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC001033148118 748587463 /nfs/dbraw/zinc/58/74/63/748587463.db2.gz XAUBPEDNUXDUNE-SECBINFHSA-N 1 2 302.300 1.761 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)noc2C2CC2)C1 ZINC001108078790 748632190 /nfs/dbraw/zinc/63/21/90/748632190.db2.gz UBALNHYNCQNSFD-KRWDZBQOSA-N 1 2 319.405 1.867 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)noc2C2CC2)C1 ZINC001108078790 748632194 /nfs/dbraw/zinc/63/21/94/748632194.db2.gz UBALNHYNCQNSFD-KRWDZBQOSA-N 1 2 319.405 1.867 20 30 DDEDLO C=C(C)[C@H](CC(=O)NCC[NH2+][C@H](C)c1nc(CC)no1)OCC ZINC001128540378 748762470 /nfs/dbraw/zinc/76/24/70/748762470.db2.gz VCDDAKIHGBMWDW-OLZOCXBDSA-N 1 2 324.425 1.770 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001110598621 748812433 /nfs/dbraw/zinc/81/24/33/748812433.db2.gz DQKRQZGDUPIFOB-KFWWJZLASA-N 1 2 321.465 1.836 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001110598621 748812439 /nfs/dbraw/zinc/81/24/39/748812439.db2.gz DQKRQZGDUPIFOB-KFWWJZLASA-N 1 2 321.465 1.836 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCCc2cccnc2)C1 ZINC001108310283 761902125 /nfs/dbraw/zinc/90/21/25/761902125.db2.gz KTTGRULEYMMKJE-SFHVURJKSA-N 1 2 317.433 1.797 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCCc2cccnc2)C1 ZINC001108310283 761902127 /nfs/dbraw/zinc/90/21/27/761902127.db2.gz KTTGRULEYMMKJE-SFHVURJKSA-N 1 2 317.433 1.797 20 30 DDEDLO C#Cc1cccc(NC(=O)C[NH+]2CCC(N(C)C(C)=O)CC2)c1 ZINC001005016582 749019868 /nfs/dbraw/zinc/01/98/68/749019868.db2.gz WTNLZIHTEIGEJC-UHFFFAOYSA-N 1 2 313.401 1.549 20 30 DDEDLO Cc1nc(N2CC[C@@](C)(NC(=O)Cc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001110817944 749040482 /nfs/dbraw/zinc/04/04/82/749040482.db2.gz OOEJWISRFKROEN-QGZVFWFLSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2nnn(C)c2C)CC1 ZINC001000544098 761913606 /nfs/dbraw/zinc/91/36/06/761913606.db2.gz PKOZYDMLHCUSDV-UHFFFAOYSA-N 1 2 309.801 1.238 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2nnn(C)c2C)CC1 ZINC001000544098 761913612 /nfs/dbraw/zinc/91/36/12/761913612.db2.gz PKOZYDMLHCUSDV-UHFFFAOYSA-N 1 2 309.801 1.238 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H](OC)C(F)(F)F)C1 ZINC001108326559 761917927 /nfs/dbraw/zinc/91/79/27/761917927.db2.gz AJQBCASJEMYBKL-AAEUAGOBSA-N 1 2 324.343 1.347 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](OC)C(F)(F)F)C1 ZINC001108326559 761917933 /nfs/dbraw/zinc/91/79/33/761917933.db2.gz AJQBCASJEMYBKL-AAEUAGOBSA-N 1 2 324.343 1.347 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)n2cccn2)C1 ZINC001108332923 761928961 /nfs/dbraw/zinc/92/89/61/761928961.db2.gz JUAZGQLPMQHVAQ-NVXWUHKLSA-N 1 2 318.421 1.065 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)n2cccn2)C1 ZINC001108332923 761928963 /nfs/dbraw/zinc/92/89/63/761928963.db2.gz JUAZGQLPMQHVAQ-NVXWUHKLSA-N 1 2 318.421 1.065 20 30 DDEDLO C[C@@H]1CN(C2C[NH+](Cc3ccc(OCC#N)cc3)C2)C[C@@H](C)O1 ZINC001137123981 749346089 /nfs/dbraw/zinc/34/60/89/749346089.db2.gz KBLMFPRCPJWYSX-HUUCEWRRSA-N 1 2 315.417 1.882 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(Cc3ccc(OCC#N)cc3)C2)C[C@@H](C)O1 ZINC001137123981 749346094 /nfs/dbraw/zinc/34/60/94/749346094.db2.gz KBLMFPRCPJWYSX-HUUCEWRRSA-N 1 2 315.417 1.882 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)OCCCC)nn2)C1 ZINC001107140636 749372724 /nfs/dbraw/zinc/37/27/24/749372724.db2.gz NXOWAZGDRNWVBW-CYBMUJFWSA-N 1 2 321.425 1.142 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2nnc3ccccc3c2O)C1 ZINC001033470418 749396165 /nfs/dbraw/zinc/39/61/65/749396165.db2.gz OKWAJHVFFRVCCL-GFCCVEGCSA-N 1 2 310.357 1.115 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2nnc3ccccc3c2O)C1 ZINC001033470418 749396167 /nfs/dbraw/zinc/39/61/67/749396167.db2.gz OKWAJHVFFRVCCL-GFCCVEGCSA-N 1 2 310.357 1.115 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CCC3CCC3)nn2)C1 ZINC001107154039 749431066 /nfs/dbraw/zinc/43/10/66/749431066.db2.gz USINDSKAIAYHKL-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001039349129 761954229 /nfs/dbraw/zinc/95/42/29/761954229.db2.gz OKWGFSBWUISTSG-YUTCNCBUSA-N 1 2 302.378 1.708 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001039349129 761954235 /nfs/dbraw/zinc/95/42/35/761954235.db2.gz OKWGFSBWUISTSG-YUTCNCBUSA-N 1 2 302.378 1.708 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(CCCC)CC3)nn2)C1 ZINC001107205037 749588011 /nfs/dbraw/zinc/58/80/11/749588011.db2.gz NJHBFZNJBLMLEQ-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@]3(C)CCC[C@@H]3C)nn2)C1 ZINC001107205599 749590240 /nfs/dbraw/zinc/59/02/40/749590240.db2.gz ALLJWIPUVKNNLR-SUMWQHHRSA-N 1 2 317.437 1.763 20 30 DDEDLO CC1(C)CN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C[C@@H]1NCC#N ZINC000996474255 749612891 /nfs/dbraw/zinc/61/28/91/749612891.db2.gz CEAKQHSATSXDTD-INIZCTEOSA-N 1 2 323.400 1.836 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C(C)(C)CC=C)nn2)C1 ZINC001107216025 749624638 /nfs/dbraw/zinc/62/46/38/749624638.db2.gz SWVQMTZSYFRBEH-UHFFFAOYSA-N 1 2 303.410 1.539 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](OC)C2CCCC2)C1 ZINC001108369728 761989562 /nfs/dbraw/zinc/98/95/62/761989562.db2.gz YIDWYKWKAOFWMS-WBVHZDCISA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](OC)C2CCCC2)C1 ZINC001108369728 761989564 /nfs/dbraw/zinc/98/95/64/761989564.db2.gz YIDWYKWKAOFWMS-WBVHZDCISA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2oc(C)cc2C)[C@H](O)C1 ZINC001090144611 749901521 /nfs/dbraw/zinc/90/15/21/749901521.db2.gz INDJMJFNMHNMFF-QWHCGFSZSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2oc(C)cc2C)[C@H](O)C1 ZINC001090144611 749901525 /nfs/dbraw/zinc/90/15/25/749901525.db2.gz INDJMJFNMHNMFF-QWHCGFSZSA-N 1 2 312.797 1.814 20 30 DDEDLO N#Cc1cnccc1N1CCC(NC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001095450695 749909286 /nfs/dbraw/zinc/90/92/86/749909286.db2.gz RWRXBQGLVGKUNP-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cnccc1N1CCC(NC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001095450695 749909291 /nfs/dbraw/zinc/90/92/91/749909291.db2.gz RWRXBQGLVGKUNP-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066758002 749952099 /nfs/dbraw/zinc/95/20/99/749952099.db2.gz CKXWKVQDPNBMAU-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(C)C=CCC1)C2 ZINC001110902157 750192285 /nfs/dbraw/zinc/19/22/85/750192285.db2.gz OCQZJZUADIILHQ-BSXFFOKHSA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(C)C=CCC1)C2 ZINC001110902157 750192289 /nfs/dbraw/zinc/19/22/89/750192289.db2.gz OCQZJZUADIILHQ-BSXFFOKHSA-N 1 2 317.433 1.366 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001110906677 750201755 /nfs/dbraw/zinc/20/17/55/750201755.db2.gz FCIAWMORCSVKRS-KFWWJZLASA-N 1 2 318.421 1.094 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001110906677 750201759 /nfs/dbraw/zinc/20/17/59/750201759.db2.gz FCIAWMORCSVKRS-KFWWJZLASA-N 1 2 318.421 1.094 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C[C@@H](C)CCC)[C@@H](n2ccnn2)C1 ZINC001128803046 750232449 /nfs/dbraw/zinc/23/24/49/750232449.db2.gz FYKXYDXRBXTTCJ-XHSDSOJGSA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C[C@@H](C)CCC)[C@@H](n2ccnn2)C1 ZINC001128803046 750232451 /nfs/dbraw/zinc/23/24/51/750232451.db2.gz FYKXYDXRBXTTCJ-XHSDSOJGSA-N 1 2 317.437 1.469 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cscc2C)[C@@H](O)C1 ZINC001090176640 750245024 /nfs/dbraw/zinc/24/50/24/750245024.db2.gz BUCOXZGOKAJKLP-STQMWFEESA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cscc2C)[C@@H](O)C1 ZINC001090176640 750245029 /nfs/dbraw/zinc/24/50/29/750245029.db2.gz BUCOXZGOKAJKLP-STQMWFEESA-N 1 2 314.838 1.974 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CC)C(=O)c2sc(COC)nc2C)C1 ZINC001034027768 750272752 /nfs/dbraw/zinc/27/27/52/750272752.db2.gz CVMLRFJEUCYMSN-ZDUSSCGKSA-N 1 2 321.446 1.768 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2sc(COC)nc2C)C1 ZINC001034027768 750272754 /nfs/dbraw/zinc/27/27/54/750272754.db2.gz CVMLRFJEUCYMSN-ZDUSSCGKSA-N 1 2 321.446 1.768 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@H]1C1CC1)C2 ZINC001111122548 750378429 /nfs/dbraw/zinc/37/84/29/750378429.db2.gz QMIIUYDJBNYJCU-JKJDWNRSSA-N 1 2 317.433 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@H]1C1CC1)C2 ZINC001111122548 750378437 /nfs/dbraw/zinc/37/84/37/750378437.db2.gz QMIIUYDJBNYJCU-JKJDWNRSSA-N 1 2 317.433 1.056 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)/C=C/c2ccco2)C1 ZINC001107697920 750387796 /nfs/dbraw/zinc/38/77/96/750387796.db2.gz FHMYYTZPUILPAT-OZSKJFCKSA-N 1 2 302.374 1.523 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)/C=C/c2ccco2)C1 ZINC001107697920 750387803 /nfs/dbraw/zinc/38/78/03/750387803.db2.gz FHMYYTZPUILPAT-OZSKJFCKSA-N 1 2 302.374 1.523 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CC(C)C)c1CC ZINC001032400528 750577235 /nfs/dbraw/zinc/57/72/35/750577235.db2.gz LCDVLKXPUZHMEM-GJZGRUSLSA-N 1 2 314.433 1.633 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CC(C)C)c1CC ZINC001032400528 750577238 /nfs/dbraw/zinc/57/72/38/750577238.db2.gz LCDVLKXPUZHMEM-GJZGRUSLSA-N 1 2 314.433 1.633 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)[C@H]1CCCCO1 ZINC001032408491 750612931 /nfs/dbraw/zinc/61/29/31/750612931.db2.gz VBICJHCDWRNDFX-HRCADAONSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)[C@H]1CCCCO1 ZINC001032408491 750612934 /nfs/dbraw/zinc/61/29/34/750612934.db2.gz VBICJHCDWRNDFX-HRCADAONSA-N 1 2 304.434 1.890 20 30 DDEDLO C[C@H](CNc1snc(Cl)c1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001108136388 750659570 /nfs/dbraw/zinc/65/95/70/750659570.db2.gz VOYOLIJCOGINTG-SSDOTTSWSA-N 1 2 324.797 1.551 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)CCc1[nH+]ccn1C ZINC001077791824 750665607 /nfs/dbraw/zinc/66/56/07/750665607.db2.gz AYOUTCDJXNSFKF-AWEZNQCLSA-N 1 2 320.437 1.720 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001015988076 750761110 /nfs/dbraw/zinc/76/11/10/750761110.db2.gz RRQJKNHHRGRHEW-YPMHNXCESA-N 1 2 308.813 1.458 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001015988076 750761116 /nfs/dbraw/zinc/76/11/16/750761116.db2.gz RRQJKNHHRGRHEW-YPMHNXCESA-N 1 2 308.813 1.458 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H]2[C@H]3CN(c4cc[nH+]c(C)n4)C[C@H]32)C1 ZINC001114612222 750838062 /nfs/dbraw/zinc/83/80/62/750838062.db2.gz MTATYEGISPNUIB-QKDCVEJESA-N 1 2 312.417 1.940 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCN(C)c2ccccc21 ZINC001032452964 750871619 /nfs/dbraw/zinc/87/16/19/750871619.db2.gz OEFBMCZPFCTIFL-ZOBUZTSGSA-N 1 2 309.413 1.528 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCN(C)c2ccccc21 ZINC001032452964 750871625 /nfs/dbraw/zinc/87/16/25/750871625.db2.gz OEFBMCZPFCTIFL-ZOBUZTSGSA-N 1 2 309.413 1.528 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114729823 751035105 /nfs/dbraw/zinc/03/51/05/751035105.db2.gz ZOSYFEGWDREZEQ-UTXMOHQDSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114729823 751035117 /nfs/dbraw/zinc/03/51/17/751035117.db2.gz ZOSYFEGWDREZEQ-UTXMOHQDSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001114791395 751083382 /nfs/dbraw/zinc/08/33/82/751083382.db2.gz DCBVEXWJUDKAMC-SHFYGJNESA-N 1 2 300.406 1.625 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001114791395 751083386 /nfs/dbraw/zinc/08/33/86/751083386.db2.gz DCBVEXWJUDKAMC-SHFYGJNESA-N 1 2 300.406 1.625 20 30 DDEDLO Cc1cc(C)c(C(=O)NC2CN(C(=O)[C@H](C)C#N)C2)c(C)[nH+]1 ZINC000997555689 751106627 /nfs/dbraw/zinc/10/66/27/751106627.db2.gz DSAKLXQNXARJQV-SNVBAGLBSA-N 1 2 300.362 1.107 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1CCOC2 ZINC001032514495 751154391 /nfs/dbraw/zinc/15/43/91/751154391.db2.gz SEPGZEKIMXQKLX-HOTGVXAUSA-N 1 2 310.397 1.681 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1CCOC2 ZINC001032514495 751154395 /nfs/dbraw/zinc/15/43/95/751154395.db2.gz SEPGZEKIMXQKLX-HOTGVXAUSA-N 1 2 310.397 1.681 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccc(OC)c(F)c1 ZINC001032516124 751165808 /nfs/dbraw/zinc/16/58/08/751165808.db2.gz GWFALMBRELODDC-GJZGRUSLSA-N 1 2 316.376 1.685 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccc(OC)c(F)c1 ZINC001032516124 751165811 /nfs/dbraw/zinc/16/58/11/751165811.db2.gz GWFALMBRELODDC-GJZGRUSLSA-N 1 2 316.376 1.685 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(C2CC2)CCOCC1 ZINC001032540895 751229039 /nfs/dbraw/zinc/22/90/39/751229039.db2.gz VDRKTSFBPGZADX-HOTGVXAUSA-N 1 2 302.418 1.502 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(C2CC2)CCOCC1 ZINC001032540895 751229042 /nfs/dbraw/zinc/22/90/42/751229042.db2.gz VDRKTSFBPGZADX-HOTGVXAUSA-N 1 2 302.418 1.502 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1occ2c1CCOC2 ZINC001032541465 751231468 /nfs/dbraw/zinc/23/14/68/751231468.db2.gz UUKPOARFWOMCSK-KBPBESRZSA-N 1 2 300.358 1.274 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1occ2c1CCOC2 ZINC001032541465 751231473 /nfs/dbraw/zinc/23/14/73/751231473.db2.gz UUKPOARFWOMCSK-KBPBESRZSA-N 1 2 300.358 1.274 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(CC[N@H+](Cc3nncs3)C2)CC1 ZINC001035165100 751249973 /nfs/dbraw/zinc/24/99/73/751249973.db2.gz GBQYIWKDXLGDQO-LBPRGKRZSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(CC[N@@H+](Cc3nncs3)C2)CC1 ZINC001035165100 751249977 /nfs/dbraw/zinc/24/99/77/751249977.db2.gz GBQYIWKDXLGDQO-LBPRGKRZSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@@H]1CN(C(=O)c2cc(-n3cc[nH+]c3)ccn2)CC[C@@H]1NCC#N ZINC001036250744 752304455 /nfs/dbraw/zinc/30/44/55/752304455.db2.gz IGDGWWVXXRQMPU-HIFRSBDPSA-N 1 2 324.388 1.231 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2nc3cnccc3s2)C1 ZINC001007889998 752413876 /nfs/dbraw/zinc/41/38/76/752413876.db2.gz ACIFNVBHFSTIPJ-GFCCVEGCSA-N 1 2 314.414 1.909 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2nc3cnccc3s2)C1 ZINC001007889998 752413882 /nfs/dbraw/zinc/41/38/82/752413882.db2.gz ACIFNVBHFSTIPJ-GFCCVEGCSA-N 1 2 314.414 1.909 20 30 DDEDLO CN(C[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1)c1ncccc1C#N ZINC001062181291 752813951 /nfs/dbraw/zinc/81/39/51/752813951.db2.gz BAZMFUHGFGUXKY-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2COc3ccccc32)C1 ZINC001108441348 762195038 /nfs/dbraw/zinc/19/50/38/762195038.db2.gz MRCSEHCLFCKSDI-YJBOKZPZSA-N 1 2 316.401 1.556 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2COc3ccccc32)C1 ZINC001108441348 762195043 /nfs/dbraw/zinc/19/50/43/762195043.db2.gz MRCSEHCLFCKSDI-YJBOKZPZSA-N 1 2 316.401 1.556 20 30 DDEDLO Cc1nc[nH]c1C(=O)N[C@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001009765405 753350696 /nfs/dbraw/zinc/35/06/96/753350696.db2.gz ZYCMCFSOLOGKPL-INIZCTEOSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1nc[nH]c1C(=O)N[C@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001009765405 753350700 /nfs/dbraw/zinc/35/07/00/753350700.db2.gz ZYCMCFSOLOGKPL-INIZCTEOSA-N 1 2 323.400 1.984 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H](OCC)C(C)C)C1 ZINC001107993176 753354909 /nfs/dbraw/zinc/35/49/09/753354909.db2.gz JOTFMXQWVSLYQO-FUHWJXTLSA-N 1 2 324.465 1.668 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](OCC)C(C)C)C1 ZINC001107993176 753354912 /nfs/dbraw/zinc/35/49/12/753354912.db2.gz JOTFMXQWVSLYQO-FUHWJXTLSA-N 1 2 324.465 1.668 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C(C)C)oc2C)C1 ZINC001077925733 753432430 /nfs/dbraw/zinc/43/24/30/753432430.db2.gz NUGHGHJUJRLIGR-HUUCEWRRSA-N 1 2 304.390 1.510 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C(C)C)oc2C)C1 ZINC001077925733 753432434 /nfs/dbraw/zinc/43/24/34/753432434.db2.gz NUGHGHJUJRLIGR-HUUCEWRRSA-N 1 2 304.390 1.510 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)COCC2CCCC2)C1 ZINC001108001914 753474047 /nfs/dbraw/zinc/47/40/47/753474047.db2.gz QFEUDVFGIDCAJV-SFHVURJKSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)COCC2CCCC2)C1 ZINC001108001914 753474052 /nfs/dbraw/zinc/47/40/52/753474052.db2.gz QFEUDVFGIDCAJV-SFHVURJKSA-N 1 2 322.449 1.424 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001108177223 753498164 /nfs/dbraw/zinc/49/81/64/753498164.db2.gz RCLOCLPOOOWARJ-GXTWGEPZSA-N 1 2 320.355 1.805 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001108177223 753498168 /nfs/dbraw/zinc/49/81/68/753498168.db2.gz RCLOCLPOOOWARJ-GXTWGEPZSA-N 1 2 320.355 1.805 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc3nonc3c2)C1 ZINC001108179637 753567402 /nfs/dbraw/zinc/56/74/02/753567402.db2.gz WPVJDSSGOGTEDC-INIZCTEOSA-N 1 2 316.361 1.230 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc3nonc3c2)C1 ZINC001108179637 753567405 /nfs/dbraw/zinc/56/74/05/753567405.db2.gz WPVJDSSGOGTEDC-INIZCTEOSA-N 1 2 316.361 1.230 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001108446830 762231348 /nfs/dbraw/zinc/23/13/48/762231348.db2.gz LDXRROIHMWJGOW-QGZVFWFLSA-N 1 2 320.437 1.779 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001108446830 762231352 /nfs/dbraw/zinc/23/13/52/762231352.db2.gz LDXRROIHMWJGOW-QGZVFWFLSA-N 1 2 320.437 1.779 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001078053560 753693068 /nfs/dbraw/zinc/69/30/68/753693068.db2.gz INOBGMAGBNIIDS-CHWSQXEVSA-N 1 2 307.419 1.327 20 30 DDEDLO CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001078053560 753693070 /nfs/dbraw/zinc/69/30/70/753693070.db2.gz INOBGMAGBNIIDS-CHWSQXEVSA-N 1 2 307.419 1.327 20 30 DDEDLO Cn1cccc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001010240812 753705393 /nfs/dbraw/zinc/70/53/93/753705393.db2.gz FVUBSYYVLFAGAM-INIZCTEOSA-N 1 2 308.385 1.901 20 30 DDEDLO Cn1cccc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001010240812 753705396 /nfs/dbraw/zinc/70/53/96/753705396.db2.gz FVUBSYYVLFAGAM-INIZCTEOSA-N 1 2 308.385 1.901 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1cscn1 ZINC001010376166 753832030 /nfs/dbraw/zinc/83/20/30/753832030.db2.gz PBDGCDSOACFCEI-OAHLLOKOSA-N 1 2 311.410 1.999 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cscn1 ZINC001010376166 753832042 /nfs/dbraw/zinc/83/20/42/753832042.db2.gz PBDGCDSOACFCEI-OAHLLOKOSA-N 1 2 311.410 1.999 20 30 DDEDLO Cc1cc(CC(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001010538389 754005874 /nfs/dbraw/zinc/00/58/74/754005874.db2.gz VVEZQVSZDOJJGN-MRXNPFEDSA-N 1 2 323.400 1.523 20 30 DDEDLO Cc1cc(CC(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)[nH]n1 ZINC001010538389 754005878 /nfs/dbraw/zinc/00/58/78/754005878.db2.gz VVEZQVSZDOJJGN-MRXNPFEDSA-N 1 2 323.400 1.523 20 30 DDEDLO Cc1cc(CC(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)n[nH]1 ZINC001010538389 754005883 /nfs/dbraw/zinc/00/58/83/754005883.db2.gz VVEZQVSZDOJJGN-MRXNPFEDSA-N 1 2 323.400 1.523 20 30 DDEDLO Cc1cc(CC(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n[nH]1 ZINC001010538389 754005888 /nfs/dbraw/zinc/00/58/88/754005888.db2.gz VVEZQVSZDOJJGN-MRXNPFEDSA-N 1 2 323.400 1.523 20 30 DDEDLO N#Cc1ccc(N[C@H]2CC[C@H](NC(=O)Cn3cc[nH+]c3)CC2)cn1 ZINC001063376385 754124428 /nfs/dbraw/zinc/12/44/28/754124428.db2.gz DMOFREOTXAQREF-HDJSIYSDSA-N 1 2 324.388 1.689 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sc(CC3CC3)nc2C)[C@@H](O)C1 ZINC001083893909 754130933 /nfs/dbraw/zinc/13/09/33/754130933.db2.gz KKXFKHKWMKKQCX-OLZOCXBDSA-N 1 2 321.446 1.365 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sc(CC3CC3)nc2C)[C@@H](O)C1 ZINC001083893909 754130936 /nfs/dbraw/zinc/13/09/36/754130936.db2.gz KKXFKHKWMKKQCX-OLZOCXBDSA-N 1 2 321.446 1.365 20 30 DDEDLO C=CCCCC(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063515629 754212777 /nfs/dbraw/zinc/21/27/77/754212777.db2.gz CBHSPHJVQSJZOT-UHFFFAOYSA-N 1 2 304.394 1.395 20 30 DDEDLO Cc1nc(N[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C[C@H]2C)cc[nH+]1 ZINC001063716744 754313729 /nfs/dbraw/zinc/31/37/29/754313729.db2.gz RVWRWEALVPVBGA-IAQYHMDHSA-N 1 2 324.388 1.948 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)Cc2c[nH+]cn2C)CCC1 ZINC001063723394 754317740 /nfs/dbraw/zinc/31/77/40/754317740.db2.gz OLAWPBFOSMFIQE-UHFFFAOYSA-N 1 2 304.394 1.084 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1c(C)nn(C)c1C ZINC001032818778 754475518 /nfs/dbraw/zinc/47/55/18/754475518.db2.gz RWNMHIUKUUEMPK-UGFHNGPFSA-N 1 2 300.406 1.059 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1c(C)nn(C)c1C ZINC001032818778 754475520 /nfs/dbraw/zinc/47/55/20/754475520.db2.gz RWNMHIUKUUEMPK-UGFHNGPFSA-N 1 2 300.406 1.059 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064209051 754564143 /nfs/dbraw/zinc/56/41/43/754564143.db2.gz OFNJUHYFEMBZAF-OLZOCXBDSA-N 1 2 318.421 1.566 20 30 DDEDLO C[C@H]1C[C@H](Nc2ncccc2C#N)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064785398 754846919 /nfs/dbraw/zinc/84/69/19/754846919.db2.gz NCSKZGUBCCUFGR-DZGCQCFKSA-N 1 2 324.388 1.641 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2[nH]ccc2C2CC2)[C@H](O)C1 ZINC001090314391 754861039 /nfs/dbraw/zinc/86/10/39/754861039.db2.gz CHWUYHOQAMFESF-ZIAGYGMSSA-N 1 2 323.824 1.810 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2[nH]ccc2C2CC2)[C@H](O)C1 ZINC001090314391 754861043 /nfs/dbraw/zinc/86/10/43/754861043.db2.gz CHWUYHOQAMFESF-ZIAGYGMSSA-N 1 2 323.824 1.810 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001079470784 755365378 /nfs/dbraw/zinc/36/53/78/755365378.db2.gz BUDBUGAIDOCVGH-UHFFFAOYSA-N 1 2 304.394 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc(Cl)n2C)C1 ZINC001080129990 755738075 /nfs/dbraw/zinc/73/80/75/755738075.db2.gz WETNQGMJPQIFPJ-PSASIEDQSA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc(Cl)n2C)C1 ZINC001080129990 755738078 /nfs/dbraw/zinc/73/80/78/755738078.db2.gz WETNQGMJPQIFPJ-PSASIEDQSA-N 1 2 317.220 1.876 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](NC(=O)c2scnc2C(F)(F)F)C1 ZINC001014765877 755928870 /nfs/dbraw/zinc/92/88/70/755928870.db2.gz BCRRMXWDQATXGP-MRVPVSSYSA-N 1 2 303.309 1.599 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](NC(=O)c2scnc2C(F)(F)F)C1 ZINC001014765877 755928871 /nfs/dbraw/zinc/92/88/71/755928871.db2.gz BCRRMXWDQATXGP-MRVPVSSYSA-N 1 2 303.309 1.599 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccnc(N(C)C)c2)C1 ZINC001080544009 755965382 /nfs/dbraw/zinc/96/53/82/755965382.db2.gz UVJOEUPTUGVGPS-BXUZGUMPSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccnc(N(C)C)c2)C1 ZINC001080544009 755965385 /nfs/dbraw/zinc/96/53/85/755965385.db2.gz UVJOEUPTUGVGPS-BXUZGUMPSA-N 1 2 322.840 1.950 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001014874895 755994274 /nfs/dbraw/zinc/99/42/74/755994274.db2.gz RWCDEUNSXDNXKP-CQSZACIVSA-N 1 2 323.356 1.058 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001014874895 755994278 /nfs/dbraw/zinc/99/42/78/755994278.db2.gz RWCDEUNSXDNXKP-CQSZACIVSA-N 1 2 323.356 1.058 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001015470031 756320779 /nfs/dbraw/zinc/32/07/79/756320779.db2.gz ALXDKYCFFCFSRX-NSHDSACASA-N 1 2 310.785 1.356 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001015470031 756320783 /nfs/dbraw/zinc/32/07/83/756320783.db2.gz ALXDKYCFFCFSRX-NSHDSACASA-N 1 2 310.785 1.356 20 30 DDEDLO Cc1nocc1C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001015644235 756433670 /nfs/dbraw/zinc/43/36/70/756433670.db2.gz MTJNMMATZKXBCM-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1nocc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001015644235 756433672 /nfs/dbraw/zinc/43/36/72/756433672.db2.gz MTJNMMATZKXBCM-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sc3nccn3c2C)[C@H](OC)C1 ZINC001081805546 756486180 /nfs/dbraw/zinc/48/61/80/756486180.db2.gz CGBOYIFFQNAILP-VXGBXAGGSA-N 1 2 320.418 1.319 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sc3nccn3c2C)[C@H](OC)C1 ZINC001081805546 756486183 /nfs/dbraw/zinc/48/61/83/756486183.db2.gz CGBOYIFFQNAILP-VXGBXAGGSA-N 1 2 320.418 1.319 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(F)(F)F)no2)[C@H](OC)C1 ZINC001081821177 756496064 /nfs/dbraw/zinc/49/60/64/756496064.db2.gz RBZLOSYKKUGWQJ-PSASIEDQSA-N 1 2 319.283 1.308 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc(C(F)(F)F)no2)[C@H](OC)C1 ZINC001081821177 756496066 /nfs/dbraw/zinc/49/60/66/756496066.db2.gz RBZLOSYKKUGWQJ-PSASIEDQSA-N 1 2 319.283 1.308 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C(C)C)n2)[C@H](OC)C1 ZINC001081902260 756526467 /nfs/dbraw/zinc/52/64/67/756526467.db2.gz MFJXYPRWBBWQPM-IAGOWNOFSA-N 1 2 315.417 1.657 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C(C)C)n2)[C@H](OC)C1 ZINC001081902260 756526471 /nfs/dbraw/zinc/52/64/71/756526471.db2.gz MFJXYPRWBBWQPM-IAGOWNOFSA-N 1 2 315.417 1.657 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc(C3CC3)c2Cl)[C@H](OC)C1 ZINC001081896295 756544134 /nfs/dbraw/zinc/54/41/34/756544134.db2.gz ZXDJTNATASTZAU-GHMZBOCLSA-N 1 2 324.812 1.556 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc(C3CC3)c2Cl)[C@H](OC)C1 ZINC001081896295 756544137 /nfs/dbraw/zinc/54/41/37/756544137.db2.gz ZXDJTNATASTZAU-GHMZBOCLSA-N 1 2 324.812 1.556 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(CC(C)C)c[nH]2)[C@H](OC)C1 ZINC001082329258 756734406 /nfs/dbraw/zinc/73/44/06/756734406.db2.gz JBBSAAOLYICBNF-HZPDHXFCSA-N 1 2 303.406 1.275 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(CC(C)C)c[nH]2)[C@H](OC)C1 ZINC001082329258 756734408 /nfs/dbraw/zinc/73/44/08/756734408.db2.gz JBBSAAOLYICBNF-HZPDHXFCSA-N 1 2 303.406 1.275 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)cn3)C2)cn1 ZINC001016035236 756735069 /nfs/dbraw/zinc/73/50/69/756735069.db2.gz SZGDPIMUKHRJPA-INIZCTEOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)cn3)C2)cn1 ZINC001016035236 756735071 /nfs/dbraw/zinc/73/50/71/756735071.db2.gz SZGDPIMUKHRJPA-INIZCTEOSA-N 1 2 321.384 1.166 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@@H]2C)n1 ZINC001067137484 756787541 /nfs/dbraw/zinc/78/75/41/756787541.db2.gz IAWAOXUHRFTXCB-SMDDNHRTSA-N 1 2 324.388 1.486 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067140079 756807262 /nfs/dbraw/zinc/80/72/62/756807262.db2.gz QOXWFJLBWWQTJN-AAEUAGOBSA-N 1 2 310.361 1.178 20 30 DDEDLO CN(c1ncccc1C#N)[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001057059534 762503045 /nfs/dbraw/zinc/50/30/45/762503045.db2.gz UPCQUKUGTYRKGX-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(c1ncccc1C#N)[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001057059534 762503049 /nfs/dbraw/zinc/50/30/49/762503049.db2.gz UPCQUKUGTYRKGX-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](C)N2CCCCC2=O)C1 ZINC001016319756 756926003 /nfs/dbraw/zinc/92/60/03/756926003.db2.gz DJWUOZVKRAGSGI-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)N2CCCCC2=O)C1 ZINC001016319756 756926005 /nfs/dbraw/zinc/92/60/05/756926005.db2.gz DJWUOZVKRAGSGI-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CN(C(=O)[C@H]2CCc3[nH]nnc3C2)C[C@H]1C ZINC001082858842 756938511 /nfs/dbraw/zinc/93/85/11/756938511.db2.gz HHITYXLNSAGPDD-OLUVUFQESA-N 1 2 323.828 1.099 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CN(C(=O)[C@H]2CCc3nn[nH]c3C2)C[C@H]1C ZINC001082858842 756938515 /nfs/dbraw/zinc/93/85/15/756938515.db2.gz HHITYXLNSAGPDD-OLUVUFQESA-N 1 2 323.828 1.099 20 30 DDEDLO C=CCCC(=O)NCC[C@@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001098888842 756947797 /nfs/dbraw/zinc/94/77/97/756947797.db2.gz FSRRUKWFJBWVLB-CYBMUJFWSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCC(=O)NCC[C@@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001098888842 756947806 /nfs/dbraw/zinc/94/78/06/756947806.db2.gz FSRRUKWFJBWVLB-CYBMUJFWSA-N 1 2 317.437 1.737 20 30 DDEDLO CC(=O)N1CC[C@@H]([NH+]2CCN(c3ccc(C#N)cc3F)CC2)C1 ZINC001169560869 762508639 /nfs/dbraw/zinc/50/86/39/762508639.db2.gz PXJPNBKOGGZWGQ-OAHLLOKOSA-N 1 2 316.380 1.440 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc3nnn(C)c32)C1 ZINC001016434412 757042938 /nfs/dbraw/zinc/04/29/38/757042938.db2.gz SCVJEHYCMFMUOU-NSHDSACASA-N 1 2 319.796 1.525 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc3nnn(C)c32)C1 ZINC001016434412 757042947 /nfs/dbraw/zinc/04/29/47/757042947.db2.gz SCVJEHYCMFMUOU-NSHDSACASA-N 1 2 319.796 1.525 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001097307493 757069693 /nfs/dbraw/zinc/06/96/93/757069693.db2.gz IIIMJYSWMUMVSC-AWEZNQCLSA-N 1 2 324.388 1.183 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]c3CC)[C@H]2C1 ZINC001083071277 757078956 /nfs/dbraw/zinc/07/89/56/757078956.db2.gz JTFJAARLTPBJMR-JKSUJKDBSA-N 1 2 301.390 1.126 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]c3CC)[C@H]2C1 ZINC001083071277 757078963 /nfs/dbraw/zinc/07/89/63/757078963.db2.gz JTFJAARLTPBJMR-JKSUJKDBSA-N 1 2 301.390 1.126 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4ccc(C)cc4[nH]3)[C@H]2C1 ZINC001083155587 757159432 /nfs/dbraw/zinc/15/94/32/757159432.db2.gz BTZWGUFJQHOLOJ-ZWKOTPCHSA-N 1 2 323.396 1.635 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4ccc(C)cc4[nH]3)[C@H]2C1 ZINC001083155587 757159436 /nfs/dbraw/zinc/15/94/36/757159436.db2.gz BTZWGUFJQHOLOJ-ZWKOTPCHSA-N 1 2 323.396 1.635 20 30 DDEDLO O=C(C(F)F)N1C[C@H]2CC[N@H+](CC#Cc3ccc(F)cc3)C[C@H]21 ZINC001084141399 757309805 /nfs/dbraw/zinc/30/98/05/757309805.db2.gz GRVUESAEDNXVRP-UKRRQHHQSA-N 1 2 322.330 1.975 20 30 DDEDLO O=C(C(F)F)N1C[C@H]2CC[N@@H+](CC#Cc3ccc(F)cc3)C[C@H]21 ZINC001084141399 757309814 /nfs/dbraw/zinc/30/98/14/757309814.db2.gz GRVUESAEDNXVRP-UKRRQHHQSA-N 1 2 322.330 1.975 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCC[N@H+](Cc2cnon2)CC1 ZINC001052722608 757688395 /nfs/dbraw/zinc/68/83/95/757688395.db2.gz MUYGMJZETPBWRI-YUELXQCFSA-N 1 2 320.393 1.131 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCC[N@@H+](Cc2cnon2)CC1 ZINC001052722608 757688401 /nfs/dbraw/zinc/68/84/01/757688401.db2.gz MUYGMJZETPBWRI-YUELXQCFSA-N 1 2 320.393 1.131 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001052729885 757697351 /nfs/dbraw/zinc/69/73/51/757697351.db2.gz RARRJMKHGVNZIQ-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO O=C(N[C@@H]1CCC[N@H+](CC#Cc2ccccc2)CC1)c1nc[nH]n1 ZINC001052800008 757781728 /nfs/dbraw/zinc/78/17/28/757781728.db2.gz AJCDSPFWLDTYTH-MRXNPFEDSA-N 1 2 323.400 1.441 20 30 DDEDLO O=C(N[C@@H]1CCC[N@@H+](CC#Cc2ccccc2)CC1)c1nc[nH]n1 ZINC001052800008 757781734 /nfs/dbraw/zinc/78/17/34/757781734.db2.gz AJCDSPFWLDTYTH-MRXNPFEDSA-N 1 2 323.400 1.441 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001017421878 757918872 /nfs/dbraw/zinc/91/88/72/757918872.db2.gz INLYDSCLHMYNMF-TUKIKUTGSA-N 1 2 301.394 1.063 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001017421878 757918880 /nfs/dbraw/zinc/91/88/80/757918880.db2.gz INLYDSCLHMYNMF-TUKIKUTGSA-N 1 2 301.394 1.063 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)/C=C\C(C)(C)C)CC2=O)C1 ZINC001108524801 762598140 /nfs/dbraw/zinc/59/81/40/762598140.db2.gz CMSUNAACDTXJIV-FMFIFOJESA-N 1 2 305.422 1.176 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc(C2CC2)cn1 ZINC001017690721 758155315 /nfs/dbraw/zinc/15/53/15/758155315.db2.gz QKAWJHIHKSAKQA-CALCHBBNSA-N 1 2 312.417 1.459 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc(C2CC2)cn1 ZINC001017690721 758155324 /nfs/dbraw/zinc/15/53/24/758155324.db2.gz QKAWJHIHKSAKQA-CALCHBBNSA-N 1 2 312.417 1.459 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1CCC ZINC001017704992 758169474 /nfs/dbraw/zinc/16/94/74/758169474.db2.gz UPHIMMLKWNMRQT-GASCZTMLSA-N 1 2 314.433 1.685 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1CCC ZINC001017704992 758169480 /nfs/dbraw/zinc/16/94/80/758169480.db2.gz UPHIMMLKWNMRQT-GASCZTMLSA-N 1 2 314.433 1.685 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2[nH]c(C)nc21 ZINC001017757432 758210278 /nfs/dbraw/zinc/21/02/78/758210278.db2.gz ANBRTKKQEMXTPK-BETUJISGSA-N 1 2 309.373 1.188 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2[nH]c(C)nc21 ZINC001017757432 758210288 /nfs/dbraw/zinc/21/02/88/758210288.db2.gz ANBRTKKQEMXTPK-BETUJISGSA-N 1 2 309.373 1.188 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(CC)n[nH]1)CCO2 ZINC001053166058 758222342 /nfs/dbraw/zinc/22/23/42/758222342.db2.gz FKMSLRNRCPCDBB-UHFFFAOYSA-N 1 2 304.394 1.075 20 30 DDEDLO CC[C@@H]([NH2+]C[C@H](O)c1cnn(C)c1)c1cccc(C#N)c1O ZINC000822658655 758225967 /nfs/dbraw/zinc/22/59/67/758225967.db2.gz KKNRGYJZKXWRQZ-CABCVRRESA-N 1 2 300.362 1.772 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2cn[nH]c21 ZINC001017785144 758237657 /nfs/dbraw/zinc/23/76/57/758237657.db2.gz QDEYXZUXISCGBT-GASCZTMLSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2cn[nH]c21 ZINC001017785144 758237665 /nfs/dbraw/zinc/23/76/65/758237665.db2.gz QDEYXZUXISCGBT-GASCZTMLSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c[nH]nc21 ZINC001017785144 758237676 /nfs/dbraw/zinc/23/76/76/758237676.db2.gz QDEYXZUXISCGBT-GASCZTMLSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c[nH]nc21 ZINC001017785144 758237683 /nfs/dbraw/zinc/23/76/83/758237683.db2.gz QDEYXZUXISCGBT-GASCZTMLSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2cccnc21 ZINC001017835342 758284312 /nfs/dbraw/zinc/28/43/12/758284312.db2.gz JQQFIHMWIJWBKH-BBWFWOEESA-N 1 2 309.413 1.810 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2cccnc21 ZINC001017835342 758284324 /nfs/dbraw/zinc/28/43/24/758284324.db2.gz JQQFIHMWIJWBKH-BBWFWOEESA-N 1 2 309.413 1.810 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)/C=C/c1ccc[nH]1)CCO2 ZINC001053234858 758291598 /nfs/dbraw/zinc/29/15/98/758291598.db2.gz JHIGGWQLYAQCPJ-VOTSOKGWSA-N 1 2 301.390 1.517 20 30 DDEDLO Cc1nc(NC[C@]2(C)CCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001065406180 758517398 /nfs/dbraw/zinc/51/73/98/758517398.db2.gz KSZZXKXTIRCLTH-KRWDZBQOSA-N 1 2 324.388 1.949 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(CC)c1C ZINC001018069736 758521956 /nfs/dbraw/zinc/52/19/56/758521956.db2.gz VDBMCKIPPYABEN-IYBDPMFKSA-N 1 2 314.433 1.832 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nn(CC)c1C ZINC001018069736 758521958 /nfs/dbraw/zinc/52/19/58/758521958.db2.gz VDBMCKIPPYABEN-IYBDPMFKSA-N 1 2 314.433 1.832 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C(C)C)nn1 ZINC001018114778 758557678 /nfs/dbraw/zinc/55/76/78/758557678.db2.gz WCUYTZZWMGNAHS-OKILXGFUSA-N 1 2 301.394 1.171 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C(C)C)nn1 ZINC001018114778 758557681 /nfs/dbraw/zinc/55/76/81/758557681.db2.gz WCUYTZZWMGNAHS-OKILXGFUSA-N 1 2 301.394 1.171 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-n2ccnc2)c1 ZINC001018136543 758571636 /nfs/dbraw/zinc/57/16/36/758571636.db2.gz BTDFNIQUYBHNGE-HDICACEKSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-n2ccnc2)c1 ZINC001018136543 758571640 /nfs/dbraw/zinc/57/16/40/758571640.db2.gz BTDFNIQUYBHNGE-HDICACEKSA-N 1 2 320.396 1.794 20 30 DDEDLO N#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001018137577 758572761 /nfs/dbraw/zinc/57/27/61/758572761.db2.gz MUMJIHAXKPBVAM-CALCHBBNSA-N 1 2 321.384 1.685 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cccn1C)O2 ZINC001053573798 758582844 /nfs/dbraw/zinc/58/28/44/758582844.db2.gz MKYCGCNRWVYCPU-AWEZNQCLSA-N 1 2 303.406 1.564 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnccc1C)O2 ZINC001053585356 758599677 /nfs/dbraw/zinc/59/96/77/758599677.db2.gz FKPGZMMOIIOVOZ-HNNXBMFYSA-N 1 2 315.417 1.929 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)C1CC(F)(F)C1)O2 ZINC001053585141 758599855 /nfs/dbraw/zinc/59/98/55/758599855.db2.gz CBGIFMXCLWJYQR-GFCCVEGCSA-N 1 2 300.349 1.567 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1coc(C)n1)O2 ZINC001053593702 758612247 /nfs/dbraw/zinc/61/22/47/758612247.db2.gz RMHPPUISSFOLEC-CYBMUJFWSA-N 1 2 305.378 1.522 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1snnc1CC)O2 ZINC001053627317 758644783 /nfs/dbraw/zinc/64/47/83/758644783.db2.gz VBFOHUJVKIVLNG-LLVKDONJSA-N 1 2 322.434 1.250 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C3CC3)on1)O2 ZINC001053633759 758649140 /nfs/dbraw/zinc/64/91/40/758649140.db2.gz AAMLZPASZPPZCX-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1nc(C)oc1C)O2 ZINC001053683185 758693129 /nfs/dbraw/zinc/69/31/29/758693129.db2.gz BVDDKGKVGRJXTA-CYBMUJFWSA-N 1 2 305.378 1.441 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cccc(OC)n1)O2 ZINC001053684224 758693588 /nfs/dbraw/zinc/69/35/88/758693588.db2.gz ZSHUUYBYDNCCIG-CYBMUJFWSA-N 1 2 317.389 1.239 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[NH+]([C@H]2CCN(C(=O)CC)C2)CC1 ZINC001065676201 758695836 /nfs/dbraw/zinc/69/58/36/758695836.db2.gz HFAMFCSITBNVGO-HOTGVXAUSA-N 1 2 321.465 1.744 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1noc2c1COCC2 ZINC001018287637 758700683 /nfs/dbraw/zinc/70/06/83/758700683.db2.gz CYOLDFBTBGBKCE-BETUJISGSA-N 1 2 315.373 1.059 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1noc2c1COCC2 ZINC001018287637 758700692 /nfs/dbraw/zinc/70/06/92/758700692.db2.gz CYOLDFBTBGBKCE-BETUJISGSA-N 1 2 315.373 1.059 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)[C@H](C)CC)C2)CC1 ZINC001065685015 758702570 /nfs/dbraw/zinc/70/25/70/758702570.db2.gz BGEWNPCDVFACIL-HZPDHXFCSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1csc(COC)n1 ZINC001018331593 758735644 /nfs/dbraw/zinc/73/56/44/758735644.db2.gz DESZUTLKCLYYFB-TXEJJXNPSA-N 1 2 307.419 1.764 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1csc(COC)n1 ZINC001018331593 758735648 /nfs/dbraw/zinc/73/56/48/758735648.db2.gz DESZUTLKCLYYFB-TXEJJXNPSA-N 1 2 307.419 1.764 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)[nH]nc1Cl)CO2 ZINC001053824228 758854681 /nfs/dbraw/zinc/85/46/81/758854681.db2.gz XBWAQLUQOCAIDS-LLVKDONJSA-N 1 2 324.812 1.521 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(Cl)[nH]1)CO2 ZINC001053916920 758951833 /nfs/dbraw/zinc/95/18/33/758951833.db2.gz VSOILEXJAPUNGW-NSHDSACASA-N 1 2 307.781 1.265 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnoc1C1CC1)CO2 ZINC001053971378 759007545 /nfs/dbraw/zinc/00/75/45/759007545.db2.gz KCWUYCRKQRCTIF-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cn(CC)cn1)CO2 ZINC001053984344 759024727 /nfs/dbraw/zinc/02/47/27/759024727.db2.gz VLMDZWUTPDEQQY-ZDUSSCGKSA-N 1 2 304.394 1.052 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@H]1COC2(C[NH+](CC(=C)C)C2)C1 ZINC001053993534 759039850 /nfs/dbraw/zinc/03/98/50/759039850.db2.gz OEKBFYQPFXMTNR-GOSISDBHSA-N 1 2 324.424 1.746 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C13CCC(CC1)CC3)CO2 ZINC001054012312 759060505 /nfs/dbraw/zinc/06/05/05/759060505.db2.gz AJLUKEWUGKCAGE-PQUAAJSLSA-N 1 2 316.445 1.940 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)C1CCOCC1)CO2 ZINC001054025546 759076820 /nfs/dbraw/zinc/07/68/20/759076820.db2.gz BGCOFYOPYKSTSG-ZBFHGGJFSA-N 1 2 320.433 1.032 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1coc(C)n1 ZINC001054038947 759096429 /nfs/dbraw/zinc/09/64/29/759096429.db2.gz PSVRJAOQCCUNBK-MRXNPFEDSA-N 1 2 309.369 1.773 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1coc(C)n1 ZINC001054038947 759096437 /nfs/dbraw/zinc/09/64/37/759096437.db2.gz PSVRJAOQCCUNBK-MRXNPFEDSA-N 1 2 309.369 1.773 20 30 DDEDLO C=CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nonc1C ZINC001054060148 759112677 /nfs/dbraw/zinc/11/26/77/759112677.db2.gz HWDLAWXUTWETDR-HNNXBMFYSA-N 1 2 312.373 1.721 20 30 DDEDLO C=CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1nonc1C ZINC001054060148 759112680 /nfs/dbraw/zinc/11/26/80/759112680.db2.gz HWDLAWXUTWETDR-HNNXBMFYSA-N 1 2 312.373 1.721 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(OC)ccn3)cc2C1 ZINC001054276628 759382101 /nfs/dbraw/zinc/38/21/01/759382101.db2.gz MTDYFMUQBVDZHG-UHFFFAOYSA-N 1 2 321.380 1.969 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(OC)ccn3)cc2C1 ZINC001054276628 759382110 /nfs/dbraw/zinc/38/21/10/759382110.db2.gz MTDYFMUQBVDZHG-UHFFFAOYSA-N 1 2 321.380 1.969 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131057680 767857664 /nfs/dbraw/zinc/85/76/64/767857664.db2.gz SGJRLVPTAYPXCU-RBSFLKMASA-N 1 2 315.421 1.430 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1ccon1 ZINC001085493744 759530005 /nfs/dbraw/zinc/53/00/05/759530005.db2.gz BCEAGSUQFZHJEG-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1ccon1 ZINC001085493744 759530007 /nfs/dbraw/zinc/53/00/07/759530007.db2.gz BCEAGSUQFZHJEG-OAHLLOKOSA-N 1 2 310.357 1.893 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1)C(=O)c1cn[nH]c1 ZINC001085499134 759543973 /nfs/dbraw/zinc/54/39/73/759543973.db2.gz UONKGFKVSSISIN-GFCCVEGCSA-N 1 2 315.402 1.689 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1)C(=O)c1cn[nH]c1 ZINC001085499134 759543981 /nfs/dbraw/zinc/54/39/81/759543981.db2.gz UONKGFKVSSISIN-GFCCVEGCSA-N 1 2 315.402 1.689 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc(OC)nn2)C1 ZINC001046759475 767897569 /nfs/dbraw/zinc/89/75/69/767897569.db2.gz ZNCDLIMNMOYXLK-CQSZACIVSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(OC)nn2)C1 ZINC001046759475 767897574 /nfs/dbraw/zinc/89/75/74/767897574.db2.gz ZNCDLIMNMOYXLK-CQSZACIVSA-N 1 2 310.785 1.432 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(C(C)(C)C)c1C ZINC001085629383 759884311 /nfs/dbraw/zinc/88/43/11/759884311.db2.gz SLWJEAQZIOIGQU-AWEZNQCLSA-N 1 2 302.422 1.726 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(C(C)(C)C)c1C ZINC001085629383 759884323 /nfs/dbraw/zinc/88/43/23/759884323.db2.gz SLWJEAQZIOIGQU-AWEZNQCLSA-N 1 2 302.422 1.726 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn[nH]c1-c1ccccn1 ZINC001085634689 759898641 /nfs/dbraw/zinc/89/86/41/759898641.db2.gz WXRACMRRPHOBCF-AWEZNQCLSA-N 1 2 323.400 1.641 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn[nH]c1-c1ccccn1 ZINC001085634689 759898651 /nfs/dbraw/zinc/89/86/51/759898651.db2.gz WXRACMRRPHOBCF-AWEZNQCLSA-N 1 2 323.400 1.641 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001085675936 759976365 /nfs/dbraw/zinc/97/63/65/759976365.db2.gz DFJICTXZGAUHNK-UONOGXRCSA-N 1 2 322.840 1.731 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2ccccc2c1O ZINC001085691669 760040618 /nfs/dbraw/zinc/04/06/18/760040618.db2.gz MUNQWIODHRXSOY-CYBMUJFWSA-N 1 2 309.369 1.308 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2ccccc2c1O ZINC001085691669 760040633 /nfs/dbraw/zinc/04/06/33/760040633.db2.gz MUNQWIODHRXSOY-CYBMUJFWSA-N 1 2 309.369 1.308 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccnn1C ZINC001085824085 760314356 /nfs/dbraw/zinc/31/43/56/760314356.db2.gz ZUAWHNVKVIEPQZ-XHSDSOJGSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccnn1C ZINC001085824085 760314366 /nfs/dbraw/zinc/31/43/66/760314366.db2.gz ZUAWHNVKVIEPQZ-XHSDSOJGSA-N 1 2 318.421 1.044 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nc(C)ncc1Cl ZINC001085856708 760375558 /nfs/dbraw/zinc/37/55/58/760375558.db2.gz GLHXXGUMVUDDOL-GFCCVEGCSA-N 1 2 306.797 1.608 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nc(C)ncc1Cl ZINC001085856708 760375564 /nfs/dbraw/zinc/37/55/64/760375564.db2.gz GLHXXGUMVUDDOL-GFCCVEGCSA-N 1 2 306.797 1.608 20 30 DDEDLO N#Cc1cnccc1N1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1cc[nH+]c1)C2 ZINC001055354294 760474423 /nfs/dbraw/zinc/47/44/23/760474423.db2.gz IHPARRQAWQUFQD-FMKPAKJESA-N 1 2 322.372 1.076 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001085901815 760495077 /nfs/dbraw/zinc/49/50/77/760495077.db2.gz NPHIMZXKEIGULB-KBPBESRZSA-N 1 2 314.433 1.704 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001085901815 760495083 /nfs/dbraw/zinc/49/50/83/760495083.db2.gz NPHIMZXKEIGULB-KBPBESRZSA-N 1 2 314.433 1.704 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccncc1C(F)(F)F ZINC001085905432 760508866 /nfs/dbraw/zinc/50/88/66/760508866.db2.gz FTCQGFRLHHJWSN-NSHDSACASA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccncc1C(F)(F)F ZINC001085905432 760508872 /nfs/dbraw/zinc/50/88/72/760508872.db2.gz FTCQGFRLHHJWSN-NSHDSACASA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)OCCO2 ZINC001085968140 760623811 /nfs/dbraw/zinc/62/38/11/760623811.db2.gz CTYFJJDTVCJEFB-AWEZNQCLSA-N 1 2 300.358 1.237 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)OCCO2 ZINC001085968140 760623813 /nfs/dbraw/zinc/62/38/13/760623813.db2.gz CTYFJJDTVCJEFB-AWEZNQCLSA-N 1 2 300.358 1.237 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)nc2cc(C)nn21 ZINC001085979236 760647722 /nfs/dbraw/zinc/64/77/22/760647722.db2.gz MYHXQDNNKGXTKF-AWEZNQCLSA-N 1 2 311.389 1.126 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)nc2cc(C)nn21 ZINC001085979236 760647727 /nfs/dbraw/zinc/64/77/27/760647727.db2.gz MYHXQDNNKGXTKF-AWEZNQCLSA-N 1 2 311.389 1.126 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccncc2Cl)C1 ZINC001108239301 760862055 /nfs/dbraw/zinc/86/20/55/760862055.db2.gz ZWOGCJADZMZBRX-OAHLLOKOSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccncc2Cl)C1 ZINC001108239301 760862064 /nfs/dbraw/zinc/86/20/64/760862064.db2.gz ZWOGCJADZMZBRX-OAHLLOKOSA-N 1 2 309.797 1.742 20 30 DDEDLO C[C@H]1[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ccc(C#N)nc1 ZINC001069382904 768002547 /nfs/dbraw/zinc/00/25/47/768002547.db2.gz BHVFCTNASROQLE-SWLSCSKDSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnc(C3CC3)n2)C1 ZINC001108253291 761163942 /nfs/dbraw/zinc/16/39/42/761163942.db2.gz HISCZMKBEMQTOS-QGZVFWFLSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnc(C3CC3)n2)C1 ZINC001108253291 761163943 /nfs/dbraw/zinc/16/39/43/761163943.db2.gz HISCZMKBEMQTOS-QGZVFWFLSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2[C@@H]1CCCN2C(=O)Cn1cc[nH+]c1 ZINC001056457032 761184558 /nfs/dbraw/zinc/18/45/58/761184558.db2.gz XNPTVPGJMOLZQX-LSDHHAIUSA-N 1 2 316.405 1.441 20 30 DDEDLO N#CCN[C@H]1C[C@@H](CNC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001086244188 761236878 /nfs/dbraw/zinc/23/68/78/761236878.db2.gz ZIKDMGMQMKAYCI-OTVXOJSOSA-N 1 2 309.373 1.494 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2Cc2cnn(C)c2)CC1 ZINC001038662020 761261203 /nfs/dbraw/zinc/26/12/03/761261203.db2.gz OCEOLIUTYMBOCQ-MRXNPFEDSA-N 1 2 302.422 1.857 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2Cc2cnn(C)c2)CC1 ZINC001038662020 761261207 /nfs/dbraw/zinc/26/12/07/761261207.db2.gz OCEOLIUTYMBOCQ-MRXNPFEDSA-N 1 2 302.422 1.857 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2[C@@H](C)C(=O)NC2CC2)CC1 ZINC001038666347 761265781 /nfs/dbraw/zinc/26/57/81/761265781.db2.gz HYRSGYRGEDHIPQ-XJKSGUPXSA-N 1 2 319.449 1.590 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2[C@@H](C)C(=O)NC2CC2)CC1 ZINC001038666347 761265785 /nfs/dbraw/zinc/26/57/85/761265785.db2.gz HYRSGYRGEDHIPQ-XJKSGUPXSA-N 1 2 319.449 1.590 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1coc(C2CCOCC2)n1 ZINC001038890508 761516248 /nfs/dbraw/zinc/51/62/48/761516248.db2.gz QRRKYNOGLGGVAP-AWEZNQCLSA-N 1 2 317.389 1.396 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1coc(C2CCOCC2)n1 ZINC001038890508 761516251 /nfs/dbraw/zinc/51/62/51/761516251.db2.gz QRRKYNOGLGGVAP-AWEZNQCLSA-N 1 2 317.389 1.396 20 30 DDEDLO C#CCOc1ncccc1C(=O)NC[C@@H]1CC[N@H+]1C[C@@H](F)CC ZINC001038928181 761556204 /nfs/dbraw/zinc/55/62/04/761556204.db2.gz LDXHGZLFVIYKHY-KBPBESRZSA-N 1 2 319.380 1.646 20 30 DDEDLO C#CCOc1ncccc1C(=O)NC[C@@H]1CC[N@@H+]1C[C@@H](F)CC ZINC001038928181 761556211 /nfs/dbraw/zinc/55/62/11/761556211.db2.gz LDXHGZLFVIYKHY-KBPBESRZSA-N 1 2 319.380 1.646 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccccn2)nc1 ZINC001038941254 761574183 /nfs/dbraw/zinc/57/41/83/761574183.db2.gz GTBFEEFWESCWDM-MRXNPFEDSA-N 1 2 306.369 1.462 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccccn2)nc1 ZINC001038941254 761574188 /nfs/dbraw/zinc/57/41/88/761574188.db2.gz GTBFEEFWESCWDM-MRXNPFEDSA-N 1 2 306.369 1.462 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001000233790 761591086 /nfs/dbraw/zinc/59/10/86/761591086.db2.gz WYXGMHIYAKMZOT-BNOWGMLFSA-N 1 2 322.434 1.210 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(CC(C)C)c1C ZINC001038970730 761604482 /nfs/dbraw/zinc/60/44/82/761604482.db2.gz LMRONWJGSLXBSB-HNNXBMFYSA-N 1 2 302.422 1.675 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(CC(C)C)c1C ZINC001038970730 761604485 /nfs/dbraw/zinc/60/44/85/761604485.db2.gz LMRONWJGSLXBSB-HNNXBMFYSA-N 1 2 302.422 1.675 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(CNC(C)=O)c1 ZINC001039028885 761667000 /nfs/dbraw/zinc/66/70/00/761667000.db2.gz MBLALDBFSRYRAL-KRWDZBQOSA-N 1 2 313.401 1.150 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(CNC(C)=O)c1 ZINC001039028885 761667004 /nfs/dbraw/zinc/66/70/04/761667004.db2.gz MBLALDBFSRYRAL-KRWDZBQOSA-N 1 2 313.401 1.150 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(N(C)C)c(F)c1 ZINC001039051830 761692751 /nfs/dbraw/zinc/69/27/51/761692751.db2.gz AAYQAJDGTJSXOZ-AWEZNQCLSA-N 1 2 303.381 1.719 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(N(C)C)c(F)c1 ZINC001039051830 761692762 /nfs/dbraw/zinc/69/27/62/761692762.db2.gz AAYQAJDGTJSXOZ-AWEZNQCLSA-N 1 2 303.381 1.719 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108566814 762709589 /nfs/dbraw/zinc/70/95/89/762709589.db2.gz OGMHNNWQOZNABA-LSDHHAIUSA-N 1 2 319.449 1.566 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@H](CC)C(C)C)CC2=O)C1 ZINC001108587041 762757391 /nfs/dbraw/zinc/75/73/91/762757391.db2.gz HNGLMEGHJGNRCU-GJZGRUSLSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CNc1ncnc2c1C[N@H+](C)CC2 ZINC001108635237 762806495 /nfs/dbraw/zinc/80/64/95/762806495.db2.gz IHRGGUFUQDZKNC-LBPRGKRZSA-N 1 2 317.437 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CNc1ncnc2c1C[N@@H+](C)CC2 ZINC001108635237 762806500 /nfs/dbraw/zinc/80/65/00/762806500.db2.gz IHRGGUFUQDZKNC-LBPRGKRZSA-N 1 2 317.437 1.593 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108672316 762842199 /nfs/dbraw/zinc/84/21/99/762842199.db2.gz TVYBCCMZIHXFHL-MEDUHNTESA-N 1 2 320.441 1.765 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001108855510 763013644 /nfs/dbraw/zinc/01/36/44/763013644.db2.gz SFFOKRCKJRURGH-QWHCGFSZSA-N 1 2 306.410 1.707 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)CN(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC001108866891 763034900 /nfs/dbraw/zinc/03/49/00/763034900.db2.gz GNVRUENJMRSMAT-CYBMUJFWSA-N 1 2 318.421 1.806 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(Cl)o1)C2 ZINC001108928781 763132188 /nfs/dbraw/zinc/13/21/88/763132188.db2.gz XUAOANFSFJZYCJ-AGIUHOORSA-N 1 2 322.792 1.918 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(Cl)o1)C2 ZINC001108928781 763132192 /nfs/dbraw/zinc/13/21/92/763132192.db2.gz XUAOANFSFJZYCJ-AGIUHOORSA-N 1 2 322.792 1.918 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)[C@H](C)CC)CC1 ZINC001131390111 768109256 /nfs/dbraw/zinc/10/92/56/768109256.db2.gz AXCIHSQVDIEMLZ-HZPDHXFCSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)[C@H](C)CC)CC1 ZINC001131390111 768109264 /nfs/dbraw/zinc/10/92/64/768109264.db2.gz AXCIHSQVDIEMLZ-HZPDHXFCSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccns1 ZINC001109071161 763306527 /nfs/dbraw/zinc/30/65/27/763306527.db2.gz UKPQYOXCGHBPRH-XBFCOCLRSA-N 1 2 307.419 1.567 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccns1 ZINC001109071161 763306537 /nfs/dbraw/zinc/30/65/37/763306537.db2.gz UKPQYOXCGHBPRH-XBFCOCLRSA-N 1 2 307.419 1.567 20 30 DDEDLO C[C@H](CN(C)c1ccncc1C#N)NC(=O)CCn1cc[nH+]c1 ZINC001109172712 763427419 /nfs/dbraw/zinc/42/74/19/763427419.db2.gz STYQTNHEXIJWLM-CYBMUJFWSA-N 1 2 312.377 1.181 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)CCc3nnc[nH]3)C[C@@H]21 ZINC001042005032 763555613 /nfs/dbraw/zinc/55/56/13/763555613.db2.gz BUQPBHLEHPRKDH-OLZOCXBDSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)CCc3nnc[nH]3)C[C@@H]21 ZINC001042005032 763555617 /nfs/dbraw/zinc/55/56/17/763555617.db2.gz BUQPBHLEHPRKDH-OLZOCXBDSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)CCc3nc[nH]n3)C[C@@H]21 ZINC001042005032 763555623 /nfs/dbraw/zinc/55/56/23/763555623.db2.gz BUQPBHLEHPRKDH-OLZOCXBDSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)CCc3nc[nH]n3)C[C@@H]21 ZINC001042005032 763555629 /nfs/dbraw/zinc/55/56/29/763555629.db2.gz BUQPBHLEHPRKDH-OLZOCXBDSA-N 1 2 323.828 1.413 20 30 DDEDLO C=C(Cl)C[NH+]1CCC2(CN(C(=O)CCc3nc[nH]n3)C2)CC1 ZINC001050478653 763647226 /nfs/dbraw/zinc/64/72/26/763647226.db2.gz QVBYDBNRSKYJEX-UHFFFAOYSA-N 1 2 323.828 1.414 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)C3CC=CC3)c2C1 ZINC001069856189 768187887 /nfs/dbraw/zinc/18/78/87/768187887.db2.gz KJHBETWIRQDBSZ-UHFFFAOYSA-N 1 2 300.406 1.857 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)C3CC=CC3)c2C1 ZINC001069856189 768187890 /nfs/dbraw/zinc/18/78/90/768187890.db2.gz KJHBETWIRQDBSZ-UHFFFAOYSA-N 1 2 300.406 1.857 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCc1cnn2c1C[N@H+](CC)CC2 ZINC001069860698 768193807 /nfs/dbraw/zinc/19/38/07/768193807.db2.gz FFIHWPJAKGZWEN-ZBFHGGJFSA-N 1 2 318.421 1.316 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCc1cnn2c1C[N@@H+](CC)CC2 ZINC001069860698 768193812 /nfs/dbraw/zinc/19/38/12/768193812.db2.gz FFIHWPJAKGZWEN-ZBFHGGJFSA-N 1 2 318.421 1.316 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@H](CNc1ncccc1C#N)C1CC1 ZINC001109875409 764158847 /nfs/dbraw/zinc/15/88/47/764158847.db2.gz JCGZDLROGLPSAY-OAHLLOKOSA-N 1 2 324.388 1.236 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)ncn1 ZINC001050879024 764226779 /nfs/dbraw/zinc/22/67/79/764226779.db2.gz GTZMMWHTIOKJBY-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C)ncn1 ZINC001050879024 764226789 /nfs/dbraw/zinc/22/67/89/764226789.db2.gz GTZMMWHTIOKJBY-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO CN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](C[C@H]2CCCCO2)C1 ZINC001042589466 764242104 /nfs/dbraw/zinc/24/21/04/764242104.db2.gz GQQKYQWUXLQYDO-CQSZACIVSA-N 1 2 302.378 1.212 20 30 DDEDLO C[C@H]1CN(c2ccc(C#N)nc2)CC[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067402354 764258713 /nfs/dbraw/zinc/25/87/13/764258713.db2.gz HJOUNLRURDOVHQ-BBRMVZONSA-N 1 2 324.388 1.181 20 30 DDEDLO CN(C(=O)c1c[nH]c(C#N)c1)C1C[NH+](Cc2ccc(CO)cc2)C1 ZINC001042638528 764299850 /nfs/dbraw/zinc/29/98/50/764299850.db2.gz LRARBIPJPXAJBG-UHFFFAOYSA-N 1 2 324.384 1.335 20 30 DDEDLO C[C@H]1CN(c2ncccc2C#N)CC[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067407318 764401736 /nfs/dbraw/zinc/40/17/36/764401736.db2.gz FHYHHHRQMWDYQU-JSGCOSHPSA-N 1 2 324.388 1.250 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ccoc2C)[C@@H](n2ccnn2)C1 ZINC001069894155 768217807 /nfs/dbraw/zinc/21/78/07/768217807.db2.gz HSDYAZBITABZLJ-KGLIPLIRSA-N 1 2 301.350 1.021 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ccoc2C)[C@@H](n2ccnn2)C1 ZINC001069894155 768217810 /nfs/dbraw/zinc/21/78/10/768217810.db2.gz HSDYAZBITABZLJ-KGLIPLIRSA-N 1 2 301.350 1.021 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCN(Cc2c[nH+]cn2C)CC1 ZINC001112676439 764565686 /nfs/dbraw/zinc/56/56/86/764565686.db2.gz LSBLGNFHSQHSEQ-AWEZNQCLSA-N 1 2 306.410 1.046 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccc(OC)c1F ZINC001051178286 764583054 /nfs/dbraw/zinc/58/30/54/764583054.db2.gz RRELNSKVJQNDDG-ZDUSSCGKSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccc(OC)c1F ZINC001051178286 764583057 /nfs/dbraw/zinc/58/30/57/764583057.db2.gz RRELNSKVJQNDDG-ZDUSSCGKSA-N 1 2 322.380 1.841 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC[C@@H]1COCC[N@H+]1CCC ZINC001051203034 764614922 /nfs/dbraw/zinc/61/49/22/764614922.db2.gz MWBNWMOZOGBZRH-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCOc1cccnc1C(=O)NC[C@@H]1COCC[N@@H+]1CCC ZINC001051203034 764614933 /nfs/dbraw/zinc/61/49/33/764614933.db2.gz MWBNWMOZOGBZRH-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](CC[C@H]2CC2(Cl)Cl)CC1 ZINC001112708767 764629265 /nfs/dbraw/zinc/62/92/65/764629265.db2.gz WOVUGMJYBHMTDF-LBPRGKRZSA-N 1 2 321.248 1.917 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)N[C@H](CC(C)C)C2)C1 ZINC001043183137 764743663 /nfs/dbraw/zinc/74/36/63/764743663.db2.gz HRAIHMSPOCXNSN-LSDHHAIUSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(C)noc2CCC)CC1 ZINC001112843924 764867246 /nfs/dbraw/zinc/86/72/46/764867246.db2.gz NBCJUWJGIBCRGN-UHFFFAOYSA-N 1 2 321.421 1.896 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-n3ccnc3)nc2)C1 ZINC001043499190 764941658 /nfs/dbraw/zinc/94/16/58/764941658.db2.gz ISHFBMKUMNVZKO-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ccc(CC)o2)[C@@H](n2ccnn2)C1 ZINC001069962404 768254276 /nfs/dbraw/zinc/25/42/76/768254276.db2.gz UBSZPJUABYOHSF-KGLIPLIRSA-N 1 2 315.377 1.275 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ccc(CC)o2)[C@@H](n2ccnn2)C1 ZINC001069962404 768254281 /nfs/dbraw/zinc/25/42/81/768254281.db2.gz UBSZPJUABYOHSF-KGLIPLIRSA-N 1 2 315.377 1.275 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@@H](C[NH2+]Cc2csnn2)C1 ZINC001051755581 765127741 /nfs/dbraw/zinc/12/77/41/765127741.db2.gz JAYMHDQIFVUAIY-LBPRGKRZSA-N 1 2 310.423 1.067 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCO[C@@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001051803974 765175560 /nfs/dbraw/zinc/17/55/60/765175560.db2.gz ULDSBBRWVCIVEN-XJKSGUPXSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(C)cncc2C)CC1 ZINC001113099657 765266457 /nfs/dbraw/zinc/26/64/57/765266457.db2.gz DRUPKSNCGDAHHG-UHFFFAOYSA-N 1 2 303.406 1.659 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)/C=C(/C)C3CC3)C2)CC1 ZINC001051992623 765358599 /nfs/dbraw/zinc/35/85/99/765358599.db2.gz DPSBXOAVSMTNGN-HRYDCMBCSA-N 1 2 315.461 1.585 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccncc3F)C2)CC1 ZINC001052002369 765369174 /nfs/dbraw/zinc/36/91/74/765369174.db2.gz GVSLOXNPMQPUEW-CQSZACIVSA-N 1 2 318.396 1.239 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)o1 ZINC001052007131 765375603 /nfs/dbraw/zinc/37/56/03/765375603.db2.gz WKQYLYRGCZIDHV-OAHLLOKOSA-N 1 2 316.405 1.252 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H](C)C3CCC3)C2)CC1 ZINC001052042433 765413906 /nfs/dbraw/zinc/41/39/06/765413906.db2.gz BVMODQRUQSISGD-WMZOPIPTSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3CCCC34CC4)C2)CC1 ZINC001052042634 765414438 /nfs/dbraw/zinc/41/44/38/765414438.db2.gz FCEULNOPTRQZBW-SJORKVTESA-N 1 2 315.461 1.418 20 30 DDEDLO N#CCOc1ccc(C[NH+]2CCN(C(=O)CCCF)CC2)cc1 ZINC001113215261 765420992 /nfs/dbraw/zinc/42/09/92/765420992.db2.gz KUIUMFOKBLFRFW-UHFFFAOYSA-N 1 2 319.380 1.983 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3cc(F)c[nH]3)C2)CC1 ZINC001052052830 765424951 /nfs/dbraw/zinc/42/49/51/765424951.db2.gz YGPQDNHPCWETPP-OAHLLOKOSA-N 1 2 318.396 1.009 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3ccc(C=C)cc3)C2)CC1 ZINC001052087075 765458209 /nfs/dbraw/zinc/45/82/09/765458209.db2.gz XXPSJJTZLQSALQ-LJQANCHMSA-N 1 2 323.440 1.795 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCN(C(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001057728375 765706069 /nfs/dbraw/zinc/70/60/69/765706069.db2.gz NFYWNNVKIWOZQU-MRXNPFEDSA-N 1 2 324.388 1.643 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[C@@H](Nc3cc[nH+]c(C)n3)C2)c1 ZINC001057756211 765777365 /nfs/dbraw/zinc/77/73/65/765777365.db2.gz MAIADQCZPIFHFM-MRXNPFEDSA-N 1 2 321.384 1.878 20 30 DDEDLO C[C@H](CNC(=O)CCc1[nH]cc[nH+]1)N(C)c1ccncc1C#N ZINC001113590550 765918646 /nfs/dbraw/zinc/91/86/46/765918646.db2.gz FYNYKWRLHNRJIN-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CCCN(c2ccc(C#N)nc2)C1 ZINC001058181650 766291128 /nfs/dbraw/zinc/29/11/28/766291128.db2.gz DDOZYMQRYZWCBZ-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CSCC#N)oc1C ZINC001113891233 766360596 /nfs/dbraw/zinc/36/05/96/766360596.db2.gz ONLBMAJBWYMQRA-JYAVWHMHSA-N 1 2 320.418 1.095 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CSCC#N)oc1C ZINC001113891233 766360605 /nfs/dbraw/zinc/36/06/05/766360605.db2.gz ONLBMAJBWYMQRA-JYAVWHMHSA-N 1 2 320.418 1.095 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCC[C@H]2NC(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001045575584 766412596 /nfs/dbraw/zinc/41/25/96/766412596.db2.gz XSRMWRSNMNJAAE-HUUCEWRRSA-N 1 2 324.388 1.758 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnnn3C3CC3)C[C@H]21 ZINC001114024803 766535559 /nfs/dbraw/zinc/53/55/59/766535559.db2.gz FTDHNVSZYQWQDF-MUYACECFSA-N 1 2 316.409 1.082 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnnn3C3CC3)C[C@H]21 ZINC001114024803 766535571 /nfs/dbraw/zinc/53/55/71/766535571.db2.gz FTDHNVSZYQWQDF-MUYACECFSA-N 1 2 316.409 1.082 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114025319 766573339 /nfs/dbraw/zinc/57/33/39/766573339.db2.gz KLDGJFXNXDTPCM-FJJYHAOUSA-N 1 2 303.410 1.441 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(CC)[nH]3)C[C@H]21 ZINC001114025319 766573346 /nfs/dbraw/zinc/57/33/46/766573346.db2.gz KLDGJFXNXDTPCM-FJJYHAOUSA-N 1 2 303.410 1.441 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccncc1C#N ZINC001067541959 766604990 /nfs/dbraw/zinc/60/49/90/766604990.db2.gz GRMMTZCXUAVCTI-ZFWWWQNUSA-N 1 2 324.388 1.323 20 30 DDEDLO CN(C[C@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1)c1ccc(C#N)cn1 ZINC001067803002 766711613 /nfs/dbraw/zinc/71/16/13/766711613.db2.gz PYMHOCQGCLWOGQ-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO C[C@H]1CCN(c2ccc(C#N)nc2)C[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067966602 766844477 /nfs/dbraw/zinc/84/44/77/766844477.db2.gz DCKFJNPILPRTFU-WFASDCNBSA-N 1 2 324.388 1.250 20 30 DDEDLO CC1(C)CC[C@H](C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)C1 ZINC001046062212 766874670 /nfs/dbraw/zinc/87/46/70/766874670.db2.gz RPYXWIFWQMOSPX-JKSUJKDBSA-N 1 2 318.465 1.555 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccncc2C#N)CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001068829552 767645641 /nfs/dbraw/zinc/64/56/41/767645641.db2.gz NFMIXAGGYCUPDG-TZMCWYRMSA-N 1 2 324.388 1.132 20 30 DDEDLO C[C@@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068887851 767683245 /nfs/dbraw/zinc/68/32/45/767683245.db2.gz QIWMHQLHISSYJC-DGCLKSJQSA-N 1 2 310.361 1.320 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[N@@H+]1CC(=O)NC1CC1 ZINC001132035086 768581636 /nfs/dbraw/zinc/58/16/36/768581636.db2.gz XKAYCMLDAKPOOK-DZGCQCFKSA-N 1 2 319.449 1.284 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[N@H+]1CC(=O)NC1CC1 ZINC001132035086 768581638 /nfs/dbraw/zinc/58/16/38/768581638.db2.gz XKAYCMLDAKPOOK-DZGCQCFKSA-N 1 2 319.449 1.284 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132065625 768615002 /nfs/dbraw/zinc/61/50/02/768615002.db2.gz WXPOMZMLMCAOPE-KBPBESRZSA-N 1 2 322.453 1.277 20 30 DDEDLO CC(C)C(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132065625 768615006 /nfs/dbraw/zinc/61/50/06/768615006.db2.gz WXPOMZMLMCAOPE-KBPBESRZSA-N 1 2 322.453 1.277 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001132398996 768806541 /nfs/dbraw/zinc/80/65/41/768806541.db2.gz XURFVCAPOYJZQO-ZDUSSCGKSA-N 1 2 322.409 1.567 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)CC1 ZINC001070975540 768826514 /nfs/dbraw/zinc/82/65/14/768826514.db2.gz RQOJOXXNPVKNNU-PMPSAXMXSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)CC1 ZINC001070975540 768826526 /nfs/dbraw/zinc/82/65/26/768826526.db2.gz RQOJOXXNPVKNNU-PMPSAXMXSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCCC[C@@H]2C)CC1 ZINC001070991077 768846586 /nfs/dbraw/zinc/84/65/86/768846586.db2.gz DQKFYFJFARQWPN-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCCC[C@@H]2C)CC1 ZINC001070991077 768846600 /nfs/dbraw/zinc/84/66/00/768846600.db2.gz DQKFYFJFARQWPN-JKSUJKDBSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C(C)(C)CC)CC1 ZINC001070992747 768849882 /nfs/dbraw/zinc/84/98/82/768849882.db2.gz WPPXUWRBAJCHBW-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C(C)(C)CC)CC1 ZINC001070992747 768849895 /nfs/dbraw/zinc/84/98/95/768849895.db2.gz WPPXUWRBAJCHBW-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2CC)CC1 ZINC001071001484 768864084 /nfs/dbraw/zinc/86/40/84/768864084.db2.gz APMGXMQQBSHOTC-HUUCEWRRSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2CC)CC1 ZINC001071001484 768864095 /nfs/dbraw/zinc/86/40/95/768864095.db2.gz APMGXMQQBSHOTC-HUUCEWRRSA-N 1 2 307.438 1.259 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001132591041 769013016 /nfs/dbraw/zinc/01/30/16/769013016.db2.gz FNIUJWUBMCSMOU-UHFFFAOYSA-N 1 2 316.361 1.729 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001132591500 769014230 /nfs/dbraw/zinc/01/42/30/769014230.db2.gz QTZJZLAUHURMMV-UHFFFAOYSA-N 1 2 316.361 1.729 20 30 DDEDLO C[C@H]1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C[C@H]1CNCC#N ZINC001132920953 769325029 /nfs/dbraw/zinc/32/50/29/769325029.db2.gz WEXVAJHMKGVNAW-GXTWGEPZSA-N 1 2 311.389 1.774 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[NH2+][C@H](C)c1nc(COC)no1 ZINC001133380450 769761208 /nfs/dbraw/zinc/76/12/08/769761208.db2.gz GNFGTEYAEHPYRM-IAQYHMDHSA-N 1 2 310.398 1.585 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCC3)CC[C@H]1C ZINC001071638372 769771755 /nfs/dbraw/zinc/77/17/55/769771755.db2.gz IPMDHMBTMGUTEG-OLZOCXBDSA-N 1 2 300.406 1.504 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc3c2CCC3)CC[C@H]1C ZINC001071638372 769771764 /nfs/dbraw/zinc/77/17/64/769771764.db2.gz IPMDHMBTMGUTEG-OLZOCXBDSA-N 1 2 300.406 1.504 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[C@H](C)[N@@H+](Cc3cnnn3C)C2)C1 ZINC001071807153 770105411 /nfs/dbraw/zinc/10/54/11/770105411.db2.gz NOMVENLDIHTMIO-UONOGXRCSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[C@H](C)[N@H+](Cc3cnnn3C)C2)C1 ZINC001071807153 770105415 /nfs/dbraw/zinc/10/54/15/770105415.db2.gz NOMVENLDIHTMIO-UONOGXRCSA-N 1 2 317.437 1.641 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)CC[C@H]1C ZINC001071825500 770131247 /nfs/dbraw/zinc/13/12/47/770131247.db2.gz XJNOTQAZTQQUCD-MNOVXSKESA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)CC[C@H]1C ZINC001071825500 770131251 /nfs/dbraw/zinc/13/12/51/770131251.db2.gz XJNOTQAZTQQUCD-MNOVXSKESA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cn2ccc(C)n2)CC[C@@H]1C ZINC001071831825 770141573 /nfs/dbraw/zinc/14/15/73/770141573.db2.gz NESQJPNIUJAOEK-UONOGXRCSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cn2ccc(C)n2)CC[C@@H]1C ZINC001071831825 770141577 /nfs/dbraw/zinc/14/15/77/770141577.db2.gz NESQJPNIUJAOEK-UONOGXRCSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[N@@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001049026673 770265904 /nfs/dbraw/zinc/26/59/04/770265904.db2.gz WHDDVVFVZVZPAN-VBQJREDUSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[N@H+](Cc3nncn3C)C[C@@H]2C1 ZINC001049026673 770265913 /nfs/dbraw/zinc/26/59/13/770265913.db2.gz WHDDVVFVZVZPAN-VBQJREDUSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2nonc2C)CC[C@@H]1C ZINC001071950232 770331635 /nfs/dbraw/zinc/33/16/35/770331635.db2.gz GQLDTZBLTDLUIJ-JQWIXIFHSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2nonc2C)CC[C@@H]1C ZINC001071950232 770331648 /nfs/dbraw/zinc/33/16/48/770331648.db2.gz GQLDTZBLTDLUIJ-JQWIXIFHSA-N 1 2 312.801 1.642 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@H](NC(=O)CCc3c[nH]c[nH+]3)C2)n1 ZINC001096497707 770508165 /nfs/dbraw/zinc/50/81/65/770508165.db2.gz WAAHICTYIMBYRD-HNNXBMFYSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@H](NC(=O)CCc3c[nH+]c[nH]3)C2)n1 ZINC001096497707 770508167 /nfs/dbraw/zinc/50/81/67/770508167.db2.gz WAAHICTYIMBYRD-HNNXBMFYSA-N 1 2 324.388 1.313 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](NC(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001096497909 770509726 /nfs/dbraw/zinc/50/97/26/770509726.db2.gz WWBZOBFHWOZVNL-CQSZACIVSA-N 1 2 310.361 1.004 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H](NC(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001096497909 770509733 /nfs/dbraw/zinc/50/97/33/770509733.db2.gz WWBZOBFHWOZVNL-CQSZACIVSA-N 1 2 310.361 1.004 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@@H]1C ZINC001072077032 770517611 /nfs/dbraw/zinc/51/76/11/770517611.db2.gz ZLUNNIIZHCBMFI-JQWIXIFHSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@@H]1C ZINC001072077032 770517612 /nfs/dbraw/zinc/51/76/12/770517612.db2.gz ZLUNNIIZHCBMFI-JQWIXIFHSA-N 1 2 324.812 1.449 20 30 DDEDLO CCn1ccc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)n1 ZINC001049373388 770752911 /nfs/dbraw/zinc/75/29/11/770752911.db2.gz SDUZWGQTEQVYCF-VNQPRFMTSA-N 1 2 315.421 1.628 20 30 DDEDLO CCn1ccc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)n1 ZINC001049373388 770752917 /nfs/dbraw/zinc/75/29/17/770752917.db2.gz SDUZWGQTEQVYCF-VNQPRFMTSA-N 1 2 315.421 1.628 20 30 DDEDLO C=CCCC(=O)N[C@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001072480884 770955075 /nfs/dbraw/zinc/95/50/75/770955075.db2.gz JVAORBJWNJEHOI-FZMZJTMJSA-N 1 2 304.394 1.190 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC[C@H]2[C@@H]1CCN2CC#N ZINC001049785669 771157745 /nfs/dbraw/zinc/15/77/45/771157745.db2.gz YIDCRRWUVHUVRN-SNPRPXQTSA-N 1 2 301.394 1.177 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC[C@H]2[C@@H]1CCN2CC#N ZINC001049785669 771157746 /nfs/dbraw/zinc/15/77/46/771157746.db2.gz YIDCRRWUVHUVRN-SNPRPXQTSA-N 1 2 301.394 1.177 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)n1 ZINC001097017906 771579833 /nfs/dbraw/zinc/57/98/33/771579833.db2.gz GEWSMLLCWCYEEV-HDJSIYSDSA-N 1 2 324.388 1.677 20 30 DDEDLO N#CCN[C@@H]1CC[C@H](CNC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001086799899 771762913 /nfs/dbraw/zinc/76/29/13/771762913.db2.gz LOUYKPUFKBVYPY-YNEHKIRRSA-N 1 2 301.394 1.228 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc(C)nc2C)[C@H](O)C1 ZINC001090655018 772080044 /nfs/dbraw/zinc/08/00/44/772080044.db2.gz NPZVUFYDJVMFAN-UONOGXRCSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc(C)nc2C)[C@H](O)C1 ZINC001090655018 772080047 /nfs/dbraw/zinc/08/00/47/772080047.db2.gz NPZVUFYDJVMFAN-UONOGXRCSA-N 1 2 324.812 1.011 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2CN(c3cc[nH+]c(C)n3)C[C@H]2C)CCC1 ZINC001090783854 772202173 /nfs/dbraw/zinc/20/21/73/772202173.db2.gz ZWLJOSBAJNZICW-UKRRQHHQSA-N 1 2 312.417 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(C)nc2C)[C@@H](O)C1 ZINC001090827240 772246484 /nfs/dbraw/zinc/24/64/84/772246484.db2.gz HPHSKQVPOKPDDZ-CABCVRRESA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)nc2C)[C@@H](O)C1 ZINC001090827240 772246488 /nfs/dbraw/zinc/24/64/88/772246488.db2.gz HPHSKQVPOKPDDZ-CABCVRRESA-N 1 2 323.824 1.616 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@H]1C[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091453754 772773721 /nfs/dbraw/zinc/77/37/21/772773721.db2.gz KATFIYBXSWGPGJ-XEZPLFJOSA-N 1 2 304.394 1.419 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1ccon1)C2 ZINC001147132644 773058699 /nfs/dbraw/zinc/05/86/99/773058699.db2.gz PMZCPKYEFIMVOX-CQSZACIVSA-N 1 2 319.405 1.690 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1ccon1)C2 ZINC001147132644 773058703 /nfs/dbraw/zinc/05/87/03/773058703.db2.gz PMZCPKYEFIMVOX-CQSZACIVSA-N 1 2 319.405 1.690 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC3(CN(C(=O)C#CC(C)(C)C)C3)C2)n1 ZINC001147541638 773163141 /nfs/dbraw/zinc/16/31/41/773163141.db2.gz UZDZMYJDXZPETD-UHFFFAOYSA-N 1 2 316.405 1.462 20 30 DDEDLO Cc1noc(C[N@H+]2CCC3(CN(C(=O)C#CC(C)(C)C)C3)C2)n1 ZINC001147541638 773163144 /nfs/dbraw/zinc/16/31/44/773163144.db2.gz UZDZMYJDXZPETD-UHFFFAOYSA-N 1 2 316.405 1.462 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001073915864 773521969 /nfs/dbraw/zinc/52/19/69/773521969.db2.gz GUBNMDLGVGZJNO-GJZGRUSLSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001074103680 773633351 /nfs/dbraw/zinc/63/33/51/773633351.db2.gz HCRMSQVLOBISTD-KBPBESRZSA-N 1 2 318.421 1.449 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3F)C[C@H]21 ZINC001074151492 773676947 /nfs/dbraw/zinc/67/69/47/773676947.db2.gz NKLKILWXZKNNFJ-SJORKVTESA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3F)C[C@H]21 ZINC001074151492 773676952 /nfs/dbraw/zinc/67/69/52/773676952.db2.gz NKLKILWXZKNNFJ-SJORKVTESA-N 1 2 316.376 1.764 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccsc3)C[C@H]21 ZINC001074158797 773681582 /nfs/dbraw/zinc/68/15/82/773681582.db2.gz UXOUXZPDFMLUDM-CABCVRRESA-N 1 2 304.415 1.687 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccsc3)C[C@H]21 ZINC001074158797 773681585 /nfs/dbraw/zinc/68/15/85/773681585.db2.gz UXOUXZPDFMLUDM-CABCVRRESA-N 1 2 304.415 1.687 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001074168913 773691868 /nfs/dbraw/zinc/69/18/68/773691868.db2.gz RGBHRBFLTUXYOJ-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cnc(C)s3)C[C@H]21 ZINC001074191453 773714597 /nfs/dbraw/zinc/71/45/97/773714597.db2.gz WAJXDSOIWPXNTO-ZIAGYGMSSA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cnc(C)s3)C[C@H]21 ZINC001074191453 773714600 /nfs/dbraw/zinc/71/46/00/773714600.db2.gz WAJXDSOIWPXNTO-ZIAGYGMSSA-N 1 2 321.446 1.943 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@@H]21 ZINC001074209073 773732186 /nfs/dbraw/zinc/73/21/86/773732186.db2.gz SBCLCZJQENZJKL-UONOGXRCSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@@H]21 ZINC001074209073 773732190 /nfs/dbraw/zinc/73/21/90/773732190.db2.gz SBCLCZJQENZJKL-UONOGXRCSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCc3ccoc3)C[C@@H]21 ZINC001074209433 773733376 /nfs/dbraw/zinc/73/33/76/773733376.db2.gz XVNJBCGNRRYYRD-IRXDYDNUSA-N 1 2 316.401 1.537 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCc3ccoc3)C[C@@H]21 ZINC001074209433 773733378 /nfs/dbraw/zinc/73/33/78/773733378.db2.gz XVNJBCGNRRYYRD-IRXDYDNUSA-N 1 2 316.401 1.537 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCc3cn[nH]c3)C[C@@H]21 ZINC001074353219 773848383 /nfs/dbraw/zinc/84/83/83/773848383.db2.gz CBPYTDUTUVONMX-JKSUJKDBSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCc3cn[nH]c3)C[C@@H]21 ZINC001074353219 773848387 /nfs/dbraw/zinc/84/83/87/773848387.db2.gz CBPYTDUTUVONMX-JKSUJKDBSA-N 1 2 318.421 1.220 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCn2cc[nH+]c2)CCN1c1ccc(C#N)nc1 ZINC001092038830 773915737 /nfs/dbraw/zinc/91/57/37/773915737.db2.gz SLAXBSPCJKXABX-CJNGLKHVSA-N 1 2 324.388 1.323 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001092239463 774025072 /nfs/dbraw/zinc/02/50/72/774025072.db2.gz DXJJVPFWPPGWAY-XGNXJENSSA-N 1 2 302.378 1.130 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CC1(C)C)c1nccn12 ZINC001092362743 774076749 /nfs/dbraw/zinc/07/67/49/774076749.db2.gz XRKVEWRBUQBQEH-KGLIPLIRSA-N 1 2 312.417 1.524 20 30 DDEDLO Cc1nc(NC[C@@H]2CN(C(=O)C#CC(C)C)C[C@H]2C)cc[nH+]1 ZINC001092650459 774198025 /nfs/dbraw/zinc/19/80/25/774198025.db2.gz NUVBLYHYEHNUGR-UKRRQHHQSA-N 1 2 300.406 1.951 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001075127709 774371272 /nfs/dbraw/zinc/37/12/72/774371272.db2.gz PNDIOJDJXRTLKN-OLZOCXBDSA-N 1 2 304.394 1.414 20 30 DDEDLO CC#CC[N@H+](CCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001098371449 774551295 /nfs/dbraw/zinc/55/12/95/774551295.db2.gz RGKHVQIENMHGPV-LBPRGKRZSA-N 1 2 324.318 1.195 20 30 DDEDLO CC#CC[N@@H+](CCO)C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001098371449 774551300 /nfs/dbraw/zinc/55/13/00/774551300.db2.gz RGKHVQIENMHGPV-LBPRGKRZSA-N 1 2 324.318 1.195 20 30 DDEDLO CC#CC[N@H+](CCO)C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001098371449 774551307 /nfs/dbraw/zinc/55/13/07/774551307.db2.gz RGKHVQIENMHGPV-LBPRGKRZSA-N 1 2 324.318 1.195 20 30 DDEDLO CC#CC[N@@H+](CCO)C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001098371449 774551311 /nfs/dbraw/zinc/55/13/11/774551311.db2.gz RGKHVQIENMHGPV-LBPRGKRZSA-N 1 2 324.318 1.195 20 30 DDEDLO C=C(C)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3[nH]nnc3c1)C2 ZINC001098376499 774554349 /nfs/dbraw/zinc/55/43/49/774554349.db2.gz ZDNBIXHMXPDUQQ-WQVCFCJDSA-N 1 2 311.389 1.869 20 30 DDEDLO C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3[nH]nnc3c1)C2 ZINC001098376499 774554352 /nfs/dbraw/zinc/55/43/52/774554352.db2.gz ZDNBIXHMXPDUQQ-WQVCFCJDSA-N 1 2 311.389 1.869 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H](C)CCC)C2)nn1 ZINC001098644942 774629629 /nfs/dbraw/zinc/62/96/29/774629629.db2.gz FSBJPWDQRLCGIN-HOCLYGCPSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H](C)C(C)(C)C)C2)nn1 ZINC001098685662 774641795 /nfs/dbraw/zinc/64/17/95/774641795.db2.gz NSQCRUYAKRBNPG-DZGCQCFKSA-N 1 2 317.437 1.457 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H](C)C(C)C)C2)nn1 ZINC001098740507 774658782 /nfs/dbraw/zinc/65/87/82/774658782.db2.gz RAKPBKNSDWMPFY-HOCLYGCPSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@H](CC)CCC)C2)nn1 ZINC001098757691 774664591 /nfs/dbraw/zinc/66/45/91/774664591.db2.gz RLKWTDACAKSPCY-HOCLYGCPSA-N 1 2 317.437 1.601 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3C[C@]34CCOC4)CC2)C1 ZINC001093588793 774870147 /nfs/dbraw/zinc/87/01/47/774870147.db2.gz AYLFNSILMLZDLS-AEFFLSMTSA-N 1 2 320.433 1.291 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cn(CC)cn3)CC2)C1 ZINC001093592748 774875294 /nfs/dbraw/zinc/87/52/94/774875294.db2.gz MUWQQASVKDXYHJ-UHFFFAOYSA-N 1 2 318.421 1.394 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[C@H](C)Nc2cc[nH+]c(C)n2)cn1 ZINC001099324981 774947344 /nfs/dbraw/zinc/94/73/44/774947344.db2.gz BNHSJYKJVGSLBQ-LBPRGKRZSA-N 1 2 309.373 1.782 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)CCc2c[nH+]cn2C)n1 ZINC001093785272 775076893 /nfs/dbraw/zinc/07/68/93/775076893.db2.gz OGLJMCGHNSTZKS-UHFFFAOYSA-N 1 2 312.377 1.156 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)COC2CCCC2)[C@H](O)C1 ZINC001099710448 775168195 /nfs/dbraw/zinc/16/81/95/775168195.db2.gz YBGDAOCRWALDGG-ZIAGYGMSSA-N 1 2 316.829 1.250 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)COC2CCCC2)[C@H](O)C1 ZINC001099710448 775168200 /nfs/dbraw/zinc/16/82/00/775168200.db2.gz YBGDAOCRWALDGG-ZIAGYGMSSA-N 1 2 316.829 1.250 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001099946860 775458026 /nfs/dbraw/zinc/45/80/26/775458026.db2.gz MYFFCJPLGOLDJR-HIFRSBDPSA-N 1 2 321.421 1.637 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001099946860 775458033 /nfs/dbraw/zinc/45/80/33/775458033.db2.gz MYFFCJPLGOLDJR-HIFRSBDPSA-N 1 2 321.421 1.637 20 30 DDEDLO Cc1cc(C#N)nc(NCCNC(=O)c2cc3c[nH+]ccc3[nH]2)n1 ZINC001094236533 775573171 /nfs/dbraw/zinc/57/31/71/775573171.db2.gz USCVFBSRQVPMSC-UHFFFAOYSA-N 1 2 321.344 1.375 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3[nH]ccc3C)nn2)C1 ZINC001094288846 775689587 /nfs/dbraw/zinc/68/95/87/775689587.db2.gz VZTZXXGETBZASD-UHFFFAOYSA-N 1 2 314.393 1.277 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@]3(C)CC)nn2)C1 ZINC001094331706 775713287 /nfs/dbraw/zinc/71/32/87/775713287.db2.gz CORUBSUXDQZFMU-WBVHZDCISA-N 1 2 315.421 1.211 20 30 DDEDLO CC(C)Cc1nc(C[NH2+]C2CC(CNC(=O)[C@@H](C)C#N)C2)no1 ZINC001100197867 775805294 /nfs/dbraw/zinc/80/52/94/775805294.db2.gz GGTIBWDUISKYLP-HIFPTAJRSA-N 1 2 319.409 1.412 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(CNC(=O)c3cnn[nH]3)CCC[C@@H]12 ZINC001094456206 775893225 /nfs/dbraw/zinc/89/32/25/775893225.db2.gz AUNAJJOHVOTSAQ-TZMCWYRMSA-N 1 2 309.801 1.532 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(CNC(=O)c3cnn[nH]3)CCC[C@@H]12 ZINC001094456206 775893235 /nfs/dbraw/zinc/89/32/35/775893235.db2.gz AUNAJJOHVOTSAQ-TZMCWYRMSA-N 1 2 309.801 1.532 20 30 DDEDLO C#CCCCC(=O)NCC1CC([NH2+][C@@H](C)c2noc(C)n2)C1 ZINC001100283484 775934900 /nfs/dbraw/zinc/93/49/00/775934900.db2.gz NHSKGPKAHOGXCQ-XGNXJENSSA-N 1 2 304.394 1.727 20 30 DDEDLO CN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)c1ccc(C#N)cn1 ZINC001100334413 776014306 /nfs/dbraw/zinc/01/43/06/776014306.db2.gz YILDOHIUWTTXPM-ZIAGYGMSSA-N 1 2 324.388 1.043 20 30 DDEDLO N#Cc1ccc(N2CC[C@H](CNC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001100965651 776771286 /nfs/dbraw/zinc/77/12/86/776771286.db2.gz UMBJLXQFIJTGHI-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001101002030 776809504 /nfs/dbraw/zinc/80/95/04/776809504.db2.gz GINBGDHMRKZZHE-ZDUSSCGKSA-N 1 2 324.388 1.170 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+](Cc3nncn3C)C[C@@]2(C)C1 ZINC001101162782 776956044 /nfs/dbraw/zinc/95/60/44/776956044.db2.gz HJKPTAPGUNKWLJ-CJNGLKHVSA-N 1 2 303.410 1.062 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+](Cc3nncn3C)C[C@@]2(C)C1 ZINC001101162782 776956048 /nfs/dbraw/zinc/95/60/48/776956048.db2.gz HJKPTAPGUNKWLJ-CJNGLKHVSA-N 1 2 303.410 1.062 20 30 DDEDLO COC(=O)[C@@H](Cc1ccc(C#N)cc1)[NH2+][C@@H]1CCO[C@@H](C)C1 ZINC001173171113 777044726 /nfs/dbraw/zinc/04/47/26/777044726.db2.gz HGRMIVVNUQZMFS-APHBMKBZSA-N 1 2 302.374 1.799 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)SC)c1nccn12 ZINC001101611385 777301715 /nfs/dbraw/zinc/30/17/15/777301715.db2.gz AZXZNLKAQHQWFA-STQMWFEESA-N 1 2 318.446 1.230 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](C)SC)c1nccn12 ZINC001101611382 777302235 /nfs/dbraw/zinc/30/22/35/777302235.db2.gz AZXZNLKAQHQWFA-CHWSQXEVSA-N 1 2 318.446 1.230 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C(C)=C\CC)c1nccn12 ZINC001101613670 777305378 /nfs/dbraw/zinc/30/53/78/777305378.db2.gz FOCLKCYEFRPNGF-XIEDVDOYSA-N 1 2 312.417 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CC(C)(F)F)c1nccn12 ZINC001101643271 777339260 /nfs/dbraw/zinc/33/92/60/777339260.db2.gz ZZKYYJGTHOPUNR-NSHDSACASA-N 1 2 310.348 1.686 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CCNc1ccc(C#N)c(C)n1 ZINC001101718399 777430416 /nfs/dbraw/zinc/43/04/16/777430416.db2.gz VIDRBUFGQFDEJH-UHFFFAOYSA-N 1 2 312.377 1.406 20 30 DDEDLO N#Cc1cc(O)ccc1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001174493597 777487405 /nfs/dbraw/zinc/48/74/05/777487405.db2.gz LJMLEHZUBUOFAF-UHFFFAOYSA-N 1 2 313.361 1.536 20 30 DDEDLO C#CCOCCC(=O)N(C)CCNc1cc(C)[nH+]c(C(C)C)n1 ZINC001101781541 777510956 /nfs/dbraw/zinc/51/09/56/777510956.db2.gz VTEWQDWZUFNILZ-UHFFFAOYSA-N 1 2 318.421 1.819 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001101960207 777735403 /nfs/dbraw/zinc/73/54/03/777735403.db2.gz GQQRIKMQHPFGAX-ZIAGYGMSSA-N 1 2 324.388 1.361 20 30 DDEDLO C=CCOC[C@H]1CCC[C@@]12CN(C(=O)Cc1c[nH+]c[nH]1)CCO2 ZINC001176828682 778260933 /nfs/dbraw/zinc/26/09/33/778260933.db2.gz CXWMKJWYIRIRKS-RHSMWYFYSA-N 1 2 319.405 1.553 20 30 DDEDLO COC(=O)c1scc(C#N)c1NC(=O)CCn1cc[nH+]c1 ZINC001176873371 778283520 /nfs/dbraw/zinc/28/35/20/778283520.db2.gz JYDUUUCVONJCMO-UHFFFAOYSA-N 1 2 304.331 1.632 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCC(CC(F)F)(C(=O)OCC)CC1 ZINC001176903280 778332182 /nfs/dbraw/zinc/33/21/82/778332182.db2.gz WOYBPZFPLUQBEZ-UHFFFAOYSA-N 1 2 316.348 1.036 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]2OCC[N@@H+](CCOC(C)C)[C@@H]2C1 ZINC001177019463 778395916 /nfs/dbraw/zinc/39/59/16/778395916.db2.gz MPWORRFWKSFBLU-SJORKVTESA-N 1 2 322.449 1.373 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]2OCC[N@H+](CCOC(C)C)[C@@H]2C1 ZINC001177019463 778395924 /nfs/dbraw/zinc/39/59/24/778395924.db2.gz MPWORRFWKSFBLU-SJORKVTESA-N 1 2 322.449 1.373 20 30 DDEDLO CCN(C(=O)Cc1[nH]cc[nH+]1)[C@H]1CCN(c2ncccc2C#N)C1 ZINC001102815428 778423552 /nfs/dbraw/zinc/42/35/52/778423552.db2.gz CIYUFUHCRIISDG-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C/C=C(/C)C=C)C[C@@H]21 ZINC001177067519 778426252 /nfs/dbraw/zinc/42/62/52/778426252.db2.gz QWLKZOSYFTZGPN-XJBCQWDLSA-N 1 2 302.418 1.834 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C/C=C(/C)C=C)C[C@@H]21 ZINC001177067519 778426254 /nfs/dbraw/zinc/42/62/54/778426254.db2.gz QWLKZOSYFTZGPN-XJBCQWDLSA-N 1 2 302.418 1.834 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCCN(C(=O)[C@@H](C)n2cc[nH+]c2)C1 ZINC001177925790 778787768 /nfs/dbraw/zinc/78/77/68/778787768.db2.gz QXZKIKFNYYBTEN-CHWSQXEVSA-N 1 2 306.366 1.347 20 30 DDEDLO C[C@](CNc1ncccc1C#N)(NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001103575112 778951373 /nfs/dbraw/zinc/95/13/73/778951373.db2.gz MWPPXXOWGQYEFR-QGZVFWFLSA-N 1 2 324.388 1.616 20 30 DDEDLO Cc1nc(NC[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001104053363 779274532 /nfs/dbraw/zinc/27/45/32/779274532.db2.gz XWKOKYBIAQXUEO-LLVKDONJSA-N 1 2 312.377 1.392 20 30 DDEDLO C=CCCCC(=O)NC[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104190973 779361030 /nfs/dbraw/zinc/36/10/30/779361030.db2.gz ZKWYXTJYWWLAQG-LBPRGKRZSA-N 1 2 320.441 1.767 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@@H](CCNc2cc[nH+]c(C)n2)C1 ZINC001111606545 779400470 /nfs/dbraw/zinc/40/04/70/779400470.db2.gz GUHAXJCIPFBUIM-RHSMWYFYSA-N 1 2 318.421 1.763 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@@H+]([C@H](C)c1nncn1C)C2 ZINC001111650659 779417696 /nfs/dbraw/zinc/41/76/96/779417696.db2.gz ZVBQPEPWPHCJTC-KEYYUXOJSA-N 1 2 317.437 1.813 20 30 DDEDLO C=CCCC(=O)N[C@]12CCC[C@H]1C[N@H+]([C@H](C)c1nncn1C)C2 ZINC001111650659 779417698 /nfs/dbraw/zinc/41/76/98/779417698.db2.gz ZVBQPEPWPHCJTC-KEYYUXOJSA-N 1 2 317.437 1.813 20 30 DDEDLO CCn1ccc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)n1 ZINC001111668332 779427551 /nfs/dbraw/zinc/42/75/51/779427551.db2.gz GXIXRLJPFABOOE-ZQIUZPCESA-N 1 2 315.421 1.533 20 30 DDEDLO CCn1ccc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)n1 ZINC001111668332 779427554 /nfs/dbraw/zinc/42/75/54/779427554.db2.gz GXIXRLJPFABOOE-ZQIUZPCESA-N 1 2 315.421 1.533 20 30 DDEDLO Cc1nc(N[C@@]2(CO)CCCN(C(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001112226778 779654239 /nfs/dbraw/zinc/65/42/39/779654239.db2.gz QINASCQFDXJRHP-KRWDZBQOSA-N 1 2 316.405 1.210 20 30 DDEDLO C=CCCOCC(=O)NC1[C@H]2C[N@@H+](C/C(Cl)=C\Cl)C[C@@H]12 ZINC001115326386 780039446 /nfs/dbraw/zinc/03/94/46/780039446.db2.gz MJENAKZRCQNNAM-AFZMPLDJSA-N 1 2 319.232 1.945 20 30 DDEDLO C=CCCOCC(=O)NC1[C@H]2C[N@H+](C/C(Cl)=C\Cl)C[C@@H]12 ZINC001115326386 780039451 /nfs/dbraw/zinc/03/94/51/780039451.db2.gz MJENAKZRCQNNAM-AFZMPLDJSA-N 1 2 319.232 1.945 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1ccccc1C(=O)OCC ZINC001116396390 780504755 /nfs/dbraw/zinc/50/47/55/780504755.db2.gz BXYLDTMVLJXDHW-UHFFFAOYSA-N 1 2 318.373 1.383 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1ccccc1C(=O)OCC ZINC001116396390 780504763 /nfs/dbraw/zinc/50/47/63/780504763.db2.gz BXYLDTMVLJXDHW-UHFFFAOYSA-N 1 2 318.373 1.383 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)CN1CC2(CC2)CC1=O ZINC001117999671 780981709 /nfs/dbraw/zinc/98/17/09/780981709.db2.gz ALXQNDAFOYSDAV-UHFFFAOYSA-N 1 2 313.401 1.977 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)CN1CC2(CC2)CC1=O ZINC001117999671 780981714 /nfs/dbraw/zinc/98/17/14/780981714.db2.gz ALXQNDAFOYSDAV-UHFFFAOYSA-N 1 2 313.401 1.977 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)N[C@@H](C)C[NH+]2CCN(C)CC2)C1 ZINC001118580750 781165251 /nfs/dbraw/zinc/16/52/51/781165251.db2.gz OWVPDOOEBMGHRD-HOTGVXAUSA-N 1 2 306.454 1.067 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)C1 ZINC001118893339 781266068 /nfs/dbraw/zinc/26/60/68/781266068.db2.gz VLOPQQUPNVHWCK-ZDUSSCGKSA-N 1 2 316.405 1.540 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)C1 ZINC001118893339 781266071 /nfs/dbraw/zinc/26/60/71/781266071.db2.gz VLOPQQUPNVHWCK-ZDUSSCGKSA-N 1 2 316.405 1.540 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)c2ccc(C#N)c(Cl)n2)C1 ZINC001267212256 837543141 /nfs/dbraw/zinc/54/31/41/837543141.db2.gz LLIDSDRSOSONKQ-NSHDSACASA-N 1 2 315.764 1.970 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1ccc(C)cc1C ZINC001267229681 837567398 /nfs/dbraw/zinc/56/73/98/837567398.db2.gz MMOLCQBGIQYVBK-UHFFFAOYSA-N 1 2 303.406 1.267 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1ccc(C)cc1C ZINC001267229681 837567406 /nfs/dbraw/zinc/56/74/06/837567406.db2.gz MMOLCQBGIQYVBK-UHFFFAOYSA-N 1 2 303.406 1.267 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)Cc2ccc(C)c(C)c2)CC1 ZINC001266297320 836073361 /nfs/dbraw/zinc/07/33/61/836073361.db2.gz NEARFUGGGVVAQO-UHFFFAOYSA-N 1 2 313.445 1.213 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@H](F)c1ccccc1 ZINC001266329633 836126735 /nfs/dbraw/zinc/12/67/35/836126735.db2.gz BRFXRAZRTKGUSY-OAHLLOKOSA-N 1 2 307.369 1.047 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H](F)c1ccccc1 ZINC001266329633 836126743 /nfs/dbraw/zinc/12/67/43/836126743.db2.gz BRFXRAZRTKGUSY-OAHLLOKOSA-N 1 2 307.369 1.047 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](C[N@@H+](C)Cc2ncnn2C)C1 ZINC001266466009 836303358 /nfs/dbraw/zinc/30/33/58/836303358.db2.gz HGNYGLOZAVODLD-HNNXBMFYSA-N 1 2 319.453 1.842 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](C[N@H+](C)Cc2ncnn2C)C1 ZINC001266466009 836303365 /nfs/dbraw/zinc/30/33/65/836303365.db2.gz HGNYGLOZAVODLD-HNNXBMFYSA-N 1 2 319.453 1.842 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CCC[N@H+]2Cc2nccn2C)C1 ZINC001266483035 836329543 /nfs/dbraw/zinc/32/95/43/836329543.db2.gz MQICFZANXXGDCM-CQSZACIVSA-N 1 2 302.422 1.857 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2CCC[N@@H+]2Cc2nccn2C)C1 ZINC001266483035 836329552 /nfs/dbraw/zinc/32/95/52/836329552.db2.gz MQICFZANXXGDCM-CQSZACIVSA-N 1 2 302.422 1.857 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CCC(C)C)NC(C)=O ZINC001266501649 836356747 /nfs/dbraw/zinc/35/67/47/836356747.db2.gz FVKOGAQAKCOTDM-IXDOHACOSA-N 1 2 319.449 1.236 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CCC(C)C)NC(C)=O ZINC001266501649 836356756 /nfs/dbraw/zinc/35/67/56/836356756.db2.gz FVKOGAQAKCOTDM-IXDOHACOSA-N 1 2 319.449 1.236 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@@H+](Cc2nc(C)no2)C1 ZINC001266517881 836400557 /nfs/dbraw/zinc/40/05/57/836400557.db2.gz OZWSVCKGRWQECF-CYBMUJFWSA-N 1 2 306.410 1.918 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H]1CC[N@H+](Cc2nc(C)no2)C1 ZINC001266517881 836400560 /nfs/dbraw/zinc/40/05/60/836400560.db2.gz OZWSVCKGRWQECF-CYBMUJFWSA-N 1 2 306.410 1.918 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC2(C1)C[NH+](Cc1ccccc1)C2 ZINC000706914756 836699712 /nfs/dbraw/zinc/69/97/12/836699712.db2.gz MPPLQTGATYJXFT-ZDUSSCGKSA-N 1 2 305.403 1.046 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001266752929 836781566 /nfs/dbraw/zinc/78/15/66/836781566.db2.gz XXGFKDCIRBRUHJ-OAHLLOKOSA-N 1 2 319.453 1.983 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H]1CCC[N@H+](Cc2cnn(CC)n2)C1 ZINC001266752929 836781570 /nfs/dbraw/zinc/78/15/70/836781570.db2.gz XXGFKDCIRBRUHJ-OAHLLOKOSA-N 1 2 319.453 1.983 20 30 DDEDLO C=C[C@H](C(=O)NC[C@@H](C)[NH2+]Cc1nnc(C)o1)c1ccccc1 ZINC001266997317 837163716 /nfs/dbraw/zinc/16/37/16/837163716.db2.gz NVBJGZCCOFHJBB-DOMZBBRYSA-N 1 2 314.389 1.942 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2[C@@H](C)C(=O)NCCC ZINC001267422630 838018665 /nfs/dbraw/zinc/01/86/65/838018665.db2.gz FDJIVAJZLXSGDN-HRCADAONSA-N 1 2 321.465 1.933 20 30 DDEDLO C=CCCCC(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@@H](C)C(=O)NCCC ZINC001267422630 838018676 /nfs/dbraw/zinc/01/86/76/838018676.db2.gz FDJIVAJZLXSGDN-HRCADAONSA-N 1 2 321.465 1.933 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](CCNC(=O)c2[nH]nc(C)c2C)C1 ZINC001267513152 838224969 /nfs/dbraw/zinc/22/49/69/838224969.db2.gz DUXBELIKXIEHGX-HNNXBMFYSA-N 1 2 318.421 1.118 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](CCNC(=O)c2[nH]nc(C)c2C)C1 ZINC001267513152 838224981 /nfs/dbraw/zinc/22/49/81/838224981.db2.gz DUXBELIKXIEHGX-HNNXBMFYSA-N 1 2 318.421 1.118 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2ccsc2)C1 ZINC001267609440 838451606 /nfs/dbraw/zinc/45/16/06/838451606.db2.gz BBVUYIGRKQHIDC-CYBMUJFWSA-N 1 2 307.419 1.245 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2ccsc2)C1 ZINC001267609440 838451611 /nfs/dbraw/zinc/45/16/11/838451611.db2.gz BBVUYIGRKQHIDC-CYBMUJFWSA-N 1 2 307.419 1.245 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@H](C)Cc2ccco2)CC1 ZINC001267612432 838462847 /nfs/dbraw/zinc/46/28/47/838462847.db2.gz JYLXTPKTZUVZGK-MRXNPFEDSA-N 1 2 319.449 1.720 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@H]2CCC[C@H](OC)C2)CC1 ZINC001267612363 838462868 /nfs/dbraw/zinc/46/28/68/838462868.db2.gz GYJLBCJVLSWYJH-IRXDYDNUSA-N 1 2 323.481 1.454 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001267623646 838517021 /nfs/dbraw/zinc/51/70/21/838517021.db2.gz VNPPGMILRCNPRP-HIFRSBDPSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[N@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001267623646 838517026 /nfs/dbraw/zinc/51/70/26/838517026.db2.gz VNPPGMILRCNPRP-HIFRSBDPSA-N 1 2 307.438 1.448 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001267636757 838548033 /nfs/dbraw/zinc/54/80/33/838548033.db2.gz ASSCPAJBIJJWEV-HNNXBMFYSA-N 1 2 317.437 1.587 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001267636757 838548041 /nfs/dbraw/zinc/54/80/41/838548041.db2.gz ASSCPAJBIJJWEV-HNNXBMFYSA-N 1 2 317.437 1.587 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCCC2(C)C)C1 ZINC001268023294 839402692 /nfs/dbraw/zinc/40/26/92/839402692.db2.gz IFBPUMHBUXGZIV-HZPDHXFCSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCCC2(C)C)C1 ZINC001268023294 839402701 /nfs/dbraw/zinc/40/27/01/839402701.db2.gz IFBPUMHBUXGZIV-HZPDHXFCSA-N 1 2 324.465 1.832 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@H](C)C[NH2+]Cc1nc(C(C)C)no1 ZINC001268064968 839637754 /nfs/dbraw/zinc/63/77/54/839637754.db2.gz XBDYFPUHXLZCQS-CHWSQXEVSA-N 1 2 322.409 1.168 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cnc(C)cn2)C1 ZINC001268194706 839824090 /nfs/dbraw/zinc/82/40/90/839824090.db2.gz KZYPRNMINDSJJO-HNNXBMFYSA-N 1 2 300.406 1.669 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCC[N@H+](Cc2cnc(C)cn2)C1 ZINC001268194706 839824096 /nfs/dbraw/zinc/82/40/96/839824096.db2.gz KZYPRNMINDSJJO-HNNXBMFYSA-N 1 2 300.406 1.669 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C1(C)CCC(C)CC1 ZINC001268259506 839911135 /nfs/dbraw/zinc/91/11/35/839911135.db2.gz MKTSFALPAWBWGT-UHFFFAOYSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)C1(C)CCC(C)CC1 ZINC001268259506 839911140 /nfs/dbraw/zinc/91/11/40/839911140.db2.gz MKTSFALPAWBWGT-UHFFFAOYSA-N 1 2 321.465 1.342 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)C[C@H]2CCC[C@H](OC)C2)C1 ZINC001268318304 839990977 /nfs/dbraw/zinc/99/09/77/839990977.db2.gz RIMICMFLGUZXNH-HOCLYGCPSA-N 1 2 310.438 1.585 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)C[N@H+](C)Cc1nc(C)c(C)o1 ZINC001268729399 840723600 /nfs/dbraw/zinc/72/36/00/840723600.db2.gz GFTRKQFYHURWNS-SMDDNHRTSA-N 1 2 307.394 1.266 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001268729399 840723609 /nfs/dbraw/zinc/72/36/09/840723609.db2.gz GFTRKQFYHURWNS-SMDDNHRTSA-N 1 2 307.394 1.266 20 30 DDEDLO C#CCCCCC(=O)NCC1C[NH+](Cc2cc(C)ncn2)C1 ZINC001268822853 840867904 /nfs/dbraw/zinc/86/79/04/840867904.db2.gz MIQITWIBCBVCCP-UHFFFAOYSA-N 1 2 300.406 1.527 20 30 DDEDLO C=CC[C@H](C)C(=O)N1C[C@@H]2[C@H](C1)OCCN2CCn1cc[nH+]c1 ZINC001268968655 841064827 /nfs/dbraw/zinc/06/48/27/841064827.db2.gz VYVQAKJXBWTSSN-XHSDSOJGSA-N 1 2 318.421 1.007 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NC1CCCCC1 ZINC001269206411 841344725 /nfs/dbraw/zinc/34/47/25/841344725.db2.gz WQTKCFKYDJXWJL-HOCLYGCPSA-N 1 2 321.465 1.981 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CCCCC1 ZINC001269206411 841344735 /nfs/dbraw/zinc/34/47/35/841344735.db2.gz WQTKCFKYDJXWJL-HOCLYGCPSA-N 1 2 321.465 1.981 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001269206508 841344929 /nfs/dbraw/zinc/34/49/29/841344929.db2.gz YDDAKCNPXADHPO-LSDHHAIUSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001269206508 841344938 /nfs/dbraw/zinc/34/49/38/841344938.db2.gz YDDAKCNPXADHPO-LSDHHAIUSA-N 1 2 321.421 1.963 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001269274146 841453708 /nfs/dbraw/zinc/45/37/08/841453708.db2.gz HIXRYTYPYXNAGF-RHSMWYFYSA-N 1 2 319.453 1.933 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001269274146 841453711 /nfs/dbraw/zinc/45/37/11/841453711.db2.gz HIXRYTYPYXNAGF-RHSMWYFYSA-N 1 2 319.453 1.933 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)Cc1cc(C)ccc1C ZINC001269285143 841467136 /nfs/dbraw/zinc/46/71/36/841467136.db2.gz HYGDCTVUGJWISN-SFHVURJKSA-N 1 2 314.429 1.686 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)Cc1cc(C)ccc1C ZINC001269285143 841467144 /nfs/dbraw/zinc/46/71/44/841467144.db2.gz HYGDCTVUGJWISN-SFHVURJKSA-N 1 2 314.429 1.686 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@@H]1CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001269323990 841517212 /nfs/dbraw/zinc/51/72/12/841517212.db2.gz FRTUWUWZSUJJQE-GDBMZVCRSA-N 1 2 312.417 1.874 20 30 DDEDLO CCN(C(=O)C#CC1CC1)[C@@H]1CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001269323990 841517219 /nfs/dbraw/zinc/51/72/19/841517219.db2.gz FRTUWUWZSUJJQE-GDBMZVCRSA-N 1 2 312.417 1.874 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CNC(=O)C(C)(CC)CC)C1=O ZINC001269344507 841546607 /nfs/dbraw/zinc/54/66/07/841546607.db2.gz UXBTZDRIRVUOID-CABCVRRESA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CNC(=O)C(C)(CC)CC)C1=O ZINC001269344507 841546612 /nfs/dbraw/zinc/54/66/12/841546612.db2.gz UXBTZDRIRVUOID-CABCVRRESA-N 1 2 321.465 1.790 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3nc4ccccc4[nH]3)C[C@H]21 ZINC001270508629 842630151 /nfs/dbraw/zinc/63/01/51/842630151.db2.gz VLCOOZQXXXPYSX-DVOMOZLQSA-N 1 2 323.400 1.755 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3nc4ccccc4[nH]3)C[C@H]21 ZINC001270508629 842630154 /nfs/dbraw/zinc/63/01/54/842630154.db2.gz VLCOOZQXXXPYSX-DVOMOZLQSA-N 1 2 323.400 1.755 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@@](C)(NC(=O)CSCC#N)C2)n1 ZINC001270540011 842653606 /nfs/dbraw/zinc/65/36/06/842653606.db2.gz YDKVLXSFBLDMQX-CQSZACIVSA-N 1 2 324.475 1.789 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@@](C)(NC(=O)CSCC#N)C2)n1 ZINC001270540011 842653614 /nfs/dbraw/zinc/65/36/14/842653614.db2.gz YDKVLXSFBLDMQX-CQSZACIVSA-N 1 2 324.475 1.789 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001270556125 842668874 /nfs/dbraw/zinc/66/88/74/842668874.db2.gz ANHQKNFFEOIIKG-MRXNPFEDSA-N 1 2 307.394 1.575 20 30 DDEDLO C=CCOCC(=O)N[C@]1(C)CC[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001270556125 842668878 /nfs/dbraw/zinc/66/88/78/842668878.db2.gz ANHQKNFFEOIIKG-MRXNPFEDSA-N 1 2 307.394 1.575 20 30 DDEDLO CO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001271126496 843259567 /nfs/dbraw/zinc/25/95/67/843259567.db2.gz AAIONGHCLDGUAC-AEGPPILISA-N 1 2 316.405 1.107 20 30 DDEDLO CO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001271126496 843259575 /nfs/dbraw/zinc/25/95/75/843259575.db2.gz AAIONGHCLDGUAC-AEGPPILISA-N 1 2 316.405 1.107 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnn3ccc(Cl)nc23)CC1 ZINC001154776330 861443122 /nfs/dbraw/zinc/44/31/22/861443122.db2.gz MABYVKMQMGVNMH-UHFFFAOYSA-N 1 2 305.769 1.327 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)Cc1ncccc1OC ZINC001326656677 861517559 /nfs/dbraw/zinc/51/75/59/861517559.db2.gz XJXWRYIHQZEWHW-AWEZNQCLSA-N 1 2 319.405 1.026 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)Cc1ncccc1OC ZINC001326656677 861517576 /nfs/dbraw/zinc/51/75/76/861517576.db2.gz XJXWRYIHQZEWHW-AWEZNQCLSA-N 1 2 319.405 1.026 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H](OC)C1CCCC1 ZINC001326668680 861529735 /nfs/dbraw/zinc/52/97/35/861529735.db2.gz XSHGBYCHNJSJRD-SJORKVTESA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H](OC)C1CCCC1 ZINC001326668680 861529749 /nfs/dbraw/zinc/52/97/49/861529749.db2.gz XSHGBYCHNJSJRD-SJORKVTESA-N 1 2 324.465 1.975 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1ccc(F)cc1C#N ZINC001272386854 846091612 /nfs/dbraw/zinc/09/16/12/846091612.db2.gz OHPBVYMHHVILID-IAGOWNOFSA-N 1 2 311.360 1.896 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1ccc(F)cc1C#N ZINC001272386854 846091622 /nfs/dbraw/zinc/09/16/22/846091622.db2.gz OHPBVYMHHVILID-IAGOWNOFSA-N 1 2 311.360 1.896 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(F)cc1C)C2 ZINC001272667843 846539122 /nfs/dbraw/zinc/53/91/22/846539122.db2.gz XLDOSZVEXZXQKU-UHFFFAOYSA-N 1 2 304.365 1.733 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001409927737 846795080 /nfs/dbraw/zinc/79/50/80/846795080.db2.gz SWSLBUBUDDFIHI-NSHDSACASA-N 1 2 315.845 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CC[C@H](C)OC)C1 ZINC001149574424 861720638 /nfs/dbraw/zinc/72/06/38/861720638.db2.gz YAYHUKWZVNBOHS-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CC[C@H](C)OC)C1 ZINC001149574424 861720643 /nfs/dbraw/zinc/72/06/43/861720643.db2.gz YAYHUKWZVNBOHS-KBPBESRZSA-N 1 2 318.845 1.761 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)OCCCC)C1 ZINC001107791433 847087129 /nfs/dbraw/zinc/08/71/29/847087129.db2.gz BNDNMSKOCGLTLL-RDJZCZTQSA-N 1 2 310.438 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)OCCCC)C1 ZINC001107791433 847087131 /nfs/dbraw/zinc/08/71/31/847087131.db2.gz BNDNMSKOCGLTLL-RDJZCZTQSA-N 1 2 310.438 1.422 20 30 DDEDLO C=CCCC(=O)NC[C@H]1COCCN1Cc1cc(OC)cc[nH+]1 ZINC001272842501 847543916 /nfs/dbraw/zinc/54/39/16/847543916.db2.gz GKDJJEQXQZCSTO-HNNXBMFYSA-N 1 2 319.405 1.373 20 30 DDEDLO CCOC(=O)C[NH+]1CCC2(C[C@H]2C(=O)NCCCC#N)CC1 ZINC001272888867 847593441 /nfs/dbraw/zinc/59/34/41/847593441.db2.gz DAIIRAOJYDZBKT-ZDUSSCGKSA-N 1 2 307.394 1.072 20 30 DDEDLO C#CCC[N@@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746905 861867414 /nfs/dbraw/zinc/86/74/14/861867414.db2.gz XMSVCNYMNIVIHG-QWRGUYRKSA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[N@H+]1CCCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001149746905 861867431 /nfs/dbraw/zinc/86/74/31/861867431.db2.gz XMSVCNYMNIVIHG-QWRGUYRKSA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[N@@H+]1CCCO[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149746905 861867451 /nfs/dbraw/zinc/86/74/51/861867451.db2.gz XMSVCNYMNIVIHG-QWRGUYRKSA-N 1 2 310.291 1.117 20 30 DDEDLO C#CCC[N@H+]1CCCO[C@@H](CNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001149746905 861867471 /nfs/dbraw/zinc/86/74/71/861867471.db2.gz XMSVCNYMNIVIHG-QWRGUYRKSA-N 1 2 310.291 1.117 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@H](C)NC(=O)CC)C(C)(C)C1 ZINC001410009720 848578226 /nfs/dbraw/zinc/57/82/26/848578226.db2.gz BEQCDOIBBVNNDR-NEPJUHHUSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@H](C)NC(=O)CC)C(C)(C)C1 ZINC001410009720 848578231 /nfs/dbraw/zinc/57/82/31/848578231.db2.gz BEQCDOIBBVNNDR-NEPJUHHUSA-N 1 2 315.845 1.480 20 30 DDEDLO C#CCN1C[C@]2(CC[N@@H+](Cc3ccncc3Cl)C2)OCC1=O ZINC001273231817 848930450 /nfs/dbraw/zinc/93/04/50/848930450.db2.gz TUKUCSRGZXALQB-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@]2(CC[N@H+](Cc3ccncc3Cl)C2)OCC1=O ZINC001273231817 848930453 /nfs/dbraw/zinc/93/04/53/848930453.db2.gz TUKUCSRGZXALQB-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001273383642 849775349 /nfs/dbraw/zinc/77/53/49/849775349.db2.gz IWLZKRLNVMMPNG-HNNXBMFYSA-N 1 2 304.438 1.331 20 30 DDEDLO C=CCCC[NH+]1CC2(C1)C[C@@H](NC(=O)C(=O)C(C)(C)C)CO2 ZINC001327378400 862118047 /nfs/dbraw/zinc/11/80/47/862118047.db2.gz GNUZVDPUGRTUKV-CYBMUJFWSA-N 1 2 308.422 1.527 20 30 DDEDLO N#Cc1ccc(N2CCC(CNC(=O)Cc3[nH]cc[nH+]3)CC2)cn1 ZINC001095363861 851819834 /nfs/dbraw/zinc/81/98/34/851819834.db2.gz UUWOJYROWSQBTM-UHFFFAOYSA-N 1 2 324.388 1.252 20 30 DDEDLO COCc1noc([C@@H](C)[NH2+]C/C=C/CNC(=O)C#CC(C)C)n1 ZINC001274009777 851843287 /nfs/dbraw/zinc/84/32/87/851843287.db2.gz MBMYQRDIMVYISD-URWSZGRFSA-N 1 2 320.393 1.198 20 30 DDEDLO CC(C)OC(=O)C[NH+]1CCC2(C[C@H]2C(=O)NCCCC#N)CC1 ZINC001274061922 851896849 /nfs/dbraw/zinc/89/68/49/851896849.db2.gz PBRKPYXLPLSYPM-AWEZNQCLSA-N 1 2 321.421 1.460 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001274179000 852008577 /nfs/dbraw/zinc/00/85/77/852008577.db2.gz FHDZBUIPPZMMHF-NEPJUHHUSA-N 1 2 307.398 1.038 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H]([NH+]2CCN(CCC#C)CC2)C1 ZINC001280679802 852261426 /nfs/dbraw/zinc/26/14/26/852261426.db2.gz VFZWSTAWWSOIDZ-GOSISDBHSA-N 1 2 315.461 1.422 20 30 DDEDLO C=CCN1CCC2(CC[NH+](Cc3nnc(C)s3)CC2)C1=O ZINC001274523214 852359555 /nfs/dbraw/zinc/35/95/55/852359555.db2.gz GBBFNZONNIWYFX-UHFFFAOYSA-N 1 2 306.435 1.847 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)CSCC#N)CC[N@@H+]1Cc1ccon1 ZINC001274597447 852426613 /nfs/dbraw/zinc/42/66/13/852426613.db2.gz OJPFXAJVDQXFMH-RYUDHWBXSA-N 1 2 308.407 1.400 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)CSCC#N)CC[N@H+]1Cc1ccon1 ZINC001274597447 852426617 /nfs/dbraw/zinc/42/66/17/852426617.db2.gz OJPFXAJVDQXFMH-RYUDHWBXSA-N 1 2 308.407 1.400 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1C[C@]2(F)CN(CC(=C)C)C(=O)[C@]2(F)C1 ZINC001274619627 852450338 /nfs/dbraw/zinc/45/03/38/852450338.db2.gz BLUASSGRURKADI-VNQPRFMTSA-N 1 2 314.376 1.464 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1C[C@]2(F)CN(CC(=C)C)C(=O)[C@]2(F)C1 ZINC001274619627 852450346 /nfs/dbraw/zinc/45/03/46/852450346.db2.gz BLUASSGRURKADI-VNQPRFMTSA-N 1 2 314.376 1.464 20 30 DDEDLO CN(C)[C@H](C(=O)Nc1ccc(F)c(C#N)c1)c1c[nH+]cn1C ZINC001411738635 853660859 /nfs/dbraw/zinc/66/08/59/853660859.db2.gz QKPPXTSPAQDXNV-AWEZNQCLSA-N 1 2 301.325 1.672 20 30 DDEDLO CC#CC[N@H+](C)[C@@H](C)CNC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC001275881089 853900546 /nfs/dbraw/zinc/90/05/46/853900546.db2.gz CUIYDYODOBFGSD-VIFPVBQESA-N 1 2 316.327 1.810 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H](C)CNC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC001275881089 853900553 /nfs/dbraw/zinc/90/05/53/853900553.db2.gz CUIYDYODOBFGSD-VIFPVBQESA-N 1 2 316.327 1.810 20 30 DDEDLO Cc1ccc(C[NH+]2CC3(CCN3Cc3cc(C#N)n(C)c3)C2)[nH]1 ZINC001276074532 854707498 /nfs/dbraw/zinc/70/74/98/854707498.db2.gz WOLZTUSINRAGIF-UHFFFAOYSA-N 1 2 309.417 1.994 20 30 DDEDLO Cc1nc(C[N@@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)oc1C ZINC001072716048 857635692 /nfs/dbraw/zinc/63/56/92/857635692.db2.gz BAQBBWDPBZSKSG-UHFFFAOYSA-N 1 2 313.401 1.739 20 30 DDEDLO Cc1nc(C[N@H+]2CCC3(CN(C(=O)C#CC4CC4)C3)C2)oc1C ZINC001072716048 857635695 /nfs/dbraw/zinc/63/56/95/857635695.db2.gz BAQBBWDPBZSKSG-UHFFFAOYSA-N 1 2 313.401 1.739 20 30 DDEDLO C#CC[N@H+]1CCC2(CN(C(=O)c3cn[nH]c3-c3ccccn3)C2)C1 ZINC001072806003 857728179 /nfs/dbraw/zinc/72/81/79/857728179.db2.gz XUAPYEMUBBNBFF-UHFFFAOYSA-N 1 2 321.384 1.253 20 30 DDEDLO C#CC[N@@H+]1CCC2(CN(C(=O)c3cn[nH]c3-c3ccccn3)C2)C1 ZINC001072806003 857728184 /nfs/dbraw/zinc/72/81/84/857728184.db2.gz XUAPYEMUBBNBFF-UHFFFAOYSA-N 1 2 321.384 1.253 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)CC1(C)C ZINC001073341889 858281295 /nfs/dbraw/zinc/28/12/95/858281295.db2.gz PORLYVNFIBZYNK-AWEZNQCLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1(C)C ZINC001073342173 858281305 /nfs/dbraw/zinc/28/13/05/858281305.db2.gz VLPGBYWDVSNVFH-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H]2CCCO2)C1 ZINC001073522838 858410210 /nfs/dbraw/zinc/41/02/10/858410210.db2.gz MEZBJOYVPJEJCJ-CHWSQXEVSA-N 1 2 302.802 1.125 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H]2CCCO2)C1 ZINC001073522838 858410214 /nfs/dbraw/zinc/41/02/14/858410214.db2.gz MEZBJOYVPJEJCJ-CHWSQXEVSA-N 1 2 302.802 1.125 20 30 DDEDLO C=CCn1c(N(CC)CC(C)C)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121709713 858579101 /nfs/dbraw/zinc/57/91/01/858579101.db2.gz VXJPRXVRMAIIII-RHSMWYFYSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCn1c(N(CC)CC(C)C)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121709713 858579105 /nfs/dbraw/zinc/57/91/05/858579105.db2.gz VXJPRXVRMAIIII-RHSMWYFYSA-N 1 2 321.469 1.858 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](Nc2[nH+]cnc3c2cnn3C)C[C@@H]1C ZINC001123194348 859124315 /nfs/dbraw/zinc/12/43/15/859124315.db2.gz UUDCWMBMZVORSI-RYUDHWBXSA-N 1 2 314.393 1.731 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CCN(CCF)CC2)cc1OC ZINC001138348207 860066311 /nfs/dbraw/zinc/06/63/11/860066311.db2.gz HVINPJIDQUAWCU-UHFFFAOYSA-N 1 2 306.381 1.794 20 30 DDEDLO COC(=O)[C@@H]1COCCC12C[NH+](Cc1cc(C#N)ccc1F)C2 ZINC001139649572 860446896 /nfs/dbraw/zinc/44/68/96/860446896.db2.gz PEOZHIMKONDWSG-AWEZNQCLSA-N 1 2 318.348 1.709 20 30 DDEDLO COc1ccc(N2CC[NH+](Cc3ccc(C#N)nc3)CC2)nc1 ZINC001140547197 860654429 /nfs/dbraw/zinc/65/44/29/860654429.db2.gz LAOCXNLJLIDPHB-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C=C(C)C(=O)NCc1cccc(OCC[NH+]2CCOCC2)c1 ZINC001142507705 861168024 /nfs/dbraw/zinc/16/80/24/861168024.db2.gz MAQNSSBIIKZVQM-UHFFFAOYSA-N 1 2 304.390 1.590 20 30 DDEDLO C=C[C@H](C(=O)NCC[NH2+]Cc1nccc(C)n1)c1ccccc1 ZINC001151991001 863094940 /nfs/dbraw/zinc/09/49/40/863094940.db2.gz VCUFDMJJHYKECR-INIZCTEOSA-N 1 2 310.401 1.961 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1nccs1 ZINC001328720738 863175093 /nfs/dbraw/zinc/17/50/93/863175093.db2.gz PFLHBWKWLDYLJI-UONOGXRCSA-N 1 2 321.446 1.604 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1nccs1 ZINC001328720738 863175101 /nfs/dbraw/zinc/17/51/01/863175101.db2.gz PFLHBWKWLDYLJI-UONOGXRCSA-N 1 2 321.446 1.604 20 30 DDEDLO C#CCCS(=O)(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC001328853904 863281298 /nfs/dbraw/zinc/28/12/98/863281298.db2.gz ZPQPTFVKOUMKEP-HNNXBMFYSA-N 1 2 322.430 1.212 20 30 DDEDLO C#CCCS(=O)(=O)NC[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC001328853904 863281316 /nfs/dbraw/zinc/28/13/16/863281316.db2.gz ZPQPTFVKOUMKEP-HNNXBMFYSA-N 1 2 322.430 1.212 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001153081830 863699994 /nfs/dbraw/zinc/69/99/94/863699994.db2.gz YWHZZTQTCRLDIV-UHFFFAOYSA-N 1 2 310.398 1.166 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1C[NH+](CC(=O)NC2CCCCC2)C1 ZINC001329971612 863982867 /nfs/dbraw/zinc/98/28/67/863982867.db2.gz MGEICGZLAGXCIL-CQSZACIVSA-N 1 2 321.465 1.838 20 30 DDEDLO CN1CCN(c2ncc(C=[NH+]N[C@H]3CCCSC3)cn2)CC1 ZINC001330910196 864695222 /nfs/dbraw/zinc/69/52/22/864695222.db2.gz JOANUFZLTJKUBL-AWEZNQCLSA-N 1 2 320.466 1.048 20 30 DDEDLO C#CCCCCC(=O)N[C@]12CCC[C@@H]1[N@H+](Cc1cnon1)CC2 ZINC001332093060 865570928 /nfs/dbraw/zinc/57/09/28/865570928.db2.gz WHQPSEWHCHFHJS-RDJZCZTQSA-N 1 2 316.405 1.876 20 30 DDEDLO C#CCCCCC(=O)N[C@]12CCC[C@@H]1[N@@H+](Cc1cnon1)CC2 ZINC001332093060 865570935 /nfs/dbraw/zinc/57/09/35/865570935.db2.gz WHQPSEWHCHFHJS-RDJZCZTQSA-N 1 2 316.405 1.876 20 30 DDEDLO C#CCCCCC(=O)N[C@]12CCC[C@H]1[N@H+](Cc1cnon1)CC2 ZINC001332093063 865571693 /nfs/dbraw/zinc/57/16/93/865571693.db2.gz WHQPSEWHCHFHJS-WBVHZDCISA-N 1 2 316.405 1.876 20 30 DDEDLO C#CCCCCC(=O)N[C@]12CCC[C@H]1[N@@H+](Cc1cnon1)CC2 ZINC001332093063 865571699 /nfs/dbraw/zinc/57/16/99/865571699.db2.gz WHQPSEWHCHFHJS-WBVHZDCISA-N 1 2 316.405 1.876 20 30 DDEDLO C=CCCOCC(=O)N[C@@]12CCC[C@@H]1[N@@H+](Cc1cnon1)CC2 ZINC001332116780 865590009 /nfs/dbraw/zinc/59/00/09/865590009.db2.gz JJXXLWQNTOAPKR-GOEBONIOSA-N 1 2 320.393 1.276 20 30 DDEDLO C=CCCOCC(=O)N[C@@]12CCC[C@@H]1[N@H+](Cc1cnon1)CC2 ZINC001332116780 865589997 /nfs/dbraw/zinc/58/99/97/865589997.db2.gz JJXXLWQNTOAPKR-GOEBONIOSA-N 1 2 320.393 1.276 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@H](NC(=O)C#CC(C)C)[C@H]2C)on1 ZINC001332181119 865644519 /nfs/dbraw/zinc/64/45/19/865644519.db2.gz YTWOKOGZEAWNOK-HIFRSBDPSA-N 1 2 319.405 1.812 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@H](NC(=O)C#CC(C)C)[C@H]2C)on1 ZINC001332181119 865644520 /nfs/dbraw/zinc/64/45/20/865644520.db2.gz YTWOKOGZEAWNOK-HIFRSBDPSA-N 1 2 319.405 1.812 20 30 DDEDLO Cc1ccnc(C[N@H+]2CC=C(CCNC(=O)C#CC3CC3)CC2)n1 ZINC001160081580 865671785 /nfs/dbraw/zinc/67/17/85/865671785.db2.gz QCNBLKTZKBHKMR-UHFFFAOYSA-N 1 2 324.428 1.837 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CC=C(CCNC(=O)C#CC3CC3)CC2)n1 ZINC001160081580 865671791 /nfs/dbraw/zinc/67/17/91/865671791.db2.gz QCNBLKTZKBHKMR-UHFFFAOYSA-N 1 2 324.428 1.837 20 30 DDEDLO N#Cc1ccc(CNC(=O)c2cc(-n3cc[nH+]c3)ccc2O)nc1 ZINC001160688224 866027097 /nfs/dbraw/zinc/02/70/97/866027097.db2.gz JOAHHQZJCIFWIS-UHFFFAOYSA-N 1 2 319.324 1.775 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC001332711647 866089219 /nfs/dbraw/zinc/08/92/19/866089219.db2.gz GUTMIKDGYRELSS-LLVKDONJSA-N 1 2 324.450 1.292 20 30 DDEDLO C=CCn1nnnc1N1CC[NH+](Cc2cc3ccccc3[nH]2)CC1 ZINC001332939534 866275591 /nfs/dbraw/zinc/27/55/91/866275591.db2.gz MEYNRXCRKDJCML-UHFFFAOYSA-N 1 2 323.404 1.663 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc(Br)cn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225677689 881971510 /nfs/dbraw/zinc/97/15/10/881971510.db2.gz QWKHROCYTNEHOZ-NJFCNUMCSA-N 1 2 311.179 1.835 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc(Br)cn3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225677689 881971524 /nfs/dbraw/zinc/97/15/24/881971524.db2.gz QWKHROCYTNEHOZ-NJFCNUMCSA-N 1 2 311.179 1.835 20 30 DDEDLO C#CCN(CC1CC1)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC001333133509 866449387 /nfs/dbraw/zinc/44/93/87/866449387.db2.gz JADYJWQLCBDXIZ-UHFFFAOYSA-N 1 2 318.442 1.708 20 30 DDEDLO C#CCN(CC1CC1)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC001333133509 866449392 /nfs/dbraw/zinc/44/93/92/866449392.db2.gz JADYJWQLCBDXIZ-UHFFFAOYSA-N 1 2 318.442 1.708 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)CC1(C)CCCC1 ZINC001323231602 866455213 /nfs/dbraw/zinc/45/52/13/866455213.db2.gz LFEFVHKOHLXQOQ-HUUCEWRRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)CC1(C)CCCC1 ZINC001323231602 866455221 /nfs/dbraw/zinc/45/52/21/866455221.db2.gz LFEFVHKOHLXQOQ-HUUCEWRRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(OC)ccc1C1CC1 ZINC001333183995 866494835 /nfs/dbraw/zinc/49/48/35/866494835.db2.gz PBTXAESMAWYASJ-AWEZNQCLSA-N 1 2 318.373 1.958 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@H+]2[C@H](CC)C(N)=O)CCCC1 ZINC001323369840 866565857 /nfs/dbraw/zinc/56/58/57/866565857.db2.gz DCQCWMBKQVZDJB-ZIAGYGMSSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)NC[C@H]2CC[N@@H+]2[C@H](CC)C(N)=O)CCCC1 ZINC001323369840 866565867 /nfs/dbraw/zinc/56/58/67/866565867.db2.gz DCQCWMBKQVZDJB-ZIAGYGMSSA-N 1 2 307.438 1.577 20 30 DDEDLO C[C@H](CC[NH2+]Cc1noc(C2CC2)n1)NC(=O)C#CC1CC1 ZINC001320425240 866726548 /nfs/dbraw/zinc/72/65/48/866726548.db2.gz XEIHBQNSMAQONB-LLVKDONJSA-N 1 2 302.378 1.345 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@@H]1CCN(C)C1=O ZINC001323774921 866835757 /nfs/dbraw/zinc/83/57/57/866835757.db2.gz GKYARJCAOAFBDZ-OWCLPIDISA-N 1 2 317.433 1.086 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@@H]1CCN(C)C1=O ZINC001323774921 866835776 /nfs/dbraw/zinc/83/57/76/866835776.db2.gz GKYARJCAOAFBDZ-OWCLPIDISA-N 1 2 317.433 1.086 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)[C@@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001333933297 867167402 /nfs/dbraw/zinc/16/74/02/867167402.db2.gz JCDROQKISVOXIX-ZIAGYGMSSA-N 1 2 304.394 1.086 20 30 DDEDLO C#CCCCCC(=O)NC[C@H](C)N(C)C(=O)Cn1cc[nH+]c1 ZINC001334233176 867409010 /nfs/dbraw/zinc/40/90/10/867409010.db2.gz AMXVJWYFSDKLDZ-AWEZNQCLSA-N 1 2 304.394 1.040 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@](C)(NC(=O)c2nccs2)C1 ZINC001324661172 867434164 /nfs/dbraw/zinc/43/41/64/867434164.db2.gz MCDYWDBJARXGNQ-IAQYHMDHSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@](C)(NC(=O)c2nccs2)C1 ZINC001324661172 867434170 /nfs/dbraw/zinc/43/41/70/867434170.db2.gz MCDYWDBJARXGNQ-IAQYHMDHSA-N 1 2 322.434 1.028 20 30 DDEDLO C[C@H](c1ccccc1)[NH+]1CC(O)(CNC(=O)C#CC(C)(C)C)C1 ZINC001325207212 867861759 /nfs/dbraw/zinc/86/17/59/867861759.db2.gz HGBZLJZRCIDHJF-OAHLLOKOSA-N 1 2 314.429 1.960 20 30 DDEDLO C=CCC1(C(=O)NCC2(O)C[NH+](CCCO)C2)CCCCC1 ZINC001325309326 867934839 /nfs/dbraw/zinc/93/48/39/867934839.db2.gz YVPPJMKWAHZJIF-UHFFFAOYSA-N 1 2 310.438 1.058 20 30 DDEDLO C=C[C@@H](COC)NC(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC001325633792 868201376 /nfs/dbraw/zinc/20/13/76/868201376.db2.gz BJQXXPDAFDUIKW-KBPBESRZSA-N 1 2 306.410 1.585 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H](CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001335652014 868453004 /nfs/dbraw/zinc/45/30/04/868453004.db2.gz IAIRDKHGZLCKDZ-HDJSIYSDSA-N 1 2 316.405 1.157 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc2c(c1)N(CC)CC2 ZINC001336978972 869242153 /nfs/dbraw/zinc/24/21/53/869242153.db2.gz XXUQUHBSFKTEDQ-AWEZNQCLSA-N 1 2 317.389 1.454 20 30 DDEDLO Cc1nnn([NH+]=C[C@@]23C[C@@H]2CN(C(=O)OC(C)(C)C)C3)c1C ZINC001336982565 869244171 /nfs/dbraw/zinc/24/41/71/869244171.db2.gz PBXGWTDGCILLHC-IUODEOHRSA-N 1 2 305.382 1.986 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(c3ncnc4ccc(C#N)cc43)C2)CCO1 ZINC001165128673 869397144 /nfs/dbraw/zinc/39/71/44/869397144.db2.gz VOOAKCGUSPEXPD-UHFFFAOYSA-N 1 2 323.400 1.801 20 30 DDEDLO CC1(C)C[N@H+](C2CN(c3ncnc4ccc(C#N)cc43)C2)CCO1 ZINC001165128673 869397160 /nfs/dbraw/zinc/39/71/60/869397160.db2.gz VOOAKCGUSPEXPD-UHFFFAOYSA-N 1 2 323.400 1.801 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CN(C)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001337263247 869406739 /nfs/dbraw/zinc/40/67/39/869406739.db2.gz ZJMKFVAESFPPPN-KBPBESRZSA-N 1 2 318.421 1.375 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cnc(C)nc2)C1 ZINC001316972314 870025841 /nfs/dbraw/zinc/02/58/41/870025841.db2.gz RFYKTBGEUWKFHB-CJNGLKHVSA-N 1 2 318.421 1.457 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001316972314 870025860 /nfs/dbraw/zinc/02/58/60/870025860.db2.gz RFYKTBGEUWKFHB-CJNGLKHVSA-N 1 2 318.421 1.457 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@@H+](Cc2ncoc2C(C)C)C1 ZINC001316977977 870045426 /nfs/dbraw/zinc/04/54/26/870045426.db2.gz MLRJSQDFFKGWNP-CQSZACIVSA-N 1 2 319.405 1.528 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@H+](Cc2ncoc2C(C)C)C1 ZINC001316977977 870045439 /nfs/dbraw/zinc/04/54/39/870045439.db2.gz MLRJSQDFFKGWNP-CQSZACIVSA-N 1 2 319.405 1.528 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H](CC)CNC(=O)Cc1c[nH+]cn1C ZINC001297444426 870053483 /nfs/dbraw/zinc/05/34/83/870053483.db2.gz AMBKBTJURDQEIJ-ZIAGYGMSSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(=O)c(OC)co2)C1 ZINC001316985220 870067774 /nfs/dbraw/zinc/06/77/74/870067774.db2.gz HWMZPBPYPCRNCA-CYBMUJFWSA-N 1 2 320.389 1.695 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(=O)c(OC)co2)C1 ZINC001316985220 870067783 /nfs/dbraw/zinc/06/77/83/870067783.db2.gz HWMZPBPYPCRNCA-CYBMUJFWSA-N 1 2 320.389 1.695 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC[N@H+](CCCS(C)(=O)=O)C1 ZINC001316986955 870072216 /nfs/dbraw/zinc/07/22/16/870072216.db2.gz UMDFSWUEBAHYFX-KBPBESRZSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC[N@@H+](CCCS(C)(=O)=O)C1 ZINC001316986955 870072228 /nfs/dbraw/zinc/07/22/28/870072228.db2.gz UMDFSWUEBAHYFX-KBPBESRZSA-N 1 2 316.467 1.214 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H](NC(=O)CCc1c[nH]c[nH+]1)C(C)C ZINC001297966586 870223053 /nfs/dbraw/zinc/22/30/53/870223053.db2.gz BCIPNWFTNRDCNQ-OAHLLOKOSA-N 1 2 318.421 1.259 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H](NC(=O)CCc1c[nH+]c[nH]1)C(C)C ZINC001297966586 870223071 /nfs/dbraw/zinc/22/30/71/870223071.db2.gz BCIPNWFTNRDCNQ-OAHLLOKOSA-N 1 2 318.421 1.259 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[C@@H]1NC(=O)CCc1c[nH]c[nH+]1 ZINC001298129335 870301528 /nfs/dbraw/zinc/30/15/28/870301528.db2.gz RFYCCHYIRKGPTD-HIFRSBDPSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[C@@H]1NC(=O)CCc1c[nH+]c[nH]1 ZINC001298129335 870301541 /nfs/dbraw/zinc/30/15/41/870301541.db2.gz RFYCCHYIRKGPTD-HIFRSBDPSA-N 1 2 318.421 1.710 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH2+][C@H](c2ccc(Cl)cc2)C1 ZINC001339116390 870351475 /nfs/dbraw/zinc/35/14/75/870351475.db2.gz NPFOTUUKWNLSDU-WFASDCNBSA-N 1 2 306.793 1.851 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)C1(CCC)CC1 ZINC001317177911 870423927 /nfs/dbraw/zinc/42/39/27/870423927.db2.gz JVTDYZMKLOKUCN-HNNXBMFYSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)C1(CCC)CC1 ZINC001317177911 870423933 /nfs/dbraw/zinc/42/39/33/870423933.db2.gz JVTDYZMKLOKUCN-HNNXBMFYSA-N 1 2 319.449 1.287 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001317202140 870460910 /nfs/dbraw/zinc/46/09/10/870460910.db2.gz FFLBNAPPHDOWMI-GJZGRUSLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)[C@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001317202140 870460916 /nfs/dbraw/zinc/46/09/16/870460916.db2.gz FFLBNAPPHDOWMI-GJZGRUSLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCn1c(C2CC=CC2)nnc1N(C)CC[NH+]1CCOCC1 ZINC001339617654 870585753 /nfs/dbraw/zinc/58/57/53/870585753.db2.gz VNMPNNUYHIBRPD-UHFFFAOYSA-N 1 2 317.437 1.666 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](NC(=O)Cn2cc[nH+]c2)CC[C@@H]1C ZINC001339696096 870638354 /nfs/dbraw/zinc/63/83/54/870638354.db2.gz NAIOVGBJWGPIBC-GJZGRUSLSA-N 1 2 316.405 1.182 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1csc(C)n1 ZINC001317505576 870940805 /nfs/dbraw/zinc/94/08/05/870940805.db2.gz QSXBICDDDSMSJT-WFASDCNBSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1csc(C)n1 ZINC001317505576 870940810 /nfs/dbraw/zinc/94/08/10/870940810.db2.gz QSXBICDDDSMSJT-WFASDCNBSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CCc3cc[nH+]c(N)c3C2)cc1 ZINC001302092028 871041992 /nfs/dbraw/zinc/04/19/92/871041992.db2.gz GAACUCXWTOXIFT-UHFFFAOYSA-N 1 2 307.353 1.874 20 30 DDEDLO C=CCOC[C@H]1c2c(ncn2C)CC[N@H+]1Cc1cnn(C)c1C ZINC001204570812 871147433 /nfs/dbraw/zinc/14/74/33/871147433.db2.gz SZVFSILNTSUVHN-INIZCTEOSA-N 1 2 315.421 1.764 20 30 DDEDLO C=CCOC[C@H]1c2c(ncn2C)CC[N@@H+]1Cc1cnn(C)c1C ZINC001204570812 871147437 /nfs/dbraw/zinc/14/74/37/871147437.db2.gz SZVFSILNTSUVHN-INIZCTEOSA-N 1 2 315.421 1.764 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@@H+](C)Cc2cnns2)cc1 ZINC001316840075 871233112 /nfs/dbraw/zinc/23/31/12/871233112.db2.gz MVCUYQVJVCJGDY-UHFFFAOYSA-N 1 2 314.414 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@H+](C)Cc2cnns2)cc1 ZINC001316840075 871233132 /nfs/dbraw/zinc/23/31/32/871233132.db2.gz MVCUYQVJVCJGDY-UHFFFAOYSA-N 1 2 314.414 1.771 20 30 DDEDLO CCOC(=O)C(=O)CO[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001204840740 871257107 /nfs/dbraw/zinc/25/71/07/871257107.db2.gz SZNAPXKTFMBZLE-OAHLLOKOSA-N 1 2 316.357 1.281 20 30 DDEDLO CCOC(=O)C(=O)CO[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001204840740 871257121 /nfs/dbraw/zinc/25/71/21/871257121.db2.gz SZNAPXKTFMBZLE-OAHLLOKOSA-N 1 2 316.357 1.281 20 30 DDEDLO N#Cc1cnn2c1[nH]c(C[N@H+]1CCc3ccc(O)cc3C1)cc2=O ZINC001307546649 871441247 /nfs/dbraw/zinc/44/12/47/871441247.db2.gz MMYMRDMKMKVPDJ-UHFFFAOYSA-N 1 2 321.340 1.570 20 30 DDEDLO N#Cc1cnn2c1[nH]c(C[N@@H+]1CCc3ccc(O)cc3C1)cc2=O ZINC001307546649 871441262 /nfs/dbraw/zinc/44/12/62/871441262.db2.gz MMYMRDMKMKVPDJ-UHFFFAOYSA-N 1 2 321.340 1.570 20 30 DDEDLO C=CCOCC(=O)N(CCC)[C@H]1CC[N@H+](Cc2cc(C)on2)C1 ZINC001317752638 871464872 /nfs/dbraw/zinc/46/48/72/871464872.db2.gz CFPIZWHQLIUIJC-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCC(=O)N(CCC)[C@H]1CC[N@@H+](Cc2cc(C)on2)C1 ZINC001317752638 871464881 /nfs/dbraw/zinc/46/48/81/871464881.db2.gz CFPIZWHQLIUIJC-INIZCTEOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NC2CC2)C1 ZINC001317944375 871641780 /nfs/dbraw/zinc/64/17/80/871641780.db2.gz IJTQDQXZUXAHEM-CQSZACIVSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC2CC2)C1 ZINC001317944375 871641785 /nfs/dbraw/zinc/64/17/85/871641785.db2.gz IJTQDQXZUXAHEM-CQSZACIVSA-N 1 2 307.438 1.448 20 30 DDEDLO C=C[C@H](COC)NC(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC001311352722 871697787 /nfs/dbraw/zinc/69/77/87/871697787.db2.gz XVOWRMATEMEDSU-MRXNPFEDSA-N 1 2 314.389 1.932 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001317518682 871726927 /nfs/dbraw/zinc/72/69/27/871726927.db2.gz NUHLZYRGHKNKOQ-AWEZNQCLSA-N 1 2 303.410 1.040 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+](Cc2cnn(CC)n2)C1 ZINC001317518682 871726940 /nfs/dbraw/zinc/72/69/40/871726940.db2.gz NUHLZYRGHKNKOQ-AWEZNQCLSA-N 1 2 303.410 1.040 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)[C@@H](C)c2c(C)nn(C)c2C)C1 ZINC001318098662 871746064 /nfs/dbraw/zinc/74/60/64/871746064.db2.gz PYKCFFQHFIRHMM-LBPRGKRZSA-N 1 2 320.437 1.143 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1C[NH+](Cc2ccc(OC)nn2)C1 ZINC001318146024 871779644 /nfs/dbraw/zinc/77/96/44/871779644.db2.gz NRWMVGVZQBOBLS-ZDUSSCGKSA-N 1 2 318.421 1.636 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001318176099 871794774 /nfs/dbraw/zinc/79/47/74/871794774.db2.gz JEXZGHREEXGUQE-AVVWSFFYSA-N 1 2 319.449 1.163 20 30 DDEDLO CCc1nocc1C[N@@H+]1CC[C@H](NC(=O)CSCC#N)C1 ZINC001318235304 871861806 /nfs/dbraw/zinc/86/18/06/871861806.db2.gz FGDCNAQMSNWBOA-LBPRGKRZSA-N 1 2 308.407 1.184 20 30 DDEDLO CCc1nocc1C[N@H+]1CC[C@H](NC(=O)CSCC#N)C1 ZINC001318235304 871861823 /nfs/dbraw/zinc/86/18/23/871861823.db2.gz FGDCNAQMSNWBOA-LBPRGKRZSA-N 1 2 308.407 1.184 20 30 DDEDLO C=C(C)Cn1c(CO)nnc1N1CCN(c2cccc[nH+]2)CC1 ZINC001341961411 871917505 /nfs/dbraw/zinc/91/75/05/871917505.db2.gz WWILHHGSQHDORS-UHFFFAOYSA-N 1 2 314.393 1.068 20 30 DDEDLO COCc1noc([C@H](C)[N@H+](C)CCCNC(=O)C#CC2CC2)n1 ZINC001316824399 871970641 /nfs/dbraw/zinc/97/06/41/871970641.db2.gz YSYKBOUMJRRTPZ-LBPRGKRZSA-N 1 2 320.393 1.129 20 30 DDEDLO COCc1noc([C@H](C)[N@@H+](C)CCCNC(=O)C#CC2CC2)n1 ZINC001316824399 871970653 /nfs/dbraw/zinc/97/06/53/871970653.db2.gz YSYKBOUMJRRTPZ-LBPRGKRZSA-N 1 2 320.393 1.129 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CC(=O)Nc2cc(C(C)(C)C)nn2C)C1 ZINC001342227149 872086401 /nfs/dbraw/zinc/08/64/01/872086401.db2.gz AWTCUUHHOJMLRE-CYBMUJFWSA-N 1 2 320.437 1.933 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CC(=O)Nc2cc(C(C)(C)C)nn2C)C1 ZINC001342227149 872086421 /nfs/dbraw/zinc/08/64/21/872086421.db2.gz AWTCUUHHOJMLRE-CYBMUJFWSA-N 1 2 320.437 1.933 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(F)ccc2C#N)C[C@H]1C ZINC001206294383 872188892 /nfs/dbraw/zinc/18/88/92/872188892.db2.gz HMBZEZHJIAQOKZ-XHBSWPGZSA-N 1 2 319.380 1.669 20 30 DDEDLO CO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(F)ccc2C#N)C[C@H]1C ZINC001206294383 872188905 /nfs/dbraw/zinc/18/89/05/872188905.db2.gz HMBZEZHJIAQOKZ-XHBSWPGZSA-N 1 2 319.380 1.669 20 30 DDEDLO C#CCCCC(=O)N(C)C1CC[NH+]([C@@H](C)c2nncn2C)CC1 ZINC001316933431 872407730 /nfs/dbraw/zinc/40/77/30/872407730.db2.gz XBRVZSHTSLDLTL-AWEZNQCLSA-N 1 2 317.437 1.602 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@]2(C)C=CCC2)C1 ZINC001316948877 872445310 /nfs/dbraw/zinc/44/53/10/872445310.db2.gz IZLYLDYWJZIOPP-WMLDXEAASA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@]2(C)C=CCC2)C1 ZINC001316948877 872445315 /nfs/dbraw/zinc/44/53/15/872445315.db2.gz IZLYLDYWJZIOPP-WMLDXEAASA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2cccnc2OC)C1 ZINC001206603673 872468280 /nfs/dbraw/zinc/46/82/80/872468280.db2.gz WEQXAPKYFSIOCZ-AWEZNQCLSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2cccnc2OC)C1 ZINC001206603673 872468287 /nfs/dbraw/zinc/46/82/87/872468287.db2.gz WEQXAPKYFSIOCZ-AWEZNQCLSA-N 1 2 305.378 1.967 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001206915877 872761081 /nfs/dbraw/zinc/76/10/81/872761081.db2.gz NGRSYUUIZWCXIH-AXAPSJFSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001206915877 872761089 /nfs/dbraw/zinc/76/10/89/872761089.db2.gz NGRSYUUIZWCXIH-AXAPSJFSSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001344236636 872963432 /nfs/dbraw/zinc/96/34/32/872963432.db2.gz UULVFNRKUYMLOJ-MELADBBJSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001207759036 873539015 /nfs/dbraw/zinc/53/90/15/873539015.db2.gz NDMSXJCDJIUZCQ-CYBMUJFWSA-N 1 2 324.450 1.602 20 30 DDEDLO C=CCN(C)c1nnc(C[NH+]2CCC(CO)CC2)n1CC1CC1 ZINC001346134987 873620219 /nfs/dbraw/zinc/62/02/19/873620219.db2.gz TVODOAYCNXIEGY-UHFFFAOYSA-N 1 2 319.453 1.515 20 30 DDEDLO CCc1noc(C[NH2+][C@H]2CCCN(C(=O)C#CC(C)C)C2)n1 ZINC001208005790 873739301 /nfs/dbraw/zinc/73/93/01/873739301.db2.gz ODXNJXXZTXFJES-ZDUSSCGKSA-N 1 2 304.394 1.372 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(F)F)n2CC2CC2)CC1 ZINC001347854676 874293318 /nfs/dbraw/zinc/29/33/18/874293318.db2.gz VMPICMPTJXEWKO-UHFFFAOYSA-N 1 2 309.364 1.251 20 30 DDEDLO C=CCN(CC[N@@H+](CCC)CC(=O)OCC)C(=O)OCC ZINC001209020332 874607202 /nfs/dbraw/zinc/60/72/02/874607202.db2.gz GVEPTSQJMUYEOR-UHFFFAOYSA-N 1 2 300.399 1.906 20 30 DDEDLO C=CCN(CC[N@H+](CCC)CC(=O)OCC)C(=O)OCC ZINC001209020332 874607214 /nfs/dbraw/zinc/60/72/14/874607214.db2.gz GVEPTSQJMUYEOR-UHFFFAOYSA-N 1 2 300.399 1.906 20 30 DDEDLO COc1nscc1C[NH+]1CCC(NC(=O)C#CC(C)C)CC1 ZINC001227266422 882945039 /nfs/dbraw/zinc/94/50/39/882945039.db2.gz ZPDONPWYHYZIOL-UHFFFAOYSA-N 1 2 321.446 1.892 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCCNC(=O)Cc1c[nH+]cn1C ZINC001350303314 875620502 /nfs/dbraw/zinc/62/05/02/875620502.db2.gz SKKHOZYMEXEPCZ-UHFFFAOYSA-N 1 2 306.410 1.187 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]2CCC(NC(=O)C#CC3CC3)CC2)o1 ZINC001227298882 882959020 /nfs/dbraw/zinc/95/90/20/882959020.db2.gz FPMQQVXFRAVTCH-NSHDSACASA-N 1 2 302.378 1.433 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2coc(C)n2)C[C@H]1C ZINC001211425755 875801327 /nfs/dbraw/zinc/80/13/27/875801327.db2.gz RBNLGEZVLQNABL-TYNCELHUSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2coc(C)n2)C[C@H]1C ZINC001211425755 875801336 /nfs/dbraw/zinc/80/13/36/875801336.db2.gz RBNLGEZVLQNABL-TYNCELHUSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213267010 875865436 /nfs/dbraw/zinc/86/54/36/875865436.db2.gz UJAVZCAPUXCVAU-ZIAGYGMSSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1OC ZINC001213267010 875865450 /nfs/dbraw/zinc/86/54/50/875865450.db2.gz UJAVZCAPUXCVAU-ZIAGYGMSSA-N 1 2 307.394 1.511 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001351137365 876075422 /nfs/dbraw/zinc/07/54/22/876075422.db2.gz BPXUAEKCDOJGHE-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1OC)c1ccccc1 ZINC001213900401 876098310 /nfs/dbraw/zinc/09/83/10/876098310.db2.gz YDEPQXLENUHOSF-BZUAXINKSA-N 1 2 306.381 1.741 20 30 DDEDLO C=C[C@@H](C(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1OC)c1ccccc1 ZINC001213900401 876098324 /nfs/dbraw/zinc/09/83/24/876098324.db2.gz YDEPQXLENUHOSF-BZUAXINKSA-N 1 2 306.381 1.741 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001214741225 876455723 /nfs/dbraw/zinc/45/57/23/876455723.db2.gz AHEGWHNWLMGWBB-CHWSQXEVSA-N 1 2 321.425 1.428 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3(OCC)CCC3)n2CC)CC1 ZINC001352420056 876737088 /nfs/dbraw/zinc/73/70/88/876737088.db2.gz JMUIFYBKOQDIDT-UHFFFAOYSA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001352897610 876994774 /nfs/dbraw/zinc/99/47/74/876994774.db2.gz LBMAPUPCOIVNPG-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001353639384 877460479 /nfs/dbraw/zinc/46/04/79/877460479.db2.gz OULQGAWQGTVZPH-AAVRWANBSA-N 1 2 316.405 1.633 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001353639384 877460483 /nfs/dbraw/zinc/46/04/83/877460483.db2.gz OULQGAWQGTVZPH-AAVRWANBSA-N 1 2 316.405 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CNC(=O)OC)[C@H]1C ZINC001379631178 877583911 /nfs/dbraw/zinc/58/39/11/877583911.db2.gz KMLFPDJPWPXCKG-GHMZBOCLSA-N 1 2 303.790 1.064 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CNC(=O)OC)[C@H]1C ZINC001379631178 877583921 /nfs/dbraw/zinc/58/39/21/877583921.db2.gz KMLFPDJPWPXCKG-GHMZBOCLSA-N 1 2 303.790 1.064 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2oc(C(C)C)nc2C)C[C@@H]1O ZINC001219333154 878127736 /nfs/dbraw/zinc/12/77/36/878127736.db2.gz QZXYAYIOCLIWNA-KGLIPLIRSA-N 1 2 321.421 1.734 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2oc(C(C)C)nc2C)C[C@@H]1O ZINC001219333154 878127743 /nfs/dbraw/zinc/12/77/43/878127743.db2.gz QZXYAYIOCLIWNA-KGLIPLIRSA-N 1 2 321.421 1.734 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H](C)NC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001354706437 878165986 /nfs/dbraw/zinc/16/59/86/878165986.db2.gz NXLBOHMLYLDIBN-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO CC(F)(F)C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC001379933631 878349955 /nfs/dbraw/zinc/34/99/55/878349955.db2.gz GPEYOVURCMORAQ-CYBMUJFWSA-N 1 2 323.343 1.935 20 30 DDEDLO CC(F)(F)C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(OCC#N)cc1 ZINC001379933631 878349972 /nfs/dbraw/zinc/34/99/72/878349972.db2.gz GPEYOVURCMORAQ-CYBMUJFWSA-N 1 2 323.343 1.935 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2oc(CC)nc2C)C[C@@H]1O ZINC001219718913 878478230 /nfs/dbraw/zinc/47/82/30/878478230.db2.gz VIORYMRKMDUCQB-KGLIPLIRSA-N 1 2 321.421 1.563 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2oc(CC)nc2C)C[C@@H]1O ZINC001219718913 878478235 /nfs/dbraw/zinc/47/82/35/878478235.db2.gz VIORYMRKMDUCQB-KGLIPLIRSA-N 1 2 321.421 1.563 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CN(C)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC001287616090 912352429 /nfs/dbraw/zinc/35/24/29/912352429.db2.gz DFHOWUSTQNUCOH-GJZGRUSLSA-N 1 2 318.421 1.601 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)C(C)(C)n2c[nH+]c(C)c2)CC1 ZINC001472967195 878539441 /nfs/dbraw/zinc/53/94/41/878539441.db2.gz HVKUXRIPPAYFJO-UHFFFAOYSA-N 1 2 310.829 1.823 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C2CC2)C1 ZINC001355918999 878778090 /nfs/dbraw/zinc/77/80/90/878778090.db2.gz GJEHLYHQADPYLK-ILXRZTDVSA-N 1 2 317.437 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C2CC2)C1 ZINC001355918999 878778101 /nfs/dbraw/zinc/77/81/01/878778101.db2.gz GJEHLYHQADPYLK-ILXRZTDVSA-N 1 2 317.437 1.438 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N1CC[C@H](COC)C1 ZINC001355942801 878787566 /nfs/dbraw/zinc/78/75/66/878787566.db2.gz XWZBJBYBJCBGBL-HNNXBMFYSA-N 1 2 319.453 1.923 20 30 DDEDLO C=CCn1c(N2CCC(F)CC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001356135509 878884770 /nfs/dbraw/zinc/88/47/70/878884770.db2.gz XTZMKCXOWADHMR-BBRMVZONSA-N 1 2 323.416 1.314 20 30 DDEDLO C=CCn1c(N2CCC(F)CC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001356135509 878884777 /nfs/dbraw/zinc/88/47/77/878884777.db2.gz XTZMKCXOWADHMR-BBRMVZONSA-N 1 2 323.416 1.314 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(C(=O)C3=CCOCC3)CC2)c1 ZINC001374280851 912421648 /nfs/dbraw/zinc/42/16/48/912421648.db2.gz FXTNUMFOPWTJHO-UHFFFAOYSA-N 1 2 311.385 1.549 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H](CC)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001356928622 879597810 /nfs/dbraw/zinc/59/78/10/879597810.db2.gz IYSGDPRFASRQGN-WBMJQRKESA-N 1 2 306.410 1.566 20 30 DDEDLO Cc1oncc1C[N@@H+]1CC[C@@H]2CN(C(=O)CSCC#N)[C@@H]2C1 ZINC001221291372 879654283 /nfs/dbraw/zinc/65/42/83/879654283.db2.gz DPPMWKAQESOJEC-TZMCWYRMSA-N 1 2 320.418 1.273 20 30 DDEDLO Cc1oncc1C[N@H+]1CC[C@@H]2CN(C(=O)CSCC#N)[C@@H]2C1 ZINC001221291372 879654292 /nfs/dbraw/zinc/65/42/92/879654292.db2.gz DPPMWKAQESOJEC-TZMCWYRMSA-N 1 2 320.418 1.273 20 30 DDEDLO CN(CCN(C)C(=O)CCn1cc[nH+]c1)C(=O)C#CC(C)(C)C ZINC001357217209 879864252 /nfs/dbraw/zinc/86/42/52/879864252.db2.gz XSZAUBLKXDMQJW-UHFFFAOYSA-N 1 2 318.421 1.240 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001222068313 880214186 /nfs/dbraw/zinc/21/41/86/880214186.db2.gz SAPGVXZIWNQACC-ZDUSSCGKSA-N 1 2 322.409 1.305 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H](OCCn2cc[nH+]c2)C[C@H]1C#N ZINC001222138283 880279964 /nfs/dbraw/zinc/27/99/64/880279964.db2.gz DGPIXOWJDUQYSZ-QWHCGFSZSA-N 1 2 306.366 1.801 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001287899361 912562546 /nfs/dbraw/zinc/56/25/46/912562546.db2.gz WWRVJSSWOXXDDJ-CHWSQXEVSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001358463782 880440906 /nfs/dbraw/zinc/44/09/06/880440906.db2.gz KWVFRFRZESDTNO-STQMWFEESA-N 1 2 304.394 1.033 20 30 DDEDLO CCCCCCCCCCS(=O)(=O)NC[C@H]([NH3+])C(=O)OC ZINC001238581004 890277080 /nfs/dbraw/zinc/27/70/80/890277080.db2.gz DWCWZWGYDUHFOO-ZDUSSCGKSA-N 1 2 322.471 1.547 20 30 DDEDLO Cc1ccccc1C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)C#N)CO2 ZINC001381011591 880853949 /nfs/dbraw/zinc/85/39/49/880853949.db2.gz ZALSZHCTJYDIQR-HOCLYGCPSA-N 1 2 313.401 1.614 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001276910455 881051118 /nfs/dbraw/zinc/05/11/18/881051118.db2.gz ZTCOKVLYLFEFAA-DOTOQJQBSA-N 1 2 316.376 1.306 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001276910455 881051130 /nfs/dbraw/zinc/05/11/30/881051130.db2.gz ZTCOKVLYLFEFAA-DOTOQJQBSA-N 1 2 316.376 1.306 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CC[N@H+](Cc2ncccn2)CC1(C)C ZINC001381127989 881084430 /nfs/dbraw/zinc/08/44/30/881084430.db2.gz HFXATASSXQWIKO-QWHCGFSZSA-N 1 2 301.394 1.353 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CC[N@@H+](Cc2ncccn2)CC1(C)C ZINC001381127989 881084448 /nfs/dbraw/zinc/08/44/48/881084448.db2.gz HFXATASSXQWIKO-QWHCGFSZSA-N 1 2 301.394 1.353 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224165939 881252283 /nfs/dbraw/zinc/25/22/83/881252283.db2.gz VUSMGVHQBXLCPJ-KBPBESRZSA-N 1 2 319.453 1.602 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001288027456 912673488 /nfs/dbraw/zinc/67/34/88/912673488.db2.gz DVMCUJZPRMMYBA-CHWSQXEVSA-N 1 2 304.394 1.320 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)[C@@H](CC#N)c2ccccc2)CCO1 ZINC001362174358 883215202 /nfs/dbraw/zinc/21/52/02/883215202.db2.gz FKPSYJXTFXRWFY-INIZCTEOSA-N 1 2 315.417 1.911 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)[C@@H](CC#N)c2ccccc2)CCO1 ZINC001362174358 883215213 /nfs/dbraw/zinc/21/52/13/883215213.db2.gz FKPSYJXTFXRWFY-INIZCTEOSA-N 1 2 315.417 1.911 20 30 DDEDLO C=CCCCC(=O)NC1CC[NH+]([C@@H](C)c2nncn2C)CC1 ZINC001228927484 883733405 /nfs/dbraw/zinc/73/34/05/883733405.db2.gz BYEDEASYEKSIQH-ZDUSSCGKSA-N 1 2 305.426 1.813 20 30 DDEDLO Cc1cc(O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cc1[N+](=O)[O-] ZINC001229755729 884147174 /nfs/dbraw/zinc/14/71/74/884147174.db2.gz ZPXJLJBVKPTNJJ-DSRGUXITSA-N 1 2 306.318 1.600 20 30 DDEDLO Cc1cc(O)c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cc1[N+](=O)[O-] ZINC001229755729 884147179 /nfs/dbraw/zinc/14/71/79/884147179.db2.gz ZPXJLJBVKPTNJJ-DSRGUXITSA-N 1 2 306.318 1.600 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1CC[NH+]([C@H](C)c2nncn2C)CC1 ZINC001230415836 884483062 /nfs/dbraw/zinc/48/30/62/884483062.db2.gz ZGCXJDOVLKDEJZ-CHWSQXEVSA-N 1 2 321.425 1.048 20 30 DDEDLO COCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230539201 884556067 /nfs/dbraw/zinc/55/60/67/884556067.db2.gz SKBCOJBNSLOCSK-CQSZACIVSA-N 1 2 305.353 1.376 20 30 DDEDLO COCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230539201 884556085 /nfs/dbraw/zinc/55/60/85/884556085.db2.gz SKBCOJBNSLOCSK-CQSZACIVSA-N 1 2 305.353 1.376 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230568278 884593521 /nfs/dbraw/zinc/59/35/21/884593521.db2.gz IQQZPMNTKLZADC-HNNXBMFYSA-N 1 2 319.380 1.767 20 30 DDEDLO COCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230568278 884593527 /nfs/dbraw/zinc/59/35/27/884593527.db2.gz IQQZPMNTKLZADC-HNNXBMFYSA-N 1 2 319.380 1.767 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1C ZINC001230638966 884681211 /nfs/dbraw/zinc/68/12/11/884681211.db2.gz RRCCGSAVMZGXGW-OAHLLOKOSA-N 1 2 315.417 1.444 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1C ZINC001230638966 884681221 /nfs/dbraw/zinc/68/12/21/884681221.db2.gz RRCCGSAVMZGXGW-OAHLLOKOSA-N 1 2 315.417 1.444 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1 ZINC001230651345 884699354 /nfs/dbraw/zinc/69/93/54/884699354.db2.gz NJTQRDUEFOHVKV-GDBMZVCRSA-N 1 2 315.417 1.524 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1 ZINC001230651345 884699361 /nfs/dbraw/zinc/69/93/61/884699361.db2.gz NJTQRDUEFOHVKV-GDBMZVCRSA-N 1 2 315.417 1.524 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C=C(C)C ZINC001230695042 884752922 /nfs/dbraw/zinc/75/29/22/884752922.db2.gz JWHJNLZUDSPUSY-OAHLLOKOSA-N 1 2 320.437 1.247 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C=C(C)C ZINC001230695042 884752928 /nfs/dbraw/zinc/75/29/28/884752928.db2.gz JWHJNLZUDSPUSY-OAHLLOKOSA-N 1 2 320.437 1.247 20 30 DDEDLO C#CCOCCC(=O)NCCC[N@@H+](CCF)Cc1cccnc1 ZINC001230762464 884844205 /nfs/dbraw/zinc/84/42/05/884844205.db2.gz BHPZEDBFPGDXAR-UHFFFAOYSA-N 1 2 321.396 1.399 20 30 DDEDLO C#CCOCCC(=O)NCCC[N@H+](CCF)Cc1cccnc1 ZINC001230762464 884844213 /nfs/dbraw/zinc/84/42/13/884844213.db2.gz BHPZEDBFPGDXAR-UHFFFAOYSA-N 1 2 321.396 1.399 20 30 DDEDLO CCc1nc(C)c(C[N@@H+]2CC[C@H]2CN(C)C(=O)[C@H](C)C#N)o1 ZINC001231293685 885477764 /nfs/dbraw/zinc/47/77/64/885477764.db2.gz PMWBPFQQZWEHKR-YPMHNXCESA-N 1 2 304.394 1.738 20 30 DDEDLO CCc1nc(C)c(C[N@H+]2CC[C@H]2CN(C)C(=O)[C@H](C)C#N)o1 ZINC001231293685 885477769 /nfs/dbraw/zinc/47/77/69/885477769.db2.gz PMWBPFQQZWEHKR-YPMHNXCESA-N 1 2 304.394 1.738 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001288522265 912955133 /nfs/dbraw/zinc/95/51/33/912955133.db2.gz VEOBIKNKMFCVNU-KGLIPLIRSA-N 1 2 318.421 1.166 20 30 DDEDLO C=CCCC(=O)N1CCC2(CN(C(=O)CCn3cc[nH+]c3)C2)C1 ZINC001288563896 912979857 /nfs/dbraw/zinc/97/98/57/912979857.db2.gz FICXUNYMWNGUCF-UHFFFAOYSA-N 1 2 316.405 1.300 20 30 DDEDLO N#Cc1ccc(CC[N@H+]2CC[C@@H]3C[C@@]32C(=O)Nc2nnco2)cc1 ZINC001277644146 886219284 /nfs/dbraw/zinc/21/92/84/886219284.db2.gz WWLNHKPVDIRZMF-PBHICJAKSA-N 1 2 323.356 1.587 20 30 DDEDLO N#Cc1ccc(CC[N@@H+]2CC[C@@H]3C[C@@]32C(=O)Nc2nnco2)cc1 ZINC001277644146 886219299 /nfs/dbraw/zinc/21/92/99/886219299.db2.gz WWLNHKPVDIRZMF-PBHICJAKSA-N 1 2 323.356 1.587 20 30 DDEDLO CCNC(=O)C[N@H+](C)Cc1ccc(Br)c(C#N)c1 ZINC001232893069 886655909 /nfs/dbraw/zinc/65/59/09/886655909.db2.gz AVRAKDZPVLXXGW-UHFFFAOYSA-N 1 2 310.195 1.889 20 30 DDEDLO CCNC(=O)C[N@@H+](C)Cc1ccc(Br)c(C#N)c1 ZINC001232893069 886655917 /nfs/dbraw/zinc/65/59/17/886655917.db2.gz AVRAKDZPVLXXGW-UHFFFAOYSA-N 1 2 310.195 1.889 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(F)c([N+](=O)[O-])cc3F)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233598589 887138343 /nfs/dbraw/zinc/13/83/43/887138343.db2.gz CTPMHKCVOSYGHJ-PUNAFYOISA-N 1 2 312.272 1.864 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(F)c([N+](=O)[O-])cc3F)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233598589 887138352 /nfs/dbraw/zinc/13/83/52/887138352.db2.gz CTPMHKCVOSYGHJ-PUNAFYOISA-N 1 2 312.272 1.864 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)C(C)C ZINC001233762320 887295769 /nfs/dbraw/zinc/29/57/69/887295769.db2.gz RTCMMABZEPJQIC-MRXNPFEDSA-N 1 2 321.465 1.580 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)C(C)C ZINC001233762320 887295786 /nfs/dbraw/zinc/29/57/86/887295786.db2.gz RTCMMABZEPJQIC-MRXNPFEDSA-N 1 2 321.465 1.580 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)C(C)C ZINC001233967202 887507847 /nfs/dbraw/zinc/50/78/47/887507847.db2.gz XIPFDLBXLAQORN-HNNXBMFYSA-N 1 2 307.438 1.189 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)C(C)C ZINC001233967202 887507854 /nfs/dbraw/zinc/50/78/54/887507854.db2.gz XIPFDLBXLAQORN-HNNXBMFYSA-N 1 2 307.438 1.189 20 30 DDEDLO CC(C)N(CCN(C)C(=O)[C@@H](C)C#N)C(=O)CCn1cc[nH+]c1 ZINC001396107299 913167780 /nfs/dbraw/zinc/16/77/80/913167780.db2.gz FHKAGXCOHLVQPG-AWEZNQCLSA-N 1 2 319.409 1.128 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H](C)Oc1cccnc1 ZINC001374533355 913181165 /nfs/dbraw/zinc/18/11/65/913181165.db2.gz NBPHBICNJMTEOW-CYBMUJFWSA-N 1 2 311.813 1.992 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H](C)Oc1cccnc1 ZINC001374533355 913181179 /nfs/dbraw/zinc/18/11/79/913181179.db2.gz NBPHBICNJMTEOW-CYBMUJFWSA-N 1 2 311.813 1.992 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001234403648 887943515 /nfs/dbraw/zinc/94/35/15/887943515.db2.gz WODZSQGNKNIQRR-VSZNYVQBSA-N 1 2 316.445 1.771 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001234403648 887943520 /nfs/dbraw/zinc/94/35/20/887943520.db2.gz WODZSQGNKNIQRR-VSZNYVQBSA-N 1 2 316.445 1.771 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001234479544 888014251 /nfs/dbraw/zinc/01/42/51/888014251.db2.gz XECHAVAOOFMZMF-UKRRQHHQSA-N 1 2 304.365 1.759 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001234479544 888014256 /nfs/dbraw/zinc/01/42/56/888014256.db2.gz XECHAVAOOFMZMF-UKRRQHHQSA-N 1 2 304.365 1.759 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NC1CC1 ZINC001234510298 888036666 /nfs/dbraw/zinc/03/66/66/888036666.db2.gz WTLGEFKNGSMXOC-INIZCTEOSA-N 1 2 319.449 1.566 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NC1CC1 ZINC001234510298 888036683 /nfs/dbraw/zinc/03/66/83/888036683.db2.gz WTLGEFKNGSMXOC-INIZCTEOSA-N 1 2 319.449 1.566 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234554583 888087991 /nfs/dbraw/zinc/08/79/91/888087991.db2.gz KZUYNPAOBXJOAH-CABCVRRESA-N 1 2 319.449 1.259 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234554583 888088008 /nfs/dbraw/zinc/08/80/08/888088008.db2.gz KZUYNPAOBXJOAH-CABCVRRESA-N 1 2 319.449 1.259 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001234626500 888152146 /nfs/dbraw/zinc/15/21/46/888152146.db2.gz TWFHABSSLOQBEG-DZGCQCFKSA-N 1 2 307.438 1.543 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001234626500 888152153 /nfs/dbraw/zinc/15/21/53/888152153.db2.gz TWFHABSSLOQBEG-DZGCQCFKSA-N 1 2 307.438 1.543 20 30 DDEDLO N#CCC[N@H+]1CC[C@H]2CN(C(=O)c3cccc4nc[nH]c43)C[C@H]21 ZINC001277936674 888546762 /nfs/dbraw/zinc/54/67/62/888546762.db2.gz NWVJNZRFCKPJMX-SWLSCSKDSA-N 1 2 309.373 1.623 20 30 DDEDLO N#CCC[N@@H+]1CC[C@H]2CN(C(=O)c3cccc4nc[nH]c43)C[C@H]21 ZINC001277936674 888546773 /nfs/dbraw/zinc/54/67/73/888546773.db2.gz NWVJNZRFCKPJMX-SWLSCSKDSA-N 1 2 309.373 1.623 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C2)[nH]1 ZINC001364434931 888629351 /nfs/dbraw/zinc/62/93/51/888629351.db2.gz YKDPCMYBZCJRAG-ZIAGYGMSSA-N 1 2 310.361 1.001 20 30 DDEDLO Cc1nnc([C@@H]2CC[N@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C2)[nH]1 ZINC001364434931 888629357 /nfs/dbraw/zinc/62/93/57/888629357.db2.gz YKDPCMYBZCJRAG-ZIAGYGMSSA-N 1 2 310.361 1.001 20 30 DDEDLO N#Cc1cccc2c1C[N@H+](C[C@@](O)(C(N)=O)c1ccccc1)C2 ZINC001364454270 888673347 /nfs/dbraw/zinc/67/33/47/888673347.db2.gz OJQVIRZFTQSJCE-SFHVURJKSA-N 1 2 307.353 1.247 20 30 DDEDLO N#Cc1cccc2c1C[N@@H+](C[C@@](O)(C(N)=O)c1ccccc1)C2 ZINC001364454270 888673352 /nfs/dbraw/zinc/67/33/52/888673352.db2.gz OJQVIRZFTQSJCE-SFHVURJKSA-N 1 2 307.353 1.247 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCC12CC2 ZINC001235795392 888951804 /nfs/dbraw/zinc/95/18/04/888951804.db2.gz HXVOHCPQXXJHCH-HOTGVXAUSA-N 1 2 304.434 1.749 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCC12CC2 ZINC001235795392 888951811 /nfs/dbraw/zinc/95/18/11/888951811.db2.gz HXVOHCPQXXJHCH-HOTGVXAUSA-N 1 2 304.434 1.749 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](C[C@@H](O)c2cccc(C#N)c2)CC1 ZINC001364685541 889194941 /nfs/dbraw/zinc/19/49/41/889194941.db2.gz QABWKASKORMOPX-QZTJIDSGSA-N 1 2 312.417 1.757 20 30 DDEDLO CCNc1cc(CNC(=O)N2CC[C@@](O)(CC#N)C2)cc[nH+]1 ZINC001364756253 889348210 /nfs/dbraw/zinc/34/82/10/889348210.db2.gz VUWZTQXNWFNHEQ-HNNXBMFYSA-N 1 2 303.366 1.073 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001290056805 913365959 /nfs/dbraw/zinc/36/59/59/913365959.db2.gz FNJKGGAJBPTWDN-OKILXGFUSA-N 1 2 318.421 1.628 20 30 DDEDLO N#Cc1cc(C[NH+]2CCC3(CNC(=O)N3)CC2)c(F)cc1F ZINC001237536588 889684836 /nfs/dbraw/zinc/68/48/36/889684836.db2.gz NFSMOFLVSVUVGL-UHFFFAOYSA-N 1 2 306.316 1.484 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3CC[C@](CO)(C(F)(F)F)C3)cn2c1 ZINC001237609407 889751104 /nfs/dbraw/zinc/75/11/04/889751104.db2.gz QVBJLDLJICADGY-AWEZNQCLSA-N 1 2 324.306 1.953 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3CC[C@](CO)(C(F)(F)F)C3)cn2c1 ZINC001237609407 889751115 /nfs/dbraw/zinc/75/11/15/889751115.db2.gz QVBJLDLJICADGY-AWEZNQCLSA-N 1 2 324.306 1.953 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@H]1CC ZINC001290479442 913437561 /nfs/dbraw/zinc/43/75/61/913437561.db2.gz QJGBCSODCUPGMJ-LSDHHAIUSA-N 1 2 318.421 1.804 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@@H+]2CCO[C@@H]([C@@H]3CCCO3)C2)c1 ZINC001365277494 890487837 /nfs/dbraw/zinc/48/78/37/890487837.db2.gz ONQLTCQZGUJEEF-IXDOHACOSA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@H+]2CCO[C@@H]([C@@H]3CCCO3)C2)c1 ZINC001365277494 890487849 /nfs/dbraw/zinc/48/78/49/890487849.db2.gz ONQLTCQZGUJEEF-IXDOHACOSA-N 1 2 302.374 1.471 20 30 DDEDLO CC(C)C[C@H]1C(=O)NCC[N@H+]1Cc1cc(C#N)ccc1N(C)C ZINC001365583543 891096105 /nfs/dbraw/zinc/09/61/05/891096105.db2.gz MHVXNCMYZZYQQI-KRWDZBQOSA-N 1 2 314.433 1.971 20 30 DDEDLO CC(C)C[C@H]1C(=O)NCC[N@@H+]1Cc1cc(C#N)ccc1N(C)C ZINC001365583543 891096115 /nfs/dbraw/zinc/09/61/15/891096115.db2.gz MHVXNCMYZZYQQI-KRWDZBQOSA-N 1 2 314.433 1.971 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@H+](Cc2ncc(C3CC3)o2)C1 ZINC001278368468 891700080 /nfs/dbraw/zinc/70/00/80/891700080.db2.gz ARRYHRQALPVTSS-KRWDZBQOSA-N 1 2 319.405 1.835 20 30 DDEDLO C=CCOCC(=O)N[C@@]1(C)CC[N@@H+](Cc2ncc(C3CC3)o2)C1 ZINC001278368468 891700087 /nfs/dbraw/zinc/70/00/87/891700087.db2.gz ARRYHRQALPVTSS-KRWDZBQOSA-N 1 2 319.405 1.835 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COC[C@@H]2CCCO2)[C@H]1C ZINC001365899004 891848174 /nfs/dbraw/zinc/84/81/74/891848174.db2.gz NMGPFWAEDBNWSN-RDBSUJKOSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COC[C@@H]2CCCO2)[C@H]1C ZINC001365899004 891848190 /nfs/dbraw/zinc/84/81/90/891848190.db2.gz NMGPFWAEDBNWSN-RDBSUJKOSA-N 1 2 316.829 1.514 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](N[C@@](C)(C#N)c2ccccc2Cl)C[NH2+]1 ZINC001246263330 892504942 /nfs/dbraw/zinc/50/49/42/892504942.db2.gz YURGYLBDZCWPKI-DGFSRKRXSA-N 1 2 307.781 1.572 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@]3(NC(=O)C#CC(C)C)CCC[C@H]23)n1 ZINC001278444773 892585266 /nfs/dbraw/zinc/58/52/66/892585266.db2.gz FZUOPLWAQVVCIC-YOEHRIQHSA-N 1 2 316.405 1.651 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@]3(NC(=O)C#CC(C)C)CCC[C@H]23)n1 ZINC001278444773 892585271 /nfs/dbraw/zinc/58/52/71/892585271.db2.gz FZUOPLWAQVVCIC-YOEHRIQHSA-N 1 2 316.405 1.651 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@@H]1CCCN1C(=O)CCC ZINC001366592694 894174792 /nfs/dbraw/zinc/17/47/92/894174792.db2.gz NYDGWOCVMWVMAV-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@@H]1CCCN1C(=O)CCC ZINC001366592694 894174799 /nfs/dbraw/zinc/17/47/99/894174799.db2.gz NYDGWOCVMWVMAV-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO N#Cc1cnc(-c2ccc(OCC[NH+]3CCOCC3)cc2)nc1 ZINC001250504248 894307541 /nfs/dbraw/zinc/30/75/41/894307541.db2.gz HJZUVBLDDHOMTQ-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CC[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001366767776 894878893 /nfs/dbraw/zinc/87/88/93/894878893.db2.gz ZZNCDZHWKHHQLL-MNOVXSKESA-N 1 2 307.398 1.636 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](C[C@@H](O)COCc2ccco2)CC1 ZINC001252642194 895333620 /nfs/dbraw/zinc/33/36/20/895333620.db2.gz CPFQEXCJFNEGCV-CQSZACIVSA-N 1 2 324.377 1.097 20 30 DDEDLO CCOC(=O)C[NH+]1CCC(N[C@H](C#N)c2ccccc2)CC1 ZINC001254387836 896407752 /nfs/dbraw/zinc/40/77/52/896407752.db2.gz LZHPDVVASOKTJJ-MRXNPFEDSA-N 1 2 301.390 1.868 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1(O)C[NH+](C[C@H]2CC[C@H](C)O2)C1 ZINC001278872784 897028262 /nfs/dbraw/zinc/02/82/62/897028262.db2.gz SKGGRRQGWJDLIW-UONOGXRCSA-N 1 2 310.438 1.319 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1cccc(NC(N)=O)c1 ZINC001367860137 898054326 /nfs/dbraw/zinc/05/43/26/898054326.db2.gz YZFYHBJEFXALPR-NSHDSACASA-N 1 2 324.812 1.980 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1cccc(NC(N)=O)c1 ZINC001367860137 898054341 /nfs/dbraw/zinc/05/43/41/898054341.db2.gz YZFYHBJEFXALPR-NSHDSACASA-N 1 2 324.812 1.980 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)NCc1ccc2[nH+]ccn2c1 ZINC001258335411 898141424 /nfs/dbraw/zinc/14/14/24/898141424.db2.gz ZIJMRUIUKGDHDC-UHFFFAOYSA-N 1 2 312.354 1.684 20 30 DDEDLO CC(C)n1cc(C[N@@H+]2CCC[C@@H](CNC(=O)[C@H](C)C#N)C2)nn1 ZINC001390034731 898529156 /nfs/dbraw/zinc/52/91/56/898529156.db2.gz CBOSBAGFLPTZNC-KGLIPLIRSA-N 1 2 318.425 1.347 20 30 DDEDLO CC(C)n1cc(C[N@H+]2CCC[C@@H](CNC(=O)[C@H](C)C#N)C2)nn1 ZINC001390034731 898529163 /nfs/dbraw/zinc/52/91/63/898529163.db2.gz CBOSBAGFLPTZNC-KGLIPLIRSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@H](CNC(=O)[C@H](C)C#N)C2)s1 ZINC001390036669 898534907 /nfs/dbraw/zinc/53/49/07/898534907.db2.gz DERBEGHCOUPBFJ-PWSUYJOCSA-N 1 2 307.423 1.334 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@H](CNC(=O)[C@H](C)C#N)C2)s1 ZINC001390036669 898534922 /nfs/dbraw/zinc/53/49/22/898534922.db2.gz DERBEGHCOUPBFJ-PWSUYJOCSA-N 1 2 307.423 1.334 20 30 DDEDLO CC[C@H](CNC(=O)[C@@H](C)C#N)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001390643226 899845436 /nfs/dbraw/zinc/84/54/36/899845436.db2.gz AHLQRCOGSCZIRK-CMPLNLGQSA-N 1 2 313.361 1.347 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1(NC(=O)CCc2c[nH]c[nH+]2)CCCC1 ZINC001390753174 900102728 /nfs/dbraw/zinc/10/27/28/900102728.db2.gz OPNPBLKYFMDESV-GFCCVEGCSA-N 1 2 317.393 1.047 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1(NC(=O)CCc2c[nH+]c[nH]2)CCCC1 ZINC001390753174 900102746 /nfs/dbraw/zinc/10/27/46/900102746.db2.gz OPNPBLKYFMDESV-GFCCVEGCSA-N 1 2 317.393 1.047 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCSCC1 ZINC001262990551 900460198 /nfs/dbraw/zinc/46/01/98/900460198.db2.gz GXLGJPVLGYKWKN-UHFFFAOYSA-N 1 2 304.423 1.342 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)Cn1ncc2cccnc21 ZINC001390961397 900593432 /nfs/dbraw/zinc/59/34/32/900593432.db2.gz SHTMEVRDTVVJPC-UHFFFAOYSA-N 1 2 321.812 1.622 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)Cn1ncc2cccnc21 ZINC001390961397 900593436 /nfs/dbraw/zinc/59/34/36/900593436.db2.gz SHTMEVRDTVVJPC-UHFFFAOYSA-N 1 2 321.812 1.622 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCC1 ZINC001263810594 900722031 /nfs/dbraw/zinc/72/20/31/900722031.db2.gz ZMKRVTUXPQJQOK-PBHICJAKSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCC1 ZINC001263810594 900722048 /nfs/dbraw/zinc/72/20/48/900722048.db2.gz ZMKRVTUXPQJQOK-PBHICJAKSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CCC1(O)CN(C(=O)Cn2c(C)[nH+]c3cc(F)c(F)cc32)C1 ZINC001263931167 900822125 /nfs/dbraw/zinc/82/21/25/900822125.db2.gz MPQWCEIAAUFPTM-UHFFFAOYSA-N 1 2 321.327 1.772 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nccn2C)[C@@H]1CC ZINC001264606436 901211972 /nfs/dbraw/zinc/21/19/72/901211972.db2.gz PQPYEMVHWASEOZ-LSDHHAIUSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nccn2C)[C@@H]1CC ZINC001264606436 901211980 /nfs/dbraw/zinc/21/19/80/901211980.db2.gz PQPYEMVHWASEOZ-LSDHHAIUSA-N 1 2 302.422 1.693 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccoc2CC)[C@H]1C ZINC001264698767 901296097 /nfs/dbraw/zinc/29/60/97/901296097.db2.gz QRVLRZYLVZXPKN-OCCSQVGLSA-N 1 2 319.405 1.337 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccoc2CC)[C@H]1C ZINC001264698767 901296113 /nfs/dbraw/zinc/29/61/13/901296113.db2.gz QRVLRZYLVZXPKN-OCCSQVGLSA-N 1 2 319.405 1.337 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)[C@@H]2CC3CCC2CC3)CC1 ZINC001265266475 901790931 /nfs/dbraw/zinc/79/09/31/901790931.db2.gz OJYJEUJWJBJIDJ-UYSNPLJNSA-N 1 2 304.438 1.070 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)CSCCC)C1 ZINC001265273753 901802850 /nfs/dbraw/zinc/80/28/50/901802850.db2.gz VKVAADVRUFGIMF-OLZOCXBDSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)CSCCC)C1 ZINC001265273753 901802857 /nfs/dbraw/zinc/80/28/57/901802857.db2.gz VKVAADVRUFGIMF-OLZOCXBDSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](CC(=O)NC(C2CC2)C2CC2)C1 ZINC001265274957 901806130 /nfs/dbraw/zinc/80/61/30/901806130.db2.gz KIIKQOQMOAWOGQ-HNNXBMFYSA-N 1 2 319.449 1.448 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](CC(=O)NC(C2CC2)C2CC2)C1 ZINC001265274957 901806140 /nfs/dbraw/zinc/80/61/40/901806140.db2.gz KIIKQOQMOAWOGQ-HNNXBMFYSA-N 1 2 319.449 1.448 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001265301218 901853542 /nfs/dbraw/zinc/85/35/42/901853542.db2.gz GCQZEQOSHCOLBJ-YJBOKZPZSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001265301218 901853548 /nfs/dbraw/zinc/85/35/48/901853548.db2.gz GCQZEQOSHCOLBJ-YJBOKZPZSA-N 1 2 321.465 1.838 20 30 DDEDLO N#CCN1CCC2(C[C@H]2NC(=O)CCCn2cc[nH+]c2)CC1 ZINC001265336205 901899972 /nfs/dbraw/zinc/89/99/72/901899972.db2.gz SSCWFLXBZBSMEQ-CQSZACIVSA-N 1 2 301.394 1.158 20 30 DDEDLO CCCC[C@@H](C(N)=O)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001265405169 901979668 /nfs/dbraw/zinc/97/96/68/901979668.db2.gz PQFCZKDZNBKYBY-XHSDSOJGSA-N 1 2 319.449 1.365 20 30 DDEDLO CCCC[C@@H](C(N)=O)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001265405169 901979675 /nfs/dbraw/zinc/97/96/75/901979675.db2.gz PQFCZKDZNBKYBY-XHSDSOJGSA-N 1 2 319.449 1.365 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]([NH2+]Cc2noc(C(C)C)n2)C1 ZINC001265470748 902048327 /nfs/dbraw/zinc/04/83/27/902048327.db2.gz RSNSVVXWTKFTAO-HAQNSBGRSA-N 1 2 308.382 1.132 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)C[N@H+](C)Cc1cnc(Cl)s1 ZINC001375019691 914664053 /nfs/dbraw/zinc/66/40/53/914664053.db2.gz MOMRUZDREIPLDV-IUCAKERBSA-N 1 2 300.815 1.893 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)C[N@@H+](C)Cc1cnc(Cl)s1 ZINC001375019691 914664067 /nfs/dbraw/zinc/66/40/67/914664067.db2.gz MOMRUZDREIPLDV-IUCAKERBSA-N 1 2 300.815 1.893 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1C[C@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001370299427 902889087 /nfs/dbraw/zinc/88/90/87/902889087.db2.gz RWXUGSUXBILAIH-AAVRWANBSA-N 1 2 317.393 1.005 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CCC[N@H+](Cc2ccc(F)cn2)C1 ZINC001266218285 903132527 /nfs/dbraw/zinc/13/25/27/903132527.db2.gz NYHGXWIVYGNTAX-XJKSGUPXSA-N 1 2 319.380 1.340 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001266218285 903132534 /nfs/dbraw/zinc/13/25/34/903132534.db2.gz NYHGXWIVYGNTAX-XJKSGUPXSA-N 1 2 319.380 1.340 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H](CC)CCC)C2)CC1 ZINC001280694021 903929991 /nfs/dbraw/zinc/92/99/91/903929991.db2.gz XDOKPZYYZQPBPZ-IAGOWNOFSA-N 1 2 305.466 1.664 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@@H+](Cc2ncnn2CC)CC1 ZINC001280745460 903977018 /nfs/dbraw/zinc/97/70/18/903977018.db2.gz UCEXYHKSSSBBTN-AWEZNQCLSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@H+](Cc2ncnn2CC)CC1 ZINC001280745460 903977032 /nfs/dbraw/zinc/97/70/32/903977032.db2.gz UCEXYHKSSSBBTN-AWEZNQCLSA-N 1 2 319.453 1.981 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](NC(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001281163421 904510619 /nfs/dbraw/zinc/51/06/19/904510619.db2.gz FCGPKICUHKTGFC-GJZGRUSLSA-N 1 2 316.405 1.182 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1Cc2ccccc21 ZINC001316609017 904570666 /nfs/dbraw/zinc/57/06/66/904570666.db2.gz ZDFJACSLININRH-WMZOPIPTSA-N 1 2 312.413 1.509 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1Cc2ccccc21 ZINC001316609017 904570685 /nfs/dbraw/zinc/57/06/85/904570685.db2.gz ZDFJACSLININRH-WMZOPIPTSA-N 1 2 312.413 1.509 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001281214219 904573225 /nfs/dbraw/zinc/57/32/25/904573225.db2.gz HFVAPEKIXWGGLQ-KBPBESRZSA-N 1 2 318.421 1.591 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001282093137 905538315 /nfs/dbraw/zinc/53/83/15/905538315.db2.gz OLBGPHQBFFBEAO-RFQIPJPRSA-N 1 2 318.421 1.421 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)Cc2ccc[nH]2)s1 ZINC001392875914 905549648 /nfs/dbraw/zinc/54/96/48/905549648.db2.gz YILZYQTZDFWUMD-CYBMUJFWSA-N 1 2 314.414 1.881 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)Cc2ccc[nH]2)s1 ZINC001392875914 905549664 /nfs/dbraw/zinc/54/96/64/905549664.db2.gz YILZYQTZDFWUMD-CYBMUJFWSA-N 1 2 314.414 1.881 20 30 DDEDLO C=CCOCC[NH+]1CC(CCO)(NC(=O)c2ccc(F)cc2)C1 ZINC001282505574 905835156 /nfs/dbraw/zinc/83/51/56/905835156.db2.gz KYFCTXWEVDILEZ-UHFFFAOYSA-N 1 2 322.380 1.195 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)CO[C@H]2CCCC[C@@H]2C)C1 ZINC001282539974 905859886 /nfs/dbraw/zinc/85/98/86/905859886.db2.gz RCRMUYAUSVLANV-HOTGVXAUSA-N 1 2 322.449 1.158 20 30 DDEDLO Cn1cncc1C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001372024348 906266417 /nfs/dbraw/zinc/26/64/17/906266417.db2.gz JUMKIYORKJCWKR-UHFFFAOYSA-N 1 2 315.352 1.293 20 30 DDEDLO Cn1cncc1C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001372024348 906266431 /nfs/dbraw/zinc/26/64/31/906266431.db2.gz JUMKIYORKJCWKR-UHFFFAOYSA-N 1 2 315.352 1.293 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H](CC(C)C)NC(C)=O ZINC001372187022 906675214 /nfs/dbraw/zinc/67/52/14/906675214.db2.gz DHNXNXMCASTWFF-ZDUSSCGKSA-N 1 2 303.834 1.338 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H](CC(C)C)NC(C)=O ZINC001372187022 906675221 /nfs/dbraw/zinc/67/52/21/906675221.db2.gz DHNXNXMCASTWFF-ZDUSSCGKSA-N 1 2 303.834 1.338 20 30 DDEDLO C=C1CC(C)(C(=O)NCCNC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001283159174 906763316 /nfs/dbraw/zinc/76/33/16/906763316.db2.gz XCULEQIVVGICNQ-CHWSQXEVSA-N 1 2 316.405 1.112 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001283372176 907238403 /nfs/dbraw/zinc/23/84/03/907238403.db2.gz UPGTVQUISZBFKU-AWEZNQCLSA-N 1 2 304.394 1.368 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001283372176 907238421 /nfs/dbraw/zinc/23/84/21/907238421.db2.gz UPGTVQUISZBFKU-AWEZNQCLSA-N 1 2 304.394 1.368 20 30 DDEDLO CC(C)c1noc(C[N@@H+]2CCC[C@H](NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001393599343 907432560 /nfs/dbraw/zinc/43/25/60/907432560.db2.gz LDXNMUFJTKEDFH-STQMWFEESA-N 1 2 319.409 1.823 20 30 DDEDLO CC(C)c1noc(C[N@H+]2CCC[C@H](NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001393599343 907432567 /nfs/dbraw/zinc/43/25/67/907432567.db2.gz LDXNMUFJTKEDFH-STQMWFEESA-N 1 2 319.409 1.823 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(C#N)[nH]1)[C@H](C)[NH2+]Cc1cnsn1 ZINC001393937055 908321017 /nfs/dbraw/zinc/32/10/17/908321017.db2.gz UBWDWIZBSHJTNA-DTWKUNHWSA-N 1 2 304.379 1.034 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC001284410760 908956491 /nfs/dbraw/zinc/95/64/91/908956491.db2.gz QOIPPLDROIJSRK-OAHLLOKOSA-N 1 2 318.421 1.689 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCCC[C@@H]2CNC(=O)[C@H](C)C#N)nc1 ZINC001394201632 909059220 /nfs/dbraw/zinc/05/92/20/909059220.db2.gz BZGPYJXCEQBREB-HUUCEWRRSA-N 1 2 315.421 1.806 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCCC[C@@H]2CNC(=O)[C@H](C)C#N)nc1 ZINC001394201632 909059237 /nfs/dbraw/zinc/05/92/37/909059237.db2.gz BZGPYJXCEQBREB-HUUCEWRRSA-N 1 2 315.421 1.806 20 30 DDEDLO C#CCCCCC(=O)NCCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001284513805 909120812 /nfs/dbraw/zinc/12/08/12/909120812.db2.gz LJWQOTUZTNRARY-ZIAGYGMSSA-N 1 2 316.405 1.281 20 30 DDEDLO C#CCCCCC(=O)NCCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001284513805 909120824 /nfs/dbraw/zinc/12/08/24/909120824.db2.gz LJWQOTUZTNRARY-ZIAGYGMSSA-N 1 2 316.405 1.281 20 30 DDEDLO C=C(Cl)CN1CC[NH+](CCN(C)C(=O)CCCF)CC1 ZINC001373258143 909332019 /nfs/dbraw/zinc/33/20/19/909332019.db2.gz KCNORHRCPMWHJK-UHFFFAOYSA-N 1 2 305.825 1.565 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001285198294 910196001 /nfs/dbraw/zinc/19/60/01/910196001.db2.gz FMCYZDBUVYIKNU-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO CC(C)(O)CC(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373589987 910268354 /nfs/dbraw/zinc/26/83/54/910268354.db2.gz LRYOBEHUFPGQBA-AWEZNQCLSA-N 1 2 319.380 1.549 20 30 DDEDLO CC(C)(O)CC(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001373589987 910268365 /nfs/dbraw/zinc/26/83/65/910268365.db2.gz LRYOBEHUFPGQBA-AWEZNQCLSA-N 1 2 319.380 1.549 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CNC(=O)c2ccccc2)C1 ZINC001373614050 910350169 /nfs/dbraw/zinc/35/01/69/910350169.db2.gz VTBUSAVCCZLTBD-AWEZNQCLSA-N 1 2 321.808 1.359 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CNC(=O)c2ccccc2)C1 ZINC001373614050 910350185 /nfs/dbraw/zinc/35/01/85/910350185.db2.gz VTBUSAVCCZLTBD-AWEZNQCLSA-N 1 2 321.808 1.359 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@@H](CC)NC(C)=O)C(C)C ZINC001394811024 910628569 /nfs/dbraw/zinc/62/85/69/910628569.db2.gz YFULHHRJRVJIFB-CQSZACIVSA-N 1 2 317.861 1.822 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@@H](CC)NC(C)=O)C(C)C ZINC001394811024 910628580 /nfs/dbraw/zinc/62/85/80/910628580.db2.gz YFULHHRJRVJIFB-CQSZACIVSA-N 1 2 317.861 1.822 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001285689150 911043512 /nfs/dbraw/zinc/04/35/12/911043512.db2.gz AROJXWVCXKLQBD-KGLIPLIRSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC1(NC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001285926074 911453580 /nfs/dbraw/zinc/45/35/80/911453580.db2.gz ADICNCOMFXVRJQ-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001286202729 911823053 /nfs/dbraw/zinc/82/30/53/911823053.db2.gz LPRWHATXEWBJCZ-KBPBESRZSA-N 1 2 318.421 1.449 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc(C(C)C)n1C[C@@H](C)[NH+]1CCOCC1 ZINC001286275509 911906444 /nfs/dbraw/zinc/90/64/44/911906444.db2.gz SUBZCINHZZEJHI-LSDHHAIUSA-N 1 2 319.453 1.580 20 30 DDEDLO CCCN(CCNC(=O)[C@@H](C)C#N)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001374169628 912061657 /nfs/dbraw/zinc/06/16/57/912061657.db2.gz VMGLQKLLTTZYIQ-STQMWFEESA-N 1 2 319.409 1.103 20 30 DDEDLO CCCN(CCNC(=O)[C@@H](C)C#N)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001374169628 912061675 /nfs/dbraw/zinc/06/16/75/912061675.db2.gz VMGLQKLLTTZYIQ-STQMWFEESA-N 1 2 319.409 1.103 20 30 DDEDLO C=CCCCCn1c(C)nnc1N(C)CC[NH+]1CCOCC1 ZINC001286683667 912083840 /nfs/dbraw/zinc/08/38/40/912083840.db2.gz XAUMFHKSBWCEOL-UHFFFAOYSA-N 1 2 307.442 1.711 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001294716911 915307090 /nfs/dbraw/zinc/30/70/90/915307090.db2.gz XGHPOLIVOFGZII-JHJVBQTASA-N 1 2 302.378 1.197 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001294716911 915307111 /nfs/dbraw/zinc/30/71/11/915307111.db2.gz XGHPOLIVOFGZII-JHJVBQTASA-N 1 2 302.378 1.197 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001294774131 915357526 /nfs/dbraw/zinc/35/75/26/915357526.db2.gz SSKNPIIVMXSRFS-KGLIPLIRSA-N 1 2 318.421 1.282 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)C(C)C)cc1C#N ZINC001375296158 915506001 /nfs/dbraw/zinc/50/60/01/915506001.db2.gz QPCUBAFTEAWWLM-HNNXBMFYSA-N 1 2 301.390 1.913 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2CNC(=O)C(C)C)cc1C#N ZINC001375296158 915506010 /nfs/dbraw/zinc/50/60/10/915506010.db2.gz QPCUBAFTEAWWLM-HNNXBMFYSA-N 1 2 301.390 1.913 20 30 DDEDLO C[C@]1(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)s2)CCOC1 ZINC001375359350 915706265 /nfs/dbraw/zinc/70/62/65/915706265.db2.gz LXRDTDYWAZWARS-WBMJQRKESA-N 1 2 319.430 1.737 20 30 DDEDLO C[C@]1(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)s2)CCOC1 ZINC001375359350 915706275 /nfs/dbraw/zinc/70/62/75/915706275.db2.gz LXRDTDYWAZWARS-WBMJQRKESA-N 1 2 319.430 1.737 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)NC(=O)CCc2c[nH+]cn2C)C1 ZINC001295644166 915955469 /nfs/dbraw/zinc/95/54/69/915955469.db2.gz YHHZAIQEOOIACY-CYBMUJFWSA-N 1 2 318.421 1.330 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1cc(=O)[nH]c(CNC(=O)C2(C)CCC2)n1 ZINC001375597468 916424869 /nfs/dbraw/zinc/42/48/69/916424869.db2.gz HMVUFARYMAPRMP-UHFFFAOYSA-N 1 2 324.812 1.831 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C1C[NH+](C[C@H](O)Cc2ccc(F)cc2)C1 ZINC001375671622 916660506 /nfs/dbraw/zinc/66/05/06/916660506.db2.gz XYGYKNFMNFQOCJ-MLGOLLRUSA-N 1 2 319.380 1.031 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1CN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001297062200 916703382 /nfs/dbraw/zinc/70/33/82/916703382.db2.gz KEUINMKENVCTIA-UHFFFAOYSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[NH2+]C[C@@H]1CCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC001375763918 916899223 /nfs/dbraw/zinc/89/92/23/916899223.db2.gz BTLPEGKTKLDCKN-LBPRGKRZSA-N 1 2 319.796 1.905 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H](NC(=O)C2CCC2)C1 ZINC001376027954 917506560 /nfs/dbraw/zinc/50/65/60/917506560.db2.gz SHGGDHCFBNIHLB-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H](NC(=O)C2CCC2)C1 ZINC001376027954 917506573 /nfs/dbraw/zinc/50/65/73/917506573.db2.gz SHGGDHCFBNIHLB-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[C@@H]([NH2+]Cc2noc(C(C)(C)C)n2)C1 ZINC001376437147 918487391 /nfs/dbraw/zinc/48/73/91/918487391.db2.gz CZDLAHKQFXHUAI-MXWKQRLJSA-N 1 2 305.382 1.264 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCN(C)C(=O)c1ccon1 ZINC001376947798 919873606 /nfs/dbraw/zinc/87/36/06/919873606.db2.gz PVZJNLSMPLOUDQ-UHFFFAOYSA-N 1 2 316.199 1.977 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCN(C)C(=O)c1ccon1 ZINC001376947798 919873621 /nfs/dbraw/zinc/87/36/21/919873621.db2.gz PVZJNLSMPLOUDQ-UHFFFAOYSA-N 1 2 316.199 1.977 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)C[C@H]2CCOC2)CC1 ZINC001377547742 922291969 /nfs/dbraw/zinc/29/19/69/922291969.db2.gz BPHQMAOTXSICNM-CYBMUJFWSA-N 1 2 316.829 1.109 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCCO1)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001377992123 923622310 /nfs/dbraw/zinc/62/23/10/923622310.db2.gz MZKHMBBPEUVTNJ-BLLLJJGKSA-N 1 2 319.380 1.813 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCCO1)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001377992123 923622323 /nfs/dbraw/zinc/62/23/23/923622323.db2.gz MZKHMBBPEUVTNJ-BLLLJJGKSA-N 1 2 319.380 1.813 20 30 DDEDLO COC[C@@H]1C[N@H+](Cc2cnc3ccc(C#N)cn23)C[C@@H](C)O1 ZINC000249193215 282136937 /nfs/dbraw/zinc/13/69/37/282136937.db2.gz PQWHFRDKUBEMTB-DOMZBBRYSA-N 1 2 300.362 1.442 20 30 DDEDLO COC[C@@H]1C[N@@H+](Cc2cnc3ccc(C#N)cn23)C[C@@H](C)O1 ZINC000249193215 282136941 /nfs/dbraw/zinc/13/69/41/282136941.db2.gz PQWHFRDKUBEMTB-DOMZBBRYSA-N 1 2 300.362 1.442 20 30 DDEDLO COC[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@@H](C)O1 ZINC000249193215 282136944 /nfs/dbraw/zinc/13/69/44/282136944.db2.gz PQWHFRDKUBEMTB-DOMZBBRYSA-N 1 2 300.362 1.442 20 30 DDEDLO CC[C@H](NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C)c1nccs1 ZINC000329657600 529454301 /nfs/dbraw/zinc/45/43/01/529454301.db2.gz GVOMDFMHCVZYNL-NEPJUHHUSA-N 1 2 311.455 1.344 20 30 DDEDLO CC[C@H](NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)c1nccs1 ZINC000329657600 529454303 /nfs/dbraw/zinc/45/43/03/529454303.db2.gz GVOMDFMHCVZYNL-NEPJUHHUSA-N 1 2 311.455 1.344 20 30 DDEDLO CO[C@@]1(C(F)(F)F)CCC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000614923561 362081759 /nfs/dbraw/zinc/08/17/59/362081759.db2.gz BQSVKTNZNNJVDV-AAEUAGOBSA-N 1 2 321.343 1.790 20 30 DDEDLO CO[C@@]1(C(F)(F)F)CCC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000614923561 362081763 /nfs/dbraw/zinc/08/17/63/362081763.db2.gz BQSVKTNZNNJVDV-AAEUAGOBSA-N 1 2 321.343 1.790 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2ccc(Cl)cc2C1 ZINC000090615602 185307044 /nfs/dbraw/zinc/30/70/44/185307044.db2.gz BDYRPXXNUHNNAJ-UHFFFAOYSA-N 1 2 307.781 1.710 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2ccc(Cl)cc2C1 ZINC000090615602 185307045 /nfs/dbraw/zinc/30/70/45/185307045.db2.gz BDYRPXXNUHNNAJ-UHFFFAOYSA-N 1 2 307.781 1.710 20 30 DDEDLO C#CCOc1ccc(C[N@@H+](CC=C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000092361397 185313979 /nfs/dbraw/zinc/31/39/79/185313979.db2.gz JGXZFCUXOCUNNJ-MRXNPFEDSA-N 1 2 319.426 1.874 20 30 DDEDLO C#CCOc1ccc(C[N@H+](CC=C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000092361397 185313980 /nfs/dbraw/zinc/31/39/80/185313980.db2.gz JGXZFCUXOCUNNJ-MRXNPFEDSA-N 1 2 319.426 1.874 20 30 DDEDLO O=C(Nc1scnc1C1CC1)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000328915351 539299669 /nfs/dbraw/zinc/29/96/69/539299669.db2.gz JOQMNROTIYDJQT-LBPRGKRZSA-N 1 2 322.434 1.973 20 30 DDEDLO Cc1noc([C@@H]2CCN(C([O-])=[NH+][C@H]3CCc4[nH+]c[nH]c4C3)C2)n1 ZINC000329695054 539307169 /nfs/dbraw/zinc/30/71/69/539307169.db2.gz BESZMQVURRWSRX-MNOVXSKESA-N 1 2 316.365 1.362 20 30 DDEDLO Cc1noc([C@@H]2CCN(C([O-])=[NH+][C@H]3CCc4[nH]c[nH+]c4C3)C2)n1 ZINC000329695054 539307170 /nfs/dbraw/zinc/30/71/70/539307170.db2.gz BESZMQVURRWSRX-MNOVXSKESA-N 1 2 316.365 1.362 20 30 DDEDLO CN1CCN(c2cc(NCC(C)(C)CC#N)[nH+]cn2)CC1=O ZINC000413410721 529777038 /nfs/dbraw/zinc/77/70/38/529777038.db2.gz PVTHVSUDTDNPQP-UHFFFAOYSA-N 1 2 302.382 1.107 20 30 DDEDLO CN1CCN(c2cc(NCC(C)(C)CC#N)nc[nH+]2)CC1=O ZINC000413410721 529777039 /nfs/dbraw/zinc/77/70/39/529777039.db2.gz PVTHVSUDTDNPQP-UHFFFAOYSA-N 1 2 302.382 1.107 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000330961492 529782257 /nfs/dbraw/zinc/78/22/57/529782257.db2.gz JQAYUZNVWPRPFZ-LBPRGKRZSA-N 1 2 312.301 1.390 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNC(=O)Nc2cc(F)cc([N+](=O)[O-])c2)C1 ZINC000330961492 529782258 /nfs/dbraw/zinc/78/22/58/529782258.db2.gz JQAYUZNVWPRPFZ-LBPRGKRZSA-N 1 2 312.301 1.390 20 30 DDEDLO CNC(=O)CC1CCN(C([O-])=[NH+]CCCn2cc[nH+]c2C)CC1 ZINC000330394347 529845216 /nfs/dbraw/zinc/84/52/16/529845216.db2.gz LNMHFZBERXMNNJ-UHFFFAOYSA-N 1 2 321.425 1.344 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cnn(CC(F)(F)F)c2)C1=O ZINC000343628006 539358697 /nfs/dbraw/zinc/35/86/97/539358697.db2.gz WWNPLVZMCJXKRK-GFCCVEGCSA-N 1 2 316.327 1.664 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cnn(CC(F)(F)F)c2)C1=O ZINC000343628006 539358699 /nfs/dbraw/zinc/35/86/99/539358699.db2.gz WWNPLVZMCJXKRK-GFCCVEGCSA-N 1 2 316.327 1.664 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+](Cc3ccncc3)CC2)ncc1C#N ZINC000457388901 232046439 /nfs/dbraw/zinc/04/64/39/232046439.db2.gz MLNHXEFKIPNBCM-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO Cc1cc(C(=O)N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)ncc1C#N ZINC000457544210 232076427 /nfs/dbraw/zinc/07/64/27/232076427.db2.gz PBEURGQFNSEVAL-QWHCGFSZSA-N 1 2 302.378 1.101 20 30 DDEDLO Cc1cc(C(=O)N2CCN(c3cc[nH+]c(C)n3)CC2)ncc1C#N ZINC000457714087 232112428 /nfs/dbraw/zinc/11/24/28/232112428.db2.gz SRJLKADNOWRSBE-UHFFFAOYSA-N 1 2 322.372 1.323 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000616176574 362574692 /nfs/dbraw/zinc/57/46/92/362574692.db2.gz YPQILCSIOLYSQK-OAHLLOKOSA-N 1 2 309.373 1.909 20 30 DDEDLO C=CCN1C(=O)c2ccc(C(=O)NCc3c[nH+]cn3C)cc2C1=O ZINC000355248876 539457284 /nfs/dbraw/zinc/45/72/84/539457284.db2.gz HEDNUPVPTUKMJC-UHFFFAOYSA-N 1 2 324.340 1.132 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCC#Cc1ccccc1 ZINC000048415878 352538402 /nfs/dbraw/zinc/53/84/02/352538402.db2.gz HKLINVAEBWLXEC-OAHLLOKOSA-N 1 2 301.390 1.058 20 30 DDEDLO N#Cc1ccc(CN2CC[C@@H]([NH2+]Cc3nccs3)C2=O)cc1 ZINC000616997608 362906809 /nfs/dbraw/zinc/90/68/09/362906809.db2.gz RMSQNCVGLQYLQO-CQSZACIVSA-N 1 2 312.398 1.905 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CCC[C@H](CCC(N)=O)C1 ZINC000459328697 233100517 /nfs/dbraw/zinc/10/05/17/233100517.db2.gz QHFPOYJGJQSWOK-GFCCVEGCSA-N 1 2 316.361 1.944 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[N@@H+]1CCC[C@H](CCC(N)=O)C1 ZINC000459328697 233100527 /nfs/dbraw/zinc/10/05/27/233100527.db2.gz QHFPOYJGJQSWOK-GFCCVEGCSA-N 1 2 316.361 1.944 20 30 DDEDLO C[C@H]1NC(=O)N(CC[N@H+]2C[C@@H](c3ccccc3)[C@H](C#N)C2)C1=O ZINC000459491620 233246991 /nfs/dbraw/zinc/24/69/91/233246991.db2.gz MMPQLBXAMMWEFK-YUELXQCFSA-N 1 2 312.373 1.166 20 30 DDEDLO C[C@H]1NC(=O)N(CC[N@@H+]2C[C@@H](c3ccccc3)[C@H](C#N)C2)C1=O ZINC000459491620 233246996 /nfs/dbraw/zinc/24/69/96/233246996.db2.gz MMPQLBXAMMWEFK-YUELXQCFSA-N 1 2 312.373 1.166 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000264260602 186331415 /nfs/dbraw/zinc/33/14/15/186331415.db2.gz CYKJLGRUILSKAL-AWEZNQCLSA-N 1 2 322.409 1.135 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+](C)CC(=O)NC(C)(C)C ZINC000007660093 352126489 /nfs/dbraw/zinc/12/64/89/352126489.db2.gz GVIBDJWKEWEJJN-MLGOLLRUSA-N 1 2 310.442 1.276 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+](C)CC(=O)NC(C)(C)C ZINC000007660093 352126495 /nfs/dbraw/zinc/12/64/95/352126495.db2.gz GVIBDJWKEWEJJN-MLGOLLRUSA-N 1 2 310.442 1.276 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(Cc2ccc(C#N)cc2F)CC1 ZINC000022844091 352180812 /nfs/dbraw/zinc/18/08/12/352180812.db2.gz AAJZHKNEXOGXIC-UHFFFAOYSA-N 1 2 318.396 1.340 20 30 DDEDLO CCCNC(=O)[C@@H](C)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000052660805 352622212 /nfs/dbraw/zinc/62/22/12/352622212.db2.gz QPZXRZJWAIURLW-OAHLLOKOSA-N 1 2 314.433 1.591 20 30 DDEDLO CCCNC(=O)[C@@H](C)[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000052660805 352622217 /nfs/dbraw/zinc/62/22/17/352622217.db2.gz QPZXRZJWAIURLW-OAHLLOKOSA-N 1 2 314.433 1.591 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](CC(=O)NC3CC3)CC2)c(F)c1 ZINC000060355773 352867946 /nfs/dbraw/zinc/86/79/46/352867946.db2.gz AQAZVMVMWAROJD-UHFFFAOYSA-N 1 2 302.353 1.098 20 30 DDEDLO C[C@H](C#N)CN(C)[C@@H](C)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000066469181 352998832 /nfs/dbraw/zinc/99/88/32/352998832.db2.gz OQXRWCKZSPZEPJ-CABCVRRESA-N 1 2 315.421 1.210 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)[N@H+](CC)CCC#N)C1 ZINC000081514991 353679929 /nfs/dbraw/zinc/67/99/29/353679929.db2.gz RFPBENUHLHFORV-KGLIPLIRSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)[N@@H+](CC)CCC#N)C1 ZINC000081514991 353679936 /nfs/dbraw/zinc/67/99/36/353679936.db2.gz RFPBENUHLHFORV-KGLIPLIRSA-N 1 2 309.410 1.412 20 30 DDEDLO CCOC(=O)C[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1CCCC1 ZINC000083244086 353708630 /nfs/dbraw/zinc/70/86/30/353708630.db2.gz HACZHYLMFLJOEI-KRWDZBQOSA-N 1 2 323.437 1.849 20 30 DDEDLO CCOC(=O)C[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C1CCCC1 ZINC000083244086 353708632 /nfs/dbraw/zinc/70/86/32/353708632.db2.gz HACZHYLMFLJOEI-KRWDZBQOSA-N 1 2 323.437 1.849 20 30 DDEDLO C=CCN(C(=O)[C@@H]1C[N@H+](C)CCO1)c1nc2c(s1)CCCC2 ZINC000086210015 353739731 /nfs/dbraw/zinc/73/97/31/353739731.db2.gz OTEXJGCTQFSEJS-ZDUSSCGKSA-N 1 2 321.446 1.872 20 30 DDEDLO C=CCN(C(=O)[C@@H]1C[N@@H+](C)CCO1)c1nc2c(s1)CCCC2 ZINC000086210015 353739732 /nfs/dbraw/zinc/73/97/32/353739732.db2.gz OTEXJGCTQFSEJS-ZDUSSCGKSA-N 1 2 321.446 1.872 20 30 DDEDLO C=CCc1cc(C[N@@H+]2C[C@@H](CO)OC[C@H]2C)cc(OC)c1O ZINC000092041717 353841963 /nfs/dbraw/zinc/84/19/63/353841963.db2.gz MNFXPVCZMNDIAG-DOMZBBRYSA-N 1 2 307.390 1.711 20 30 DDEDLO C=CCc1cc(C[N@H+]2C[C@@H](CO)OC[C@H]2C)cc(OC)c1O ZINC000092041717 353841966 /nfs/dbraw/zinc/84/19/66/353841966.db2.gz MNFXPVCZMNDIAG-DOMZBBRYSA-N 1 2 307.390 1.711 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CC[C@H](C)[C@H](O)C2)c(C#N)c1C ZINC000093332481 353895789 /nfs/dbraw/zinc/89/57/89/353895789.db2.gz HCRMIOIMJDOCDK-NURSFMCSSA-N 1 2 305.378 1.798 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CC[C@H](C)[C@H](O)C2)c(C#N)c1C ZINC000093332481 353895791 /nfs/dbraw/zinc/89/57/91/353895791.db2.gz HCRMIOIMJDOCDK-NURSFMCSSA-N 1 2 305.378 1.798 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)c3cccc(C#N)c3)CC2)cn1 ZINC000140474054 354136055 /nfs/dbraw/zinc/13/60/55/354136055.db2.gz XGWIPMGNZSVDRC-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C[C@H](O)COc1ccc(C#N)cc1)C(C)(C)C ZINC000152506702 354192730 /nfs/dbraw/zinc/19/27/30/354192730.db2.gz WMLYBLVPTBSTCQ-DZGCQCFKSA-N 1 2 320.389 1.475 20 30 DDEDLO C=CCNC(=O)Cc1noc(CCc2[nH]c3ccccc3[nH+]2)n1 ZINC000350705253 354607229 /nfs/dbraw/zinc/60/72/29/354607229.db2.gz HTDNABPWOJFELN-UHFFFAOYSA-N 1 2 311.345 1.576 20 30 DDEDLO CN1CC[N@H+](C)C[C@@H]1c1noc([C@@]2(C#N)CC23CCCC3)n1 ZINC000351086462 354614129 /nfs/dbraw/zinc/61/41/29/354614129.db2.gz RLKWZCYRCXCKBH-WBMJQRKESA-N 1 2 301.394 1.713 20 30 DDEDLO CN1CC[N@@H+](C)C[C@@H]1c1noc([C@@]2(C#N)CC23CCCC3)n1 ZINC000351086462 354614132 /nfs/dbraw/zinc/61/41/32/354614132.db2.gz RLKWZCYRCXCKBH-WBMJQRKESA-N 1 2 301.394 1.713 20 30 DDEDLO COc1cc(NC[C@H](O)C[N@H+](C)CCC#N)c(C)c(OC)c1 ZINC000581425482 354733916 /nfs/dbraw/zinc/73/39/16/354733916.db2.gz XKTLEYMHSWXOLX-ZDUSSCGKSA-N 1 2 307.394 1.631 20 30 DDEDLO COc1cc(NC[C@H](O)C[N@@H+](C)CCC#N)c(C)c(OC)c1 ZINC000581425482 354733920 /nfs/dbraw/zinc/73/39/20/354733920.db2.gz XKTLEYMHSWXOLX-ZDUSSCGKSA-N 1 2 307.394 1.631 20 30 DDEDLO CO[C@]1(CO)CCC[N@@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000402754162 354669674 /nfs/dbraw/zinc/66/96/74/354669674.db2.gz BQKVRRZKKBGAJN-CXAGYDPISA-N 1 2 317.389 1.359 20 30 DDEDLO CO[C@]1(CO)CCC[N@H+]([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000402754162 354669676 /nfs/dbraw/zinc/66/96/76/354669676.db2.gz BQKVRRZKKBGAJN-CXAGYDPISA-N 1 2 317.389 1.359 20 30 DDEDLO CCn1cc(C[N@@H+]2CCCN(C(=O)[C@@]3(C#N)C[C@H]3C)CC2)cn1 ZINC000448922853 354682093 /nfs/dbraw/zinc/68/20/93/354682093.db2.gz RTUPIXMJCYHQRX-RHSMWYFYSA-N 1 2 315.421 1.487 20 30 DDEDLO CCn1cc(C[N@H+]2CCCN(C(=O)[C@@]3(C#N)C[C@H]3C)CC2)cn1 ZINC000448922853 354682096 /nfs/dbraw/zinc/68/20/96/354682096.db2.gz RTUPIXMJCYHQRX-RHSMWYFYSA-N 1 2 315.421 1.487 20 30 DDEDLO COC(=O)[C@H](NCc1c[nH+]c2ccc(C#N)cn12)C(C)(C)C ZINC000585767325 354838880 /nfs/dbraw/zinc/83/88/80/354838880.db2.gz OFHLXFOAPWFCNK-AWEZNQCLSA-N 1 2 300.362 1.883 20 30 DDEDLO COC(=O)[C@H]([NH2+]C[C@H](O)COc1ccc(CC#N)cc1)C1CC1 ZINC000585816416 354853478 /nfs/dbraw/zinc/85/34/78/354853478.db2.gz DYVQNLVNCMIJMN-GOEBONIOSA-N 1 2 318.373 1.034 20 30 DDEDLO N#C[C@H]1C[N@@H+](Cc2ccccn2)C[C@@]12c1ccccc1NC2=O ZINC000374356367 283068743 /nfs/dbraw/zinc/06/87/43/283068743.db2.gz HTCHCFJDWKPRLC-UGSOOPFHSA-N 1 2 304.353 1.927 20 30 DDEDLO N#C[C@H]1C[N@H+](Cc2ccccn2)C[C@@]12c1ccccc1NC2=O ZINC000374356367 283068748 /nfs/dbraw/zinc/06/87/48/283068748.db2.gz HTCHCFJDWKPRLC-UGSOOPFHSA-N 1 2 304.353 1.927 20 30 DDEDLO CN(C)c1ccc(C[NH+]2CCN(c3cnccc3C#N)CC2)cn1 ZINC000590053217 355044641 /nfs/dbraw/zinc/04/46/41/355044641.db2.gz AXGXPXMSWMDCIU-UHFFFAOYSA-N 1 2 322.416 1.736 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1ccc(-n2cccn2)cc1 ZINC000589826902 355022635 /nfs/dbraw/zinc/02/26/35/355022635.db2.gz AGVNFQLPJXPYRE-KRWDZBQOSA-N 1 2 311.389 1.375 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+][C@H](C)c1nnc2n1CCCCC2 ZINC000590601386 355127185 /nfs/dbraw/zinc/12/71/85/355127185.db2.gz SVHLDQXZURFPGH-CYBMUJFWSA-N 1 2 318.425 1.417 20 30 DDEDLO CSc1cccc(NC(=O)C[N@@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592144876 355510888 /nfs/dbraw/zinc/51/08/88/355510888.db2.gz JXWUEZNCKDPESB-OAHLLOKOSA-N 1 2 305.403 1.697 20 30 DDEDLO CSc1cccc(NC(=O)C[N@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592144876 355510892 /nfs/dbraw/zinc/51/08/92/355510892.db2.gz JXWUEZNCKDPESB-OAHLLOKOSA-N 1 2 305.403 1.697 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(F)cc1F)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592147554 355513158 /nfs/dbraw/zinc/51/31/58/355513158.db2.gz QAOYDUCSOHARQT-BONVTDFDSA-N 1 2 309.316 1.642 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(F)cc1F)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592147554 355513159 /nfs/dbraw/zinc/51/31/59/355513159.db2.gz QAOYDUCSOHARQT-BONVTDFDSA-N 1 2 309.316 1.642 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@](O)(CC#N)C2)c([N+](=O)[O-])cc1F ZINC000592151461 355517662 /nfs/dbraw/zinc/51/76/62/355517662.db2.gz LXDYTFUFBQDUAQ-AWEZNQCLSA-N 1 2 309.297 1.593 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@](O)(CC#N)C2)c([N+](=O)[O-])cc1F ZINC000592151461 355517666 /nfs/dbraw/zinc/51/76/66/355517666.db2.gz LXDYTFUFBQDUAQ-AWEZNQCLSA-N 1 2 309.297 1.593 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)CC2(CCCC2)O1 ZINC000592187780 355529375 /nfs/dbraw/zinc/52/93/75/355529375.db2.gz LKCDPGOOYOQUBV-OAHLLOKOSA-N 1 2 318.421 1.676 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)CC2(CCCC2)O1 ZINC000592187780 355529377 /nfs/dbraw/zinc/52/93/77/355529377.db2.gz LKCDPGOOYOQUBV-OAHLLOKOSA-N 1 2 318.421 1.676 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@](O)(CC#N)C2)c([N+](=O)[O-])cc1OC ZINC000592522517 355610754 /nfs/dbraw/zinc/61/07/54/355610754.db2.gz OFILBQXDEQYKKO-HNNXBMFYSA-N 1 2 321.333 1.462 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@](O)(CC#N)C2)c([N+](=O)[O-])cc1OC ZINC000592522517 355610758 /nfs/dbraw/zinc/61/07/58/355610758.db2.gz OFILBQXDEQYKKO-HNNXBMFYSA-N 1 2 321.333 1.462 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H](C[C@H]1CCCO1)C(F)(F)F ZINC000593153583 355812440 /nfs/dbraw/zinc/81/24/40/355812440.db2.gz VNZHMFWQXYZICA-WZRBSPASSA-N 1 2 321.343 1.694 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(-c2ccccn2)nc1 ZINC000593153222 355813161 /nfs/dbraw/zinc/81/31/61/355813161.db2.gz DZBNCRZWMSCRNF-QGZVFWFLSA-N 1 2 309.373 1.717 20 30 DDEDLO N#Cc1sccc1S(=O)(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000593542660 355919369 /nfs/dbraw/zinc/91/93/69/355919369.db2.gz KBSYLGAUOJLKRQ-LLVKDONJSA-N 1 2 322.415 1.842 20 30 DDEDLO COCCOCC[NH+]1CCN(C(=O)c2cc(C#N)cs2)CC1 ZINC000593885830 356041765 /nfs/dbraw/zinc/04/17/65/356041765.db2.gz BONWLWZWIAPFEC-UHFFFAOYSA-N 1 2 323.418 1.041 20 30 DDEDLO N#Cc1ccc(C(=O)NCC2CC[NH+](CC(F)F)CC2)cn1 ZINC000594360953 356182701 /nfs/dbraw/zinc/18/27/01/356182701.db2.gz COBYNPFPUZVUOP-UHFFFAOYSA-N 1 2 308.332 1.660 20 30 DDEDLO N#Cc1cccc(C(=O)NCC2CC[NH+](CC(F)F)CC2)n1 ZINC000594361126 356183079 /nfs/dbraw/zinc/18/30/79/356183079.db2.gz YRKUUVPDSIJDNE-UHFFFAOYSA-N 1 2 308.332 1.660 20 30 DDEDLO CCn1cc(N2C(=O)C[C@H]([N@@H+]3CCC[C@@H](CC#N)C3)C2=O)cn1 ZINC000594659268 356278196 /nfs/dbraw/zinc/27/81/96/356278196.db2.gz ANANHGDHUDCZRU-JSGCOSHPSA-N 1 2 315.377 1.161 20 30 DDEDLO CCn1cc(N2C(=O)C[C@H]([N@H+]3CCC[C@@H](CC#N)C3)C2=O)cn1 ZINC000594659268 356278201 /nfs/dbraw/zinc/27/82/01/356278201.db2.gz ANANHGDHUDCZRU-JSGCOSHPSA-N 1 2 315.377 1.161 20 30 DDEDLO N#Cc1ccc(C[NH2+]Cc2nc([C@H]3CCCO3)n[nH]2)c(F)c1 ZINC000594510925 356241034 /nfs/dbraw/zinc/24/10/34/356241034.db2.gz ODCUQOSKQMBWGU-CYBMUJFWSA-N 1 2 301.325 1.957 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNCC(F)(F)c1cccc(F)c1 ZINC000594717988 356295748 /nfs/dbraw/zinc/29/57/48/356295748.db2.gz JQVVRVLCIAOMHF-CQSZACIVSA-N 1 2 315.339 1.713 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNCC(F)(F)c1cccc(F)c1 ZINC000594717988 356295751 /nfs/dbraw/zinc/29/57/51/356295751.db2.gz JQVVRVLCIAOMHF-CQSZACIVSA-N 1 2 315.339 1.713 20 30 DDEDLO CCOc1ccc(N(CCC#N)C(=O)[C@H]2C[N@H+](C)CCO2)cc1 ZINC000081029508 192217850 /nfs/dbraw/zinc/21/78/50/192217850.db2.gz LKNAIKJTCVSWCX-MRXNPFEDSA-N 1 2 317.389 1.663 20 30 DDEDLO CCOc1ccc(N(CCC#N)C(=O)[C@H]2C[N@@H+](C)CCO2)cc1 ZINC000081029508 192217853 /nfs/dbraw/zinc/21/78/53/192217853.db2.gz LKNAIKJTCVSWCX-MRXNPFEDSA-N 1 2 317.389 1.663 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@@H+]3CCc4n[nH]cc4C3)C2=O)cc1 ZINC000272510042 283157843 /nfs/dbraw/zinc/15/78/43/283157843.db2.gz OUABATLQVLRQAW-QGZVFWFLSA-N 1 2 321.384 1.835 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@H+]3CCc4n[nH]cc4C3)C2=O)cc1 ZINC000272510042 283157845 /nfs/dbraw/zinc/15/78/45/283157845.db2.gz OUABATLQVLRQAW-QGZVFWFLSA-N 1 2 321.384 1.835 20 30 DDEDLO C[C@H](NC(=O)C(=O)Nc1cccc(CC#N)c1)c1[nH+]ccn1C ZINC000595219461 356410642 /nfs/dbraw/zinc/41/06/42/356410642.db2.gz FTXXWTKUQOJHNL-NSHDSACASA-N 1 2 311.345 1.302 20 30 DDEDLO COC(=O)CCN1CCC[C@H]([N@@H+]2CCC[C@](C)(C#N)C2)C1=O ZINC000595422618 356486740 /nfs/dbraw/zinc/48/67/40/356486740.db2.gz BBOJAVXCYMOGLO-XJKSGUPXSA-N 1 2 307.394 1.166 20 30 DDEDLO COC(=O)CCN1CCC[C@H]([N@H+]2CCC[C@](C)(C#N)C2)C1=O ZINC000595422618 356486741 /nfs/dbraw/zinc/48/67/41/356486741.db2.gz BBOJAVXCYMOGLO-XJKSGUPXSA-N 1 2 307.394 1.166 20 30 DDEDLO C[C@@H](NS(=O)(=O)Cc1cc(C#N)ccc1F)c1[nH+]ccn1C ZINC000595383919 356473827 /nfs/dbraw/zinc/47/38/27/356473827.db2.gz QPXLHWNGMRHJMT-SNVBAGLBSA-N 1 2 322.365 1.611 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@@H]2C[C@@H]3CCCC[C@H]3NC2=O)CC1 ZINC000595396976 356477800 /nfs/dbraw/zinc/47/78/00/356477800.db2.gz GLKHHNVGGATTPN-HZMVEIRTSA-N 1 2 318.465 1.599 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CCCC[C@H]1C[NH+]1CCOCC1 ZINC000081547565 192287386 /nfs/dbraw/zinc/28/73/86/192287386.db2.gz AHPHLSFDUTYYAO-HNNXBMFYSA-N 1 2 316.405 1.224 20 30 DDEDLO C[C@]1(C2CC2)C[N@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000595618947 356579597 /nfs/dbraw/zinc/57/95/97/356579597.db2.gz WYRVZJUPEWLTSO-GOSISDBHSA-N 1 2 313.401 1.675 20 30 DDEDLO C[C@]1(C2CC2)C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000595618947 356579602 /nfs/dbraw/zinc/57/96/02/356579602.db2.gz WYRVZJUPEWLTSO-GOSISDBHSA-N 1 2 313.401 1.675 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@@H+]2CC[C@](C)(C#N)C2)CC1 ZINC000595836178 356671220 /nfs/dbraw/zinc/67/12/20/356671220.db2.gz XCDZDBKJCUDYKM-SUMWQHHRSA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@H+]2CC[C@](C)(C#N)C2)CC1 ZINC000595836178 356671221 /nfs/dbraw/zinc/67/12/21/356671221.db2.gz XCDZDBKJCUDYKM-SUMWQHHRSA-N 1 2 321.421 1.412 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@H]3COC[C@@H]3C2)c(C#N)c1C ZINC000595836631 356671732 /nfs/dbraw/zinc/67/17/32/356671732.db2.gz XNPJBMJFEZYMIS-STQMWFEESA-N 1 2 303.362 1.675 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@H]3COC[C@@H]3C2)c(C#N)c1C ZINC000595836631 356671734 /nfs/dbraw/zinc/67/17/34/356671734.db2.gz XNPJBMJFEZYMIS-STQMWFEESA-N 1 2 303.362 1.675 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@H](C)[C@@](C)(CO)C2)c(C#N)c1C ZINC000595874404 356687716 /nfs/dbraw/zinc/68/77/16/356687716.db2.gz OHRUKPGFIWFTLV-MGPLVRAMSA-N 1 2 305.378 1.657 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@H](C)[C@@](C)(CO)C2)c(C#N)c1C ZINC000595874404 356687718 /nfs/dbraw/zinc/68/77/18/356687718.db2.gz OHRUKPGFIWFTLV-MGPLVRAMSA-N 1 2 305.378 1.657 20 30 DDEDLO Cn1ncc(C#N)c1NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000596053508 356754388 /nfs/dbraw/zinc/75/43/88/356754388.db2.gz HMQUTAJIIQWJDA-MRXNPFEDSA-N 1 2 311.389 1.605 20 30 DDEDLO Cn1ncc(C#N)c1NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000596053508 356754392 /nfs/dbraw/zinc/75/43/92/356754392.db2.gz HMQUTAJIIQWJDA-MRXNPFEDSA-N 1 2 311.389 1.605 20 30 DDEDLO COc1c(N)[nH+]cnc1N1CCN(c2ccsc2C#N)CC1 ZINC000596352320 356862393 /nfs/dbraw/zinc/86/23/93/356862393.db2.gz LDSWXXWZYGKBOK-UHFFFAOYSA-N 1 2 316.390 1.327 20 30 DDEDLO COc1c(N)nc[nH+]c1N1CCN(c2ccsc2C#N)CC1 ZINC000596352320 356862395 /nfs/dbraw/zinc/86/23/95/356862395.db2.gz LDSWXXWZYGKBOK-UHFFFAOYSA-N 1 2 316.390 1.327 20 30 DDEDLO N#CC1(CNC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CCCCC1 ZINC000596859403 356998315 /nfs/dbraw/zinc/99/83/15/356998315.db2.gz LWIXRMCOTBIYDK-OAHLLOKOSA-N 1 2 320.437 1.577 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)NCC1(C#N)CCCCC1 ZINC000596862694 356998901 /nfs/dbraw/zinc/99/89/01/356998901.db2.gz GMEVNSIPEUWZNY-OAHLLOKOSA-N 1 2 322.453 1.823 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N2CCOC[C@H]2C#N)cc1 ZINC000596670718 356948526 /nfs/dbraw/zinc/94/85/26/356948526.db2.gz FMPDOIFFVHEVQY-ZBFHGGJFSA-N 1 2 315.373 1.020 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2C(=O)N2CCOC[C@H]2C#N)cc1 ZINC000596670718 356948527 /nfs/dbraw/zinc/94/85/27/356948527.db2.gz FMPDOIFFVHEVQY-ZBFHGGJFSA-N 1 2 315.373 1.020 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000596715848 356959046 /nfs/dbraw/zinc/95/90/46/356959046.db2.gz MYKJFEQEHUIIHC-OKILXGFUSA-N 1 2 313.467 1.458 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000596715848 356959048 /nfs/dbraw/zinc/95/90/48/356959048.db2.gz MYKJFEQEHUIIHC-OKILXGFUSA-N 1 2 313.467 1.458 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)C(=O)OCC ZINC000597733324 357309157 /nfs/dbraw/zinc/30/91/57/357309157.db2.gz RYDNJWZOEYQJTM-UPJWGTAASA-N 1 2 305.378 1.538 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1cc(C#N)cs1)C(C)(C)CO ZINC000597849193 357357551 /nfs/dbraw/zinc/35/75/51/357357551.db2.gz ZWQIABHJQUFKKA-LBPRGKRZSA-N 1 2 318.402 1.843 20 30 DDEDLO C[C@H](NC(=O)[C@@H](C#N)Cc1ccc(C#N)cc1)c1[nH+]ccn1C ZINC000598466101 357593688 /nfs/dbraw/zinc/59/36/88/357593688.db2.gz XAYZOWPLGILJFY-SWLSCSKDSA-N 1 2 307.357 1.851 20 30 DDEDLO COC(=O)C[N@@H+](Cc1ccccc1)C[C@@H](O)CC(C)(C)C#N ZINC000598587288 357642439 /nfs/dbraw/zinc/64/24/39/357642439.db2.gz OFFPCKRNANRTBH-HNNXBMFYSA-N 1 2 304.390 1.962 20 30 DDEDLO COC(=O)C[N@H+](Cc1ccccc1)C[C@@H](O)CC(C)(C)C#N ZINC000598587288 357642444 /nfs/dbraw/zinc/64/24/44/357642444.db2.gz OFFPCKRNANRTBH-HNNXBMFYSA-N 1 2 304.390 1.962 20 30 DDEDLO C[C@H](NC(=O)C1CCCC1)C(=O)N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000329742846 223021773 /nfs/dbraw/zinc/02/17/73/223021773.db2.gz PSLZDGXCPUWKPA-ZFWWWQNUSA-N 1 2 323.437 1.455 20 30 DDEDLO N#Cc1ccc(SCC(=O)N[C@@H]2CCn3c[nH+]cc3C2)cc1 ZINC000598949525 357771871 /nfs/dbraw/zinc/77/18/71/357771871.db2.gz VDJMDUYLYLEDFM-CYBMUJFWSA-N 1 2 312.398 1.978 20 30 DDEDLO N#Cc1c(F)cc(C(=O)N[C@@H]2CCn3c[nH+]cc3C2)cc1F ZINC000598951144 357774231 /nfs/dbraw/zinc/77/42/31/357774231.db2.gz XFEWWDLOIIHILS-SNVBAGLBSA-N 1 2 302.284 1.778 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)cc1C#N ZINC000599471017 357948113 /nfs/dbraw/zinc/94/81/13/357948113.db2.gz XIYBRDCABXONEI-CYBMUJFWSA-N 1 2 307.419 1.332 20 30 DDEDLO Cc1ccc(C[N@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)cc1C#N ZINC000599471017 357948117 /nfs/dbraw/zinc/94/81/17/357948117.db2.gz XIYBRDCABXONEI-CYBMUJFWSA-N 1 2 307.419 1.332 20 30 DDEDLO C[C@@]1(C#N)CC[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)C1 ZINC000599475190 357949327 /nfs/dbraw/zinc/94/93/27/357949327.db2.gz IXUIMKKINGVQEF-SFHVURJKSA-N 1 2 307.353 1.522 20 30 DDEDLO C[C@@]1(C#N)CC[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)C1 ZINC000599475190 357949330 /nfs/dbraw/zinc/94/93/30/357949330.db2.gz IXUIMKKINGVQEF-SFHVURJKSA-N 1 2 307.353 1.522 20 30 DDEDLO COCCc1noc(C[N@@H+]2CCc3c(CC#N)cccc3C2)n1 ZINC000599677609 358021498 /nfs/dbraw/zinc/02/14/98/358021498.db2.gz ALSNVGONPCZHPL-UHFFFAOYSA-N 1 2 312.373 1.883 20 30 DDEDLO COCCc1noc(C[N@H+]2CCc3c(CC#N)cccc3C2)n1 ZINC000599677609 358021501 /nfs/dbraw/zinc/02/15/01/358021501.db2.gz ALSNVGONPCZHPL-UHFFFAOYSA-N 1 2 312.373 1.883 20 30 DDEDLO Cc1nsc(N2CCN(Cc3[nH]c(C)c(C)[nH+]3)CC2)c1C#N ZINC000600163338 358143101 /nfs/dbraw/zinc/14/31/01/358143101.db2.gz DMBYPWIVCZQSGS-UHFFFAOYSA-N 1 2 316.434 1.985 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000600418392 358215094 /nfs/dbraw/zinc/21/50/94/358215094.db2.gz CQDTZTHXGSXNSH-OAHLLOKOSA-N 1 2 301.390 1.548 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000600418392 358215097 /nfs/dbraw/zinc/21/50/97/358215097.db2.gz CQDTZTHXGSXNSH-OAHLLOKOSA-N 1 2 301.390 1.548 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H](C#N)CCc1ccccc1 ZINC000600420946 358215906 /nfs/dbraw/zinc/21/59/06/358215906.db2.gz MBVAIWVMDKSJFF-CVEARBPZSA-N 1 2 301.390 1.206 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H](C#N)CCc1ccccc1 ZINC000600420946 358215909 /nfs/dbraw/zinc/21/59/09/358215909.db2.gz MBVAIWVMDKSJFF-CVEARBPZSA-N 1 2 301.390 1.206 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000411182982 283368227 /nfs/dbraw/zinc/36/82/27/283368227.db2.gz ROFBXSXLWBWGEW-INIZCTEOSA-N 1 2 316.405 1.323 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000411182982 283368228 /nfs/dbraw/zinc/36/82/28/283368228.db2.gz ROFBXSXLWBWGEW-INIZCTEOSA-N 1 2 316.405 1.323 20 30 DDEDLO N#Cc1cc(N2CC[NH+](Cc3ccccn3)CC2)nc(C2CC2)n1 ZINC000601101746 358403000 /nfs/dbraw/zinc/40/30/00/358403000.db2.gz XSOJJJRGUHUPQY-UHFFFAOYSA-N 1 2 320.400 1.943 20 30 DDEDLO CCn1cc[nH+]c1CNS(=O)(=O)c1ccccc1CC#N ZINC000601425624 358547071 /nfs/dbraw/zinc/54/70/71/358547071.db2.gz FACMRUANHODNFN-UHFFFAOYSA-N 1 2 304.375 1.448 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](C)CCN1S(=O)(=O)c1ccccc1CC#N ZINC000601385660 358529527 /nfs/dbraw/zinc/52/95/27/358529527.db2.gz RHCSATRBUVPAER-CHWSQXEVSA-N 1 2 307.419 1.466 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](C)CCN1S(=O)(=O)c1ccccc1CC#N ZINC000601385660 358529529 /nfs/dbraw/zinc/52/95/29/358529529.db2.gz RHCSATRBUVPAER-CHWSQXEVSA-N 1 2 307.419 1.466 20 30 DDEDLO CC(C)(CNS(=O)(=O)c1ccccc1CC#N)n1cc[nH+]c1 ZINC000601526189 358588135 /nfs/dbraw/zinc/58/81/35/358588135.db2.gz JLQDGMVPFXLJIG-UHFFFAOYSA-N 1 2 318.402 1.663 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H](C[NH+]2CCOCC2)c2ccccc2)c[nH]1 ZINC000181162454 199259324 /nfs/dbraw/zinc/25/93/24/199259324.db2.gz JBJYGJKMRJTHDW-QGZVFWFLSA-N 1 2 324.384 1.690 20 30 DDEDLO CSCC[C@H]([NH2+]Cc1cccc(F)c1C#N)C(=O)N(C)C ZINC000602018417 358778677 /nfs/dbraw/zinc/77/86/77/358778677.db2.gz XLTJVBWEBGQTER-AWEZNQCLSA-N 1 2 309.410 1.997 20 30 DDEDLO COc1cncc(CN(CCC#N)CC[NH+]2CCOCC2)c1C ZINC000602425848 358968474 /nfs/dbraw/zinc/96/84/74/358968474.db2.gz WPQNSGYYUYEDJQ-UHFFFAOYSA-N 1 2 318.421 1.447 20 30 DDEDLO CC[N@H+](CCC#N)Cc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC000602438996 358976683 /nfs/dbraw/zinc/97/66/83/358976683.db2.gz UZYLDRIOCQZUCB-UHFFFAOYSA-N 1 2 301.799 1.723 20 30 DDEDLO CC[N@@H+](CCC#N)Cc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC000602438996 358976687 /nfs/dbraw/zinc/97/66/87/358976687.db2.gz UZYLDRIOCQZUCB-UHFFFAOYSA-N 1 2 301.799 1.723 20 30 DDEDLO C[C@@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)n1ccc(C(F)(F)F)n1 ZINC000602331951 358933899 /nfs/dbraw/zinc/93/38/99/358933899.db2.gz IOCIZXULFSKVBT-JOYOIKCWSA-N 1 2 317.315 1.423 20 30 DDEDLO Cc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)n(C2CCOCC2)n1 ZINC000602332455 358934606 /nfs/dbraw/zinc/93/46/06/358934606.db2.gz KDQYETLZMZKAEK-INIZCTEOSA-N 1 2 319.409 1.117 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(-c2csnn2)cc1 ZINC000602334388 358935384 /nfs/dbraw/zinc/93/53/84/358935384.db2.gz RAAZJJFNJOMSNB-OAHLLOKOSA-N 1 2 315.402 1.779 20 30 DDEDLO Cc1cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)nn1C1CCOCC1 ZINC000602336050 358936506 /nfs/dbraw/zinc/93/65/06/358936506.db2.gz WXMJCNUYOQOCPN-INIZCTEOSA-N 1 2 319.409 1.117 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)NCC2CCCCC2)C[C@H](C)N1CC#N ZINC000602854364 359241162 /nfs/dbraw/zinc/24/11/62/359241162.db2.gz BQTWXQKVDFNIPQ-GJZGRUSLSA-N 1 2 306.454 1.601 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000602860547 359245752 /nfs/dbraw/zinc/24/57/52/359245752.db2.gz DJWLRWKSGPRSQM-WFASDCNBSA-N 1 2 305.353 1.617 20 30 DDEDLO CCN(Cc1ccc(OC)c(OC)c1)C(=O)C[NH2+][C@H](C)CC#N ZINC000602860724 359246155 /nfs/dbraw/zinc/24/61/55/359246155.db2.gz DQRLMVOZMPMDRW-CYBMUJFWSA-N 1 2 319.405 1.944 20 30 DDEDLO C[C@@H]1C[NH+](CC(=O)NCc2ccc(F)cc2)C[C@@H](C)N1CC#N ZINC000602861152 359246369 /nfs/dbraw/zinc/24/63/69/359246369.db2.gz KTKOAMHENYPJJK-ZIAGYGMSSA-N 1 2 318.396 1.360 20 30 DDEDLO COC(=O)c1c2c(sc1NC(=O)C[NH2+][C@@H](C)CC#N)CCC2 ZINC000602869302 359253307 /nfs/dbraw/zinc/25/33/07/359253307.db2.gz NFERIVXUWQJQRX-VIFPVBQESA-N 1 2 321.402 1.854 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@H](OC)C[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000602936746 359306983 /nfs/dbraw/zinc/30/69/83/359306983.db2.gz FQDDZFCOTLMMRT-DOTOQJQBSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@H](OC)C[N@H+]1CCOc1ccc(C#N)cc1 ZINC000602936746 359306985 /nfs/dbraw/zinc/30/69/85/359306985.db2.gz FQDDZFCOTLMMRT-DOTOQJQBSA-N 1 2 318.373 1.589 20 30 DDEDLO CO[C@@H]1C[N@H+](CC(=O)Nc2sccc2C#N)[C@@](C)(CO)C1 ZINC000602965084 359329453 /nfs/dbraw/zinc/32/94/53/359329453.db2.gz YVDBSXYTQOAEQF-SMDDNHRTSA-N 1 2 309.391 1.030 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC(=O)Nc2sccc2C#N)[C@@](C)(CO)C1 ZINC000602965084 359329458 /nfs/dbraw/zinc/32/94/58/359329458.db2.gz YVDBSXYTQOAEQF-SMDDNHRTSA-N 1 2 309.391 1.030 20 30 DDEDLO COc1ccccc1CCNC(=O)C[N@H+](C)[C@@H]1CCC[C@@H]1C#N ZINC000602973909 359333667 /nfs/dbraw/zinc/33/36/67/359333667.db2.gz HHKHJAFQTVPQAC-HZPDHXFCSA-N 1 2 315.417 1.978 20 30 DDEDLO COc1ccccc1CCNC(=O)C[N@@H+](C)[C@@H]1CCC[C@@H]1C#N ZINC000602973909 359333674 /nfs/dbraw/zinc/33/36/74/359333674.db2.gz HHKHJAFQTVPQAC-HZPDHXFCSA-N 1 2 315.417 1.978 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1cc(F)cc(C#N)c1)[NH+]1CCOCC1 ZINC000603079532 359391534 /nfs/dbraw/zinc/39/15/34/359391534.db2.gz WAMXAXSTRDBZMC-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO O=C(C[C@@H]1CCOC1)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000329797855 223031783 /nfs/dbraw/zinc/03/17/83/223031783.db2.gz XIIVBVCERYJBQM-DZGCQCFKSA-N 1 2 314.451 1.577 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCC[C@@H](O)[C@@H]2C(=O)OC)cc1 ZINC000188790422 200304664 /nfs/dbraw/zinc/30/46/64/200304664.db2.gz JDVMIHGZHGIEGB-HZPDHXFCSA-N 1 2 303.358 1.197 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCC[C@@H](O)[C@@H]2C(=O)OC)cc1 ZINC000188790422 200304666 /nfs/dbraw/zinc/30/46/66/200304666.db2.gz JDVMIHGZHGIEGB-HZPDHXFCSA-N 1 2 303.358 1.197 20 30 DDEDLO CNC(=O)c1cccc(C[NH+]2CCN([C@H](C#N)C(C)C)CC2)c1 ZINC000609613890 360334171 /nfs/dbraw/zinc/33/41/71/360334171.db2.gz FSDSFVFOOSCZCA-QGZVFWFLSA-N 1 2 314.433 1.712 20 30 DDEDLO Cc1[nH+]c[nH]c1CNC(=O)[C@H]1CCCN1c1ccc(C#N)cc1 ZINC000610494323 360451633 /nfs/dbraw/zinc/45/16/33/360451633.db2.gz FBUPMMCFRSRQKA-MRXNPFEDSA-N 1 2 309.373 1.875 20 30 DDEDLO Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)NC2CC(C)C2)CC1 ZINC000330011748 223062010 /nfs/dbraw/zinc/06/20/10/223062010.db2.gz JKRSAOXBJKYJOE-UHFFFAOYSA-N 1 2 319.453 1.867 20 30 DDEDLO Cn1nccc1C[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000611550367 360756264 /nfs/dbraw/zinc/75/62/64/360756264.db2.gz ADEAVVSUHNDRIP-QGZVFWFLSA-N 1 2 313.380 1.942 20 30 DDEDLO C#CCCN1CC[NH+](CCC(=O)OCc2ccccc2)CC1 ZINC000271999141 209372418 /nfs/dbraw/zinc/37/24/18/209372418.db2.gz HBEBJCZAPIQMEA-UHFFFAOYSA-N 1 2 300.402 1.761 20 30 DDEDLO COc1cnc(NC(=O)NCC[N@@H+]2CCOCC2(C)C)s1 ZINC000330715568 223139200 /nfs/dbraw/zinc/13/92/00/223139200.db2.gz BLDSBFOWUPKKDF-UHFFFAOYSA-N 1 2 314.411 1.588 20 30 DDEDLO COc1cnc(NC(=O)NCC[N@H+]2CCOCC2(C)C)s1 ZINC000330715568 223139203 /nfs/dbraw/zinc/13/92/03/223139203.db2.gz BLDSBFOWUPKKDF-UHFFFAOYSA-N 1 2 314.411 1.588 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)c1cc(OC(F)F)n(C)n1 ZINC000330978477 223160292 /nfs/dbraw/zinc/16/02/92/223160292.db2.gz LNLFQTMEEMBFPQ-VIFPVBQESA-N 1 2 318.324 1.047 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)c1cc(OC(F)F)n(C)n1 ZINC000330978477 223160294 /nfs/dbraw/zinc/16/02/94/223160294.db2.gz LNLFQTMEEMBFPQ-VIFPVBQESA-N 1 2 318.324 1.047 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+](Cc2ccccc2Cl)CC1 ZINC000612527866 361053375 /nfs/dbraw/zinc/05/33/75/361053375.db2.gz DLKHGIRSITXAGA-MRXNPFEDSA-N 1 2 308.809 1.921 20 30 DDEDLO N#C[C@]1(C(=O)NCC2([NH+]3CCOCC3)CC2)CC12CCCC2 ZINC000333271333 223219622 /nfs/dbraw/zinc/21/96/22/223219622.db2.gz CNXRKOBLTCGZSF-KRWDZBQOSA-N 1 2 303.406 1.441 20 30 DDEDLO C[C@H]1C[NH+]=C(N2CCN(C(=O)c3ccnc(C#N)c3)CC2)S1 ZINC000193356411 201036682 /nfs/dbraw/zinc/03/66/82/201036682.db2.gz OTLMXMKJWJWEPF-NSHDSACASA-N 1 2 315.402 1.202 20 30 DDEDLO CNC(=O)[C@@H]1CCCC[N@@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000194187203 201193436 /nfs/dbraw/zinc/19/34/36/201193436.db2.gz DUWDDXKJDVWGPE-AWEZNQCLSA-N 1 2 300.362 1.097 20 30 DDEDLO CNC(=O)[C@@H]1CCCC[N@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000194187203 201193439 /nfs/dbraw/zinc/19/34/39/201193439.db2.gz DUWDDXKJDVWGPE-AWEZNQCLSA-N 1 2 300.362 1.097 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000275689632 212484682 /nfs/dbraw/zinc/48/46/82/212484682.db2.gz QUMOMPOSKXXUFW-MRXNPFEDSA-N 1 2 323.400 1.729 20 30 DDEDLO N#CCc1cccc(S(=O)(=O)N2CCOc3cc[nH+]cc32)c1 ZINC000619489806 364033333 /nfs/dbraw/zinc/03/33/33/364033333.db2.gz OYUQMWUYTZOWEM-UHFFFAOYSA-N 1 2 315.354 1.735 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2Cc3ccc(C(=O)OC)cc3C2)C1=O ZINC000619620382 364090992 /nfs/dbraw/zinc/09/09/92/364090992.db2.gz VSXXBUHTBXGHGE-OAHLLOKOSA-N 1 2 300.358 1.576 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2Cc3ccc(C(=O)OC)cc3C2)C1=O ZINC000619620382 364090998 /nfs/dbraw/zinc/09/09/98/364090998.db2.gz VSXXBUHTBXGHGE-OAHLLOKOSA-N 1 2 300.358 1.576 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000619888731 364178098 /nfs/dbraw/zinc/17/80/98/364178098.db2.gz LTDPSDHLRSIXIY-OAHLLOKOSA-N 1 2 310.438 1.538 20 30 DDEDLO C=CCOc1cccc(NC(=O)NC[C@@H]2COCC[N@H+]2C)c1 ZINC000626323493 367481367 /nfs/dbraw/zinc/48/13/67/367481367.db2.gz JYZJPXDZZWLZEB-CQSZACIVSA-N 1 2 305.378 1.704 20 30 DDEDLO C=CCOc1cccc(NC(=O)NC[C@@H]2COCC[N@@H+]2C)c1 ZINC000626323493 367481372 /nfs/dbraw/zinc/48/13/72/367481372.db2.gz JYZJPXDZZWLZEB-CQSZACIVSA-N 1 2 305.378 1.704 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@H](C)C(=O)NC2(C#N)CCCCC2)n1 ZINC000348100499 223379598 /nfs/dbraw/zinc/37/95/98/223379598.db2.gz ZRBSWBSIFHQTEF-MNOVXSKESA-N 1 2 305.382 1.760 20 30 DDEDLO C[C@@H](NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C)c1ccc(C#N)cc1 ZINC000264755832 204132129 /nfs/dbraw/zinc/13/21/29/204132129.db2.gz SEHFDZBAHQRQOE-CZUORRHYSA-N 1 2 315.421 1.164 20 30 DDEDLO C[C@@H](NC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)c1ccc(C#N)cc1 ZINC000264755832 204132133 /nfs/dbraw/zinc/13/21/33/204132133.db2.gz SEHFDZBAHQRQOE-CZUORRHYSA-N 1 2 315.421 1.164 20 30 DDEDLO COc1cc(C[N@H+]([C@H](C)CS(C)(=O)=O)C2CC2)ccc1C#N ZINC000282516802 217055549 /nfs/dbraw/zinc/05/55/49/217055549.db2.gz ZJZCXUCGEDIFPT-GFCCVEGCSA-N 1 2 322.430 1.964 20 30 DDEDLO COc1cc(C[N@@H+]([C@H](C)CS(C)(=O)=O)C2CC2)ccc1C#N ZINC000282516802 217055551 /nfs/dbraw/zinc/05/55/51/217055551.db2.gz ZJZCXUCGEDIFPT-GFCCVEGCSA-N 1 2 322.430 1.964 20 30 DDEDLO C[C@@](O)(C[NH+]1CCN(c2cccc(C#N)n2)CC1)C(F)(F)F ZINC000337205691 249388741 /nfs/dbraw/zinc/38/87/41/249388741.db2.gz LBRZTDSBQIOHRQ-CYBMUJFWSA-N 1 2 314.311 1.389 20 30 DDEDLO N#Cc1cscc1C(=O)N[C@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000567583936 304227604 /nfs/dbraw/zinc/22/76/04/304227604.db2.gz AQDFRAWZCXIGMG-LSDHHAIUSA-N 1 2 319.430 1.993 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCOC[C@@H]1CC1CCC1 ZINC000339769397 285038844 /nfs/dbraw/zinc/03/88/44/285038844.db2.gz MHCMXDPZEFHRNC-ZBFHGGJFSA-N 1 2 307.438 1.886 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCOC[C@@H]1CC1CCC1 ZINC000339769397 285038846 /nfs/dbraw/zinc/03/88/46/285038846.db2.gz MHCMXDPZEFHRNC-ZBFHGGJFSA-N 1 2 307.438 1.886 20 30 DDEDLO C[C@@H](NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1)c1cncc(F)c1 ZINC000329609270 533102338 /nfs/dbraw/zinc/10/23/38/533102338.db2.gz NIQVSKQLFKOSAW-DDTOSNHZSA-N 1 2 308.357 1.211 20 30 DDEDLO C[C@@H](NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1)c1cncc(F)c1 ZINC000329609270 533102342 /nfs/dbraw/zinc/10/23/42/533102342.db2.gz NIQVSKQLFKOSAW-DDTOSNHZSA-N 1 2 308.357 1.211 20 30 DDEDLO COCCC(=O)N1CC[NH+]([C@H](C)c2cccc(C#N)c2)CC1 ZINC000330856170 533172936 /nfs/dbraw/zinc/17/29/36/533172936.db2.gz NLVPNMPVAXQPPP-CQSZACIVSA-N 1 2 301.390 1.800 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(CCC(C)(C)C#N)CC1 ZINC000345708312 533196786 /nfs/dbraw/zinc/19/67/86/533196786.db2.gz IJESAGOCHZISDH-HNNXBMFYSA-N 1 2 306.454 1.555 20 30 DDEDLO N#Cc1cncc(S(=O)(=O)N2CCC(c3[nH]cc[nH+]3)CC2)c1 ZINC000289570802 221075403 /nfs/dbraw/zinc/07/54/03/221075403.db2.gz VRTRHTZKVDQHDB-UHFFFAOYSA-N 1 2 317.374 1.245 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)[C@H](C)C1 ZINC000489869778 285327739 /nfs/dbraw/zinc/32/77/39/285327739.db2.gz ASHOGNFHVFEGMZ-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(F)c2)[C@H](C)C1 ZINC000489869778 285327748 /nfs/dbraw/zinc/32/77/48/285327748.db2.gz ASHOGNFHVFEGMZ-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CCCN(CC(F)F)CC1 ZINC000346513367 533238153 /nfs/dbraw/zinc/23/81/53/533238153.db2.gz AVCCQHCKJOGMIP-LBPRGKRZSA-N 1 2 314.380 1.210 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCCN(CC(F)F)CC1 ZINC000346513367 533238158 /nfs/dbraw/zinc/23/81/58/533238158.db2.gz AVCCQHCKJOGMIP-LBPRGKRZSA-N 1 2 314.380 1.210 20 30 DDEDLO CS(=O)(=O)c1ccc2c(c1)CC[N@@H+](CCOCCC#N)CC2 ZINC000339172971 533453201 /nfs/dbraw/zinc/45/32/01/533453201.db2.gz CBUUVEAMSIKBTG-UHFFFAOYSA-N 1 2 322.430 1.421 20 30 DDEDLO CS(=O)(=O)c1ccc2c(c1)CC[N@H+](CCOCCC#N)CC2 ZINC000339172971 533453207 /nfs/dbraw/zinc/45/32/07/533453207.db2.gz CBUUVEAMSIKBTG-UHFFFAOYSA-N 1 2 322.430 1.421 20 30 DDEDLO C[C@H](CC#N)[N@H+](C)C[C@@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000346029647 533602738 /nfs/dbraw/zinc/60/27/38/533602738.db2.gz JSRQBFARSSUCGH-TZMCWYRMSA-N 1 2 316.361 1.098 20 30 DDEDLO C[C@H](CC#N)[N@@H+](C)C[C@@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000346029647 533602740 /nfs/dbraw/zinc/60/27/40/533602740.db2.gz JSRQBFARSSUCGH-TZMCWYRMSA-N 1 2 316.361 1.098 20 30 DDEDLO C[C@H](CC#N)[N@H+](C)C[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419235155 533603614 /nfs/dbraw/zinc/60/36/14/533603614.db2.gz MSFGWDBMAQHZPG-OCCSQVGLSA-N 1 2 317.389 1.545 20 30 DDEDLO C[C@H](CC#N)[N@@H+](C)C[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419235155 533603621 /nfs/dbraw/zinc/60/36/21/533603621.db2.gz MSFGWDBMAQHZPG-OCCSQVGLSA-N 1 2 317.389 1.545 20 30 DDEDLO CCOC1CC(CNc2cncc(C#N)n2)([NH+]2CCOCC2)C1 ZINC000569234328 304343491 /nfs/dbraw/zinc/34/34/91/304343491.db2.gz XDZIOCDAARYLKD-UHFFFAOYSA-N 1 2 317.393 1.030 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)c3cccc(SCC#N)c3)C[C@@H]21 ZINC000152091600 407562003 /nfs/dbraw/zinc/56/20/03/407562003.db2.gz HAUPAOLBUSXFJP-LSDHHAIUSA-N 1 2 317.414 1.457 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)c3cccc(SCC#N)c3)C[C@@H]21 ZINC000152091600 407562007 /nfs/dbraw/zinc/56/20/07/407562007.db2.gz HAUPAOLBUSXFJP-LSDHHAIUSA-N 1 2 317.414 1.457 20 30 DDEDLO CN(CC#N)CC(=O)N1CC[NH+](Cc2cccc(Cl)c2)CC1 ZINC000068971614 406674892 /nfs/dbraw/zinc/67/48/92/406674892.db2.gz QVTLFHXMSUCSOO-UHFFFAOYSA-N 1 2 320.824 1.440 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)N[C@](C)(C#N)C(C)C)cc1O ZINC000080964024 407073514 /nfs/dbraw/zinc/07/35/14/407073514.db2.gz HTUPJTOBSAQJJK-QGZVFWFLSA-N 1 2 319.405 1.887 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)N[C@](C)(C#N)C(C)C)cc1O ZINC000080964024 407073515 /nfs/dbraw/zinc/07/35/15/407073515.db2.gz HTUPJTOBSAQJJK-QGZVFWFLSA-N 1 2 319.405 1.887 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+](CCCCO)C2CC2)cc1 ZINC000081513533 407078222 /nfs/dbraw/zinc/07/82/22/407078222.db2.gz FYXLENNEWXCKBK-UHFFFAOYSA-N 1 2 322.430 1.569 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+](CCCCO)C2CC2)cc1 ZINC000081513533 407078225 /nfs/dbraw/zinc/07/82/25/407078225.db2.gz FYXLENNEWXCKBK-UHFFFAOYSA-N 1 2 322.430 1.569 20 30 DDEDLO N#CCCC[NH+]1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000050273864 407130201 /nfs/dbraw/zinc/13/02/01/407130201.db2.gz KLUUFHIGTULOFV-UHFFFAOYSA-N 1 2 304.369 1.686 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ccc(O)cc2)CC1 ZINC000052635414 407153656 /nfs/dbraw/zinc/15/36/56/407153656.db2.gz JWFFOZQXJLJDMA-AWEZNQCLSA-N 1 2 316.405 1.275 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@@H+]1CCNC(=O)CC1 ZINC000065955115 407246300 /nfs/dbraw/zinc/24/63/00/407246300.db2.gz VKDLKDHRPSALQC-ZDUSSCGKSA-N 1 2 321.446 1.473 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@H+]1CCNC(=O)CC1 ZINC000065955115 407246303 /nfs/dbraw/zinc/24/63/03/407246303.db2.gz VKDLKDHRPSALQC-ZDUSSCGKSA-N 1 2 321.446 1.473 20 30 DDEDLO CCOC(=O)[C@H](C)[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000067387545 407273175 /nfs/dbraw/zinc/27/31/75/407273175.db2.gz QBIHWLHSRMKNAE-ZDUSSCGKSA-N 1 2 302.378 1.417 20 30 DDEDLO CCOC(=O)[C@H](C)[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000067387545 407273176 /nfs/dbraw/zinc/27/31/76/407273176.db2.gz QBIHWLHSRMKNAE-ZDUSSCGKSA-N 1 2 302.378 1.417 20 30 DDEDLO Cc1cccc(OCCN(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000096971650 407274725 /nfs/dbraw/zinc/27/47/25/407274725.db2.gz XSUNAYUMAOHGTE-UHFFFAOYSA-N 1 2 317.433 1.922 20 30 DDEDLO N#CCCCCS(=O)(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000104110586 407347338 /nfs/dbraw/zinc/34/73/38/407347338.db2.gz GKNJERXIUCNGEF-UHFFFAOYSA-N 1 2 322.434 1.795 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000127695100 407455316 /nfs/dbraw/zinc/45/53/16/407455316.db2.gz YDOOOHLZYONFBH-RDJZCZTQSA-N 1 2 322.355 1.866 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000127695100 407455323 /nfs/dbraw/zinc/45/53/23/407455323.db2.gz YDOOOHLZYONFBH-RDJZCZTQSA-N 1 2 322.355 1.866 20 30 DDEDLO CN(C)C(=O)C[N@H+](C)CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000129008072 407586371 /nfs/dbraw/zinc/58/63/71/407586371.db2.gz IHDCYWQALWXMPR-UHFFFAOYSA-N 1 2 314.307 1.957 20 30 DDEDLO CN(C)C(=O)C[N@@H+](C)CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000129008072 407586378 /nfs/dbraw/zinc/58/63/78/407586378.db2.gz IHDCYWQALWXMPR-UHFFFAOYSA-N 1 2 314.307 1.957 20 30 DDEDLO Cc1nc(C(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)ccc1C#N ZINC000178959300 407674610 /nfs/dbraw/zinc/67/46/10/407674610.db2.gz WKZRZCDVHZKSHJ-CQSZACIVSA-N 1 2 307.357 1.665 20 30 DDEDLO CCOc1ncccc1C[NH2+][C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000115596402 407695559 /nfs/dbraw/zinc/69/55/59/407695559.db2.gz MYJOTGLOWVYLBJ-HNNXBMFYSA-N 1 2 310.357 1.668 20 30 DDEDLO CC(=O)Nc1cccc(C[NH2+][C@@H](C(N)=O)c2ccc(C#N)cc2)c1 ZINC000115596999 407696825 /nfs/dbraw/zinc/69/68/25/407696825.db2.gz HUSDVCDKRNPMAC-QGZVFWFLSA-N 1 2 322.368 1.833 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)C[N@H+]1CCOc1ccc(C#N)cc1 ZINC000116285477 407740005 /nfs/dbraw/zinc/74/00/05/407740005.db2.gz ANWWXAKXZNORLD-HUUCEWRRSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)C[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000116285477 407740012 /nfs/dbraw/zinc/74/00/12/407740012.db2.gz ANWWXAKXZNORLD-HUUCEWRRSA-N 1 2 304.346 1.199 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)Nc1ccn(CCC#N)n1 ZINC000173344179 407875330 /nfs/dbraw/zinc/87/53/30/407875330.db2.gz VHZNJEWKYSCQMZ-UHFFFAOYSA-N 1 2 320.397 1.029 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)Nc1ccn(CCC#N)n1 ZINC000173344179 407875336 /nfs/dbraw/zinc/87/53/36/407875336.db2.gz VHZNJEWKYSCQMZ-UHFFFAOYSA-N 1 2 320.397 1.029 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CC(=O)OC)C1CCCC1 ZINC000134107079 407884475 /nfs/dbraw/zinc/88/44/75/407884475.db2.gz CUQVSOGKJABSBH-ZDUSSCGKSA-N 1 2 309.410 1.412 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CC(=O)OC)C1CCCC1 ZINC000134107079 407884481 /nfs/dbraw/zinc/88/44/81/407884481.db2.gz CUQVSOGKJABSBH-ZDUSSCGKSA-N 1 2 309.410 1.412 20 30 DDEDLO C=CCN(C)C(=O)NCc1ccc(N2CCO[C@H](C)C2)[nH+]c1 ZINC000153867581 407920156 /nfs/dbraw/zinc/92/01/56/407920156.db2.gz HHIHQWVGBBEEGU-CYBMUJFWSA-N 1 2 304.394 1.634 20 30 DDEDLO C=CCCS(=O)(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000119374726 408056434 /nfs/dbraw/zinc/05/64/34/408056434.db2.gz IIDKFTCJGHMUAG-UHFFFAOYSA-N 1 2 324.446 1.589 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCNC(=O)[C@@H]1CC(C)C ZINC000189793068 408110996 /nfs/dbraw/zinc/11/09/96/408110996.db2.gz YSTYFXGDJPABBQ-HNNXBMFYSA-N 1 2 301.390 1.913 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCNC(=O)[C@@H]1CC(C)C ZINC000189793068 408111002 /nfs/dbraw/zinc/11/10/02/408111002.db2.gz YSTYFXGDJPABBQ-HNNXBMFYSA-N 1 2 301.390 1.913 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)Nc2ccc([N+](=O)[O-])cc2F)CC1 ZINC000273388629 408180067 /nfs/dbraw/zinc/18/00/67/408180067.db2.gz JPIDIIHBJPGBPM-UHFFFAOYSA-N 1 2 306.297 1.517 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000156182866 408240868 /nfs/dbraw/zinc/24/08/68/408240868.db2.gz DMEKYMRVZLHOBY-CQSZACIVSA-N 1 2 322.368 1.182 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CC[N@H+](CC#Cc2cccc(Cl)c2)C1 ZINC000122198641 408263378 /nfs/dbraw/zinc/26/33/78/408263378.db2.gz PUMURETXWWLEDR-CQSZACIVSA-N 1 2 312.822 1.315 20 30 DDEDLO CS(=O)(=O)N[C@@H]1CC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC000122198641 408263385 /nfs/dbraw/zinc/26/33/85/408263385.db2.gz PUMURETXWWLEDR-CQSZACIVSA-N 1 2 312.822 1.315 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@H](O)C[N@H+](C)C[C@@H](C)C#N)c1 ZINC000263680987 408205422 /nfs/dbraw/zinc/20/54/22/408205422.db2.gz JHGDHKSWGUAWGB-DZGCQCFKSA-N 1 2 320.389 1.694 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@H](O)C[N@@H+](C)C[C@@H](C)C#N)c1 ZINC000263680987 408205429 /nfs/dbraw/zinc/20/54/29/408205429.db2.gz JHGDHKSWGUAWGB-DZGCQCFKSA-N 1 2 320.389 1.694 20 30 DDEDLO C#CCSCCNC(=O)N1CC[NH+](Cc2ccncc2)CC1 ZINC000121446930 408213570 /nfs/dbraw/zinc/21/35/70/408213570.db2.gz YBZBRRKXCKZNSC-UHFFFAOYSA-N 1 2 318.446 1.275 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ncc(F)cn3)CC2)c(F)c1 ZINC000273686876 408286655 /nfs/dbraw/zinc/28/66/55/408286655.db2.gz LDHDMTZAEIVXBP-UHFFFAOYSA-N 1 2 315.327 1.949 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N(C)CC[NH+]1CCOCC1 ZINC000263877231 408298085 /nfs/dbraw/zinc/29/80/85/408298085.db2.gz ORGQROUKUSNWFD-AWEZNQCLSA-N 1 2 317.389 1.116 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)CCO1 ZINC000176162377 408299364 /nfs/dbraw/zinc/29/93/64/408299364.db2.gz ZQPGPQBVLTWTMG-UHFFFAOYSA-N 1 2 323.343 1.677 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)CCO1 ZINC000176162377 408299370 /nfs/dbraw/zinc/29/93/70/408299370.db2.gz ZQPGPQBVLTWTMG-UHFFFAOYSA-N 1 2 323.343 1.677 20 30 DDEDLO N#CCN1CCC[C@H](CNC(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000274262973 408344534 /nfs/dbraw/zinc/34/45/34/408344534.db2.gz VPYXSYCKPPGVDI-CQSZACIVSA-N 1 2 324.388 1.233 20 30 DDEDLO C[C@H](NC(=O)CNc1cccc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000158705795 408357426 /nfs/dbraw/zinc/35/74/26/408357426.db2.gz LDQNFKQDAXFFJL-KBPBESRZSA-N 1 2 316.405 1.196 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(OCC#N)c1)[NH+]1CCSCC1 ZINC000269644710 408373790 /nfs/dbraw/zinc/37/37/90/408373790.db2.gz AARPJCIINGTDGZ-GFCCVEGCSA-N 1 2 305.403 1.965 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)SCC[NH+]1CCOCC1 ZINC000159957218 408446884 /nfs/dbraw/zinc/44/68/84/408446884.db2.gz JOQMJWWBPDFENA-AWEZNQCLSA-N 1 2 300.468 1.865 20 30 DDEDLO COC(=O)C1([N@H+](C)CC(=O)N[C@@](C)(C#N)C(C)C)CCCC1 ZINC000159267098 408397144 /nfs/dbraw/zinc/39/71/44/408397144.db2.gz WEJJRJXIMMOKLV-HNNXBMFYSA-N 1 2 309.410 1.458 20 30 DDEDLO COC(=O)C1([N@@H+](C)CC(=O)N[C@@](C)(C#N)C(C)C)CCCC1 ZINC000159267098 408397148 /nfs/dbraw/zinc/39/71/48/408397148.db2.gz WEJJRJXIMMOKLV-HNNXBMFYSA-N 1 2 309.410 1.458 20 30 DDEDLO C[C@H](N1CC[NH+](Cc2cc(C#N)n(C)c2)CC1)C(F)(F)F ZINC000191434925 408425100 /nfs/dbraw/zinc/42/51/00/408425100.db2.gz HALGNPLHYQNZMS-NSHDSACASA-N 1 2 300.328 1.965 20 30 DDEDLO C=C1CC[NH+](CC(=O)Nc2ccc(C(=O)N(C)C)cc2)CC1 ZINC000264487130 408508977 /nfs/dbraw/zinc/50/89/77/408508977.db2.gz ROOKHUFODQYOHE-UHFFFAOYSA-N 1 2 301.390 1.979 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000265117985 408559448 /nfs/dbraw/zinc/55/94/48/408559448.db2.gz CMKXNKAQDYGINS-CQSZACIVSA-N 1 2 312.373 1.783 20 30 DDEDLO N#Cc1cccc(NCC(=O)NCCCNc2cccc[nH+]2)c1 ZINC000161164932 408566455 /nfs/dbraw/zinc/56/64/55/408566455.db2.gz DGLWSFZFCDDEQM-UHFFFAOYSA-N 1 2 309.373 1.984 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@@H+](C)CC(=O)NC ZINC000270778967 408680017 /nfs/dbraw/zinc/68/00/17/408680017.db2.gz OAJKSBXIWCHOIA-LBPRGKRZSA-N 1 2 309.435 1.329 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@H](C)[N@H+](C)CC(=O)NC ZINC000270778967 408680020 /nfs/dbraw/zinc/68/00/20/408680020.db2.gz OAJKSBXIWCHOIA-LBPRGKRZSA-N 1 2 309.435 1.329 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3cc(C#N)cs3)CC2)cc[nH+]1 ZINC000185427093 408806138 /nfs/dbraw/zinc/80/61/38/408806138.db2.gz XAHWMTRYLFLSIV-UHFFFAOYSA-N 1 2 313.386 1.681 20 30 DDEDLO CN(CCS(=O)(=O)c1cccc(C#N)c1)Cc1[nH+]ccn1C ZINC000163159157 408764186 /nfs/dbraw/zinc/76/41/86/408764186.db2.gz RTXOHTCKQMJGIV-UHFFFAOYSA-N 1 2 318.402 1.197 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2cc(C)cc(C)c2)C1=O ZINC000281173912 408874280 /nfs/dbraw/zinc/87/42/80/408874280.db2.gz ALFKCVQVQKLFRI-INIZCTEOSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2cc(C)cc(C)c2)C1=O ZINC000281173912 408874284 /nfs/dbraw/zinc/87/42/84/408874284.db2.gz ALFKCVQVQKLFRI-INIZCTEOSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N[C@H](C)c2cccs2)C1=O ZINC000281199943 408874678 /nfs/dbraw/zinc/87/46/78/408874678.db2.gz CKGMPFCZOSDRLY-OLZOCXBDSA-N 1 2 321.446 1.644 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N[C@H](C)c2cccs2)C1=O ZINC000281199943 408874679 /nfs/dbraw/zinc/87/46/79/408874679.db2.gz CKGMPFCZOSDRLY-OLZOCXBDSA-N 1 2 321.446 1.644 20 30 DDEDLO CC[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CCCO1 ZINC000281321446 408881247 /nfs/dbraw/zinc/88/12/47/408881247.db2.gz ISXHYWIWMRKRDX-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CCCO1 ZINC000281321446 408881248 /nfs/dbraw/zinc/88/12/48/408881248.db2.gz ISXHYWIWMRKRDX-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO CN(C[C@@H]1C[C@H](F)CN1Cc1[nH+]ccn1C)C(=O)C(C)(C)C#N ZINC000281336771 408882948 /nfs/dbraw/zinc/88/29/48/408882948.db2.gz WPVNXXBRSIHZRJ-STQMWFEESA-N 1 2 321.400 1.341 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)N(CC)CC(=C)C)C1=O ZINC000281362932 408883422 /nfs/dbraw/zinc/88/34/22/408883422.db2.gz NWWPAUSXGVQONG-LSDHHAIUSA-N 1 2 307.438 1.518 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)N(CC)CC(=C)C)C1=O ZINC000281362932 408883425 /nfs/dbraw/zinc/88/34/25/408883425.db2.gz NWWPAUSXGVQONG-LSDHHAIUSA-N 1 2 307.438 1.518 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCOc2cc(O)ccc2C1 ZINC000285650914 408836413 /nfs/dbraw/zinc/83/64/13/408836413.db2.gz YJDGVWCUCKUSIC-CYBMUJFWSA-N 1 2 317.389 1.595 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCOc2cc(O)ccc2C1 ZINC000285650914 408836416 /nfs/dbraw/zinc/83/64/16/408836416.db2.gz YJDGVWCUCKUSIC-CYBMUJFWSA-N 1 2 317.389 1.595 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2cncc3ccccc32)CC1 ZINC000276425615 408854566 /nfs/dbraw/zinc/85/45/66/408854566.db2.gz IYGHEWPWQSTRKZ-OAHLLOKOSA-N 1 2 322.412 1.495 20 30 DDEDLO C#Cc1ccccc1CC(=O)N(C)[C@H](C)C[NH+]1CCOCC1 ZINC000290947418 408855210 /nfs/dbraw/zinc/85/52/10/408855210.db2.gz UQWUGQCOKFZESR-OAHLLOKOSA-N 1 2 300.402 1.390 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2cc(C#N)ccc2Cl)CC1 ZINC000285755464 408857176 /nfs/dbraw/zinc/85/71/76/408857176.db2.gz ZCYROQONJQIBBH-UHFFFAOYSA-N 1 2 307.781 1.616 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+][C@H](C)c1ccc(F)c(F)c1O ZINC000276863192 408902079 /nfs/dbraw/zinc/90/20/79/408902079.db2.gz CUXUOVVDFXNOAP-SECBINFHSA-N 1 2 305.346 1.922 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)Nc2nccs2)C1=O ZINC000281432655 408886734 /nfs/dbraw/zinc/88/67/34/408886734.db2.gz SOZOVGPEJNWVNB-WDEREUQCSA-N 1 2 308.407 1.189 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)Nc2nccs2)C1=O ZINC000281432655 408886736 /nfs/dbraw/zinc/88/67/36/408886736.db2.gz SOZOVGPEJNWVNB-WDEREUQCSA-N 1 2 308.407 1.189 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCc3cc(OC)c(OC)cc3C2)C1=O ZINC000282061222 408982084 /nfs/dbraw/zinc/98/20/84/408982084.db2.gz SEKKAVPREQTWKB-HNNXBMFYSA-N 1 2 316.401 1.849 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCc3cc(OC)c(OC)cc3C2)C1=O ZINC000282061222 408982088 /nfs/dbraw/zinc/98/20/88/408982088.db2.gz SEKKAVPREQTWKB-HNNXBMFYSA-N 1 2 316.401 1.849 20 30 DDEDLO C=CCN(CC1CCCCC1)C(=O)C(=O)NCc1[nH+]ccn1C ZINC000286505704 408989824 /nfs/dbraw/zinc/98/98/24/408989824.db2.gz ATBFXLLLMUENTE-UHFFFAOYSA-N 1 2 318.421 1.631 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCCC[C@H](S(C)(=O)=O)C1 ZINC000277782809 409010310 /nfs/dbraw/zinc/01/03/10/409010310.db2.gz NZZLHGGDXOJRLJ-AWEZNQCLSA-N 1 2 314.451 1.086 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCCC[C@H](S(C)(=O)=O)C1 ZINC000277782809 409010313 /nfs/dbraw/zinc/01/03/13/409010313.db2.gz NZZLHGGDXOJRLJ-AWEZNQCLSA-N 1 2 314.451 1.086 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(F)c(C#N)c1 ZINC000287440728 409036882 /nfs/dbraw/zinc/03/68/82/409036882.db2.gz RCLOUGRCWQSIJO-SWLSCSKDSA-N 1 2 317.364 1.633 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000293131463 409055612 /nfs/dbraw/zinc/05/56/12/409055612.db2.gz MVSOLQLBUHELPI-UHFFFAOYSA-N 1 2 303.318 1.019 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000293131463 409055615 /nfs/dbraw/zinc/05/56/15/409055615.db2.gz MVSOLQLBUHELPI-UHFFFAOYSA-N 1 2 303.318 1.019 20 30 DDEDLO NC(=[NH+]OC[C@@H]1CCS(=O)(=O)C1)c1ccc(F)c(F)c1 ZINC000278717676 409086747 /nfs/dbraw/zinc/08/67/47/409086747.db2.gz SOBULAWIMVFGRB-QMMMGPOBSA-N 1 2 304.318 1.036 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@@H+]2CCC[C@H](O)CC2)c(C#N)c1C ZINC000293322838 409089126 /nfs/dbraw/zinc/08/91/26/409089126.db2.gz XQPWJYOWXIOXRE-AAEUAGOBSA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[N@H+]2CCC[C@H](O)CC2)c(C#N)c1C ZINC000293322838 409089130 /nfs/dbraw/zinc/08/91/30/409089130.db2.gz XQPWJYOWXIOXRE-AAEUAGOBSA-N 1 2 305.378 1.942 20 30 DDEDLO C=CCN(C)C(=O)C(=O)NCCCn1c(C)[nH+]c2ccccc21 ZINC000283239794 409120023 /nfs/dbraw/zinc/12/00/23/409120023.db2.gz CSBNSDWISHPXOS-UHFFFAOYSA-N 1 2 314.389 1.495 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2cc(C#N)ccn2)C1 ZINC000287991203 409127582 /nfs/dbraw/zinc/12/75/82/409127582.db2.gz WDWCJXYBGVFXTQ-OAHLLOKOSA-N 1 2 314.389 1.136 20 30 DDEDLO C[N@H+]1CCO[C@@H](CN(CC2CC2)C(=O)c2cc(C#N)ccn2)C1 ZINC000287991203 409127585 /nfs/dbraw/zinc/12/75/85/409127585.db2.gz WDWCJXYBGVFXTQ-OAHLLOKOSA-N 1 2 314.389 1.136 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccccc3F)n2C)CC1 ZINC000283658204 409192351 /nfs/dbraw/zinc/19/23/51/409192351.db2.gz YRUVDPGRTOTETI-UHFFFAOYSA-N 1 2 313.380 1.300 20 30 DDEDLO CS[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000294153257 409249793 /nfs/dbraw/zinc/24/97/93/409249793.db2.gz AEMCKNCPISNPNZ-CYBMUJFWSA-N 1 2 310.444 1.769 20 30 DDEDLO CS[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000294153257 409249796 /nfs/dbraw/zinc/24/97/96/409249796.db2.gz AEMCKNCPISNPNZ-CYBMUJFWSA-N 1 2 310.444 1.769 20 30 DDEDLO C[C@H](CNC(=O)[C@H](C)O[NH+]=C(N)[C@H]1CCCO1)c1ccccc1 ZINC000284104721 409275333 /nfs/dbraw/zinc/27/53/33/409275333.db2.gz LIGFYRKERVGSEP-VNHYZAJKSA-N 1 2 319.405 1.763 20 30 DDEDLO CCOc1ccccc1C[N@@H+]1CCN(C(=O)/C=N/OC)[C@@H](C)C1 ZINC000289573158 409282625 /nfs/dbraw/zinc/28/26/25/409282625.db2.gz ULRDQKPBOLIYCA-BGARDKSCSA-N 1 2 319.405 1.750 20 30 DDEDLO CCOc1ccccc1C[N@H+]1CCN(C(=O)/C=N/OC)[C@@H](C)C1 ZINC000289573158 409282627 /nfs/dbraw/zinc/28/26/27/409282627.db2.gz ULRDQKPBOLIYCA-BGARDKSCSA-N 1 2 319.405 1.750 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)NCCc1cn2ccccc2[nH+]1 ZINC000295715113 409365471 /nfs/dbraw/zinc/36/54/71/409365471.db2.gz GMATUMKNDBGXNM-UHFFFAOYSA-N 1 2 320.418 1.736 20 30 DDEDLO CCC1(CC)C[NH+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000295954585 409381860 /nfs/dbraw/zinc/38/18/60/409381860.db2.gz IWQKUCVEUVQYOG-UHFFFAOYSA-N 1 2 307.419 1.849 20 30 DDEDLO CC(=O)c1cccc(NC(=O)CO[NH+]=C(N)[C@@H]2CCCO2)c1 ZINC000284451219 409341303 /nfs/dbraw/zinc/34/13/03/409341303.db2.gz QAANPYOKHZVRGQ-ZDUSSCGKSA-N 1 2 305.334 1.296 20 30 DDEDLO CC(=O)c1ccc(NC(=O)[C@@H](C)O[NH+]=C(N)[C@@H]2CCCO2)cc1 ZINC000284406340 409342520 /nfs/dbraw/zinc/34/25/20/409342520.db2.gz NIZYFXOBYUCBFK-RISCZKNCSA-N 1 2 319.361 1.684 20 30 DDEDLO N#CCCS(=O)(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000295161788 409351100 /nfs/dbraw/zinc/35/11/00/409351100.db2.gz DQKZTYYMCJTARA-UHFFFAOYSA-N 1 2 308.407 1.405 20 30 DDEDLO C[C@@H]1CC[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C[C@H]1O ZINC000305758324 164003478 /nfs/dbraw/zinc/00/34/78/164003478.db2.gz GLEPZXXBNLUVAE-KGYLQXTDSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@@H]1CC[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C[C@H]1O ZINC000305758324 164003480 /nfs/dbraw/zinc/00/34/80/164003480.db2.gz GLEPZXXBNLUVAE-KGYLQXTDSA-N 1 2 305.403 1.428 20 30 DDEDLO CC(=O)N[C@H]1CC[N@@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000316874008 164024254 /nfs/dbraw/zinc/02/42/54/164024254.db2.gz AFWOLWLOELZECN-ABAIWWIYSA-N 1 2 300.362 1.096 20 30 DDEDLO CC(=O)N[C@H]1CC[N@H+]([C@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000316874008 164024256 /nfs/dbraw/zinc/02/42/56/164024256.db2.gz AFWOLWLOELZECN-ABAIWWIYSA-N 1 2 300.362 1.096 20 30 DDEDLO CC(C)[N@@H+]1CCO[C@H](c2noc(-c3cc(C#N)cn3C)n2)C1 ZINC000320103367 164040036 /nfs/dbraw/zinc/04/00/36/164040036.db2.gz PLIKKIXTZHBIIM-ZDUSSCGKSA-N 1 2 301.350 1.728 20 30 DDEDLO CC(C)[N@H+]1CCO[C@H](c2noc(-c3cc(C#N)cn3C)n2)C1 ZINC000320103367 164040037 /nfs/dbraw/zinc/04/00/37/164040037.db2.gz PLIKKIXTZHBIIM-ZDUSSCGKSA-N 1 2 301.350 1.728 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)N1CC[C@H]2OCC[N@@H+](C)[C@@H]2C1 ZINC000408044490 164207148 /nfs/dbraw/zinc/20/71/48/164207148.db2.gz VEXYJWIDXRHYFC-HZPDHXFCSA-N 1 2 314.389 1.804 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)N1CC[C@H]2OCC[N@H+](C)[C@@H]2C1 ZINC000408044490 164207150 /nfs/dbraw/zinc/20/71/50/164207150.db2.gz VEXYJWIDXRHYFC-HZPDHXFCSA-N 1 2 314.389 1.804 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C)OCC ZINC000342257762 409569817 /nfs/dbraw/zinc/56/98/17/409569817.db2.gz GEFUJJBGRBFITD-OAGGEKHMSA-N 1 2 310.438 1.679 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)c2cccc(C#N)c2C)CCO1 ZINC000338131444 409741049 /nfs/dbraw/zinc/74/10/49/409741049.db2.gz MXPUFAJIHBXDTN-OAHLLOKOSA-N 1 2 301.390 1.707 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)c2cccc(C#N)c2C)CCO1 ZINC000338131444 409741057 /nfs/dbraw/zinc/74/10/57/409741057.db2.gz MXPUFAJIHBXDTN-OAHLLOKOSA-N 1 2 301.390 1.707 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)c(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000349273735 409774939 /nfs/dbraw/zinc/77/49/39/409774939.db2.gz DWDMJAXILXZBTN-MRXNPFEDSA-N 1 2 322.430 1.502 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)c(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000349273735 409774944 /nfs/dbraw/zinc/77/49/44/409774944.db2.gz DWDMJAXILXZBTN-MRXNPFEDSA-N 1 2 322.430 1.502 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)[C@H]1CCN(C)C(=O)C1)CCC2 ZINC000328696364 409956255 /nfs/dbraw/zinc/95/62/55/409956255.db2.gz DGPPLRRUADEZIJ-STQMWFEESA-N 1 2 304.394 1.894 20 30 DDEDLO C[C@@H](C(=O)NCc1cccc(N2CCC2=O)c1)[NH+]1CCOCC1 ZINC000328601914 409933532 /nfs/dbraw/zinc/93/35/32/409933532.db2.gz GIZLYPJMQCEHMC-ZDUSSCGKSA-N 1 2 317.389 1.601 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CC[C@H](CCO)C1)CCC2 ZINC000328653708 409944737 /nfs/dbraw/zinc/94/47/37/409944737.db2.gz LFXSPRHRNIWMEQ-KGLIPLIRSA-N 1 2 306.410 1.687 20 30 DDEDLO CN(C[C@H](O)C[NH+]1CCOCC1)c1cccc(Cl)c1C#N ZINC000297664509 409948105 /nfs/dbraw/zinc/94/81/05/409948105.db2.gz ZJGCITVDXXRRFW-LBPRGKRZSA-N 1 2 309.797 1.341 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000354765561 410069903 /nfs/dbraw/zinc/06/99/03/410069903.db2.gz GLCLRGWVAQYZRR-INIZCTEOSA-N 1 2 304.390 1.379 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)NC3CCSCC3)CC2)cn1 ZINC000329194843 410157892 /nfs/dbraw/zinc/15/78/92/410157892.db2.gz UWPXZIXFANIOQB-UHFFFAOYSA-N 1 2 323.466 1.347 20 30 DDEDLO O=C(Cc1cccnc1)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000329230185 410177320 /nfs/dbraw/zinc/17/73/20/410177320.db2.gz JVIXQWWTVCPAFQ-MRXNPFEDSA-N 1 2 321.446 1.789 20 30 DDEDLO C[C@H](NC(=O)NCC[N@@H+]1CCOC(C)(C)C1)[C@@H]1CCCOC1 ZINC000329392629 410272658 /nfs/dbraw/zinc/27/26/58/410272658.db2.gz UERYEPNIHQHBRB-UONOGXRCSA-N 1 2 313.442 1.416 20 30 DDEDLO C[C@H](NC(=O)NCC[N@H+]1CCOC(C)(C)C1)[C@@H]1CCCOC1 ZINC000329392629 410272663 /nfs/dbraw/zinc/27/26/63/410272663.db2.gz UERYEPNIHQHBRB-UONOGXRCSA-N 1 2 313.442 1.416 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N1CC[C@H]([C@@H](C)O)C1)CCC2 ZINC000329497252 410328902 /nfs/dbraw/zinc/32/89/02/410328902.db2.gz FCFWLJJRIORJFE-RDBSUJKOSA-N 1 2 306.410 1.686 20 30 DDEDLO CC(=O)N1CCC(NC(=O)Nc2ccn3cc[nH+]c3c2)CC1 ZINC000329510689 410334763 /nfs/dbraw/zinc/33/47/63/410334763.db2.gz KEQWCJYTZIIRGM-UHFFFAOYSA-N 1 2 301.350 1.671 20 30 DDEDLO Cc1[nH]c(=O)c(C#N)c(C)c1CCC(=O)NCc1[nH+]ccn1C ZINC000343307810 410337130 /nfs/dbraw/zinc/33/71/30/410337130.db2.gz ZOIRVKYQBKMCBG-UHFFFAOYSA-N 1 2 313.361 1.258 20 30 DDEDLO C[NH+]1CCN(C(=O)c2cc(NC(=O)NC3CCC3)ccn2)CC1 ZINC000329421742 410289341 /nfs/dbraw/zinc/28/93/41/410289341.db2.gz HSGAAOBCJZHVLN-UHFFFAOYSA-N 1 2 317.393 1.348 20 30 DDEDLO CC[C@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C(=O)N1CCOCC1 ZINC000329426575 410291457 /nfs/dbraw/zinc/29/14/57/410291457.db2.gz HBKDQESDFBENKM-JSGCOSHPSA-N 1 2 320.393 1.039 20 30 DDEDLO CC(C)CNC(=O)[C@H](C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355102815 410315048 /nfs/dbraw/zinc/31/50/48/410315048.db2.gz KNGCMCOIMWITEH-AWEZNQCLSA-N 1 2 315.421 1.236 20 30 DDEDLO CCO[C@@H]1C[C@H](NC(=O)N2CCn3cc[nH+]c3C2)[C@@]12CCCO2 ZINC000329571881 410366873 /nfs/dbraw/zinc/36/68/73/410366873.db2.gz MWLMBXCKWIVLOX-ZENOOKHLSA-N 1 2 320.393 1.339 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[C@H]([NH+]2CCOCC2)[C@@H]1C ZINC000358126106 410374150 /nfs/dbraw/zinc/37/41/50/410374150.db2.gz FJIMXGADDSEXJG-YQQAZPJKSA-N 1 2 310.438 1.537 20 30 DDEDLO COCCN(c1cc[nH+]cc1)S(=O)(=O)c1cncc(C#N)c1 ZINC000352076291 410461516 /nfs/dbraw/zinc/46/15/16/410461516.db2.gz WKJDJIPPZSANMQ-UHFFFAOYSA-N 1 2 318.358 1.190 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)Nc1ccc(C#N)c(Cl)c1)c1nncn1C ZINC000358834358 410532553 /nfs/dbraw/zinc/53/25/53/410532553.db2.gz XKTVCBSKIJMCIB-SECBINFHSA-N 1 2 318.768 1.629 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)c2cccc(F)c2C#N)CCO1 ZINC000347635392 410638634 /nfs/dbraw/zinc/63/86/34/410638634.db2.gz VTRBKLVZFMKCIO-LBPRGKRZSA-N 1 2 305.353 1.538 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)c2cccc(F)c2C#N)CCO1 ZINC000347635392 410638638 /nfs/dbraw/zinc/63/86/38/410638638.db2.gz VTRBKLVZFMKCIO-LBPRGKRZSA-N 1 2 305.353 1.538 20 30 DDEDLO CC1(C)[C@H](O)CC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000336846870 410638887 /nfs/dbraw/zinc/63/88/87/410638887.db2.gz BTSGVIIZJIRFJZ-CQSZACIVSA-N 1 2 308.403 1.177 20 30 DDEDLO CC1(C)[C@H](O)CC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000336846870 410638892 /nfs/dbraw/zinc/63/88/92/410638892.db2.gz BTSGVIIZJIRFJZ-CQSZACIVSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@H+](C)[C@H]1COC[C@@H]1O ZINC000352792422 410677828 /nfs/dbraw/zinc/67/78/28/410677828.db2.gz MDWGMXALWAOEBY-KBMXLJTQSA-N 1 2 317.389 1.013 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+](C)[C@H]1COC[C@@H]1O ZINC000352792422 410677836 /nfs/dbraw/zinc/67/78/36/410677836.db2.gz MDWGMXALWAOEBY-KBMXLJTQSA-N 1 2 317.389 1.013 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)N1CCOCC1)Cc1ccc(CO)o1 ZINC000352799818 410681529 /nfs/dbraw/zinc/68/15/29/410681529.db2.gz GMVDGLVJZYXOKC-UHFFFAOYSA-N 1 2 308.378 1.009 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)N1CCOCC1)Cc1ccc(CO)o1 ZINC000352799818 410681534 /nfs/dbraw/zinc/68/15/34/410681534.db2.gz GMVDGLVJZYXOKC-UHFFFAOYSA-N 1 2 308.378 1.009 20 30 DDEDLO C[N@H+](CC(=O)N(CCC#N)c1ccccc1)[C@@]1(CO)CCOC1 ZINC000359597033 410759690 /nfs/dbraw/zinc/75/96/90/410759690.db2.gz IGKCVAVJBTUGBR-QGZVFWFLSA-N 1 2 317.389 1.016 20 30 DDEDLO C[N@@H+](CC(=O)N(CCC#N)c1ccccc1)[C@@]1(CO)CCOC1 ZINC000359597033 410759696 /nfs/dbraw/zinc/75/96/96/410759696.db2.gz IGKCVAVJBTUGBR-QGZVFWFLSA-N 1 2 317.389 1.016 20 30 DDEDLO C[C@H]1C[N@H+](C)[C@@H](C)CN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000330599075 410814704 /nfs/dbraw/zinc/81/47/04/410814704.db2.gz UGKSOXGAPLKTFX-KBPBESRZSA-N 1 2 321.446 1.356 20 30 DDEDLO C[C@H]1C[N@@H+](C)[C@@H](C)CN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000330599075 410814709 /nfs/dbraw/zinc/81/47/09/410814709.db2.gz UGKSOXGAPLKTFX-KBPBESRZSA-N 1 2 321.446 1.356 20 30 DDEDLO COc1ccccc1[C@@H]1CN(C(=O)c2ccnc(C#N)c2)CC[NH2+]1 ZINC000337596232 410885044 /nfs/dbraw/zinc/88/50/44/410885044.db2.gz PAVNSOMEVGSEJM-INIZCTEOSA-N 1 2 322.368 1.749 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH2+][C@H](c3ccncc3)C2)cc1O ZINC000337595183 410885596 /nfs/dbraw/zinc/88/55/96/410885596.db2.gz AQWICIDQZGJQNA-HNNXBMFYSA-N 1 2 308.341 1.446 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NCC[NH+]2CCCC2)cc1C#N ZINC000353542602 411056737 /nfs/dbraw/zinc/05/67/37/411056737.db2.gz CAHNRRCBWBSRHC-UHFFFAOYSA-N 1 2 306.391 1.206 20 30 DDEDLO N#CC[C@@H](CC(=O)NC[C@H]1C[NH+]2CCN1CC2)c1ccccc1 ZINC000356522825 411071504 /nfs/dbraw/zinc/07/15/04/411071504.db2.gz SWQSPTPUSCMRHL-IRXDYDNUSA-N 1 2 312.417 1.190 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)[C@@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000356640220 411115149 /nfs/dbraw/zinc/11/51/49/411115149.db2.gz ZYWRZWMZARUATQ-QWHCGFSZSA-N 1 2 305.422 1.939 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)[C@@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000356640220 411115152 /nfs/dbraw/zinc/11/51/52/411115152.db2.gz ZYWRZWMZARUATQ-QWHCGFSZSA-N 1 2 305.422 1.939 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCCc2c(cnn2C)C1 ZINC000580046631 422877878 /nfs/dbraw/zinc/87/78/78/422877878.db2.gz KZIXPRFQWLWKEX-CYBMUJFWSA-N 1 2 303.410 1.176 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCCc2c(cnn2C)C1 ZINC000580046631 422877880 /nfs/dbraw/zinc/87/78/80/422877880.db2.gz KZIXPRFQWLWKEX-CYBMUJFWSA-N 1 2 303.410 1.176 20 30 DDEDLO CC(C)Oc1ccc(NC(=O)[C@@H]2C[N@H+](C)CCO2)cc1C#N ZINC000130740027 196105197 /nfs/dbraw/zinc/10/51/97/196105197.db2.gz QVZRUGZNIJGWEY-HNNXBMFYSA-N 1 2 303.362 1.615 20 30 DDEDLO CC(C)Oc1ccc(NC(=O)[C@@H]2C[N@@H+](C)CCO2)cc1C#N ZINC000130740027 196105199 /nfs/dbraw/zinc/10/51/99/196105199.db2.gz QVZRUGZNIJGWEY-HNNXBMFYSA-N 1 2 303.362 1.615 20 30 DDEDLO C=CCOCCNC(=O)NCCc1cn2c(cccc2C)[nH+]1 ZINC000645314260 422990488 /nfs/dbraw/zinc/99/04/88/422990488.db2.gz RCEUCOIOJJEKAE-UHFFFAOYSA-N 1 2 302.378 1.687 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C)C[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419182605 226065179 /nfs/dbraw/zinc/06/51/79/226065179.db2.gz CNOAEGWNALVXJC-GXTWGEPZSA-N 1 2 317.389 1.402 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C)C[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419182605 226065184 /nfs/dbraw/zinc/06/51/84/226065184.db2.gz CNOAEGWNALVXJC-GXTWGEPZSA-N 1 2 317.389 1.402 20 30 DDEDLO N#CC1(CNC(=O)N2CC[NH+](Cc3ccco3)CC2)CCC1 ZINC000419658461 226209176 /nfs/dbraw/zinc/20/91/76/226209176.db2.gz ZQFRUZVCPJQJQE-UHFFFAOYSA-N 1 2 302.378 1.801 20 30 DDEDLO CC1CC(C#N)(C(=O)Nc2ccn(CC[NH+]3CCOCC3)n2)C1 ZINC000531557685 417627598 /nfs/dbraw/zinc/62/75/98/417627598.db2.gz RSMJTFAYVNQLLP-UHFFFAOYSA-N 1 2 317.393 1.094 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000374205014 418504268 /nfs/dbraw/zinc/50/42/68/418504268.db2.gz MSYPIBFXYISXCZ-CABCVRRESA-N 1 2 318.373 1.719 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000374205014 418504272 /nfs/dbraw/zinc/50/42/72/418504272.db2.gz MSYPIBFXYISXCZ-CABCVRRESA-N 1 2 318.373 1.719 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000367217401 418573339 /nfs/dbraw/zinc/57/33/39/418573339.db2.gz MYJNCYKJAPHWHK-CABCVRRESA-N 1 2 311.451 1.250 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000189638521 222050425 /nfs/dbraw/zinc/05/04/25/222050425.db2.gz TWEGWMXENYWCQP-AWEZNQCLSA-N 1 2 306.410 1.324 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000189638521 222050428 /nfs/dbraw/zinc/05/04/28/222050428.db2.gz TWEGWMXENYWCQP-AWEZNQCLSA-N 1 2 306.410 1.324 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2cc(Cl)ccc2C1 ZINC000192244555 222123547 /nfs/dbraw/zinc/12/35/47/222123547.db2.gz FEYVDJKYJRJBDO-UHFFFAOYSA-N 1 2 307.781 1.710 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2cc(Cl)ccc2C1 ZINC000192244555 222123549 /nfs/dbraw/zinc/12/35/49/222123549.db2.gz FEYVDJKYJRJBDO-UHFFFAOYSA-N 1 2 307.781 1.710 20 30 DDEDLO C#CC[C@@H](Cc1ccccc1)NC(=O)[C@@H]1COCC[N@H+]1CC ZINC000367345256 418588018 /nfs/dbraw/zinc/58/80/18/418588018.db2.gz GEVCRXXKUONIAA-IRXDYDNUSA-N 1 2 300.402 1.458 20 30 DDEDLO C#CC[C@@H](Cc1ccccc1)NC(=O)[C@@H]1COCC[N@@H+]1CC ZINC000367345256 418588021 /nfs/dbraw/zinc/58/80/21/418588021.db2.gz GEVCRXXKUONIAA-IRXDYDNUSA-N 1 2 300.402 1.458 20 30 DDEDLO C#CCCCCC(=O)NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000367405601 418598072 /nfs/dbraw/zinc/59/80/72/418598072.db2.gz UMWBYSWGPPAOSN-KRWDZBQOSA-N 1 2 308.422 1.178 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)N2CC[C@@H](c3[nH+]ccn3C)C2)c1 ZINC000361169218 418598308 /nfs/dbraw/zinc/59/83/08/418598308.db2.gz MOTAVHVDIVGRKQ-CQSZACIVSA-N 1 2 322.368 1.356 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN1CC(=O)NCc1cccc(C#N)c1 ZINC000375028396 418591017 /nfs/dbraw/zinc/59/10/17/418591017.db2.gz CMYULPIGOKTXLD-INIZCTEOSA-N 1 2 323.400 1.745 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)cc1 ZINC000264323259 222331026 /nfs/dbraw/zinc/33/10/26/222331026.db2.gz VUZWQPGWXFLYCU-RWNNJQNRSA-N 1 2 319.405 1.506 20 30 DDEDLO C=CC[N@H+](Cc1ccc(OCC#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000264570999 222333129 /nfs/dbraw/zinc/33/31/29/222333129.db2.gz RUCAREONLDWAQC-HNNXBMFYSA-N 1 2 320.414 1.764 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(OCC#N)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000264570999 222333132 /nfs/dbraw/zinc/33/31/32/222333132.db2.gz RUCAREONLDWAQC-HNNXBMFYSA-N 1 2 320.414 1.764 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@@H]1C(=O)NCC[C@H]1C)CCC2 ZINC000328600438 418605784 /nfs/dbraw/zinc/60/57/84/418605784.db2.gz CYZLGKDRSSVHMY-WXHSDQCUSA-N 1 2 319.409 1.937 20 30 DDEDLO C=CCOCCCC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000266312990 222361267 /nfs/dbraw/zinc/36/12/67/222361267.db2.gz URNFQNMBJNVMMZ-UHFFFAOYSA-N 1 2 309.410 1.044 20 30 DDEDLO N#CCN1CCN(C(=O)c2cccc(Cn3cc[nH+]c3)c2)CC1 ZINC000266708212 222362102 /nfs/dbraw/zinc/36/21/02/222362102.db2.gz PWAMSRPXMFIOPY-UHFFFAOYSA-N 1 2 309.373 1.213 20 30 DDEDLO N#CCC[C@H](C#N)C[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000361467156 418657606 /nfs/dbraw/zinc/65/76/06/418657606.db2.gz XHEFPVKHTOFVOM-OAHLLOKOSA-N 1 2 308.389 1.909 20 30 DDEDLO N#CCC[C@H](C#N)C[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000361467156 418657609 /nfs/dbraw/zinc/65/76/09/418657609.db2.gz XHEFPVKHTOFVOM-OAHLLOKOSA-N 1 2 308.389 1.909 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+](CCO)[C@@H]2CCO[C@H]2C)c1C ZINC000361577565 418683506 /nfs/dbraw/zinc/68/35/06/418683506.db2.gz LLCCGCYVVFNPAA-DOTOQJQBSA-N 1 2 320.433 1.945 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+](CCO)[C@@H]2CCO[C@H]2C)c1C ZINC000361577565 418683509 /nfs/dbraw/zinc/68/35/09/418683509.db2.gz LLCCGCYVVFNPAA-DOTOQJQBSA-N 1 2 320.433 1.945 20 30 DDEDLO Cc1nnc(N2C[C@@H]([NH+]3CCOCC3)C[C@H]2C)c(C#N)c1C ZINC000376199203 418694379 /nfs/dbraw/zinc/69/43/79/418694379.db2.gz MDESYWQDXGQYOI-RISCZKNCSA-N 1 2 301.394 1.265 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCC(c2noc(C(F)F)n2)CC1 ZINC000370079708 418740770 /nfs/dbraw/zinc/74/07/70/418740770.db2.gz AYXWSRXOOLHSTJ-UHFFFAOYSA-N 1 2 300.309 1.489 20 30 DDEDLO CCc1nc([C@H]2CCC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000363375763 418764929 /nfs/dbraw/zinc/76/49/29/418764929.db2.gz CBGRAVPQPJYQSV-OLZOCXBDSA-N 1 2 319.409 1.572 20 30 DDEDLO CCc1nc([C@H]2CCC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000363375763 418764931 /nfs/dbraw/zinc/76/49/31/418764931.db2.gz CBGRAVPQPJYQSV-OLZOCXBDSA-N 1 2 319.409 1.572 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCC[C@H]1C(=O)N1CCCC1 ZINC000363443263 418766104 /nfs/dbraw/zinc/76/61/04/418766104.db2.gz ZEUNBFXWVKLMLH-HNNXBMFYSA-N 1 2 320.437 1.225 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCC[C@H]1C(=O)N1CCCC1 ZINC000363443263 418766105 /nfs/dbraw/zinc/76/61/05/418766105.db2.gz ZEUNBFXWVKLMLH-HNNXBMFYSA-N 1 2 320.437 1.225 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)C(=O)Nc1cccc(C#N)c1C)CC2 ZINC000363666204 418769045 /nfs/dbraw/zinc/76/90/45/418769045.db2.gz RTRZOPMCTYIUKC-UHFFFAOYSA-N 1 2 323.356 1.353 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1ccc(F)c(C#N)c1)CC2 ZINC000363709974 418769616 /nfs/dbraw/zinc/76/96/16/418769616.db2.gz KNXXUBKKFLEZDR-UHFFFAOYSA-N 1 2 320.349 1.407 20 30 DDEDLO C=CCN(C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1)C1CC1 ZINC000378398953 418722429 /nfs/dbraw/zinc/72/24/29/418722429.db2.gz QXNWZOKTPPAQHQ-UHFFFAOYSA-N 1 2 319.409 1.398 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1F ZINC000368922629 418727155 /nfs/dbraw/zinc/72/71/55/418727155.db2.gz ZOSLRWXIWSTSKN-BXUZGUMPSA-N 1 2 300.337 1.970 20 30 DDEDLO C=C(Br)C[NH+]1CCC(N2CCN(C)CC2=O)CC1 ZINC000371638582 418807390 /nfs/dbraw/zinc/80/73/90/418807390.db2.gz SKUJUMNGBXUCDA-UHFFFAOYSA-N 1 2 316.243 1.133 20 30 DDEDLO N#C[C@H]1COCCN1C(=O)[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000365643124 418888065 /nfs/dbraw/zinc/88/80/65/418888065.db2.gz YXQWPRGDGXSJRB-KGLIPLIRSA-N 1 2 300.362 1.049 20 30 DDEDLO CC(C)OC[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)CCO1 ZINC000372124312 418837196 /nfs/dbraw/zinc/83/71/96/418837196.db2.gz LURRJOCBEMYHPK-INIZCTEOSA-N 1 2 314.389 1.832 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H]2OCC[C@H]2C1 ZINC000365844709 418915253 /nfs/dbraw/zinc/91/52/53/418915253.db2.gz HNQGPXCHGTVKSL-ARFHVFGLSA-N 1 2 300.358 1.883 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@H]2OCC[C@H]2C1 ZINC000365844709 418915254 /nfs/dbraw/zinc/91/52/54/418915254.db2.gz HNQGPXCHGTVKSL-ARFHVFGLSA-N 1 2 300.358 1.883 20 30 DDEDLO N#CC1(F)CCN(C(=O)NC[C@@H]2CC[NH2+]CC2(F)F)CC1 ZINC000424471921 228279226 /nfs/dbraw/zinc/27/92/26/228279226.db2.gz UBEZSTZOYXZDTG-JTQLQIEISA-N 1 2 304.316 1.268 20 30 DDEDLO CC(C)CN1C[C@@H]2C[N@H+](Cc3cc(C#N)n(C)c3)CCN2C1=O ZINC000425970104 419372264 /nfs/dbraw/zinc/37/22/64/419372264.db2.gz DCFTUROQCLZBER-INIZCTEOSA-N 1 2 315.421 1.475 20 30 DDEDLO CC(C)CN1C[C@@H]2C[N@@H+](Cc3cc(C#N)n(C)c3)CCN2C1=O ZINC000425970104 419372271 /nfs/dbraw/zinc/37/22/71/419372271.db2.gz DCFTUROQCLZBER-INIZCTEOSA-N 1 2 315.421 1.475 20 30 DDEDLO C=CC[N@@H+](CCC#N)CCC(=O)Nc1ccc(C(N)=O)cc1 ZINC000428847731 419940272 /nfs/dbraw/zinc/94/02/72/419940272.db2.gz VXUPUIKYEBKAIQ-UHFFFAOYSA-N 1 2 300.362 1.516 20 30 DDEDLO C=CC[N@H+](CCC#N)CCC(=O)Nc1ccc(C(N)=O)cc1 ZINC000428847731 419940276 /nfs/dbraw/zinc/94/02/76/419940276.db2.gz VXUPUIKYEBKAIQ-UHFFFAOYSA-N 1 2 300.362 1.516 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCc3nn[nH]c3C2)c(OC(F)F)c1 ZINC000429287022 419997689 /nfs/dbraw/zinc/99/76/89/419997689.db2.gz SCRQWAJEACKCNE-UHFFFAOYSA-N 1 2 305.288 1.836 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCc3nn[nH]c3C2)c(OC(F)F)c1 ZINC000429287022 419997694 /nfs/dbraw/zinc/99/76/94/419997694.db2.gz SCRQWAJEACKCNE-UHFFFAOYSA-N 1 2 305.288 1.836 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CC[N@H+](Cc2cnc3ccc(C#N)cn23)C1 ZINC000430212470 420089629 /nfs/dbraw/zinc/08/96/29/420089629.db2.gz NJPXDCZNHGIIFY-CYBMUJFWSA-N 1 2 318.402 1.072 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CC[N@@H+](Cc2cnc3ccc(C#N)cn23)C1 ZINC000430212470 420089631 /nfs/dbraw/zinc/08/96/31/420089631.db2.gz NJPXDCZNHGIIFY-CYBMUJFWSA-N 1 2 318.402 1.072 20 30 DDEDLO CS(=O)(=O)C[C@@H]1CCN(Cc2c[nH+]c3ccc(C#N)cn23)C1 ZINC000430212470 420089635 /nfs/dbraw/zinc/08/96/35/420089635.db2.gz NJPXDCZNHGIIFY-CYBMUJFWSA-N 1 2 318.402 1.072 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1nc2cc(OC)c(OC)cc2c(N)[nH+]1 ZINC000450752920 420520222 /nfs/dbraw/zinc/52/02/22/420520222.db2.gz DUQJZSTVZQKATG-PWSUYJOCSA-N 1 2 316.361 1.407 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N(CC1CC1)C[C@H]1C[N@H+](C)CCO1 ZINC000450909839 420557102 /nfs/dbraw/zinc/55/71/02/420557102.db2.gz OYIIPNOOQUVZQX-OAHLLOKOSA-N 1 2 316.405 1.378 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N(CC1CC1)C[C@H]1C[N@@H+](C)CCO1 ZINC000450909839 420557106 /nfs/dbraw/zinc/55/71/06/420557106.db2.gz OYIIPNOOQUVZQX-OAHLLOKOSA-N 1 2 316.405 1.378 20 30 DDEDLO COCCN(Cc1ccc(C#N)cc1)C(=O)C=Cc1c[nH]c[nH+]1 ZINC000492853712 420686852 /nfs/dbraw/zinc/68/68/52/420686852.db2.gz CHAHAOBLKXANQR-SREVYHEPSA-N 1 2 310.357 1.970 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2ccc(C#N)c(C)n2)CC1 ZINC000487902831 421055076 /nfs/dbraw/zinc/05/50/76/421055076.db2.gz APVLGHYNEJWEIW-UHFFFAOYSA-N 1 2 315.421 1.252 20 30 DDEDLO CC(C)(C)OC(=O)NC1CC(N=NC2=[NH+][C@H]3CCCC[C@@H]3N2)C1 ZINC000495656293 421041690 /nfs/dbraw/zinc/04/16/90/421041690.db2.gz YGDGEJLOWNLNOQ-OLPBLLBXSA-N 1 2 321.425 1.890 20 30 DDEDLO CCC[C@H](C#N)NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000524938215 421275865 /nfs/dbraw/zinc/27/58/65/421275865.db2.gz SWVBNDBCZFUGEN-CVEARBPZSA-N 1 2 301.390 1.696 20 30 DDEDLO CCC[C@H](C#N)NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000524938215 421275868 /nfs/dbraw/zinc/27/58/68/421275868.db2.gz SWVBNDBCZFUGEN-CVEARBPZSA-N 1 2 301.390 1.696 20 30 DDEDLO CS(=O)(=O)Nc1cccc(C[NH2+]Cc2nc(C#N)cs2)c1 ZINC000352831040 269803981 /nfs/dbraw/zinc/80/39/81/269803981.db2.gz BROWJHGEDOHYPF-UHFFFAOYSA-N 1 2 322.415 1.676 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@H]2C[C@@H](C)n3cc[nH+]c32)s1 ZINC000560777362 421293668 /nfs/dbraw/zinc/29/36/68/421293668.db2.gz ZNVWUVMYZCPALJ-ZJUUUORDSA-N 1 2 303.391 2.373 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3c(F)cccc3C#N)C[C@@H]21 ZINC000552219415 421580303 /nfs/dbraw/zinc/58/03/03/421580303.db2.gz SGKPCVQZXGEGOZ-HOTGVXAUSA-N 1 2 317.364 1.171 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3c(F)cccc3C#N)C[C@@H]21 ZINC000552219415 421580306 /nfs/dbraw/zinc/58/03/06/421580306.db2.gz SGKPCVQZXGEGOZ-HOTGVXAUSA-N 1 2 317.364 1.171 20 30 DDEDLO COCc1ccc(NC(=O)NCC[NH+]2CCOCC2)cc1C#N ZINC000529216095 421529937 /nfs/dbraw/zinc/52/99/37/421529937.db2.gz GKMLUCQSRZDJEV-UHFFFAOYSA-N 1 2 318.377 1.158 20 30 DDEDLO C[C@@H](OCc1ccc(F)cc1)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000517376017 421611137 /nfs/dbraw/zinc/61/11/37/421611137.db2.gz FHLKIAHUEMWDFG-MLGOLLRUSA-N 1 2 307.369 1.691 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000567467036 421612416 /nfs/dbraw/zinc/61/24/16/421612416.db2.gz NEEFFUKRLOISNG-CYBMUJFWSA-N 1 2 318.402 1.457 20 30 DDEDLO CCC[C@H](C#N)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000569783484 421627481 /nfs/dbraw/zinc/62/74/81/421627481.db2.gz CKKYCLDANBITGN-OAHLLOKOSA-N 1 2 301.390 1.941 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)CCO1 ZINC000531270115 421628574 /nfs/dbraw/zinc/62/85/74/421628574.db2.gz UQMITGWEAMSZOP-JTQLQIEISA-N 1 2 309.316 1.287 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2c(F)cc(C#N)cc2F)CCO1 ZINC000531270115 421628576 /nfs/dbraw/zinc/62/85/76/421628576.db2.gz UQMITGWEAMSZOP-JTQLQIEISA-N 1 2 309.316 1.287 20 30 DDEDLO CC#CCNC(=O)NC[C@@H](c1cccs1)[NH+]1CCN(C)CC1 ZINC000570016834 421628998 /nfs/dbraw/zinc/62/89/98/421628998.db2.gz XCTSXGFIRRSIIK-AWEZNQCLSA-N 1 2 320.462 1.359 20 30 DDEDLO COc1cccc(Cl)c1CC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000566013719 421601525 /nfs/dbraw/zinc/60/15/25/421601525.db2.gz ZIWQGMWOPLOXQU-HNNXBMFYSA-N 1 2 309.797 1.851 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+](C)CCN1CCCC1=O ZINC000556686477 421721344 /nfs/dbraw/zinc/72/13/44/421721344.db2.gz OAPUXAAWGQYBEX-ZDUSSCGKSA-N 1 2 314.389 1.439 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+](C)CCN1CCCC1=O ZINC000556686477 421721345 /nfs/dbraw/zinc/72/13/45/421721345.db2.gz OAPUXAAWGQYBEX-ZDUSSCGKSA-N 1 2 314.389 1.439 20 30 DDEDLO C=CCC1(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CCOCC1 ZINC000571040549 421684195 /nfs/dbraw/zinc/68/41/95/421684195.db2.gz IIYFWJHKAMNSMO-GASCZTMLSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCC1(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CCOCC1 ZINC000571040549 421684197 /nfs/dbraw/zinc/68/41/97/421684197.db2.gz IIYFWJHKAMNSMO-GASCZTMLSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CCCC(C)(C)CNC(=O)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000636884524 421882456 /nfs/dbraw/zinc/88/24/56/421882456.db2.gz ZMHSMNRZNIDSHQ-ZDUSSCGKSA-N 1 2 318.421 1.423 20 30 DDEDLO CC(C)SCCn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000572800520 421861305 /nfs/dbraw/zinc/86/13/05/421861305.db2.gz XOOCVTBFQBLBDK-UHFFFAOYSA-N 1 2 321.446 1.694 20 30 DDEDLO C=CCCC(C)(C)CNC(=O)C(=O)NCCc1cn(C)c[nH+]1 ZINC000636953616 421917685 /nfs/dbraw/zinc/91/76/85/421917685.db2.gz MZSBPSLNGTZTMU-UHFFFAOYSA-N 1 2 306.410 1.187 20 30 DDEDLO C=CCC1(NC(=O)[C@@H]2CCn3cc(C)[nH+]c3C2)CCOCC1 ZINC000628079937 422027737 /nfs/dbraw/zinc/02/77/37/422027737.db2.gz IAJJUZAOKWFNCI-CQSZACIVSA-N 1 2 303.406 1.995 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)COC/C=C/c1ccccc1 ZINC000573729735 422005165 /nfs/dbraw/zinc/00/51/65/422005165.db2.gz LLMIOWWWGJYGDH-JEJOPICUSA-N 1 2 301.390 1.676 20 30 DDEDLO C=CCn1cc(CNC(=O)N2CCC(n3cc[nH+]c3)CC2)nn1 ZINC000574752195 422172595 /nfs/dbraw/zinc/17/25/95/422172595.db2.gz MAUCHAXBJCXHEH-UHFFFAOYSA-N 1 2 315.381 1.207 20 30 DDEDLO Cn1ncc2c1nc(N)[nH+]c2N[C@H]1CCc2cc(C#N)ccc21 ZINC000594576233 422349996 /nfs/dbraw/zinc/34/99/96/422349996.db2.gz YARQVXQYKUNLMA-ZDUSSCGKSA-N 1 2 305.345 1.917 20 30 DDEDLO CC[C@](C)(C#N)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000576526186 422374736 /nfs/dbraw/zinc/37/47/36/422374736.db2.gz QRGPQDHLISYULY-XJKSGUPXSA-N 1 2 319.409 1.292 20 30 DDEDLO CC[C@](C)(C#N)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000576526186 422374738 /nfs/dbraw/zinc/37/47/38/422374738.db2.gz QRGPQDHLISYULY-XJKSGUPXSA-N 1 2 319.409 1.292 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000635988880 422543282 /nfs/dbraw/zinc/54/32/82/422543282.db2.gz KUJQBDHVHFBEKI-VWYCJHECSA-N 1 2 303.284 1.836 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2cccc(F)c2)nn1 ZINC000640784738 423183222 /nfs/dbraw/zinc/18/32/22/423183222.db2.gz BHMFCALLZJUHCX-UHFFFAOYSA-N 1 2 301.325 1.169 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000649181534 423663158 /nfs/dbraw/zinc/66/31/58/423663158.db2.gz CMIOMBFTHIRXRO-MRXNPFEDSA-N 1 2 311.385 1.364 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000649186751 423665739 /nfs/dbraw/zinc/66/57/39/423665739.db2.gz CTOHGAGYKBRHIK-MRXNPFEDSA-N 1 2 316.401 1.023 20 30 DDEDLO Clc1ccc(C#CC[NH+]2CCN(c3nc[nH]n3)CC2)cc1 ZINC000639730195 423696120 /nfs/dbraw/zinc/69/61/20/423696120.db2.gz GSBIESVPQMLDPZ-UHFFFAOYSA-N 1 2 301.781 1.632 20 30 DDEDLO C=C(C)[C@H](CO)[NH+]1CCN(C(=O)c2c(F)cccc2F)CC1 ZINC000649281765 423717558 /nfs/dbraw/zinc/71/75/58/423717558.db2.gz UCJPMFBVKZIIHQ-AWEZNQCLSA-N 1 2 310.344 1.660 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[NH+]2CCC3(CCCC3=O)CC2)cc1 ZINC000661961170 424301727 /nfs/dbraw/zinc/30/17/27/424301727.db2.gz XGLZPEURUXHIGW-MRXNPFEDSA-N 1 2 311.385 1.920 20 30 DDEDLO C=CCN(C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1)[C@H](C)COC ZINC000664008362 424332280 /nfs/dbraw/zinc/33/22/80/424332280.db2.gz GYFVKXZMUNNUHQ-RBSFLKMASA-N 1 2 313.442 1.328 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(c2cc(C)nc(C#N)c2)CC1 ZINC000341746061 266359279 /nfs/dbraw/zinc/35/92/79/266359279.db2.gz VVFFZNSGGZXAEG-CYBMUJFWSA-N 1 2 315.421 1.298 20 30 DDEDLO CC[C@@H](NC(=O)COc1ccc(C#N)cc1OC)c1[nH]cc[nH+]1 ZINC000347944013 266370701 /nfs/dbraw/zinc/37/07/01/266370701.db2.gz CVICCQVRSNUYDF-GFCCVEGCSA-N 1 2 314.345 1.936 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000378197294 267205423 /nfs/dbraw/zinc/20/54/23/267205423.db2.gz XIUPHFGIYLTGHS-AAEUAGOBSA-N 1 2 310.357 1.722 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000378197294 267205426 /nfs/dbraw/zinc/20/54/26/267205426.db2.gz XIUPHFGIYLTGHS-AAEUAGOBSA-N 1 2 310.357 1.722 20 30 DDEDLO C[C@H]1CC[C@H](C[N@@H+]2CCN(Cc3ccc(C#N)cc3)C(=O)C2)O1 ZINC000376412226 267221991 /nfs/dbraw/zinc/22/19/91/267221991.db2.gz RNYLPKAAODIRSB-WMLDXEAASA-N 1 2 313.401 1.770 20 30 DDEDLO C[C@H]1CC[C@H](C[N@H+]2CCN(Cc3ccc(C#N)cc3)C(=O)C2)O1 ZINC000376412226 267221993 /nfs/dbraw/zinc/22/19/93/267221993.db2.gz RNYLPKAAODIRSB-WMLDXEAASA-N 1 2 313.401 1.770 20 30 DDEDLO C[C@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)CC[S@](=O)C1 ZINC000369352176 267233900 /nfs/dbraw/zinc/23/39/00/267233900.db2.gz IAUNYUPKTMLANP-QKVFXAPYSA-N 1 2 302.403 1.406 20 30 DDEDLO COC(=O)c1ccc(C[NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)cc1 ZINC000522371898 267408952 /nfs/dbraw/zinc/40/89/52/267408952.db2.gz GZYQBOUEBDJSSK-INIZCTEOSA-N 1 2 323.352 1.661 20 30 DDEDLO N#Cc1ccc(C2=CC[N@H+](CC(=O)NC(=O)NC3CC3)CC2)cc1 ZINC000347952783 268053459 /nfs/dbraw/zinc/05/34/59/268053459.db2.gz DNQUETWOGSBOIQ-UHFFFAOYSA-N 1 2 324.384 1.636 20 30 DDEDLO N#Cc1ccc(C2=CC[N@@H+](CC(=O)NC(=O)NC3CC3)CC2)cc1 ZINC000347952783 268053464 /nfs/dbraw/zinc/05/34/64/268053464.db2.gz DNQUETWOGSBOIQ-UHFFFAOYSA-N 1 2 324.384 1.636 20 30 DDEDLO N#Cc1ccc(C[N@@H+](CCC(F)(F)F)C[C@@H](O)CO)s1 ZINC000354025617 268063191 /nfs/dbraw/zinc/06/31/91/268063191.db2.gz GTZLFDQUJLXDRP-SECBINFHSA-N 1 2 308.325 1.727 20 30 DDEDLO N#Cc1ccc(C[N@H+](CCC(F)(F)F)C[C@@H](O)CO)s1 ZINC000354025617 268063192 /nfs/dbraw/zinc/06/31/92/268063192.db2.gz GTZLFDQUJLXDRP-SECBINFHSA-N 1 2 308.325 1.727 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+]([C@@H](C)c3cnccn3)CC2)c1C#N ZINC000530519861 268091003 /nfs/dbraw/zinc/09/10/03/268091003.db2.gz VNEBGPGWANHAEX-ZDUSSCGKSA-N 1 2 311.393 1.273 20 30 DDEDLO N#Cc1ccc(Cl)c(C(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)c1 ZINC000375753631 268095280 /nfs/dbraw/zinc/09/52/80/268095280.db2.gz AUMZMDFDXFJKQQ-ZDUSSCGKSA-N 1 2 319.792 1.805 20 30 DDEDLO N#Cc1ccc(Cl)c(C(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)c1 ZINC000375753631 268095282 /nfs/dbraw/zinc/09/52/82/268095282.db2.gz AUMZMDFDXFJKQQ-ZDUSSCGKSA-N 1 2 319.792 1.805 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCC[C@]23CCOC3)c1 ZINC000375278217 268275846 /nfs/dbraw/zinc/27/58/46/268275846.db2.gz KJFQUHVHMUQNNE-MRXNPFEDSA-N 1 2 320.414 1.587 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCC[C@]23CCOC3)c1 ZINC000375278217 268275847 /nfs/dbraw/zinc/27/58/47/268275847.db2.gz KJFQUHVHMUQNNE-MRXNPFEDSA-N 1 2 320.414 1.587 20 30 DDEDLO COC(=O)C[C@@H](C)[NH+]1CCN(C(=O)c2cscc2C#N)CC1 ZINC000341732673 271191395 /nfs/dbraw/zinc/19/13/95/271191395.db2.gz BZTCRMTZPGEVHI-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO COCCC1(C)C[NH+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000345475297 272260356 /nfs/dbraw/zinc/26/03/56/272260356.db2.gz FDGGPFGUUYXADD-UHFFFAOYSA-N 1 2 322.430 1.690 20 30 DDEDLO CC(C)(C)N1C[C@H](NC(=O)C(C)(C)[NH+]2CCOCC2)CC1=O ZINC000328688775 277158956 /nfs/dbraw/zinc/15/89/56/277158956.db2.gz QCNHMRVAOBKQNL-GFCCVEGCSA-N 1 2 311.426 1.453 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(Cc2nc(C#N)cs2)CC1 ZINC000575961599 304743357 /nfs/dbraw/zinc/74/33/57/304743357.db2.gz AJZWMWGXENCBJE-UHFFFAOYSA-N 1 2 302.407 1.066 20 30 DDEDLO CCn1cc[nH+]c1CNS(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000582059959 333193861 /nfs/dbraw/zinc/19/38/61/333193861.db2.gz NHFCECHBAXEZKP-UHFFFAOYSA-N 1 2 318.402 1.589 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000563417036 333602528 /nfs/dbraw/zinc/60/25/28/333602528.db2.gz NJEVLIZGOKAEKN-AWEZNQCLSA-N 1 2 318.421 1.599 20 30 DDEDLO C=CC[C@H](CO)CNc1[nH+]cnc2c1cnn2-c1ncccn1 ZINC000340740902 334384202 /nfs/dbraw/zinc/38/42/02/334384202.db2.gz INPFRSSMVLFMDW-NSHDSACASA-N 1 2 311.349 1.202 20 30 DDEDLO Cc1[nH+]c2n(c1CN1CCN(C(=O)CC#N)CC1)CCCC2 ZINC000375375721 337009640 /nfs/dbraw/zinc/00/96/40/337009640.db2.gz GWIJTTURZSVCHG-UHFFFAOYSA-N 1 2 301.394 1.086 20 30 DDEDLO CCC[C@@]1(C)C(=O)NCC[N@H+]1Cc1cnc2c(C#N)cnn2c1 ZINC000583322657 337315743 /nfs/dbraw/zinc/31/57/43/337315743.db2.gz RVAUQYAEDLUGMK-INIZCTEOSA-N 1 2 312.377 1.092 20 30 DDEDLO CCC[C@@]1(C)C(=O)NCC[N@@H+]1Cc1cnc2c(C#N)cnn2c1 ZINC000583322657 337315744 /nfs/dbraw/zinc/31/57/44/337315744.db2.gz RVAUQYAEDLUGMK-INIZCTEOSA-N 1 2 312.377 1.092 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1coc(Br)c1 ZINC000515735254 337995950 /nfs/dbraw/zinc/99/59/50/337995950.db2.gz FCXISVBKPDKJNZ-NSHDSACASA-N 1 2 300.156 1.616 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC[C@](O)(C[NH+]2CC(C)(C#N)C2)CC1 ZINC000496876050 340015127 /nfs/dbraw/zinc/01/51/27/340015127.db2.gz PGZYGLFSLKBDNQ-QGZVFWFLSA-N 1 2 323.437 1.984 20 30 DDEDLO C[C@@H]1C(NNc2cccn[nH+]2)=CC(=O)N1C(=O)OC(C)(C)C ZINC000497074237 340019670 /nfs/dbraw/zinc/01/96/70/340019670.db2.gz RUNQUXNYVBEFQE-SECBINFHSA-N 1 2 305.338 1.800 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)Nc2cccnc2N2CCCC2)CC1 ZINC000195216760 340576252 /nfs/dbraw/zinc/57/62/52/340576252.db2.gz UNIPIJHEATZTLP-UHFFFAOYSA-N 1 2 313.405 1.465 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(F)cc(Cl)c2)CC1 ZINC000128440493 340885906 /nfs/dbraw/zinc/88/59/06/340885906.db2.gz HUVBGJLKGQZZDP-UHFFFAOYSA-N 1 2 309.772 1.668 20 30 DDEDLO CC#CCNC(=O)c1cccc(NC(=O)CCn2cc[nH+]c2)c1 ZINC000154415608 341589132 /nfs/dbraw/zinc/58/91/32/341589132.db2.gz LGBXPWZZMVXFCS-UHFFFAOYSA-N 1 2 310.357 1.665 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)Cc2cccc(F)c2)nn1 ZINC000656464733 484150977 /nfs/dbraw/zinc/15/09/77/484150977.db2.gz VGNXRGNWOJPBRM-INIZCTEOSA-N 1 2 302.353 1.134 20 30 DDEDLO COC(=O)[C@@H]1[C@H]2CCC[C@@H]2C[N@@H+]1Cc1cnc2ccc(C#N)cn12 ZINC000367436825 484536450 /nfs/dbraw/zinc/53/64/50/484536450.db2.gz HHBZYWVNNXGQJD-KMFMINBZSA-N 1 2 324.384 1.979 20 30 DDEDLO COC(=O)[C@@H]1[C@H]2CCC[C@@H]2C[N@H+]1Cc1cnc2ccc(C#N)cn12 ZINC000367436825 484536457 /nfs/dbraw/zinc/53/64/57/484536457.db2.gz HHBZYWVNNXGQJD-KMFMINBZSA-N 1 2 324.384 1.979 20 30 DDEDLO C=CC[C@@H]1CCCC[C@@H]1NC(=O)C(=O)NCc1[nH+]ccn1C ZINC000668811317 485330310 /nfs/dbraw/zinc/33/03/10/485330310.db2.gz RCKIQAMLZODFGF-OLZOCXBDSA-N 1 2 304.394 1.287 20 30 DDEDLO C=CCCCCNC(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC000673283067 485403967 /nfs/dbraw/zinc/40/39/67/485403967.db2.gz HRWRLLUXGJQVDB-MRXNPFEDSA-N 1 2 315.417 1.762 20 30 DDEDLO C=CCCCCNC(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC000673283067 485403969 /nfs/dbraw/zinc/40/39/69/485403969.db2.gz HRWRLLUXGJQVDB-MRXNPFEDSA-N 1 2 315.417 1.762 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000072938960 490580292 /nfs/dbraw/zinc/58/02/92/490580292.db2.gz UFISEPXEBPIZSZ-UHFFFAOYSA-N 1 2 316.361 1.745 20 30 DDEDLO Cc1cc(N2CCN(C(=O)c3cccc(O)c3)CC2)c(C#N)c[nH+]1 ZINC000425234710 533898643 /nfs/dbraw/zinc/89/86/43/533898643.db2.gz ZILICGUXPPGIKI-UHFFFAOYSA-N 1 2 322.368 1.930 20 30 DDEDLO O=C(Nc1cnn(CC[NH+]2CCOCC2)c1)N1C[C@H]2CCC[C@@H]21 ZINC000329948524 534330792 /nfs/dbraw/zinc/33/07/92/534330792.db2.gz ZDIIELLWHLDRMU-HIFRSBDPSA-N 1 2 319.409 1.245 20 30 DDEDLO O=C(C[N@@H+]1CC[C@@H](Oc2ccccn2)C1)NC(=O)NC1CC1 ZINC000330090424 534336593 /nfs/dbraw/zinc/33/65/93/534336593.db2.gz PIJGRIJPYJWHDX-GFCCVEGCSA-N 1 2 304.350 1.568 20 30 DDEDLO O=C(C[N@H+]1CC[C@@H](Oc2ccccn2)C1)NC(=O)NC1CC1 ZINC000330090424 534336601 /nfs/dbraw/zinc/33/66/01/534336601.db2.gz PIJGRIJPYJWHDX-GFCCVEGCSA-N 1 2 304.350 1.568 20 30 DDEDLO COC(=O)[C@H]1CCC[N@H+](Cc2cccc(C#N)c2)[C@@H]1C(=O)OC ZINC000156370377 523950869 /nfs/dbraw/zinc/95/08/69/523950869.db2.gz ZAYXAGORNUYPTE-GJZGRUSLSA-N 1 2 316.357 1.485 20 30 DDEDLO COC(=O)[C@H]1CCC[N@@H+](Cc2cccc(C#N)c2)[C@@H]1C(=O)OC ZINC000156370377 523950874 /nfs/dbraw/zinc/95/08/74/523950874.db2.gz ZAYXAGORNUYPTE-GJZGRUSLSA-N 1 2 316.357 1.485 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCN(c2ccccn2)C1)N1CCn2c[nH+]cc2C1 ZINC000329681854 534761634 /nfs/dbraw/zinc/76/16/34/534761634.db2.gz XBQXZLLUCHTHSO-CYBMUJFWSA-N 1 2 312.377 1.287 20 30 DDEDLO N#Cc1cccc(NCC(=O)NC[C@@H]2CCCn3cc[nH+]c32)c1 ZINC000438190573 534810134 /nfs/dbraw/zinc/81/01/34/534810134.db2.gz OIWGZEGNQGHOJV-AWEZNQCLSA-N 1 2 309.373 1.860 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccccc2Cl)C1=O ZINC000337126046 526468882 /nfs/dbraw/zinc/46/88/82/526468882.db2.gz FMPGCQPUKQCZRH-CQSZACIVSA-N 1 2 321.808 1.997 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccccc2Cl)C1=O ZINC000337126046 526468886 /nfs/dbraw/zinc/46/88/86/526468886.db2.gz FMPGCQPUKQCZRH-CQSZACIVSA-N 1 2 321.808 1.997 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)N(C)C2CCCCC2)C1=O ZINC000337218297 526472938 /nfs/dbraw/zinc/47/29/38/526472938.db2.gz IWLDNTVUMWFIGE-GOEBONIOSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)N(C)C2CCCCC2)C1=O ZINC000337218297 526472943 /nfs/dbraw/zinc/47/29/43/526472943.db2.gz IWLDNTVUMWFIGE-GOEBONIOSA-N 1 2 321.465 1.885 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@@H](C)c2cn(-c3ccccc3)nn2)C1=O ZINC000337181552 526513523 /nfs/dbraw/zinc/51/35/23/526513523.db2.gz AYADGKTVIKBJRW-ZFWWWQNUSA-N 1 2 311.389 1.705 20 30 DDEDLO C=CCNC(=O)CN1CC[N@@H+](C)[C@@H](c2ccccc2Cl)C1 ZINC000377488284 526537187 /nfs/dbraw/zinc/53/71/87/526537187.db2.gz FMILJWFPPITSAZ-OAHLLOKOSA-N 1 2 307.825 1.931 20 30 DDEDLO C=CCNC(=O)CN1CC[N@H+](C)[C@@H](c2ccccc2Cl)C1 ZINC000377488284 526537192 /nfs/dbraw/zinc/53/71/92/526537192.db2.gz FMILJWFPPITSAZ-OAHLLOKOSA-N 1 2 307.825 1.931 20 30 DDEDLO C#CC[N@H+](CC#CC)CC(=O)NC(=O)Nc1ccc(OC)cc1 ZINC000490866799 526863466 /nfs/dbraw/zinc/86/34/66/526863466.db2.gz VDMQFLNTHWCWRK-UHFFFAOYSA-N 1 2 313.357 1.302 20 30 DDEDLO C#CC[N@@H+](CC#CC)CC(=O)NC(=O)Nc1ccc(OC)cc1 ZINC000490866799 526863469 /nfs/dbraw/zinc/86/34/69/526863469.db2.gz VDMQFLNTHWCWRK-UHFFFAOYSA-N 1 2 313.357 1.302 20 30 DDEDLO C#CC[N@@H+](CCN1CCCS1(=O)=O)Cc1ccc(F)cc1 ZINC000490850272 526901336 /nfs/dbraw/zinc/90/13/36/526901336.db2.gz AWXGOFMIDMYTIF-UHFFFAOYSA-N 1 2 310.394 1.296 20 30 DDEDLO C#CC[N@H+](CCN1CCCS1(=O)=O)Cc1ccc(F)cc1 ZINC000490850272 526901343 /nfs/dbraw/zinc/90/13/43/526901343.db2.gz AWXGOFMIDMYTIF-UHFFFAOYSA-N 1 2 310.394 1.296 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(C)c2ccc(F)c(F)c2)CC1 ZINC000490740429 526948943 /nfs/dbraw/zinc/94/89/43/526948943.db2.gz LLJVUCMWZROSFT-UHFFFAOYSA-N 1 2 307.344 1.178 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccc3cc[nH]c(=O)c3c2)CC1 ZINC000491418237 526951392 /nfs/dbraw/zinc/95/13/92/526951392.db2.gz QAGHLUBKVZVLMB-UHFFFAOYSA-N 1 2 324.384 1.130 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cccc(OC(C)C)c2)CC1 ZINC000490874252 526952790 /nfs/dbraw/zinc/95/27/90/526952790.db2.gz LUBZRHXQVBHHAP-UHFFFAOYSA-N 1 2 316.401 1.630 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cnc3c(C)cccc3c2)CC1 ZINC000491395276 526953044 /nfs/dbraw/zinc/95/30/44/526953044.db2.gz RQGKGSSLBUVVPT-UHFFFAOYSA-N 1 2 323.396 1.699 20 30 DDEDLO C#CCNC(=O)C1CCN(C(=O)Nc2c(C)cc[nH+]c2C)CC1 ZINC000491232011 526963831 /nfs/dbraw/zinc/96/38/31/526963831.db2.gz XFUHJIJEZBKUAG-UHFFFAOYSA-N 1 2 314.389 1.692 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[NH2+]CC2(F)F)CCOCC1 ZINC000424174130 527054495 /nfs/dbraw/zinc/05/44/95/527054495.db2.gz FONJOAQOKNESAE-LBPRGKRZSA-N 1 2 302.365 1.720 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000341856465 527064040 /nfs/dbraw/zinc/06/40/40/527064040.db2.gz WPNGUHWGKNAMEF-ZIAGYGMSSA-N 1 2 306.410 1.553 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)CCO[C@H]2CCCCO2)n1 ZINC000491752799 527189912 /nfs/dbraw/zinc/18/99/12/527189912.db2.gz MTTFQEKITUJULN-KRWDZBQOSA-N 1 2 321.421 1.508 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)CCO[C@H]2CCCCO2)n1 ZINC000491752799 527189920 /nfs/dbraw/zinc/18/99/20/527189920.db2.gz MTTFQEKITUJULN-KRWDZBQOSA-N 1 2 321.421 1.508 20 30 DDEDLO C=CCCCNC(=O)C(=O)N1CCC[C@@H](Cc2[nH+]ccn2C)C1 ZINC000342516018 527203246 /nfs/dbraw/zinc/20/32/46/527203246.db2.gz UAOBSTXODDWJFS-AWEZNQCLSA-N 1 2 318.421 1.284 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)C(=O)N(CCC2=CCCCC2)C1=O ZINC000491666250 527332948 /nfs/dbraw/zinc/33/29/48/527332948.db2.gz JRZHJEWWVQJYBM-ZDUSSCGKSA-N 1 2 317.389 1.579 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)C(=O)N(CCC2=CCCCC2)C1=O ZINC000491666250 527332950 /nfs/dbraw/zinc/33/29/50/527332950.db2.gz JRZHJEWWVQJYBM-ZDUSSCGKSA-N 1 2 317.389 1.579 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000457516577 527430478 /nfs/dbraw/zinc/43/04/78/527430478.db2.gz JFVITZZXALPGDX-AWEZNQCLSA-N 1 2 316.405 1.971 20 30 DDEDLO C=C[C@@H](CO)NC(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000350011636 527436414 /nfs/dbraw/zinc/43/64/14/527436414.db2.gz MQHRNPMGYOKINN-AWEZNQCLSA-N 1 2 305.378 1.187 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000457941551 527469747 /nfs/dbraw/zinc/46/97/47/527469747.db2.gz IOGBGRVOXNFWGS-CYBMUJFWSA-N 1 2 302.378 1.769 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)[C@H](CC)[N@@H+]1CCO[C@H](CC)C1 ZINC000424923831 527496675 /nfs/dbraw/zinc/49/66/75/527496675.db2.gz KNDWLDVYIMPPCG-FZKCQIBNSA-N 1 2 310.438 1.583 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)[C@H](CC)[N@H+]1CCO[C@H](CC)C1 ZINC000424923831 527496679 /nfs/dbraw/zinc/49/66/79/527496679.db2.gz KNDWLDVYIMPPCG-FZKCQIBNSA-N 1 2 310.438 1.583 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)N[C@@H]1CC(=O)N(C(C)C)C1 ZINC000331189224 528726536 /nfs/dbraw/zinc/72/65/36/528726536.db2.gz OVIGGTAVKBTRFQ-CYBMUJFWSA-N 1 2 321.425 1.552 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[NH+]1CCC(O)(C(F)F)CC1 ZINC000331119539 528853687 /nfs/dbraw/zinc/85/36/87/528853687.db2.gz AHRMVFXDVUKJNF-BXUZGUMPSA-N 1 2 317.380 1.521 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@@H+]2CCC[C@](C)(F)C2)CC1 ZINC000451119008 528900785 /nfs/dbraw/zinc/90/07/85/528900785.db2.gz YYXRFLSNCTZQEX-RDJZCZTQSA-N 1 2 324.444 1.503 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@H+]2CCC[C@](C)(F)C2)CC1 ZINC000451119008 528900790 /nfs/dbraw/zinc/90/07/90/528900790.db2.gz YYXRFLSNCTZQEX-RDJZCZTQSA-N 1 2 324.444 1.503 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)COC3CCCC3)C2)C1 ZINC000972260766 695194413 /nfs/dbraw/zinc/19/44/13/695194413.db2.gz DEFCRKVTRGDLOC-QGZVFWFLSA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)COC3CCCC3)C2)C1 ZINC000972260766 695194415 /nfs/dbraw/zinc/19/44/15/695194415.db2.gz DEFCRKVTRGDLOC-QGZVFWFLSA-N 1 2 308.422 1.435 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC3=CCCCC3)C2)C1 ZINC000972302410 695211195 /nfs/dbraw/zinc/21/11/95/695211195.db2.gz SPWALZWNUUYAPZ-SFHVURJKSA-N 1 2 302.418 1.813 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC3=CCCCC3)C2)C1 ZINC000972302410 695211196 /nfs/dbraw/zinc/21/11/96/695211196.db2.gz SPWALZWNUUYAPZ-SFHVURJKSA-N 1 2 302.418 1.813 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccoc3)C2)C1 ZINC000972330074 695218590 /nfs/dbraw/zinc/21/85/90/695218590.db2.gz XVPNTWGEJRLJNO-KRWDZBQOSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccoc3)C2)C1 ZINC000972330074 695218591 /nfs/dbraw/zinc/21/85/91/695218591.db2.gz XVPNTWGEJRLJNO-KRWDZBQOSA-N 1 2 304.390 1.702 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](CC)SC)C2)C1 ZINC000972347686 695223441 /nfs/dbraw/zinc/22/34/41/695223441.db2.gz WVLKVAOVLRMHQE-GDBMZVCRSA-N 1 2 310.463 1.455 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](CC)SC)C2)C1 ZINC000972347686 695223442 /nfs/dbraw/zinc/22/34/42/695223442.db2.gz WVLKVAOVLRMHQE-GDBMZVCRSA-N 1 2 310.463 1.455 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3CC)C2)C1 ZINC000972366690 695230944 /nfs/dbraw/zinc/23/09/44/695230944.db2.gz ATBFMLCZFIJAHZ-QGZVFWFLSA-N 1 2 303.406 1.680 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3CC)C2)C1 ZINC000972366690 695230946 /nfs/dbraw/zinc/23/09/46/695230946.db2.gz ATBFMLCZFIJAHZ-QGZVFWFLSA-N 1 2 303.406 1.680 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3C=CC=CC=C3)C2)C1 ZINC000972396718 695239284 /nfs/dbraw/zinc/23/92/84/695239284.db2.gz CCDYDCDGHHMNEU-SFHVURJKSA-N 1 2 300.402 1.774 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3C=CC=CC=C3)C2)C1 ZINC000972396718 695239285 /nfs/dbraw/zinc/23/92/85/695239285.db2.gz CCDYDCDGHHMNEU-SFHVURJKSA-N 1 2 300.402 1.774 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCC3(F)F)C2)C1 ZINC000972430310 695249483 /nfs/dbraw/zinc/24/94/83/695249483.db2.gz FBZJWYODMAJNAT-TZMCWYRMSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CCC3(F)F)C2)C1 ZINC000972430310 695249485 /nfs/dbraw/zinc/24/94/85/695249485.db2.gz FBZJWYODMAJNAT-TZMCWYRMSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3OC[C@@H]4CCC[C@@H]43)C2)C1 ZINC000972470244 695259027 /nfs/dbraw/zinc/25/90/27/695259027.db2.gz APPHHKUBFCHAHQ-LISAXSMJSA-N 1 2 320.433 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3OC[C@@H]4CCC[C@@H]43)C2)C1 ZINC000972470244 695259028 /nfs/dbraw/zinc/25/90/28/695259028.db2.gz APPHHKUBFCHAHQ-LISAXSMJSA-N 1 2 320.433 1.291 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccccn3)C2)C1 ZINC000972494678 695265015 /nfs/dbraw/zinc/26/50/15/695265015.db2.gz UBRHFVBYTUPBSI-SFHVURJKSA-N 1 2 315.417 1.504 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccccn3)C2)C1 ZINC000972494678 695265017 /nfs/dbraw/zinc/26/50/17/695265017.db2.gz UBRHFVBYTUPBSI-SFHVURJKSA-N 1 2 315.417 1.504 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC34CCC4)C2)C1 ZINC000972578566 695287961 /nfs/dbraw/zinc/28/79/61/695287961.db2.gz SFTCQHBAQCGRQD-QAPCUYQASA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CC34CCC4)C2)C1 ZINC000972578566 695287962 /nfs/dbraw/zinc/28/79/62/695287962.db2.gz SFTCQHBAQCGRQD-QAPCUYQASA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H](C)C3CC3)C2)C1 ZINC000972693351 695317955 /nfs/dbraw/zinc/31/79/55/695317955.db2.gz CGSAOHNUFCZVJK-QAPCUYQASA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H](C)C3CC3)C2)C1 ZINC000972693351 695317956 /nfs/dbraw/zinc/31/79/56/695317956.db2.gz CGSAOHNUFCZVJK-QAPCUYQASA-N 1 2 304.434 1.749 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@@H+](C)Cc3ccn(C)n3)C2)nc1 ZINC000972750558 695328309 /nfs/dbraw/zinc/32/83/09/695328309.db2.gz AYZJWBCLGLJDOE-INIZCTEOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@H+](C)Cc3ccn(C)n3)C2)nc1 ZINC000972750558 695328313 /nfs/dbraw/zinc/32/83/13/695328313.db2.gz AYZJWBCLGLJDOE-INIZCTEOSA-N 1 2 323.400 1.143 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)cc1 ZINC000973493560 695483273 /nfs/dbraw/zinc/48/32/73/695483273.db2.gz LGVSNXFRHMUGDR-SHTZXODSSA-N 1 2 322.368 1.011 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2C[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)CC1 ZINC000974385944 695661066 /nfs/dbraw/zinc/66/10/66/695661066.db2.gz VOROYTIOVIUGTC-OKILXGFUSA-N 1 2 316.405 1.462 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@H](C)n2cncn2)C(C)(C)C1 ZINC000974484273 695678741 /nfs/dbraw/zinc/67/87/41/695678741.db2.gz LWKNPAQJMAMADX-NEPJUHHUSA-N 1 2 311.817 1.418 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@H](C)n2cncn2)C(C)(C)C1 ZINC000974484273 695678742 /nfs/dbraw/zinc/67/87/42/695678742.db2.gz LWKNPAQJMAMADX-NEPJUHHUSA-N 1 2 311.817 1.418 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2nccc(C)n2)C(C)(C)C1 ZINC000974502294 695681493 /nfs/dbraw/zinc/68/14/93/695681493.db2.gz ZFKVCFYIGVSFHT-GFCCVEGCSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2nccc(C)n2)C(C)(C)C1 ZINC000974502294 695681495 /nfs/dbraw/zinc/68/14/95/695681495.db2.gz ZFKVCFYIGVSFHT-GFCCVEGCSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974638599 695700891 /nfs/dbraw/zinc/70/08/91/695700891.db2.gz FNHJJFDXZZZRTG-RYUDHWBXSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974638599 695700892 /nfs/dbraw/zinc/70/08/92/695700892.db2.gz FNHJJFDXZZZRTG-RYUDHWBXSA-N 1 2 313.829 1.044 20 30 DDEDLO C#Cc1ccc(C(=O)NCCc2cn3cccc(C)c3[nH+]2)cn1 ZINC000746540883 700029457 /nfs/dbraw/zinc/02/94/57/700029457.db2.gz XYVYIYYYOUYSHN-UHFFFAOYSA-N 1 2 304.353 1.992 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000798430114 700065321 /nfs/dbraw/zinc/06/53/21/700065321.db2.gz AUTZBPJQJRGFAC-HNNXBMFYSA-N 1 2 314.389 1.259 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnc(OC)nc2)C(C)(C)C1 ZINC000977425034 696156499 /nfs/dbraw/zinc/15/64/99/696156499.db2.gz XMAPDFFSFKGRIV-GFCCVEGCSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnc(OC)nc2)C(C)(C)C1 ZINC000977425034 696156504 /nfs/dbraw/zinc/15/65/04/696156504.db2.gz XMAPDFFSFKGRIV-GFCCVEGCSA-N 1 2 324.812 1.678 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2cc(=O)c3ccccc3o2)C(C)(C)C1 ZINC000977572461 696218102 /nfs/dbraw/zinc/21/81/02/696218102.db2.gz JRGSDXBCGFNKIO-KRWDZBQOSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2cc(=O)c3ccccc3o2)C(C)(C)C1 ZINC000977572461 696218105 /nfs/dbraw/zinc/21/81/05/696218105.db2.gz JRGSDXBCGFNKIO-KRWDZBQOSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc([N+](=O)[O-])ccc2OC)CC1 ZINC000068445414 696372588 /nfs/dbraw/zinc/37/25/88/696372588.db2.gz XTQVSVIGRRUGIJ-UHFFFAOYSA-N 1 2 317.345 1.385 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)/C=C/c2cnc3ccccc3n2)CC1 ZINC000112921200 696653623 /nfs/dbraw/zinc/65/36/23/696653623.db2.gz PLBKMECHVFXIFW-BQYQJAHWSA-N 1 2 306.369 1.420 20 30 DDEDLO Cn1c[nH+]cc1COC(=O)c1ccn(-c2cccc(C#N)c2)n1 ZINC000798835849 700100521 /nfs/dbraw/zinc/10/05/21/700100521.db2.gz VJDDOIPUQPKVGT-UHFFFAOYSA-N 1 2 307.313 1.834 20 30 DDEDLO C=C(Br)CNC(=O)N(C)CCCn1cc[nH+]c1 ZINC000119032439 696676921 /nfs/dbraw/zinc/67/69/21/696676921.db2.gz CIGXHGNBNZDIJR-UHFFFAOYSA-N 1 2 301.188 1.823 20 30 DDEDLO C=C(Br)C[N@H+]1CCCC2(CN(C(=O)COC)C2)C1 ZINC000981558853 696817326 /nfs/dbraw/zinc/81/73/26/696817326.db2.gz AMOPZYPVQGCXPY-UHFFFAOYSA-N 1 2 317.227 1.466 20 30 DDEDLO C=C(Br)C[N@@H+]1CCCC2(CN(C(=O)COC)C2)C1 ZINC000981558853 696817330 /nfs/dbraw/zinc/81/73/30/696817330.db2.gz AMOPZYPVQGCXPY-UHFFFAOYSA-N 1 2 317.227 1.466 20 30 DDEDLO CCn1ccc(C[N@@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC000981671682 696868800 /nfs/dbraw/zinc/86/88/00/696868800.db2.gz DTLVMXBHBYAVMB-CQSZACIVSA-N 1 2 315.421 1.487 20 30 DDEDLO CCn1ccc(C[N@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC000981671682 696868803 /nfs/dbraw/zinc/86/88/03/696868803.db2.gz DTLVMXBHBYAVMB-CQSZACIVSA-N 1 2 315.421 1.487 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3cncn3C)CC2)cc1 ZINC000981732438 696895301 /nfs/dbraw/zinc/89/53/01/696895301.db2.gz WXYIEIIZVGRWCZ-UHFFFAOYSA-N 1 2 322.412 1.750 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3cncn3C)CC2)cc1 ZINC000981732438 696895303 /nfs/dbraw/zinc/89/53/03/696895303.db2.gz WXYIEIIZVGRWCZ-UHFFFAOYSA-N 1 2 322.412 1.750 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2ncoc2[C@@H]2CCCO2)CC1 ZINC000981746956 696901213 /nfs/dbraw/zinc/90/12/13/696901213.db2.gz SXEOGJRTGPSGEW-ZDUSSCGKSA-N 1 2 303.362 1.307 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2ncoc2[C@@H]2CCCO2)CC1 ZINC000981746956 696901214 /nfs/dbraw/zinc/90/12/14/696901214.db2.gz SXEOGJRTGPSGEW-ZDUSSCGKSA-N 1 2 303.362 1.307 20 30 DDEDLO COc1ccnc(C[N@@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)c1 ZINC000980847561 696916002 /nfs/dbraw/zinc/91/60/02/696916002.db2.gz FTGMMGBWFRULNC-ZDUSSCGKSA-N 1 2 302.378 1.284 20 30 DDEDLO COc1ccnc(C[N@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)c1 ZINC000980847561 696916007 /nfs/dbraw/zinc/91/60/07/696916007.db2.gz FTGMMGBWFRULNC-ZDUSSCGKSA-N 1 2 302.378 1.284 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)cn1 ZINC000980848089 696916709 /nfs/dbraw/zinc/91/67/09/696916709.db2.gz PPAIULNNKPOKJI-ZDUSSCGKSA-N 1 2 302.378 1.284 20 30 DDEDLO COc1ccc(C[N@H+]2CCCN(C(=O)[C@@H](C)C#N)CC2)cn1 ZINC000980848089 696916711 /nfs/dbraw/zinc/91/67/11/696916711.db2.gz PPAIULNNKPOKJI-ZDUSSCGKSA-N 1 2 302.378 1.284 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCN(C(=O)c3ccc(C#N)[nH]3)CC2)cn1 ZINC000980991282 696973492 /nfs/dbraw/zinc/97/34/92/696973492.db2.gz IQEFXXLFKUBZRJ-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCN(C(=O)c3ccc(C#N)[nH]3)CC2)cn1 ZINC000980991282 696973494 /nfs/dbraw/zinc/97/34/94/696973494.db2.gz IQEFXXLFKUBZRJ-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO Cn1cnc(C(=O)N2CCC[N@H+](CC#Cc3ccccc3)CC2)n1 ZINC000981105533 697005976 /nfs/dbraw/zinc/00/59/76/697005976.db2.gz HLCGQYVCILKBOH-UHFFFAOYSA-N 1 2 323.400 1.015 20 30 DDEDLO Cn1cnc(C(=O)N2CCC[N@@H+](CC#Cc3ccccc3)CC2)n1 ZINC000981105533 697005979 /nfs/dbraw/zinc/00/59/79/697005979.db2.gz HLCGQYVCILKBOH-UHFFFAOYSA-N 1 2 323.400 1.015 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H](C)c2ccnn2C)CC1 ZINC000981184564 697028174 /nfs/dbraw/zinc/02/81/74/697028174.db2.gz IRECKOHFMDSVTA-CYBMUJFWSA-N 1 2 310.829 1.810 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H](C)c2ccnn2C)CC1 ZINC000981184564 697028178 /nfs/dbraw/zinc/02/81/78/697028178.db2.gz IRECKOHFMDSVTA-CYBMUJFWSA-N 1 2 310.829 1.810 20 30 DDEDLO N#CCN[C@H]1CCC[C@@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000981436180 697096846 /nfs/dbraw/zinc/09/68/46/697096846.db2.gz GUURYTGZMBRDPY-UONOGXRCSA-N 1 2 324.388 1.421 20 30 DDEDLO C#C[C@H](C)NC(=O)c1cccc(NC(=O)CCn2cc[nH+]c2)c1 ZINC000185372558 697517436 /nfs/dbraw/zinc/51/74/36/697517436.db2.gz XNIFWFCOBPNEQC-ZDUSSCGKSA-N 1 2 310.357 1.663 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C)o1 ZINC000986251819 697753313 /nfs/dbraw/zinc/75/33/13/697753313.db2.gz AJNIUZNUKBJKGS-TZMCWYRMSA-N 1 2 316.405 1.685 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](C(C)C)[NH+]1C[C@H](C)O[C@@H](C)C1)[C@@H]1CCCO1 ZINC000775327071 697982316 /nfs/dbraw/zinc/98/23/16/697982316.db2.gz XGKADRIWXHVBGZ-WOYTXXSLSA-N 1 2 322.449 1.417 20 30 DDEDLO N#Cc1ccc(F)c(CNC(=O)N[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000777564013 698202663 /nfs/dbraw/zinc/20/26/63/698202663.db2.gz HUDKQZUEHUJYRX-ZDUSSCGKSA-N 1 2 313.336 1.708 20 30 DDEDLO COC[C@H](C)N[NH+]=Cc1c(Cl)nc(N)nc1N1CCCC1 ZINC000777892732 698230157 /nfs/dbraw/zinc/23/01/57/698230157.db2.gz AXUZEXXJUFCCJY-VIFPVBQESA-N 1 2 312.805 1.271 20 30 DDEDLO COC[C@H](C)N[NH+]=Cc1c(C)nn2c1[nH]c1ccccc1c2=O ZINC000777901811 698230881 /nfs/dbraw/zinc/23/08/81/698230881.db2.gz FVUKIZJTNJQXPC-JTQLQIEISA-N 1 2 313.361 1.443 20 30 DDEDLO CC(=NNC1=[NH+]C[C@@H](C)N1)c1cnn(-c2ccc(C#N)cc2)c1C ZINC000779793909 698466474 /nfs/dbraw/zinc/46/64/74/698466474.db2.gz BTFWKNUIKPUGIO-LLVKDONJSA-N 1 2 321.388 1.714 20 30 DDEDLO CC(=NNC1=[NH+]C[C@@H](C)N1)c1ccc(-n2cncn2)cc1Cl ZINC000779805575 698469874 /nfs/dbraw/zinc/46/98/74/698469874.db2.gz PNWDSXJKTTZPLT-SECBINFHSA-N 1 2 317.784 1.582 20 30 DDEDLO C[C@@H]1C[C@H](NCC#N)CN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000989236822 698563427 /nfs/dbraw/zinc/56/34/27/698563427.db2.gz NJBUZLPYIDQWEU-HIFRSBDPSA-N 1 2 309.373 1.588 20 30 DDEDLO C=C[C@H](C(=O)N1CCC[N@@H+](CC(=O)NC)CC1)c1ccccc1 ZINC000989717867 698738971 /nfs/dbraw/zinc/73/89/71/698738971.db2.gz LWYGQYMTFOPMTF-INIZCTEOSA-N 1 2 315.417 1.237 20 30 DDEDLO C=C[C@H](C(=O)N1CCC[N@H+](CC(=O)NC)CC1)c1ccccc1 ZINC000989717867 698738975 /nfs/dbraw/zinc/73/89/75/698738975.db2.gz LWYGQYMTFOPMTF-INIZCTEOSA-N 1 2 315.417 1.237 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2CCC(=O)N(C)C2)CC1 ZINC000989744959 698748689 /nfs/dbraw/zinc/74/86/89/698748689.db2.gz IUNDLYZSBMKWPA-ZDUSSCGKSA-N 1 2 313.829 1.142 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2CCC(=O)N(C)C2)CC1 ZINC000989744959 698748692 /nfs/dbraw/zinc/74/86/92/698748692.db2.gz IUNDLYZSBMKWPA-ZDUSSCGKSA-N 1 2 313.829 1.142 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1C[C@H]2C[C@@]2(CO)C1 ZINC000782613058 698750634 /nfs/dbraw/zinc/75/06/34/698750634.db2.gz AIPIDMBWILTKLV-WBMJQRKESA-N 1 2 317.414 1.555 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1C[C@H]2C[C@@]2(CO)C1 ZINC000782613058 698750637 /nfs/dbraw/zinc/75/06/37/698750637.db2.gz AIPIDMBWILTKLV-WBMJQRKESA-N 1 2 317.414 1.555 20 30 DDEDLO C#CCOCCC(=O)N1CCC(CCCn2cc[nH+]c2)CC1 ZINC000783485372 698844302 /nfs/dbraw/zinc/84/43/02/698844302.db2.gz CBYDSVLQJFLQNG-UHFFFAOYSA-N 1 2 303.406 1.942 20 30 DDEDLO C[C@H]1CN(C)C(=O)C[N@@H+]1Cc1ccc(N(C)CCC#N)cc1 ZINC000783733424 698871825 /nfs/dbraw/zinc/87/18/25/698871825.db2.gz LNLZWEPIYCRUAL-AWEZNQCLSA-N 1 2 300.406 1.699 20 30 DDEDLO C[C@H]1CN(C)C(=O)C[N@H+]1Cc1ccc(N(C)CCC#N)cc1 ZINC000783733424 698871832 /nfs/dbraw/zinc/87/18/32/698871832.db2.gz LNLZWEPIYCRUAL-AWEZNQCLSA-N 1 2 300.406 1.699 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(NC(=O)[C@@H]3CCCc4[nH]ncc43)CCC[C@H]12 ZINC000990686086 699131650 /nfs/dbraw/zinc/13/16/50/699131650.db2.gz NJSQQZGOCLJHRL-RPVQJOFSSA-N 1 2 312.417 1.576 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(NC(=O)[C@@H]3CCCc4[nH]ncc43)CCC[C@H]12 ZINC000990686086 699131652 /nfs/dbraw/zinc/13/16/52/699131652.db2.gz NJSQQZGOCLJHRL-RPVQJOFSSA-N 1 2 312.417 1.576 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN(S(=O)(=O)CC2(C#N)CC2)C1 ZINC000443996736 699173441 /nfs/dbraw/zinc/17/34/41/699173441.db2.gz ZJWWBMYMJKTDKG-LBPRGKRZSA-N 1 2 308.407 1.233 20 30 DDEDLO C#Cc1cccc(NC(=S)NCC[N@@H+]2CCO[C@H](C)C2)c1 ZINC000726491406 699365148 /nfs/dbraw/zinc/36/51/48/699365148.db2.gz ZAKXWNGGRQYPFP-CYBMUJFWSA-N 1 2 303.431 1.675 20 30 DDEDLO C#Cc1cccc(NC(=S)NCC[N@H+]2CCO[C@H](C)C2)c1 ZINC000726491406 699365150 /nfs/dbraw/zinc/36/51/50/699365150.db2.gz ZAKXWNGGRQYPFP-CYBMUJFWSA-N 1 2 303.431 1.675 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)NC(=O)[C@H](C)O2 ZINC000730275080 699505631 /nfs/dbraw/zinc/50/56/31/699505631.db2.gz VJLGYFWITUTDRP-FZMZJTMJSA-N 1 2 313.357 1.442 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)NC(=O)[C@H](C)O2 ZINC000730275080 699505632 /nfs/dbraw/zinc/50/56/32/699505632.db2.gz VJLGYFWITUTDRP-FZMZJTMJSA-N 1 2 313.357 1.442 20 30 DDEDLO N#Cc1cccnc1NCCNC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000738952554 699767773 /nfs/dbraw/zinc/76/77/73/699767773.db2.gz UDYYGLVDDQNTKS-UHFFFAOYSA-N 1 2 321.344 1.835 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCCC[C@H]2[NH+]2CCOCC2)o1 ZINC000794381470 699806266 /nfs/dbraw/zinc/80/62/66/699806266.db2.gz AVIGMDQRZFTHGI-UONOGXRCSA-N 1 2 303.362 1.524 20 30 DDEDLO CC1(CON=C(N)c2ccc(C[NH+]3CCOCC3)cc2)COC1 ZINC000742357374 699875226 /nfs/dbraw/zinc/87/52/26/699875226.db2.gz WBSFTRXADJEAMS-UHFFFAOYSA-N 1 2 319.405 1.192 20 30 DDEDLO C[N@H+](CCc1ccccc1[N+](=O)[O-])Cn1cccc(C#N)c1=O ZINC000795643864 699881115 /nfs/dbraw/zinc/88/11/15/699881115.db2.gz BAGZAZWKBRYSCF-UHFFFAOYSA-N 1 2 312.329 1.760 20 30 DDEDLO C[N@@H+](CCc1ccccc1[N+](=O)[O-])Cn1cccc(C#N)c1=O ZINC000795643864 699881118 /nfs/dbraw/zinc/88/11/18/699881118.db2.gz BAGZAZWKBRYSCF-UHFFFAOYSA-N 1 2 312.329 1.760 20 30 DDEDLO C#CCOCCOC(=O)Cn1c(C)[nH+]c2cc(F)c(F)cc21 ZINC000796767106 699951514 /nfs/dbraw/zinc/95/15/14/699951514.db2.gz CRFRULRWLDMCJJ-UHFFFAOYSA-N 1 2 308.284 1.816 20 30 DDEDLO CC#CC(=O)N[C@H](c1ccc(Cl)cc1)[C@H]1C[N@H+](C)CCO1 ZINC000801180121 700274563 /nfs/dbraw/zinc/27/45/63/700274563.db2.gz RPEBKKUHAJTMJC-GDBMZVCRSA-N 1 2 306.793 1.851 20 30 DDEDLO CC#CC(=O)N[C@H](c1ccc(Cl)cc1)[C@H]1C[N@@H+](C)CCO1 ZINC000801180121 700274567 /nfs/dbraw/zinc/27/45/67/700274567.db2.gz RPEBKKUHAJTMJC-GDBMZVCRSA-N 1 2 306.793 1.851 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[N@@H+]2CCc3ncc([N+](=O)[O-])cc3C2)cc1 ZINC000754209478 700489719 /nfs/dbraw/zinc/48/97/19/700489719.db2.gz JGZOGDHNFSSXIY-KRWDZBQOSA-N 1 2 324.340 1.953 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[N@H+]2CCc3ncc([N+](=O)[O-])cc3C2)cc1 ZINC000754209478 700489720 /nfs/dbraw/zinc/48/97/20/700489720.db2.gz JGZOGDHNFSSXIY-KRWDZBQOSA-N 1 2 324.340 1.953 20 30 DDEDLO CC(C)c1[nH+]c(C=NNCCS(C)(=O)=O)c2ccccn21 ZINC000755733182 700580379 /nfs/dbraw/zinc/58/03/79/700580379.db2.gz FEXCFCAFUVMVSW-UHFFFAOYSA-N 1 2 308.407 1.426 20 30 DDEDLO N#Cc1cccn(C[N@@H+]2CCCN(c3ccccc3)CC2)c1=O ZINC000758187588 700687935 /nfs/dbraw/zinc/68/79/35/700687935.db2.gz ACMIMPHKSCANFI-UHFFFAOYSA-N 1 2 308.385 1.890 20 30 DDEDLO N#Cc1cccn(C[N@H+]2CCCN(c3ccccc3)CC2)c1=O ZINC000758187588 700687938 /nfs/dbraw/zinc/68/79/38/700687938.db2.gz ACMIMPHKSCANFI-UHFFFAOYSA-N 1 2 308.385 1.890 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCN(c3cc[nH+]c(C)n3)CC2)cn1 ZINC000759209745 700741799 /nfs/dbraw/zinc/74/17/99/700741799.db2.gz QRKOMXCDJWPUPV-UHFFFAOYSA-N 1 2 307.357 1.124 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)OC(=O)Cc1ccccc1CC#N ZINC000761143236 700847113 /nfs/dbraw/zinc/84/71/13/700847113.db2.gz QJONBRWKAQXVEP-AWEZNQCLSA-N 1 2 302.374 1.559 20 30 DDEDLO C[C@@H]1CC(=Nn2c(=O)cn[nH]c2=S)C[N@@H+]1Cc1ccccc1 ZINC000766482522 701053556 /nfs/dbraw/zinc/05/35/56/701053556.db2.gz NYBDHBLTNYMXFE-LLVKDONJSA-N 1 2 315.402 1.426 20 30 DDEDLO C[C@@H]1CC(=Nn2c(=O)cn[nH]c2=S)C[N@H+]1Cc1ccccc1 ZINC000766482522 701053558 /nfs/dbraw/zinc/05/35/58/701053558.db2.gz NYBDHBLTNYMXFE-LLVKDONJSA-N 1 2 315.402 1.426 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[NH+]2CCC(S(C)(=O)=O)CC2)cc1 ZINC000769485627 701247193 /nfs/dbraw/zinc/24/71/93/701247193.db2.gz WSABCXADDLYDJB-ZDUSSCGKSA-N 1 2 322.430 1.986 20 30 DDEDLO C#Cc1cccc(NC(=S)N[C@H](C)[C@H]2C[N@H+](C)CCO2)c1 ZINC000769796969 701256454 /nfs/dbraw/zinc/25/64/54/701256454.db2.gz WYMHHGQDROGFEB-IUODEOHRSA-N 1 2 303.431 1.673 20 30 DDEDLO C#Cc1cccc(NC(=S)N[C@H](C)[C@H]2C[N@@H+](C)CCO2)c1 ZINC000769796969 701256455 /nfs/dbraw/zinc/25/64/55/701256455.db2.gz WYMHHGQDROGFEB-IUODEOHRSA-N 1 2 303.431 1.673 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000808077549 701496526 /nfs/dbraw/zinc/49/65/26/701496526.db2.gz KVRJJAMJTZZXCS-AWEZNQCLSA-N 1 2 303.406 1.351 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC[N@@H+](CCC(=O)OC)[C@H](C)C1 ZINC000815937134 701970626 /nfs/dbraw/zinc/97/06/26/701970626.db2.gz JKSULACOHMZUBG-LLVKDONJSA-N 1 2 304.337 1.294 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC[N@H+](CCC(=O)OC)[C@H](C)C1 ZINC000815937134 701970633 /nfs/dbraw/zinc/97/06/33/701970633.db2.gz JKSULACOHMZUBG-LLVKDONJSA-N 1 2 304.337 1.294 20 30 DDEDLO C#CC[C@H](CO)NC(=O)[C@H](c1ccccc1)[NH+]1CCSCC1 ZINC000816672059 702141246 /nfs/dbraw/zinc/14/12/46/702141246.db2.gz OUDKUYRIPZFLJW-CVEARBPZSA-N 1 2 318.442 1.277 20 30 DDEDLO C#CCO[C@@H](C)C(=O)OCc1cccc(C[NH+]2CCOCC2)c1 ZINC000817189162 702303446 /nfs/dbraw/zinc/30/34/46/702303446.db2.gz QTZUASLBQOKHRX-HNNXBMFYSA-N 1 2 317.385 1.600 20 30 DDEDLO COC(=O)c1ccccc1N=NCC(C)(C)[NH+]1CCOCC1 ZINC000841630435 702513924 /nfs/dbraw/zinc/51/39/24/702513924.db2.gz FFMQMPLORGXEDN-UHFFFAOYSA-N 1 2 305.378 1.982 20 30 DDEDLO C[C@@H]([NH2+]C[C@H]1CCCCN1CCO)c1cccc(C#N)c1O ZINC000866366355 706682274 /nfs/dbraw/zinc/68/22/74/706682274.db2.gz LMVSFSFJYQEJOW-UKRRQHHQSA-N 1 2 303.406 1.761 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@H+](Cc3ccc(F)c(C#N)c3)C2)C1 ZINC000879484244 706692661 /nfs/dbraw/zinc/69/26/61/706692661.db2.gz UEKMJKZITQQXGC-MRXNPFEDSA-N 1 2 317.320 1.591 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@@H+](Cc3ccc(F)c(C#N)c3)C2)C1 ZINC000879484244 706692665 /nfs/dbraw/zinc/69/26/65/706692665.db2.gz UEKMJKZITQQXGC-MRXNPFEDSA-N 1 2 317.320 1.591 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000844004500 702953875 /nfs/dbraw/zinc/95/38/75/702953875.db2.gz BXRQJPPRCWYHDO-RDJZCZTQSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000844004500 702953878 /nfs/dbraw/zinc/95/38/78/702953878.db2.gz BXRQJPPRCWYHDO-RDJZCZTQSA-N 1 2 304.434 1.892 20 30 DDEDLO N#CCOc1ccc(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)cc1 ZINC000844133348 702976239 /nfs/dbraw/zinc/97/62/39/702976239.db2.gz WIZIOLOMOGYFNA-AWEZNQCLSA-N 1 2 310.357 1.664 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+]CC(=O)N(C)OCC ZINC000846062929 703232377 /nfs/dbraw/zinc/23/23/77/703232377.db2.gz CVROOZAOIWIRQK-UHFFFAOYSA-N 1 2 310.781 1.852 20 30 DDEDLO C[C@@H]1CCN(C(=O)[C@]2(C#N)CCCOC2)C[C@@H]1n1cc[nH+]c1 ZINC000866584171 706735978 /nfs/dbraw/zinc/73/59/78/706735978.db2.gz XGYAQVFUYKWOHD-YCPHGPKFSA-N 1 2 302.378 1.613 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CC(=O)Nc2cccc(C(=O)OC)n2)C1 ZINC000847019569 703366623 /nfs/dbraw/zinc/36/66/23/703366623.db2.gz ROLXCIMIDWXCIH-GFCCVEGCSA-N 1 2 301.346 1.152 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CC(=O)Nc2cccc(C(=O)OC)n2)C1 ZINC000847019569 703366626 /nfs/dbraw/zinc/36/66/26/703366626.db2.gz ROLXCIMIDWXCIH-GFCCVEGCSA-N 1 2 301.346 1.152 20 30 DDEDLO C=CCCn1cc(C[NH2+]CC(=O)Nc2ccc(F)c(F)c2)nn1 ZINC000850008953 703696109 /nfs/dbraw/zinc/69/61/09/703696109.db2.gz HMGWQWUOENIJQC-UHFFFAOYSA-N 1 2 321.331 1.861 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CC[NH+](C[C@H](O)c2ccccn2)CC1 ZINC000851846264 703869609 /nfs/dbraw/zinc/86/96/09/703869609.db2.gz RLUCETAUPXKRCL-OALUTQOASA-N 1 2 322.412 1.997 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@H]2COC[C@]2(C)C1 ZINC000852535105 704069823 /nfs/dbraw/zinc/06/98/23/704069823.db2.gz UXOZLNQPJRQDRG-ZOBUZTSGSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@H]2COC[C@]2(C)C1 ZINC000852535105 704069824 /nfs/dbraw/zinc/06/98/24/704069824.db2.gz UXOZLNQPJRQDRG-ZOBUZTSGSA-N 1 2 300.358 1.741 20 30 DDEDLO COc1cc(OC)c(NC[C@H](O)C[N@H+](C)CCC#N)cc1F ZINC000819457178 704129019 /nfs/dbraw/zinc/12/90/19/704129019.db2.gz UHMPPJKKYUOYQN-NSHDSACASA-N 1 2 311.357 1.461 20 30 DDEDLO COc1cc(OC)c(NC[C@H](O)C[N@@H+](C)CCC#N)cc1F ZINC000819457178 704129021 /nfs/dbraw/zinc/12/90/21/704129021.db2.gz UHMPPJKKYUOYQN-NSHDSACASA-N 1 2 311.357 1.461 20 30 DDEDLO CC[NH+]1CCN(CC(=O)Nc2nc3ccc(C#N)cc3[nH]2)CC1 ZINC000871069889 704213447 /nfs/dbraw/zinc/21/34/47/704213447.db2.gz AXCCPTFDUMYVQQ-UHFFFAOYSA-N 1 2 312.377 1.011 20 30 DDEDLO C[NH+](C)[C@@H](C(=O)N[C@@H]1CCN(O)C1=O)c1ccc(Cl)cc1 ZINC000820132297 704226952 /nfs/dbraw/zinc/22/69/52/704226952.db2.gz OWMPFOGHCDNJHE-VXGBXAGGSA-N 1 2 311.769 1.049 20 30 DDEDLO CCOC(=O)NC1CCC(=NNCCCn2cc[nH+]c2)CC1 ZINC000853372451 704237281 /nfs/dbraw/zinc/23/72/81/704237281.db2.gz OGAQTHXFSRXPKL-UHFFFAOYSA-N 1 2 307.398 1.908 20 30 DDEDLO C=CC[N@@H+](CN1C[C@@](C)(C(=O)OCC)CC1=O)[C@H](C)COC ZINC000853534358 704261605 /nfs/dbraw/zinc/26/16/05/704261605.db2.gz JQGHXSLNAGHKAK-CJNGLKHVSA-N 1 2 312.410 1.269 20 30 DDEDLO C=CC[N@H+](CN1C[C@@](C)(C(=O)OCC)CC1=O)[C@H](C)COC ZINC000853534358 704261609 /nfs/dbraw/zinc/26/16/09/704261609.db2.gz JQGHXSLNAGHKAK-CJNGLKHVSA-N 1 2 312.410 1.269 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](CC)[N@@H+]1CCO[C@H](CC)C1)C1CCOCC1 ZINC000856099499 704513391 /nfs/dbraw/zinc/51/33/91/704513391.db2.gz CEUUFFKZUSMNKZ-IKGGRYGDSA-N 1 2 322.449 1.420 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](CC)[N@H+]1CCO[C@H](CC)C1)C1CCOCC1 ZINC000856099499 704513392 /nfs/dbraw/zinc/51/33/92/704513392.db2.gz CEUUFFKZUSMNKZ-IKGGRYGDSA-N 1 2 322.449 1.420 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC000879938611 706826235 /nfs/dbraw/zinc/82/62/35/706826235.db2.gz DUISAJNDPBDTET-HUUCEWRRSA-N 1 2 320.414 1.433 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC000879938611 706826237 /nfs/dbraw/zinc/82/62/37/706826237.db2.gz DUISAJNDPBDTET-HUUCEWRRSA-N 1 2 320.414 1.433 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000858861365 704765754 /nfs/dbraw/zinc/76/57/54/704765754.db2.gz XIZWANAXNNJZBH-HZSPNIEDSA-N 1 2 304.394 1.134 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000858861365 704765755 /nfs/dbraw/zinc/76/57/55/704765755.db2.gz XIZWANAXNNJZBH-HZSPNIEDSA-N 1 2 304.394 1.134 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2cc(F)cc(C#N)c2)C[C@H](C)[N@H+]1C ZINC000867312021 706956776 /nfs/dbraw/zinc/95/67/76/706956776.db2.gz VFANDQJPRBMIDA-PHIMTYICSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2cc(F)cc(C#N)c2)C[C@H](C)[N@@H+]1C ZINC000867312021 706956779 /nfs/dbraw/zinc/95/67/79/706956779.db2.gz VFANDQJPRBMIDA-PHIMTYICSA-N 1 2 311.382 1.410 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnn(C(C)C)c2Cl)CC1 ZINC000859003457 704782994 /nfs/dbraw/zinc/78/29/94/704782994.db2.gz NHUUZWGZYSJATG-UHFFFAOYSA-N 1 2 308.813 1.899 20 30 DDEDLO C#CCOCCNC(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000821882377 704834306 /nfs/dbraw/zinc/83/43/06/704834306.db2.gz ZASSAASLFCUQHC-INIZCTEOSA-N 1 2 302.374 1.036 20 30 DDEDLO C#CCOCCNC(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000821882377 704834312 /nfs/dbraw/zinc/83/43/12/704834312.db2.gz ZASSAASLFCUQHC-INIZCTEOSA-N 1 2 302.374 1.036 20 30 DDEDLO C[C@H]1CN(C(=O)C[N@@H+]2CCc3c(CC#N)cccc3C2)CCO1 ZINC000860105399 705101826 /nfs/dbraw/zinc/10/18/26/705101826.db2.gz QYXFTNHFSIUVMD-AWEZNQCLSA-N 1 2 313.401 1.358 20 30 DDEDLO C[C@H]1CN(C(=O)C[N@H+]2CCc3c(CC#N)cccc3C2)CCO1 ZINC000860105399 705101827 /nfs/dbraw/zinc/10/18/27/705101827.db2.gz QYXFTNHFSIUVMD-AWEZNQCLSA-N 1 2 313.401 1.358 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)NCC1(C#N)CCCCC1 ZINC000875744702 705497215 /nfs/dbraw/zinc/49/72/15/705497215.db2.gz KNTKBNZZWPANOI-OAHLLOKOSA-N 1 2 322.453 1.870 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)NCC1(C#N)CCCCC1 ZINC000875744702 705497216 /nfs/dbraw/zinc/49/72/16/705497216.db2.gz KNTKBNZZWPANOI-OAHLLOKOSA-N 1 2 322.453 1.870 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)CC1 ZINC000876444071 705723090 /nfs/dbraw/zinc/72/30/90/705723090.db2.gz XWXFIFSILXOLJX-BRWVUGGUSA-N 1 2 319.449 1.685 20 30 DDEDLO CN(C)c1ncc(C=[NH+]Nc2cccc(-c3nn[nH]n3)c2)s1 ZINC000825883420 705741258 /nfs/dbraw/zinc/74/12/58/705741258.db2.gz GWLZFPSLKBMOEU-UHFFFAOYSA-N 1 2 314.378 1.835 20 30 DDEDLO COCC(=O)NC1CC[NH+](Cc2cc(C#N)cnc2Cl)CC1 ZINC000876618195 705786143 /nfs/dbraw/zinc/78/61/43/705786143.db2.gz MNZMZXABIRISPQ-UHFFFAOYSA-N 1 2 322.796 1.334 20 30 DDEDLO C#CC1CCN(c2cc(N3CC[C@@H]([C@H](C)O)C3)nc[nH+]2)CC1 ZINC000826710916 705853565 /nfs/dbraw/zinc/85/35/65/705853565.db2.gz UDUMMGZNYWQJCW-DZGCQCFKSA-N 1 2 300.406 1.533 20 30 DDEDLO C#CC1CCN(c2cc(N3CC[C@@H]([C@H](C)O)C3)[nH+]cn2)CC1 ZINC000826710916 705853569 /nfs/dbraw/zinc/85/35/69/705853569.db2.gz UDUMMGZNYWQJCW-DZGCQCFKSA-N 1 2 300.406 1.533 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)o2)C1 ZINC000827077412 705922858 /nfs/dbraw/zinc/92/28/58/705922858.db2.gz VJVVHIFYPIXWJP-UONOGXRCSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)o2)C1 ZINC000827077412 705922860 /nfs/dbraw/zinc/92/28/60/705922860.db2.gz VJVVHIFYPIXWJP-UONOGXRCSA-N 1 2 305.378 1.054 20 30 DDEDLO C[C@H]1C[C@H]1CON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000863456646 705933869 /nfs/dbraw/zinc/93/38/69/705933869.db2.gz YXLJLSHIHZSZAW-BBRMVZONSA-N 1 2 303.406 1.812 20 30 DDEDLO N#CCc1cccc2c1CCN(C(=O)c1n[nH]c3c1C[NH2+]CC3)C2 ZINC000877112015 705986882 /nfs/dbraw/zinc/98/68/82/705986882.db2.gz RCPJZXMAWXDWMQ-UHFFFAOYSA-N 1 2 321.384 1.320 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC000877787581 706212846 /nfs/dbraw/zinc/21/28/46/706212846.db2.gz OREUNAJTLDTKRY-HZPDHXFCSA-N 1 2 319.426 1.565 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC000877787581 706212849 /nfs/dbraw/zinc/21/28/49/706212849.db2.gz OREUNAJTLDTKRY-HZPDHXFCSA-N 1 2 319.426 1.565 20 30 DDEDLO Cc1ccc(S(=O)(=O)NCC(C)(C)n2cc[nH+]c2)c(C#N)c1 ZINC000872512290 707455756 /nfs/dbraw/zinc/45/57/56/707455756.db2.gz TZXUUGNMICMMQJ-UHFFFAOYSA-N 1 2 318.402 1.777 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NC2(C#N)CCC2)CC[C@H](C(F)(F)F)O1 ZINC000880063305 706867868 /nfs/dbraw/zinc/86/78/68/706867868.db2.gz YJAIJDQOMBPUMC-WDEREUQCSA-N 1 2 319.327 1.591 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)CC[C@H](C(F)(F)F)O1 ZINC000880063305 706867869 /nfs/dbraw/zinc/86/78/69/706867869.db2.gz YJAIJDQOMBPUMC-WDEREUQCSA-N 1 2 319.327 1.591 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](C(C)(C)O)C1 ZINC000880147565 706892908 /nfs/dbraw/zinc/89/29/08/706892908.db2.gz XKHGKFMLXWDCBJ-HUUCEWRRSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](C(C)(C)O)C1 ZINC000880147565 706892912 /nfs/dbraw/zinc/89/29/12/706892912.db2.gz XKHGKFMLXWDCBJ-HUUCEWRRSA-N 1 2 302.374 1.865 20 30 DDEDLO CC[C@H]([NH2+][C@H]1C[C@H](S(C)(=O)=O)C1)c1cccc(C#N)c1O ZINC000926863819 712913792 /nfs/dbraw/zinc/91/37/92/712913792.db2.gz CFYFZAQLXHQCPA-OBJOEFQTSA-N 1 2 308.403 1.880 20 30 DDEDLO C[C@H](C#N)Oc1ccccc1NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000867291945 706949644 /nfs/dbraw/zinc/94/96/44/706949644.db2.gz IKSGEVBONSZZDA-HJHHMULASA-N 1 2 315.373 1.804 20 30 DDEDLO C#CCC1(O)CC[NH+]([C@H](C)C(=O)Nc2c(C)nn(C)c2C)CC1 ZINC000880479126 706986792 /nfs/dbraw/zinc/98/67/92/706986792.db2.gz QLYBDZJWASRSOQ-CQSZACIVSA-N 1 2 318.421 1.214 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C(=O)OCC ZINC000881753842 707352594 /nfs/dbraw/zinc/35/25/94/707352594.db2.gz ZRHURSYXIPGBSP-JSGCOSHPSA-N 1 2 305.378 1.460 20 30 DDEDLO C#CC[C@H](Cc1ccccc1)NS(=O)(=O)CCn1cc[nH+]c1 ZINC000882190230 707530218 /nfs/dbraw/zinc/53/02/18/707530218.db2.gz ABYMPQWGXJZIHA-MRXNPFEDSA-N 1 2 317.414 1.437 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC(C)(C)[NH+]2CCOCC2)CC1 ZINC000872791722 707604563 /nfs/dbraw/zinc/60/45/63/707604563.db2.gz MUVIZZMSENTMIW-SHTZXODSSA-N 1 2 307.438 1.588 20 30 DDEDLO CN(CCc1cccc(C#N)c1)S(=O)(=O)CCn1cc[nH+]c1 ZINC000882481994 707652281 /nfs/dbraw/zinc/65/22/81/707652281.db2.gz HKDNESIJGMFXBS-UHFFFAOYSA-N 1 2 318.402 1.259 20 30 DDEDLO N#Cc1c(F)cccc1C[NH2+]CCS(=O)(=O)CC(F)(F)F ZINC000873134598 707744719 /nfs/dbraw/zinc/74/47/19/707744719.db2.gz BSQMIRQOLJPSEY-UHFFFAOYSA-N 1 2 324.299 1.764 20 30 DDEDLO N#Cc1cccc(Cn2cc(C[NH+]3CCC(O)CC3)nn2)c1F ZINC000883991029 708093647 /nfs/dbraw/zinc/09/36/47/708093647.db2.gz OXVCBFUVBAZAJU-UHFFFAOYSA-N 1 2 315.352 1.294 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1cc(C)sc1C ZINC000884078020 708129210 /nfs/dbraw/zinc/12/92/10/708129210.db2.gz DKJCKCFMTVZIIY-MFKMUULPSA-N 1 2 310.419 1.989 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCc1c(C)cc(C)cc1C ZINC000884080966 708129999 /nfs/dbraw/zinc/12/99/99/708129999.db2.gz JQHSAVWPBCTLCW-INIZCTEOSA-N 1 2 318.417 1.717 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@H](C)c2ccccc21 ZINC000884092092 708135548 /nfs/dbraw/zinc/13/55/48/708135548.db2.gz RZGDGBSGEGFEMH-JSGCOSHPSA-N 1 2 302.374 1.973 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2cc(F)ccc2[C@@H]1C ZINC000884149198 708160918 /nfs/dbraw/zinc/16/09/18/708160918.db2.gz FIQPAFNISMAJAT-NHYWBVRUSA-N 1 2 320.364 1.718 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)Oc1ccccc1F ZINC000884155749 708163975 /nfs/dbraw/zinc/16/39/75/708163975.db2.gz JRYBSFOHRWEZML-AAEUAGOBSA-N 1 2 324.352 1.156 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCOc2c(F)cccc21 ZINC000884327451 708246853 /nfs/dbraw/zinc/24/68/53/708246853.db2.gz SOZUZCWZIVIEHH-STQMWFEESA-N 1 2 322.336 1.212 20 30 DDEDLO C=CCN1CC[C@@H](N(C)C(=O)C(C)(C)n2c[nH+]c(C)c2)C1=O ZINC000897650431 708405904 /nfs/dbraw/zinc/40/59/04/708405904.db2.gz IQSAHSMDIMZNST-CYBMUJFWSA-N 1 2 304.394 1.172 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(CCC#N)cc2)C[C@H](C)[N@H+]1C ZINC000885208094 708494701 /nfs/dbraw/zinc/49/47/01/708494701.db2.gz LFMZTVDBYCKKBH-OKILXGFUSA-N 1 2 321.446 1.856 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(CCC#N)cc2)C[C@H](C)[N@@H+]1C ZINC000885208094 708494705 /nfs/dbraw/zinc/49/47/05/708494705.db2.gz LFMZTVDBYCKKBH-OKILXGFUSA-N 1 2 321.446 1.856 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cccc(Cl)c2)C1 ZINC000885513716 708563638 /nfs/dbraw/zinc/56/36/38/708563638.db2.gz DACSOJUBNFJBET-ZDUSSCGKSA-N 1 2 312.822 1.716 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cccc(Cl)c2)C1 ZINC000885513716 708563639 /nfs/dbraw/zinc/56/36/39/708563639.db2.gz DACSOJUBNFJBET-ZDUSSCGKSA-N 1 2 312.822 1.716 20 30 DDEDLO CCOC(=O)[C@H]([NH2+]C[C@H](O)CC1(C#N)CCC1)C1CCOCC1 ZINC000886131534 708702747 /nfs/dbraw/zinc/70/27/47/708702747.db2.gz AUOQIKDKKWLPQS-HUUCEWRRSA-N 1 2 324.421 1.379 20 30 DDEDLO CC(C)CN1CC[N@H+](C[C@@H](O)CC2(C#N)CCC2)[C@H](C)C1=O ZINC000886147718 708705100 /nfs/dbraw/zinc/70/51/00/708705100.db2.gz ZUWCVCWFPZKQQB-CABCVRRESA-N 1 2 307.438 1.620 20 30 DDEDLO CC(C)CN1CC[N@@H+](C[C@@H](O)CC2(C#N)CCC2)[C@H](C)C1=O ZINC000886147718 708705102 /nfs/dbraw/zinc/70/51/02/708705102.db2.gz ZUWCVCWFPZKQQB-CABCVRRESA-N 1 2 307.438 1.620 20 30 DDEDLO C[C@H]1CN(CC(C)(C)O)CC[N@@H+]1C[C@@H](O)CC1(C#N)CCC1 ZINC000886157825 708707526 /nfs/dbraw/zinc/70/75/26/708707526.db2.gz LVWDXHQHCBWDNL-GJZGRUSLSA-N 1 2 309.454 1.208 20 30 DDEDLO C[C@H]1CN(CC(C)(C)O)CC[N@H+]1C[C@@H](O)CC1(C#N)CCC1 ZINC000886157825 708707529 /nfs/dbraw/zinc/70/75/29/708707529.db2.gz LVWDXHQHCBWDNL-GJZGRUSLSA-N 1 2 309.454 1.208 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N[C@@H](C#N)C(C)(C)C)C1 ZINC000886397737 708753229 /nfs/dbraw/zinc/75/32/29/708753229.db2.gz XMOBMOITHFBBAA-HNNXBMFYSA-N 1 2 321.446 1.891 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N[C@@H](C#N)C(C)(C)C)C1 ZINC000886397737 708753231 /nfs/dbraw/zinc/75/32/31/708753231.db2.gz XMOBMOITHFBBAA-HNNXBMFYSA-N 1 2 321.446 1.891 20 30 DDEDLO CCC[C@H](C#N)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000886397410 708753256 /nfs/dbraw/zinc/75/32/56/708753256.db2.gz HICOPZATAYDNNN-CYBMUJFWSA-N 1 2 307.419 1.645 20 30 DDEDLO CCC[C@H](C#N)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000886397410 708753258 /nfs/dbraw/zinc/75/32/58/708753258.db2.gz HICOPZATAYDNNN-CYBMUJFWSA-N 1 2 307.419 1.645 20 30 DDEDLO CC[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1cc(C#N)ccc1C ZINC000886470149 708765541 /nfs/dbraw/zinc/76/55/41/708765541.db2.gz BCQGYPPORVECOM-AWEZNQCLSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1cc(C#N)ccc1C ZINC000886470149 708765542 /nfs/dbraw/zinc/76/55/42/708765542.db2.gz BCQGYPPORVECOM-AWEZNQCLSA-N 1 2 307.419 1.581 20 30 DDEDLO C[C@@]1(C#N)CN(C(=O)Cc2c[nH+]cn2Cc2ccccc2)CCO1 ZINC000887685265 709102138 /nfs/dbraw/zinc/10/21/38/709102138.db2.gz ZWZOODCZDMFJTA-GOSISDBHSA-N 1 2 324.384 1.615 20 30 DDEDLO C=CC[C@@H]1CCN1C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000890554912 709914419 /nfs/dbraw/zinc/91/44/19/709914419.db2.gz ZGLUKYBERWVLRW-CABCVRRESA-N 1 2 318.421 1.660 20 30 DDEDLO C=CC[C@@H]1CCN1C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000890554912 709914421 /nfs/dbraw/zinc/91/44/21/709914421.db2.gz ZGLUKYBERWVLRW-CABCVRRESA-N 1 2 318.421 1.660 20 30 DDEDLO CC[C@@]1(C)CC(=O)N(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1=O ZINC000902599775 710810921 /nfs/dbraw/zinc/81/09/21/710810921.db2.gz JRXWSAHHPNHIFY-INIZCTEOSA-N 1 2 320.389 1.457 20 30 DDEDLO COc1cc(Cl)c(C[NH2+][C@H]2CCCN(O)C2=O)cc1OC ZINC000895156359 711434991 /nfs/dbraw/zinc/43/49/91/711434991.db2.gz CAGHMYRGGDOCIA-NSHDSACASA-N 1 2 314.769 1.827 20 30 DDEDLO Cc1c(Cl)cnc(N[C@@H](C)[C@@H]2CN(C)CC[N@@H+]2C)c1C#N ZINC000895244955 711463537 /nfs/dbraw/zinc/46/35/37/711463537.db2.gz BGUFMXALOQOOMP-FZMZJTMJSA-N 1 2 307.829 1.961 20 30 DDEDLO Cc1c(Cl)cnc(N[C@@H](C)[C@@H]2CN(C)CC[N@H+]2C)c1C#N ZINC000895244955 711463538 /nfs/dbraw/zinc/46/35/38/711463538.db2.gz BGUFMXALOQOOMP-FZMZJTMJSA-N 1 2 307.829 1.961 20 30 DDEDLO C#C[C@@H](C)NC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000907493088 712585465 /nfs/dbraw/zinc/58/54/65/712585465.db2.gz UYNYHYDWXOWKBQ-DOMZBBRYSA-N 1 2 316.405 1.155 20 30 DDEDLO C#C[C@@H](C)NC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000907493088 712585466 /nfs/dbraw/zinc/58/54/66/712585466.db2.gz UYNYHYDWXOWKBQ-DOMZBBRYSA-N 1 2 316.405 1.155 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)/C=C(\C)C[NH+]1CCOCC1 ZINC000908175783 712702886 /nfs/dbraw/zinc/70/28/86/712702886.db2.gz AHEQATBIXJRUSP-SAPNQHFASA-N 1 2 312.413 1.927 20 30 DDEDLO Cc1ccc(CNC(=O)NCC[N@@H+]2CCO[C@@H](C)C2)cc1C#N ZINC000915830257 713432688 /nfs/dbraw/zinc/43/26/88/713432688.db2.gz SEABNJDDEJPBHL-AWEZNQCLSA-N 1 2 316.405 1.387 20 30 DDEDLO Cc1ccc(CNC(=O)NCC[N@H+]2CCO[C@@H](C)C2)cc1C#N ZINC000915830257 713432689 /nfs/dbraw/zinc/43/26/89/713432689.db2.gz SEABNJDDEJPBHL-AWEZNQCLSA-N 1 2 316.405 1.387 20 30 DDEDLO COC(=O)C[C@@H]1C(=O)NCC[N@H+]1CCCCC1(C#N)CCC1 ZINC000929133160 713553090 /nfs/dbraw/zinc/55/30/90/713553090.db2.gz LPEZXZBRLSWOID-CYBMUJFWSA-N 1 2 307.394 1.214 20 30 DDEDLO COC(=O)C[C@@H]1C(=O)NCC[N@@H+]1CCCCC1(C#N)CCC1 ZINC000929133160 713553092 /nfs/dbraw/zinc/55/30/92/713553092.db2.gz LPEZXZBRLSWOID-CYBMUJFWSA-N 1 2 307.394 1.214 20 30 DDEDLO C[N@@H+](CCC#N)CCOCCN1C(=O)c2ccccc2C1=O ZINC000929809916 713694775 /nfs/dbraw/zinc/69/47/75/713694775.db2.gz VDLGQOQGZDIXER-UHFFFAOYSA-N 1 2 301.346 1.145 20 30 DDEDLO C[N@H+](CCC#N)CCOCCN1C(=O)c2ccccc2C1=O ZINC000929809916 713694776 /nfs/dbraw/zinc/69/47/76/713694776.db2.gz VDLGQOQGZDIXER-UHFFFAOYSA-N 1 2 301.346 1.145 20 30 DDEDLO CC(C)C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)o1 ZINC000922023646 713940118 /nfs/dbraw/zinc/94/01/18/713940118.db2.gz ACJKZMFLLPQVMA-LBPRGKRZSA-N 1 2 311.407 1.502 20 30 DDEDLO CC(C)C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)o1 ZINC000922023646 713940119 /nfs/dbraw/zinc/94/01/19/713940119.db2.gz ACJKZMFLLPQVMA-LBPRGKRZSA-N 1 2 311.407 1.502 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2csc(-c3ccn(C)n3)n2)CC1 ZINC000931153912 714017029 /nfs/dbraw/zinc/01/70/29/714017029.db2.gz NWPIGXUDQNHVKB-UHFFFAOYSA-N 1 2 302.403 1.504 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C[NH+]1CCN([S@@](C)(=N)=O)CC1 ZINC000931610491 714138133 /nfs/dbraw/zinc/13/81/33/714138133.db2.gz QDKVPDCJIXZGRL-OAQYLSRUSA-N 1 2 306.435 1.884 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)Nc2nc(C(C)=O)c(C)s2)C1 ZINC000931635170 714145191 /nfs/dbraw/zinc/14/51/91/714145191.db2.gz SDGHSBJNKNSNHM-LBPRGKRZSA-N 1 2 320.418 1.873 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)Nc2nc(C(C)=O)c(C)s2)C1 ZINC000931635170 714145193 /nfs/dbraw/zinc/14/51/93/714145193.db2.gz SDGHSBJNKNSNHM-LBPRGKRZSA-N 1 2 320.418 1.873 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cccc(CC#N)c1 ZINC000931687439 714160945 /nfs/dbraw/zinc/16/09/45/714160945.db2.gz XHCSSWMICNYTSH-CQSZACIVSA-N 1 2 316.405 1.937 20 30 DDEDLO N#Cc1ccsc1NC(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000931835401 714193677 /nfs/dbraw/zinc/19/36/77/714193677.db2.gz VDZZFVMKTFANSI-GFCCVEGCSA-N 1 2 306.391 1.558 20 30 DDEDLO Cc1nc([C@H]2CC[N@@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)no1 ZINC000931938370 714216771 /nfs/dbraw/zinc/21/67/71/714216771.db2.gz QNCWZAQVAUGYSS-PWSUYJOCSA-N 1 2 303.366 1.118 20 30 DDEDLO Cc1nc([C@H]2CC[N@H+]([C@H](C)C(=O)NC3(C#N)CCC3)C2)no1 ZINC000931938370 714216773 /nfs/dbraw/zinc/21/67/73/714216773.db2.gz QNCWZAQVAUGYSS-PWSUYJOCSA-N 1 2 303.366 1.118 20 30 DDEDLO Cc1nc2sccn2c(=O)c1CC[N@@H+]1CCC[C@@H](C#N)C1 ZINC000932155137 714273314 /nfs/dbraw/zinc/27/33/14/714273314.db2.gz XCERDYHOESJVLR-LBPRGKRZSA-N 1 2 302.403 1.843 20 30 DDEDLO Cc1nc2sccn2c(=O)c1CC[N@H+]1CCC[C@@H](C#N)C1 ZINC000932155137 714273315 /nfs/dbraw/zinc/27/33/15/714273315.db2.gz XCERDYHOESJVLR-LBPRGKRZSA-N 1 2 302.403 1.843 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCC(=O)c3ccccc32)C1 ZINC000923561758 714404598 /nfs/dbraw/zinc/40/45/98/714404598.db2.gz LIFJBKABAVBNDD-PBHICJAKSA-N 1 2 310.397 1.961 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCC(=O)c3ccccc32)C1 ZINC000923561758 714404601 /nfs/dbraw/zinc/40/46/01/714404601.db2.gz LIFJBKABAVBNDD-PBHICJAKSA-N 1 2 310.397 1.961 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc3c(s2)CCOC3)C1 ZINC000923580125 714414826 /nfs/dbraw/zinc/41/48/26/714414826.db2.gz YSLKQINAEKXLPH-ZDUSSCGKSA-N 1 2 304.415 1.648 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc3c(s2)CCOC3)C1 ZINC000923580125 714414829 /nfs/dbraw/zinc/41/48/29/714414829.db2.gz YSLKQINAEKXLPH-ZDUSSCGKSA-N 1 2 304.415 1.648 20 30 DDEDLO C[N@@H+]1CC[C@H](NC(=O)NCC#CCO)[C@@H]1c1ccc(F)c(F)c1 ZINC000923775097 714468115 /nfs/dbraw/zinc/46/81/15/714468115.db2.gz IXDBAJHMRDBEKM-GJZGRUSLSA-N 1 2 323.343 1.005 20 30 DDEDLO C[N@H+]1CC[C@H](NC(=O)NCC#CCO)[C@@H]1c1ccc(F)c(F)c1 ZINC000923775097 714468117 /nfs/dbraw/zinc/46/81/17/714468117.db2.gz IXDBAJHMRDBEKM-GJZGRUSLSA-N 1 2 323.343 1.005 20 30 DDEDLO COC(=O)[C@]12C[C@H]1CCC[N@@H+]2C[C@H](O)CC1(C#N)CCOCC1 ZINC000933166102 714526405 /nfs/dbraw/zinc/52/64/05/714526405.db2.gz LRMUIJKVYDNBTN-CPUCHLNUSA-N 1 2 322.405 1.085 20 30 DDEDLO COC(=O)[C@]12C[C@H]1CCC[N@H+]2C[C@H](O)CC1(C#N)CCOCC1 ZINC000933166102 714526410 /nfs/dbraw/zinc/52/64/10/714526410.db2.gz LRMUIJKVYDNBTN-CPUCHLNUSA-N 1 2 322.405 1.085 20 30 DDEDLO CC[C@H]([NH2+]C[C@@H]1CCS(=O)(=O)C1)c1cccc(C#N)c1O ZINC000924600045 714616601 /nfs/dbraw/zinc/61/66/01/714616601.db2.gz PVSZNKDHYRRPFI-FZMZJTMJSA-N 1 2 308.403 1.739 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCOC[C@H]1C[C@@H]1CCOC1 ZINC000933671098 714644128 /nfs/dbraw/zinc/64/41/28/714644128.db2.gz MJADGMAZDAOXIF-OWCLPIDISA-N 1 2 323.437 1.122 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCOC[C@H]1C[C@@H]1CCOC1 ZINC000933671098 714644129 /nfs/dbraw/zinc/64/41/29/714644129.db2.gz MJADGMAZDAOXIF-OWCLPIDISA-N 1 2 323.437 1.122 20 30 DDEDLO C#CCC1(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCOCC1 ZINC000925427973 714853818 /nfs/dbraw/zinc/85/38/18/714853818.db2.gz ZBFUFWDYCBCTBV-UHFFFAOYSA-N 1 2 310.357 1.570 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N1CC[NH+]([C@@H]2CCOC2)CC1 ZINC000934589257 714859982 /nfs/dbraw/zinc/85/99/82/714859982.db2.gz YJHLYBHRQBNKAR-CQSZACIVSA-N 1 2 315.373 1.114 20 30 DDEDLO CC[C@H]([NH2+][C@H]1CCN(S(C)(=O)=O)C1)c1cccc(C#N)c1O ZINC000926646624 715105385 /nfs/dbraw/zinc/10/53/85/715105385.db2.gz BMEWYVMNOPPCSV-JSGCOSHPSA-N 1 2 323.418 1.338 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(-c3nc[nH]n3)cc2)C1 ZINC000957089874 715740567 /nfs/dbraw/zinc/74/05/67/715740567.db2.gz KNLOBLKGLMXLLM-UHFFFAOYSA-N 1 2 323.400 1.641 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN(C(=O)CCC)C2)C1 ZINC000957421019 715894475 /nfs/dbraw/zinc/89/44/75/715894475.db2.gz YPRIRIIMOZVLLH-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc3c(C)nn(C)c3n2)CC1 ZINC000957791834 716049774 /nfs/dbraw/zinc/04/97/74/716049774.db2.gz SBWVPOROZXVMHN-UHFFFAOYSA-N 1 2 313.405 1.611 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2c(C)noc2C)C1 ZINC000957862870 716203736 /nfs/dbraw/zinc/20/37/36/716203736.db2.gz CTYMNDDZHLABOP-NEPJUHHUSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2c(C)noc2C)C1 ZINC000957862870 716203734 /nfs/dbraw/zinc/20/37/34/716203734.db2.gz CTYMNDDZHLABOP-NEPJUHHUSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2sccc2F)C1 ZINC000958031774 716285740 /nfs/dbraw/zinc/28/57/40/716285740.db2.gz WKRCJCNMPRIADH-GXSJLCMTSA-N 1 2 318.801 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2sccc2F)C1 ZINC000958031774 716285744 /nfs/dbraw/zinc/28/57/44/716285744.db2.gz WKRCJCNMPRIADH-GXSJLCMTSA-N 1 2 318.801 1.662 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC000939514207 716299985 /nfs/dbraw/zinc/29/99/85/716299985.db2.gz KZUVCLATPDVFTF-XNJGSVPQSA-N 1 2 318.421 1.518 20 30 DDEDLO CCn1ccnc1C[N@H+](C)C[C@@H]1CCCN1C(=O)[C@H](C)C#N ZINC000960488446 716577670 /nfs/dbraw/zinc/57/76/70/716577670.db2.gz ATWOQYUHFNPTPS-KGLIPLIRSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)C[C@@H]1CCCN1C(=O)[C@H](C)C#N ZINC000960488446 716577674 /nfs/dbraw/zinc/57/76/74/716577674.db2.gz ATWOQYUHFNPTPS-KGLIPLIRSA-N 1 2 303.410 1.485 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2c[nH]c(C#N)c2)o1 ZINC000958587163 716645157 /nfs/dbraw/zinc/64/51/57/716645157.db2.gz OMRFDFVFPBHFFV-NHAGDIPZSA-N 1 2 311.345 1.043 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2c[nH]c(C#N)c2)o1 ZINC000958587163 716645160 /nfs/dbraw/zinc/64/51/60/716645160.db2.gz OMRFDFVFPBHFFV-NHAGDIPZSA-N 1 2 311.345 1.043 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@H]([C@@H]2CCN(CC#N)C2)C1 ZINC000961274278 716891449 /nfs/dbraw/zinc/89/14/49/716891449.db2.gz QOJCQBDWLUMFDA-LSDHHAIUSA-N 1 2 315.421 1.345 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000940851556 716993332 /nfs/dbraw/zinc/99/33/32/716993332.db2.gz YEVWFESWWXNPIR-ZIAGYGMSSA-N 1 2 315.421 1.186 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C[C@H]3C=CCCC3)CC2)C1 ZINC000941455438 717180309 /nfs/dbraw/zinc/18/03/09/717180309.db2.gz QUZMETNSQDETHP-INIZCTEOSA-N 1 2 301.434 1.194 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)CC2)C1 ZINC000941492154 717186709 /nfs/dbraw/zinc/18/67/09/717186709.db2.gz UOBSNUWCDXELPP-HGKCTWBKSA-N 1 2 315.461 1.274 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC[C@@H]3CC)CC2)C1 ZINC000941553973 717207165 /nfs/dbraw/zinc/20/71/65/717207165.db2.gz UPBBDPVFKSFICJ-WMZOPIPTSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@]34C[C@@H]3CCCC4)CC2)C1 ZINC000941558363 717209605 /nfs/dbraw/zinc/20/96/05/717209605.db2.gz AUFFKSGBVBXLGS-YJBOKZPZSA-N 1 2 301.434 1.028 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@]3(C)CC)CC2)C1 ZINC000941622587 717233160 /nfs/dbraw/zinc/23/31/60/717233160.db2.gz KDNVNMUOSDBMEZ-WMZOPIPTSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CC4CCC3CC4)CC2)C1 ZINC000941677757 717252113 /nfs/dbraw/zinc/25/21/13/717252113.db2.gz VMNHBOPSWDWFLA-LEOMRAHMSA-N 1 2 315.461 1.274 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1cn[nH]c1 ZINC000965374631 717634261 /nfs/dbraw/zinc/63/42/61/717634261.db2.gz STZSGOABOJXKPW-GUYCJALGSA-N 1 2 323.400 1.922 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1cn[nH]c1 ZINC000965374631 717634262 /nfs/dbraw/zinc/63/42/62/717634262.db2.gz STZSGOABOJXKPW-GUYCJALGSA-N 1 2 323.400 1.922 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H]2CCN(CC#N)C[C@H]2C)c(C)[nH+]1 ZINC000942525897 717721909 /nfs/dbraw/zinc/72/19/09/717721909.db2.gz XDJFDOHJTFJVLQ-IUODEOHRSA-N 1 2 300.406 1.971 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](Cc3cnn(C)n3)C[C@@H]2C)C1 ZINC000942601998 717772414 /nfs/dbraw/zinc/77/24/14/717772414.db2.gz BRJUVAUPLADWGG-ZFWWWQNUSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CC[N@H+](Cc3cnn(C)n3)C[C@@H]2C)C1 ZINC000942601998 717772420 /nfs/dbraw/zinc/77/24/20/717772420.db2.gz BRJUVAUPLADWGG-ZFWWWQNUSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC000965832869 717775519 /nfs/dbraw/zinc/77/55/19/717775519.db2.gz YFKLRDAQOUCOBF-SKDRFNHKSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC000965832869 717775523 /nfs/dbraw/zinc/77/55/23/717775523.db2.gz YFKLRDAQOUCOBF-SKDRFNHKSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965958174 717834646 /nfs/dbraw/zinc/83/46/46/717834646.db2.gz JSHQSYZBVXAAPU-KOLCDFICSA-N 1 2 313.785 1.171 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965958174 717834648 /nfs/dbraw/zinc/83/46/48/717834648.db2.gz JSHQSYZBVXAAPU-KOLCDFICSA-N 1 2 313.785 1.171 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@H]2CN(CC#N)CC[C@H]2C)c(C)[nH+]1 ZINC000967414431 718881601 /nfs/dbraw/zinc/88/16/01/718881601.db2.gz KMZSDGOMSBODNV-ABAIWWIYSA-N 1 2 300.406 1.971 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC000967943528 719097429 /nfs/dbraw/zinc/09/74/29/719097429.db2.gz JVDHAPREQIUPQS-SKDRFNHKSA-N 1 2 324.812 1.683 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)[C@H](C)C2)cn1 ZINC000947947906 719315620 /nfs/dbraw/zinc/31/56/20/719315620.db2.gz KKSZQABONJLAJL-CJNGLKHVSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)[C@H](C)C2)cn1 ZINC000947947906 719315623 /nfs/dbraw/zinc/31/56/23/719315623.db2.gz KKSZQABONJLAJL-CJNGLKHVSA-N 1 2 324.384 1.834 20 30 DDEDLO CN(C(=O)[C@@H]1CCc2c[nH+]cn2C1)[C@H]1CCCN(CC#N)CC1 ZINC000948565671 719551281 /nfs/dbraw/zinc/55/12/81/719551281.db2.gz HBBBDKZLIGOILJ-CABCVRRESA-N 1 2 315.421 1.282 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CC(=O)N(C(CC)CC)C2)CC1 ZINC000948614721 719589881 /nfs/dbraw/zinc/58/98/81/719589881.db2.gz KTZQASPPPJCYQC-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnnn1CC ZINC000948915498 719783324 /nfs/dbraw/zinc/78/33/24/719783324.db2.gz ABCKVBIHQYAWRM-INIZCTEOSA-N 1 2 323.400 1.260 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnnn1CC ZINC000948915498 719783327 /nfs/dbraw/zinc/78/33/27/719783327.db2.gz ABCKVBIHQYAWRM-INIZCTEOSA-N 1 2 323.400 1.260 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1[nH]cnc1C ZINC000948990050 719827977 /nfs/dbraw/zinc/82/79/77/719827977.db2.gz XTFCWDKOQUQWJE-MRXNPFEDSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1[nH]cnc1C ZINC000948990050 719827981 /nfs/dbraw/zinc/82/79/81/719827981.db2.gz XTFCWDKOQUQWJE-MRXNPFEDSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC000969141947 719974397 /nfs/dbraw/zinc/97/43/97/719974397.db2.gz PYXXXTAITYACPY-AWEZNQCLSA-N 1 2 311.389 1.566 20 30 DDEDLO C#CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC000969141947 719974401 /nfs/dbraw/zinc/97/44/01/719974401.db2.gz PYXXXTAITYACPY-AWEZNQCLSA-N 1 2 311.389 1.566 20 30 DDEDLO Cc1ncc(C[NH+]2CC([C@H](C)NC(=O)c3c[nH]c(C#N)c3)C2)cn1 ZINC000969526989 720174497 /nfs/dbraw/zinc/17/44/97/720174497.db2.gz SKUPQOLMSFPSFK-NSHDSACASA-N 1 2 324.388 1.235 20 30 DDEDLO C[C@@H](NC(=O)c1c[nH]c(C#N)c1)C1C[NH+](Cc2ccns2)C1 ZINC000969527486 720175211 /nfs/dbraw/zinc/17/52/11/720175211.db2.gz XXISADIWQIIOGK-SNVBAGLBSA-N 1 2 315.402 1.593 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+]Cc3nc(C)no3)C2)cc1 ZINC000969543231 720181894 /nfs/dbraw/zinc/18/18/94/720181894.db2.gz YPIRMTMQWMFEKW-OAHLLOKOSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+]Cc3cnsn3)C2)cc1 ZINC000969546315 720183550 /nfs/dbraw/zinc/18/35/50/720183550.db2.gz URVJIHQCNRQEBM-CQSZACIVSA-N 1 2 312.398 1.524 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(COC)no2)C1 ZINC000969586170 720202144 /nfs/dbraw/zinc/20/21/44/720202144.db2.gz HWPMDCQKEGARCP-VXGBXAGGSA-N 1 2 322.409 1.680 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc(N(C)C)cn2)C1 ZINC000950094257 720487869 /nfs/dbraw/zinc/48/78/69/720487869.db2.gz HSDSKAIYKYGSEM-UHFFFAOYSA-N 1 2 303.410 1.265 20 30 DDEDLO C=C(Cl)CN1CC([C@@H](C)NC(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC000969996360 720591034 /nfs/dbraw/zinc/59/10/34/720591034.db2.gz IIGDPSMMVFVPKM-CHWSQXEVSA-N 1 2 322.840 1.635 20 30 DDEDLO C[C@@H](NC(=O)c1cnon1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970619226 720850565 /nfs/dbraw/zinc/85/05/65/720850565.db2.gz NCOCJEURKHFRFQ-LLVKDONJSA-N 1 2 311.345 1.192 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC000951114065 720922241 /nfs/dbraw/zinc/92/22/41/720922241.db2.gz PGDQWCUGRCQVPO-UHFFFAOYSA-N 1 2 304.394 1.521 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cncnc2CC)C1 ZINC000970888825 720974388 /nfs/dbraw/zinc/97/43/88/720974388.db2.gz KLJPEHVUOGACDP-NSHDSACASA-N 1 2 308.813 1.842 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](CNC(=O)C2=NC(=O)N(C)C2)C1 ZINC001023570299 735317346 /nfs/dbraw/zinc/31/73/46/735317346.db2.gz BAEAMNXKULSYIZ-NSHDSACASA-N 1 2 312.801 1.320 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC001023657019 735377653 /nfs/dbraw/zinc/37/76/53/735377653.db2.gz KBXFSFXWHGPKOO-ZDUSSCGKSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC001023657019 735377656 /nfs/dbraw/zinc/37/76/56/735377656.db2.gz KBXFSFXWHGPKOO-ZDUSSCGKSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001023657019 735377660 /nfs/dbraw/zinc/37/76/60/735377660.db2.gz KBXFSFXWHGPKOO-ZDUSSCGKSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001023657019 735377664 /nfs/dbraw/zinc/37/76/64/735377664.db2.gz KBXFSFXWHGPKOO-ZDUSSCGKSA-N 1 2 324.388 1.318 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc(C(C)(C)C)nc1 ZINC001039080954 732638722 /nfs/dbraw/zinc/63/87/22/732638722.db2.gz DHXAMNCNWRULKI-AWEZNQCLSA-N 1 2 300.406 1.602 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc(C(C)(C)C)nc1 ZINC001039080954 732638723 /nfs/dbraw/zinc/63/87/23/732638723.db2.gz DHXAMNCNWRULKI-AWEZNQCLSA-N 1 2 300.406 1.602 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H](C)C[C@H]1C[NH2+]Cc1cnsn1 ZINC001087136549 733465528 /nfs/dbraw/zinc/46/55/28/733465528.db2.gz YOUJENIXHTZMFL-MDZLAQPJSA-N 1 2 307.423 1.414 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)C[C@@H]2CCCO2)C1 ZINC001149249115 733498262 /nfs/dbraw/zinc/49/82/62/733498262.db2.gz UMIAHRMTYGKYKF-UONOGXRCSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)C[C@@H]2CCCO2)C1 ZINC001149249115 733498268 /nfs/dbraw/zinc/49/82/68/733498268.db2.gz UMIAHRMTYGKYKF-UONOGXRCSA-N 1 2 316.829 1.515 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@]3(C)C[C@@H]3c3ccccc3)[C@H]2C1 ZINC001083220404 734356826 /nfs/dbraw/zinc/35/68/26/734356826.db2.gz VXEWICIPCKJMNI-RMJJICAUSA-N 1 2 324.424 1.725 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@]3(C)C[C@@H]3c3ccccc3)[C@H]2C1 ZINC001083220404 734356828 /nfs/dbraw/zinc/35/68/28/734356828.db2.gz VXEWICIPCKJMNI-RMJJICAUSA-N 1 2 324.424 1.725 20 30 DDEDLO CC(C)(C#N)C(=O)N1Cc2c[nH+]cn2C[C@@H](COCC2CC2)C1 ZINC001143398687 734410959 /nfs/dbraw/zinc/41/09/59/734410959.db2.gz XJZKNXNHRFUOFL-AWEZNQCLSA-N 1 2 316.405 1.818 20 30 DDEDLO C=CCOCC(=O)NCC1(Nc2cc[nH+]c(C)n2)CCCC1 ZINC001104376039 734434554 /nfs/dbraw/zinc/43/45/54/734434554.db2.gz NBAHNQVRQRMHHJ-UHFFFAOYSA-N 1 2 304.394 1.829 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cncc(Cl)c3)[C@H]2C1 ZINC001083224226 734463166 /nfs/dbraw/zinc/46/31/66/734463166.db2.gz MYWWSUVFUNFDOC-LSDHHAIUSA-N 1 2 319.792 1.284 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cncc(Cl)c3)[C@H]2C1 ZINC001083224226 734463167 /nfs/dbraw/zinc/46/31/67/734463167.db2.gz MYWWSUVFUNFDOC-LSDHHAIUSA-N 1 2 319.792 1.284 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCN(C(=O)C#CC4CC4)CC[C@@H]3C2)cc[nH+]1 ZINC001067455175 734597319 /nfs/dbraw/zinc/59/73/19/734597319.db2.gz WKRVNJNJIZOEMA-CALCHBBNSA-N 1 2 324.428 1.873 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@](C)(O)C3CC3)C2)C1 ZINC000972340328 734797419 /nfs/dbraw/zinc/79/74/19/734797419.db2.gz WQDIWQCSGIUIRW-DLBZAZTESA-N 1 2 308.422 1.027 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@](C)(O)C3CC3)C2)C1 ZINC000972340328 734797422 /nfs/dbraw/zinc/79/74/22/734797422.db2.gz WQDIWQCSGIUIRW-DLBZAZTESA-N 1 2 308.422 1.027 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@@H]2CNC(=O)c2cn[nH]n2)c1 ZINC001024522820 735898315 /nfs/dbraw/zinc/89/83/15/735898315.db2.gz JTJVGOGOVAGFII-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@@H]2CNC(=O)c2cn[nH]n2)c1 ZINC001024522820 735898318 /nfs/dbraw/zinc/89/83/18/735898318.db2.gz JTJVGOGOVAGFII-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCC[C@@H]2CNC(=O)c2cnn[nH]2)c1 ZINC001024522820 735898319 /nfs/dbraw/zinc/89/83/19/735898319.db2.gz JTJVGOGOVAGFII-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCC[C@@H]2CNC(=O)c2cnn[nH]2)c1 ZINC001024522820 735898322 /nfs/dbraw/zinc/89/83/22/735898322.db2.gz JTJVGOGOVAGFII-OAHLLOKOSA-N 1 2 324.388 1.461 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)c2c([nH+]1)CCCC2 ZINC001058853941 738923514 /nfs/dbraw/zinc/92/35/14/738923514.db2.gz UOENVAHDWLDVMU-AAEUAGOBSA-N 1 2 313.405 1.836 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(F)cc1NC(C)=O ZINC001038324497 736337015 /nfs/dbraw/zinc/33/70/15/736337015.db2.gz BEVAYVLJMOQZBV-ZDUSSCGKSA-N 1 2 303.337 1.221 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(F)cc1NC(C)=O ZINC001038324497 736337018 /nfs/dbraw/zinc/33/70/18/736337018.db2.gz BEVAYVLJMOQZBV-ZDUSSCGKSA-N 1 2 303.337 1.221 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@H](C)CNc1ccc(C#N)nc1 ZINC001104644095 736481945 /nfs/dbraw/zinc/48/19/45/736481945.db2.gz KSINTFKZEBVXEF-LLVKDONJSA-N 1 2 312.377 1.486 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccnc(OC)n1 ZINC001028019885 738941151 /nfs/dbraw/zinc/94/11/51/738941151.db2.gz IISOCBMKBIVTPR-NSHDSACASA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccnc(OC)n1 ZINC001028019885 738941154 /nfs/dbraw/zinc/94/11/54/738941154.db2.gz IISOCBMKBIVTPR-NSHDSACASA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnc2nccn2c1 ZINC001028027072 738948643 /nfs/dbraw/zinc/94/86/43/738948643.db2.gz FLXJCFSMPDRYPL-ZDUSSCGKSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnc2nccn2c1 ZINC001028027072 738948645 /nfs/dbraw/zinc/94/86/45/738948645.db2.gz FLXJCFSMPDRYPL-ZDUSSCGKSA-N 1 2 319.796 1.676 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c4c3CCC4)[C@H]2C1 ZINC001083287244 737020624 /nfs/dbraw/zinc/02/06/24/737020624.db2.gz YMBBCKRATIPFBM-RBUKOAKNSA-N 1 2 324.424 1.642 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c4c3CCC4)[C@H]2C1 ZINC001083287244 737020627 /nfs/dbraw/zinc/02/06/27/737020627.db2.gz YMBBCKRATIPFBM-RBUKOAKNSA-N 1 2 324.424 1.642 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCCN1c1nc(C)[nH+]c(C)c1C ZINC001100808497 737509576 /nfs/dbraw/zinc/50/95/76/737509576.db2.gz YQOLAKZTPJNYHR-HNNXBMFYSA-N 1 2 318.421 1.689 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCc3[nH]cc[nH+]3)CCC2)cn1 ZINC001111794255 737640578 /nfs/dbraw/zinc/64/05/78/737640578.db2.gz KFOJCQGLTOLPRH-UHFFFAOYSA-N 1 2 324.388 1.760 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C3CC3)no2)C[C@H]1OC ZINC001212022363 741943342 /nfs/dbraw/zinc/94/33/42/741943342.db2.gz NCIVERAJUYMVFO-HZPDHXFCSA-N 1 2 319.405 1.834 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C3CC3)no2)C[C@H]1OC ZINC001212022363 741943345 /nfs/dbraw/zinc/94/33/45/741943345.db2.gz NCIVERAJUYMVFO-HZPDHXFCSA-N 1 2 319.405 1.834 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](CNc1cc[nH+]c(C)n1)C(C)(C)C ZINC001125990725 738103800 /nfs/dbraw/zinc/10/38/00/738103800.db2.gz CHHGNVMRMWPJEZ-TZMCWYRMSA-N 1 2 318.421 1.766 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC001027406108 738266119 /nfs/dbraw/zinc/26/61/19/738266119.db2.gz NBDVGHBZYDXVNL-OAHLLOKOSA-N 1 2 301.390 1.819 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC001027406108 738266122 /nfs/dbraw/zinc/26/61/22/738266122.db2.gz NBDVGHBZYDXVNL-OAHLLOKOSA-N 1 2 301.390 1.819 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(CC)c1C1CC1 ZINC001038901700 739111383 /nfs/dbraw/zinc/11/13/83/739111383.db2.gz XFVXNHYHAJDRKE-AWEZNQCLSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(CC)c1C1CC1 ZINC001038901700 739111387 /nfs/dbraw/zinc/11/13/87/739111387.db2.gz XFVXNHYHAJDRKE-AWEZNQCLSA-N 1 2 300.406 1.608 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc2ncccn2n1 ZINC001028217824 739181809 /nfs/dbraw/zinc/18/18/09/739181809.db2.gz VTARTEWIUYBZMC-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cc2ncccn2n1 ZINC001028217824 739181810 /nfs/dbraw/zinc/18/18/10/739181810.db2.gz VTARTEWIUYBZMC-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+]Cc1nc(C2CCCC2)no1 ZINC001126338434 739207184 /nfs/dbraw/zinc/20/71/84/739207184.db2.gz AHYGFERGKKERIP-UHFFFAOYSA-N 1 2 304.394 1.737 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)c(C)s1 ZINC001075621275 739231208 /nfs/dbraw/zinc/23/12/08/739231208.db2.gz URTFEVWMGQANDP-RIEGTJTDSA-N 1 2 318.446 1.952 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)c(C)s1 ZINC001075621275 739231212 /nfs/dbraw/zinc/23/12/12/739231212.db2.gz URTFEVWMGQANDP-RIEGTJTDSA-N 1 2 318.446 1.952 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(OC)c(OC)c1 ZINC001038981900 739247666 /nfs/dbraw/zinc/24/76/66/739247666.db2.gz MKSFVNHBTGFJPV-CQSZACIVSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(OC)c(OC)c1 ZINC001038981900 739247669 /nfs/dbraw/zinc/24/76/69/739247669.db2.gz MKSFVNHBTGFJPV-CQSZACIVSA-N 1 2 302.374 1.531 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2nocc2C)nc1 ZINC001028273162 739264109 /nfs/dbraw/zinc/26/41/09/739264109.db2.gz ZNJXMMQWPCRZAC-HNNXBMFYSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2nocc2C)nc1 ZINC001028273162 739264111 /nfs/dbraw/zinc/26/41/11/739264111.db2.gz ZNJXMMQWPCRZAC-HNNXBMFYSA-N 1 2 324.384 1.754 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2nonc2C)C1 ZINC001087444478 739297483 /nfs/dbraw/zinc/29/74/83/739297483.db2.gz LITPBDXUGAQCSS-HZPDHXFCSA-N 1 2 324.384 1.599 20 30 DDEDLO C#CC[N@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2nonc2C)C1 ZINC001087444478 739297484 /nfs/dbraw/zinc/29/74/84/739297484.db2.gz LITPBDXUGAQCSS-HZPDHXFCSA-N 1 2 324.384 1.599 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccnn2[C@H](C)CC)C1 ZINC001035385835 751456131 /nfs/dbraw/zinc/45/61/31/751456131.db2.gz FXAHMTCYKPDOKH-CABCVRRESA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccnn2[C@H](C)CC)C1 ZINC001035385835 751456133 /nfs/dbraw/zinc/45/61/33/751456133.db2.gz FXAHMTCYKPDOKH-CABCVRRESA-N 1 2 320.437 1.861 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2conc2C(C)C)C1 ZINC001035393182 751462357 /nfs/dbraw/zinc/46/23/57/751462357.db2.gz WLIMONSEQHYVHJ-CYBMUJFWSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2conc2C(C)C)C1 ZINC001035393182 751462364 /nfs/dbraw/zinc/46/23/64/751462364.db2.gz WLIMONSEQHYVHJ-CYBMUJFWSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(F)ccc2F)C1 ZINC001035396032 751465667 /nfs/dbraw/zinc/46/56/67/751465667.db2.gz BRTWMBDJUKUBQC-CYBMUJFWSA-N 1 2 310.344 1.972 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(F)ccc2F)C1 ZINC001035396032 751465673 /nfs/dbraw/zinc/46/56/73/751465673.db2.gz BRTWMBDJUKUBQC-CYBMUJFWSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccn3c2CCCC3)C1 ZINC001035397006 751466888 /nfs/dbraw/zinc/46/68/88/751466888.db2.gz CYRHRRGQJZJTHB-CQSZACIVSA-N 1 2 303.406 1.441 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccn3c2CCCC3)C1 ZINC001035397006 751466890 /nfs/dbraw/zinc/46/68/90/751466890.db2.gz CYRHRRGQJZJTHB-CQSZACIVSA-N 1 2 303.406 1.441 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001035411345 751493218 /nfs/dbraw/zinc/49/32/18/751493218.db2.gz CXUQIEHZIOHHQX-ZDUSSCGKSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001035411345 751493220 /nfs/dbraw/zinc/49/32/20/751493220.db2.gz CXUQIEHZIOHHQX-ZDUSSCGKSA-N 1 2 300.362 1.180 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc3cccn3cn2)C1 ZINC001035411671 751494192 /nfs/dbraw/zinc/49/41/92/751494192.db2.gz OUEBEQXSLGBPGP-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc3cccn3cn2)C1 ZINC001035411671 751494195 /nfs/dbraw/zinc/49/41/95/751494195.db2.gz OUEBEQXSLGBPGP-HNNXBMFYSA-N 1 2 314.389 1.341 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(Cl)cc2F)C1 ZINC001035435479 751513066 /nfs/dbraw/zinc/51/30/66/751513066.db2.gz QCIQGLHTPMLMDH-CYBMUJFWSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(Cl)cc2F)C1 ZINC001035435479 751513068 /nfs/dbraw/zinc/51/30/68/751513068.db2.gz QCIQGLHTPMLMDH-CYBMUJFWSA-N 1 2 324.783 1.933 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)cc2F)C1 ZINC001035435796 751513320 /nfs/dbraw/zinc/51/33/20/751513320.db2.gz NDWMGLBIKDVWJP-ZDUSSCGKSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)cc2F)C1 ZINC001035435796 751513322 /nfs/dbraw/zinc/51/33/22/751513322.db2.gz NDWMGLBIKDVWJP-ZDUSSCGKSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccnc2C(F)F)C1 ZINC001035438027 751514897 /nfs/dbraw/zinc/51/48/97/751514897.db2.gz ISRJFMJLLFDJSI-NSHDSACASA-N 1 2 311.332 1.636 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccnc2C(F)F)C1 ZINC001035438027 751514902 /nfs/dbraw/zinc/51/49/02/751514902.db2.gz ISRJFMJLLFDJSI-NSHDSACASA-N 1 2 311.332 1.636 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccc3nccn32)C1 ZINC001035447718 751524811 /nfs/dbraw/zinc/52/48/11/751524811.db2.gz JPYPTZSKUXKVIY-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccc3nccn32)C1 ZINC001035447718 751524816 /nfs/dbraw/zinc/52/48/16/751524816.db2.gz JPYPTZSKUXKVIY-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098696272 740341838 /nfs/dbraw/zinc/34/18/38/740341838.db2.gz PYWVRLUDCBSROU-UKRRQHHQSA-N 1 2 318.421 1.803 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3C/C=C\Cl)c1 ZINC001029260698 740500142 /nfs/dbraw/zinc/50/01/42/740500142.db2.gz TXXCLXHYDKFASW-JMYYQDLTSA-N 1 2 304.781 1.928 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3C/C=C\Cl)c1 ZINC001029260698 740500144 /nfs/dbraw/zinc/50/01/44/740500144.db2.gz TXXCLXHYDKFASW-JMYYQDLTSA-N 1 2 304.781 1.928 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3C/C=C\Cl)c[nH]1 ZINC001029277903 740515622 /nfs/dbraw/zinc/51/56/22/740515622.db2.gz CBWKXJNZYSLWEK-CPKMYLHUSA-N 1 2 304.781 1.928 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3C/C=C\Cl)c[nH]1 ZINC001029277903 740515624 /nfs/dbraw/zinc/51/56/24/740515624.db2.gz CBWKXJNZYSLWEK-CPKMYLHUSA-N 1 2 304.781 1.928 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncoc2C(C)(C)C)C1 ZINC001035570385 751624143 /nfs/dbraw/zinc/62/41/43/751624143.db2.gz PSHNYYTYNRJRQL-CYBMUJFWSA-N 1 2 321.421 1.979 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncoc2C(C)(C)C)C1 ZINC001035570385 751624145 /nfs/dbraw/zinc/62/41/45/751624145.db2.gz PSHNYYTYNRJRQL-CYBMUJFWSA-N 1 2 321.421 1.979 20 30 DDEDLO N#CCN[C@@H]1CCCCCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001088329796 741394178 /nfs/dbraw/zinc/39/41/78/741394178.db2.gz HKECPEQYKFDLFK-MGPQQGTHSA-N 1 2 301.394 1.398 20 30 DDEDLO N#CCN[C@@H]1CCCCCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001088329796 741394185 /nfs/dbraw/zinc/39/41/85/741394185.db2.gz HKECPEQYKFDLFK-MGPQQGTHSA-N 1 2 301.394 1.398 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2nnn(C(C)C)c2C)C1 ZINC001035560604 751642379 /nfs/dbraw/zinc/64/23/79/751642379.db2.gz YVBCXBXWSWXDES-CQSZACIVSA-N 1 2 321.425 1.174 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2nnn(C(C)C)c2C)C1 ZINC001035560604 751642386 /nfs/dbraw/zinc/64/23/86/751642386.db2.gz YVBCXBXWSWXDES-CQSZACIVSA-N 1 2 321.425 1.174 20 30 DDEDLO N#CCCN1CCN(C(=O)C[N@@H+]2CCc3sccc3C2)CC1 ZINC001142219631 741493947 /nfs/dbraw/zinc/49/39/47/741493947.db2.gz YGWQBOHSLGTZRF-UHFFFAOYSA-N 1 2 318.446 1.164 20 30 DDEDLO N#CCCN1CCN(C(=O)C[N@H+]2CCc3sccc3C2)CC1 ZINC001142219631 741493951 /nfs/dbraw/zinc/49/39/51/741493951.db2.gz YGWQBOHSLGTZRF-UHFFFAOYSA-N 1 2 318.446 1.164 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001059747656 741672018 /nfs/dbraw/zinc/67/20/18/741672018.db2.gz NYXQBVKZWXLRCU-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@H](NC(=O)c2c[nH]c(C#N)c2)[C@H]1C ZINC001088533876 741706105 /nfs/dbraw/zinc/70/61/05/741706105.db2.gz OQKJKOBWZAFXBF-RISCZKNCSA-N 1 2 313.361 1.576 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@H](NC(=O)c2c[nH]c(C#N)c2)[C@H]1C ZINC001088533876 741706106 /nfs/dbraw/zinc/70/61/06/741706106.db2.gz OQKJKOBWZAFXBF-RISCZKNCSA-N 1 2 313.361 1.576 20 30 DDEDLO Cc1ocnc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038106153 741715896 /nfs/dbraw/zinc/71/58/96/741715896.db2.gz JPEDUQSAFSVFFR-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1ocnc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038106153 741715897 /nfs/dbraw/zinc/71/58/97/741715897.db2.gz JPEDUQSAFSVFFR-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)C2CC3(C2)CCOCC3)C1 ZINC001035578055 751666432 /nfs/dbraw/zinc/66/64/32/751666432.db2.gz SZZIVDULSODWJX-INIZCTEOSA-N 1 2 322.449 1.586 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)C2CC3(C2)CCOCC3)C1 ZINC001035578055 751666436 /nfs/dbraw/zinc/66/64/36/751666436.db2.gz SZZIVDULSODWJX-INIZCTEOSA-N 1 2 322.449 1.586 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2C[C@@H](Nc3cc[nH+]c(C)n3)C2)c1 ZINC001059819687 741770185 /nfs/dbraw/zinc/77/01/85/741770185.db2.gz MHLQWYWCMLYXOF-GASCZTMLSA-N 1 2 307.357 1.534 20 30 DDEDLO CN(CCN(C)c1cccc(F)c1C#N)C(=O)Cc1[nH]cc[nH+]1 ZINC001105454402 741783659 /nfs/dbraw/zinc/78/36/59/741783659.db2.gz WHNPXJBSISQXTP-UHFFFAOYSA-N 1 2 315.352 1.558 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001038474654 741819665 /nfs/dbraw/zinc/81/96/65/741819665.db2.gz HFRZXZBYCJSQFK-DZGCQCFKSA-N 1 2 304.777 1.467 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001038474654 741819668 /nfs/dbraw/zinc/81/96/68/741819668.db2.gz HFRZXZBYCJSQFK-DZGCQCFKSA-N 1 2 304.777 1.467 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(F)cccc2F)C1 ZINC001035590475 751685071 /nfs/dbraw/zinc/68/50/71/751685071.db2.gz OZPMGAXMACVEQG-LBPRGKRZSA-N 1 2 308.328 1.419 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(F)cccc2F)C1 ZINC001035590475 751685077 /nfs/dbraw/zinc/68/50/77/751685077.db2.gz OZPMGAXMACVEQG-LBPRGKRZSA-N 1 2 308.328 1.419 20 30 DDEDLO C=C(C)C(=O)N1CC[N@@H+](Cc2ccccc2)C[C@@H]1CC(=O)OC ZINC001142511614 742139892 /nfs/dbraw/zinc/13/98/92/742139892.db2.gz PBDKRRRPYHZRDA-INIZCTEOSA-N 1 2 316.401 1.839 20 30 DDEDLO C=C(C)C(=O)N1CC[N@H+](Cc2ccccc2)C[C@@H]1CC(=O)OC ZINC001142511614 742139897 /nfs/dbraw/zinc/13/98/97/742139897.db2.gz PBDKRRRPYHZRDA-INIZCTEOSA-N 1 2 316.401 1.839 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2csc([C@@H]3CCCO3)n2)C1 ZINC001042722808 742180146 /nfs/dbraw/zinc/18/01/46/742180146.db2.gz PXSWUHSXLRUUFN-AWEZNQCLSA-N 1 2 319.430 1.774 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[NH2+]Cc1nc(C2CCCC2)no1 ZINC001126893234 742439725 /nfs/dbraw/zinc/43/97/25/742439725.db2.gz HPUHCFOZNORHAR-UHFFFAOYSA-N 1 2 318.421 1.983 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001126893309 742440980 /nfs/dbraw/zinc/44/09/80/742440980.db2.gz KTKMHGGVLDRBPF-UHFFFAOYSA-N 1 2 300.309 1.263 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076321433 742665333 /nfs/dbraw/zinc/66/53/33/742665333.db2.gz FYGIQPMOZDOSOR-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001076412827 742717585 /nfs/dbraw/zinc/71/75/85/742717585.db2.gz WXCGPUZTEMVADQ-KBPBESRZSA-N 1 2 318.421 1.259 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001076412827 742717588 /nfs/dbraw/zinc/71/75/88/742717588.db2.gz WXCGPUZTEMVADQ-KBPBESRZSA-N 1 2 318.421 1.259 20 30 DDEDLO CCn1ccc(C[NH+]2CC3(C2)CCN(C(=O)[C@@H](C)C#N)CC3)n1 ZINC001035670384 751776337 /nfs/dbraw/zinc/77/63/37/751776337.db2.gz FDNNJYCQSHLNIJ-AWEZNQCLSA-N 1 2 315.421 1.487 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](C)CCN1C(=O)CC1(C#N)CCCCC1 ZINC001181354328 743163675 /nfs/dbraw/zinc/16/36/75/743163675.db2.gz QDAQHOFOVLGKQR-ZDUSSCGKSA-N 1 2 307.394 1.166 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](C)CCN1C(=O)CC1(C#N)CCCCC1 ZINC001181354328 743163679 /nfs/dbraw/zinc/16/36/79/743163679.db2.gz QDAQHOFOVLGKQR-ZDUSSCGKSA-N 1 2 307.394 1.166 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH]c[nH+]1)NC(=O)C#CC(C)(C)C ZINC001077014577 743168342 /nfs/dbraw/zinc/16/83/42/743168342.db2.gz DZALKFFHRLSLHV-ZDUSSCGKSA-N 1 2 318.421 1.403 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1c[nH+]c[nH]1)NC(=O)C#CC(C)(C)C ZINC001077014577 743168344 /nfs/dbraw/zinc/16/83/44/743168344.db2.gz DZALKFFHRLSLHV-ZDUSSCGKSA-N 1 2 318.421 1.403 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001061095185 743183142 /nfs/dbraw/zinc/18/31/42/743183142.db2.gz KDUKYKDLYHGIGW-HUUCEWRRSA-N 1 2 324.388 1.111 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2cnsn2)C1 ZINC001181462003 743206086 /nfs/dbraw/zinc/20/60/86/743206086.db2.gz XKIBXFRGQQJDIW-VXGBXAGGSA-N 1 2 310.423 1.210 20 30 DDEDLO Cc1nc(C[NH+]2CCC(NC(=O)c3cc(C#N)c[nH]3)CC2)co1 ZINC001002551743 743232697 /nfs/dbraw/zinc/23/26/97/743232697.db2.gz PXALDVBXMAQRPM-UHFFFAOYSA-N 1 2 313.361 1.577 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C[C@@H]2C=CCCC2)[C@H](OC)C1 ZINC001212451389 743282200 /nfs/dbraw/zinc/28/22/00/743282200.db2.gz WMZLZKDFNOLPOQ-BRWVUGGUSA-N 1 2 320.433 1.198 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C[C@@H]2C=CCCC2)[C@H](OC)C1 ZINC001212451389 743282203 /nfs/dbraw/zinc/28/22/03/743282203.db2.gz WMZLZKDFNOLPOQ-BRWVUGGUSA-N 1 2 320.433 1.198 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCC23CC3)[C@H](OC)C1 ZINC001212455671 743331235 /nfs/dbraw/zinc/33/12/35/743331235.db2.gz UXGOYGVFNXXTIO-BZUAXINKSA-N 1 2 320.433 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CCCC23CC3)[C@H](OC)C1 ZINC001212455671 743331243 /nfs/dbraw/zinc/33/12/43/743331243.db2.gz UXGOYGVFNXXTIO-BZUAXINKSA-N 1 2 320.433 1.032 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@H+](CCCOC)CC2 ZINC001128250940 743373867 /nfs/dbraw/zinc/37/38/67/743373867.db2.gz AGVLXXDRSBEHFF-UHFFFAOYSA-N 1 2 306.410 1.318 20 30 DDEDLO C=CCCC(=O)NCc1cnn2c1C[N@@H+](CCCOC)CC2 ZINC001128250940 743373875 /nfs/dbraw/zinc/37/38/75/743373875.db2.gz AGVLXXDRSBEHFF-UHFFFAOYSA-N 1 2 306.410 1.318 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)OCC3CC3)CC2)C1 ZINC001105694974 743484900 /nfs/dbraw/zinc/48/49/00/743484900.db2.gz BVZBNRSQLOMVRN-CQSZACIVSA-N 1 2 322.449 1.679 20 30 DDEDLO C[C@@H](F)CC[N@@H+]1CCn2ncc(CNC(=O)C#CC3CC3)c2C1 ZINC001128346703 743635605 /nfs/dbraw/zinc/63/56/05/743635605.db2.gz DLKSANMNUHGHKA-CYBMUJFWSA-N 1 2 318.396 1.476 20 30 DDEDLO C[C@@H](F)CC[N@H+]1CCn2ncc(CNC(=O)C#CC3CC3)c2C1 ZINC001128346703 743635608 /nfs/dbraw/zinc/63/56/08/743635608.db2.gz DLKSANMNUHGHKA-CYBMUJFWSA-N 1 2 318.396 1.476 20 30 DDEDLO C[C@H](C(=O)NCC[N@@H+]1CCOC[C@H]1C)c1ccc(C#N)cc1 ZINC001182798431 743719264 /nfs/dbraw/zinc/71/92/64/743719264.db2.gz HXTMAOHUNHRXBF-KGLIPLIRSA-N 1 2 301.390 1.499 20 30 DDEDLO C[C@H](C(=O)NCC[N@H+]1CCOC[C@H]1C)c1ccc(C#N)cc1 ZINC001182798431 743719267 /nfs/dbraw/zinc/71/92/67/743719267.db2.gz HXTMAOHUNHRXBF-KGLIPLIRSA-N 1 2 301.390 1.499 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001182840827 743737972 /nfs/dbraw/zinc/73/79/72/743737972.db2.gz ARCRWXRBXIQGDT-CYBMUJFWSA-N 1 2 306.435 1.997 20 30 DDEDLO C=CCC1(C(=O)N2CCC(OC3C[NH+](CCO)C3)CC2)CCC1 ZINC001105723924 743761360 /nfs/dbraw/zinc/76/13/60/743761360.db2.gz KGVFHCJIPFGTBF-UHFFFAOYSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CCC[NH+]1CC(NC(=O)c2csc([C@@H]3CCCO3)n2)C1 ZINC001030316245 744044879 /nfs/dbraw/zinc/04/48/79/744044879.db2.gz BWULQQPECIZFKF-ZDUSSCGKSA-N 1 2 307.419 1.985 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2csnn2)C1 ZINC001185035794 744148530 /nfs/dbraw/zinc/14/85/30/744148530.db2.gz RFCGKHKFDAQXQE-CHWSQXEVSA-N 1 2 306.435 1.983 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CCCC)C2)nn1 ZINC001185871864 744297017 /nfs/dbraw/zinc/29/70/17/744297017.db2.gz DGVGBDRKAUUAIP-HNNXBMFYSA-N 1 2 303.410 1.355 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@@H](C)CC)C2)nn1 ZINC001185871803 744297092 /nfs/dbraw/zinc/29/70/92/744297092.db2.gz CPYGWFQEVCRDTM-GOEBONIOSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@@H](C)CC)C2)nn1 ZINC001185872163 744297136 /nfs/dbraw/zinc/29/71/36/744297136.db2.gz FZGIOYSSLCICAM-ZFWWWQNUSA-N 1 2 303.410 1.211 20 30 DDEDLO CNc1ccc(C#N)cc1NC(=O)C[N@@H+]1CC[C@H](C(=O)OC)C1 ZINC001186110493 744345758 /nfs/dbraw/zinc/34/57/58/744345758.db2.gz XSMTXVLZLBVIHH-LBPRGKRZSA-N 1 2 316.361 1.033 20 30 DDEDLO CNc1ccc(C#N)cc1NC(=O)C[N@H+]1CC[C@H](C(=O)OC)C1 ZINC001186110493 744345762 /nfs/dbraw/zinc/34/57/62/744345762.db2.gz XSMTXVLZLBVIHH-LBPRGKRZSA-N 1 2 316.361 1.033 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)[C@H]1C ZINC001088951162 744398216 /nfs/dbraw/zinc/39/82/16/744398216.db2.gz CCLDVXJWKCYOSL-GUTXKFCHSA-N 1 2 314.433 1.750 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)[C@H]1C ZINC001088951162 744398217 /nfs/dbraw/zinc/39/82/17/744398217.db2.gz CCLDVXJWKCYOSL-GUTXKFCHSA-N 1 2 314.433 1.750 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H](C)[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001089178396 744673891 /nfs/dbraw/zinc/67/38/91/744673891.db2.gz VMPVSUBKESNAAK-DZGCQCFKSA-N 1 2 318.421 1.884 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([NH2+][C@@H](C)c2nc(CC)no2)C1 ZINC001188302147 744693720 /nfs/dbraw/zinc/69/37/20/744693720.db2.gz UJHBJVBLFWNTLT-QWHCGFSZSA-N 1 2 322.409 1.476 20 30 DDEDLO Cc1nnsc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C#N)C2 ZINC001110401768 744754559 /nfs/dbraw/zinc/75/45/59/744754559.db2.gz NNIKGXRPPIARAH-GMNPVEAJSA-N 1 2 305.407 1.228 20 30 DDEDLO Cc1nnsc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C#N)C2 ZINC001110401768 744754561 /nfs/dbraw/zinc/75/45/61/744754561.db2.gz NNIKGXRPPIARAH-GMNPVEAJSA-N 1 2 305.407 1.228 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3cccnc3)C[C@@H]2O)cc1 ZINC001083690577 744813143 /nfs/dbraw/zinc/81/31/43/744813143.db2.gz AVYDKZBMZXDIHQ-MSOLQXFVSA-N 1 2 321.380 1.038 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3cccnc3)C[C@@H]2O)cc1 ZINC001083690577 744813146 /nfs/dbraw/zinc/81/31/46/744813146.db2.gz AVYDKZBMZXDIHQ-MSOLQXFVSA-N 1 2 321.380 1.038 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001189242988 744852369 /nfs/dbraw/zinc/85/23/69/744852369.db2.gz OHRVFPPMZFGYHS-BBRMVZONSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001189242988 744852372 /nfs/dbraw/zinc/85/23/72/744852372.db2.gz OHRVFPPMZFGYHS-BBRMVZONSA-N 1 2 321.421 1.997 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(NC(=O)c3n[nH]c4ccccc43)CCC[C@@H]12 ZINC000992485434 744910856 /nfs/dbraw/zinc/91/08/56/744910856.db2.gz FXGSMJVCZNWNSW-QAPCUYQASA-N 1 2 308.385 1.923 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(NC(=O)c3n[nH]c4ccccc43)CCC[C@@H]12 ZINC000992485434 744910859 /nfs/dbraw/zinc/91/08/59/744910859.db2.gz FXGSMJVCZNWNSW-QAPCUYQASA-N 1 2 308.385 1.923 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(C)s2)C1 ZINC001189917638 745033015 /nfs/dbraw/zinc/03/30/15/745033015.db2.gz IWJYCFZZZYQOSN-XJKSGUPXSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(C)s2)C1 ZINC001189917638 745033023 /nfs/dbraw/zinc/03/30/23/745033023.db2.gz IWJYCFZZZYQOSN-XJKSGUPXSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2nocc2C)C1 ZINC001189918896 745034561 /nfs/dbraw/zinc/03/45/61/745034561.db2.gz WCHPLKWMUFRURI-CJNGLKHVSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001189918896 745034565 /nfs/dbraw/zinc/03/45/65/745034565.db2.gz WCHPLKWMUFRURI-CJNGLKHVSA-N 1 2 307.394 1.343 20 30 DDEDLO COCC#CC[N@H+](C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190270092 745169414 /nfs/dbraw/zinc/16/94/14/745169414.db2.gz NNBLBTHFTOYMDW-WDEREUQCSA-N 1 2 310.291 1.069 20 30 DDEDLO COCC#CC[N@@H+](C)[C@H]1CCN(C(=O)C(F)C(F)(F)F)C1 ZINC001190270092 745169415 /nfs/dbraw/zinc/16/94/15/745169415.db2.gz NNBLBTHFTOYMDW-WDEREUQCSA-N 1 2 310.291 1.069 20 30 DDEDLO COCC#CC[N@H+](C)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001190270092 745169418 /nfs/dbraw/zinc/16/94/18/745169418.db2.gz NNBLBTHFTOYMDW-WDEREUQCSA-N 1 2 310.291 1.069 20 30 DDEDLO COCC#CC[N@@H+](C)[C@H]1CCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001190270092 745169421 /nfs/dbraw/zinc/16/94/21/745169421.db2.gz NNBLBTHFTOYMDW-WDEREUQCSA-N 1 2 310.291 1.069 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001190441393 745221584 /nfs/dbraw/zinc/22/15/84/745221584.db2.gz TWUGIYWMONDUMR-MELADBBJSA-N 1 2 321.446 1.767 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001190441393 745221589 /nfs/dbraw/zinc/22/15/89/745221589.db2.gz TWUGIYWMONDUMR-MELADBBJSA-N 1 2 321.446 1.767 20 30 DDEDLO CC(C)(C)[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)cn1 ZINC001190598426 745269086 /nfs/dbraw/zinc/26/90/86/745269086.db2.gz FRUCSYUBRIFXKU-HNNXBMFYSA-N 1 2 316.405 1.430 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NC1CN(Cc2c[nH+]cn2C)C1 ZINC001031077344 745328420 /nfs/dbraw/zinc/32/84/20/745328420.db2.gz OTQLICNDDIGZKZ-HOTGVXAUSA-N 1 2 318.421 1.092 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001190842762 745348100 /nfs/dbraw/zinc/34/81/00/745348100.db2.gz WIQLBXISPSKGLT-HZPDHXFCSA-N 1 2 317.364 1.325 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@H]1O ZINC001190842762 745348106 /nfs/dbraw/zinc/34/81/06/745348106.db2.gz WIQLBXISPSKGLT-HZPDHXFCSA-N 1 2 317.364 1.325 20 30 DDEDLO N#Cc1c[n-]n2c1nc(C[NH+]1CCC(c3[nH]cc[nH+]3)CC1)cc2=O ZINC001191381522 745487943 /nfs/dbraw/zinc/48/79/43/745487943.db2.gz KSDMLBLQASBUDV-UHFFFAOYSA-N 1 2 323.360 1.409 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@H]1O ZINC001191714709 745581403 /nfs/dbraw/zinc/58/14/03/745581403.db2.gz IYWSZAKUEDGKCB-HUUCEWRRSA-N 1 2 308.809 1.968 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@H]1O ZINC001191714709 745581410 /nfs/dbraw/zinc/58/14/10/745581410.db2.gz IYWSZAKUEDGKCB-HUUCEWRRSA-N 1 2 308.809 1.968 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@H]1O ZINC001191854186 745617739 /nfs/dbraw/zinc/61/77/39/745617739.db2.gz JSKJBVFMNHXTHS-ZLKJLUDKSA-N 1 2 307.781 1.161 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@H]1O ZINC001191854186 745617740 /nfs/dbraw/zinc/61/77/40/745617740.db2.gz JSKJBVFMNHXTHS-ZLKJLUDKSA-N 1 2 307.781 1.161 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2ccnn2C)[C@@H]1C ZINC000993252909 745926814 /nfs/dbraw/zinc/92/68/14/745926814.db2.gz CQJGBGCOGGAHLG-JSGCOSHPSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2ccnn2C)[C@@H]1C ZINC000993252909 745926818 /nfs/dbraw/zinc/92/68/18/745926818.db2.gz CQJGBGCOGGAHLG-JSGCOSHPSA-N 1 2 310.829 1.684 20 30 DDEDLO CC[C@@H](C)CCCCC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001193320077 746060668 /nfs/dbraw/zinc/06/06/68/746060668.db2.gz LMMMEQINRCFDGL-BRWVUGGUSA-N 1 2 324.465 1.404 20 30 DDEDLO CC[C@@H](C)CCCCC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001193320077 746060673 /nfs/dbraw/zinc/06/06/73/746060673.db2.gz LMMMEQINRCFDGL-BRWVUGGUSA-N 1 2 324.465 1.404 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cn(C)c(=O)cn2)[C@@H]1C ZINC000993615702 746234889 /nfs/dbraw/zinc/23/48/89/746234889.db2.gz VVZANTXYJGJZMD-RYUDHWBXSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cn(C)c(=O)cn2)[C@@H]1C ZINC000993615702 746234893 /nfs/dbraw/zinc/23/48/93/746234893.db2.gz VVZANTXYJGJZMD-RYUDHWBXSA-N 1 2 324.812 1.116 20 30 DDEDLO Cc1[nH+]c[nH]c1CSCCNC(=O)c1cncc(C#N)c1 ZINC001194112438 746277660 /nfs/dbraw/zinc/27/76/60/746277660.db2.gz GXFDKTPCSPHYAX-UHFFFAOYSA-N 1 2 301.375 1.648 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CCC[N@H+](Cc3cncs3)C2)c[nH]1 ZINC001007155147 746296508 /nfs/dbraw/zinc/29/65/08/746296508.db2.gz JHHOZSNRLSLEHS-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cncs3)C2)c[nH]1 ZINC001007155147 746296509 /nfs/dbraw/zinc/29/65/09/746296509.db2.gz JHHOZSNRLSLEHS-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CCc2ccco2)CC1 ZINC001194795242 746456963 /nfs/dbraw/zinc/45/69/63/746456963.db2.gz KDKNOECBRNRIDO-UHFFFAOYSA-N 1 2 319.405 1.049 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CCc2ccco2)CC1 ZINC001194795242 746456965 /nfs/dbraw/zinc/45/69/65/746456965.db2.gz KDKNOECBRNRIDO-UHFFFAOYSA-N 1 2 319.405 1.049 20 30 DDEDLO CCCOCC(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC001195158828 746542737 /nfs/dbraw/zinc/54/27/37/746542737.db2.gz FGVLHFCFXHDOEV-UHFFFAOYSA-N 1 2 314.429 1.999 20 30 DDEDLO CCCOCC(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC001195158828 746542740 /nfs/dbraw/zinc/54/27/40/746542740.db2.gz FGVLHFCFXHDOEV-UHFFFAOYSA-N 1 2 314.429 1.999 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2nc(C)c(C)s2)CC1 ZINC001195284493 746568600 /nfs/dbraw/zinc/56/86/00/746568600.db2.gz WFAAZOCYMCOYEC-UHFFFAOYSA-N 1 2 323.462 1.997 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2nc(C)c(C)s2)CC1 ZINC001195284493 746568603 /nfs/dbraw/zinc/56/86/03/746568603.db2.gz WFAAZOCYMCOYEC-UHFFFAOYSA-N 1 2 323.462 1.997 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2sc(COC)nc2C)[C@H]1C ZINC000994298034 746586904 /nfs/dbraw/zinc/58/69/04/746586904.db2.gz KHFAKFWSOITKJH-OLZOCXBDSA-N 1 2 321.446 1.814 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2sc(COC)nc2C)[C@H]1C ZINC000994298034 746586906 /nfs/dbraw/zinc/58/69/06/746586906.db2.gz KHFAKFWSOITKJH-OLZOCXBDSA-N 1 2 321.446 1.814 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)CCCF)C2)s1 ZINC001195373014 746587021 /nfs/dbraw/zinc/58/70/21/746587021.db2.gz CYWXPTMDBVZLKR-CHWSQXEVSA-N 1 2 311.382 1.031 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)CCCF)C2)s1 ZINC001195373014 746587023 /nfs/dbraw/zinc/58/70/23/746587023.db2.gz CYWXPTMDBVZLKR-CHWSQXEVSA-N 1 2 311.382 1.031 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc(=O)n(CCCC)n2)C1 ZINC001031374261 746709134 /nfs/dbraw/zinc/70/91/34/746709134.db2.gz CBYUARQIGBEVQZ-UHFFFAOYSA-N 1 2 304.394 1.034 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2Oc3ccccc3O[C@@H]2C)C1 ZINC001031378514 746712958 /nfs/dbraw/zinc/71/29/58/746712958.db2.gz VGMXKHZFJZVHQV-MLGOLLRUSA-N 1 2 300.358 1.039 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@@H+]([C@@H](C)c2ncc(C)o2)CC1 ZINC001195824020 746717416 /nfs/dbraw/zinc/71/74/16/746717416.db2.gz GTSXBYMYNKCYJL-HNNXBMFYSA-N 1 2 319.405 1.618 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@H+]([C@@H](C)c2ncc(C)o2)CC1 ZINC001195824020 746717420 /nfs/dbraw/zinc/71/74/20/746717420.db2.gz GTSXBYMYNKCYJL-HNNXBMFYSA-N 1 2 319.405 1.618 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1NC(=O)C#CC(C)C ZINC001212231040 746826281 /nfs/dbraw/zinc/82/62/81/746826281.db2.gz IVTGDIDAYMWYED-IAGOWNOFSA-N 1 2 318.392 1.801 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1NC(=O)C#CC(C)C ZINC001212231040 746826288 /nfs/dbraw/zinc/82/62/88/746826288.db2.gz IVTGDIDAYMWYED-IAGOWNOFSA-N 1 2 318.392 1.801 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)no1 ZINC001031530758 746966723 /nfs/dbraw/zinc/96/67/23/746966723.db2.gz BUSYPBJIMNDFTP-UHFFFAOYSA-N 1 2 310.357 1.717 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)N2CCC2)CC1 ZINC001196853084 746990868 /nfs/dbraw/zinc/99/08/68/746990868.db2.gz GTCGNNNLEYDVMR-CABCVRRESA-N 1 2 307.438 1.211 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)N2CCC2)CC1 ZINC001196853084 746990871 /nfs/dbraw/zinc/99/08/71/746990871.db2.gz GTCGNNNLEYDVMR-CABCVRRESA-N 1 2 307.438 1.211 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)N(C)C(C)C)CC2)C1 ZINC001197093442 747048907 /nfs/dbraw/zinc/04/89/07/747048907.db2.gz UDGSUGSHKAFYCY-UHFFFAOYSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+](CC(=O)N(C)C(C)C)CC2)C1 ZINC001197093442 747048908 /nfs/dbraw/zinc/04/89/08/747048908.db2.gz UDGSUGSHKAFYCY-UHFFFAOYSA-N 1 2 321.465 1.744 20 30 DDEDLO Cc1csc(C[NH+]2CC(CNC(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC001031603674 747154181 /nfs/dbraw/zinc/15/41/81/747154181.db2.gz VENYRHILTQHMMW-UHFFFAOYSA-N 1 2 315.402 1.513 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CC(CC)CC)CC1 ZINC001197587137 747212657 /nfs/dbraw/zinc/21/26/57/747212657.db2.gz PTDONSPROJICRY-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CC(CC)CC)CC1 ZINC001197587137 747212660 /nfs/dbraw/zinc/21/26/60/747212660.db2.gz PTDONSPROJICRY-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO Cc1nc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)co1 ZINC001031627761 747249082 /nfs/dbraw/zinc/24/90/82/747249082.db2.gz QZCOBLKMOGDVRK-UHFFFAOYSA-N 1 2 310.357 1.717 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccc(Cl)cn2)CC1 ZINC001197879666 747295168 /nfs/dbraw/zinc/29/51/68/747295168.db2.gz LKOKRGOLSAXFOF-UHFFFAOYSA-N 1 2 321.808 1.533 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccc(Cl)cn2)CC1 ZINC001197879666 747295170 /nfs/dbraw/zinc/29/51/70/747295170.db2.gz LKOKRGOLSAXFOF-UHFFFAOYSA-N 1 2 321.808 1.533 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cccs2)[C@@H](O)C1 ZINC001090020207 747331957 /nfs/dbraw/zinc/33/19/57/747331957.db2.gz KUYPELGTAGIWGB-OLZOCXBDSA-N 1 2 314.838 1.595 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cccs2)[C@@H](O)C1 ZINC001090020207 747331961 /nfs/dbraw/zinc/33/19/61/747331961.db2.gz KUYPELGTAGIWGB-OLZOCXBDSA-N 1 2 314.838 1.595 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2c(Cl)cnn2C)C1 ZINC001031673302 747361944 /nfs/dbraw/zinc/36/19/44/747361944.db2.gz DHOZDNLPIKRTSL-UHFFFAOYSA-N 1 2 303.193 1.488 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)OCCCCCC)[C@H](OC)C1 ZINC001212258381 747426878 /nfs/dbraw/zinc/42/68/78/747426878.db2.gz RGHVPFMPCUWIJS-BZUAXINKSA-N 1 2 310.438 1.420 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)OCCCCCC)[C@H](OC)C1 ZINC001212258381 747426882 /nfs/dbraw/zinc/42/68/82/747426882.db2.gz RGHVPFMPCUWIJS-BZUAXINKSA-N 1 2 310.438 1.420 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2Cc3ccccc3O2)C1 ZINC001108059936 747501276 /nfs/dbraw/zinc/50/12/76/747501276.db2.gz RFVDEFZXPANJOD-AEFFLSMTSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2Cc3ccccc3O2)C1 ZINC001108059936 747501279 /nfs/dbraw/zinc/50/12/79/747501279.db2.gz RFVDEFZXPANJOD-AEFFLSMTSA-N 1 2 316.401 1.383 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2cc3ncccn3n2)CC1 ZINC001003818221 747556191 /nfs/dbraw/zinc/55/61/91/747556191.db2.gz YDWVSFRHWXSOFB-UHFFFAOYSA-N 1 2 319.796 1.676 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]([NH2+]CCF)c1ccccc1OC ZINC001198724459 747579473 /nfs/dbraw/zinc/57/94/73/747579473.db2.gz VWFVNZYSDNIKRX-DZGCQCFKSA-N 1 2 322.380 1.450 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]([NH2+]CCF)c1ccccc1OC ZINC001198724460 747579657 /nfs/dbraw/zinc/57/96/57/747579657.db2.gz VWFVNZYSDNIKRX-HIFRSBDPSA-N 1 2 322.380 1.450 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001199245838 747760092 /nfs/dbraw/zinc/76/00/92/747760092.db2.gz KRGKXJFTOFUVCM-ARFHVFGLSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001199245838 747760095 /nfs/dbraw/zinc/76/00/95/747760095.db2.gz KRGKXJFTOFUVCM-ARFHVFGLSA-N 1 2 322.449 1.014 20 30 DDEDLO CN(Cc1ccc(-n2cc[nH+]c2)cc1)C(=O)c1cnc(C#N)cn1 ZINC001199666382 747942959 /nfs/dbraw/zinc/94/29/59/747942959.db2.gz UJDKUVUNRCZMKF-UHFFFAOYSA-N 1 2 318.340 1.806 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H](N(C)C(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001033022665 747956202 /nfs/dbraw/zinc/95/62/02/747956202.db2.gz PGYLJMQHFHQPEP-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H](N(C)C(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001033022665 747956208 /nfs/dbraw/zinc/95/62/08/747956208.db2.gz PGYLJMQHFHQPEP-ZDUSSCGKSA-N 1 2 313.361 1.529 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)cn2)C[C@H]1OC ZINC001212344775 747987709 /nfs/dbraw/zinc/98/77/09/747987709.db2.gz LVZMGYNRIDRTNX-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)cn2)C[C@H]1OC ZINC001212344775 747987716 /nfs/dbraw/zinc/98/77/16/747987716.db2.gz LVZMGYNRIDRTNX-HZPDHXFCSA-N 1 2 318.421 1.457 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2c3c[nH]nc3CC[C@H]2C)C1 ZINC001031857385 748036869 /nfs/dbraw/zinc/03/68/69/748036869.db2.gz UASYRQSAXCHCKC-MEBBXXQBSA-N 1 2 322.840 1.876 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)c3cnco3)C2)c1 ZINC001031883987 748117342 /nfs/dbraw/zinc/11/73/42/748117342.db2.gz IZCMORSVEXIXGB-UHFFFAOYSA-N 1 2 314.320 1.547 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@H](C[NH2+][C@@H](C)c2nnc(C)o2)C1 ZINC001200101165 748122342 /nfs/dbraw/zinc/12/23/42/748122342.db2.gz RXPIPZBYWBWKSY-GXTWGEPZSA-N 1 2 322.409 1.612 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncs1 ZINC001110552418 748215045 /nfs/dbraw/zinc/21/50/45/748215045.db2.gz YIJODZKRIPNIRC-MXYBEHONSA-N 1 2 319.430 1.403 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncs1 ZINC001110552418 748215052 /nfs/dbraw/zinc/21/50/52/748215052.db2.gz YIJODZKRIPNIRC-MXYBEHONSA-N 1 2 319.430 1.403 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cn3c(n2)CCC3)C1 ZINC001033090002 748233587 /nfs/dbraw/zinc/23/35/87/748233587.db2.gz JWBDCLPLXVYECD-GFCCVEGCSA-N 1 2 308.813 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cn3c(n2)CCC3)C1 ZINC001033090002 748233592 /nfs/dbraw/zinc/23/35/92/748233592.db2.gz JWBDCLPLXVYECD-GFCCVEGCSA-N 1 2 308.813 1.728 20 30 DDEDLO C=CCCCC(=O)N1CCC(O)(CNc2cc[nH+]c(C)n2)CC1 ZINC001112451563 748342348 /nfs/dbraw/zinc/34/23/48/748342348.db2.gz CXSLEZBAAFQUQJ-UHFFFAOYSA-N 1 2 318.421 1.907 20 30 DDEDLO C=CCCCC(=O)N1CCCO[C@@H](C[NH2+]Cc2csnn2)C1 ZINC001201283712 748452896 /nfs/dbraw/zinc/45/28/96/748452896.db2.gz JRKAJWUIYXNBBZ-AWEZNQCLSA-N 1 2 324.450 1.602 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2cncs2)CC1 ZINC001004466184 748454760 /nfs/dbraw/zinc/45/47/60/748454760.db2.gz SPFCHMNMZZONDR-OAHLLOKOSA-N 1 2 318.446 1.912 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2cncs2)CC1 ZINC001004466184 748454763 /nfs/dbraw/zinc/45/47/63/748454763.db2.gz SPFCHMNMZZONDR-OAHLLOKOSA-N 1 2 318.446 1.912 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc(C)nnc2C)C1 ZINC001033121287 748455512 /nfs/dbraw/zinc/45/55/12/748455512.db2.gz HMXBLVJQVWGWKB-CYBMUJFWSA-N 1 2 308.813 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(C)nnc2C)C1 ZINC001033121287 748455516 /nfs/dbraw/zinc/45/55/16/748455516.db2.gz HMXBLVJQVWGWKB-CYBMUJFWSA-N 1 2 308.813 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnn3c2CCC3)C1 ZINC001033130612 748483528 /nfs/dbraw/zinc/48/35/28/748483528.db2.gz GKUZGPSOFATTHE-LBPRGKRZSA-N 1 2 308.813 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnn3c2CCC3)C1 ZINC001033130612 748483529 /nfs/dbraw/zinc/48/35/29/748483529.db2.gz GKUZGPSOFATTHE-LBPRGKRZSA-N 1 2 308.813 1.728 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC001004610943 748586655 /nfs/dbraw/zinc/58/66/55/748586655.db2.gz TVIWFVFQGSCZAW-OAHLLOKOSA-N 1 2 314.389 1.627 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC001004610943 748586658 /nfs/dbraw/zinc/58/66/58/748586658.db2.gz TVIWFVFQGSCZAW-OAHLLOKOSA-N 1 2 314.389 1.627 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCC[C@H]([NH2+]Cc3csnn3)C2)c1 ZINC000998848091 752285829 /nfs/dbraw/zinc/28/58/29/752285829.db2.gz DVBWLHRNLCOBNC-NSHDSACASA-N 1 2 316.390 1.132 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2c3c(nn2C)CCC3)C1 ZINC001032124314 748693413 /nfs/dbraw/zinc/69/34/13/748693413.db2.gz UNDACJXBHWWXBX-UHFFFAOYSA-N 1 2 308.813 1.323 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cncc(F)c3)C2)nc1 ZINC001032135537 748727631 /nfs/dbraw/zinc/72/76/31/748727631.db2.gz ZAEHUUYGYISOQK-UHFFFAOYSA-N 1 2 324.359 1.459 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+]([C@H](C)c3cnccn3)C2)cn1 ZINC001032137958 748731196 /nfs/dbraw/zinc/73/11/96/748731196.db2.gz FZWBFZXXCXRIDS-CYBMUJFWSA-N 1 2 321.384 1.276 20 30 DDEDLO CC#CC[NH+]1CC(CNC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC001032163733 748756021 /nfs/dbraw/zinc/75/60/21/748756021.db2.gz GEOUMYFAKRGTGZ-UHFFFAOYSA-N 1 2 310.353 1.478 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncc(C)cc2C)C1 ZINC001108089944 748781589 /nfs/dbraw/zinc/78/15/89/748781589.db2.gz NKJDWUOGKDYIOI-KRWDZBQOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncc(C)cc2C)C1 ZINC001108089944 748781591 /nfs/dbraw/zinc/78/15/91/748781591.db2.gz NKJDWUOGKDYIOI-KRWDZBQOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCn3cncc3C2)C1 ZINC001033209028 748936893 /nfs/dbraw/zinc/93/68/93/748936893.db2.gz QEUFPJWTIJABGX-UONOGXRCSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCn3cncc3C2)C1 ZINC001033209028 748936897 /nfs/dbraw/zinc/93/68/97/748936897.db2.gz QEUFPJWTIJABGX-UONOGXRCSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CCCC(=O)NC/C=C/CNc1[nH+]cnc2c1cnn2C ZINC001107077119 748944719 /nfs/dbraw/zinc/94/47/19/748944719.db2.gz QXYSNMPDECSLBX-AATRIKPKSA-N 1 2 300.366 1.414 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]([NH2+]Cc2nc(C3CC3)no2)C(C)(C)C1 ZINC000995577790 748955287 /nfs/dbraw/zinc/95/52/87/748955287.db2.gz CYNVJIXSFPCBFZ-PWSUYJOCSA-N 1 2 317.393 1.433 20 30 DDEDLO Cc1nc(N2CC[C@](C)(NC(=O)Cc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001110817943 749040848 /nfs/dbraw/zinc/04/08/48/749040848.db2.gz OOEJWISRFKROEN-KRWDZBQOSA-N 1 2 324.388 1.313 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccc[nH]2)c1 ZINC001032274680 749158345 /nfs/dbraw/zinc/15/83/45/749158345.db2.gz KHYJABLPFYVKHL-HOTGVXAUSA-N 1 2 306.369 1.985 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccc[nH]2)c1 ZINC001032274680 749158351 /nfs/dbraw/zinc/15/83/51/749158351.db2.gz KHYJABLPFYVKHL-HOTGVXAUSA-N 1 2 306.369 1.985 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCc1cnn2c1C[N@H+](CCC)CC2 ZINC001128602528 749242082 /nfs/dbraw/zinc/24/20/82/749242082.db2.gz LQDOJVGDDLWJJT-ZDUSSCGKSA-N 1 2 306.410 1.316 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCc1cnn2c1C[N@@H+](CCC)CC2 ZINC001128602528 749242084 /nfs/dbraw/zinc/24/20/84/749242084.db2.gz LQDOJVGDDLWJJT-ZDUSSCGKSA-N 1 2 306.410 1.316 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C[C@H](C)C(C)C)nn2)C1 ZINC001107140907 749366851 /nfs/dbraw/zinc/36/68/51/749366851.db2.gz DCTWLQAZANUKOF-AWEZNQCLSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)CCC)nn2)C1 ZINC001107149668 749407575 /nfs/dbraw/zinc/40/75/75/749407575.db2.gz NINOSGMDXFGESM-ZDUSSCGKSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H]3CC[C@H]4C[C@H]43)nn2)C1 ZINC001107181834 749498830 /nfs/dbraw/zinc/49/88/30/749498830.db2.gz JKVMLLNAORXCNX-HEHGZKQESA-N 1 2 315.421 1.373 20 30 DDEDLO N#Cc1ccc(N2CCC(CNC(=O)Cc3[nH]cc[nH+]3)CC2)nc1 ZINC001095363688 749602670 /nfs/dbraw/zinc/60/26/70/749602670.db2.gz MMDRDGZMYVJYSK-UHFFFAOYSA-N 1 2 324.388 1.252 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)C3CCCC3)nn2)C1 ZINC001107228008 749665919 /nfs/dbraw/zinc/66/59/19/749665919.db2.gz XLETYHOIPSESOQ-ZDUSSCGKSA-N 1 2 317.437 1.763 20 30 DDEDLO N#Cc1cnc(NC/C=C/CNC(=O)Cn2cc[nH+]c2)c(F)c1 ZINC001107240367 749682030 /nfs/dbraw/zinc/68/20/30/749682030.db2.gz MBEFZEIBQMUXSW-OWOJBTEDSA-N 1 2 314.324 1.073 20 30 DDEDLO C#CCC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCc3[nH]ncc31)C2 ZINC001095417115 749878167 /nfs/dbraw/zinc/87/81/67/749878167.db2.gz HGCNVMAZMCGPEQ-OMNBBPDLSA-N 1 2 312.417 1.574 20 30 DDEDLO C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCc3[nH]ncc31)C2 ZINC001095417115 749878175 /nfs/dbraw/zinc/87/81/75/749878175.db2.gz HGCNVMAZMCGPEQ-OMNBBPDLSA-N 1 2 312.417 1.574 20 30 DDEDLO C=CCOCCCC(=O)NCCCN(C)c1cc[nH+]c(C)n1 ZINC001095521491 750005868 /nfs/dbraw/zinc/00/58/68/750005868.db2.gz HMYOLLDSLSSQRC-UHFFFAOYSA-N 1 2 306.410 1.710 20 30 DDEDLO CCN(C(=O)[C@H]1CCc2[nH+]c(C)[nH]c2C1)[C@@H]1CCN(CC#N)C1 ZINC001033878001 750017018 /nfs/dbraw/zinc/01/70/18/750017018.db2.gz LNYQQFYBPZMMLE-UONOGXRCSA-N 1 2 315.421 1.269 20 30 DDEDLO CCN(C(=O)[C@H]1CCc2[nH]c(C)[nH+]c2C1)[C@@H]1CCN(CC#N)C1 ZINC001033878001 750017026 /nfs/dbraw/zinc/01/70/26/750017026.db2.gz LNYQQFYBPZMMLE-UONOGXRCSA-N 1 2 315.421 1.269 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC/C=C\CNc1ccc(C#N)cn1 ZINC001107548957 750122382 /nfs/dbraw/zinc/12/23/82/750122382.db2.gz DBQZAKPYAMALNL-ZRMMWKCHSA-N 1 2 324.388 1.639 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC/C=C\CNc1ccc(C#N)cn1 ZINC001107548957 750122388 /nfs/dbraw/zinc/12/23/88/750122388.db2.gz DBQZAKPYAMALNL-ZRMMWKCHSA-N 1 2 324.388 1.639 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098191319 750153596 /nfs/dbraw/zinc/15/35/96/750153596.db2.gz CVYZYDMKTFEBTE-GFCCVEGCSA-N 1 2 318.425 1.356 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)COCc2ccccc2)C1 ZINC001108391219 762013457 /nfs/dbraw/zinc/01/34/57/762013457.db2.gz SEGKQAGFQPUING-GOSISDBHSA-N 1 2 318.417 1.596 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)COCc2ccccc2)C1 ZINC001108391219 762013466 /nfs/dbraw/zinc/01/34/66/762013466.db2.gz SEGKQAGFQPUING-GOSISDBHSA-N 1 2 318.417 1.596 20 30 DDEDLO C=CCCn1cc(C(=O)N(CC)[C@@H]2CC[N@H+](CCF)C2)nn1 ZINC001033980813 750220030 /nfs/dbraw/zinc/22/00/30/750220030.db2.gz ZCUBFJXHRVCZJZ-CYBMUJFWSA-N 1 2 309.389 1.360 20 30 DDEDLO C=CCCn1cc(C(=O)N(CC)[C@@H]2CC[N@@H+](CCF)C2)nn1 ZINC001033980813 750220035 /nfs/dbraw/zinc/22/00/35/750220035.db2.gz ZCUBFJXHRVCZJZ-CYBMUJFWSA-N 1 2 309.389 1.360 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CC(=C)C)C2 ZINC001110931897 750239109 /nfs/dbraw/zinc/23/91/09/750239109.db2.gz AZUWHCBJDCBMFL-FPCVCCKLSA-N 1 2 319.449 1.612 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CC(=C)C)C2 ZINC001110931897 750239113 /nfs/dbraw/zinc/23/91/13/750239113.db2.gz AZUWHCBJDCBMFL-FPCVCCKLSA-N 1 2 319.449 1.612 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)/C=C/c2ccco2)C1 ZINC001107697919 750387273 /nfs/dbraw/zinc/38/72/73/750387273.db2.gz FHMYYTZPUILPAT-IWAYRVGRSA-N 1 2 302.374 1.523 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)/C=C/c2ccco2)C1 ZINC001107697919 750387280 /nfs/dbraw/zinc/38/72/80/750387280.db2.gz FHMYYTZPUILPAT-IWAYRVGRSA-N 1 2 302.374 1.523 20 30 DDEDLO CC1(Nc2ccncc2C#N)CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001067298331 762054260 /nfs/dbraw/zinc/05/42/60/762054260.db2.gz MPKKMNQPEPIMMF-UHFFFAOYSA-N 1 2 324.388 1.134 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]1C[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001034882109 750976440 /nfs/dbraw/zinc/97/64/40/750976440.db2.gz SWNCZJVQNGNMGB-VXGBXAGGSA-N 1 2 319.409 1.607 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1-c1ccccc1 ZINC001032479978 750979360 /nfs/dbraw/zinc/97/93/60/750979360.db2.gz NKUMBDOLQYTQTL-HOTGVXAUSA-N 1 2 306.369 1.404 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1-c1ccccc1 ZINC001032479978 750979364 /nfs/dbraw/zinc/97/93/64/750979364.db2.gz NKUMBDOLQYTQTL-HOTGVXAUSA-N 1 2 306.369 1.404 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]cn1C ZINC001077813676 751198035 /nfs/dbraw/zinc/19/80/35/751198035.db2.gz XDZYSYJAPCIKKD-AWEZNQCLSA-N 1 2 320.437 1.720 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CC(=O)Nc2ccccc21 ZINC001032550064 751275373 /nfs/dbraw/zinc/27/53/73/751275373.db2.gz BNWFPCPNSUCZSU-KCQAQPDRSA-N 1 2 309.369 1.031 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CC(=O)Nc2ccccc21 ZINC001032550064 751275376 /nfs/dbraw/zinc/27/53/76/751275376.db2.gz BNWFPCPNSUCZSU-KCQAQPDRSA-N 1 2 309.369 1.031 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1CNC(=O)CCc1c[nH]c[nH+]1 ZINC001062060586 752704485 /nfs/dbraw/zinc/70/44/85/752704485.db2.gz WPJSJHDUXQFPEI-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1CNC(=O)CCc1c[nH+]c[nH]1 ZINC001062060586 752704491 /nfs/dbraw/zinc/70/44/91/752704491.db2.gz WPJSJHDUXQFPEI-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)C[C@H](C)O2 ZINC001032684360 752718843 /nfs/dbraw/zinc/71/88/43/752718843.db2.gz NERADJZQXYDXPD-JQFCIGGWSA-N 1 2 310.397 1.932 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)C[C@H](C)O2 ZINC001032684360 752718847 /nfs/dbraw/zinc/71/88/47/752718847.db2.gz NERADJZQXYDXPD-JQFCIGGWSA-N 1 2 310.397 1.932 20 30 DDEDLO CN(C[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1)c1ccncc1C#N ZINC001062129096 752791061 /nfs/dbraw/zinc/79/10/61/752791061.db2.gz IXQVXMYPQLYPKN-AWEZNQCLSA-N 1 2 324.388 1.135 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccns2)CC2(CCOCC2)C1 ZINC001089941586 752810254 /nfs/dbraw/zinc/81/02/54/752810254.db2.gz JESAIMHZGAKFJJ-ZDUSSCGKSA-N 1 2 319.430 1.377 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccns2)CC2(CCOCC2)C1 ZINC001089941586 752810262 /nfs/dbraw/zinc/81/02/62/752810262.db2.gz JESAIMHZGAKFJJ-ZDUSSCGKSA-N 1 2 319.430 1.377 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2cscn2)C1 ZINC001108433286 762166553 /nfs/dbraw/zinc/16/65/53/762166553.db2.gz AMIMIRSRXZFQQU-OAHLLOKOSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2cscn2)C1 ZINC001108433286 762166561 /nfs/dbraw/zinc/16/65/61/762166561.db2.gz AMIMIRSRXZFQQU-OAHLLOKOSA-N 1 2 309.435 1.469 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](C)OCCC(C)C)C1 ZINC001108432680 762182075 /nfs/dbraw/zinc/18/20/75/762182075.db2.gz NTRXTVFKLRYLCL-DOTOQJQBSA-N 1 2 312.454 1.831 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](C)OCCC(C)C)C1 ZINC001108432680 762182079 /nfs/dbraw/zinc/18/20/79/762182079.db2.gz NTRXTVFKLRYLCL-DOTOQJQBSA-N 1 2 312.454 1.831 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001009597784 753267555 /nfs/dbraw/zinc/26/75/55/753267555.db2.gz XAAUITYJFPZSKE-JSGCOSHPSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001009597784 753267558 /nfs/dbraw/zinc/26/75/58/753267558.db2.gz XAAUITYJFPZSKE-JSGCOSHPSA-N 1 2 322.840 1.848 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001107993239 753355022 /nfs/dbraw/zinc/35/50/22/753355022.db2.gz KUNCHUZBIFISQL-QGZVFWFLSA-N 1 2 319.405 1.094 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001107993239 753355025 /nfs/dbraw/zinc/35/50/25/753355025.db2.gz KUNCHUZBIFISQL-QGZVFWFLSA-N 1 2 319.405 1.094 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CCC(C)C)c1C ZINC001032723378 753357344 /nfs/dbraw/zinc/35/73/44/753357344.db2.gz FSWPIGWZFOBLMF-HOTGVXAUSA-N 1 2 314.433 1.770 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CCC(C)C)c1C ZINC001032723378 753357348 /nfs/dbraw/zinc/35/73/48/753357348.db2.gz FSWPIGWZFOBLMF-HOTGVXAUSA-N 1 2 314.433 1.770 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)CCC1 ZINC001062686685 753381745 /nfs/dbraw/zinc/38/17/45/753381745.db2.gz UVZJSDGTLOTHGC-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)NCC1(NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)CCC1 ZINC001062686685 753381750 /nfs/dbraw/zinc/38/17/50/753381750.db2.gz UVZJSDGTLOTHGC-CYBMUJFWSA-N 1 2 318.421 1.710 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)Cc2ccon2)C1 ZINC001010388324 753852311 /nfs/dbraw/zinc/85/23/11/753852311.db2.gz OQPNTWHRBTVJJD-MRXNPFEDSA-N 1 2 310.357 1.479 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)Cc2ccon2)C1 ZINC001010388324 753852320 /nfs/dbraw/zinc/85/23/20/753852320.db2.gz OQPNTWHRBTVJJD-MRXNPFEDSA-N 1 2 310.357 1.479 20 30 DDEDLO Cc1[nH]nc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)c1C ZINC001010397030 753862667 /nfs/dbraw/zinc/86/26/67/753862667.db2.gz MNDNOMZZCBXBLZ-KRWDZBQOSA-N 1 2 322.412 1.882 20 30 DDEDLO Cc1[nH]nc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)c1C ZINC001010397030 753862671 /nfs/dbraw/zinc/86/26/71/753862671.db2.gz MNDNOMZZCBXBLZ-KRWDZBQOSA-N 1 2 322.412 1.882 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(F)c2F)[C@@H](O)C1 ZINC001083798249 753866056 /nfs/dbraw/zinc/86/60/56/753866056.db2.gz RNZRWRGIIDWFAE-OLZOCXBDSA-N 1 2 308.328 1.071 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)c(F)c2F)[C@@H](O)C1 ZINC001083798249 753866060 /nfs/dbraw/zinc/86/60/60/753866060.db2.gz RNZRWRGIIDWFAE-OLZOCXBDSA-N 1 2 308.328 1.071 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001078236358 753872698 /nfs/dbraw/zinc/87/26/98/753872698.db2.gz GNJJZUPVAFLEHD-KBRIMQKVSA-N 1 2 300.402 1.608 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001078236358 753872701 /nfs/dbraw/zinc/87/27/01/753872701.db2.gz GNJJZUPVAFLEHD-KBRIMQKVSA-N 1 2 300.402 1.608 20 30 DDEDLO Cc1nc(N2CC[C@@H](N(C)C(=O)Cc3c[nH+]c[nH]3)C2)ccc1C#N ZINC001063046058 753938275 /nfs/dbraw/zinc/93/82/75/753938275.db2.gz QSKJGLXVHVVBDN-OAHLLOKOSA-N 1 2 324.388 1.265 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001078307679 753966911 /nfs/dbraw/zinc/96/69/11/753966911.db2.gz IEHUQWCFJJDTQC-STQMWFEESA-N 1 2 318.421 1.807 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H](CC)C(N)=O ZINC001039897317 762256753 /nfs/dbraw/zinc/25/67/53/762256753.db2.gz YAISOXDDRYJPAC-BASYENTBSA-N 1 2 321.465 1.918 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H](CC)C(N)=O ZINC001039897317 762256757 /nfs/dbraw/zinc/25/67/57/762256757.db2.gz YAISOXDDRYJPAC-BASYENTBSA-N 1 2 321.465 1.918 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[C@@H]2CNc2cc[nH+]c(C)n2)c1 ZINC001063556287 754228772 /nfs/dbraw/zinc/22/87/72/754228772.db2.gz BLVATTGNOQTQTL-MRXNPFEDSA-N 1 2 321.384 1.878 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001064401491 754664687 /nfs/dbraw/zinc/66/46/87/754664687.db2.gz AVZPOIJDFRZFDP-INIZCTEOSA-N 1 2 318.421 1.641 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN2C(=O)Cn2cc[nH+]c2)nc1 ZINC001064927463 754960416 /nfs/dbraw/zinc/96/04/16/754960416.db2.gz DKLSZMAUONZPRU-AWEZNQCLSA-N 1 2 310.361 1.253 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN2C(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001064945214 754972765 /nfs/dbraw/zinc/97/27/65/754972765.db2.gz WEGGHPRYDOOTMQ-AWEZNQCLSA-N 1 2 310.361 1.322 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccccc2)[C@H](O)C1 ZINC001090365210 755212312 /nfs/dbraw/zinc/21/23/12/755212312.db2.gz OHOUWUILRLQHKK-HUUCEWRRSA-N 1 2 308.809 1.533 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccccc2)[C@H](O)C1 ZINC001090365210 755212316 /nfs/dbraw/zinc/21/23/16/755212316.db2.gz OHOUWUILRLQHKK-HUUCEWRRSA-N 1 2 308.809 1.533 20 30 DDEDLO C#CCCCC(=O)N(CC)C1CN(C(=O)CCn2cc[nH+]c2)C1 ZINC001079681817 755521447 /nfs/dbraw/zinc/52/14/47/755521447.db2.gz CYPKQYPTRVWCQM-UHFFFAOYSA-N 1 2 316.405 1.136 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CNc1nc(C)ccc1C#N ZINC001108468767 762409787 /nfs/dbraw/zinc/40/97/87/762409787.db2.gz OWCXPZOBCMDYLF-NSHDSACASA-N 1 2 312.377 1.453 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001014372238 755703116 /nfs/dbraw/zinc/70/31/16/755703116.db2.gz YHOGWPLIEUGCLM-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1c[nH]nc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001014372238 755703118 /nfs/dbraw/zinc/70/31/18/755703118.db2.gz YHOGWPLIEUGCLM-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO C[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1cnco1 ZINC001080223779 755785655 /nfs/dbraw/zinc/78/56/55/755785655.db2.gz ZLUHZHHSQVRQIS-GDBMZVCRSA-N 1 2 309.369 1.776 20 30 DDEDLO C[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)c1cnco1 ZINC001080223779 755785658 /nfs/dbraw/zinc/78/56/58/755785658.db2.gz ZLUHZHHSQVRQIS-GDBMZVCRSA-N 1 2 309.369 1.776 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080306692 755816218 /nfs/dbraw/zinc/81/62/18/755816218.db2.gz CEKIDMVAQJMYRP-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001080784260 756104071 /nfs/dbraw/zinc/10/40/71/756104071.db2.gz NTTSVSXGAKPQQV-ZYHUDNBSSA-N 1 2 310.829 1.876 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001080784260 756104075 /nfs/dbraw/zinc/10/40/75/756104075.db2.gz NTTSVSXGAKPQQV-ZYHUDNBSSA-N 1 2 310.829 1.876 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@H+](CC#Cc2cccc(Cl)c2)C[C@H]1OC ZINC001081244462 756278410 /nfs/dbraw/zinc/27/84/10/756278410.db2.gz PZAFHPISSNFXLF-HZPDHXFCSA-N 1 2 320.820 1.917 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@@H+](CC#Cc2cccc(Cl)c2)C[C@H]1OC ZINC001081244462 756278412 /nfs/dbraw/zinc/27/84/12/756278412.db2.gz PZAFHPISSNFXLF-HZPDHXFCSA-N 1 2 320.820 1.917 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3cc(C)no3)C2)c1 ZINC001015678895 756460478 /nfs/dbraw/zinc/46/04/78/756460478.db2.gz PRFPXLOQJSPVFA-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3cc(C)no3)C2)c1 ZINC001015678895 756460480 /nfs/dbraw/zinc/46/04/80/756460480.db2.gz PRFPXLOQJSPVFA-HNNXBMFYSA-N 1 2 310.357 1.364 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cncc(Cl)c2C)[C@H](OC)C1 ZINC001081818811 756494395 /nfs/dbraw/zinc/49/43/95/756494395.db2.gz XNPHERXHDXQDBG-ZIAGYGMSSA-N 1 2 307.781 1.106 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cncc(Cl)c2C)[C@H](OC)C1 ZINC001081818811 756494399 /nfs/dbraw/zinc/49/43/99/756494399.db2.gz XNPHERXHDXQDBG-ZIAGYGMSSA-N 1 2 307.781 1.106 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)C1 ZINC001015723318 756495709 /nfs/dbraw/zinc/49/57/09/756495709.db2.gz PYVJSJBXPALGFR-YNEHKIRRSA-N 1 2 308.813 1.467 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C(C)(C)N2CCOCC2)C1 ZINC001015839514 756583466 /nfs/dbraw/zinc/58/34/66/756583466.db2.gz JUJINBWVQPNGRO-ZDUSSCGKSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)N2CCOCC2)C1 ZINC001015839514 756583470 /nfs/dbraw/zinc/58/34/70/756583470.db2.gz JUJINBWVQPNGRO-ZDUSSCGKSA-N 1 2 315.845 1.040 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(F)c2)[C@H](OC)C1 ZINC001082166033 756668637 /nfs/dbraw/zinc/66/86/37/756668637.db2.gz DLVCEGHTTFJDRQ-ZIAGYGMSSA-N 1 2 310.756 1.541 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(F)c2)[C@H](OC)C1 ZINC001082166033 756668638 /nfs/dbraw/zinc/66/86/38/756668638.db2.gz DLVCEGHTTFJDRQ-ZIAGYGMSSA-N 1 2 310.756 1.541 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3c(=O)ccoc3c2)C1 ZINC001015998799 756707829 /nfs/dbraw/zinc/70/78/29/756707829.db2.gz HOOGHJBXDVWNOI-AWEZNQCLSA-N 1 2 310.353 1.620 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc3c(=O)ccoc3c2)C1 ZINC001015998799 756707836 /nfs/dbraw/zinc/70/78/36/756707836.db2.gz HOOGHJBXDVWNOI-AWEZNQCLSA-N 1 2 310.353 1.620 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ncoc2-c2ccon2)C1 ZINC001016006301 756716044 /nfs/dbraw/zinc/71/60/44/756716044.db2.gz MHFMUGOHHXNHQE-JTQLQIEISA-N 1 2 322.752 1.886 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ncoc2-c2ccon2)C1 ZINC001016006301 756716046 /nfs/dbraw/zinc/71/60/46/756716046.db2.gz MHFMUGOHHXNHQE-JTQLQIEISA-N 1 2 322.752 1.886 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cc(C)no3)C2)nc1 ZINC001016024030 756729793 /nfs/dbraw/zinc/72/97/93/756729793.db2.gz NPJQAHSRXVVQIK-AWEZNQCLSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cc(C)no3)C2)nc1 ZINC001016024030 756729795 /nfs/dbraw/zinc/72/97/95/756729795.db2.gz NPJQAHSRXVVQIK-AWEZNQCLSA-N 1 2 310.357 1.364 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C[C@@H](F)CC)C[C@H]2OC)nc1 ZINC001082333479 756735033 /nfs/dbraw/zinc/73/50/33/756735033.db2.gz KRBLBLSOOJZLLO-NUEKZKHPSA-N 1 2 319.380 1.240 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C[C@@H](F)CC)C[C@H]2OC)nc1 ZINC001082333479 756735036 /nfs/dbraw/zinc/73/50/36/756735036.db2.gz KRBLBLSOOJZLLO-NUEKZKHPSA-N 1 2 319.380 1.240 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)[C@H](OC)C1 ZINC001082394591 756764094 /nfs/dbraw/zinc/76/40/94/756764094.db2.gz VNEYYLLQJVSFKD-QZTJIDSGSA-N 1 2 323.396 1.744 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)[C@H](OC)C1 ZINC001082394591 756764096 /nfs/dbraw/zinc/76/40/96/756764096.db2.gz VNEYYLLQJVSFKD-QZTJIDSGSA-N 1 2 323.396 1.744 20 30 DDEDLO CCC(=O)N1CCO[C@@H]2C[N@H+](Cc3ccc(F)c(C#N)c3)C[C@@H]21 ZINC001082968796 756986576 /nfs/dbraw/zinc/98/65/76/756986576.db2.gz OKJHJJPVNMLYTR-JKSUJKDBSA-N 1 2 317.364 1.519 20 30 DDEDLO CCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccc(F)c(C#N)c3)C[C@@H]21 ZINC001082968796 756986582 /nfs/dbraw/zinc/98/65/82/756986582.db2.gz OKJHJJPVNMLYTR-JKSUJKDBSA-N 1 2 317.364 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnn(C)c2C2CC2)C1 ZINC001016404209 757002975 /nfs/dbraw/zinc/00/29/75/757002975.db2.gz CUMNSEUOSKRJSF-GFCCVEGCSA-N 1 2 308.813 1.854 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnn(C)c2C2CC2)C1 ZINC001016404209 757002985 /nfs/dbraw/zinc/00/29/85/757002985.db2.gz CUMNSEUOSKRJSF-GFCCVEGCSA-N 1 2 308.813 1.854 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001097316560 757086641 /nfs/dbraw/zinc/08/66/41/757086641.db2.gz OESJTEJTTDKFAD-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO C=C(Cl)CN1C[C@H]2OCCN(C(=O)CCc3[nH]cc[nH+]3)[C@H]2C1 ZINC001083065825 757114705 /nfs/dbraw/zinc/11/47/05/757114705.db2.gz VKAOFHVJWITCPI-QWHCGFSZSA-N 1 2 324.812 1.006 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3ccccc3CC)[C@H]2C1 ZINC001083118177 757120510 /nfs/dbraw/zinc/12/05/10/757120510.db2.gz VURFATZKWHYWAB-ZWKOTPCHSA-N 1 2 312.413 1.336 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3ccccc3CC)[C@H]2C1 ZINC001083118177 757120513 /nfs/dbraw/zinc/12/05/13/757120513.db2.gz VURFATZKWHYWAB-ZWKOTPCHSA-N 1 2 312.413 1.336 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2CC[C@@H]3CN(C(C)=O)[C@@H]3C2)c1 ZINC001084136703 757303001 /nfs/dbraw/zinc/30/30/01/757303001.db2.gz IMVLHGNZLDNZPM-NVXWUHKLSA-N 1 2 311.385 1.159 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2CC[C@@H]3CN(C(C)=O)[C@@H]3C2)c1 ZINC001084136703 757303012 /nfs/dbraw/zinc/30/30/12/757303012.db2.gz IMVLHGNZLDNZPM-NVXWUHKLSA-N 1 2 311.385 1.159 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)[C@@H]3C2)on1 ZINC001084334179 757496654 /nfs/dbraw/zinc/49/66/54/757496654.db2.gz RTXPACPUBKYMIT-UKRRQHHQSA-N 1 2 315.373 1.129 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H]3CN(C(=O)C#CC4CC4)[C@@H]3C2)on1 ZINC001084334179 757496656 /nfs/dbraw/zinc/49/66/56/757496656.db2.gz RTXPACPUBKYMIT-UKRRQHHQSA-N 1 2 315.373 1.129 20 30 DDEDLO CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](Nc2ccc(C#N)c(C)n2)C1 ZINC001052714202 757678029 /nfs/dbraw/zinc/67/80/29/757678029.db2.gz JUAXAPAYFZHIAA-HUUCEWRRSA-N 1 2 324.388 1.422 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cscn3)[C@@H]2C1 ZINC001084704021 757787682 /nfs/dbraw/zinc/78/76/82/757787682.db2.gz FSPYPXZUDBSEMX-DGCLKSJQSA-N 1 2 311.838 1.971 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3cscn3)[C@@H]2C1 ZINC001084704021 757787690 /nfs/dbraw/zinc/78/76/90/757787690.db2.gz FSPYPXZUDBSEMX-DGCLKSJQSA-N 1 2 311.838 1.971 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C[C@H](C)C(C)C)CC2=O)C1 ZINC001108518546 762592155 /nfs/dbraw/zinc/59/21/55/762592155.db2.gz ZHQRDFKCMDYKAR-LSDHHAIUSA-N 1 2 321.465 1.646 20 30 DDEDLO CC#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001017552521 758020536 /nfs/dbraw/zinc/02/05/36/758020536.db2.gz OLRCAFSKHYAEKH-CALCHBBNSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCO[C@@H]1CC ZINC001017608034 758075742 /nfs/dbraw/zinc/07/57/42/758075742.db2.gz GUQWLAWBMSVOQW-YYIAUSFCSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCO[C@@H]1CC ZINC001017608034 758075749 /nfs/dbraw/zinc/07/57/49/758075749.db2.gz GUQWLAWBMSVOQW-YYIAUSFCSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C2CC2)cnn1C ZINC001017608495 758076732 /nfs/dbraw/zinc/07/67/32/758076732.db2.gz LDVPJRYRAMOUNP-GASCZTMLSA-N 1 2 312.417 1.610 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C2CC2)cnn1C ZINC001017608495 758076740 /nfs/dbraw/zinc/07/67/40/758076740.db2.gz LDVPJRYRAMOUNP-GASCZTMLSA-N 1 2 312.417 1.610 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)CCn2cc[nH+]c2)C[C@@H]1C ZINC001053064248 758122071 /nfs/dbraw/zinc/12/20/71/758122071.db2.gz CWHWQXXBSWGFKA-LSDHHAIUSA-N 1 2 318.421 1.450 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2ncn(C)c21 ZINC001017678653 758147335 /nfs/dbraw/zinc/14/73/35/758147335.db2.gz ZWDAHZJGUVVMQB-GASCZTMLSA-N 1 2 322.412 1.885 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2ncn(C)c21 ZINC001017678653 758147342 /nfs/dbraw/zinc/14/73/42/758147342.db2.gz ZWDAHZJGUVVMQB-GASCZTMLSA-N 1 2 322.412 1.885 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]([C@@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001053101563 758153229 /nfs/dbraw/zinc/15/32/29/758153229.db2.gz OOULYALQJGNYKX-CABCVRRESA-N 1 2 315.421 1.074 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]([C@@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001053101563 758153234 /nfs/dbraw/zinc/15/32/34/758153234.db2.gz OOULYALQJGNYKX-CABCVRRESA-N 1 2 315.421 1.074 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1CCC ZINC001017698703 758161867 /nfs/dbraw/zinc/16/18/67/758161867.db2.gz QAGRRRDMTKBOJS-OKILXGFUSA-N 1 2 300.406 1.674 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1CCC ZINC001017698703 758161876 /nfs/dbraw/zinc/16/18/76/758161876.db2.gz QAGRRRDMTKBOJS-OKILXGFUSA-N 1 2 300.406 1.674 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)nc(OC)c1 ZINC001017704833 758168195 /nfs/dbraw/zinc/16/81/95/758168195.db2.gz LDAWYZLQICFXPM-OKILXGFUSA-N 1 2 315.373 1.021 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)nc(OC)c1 ZINC001017704833 758168199 /nfs/dbraw/zinc/16/81/99/758168199.db2.gz LDAWYZLQICFXPM-OKILXGFUSA-N 1 2 315.373 1.021 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(CCOC)n1 ZINC001017726177 758184796 /nfs/dbraw/zinc/18/47/96/758184796.db2.gz QAGAFMIRLNYMFP-OKILXGFUSA-N 1 2 304.394 1.004 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(CCOC)n1 ZINC001017726177 758184799 /nfs/dbraw/zinc/18/47/99/758184799.db2.gz QAGAFMIRLNYMFP-OKILXGFUSA-N 1 2 304.394 1.004 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](C)[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001053139151 758198105 /nfs/dbraw/zinc/19/81/05/758198105.db2.gz VBTHMMCWGMONQG-STQMWFEESA-N 1 2 318.421 1.375 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)COC1CCCC1)CCO2 ZINC001053169804 758227144 /nfs/dbraw/zinc/22/71/44/758227144.db2.gz OZHIAWQWYWLYGL-UHFFFAOYSA-N 1 2 308.422 1.435 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2C[C@H]2C)C1=O ZINC001017802925 758257243 /nfs/dbraw/zinc/25/72/43/758257243.db2.gz WWXNMJPHWWFBOZ-IBEHDNSVSA-N 1 2 317.433 1.105 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2C[C@H]2C)C1=O ZINC001017802925 758257254 /nfs/dbraw/zinc/25/72/54/758257254.db2.gz WWXNMJPHWWFBOZ-IBEHDNSVSA-N 1 2 317.433 1.105 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC(=O)N(C2C[NH+](CC=C(C)C)C2)C1 ZINC001108538190 762632606 /nfs/dbraw/zinc/63/26/06/762632606.db2.gz DCIBHNOZOIXYFF-HNNXBMFYSA-N 1 2 317.433 1.157 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)Cc1ccco1)CCO2 ZINC001053466948 758483009 /nfs/dbraw/zinc/48/30/09/758483009.db2.gz AAVNOKGWPBSWFD-HNNXBMFYSA-N 1 2 318.417 1.948 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)ncc1Cl ZINC001018032053 758490767 /nfs/dbraw/zinc/49/07/67/758490767.db2.gz WDJAYWKWGBMQNP-TXEJJXNPSA-N 1 2 306.797 1.913 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)ncc1Cl ZINC001018032053 758490772 /nfs/dbraw/zinc/49/07/72/758490772.db2.gz WDJAYWKWGBMQNP-TXEJJXNPSA-N 1 2 306.797 1.913 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cc1c(C)noc1C ZINC001018033241 758491681 /nfs/dbraw/zinc/49/16/81/758491681.db2.gz YJBHAXGNERWVAB-VBNZEHGJSA-N 1 2 315.417 1.778 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cc1c(C)noc1C ZINC001018033241 758491687 /nfs/dbraw/zinc/49/16/87/758491687.db2.gz YJBHAXGNERWVAB-VBNZEHGJSA-N 1 2 315.417 1.778 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1C(F)F ZINC001018164635 758593165 /nfs/dbraw/zinc/59/31/65/758593165.db2.gz DOJQCUQFYCHXJH-PHIMTYICSA-N 1 2 310.348 1.833 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1C(F)F ZINC001018164635 758593166 /nfs/dbraw/zinc/59/31/66/758593166.db2.gz DOJQCUQFYCHXJH-PHIMTYICSA-N 1 2 310.348 1.833 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc[nH]c1C)O2 ZINC001053589194 758604827 /nfs/dbraw/zinc/60/48/27/758604827.db2.gz BLFLBSQKOUPGEY-CQSZACIVSA-N 1 2 303.406 1.862 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c(C)ncn1C)O2 ZINC001053613583 758631439 /nfs/dbraw/zinc/63/14/39/758631439.db2.gz JGGQIEAYFCVQDH-CQSZACIVSA-N 1 2 318.421 1.268 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(CC)o1)O2 ZINC001053619856 758637671 /nfs/dbraw/zinc/63/76/71/758637671.db2.gz XZRKGVKXXRXEQO-CQSZACIVSA-N 1 2 304.390 1.991 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2cccnc2C1 ZINC001018222883 758644996 /nfs/dbraw/zinc/64/49/96/758644996.db2.gz KNKWWTHIOYLMBI-ZACQAIPSSA-N 1 2 309.413 1.495 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2cccnc2C1 ZINC001018222883 758645000 /nfs/dbraw/zinc/64/50/00/758645000.db2.gz KNKWWTHIOYLMBI-ZACQAIPSSA-N 1 2 309.413 1.495 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1csc(=O)[nH]1)O2 ZINC001053642600 758655981 /nfs/dbraw/zinc/65/59/81/758655981.db2.gz FBYNEZSXZJSUAS-LLVKDONJSA-N 1 2 323.418 1.388 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ocnc1CC)O2 ZINC001053656916 758667379 /nfs/dbraw/zinc/66/73/79/758667379.db2.gz CLQFZHYOVMMYMW-GFCCVEGCSA-N 1 2 305.378 1.386 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)Nc1ccc(C)cc1 ZINC001018259367 758674728 /nfs/dbraw/zinc/67/47/28/758674728.db2.gz XEWNNHRQIYVKCF-IYBDPMFKSA-N 1 2 313.401 1.795 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)Nc1ccc(C)cc1 ZINC001018259367 758674732 /nfs/dbraw/zinc/67/47/32/758674732.db2.gz XEWNNHRQIYVKCF-IYBDPMFKSA-N 1 2 313.401 1.795 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CC[C@@H](C)O1)O2 ZINC001053664124 758676893 /nfs/dbraw/zinc/67/68/93/758676893.db2.gz LDVNFRRRXRFSEV-QLFBSQMISA-N 1 2 308.422 1.480 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC001065674867 758692678 /nfs/dbraw/zinc/69/26/78/758692678.db2.gz IMUZRLKWXOATSK-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)nc[nH]c2=O ZINC001018279719 758693163 /nfs/dbraw/zinc/69/31/63/758693163.db2.gz HSYPCJZSUOSMND-OKILXGFUSA-N 1 2 322.368 1.257 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)nc[nH]c2=O ZINC001018279719 758693169 /nfs/dbraw/zinc/69/31/69/758693169.db2.gz HSYPCJZSUOSMND-OKILXGFUSA-N 1 2 322.368 1.257 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)C3(C)CC3)CC2)C1 ZINC001065693422 758710414 /nfs/dbraw/zinc/71/04/14/758710414.db2.gz CMXHBVRHWOHLTC-HNNXBMFYSA-N 1 2 319.449 1.498 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(COC)s1 ZINC001018345619 758745354 /nfs/dbraw/zinc/74/53/54/758745354.db2.gz WISODSBTUGAFFA-BETUJISGSA-N 1 2 319.430 1.602 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(COC)s1 ZINC001018345619 758745358 /nfs/dbraw/zinc/74/53/58/758745358.db2.gz WISODSBTUGAFFA-BETUJISGSA-N 1 2 319.430 1.602 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(C(=O)C3CCC3)C2)CC1 ZINC001018363041 758760865 /nfs/dbraw/zinc/76/08/65/758760865.db2.gz KKWOVVUVWBNIRS-MRXNPFEDSA-N 1 2 319.449 1.355 20 30 DDEDLO C[C@H](Nc1ncccc1C#N)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065780076 758794301 /nfs/dbraw/zinc/79/43/01/758794301.db2.gz PIYFIBWOCSIVLQ-GXTWGEPZSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C#N)[nH]1)CO2 ZINC001053773175 758795846 /nfs/dbraw/zinc/79/58/46/758795846.db2.gz FMJPYQWWQUPRNS-CYBMUJFWSA-N 1 2 300.362 1.036 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccncc1CC)CO2 ZINC001053852491 758884012 /nfs/dbraw/zinc/88/40/12/758884012.db2.gz MEBSUKFIMJYLMG-HNNXBMFYSA-N 1 2 315.417 1.793 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccnc(OC)c1)CO2 ZINC001053855732 758886551 /nfs/dbraw/zinc/88/65/51/758886551.db2.gz VFKJWFYETRNZIE-AWEZNQCLSA-N 1 2 317.389 1.239 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2COC3(C[NH+](CC(=C)C)C3)C2)cc1 ZINC001053888900 758923759 /nfs/dbraw/zinc/92/37/59/758923759.db2.gz VKGCBFWGYDNTDB-KRWDZBQOSA-N 1 2 310.397 1.817 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(Cl)no1)CO2 ZINC001053988924 759032646 /nfs/dbraw/zinc/03/26/46/759032646.db2.gz UKSAWJATPUQPDG-SNVBAGLBSA-N 1 2 311.769 1.477 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)C1=COCCC1 ZINC001054034028 759088896 /nfs/dbraw/zinc/08/88/96/759088896.db2.gz GBMCJCHWGRIHQG-SFHVURJKSA-N 1 2 310.397 1.857 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)C1=COCCC1 ZINC001054034028 759088902 /nfs/dbraw/zinc/08/89/02/759088902.db2.gz GBMCJCHWGRIHQG-SFHVURJKSA-N 1 2 310.397 1.857 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001066228082 759137441 /nfs/dbraw/zinc/13/74/41/759137441.db2.gz JPABORUZKHEXEK-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(C1)CCCN(C(=O)c1ccc3[nH]nnc3c1)C2 ZINC001054239949 759331208 /nfs/dbraw/zinc/33/12/08/759331208.db2.gz XPTPSGMJHAAWTP-GOSISDBHSA-N 1 2 323.400 1.519 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(C1)CCCN(C(=O)c1ccc3[nH]nnc3c1)C2 ZINC001054239949 759331215 /nfs/dbraw/zinc/33/12/15/759331215.db2.gz XPTPSGMJHAAWTP-GOSISDBHSA-N 1 2 323.400 1.519 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc[nH]c(=O)c3)cc2C1 ZINC001054259460 759359158 /nfs/dbraw/zinc/35/91/58/759359158.db2.gz BDCJCQOMBJOCAR-UHFFFAOYSA-N 1 2 307.353 1.666 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc[nH]c(=O)c3)cc2C1 ZINC001054259460 759359169 /nfs/dbraw/zinc/35/91/69/759359169.db2.gz BDCJCQOMBJOCAR-UHFFFAOYSA-N 1 2 307.353 1.666 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(C)ncn3)cc2C1 ZINC001054260077 759359468 /nfs/dbraw/zinc/35/94/68/759359468.db2.gz BDEASOKVICXOAK-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(C)ncn3)cc2C1 ZINC001054260077 759359476 /nfs/dbraw/zinc/35/94/76/759359476.db2.gz BDEASOKVICXOAK-UHFFFAOYSA-N 1 2 306.369 1.664 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001066260121 759411683 /nfs/dbraw/zinc/41/16/83/759411683.db2.gz FEADLRWXCYTNOQ-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001066260121 759411690 /nfs/dbraw/zinc/41/16/90/759411690.db2.gz FEADLRWXCYTNOQ-CQSZACIVSA-N 1 2 318.421 1.806 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)Cc1c[nH+]cn1C ZINC001069122554 767860666 /nfs/dbraw/zinc/86/06/66/767860666.db2.gz VCLZLJOWXDZTSI-TZMCWYRMSA-N 1 2 324.388 1.331 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1)C(=O)[C@H]1CCCO1 ZINC001085472144 759468200 /nfs/dbraw/zinc/46/82/00/759468200.db2.gz GYJSFUGWECHZCQ-IUODEOHRSA-N 1 2 319.430 1.831 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1)C(=O)[C@H]1CCCO1 ZINC001085472144 759468204 /nfs/dbraw/zinc/46/82/04/759468204.db2.gz GYJSFUGWECHZCQ-IUODEOHRSA-N 1 2 319.430 1.831 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH2+][C@H](C)c3nc(C)no3)C2)cc1 ZINC001019225477 759698889 /nfs/dbraw/zinc/69/88/89/759698889.db2.gz YOZLQRPOBLJZJC-WBMJQRKESA-N 1 2 324.384 1.925 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncnc2ccsc21 ZINC001085633972 759897890 /nfs/dbraw/zinc/89/78/90/759897890.db2.gz FHBYZUPNFAHWQM-NSHDSACASA-N 1 2 300.387 1.471 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncnc2ccsc21 ZINC001085633972 759897901 /nfs/dbraw/zinc/89/79/01/759897901.db2.gz FHBYZUPNFAHWQM-NSHDSACASA-N 1 2 300.387 1.471 20 30 DDEDLO C=CCC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCCS1(=O)=O ZINC001085637295 759928039 /nfs/dbraw/zinc/92/80/39/759928039.db2.gz MLWSDXCDQVPTTP-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCCS1(=O)=O ZINC001085637295 759928043 /nfs/dbraw/zinc/92/80/43/759928043.db2.gz MLWSDXCDQVPTTP-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(C)C)nn1CC ZINC001085694297 760049922 /nfs/dbraw/zinc/04/99/22/760049922.db2.gz CJQLBTZJZAUMAF-AWEZNQCLSA-N 1 2 302.422 1.806 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(C)C)nn1CC ZINC001085694297 760049934 /nfs/dbraw/zinc/04/99/34/760049934.db2.gz CJQLBTZJZAUMAF-AWEZNQCLSA-N 1 2 302.422 1.806 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001069213755 767918813 /nfs/dbraw/zinc/91/88/13/767918813.db2.gz DOABFOGYVFUVIO-BXUZGUMPSA-N 1 2 310.361 1.320 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cnn3cc[nH]c23)C1 ZINC001046796665 767933711 /nfs/dbraw/zinc/93/37/11/767933711.db2.gz NGMBDSRXOIQVLL-CQSZACIVSA-N 1 2 307.785 1.609 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cnn3cc[nH]c23)C1 ZINC001046796665 767933713 /nfs/dbraw/zinc/93/37/13/767933713.db2.gz NGMBDSRXOIQVLL-CQSZACIVSA-N 1 2 307.785 1.609 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1scnc1C1CC1)C2 ZINC001096127990 767940776 /nfs/dbraw/zinc/94/07/76/767940776.db2.gz PMDXEYZKCDNPML-AGIUHOORSA-N 1 2 301.415 1.989 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1scnc1C1CC1)C2 ZINC001096127990 767940781 /nfs/dbraw/zinc/94/07/81/767940781.db2.gz PMDXEYZKCDNPML-AGIUHOORSA-N 1 2 301.415 1.989 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cncc(C(F)(F)F)c1 ZINC001085907819 760504445 /nfs/dbraw/zinc/50/44/45/760504445.db2.gz ODFXJQONFRVQJR-CYBMUJFWSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cncc(C(F)(F)F)c1 ZINC001085907819 760504454 /nfs/dbraw/zinc/50/44/54/760504454.db2.gz ODFXJQONFRVQJR-CYBMUJFWSA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(OC)cc(OC)c1 ZINC001085944180 760583947 /nfs/dbraw/zinc/58/39/47/760583947.db2.gz BHIQKMPUEQHMDP-AWEZNQCLSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(OC)cc(OC)c1 ZINC001085944180 760583950 /nfs/dbraw/zinc/58/39/50/760583950.db2.gz BHIQKMPUEQHMDP-AWEZNQCLSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OC)c(OCC)c1 ZINC001085960331 760614122 /nfs/dbraw/zinc/61/41/22/760614122.db2.gz BEGFKCBXULCJSU-OAHLLOKOSA-N 1 2 316.401 1.873 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(OC)c(OCC)c1 ZINC001085960331 760614124 /nfs/dbraw/zinc/61/41/24/760614124.db2.gz BEGFKCBXULCJSU-OAHLLOKOSA-N 1 2 316.401 1.873 20 30 DDEDLO C#CC[N@H+]1CC[C@](C)(NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001046849505 767981117 /nfs/dbraw/zinc/98/11/17/767981117.db2.gz OPDRCINHALARJN-KRWDZBQOSA-N 1 2 318.357 1.762 20 30 DDEDLO C#CC[N@@H+]1CC[C@](C)(NC(=O)c2ccc(P(C)(C)=O)cc2)C1 ZINC001046849505 767981123 /nfs/dbraw/zinc/98/11/23/767981123.db2.gz OPDRCINHALARJN-KRWDZBQOSA-N 1 2 318.357 1.762 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)nc2sccn21 ZINC001038403565 761087188 /nfs/dbraw/zinc/08/71/88/761087188.db2.gz IXVSCJRXFLUVNO-LBPRGKRZSA-N 1 2 302.403 1.532 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1c(C)nc2sccn21 ZINC001038403565 761087198 /nfs/dbraw/zinc/08/71/98/761087198.db2.gz IXVSCJRXFLUVNO-LBPRGKRZSA-N 1 2 302.403 1.532 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@H]1CCN2C(=O)Cc1[nH]cc[nH+]1 ZINC001056298430 761101656 /nfs/dbraw/zinc/10/16/56/761101656.db2.gz QOEOVTMBSVKDAE-UONOGXRCSA-N 1 2 316.405 1.510 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)c2cccnc2)C1 ZINC001108256406 761216135 /nfs/dbraw/zinc/21/61/35/761216135.db2.gz WPEDBOFPEJJUIU-QAPCUYQASA-N 1 2 317.433 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)c2cccnc2)C1 ZINC001108256406 761216142 /nfs/dbraw/zinc/21/61/42/761216142.db2.gz WPEDBOFPEJJUIU-QAPCUYQASA-N 1 2 317.433 1.968 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C(C)(C)C)nn1C ZINC001038671904 761271228 /nfs/dbraw/zinc/27/12/28/761271228.db2.gz SOYVUNOQVAJBBQ-ZDUSSCGKSA-N 1 2 302.422 1.545 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C(C)(C)C)nn1C ZINC001038671904 761271231 /nfs/dbraw/zinc/27/12/31/761271231.db2.gz SOYVUNOQVAJBBQ-ZDUSSCGKSA-N 1 2 302.422 1.545 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)c2n[nH]cc2F)cc1 ZINC001038680192 761280518 /nfs/dbraw/zinc/28/05/18/761280518.db2.gz QTFBUZYYQAUFTE-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)c2n[nH]cc2F)cc1 ZINC001038680192 761280520 /nfs/dbraw/zinc/28/05/20/761280520.db2.gz QTFBUZYYQAUFTE-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CC[C@H](NC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001069475879 768031932 /nfs/dbraw/zinc/03/19/32/768031932.db2.gz MYHJUQKNOJSOMH-KBPBESRZSA-N 1 2 324.388 1.547 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2ccsc2C)C1 ZINC001108264731 761430010 /nfs/dbraw/zinc/43/00/10/761430010.db2.gz YEYLRQNFDBOOEU-MRXNPFEDSA-N 1 2 308.447 1.992 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2ccsc2C)C1 ZINC001108264731 761430013 /nfs/dbraw/zinc/43/00/13/761430013.db2.gz YEYLRQNFDBOOEU-MRXNPFEDSA-N 1 2 308.447 1.992 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)n1 ZINC001056807753 761447818 /nfs/dbraw/zinc/44/78/18/761447818.db2.gz XHNVLSOTZPOTTP-OAHLLOKOSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)n1 ZINC001056807753 761447821 /nfs/dbraw/zinc/44/78/21/761447821.db2.gz XHNVLSOTZPOTTP-OAHLLOKOSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@@H](C)Nc2cnc(C#N)cn2)c(C)[nH+]1 ZINC001098431444 761538536 /nfs/dbraw/zinc/53/85/36/761538536.db2.gz OFOUFWMXJDEDHH-GFCCVEGCSA-N 1 2 324.388 1.899 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CCC(C)(C)C)CC2=O)C1 ZINC001108581702 762743630 /nfs/dbraw/zinc/74/36/30/762743630.db2.gz XLGMJDUONANULQ-ZDUSSCGKSA-N 1 2 307.438 1.400 20 30 DDEDLO Cc1nocc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CSCC#N)C2 ZINC001108947127 763159907 /nfs/dbraw/zinc/15/99/07/763159907.db2.gz FXGRRDFAHPASIS-RDBSUJKOSA-N 1 2 320.418 1.461 20 30 DDEDLO Cc1nocc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CSCC#N)C2 ZINC001108947127 763159910 /nfs/dbraw/zinc/15/99/10/763159910.db2.gz FXGRRDFAHPASIS-RDBSUJKOSA-N 1 2 320.418 1.461 20 30 DDEDLO Cc1nc(N(C)C[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001109058250 763300899 /nfs/dbraw/zinc/30/08/99/763300899.db2.gz VIRDZXYHPOOSNZ-LLVKDONJSA-N 1 2 312.377 1.168 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2[C@H](C)c1ncc(C)o1 ZINC001109070780 763304894 /nfs/dbraw/zinc/30/48/94/763304894.db2.gz JFRZDBWFXIIDMW-KBXIAJHMSA-N 1 2 319.405 1.968 20 30 DDEDLO C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2[C@H](C)c1ncc(C)o1 ZINC001109070780 763304906 /nfs/dbraw/zinc/30/49/06/763304906.db2.gz JFRZDBWFXIIDMW-KBXIAJHMSA-N 1 2 319.405 1.968 20 30 DDEDLO Cc1nc(NC2CC(N(C)C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001069751857 768127789 /nfs/dbraw/zinc/12/77/89/768127789.db2.gz RDMWARONHYKVFI-UHFFFAOYSA-N 1 2 324.388 1.560 20 30 DDEDLO Cc1nc(NC2CCN(C(=O)c3c[nH]c(C#N)c3)CC2)cc[nH+]1 ZINC001057308657 763387550 /nfs/dbraw/zinc/38/75/50/763387550.db2.gz AEJHMIBHFLDBAI-UHFFFAOYSA-N 1 2 310.361 1.702 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001109159453 763420297 /nfs/dbraw/zinc/42/02/97/763420297.db2.gz AJEAJWSHGJSLLQ-GUYCJALGSA-N 1 2 320.437 1.955 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001109271254 763534159 /nfs/dbraw/zinc/53/41/59/763534159.db2.gz JQMBBKGZXQPGOE-INWMFGNUSA-N 1 2 318.421 1.707 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CCN(C(=O)c3n[nH]cc3F)C[C@@H]21 ZINC001042219035 763781483 /nfs/dbraw/zinc/78/14/83/763781483.db2.gz OEFWFYSKNWQCFK-PWSUYJOCSA-N 1 2 312.776 1.838 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CCN(C(=O)c3n[nH]cc3F)C[C@@H]21 ZINC001042219035 763781488 /nfs/dbraw/zinc/78/14/88/763781488.db2.gz OEFWFYSKNWQCFK-PWSUYJOCSA-N 1 2 312.776 1.838 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3cc(C(C)C)[nH]n3)C[C@@H]21 ZINC001042241479 763806715 /nfs/dbraw/zinc/80/67/15/763806715.db2.gz YOSMZLYZEXPBMX-BBRMVZONSA-N 1 2 300.406 1.703 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3cc(C(C)C)[nH]n3)C[C@@H]21 ZINC001042241479 763806725 /nfs/dbraw/zinc/80/67/25/763806725.db2.gz YOSMZLYZEXPBMX-BBRMVZONSA-N 1 2 300.406 1.703 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC2(C1)CCN(CC#N)CC2 ZINC001050693947 763927877 /nfs/dbraw/zinc/92/78/77/763927877.db2.gz NXIYXHKJUSPXOZ-ZDUSSCGKSA-N 1 2 301.394 1.036 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC2(C1)CCN(CC#N)CC2 ZINC001050693947 763927886 /nfs/dbraw/zinc/92/78/86/763927886.db2.gz NXIYXHKJUSPXOZ-ZDUSSCGKSA-N 1 2 301.394 1.036 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NCCC)C1 ZINC001109697240 763950174 /nfs/dbraw/zinc/95/01/74/763950174.db2.gz WPKSNGHGSXSLTI-ILXRZTDVSA-N 1 2 319.449 1.590 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NCCC)C1 ZINC001109697240 763950180 /nfs/dbraw/zinc/95/01/80/763950180.db2.gz WPKSNGHGSXSLTI-ILXRZTDVSA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@H]1C1CC1)C2 ZINC001109820686 764091377 /nfs/dbraw/zinc/09/13/77/764091377.db2.gz QMIIUYDJBNYJCU-LEOABGAYSA-N 1 2 317.433 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@H]1C1CC1)C2 ZINC001109820686 764091382 /nfs/dbraw/zinc/09/13/82/764091382.db2.gz QMIIUYDJBNYJCU-LEOABGAYSA-N 1 2 317.433 1.056 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@H](CNc1ccc(C#N)nc1)C1CC1 ZINC001109875252 764159653 /nfs/dbraw/zinc/15/96/53/764159653.db2.gz FSMOKGYPYXMBAM-INIZCTEOSA-N 1 2 324.388 1.236 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C(C)C)n(C)n1 ZINC001050915405 764274175 /nfs/dbraw/zinc/27/41/75/764274175.db2.gz UVOXCRLYKGRJDP-CQSZACIVSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C(C)C)n(C)n1 ZINC001050915405 764274180 /nfs/dbraw/zinc/27/41/80/764274180.db2.gz UVOXCRLYKGRJDP-CQSZACIVSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc2c([nH]1)CCOC2 ZINC001050950901 764335024 /nfs/dbraw/zinc/33/50/24/764335024.db2.gz ABAQCJBWRUVULQ-CQSZACIVSA-N 1 2 319.405 1.094 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc2c([nH]1)CCOC2 ZINC001050950901 764335031 /nfs/dbraw/zinc/33/50/31/764335031.db2.gz ABAQCJBWRUVULQ-CQSZACIVSA-N 1 2 319.405 1.094 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1COCC[N@H+]1CC[C@H](C)F ZINC001050957374 764348579 /nfs/dbraw/zinc/34/85/79/764348579.db2.gz GLKZBNBIZPMHJN-GJZGRUSLSA-N 1 2 323.412 1.853 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1COCC[N@@H+]1CC[C@H](C)F ZINC001050957374 764348582 /nfs/dbraw/zinc/34/85/82/764348582.db2.gz GLKZBNBIZPMHJN-GJZGRUSLSA-N 1 2 323.412 1.853 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096150621 768207506 /nfs/dbraw/zinc/20/75/06/768207506.db2.gz UOUCILFHMQUOGP-UKRRQHHQSA-N 1 2 318.421 1.851 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCCn2nccc21 ZINC001050989913 764388097 /nfs/dbraw/zinc/38/80/97/764388097.db2.gz YZPVGJKJCVAJFB-LSDHHAIUSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCCn2nccc21 ZINC001050989913 764388103 /nfs/dbraw/zinc/38/81/03/764388103.db2.gz YZPVGJKJCVAJFB-LSDHHAIUSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)CN1CCOC[C@@H]1CNC(=O)c1cccc2[nH+]ccn21 ZINC001051026160 764430171 /nfs/dbraw/zinc/43/01/71/764430171.db2.gz NLBKCOCPGCUNDT-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)cnn1C ZINC001051068759 764472076 /nfs/dbraw/zinc/47/20/76/764472076.db2.gz XYOFGHUQSKHLLL-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)cnn1C ZINC001051068759 764472081 /nfs/dbraw/zinc/47/20/81/764472081.db2.gz XYOFGHUQSKHLLL-AWEZNQCLSA-N 1 2 306.410 1.125 20 30 DDEDLO Cc1ncsc1CC[NH+]1CCN(C(=O)CSCC#N)CC1 ZINC001112630402 764499651 /nfs/dbraw/zinc/49/96/51/764499651.db2.gz ZLROTCKHCBRRTE-UHFFFAOYSA-N 1 2 324.475 1.395 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(CC)nc1C ZINC001051146641 764551824 /nfs/dbraw/zinc/55/18/24/764551824.db2.gz ULDNAMFNGIGECG-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(CC)nc1C ZINC001051146641 764551829 /nfs/dbraw/zinc/55/18/29/764551829.db2.gz ULDNAMFNGIGECG-HNNXBMFYSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ccoc2Cl)[C@@H](n2ccnn2)C1 ZINC001069915288 768226251 /nfs/dbraw/zinc/22/62/51/768226251.db2.gz WGFGDWDOUOQJAA-NEPJUHHUSA-N 1 2 321.768 1.366 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ccoc2Cl)[C@@H](n2ccnn2)C1 ZINC001069915288 768226253 /nfs/dbraw/zinc/22/62/53/768226253.db2.gz WGFGDWDOUOQJAA-NEPJUHHUSA-N 1 2 321.768 1.366 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccnc1OCC ZINC001051232824 764653207 /nfs/dbraw/zinc/65/32/07/764653207.db2.gz WSRBCYMMWXDLLR-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccnc1OCC ZINC001051232824 764653214 /nfs/dbraw/zinc/65/32/14/764653214.db2.gz WSRBCYMMWXDLLR-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCOc1ccc(C(=O)N(C)C2C[NH+](CCCO)C2)cc1 ZINC001043117307 764683955 /nfs/dbraw/zinc/68/39/55/764683955.db2.gz HSVPZULDSULGQD-UHFFFAOYSA-N 1 2 304.390 1.390 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccnc2N2CCCC2)C1 ZINC001043242282 764788117 /nfs/dbraw/zinc/78/81/17/764788117.db2.gz VUPRXXHGDMDLSX-UHFFFAOYSA-N 1 2 312.417 1.461 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c[nH]c(Br)c2)C1 ZINC001043371655 764865377 /nfs/dbraw/zinc/86/53/77/764865377.db2.gz ABPUKUXBNRWVCT-UHFFFAOYSA-N 1 2 310.195 1.557 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCCc3nn(C)cc32)C1 ZINC001043652812 765027219 /nfs/dbraw/zinc/02/72/19/765027219.db2.gz GOLSRRJNNKCYQE-CQSZACIVSA-N 1 2 302.422 1.559 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051672365 765058362 /nfs/dbraw/zinc/05/83/62/765058362.db2.gz RZEXMJKNIUESSV-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc3cc(OC)ccc3[nH]2)C1 ZINC001043708934 765059477 /nfs/dbraw/zinc/05/94/77/765059477.db2.gz JRSMXPIPFPRFPP-UHFFFAOYSA-N 1 2 311.385 1.956 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnc3ccccn23)CC1 ZINC001113049525 765189518 /nfs/dbraw/zinc/18/95/18/765189518.db2.gz SEAAMBDNFXANNU-UHFFFAOYSA-N 1 2 314.389 1.295 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-n3cccc3)nc2)C1 ZINC001044066060 765267091 /nfs/dbraw/zinc/26/70/91/765267091.db2.gz HIXNOKYXQCALEU-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[C@H](N2CC[N@H+](CC)CC2=O)C1 ZINC001131717350 768282408 /nfs/dbraw/zinc/28/24/08/768282408.db2.gz QQTPPOLPFYJAEE-HOTGVXAUSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[C@H](N2CC[N@@H+](CC)CC2=O)C1 ZINC001131717350 768282411 /nfs/dbraw/zinc/28/24/11/768282411.db2.gz QQTPPOLPFYJAEE-HOTGVXAUSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2n[nH]c3c2C[C@@H](C)CC3)C1 ZINC001044127444 765327395 /nfs/dbraw/zinc/32/73/95/765327395.db2.gz SEXWAVIDDYQDRT-LBPRGKRZSA-N 1 2 302.422 1.867 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C[C@@H]3C=CCC3)C2)CC1 ZINC001051977817 765338195 /nfs/dbraw/zinc/33/81/95/765338195.db2.gz HBUMSZDENMEKCV-QZTJIDSGSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccoc2Br)C1 ZINC001044146787 765340663 /nfs/dbraw/zinc/34/06/63/765340663.db2.gz RGXZJZNYZBETAR-UHFFFAOYSA-N 1 2 311.179 1.822 20 30 DDEDLO CC#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccoc3C)C2)CC1 ZINC001051978139 765340608 /nfs/dbraw/zinc/34/06/08/765340608.db2.gz MTONGIPHTCWYTP-INIZCTEOSA-N 1 2 315.417 1.443 20 30 DDEDLO C#CCC[N@H+]1CCN([C@H]2CCCN(C(=O)CCCC)C2)C(=O)C1 ZINC001131706952 768285067 /nfs/dbraw/zinc/28/50/67/768285067.db2.gz SBAVCDKWNMGYTK-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@H]2CCCN(C(=O)CCCC)C2)C(=O)C1 ZINC001131706952 768285071 /nfs/dbraw/zinc/28/50/71/768285071.db2.gz SBAVCDKWNMGYTK-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccccc3O)C2)CC1 ZINC001051986634 765351626 /nfs/dbraw/zinc/35/16/26/765351626.db2.gz JNYARPSGGNQSMM-HNNXBMFYSA-N 1 2 315.417 1.410 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)/C=C/C3CC3)C2)CC1 ZINC001051998199 765365141 /nfs/dbraw/zinc/36/51/41/765365141.db2.gz OXDMKAWABRDYLN-DWTRPJMMSA-N 1 2 323.868 1.924 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3oc(C)cc3C)C2)CC1 ZINC001052007133 765375796 /nfs/dbraw/zinc/37/57/96/765375796.db2.gz WKWWVDXZRBVCOE-MRXNPFEDSA-N 1 2 315.417 1.362 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3oc(C)cc3C)C2)CC1 ZINC001052007133 765375801 /nfs/dbraw/zinc/37/58/01/765375801.db2.gz WKWWVDXZRBVCOE-MRXNPFEDSA-N 1 2 315.417 1.362 20 30 DDEDLO C#CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccoc3CC)C2)CC1 ZINC001052022233 765396602 /nfs/dbraw/zinc/39/66/02/765396602.db2.gz KURLVBSSDJFULR-HNNXBMFYSA-N 1 2 315.417 1.307 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccoc3CC)C2)CC1 ZINC001052022233 765396608 /nfs/dbraw/zinc/39/66/08/765396608.db2.gz KURLVBSSDJFULR-HNNXBMFYSA-N 1 2 315.417 1.307 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H]3CCCC34CC4)C2)CC1 ZINC001052042629 765414424 /nfs/dbraw/zinc/41/44/24/765414424.db2.gz FCEULNOPTRQZBW-IAGOWNOFSA-N 1 2 315.461 1.418 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C3(C4CC4)CC3)C2)CC1 ZINC001052041470 765414571 /nfs/dbraw/zinc/41/45/71/765414571.db2.gz IZIRXBJALOCKEX-QGZVFWFLSA-N 1 2 317.477 1.971 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H](C)C(C)(F)F)C2)CC1 ZINC001052081965 765454835 /nfs/dbraw/zinc/45/48/35/765454835.db2.gz HDGNBFGKVVGWKN-KBPBESRZSA-N 1 2 313.392 1.129 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3CC4(CC4)C3)C2)CC1 ZINC001052082549 765456123 /nfs/dbraw/zinc/45/61/23/765456123.db2.gz TYQQZDAPSPZTQP-KRWDZBQOSA-N 1 2 315.461 1.418 20 30 DDEDLO C[C@@H](NC(=O)Cc1c[nH]c[nH+]1)[C@H](C)Nc1cccc(F)c1C#N ZINC001113313421 765553721 /nfs/dbraw/zinc/55/37/21/765553721.db2.gz HWHYLXSOELSDLY-WDEREUQCSA-N 1 2 315.352 1.968 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)COC)C1 ZINC001111554530 765596298 /nfs/dbraw/zinc/59/62/98/765596298.db2.gz WNNQKZRASCLXLI-AAEUAGOBSA-N 1 2 317.227 1.512 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)COC)C1 ZINC001111554530 765596300 /nfs/dbraw/zinc/59/63/00/765596300.db2.gz WNNQKZRASCLXLI-AAEUAGOBSA-N 1 2 317.227 1.512 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccncc2Cl)CC1 ZINC001113376496 765631030 /nfs/dbraw/zinc/63/10/30/765631030.db2.gz MYVGQFWEFUDJJG-UHFFFAOYSA-N 1 2 309.797 1.695 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2sc(CC)nc2C)CC1 ZINC001113422871 765677221 /nfs/dbraw/zinc/67/72/21/765677221.db2.gz WYDOCNKEJMLOBT-UHFFFAOYSA-N 1 2 323.462 1.974 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](C)NC(=O)CC2CCCC2)CC1 ZINC001113433539 765689329 /nfs/dbraw/zinc/68/93/29/765689329.db2.gz PYLCKEWVOHPNAB-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCCOC2CCOCC2)CC1 ZINC001113522931 765801173 /nfs/dbraw/zinc/80/11/73/765801173.db2.gz OSPZFEQZEXREGF-UHFFFAOYSA-N 1 2 308.422 1.130 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2sccc2OC)CC1 ZINC001113567775 765872968 /nfs/dbraw/zinc/87/29/68/765872968.db2.gz FAOQFUHPRYURLL-UHFFFAOYSA-N 1 2 310.419 1.717 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2cccs2)CC[C@@H]1C ZINC001131770906 768337315 /nfs/dbraw/zinc/33/73/15/768337315.db2.gz BAXGOYVBKHPPAQ-STQMWFEESA-N 1 2 321.446 1.633 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2cccs2)CC[C@@H]1C ZINC001131770906 768337324 /nfs/dbraw/zinc/33/73/24/768337324.db2.gz BAXGOYVBKHPPAQ-STQMWFEESA-N 1 2 321.446 1.633 20 30 DDEDLO CCc1nc(N(CCCNC(=O)[C@@H](C)C#N)C2CC2)cc(C)[nH+]1 ZINC001096015960 766165149 /nfs/dbraw/zinc/16/51/49/766165149.db2.gz CQXXRWYLDXUWMP-LBPRGKRZSA-N 1 2 315.421 1.982 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccoc2)CC[C@@H]1C ZINC001131788321 768356892 /nfs/dbraw/zinc/35/68/92/768356892.db2.gz RKYXKSRBZKFXOG-JSGCOSHPSA-N 1 2 305.378 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccoc2)CC[C@@H]1C ZINC001131788321 768356899 /nfs/dbraw/zinc/35/68/99/768356899.db2.gz RKYXKSRBZKFXOG-JSGCOSHPSA-N 1 2 305.378 1.165 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)C1CCN(c2ncccc2C#N)CC1 ZINC001058061523 766203325 /nfs/dbraw/zinc/20/33/25/766203325.db2.gz AXPVLDSNBLJRCJ-UHFFFAOYSA-N 1 2 324.388 1.346 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2snnc2C)CC[C@@H]1C ZINC001131781038 768365544 /nfs/dbraw/zinc/36/55/44/768365544.db2.gz TVQRCWOKZALPQX-WCQYABFASA-N 1 2 322.434 1.079 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2snnc2C)CC[C@@H]1C ZINC001131781038 768365552 /nfs/dbraw/zinc/36/55/52/768365552.db2.gz TVQRCWOKZALPQX-WCQYABFASA-N 1 2 322.434 1.079 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)SC ZINC001113912852 766388672 /nfs/dbraw/zinc/38/86/72/766388672.db2.gz CIPWQWQYOJCHOU-ZNSHCXBVSA-N 1 2 319.268 1.693 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)SC ZINC001113912852 766388662 /nfs/dbraw/zinc/38/86/62/766388662.db2.gz CIPWQWQYOJCHOU-ZNSHCXBVSA-N 1 2 319.268 1.693 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CC)OC ZINC001114008359 766528692 /nfs/dbraw/zinc/52/86/92/766528692.db2.gz HKBWCRWRJJQPEY-KXNHARMFSA-N 1 2 317.227 1.366 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CC)OC ZINC001114008359 766528697 /nfs/dbraw/zinc/52/86/97/766528697.db2.gz HKBWCRWRJJQPEY-KXNHARMFSA-N 1 2 317.227 1.366 20 30 DDEDLO CC#CCCCC(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067716890 766648388 /nfs/dbraw/zinc/64/83/88/766648388.db2.gz NTDNYIZQUVGCDH-UHFFFAOYSA-N 1 2 318.421 1.384 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1[C@H]2C[N@H+](C/C(Cl)=C\Cl)C[C@@H]12 ZINC001114194631 766755886 /nfs/dbraw/zinc/75/58/86/766755886.db2.gz SPDXFHBQWFWZEX-PQRIRVQKSA-N 1 2 319.232 1.679 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1[C@H]2C[N@@H+](C/C(Cl)=C\Cl)C[C@@H]12 ZINC001114194631 766755892 /nfs/dbraw/zinc/75/58/92/766755892.db2.gz SPDXFHBQWFWZEX-PQRIRVQKSA-N 1 2 319.232 1.679 20 30 DDEDLO CCc1noc([C@@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)n1 ZINC001114235121 766799236 /nfs/dbraw/zinc/79/92/36/766799236.db2.gz WXJRJZLAEQSEAC-FOCJUVANSA-N 1 2 316.405 1.399 20 30 DDEDLO CCc1noc([C@@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)n1 ZINC001114235121 766799242 /nfs/dbraw/zinc/79/92/42/766799242.db2.gz WXJRJZLAEQSEAC-FOCJUVANSA-N 1 2 316.405 1.399 20 30 DDEDLO CC(C)C1(C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)CC1 ZINC001046060025 766871943 /nfs/dbraw/zinc/87/19/43/766871943.db2.gz UBWQSDHBYGRPIJ-HNNXBMFYSA-N 1 2 304.438 1.165 20 30 DDEDLO C=CCn1c(N2CCCCC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121609421 782589052 /nfs/dbraw/zinc/58/90/52/782589052.db2.gz ZQBOBXSSZBWGKF-CJNGLKHVSA-N 1 2 305.426 1.366 20 30 DDEDLO C=CCn1c(N2CCCCC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121609421 782589060 /nfs/dbraw/zinc/58/90/60/782589060.db2.gz ZQBOBXSSZBWGKF-CJNGLKHVSA-N 1 2 305.426 1.366 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)CC1CC1 ZINC001121609886 782591008 /nfs/dbraw/zinc/59/10/08/782591008.db2.gz PGDZYOIWPLKQOB-UONOGXRCSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)CC1CC1 ZINC001121609886 782591014 /nfs/dbraw/zinc/59/10/14/782591014.db2.gz PGDZYOIWPLKQOB-UONOGXRCSA-N 1 2 305.426 1.438 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CC[C@@](C)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001046223447 767209756 /nfs/dbraw/zinc/20/97/56/767209756.db2.gz LDFJIWGFJBIUKZ-SJKOYZFVSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CC[C@@](C)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001046223447 767209759 /nfs/dbraw/zinc/20/97/59/767209759.db2.gz LDFJIWGFJBIUKZ-SJKOYZFVSA-N 1 2 324.388 1.632 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccc3nc[nH]c3n2)C1 ZINC001046415610 767534624 /nfs/dbraw/zinc/53/46/24/767534624.db2.gz OMYOAMPTYMNBAF-HNNXBMFYSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccc3nc[nH]c3n2)C1 ZINC001046415610 767534628 /nfs/dbraw/zinc/53/46/28/767534628.db2.gz OMYOAMPTYMNBAF-HNNXBMFYSA-N 1 2 319.796 1.905 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@@H](C)N(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001069073191 767821896 /nfs/dbraw/zinc/82/18/96/767821896.db2.gz HZLGLTLEISPXHO-ZWNOBZJWSA-N 1 2 310.361 1.700 20 30 DDEDLO CC/C(C)=C/C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130980578 767829283 /nfs/dbraw/zinc/82/92/83/767829283.db2.gz MWFFDACONDAUIM-XCEACWERSA-N 1 2 301.394 1.184 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+][C@@H](C)c1nc(CC)no1 ZINC001131869943 768431611 /nfs/dbraw/zinc/43/16/11/768431611.db2.gz LPKDLUZSNSCMRT-BLLLJJGKSA-N 1 2 324.425 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCc2nnc[nH]2)CC[C@H]1C ZINC001131954413 768511680 /nfs/dbraw/zinc/51/16/80/768511680.db2.gz CJRFUVIOOSFROP-NEPJUHHUSA-N 1 2 311.817 1.459 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCc2nnc[nH]2)CC[C@H]1C ZINC001131954413 768511685 /nfs/dbraw/zinc/51/16/85/768511685.db2.gz CJRFUVIOOSFROP-NEPJUHHUSA-N 1 2 311.817 1.459 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N(C)[C@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047491645 768515757 /nfs/dbraw/zinc/51/57/57/768515757.db2.gz OZSOLYACBHFDIS-STECZYCISA-N 1 2 313.401 1.218 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N(C)[C@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001047491645 768515760 /nfs/dbraw/zinc/51/57/60/768515760.db2.gz OZSOLYACBHFDIS-STECZYCISA-N 1 2 313.401 1.218 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCNC(=O)NC)CC[C@@H]1C ZINC001132013658 768571265 /nfs/dbraw/zinc/57/12/65/768571265.db2.gz MQIIRKWAXQGZDM-NWDGAFQWSA-N 1 2 316.833 1.027 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCNC(=O)NC)CC[C@@H]1C ZINC001132013658 768571267 /nfs/dbraw/zinc/57/12/67/768571267.db2.gz MQIIRKWAXQGZDM-NWDGAFQWSA-N 1 2 316.833 1.027 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2csc(C(C)(C)C)n2)C1 ZINC001047563526 768574764 /nfs/dbraw/zinc/57/47/64/768574764.db2.gz NZJCXPXVYLRTAJ-STQMWFEESA-N 1 2 321.446 1.191 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2csc(C(C)(C)C)n2)C1 ZINC001047563526 768574761 /nfs/dbraw/zinc/57/47/61/768574761.db2.gz NZJCXPXVYLRTAJ-STQMWFEESA-N 1 2 321.446 1.191 20 30 DDEDLO C/C(=C/C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1)C1CC1 ZINC001070626219 768629609 /nfs/dbraw/zinc/62/96/09/768629609.db2.gz UBXXFWJFRXJKCV-UZSWLCPZSA-N 1 2 313.405 1.184 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccsn2)CC[C@H]1C ZINC001132125474 768638243 /nfs/dbraw/zinc/63/82/43/768638243.db2.gz VDEVHHZQPPCAEO-VXGBXAGGSA-N 1 2 322.434 1.028 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccsn2)CC[C@H]1C ZINC001132125474 768638246 /nfs/dbraw/zinc/63/82/46/768638246.db2.gz VDEVHHZQPPCAEO-VXGBXAGGSA-N 1 2 322.434 1.028 20 30 DDEDLO C[C@@H](C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1)C(C)(C)C ZINC001070660775 768649840 /nfs/dbraw/zinc/64/98/40/768649840.db2.gz QWLXJUGXHAQLGF-BFHYXJOUSA-N 1 2 317.437 1.510 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCn2cc[nH+]c2C1)Nc1ccc(C#N)cn1 ZINC001098126067 768666510 /nfs/dbraw/zinc/66/65/10/768666510.db2.gz UEAQVGWPNUXEFQ-TZMCWYRMSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1CCn2cc[nH+]c2C1)Nc1ccc(C#N)nc1 ZINC001098126206 768671953 /nfs/dbraw/zinc/67/19/53/768671953.db2.gz WXWBYCDPBBSNOR-CHWSQXEVSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132218945 768690365 /nfs/dbraw/zinc/69/03/65/768690365.db2.gz YGQGVXAHTUUSPI-CHWSQXEVSA-N 1 2 305.426 1.496 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132218945 768690369 /nfs/dbraw/zinc/69/03/69/768690369.db2.gz YGQGVXAHTUUSPI-CHWSQXEVSA-N 1 2 305.426 1.496 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)c2ccsc2)CC1 ZINC001070936906 768796373 /nfs/dbraw/zinc/79/63/73/768796373.db2.gz ZCAVYTPIGLTCOK-UHFFFAOYSA-N 1 2 321.446 1.588 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)c2ccsc2)CC1 ZINC001070936906 768796378 /nfs/dbraw/zinc/79/63/78/768796378.db2.gz ZCAVYTPIGLTCOK-UHFFFAOYSA-N 1 2 321.446 1.588 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@H]2C=CCCC2)CC1 ZINC001070973509 768825298 /nfs/dbraw/zinc/82/52/98/768825298.db2.gz NZYVVIZONRDSHA-INIZCTEOSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@H]2C=CCCC2)CC1 ZINC001070973509 768825306 /nfs/dbraw/zinc/82/53/06/768825306.db2.gz NZYVVIZONRDSHA-INIZCTEOSA-N 1 2 319.449 1.569 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2(CC)CCC2)CC1 ZINC001070986783 768838615 /nfs/dbraw/zinc/83/86/15/768838615.db2.gz BWOLQQXYWAWQDX-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2(CC)CCC2)CC1 ZINC001070986783 768838627 /nfs/dbraw/zinc/83/86/27/768838627.db2.gz BWOLQQXYWAWQDX-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@H]2CC=CCC2)CC1 ZINC001070987176 768839269 /nfs/dbraw/zinc/83/92/69/768839269.db2.gz UOUYSQUTASKNKZ-INIZCTEOSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@H]2CC=CCC2)CC1 ZINC001070987176 768839278 /nfs/dbraw/zinc/83/92/78/768839278.db2.gz UOUYSQUTASKNKZ-INIZCTEOSA-N 1 2 319.449 1.569 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCC[NH2+]Cc1nnc(OCC)s1 ZINC001132577387 768998454 /nfs/dbraw/zinc/99/84/54/768998454.db2.gz URCWEKZADCPRKT-WDEREUQCSA-N 1 2 312.439 1.601 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001132653534 769055240 /nfs/dbraw/zinc/05/52/40/769055240.db2.gz OACOIOLVKRKONK-SECBINFHSA-N 1 2 302.325 1.815 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001132667604 769076084 /nfs/dbraw/zinc/07/60/84/769076084.db2.gz OPHFHQVWDMKBTO-RYUDHWBXSA-N 1 2 310.398 1.585 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@@H](C)[C@H](CNCC#N)C2)c[nH+]1 ZINC001132920862 769323102 /nfs/dbraw/zinc/32/31/02/769323102.db2.gz VGYACCSVLWTBHA-UKRRQHHQSA-N 1 2 317.437 1.524 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cnn(C)c2)CC[C@H]1C ZINC001071384359 769366344 /nfs/dbraw/zinc/36/63/44/769366344.db2.gz WBCBYDSRABLUEI-TZMCWYRMSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cnn(C)c2)CC[C@H]1C ZINC001071384359 769366353 /nfs/dbraw/zinc/36/63/53/769366353.db2.gz WBCBYDSRABLUEI-TZMCWYRMSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc(=O)n(C)cn2)CC[C@@H]1C ZINC001071579308 769658181 /nfs/dbraw/zinc/65/81/81/769658181.db2.gz BUJXJHILEBRBTJ-NWDGAFQWSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc(=O)n(C)cn2)CC[C@@H]1C ZINC001071579308 769658187 /nfs/dbraw/zinc/65/81/87/769658187.db2.gz BUJXJHILEBRBTJ-NWDGAFQWSA-N 1 2 324.812 1.116 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CN1CC#N ZINC001071956985 770347046 /nfs/dbraw/zinc/34/70/46/770347046.db2.gz AIDMDHAMOCRSKU-UONOGXRCSA-N 1 2 324.388 1.374 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[NH2+]Cc1nc(CC(C)C)no1 ZINC001134062110 770609658 /nfs/dbraw/zinc/60/96/58/770609658.db2.gz RRPOIDHOJDGNNK-OLZOCXBDSA-N 1 2 322.409 1.065 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001072316885 770753715 /nfs/dbraw/zinc/75/37/15/770753715.db2.gz HPSRNKIHOBADOB-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072316885 770753719 /nfs/dbraw/zinc/75/37/19/770753719.db2.gz HPSRNKIHOBADOB-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1nccn1C ZINC001049380426 770759425 /nfs/dbraw/zinc/75/94/25/770759425.db2.gz FAEMBLVPQUAECP-RDBSUJKOSA-N 1 2 301.394 1.145 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1nccn1C ZINC001049380426 770759429 /nfs/dbraw/zinc/75/94/29/770759429.db2.gz FAEMBLVPQUAECP-RDBSUJKOSA-N 1 2 301.394 1.145 20 30 DDEDLO CCc1cnc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049382468 770762122 /nfs/dbraw/zinc/76/21/22/770762122.db2.gz OJNLNHKTNNFALZ-VHDGCEQUSA-N 1 2 316.405 1.962 20 30 DDEDLO CCc1cnc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049382468 770762125 /nfs/dbraw/zinc/76/21/25/770762125.db2.gz OJNLNHKTNNFALZ-VHDGCEQUSA-N 1 2 316.405 1.962 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)C#N ZINC001049384462 770763671 /nfs/dbraw/zinc/76/36/71/770763671.db2.gz WMROMUCDEJRGHO-SOUVJXGZSA-N 1 2 315.421 1.628 20 30 DDEDLO CCn1ccnc1C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)C#N ZINC001049384462 770763676 /nfs/dbraw/zinc/76/36/76/770763676.db2.gz WMROMUCDEJRGHO-SOUVJXGZSA-N 1 2 315.421 1.628 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC[C@H]2[C@H]1CCN2CC#N ZINC001049785674 771157830 /nfs/dbraw/zinc/15/78/30/771157830.db2.gz YIDCRRWUVHUVRN-VHDGCEQUSA-N 1 2 301.394 1.177 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC[C@H]2[C@H]1CCN2CC#N ZINC001049785674 771157832 /nfs/dbraw/zinc/15/78/32/771157832.db2.gz YIDCRRWUVHUVRN-VHDGCEQUSA-N 1 2 301.394 1.177 20 30 DDEDLO CC(C)(C(=O)N1CCC[C@H]2[C@H]1CCN2CC#N)c1c[nH+]c[nH]1 ZINC001049848806 771206806 /nfs/dbraw/zinc/20/68/06/771206806.db2.gz MFEPBWRMOVAHKJ-QWHCGFSZSA-N 1 2 301.394 1.276 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001049995841 771350177 /nfs/dbraw/zinc/35/01/77/771350177.db2.gz QHBYNZAGKRVKRH-SGMGOOAPSA-N 1 2 304.419 1.726 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001049995841 771350180 /nfs/dbraw/zinc/35/01/80/771350180.db2.gz QHBYNZAGKRVKRH-SGMGOOAPSA-N 1 2 304.419 1.726 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCCNc1ncnc2[nH]ccc21 ZINC001171068459 772218477 /nfs/dbraw/zinc/21/84/77/772218477.db2.gz YMQMWWPSYADNRI-LBPRGKRZSA-N 1 2 303.366 1.548 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(F)s2)[C@H](O)C1 ZINC001090841460 772259387 /nfs/dbraw/zinc/25/93/87/772259387.db2.gz PMJYBIJSQRXCBD-NXEZZACHSA-N 1 2 318.801 1.805 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(F)s2)[C@H](O)C1 ZINC001090841460 772259389 /nfs/dbraw/zinc/25/93/89/772259389.db2.gz PMJYBIJSQRXCBD-NXEZZACHSA-N 1 2 318.801 1.805 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001074025749 773593043 /nfs/dbraw/zinc/59/30/43/773593043.db2.gz XKLAXLHLBQWDRL-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001074025749 773593047 /nfs/dbraw/zinc/59/30/47/773593047.db2.gz XKLAXLHLBQWDRL-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cncs3)C[C@@H]21 ZINC001074187574 773708242 /nfs/dbraw/zinc/70/82/42/773708242.db2.gz IWSXVJWMLHNFLC-STQMWFEESA-N 1 2 307.419 1.635 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cncs3)C[C@@H]21 ZINC001074187574 773708246 /nfs/dbraw/zinc/70/82/46/773708246.db2.gz IWSXVJWMLHNFLC-STQMWFEESA-N 1 2 307.419 1.635 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@H]21 ZINC001074195301 773718421 /nfs/dbraw/zinc/71/84/21/773718421.db2.gz SMVVXXYINVARPI-HUUCEWRRSA-N 1 2 322.449 1.870 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@@H]2CCN(C(=O)C(=O)C(C)(C)C)C[C@H]21 ZINC001074195301 773718427 /nfs/dbraw/zinc/71/84/27/773718427.db2.gz SMVVXXYINVARPI-HUUCEWRRSA-N 1 2 322.449 1.870 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cocc3C)C[C@H]21 ZINC001074313228 773814653 /nfs/dbraw/zinc/81/46/53/773814653.db2.gz YTXLESWGWHPONC-HZPDHXFCSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cocc3C)C[C@H]21 ZINC001074313228 773814659 /nfs/dbraw/zinc/81/46/59/773814659.db2.gz YTXLESWGWHPONC-HZPDHXFCSA-N 1 2 302.374 1.527 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3csc(=O)[nH]3)C[C@@H]21 ZINC001074316680 773816781 /nfs/dbraw/zinc/81/67/81/773816781.db2.gz COGVCDVZYRBISE-QWHCGFSZSA-N 1 2 323.418 1.340 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3csc(=O)[nH]3)C[C@@H]21 ZINC001074316680 773816785 /nfs/dbraw/zinc/81/67/85/773816785.db2.gz COGVCDVZYRBISE-QWHCGFSZSA-N 1 2 323.418 1.340 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(CC)CCC3)C[C@H]21 ZINC001074316990 773816906 /nfs/dbraw/zinc/81/69/06/773816906.db2.gz IPWWTQXDAAZZEJ-CVEARBPZSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CC)CCC3)C[C@H]21 ZINC001074316990 773816912 /nfs/dbraw/zinc/81/69/12/773816912.db2.gz IPWWTQXDAAZZEJ-CVEARBPZSA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(C(C)C)CC3)C[C@H]21 ZINC001074334424 773830372 /nfs/dbraw/zinc/83/03/72/773830372.db2.gz RLGRVRVQROALOR-CVEARBPZSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(C(C)C)CC3)C[C@H]21 ZINC001074334424 773830374 /nfs/dbraw/zinc/83/03/74/773830374.db2.gz RLGRVRVQROALOR-CVEARBPZSA-N 1 2 304.434 1.748 20 30 DDEDLO Cc1ccc(C#N)c(NC2(CNC(=O)CCc3[nH]cc[nH+]3)CC2)n1 ZINC001110124473 773838379 /nfs/dbraw/zinc/83/83/79/773838379.db2.gz PSDVCMGGEDAGJC-UHFFFAOYSA-N 1 2 324.388 1.678 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]cnc3C)C[C@@H]21 ZINC001074419651 773912432 /nfs/dbraw/zinc/91/24/32/773912432.db2.gz PAJRQNUBVKAGKS-UONOGXRCSA-N 1 2 304.394 1.210 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]cnc3C)C[C@@H]21 ZINC001074419651 773912437 /nfs/dbraw/zinc/91/24/37/773912437.db2.gz PAJRQNUBVKAGKS-UONOGXRCSA-N 1 2 304.394 1.210 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092169840 773977203 /nfs/dbraw/zinc/97/72/03/773977203.db2.gz MRBWMKBZZSFIFO-LSDHHAIUSA-N 1 2 316.405 1.034 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001092359335 774073912 /nfs/dbraw/zinc/07/39/12/774073912.db2.gz CWSLJSABCQFAQI-SUYBPPKGSA-N 1 2 318.421 1.301 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)ncn1)c1nccn12 ZINC001092361832 774075663 /nfs/dbraw/zinc/07/56/63/774075663.db2.gz NENURJUZUXTMOK-CQSZACIVSA-N 1 2 324.388 1.053 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001075098407 774348495 /nfs/dbraw/zinc/34/84/95/774348495.db2.gz ZWLIEQJPDLHIJU-OLZOCXBDSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CCCC(=O)N1CCN(c2ncnc3c2C[N@H+](C)CC3)CC1 ZINC001093142680 774448366 /nfs/dbraw/zinc/44/83/66/774448366.db2.gz XZARSNDIPVKGKE-UHFFFAOYSA-N 1 2 315.421 1.079 20 30 DDEDLO C=CCCC(=O)N1CCN(c2ncnc3c2C[N@@H+](C)CC3)CC1 ZINC001093142680 774448369 /nfs/dbraw/zinc/44/83/69/774448369.db2.gz XZARSNDIPVKGKE-UHFFFAOYSA-N 1 2 315.421 1.079 20 30 DDEDLO C=CCOCC(=O)NC1CC2(C1)CC(Nc1cc[nH+]c(C)n1)C2 ZINC001093427078 774684057 /nfs/dbraw/zinc/68/40/57/774684057.db2.gz LTKGCURFPPXQFV-UHFFFAOYSA-N 1 2 316.405 1.827 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ncoc3C)CC2)C1 ZINC001093515365 774765186 /nfs/dbraw/zinc/76/51/86/774765186.db2.gz SPFIEIVXFBCXOV-UHFFFAOYSA-N 1 2 305.378 1.474 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3cn(C)cn3)CC2)C1 ZINC001093526344 774778124 /nfs/dbraw/zinc/77/81/24/774778124.db2.gz YORKSKXDMCJJNQ-UHFFFAOYSA-N 1 2 318.421 1.302 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3nonc3C)CC2)C1 ZINC001093600758 774904648 /nfs/dbraw/zinc/90/46/48/774904648.db2.gz XURACWDOFIVCMG-UHFFFAOYSA-N 1 2 320.393 1.260 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[C@@H](C)Nc2cc[nH+]c(C)n2)nc1 ZINC001099327224 774949274 /nfs/dbraw/zinc/94/92/74/774949274.db2.gz ZQPSSYPQEBPFIX-GFCCVEGCSA-N 1 2 309.373 1.782 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001099615994 775068701 /nfs/dbraw/zinc/06/87/01/775068701.db2.gz BZWSOIKVAHWURY-NSHDSACASA-N 1 2 316.409 1.882 20 30 DDEDLO CC(C)CC(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099640296 775089013 /nfs/dbraw/zinc/08/90/13/775089013.db2.gz XQIZPZXXTMELNQ-IRXDYDNUSA-N 1 2 315.417 1.656 20 30 DDEDLO CC(C)CC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001099640296 775089019 /nfs/dbraw/zinc/08/90/19/775089019.db2.gz XQIZPZXXTMELNQ-IRXDYDNUSA-N 1 2 315.417 1.656 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCCOCC)[C@H](O)C1 ZINC001099699395 775153925 /nfs/dbraw/zinc/15/39/25/775153925.db2.gz XWRJMZKFIHWGLV-CHWSQXEVSA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCCOCC)[C@H](O)C1 ZINC001099699395 775153930 /nfs/dbraw/zinc/15/39/30/775153930.db2.gz XWRJMZKFIHWGLV-CHWSQXEVSA-N 1 2 304.818 1.107 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)NCCNc1ccc(C#N)nc1)C2 ZINC001093958073 775269804 /nfs/dbraw/zinc/26/98/04/775269804.db2.gz CJDFRYLMYBQXMP-LBPRGKRZSA-N 1 2 324.388 1.318 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)NCCNc1ccc(C#N)nc1)CC2 ZINC001093958073 775269814 /nfs/dbraw/zinc/26/98/14/775269814.db2.gz CJDFRYLMYBQXMP-LBPRGKRZSA-N 1 2 324.388 1.318 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099856302 775359817 /nfs/dbraw/zinc/35/98/17/775359817.db2.gz VGGWYTXCOJYCFZ-HZPDHXFCSA-N 1 2 321.396 1.628 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(F)cn2)C[C@H]1O ZINC001099856302 775359826 /nfs/dbraw/zinc/35/98/26/775359826.db2.gz VGGWYTXCOJYCFZ-HZPDHXFCSA-N 1 2 321.396 1.628 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094098421 775430141 /nfs/dbraw/zinc/43/01/41/775430141.db2.gz DBAJSAXDXIDSQG-OAHLLOKOSA-N 1 2 306.414 1.376 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=C)Cl)C[C@H]1O ZINC001099946759 775458523 /nfs/dbraw/zinc/45/85/23/775458523.db2.gz LFHQWJQGKWACNC-CHWSQXEVSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=C)Cl)C[C@H]1O ZINC001099946759 775458528 /nfs/dbraw/zinc/45/85/28/775458528.db2.gz LFHQWJQGKWACNC-CHWSQXEVSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC=CCC3)nn2)C1 ZINC001094274414 775621903 /nfs/dbraw/zinc/62/19/03/775621903.db2.gz LTFMNMPBOCWIEU-CQSZACIVSA-N 1 2 315.421 1.683 20 30 DDEDLO CCCc1nc(C[NH2+]C2CC(CNC(=O)[C@@H](C)C#N)C2)no1 ZINC001100190610 775794064 /nfs/dbraw/zinc/79/40/64/775794064.db2.gz ZNSMOESIVYFGJV-UNXYVOJBSA-N 1 2 305.382 1.166 20 30 DDEDLO CN(CCNC(=O)[C@H]1CCCc2[nH+]c[nH]c21)c1ccncc1C#N ZINC001100330140 776006063 /nfs/dbraw/zinc/00/60/63/776006063.db2.gz DZTWNUMJFWREFM-ZDUSSCGKSA-N 1 2 324.388 1.349 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCN(CC)c1cc[nH+]c(C)n1 ZINC001100461916 776189182 /nfs/dbraw/zinc/18/91/82/776189182.db2.gz QFVLSXAYJQDSLN-CYBMUJFWSA-N 1 2 306.410 1.709 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C=C3CCC3)CC2=O)C1 ZINC001094721888 776189612 /nfs/dbraw/zinc/18/96/12/776189612.db2.gz MRAFIWGBPQGZPN-AWEZNQCLSA-N 1 2 303.406 1.074 20 30 DDEDLO CCN(CCNC(=O)[C@H](C)C#N)c1nc(C)[nH+]c2c1CCCC2 ZINC001100471597 776201747 /nfs/dbraw/zinc/20/17/47/776201747.db2.gz JPVZPYUXVUOZLE-GFCCVEGCSA-N 1 2 315.421 1.766 20 30 DDEDLO CCN(CCNC(=O)CCc1[nH]cc[nH+]1)c1ncccc1C#N ZINC001100509873 776255265 /nfs/dbraw/zinc/25/52/65/776255265.db2.gz DLYXPLGIJVHAFG-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[NH2+][C@@H](C)c2noc(C)n2)cn1 ZINC001171594339 776357519 /nfs/dbraw/zinc/35/75/19/776357519.db2.gz AQNIJWWFKHQACE-NSHDSACASA-N 1 2 313.361 1.225 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCCCNc1ccc(C#N)nc1 ZINC001094827306 776382335 /nfs/dbraw/zinc/38/23/35/776382335.db2.gz BYMMVHYJXDJXKE-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCCCNc1ccc(C#N)nc1 ZINC001094827306 776382344 /nfs/dbraw/zinc/38/23/44/776382344.db2.gz BYMMVHYJXDJXKE-LBPRGKRZSA-N 1 2 312.377 1.473 20 30 DDEDLO Cc1nc(N2CC[C@@H](CNC(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001100989682 776800368 /nfs/dbraw/zinc/80/03/68/776800368.db2.gz FTKSQADWJXETRI-AWEZNQCLSA-N 1 2 324.388 1.101 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)CC)c1nccn12 ZINC001101610705 777300755 /nfs/dbraw/zinc/30/07/55/777300755.db2.gz UPLUHHJNUWWPSF-KBPBESRZSA-N 1 2 300.406 1.524 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)(C)C=C)c1nccn12 ZINC001101635624 777332792 /nfs/dbraw/zinc/33/27/92/777332792.db2.gz NNIRSBDXDDPSPI-ZDUSSCGKSA-N 1 2 300.406 1.853 20 30 DDEDLO CN(CCNc1nc(Cl)c(C#N)s1)C(=O)Cn1cc[nH+]c1 ZINC001101672800 777375704 /nfs/dbraw/zinc/37/57/04/777375704.db2.gz GMOXFRZWXXYIKE-UHFFFAOYSA-N 1 2 324.797 1.435 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)CCNc1ncc(C#N)cc1F ZINC001101718453 777430150 /nfs/dbraw/zinc/43/01/50/777430150.db2.gz YEFAXTLNVDADCM-UHFFFAOYSA-N 1 2 316.340 1.237 20 30 DDEDLO N#Cc1cc(Nc2cnn(CC[NH+]3CCOCC3)c2)ccc1F ZINC001174491725 777486419 /nfs/dbraw/zinc/48/64/19/777486419.db2.gz RLMFQYKNSRCXIN-UHFFFAOYSA-N 1 2 315.352 1.970 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101802397 777538426 /nfs/dbraw/zinc/53/84/26/777538426.db2.gz SIQLIICZZHVLJF-UHFFFAOYSA-N 1 2 302.382 1.590 20 30 DDEDLO CN(CCNc1ccc(C#N)cn1)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001101847641 777599322 /nfs/dbraw/zinc/59/93/22/777599322.db2.gz MIYCALSLCJSNGG-AWEZNQCLSA-N 1 2 324.388 1.283 20 30 DDEDLO Cc1nsc(NCCN(C)C(=O)Cc2c[nH+]c[nH]2)c1C#N ZINC001101975932 777757327 /nfs/dbraw/zinc/75/73/27/777757327.db2.gz UKGWACBCRSECIB-UHFFFAOYSA-N 1 2 304.379 1.159 20 30 DDEDLO Cc1nc(N2CC[C@H](N(C)C(=O)C#CC(C)(C)C)C2)cc[nH+]1 ZINC001102699736 778325805 /nfs/dbraw/zinc/32/58/05/778325805.db2.gz CBHGTCBGLDHVFZ-AWEZNQCLSA-N 1 2 300.406 1.872 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102705009 778331667 /nfs/dbraw/zinc/33/16/67/778331667.db2.gz VOYBEIUJAJDGLG-CJNGLKHVSA-N 1 2 304.394 1.149 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOCC3CC3)[C@@H]2C1 ZINC001176955786 778346340 /nfs/dbraw/zinc/34/63/40/778346340.db2.gz ZGRHXXZWVIKSBM-SJORKVTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC3CC3)[C@@H]2C1 ZINC001176955786 778346345 /nfs/dbraw/zinc/34/63/45/778346345.db2.gz ZGRHXXZWVIKSBM-SJORKVTESA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC(F)(F)F)C[C@H]21 ZINC001176989818 778375253 /nfs/dbraw/zinc/37/52/53/778375253.db2.gz XVXBEUUBHADTQO-OLZOCXBDSA-N 1 2 318.339 1.654 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC(F)(F)F)C[C@H]21 ZINC001176989818 778375257 /nfs/dbraw/zinc/37/52/57/778375257.db2.gz XVXBEUUBHADTQO-OLZOCXBDSA-N 1 2 318.339 1.654 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001102786327 778400169 /nfs/dbraw/zinc/40/01/69/778400169.db2.gz ASNAJODJKRUQNW-XHDPSFHLSA-N 1 2 315.421 1.989 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCC(F)F)C[C@@H]21 ZINC001177049939 778411787 /nfs/dbraw/zinc/41/17/87/778411787.db2.gz XSSNQPQVJJZFDH-QWHCGFSZSA-N 1 2 300.349 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCC(F)F)C[C@@H]21 ZINC001177049939 778411793 /nfs/dbraw/zinc/41/17/93/778411793.db2.gz XSSNQPQVJJZFDH-QWHCGFSZSA-N 1 2 300.349 1.357 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)COCC(F)F)C[C@@H]21 ZINC001177055383 778415937 /nfs/dbraw/zinc/41/59/37/778415937.db2.gz LTHSSSKXVHNQOZ-STQMWFEESA-N 1 2 318.364 1.146 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)COCC(F)F)C[C@@H]21 ZINC001177055383 778415942 /nfs/dbraw/zinc/41/59/42/778415942.db2.gz LTHSSSKXVHNQOZ-STQMWFEESA-N 1 2 318.364 1.146 20 30 DDEDLO C=C(C)CNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)OC(C)(C)C ZINC001177087355 778440182 /nfs/dbraw/zinc/44/01/82/778440182.db2.gz FQSWGVIZQAJUQD-LBPRGKRZSA-N 1 2 308.382 1.538 20 30 DDEDLO C=C(C)CNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)OC(C)(C)C ZINC001177087355 778440184 /nfs/dbraw/zinc/44/01/84/778440184.db2.gz FQSWGVIZQAJUQD-LBPRGKRZSA-N 1 2 308.382 1.538 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(C(C)C)n1 ZINC001177269239 778524751 /nfs/dbraw/zinc/52/47/51/778524751.db2.gz IJFCTUMZSUELFK-LBPRGKRZSA-N 1 2 310.398 1.380 20 30 DDEDLO O=C(C#Cc1cccnc1)N1CC[N@@H+]2CCc3ccccc3[C@@H]2C1 ZINC001177776456 778714359 /nfs/dbraw/zinc/71/43/59/778714359.db2.gz COFVQMSWYJJNCF-IBGZPJMESA-N 1 2 317.392 1.875 20 30 DDEDLO O=C(C#Cc1cccnc1)N1CC[N@H+]2CCc3ccccc3[C@@H]2C1 ZINC001177776456 778714365 /nfs/dbraw/zinc/71/43/65/778714365.db2.gz COFVQMSWYJJNCF-IBGZPJMESA-N 1 2 317.392 1.875 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)Cc2ccc[nH]2)C1 ZINC001150738801 778764860 /nfs/dbraw/zinc/76/48/60/778764860.db2.gz SCKZCXXDMZLCQF-CQSZACIVSA-N 1 2 311.813 1.517 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)Cc2ccc[nH]2)C1 ZINC001150738801 778764863 /nfs/dbraw/zinc/76/48/63/778764863.db2.gz SCKZCXXDMZLCQF-CQSZACIVSA-N 1 2 311.813 1.517 20 30 DDEDLO Cc1nc(N[C@H]2CC[C@H](NC(=O)CSCC#N)CC2)cc[nH+]1 ZINC001103628721 778969113 /nfs/dbraw/zinc/96/91/13/778969113.db2.gz FLLZJEKXEACAGJ-JOCQHMNTSA-N 1 2 319.434 1.881 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+]Cc2nnc(C(C)C)o2)[C@@H]1C ZINC001178335944 778987823 /nfs/dbraw/zinc/98/78/23/778987823.db2.gz NHMLVZQGDCMABK-STQMWFEESA-N 1 2 322.409 1.475 20 30 DDEDLO N#C[C@@H]1C[C@H](F)CN1C(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001178991964 779253869 /nfs/dbraw/zinc/25/38/69/779253869.db2.gz SGFURVLLRGJDSI-JYJNAYRXSA-N 1 2 317.364 1.350 20 30 DDEDLO N#C[C@@H]1C[C@H](F)CN1C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001178991964 779253872 /nfs/dbraw/zinc/25/38/72/779253872.db2.gz SGFURVLLRGJDSI-JYJNAYRXSA-N 1 2 317.364 1.350 20 30 DDEDLO Cc1cc(NC2(CNC(=O)Cc3[nH]cc[nH+]3)CCC2)c(C#N)cn1 ZINC001111840140 779510622 /nfs/dbraw/zinc/51/06/22/779510622.db2.gz QTIWQTNXTNLLED-UHFFFAOYSA-N 1 2 324.388 1.100 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(CC1)C[N@H+](Cc1ccoc1)CCO2 ZINC001179889568 779516635 /nfs/dbraw/zinc/51/66/35/779516635.db2.gz JWZHYHIKAKTRAM-AWEZNQCLSA-N 1 2 317.389 1.633 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC2(CC1)C[N@@H+](Cc1ccoc1)CCO2 ZINC001179889568 779516639 /nfs/dbraw/zinc/51/66/39/779516639.db2.gz JWZHYHIKAKTRAM-AWEZNQCLSA-N 1 2 317.389 1.633 20 30 DDEDLO Cc1nc(N[C@]2(CO)CCCN(C(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001112226779 779654463 /nfs/dbraw/zinc/65/44/63/779654463.db2.gz QINASCQFDXJRHP-QGZVFWFLSA-N 1 2 316.405 1.210 20 30 DDEDLO Cc1nc(N2CC[C@@H](NC(=O)C#CC(C)C)[C@@H](C)C2)cc[nH+]1 ZINC001112409556 779765075 /nfs/dbraw/zinc/76/50/75/779765075.db2.gz MPJCGHNRHVJGIP-DZGCQCFKSA-N 1 2 300.406 1.775 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115248847 779979479 /nfs/dbraw/zinc/97/94/79/779979479.db2.gz KEGSIMGIAPYYMX-AWEZNQCLSA-N 1 2 320.437 1.956 20 30 DDEDLO CN(C(=O)Cn1cc[nH+]c1)[C@H](CNc1ncccc1C#N)C1CC1 ZINC001115525096 780212001 /nfs/dbraw/zinc/21/20/01/780212001.db2.gz LYKDFCISOOKLIV-OAHLLOKOSA-N 1 2 324.388 1.499 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001120163262 781793809 /nfs/dbraw/zinc/79/38/09/781793809.db2.gz AJAXOONEYWJHCX-LBPRGKRZSA-N 1 2 316.409 1.978 20 30 DDEDLO CCc1nc(C[N@@H+]2CCC[C@@H]2CCCNC(=O)[C@@H](C)C#N)no1 ZINC001267162804 837443739 /nfs/dbraw/zinc/44/37/39/837443739.db2.gz JBXUENJVLYHVEW-STQMWFEESA-N 1 2 319.409 1.652 20 30 DDEDLO CCc1nc(C[N@H+]2CCC[C@@H]2CCCNC(=O)[C@@H](C)C#N)no1 ZINC001267162804 837443747 /nfs/dbraw/zinc/44/37/47/837443747.db2.gz JBXUENJVLYHVEW-STQMWFEESA-N 1 2 319.409 1.652 20 30 DDEDLO CC[N@H+](CCNC(=O)C#CC(C)C)Cc1cc2n(n1)CCCC2 ZINC001267241211 837588797 /nfs/dbraw/zinc/58/87/97/837588797.db2.gz OLEFMXXKFPGACP-UHFFFAOYSA-N 1 2 316.449 1.817 20 30 DDEDLO CC[N@@H+](CCNC(=O)C#CC(C)C)Cc1cc2n(n1)CCCC2 ZINC001267241211 837588806 /nfs/dbraw/zinc/58/88/06/837588806.db2.gz OLEFMXXKFPGACP-UHFFFAOYSA-N 1 2 316.449 1.817 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cc2F)C1 ZINC001266307457 836092644 /nfs/dbraw/zinc/09/26/44/836092644.db2.gz DUXLHVFIMOIXNU-AWEZNQCLSA-N 1 2 310.344 1.858 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cc2F)C1 ZINC001266307457 836092653 /nfs/dbraw/zinc/09/26/53/836092653.db2.gz DUXLHVFIMOIXNU-AWEZNQCLSA-N 1 2 310.344 1.858 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1ccc(C)c(Cl)c1 ZINC001266321496 836116462 /nfs/dbraw/zinc/11/64/62/836116462.db2.gz UWDGJARMJIRTTE-UHFFFAOYSA-N 1 2 323.824 1.612 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1ccc(C)c(Cl)c1 ZINC001266321496 836116466 /nfs/dbraw/zinc/11/64/66/836116466.db2.gz UWDGJARMJIRTTE-UHFFFAOYSA-N 1 2 323.824 1.612 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCC[N@H+](Cc2nnc(C)[nH]2)C1 ZINC001266895146 837015778 /nfs/dbraw/zinc/01/57/78/837015778.db2.gz ZCQBFTOMMNLECC-OCCSQVGLSA-N 1 2 305.426 1.796 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@H]1CCC[N@@H+](Cc2nnc(C)[nH]2)C1 ZINC001266895146 837015788 /nfs/dbraw/zinc/01/57/88/837015788.db2.gz ZCQBFTOMMNLECC-OCCSQVGLSA-N 1 2 305.426 1.796 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1ncnn1C ZINC001279540985 837087819 /nfs/dbraw/zinc/08/78/19/837087819.db2.gz IEMIOQQFTDXRPH-UONOGXRCSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1ncnn1C ZINC001279540985 837087828 /nfs/dbraw/zinc/08/78/28/837087828.db2.gz IEMIOQQFTDXRPH-UONOGXRCSA-N 1 2 303.410 1.347 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)C1CCCCCC1 ZINC001267573435 838338274 /nfs/dbraw/zinc/33/82/74/838338274.db2.gz GAGBGCFYZPMKPO-UHFFFAOYSA-N 1 2 306.454 1.556 20 30 DDEDLO CCC(CC)NC(=O)C[N@H+](C)CCN(C)C(=O)C#CC(C)(C)C ZINC001272013667 844320431 /nfs/dbraw/zinc/32/04/31/844320431.db2.gz PJRPWWRFSHHSNA-UHFFFAOYSA-N 1 2 323.481 1.731 20 30 DDEDLO CCC(CC)NC(=O)C[N@@H+](C)CCN(C)C(=O)C#CC(C)(C)C ZINC001272013667 844320442 /nfs/dbraw/zinc/32/04/42/844320442.db2.gz PJRPWWRFSHHSNA-UHFFFAOYSA-N 1 2 323.481 1.731 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)C#CC(C)C)n1 ZINC001272016795 844326021 /nfs/dbraw/zinc/32/60/21/844326021.db2.gz UEUSJRUMECNXLY-LSDHHAIUSA-N 1 2 316.405 1.603 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)C#CC(C)C)n1 ZINC001272016795 844326028 /nfs/dbraw/zinc/32/60/28/844326028.db2.gz UEUSJRUMECNXLY-LSDHHAIUSA-N 1 2 316.405 1.603 20 30 DDEDLO CCC(CC)[C@@H](C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001267628371 838529774 /nfs/dbraw/zinc/52/97/74/838529774.db2.gz ZKFLGGRCUPZAAH-HIFRSBDPSA-N 1 2 322.453 1.279 20 30 DDEDLO CCC(CC)[C@@H](C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001267628371 838529781 /nfs/dbraw/zinc/52/97/81/838529781.db2.gz ZKFLGGRCUPZAAH-HIFRSBDPSA-N 1 2 322.453 1.279 20 30 DDEDLO CC(C)C#CC(=O)NC1C[NH+](C[C@H](O)Cc2ccc(F)cc2)C1 ZINC001267644307 838562997 /nfs/dbraw/zinc/56/29/97/838562997.db2.gz MUBWMHJTBGSOOE-QGZVFWFLSA-N 1 2 318.392 1.189 20 30 DDEDLO C=C(C)CCC(=O)NC1C[NH+](C[C@@H](O)Cc2ccc(F)cc2)C1 ZINC001267648083 838572167 /nfs/dbraw/zinc/57/21/67/838572167.db2.gz ORAZRGHWEJVPGD-KRWDZBQOSA-N 1 2 320.408 1.886 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1C[NH+](CC(=O)NC2CCCC2)C1 ZINC001267690218 838645727 /nfs/dbraw/zinc/64/57/27/838645727.db2.gz YYZDGHRIRVVWHC-CQSZACIVSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCC1(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3[C@@H](C)C(=O)N(C)C)CCC1 ZINC001267712241 838696038 /nfs/dbraw/zinc/69/60/38/838696038.db2.gz MRLSEEJMICZWST-KKUMJFAQSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCC1(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3[C@@H](C)C(=O)N(C)C)CCC1 ZINC001267712241 838696041 /nfs/dbraw/zinc/69/60/41/838696041.db2.gz MRLSEEJMICZWST-KKUMJFAQSA-N 1 2 319.449 1.495 20 30 DDEDLO N#CCSCC(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001267719265 838725171 /nfs/dbraw/zinc/72/51/71/838725171.db2.gz SISYNAAJLIWMRE-INIZCTEOSA-N 1 2 313.426 1.485 20 30 DDEDLO N#CCSCC(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001267719265 838725176 /nfs/dbraw/zinc/72/51/76/838725176.db2.gz SISYNAAJLIWMRE-INIZCTEOSA-N 1 2 313.426 1.485 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@H]1CCC(F)(F)C1 ZINC001272033283 844371888 /nfs/dbraw/zinc/37/18/88/844371888.db2.gz JEHMMFTZRBCGAD-LBPRGKRZSA-N 1 2 317.380 1.114 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@H]1CCC(F)(F)C1 ZINC001272033283 844371898 /nfs/dbraw/zinc/37/18/98/844371898.db2.gz JEHMMFTZRBCGAD-LBPRGKRZSA-N 1 2 317.380 1.114 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CC[N@@H+](CC(=O)NCCC)C2)CC1 ZINC001267749798 838846938 /nfs/dbraw/zinc/84/69/38/838846938.db2.gz UDSZWVDOZDRIKB-OAHLLOKOSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CC[N@H+](CC(=O)NCCC)C2)CC1 ZINC001267749798 838846948 /nfs/dbraw/zinc/84/69/48/838846948.db2.gz UDSZWVDOZDRIKB-OAHLLOKOSA-N 1 2 307.438 1.450 20 30 DDEDLO CCCC[C@H](C)C(=O)N(CC)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267784295 838971566 /nfs/dbraw/zinc/97/15/66/838971566.db2.gz OIEDVVHMPBUGAL-LSDHHAIUSA-N 1 2 322.453 1.375 20 30 DDEDLO CCCC[C@H](C)C(=O)N(CC)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267784295 838971570 /nfs/dbraw/zinc/97/15/70/838971570.db2.gz OIEDVVHMPBUGAL-LSDHHAIUSA-N 1 2 322.453 1.375 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)[C@@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001267785534 838974708 /nfs/dbraw/zinc/97/47/08/838974708.db2.gz VMVKKKVTPNJFCZ-RHSMWYFYSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001267785534 838974713 /nfs/dbraw/zinc/97/47/13/838974713.db2.gz VMVKKKVTPNJFCZ-RHSMWYFYSA-N 1 2 321.421 1.733 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)C2(CCOC)CCC2)C1 ZINC001268030518 839453684 /nfs/dbraw/zinc/45/36/84/839453684.db2.gz SATRFSZJROLQSC-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)C2(CCOC)CCC2)C1 ZINC001268030518 839453689 /nfs/dbraw/zinc/45/36/89/839453689.db2.gz SATRFSZJROLQSC-HNNXBMFYSA-N 1 2 310.438 1.586 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2[C@H](CC[N@@H+]2Cc2cnsn2)C1 ZINC001268261816 839913031 /nfs/dbraw/zinc/91/30/31/839913031.db2.gz FSHDSCCDQHINBT-HIFRSBDPSA-N 1 2 318.446 1.764 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2[C@H](CC[N@H+]2Cc2cnsn2)C1 ZINC001268261816 839913035 /nfs/dbraw/zinc/91/30/35/839913035.db2.gz FSHDSCCDQHINBT-HIFRSBDPSA-N 1 2 318.446 1.764 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)Cc2csc(C3CC3)n2)C1 ZINC001268455229 840224647 /nfs/dbraw/zinc/22/46/47/840224647.db2.gz IZYFPDXGVXXENE-UHFFFAOYSA-N 1 2 321.446 1.566 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](C)C[N@H+](C)Cc1snnc1C ZINC001268728974 840729025 /nfs/dbraw/zinc/72/90/25/840729025.db2.gz KXFUAIHMVMLECR-HZMBPMFUSA-N 1 2 312.439 1.110 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H](C)C[N@@H+](C)Cc1snnc1C ZINC001268728974 840729031 /nfs/dbraw/zinc/72/90/31/840729031.db2.gz KXFUAIHMVMLECR-HZMBPMFUSA-N 1 2 312.439 1.110 20 30 DDEDLO CCC(=O)C(=O)N1CC[C@H]2[C@@H]1CC[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001268957212 841042346 /nfs/dbraw/zinc/04/23/46/841042346.db2.gz KLMXSHAPXIIRRI-GJZGRUSLSA-N 1 2 314.389 1.051 20 30 DDEDLO CCC(=O)C(=O)N1CC[C@H]2[C@@H]1CC[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001268957212 841042356 /nfs/dbraw/zinc/04/23/56/841042356.db2.gz KLMXSHAPXIIRRI-GJZGRUSLSA-N 1 2 314.389 1.051 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccc(CF)cc2)C1 ZINC001269097180 841200837 /nfs/dbraw/zinc/20/08/37/841200837.db2.gz UAAJSGCYAXVEKU-HNNXBMFYSA-N 1 2 319.380 1.262 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccc(CF)cc2)C1 ZINC001269097180 841200844 /nfs/dbraw/zinc/20/08/44/841200844.db2.gz UAAJSGCYAXVEKU-HNNXBMFYSA-N 1 2 319.380 1.262 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001269126515 841238895 /nfs/dbraw/zinc/23/88/95/841238895.db2.gz PTMBBYKDDZVHGX-MRXNPFEDSA-N 1 2 317.433 1.015 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001269126515 841238904 /nfs/dbraw/zinc/23/89/04/841238904.db2.gz PTMBBYKDDZVHGX-MRXNPFEDSA-N 1 2 317.433 1.015 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CC[N@@H+](C)Cc1ccn(CC)n1 ZINC001272111969 844622532 /nfs/dbraw/zinc/62/25/32/844622532.db2.gz XTMKNNIARVTIAL-CVEARBPZSA-N 1 2 320.437 1.384 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CC[N@H+](C)Cc1ccn(CC)n1 ZINC001272111969 844622540 /nfs/dbraw/zinc/62/25/40/844622540.db2.gz XTMKNNIARVTIAL-CVEARBPZSA-N 1 2 320.437 1.384 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CC1(C)C ZINC001269224659 841370126 /nfs/dbraw/zinc/37/01/26/841370126.db2.gz XRNQTDIUXMSVTF-LSDHHAIUSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CNC(=O)[C@H]1CC1(C)C ZINC001269224659 841370142 /nfs/dbraw/zinc/37/01/42/841370142.db2.gz XRNQTDIUXMSVTF-LSDHHAIUSA-N 1 2 321.465 1.648 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)[C@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001269234038 841390717 /nfs/dbraw/zinc/39/07/17/841390717.db2.gz NGGQREACLZRLNS-BBRMVZONSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)[C@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001269234038 841390729 /nfs/dbraw/zinc/39/07/29/841390729.db2.gz NGGQREACLZRLNS-BBRMVZONSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@@H](C(=O)N(C)C1C[NH+](CCn2cccn2)C1)c1ccccc1 ZINC001269893883 842136074 /nfs/dbraw/zinc/13/60/74/842136074.db2.gz WTLLJIPEYXGILC-GOSISDBHSA-N 1 2 324.428 1.996 20 30 DDEDLO C#CCN1CC[C@@]2(CCCN2C(=O)c2c[nH]c3cc[nH+]cc23)C1=O ZINC001270139636 842327096 /nfs/dbraw/zinc/32/70/96/842327096.db2.gz XWZJAFXOMWSKHW-SFHVURJKSA-N 1 2 322.368 1.403 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)oc2C)C1 ZINC001270621172 842728676 /nfs/dbraw/zinc/72/86/76/842728676.db2.gz WZTHPBXXNZRNNK-OAHLLOKOSA-N 1 2 322.405 1.530 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)oc2C)C1 ZINC001270621172 842728683 /nfs/dbraw/zinc/72/86/83/842728683.db2.gz WZTHPBXXNZRNNK-OAHLLOKOSA-N 1 2 322.405 1.530 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2C[N@H+](CCOCC)CCO2)CCCC1 ZINC001270708216 842836826 /nfs/dbraw/zinc/83/68/26/842836826.db2.gz XOTIBOJAFVRZSU-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2C[N@@H+](CCOCC)CCO2)CCCC1 ZINC001270708216 842836838 /nfs/dbraw/zinc/83/68/38/842836838.db2.gz XOTIBOJAFVRZSU-MRXNPFEDSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001280306080 842950028 /nfs/dbraw/zinc/95/00/28/842950028.db2.gz PTBIQJQFVRFEJI-UONOGXRCSA-N 1 2 320.437 1.885 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@]1(C)CCC[N@@H+](Cc2nncn2C)C1 ZINC001270984300 843108435 /nfs/dbraw/zinc/10/84/35/843108435.db2.gz ZOYMARUNZTZDBO-QGZVFWFLSA-N 1 2 319.453 1.746 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@]1(C)CCC[N@H+](Cc2nncn2C)C1 ZINC001270984300 843108439 /nfs/dbraw/zinc/10/84/39/843108439.db2.gz ZOYMARUNZTZDBO-QGZVFWFLSA-N 1 2 319.453 1.746 20 30 DDEDLO Cc1nc(C[N@@H+]2CCCO[C@H](CNC(=O)[C@@H](C)C#N)C2)c(C)o1 ZINC001149409064 861599344 /nfs/dbraw/zinc/59/93/44/861599344.db2.gz STGLPKOTURBKBB-SMDDNHRTSA-N 1 2 320.393 1.158 20 30 DDEDLO Cc1nc(C[N@H+]2CCCO[C@H](CNC(=O)[C@@H](C)C#N)C2)c(C)o1 ZINC001149409064 861599357 /nfs/dbraw/zinc/59/93/57/861599357.db2.gz STGLPKOTURBKBB-SMDDNHRTSA-N 1 2 320.393 1.158 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)COCC[N@H+](Cc1cc[nH]n1)C2 ZINC001272541863 846291138 /nfs/dbraw/zinc/29/11/38/846291138.db2.gz HEMRFIIEHKVGMG-MRXNPFEDSA-N 1 2 304.394 1.037 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)COCC[N@@H+](Cc1cc[nH]n1)C2 ZINC001272541863 846291147 /nfs/dbraw/zinc/29/11/47/846291147.db2.gz HEMRFIIEHKVGMG-MRXNPFEDSA-N 1 2 304.394 1.037 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3c(C)cc(C)cc3C)C2)OCC1=O ZINC001272640197 846446513 /nfs/dbraw/zinc/44/65/13/846446513.db2.gz UFYUZOQALUEZON-UHFFFAOYSA-N 1 2 312.413 1.658 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccc[nH]2)cc1C#N ZINC001272723793 846721913 /nfs/dbraw/zinc/72/19/13/846721913.db2.gz WMFAJWCKEVDGSG-GASCZTMLSA-N 1 2 323.400 1.714 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccc[nH]2)cc1C#N ZINC001272723793 846721928 /nfs/dbraw/zinc/72/19/28/846721928.db2.gz WMFAJWCKEVDGSG-GASCZTMLSA-N 1 2 323.400 1.714 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccccn2)c[nH]1 ZINC001032362476 847009544 /nfs/dbraw/zinc/00/95/44/847009544.db2.gz DDXWEUBYCWCXGC-HOTGVXAUSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccccn2)c[nH]1 ZINC001032362476 847009548 /nfs/dbraw/zinc/00/95/48/847009548.db2.gz DDXWEUBYCWCXGC-HOTGVXAUSA-N 1 2 307.357 1.380 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)COC2CCCC2)C1 ZINC001107769861 847010609 /nfs/dbraw/zinc/01/06/09/847010609.db2.gz JPZTVYYNZRDFLF-KRWDZBQOSA-N 1 2 308.422 1.176 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)COC2CCCC2)C1 ZINC001107769861 847010615 /nfs/dbraw/zinc/01/06/15/847010615.db2.gz JPZTVYYNZRDFLF-KRWDZBQOSA-N 1 2 308.422 1.176 20 30 DDEDLO Cc1cc(C[N@@H+]2CCO[C@@](C)(CNC(=O)[C@H](C)C#N)C2)cs1 ZINC001107821438 847203814 /nfs/dbraw/zinc/20/38/14/847203814.db2.gz OXAHJCLSMMUJQU-WBMJQRKESA-N 1 2 321.446 1.923 20 30 DDEDLO Cc1cc(C[N@H+]2CCO[C@@](C)(CNC(=O)[C@H](C)C#N)C2)cs1 ZINC001107821438 847203823 /nfs/dbraw/zinc/20/38/23/847203823.db2.gz OXAHJCLSMMUJQU-WBMJQRKESA-N 1 2 321.446 1.923 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCC(F)(F)F)C1 ZINC001107839247 847278302 /nfs/dbraw/zinc/27/83/02/847278302.db2.gz KZYYMPICCQUXKH-ZDUSSCGKSA-N 1 2 306.328 1.559 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCC(F)(F)F)C1 ZINC001107839247 847278311 /nfs/dbraw/zinc/27/83/11/847278311.db2.gz KZYYMPICCQUXKH-ZDUSSCGKSA-N 1 2 306.328 1.559 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cc(F)c(O)c(F)c2)C1=O ZINC001272781335 847422202 /nfs/dbraw/zinc/42/22/02/847422202.db2.gz CBIKLDJSOPVNAS-QGZVFWFLSA-N 1 2 320.339 1.871 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cc(F)c(O)c(F)c2)C1=O ZINC001272781335 847422206 /nfs/dbraw/zinc/42/22/06/847422206.db2.gz CBIKLDJSOPVNAS-QGZVFWFLSA-N 1 2 320.339 1.871 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2nc3ccc(Cl)nc3[nH]2)CC1 ZINC001155177419 861802543 /nfs/dbraw/zinc/80/25/43/861802543.db2.gz KEADRUXQVCKEGK-UHFFFAOYSA-N 1 2 305.769 1.555 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cncc(Cl)c2)C1=O ZINC001272855961 847557335 /nfs/dbraw/zinc/55/73/35/847557335.db2.gz IXWKNAZNBNCIIZ-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cncc(Cl)c2)C1=O ZINC001272855961 847557342 /nfs/dbraw/zinc/55/73/42/847557342.db2.gz IXWKNAZNBNCIIZ-MRXNPFEDSA-N 1 2 303.793 1.935 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)Cc1ccsc1C ZINC001272893520 847602072 /nfs/dbraw/zinc/60/20/72/847602072.db2.gz LNIVQZROUCOMAL-HNNXBMFYSA-N 1 2 306.431 1.439 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)Cc1ccsc1C ZINC001272893520 847602077 /nfs/dbraw/zinc/60/20/77/847602077.db2.gz LNIVQZROUCOMAL-HNNXBMFYSA-N 1 2 306.431 1.439 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001149701672 861828421 /nfs/dbraw/zinc/82/84/21/861828421.db2.gz UQCMBENQLAARDI-AWEZNQCLSA-N 1 2 312.841 1.996 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001149701672 861828427 /nfs/dbraw/zinc/82/84/27/861828427.db2.gz UQCMBENQLAARDI-AWEZNQCLSA-N 1 2 312.841 1.996 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001034207419 848113113 /nfs/dbraw/zinc/11/31/13/848113113.db2.gz LGKZJEHYMXVWON-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001034207419 848113115 /nfs/dbraw/zinc/11/31/15/848113115.db2.gz LGKZJEHYMXVWON-LBPRGKRZSA-N 1 2 324.812 1.822 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@]1(C)C[N@H+](CC#CC)CCO1 ZINC001108122088 848148270 /nfs/dbraw/zinc/14/82/70/848148270.db2.gz MUWXTUOSKNVZDL-SFHVURJKSA-N 1 2 304.434 1.801 20 30 DDEDLO C#CCCCCCC(=O)NC[C@@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001108122088 848148276 /nfs/dbraw/zinc/14/82/76/848148276.db2.gz MUWXTUOSKNVZDL-SFHVURJKSA-N 1 2 304.434 1.801 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@@H+](Cc3ccncc3Cl)C2)OCC1=O ZINC001273231815 848930827 /nfs/dbraw/zinc/93/08/27/848930827.db2.gz TUKUCSRGZXALQB-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@H+](Cc3ccncc3Cl)C2)OCC1=O ZINC001273231815 848930837 /nfs/dbraw/zinc/93/08/37/848930837.db2.gz TUKUCSRGZXALQB-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC001328934687 863348658 /nfs/dbraw/zinc/34/86/58/863348658.db2.gz MQILJRFIWJOBLK-KBPBESRZSA-N 1 2 304.394 1.518 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCOC2(C[NH+](C[C@@H](C)OC)C2)C1 ZINC001327320151 862060463 /nfs/dbraw/zinc/06/04/63/862060463.db2.gz QRDGPMIXPGYBKI-OAHLLOKOSA-N 1 2 322.449 1.703 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H](CCC)OC)C1 ZINC001150071241 862062015 /nfs/dbraw/zinc/06/20/15/862062015.db2.gz NOYSGNLXVUELAR-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](CCC)OC)C1 ZINC001150071241 862062029 /nfs/dbraw/zinc/06/20/29/862062029.db2.gz NOYSGNLXVUELAR-UONOGXRCSA-N 1 2 318.845 1.761 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[NH2+][C@@H](C)c1noc(C)n1 ZINC001155446680 862100837 /nfs/dbraw/zinc/10/08/37/862100837.db2.gz NOBCDRDNGMVUNL-RYUDHWBXSA-N 1 2 310.398 1.516 20 30 DDEDLO C=CCN1CC2(C[NH+](CCCc3ccc(F)cc3)C2)OCC1=O ZINC001273431399 850273410 /nfs/dbraw/zinc/27/34/10/850273410.db2.gz FDWJCBSLVSKZFZ-UHFFFAOYSA-N 1 2 318.392 1.858 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H](CC)OC ZINC001327506163 862223606 /nfs/dbraw/zinc/22/36/06/862223606.db2.gz FQYNPRLENZDPQX-ZWKOTPCHSA-N 1 2 314.429 1.978 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H](CC)OC ZINC001327506163 862223615 /nfs/dbraw/zinc/22/36/15/862223615.db2.gz FQYNPRLENZDPQX-ZWKOTPCHSA-N 1 2 314.429 1.978 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C[C@@H](C)COC ZINC001273825168 851389546 /nfs/dbraw/zinc/38/95/46/851389546.db2.gz OFVQALUTUZMKTQ-CRAIPNDOSA-N 1 2 314.429 1.835 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C[C@@H](C)COC ZINC001273825168 851389550 /nfs/dbraw/zinc/38/95/50/851389550.db2.gz OFVQALUTUZMKTQ-CRAIPNDOSA-N 1 2 314.429 1.835 20 30 DDEDLO Cc1nc(C[NH2+]C/C=C\CNC(=O)CSCC#N)oc1C ZINC001273862309 851433004 /nfs/dbraw/zinc/43/30/04/851433004.db2.gz WJEZWWBBZOWWNW-ARJAWSKDSA-N 1 2 308.407 1.310 20 30 DDEDLO C[C@H](C#N)C(=O)NC/C=C\C[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001273910662 851495343 /nfs/dbraw/zinc/49/53/43/851495343.db2.gz QMMOIBLTMSIONV-UXFRKABBSA-N 1 2 319.409 1.850 20 30 DDEDLO Cn1c[nH+]cc1CN1C[C@@]2(CC1=O)CCCCN2CCC#N ZINC001274032629 851873610 /nfs/dbraw/zinc/87/36/10/851873610.db2.gz UDQJARBYFAQZNQ-INIZCTEOSA-N 1 2 301.394 1.291 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1c(C)cccc1OC)C2 ZINC001274652045 852483628 /nfs/dbraw/zinc/48/36/28/852483628.db2.gz VQUBGQMZZLJJBH-UHFFFAOYSA-N 1 2 314.385 1.050 20 30 DDEDLO N#Cc1ccc(CN2CC3(C2)C[NH+](Cc2cccc(=O)[nH]2)C3)cn1 ZINC001275296013 852962165 /nfs/dbraw/zinc/96/21/65/852962165.db2.gz OBZKUERIHHGSAO-UHFFFAOYSA-N 1 2 321.384 1.372 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)[C@@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001275365176 853019617 /nfs/dbraw/zinc/01/96/17/853019617.db2.gz IJSGMPRZRSQVED-VXGBXAGGSA-N 1 2 324.425 1.553 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001276088078 854734187 /nfs/dbraw/zinc/73/41/87/854734187.db2.gz FOJZVDPZFIDHNP-CQSZACIVSA-N 1 2 304.390 1.268 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)Cc2cc(C)ccc2F)C1 ZINC001276100740 854764751 /nfs/dbraw/zinc/76/47/51/854764751.db2.gz HESXTOHBZLEREB-UHFFFAOYSA-N 1 2 320.408 1.806 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)CCc2ccccc2F)C1 ZINC001276101504 854766182 /nfs/dbraw/zinc/76/61/82/854766182.db2.gz XZGIEKMRAOOERH-UHFFFAOYSA-N 1 2 320.408 1.887 20 30 DDEDLO Cc1nc(N[C@H](C)[C@@H]2CCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001111209437 855183564 /nfs/dbraw/zinc/18/35/64/855183564.db2.gz XJGWZWBUJUTRNQ-DGCLKSJQSA-N 1 2 319.434 1.691 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001072602340 857500783 /nfs/dbraw/zinc/50/07/83/857500783.db2.gz HDQLEAQDGKWMLF-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072633265 857536836 /nfs/dbraw/zinc/53/68/36/857536836.db2.gz RUPJIDOLCSOFOR-KGLIPLIRSA-N 1 2 304.394 1.203 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)c1nc(Cl)ncc1Cl ZINC001156320949 862932127 /nfs/dbraw/zinc/93/21/27/862932127.db2.gz JQKQCBRFXLFGRG-LURJTMIESA-N 1 2 308.119 1.630 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@@H+](Cc2nnc(C)o2)C3)C1 ZINC001072964147 857943713 /nfs/dbraw/zinc/94/37/13/857943713.db2.gz LAWYZRQMKIRLQV-UHFFFAOYSA-N 1 2 316.405 1.769 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@H+](Cc2nnc(C)o2)C3)C1 ZINC001072964147 857943722 /nfs/dbraw/zinc/94/37/22/857943722.db2.gz LAWYZRQMKIRLQV-UHFFFAOYSA-N 1 2 316.405 1.769 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](Nc2[nH+]cnc3c2cnn3C)C[C@H]1C ZINC001123194345 859124460 /nfs/dbraw/zinc/12/44/60/859124460.db2.gz UUDCWMBMZVORSI-NEPJUHHUSA-N 1 2 314.393 1.731 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2cnc(N(C)C)nc2)C1 ZINC001138353990 860070309 /nfs/dbraw/zinc/07/03/09/860070309.db2.gz POCQRTWLXJDQLJ-CQSZACIVSA-N 1 2 319.409 1.419 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2cnc(N(C)C)nc2)C1 ZINC001138353990 860070321 /nfs/dbraw/zinc/07/03/21/860070321.db2.gz POCQRTWLXJDQLJ-CQSZACIVSA-N 1 2 319.409 1.419 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(OC(C)=O)c(OCC)c2)CC1 ZINC001139004107 860252383 /nfs/dbraw/zinc/25/23/83/860252383.db2.gz NYJHQIAYCLIBRQ-UHFFFAOYSA-N 1 2 316.401 1.761 20 30 DDEDLO C#CCN1CC[NH+](Cc2ccc(OC(C)=O)c(OCC)c2)CC1 ZINC001139004107 860252393 /nfs/dbraw/zinc/25/23/93/860252393.db2.gz NYJHQIAYCLIBRQ-UHFFFAOYSA-N 1 2 316.401 1.761 20 30 DDEDLO N#Cc1c(N)sc(C[N@H+]2CCc3nncn3CC2)c1Cl ZINC001141107030 860788047 /nfs/dbraw/zinc/78/80/47/860788047.db2.gz NIKTTXYNHGGBPJ-UHFFFAOYSA-N 1 2 308.798 1.505 20 30 DDEDLO N#Cc1c(N)sc(C[N@@H+]2CCc3nncn3CC2)c1Cl ZINC001141107030 860788053 /nfs/dbraw/zinc/78/80/53/860788053.db2.gz NIKTTXYNHGGBPJ-UHFFFAOYSA-N 1 2 308.798 1.505 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCc3sccc3C2)cn1 ZINC001142227301 861079034 /nfs/dbraw/zinc/07/90/34/861079034.db2.gz KPAZYBMGQXARIF-UHFFFAOYSA-N 1 2 312.398 1.689 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCc3sccc3C2)cn1 ZINC001142227301 861079056 /nfs/dbraw/zinc/07/90/56/861079056.db2.gz KPAZYBMGQXARIF-UHFFFAOYSA-N 1 2 312.398 1.689 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1nccs1 ZINC001328720735 863175635 /nfs/dbraw/zinc/17/56/35/863175635.db2.gz PFLHBWKWLDYLJI-KBPBESRZSA-N 1 2 321.446 1.604 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1nccs1 ZINC001328720735 863175638 /nfs/dbraw/zinc/17/56/38/863175638.db2.gz PFLHBWKWLDYLJI-KBPBESRZSA-N 1 2 321.446 1.604 20 30 DDEDLO CCc1noc(C[NH2+][C@H](C)[C@@H](C)NC(=O)C#CC(C)(C)C)n1 ZINC001329040246 863439964 /nfs/dbraw/zinc/43/99/64/863439964.db2.gz XGJAYJAYSVMTEF-VXGBXAGGSA-N 1 2 306.410 1.664 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(CCC(C)C)no1 ZINC001153160455 863739513 /nfs/dbraw/zinc/73/95/13/863739513.db2.gz RLIGSQFKTVOMDV-CYBMUJFWSA-N 1 2 324.425 1.455 20 30 DDEDLO C=CC(C)(C)C(=O)NC1(CCO)C[NH+](C[C@@H]2CC[C@@H](C)O2)C1 ZINC001329682369 863788700 /nfs/dbraw/zinc/78/87/00/863788700.db2.gz SYNGVIFYXSZDQM-KGLIPLIRSA-N 1 2 310.438 1.319 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)[N@H+](CC)CC(=O)Nc1ccon1 ZINC001153626073 863985288 /nfs/dbraw/zinc/98/52/88/863985288.db2.gz YBORAYYCHFXBTB-CYBMUJFWSA-N 1 2 322.409 1.796 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)[N@@H+](CC)CC(=O)Nc1ccon1 ZINC001153626073 863985298 /nfs/dbraw/zinc/98/52/98/863985298.db2.gz YBORAYYCHFXBTB-CYBMUJFWSA-N 1 2 322.409 1.796 20 30 DDEDLO C=C(C)CCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC001158018540 864357716 /nfs/dbraw/zinc/35/77/16/864357716.db2.gz AENUFMPXWQMFKV-MRXNPFEDSA-N 1 2 319.453 1.984 20 30 DDEDLO C=C(C)CCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC001158018540 864357728 /nfs/dbraw/zinc/35/77/28/864357728.db2.gz AENUFMPXWQMFKV-MRXNPFEDSA-N 1 2 319.453 1.984 20 30 DDEDLO COc1cc(N2CCN(c3ccc(C#N)c(C)n3)CC2)cc[nH+]1 ZINC001158099640 864427745 /nfs/dbraw/zinc/42/77/45/864427745.db2.gz PCRMGHPTXYCCLY-UHFFFAOYSA-N 1 2 309.373 1.992 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1CCCC[C@@H]1CN(C)CC#N ZINC001158129154 864447387 /nfs/dbraw/zinc/44/73/87/864447387.db2.gz WZTIUVXNVZSYLA-OAHLLOKOSA-N 1 2 316.405 1.469 20 30 DDEDLO C=CCn1cnn(C[N@H+]2C[C@@H]3CCC[C@]3(C(=O)OC)C2)c1=S ZINC001331046546 864801526 /nfs/dbraw/zinc/80/15/26/864801526.db2.gz OHLLQQFESMOAMI-WFASDCNBSA-N 1 2 322.434 1.833 20 30 DDEDLO C=CCn1cnn(C[N@@H+]2C[C@@H]3CCC[C@]3(C(=O)OC)C2)c1=S ZINC001331046546 864801541 /nfs/dbraw/zinc/80/15/41/864801541.db2.gz OHLLQQFESMOAMI-WFASDCNBSA-N 1 2 322.434 1.833 20 30 DDEDLO C[C@@H]1C[NH+](Cc2cnc3c(C#N)cnn3c2)C[C@@H](C)N1CC#N ZINC001331564807 865158542 /nfs/dbraw/zinc/15/85/42/865158542.db2.gz CTDHGCMNQSKZJR-CHWSQXEVSA-N 1 2 309.377 1.019 20 30 DDEDLO CCn1ccnc1C[N@H+]1CC=C(CCNC(=O)[C@H](C)C#N)CC1 ZINC001159587009 865412504 /nfs/dbraw/zinc/41/25/04/865412504.db2.gz FSNBIMZVTGTSGW-CQSZACIVSA-N 1 2 315.421 1.701 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CC=C(CCNC(=O)[C@H](C)C#N)CC1 ZINC001159587009 865412509 /nfs/dbraw/zinc/41/25/09/865412509.db2.gz FSNBIMZVTGTSGW-CQSZACIVSA-N 1 2 315.421 1.701 20 30 DDEDLO Cc1cc(C[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)no1 ZINC001159614080 865431075 /nfs/dbraw/zinc/43/10/75/865431075.db2.gz FZZAREOGFQAINC-LBPRGKRZSA-N 1 2 302.378 1.781 20 30 DDEDLO Cc1cc(C[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)no1 ZINC001159614080 865431080 /nfs/dbraw/zinc/43/10/80/865431080.db2.gz FZZAREOGFQAINC-LBPRGKRZSA-N 1 2 302.378 1.781 20 30 DDEDLO C[C@H]1C[NH+](Cc2ccc(C(=O)N(C)C)[nH]2)C[C@H](C)N1CC#N ZINC001332021151 865509434 /nfs/dbraw/zinc/50/94/34/865509434.db2.gz HKBYLYTTYCNFCO-STQMWFEESA-N 1 2 303.410 1.135 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC1=CC[N@H+](Cc2ccon2)CC1 ZINC001159978681 865627112 /nfs/dbraw/zinc/62/71/12/865627112.db2.gz AEUZNCXALHOMGZ-QGZVFWFLSA-N 1 2 319.405 1.640 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCC1=CC[N@@H+](Cc2ccon2)CC1 ZINC001159978681 865627117 /nfs/dbraw/zinc/62/71/17/865627117.db2.gz AEUZNCXALHOMGZ-QGZVFWFLSA-N 1 2 319.405 1.640 20 30 DDEDLO C=CCn1cccc1C(=O)NCCC[NH2+][C@@H](C)c1noc(C)n1 ZINC001159988300 865631363 /nfs/dbraw/zinc/63/13/63/865631363.db2.gz VTCVNUMAPOWYOB-LBPRGKRZSA-N 1 2 317.393 1.836 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1ccc2[nH]c(C#N)cc2n1 ZINC001160697711 866041212 /nfs/dbraw/zinc/04/12/12/866041212.db2.gz ZBFBHLYNYPHSPH-CQSZACIVSA-N 1 2 324.344 1.364 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC001332711649 866089113 /nfs/dbraw/zinc/08/91/13/866089113.db2.gz GUTMIKDGYRELSS-NSHDSACASA-N 1 2 324.450 1.292 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@H](C)CC(F)(F)F ZINC001320028892 866434767 /nfs/dbraw/zinc/43/47/67/866434767.db2.gz GOBZPHGZYANHRY-LLVKDONJSA-N 1 2 323.359 1.267 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@H](C)CC(F)(F)F ZINC001320028892 866434768 /nfs/dbraw/zinc/43/47/68/866434768.db2.gz GOBZPHGZYANHRY-LLVKDONJSA-N 1 2 323.359 1.267 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001381504333 881973882 /nfs/dbraw/zinc/97/38/82/881973882.db2.gz BBBDZKMOCJEWAZ-SECBINFHSA-N 1 2 318.406 1.297 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001381504333 881973896 /nfs/dbraw/zinc/97/38/96/881973896.db2.gz BBBDZKMOCJEWAZ-SECBINFHSA-N 1 2 318.406 1.297 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H]3CCC[C@@H]3CNCC#N)ccn12 ZINC001320130980 866497649 /nfs/dbraw/zinc/49/76/49/866497649.db2.gz DWGXEBFFOATGCK-HUUCEWRRSA-N 1 2 311.389 1.654 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@H](C)C(=O)NC1CC1 ZINC001323311961 866522648 /nfs/dbraw/zinc/52/26/48/866522648.db2.gz WWKFFHIEZMXHQQ-TZMCWYRMSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CC1 ZINC001323311961 866522653 /nfs/dbraw/zinc/52/26/53/866522653.db2.gz WWKFFHIEZMXHQQ-TZMCWYRMSA-N 1 2 307.438 1.446 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)C1CC2(C1)CCOCC2 ZINC001323332940 866536424 /nfs/dbraw/zinc/53/64/24/866536424.db2.gz BAKRNLJHMWOKJZ-INIZCTEOSA-N 1 2 320.433 1.034 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)C1CC2(C1)CCOCC2 ZINC001323332940 866536437 /nfs/dbraw/zinc/53/64/37/866536437.db2.gz BAKRNLJHMWOKJZ-INIZCTEOSA-N 1 2 320.433 1.034 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001323333389 866538833 /nfs/dbraw/zinc/53/88/33/866538833.db2.gz BXFRBYSUALLLCT-YHUYYLMFSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001323333389 866538839 /nfs/dbraw/zinc/53/88/39/866538839.db2.gz BXFRBYSUALLLCT-YHUYYLMFSA-N 1 2 321.465 1.694 20 30 DDEDLO O=C(Cn1cc[nH+]c1)NC[C@@H]1CCN1CC#Cc1ccccc1 ZINC001323372461 866571049 /nfs/dbraw/zinc/57/10/49/866571049.db2.gz XPWZXGPVGDTSCB-KRWDZBQOSA-N 1 2 308.385 1.125 20 30 DDEDLO N#CCSCC(=O)NCC1([NH2+]Cc2coc(C3CC3)n2)CC1 ZINC001323393087 866583087 /nfs/dbraw/zinc/58/30/87/866583087.db2.gz BXTYFIOXBSRJIK-UHFFFAOYSA-N 1 2 320.418 1.547 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2([NH2+]Cc3ncc(C)o3)CC2)cn1 ZINC001323596534 866712156 /nfs/dbraw/zinc/71/21/56/866712156.db2.gz NFGSWONSZVMULE-UHFFFAOYSA-N 1 2 310.357 1.412 20 30 DDEDLO COc1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c([N+](=O)[O-])c1 ZINC001225769270 882020191 /nfs/dbraw/zinc/02/01/91/882020191.db2.gz IPCFMKCHSAHDSN-HJTUNCCVSA-N 1 2 306.318 1.595 20 30 DDEDLO COc1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c([N+](=O)[O-])c1 ZINC001225769270 882020210 /nfs/dbraw/zinc/02/02/10/882020210.db2.gz IPCFMKCHSAHDSN-HJTUNCCVSA-N 1 2 306.318 1.595 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@]3(CCN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001323846780 866888703 /nfs/dbraw/zinc/88/87/03/866888703.db2.gz IXBMBDBLOJHNRI-QGZVFWFLSA-N 1 2 316.405 1.462 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@]3(CCN(C(=O)C#CC(C)C)C3)C2)o1 ZINC001323846780 866888710 /nfs/dbraw/zinc/88/87/10/866888710.db2.gz IXBMBDBLOJHNRI-QGZVFWFLSA-N 1 2 316.405 1.462 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cnn3ccccc23)C1 ZINC001323995120 867001412 /nfs/dbraw/zinc/00/14/12/867001412.db2.gz RLCPJYWGOWXEHF-UHFFFAOYSA-N 1 2 314.389 1.293 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C1CC1 ZINC001324442961 867282440 /nfs/dbraw/zinc/28/24/40/867282440.db2.gz BLKVAISHVIVJEM-UHFFFAOYSA-N 1 2 321.421 1.163 20 30 DDEDLO C#CC[N@H+](CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C1CC1 ZINC001324442961 867282458 /nfs/dbraw/zinc/28/24/58/867282458.db2.gz BLKVAISHVIVJEM-UHFFFAOYSA-N 1 2 321.421 1.163 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC/C=C\C[NH2+][C@@H](C)c1nnnn1C ZINC001321230313 867420759 /nfs/dbraw/zinc/42/07/59/867420759.db2.gz BYBWZTACEOYSLS-QKCOMIDHSA-N 1 2 306.414 1.135 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)C[NH2+]Cc1nc(CCC(C)C)no1 ZINC001321512415 867639513 /nfs/dbraw/zinc/63/95/13/867639513.db2.gz XHRMBSXZNSLMLQ-CQSZACIVSA-N 1 2 320.437 1.912 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@]1(C)CCC[N@H+](Cc2nccn2C)C1 ZINC001324935293 867641251 /nfs/dbraw/zinc/64/12/51/867641251.db2.gz CMQVQPPOVVUTHT-GOSISDBHSA-N 1 2 316.449 1.798 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@]1(C)CCC[N@@H+](Cc2nccn2C)C1 ZINC001324935293 867641256 /nfs/dbraw/zinc/64/12/56/867641256.db2.gz CMQVQPPOVVUTHT-GOSISDBHSA-N 1 2 316.449 1.798 20 30 DDEDLO C=CCNC(=O)c1cccnc1NC[C@H]([NH3+])C(=O)OC(C)(C)C ZINC001162854009 867878746 /nfs/dbraw/zinc/87/87/46/867878746.db2.gz HNGJLKNHHGGOBS-LBPRGKRZSA-N 1 2 320.393 1.078 20 30 DDEDLO C=CC[C@H](NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)OCC ZINC001325357788 867968259 /nfs/dbraw/zinc/96/82/59/867968259.db2.gz XSAFIPUUJAMTDT-RYUDHWBXSA-N 1 2 300.424 1.048 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001335521076 868360009 /nfs/dbraw/zinc/36/00/09/868360009.db2.gz GJJVNMVORMGDJG-KGLIPLIRSA-N 1 2 318.421 1.852 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC001322821480 868407922 /nfs/dbraw/zinc/40/79/22/868407922.db2.gz WKPIQXOOKIQKLH-DZGCQCFKSA-N 1 2 317.389 1.532 20 30 DDEDLO C#CC[C@@H]1CCCN(c2nnnn2Cc2cc(C)[nH+]c(C)c2)C1 ZINC001335730042 868501583 /nfs/dbraw/zinc/50/15/83/868501583.db2.gz JMIAIOJCYWKPFK-OAHLLOKOSA-N 1 2 310.405 1.973 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1ccnc(NC(C)=O)c1 ZINC001381601216 882287721 /nfs/dbraw/zinc/28/77/21/882287721.db2.gz CSIKTSMFMYUVFB-LLVKDONJSA-N 1 2 324.812 1.843 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1ccnc(NC(C)=O)c1 ZINC001381601216 882287733 /nfs/dbraw/zinc/28/77/33/882287733.db2.gz CSIKTSMFMYUVFB-LLVKDONJSA-N 1 2 324.812 1.843 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001338281999 869901211 /nfs/dbraw/zinc/90/12/11/869901211.db2.gz HGTFFMSVXLKXRR-UONOGXRCSA-N 1 2 318.421 1.804 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CC[C@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001316976110 870038770 /nfs/dbraw/zinc/03/87/70/870038770.db2.gz JXGLTMRGDLKSMY-GJZGRUSLSA-N 1 2 300.406 1.696 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CC[C@H](NC(=O)C#CC(C)C)C2)cn1 ZINC001316976110 870038775 /nfs/dbraw/zinc/03/87/75/870038775.db2.gz JXGLTMRGDLKSMY-GJZGRUSLSA-N 1 2 300.406 1.696 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001316977592 870042003 /nfs/dbraw/zinc/04/20/03/870042003.db2.gz JZVUDXPTOHHYIP-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001316977592 870042012 /nfs/dbraw/zinc/04/20/12/870042012.db2.gz JZVUDXPTOHHYIP-AWEZNQCLSA-N 1 2 307.438 1.143 20 30 DDEDLO CCc1ccc(C(=O)N[C@@H]2CC[N@H+](CC#CCOC)C2)s1 ZINC001316980518 870050809 /nfs/dbraw/zinc/05/08/09/870050809.db2.gz NKAIRQFYGCBEJZ-CYBMUJFWSA-N 1 2 306.431 1.764 20 30 DDEDLO CCc1ccc(C(=O)N[C@@H]2CC[N@@H+](CC#CCOC)C2)s1 ZINC001316980518 870050818 /nfs/dbraw/zinc/05/08/18/870050818.db2.gz NKAIRQFYGCBEJZ-CYBMUJFWSA-N 1 2 306.431 1.764 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2C[C@H]([NH2+]CC(F)(F)C(F)F)C2)c[nH]1 ZINC001317074323 870203608 /nfs/dbraw/zinc/20/36/08/870203608.db2.gz CKICRCGMTZMPQR-KYZUINATSA-N 1 2 318.274 1.637 20 30 DDEDLO CN(C)c1cc(N2CCCN(c3ccc(C#N)cn3)CC2)nc[nH+]1 ZINC001166840610 870300722 /nfs/dbraw/zinc/30/07/22/870300722.db2.gz DLMZMHLLIZOWLC-UHFFFAOYSA-N 1 2 323.404 1.526 20 30 DDEDLO CN(C)c1cc(N2CCCN(c3ccc(C#N)cn3)CC2)[nH+]cn1 ZINC001166840610 870300740 /nfs/dbraw/zinc/30/07/40/870300740.db2.gz DLMZMHLLIZOWLC-UHFFFAOYSA-N 1 2 323.404 1.526 20 30 DDEDLO C=CCn1c(N(C)C2CCC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001339067855 870330415 /nfs/dbraw/zinc/33/04/15/870330415.db2.gz FAQCXGGPXPQDNB-CJNGLKHVSA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCn1c(N(C)C2CCC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001339067855 870330433 /nfs/dbraw/zinc/33/04/33/870330433.db2.gz FAQCXGGPXPQDNB-CJNGLKHVSA-N 1 2 305.426 1.364 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc(Cc2[nH+]ccn2C)n1CC=C ZINC001339095297 870342681 /nfs/dbraw/zinc/34/26/81/870342681.db2.gz NIQMYDASSAITCF-CQSZACIVSA-N 1 2 310.405 1.781 20 30 DDEDLO C[C@H](CCNC(=O)C#CC1CC1)[NH2+]Cc1nsc(N(C)C)n1 ZINC001317395133 870763116 /nfs/dbraw/zinc/76/31/16/870763116.db2.gz VBNAMDUAZLANJX-LLVKDONJSA-N 1 2 321.450 1.002 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)[C@H](CC)OC)CC1 ZINC001226463441 882444770 /nfs/dbraw/zinc/44/47/70/882444770.db2.gz PZAUKQXDQPYLBB-LBPRGKRZSA-N 1 2 319.243 1.901 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001317460991 870875771 /nfs/dbraw/zinc/87/57/71/870875771.db2.gz OHROKLPPXHXSSD-GJZGRUSLSA-N 1 2 319.449 1.000 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001317460991 870875781 /nfs/dbraw/zinc/87/57/81/870875781.db2.gz OHROKLPPXHXSSD-GJZGRUSLSA-N 1 2 319.449 1.000 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[N@@H+](CC)[C@H](C)c2ncccn2)c1 ZINC001317487114 870904243 /nfs/dbraw/zinc/90/42/43/870904243.db2.gz HQYMCQSDZZUILP-CQSZACIVSA-N 1 2 323.400 1.666 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[N@H+](CC)[C@H](C)c2ncccn2)c1 ZINC001317487114 870904252 /nfs/dbraw/zinc/90/42/52/870904252.db2.gz HQYMCQSDZZUILP-CQSZACIVSA-N 1 2 323.400 1.666 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)c1cscc1C ZINC001317497114 870919098 /nfs/dbraw/zinc/91/90/98/870919098.db2.gz RNCVQGXLSWYONG-CYBMUJFWSA-N 1 2 319.430 1.000 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cscc1C ZINC001317497114 870919113 /nfs/dbraw/zinc/91/91/13/870919113.db2.gz RNCVQGXLSWYONG-CYBMUJFWSA-N 1 2 319.430 1.000 20 30 DDEDLO C=CCN(c1nnc([C@@H]2C[C@H](O)C[N@H+]2C)n1CC=C)C1CC1 ZINC001340258859 870992531 /nfs/dbraw/zinc/99/25/31/870992531.db2.gz PDJJGVHNZGLVHT-KBPBESRZSA-N 1 2 303.410 1.356 20 30 DDEDLO C=CCN(c1nnc([C@@H]2C[C@H](O)C[N@@H+]2C)n1CC=C)C1CC1 ZINC001340258859 870992539 /nfs/dbraw/zinc/99/25/39/870992539.db2.gz PDJJGVHNZGLVHT-KBPBESRZSA-N 1 2 303.410 1.356 20 30 DDEDLO C=CCN(c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC=C)C1CC1 ZINC001340573492 871204558 /nfs/dbraw/zinc/20/45/58/871204558.db2.gz YAVRWGRIXKKISP-YOEHRIQHSA-N 1 2 317.437 1.531 20 30 DDEDLO C=CCN(c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC=C)C1CC1 ZINC001340573492 871204571 /nfs/dbraw/zinc/20/45/71/871204571.db2.gz YAVRWGRIXKKISP-YOEHRIQHSA-N 1 2 317.437 1.531 20 30 DDEDLO CCCN(C(=O)[C@H]1CCn2c[nH+]cc2C1)[C@@H]1CCN(CC#N)C1 ZINC001317762327 871476941 /nfs/dbraw/zinc/47/69/41/871476941.db2.gz WRZJSQFXXYBDRR-LSDHHAIUSA-N 1 2 315.421 1.282 20 30 DDEDLO C#CCN1CCN(c2nnc(C[NH+]3CCCCC3)n2CC)CC1 ZINC001341309498 871600575 /nfs/dbraw/zinc/60/05/75/871600575.db2.gz IQKMGPUKJHLJNO-UHFFFAOYSA-N 1 2 316.453 1.039 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N1CCC(CNCC#N)CC1)C2 ZINC001205556244 871628278 /nfs/dbraw/zinc/62/82/78/871628278.db2.gz NVVOKPJMQNTRFA-AWEZNQCLSA-N 1 2 315.421 1.175 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N1CCC(CNCC#N)CC1)CC2 ZINC001205556244 871628283 /nfs/dbraw/zinc/62/82/83/871628283.db2.gz NVVOKPJMQNTRFA-AWEZNQCLSA-N 1 2 315.421 1.175 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)c2c(F)cccc2F)C1 ZINC001317950746 871647394 /nfs/dbraw/zinc/64/73/94/871647394.db2.gz ZFRUKBZMDGLLSS-CYBMUJFWSA-N 1 2 322.355 1.809 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2c(F)cccc2F)C1 ZINC001317950746 871647405 /nfs/dbraw/zinc/64/74/05/871647405.db2.gz ZFRUKBZMDGLLSS-CYBMUJFWSA-N 1 2 322.355 1.809 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1C[NH+](Cc2ccc(OC)nn2)C1 ZINC001318146022 871779943 /nfs/dbraw/zinc/77/99/43/871779943.db2.gz NRWMVGVZQBOBLS-CYBMUJFWSA-N 1 2 318.421 1.636 20 30 DDEDLO C=CCO[C@H]1CCN(c2nc(NCC)[nH+]c(NC(C)(C)C)n2)C1 ZINC001341820840 871843470 /nfs/dbraw/zinc/84/34/70/871843470.db2.gz TWBLUIXCXKHLPI-LBPRGKRZSA-N 1 2 320.441 1.717 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001318309165 871920877 /nfs/dbraw/zinc/92/08/77/871920877.db2.gz GKANPXCYGUPWNW-HNNXBMFYSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[N@H+](Cc2cnc(C)nc2)C1 ZINC001318309165 871920884 /nfs/dbraw/zinc/92/08/84/871920884.db2.gz GKANPXCYGUPWNW-HNNXBMFYSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@@H]1CC[N@H+](Cc2cnnn2C)C1 ZINC001318406082 872005558 /nfs/dbraw/zinc/00/55/58/872005558.db2.gz CVNDFOBRHUNTQH-CYBMUJFWSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2cnnn2C)C1 ZINC001318406082 872005580 /nfs/dbraw/zinc/00/55/80/872005580.db2.gz CVNDFOBRHUNTQH-CYBMUJFWSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@H]1CC[N@@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001318456923 872067492 /nfs/dbraw/zinc/06/74/92/872067492.db2.gz FGKSEYSWNGVUQT-OCCSQVGLSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@H]1CC[N@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001318456923 872067512 /nfs/dbraw/zinc/06/75/12/872067512.db2.gz FGKSEYSWNGVUQT-OCCSQVGLSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CCOC[C@@H]1CCC[C@@]12C[N@H+](Cc1ccnn1C)CCO2 ZINC001206342899 872236882 /nfs/dbraw/zinc/23/68/82/872236882.db2.gz QDYSPLFEQYQTMR-DOTOQJQBSA-N 1 2 305.422 1.994 20 30 DDEDLO C=CCOC[C@@H]1CCC[C@@]12C[N@@H+](Cc1ccnn1C)CCO2 ZINC001206342899 872236898 /nfs/dbraw/zinc/23/68/98/872236898.db2.gz QDYSPLFEQYQTMR-DOTOQJQBSA-N 1 2 305.422 1.994 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)CCC)C1 ZINC001316949127 872449713 /nfs/dbraw/zinc/44/97/13/872449713.db2.gz YUPPWWWLOFAWNN-LSDHHAIUSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](NC(=O)C(C)(C)CCC)C1 ZINC001316949127 872449718 /nfs/dbraw/zinc/44/97/18/872449718.db2.gz YUPPWWWLOFAWNN-LSDHHAIUSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nnc(C)o2)C[C@H]1C ZINC001206614664 872477740 /nfs/dbraw/zinc/47/77/40/872477740.db2.gz ZSVIFWYTZSAYRJ-NQBHXWOUSA-N 1 2 308.382 1.078 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nnc(C)o2)C[C@H]1C ZINC001206614664 872477741 /nfs/dbraw/zinc/47/77/41/872477741.db2.gz ZSVIFWYTZSAYRJ-NQBHXWOUSA-N 1 2 308.382 1.078 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)/C=C(/C)C2CC2)C1 ZINC001319294858 872543779 /nfs/dbraw/zinc/54/37/79/872543779.db2.gz FPVJBOGXJJTGMN-SYCZXOQXSA-N 1 2 308.422 1.362 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)/C=C(/C)C2CC2)C1 ZINC001319294858 872543795 /nfs/dbraw/zinc/54/37/95/872543795.db2.gz FPVJBOGXJJTGMN-SYCZXOQXSA-N 1 2 308.422 1.362 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001319308891 872557514 /nfs/dbraw/zinc/55/75/14/872557514.db2.gz GVDVQORWNWJJLO-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001319308891 872557519 /nfs/dbraw/zinc/55/75/19/872557519.db2.gz GVDVQORWNWJJLO-QWRGUYRKSA-N 1 2 312.307 1.670 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)CCc2ccnc(C)n2)C1 ZINC001319317333 872563902 /nfs/dbraw/zinc/56/39/02/872563902.db2.gz HFFAZEMQWLUFEA-MRXNPFEDSA-N 1 2 318.421 1.111 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)CCc2ccnc(C)n2)C1 ZINC001319317333 872563908 /nfs/dbraw/zinc/56/39/08/872563908.db2.gz HFFAZEMQWLUFEA-MRXNPFEDSA-N 1 2 318.421 1.111 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)nn1C ZINC001206948614 872831575 /nfs/dbraw/zinc/83/15/75/872831575.db2.gz TVSPWMQBFPQRTI-MLGOLLRUSA-N 1 2 300.406 1.078 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)nn1C ZINC001206948614 872831580 /nfs/dbraw/zinc/83/15/80/872831580.db2.gz TVSPWMQBFPQRTI-MLGOLLRUSA-N 1 2 300.406 1.078 20 30 DDEDLO C=CCCOCCNC(=O)C(=O)NCc1c[nH+]c(C)cc1C ZINC001345341059 873367418 /nfs/dbraw/zinc/36/74/18/873367418.db2.gz YOQODSUFAOQCPF-UHFFFAOYSA-N 1 2 305.378 1.024 20 30 DDEDLO CC#CC[NH2+][C@@H]1CCCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001208899693 874517171 /nfs/dbraw/zinc/51/71/71/874517171.db2.gz CVCBKWKBFYJFLA-MRXNPFEDSA-N 1 2 323.400 1.689 20 30 DDEDLO COC(=O)[C@H]1C[C@@H]2COC[C@H](C1)[N@@H+]2CCc1ccc(C#N)cc1 ZINC001208927417 874530637 /nfs/dbraw/zinc/53/06/37/874530637.db2.gz HILRKZLFSMQJFI-BJWYYQGGSA-N 1 2 314.385 1.753 20 30 DDEDLO COC(=O)[C@H]1C[C@@H]2COC[C@H](C1)[N@H+]2CCc1ccc(C#N)cc1 ZINC001208927417 874530647 /nfs/dbraw/zinc/53/06/47/874530647.db2.gz HILRKZLFSMQJFI-BJWYYQGGSA-N 1 2 314.385 1.753 20 30 DDEDLO C#CCCC[N@H+]1CC(=O)NC[C@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001209262333 874760193 /nfs/dbraw/zinc/76/01/93/874760193.db2.gz SMAKNKPIAAYTIL-KRWDZBQOSA-N 1 2 321.421 1.211 20 30 DDEDLO C#CCCC[N@@H+]1CC(=O)NC[C@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001209262333 874760199 /nfs/dbraw/zinc/76/01/99/874760199.db2.gz SMAKNKPIAAYTIL-KRWDZBQOSA-N 1 2 321.421 1.211 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001349000986 874916140 /nfs/dbraw/zinc/91/61/40/874916140.db2.gz GIWWSPGYYXZSHH-KBPBESRZSA-N 1 2 306.410 1.669 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCCNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001349237853 875053337 /nfs/dbraw/zinc/05/33/37/875053337.db2.gz ZIUWPQSSAWJHJA-KBPBESRZSA-N 1 2 318.421 1.280 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CC[C@H](C)CC2)[C@H](OC)C1 ZINC001213745007 876042823 /nfs/dbraw/zinc/04/28/23/876042823.db2.gz UCPMREOSTHGVHQ-QBPKDAKJSA-N 1 2 322.449 1.278 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CC[C@H](C)CC2)[C@H](OC)C1 ZINC001213745007 876042839 /nfs/dbraw/zinc/04/28/39/876042839.db2.gz UCPMREOSTHGVHQ-QBPKDAKJSA-N 1 2 322.449 1.278 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)Cc1ccoc1 ZINC001351603003 876342664 /nfs/dbraw/zinc/34/26/64/876342664.db2.gz BWSFQTBMEQKFQS-KBPBESRZSA-N 1 2 317.393 1.431 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)Cc1ccoc1 ZINC001351603003 876342668 /nfs/dbraw/zinc/34/26/68/876342668.db2.gz BWSFQTBMEQKFQS-KBPBESRZSA-N 1 2 317.393 1.431 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CCCNC(=O)Cn1cc[nH+]c1 ZINC001351653860 876365462 /nfs/dbraw/zinc/36/54/62/876365462.db2.gz FNHNSXZFAMSRHE-UHFFFAOYSA-N 1 2 306.410 1.450 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001216913188 877092803 /nfs/dbraw/zinc/09/28/03/877092803.db2.gz AAIUVMVGNSDJAP-CHWSQXEVSA-N 1 2 322.409 1.161 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cnc(C)o3)C[C@@H]21 ZINC001218041602 877381499 /nfs/dbraw/zinc/38/14/99/877381499.db2.gz WWQWPOUFGHFBQY-JKSUJKDBSA-N 1 2 319.405 1.751 20 30 DDEDLO C=C(C)CCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cnc(C)o3)C[C@@H]21 ZINC001218041602 877381514 /nfs/dbraw/zinc/38/15/14/877381514.db2.gz WWQWPOUFGHFBQY-JKSUJKDBSA-N 1 2 319.405 1.751 20 30 DDEDLO C[C@@H](NC(=O)c1ncc(C#N)cc1Cl)[C@H]1C[N@H+](C)CCO1 ZINC001416812563 877382419 /nfs/dbraw/zinc/38/24/19/877382419.db2.gz ZSWMQRAITUNITK-BXKDBHETSA-N 1 2 308.769 1.056 20 30 DDEDLO C[C@@H](NC(=O)c1ncc(C#N)cc1Cl)[C@H]1C[N@@H+](C)CCO1 ZINC001416812563 877382435 /nfs/dbraw/zinc/38/24/35/877382435.db2.gz ZSWMQRAITUNITK-BXKDBHETSA-N 1 2 308.769 1.056 20 30 DDEDLO CC[C@@H]1CN(C(=O)CCCn2cc[nH+]c2)CC[C@@H]1NCC#N ZINC001276772522 877406137 /nfs/dbraw/zinc/40/61/37/877406137.db2.gz OZFOYQVMRWJFGX-CABCVRRESA-N 1 2 303.410 1.404 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001353568589 877423825 /nfs/dbraw/zinc/42/38/25/877423825.db2.gz XCLZRCBHPOIBDR-AVGNSLFASA-N 1 2 316.405 1.559 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(NC(=O)CCc3cn[nH]n3)CCC[C@@H]12 ZINC001379629514 877577177 /nfs/dbraw/zinc/57/71/77/877577177.db2.gz NSPMIQXGHQZGEC-HIFRSBDPSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(NC(=O)CCc3cn[nH]n3)CCC[C@@H]12 ZINC001379629514 877577199 /nfs/dbraw/zinc/57/71/99/877577199.db2.gz NSPMIQXGHQZGEC-HIFRSBDPSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(NC(=O)CCc3c[nH]nn3)CCC[C@@H]12 ZINC001379629514 877577217 /nfs/dbraw/zinc/57/72/17/877577217.db2.gz NSPMIQXGHQZGEC-HIFRSBDPSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(NC(=O)CCc3c[nH]nn3)CCC[C@@H]12 ZINC001379629514 877577238 /nfs/dbraw/zinc/57/72/38/877577238.db2.gz NSPMIQXGHQZGEC-HIFRSBDPSA-N 1 2 323.828 1.603 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001353808514 877581068 /nfs/dbraw/zinc/58/10/68/877581068.db2.gz LBGNUAALJIMQFQ-SYQHCUMBSA-N 1 2 318.421 1.184 20 30 DDEDLO CCC(CC)CC(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001218859218 877769252 /nfs/dbraw/zinc/76/92/52/877769252.db2.gz QFKBGOJUSGSMRZ-DLBZAZTESA-N 1 2 322.449 1.374 20 30 DDEDLO CCC(CC)CC(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001218859218 877769265 /nfs/dbraw/zinc/76/92/65/877769265.db2.gz QFKBGOJUSGSMRZ-DLBZAZTESA-N 1 2 322.449 1.374 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H]1CNC(=O)/C(C)=C\CC ZINC001276800591 877846915 /nfs/dbraw/zinc/84/69/15/877846915.db2.gz LCCZIKLYXFZZOA-MVMZHWFQSA-N 1 2 321.465 1.958 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H]1CNC(=O)/C(C)=C\CC ZINC001276800591 877846930 /nfs/dbraw/zinc/84/69/30/877846930.db2.gz LCCZIKLYXFZZOA-MVMZHWFQSA-N 1 2 321.465 1.958 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001287576595 912315598 /nfs/dbraw/zinc/31/55/98/912315598.db2.gz MHVFWOJGBTXJCG-ZDUSSCGKSA-N 1 2 306.410 1.282 20 30 DDEDLO C=CCCCC(=O)NC[C@@H](C)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001354684496 878151864 /nfs/dbraw/zinc/15/18/64/878151864.db2.gz NMTPNFSWYCSQCO-ZIAGYGMSSA-N 1 2 318.421 1.423 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001354886526 878296042 /nfs/dbraw/zinc/29/60/42/878296042.db2.gz YILIYWCPGFGHRZ-AWEZNQCLSA-N 1 2 304.394 1.204 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001355632685 878654978 /nfs/dbraw/zinc/65/49/78/878654978.db2.gz QGNQMFUOSSQGSL-CYBMUJFWSA-N 1 2 306.410 1.519 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001355632685 878654988 /nfs/dbraw/zinc/65/49/88/878654988.db2.gz QGNQMFUOSSQGSL-CYBMUJFWSA-N 1 2 306.410 1.519 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@@H](C)C1 ZINC001355929493 878784550 /nfs/dbraw/zinc/78/45/50/878784550.db2.gz KIAVWPZPJWVVEV-UONOGXRCSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N1CCO[C@@H](C)C1 ZINC001355929493 878784569 /nfs/dbraw/zinc/78/45/69/878784569.db2.gz KIAVWPZPJWVVEV-UONOGXRCSA-N 1 2 305.426 1.846 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@@H]1O ZINC001220292400 878891588 /nfs/dbraw/zinc/89/15/88/878891588.db2.gz XCUXJHPYPYGVPD-HLLBOEOZSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@@H]1O ZINC001220292400 878891589 /nfs/dbraw/zinc/89/15/89/878891589.db2.gz XCUXJHPYPYGVPD-HLLBOEOZSA-N 1 2 321.421 1.501 20 30 DDEDLO COc1ccc(CC[NH+]2CC(N(C)C(=O)[C@H](C)C#N)C2)cc1 ZINC001380220990 879084294 /nfs/dbraw/zinc/08/42/94/879084294.db2.gz KWPVTXZHXJXGCY-CYBMUJFWSA-N 1 2 301.390 1.540 20 30 DDEDLO CC(C)C#CC(=O)N(CCCNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001356679031 879190217 /nfs/dbraw/zinc/19/02/17/879190217.db2.gz CDVBLKQLHNGUOT-UHFFFAOYSA-N 1 2 318.421 1.355 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(OCCCC)cc2)[C@@H](O)C1 ZINC001220953697 879403884 /nfs/dbraw/zinc/40/38/84/879403884.db2.gz SPGLNQHNVYRCOR-SJORKVTESA-N 1 2 316.401 1.274 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(OCCCC)cc2)[C@@H](O)C1 ZINC001220953697 879403894 /nfs/dbraw/zinc/40/38/94/879403894.db2.gz SPGLNQHNVYRCOR-SJORKVTESA-N 1 2 316.401 1.274 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](CC)CNC(=O)CCn1cc[nH+]c1 ZINC001356906375 879567124 /nfs/dbraw/zinc/56/71/24/879567124.db2.gz MCSOEGJXZFQYNJ-CYBMUJFWSA-N 1 2 306.410 1.496 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)C(C)C ZINC001357114795 879802091 /nfs/dbraw/zinc/80/20/91/879802091.db2.gz AZSPLJOZINRRRF-LBPRGKRZSA-N 1 2 306.410 1.421 20 30 DDEDLO CC#CCCCC(=O)N[C@H](CNC(=O)Cc1[nH]cc[nH+]1)C(C)C ZINC001357114960 879802203 /nfs/dbraw/zinc/80/22/03/879802203.db2.gz BRZCVJMXKPLRFW-CQSZACIVSA-N 1 2 318.421 1.403 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221529892 879925151 /nfs/dbraw/zinc/92/51/51/879925151.db2.gz QNWSHYLTIPZPQV-BZUAXINKSA-N 1 2 319.449 1.093 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221529892 879925160 /nfs/dbraw/zinc/92/51/60/879925160.db2.gz QNWSHYLTIPZPQV-BZUAXINKSA-N 1 2 319.449 1.093 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)[C@H]1C ZINC001287865214 912519756 /nfs/dbraw/zinc/51/97/56/912519756.db2.gz AFTHUZCUWMVCFY-ZIAGYGMSSA-N 1 2 316.405 1.251 20 30 DDEDLO C#CCCCC(=O)NCC1CC[NH+](Cc2nnc(CC)o2)CC1 ZINC001222987075 880773505 /nfs/dbraw/zinc/77/35/05/880773505.db2.gz IVNXQUBQBDZUKE-UHFFFAOYSA-N 1 2 318.421 1.764 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)COCc1ccc(OC)cc1 ZINC001276877232 880901535 /nfs/dbraw/zinc/90/15/35/880901535.db2.gz WVTVXSNIRBNIGG-MRXNPFEDSA-N 1 2 316.401 1.426 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)COCc1ccc(OC)cc1 ZINC001276877232 880901545 /nfs/dbraw/zinc/90/15/45/880901545.db2.gz WVTVXSNIRBNIGG-MRXNPFEDSA-N 1 2 316.401 1.426 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CC[N@H+](Cc2ncccn2)CC1(C)C ZINC001381127984 881084128 /nfs/dbraw/zinc/08/41/28/881084128.db2.gz HFXATASSXQWIKO-CHWSQXEVSA-N 1 2 301.394 1.353 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CC[N@@H+](Cc2ncccn2)CC1(C)C ZINC001381127984 881084148 /nfs/dbraw/zinc/08/41/48/881084148.db2.gz HFXATASSXQWIKO-CHWSQXEVSA-N 1 2 301.394 1.353 20 30 DDEDLO C#CCCCCn1c(C(C)C)nnc1N1CC[NH+](CC#C)CC1 ZINC001358904511 881086557 /nfs/dbraw/zinc/08/65/57/881086557.db2.gz GCDQMHFGNIHDCH-UHFFFAOYSA-N 1 2 313.449 1.960 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2CC2(SC)CC2)CC1 ZINC001359035904 881165227 /nfs/dbraw/zinc/16/52/27/881165227.db2.gz HZWWHYBGOZRAAW-UHFFFAOYSA-N 1 2 319.478 1.491 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001224051449 881193837 /nfs/dbraw/zinc/19/38/37/881193837.db2.gz XQUXXNHVEIHPCW-UHFFFAOYSA-N 1 2 306.410 1.918 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001288010422 912663291 /nfs/dbraw/zinc/66/32/91/912663291.db2.gz PQXXIUNXYJCCHG-HUUCEWRRSA-N 1 2 316.405 1.038 20 30 DDEDLO Cc1cc(C[NH+]2CC3(CN(c4ccc(C#N)cc4)C3)C2)n(C)n1 ZINC001276960620 881307644 /nfs/dbraw/zinc/30/76/44/881307644.db2.gz OLUXORAEECTWKM-UHFFFAOYSA-N 1 2 307.401 1.922 20 30 DDEDLO N#Cc1ccc(N2C[C@@H]3C[N@@H+](Cc4ccc[nH]4)C[C@H](C2)O3)cc1 ZINC001276960849 881310621 /nfs/dbraw/zinc/31/06/21/881310621.db2.gz UWBQYAKUACDZQI-HDICACEKSA-N 1 2 308.385 1.976 20 30 DDEDLO N#Cc1ccc(N2C[C@@H]3C[N@H+](Cc4ccc[nH]4)C[C@H](C2)O3)cc1 ZINC001276960849 881310634 /nfs/dbraw/zinc/31/06/34/881310634.db2.gz UWBQYAKUACDZQI-HDICACEKSA-N 1 2 308.385 1.976 20 30 DDEDLO C=CCC1(C(=O)NCC2([NH2+]Cc3ncnn3C)CC2)CCCC1 ZINC001277266912 883310477 /nfs/dbraw/zinc/31/04/77/883310477.db2.gz OJJZPWZQEFHAKD-UHFFFAOYSA-N 1 2 317.437 1.690 20 30 DDEDLO CCc1cc(C[NH+]2CC3(C[C@H]3C(=O)N3CC(CC#N)C3)C2)on1 ZINC001277382695 883954350 /nfs/dbraw/zinc/95/43/50/883954350.db2.gz AZIZGLAGLWLYPT-HNNXBMFYSA-N 1 2 314.389 1.431 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(C)c1 ZINC001230654913 884703959 /nfs/dbraw/zinc/70/39/59/884703959.db2.gz VIMCLXXISXWUGG-MRXNPFEDSA-N 1 2 315.417 1.444 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(C)c1 ZINC001230654913 884703961 /nfs/dbraw/zinc/70/39/61/884703961.db2.gz VIMCLXXISXWUGG-MRXNPFEDSA-N 1 2 315.417 1.444 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)CSCC#N)sn1 ZINC001230814400 884911741 /nfs/dbraw/zinc/91/17/41/884911741.db2.gz CBOUQZQDPYHMEE-GFCCVEGCSA-N 1 2 324.475 1.741 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)CSCC#N)sn1 ZINC001230814400 884911768 /nfs/dbraw/zinc/91/17/68/884911768.db2.gz CBOUQZQDPYHMEE-GFCCVEGCSA-N 1 2 324.475 1.741 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)C(CC)CC)C1=O ZINC001230834673 884936448 /nfs/dbraw/zinc/93/64/48/884936448.db2.gz JTOCINANDIFCHU-JKSUJKDBSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C(CC)CC)C1=O ZINC001230834673 884936466 /nfs/dbraw/zinc/93/64/66/884936466.db2.gz JTOCINANDIFCHU-JKSUJKDBSA-N 1 2 321.465 1.742 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC001231247564 885430067 /nfs/dbraw/zinc/43/00/67/885430067.db2.gz OWTXIERUZPTAKQ-WFASDCNBSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC001231247564 885430100 /nfs/dbraw/zinc/43/01/00/885430100.db2.gz OWTXIERUZPTAKQ-WFASDCNBSA-N 1 2 314.364 1.890 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccccc1C#N)C(=O)Cc1ccon1 ZINC001231396116 885599679 /nfs/dbraw/zinc/59/96/79/885599679.db2.gz VPZZFMYLJNKVDR-KRWDZBQOSA-N 1 2 324.384 1.822 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccccc1C#N)C(=O)Cc1ccon1 ZINC001231396116 885599690 /nfs/dbraw/zinc/59/96/90/885599690.db2.gz VPZZFMYLJNKVDR-KRWDZBQOSA-N 1 2 324.384 1.822 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@H+](Cc3n[nH]c4c3CCC4)C2)C1=O ZINC001232425744 886354577 /nfs/dbraw/zinc/35/45/77/886354577.db2.gz DJRGNZXACBUHKR-GOSISDBHSA-N 1 2 314.433 1.899 20 30 DDEDLO C=CCN1CC[C@@]2(CCC[N@@H+](Cc3n[nH]c4c3CCC4)C2)C1=O ZINC001232425744 886354591 /nfs/dbraw/zinc/35/45/91/886354591.db2.gz DJRGNZXACBUHKR-GOSISDBHSA-N 1 2 314.433 1.899 20 30 DDEDLO N#Cc1ccnc(C[N@H+]2CCC[C@@H](NC(=O)c3ccccn3)C2)c1 ZINC001232481449 886422053 /nfs/dbraw/zinc/42/20/53/886422053.db2.gz DUGSTLSMGRZNJX-OAHLLOKOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccnc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccccn3)C2)c1 ZINC001232481449 886422067 /nfs/dbraw/zinc/42/20/67/886422067.db2.gz DUGSTLSMGRZNJX-OAHLLOKOSA-N 1 2 321.384 1.743 20 30 DDEDLO C=CCCC[N@@H+]1CCc2n[nH]c(C(=O)NCc3cnc[nH]3)c2C1 ZINC001277779740 886858194 /nfs/dbraw/zinc/85/81/94/886858194.db2.gz PUIGZKMZFYJBNW-UHFFFAOYSA-N 1 2 314.393 1.387 20 30 DDEDLO C=CCCC[N@H+]1CCc2n[nH]c(C(=O)NCc3cnc[nH]3)c2C1 ZINC001277779740 886858222 /nfs/dbraw/zinc/85/82/22/886858222.db2.gz PUIGZKMZFYJBNW-UHFFFAOYSA-N 1 2 314.393 1.387 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C=C(CC)CC ZINC001233601311 887140482 /nfs/dbraw/zinc/14/04/82/887140482.db2.gz SNYYERDAPVDNQU-HNNXBMFYSA-N 1 2 307.438 1.568 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C=C(CC)CC ZINC001233601311 887140490 /nfs/dbraw/zinc/14/04/90/887140490.db2.gz SNYYERDAPVDNQU-HNNXBMFYSA-N 1 2 307.438 1.568 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001233761459 887294363 /nfs/dbraw/zinc/29/43/63/887294363.db2.gz KCAUYMSAFMMXHH-MRXNPFEDSA-N 1 2 302.422 1.646 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001233761459 887294378 /nfs/dbraw/zinc/29/43/78/887294378.db2.gz KCAUYMSAFMMXHH-MRXNPFEDSA-N 1 2 302.422 1.646 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]ccc1C ZINC001233990914 887530798 /nfs/dbraw/zinc/53/07/98/887530798.db2.gz NKMOTUNHTIIQBZ-ZIAGYGMSSA-N 1 2 318.421 1.160 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]ccc1C ZINC001233990914 887530813 /nfs/dbraw/zinc/53/08/13/887530813.db2.gz NKMOTUNHTIIQBZ-ZIAGYGMSSA-N 1 2 318.421 1.160 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234130898 887674107 /nfs/dbraw/zinc/67/41/07/887674107.db2.gz OPTJHWZUHDGQKA-HZPDHXFCSA-N 1 2 321.465 1.483 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234130898 887674121 /nfs/dbraw/zinc/67/41/21/887674121.db2.gz OPTJHWZUHDGQKA-HZPDHXFCSA-N 1 2 321.465 1.483 20 30 DDEDLO CCCC[C@H](C(N)=O)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234169406 887705191 /nfs/dbraw/zinc/70/51/91/887705191.db2.gz FFZMKESAOOGITR-LSDHHAIUSA-N 1 2 307.438 1.223 20 30 DDEDLO CCCC[C@H](C(N)=O)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234169406 887705197 /nfs/dbraw/zinc/70/51/97/887705197.db2.gz FFZMKESAOOGITR-LSDHHAIUSA-N 1 2 307.438 1.223 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1CC ZINC001234224426 887764644 /nfs/dbraw/zinc/76/46/44/887764644.db2.gz IBTABGXPBMMSQH-HIFRSBDPSA-N 1 2 319.405 1.308 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1CC ZINC001234224426 887764654 /nfs/dbraw/zinc/76/46/54/887764654.db2.gz IBTABGXPBMMSQH-HIFRSBDPSA-N 1 2 319.405 1.308 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@H+](C)Cc1cnns1 ZINC001235229024 888493258 /nfs/dbraw/zinc/49/32/58/888493258.db2.gz MBPOSKBTMMLCSX-LBPRGKRZSA-N 1 2 312.439 1.457 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCC[N@@H+](C)Cc1cnns1 ZINC001235229024 888493261 /nfs/dbraw/zinc/49/32/61/888493261.db2.gz MBPOSKBTMMLCSX-LBPRGKRZSA-N 1 2 312.439 1.457 20 30 DDEDLO N#Cc1cccc([C@@H](O)C[N@@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001364436263 888632259 /nfs/dbraw/zinc/63/22/59/888632259.db2.gz QDEIJRXUOOTRMX-KBPBESRZSA-N 1 2 313.361 1.295 20 30 DDEDLO N#Cc1cccc([C@@H](O)C[N@H+]2CCC[C@H](c3n[nH]c(=O)[nH]3)C2)c1 ZINC001364436263 888632268 /nfs/dbraw/zinc/63/22/68/888632268.db2.gz QDEIJRXUOOTRMX-KBPBESRZSA-N 1 2 313.361 1.295 20 30 DDEDLO COc1cccc(N2CC[NH+](Cc3ccnc(C#N)c3)CC2)n1 ZINC001237465646 889648696 /nfs/dbraw/zinc/64/86/96/889648696.db2.gz JJAZHGNBUNZZHE-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C#CCN(C(=O)/C(C)=C/C)C1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001278138159 889827956 /nfs/dbraw/zinc/82/79/56/889827956.db2.gz WABDCGKHPWTREF-AWNIVKPZSA-N 1 2 316.405 1.770 20 30 DDEDLO C=CCOC(=O)N1CCC2(C[NH+](Cc3cnnn3C)C2)CC1 ZINC001238155027 890083851 /nfs/dbraw/zinc/08/38/51/890083851.db2.gz BPZFLIVXRMYOSQ-UHFFFAOYSA-N 1 2 305.382 1.036 20 30 DDEDLO CC(C)C[C@@H]1C(=O)NCC[N@H+]1Cc1cc(C#N)ccc1N(C)C ZINC001365583544 891095608 /nfs/dbraw/zinc/09/56/08/891095608.db2.gz MHVXNCMYZZYQQI-QGZVFWFLSA-N 1 2 314.433 1.971 20 30 DDEDLO CC(C)C[C@@H]1C(=O)NCC[N@@H+]1Cc1cc(C#N)ccc1N(C)C ZINC001365583544 891095617 /nfs/dbraw/zinc/09/56/17/891095617.db2.gz MHVXNCMYZZYQQI-QGZVFWFLSA-N 1 2 314.433 1.971 20 30 DDEDLO C=C(Br)C[N@@H+](CCO)[C@@H]1CCCN(C(=O)CC)C1 ZINC001365870519 891760995 /nfs/dbraw/zinc/76/09/95/891760995.db2.gz JWYBJKNXNLPRJK-GFCCVEGCSA-N 1 2 319.243 1.590 20 30 DDEDLO C=C(Br)C[N@H+](CCO)[C@@H]1CCCN(C(=O)CC)C1 ZINC001365870519 891761001 /nfs/dbraw/zinc/76/10/01/891761001.db2.gz JWYBJKNXNLPRJK-GFCCVEGCSA-N 1 2 319.243 1.590 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@H](NC(=O)[C@H](C)C#N)C2)sn1 ZINC001365932227 891955955 /nfs/dbraw/zinc/95/59/55/891955955.db2.gz YLRMWPQHILAVFT-MNOVXSKESA-N 1 2 308.407 1.392 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@H](NC(=O)[C@H](C)C#N)C2)sn1 ZINC001365932227 891955964 /nfs/dbraw/zinc/95/59/64/891955964.db2.gz YLRMWPQHILAVFT-MNOVXSKESA-N 1 2 308.407 1.392 20 30 DDEDLO CC[C@H](OC)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365981617 892121416 /nfs/dbraw/zinc/12/14/16/892121416.db2.gz YWJKMLDKBFQKHJ-ZBFHGGJFSA-N 1 2 319.380 1.813 20 30 DDEDLO CC[C@H](OC)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC001365981617 892121421 /nfs/dbraw/zinc/12/14/21/892121421.db2.gz YWJKMLDKBFQKHJ-ZBFHGGJFSA-N 1 2 319.380 1.813 20 30 DDEDLO CCCCCCCC[C@@H](O)C[NH2+]C1(CC(=O)OCC)CNC1 ZINC001245992697 892309529 /nfs/dbraw/zinc/30/95/29/892309529.db2.gz HFWGNAHOBHJEIQ-OAHLLOKOSA-N 1 2 314.470 1.983 20 30 DDEDLO N#Cc1ccn2ncc(C[N@H+]3CC[C@@](O)(C(F)(F)F)C3)c2c1 ZINC001249081981 893810170 /nfs/dbraw/zinc/81/01/70/893810170.db2.gz CPAARWGRZJXJEV-ZDUSSCGKSA-N 1 2 310.279 1.705 20 30 DDEDLO N#Cc1ccn2ncc(C[N@@H+]3CC[C@@](O)(C(F)(F)F)C3)c2c1 ZINC001249081981 893810194 /nfs/dbraw/zinc/81/01/94/893810194.db2.gz CPAARWGRZJXJEV-ZDUSSCGKSA-N 1 2 310.279 1.705 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)Cc1cnccc1OC ZINC001366649208 894434610 /nfs/dbraw/zinc/43/46/10/894434610.db2.gz DIHFOLRUSMCODX-CQSZACIVSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)Cc1cnccc1OC ZINC001366649208 894434616 /nfs/dbraw/zinc/43/46/16/894434616.db2.gz DIHFOLRUSMCODX-CQSZACIVSA-N 1 2 323.824 1.966 20 30 DDEDLO N#Cc1nc2ccc(NC[C@@H](O)C[NH+]3CCOCC3)cc2s1 ZINC001251026246 894574530 /nfs/dbraw/zinc/57/45/30/894574530.db2.gz PVRBPDIYUQCYTO-GFCCVEGCSA-N 1 2 318.402 1.273 20 30 DDEDLO COCCC(=O)NCC[N@H+](Cc1ccc(C#N)cc1F)C1CC1 ZINC001366731153 894745516 /nfs/dbraw/zinc/74/55/16/894745516.db2.gz RIPUJKCHGPXPHM-UHFFFAOYSA-N 1 2 319.380 1.814 20 30 DDEDLO COCCC(=O)NCC[N@@H+](Cc1ccc(C#N)cc1F)C1CC1 ZINC001366731153 894745523 /nfs/dbraw/zinc/74/55/23/894745523.db2.gz RIPUJKCHGPXPHM-UHFFFAOYSA-N 1 2 319.380 1.814 20 30 DDEDLO CC(C)C#CC(=O)NC[C@]1(C)CCC[N@H+](Cc2cnn(C)n2)C1 ZINC001278658572 895080480 /nfs/dbraw/zinc/08/04/80/895080480.db2.gz IWIKOWLEYSIFAY-KRWDZBQOSA-N 1 2 317.437 1.193 20 30 DDEDLO CC(C)C#CC(=O)NC[C@]1(C)CCC[N@@H+](Cc2cnn(C)n2)C1 ZINC001278658572 895080492 /nfs/dbraw/zinc/08/04/92/895080492.db2.gz IWIKOWLEYSIFAY-KRWDZBQOSA-N 1 2 317.437 1.193 20 30 DDEDLO C#CCOCCC(=O)NC[C@@]1(C)CCC[N@H+](Cc2ccon2)C1 ZINC001278659323 895082436 /nfs/dbraw/zinc/08/24/36/895082436.db2.gz TUVRADYBDCBACM-QGZVFWFLSA-N 1 2 319.405 1.433 20 30 DDEDLO C#CCOCCC(=O)NC[C@@]1(C)CCC[N@@H+](Cc2ccon2)C1 ZINC001278659323 895082456 /nfs/dbraw/zinc/08/24/56/895082456.db2.gz TUVRADYBDCBACM-QGZVFWFLSA-N 1 2 319.405 1.433 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCc2nccn2C)C1 ZINC001366905754 895302580 /nfs/dbraw/zinc/30/25/80/895302580.db2.gz UPZMFTPKXRBXND-ZDUSSCGKSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCc2nccn2C)C1 ZINC001366905754 895302596 /nfs/dbraw/zinc/30/25/96/895302596.db2.gz UPZMFTPKXRBXND-ZDUSSCGKSA-N 1 2 310.829 1.686 20 30 DDEDLO CCC[C@@H](OC)C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001366976430 895474308 /nfs/dbraw/zinc/47/43/08/895474308.db2.gz TUAKHORLVMMUKU-QGZVFWFLSA-N 1 2 315.417 1.921 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C[C@H](C)N(C)C(=O)c2cc(C#N)c[nH]2)n1 ZINC001367356859 896544254 /nfs/dbraw/zinc/54/42/54/896544254.db2.gz QOROGXSSFKYFIM-UWVGGRQHSA-N 1 2 316.365 1.389 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C[C@@H](C)N(C)C(=O)c2cc(C#N)c[nH]2)n1 ZINC001367356858 896544431 /nfs/dbraw/zinc/54/44/31/896544431.db2.gz QOROGXSSFKYFIM-NXEZZACHSA-N 1 2 316.365 1.389 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)C[C@H]2CC[C@@H](C3CC3)O2)C1 ZINC001278891813 897136530 /nfs/dbraw/zinc/13/65/30/897136530.db2.gz QWWAZGWHFMZZOQ-CABCVRRESA-N 1 2 308.422 1.073 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)COc2cccc(CC)c2)C1 ZINC001278927326 897357835 /nfs/dbraw/zinc/35/78/35/897357835.db2.gz RLCSUBJMSQHLKS-UHFFFAOYSA-N 1 2 318.417 1.367 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)N1CCc2[nH+]ccn2CC1 ZINC001258243863 898121287 /nfs/dbraw/zinc/12/12/87/898121287.db2.gz YOPAVOXNWIYNLP-UHFFFAOYSA-N 1 2 302.359 1.002 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@]1(C)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001299563330 898527975 /nfs/dbraw/zinc/52/79/75/898527975.db2.gz DKTLYXQPNAJGSW-RHSMWYFYSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Br)C[NH2+]C[C@H](C)NC(=O)C(F)C(F)(F)F ZINC001374892948 914264898 /nfs/dbraw/zinc/26/48/98/914264898.db2.gz QARSRARVFPAZEF-BQBZGAKWSA-N 1 2 321.112 1.890 20 30 DDEDLO C=C(Br)C[NH2+]C[C@H](C)NC(=O)[C@H](F)C(F)(F)F ZINC001374892948 914264911 /nfs/dbraw/zinc/26/49/11/914264911.db2.gz QARSRARVFPAZEF-BQBZGAKWSA-N 1 2 321.112 1.890 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](C)(NC(=O)COCC)C1 ZINC001368161264 898860839 /nfs/dbraw/zinc/86/08/39/898860839.db2.gz PKLSLNHEWZZIEU-LBPRGKRZSA-N 1 2 305.216 1.512 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](C)(NC(=O)COCC)C1 ZINC001368161264 898860854 /nfs/dbraw/zinc/86/08/54/898860854.db2.gz PKLSLNHEWZZIEU-LBPRGKRZSA-N 1 2 305.216 1.512 20 30 DDEDLO COc1ccc(S(=O)(=O)NC2(C#N)CC[NH+](C)CC2)cc1C ZINC001260642380 899197272 /nfs/dbraw/zinc/19/72/72/899197272.db2.gz OVZXPDUSDNVYBZ-UHFFFAOYSA-N 1 2 323.418 1.270 20 30 DDEDLO C#CCCN(CCOC)c1nnc([C@H]2CCCC[N@@H+]2C)n1C ZINC001262904080 900414220 /nfs/dbraw/zinc/41/42/20/900414220.db2.gz GGZURXKVACERRB-CQSZACIVSA-N 1 2 305.426 1.448 20 30 DDEDLO C#CCCN(CCOC)c1nnc([C@H]2CCCC[N@H+]2C)n1C ZINC001262904080 900414227 /nfs/dbraw/zinc/41/42/27/900414227.db2.gz GGZURXKVACERRB-CQSZACIVSA-N 1 2 305.426 1.448 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC(C)(C)C[C@@H]1C ZINC001262947500 900432211 /nfs/dbraw/zinc/43/22/11/900432211.db2.gz MWLRMPACSOPQJC-MJBXVCDLSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC(C)(C)C[C@@H]1C ZINC001262947500 900432215 /nfs/dbraw/zinc/43/22/15/900432215.db2.gz MWLRMPACSOPQJC-MJBXVCDLSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C/C=C(/C)C=C ZINC001263808225 900722758 /nfs/dbraw/zinc/72/27/58/900722758.db2.gz YONCVYFSBVDMON-GSHXUFRSSA-N 1 2 305.422 1.344 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C/C=C(/C)C=C ZINC001263808225 900722764 /nfs/dbraw/zinc/72/27/64/900722764.db2.gz YONCVYFSBVDMON-GSHXUFRSSA-N 1 2 305.422 1.344 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@H]1CN(C)C(=O)CS(=O)(=O)C(C)C ZINC001263821039 900735991 /nfs/dbraw/zinc/73/59/91/900735991.db2.gz LHXGGAFVUXBMEW-AWEZNQCLSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@H]1CN(C)C(=O)CS(=O)(=O)C(C)C ZINC001263821039 900735999 /nfs/dbraw/zinc/73/59/99/900735999.db2.gz LHXGGAFVUXBMEW-AWEZNQCLSA-N 1 2 316.467 1.309 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)[C@@H]1C ZINC001264139215 900971005 /nfs/dbraw/zinc/97/10/05/900971005.db2.gz GZJNPJSNGSJGQJ-MCIONIFRSA-N 1 2 308.426 1.031 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)[C@@H]1C ZINC001264139215 900971008 /nfs/dbraw/zinc/97/10/08/900971008.db2.gz GZJNPJSNGSJGQJ-MCIONIFRSA-N 1 2 308.426 1.031 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)[C@H]1C[C@H]1C(=O)OC)C(C)C ZINC001369514578 901426434 /nfs/dbraw/zinc/42/64/34/901426434.db2.gz HNTDFNANCLSKLE-QWHCGFSZSA-N 1 2 316.829 1.717 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)[C@H]1C[C@H]1C(=O)OC)C(C)C ZINC001369514578 901426438 /nfs/dbraw/zinc/42/64/38/901426438.db2.gz HNTDFNANCLSKLE-QWHCGFSZSA-N 1 2 316.829 1.717 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@H+](C)CCN(C(=O)[C@H](C)C#N)C(C)C ZINC001369515828 901428445 /nfs/dbraw/zinc/42/84/45/901428445.db2.gz NFRSSCPNXVXEFK-KGLIPLIRSA-N 1 2 310.442 1.230 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@@H+](C)CCN(C(=O)[C@H](C)C#N)C(C)C ZINC001369515828 901428454 /nfs/dbraw/zinc/42/84/54/901428454.db2.gz NFRSSCPNXVXEFK-KGLIPLIRSA-N 1 2 310.442 1.230 20 30 DDEDLO CC[C@@H](F)C[NH+]1CCN(CCCNC(=O)C#CC2CC2)CC1 ZINC001265243331 901767748 /nfs/dbraw/zinc/76/77/48/901767748.db2.gz NTIGVYQMRUOOQY-MRXNPFEDSA-N 1 2 309.429 1.272 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1C[NH+](Cc2cn(C)nc2C(F)F)C1 ZINC001391477336 901818289 /nfs/dbraw/zinc/81/82/89/901818289.db2.gz QSWINDXFRSNFFP-SECBINFHSA-N 1 2 311.336 1.065 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CC[N@@H+](CC(=O)N[C@@H](C)CC)C2)CC1 ZINC001265301444 901854663 /nfs/dbraw/zinc/85/46/63/901854663.db2.gz KXXLMPCAKROQPA-GOEBONIOSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CC[N@H+](CC(=O)N[C@@H](C)CC)C2)CC1 ZINC001265301444 901854672 /nfs/dbraw/zinc/85/46/72/901854672.db2.gz KXXLMPCAKROQPA-GOEBONIOSA-N 1 2 321.465 1.838 20 30 DDEDLO COc1cc(CNC(=O)NCc2ccc[nH+]c2N)ccc1C#N ZINC001369745318 901857131 /nfs/dbraw/zinc/85/71/31/901857131.db2.gz ZWPMTQYRTUUVKY-UHFFFAOYSA-N 1 2 311.345 1.543 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC001265311951 901870552 /nfs/dbraw/zinc/87/05/52/901870552.db2.gz DJTQCIKNARPVET-JYJNAYRXSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC001265311951 901870556 /nfs/dbraw/zinc/87/05/56/901870556.db2.gz DJTQCIKNARPVET-JYJNAYRXSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCCC2(C)C)C1 ZINC001265318744 901881745 /nfs/dbraw/zinc/88/17/45/901881745.db2.gz CKUJLBSADBSXQX-LSDHHAIUSA-N 1 2 319.449 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCCC2(C)C)C1 ZINC001265318744 901881758 /nfs/dbraw/zinc/88/17/58/901881758.db2.gz CKUJLBSADBSXQX-LSDHHAIUSA-N 1 2 319.449 1.143 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@@H]1CC[N@H+](CC(=O)NCCC(C)(C)C)C1 ZINC001391608563 902131992 /nfs/dbraw/zinc/13/19/92/902131992.db2.gz FVNXMWPDXICRPF-ZIAGYGMSSA-N 1 2 322.453 1.231 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NCCC(C)(C)C)C1 ZINC001391608563 902132005 /nfs/dbraw/zinc/13/20/05/902132005.db2.gz FVNXMWPDXICRPF-ZIAGYGMSSA-N 1 2 322.453 1.231 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)CCn2cncn2)C1 ZINC001391628206 902185640 /nfs/dbraw/zinc/18/56/40/902185640.db2.gz NLJAVORKYLTDRZ-ZDUSSCGKSA-N 1 2 311.817 1.344 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)CCn2cncn2)C1 ZINC001391628206 902185650 /nfs/dbraw/zinc/18/56/50/902185650.db2.gz NLJAVORKYLTDRZ-ZDUSSCGKSA-N 1 2 311.817 1.344 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2nnc(C)o2)C1 ZINC001265949717 902649269 /nfs/dbraw/zinc/64/92/69/902649269.db2.gz ZTISLBLMCVIKJV-AAEUAGOBSA-N 1 2 308.382 1.222 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCCC[N@@H+](C)Cc1cnon1 ZINC001265962348 902668878 /nfs/dbraw/zinc/66/88/78/902668878.db2.gz ZEPFTMIURCSPER-UHFFFAOYSA-N 1 2 312.373 1.232 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCCC[N@H+](C)Cc1cnon1 ZINC001265962348 902668887 /nfs/dbraw/zinc/66/88/87/902668887.db2.gz ZEPFTMIURCSPER-UHFFFAOYSA-N 1 2 312.373 1.232 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)C[N@H+](C)Cc1nc(-c2ccoc2)no1 ZINC001375025798 914685505 /nfs/dbraw/zinc/68/55/05/914685505.db2.gz YMJZISUDKWJJNJ-QWRGUYRKSA-N 1 2 317.349 1.426 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)C[N@@H+](C)Cc1nc(-c2ccoc2)no1 ZINC001375025798 914685514 /nfs/dbraw/zinc/68/55/14/914685514.db2.gz YMJZISUDKWJJNJ-QWRGUYRKSA-N 1 2 317.349 1.426 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001280503409 903743188 /nfs/dbraw/zinc/74/31/88/903743188.db2.gz HZAFQMDGSCPCQO-CQSZACIVSA-N 1 2 318.421 1.499 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001280503409 903743199 /nfs/dbraw/zinc/74/31/99/903743199.db2.gz HZAFQMDGSCPCQO-CQSZACIVSA-N 1 2 318.421 1.499 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001392294932 903796206 /nfs/dbraw/zinc/79/62/06/903796206.db2.gz XXWYKQQVKMMDQX-KGLIPLIRSA-N 1 2 300.830 1.944 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001392294932 903796215 /nfs/dbraw/zinc/79/62/15/903796215.db2.gz XXWYKQQVKMMDQX-KGLIPLIRSA-N 1 2 300.830 1.944 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC([C@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001280656747 903889308 /nfs/dbraw/zinc/88/93/08/903889308.db2.gz ZDYJBQZPIVWIFJ-SJCJKPOMSA-N 1 2 318.421 1.518 20 30 DDEDLO Cc1nnc(C[N@@H+](C)CCCN(C)C(=O)C#CC(C)(C)C)o1 ZINC001280813201 904076261 /nfs/dbraw/zinc/07/62/61/904076261.db2.gz CYJFTAZYQLIJGG-UHFFFAOYSA-N 1 2 306.410 1.708 20 30 DDEDLO Cc1nnc(C[N@H+](C)CCCN(C)C(=O)C#CC(C)(C)C)o1 ZINC001280813201 904076269 /nfs/dbraw/zinc/07/62/69/904076269.db2.gz CYJFTAZYQLIJGG-UHFFFAOYSA-N 1 2 306.410 1.708 20 30 DDEDLO CC(C)C#CC(=O)N1CCOC2(C[NH+](CC[C@H]3CCCO3)C2)C1 ZINC001280899482 904166760 /nfs/dbraw/zinc/16/67/60/904166760.db2.gz RWCZLEXAMDVLNO-MRXNPFEDSA-N 1 2 320.433 1.128 20 30 DDEDLO CCC1(NCC#N)CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)CC1 ZINC001281030975 904333949 /nfs/dbraw/zinc/33/39/49/904333949.db2.gz IAWGGLLQSOOFNI-ZIAGYGMSSA-N 1 2 315.421 1.408 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H]1C ZINC001281153571 904486211 /nfs/dbraw/zinc/48/62/11/904486211.db2.gz MITCSUYYWBUNIN-AAEUAGOBSA-N 1 2 304.394 1.270 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@@H]2C1 ZINC001282297709 905669244 /nfs/dbraw/zinc/66/92/44/905669244.db2.gz JVVSIWVEMOCGES-CABCVRRESA-N 1 2 316.405 1.156 20 30 DDEDLO C#CCCCC(=O)NC1(CCO)C[NH+](Cc2ccccc2)C1 ZINC001282511050 905840246 /nfs/dbraw/zinc/84/02/46/905840246.db2.gz LQKFFMNFTJQLKG-UHFFFAOYSA-N 1 2 300.402 1.543 20 30 DDEDLO CCn1ccc(C[NH+]2CC([C@H](C)NC(=O)CSCC#N)C2)n1 ZINC001282690453 905949297 /nfs/dbraw/zinc/94/92/97/905949297.db2.gz SVHGSEUJZSBVFL-LBPRGKRZSA-N 1 2 321.450 1.096 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C1C[NH+](Cc2cc(C)n(C)n2)C1 ZINC001282705159 905967551 /nfs/dbraw/zinc/96/75/51/905967551.db2.gz BUKVOWRFGONTII-AWEZNQCLSA-N 1 2 302.422 1.469 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001282870983 906131425 /nfs/dbraw/zinc/13/14/25/906131425.db2.gz PMOZSDFMXUOSTP-ZDUSSCGKSA-N 1 2 304.394 1.225 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001283469041 907401625 /nfs/dbraw/zinc/40/16/25/907401625.db2.gz POCMMRVWEPSULU-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@H+](CC(N)=O)[C@@H]2C)CCCCC1 ZINC001284151500 908553045 /nfs/dbraw/zinc/55/30/45/908553045.db2.gz FVFCOOBPCSGANU-HUUCEWRRSA-N 1 2 321.465 1.967 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CCC[N@@H+](CC(N)=O)[C@@H]2C)CCCCC1 ZINC001284151500 908553055 /nfs/dbraw/zinc/55/30/55/908553055.db2.gz FVFCOOBPCSGANU-HUUCEWRRSA-N 1 2 321.465 1.967 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCn2cccn2)C(C)(C)C1 ZINC001394276963 909234100 /nfs/dbraw/zinc/23/41/00/909234100.db2.gz DHMLEMXQLVWJHF-ZDUSSCGKSA-N 1 2 310.829 1.852 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCn2cccn2)C(C)(C)C1 ZINC001394276963 909234115 /nfs/dbraw/zinc/23/41/15/909234115.db2.gz DHMLEMXQLVWJHF-ZDUSSCGKSA-N 1 2 310.829 1.852 20 30 DDEDLO C=C(C)CCC(=O)NCCN(C(=O)Cc1c[nH+]c[nH]1)C1CC1 ZINC001284736719 909423873 /nfs/dbraw/zinc/42/38/73/909423873.db2.gz OVFGSVBAZQRFKB-UHFFFAOYSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001284746495 909435042 /nfs/dbraw/zinc/43/50/42/909435042.db2.gz OBTVKVZAHMYFRD-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001284746495 909435051 /nfs/dbraw/zinc/43/50/51/909435051.db2.gz OBTVKVZAHMYFRD-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO CC(C)N(CCCNC(=O)Cn1cc[nH+]c1)C(=O)C#CC1CC1 ZINC001284782724 909487270 /nfs/dbraw/zinc/48/72/70/909487270.db2.gz LXYXVPHNLQCDIR-UHFFFAOYSA-N 1 2 316.405 1.040 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(C3CC3)no2)C1 ZINC001373369057 909647710 /nfs/dbraw/zinc/64/77/10/909647710.db2.gz IJEDGFOYJXQURE-DGCLKSJQSA-N 1 2 302.378 1.792 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C3CC3)no2)C1 ZINC001373369057 909647719 /nfs/dbraw/zinc/64/77/19/909647719.db2.gz IJEDGFOYJXQURE-DGCLKSJQSA-N 1 2 302.378 1.792 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(C3CC3)no2)C1 ZINC001373369076 909649191 /nfs/dbraw/zinc/64/91/91/909649191.db2.gz IJEDGFOYJXQURE-WCQYABFASA-N 1 2 302.378 1.792 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C3CC3)no2)C1 ZINC001373369076 909649202 /nfs/dbraw/zinc/64/92/02/909649202.db2.gz IJEDGFOYJXQURE-WCQYABFASA-N 1 2 302.378 1.792 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1C[NH+](CCn2cc(-c3ccccc3)cn2)C1 ZINC001373416608 909801556 /nfs/dbraw/zinc/80/15/56/909801556.db2.gz LPLUKZIMHSURBZ-AWEZNQCLSA-N 1 2 323.400 1.510 20 30 DDEDLO CC[C@@H](CNC(=O)C#CC1CC1)NC(=O)c1cccc2[nH+]ccn21 ZINC001285057839 910015548 /nfs/dbraw/zinc/01/55/48/910015548.db2.gz ZBWISLSWQMGQJN-AWEZNQCLSA-N 1 2 324.384 1.372 20 30 DDEDLO CC(C)C[C@H](C(=O)NCCN(C)C(=O)[C@@H](C)C#N)n1cc[nH+]c1 ZINC001373499983 910019987 /nfs/dbraw/zinc/01/99/87/910019987.db2.gz ALPHDTOTQGHZGT-UONOGXRCSA-N 1 2 319.409 1.205 20 30 DDEDLO C=CCCC(=O)N[C@H](CC)CNC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001285072408 910032942 /nfs/dbraw/zinc/03/29/42/910032942.db2.gz WZGHBUYJFOYTSV-CQSZACIVSA-N 1 2 320.437 1.904 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@H]23)n1 ZINC001394669876 910219621 /nfs/dbraw/zinc/21/96/21/910219621.db2.gz AHNVGXSJINDQIR-MQIPJXDCSA-N 1 2 317.393 1.405 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@]3(NC(=O)[C@@H](C)C#N)CCC[C@H]23)n1 ZINC001394669876 910219636 /nfs/dbraw/zinc/21/96/36/910219636.db2.gz AHNVGXSJINDQIR-MQIPJXDCSA-N 1 2 317.393 1.405 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CN(C)C(C)=O)[C@H]1C ZINC001394685066 910276360 /nfs/dbraw/zinc/27/63/60/910276360.db2.gz BVDYSVQCDJAFNQ-DGCLKSJQSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CN(C)C(C)=O)[C@H]1C ZINC001394685066 910276371 /nfs/dbraw/zinc/27/63/71/910276371.db2.gz BVDYSVQCDJAFNQ-DGCLKSJQSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCn2ccnn2)[C@H]1C ZINC001394698495 910329748 /nfs/dbraw/zinc/32/97/48/910329748.db2.gz YQHFHAGUUFRSPN-OLZOCXBDSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCn2ccnn2)[C@H]1C ZINC001394698495 910329762 /nfs/dbraw/zinc/32/97/62/910329762.db2.gz YQHFHAGUUFRSPN-OLZOCXBDSA-N 1 2 311.817 1.390 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001285348745 910390927 /nfs/dbraw/zinc/39/09/27/910390927.db2.gz ZHMDNTAXVXSVCL-UKRRQHHQSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CN(C(=O)Cc3[nH]cc[nH+]3)CC[C@@H]21 ZINC001285399493 910463917 /nfs/dbraw/zinc/46/39/17/910463917.db2.gz ZYDVTSPDCNGNNF-KBPBESRZSA-N 1 2 316.405 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)CN1CCCC1=O)C(C)C ZINC001394785223 910546665 /nfs/dbraw/zinc/54/66/65/910546665.db2.gz DCVFEZGUPUKTAY-UHFFFAOYSA-N 1 2 315.845 1.530 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)CN1CCCC1=O)C(C)C ZINC001394785223 910546676 /nfs/dbraw/zinc/54/66/76/910546676.db2.gz DCVFEZGUPUKTAY-UHFFFAOYSA-N 1 2 315.845 1.530 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)C[C@H]1CCC(=O)N1)C(C)C ZINC001394806395 910613270 /nfs/dbraw/zinc/61/32/70/910613270.db2.gz JUBMOKNWJXXQSE-CYBMUJFWSA-N 1 2 315.845 1.576 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)C[C@H]1CCC(=O)N1)C(C)C ZINC001394806395 910613276 /nfs/dbraw/zinc/61/32/76/910613276.db2.gz JUBMOKNWJXXQSE-CYBMUJFWSA-N 1 2 315.845 1.576 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCN1C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001285839103 911266190 /nfs/dbraw/zinc/26/61/90/911266190.db2.gz SOXLLONNPSLSLP-KBPBESRZSA-N 1 2 316.405 1.129 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](NC(=O)COCC)[C@H]1C ZINC001397224213 915360257 /nfs/dbraw/zinc/36/02/57/915360257.db2.gz FUVPJZNGSNWDPE-MNOVXSKESA-N 1 2 305.216 1.511 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](NC(=O)COCC)[C@H]1C ZINC001397224213 915360272 /nfs/dbraw/zinc/36/02/72/915360272.db2.gz FUVPJZNGSNWDPE-MNOVXSKESA-N 1 2 305.216 1.511 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001294941519 915471420 /nfs/dbraw/zinc/47/14/20/915471420.db2.gz BEZFODMBXICAOQ-SWLSCSKDSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001295509963 915862809 /nfs/dbraw/zinc/86/28/09/915862809.db2.gz IZSAIJJUQBBMOM-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001295509963 915862827 /nfs/dbraw/zinc/86/28/27/915862827.db2.gz IZSAIJJUQBBMOM-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO CC#CCCCC(=O)NCCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001296197884 916272964 /nfs/dbraw/zinc/27/29/64/916272964.db2.gz DAAJMLQYVXDKND-ZIAGYGMSSA-N 1 2 316.405 1.281 20 30 DDEDLO CC#CCCCC(=O)NCCN(C)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001296197884 916272982 /nfs/dbraw/zinc/27/29/82/916272982.db2.gz DAAJMLQYVXDKND-ZIAGYGMSSA-N 1 2 316.405 1.281 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](C)C#N)C1 ZINC001376039110 917540293 /nfs/dbraw/zinc/54/02/93/917540293.db2.gz TWXGEKORKYYKAK-QWHCGFSZSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(C)(C)NC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](C)C#N)C1 ZINC001376039110 917540302 /nfs/dbraw/zinc/54/03/02/917540302.db2.gz TWXGEKORKYYKAK-QWHCGFSZSA-N 1 2 308.426 1.031 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@](CO)(NC(=O)[C@@H](C)C#N)C2)sn1 ZINC001376456299 918535443 /nfs/dbraw/zinc/53/54/43/918535443.db2.gz QFOMCKVIAZGGBI-NHYWBVRUSA-N 1 2 322.434 1.054 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@](CO)(NC(=O)[C@@H](C)C#N)C2)sn1 ZINC001376456299 918535456 /nfs/dbraw/zinc/53/54/56/918535456.db2.gz QFOMCKVIAZGGBI-NHYWBVRUSA-N 1 2 322.434 1.054 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)CCc1ccccc1C(N)=O ZINC001377249108 920777951 /nfs/dbraw/zinc/77/79/51/920777951.db2.gz LBIDYDNJDRMLFK-UHFFFAOYSA-N 1 2 323.824 1.519 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)CCc1ccccc1C(N)=O ZINC001377249108 920777958 /nfs/dbraw/zinc/77/79/58/920777958.db2.gz LBIDYDNJDRMLFK-UHFFFAOYSA-N 1 2 323.824 1.519 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2cc(O)cc(C#N)c2)CC1 ZINC000614300474 361796176 /nfs/dbraw/zinc/79/61/76/361796176.db2.gz IEACZBRQMAAFNP-UHFFFAOYSA-N 1 2 317.389 1.447 20 30 DDEDLO CN(Cc1cccc(C#N)c1)C(=O)C(C)(C)[NH+]1CCOCC1 ZINC000278506075 214198222 /nfs/dbraw/zinc/19/82/22/214198222.db2.gz AWSNKOZEWYWJNF-UHFFFAOYSA-N 1 2 301.390 1.627 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNc2nc(C(F)(F)F)ccc2C#N)C1 ZINC000078835295 185118215 /nfs/dbraw/zinc/11/82/15/185118215.db2.gz RNYANRHNOHSYQX-JTQLQIEISA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNc2nc(C(F)(F)F)ccc2C#N)C1 ZINC000078835295 185118217 /nfs/dbraw/zinc/11/82/17/185118217.db2.gz RNYANRHNOHSYQX-JTQLQIEISA-N 1 2 300.284 1.715 20 30 DDEDLO N#Cc1cc(C[NH+]2CCN(c3cc(C4CC4)[nH]n3)CC2)ccn1 ZINC000614585318 361931063 /nfs/dbraw/zinc/93/10/63/361931063.db2.gz QRYMSLDVVLXWRW-UHFFFAOYSA-N 1 2 308.389 1.876 20 30 DDEDLO CC(=O)N1CC[C@H](C(=O)NC[C@H]2CCCn3cc(C)[nH+]c32)C1 ZINC000328846078 539299230 /nfs/dbraw/zinc/29/92/30/539299230.db2.gz VLAMCHHTNAJXKX-KGLIPLIRSA-N 1 2 304.394 1.894 20 30 DDEDLO C[C@H](CNC(=O)NC[C@H]1CCCSC1)[NH+]1CCOCC1 ZINC000329247229 539302066 /nfs/dbraw/zinc/30/20/66/539302066.db2.gz RPYOBJJNFAPMSF-CHWSQXEVSA-N 1 2 301.456 1.354 20 30 DDEDLO C[N@H+](CC[C@@H]1CCCCO1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000329474090 539304892 /nfs/dbraw/zinc/30/48/92/539304892.db2.gz BNMPTPPDGUBSDT-STQMWFEESA-N 1 2 318.439 1.021 20 30 DDEDLO C[N@@H+](CC[C@@H]1CCCCO1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000329474090 539304893 /nfs/dbraw/zinc/30/48/93/539304893.db2.gz BNMPTPPDGUBSDT-STQMWFEESA-N 1 2 318.439 1.021 20 30 DDEDLO Cc1cc(C#N)nc(N(CC2CC2)C[C@H]2C[N@H+](C)CCO2)n1 ZINC000286635361 219096372 /nfs/dbraw/zinc/09/63/72/219096372.db2.gz KPDWMFZFUIAZLK-OAHLLOKOSA-N 1 2 301.394 1.204 20 30 DDEDLO Cc1cc(C#N)nc(N(CC2CC2)C[C@H]2C[N@@H+](C)CCO2)n1 ZINC000286635361 219096373 /nfs/dbraw/zinc/09/63/73/219096373.db2.gz KPDWMFZFUIAZLK-OAHLLOKOSA-N 1 2 301.394 1.204 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3nc(C4CC4)cs3)C[C@@H]21 ZINC000329922054 529786388 /nfs/dbraw/zinc/78/63/88/529786388.db2.gz GCEPNHCXWVKLAH-NWDGAFQWSA-N 1 2 308.407 1.581 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3nc(C4CC4)cs3)C[C@@H]21 ZINC000329922054 529786389 /nfs/dbraw/zinc/78/63/89/529786389.db2.gz GCEPNHCXWVKLAH-NWDGAFQWSA-N 1 2 308.407 1.581 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3nc(C4CC4)cs3)C[C@H]21 ZINC000329922053 529791125 /nfs/dbraw/zinc/79/11/25/529791125.db2.gz GCEPNHCXWVKLAH-NEPJUHHUSA-N 1 2 308.407 1.581 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3nc(C4CC4)cs3)C[C@H]21 ZINC000329922053 529791127 /nfs/dbraw/zinc/79/11/27/529791127.db2.gz GCEPNHCXWVKLAH-NEPJUHHUSA-N 1 2 308.407 1.581 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(c2cnccn2)CC1 ZINC000156346788 290711378 /nfs/dbraw/zinc/71/13/78/290711378.db2.gz WANYUKAWFYSUQZ-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO N#C[C@]1(F)CCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000615531932 362321824 /nfs/dbraw/zinc/32/18/24/362321824.db2.gz SJGSFSVQHSSKDV-OAHLLOKOSA-N 1 2 305.357 1.409 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)c1[nH]ccc1C1CC1 ZINC000457521223 232071786 /nfs/dbraw/zinc/07/17/86/232071786.db2.gz YKGTVQDLRYRBAZ-UHFFFAOYSA-N 1 2 316.405 1.580 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)ncc1C#N ZINC000457545272 232076124 /nfs/dbraw/zinc/07/61/24/232076124.db2.gz XWYNLLBWAOITDJ-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+]2CCOC(C)(C)C2)ncc1C#N ZINC000457545272 232076127 /nfs/dbraw/zinc/07/61/27/232076127.db2.gz XWYNLLBWAOITDJ-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO Cc1cc(C(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)ncc1C#N ZINC000457544209 232076188 /nfs/dbraw/zinc/07/61/88/232076188.db2.gz PBEURGQFNSEVAL-OLZOCXBDSA-N 1 2 302.378 1.101 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)CSCc2ccc(C#N)cc2)c1 ZINC000457867259 232145130 /nfs/dbraw/zinc/14/51/30/232145130.db2.gz WTHUGVSOEUILTK-UHFFFAOYSA-N 1 2 314.414 1.884 20 30 DDEDLO C=CCOc1ccccc1CNC(=O)NC[C@@H]1COCC[N@H+]1C ZINC000623598064 365950240 /nfs/dbraw/zinc/95/02/40/365950240.db2.gz NYYIGRGJIZKMDE-OAHLLOKOSA-N 1 2 319.405 1.381 20 30 DDEDLO C=CCOc1ccccc1CNC(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000623598064 365950245 /nfs/dbraw/zinc/95/02/45/365950245.db2.gz NYYIGRGJIZKMDE-OAHLLOKOSA-N 1 2 319.405 1.381 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCC[C@@](F)(CO)C1 ZINC000287093456 219309175 /nfs/dbraw/zinc/30/91/75/219309175.db2.gz WAEKVPMLMXRVHC-WBMJQRKESA-N 1 2 305.353 1.682 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCC[C@@](F)(CO)C1 ZINC000287093456 219309179 /nfs/dbraw/zinc/30/91/79/219309179.db2.gz WAEKVPMLMXRVHC-WBMJQRKESA-N 1 2 305.353 1.682 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)CC(=O)NC(C)(C)C ZINC000007659542 352126263 /nfs/dbraw/zinc/12/62/63/352126263.db2.gz LSKQRNISEYYSHP-LBPRGKRZSA-N 1 2 316.405 1.732 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)CC(=O)NC(C)(C)C ZINC000007659542 352126267 /nfs/dbraw/zinc/12/62/67/352126267.db2.gz LSKQRNISEYYSHP-LBPRGKRZSA-N 1 2 316.405 1.732 20 30 DDEDLO COc1c(C)[nH+]c(C2CC2)nc1N1CCN(CCC#N)CC1 ZINC000601262083 358468981 /nfs/dbraw/zinc/46/89/81/358468981.db2.gz VDUQRRCDRCWDIZ-UHFFFAOYSA-N 1 2 301.394 1.707 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ncnc4[nH]cnc43)CC2)cc1 ZINC000047509327 352499643 /nfs/dbraw/zinc/49/96/43/352499643.db2.gz PTOPYNAQSCFNFG-UHFFFAOYSA-N 1 2 319.372 1.547 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000050091974 352561814 /nfs/dbraw/zinc/56/18/14/352561814.db2.gz DLTONAMBSMIOPL-LBPRGKRZSA-N 1 2 318.377 1.409 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@H](C)c2cc(F)ccc2F)CC1 ZINC000056490029 352775430 /nfs/dbraw/zinc/77/54/30/352775430.db2.gz KSSYMTCLEUKFCV-CYBMUJFWSA-N 1 2 323.387 1.946 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cc(C)ccc2F)CC1 ZINC000064432566 352937079 /nfs/dbraw/zinc/93/70/79/352937079.db2.gz FRBMMEVPDPVTIG-UHFFFAOYSA-N 1 2 310.394 1.464 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@H+](CC)C[C@@H](C)C#N)CC1 ZINC000066424526 352995981 /nfs/dbraw/zinc/99/59/81/352995981.db2.gz STSCQVDSIUOPBP-ZDUSSCGKSA-N 1 2 309.410 1.270 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@@H+](CC)C[C@@H](C)C#N)CC1 ZINC000066424526 352995984 /nfs/dbraw/zinc/99/59/84/352995984.db2.gz STSCQVDSIUOPBP-ZDUSSCGKSA-N 1 2 309.410 1.270 20 30 DDEDLO C[N@H+](CC(=O)N(CCC#N)CCC#N)Cc1cccc(O)c1 ZINC000080918405 353637070 /nfs/dbraw/zinc/63/70/70/353637070.db2.gz JPHWMXLSBYIBQB-UHFFFAOYSA-N 1 2 300.362 1.480 20 30 DDEDLO C[N@@H+](CC(=O)N(CCC#N)CCC#N)Cc1cccc(O)c1 ZINC000080918405 353637073 /nfs/dbraw/zinc/63/70/73/353637073.db2.gz JPHWMXLSBYIBQB-UHFFFAOYSA-N 1 2 300.362 1.480 20 30 DDEDLO CC(C)OCCN1CC[NH+](CCOc2cccc(C#N)c2)CC1 ZINC000081638740 353685956 /nfs/dbraw/zinc/68/59/56/353685956.db2.gz XLHDWHVCWTWHJS-UHFFFAOYSA-N 1 2 317.433 1.980 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cccc(C(F)F)c2)CC1 ZINC000085275604 353731828 /nfs/dbraw/zinc/73/18/28/353731828.db2.gz QTDZTKRIDNLDKE-UHFFFAOYSA-N 1 2 307.344 1.814 20 30 DDEDLO C[C@@H]1CO[C@@H](CO)C[N@@H+]1Cc1cccc(OCCCC#N)c1 ZINC000092041711 353842001 /nfs/dbraw/zinc/84/20/01/353842001.db2.gz WOQNYQFOCQAXAP-RHSMWYFYSA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@@H]1CO[C@@H](CO)C[N@H+]1Cc1cccc(OCCCC#N)c1 ZINC000092041711 353842003 /nfs/dbraw/zinc/84/20/03/353842003.db2.gz WOQNYQFOCQAXAP-RHSMWYFYSA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@@H]1CC[N@H+](CC(=O)Nc2ccccc2SCC#N)C[C@@H]1O ZINC000093342400 353897076 /nfs/dbraw/zinc/89/70/76/353897076.db2.gz ZBAUBEBECDTYHZ-OCCSQVGLSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC(=O)Nc2ccccc2SCC#N)C[C@@H]1O ZINC000093342400 353897079 /nfs/dbraw/zinc/89/70/79/353897079.db2.gz ZBAUBEBECDTYHZ-OCCSQVGLSA-N 1 2 319.430 1.943 20 30 DDEDLO CC[C@](C)(C#N)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000110677790 353962394 /nfs/dbraw/zinc/96/23/94/353962394.db2.gz XLQQWDBLQFMISK-QGZVFWFLSA-N 1 2 301.390 1.941 20 30 DDEDLO C=CC[N@@H+](CCCC(=O)OC(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000182161237 354272199 /nfs/dbraw/zinc/27/21/99/354272199.db2.gz NPUJPMKWAAYRIR-CYBMUJFWSA-N 1 2 317.451 1.783 20 30 DDEDLO C=CC[N@H+](CCCC(=O)OC(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000182161237 354272201 /nfs/dbraw/zinc/27/22/01/354272201.db2.gz NPUJPMKWAAYRIR-CYBMUJFWSA-N 1 2 317.451 1.783 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](Cc2ccccc2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000329033156 222922348 /nfs/dbraw/zinc/92/23/48/222922348.db2.gz QJFOWNROPYGZJZ-LSDHHAIUSA-N 1 2 322.430 1.652 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](Cc2ccccc2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000329033156 222922350 /nfs/dbraw/zinc/92/23/50/222922350.db2.gz QJFOWNROPYGZJZ-LSDHHAIUSA-N 1 2 322.430 1.652 20 30 DDEDLO C=C(C)CCNC(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000624152615 366207727 /nfs/dbraw/zinc/20/77/27/366207727.db2.gz CVHDDVYZDGIDLR-INIZCTEOSA-N 1 2 318.421 1.548 20 30 DDEDLO C=C(C)CCNC(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000624152615 366207737 /nfs/dbraw/zinc/20/77/37/366207737.db2.gz CVHDDVYZDGIDLR-INIZCTEOSA-N 1 2 318.421 1.548 20 30 DDEDLO N#CC1(CNC(=O)Nc2ccccc2C[NH+]2CCOCC2)CC1 ZINC000581108849 354730859 /nfs/dbraw/zinc/73/08/59/354730859.db2.gz KXGFJIQCABURTD-UHFFFAOYSA-N 1 2 314.389 1.944 20 30 DDEDLO C=CCn1cc(CNC(=O)N2CCC[C@@H]2Cn2cc[nH+]c2)nn1 ZINC000578128989 354704109 /nfs/dbraw/zinc/70/41/09/354704109.db2.gz LANRAWBXNQYPHJ-CQSZACIVSA-N 1 2 315.381 1.035 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CSCc1ccc(C#N)cc1 ZINC000578776168 354712592 /nfs/dbraw/zinc/71/25/92/354712592.db2.gz XOAXCODRYLQUGN-INIZCTEOSA-N 1 2 316.430 1.752 20 30 DDEDLO N#CCCN(CC1CC1)C(=O)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000579193258 354716124 /nfs/dbraw/zinc/71/61/24/354716124.db2.gz FEPNPYFNCVRQAK-UHFFFAOYSA-N 1 2 311.345 1.425 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(CC#N)cc1 ZINC000579831226 354724120 /nfs/dbraw/zinc/72/41/20/354724120.db2.gz NMNVSHTWRUEFHN-CQSZACIVSA-N 1 2 316.405 1.273 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CSc1ncnc2sccc21 ZINC000580939462 354728722 /nfs/dbraw/zinc/72/87/22/354728722.db2.gz DZONSINTKRHTIP-JTQLQIEISA-N 1 2 308.432 1.990 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CSc1ncnc2sccc21 ZINC000580939462 354728724 /nfs/dbraw/zinc/72/87/24/354728724.db2.gz DZONSINTKRHTIP-JTQLQIEISA-N 1 2 308.432 1.990 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@H]1CCCOC1 ZINC000402699744 354669244 /nfs/dbraw/zinc/66/92/44/354669244.db2.gz OAAYPUOAVYYBLB-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@H]1CCCOC1 ZINC000402699744 354669249 /nfs/dbraw/zinc/66/92/49/354669249.db2.gz OAAYPUOAVYYBLB-HNNXBMFYSA-N 1 2 322.430 1.833 20 30 DDEDLO COC(=O)C[C@@](C)([NH2+]Cc1ccnc(C#N)c1)c1ccccn1 ZINC000586769743 354862372 /nfs/dbraw/zinc/86/23/72/354862372.db2.gz YMMOEQYCFAJOSV-QGZVFWFLSA-N 1 2 310.357 1.916 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494320294 235019981 /nfs/dbraw/zinc/01/99/81/235019981.db2.gz XLYVZFVWIHEVJE-AWEZNQCLSA-N 1 2 301.346 1.464 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494320294 235019983 /nfs/dbraw/zinc/01/99/83/235019983.db2.gz XLYVZFVWIHEVJE-AWEZNQCLSA-N 1 2 301.346 1.464 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)CC2(C#N)CCC2)C1 ZINC000589227028 354976254 /nfs/dbraw/zinc/97/62/54/354976254.db2.gz DTUVUFSKAHMQSO-HNNXBMFYSA-N 1 2 319.430 1.786 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)CC2(C#N)CCC2)C1 ZINC000589227028 354976257 /nfs/dbraw/zinc/97/62/57/354976257.db2.gz DTUVUFSKAHMQSO-HNNXBMFYSA-N 1 2 319.430 1.786 20 30 DDEDLO Cn1cc(N2CC[C@H]([NH2+]Cc3cccc(F)c3C#N)C2=O)cn1 ZINC000589821431 355022730 /nfs/dbraw/zinc/02/27/30/355022730.db2.gz VSNUDFMZRHINEC-HNNXBMFYSA-N 1 2 313.336 1.326 20 30 DDEDLO N#CC1(CC(=O)NCc2ccc(N3CCOCC3)[nH+]c2)CC1 ZINC000590135715 355053025 /nfs/dbraw/zinc/05/30/25/355053025.db2.gz KTEAHLOBWKZKTP-UHFFFAOYSA-N 1 2 300.362 1.228 20 30 DDEDLO Cc1nc(N2CCN(C(=O)NCC3(C#N)CCC3)CC2)cc[nH+]1 ZINC000591412305 355306733 /nfs/dbraw/zinc/30/67/33/355306733.db2.gz RGDYCAKSXMMJQM-UHFFFAOYSA-N 1 2 314.393 1.311 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C[C@H]1C ZINC000592127978 355507221 /nfs/dbraw/zinc/50/72/21/355507221.db2.gz CURWBNXGVYJSOX-ZYHUDNBSSA-N 1 2 303.318 1.707 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C[C@H]1C ZINC000592127978 355507223 /nfs/dbraw/zinc/50/72/23/355507223.db2.gz CURWBNXGVYJSOX-ZYHUDNBSSA-N 1 2 303.318 1.707 20 30 DDEDLO COc1ccc(NC(=O)[C@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592149480 355516091 /nfs/dbraw/zinc/51/60/91/355516091.db2.gz PRIWXMPJEHNBRP-BLLLJJGKSA-N 1 2 303.362 1.373 20 30 DDEDLO COc1ccc(NC(=O)[C@H](C)[N@H+]2CC[C@](O)(CC#N)C2)cc1 ZINC000592149480 355516092 /nfs/dbraw/zinc/51/60/92/355516092.db2.gz PRIWXMPJEHNBRP-BLLLJJGKSA-N 1 2 303.362 1.373 20 30 DDEDLO Cc1ccccc1C[C@@H](C)NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592150751 355517788 /nfs/dbraw/zinc/51/77/88/355517788.db2.gz IMVQYXZXTGSOBS-CRAIPNDOSA-N 1 2 315.417 1.393 20 30 DDEDLO Cc1ccccc1C[C@@H](C)NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592150751 355517791 /nfs/dbraw/zinc/51/77/91/355517791.db2.gz IMVQYXZXTGSOBS-CRAIPNDOSA-N 1 2 315.417 1.393 20 30 DDEDLO COc1ccc(CC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1[N+](=O)[O-] ZINC000593154402 355812961 /nfs/dbraw/zinc/81/29/61/355812961.db2.gz JOQVOOZRHWFZAN-OAHLLOKOSA-N 1 2 320.349 1.106 20 30 DDEDLO CCc1c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cnn1CC(C)C ZINC000593154818 355813667 /nfs/dbraw/zinc/81/36/67/355813667.db2.gz IDDRWJSZPCUGMC-INIZCTEOSA-N 1 2 305.426 1.675 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1coc(-c2ccccc2)n1 ZINC000593155661 355813903 /nfs/dbraw/zinc/81/39/03/355813903.db2.gz PBAWJSYQVDTBPW-KRWDZBQOSA-N 1 2 312.373 1.844 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)NCC[NH+]1CCOCC1 ZINC000594209497 356140328 /nfs/dbraw/zinc/14/03/28/356140328.db2.gz QBBAYDBSXMWMAY-CQSZACIVSA-N 1 2 301.390 1.185 20 30 DDEDLO COc1c(C)[nH+]cnc1N1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000594582217 356255403 /nfs/dbraw/zinc/25/54/03/356255403.db2.gz AQVIWQGETIUTIH-ZDUSSCGKSA-N 1 2 311.345 1.718 20 30 DDEDLO N#CCCCn1cc(C[NH+]2CCN(c3ccccc3)CC2)nn1 ZINC000594648722 356272763 /nfs/dbraw/zinc/27/27/63/356272763.db2.gz CEAWQJDZFBTBMF-UHFFFAOYSA-N 1 2 310.405 1.904 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](Cc2ccccc2)C(=O)OC)nn1 ZINC000594651790 356275690 /nfs/dbraw/zinc/27/56/90/356275690.db2.gz HHGFRNPTRMBUDP-INIZCTEOSA-N 1 2 312.373 1.348 20 30 DDEDLO COc1c(C)[nH+]cnc1N1CCN(c2ccc(C#N)cn2)CC1 ZINC000594549105 356248123 /nfs/dbraw/zinc/24/81/23/356248123.db2.gz WRXJVYWJRWYARJ-UHFFFAOYSA-N 1 2 310.361 1.387 20 30 DDEDLO Cc1cn2ccc(NC(=O)C(=O)N3CC[C@@](C)(C#N)C3)cc2[nH+]1 ZINC000595209330 356408970 /nfs/dbraw/zinc/40/89/70/356408970.db2.gz AMHMHLIUHMZNCO-INIZCTEOSA-N 1 2 311.345 1.343 20 30 DDEDLO C[C@@H](NS(=O)(=O)Cc1ccc(F)cc1C#N)c1[nH+]ccn1C ZINC000595384152 356473770 /nfs/dbraw/zinc/47/37/70/356473770.db2.gz SOHFBARXYSGWPD-SNVBAGLBSA-N 1 2 322.365 1.611 20 30 DDEDLO C[C@H](NC(=O)NCC1(C#N)CCCCC1)[C@H](C)[NH+]1CCOCC1 ZINC000595515772 356528235 /nfs/dbraw/zinc/52/82/35/356528235.db2.gz OHPWUDAAYYIASX-GJZGRUSLSA-N 1 2 322.453 1.869 20 30 DDEDLO COC(=O)[C@@H](CC(C)C)NC(=O)C[N@@H+]1CCC[C@@H](CC#N)C1 ZINC000595609924 356576211 /nfs/dbraw/zinc/57/62/11/356576211.db2.gz QIWBOVPPLJVTHH-UONOGXRCSA-N 1 2 309.410 1.316 20 30 DDEDLO COC(=O)[C@@H](CC(C)C)NC(=O)C[N@H+]1CCC[C@@H](CC#N)C1 ZINC000595609924 356576217 /nfs/dbraw/zinc/57/62/17/356576217.db2.gz QIWBOVPPLJVTHH-UONOGXRCSA-N 1 2 309.410 1.316 20 30 DDEDLO CC(C)(C)NC(=O)C[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595633476 356586837 /nfs/dbraw/zinc/58/68/37/356586837.db2.gz XWDZXLGPGZIEEZ-UHFFFAOYSA-N 1 2 306.435 1.656 20 30 DDEDLO C[C@H]1COC2(CCCC2)C[N@@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000595814435 356661876 /nfs/dbraw/zinc/66/18/76/356661876.db2.gz GNBGUSVMZASTQM-BBRMVZONSA-N 1 2 305.422 1.828 20 30 DDEDLO C[C@H]1COC2(CCCC2)C[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000595814435 356661879 /nfs/dbraw/zinc/66/18/79/356661879.db2.gz GNBGUSVMZASTQM-BBRMVZONSA-N 1 2 305.422 1.828 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000595915959 356704470 /nfs/dbraw/zinc/70/44/70/356704470.db2.gz JRCPQVAPDSSJHQ-RISCZKNCSA-N 1 2 303.353 1.493 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000595915959 356704472 /nfs/dbraw/zinc/70/44/72/356704472.db2.gz JRCPQVAPDSSJHQ-RISCZKNCSA-N 1 2 303.353 1.493 20 30 DDEDLO Cc1cc(N(C)CC[NH+]2CCOCC2)cc(C#N)c1[N+](=O)[O-] ZINC000596105569 356773674 /nfs/dbraw/zinc/77/36/74/356773674.db2.gz VQOMFYCAFALANH-UHFFFAOYSA-N 1 2 304.350 1.543 20 30 DDEDLO N#Cc1cc2cccnc2nc1NCC1([NH+]2CCOCC2)CC1 ZINC000596209608 356815572 /nfs/dbraw/zinc/81/55/72/356815572.db2.gz ACIPTDBRVIUIKF-UHFFFAOYSA-N 1 2 309.373 1.778 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCc2ccc(C#N)cc2)[C@H](C)CO1 ZINC000596126953 356783371 /nfs/dbraw/zinc/78/33/71/356783371.db2.gz QMWOKDPHJBVAFX-ZIAGYGMSSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCc2ccc(C#N)cc2)[C@H](C)CO1 ZINC000596126953 356783372 /nfs/dbraw/zinc/78/33/72/356783372.db2.gz QMWOKDPHJBVAFX-ZIAGYGMSSA-N 1 2 316.405 1.467 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCC(C)(C)CCC#N)[C@@H](C)CO1 ZINC000596600806 356929958 /nfs/dbraw/zinc/92/99/58/356929958.db2.gz KDOCOMBZLYGRQR-KBPBESRZSA-N 1 2 310.442 1.725 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCC(C)(C)CCC#N)[C@@H](C)CO1 ZINC000596600806 356929959 /nfs/dbraw/zinc/92/99/59/356929959.db2.gz KDOCOMBZLYGRQR-KBPBESRZSA-N 1 2 310.442 1.725 20 30 DDEDLO C=CCCSCCNC(=O)N(C)CC[NH+]1CCOCC1 ZINC000596722605 356961339 /nfs/dbraw/zinc/96/13/39/356961339.db2.gz WYXIFVDCLLEMNY-UHFFFAOYSA-N 1 2 301.456 1.269 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)N1CC(CC#N)C1 ZINC000596803771 356981754 /nfs/dbraw/zinc/98/17/54/356981754.db2.gz SOLRSGWZADMRQL-UHFFFAOYSA-N 1 2 315.377 1.604 20 30 DDEDLO C[C@H](CC#N)C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000596679739 356951241 /nfs/dbraw/zinc/95/12/41/356951241.db2.gz LWMHACPRVKCRFV-ZBFHGGJFSA-N 1 2 301.390 1.726 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000596692108 356954266 /nfs/dbraw/zinc/95/42/66/356954266.db2.gz WIOLKSZOKQJVHZ-ZDUSSCGKSA-N 1 2 301.394 1.983 20 30 DDEDLO Cn1ncc(C2CC2)c1C[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000596983439 357034035 /nfs/dbraw/zinc/03/40/35/357034035.db2.gz YYRSSSNRUHTHFO-UHFFFAOYSA-N 1 2 322.416 1.886 20 30 DDEDLO N#CC1(CC(=O)N(CC[NH+]2CCOCC2)[C@H]2CCSC2)CC1 ZINC000597679980 357282281 /nfs/dbraw/zinc/28/22/81/357282281.db2.gz UDWANNUZRZCQRE-AWEZNQCLSA-N 1 2 323.462 1.347 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@@H]1CCc2[nH+]c[nH]c2C1)C(=O)OCC ZINC000597733940 357309541 /nfs/dbraw/zinc/30/95/41/357309541.db2.gz YHVQWEVOJLVESK-YPMHNXCESA-N 1 2 305.378 1.529 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@@H]1CCc2[nH]c[nH+]c2C1)C(=O)OCC ZINC000597733940 357309545 /nfs/dbraw/zinc/30/95/45/357309545.db2.gz YHVQWEVOJLVESK-YPMHNXCESA-N 1 2 305.378 1.529 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC[C@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000597640439 357265055 /nfs/dbraw/zinc/26/50/55/357265055.db2.gz KNMXQKVDJDYACM-IUODEOHRSA-N 1 2 305.382 1.338 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC[C@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000597640439 357265056 /nfs/dbraw/zinc/26/50/56/357265056.db2.gz KNMXQKVDJDYACM-IUODEOHRSA-N 1 2 305.382 1.338 20 30 DDEDLO COc1cc[nH+]cc1CN(C)S(=O)(=O)c1ccc(C#N)cc1 ZINC000565356556 304064404 /nfs/dbraw/zinc/06/44/04/304064404.db2.gz PUMYLEHXELLHHF-UHFFFAOYSA-N 1 2 317.370 1.783 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@]2(C#N)CC23CCCC3)[C@@H](C)CO1 ZINC000597993529 357409198 /nfs/dbraw/zinc/40/91/98/357409198.db2.gz GIAYQBFJPRXSCB-JJRVBVJISA-N 1 2 305.422 1.686 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@]2(C#N)CC23CCCC3)[C@@H](C)CO1 ZINC000597993529 357409201 /nfs/dbraw/zinc/40/92/01/357409201.db2.gz GIAYQBFJPRXSCB-JJRVBVJISA-N 1 2 305.422 1.686 20 30 DDEDLO CCN(C(=O)C1CC[NH+](Cc2nccn2C)CC1)[C@H](C)C#N ZINC000598348430 357548610 /nfs/dbraw/zinc/54/86/10/357548610.db2.gz AVPGCKFMUONJEU-CYBMUJFWSA-N 1 2 303.410 1.393 20 30 DDEDLO CCOC(=O)[C@H]1CSCC[N@@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598587662 357642195 /nfs/dbraw/zinc/64/21/95/357642195.db2.gz UQVJGYUTNMGHGL-VXGBXAGGSA-N 1 2 300.424 1.268 20 30 DDEDLO CCOC(=O)[C@H]1CSCC[N@H+]1C[C@H](O)CC(C)(C)C#N ZINC000598587662 357642201 /nfs/dbraw/zinc/64/22/01/357642201.db2.gz UQVJGYUTNMGHGL-VXGBXAGGSA-N 1 2 300.424 1.268 20 30 DDEDLO [O-]C(=[NH+][C@H]1CCc2[nH]c[nH+]c2C1)N1CCC(O)(C(F)F)CC1 ZINC000329727851 223019356 /nfs/dbraw/zinc/01/93/56/223019356.db2.gz GZVGZTBCSZPOLB-VIFPVBQESA-N 1 2 314.336 1.273 20 30 DDEDLO [O-]C(=[NH+][C@H]1CCc2[nH+]c[nH]c2C1)N1CCC(O)(C(F)F)CC1 ZINC000329727851 223019357 /nfs/dbraw/zinc/01/93/57/223019357.db2.gz GZVGZTBCSZPOLB-VIFPVBQESA-N 1 2 314.336 1.273 20 30 DDEDLO O=C(NCc1c[nH+]c[nH]1)[C@H]1CSCN1C(=O)C1CCCC1 ZINC000329694801 223014380 /nfs/dbraw/zinc/01/43/80/223014380.db2.gz BDTIICVICLVVLV-GFCCVEGCSA-N 1 2 308.407 1.958 20 30 DDEDLO O=C(NCc1c[nH]c[nH+]1)[C@H]1CSCN1C(=O)C1CCCC1 ZINC000329694801 223014383 /nfs/dbraw/zinc/01/43/83/223014383.db2.gz BDTIICVICLVVLV-GFCCVEGCSA-N 1 2 308.407 1.958 20 30 DDEDLO CSC1(CNC(=O)N2CCn3cc[nH+]c3C2)CCOCC1 ZINC000329788775 223029230 /nfs/dbraw/zinc/02/92/30/223029230.db2.gz ZZBCIMXXDHQPFK-UHFFFAOYSA-N 1 2 310.423 1.525 20 30 DDEDLO COc1cccc([C@@H](OC)C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000598837506 357739947 /nfs/dbraw/zinc/73/99/47/357739947.db2.gz WCCLGXZPCBCZLP-GDBMZVCRSA-N 1 2 305.378 1.343 20 30 DDEDLO CC(C)[C@@H](Oc1ccccc1C#N)C(=O)N[C@@H]1Cc2c[nH+]cn2C1 ZINC000598944717 357768790 /nfs/dbraw/zinc/76/87/90/357768790.db2.gz UDFSNCZNXAGYKR-RHSMWYFYSA-N 1 2 324.384 1.899 20 30 DDEDLO N#Cc1ccc(SCC(=O)N[C@H]2CCn3c[nH+]cc3C2)cc1 ZINC000598949526 357771864 /nfs/dbraw/zinc/77/18/64/357771864.db2.gz VDJMDUYLYLEDFM-ZDUSSCGKSA-N 1 2 312.398 1.978 20 30 DDEDLO Cc1cccc(O)c1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000599229577 357857192 /nfs/dbraw/zinc/85/71/92/357857192.db2.gz FRUNYUDHNRSCNI-UHFFFAOYSA-N 1 2 317.389 1.389 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](CC)CC(=O)Nc1cccc(OC)c1 ZINC000179226598 199000973 /nfs/dbraw/zinc/00/09/73/199000973.db2.gz XNDGBLXDNFBEDG-ZDUSSCGKSA-N 1 2 317.389 1.094 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](CC)CC(=O)Nc1cccc(OC)c1 ZINC000179226598 199000975 /nfs/dbraw/zinc/00/09/75/199000975.db2.gz XNDGBLXDNFBEDG-ZDUSSCGKSA-N 1 2 317.389 1.094 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(OC)c(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000179236491 199003339 /nfs/dbraw/zinc/00/33/39/199003339.db2.gz GBNSUVAEAQKBHZ-OAHLLOKOSA-N 1 2 320.414 1.742 20 30 DDEDLO C=CC[N@H+](Cc1ccc(OC)c(C#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000179236491 199003341 /nfs/dbraw/zinc/00/33/41/199003341.db2.gz GBNSUVAEAQKBHZ-OAHLLOKOSA-N 1 2 320.414 1.742 20 30 DDEDLO CC(C)(C)CNC(=O)C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000599266013 357868403 /nfs/dbraw/zinc/86/84/03/357868403.db2.gz LLSSRKHLSPFAFO-UHFFFAOYSA-N 1 2 315.421 1.238 20 30 DDEDLO CCC(CC)([NH2+]C[C@@H](O)CC1(C#N)CCOCC1)C(=O)OC ZINC000599362310 357910101 /nfs/dbraw/zinc/91/01/01/357910101.db2.gz JLJSXDHZKWYNDP-ZDUSSCGKSA-N 1 2 312.410 1.379 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]C[C@@H](O)COc2ccccc2C#N)o1 ZINC000599372569 357913837 /nfs/dbraw/zinc/91/38/37/357913837.db2.gz WUMAWJRFNNJAOC-ZWNOBZJWSA-N 1 2 302.334 1.340 20 30 DDEDLO COc1ccc(C#N)cc1C[NH+]1CCN(c2nccnn2)CC1 ZINC000599511215 357960069 /nfs/dbraw/zinc/96/00/69/357960069.db2.gz GOBJYUGUXQKJEW-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCc2nc(C3CC3)ncc2C1 ZINC000599616867 357993715 /nfs/dbraw/zinc/99/37/15/357993715.db2.gz FPQRNGGEMYHJEQ-GFCCVEGCSA-N 1 2 313.405 1.473 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCc2nc(C3CC3)ncc2C1 ZINC000599616867 357993720 /nfs/dbraw/zinc/99/37/20/357993720.db2.gz FPQRNGGEMYHJEQ-GFCCVEGCSA-N 1 2 313.405 1.473 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@@H+]1CCO[C@@H](CCF)C1 ZINC000599634385 357999629 /nfs/dbraw/zinc/99/96/29/357999629.db2.gz JOZAUUNZVYGWPT-GJZGRUSLSA-N 1 2 308.353 1.358 20 30 DDEDLO N#Cc1ccccc1OC[C@@H](O)C[N@H+]1CCO[C@@H](CCF)C1 ZINC000599634385 357999633 /nfs/dbraw/zinc/99/96/33/357999633.db2.gz JOZAUUNZVYGWPT-GJZGRUSLSA-N 1 2 308.353 1.358 20 30 DDEDLO CCCNC(=O)NC(=O)C[N@@H+]1CCc2c(CC#N)cccc2C1 ZINC000599675811 358020824 /nfs/dbraw/zinc/02/08/24/358020824.db2.gz PGFPTQZUHZGSQX-UHFFFAOYSA-N 1 2 314.389 1.347 20 30 DDEDLO CCCNC(=O)NC(=O)C[N@H+]1CCc2c(CC#N)cccc2C1 ZINC000599675811 358020826 /nfs/dbraw/zinc/02/08/26/358020826.db2.gz PGFPTQZUHZGSQX-UHFFFAOYSA-N 1 2 314.389 1.347 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](Cc2cnn3ccccc23)CC1 ZINC000179845765 199087382 /nfs/dbraw/zinc/08/73/82/199087382.db2.gz PZYDEKCIZYUTAH-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)CCCCO)CC2)cc1 ZINC000600946207 358352026 /nfs/dbraw/zinc/35/20/26/358352026.db2.gz PVJNZCXZKOTZPZ-UHFFFAOYSA-N 1 2 301.390 1.365 20 30 DDEDLO CC(C)(CNS(=O)(=O)c1ccccc1C#N)n1cc[nH+]c1 ZINC000601527464 358589271 /nfs/dbraw/zinc/58/92/71/358589271.db2.gz UHAGTJKYTHGPQA-UHFFFAOYSA-N 1 2 304.375 1.468 20 30 DDEDLO CN1CCCC[C@H]([N@H+](C)CC(=O)Nc2cccc(C#N)c2)C1=O ZINC000601971013 358759390 /nfs/dbraw/zinc/75/93/90/358759390.db2.gz SWZNWXGXLIUVEB-HNNXBMFYSA-N 1 2 314.389 1.439 20 30 DDEDLO CN1CCCC[C@H]([N@@H+](C)CC(=O)Nc2cccc(C#N)c2)C1=O ZINC000601971013 358759394 /nfs/dbraw/zinc/75/93/94/358759394.db2.gz SWZNWXGXLIUVEB-HNNXBMFYSA-N 1 2 314.389 1.439 20 30 DDEDLO N#CCN1CCN(C(=O)C[C@@H](n2cc[nH+]c2)C(F)(F)F)CC1 ZINC000602104781 358815279 /nfs/dbraw/zinc/81/52/79/358815279.db2.gz UGCUOCZUYVAXNN-LLVKDONJSA-N 1 2 315.299 1.044 20 30 DDEDLO COCCN(CC#N)C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000602157971 358852750 /nfs/dbraw/zinc/85/27/50/358852750.db2.gz IQSNHHSLAYJKGT-SNVBAGLBSA-N 1 2 304.272 1.375 20 30 DDEDLO COCC[N@H+](Cc1c(C)nn(C)c1C)Cc1cccc(C#N)n1 ZINC000602689511 359126735 /nfs/dbraw/zinc/12/67/35/359126735.db2.gz DXXCPVZFXCGSJU-UHFFFAOYSA-N 1 2 313.405 1.952 20 30 DDEDLO COCC[N@@H+](Cc1c(C)nn(C)c1C)Cc1cccc(C#N)n1 ZINC000602689511 359126739 /nfs/dbraw/zinc/12/67/39/359126739.db2.gz DXXCPVZFXCGSJU-UHFFFAOYSA-N 1 2 313.405 1.952 20 30 DDEDLO C[C@@H]1C[NH+](Cc2nc(-c3ccccn3)no2)C[C@@H](C)N1CC#N ZINC000602858739 359245103 /nfs/dbraw/zinc/24/51/03/359245103.db2.gz UUGUMVUKYTVLRU-CHWSQXEVSA-N 1 2 312.377 1.550 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2cccc(C#N)c2)C[C@H](C)N1CC#N ZINC000602865024 359248706 /nfs/dbraw/zinc/24/87/06/359248706.db2.gz SAZPGABNDWSKBY-OKILXGFUSA-N 1 2 311.389 1.415 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2cccc(C#N)c2)C[C@H](C)N1CC#N ZINC000602865024 359248707 /nfs/dbraw/zinc/24/87/07/359248707.db2.gz SAZPGABNDWSKBY-OKILXGFUSA-N 1 2 311.389 1.415 20 30 DDEDLO CCN(CC)C(=O)c1cccc(NC(=O)C[NH2+][C@H](C)CC#N)c1 ZINC000602866239 359250467 /nfs/dbraw/zinc/25/04/67/359250467.db2.gz ZJUFTAYQOVBWPS-CYBMUJFWSA-N 1 2 316.405 1.999 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)NCc1ccccc1Cn1cccn1 ZINC000602867518 359252290 /nfs/dbraw/zinc/25/22/90/359252290.db2.gz DMOADTKLXQZUGC-CQSZACIVSA-N 1 2 311.389 1.439 20 30 DDEDLO C[C@@H](CC#N)[NH2+][C@@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000602867003 359252394 /nfs/dbraw/zinc/25/23/94/359252394.db2.gz AZVYTLKKRNUHIQ-QWRGUYRKSA-N 1 2 309.391 1.309 20 30 DDEDLO Cc1[nH]ncc1C[N@H+](C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000602941021 359310067 /nfs/dbraw/zinc/31/00/67/359310067.db2.gz ZPHFZJBYZIZYGL-HNNXBMFYSA-N 1 2 300.362 1.462 20 30 DDEDLO Cc1[nH]ncc1C[N@@H+](C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000602941021 359310071 /nfs/dbraw/zinc/31/00/71/359310071.db2.gz ZPHFZJBYZIZYGL-HNNXBMFYSA-N 1 2 300.362 1.462 20 30 DDEDLO Cc1cn2c([nH+]1)C[C@H](C(=O)N1C[C@@H](C)N(CC#N)[C@@H](C)C1)CC2 ZINC000603096315 359400501 /nfs/dbraw/zinc/40/05/01/359400501.db2.gz WGOJTCLIFCQKOC-QLFBSQMISA-N 1 2 315.421 1.199 20 30 DDEDLO N#Cc1ccc(CCn2cc(CC[NH+]3CCOCC3)nn2)cc1 ZINC000603249399 359515209 /nfs/dbraw/zinc/51/52/09/359515209.db2.gz VGMRGANZQLHRMZ-UHFFFAOYSA-N 1 2 311.389 1.267 20 30 DDEDLO C=CCOc1cccc(C[N@H+]2CCC[C@@H](O)[C@@H]2C(=O)OC)c1 ZINC000188774690 200302613 /nfs/dbraw/zinc/30/26/13/200302613.db2.gz LEMGZAKTHJHYOI-HZPDHXFCSA-N 1 2 305.374 1.750 20 30 DDEDLO C=CCOc1cccc(C[N@@H+]2CCC[C@@H](O)[C@@H]2C(=O)OC)c1 ZINC000188774690 200302615 /nfs/dbraw/zinc/30/26/15/200302615.db2.gz LEMGZAKTHJHYOI-HZPDHXFCSA-N 1 2 305.374 1.750 20 30 DDEDLO C[C@H](NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1)c1ccc(C#N)cc1 ZINC000329893519 223042506 /nfs/dbraw/zinc/04/25/06/223042506.db2.gz AVVTUIGJHWHPMH-RCBQFDQVSA-N 1 2 314.389 1.548 20 30 DDEDLO C[C@H](NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1)c1ccc(C#N)cc1 ZINC000329893519 223042508 /nfs/dbraw/zinc/04/25/08/223042508.db2.gz AVVTUIGJHWHPMH-RCBQFDQVSA-N 1 2 314.389 1.548 20 30 DDEDLO C[C@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C)c1ccc(C#N)cc1 ZINC000329893518 223042623 /nfs/dbraw/zinc/04/26/23/223042623.db2.gz AVVTUIGJHWHPMH-MAZHCROVSA-N 1 2 314.389 1.548 20 30 DDEDLO C[C@H](NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C)c1ccc(C#N)cc1 ZINC000329893518 223042625 /nfs/dbraw/zinc/04/26/25/223042625.db2.gz AVVTUIGJHWHPMH-MAZHCROVSA-N 1 2 314.389 1.548 20 30 DDEDLO C[C@H](Cn1cncn1)[NH+]=C([O-])N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000329946761 223051411 /nfs/dbraw/zinc/05/14/11/223051411.db2.gz JTPAHDWPBRVBMG-MJBXVCDLSA-N 1 2 317.397 1.360 20 30 DDEDLO C[C@H](Cn1cncn1)NC(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000329946761 223051414 /nfs/dbraw/zinc/05/14/14/223051414.db2.gz JTPAHDWPBRVBMG-MJBXVCDLSA-N 1 2 317.397 1.360 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)cc1 ZINC000609134808 360264187 /nfs/dbraw/zinc/26/41/87/360264187.db2.gz ZPZIXGXEGWHIJR-CQSZACIVSA-N 1 2 316.386 1.781 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N2[C@@H](C)CCC[C@@H]2C)CC1 ZINC000609486262 360313662 /nfs/dbraw/zinc/31/36/62/360313662.db2.gz GWXCKVDASHPNJV-ULQDDVLXSA-N 1 2 320.481 1.942 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000609538057 360321364 /nfs/dbraw/zinc/32/13/64/360321364.db2.gz KRHAPVQQKLOLML-BRWVUGGUSA-N 1 2 320.481 1.657 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[NH+]1CCN(CCC#N)CC1 ZINC000611174878 360647982 /nfs/dbraw/zinc/64/79/82/360647982.db2.gz OLUHWQYCJANMTQ-HNNXBMFYSA-N 1 2 317.437 1.249 20 30 DDEDLO CC[C@H]1CCCCN1C(=O)[C@H](C)[NH+]1CCN(CCC#N)CC1 ZINC000611176008 360648705 /nfs/dbraw/zinc/64/87/05/360648705.db2.gz ROVQORSBSUYIOY-HOTGVXAUSA-N 1 2 306.454 1.697 20 30 DDEDLO Cc1ccccc1CNC(=O)[C@H](C)[NH+]1CCN(CCC#N)CC1 ZINC000611175560 360649408 /nfs/dbraw/zinc/64/94/08/360649408.db2.gz ZWFAWLAXBJICFT-INIZCTEOSA-N 1 2 314.433 1.531 20 30 DDEDLO C[C@H](C(=O)Nc1ncccn1)[N@@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000330124523 223078047 /nfs/dbraw/zinc/07/80/47/223078047.db2.gz LITYNDRLYPCCIO-XJKCOSOUSA-N 1 2 320.393 1.723 20 30 DDEDLO C[C@H](C(=O)Nc1ncccn1)[N@H+]1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000330124523 223078048 /nfs/dbraw/zinc/07/80/48/223078048.db2.gz LITYNDRLYPCCIO-XJKCOSOUSA-N 1 2 320.393 1.723 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N[C@H]1CCOC2(CCC2)C1 ZINC000330235859 223091690 /nfs/dbraw/zinc/09/16/90/223091690.db2.gz YZXDREUUHSWJGR-KGLIPLIRSA-N 1 2 311.426 1.312 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN([C@@H]2CC[C@H](C#N)C2)CC1 ZINC000330254494 223095273 /nfs/dbraw/zinc/09/52/73/223095273.db2.gz PGBWGKFSJHLENU-OWCLPIDISA-N 1 2 304.438 1.307 20 30 DDEDLO COc1cnc(NC(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)s1 ZINC000330716893 223140487 /nfs/dbraw/zinc/14/04/87/223140487.db2.gz CMFUOHPUJSCSQX-NXEZZACHSA-N 1 2 314.411 1.587 20 30 DDEDLO CCOC[C@@H](O)CN1CC[NH+]([C@@H](C)c2cccc(C#N)c2)CC1 ZINC000612544487 361061011 /nfs/dbraw/zinc/06/10/11/361061011.db2.gz KBSUQKRWZAPPJY-YJBOKZPZSA-N 1 2 317.433 1.634 20 30 DDEDLO N#C[C@H]1CN(C(=O)NCc2cccc(-n3cc[nH+]c3)c2)CCO1 ZINC000332220153 223211745 /nfs/dbraw/zinc/21/17/45/223211745.db2.gz VOKLXDPAQXFVTL-HNNXBMFYSA-N 1 2 311.345 1.306 20 30 DDEDLO C[C@@H]1CCNC(=O)[C@@H]1[NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000193646994 201093842 /nfs/dbraw/zinc/09/38/42/201093842.db2.gz OAHGJWPJDNBYKX-QMTHXVAHSA-N 1 2 311.345 1.222 20 30 DDEDLO COC(=O)[C@H]1C[N@H+](Cc2ccc(C(C)(C)C#N)cc2)CCO1 ZINC000614164702 361731788 /nfs/dbraw/zinc/73/17/88/361731788.db2.gz RNYKMWURWMBHLC-OAHLLOKOSA-N 1 2 302.374 1.862 20 30 DDEDLO COC(=O)[C@H]1C[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)CCO1 ZINC000614164702 361731793 /nfs/dbraw/zinc/73/17/93/361731793.db2.gz RNYKMWURWMBHLC-OAHLLOKOSA-N 1 2 302.374 1.862 20 30 DDEDLO C=C[C@H]([NH2+]C1CCN(c2ccccc2OC)CC1)C(=O)OC ZINC000619700752 364120203 /nfs/dbraw/zinc/12/02/03/364120203.db2.gz KSBDTPKFTXHMEE-AWEZNQCLSA-N 1 2 304.390 1.981 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(C(=O)N2CCC[C@H](C)C2)CC1 ZINC000619716475 364126323 /nfs/dbraw/zinc/12/63/23/364126323.db2.gz PGVOFEPUSUZWRN-DZGCQCFKSA-N 1 2 308.422 1.685 20 30 DDEDLO C=CCc1cc(C[N@@H+]2C[C@@H](C)O[C@@H](C(N)=O)C2)cc(OC)c1O ZINC000092006447 193141212 /nfs/dbraw/zinc/14/12/12/193141212.db2.gz WIJVGYQJSWIODZ-IAQYHMDHSA-N 1 2 320.389 1.204 20 30 DDEDLO C=CCc1cc(C[N@H+]2C[C@@H](C)O[C@@H](C(N)=O)C2)cc(OC)c1O ZINC000092006447 193141213 /nfs/dbraw/zinc/14/12/13/193141213.db2.gz WIJVGYQJSWIODZ-IAQYHMDHSA-N 1 2 320.389 1.204 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(Cc2cn[nH]c2)CC1 ZINC000625480172 366955506 /nfs/dbraw/zinc/95/55/06/366955506.db2.gz UHRRKUQZALVAOO-HNNXBMFYSA-N 1 2 319.453 1.340 20 30 DDEDLO COCC[N@H+](CC(=O)NC1(C#N)CCC1)Cc1ccccn1 ZINC000347253258 223368551 /nfs/dbraw/zinc/36/85/51/223368551.db2.gz HFYRIMNGNBIAPB-UHFFFAOYSA-N 1 2 302.378 1.092 20 30 DDEDLO COCC[N@@H+](CC(=O)NC1(C#N)CCC1)Cc1ccccn1 ZINC000347253258 223368553 /nfs/dbraw/zinc/36/85/53/223368553.db2.gz HFYRIMNGNBIAPB-UHFFFAOYSA-N 1 2 302.378 1.092 20 30 DDEDLO C=C(Cl)CNC(=O)N1CC[N@@H+](CCC(=O)OC)C[C@H]1C ZINC000271678796 209120799 /nfs/dbraw/zinc/12/07/99/209120799.db2.gz JONGPCBZZZRQLS-LLVKDONJSA-N 1 2 303.790 1.018 20 30 DDEDLO C=C(Cl)CNC(=O)N1CC[N@H+](CCC(=O)OC)C[C@H]1C ZINC000271678796 209120809 /nfs/dbraw/zinc/12/08/09/209120809.db2.gz JONGPCBZZZRQLS-LLVKDONJSA-N 1 2 303.790 1.018 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)[NH+]1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000118390755 296225871 /nfs/dbraw/zinc/22/58/71/296225871.db2.gz CUCJFQLLAJLRDR-WMLDXEAASA-N 1 2 313.401 1.942 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C2\CC[C@H](C)C2)CC1 ZINC000267897999 206325440 /nfs/dbraw/zinc/32/54/40/206325440.db2.gz YVXIUEMCIYZLKV-XERWBJCMSA-N 1 2 317.433 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C2\CC[C@H](C)C2)CC1 ZINC000267897999 206325444 /nfs/dbraw/zinc/32/54/44/206325444.db2.gz YVXIUEMCIYZLKV-XERWBJCMSA-N 1 2 317.433 1.017 20 30 DDEDLO C[C@@H]1C(=O)NCC[N@H+]1CCC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000104691176 194027801 /nfs/dbraw/zinc/02/78/01/194027801.db2.gz LGCSIXLXVMZCRK-SNVBAGLBSA-N 1 2 320.780 1.361 20 30 DDEDLO C[C@@H]1C(=O)NCC[N@@H+]1CCC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000104691176 194027803 /nfs/dbraw/zinc/02/78/03/194027803.db2.gz LGCSIXLXVMZCRK-SNVBAGLBSA-N 1 2 320.780 1.361 20 30 DDEDLO Cc1noc([C@@H]2C[N@@H+](Cc3cc(C#N)ccc3F)CCN2C)n1 ZINC000284843820 218203018 /nfs/dbraw/zinc/20/30/18/218203018.db2.gz LFMIGNLFVODDFG-HNNXBMFYSA-N 1 2 315.352 1.878 20 30 DDEDLO Cc1noc([C@@H]2C[N@H+](Cc3cc(C#N)ccc3F)CCN2C)n1 ZINC000284843820 218203020 /nfs/dbraw/zinc/20/30/20/218203020.db2.gz LFMIGNLFVODDFG-HNNXBMFYSA-N 1 2 315.352 1.878 20 30 DDEDLO N#Cc1cscc1C(=O)N[C@@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000567583933 304227487 /nfs/dbraw/zinc/22/74/87/304227487.db2.gz AQDFRAWZCXIGMG-CABCVRRESA-N 1 2 319.430 1.993 20 30 DDEDLO COc1ccc(OC[C@H](O)C[N@H+](C)CCC#N)c([N+](=O)[O-])c1 ZINC000414138365 533020199 /nfs/dbraw/zinc/02/01/99/533020199.db2.gz GTKNFBAOOBMHAX-LLVKDONJSA-N 1 2 309.322 1.189 20 30 DDEDLO COc1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)c([N+](=O)[O-])c1 ZINC000414138365 533020205 /nfs/dbraw/zinc/02/02/05/533020205.db2.gz GTKNFBAOOBMHAX-LLVKDONJSA-N 1 2 309.322 1.189 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)C[C@@H]2CCOC2)nc[nH+]1 ZINC000329819710 533049348 /nfs/dbraw/zinc/04/93/48/533049348.db2.gz PGACRJIVSGPVKD-QWHCGFSZSA-N 1 2 319.409 1.870 20 30 DDEDLO CNc1cc(N2CCC[C@@H]2CNC(=O)C[C@@H]2CCOC2)[nH+]cn1 ZINC000329819710 533049353 /nfs/dbraw/zinc/04/93/53/533049353.db2.gz PGACRJIVSGPVKD-QWHCGFSZSA-N 1 2 319.409 1.870 20 30 DDEDLO CC(C)C(=O)NCC[N@H+](C)[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000157676119 197207003 /nfs/dbraw/zinc/20/70/03/197207003.db2.gz HPAYSGMKUYIHJT-ZDUSSCGKSA-N 1 2 316.405 1.589 20 30 DDEDLO CC(C)C(=O)NCC[N@@H+](C)[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000157676119 197207005 /nfs/dbraw/zinc/20/70/05/197207005.db2.gz HPAYSGMKUYIHJT-ZDUSSCGKSA-N 1 2 316.405 1.589 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2nc(C)c(C)s2)CC1 ZINC000157443991 197188468 /nfs/dbraw/zinc/18/84/68/197188468.db2.gz PJUWFTXAVRYAAC-GFCCVEGCSA-N 1 2 308.451 1.573 20 30 DDEDLO Cn1cc[nH+]c1C[C@@H]1CCCN(C(=O)c2ccc(C#N)nc2)C1 ZINC000120688887 195217326 /nfs/dbraw/zinc/21/73/26/195217326.db2.gz FTJMHZPFICITDP-ZDUSSCGKSA-N 1 2 309.373 1.782 20 30 DDEDLO COC[C@@H]1CN(C([O-])=[NH+][C@H]2CCn3cc[nH+]c3C2)CC(C)(C)O1 ZINC000329165123 285284045 /nfs/dbraw/zinc/28/40/45/285284045.db2.gz HBTPDCPYGUMKEN-STQMWFEESA-N 1 2 322.409 1.238 20 30 DDEDLO COCC[C@@](C)(C#N)NC(=O)[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000377373364 533291747 /nfs/dbraw/zinc/29/17/47/533291747.db2.gz NXVUASAEZADKTB-PBHICJAKSA-N 1 2 316.405 1.733 20 30 DDEDLO COC(=O)c1cccnc1SC[C@@H](O)C[N@H+](C)CCC#N ZINC000414119971 292027674 /nfs/dbraw/zinc/02/76/74/292027674.db2.gz AAGJTCGPZCWIAW-NSHDSACASA-N 1 2 309.391 1.167 20 30 DDEDLO COC(=O)c1cccnc1SC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414119971 292027676 /nfs/dbraw/zinc/02/76/76/292027676.db2.gz AAGJTCGPZCWIAW-NSHDSACASA-N 1 2 309.391 1.167 20 30 DDEDLO C[NH+](C)[C@@H](CNc1ncncc1C#N)c1c(F)cccc1F ZINC000568979544 304326114 /nfs/dbraw/zinc/32/61/14/304326114.db2.gz WPRIJJZHBXDGIR-ZDUSSCGKSA-N 1 2 303.316 1.763 20 30 DDEDLO O=C(NCCn1cc[nH+]c1)N1CCC[C@@H](C(=O)N2CCCC2)C1 ZINC000329494499 304329158 /nfs/dbraw/zinc/32/91/58/304329158.db2.gz WUYKMUAEHNNHNJ-CQSZACIVSA-N 1 2 319.409 1.132 20 30 DDEDLO O=C([C@@H]1CCCN(C([O-])=[NH+]CCn2cc[nH+]c2)C1)N1CCCC1 ZINC000329494499 304329161 /nfs/dbraw/zinc/32/91/61/304329161.db2.gz WUYKMUAEHNNHNJ-CQSZACIVSA-N 1 2 319.409 1.132 20 30 DDEDLO COCC1(C#N)CC[NH+](CCS(=O)(=O)c2ccccc2)CC1 ZINC000341986261 292208326 /nfs/dbraw/zinc/20/83/26/292208326.db2.gz YNKINUSXWSOPDG-UHFFFAOYSA-N 1 2 322.430 1.712 20 30 DDEDLO C[C@@H]1COCC[C@H]1NC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000329647148 297140937 /nfs/dbraw/zinc/14/09/37/297140937.db2.gz JCLUTLFVOYCLNJ-QLFBSQMISA-N 1 2 311.426 1.122 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1CCC[C@@H](O)C1 ZINC000068517140 406647666 /nfs/dbraw/zinc/64/76/66/406647666.db2.gz FTUUGCVWVNOXRL-GFCCVEGCSA-N 1 2 305.403 1.697 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1CCC[C@@H](O)C1 ZINC000068517140 406647671 /nfs/dbraw/zinc/64/76/71/406647671.db2.gz FTUUGCVWVNOXRL-GFCCVEGCSA-N 1 2 305.403 1.697 20 30 DDEDLO Cc1cccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1C ZINC000071797689 406843836 /nfs/dbraw/zinc/84/38/36/406843836.db2.gz IGLVRJZCBYNIIA-UHFFFAOYSA-N 1 2 315.417 1.992 20 30 DDEDLO C=CCNC(=O)C[NH+](CC(=O)NCC=C)[C@@H](C)c1ccccc1 ZINC000075827828 406941343 /nfs/dbraw/zinc/94/13/43/406941343.db2.gz VFGXUJYSYSKBNL-HNNXBMFYSA-N 1 2 315.417 1.654 20 30 DDEDLO Cc1cncc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c1 ZINC000079844658 407063839 /nfs/dbraw/zinc/06/38/39/407063839.db2.gz ZFCGMYDHUMMBRC-UHFFFAOYSA-N 1 2 302.378 1.078 20 30 DDEDLO N#CCC[N@@H+](CCNS(=O)(=O)c1ccccc1)CC1CC1 ZINC000081045090 407075454 /nfs/dbraw/zinc/07/54/54/407075454.db2.gz JNUZPEWLGZUGBP-UHFFFAOYSA-N 1 2 307.419 1.591 20 30 DDEDLO N#CCC[N@H+](CCNS(=O)(=O)c1ccccc1)CC1CC1 ZINC000081045090 407075458 /nfs/dbraw/zinc/07/54/58/407075458.db2.gz JNUZPEWLGZUGBP-UHFFFAOYSA-N 1 2 307.419 1.591 20 30 DDEDLO C[N@H+](CC(=O)NCC#N)Cc1csc(Br)c1 ZINC000066496629 407252119 /nfs/dbraw/zinc/25/21/19/407252119.db2.gz DRYKKFFTLJFESX-UHFFFAOYSA-N 1 2 302.197 1.582 20 30 DDEDLO C[N@@H+](CC(=O)NCC#N)Cc1csc(Br)c1 ZINC000066496629 407252122 /nfs/dbraw/zinc/25/21/22/407252122.db2.gz DRYKKFFTLJFESX-UHFFFAOYSA-N 1 2 302.197 1.582 20 30 DDEDLO COc1cccc(CCCO[NH+]=C(N)C(C)(C)NC(C)=O)c1 ZINC000124169309 407350126 /nfs/dbraw/zinc/35/01/26/407350126.db2.gz AOYNJZWDXGCGCT-UHFFFAOYSA-N 1 2 307.394 1.831 20 30 DDEDLO CC(C)C[C@@H](CNC(=O)c1ccc(C#N)cn1)[NH+]1CCOCC1 ZINC000107544311 407376146 /nfs/dbraw/zinc/37/61/46/407376146.db2.gz IOFIHPSEBGHVLY-HNNXBMFYSA-N 1 2 316.405 1.430 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](Cc3cccnc3)CC2)CCOCC1 ZINC000109139283 407395722 /nfs/dbraw/zinc/39/57/22/407395722.db2.gz FKKCYDGDOWWYOR-UHFFFAOYSA-N 1 2 314.389 1.046 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)NC[C@@H](C)C#N ZINC000178468436 407465413 /nfs/dbraw/zinc/46/54/13/407465413.db2.gz MZYPAENJZCRNLG-NSHDSACASA-N 1 2 303.366 1.508 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CCN(C(=O)COC)CC2)cc1 ZINC000128655366 407557723 /nfs/dbraw/zinc/55/77/23/407557723.db2.gz GHKLRFYMZHCCSX-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO C[C@@H](C#N)CSc1ccccc1NC(=O)C[N@@H+]1CC[C@H](O)C1 ZINC000152238570 407591287 /nfs/dbraw/zinc/59/12/87/407591287.db2.gz ZCABLVOOBHOBRX-STQMWFEESA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@@H](C#N)CSc1ccccc1NC(=O)C[N@H+]1CC[C@H](O)C1 ZINC000152238570 407591289 /nfs/dbraw/zinc/59/12/89/407591289.db2.gz ZCABLVOOBHOBRX-STQMWFEESA-N 1 2 319.430 1.943 20 30 DDEDLO C=CC[C@H](CO)NC(=O)CSCc1cn2cc(C)ccc2[nH+]1 ZINC000185905267 407536951 /nfs/dbraw/zinc/53/69/51/407536951.db2.gz BLVZAAHQIRNWMA-CYBMUJFWSA-N 1 2 319.430 1.929 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[C@H]2CCCC[C@@H]2[N@@H+]1CC(=O)NCC#N ZINC000185946031 407546928 /nfs/dbraw/zinc/54/69/28/407546928.db2.gz IEEFIANPRMWJHT-RDBSUJKOSA-N 1 2 321.421 1.601 20 30 DDEDLO CC(C)(C)OC(=O)[C@@H]1C[C@H]2CCCC[C@@H]2[N@H+]1CC(=O)NCC#N ZINC000185946031 407546930 /nfs/dbraw/zinc/54/69/30/407546930.db2.gz IEEFIANPRMWJHT-RDBSUJKOSA-N 1 2 321.421 1.601 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)CSCC#N)CC1 ZINC000171110902 407599992 /nfs/dbraw/zinc/59/99/92/407599992.db2.gz JWJSVQDABXBMJO-UHFFFAOYSA-N 1 2 319.430 1.596 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1C)[C@H]1CCS(=O)(=O)C1 ZINC000186314052 407635308 /nfs/dbraw/zinc/63/53/08/407635308.db2.gz CZCVRGKFQOCWRG-INIZCTEOSA-N 1 2 322.430 1.502 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1C)[C@H]1CCS(=O)(=O)C1 ZINC000186314052 407635315 /nfs/dbraw/zinc/63/53/15/407635315.db2.gz CZCVRGKFQOCWRG-INIZCTEOSA-N 1 2 322.430 1.502 20 30 DDEDLO CCN1CC[N@H+](C[C@H](O)c2ccc(C#N)cc2)C(C)(C)C1=O ZINC000186531288 407691852 /nfs/dbraw/zinc/69/18/52/407691852.db2.gz RGQZOJANIHAPSJ-HNNXBMFYSA-N 1 2 301.390 1.534 20 30 DDEDLO CCN1CC[N@@H+](C[C@H](O)c2ccc(C#N)cc2)C(C)(C)C1=O ZINC000186531288 407691858 /nfs/dbraw/zinc/69/18/58/407691858.db2.gz RGQZOJANIHAPSJ-HNNXBMFYSA-N 1 2 301.390 1.534 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)[C@@H]2CCn3c[nH+]cc3C2)cc1 ZINC000153013229 407733419 /nfs/dbraw/zinc/73/34/19/407733419.db2.gz SSGQNSUAVRCKNO-CQSZACIVSA-N 1 2 310.357 1.512 20 30 DDEDLO COCCN(CCC#N)C(=O)C(=O)Nc1ccc(C)[nH+]c1C ZINC000132919360 407810817 /nfs/dbraw/zinc/81/08/17/407810817.db2.gz RSMHBOKLUMFRAN-UHFFFAOYSA-N 1 2 304.350 1.026 20 30 DDEDLO C[C@H](CNC(=O)[C@H](C)Oc1ccc(C#N)cc1)Cn1cc[nH+]c1 ZINC000153392110 407814406 /nfs/dbraw/zinc/81/44/06/407814406.db2.gz JQAWGDPRZFTUGD-KGLIPLIRSA-N 1 2 312.373 1.975 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1C[C@H](CO)OC[C@@H]1C ZINC000153494349 407835242 /nfs/dbraw/zinc/83/52/42/407835242.db2.gz BGWVAWCDSQOMJI-GXTWGEPZSA-N 1 2 306.362 1.027 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1C[C@H](CO)OC[C@@H]1C ZINC000153494349 407835245 /nfs/dbraw/zinc/83/52/45/407835245.db2.gz BGWVAWCDSQOMJI-GXTWGEPZSA-N 1 2 306.362 1.027 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(Cc2ccon2)CC1 ZINC000173939834 407905964 /nfs/dbraw/zinc/90/59/64/407905964.db2.gz QAVKRXDLCSYYJH-OAHLLOKOSA-N 1 2 320.437 1.605 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@@H]21 ZINC000134925231 407950959 /nfs/dbraw/zinc/95/09/59/407950959.db2.gz QBSOMOLUJSLKMK-UONOGXRCSA-N 1 2 304.325 1.244 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(F)c(C#N)c3)C[C@@H]21 ZINC000134925231 407950965 /nfs/dbraw/zinc/95/09/65/407950965.db2.gz QBSOMOLUJSLKMK-UONOGXRCSA-N 1 2 304.325 1.244 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCOC3(CCOCC3)C2)CCCCC1 ZINC000135586103 408033897 /nfs/dbraw/zinc/03/38/97/408033897.db2.gz LVFPRHOJYQEBHF-UHFFFAOYSA-N 1 2 321.421 1.210 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCOC3(CCOCC3)C2)CCCCC1 ZINC000135586103 408033903 /nfs/dbraw/zinc/03/39/03/408033903.db2.gz LVFPRHOJYQEBHF-UHFFFAOYSA-N 1 2 321.421 1.210 20 30 DDEDLO N#C[C@H](c1ccccc1)N1CC[NH+](C[C@H](O)C(F)(F)F)CC1 ZINC000181762395 408039430 /nfs/dbraw/zinc/03/94/30/408039430.db2.gz YESKORIPGCLEAE-KGLIPLIRSA-N 1 2 313.323 1.792 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@@H](CC(N)=O)C1 ZINC000175239253 408087560 /nfs/dbraw/zinc/08/75/60/408087560.db2.gz LJCYKQZEACLNEB-JTQLQIEISA-N 1 2 306.391 1.146 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@@H](CC(N)=O)C1 ZINC000175239253 408087564 /nfs/dbraw/zinc/08/75/64/408087564.db2.gz LJCYKQZEACLNEB-JTQLQIEISA-N 1 2 306.391 1.146 20 30 DDEDLO C[C@H]1CC[N@H+](CC(=O)[C@H](C#N)c2nc3ccccc3c(=O)[nH]2)C1 ZINC000263684133 408203592 /nfs/dbraw/zinc/20/35/92/408203592.db2.gz IUTJUVAEUWFIJV-AAEUAGOBSA-N 1 2 310.357 1.853 20 30 DDEDLO C[C@H]1CC[N@@H+](CC(=O)[C@H](C#N)c2nc3ccccc3c(=O)[nH]2)C1 ZINC000263684133 408203597 /nfs/dbraw/zinc/20/35/97/408203597.db2.gz IUTJUVAEUWFIJV-AAEUAGOBSA-N 1 2 310.357 1.853 20 30 DDEDLO C[C@@H]1CC[N@H+](CC(=O)[C@H](C#N)c2nc3ccccc3c(=O)[nH]2)C1 ZINC000263685422 408203895 /nfs/dbraw/zinc/20/38/95/408203895.db2.gz IUTJUVAEUWFIJV-YPMHNXCESA-N 1 2 310.357 1.853 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC(=O)[C@H](C#N)c2nc3ccccc3c(=O)[nH]2)C1 ZINC000263685422 408203906 /nfs/dbraw/zinc/20/39/06/408203906.db2.gz IUTJUVAEUWFIJV-YPMHNXCESA-N 1 2 310.357 1.853 20 30 DDEDLO C[C@@H]1C[NH+]=C(N2CCN(C(=O)c3cc(C#N)c[nH]3)CC2)S1 ZINC000175871707 408227595 /nfs/dbraw/zinc/22/75/95/408227595.db2.gz IKGKFSHXVGIUDF-SNVBAGLBSA-N 1 2 303.391 1.135 20 30 DDEDLO CO[C@H]1CCC[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000246365097 408235405 /nfs/dbraw/zinc/23/54/05/408235405.db2.gz HBJGTXMCVUQYGF-STQMWFEESA-N 1 2 305.403 1.836 20 30 DDEDLO CO[C@H]1CCC[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000246365097 408235411 /nfs/dbraw/zinc/23/54/11/408235411.db2.gz HBJGTXMCVUQYGF-STQMWFEESA-N 1 2 305.403 1.836 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCOC3(CCCC3)C2)CCCCC1 ZINC000158090562 408328278 /nfs/dbraw/zinc/32/82/78/408328278.db2.gz HNCFTOOQJPDUPN-UHFFFAOYSA-N 1 2 305.422 1.974 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCOC3(CCCC3)C2)CCCCC1 ZINC000158090562 408328283 /nfs/dbraw/zinc/32/82/83/408328283.db2.gz HNCFTOOQJPDUPN-UHFFFAOYSA-N 1 2 305.422 1.974 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cc(C)ccc2F)CC1 ZINC000122207831 408267750 /nfs/dbraw/zinc/26/77/50/408267750.db2.gz GEJWPAPIKMUGAT-UHFFFAOYSA-N 1 2 305.397 1.554 20 30 DDEDLO Cc1ccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)c(F)c1 ZINC000176300597 408335013 /nfs/dbraw/zinc/33/50/13/408335013.db2.gz LRPJFSIBJQYWCI-UHFFFAOYSA-N 1 2 319.380 1.822 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2ccc(CC#N)cc2)CC1 ZINC000270393702 408514802 /nfs/dbraw/zinc/51/48/02/408514802.db2.gz CRNQCQUEOIEHFS-UHFFFAOYSA-N 1 2 301.390 1.547 20 30 DDEDLO C#CC[C@@H](NC(=O)N[C@H](C)C[NH+]1CCOCC1)c1ccccc1 ZINC000270415206 408527144 /nfs/dbraw/zinc/52/71/44/408527144.db2.gz MBHIYIJDRICPIC-NVXWUHKLSA-N 1 2 315.417 1.771 20 30 DDEDLO Cc1nc(CN2CC[NH+](Cc3ccc(C#N)c(F)c3)CC2)no1 ZINC000192104944 408544405 /nfs/dbraw/zinc/54/44/05/408544405.db2.gz QHERVPDBLBVQQK-UHFFFAOYSA-N 1 2 315.352 1.707 20 30 DDEDLO C#C[C@@H](C)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000274823893 408544555 /nfs/dbraw/zinc/54/45/55/408544555.db2.gz QOZFMSAZVMOIPH-RISCZKNCSA-N 1 2 300.337 1.970 20 30 DDEDLO N#Cc1cc(C(=O)NCc2ccc(N3CCCC3)[nH+]c2)ccn1 ZINC000192558761 408611435 /nfs/dbraw/zinc/61/14/35/408611435.db2.gz SAJYXJRVCNBRBB-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@@H](O)C[N@H+](C)[C@H](C)CC#N ZINC000161952285 408654342 /nfs/dbraw/zinc/65/43/42/408654342.db2.gz LDBFYSJRCLKQGD-DOMZBBRYSA-N 1 2 320.389 1.871 20 30 DDEDLO COc1cc(C(C)=O)ccc1OC[C@@H](O)C[N@@H+](C)[C@H](C)CC#N ZINC000161952285 408654347 /nfs/dbraw/zinc/65/43/47/408654347.db2.gz LDBFYSJRCLKQGD-DOMZBBRYSA-N 1 2 320.389 1.871 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)Nc2cc(Cl)ccc2C#N)CC[C@H]1O ZINC000249577167 408745536 /nfs/dbraw/zinc/74/55/36/408745536.db2.gz PVCQDZONMGQPLM-IINYFYTJSA-N 1 2 307.781 1.853 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)Nc2cc(Cl)ccc2C#N)CC[C@H]1O ZINC000249577167 408745541 /nfs/dbraw/zinc/74/55/41/408745541.db2.gz PVCQDZONMGQPLM-IINYFYTJSA-N 1 2 307.781 1.853 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](CC)CC(=O)Nc1c(C)cccc1C ZINC000163430674 408777326 /nfs/dbraw/zinc/77/73/26/408777326.db2.gz RXEWWKYBCDRYAQ-HNNXBMFYSA-N 1 2 315.417 1.702 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](CC)CC(=O)Nc1c(C)cccc1C ZINC000163430674 408777330 /nfs/dbraw/zinc/77/73/30/408777330.db2.gz RXEWWKYBCDRYAQ-HNNXBMFYSA-N 1 2 315.417 1.702 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C(=O)OC)ccc2F)C1=O ZINC000281298531 408878996 /nfs/dbraw/zinc/87/89/96/408878996.db2.gz JRMJGRAASKJRGA-OAHLLOKOSA-N 1 2 320.364 1.831 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C(=O)OC)ccc2F)C1=O ZINC000281298531 408878997 /nfs/dbraw/zinc/87/89/97/408878997.db2.gz JRMJGRAASKJRGA-OAHLLOKOSA-N 1 2 320.364 1.831 20 30 DDEDLO C[C@H](CCC#N)[NH+]1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000276276594 408828757 /nfs/dbraw/zinc/82/87/57/408828757.db2.gz FABOEMLVMBSGCE-OAHLLOKOSA-N 1 2 321.446 1.826 20 30 DDEDLO N#Cc1ccc(OC2CC[NH+]([C@@H]3CCOC3=O)CC2)c(F)c1 ZINC000285623094 408830690 /nfs/dbraw/zinc/83/06/90/408830690.db2.gz YDYMMERPQNJUDK-CQSZACIVSA-N 1 2 304.321 1.856 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000285655309 408836863 /nfs/dbraw/zinc/83/68/63/408836863.db2.gz OLZXSMSRYMBOON-UHFFFAOYSA-N 1 2 316.405 1.333 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNc1[nH+]cnc(-n2cccn2)c1N ZINC000280833064 408843450 /nfs/dbraw/zinc/84/34/50/408843450.db2.gz WLYMKTWSZVVTIW-YPMHNXCESA-N 1 2 300.366 1.638 20 30 DDEDLO C=CCC[N@@H+](C)[C@@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000276490958 408869081 /nfs/dbraw/zinc/86/90/81/408869081.db2.gz URGRVIKXFOWBRO-LBPRGKRZSA-N 1 2 310.419 1.925 20 30 DDEDLO C=CCC[N@H+](C)[C@@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000276490958 408869084 /nfs/dbraw/zinc/86/90/84/408869084.db2.gz URGRVIKXFOWBRO-LBPRGKRZSA-N 1 2 310.419 1.925 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@@H+](C)[C@@H]1COC[C@H]1O ZINC000286093529 408922835 /nfs/dbraw/zinc/92/28/35/408922835.db2.gz SYAGEODHUZNQTQ-ZIAGYGMSSA-N 1 2 322.430 1.595 20 30 DDEDLO C=CCSc1ccccc1NC(=O)C[N@H+](C)[C@@H]1COC[C@H]1O ZINC000286093529 408922838 /nfs/dbraw/zinc/92/28/38/408922838.db2.gz SYAGEODHUZNQTQ-ZIAGYGMSSA-N 1 2 322.430 1.595 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2cccc(Cl)c2)C1=O ZINC000281959812 408961680 /nfs/dbraw/zinc/96/16/80/408961680.db2.gz KHFLHVJOZCZQFB-CQSZACIVSA-N 1 2 321.808 1.997 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2cccc(Cl)c2)C1=O ZINC000281959812 408961682 /nfs/dbraw/zinc/96/16/82/408961682.db2.gz KHFLHVJOZCZQFB-CQSZACIVSA-N 1 2 321.808 1.997 20 30 DDEDLO CC[C@H](O)CN1CC(=O)C(=C2N(C)c3ccccc3N2C)C1=[NH2+] ZINC000292079514 408975030 /nfs/dbraw/zinc/97/50/30/408975030.db2.gz MIOQQDRCLISZML-NSHDSACASA-N 1 2 314.389 1.417 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(c3nc(CC)ns3)CC2)C1=O ZINC000282043450 408978724 /nfs/dbraw/zinc/97/87/24/408978724.db2.gz RBKAZJPERNAMQL-GFCCVEGCSA-N 1 2 321.450 1.009 20 30 DDEDLO CCc1ccccc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000278117125 409068052 /nfs/dbraw/zinc/06/80/52/409068052.db2.gz RKSOQNQYOHBANW-UHFFFAOYSA-N 1 2 315.417 1.937 20 30 DDEDLO Cc1nsc(N2CCN(C3=[NH+]C[C@H](C)S3)CC2)c1C#N ZINC000287266116 409010543 /nfs/dbraw/zinc/01/05/43/409010543.db2.gz KZYPKNXZPWTKII-VIFPVBQESA-N 1 2 307.448 1.937 20 30 DDEDLO C=C[C@@H](CO)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1F ZINC000292586498 409037738 /nfs/dbraw/zinc/03/77/38/409037738.db2.gz VMZRJWGHCYYPJV-SMDDNHRTSA-N 1 2 318.352 1.495 20 30 DDEDLO C=CCCCS(=O)(=O)NCc1cccnc1-n1cc[nH+]c1 ZINC000292873895 409045684 /nfs/dbraw/zinc/04/56/84/409045684.db2.gz DWQIVXCLSRVWGS-UHFFFAOYSA-N 1 2 306.391 1.653 20 30 DDEDLO COc1ccncc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000287655917 409071118 /nfs/dbraw/zinc/07/11/18/409071118.db2.gz GWWYCCKZXIDVDU-UHFFFAOYSA-N 1 2 304.394 1.138 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1c(F)cc(C#N)cc1F ZINC000279055998 409140412 /nfs/dbraw/zinc/14/04/12/409140412.db2.gz CSWJUGRHVRSUHB-NSHDSACASA-N 1 2 323.343 1.629 20 30 DDEDLO COc1ccncc1CO[NH+]=C(N)c1ccc(OC)c(OC)c1 ZINC000288543073 409171640 /nfs/dbraw/zinc/17/16/40/409171640.db2.gz IHTMTZOXNZNJAY-UHFFFAOYSA-N 1 2 317.345 1.945 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(C(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC000293781909 409179476 /nfs/dbraw/zinc/17/94/76/409179476.db2.gz ROWRPUUYXFDSPS-AWEZNQCLSA-N 1 2 311.345 1.289 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(C(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC000293781909 409179479 /nfs/dbraw/zinc/17/94/79/409179479.db2.gz ROWRPUUYXFDSPS-AWEZNQCLSA-N 1 2 311.345 1.289 20 30 DDEDLO CO[C@@H]1COC[C@H]1[NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000571281667 304450149 /nfs/dbraw/zinc/45/01/49/304450149.db2.gz MYNOOMWGTMEVFO-CHWSQXEVSA-N 1 2 300.318 1.112 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)Nc2ccccc2SCC#N)C[C@@H]1O ZINC000279877783 409252941 /nfs/dbraw/zinc/25/29/41/409252941.db2.gz UFEFJXHWOHYOLM-AWEZNQCLSA-N 1 2 319.430 1.943 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)Nc2ccccc2SCC#N)C[C@@H]1O ZINC000279877783 409252944 /nfs/dbraw/zinc/25/29/44/409252944.db2.gz UFEFJXHWOHYOLM-AWEZNQCLSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)Nc2cccc(C#N)c2)C[C@]2(CCOC2)O1 ZINC000280366554 409300772 /nfs/dbraw/zinc/30/07/72/409300772.db2.gz KCYGOPHTZBWKLL-DYVFJYSZSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)Nc2cccc(C#N)c2)C[C@]2(CCOC2)O1 ZINC000280366554 409300773 /nfs/dbraw/zinc/30/07/73/409300773.db2.gz KCYGOPHTZBWKLL-DYVFJYSZSA-N 1 2 315.373 1.377 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)NCC[NH+]1CCOCC1 ZINC000284950814 409371439 /nfs/dbraw/zinc/37/14/39/409371439.db2.gz DVKADUDJAXXRQB-UHFFFAOYSA-N 1 2 301.390 1.164 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000285073083 409397500 /nfs/dbraw/zinc/39/75/00/409397500.db2.gz RPQIZPXMSOZYNR-AEFFLSMTSA-N 1 2 315.417 1.943 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000285073083 409397504 /nfs/dbraw/zinc/39/75/04/409397504.db2.gz RPQIZPXMSOZYNR-AEFFLSMTSA-N 1 2 315.417 1.943 20 30 DDEDLO Cc1ccc(NC(=O)[C@H](C)O[NH+]=C(N)[C@@H]2CCCO2)c(F)c1 ZINC000284469254 409346175 /nfs/dbraw/zinc/34/61/75/409346175.db2.gz RCUBSYMMBLCFDH-GWCFXTLKSA-N 1 2 309.341 1.929 20 30 DDEDLO C=CCCCCNC(=O)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000290287058 409346856 /nfs/dbraw/zinc/34/68/56/409346856.db2.gz BERNHXXWDGYXTA-CQSZACIVSA-N 1 2 316.405 1.249 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCO3)n2C(C)C)CC1 ZINC000296186561 409458208 /nfs/dbraw/zinc/45/82/08/409458208.db2.gz ZZVVKQMNBNTEGH-AWEZNQCLSA-N 1 2 303.410 1.466 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCC[C@H]2c2cc[nH]n2)cc1 ZINC000285585751 409500143 /nfs/dbraw/zinc/50/01/43/409500143.db2.gz BHAPERDPWYCMPK-INIZCTEOSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCC[C@H]2c2cc[nH]n2)cc1 ZINC000285585751 409500147 /nfs/dbraw/zinc/50/01/47/409500147.db2.gz BHAPERDPWYCMPK-INIZCTEOSA-N 1 2 309.373 1.735 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H](C)C[N@H+](C)C(C)C)cc1C#N ZINC000356782673 409600263 /nfs/dbraw/zinc/60/02/63/409600263.db2.gz TWNJCYQAEKUKEB-SNVBAGLBSA-N 1 2 322.434 1.839 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N[C@H](C)C[N@@H+](C)C(C)C)cc1C#N ZINC000356782673 409600270 /nfs/dbraw/zinc/60/02/70/409600270.db2.gz TWNJCYQAEKUKEB-SNVBAGLBSA-N 1 2 322.434 1.839 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N(C)[C@H](C)C[NH+]1CCOCC1 ZINC000338046575 409673352 /nfs/dbraw/zinc/67/33/52/409673352.db2.gz ZWEPZJJJXPWPEQ-CYBMUJFWSA-N 1 2 301.390 1.659 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCCCCC#N ZINC000354270736 409743482 /nfs/dbraw/zinc/74/34/82/409743482.db2.gz ZYTJOFMQYVYDBT-AWEZNQCLSA-N 1 2 319.409 1.293 20 30 DDEDLO CC(C)CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCCCCC#N ZINC000354270736 409743489 /nfs/dbraw/zinc/74/34/89/409743489.db2.gz ZYTJOFMQYVYDBT-AWEZNQCLSA-N 1 2 319.409 1.293 20 30 DDEDLO C=CCOCC(=O)N[C@H](c1[nH+]ccn1C)c1ccc(OC)cc1 ZINC000354304207 409751362 /nfs/dbraw/zinc/75/13/62/409751362.db2.gz LVCHJFGGAXIGNO-INIZCTEOSA-N 1 2 315.373 1.837 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)c(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000349272819 409775613 /nfs/dbraw/zinc/77/56/13/409775613.db2.gz DWDMJAXILXZBTN-INIZCTEOSA-N 1 2 322.430 1.502 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)c(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000349272819 409775616 /nfs/dbraw/zinc/77/56/16/409775616.db2.gz DWDMJAXILXZBTN-INIZCTEOSA-N 1 2 322.430 1.502 20 30 DDEDLO COC(=O)C(C)(C)[N@H+](C)CCOc1ccc(C#N)cc1OC ZINC000354388800 409816981 /nfs/dbraw/zinc/81/69/81/409816981.db2.gz KZTQRZCGMBHJRG-UHFFFAOYSA-N 1 2 306.362 1.829 20 30 DDEDLO COC(=O)C(C)(C)[N@@H+](C)CCOc1ccc(C#N)cc1OC ZINC000354388800 409816987 /nfs/dbraw/zinc/81/69/87/409816987.db2.gz KZTQRZCGMBHJRG-UHFFFAOYSA-N 1 2 306.362 1.829 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)[C@H]1CCc2cnn(C)c2C1 ZINC000328675090 409951685 /nfs/dbraw/zinc/95/16/85/409951685.db2.gz QLXVERIZCCABJH-KGLIPLIRSA-N 1 2 319.453 1.117 20 30 DDEDLO CC(C)C(=O)N1CCCC[C@@H]1C(=O)NC[C@H]1C[N@H+](C)CCO1 ZINC000328764359 409973210 /nfs/dbraw/zinc/97/32/10/409973210.db2.gz ZZGWOQWKIWWJIO-UONOGXRCSA-N 1 2 311.426 1.311 20 30 DDEDLO CC(C)C(=O)N1CCCC[C@@H]1C(=O)NC[C@H]1C[N@@H+](C)CCO1 ZINC000328764359 409973215 /nfs/dbraw/zinc/97/32/15/409973215.db2.gz ZZGWOQWKIWWJIO-UONOGXRCSA-N 1 2 311.426 1.311 20 30 DDEDLO CC(C)[N@@H+]1CCO[C@@H](c2noc(-c3cnn(C)c3C#N)n2)C1 ZINC000350136552 409926439 /nfs/dbraw/zinc/92/64/39/409926439.db2.gz WUTJECFUROWXDR-GFCCVEGCSA-N 1 2 302.338 1.123 20 30 DDEDLO CC(C)[N@H+]1CCO[C@@H](c2noc(-c3cnn(C)c3C#N)n2)C1 ZINC000350136552 409926449 /nfs/dbraw/zinc/92/64/49/409926449.db2.gz WUTJECFUROWXDR-GFCCVEGCSA-N 1 2 302.338 1.123 20 30 DDEDLO CCN1CCN(C(=O)NCc2ccoc2)C[C@@H]1c1[nH]cc[nH+]1 ZINC000328646158 409945784 /nfs/dbraw/zinc/94/57/84/409945784.db2.gz ZZDDPPIASRICAH-CYBMUJFWSA-N 1 2 303.366 1.796 20 30 DDEDLO C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)[C@H]2CCn3c[nH+]cc3C2)CC1=O ZINC000328921574 410008158 /nfs/dbraw/zinc/00/81/58/410008158.db2.gz CGJYGUMTCHYWBI-CZXHOFHRSA-N 1 2 302.378 1.411 20 30 DDEDLO O=C(NC1CC1)[C@@H]1CCCN1C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000328941787 410015959 /nfs/dbraw/zinc/01/59/59/410015959.db2.gz IFZYNJJRUWMUMB-RISCZKNCSA-N 1 2 302.378 1.555 20 30 DDEDLO O=C(C[N@@H+]1CCN2C(=O)CC[C@@H]2C1)NC(=O)NC1CCCCC1 ZINC000328943783 410017351 /nfs/dbraw/zinc/01/73/51/410017351.db2.gz AMRJWWYIPQLUTC-CYBMUJFWSA-N 1 2 322.409 1.496 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)CC[C@@H]2C1)NC(=O)NC1CCCCC1 ZINC000328943783 410017358 /nfs/dbraw/zinc/01/73/58/410017358.db2.gz AMRJWWYIPQLUTC-CYBMUJFWSA-N 1 2 322.409 1.496 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000297793667 410002771 /nfs/dbraw/zinc/00/27/71/410002771.db2.gz LSEPYIIAVPSQBJ-HUUCEWRRSA-N 1 2 318.421 1.717 20 30 DDEDLO CCc1ccnc(CNC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)c1 ZINC000329013297 410051280 /nfs/dbraw/zinc/05/12/80/410051280.db2.gz PHGYXVFKDBLHTM-CVEARBPZSA-N 1 2 318.421 1.463 20 30 DDEDLO CCc1ccnc(CNC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)c1 ZINC000329013297 410051286 /nfs/dbraw/zinc/05/12/86/410051286.db2.gz PHGYXVFKDBLHTM-CVEARBPZSA-N 1 2 318.421 1.463 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNC(=O)Nc2ccc3c(c2)COC3)C1 ZINC000329070600 410086472 /nfs/dbraw/zinc/08/64/72/410086472.db2.gz NKRUAFMJACYSHH-HNNXBMFYSA-N 1 2 304.394 1.289 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNC(=O)Nc2ccc3c(c2)COC3)C1 ZINC000329070600 410086477 /nfs/dbraw/zinc/08/64/77/410086477.db2.gz NKRUAFMJACYSHH-HNNXBMFYSA-N 1 2 304.394 1.289 20 30 DDEDLO CC[C@H](C)n1nc(NC(=O)NC[C@H]2C[N@H+](C)CCO2)cc1C ZINC000329176753 410148751 /nfs/dbraw/zinc/14/87/51/410148751.db2.gz CBBXZNLRJYOETB-AAEUAGOBSA-N 1 2 309.414 1.819 20 30 DDEDLO CC[C@H](C)n1nc(NC(=O)NC[C@H]2C[N@@H+](C)CCO2)cc1C ZINC000329176753 410148761 /nfs/dbraw/zinc/14/87/61/410148761.db2.gz CBBXZNLRJYOETB-AAEUAGOBSA-N 1 2 309.414 1.819 20 30 DDEDLO Cc1nsc(N2CCN(c3cc(C)[nH+]cn3)CC2)c1C#N ZINC000357557807 410100714 /nfs/dbraw/zinc/10/07/14/410100714.db2.gz JYNFVOBSOBBWTE-UHFFFAOYSA-N 1 2 300.391 1.748 20 30 DDEDLO C#CC[N@H+](Cc1ccc(F)cc1)[C@H](C)C(=O)NC(=O)NC(C)C ZINC000298173629 410123364 /nfs/dbraw/zinc/12/33/64/410123364.db2.gz QVYAHKMEBNDHRR-CYBMUJFWSA-N 1 2 319.380 1.884 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(F)cc1)[C@H](C)C(=O)NC(=O)NC(C)C ZINC000298173629 410123373 /nfs/dbraw/zinc/12/33/73/410123373.db2.gz QVYAHKMEBNDHRR-CYBMUJFWSA-N 1 2 319.380 1.884 20 30 DDEDLO C#CCOc1ccccc1CNc1cc(NC[C@H](C)O)[nH+]cn1 ZINC000298185253 410127034 /nfs/dbraw/zinc/12/70/34/410127034.db2.gz GYZAVFTUNUECEK-ZDUSSCGKSA-N 1 2 312.373 1.893 20 30 DDEDLO C#CCOc1ccccc1CNc1cc(NC[C@H](C)O)nc[nH+]1 ZINC000298185253 410127039 /nfs/dbraw/zinc/12/70/39/410127039.db2.gz GYZAVFTUNUECEK-ZDUSSCGKSA-N 1 2 312.373 1.893 20 30 DDEDLO Cc1cnc([C@H](C)[NH+]2CCN(c3ccc(C#N)cn3)CC2)cn1 ZINC000332400801 410127591 /nfs/dbraw/zinc/12/75/91/410127591.db2.gz VKYKGZNPZPLMII-AWEZNQCLSA-N 1 2 308.389 1.935 20 30 DDEDLO Cc1nc(NC(=O)[C@H](C(C)C)[NH+]2C[C@H](C)O[C@@H](C)C2)nn1C ZINC000329284439 410214149 /nfs/dbraw/zinc/21/41/49/410214149.db2.gz OLPWYUAIHGGWGK-GVXVVHGQSA-N 1 2 309.414 1.845 20 30 DDEDLO Cn1cc([C@@H]2CCN(C(=O)NCc3cn4ccccc4[nH+]3)C2)cn1 ZINC000329300422 410219738 /nfs/dbraw/zinc/21/97/38/410219738.db2.gz MKMPUEVQZUZDJS-CYBMUJFWSA-N 1 2 324.388 1.971 20 30 DDEDLO O=C(NCC[NH+]1CCOCC1)N1CCC[C@@H]1C1CCOCC1 ZINC000329442929 410302002 /nfs/dbraw/zinc/30/20/02/410302002.db2.gz KMKXRHUKKGDHLG-OAHLLOKOSA-N 1 2 311.426 1.124 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cnc4c(c3)CCCC4)C[C@H]21 ZINC000329452855 410305689 /nfs/dbraw/zinc/30/56/89/410305689.db2.gz MGVRSVOAAPMXGD-HZPDHXFCSA-N 1 2 316.405 1.521 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cnc4c(c3)CCCC4)C[C@H]21 ZINC000329452855 410305695 /nfs/dbraw/zinc/30/56/95/410305695.db2.gz MGVRSVOAAPMXGD-HZPDHXFCSA-N 1 2 316.405 1.521 20 30 DDEDLO COC(=O)CN1CC[NH+](CC#Cc2ccc(Cl)cc2)CC1 ZINC000355282572 410416978 /nfs/dbraw/zinc/41/69/78/410416978.db2.gz ZHCMAWPVEOGWPO-UHFFFAOYSA-N 1 2 306.793 1.482 20 30 DDEDLO Cc1nc(N2CCN(C(=O)NCC[C@H]3CCOC3)CC2)cc[nH+]1 ZINC000329569649 410362081 /nfs/dbraw/zinc/36/20/81/410362081.db2.gz LZDPFEWXIHMXEC-AWEZNQCLSA-N 1 2 319.409 1.248 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@@H]1C ZINC000355435486 410511714 /nfs/dbraw/zinc/51/17/14/410511714.db2.gz AKPRGMQOFJPTEL-WMLDXEAASA-N 1 2 313.401 1.802 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3ncccc3C#N)CC2)ccn1 ZINC000339868280 410514270 /nfs/dbraw/zinc/51/42/70/410514270.db2.gz VVVKPSHIIXHAAM-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000299099473 410522018 /nfs/dbraw/zinc/52/20/18/410522018.db2.gz VWYCJCSTTFRJNK-AWEZNQCLSA-N 1 2 313.405 1.086 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(c2ccc(F)cc2C#N)CC1 ZINC000301173137 410668967 /nfs/dbraw/zinc/66/89/67/410668967.db2.gz HDGUTOGDFIRCJQ-LBPRGKRZSA-N 1 2 316.380 1.486 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000352314567 410617964 /nfs/dbraw/zinc/61/79/64/410617964.db2.gz KHMXUBRREDYNLC-KBPBESRZSA-N 1 2 318.421 1.597 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)N[C@H]2CCOc3ccccc32)CC1 ZINC000352459056 410658746 /nfs/dbraw/zinc/65/87/46/410658746.db2.gz SLCUGDJXLCJROY-AWEZNQCLSA-N 1 2 317.364 1.954 20 30 DDEDLO CC[C@H](C(=O)OC)N1CC[NH+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000352724787 410676915 /nfs/dbraw/zinc/67/69/15/410676915.db2.gz ODYXIGVLNDODLS-MRXNPFEDSA-N 1 2 319.380 1.767 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CC[S@@](=O)[C@H](C)C1 ZINC000352757214 410678580 /nfs/dbraw/zinc/67/85/80/410678580.db2.gz GGUYDQJXZSSVRH-VKIFBMEASA-N 1 2 323.458 1.608 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CC[S@@](=O)[C@H](C)C1 ZINC000352757214 410678589 /nfs/dbraw/zinc/67/85/89/410678589.db2.gz GGUYDQJXZSSVRH-VKIFBMEASA-N 1 2 323.458 1.608 20 30 DDEDLO C=CCCC1(C(=O)N2CC[NH+](CCn3cncn3)CC2)CC1 ZINC000356143387 410860866 /nfs/dbraw/zinc/86/08/66/410860866.db2.gz CMZZPVUEBRZMBV-UHFFFAOYSA-N 1 2 303.410 1.169 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc(C)nc2C)CC1 ZINC000359846985 410915046 /nfs/dbraw/zinc/91/50/46/410915046.db2.gz OSMIBCHFLQTFAP-UHFFFAOYSA-N 1 2 302.422 1.118 20 30 DDEDLO CC(C)COC[C@H](O)C[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000341800001 411055629 /nfs/dbraw/zinc/05/56/29/411055629.db2.gz QSSUENTYAQQYEW-MSOLQXFVSA-N 1 2 318.417 1.965 20 30 DDEDLO CC(C)COC[C@H](O)C[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000341800001 411055634 /nfs/dbraw/zinc/05/56/34/411055634.db2.gz QSSUENTYAQQYEW-MSOLQXFVSA-N 1 2 318.417 1.965 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)[C@@H](C)c1cccc(C#N)c1 ZINC000360176682 411091357 /nfs/dbraw/zinc/09/13/57/411091357.db2.gz XALPBDJIJMWQJA-GJZGRUSLSA-N 1 2 314.433 1.414 20 30 DDEDLO C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@H+](C)CC(C)(C)C#N ZINC000433304515 287314323 /nfs/dbraw/zinc/31/43/23/287314323.db2.gz KZCOIBQJYIBJGJ-CHWSQXEVSA-N 1 2 308.426 1.872 20 30 DDEDLO C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)C[N@@H+](C)CC(C)(C)C#N ZINC000433304515 287314326 /nfs/dbraw/zinc/31/43/26/287314326.db2.gz KZCOIBQJYIBJGJ-CHWSQXEVSA-N 1 2 308.426 1.872 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000121574806 221543946 /nfs/dbraw/zinc/54/39/46/221543946.db2.gz WCSHLZVPBJNDHZ-KRWDZBQOSA-N 1 2 314.433 1.737 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC000360676317 418489473 /nfs/dbraw/zinc/48/94/73/418489473.db2.gz ZLTZIGJAQRTUAK-HNNXBMFYSA-N 1 2 304.415 1.477 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC000360676317 418489476 /nfs/dbraw/zinc/48/94/76/418489476.db2.gz ZLTZIGJAQRTUAK-HNNXBMFYSA-N 1 2 304.415 1.477 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000366573812 418491944 /nfs/dbraw/zinc/49/19/44/418491944.db2.gz NIBVDYMZVXVQSI-ZBFHGGJFSA-N 1 2 309.410 1.018 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000366573812 418491948 /nfs/dbraw/zinc/49/19/48/418491948.db2.gz NIBVDYMZVXVQSI-ZBFHGGJFSA-N 1 2 309.410 1.018 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)CCCCC#N)C1 ZINC000374350370 418520959 /nfs/dbraw/zinc/52/09/59/418520959.db2.gz KCXLFKAUHDJVLS-HNNXBMFYSA-N 1 2 307.419 1.786 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@@H](NS(=O)(=O)CCCCC#N)C1 ZINC000374350370 418520961 /nfs/dbraw/zinc/52/09/61/418520961.db2.gz KCXLFKAUHDJVLS-HNNXBMFYSA-N 1 2 307.419 1.786 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCCN(C3CCOCC3)C2=O)CC1 ZINC000374775099 418561120 /nfs/dbraw/zinc/56/11/20/418561120.db2.gz CPXOASQFVDHXEB-KRWDZBQOSA-N 1 2 321.465 1.350 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CC(n3cc([C@@H](C)O)nn3)C2)cc1 ZINC000374807355 418564107 /nfs/dbraw/zinc/56/41/07/418564107.db2.gz PHUYMQHFMNZYMT-CYBMUJFWSA-N 1 2 312.373 1.400 20 30 DDEDLO CC[N@H+](CC#Cc1ccc(OC(F)(F)F)cc1)CC(N)=O ZINC000191720071 222109037 /nfs/dbraw/zinc/10/90/37/222109037.db2.gz ZCIBCMHNOCSLBM-UHFFFAOYSA-N 1 2 300.280 1.744 20 30 DDEDLO CC[N@@H+](CC#Cc1ccc(OC(F)(F)F)cc1)CC(N)=O ZINC000191720071 222109040 /nfs/dbraw/zinc/10/90/40/222109040.db2.gz ZCIBCMHNOCSLBM-UHFFFAOYSA-N 1 2 300.280 1.744 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@H](O)C[N@@H+](C)[C@@H](C)CC#N)cc1 ZINC000247383891 222228971 /nfs/dbraw/zinc/22/89/71/222228971.db2.gz IDILQAJLQXGJCM-SWLSCSKDSA-N 1 2 305.378 1.619 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@H](O)C[N@H+](C)[C@@H](C)CC#N)cc1 ZINC000247383891 222228975 /nfs/dbraw/zinc/22/89/75/222228975.db2.gz IDILQAJLQXGJCM-SWLSCSKDSA-N 1 2 305.378 1.619 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCOC[C@H]1[C@H]1CCCO1 ZINC000367576242 418612752 /nfs/dbraw/zinc/61/27/52/418612752.db2.gz PKSNISWPQJQNIC-JKSUJKDBSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCOC[C@H]1[C@H]1CCCO1 ZINC000367576242 418612755 /nfs/dbraw/zinc/61/27/55/418612755.db2.gz PKSNISWPQJQNIC-JKSUJKDBSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(NC(=O)CO[NH+]=C(N)[C@@H](C)OCC(C)C)cc1 ZINC000266208266 222359982 /nfs/dbraw/zinc/35/99/82/222359982.db2.gz NHOPPZVKMOMNGE-GFCCVEGCSA-N 1 2 323.393 1.984 20 30 DDEDLO CCn1nccc1C[N@H+](CCO)Cc1ccc(F)c(C#N)c1 ZINC000361372275 418638430 /nfs/dbraw/zinc/63/84/30/418638430.db2.gz MCXDTMUDCLKGCJ-UHFFFAOYSA-N 1 2 302.353 1.908 20 30 DDEDLO CCn1nccc1C[N@@H+](CCO)Cc1ccc(F)c(C#N)c1 ZINC000361372275 418638435 /nfs/dbraw/zinc/63/84/35/418638435.db2.gz MCXDTMUDCLKGCJ-UHFFFAOYSA-N 1 2 302.353 1.908 20 30 DDEDLO CN(Cc1cn2c([nH+]1)CCCC2)S(=O)(=O)CCC(C)(C)C#N ZINC000377861898 418716288 /nfs/dbraw/zinc/71/62/88/418716288.db2.gz UIEXNHCFNQPETM-UHFFFAOYSA-N 1 2 324.450 1.921 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(S(=O)(=O)CCC(C)(C)C#N)C1 ZINC000377858811 418716346 /nfs/dbraw/zinc/71/63/46/418716346.db2.gz CAIPCEQAHXECDX-LBPRGKRZSA-N 1 2 310.423 1.479 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)no1 ZINC000375766548 418683534 /nfs/dbraw/zinc/68/35/34/418683534.db2.gz WJAINNBWMQLPIH-CJNGLKHVSA-N 1 2 319.409 1.612 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)no1 ZINC000375766548 418683537 /nfs/dbraw/zinc/68/35/37/418683537.db2.gz WJAINNBWMQLPIH-CJNGLKHVSA-N 1 2 319.409 1.612 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC1CCN(c2cc(C)[nH+]cn2)CC1 ZINC000375849573 418689401 /nfs/dbraw/zinc/68/94/01/418689401.db2.gz HNNZPBNXXWIKOH-INIZCTEOSA-N 1 2 301.394 1.810 20 30 DDEDLO N#C[C@H]1C[N@@H+](Cc2cncnc2)C[C@]12c1ccccc1NC2=O ZINC000376641316 418699667 /nfs/dbraw/zinc/69/96/67/418699667.db2.gz IXESCRCGVCRPIS-SUMWQHHRSA-N 1 2 305.341 1.322 20 30 DDEDLO N#C[C@H]1C[N@H+](Cc2cncnc2)C[C@]12c1ccccc1NC2=O ZINC000376641316 418699669 /nfs/dbraw/zinc/69/96/69/418699669.db2.gz IXESCRCGVCRPIS-SUMWQHHRSA-N 1 2 305.341 1.322 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[N@H+](Cc2ccccc2)C[C@@H]1C ZINC000383116847 418733363 /nfs/dbraw/zinc/73/33/63/418733363.db2.gz URPDGGZTSHLLIB-UONOGXRCSA-N 1 2 307.419 1.435 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[N@@H+](Cc2ccccc2)C[C@@H]1C ZINC000383116847 418733366 /nfs/dbraw/zinc/73/33/66/418733366.db2.gz URPDGGZTSHLLIB-UONOGXRCSA-N 1 2 307.419 1.435 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)N(CCC#N)CCC#N)[C@@H]2CCC[C@H]2O1 ZINC000369597145 418734788 /nfs/dbraw/zinc/73/47/88/418734788.db2.gz YAWHJJSAAFSVHX-HUUCEWRRSA-N 1 2 318.421 1.674 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)N(CCC#N)CCC#N)[C@@H]2CCC[C@H]2O1 ZINC000369597145 418734789 /nfs/dbraw/zinc/73/47/89/418734789.db2.gz YAWHJJSAAFSVHX-HUUCEWRRSA-N 1 2 318.421 1.674 20 30 DDEDLO CC#CCNC(=O)N1CCC[C@@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000369576225 418735520 /nfs/dbraw/zinc/73/55/20/418735520.db2.gz JSPZNRZPXCBIQQ-CQSZACIVSA-N 1 2 319.409 1.397 20 30 DDEDLO CC#CCNC(=O)N1CCC[C@@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000369576225 418735521 /nfs/dbraw/zinc/73/55/21/418735521.db2.gz JSPZNRZPXCBIQQ-CQSZACIVSA-N 1 2 319.409 1.397 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)n1C1CC1 ZINC000370729594 418747146 /nfs/dbraw/zinc/74/71/46/418747146.db2.gz JHLWJJWSRNSIEV-HNNXBMFYSA-N 1 2 324.388 1.841 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)n1C1CC1 ZINC000370729594 418747149 /nfs/dbraw/zinc/74/71/49/418747149.db2.gz JHLWJJWSRNSIEV-HNNXBMFYSA-N 1 2 324.388 1.841 20 30 DDEDLO NS(=O)(=O)[C@H]1CCC[N@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000363781191 418770460 /nfs/dbraw/zinc/77/04/60/418770460.db2.gz XKFBGFWZSRYRMA-AWEZNQCLSA-N 1 2 312.822 1.444 20 30 DDEDLO NS(=O)(=O)[C@H]1CCC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000363781191 418770462 /nfs/dbraw/zinc/77/04/62/418770462.db2.gz XKFBGFWZSRYRMA-AWEZNQCLSA-N 1 2 312.822 1.444 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@H](C)O[C@@]2(CCO[C@@H]2C)C1 ZINC000364060563 418772941 /nfs/dbraw/zinc/77/29/41/418772941.db2.gz BAVDJJHWCUNTOD-LZWOXQAQSA-N 1 2 309.410 1.017 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C)O[C@@]2(CCO[C@@H]2C)C1 ZINC000364060563 418772945 /nfs/dbraw/zinc/77/29/45/418772945.db2.gz BAVDJJHWCUNTOD-LZWOXQAQSA-N 1 2 309.410 1.017 20 30 DDEDLO CS(=O)(=O)N1CC[N@@H+]([C@@H]2CC[C@H](C#N)C2)Cc2ccccc21 ZINC000407991515 418780541 /nfs/dbraw/zinc/78/05/41/418780541.db2.gz VZYXFMAWTVTOQQ-DZGCQCFKSA-N 1 2 319.430 1.960 20 30 DDEDLO CS(=O)(=O)N1CC[N@H+]([C@@H]2CC[C@H](C#N)C2)Cc2ccccc21 ZINC000407991515 418780542 /nfs/dbraw/zinc/78/05/42/418780542.db2.gz VZYXFMAWTVTOQQ-DZGCQCFKSA-N 1 2 319.430 1.960 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(C(=O)CC(C)(C)C#N)CC1 ZINC000408153644 418790577 /nfs/dbraw/zinc/79/05/77/418790577.db2.gz LDDAUFQPGBTWDW-AWEZNQCLSA-N 1 2 320.437 1.081 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)C(=O)Nc1cc(C#N)ccc1C)CC2 ZINC000371736760 418812177 /nfs/dbraw/zinc/81/21/77/418812177.db2.gz YNVKGOWAQPJOCO-UHFFFAOYSA-N 1 2 323.356 1.353 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000411124781 418871597 /nfs/dbraw/zinc/87/15/97/418871597.db2.gz ITSQYBYYPOZSFZ-DLBZAZTESA-N 1 2 317.408 1.601 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN([C@@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000411124781 418871598 /nfs/dbraw/zinc/87/15/98/418871598.db2.gz ITSQYBYYPOZSFZ-DLBZAZTESA-N 1 2 317.408 1.601 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+][C@H]2CCN(c3ccc(C#N)cc3)C2=O)n1 ZINC000411522157 418912237 /nfs/dbraw/zinc/91/22/37/418912237.db2.gz VNTWPWDCBHGDFA-YGRLFVJLSA-N 1 2 311.345 1.706 20 30 DDEDLO C=Cn1cc(C[N@@H+]2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000365827901 418913557 /nfs/dbraw/zinc/91/35/57/418913557.db2.gz SFWLCESOADGFTR-KSSFIOAISA-N 1 2 319.368 1.829 20 30 DDEDLO C=Cn1cc(C[N@H+]2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)cn1 ZINC000365827901 418913559 /nfs/dbraw/zinc/91/35/59/418913559.db2.gz SFWLCESOADGFTR-KSSFIOAISA-N 1 2 319.368 1.829 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)C1(c2cccc(C#N)c2)CC1 ZINC000435022115 229350705 /nfs/dbraw/zinc/35/07/05/229350705.db2.gz UPYKQIPGAKQSPF-CQSZACIVSA-N 1 2 313.401 1.427 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)C1(c2cccc(C#N)c2)CC1 ZINC000435022115 229350710 /nfs/dbraw/zinc/35/07/10/229350710.db2.gz UPYKQIPGAKQSPF-CQSZACIVSA-N 1 2 313.401 1.427 20 30 DDEDLO COCCO[C@H]1C[C@@H](CO)C[N@H+](Cc2cccc(F)c2C#N)C1 ZINC000428938689 419948492 /nfs/dbraw/zinc/94/84/92/419948492.db2.gz JUHNZIVBEXANAS-HIFRSBDPSA-N 1 2 322.380 1.543 20 30 DDEDLO COCCO[C@H]1C[C@@H](CO)C[N@@H+](Cc2cccc(F)c2C#N)C1 ZINC000428938689 419948495 /nfs/dbraw/zinc/94/84/95/419948495.db2.gz JUHNZIVBEXANAS-HIFRSBDPSA-N 1 2 322.380 1.543 20 30 DDEDLO CCN1CCN(C(=O)c2cc(C#N)oc2C)C[C@H]1c1[nH]cc[nH+]1 ZINC000436120999 420311692 /nfs/dbraw/zinc/31/16/92/420311692.db2.gz XJLPLRDSIYSRBI-AWEZNQCLSA-N 1 2 313.361 1.702 20 30 DDEDLO N#Cc1cncc(NC[C@@H](c2ccccc2)[NH+]2CCOCC2)n1 ZINC000420580117 420320787 /nfs/dbraw/zinc/32/07/87/420320787.db2.gz BVGWZUAHIKHQCG-INIZCTEOSA-N 1 2 309.373 1.834 20 30 DDEDLO Cc1cc(N2CCC[C@H](CNS(C)(=O)=O)C2)c(C#N)c[nH+]1 ZINC000425209173 420328478 /nfs/dbraw/zinc/32/84/78/420328478.db2.gz QWPMFYWDBLDNDH-GFCCVEGCSA-N 1 2 308.407 1.027 20 30 DDEDLO CCOC(=O)[C@@H]1CN(c2cc(C)[nH+]cc2C#N)CC12COC2 ZINC000425267026 420334914 /nfs/dbraw/zinc/33/49/14/420334914.db2.gz KJMCSGNVIZBGNA-ZDUSSCGKSA-N 1 2 301.346 1.278 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NCc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000416480065 420387721 /nfs/dbraw/zinc/38/77/21/420387721.db2.gz OKIPXEXDRLETJZ-JTQLQIEISA-N 1 2 318.402 1.782 20 30 DDEDLO COC(=O)c1cnc([C@@H](C)[NH2+][C@H](C)C(=O)N(C)CCC#N)s1 ZINC000425493287 420399283 /nfs/dbraw/zinc/39/92/83/420399283.db2.gz VCYHJVJVXFASDX-NXEZZACHSA-N 1 2 324.406 1.341 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCc3ncsc3C2)cc1 ZINC000446067166 230225556 /nfs/dbraw/zinc/22/55/56/230225556.db2.gz BINGMZAKEUCQMB-UHFFFAOYSA-N 1 2 312.398 1.689 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCc3ncsc3C2)cc1 ZINC000446067166 230225562 /nfs/dbraw/zinc/22/55/62/230225562.db2.gz BINGMZAKEUCQMB-UHFFFAOYSA-N 1 2 312.398 1.689 20 30 DDEDLO C=CC[C@H](CC)NC(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000439588960 420524243 /nfs/dbraw/zinc/52/42/43/420524243.db2.gz PDOHFFHREYYIHD-AWEZNQCLSA-N 1 2 321.425 1.692 20 30 DDEDLO N#Cc1ccc(N(CC[NH+]2CCOCC2)Cc2ccco2)cn1 ZINC000450938878 420565328 /nfs/dbraw/zinc/56/53/28/420565328.db2.gz KEOBKIHKDFIWQT-UHFFFAOYSA-N 1 2 312.373 1.885 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N(C[C@H](C)C#N)C1CC1 ZINC000441914217 420665444 /nfs/dbraw/zinc/66/54/44/420665444.db2.gz IHBWRPZEUGSDGX-SNVBAGLBSA-N 1 2 302.334 1.179 20 30 DDEDLO N#Cc1ccnc(N2CCN(C(=O)C=Cc3c[nH]c[nH+]3)CC2)c1 ZINC000492861837 420691917 /nfs/dbraw/zinc/69/19/17/420691917.db2.gz QTDDHHJAWDDYNG-OWOJBTEDSA-N 1 2 308.345 1.038 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(C(=O)C=Cc3c[nH]c[nH+]3)C2)nc1 ZINC000493282166 420808232 /nfs/dbraw/zinc/80/82/32/420808232.db2.gz GATMGDDVZZMRBH-XBPVQUQDSA-N 1 2 309.329 1.369 20 30 DDEDLO C[C@@H](Nc1cccc(C#N)c1[N+](=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000450313303 421180487 /nfs/dbraw/zinc/18/04/87/421180487.db2.gz PYNXMOQOPZMMED-NEPJUHHUSA-N 1 2 304.350 1.988 20 30 DDEDLO N#Cc1cccc(NC[C@@H]2C[N@@H+](C3CC3)CCO2)c1[N+](=O)[O-] ZINC000450315517 421180953 /nfs/dbraw/zinc/18/09/53/421180953.db2.gz FITWUGOFVCFLSW-CYBMUJFWSA-N 1 2 302.334 1.742 20 30 DDEDLO N#Cc1cccc(NC[C@@H]2C[N@H+](C3CC3)CCO2)c1[N+](=O)[O-] ZINC000450315517 421180956 /nfs/dbraw/zinc/18/09/56/421180956.db2.gz FITWUGOFVCFLSW-CYBMUJFWSA-N 1 2 302.334 1.742 20 30 DDEDLO COCC[N@H+](CC(=O)Nc1sccc1C#N)[C@H]1CCOC1 ZINC000490042284 421186899 /nfs/dbraw/zinc/18/68/99/421186899.db2.gz OGLVECWJNYJFOO-LBPRGKRZSA-N 1 2 309.391 1.296 20 30 DDEDLO COCC[N@@H+](CC(=O)Nc1sccc1C#N)[C@H]1CCOC1 ZINC000490042284 421186902 /nfs/dbraw/zinc/18/69/02/421186902.db2.gz OGLVECWJNYJFOO-LBPRGKRZSA-N 1 2 309.391 1.296 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)OC)CCCC1 ZINC000450402717 421193738 /nfs/dbraw/zinc/19/37/38/421193738.db2.gz CGDMLEMHZNSEJE-ZDUSSCGKSA-N 1 2 305.378 1.747 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)OC)CCCC1 ZINC000450402717 421193739 /nfs/dbraw/zinc/19/37/39/421193739.db2.gz CGDMLEMHZNSEJE-ZDUSSCGKSA-N 1 2 305.378 1.747 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1C(=O)N[C@]2(CCc3ccccc3C2)C1=O ZINC000491325891 421196083 /nfs/dbraw/zinc/19/60/83/421196083.db2.gz HZBFUSPYISZZGU-ACJLOTCBSA-N 1 2 311.385 1.377 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1C(=O)N[C@]2(CCc3ccccc3C2)C1=O ZINC000491325891 421196084 /nfs/dbraw/zinc/19/60/84/421196084.db2.gz HZBFUSPYISZZGU-ACJLOTCBSA-N 1 2 311.385 1.377 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NS(=O)(=O)CCCC#N)c1ccccc1 ZINC000524653786 421264556 /nfs/dbraw/zinc/26/45/56/421264556.db2.gz HXTOBVOQEGNSHC-AWEZNQCLSA-N 1 2 318.402 1.733 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccc(C(C)(C)C#N)cc2)CCO1 ZINC000527240461 421369988 /nfs/dbraw/zinc/36/99/88/421369988.db2.gz LEKIMJGSKUMMFK-CQSZACIVSA-N 1 2 315.417 1.938 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(C(C)(C)C#N)cc2)CCO1 ZINC000527240461 421369991 /nfs/dbraw/zinc/36/99/91/421369991.db2.gz LEKIMJGSKUMMFK-CQSZACIVSA-N 1 2 315.417 1.938 20 30 DDEDLO CCC(C#N)(CC)C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000529035690 421526087 /nfs/dbraw/zinc/52/60/87/421526087.db2.gz ROANAQBJGUXALC-UHFFFAOYSA-N 1 2 301.394 1.764 20 30 DDEDLO Cn1cc(-c2nc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cs2)cn1 ZINC000563220210 421474655 /nfs/dbraw/zinc/47/46/55/421474655.db2.gz HRZGEINLRGJLJG-AWEZNQCLSA-N 1 2 318.406 1.117 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ncccc3C)CC2)c1C#N ZINC000530361118 421597381 /nfs/dbraw/zinc/59/73/81/421597381.db2.gz VSNWITRZRDRNEJ-UHFFFAOYSA-N 1 2 310.405 1.626 20 30 DDEDLO C[NH+]1CCN(CCCCNc2ncc3c(c2C#N)CCC3)CC1 ZINC000517026108 421589325 /nfs/dbraw/zinc/58/93/25/421589325.db2.gz HDHYRKKQPNNXIS-UHFFFAOYSA-N 1 2 313.449 1.881 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)C[C@@H](CC#N)c2ccccc2)CCO1 ZINC000530278286 421590766 /nfs/dbraw/zinc/59/07/66/421590766.db2.gz QCRHLXYDHCLNKH-DOTOQJQBSA-N 1 2 315.417 1.911 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)C[C@@H](CC#N)c2ccccc2)CCO1 ZINC000530278286 421590769 /nfs/dbraw/zinc/59/07/69/421590769.db2.gz QCRHLXYDHCLNKH-DOTOQJQBSA-N 1 2 315.417 1.911 20 30 DDEDLO COC(=O)[C@@H](C)c1cccc(NC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000567494628 421612202 /nfs/dbraw/zinc/61/22/02/421612202.db2.gz UICRZSPXJIYZGA-XJKSGUPXSA-N 1 2 319.405 1.581 20 30 DDEDLO COC(=O)[C@@H](C)c1cccc(NC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000567494628 421612204 /nfs/dbraw/zinc/61/22/04/421612204.db2.gz UICRZSPXJIYZGA-XJKSGUPXSA-N 1 2 319.405 1.581 20 30 DDEDLO Cc1cc2c(cc1C)O[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)C2 ZINC000568344631 421619658 /nfs/dbraw/zinc/61/96/58/421619658.db2.gz WTDCRILMEVLMHR-RDJZCZTQSA-N 1 2 301.390 1.567 20 30 DDEDLO CCC[C@@H](C#N)NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000569783478 421627836 /nfs/dbraw/zinc/62/78/36/421627836.db2.gz CKKYCLDANBITGN-HNNXBMFYSA-N 1 2 301.390 1.941 20 30 DDEDLO C[C@@H]([NH2+]C[C@H](O)CN(C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000570391204 421642881 /nfs/dbraw/zinc/64/28/81/421642881.db2.gz OJETVXSTAKJDRN-NEPJUHHUSA-N 1 2 309.414 1.224 20 30 DDEDLO C[C@@H](NC[C@H](O)C[N@H+](C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000570391204 421642884 /nfs/dbraw/zinc/64/28/84/421642884.db2.gz OJETVXSTAKJDRN-NEPJUHHUSA-N 1 2 309.414 1.224 20 30 DDEDLO C[C@@H](NC[C@H](O)C[N@@H+](C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000570391204 421642885 /nfs/dbraw/zinc/64/28/85/421642885.db2.gz OJETVXSTAKJDRN-NEPJUHHUSA-N 1 2 309.414 1.224 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@@H+]1CC[C@H](OC(F)F)C1 ZINC000555075160 421668937 /nfs/dbraw/zinc/66/89/37/421668937.db2.gz OXMURKQAOCBQBM-MJVIPROJSA-N 1 2 303.353 1.743 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@H+]1CC[C@H](OC(F)F)C1 ZINC000555075160 421668940 /nfs/dbraw/zinc/66/89/40/421668940.db2.gz OXMURKQAOCBQBM-MJVIPROJSA-N 1 2 303.353 1.743 20 30 DDEDLO CC(=O)c1cccc(OCC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1 ZINC000555483021 421679390 /nfs/dbraw/zinc/67/93/90/421679390.db2.gz SFCXJZPLTVNDBE-INIZCTEOSA-N 1 2 303.362 1.228 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@H](c2nc(C)no2)C2CCOCC2)C1=O ZINC000540912994 421774244 /nfs/dbraw/zinc/77/42/44/421774244.db2.gz AGHDGXYBRRXONC-KBPBESRZSA-N 1 2 320.393 1.222 20 30 DDEDLO N#Cc1cc(F)ccc1S(=O)(=O)NCCCCn1cc[nH+]c1 ZINC000543727654 421840599 /nfs/dbraw/zinc/84/05/99/421840599.db2.gz MHTPKJFPOQHHIY-UHFFFAOYSA-N 1 2 322.365 1.653 20 30 DDEDLO CN1CC[NH+](C[C@@H](Nc2cc(C#N)ncn2)c2ccccc2)CC1 ZINC000580870993 421851592 /nfs/dbraw/zinc/85/15/92/421851592.db2.gz XVAALHMDGMJGHB-QGZVFWFLSA-N 1 2 322.416 1.749 20 30 DDEDLO CCN1CCC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])CC1=O ZINC000581134008 421909354 /nfs/dbraw/zinc/90/93/54/421909354.db2.gz JYUXWFMKRKRGFT-UHFFFAOYSA-N 1 2 302.334 1.521 20 30 DDEDLO CCN1CCC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])CC1=O ZINC000581134008 421909358 /nfs/dbraw/zinc/90/93/58/421909358.db2.gz JYUXWFMKRKRGFT-UHFFFAOYSA-N 1 2 302.334 1.521 20 30 DDEDLO C[C@@H]1C[N@H+](CC2(C#N)CCC2)CCN1c1nccn2cnnc12 ZINC000575132397 422267138 /nfs/dbraw/zinc/26/71/38/422267138.db2.gz FGQDXEMQACBNEP-CYBMUJFWSA-N 1 2 311.393 1.329 20 30 DDEDLO C[C@@H]1C[N@@H+](CC2(C#N)CCC2)CCN1c1nccn2cnnc12 ZINC000575132397 422267143 /nfs/dbraw/zinc/26/71/43/422267143.db2.gz FGQDXEMQACBNEP-CYBMUJFWSA-N 1 2 311.393 1.329 20 30 DDEDLO CCn1c2ccccc2n(C[C@@H](O)C[N@H+](C)CCC#N)c1=O ZINC000584159834 422272608 /nfs/dbraw/zinc/27/26/08/422272608.db2.gz QMYOQCQISHDIBD-ZDUSSCGKSA-N 1 2 302.378 1.029 20 30 DDEDLO CCn1c2ccccc2n(C[C@@H](O)C[N@@H+](C)CCC#N)c1=O ZINC000584159834 422272616 /nfs/dbraw/zinc/27/26/16/422272616.db2.gz QMYOQCQISHDIBD-ZDUSSCGKSA-N 1 2 302.378 1.029 20 30 DDEDLO CC[C@@]1(O)CCC[N@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000602982532 422440553 /nfs/dbraw/zinc/44/05/53/422440553.db2.gz UMLMOCHQUGTEME-MRXNPFEDSA-N 1 2 305.378 1.944 20 30 DDEDLO CC[C@@]1(O)CCC[N@@H+](CC(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000602982532 422440556 /nfs/dbraw/zinc/44/05/56/422440556.db2.gz UMLMOCHQUGTEME-MRXNPFEDSA-N 1 2 305.378 1.944 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)c2ccn3cc(C)[nH+]c3c2)C1=O ZINC000635891612 422446794 /nfs/dbraw/zinc/44/67/94/422446794.db2.gz MVONYWMMYZXPHF-AWEZNQCLSA-N 1 2 312.373 1.502 20 30 DDEDLO C=C(C)C[C@H]1NC(=O)N(C2CCN(c3cccc[nH+]3)CC2)C1=O ZINC000630969110 422447746 /nfs/dbraw/zinc/44/77/46/422447746.db2.gz DAGCDEIYHCRXKV-CQSZACIVSA-N 1 2 314.389 1.937 20 30 DDEDLO N#CCC1CCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)CC1 ZINC000577816367 422432083 /nfs/dbraw/zinc/43/20/83/422432083.db2.gz VTIOXNJRNJNUTA-HNNXBMFYSA-N 1 2 315.421 1.958 20 30 DDEDLO N#CCC1CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)CC1 ZINC000577816367 422432087 /nfs/dbraw/zinc/43/20/87/422432087.db2.gz VTIOXNJRNJNUTA-HNNXBMFYSA-N 1 2 315.421 1.958 20 30 DDEDLO C=CCOCCCC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000634510598 422463333 /nfs/dbraw/zinc/46/33/33/422463333.db2.gz GMCYPOXKYGMGMZ-UHFFFAOYSA-N 1 2 318.421 1.146 20 30 DDEDLO C=CCn1cc(CNC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)nn1 ZINC000636056503 422601584 /nfs/dbraw/zinc/60/15/84/422601584.db2.gz PJIZWUJOSYZJPR-CQSZACIVSA-N 1 2 302.382 1.564 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)N2CCC(C)CC2)nn1 ZINC000640826430 423214336 /nfs/dbraw/zinc/21/43/36/423214336.db2.gz VUWKUBXSDLMOBL-AWEZNQCLSA-N 1 2 303.410 1.211 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2noc(-c3ccccc3)n2)nn1 ZINC000640893765 423260207 /nfs/dbraw/zinc/26/02/07/423260207.db2.gz SSKBPYOTVWYXEV-UHFFFAOYSA-N 1 2 308.345 1.489 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccnn2CC2CCC2)C1=O ZINC000639132338 423325329 /nfs/dbraw/zinc/32/53/29/423325329.db2.gz MDBRFNDAQLOING-INIZCTEOSA-N 1 2 302.422 1.902 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccnn2CC2CCC2)C1=O ZINC000639132338 423325333 /nfs/dbraw/zinc/32/53/33/423325333.db2.gz MDBRFNDAQLOING-INIZCTEOSA-N 1 2 302.422 1.902 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(CC(=O)N(C)C)CC2)nn1 ZINC000653611048 423547367 /nfs/dbraw/zinc/54/73/67/423547367.db2.gz GDDIKHKFGLVKTG-UHFFFAOYSA-N 1 2 305.426 1.545 20 30 DDEDLO C=C[C@H](O)C(=O)N1CC[NH+](Cc2ccc(OCC)cc2)CC1 ZINC000665772492 423831571 /nfs/dbraw/zinc/83/15/71/423831571.db2.gz MUBDHOMXJXSNSJ-INIZCTEOSA-N 1 2 304.390 1.276 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)C(=O)Nc1ccn2cc(C)[nH+]c2c1 ZINC000657990960 424568155 /nfs/dbraw/zinc/56/81/55/424568155.db2.gz SAUQPTCDGKDASI-OLZOCXBDSA-N 1 2 314.345 1.041 20 30 DDEDLO C[C@@H]1CC[C@@H](C[N@@H+]2CCN(Cc3ccc(C#N)cc3)C(=O)C2)O1 ZINC000376412217 267025925 /nfs/dbraw/zinc/02/59/25/267025925.db2.gz RNYLPKAAODIRSB-PBHICJAKSA-N 1 2 313.401 1.770 20 30 DDEDLO C[C@@H]1CC[C@@H](C[N@H+]2CCN(Cc3ccc(C#N)cc3)C(=O)C2)O1 ZINC000376412217 267025928 /nfs/dbraw/zinc/02/59/28/267025928.db2.gz RNYLPKAAODIRSB-PBHICJAKSA-N 1 2 313.401 1.770 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1C(=O)C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000344343199 267042971 /nfs/dbraw/zinc/04/29/71/267042971.db2.gz AAZPJEVQTSCNDE-SNVBAGLBSA-N 1 2 320.780 1.313 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1C(=O)C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000344343199 267042976 /nfs/dbraw/zinc/04/29/76/267042976.db2.gz AAZPJEVQTSCNDE-SNVBAGLBSA-N 1 2 320.780 1.313 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1cscc1C#N ZINC000354266101 267091635 /nfs/dbraw/zinc/09/16/35/267091635.db2.gz MPICTMPJCHVRPW-YPMHNXCESA-N 1 2 305.403 1.555 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000377971333 267381661 /nfs/dbraw/zinc/38/16/61/267381661.db2.gz UGOXCHYFHDMTKU-LBPRGKRZSA-N 1 2 323.356 1.202 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000377971333 267381665 /nfs/dbraw/zinc/38/16/65/267381665.db2.gz UGOXCHYFHDMTKU-LBPRGKRZSA-N 1 2 323.356 1.202 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@]2(CO)COCC3(CCC3)[N@H+]2C)n1 ZINC000528132840 268028788 /nfs/dbraw/zinc/02/87/88/268028788.db2.gz OQGFFNZGSBKLSA-KRWDZBQOSA-N 1 2 316.405 1.289 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@]2(CO)COCC3(CCC3)[N@@H+]2C)n1 ZINC000528132840 268028792 /nfs/dbraw/zinc/02/87/92/268028792.db2.gz OQGFFNZGSBKLSA-KRWDZBQOSA-N 1 2 316.405 1.289 20 30 DDEDLO N#Cc1ccc(N2CCCN(C(=O)CCn3cc[nH+]c3)CC2)cc1 ZINC000371508948 268109348 /nfs/dbraw/zinc/10/93/48/268109348.db2.gz BHVXACAPXGKLMT-UHFFFAOYSA-N 1 2 323.400 1.884 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CCCC[C@H]2[NH+]2CCOCC2)s1 ZINC000532174809 268204056 /nfs/dbraw/zinc/20/40/56/268204056.db2.gz CUPPNAOENVVYBY-UONOGXRCSA-N 1 2 319.430 1.993 20 30 DDEDLO C#CC[NH+]1CCN(c2ccc(CC(=O)OC)cc2[N+](=O)[O-])CC1 ZINC000296656533 276784188 /nfs/dbraw/zinc/78/41/88/276784188.db2.gz XZGIFKSAUGTVKV-UHFFFAOYSA-N 1 2 317.345 1.066 20 30 DDEDLO C=C[C@H](C)NC(=O)[C@H]1CCC(=O)N(C2CC2)[C@H]1c1c[nH+]cn1C ZINC000345732261 277910589 /nfs/dbraw/zinc/91/05/89/277910589.db2.gz ICSNJGVFSQETFC-DETPVDSQSA-N 1 2 316.405 1.553 20 30 DDEDLO CC(C)c1scnc1NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329586397 278117655 /nfs/dbraw/zinc/11/76/55/278117655.db2.gz WTGLVEMGHXGHKG-QWRGUYRKSA-N 1 2 310.423 1.827 20 30 DDEDLO CC(C)c1scnc1NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329586397 278117656 /nfs/dbraw/zinc/11/76/56/278117656.db2.gz WTGLVEMGHXGHKG-QWRGUYRKSA-N 1 2 310.423 1.827 20 30 DDEDLO C[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1Cl ZINC000227301495 288071075 /nfs/dbraw/zinc/07/10/75/288071075.db2.gz QUZKWIRHRYXDHQ-SNVBAGLBSA-N 1 2 313.810 1.536 20 30 DDEDLO C[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1Cl ZINC000227301495 288071076 /nfs/dbraw/zinc/07/10/76/288071076.db2.gz QUZKWIRHRYXDHQ-SNVBAGLBSA-N 1 2 313.810 1.536 20 30 DDEDLO CC(C)C[C@H]1CCC(=O)N(C[C@H](O)C[N@H+](C)CCC#N)C1=O ZINC000414137467 279202548 /nfs/dbraw/zinc/20/25/48/279202548.db2.gz IFQXRWOIIUGKRE-ZIAGYGMSSA-N 1 2 309.410 1.004 20 30 DDEDLO CC(C)C[C@H]1CCC(=O)N(C[C@H](O)C[N@@H+](C)CCC#N)C1=O ZINC000414137467 279202552 /nfs/dbraw/zinc/20/25/52/279202552.db2.gz IFQXRWOIIUGKRE-ZIAGYGMSSA-N 1 2 309.410 1.004 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1nc(Cl)c(C#N)s1 ZINC000286201016 280000012 /nfs/dbraw/zinc/00/00/12/280000012.db2.gz BRXKDARYNJXTOK-UWVGGRQHSA-N 1 2 312.826 1.968 20 30 DDEDLO C[NH+](C)CCCN(CCC#N)C(=O)c1ccc([N+](=O)[O-])cc1O ZINC000133434684 289113304 /nfs/dbraw/zinc/11/33/04/289113304.db2.gz IYPGSTPQLPWIQA-UHFFFAOYSA-N 1 2 320.349 1.608 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNC(=O)N2CCC3(CCOCC3)CC2)C1 ZINC000328868653 290106321 /nfs/dbraw/zinc/10/63/21/290106321.db2.gz HHNDSMLCZDVQKJ-CQSZACIVSA-N 1 2 311.426 1.124 20 30 DDEDLO C[N@H+]1CCO[C@H](CNC(=O)N2CCC3(CCOCC3)CC2)C1 ZINC000328868653 290106325 /nfs/dbraw/zinc/10/63/25/290106325.db2.gz HHNDSMLCZDVQKJ-CQSZACIVSA-N 1 2 311.426 1.124 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H](C)Nc2cc[nH+]c(C)n2)c1 ZINC001120614125 782055590 /nfs/dbraw/zinc/05/55/90/782055590.db2.gz YUZBTRSHPAQZTG-LBPRGKRZSA-N 1 2 309.373 1.734 20 30 DDEDLO C[C@@H]1C[N@H+](CCN2CCC[C@]3(CCCCO3)[C@H]2C#N)CCO1 ZINC000337370776 297002975 /nfs/dbraw/zinc/00/29/75/297002975.db2.gz PUXYAZXMEKQINL-BRWVUGGUSA-N 1 2 307.438 1.634 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN2CCC[C@]3(CCCCO3)[C@H]2C#N)CCO1 ZINC000337370776 297002976 /nfs/dbraw/zinc/00/29/76/297002976.db2.gz PUXYAZXMEKQINL-BRWVUGGUSA-N 1 2 307.438 1.634 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(c2nccc(C#N)c2Cl)CCN1C ZINC000557675486 307881270 /nfs/dbraw/zinc/88/12/70/307881270.db2.gz NZBIOEXQPAVDHK-LBPRGKRZSA-N 1 2 316.796 1.833 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3ncncc3C#N)c[nH+]2)C[C@H](C)O1 ZINC000567751408 308094482 /nfs/dbraw/zinc/09/44/82/308094482.db2.gz BKPSTKPOSJIPJC-STQMWFEESA-N 1 2 324.388 1.391 20 30 DDEDLO Cc1nc(N2CCN(C(=O)Nc3cccc(C#N)n3)CC2)cc[nH+]1 ZINC000573962987 308256843 /nfs/dbraw/zinc/25/68/43/308256843.db2.gz RREQSBNLGJQFBM-UHFFFAOYSA-N 1 2 323.360 1.406 20 30 DDEDLO N#Cc1nccc(NC[C@H](c2ccccc2)[NH+]2CCOCC2)n1 ZINC000581637896 325889604 /nfs/dbraw/zinc/88/96/04/325889604.db2.gz SWFWOHLTBNIJQT-OAHLLOKOSA-N 1 2 309.373 1.256 20 30 DDEDLO C[C@H](OCc1ccc(F)cc1)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000517376016 331679397 /nfs/dbraw/zinc/67/93/97/331679397.db2.gz FHLKIAHUEMWDFG-LRDDRELGSA-N 1 2 307.369 1.691 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C[C@H]1COc2ccccc2O1 ZINC000569581947 332144516 /nfs/dbraw/zinc/14/45/16/332144516.db2.gz ODFHAKVBDGLFGA-BLLLJJGKSA-N 1 2 303.362 1.177 20 30 DDEDLO Cc1cn(-c2ccc(C#N)cn2)nc1NC(=O)Cn1cc[nH+]c1 ZINC000583355501 332348710 /nfs/dbraw/zinc/34/87/10/332348710.db2.gz MCDANDPUCNSNFW-UHFFFAOYSA-N 1 2 307.317 1.283 20 30 DDEDLO C[N@@H+]1CCN(C(=O)OC(C)(C)C)C[C@H]1CNC(=O)CCCC#N ZINC000497310171 333234971 /nfs/dbraw/zinc/23/49/71/333234971.db2.gz CBYVQWHSAOMACV-CYBMUJFWSA-N 1 2 324.425 1.348 20 30 DDEDLO C[N@H+]1CCN(C(=O)OC(C)(C)C)C[C@H]1CNC(=O)CCCC#N ZINC000497310171 333234973 /nfs/dbraw/zinc/23/49/73/333234973.db2.gz CBYVQWHSAOMACV-CYBMUJFWSA-N 1 2 324.425 1.348 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNS(=O)(=O)N(C)[C@H](C)CC#N ZINC000330403089 334778418 /nfs/dbraw/zinc/77/84/18/334778418.db2.gz GKXBDNLQGUYMRE-GFCCVEGCSA-N 1 2 313.427 1.107 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)CN(C)C(=O)Cc2c[nH+]c[nH]2)n1 ZINC001120712195 782102441 /nfs/dbraw/zinc/10/24/41/782102441.db2.gz JZDJILWWPVROIW-GFCCVEGCSA-N 1 2 312.377 1.486 20 30 DDEDLO N#CCC1CC[NH+](CCS(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000397156876 337256644 /nfs/dbraw/zinc/25/66/44/337256644.db2.gz REZSRYWGSKSUEC-UHFFFAOYSA-N 1 2 317.414 1.958 20 30 DDEDLO COc1cccc(OC)c1CC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000572164843 337594585 /nfs/dbraw/zinc/59/45/85/337594585.db2.gz BNDYQRFQTBZSIG-INIZCTEOSA-N 1 2 305.378 1.206 20 30 DDEDLO C=CCOCCCC(=O)NCc1cccnc1-n1cc[nH+]c1 ZINC000079463390 337621302 /nfs/dbraw/zinc/62/13/02/337621302.db2.gz BXSFRXAUXMBCGJ-UHFFFAOYSA-N 1 2 300.362 1.866 20 30 DDEDLO Cc1ccc(-n2cccn2)cc1NC[C@@H](O)C[N@H+](C)CCC#N ZINC000516175716 338005972 /nfs/dbraw/zinc/00/59/72/338005972.db2.gz HMZZSQMUPWQFNS-MRXNPFEDSA-N 1 2 313.405 1.799 20 30 DDEDLO Cc1ccc(-n2cccn2)cc1NC[C@@H](O)C[N@@H+](C)CCC#N ZINC000516175716 338005973 /nfs/dbraw/zinc/00/59/73/338005973.db2.gz HMZZSQMUPWQFNS-MRXNPFEDSA-N 1 2 313.405 1.799 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N1CCC(CCC#N)CC1)[NH+]1CCOCC1 ZINC000572478605 338135900 /nfs/dbraw/zinc/13/59/00/338135900.db2.gz SIRDVNTXRBUNSZ-LSDHHAIUSA-N 1 2 322.453 1.821 20 30 DDEDLO CCOC(=O)N1CCC(NC(=O)C[NH2+][C@@H](CC)CC#N)CC1 ZINC000496421345 340005113 /nfs/dbraw/zinc/00/51/13/340005113.db2.gz RWXDZFXGTUUOCP-LBPRGKRZSA-N 1 2 310.398 1.005 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@H+](CC(=O)NCC#N)[C@@H](C)CN1C(=O)OC(C)(C)C ZINC000496979361 340017470 /nfs/dbraw/zinc/01/74/70/340017470.db2.gz HQSWATHONSQUFX-YNEHKIRRSA-N 1 2 324.425 1.344 20 30 DDEDLO C[C@@H]1[C@@H](C)[N@@H+](CC(=O)NCC#N)[C@@H](C)CN1C(=O)OC(C)(C)C ZINC000496979361 340017471 /nfs/dbraw/zinc/01/74/71/340017471.db2.gz HQSWATHONSQUFX-YNEHKIRRSA-N 1 2 324.425 1.344 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000497064448 340019380 /nfs/dbraw/zinc/01/93/80/340019380.db2.gz OIHJAQWCBHHASS-ZIAGYGMSSA-N 1 2 324.425 1.157 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000497064448 340019381 /nfs/dbraw/zinc/01/93/81/340019381.db2.gz OIHJAQWCBHHASS-ZIAGYGMSSA-N 1 2 324.425 1.157 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CCN(C)C(=O)[C@H]1C ZINC000249537099 341399045 /nfs/dbraw/zinc/39/90/45/341399045.db2.gz CUQJFTPVICSQFK-GDBMZVCRSA-N 1 2 318.417 1.317 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CCN(C)C(=O)[C@H]1C ZINC000249537099 341399046 /nfs/dbraw/zinc/39/90/46/341399046.db2.gz CUQJFTPVICSQFK-GDBMZVCRSA-N 1 2 318.417 1.317 20 30 DDEDLO Cn1c[nH+]cc1CNc1cc(C#N)c2cc([N+](=O)[O-])ccc2n1 ZINC000357593378 484509287 /nfs/dbraw/zinc/50/92/87/484509287.db2.gz BTXVQGYQNXHESL-UHFFFAOYSA-N 1 2 308.301 2.360 20 30 DDEDLO C=CC[C@H]1CCCC[C@@H]1NC(=O)C(=O)Nc1ccc(N)[nH+]c1 ZINC000659091283 484617449 /nfs/dbraw/zinc/61/74/49/484617449.db2.gz FVQHBRILVDHTDM-AAEUAGOBSA-N 1 2 302.378 1.853 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000665616220 484970981 /nfs/dbraw/zinc/97/09/81/484970981.db2.gz ZUJVTKCHDOXPJV-HNNXBMFYSA-N 1 2 318.421 1.808 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCc1cn2cccc(C)c2[nH+]1 ZINC000668449835 485169329 /nfs/dbraw/zinc/16/93/29/485169329.db2.gz MPBZHFWFQUIBSR-HNNXBMFYSA-N 1 2 314.389 1.730 20 30 DDEDLO C=CCOc1ccccc1C[NH2+]Cc1nnc2n1CCOC2 ZINC000682953022 485836792 /nfs/dbraw/zinc/83/67/92/485836792.db2.gz REHYOOSDUCMVPV-UHFFFAOYSA-N 1 2 300.362 1.663 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)Cn1cc2ccccc2n1 ZINC000330055709 533834385 /nfs/dbraw/zinc/83/43/85/533834385.db2.gz UTQRPQZKUFEACP-AWEZNQCLSA-N 1 2 315.421 1.629 20 30 DDEDLO C[C@H]1C[N@H+](CCC(=O)Nc2ccc(C#N)cc2)CC[S@]1=O ZINC000330823910 533923760 /nfs/dbraw/zinc/92/37/60/533923760.db2.gz FAGUDXVJDKDJHV-QKVFXAPYSA-N 1 2 305.403 1.340 20 30 DDEDLO C[C@H]1C[N@@H+](CCC(=O)Nc2ccc(C#N)cc2)CC[S@]1=O ZINC000330823910 533923764 /nfs/dbraw/zinc/92/37/64/533923764.db2.gz FAGUDXVJDKDJHV-QKVFXAPYSA-N 1 2 305.403 1.340 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+]2CCOC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000330623330 534009494 /nfs/dbraw/zinc/00/94/94/534009494.db2.gz PMJHOJXIFMZBDS-LBPRGKRZSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)cc([N+](=O)[O-])c1 ZINC000330623330 534009505 /nfs/dbraw/zinc/00/95/05/534009505.db2.gz PMJHOJXIFMZBDS-LBPRGKRZSA-N 1 2 307.350 1.929 20 30 DDEDLO Cc1nc(N2CCN(C(=O)N[C@@H]3CCC[C@@H]3C#N)CC2)cc[nH+]1 ZINC000332129967 534755216 /nfs/dbraw/zinc/75/52/16/534755216.db2.gz JQZSBLRUKVPXNU-ZIAGYGMSSA-N 1 2 314.393 1.309 20 30 DDEDLO Cn1cc[nH+]c1CN1C[C@@H](F)C[C@H]1CNC(=O)[C@H]1CCCOC1 ZINC000329985256 534787341 /nfs/dbraw/zinc/78/73/41/534787341.db2.gz QYMRQVBHPSFHDA-IHRRRGAJSA-N 1 2 324.400 1.716 20 30 DDEDLO C[C@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CCS1 ZINC000156724674 524599722 /nfs/dbraw/zinc/59/97/22/524599722.db2.gz VHOZDHKQLXAZPR-LBPRGKRZSA-N 1 2 310.444 1.769 20 30 DDEDLO C[C@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CCS1 ZINC000156724674 524599729 /nfs/dbraw/zinc/59/97/29/524599729.db2.gz VHOZDHKQLXAZPR-LBPRGKRZSA-N 1 2 310.444 1.769 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)Nc1nc(CC(=O)OCC)cs1 ZINC000339767130 526359186 /nfs/dbraw/zinc/35/91/86/526359186.db2.gz YSASYDZLYKCHKN-UHFFFAOYSA-N 1 2 309.391 1.142 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)Nc1nc(CC(=O)OCC)cs1 ZINC000339767130 526359191 /nfs/dbraw/zinc/35/91/91/526359191.db2.gz YSASYDZLYKCHKN-UHFFFAOYSA-N 1 2 309.391 1.142 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)N2CCC(C)CC2)C1=O ZINC000337222825 526502346 /nfs/dbraw/zinc/50/23/46/526502346.db2.gz MHDUGSKFJCWKNL-GJZGRUSLSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)N2CCC(C)CC2)C1=O ZINC000337222825 526502349 /nfs/dbraw/zinc/50/23/49/526502349.db2.gz MHDUGSKFJCWKNL-GJZGRUSLSA-N 1 2 307.438 1.352 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCCC[C@@H](S(C)(=O)=O)C1 ZINC000444804035 526520507 /nfs/dbraw/zinc/52/05/07/526520507.db2.gz QYFICBLHUKZLEY-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCCC[C@@H](S(C)(=O)=O)C1 ZINC000444804035 526520515 /nfs/dbraw/zinc/52/05/15/526520515.db2.gz QYFICBLHUKZLEY-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO CC(=O)N1CCC(NC(=O)C[N@@H+]2C[C@@H](C3CC3)O[C@@H](C)C2)CC1 ZINC000329908674 526524987 /nfs/dbraw/zinc/52/49/87/526524987.db2.gz LYFJTXDHNUDFET-LRDDRELGSA-N 1 2 323.437 1.453 20 30 DDEDLO CC(=O)N1CCC(NC(=O)C[N@H+]2C[C@@H](C3CC3)O[C@@H](C)C2)CC1 ZINC000329908674 526524991 /nfs/dbraw/zinc/52/49/91/526524991.db2.gz LYFJTXDHNUDFET-LRDDRELGSA-N 1 2 323.437 1.453 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)Cc1nc2ccccc2o1 ZINC000431596002 526585745 /nfs/dbraw/zinc/58/57/45/526585745.db2.gz MKHLJQMAKLKESP-UHFFFAOYSA-N 1 2 302.334 1.271 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)Cc1nc2ccccc2o1 ZINC000431596002 526585752 /nfs/dbraw/zinc/58/57/52/526585752.db2.gz MKHLJQMAKLKESP-UHFFFAOYSA-N 1 2 302.334 1.271 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[NH+]1CCC(n2cncn2)CC1 ZINC000156592040 526769695 /nfs/dbraw/zinc/76/96/95/526769695.db2.gz CXSFWPQFVMZCLU-UHFFFAOYSA-N 1 2 316.390 1.487 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[NH+](Cc2cnn(C)c2)CC1)OCC ZINC000339365088 526808184 /nfs/dbraw/zinc/80/81/84/526808184.db2.gz XKGBTUKTIMVOGK-INIZCTEOSA-N 1 2 320.437 1.436 20 30 DDEDLO C=CCOC[C@H]([NH2+][C@H]1CCO[C@@H](C(F)(F)F)C1)C(=O)OC ZINC000348361714 526811215 /nfs/dbraw/zinc/81/12/15/526811215.db2.gz UDTKTMLXAHJJQK-GARJFASQSA-N 1 2 311.300 1.430 20 30 DDEDLO C#CC[N@@H+](CCCCCn1cc(C)cn1)[C@H]1CCS(=O)(=O)C1 ZINC000490851320 526900652 /nfs/dbraw/zinc/90/06/52/526900652.db2.gz GRBFATXWNDHBDY-INIZCTEOSA-N 1 2 323.462 1.484 20 30 DDEDLO C#CC[N@H+](CCCCCn1cc(C)cn1)[C@H]1CCS(=O)(=O)C1 ZINC000490851320 526900655 /nfs/dbraw/zinc/90/06/55/526900655.db2.gz GRBFATXWNDHBDY-INIZCTEOSA-N 1 2 323.462 1.484 20 30 DDEDLO C=CCCC[NH+]1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000344574315 527198202 /nfs/dbraw/zinc/19/82/02/527198202.db2.gz CJAKNFCDIJDABM-UHFFFAOYSA-N 1 2 315.464 1.724 20 30 DDEDLO C#C[C@@H](CC)NC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000491625442 527237830 /nfs/dbraw/zinc/23/78/30/527237830.db2.gz HUYDVYBOVFBBOQ-AWEZNQCLSA-N 1 2 302.378 1.129 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+](C)Cc1nncn1C ZINC000347665271 527263441 /nfs/dbraw/zinc/26/34/41/527263441.db2.gz QSSDKDTUBHBFFI-OAHLLOKOSA-N 1 2 316.405 1.415 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+](C)Cc1nncn1C ZINC000347665271 527263446 /nfs/dbraw/zinc/26/34/46/527263446.db2.gz QSSDKDTUBHBFFI-OAHLLOKOSA-N 1 2 316.405 1.415 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1ccccc1SCC(N)=O ZINC000491641807 527330952 /nfs/dbraw/zinc/33/09/52/527330952.db2.gz TYONCPDGZJBMSF-NSHDSACASA-N 1 2 305.403 1.156 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1ccccc1SCC(N)=O ZINC000491641807 527330954 /nfs/dbraw/zinc/33/09/54/527330954.db2.gz TYONCPDGZJBMSF-NSHDSACASA-N 1 2 305.403 1.156 20 30 DDEDLO C#C[C@H](CCC)NC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000491690875 527370370 /nfs/dbraw/zinc/37/03/70/527370370.db2.gz DZJKWUJQXWPHQA-OAHLLOKOSA-N 1 2 316.405 1.519 20 30 DDEDLO C#C[C@H](NC(=O)NCc1[nH+]ccn1CC(F)(F)F)C(C)C ZINC000490972623 527396063 /nfs/dbraw/zinc/39/60/63/527396063.db2.gz GYUUMIQKXGDLNN-JTQLQIEISA-N 1 2 302.300 1.902 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000491654210 527419721 /nfs/dbraw/zinc/41/97/21/527419721.db2.gz FLBPVSSQWFNBPA-HNNXBMFYSA-N 1 2 314.389 1.225 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000411583939 527466226 /nfs/dbraw/zinc/46/62/26/527466226.db2.gz JQGYWJSWAMISED-OLZOCXBDSA-N 1 2 314.345 1.123 20 30 DDEDLO C=CCCn1cc(C(=O)NCCCCNc2cccc[nH+]2)nn1 ZINC000424636487 527521472 /nfs/dbraw/zinc/52/14/72/527521472.db2.gz AVFYRKSLUWEVNK-UHFFFAOYSA-N 1 2 314.393 1.871 20 30 DDEDLO CC(C)C[C@]1(CO)CCCN1C(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000331028248 528616081 /nfs/dbraw/zinc/61/60/81/528616081.db2.gz WPHXBQDFUQMLON-ZBFHGGJFSA-N 1 2 313.442 1.104 20 30 DDEDLO CC(C)C[C@]1(CO)CCCN1C(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000331028248 528616087 /nfs/dbraw/zinc/61/60/87/528616087.db2.gz WPHXBQDFUQMLON-ZBFHGGJFSA-N 1 2 313.442 1.104 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CCO)Cc1cccc(F)c1 ZINC000494260184 528620293 /nfs/dbraw/zinc/62/02/93/528620293.db2.gz SGFWVLPCSUWTQR-AWEZNQCLSA-N 1 2 321.396 1.628 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CCO)Cc1cccc(F)c1 ZINC000494260184 528620299 /nfs/dbraw/zinc/62/02/99/528620299.db2.gz SGFWVLPCSUWTQR-AWEZNQCLSA-N 1 2 321.396 1.628 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000411383822 528686837 /nfs/dbraw/zinc/68/68/37/528686837.db2.gz GWNBBKBUHXXXTA-SNVBAGLBSA-N 1 2 302.378 1.954 20 30 DDEDLO CC(C)N(C)C(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000330898986 528807975 /nfs/dbraw/zinc/80/79/75/528807975.db2.gz VJZSFJYDHQICBJ-UHFFFAOYSA-N 1 2 319.409 1.355 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCCOCC2)CC1 ZINC000330267108 528821263 /nfs/dbraw/zinc/82/12/63/528821263.db2.gz QHXYDRXCYIAEAM-AWEZNQCLSA-N 1 2 311.426 1.314 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCCOCC2)CC1 ZINC000330267108 528821267 /nfs/dbraw/zinc/82/12/67/528821267.db2.gz QHXYDRXCYIAEAM-AWEZNQCLSA-N 1 2 311.426 1.314 20 30 DDEDLO CCN1CCN(CC(=O)Nc2cc(C)no2)C[C@H]1c1[nH]cc[nH+]1 ZINC000329921033 529140175 /nfs/dbraw/zinc/14/01/75/529140175.db2.gz FJRXWFXUZQDANU-LBPRGKRZSA-N 1 2 318.381 1.673 20 30 DDEDLO C=C(C)C[N@@H+](C)CC(=O)Nc1sc(C)c(C)c1-c1nn[nH]n1 ZINC000823878221 609371057 /nfs/dbraw/zinc/37/10/57/609371057.db2.gz SZBOIHGFEBGRMV-UHFFFAOYSA-N 1 2 320.422 1.991 20 30 DDEDLO C=C(C)C[N@H+](C)CC(=O)Nc1sc(C)c(C)c1-c1nn[nH]n1 ZINC000823878221 609371059 /nfs/dbraw/zinc/37/10/59/609371059.db2.gz SZBOIHGFEBGRMV-UHFFFAOYSA-N 1 2 320.422 1.991 20 30 DDEDLO C#CCOCCC(=O)Nc1ccccc1OCCn1cc[nH+]c1 ZINC000122225743 696720094 /nfs/dbraw/zinc/72/00/94/696720094.db2.gz RBVXEJQGFMMJDX-UHFFFAOYSA-N 1 2 313.357 1.941 20 30 DDEDLO C#CCNC(=O)[C@H](C)OC(=O)[C@@H](c1ccccc1)[N@@H+](C)CC ZINC000745102102 699971856 /nfs/dbraw/zinc/97/18/56/699971856.db2.gz UHVGUMHVLWDNCH-DZGCQCFKSA-N 1 2 302.374 1.361 20 30 DDEDLO C#CCNC(=O)[C@H](C)OC(=O)[C@@H](c1ccccc1)[N@H+](C)CC ZINC000745102102 699971858 /nfs/dbraw/zinc/97/18/58/699971858.db2.gz UHVGUMHVLWDNCH-DZGCQCFKSA-N 1 2 302.374 1.361 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccccc3C)C2)C1 ZINC000972232762 695183892 /nfs/dbraw/zinc/18/38/92/695183892.db2.gz FLZLLDQEXLJBNG-LJQANCHMSA-N 1 2 312.413 1.935 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccccc3C)C2)C1 ZINC000972232762 695183893 /nfs/dbraw/zinc/18/38/93/695183893.db2.gz FLZLLDQEXLJBNG-LJQANCHMSA-N 1 2 312.413 1.935 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCC3CCC3)C2)C1 ZINC000972323905 695216236 /nfs/dbraw/zinc/21/62/36/695216236.db2.gz LWAOLSSSJLZYRX-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCC3CCC3)C2)C1 ZINC000972323905 695216238 /nfs/dbraw/zinc/21/62/38/695216238.db2.gz LWAOLSSSJLZYRX-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCC(F)(F)C3)C2)C1 ZINC000972410366 695242697 /nfs/dbraw/zinc/24/26/97/695242697.db2.gz VVIVKNPUSSRPNE-ZFWWWQNUSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCC(F)(F)C3)C2)C1 ZINC000972410366 695242700 /nfs/dbraw/zinc/24/27/00/695242700.db2.gz VVIVKNPUSSRPNE-ZFWWWQNUSA-N 1 2 312.360 1.358 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(CC)o3)C2)C1 ZINC000972436734 695251091 /nfs/dbraw/zinc/25/10/91/695251091.db2.gz CVCROIDDZWSPFG-GOSISDBHSA-N 1 2 316.401 1.782 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(CC)o3)C2)C1 ZINC000972436734 695251093 /nfs/dbraw/zinc/25/10/93/695251093.db2.gz CVCROIDDZWSPFG-GOSISDBHSA-N 1 2 316.401 1.782 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3CC(F)(F)C3)C2)C1 ZINC000972440708 695252312 /nfs/dbraw/zinc/25/23/12/695252312.db2.gz KGVDMOPFIDFGRT-OAHLLOKOSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3CC(F)(F)C3)C2)C1 ZINC000972440708 695252313 /nfs/dbraw/zinc/25/23/13/695252313.db2.gz KGVDMOPFIDFGRT-OAHLLOKOSA-N 1 2 312.360 1.358 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C3CC3)C2)C1 ZINC000972510900 695268738 /nfs/dbraw/zinc/26/87/38/695268738.db2.gz GJCZTPMANOLIEO-SFHVURJKSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C(C)(C)C3CC3)C2)C1 ZINC000972510900 695268741 /nfs/dbraw/zinc/26/87/41/695268741.db2.gz GJCZTPMANOLIEO-SFHVURJKSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CCC(F)CC3)C2)C1 ZINC000972532690 695274655 /nfs/dbraw/zinc/27/46/55/695274655.db2.gz VDESHNYJJCWOOG-LEOMRAHMSA-N 1 2 322.424 1.841 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CCC(F)CC3)C2)C1 ZINC000972532690 695274656 /nfs/dbraw/zinc/27/46/56/695274656.db2.gz VDESHNYJJCWOOG-LEOMRAHMSA-N 1 2 322.424 1.841 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cscn3)C2)C1 ZINC000972585064 695290357 /nfs/dbraw/zinc/29/03/57/695290357.db2.gz GQXXDWKWDSCJRS-HNNXBMFYSA-N 1 2 307.419 1.175 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cscn3)C2)C1 ZINC000972585064 695290359 /nfs/dbraw/zinc/29/03/59/695290359.db2.gz GQXXDWKWDSCJRS-HNNXBMFYSA-N 1 2 307.419 1.175 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC34CCCC4)C2)C1 ZINC000972624618 695302696 /nfs/dbraw/zinc/30/26/96/695302696.db2.gz RELRTTJGGFNOIV-CRAIPNDOSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC34CCCC4)C2)C1 ZINC000972624618 695302700 /nfs/dbraw/zinc/30/27/00/695302700.db2.gz RELRTTJGGFNOIV-CRAIPNDOSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@H](C)c3ccco3)C2)C1 ZINC000972640354 695306781 /nfs/dbraw/zinc/30/67/81/695306781.db2.gz IWSCRODXEXIZEO-MAUKXSAKSA-N 1 2 316.401 1.710 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@H](C)c3ccco3)C2)C1 ZINC000972640354 695306782 /nfs/dbraw/zinc/30/67/82/695306782.db2.gz IWSCRODXEXIZEO-MAUKXSAKSA-N 1 2 316.401 1.710 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H](C)n3cccc3)C2)C1 ZINC000972642255 695307349 /nfs/dbraw/zinc/30/73/49/695307349.db2.gz GBNQVVFWFHERDV-SJLPKXTDSA-N 1 2 315.417 1.376 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H](C)n3cccc3)C2)C1 ZINC000972642255 695307350 /nfs/dbraw/zinc/30/73/50/695307350.db2.gz GBNQVVFWFHERDV-SJLPKXTDSA-N 1 2 315.417 1.376 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@@]2(C)CCOC2)C(C)(C)C1 ZINC000977403664 696146432 /nfs/dbraw/zinc/14/64/32/696146432.db2.gz OIYHSDDDXYJICM-WFASDCNBSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@@]2(C)CCOC2)C(C)(C)C1 ZINC000977403664 696146436 /nfs/dbraw/zinc/14/64/36/696146436.db2.gz OIYHSDDDXYJICM-WFASDCNBSA-N 1 2 300.830 1.992 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)NC(C2CC2)C2CC2)cc1 ZINC000054230716 696274249 /nfs/dbraw/zinc/27/42/49/696274249.db2.gz RFFMJFJSZFWFLA-UHFFFAOYSA-N 1 2 317.389 1.637 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnc(-c3ccccc3)nc2)CC1 ZINC000068444191 696372630 /nfs/dbraw/zinc/37/26/30/696372630.db2.gz QHGORKADZLROGG-UHFFFAOYSA-N 1 2 320.396 1.925 20 30 DDEDLO Cc1nn[nH]c1C(=O)N1CCC[N@H+](Cc2cccc(C#N)c2)CC1 ZINC000981731043 696894567 /nfs/dbraw/zinc/89/45/67/696894567.db2.gz YIUBOURODJICAF-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO Cc1nn[nH]c1C(=O)N1CCC[N@@H+](Cc2cccc(C#N)c2)CC1 ZINC000981731043 696894571 /nfs/dbraw/zinc/89/45/71/696894571.db2.gz YIUBOURODJICAF-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)NC2CCCC2)CC1 ZINC000981754071 696903036 /nfs/dbraw/zinc/90/30/36/696903036.db2.gz RVIYRBVFUATVMV-UHFFFAOYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NC2CCCC2)CC1 ZINC000981754071 696903039 /nfs/dbraw/zinc/90/30/39/696903039.db2.gz RVIYRBVFUATVMV-UHFFFAOYSA-N 1 2 321.465 1.792 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCN(C(=O)c3cc(C#N)c[nH]3)CC2)cn1 ZINC000980917117 696943642 /nfs/dbraw/zinc/94/36/42/696943642.db2.gz BDKWOCYEFINEFW-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCN(C(=O)c3cc(C#N)c[nH]3)CC2)cn1 ZINC000980917117 696943643 /nfs/dbraw/zinc/94/36/43/696943643.db2.gz BDKWOCYEFINEFW-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N1CCC[N@H+](Cc2ccc(C#N)s2)CC1 ZINC000981094277 697003077 /nfs/dbraw/zinc/00/30/77/697003077.db2.gz PSKLPFNUPRFDFJ-OAHLLOKOSA-N 1 2 321.446 1.671 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)s2)CC1 ZINC000981094277 697003079 /nfs/dbraw/zinc/00/30/79/697003079.db2.gz PSKLPFNUPRFDFJ-OAHLLOKOSA-N 1 2 321.446 1.671 20 30 DDEDLO C[C@@H](CC(=O)N1CC2(C1)CCCN(CC#N)C2)n1cc[nH+]c1 ZINC000982042076 697012321 /nfs/dbraw/zinc/01/23/21/697012321.db2.gz HEWBOFFOWWKOIA-AWEZNQCLSA-N 1 2 301.394 1.282 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2coc(Br)c2)CC1 ZINC000981279419 697054464 /nfs/dbraw/zinc/05/44/64/697054464.db2.gz YRCXWTLJXCFNLZ-UHFFFAOYSA-N 1 2 311.179 1.823 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2coc(Br)c2)CC1 ZINC000981279419 697054466 /nfs/dbraw/zinc/05/44/66/697054466.db2.gz YRCXWTLJXCFNLZ-UHFFFAOYSA-N 1 2 311.179 1.823 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC000981469582 697105206 /nfs/dbraw/zinc/10/52/06/697105206.db2.gz FEZXAIMCFVOWII-CYBMUJFWSA-N 1 2 313.829 1.142 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC000981469582 697105209 /nfs/dbraw/zinc/10/52/09/697105209.db2.gz FEZXAIMCFVOWII-CYBMUJFWSA-N 1 2 313.829 1.142 20 30 DDEDLO C=CCn1c(C)nn(C[N@@H+]2CCC[C@H](C3OCCO3)C2)c1=S ZINC000172490172 697368066 /nfs/dbraw/zinc/36/80/66/697368066.db2.gz KGTIPPJXJIFICP-ZDUSSCGKSA-N 1 2 324.450 1.951 20 30 DDEDLO C=CCn1c(C)nn(C[N@H+]2CCC[C@H](C3OCCO3)C2)c1=S ZINC000172490172 697368068 /nfs/dbraw/zinc/36/80/68/697368068.db2.gz KGTIPPJXJIFICP-ZDUSSCGKSA-N 1 2 324.450 1.951 20 30 DDEDLO Cn1c[nH+]cc1CNc1ccc(N2CCC(O)CC2)c(C#N)c1 ZINC000174618815 697390536 /nfs/dbraw/zinc/39/05/36/697390536.db2.gz FBFLIUBMCGRYPM-UHFFFAOYSA-N 1 2 311.389 1.865 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2nnc(C3CCC3)n2C)cc1 ZINC000181445428 697460714 /nfs/dbraw/zinc/46/07/14/697460714.db2.gz IQSHCASYTMZNJD-UHFFFAOYSA-N 1 2 315.377 1.928 20 30 DDEDLO C[C@@H]1C[N@H+](CCOC(=O)/C=C/c2ccccc2C#N)CCO1 ZINC000800125774 700190372 /nfs/dbraw/zinc/19/03/72/700190372.db2.gz WUKQDVWABRWIAD-PSKZRQQASA-N 1 2 300.358 1.835 20 30 DDEDLO C[C@@H]1C[N@@H+](CCOC(=O)/C=C/c2ccccc2C#N)CCO1 ZINC000800125774 700190376 /nfs/dbraw/zinc/19/03/76/700190376.db2.gz WUKQDVWABRWIAD-PSKZRQQASA-N 1 2 300.358 1.835 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)[C@H]1CCCO1 ZINC000776227383 698084189 /nfs/dbraw/zinc/08/41/89/698084189.db2.gz JMZYMPNXCJPUGE-QLFBSQMISA-N 1 2 302.378 1.410 20 30 DDEDLO C=CC[N@@H+](CCc1ccco1)CN1CC(=O)N(C(C)C)C1=O ZINC000778588873 698349992 /nfs/dbraw/zinc/34/99/92/698349992.db2.gz MFLDDIKDEYOWPC-UHFFFAOYSA-N 1 2 305.378 1.940 20 30 DDEDLO C=CC[N@H+](CCc1ccco1)CN1CC(=O)N(C(C)C)C1=O ZINC000778588873 698349997 /nfs/dbraw/zinc/34/99/97/698349997.db2.gz MFLDDIKDEYOWPC-UHFFFAOYSA-N 1 2 305.378 1.940 20 30 DDEDLO C[C@H]1C[C@@H]([NH2+]Cc2csnn2)CN1C(=O)c1ccc(C#N)[nH]1 ZINC000988658605 698429498 /nfs/dbraw/zinc/42/94/98/698429498.db2.gz ZFUWLZNFBUPYTE-GXSJLCMTSA-N 1 2 316.390 1.131 20 30 DDEDLO C[C@@H]1C[C@@H]([NH2+]Cc2nnc(C3CC3)o2)CN1C(=O)C#CC1CC1 ZINC000988827324 698477561 /nfs/dbraw/zinc/47/75/61/698477561.db2.gz RVHLGLRJWVVRRP-BXUZGUMPSA-N 1 2 314.389 1.439 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[NH+](CCc2cccs2)CC1 ZINC000288997616 698565103 /nfs/dbraw/zinc/56/51/03/698565103.db2.gz YYJDCPJPJIOPIQ-UHFFFAOYSA-N 1 2 312.460 1.261 20 30 DDEDLO O=S1(=O)CCC(NN=Cc2cc(-n3cc[nH+]c3)cs2)CC1 ZINC000783859961 698887867 /nfs/dbraw/zinc/88/78/67/698887867.db2.gz SYXPETCSUJJUBO-UHFFFAOYSA-N 1 2 324.431 1.435 20 30 DDEDLO CS(=O)(=O)[C@@H]1CCCC(=NNCC[NH+]2CCCCC2)C1 ZINC000788424691 699312971 /nfs/dbraw/zinc/31/29/71/699312971.db2.gz AOTATFSCXFBFEE-CQSZACIVSA-N 1 2 301.456 1.405 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2oc(CC(C)C)nc2C)CC1 ZINC000789753366 699406525 /nfs/dbraw/zinc/40/65/25/699406525.db2.gz RVXJDXAPYVOWER-UHFFFAOYSA-N 1 2 303.406 1.963 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(NS(C)(=O)=O)c1 ZINC000730275434 699505562 /nfs/dbraw/zinc/50/55/62/699505562.db2.gz FZLCRXDREJUSGF-AWEZNQCLSA-N 1 2 321.402 1.094 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(NS(C)(=O)=O)c1 ZINC000730275434 699505563 /nfs/dbraw/zinc/50/55/63/699505563.db2.gz FZLCRXDREJUSGF-AWEZNQCLSA-N 1 2 321.402 1.094 20 30 DDEDLO C[N@H+](C[C@H]1CCCO1)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793498211 699761407 /nfs/dbraw/zinc/76/14/07/699761407.db2.gz LEEWTNCMXLQOPZ-CQSZACIVSA-N 1 2 301.390 1.667 20 30 DDEDLO C[N@@H+](C[C@H]1CCCO1)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793498211 699761408 /nfs/dbraw/zinc/76/14/08/699761408.db2.gz LEEWTNCMXLQOPZ-CQSZACIVSA-N 1 2 301.390 1.667 20 30 DDEDLO Cc1ccccc1CC(=O)N1CC[NH+](CCO[C@H](C)C#N)CC1 ZINC000801915172 700352795 /nfs/dbraw/zinc/35/27/95/700352795.db2.gz KHZKTARFNRVCLF-MRXNPFEDSA-N 1 2 315.417 1.610 20 30 DDEDLO c1ccc(N2CCC(N=NC3=N[C@@H]4CCCC[C@H]4N3)CC2)[nH+]c1 ZINC000753939466 700469466 /nfs/dbraw/zinc/46/94/66/700469466.db2.gz PCIPOGNFCOXSIR-HUUCEWRRSA-N 1 2 312.421 1.898 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1nnc([C@@H](C)CC)[nH]1 ZINC000754430074 700501862 /nfs/dbraw/zinc/50/18/62/700501862.db2.gz PYFHJODTBZEARN-AVGNSLFASA-N 1 2 303.410 1.593 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1nnc([C@@H](C)CC)[nH]1 ZINC000754430074 700501863 /nfs/dbraw/zinc/50/18/63/700501863.db2.gz PYFHJODTBZEARN-AVGNSLFASA-N 1 2 303.410 1.593 20 30 DDEDLO C#CCCCON=C(N)c1ccc(C[NH+]2CCOCC2)cc1 ZINC000766742737 701061567 /nfs/dbraw/zinc/06/15/67/701061567.db2.gz XHRLWSWEZSYDCU-UHFFFAOYSA-N 1 2 301.390 1.569 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)Nc2cc(C)[nH+]cc2C)c1 ZINC000767948604 701146998 /nfs/dbraw/zinc/14/69/98/701146998.db2.gz SGSVVICEULEERI-UHFFFAOYSA-N 1 2 322.368 1.862 20 30 DDEDLO C#CCCCS(=O)(=O)NCCc1cn2cccc(C)c2[nH+]1 ZINC000805019710 701225795 /nfs/dbraw/zinc/22/57/95/701225795.db2.gz JURIOVMIUVILCI-UHFFFAOYSA-N 1 2 305.403 1.518 20 30 DDEDLO C[C@@H](NC(=S)Nc1ccccc1C#N)[C@H]1C[N@H+](C)CCO1 ZINC000769791100 701256134 /nfs/dbraw/zinc/25/61/34/701256134.db2.gz FTBNPDXVGXKZSD-BXUZGUMPSA-N 1 2 304.419 1.564 20 30 DDEDLO C[C@@H](NC(=S)Nc1ccccc1C#N)[C@H]1C[N@@H+](C)CCO1 ZINC000769791100 701256136 /nfs/dbraw/zinc/25/61/36/701256136.db2.gz FTBNPDXVGXKZSD-BXUZGUMPSA-N 1 2 304.419 1.564 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1nc2c(cccc2OC)n1C ZINC000771662701 701327568 /nfs/dbraw/zinc/32/75/68/701327568.db2.gz PXRPMIYPKOQWGT-ZDUSSCGKSA-N 1 2 312.373 1.618 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1nc2c(cccc2OC)n1C ZINC000771662701 701327569 /nfs/dbraw/zinc/32/75/69/701327569.db2.gz PXRPMIYPKOQWGT-ZDUSSCGKSA-N 1 2 312.373 1.618 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000805819673 701411326 /nfs/dbraw/zinc/41/13/26/701411326.db2.gz WTJZKSVPYYBPJT-AWEZNQCLSA-N 1 2 304.346 1.353 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000805819673 701411327 /nfs/dbraw/zinc/41/13/27/701411327.db2.gz WTJZKSVPYYBPJT-AWEZNQCLSA-N 1 2 304.346 1.353 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000879155326 706601249 /nfs/dbraw/zinc/60/12/49/706601249.db2.gz ZLDYYPRTXSWKAD-ZOBUZTSGSA-N 1 2 318.373 1.244 20 30 DDEDLO COC(=O)[C@]1(C)C[C@H](OC)C[N@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000879155326 706601251 /nfs/dbraw/zinc/60/12/51/706601251.db2.gz ZLDYYPRTXSWKAD-ZOBUZTSGSA-N 1 2 318.373 1.244 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc([C@H](C)C(=O)OC)cc1 ZINC000839897018 701858723 /nfs/dbraw/zinc/85/87/23/701858723.db2.gz ZPFPBXZVEKSRGK-BBRMVZONSA-N 1 2 314.385 1.999 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc([C@H](C)C(=O)OC)cc1 ZINC000839897018 701858727 /nfs/dbraw/zinc/85/87/27/701858727.db2.gz ZPFPBXZVEKSRGK-BBRMVZONSA-N 1 2 314.385 1.999 20 30 DDEDLO C=CCN1CCN(C[N@@H+](C)[C@H]2CCCc3c2cnn3C)C1=O ZINC000840030901 701925415 /nfs/dbraw/zinc/92/54/15/701925415.db2.gz FRKKFAMJYXHNNU-AWEZNQCLSA-N 1 2 303.410 1.610 20 30 DDEDLO C=CCN1CCN(C[N@H+](C)[C@H]2CCCc3c2cnn3C)C1=O ZINC000840030901 701925421 /nfs/dbraw/zinc/92/54/21/701925421.db2.gz FRKKFAMJYXHNNU-AWEZNQCLSA-N 1 2 303.410 1.610 20 30 DDEDLO CN(C)c1[nH+]cc(CN=Nc2c(F)c(F)nc(F)c2F)n1C ZINC000811633358 702019173 /nfs/dbraw/zinc/01/91/73/702019173.db2.gz PDMNZGJZFWZYBZ-UHFFFAOYSA-N 1 2 316.262 1.884 20 30 DDEDLO C#Cc1ccc(C[N@@H+](C)Cc2ccccc2S(N)(=O)=O)cc1 ZINC000816627583 702131161 /nfs/dbraw/zinc/13/11/61/702131161.db2.gz RDZVSYBQPKAGLO-UHFFFAOYSA-N 1 2 314.410 1.947 20 30 DDEDLO C#Cc1ccc(C[N@H+](C)Cc2ccccc2S(N)(=O)=O)cc1 ZINC000816627583 702131164 /nfs/dbraw/zinc/13/11/64/702131164.db2.gz RDZVSYBQPKAGLO-UHFFFAOYSA-N 1 2 314.410 1.947 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC000879430680 706678242 /nfs/dbraw/zinc/67/82/42/706678242.db2.gz LJKZPTPZZZIRLF-KRWDZBQOSA-N 1 2 318.373 1.743 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@@H+](Cc2ccc(OCC#N)cc2)CCO1 ZINC000879430680 706678244 /nfs/dbraw/zinc/67/82/44/706678244.db2.gz LJKZPTPZZZIRLF-KRWDZBQOSA-N 1 2 318.373 1.743 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@H+](Cc3cc(C#N)ccc3F)C2)C1 ZINC000879481347 706691414 /nfs/dbraw/zinc/69/14/14/706691414.db2.gz YMEHAKFWSXTEHV-INIZCTEOSA-N 1 2 317.320 1.591 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@@H+](Cc3cc(C#N)ccc3F)C2)C1 ZINC000879481347 706691415 /nfs/dbraw/zinc/69/14/15/706691415.db2.gz YMEHAKFWSXTEHV-INIZCTEOSA-N 1 2 317.320 1.591 20 30 DDEDLO CC(C)S(=O)(=O)CCC[N@H+](C)C[C@H](O)c1cccc(C#N)c1 ZINC000844369562 703021437 /nfs/dbraw/zinc/02/14/37/703021437.db2.gz MEQZEVLSWOTGQA-INIZCTEOSA-N 1 2 324.446 1.737 20 30 DDEDLO CC(C)S(=O)(=O)CCC[N@@H+](C)C[C@H](O)c1cccc(C#N)c1 ZINC000844369562 703021438 /nfs/dbraw/zinc/02/14/38/703021438.db2.gz MEQZEVLSWOTGQA-INIZCTEOSA-N 1 2 324.446 1.737 20 30 DDEDLO CC1(C)C[N@H+](C[C@@H](O)c2ccc(C#N)cc2)[C@@H]2COC[C@H]2O1 ZINC000844470681 703036276 /nfs/dbraw/zinc/03/62/76/703036276.db2.gz QCJMVAXSRZTOMA-BZUAXINKSA-N 1 2 302.374 1.470 20 30 DDEDLO CC1(C)C[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)[C@@H]2COC[C@H]2O1 ZINC000844470681 703036279 /nfs/dbraw/zinc/03/62/79/703036279.db2.gz QCJMVAXSRZTOMA-BZUAXINKSA-N 1 2 302.374 1.470 20 30 DDEDLO N#C[C@H](C(=O)Cc1cn2c([nH+]1)CCCC2)C(=O)Nc1ccccn1 ZINC000845446928 703158170 /nfs/dbraw/zinc/15/81/70/703158170.db2.gz WSVIKXUHPLKHRE-CYBMUJFWSA-N 1 2 323.356 1.504 20 30 DDEDLO CCC(C)(C)NC(=O)C[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879584979 706728365 /nfs/dbraw/zinc/72/83/65/706728365.db2.gz OTJHCNQZXRFZSW-UHFFFAOYSA-N 1 2 314.433 1.985 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)CCc1c[nH+]cn1C ZINC000846333134 703266011 /nfs/dbraw/zinc/26/60/11/703266011.db2.gz KQESWCQYWZRKPD-INIZCTEOSA-N 1 2 312.373 1.416 20 30 DDEDLO CN(C[C@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000846658469 703309808 /nfs/dbraw/zinc/30/98/08/703309808.db2.gz DIDVRQPOMICPKU-WBVHZDCISA-N 1 2 324.384 1.509 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](CC2(Br)CC2)CC1 ZINC000846961284 703357819 /nfs/dbraw/zinc/35/78/19/703357819.db2.gz WGKJFAHALPJFDO-UHFFFAOYSA-N 1 2 301.184 1.693 20 30 DDEDLO CCN(CCC#N)C(=O)COC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000848781816 703588559 /nfs/dbraw/zinc/58/85/59/703588559.db2.gz OWXGICFSZNZSHN-UHFFFAOYSA-N 1 2 314.345 1.562 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)OC(C)(C)C)C2)nn1 ZINC000849147676 703620790 /nfs/dbraw/zinc/62/07/90/703620790.db2.gz XVBJLQCDUCZDNG-AWEZNQCLSA-N 1 2 319.409 1.573 20 30 DDEDLO C#CC[N@@H+](C[C@H](OC)C1CCCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000851840241 703867781 /nfs/dbraw/zinc/86/77/81/703867781.db2.gz OWZMTOYCEYOJNB-HOTGVXAUSA-N 1 2 313.463 1.704 20 30 DDEDLO C#CC[N@H+](C[C@H](OC)C1CCCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000851840241 703867783 /nfs/dbraw/zinc/86/77/83/703867783.db2.gz OWZMTOYCEYOJNB-HOTGVXAUSA-N 1 2 313.463 1.704 20 30 DDEDLO N#Cc1cc(F)ccc1C[NH+]1CCC(c2nc(N)n[nH]2)CC1 ZINC000852056104 703913687 /nfs/dbraw/zinc/91/36/87/703913687.db2.gz BZYCCOOGNMIIHT-UHFFFAOYSA-N 1 2 300.341 1.777 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](Cc3ccc(O)cc3)CC2)nc1 ZINC000870132496 703922774 /nfs/dbraw/zinc/92/27/74/703922774.db2.gz IENXQHXUUUFSRD-UHFFFAOYSA-N 1 2 321.380 1.727 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[NH2+][C@H](c3cccc(OC)c3)C2)c1 ZINC000870153452 703935457 /nfs/dbraw/zinc/93/54/57/703935457.db2.gz QAUBSTKAKYKMDP-SFHVURJKSA-N 1 2 321.380 1.858 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[NH+]([C@H]2CCOC2)CC1)c1ccccc1 ZINC000852392510 704033035 /nfs/dbraw/zinc/03/30/35/704033035.db2.gz MTFZVEWAWNIYDO-IRXDYDNUSA-N 1 2 313.401 1.477 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCc2nc(-c3ccccc3)sc2C1 ZINC000852477807 704057427 /nfs/dbraw/zinc/05/74/27/704057427.db2.gz YKRUMVPYKYQKQI-UHFFFAOYSA-N 1 2 311.410 1.918 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCc2nc(-c3ccccc3)sc2C1 ZINC000852477807 704057430 /nfs/dbraw/zinc/05/74/30/704057430.db2.gz YKRUMVPYKYQKQI-UHFFFAOYSA-N 1 2 311.410 1.918 20 30 DDEDLO CC(C)(C)OC(=O)CS(=O)(=O)CC[NH2+]CC1(C#N)CCC1 ZINC000819377169 704116455 /nfs/dbraw/zinc/11/64/55/704116455.db2.gz JNIFNCWKSBTISP-UHFFFAOYSA-N 1 2 316.423 1.026 20 30 DDEDLO CC12CC(C1)C[N@@H+]2CC(=O)[C@@H](C#N)c1nc2ccccc2c(=O)[nH]1 ZINC000852787499 704123571 /nfs/dbraw/zinc/12/35/71/704123571.db2.gz XCWRMKXQIZZMMU-NOBQPIJMSA-N 1 2 322.368 1.996 20 30 DDEDLO CC12CC(C1)C[N@H+]2CC(=O)[C@@H](C#N)c1nc2ccccc2c(=O)[nH]1 ZINC000852787499 704123573 /nfs/dbraw/zinc/12/35/73/704123573.db2.gz XCWRMKXQIZZMMU-NOBQPIJMSA-N 1 2 322.368 1.996 20 30 DDEDLO CCn1c(=O)c2ccccc2nc1NN=Cc1c[nH+]c(C)n1C ZINC000853281994 704228999 /nfs/dbraw/zinc/22/89/99/704228999.db2.gz NCXZNSPFNXBENP-UHFFFAOYSA-N 1 2 310.361 1.904 20 30 DDEDLO C#CCSCCNC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000820193398 704238929 /nfs/dbraw/zinc/23/89/29/704238929.db2.gz XHUDCXOEQNTBEM-SNVBAGLBSA-N 1 2 305.325 1.859 20 30 DDEDLO C#CCN1CC[NH+](Cc2ccc(C(C)C)cc(=O)c2O)CC1 ZINC000853490693 704248571 /nfs/dbraw/zinc/24/85/71/704248571.db2.gz YDKINMAVNSSGPQ-UHFFFAOYSA-N 1 2 300.402 1.627 20 30 DDEDLO C#CCC[C@@H](O)CNc1nc[nH+]c(NC[C@@H](O)CCC#C)c1C ZINC000853569571 704272720 /nfs/dbraw/zinc/27/27/20/704272720.db2.gz YZWHMKLZTVBDFG-GASCZTMLSA-N 1 2 316.405 1.157 20 30 DDEDLO C#CCC[C@@H](O)CNc1[nH+]cnc(NC[C@@H](O)CCC#C)c1C ZINC000853569571 704272721 /nfs/dbraw/zinc/27/27/21/704272721.db2.gz YZWHMKLZTVBDFG-GASCZTMLSA-N 1 2 316.405 1.157 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)NC(C(C)C)(C(C)C)C1=O ZINC000853621029 704287833 /nfs/dbraw/zinc/28/78/33/704287833.db2.gz NKRNSOXEUAQDKF-UHFFFAOYSA-N 1 2 323.437 1.518 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)NC(C(C)C)(C(C)C)C1=O ZINC000853621029 704287835 /nfs/dbraw/zinc/28/78/35/704287835.db2.gz NKRNSOXEUAQDKF-UHFFFAOYSA-N 1 2 323.437 1.518 20 30 DDEDLO COC[C@H](C#N)OC(=O)C1CC[NH+](Cc2csc(C)n2)CC1 ZINC000857066994 704549487 /nfs/dbraw/zinc/54/94/87/704549487.db2.gz BPCCYGLFRITFAO-AWEZNQCLSA-N 1 2 323.418 1.745 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000858316502 704693731 /nfs/dbraw/zinc/69/37/31/704693731.db2.gz VUOOWOGNAYWKAC-HUUCEWRRSA-N 1 2 316.405 1.388 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000858860990 704765768 /nfs/dbraw/zinc/76/57/68/704765768.db2.gz WUXROEKFKNXNNH-KGLIPLIRSA-N 1 2 304.394 1.278 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000858860990 704765770 /nfs/dbraw/zinc/76/57/70/704765770.db2.gz WUXROEKFKNXNNH-KGLIPLIRSA-N 1 2 304.394 1.278 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2c(Br)cnn2C)CC1 ZINC000880482739 706988846 /nfs/dbraw/zinc/98/88/46/706988846.db2.gz PSSUXKANJYUINL-UHFFFAOYSA-N 1 2 312.211 1.533 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CCN(C2CC2)[C@@H](C#N)C1)n1cc[nH+]c1 ZINC000874460501 705076243 /nfs/dbraw/zinc/07/62/43/705076243.db2.gz IWHFAXAGCLCJFS-JKSUJKDBSA-N 1 2 315.421 1.669 20 30 DDEDLO CCCCCCCNC(=O)C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000875337127 705356476 /nfs/dbraw/zinc/35/64/76/705356476.db2.gz JLNKLHWFWSMLBD-CYBMUJFWSA-N 1 2 306.410 1.401 20 30 DDEDLO C=CCONC(=O)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000875649563 705462929 /nfs/dbraw/zinc/46/29/29/705462929.db2.gz RVIWNDJIZKPKHC-INIZCTEOSA-N 1 2 319.405 1.613 20 30 DDEDLO C=CCONC(=O)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000875649563 705462930 /nfs/dbraw/zinc/46/29/30/705462930.db2.gz RVIWNDJIZKPKHC-INIZCTEOSA-N 1 2 319.405 1.613 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000876120518 705626104 /nfs/dbraw/zinc/62/61/04/705626104.db2.gz ACPOCFLVEGTSSL-CYBMUJFWSA-N 1 2 323.437 1.456 20 30 DDEDLO C#CC[C@H](COC)NC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000862195709 705675292 /nfs/dbraw/zinc/67/52/92/705675292.db2.gz YRTNJNJGLABBOQ-CYBMUJFWSA-N 1 2 303.362 1.100 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@H](CNC(=O)C(C)(C)C#N)C2)cc1 ZINC000826603619 705824166 /nfs/dbraw/zinc/82/41/66/705824166.db2.gz GEXJRLHPEBNJQQ-MRXNPFEDSA-N 1 2 315.417 1.862 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@H](CNC(=O)C(C)(C)C#N)C2)cc1 ZINC000826603619 705824169 /nfs/dbraw/zinc/82/41/69/705824169.db2.gz GEXJRLHPEBNJQQ-MRXNPFEDSA-N 1 2 315.417 1.862 20 30 DDEDLO CNC(=O)CC1CC[NH+](Cc2cc(C#N)cnc2Cl)CC1 ZINC000876758470 705860842 /nfs/dbraw/zinc/86/08/42/705860842.db2.gz GGINKPCLTMBNNW-UHFFFAOYSA-N 1 2 306.797 1.955 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CCN([C@@H]3CCOC3)CC2)cc1 ZINC000827120131 705933349 /nfs/dbraw/zinc/93/33/49/705933349.db2.gz PUHQOBCQAQVSLJ-QGZVFWFLSA-N 1 2 300.402 1.605 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+]1CC[C@@H](CS(C)(=O)=O)C1 ZINC000877547247 706138522 /nfs/dbraw/zinc/13/85/22/706138522.db2.gz RARBUSCDLWZGCE-CQSZACIVSA-N 1 2 321.446 1.491 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+]1CC[C@@H](CS(C)(=O)=O)C1 ZINC000877547247 706138524 /nfs/dbraw/zinc/13/85/24/706138524.db2.gz RARBUSCDLWZGCE-CQSZACIVSA-N 1 2 321.446 1.491 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2CCN(c3ccc(C#N)cc3)CC2)[nH]1 ZINC000877744907 706196323 /nfs/dbraw/zinc/19/63/23/706196323.db2.gz ALUNCAXIXGOQAK-UHFFFAOYSA-N 1 2 324.384 1.995 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@H+](CC(N)=O)C(C)C)c1ccccc1 ZINC000877923251 706241734 /nfs/dbraw/zinc/24/17/34/706241734.db2.gz MKMRKLNQPJPGQI-KRWDZBQOSA-N 1 2 301.390 1.623 20 30 DDEDLO CC(=O)[C@@](C#N)(CC[N@@H+](CC(N)=O)C(C)C)c1ccccc1 ZINC000877923251 706241736 /nfs/dbraw/zinc/24/17/36/706241736.db2.gz MKMRKLNQPJPGQI-KRWDZBQOSA-N 1 2 301.390 1.623 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@@H]2C[C@H]3CCC[C@H]3O2)n1 ZINC000878525959 706417018 /nfs/dbraw/zinc/41/70/18/706417018.db2.gz HFPBNPOMYGZWMO-BPQIPLTHSA-N 1 2 317.433 1.922 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@@H]2C[C@H]3CCC[C@H]3O2)n1 ZINC000878525959 706417019 /nfs/dbraw/zinc/41/70/19/706417019.db2.gz HFPBNPOMYGZWMO-BPQIPLTHSA-N 1 2 317.433 1.922 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc(F)cc(C(C)=O)c2O)nn1 ZINC000880996555 707104856 /nfs/dbraw/zinc/10/48/56/707104856.db2.gz WGYUKUQIAHCATC-UHFFFAOYSA-N 1 2 316.336 1.487 20 30 DDEDLO C#C[C@H]1CC[C@H](Nc2cc(N3CCN(C)C(=O)C3)nc[nH+]2)CC1 ZINC000872778599 707595946 /nfs/dbraw/zinc/59/59/46/707595946.db2.gz BOESFNISZCWUHO-HDJSIYSDSA-N 1 2 313.405 1.359 20 30 DDEDLO C#C[C@H]1CC[C@H](Nc2cc(N3CCN(C)C(=O)C3)[nH+]cn2)CC1 ZINC000872778599 707595951 /nfs/dbraw/zinc/59/59/51/707595951.db2.gz BOESFNISZCWUHO-HDJSIYSDSA-N 1 2 313.405 1.359 20 30 DDEDLO C=CCC(F)(F)C(=O)NCC1([NH+]2CCOCC2)CCOCC1 ZINC000837863524 707768251 /nfs/dbraw/zinc/76/82/51/707768251.db2.gz KNQGAJRINIMJPG-UHFFFAOYSA-N 1 2 318.364 1.195 20 30 DDEDLO C=CCC1(O)CCN(C(=O)N[C@H]2CCn3cc[nH+]c3C2)CC1 ZINC000884279380 708223021 /nfs/dbraw/zinc/22/30/21/708223021.db2.gz JLUJCXUVSPLPIH-ZDUSSCGKSA-N 1 2 304.394 1.311 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccccc1N(C)CCC ZINC000884444820 708300043 /nfs/dbraw/zinc/30/00/43/708300043.db2.gz WSRGZWDYJFNRSX-ZDUSSCGKSA-N 1 2 319.405 1.918 20 30 DDEDLO N#Cc1ccc(OCC(=O)N[C@@H]2CCC[N@@H+](CCF)C2)cc1 ZINC000898483912 708652860 /nfs/dbraw/zinc/65/28/60/708652860.db2.gz PLVFTXWOFAIVOM-CQSZACIVSA-N 1 2 305.353 1.487 20 30 DDEDLO N#Cc1ccc(OCC(=O)N[C@@H]2CCC[N@H+](CCF)C2)cc1 ZINC000898483912 708652863 /nfs/dbraw/zinc/65/28/63/708652863.db2.gz PLVFTXWOFAIVOM-CQSZACIVSA-N 1 2 305.353 1.487 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@H+](CC2(CC#N)CC2)[C@H](CO)C1 ZINC000886351365 708747040 /nfs/dbraw/zinc/74/70/40/708747040.db2.gz DMXJKMZWODONEH-ZDUSSCGKSA-N 1 2 309.410 1.594 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[N@@H+](CC2(CC#N)CC2)[C@H](CO)C1 ZINC000886351365 708747041 /nfs/dbraw/zinc/74/70/41/708747041.db2.gz DMXJKMZWODONEH-ZDUSSCGKSA-N 1 2 309.410 1.594 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@]3(CO)CCC[C@@H]23)c(C#N)c1C ZINC000886624936 708797604 /nfs/dbraw/zinc/79/76/04/708797604.db2.gz DGRAEVFHVGOWEC-RHSMWYFYSA-N 1 2 317.389 1.944 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@]3(CO)CCC[C@@H]23)c(C#N)c1C ZINC000886624936 708797606 /nfs/dbraw/zinc/79/76/06/708797606.db2.gz DGRAEVFHVGOWEC-RHSMWYFYSA-N 1 2 317.389 1.944 20 30 DDEDLO COC(=O)[C@H](C[C@H]1CCCO1)[NH2+]CCc1ccc(C#N)cc1 ZINC000886942624 708899005 /nfs/dbraw/zinc/89/90/05/708899005.db2.gz XZCGFNDRXMFSLI-CVEARBPZSA-N 1 2 302.374 1.801 20 30 DDEDLO C[C@@H]1[C@H](O)CCC[N@@H+]1Cc1nc2ccccc2c(=O)n1CC#N ZINC000887032109 708939450 /nfs/dbraw/zinc/93/94/50/708939450.db2.gz RYRYSQSXAAGEDR-IUODEOHRSA-N 1 2 312.373 1.265 20 30 DDEDLO C[C@@H]1[C@H](O)CCC[N@H+]1Cc1nc2ccccc2c(=O)n1CC#N ZINC000887032109 708939451 /nfs/dbraw/zinc/93/94/51/708939451.db2.gz RYRYSQSXAAGEDR-IUODEOHRSA-N 1 2 312.373 1.265 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)Nc2nc(Cl)c(C#N)s2)c1 ZINC000888424382 709307312 /nfs/dbraw/zinc/30/73/12/709307312.db2.gz RHODFCQXKGEAQN-UHFFFAOYSA-N 1 2 310.770 1.766 20 30 DDEDLO C#CCC1(NC(=O)N[C@H]2CCn3cc[nH+]c3C2)CCOCC1 ZINC000888832609 709387431 /nfs/dbraw/zinc/38/74/31/709387431.db2.gz KCBLKALLSHBKMC-ZDUSSCGKSA-N 1 2 302.378 1.070 20 30 DDEDLO CCn1cc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)c(C)n1 ZINC000891558480 710235683 /nfs/dbraw/zinc/23/56/83/710235683.db2.gz LATIAZSZSZXJFW-UHFFFAOYSA-N 1 2 310.405 1.800 20 30 DDEDLO CN(C)c1cc(N2CCO[C@H](c3cccc(C#N)c3)C2)nc[nH+]1 ZINC000893028962 710544014 /nfs/dbraw/zinc/54/40/14/710544014.db2.gz CPZNUWWURVQPFH-HNNXBMFYSA-N 1 2 309.373 1.992 20 30 DDEDLO CN(C)c1cc(N2CCO[C@H](c3cccc(C#N)c3)C2)[nH+]cn1 ZINC000893028962 710544016 /nfs/dbraw/zinc/54/40/16/710544016.db2.gz CPZNUWWURVQPFH-HNNXBMFYSA-N 1 2 309.373 1.992 20 30 DDEDLO O=C(NCC#C[C@H]1CCCCO1)NCc1ccn2cc[nH+]c2c1 ZINC000893696208 710781644 /nfs/dbraw/zinc/78/16/44/710781644.db2.gz IJINCHXHTYNMSM-OAHLLOKOSA-N 1 2 312.373 1.706 20 30 DDEDLO O=C1[C@H]2CCC[C@@H]2CN1C[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000902610801 710814852 /nfs/dbraw/zinc/81/48/52/710814852.db2.gz ZBUMGNPNYZYWPZ-HIFRSBDPSA-N 1 2 304.390 1.540 20 30 DDEDLO O=C1[C@@H]2CCC[C@H]2CN1C[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000902610799 710815423 /nfs/dbraw/zinc/81/54/23/710815423.db2.gz ZBUMGNPNYZYWPZ-DZGCQCFKSA-N 1 2 304.390 1.540 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000893844992 710855191 /nfs/dbraw/zinc/85/51/91/710855191.db2.gz POXKCWGCMLJSKY-AWEZNQCLSA-N 1 2 301.390 1.626 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000893844992 710855195 /nfs/dbraw/zinc/85/51/95/710855195.db2.gz POXKCWGCMLJSKY-AWEZNQCLSA-N 1 2 301.390 1.626 20 30 DDEDLO N#Cc1ccc(Cl)c(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)c1 ZINC000913452907 713222432 /nfs/dbraw/zinc/22/24/32/713222432.db2.gz MBVRJWHQKVMGHX-CQSZACIVSA-N 1 2 315.764 1.721 20 30 DDEDLO CN(c1cccc(C#N)c1)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000912255410 711248614 /nfs/dbraw/zinc/24/86/14/711248614.db2.gz LHQMQKVRYGEIII-INIZCTEOSA-N 1 2 309.373 1.561 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)COc1ccccc1C#N ZINC000928635346 713243398 /nfs/dbraw/zinc/24/33/98/713243398.db2.gz KNORGCHSVVUBHC-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO N#CCC1CN(C(=O)c2ccc(NCCn3cc[nH+]c3)cc2)C1 ZINC000912394416 711309349 /nfs/dbraw/zinc/30/93/49/711309349.db2.gz FQJGYFTYNSRWTM-UHFFFAOYSA-N 1 2 309.373 1.981 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[N@H+](C)[C@H](CO)C2)cc1OC ZINC000913553974 713257726 /nfs/dbraw/zinc/25/77/26/713257726.db2.gz VSYRGYVOWPXCEC-AWEZNQCLSA-N 1 2 320.389 1.009 20 30 DDEDLO C=CCOc1ccc(C(=O)N2CC[N@@H+](C)[C@H](CO)C2)cc1OC ZINC000913553974 713257727 /nfs/dbraw/zinc/25/77/27/713257727.db2.gz VSYRGYVOWPXCEC-AWEZNQCLSA-N 1 2 320.389 1.009 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2n[nH]c3c2C[C@@H](C)CC3)CC1 ZINC000895887121 711638285 /nfs/dbraw/zinc/63/82/85/711638285.db2.gz ZFIQEQZADCYCHQ-ZDUSSCGKSA-N 1 2 300.406 1.316 20 30 DDEDLO N#C[C@]1(C(=O)NCCn2c[nH+]cc2-c2ccccc2)CCCOC1 ZINC000913713131 713288323 /nfs/dbraw/zinc/28/83/23/713288323.db2.gz SUOIGKGDPZXDFX-GOSISDBHSA-N 1 2 324.384 1.987 20 30 DDEDLO C#CCCCc1nnc(N2CC[NH+](Cc3ccccn3)CC2)n1C ZINC000908538384 712801299 /nfs/dbraw/zinc/80/12/99/712801299.db2.gz SEVPWTCOQRHHBM-UHFFFAOYSA-N 1 2 324.432 1.488 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(S(=O)(=O)CC(C)(C)C#N)C1 ZINC000915033485 713407017 /nfs/dbraw/zinc/40/70/17/713407017.db2.gz WXAJXMHWTBTXSJ-GFCCVEGCSA-N 1 2 310.423 1.479 20 30 DDEDLO N#CC1(C(=O)NCCc2cn3c([nH+]2)CCCC3)CCSCC1 ZINC000929991206 713730851 /nfs/dbraw/zinc/73/08/51/713730851.db2.gz VODVJRQHVDWTML-UHFFFAOYSA-N 1 2 318.446 1.915 20 30 DDEDLO C=CCN(C(=O)[C@@H]1CCC[N@H+]1C(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000930376981 713818345 /nfs/dbraw/zinc/81/83/45/713818345.db2.gz ACNHXZLIHKTGGE-KBPBESRZSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CCN(C(=O)[C@@H]1CCC[N@@H+]1C(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000930376981 713818347 /nfs/dbraw/zinc/81/83/47/713818347.db2.gz ACNHXZLIHKTGGE-KBPBESRZSA-N 1 2 314.451 1.061 20 30 DDEDLO Cc1nsc(N2CC[NH+](CCO[C@@H]3CCOC3)CC2)c1C#N ZINC000930518098 713855715 /nfs/dbraw/zinc/85/57/15/713855715.db2.gz WWTYAXSSRCXHHK-CYBMUJFWSA-N 1 2 322.434 1.251 20 30 DDEDLO Cc1nsc(N2CC[NH+](CCO[C@H]3CCOC3)CC2)c1C#N ZINC000930518099 713855804 /nfs/dbraw/zinc/85/58/04/713855804.db2.gz WWTYAXSSRCXHHK-ZDUSSCGKSA-N 1 2 322.434 1.251 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)CC1 ZINC000931147169 714015944 /nfs/dbraw/zinc/01/59/44/714015944.db2.gz VVVGENNMBDAYEU-GFCCVEGCSA-N 1 2 317.345 1.382 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)NCC(C)(C)CCC#N ZINC000922485904 714067933 /nfs/dbraw/zinc/06/79/33/714067933.db2.gz LJXPFSOLUKHEIJ-AWEZNQCLSA-N 1 2 310.442 1.726 20 30 DDEDLO COC(=O)/C=C(/C)C[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000931607349 714137427 /nfs/dbraw/zinc/13/74/27/714137427.db2.gz JJVCBMJLXCMGRP-LCYFTJDESA-N 1 2 300.362 1.195 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(c2n[nH]c(C)n2)CC1 ZINC000931625039 714141447 /nfs/dbraw/zinc/14/14/47/714141447.db2.gz ULDKVPVXPDYIOW-ZDUSSCGKSA-N 1 2 320.441 1.048 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@H]2C[C@H]1CN2c1ccccc1 ZINC000931647658 714148351 /nfs/dbraw/zinc/14/83/51/714148351.db2.gz XTCKDMAJRCSPBW-PVAVHDDUSA-N 1 2 324.428 1.900 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@H]2C[C@H]1CN2c1ccccc1 ZINC000931647658 714148353 /nfs/dbraw/zinc/14/83/53/714148353.db2.gz XTCKDMAJRCSPBW-PVAVHDDUSA-N 1 2 324.428 1.900 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CC[C@H](c2noc(C)n2)C1 ZINC000931945901 714217946 /nfs/dbraw/zinc/21/79/46/714217946.db2.gz HKSXDUCRHNROAB-AAEUAGOBSA-N 1 2 305.382 1.176 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@H](c2noc(C)n2)C1 ZINC000931945901 714217949 /nfs/dbraw/zinc/21/79/49/714217949.db2.gz HKSXDUCRHNROAB-AAEUAGOBSA-N 1 2 305.382 1.176 20 30 DDEDLO COC(=O)/C=C1/SCC(=O)N1CCC[N@@H+]1CCC[C@@H](C#N)C1 ZINC000932151906 714272353 /nfs/dbraw/zinc/27/23/53/714272353.db2.gz OLSCOIHLSQRKJC-ACLQVGRQSA-N 1 2 323.418 1.202 20 30 DDEDLO COC(=O)/C=C1/SCC(=O)N1CCC[N@H+]1CCC[C@@H](C#N)C1 ZINC000932151906 714272357 /nfs/dbraw/zinc/27/23/57/714272357.db2.gz OLSCOIHLSQRKJC-ACLQVGRQSA-N 1 2 323.418 1.202 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH2+]C1(c2ncccn2)CCOCC1 ZINC000933343083 714567441 /nfs/dbraw/zinc/56/74/41/714567441.db2.gz NMJWHPYUQJEQHK-UHFFFAOYSA-N 1 2 318.421 1.497 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000934512272 714839614 /nfs/dbraw/zinc/83/96/14/714839614.db2.gz IWVFRAAZYJJDFN-DOTOQJQBSA-N 1 2 313.401 1.078 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000934512272 714839616 /nfs/dbraw/zinc/83/96/16/714839616.db2.gz IWVFRAAZYJJDFN-DOTOQJQBSA-N 1 2 313.401 1.078 20 30 DDEDLO C[C@@]1(C#N)CCCN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000935353746 715035058 /nfs/dbraw/zinc/03/50/58/715035058.db2.gz TUDXLCLUQKRFQO-VYDXJSESSA-N 1 2 321.421 1.018 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000935674153 715115169 /nfs/dbraw/zinc/11/51/69/715115169.db2.gz QCWUMZIEUZGYJA-HJOIGYKYSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(N(C)C(=O)Cc2c[nH+]cn2C)C1 ZINC000954839110 715520943 /nfs/dbraw/zinc/52/09/43/715520943.db2.gz UUCOIKORACLUTI-UHFFFAOYSA-N 1 2 318.421 1.234 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn(CCC)c2C)C1 ZINC000957037006 715714956 /nfs/dbraw/zinc/71/49/56/715714956.db2.gz UTMFSXZQQMFARP-UHFFFAOYSA-N 1 2 302.422 1.771 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3nnn(C)c3c2)C1 ZINC000957327082 715837838 /nfs/dbraw/zinc/83/78/38/715837838.db2.gz ZKZLWSXNLOJFMS-UHFFFAOYSA-N 1 2 311.389 1.138 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN2CC(F)(F)F)C1 ZINC000957422100 715895739 /nfs/dbraw/zinc/89/57/39/715895739.db2.gz JESQIQNDCLCOIM-ZDUSSCGKSA-N 1 2 319.371 1.732 20 30 DDEDLO N#CCN1CCC2(CC1)CCN(C(=O)CCc1c[nH]c[nH+]1)CC2 ZINC000957557835 715952608 /nfs/dbraw/zinc/95/26/08/715952608.db2.gz JTGUFUXWSVMNIJ-UHFFFAOYSA-N 1 2 315.421 1.570 20 30 DDEDLO N#CCN1CCC2(CC1)CCN(C(=O)Cc1c[nH+]c[nH]1)CC2 ZINC000957612274 715968912 /nfs/dbraw/zinc/96/89/12/715968912.db2.gz MLLXQBDAKNQGJF-UHFFFAOYSA-N 1 2 301.394 1.180 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](CNC(=O)C2CCC2)[C@H](O)C1 ZINC000957797229 716053729 /nfs/dbraw/zinc/05/37/29/716053729.db2.gz DOGXDHOFRAOJMA-VXGBXAGGSA-N 1 2 317.227 1.104 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](CNC(=O)C2CCC2)[C@H](O)C1 ZINC000957797229 716053731 /nfs/dbraw/zinc/05/37/31/716053731.db2.gz DOGXDHOFRAOJMA-VXGBXAGGSA-N 1 2 317.227 1.104 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CC)c1 ZINC000957983346 716262394 /nfs/dbraw/zinc/26/23/94/716262394.db2.gz LEZMBERBPGROFR-HWWDLCQESA-N 1 2 311.385 1.063 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CC)c1 ZINC000957983346 716262396 /nfs/dbraw/zinc/26/23/96/716262396.db2.gz LEZMBERBPGROFR-HWWDLCQESA-N 1 2 311.385 1.063 20 30 DDEDLO CCc1cnc(C[N@H+](C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)o1 ZINC000960488845 716577444 /nfs/dbraw/zinc/57/74/44/716577444.db2.gz DTTMPDKBHKUPRN-QWHCGFSZSA-N 1 2 304.394 1.819 20 30 DDEDLO CCc1cnc(C[N@@H+](C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)o1 ZINC000960488845 716577447 /nfs/dbraw/zinc/57/74/47/716577447.db2.gz DTTMPDKBHKUPRN-QWHCGFSZSA-N 1 2 304.394 1.819 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC000960614348 716631302 /nfs/dbraw/zinc/63/13/02/716631302.db2.gz QTQQLWZENRFFHC-SWLSCSKDSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+](C)C[C@H]2CCCN2C(=O)C#CC2CC2)o1 ZINC000960614348 716631305 /nfs/dbraw/zinc/63/13/05/716631305.db2.gz QTQQLWZENRFFHC-SWLSCSKDSA-N 1 2 316.405 1.775 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2ccc(F)s2)[C@H](O)C1 ZINC000958739711 716726722 /nfs/dbraw/zinc/72/67/22/716726722.db2.gz FRYOITQXFKADJV-NXEZZACHSA-N 1 2 318.801 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2ccc(F)s2)[C@H](O)C1 ZINC000958739711 716726725 /nfs/dbraw/zinc/72/67/25/716726725.db2.gz FRYOITQXFKADJV-NXEZZACHSA-N 1 2 318.801 1.662 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000940711336 716925329 /nfs/dbraw/zinc/92/53/29/716925329.db2.gz FJMKDGUBJFGKON-TZMCWYRMSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+]([C@@H](C)c4nc(C)no4)C[C@H]32)C1 ZINC000961733482 717078425 /nfs/dbraw/zinc/07/84/25/717078425.db2.gz OHGCLRHGTFEIDQ-SCUASFONSA-N 1 2 316.405 1.842 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+]([C@@H](C)c4nc(C)no4)C[C@H]32)C1 ZINC000961733482 717078429 /nfs/dbraw/zinc/07/84/29/717078429.db2.gz OHGCLRHGTFEIDQ-SCUASFONSA-N 1 2 316.405 1.842 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc(F)ccc3C)CC2)C1 ZINC000941504748 717188591 /nfs/dbraw/zinc/18/85/91/717188591.db2.gz FZKIPVGEUHRMIZ-UHFFFAOYSA-N 1 2 315.392 1.209 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cncnc2C)C1 ZINC000965352826 717628570 /nfs/dbraw/zinc/62/85/70/717628570.db2.gz XJBGENJBRUDTLO-HZMBPMFUSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cncnc2C)C1 ZINC000965352826 717628571 /nfs/dbraw/zinc/62/85/71/717628571.db2.gz XJBGENJBRUDTLO-HZMBPMFUSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC000942514110 717711991 /nfs/dbraw/zinc/71/19/91/717711991.db2.gz UOCHQDVXWILQTQ-GFCCVEGCSA-N 1 2 302.378 1.026 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC000963443847 717791367 /nfs/dbraw/zinc/79/13/67/717791367.db2.gz UECZZEQNBHZIIA-HUUCEWRRSA-N 1 2 301.394 1.110 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC000945440803 718462689 /nfs/dbraw/zinc/46/26/89/718462689.db2.gz RTFKOEVAFPDBQI-JSGCOSHPSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](NC(=O)Cc2c[nH+]cn2C)[C@@H](C)C1 ZINC000966659163 718619177 /nfs/dbraw/zinc/61/91/77/718619177.db2.gz CQFVILPWVNFWPF-JSGCOSHPSA-N 1 2 318.421 1.138 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC000968466790 719602442 /nfs/dbraw/zinc/60/24/42/719602442.db2.gz IITQTMYIFJECKI-JOYOIKCWSA-N 1 2 314.349 1.143 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1C[N@@H+](CCF)CC[C@H]1C ZINC000968561350 719666004 /nfs/dbraw/zinc/66/60/04/719666004.db2.gz KIYKYJLMMDDZCY-HIFRSBDPSA-N 1 2 319.380 1.503 20 30 DDEDLO C#CCOc1ncccc1C(=O)N[C@H]1C[N@H+](CCF)CC[C@H]1C ZINC000968561350 719666009 /nfs/dbraw/zinc/66/60/09/719666009.db2.gz KIYKYJLMMDDZCY-HIFRSBDPSA-N 1 2 319.380 1.503 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)COc2ccc(OC)c(C)c2)CC1 ZINC000948751790 719686534 /nfs/dbraw/zinc/68/65/34/719686534.db2.gz WRXOFAFOIVPMCN-UHFFFAOYSA-N 1 2 304.390 1.713 20 30 DDEDLO CN(C(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)[C@H]1CCCN(CC#N)CC1 ZINC000948782766 719705911 /nfs/dbraw/zinc/70/59/11/719705911.db2.gz OERNHYJNBUWARK-RRFJBIMHSA-N 1 2 315.421 1.360 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000968684873 719734725 /nfs/dbraw/zinc/73/47/25/719734725.db2.gz JZUFIRWWBUKCTC-HIFRSBDPSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000968684873 719734731 /nfs/dbraw/zinc/73/47/31/719734731.db2.gz JZUFIRWWBUKCTC-HIFRSBDPSA-N 1 2 323.400 1.545 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nccn1CC ZINC000948910000 719780826 /nfs/dbraw/zinc/78/08/26/719780826.db2.gz GGVGXPQHBCFSGJ-QGZVFWFLSA-N 1 2 322.412 1.865 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nccn1CC ZINC000948910000 719780828 /nfs/dbraw/zinc/78/08/28/719780828.db2.gz GGVGXPQHBCFSGJ-QGZVFWFLSA-N 1 2 322.412 1.865 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnnc(C)c1 ZINC000948910655 719781435 /nfs/dbraw/zinc/78/14/35/719781435.db2.gz YYMNDCDHXFTRSX-GOSISDBHSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnnc(C)c1 ZINC000948910655 719781437 /nfs/dbraw/zinc/78/14/37/719781437.db2.gz YYMNDCDHXFTRSX-GOSISDBHSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CCC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn[nH]n1 ZINC000948933094 719791826 /nfs/dbraw/zinc/79/18/26/719791826.db2.gz USSSGHZGKRAHNQ-MRXNPFEDSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CCC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cn[nH]n1 ZINC000948933094 719791830 /nfs/dbraw/zinc/79/18/30/719791830.db2.gz USSSGHZGKRAHNQ-MRXNPFEDSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CCC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn[nH]1 ZINC000948933094 719791834 /nfs/dbraw/zinc/79/18/34/719791834.db2.gz USSSGHZGKRAHNQ-MRXNPFEDSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CCC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn[nH]1 ZINC000948933094 719791838 /nfs/dbraw/zinc/79/18/38/719791838.db2.gz USSSGHZGKRAHNQ-MRXNPFEDSA-N 1 2 309.373 1.157 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ncccn1 ZINC000948974817 719818750 /nfs/dbraw/zinc/81/87/50/719818750.db2.gz LZDVMPFPWHGNCI-INIZCTEOSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ncccn1 ZINC000948974817 719818755 /nfs/dbraw/zinc/81/87/55/719818755.db2.gz LZDVMPFPWHGNCI-INIZCTEOSA-N 1 2 306.369 1.439 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)Cc2cc(=O)n(CC(C)C)[nH]2)CC1 ZINC000949141070 719927658 /nfs/dbraw/zinc/92/76/58/719927658.db2.gz IKJACPXZVFNMLH-UHFFFAOYSA-N 1 2 320.437 1.095 20 30 DDEDLO N#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000969022703 719928023 /nfs/dbraw/zinc/92/80/23/719928023.db2.gz IBCSYEKDLSXJFR-KBPBESRZSA-N 1 2 315.421 1.714 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3ccoc3Cl)CC2)C1 ZINC000949346342 720031260 /nfs/dbraw/zinc/03/12/60/720031260.db2.gz AYDJZBRHGFIFEX-UHFFFAOYSA-N 1 2 322.792 1.873 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3ccoc3Cl)CC2)C1 ZINC000949346342 720031264 /nfs/dbraw/zinc/03/12/64/720031264.db2.gz AYDJZBRHGFIFEX-UHFFFAOYSA-N 1 2 322.792 1.873 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H](C)C(F)(F)F)CC2)C1 ZINC000949433342 720084553 /nfs/dbraw/zinc/08/45/53/720084553.db2.gz PLVPQTBTLQBPFJ-GFCCVEGCSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H](C)C(F)(F)F)CC2)C1 ZINC000949433342 720084556 /nfs/dbraw/zinc/08/45/56/720084556.db2.gz PLVPQTBTLQBPFJ-GFCCVEGCSA-N 1 2 318.339 1.511 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]([NH2+]Cc3cnsn3)C2)CC1 ZINC000969829990 720313904 /nfs/dbraw/zinc/31/39/04/720313904.db2.gz BMNNFDMNHAPXML-CYBMUJFWSA-N 1 2 306.435 1.975 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cn(C)nc2CC)C1 ZINC000969913703 720558702 /nfs/dbraw/zinc/55/87/02/720558702.db2.gz TXZUOYNRZOADMJ-NSHDSACASA-N 1 2 310.829 1.785 20 30 DDEDLO C#CC[NH+]1CC([C@H](C)NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC000970044742 720609578 /nfs/dbraw/zinc/60/95/78/720609578.db2.gz NEYSOLQGRCKWQY-VIFPVBQESA-N 1 2 306.797 1.624 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cc(OCC)no2)C1 ZINC000970167936 720650436 /nfs/dbraw/zinc/65/04/36/720650436.db2.gz RHSZVZXWGKILSQ-JTQLQIEISA-N 1 2 313.785 1.876 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2c(CC)ncnc2CC)CC1 ZINC000950564850 720685990 /nfs/dbraw/zinc/68/59/90/720685990.db2.gz JQSCHLXBAJOYLW-UHFFFAOYSA-N 1 2 302.422 1.935 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn3c(n2)CC[C@H](C)C3)C1 ZINC000950620997 720707577 /nfs/dbraw/zinc/70/75/77/720707577.db2.gz KRQYDVQIJLMHBT-AWEZNQCLSA-N 1 2 314.433 1.635 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2ccnc3[nH]c(CC)nc32)C1 ZINC000950975235 720860030 /nfs/dbraw/zinc/86/00/30/720860030.db2.gz AYRWALMQZMJHIS-UHFFFAOYSA-N 1 2 313.405 1.853 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC000970918593 720987236 /nfs/dbraw/zinc/98/72/36/720987236.db2.gz VUGDMGCKNIPFLQ-NEPJUHHUSA-N 1 2 323.828 1.030 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971511391 721331606 /nfs/dbraw/zinc/33/16/06/721331606.db2.gz LGPZMQHKHNBTFO-MRXNPFEDSA-N 1 2 300.406 1.349 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971511391 721331609 /nfs/dbraw/zinc/33/16/09/721331609.db2.gz LGPZMQHKHNBTFO-MRXNPFEDSA-N 1 2 300.406 1.349 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971511633 721331651 /nfs/dbraw/zinc/33/16/51/721331651.db2.gz NGLHMSTZIVSZTF-RISCZKNCSA-N 1 2 302.378 1.385 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971511633 721331656 /nfs/dbraw/zinc/33/16/56/721331656.db2.gz NGLHMSTZIVSZTF-RISCZKNCSA-N 1 2 302.378 1.385 20 30 DDEDLO CCc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971512524 721332682 /nfs/dbraw/zinc/33/26/82/721332682.db2.gz DDUPCWXCDPQLPM-AWEZNQCLSA-N 1 2 301.390 1.683 20 30 DDEDLO CCc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971512524 721332687 /nfs/dbraw/zinc/33/26/87/721332687.db2.gz DDUPCWXCDPQLPM-AWEZNQCLSA-N 1 2 301.390 1.683 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](CNC(=O)c2cccc3nc(C)[nH]c32)C1 ZINC001023686505 735398328 /nfs/dbraw/zinc/39/83/28/735398328.db2.gz UWEVXUYILAGWEE-CQSZACIVSA-N 1 2 310.401 1.946 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC#N ZINC001039677970 735439036 /nfs/dbraw/zinc/43/90/36/735439036.db2.gz SVTRJVQRCKFCPV-GASCZTMLSA-N 1 2 314.389 1.222 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H]([N@H+](C)Cc2ccon2)C1 ZINC001027585925 738436088 /nfs/dbraw/zinc/43/60/88/738436088.db2.gz SGBXPDSNMQRFKQ-XHSDSOJGSA-N 1 2 319.405 1.689 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H]([N@@H+](C)Cc2ccon2)C1 ZINC001027585925 738436091 /nfs/dbraw/zinc/43/60/91/738436091.db2.gz SGBXPDSNMQRFKQ-XHSDSOJGSA-N 1 2 319.405 1.689 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(C)n1 ZINC001111482840 738699746 /nfs/dbraw/zinc/69/97/46/738699746.db2.gz VDPQGBYNTZTCMW-OAGGEKHMSA-N 1 2 318.421 1.234 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(C)n1 ZINC001111482840 738699747 /nfs/dbraw/zinc/69/97/47/738699747.db2.gz VDPQGBYNTZTCMW-OAGGEKHMSA-N 1 2 318.421 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)c2[nH]nnc2C)C1 ZINC001021648839 733400312 /nfs/dbraw/zinc/40/03/12/733400312.db2.gz NGCLFOCLGHGXNO-QWHCGFSZSA-N 1 2 323.828 1.792 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(c2nc(C)[nH+]c(C)c2C)CCO1 ZINC001104309964 733441859 /nfs/dbraw/zinc/44/18/59/733441859.db2.gz LPNYXDILPBCGKB-OAHLLOKOSA-N 1 2 318.421 1.689 20 30 DDEDLO C#Cc1ccc(NC(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)cc1 ZINC001121147183 782379483 /nfs/dbraw/zinc/37/94/83/782379483.db2.gz SFXLYFVPDDTFGC-SJORKVTESA-N 1 2 314.385 1.486 20 30 DDEDLO Cc1nc(NC2(CNC(=O)CSCC#N)CCCC2)cc[nH+]1 ZINC001104367982 734391444 /nfs/dbraw/zinc/39/14/44/734391444.db2.gz ROIYRWSNWZDNEV-UHFFFAOYSA-N 1 2 319.434 1.883 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)Cn3cc[nH+]c3)CCCC2)cn1 ZINC001104398175 734638536 /nfs/dbraw/zinc/63/85/36/734638536.db2.gz XUWVWRXIOQEDLE-UHFFFAOYSA-N 1 2 324.388 1.691 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001027942100 738843955 /nfs/dbraw/zinc/84/39/55/738843955.db2.gz XNDBMHBRPZJHPD-AVGNSLFASA-N 1 2 302.802 1.123 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001027942100 738843959 /nfs/dbraw/zinc/84/39/59/738843959.db2.gz XNDBMHBRPZJHPD-AVGNSLFASA-N 1 2 302.802 1.123 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3C[C@H]3OC(C)(C)C)n2C)CC1 ZINC001121310663 782452136 /nfs/dbraw/zinc/45/21/36/782452136.db2.gz SHKBDTFCDVDGDY-ZIAGYGMSSA-N 1 2 317.437 1.241 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(COC3CCCCC3)n2C)CC1 ZINC001121323560 782458325 /nfs/dbraw/zinc/45/83/25/782458325.db2.gz ACEJACNMUSYEJD-UHFFFAOYSA-N 1 2 317.437 1.420 20 30 DDEDLO C=CCOCC(=O)NC[C@H](CO)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC001121324893 782458728 /nfs/dbraw/zinc/45/87/28/782458728.db2.gz RYNZTJOHBGRAFK-CYBMUJFWSA-N 1 2 322.409 1.000 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3ccco3)C2)C1 ZINC000972550607 735162278 /nfs/dbraw/zinc/16/22/78/735162278.db2.gz ZQWOCQGCAWRMAI-RHSMWYFYSA-N 1 2 304.390 1.872 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3ccco3)C2)C1 ZINC000972550607 735162281 /nfs/dbraw/zinc/16/22/81/735162281.db2.gz ZQWOCQGCAWRMAI-RHSMWYFYSA-N 1 2 304.390 1.872 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCO[C@H]1CC1(F)F ZINC001032562263 751343504 /nfs/dbraw/zinc/34/35/04/751343504.db2.gz OTYYAQDKKOQDLZ-AVGNSLFASA-N 1 2 314.376 1.908 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCO[C@H]1CC1(F)F ZINC001032562263 751343510 /nfs/dbraw/zinc/34/35/10/751343510.db2.gz OTYYAQDKKOQDLZ-AVGNSLFASA-N 1 2 314.376 1.908 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3CCCC3(F)F)n2C)CC1 ZINC001121407866 782495570 /nfs/dbraw/zinc/49/55/70/782495570.db2.gz ZAUCXRDGDCZSQN-LBPRGKRZSA-N 1 2 309.364 1.473 20 30 DDEDLO C=CC[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001024438473 735827654 /nfs/dbraw/zinc/82/76/54/735827654.db2.gz PABXZOVNLBUBEX-KBPBESRZSA-N 1 2 302.422 1.986 20 30 DDEDLO C=CC[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001024438473 735827661 /nfs/dbraw/zinc/82/76/61/735827661.db2.gz PABXZOVNLBUBEX-KBPBESRZSA-N 1 2 302.422 1.986 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1nc2cnccc2s1 ZINC001024546362 735920868 /nfs/dbraw/zinc/92/08/68/735920868.db2.gz XJVHDHLHSOLISW-GFCCVEGCSA-N 1 2 314.414 1.909 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1nc2cnccc2s1 ZINC001024546362 735920872 /nfs/dbraw/zinc/92/08/72/735920872.db2.gz XJVHDHLHSOLISW-GFCCVEGCSA-N 1 2 314.414 1.909 20 30 DDEDLO N#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001024646502 736002172 /nfs/dbraw/zinc/00/21/72/736002172.db2.gz CMQPNRIHRCGUGU-QWHCGFSZSA-N 1 2 301.394 1.324 20 30 DDEDLO C=CCCOCC(=O)N1CCO[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111947950 736173334 /nfs/dbraw/zinc/17/33/34/736173334.db2.gz CXJDBPSPEUYTBS-CQSZACIVSA-N 1 2 320.393 1.017 20 30 DDEDLO CC(C)(C)c1nnc(C[NH2+]CCNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001125818397 736226895 /nfs/dbraw/zinc/22/68/95/736226895.db2.gz GPNOYEFMFZIAJR-UHFFFAOYSA-N 1 2 316.365 1.086 20 30 DDEDLO C#CCC[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001007338971 736309528 /nfs/dbraw/zinc/30/95/28/736309528.db2.gz NRIQNWDQVWJBJS-UONOGXRCSA-N 1 2 300.406 1.434 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001007338971 736309532 /nfs/dbraw/zinc/30/95/32/736309532.db2.gz NRIQNWDQVWJBJS-UONOGXRCSA-N 1 2 300.406 1.434 20 30 DDEDLO C[C@H](CC(=O)N1C[C@@H](C)[C@H](CCNCC#N)C1)n1cc[nH+]c1 ZINC001104738416 736694890 /nfs/dbraw/zinc/69/48/90/736694890.db2.gz UPYJNJUJQYMCEI-RBSFLKMASA-N 1 2 303.410 1.432 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)n1 ZINC001075770805 740396392 /nfs/dbraw/zinc/39/63/92/740396392.db2.gz MUJYXJNHXMXOMZ-BYCMXARLSA-N 1 2 314.389 1.385 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)n1 ZINC001075770805 740396394 /nfs/dbraw/zinc/39/63/94/740396394.db2.gz MUJYXJNHXMXOMZ-BYCMXARLSA-N 1 2 314.389 1.385 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(Cc3ccc(F)cc3C#N)C2)CCO1 ZINC001141166940 737500154 /nfs/dbraw/zinc/50/01/54/737500154.db2.gz QDLZHJGSKPNEMH-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO CC1(C)C[N@H+](C2CN(Cc3ccc(F)cc3C#N)C2)CCO1 ZINC001141166940 737500160 /nfs/dbraw/zinc/50/01/60/737500160.db2.gz QDLZHJGSKPNEMH-UHFFFAOYSA-N 1 2 303.381 1.992 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C(F)=C(C)C)C2)nn1 ZINC001105209728 737612162 /nfs/dbraw/zinc/61/21/62/737612162.db2.gz NPIWWTCLARKFGS-UHFFFAOYSA-N 1 2 305.357 1.038 20 30 DDEDLO C#CCCCC(=O)N1CC(n2cc(C[NH2+]C[C@@H](F)CC)nn2)C1 ZINC001105225050 737632039 /nfs/dbraw/zinc/63/20/39/737632039.db2.gz IJQZNXYLUBMKLZ-ZDUSSCGKSA-N 1 2 321.400 1.303 20 30 DDEDLO C=C(C)CCC(=O)N1CC(n2cc(C[NH2+]C[C@H](F)CC)nn2)C1 ZINC001105252586 737663220 /nfs/dbraw/zinc/66/32/20/737663220.db2.gz YINQIYRESVXOIM-CYBMUJFWSA-N 1 2 323.416 1.855 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)CC[C@@H](C)CC)C2)nn1 ZINC001105349075 738972324 /nfs/dbraw/zinc/97/23/24/738972324.db2.gz DHDNFQDWDGIPPW-AWEZNQCLSA-N 1 2 317.437 1.601 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)CC(C)=C(C)C)C2)nn1 ZINC001105303917 737792770 /nfs/dbraw/zinc/79/27/70/737792770.db2.gz VNIGUWCARCSVNK-UHFFFAOYSA-N 1 2 315.421 1.521 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC001027402913 738264109 /nfs/dbraw/zinc/26/41/09/738264109.db2.gz VCUWHQSBCWQNDN-DOMZBBRYSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)[C@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC001027402913 738264112 /nfs/dbraw/zinc/26/41/12/738264112.db2.gz VCUWHQSBCWQNDN-DOMZBBRYSA-N 1 2 316.405 1.775 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001058885104 739030558 /nfs/dbraw/zinc/03/05/58/739030558.db2.gz ZMUPFMNNOCPTRS-ZDUSSCGKSA-N 1 2 310.361 1.322 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@@H]2CCN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001075619844 739219102 /nfs/dbraw/zinc/21/91/02/739219102.db2.gz QSNQWCZKXNHSHS-HZSPNIEDSA-N 1 2 301.394 1.095 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@@H]2CCN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001075619844 739219105 /nfs/dbraw/zinc/21/91/05/739219105.db2.gz QSNQWCZKXNHSHS-HZSPNIEDSA-N 1 2 301.394 1.095 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc(N(C)C)nn1 ZINC001028268770 739257209 /nfs/dbraw/zinc/25/72/09/739257209.db2.gz ZUNRXVJLDSELKF-LBPRGKRZSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(N(C)C)nn1 ZINC001028268770 739257211 /nfs/dbraw/zinc/25/72/11/739257211.db2.gz ZUNRXVJLDSELKF-LBPRGKRZSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001028273262 739263452 /nfs/dbraw/zinc/26/34/52/739263452.db2.gz MOPBOBQFAIQYMD-KBPBESRZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001028273262 739263457 /nfs/dbraw/zinc/26/34/57/739263457.db2.gz MOPBOBQFAIQYMD-KBPBESRZSA-N 1 2 313.829 1.330 20 30 DDEDLO C[C@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1ccc(C#N)cn1 ZINC001098152794 739273218 /nfs/dbraw/zinc/27/32/18/739273218.db2.gz FFNXGARSDAJUNW-GFCCVEGCSA-N 1 2 320.356 1.831 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3scnc32)C1 ZINC001035369969 751434471 /nfs/dbraw/zinc/43/44/71/751434471.db2.gz LMOUUPAKOQCGTL-GFCCVEGCSA-N 1 2 317.414 1.913 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3scnc32)C1 ZINC001035369969 751434473 /nfs/dbraw/zinc/43/44/73/751434473.db2.gz LMOUUPAKOQCGTL-GFCCVEGCSA-N 1 2 317.414 1.913 20 30 DDEDLO C#CCCCC(=O)NCC[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001126357247 739363826 /nfs/dbraw/zinc/36/38/26/739363826.db2.gz WQIYDNLCVRRZQW-LBPRGKRZSA-N 1 2 306.410 1.937 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1nn(CC)nc1C ZINC001028352083 739391345 /nfs/dbraw/zinc/39/13/45/739391345.db2.gz DIBWVBNZADTPHH-GFCCVEGCSA-N 1 2 311.817 1.553 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1nn(CC)nc1C ZINC001028352083 739391350 /nfs/dbraw/zinc/39/13/50/739391350.db2.gz DIBWVBNZADTPHH-GFCCVEGCSA-N 1 2 311.817 1.553 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[C@H]3C[N@H+](Cc4ccon4)C[C@H]32)c1 ZINC001075658709 739712843 /nfs/dbraw/zinc/71/28/43/739712843.db2.gz PNVUABBNJCKRON-SWLSCSKDSA-N 1 2 311.345 1.221 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CC[C@H]3C[N@@H+](Cc4ccon4)C[C@H]32)c1 ZINC001075658709 739712849 /nfs/dbraw/zinc/71/28/49/739712849.db2.gz PNVUABBNJCKRON-SWLSCSKDSA-N 1 2 311.345 1.221 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn3c(n2)CC[C@@H](C)C3)C1 ZINC001035418948 751477251 /nfs/dbraw/zinc/47/72/51/751477251.db2.gz DYCAWZCYETTZNL-KGLIPLIRSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn3c(n2)CC[C@@H](C)C3)C1 ZINC001035418948 751477255 /nfs/dbraw/zinc/47/72/55/751477255.db2.gz DYCAWZCYETTZNL-KGLIPLIRSA-N 1 2 318.421 1.082 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@@H+](Cc3ncnn3C)C2)cc1 ZINC001028730400 739968564 /nfs/dbraw/zinc/96/85/64/739968564.db2.gz IINYNTDPWNNCEE-OAHLLOKOSA-N 1 2 323.400 1.048 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2CC[N@H+](Cc3ncnn3C)C2)cc1 ZINC001028730400 739968566 /nfs/dbraw/zinc/96/85/66/739968566.db2.gz IINYNTDPWNNCEE-OAHLLOKOSA-N 1 2 323.400 1.048 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)cn2C)C1 ZINC001035439681 751516377 /nfs/dbraw/zinc/51/63/77/751516377.db2.gz FICFZEFMXMZBJK-ZDUSSCGKSA-N 1 2 311.813 1.685 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(Cl)cn2C)C1 ZINC001035439681 751516381 /nfs/dbraw/zinc/51/63/81/751516381.db2.gz FICFZEFMXMZBJK-ZDUSSCGKSA-N 1 2 311.813 1.685 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnc3n2CCCC3)C1 ZINC001035485677 751539177 /nfs/dbraw/zinc/53/91/77/751539177.db2.gz SPMCXIBMDVQTLK-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnc3n2CCCC3)C1 ZINC001035485677 751539181 /nfs/dbraw/zinc/53/91/81/751539181.db2.gz SPMCXIBMDVQTLK-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)cs1 ZINC001075771025 740399559 /nfs/dbraw/zinc/39/95/59/740399559.db2.gz SASMKNUMIZZMJF-GOEBONIOSA-N 1 2 315.442 1.898 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)cs1 ZINC001075771025 740399565 /nfs/dbraw/zinc/39/95/65/740399565.db2.gz SASMKNUMIZZMJF-GOEBONIOSA-N 1 2 315.442 1.898 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(F)c2F)C1 ZINC001035487809 751541688 /nfs/dbraw/zinc/54/16/88/751541688.db2.gz NUPCVPONNDQTON-GFCCVEGCSA-N 1 2 308.328 1.419 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cccc(F)c2F)C1 ZINC001035487809 751541690 /nfs/dbraw/zinc/54/16/90/751541690.db2.gz NUPCVPONNDQTON-GFCCVEGCSA-N 1 2 308.328 1.419 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3ccc(C)nn3)[C@@H]2C1 ZINC001075779641 740444181 /nfs/dbraw/zinc/44/41/81/740444181.db2.gz UPOZCZIDQFEYGN-GXTWGEPZSA-N 1 2 306.797 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccc(C)nn3)[C@@H]2C1 ZINC001075779641 740444182 /nfs/dbraw/zinc/44/41/82/740444182.db2.gz UPOZCZIDQFEYGN-GXTWGEPZSA-N 1 2 306.797 1.684 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)on2)C1 ZINC001035465014 751550535 /nfs/dbraw/zinc/55/05/35/751550535.db2.gz DIJDSRITLNTNGE-CYBMUJFWSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)C)on2)C1 ZINC001035465014 751550541 /nfs/dbraw/zinc/55/05/41/751550541.db2.gz DIJDSRITLNTNGE-CYBMUJFWSA-N 1 2 307.394 1.805 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001087766974 740739125 /nfs/dbraw/zinc/73/91/25/740739125.db2.gz CXLMEXWXEPABKV-LSDHHAIUSA-N 1 2 324.388 1.459 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001087766974 740739126 /nfs/dbraw/zinc/73/91/26/740739126.db2.gz CXLMEXWXEPABKV-LSDHHAIUSA-N 1 2 324.388 1.459 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccsc2Cl)C1 ZINC001035537405 751582561 /nfs/dbraw/zinc/58/25/61/751582561.db2.gz POBHLXNUTQDFRD-LLVKDONJSA-N 1 2 312.822 1.855 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccsc2Cl)C1 ZINC001035537405 751582563 /nfs/dbraw/zinc/58/25/63/751582563.db2.gz POBHLXNUTQDFRD-LLVKDONJSA-N 1 2 312.822 1.855 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001035521815 751599028 /nfs/dbraw/zinc/59/90/28/751599028.db2.gz NYYALEHZICZOSV-CYBMUJFWSA-N 1 2 305.378 1.102 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001035521815 751599029 /nfs/dbraw/zinc/59/90/29/751599029.db2.gz NYYALEHZICZOSV-CYBMUJFWSA-N 1 2 305.378 1.102 20 30 DDEDLO Cc1nc([C@@H](C)[N@H+](C)C[C@@H]2CCN(C(=O)C#CC3CC3)C2)no1 ZINC001029735229 741265721 /nfs/dbraw/zinc/26/57/21/741265721.db2.gz GZMUWBGZYZALIA-DOMZBBRYSA-N 1 2 316.405 1.633 20 30 DDEDLO Cc1nc([C@@H](C)[N@@H+](C)C[C@@H]2CCN(C(=O)C#CC3CC3)C2)no1 ZINC001029735229 741265723 /nfs/dbraw/zinc/26/57/23/741265723.db2.gz GZMUWBGZYZALIA-DOMZBBRYSA-N 1 2 316.405 1.633 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1coc(C(F)(F)F)n1 ZINC001038462660 741293638 /nfs/dbraw/zinc/29/36/38/741293638.db2.gz WWQXBHTWSOXVCK-SECBINFHSA-N 1 2 301.268 1.521 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1coc(C(F)(F)F)n1 ZINC001038462660 741293642 /nfs/dbraw/zinc/29/36/42/741293642.db2.gz WWQXBHTWSOXVCK-SECBINFHSA-N 1 2 301.268 1.521 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001035572342 751626221 /nfs/dbraw/zinc/62/62/21/751626221.db2.gz YLGPXFWKXXDEPD-OAHLLOKOSA-N 1 2 320.437 1.779 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001035572342 751626225 /nfs/dbraw/zinc/62/62/25/751626225.db2.gz YLGPXFWKXXDEPD-OAHLLOKOSA-N 1 2 320.437 1.779 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCCCC[C@H](NCC#N)C1 ZINC001088395843 741459821 /nfs/dbraw/zinc/45/98/21/741459821.db2.gz RKDDRBCTEVVKCL-KBPBESRZSA-N 1 2 303.410 1.473 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCCCC[C@H](NCC#N)C1 ZINC001088395843 741459825 /nfs/dbraw/zinc/45/98/25/741459825.db2.gz RKDDRBCTEVVKCL-KBPBESRZSA-N 1 2 303.410 1.473 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001211909569 741604659 /nfs/dbraw/zinc/60/46/59/741604659.db2.gz ZGNYXHHPBDEJLY-GVDBMIGSSA-N 1 2 324.465 1.668 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001211909569 741604663 /nfs/dbraw/zinc/60/46/63/741604663.db2.gz ZGNYXHHPBDEJLY-GVDBMIGSSA-N 1 2 324.465 1.668 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc3ccccc3o2)C1 ZINC001035603306 751661533 /nfs/dbraw/zinc/66/15/33/751661533.db2.gz QZYULMWZEOSZSW-OAHLLOKOSA-N 1 2 312.369 1.887 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc3ccccc3o2)C1 ZINC001035603306 751661542 /nfs/dbraw/zinc/66/15/42/751661542.db2.gz QZYULMWZEOSZSW-OAHLLOKOSA-N 1 2 312.369 1.887 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3c(cccc3C)O2)C1 ZINC001035579713 751668887 /nfs/dbraw/zinc/66/88/87/751668887.db2.gz LPRXSIVRODJBQH-PBHICJAKSA-N 1 2 316.401 1.302 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2Cc3c(cccc3C)O2)C1 ZINC001035579713 751668895 /nfs/dbraw/zinc/66/88/95/751668895.db2.gz LPRXSIVRODJBQH-PBHICJAKSA-N 1 2 316.401 1.302 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001035620203 751691709 /nfs/dbraw/zinc/69/17/09/751691709.db2.gz ADMXVMWAOCGOAJ-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)n(C(C)C)n2)C1 ZINC001035620203 751691712 /nfs/dbraw/zinc/69/17/12/751691712.db2.gz ADMXVMWAOCGOAJ-OAHLLOKOSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCc3cncn3C2)[C@H]1C ZINC001088679513 742073068 /nfs/dbraw/zinc/07/30/68/742073068.db2.gz RTZWEQXPCGBLSC-IPYPFGDCSA-N 1 2 322.840 1.777 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCc3cncn3C2)[C@H]1C ZINC001088679513 742073075 /nfs/dbraw/zinc/07/30/75/742073075.db2.gz RTZWEQXPCGBLSC-IPYPFGDCSA-N 1 2 322.840 1.777 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCNC(=O)CC2)[C@H]1C ZINC001088772516 742342395 /nfs/dbraw/zinc/34/23/95/742342395.db2.gz BYOBEOGRFHLYPO-UPJWGTAASA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCNC(=O)CC2)[C@H]1C ZINC001088772516 742342401 /nfs/dbraw/zinc/34/24/01/742342401.db2.gz BYOBEOGRFHLYPO-UPJWGTAASA-N 1 2 313.829 1.234 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]cn1C ZINC001076298006 742651794 /nfs/dbraw/zinc/65/17/94/742651794.db2.gz ULGZPOCHYWKFNY-AWEZNQCLSA-N 1 2 318.421 1.167 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077015077 743169505 /nfs/dbraw/zinc/16/95/05/743169505.db2.gz ZTKNMMPJJIJMNQ-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077015077 743169508 /nfs/dbraw/zinc/16/95/08/743169508.db2.gz ZTKNMMPJJIJMNQ-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nc([C@@H](C)OCC)no2)C1 ZINC001181534906 743229321 /nfs/dbraw/zinc/22/93/21/743229321.db2.gz IUJFFTYSZHVWLN-CHWSQXEVSA-N 1 2 322.409 1.824 20 30 DDEDLO Cc1cc(C[NH+]2CCC(NC(=O)c3cc(C#N)c[nH]3)CC2)no1 ZINC001002546952 743230076 /nfs/dbraw/zinc/23/00/76/743230076.db2.gz ZENCMUVDLMHTTO-UHFFFAOYSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(COC)no2)C1 ZINC001181517349 743246344 /nfs/dbraw/zinc/24/63/44/743246344.db2.gz KDQNQDOEBCZVIO-VXGBXAGGSA-N 1 2 308.382 1.434 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)CCNC(=O)Cn1cc[nH+]c1 ZINC001077184855 743302918 /nfs/dbraw/zinc/30/29/18/743302918.db2.gz YBNVVKVJFARCQT-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO C=CCCOCC(=O)N(C)C1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001126963318 743308008 /nfs/dbraw/zinc/30/80/08/743308008.db2.gz KXHWRKNEQPVBAF-UHFFFAOYSA-N 1 2 304.394 1.779 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001181898622 743384761 /nfs/dbraw/zinc/38/47/61/743384761.db2.gz YVNYLCBKVIBMDM-CYBMUJFWSA-N 1 2 322.409 1.305 20 30 DDEDLO C=CCOCCCC(=O)NCc1cnn2c1C[N@H+](C(C)C)CC2 ZINC001128285170 743463874 /nfs/dbraw/zinc/46/38/74/743463874.db2.gz ZNAVKYZMAKHXSP-UHFFFAOYSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCOCCCC(=O)NCc1cnn2c1C[N@@H+](C(C)C)CC2 ZINC001128285170 743463878 /nfs/dbraw/zinc/46/38/78/743463878.db2.gz ZNAVKYZMAKHXSP-UHFFFAOYSA-N 1 2 320.437 1.706 20 30 DDEDLO N#Cc1cc2cccnc2nc1N[C@@H]1CCC[N@@H+](C2COC2)C1 ZINC001168369541 743477580 /nfs/dbraw/zinc/47/75/80/743477580.db2.gz DRSZCMQTNVHPPQ-CQSZACIVSA-N 1 2 309.373 1.777 20 30 DDEDLO N#Cc1cc2cccnc2nc1N[C@@H]1CCC[N@H+](C2COC2)C1 ZINC001168369541 743477582 /nfs/dbraw/zinc/47/75/82/743477582.db2.gz DRSZCMQTNVHPPQ-CQSZACIVSA-N 1 2 309.373 1.777 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2cc(OC)ncn2)CC1 ZINC001002832154 743480678 /nfs/dbraw/zinc/48/06/78/743480678.db2.gz KOEKTQDSCKSVSE-UHFFFAOYSA-N 1 2 310.785 1.432 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C)o1)C2 ZINC001110255194 743514892 /nfs/dbraw/zinc/51/48/92/743514892.db2.gz RNYGNTYTMXXIJU-MCIONIFRSA-N 1 2 317.389 1.225 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C)o1)C2 ZINC001110255194 743514899 /nfs/dbraw/zinc/51/48/99/743514899.db2.gz RNYGNTYTMXXIJU-MCIONIFRSA-N 1 2 317.389 1.225 20 30 DDEDLO C#CCOCCC(=O)NCC[NH2+][C@@H](C)c1nnc(CC(C)C)o1 ZINC001127023394 743595106 /nfs/dbraw/zinc/59/51/06/743595106.db2.gz KNCQPSWRTPQDJB-ZDUSSCGKSA-N 1 2 322.409 1.075 20 30 DDEDLO C#CCCCC(=O)NCc1cnn2c1C[N@H+](CCCOC)CC2 ZINC001128327783 743601236 /nfs/dbraw/zinc/60/12/36/743601236.db2.gz UKTVVEDHGMEGPX-UHFFFAOYSA-N 1 2 318.421 1.155 20 30 DDEDLO C#CCCCC(=O)NCc1cnn2c1C[N@@H+](CCCOC)CC2 ZINC001128327783 743601238 /nfs/dbraw/zinc/60/12/38/743601238.db2.gz UKTVVEDHGMEGPX-UHFFFAOYSA-N 1 2 318.421 1.155 20 30 DDEDLO C[C@H](F)CC[N@@H+]1CCn2ncc(CNC(=O)C#CC3CC3)c2C1 ZINC001128346704 743635463 /nfs/dbraw/zinc/63/54/63/743635463.db2.gz DLKSANMNUHGHKA-ZDUSSCGKSA-N 1 2 318.396 1.476 20 30 DDEDLO C[C@H](F)CC[N@H+]1CCn2ncc(CNC(=O)C#CC3CC3)c2C1 ZINC001128346704 743635467 /nfs/dbraw/zinc/63/54/67/743635467.db2.gz DLKSANMNUHGHKA-ZDUSSCGKSA-N 1 2 318.396 1.476 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[NH2+][C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001127051616 743676173 /nfs/dbraw/zinc/67/61/73/743676173.db2.gz KAHVUZRZCSKBNH-STQMWFEESA-N 1 2 321.421 1.777 20 30 DDEDLO C#CC(C)(C)C(=O)N1CCc2c(C[N@H+](C)C(C)C)n[nH]c2C1 ZINC001182635999 743677722 /nfs/dbraw/zinc/67/77/22/743677722.db2.gz LXXKNYQRNHLAFZ-UHFFFAOYSA-N 1 2 302.422 1.794 20 30 DDEDLO CN(C(=O)CCc1c[nH]c[nH+]1)C1CC(Nc2ccc(C#N)cn2)C1 ZINC001127092389 743736229 /nfs/dbraw/zinc/73/62/29/743736229.db2.gz ZODFDJWRYDQHLM-UHFFFAOYSA-N 1 2 324.388 1.710 20 30 DDEDLO CN(C(=O)CCc1c[nH+]c[nH]1)C1CC(Nc2ccc(C#N)cn2)C1 ZINC001127092389 743736232 /nfs/dbraw/zinc/73/62/32/743736232.db2.gz ZODFDJWRYDQHLM-UHFFFAOYSA-N 1 2 324.388 1.710 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cncs1)C2 ZINC001110331134 743812530 /nfs/dbraw/zinc/81/25/30/743812530.db2.gz JJBNJDVLNHUTSW-UPJWGTAASA-N 1 2 305.403 1.128 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cncs1)C2 ZINC001110331134 743812533 /nfs/dbraw/zinc/81/25/33/743812533.db2.gz JJBNJDVLNHUTSW-UPJWGTAASA-N 1 2 305.403 1.128 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCN(CC(F)(F)F)C2)CC1 ZINC001184898617 744117121 /nfs/dbraw/zinc/11/71/21/744117121.db2.gz RAMAAIXRDARDSK-CYBMUJFWSA-N 1 2 319.371 1.591 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1CNC(=O)c1nc(SC)ncc1O ZINC001185187733 744174477 /nfs/dbraw/zinc/17/44/77/744174477.db2.gz GFUWOSZQSFGJRY-JTQLQIEISA-N 1 2 308.407 1.284 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1nc(SC)ncc1O ZINC001185187733 744174480 /nfs/dbraw/zinc/17/44/80/744174480.db2.gz GFUWOSZQSFGJRY-JTQLQIEISA-N 1 2 308.407 1.284 20 30 DDEDLO C=CCCc1ccc(C(=O)N2CCc3[nH+]ccn3CC2)c(=O)[nH]1 ZINC001185358658 744205845 /nfs/dbraw/zinc/20/58/45/744205845.db2.gz LRHLXZKNALNDFS-UHFFFAOYSA-N 1 2 312.373 1.801 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C=C(C)C)C2)nn1 ZINC001185864374 744302381 /nfs/dbraw/zinc/30/23/81/744302381.db2.gz PYAUJEMLUGJWPX-OAHLLOKOSA-N 1 2 301.394 1.131 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)CCCF)C2)nn1 ZINC001185992630 744318780 /nfs/dbraw/zinc/31/87/80/744318780.db2.gz QPCLWFQUWCLGMD-HNNXBMFYSA-N 1 2 321.400 1.304 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2cn3cc(C)sc3n2)C1 ZINC001030608527 744320625 /nfs/dbraw/zinc/32/06/25/744320625.db2.gz NUGMQDLQXFJKDN-UHFFFAOYSA-N 1 2 304.419 1.623 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)C3(CC)CC3)C2)nn1 ZINC001186001401 744324367 /nfs/dbraw/zinc/32/43/67/744324367.db2.gz VXOQAFYNISBISP-HNNXBMFYSA-N 1 2 315.421 1.355 20 30 DDEDLO N#Cc1cnnc(-c2ccccc2)c1NC(=O)C[N@H+]1CC[C@H](O)C1 ZINC001186036046 744330071 /nfs/dbraw/zinc/33/00/71/744330071.db2.gz QPLQTGRFIKZPEV-AWEZNQCLSA-N 1 2 323.356 1.020 20 30 DDEDLO N#Cc1cnnc(-c2ccccc2)c1NC(=O)C[N@@H+]1CC[C@H](O)C1 ZINC001186036046 744330073 /nfs/dbraw/zinc/33/00/73/744330073.db2.gz QPLQTGRFIKZPEV-AWEZNQCLSA-N 1 2 323.356 1.020 20 30 DDEDLO CCC[C@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001110374343 744335062 /nfs/dbraw/zinc/33/50/62/744335062.db2.gz ABJNDPKNJFCLBI-YJNKXOJESA-N 1 2 320.437 1.174 20 30 DDEDLO CCC[C@H](C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001110374343 744335063 /nfs/dbraw/zinc/33/50/63/744335063.db2.gz ABJNDPKNJFCLBI-YJNKXOJESA-N 1 2 320.437 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cnn(CC)c2)[C@H]1C ZINC001088972672 744419959 /nfs/dbraw/zinc/41/99/59/744419959.db2.gz WFTLRHZCVKJIBH-OCCSQVGLSA-N 1 2 310.829 1.777 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cnn(CC)c2)[C@H]1C ZINC001088972672 744419960 /nfs/dbraw/zinc/41/99/60/744419960.db2.gz WFTLRHZCVKJIBH-OCCSQVGLSA-N 1 2 310.829 1.777 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)nn(C)c2F)[C@H]1C ZINC001089011107 744447055 /nfs/dbraw/zinc/44/70/55/744447055.db2.gz KCIGJEAXRKNBQB-MNOVXSKESA-N 1 2 314.792 1.813 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)nn(C)c2F)[C@H]1C ZINC001089011107 744447056 /nfs/dbraw/zinc/44/70/56/744447056.db2.gz KCIGJEAXRKNBQB-MNOVXSKESA-N 1 2 314.792 1.813 20 30 DDEDLO C[NH+](C)Cc1nc(CSCCNC(=O)[C@@H]2C[C@@H]2C#N)cs1 ZINC001186986200 744475185 /nfs/dbraw/zinc/47/51/85/744475185.db2.gz VQGFDBCINFDGEK-ZYHUDNBSSA-N 1 2 324.475 1.714 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001187279531 744533147 /nfs/dbraw/zinc/53/31/47/744533147.db2.gz BSNHZFNLDSQTEK-FPMFFAJLSA-N 1 2 320.393 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3nc(C)no3)C[C@H]21 ZINC001187279531 744533150 /nfs/dbraw/zinc/53/31/50/744533150.db2.gz BSNHZFNLDSQTEK-FPMFFAJLSA-N 1 2 320.393 1.174 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3nocc3C)C[C@H]21 ZINC001187320199 744548510 /nfs/dbraw/zinc/54/85/10/744548510.db2.gz NKFILCZOENKMSM-DZGCQCFKSA-N 1 2 305.378 1.218 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3nocc3C)C[C@H]21 ZINC001187320199 744548512 /nfs/dbraw/zinc/54/85/12/744548512.db2.gz NKFILCZOENKMSM-DZGCQCFKSA-N 1 2 305.378 1.218 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cccc(N3CCOCC3)c2)CC1 ZINC001187395438 744563820 /nfs/dbraw/zinc/56/38/20/744563820.db2.gz REWQLVGUFHAZLD-UHFFFAOYSA-N 1 2 315.417 1.467 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001187813109 744611443 /nfs/dbraw/zinc/61/14/43/744611443.db2.gz MXBLTCZBIFJGFC-GOEBONIOSA-N 1 2 300.406 1.112 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001187813109 744611445 /nfs/dbraw/zinc/61/14/45/744611445.db2.gz MXBLTCZBIFJGFC-GOEBONIOSA-N 1 2 300.406 1.112 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001188074784 744656395 /nfs/dbraw/zinc/65/63/95/744656395.db2.gz LFBXRMVJRIMLRR-UONOGXRCSA-N 1 2 304.394 1.767 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001188074784 744656396 /nfs/dbraw/zinc/65/63/96/744656396.db2.gz LFBXRMVJRIMLRR-UONOGXRCSA-N 1 2 304.394 1.767 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C/C=C\Cl)C[C@@H]2O)cc1 ZINC001083691583 744819684 /nfs/dbraw/zinc/81/96/84/744819684.db2.gz WOVXOJQMKMOWBL-MIZNNLFUSA-N 1 2 304.777 1.195 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C/C=C\Cl)C[C@@H]2O)cc1 ZINC001083691583 744819688 /nfs/dbraw/zinc/81/96/88/744819688.db2.gz WOVXOJQMKMOWBL-MIZNNLFUSA-N 1 2 304.777 1.195 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(NC(=O)c3nonc3C)CCC[C@@H]12 ZINC000992542213 744954110 /nfs/dbraw/zinc/95/41/10/744954110.db2.gz ZVLBXNMIYVNOBX-BXUZGUMPSA-N 1 2 310.785 1.857 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(NC(=O)c3nonc3C)CCC[C@@H]12 ZINC000992542213 744954114 /nfs/dbraw/zinc/95/41/14/744954114.db2.gz ZVLBXNMIYVNOBX-BXUZGUMPSA-N 1 2 310.785 1.857 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001190104091 745100394 /nfs/dbraw/zinc/10/03/94/745100394.db2.gz CHRWKDLCPSRJLG-IUODEOHRSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001190104091 745100397 /nfs/dbraw/zinc/10/03/97/745100397.db2.gz CHRWKDLCPSRJLG-IUODEOHRSA-N 1 2 305.378 1.054 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3nonc3C)C[C@H]21 ZINC001190441549 745221438 /nfs/dbraw/zinc/22/14/38/745221438.db2.gz VYCFDWONKNYSQU-KCQAQPDRSA-N 1 2 320.393 1.002 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3nonc3C)C[C@H]21 ZINC001190441549 745221445 /nfs/dbraw/zinc/22/14/45/745221445.db2.gz VYCFDWONKNYSQU-KCQAQPDRSA-N 1 2 320.393 1.002 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001190724537 745307383 /nfs/dbraw/zinc/30/73/83/745307383.db2.gz KULJWPIJMAKMDL-HZPDHXFCSA-N 1 2 301.390 1.266 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001190724537 745307389 /nfs/dbraw/zinc/30/73/89/745307389.db2.gz KULJWPIJMAKMDL-HZPDHXFCSA-N 1 2 301.390 1.266 20 30 DDEDLO COC(=O)[C@H](COC1CCC1)[N@H+](C)CCCSCC#N ZINC001192234485 745733338 /nfs/dbraw/zinc/73/33/38/745733338.db2.gz QQUASRWMEDTRCZ-ZDUSSCGKSA-N 1 2 300.424 1.676 20 30 DDEDLO COC(=O)[C@H](COC1CCC1)[N@@H+](C)CCCSCC#N ZINC001192234485 745733342 /nfs/dbraw/zinc/73/33/42/745733342.db2.gz QQUASRWMEDTRCZ-ZDUSSCGKSA-N 1 2 300.424 1.676 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001193337349 746068538 /nfs/dbraw/zinc/06/85/38/746068538.db2.gz OUGFWHBDGLCKIO-ZIAGYGMSSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@H]1O ZINC001193337349 746068544 /nfs/dbraw/zinc/06/85/44/746068544.db2.gz OUGFWHBDGLCKIO-ZIAGYGMSSA-N 1 2 321.421 1.555 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001212210591 746110544 /nfs/dbraw/zinc/11/05/44/746110544.db2.gz CBLZTCFUMCHQOM-BZUAXINKSA-N 1 2 310.438 1.278 20 30 DDEDLO CCCC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001212210591 746110546 /nfs/dbraw/zinc/11/05/46/746110546.db2.gz CBLZTCFUMCHQOM-BZUAXINKSA-N 1 2 310.438 1.278 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cn(C)nc2C)[C@H]1C ZINC000993422124 746113280 /nfs/dbraw/zinc/11/32/80/746113280.db2.gz LTXWZOBTGCISEB-UKRRQHHQSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cn(C)nc2C)[C@H]1C ZINC000993422124 746113284 /nfs/dbraw/zinc/11/32/84/746113284.db2.gz LTXWZOBTGCISEB-UKRRQHHQSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ncc(OC)cn2)[C@H]1C ZINC000993568970 746222589 /nfs/dbraw/zinc/22/25/89/746222589.db2.gz YWLDHMGANXLMRE-YPMHNXCESA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ncc(OC)cn2)[C@H]1C ZINC000993568970 746222593 /nfs/dbraw/zinc/22/25/93/746222593.db2.gz YWLDHMGANXLMRE-YPMHNXCESA-N 1 2 324.812 1.820 20 30 DDEDLO CC#CCN1CCC[C@@H](NC(=O)c2occc2C[NH+](C)C)[C@H]1C ZINC000993704156 746297213 /nfs/dbraw/zinc/29/72/13/746297213.db2.gz PVCJOODBBIEPQX-GDBMZVCRSA-N 1 2 317.433 1.947 20 30 DDEDLO C=CCCC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)C2CC2)CC1 ZINC001195231530 746546586 /nfs/dbraw/zinc/54/65/86/746546586.db2.gz BWHJBWKMCRAXJH-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)C2CC2)CC1 ZINC001195231530 746546590 /nfs/dbraw/zinc/54/65/90/746546590.db2.gz BWHJBWKMCRAXJH-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1O ZINC001195533057 746639442 /nfs/dbraw/zinc/63/94/42/746639442.db2.gz XOCIAZRJZMSCGQ-APHBMKBZSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1O ZINC001195533057 746639445 /nfs/dbraw/zinc/63/94/45/746639445.db2.gz XOCIAZRJZMSCGQ-APHBMKBZSA-N 1 2 321.421 1.501 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccsc2C)CC1 ZINC001195747649 746698351 /nfs/dbraw/zinc/69/83/51/746698351.db2.gz SKIBEAVBDJMTAI-UHFFFAOYSA-N 1 2 306.431 1.854 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccsc2C)CC1 ZINC001195747649 746698354 /nfs/dbraw/zinc/69/83/54/746698354.db2.gz SKIBEAVBDJMTAI-UHFFFAOYSA-N 1 2 306.431 1.854 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[N@@H+](CC(=O)NCCC)CC1 ZINC001195990172 746761353 /nfs/dbraw/zinc/76/13/53/746761353.db2.gz MGWOMRULYPUJAW-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[N@H+](CC(=O)NCCC)CC1 ZINC001195990172 746761354 /nfs/dbraw/zinc/76/13/54/746761354.db2.gz MGWOMRULYPUJAW-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)C2CCC2)CC1 ZINC001196268193 746813574 /nfs/dbraw/zinc/81/35/74/746813574.db2.gz CIWQANIDHZORLI-HUUCEWRRSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@H](C)C2CCC2)CC1 ZINC001196268193 746813576 /nfs/dbraw/zinc/81/35/76/746813576.db2.gz CIWQANIDHZORLI-HUUCEWRRSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1O ZINC001196474856 746871197 /nfs/dbraw/zinc/87/11/97/746871197.db2.gz NJXKIPUOAQQQGA-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1O ZINC001196474856 746871204 /nfs/dbraw/zinc/87/12/04/746871204.db2.gz NJXKIPUOAQQQGA-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C[C@@H]1C[C@@H]1C(=O)NCC1C[NH+](Cc2ccc(C#N)cc2F)C1 ZINC001031521402 746951778 /nfs/dbraw/zinc/95/17/78/746951778.db2.gz DFOMBUORABGVHD-ABAIWWIYSA-N 1 2 301.365 1.901 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)CC2CC(C)(C)C2)CC1 ZINC001196896154 747004037 /nfs/dbraw/zinc/00/40/37/747004037.db2.gz YAMVVBMHEBKULO-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)CC2CC(C)(C)C2)CC1 ZINC001196896154 747004043 /nfs/dbraw/zinc/00/40/43/747004043.db2.gz YAMVVBMHEBKULO-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001196901693 747005535 /nfs/dbraw/zinc/00/55/35/747005535.db2.gz RLXBAGOECURCLN-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001196901693 747005541 /nfs/dbraw/zinc/00/55/41/747005541.db2.gz RLXBAGOECURCLN-HNNXBMFYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH2+]Cc1nnc([C@H]2CCCOC2)o1 ZINC001128409108 747019186 /nfs/dbraw/zinc/01/91/86/747019186.db2.gz STXZDLCXNKPDHP-ZDUSSCGKSA-N 1 2 322.409 1.526 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+]([C@H](C)C(=O)NC)CC2)CCC1 ZINC001197076882 747056521 /nfs/dbraw/zinc/05/65/21/747056521.db2.gz MHORBJBQDRZIMP-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+]([C@H](C)C(=O)NC)CC2)CCC1 ZINC001197076882 747056529 /nfs/dbraw/zinc/05/65/29/747056529.db2.gz MHORBJBQDRZIMP-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1ccc(C#N)nc1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089490845 747092562 /nfs/dbraw/zinc/09/25/62/747092562.db2.gz VIUHXOIFMMWYQR-RYUDHWBXSA-N 1 2 312.377 1.614 20 30 DDEDLO C=CC1(CC(=O)N2CCC[N@@H+]([C@H](C)C(N)=O)CC2)CCCCC1 ZINC001197418224 747158911 /nfs/dbraw/zinc/15/89/11/747158911.db2.gz TXMXXQHDHVOLRA-OAHLLOKOSA-N 1 2 321.465 1.921 20 30 DDEDLO C=CC1(CC(=O)N2CCC[N@H+]([C@H](C)C(N)=O)CC2)CCCCC1 ZINC001197418224 747158913 /nfs/dbraw/zinc/15/89/13/747158913.db2.gz TXMXXQHDHVOLRA-OAHLLOKOSA-N 1 2 321.465 1.921 20 30 DDEDLO N#Cc1cc(C(=O)NCC2C[NH+](Cc3ccns3)C2)c[nH]1 ZINC001031623083 747227899 /nfs/dbraw/zinc/22/78/99/747227899.db2.gz RKLVCTUKEXGXTA-UHFFFAOYSA-N 1 2 301.375 1.205 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)[C@@H]3CC3(F)F)C2)c1 ZINC001031656238 747324984 /nfs/dbraw/zinc/32/49/84/747324984.db2.gz WDXBDCBRRGJBHE-ZDUSSCGKSA-N 1 2 323.318 1.901 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2ccnn2C)CC1 ZINC001198315669 747448441 /nfs/dbraw/zinc/44/84/41/747448441.db2.gz CCKPLXKRRKBRGN-UHFFFAOYSA-N 1 2 306.410 1.047 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2ccnn2C)CC1 ZINC001198315669 747448442 /nfs/dbraw/zinc/44/84/42/747448442.db2.gz CCKPLXKRRKBRGN-UHFFFAOYSA-N 1 2 306.410 1.047 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2snnc2C2CC2)C1 ZINC001007536944 752194253 /nfs/dbraw/zinc/19/42/53/752194253.db2.gz BSJFBVWLGWHYRH-LBPRGKRZSA-N 1 2 304.419 1.633 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2snnc2C2CC2)C1 ZINC001007536944 752194260 /nfs/dbraw/zinc/19/42/60/752194260.db2.gz BSJFBVWLGWHYRH-LBPRGKRZSA-N 1 2 304.419 1.633 20 30 DDEDLO CCCC[C@@H](C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O)C(C)C ZINC001199153053 747720076 /nfs/dbraw/zinc/72/00/76/747720076.db2.gz FDSICVVVXAXIOU-BRWVUGGUSA-N 1 2 324.465 1.260 20 30 DDEDLO CCCC[C@@H](C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O)C(C)C ZINC001199153053 747720078 /nfs/dbraw/zinc/72/00/78/747720078.db2.gz FDSICVVVXAXIOU-BRWVUGGUSA-N 1 2 324.465 1.260 20 30 DDEDLO Cn1cnnc1C[NH2+]Cc1cn(CCC#N)nc1-c1ccncc1 ZINC001199198634 747738636 /nfs/dbraw/zinc/73/86/36/747738636.db2.gz QPQQQDXNTNPCFE-UHFFFAOYSA-N 1 2 322.376 1.277 20 30 DDEDLO COCC#CC[NH2+]C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001200670383 748307898 /nfs/dbraw/zinc/30/78/98/748307898.db2.gz JMLWAZOIDVXLRO-NSHDSACASA-N 1 2 310.291 1.117 20 30 DDEDLO COCC#CC[NH2+]C1CCN(C(=O)[C@H](F)C(F)(F)F)CC1 ZINC001200670383 748307902 /nfs/dbraw/zinc/30/79/02/748307902.db2.gz JMLWAZOIDVXLRO-NSHDSACASA-N 1 2 310.291 1.117 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)[nH]n1 ZINC001004356647 748352096 /nfs/dbraw/zinc/35/20/96/748352096.db2.gz JYDNBARBFRZAJB-HNNXBMFYSA-N 1 2 301.394 1.558 20 30 DDEDLO Cc1cc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)[nH]n1 ZINC001004356647 748352102 /nfs/dbraw/zinc/35/21/02/748352102.db2.gz JYDNBARBFRZAJB-HNNXBMFYSA-N 1 2 301.394 1.558 20 30 DDEDLO Cn1nccc1CC(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004418428 748414141 /nfs/dbraw/zinc/41/41/41/748414141.db2.gz FIVUOHTTWZMONV-MRXNPFEDSA-N 1 2 315.421 1.189 20 30 DDEDLO Cn1nccc1CC(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004418428 748414145 /nfs/dbraw/zinc/41/41/45/748414145.db2.gz FIVUOHTTWZMONV-MRXNPFEDSA-N 1 2 315.421 1.189 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCO[C@@H](CC)C2)C1 ZINC001032110538 748654871 /nfs/dbraw/zinc/65/48/71/748654871.db2.gz LONOZXKPDFIWFP-KGLIPLIRSA-N 1 2 300.830 1.992 20 30 DDEDLO C[C@H]1CN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CC[C@H]1NCC#N ZINC001036243439 752291139 /nfs/dbraw/zinc/29/11/39/752291139.db2.gz ZZUYEBBZDJEQBS-WMLDXEAASA-N 1 2 323.400 1.836 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nocc1C ZINC001153090303 748836363 /nfs/dbraw/zinc/83/63/63/748836363.db2.gz DLNJKMXQXSGPFK-CJNGLKHVSA-N 1 2 309.410 1.637 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nocc1C ZINC001153090303 748836367 /nfs/dbraw/zinc/83/63/67/748836367.db2.gz DLNJKMXQXSGPFK-CJNGLKHVSA-N 1 2 309.410 1.637 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001033202727 748906336 /nfs/dbraw/zinc/90/63/36/748906336.db2.gz IEMQBWBBLJSMTL-OLZOCXBDSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001033202727 748906341 /nfs/dbraw/zinc/90/63/41/748906341.db2.gz IEMQBWBBLJSMTL-OLZOCXBDSA-N 1 2 313.829 1.140 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCN(C(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001056872047 761907096 /nfs/dbraw/zinc/90/70/96/761907096.db2.gz VUTBHRXHPWJBHD-YGRLFVJLSA-N 1 2 301.394 1.599 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(CC)c[nH]c2=O)C1 ZINC001108313347 761908645 /nfs/dbraw/zinc/90/86/45/761908645.db2.gz BVEFFLRDXAEOIU-QGZVFWFLSA-N 1 2 319.405 1.356 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(CC)c[nH]c2=O)C1 ZINC001108313347 761908650 /nfs/dbraw/zinc/90/86/50/761908650.db2.gz BVEFFLRDXAEOIU-QGZVFWFLSA-N 1 2 319.405 1.356 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc3n(n2)CCCO3)C1 ZINC001033249961 749060632 /nfs/dbraw/zinc/06/06/32/749060632.db2.gz FBTSRWZISRPZSC-GFCCVEGCSA-N 1 2 324.812 1.564 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc3n(n2)CCCO3)C1 ZINC001033249961 749060638 /nfs/dbraw/zinc/06/06/38/749060638.db2.gz FBTSRWZISRPZSC-GFCCVEGCSA-N 1 2 324.812 1.564 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114329161 749060932 /nfs/dbraw/zinc/06/09/32/749060932.db2.gz UYMFCZBXTQIFOA-JYAVWHMHSA-N 1 2 304.394 1.527 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114329161 749060937 /nfs/dbraw/zinc/06/09/37/749060937.db2.gz UYMFCZBXTQIFOA-JYAVWHMHSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114348555 749101387 /nfs/dbraw/zinc/10/13/87/749101387.db2.gz NVSWRRSVFRCQLB-HALDLXJZSA-N 1 2 300.406 1.688 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114348555 749101391 /nfs/dbraw/zinc/10/13/91/749101391.db2.gz NVSWRRSVFRCQLB-HALDLXJZSA-N 1 2 300.406 1.688 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nn(CC)nc3C)C[C@H]21 ZINC001114352623 749103459 /nfs/dbraw/zinc/10/34/59/749103459.db2.gz DPBUBBUCKZNJKH-HALDLXJZSA-N 1 2 317.437 1.509 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nn(CC)nc3C)C[C@H]21 ZINC001114352623 749103464 /nfs/dbraw/zinc/10/34/64/749103464.db2.gz DPBUBBUCKZNJKH-HALDLXJZSA-N 1 2 317.437 1.509 20 30 DDEDLO C=CCCOCC(=O)NCc1cnn2c1C[N@H+](CCCF)CC2 ZINC001128602322 749242041 /nfs/dbraw/zinc/24/20/41/749242041.db2.gz DULJPKZDWXEAEP-UHFFFAOYSA-N 1 2 324.400 1.267 20 30 DDEDLO C=CCCOCC(=O)NCc1cnn2c1C[N@@H+](CCCF)CC2 ZINC001128602322 749242044 /nfs/dbraw/zinc/24/20/44/749242044.db2.gz DULJPKZDWXEAEP-UHFFFAOYSA-N 1 2 324.400 1.267 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(CCC)CCC)CC2=O)C1 ZINC001108566289 762707552 /nfs/dbraw/zinc/70/75/52/762707552.db2.gz HLDFHDUMBDPJAV-HNNXBMFYSA-N 1 2 321.465 1.790 20 30 DDEDLO CN(C(=O)c1ccnnc1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033453978 749360398 /nfs/dbraw/zinc/36/03/98/749360398.db2.gz RWWAIANVZFSBJM-KRWDZBQOSA-N 1 2 321.384 1.695 20 30 DDEDLO CN(C(=O)c1ccnnc1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033453978 749360402 /nfs/dbraw/zinc/36/04/02/749360402.db2.gz RWWAIANVZFSBJM-KRWDZBQOSA-N 1 2 321.384 1.695 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C[C@@H](C)C(C)C)nn2)C1 ZINC001107140908 749366969 /nfs/dbraw/zinc/36/69/69/749366969.db2.gz DCTWLQAZANUKOF-CQSZACIVSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cncc(OC)n2)C1 ZINC001033473623 749418634 /nfs/dbraw/zinc/41/86/34/749418634.db2.gz CPYNXLYFCOMMTG-LLVKDONJSA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cncc(OC)n2)C1 ZINC001033473623 749418636 /nfs/dbraw/zinc/41/86/36/749418636.db2.gz CPYNXLYFCOMMTG-LLVKDONJSA-N 1 2 310.785 1.384 20 30 DDEDLO C=CCNC(=O)N1Cc2c[nH+]cn2C[C@@H](COCC2CC2)C1 ZINC001202564972 749429938 /nfs/dbraw/zinc/42/99/38/749429938.db2.gz RUELKUUOFPFEDC-AWEZNQCLSA-N 1 2 304.394 1.637 20 30 DDEDLO CCn1nncc1C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001039346625 761952120 /nfs/dbraw/zinc/95/21/20/761952120.db2.gz MGFOXDUDGVTZOJ-MCIONIFRSA-N 1 2 316.409 1.023 20 30 DDEDLO CCn1nncc1C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001039346625 761952124 /nfs/dbraw/zinc/95/21/24/761952124.db2.gz MGFOXDUDGVTZOJ-MCIONIFRSA-N 1 2 316.409 1.023 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)CCCC)nn2)C1 ZINC001107166804 749471931 /nfs/dbraw/zinc/47/19/31/749471931.db2.gz BZUQCKHZQSBCPK-ZDUSSCGKSA-N 1 2 305.426 1.763 20 30 DDEDLO CC1(C)CN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)C[C@@H]1NCC#N ZINC000996280250 749482118 /nfs/dbraw/zinc/48/21/18/749482118.db2.gz XBMRZFHTJQUTSQ-AAEUAGOBSA-N 1 2 301.394 1.180 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)CC(C)C)nn2)C1 ZINC001107224765 749650846 /nfs/dbraw/zinc/65/08/46/749650846.db2.gz BYELJHDKDHHOAM-CYBMUJFWSA-N 1 2 305.426 1.619 20 30 DDEDLO C=CCOCC(=O)NCC1(C)CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110870651 749792596 /nfs/dbraw/zinc/79/25/96/749792596.db2.gz XHCIYIJOCJKWBH-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO CC#CC[NH+]1CC[C@H](N(CC)C(=O)[C@@H]2C[N@@H+](C(C)C)CCO2)C1 ZINC001033932929 750155237 /nfs/dbraw/zinc/15/52/37/750155237.db2.gz UQXDUTPPFVUBKV-IRXDYDNUSA-N 1 2 321.465 1.042 20 30 DDEDLO CC#CC[NH+]1CC[C@H](N(CC)C(=O)[C@@H]2C[N@H+](C(C)C)CCO2)C1 ZINC001033932929 750155241 /nfs/dbraw/zinc/15/52/41/750155241.db2.gz UQXDUTPPFVUBKV-IRXDYDNUSA-N 1 2 321.465 1.042 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CN(C(C)C)CCO2)C1 ZINC001033932929 750155247 /nfs/dbraw/zinc/15/52/47/750155247.db2.gz UQXDUTPPFVUBKV-IRXDYDNUSA-N 1 2 321.465 1.042 20 30 DDEDLO C=CCn1cc(C(=O)N(CC)[C@@H]2CC[N@H+](CC(=C)Cl)C2)nn1 ZINC001033978662 750215978 /nfs/dbraw/zinc/21/59/78/750215978.db2.gz BGZNQOGAAIQVHC-CYBMUJFWSA-N 1 2 323.828 1.753 20 30 DDEDLO C=CCn1cc(C(=O)N(CC)[C@@H]2CC[N@@H+](CC(=C)Cl)C2)nn1 ZINC001033978662 750215980 /nfs/dbraw/zinc/21/59/80/750215980.db2.gz BGZNQOGAAIQVHC-CYBMUJFWSA-N 1 2 323.828 1.753 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1ncc[nH]1 ZINC001039455935 762047003 /nfs/dbraw/zinc/04/70/03/762047003.db2.gz ZDDKYSHFTXGLDE-OLZOCXBDSA-N 1 2 308.813 1.770 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1ncc[nH]1 ZINC001039455935 762047011 /nfs/dbraw/zinc/04/70/11/762047011.db2.gz ZDDKYSHFTXGLDE-OLZOCXBDSA-N 1 2 308.813 1.770 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(OC(C)C)cn1 ZINC001032402334 750586807 /nfs/dbraw/zinc/58/68/07/750586807.db2.gz AMGDTKFMRKSICQ-KBPBESRZSA-N 1 2 314.389 1.186 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(OC(C)C)cn1 ZINC001032402334 750586808 /nfs/dbraw/zinc/58/68/08/750586808.db2.gz AMGDTKFMRKSICQ-KBPBESRZSA-N 1 2 314.389 1.186 20 30 DDEDLO N#CCN[C@H]1CC[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC001034651024 750630272 /nfs/dbraw/zinc/63/02/72/750630272.db2.gz ZBYVPGPNJBPNGD-HDJSIYSDSA-N 1 2 315.421 1.302 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@@H]1C ZINC001090231736 750654005 /nfs/dbraw/zinc/65/40/05/750654005.db2.gz TYWHKDCVAXWXDX-SCRDCRAPSA-N 1 2 302.378 1.225 20 30 DDEDLO C[C@H](CNc1cncc(C#N)n1)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001108137142 750672424 /nfs/dbraw/zinc/67/24/24/750672424.db2.gz NDUTYRZBRIEGDS-VXGBXAGGSA-N 1 2 313.365 1.113 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114681678 750981206 /nfs/dbraw/zinc/98/12/06/750981206.db2.gz XLEWTBZRUUWYPS-ITGUQSILSA-N 1 2 306.435 1.687 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114681678 750981212 /nfs/dbraw/zinc/98/12/12/750981212.db2.gz XLEWTBZRUUWYPS-ITGUQSILSA-N 1 2 306.435 1.687 20 30 DDEDLO CCCCc1noc(C[NH2+]C[C@H]2CCCN2C(=O)[C@H](C)C#N)n1 ZINC001034886868 750984183 /nfs/dbraw/zinc/98/41/83/750984183.db2.gz PGPRVWCCYMKGTD-CHWSQXEVSA-N 1 2 319.409 1.652 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114726959 751028618 /nfs/dbraw/zinc/02/86/18/751028618.db2.gz RZOWDUSLFAESNO-FOCJUVANSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114726959 751028624 /nfs/dbraw/zinc/02/86/24/751028624.db2.gz RZOWDUSLFAESNO-FOCJUVANSA-N 1 2 304.394 1.527 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1C[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001114761941 751057316 /nfs/dbraw/zinc/05/73/16/751057316.db2.gz QQCADQISFMDOLF-MQMHXKEQSA-N 1 2 324.388 1.616 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccns3)C2)cn1 ZINC001016032696 751224434 /nfs/dbraw/zinc/22/44/34/751224434.db2.gz CLLKIHYZMVVUJA-CQSZACIVSA-N 1 2 312.398 1.524 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccns3)C2)cn1 ZINC001016032696 751224435 /nfs/dbraw/zinc/22/44/35/751224435.db2.gz CLLKIHYZMVVUJA-CQSZACIVSA-N 1 2 312.398 1.524 20 30 DDEDLO N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC001032544707 751255526 /nfs/dbraw/zinc/25/55/26/751255526.db2.gz GGDJQYYZTUCFKM-HOTGVXAUSA-N 1 2 307.357 1.295 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H]1CCN(CC)C1=O ZINC001032557922 751300476 /nfs/dbraw/zinc/30/04/76/751300476.db2.gz XDEVGSOEUVXLMN-KKUMJFAQSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H]1CCN(CC)C1=O ZINC001032557922 751300481 /nfs/dbraw/zinc/30/04/81/751300481.db2.gz XDEVGSOEUVXLMN-KKUMJFAQSA-N 1 2 319.449 1.495 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001066794990 751332665 /nfs/dbraw/zinc/33/26/65/751332665.db2.gz YISCADJCMUVYCB-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001008023524 752504629 /nfs/dbraw/zinc/50/46/29/752504629.db2.gz IEWNDMVRUOSJHL-BFHYXJOUSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001008023524 752504632 /nfs/dbraw/zinc/50/46/32/752504632.db2.gz IEWNDMVRUOSJHL-BFHYXJOUSA-N 1 2 322.840 1.857 20 30 DDEDLO O=C(Cc1c[nH+]c[nH]1)N1C[C@@H]2C[C@H]1CN2CC#Cc1ccccc1 ZINC001032651792 752603150 /nfs/dbraw/zinc/60/31/50/752603150.db2.gz GAJQZXDNIMATFR-ROUUACIJSA-N 1 2 320.396 1.289 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1c(C)noc1C ZINC001032682167 752710712 /nfs/dbraw/zinc/71/07/12/752710712.db2.gz AMTWQSSHKBJCOV-CQDKDKBSSA-N 1 2 301.390 1.703 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1c(C)noc1C ZINC001032682167 752710720 /nfs/dbraw/zinc/71/07/20/752710720.db2.gz AMTWQSSHKBJCOV-CQDKDKBSSA-N 1 2 301.390 1.703 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001062168953 752807800 /nfs/dbraw/zinc/80/78/00/752807800.db2.gz YOGACZIGXSPWRT-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cnn3c2CCC3)CC1 ZINC001000755178 762160630 /nfs/dbraw/zinc/16/06/30/762160630.db2.gz RMQCJZFCMMGRCK-UHFFFAOYSA-N 1 2 320.824 1.944 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cnn3c2CCC3)CC1 ZINC001000755178 762160633 /nfs/dbraw/zinc/16/06/33/762160633.db2.gz RMQCJZFCMMGRCK-UHFFFAOYSA-N 1 2 320.824 1.944 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)n1cnc2ccccc21 ZINC001032713668 752872080 /nfs/dbraw/zinc/87/20/80/752872080.db2.gz OOQXWRSJXYXNKP-KKUMJFAQSA-N 1 2 308.385 1.516 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)n1cnc2ccccc21 ZINC001032713668 752872090 /nfs/dbraw/zinc/87/20/90/752872090.db2.gz OOQXWRSJXYXNKP-KKUMJFAQSA-N 1 2 308.385 1.516 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)n2nc(C)cc2C)C1 ZINC001108433586 762168619 /nfs/dbraw/zinc/16/86/19/762168619.db2.gz GUJYBVIKMYXIAK-NVXWUHKLSA-N 1 2 320.437 1.454 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)n2nc(C)cc2C)C1 ZINC001108433586 762168621 /nfs/dbraw/zinc/16/86/21/762168621.db2.gz GUJYBVIKMYXIAK-NVXWUHKLSA-N 1 2 320.437 1.454 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)c3cnon3)C2)s1 ZINC001008925950 752986990 /nfs/dbraw/zinc/98/69/90/752986990.db2.gz GUYWQQDOKOBDAQ-SNVBAGLBSA-N 1 2 317.374 1.397 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)c3cnon3)C2)s1 ZINC001008925950 752986994 /nfs/dbraw/zinc/98/69/94/752986994.db2.gz GUYWQQDOKOBDAQ-SNVBAGLBSA-N 1 2 317.374 1.397 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC000999898358 753210187 /nfs/dbraw/zinc/21/01/87/753210187.db2.gz IHACUCZPCRRZRX-LBPRGKRZSA-N 1 2 306.410 1.925 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001077926893 753433321 /nfs/dbraw/zinc/43/33/21/753433321.db2.gz GVZCQWMBJUNLOB-UONOGXRCSA-N 1 2 320.437 1.956 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)c(Cl)c1 ZINC001032773049 753585149 /nfs/dbraw/zinc/58/51/49/753585149.db2.gz KXMIQCKCQYJYFN-STQMWFEESA-N 1 2 304.777 1.881 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)c(Cl)c1 ZINC001032773049 753585152 /nfs/dbraw/zinc/58/51/52/753585152.db2.gz KXMIQCKCQYJYFN-STQMWFEESA-N 1 2 304.777 1.881 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001078003058 753614821 /nfs/dbraw/zinc/61/48/21/753614821.db2.gz WUINSXGAVDASFD-ZIAGYGMSSA-N 1 2 306.793 1.365 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001078003058 753614824 /nfs/dbraw/zinc/61/48/24/753614824.db2.gz WUINSXGAVDASFD-ZIAGYGMSSA-N 1 2 306.793 1.365 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001078221784 753854800 /nfs/dbraw/zinc/85/48/00/753854800.db2.gz IBDWLZPNGISIBG-GUYCJALGSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001078221784 753854804 /nfs/dbraw/zinc/85/48/04/753854804.db2.gz IBDWLZPNGISIBG-GUYCJALGSA-N 1 2 320.437 1.956 20 30 DDEDLO CCOC(=O)c1cc(N[C@H]2C[NH2+][C@H](C(=O)OC)C2)ccc1C#N ZINC001169136385 762251336 /nfs/dbraw/zinc/25/13/36/762251336.db2.gz LOLDNXZSWMGNDW-OCCSQVGLSA-N 1 2 317.345 1.050 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001062985541 753909744 /nfs/dbraw/zinc/90/97/44/753909744.db2.gz XBZNCSAFKUUNOM-UHFFFAOYSA-N 1 2 316.405 1.088 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)CCC1 ZINC001063192289 754030812 /nfs/dbraw/zinc/03/08/12/754030812.db2.gz HOQRJTLRMIGKPZ-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)CCC1 ZINC001063192289 754030816 /nfs/dbraw/zinc/03/08/16/754030816.db2.gz HOQRJTLRMIGKPZ-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO N#Cc1cccnc1N1CCCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001063203403 754041316 /nfs/dbraw/zinc/04/13/16/754041316.db2.gz HNFMLZRJXAXRCP-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO Cc1nc(NCC[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)cc[nH+]1 ZINC001063266049 754066794 /nfs/dbraw/zinc/06/67/94/754066794.db2.gz VGERDHXTFJOGPE-GXTWGEPZSA-N 1 2 301.394 1.985 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N(C)C(C)=O)cc1 ZINC001032809707 754166076 /nfs/dbraw/zinc/16/60/76/754166076.db2.gz FJOFHNKADNETBH-IRXDYDNUSA-N 1 2 311.385 1.201 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N(C)C(C)=O)cc1 ZINC001032809707 754166079 /nfs/dbraw/zinc/16/60/79/754166079.db2.gz FJOFHNKADNETBH-IRXDYDNUSA-N 1 2 311.385 1.201 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001011062099 754333214 /nfs/dbraw/zinc/33/32/14/754333214.db2.gz QNOPYMJZWLFTSE-KRWDZBQOSA-N 1 2 318.421 1.615 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)CC[C@H]1Nc1ccc(C#N)nc1 ZINC001063761165 754357614 /nfs/dbraw/zinc/35/76/14/754357614.db2.gz VUWKGLKLEIOZNH-IUODEOHRSA-N 1 2 324.388 1.568 20 30 DDEDLO CC#CCCCC(=O)NCC1(NC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064069259 754481634 /nfs/dbraw/zinc/48/16/34/754481634.db2.gz JIFQUTAWSXJMLP-UHFFFAOYSA-N 1 2 316.405 1.301 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2onc(C)c2C)[C@@H](O)C1 ZINC001090349309 755007027 /nfs/dbraw/zinc/00/70/27/755007027.db2.gz KPRWHIDMWGTSIF-RYUDHWBXSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2onc(C)c2C)[C@@H](O)C1 ZINC001090349309 755007029 /nfs/dbraw/zinc/00/70/29/755007029.db2.gz KPRWHIDMWGTSIF-RYUDHWBXSA-N 1 2 313.785 1.209 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[C@H]2CNc2cc[nH+]c(C)n2)c1 ZINC001065006391 755012249 /nfs/dbraw/zinc/01/22/49/755012249.db2.gz BLVATTGNOQTQTL-INIZCTEOSA-N 1 2 321.384 1.878 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001040117743 762393122 /nfs/dbraw/zinc/39/31/22/762393122.db2.gz BHSOVZNPHGQOCU-LRDDRELGSA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001040117743 762393129 /nfs/dbraw/zinc/39/31/29/762393129.db2.gz BHSOVZNPHGQOCU-LRDDRELGSA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001079540689 755426564 /nfs/dbraw/zinc/42/65/64/755426564.db2.gz VSCUTYOIGGROSQ-QMTHXVAHSA-N 1 2 313.361 1.433 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001079540689 755426568 /nfs/dbraw/zinc/42/65/68/755426568.db2.gz VSCUTYOIGGROSQ-QMTHXVAHSA-N 1 2 313.361 1.433 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2nn(C)cc2Cl)C1 ZINC001079757948 755565922 /nfs/dbraw/zinc/56/59/22/755565922.db2.gz ZQNPQKHIHGFTIM-LDYMZIIASA-N 1 2 317.220 1.876 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2nn(C)cc2Cl)C1 ZINC001079757948 755565923 /nfs/dbraw/zinc/56/59/23/755565923.db2.gz ZQNPQKHIHGFTIM-LDYMZIIASA-N 1 2 317.220 1.876 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)c3cc(C#N)c[nH]3)C[C@@H]2C)cc[nH+]1 ZINC001067074692 755665377 /nfs/dbraw/zinc/66/53/77/755665377.db2.gz ZUNVRLCBMROSPZ-HZMBPMFUSA-N 1 2 310.361 1.557 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2nc3cccnc3s2)C1 ZINC001014747944 755918503 /nfs/dbraw/zinc/91/85/03/755918503.db2.gz WFROZDRHNQONDS-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2nc3cccnc3s2)C1 ZINC001014747944 755918505 /nfs/dbraw/zinc/91/85/05/755918505.db2.gz WFROZDRHNQONDS-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cncc3ncn(C)c32)C1 ZINC001014793304 755945907 /nfs/dbraw/zinc/94/59/07/755945907.db2.gz LRWYSKZEQSUAMB-NSHDSACASA-N 1 2 319.796 1.525 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cncc3ncn(C)c32)C1 ZINC001014793304 755945914 /nfs/dbraw/zinc/94/59/14/755945914.db2.gz LRWYSKZEQSUAMB-NSHDSACASA-N 1 2 319.796 1.525 20 30 DDEDLO C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001080579962 755989582 /nfs/dbraw/zinc/98/95/82/755989582.db2.gz BCJFWDIIJHIDSZ-UPJWGTAASA-N 1 2 319.430 1.678 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001080579962 755989584 /nfs/dbraw/zinc/98/95/84/755989584.db2.gz BCJFWDIIJHIDSZ-UPJWGTAASA-N 1 2 319.430 1.678 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnc(C)cn3)C2)cc1 ZINC001015486708 756336300 /nfs/dbraw/zinc/33/63/00/756336300.db2.gz IOAQFGWHRPBDAZ-KRWDZBQOSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnc(C)cn3)C2)cc1 ZINC001015486708 756336304 /nfs/dbraw/zinc/33/63/04/756336304.db2.gz IOAQFGWHRPBDAZ-KRWDZBQOSA-N 1 2 320.396 1.771 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2n[nH]cc2F)C1 ZINC001015671203 756454353 /nfs/dbraw/zinc/45/43/53/756454353.db2.gz VIULNUZNNDHACW-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2n[nH]cc2F)C1 ZINC001015671203 756454358 /nfs/dbraw/zinc/45/43/58/756454358.db2.gz VIULNUZNNDHACW-ZDUSSCGKSA-N 1 2 313.336 1.425 20 30 DDEDLO N#CCN1CCC[C@]2(CCN(C(=O)c3cccc4[nH+]ccn43)C2)C1 ZINC001040292980 762479232 /nfs/dbraw/zinc/47/92/32/762479232.db2.gz NQZJKTDOXBVUDS-SFHVURJKSA-N 1 2 323.400 1.786 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001082149213 756654244 /nfs/dbraw/zinc/65/42/44/756654244.db2.gz LVKZIFKAHOURJM-HNNXBMFYSA-N 1 2 316.405 1.040 20 30 DDEDLO Cc1nc(NC[C@]2(C)CN(C(=O)[C@@H](C)C#N)C[C@H]2C)cc[nH+]1 ZINC001040306751 762483167 /nfs/dbraw/zinc/48/31/67/762483167.db2.gz IAZNQICGBWWOPS-HWWQOWPSSA-N 1 2 301.394 1.841 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc([C@H](C)CC)no2)[C@H](OC)C1 ZINC001082211659 756686623 /nfs/dbraw/zinc/68/66/23/756686623.db2.gz BIXKUALFNGULRN-UXIGCNINSA-N 1 2 307.394 1.803 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc([C@H](C)CC)no2)[C@H](OC)C1 ZINC001082211659 756686626 /nfs/dbraw/zinc/68/66/26/756686626.db2.gz BIXKUALFNGULRN-UXIGCNINSA-N 1 2 307.394 1.803 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001016376625 756969027 /nfs/dbraw/zinc/96/90/27/756969027.db2.gz YTKMRDJPHBWIBV-CQSZACIVSA-N 1 2 321.808 1.271 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001016376625 756969031 /nfs/dbraw/zinc/96/90/31/756969031.db2.gz YTKMRDJPHBWIBV-CQSZACIVSA-N 1 2 321.808 1.271 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(C)c2Cl)[C@@H](O)C1 ZINC001083953561 757199165 /nfs/dbraw/zinc/19/91/65/757199165.db2.gz WUINSXGAVDASFD-KGLIPLIRSA-N 1 2 306.793 1.365 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)c(C)c2Cl)[C@@H](O)C1 ZINC001083953561 757199167 /nfs/dbraw/zinc/19/91/67/757199167.db2.gz WUINSXGAVDASFD-KGLIPLIRSA-N 1 2 306.793 1.365 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(OC)nc3)[C@@H]2C1 ZINC001084500976 757619468 /nfs/dbraw/zinc/61/94/68/757619468.db2.gz NQJHCCVGIWVNQI-ZIAGYGMSSA-N 1 2 321.808 1.989 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(OC)nc3)[C@@H]2C1 ZINC001084500976 757619477 /nfs/dbraw/zinc/61/94/77/757619477.db2.gz NQJHCCVGIWVNQI-ZIAGYGMSSA-N 1 2 321.808 1.989 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cn3ccc(C)n3)[C@@H]2C1 ZINC001084669168 757755604 /nfs/dbraw/zinc/75/56/04/757755604.db2.gz CZLHIJMXXBIOIF-ZIAGYGMSSA-N 1 2 308.813 1.477 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cn3ccc(C)n3)[C@@H]2C1 ZINC001084669168 757755607 /nfs/dbraw/zinc/75/56/07/757755607.db2.gz CZLHIJMXXBIOIF-ZIAGYGMSSA-N 1 2 308.813 1.477 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@H]2CC[N@@H+](CC(=C)Cl)C[C@H]21 ZINC001084672855 757761560 /nfs/dbraw/zinc/76/15/60/757761560.db2.gz QUGDJWUYHUHUFX-TUVASFSCSA-N 1 2 310.825 1.863 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@H]2CC[N@H+](CC(=C)Cl)C[C@H]21 ZINC001084672855 757761572 /nfs/dbraw/zinc/76/15/72/757761572.db2.gz QUGDJWUYHUHUFX-TUVASFSCSA-N 1 2 310.825 1.863 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cc[nH]n2)c1 ZINC001017372972 757874122 /nfs/dbraw/zinc/87/41/22/757874122.db2.gz KPAVERVJTQEVBN-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2cc[nH]n2)c1 ZINC001017372972 757874138 /nfs/dbraw/zinc/87/41/38/757874138.db2.gz KPAVERVJTQEVBN-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccn[nH]2)c1 ZINC001017372972 757874148 /nfs/dbraw/zinc/87/41/48/757874148.db2.gz KPAVERVJTQEVBN-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccn[nH]2)c1 ZINC001017372972 757874152 /nfs/dbraw/zinc/87/41/52/757874152.db2.gz KPAVERVJTQEVBN-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)o1 ZINC001084906889 758046741 /nfs/dbraw/zinc/04/67/41/758046741.db2.gz LISJBOCVIAZKFR-XQQFMLRXSA-N 1 2 317.393 1.214 20 30 DDEDLO CCc1nnc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@@H](C)C#N)C[C@@H]32)o1 ZINC001084906889 758046748 /nfs/dbraw/zinc/04/67/48/758046748.db2.gz LISJBOCVIAZKFR-XQQFMLRXSA-N 1 2 317.393 1.214 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C(C)(C)C)c1 ZINC001017700358 758163903 /nfs/dbraw/zinc/16/39/03/758163903.db2.gz XMTFHVOTOREYSG-IYBDPMFKSA-N 1 2 314.433 1.950 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C(C)(C)C)c1 ZINC001017700358 758163909 /nfs/dbraw/zinc/16/39/09/758163909.db2.gz XMTFHVOTOREYSG-IYBDPMFKSA-N 1 2 314.433 1.950 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H](C)CCC)CC2=O)C1 ZINC001108527762 762607057 /nfs/dbraw/zinc/60/70/57/762607057.db2.gz OIRJPPZHYUPPIC-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(Br)c1 ZINC001017742263 758198913 /nfs/dbraw/zinc/19/89/13/758198913.db2.gz LYJCTNNTIYRMLU-TXEJJXNPSA-N 1 2 322.206 1.699 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(Br)c1 ZINC001017742263 758198914 /nfs/dbraw/zinc/19/89/14/758198914.db2.gz LYJCTNNTIYRMLU-TXEJJXNPSA-N 1 2 322.206 1.699 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1Nc1ncccc1C#N ZINC001067208062 758251599 /nfs/dbraw/zinc/25/15/99/758251599.db2.gz QLYKXYJAMWOUAJ-SMDDNHRTSA-N 1 2 310.361 1.178 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC[C@@H](OC)C1 ZINC001017863352 758308421 /nfs/dbraw/zinc/30/84/21/758308421.db2.gz HVCDNGIPPGSIFD-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC[C@@H](OC)C1 ZINC001017863352 758308430 /nfs/dbraw/zinc/30/84/30/758308430.db2.gz HVCDNGIPPGSIFD-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CCn1cccc1C(=O)N1CCOC2(C[NH+](C(C)C)C2)C1 ZINC001053288568 758344095 /nfs/dbraw/zinc/34/40/95/758344095.db2.gz LSJMQTOXOYRXTQ-UHFFFAOYSA-N 1 2 303.406 1.609 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ncc(F)cc1F)CCO2 ZINC001053311197 758364933 /nfs/dbraw/zinc/36/49/33/758364933.db2.gz UAOUZIDZXKYGHD-UHFFFAOYSA-N 1 2 323.343 1.463 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@]13CCC[C@H]1OCC3)CCO2 ZINC001053336858 758384794 /nfs/dbraw/zinc/38/47/94/758384794.db2.gz QFYGCTROOPAXSW-QAPCUYQASA-N 1 2 320.433 1.435 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001018007383 758467472 /nfs/dbraw/zinc/46/74/72/758467472.db2.gz LAPKDDPHXRYYQT-OKILXGFUSA-N 1 2 324.384 1.581 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001018007383 758467486 /nfs/dbraw/zinc/46/74/86/758467486.db2.gz LAPKDDPHXRYYQT-OKILXGFUSA-N 1 2 324.384 1.581 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@@H]1CCC[C@H](C)C1 ZINC001018015509 758476978 /nfs/dbraw/zinc/47/69/78/758476978.db2.gz UNALNASBBMKXKW-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@@H]1CCC[C@H](C)C1 ZINC001018015509 758476989 /nfs/dbraw/zinc/47/69/89/758476989.db2.gz UNALNASBBMKXKW-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cccnn12 ZINC001018032649 758491727 /nfs/dbraw/zinc/49/17/27/758491727.db2.gz JZPITJVTHYORMH-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cccnn12 ZINC001018032649 758491729 /nfs/dbraw/zinc/49/17/29/758491729.db2.gz JZPITJVTHYORMH-OKILXGFUSA-N 1 2 309.373 1.041 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ncccc1Cl)CCO2 ZINC001053513882 758520099 /nfs/dbraw/zinc/52/00/99/758520099.db2.gz CKBSIXXOBNUULG-UHFFFAOYSA-N 1 2 321.808 1.838 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1[C@H]3[C@@H]1[C@@H]1CC[C@H]3C1)CCO2 ZINC001053547312 758553458 /nfs/dbraw/zinc/55/34/58/758553458.db2.gz OEDHZAJALMEOKX-OEXSFMSHSA-N 1 2 316.445 1.768 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1scnc1C)O2 ZINC001053577283 758588441 /nfs/dbraw/zinc/58/84/41/758588441.db2.gz FOEIPIOHXFGRSY-LBPRGKRZSA-N 1 2 307.419 1.601 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1[C@@H]3CCCC[C@@H]31)O2 ZINC001053586271 758601140 /nfs/dbraw/zinc/60/11/40/758601140.db2.gz PJNZZANGJZIBSF-CAOSSQGBSA-N 1 2 304.434 1.958 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)C1CCC(O)CC1)O2 ZINC001053594019 758612890 /nfs/dbraw/zinc/61/28/90/758612890.db2.gz BSPMNBRUUSTBPB-UYSNPLJNSA-N 1 2 322.449 1.463 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1c[nH]nc1C)O2 ZINC001053634364 758650394 /nfs/dbraw/zinc/65/03/94/758650394.db2.gz QVTLEKRSLLDOEU-CYBMUJFWSA-N 1 2 304.394 1.257 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1C[C@H]1C1CCC1)O2 ZINC001053676782 758686277 /nfs/dbraw/zinc/68/62/77/758686277.db2.gz JDISNOCLNLAJMH-OWCLPIDISA-N 1 2 304.434 1.958 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)C(C)C)CC2)C1 ZINC001065697713 758713834 /nfs/dbraw/zinc/71/38/34/758713834.db2.gz BSPJIKAIWAQAOZ-INIZCTEOSA-N 1 2 319.449 1.191 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)cn1)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065722202 758724625 /nfs/dbraw/zinc/72/46/25/758724625.db2.gz IPOJFJMDJGWEHP-HIFRSBDPSA-N 1 2 324.388 1.499 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)nc1)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065728884 758743845 /nfs/dbraw/zinc/74/38/45/758743845.db2.gz FVLJTFQMRGXUGU-CHWSQXEVSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccoc1CC)CO2 ZINC001053793086 758820095 /nfs/dbraw/zinc/82/00/95/758820095.db2.gz HXQXLEBALXHPPY-ZDUSSCGKSA-N 1 2 302.374 1.438 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccncc1C)CO2 ZINC001053801282 758830259 /nfs/dbraw/zinc/83/02/59/758830259.db2.gz JLPUWSLKXMIMPK-MRXNPFEDSA-N 1 2 315.417 1.468 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1CNc1ccc(C#N)cn1 ZINC001065873007 758861452 /nfs/dbraw/zinc/86/14/52/758861452.db2.gz VARBOPWTVZJSIC-JSGCOSHPSA-N 1 2 324.388 1.425 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(CC)o1)CO2 ZINC001053849675 758883044 /nfs/dbraw/zinc/88/30/44/758883044.db2.gz QOCRMVMSOFMQIA-ZDUSSCGKSA-N 1 2 304.390 1.991 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001065968519 758936994 /nfs/dbraw/zinc/93/69/94/758936994.db2.gz ANMYREZDKSJGIL-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1conc1C1CC1)CO2 ZINC001053921709 758957130 /nfs/dbraw/zinc/95/71/30/758957130.db2.gz MBWLYDXHMVSZSW-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc(OC)n1)CO2 ZINC001053971573 759007864 /nfs/dbraw/zinc/00/78/64/759007864.db2.gz MZFVERBQLBBYJG-CYBMUJFWSA-N 1 2 317.389 1.239 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cncnc1CC)CO2 ZINC001054011203 759059005 /nfs/dbraw/zinc/05/90/05/759059005.db2.gz GRJQVASKWCQHPK-CYBMUJFWSA-N 1 2 316.405 1.188 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnc(CC)s1)CO2 ZINC001054021075 759071801 /nfs/dbraw/zinc/07/18/01/759071801.db2.gz BQCOGWQQKJJGGK-LBPRGKRZSA-N 1 2 321.446 1.855 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CC13CCOCC3)CO2 ZINC001054026640 759077680 /nfs/dbraw/zinc/07/76/80/759077680.db2.gz DEEZVBXKZOTBOI-GJZGRUSLSA-N 1 2 320.433 1.339 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccccn1 ZINC001054028810 759080748 /nfs/dbraw/zinc/08/07/48/759080748.db2.gz XNFMUPSOPNBFOP-KRWDZBQOSA-N 1 2 305.381 1.872 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccccn1 ZINC001054028810 759080754 /nfs/dbraw/zinc/08/07/54/759080754.db2.gz XNFMUPSOPNBFOP-KRWDZBQOSA-N 1 2 305.381 1.872 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C)n[nH]1 ZINC001054034859 759090828 /nfs/dbraw/zinc/09/08/28/759090828.db2.gz BACPDSWYHSQHJC-QGZVFWFLSA-N 1 2 322.412 1.898 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C)n[nH]1 ZINC001054034859 759090830 /nfs/dbraw/zinc/09/08/30/759090830.db2.gz BACPDSWYHSQHJC-QGZVFWFLSA-N 1 2 322.412 1.898 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnc(C)[nH]1 ZINC001054035398 759092527 /nfs/dbraw/zinc/09/25/27/759092527.db2.gz LVOFTCCNQUPBIU-MRXNPFEDSA-N 1 2 308.385 1.508 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnc(C)[nH]1 ZINC001054035398 759092533 /nfs/dbraw/zinc/09/25/33/759092533.db2.gz LVOFTCCNQUPBIU-MRXNPFEDSA-N 1 2 308.385 1.508 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066226159 759136450 /nfs/dbraw/zinc/13/64/50/759136450.db2.gz ORHIHNGCYXIIDO-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc4n(n3)CCC4)cc2C1 ZINC001054267773 759372687 /nfs/dbraw/zinc/37/26/87/759372687.db2.gz CXUNQAUWKVMTQE-UHFFFAOYSA-N 1 2 320.396 1.708 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc4n(n3)CCC4)cc2C1 ZINC001054267773 759372695 /nfs/dbraw/zinc/37/26/95/759372695.db2.gz CXUNQAUWKVMTQE-UHFFFAOYSA-N 1 2 320.396 1.708 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001018910018 759395839 /nfs/dbraw/zinc/39/58/39/759395839.db2.gz JKUDBQXZDIVZJX-NSHDSACASA-N 1 2 312.801 1.847 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(CC)n(C)n3)cc2C1 ZINC001054293436 759398922 /nfs/dbraw/zinc/39/89/22/759398922.db2.gz BGBCNGCUWWZZOA-UHFFFAOYSA-N 1 2 322.412 1.861 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(CC)n(C)n3)cc2C1 ZINC001054293436 759398931 /nfs/dbraw/zinc/39/89/31/759398931.db2.gz BGBCNGCUWWZZOA-UHFFFAOYSA-N 1 2 322.412 1.861 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)c2ccco2)C1=O ZINC001085457657 759426192 /nfs/dbraw/zinc/42/61/92/759426192.db2.gz PJNHNARFURHIPM-UONOGXRCSA-N 1 2 317.389 1.213 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)c2ccco2)C1=O ZINC001085457657 759426200 /nfs/dbraw/zinc/42/62/00/759426200.db2.gz PJNHNARFURHIPM-UONOGXRCSA-N 1 2 317.389 1.213 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)[C@H]1CCCO1 ZINC001085465988 759448120 /nfs/dbraw/zinc/44/81/20/759448120.db2.gz MIHYFYGUWNCCQT-ZWKOTPCHSA-N 1 2 312.413 1.750 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)[C@H]1CCCO1 ZINC001085465988 759448123 /nfs/dbraw/zinc/44/81/23/759448123.db2.gz MIHYFYGUWNCCQT-ZWKOTPCHSA-N 1 2 312.413 1.750 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H](Nc3cc[nH+]c(C)n3)C[C@@H]2C)cn1 ZINC001069124003 767861766 /nfs/dbraw/zinc/86/17/66/767861766.db2.gz IUDOATUEKDZLHG-LRDDRELGSA-N 1 2 321.384 1.876 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)[C@H]1CCOC1 ZINC001085507296 759572622 /nfs/dbraw/zinc/57/26/22/759572622.db2.gz DOZPJMBLKVVRPX-ROUUACIJSA-N 1 2 312.413 1.607 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)[C@H]1CCOC1 ZINC001085507296 759572626 /nfs/dbraw/zinc/57/26/26/759572626.db2.gz DOZPJMBLKVVRPX-ROUUACIJSA-N 1 2 312.413 1.607 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001069138756 767874635 /nfs/dbraw/zinc/87/46/35/767874635.db2.gz YFIWJVRCOJKHPK-DGCLKSJQSA-N 1 2 310.361 1.320 20 30 DDEDLO C[C@@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@H]1CNc1ncccc1C#N ZINC001054418799 759627906 /nfs/dbraw/zinc/62/79/06/759627906.db2.gz LFGVJTLXQLOMLT-UKRRQHHQSA-N 1 2 324.388 1.356 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@H]2CN(C)C(=O)c2c[nH]c(C#N)c2)o1 ZINC001085556022 759700808 /nfs/dbraw/zinc/70/08/08/759700808.db2.gz GYHGDGVHTIVKBY-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@H]2CN(C)C(=O)c2c[nH]c(C#N)c2)o1 ZINC001085556022 759700813 /nfs/dbraw/zinc/70/08/13/759700813.db2.gz GYHGDGVHTIVKBY-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001098387218 759741105 /nfs/dbraw/zinc/74/11/05/759741105.db2.gz CMVMGZBPHKBWNK-ZLKJLUDKSA-N 1 2 310.361 1.397 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001098387218 759741111 /nfs/dbraw/zinc/74/11/11/759741111.db2.gz CMVMGZBPHKBWNK-ZLKJLUDKSA-N 1 2 310.361 1.397 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]([NH2+]Cc3nc(COC)no3)C2)C1 ZINC001019353731 759840929 /nfs/dbraw/zinc/84/09/29/759840929.db2.gz YMFMOXZSNVVEIK-LBPRGKRZSA-N 1 2 320.393 1.263 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccncc2C#N)CN1C(=O)CCn1cc[nH+]c1 ZINC001069181218 767896296 /nfs/dbraw/zinc/89/62/96/767896296.db2.gz OPTOBQNXSYPCDZ-ZFWWWQNUSA-N 1 2 324.388 1.063 20 30 DDEDLO COc1ccccc1-n1c[nH+]c2cc(N[C@H](C#N)C(N)=O)ccc21 ZINC001170542204 767899044 /nfs/dbraw/zinc/89/90/44/767899044.db2.gz IZHKUFULRJWZIO-CYBMUJFWSA-N 1 2 321.340 1.823 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]nc(C2CC2)c1Cl ZINC001085694595 760048469 /nfs/dbraw/zinc/04/84/69/760048469.db2.gz KDBJPYLKRIXWFJ-LLVKDONJSA-N 1 2 306.797 1.720 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]nc(C2CC2)c1Cl ZINC001085694595 760048477 /nfs/dbraw/zinc/04/84/77/760048477.db2.gz KDBJPYLKRIXWFJ-LLVKDONJSA-N 1 2 306.797 1.720 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)nn(C)c1Cl ZINC001085750514 760166416 /nfs/dbraw/zinc/16/64/16/760166416.db2.gz HRAZYFWXOROYGE-GFCCVEGCSA-N 1 2 308.813 1.552 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)nn(C)c1Cl ZINC001085750514 760166422 /nfs/dbraw/zinc/16/64/22/760166422.db2.gz HRAZYFWXOROYGE-GFCCVEGCSA-N 1 2 308.813 1.552 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1N(C)C(C)=O ZINC001085751731 760169206 /nfs/dbraw/zinc/16/92/06/760169206.db2.gz NHOLDFHZCNDTMT-OAHLLOKOSA-N 1 2 313.401 1.449 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccccc1N(C)C(C)=O ZINC001085751731 760169212 /nfs/dbraw/zinc/16/92/12/760169212.db2.gz NHOLDFHZCNDTMT-OAHLLOKOSA-N 1 2 313.401 1.449 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cncc(OC(C)C)c1 ZINC001085763976 760197277 /nfs/dbraw/zinc/19/72/77/760197277.db2.gz NEBIUGREZJFHPG-HNNXBMFYSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cncc(OC(C)C)c1 ZINC001085763976 760197283 /nfs/dbraw/zinc/19/72/83/760197283.db2.gz NEBIUGREZJFHPG-HNNXBMFYSA-N 1 2 301.390 1.648 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@H](CC)C(N)=O)CC1 ZINC001085802299 760259252 /nfs/dbraw/zinc/25/92/52/760259252.db2.gz QGFMSAYZTQQOIB-LSDHHAIUSA-N 1 2 307.438 1.529 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@H](CC)C(N)=O)CC1 ZINC001085802299 760259255 /nfs/dbraw/zinc/25/92/55/760259255.db2.gz QGFMSAYZTQQOIB-LSDHHAIUSA-N 1 2 307.438 1.529 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@H]2CCN(C)C2=O)CC1 ZINC001085802695 760260290 /nfs/dbraw/zinc/26/02/90/760260290.db2.gz ZTSUCSPFYSEKQL-HOTGVXAUSA-N 1 2 319.449 1.496 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@H]2CCN(C)C2=O)CC1 ZINC001085802695 760260293 /nfs/dbraw/zinc/26/02/93/760260293.db2.gz ZTSUCSPFYSEKQL-HOTGVXAUSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@H]2CC[N@@H+]2C/C=C/Cl)nn1 ZINC001085861105 760400782 /nfs/dbraw/zinc/40/07/82/760400782.db2.gz PLUOFZVMJOWFCW-FVOPLDGLSA-N 1 2 309.801 1.363 20 30 DDEDLO C=CCn1cc(C(=O)N(C)C[C@H]2CC[N@H+]2C/C=C/Cl)nn1 ZINC001085861105 760400785 /nfs/dbraw/zinc/40/07/85/760400785.db2.gz PLUOFZVMJOWFCW-FVOPLDGLSA-N 1 2 309.801 1.363 20 30 DDEDLO N#Cc1cccnc1NCC[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001066328341 760417857 /nfs/dbraw/zinc/41/78/57/760417857.db2.gz AYSKNXPBUCLCCK-CQSZACIVSA-N 1 2 324.388 1.500 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1NC(=O)CC ZINC001085880060 760440876 /nfs/dbraw/zinc/44/08/76/760440876.db2.gz QWUQBXMIPXRWAX-AWEZNQCLSA-N 1 2 313.401 1.815 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccccc1NC(=O)CC ZINC001085880060 760440879 /nfs/dbraw/zinc/44/08/79/760440879.db2.gz QWUQBXMIPXRWAX-AWEZNQCLSA-N 1 2 313.401 1.815 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(-n2ccnc2)c1 ZINC001085905918 760510379 /nfs/dbraw/zinc/51/03/79/760510379.db2.gz UJGJHGCFOQTDEV-QGZVFWFLSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(-n2ccnc2)c1 ZINC001085905918 760510385 /nfs/dbraw/zinc/51/03/85/760510385.db2.gz UJGJHGCFOQTDEV-QGZVFWFLSA-N 1 2 308.385 1.652 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cnc(C)o2)cn1 ZINC001085932902 760562637 /nfs/dbraw/zinc/56/26/37/760562637.db2.gz LJNMLPCWLZGHOB-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cnc(C)o2)cn1 ZINC001085932902 760562639 /nfs/dbraw/zinc/56/26/39/760562639.db2.gz LJNMLPCWLZGHOB-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO CC#CC[N@@H+]1CC[C@](C)(NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001046831902 767965035 /nfs/dbraw/zinc/96/50/35/767965035.db2.gz RTJPSRDNAYCTIV-SFHVURJKSA-N 1 2 314.433 1.896 20 30 DDEDLO CC#CC[N@H+]1CC[C@](C)(NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001046831902 767965040 /nfs/dbraw/zinc/96/50/40/767965040.db2.gz RTJPSRDNAYCTIV-SFHVURJKSA-N 1 2 314.433 1.896 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccnc1OCC ZINC001085954340 760605563 /nfs/dbraw/zinc/60/55/63/760605563.db2.gz XXPXNIDDHZLTPH-AWEZNQCLSA-N 1 2 301.390 1.650 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccnc1OCC ZINC001085954340 760605569 /nfs/dbraw/zinc/60/55/69/760605569.db2.gz XXPXNIDDHZLTPH-AWEZNQCLSA-N 1 2 301.390 1.650 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1Cc2cccc(F)c2O1 ZINC001085979021 760647685 /nfs/dbraw/zinc/64/76/85/760647685.db2.gz IOWDGVNGOIYHNM-HIFRSBDPSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1Cc2cccc(F)c2O1 ZINC001085979021 760647691 /nfs/dbraw/zinc/64/76/91/760647691.db2.gz IOWDGVNGOIYHNM-HIFRSBDPSA-N 1 2 302.349 1.295 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(N)=O)cs1 ZINC001085988964 760666416 /nfs/dbraw/zinc/66/64/16/760666416.db2.gz FAFNRLKSLYETCE-LBPRGKRZSA-N 1 2 305.403 1.017 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(N)=O)cs1 ZINC001085988964 760666421 /nfs/dbraw/zinc/66/64/21/760666421.db2.gz FAFNRLKSLYETCE-LBPRGKRZSA-N 1 2 305.403 1.017 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@H]1O ZINC001100020353 760723381 /nfs/dbraw/zinc/72/33/81/760723381.db2.gz NOLSTPCERBMXCC-DZGCQCFKSA-N 1 2 321.421 1.637 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@H]1O ZINC001100020353 760723387 /nfs/dbraw/zinc/72/33/87/760723387.db2.gz NOLSTPCERBMXCC-DZGCQCFKSA-N 1 2 321.421 1.637 20 30 DDEDLO C[C@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1nc(Cl)c(C#N)s1 ZINC001098005951 760744364 /nfs/dbraw/zinc/74/43/64/760744364.db2.gz AILZPAOLZZJJOJ-SSDOTTSWSA-N 1 2 324.797 1.551 20 30 DDEDLO CC#CCN1CCO[C@@](C)(CNC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001108249621 761126676 /nfs/dbraw/zinc/12/66/76/761126676.db2.gz INHGTJTZYMAMCR-WBVHZDCISA-N 1 2 318.421 1.065 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnc(-n2cccn2)c1 ZINC001038704689 761305813 /nfs/dbraw/zinc/30/58/13/761305813.db2.gz YAWHCERCTSHXDO-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnc(-n2cccn2)c1 ZINC001038704689 761305823 /nfs/dbraw/zinc/30/58/23/761305823.db2.gz YAWHCERCTSHXDO-HNNXBMFYSA-N 1 2 309.373 1.095 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccnc1 ZINC001038806619 761424186 /nfs/dbraw/zinc/42/41/86/761424186.db2.gz AFSQTFMUQJCPJI-ULQDDVLXSA-N 1 2 315.417 1.753 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccnc1 ZINC001038806619 761424188 /nfs/dbraw/zinc/42/41/88/761424188.db2.gz AFSQTFMUQJCPJI-ULQDDVLXSA-N 1 2 315.417 1.753 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC001039007704 761640540 /nfs/dbraw/zinc/64/05/40/761640540.db2.gz HIPSPWPTIQXGIA-ZDUSSCGKSA-N 1 2 312.373 1.806 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC001039007704 761640544 /nfs/dbraw/zinc/64/05/44/761640544.db2.gz HIPSPWPTIQXGIA-ZDUSSCGKSA-N 1 2 312.373 1.806 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2[nH]c(=O)n(C)c2c1 ZINC001039105168 761743444 /nfs/dbraw/zinc/74/34/44/761743444.db2.gz QOHXZNNMOLSJBP-ZDUSSCGKSA-N 1 2 312.373 1.106 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2[nH]c(=O)n(C)c2c1 ZINC001039105168 761743451 /nfs/dbraw/zinc/74/34/51/761743451.db2.gz QOHXZNNMOLSJBP-ZDUSSCGKSA-N 1 2 312.373 1.106 20 30 DDEDLO C[C@@H](CNc1cccc(F)c1C#N)NC(=O)CCc1c[nH]c[nH+]1 ZINC001108762730 762906219 /nfs/dbraw/zinc/90/62/19/762906219.db2.gz AZWOTTHUZKZXIR-NSHDSACASA-N 1 2 315.352 1.970 20 30 DDEDLO C[C@@H](CNc1cccc(F)c1C#N)NC(=O)CCc1c[nH+]c[nH]1 ZINC001108762730 762906224 /nfs/dbraw/zinc/90/62/24/762906224.db2.gz AZWOTTHUZKZXIR-NSHDSACASA-N 1 2 315.352 1.970 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)C2(CCC)CC2)CC1 ZINC001131383536 768096626 /nfs/dbraw/zinc/09/66/26/768096626.db2.gz MXQIIPYMEBNQHP-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)C2(CCC)CC2)CC1 ZINC001131383536 768096634 /nfs/dbraw/zinc/09/66/34/768096634.db2.gz MXQIIPYMEBNQHP-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO COCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C#N)ccc1F ZINC001108846887 762986458 /nfs/dbraw/zinc/98/64/58/762986458.db2.gz JDDNLTIVFHYWQD-KBMXLJTQSA-N 1 2 317.364 1.565 20 30 DDEDLO COCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C#N)ccc1F ZINC001108846887 762986461 /nfs/dbraw/zinc/98/64/61/762986461.db2.gz JDDNLTIVFHYWQD-KBMXLJTQSA-N 1 2 317.364 1.565 20 30 DDEDLO COC(=O)n1ncc(C#N)c1Nc1cc[nH+]cc1C(F)(F)F ZINC001169970467 763101729 /nfs/dbraw/zinc/10/17/29/763101729.db2.gz FVIIHGPTVYNCKB-UHFFFAOYSA-N 1 2 311.223 1.949 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@]2(CC[N@@H+](Cc3nncn3C)C2)C1 ZINC001041544906 763123286 /nfs/dbraw/zinc/12/32/86/763123286.db2.gz RIOJPEOOVARQKT-KRWDZBQOSA-N 1 2 317.437 1.452 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@]2(CC[N@H+](Cc3nncn3C)C2)C1 ZINC001041544906 763123293 /nfs/dbraw/zinc/12/32/93/763123293.db2.gz RIOJPEOOVARQKT-KRWDZBQOSA-N 1 2 317.437 1.452 20 30 DDEDLO Cc1oncc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CSCC#N)C2 ZINC001108951603 763149390 /nfs/dbraw/zinc/14/93/90/763149390.db2.gz AHOKVLJNDUTXKJ-RDBSUJKOSA-N 1 2 320.418 1.461 20 30 DDEDLO Cc1oncc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CSCC#N)C2 ZINC001108951603 763149393 /nfs/dbraw/zinc/14/93/93/763149393.db2.gz AHOKVLJNDUTXKJ-RDBSUJKOSA-N 1 2 320.418 1.461 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)[C@@H](C)CC)CC1 ZINC001131393051 768111455 /nfs/dbraw/zinc/11/14/55/768111455.db2.gz KIYPCWBKZQRBSM-GJZGRUSLSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)[C@@H](C)CC)CC1 ZINC001131393051 768111459 /nfs/dbraw/zinc/11/14/59/768111459.db2.gz KIYPCWBKZQRBSM-GJZGRUSLSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CC1(C)C)C2 ZINC001109153928 763389963 /nfs/dbraw/zinc/38/99/63/763389963.db2.gz IPUZZVLVRYXHCU-MQYQWHSLSA-N 1 2 305.422 1.056 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CC1(C)C)C2 ZINC001109153928 763389971 /nfs/dbraw/zinc/38/99/71/763389971.db2.gz IPUZZVLVRYXHCU-MQYQWHSLSA-N 1 2 305.422 1.056 20 30 DDEDLO N#Cc1ccc(NC2CCN(C(=O)Cc3[nH]cc[nH+]3)CC2)nc1 ZINC001057359016 763505630 /nfs/dbraw/zinc/50/56/30/763505630.db2.gz RTGGEHGJFFAGJT-UHFFFAOYSA-N 1 2 310.361 1.322 20 30 DDEDLO C[C@@H](CN(C)c1ccc(C#N)nc1)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001109293955 763554253 /nfs/dbraw/zinc/55/42/53/763554253.db2.gz NGXOQLOXMSIJIQ-NILFDRSVSA-N 1 2 324.388 1.421 20 30 DDEDLO C[C@@H](CN(C)c1ccc(C#N)nc1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001109293955 763554255 /nfs/dbraw/zinc/55/42/55/763554255.db2.gz NGXOQLOXMSIJIQ-NILFDRSVSA-N 1 2 324.388 1.421 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)o1 ZINC001042057479 763599981 /nfs/dbraw/zinc/59/99/81/763599981.db2.gz KDEXFRBSKVTHEV-JKSUJKDBSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)o1 ZINC001042057479 763599989 /nfs/dbraw/zinc/59/99/89/763599989.db2.gz KDEXFRBSKVTHEV-JKSUJKDBSA-N 1 2 313.401 1.819 20 30 DDEDLO C[C@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N)C(C)(C)C ZINC001109451549 763721768 /nfs/dbraw/zinc/72/17/68/763721768.db2.gz RMDQKGHHTQCGPE-MQYQWHSLSA-N 1 2 320.437 1.030 20 30 DDEDLO C[C@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N)C(C)(C)C ZINC001109451549 763721774 /nfs/dbraw/zinc/72/17/74/763721774.db2.gz RMDQKGHHTQCGPE-MQYQWHSLSA-N 1 2 320.437 1.030 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC ZINC001109592403 763846818 /nfs/dbraw/zinc/84/68/18/763846818.db2.gz QYRFPUBRHNQSHG-RDBSUJKOSA-N 1 2 307.438 1.446 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC ZINC001109592403 763846808 /nfs/dbraw/zinc/84/68/08/763846808.db2.gz QYRFPUBRHNQSHG-RDBSUJKOSA-N 1 2 307.438 1.446 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N(C)C1C[NH+](Cc2ccc(OCC#N)cc2)C1 ZINC001042437288 764044939 /nfs/dbraw/zinc/04/49/39/764044939.db2.gz ZYWMEOQGQUGUOS-CXAGYDPISA-N 1 2 313.401 1.888 20 30 DDEDLO C[C@H]1CN(c2ccncc2C#N)CC[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067401553 764238387 /nfs/dbraw/zinc/23/83/87/764238387.db2.gz AVLPUCZKDTYGAU-ZFWWWQNUSA-N 1 2 324.388 1.181 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nccc(C)n1 ZINC001050897489 764250753 /nfs/dbraw/zinc/25/07/53/764250753.db2.gz IDGSBBWVQVGQKI-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1nccc(C)n1 ZINC001050897489 764250759 /nfs/dbraw/zinc/25/07/59/764250759.db2.gz IDGSBBWVQVGQKI-AWEZNQCLSA-N 1 2 304.394 1.182 20 30 DDEDLO C#Cc1cncc(C(=O)NCc2cnn3c2C[N@H+](CC)CC3)c1 ZINC001069873233 768203970 /nfs/dbraw/zinc/20/39/70/768203970.db2.gz WHLLJZMBXGWUGR-UHFFFAOYSA-N 1 2 309.373 1.025 20 30 DDEDLO C#Cc1cncc(C(=O)NCc2cnn3c2C[N@@H+](CC)CC3)c1 ZINC001069873233 768203973 /nfs/dbraw/zinc/20/39/73/768203973.db2.gz WHLLJZMBXGWUGR-UHFFFAOYSA-N 1 2 309.373 1.025 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCCc2n[nH]cc21 ZINC001050917757 764276129 /nfs/dbraw/zinc/27/61/29/764276129.db2.gz OIAFIXQIPFUOGK-UONOGXRCSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1CCCc2n[nH]cc21 ZINC001050917757 764276136 /nfs/dbraw/zinc/27/61/36/764276136.db2.gz OIAFIXQIPFUOGK-UONOGXRCSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1nn(C)cc1Cl ZINC001050936597 764304646 /nfs/dbraw/zinc/30/46/46/764304646.db2.gz KSCGKNWFCUEDOA-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nn(C)cc1Cl ZINC001050936597 764304650 /nfs/dbraw/zinc/30/46/50/764304650.db2.gz KSCGKNWFCUEDOA-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(C(C)(C)C)nn1 ZINC001050948987 764329071 /nfs/dbraw/zinc/32/90/71/764329071.db2.gz MMOAUMCHXJICRW-ZDUSSCGKSA-N 1 2 321.425 1.040 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(C(C)(C)C)nn1 ZINC001050948987 764329077 /nfs/dbraw/zinc/32/90/77/764329077.db2.gz MMOAUMCHXJICRW-ZDUSSCGKSA-N 1 2 321.425 1.040 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccn1CC=C ZINC001050955545 764345079 /nfs/dbraw/zinc/34/50/79/764345079.db2.gz LXIOJJKNYYIVDP-OAHLLOKOSA-N 1 2 303.406 1.681 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cccn1CC=C ZINC001050955545 764345085 /nfs/dbraw/zinc/34/50/85/764345085.db2.gz LXIOJJKNYYIVDP-OAHLLOKOSA-N 1 2 303.406 1.681 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cnn3c2C[N@H+](C(C)C)CC3)cn1 ZINC001069880835 768210480 /nfs/dbraw/zinc/21/04/80/768210480.db2.gz XGILTBGUKLJQCQ-UHFFFAOYSA-N 1 2 323.400 1.413 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2cnn3c2C[N@@H+](C(C)C)CC3)cn1 ZINC001069880835 768210483 /nfs/dbraw/zinc/21/04/83/768210483.db2.gz XGILTBGUKLJQCQ-UHFFFAOYSA-N 1 2 323.400 1.413 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C)c1CCC ZINC001051003014 764405810 /nfs/dbraw/zinc/40/58/10/764405810.db2.gz KJVFVRHWVGNMNG-AWEZNQCLSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(C)c1CCC ZINC001051003014 764405817 /nfs/dbraw/zinc/40/58/17/764405817.db2.gz KJVFVRHWVGNMNG-AWEZNQCLSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc2[nH]ccc2n1 ZINC001051026280 764431943 /nfs/dbraw/zinc/43/19/43/764431943.db2.gz PQXOODQJAAFBEN-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc2[nH]ccc2n1 ZINC001051026280 764431947 /nfs/dbraw/zinc/43/19/47/764431947.db2.gz PQXOODQJAAFBEN-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[NH+]1CC(N(C)C(=O)c2cnc3cc[nH]cc-3c2=O)C1 ZINC001042808836 764462066 /nfs/dbraw/zinc/46/20/66/764462066.db2.gz OIPZFICSXYEQLQ-UHFFFAOYSA-N 1 2 312.373 1.255 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccn(C3CCCC3)n2)C1 ZINC001042817533 764465550 /nfs/dbraw/zinc/46/55/50/764465550.db2.gz YESKMNGAYAQNEX-UHFFFAOYSA-N 1 2 300.406 1.778 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nc(C)n1 ZINC001051166850 764572219 /nfs/dbraw/zinc/57/22/19/764572219.db2.gz SBJHDBXBXXCNMJ-OAHLLOKOSA-N 1 2 318.421 1.490 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nc(C)n1 ZINC001051166850 764572225 /nfs/dbraw/zinc/57/22/25/764572225.db2.gz SBJHDBXBXXCNMJ-OAHLLOKOSA-N 1 2 318.421 1.490 20 30 DDEDLO CC#CCN1CC(N(C)C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001043490031 764934462 /nfs/dbraw/zinc/93/44/62/764934462.db2.gz DZIPRUKUJRPFBT-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001096158265 768268697 /nfs/dbraw/zinc/26/86/97/768268697.db2.gz OQZNDPHBDZWXIN-HNNXBMFYSA-N 1 2 318.421 1.853 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCO[C@H](C[NH2+][C@@H](C)c2nc(C)no2)C1 ZINC001051755879 765129039 /nfs/dbraw/zinc/12/90/39/765129039.db2.gz LEJOPNUACHNEBO-WCQYABFASA-N 1 2 322.409 1.468 20 30 DDEDLO C[C@@H](NC(=O)Cn1cc[nH+]c1)[C@H](C)Nc1ncc(C#N)cc1F ZINC001113081720 765234776 /nfs/dbraw/zinc/23/47/76/765234776.db2.gz IOXLIHFBTBSHGM-MNOVXSKESA-N 1 2 316.340 1.294 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)c2cccn(C(C)(C)C)c2=O)C1 ZINC001044021811 765238161 /nfs/dbraw/zinc/23/81/61/765238161.db2.gz ZRZKUJUJGGVFTN-UHFFFAOYSA-N 1 2 303.406 1.546 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ncoc3CC)C2)CC1 ZINC001052002003 765367614 /nfs/dbraw/zinc/36/76/14/765367614.db2.gz BRTKKMYFNGHGSG-AWEZNQCLSA-N 1 2 318.421 1.255 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C3CC(C)C3)C2)CC1 ZINC001052007840 765376970 /nfs/dbraw/zinc/37/69/70/765376970.db2.gz ATAJHCKFAXKMHJ-OFLPRAFFSA-N 1 2 303.450 1.274 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001113199800 765398919 /nfs/dbraw/zinc/39/89/19/765398919.db2.gz KMLAWLPDYUAADA-HNNXBMFYSA-N 1 2 310.438 1.538 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3ccsc3C)C2)CC1 ZINC001052024773 765399885 /nfs/dbraw/zinc/39/98/85/765399885.db2.gz MUQFKAKZAJEWPP-HNNXBMFYSA-N 1 2 317.458 1.522 20 30 DDEDLO CCC(CC)(CC)C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052100880 765470866 /nfs/dbraw/zinc/47/08/66/765470866.db2.gz JJCGCNQNXWSVKN-INIZCTEOSA-N 1 2 320.481 1.945 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([NH+]3CCN(CC=C)CC3)C2)nc1 ZINC001052106810 765476644 /nfs/dbraw/zinc/47/66/44/765476644.db2.gz VLRVHZZNOJWDGX-KRWDZBQOSA-N 1 2 324.428 1.081 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001044352418 765502617 /nfs/dbraw/zinc/50/26/17/765502617.db2.gz HMRQLJWDCDHRNN-UHFFFAOYSA-N 1 2 301.390 1.047 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnn(CCOCC)c2)CC1 ZINC001113473325 765725992 /nfs/dbraw/zinc/72/59/92/765725992.db2.gz VISQBQNMOANYEP-UHFFFAOYSA-N 1 2 306.410 1.254 20 30 DDEDLO Cc1nc(N(C)[C@H](C)CNC(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001113536959 765821898 /nfs/dbraw/zinc/82/18/98/765821898.db2.gz FAFWJVFOGLSTCN-VXGBXAGGSA-N 1 2 315.421 1.764 20 30 DDEDLO C=CCCC[NH+]1CCN(C(=O)c2cnccc2N(C)C)CC1 ZINC001113546657 765839052 /nfs/dbraw/zinc/83/90/52/765839052.db2.gz DPICBLYFPFOLAN-UHFFFAOYSA-N 1 2 302.422 1.872 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)c2ccccc2)[C@@H](n2ccnn2)C1 ZINC001070079798 768331694 /nfs/dbraw/zinc/33/16/94/768331694.db2.gz UJJWOEMORABAEQ-OIISXLGYSA-N 1 2 323.400 1.057 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)c2ccccc2)[C@@H](n2ccnn2)C1 ZINC001070079798 768331701 /nfs/dbraw/zinc/33/17/01/768331701.db2.gz UJJWOEMORABAEQ-OIISXLGYSA-N 1 2 323.400 1.057 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCNC(=O)c2cccs2)CC1 ZINC001113626937 765954720 /nfs/dbraw/zinc/95/47/20/765954720.db2.gz XZKDTXWOUGHIGH-UHFFFAOYSA-N 1 2 319.430 1.036 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cn2cncc2C)CC1 ZINC001052563511 765960264 /nfs/dbraw/zinc/96/02/64/765960264.db2.gz TYZVUVUMFVLCQR-AWEZNQCLSA-N 1 2 310.829 1.915 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cn2cncc2C)CC1 ZINC001052563511 765960273 /nfs/dbraw/zinc/96/02/73/765960273.db2.gz TYZVUVUMFVLCQR-AWEZNQCLSA-N 1 2 310.829 1.915 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(C)nc2C)CC1 ZINC001113668360 765994620 /nfs/dbraw/zinc/99/46/20/765994620.db2.gz DBSHPURNTJZJFZ-UHFFFAOYSA-N 1 2 303.406 1.659 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2c(C)nsc2C)CC1 ZINC001113692245 766023768 /nfs/dbraw/zinc/02/37/68/766023768.db2.gz JHHFYXHIWWYIPS-UHFFFAOYSA-N 1 2 309.435 1.720 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2cncc(C)c2)[C@@H](O)C1 ZINC001090460731 766084010 /nfs/dbraw/zinc/08/40/10/766084010.db2.gz UCKGRCCWIXONFA-CABCVRRESA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2cncc(C)c2)[C@@H](O)C1 ZINC001090460731 766084017 /nfs/dbraw/zinc/08/40/17/766084017.db2.gz UCKGRCCWIXONFA-CABCVRRESA-N 1 2 323.824 1.236 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001058140629 766266800 /nfs/dbraw/zinc/26/68/00/766266800.db2.gz KLENOGWSGHCIPG-CYBMUJFWSA-N 1 2 310.361 1.384 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001096175518 768362860 /nfs/dbraw/zinc/36/28/60/768362860.db2.gz OZLYKUBLQYMEOA-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CC[N@@H+](C)Cc1cnc2n1CCN(C(=O)OC(C)(C)C)CC2 ZINC001137497057 766370195 /nfs/dbraw/zinc/37/01/95/766370195.db2.gz XSXMOQYVMUTDDG-UHFFFAOYSA-N 1 2 318.421 1.741 20 30 DDEDLO C#CC[N@H+](C)Cc1cnc2n1CCN(C(=O)OC(C)(C)C)CC2 ZINC001137497057 766370202 /nfs/dbraw/zinc/37/02/02/766370202.db2.gz XSXMOQYVMUTDDG-UHFFFAOYSA-N 1 2 318.421 1.741 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113932744 766422545 /nfs/dbraw/zinc/42/25/45/766422545.db2.gz JMIPOESLAKSNGS-CFVMTHIKSA-N 1 2 318.421 1.707 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(C)on3)C[C@H]21 ZINC001113995646 766496888 /nfs/dbraw/zinc/49/68/88/766496888.db2.gz HHZBEAFPCBJNLQ-ARLBYUKCSA-N 1 2 319.405 1.511 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(C)on3)C[C@H]21 ZINC001113995646 766496894 /nfs/dbraw/zinc/49/68/94/766496894.db2.gz HHZBEAFPCBJNLQ-ARLBYUKCSA-N 1 2 319.405 1.511 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(C(C)(C)C)on3)C[C@H]21 ZINC001114067165 766592815 /nfs/dbraw/zinc/59/28/15/766592815.db2.gz OCENGTVXURDAQZ-MUYACECFSA-N 1 2 316.405 1.678 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(C(C)(C)C)on3)C[C@H]21 ZINC001114067165 766592820 /nfs/dbraw/zinc/59/28/20/766592820.db2.gz OCENGTVXURDAQZ-MUYACECFSA-N 1 2 316.405 1.678 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067716850 766648337 /nfs/dbraw/zinc/64/83/37/766648337.db2.gz KBLSEONDNNLNTJ-LSDHHAIUSA-N 1 2 320.437 1.648 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]cn1C ZINC001067831779 766730408 /nfs/dbraw/zinc/73/04/08/766730408.db2.gz QBJGNFNOOQMMFA-UHFFFAOYSA-N 1 2 320.437 1.482 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001114194114 766754776 /nfs/dbraw/zinc/75/47/76/766754776.db2.gz LNMRNGSEIZARLD-IXKJSCDLSA-N 1 2 319.405 1.111 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001114194114 766754784 /nfs/dbraw/zinc/75/47/84/766754784.db2.gz LNMRNGSEIZARLD-IXKJSCDLSA-N 1 2 319.405 1.111 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001114194975 766757007 /nfs/dbraw/zinc/75/70/07/766757007.db2.gz YIPKDBGLWIZTCR-IXKJSCDLSA-N 1 2 319.405 1.165 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)c(C)o3)C[C@H]21 ZINC001114194975 766757015 /nfs/dbraw/zinc/75/70/15/766757015.db2.gz YIPKDBGLWIZTCR-IXKJSCDLSA-N 1 2 319.405 1.165 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC1CC(CNc2ccc(C#N)cn2)C1 ZINC001067857071 766759099 /nfs/dbraw/zinc/75/90/99/766759099.db2.gz ZRVXDGKRXZZQSI-UHFFFAOYSA-N 1 2 324.388 1.236 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1[C@H]2C[N@@H+](Cc3c(F)cccc3F)C[C@H]21 ZINC001114253340 766821827 /nfs/dbraw/zinc/82/18/27/766821827.db2.gz MKUUTVHAUDIOAR-MCBXIFIFSA-N 1 2 316.351 1.925 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1[C@H]2C[N@H+](Cc3c(F)cccc3F)C[C@H]21 ZINC001114253340 766821836 /nfs/dbraw/zinc/82/18/36/766821836.db2.gz MKUUTVHAUDIOAR-MCBXIFIFSA-N 1 2 316.351 1.925 20 30 DDEDLO CC(C)(C)[C@@H]1C[C@H]1C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046043872 766850335 /nfs/dbraw/zinc/85/03/35/766850335.db2.gz LWSAINCWVCTDOD-BZUAXINKSA-N 1 2 318.465 1.411 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](CCCC)CNc1cc[nH+]c(C)n1 ZINC001114281805 766851160 /nfs/dbraw/zinc/85/11/60/766851160.db2.gz LGVJYIITFCWTMH-UKRRQHHQSA-N 1 2 318.421 1.910 20 30 DDEDLO C[C@@H]1CCN(c2ccc(C#N)cn2)C[C@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001067994384 766861695 /nfs/dbraw/zinc/86/16/95/766861695.db2.gz URSBLZVQUIMUIU-IUODEOHRSA-N 1 2 324.388 1.250 20 30 DDEDLO CCC(CC)(CC)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046072586 766886015 /nfs/dbraw/zinc/88/60/15/766886015.db2.gz IVQSYMVCGXQKIN-MRXNPFEDSA-N 1 2 320.481 1.945 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(=C)CC1 ZINC001121610506 782591301 /nfs/dbraw/zinc/59/13/01/782591301.db2.gz SQVYNPBAWLDOKW-KBPBESRZSA-N 1 2 303.410 1.358 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(=C)CC1 ZINC001121610506 782591308 /nfs/dbraw/zinc/59/13/08/782591308.db2.gz SQVYNPBAWLDOKW-KBPBESRZSA-N 1 2 303.410 1.358 20 30 DDEDLO Cc1nc(N[C@@H](CO)CNC(=O)CC#Cc2ccccc2)cc[nH+]1 ZINC001121633594 782605057 /nfs/dbraw/zinc/60/50/57/782605057.db2.gz KIDYWMLFSKGKTE-MRXNPFEDSA-N 1 2 324.384 1.116 20 30 DDEDLO C#CC[N@H+]1CC[C@](C)(NC(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001046318750 767416355 /nfs/dbraw/zinc/41/63/55/767416355.db2.gz OAZOSAMHENATIY-NHYWBVRUSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@@H+]1CC[C@](C)(NC(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001046318750 767416358 /nfs/dbraw/zinc/41/63/58/767416358.db2.gz OAZOSAMHENATIY-NHYWBVRUSA-N 1 2 307.419 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001046472028 767607667 /nfs/dbraw/zinc/60/76/67/767607667.db2.gz AEXNTDWMIWIDMI-CQSZACIVSA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001046472028 767607673 /nfs/dbraw/zinc/60/76/73/767607673.db2.gz AEXNTDWMIWIDMI-CQSZACIVSA-N 1 2 320.784 1.300 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@H]2C)n1 ZINC001068887563 767682603 /nfs/dbraw/zinc/68/26/03/767682603.db2.gz NWQRKTIRSVMRSO-GXTWGEPZSA-N 1 2 324.388 1.629 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccncc2C#N)CCN1C(=O)CCn1cc[nH+]c1 ZINC001068929214 767705502 /nfs/dbraw/zinc/70/55/02/767705502.db2.gz ZGZGICPRIUTLSM-UKRRQHHQSA-N 1 2 324.388 1.063 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068962983 767730498 /nfs/dbraw/zinc/73/04/98/767730498.db2.gz MIHUFBVTUNGNLI-BXUZGUMPSA-N 1 2 310.361 1.320 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069079157 767828599 /nfs/dbraw/zinc/82/85/99/767828599.db2.gz LVUPNVUVFRGGFA-GXTWGEPZSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1CCc2c[nH+]cn2C1)Nc1ncccc1C#N ZINC001098125750 768658495 /nfs/dbraw/zinc/65/84/95/768658495.db2.gz MIUUEFZXKUPIGU-GXTWGEPZSA-N 1 2 324.388 1.329 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C2(C)CC=CC2)CC[C@@H]1C ZINC001132265523 768720207 /nfs/dbraw/zinc/72/02/07/768720207.db2.gz OCEDLNQQFQGTEC-LSDHHAIUSA-N 1 2 317.433 1.061 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C2(C)CC=CC2)CC[C@@H]1C ZINC001132265523 768720212 /nfs/dbraw/zinc/72/02/12/768720212.db2.gz OCEDLNQQFQGTEC-LSDHHAIUSA-N 1 2 317.433 1.061 20 30 DDEDLO CCCCCCC(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132464471 768881069 /nfs/dbraw/zinc/88/10/69/768881069.db2.gz UHNQFGBXFBRMSZ-GJZGRUSLSA-N 1 2 322.453 1.566 20 30 DDEDLO CCCCCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132464471 768881082 /nfs/dbraw/zinc/88/10/82/768881082.db2.gz UHNQFGBXFBRMSZ-GJZGRUSLSA-N 1 2 322.453 1.566 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001132528428 768948302 /nfs/dbraw/zinc/94/83/02/768948302.db2.gz COULBKBPWZXEAM-CABCVRRESA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001132528428 768948306 /nfs/dbraw/zinc/94/83/06/768948306.db2.gz COULBKBPWZXEAM-CABCVRRESA-N 1 2 320.437 1.482 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C2=COCCO2)CC[C@@H]1C ZINC001071316373 769278774 /nfs/dbraw/zinc/27/87/74/769278774.db2.gz CXIBKLYGWRZPKB-RYUDHWBXSA-N 1 2 300.786 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C2=COCCO2)CC[C@@H]1C ZINC001071316373 769278780 /nfs/dbraw/zinc/27/87/80/769278780.db2.gz CXIBKLYGWRZPKB-RYUDHWBXSA-N 1 2 300.786 1.596 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2nccnc2N)CC[C@H]1C ZINC001071395535 769382190 /nfs/dbraw/zinc/38/21/90/769382190.db2.gz BQSULMZAZLZXKI-MNOVXSKESA-N 1 2 309.801 1.394 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2nccnc2N)CC[C@H]1C ZINC001071395535 769382197 /nfs/dbraw/zinc/38/21/97/769382197.db2.gz BQSULMZAZLZXKI-MNOVXSKESA-N 1 2 309.801 1.394 20 30 DDEDLO Cc1cc(N2CC[C@H](NC(=O)CCc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001096376043 769494876 /nfs/dbraw/zinc/49/48/76/769494876.db2.gz XQHVPUNJJUXQLQ-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C1CCC(C(=O)NCC[NH2+]Cc2nc(C(F)F)no2)CC1 ZINC001133362661 769746151 /nfs/dbraw/zinc/74/61/51/769746151.db2.gz PLDALVDCZYVNDL-UHFFFAOYSA-N 1 2 314.336 1.959 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2snnc2CC)CC[C@H]1C ZINC001071664002 769816209 /nfs/dbraw/zinc/81/62/09/769816209.db2.gz WMNRMRMAAYNMRQ-VXGBXAGGSA-N 1 2 306.435 1.707 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2snnc2CC)CC[C@H]1C ZINC001071664002 769816222 /nfs/dbraw/zinc/81/62/22/769816222.db2.gz WMNRMRMAAYNMRQ-VXGBXAGGSA-N 1 2 306.435 1.707 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096442342 770109142 /nfs/dbraw/zinc/10/91/42/770109142.db2.gz PMOQJNVPXURDHK-HNNXBMFYSA-N 1 2 316.405 1.137 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cn2nccc2C)CC[C@H]1C ZINC001071864388 770193289 /nfs/dbraw/zinc/19/32/89/770193289.db2.gz CBBWEQPDNIJYHE-OCCSQVGLSA-N 1 2 310.829 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cn2nccc2C)CC[C@H]1C ZINC001071864388 770193292 /nfs/dbraw/zinc/19/32/92/770193292.db2.gz CBBWEQPDNIJYHE-OCCSQVGLSA-N 1 2 310.829 1.913 20 30 DDEDLO C#CCCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001071933100 770309265 /nfs/dbraw/zinc/30/92/65/770309265.db2.gz QYNYLHNQQCOLFA-HIFRSBDPSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H]2CN(C(=O)[C@@H](C)Cc3cnc[nH]3)C[C@H]2C1 ZINC001049106966 770388759 /nfs/dbraw/zinc/38/87/59/770388759.db2.gz PDNMMNMNURDZNJ-FPMFFAJLSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H]2CN(C(=O)[C@@H](C)Cc3cnc[nH]3)C[C@H]2C1 ZINC001049106966 770388768 /nfs/dbraw/zinc/38/87/68/770388768.db2.gz PDNMMNMNURDZNJ-FPMFFAJLSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001072111067 770555081 /nfs/dbraw/zinc/55/50/81/770555081.db2.gz HFYWHFIKYXYHRM-KBPBESRZSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001072219891 770674702 /nfs/dbraw/zinc/67/47/02/770674702.db2.gz ZNIHWCCEVKDPGC-UKRRQHHQSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1[nH]nc2ccccc21 ZINC001049878647 771229406 /nfs/dbraw/zinc/22/94/06/771229406.db2.gz ZBSVWISMUDPWDL-HZPDHXFCSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1[nH]nc2ccccc21 ZINC001049878647 771229409 /nfs/dbraw/zinc/22/94/09/771229409.db2.gz ZBSVWISMUDPWDL-HZPDHXFCSA-N 1 2 308.385 1.875 20 30 DDEDLO Cc1cc(NCCN(CCO)C(=O)[C@@H](C)C#N)nc(C(C)C)[nH+]1 ZINC001111436160 771417074 /nfs/dbraw/zinc/41/70/74/771417074.db2.gz UFKQZVZEONDQJJ-LBPRGKRZSA-N 1 2 319.409 1.301 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)n1cncn1)C2 ZINC001096976335 771541714 /nfs/dbraw/zinc/54/17/14/771541714.db2.gz FLPDGVQTJPJMGD-LOWDOPEQSA-N 1 2 309.801 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)n1cncn1)C2 ZINC001096976335 771541718 /nfs/dbraw/zinc/54/17/18/771541718.db2.gz FLPDGVQTJPJMGD-LOWDOPEQSA-N 1 2 309.801 1.313 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001096977220 771542548 /nfs/dbraw/zinc/54/25/48/771542548.db2.gz IETOBPDBRXGDJL-ZDUSSCGKSA-N 1 2 318.425 1.615 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1COC(=O)C1)C2 ZINC001096955934 771550443 /nfs/dbraw/zinc/55/04/43/771550443.db2.gz VGZOTAYFBJSYIA-LPWJVIDDSA-N 1 2 312.797 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1COC(=O)C1)C2 ZINC001096955934 771550448 /nfs/dbraw/zinc/55/04/48/771550448.db2.gz VGZOTAYFBJSYIA-LPWJVIDDSA-N 1 2 312.797 1.414 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC1=CC[N@H+](Cc2cscn2)CC1 ZINC001159595172 771724526 /nfs/dbraw/zinc/72/45/26/771724526.db2.gz WTQAAOVAHBBDTF-LBPRGKRZSA-N 1 2 304.419 1.941 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC1=CC[N@@H+](Cc2cscn2)CC1 ZINC001159595172 771724529 /nfs/dbraw/zinc/72/45/29/771724529.db2.gz WTQAAOVAHBBDTF-LBPRGKRZSA-N 1 2 304.419 1.941 20 30 DDEDLO N#CCN[C@@H]1CC[C@H](CNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001086799898 771762796 /nfs/dbraw/zinc/76/27/96/771762796.db2.gz LOUYKPUFKBVYPY-XQQFMLRXSA-N 1 2 301.394 1.228 20 30 DDEDLO COC(=O)c1ccc(C(=[NH2+])Nc2ccnc(C(=O)OC)c2)cc1 ZINC001170984573 772019334 /nfs/dbraw/zinc/01/93/34/772019334.db2.gz CEQOBOYJXCPLGJ-UHFFFAOYSA-N 1 2 313.313 1.692 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2cccc3nc[nH]c32)[C@@H](O)C1 ZINC001090670487 772095988 /nfs/dbraw/zinc/09/59/88/772095988.db2.gz DWOGGAUTHJIBIX-ZFWWWQNUSA-N 1 2 314.389 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](NC(=O)c2cccc3nc[nH]c32)[C@@H](O)C1 ZINC001090670487 772095991 /nfs/dbraw/zinc/09/59/91/772095991.db2.gz DWOGGAUTHJIBIX-ZFWWWQNUSA-N 1 2 314.389 1.304 20 30 DDEDLO Cn1ncc2c1nc[nH+]c2N[C@H]1C[C@@H](CNC(=O)C#CC2CC2)C1 ZINC001091342474 772696175 /nfs/dbraw/zinc/69/61/75/772696175.db2.gz SSTILAJNFWCSSC-BETUJISGSA-N 1 2 324.388 1.083 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+]Cc1ncc(C2CC2)o1 ZINC001149117125 772805379 /nfs/dbraw/zinc/80/53/79/772805379.db2.gz AYRZWIHXZADFOJ-UHFFFAOYSA-N 1 2 323.396 1.982 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)C[N@@H+]1CCc2sccc2C1)NCC#N ZINC001146563921 772895848 /nfs/dbraw/zinc/89/58/48/772895848.db2.gz ZZFFRBRNNNVKGT-OLZOCXBDSA-N 1 2 320.462 1.503 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)C[N@H+]1CCc2sccc2C1)NCC#N ZINC001146563921 772895849 /nfs/dbraw/zinc/89/58/49/772895849.db2.gz ZZFFRBRNNNVKGT-OLZOCXBDSA-N 1 2 320.462 1.503 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(CC)n1C)C2 ZINC001147159153 773055361 /nfs/dbraw/zinc/05/53/61/773055361.db2.gz OIUPPBLYZFEQGV-UHFFFAOYSA-N 1 2 317.437 1.378 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1nnc(CC)n1C)C2 ZINC001147159153 773055366 /nfs/dbraw/zinc/05/53/66/773055366.db2.gz OIUPPBLYZFEQGV-UHFFFAOYSA-N 1 2 317.437 1.378 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+](Cc1nonc1C)C2 ZINC001147640369 773189131 /nfs/dbraw/zinc/18/91/31/773189131.db2.gz YBUNJYRNWOMVOJ-UHFFFAOYSA-N 1 2 304.394 1.769 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+](Cc1nonc1C)C2 ZINC001147640369 773189133 /nfs/dbraw/zinc/18/91/33/773189133.db2.gz YBUNJYRNWOMVOJ-UHFFFAOYSA-N 1 2 304.394 1.769 20 30 DDEDLO C[N@@H+](Cc1ncccn1)C[C@H]1CCCCN1C(=O)C#CC1CC1 ZINC001074012573 773584406 /nfs/dbraw/zinc/58/44/06/773584406.db2.gz BNYZHSCLGWUJQB-MRXNPFEDSA-N 1 2 312.417 1.703 20 30 DDEDLO C[N@H+](Cc1ncccn1)C[C@H]1CCCCN1C(=O)C#CC1CC1 ZINC001074012573 773584412 /nfs/dbraw/zinc/58/44/12/773584412.db2.gz BNYZHSCLGWUJQB-MRXNPFEDSA-N 1 2 312.417 1.703 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3ccsc3)C[C@H]21 ZINC001074154932 773679489 /nfs/dbraw/zinc/67/94/89/773679489.db2.gz MDGFTXYPWNPHMG-CVEARBPZSA-N 1 2 318.442 1.616 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3ccsc3)C[C@H]21 ZINC001074154932 773679490 /nfs/dbraw/zinc/67/94/90/773679490.db2.gz MDGFTXYPWNPHMG-CVEARBPZSA-N 1 2 318.442 1.616 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(Cl)c[nH]3)C[C@H]21 ZINC001074159919 773682682 /nfs/dbraw/zinc/68/26/82/773682682.db2.gz MGQRHRKUGJNVOQ-HUUCEWRRSA-N 1 2 321.808 1.607 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(Cl)c[nH]3)C[C@H]21 ZINC001074159919 773682685 /nfs/dbraw/zinc/68/26/85/773682685.db2.gz MGQRHRKUGJNVOQ-HUUCEWRRSA-N 1 2 321.808 1.607 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3O)C[C@@H]21 ZINC001074179404 773701623 /nfs/dbraw/zinc/70/16/23/773701623.db2.gz ZNIXNEGRDKTJKL-RDJZCZTQSA-N 1 2 314.385 1.331 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3O)C[C@@H]21 ZINC001074179404 773701626 /nfs/dbraw/zinc/70/16/26/773701626.db2.gz ZNIXNEGRDKTJKL-RDJZCZTQSA-N 1 2 314.385 1.331 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3conc3C)C[C@@H]21 ZINC001074331155 773827491 /nfs/dbraw/zinc/82/74/91/773827491.db2.gz CVECLLBHBZBIJI-LSDHHAIUSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3conc3C)C[C@@H]21 ZINC001074331155 773827494 /nfs/dbraw/zinc/82/74/94/773827494.db2.gz CVECLLBHBZBIJI-LSDHHAIUSA-N 1 2 305.378 1.474 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cn3ccc(C)n3)C[C@H]21 ZINC001074341170 773838860 /nfs/dbraw/zinc/83/88/60/773838860.db2.gz QHENAEUMBGQAKU-HZPDHXFCSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cn3ccc(C)n3)C[C@H]21 ZINC001074341170 773838863 /nfs/dbraw/zinc/83/88/63/773838863.db2.gz QHENAEUMBGQAKU-HZPDHXFCSA-N 1 2 318.421 1.069 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092177613 773984112 /nfs/dbraw/zinc/98/41/12/773984112.db2.gz NQNVGWPBSZVQSL-STQMWFEESA-N 1 2 304.394 1.053 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1O ZINC001092177612 773984401 /nfs/dbraw/zinc/98/44/01/773984401.db2.gz NQNVGWPBSZVQSL-QWHCGFSZSA-N 1 2 304.394 1.053 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCC1CC(Nc2ncccc2C#N)C1 ZINC001092230693 774019314 /nfs/dbraw/zinc/01/93/14/774019314.db2.gz DTZHGJNKDRFJMM-UHFFFAOYSA-N 1 2 324.388 1.534 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1[C@@H]3CCC[C@@H]31)c1nccn12 ZINC001092358893 774074085 /nfs/dbraw/zinc/07/40/85/774074085.db2.gz IPGMUTWNJYLZAL-XUWVNRHRSA-N 1 2 324.428 1.524 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@]1(C)C=CCC1)c1nccn12 ZINC001092388811 774097557 /nfs/dbraw/zinc/09/75/57/774097557.db2.gz MVDUTNVZLDAIIF-YOEHRIQHSA-N 1 2 312.417 1.997 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1C[C@@H]1C(F)F)c1nccn12 ZINC001092365662 774104990 /nfs/dbraw/zinc/10/49/90/774104990.db2.gz JZHZLAHKPCRWNX-SDDRHHMPSA-N 1 2 322.359 1.542 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001074886070 774216425 /nfs/dbraw/zinc/21/64/25/774216425.db2.gz CIRPNRVZXYNIIM-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001074886070 774216430 /nfs/dbraw/zinc/21/64/30/774216430.db2.gz CIRPNRVZXYNIIM-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H](C)C1 ZINC001074977341 774280358 /nfs/dbraw/zinc/28/03/58/774280358.db2.gz MMZUXMPYRIWLIB-KBPBESRZSA-N 1 2 316.405 1.107 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@H]1C ZINC001075211515 774425371 /nfs/dbraw/zinc/42/53/71/774425371.db2.gz XDPKLJTXPRMDSD-CHWSQXEVSA-N 1 2 304.394 1.414 20 30 DDEDLO C[C@H](CNC(=O)CCc1[nH+]ccn1C)Nc1ccc(C#N)cn1 ZINC001098441486 774583455 /nfs/dbraw/zinc/58/34/55/774583455.db2.gz AYFXFVVZVVEGTF-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001098535806 774605713 /nfs/dbraw/zinc/60/57/13/774605713.db2.gz CXMLXJQEFJBRND-OCCSQVGLSA-N 1 2 304.394 1.637 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CCCCCC)C2)nn1 ZINC001098779591 774671973 /nfs/dbraw/zinc/67/19/73/774671973.db2.gz IGPXVZAWOQZJJE-INIZCTEOSA-N 1 2 317.437 1.745 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3n[nH]c(C)c3C)CC2)C1 ZINC001093519193 774770158 /nfs/dbraw/zinc/77/01/58/774770158.db2.gz IEEGVLATJLTIHX-UHFFFAOYSA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)C[C@@H]3CC[C@@H](C)O3)CC2)C1 ZINC001093570200 774842320 /nfs/dbraw/zinc/84/23/20/774842320.db2.gz PBCJXLXKBSJGKW-ZBFHGGJFSA-N 1 2 322.449 1.822 20 30 DDEDLO N#Cc1c(F)cccc1NCCNC(=O)CCc1[nH]cc[nH+]1 ZINC001093582111 774858554 /nfs/dbraw/zinc/85/85/54/774858554.db2.gz KMAGEOCUZGFZTA-UHFFFAOYSA-N 1 2 301.325 1.581 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@]3(C)CCO[C@@H]3C)CC2)C1 ZINC001093557142 774881685 /nfs/dbraw/zinc/88/16/85/774881685.db2.gz MZSMDKUJQYFZKQ-KDOFPFPSSA-N 1 2 322.449 1.679 20 30 DDEDLO C[NH+](C)[C@@H](C(=O)NCCNc1ccc(C#N)cn1)c1cccnc1 ZINC001093681046 774974741 /nfs/dbraw/zinc/97/47/41/774974741.db2.gz NVPJYUPYOLNFDO-MRXNPFEDSA-N 1 2 324.388 1.179 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099807323 775306088 /nfs/dbraw/zinc/30/60/88/775306088.db2.gz OJRVHMHWDQLKND-KGLIPLIRSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099807323 775306099 /nfs/dbraw/zinc/30/60/99/775306099.db2.gz OJRVHMHWDQLKND-KGLIPLIRSA-N 1 2 321.446 1.306 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCC(C)(F)F)[C@@H](O)C1 ZINC001099896643 775394059 /nfs/dbraw/zinc/39/40/59/775394059.db2.gz MLIZMSXZKDADPF-MNOVXSKESA-N 1 2 310.772 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCC(C)(F)F)[C@@H](O)C1 ZINC001099896643 775394068 /nfs/dbraw/zinc/39/40/68/775394068.db2.gz MLIZMSXZKDADPF-MNOVXSKESA-N 1 2 310.772 1.726 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2snnc2C)C[C@H]1O ZINC001099977270 775510963 /nfs/dbraw/zinc/51/09/63/775510963.db2.gz WVPNOGRBCOEYOI-CHWSQXEVSA-N 1 2 324.450 1.254 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2snnc2C)C[C@H]1O ZINC001099977270 775510974 /nfs/dbraw/zinc/51/09/74/775510974.db2.gz WVPNOGRBCOEYOI-CHWSQXEVSA-N 1 2 324.450 1.254 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCCN(C)c1cc[nH+]c(C)n1)OCC ZINC001100170863 775759151 /nfs/dbraw/zinc/75/91/51/775759151.db2.gz GOISKOMLOXPABH-CQSZACIVSA-N 1 2 306.410 1.709 20 30 DDEDLO N#Cc1cccnc1NCCCNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001094656540 776175333 /nfs/dbraw/zinc/17/53/33/776175333.db2.gz NCMZPHHIQMCYGE-AWEZNQCLSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3ccccc3F)CC2=O)C1 ZINC001094697617 776209187 /nfs/dbraw/zinc/20/91/87/776209187.db2.gz PDJBLZUOHVEWSV-LBPRGKRZSA-N 1 2 317.364 1.027 20 30 DDEDLO C[C@@H](CC(=O)NCCCNc1nccnc1C#N)n1cc[nH+]c1 ZINC001094824049 776339831 /nfs/dbraw/zinc/33/98/31/776339831.db2.gz AQMYZHUVYYFUQV-LBPRGKRZSA-N 1 2 313.365 1.114 20 30 DDEDLO N#Cc1cccnc1N1CC[C@@H](CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001100965148 776769182 /nfs/dbraw/zinc/76/91/82/776769182.db2.gz HLOUHACVTVIFSG-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO COC(=O)N1CCC([NH+]2CC(Oc3ccccc3C#N)C2)CC1 ZINC001172742384 776875166 /nfs/dbraw/zinc/87/51/66/776875166.db2.gz KUJHRQIRSVSMQY-UHFFFAOYSA-N 1 2 315.373 1.852 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)CCCn2cc[nH+]c2)CC1 ZINC001110166940 776938874 /nfs/dbraw/zinc/93/88/74/776938874.db2.gz FVGKCMUBGPEFGH-UHFFFAOYSA-N 1 2 324.388 1.691 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@H](N2CC[NH2+]C[C@H]2C#N)C[C@H]1C#N ZINC001172979450 776949498 /nfs/dbraw/zinc/94/94/98/776949498.db2.gz DMKNJARRFOTDNF-MELADBBJSA-N 1 2 319.409 1.075 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](Nc2ncnc3c2C[N@H+](C)CC3)C1 ZINC001095017082 776992384 /nfs/dbraw/zinc/99/23/84/776992384.db2.gz LTEUGGNRMVEZBO-CYBMUJFWSA-N 1 2 315.421 1.444 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](Nc2ncnc3c2C[N@@H+](C)CC3)C1 ZINC001095017082 776992387 /nfs/dbraw/zinc/99/23/87/776992387.db2.gz LTEUGGNRMVEZBO-CYBMUJFWSA-N 1 2 315.421 1.444 20 30 DDEDLO Cc1cc(N(CCNC(=O)[C@H](C)C#N)C2CC2)nc(C2CC2)[nH+]1 ZINC001101320228 777065137 /nfs/dbraw/zinc/06/51/37/777065137.db2.gz PUDAGRWZHFXPCU-LLVKDONJSA-N 1 2 313.405 1.907 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001095184085 777222750 /nfs/dbraw/zinc/22/27/50/777222750.db2.gz FYOQROSWRKGYAC-CYBMUJFWSA-N 1 2 304.394 1.415 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C\C1CC1)c1nccn12 ZINC001101620182 777314058 /nfs/dbraw/zinc/31/40/58/777314058.db2.gz DRYGYBIUYPJKLM-IDTUSYRASA-N 1 2 310.401 1.445 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H](C)SC)c1nccn12 ZINC001101623908 777317494 /nfs/dbraw/zinc/31/74/94/777317494.db2.gz LJHVMDUIHLJXHK-QWHCGFSZSA-N 1 2 320.462 1.783 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)COC(C)(C)C)c1nccn12 ZINC001101624314 777318897 /nfs/dbraw/zinc/31/88/97/777318897.db2.gz RHKLDVYNRSVDMC-ZDUSSCGKSA-N 1 2 318.421 1.456 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)CC1(C)CC1)c1nccn12 ZINC001101634139 777331374 /nfs/dbraw/zinc/33/13/74/777331374.db2.gz NSOJVRSFIPCTDE-AWEZNQCLSA-N 1 2 312.417 1.669 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@@H](C)COC)c1nccn12 ZINC001101649569 777345502 /nfs/dbraw/zinc/34/55/02/777345502.db2.gz PXWIEVDAOSBOSD-ZIAGYGMSSA-N 1 2 318.421 1.314 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095300533 777478567 /nfs/dbraw/zinc/47/85/67/777478567.db2.gz CFSWHBGVESNCRJ-JSGCOSHPSA-N 1 2 304.394 1.779 20 30 DDEDLO N#Cc1cccc(F)c1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001174491412 777485051 /nfs/dbraw/zinc/48/50/51/777485051.db2.gz JZPDFFCYPJASKB-UHFFFAOYSA-N 1 2 315.352 1.970 20 30 DDEDLO CC(C)C[C@@H](C(=O)NC[C@@H]1CN(CC#N)C[C@H]1C)n1cc[nH+]c1 ZINC001102071230 777850013 /nfs/dbraw/zinc/85/00/13/777850013.db2.gz HWCFQEGTZGLXGL-OAGGEKHMSA-N 1 2 317.437 1.678 20 30 DDEDLO CC(C)C(=O)N(C)CC[NH+]1CCN(c2ccncc2C#N)CC1 ZINC001102414729 778125110 /nfs/dbraw/zinc/12/51/10/778125110.db2.gz CRUMCBVPUWENIH-UHFFFAOYSA-N 1 2 315.421 1.190 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102452206 778148561 /nfs/dbraw/zinc/14/85/61/778148561.db2.gz QUAUMBMSJYBASI-ZFWWWQNUSA-N 1 2 316.405 1.108 20 30 DDEDLO C=CCOCC(=O)N1CCC(CN(C)c2cc[nH+]c(C)n2)CC1 ZINC001102463289 778154475 /nfs/dbraw/zinc/15/44/75/778154475.db2.gz HADSXFXJVJSVRE-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCCN(Cc3c[nH+]cn3C)[C@@H]2C1 ZINC001176949688 778342852 /nfs/dbraw/zinc/34/28/52/778342852.db2.gz RDQOUFIOBPSLIO-HZPDHXFCSA-N 1 2 318.421 1.188 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOC3CCC3)[C@H]2C1 ZINC001176954865 778346094 /nfs/dbraw/zinc/34/60/94/778346094.db2.gz KCTFHDAVLYLWTK-IRXDYDNUSA-N 1 2 322.449 1.823 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOC3CCC3)[C@H]2C1 ZINC001176954865 778346100 /nfs/dbraw/zinc/34/61/00/778346100.db2.gz KCTFHDAVLYLWTK-IRXDYDNUSA-N 1 2 322.449 1.823 20 30 DDEDLO N#Cc1cnc(NC(=O)[C@@H]2CCCN(c3cccc[nH+]3)C2)cn1 ZINC001176959482 778349538 /nfs/dbraw/zinc/34/95/38/778349538.db2.gz YKSMLDUABSYOEW-GFCCVEGCSA-N 1 2 308.345 1.598 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]2OCC[N@@H+](CC(=C)C)[C@H]2C1 ZINC001176978598 778362877 /nfs/dbraw/zinc/36/28/77/778362877.db2.gz VDEQDHLVDDPOJU-IRXDYDNUSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@@H]2OCC[N@H+](CC(=C)C)[C@H]2C1 ZINC001176978598 778362881 /nfs/dbraw/zinc/36/28/81/778362881.db2.gz VDEQDHLVDDPOJU-IRXDYDNUSA-N 1 2 322.449 1.847 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCCCOC)C[C@@H]21 ZINC001176944077 778372352 /nfs/dbraw/zinc/37/23/52/778372352.db2.gz SGMCICWSUQCRNW-HOTGVXAUSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCCCOC)C[C@@H]21 ZINC001176944077 778372359 /nfs/dbraw/zinc/37/23/59/778372359.db2.gz SGMCICWSUQCRNW-HOTGVXAUSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCCCOC)C[C@H]21 ZINC001176944078 778372440 /nfs/dbraw/zinc/37/24/40/778372440.db2.gz SGMCICWSUQCRNW-HZPDHXFCSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCCOC)C[C@H]21 ZINC001176944078 778372447 /nfs/dbraw/zinc/37/24/47/778372447.db2.gz SGMCICWSUQCRNW-HZPDHXFCSA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C(\C)CC)C[C@@H]21 ZINC001176998744 778381201 /nfs/dbraw/zinc/38/12/01/778381201.db2.gz CKXCIFDVSPXUHZ-DDDPSPMYSA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C(\C)CC)C[C@@H]21 ZINC001176998744 778381205 /nfs/dbraw/zinc/38/12/05/778381205.db2.gz CKXCIFDVSPXUHZ-DDDPSPMYSA-N 1 2 322.449 1.847 20 30 DDEDLO CC(C)OCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001177006948 778385510 /nfs/dbraw/zinc/38/55/10/778385510.db2.gz VRNXEJIGWCQZNL-IAGOWNOFSA-N 1 2 320.433 1.127 20 30 DDEDLO CC(C)OCC[N@H+]1CCO[C@@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001177006948 778385518 /nfs/dbraw/zinc/38/55/18/778385518.db2.gz VRNXEJIGWCQZNL-IAGOWNOFSA-N 1 2 320.433 1.127 20 30 DDEDLO Cc1ccn(C=C(O)NC(=[NH2+])c2ccc3cc(O)ccc3c2)n1 ZINC001177064215 778423879 /nfs/dbraw/zinc/42/38/79/778423879.db2.gz CSEJOPPMUNKECI-UHFFFAOYSA-N 1 2 308.341 1.982 20 30 DDEDLO C[C@H](C#N)N(C)C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)OC(C)(C)C ZINC001177086260 778440120 /nfs/dbraw/zinc/44/01/20/778440120.db2.gz GYXYKRJIQTYARE-ZYHUDNBSSA-N 1 2 321.381 1.216 20 30 DDEDLO C[C@H](C#N)N(C)C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)OC(C)(C)C ZINC001177086260 778440123 /nfs/dbraw/zinc/44/01/23/778440123.db2.gz GYXYKRJIQTYARE-ZYHUDNBSSA-N 1 2 321.381 1.216 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]3OCC[N@@H+](CCCO)[C@@H]3C2)CC1 ZINC001177102469 778447824 /nfs/dbraw/zinc/44/78/24/778447824.db2.gz WCNHVRQNDPXSQP-CVEARBPZSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]3OCC[N@H+](CCCO)[C@@H]3C2)CC1 ZINC001177102469 778447829 /nfs/dbraw/zinc/44/78/29/778447829.db2.gz WCNHVRQNDPXSQP-CVEARBPZSA-N 1 2 322.449 1.417 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2OCC[N@@H+](CCCF)[C@H]2C1 ZINC001177161357 778468765 /nfs/dbraw/zinc/46/87/65/778468765.db2.gz CPQJWQVQOLRNPC-LSDHHAIUSA-N 1 2 314.401 1.240 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2OCC[N@H+](CCCF)[C@H]2C1 ZINC001177161357 778468768 /nfs/dbraw/zinc/46/87/68/778468768.db2.gz CPQJWQVQOLRNPC-LSDHHAIUSA-N 1 2 314.401 1.240 20 30 DDEDLO C=C(CCC(=O)OC)C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC001178083539 778890844 /nfs/dbraw/zinc/89/08/44/778890844.db2.gz HMMZDLHRLYYEPD-UHFFFAOYSA-N 1 2 311.407 1.330 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H](C)CNc1cc[nH+]c(C)n1 ZINC001103906554 779158845 /nfs/dbraw/zinc/15/88/45/779158845.db2.gz ZACWBEXEEPOBNY-ZDUSSCGKSA-N 1 2 306.410 1.932 20 30 DDEDLO Cc1nonc1C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@H](C)C#N)C1 ZINC001111667024 779427205 /nfs/dbraw/zinc/42/72/05/779427205.db2.gz RLQWKDPIWWIMEQ-GMXABZIVSA-N 1 2 303.366 1.008 20 30 DDEDLO Cc1nonc1C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)[C@H](C)C#N)C1 ZINC001111667024 779427209 /nfs/dbraw/zinc/42/72/09/779427209.db2.gz RLQWKDPIWWIMEQ-GMXABZIVSA-N 1 2 303.366 1.008 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2(Nc3cc[nH+]c(C)n3)CCC2)c1 ZINC001111897252 779544824 /nfs/dbraw/zinc/54/48/24/779544824.db2.gz DXKVFVLNNIHSHF-UHFFFAOYSA-N 1 2 321.384 1.926 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+]Cc2csnn2)[C@@H]1C ZINC001180254109 779677042 /nfs/dbraw/zinc/67/70/42/779677042.db2.gz VDPUNVBUGAQFFR-AAEUAGOBSA-N 1 2 310.423 1.210 20 30 DDEDLO Cc1nc(NC[C@@H](C2CC2)N(C)C(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001115500962 780191931 /nfs/dbraw/zinc/19/19/31/780191931.db2.gz INUBHHCTPMUUNJ-HNNXBMFYSA-N 1 2 324.388 1.948 20 30 DDEDLO C[C@@H](CN(C)C(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)cn1 ZINC001115689134 780346698 /nfs/dbraw/zinc/34/66/98/780346698.db2.gz SSPPQMHXEMLBTK-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO COC[C@H](C)NC(=S)N[NH+]=C1CCN(C)c2ccccc21 ZINC001117127933 780669017 /nfs/dbraw/zinc/66/90/17/780669017.db2.gz ZURIDHWZODSURB-NSHDSACASA-N 1 2 306.435 1.730 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@@H+](Cc2ncnn2CC)C1 ZINC001267303530 837788041 /nfs/dbraw/zinc/78/80/41/837788041.db2.gz NVJRDSJCSNNFLS-AWEZNQCLSA-N 1 2 319.453 1.838 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H]1CC[N@H+](Cc2ncnn2CC)C1 ZINC001267303530 837788052 /nfs/dbraw/zinc/78/80/52/837788052.db2.gz NVJRDSJCSNNFLS-AWEZNQCLSA-N 1 2 319.453 1.838 20 30 DDEDLO CC(C)C[C@H](C(=O)NCCN(CC#N)C1CC1)n1cc[nH+]c1 ZINC001267327295 837834521 /nfs/dbraw/zinc/83/45/21/837834521.db2.gz IIDWNHOKBHRWQZ-OAHLLOKOSA-N 1 2 303.410 1.574 20 30 DDEDLO CCC[C@H](CC)C(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266488502 836338700 /nfs/dbraw/zinc/33/87/00/836338700.db2.gz KSLXJDQZKCIYEJ-KBPBESRZSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC[C@H](CC)C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266488502 836338708 /nfs/dbraw/zinc/33/87/08/836338708.db2.gz KSLXJDQZKCIYEJ-KBPBESRZSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]([NH2+]Cc2nc([C@H](C)OC)no2)C1 ZINC001266530037 836422289 /nfs/dbraw/zinc/42/22/89/836422289.db2.gz VIPLAHVBCGHBSH-STQMWFEESA-N 1 2 322.409 1.824 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CC[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001266660931 836611141 /nfs/dbraw/zinc/61/11/41/836611141.db2.gz WVWJILOVPJSOFI-SNVBAGLBSA-N 1 2 303.322 1.037 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc(CC(C)C)no2)C1 ZINC001267006545 837178671 /nfs/dbraw/zinc/17/86/71/837178671.db2.gz NMERSIZJVDWOPQ-ZDUSSCGKSA-N 1 2 306.410 1.925 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001267017008 837199132 /nfs/dbraw/zinc/19/91/32/837199132.db2.gz QEHUOGZXRKBPJU-ZDUSSCGKSA-N 1 2 320.393 1.100 20 30 DDEDLO C=C1CCC(C(=O)N(C)CC[NH2+]Cc2nc(CC)no2)CC1 ZINC001267424400 838023017 /nfs/dbraw/zinc/02/30/17/838023017.db2.gz NYZNXBROFXPIDG-UHFFFAOYSA-N 1 2 306.410 1.926 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NC(CC)CC)C1 ZINC001267610420 838455827 /nfs/dbraw/zinc/45/58/27/838455827.db2.gz ZYYFQBYNSMMQQK-OAHLLOKOSA-N 1 2 309.454 1.838 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NC(CC)CC)C1 ZINC001267610420 838455831 /nfs/dbraw/zinc/45/58/31/838455831.db2.gz ZYYFQBYNSMMQQK-OAHLLOKOSA-N 1 2 309.454 1.838 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)CC)C1 ZINC001267618275 838502768 /nfs/dbraw/zinc/50/27/68/838502768.db2.gz OUNCFAMVLYHVRD-ZIAGYGMSSA-N 1 2 307.438 1.141 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](NC(=O)C(C)(C)CC)C1 ZINC001267618275 838502770 /nfs/dbraw/zinc/50/27/70/838502770.db2.gz OUNCFAMVLYHVRD-ZIAGYGMSSA-N 1 2 307.438 1.141 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2c(F)cccc2F)C1 ZINC001267634455 838543056 /nfs/dbraw/zinc/54/30/56/838543056.db2.gz ZFRUKBZMDGLLSS-ZDUSSCGKSA-N 1 2 322.355 1.809 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2c(F)cccc2F)C1 ZINC001267634455 838543064 /nfs/dbraw/zinc/54/30/64/838543064.db2.gz ZFRUKBZMDGLLSS-ZDUSSCGKSA-N 1 2 322.355 1.809 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCCCC(=O)NC)C1 ZINC001267634563 838543289 /nfs/dbraw/zinc/54/32/89/838543289.db2.gz DZNJMHNEXVNVIC-ZDUSSCGKSA-N 1 2 315.845 1.626 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCCCC(=O)NC)C1 ZINC001267634563 838543299 /nfs/dbraw/zinc/54/32/99/838543299.db2.gz DZNJMHNEXVNVIC-ZDUSSCGKSA-N 1 2 315.845 1.626 20 30 DDEDLO CC(C)(O)CC(=O)NCC1C[NH+](CC#Cc2ccc(F)cc2)C1 ZINC001267680660 838632635 /nfs/dbraw/zinc/63/26/35/838632635.db2.gz SWLOTHWNQYICFT-UHFFFAOYSA-N 1 2 318.392 1.386 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)C(F)=C2CCCC2)C1 ZINC001267721935 838732445 /nfs/dbraw/zinc/73/24/45/838732445.db2.gz PNNZXCACWVIIBK-JSGCOSHPSA-N 1 2 321.396 1.112 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)C(F)=C2CCCC2)C1 ZINC001267721935 838732450 /nfs/dbraw/zinc/73/24/50/838732450.db2.gz PNNZXCACWVIIBK-JSGCOSHPSA-N 1 2 321.396 1.112 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001267729070 838766034 /nfs/dbraw/zinc/76/60/34/838766034.db2.gz MWGRDZJIHXOCON-HOCLYGCPSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001267729070 838766040 /nfs/dbraw/zinc/76/60/40/838766040.db2.gz MWGRDZJIHXOCON-HOCLYGCPSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)CS(=O)(=O)C(C)C ZINC001272044329 844433639 /nfs/dbraw/zinc/43/36/39/844433639.db2.gz QTTLCWGHHDRXBP-KBPBESRZSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)CS(=O)(=O)C(C)C ZINC001272044329 844433641 /nfs/dbraw/zinc/43/36/41/844433641.db2.gz QTTLCWGHHDRXBP-KBPBESRZSA-N 1 2 314.451 1.061 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001268030502 839454676 /nfs/dbraw/zinc/45/46/76/839454676.db2.gz RWZVQNQBXPSWEY-NWDGAFQWSA-N 1 2 308.344 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001268030502 839454680 /nfs/dbraw/zinc/45/46/80/839454680.db2.gz RWZVQNQBXPSWEY-NWDGAFQWSA-N 1 2 308.344 1.968 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H](C)OCc2cccc(C)c2)C1 ZINC001268432648 840177134 /nfs/dbraw/zinc/17/71/34/840177134.db2.gz GIWYSWZEHSJVFH-OAHLLOKOSA-N 1 2 300.402 1.724 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@@H](NC(=O)CCCC(C)=O)[C@@H]1C ZINC001284102307 840242607 /nfs/dbraw/zinc/24/26/07/840242607.db2.gz NMSKYPQVRDBKSE-JKSUJKDBSA-N 1 2 308.422 1.365 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@@H](NC(=O)CCCC(C)=O)[C@@H]1C ZINC001284102307 840242619 /nfs/dbraw/zinc/24/26/19/840242619.db2.gz NMSKYPQVRDBKSE-JKSUJKDBSA-N 1 2 308.422 1.365 20 30 DDEDLO C=CCCCC(=O)NC1(CNC(=O)Cc2c[nH+]cn2C)CC1 ZINC001299201283 844570107 /nfs/dbraw/zinc/57/01/07/844570107.db2.gz FEUCEZJUFQFAKU-UHFFFAOYSA-N 1 2 304.394 1.084 20 30 DDEDLO C=CCC1(C(=O)N(C)CC[N@H+](C)CC(=O)NC2CC2)CCC1 ZINC001272106775 844620554 /nfs/dbraw/zinc/62/05/54/844620554.db2.gz YVBISSIKXKOBIX-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCC1(C(=O)N(C)CC[N@@H+](C)CC(=O)NC2CC2)CCC1 ZINC001272106775 844620561 /nfs/dbraw/zinc/62/05/61/844620561.db2.gz YVBISSIKXKOBIX-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)CCc1ccco1 ZINC001269195339 841325859 /nfs/dbraw/zinc/32/58/59/841325859.db2.gz NFWFDIVBCWQRMG-ZIAGYGMSSA-N 1 2 319.405 1.093 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)CCc1ccco1 ZINC001269195339 841325875 /nfs/dbraw/zinc/32/58/75/841325875.db2.gz NFWFDIVBCWQRMG-ZIAGYGMSSA-N 1 2 319.405 1.093 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CC[N@@H+]1Cc1cc(C)ccc1F ZINC001269234496 841392149 /nfs/dbraw/zinc/39/21/49/841392149.db2.gz AMMXTOHRRDYKFR-GDBMZVCRSA-N 1 2 318.392 1.863 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CC[N@H+]1Cc1cc(C)ccc1F ZINC001269234496 841392164 /nfs/dbraw/zinc/39/21/64/841392164.db2.gz AMMXTOHRRDYKFR-GDBMZVCRSA-N 1 2 318.392 1.863 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)C(=O)N1CCCCC1 ZINC001269240660 841405774 /nfs/dbraw/zinc/40/57/74/841405774.db2.gz MUZRHQCNGCYFCU-HOTGVXAUSA-N 1 2 321.465 1.934 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)N1CCCCC1 ZINC001269240660 841405779 /nfs/dbraw/zinc/40/57/79/841405779.db2.gz MUZRHQCNGCYFCU-HOTGVXAUSA-N 1 2 321.465 1.934 20 30 DDEDLO N#Cc1cc2cccnc2nc1NC(=O)[C@H]1CC2CC[NH+]1CC2 ZINC001142626676 861201126 /nfs/dbraw/zinc/20/11/26/861201126.db2.gz RXFWSPLWATTXRJ-CQSZACIVSA-N 1 2 307.357 1.924 20 30 DDEDLO C=C[C@@H](C(=O)NC[C@H]1CC[N@H+]1CC#CCOC)c1ccccc1 ZINC001269349548 841551323 /nfs/dbraw/zinc/55/13/23/841551323.db2.gz DFGORNGDRDELOV-QZTJIDSGSA-N 1 2 312.413 1.797 20 30 DDEDLO C=C[C@@H](C(=O)NC[C@H]1CC[N@@H+]1CC#CCOC)c1ccccc1 ZINC001269349548 841551326 /nfs/dbraw/zinc/55/13/26/841551326.db2.gz DFGORNGDRDELOV-QZTJIDSGSA-N 1 2 312.413 1.797 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@H+]2[C@H]1CCNC1=O ZINC001269670971 841918516 /nfs/dbraw/zinc/91/85/16/841918516.db2.gz PRCDSDRGXWLFPD-XHSDSOJGSA-N 1 2 317.433 1.134 20 30 DDEDLO CC#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)[N@@H+]2[C@H]1CCNC1=O ZINC001269670971 841918526 /nfs/dbraw/zinc/91/85/26/841918526.db2.gz PRCDSDRGXWLFPD-XHSDSOJGSA-N 1 2 317.433 1.134 20 30 DDEDLO CCn1nncc1C[N@@H+]1CC[C@@](C)(NC(=O)C#CC(C)(C)C)C1 ZINC001270584190 842689287 /nfs/dbraw/zinc/68/92/87/842689287.db2.gz SHUDOUBSEIHHBW-QGZVFWFLSA-N 1 2 317.437 1.428 20 30 DDEDLO CCn1nncc1C[N@H+]1CC[C@@](C)(NC(=O)C#CC(C)(C)C)C1 ZINC001270584190 842689295 /nfs/dbraw/zinc/68/92/95/842689295.db2.gz SHUDOUBSEIHHBW-QGZVFWFLSA-N 1 2 317.437 1.428 20 30 DDEDLO C=C1CC(C(=O)N2CC3(C2)CC[N@@H+](Cc2nccn2CC)C3)C1 ZINC001270591846 842697222 /nfs/dbraw/zinc/69/72/22/842697222.db2.gz LAUVQZDAZHSOLU-UHFFFAOYSA-N 1 2 314.433 1.904 20 30 DDEDLO C=C1CC(C(=O)N2CC3(C2)CC[N@H+](Cc2nccn2CC)C3)C1 ZINC001270591846 842697223 /nfs/dbraw/zinc/69/72/23/842697223.db2.gz LAUVQZDAZHSOLU-UHFFFAOYSA-N 1 2 314.433 1.904 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncncc3Br)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226569625 843015497 /nfs/dbraw/zinc/01/54/97/843015497.db2.gz ZMLPFHOMTPSHEN-FRQULTQSSA-N 1 2 312.167 1.230 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncncc3Br)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226569625 843015506 /nfs/dbraw/zinc/01/55/06/843015506.db2.gz ZMLPFHOMTPSHEN-FRQULTQSSA-N 1 2 312.167 1.230 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC2(C[C@@H]2C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001271212533 843417070 /nfs/dbraw/zinc/41/70/70/843417070.db2.gz UBDYEMHWUDKKKD-NWDGAFQWSA-N 1 2 323.356 1.281 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H](CNC(=O)C(N)=O)c1ccccc1CC ZINC001271448024 843608930 /nfs/dbraw/zinc/60/89/30/843608930.db2.gz UMPZXBKCKRWWBT-ZDUSSCGKSA-N 1 2 309.797 1.234 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)CCCc1ccsc1 ZINC001326644201 861505653 /nfs/dbraw/zinc/50/56/53/861505653.db2.gz SCDZENABFRCAPA-MRXNPFEDSA-N 1 2 320.458 1.911 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)CCCc1ccsc1 ZINC001326644201 861505655 /nfs/dbraw/zinc/50/56/55/861505655.db2.gz SCDZENABFRCAPA-MRXNPFEDSA-N 1 2 320.458 1.911 20 30 DDEDLO C=CCCCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncccn1 ZINC001326658588 861520531 /nfs/dbraw/zinc/52/05/31/861520531.db2.gz MTYLLJSFWISTKD-OAHLLOKOSA-N 1 2 318.421 1.654 20 30 DDEDLO C=CCCCCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncccn1 ZINC001326658588 861520540 /nfs/dbraw/zinc/52/05/40/861520540.db2.gz MTYLLJSFWISTKD-OAHLLOKOSA-N 1 2 318.421 1.654 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H](C)[N@H+](C)Cc1nc(-c2ccoc2)no1 ZINC001409506389 845209571 /nfs/dbraw/zinc/20/95/71/845209571.db2.gz KBOTXNPYLZBLBS-GHMZBOCLSA-N 1 2 317.349 1.426 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H](C)[N@@H+](C)Cc1nc(-c2ccoc2)no1 ZINC001409506389 845209581 /nfs/dbraw/zinc/20/95/81/845209581.db2.gz KBOTXNPYLZBLBS-GHMZBOCLSA-N 1 2 317.349 1.426 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2csnn2)CCOCC1 ZINC001149318090 861543237 /nfs/dbraw/zinc/54/32/37/861543237.db2.gz REFAWBXMUDJEHW-UHFFFAOYSA-N 1 2 310.423 1.117 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2ccc(F)cn2)CCCO1 ZINC001149340500 861554820 /nfs/dbraw/zinc/55/48/20/861554820.db2.gz HUAQAVBZUYAILO-MRXNPFEDSA-N 1 2 321.396 1.894 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(F)cn2)CCCO1 ZINC001149340500 861554826 /nfs/dbraw/zinc/55/48/26/861554826.db2.gz HUAQAVBZUYAILO-MRXNPFEDSA-N 1 2 321.396 1.894 20 30 DDEDLO CCc1nnc(C[N@@H+]2CCCCC[C@H]2CNC(=O)[C@H](C)C#N)o1 ZINC001409872930 845969757 /nfs/dbraw/zinc/96/97/57/845969757.db2.gz WJOKCIHNKKHDAZ-OLZOCXBDSA-N 1 2 319.409 1.652 20 30 DDEDLO CCc1nnc(C[N@H+]2CCCCC[C@H]2CNC(=O)[C@H](C)C#N)o1 ZINC001409872930 845969758 /nfs/dbraw/zinc/96/97/58/845969758.db2.gz WJOKCIHNKKHDAZ-OLZOCXBDSA-N 1 2 319.409 1.652 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1cc(O)ccc1O)C2 ZINC001272387344 846092962 /nfs/dbraw/zinc/09/29/62/846092962.db2.gz XJTWOHWPTFBFKN-UHFFFAOYSA-N 1 2 318.373 1.087 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H](O)C[NH2+][C@H](C)c2csnn2)CC1 ZINC001272511463 846249712 /nfs/dbraw/zinc/24/97/12/846249712.db2.gz BTAVEBMFGJMLJN-DGCLKSJQSA-N 1 2 324.450 1.412 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1nccn1C ZINC001272685899 846617458 /nfs/dbraw/zinc/61/74/58/846617458.db2.gz ZOJXXKWVMHAGIR-QGZVFWFLSA-N 1 2 302.422 1.953 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1nccn1C ZINC001272685899 846617462 /nfs/dbraw/zinc/61/74/62/846617462.db2.gz ZOJXXKWVMHAGIR-QGZVFWFLSA-N 1 2 302.422 1.953 20 30 DDEDLO C=CCCC(=O)NCc1cn(C2C[NH+](CCOC(C)C)C2)nn1 ZINC001107138619 846799230 /nfs/dbraw/zinc/79/92/30/846799230.db2.gz HSRQTAMOCQLKQB-UHFFFAOYSA-N 1 2 321.425 1.142 20 30 DDEDLO Cc1ncccc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C#N)[nH]1 ZINC001032362532 847011503 /nfs/dbraw/zinc/01/15/03/847011503.db2.gz FRCBNGHECKYKDA-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1ncccc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C#N)[nH]1 ZINC001032362532 847011506 /nfs/dbraw/zinc/01/15/06/847011506.db2.gz FRCBNGHECKYKDA-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1nsc(NC[C@@H](C)NC(=O)Cn2cc[nH+]c2)c1C#N ZINC001107812190 847175757 /nfs/dbraw/zinc/17/57/57/847175757.db2.gz DTNSSKBRPZYYAC-SECBINFHSA-N 1 2 304.379 1.137 20 30 DDEDLO C#CCN1CC[C@@]2(CC[N@@H+](Cc3ncc(Cl)s3)C2)C1=O ZINC001272866902 847568368 /nfs/dbraw/zinc/56/83/68/847568368.db2.gz ADRYUGVHXUUMMR-CQSZACIVSA-N 1 2 309.822 1.854 20 30 DDEDLO C#CCN1CC[C@@]2(CC[N@H+](Cc3ncc(Cl)s3)C2)C1=O ZINC001272866902 847568371 /nfs/dbraw/zinc/56/83/71/847568371.db2.gz ADRYUGVHXUUMMR-CQSZACIVSA-N 1 2 309.822 1.854 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)CCCC(F)(F)F ZINC001272893032 847601403 /nfs/dbraw/zinc/60/14/03/847601403.db2.gz IDWPYZHADCLICD-GFCCVEGCSA-N 1 2 306.328 1.559 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)CCCC(F)(F)F ZINC001272893032 847601411 /nfs/dbraw/zinc/60/14/11/847601411.db2.gz IDWPYZHADCLICD-GFCCVEGCSA-N 1 2 306.328 1.559 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3c(F)cccc3F)C2)OCC1=O ZINC001272974169 847727599 /nfs/dbraw/zinc/72/75/99/847727599.db2.gz MIRNGVJHEAKINL-KRWDZBQOSA-N 1 2 322.355 1.954 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3c(F)cccc3F)C2)OCC1=O ZINC001272974169 847727607 /nfs/dbraw/zinc/72/76/07/847727607.db2.gz MIRNGVJHEAKINL-KRWDZBQOSA-N 1 2 322.355 1.954 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)oc1C ZINC001152370521 863308694 /nfs/dbraw/zinc/30/86/94/863308694.db2.gz JWHUPJSFLWFGQC-GFCCVEGCSA-N 1 2 309.410 1.821 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)oc1C ZINC001152370521 863308703 /nfs/dbraw/zinc/30/87/03/863308703.db2.gz JWHUPJSFLWFGQC-GFCCVEGCSA-N 1 2 309.410 1.821 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)c(C)o2)[C@@H](O)C1 ZINC001090198528 848175419 /nfs/dbraw/zinc/17/54/19/848175419.db2.gz YNZANVKAHIBJAF-OLZOCXBDSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)c(C)o2)[C@@H](O)C1 ZINC001090198528 848175427 /nfs/dbraw/zinc/17/54/27/848175427.db2.gz YNZANVKAHIBJAF-OLZOCXBDSA-N 1 2 312.797 1.814 20 30 DDEDLO Cc1nocc1C[N@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230814926 849102545 /nfs/dbraw/zinc/10/25/45/849102545.db2.gz IOGXIFOJKMPVQX-ZDUSSCGKSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1nocc1C[N@@H+]1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230814926 849102547 /nfs/dbraw/zinc/10/25/47/849102547.db2.gz IOGXIFOJKMPVQX-ZDUSSCGKSA-N 1 2 308.407 1.273 20 30 DDEDLO C=CCc1cccc(C[NH+]2CC3(C2)CN(C)C(=O)CO3)c1O ZINC001273360517 849669750 /nfs/dbraw/zinc/66/97/50/849669750.db2.gz PTCBSPCKCMLMMP-UHFFFAOYSA-N 1 2 302.374 1.164 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCOC2(C[NH+](C[C@H](C)OC)C2)C1 ZINC001327320150 862059426 /nfs/dbraw/zinc/05/94/26/862059426.db2.gz QRDGPMIXPGYBKI-HNNXBMFYSA-N 1 2 322.449 1.703 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2COC3(C[NH+](CCOC(C)C)C3)C2)C1 ZINC001327408618 862148239 /nfs/dbraw/zinc/14/82/39/862148239.db2.gz UTTBDZOVBRPAQU-OAHLLOKOSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C(C)(F)F)CC1)CO2 ZINC001327410444 862150610 /nfs/dbraw/zinc/15/06/10/862150610.db2.gz AOWQAZPRWNOCSJ-GFCCVEGCSA-N 1 2 314.376 1.957 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[NH2+]Cc1nc(CCOC)no1 ZINC001134410519 850669629 /nfs/dbraw/zinc/66/96/29/850669629.db2.gz VWEQZMZTWOBAGV-UHFFFAOYSA-N 1 2 310.398 1.067 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](Cc3cccc(C)c3O)C[C@@]2(F)C1=O ZINC001273771012 851325331 /nfs/dbraw/zinc/32/53/31/851325331.db2.gz ZCEMMHNBFDSRQS-DLBZAZTESA-N 1 2 322.355 1.961 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](Cc3cccc(C)c3O)C[C@@]2(F)C1=O ZINC001273771012 851325346 /nfs/dbraw/zinc/32/53/46/851325346.db2.gz ZCEMMHNBFDSRQS-DLBZAZTESA-N 1 2 322.355 1.961 20 30 DDEDLO N#CCCCC[NH+]1CC2(C1)CN(CC1CCCC1)C(=O)CO2 ZINC001274046056 851887133 /nfs/dbraw/zinc/88/71/33/851887133.db2.gz RAYNKQYHIUMHCG-UHFFFAOYSA-N 1 2 305.422 1.784 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1ncc(C)cn1 ZINC001274442644 852270184 /nfs/dbraw/zinc/27/01/84/852270184.db2.gz WTDANMXYYPGVRG-QGZVFWFLSA-N 1 2 300.406 1.928 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1ncc(C)cn1 ZINC001274442644 852270197 /nfs/dbraw/zinc/27/01/97/852270197.db2.gz WTDANMXYYPGVRG-QGZVFWFLSA-N 1 2 300.406 1.928 20 30 DDEDLO C=C(C)CCC(=O)N1CCN(C2C[NH+](C[C@H](F)CC)C2)CC1 ZINC001274474407 852309511 /nfs/dbraw/zinc/30/95/11/852309511.db2.gz MGUZVKLUYZDUEK-OAHLLOKOSA-N 1 2 311.445 1.919 20 30 DDEDLO C#CCN1CC[C@]2(CCCN2c2nc(N(C)CC)cc[nH+]2)C1=O ZINC001274735645 852564460 /nfs/dbraw/zinc/56/44/60/852564460.db2.gz DMCAVYZOEGNQRP-QGZVFWFLSA-N 1 2 313.405 1.137 20 30 DDEDLO CC(C)C#CC(=O)N1CC(N(C)C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001299383930 852597222 /nfs/dbraw/zinc/59/72/22/852597222.db2.gz QEGDRDWMOXHUHG-UHFFFAOYSA-N 1 2 316.405 1.016 20 30 DDEDLO N#Cc1cc(C(=O)NC2CC[NH+]([C@H]3CCOC3=O)CC2)cs1 ZINC001411945950 854018201 /nfs/dbraw/zinc/01/82/01/854018201.db2.gz DWXNSCAKMMGECV-ZDUSSCGKSA-N 1 2 319.386 1.129 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2ccc3ncccc3c2)C1 ZINC001276083905 854726442 /nfs/dbraw/zinc/72/64/42/854726442.db2.gz ZWYXRHWBDCONNA-UHFFFAOYSA-N 1 2 323.396 1.425 20 30 DDEDLO Cc1nc(NC[C@H]2CN(C(=O)C#CC(C)C)C[C@@H]2C)cc[nH+]1 ZINC001111245736 855216868 /nfs/dbraw/zinc/21/68/68/855216868.db2.gz NUVBLYHYEHNUGR-ZFWWWQNUSA-N 1 2 300.406 1.951 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@H]1NC(=O)CCCn1cc[nH+]c1 ZINC001328113252 862701438 /nfs/dbraw/zinc/70/14/38/862701438.db2.gz MJYQNOPQOPZMRC-CQSZACIVSA-N 1 2 303.410 1.404 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[N@H+](C/C(Cl)=C\Cl)C2 ZINC001072553403 857439620 /nfs/dbraw/zinc/43/96/20/857439620.db2.gz YYNQASWLDFEMFS-CGGZTBSLSA-N 1 2 302.205 1.999 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](C/C(Cl)=C\Cl)C2 ZINC001072553403 857439624 /nfs/dbraw/zinc/43/96/24/857439624.db2.gz YYNQASWLDFEMFS-CGGZTBSLSA-N 1 2 302.205 1.999 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072633266 857536420 /nfs/dbraw/zinc/53/64/20/857536420.db2.gz RUPJIDOLCSOFOR-UONOGXRCSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC001073564254 858443364 /nfs/dbraw/zinc/44/33/64/858443364.db2.gz VDEXRMPXCGQPQC-KBXIAJHMSA-N 1 2 312.841 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC001073564254 858443371 /nfs/dbraw/zinc/44/33/71/858443371.db2.gz VDEXRMPXCGQPQC-KBXIAJHMSA-N 1 2 312.841 1.992 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H](O)CN(C)c1cc[nH+]c(C)n1 ZINC001125215319 859922150 /nfs/dbraw/zinc/92/21/50/859922150.db2.gz CHHWYZIHGUQEPJ-CQSZACIVSA-N 1 2 320.437 1.643 20 30 DDEDLO CN1CC[N@H+](Cc2ccc(-c3cc(C#N)ccn3)cc2)CC1=O ZINC001139400416 860388603 /nfs/dbraw/zinc/38/86/03/860388603.db2.gz RMVBRCCHYKLTLD-UHFFFAOYSA-N 1 2 306.369 1.894 20 30 DDEDLO CN1CC[N@@H+](Cc2ccc(-c3cc(C#N)ccn3)cc2)CC1=O ZINC001139400416 860388616 /nfs/dbraw/zinc/38/86/16/860388616.db2.gz RMVBRCCHYKLTLD-UHFFFAOYSA-N 1 2 306.369 1.894 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(F)cc(C#N)c2)C1 ZINC001139878497 860506718 /nfs/dbraw/zinc/50/67/18/860506718.db2.gz MSYBYAIJCSOYEE-OAHLLOKOSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(F)cc(C#N)c2)C1 ZINC001139878497 860506724 /nfs/dbraw/zinc/50/67/24/860506724.db2.gz MSYBYAIJCSOYEE-OAHLLOKOSA-N 1 2 304.369 1.933 20 30 DDEDLO N#Cc1ccc(CN2CCc3onc(Cn4cc[nH+]c4)c3C2)cn1 ZINC001140541282 860652969 /nfs/dbraw/zinc/65/29/69/860652969.db2.gz CDIMIIQXQWIMBW-UHFFFAOYSA-N 1 2 320.356 1.744 20 30 DDEDLO COC(=O)n1ncc(C#N)c1NC(=O)c1cccc2[nH+]ccn21 ZINC001154526688 861174998 /nfs/dbraw/zinc/17/49/98/861174998.db2.gz ZXLQVMXFFIRFEJ-UHFFFAOYSA-N 1 2 310.273 1.269 20 30 DDEDLO C=C[C@H](C(=O)NCC[NH2+]Cc1cnsn1)c1ccccc1 ZINC001151990230 863096317 /nfs/dbraw/zinc/09/63/17/863096317.db2.gz DNXHOABEYXTURU-AWEZNQCLSA-N 1 2 302.403 1.714 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cn(CC)nn1 ZINC001328707541 863161254 /nfs/dbraw/zinc/16/12/54/863161254.db2.gz QQEDDAVBPGAOMY-HNNXBMFYSA-N 1 2 305.426 1.687 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1cn(CC)nn1 ZINC001328707541 863161267 /nfs/dbraw/zinc/16/12/67/863161267.db2.gz QQEDDAVBPGAOMY-HNNXBMFYSA-N 1 2 305.426 1.687 20 30 DDEDLO CC[N@H+](Cc1nccn1C)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152775738 863532523 /nfs/dbraw/zinc/53/25/23/863532523.db2.gz WIGBUTMLHPXPLK-GFCCVEGCSA-N 1 2 314.393 1.260 20 30 DDEDLO CC[N@@H+](Cc1nccn1C)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152775738 863532528 /nfs/dbraw/zinc/53/25/28/863532528.db2.gz WIGBUTMLHPXPLK-GFCCVEGCSA-N 1 2 314.393 1.260 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1cc(C)on1 ZINC001157213527 863656435 /nfs/dbraw/zinc/65/64/35/863656435.db2.gz MIWQXIIOGYPNIA-OCCSQVGLSA-N 1 2 309.410 1.901 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1cc(C)on1 ZINC001157213527 863656439 /nfs/dbraw/zinc/65/64/39/863656439.db2.gz MIWQXIIOGYPNIA-OCCSQVGLSA-N 1 2 309.410 1.901 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2Cc3c(cccc3OC)C2=O)C1 ZINC001329568156 863732986 /nfs/dbraw/zinc/73/29/86/863732986.db2.gz LWQCBTFSKPYSPD-CYBMUJFWSA-N 1 2 302.374 1.885 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2Cc3c(cccc3OC)C2=O)C1 ZINC001329568156 863732991 /nfs/dbraw/zinc/73/29/91/863732991.db2.gz LWQCBTFSKPYSPD-CYBMUJFWSA-N 1 2 302.374 1.885 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc2c(o1)CCCC2 ZINC001153149023 863733306 /nfs/dbraw/zinc/73/33/06/863733306.db2.gz QIQXOWFDNHMXBP-LBPRGKRZSA-N 1 2 307.394 1.350 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)Cc2cccc(C)c2)C1 ZINC001329692604 863794134 /nfs/dbraw/zinc/79/41/34/863794134.db2.gz HCXHEBIWXALUKU-UHFFFAOYSA-N 1 2 300.402 1.114 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2cccn2C(C)C)C1 ZINC001329718524 863808157 /nfs/dbraw/zinc/80/81/57/863808157.db2.gz WYTUJTVQEAKFDB-UHFFFAOYSA-N 1 2 305.422 1.812 20 30 DDEDLO CC[N@H+](CC#CCOC)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001153464555 863900086 /nfs/dbraw/zinc/90/00/86/863900086.db2.gz RHMXTJITCGTWJY-MNOVXSKESA-N 1 2 312.307 1.363 20 30 DDEDLO CC[N@@H+](CC#CCOC)[C@H](C)CNC(=O)C(F)C(F)(F)F ZINC001153464555 863900093 /nfs/dbraw/zinc/90/00/93/863900093.db2.gz RHMXTJITCGTWJY-MNOVXSKESA-N 1 2 312.307 1.363 20 30 DDEDLO CC[N@H+](CC#CCOC)[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001153464555 863900102 /nfs/dbraw/zinc/90/01/02/863900102.db2.gz RHMXTJITCGTWJY-MNOVXSKESA-N 1 2 312.307 1.363 20 30 DDEDLO CC[N@@H+](CC#CCOC)[C@H](C)CNC(=O)[C@H](F)C(F)(F)F ZINC001153464555 863900110 /nfs/dbraw/zinc/90/01/10/863900110.db2.gz RHMXTJITCGTWJY-MNOVXSKESA-N 1 2 312.307 1.363 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@@H+](Cc2nocc2C)CC1(C)C ZINC001330201718 864150363 /nfs/dbraw/zinc/15/03/63/864150363.db2.gz FYEDNORFFNCDAC-OAHLLOKOSA-N 1 2 319.405 1.350 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1C[N@H+](Cc2nocc2C)CC1(C)C ZINC001330201718 864150372 /nfs/dbraw/zinc/15/03/72/864150372.db2.gz FYEDNORFFNCDAC-OAHLLOKOSA-N 1 2 319.405 1.350 20 30 DDEDLO C#CCOCC[NH+]1CCC(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC001330730268 864557318 /nfs/dbraw/zinc/55/73/18/864557318.db2.gz CJOZWKBEJSKTIE-UHFFFAOYSA-N 1 2 321.442 1.883 20 30 DDEDLO C=CC[C@H]1CCN(C(=O)C(=O)NCc2c[nH+]c(C)cc2C)C1 ZINC001330779203 864597499 /nfs/dbraw/zinc/59/74/99/864597499.db2.gz NHJOVVNGAWNKPF-AWEZNQCLSA-N 1 2 301.390 1.739 20 30 DDEDLO C=CCn1cc(C[N@@H+]2CC[C@H]3C[C@]32C(=O)N2CCCCO2)cn1 ZINC001277036384 881793265 /nfs/dbraw/zinc/79/32/65/881793265.db2.gz YMRQFQBBIWHNPV-DOTOQJQBSA-N 1 2 316.405 1.588 20 30 DDEDLO C=CCn1cc(C[N@H+]2CC[C@H]3C[C@]32C(=O)N2CCCCO2)cn1 ZINC001277036384 881793283 /nfs/dbraw/zinc/79/32/83/881793283.db2.gz YMRQFQBBIWHNPV-DOTOQJQBSA-N 1 2 316.405 1.588 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1([NH2+]Cc2ncc(C3CC3)o2)CC1 ZINC001277049627 881872532 /nfs/dbraw/zinc/87/25/32/881872532.db2.gz QGJXNMDRSBGRDN-INIZCTEOSA-N 1 2 319.405 1.618 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@H+](Cc2cnns2)CC1 ZINC001159964729 865618684 /nfs/dbraw/zinc/61/86/84/865618684.db2.gz XMWUWHJAPOWLEY-UHFFFAOYSA-N 1 2 318.446 1.980 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@@H+](Cc2cnns2)CC1 ZINC001159964729 865618688 /nfs/dbraw/zinc/61/86/88/865618688.db2.gz XMWUWHJAPOWLEY-UHFFFAOYSA-N 1 2 318.446 1.980 20 30 DDEDLO N#CCN[C@@H]1CCC[C@H]2CN(C(=O)CCCn3cc[nH+]c3)C[C@H]21 ZINC001332160254 865623273 /nfs/dbraw/zinc/62/32/73/865623273.db2.gz RAGZLEGMROXSIT-ARFHVFGLSA-N 1 2 315.421 1.404 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2cc(F)c[nH]2)[C@H]1C ZINC001332187759 865651097 /nfs/dbraw/zinc/65/10/97/865651097.db2.gz XZTMBBYVRJMYEG-YPMHNXCESA-N 1 2 322.384 1.039 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2cc(F)c[nH]2)[C@H]1C ZINC001332187759 865651098 /nfs/dbraw/zinc/65/10/98/865651098.db2.gz XZTMBBYVRJMYEG-YPMHNXCESA-N 1 2 322.384 1.039 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCCC3=CCN(CC#N)CC3)ccn12 ZINC001160126221 865687074 /nfs/dbraw/zinc/68/70/74/865687074.db2.gz XAPMEPZANXRWPY-UHFFFAOYSA-N 1 2 323.400 1.918 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H](O)C[N@H+](C)Cc1cc(C)on1 ZINC001332631603 865995572 /nfs/dbraw/zinc/99/55/72/865995572.db2.gz JEJJTCUNHPPUSV-OAHLLOKOSA-N 1 2 309.410 1.590 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1cc(C)on1 ZINC001332631603 865995580 /nfs/dbraw/zinc/99/55/80/865995580.db2.gz JEJJTCUNHPPUSV-OAHLLOKOSA-N 1 2 309.410 1.590 20 30 DDEDLO C#CCN(C(=O)C(=O)NCc1ccccc1-n1cc[nH+]c1)C(C)C ZINC001332636961 866005410 /nfs/dbraw/zinc/00/54/10/866005410.db2.gz DFLMXTFAZDPRMM-UHFFFAOYSA-N 1 2 324.384 1.359 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001225631946 881948358 /nfs/dbraw/zinc/94/83/58/881948358.db2.gz TWLIPYLDYJCANM-GFCCVEGCSA-N 1 2 322.409 1.297 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC001323102739 866341049 /nfs/dbraw/zinc/34/10/49/866341049.db2.gz WSQDXZCHIXYNAW-GOEBONIOSA-N 1 2 315.417 1.760 20 30 DDEDLO C=CC[C@H](CC)NC(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC001323102739 866341064 /nfs/dbraw/zinc/34/10/64/866341064.db2.gz WSQDXZCHIXYNAW-GOEBONIOSA-N 1 2 315.417 1.760 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CC[N@H+]1Cc1c(C)noc1CC ZINC001323231915 866455899 /nfs/dbraw/zinc/45/58/99/866455899.db2.gz MFDLDLWIMUTQSO-SUMWQHHRSA-N 1 2 321.421 1.563 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CC[N@@H+]1Cc1c(C)noc1CC ZINC001323231915 866455901 /nfs/dbraw/zinc/45/59/01/866455901.db2.gz MFDLDLWIMUTQSO-SUMWQHHRSA-N 1 2 321.421 1.563 20 30 DDEDLO Cc1cc(N2CCN(C(=O)[C@@H]3C[C@@H]3C#N)CC2)nc(C(C)C)[nH+]1 ZINC001361605519 881989548 /nfs/dbraw/zinc/98/95/48/881989548.db2.gz WBMINGVNJKEYPP-ZIAGYGMSSA-N 1 2 313.405 1.717 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C1C[NH+](CCOCC2CCC2)C1 ZINC001323920820 866948723 /nfs/dbraw/zinc/94/87/23/866948723.db2.gz GSQGGIWXTJZLID-QGZVFWFLSA-N 1 2 310.438 1.273 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C1C[NH+](Cc2ccc(CO)cc2)C1 ZINC001323921968 866950882 /nfs/dbraw/zinc/95/08/82/866950882.db2.gz PQLXTWVOPAFAQF-GOSISDBHSA-N 1 2 318.417 1.149 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001333916646 867152924 /nfs/dbraw/zinc/15/29/24/867152924.db2.gz OIFXKLOWTDMSME-OLZOCXBDSA-N 1 2 306.410 1.495 20 30 DDEDLO CO[C@H](C)c1nc(C[NH2+]C/C=C/CNC(=O)[C@@H](C)C#N)cs1 ZINC001320998901 867190460 /nfs/dbraw/zinc/19/04/60/867190460.db2.gz QSCWDHGIRDDJFA-RUULKOMLSA-N 1 2 322.434 1.772 20 30 DDEDLO C#CCN(C(=O)[C@H](C)CC)C1CC[NH+](Cc2cn(C)nn2)CC1 ZINC001324362980 867227723 /nfs/dbraw/zinc/22/77/23/867227723.db2.gz WHSIJIVFYQZEMP-CQSZACIVSA-N 1 2 317.437 1.287 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cn(C)nn1 ZINC001324527979 867334476 /nfs/dbraw/zinc/33/44/76/867334476.db2.gz NNLCWNMBUIRIQV-CVEARBPZSA-N 1 2 315.421 1.184 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1cn(C)nn1 ZINC001324527979 867334488 /nfs/dbraw/zinc/33/44/88/867334488.db2.gz NNLCWNMBUIRIQV-CVEARBPZSA-N 1 2 315.421 1.184 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001334348596 867505479 /nfs/dbraw/zinc/50/54/79/867505479.db2.gz LZIMHDUWEOKIFQ-CYBMUJFWSA-N 1 2 320.437 1.908 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001334348596 867505499 /nfs/dbraw/zinc/50/54/99/867505499.db2.gz LZIMHDUWEOKIFQ-CYBMUJFWSA-N 1 2 320.437 1.908 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC001334474499 867601466 /nfs/dbraw/zinc/60/14/66/867601466.db2.gz BNUNMGMPDTYKDT-AWEZNQCLSA-N 1 2 318.421 1.499 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)N(C)C(=O)CCc1c[nH+]c[nH]1 ZINC001334474499 867601479 /nfs/dbraw/zinc/60/14/79/867601479.db2.gz BNUNMGMPDTYKDT-AWEZNQCLSA-N 1 2 318.421 1.499 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cnc2n1CCOC2 ZINC001381536402 882107939 /nfs/dbraw/zinc/10/79/39/882107939.db2.gz YOKSGLFVTOVYPF-LLVKDONJSA-N 1 2 312.801 1.216 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cnc2n1CCOC2 ZINC001381536402 882107943 /nfs/dbraw/zinc/10/79/43/882107943.db2.gz YOKSGLFVTOVYPF-LLVKDONJSA-N 1 2 312.801 1.216 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H](C)C[NH2+][C@@H](C)c2csnn2)nc1 ZINC001321713123 867751846 /nfs/dbraw/zinc/75/18/46/867751846.db2.gz MFTZLYSTIZSLPO-MNOVXSKESA-N 1 2 315.402 1.384 20 30 DDEDLO C#CCN(C(=O)C(=O)N1CCn2c[nH+]cc2C1)C1CCCCC1 ZINC001325177899 867842931 /nfs/dbraw/zinc/84/29/31/867842931.db2.gz VAGALAFYORHZQX-UHFFFAOYSA-N 1 2 314.389 1.020 20 30 DDEDLO Cc1noc([C@@H](C)[NH+]2CCC(NC(=O)CSCC#N)CC2)n1 ZINC001226152513 882234997 /nfs/dbraw/zinc/23/49/97/882234997.db2.gz IARULGQCRRYQGO-SNVBAGLBSA-N 1 2 323.422 1.276 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001164223492 869034526 /nfs/dbraw/zinc/03/45/26/869034526.db2.gz SZONERXECJILCG-QWHCGFSZSA-N 1 2 324.425 1.313 20 30 DDEDLO CC#CCCCC(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001164244907 869053546 /nfs/dbraw/zinc/05/35/46/869053546.db2.gz RPFLYPDXCDPYRL-UHFFFAOYSA-N 1 2 322.409 1.048 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2c(F)c(F)c(C#N)c(F)c2F)C[NH2+]1 ZINC001164309014 869112696 /nfs/dbraw/zinc/11/26/96/869112696.db2.gz YLEZALGVMDEBHZ-FSPLSTOPSA-N 1 2 317.242 1.430 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)c1ccc2ncnc(Cl)c2n1 ZINC001164652198 869341643 /nfs/dbraw/zinc/34/16/43/869341643.db2.gz PIPZLPXPDLESIY-MRVPVSSYSA-N 1 2 324.722 1.525 20 30 DDEDLO C=C1CCC(C(=O)N(C)C[C@H](C)NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001337438948 869488107 /nfs/dbraw/zinc/48/81/07/869488107.db2.gz VYDTUFFUJHXYDW-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO N#Cc1ccnc(N2CCc3onc(Cn4cc[nH+]c4)c3C2)c1F ZINC001165549624 869638276 /nfs/dbraw/zinc/63/82/76/869638276.db2.gz UIVNLDJXTBXUJD-UHFFFAOYSA-N 1 2 324.319 1.888 20 30 DDEDLO CCOC(=O)c1ccc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)[nH]1 ZINC001338290543 869905933 /nfs/dbraw/zinc/90/59/33/869905933.db2.gz WWULNKQGZCAAQF-STQMWFEESA-N 1 2 304.394 1.610 20 30 DDEDLO C=CCN1CC[NH+](CCNC(=O)Cc2cccc(CC)c2)CC1 ZINC001316965084 870006282 /nfs/dbraw/zinc/00/62/82/870006282.db2.gz PUAHOKAKOSWASZ-UHFFFAOYSA-N 1 2 315.461 1.711 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)[C@@H](C)COC ZINC001317047455 870163314 /nfs/dbraw/zinc/16/33/14/870163314.db2.gz VBASWAJCSSLCNA-VVLHAWIVSA-N 1 2 322.449 1.230 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)[C@@H](C)COC ZINC001317047455 870163319 /nfs/dbraw/zinc/16/33/19/870163319.db2.gz VBASWAJCSSLCNA-VVLHAWIVSA-N 1 2 322.449 1.230 20 30 DDEDLO CC(C)C#CC(=O)NC1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ncnn1C ZINC001317096583 870239337 /nfs/dbraw/zinc/23/93/37/870239337.db2.gz ZPKUJRDBNKEXIZ-GOOCMWNKSA-N 1 2 315.421 1.086 20 30 DDEDLO CC(C)C#CC(=O)NC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ncnn1C ZINC001317096583 870239350 /nfs/dbraw/zinc/23/93/50/870239350.db2.gz ZPKUJRDBNKEXIZ-GOOCMWNKSA-N 1 2 315.421 1.086 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCCO[C@H](C)C1 ZINC001339090861 870339979 /nfs/dbraw/zinc/33/99/79/870339979.db2.gz HJMIMJBZNCMCGM-CYBMUJFWSA-N 1 2 316.409 1.404 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CCC[N@@H+](Cc2nc(C)no2)C1 ZINC001317138947 870345028 /nfs/dbraw/zinc/34/50/28/870345028.db2.gz WXEHSRSILTVEPT-AWEZNQCLSA-N 1 2 322.409 1.299 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CCC[N@H+](Cc2nc(C)no2)C1 ZINC001317138947 870345040 /nfs/dbraw/zinc/34/50/40/870345040.db2.gz WXEHSRSILTVEPT-AWEZNQCLSA-N 1 2 322.409 1.299 20 30 DDEDLO C=CCn1c(N2CC[C@H](CF)C2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001339195582 870398990 /nfs/dbraw/zinc/39/89/90/870398990.db2.gz HNADKXHNTAULRU-WWGRRREGSA-N 1 2 323.416 1.172 20 30 DDEDLO C=CCn1c(N2CC[C@H](CF)C2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001339195582 870399006 /nfs/dbraw/zinc/39/90/06/870399006.db2.gz HNADKXHNTAULRU-WWGRRREGSA-N 1 2 323.416 1.172 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](NC(=O)Cn2cc[nH+]c2)CC[C@H]1C ZINC001339696095 870639087 /nfs/dbraw/zinc/63/90/87/870639087.db2.gz NAIOVGBJWGPIBC-CABCVRRESA-N 1 2 316.405 1.182 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)CC[C@H]1C ZINC001339698838 870640381 /nfs/dbraw/zinc/64/03/81/870640381.db2.gz HVHXNNBKWOIFNL-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)NC(=O)c1cccc2[nH+]ccn21 ZINC001298824160 870743146 /nfs/dbraw/zinc/74/31/46/870743146.db2.gz RVYIEMIUVQMXNQ-ZDUSSCGKSA-N 1 2 312.373 1.372 20 30 DDEDLO C=C(C)CN(CC)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1C ZINC001340103188 870895223 /nfs/dbraw/zinc/89/52/23/870895223.db2.gz REWZJMFHOVPQNU-CQSZACIVSA-N 1 2 307.442 1.999 20 30 DDEDLO C=C(C)CN(CC)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1C ZINC001340103188 870895229 /nfs/dbraw/zinc/89/52/29/870895229.db2.gz REWZJMFHOVPQNU-CQSZACIVSA-N 1 2 307.442 1.999 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C)[C@@H](F)C1 ZINC001340257996 870991571 /nfs/dbraw/zinc/99/15/71/870991571.db2.gz DHOQAXQBHALCPJ-RFGFWPKPSA-N 1 2 323.416 1.386 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C)[C@@H](F)C1 ZINC001340257996 870991578 /nfs/dbraw/zinc/99/15/78/870991578.db2.gz DHOQAXQBHALCPJ-RFGFWPKPSA-N 1 2 323.416 1.386 20 30 DDEDLO CON=Cc1ccc(C(=O)N2CCc3cc[nH+]c(N)c3C2)cc1 ZINC001302095531 871041512 /nfs/dbraw/zinc/04/15/12/871041512.db2.gz WHEWRHFANBPFEI-UHFFFAOYSA-N 1 2 310.357 1.843 20 30 DDEDLO C#CC1CCN(c2nnc(Cc3[nH+]ccn3C)n2CC=C)CC1 ZINC001340734020 871315419 /nfs/dbraw/zinc/31/54/19/871315419.db2.gz XZWGLOUZKQJSHM-UHFFFAOYSA-N 1 2 310.405 1.638 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[N@H+](C)Cc1cccc(F)c1F ZINC001317447820 871336930 /nfs/dbraw/zinc/33/69/30/871336930.db2.gz HYEUXVWHZXBUMR-GFCCVEGCSA-N 1 2 310.344 1.551 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[N@@H+](C)Cc1cccc(F)c1F ZINC001317447820 871336950 /nfs/dbraw/zinc/33/69/50/871336950.db2.gz HYEUXVWHZXBUMR-GFCCVEGCSA-N 1 2 310.344 1.551 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)C(C)(C)F)C1 ZINC001205072854 871352008 /nfs/dbraw/zinc/35/20/08/871352008.db2.gz JMCCHTWGNRQPDC-HZPDHXFCSA-N 1 2 318.392 1.442 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)C(C)(C)F)C1 ZINC001205072854 871352025 /nfs/dbraw/zinc/35/20/25/871352025.db2.gz JMCCHTWGNRQPDC-HZPDHXFCSA-N 1 2 318.392 1.442 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@H+](Cc1nccn1C)CC2 ZINC001205126802 871380006 /nfs/dbraw/zinc/38/00/06/871380006.db2.gz NFSRFMOUDJYXCX-UHFFFAOYSA-N 1 2 301.394 1.415 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@@H+](Cc1nccn1C)CC2 ZINC001205126802 871380023 /nfs/dbraw/zinc/38/00/23/871380023.db2.gz NFSRFMOUDJYXCX-UHFFFAOYSA-N 1 2 301.394 1.415 20 30 DDEDLO C=C(C)CN(CC)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC ZINC001340882794 871408144 /nfs/dbraw/zinc/40/81/44/871408144.db2.gz CAMHVYCVMQRAFU-CJNGLKHVSA-N 1 2 307.442 1.612 20 30 DDEDLO C=C(C)CN(CC)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC ZINC001340882794 871408155 /nfs/dbraw/zinc/40/81/55/871408155.db2.gz CAMHVYCVMQRAFU-CJNGLKHVSA-N 1 2 307.442 1.612 20 30 DDEDLO CC(C)C[C@H](C(=O)N1CCC(CNCC#N)CC1)n1cc[nH+]c1 ZINC001205500328 871588736 /nfs/dbraw/zinc/58/87/36/871588736.db2.gz QSGJJIXOUIVGKJ-MRXNPFEDSA-N 1 2 317.437 1.822 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C[C@H](C)CC(C)C)C1 ZINC001317949458 871643688 /nfs/dbraw/zinc/64/36/88/871643688.db2.gz JJSDOQITNIXJQY-HZPDHXFCSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C[C@H](C)CC(C)C)C1 ZINC001317949458 871643696 /nfs/dbraw/zinc/64/36/96/871643696.db2.gz JJSDOQITNIXJQY-HZPDHXFCSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CC(CNC(=O)CC(C)C)C1 ZINC001318096406 871742993 /nfs/dbraw/zinc/74/29/93/871742993.db2.gz LMVACZGCOUUIAV-UHFFFAOYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1C[NH+](Cc2cc(F)ccc2F)C1 ZINC001318117119 871756198 /nfs/dbraw/zinc/75/61/98/871756198.db2.gz AKQDZINLZVDZHA-KRWDZBQOSA-N 1 2 324.371 1.840 20 30 DDEDLO CC(C)C#CC(=O)NCC1C[NH+](CC(=O)N2CCCCCC2)C1 ZINC001318118736 871758598 /nfs/dbraw/zinc/75/85/98/871758598.db2.gz AOXVFXYWRCDMRG-UHFFFAOYSA-N 1 2 319.449 1.096 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1NC(=O)CCC1CCCC1 ZINC001205815665 871828244 /nfs/dbraw/zinc/82/82/44/871828244.db2.gz PPBQSEKLRYSGJR-UKRRQHHQSA-N 1 2 320.437 1.033 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1NC(=O)CCC1CCCC1 ZINC001205815665 871828263 /nfs/dbraw/zinc/82/82/63/871828263.db2.gz PPBQSEKLRYSGJR-UKRRQHHQSA-N 1 2 320.437 1.033 20 30 DDEDLO Cc1noc([C@H](C)[NH+]2CCC(N(C)C(=O)C#CC3CC3)CC2)n1 ZINC001316930881 872402932 /nfs/dbraw/zinc/40/29/32/872402932.db2.gz LVXIWEAVJUMJRA-LBPRGKRZSA-N 1 2 316.405 1.775 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](F)Cc2ccccc2)C1 ZINC001319333735 872584938 /nfs/dbraw/zinc/58/49/38/872584938.db2.gz VBDNCANOGGBPDM-IRXDYDNUSA-N 1 2 320.408 1.960 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H](F)Cc2ccccc2)C1 ZINC001319333735 872584948 /nfs/dbraw/zinc/58/49/48/872584948.db2.gz VBDNCANOGGBPDM-IRXDYDNUSA-N 1 2 320.408 1.960 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(C)(C)O)n2CC(C)C)CC1 ZINC001343451235 872629399 /nfs/dbraw/zinc/62/93/99/872629399.db2.gz KUPTVSYJIAHRFD-UHFFFAOYSA-N 1 2 319.453 1.003 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)sn1 ZINC001206938063 872805433 /nfs/dbraw/zinc/80/54/33/872805433.db2.gz FNICQCPKYCNIJC-TZMCWYRMSA-N 1 2 321.446 1.748 20 30 DDEDLO COc1cc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)sn1 ZINC001206938063 872805449 /nfs/dbraw/zinc/80/54/49/872805449.db2.gz FNICQCPKYCNIJC-TZMCWYRMSA-N 1 2 321.446 1.748 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001345962533 873572988 /nfs/dbraw/zinc/57/29/88/873572988.db2.gz WRHVXSYOIPYHDB-XJKSGUPXSA-N 1 2 305.426 1.364 20 30 DDEDLO C=C(C)Cn1c(N(C)C2CC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001345962533 873572992 /nfs/dbraw/zinc/57/29/92/873572992.db2.gz WRHVXSYOIPYHDB-XJKSGUPXSA-N 1 2 305.426 1.364 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001207996078 873726852 /nfs/dbraw/zinc/72/68/52/873726852.db2.gz YPHIAZSEGNVBSB-AWEZNQCLSA-N 1 2 316.405 1.687 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)C(C)(C)N1CCOCC1 ZINC001378170344 874324064 /nfs/dbraw/zinc/32/40/64/874324064.db2.gz SIWYNAYHMUMPEY-ZDUSSCGKSA-N 1 2 317.861 1.286 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)C(C)(C)N1CCOCC1 ZINC001378170344 874324078 /nfs/dbraw/zinc/32/40/78/874324078.db2.gz SIWYNAYHMUMPEY-ZDUSSCGKSA-N 1 2 317.861 1.286 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1C[C@@H]1CCC=CO1 ZINC001208876492 874498791 /nfs/dbraw/zinc/49/87/91/874498791.db2.gz IMCAGRSGQGWDNH-ZFWWWQNUSA-N 1 2 304.394 1.610 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1C[C@@H]1CCC=CO1 ZINC001208876492 874498799 /nfs/dbraw/zinc/49/87/99/874498799.db2.gz IMCAGRSGQGWDNH-ZFWWWQNUSA-N 1 2 304.394 1.610 20 30 DDEDLO C#CCCCC(=O)NC1CC[NH+]([C@H](C)c2ncccn2)CC1 ZINC001227107279 882837293 /nfs/dbraw/zinc/83/72/93/882837293.db2.gz AYMBPYRPMPWDQA-CQSZACIVSA-N 1 2 300.406 1.922 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)[C@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001348454046 874569698 /nfs/dbraw/zinc/56/96/98/874569698.db2.gz KUOYURZWKUHFCD-IAGOWNOFSA-N 1 2 317.433 1.879 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)[C@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001348454046 874569704 /nfs/dbraw/zinc/56/97/04/874569704.db2.gz KUOYURZWKUHFCD-IAGOWNOFSA-N 1 2 317.433 1.879 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001348992148 874911437 /nfs/dbraw/zinc/91/14/37/874911437.db2.gz UKGUPBSLNULIEO-ZDUSSCGKSA-N 1 2 318.421 1.280 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)COc2cc(C)on2)C1 ZINC001378536570 875078603 /nfs/dbraw/zinc/07/86/03/875078603.db2.gz UNKNFFXQHZMPBG-NSHDSACASA-N 1 2 313.785 1.551 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001350523279 875743486 /nfs/dbraw/zinc/74/34/86/875743486.db2.gz ZWRLTLBPFXCNGV-CYBMUJFWSA-N 1 2 318.421 1.282 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2ncc(C)o2)CC1 ZINC001227299358 882960559 /nfs/dbraw/zinc/96/05/59/882960559.db2.gz QGGRYTDBSRWOOH-ZDUSSCGKSA-N 1 2 305.378 1.102 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1OC ZINC001213319943 875896034 /nfs/dbraw/zinc/89/60/34/875896034.db2.gz HOXCKRJRKPSNPH-ARFHVFGLSA-N 1 2 308.422 1.054 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1OC ZINC001213319943 875896043 /nfs/dbraw/zinc/89/60/43/875896043.db2.gz HOXCKRJRKPSNPH-ARFHVFGLSA-N 1 2 308.422 1.054 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(CCCCC)n[nH]2)[C@H](OC)C1 ZINC001213569652 875980506 /nfs/dbraw/zinc/98/05/06/875980506.db2.gz TXTMAODHJJKOCI-HZPDHXFCSA-N 1 2 318.421 1.205 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(CCCCC)n[nH]2)[C@H](OC)C1 ZINC001213569652 875980511 /nfs/dbraw/zinc/98/05/11/875980511.db2.gz TXTMAODHJJKOCI-HZPDHXFCSA-N 1 2 318.421 1.205 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](Cc1[nH+]ccn1C)c1ccccc1 ZINC001351044059 876033550 /nfs/dbraw/zinc/03/35/50/876033550.db2.gz CMOSHZGNRLUZTB-HOCLYGCPSA-N 1 2 311.385 1.858 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)Nc2cccc([S@@](C)=O)c2)C1 ZINC001351271159 876156581 /nfs/dbraw/zinc/15/65/81/876156581.db2.gz BHXLVZBLGLPTFX-JLCFBVMHSA-N 1 2 319.430 1.643 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)Nc2cccc([S@@](C)=O)c2)C1 ZINC001351271159 876156585 /nfs/dbraw/zinc/15/65/85/876156585.db2.gz BHXLVZBLGLPTFX-JLCFBVMHSA-N 1 2 319.430 1.643 20 30 DDEDLO CCC(C)(C)CC(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001218014125 877369176 /nfs/dbraw/zinc/36/91/76/877369176.db2.gz QQLSDDZZBMZUOB-JKSUJKDBSA-N 1 2 322.449 1.374 20 30 DDEDLO CCC(C)(C)CC(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001218014125 877369196 /nfs/dbraw/zinc/36/91/96/877369196.db2.gz QQLSDDZZBMZUOB-JKSUJKDBSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C)CC(C)(C)C)[C@H]2C1 ZINC001218672383 877656076 /nfs/dbraw/zinc/65/60/76/877656076.db2.gz LMAYNOKFGRZIDZ-OWCLPIDISA-N 1 2 306.450 1.994 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@@H](C)CC(C)(C)C)[C@H]2C1 ZINC001218672383 877656083 /nfs/dbraw/zinc/65/60/83/877656083.db2.gz LMAYNOKFGRZIDZ-OWCLPIDISA-N 1 2 306.450 1.994 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCC3(C)CC3)[C@H]2C1 ZINC001218899794 877793770 /nfs/dbraw/zinc/79/37/70/877793770.db2.gz VAJLVBJJUUWFFU-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2OCCN(C(=O)CCC3(C)CC3)[C@H]2C1 ZINC001218899794 877793783 /nfs/dbraw/zinc/79/37/83/877793783.db2.gz VAJLVBJJUUWFFU-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO CC(C)CCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219170105 877977895 /nfs/dbraw/zinc/97/78/95/877977895.db2.gz QPMXCIVLWQQEMV-SJORKVTESA-N 1 2 315.417 1.656 20 30 DDEDLO CC(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219170105 877977900 /nfs/dbraw/zinc/97/79/00/877977900.db2.gz QPMXCIVLWQQEMV-SJORKVTESA-N 1 2 315.417 1.656 20 30 DDEDLO CC[C@@H](C)CCCCC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@@H]1O ZINC001219695092 878458002 /nfs/dbraw/zinc/45/80/02/878458002.db2.gz LMMMEQINRCFDGL-ZACQAIPSSA-N 1 2 324.465 1.404 20 30 DDEDLO CC[C@@H](C)CCCCC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@@H]1O ZINC001219695092 878458014 /nfs/dbraw/zinc/45/80/14/878458014.db2.gz LMMMEQINRCFDGL-ZACQAIPSSA-N 1 2 324.465 1.404 20 30 DDEDLO COCc1cccc(C[NH+]2CCN(C(=O)[C@H](C)C#N)CC2)c1 ZINC001374262656 912358485 /nfs/dbraw/zinc/35/84/85/912358485.db2.gz GKOKXUGJGJFUQW-CQSZACIVSA-N 1 2 301.390 1.637 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220203940 878817424 /nfs/dbraw/zinc/81/74/24/878817424.db2.gz VNZOLNQMCZLFNN-YUELXQCFSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220203940 878817418 /nfs/dbraw/zinc/81/74/18/878817418.db2.gz VNZOLNQMCZLFNN-YUELXQCFSA-N 1 2 323.462 1.715 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)CCCC)[C@@H]2C1 ZINC001221521458 879915252 /nfs/dbraw/zinc/91/52/52/879915252.db2.gz OLWAERHDCBNVJS-BZUAXINKSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)CCCC)[C@@H]2C1 ZINC001221521458 879915266 /nfs/dbraw/zinc/91/52/66/879915266.db2.gz OLWAERHDCBNVJS-BZUAXINKSA-N 1 2 319.449 1.095 20 30 DDEDLO Cc1n[nH]c(C)c1C[NH+]1CC2(CCN2Cc2cc(C#N)n(C)c2)C1 ZINC001276823370 879935027 /nfs/dbraw/zinc/93/50/27/879935027.db2.gz UXZJRVPTGLDSRP-UHFFFAOYSA-N 1 2 324.432 1.697 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)C[C@H]2CCOC2)C1 ZINC001380732725 880299731 /nfs/dbraw/zinc/29/97/31/880299731.db2.gz XNUAJLXAHAJFPY-UKRRQHHQSA-N 1 2 316.829 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)C[C@H]2CCOC2)C1 ZINC001380732725 880299744 /nfs/dbraw/zinc/29/97/44/880299744.db2.gz XNUAJLXAHAJFPY-UKRRQHHQSA-N 1 2 316.829 1.109 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nonc3C)[C@H]2C1 ZINC001222402264 880441778 /nfs/dbraw/zinc/44/17/78/880441778.db2.gz SRGSPEIVENBUHR-HIFRSBDPSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@H+](Cc3nonc3C)[C@H]2C1 ZINC001222402264 880441787 /nfs/dbraw/zinc/44/17/87/880441787.db2.gz SRGSPEIVENBUHR-HIFRSBDPSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCC[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001358555051 880527944 /nfs/dbraw/zinc/52/79/44/880527944.db2.gz SFVYYOADAFKQHE-ZFWWWQNUSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1CCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001358637331 880661765 /nfs/dbraw/zinc/66/17/65/880661765.db2.gz RHHZIPCQLFKPDY-CXAGYDPISA-N 1 2 318.421 1.662 20 30 DDEDLO O=C(C#CC1CC1)NCC1CC[NH+](Cc2cnns2)CC1 ZINC001223135417 880848688 /nfs/dbraw/zinc/84/86/88/880848688.db2.gz YPXLVMJHERWDOY-UHFFFAOYSA-N 1 2 304.419 1.280 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(OC)cc1 ZINC001276964272 881331959 /nfs/dbraw/zinc/33/19/59/881331959.db2.gz ROGNHNWZDOOIDK-OAHLLOKOSA-N 1 2 302.374 1.149 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(OC)cc1 ZINC001276964272 881331973 /nfs/dbraw/zinc/33/19/73/881331973.db2.gz ROGNHNWZDOOIDK-OAHLLOKOSA-N 1 2 302.374 1.149 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H](C)NC(=O)c1cccc2[nH+]ccn21 ZINC001382196995 883618119 /nfs/dbraw/zinc/61/81/19/883618119.db2.gz JFLUSHXWNNVTEE-RYUDHWBXSA-N 1 2 313.361 1.071 20 30 DDEDLO Cc1ncc(C[NH+]2CC3(C[C@@H]3C(=O)NC3(C#N)CCC3)C2)cn1 ZINC001277365097 883872468 /nfs/dbraw/zinc/87/24/68/883872468.db2.gz QDSKVOJZTTTWOJ-CQSZACIVSA-N 1 2 311.389 1.169 20 30 DDEDLO C#CCCCC(=O)N[C@H](CNC(=O)Cc1[nH]cc[nH+]1)C(C)(C)C ZINC001288204311 912778495 /nfs/dbraw/zinc/77/84/95/912778495.db2.gz NWNMJUHVFJMRRA-CYBMUJFWSA-N 1 2 318.421 1.403 20 30 DDEDLO CCN(CC)c1nc(C)cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)n1 ZINC001229537310 884036057 /nfs/dbraw/zinc/03/60/57/884036057.db2.gz CCCXTKMUTWXZMJ-NQPNPBMCSA-N 1 2 318.421 1.622 20 30 DDEDLO CCN(CC)c1nc(C)cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)n1 ZINC001229537310 884036070 /nfs/dbraw/zinc/03/60/70/884036070.db2.gz CCCXTKMUTWXZMJ-NQPNPBMCSA-N 1 2 318.421 1.622 20 30 DDEDLO CCC(C#N)(CC)C(=O)NC1CC[NH+]([C@@H]2CCOC2=O)CC1 ZINC001362680544 884402977 /nfs/dbraw/zinc/40/29/77/884402977.db2.gz GMAINPHGVVZNLG-CYBMUJFWSA-N 1 2 307.394 1.212 20 30 DDEDLO CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(CC)CCC#N ZINC001230613675 884654644 /nfs/dbraw/zinc/65/46/44/884654644.db2.gz DMHAWEPEROLIOC-CQSZACIVSA-N 1 2 308.426 1.081 20 30 DDEDLO CCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(CC)CCC#N ZINC001230613675 884654648 /nfs/dbraw/zinc/65/46/48/884654648.db2.gz DMHAWEPEROLIOC-CQSZACIVSA-N 1 2 308.426 1.081 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@H](C)C(C)C ZINC001231113547 885242948 /nfs/dbraw/zinc/24/29/48/885242948.db2.gz IJVOYBQSQQGVCC-LSDHHAIUSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@H](C)C(C)C ZINC001231113547 885242962 /nfs/dbraw/zinc/24/29/62/885242962.db2.gz IJVOYBQSQQGVCC-LSDHHAIUSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCCCC ZINC001231122658 885258437 /nfs/dbraw/zinc/25/84/37/885258437.db2.gz MOONJXHYTAPOAT-CABCVRRESA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCCCC ZINC001231122658 885258458 /nfs/dbraw/zinc/25/84/58/885258458.db2.gz MOONJXHYTAPOAT-CABCVRRESA-N 1 2 309.454 1.790 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)C1CCOCC1 ZINC001231124532 885262018 /nfs/dbraw/zinc/26/20/18/885262018.db2.gz SOZOGAVBSIMZPO-UHFFFAOYSA-N 1 2 319.243 1.760 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)C1CCOCC1 ZINC001231124532 885262035 /nfs/dbraw/zinc/26/20/35/885262035.db2.gz SOZOGAVBSIMZPO-UHFFFAOYSA-N 1 2 319.243 1.760 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)[C@@H](C)C#N)ccn1 ZINC001231245866 885423203 /nfs/dbraw/zinc/42/32/03/885423203.db2.gz BOLXWUBBZBWAFF-JSGCOSHPSA-N 1 2 302.378 1.283 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)[C@@H](C)C#N)ccn1 ZINC001231245866 885423212 /nfs/dbraw/zinc/42/32/12/885423212.db2.gz BOLXWUBBZBWAFF-JSGCOSHPSA-N 1 2 302.378 1.283 20 30 DDEDLO NC(=O)[C@H]1C[N@H+](Cc2ccccc2C#Cc2ccccc2)CCO1 ZINC001231578870 885745062 /nfs/dbraw/zinc/74/50/62/885745062.db2.gz TZTGGPFUYBRFPR-LJQANCHMSA-N 1 2 320.392 1.773 20 30 DDEDLO NC(=O)[C@H]1C[N@@H+](Cc2ccccc2C#Cc2ccccc2)CCO1 ZINC001231578870 885745075 /nfs/dbraw/zinc/74/50/75/885745075.db2.gz TZTGGPFUYBRFPR-LJQANCHMSA-N 1 2 320.392 1.773 20 30 DDEDLO CC(C)C[C@H]1C(=O)NCC[N@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001363229679 885770558 /nfs/dbraw/zinc/77/05/58/885770558.db2.gz GHYPUFNVIAPOCT-JKSUJKDBSA-N 1 2 301.390 1.438 20 30 DDEDLO CC(C)C[C@H]1C(=O)NCC[N@@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001363229679 885770563 /nfs/dbraw/zinc/77/05/63/885770563.db2.gz GHYPUFNVIAPOCT-JKSUJKDBSA-N 1 2 301.390 1.438 20 30 DDEDLO Cc1ncncc1CN1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001277607617 886068434 /nfs/dbraw/zinc/06/84/34/886068434.db2.gz SBBSUGHHURXIPI-UHFFFAOYSA-N 1 2 322.416 1.313 20 30 DDEDLO COc1ncnc2c1CC[N@H+](Cc1cc(C#N)ccc1O)CC2 ZINC001232677954 886532625 /nfs/dbraw/zinc/53/26/25/886532625.db2.gz SGEFGGIIORPTQG-UHFFFAOYSA-N 1 2 310.357 1.663 20 30 DDEDLO COc1ncnc2c1CC[N@@H+](Cc1cc(C#N)ccc1O)CC2 ZINC001232677954 886532634 /nfs/dbraw/zinc/53/26/34/886532634.db2.gz SGEFGGIIORPTQG-UHFFFAOYSA-N 1 2 310.357 1.663 20 30 DDEDLO CC(C)(C)OC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3[nH]ccc3C#N)C[C@H]21 ZINC001233044230 886752612 /nfs/dbraw/zinc/75/26/12/886752612.db2.gz QVGMRQQKFMFNDG-IMRBUKKESA-N 1 2 302.378 1.841 20 30 DDEDLO CC(C)(C)OC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3[nH]ccc3C#N)C[C@H]21 ZINC001233044230 886752621 /nfs/dbraw/zinc/75/26/21/886752621.db2.gz QVGMRQQKFMFNDG-IMRBUKKESA-N 1 2 302.378 1.841 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001233490999 887032860 /nfs/dbraw/zinc/03/28/60/887032860.db2.gz UQQCAOBFXJNNPF-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001233490999 887032875 /nfs/dbraw/zinc/03/28/75/887032875.db2.gz UQQCAOBFXJNNPF-OAHLLOKOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CN(C)C(=O)C(F)=C(C)C)C1=O ZINC001233653409 887191284 /nfs/dbraw/zinc/19/12/84/887191284.db2.gz LFAAIJCFGKGVMR-UONOGXRCSA-N 1 2 323.412 1.569 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C(F)=C(C)C)C1=O ZINC001233653409 887191288 /nfs/dbraw/zinc/19/12/88/887191288.db2.gz LFAAIJCFGKGVMR-UONOGXRCSA-N 1 2 323.412 1.569 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001233762041 887294519 /nfs/dbraw/zinc/29/45/19/887294519.db2.gz PPIQBUVFFUHJAV-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001233762041 887294532 /nfs/dbraw/zinc/29/45/32/887294532.db2.gz PPIQBUVFFUHJAV-INIZCTEOSA-N 1 2 319.449 1.335 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnc(C)nc1 ZINC001233976824 887514252 /nfs/dbraw/zinc/51/42/52/887514252.db2.gz IQWBIPIUDVOPPW-RDJZCZTQSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnc(C)nc1 ZINC001233976824 887514265 /nfs/dbraw/zinc/51/42/65/887514265.db2.gz IQWBIPIUDVOPPW-RDJZCZTQSA-N 1 2 318.421 1.145 20 30 DDEDLO COC(=O)c1cc(C)nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1 ZINC001234004255 887547295 /nfs/dbraw/zinc/54/72/95/887547295.db2.gz IBPRWVFMOWUGNI-KYFMZXIUSA-N 1 2 304.346 1.168 20 30 DDEDLO COC(=O)c1cc(C)nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1 ZINC001234004255 887547303 /nfs/dbraw/zinc/54/73/03/887547303.db2.gz IBPRWVFMOWUGNI-KYFMZXIUSA-N 1 2 304.346 1.168 20 30 DDEDLO CN(CCNC(=O)CCCn1cc[nH+]c1)c1ccncc1C#N ZINC001396102655 913160705 /nfs/dbraw/zinc/16/07/05/913160705.db2.gz YBFODWNTOZKTAB-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO C[C@H](C(=O)N(C)C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214549 887752205 /nfs/dbraw/zinc/75/22/05/887752205.db2.gz CKPGNCJKSJRSDF-KGLIPLIRSA-N 1 2 307.438 1.045 20 30 DDEDLO C[C@H](C(=O)N(C)C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214549 887752209 /nfs/dbraw/zinc/75/22/09/887752209.db2.gz CKPGNCJKSJRSDF-KGLIPLIRSA-N 1 2 307.438 1.045 20 30 DDEDLO CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)(C)C)C1=O ZINC001234216226 887757743 /nfs/dbraw/zinc/75/77/43/887757743.db2.gz VQISNLOCDUNXAX-GJZGRUSLSA-N 1 2 319.449 1.189 20 30 DDEDLO CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)(C)C)C1=O ZINC001234216226 887757756 /nfs/dbraw/zinc/75/77/56/887757756.db2.gz VQISNLOCDUNXAX-GJZGRUSLSA-N 1 2 319.449 1.189 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@]1(C)CC=CCC1 ZINC001234527923 888057754 /nfs/dbraw/zinc/05/77/54/888057754.db2.gz PSYWLTWKYWXGJX-WMZOPIPTSA-N 1 2 304.434 1.915 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@]1(C)CC=CCC1 ZINC001234527923 888057761 /nfs/dbraw/zinc/05/77/61/888057761.db2.gz PSYWLTWKYWXGJX-WMZOPIPTSA-N 1 2 304.434 1.915 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N(C)C(C)C ZINC001234537841 888067655 /nfs/dbraw/zinc/06/76/55/888067655.db2.gz RRSDPGCCWGMWFF-OAHLLOKOSA-N 1 2 323.481 1.988 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N(C)C(C)C ZINC001234537841 888067665 /nfs/dbraw/zinc/06/76/65/888067665.db2.gz RRSDPGCCWGMWFF-OAHLLOKOSA-N 1 2 323.481 1.988 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234544756 888078386 /nfs/dbraw/zinc/07/83/86/888078386.db2.gz CUEPROAGYZFXDD-HUUCEWRRSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)CC ZINC001234544756 888078402 /nfs/dbraw/zinc/07/84/02/888078402.db2.gz CUEPROAGYZFXDD-HUUCEWRRSA-N 1 2 321.465 1.483 20 30 DDEDLO C[C@@H]1C(=O)N(C)[C@H](C)[C@H](C)[N@@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001364437645 888636236 /nfs/dbraw/zinc/63/62/36/888636236.db2.gz IMZAXHBMSRLOPA-OQMKEHIESA-N 1 2 301.390 1.531 20 30 DDEDLO C[C@@H]1C(=O)N(C)[C@H](C)[C@H](C)[N@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001364437645 888636243 /nfs/dbraw/zinc/63/62/43/888636243.db2.gz IMZAXHBMSRLOPA-OQMKEHIESA-N 1 2 301.390 1.531 20 30 DDEDLO CCOC[C@@H]1C[N@H+](Cc2ccnc(C#N)c2)Cc2ncn(C)c21 ZINC001237465900 889649733 /nfs/dbraw/zinc/64/97/33/889649733.db2.gz ZSOTZWVFOUBDNP-AWEZNQCLSA-N 1 2 311.389 1.823 20 30 DDEDLO CCOC[C@@H]1C[N@@H+](Cc2ccnc(C#N)c2)Cc2ncn(C)c21 ZINC001237465900 889649743 /nfs/dbraw/zinc/64/97/43/889649743.db2.gz ZSOTZWVFOUBDNP-AWEZNQCLSA-N 1 2 311.389 1.823 20 30 DDEDLO COCCN1CC[NH+](Cc2cn(C)c3ccc(C#N)cc23)CC1 ZINC001237468759 889651499 /nfs/dbraw/zinc/65/14/99/889651499.db2.gz OYHIVEMRVXBOHT-UHFFFAOYSA-N 1 2 312.417 1.814 20 30 DDEDLO N#Cc1ccc2nc(C[N@H+]3C[C@H](CO)[C@@H](C(F)(F)F)C3)cn2c1 ZINC001237608391 889750656 /nfs/dbraw/zinc/75/06/56/889750656.db2.gz GHFQRPNSBRWPHR-YPMHNXCESA-N 1 2 324.306 1.809 20 30 DDEDLO N#Cc1ccc2nc(C[N@@H+]3C[C@H](CO)[C@@H](C(F)(F)F)C3)cn2c1 ZINC001237608391 889750665 /nfs/dbraw/zinc/75/06/65/889750665.db2.gz GHFQRPNSBRWPHR-YPMHNXCESA-N 1 2 324.306 1.809 20 30 DDEDLO CC[C@@H](C)C[N@@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278133908 889816007 /nfs/dbraw/zinc/81/60/07/889816007.db2.gz GXUAEAHXVYTRRU-GFCCVEGCSA-N 1 2 315.421 1.800 20 30 DDEDLO CC[C@@H](C)C[N@H+]1CCc2[nH]nc(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278133908 889816018 /nfs/dbraw/zinc/81/60/18/889816018.db2.gz GXUAEAHXVYTRRU-GFCCVEGCSA-N 1 2 315.421 1.800 20 30 DDEDLO Cc1ccc(C)c(NS(=O)(=O)CC2CC[NH2+]CC2)c1C#N ZINC001237933180 889947062 /nfs/dbraw/zinc/94/70/62/889947062.db2.gz MFAZHKSSNFGZQE-UHFFFAOYSA-N 1 2 307.419 1.916 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2c(C)cc(F)cc2C#N)c[nH+]1 ZINC001365468460 890853301 /nfs/dbraw/zinc/85/33/01/890853301.db2.gz MCVFOFKEZAHODJ-UHFFFAOYSA-N 1 2 322.365 1.770 20 30 DDEDLO N#Cc1ncc(-c2ccc(OCC[NH+]3CCOCC3)cc2)cn1 ZINC001240450028 890933301 /nfs/dbraw/zinc/93/33/01/890933301.db2.gz QHGSENZVTAMZTA-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]([NH2+]Cc2nc(CCOC)no2)C[C@@H]1C ZINC001278355861 891558162 /nfs/dbraw/zinc/55/81/62/891558162.db2.gz NMIAOIWGVREAQE-STQMWFEESA-N 1 2 322.409 1.304 20 30 DDEDLO C#CCCCC(=O)N1CCN(C(=O)c2cccc3[nH+]ccn32)CC1 ZINC001292401666 913660464 /nfs/dbraw/zinc/66/04/64/913660464.db2.gz TXZPEQJXASVOAR-UHFFFAOYSA-N 1 2 324.384 1.422 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2ccn(C)n2)[C@H]1C ZINC001278504290 893605577 /nfs/dbraw/zinc/60/55/77/893605577.db2.gz CLODMTDZLOSXAA-GOEBONIOSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccn(C)n2)[C@H]1C ZINC001278504290 893605589 /nfs/dbraw/zinc/60/55/89/893605589.db2.gz CLODMTDZLOSXAA-GOEBONIOSA-N 1 2 302.422 1.693 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCN(C)c1nc(C)ccc1C#N ZINC001387818316 893941263 /nfs/dbraw/zinc/94/12/63/893941263.db2.gz XQZWAZQCQSNYEB-UHFFFAOYSA-N 1 2 312.377 1.088 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1cncc2[nH]cnc21)C1CC1 ZINC001366745189 894792933 /nfs/dbraw/zinc/79/29/33/894792933.db2.gz LFDBBKBYCWXIQJ-UHFFFAOYSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1cncc2[nH]cnc21)C1CC1 ZINC001366745189 894792941 /nfs/dbraw/zinc/79/29/41/894792941.db2.gz LFDBBKBYCWXIQJ-UHFFFAOYSA-N 1 2 319.796 1.905 20 30 DDEDLO C#CCOC[C@H](O)C[NH+](Cc1ccccn1)Cc1ccccn1 ZINC001251821649 894825708 /nfs/dbraw/zinc/82/57/08/894825708.db2.gz UQFVWBHKSISYIC-GOSISDBHSA-N 1 2 311.385 1.490 20 30 DDEDLO C#CCOC[C@H](O)C[N@@H+]1Cc2cccc(Br)c2C1 ZINC001251848296 894837828 /nfs/dbraw/zinc/83/78/28/894837828.db2.gz YKVNRAOSTPXFKB-GFCCVEGCSA-N 1 2 310.191 1.775 20 30 DDEDLO C#CCOC[C@H](O)C[N@H+]1Cc2cccc(Br)c2C1 ZINC001251848296 894837845 /nfs/dbraw/zinc/83/78/45/894837845.db2.gz YKVNRAOSTPXFKB-GFCCVEGCSA-N 1 2 310.191 1.775 20 30 DDEDLO CCOC[C@@H]1C[N@@H+]([C@H]2CC[C@@H](C#N)C2)Cc2nnn(CC)c21 ZINC001254665073 896562838 /nfs/dbraw/zinc/56/28/38/896562838.db2.gz YKJJMBRSBISYSJ-RDBSUJKOSA-N 1 2 303.410 1.926 20 30 DDEDLO CCOC[C@@H]1C[N@H+]([C@H]2CC[C@@H](C#N)C2)Cc2nnn(CC)c21 ZINC001254665073 896562843 /nfs/dbraw/zinc/56/28/43/896562843.db2.gz YKJJMBRSBISYSJ-RDBSUJKOSA-N 1 2 303.410 1.926 20 30 DDEDLO CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@H](N1CC[NH2+]C[C@H]1C#N)C2 ZINC001255236242 896803116 /nfs/dbraw/zinc/80/31/16/896803116.db2.gz GYXVVPRBKLUFJR-LXTVHRRPSA-N 1 2 320.437 1.714 20 30 DDEDLO O=C(C#CC1CC1)NCC1(O)C[NH+](CC/C=C/c2ccccc2)C1 ZINC001278862990 896879099 /nfs/dbraw/zinc/87/90/99/896879099.db2.gz GPAPZQLTZIZTIK-XBXARRHUSA-N 1 2 324.424 1.666 20 30 DDEDLO CCOC(=O)N1CC[C@@H]([NH+]2CCC(C#N)(C(=O)OCC)CC2)C1 ZINC001256045729 897244424 /nfs/dbraw/zinc/24/44/24/897244424.db2.gz NZQFWNFQPHWDTK-CYBMUJFWSA-N 1 2 323.393 1.386 20 30 DDEDLO C=CCOC(=O)C[C@H]([NH2+]C1CCC(C#N)CC1)C(=O)OCC=C ZINC001256959736 897644319 /nfs/dbraw/zinc/64/43/19/897644319.db2.gz RXASBRWTKHIQDY-NRXISQOPSA-N 1 2 320.389 1.875 20 30 DDEDLO C[C@H]1C[C@H](N2CC[NH2+]C[C@@H]2C#N)CCN1C(=O)OC(C)(C)C ZINC001257651063 897901869 /nfs/dbraw/zinc/90/18/69/897901869.db2.gz WMKKIMTXYWDFFU-MJBXVCDLSA-N 1 2 308.426 1.572 20 30 DDEDLO COCC[N@H+](CCNC(=O)C#CC1CC1)Cc1c(C)noc1C ZINC001279043973 897945836 /nfs/dbraw/zinc/94/58/36/897945836.db2.gz DJNYMLWDLRVEHM-UHFFFAOYSA-N 1 2 319.405 1.269 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C#CC1CC1)Cc1c(C)noc1C ZINC001279043973 897945838 /nfs/dbraw/zinc/94/58/38/897945838.db2.gz DJNYMLWDLRVEHM-UHFFFAOYSA-N 1 2 319.405 1.269 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)NC2CN(c3cccc[nH+]3)C2)c1 ZINC001259128263 898485941 /nfs/dbraw/zinc/48/59/41/898485941.db2.gz MOKGDNUDXMZGLX-UHFFFAOYSA-N 1 2 314.370 1.120 20 30 DDEDLO C=C(C)CCC(=O)NC[C@]1(C)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001299622635 898821051 /nfs/dbraw/zinc/82/10/51/898821051.db2.gz SOHGYFPXKNYMOG-KRWDZBQOSA-N 1 2 318.421 1.594 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]1(C)CC[N@H+](CC(=O)Nc2ccccc2)C1 ZINC001368159224 898852009 /nfs/dbraw/zinc/85/20/09/898852009.db2.gz YTERDEIRWGMPKE-CXAGYDPISA-N 1 2 314.389 1.365 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@]1(C)CC[N@@H+](CC(=O)Nc2ccccc2)C1 ZINC001368159224 898852015 /nfs/dbraw/zinc/85/20/15/898852015.db2.gz YTERDEIRWGMPKE-CXAGYDPISA-N 1 2 314.389 1.365 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2[C@@H](CC)C(N)=O)CCCC1 ZINC001263823063 900738107 /nfs/dbraw/zinc/73/81/07/900738107.db2.gz GZZMKNRQUQESNQ-GJZGRUSLSA-N 1 2 321.465 1.920 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@@H](CC)C(N)=O)CCCC1 ZINC001263823063 900738112 /nfs/dbraw/zinc/73/81/12/900738112.db2.gz GZZMKNRQUQESNQ-GJZGRUSLSA-N 1 2 321.465 1.920 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)C[C@H](C)OC)C2 ZINC001264188665 901007317 /nfs/dbraw/zinc/00/73/17/901007317.db2.gz QGUCRBYXYBGUJN-NSHDSACASA-N 1 2 307.419 1.176 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1CCN(CC#N)CC(C)(C)C1 ZINC001264754155 901335349 /nfs/dbraw/zinc/33/53/49/901335349.db2.gz XDLAOJDZLJJRFA-KBPBESRZSA-N 1 2 317.437 1.719 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1CCN(CC#N)CC(C)(C)C1 ZINC001264754155 901335354 /nfs/dbraw/zinc/33/53/54/901335354.db2.gz XDLAOJDZLJJRFA-KBPBESRZSA-N 1 2 317.437 1.719 20 30 DDEDLO CC(C)c1nc(C[NH2+]C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C)no1 ZINC001369543682 901497610 /nfs/dbraw/zinc/49/76/10/901497610.db2.gz KPVGJGUDXKNNAV-NWDGAFQWSA-N 1 2 321.425 1.973 20 30 DDEDLO CC[N@H+](CCCNC(=O)C#CC(C)C)Cc1nnc(C(C)C)[nH]1 ZINC001265113429 901579067 /nfs/dbraw/zinc/57/90/67/901579067.db2.gz MYMYEQIPNIWAHK-UHFFFAOYSA-N 1 2 319.453 1.916 20 30 DDEDLO CC[N@@H+](CCCNC(=O)C#CC(C)C)Cc1nnc(C(C)C)[nH]1 ZINC001265113429 901579074 /nfs/dbraw/zinc/57/90/74/901579074.db2.gz MYMYEQIPNIWAHK-UHFFFAOYSA-N 1 2 319.453 1.916 20 30 DDEDLO C=C(C)CCC(=O)N(C)C1CC[NH+](CCS(C)(=O)=O)CC1 ZINC001265193463 901686422 /nfs/dbraw/zinc/68/64/22/901686422.db2.gz JLAYUBYERUKAJN-UHFFFAOYSA-N 1 2 316.467 1.310 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](CCC)C(C)C)C1 ZINC001265226553 901740526 /nfs/dbraw/zinc/74/05/26/901740526.db2.gz DMMBVJSSOOBASM-CVEARBPZSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](CCC)C(C)C)C1 ZINC001265226553 901740537 /nfs/dbraw/zinc/74/05/37/901740537.db2.gz DMMBVJSSOOBASM-CVEARBPZSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)CSCCC)C1 ZINC001265273755 901803347 /nfs/dbraw/zinc/80/33/47/901803347.db2.gz VKVAADVRUFGIMF-STQMWFEESA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)CSCCC)C1 ZINC001265273755 901803356 /nfs/dbraw/zinc/80/33/56/901803356.db2.gz VKVAADVRUFGIMF-STQMWFEESA-N 1 2 313.467 1.011 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001265388952 901958286 /nfs/dbraw/zinc/95/82/86/901958286.db2.gz ONDWUHCYGOSOFV-OAHLLOKOSA-N 1 2 317.437 1.289 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001265388952 901958297 /nfs/dbraw/zinc/95/82/97/901958297.db2.gz ONDWUHCYGOSOFV-OAHLLOKOSA-N 1 2 317.437 1.289 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[N@@H+]([C@@H](C)c2nnnn2C)C1 ZINC001265577575 902151136 /nfs/dbraw/zinc/15/11/36/902151136.db2.gz KEMWJYGMIPKLCG-QWHCGFSZSA-N 1 2 306.414 1.066 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CCC[N@H+]([C@@H](C)c2nnnn2C)C1 ZINC001265577575 902151140 /nfs/dbraw/zinc/15/11/40/902151140.db2.gz KEMWJYGMIPKLCG-QWHCGFSZSA-N 1 2 306.414 1.066 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@H]([C@H](C)NC(=O)C#CC(C)C)C2)o1 ZINC001265703354 902318308 /nfs/dbraw/zinc/31/83/08/902318308.db2.gz OOXNDJPGVQLUAX-ZFWWWQNUSA-N 1 2 318.421 1.754 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@H]([C@H](C)NC(=O)C#CC(C)C)C2)o1 ZINC001265703354 902318320 /nfs/dbraw/zinc/31/83/20/902318320.db2.gz OOXNDJPGVQLUAX-ZFWWWQNUSA-N 1 2 318.421 1.754 20 30 DDEDLO CCc1nnc([C@H](C)[NH2+][C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001265888911 902545423 /nfs/dbraw/zinc/54/54/23/902545423.db2.gz YZOUCNIXHIBECI-QWRGUYRKSA-N 1 2 323.422 1.140 20 30 DDEDLO CC#CCCCC(=O)NCCC[N@H+](C)[C@H](C)c1nnc(C)[nH]1 ZINC001265907013 902573645 /nfs/dbraw/zinc/57/36/45/902573645.db2.gz XDLFGKUJWRCIRC-CYBMUJFWSA-N 1 2 305.426 1.806 20 30 DDEDLO CC#CCCCC(=O)NCCC[N@@H+](C)[C@H](C)c1nnc(C)[nH]1 ZINC001265907013 902573648 /nfs/dbraw/zinc/57/36/48/902573648.db2.gz XDLFGKUJWRCIRC-CYBMUJFWSA-N 1 2 305.426 1.806 20 30 DDEDLO CC#CC[N@H+](C)[C@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001266100030 902939105 /nfs/dbraw/zinc/93/91/05/902939105.db2.gz RCUKEAIIZCJREG-GJZGRUSLSA-N 1 2 314.433 1.776 20 30 DDEDLO CC#CC[N@@H+](C)[C@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001266100030 902939114 /nfs/dbraw/zinc/93/91/14/902939114.db2.gz RCUKEAIIZCJREG-GJZGRUSLSA-N 1 2 314.433 1.776 20 30 DDEDLO CC(C)(CC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1)C1CC1 ZINC001266215532 903127418 /nfs/dbraw/zinc/12/74/18/903127418.db2.gz LNRQPWTVECEYJQ-AWEZNQCLSA-N 1 2 320.437 1.033 20 30 DDEDLO CC(C)(CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1)C1CC1 ZINC001266215532 903127425 /nfs/dbraw/zinc/12/74/25/903127425.db2.gz LNRQPWTVECEYJQ-AWEZNQCLSA-N 1 2 320.437 1.033 20 30 DDEDLO C=C(C)CCC(=O)N1CCC(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001293775501 914695220 /nfs/dbraw/zinc/69/52/20/914695220.db2.gz KVRZJQNBOJZTKC-UHFFFAOYSA-N 1 2 304.394 1.347 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001316599524 903444790 /nfs/dbraw/zinc/44/47/90/903444790.db2.gz DBGPGRMWHAHRQS-HIFRSBDPSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001316599524 903444803 /nfs/dbraw/zinc/44/48/03/903444803.db2.gz DBGPGRMWHAHRQS-HIFRSBDPSA-N 1 2 321.465 1.646 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)Cc1cnoc1 ZINC001392299708 903812590 /nfs/dbraw/zinc/81/25/90/903812590.db2.gz VUYMYGVZJLGJHL-UHFFFAOYSA-N 1 2 312.373 1.679 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)Cc1cnoc1 ZINC001392299708 903812610 /nfs/dbraw/zinc/81/26/10/903812610.db2.gz VUYMYGVZJLGJHL-UHFFFAOYSA-N 1 2 312.373 1.679 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1ccc2nncn2c1 ZINC001392317517 903872370 /nfs/dbraw/zinc/87/23/70/903872370.db2.gz YQGBOOGYKHVCDQ-UHFFFAOYSA-N 1 2 307.785 1.486 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1ccc2nncn2c1 ZINC001392317517 903872378 /nfs/dbraw/zinc/87/23/78/903872378.db2.gz YQGBOOGYKHVCDQ-UHFFFAOYSA-N 1 2 307.785 1.486 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCOC2(C[NH+](CCCOCC)C2)C1 ZINC001280906697 904176415 /nfs/dbraw/zinc/17/64/15/904176415.db2.gz JFURNJUYZUVOOT-KRWDZBQOSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc[nH]1)O2 ZINC001280922690 904194191 /nfs/dbraw/zinc/19/41/91/904194191.db2.gz WLRAMTTVOUATAL-AWEZNQCLSA-N 1 2 319.405 1.181 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)n1cnc2ccccc21 ZINC001316610105 904713570 /nfs/dbraw/zinc/71/35/70/904713570.db2.gz ZTGDPTUIQDFPMQ-HUUCEWRRSA-N 1 2 310.401 1.763 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)n1cnc2ccccc21 ZINC001316610105 904713579 /nfs/dbraw/zinc/71/35/79/904713579.db2.gz ZTGDPTUIQDFPMQ-HUUCEWRRSA-N 1 2 310.401 1.763 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001282077449 905518951 /nfs/dbraw/zinc/51/89/51/905518951.db2.gz LESYOQKVBSKNSA-XBXGTLAGSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001282077449 905518962 /nfs/dbraw/zinc/51/89/62/905518962.db2.gz LESYOQKVBSKNSA-XBXGTLAGSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H](C)C1C[NH+](Cc2cnnn2C)C1 ZINC001282715273 905977740 /nfs/dbraw/zinc/97/77/40/905977740.db2.gz RKPTVTOEYZDWHJ-ZDUSSCGKSA-N 1 2 317.437 1.520 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCCOCC)C2)C1 ZINC001282774682 906025499 /nfs/dbraw/zinc/02/54/99/906025499.db2.gz JGUMEIFTYCRJSN-KRWDZBQOSA-N 1 2 308.422 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCCOCC)C2)C1 ZINC001282774682 906025513 /nfs/dbraw/zinc/02/55/13/906025513.db2.gz JGUMEIFTYCRJSN-KRWDZBQOSA-N 1 2 308.422 1.130 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)Cc2ccoc2)C1 ZINC001393429599 906966849 /nfs/dbraw/zinc/96/68/49/906966849.db2.gz GSPBZQNSJVOJPZ-HNNXBMFYSA-N 1 2 312.797 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)Cc2ccoc2)C1 ZINC001393429599 906966858 /nfs/dbraw/zinc/96/68/58/906966858.db2.gz GSPBZQNSJVOJPZ-HNNXBMFYSA-N 1 2 312.797 1.518 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)C2CCOCC2)CC1 ZINC001393839000 908053845 /nfs/dbraw/zinc/05/38/45/908053845.db2.gz YZNKUGCKWIBNIQ-UHFFFAOYSA-N 1 2 316.829 1.109 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(OC)no2)[C@@H]1C ZINC001284118611 908502763 /nfs/dbraw/zinc/50/27/63/908502763.db2.gz AGCCZPCOVOAJBX-UKRRQHHQSA-N 1 2 319.405 1.956 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(OC)no2)[C@@H]1C ZINC001284118611 908502773 /nfs/dbraw/zinc/50/27/73/908502773.db2.gz AGCCZPCOVOAJBX-UKRRQHHQSA-N 1 2 319.405 1.956 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)CCCn2cncn2)C1 ZINC001394252544 909190559 /nfs/dbraw/zinc/19/05/59/909190559.db2.gz VQGSBAYUXWQCOF-LBPRGKRZSA-N 1 2 311.817 1.247 20 30 DDEDLO Cc1nc(C[N@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)cs1 ZINC001284891876 909661805 /nfs/dbraw/zinc/66/18/05/909661805.db2.gz SMOZBXVCGXQNQA-NSHDSACASA-N 1 2 304.419 1.860 20 30 DDEDLO Cc1nc(C[N@@H+]2CC=C(CNC(=O)[C@@H](C)C#N)CC2)cs1 ZINC001284891876 909661815 /nfs/dbraw/zinc/66/18/15/909661815.db2.gz SMOZBXVCGXQNQA-NSHDSACASA-N 1 2 304.419 1.860 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H](C)C#N)[NH2+]Cc1nc(C2CCC2)no1 ZINC001394468468 909718724 /nfs/dbraw/zinc/71/87/24/909718724.db2.gz QDOZHJXIWZFHSU-WDEREUQCSA-N 1 2 305.382 1.433 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](CNC(=O)Cc1[nH]cc[nH+]1)CC(C)C ZINC001285255750 910275679 /nfs/dbraw/zinc/27/56/79/910275679.db2.gz SNQLNKGWSKYXNV-AWEZNQCLSA-N 1 2 318.421 1.259 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(C)CCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001285382354 910444045 /nfs/dbraw/zinc/44/40/45/910444045.db2.gz HXMZGAKVNYKFNB-OLZOCXBDSA-N 1 2 320.437 1.636 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H](O)C[N@H+](C)Cc1ccncc1Cl ZINC001394764759 910486657 /nfs/dbraw/zinc/48/66/57/910486657.db2.gz DKGZZMWSUFZGED-DGCLKSJQSA-N 1 2 324.812 1.146 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1ccncc1Cl ZINC001394764759 910486671 /nfs/dbraw/zinc/48/66/71/910486671.db2.gz DKGZZMWSUFZGED-DGCLKSJQSA-N 1 2 324.812 1.146 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001285765491 911172219 /nfs/dbraw/zinc/17/22/19/911172219.db2.gz ISUPGJOLPOYOKW-GUYCJALGSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001286325814 911924530 /nfs/dbraw/zinc/92/45/30/911924530.db2.gz APZAAYGMVXOLIK-ZIAGYGMSSA-N 1 2 304.394 1.393 20 30 DDEDLO C=CCCC(=O)NC1CCC(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001295389315 915757719 /nfs/dbraw/zinc/75/77/19/915757719.db2.gz UYQHEVQJTSPUBX-UHFFFAOYSA-N 1 2 304.394 1.462 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001295533148 915877902 /nfs/dbraw/zinc/87/79/02/915877902.db2.gz WUKYZEARMDTZGU-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001295533148 915877917 /nfs/dbraw/zinc/87/79/17/915877917.db2.gz WUKYZEARMDTZGU-GFCCVEGCSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(CC)CCNC(=O)Cn1cc[nH+]c1 ZINC001296329615 916345213 /nfs/dbraw/zinc/34/52/13/916345213.db2.gz GMOZGDGHQIAGHD-UONOGXRCSA-N 1 2 306.410 1.306 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(CC)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001296349830 916351957 /nfs/dbraw/zinc/35/19/57/916351957.db2.gz DAFWMNFBGMTZAF-QWHCGFSZSA-N 1 2 320.437 1.684 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C(=O)Cn1cc[nH+]c1)C1CC1 ZINC001296609363 916501640 /nfs/dbraw/zinc/50/16/40/916501640.db2.gz PSLAEEWLYHGLQV-UHFFFAOYSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CCn2ccccc2=O)C1 ZINC001376067521 917607550 /nfs/dbraw/zinc/60/75/50/917607550.db2.gz ZDQHNWZTECIYBH-AWEZNQCLSA-N 1 2 323.824 1.572 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CCn2ccccc2=O)C1 ZINC001376067521 917607558 /nfs/dbraw/zinc/60/75/58/917607558.db2.gz ZDQHNWZTECIYBH-AWEZNQCLSA-N 1 2 323.824 1.572 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)o1 ZINC001377325434 921053688 /nfs/dbraw/zinc/05/36/88/921053688.db2.gz VJYYHGFWAIDXCA-JQWIXIFHSA-N 1 2 305.382 1.254 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)o1 ZINC001377325434 921053698 /nfs/dbraw/zinc/05/36/98/921053698.db2.gz VJYYHGFWAIDXCA-JQWIXIFHSA-N 1 2 305.382 1.254 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@H+](Cc2nccn2C(F)F)C1 ZINC001377441302 921923259 /nfs/dbraw/zinc/92/32/59/921923259.db2.gz RVJJOQMXXKBBGH-QWRGUYRKSA-N 1 2 311.336 1.376 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@@H+](Cc2nccn2C(F)F)C1 ZINC001377441302 921923278 /nfs/dbraw/zinc/92/32/78/921923278.db2.gz RVJJOQMXXKBBGH-QWRGUYRKSA-N 1 2 311.336 1.376 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001377846980 923221518 /nfs/dbraw/zinc/22/15/18/923221518.db2.gz QAFFSZUEUSXOJG-DLBZAZTESA-N 1 2 315.417 1.656 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001377846980 923221530 /nfs/dbraw/zinc/22/15/30/923221530.db2.gz QAFFSZUEUSXOJG-DLBZAZTESA-N 1 2 315.417 1.656 20 30 DDEDLO Cc1ncc(CC(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)o1 ZINC001377978337 923572210 /nfs/dbraw/zinc/57/22/10/923572210.db2.gz ZZNGXPSZBCXIDR-UHFFFAOYSA-N 1 2 324.384 1.645 20 30 DDEDLO CC[C@H](C)NC(=O)Nc1ccnc(C(=O)N2CC[NH+](C)CC2)c1 ZINC000330732120 529629071 /nfs/dbraw/zinc/62/90/71/529629071.db2.gz JQKJBONHVPHBBT-LBPRGKRZSA-N 1 2 319.409 1.594 20 30 DDEDLO O=C(NCC[NH+]1CCN(C(=O)C2CCC2)CC1)C1CCOCC1 ZINC000328911705 539299594 /nfs/dbraw/zinc/29/95/94/539299594.db2.gz GQWGQAPFRDJNCG-UHFFFAOYSA-N 1 2 323.437 1.314 20 30 DDEDLO O=C(C[N@@H+]1CCCOCC1)NC[C@@H]1COc2ccccc2O1 ZINC000329308909 539302999 /nfs/dbraw/zinc/30/29/99/539302999.db2.gz ZAGMINUWOQFMIT-CYBMUJFWSA-N 1 2 306.362 1.505 20 30 DDEDLO O=C(C[N@H+]1CCCOCC1)NC[C@@H]1COc2ccccc2O1 ZINC000329308909 539303000 /nfs/dbraw/zinc/30/30/00/539303000.db2.gz ZAGMINUWOQFMIT-CYBMUJFWSA-N 1 2 306.362 1.505 20 30 DDEDLO O=C(CNC(=O)C1CCSCC1)NCC[NH+]1CCOCC1 ZINC000329454391 539304749 /nfs/dbraw/zinc/30/47/49/539304749.db2.gz POJVWWQNAWREPC-UHFFFAOYSA-N 1 2 315.439 1.375 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC000092842459 185345712 /nfs/dbraw/zinc/34/57/12/185345712.db2.gz TYJKJTOTFOAPEQ-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC000092842459 185345713 /nfs/dbraw/zinc/34/57/13/185345713.db2.gz TYJKJTOTFOAPEQ-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C(N)=O)cc3F)C[C@H]21 ZINC000329916519 529786409 /nfs/dbraw/zinc/78/64/09/529786409.db2.gz DTNJKSMDBGHDEO-CHWSQXEVSA-N 1 2 322.340 1.269 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc(C(N)=O)cc3F)C[C@H]21 ZINC000329916519 529786410 /nfs/dbraw/zinc/78/64/10/529786410.db2.gz DTNJKSMDBGHDEO-CHWSQXEVSA-N 1 2 322.340 1.269 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)N1CCC(F)(C#N)CC1 ZINC000344115963 529814008 /nfs/dbraw/zinc/81/40/08/529814008.db2.gz FRTFCSAOEAWFER-UHFFFAOYSA-N 1 2 305.357 1.685 20 30 DDEDLO C=C[C@](C)(O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2[C@H](C)CO ZINC000616021011 362522722 /nfs/dbraw/zinc/52/27/22/362522722.db2.gz VHTTVPCBGAOLGF-HWPZZCPQSA-N 1 2 303.362 1.774 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2ccc(C(=O)NC)cc2)n1 ZINC000279730712 215098589 /nfs/dbraw/zinc/09/85/89/215098589.db2.gz NGQVLTFQOWSVAI-UHFFFAOYSA-N 1 2 310.401 1.898 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2ccc(C(=O)NC)cc2)n1 ZINC000279730712 215098592 /nfs/dbraw/zinc/09/85/92/215098592.db2.gz NGQVLTFQOWSVAI-UHFFFAOYSA-N 1 2 310.401 1.898 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC[C@H]2C[NH+]2CCOCC2)s1 ZINC000331396568 233304102 /nfs/dbraw/zinc/30/41/02/233304102.db2.gz GKEMGUSZYIGQOV-LBPRGKRZSA-N 1 2 305.403 1.557 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000025931652 352203630 /nfs/dbraw/zinc/20/36/30/352203630.db2.gz HILWGYWPYBJAJL-UHFFFAOYSA-N 1 2 318.377 1.015 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc([N+](=O)[O-])cc2)CC1 ZINC000025931652 352203634 /nfs/dbraw/zinc/20/36/34/352203634.db2.gz HILWGYWPYBJAJL-UHFFFAOYSA-N 1 2 318.377 1.015 20 30 DDEDLO COCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)Cc1ccco1 ZINC000046062183 352435487 /nfs/dbraw/zinc/43/54/87/352435487.db2.gz YPBHOJDIJBWTLW-INIZCTEOSA-N 1 2 307.394 1.782 20 30 DDEDLO COCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)Cc1ccco1 ZINC000046062183 352435491 /nfs/dbraw/zinc/43/54/91/352435491.db2.gz YPBHOJDIJBWTLW-INIZCTEOSA-N 1 2 307.394 1.782 20 30 DDEDLO C=CCNC(=O)N1CC[NH+](Cc2cc(OC)ccc2OC)CC1 ZINC000053512299 352659322 /nfs/dbraw/zinc/65/93/22/352659322.db2.gz OXSKGRBBSVMCRT-UHFFFAOYSA-N 1 2 319.405 1.717 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)NC2CCC(C)CC2)cs1 ZINC000063456850 352912104 /nfs/dbraw/zinc/91/21/04/352912104.db2.gz BVCIUBVQSFRMBI-UHFFFAOYSA-N 1 2 324.450 1.978 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(C(=O)OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000066559767 353003135 /nfs/dbraw/zinc/00/31/35/353003135.db2.gz DCKSTHXGXQBQOK-OAHLLOKOSA-N 1 2 323.414 1.648 20 30 DDEDLO C=CC[N@H+](Cc1ccc(C(=O)OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000066559767 353003137 /nfs/dbraw/zinc/00/31/37/353003137.db2.gz DCKSTHXGXQBQOK-OAHLLOKOSA-N 1 2 323.414 1.648 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)N1CCN(c2cccc(Cl)c2)CC1 ZINC000067407169 353046777 /nfs/dbraw/zinc/04/67/77/353046777.db2.gz XLDURPUDSWWNSX-ZDUSSCGKSA-N 1 2 320.824 1.880 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC000076669348 353431594 /nfs/dbraw/zinc/43/15/94/353431594.db2.gz AQJQZNXOEWJYPZ-LBPRGKRZSA-N 1 2 310.398 1.005 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC000076669348 353431595 /nfs/dbraw/zinc/43/15/95/353431595.db2.gz AQJQZNXOEWJYPZ-LBPRGKRZSA-N 1 2 310.398 1.005 20 30 DDEDLO Cc1nc2[nH]ccc2c(N2CCN(c3cc(C#N)ccn3)CC2)[nH+]1 ZINC000080945856 353637485 /nfs/dbraw/zinc/63/74/85/353637485.db2.gz ALDSEZDSDWIGMA-UHFFFAOYSA-N 1 2 319.372 1.860 20 30 DDEDLO Cc1ccc(CCNc2ccc(S(N)(=O)=O)cc2C#N)c[nH+]1 ZINC000080981853 353641923 /nfs/dbraw/zinc/64/19/23/353641923.db2.gz MBMVSMMSRMBBHQ-UHFFFAOYSA-N 1 2 316.386 1.564 20 30 DDEDLO COC(=O)[C@](C)([NH2+]C[C@H](O)COc1ccc(C#N)cc1)C1CC1 ZINC000081210521 353656545 /nfs/dbraw/zinc/65/65/45/353656545.db2.gz FZFMHTWUNMMZGT-WMLDXEAASA-N 1 2 318.373 1.229 20 30 DDEDLO CCOC(=O)C1(C)CC[NH+](CC(=O)N[C@](C)(C#N)C2CC2)CC1 ZINC000092823526 353871575 /nfs/dbraw/zinc/87/15/75/353871575.db2.gz LJDQTMLTLBAYAZ-QGZVFWFLSA-N 1 2 321.421 1.460 20 30 DDEDLO Cc1cc(-c2nc(C(=O)N[C@](C)(C#N)C[NH+](C)C)co2)ccn1 ZINC000581313526 354732822 /nfs/dbraw/zinc/73/28/22/354732822.db2.gz JCZAGYIUFNCXFZ-MRXNPFEDSA-N 1 2 313.361 1.619 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CC[C@H](C(F)F)C2)nc1 ZINC000588963155 354951564 /nfs/dbraw/zinc/95/15/64/354951564.db2.gz GLCOHJCVMPNMRU-NSHDSACASA-N 1 2 315.345 1.314 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CC[C@H](C(F)F)C2)nc1 ZINC000588963155 354951568 /nfs/dbraw/zinc/95/15/68/354951568.db2.gz GLCOHJCVMPNMRU-NSHDSACASA-N 1 2 315.345 1.314 20 30 DDEDLO CC(C)[C@H](CNC(=O)c1cccc(C#N)n1)[NH+]1CCOCC1 ZINC000589268856 354980063 /nfs/dbraw/zinc/98/00/63/354980063.db2.gz VSWAGHBTDWOVBI-HNNXBMFYSA-N 1 2 302.378 1.040 20 30 DDEDLO Cn1ccc(N2CCC[C@@H]([NH2+]Cc3nc(C#N)cs3)C2=O)n1 ZINC000589314243 354983643 /nfs/dbraw/zinc/98/36/43/354983643.db2.gz WRMVWSMZZRDWQS-LLVKDONJSA-N 1 2 316.390 1.033 20 30 DDEDLO C[N@H+](Cc1ccnc(C#N)c1)Cc1ccccc1S(N)(=O)=O ZINC000590120765 355051250 /nfs/dbraw/zinc/05/12/50/355051250.db2.gz LQKSTVMWAAAUER-UHFFFAOYSA-N 1 2 316.386 1.233 20 30 DDEDLO C[N@@H+](Cc1ccnc(C#N)c1)Cc1ccccc1S(N)(=O)=O ZINC000590120765 355051251 /nfs/dbraw/zinc/05/12/51/355051251.db2.gz LQKSTVMWAAAUER-UHFFFAOYSA-N 1 2 316.386 1.233 20 30 DDEDLO N#CCC1(CS(=O)(=O)N2CCC[C@H](n3cc[nH+]c3)C2)CC1 ZINC000590746444 355161556 /nfs/dbraw/zinc/16/15/56/355161556.db2.gz CHESBXHIDDCOJN-ZDUSSCGKSA-N 1 2 308.407 1.544 20 30 DDEDLO N#CC[C@@]1(O)CCN(c2[nH+]cnc3c2cnn3-c2ccccc2)C1 ZINC000591976439 355451668 /nfs/dbraw/zinc/45/16/68/355451668.db2.gz OWELMSURCKGBNW-QGZVFWFLSA-N 1 2 320.356 1.670 20 30 DDEDLO N#Cc1cnccc1C[N@H+](CCO)CCOCC(F)(F)F ZINC000592070604 355482345 /nfs/dbraw/zinc/48/23/45/355482345.db2.gz OAHBCOGFMNAUIH-UHFFFAOYSA-N 1 2 303.284 1.326 20 30 DDEDLO N#Cc1cnccc1C[N@@H+](CCO)CCOCC(F)(F)F ZINC000592070604 355482348 /nfs/dbraw/zinc/48/23/48/355482348.db2.gz OAHBCOGFMNAUIH-UHFFFAOYSA-N 1 2 303.284 1.326 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@H](C)OC2(CCCC2)C1 ZINC000592191519 355531129 /nfs/dbraw/zinc/53/11/29/355531129.db2.gz DKOCVTGPLZQWRC-UONOGXRCSA-N 1 2 305.422 1.971 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@H](C)OC2(CCCC2)C1 ZINC000592191519 355531131 /nfs/dbraw/zinc/53/11/31/355531131.db2.gz DKOCVTGPLZQWRC-UONOGXRCSA-N 1 2 305.422 1.971 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)c(C)c1C ZINC000592151915 355519135 /nfs/dbraw/zinc/51/91/35/355519135.db2.gz SEPKDGAXAWTJPR-HNNXBMFYSA-N 1 2 307.419 1.962 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)c(C)c1C ZINC000592151915 355519140 /nfs/dbraw/zinc/51/91/40/355519140.db2.gz SEPKDGAXAWTJPR-HNNXBMFYSA-N 1 2 307.419 1.962 20 30 DDEDLO COc1ccc(COCCC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000593154922 355813509 /nfs/dbraw/zinc/81/35/09/355813509.db2.gz YJVAIFVWELNHOW-QGZVFWFLSA-N 1 2 319.405 1.562 20 30 DDEDLO N#Cc1cccc2c1CN(C(=O)N[C@H]1CCn3cc[nH+]c3C1)C2 ZINC000593395186 355871802 /nfs/dbraw/zinc/87/18/02/355871802.db2.gz RFUDIBFZYAFSNW-AWEZNQCLSA-N 1 2 307.357 1.795 20 30 DDEDLO N#CC1(CNC(=O)N2CCN(c3cccc[nH+]3)CC2)CCCC1 ZINC000593426847 355881868 /nfs/dbraw/zinc/88/18/68/355881868.db2.gz PCRUTHNSVAXTMI-UHFFFAOYSA-N 1 2 313.405 1.997 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)N(C)CC[NH+]1CCOCC1 ZINC000594271712 356160763 /nfs/dbraw/zinc/16/07/63/356160763.db2.gz OTIRGGHJDQRXGA-OAHLLOKOSA-N 1 2 315.417 1.527 20 30 DDEDLO N#Cc1ccc2c(c1)CN(C(=O)N[C@H]1CCn3cc[nH+]c3C1)C2 ZINC000594245222 356151329 /nfs/dbraw/zinc/15/13/29/356151329.db2.gz MTIPDDLKKYWAMQ-HNNXBMFYSA-N 1 2 307.357 1.795 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CN1C(=O)CC(C2CCCC2)CC1=O ZINC000594716019 356294237 /nfs/dbraw/zinc/29/42/37/356294237.db2.gz GNDDYODIRUMSOV-OAHLLOKOSA-N 1 2 321.421 1.148 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CN1C(=O)CC(C2CCCC2)CC1=O ZINC000594716019 356294239 /nfs/dbraw/zinc/29/42/39/356294239.db2.gz GNDDYODIRUMSOV-OAHLLOKOSA-N 1 2 321.421 1.148 20 30 DDEDLO CCc1nocc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000595338907 356455058 /nfs/dbraw/zinc/45/50/58/356455058.db2.gz LQWZBTSQJMXLAZ-LBPRGKRZSA-N 1 2 312.377 1.609 20 30 DDEDLO CCc1nocc1C[N@H+]1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000595338907 356455062 /nfs/dbraw/zinc/45/50/62/356455062.db2.gz LQWZBTSQJMXLAZ-LBPRGKRZSA-N 1 2 312.377 1.609 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@H+](C[C@H](C)C#N)C2CC2)cc1 ZINC000595390071 356475419 /nfs/dbraw/zinc/47/54/19/356475419.db2.gz UMPHBLMWWFURKJ-GFCCVEGCSA-N 1 2 307.419 1.719 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[N@@H+](C[C@H](C)C#N)C2CC2)cc1 ZINC000595390071 356475423 /nfs/dbraw/zinc/47/54/23/356475423.db2.gz UMPHBLMWWFURKJ-GFCCVEGCSA-N 1 2 307.419 1.719 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000081582916 192297649 /nfs/dbraw/zinc/29/76/49/192297649.db2.gz OMXNMFQGPJGGPF-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO COC(=O)CCN1CC[C@H]([N@@H+]2Cc3cccc(C#N)c3C2)C1=O ZINC000595561485 356552499 /nfs/dbraw/zinc/55/24/99/356552499.db2.gz MMLZUWSHVXFMMF-HNNXBMFYSA-N 1 2 313.357 1.038 20 30 DDEDLO COC(=O)CCN1CC[C@H]([N@H+]2Cc3cccc(C#N)c3C2)C1=O ZINC000595561485 356552502 /nfs/dbraw/zinc/55/25/02/356552502.db2.gz MMLZUWSHVXFMMF-HNNXBMFYSA-N 1 2 313.357 1.038 20 30 DDEDLO Cc1nn(C)cc1C[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000595630093 356584586 /nfs/dbraw/zinc/58/45/86/356584586.db2.gz STTHWCVVWHFRFQ-UHFFFAOYSA-N 1 2 301.419 1.984 20 30 DDEDLO CS(=O)(=O)[C@@H]1C[N@@H+]([C@@H]2CC[C@H](C#N)C2)C[C@H]1C(F)(F)F ZINC000595634605 356586204 /nfs/dbraw/zinc/58/62/04/356586204.db2.gz CTTQTIJMTCTXSG-LNFKQOIKSA-N 1 2 310.341 1.586 20 30 DDEDLO CS(=O)(=O)[C@@H]1C[N@H+]([C@@H]2CC[C@H](C#N)C2)C[C@H]1C(F)(F)F ZINC000595634605 356586208 /nfs/dbraw/zinc/58/62/08/356586208.db2.gz CTTQTIJMTCTXSG-LNFKQOIKSA-N 1 2 310.341 1.586 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CCC[C@](CO)(OC)C1 ZINC000595658169 356594979 /nfs/dbraw/zinc/59/49/79/356594979.db2.gz HYEFIAHFVIVUCT-RDJZCZTQSA-N 1 2 318.373 1.246 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CCC[C@](CO)(OC)C1 ZINC000595658169 356594981 /nfs/dbraw/zinc/59/49/81/356594981.db2.gz HYEFIAHFVIVUCT-RDJZCZTQSA-N 1 2 318.373 1.246 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C[C@H](C)O1 ZINC000595779518 356649953 /nfs/dbraw/zinc/64/99/53/356649953.db2.gz BPNKHVBNDIQSIZ-OLZOCXBDSA-N 1 2 323.418 1.226 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C[C@H](C)O1 ZINC000595779518 356649957 /nfs/dbraw/zinc/64/99/57/356649957.db2.gz BPNKHVBNDIQSIZ-OLZOCXBDSA-N 1 2 323.418 1.226 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCOC(C3CC3)(C3CC3)C2)CCC1 ZINC000595822665 356663925 /nfs/dbraw/zinc/66/39/25/356663925.db2.gz ZRPYBTZZOOWYAB-UHFFFAOYSA-N 1 2 303.406 1.440 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCOC(C3CC3)(C3CC3)C2)CCC1 ZINC000595822665 356663931 /nfs/dbraw/zinc/66/39/31/356663931.db2.gz ZRPYBTZZOOWYAB-UHFFFAOYSA-N 1 2 303.406 1.440 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCOC(C2CC2)(C2CC2)C1)C1CC1 ZINC000595821806 356664213 /nfs/dbraw/zinc/66/42/13/356664213.db2.gz GOPGNKDGBKXKCQ-KRWDZBQOSA-N 1 2 317.433 1.686 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCOC(C2CC2)(C2CC2)C1)C1CC1 ZINC000595821806 356664217 /nfs/dbraw/zinc/66/42/17/356664217.db2.gz GOPGNKDGBKXKCQ-KRWDZBQOSA-N 1 2 317.433 1.686 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@@H](C)[N@@H+]2CC[C@](C)(C#N)C2)CC1 ZINC000595840263 356673391 /nfs/dbraw/zinc/67/33/91/356673391.db2.gz MNRRBYQBJKCFFO-MLGOLLRUSA-N 1 2 307.394 1.022 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@@H](C)[N@H+]2CC[C@](C)(C#N)C2)CC1 ZINC000595840263 356673393 /nfs/dbraw/zinc/67/33/93/356673393.db2.gz MNRRBYQBJKCFFO-MLGOLLRUSA-N 1 2 307.394 1.022 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[NH2+][C@H](CO)c1ccc(F)cc1F)C1CC1 ZINC000595865617 356684245 /nfs/dbraw/zinc/68/42/45/356684245.db2.gz CDPAOVBNGHRBDN-ZBFHGGJFSA-N 1 2 323.343 1.396 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@H](C)O[C@@](C)(C(F)F)C1 ZINC000595915961 356704231 /nfs/dbraw/zinc/70/42/31/356704231.db2.gz JRCPQVAPDSSJHQ-SMDDNHRTSA-N 1 2 303.353 1.493 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C)O[C@@](C)(C(F)F)C1 ZINC000595915961 356704236 /nfs/dbraw/zinc/70/42/36/356704236.db2.gz JRCPQVAPDSSJHQ-SMDDNHRTSA-N 1 2 303.353 1.493 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2ccc([N+](=O)[O-])cc2C#N)[C@H](C)CO1 ZINC000596429573 356877259 /nfs/dbraw/zinc/87/72/59/356877259.db2.gz KDWVWWVKRDRJID-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2ccc([N+](=O)[O-])cc2C#N)[C@H](C)CO1 ZINC000596429573 356877263 /nfs/dbraw/zinc/87/72/63/356877263.db2.gz KDWVWWVKRDRJID-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO N#CC1(CC(=O)N2CCN(c3cccc[nH+]3)CC2)CCOCC1 ZINC000596632443 356937576 /nfs/dbraw/zinc/93/75/76/356937576.db2.gz WIIRHYMVRSDYNZ-UHFFFAOYSA-N 1 2 314.389 1.441 20 30 DDEDLO C[C@H](CC#N)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000596728529 356962902 /nfs/dbraw/zinc/96/29/02/356962902.db2.gz SROPZJQUFYQDIL-ZBFHGGJFSA-N 1 2 301.390 1.726 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@H+](Cc2ccc(C3(C#N)CC3)cc2)CCO1 ZINC000597010181 357039794 /nfs/dbraw/zinc/03/97/94/357039794.db2.gz VLOVQHGGFXSRDC-QGZVFWFLSA-N 1 2 313.401 1.579 20 30 DDEDLO CC(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C3(C#N)CC3)cc2)CCO1 ZINC000597010181 357039797 /nfs/dbraw/zinc/03/97/97/357039797.db2.gz VLOVQHGGFXSRDC-QGZVFWFLSA-N 1 2 313.401 1.579 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000597707024 357294845 /nfs/dbraw/zinc/29/48/45/357294845.db2.gz MIEIZYYMRJKIQN-ZDUSSCGKSA-N 1 2 303.362 1.397 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)c2cc(O)cc(C#N)c2)[C@@H](C)CO1 ZINC000597992833 357409157 /nfs/dbraw/zinc/40/91/57/357409157.db2.gz DDHBVZMYCXPIPF-RYUDHWBXSA-N 1 2 303.362 1.103 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)c2cc(O)cc(C#N)c2)[C@@H](C)CO1 ZINC000597992833 357409160 /nfs/dbraw/zinc/40/91/60/357409160.db2.gz DDHBVZMYCXPIPF-RYUDHWBXSA-N 1 2 303.362 1.103 20 30 DDEDLO Cc1ccccc1[C@H]1C(=O)NCC[N@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598603749 357650577 /nfs/dbraw/zinc/65/05/77/357650577.db2.gz GGOPPZKPVCZASL-HOCLYGCPSA-N 1 2 315.417 1.769 20 30 DDEDLO Cc1ccccc1[C@H]1C(=O)NCC[N@@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598603749 357650580 /nfs/dbraw/zinc/65/05/80/357650580.db2.gz GGOPPZKPVCZASL-HOCLYGCPSA-N 1 2 315.417 1.769 20 30 DDEDLO CCOC(=O)[C@@H]([NH2+]C[C@@H](O)CC(C)(C)C#N)C1CCOCC1 ZINC000598604162 357650717 /nfs/dbraw/zinc/65/07/17/357650717.db2.gz JWWIOQXTWKIRRB-KBPBESRZSA-N 1 2 312.410 1.235 20 30 DDEDLO COCc1n[nH]c([C@H]2CCCC[N@@H+]2C[C@H](O)CC(C)(C)C#N)n1 ZINC000598617041 357655548 /nfs/dbraw/zinc/65/55/48/357655548.db2.gz PDLOPHZYCOHHSV-CHWSQXEVSA-N 1 2 321.425 1.779 20 30 DDEDLO COCc1n[nH]c([C@H]2CCCC[N@H+]2C[C@H](O)CC(C)(C)C#N)n1 ZINC000598617041 357655553 /nfs/dbraw/zinc/65/55/53/357655553.db2.gz PDLOPHZYCOHHSV-CHWSQXEVSA-N 1 2 321.425 1.779 20 30 DDEDLO Cc1cccc([C@@H]2C(=O)NCC[N@H+]2C[C@H](O)CC(C)(C)C#N)c1 ZINC000598622228 357658174 /nfs/dbraw/zinc/65/81/74/357658174.db2.gz GNJCJOKPHNGWBF-HZPDHXFCSA-N 1 2 315.417 1.769 20 30 DDEDLO Cc1cccc([C@@H]2C(=O)NCC[N@@H+]2C[C@H](O)CC(C)(C)C#N)c1 ZINC000598622228 357658180 /nfs/dbraw/zinc/65/81/80/357658180.db2.gz GNJCJOKPHNGWBF-HZPDHXFCSA-N 1 2 315.417 1.769 20 30 DDEDLO CC(C)(C(=O)N[C@@H]1Cc2c[nH+]cn2C1)c1cc(F)cc(C#N)c1 ZINC000598947544 357770780 /nfs/dbraw/zinc/77/07/80/357770780.db2.gz MSJVDKSACFJXHE-CQSZACIVSA-N 1 2 312.348 1.913 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1noc(C2CCC2)n1 ZINC000599306900 357887091 /nfs/dbraw/zinc/88/70/91/357887091.db2.gz FFRTXEXGONTIQL-UHFFFAOYSA-N 1 2 319.409 1.385 20 30 DDEDLO COC(=O)[C@@](C)([NH2+]C[C@@H](O)COc1ccccc1C#N)C1CC1 ZINC000599352229 357905905 /nfs/dbraw/zinc/90/59/05/357905905.db2.gz QBHPTGFTBTZQSZ-PBHICJAKSA-N 1 2 318.373 1.229 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@@H](O)COc2ccccc2C#N)o1 ZINC000599466034 357947157 /nfs/dbraw/zinc/94/71/57/357947157.db2.gz YJMASFFBBMZZES-CYBMUJFWSA-N 1 2 302.334 1.121 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@@H](O)COc2ccccc2C#N)o1 ZINC000599466034 357947158 /nfs/dbraw/zinc/94/71/58/357947158.db2.gz YJMASFFBBMZZES-CYBMUJFWSA-N 1 2 302.334 1.121 20 30 DDEDLO CC(=O)NC[C@H]1CCCC[N@@H+]1Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000599691482 358027323 /nfs/dbraw/zinc/02/73/23/358027323.db2.gz RULSVESCCGUCQW-CQSZACIVSA-N 1 2 316.361 1.957 20 30 DDEDLO CC(=O)NC[C@H]1CCCC[N@H+]1Cc1c(C#N)cccc1[N+](=O)[O-] ZINC000599691482 358027325 /nfs/dbraw/zinc/02/73/25/358027325.db2.gz RULSVESCCGUCQW-CQSZACIVSA-N 1 2 316.361 1.957 20 30 DDEDLO N#Cc1cnc(C(=O)NC2CC([NH+]3CCOCC3)C2)c(Cl)c1 ZINC000600067972 358113957 /nfs/dbraw/zinc/11/39/57/358113957.db2.gz XIJFUTSZBSHURK-UHFFFAOYSA-N 1 2 320.780 1.200 20 30 DDEDLO Cc1ccc(Cn2c(=O)c(C#N)c(C)n(C3CC3)c2=O)c(C)[nH+]1 ZINC000601092759 358400351 /nfs/dbraw/zinc/40/03/51/358400351.db2.gz NFJRWXAFKVAVNZ-UHFFFAOYSA-N 1 2 310.357 1.585 20 30 DDEDLO Cc1cc(S(=O)(=O)NCC(C)(C)n2cc[nH+]c2)ccc1C#N ZINC000601525561 358588294 /nfs/dbraw/zinc/58/82/94/358588294.db2.gz BYUREQFKRLLXRS-UHFFFAOYSA-N 1 2 318.402 1.777 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N[C@H](C#N)C(C)C)cc1 ZINC000602096708 358811208 /nfs/dbraw/zinc/81/12/08/358811208.db2.gz JRECUEAWWJJLAX-CVEARBPZSA-N 1 2 301.390 1.934 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2C(=O)N[C@H](C#N)C(C)C)cc1 ZINC000602096708 358811211 /nfs/dbraw/zinc/81/12/11/358811211.db2.gz JRECUEAWWJJLAX-CVEARBPZSA-N 1 2 301.390 1.934 20 30 DDEDLO Cc1ccc(CO[C@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000602331144 358933750 /nfs/dbraw/zinc/93/37/50/358933750.db2.gz AHMISZQJILSBFH-RHSMWYFYSA-N 1 2 303.406 1.860 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccns1 ZINC000602482499 359008590 /nfs/dbraw/zinc/00/85/90/359008590.db2.gz GXOOAUHNZXDKRC-LLVKDONJSA-N 1 2 300.391 1.515 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccns1 ZINC000602482499 359008595 /nfs/dbraw/zinc/00/85/95/359008595.db2.gz GXOOAUHNZXDKRC-LLVKDONJSA-N 1 2 300.391 1.515 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cc(C(=O)OC)c(C)[nH]2)C1=O ZINC000602648350 359098564 /nfs/dbraw/zinc/09/85/64/359098564.db2.gz PPLYHDKZCZFNPR-AWEZNQCLSA-N 1 2 305.378 1.328 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cc(C(=O)OC)c(C)[nH]2)C1=O ZINC000602648350 359098570 /nfs/dbraw/zinc/09/85/70/359098570.db2.gz PPLYHDKZCZFNPR-AWEZNQCLSA-N 1 2 305.378 1.328 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCCNC(=O)[C@H]2Cc2ccccc2)n1 ZINC000602673905 359117973 /nfs/dbraw/zinc/11/79/73/359117973.db2.gz DEYYKGVGPNVEHR-GOSISDBHSA-N 1 2 320.396 1.886 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCCNC(=O)[C@H]2Cc2ccccc2)n1 ZINC000602673905 359117979 /nfs/dbraw/zinc/11/79/79/359117979.db2.gz DEYYKGVGPNVEHR-GOSISDBHSA-N 1 2 320.396 1.886 20 30 DDEDLO C[C@H](C(=O)N(C)c1ccccc1)[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856577 359242479 /nfs/dbraw/zinc/24/24/79/359242479.db2.gz KXJJYSORVOFFED-HRCADAONSA-N 1 2 314.433 1.956 20 30 DDEDLO COc1ccc(CN(C)C(=O)[C@@H](C)[NH2+][C@@H](C)CC#N)cc1OC ZINC000602862921 359247139 /nfs/dbraw/zinc/24/71/39/359247139.db2.gz MTIQJSGRUXEXFP-QWHCGFSZSA-N 1 2 319.405 1.942 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@H](C)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000602866998 359252322 /nfs/dbraw/zinc/25/23/22/359252322.db2.gz AZVYTLKKRNUHIQ-GHMZBOCLSA-N 1 2 309.391 1.309 20 30 DDEDLO N#Cc1cc(F)cc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000603069844 359388034 /nfs/dbraw/zinc/38/80/34/359388034.db2.gz DTCYGPPTIZYODM-GASCZTMLSA-N 1 2 318.352 1.682 20 30 DDEDLO N#Cc1cc(F)cc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000603069844 359388039 /nfs/dbraw/zinc/38/80/39/359388039.db2.gz DTCYGPPTIZYODM-GASCZTMLSA-N 1 2 318.352 1.682 20 30 DDEDLO CCNC(=O)C[N@H+](C)[C@@H](C)C(=O)N(CCC#N)c1ccccc1 ZINC000603934435 359705930 /nfs/dbraw/zinc/70/59/30/359705930.db2.gz ZFECTLXYWRHGKN-AWEZNQCLSA-N 1 2 316.405 1.390 20 30 DDEDLO CCNC(=O)C[N@@H+](C)[C@@H](C)C(=O)N(CCC#N)c1ccccc1 ZINC000603934435 359705932 /nfs/dbraw/zinc/70/59/32/359705932.db2.gz ZFECTLXYWRHGKN-AWEZNQCLSA-N 1 2 316.405 1.390 20 30 DDEDLO O=C(NC1CCN(c2cccc[nH+]2)CC1)N1C[C@H]2CC[C@@H](C1)O2 ZINC000329798817 223031928 /nfs/dbraw/zinc/03/19/28/223031928.db2.gz HWWXVVLMBWGXFP-GASCZTMLSA-N 1 2 316.405 1.828 20 30 DDEDLO O=C(C[N@H+]1C[C@H]2CC[C@@H](C1)O2)NC[C@@H]1COc2ccccc2O1 ZINC000329812905 223033162 /nfs/dbraw/zinc/03/31/62/223033162.db2.gz SJOFREZCEHUALL-HZSPNIEDSA-N 1 2 318.373 1.646 20 30 DDEDLO O=C(C[N@@H+]1C[C@H]2CC[C@@H](C1)O2)NC[C@@H]1COc2ccccc2O1 ZINC000329812905 223033165 /nfs/dbraw/zinc/03/31/65/223033165.db2.gz SJOFREZCEHUALL-HZSPNIEDSA-N 1 2 318.373 1.646 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[NH+]1CCC(CO)(OC)CC1 ZINC000618551205 363668606 /nfs/dbraw/zinc/66/86/06/363668606.db2.gz NMZMQXASZXOIDA-OAHLLOKOSA-N 1 2 318.373 1.246 20 30 DDEDLO Cn1nccc1CC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000329891098 223042595 /nfs/dbraw/zinc/04/25/95/223042595.db2.gz HIQYZNHATDCTBD-OAHLLOKOSA-N 1 2 324.450 1.127 20 30 DDEDLO N#CCN1CCC(Nc2cc(N3CCCCC3)nc[nH+]2)CC1 ZINC000609334210 360295397 /nfs/dbraw/zinc/29/53/97/360295397.db2.gz PYMYWIURKQPYLI-UHFFFAOYSA-N 1 2 300.410 1.867 20 30 DDEDLO N#CCN1CCC(Nc2cc(N3CCCCC3)[nH+]cn2)CC1 ZINC000609334210 360295400 /nfs/dbraw/zinc/29/54/00/360295400.db2.gz PYMYWIURKQPYLI-UHFFFAOYSA-N 1 2 300.410 1.867 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)NCCC(C)(C)C)CC1 ZINC000609538960 360321323 /nfs/dbraw/zinc/32/13/23/360321323.db2.gz PMDIEKVRIMTLDF-HNNXBMFYSA-N 1 2 308.470 1.705 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)Cc2cccc(C#N)c2)C[C@H]1C ZINC000611083636 360617306 /nfs/dbraw/zinc/61/73/06/360617306.db2.gz QIBDODQULAYARH-CYBMUJFWSA-N 1 2 307.419 1.414 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)Cc2cccc(C#N)c2)C[C@H]1C ZINC000611083636 360617310 /nfs/dbraw/zinc/61/73/10/360617310.db2.gz QIBDODQULAYARH-CYBMUJFWSA-N 1 2 307.419 1.414 20 30 DDEDLO COC(=O)C[N@H+](C[C@H](O)COc1ccc(CC#N)cc1)C(C)C ZINC000610967338 360586355 /nfs/dbraw/zinc/58/63/55/360586355.db2.gz AIHIKIDJPWQNEY-HNNXBMFYSA-N 1 2 320.389 1.376 20 30 DDEDLO COC(=O)C[N@@H+](C[C@H](O)COc1ccc(CC#N)cc1)C(C)C ZINC000610967338 360586360 /nfs/dbraw/zinc/58/63/60/360586360.db2.gz AIHIKIDJPWQNEY-HNNXBMFYSA-N 1 2 320.389 1.376 20 30 DDEDLO C[C@@H]1CCC[C@@H](NC(=O)C[NH+]2CCN(CCC#N)CC2)[C@H]1C ZINC000611173787 360646750 /nfs/dbraw/zinc/64/67/50/360646750.db2.gz KQGSANVQGLFGFU-OWCLPIDISA-N 1 2 306.454 1.459 20 30 DDEDLO C[C@@H](C(=O)NCc1cccs1)[NH+]1CCN(CCC#N)CC1 ZINC000611173859 360646928 /nfs/dbraw/zinc/64/69/28/360646928.db2.gz OHXXGUNHYFEIBS-ZDUSSCGKSA-N 1 2 306.435 1.284 20 30 DDEDLO C[C@H]1CCCC[C@@H]1N(C)C(=O)C[NH+]1CCN(CCC#N)CC1 ZINC000611175630 360648735 /nfs/dbraw/zinc/64/87/35/360648735.db2.gz KIRGBGJCUMFEAK-HOTGVXAUSA-N 1 2 306.454 1.555 20 30 DDEDLO C[C@@H](C(=O)N1CCc2ccccc21)[NH+]1CCN(CCC#N)CC1 ZINC000611175486 360649272 /nfs/dbraw/zinc/64/92/72/360649272.db2.gz ZDKIZTHXGAKOAL-HNNXBMFYSA-N 1 2 312.417 1.495 20 30 DDEDLO CN(C)c1ccc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)cn1 ZINC000611253645 360680144 /nfs/dbraw/zinc/68/01/44/360680144.db2.gz HPUIAGMSKPVJLU-UHFFFAOYSA-N 1 2 322.416 1.736 20 30 DDEDLO C[C@@H]1C[N@H+](CCC(=O)N(C)CCC#N)C[C@H](C(F)(F)F)O1 ZINC000611302608 360695225 /nfs/dbraw/zinc/69/52/25/360695225.db2.gz CRQQHEZSZWSOKD-GHMZBOCLSA-N 1 2 307.316 1.400 20 30 DDEDLO C[C@@H]1C[N@@H+](CCC(=O)N(C)CCC#N)C[C@H](C(F)(F)F)O1 ZINC000611302608 360695228 /nfs/dbraw/zinc/69/52/28/360695228.db2.gz CRQQHEZSZWSOKD-GHMZBOCLSA-N 1 2 307.316 1.400 20 30 DDEDLO O=C(NCCc1ccncc1)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000330094259 223074010 /nfs/dbraw/zinc/07/40/10/223074010.db2.gz SQGLMLDYCAUUJG-MRXNPFEDSA-N 1 2 318.421 1.335 20 30 DDEDLO COC(=O)c1oc([C@H](C)[NH+]2CCN(CCC#N)CC2)cc1C ZINC000611374100 360707067 /nfs/dbraw/zinc/70/70/67/360707067.db2.gz VXQKKLCTVPPTGE-ZDUSSCGKSA-N 1 2 305.378 1.967 20 30 DDEDLO C[N@H+](Cc1cn[nH]c1)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000611516601 360744180 /nfs/dbraw/zinc/74/41/80/360744180.db2.gz GVZAIQKLCSVTBA-MRXNPFEDSA-N 1 2 309.373 1.909 20 30 DDEDLO C[N@@H+](Cc1cn[nH]c1)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000611516601 360744185 /nfs/dbraw/zinc/74/41/85/360744185.db2.gz GVZAIQKLCSVTBA-MRXNPFEDSA-N 1 2 309.373 1.909 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)N1CCC[C@](C)(C#N)C1 ZINC000612100620 360919053 /nfs/dbraw/zinc/91/90/53/360919053.db2.gz FRSQLRZZHRKCHH-MRXNPFEDSA-N 1 2 301.394 1.983 20 30 DDEDLO Cc1nccc(NC(=O)N(CC2CC2)C[C@H]2C[N@H+](C)CCO2)n1 ZINC000330247527 223093653 /nfs/dbraw/zinc/09/36/53/223093653.db2.gz FQTNZCRALSVOQZ-CQSZACIVSA-N 1 2 319.409 1.373 20 30 DDEDLO Cc1nccc(NC(=O)N(CC2CC2)C[C@H]2C[N@@H+](C)CCO2)n1 ZINC000330247527 223093657 /nfs/dbraw/zinc/09/36/57/223093657.db2.gz FQTNZCRALSVOQZ-CQSZACIVSA-N 1 2 319.409 1.373 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000281354183 216243318 /nfs/dbraw/zinc/24/33/18/216243318.db2.gz RUEIRKNTCPAALE-UHFFFAOYSA-N 1 2 311.345 1.521 20 30 DDEDLO COC[C@@H]1C[N@@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C[C@H](C)O1 ZINC000331257971 223197969 /nfs/dbraw/zinc/19/79/69/223197969.db2.gz DVWOKDHKKJGUGV-FQLMCAECSA-N 1 2 311.426 1.165 20 30 DDEDLO COC[C@@H]1C[N@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C[C@H](C)O1 ZINC000331257971 223197970 /nfs/dbraw/zinc/19/79/70/223197970.db2.gz DVWOKDHKKJGUGV-FQLMCAECSA-N 1 2 311.426 1.165 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)NCC#Cc1cccc(F)c1 ZINC000337886918 223252083 /nfs/dbraw/zinc/25/20/83/223252083.db2.gz DTTMPJKXNVSJQY-ZDUSSCGKSA-N 1 2 300.337 1.762 20 30 DDEDLO N#CC1(F)CCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)CC1 ZINC000343232691 223318900 /nfs/dbraw/zinc/31/89/00/223318900.db2.gz FSZWKOLGZDMRGL-ZDUSSCGKSA-N 1 2 319.384 1.660 20 30 DDEDLO N#CC1(F)CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)CC1 ZINC000343232691 223318902 /nfs/dbraw/zinc/31/89/02/223318902.db2.gz FSZWKOLGZDMRGL-ZDUSSCGKSA-N 1 2 319.384 1.660 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]CC#CCN2C(=O)c3ccccc3C2=O)o1 ZINC000625324442 366849790 /nfs/dbraw/zinc/84/97/90/366849790.db2.gz DCCYKHRIZZAMMW-LLVKDONJSA-N 1 2 324.340 1.328 20 30 DDEDLO C#CCCOc1ccc(C[NH+]2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000093706743 193295962 /nfs/dbraw/zinc/29/59/62/193295962.db2.gz NLSSDCAWZNVOBT-OAHLLOKOSA-N 1 2 316.401 1.114 20 30 DDEDLO C[C@H](NC(=O)NC[C@H]1CN(C)CC[N@@H+]1C)c1ccc(C#N)cc1 ZINC000264755830 204131639 /nfs/dbraw/zinc/13/16/39/204131639.db2.gz SEHFDZBAHQRQOE-BBRMVZONSA-N 1 2 315.421 1.164 20 30 DDEDLO C[C@H](NC(=O)NC[C@H]1CN(C)CC[N@H+]1C)c1ccc(C#N)cc1 ZINC000264755830 204131642 /nfs/dbraw/zinc/13/16/42/204131642.db2.gz SEHFDZBAHQRQOE-BBRMVZONSA-N 1 2 315.421 1.164 20 30 DDEDLO C#CCCNC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000264963235 204307129 /nfs/dbraw/zinc/30/71/29/204307129.db2.gz BFRLVHIAIISTCT-KRWDZBQOSA-N 1 2 315.417 1.252 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CCC(N2CCCS2(=O)=O)CC1 ZINC000334025831 249183455 /nfs/dbraw/zinc/18/34/55/249183455.db2.gz HDPLKLKDNBFPIQ-UHFFFAOYSA-N 1 2 319.430 1.558 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@@H+]3CC[C@@](F)(CO)C3)C2=O)cc1 ZINC000338589642 250044770 /nfs/dbraw/zinc/04/47/70/250044770.db2.gz UKBLQDNBRWJRLD-WBVHZDCISA-N 1 2 317.364 1.460 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@H+]3CC[C@@](F)(CO)C3)C2=O)cc1 ZINC000338589642 250044773 /nfs/dbraw/zinc/04/47/73/250044773.db2.gz UKBLQDNBRWJRLD-WBVHZDCISA-N 1 2 317.364 1.460 20 30 DDEDLO C[C@H](NC(=O)Nc1ccc(F)c(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000105036810 194065882 /nfs/dbraw/zinc/06/58/82/194065882.db2.gz ZAEPSRVECIKEAO-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(CCOCC)CC1 ZINC000105830495 194140229 /nfs/dbraw/zinc/14/02/29/194140229.db2.gz SRTLFXXNVMIWOG-MRXNPFEDSA-N 1 2 311.470 1.454 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)Cc2ccc(C#N)cc2)C[C@@H]1C ZINC000110751902 194356270 /nfs/dbraw/zinc/35/62/70/194356270.db2.gz UIZFLYIPKMUKQN-ZDUSSCGKSA-N 1 2 307.419 1.414 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)Cc2ccc(C#N)cc2)C[C@@H]1C ZINC000110751902 194356273 /nfs/dbraw/zinc/35/62/73/194356273.db2.gz UIZFLYIPKMUKQN-ZDUSSCGKSA-N 1 2 307.419 1.414 20 30 DDEDLO C#CCCCCNC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000158982579 197316480 /nfs/dbraw/zinc/31/64/80/197316480.db2.gz FOZCZUSXWBURNS-UHFFFAOYSA-N 1 2 324.384 1.945 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3nc4ccccn4c3C#N)CC2)on1 ZINC000272913428 210301877 /nfs/dbraw/zinc/30/18/77/210301877.db2.gz OROSJHDLSBLYNM-UHFFFAOYSA-N 1 2 322.372 1.825 20 30 DDEDLO CCc1cc(N2CCN(c3nccnc3C#N)C[C@@H]2C)nc(C)[nH+]1 ZINC000272912171 210299911 /nfs/dbraw/zinc/29/99/11/210299911.db2.gz RKMGOCFVJPDBFP-LBPRGKRZSA-N 1 2 323.404 1.724 20 30 DDEDLO COC[C@H]([NH2+][C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)c1ccco1 ZINC000347614833 533097912 /nfs/dbraw/zinc/09/79/12/533097912.db2.gz IAJAQGAHQCDEKZ-WWGRRREGSA-N 1 2 307.394 2.000 20 30 DDEDLO C=CCc1cc(C[N@H+](C)CCS(C)(=O)=O)cc(OC)c1O ZINC000155159993 197028294 /nfs/dbraw/zinc/02/82/94/197028294.db2.gz NLZGMJLVUDZWPG-UHFFFAOYSA-N 1 2 313.419 1.606 20 30 DDEDLO C=CCc1cc(C[N@@H+](C)CCS(C)(=O)=O)cc(OC)c1O ZINC000155159993 197028295 /nfs/dbraw/zinc/02/82/95/197028295.db2.gz NLZGMJLVUDZWPG-UHFFFAOYSA-N 1 2 313.419 1.606 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cn(C)nc2-c2ccc(C#N)cc2)CC(=O)N1 ZINC000294718610 224189259 /nfs/dbraw/zinc/18/92/59/224189259.db2.gz CKAAKSJHTFAISV-GFCCVEGCSA-N 1 2 309.373 1.279 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cn(C)nc2-c2ccc(C#N)cc2)CC(=O)N1 ZINC000294718610 224189261 /nfs/dbraw/zinc/18/92/61/224189261.db2.gz CKAAKSJHTFAISV-GFCCVEGCSA-N 1 2 309.373 1.279 20 30 DDEDLO N#Cc1ccc(C(=O)Nc2ccc(C[NH+]3CCOCC3)cc2)[nH]1 ZINC000151885280 196742272 /nfs/dbraw/zinc/74/22/72/196742272.db2.gz TXPSBOJJOJPAKY-UHFFFAOYSA-N 1 2 310.357 1.971 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCOC[C@@H]1[C@H]1CCC[C@H]1O ZINC000331871749 533270785 /nfs/dbraw/zinc/27/07/85/533270785.db2.gz CTDXYILJUSWHMA-BRWVUGGUSA-N 1 2 316.401 1.929 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCOC[C@@H]1[C@H]1CCC[C@H]1O ZINC000331871749 533270790 /nfs/dbraw/zinc/27/07/90/533270790.db2.gz CTDXYILJUSWHMA-BRWVUGGUSA-N 1 2 316.401 1.929 20 30 DDEDLO COC(=O)[C@H]1CCC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459332222 533389474 /nfs/dbraw/zinc/38/94/74/533389474.db2.gz XHTKYQMYWNPCBS-LBPRGKRZSA-N 1 2 303.318 1.851 20 30 DDEDLO COC(=O)[C@H]1CCC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459332222 533389481 /nfs/dbraw/zinc/38/94/81/533389481.db2.gz XHTKYQMYWNPCBS-LBPRGKRZSA-N 1 2 303.318 1.851 20 30 DDEDLO COC(=O)c1ccc(OC)cc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000414153316 292014709 /nfs/dbraw/zinc/01/47/09/292014709.db2.gz YGPMPNBHNUHJBH-LBPRGKRZSA-N 1 2 322.361 1.067 20 30 DDEDLO COC(=O)c1ccc(OC)cc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414153316 292014710 /nfs/dbraw/zinc/01/47/10/292014710.db2.gz YGPMPNBHNUHJBH-LBPRGKRZSA-N 1 2 322.361 1.067 20 30 DDEDLO COCC1(C#N)CC[NH+]([C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000341994043 292208360 /nfs/dbraw/zinc/20/83/60/292208360.db2.gz RTTOIEYIDSBPOS-LLVKDONJSA-N 1 2 319.327 1.402 20 30 DDEDLO CC(C)c1nnc(C[NH2+]Cc2cnc3c(C#N)cnn3c2)s1 ZINC000569576678 304365128 /nfs/dbraw/zinc/36/51/28/304365128.db2.gz DUIIYEHDPHOXPC-UHFFFAOYSA-N 1 2 313.390 1.866 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(C(=O)NCC)CC1 ZINC000078653979 407038813 /nfs/dbraw/zinc/03/88/13/407038813.db2.gz FMRGWYIXKVBCQG-CQSZACIVSA-N 1 2 310.442 1.147 20 30 DDEDLO N#CCC[N@@H+](CC(=O)NC(=O)Nc1ccccc1)CC1CC1 ZINC000081062547 407075141 /nfs/dbraw/zinc/07/51/41/407075141.db2.gz OQDBANODWVMEKR-UHFFFAOYSA-N 1 2 300.362 1.960 20 30 DDEDLO N#CCC[N@H+](CC(=O)NC(=O)Nc1ccccc1)CC1CC1 ZINC000081062547 407075143 /nfs/dbraw/zinc/07/51/43/407075143.db2.gz OQDBANODWVMEKR-UHFFFAOYSA-N 1 2 300.362 1.960 20 30 DDEDLO N#CCC[N@@H+](CC(=O)NCCOc1ccccc1)CC1CC1 ZINC000081043834 407075733 /nfs/dbraw/zinc/07/57/33/407075733.db2.gz QSOLFIQHLJIUMJ-UHFFFAOYSA-N 1 2 301.390 1.807 20 30 DDEDLO N#CCC[N@H+](CC(=O)NCCOc1ccccc1)CC1CC1 ZINC000081043834 407075736 /nfs/dbraw/zinc/07/57/36/407075736.db2.gz QSOLFIQHLJIUMJ-UHFFFAOYSA-N 1 2 301.390 1.807 20 30 DDEDLO C=CCNC(=O)N1CC[N@@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000089487568 407138008 /nfs/dbraw/zinc/13/80/08/407138008.db2.gz SZMZYXJSWOJDCZ-GFCCVEGCSA-N 1 2 312.414 1.023 20 30 DDEDLO C=CCNC(=O)N1CC[N@H+](C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000089487568 407138010 /nfs/dbraw/zinc/13/80/10/407138010.db2.gz SZMZYXJSWOJDCZ-GFCCVEGCSA-N 1 2 312.414 1.023 20 30 DDEDLO C=CCNC(=O)C[N@H+](Cc1ccccc1OC)C[C@@H]1CCCO1 ZINC000051799403 407143674 /nfs/dbraw/zinc/14/36/74/407143674.db2.gz AGAUKGIHOCGSMB-INIZCTEOSA-N 1 2 318.417 1.978 20 30 DDEDLO C=CCNC(=O)C[N@@H+](Cc1ccccc1OC)C[C@@H]1CCCO1 ZINC000051799403 407143677 /nfs/dbraw/zinc/14/36/77/407143677.db2.gz AGAUKGIHOCGSMB-INIZCTEOSA-N 1 2 318.417 1.978 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCCN(CC(F)F)CC1 ZINC000091084268 407176407 /nfs/dbraw/zinc/17/64/07/407176407.db2.gz ULKXQZKIJUPBDO-ZDUSSCGKSA-N 1 2 316.396 1.267 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCCN(CC(F)F)CC1 ZINC000091084268 407176409 /nfs/dbraw/zinc/17/64/09/407176409.db2.gz ULKXQZKIJUPBDO-ZDUSSCGKSA-N 1 2 316.396 1.267 20 30 DDEDLO COC[C@H](C)NC(=O)NC(=O)C[N@H+](CCC#N)CC(C)(C)C ZINC000111250639 407403330 /nfs/dbraw/zinc/40/33/30/407403330.db2.gz BDNJRTGNTXYYCQ-LBPRGKRZSA-N 1 2 312.414 1.109 20 30 DDEDLO COC[C@H](C)NC(=O)NC(=O)C[N@@H+](CCC#N)CC(C)(C)C ZINC000111250639 407403331 /nfs/dbraw/zinc/40/33/31/407403331.db2.gz BDNJRTGNTXYYCQ-LBPRGKRZSA-N 1 2 312.414 1.109 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)c2cccs2)CC1 ZINC000112352190 407427139 /nfs/dbraw/zinc/42/71/39/407427139.db2.gz PNLHHNYIPVEFIG-CYBMUJFWSA-N 1 2 321.446 1.587 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)c2cccs2)CC1 ZINC000112352190 407427140 /nfs/dbraw/zinc/42/71/40/407427140.db2.gz PNLHHNYIPVEFIG-CYBMUJFWSA-N 1 2 321.446 1.587 20 30 DDEDLO COC(=O)[C@]1([NH2+]CCCOc2cccc(C#N)c2)CCOC1 ZINC000271088965 407509016 /nfs/dbraw/zinc/50/90/16/407509016.db2.gz GZHNAOAJFGRKGX-INIZCTEOSA-N 1 2 304.346 1.249 20 30 DDEDLO C=C(C)COCCNC(=O)N1CCC[C@@H]1C[NH+]1CCOCC1 ZINC000151515149 407449953 /nfs/dbraw/zinc/44/99/53/407449953.db2.gz JEBIFMMGUILOJU-OAHLLOKOSA-N 1 2 311.426 1.085 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](CCc2ccc(F)c(F)c2)CC1 ZINC000151881920 407518800 /nfs/dbraw/zinc/51/88/00/407518800.db2.gz UBXOKOJGWAOUPJ-UHFFFAOYSA-N 1 2 323.387 1.427 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(CCc2ccc(F)c(F)c2)CC1 ZINC000151881920 407518802 /nfs/dbraw/zinc/51/88/02/407518802.db2.gz UBXOKOJGWAOUPJ-UHFFFAOYSA-N 1 2 323.387 1.427 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCCC[C@]1(C)C(N)=O)C1(C#N)CCCCC1 ZINC000185894840 407533358 /nfs/dbraw/zinc/53/33/58/407533358.db2.gz NJJYFDDCFCWOIP-MRXNPFEDSA-N 1 2 320.437 1.401 20 30 DDEDLO CN(C(=O)C[N@H+]1CCCC[C@]1(C)C(N)=O)C1(C#N)CCCCC1 ZINC000185894840 407533364 /nfs/dbraw/zinc/53/33/64/407533364.db2.gz NJJYFDDCFCWOIP-MRXNPFEDSA-N 1 2 320.437 1.401 20 30 DDEDLO C[C@@H]1CCC[C@@H]1CC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000185909036 407536687 /nfs/dbraw/zinc/53/66/87/407536687.db2.gz ZMDHTNGTKKXYRP-HZPDHXFCSA-N 1 2 307.438 1.887 20 30 DDEDLO C=C[C@@H](C)NC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000128561887 407546659 /nfs/dbraw/zinc/54/66/59/407546659.db2.gz GWRNCGYIBVPKOB-GDBMZVCRSA-N 1 2 303.406 1.934 20 30 DDEDLO C=C[C@H](C)NC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000128561660 407547357 /nfs/dbraw/zinc/54/73/57/407547357.db2.gz GWRNCGYIBVPKOB-GOEBONIOSA-N 1 2 303.406 1.934 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)C[N@H+]1CCCOc1ccc(C#N)cc1 ZINC000116282457 407739318 /nfs/dbraw/zinc/73/93/18/407739318.db2.gz DRAPJQKWJUEPFR-JKSUJKDBSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)C[N@@H+]1CCCOc1ccc(C#N)cc1 ZINC000116282457 407739324 /nfs/dbraw/zinc/73/93/24/407739324.db2.gz DRAPJQKWJUEPFR-JKSUJKDBSA-N 1 2 318.373 1.589 20 30 DDEDLO CO/N=C(/C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1)c1ccco1 ZINC000179166260 407741608 /nfs/dbraw/zinc/74/16/08/407741608.db2.gz GAGVOHWPOWOTTH-WGBIJJAVSA-N 1 2 314.345 1.420 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@@H+]3CCc4n[nH]cc4C3)C2=O)cc1 ZINC000272510038 407956475 /nfs/dbraw/zinc/95/64/75/407956475.db2.gz OUABATLQVLRQAW-KRWDZBQOSA-N 1 2 321.384 1.835 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H]([N@H+]3CCc4n[nH]cc4C3)C2=O)cc1 ZINC000272510038 407956478 /nfs/dbraw/zinc/95/64/78/407956478.db2.gz OUABATLQVLRQAW-KRWDZBQOSA-N 1 2 321.384 1.835 20 30 DDEDLO C[C@@H](C(=O)N(C)C)[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000153860436 407920372 /nfs/dbraw/zinc/92/03/72/407920372.db2.gz YRFQBFNEZJXVLN-GOEBONIOSA-N 1 2 300.406 1.346 20 30 DDEDLO C#CCNC(=O)[C@@H](C)N1CCc2c([nH+]cn2-c2ccccc2)C1 ZINC000272592641 407997550 /nfs/dbraw/zinc/99/75/50/407997550.db2.gz UAVPTQGONLWHDU-CQSZACIVSA-N 1 2 308.385 1.368 20 30 DDEDLO O=C(C#Cc1ccccc1)NCc1ccc[nH+]c1N1CCOCC1 ZINC000174962065 408016090 /nfs/dbraw/zinc/01/60/90/408016090.db2.gz YUSRUJHLSRIARR-UHFFFAOYSA-N 1 2 321.380 1.586 20 30 DDEDLO N#CCCCNC(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000273130116 408069498 /nfs/dbraw/zinc/06/94/98/408069498.db2.gz GEISVBFCQZRCDC-MRXNPFEDSA-N 1 2 316.405 1.490 20 30 DDEDLO N#CCCCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000273130116 408069502 /nfs/dbraw/zinc/06/95/02/408069502.db2.gz GEISVBFCQZRCDC-MRXNPFEDSA-N 1 2 316.405 1.490 20 30 DDEDLO C[C@H]1CN(c2ccc(CNC(=O)NCCCC#N)c[nH+]2)CCO1 ZINC000273182431 408094760 /nfs/dbraw/zinc/09/47/60/408094760.db2.gz QHSYPHXEMMRATI-ZDUSSCGKSA-N 1 2 317.393 1.410 20 30 DDEDLO CN(C)C(=O)C[N@H+](C)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000182632291 408212373 /nfs/dbraw/zinc/21/23/73/408212373.db2.gz VPFIITMUTJTXIX-HNNXBMFYSA-N 1 2 314.389 1.074 20 30 DDEDLO CN(C)C(=O)C[N@@H+](C)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000182632291 408212379 /nfs/dbraw/zinc/21/23/79/408212379.db2.gz VPFIITMUTJTXIX-HNNXBMFYSA-N 1 2 314.389 1.074 20 30 DDEDLO COCC1CC[NH+](CCS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000176242499 408322384 /nfs/dbraw/zinc/32/23/84/408322384.db2.gz ZDFNQWYRGRGNCD-UHFFFAOYSA-N 1 2 322.430 1.690 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[NH+]1CCC(OCCO)CC1 ZINC000135388060 162102116 /nfs/dbraw/zinc/10/21/16/162102116.db2.gz KLKZQWYCMGMIHA-UHFFFAOYSA-N 1 2 309.391 1.032 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1ncn(C)n1 ZINC000275601458 408615976 /nfs/dbraw/zinc/61/59/76/408615976.db2.gz JGNCUGCBKDMGAJ-IUODEOHRSA-N 1 2 324.388 1.533 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cccc2c1OCO2 ZINC000161617610 408620983 /nfs/dbraw/zinc/62/09/83/408620983.db2.gz BBRCNEXXYLNUJA-UHFFFAOYSA-N 1 2 317.389 1.463 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C[C@@H]1O ZINC000270869912 408715966 /nfs/dbraw/zinc/71/59/66/408715966.db2.gz JASZJKSAZFKGEI-AAEUAGOBSA-N 1 2 307.419 1.878 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)Nc2sc(C)c(C)c2C#N)C[C@@H]1O ZINC000270869912 408715970 /nfs/dbraw/zinc/71/59/70/408715970.db2.gz JASZJKSAZFKGEI-AAEUAGOBSA-N 1 2 307.419 1.878 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](C)c1nc(-c2cccc(OC)c2)no1 ZINC000185112259 408758633 /nfs/dbraw/zinc/75/86/33/408758633.db2.gz CXOQSMMZYDLLPS-NSHDSACASA-N 1 2 316.361 1.698 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2cncc(F)c2)CC1 ZINC000270962611 408762507 /nfs/dbraw/zinc/76/25/07/408762507.db2.gz VFHSCIRCAACQOS-UHFFFAOYSA-N 1 2 319.384 1.105 20 30 DDEDLO C=CC[N@@H+](Cc1c(C)nn(CCS(C)(=O)=O)c1C)C1CC1 ZINC000281292069 408878026 /nfs/dbraw/zinc/87/80/26/408878026.db2.gz MTMLUVCIKIQUJA-UHFFFAOYSA-N 1 2 311.451 1.695 20 30 DDEDLO C=CC[N@H+](Cc1c(C)nn(CCS(C)(=O)=O)c1C)C1CC1 ZINC000281292069 408878028 /nfs/dbraw/zinc/87/80/28/408878028.db2.gz MTMLUVCIKIQUJA-UHFFFAOYSA-N 1 2 311.451 1.695 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(F)cc3C#N)C[C@@H]21 ZINC000285872479 408881111 /nfs/dbraw/zinc/88/11/11/408881111.db2.gz RKSFCMWLQUAVGR-GJZGRUSLSA-N 1 2 318.352 1.634 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(F)cc3C#N)C[C@@H]21 ZINC000285872479 408881113 /nfs/dbraw/zinc/88/11/13/408881113.db2.gz RKSFCMWLQUAVGR-GJZGRUSLSA-N 1 2 318.352 1.634 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1C[C@@H](NC(=O)c2ccc(C#N)cc2)CCO1 ZINC000276232200 408826627 /nfs/dbraw/zinc/82/66/27/408826627.db2.gz KAXVRPILLBQPJB-GOEBONIOSA-N 1 2 310.357 1.942 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2c(C)nc3sccn23)C1=O ZINC000281122240 408873332 /nfs/dbraw/zinc/87/33/32/408873332.db2.gz CGDZRZCNGQWCCG-GFCCVEGCSA-N 1 2 304.419 1.923 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2c(C)nc3sccn23)C1=O ZINC000281122240 408873336 /nfs/dbraw/zinc/87/33/36/408873336.db2.gz CGDZRZCNGQWCCG-GFCCVEGCSA-N 1 2 304.419 1.923 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H]2CCc3ccccc3NC2=O)C1=O ZINC000281527639 408889697 /nfs/dbraw/zinc/88/96/97/408889697.db2.gz ZTMKTMMEXUJDGX-JKSUJKDBSA-N 1 2 313.401 1.659 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H]2CCc3ccccc3NC2=O)C1=O ZINC000281527639 408889700 /nfs/dbraw/zinc/88/97/00/408889700.db2.gz ZTMKTMMEXUJDGX-JKSUJKDBSA-N 1 2 313.401 1.659 20 30 DDEDLO Cc1ccc(C#N)c(Nc2ccc(N3CCNC(=O)C3)[nH+]c2)n1 ZINC000286061042 408916889 /nfs/dbraw/zinc/91/68/89/408916889.db2.gz ILFNZOOICPMKKJ-UHFFFAOYSA-N 1 2 308.345 1.337 20 30 DDEDLO C#Cc1cccc(NC(=O)N2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)c1 ZINC000286928843 409002058 /nfs/dbraw/zinc/00/20/58/409002058.db2.gz GAWSQEMQCDWXFE-WMLDXEAASA-N 1 2 313.401 1.995 20 30 DDEDLO COCC(C)(C)[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000292104194 408981158 /nfs/dbraw/zinc/98/11/58/408981158.db2.gz GLIAOKMXDKJAJP-UHFFFAOYSA-N 1 2 310.419 1.689 20 30 DDEDLO COCC(C)(C)[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000292104194 408981160 /nfs/dbraw/zinc/98/11/60/408981160.db2.gz GLIAOKMXDKJAJP-UHFFFAOYSA-N 1 2 310.419 1.689 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N1CCN(c2ccc(OC)cc2)CC1 ZINC000293309608 409086761 /nfs/dbraw/zinc/08/67/61/409086761.db2.gz WXZLHJYSYWCRLU-UHFFFAOYSA-N 1 2 315.417 1.299 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N1CCN(c2ccc(OC)cc2)CC1 ZINC000293309608 409086762 /nfs/dbraw/zinc/08/67/62/409086762.db2.gz WXZLHJYSYWCRLU-UHFFFAOYSA-N 1 2 315.417 1.299 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)COc1ccccc1C#N ZINC000279298451 409187649 /nfs/dbraw/zinc/18/76/49/409187649.db2.gz METWBBBMRWSYQS-CQSZACIVSA-N 1 2 317.389 1.116 20 30 DDEDLO CCc1cc(N)nc(SCC(=O)N[C@](C)(C#N)C2CC2)[nH+]1 ZINC000279128809 409154111 /nfs/dbraw/zinc/15/41/11/409154111.db2.gz VTALIQFYWPQGGX-CQSZACIVSA-N 1 2 305.407 1.522 20 30 DDEDLO C#Cc1ccc(C[N@H+]2CC[C@H](C(=O)OC)C[C@H]2C(=O)OC)cc1 ZINC000289071796 409214695 /nfs/dbraw/zinc/21/46/95/409214695.db2.gz AIJSVTLHTIBXLG-HOTGVXAUSA-N 1 2 315.369 1.595 20 30 DDEDLO C#Cc1ccc(C[N@@H+]2CC[C@H](C(=O)OC)C[C@H]2C(=O)OC)cc1 ZINC000289071796 409214698 /nfs/dbraw/zinc/21/46/98/409214698.db2.gz AIJSVTLHTIBXLG-HOTGVXAUSA-N 1 2 315.369 1.595 20 30 DDEDLO CC(=O)c1cccc(NC(=O)[C@H](C)O[NH+]=C(N)[C@H]2CCCO2)c1 ZINC000283859179 409230062 /nfs/dbraw/zinc/23/00/62/409230062.db2.gz CDSJIOZXEIUITF-SMDDNHRTSA-N 1 2 319.361 1.684 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)[C@@H]2CCC(=O)c3ccccc32)CC1 ZINC000283906494 409236847 /nfs/dbraw/zinc/23/68/47/409236847.db2.gz KWWFIKVWHQYRSX-QGZVFWFLSA-N 1 2 310.397 1.914 20 30 DDEDLO C=CCN1CC[C@H](O[NH+]=C(N)c2ccc3c(c2)CCO3)C1=O ZINC000289308013 409245369 /nfs/dbraw/zinc/24/53/69/409245369.db2.gz AYSQSESWEIDNAL-AWEZNQCLSA-N 1 2 301.346 1.045 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)NCc3cccc(C#N)c3)C[C@H]21 ZINC000284031649 409261034 /nfs/dbraw/zinc/26/10/34/409261034.db2.gz OGQMZFPZFRUEKP-CVEARBPZSA-N 1 2 314.389 1.173 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)NCc3cccc(C#N)c3)C[C@H]21 ZINC000284031649 409261036 /nfs/dbraw/zinc/26/10/36/409261036.db2.gz OGQMZFPZFRUEKP-CVEARBPZSA-N 1 2 314.389 1.173 20 30 DDEDLO Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)N1CCOC[C@H]1C#N ZINC000280233269 409275439 /nfs/dbraw/zinc/27/54/39/409275439.db2.gz SMBZCXFQHSKEAK-SNVBAGLBSA-N 1 2 320.299 1.374 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000290000911 409291912 /nfs/dbraw/zinc/29/19/12/409291912.db2.gz TVDONUFCNVEZCV-GXTWGEPZSA-N 1 2 323.828 1.791 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000290000911 409291915 /nfs/dbraw/zinc/29/19/15/409291915.db2.gz TVDONUFCNVEZCV-GXTWGEPZSA-N 1 2 323.828 1.791 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1ccc(C#N)c(F)c1 ZINC000290234636 409329403 /nfs/dbraw/zinc/32/94/03/409329403.db2.gz SAHJPXPZNLMHDT-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[NH+]2CCC(CF)CC2)nc1 ZINC000295056309 409311001 /nfs/dbraw/zinc/31/10/01/409311001.db2.gz BUVKPTBPMHBFHC-UHFFFAOYSA-N 1 2 311.382 1.408 20 30 DDEDLO Cc1nc2sccn2c(=O)c1CCO[NH+]=C(N)[C@@H]1CCCO1 ZINC000285094704 409397953 /nfs/dbraw/zinc/39/79/53/409397953.db2.gz IIAYGVCRPNSBCW-NSHDSACASA-N 1 2 322.390 1.075 20 30 DDEDLO NC(=[NH+]OCC(=O)N[C@H](c1ccccc1)C1CC1)[C@H]1CCCO1 ZINC000284460392 409340745 /nfs/dbraw/zinc/34/07/45/409340745.db2.gz QQQZIMFTHRHEMB-GDBMZVCRSA-N 1 2 317.389 1.722 20 30 DDEDLO Cc1[nH+]c2cc(F)c(F)cc2n1CC(=O)N[C@]1(C#N)CCOC1 ZINC000356833424 409645864 /nfs/dbraw/zinc/64/58/64/409645864.db2.gz RZORUOKPZPNQAJ-HNNXBMFYSA-N 1 2 320.299 1.422 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)c2cc(F)c(F)c(O)c2F)CC1 ZINC000315336754 409840599 /nfs/dbraw/zinc/84/05/99/409840599.db2.gz VYGXBEHHYKBXQC-UHFFFAOYSA-N 1 2 313.279 1.527 20 30 DDEDLO CC(C)C(=O)N1CCN(Cc2c[nH+]c3ccc(C#N)cn23)CC1 ZINC000349613980 409886299 /nfs/dbraw/zinc/88/62/99/409886299.db2.gz PQEBHBLLZUMZIY-UHFFFAOYSA-N 1 2 311.389 1.506 20 30 DDEDLO CC[C@H](C(=O)N[C@H]1CCC(=O)N(C)C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000328795702 409980001 /nfs/dbraw/zinc/98/00/01/409980001.db2.gz UGLXTAXTRMEULB-BFHYXJOUSA-N 1 2 311.426 1.453 20 30 DDEDLO CC[C@H](C(=O)N[C@H]1CCC(=O)N(C)C1)[N@H+]1CCO[C@H](CC)C1 ZINC000328795702 409980007 /nfs/dbraw/zinc/98/00/07/409980007.db2.gz UGLXTAXTRMEULB-BFHYXJOUSA-N 1 2 311.426 1.453 20 30 DDEDLO C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@H+](C)[C@H]1CCNC1=O ZINC000328804211 409982339 /nfs/dbraw/zinc/98/23/39/409982339.db2.gz BRAQNSSEBKKFHP-DLOXAQCQSA-N 1 2 320.437 1.966 20 30 DDEDLO C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@@H+](C)[C@H]1CCNC1=O ZINC000328804211 409982345 /nfs/dbraw/zinc/98/23/45/409982345.db2.gz BRAQNSSEBKKFHP-DLOXAQCQSA-N 1 2 320.437 1.966 20 30 DDEDLO N#C[C@@H]1CC[C@H]([NH+]2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC000328837103 409989119 /nfs/dbraw/zinc/98/91/19/409989119.db2.gz MXNNXAZYYWGUGB-KGLIPLIRSA-N 1 2 320.418 1.080 20 30 DDEDLO COc1cc(C[NH+]2CCN(C(=O)[C@H](C)C#N)CC2)cc(OC)c1 ZINC000354562617 409939355 /nfs/dbraw/zinc/93/93/55/409939355.db2.gz GGNVDGRBNFMFJU-CYBMUJFWSA-N 1 2 317.389 1.508 20 30 DDEDLO CCN1CCN(C(=O)NC[C@@H]2CCCCO2)C[C@H]1c1[nH]cc[nH+]1 ZINC000328636653 409942632 /nfs/dbraw/zinc/94/26/32/409942632.db2.gz VQCXAJTYGXWETE-KBPBESRZSA-N 1 2 321.425 1.571 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)N[C@H]2CCCc3c[nH]nc32)C1 ZINC000328882366 409999913 /nfs/dbraw/zinc/99/99/13/409999913.db2.gz XCKYIVDMGHSWNB-OLZOCXBDSA-N 1 2 314.393 1.924 20 30 DDEDLO CC(C)N1C(=O)N[C@@H]2C[N@@H+](CC(=O)NCC3CCC3)CC[C@@H]21 ZINC000329028136 410061674 /nfs/dbraw/zinc/06/16/74/410061674.db2.gz OSTCZNLLXDKNOF-KGLIPLIRSA-N 1 2 308.426 1.824 20 30 DDEDLO CC(C)N1C(=O)N[C@@H]2C[N@H+](CC(=O)NCC3CCC3)CC[C@@H]21 ZINC000329028136 410061679 /nfs/dbraw/zinc/06/16/79/410061679.db2.gz OSTCZNLLXDKNOF-KGLIPLIRSA-N 1 2 308.426 1.824 20 30 DDEDLO COc1ccc(C[N@@H+]2CCOC[C@@H]2[C@H]2CCCO2)cc1C#N ZINC000329073485 410085329 /nfs/dbraw/zinc/08/53/29/410085329.db2.gz OVFYBTYTMJMBIO-NVXWUHKLSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C[N@H+]2CCOC[C@@H]2[C@H]2CCCO2)cc1C#N ZINC000329073485 410085338 /nfs/dbraw/zinc/08/53/38/410085338.db2.gz OVFYBTYTMJMBIO-NVXWUHKLSA-N 1 2 302.374 1.947 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000329096385 410100813 /nfs/dbraw/zinc/10/08/13/410100813.db2.gz LCTJVZTZQHKGGG-HIFRSBDPSA-N 1 2 323.437 1.312 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000329096385 410100821 /nfs/dbraw/zinc/10/08/21/410100821.db2.gz LCTJVZTZQHKGGG-HIFRSBDPSA-N 1 2 323.437 1.312 20 30 DDEDLO Cc1nc2ccnn2c(C)c1C(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000329138165 410124429 /nfs/dbraw/zinc/12/44/29/410124429.db2.gz DPVOHTKFLNGTGL-NSHDSACASA-N 1 2 310.361 1.931 20 30 DDEDLO Cc1nc2ccnn2c(C)c1C(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000329138165 410124442 /nfs/dbraw/zinc/12/44/42/410124442.db2.gz DPVOHTKFLNGTGL-NSHDSACASA-N 1 2 310.361 1.931 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)N1CC[C@H](C(=O)N2CCCC2)C1 ZINC000329294067 410217627 /nfs/dbraw/zinc/21/76/27/410217627.db2.gz RVPNAWJHBOGWHU-KGLIPLIRSA-N 1 2 319.409 1.130 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)[NH+]=C([O-])N1CC[C@H](C(=O)N2CCCC2)C1 ZINC000329294067 410217633 /nfs/dbraw/zinc/21/76/33/410217633.db2.gz RVPNAWJHBOGWHU-KGLIPLIRSA-N 1 2 319.409 1.130 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)c2cccc(C#N)c2)C1 ZINC000354896837 410154892 /nfs/dbraw/zinc/15/48/92/410154892.db2.gz HQEFZRVIAYSHHD-AWEZNQCLSA-N 1 2 315.373 1.173 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNC(=O)c2cccc(C#N)c2)C1 ZINC000354896837 410154898 /nfs/dbraw/zinc/15/48/98/410154898.db2.gz HQEFZRVIAYSHHD-AWEZNQCLSA-N 1 2 315.373 1.173 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NC[C@]1(C)CCOC1 ZINC000329200941 410161929 /nfs/dbraw/zinc/16/19/29/410161929.db2.gz BQXXDQYPGCAGND-YCPHGPKFSA-N 1 2 311.426 1.122 20 30 DDEDLO CCN1CCOC[C@@H]1C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000329372886 410262259 /nfs/dbraw/zinc/26/22/59/410262259.db2.gz KLNXDOKQDBKFGP-CQSZACIVSA-N 1 2 306.410 1.439 20 30 DDEDLO C[C@H](NC(=O)NCC(C)(C)[NH+]1CCOCC1)[C@@H]1CCCOC1 ZINC000329493515 410325755 /nfs/dbraw/zinc/32/57/55/410325755.db2.gz IBUNFNSTUNMCGR-UONOGXRCSA-N 1 2 313.442 1.416 20 30 DDEDLO Cc1nn(C)cc1[C@H](C)[NH+]=C([O-])N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000329545363 410353874 /nfs/dbraw/zinc/35/38/74/410353874.db2.gz GPCOTZAYJXESJR-GXSJLCMTSA-N 1 2 302.382 1.574 20 30 DDEDLO COc1ccc(OC)c(C[NH+]2CCN(C(=O)[C@H](C)C#N)CC2)c1 ZINC000357843883 410291672 /nfs/dbraw/zinc/29/16/72/410291672.db2.gz YPDGSBQBFJGKQW-CYBMUJFWSA-N 1 2 317.389 1.508 20 30 DDEDLO CCCCCNC(=O)C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355108786 410319288 /nfs/dbraw/zinc/31/92/88/410319288.db2.gz SYINZDJAAPJGSR-UHFFFAOYSA-N 1 2 315.421 1.382 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)NCCNc1cccc[nH+]1 ZINC000358642758 410505775 /nfs/dbraw/zinc/50/57/75/410505775.db2.gz XAAQLWKPBYJVLI-CYBMUJFWSA-N 1 2 310.357 1.949 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000358838874 410532634 /nfs/dbraw/zinc/53/26/34/410532634.db2.gz RPHFVRSMTNDWEO-SECBINFHSA-N 1 2 306.288 1.182 20 30 DDEDLO COc1cc([C@H](C)[NH2+][C@H](C(N)=O)c2ccc(C#N)cc2)ccn1 ZINC000347668032 410665714 /nfs/dbraw/zinc/66/57/14/410665714.db2.gz HXBHRUNZKPOJGT-ZBEGNZNMSA-N 1 2 310.357 1.839 20 30 DDEDLO C=C(C)COCCNC(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000359350337 410615536 /nfs/dbraw/zinc/61/55/36/410615536.db2.gz QZJUOYFSMALIRT-UHFFFAOYSA-N 1 2 316.361 1.290 20 30 DDEDLO COC[C@H]([NH2+]CC(=O)NCc1cccc(C#N)c1)c1ccco1 ZINC000347617533 410633116 /nfs/dbraw/zinc/63/31/16/410633116.db2.gz RSHMHOAGFJEZKX-HNNXBMFYSA-N 1 2 313.357 1.745 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]C[C@H](O)COc2ccc(C#N)cc2)n1 ZINC000348094536 410833367 /nfs/dbraw/zinc/83/33/67/410833367.db2.gz YFDSCJARCNDVCV-MFKMUULPSA-N 1 2 302.334 1.340 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C[C@H](O)COc2ccc(C#N)cc2)n1 ZINC000348094532 410833401 /nfs/dbraw/zinc/83/34/01/410833401.db2.gz YFDSCJARCNDVCV-GWCFXTLKSA-N 1 2 302.334 1.340 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@H]1CCN(C(=O)c2ccc(C#N)o2)C1 ZINC000348294540 410903201 /nfs/dbraw/zinc/90/32/01/410903201.db2.gz XJVQPIKYEOBSAW-LBPRGKRZSA-N 1 2 311.345 1.288 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@H]1CCN(C(=O)c2ccc(C#N)o2)C1 ZINC000348294540 410903210 /nfs/dbraw/zinc/90/32/10/410903210.db2.gz XJVQPIKYEOBSAW-LBPRGKRZSA-N 1 2 311.345 1.288 20 30 DDEDLO CC[C@@]1(CO)CCCN1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000331270974 411050827 /nfs/dbraw/zinc/05/08/27/411050827.db2.gz UREHPTWCTVPPLF-INIZCTEOSA-N 1 2 313.442 1.248 20 30 DDEDLO CC[C@@]1(CO)CCCN1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000331270974 411050833 /nfs/dbraw/zinc/05/08/33/411050833.db2.gz UREHPTWCTVPPLF-INIZCTEOSA-N 1 2 313.442 1.248 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@H](c2noc(C)n2)C1 ZINC000360261370 411116360 /nfs/dbraw/zinc/11/63/60/411116360.db2.gz IBCAVJOZKUQQDS-CQSZACIVSA-N 1 2 306.410 1.982 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@H](c2noc(C)n2)C1 ZINC000360261370 411116362 /nfs/dbraw/zinc/11/63/62/411116362.db2.gz IBCAVJOZKUQQDS-CQSZACIVSA-N 1 2 306.410 1.982 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000652474483 423034093 /nfs/dbraw/zinc/03/40/93/423034093.db2.gz ZFODEKVZFGMIFV-MJBXVCDLSA-N 1 2 302.378 1.303 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000652474482 423035619 /nfs/dbraw/zinc/03/56/19/423035619.db2.gz ZFODEKVZFGMIFV-MCIONIFRSA-N 1 2 302.378 1.303 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@H+]2CCOC[C@@H](O)C2)c1 ZINC000295769194 225213034 /nfs/dbraw/zinc/21/30/34/225213034.db2.gz YJIPYCOSBYQBPB-LBPRGKRZSA-N 1 2 311.179 1.514 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@@H+]2CCOC[C@@H](O)C2)c1 ZINC000295769194 225213039 /nfs/dbraw/zinc/21/30/39/225213039.db2.gz YJIPYCOSBYQBPB-LBPRGKRZSA-N 1 2 311.179 1.514 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000366149902 418433504 /nfs/dbraw/zinc/43/35/04/418433504.db2.gz FSQDPBRUWULXNZ-KBMXLJTQSA-N 1 2 323.437 1.130 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000366149902 418433507 /nfs/dbraw/zinc/43/35/07/418433507.db2.gz FSQDPBRUWULXNZ-KBMXLJTQSA-N 1 2 323.437 1.130 20 30 DDEDLO COc1cc(C[N@@H+]2CCO[C@H]([C@H]3CCCO3)C2)ccc1C#N ZINC000374207959 418506006 /nfs/dbraw/zinc/50/60/06/418506006.db2.gz YGOZOOVRAJOSED-WBVHZDCISA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C[N@H+]2CCO[C@H]([C@H]3CCCO3)C2)ccc1C#N ZINC000374207959 418506009 /nfs/dbraw/zinc/50/60/09/418506009.db2.gz YGOZOOVRAJOSED-WBVHZDCISA-N 1 2 302.374 1.947 20 30 DDEDLO C=CCN(CCOC)C(=O)NCc1cn2c(cccc2C)[nH+]1 ZINC000188798653 222027208 /nfs/dbraw/zinc/02/72/08/222027208.db2.gz RYMGKKZKCUKPQG-UHFFFAOYSA-N 1 2 302.378 1.987 20 30 DDEDLO C#CCOc1ccccc1C[NH+]1CC(n2cc([C@@H](C)O)nn2)C1 ZINC000374824753 418567120 /nfs/dbraw/zinc/56/71/20/418567120.db2.gz WIXPEUVABBYNEA-CYBMUJFWSA-N 1 2 312.373 1.400 20 30 DDEDLO C=CCN1CC[C@H](O[NH+]=C(N)Cc2ccc(Cl)cc2)C1=O ZINC000289342177 418575308 /nfs/dbraw/zinc/57/53/08/418575308.db2.gz GBERVQIAQFVJCC-ZDUSSCGKSA-N 1 2 307.781 1.958 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000367217403 418573659 /nfs/dbraw/zinc/57/36/59/418573659.db2.gz MYJNCYKJAPHWHK-HUUCEWRRSA-N 1 2 311.451 1.250 20 30 DDEDLO Cc1cn2c([nH+]1)CN([C@H](C)C(=O)Nc1ccccc1C#N)CC2 ZINC000374656744 418552267 /nfs/dbraw/zinc/55/22/67/418552267.db2.gz CAYMVLWRIDOSQS-CYBMUJFWSA-N 1 2 309.373 1.906 20 30 DDEDLO C#CCCCC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000192101032 222120075 /nfs/dbraw/zinc/12/00/75/222120075.db2.gz SAXZNMPFPXMAJX-QGZVFWFLSA-N 1 2 300.402 1.980 20 30 DDEDLO C#Cc1ccc(CN2CCC[N@@H+](CC(=O)NC(C)C)CC2)cc1 ZINC000367322714 418585864 /nfs/dbraw/zinc/58/58/64/418585864.db2.gz VHSRKLZFRRLWKU-UHFFFAOYSA-N 1 2 313.445 1.700 20 30 DDEDLO C#Cc1ccc(CN2CCC[N@H+](CC(=O)NC(C)C)CC2)cc1 ZINC000367322714 418585868 /nfs/dbraw/zinc/58/58/68/418585868.db2.gz VHSRKLZFRRLWKU-UHFFFAOYSA-N 1 2 313.445 1.700 20 30 DDEDLO C=CCOC[C@@H](NCc1cc(-n2cc[nH+]c2)cs1)C(=O)OC ZINC000361113951 418587218 /nfs/dbraw/zinc/58/72/18/418587218.db2.gz PJJQJHZNRDNPDG-CQSZACIVSA-N 1 2 321.402 1.768 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](Cc3ccccc3)CC2)CCOCC1 ZINC000194487599 222177040 /nfs/dbraw/zinc/17/70/40/222177040.db2.gz GFBJALADMMHVLR-UHFFFAOYSA-N 1 2 313.401 1.651 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000195193354 222193071 /nfs/dbraw/zinc/19/30/71/222193071.db2.gz ZXRTTYKCXMCOMQ-HJOIGYKYSA-N 1 2 324.465 1.975 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCOC[C@@H]1[C@H]1CCCO1 ZINC000367576241 418612568 /nfs/dbraw/zinc/61/25/68/418612568.db2.gz PKSNISWPQJQNIC-HZPDHXFCSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCOC[C@@H]1[C@H]1CCCO1 ZINC000367576241 418612570 /nfs/dbraw/zinc/61/25/70/418612570.db2.gz PKSNISWPQJQNIC-HZPDHXFCSA-N 1 2 302.374 1.947 20 30 DDEDLO CC[C@@H](C)n1nc(NC(=O)NCC[NH+]2CCOCC2)cc1C ZINC000330683372 418612662 /nfs/dbraw/zinc/61/26/62/418612662.db2.gz IQCUHSDVLMKGGB-GFCCVEGCSA-N 1 2 309.414 1.821 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)O[NH+]=C(N)[C@@H](C)OCC(C)C ZINC000267490558 222388145 /nfs/dbraw/zinc/38/81/45/222388145.db2.gz ZJVCELPTQBITEN-ZIAGYGMSSA-N 1 2 311.426 1.925 20 30 DDEDLO Cn1c[nH+]cc1CON=C(N)c1cccnc1N1CCCCC1 ZINC000267783960 222399080 /nfs/dbraw/zinc/39/90/80/222399080.db2.gz OFFIPSLXWRNHJV-UHFFFAOYSA-N 1 2 314.393 1.643 20 30 DDEDLO CC(C)(C#N)CCS(=O)(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000377827410 418716160 /nfs/dbraw/zinc/71/61/60/418716160.db2.gz WHWPBJXUWYJNOQ-LBPRGKRZSA-N 1 2 310.423 1.859 20 30 DDEDLO C#CCNC(=O)c1ccc(NC[C@@H]2CCCn3cc(C)[nH+]c32)nc1 ZINC000378238563 418719982 /nfs/dbraw/zinc/71/99/82/418719982.db2.gz YPKNNNUBTGHTPF-AWEZNQCLSA-N 1 2 323.400 1.939 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)no1 ZINC000375766549 418682919 /nfs/dbraw/zinc/68/29/19/418682919.db2.gz WJAINNBWMQLPIH-CZUORRHYSA-N 1 2 319.409 1.612 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)no1 ZINC000375766549 418682921 /nfs/dbraw/zinc/68/29/21/418682921.db2.gz WJAINNBWMQLPIH-CZUORRHYSA-N 1 2 319.409 1.612 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH2+][C@H](c3ccncc3)C2)ccc1F ZINC000375902171 418690398 /nfs/dbraw/zinc/69/03/98/418690398.db2.gz OZXGFKDFNFHZPB-INIZCTEOSA-N 1 2 310.332 1.879 20 30 DDEDLO COC[C@@]1(C)CC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000381377533 418728808 /nfs/dbraw/zinc/72/88/08/418728808.db2.gz OJKRABZMHKIFBG-INIZCTEOSA-N 1 2 322.430 1.690 20 30 DDEDLO COC[C@@]1(C)CC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000381377533 418728810 /nfs/dbraw/zinc/72/88/10/418728810.db2.gz OJKRABZMHKIFBG-INIZCTEOSA-N 1 2 322.430 1.690 20 30 DDEDLO C=CCCCCNC(=O)N1CCC(N2CC[N@@H+](C)CC2=O)CC1 ZINC000370349544 418743163 /nfs/dbraw/zinc/74/31/63/418743163.db2.gz RHZMDMZPELRZQW-UHFFFAOYSA-N 1 2 322.453 1.291 20 30 DDEDLO C=CCCCCNC(=O)N1CCC(N2CC[N@H+](C)CC2=O)CC1 ZINC000370349544 418743164 /nfs/dbraw/zinc/74/31/64/418743164.db2.gz RHZMDMZPELRZQW-UHFFFAOYSA-N 1 2 322.453 1.291 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[NH+]([C@H]2CCCCNC2=O)CC1 ZINC000370442607 418744751 /nfs/dbraw/zinc/74/47/51/418744751.db2.gz VTMDVOBYJZRZAA-INIZCTEOSA-N 1 2 319.449 1.568 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000370579177 418746157 /nfs/dbraw/zinc/74/61/57/418746157.db2.gz HTJYQRGTUQKILA-SWLSCSKDSA-N 1 2 306.387 1.186 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000370579177 418746158 /nfs/dbraw/zinc/74/61/58/418746158.db2.gz HTJYQRGTUQKILA-SWLSCSKDSA-N 1 2 306.387 1.186 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)n1C1CC1 ZINC000370729596 418747605 /nfs/dbraw/zinc/74/76/05/418747605.db2.gz JHLWJJWSRNSIEV-OAHLLOKOSA-N 1 2 324.388 1.841 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)n1C1CC1 ZINC000370729596 418747607 /nfs/dbraw/zinc/74/76/07/418747607.db2.gz JHLWJJWSRNSIEV-OAHLLOKOSA-N 1 2 324.388 1.841 20 30 DDEDLO N#C[C@H]1CN(C(=O)c2ccnc(-n3cc[nH+]c3)c2)CCN1C1CC1 ZINC000371000477 418756160 /nfs/dbraw/zinc/75/61/60/418756160.db2.gz PYGZAWFDBWPYQA-HNNXBMFYSA-N 1 2 322.372 1.080 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1CCCOCC1 ZINC000363437438 418766051 /nfs/dbraw/zinc/76/60/51/418766051.db2.gz YTCHQLLZFJUFRT-UHFFFAOYSA-N 1 2 305.403 1.963 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1CCCOCC1 ZINC000363437438 418766054 /nfs/dbraw/zinc/76/60/54/418766054.db2.gz YTCHQLLZFJUFRT-UHFFFAOYSA-N 1 2 305.403 1.963 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCN3C(=O)OC[C@H]3C2)cc1 ZINC000371338267 418780145 /nfs/dbraw/zinc/78/01/45/418780145.db2.gz ZWRGAABTGAHFBU-OAHLLOKOSA-N 1 2 300.358 1.725 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCN3C(=O)OC[C@H]3C2)cc1 ZINC000371338267 418780146 /nfs/dbraw/zinc/78/01/46/418780146.db2.gz ZWRGAABTGAHFBU-OAHLLOKOSA-N 1 2 300.358 1.725 20 30 DDEDLO C[N@@H+]1CCN(C2CCN(c3cccc(F)c3C#N)CC2)C(=O)C1 ZINC000378609147 418725379 /nfs/dbraw/zinc/72/53/79/418725379.db2.gz OPVOZCOJAFLVIS-UHFFFAOYSA-N 1 2 316.380 1.440 20 30 DDEDLO C[N@H+]1CCN(C2CCN(c3cccc(F)c3C#N)CC2)C(=O)C1 ZINC000378609147 418725381 /nfs/dbraw/zinc/72/53/81/418725381.db2.gz OPVOZCOJAFLVIS-UHFFFAOYSA-N 1 2 316.380 1.440 20 30 DDEDLO C=CCOCCNC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000378594161 418725552 /nfs/dbraw/zinc/72/55/52/418725552.db2.gz LZNLPNCQXRMBCU-UHFFFAOYSA-N 1 2 300.362 1.874 20 30 DDEDLO C=CCN(CC=C)C(=O)c1cnn(CC[NH+]2CCOCC2)c1 ZINC000365182289 418841992 /nfs/dbraw/zinc/84/19/92/418841992.db2.gz HHJKXWOMETVPPP-UHFFFAOYSA-N 1 2 304.394 1.030 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(CC#Cc2ccc(Cl)cc2)CC1 ZINC000426391309 419455838 /nfs/dbraw/zinc/45/58/38/419455838.db2.gz SSEIXBKGPFHTMC-UHFFFAOYSA-N 1 2 319.836 1.445 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNS(=O)(=O)c1cccnc1C#N ZINC000428402429 419859109 /nfs/dbraw/zinc/85/91/09/419859109.db2.gz PBTFQTVIDRTXPB-UHFFFAOYSA-N 1 2 319.390 1.284 20 30 DDEDLO C[N@H+](Cc1ccc(F)cc1C#N)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000428788464 419932907 /nfs/dbraw/zinc/93/29/07/419932907.db2.gz ORCPCQLBWRFWMN-CQSZACIVSA-N 1 2 311.382 1.163 20 30 DDEDLO C[N@@H+](Cc1ccc(F)cc1C#N)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000428788464 419932910 /nfs/dbraw/zinc/93/29/10/419932910.db2.gz ORCPCQLBWRFWMN-CQSZACIVSA-N 1 2 311.382 1.163 20 30 DDEDLO CC(C)C[C@@H](CNC(=O)c1ccc(C#N)o1)[NH+]1CCOCC1 ZINC000429828621 420050876 /nfs/dbraw/zinc/05/08/76/420050876.db2.gz KJBPMVLFOCBZRU-ZDUSSCGKSA-N 1 2 305.378 1.628 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1NCC1([NH+]2CCOCC2)CC1 ZINC000425254757 420329834 /nfs/dbraw/zinc/32/98/34/420329834.db2.gz FNOUYWCVEAYEDG-UHFFFAOYSA-N 1 2 302.334 1.743 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N[C@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000416409962 420360683 /nfs/dbraw/zinc/36/06/83/420360683.db2.gz DGKHIZAEECTJCE-VXGBXAGGSA-N 1 2 304.375 1.765 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC[C@@H](n3ccnn3)C2)cc1 ZINC000425521415 420407032 /nfs/dbraw/zinc/40/70/32/420407032.db2.gz ZUGIWUUFFPUUTD-HUUCEWRRSA-N 1 2 310.361 1.013 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC[C@@H](n3ccnn3)C2)cc1 ZINC000425521415 420407036 /nfs/dbraw/zinc/40/70/36/420407036.db2.gz ZUGIWUUFFPUUTD-HUUCEWRRSA-N 1 2 310.361 1.013 20 30 DDEDLO Cc1nc(N2CCN(c3ccc([N+](=O)[O-])cc3C#N)CC2)cc[nH+]1 ZINC000302165239 230137311 /nfs/dbraw/zinc/13/73/11/230137311.db2.gz QWSTYPHFTACNPW-UHFFFAOYSA-N 1 2 324.344 1.892 20 30 DDEDLO N#Cc1ccccc1N1CCN(C(=O)C=Cc2c[nH]c[nH+]2)CC1 ZINC000492859804 420690121 /nfs/dbraw/zinc/69/01/21/420690121.db2.gz NQZVZMBMDGIFPN-AATRIKPKSA-N 1 2 307.357 1.643 20 30 DDEDLO CC1CCN(C(=O)N(CCC#N)CC[NH+]2CCOCC2)CC1 ZINC000442952643 420732380 /nfs/dbraw/zinc/73/23/80/420732380.db2.gz SWERTPBTGQBSCB-UHFFFAOYSA-N 1 2 308.426 1.386 20 30 DDEDLO C[C@@H](NC(=O)N(CCC#N)CC[NH+]1CCOCC1)C(C)(F)F ZINC000455780214 421060975 /nfs/dbraw/zinc/06/09/75/421060975.db2.gz INWCXLYKGRFOBH-GFCCVEGCSA-N 1 2 318.368 1.288 20 30 DDEDLO Cn1cc(C[N@@H+]2CCCC[C@H]2C(=O)NCC(F)F)cc1C#N ZINC000449603234 421035768 /nfs/dbraw/zinc/03/57/68/421035768.db2.gz QPPWJECYAFYWPB-ZDUSSCGKSA-N 1 2 310.348 1.633 20 30 DDEDLO Cn1cc(C[N@H+]2CCCC[C@H]2C(=O)NCC(F)F)cc1C#N ZINC000449603234 421035770 /nfs/dbraw/zinc/03/57/70/421035770.db2.gz QPPWJECYAFYWPB-ZDUSSCGKSA-N 1 2 310.348 1.633 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)CC1CC(F)(F)C1 ZINC000449818741 421089497 /nfs/dbraw/zinc/08/94/97/421089497.db2.gz NBMFIKXIHVMCRP-UHFFFAOYSA-N 1 2 315.364 1.496 20 30 DDEDLO C=CCn1cccc1C(=O)N(CC1CC1)C[C@H]1C[N@H+](C)CCO1 ZINC000488877546 421123382 /nfs/dbraw/zinc/12/33/82/421123382.db2.gz KLOUDIZNHLQRLK-MRXNPFEDSA-N 1 2 317.433 1.857 20 30 DDEDLO C=CCn1cccc1C(=O)N(CC1CC1)C[C@H]1C[N@@H+](C)CCO1 ZINC000488877546 421123384 /nfs/dbraw/zinc/12/33/84/421123384.db2.gz KLOUDIZNHLQRLK-MRXNPFEDSA-N 1 2 317.433 1.857 20 30 DDEDLO CCOC(=O)c1nn(C)cc1C[NH2+]Cc1ccc(C#N)c(F)c1 ZINC000449735012 421070684 /nfs/dbraw/zinc/07/06/84/421070684.db2.gz FOQRCOBLKFCPEM-UHFFFAOYSA-N 1 2 316.336 1.897 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3nc(N)ns3)CC2)ccc1C#N ZINC000488168347 421073930 /nfs/dbraw/zinc/07/39/30/421073930.db2.gz XZRGBYCYHRPTOB-UHFFFAOYSA-N 1 2 314.418 1.623 20 30 DDEDLO C=CCCCS(=O)(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC000489681280 421171090 /nfs/dbraw/zinc/17/10/90/421171090.db2.gz DFZWQXVKHIOKOA-CQSZACIVSA-N 1 2 311.451 1.971 20 30 DDEDLO C=CCCCS(=O)(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000489884603 421177848 /nfs/dbraw/zinc/17/78/48/421177848.db2.gz JZXCKGLUVSNEOG-UONOGXRCSA-N 1 2 302.440 1.077 20 30 DDEDLO C[C@H]1CN(c2cccc(C#N)c2[N+](=O)[O-])C[C@H]1[NH+]1CCOCC1 ZINC000450370683 421189857 /nfs/dbraw/zinc/18/98/57/421189857.db2.gz AIGVDNCWUOMSDE-SWLSCSKDSA-N 1 2 316.361 1.623 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CN1CC2(CN(C(=O)OC(C)(C)C)C2)CC1=O ZINC000491514023 421198336 /nfs/dbraw/zinc/19/83/36/421198336.db2.gz GADKNKJGLTYZDQ-CYBMUJFWSA-N 1 2 321.421 1.367 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CN1CC2(CN(C(=O)OC(C)(C)C)C2)CC1=O ZINC000491514023 421198338 /nfs/dbraw/zinc/19/83/38/421198338.db2.gz GADKNKJGLTYZDQ-CYBMUJFWSA-N 1 2 321.421 1.367 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCC[C@H]1c1ccc(=O)[nH]n1)C1CC1 ZINC000528790259 421519091 /nfs/dbraw/zinc/51/90/91/421519091.db2.gz KZPXAQVCJCMHGD-BBRMVZONSA-N 1 2 315.377 1.128 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@H]1c1ccc(=O)[nH]n1)C1CC1 ZINC000528790259 421519094 /nfs/dbraw/zinc/51/90/94/421519094.db2.gz KZPXAQVCJCMHGD-BBRMVZONSA-N 1 2 315.377 1.128 20 30 DDEDLO C=CCN(C(=O)C[C@H](C)n1cc[nH+]c1)[C@H]1CCS(=O)(=O)C1 ZINC000515063680 421477499 /nfs/dbraw/zinc/47/74/99/421477499.db2.gz XLSLUVYOSWCOLV-STQMWFEESA-N 1 2 311.407 1.036 20 30 DDEDLO COC(=O)c1ccc(SCC[NH+]2CCOCC2)c(C#N)n1 ZINC000563634959 421531585 /nfs/dbraw/zinc/53/15/85/421531585.db2.gz HROVNQRTJDTBSE-UHFFFAOYSA-N 1 2 307.375 1.164 20 30 DDEDLO CCOc1ccc(CCC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000567506677 421612362 /nfs/dbraw/zinc/61/23/62/421612362.db2.gz ZCHNGGUFBDPAIU-QGZVFWFLSA-N 1 2 303.406 1.978 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H]1CCN(c2ccccc2)C1 ZINC000567995883 421616277 /nfs/dbraw/zinc/61/62/77/421616277.db2.gz YCVHDKYWHWRPSN-PBHICJAKSA-N 1 2 300.406 1.473 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC[C@@H](Nc2ccc(C#N)cc2)C1=O ZINC000519664395 421715520 /nfs/dbraw/zinc/71/55/20/421715520.db2.gz SEMVGNNBSNNQHN-OAHLLOKOSA-N 1 2 309.373 1.895 20 30 DDEDLO CC(C)C(=O)Nc1cccc(NC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000521614453 421794501 /nfs/dbraw/zinc/79/45/01/421794501.db2.gz PLZLPPIHCLDUKW-INIZCTEOSA-N 1 2 318.421 1.899 20 30 DDEDLO CC(C)C(=O)Nc1cccc(NC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000521614453 421794504 /nfs/dbraw/zinc/79/45/04/421794504.db2.gz PLZLPPIHCLDUKW-INIZCTEOSA-N 1 2 318.421 1.899 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC[C@H](Nc2cccc(C#N)n2)C1=O ZINC000543048036 421833183 /nfs/dbraw/zinc/83/31/83/421833183.db2.gz OAODAPOHIMDFKM-ZDUSSCGKSA-N 1 2 310.361 1.290 20 30 DDEDLO N#Cc1ccnc(C(=O)N[C@H]2CCCC[C@@H]2[NH+]2CCOCC2)c1 ZINC000580971031 421873081 /nfs/dbraw/zinc/87/30/81/421873081.db2.gz VYXKYOVXPDNEOA-HOCLYGCPSA-N 1 2 314.389 1.326 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)COc1cccc2cccnc21 ZINC000573567174 421983129 /nfs/dbraw/zinc/98/31/29/421983129.db2.gz JKTZLLDFAWUAEK-QGZVFWFLSA-N 1 2 312.373 1.574 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000628575100 422237739 /nfs/dbraw/zinc/23/77/39/422237739.db2.gz BISMVLRIIZTULC-WBVHZDCISA-N 1 2 310.438 1.321 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000628575100 422237745 /nfs/dbraw/zinc/23/77/45/422237745.db2.gz BISMVLRIIZTULC-WBVHZDCISA-N 1 2 310.438 1.321 20 30 DDEDLO Cc1nc(N)c(CNC(=O)C2(c3ccc(C#N)cc3)CC2)c[nH+]1 ZINC000584293995 422294961 /nfs/dbraw/zinc/29/49/61/422294961.db2.gz YNBPZISCEYBDJR-UHFFFAOYSA-N 1 2 307.357 1.587 20 30 DDEDLO C=C(C)C[C@H]1NC(=O)N(CCc2cn3c([nH+]2)CCCC3)C1=O ZINC000630967199 422446515 /nfs/dbraw/zinc/44/65/15/422446515.db2.gz IRAIOEXLFLNYSX-CYBMUJFWSA-N 1 2 302.378 1.649 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(c2ncc3c(c2C#N)CCC3)CC1 ZINC000577723646 422414336 /nfs/dbraw/zinc/41/43/36/422414336.db2.gz BGRGUVWHRQAYDQ-UHFFFAOYSA-N 1 2 322.416 1.498 20 30 DDEDLO C[C@@H](Nc1cc(C#N)nc(C2CC2)n1)[C@H](C)[NH+]1CCOCC1 ZINC000601115066 422417029 /nfs/dbraw/zinc/41/70/29/422417029.db2.gz ZCGNTKFFURNATI-NEPJUHHUSA-N 1 2 301.394 1.747 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N2[C@H](C)CCC[C@H]2C)nn1 ZINC000640785707 423182520 /nfs/dbraw/zinc/18/25/20/423182520.db2.gz LROPRXSKKJDXRE-ZIAGYGMSSA-N 1 2 303.410 1.181 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)NC2CCCCC2)nn1 ZINC000640825249 423215030 /nfs/dbraw/zinc/21/50/30/423215030.db2.gz CJLNJNFZWAQPJH-CYBMUJFWSA-N 1 2 303.410 1.401 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N[C@H]2CCCC[C@H]2C)nn1 ZINC000640829494 423217072 /nfs/dbraw/zinc/21/70/72/423217072.db2.gz MYFZDLGBHKXWQI-FMKPAKJESA-N 1 2 317.437 1.647 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)c2cn(-c3ccccc3)nn2)nn1 ZINC000641149054 423422954 /nfs/dbraw/zinc/42/29/54/423422954.db2.gz RRDYCJXCVJTGMB-AWEZNQCLSA-N 1 2 321.388 1.581 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc(C3CCCC3)no2)nn1 ZINC000641095785 423381613 /nfs/dbraw/zinc/38/16/13/423381613.db2.gz OAQAAWQKCMPEOF-UHFFFAOYSA-N 1 2 300.366 1.480 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(c3nncn3C)CC2)nn1 ZINC000653547407 423522498 /nfs/dbraw/zinc/52/24/98/423522498.db2.gz KIZXMQBGLSTMEY-UHFFFAOYSA-N 1 2 301.398 1.362 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H](c3nnc(C)[nH]3)C2)nn1 ZINC000653569785 423535614 /nfs/dbraw/zinc/53/56/14/423535614.db2.gz KYBXKLSNHXTQHO-CYBMUJFWSA-N 1 2 301.398 1.660 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H](c3nnc(C)[nH]3)C2)nn1 ZINC000653569785 423535617 /nfs/dbraw/zinc/53/56/17/423535617.db2.gz KYBXKLSNHXTQHO-CYBMUJFWSA-N 1 2 301.398 1.660 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H](c3nc(C)n[nH]3)C2)nn1 ZINC000653569785 423535623 /nfs/dbraw/zinc/53/56/23/423535623.db2.gz KYBXKLSNHXTQHO-CYBMUJFWSA-N 1 2 301.398 1.660 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H](c3nc(C)n[nH]3)C2)nn1 ZINC000653569785 423535626 /nfs/dbraw/zinc/53/56/26/423535626.db2.gz KYBXKLSNHXTQHO-CYBMUJFWSA-N 1 2 301.398 1.660 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1nc(COc2cc(C)cc(C)c2)no1 ZINC000662168384 424451656 /nfs/dbraw/zinc/45/16/56/424451656.db2.gz DHEJWHAZCKTTNC-CYBMUJFWSA-N 1 2 303.362 1.902 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1csc(-c2c(C)c(C)nn(C)c2=O)n1 ZINC000662167818 424451876 /nfs/dbraw/zinc/45/18/76/424451876.db2.gz IWUTUIMBUNQRCY-LLVKDONJSA-N 1 2 320.418 1.157 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000665458952 424805913 /nfs/dbraw/zinc/80/59/13/424805913.db2.gz VEXMLLVPDKFJGR-QGZVFWFLSA-N 1 2 304.390 1.072 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(Cc2ccc(Cl)cc2C#N)CC1 ZINC000359854971 266164343 /nfs/dbraw/zinc/16/43/43/266164343.db2.gz RPWWASJNHCESCH-UHFFFAOYSA-N 1 2 320.824 1.465 20 30 DDEDLO CCOCC[NH+]1CCN(C(=O)c2ccc(F)c(C#N)c2)CC1 ZINC000352740050 266277736 /nfs/dbraw/zinc/27/77/36/266277736.db2.gz SJMPQCIJLBSPKE-UHFFFAOYSA-N 1 2 305.353 1.492 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)CCO1 ZINC000353578428 266378617 /nfs/dbraw/zinc/37/86/17/266378617.db2.gz RHWFYDAICNSRNW-CQSZACIVSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)c(F)c2)CCO1 ZINC000353578428 266378622 /nfs/dbraw/zinc/37/86/22/266378622.db2.gz RHWFYDAICNSRNW-CQSZACIVSA-N 1 2 320.368 1.930 20 30 DDEDLO C[C@@H](C[S@](C)=O)[N@H+](C)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000342631614 266939470 /nfs/dbraw/zinc/93/94/70/266939470.db2.gz GGIPPHMYSRXXGP-SAHAZLINSA-N 1 2 318.402 1.807 20 30 DDEDLO C[C@@H](C[S@](C)=O)[N@@H+](C)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000342631614 266939474 /nfs/dbraw/zinc/93/94/74/266939474.db2.gz GGIPPHMYSRXXGP-SAHAZLINSA-N 1 2 318.402 1.807 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)c1cccc(F)c1C#N ZINC000376171773 267079775 /nfs/dbraw/zinc/07/97/75/267079775.db2.gz KZFPPUMGLGTCFF-CHWSQXEVSA-N 1 2 317.364 1.633 20 30 DDEDLO C[C@H](CNC(=O)[C@H](C)c1cccc(C#N)c1)[NH+]1CCOCC1 ZINC000360003694 267160973 /nfs/dbraw/zinc/16/09/73/267160973.db2.gz FBCRJHKOGSLBGI-ZIAGYGMSSA-N 1 2 301.390 1.499 20 30 DDEDLO C[C@H](NC(=O)[C@@H](C)c1cccc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000360144480 267175898 /nfs/dbraw/zinc/17/58/98/267175898.db2.gz VPDLTFQPDXWVEM-KKUMJFAQSA-N 1 2 315.417 1.887 20 30 DDEDLO CC[C@H]1C[N@H+](CCNc2ccc(C(=O)OC)nc2C#N)CCO1 ZINC000522014165 267231311 /nfs/dbraw/zinc/23/13/11/267231311.db2.gz PIGGTNIPTUZWRL-LBPRGKRZSA-N 1 2 318.377 1.263 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNc2ccc(C(=O)OC)nc2C#N)CCO1 ZINC000522014165 267231313 /nfs/dbraw/zinc/23/13/13/267231313.db2.gz PIGGTNIPTUZWRL-LBPRGKRZSA-N 1 2 318.377 1.263 20 30 DDEDLO C[C@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@H]1O ZINC000251687205 267231710 /nfs/dbraw/zinc/23/17/10/267231710.db2.gz OMQNGRLJRWXZPH-SWLSCSKDSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CC[C@H]1O ZINC000251687205 267231706 /nfs/dbraw/zinc/23/17/06/267231706.db2.gz OMQNGRLJRWXZPH-SWLSCSKDSA-N 1 2 308.403 1.035 20 30 DDEDLO Cc1cc(N2CC[NH+]([C@@H](C)C(=O)NC3CC3)CC2)cc(C#N)n1 ZINC000341435955 267339443 /nfs/dbraw/zinc/33/94/43/267339443.db2.gz UXIDSNIJJMXUMP-ZDUSSCGKSA-N 1 2 313.405 1.051 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000366596409 267387026 /nfs/dbraw/zinc/38/70/26/267387026.db2.gz KLASQBDSJVBEAK-HNNXBMFYSA-N 1 2 314.389 1.851 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000366596409 267387034 /nfs/dbraw/zinc/38/70/34/267387034.db2.gz KLASQBDSJVBEAK-HNNXBMFYSA-N 1 2 314.389 1.851 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@@H+]2CCC[C@H]2c2ncc[nH]2)cc1 ZINC000359515297 268176791 /nfs/dbraw/zinc/17/67/91/268176791.db2.gz NGFVWABAHMBYBG-HOCLYGCPSA-N 1 2 312.373 1.858 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCC[C@H]2c2ncc[nH]2)cc1 ZINC000359515297 268176793 /nfs/dbraw/zinc/17/67/93/268176793.db2.gz NGFVWABAHMBYBG-HOCLYGCPSA-N 1 2 312.373 1.858 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC(F)(F)[C@H](CO)C2)cc1 ZINC000361420107 268213054 /nfs/dbraw/zinc/21/30/54/268213054.db2.gz KUGTYFVGNRVUEW-QWHCGFSZSA-N 1 2 309.316 1.034 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC(F)(F)[C@H](CO)C2)cc1 ZINC000361420107 268213056 /nfs/dbraw/zinc/21/30/56/268213056.db2.gz KUGTYFVGNRVUEW-QWHCGFSZSA-N 1 2 309.316 1.034 20 30 DDEDLO N#Cc1cccc(-c2c[nH]c(CNC(=O)CCc3c[nH+]c[nH]3)n2)c1 ZINC000366409011 268227061 /nfs/dbraw/zinc/22/70/61/268227061.db2.gz WAMBZDWCGVTWRK-UHFFFAOYSA-N 1 2 320.356 1.920 20 30 DDEDLO N#Cc1cccc(-c2c[nH]c(CNC(=O)CCc3c[nH]c[nH+]3)n2)c1 ZINC000366409011 268227064 /nfs/dbraw/zinc/22/70/64/268227064.db2.gz WAMBZDWCGVTWRK-UHFFFAOYSA-N 1 2 320.356 1.920 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCC[C@H]2c2ccc(=O)[nH]n2)cc1 ZINC000528793058 268241758 /nfs/dbraw/zinc/24/17/58/268241758.db2.gz LGTHVVUMQJTVOR-HNNXBMFYSA-N 1 2 323.356 1.829 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCC[C@H]2c2ccc(=O)[nH]n2)cc1 ZINC000528793058 268241761 /nfs/dbraw/zinc/24/17/61/268241761.db2.gz LGTHVVUMQJTVOR-HNNXBMFYSA-N 1 2 323.356 1.829 20 30 DDEDLO N#Cc1ccsc1C(=O)N[C@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000366297962 268302752 /nfs/dbraw/zinc/30/27/52/268302752.db2.gz NZROIULJGPDJJK-UONOGXRCSA-N 1 2 319.430 1.993 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)Cc1nnc2n1c1ccccc1c(=O)n2C ZINC000279248583 275378937 /nfs/dbraw/zinc/37/89/37/275378937.db2.gz LABNJQFVIOXZIV-UHFFFAOYSA-N 1 2 309.373 1.425 20 30 DDEDLO C#CC(C)(C)[N@H+](C)Cc1nnc2n1c1ccccc1c(=O)n2C ZINC000279248583 275378939 /nfs/dbraw/zinc/37/89/39/275378939.db2.gz LABNJQFVIOXZIV-UHFFFAOYSA-N 1 2 309.373 1.425 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000377032224 278599667 /nfs/dbraw/zinc/59/96/67/278599667.db2.gz LBVJRVRCABLGTL-HNNXBMFYSA-N 1 2 322.453 1.823 20 30 DDEDLO CC(C)[C@@H]1C[C@H](NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)CCO1 ZINC000329160160 280029146 /nfs/dbraw/zinc/02/91/46/280029146.db2.gz YBNFDDPEHWCTHI-KBXIAJHMSA-N 1 2 311.426 1.119 20 30 DDEDLO CC(C)[C@@H]1C[C@H](NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)CCO1 ZINC000329160160 280029150 /nfs/dbraw/zinc/02/91/50/280029150.db2.gz YBNFDDPEHWCTHI-KBXIAJHMSA-N 1 2 311.426 1.119 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)cc1 ZINC000451933727 281164205 /nfs/dbraw/zinc/16/42/05/281164205.db2.gz PMWYXHXGUMSSQZ-AWEZNQCLSA-N 1 2 307.419 1.663 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)cc1 ZINC000451933727 281164206 /nfs/dbraw/zinc/16/42/06/281164206.db2.gz PMWYXHXGUMSSQZ-AWEZNQCLSA-N 1 2 307.419 1.663 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@@H+]1CCO[C@]2(CCO[C@@H]2C)C1 ZINC000329914953 298244070 /nfs/dbraw/zinc/24/40/70/298244070.db2.gz PICYWJNDFYHGEE-UEKVPHQBSA-N 1 2 311.382 1.288 20 30 DDEDLO C[C@H](C(=O)NC(=O)NC1CC1)[N@H+]1CCO[C@]2(CCO[C@@H]2C)C1 ZINC000329914953 298244072 /nfs/dbraw/zinc/24/40/72/298244072.db2.gz PICYWJNDFYHGEE-UEKVPHQBSA-N 1 2 311.382 1.288 20 30 DDEDLO N#Cc1ccc2[nH+]cc(CN3CCOC[C@@H]3[C@H]3CCCC3=O)n2c1 ZINC000368490508 301039552 /nfs/dbraw/zinc/03/95/52/301039552.db2.gz OKUBHNRHQCXJRR-HZPDHXFCSA-N 1 2 324.384 1.776 20 30 DDEDLO N#Cc1ncn(C2CCN(c3cc(NCC4CC4)[nH+]cn3)CC2)n1 ZINC000369529158 301193903 /nfs/dbraw/zinc/19/39/03/301193903.db2.gz DHGTVEDRCQOHNL-UHFFFAOYSA-N 1 2 324.392 1.603 20 30 DDEDLO N#Cc1ncn(C2CCN(c3cc(NCC4CC4)nc[nH+]3)CC2)n1 ZINC000369529158 301193908 /nfs/dbraw/zinc/19/39/08/301193908.db2.gz DHGTVEDRCQOHNL-UHFFFAOYSA-N 1 2 324.392 1.603 20 30 DDEDLO CCN1CCN(C(=O)c2ncc(C#N)cc2C)C[C@@H]1c1[nH]cc[nH+]1 ZINC000551981099 303647956 /nfs/dbraw/zinc/64/79/56/303647956.db2.gz NYXDHHMQEXZOTG-CQSZACIVSA-N 1 2 324.388 1.504 20 30 DDEDLO C[C@H]1OCC[C@]12C[N@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])CCO2 ZINC000565661951 308036201 /nfs/dbraw/zinc/03/62/01/308036201.db2.gz XLIQYWGUCIEVIL-WBMJQRKESA-N 1 2 317.345 1.846 20 30 DDEDLO C[C@H]1OCC[C@]12C[N@@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])CCO2 ZINC000565661951 308036202 /nfs/dbraw/zinc/03/62/02/308036202.db2.gz XLIQYWGUCIEVIL-WBMJQRKESA-N 1 2 317.345 1.846 20 30 DDEDLO CCc1ncc(C[NH2+]CCS(=O)(=O)c2cccc(C#N)c2)o1 ZINC000570837704 308182662 /nfs/dbraw/zinc/18/26/62/308182662.db2.gz XVPCTTBNTGMPGT-UHFFFAOYSA-N 1 2 319.386 1.672 20 30 DDEDLO C[C@H]1C[C@H](NS(=O)(=O)c2cccc(C#N)c2)c2[nH+]ccn21 ZINC000578150520 308441591 /nfs/dbraw/zinc/44/15/91/308441591.db2.gz DLKVASFSBMMIJR-GWCFXTLKSA-N 1 2 302.359 1.739 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)CSCC#N)CC1 ZINC000114704844 332328654 /nfs/dbraw/zinc/32/86/54/332328654.db2.gz RUCPEVOBACVTDW-CYBMUJFWSA-N 1 2 304.419 1.544 20 30 DDEDLO C[C@@H]([NH2+][C@H](C)c1nc(C(C)(C)C)no1)C(=O)NC1(C#N)CCC1 ZINC000527298608 332634511 /nfs/dbraw/zinc/63/45/11/332634511.db2.gz QHWMSFOHJTTWNN-GHMZBOCLSA-N 1 2 319.409 1.969 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(OCC(F)F)nc1 ZINC000571048875 333492129 /nfs/dbraw/zinc/49/21/29/333492129.db2.gz AYJFLYDBHLUEJP-CQSZACIVSA-N 1 2 312.320 1.299 20 30 DDEDLO N#Cc1cnn2cc(CN3CC[NH+](Cc4ccco4)CC3)cnc12 ZINC000582726691 337126013 /nfs/dbraw/zinc/12/60/13/337126013.db2.gz BAJFMAVJMFZIRY-UHFFFAOYSA-N 1 2 322.372 1.512 20 30 DDEDLO O=C(NCC#Cc1ccccc1)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000583856761 337346746 /nfs/dbraw/zinc/34/67/46/337346746.db2.gz OPIFTMHLPKHBTC-CALCHBBNSA-N 1 2 313.401 1.201 20 30 DDEDLO O=C(NCC#Cc1ccccc1)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000583856761 337346747 /nfs/dbraw/zinc/34/67/47/337346747.db2.gz OPIFTMHLPKHBTC-CALCHBBNSA-N 1 2 313.401 1.201 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000515032679 337977358 /nfs/dbraw/zinc/97/73/58/337977358.db2.gz MYMXHMPJBIJCFK-YDHLFZDLSA-N 1 2 304.394 1.478 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNS(=O)(=O)CC1(C#N)CC1)CCC2 ZINC000547331207 341206344 /nfs/dbraw/zinc/20/63/44/341206344.db2.gz FNHWMPWIUMZTOB-GFCCVEGCSA-N 1 2 308.407 1.292 20 30 DDEDLO N#CCC[C@H](C#N)C[N@H+]1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000246273503 341339409 /nfs/dbraw/zinc/33/94/09/341339409.db2.gz AKALOGYCGBFDTJ-CHWSQXEVSA-N 1 2 314.349 1.920 20 30 DDEDLO N#CCC[C@H](C#N)C[N@@H+]1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000246273503 341339410 /nfs/dbraw/zinc/33/94/10/341339410.db2.gz AKALOGYCGBFDTJ-CHWSQXEVSA-N 1 2 314.349 1.920 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)N1CCN(Cc2cccs2)CC1 ZINC000576289498 341838549 /nfs/dbraw/zinc/83/85/49/341838549.db2.gz GQIVPQNJDFGWHR-AWEZNQCLSA-N 1 2 320.462 1.674 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@@H]1C ZINC000191853567 484121078 /nfs/dbraw/zinc/12/10/78/484121078.db2.gz JTIAUAIJKDJWBC-WFASDCNBSA-N 1 2 315.373 1.628 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)C[C@@H]1C ZINC000191853567 484121087 /nfs/dbraw/zinc/12/10/87/484121087.db2.gz JTIAUAIJKDJWBC-WFASDCNBSA-N 1 2 315.373 1.628 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cc(C(F)(F)F)nn2C)C1=O ZINC000668100804 484953907 /nfs/dbraw/zinc/95/39/07/484953907.db2.gz YWHOAORUIDRPDY-LLVKDONJSA-N 1 2 316.327 1.658 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cc(C(F)(F)F)nn2C)C1=O ZINC000668100804 484953915 /nfs/dbraw/zinc/95/39/15/484953915.db2.gz YWHOAORUIDRPDY-LLVKDONJSA-N 1 2 316.327 1.658 20 30 DDEDLO C=C(C)[C@@H](CO)[N@@H+]1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000671244891 484979684 /nfs/dbraw/zinc/97/96/84/484979684.db2.gz PWDAXADAJGQOMU-OAHLLOKOSA-N 1 2 324.446 1.232 20 30 DDEDLO C=C(C)[C@@H](CO)[N@H+]1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000671244891 484979690 /nfs/dbraw/zinc/97/96/90/484979690.db2.gz PWDAXADAJGQOMU-OAHLLOKOSA-N 1 2 324.446 1.232 20 30 DDEDLO C=C[C@](C)(O)C(=O)Nc1cccc([C@@H](C)[NH+]2CCOCC2)c1 ZINC000668270021 485066443 /nfs/dbraw/zinc/06/64/43/485066443.db2.gz XWEDBXIMXGUGLX-DYVFJYSZSA-N 1 2 304.390 1.955 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NCC[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000666314667 485169853 /nfs/dbraw/zinc/16/98/53/485169853.db2.gz KOHMBCLHEFYUKD-QGZVFWFLSA-N 1 2 321.396 1.001 20 30 DDEDLO CCC#C[C@H](C)[NH+]1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000677476822 486476279 /nfs/dbraw/zinc/47/62/79/486476279.db2.gz HCGNWYLLBSHDDS-BZSNNMDCSA-N 1 2 319.493 1.910 20 30 DDEDLO CCC#C[C@H](C)N1CC[NH+](CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000677476822 486476282 /nfs/dbraw/zinc/47/62/82/486476282.db2.gz HCGNWYLLBSHDDS-BZSNNMDCSA-N 1 2 319.493 1.910 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)Cc2cccc(OC)c2)CC1 ZINC000677818784 486548198 /nfs/dbraw/zinc/54/81/98/486548198.db2.gz VWKRRAOMKHDXTJ-UHFFFAOYSA-N 1 2 316.401 1.032 20 30 DDEDLO C[C@H](CC(F)(F)F)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000457518653 533734440 /nfs/dbraw/zinc/73/44/40/533734440.db2.gz XIZKCAVIOKTWRY-GFCCVEGCSA-N 1 2 321.343 1.649 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(S(=O)(=O)CCCC#N)CC1 ZINC000330889592 534424986 /nfs/dbraw/zinc/42/49/86/534424986.db2.gz ITJIHEMLMOGKMI-UHFFFAOYSA-N 1 2 308.407 1.146 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@H](NS(=O)(=O)CCC(C)(C)C#N)C2 ZINC000377851524 534506288 /nfs/dbraw/zinc/50/62/88/534506288.db2.gz KRCUIINXDAFWTO-LBPRGKRZSA-N 1 2 310.423 1.366 20 30 DDEDLO Cn1cc([NH+]=C([O-])N[C@@H]2CCc3[nH+]c[nH]c3C2)c(C2CC2)n1 ZINC000329804692 534737080 /nfs/dbraw/zinc/73/70/80/534737080.db2.gz KJDZZDCAAILQNA-SNVBAGLBSA-N 1 2 300.366 1.904 20 30 DDEDLO Cn1cc([NH+]=C([O-])N[C@@H]2CCc3[nH]c[nH+]c3C2)c(C2CC2)n1 ZINC000329804692 534737088 /nfs/dbraw/zinc/73/70/88/534737088.db2.gz KJDZZDCAAILQNA-SNVBAGLBSA-N 1 2 300.366 1.904 20 30 DDEDLO C=CC[N@@H+](CCN1C(=O)N[C@H](C)C1=O)Cc1ccccc1F ZINC000459312898 526274735 /nfs/dbraw/zinc/27/47/35/526274735.db2.gz WVTHRUQSYPPBCP-GFCCVEGCSA-N 1 2 305.353 1.754 20 30 DDEDLO C=CC[N@H+](CCN1C(=O)N[C@H](C)C1=O)Cc1ccccc1F ZINC000459312898 526274736 /nfs/dbraw/zinc/27/47/36/526274736.db2.gz WVTHRUQSYPPBCP-GFCCVEGCSA-N 1 2 305.353 1.754 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000413267105 526304937 /nfs/dbraw/zinc/30/49/37/526304937.db2.gz QCCWIDBXZGRZKE-KGLIPLIRSA-N 1 2 306.410 1.323 20 30 DDEDLO C=CCN(CCOC)c1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000413267105 526304940 /nfs/dbraw/zinc/30/49/40/526304940.db2.gz QCCWIDBXZGRZKE-KGLIPLIRSA-N 1 2 306.410 1.323 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N(C(C)C)C(C)C)C1=O ZINC000337228070 526503725 /nfs/dbraw/zinc/50/37/25/526503725.db2.gz QTHYGTRAHFHZNX-CABCVRRESA-N 1 2 309.454 1.739 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N(C(C)C)C(C)C)C1=O ZINC000337228070 526503727 /nfs/dbraw/zinc/50/37/27/526503727.db2.gz QTHYGTRAHFHZNX-CABCVRRESA-N 1 2 309.454 1.739 20 30 DDEDLO CC(=O)N1CC[NH+](Cc2ccccc2NC(=O)[C@H](C)C#N)CC1 ZINC000444342288 526631732 /nfs/dbraw/zinc/63/17/32/526631732.db2.gz KXOHGPRHHREHCV-CYBMUJFWSA-N 1 2 314.389 1.449 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH2+][C@H](C)c1ncc(Br)cn1 ZINC000431129922 526637146 /nfs/dbraw/zinc/63/71/46/526637146.db2.gz VYTJTRUZHXCFSO-BDAKNGLRSA-N 1 2 313.199 1.580 20 30 DDEDLO C=C(C)Cn1c(C2CC2)nnc1N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000338795212 526725173 /nfs/dbraw/zinc/72/51/73/526725173.db2.gz OJEPUWQNVJAVHQ-HNNXBMFYSA-N 1 2 317.437 1.643 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CCN(Cc2[nH]cc[nH+]2)CC1)OCC ZINC000339369682 526808465 /nfs/dbraw/zinc/80/84/65/526808465.db2.gz UNXAPWYCACLSRI-AWEZNQCLSA-N 1 2 306.410 1.425 20 30 DDEDLO C#CC[N@@H+](CCc1cccc(F)c1F)[C@H]1CCS(=O)(=O)C1 ZINC000490936555 526900955 /nfs/dbraw/zinc/90/09/55/526900955.db2.gz QSOFCKRLNAKSCI-ZDUSSCGKSA-N 1 2 313.369 1.630 20 30 DDEDLO C#CC[N@H+](CCc1cccc(F)c1F)[C@H]1CCS(=O)(=O)C1 ZINC000490936555 526900961 /nfs/dbraw/zinc/90/09/61/526900961.db2.gz QSOFCKRLNAKSCI-ZDUSSCGKSA-N 1 2 313.369 1.630 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(Cc2ccccc2)OCC)CC1 ZINC000491707423 526948226 /nfs/dbraw/zinc/94/82/26/526948226.db2.gz ORZURHWUPHTHJG-UHFFFAOYSA-N 1 2 315.417 1.218 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccsc3)n2C)CC1 ZINC000491145245 526955207 /nfs/dbraw/zinc/95/52/07/526955207.db2.gz KIXHFUAHPYKIJY-UHFFFAOYSA-N 1 2 301.419 1.223 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2csc(NC(C)=O)n2)CC1 ZINC000491067140 526971036 /nfs/dbraw/zinc/97/10/36/526971036.db2.gz GTWWIUAYMFCGAF-UHFFFAOYSA-N 1 2 320.418 1.063 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+]([C@H](C)c2ccc(F)cc2)CC1 ZINC000491072763 527003257 /nfs/dbraw/zinc/00/32/57/527003257.db2.gz VPHXUTRIGLZANR-CQSZACIVSA-N 1 2 303.381 1.254 20 30 DDEDLO C#CCNC(=O)NCc1cn2cc(Br)cc(C)c2[nH+]1 ZINC000490950241 527011795 /nfs/dbraw/zinc/01/17/95/527011795.db2.gz PIPMWUWKQQPHFQ-UHFFFAOYSA-N 1 2 321.178 1.838 20 30 DDEDLO C=CCCC1(C(=O)N2CCN(Cc3[nH+]ccn3C)CC2)CC1 ZINC000355671168 527083610 /nfs/dbraw/zinc/08/36/10/527083610.db2.gz HQKBUZUJYZBZGQ-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C#C[C@@H](CC)NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000491689376 527229068 /nfs/dbraw/zinc/22/90/68/527229068.db2.gz IEHNUPHWOOGOCG-LBPRGKRZSA-N 1 2 324.384 1.917 20 30 DDEDLO C#C[C@@H](CC)NS(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000491788281 527246398 /nfs/dbraw/zinc/24/63/98/527246398.db2.gz XIGRNYFLWQQNIT-AWEZNQCLSA-N 1 2 303.387 1.622 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)N1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000343815740 527263851 /nfs/dbraw/zinc/26/38/51/527263851.db2.gz YXBOFXYHRWARMA-CYBMUJFWSA-N 1 2 310.442 1.322 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[NH+]2CCC(OCCO)CC2)c1C ZINC000339778758 527293931 /nfs/dbraw/zinc/29/39/31/527293931.db2.gz GCCCQOGZWBQBAF-UHFFFAOYSA-N 1 2 320.433 1.947 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)N1CCOc2ccccc21 ZINC000491623824 527309940 /nfs/dbraw/zinc/30/99/40/527309940.db2.gz QPKNIARNNHSHCH-CQSZACIVSA-N 1 2 300.358 1.136 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)N1CCOc2ccccc21 ZINC000491623824 527309947 /nfs/dbraw/zinc/30/99/47/527309947.db2.gz QPKNIARNNHSHCH-CQSZACIVSA-N 1 2 300.358 1.136 20 30 DDEDLO C=CCn1cccc1C(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000345620429 527380455 /nfs/dbraw/zinc/38/04/55/527380455.db2.gz CDIGNFUTCUKTNY-HNNXBMFYSA-N 1 2 303.406 1.611 20 30 DDEDLO C=CCn1cccc1C(=O)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000342291920 527383255 /nfs/dbraw/zinc/38/32/55/527383255.db2.gz RRSYFAJRKNFADJ-CABCVRRESA-N 1 2 303.406 1.609 20 30 DDEDLO C=CCn1cccc1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000342291930 527383784 /nfs/dbraw/zinc/38/37/84/527383784.db2.gz RRSYFAJRKNFADJ-HUUCEWRRSA-N 1 2 303.406 1.609 20 30 DDEDLO CC(C)NC(=O)N1CCC[C@H]1C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330292861 527385489 /nfs/dbraw/zinc/38/54/89/527385489.db2.gz DMFAYYUAYJERFL-OLZOCXBDSA-N 1 2 319.409 1.941 20 30 DDEDLO CCN(CC#N)C(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000348537997 527887899 /nfs/dbraw/zinc/88/78/99/527887899.db2.gz KLTAGRABZAGGAY-UHFFFAOYSA-N 1 2 323.418 1.008 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCc1cn2ccccc2[nH+]1 ZINC000336889069 528643837 /nfs/dbraw/zinc/64/38/37/528643837.db2.gz IEEBHXWAZQSARA-LBPRGKRZSA-N 1 2 321.406 1.150 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)N1CC(=O)N(C)[C@@H](C)C1 ZINC000330405534 528727132 /nfs/dbraw/zinc/72/71/32/528727132.db2.gz HVTQZLHJASXTSC-LBPRGKRZSA-N 1 2 307.398 1.116 20 30 DDEDLO CCN1CCN(C(=O)N[C@H](C)CSC)C[C@@H]1c1[nH]cc[nH+]1 ZINC000331037915 529107915 /nfs/dbraw/zinc/10/79/15/529107915.db2.gz OKEPCERHXGXNHB-VXGBXAGGSA-N 1 2 311.455 1.754 20 30 DDEDLO CC[C@H]1C(=O)N(CC)CC[N@H+]1CCOc1ccc(C#N)cc1 ZINC000495260057 529192243 /nfs/dbraw/zinc/19/22/43/529192243.db2.gz PJSITARNASDMOR-INIZCTEOSA-N 1 2 301.390 1.880 20 30 DDEDLO CC[C@H]1C(=O)N(CC)CC[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000495260057 529192244 /nfs/dbraw/zinc/19/22/44/529192244.db2.gz PJSITARNASDMOR-INIZCTEOSA-N 1 2 301.390 1.880 20 30 DDEDLO CC[C@@H](C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1)[C@@H](C)O ZINC000419846076 529277270 /nfs/dbraw/zinc/27/72/70/529277270.db2.gz QZPSJVRWSJBHNS-RHSMWYFYSA-N 1 2 315.417 1.609 20 30 DDEDLO CC[N@H+](CCC#N)Cc1cccc(C(=O)OC)c1-c1nn[nH]n1 ZINC000825419152 607923492 /nfs/dbraw/zinc/92/34/92/607923492.db2.gz IYRBTWRGOLRCCM-UHFFFAOYSA-N 1 2 314.349 1.389 20 30 DDEDLO CC[N@@H+](CCC#N)Cc1cccc(C(=O)OC)c1-c1nn[nH]n1 ZINC000825419152 607923493 /nfs/dbraw/zinc/92/34/93/607923493.db2.gz IYRBTWRGOLRCCM-UHFFFAOYSA-N 1 2 314.349 1.389 20 30 DDEDLO C[N@@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1sccc1C#N ZINC000745079601 699970797 /nfs/dbraw/zinc/97/07/97/699970797.db2.gz NQNYGSYGWWDAPK-NSHDSACASA-N 1 2 307.375 1.586 20 30 DDEDLO C[N@H+]1CCCC[C@H]1C(=O)OCC(=O)Nc1sccc1C#N ZINC000745079601 699970798 /nfs/dbraw/zinc/97/07/98/699970798.db2.gz NQNYGSYGWWDAPK-NSHDSACASA-N 1 2 307.375 1.586 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)/C=C/c3ccco3)C2)C1 ZINC000972232034 695183932 /nfs/dbraw/zinc/18/39/32/695183932.db2.gz ZBGIRECHNKJQST-IPLHWJFFSA-N 1 2 314.385 1.619 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)/C=C/c3ccco3)C2)C1 ZINC000972232034 695183933 /nfs/dbraw/zinc/18/39/33/695183933.db2.gz ZBGIRECHNKJQST-IPLHWJFFSA-N 1 2 314.385 1.619 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@]2(C1)C[N@H+](CCC(F)(F)F)CCO2 ZINC000972297503 695209651 /nfs/dbraw/zinc/20/96/51/695209651.db2.gz KCZZSFCPUVJHPU-AAEUAGOBSA-N 1 2 319.327 1.402 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@]2(C1)C[N@@H+](CCC(F)(F)F)CCO2 ZINC000972297503 695209654 /nfs/dbraw/zinc/20/96/54/695209654.db2.gz KCZZSFCPUVJHPU-AAEUAGOBSA-N 1 2 319.327 1.402 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C(F)F)CCC3)C2)C1 ZINC000972520113 695271690 /nfs/dbraw/zinc/27/16/90/695271690.db2.gz OZCVMFMTCZEPQS-HNNXBMFYSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C(F)F)CCC3)C2)C1 ZINC000972520113 695271691 /nfs/dbraw/zinc/27/16/91/695271691.db2.gz OZCVMFMTCZEPQS-HNNXBMFYSA-N 1 2 312.360 1.358 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3Cc4ccccc43)C2)C1 ZINC000972526336 695273131 /nfs/dbraw/zinc/27/31/31/695273131.db2.gz JGCIFTLCSIALIY-QUCCMNQESA-N 1 2 324.424 1.653 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3Cc4ccccc43)C2)C1 ZINC000972526336 695273132 /nfs/dbraw/zinc/27/31/32/695273132.db2.gz JGCIFTLCSIALIY-QUCCMNQESA-N 1 2 324.424 1.653 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(C)c3C)C2)C1 ZINC000972537587 695276065 /nfs/dbraw/zinc/27/60/65/695276065.db2.gz JDHWTGFQLWJGEP-SFHVURJKSA-N 1 2 315.417 1.274 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(C)c3C)C2)C1 ZINC000972537587 695276066 /nfs/dbraw/zinc/27/60/66/695276066.db2.gz JDHWTGFQLWJGEP-SFHVURJKSA-N 1 2 315.417 1.274 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)C1 ZINC000972590932 695292188 /nfs/dbraw/zinc/29/21/88/695292188.db2.gz WOVNBHWEJLGUJY-LZLYRXPVSA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)C1 ZINC000972590932 695292191 /nfs/dbraw/zinc/29/21/91/695292191.db2.gz WOVNBHWEJLGUJY-LZLYRXPVSA-N 1 2 302.418 1.359 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3F)C2)C1 ZINC000972613526 695299021 /nfs/dbraw/zinc/29/90/21/695299021.db2.gz DXFQBEYTTHVJLJ-QGZVFWFLSA-N 1 2 317.364 1.161 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3F)C2)C1 ZINC000972613526 695299023 /nfs/dbraw/zinc/29/90/23/695299023.db2.gz DXFQBEYTTHVJLJ-QGZVFWFLSA-N 1 2 317.364 1.161 20 30 DDEDLO NC(Cc1ccccc1)=[NH+]OCC(=O)NCc1cccs1 ZINC000047255648 696195978 /nfs/dbraw/zinc/19/59/78/696195978.db2.gz SQBICLBUGCFQBY-UHFFFAOYSA-N 1 2 303.387 1.896 20 30 DDEDLO CNC(=O)CO[NH+]=C(N)c1ccc(OCc2ccccc2)cc1 ZINC000058499274 696304556 /nfs/dbraw/zinc/30/45/56/696304556.db2.gz ROOORIHJZVUHOV-UHFFFAOYSA-N 1 2 313.357 1.649 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)N(C1CC1)C1CCCC1 ZINC000092917249 696598315 /nfs/dbraw/zinc/59/83/15/696598315.db2.gz WPWCCZAOQKGMFK-UHFFFAOYSA-N 1 2 316.405 1.847 20 30 DDEDLO Cn1cncc1C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000981561295 696818553 /nfs/dbraw/zinc/81/85/53/696818553.db2.gz IDSVUZFEQNSYSC-UHFFFAOYSA-N 1 2 322.412 1.620 20 30 DDEDLO Cn1cncc1C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000981561295 696818557 /nfs/dbraw/zinc/81/85/57/696818557.db2.gz IDSVUZFEQNSYSC-UHFFFAOYSA-N 1 2 322.412 1.620 20 30 DDEDLO CSCC(=O)N1CCC[N@H+](Cc2ccccc2C#N)CC1 ZINC000980672265 696839855 /nfs/dbraw/zinc/83/98/55/696839855.db2.gz MBIMEVSFXGYLRU-UHFFFAOYSA-N 1 2 303.431 1.956 20 30 DDEDLO CSCC(=O)N1CCC[N@@H+](Cc2ccccc2C#N)CC1 ZINC000980672265 696839857 /nfs/dbraw/zinc/83/98/57/696839857.db2.gz MBIMEVSFXGYLRU-UHFFFAOYSA-N 1 2 303.431 1.956 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCN(C(=O)c3cc(C#N)c[nH]3)CC2)ncn1 ZINC000980917739 696944109 /nfs/dbraw/zinc/94/41/09/696944109.db2.gz XRHPGCVEFRCCQX-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO Cc1cc(C[N@H+]2CCCN(C(=O)c3cc(C#N)c[nH]3)CC2)ncn1 ZINC000980917739 696944112 /nfs/dbraw/zinc/94/41/12/696944112.db2.gz XRHPGCVEFRCCQX-UHFFFAOYSA-N 1 2 324.388 1.333 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(CC#Cc2cccc(Cl)c2)CC1 ZINC000749347202 700157837 /nfs/dbraw/zinc/15/78/37/700157837.db2.gz YVJWHOFHRGVHCR-NRFANRHFSA-N 1 2 324.877 1.688 20 30 DDEDLO NC(Cc1ccccc1)=[NH+]OCc1nnnn1CC(F)(F)F ZINC000191479478 697607984 /nfs/dbraw/zinc/60/79/84/697607984.db2.gz OYOCEEDSNWFJSF-UHFFFAOYSA-N 1 2 314.271 1.267 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[NH+](C[C@@H](C)O)CC1 ZINC000195465008 697744390 /nfs/dbraw/zinc/74/43/90/697744390.db2.gz NXSNWDIBYISJTB-CQSZACIVSA-N 1 2 306.472 1.285 20 30 DDEDLO C[C@H]1C[N@H+](CCOC(=O)C2(c3ccc(C#N)cc3)CC2)CCO1 ZINC000800205876 700202332 /nfs/dbraw/zinc/20/23/32/700202332.db2.gz RFSRFGULNQPSAC-AWEZNQCLSA-N 1 2 314.385 1.854 20 30 DDEDLO C[C@H]1C[N@@H+](CCOC(=O)C2(c3ccc(C#N)cc3)CC2)CCO1 ZINC000800205876 700202336 /nfs/dbraw/zinc/20/23/36/700202336.db2.gz RFSRFGULNQPSAC-AWEZNQCLSA-N 1 2 314.385 1.854 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+]C1CN(C(=O)OC)C1 ZINC000778173417 698246654 /nfs/dbraw/zinc/24/66/54/698246654.db2.gz JLKCPIKUYXXMGP-UHFFFAOYSA-N 1 2 308.765 1.892 20 30 DDEDLO Cc1nn(-c2ccc(F)cc2)c(C)c1C=NNC1=[NH+]C[C@H](C)N1 ZINC000779799598 698467338 /nfs/dbraw/zinc/46/73/38/698467338.db2.gz KMGDQKNWCFGQKV-JTQLQIEISA-N 1 2 314.368 1.899 20 30 DDEDLO Cc1cccn2cc(CC(=O)NCc3ccc(C#N)cn3)[nH+]c12 ZINC000782019506 698674294 /nfs/dbraw/zinc/67/42/94/698674294.db2.gz HSYJFTRZPYLEKS-UHFFFAOYSA-N 1 2 305.341 1.768 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@@H]2C)C1 ZINC000989792080 698764538 /nfs/dbraw/zinc/76/45/38/698764538.db2.gz HEKVJOPUPFSMAB-OCCSQVGLSA-N 1 2 316.405 1.414 20 30 DDEDLO C#CCOCCNc1nc(NCCOCC#C)c2ccccc2[nH+]1 ZINC000784235856 698919352 /nfs/dbraw/zinc/91/93/52/698919352.db2.gz KDNTXLSLPSMQDU-UHFFFAOYSA-N 1 2 324.384 1.753 20 30 DDEDLO CC(CS(=O)(=O)c1ccccc1)=NNCC[NH+]1CCCCC1 ZINC000735406577 699693647 /nfs/dbraw/zinc/69/36/47/699693647.db2.gz HFZVDRLUXIJZEQ-UHFFFAOYSA-N 1 2 323.462 1.912 20 30 DDEDLO COc1ccccc1-c1ncc(C=NNC2=[NH+]C[C@@H](C)N2)cn1 ZINC000793039079 699729910 /nfs/dbraw/zinc/72/99/10/699729910.db2.gz JGFLERFQCLUFHZ-LLVKDONJSA-N 1 2 310.361 1.423 20 30 DDEDLO Cc1[nH]c[nH+]c1CN=Nc1ccccc1S(=O)(=O)N(C)C ZINC000793197556 699739671 /nfs/dbraw/zinc/73/96/71/699739671.db2.gz VUMRSKBODDWOMJ-UHFFFAOYSA-N 1 2 307.379 1.414 20 30 DDEDLO CC[C@H]1CC[N@@H+]1CN1C(=O)N[C@@](C)(c2cccc(C#N)c2)C1=O ZINC000793822510 699781100 /nfs/dbraw/zinc/78/11/00/699781100.db2.gz CUZNRENOMRXWLE-YOEHRIQHSA-N 1 2 312.373 1.767 20 30 DDEDLO CC[C@H]1CC[N@H+]1CN1C(=O)N[C@@](C)(c2cccc(C#N)c2)C1=O ZINC000793822510 699781102 /nfs/dbraw/zinc/78/11/02/699781102.db2.gz CUZNRENOMRXWLE-YOEHRIQHSA-N 1 2 312.373 1.767 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+]2CC[C@@H]([C@@H]3CCOC3)C2)c1=S ZINC000794633794 699820231 /nfs/dbraw/zinc/82/02/31/699820231.db2.gz MVNASKUWTUUTNV-VXGBXAGGSA-N 1 2 307.423 1.333 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+]2CC[C@@H]([C@@H]3CCOC3)C2)c1=S ZINC000794633794 699820234 /nfs/dbraw/zinc/82/02/34/699820234.db2.gz MVNASKUWTUUTNV-VXGBXAGGSA-N 1 2 307.423 1.333 20 30 DDEDLO C[N@H+](CC(=O)[C@@H](C#N)c1nc2ccccc2c(=O)[nH]1)C1CCC1 ZINC000744410276 699948479 /nfs/dbraw/zinc/94/84/79/699948479.db2.gz YRZCPCMMTQZJGN-CYBMUJFWSA-N 1 2 310.357 1.996 20 30 DDEDLO C[N@@H+](CC(=O)[C@@H](C#N)c1nc2ccccc2c(=O)[nH]1)C1CCC1 ZINC000744410276 699948481 /nfs/dbraw/zinc/94/84/81/699948481.db2.gz YRZCPCMMTQZJGN-CYBMUJFWSA-N 1 2 310.357 1.996 20 30 DDEDLO C[N@H+](C[C@H](O)CC#N)[C@H]1CCN(c2ccccc2Cl)C1=O ZINC000809574810 701671105 /nfs/dbraw/zinc/67/11/05/701671105.db2.gz QSJCRLPFFCCISN-RISCZKNCSA-N 1 2 307.781 1.652 20 30 DDEDLO C[N@@H+](C[C@H](O)CC#N)[C@H]1CCN(c2ccccc2Cl)C1=O ZINC000809574810 701671107 /nfs/dbraw/zinc/67/11/07/701671107.db2.gz QSJCRLPFFCCISN-RISCZKNCSA-N 1 2 307.781 1.652 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](C)c1cn(-c2ccccc2)nn1 ZINC000761458950 700861003 /nfs/dbraw/zinc/86/10/03/700861003.db2.gz ASHOBSZBDTXJFI-PBHICJAKSA-N 1 2 323.400 1.542 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)c1cn(-c2ccccc2)nn1 ZINC000761458950 700861005 /nfs/dbraw/zinc/86/10/05/700861005.db2.gz ASHOBSZBDTXJFI-PBHICJAKSA-N 1 2 323.400 1.542 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)NCCCCn1cc[nH+]c1 ZINC000762215160 700885541 /nfs/dbraw/zinc/88/55/41/700885541.db2.gz JFGCJJDLMFBMLS-UHFFFAOYSA-N 1 2 311.341 1.560 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCCN(CC(C)(C)C)C2=O)CC1 ZINC000763870969 700951121 /nfs/dbraw/zinc/95/11/21/700951121.db2.gz BYOQMWOROFLACE-MRXNPFEDSA-N 1 2 305.466 1.664 20 30 DDEDLO N#CCCNC(=O)C[N@H+](Cc1cccs1)[C@@H]1C=C[C@H](CO)C1 ZINC000805767617 701408584 /nfs/dbraw/zinc/40/85/84/701408584.db2.gz YOBPDSQNSDXMJB-UONOGXRCSA-N 1 2 319.430 1.517 20 30 DDEDLO N#CCCNC(=O)C[N@@H+](Cc1cccs1)[C@@H]1C=C[C@H](CO)C1 ZINC000805767617 701408585 /nfs/dbraw/zinc/40/85/85/701408585.db2.gz YOBPDSQNSDXMJB-UONOGXRCSA-N 1 2 319.430 1.517 20 30 DDEDLO C#CCCCNC(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000810449377 701772182 /nfs/dbraw/zinc/77/21/82/701772182.db2.gz NYYDMMDJUMXUHY-AWEZNQCLSA-N 1 2 304.394 1.013 20 30 DDEDLO C#CCCCNC(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000810449377 701772184 /nfs/dbraw/zinc/77/21/84/701772184.db2.gz NYYDMMDJUMXUHY-AWEZNQCLSA-N 1 2 304.394 1.013 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)C[N@@H+](CC)Cc1ccccc1Cl ZINC000816672354 702141210 /nfs/dbraw/zinc/14/12/10/702141210.db2.gz XBUAHGWBHRDUTH-AWEZNQCLSA-N 1 2 308.809 1.662 20 30 DDEDLO C#CC[C@@H](CO)NC(=O)C[N@H+](CC)Cc1ccccc1Cl ZINC000816672354 702141212 /nfs/dbraw/zinc/14/12/12/702141212.db2.gz XBUAHGWBHRDUTH-AWEZNQCLSA-N 1 2 308.809 1.662 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@H](C)C[C@H](CO)C1 ZINC000817278146 702324332 /nfs/dbraw/zinc/32/43/32/702324332.db2.gz SUQAAJDNDDPYEB-INWMFGNUSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@H](C)C[C@H](CO)C1 ZINC000817278146 702324336 /nfs/dbraw/zinc/32/43/36/702324336.db2.gz SUQAAJDNDDPYEB-INWMFGNUSA-N 1 2 302.374 1.723 20 30 DDEDLO C=CC[N@@H+](CC(C)(C)CC(=O)OCC)[C@@H]1CCS(=O)(=O)C1 ZINC000813335734 702331496 /nfs/dbraw/zinc/33/14/96/702331496.db2.gz ZJKQZZCZJDSZQG-CYBMUJFWSA-N 1 2 317.451 1.641 20 30 DDEDLO C=CC[N@H+](CC(C)(C)CC(=O)OCC)[C@@H]1CCS(=O)(=O)C1 ZINC000813335734 702331503 /nfs/dbraw/zinc/33/15/03/702331503.db2.gz ZJKQZZCZJDSZQG-CYBMUJFWSA-N 1 2 317.451 1.641 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@H+](C[C@@H](O)c2ccc(C#N)cc2)CCO1 ZINC000879430930 706678388 /nfs/dbraw/zinc/67/83/88/706678388.db2.gz NUOQSUSDZYJVBB-WBVHZDCISA-N 1 2 318.373 1.246 20 30 DDEDLO CCOC(=O)[C@]1(C)C[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)CCO1 ZINC000879430930 706678392 /nfs/dbraw/zinc/67/83/92/706678392.db2.gz NUOQSUSDZYJVBB-WBVHZDCISA-N 1 2 318.373 1.246 20 30 DDEDLO CC(=NNC1=[NH+]CCN1)c1cnn(-c2ccc(C#N)cc2)c1C ZINC000841659648 702521471 /nfs/dbraw/zinc/52/14/71/702521471.db2.gz RQRRPHBTGFYPRE-UHFFFAOYSA-N 1 2 307.361 1.325 20 30 DDEDLO Cc1nn(-c2ccc(F)cc2F)c(C)c1C=NNC1=[NH+]CCN1 ZINC000841660157 702522833 /nfs/dbraw/zinc/52/28/33/702522833.db2.gz VVFOSLVZCURIDB-UHFFFAOYSA-N 1 2 318.331 1.650 20 30 DDEDLO C=CCn1cc(C(=O)N2CCC[C@@H](c3[nH+]ccn3C)C2)nn1 ZINC000843869242 702935895 /nfs/dbraw/zinc/93/58/95/702935895.db2.gz LEUSIRZRRCDJMC-GFCCVEGCSA-N 1 2 300.366 1.217 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)COC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845277939 703137827 /nfs/dbraw/zinc/13/78/27/703137827.db2.gz LHGQAXMNLXQSRL-IUODEOHRSA-N 1 2 306.366 1.432 20 30 DDEDLO CCCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585463 706728458 /nfs/dbraw/zinc/72/84/58/706728458.db2.gz YZERQZHKOZBKIC-CQSZACIVSA-N 1 2 300.406 1.595 20 30 DDEDLO CN1CCC[C@H]([NH+]2CCN(c3ccc(F)cc3C#N)CC2)C1=O ZINC000879589193 706729797 /nfs/dbraw/zinc/72/97/97/706729797.db2.gz BWSSKEPKCWWCJN-INIZCTEOSA-N 1 2 316.380 1.440 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC000831576778 706733205 /nfs/dbraw/zinc/73/32/05/706733205.db2.gz JZGMJGHTZXDWNJ-GARJFASQSA-N 1 2 320.311 1.057 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC000831576778 706733208 /nfs/dbraw/zinc/73/32/08/706733208.db2.gz JZGMJGHTZXDWNJ-GARJFASQSA-N 1 2 320.311 1.057 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CC(=O)c2[nH]c(C)c(C(=O)OC)c2C)C1 ZINC000847033568 703369713 /nfs/dbraw/zinc/36/97/13/703369713.db2.gz YPCGNCBYAXLWPY-ZDUSSCGKSA-N 1 2 302.374 1.946 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CC(=O)c2[nH]c(C)c(C(=O)OC)c2C)C1 ZINC000847033568 703369714 /nfs/dbraw/zinc/36/97/14/703369714.db2.gz YPCGNCBYAXLWPY-ZDUSSCGKSA-N 1 2 302.374 1.946 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2cccc(OC)c2)CC1 ZINC000870156886 703936862 /nfs/dbraw/zinc/93/68/62/703936862.db2.gz IUWSXNVMWHBIGJ-UHFFFAOYSA-N 1 2 316.401 1.379 20 30 DDEDLO C[C@@H]1CC[N@H+](CC(=O)NCC#N)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000852599036 704082947 /nfs/dbraw/zinc/08/29/47/704082947.db2.gz BPJNTLGUNSWLFM-OLZOCXBDSA-N 1 2 324.425 1.251 20 30 DDEDLO C[C@@H]1CC[N@@H+](CC(=O)NCC#N)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000852599036 704082949 /nfs/dbraw/zinc/08/29/49/704082949.db2.gz BPJNTLGUNSWLFM-OLZOCXBDSA-N 1 2 324.425 1.251 20 30 DDEDLO C#CCOc1ccc(F)cc1NC[C@@H](O)C[N@H+](C)CCC#N ZINC000819448764 704126702 /nfs/dbraw/zinc/12/67/02/704126702.db2.gz DUKUHNWNENYLQP-CQSZACIVSA-N 1 2 305.353 1.456 20 30 DDEDLO C#CCOc1ccc(F)cc1NC[C@@H](O)C[N@@H+](C)CCC#N ZINC000819448764 704126704 /nfs/dbraw/zinc/12/67/04/704126704.db2.gz DUKUHNWNENYLQP-CQSZACIVSA-N 1 2 305.353 1.456 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc(F)cc1OCC#N ZINC000819460348 704129568 /nfs/dbraw/zinc/12/95/68/704129568.db2.gz LEMSJKJBOYNBAN-ZDUSSCGKSA-N 1 2 306.341 1.346 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc(F)cc1OCC#N ZINC000819460348 704129572 /nfs/dbraw/zinc/12/95/72/704129572.db2.gz LEMSJKJBOYNBAN-ZDUSSCGKSA-N 1 2 306.341 1.346 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CC[NH+]([C@@H](CC)c2ccc(F)cc2)CC1 ZINC000831867606 706795759 /nfs/dbraw/zinc/79/57/59/706795759.db2.gz DQNUGFVIADJVGX-JKSUJKDBSA-N 1 2 306.381 1.968 20 30 DDEDLO CC(C)[C@@H]1N(C[N@@H+]2CCC[C@@H](CC#N)C2)C(=O)[C@]12CCCO2 ZINC000853525306 704259815 /nfs/dbraw/zinc/25/98/15/704259815.db2.gz UWEHHQKJSRIBHQ-ZOBUZTSGSA-N 1 2 305.422 1.986 20 30 DDEDLO CC(C)[C@@H]1N(C[N@H+]2CCC[C@@H](CC#N)C2)C(=O)[C@]12CCCO2 ZINC000853525306 704259816 /nfs/dbraw/zinc/25/98/16/704259816.db2.gz UWEHHQKJSRIBHQ-ZOBUZTSGSA-N 1 2 305.422 1.986 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(NC(=O)CC2(C#N)CC2)CC1 ZINC000871543497 704311873 /nfs/dbraw/zinc/31/18/73/704311873.db2.gz NOKDMQWAJLEHPD-UHFFFAOYSA-N 1 2 321.421 1.603 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)c1 ZINC000854263099 704373059 /nfs/dbraw/zinc/37/30/59/704373059.db2.gz VATAOROQYKCRNZ-ZIAGYGMSSA-N 1 2 301.390 1.899 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)c1 ZINC000854263099 704373061 /nfs/dbraw/zinc/37/30/61/704373061.db2.gz VATAOROQYKCRNZ-ZIAGYGMSSA-N 1 2 301.390 1.899 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)C(C)(C)c2ccc(C#N)cc2)CCO1 ZINC000859041045 704789795 /nfs/dbraw/zinc/78/97/95/704789795.db2.gz GRVRKYJGPLFDFQ-CQSZACIVSA-N 1 2 315.417 1.673 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)C(C)(C)c2ccc(C#N)cc2)CCO1 ZINC000859041045 704789796 /nfs/dbraw/zinc/78/97/96/704789796.db2.gz GRVRKYJGPLFDFQ-CQSZACIVSA-N 1 2 315.417 1.673 20 30 DDEDLO C=CC[C@H]1CC[N@@H+](CC(=O)Nc2cccc(S(C)(=O)=O)c2)C1 ZINC000859443297 704904622 /nfs/dbraw/zinc/90/46/22/704904622.db2.gz CBEIWJYZZOZBDT-ZDUSSCGKSA-N 1 2 322.430 1.927 20 30 DDEDLO C=CC[C@H]1CC[N@H+](CC(=O)Nc2cccc(S(C)(=O)=O)c2)C1 ZINC000859443297 704904624 /nfs/dbraw/zinc/90/46/24/704904624.db2.gz CBEIWJYZZOZBDT-ZDUSSCGKSA-N 1 2 322.430 1.927 20 30 DDEDLO CN(C[C@H](O)C[N@@H+]1CCC[C@](C)(C#N)C1)C(=O)OC(C)(C)C ZINC000822842202 705057057 /nfs/dbraw/zinc/05/70/57/705057057.db2.gz XTEUJBCRSGLOGZ-XJKSGUPXSA-N 1 2 311.426 1.840 20 30 DDEDLO CN(C[C@H](O)C[N@H+]1CCC[C@](C)(C#N)C1)C(=O)OC(C)(C)C ZINC000822842202 705057062 /nfs/dbraw/zinc/05/70/62/705057062.db2.gz XTEUJBCRSGLOGZ-XJKSGUPXSA-N 1 2 311.426 1.840 20 30 DDEDLO CN(C[C@H](O)C[N@@H+]1CCC[C@@](C)(C#N)C1)C(=O)OC(C)(C)C ZINC000822842199 705057128 /nfs/dbraw/zinc/05/71/28/705057128.db2.gz XTEUJBCRSGLOGZ-BBRMVZONSA-N 1 2 311.426 1.840 20 30 DDEDLO CN(C[C@H](O)C[N@H+]1CCC[C@@](C)(C#N)C1)C(=O)OC(C)(C)C ZINC000822842199 705057131 /nfs/dbraw/zinc/05/71/31/705057131.db2.gz XTEUJBCRSGLOGZ-BBRMVZONSA-N 1 2 311.426 1.840 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)COc1cccc(C#N)c1 ZINC000874701182 705134309 /nfs/dbraw/zinc/13/43/09/705134309.db2.gz RTUVONJHPSIIHF-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)COc1cccc(C#N)c1 ZINC000874701182 705134313 /nfs/dbraw/zinc/13/43/13/705134313.db2.gz RTUVONJHPSIIHF-OAHLLOKOSA-N 1 2 317.389 1.164 20 30 DDEDLO C=CCCCNC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC000875310819 705348196 /nfs/dbraw/zinc/34/81/96/705348196.db2.gz WGWVUNVMZLWCDF-OAHLLOKOSA-N 1 2 301.390 1.372 20 30 DDEDLO C=CCCCNC(=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC000875310819 705348200 /nfs/dbraw/zinc/34/82/00/705348200.db2.gz WGWVUNVMZLWCDF-OAHLLOKOSA-N 1 2 301.390 1.372 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@H+]1CCOC[C@H]1CC)c1ccccc1 ZINC000875724884 705489978 /nfs/dbraw/zinc/48/99/78/705489978.db2.gz JBUOWWRFSVHGMJ-IAGOWNOFSA-N 1 2 315.417 1.771 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[N@@H+]1CCOC[C@H]1CC)c1ccccc1 ZINC000875724884 705489982 /nfs/dbraw/zinc/48/99/82/705489982.db2.gz JBUOWWRFSVHGMJ-IAGOWNOFSA-N 1 2 315.417 1.771 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000825080807 705596060 /nfs/dbraw/zinc/59/60/60/705596060.db2.gz XIEZGXADEPAUJJ-QWRGUYRKSA-N 1 2 303.284 1.531 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000825973749 705753615 /nfs/dbraw/zinc/75/36/15/705753615.db2.gz PHKYUZQOPLQJHF-HNNXBMFYSA-N 1 2 312.373 1.446 20 30 DDEDLO Cc1nn2c([nH]c3ccccc3c2=O)c1C=[NH+]NCC(C)(C)O ZINC000863139262 705868388 /nfs/dbraw/zinc/86/83/88/705868388.db2.gz CFWOUJFDWZCGKS-UHFFFAOYSA-N 1 2 313.361 1.179 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+](C)CC(C)(C)S(C)(=O)=O ZINC000876779376 705873657 /nfs/dbraw/zinc/87/36/57/705873657.db2.gz CGRCEMGMUPGJOV-UHFFFAOYSA-N 1 2 323.462 1.879 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+](C)CC(C)(C)S(C)(=O)=O ZINC000876779376 705873658 /nfs/dbraw/zinc/87/36/58/705873658.db2.gz CGRCEMGMUPGJOV-UHFFFAOYSA-N 1 2 323.462 1.879 20 30 DDEDLO COC(=O)[C@@]1(F)CC[N@H+](Cc2cc(C#N)ccc2N(C)C)C1 ZINC000876796082 705880091 /nfs/dbraw/zinc/88/00/91/705880091.db2.gz XPJQEAQCJSZONC-MRXNPFEDSA-N 1 2 305.353 1.711 20 30 DDEDLO COC(=O)[C@@]1(F)CC[N@@H+](Cc2cc(C#N)ccc2N(C)C)C1 ZINC000876796082 705880093 /nfs/dbraw/zinc/88/00/93/705880093.db2.gz XPJQEAQCJSZONC-MRXNPFEDSA-N 1 2 305.353 1.711 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[NH+]2CCN([C@@H]3CCOC3)CC2)cc1 ZINC000827120914 705933687 /nfs/dbraw/zinc/93/36/87/705933687.db2.gz WLFSLZPFEMMSBH-NVXWUHKLSA-N 1 2 315.417 1.884 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CCC(N2CC[NH+](C)CC2)CC1)OCC ZINC000827445420 706003040 /nfs/dbraw/zinc/00/30/40/706003040.db2.gz ONUHWSOFWCRJBP-QGZVFWFLSA-N 1 2 323.481 1.596 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC(N2CC[NH+](C)CC2)CC1 ZINC000827462870 706007263 /nfs/dbraw/zinc/00/72/63/706007263.db2.gz UQPJPRQQRXWMAH-HNNXBMFYSA-N 1 2 309.454 1.206 20 30 DDEDLO C=CCC[C@H]([NH2+]C1CC(n2cc([N+](=O)[O-])cn2)C1)C(=O)OCC ZINC000877573510 706146569 /nfs/dbraw/zinc/14/65/69/706146569.db2.gz ZETCRVADVVLGJD-YIZWMMSDSA-N 1 2 322.365 1.982 20 30 DDEDLO C#Cc1ccc(CN2CC[NH+](CCc3cnccn3)CC2)cc1 ZINC000877703707 706182661 /nfs/dbraw/zinc/18/26/61/706182661.db2.gz QMGZHDWSPKIJQC-UHFFFAOYSA-N 1 2 306.413 1.818 20 30 DDEDLO C=CC[C@@H](NS(=O)(=O)CCn1cc[nH+]c1)c1ccncc1 ZINC000881905721 707417895 /nfs/dbraw/zinc/41/78/95/707417895.db2.gz XJEGRQPEUBBUAF-CQSZACIVSA-N 1 2 306.391 1.515 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)C1(C#N)CCSCC1 ZINC000828933266 706258565 /nfs/dbraw/zinc/25/85/65/706258565.db2.gz KWKHHPACTHPXJU-CYBMUJFWSA-N 1 2 311.451 1.250 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)C1(C#N)CCSCC1 ZINC000828933266 706258568 /nfs/dbraw/zinc/25/85/68/706258568.db2.gz KWKHHPACTHPXJU-CYBMUJFWSA-N 1 2 311.451 1.250 20 30 DDEDLO COC(=O)C1(C#N)CCN(c2nc(C)[nH+]c3c2CCCC3)CC1 ZINC000828970347 706266267 /nfs/dbraw/zinc/26/62/67/706266267.db2.gz VRTYLPOJXYRIIT-UHFFFAOYSA-N 1 2 314.389 1.947 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2c(C)cccc2C#N)C[C@@H]1C ZINC000872467380 707428322 /nfs/dbraw/zinc/42/83/22/707428322.db2.gz UQPCXZUFYCIBHN-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2c(C)cccc2C#N)C[C@@H]1C ZINC000872467380 707428325 /nfs/dbraw/zinc/42/83/25/707428325.db2.gz UQPCXZUFYCIBHN-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@H+]2CCOC[C@@H]2CC)c1 ZINC000878118791 706299367 /nfs/dbraw/zinc/29/93/67/706299367.db2.gz SLVGSXRBIIKCHR-INIZCTEOSA-N 1 2 301.390 1.900 20 30 DDEDLO C#Cc1cccc(NC(=O)NCC[N@@H+]2CCOC[C@@H]2CC)c1 ZINC000878118791 706299368 /nfs/dbraw/zinc/29/93/68/706299368.db2.gz SLVGSXRBIIKCHR-INIZCTEOSA-N 1 2 301.390 1.900 20 30 DDEDLO CCn1nnc(C)c1C[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000829326767 706319731 /nfs/dbraw/zinc/31/97/31/706319731.db2.gz CUOSVQGZOFDPLF-UHFFFAOYSA-N 1 2 311.393 1.195 20 30 DDEDLO C#CC(C)(C)N(C)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000882130062 707509919 /nfs/dbraw/zinc/50/99/19/707509919.db2.gz MHNKMHCTDKBNRT-UHFFFAOYSA-N 1 2 306.431 1.707 20 30 DDEDLO C#CC(C)(C)N(C)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000882130062 707509924 /nfs/dbraw/zinc/50/99/24/707509924.db2.gz MHNKMHCTDKBNRT-UHFFFAOYSA-N 1 2 306.431 1.707 20 30 DDEDLO COc1cccc2c1CC[C@@]2(CO)[NH2+]Cc1nc(C#N)cs1 ZINC000880381501 706958104 /nfs/dbraw/zinc/95/81/04/706958104.db2.gz CBFXBZZEQFZWID-INIZCTEOSA-N 1 2 315.398 1.947 20 30 DDEDLO C#CCC1(O)CC[NH+](CC(=O)Nc2nc(CC)c(C)s2)CC1 ZINC000880481841 706988292 /nfs/dbraw/zinc/98/82/92/706988292.db2.gz QBHJLKSPEDUZKL-UHFFFAOYSA-N 1 2 321.446 1.803 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)C(=O)NCc2cc(C)[nH+]c(C)c2)C1 ZINC000834857887 707140915 /nfs/dbraw/zinc/14/09/15/707140915.db2.gz MQMOZRDDDKCPBQ-HNNXBMFYSA-N 1 2 313.401 1.577 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@@H](C)[N@@H+](C)C[C@@H]2C)c(C#N)c1 ZINC000872467547 707429292 /nfs/dbraw/zinc/42/92/92/707429292.db2.gz KXVNPXYUPSJMPU-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(S(=O)(=O)N2C[C@@H](C)[N@H+](C)C[C@@H]2C)c(C#N)c1 ZINC000872467547 707429295 /nfs/dbraw/zinc/42/92/95/707429295.db2.gz KXVNPXYUPSJMPU-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO C#CC1(F)CN(C(=O)[C@H]2CC[N@H+]2Cc2ccc(OC)cc2)C1 ZINC000881937239 707433477 /nfs/dbraw/zinc/43/34/77/707433477.db2.gz UORYYQUAEHUGBA-OAHLLOKOSA-N 1 2 302.349 1.453 20 30 DDEDLO C#CC1(F)CN(C(=O)[C@H]2CC[N@@H+]2Cc2ccc(OC)cc2)C1 ZINC000881937239 707433480 /nfs/dbraw/zinc/43/34/80/707433480.db2.gz UORYYQUAEHUGBA-OAHLLOKOSA-N 1 2 302.349 1.453 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2c(C)cccc2C#N)[C@H](C)C1 ZINC000872487002 707439939 /nfs/dbraw/zinc/43/99/39/707439939.db2.gz WMJFCOVRAUCKLG-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2c(C)cccc2C#N)[C@H](C)C1 ZINC000872487002 707439941 /nfs/dbraw/zinc/43/99/41/707439941.db2.gz WMJFCOVRAUCKLG-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO C#C[C@H](CCC)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000882133485 707511751 /nfs/dbraw/zinc/51/17/51/707511751.db2.gz RJIVUPSFTPFRLS-CQSZACIVSA-N 1 2 306.431 1.755 20 30 DDEDLO C#C[C@H](CCC)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000882133485 707511756 /nfs/dbraw/zinc/51/17/56/707511756.db2.gz RJIVUPSFTPFRLS-CQSZACIVSA-N 1 2 306.431 1.755 20 30 DDEDLO CN(CN1C[C@H](c2c[nH+]cn2C)CC1=O)[C@@H]1CCC[C@H]1C#N ZINC000872684555 707558295 /nfs/dbraw/zinc/55/82/95/707558295.db2.gz OYZJKEZTBBOFIM-BFHYXJOUSA-N 1 2 301.394 1.318 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC[N@H+](CC(=O)N2CCCC2)CC1 ZINC000837415216 707641662 /nfs/dbraw/zinc/64/16/62/707641662.db2.gz XPXVUBDNHXGYBX-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC[N@@H+](CC(=O)N2CCCC2)CC1 ZINC000837415216 707641668 /nfs/dbraw/zinc/64/16/68/707641668.db2.gz XPXVUBDNHXGYBX-UHFFFAOYSA-N 1 2 319.449 1.193 20 30 DDEDLO C#CCCS(=O)(=O)N1CC[NH+]([C@H](C)c2ccccc2)CC1 ZINC000882616731 707719101 /nfs/dbraw/zinc/71/91/01/707719101.db2.gz PIAXIOWQFKNKRN-OAHLLOKOSA-N 1 2 306.431 1.718 20 30 DDEDLO C=CCONC(=O)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000838707610 707967263 /nfs/dbraw/zinc/96/72/63/707967263.db2.gz HMIATFRKWKYEFU-INIZCTEOSA-N 1 2 319.405 1.347 20 30 DDEDLO COC[C@H](C)NC(=S)NN=C1CC[N@H+](Cc2ccccc2)C1 ZINC000839394329 708046069 /nfs/dbraw/zinc/04/60/69/708046069.db2.gz CSJAKIMABKCPMK-ZDUSSCGKSA-N 1 2 320.462 1.747 20 30 DDEDLO COC[C@H](C)NC(=S)NN=C1CC[N@@H+](Cc2ccccc2)C1 ZINC000839394329 708046070 /nfs/dbraw/zinc/04/60/70/708046070.db2.gz CSJAKIMABKCPMK-ZDUSSCGKSA-N 1 2 320.462 1.747 20 30 DDEDLO COc1nccnc1C[N@@H+]1CCC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC000896651126 708108126 /nfs/dbraw/zinc/10/81/26/708108126.db2.gz QRBXLDXQFZBAIK-CQSZACIVSA-N 1 2 324.388 1.828 20 30 DDEDLO COc1nccnc1C[N@H+]1CCC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC000896651126 708108129 /nfs/dbraw/zinc/10/81/29/708108129.db2.gz QRBXLDXQFZBAIK-CQSZACIVSA-N 1 2 324.388 1.828 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(OC(C)C)cc1 ZINC000884069085 708125326 /nfs/dbraw/zinc/12/53/26/708125326.db2.gz QBOCAPITLGAGOA-HNNXBMFYSA-N 1 2 320.389 1.537 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCc2cc(C)ccc21 ZINC000884101225 708139175 /nfs/dbraw/zinc/13/91/75/708139175.db2.gz RKPIJULIMQTUDS-GJZGRUSLSA-N 1 2 302.374 1.545 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCc2cc(C)ccc21 ZINC000884101226 708139312 /nfs/dbraw/zinc/13/93/12/708139312.db2.gz RKPIJULIMQTUDS-LSDHHAIUSA-N 1 2 302.374 1.545 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)N[C@H](C)Cc2cc(C)[nH]n2)C1 ZINC000884228200 708200205 /nfs/dbraw/zinc/20/02/05/708200205.db2.gz KJPFPSIAUPMDHG-TZMCWYRMSA-N 1 2 303.410 1.046 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)N[C@H](C)Cc2cc(C)[nH]n2)C1 ZINC000884228200 708200207 /nfs/dbraw/zinc/20/02/07/708200207.db2.gz KJPFPSIAUPMDHG-TZMCWYRMSA-N 1 2 303.410 1.046 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2cccc(C)c2)CCC1 ZINC000884375101 708269237 /nfs/dbraw/zinc/26/92/37/708269237.db2.gz MQSSILYYOZPYGY-HNNXBMFYSA-N 1 2 316.401 1.937 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1cccc2ccsc21 ZINC000884381022 708271733 /nfs/dbraw/zinc/27/17/33/708271733.db2.gz SFMRKSHKLWGQPL-ZDUSSCGKSA-N 1 2 318.398 1.964 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](OC)C1CCCCC1 ZINC000884417045 708288810 /nfs/dbraw/zinc/28/88/10/708288810.db2.gz WJWBSOGUWBKTIT-UONOGXRCSA-N 1 2 312.410 1.145 20 30 DDEDLO N#Cc1ccc(N2C(=O)C[C@@H]([NH+]3CCC(F)CC3)C2=O)cc1 ZINC000887300771 709011763 /nfs/dbraw/zinc/01/17/63/709011763.db2.gz CVOXEPXCYPTZCF-CQSZACIVSA-N 1 2 301.321 1.624 20 30 DDEDLO C#CCSCC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000887691254 709104389 /nfs/dbraw/zinc/10/43/89/709104389.db2.gz FXOQVEYOJGVHLK-INIZCTEOSA-N 1 2 318.442 1.370 20 30 DDEDLO C#CCSCC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000887691254 709104392 /nfs/dbraw/zinc/10/43/92/709104392.db2.gz FXOQVEYOJGVHLK-INIZCTEOSA-N 1 2 318.442 1.370 20 30 DDEDLO C=CC[C@H](CO)NC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000890250653 709801039 /nfs/dbraw/zinc/80/10/39/709801039.db2.gz JQXKMMGSXQBRQS-CVEARBPZSA-N 1 2 314.389 1.861 20 30 DDEDLO C/C(=C/C(=O)N[C@H](C#N)C1CCCCC1)C[NH+]1CCOCC1 ZINC000900950433 709844200 /nfs/dbraw/zinc/84/42/00/709844200.db2.gz BFWFAXGUCPQRTC-SYCZXOQXSA-N 1 2 305.422 1.854 20 30 DDEDLO CN(C)C(=O)[C@H]([NH2+]CC#C[C@H]1CCCCO1)c1ccccc1 ZINC000901699105 710123513 /nfs/dbraw/zinc/12/35/13/710123513.db2.gz FYPNQRJVDIMZLS-IAGOWNOFSA-N 1 2 300.402 1.978 20 30 DDEDLO C[C@]1(C#N)CN(C(=O)NCCCNc2cccc[nH+]2)CCO1 ZINC000891974075 710343818 /nfs/dbraw/zinc/34/38/18/710343818.db2.gz BTIHIYGBUHIDRM-HNNXBMFYSA-N 1 2 303.366 1.208 20 30 DDEDLO CN(CCCc1c[nH+]cn1C)S(=O)(=O)c1ccc(C#N)cc1 ZINC000902212318 710665951 /nfs/dbraw/zinc/66/59/51/710665951.db2.gz AGVSBZBPMAYJRV-UHFFFAOYSA-N 1 2 318.402 1.545 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2nnc(-c3ccccc3)o2)C1 ZINC000902729310 710881323 /nfs/dbraw/zinc/88/13/23/710881323.db2.gz GWLGYCOXSDHDOH-AWEZNQCLSA-N 1 2 310.357 1.564 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2nnc(-c3ccccc3)o2)C1 ZINC000902729310 710881326 /nfs/dbraw/zinc/88/13/26/710881326.db2.gz GWLGYCOXSDHDOH-AWEZNQCLSA-N 1 2 310.357 1.564 20 30 DDEDLO N#Cc1cnc(C(=O)N2CC[NH2+][C@@H](c3cn[nH]c3)C2)c(Cl)c1 ZINC000913448933 713220179 /nfs/dbraw/zinc/22/01/79/713220179.db2.gz CMQPZQKJBYFCCJ-GFCCVEGCSA-N 1 2 316.752 1.116 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)[C@@]3(C#N)CC34CCCC4)CC[NH2+]2)cn1 ZINC000913461210 713226315 /nfs/dbraw/zinc/22/63/15/713226315.db2.gz UYZVEFGJBFVHCW-PBHICJAKSA-N 1 2 313.405 1.367 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)c3ccc(C#N)s3)CC[NH2+]2)cn1 ZINC000913466355 713228009 /nfs/dbraw/zinc/22/80/09/713228009.db2.gz FISPCMURBGHYDQ-GFCCVEGCSA-N 1 2 301.375 1.140 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)Cc3ccc(C#N)cc3)CC[NH2+]2)cn1 ZINC000913468290 713229047 /nfs/dbraw/zinc/22/90/47/713229047.db2.gz KAXVQKLAWMDIRW-MRXNPFEDSA-N 1 2 309.373 1.007 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)/C=C\c3ccccc3C#N)CC[NH2+]2)cn1 ZINC000913472780 713231053 /nfs/dbraw/zinc/23/10/53/713231053.db2.gz GZFNINVKVHRAIN-TUYSUELWSA-N 1 2 321.384 1.478 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@@H]2C[N@H+](C)CCO2)cc(C)c1C#N ZINC000913507566 713240524 /nfs/dbraw/zinc/24/05/24/713240524.db2.gz PJOBWSUDKAYMQM-HNNXBMFYSA-N 1 2 301.390 1.578 20 30 DDEDLO Cc1cc(C(=O)N(C)C[C@@H]2C[N@@H+](C)CCO2)cc(C)c1C#N ZINC000913507566 713240526 /nfs/dbraw/zinc/24/05/26/713240526.db2.gz PJOBWSUDKAYMQM-HNNXBMFYSA-N 1 2 301.390 1.578 20 30 DDEDLO C=CC[C@H]([NH2+]CCCNC(=O)c1cccc(F)c1)C(=O)OC ZINC000905760967 712140705 /nfs/dbraw/zinc/14/07/05/712140705.db2.gz WBTKRZJNWRGBJU-AWEZNQCLSA-N 1 2 308.353 1.653 20 30 DDEDLO N#CC1CCC(Cn2cc(CC[NH+]3CCOCC3)nn2)CC1 ZINC000905816872 712154769 /nfs/dbraw/zinc/15/47/69/712154769.db2.gz WJXZTVDDKNGTOD-UHFFFAOYSA-N 1 2 303.410 1.483 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2csc(C#N)c2)CC1 ZINC000913969961 713326374 /nfs/dbraw/zinc/32/63/74/713326374.db2.gz KAVJBWPLEIXCDZ-UHFFFAOYSA-N 1 2 323.443 1.090 20 30 DDEDLO C#CCOc1ccccc1NC(=O)/C=C(\C)C[NH+]1CCOCC1 ZINC000907505826 712587629 /nfs/dbraw/zinc/58/76/29/712587629.db2.gz XYRSYWBNKGNQRJ-FYWRMAATSA-N 1 2 314.385 1.916 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)Cc2csc(C#N)c2)[C@@H](C)C1 ZINC000915551423 713423197 /nfs/dbraw/zinc/42/31/97/713423197.db2.gz XWYSNGPQUQTYLY-NSHDSACASA-N 1 2 313.448 1.476 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)Cc2csc(C#N)c2)[C@@H](C)C1 ZINC000915551423 713423198 /nfs/dbraw/zinc/42/31/98/713423198.db2.gz XWYSNGPQUQTYLY-NSHDSACASA-N 1 2 313.448 1.476 20 30 DDEDLO COCCCNC(=O)C[N@H+](C)Cc1cc(Cl)cc(C#N)c1 ZINC000929245825 713575299 /nfs/dbraw/zinc/57/52/99/713575299.db2.gz FLLRCCZJTJDIQP-UHFFFAOYSA-N 1 2 309.797 1.796 20 30 DDEDLO COCCCNC(=O)C[N@@H+](C)Cc1cc(Cl)cc(C#N)c1 ZINC000929245825 713575301 /nfs/dbraw/zinc/57/53/01/713575301.db2.gz FLLRCCZJTJDIQP-UHFFFAOYSA-N 1 2 309.797 1.796 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)C[C@@H](O)C2(O)CCCC2)c1 ZINC000929290049 713583522 /nfs/dbraw/zinc/58/35/22/713583522.db2.gz KHEAZIMUCWXZCK-MRXNPFEDSA-N 1 2 316.401 1.204 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)C[C@@H](O)C2(O)CCCC2)c1 ZINC000929290049 713583525 /nfs/dbraw/zinc/58/35/25/713583525.db2.gz KHEAZIMUCWXZCK-MRXNPFEDSA-N 1 2 316.401 1.204 20 30 DDEDLO CC1(c2nnc(-c3cccc(F)c3)o2)C[NH+](C[C@H](O)CC#N)C1 ZINC000931086134 713998874 /nfs/dbraw/zinc/99/88/74/713998874.db2.gz FSVFDABRGFCCCK-CYBMUJFWSA-N 1 2 316.336 1.724 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])CC1 ZINC000931147008 714015842 /nfs/dbraw/zinc/01/58/42/714015842.db2.gz UIYMOUPWLUZCBX-GFCCVEGCSA-N 1 2 317.345 1.382 20 30 DDEDLO CC(C)C[C@@](C)(C#N)NC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000931302094 714060928 /nfs/dbraw/zinc/06/09/28/714060928.db2.gz GAMUGODLKGHTHZ-VYDXJSESSA-N 1 2 323.437 1.311 20 30 DDEDLO C=C[C@@H](CC)CC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000931877673 714203504 /nfs/dbraw/zinc/20/35/04/714203504.db2.gz BBFACJDHFDLAHE-AWEZNQCLSA-N 1 2 306.410 1.756 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N[C@@H](C)[C@H](C)[NH+]1CCOCC1 ZINC000932052715 714246942 /nfs/dbraw/zinc/24/69/42/714246942.db2.gz QULLVOIMOCVKNQ-STQMWFEESA-N 1 2 317.389 1.406 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2occc2Br)C1 ZINC000923568640 714409242 /nfs/dbraw/zinc/40/92/42/714409242.db2.gz IIUVFMABKMLNQI-JTQLQIEISA-N 1 2 311.179 1.870 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2occc2Br)C1 ZINC000923568640 714409244 /nfs/dbraw/zinc/40/92/44/714409244.db2.gz IIUVFMABKMLNQI-JTQLQIEISA-N 1 2 311.179 1.870 20 30 DDEDLO C#CCC1([NH2+]CC2=Nc3ccccc3S(=O)(=O)N2)CCC1 ZINC000933297648 714557720 /nfs/dbraw/zinc/55/77/20/714557720.db2.gz PGLVCNROXPSPNG-UHFFFAOYSA-N 1 2 303.387 1.544 20 30 DDEDLO COC(=O)C1([C@@]2(O)CCC[N@H+](C[C@H](C#N)CCC#N)C2)CCC1 ZINC000933842873 714682718 /nfs/dbraw/zinc/68/27/18/714682718.db2.gz SVLTVPFXXPIKPZ-WMLDXEAASA-N 1 2 319.405 1.600 20 30 DDEDLO COC(=O)C1([C@@]2(O)CCC[N@@H+](C[C@H](C#N)CCC#N)C2)CCC1 ZINC000933842873 714682719 /nfs/dbraw/zinc/68/27/19/714682719.db2.gz SVLTVPFXXPIKPZ-WMLDXEAASA-N 1 2 319.405 1.600 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NC2(C#N)CCC2)CCN1c1ccccc1 ZINC000934320871 714793991 /nfs/dbraw/zinc/79/39/91/714793991.db2.gz XUFORYGIUHMLLR-HNNXBMFYSA-N 1 2 312.417 1.760 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)CCN1c1ccccc1 ZINC000934320871 714793993 /nfs/dbraw/zinc/79/39/93/714793993.db2.gz XUFORYGIUHMLLR-HNNXBMFYSA-N 1 2 312.417 1.760 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCOC[C@@H]1CC1CCOCC1 ZINC000934642667 714869091 /nfs/dbraw/zinc/86/90/91/714869091.db2.gz ODYXECIVUIDJOW-KRWDZBQOSA-N 1 2 324.465 1.929 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCOC[C@@H]1CC1CCOCC1 ZINC000934642667 714869092 /nfs/dbraw/zinc/86/90/92/714869092.db2.gz ODYXECIVUIDJOW-KRWDZBQOSA-N 1 2 324.465 1.929 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000935993249 715142706 /nfs/dbraw/zinc/14/27/06/715142706.db2.gz PWCSLYLJPMNFER-CABCVRRESA-N 1 2 323.437 1.312 20 30 DDEDLO C=CCOc1cccnc1C(=O)N(CC)C1C[NH+](C[C@@H](C)O)C1 ZINC000957113317 715753915 /nfs/dbraw/zinc/75/39/15/715753915.db2.gz JURBZCCTVVPERS-CYBMUJFWSA-N 1 2 319.405 1.174 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@@H]2Cc3cccc(F)c3O2)CC1 ZINC000957715452 716011455 /nfs/dbraw/zinc/01/14/55/716011455.db2.gz NEHWPWUINROWCC-HNNXBMFYSA-N 1 2 304.365 1.850 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc[nH]1 ZINC000958216105 716401238 /nfs/dbraw/zinc/40/12/38/716401238.db2.gz DNFDONNXFJLXNE-IAZYJMLFSA-N 1 2 310.195 1.583 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc[nH]1 ZINC000958216105 716401242 /nfs/dbraw/zinc/40/12/42/716401242.db2.gz DNFDONNXFJLXNE-IAZYJMLFSA-N 1 2 310.195 1.583 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC000939910380 716494166 /nfs/dbraw/zinc/49/41/66/716494166.db2.gz MLWVYYIDWNWKKE-AWEZNQCLSA-N 1 2 316.405 1.368 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@@H]1CCCN1C(=O)[C@@H](C)C#N ZINC000960498260 716581527 /nfs/dbraw/zinc/58/15/27/716581527.db2.gz CNIULOKTEHFSBO-JQWIXIFHSA-N 1 2 307.423 1.429 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@@H]1CCCN1C(=O)[C@@H](C)C#N ZINC000960498260 716581532 /nfs/dbraw/zinc/58/15/32/716581532.db2.gz CNIULOKTEHFSBO-JQWIXIFHSA-N 1 2 307.423 1.429 20 30 DDEDLO C[N@@H+](Cc1ncccn1)C[C@H]1CCCN1C(=O)c1c[nH]c(C#N)c1 ZINC000960572603 716616820 /nfs/dbraw/zinc/61/68/20/716616820.db2.gz XHDGRNLRVAOKGT-OAHLLOKOSA-N 1 2 324.388 1.413 20 30 DDEDLO C[N@H+](Cc1ncccn1)C[C@H]1CCCN1C(=O)c1c[nH]c(C#N)c1 ZINC000960572603 716616822 /nfs/dbraw/zinc/61/68/22/716616822.db2.gz XHDGRNLRVAOKGT-OAHLLOKOSA-N 1 2 324.388 1.413 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)nc1 ZINC000960627136 716636713 /nfs/dbraw/zinc/63/67/13/716636713.db2.gz OHZDSLOGVMQVOP-INIZCTEOSA-N 1 2 312.417 1.621 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)nc1 ZINC000960627136 716636717 /nfs/dbraw/zinc/63/67/17/716636717.db2.gz OHZDSLOGVMQVOP-INIZCTEOSA-N 1 2 312.417 1.621 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nnc(C)o4)C[C@H]32)CC1 ZINC000961668752 717052205 /nfs/dbraw/zinc/05/22/05/717052205.db2.gz OOXQTDVIMXTBKA-FOLVSLTJSA-N 1 2 316.405 1.671 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nnc(C)o4)C[C@H]32)CC1 ZINC000961668752 717052207 /nfs/dbraw/zinc/05/22/07/717052207.db2.gz OOXQTDVIMXTBKA-FOLVSLTJSA-N 1 2 316.405 1.671 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cnon4)C[C@H]32)CC1 ZINC000961683941 717057541 /nfs/dbraw/zinc/05/75/41/717057541.db2.gz BSZIPFLUSOAGEK-FICVDOATSA-N 1 2 302.378 1.362 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cnon4)C[C@H]32)CC1 ZINC000961683941 717057544 /nfs/dbraw/zinc/05/75/44/717057544.db2.gz BSZIPFLUSOAGEK-FICVDOATSA-N 1 2 302.378 1.362 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000941022899 717077449 /nfs/dbraw/zinc/07/74/49/717077449.db2.gz XAQFYRKJMVSZLM-OCCSQVGLSA-N 1 2 315.421 1.570 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC[C@@H]3CCC)CC2)C1 ZINC000941465081 717182202 /nfs/dbraw/zinc/18/22/02/717182202.db2.gz NBZVHRUPGUJYRE-FUHWJXTLSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H](C)c3ccco3)CC2)C1 ZINC000941564943 717212316 /nfs/dbraw/zinc/21/23/16/717212316.db2.gz PDJZUJROAOQOOP-HNNXBMFYSA-N 1 2 315.417 1.235 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCCC[C@H]3CC)CC2)C1 ZINC000941623708 717233707 /nfs/dbraw/zinc/23/37/07/717233707.db2.gz ZNPPBWYGCFCDJQ-SJLPKXTDSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccncn1 ZINC000962084817 717299498 /nfs/dbraw/zinc/29/94/98/717299498.db2.gz RYTWHWVIYHTCMV-IAZYJMLFSA-N 1 2 323.194 1.045 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccncn1 ZINC000962084817 717299501 /nfs/dbraw/zinc/29/95/01/717299501.db2.gz RYTWHWVIYHTCMV-IAZYJMLFSA-N 1 2 323.194 1.045 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC(C[NH2+]Cc3noc(CC)n3)C2)C1 ZINC000962267185 717380364 /nfs/dbraw/zinc/38/03/64/717380364.db2.gz LBTHRTIAVKZHEH-UHFFFAOYSA-N 1 2 318.421 1.973 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC000965125344 717546227 /nfs/dbraw/zinc/54/62/27/717546227.db2.gz BIFYSTHRDCNNFW-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2sc3nccn3c2C)C1 ZINC000966818011 718673497 /nfs/dbraw/zinc/67/34/97/718673497.db2.gz YHQYHXHGMPPHAF-DGCLKSJQSA-N 1 2 316.430 1.778 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2sc3nccn3c2C)C1 ZINC000966818011 718673500 /nfs/dbraw/zinc/67/35/00/718673500.db2.gz YHQYHXHGMPPHAF-DGCLKSJQSA-N 1 2 316.430 1.778 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876665 718690764 /nfs/dbraw/zinc/69/07/64/718690764.db2.gz YBHLYVVEPDWKCK-CMPLNLGQSA-N 1 2 304.419 1.489 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876665 718690767 /nfs/dbraw/zinc/69/07/67/718690767.db2.gz YBHLYVVEPDWKCK-CMPLNLGQSA-N 1 2 304.419 1.489 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC000967272718 718809180 /nfs/dbraw/zinc/80/91/80/718809180.db2.gz DOCVAHVHAPYSLM-DYVFJYSZSA-N 1 2 323.396 1.602 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC000967272718 718809188 /nfs/dbraw/zinc/80/91/88/718809188.db2.gz DOCVAHVHAPYSLM-DYVFJYSZSA-N 1 2 323.396 1.602 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C(F)F)s2)C1 ZINC000967945377 719099046 /nfs/dbraw/zinc/09/90/46/719099046.db2.gz VZSHENSJYYLEGO-JGVFFNPUSA-N 1 2 315.349 1.326 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cncn2C)C1 ZINC000968534997 719650740 /nfs/dbraw/zinc/65/07/40/719650740.db2.gz UTUOFRKZARUAOW-SMDDNHRTSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cncn2C)C1 ZINC000968534997 719650742 /nfs/dbraw/zinc/65/07/42/719650742.db2.gz UTUOFRKZARUAOW-SMDDNHRTSA-N 1 2 310.829 1.542 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968635195 719704902 /nfs/dbraw/zinc/70/49/02/719704902.db2.gz GYBXTKRBFCWPLR-WBMJQRKESA-N 1 2 311.389 1.421 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968635195 719704905 /nfs/dbraw/zinc/70/49/05/719704905.db2.gz GYBXTKRBFCWPLR-WBMJQRKESA-N 1 2 311.389 1.421 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCC[C@@H]2N2CCCC2=O)CC1 ZINC000948840145 719740265 /nfs/dbraw/zinc/74/02/65/719740265.db2.gz ZFQWQEKWITXGKM-CVEARBPZSA-N 1 2 319.449 1.498 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnc(C)[nH]1 ZINC000948902806 719775383 /nfs/dbraw/zinc/77/53/83/719775383.db2.gz VMAWGYJLLARHAO-QGZVFWFLSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnc(C)[nH]1 ZINC000948902806 719775387 /nfs/dbraw/zinc/77/53/87/719775387.db2.gz VMAWGYJLLARHAO-QGZVFWFLSA-N 1 2 308.385 1.681 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cccnn1 ZINC000948974613 719819421 /nfs/dbraw/zinc/81/94/21/719819421.db2.gz JBQZYIRQNZREJT-KRWDZBQOSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cccnn1 ZINC000948974613 719819428 /nfs/dbraw/zinc/81/94/28/719819428.db2.gz JBQZYIRQNZREJT-KRWDZBQOSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3C(C)(C)C3(C)C)CC2)C1 ZINC000949332889 720022665 /nfs/dbraw/zinc/02/26/65/720022665.db2.gz XFAQEPXDYRNCCH-UHFFFAOYSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3C(C)(C)C3(C)C)CC2)C1 ZINC000949332889 720022667 /nfs/dbraw/zinc/02/26/67/720022667.db2.gz XFAQEPXDYRNCCH-UHFFFAOYSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@](C)(C=C)CC)CC2)C1 ZINC000949458831 720102396 /nfs/dbraw/zinc/10/23/96/720102396.db2.gz WSQXMHQFZPJPFK-QGZVFWFLSA-N 1 2 304.434 1.915 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@](C)(C=C)CC)CC2)C1 ZINC000949458831 720102399 /nfs/dbraw/zinc/10/23/99/720102399.db2.gz WSQXMHQFZPJPFK-QGZVFWFLSA-N 1 2 304.434 1.915 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cccn3CC)CC2)C1 ZINC000949528961 720141494 /nfs/dbraw/zinc/14/14/94/720141494.db2.gz GYHCJXAXOFEPGU-UHFFFAOYSA-N 1 2 315.417 1.448 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cccn3CC)CC2)C1 ZINC000949528961 720141492 /nfs/dbraw/zinc/14/14/92/720141492.db2.gz GYHCJXAXOFEPGU-UHFFFAOYSA-N 1 2 315.417 1.448 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)N1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC000949673633 720257701 /nfs/dbraw/zinc/25/77/01/720257701.db2.gz IFNWNZMBVFIYOB-RHSMWYFYSA-N 1 2 313.401 1.889 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C(C)C)n(C)n2)C1 ZINC000950048751 720467417 /nfs/dbraw/zinc/46/74/17/720467417.db2.gz MPKCCBMFNWBJDH-UHFFFAOYSA-N 1 2 302.422 1.713 20 30 DDEDLO CC#CC[NH+]1CC([C@H](C)NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC000969865159 720540710 /nfs/dbraw/zinc/54/07/10/720540710.db2.gz KKJGKHBKXXFPFE-JTQLQIEISA-N 1 2 308.813 1.699 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC000970734290 720914252 /nfs/dbraw/zinc/91/42/52/720914252.db2.gz DAWLNIUCHQLFMA-MNOVXSKESA-N 1 2 323.828 1.099 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@@H]2CCN2C(=O)[C@@H](C)C#N)c(C)[nH+]1 ZINC000951490242 721050255 /nfs/dbraw/zinc/05/02/55/721050255.db2.gz MUAWJKNADDLSTJ-FZMZJTMJSA-N 1 2 314.389 1.497 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+](C)[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000971217409 721184290 /nfs/dbraw/zinc/18/42/90/721184290.db2.gz DVRCUWQDUZAKSG-PWSUYJOCSA-N 1 2 323.828 1.574 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+](C)[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000971217409 721184292 /nfs/dbraw/zinc/18/42/92/721184292.db2.gz DVRCUWQDUZAKSG-PWSUYJOCSA-N 1 2 323.828 1.574 20 30 DDEDLO Cc1conc1C[N@@H+](C)[C@@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971376261 721264396 /nfs/dbraw/zinc/26/43/96/721264396.db2.gz JPMFZMXKFGXAPN-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1conc1C[N@H+](C)[C@@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971376261 721264401 /nfs/dbraw/zinc/26/44/01/721264401.db2.gz JPMFZMXKFGXAPN-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)CC(C)(C)O)C1 ZINC000971436523 721296850 /nfs/dbraw/zinc/29/68/50/721296850.db2.gz UGPRJMZDJXPOFG-NSHDSACASA-N 1 2 319.243 1.589 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)CC(C)(C)O)C1 ZINC000971436523 721296852 /nfs/dbraw/zinc/29/68/52/721296852.db2.gz UGPRJMZDJXPOFG-NSHDSACASA-N 1 2 319.243 1.589 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnoc3C(C)C)C2)C1 ZINC000972621877 735368589 /nfs/dbraw/zinc/36/85/89/735368589.db2.gz RFJJPPXKNWMYFL-QGZVFWFLSA-N 1 2 319.405 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cnoc3C(C)C)C2)C1 ZINC000972621877 735368593 /nfs/dbraw/zinc/36/85/93/735368593.db2.gz RFJJPPXKNWMYFL-QGZVFWFLSA-N 1 2 319.405 1.901 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCO1 ZINC001027737696 738608490 /nfs/dbraw/zinc/60/84/90/738608490.db2.gz SUIIZZGKRLPAGL-VXGBXAGGSA-N 1 2 317.227 1.655 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCO1 ZINC001027737696 738608492 /nfs/dbraw/zinc/60/84/92/738608492.db2.gz SUIIZZGKRLPAGL-VXGBXAGGSA-N 1 2 317.227 1.655 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001067499858 733366344 /nfs/dbraw/zinc/36/63/44/733366344.db2.gz BDZNYUSPPOEOKD-UHFFFAOYSA-N 1 2 320.437 1.861 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)CC3CCCC3)[C@H]2C1 ZINC001083212942 733518354 /nfs/dbraw/zinc/51/83/54/733518354.db2.gz HRSMEQLANICFDN-HYVNUMGLSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)CC3CCCC3)[C@H]2C1 ZINC001083212942 733518355 /nfs/dbraw/zinc/51/83/55/733518355.db2.gz HRSMEQLANICFDN-HYVNUMGLSA-N 1 2 304.434 1.748 20 30 DDEDLO Cc1cn(C)nc1C(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1 ZINC001038249079 734969839 /nfs/dbraw/zinc/96/98/39/734969839.db2.gz LYAYZEWZCWQFOW-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cn(C)nc1C(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001038249079 734969844 /nfs/dbraw/zinc/96/98/44/734969844.db2.gz LYAYZEWZCWQFOW-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cn2c(n1)COCC2 ZINC001027965392 738874428 /nfs/dbraw/zinc/87/44/28/738874428.db2.gz ATSRNHSQMRTXRS-GFCCVEGCSA-N 1 2 324.812 1.360 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cn2c(n1)COCC2 ZINC001027965392 738874431 /nfs/dbraw/zinc/87/44/31/738874431.db2.gz ATSRNHSQMRTXRS-GFCCVEGCSA-N 1 2 324.812 1.360 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@H+](C)Cc3cc(C)on3)C2)cn1 ZINC000972755615 735597767 /nfs/dbraw/zinc/59/77/67/735597767.db2.gz GCYDPUKBGMGSSG-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@@H+](C)Cc3cc(C)on3)C2)cn1 ZINC000972755615 735597770 /nfs/dbraw/zinc/59/77/70/735597770.db2.gz GCYDPUKBGMGSSG-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@H+](C)Cc3cc(C)on3)C2)cn1 ZINC000972755616 735597854 /nfs/dbraw/zinc/59/78/54/735597854.db2.gz GCYDPUKBGMGSSG-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3cc(C)on3)C2)cn1 ZINC000972755616 735597858 /nfs/dbraw/zinc/59/78/58/735597858.db2.gz GCYDPUKBGMGSSG-QGZVFWFLSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@H+](C)Cc3nocc3C)C2)cn1 ZINC000972756405 735598625 /nfs/dbraw/zinc/59/86/25/735598625.db2.gz LXYGPDLFWZLIRG-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3nocc3C)C2)cn1 ZINC000972756405 735598630 /nfs/dbraw/zinc/59/86/30/735598630.db2.gz LXYGPDLFWZLIRG-MRXNPFEDSA-N 1 2 324.384 1.706 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(F)s1)C2 ZINC001111492369 735607865 /nfs/dbraw/zinc/60/78/65/735607865.db2.gz WMLFNYITRFGXLY-UPJWGTAASA-N 1 2 322.405 1.872 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(F)s1)C2 ZINC001111492369 735607869 /nfs/dbraw/zinc/60/78/69/735607869.db2.gz WMLFNYITRFGXLY-UPJWGTAASA-N 1 2 322.405 1.872 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cc(=O)n(C)cn1 ZINC001024487654 735865138 /nfs/dbraw/zinc/86/51/38/735865138.db2.gz JJIYRZFQENQFSB-LBPRGKRZSA-N 1 2 324.812 1.117 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cc(=O)n(C)cn1 ZINC001024487654 735865141 /nfs/dbraw/zinc/86/51/41/735865141.db2.gz JJIYRZFQENQFSB-LBPRGKRZSA-N 1 2 324.812 1.117 20 30 DDEDLO CCc1nnc(C[NH2+]C[C@H]2CCCCN2C(=O)[C@@H](C)C#N)o1 ZINC001024902724 736160372 /nfs/dbraw/zinc/16/03/72/736160372.db2.gz ZADFBAQHNXAGLG-NWDGAFQWSA-N 1 2 305.382 1.262 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCO[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111947858 736172422 /nfs/dbraw/zinc/17/24/22/736172422.db2.gz BCFBLNUNNIHXIL-JSGCOSHPSA-N 1 2 320.393 1.015 20 30 DDEDLO O=C(NC[C@H]1CCC[N@@H+]1CC#Cc1ccccc1)c1cnco1 ZINC001028053122 738969876 /nfs/dbraw/zinc/96/98/76/738969876.db2.gz UZTGZKKXQLVPQP-MRXNPFEDSA-N 1 2 309.369 1.921 20 30 DDEDLO O=C(NC[C@H]1CCC[N@H+]1CC#Cc1ccccc1)c1cnco1 ZINC001028053122 738969878 /nfs/dbraw/zinc/96/98/78/738969878.db2.gz UZTGZKKXQLVPQP-MRXNPFEDSA-N 1 2 309.369 1.921 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)C3CCCCC3)C2)nn1 ZINC001105140048 737577222 /nfs/dbraw/zinc/57/72/22/737577222.db2.gz UCPDBPCYHJUSOY-UHFFFAOYSA-N 1 2 315.421 1.355 20 30 DDEDLO CC(C)[C@@H](CNC(=O)[C@@H](C)C#N)Nc1[nH+]cnc2c1cnn2C ZINC001105140137 737577771 /nfs/dbraw/zinc/57/77/71/737577771.db2.gz VWMAMZFXIMHCSV-CMPLNLGQSA-N 1 2 315.381 1.076 20 30 DDEDLO CC(C)[C@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1ccc(C#N)nc1 ZINC001105214566 737616172 /nfs/dbraw/zinc/61/61/72/737616172.db2.gz LWBPBBDFMCXMHT-AWEZNQCLSA-N 1 2 312.377 1.472 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)CC(C)(C)CC)C2)nn1 ZINC001105249227 737658233 /nfs/dbraw/zinc/65/82/33/737658233.db2.gz PJOJTCNQTSDOTJ-UHFFFAOYSA-N 1 2 303.410 1.211 20 30 DDEDLO N#Cc1ccc(N2C[C@H]3CC[C@@H](C2)N3C(=O)Cn2cc[nH+]c2)nc1 ZINC001058763664 738163732 /nfs/dbraw/zinc/16/37/32/738163732.db2.gz QVTQBKFNABOUHF-GASCZTMLSA-N 1 2 322.372 1.030 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]([N@H+](C)Cc2ncc(Cl)n2C)C1 ZINC001027335126 738204761 /nfs/dbraw/zinc/20/47/61/738204761.db2.gz CEMLYSICVSXBCU-VXGBXAGGSA-N 1 2 323.828 1.656 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]([N@@H+](C)Cc2ncc(Cl)n2C)C1 ZINC001027335126 738204764 /nfs/dbraw/zinc/20/47/64/738204764.db2.gz CEMLYSICVSXBCU-VXGBXAGGSA-N 1 2 323.828 1.656 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027337210 738209235 /nfs/dbraw/zinc/20/92/35/738209235.db2.gz ZUFQBIJTJVOKCB-ZYHUDNBSSA-N 1 2 307.423 1.429 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027337210 738209237 /nfs/dbraw/zinc/20/92/37/738209237.db2.gz ZUFQBIJTJVOKCB-ZYHUDNBSSA-N 1 2 307.423 1.429 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccnn2[C@@H](C)CC)C1 ZINC001107977968 751398800 /nfs/dbraw/zinc/39/88/00/751398800.db2.gz YKTFZOWAUJBLNP-WMLDXEAASA-N 1 2 320.437 1.861 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccnn2[C@@H](C)CC)C1 ZINC001107977968 751398806 /nfs/dbraw/zinc/39/88/06/751398806.db2.gz YKTFZOWAUJBLNP-WMLDXEAASA-N 1 2 320.437 1.861 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N1CCC[C@@H](N(C)CC#N)C1)C2 ZINC001027472126 738326646 /nfs/dbraw/zinc/32/66/46/738326646.db2.gz ZBSLBZVZMSGQBE-ZIAGYGMSSA-N 1 2 315.421 1.269 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N1CCC[C@@H](N(C)CC#N)C1)CC2 ZINC001027472126 738326650 /nfs/dbraw/zinc/32/66/50/738326650.db2.gz ZBSLBZVZMSGQBE-ZIAGYGMSSA-N 1 2 315.421 1.269 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cn(C)nc1OC ZINC001028071486 738991049 /nfs/dbraw/zinc/99/10/49/738991049.db2.gz UOEFSCBKHIJTBM-LLVKDONJSA-N 1 2 312.801 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cn(C)nc1OC ZINC001028071486 738991052 /nfs/dbraw/zinc/99/10/52/738991052.db2.gz UOEFSCBKHIJTBM-LLVKDONJSA-N 1 2 312.801 1.375 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]2CNC(=O)c2cnon2)s1 ZINC001028217303 739181513 /nfs/dbraw/zinc/18/15/13/739181513.db2.gz QUFSUKLUJLOCII-SNVBAGLBSA-N 1 2 317.374 1.397 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2cnon2)s1 ZINC001028217303 739181516 /nfs/dbraw/zinc/18/15/16/739181516.db2.gz QUFSUKLUJLOCII-SNVBAGLBSA-N 1 2 317.374 1.397 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001075616267 739188741 /nfs/dbraw/zinc/18/87/41/739188741.db2.gz NSROWAWOPDZNQJ-ZMLRMANQSA-N 1 2 305.407 1.039 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001075616267 739188746 /nfs/dbraw/zinc/18/87/46/739188746.db2.gz NSROWAWOPDZNQJ-ZMLRMANQSA-N 1 2 305.407 1.039 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CC[C@H](Nc2ccc(C#N)nc2)C1 ZINC001058942929 739272061 /nfs/dbraw/zinc/27/20/61/739272061.db2.gz SMFLRAHCWACUGI-HNNXBMFYSA-N 1 2 324.388 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cccc(C(N)=O)n1 ZINC001028343266 739377605 /nfs/dbraw/zinc/37/76/05/739377605.db2.gz QTGYQSNBVWDRPK-NSHDSACASA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cccc(C(N)=O)n1 ZINC001028343266 739377608 /nfs/dbraw/zinc/37/76/08/739377608.db2.gz QTGYQSNBVWDRPK-NSHDSACASA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccnn2C(C)C)C1 ZINC001035381472 751452200 /nfs/dbraw/zinc/45/22/00/751452200.db2.gz QAOLQBUZMJWSFO-AWEZNQCLSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccnn2C(C)C)C1 ZINC001035381472 751452202 /nfs/dbraw/zinc/45/22/02/751452202.db2.gz QAOLQBUZMJWSFO-AWEZNQCLSA-N 1 2 306.410 1.471 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cn3c(n2)CCCC3)C1 ZINC001035389553 751458670 /nfs/dbraw/zinc/45/86/70/751458670.db2.gz NULRULLWWFGJRY-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cn3c(n2)CCCC3)C1 ZINC001035389553 751458672 /nfs/dbraw/zinc/45/86/72/751458672.db2.gz NULRULLWWFGJRY-CQSZACIVSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccn3c2CCCC3)C1 ZINC001035396983 751467107 /nfs/dbraw/zinc/46/71/07/751467107.db2.gz CNBPFJIDJUTKKC-HNNXBMFYSA-N 1 2 317.433 1.831 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccn3c2CCCC3)C1 ZINC001035396983 751467110 /nfs/dbraw/zinc/46/71/10/751467110.db2.gz CNBPFJIDJUTKKC-HNNXBMFYSA-N 1 2 317.433 1.831 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)C(F)F)C1 ZINC001087461052 739668213 /nfs/dbraw/zinc/66/82/13/739668213.db2.gz PEGUPBUUIFQKFJ-ZIAGYGMSSA-N 1 2 310.319 1.998 20 30 DDEDLO C#CC[N@H+]1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)C(F)F)C1 ZINC001087461052 739668215 /nfs/dbraw/zinc/66/82/15/739668215.db2.gz PEGUPBUUIFQKFJ-ZIAGYGMSSA-N 1 2 310.319 1.998 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(Cl)ccc2F)C1 ZINC001035436071 751514257 /nfs/dbraw/zinc/51/42/57/751514257.db2.gz YGFBLQPLWDOJMI-CYBMUJFWSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(Cl)ccc2F)C1 ZINC001035436071 751514260 /nfs/dbraw/zinc/51/42/60/751514260.db2.gz YGFBLQPLWDOJMI-CYBMUJFWSA-N 1 2 324.783 1.933 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001028906756 740162633 /nfs/dbraw/zinc/16/26/33/740162633.db2.gz VDIQLCRELNGPJW-GFCCVEGCSA-N 1 2 309.797 1.488 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001028906756 740162634 /nfs/dbraw/zinc/16/26/34/740162634.db2.gz VDIQLCRELNGPJW-GFCCVEGCSA-N 1 2 309.797 1.488 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncc3ccccn32)C1 ZINC001035444995 751521967 /nfs/dbraw/zinc/52/19/67/751521967.db2.gz LGAWZQCYGNSXRK-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncc3ccccn32)C1 ZINC001035444995 751521971 /nfs/dbraw/zinc/52/19/71/751521971.db2.gz LGAWZQCYGNSXRK-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001035446151 751522710 /nfs/dbraw/zinc/52/27/10/751522710.db2.gz LQCKCUOSKPZHSR-YESZJQIVSA-N 1 2 322.449 1.442 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)C1 ZINC001035446151 751522714 /nfs/dbraw/zinc/52/27/14/751522714.db2.gz LQCKCUOSKPZHSR-YESZJQIVSA-N 1 2 322.449 1.442 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)[C@@]3(C)C=CCC3)C2)nn1 ZINC001098700288 740529916 /nfs/dbraw/zinc/52/99/16/740529916.db2.gz IBJBIQWDVBEZAE-RDJZCZTQSA-N 1 2 313.405 1.131 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C(C)C)cn2)C1 ZINC001035505691 751561442 /nfs/dbraw/zinc/56/14/42/751561442.db2.gz HIPKGNLVMMSVCF-MRXNPFEDSA-N 1 2 315.417 1.659 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C(C)C)cn2)C1 ZINC001035505691 751561447 /nfs/dbraw/zinc/56/14/47/751561447.db2.gz HIPKGNLVMMSVCF-MRXNPFEDSA-N 1 2 315.417 1.659 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCC(F)(F)C2)C1 ZINC001035506805 751563769 /nfs/dbraw/zinc/56/37/69/751563769.db2.gz XNYXHPYZJOENAD-STQMWFEESA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCCC(F)(F)C2)C1 ZINC001035506805 751563775 /nfs/dbraw/zinc/56/37/75/751563775.db2.gz XNYXHPYZJOENAD-STQMWFEESA-N 1 2 302.365 1.815 20 30 DDEDLO N#Cc1cnc(N[C@H]2C[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)c(F)c1 ZINC001059426842 740916627 /nfs/dbraw/zinc/91/66/27/740916627.db2.gz XLFPTYLPSKGXNN-XYPYZODXSA-N 1 2 314.324 1.117 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccc3c2OCC3)C1 ZINC001035525369 751604729 /nfs/dbraw/zinc/60/47/29/751604729.db2.gz CPNXNXPLGDCNSP-OAHLLOKOSA-N 1 2 316.401 1.628 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccc3c2OCC3)C1 ZINC001035525369 751604735 /nfs/dbraw/zinc/60/47/35/751604735.db2.gz CPNXNXPLGDCNSP-OAHLLOKOSA-N 1 2 316.401 1.628 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnccn2)CC[N@@H+]1Cc1ccccc1C#N ZINC001088450875 741530390 /nfs/dbraw/zinc/53/03/90/741530390.db2.gz IUFVLPAMWFBWLN-CJNGLKHVSA-N 1 2 321.384 1.741 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2cnccn2)CC[N@H+]1Cc1ccccc1C#N ZINC001088450875 741530391 /nfs/dbraw/zinc/53/03/91/741530391.db2.gz IUFVLPAMWFBWLN-CJNGLKHVSA-N 1 2 321.384 1.741 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)C2CCOCC2)s1 ZINC001038003692 751655230 /nfs/dbraw/zinc/65/52/30/751655230.db2.gz MAOCVFQGPHAVFU-CYBMUJFWSA-N 1 2 319.430 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)C2CCOCC2)s1 ZINC001038003692 751655232 /nfs/dbraw/zinc/65/52/32/751655232.db2.gz MAOCVFQGPHAVFU-CYBMUJFWSA-N 1 2 319.430 1.737 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CCC[N@H+](Cc3ccns3)C2)c1 ZINC001007029136 741665692 /nfs/dbraw/zinc/66/56/92/741665692.db2.gz LHZASELLLQDWMN-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccns3)C2)c1 ZINC001007029136 741665694 /nfs/dbraw/zinc/66/56/94/741665694.db2.gz LHZASELLLQDWMN-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3nccnc3c2)C1 ZINC001035599900 751658363 /nfs/dbraw/zinc/65/83/63/751658363.db2.gz LIENGNNWDFMLKG-AWEZNQCLSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3nccnc3c2)C1 ZINC001035599900 751658369 /nfs/dbraw/zinc/65/83/69/751658369.db2.gz LIENGNNWDFMLKG-AWEZNQCLSA-N 1 2 312.373 1.246 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](n2cc(C[NH2+]C[C@H](F)CC)nn2)C1 ZINC001098638585 741696531 /nfs/dbraw/zinc/69/65/31/741696531.db2.gz YXKCWFYZIMQMCJ-HIFRSBDPSA-N 1 2 323.416 1.855 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2c(C)nsc2C)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212039244 741949785 /nfs/dbraw/zinc/94/97/85/741949785.db2.gz HMPYHMJCUJFZOD-OWYVNGRQSA-N 1 2 322.434 1.235 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2c(C)nsc2C)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212039244 741949787 /nfs/dbraw/zinc/94/97/87/741949787.db2.gz HMPYHMJCUJFZOD-OWYVNGRQSA-N 1 2 322.434 1.235 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N(C)CCNc1cc[nH+]c(C)n1 ZINC001101870326 741978520 /nfs/dbraw/zinc/97/85/20/741978520.db2.gz DDGRYVAKSHCXKF-INIZCTEOSA-N 1 2 306.410 1.884 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccnc2OCC)C1 ZINC001035591094 751684371 /nfs/dbraw/zinc/68/43/71/751684371.db2.gz BQZYLMJGIKNUCR-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccnc2OCC)C1 ZINC001035591094 751684374 /nfs/dbraw/zinc/68/43/74/751684374.db2.gz BQZYLMJGIKNUCR-ZDUSSCGKSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)[C@H]1C ZINC001088670428 742066049 /nfs/dbraw/zinc/06/60/49/742066049.db2.gz GVIWEVLYBXVNMV-UPJWGTAASA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)[C@H]1C ZINC001088670428 742066054 /nfs/dbraw/zinc/06/60/54/742066054.db2.gz GVIWEVLYBXVNMV-UPJWGTAASA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001035618381 751724381 /nfs/dbraw/zinc/72/43/81/751724381.db2.gz FSCLDOJJWMMZLI-NEXGVSGLSA-N 1 2 304.434 1.672 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001035618381 751724386 /nfs/dbraw/zinc/72/43/86/751724386.db2.gz FSCLDOJJWMMZLI-NEXGVSGLSA-N 1 2 304.434 1.672 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)Cc3nonc3C)[C@@H]2C1 ZINC001076415174 742719659 /nfs/dbraw/zinc/71/96/59/742719659.db2.gz ATDQESHDUJPTLX-WCQYABFASA-N 1 2 310.785 1.206 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)Cc3nonc3C)[C@@H]2C1 ZINC001076415174 742719661 /nfs/dbraw/zinc/71/96/61/742719661.db2.gz ATDQESHDUJPTLX-WCQYABFASA-N 1 2 310.785 1.206 20 30 DDEDLO O=C(CC1CC1)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001076671896 742894182 /nfs/dbraw/zinc/89/41/82/742894182.db2.gz BIDCKVJMGAXRIC-IAGOWNOFSA-N 1 2 316.376 1.139 20 30 DDEDLO O=C(CC1CC1)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001076671896 742894192 /nfs/dbraw/zinc/89/41/92/742894192.db2.gz BIDCKVJMGAXRIC-IAGOWNOFSA-N 1 2 316.376 1.139 20 30 DDEDLO CC(C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)=C1CCC1 ZINC001076975948 743146386 /nfs/dbraw/zinc/14/63/86/743146386.db2.gz BFTBRJSYDGTVND-RTBURBONSA-N 1 2 324.424 1.700 20 30 DDEDLO CC(C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)=C1CCC1 ZINC001076975948 743146390 /nfs/dbraw/zinc/14/63/90/743146390.db2.gz BFTBRJSYDGTVND-RTBURBONSA-N 1 2 324.424 1.700 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)NCC[NH2+]Cc1nc(C(F)F)no1 ZINC001128209279 743287708 /nfs/dbraw/zinc/28/77/08/743287708.db2.gz SYRQEXWMEJXNMD-UHFFFAOYSA-N 1 2 322.743 1.992 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2n[nH]c3c2CCCC3)C1 ZINC001108048443 743293655 /nfs/dbraw/zinc/29/36/55/743293655.db2.gz UVPMTRHVLRBVQZ-QGZVFWFLSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2n[nH]c3c2CCCC3)C1 ZINC001108048443 743293662 /nfs/dbraw/zinc/29/36/62/743293662.db2.gz UVPMTRHVLRBVQZ-QGZVFWFLSA-N 1 2 318.421 1.295 20 30 DDEDLO N#Cc1cnccc1N[C@H]1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001061133332 743368977 /nfs/dbraw/zinc/36/89/77/743368977.db2.gz BKPGTUJGMHOYFM-KBPBESRZSA-N 1 2 324.388 1.180 20 30 DDEDLO COc1ccc(N[C@@H]2CCC[N@@H+](C3COC3)C2)nc1CC#N ZINC001168369757 743481657 /nfs/dbraw/zinc/48/16/57/743481657.db2.gz LMTGGUWCZDMKPM-GFCCVEGCSA-N 1 2 302.378 1.431 20 30 DDEDLO COc1ccc(N[C@@H]2CCC[N@H+](C3COC3)C2)nc1CC#N ZINC001168369757 743481661 /nfs/dbraw/zinc/48/16/61/743481661.db2.gz LMTGGUWCZDMKPM-GFCCVEGCSA-N 1 2 302.378 1.431 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CCc2ccc(F)cc2O1 ZINC001038513239 743487949 /nfs/dbraw/zinc/48/79/49/743487949.db2.gz HJWBDMQJJFGWMP-HZPDHXFCSA-N 1 2 316.376 1.733 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CCc2ccc(F)cc2O1 ZINC001038513239 743487955 /nfs/dbraw/zinc/48/79/55/743487955.db2.gz HJWBDMQJJFGWMP-HZPDHXFCSA-N 1 2 316.376 1.733 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cncn1C)CC2 ZINC001035742873 751837051 /nfs/dbraw/zinc/83/70/51/751837051.db2.gz CKIHLPNVOUYKJK-UHFFFAOYSA-N 1 2 308.813 1.711 20 30 DDEDLO C=CCOCCCC(=O)N1CCC(OC2C[NH+](CC=C)C2)CC1 ZINC001105700871 743578872 /nfs/dbraw/zinc/57/88/72/743578872.db2.gz BMNZLBUXIYJSMX-UHFFFAOYSA-N 1 2 322.449 1.847 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCc1cnn2c1C[N@H+](CCCF)CC2 ZINC001128327980 743601174 /nfs/dbraw/zinc/60/11/74/743601174.db2.gz ZGTLJUIGYPUFSW-MRXNPFEDSA-N 1 2 324.400 1.002 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCc1cnn2c1C[N@@H+](CCCF)CC2 ZINC001128327980 743601180 /nfs/dbraw/zinc/60/11/80/743601180.db2.gz ZGTLJUIGYPUFSW-MRXNPFEDSA-N 1 2 324.400 1.002 20 30 DDEDLO Cc1ccc(C(=NC(=O)[C@@H]2CCC[N@@H+]2CC2CC2)NO)nc1 ZINC001182559318 743659495 /nfs/dbraw/zinc/65/94/95/743659495.db2.gz GPDPZKSXVAEHAO-AWEZNQCLSA-N 1 2 302.378 1.516 20 30 DDEDLO Cc1ccc(C(=NC(=O)[C@@H]2CCC[N@H+]2CC2CC2)NO)nc1 ZINC001182559318 743659498 /nfs/dbraw/zinc/65/94/98/743659498.db2.gz GPDPZKSXVAEHAO-AWEZNQCLSA-N 1 2 302.378 1.516 20 30 DDEDLO CN(C(=O)CCc1c[nH]c[nH+]1)C1CC(Nc2ccc(C#N)nc2)C1 ZINC001127091983 743736464 /nfs/dbraw/zinc/73/64/64/743736464.db2.gz LVBMMBMTBYSPCD-UHFFFAOYSA-N 1 2 324.388 1.710 20 30 DDEDLO CN(C(=O)CCc1c[nH+]c[nH]1)C1CC(Nc2ccc(C#N)nc2)C1 ZINC001127091983 743736467 /nfs/dbraw/zinc/73/64/67/743736467.db2.gz LVBMMBMTBYSPCD-UHFFFAOYSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH2+]Cc2nc([C@H](C)OC)no2)C1 ZINC001182933875 743758888 /nfs/dbraw/zinc/75/88/88/743758888.db2.gz VIPLAHVBCGHBSH-QWHCGFSZSA-N 1 2 322.409 1.824 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)CCCOC)CC2)C1 ZINC001105730846 743766537 /nfs/dbraw/zinc/76/65/37/743766537.db2.gz ZFGUDLHDDDILSC-HNNXBMFYSA-N 1 2 324.465 1.927 20 30 DDEDLO CN(CCCN(C)c1ccncc1C#N)C(=O)Cc1[nH]cc[nH+]1 ZINC001112118717 744116391 /nfs/dbraw/zinc/11/63/91/744116391.db2.gz QBGALJSEKBJVGO-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C=CCOc1ccccc1C(=O)NC1C[NH+](C[C@@H](C)OC)C1 ZINC001030542082 744253317 /nfs/dbraw/zinc/25/33/17/744253317.db2.gz MKHHCOGWQZKGTE-CYBMUJFWSA-N 1 2 304.390 1.700 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CCCC)C2)nn1 ZINC001185872280 744296947 /nfs/dbraw/zinc/29/69/47/744296947.db2.gz GXFHJBILORHPBB-MRXNPFEDSA-N 1 2 317.437 1.745 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)c3ccco3)C2)nn1 ZINC001185855962 744300747 /nfs/dbraw/zinc/30/07/47/744300747.db2.gz KXIJXNGHOPFIEI-CQSZACIVSA-N 1 2 313.361 1.071 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC001187217230 744529056 /nfs/dbraw/zinc/52/90/56/744529056.db2.gz OUNUNBYYVQAMKU-OFQRWUPVSA-N 1 2 319.405 1.689 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC001187217230 744529058 /nfs/dbraw/zinc/52/90/58/744529058.db2.gz OUNUNBYYVQAMKU-OFQRWUPVSA-N 1 2 319.405 1.689 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)[C@@H]2COCC[N@H+]2CCCC)C1 ZINC001030737795 744551296 /nfs/dbraw/zinc/55/12/96/744551296.db2.gz KIEJFKPWSJEIKW-AWEZNQCLSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)CN1CC(NC(=O)[C@@H]2COCC[N@@H+]2CCCC)C1 ZINC001030737795 744551297 /nfs/dbraw/zinc/55/12/97/744551297.db2.gz KIEJFKPWSJEIKW-AWEZNQCLSA-N 1 2 315.845 1.040 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C(=O)OCC)cc1 ZINC001188750362 744754786 /nfs/dbraw/zinc/75/47/86/744754786.db2.gz MMSSWRFSAALBOP-HNNXBMFYSA-N 1 2 311.341 1.295 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C(=O)OCC)cc1 ZINC001188750362 744754787 /nfs/dbraw/zinc/75/47/87/744754787.db2.gz MMSSWRFSAALBOP-HNNXBMFYSA-N 1 2 311.341 1.295 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)COCCC)C1 ZINC001189243327 744852415 /nfs/dbraw/zinc/85/24/15/744852415.db2.gz UVXQJKJKMGHQCE-GFCCVEGCSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)COCCC)C1 ZINC001189243327 744852416 /nfs/dbraw/zinc/85/24/16/744852416.db2.gz UVXQJKJKMGHQCE-GFCCVEGCSA-N 1 2 319.243 1.854 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nccs2)C1 ZINC001190094004 745097843 /nfs/dbraw/zinc/09/78/43/745097843.db2.gz GHMVBOUHLXCZKD-CHWSQXEVSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nccs2)C1 ZINC001190094004 745097847 /nfs/dbraw/zinc/09/78/47/745097847.db2.gz GHMVBOUHLXCZKD-CHWSQXEVSA-N 1 2 307.419 1.214 20 30 DDEDLO Cc1nnsc1C[N@H+](C)[C@@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190084615 745107258 /nfs/dbraw/zinc/10/72/58/745107258.db2.gz QPOREIUHECEIQI-CYBMUJFWSA-N 1 2 320.462 1.929 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)[C@@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190084615 745107256 /nfs/dbraw/zinc/10/72/56/745107256.db2.gz QPOREIUHECEIQI-CYBMUJFWSA-N 1 2 320.462 1.929 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)c2csnn2)C1 ZINC001015421175 745275920 /nfs/dbraw/zinc/27/59/20/745275920.db2.gz DWTKBHTZVCHWJI-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)c2csnn2)C1 ZINC001015421175 745275921 /nfs/dbraw/zinc/27/59/21/745275921.db2.gz DWTKBHTZVCHWJI-ZDUSSCGKSA-N 1 2 313.386 1.414 20 30 DDEDLO C[C@]1(C(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@H]2O)C=CCC1 ZINC001077529062 745300718 /nfs/dbraw/zinc/30/07/18/745300718.db2.gz MAYRTOHGOPDUAD-GGPKGHCWSA-N 1 2 324.424 1.556 20 30 DDEDLO C[C@]1(C(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@H]2O)C=CCC1 ZINC001077529062 745300721 /nfs/dbraw/zinc/30/07/21/745300721.db2.gz MAYRTOHGOPDUAD-GGPKGHCWSA-N 1 2 324.424 1.556 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(C#CC(C)(C)O)cc2)CC1 ZINC001191168560 745440560 /nfs/dbraw/zinc/44/05/60/745440560.db2.gz WAVZILUPJDMHQT-UHFFFAOYSA-N 1 2 312.413 1.753 20 30 DDEDLO CCC(CC)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191227716 745459708 /nfs/dbraw/zinc/45/97/08/745459708.db2.gz CWTJOSCXZBSVCD-QZTJIDSGSA-N 1 2 314.429 1.636 20 30 DDEDLO CCC(CC)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001191227716 745459711 /nfs/dbraw/zinc/45/97/11/745459711.db2.gz CWTJOSCXZBSVCD-QZTJIDSGSA-N 1 2 314.429 1.636 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001191840171 745627809 /nfs/dbraw/zinc/62/78/09/745627809.db2.gz VNQOMCZFBIOCGN-KRWDZBQOSA-N 1 2 316.449 1.955 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)n(C)n2)C1 ZINC001191840171 745627813 /nfs/dbraw/zinc/62/78/13/745627813.db2.gz VNQOMCZFBIOCGN-KRWDZBQOSA-N 1 2 316.449 1.955 20 30 DDEDLO C[C@@H](CCNc1ncc(C#N)cc1F)NC(=O)Cn1cc[nH+]c1 ZINC001106362503 745630973 /nfs/dbraw/zinc/63/09/73/745630973.db2.gz NEWBPPWMOZDYMW-NSHDSACASA-N 1 2 316.340 1.296 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H](C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106457162 745715337 /nfs/dbraw/zinc/71/53/37/745715337.db2.gz INLRMBSBIHHSFG-LBPRGKRZSA-N 1 2 320.441 1.909 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001106531500 745772061 /nfs/dbraw/zinc/77/20/61/745772061.db2.gz JAAUIWADBZOYKC-LLVKDONJSA-N 1 2 320.441 1.765 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CC2OCCCO2)C1 ZINC001007198271 752055095 /nfs/dbraw/zinc/05/50/95/752055095.db2.gz FJZATXRVUMRDIU-LBPRGKRZSA-N 1 2 302.802 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CC2OCCCO2)C1 ZINC001007198271 752055103 /nfs/dbraw/zinc/05/51/03/752055103.db2.gz FJZATXRVUMRDIU-LBPRGKRZSA-N 1 2 302.802 1.473 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2c(C)nc[nH]c2=O)[C@@H]1C ZINC000993717764 746285835 /nfs/dbraw/zinc/28/58/35/746285835.db2.gz IDGWPDFQGXSEKE-RYUDHWBXSA-N 1 2 324.812 1.826 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2c(C)nc[nH]c2=O)[C@@H]1C ZINC000993717764 746285838 /nfs/dbraw/zinc/28/58/38/746285838.db2.gz IDGWPDFQGXSEKE-RYUDHWBXSA-N 1 2 324.812 1.826 20 30 DDEDLO CCO[C@H](C)C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC001194956769 746496852 /nfs/dbraw/zinc/49/68/52/746496852.db2.gz VXBHHYKEJMNGDB-QGZVFWFLSA-N 1 2 314.429 1.997 20 30 DDEDLO CCO[C@H](C)C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC001194956769 746496855 /nfs/dbraw/zinc/49/68/55/746496855.db2.gz VXBHHYKEJMNGDB-QGZVFWFLSA-N 1 2 314.429 1.997 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2cccc(OC)n2)CC1 ZINC001195314705 746575253 /nfs/dbraw/zinc/57/52/53/746575253.db2.gz SIPCCGMYEAQJFL-UHFFFAOYSA-N 1 2 319.405 1.327 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2cccc(OC)n2)CC1 ZINC001195314705 746575256 /nfs/dbraw/zinc/57/52/56/746575256.db2.gz SIPCCGMYEAQJFL-UHFFFAOYSA-N 1 2 319.405 1.327 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](Cc2cc(OC)ns2)CC1 ZINC001195645052 746663689 /nfs/dbraw/zinc/66/36/89/746663689.db2.gz HQXGZSWQRSLQNV-UHFFFAOYSA-N 1 2 321.446 1.990 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](Cc2cc(OC)ns2)CC1 ZINC001195645052 746663690 /nfs/dbraw/zinc/66/36/90/746663690.db2.gz HQXGZSWQRSLQNV-UHFFFAOYSA-N 1 2 321.446 1.990 20 30 DDEDLO CCc1nocc1C[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195775021 746702015 /nfs/dbraw/zinc/70/20/15/746702015.db2.gz MRNFIJLBNNQCDV-UHFFFAOYSA-N 1 2 303.406 1.931 20 30 DDEDLO CCc1nocc1C[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195775021 746702019 /nfs/dbraw/zinc/70/20/19/746702019.db2.gz MRNFIJLBNNQCDV-UHFFFAOYSA-N 1 2 303.406 1.931 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@H+](Cc2ccns2)CC1 ZINC001195833109 746720997 /nfs/dbraw/zinc/72/09/97/746720997.db2.gz ZYACQNLWMGHLAS-UHFFFAOYSA-N 1 2 307.419 1.217 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@@H+](Cc2ccns2)CC1 ZINC001195833109 746720999 /nfs/dbraw/zinc/72/09/99/746720999.db2.gz ZYACQNLWMGHLAS-UHFFFAOYSA-N 1 2 307.419 1.217 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@H+](CC(=O)NC2CC2)CC1 ZINC001195958106 746749453 /nfs/dbraw/zinc/74/94/53/746749453.db2.gz OOBUWQSRMZORJL-UHFFFAOYSA-N 1 2 319.449 1.568 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC[N@@H+](CC(=O)NC2CC2)CC1 ZINC001195958106 746749455 /nfs/dbraw/zinc/74/94/55/746749455.db2.gz OOBUWQSRMZORJL-UHFFFAOYSA-N 1 2 319.449 1.568 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@@H+](CC(=O)N[C@H](C)CC)CC1 ZINC001196009569 746754821 /nfs/dbraw/zinc/75/48/21/746754821.db2.gz ALROZGRYMOCAIT-OAHLLOKOSA-N 1 2 309.454 1.792 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[N@H+](CC(=O)N[C@H](C)CC)CC1 ZINC001196009569 746754824 /nfs/dbraw/zinc/75/48/24/746754824.db2.gz ALROZGRYMOCAIT-OAHLLOKOSA-N 1 2 309.454 1.792 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)C2CCN(C(=O)CCC)CC2)C1 ZINC001031425902 746787890 /nfs/dbraw/zinc/78/78/90/746787890.db2.gz MHAADIKENANEEE-UHFFFAOYSA-N 1 2 307.438 1.402 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001196609323 746910677 /nfs/dbraw/zinc/91/06/77/746910677.db2.gz XTFAERCETVMPEB-GVDBMIGSSA-N 1 2 306.450 1.778 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001196609323 746910682 /nfs/dbraw/zinc/91/06/82/746910682.db2.gz XTFAERCETVMPEB-GVDBMIGSSA-N 1 2 306.450 1.778 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001196847270 746979707 /nfs/dbraw/zinc/97/97/07/746979707.db2.gz ZSAGTXXXUFYMEA-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001196847270 746979710 /nfs/dbraw/zinc/97/97/10/746979710.db2.gz ZSAGTXXXUFYMEA-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCC[C@@H](C)C2)CC1 ZINC001196942925 747015965 /nfs/dbraw/zinc/01/59/65/747015965.db2.gz JJMAZNVBVMVJGV-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCC[C@@H](C)C2)CC1 ZINC001196942925 747015972 /nfs/dbraw/zinc/01/59/72/747015972.db2.gz JJMAZNVBVMVJGV-CVEARBPZSA-N 1 2 321.465 1.649 20 30 DDEDLO CCc1ncoc1C(=O)N1CCC[N@H+](CC#CCOC)CC1 ZINC001197102087 747067524 /nfs/dbraw/zinc/06/75/24/747067524.db2.gz NRFIFIRRUCZNCZ-UHFFFAOYSA-N 1 2 305.378 1.035 20 30 DDEDLO CCc1ncoc1C(=O)N1CCC[N@@H+](CC#CCOC)CC1 ZINC001197102087 747067535 /nfs/dbraw/zinc/06/75/35/747067535.db2.gz NRFIFIRRUCZNCZ-UHFFFAOYSA-N 1 2 305.378 1.035 20 30 DDEDLO CC(F)(F)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001089996574 747109930 /nfs/dbraw/zinc/10/99/30/747109930.db2.gz HVZBSHJQDOJMFW-KGLIPLIRSA-N 1 2 323.343 1.265 20 30 DDEDLO CC(F)(F)C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001089996574 747109933 /nfs/dbraw/zinc/10/99/33/747109933.db2.gz HVZBSHJQDOJMFW-KGLIPLIRSA-N 1 2 323.343 1.265 20 30 DDEDLO CCn1cc(C(=O)NCC2C[NH+](Cc3ccccc3C#N)C2)cn1 ZINC001031587942 747112640 /nfs/dbraw/zinc/11/26/40/747112640.db2.gz XLGXUAPZSNDCEL-UHFFFAOYSA-N 1 2 323.400 1.636 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)CC(C)(C)C1 ZINC001089549363 747197415 /nfs/dbraw/zinc/19/74/15/747197415.db2.gz XFJDXRNBRSKUTE-OAHLLOKOSA-N 1 2 316.449 1.891 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)CC(C)(C)C1 ZINC001089549363 747197421 /nfs/dbraw/zinc/19/74/21/747197421.db2.gz XFJDXRNBRSKUTE-OAHLLOKOSA-N 1 2 316.449 1.891 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(-n3ncnc3C)cc2)CC1 ZINC001197741335 747254941 /nfs/dbraw/zinc/25/49/41/747254941.db2.gz AMQIBUVRUPDDJS-UHFFFAOYSA-N 1 2 311.389 1.520 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001007490301 752170475 /nfs/dbraw/zinc/17/04/75/752170475.db2.gz CALYCTKSTDZBEQ-CYBMUJFWSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001007490301 752170479 /nfs/dbraw/zinc/17/04/79/752170479.db2.gz CALYCTKSTDZBEQ-CYBMUJFWSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2cnns2)CC1 ZINC001003652499 747351212 /nfs/dbraw/zinc/35/12/12/747351212.db2.gz ODGNCTBJXHVSPO-KGLIPLIRSA-N 1 2 322.434 1.210 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2ccc(F)cn2)CC1 ZINC001198343660 747458126 /nfs/dbraw/zinc/45/81/26/747458126.db2.gz MAFBFBPHGLRYQR-CQSZACIVSA-N 1 2 321.396 1.846 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccc(F)cn2)CC1 ZINC001198343660 747458132 /nfs/dbraw/zinc/45/81/32/747458132.db2.gz MAFBFBPHGLRYQR-CQSZACIVSA-N 1 2 321.396 1.846 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H](CNC(=O)COC)c1ccccc1OC ZINC001198432748 747491548 /nfs/dbraw/zinc/49/15/48/747491548.db2.gz BAYFPFMOIXLCSQ-ZDUSSCGKSA-N 1 2 312.797 1.841 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c(C)cc(=O)oc2C)C1 ZINC001108061263 747638256 /nfs/dbraw/zinc/63/82/56/747638256.db2.gz HMAFZJRMROKUBJ-QGZVFWFLSA-N 1 2 320.389 1.263 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c(C)cc(=O)oc2C)C1 ZINC001108061263 747638259 /nfs/dbraw/zinc/63/82/59/747638259.db2.gz HMAFZJRMROKUBJ-QGZVFWFLSA-N 1 2 320.389 1.263 20 30 DDEDLO CN(C(=O)c1cocn1)[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001032950955 747751776 /nfs/dbraw/zinc/75/17/76/747751776.db2.gz MUNRLTSCAVGJJS-MRXNPFEDSA-N 1 2 309.369 1.873 20 30 DDEDLO CN(C(=O)c1cocn1)[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001032950955 747751781 /nfs/dbraw/zinc/75/17/81/747751781.db2.gz MUNRLTSCAVGJJS-MRXNPFEDSA-N 1 2 309.369 1.873 20 30 DDEDLO CCc1n[nH]cc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031806322 747908136 /nfs/dbraw/zinc/90/81/36/747908136.db2.gz UGPJJCUMVFBVMS-UHFFFAOYSA-N 1 2 323.400 1.706 20 30 DDEDLO C=CCCC(=O)N1CCCO[C@@H](C[NH2+][C@H](C)c2csnn2)C1 ZINC001200083254 748129526 /nfs/dbraw/zinc/12/95/26/748129526.db2.gz DVCBMDVAKXHMPG-OLZOCXBDSA-N 1 2 324.450 1.772 20 30 DDEDLO CC(C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001090083858 748151097 /nfs/dbraw/zinc/15/10/97/748151097.db2.gz PVEVTOOWHUUCHZ-HOTGVXAUSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C[C@@H]1O ZINC001090083858 748151100 /nfs/dbraw/zinc/15/11/00/748151100.db2.gz PVEVTOOWHUUCHZ-HOTGVXAUSA-N 1 2 319.380 1.405 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@]23CCC[C@H]2OCC3)C1 ZINC001108067519 748204192 /nfs/dbraw/zinc/20/41/92/748204192.db2.gz DOQXIPHBKKXBIA-PVAVHDDUSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@]23CCC[C@H]2OCC3)C1 ZINC001108067519 748204198 /nfs/dbraw/zinc/20/41/98/748204198.db2.gz DOQXIPHBKKXBIA-PVAVHDDUSA-N 1 2 308.422 1.339 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)CCNC(=O)CCn1cc[nH+]c1 ZINC001077574839 748277931 /nfs/dbraw/zinc/27/79/31/748277931.db2.gz VQEGDYBUJDKTIF-HNNXBMFYSA-N 1 2 318.421 1.478 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cccn3nnnc23)C1 ZINC001033115281 748413243 /nfs/dbraw/zinc/41/32/43/748413243.db2.gz QRFIPMSVANEIPZ-LLVKDONJSA-N 1 2 320.784 1.023 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cccn3nnnc23)C1 ZINC001033115281 748413246 /nfs/dbraw/zinc/41/32/46/748413246.db2.gz QRFIPMSVANEIPZ-LLVKDONJSA-N 1 2 320.784 1.023 20 30 DDEDLO C=CCCCC(=O)N1CCCO[C@H](C[NH2+]Cc2cnsn2)C1 ZINC001201284047 748453240 /nfs/dbraw/zinc/45/32/40/748453240.db2.gz NXRDWIPDXUXYIW-CQSZACIVSA-N 1 2 324.450 1.602 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001089871384 748510523 /nfs/dbraw/zinc/51/05/23/748510523.db2.gz YNXBLWJKAWTJCI-HNKHHVNMSA-N 1 2 300.406 1.307 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001089871384 748510526 /nfs/dbraw/zinc/51/05/26/748510526.db2.gz YNXBLWJKAWTJCI-HNKHHVNMSA-N 1 2 300.406 1.307 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2cnoc2)CC1 ZINC001004524882 748513278 /nfs/dbraw/zinc/51/32/78/748513278.db2.gz YAWSWQWKOLQVDY-OAHLLOKOSA-N 1 2 302.378 1.444 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2cnoc2)CC1 ZINC001004524882 748513279 /nfs/dbraw/zinc/51/32/79/748513279.db2.gz YAWSWQWKOLQVDY-OAHLLOKOSA-N 1 2 302.378 1.444 20 30 DDEDLO Cc1conc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004594026 748573961 /nfs/dbraw/zinc/57/39/61/748573961.db2.gz WTUIQRAIWIYRJR-CQSZACIVSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1conc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004594026 748573965 /nfs/dbraw/zinc/57/39/65/748573965.db2.gz WTUIQRAIWIYRJR-CQSZACIVSA-N 1 2 302.378 1.823 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2scnc2C(F)(F)F)C1 ZINC001033156465 748652545 /nfs/dbraw/zinc/65/25/45/748652545.db2.gz UPJOYTDDTCRXME-VIFPVBQESA-N 1 2 317.336 1.941 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2scnc2C(F)(F)F)C1 ZINC001033156465 748652547 /nfs/dbraw/zinc/65/25/47/748652547.db2.gz UPJOYTDDTCRXME-VIFPVBQESA-N 1 2 317.336 1.941 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cc(C)ncn3)C2)cn1 ZINC001032137983 748730999 /nfs/dbraw/zinc/73/09/99/748730999.db2.gz GRGJZEOFIQRUOA-UHFFFAOYSA-N 1 2 321.384 1.023 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)C(C)C)C2 ZINC001110598256 748811914 /nfs/dbraw/zinc/81/19/14/748811914.db2.gz YFRQJTBCHBUJKY-KFWWJZLASA-N 1 2 321.465 1.692 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)C(C)C)C2 ZINC001110598256 748811916 /nfs/dbraw/zinc/81/19/16/748811916.db2.gz YFRQJTBCHBUJKY-KFWWJZLASA-N 1 2 321.465 1.692 20 30 DDEDLO C#CCN(C(=O)c1cn[nH]c1)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110619145 748831915 /nfs/dbraw/zinc/83/19/15/748831915.db2.gz FPLAWJNCFLZIOG-UHFFFAOYSA-N 1 2 324.388 1.253 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)CCCCNc1cc[nH+]c(C)n1 ZINC001090117150 748881057 /nfs/dbraw/zinc/88/10/57/748881057.db2.gz JZNIDACSPRUMQT-UONOGXRCSA-N 1 2 318.421 1.910 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095314917 748920843 /nfs/dbraw/zinc/92/08/43/748920843.db2.gz NCDBYNYRVWMQQN-OCCSQVGLSA-N 1 2 302.378 1.226 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@@H]([NH2+]Cc2nc(C3CC3)no2)C(C)(C)C1 ZINC000995577586 748955141 /nfs/dbraw/zinc/95/51/41/748955141.db2.gz CYNVJIXSFPCBFZ-CMPLNLGQSA-N 1 2 317.393 1.433 20 30 DDEDLO C[C@@]1(NC(=O)CCc2c[nH]c[nH+]2)CCN(c2ccncc2C#N)C1 ZINC001110819822 749043570 /nfs/dbraw/zinc/04/35/70/749043570.db2.gz WWXQMYRKFYDYEO-QGZVFWFLSA-N 1 2 324.388 1.394 20 30 DDEDLO C[C@@]1(NC(=O)CCc2c[nH+]c[nH]2)CCN(c2ccncc2C#N)C1 ZINC001110819822 749043574 /nfs/dbraw/zinc/04/35/74/749043574.db2.gz WWXQMYRKFYDYEO-QGZVFWFLSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+]Cc1nc(CCC)no1 ZINC001125247720 749069185 /nfs/dbraw/zinc/06/91/85/749069185.db2.gz GCTYQKDMFVEREP-UHFFFAOYSA-N 1 2 310.398 1.211 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2cn(CC)nn2)C1 ZINC001033293553 749134278 /nfs/dbraw/zinc/13/42/78/749134278.db2.gz YEYNVHVSASNIID-ZDUSSCGKSA-N 1 2 311.817 1.126 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2cn(CC)nn2)C1 ZINC001033293553 749134280 /nfs/dbraw/zinc/13/42/80/749134280.db2.gz YEYNVHVSASNIID-ZDUSSCGKSA-N 1 2 311.817 1.126 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@H]2CN(C(=O)C#CC3CC3)CC2(C)C)o1 ZINC000995816783 749259266 /nfs/dbraw/zinc/25/92/66/749259266.db2.gz UKEFQXGMMZYAEA-RISCZKNCSA-N 1 2 316.405 1.679 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc3nccn3c2)C1 ZINC001108336993 761936564 /nfs/dbraw/zinc/93/65/64/761936564.db2.gz QOZYPSFIIVESSJ-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc3nccn3c2)C1 ZINC001108336993 761936566 /nfs/dbraw/zinc/93/65/66/761936566.db2.gz QOZYPSFIIVESSJ-QGZVFWFLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001033432377 749328846 /nfs/dbraw/zinc/32/88/46/749328846.db2.gz NZXVUXJDQPSGHN-OAGGEKHMSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001033432377 749328851 /nfs/dbraw/zinc/32/88/51/749328851.db2.gz NZXVUXJDQPSGHN-OAGGEKHMSA-N 1 2 318.421 1.044 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H](C)[C@@H](C)C=C)nn2)C1 ZINC001107198419 749563912 /nfs/dbraw/zinc/56/39/12/749563912.db2.gz GPODLKOWDJXJIM-UONOGXRCSA-N 1 2 315.421 1.233 20 30 DDEDLO C#Cc1ccccc1CC(=O)N(C)[C@H]1CC[N@H+](Cc2ccon2)C1 ZINC001033616116 749612301 /nfs/dbraw/zinc/61/23/01/749612301.db2.gz FXZCXSWKRIOPFX-SFHVURJKSA-N 1 2 323.396 1.931 20 30 DDEDLO C#Cc1ccccc1CC(=O)N(C)[C@H]1CC[N@@H+](Cc2ccon2)C1 ZINC001033616116 749612303 /nfs/dbraw/zinc/61/23/03/749612303.db2.gz FXZCXSWKRIOPFX-SFHVURJKSA-N 1 2 323.396 1.931 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cn2ccccc2=O)C1 ZINC001033659330 749693098 /nfs/dbraw/zinc/69/30/98/749693098.db2.gz YOQXZVGFOLDSCW-ZDUSSCGKSA-N 1 2 309.797 1.134 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cn2ccccc2=O)C1 ZINC001033659330 749693102 /nfs/dbraw/zinc/69/31/02/749693102.db2.gz YOQXZVGFOLDSCW-ZDUSSCGKSA-N 1 2 309.797 1.134 20 30 DDEDLO C=CCOCCCC(=O)NC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095403154 749720626 /nfs/dbraw/zinc/72/06/26/749720626.db2.gz GJIVPSUQILCMAB-UHFFFAOYSA-N 1 2 318.421 1.853 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1nccn1 ZINC001039381523 761980476 /nfs/dbraw/zinc/98/04/76/761980476.db2.gz QLCQKHCKCIZGSX-OLZOCXBDSA-N 1 2 309.801 1.096 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1nccn1 ZINC001039381523 761980482 /nfs/dbraw/zinc/98/04/82/761980482.db2.gz QLCQKHCKCIZGSX-OLZOCXBDSA-N 1 2 309.801 1.096 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]12CCC[C@H]1[N@H+](Cc1csnn1)CC2 ZINC001107336990 749827536 /nfs/dbraw/zinc/82/75/36/749827536.db2.gz TYLGHUGJKSYYSU-NJZAAPMLSA-N 1 2 319.434 1.559 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]12CCC[C@H]1[N@@H+](Cc1csnn1)CC2 ZINC001107336990 749827541 /nfs/dbraw/zinc/82/75/41/749827541.db2.gz TYLGHUGJKSYYSU-NJZAAPMLSA-N 1 2 319.434 1.559 20 30 DDEDLO N#Cc1cc(C[NH2+]CCNC(=O)C(F)C(F)(F)F)ccc1F ZINC001127778998 749993484 /nfs/dbraw/zinc/99/34/84/749993484.db2.gz IIPFYWFGZMQAQS-LLVKDONJSA-N 1 2 321.249 1.804 20 30 DDEDLO N#Cc1cc(C[NH2+]CCNC(=O)[C@@H](F)C(F)(F)F)ccc1F ZINC001127778998 749993486 /nfs/dbraw/zinc/99/34/86/749993486.db2.gz IIPFYWFGZMQAQS-LLVKDONJSA-N 1 2 321.249 1.804 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033861993 750004490 /nfs/dbraw/zinc/00/44/90/750004490.db2.gz STADQINBICGPBD-IHRRRGAJSA-N 1 2 316.829 1.466 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033861993 750004496 /nfs/dbraw/zinc/00/44/96/750004496.db2.gz STADQINBICGPBD-IHRRRGAJSA-N 1 2 316.829 1.466 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccccc2C)C1 ZINC001108390113 762012320 /nfs/dbraw/zinc/01/23/20/762012320.db2.gz SSSYREPDVNGUMG-SFHVURJKSA-N 1 2 302.418 1.931 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccccc2C)C1 ZINC001108390113 762012329 /nfs/dbraw/zinc/01/23/29/762012329.db2.gz SSSYREPDVNGUMG-SFHVURJKSA-N 1 2 302.418 1.931 20 30 DDEDLO CN(CCCNC(=O)CCn1cc[nH+]c1)c1ccncc1C#N ZINC001095690530 750147020 /nfs/dbraw/zinc/14/70/20/750147020.db2.gz DACAHHCSGROCJK-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NC/C=C\CNc1ncccc1C#N ZINC001107602298 750224470 /nfs/dbraw/zinc/22/44/70/750224470.db2.gz BXDUSFWUTFBDFZ-IHWYPQMZSA-N 1 2 324.388 1.404 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nnc(C)[nH]1)C2 ZINC001111729608 750274663 /nfs/dbraw/zinc/27/46/63/750274663.db2.gz WUJIBCCPTYBDEA-YOEHRIQHSA-N 1 2 315.421 1.387 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nnc(C)[nH]1)C2 ZINC001111729608 750274665 /nfs/dbraw/zinc/27/46/65/750274665.db2.gz WUJIBCCPTYBDEA-YOEHRIQHSA-N 1 2 315.421 1.387 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@](C)(C=C)CC)C2 ZINC001110984312 750280622 /nfs/dbraw/zinc/28/06/22/750280622.db2.gz CTTVMVBJVUCQGQ-ZXFNITATSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@](C)(C=C)CC)C2 ZINC001110984312 750280626 /nfs/dbraw/zinc/28/06/26/750280626.db2.gz CTTVMVBJVUCQGQ-ZXFNITATSA-N 1 2 317.433 1.060 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCCS2(=O)=O)C1 ZINC001034045256 750310545 /nfs/dbraw/zinc/31/05/45/750310545.db2.gz JAPBFDDLUPNPJS-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCCS2(=O)=O)C1 ZINC001034045256 750310554 /nfs/dbraw/zinc/31/05/54/750310554.db2.gz JAPBFDDLUPNPJS-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccncc2C)C1 ZINC001107947824 750797953 /nfs/dbraw/zinc/79/79/53/750797953.db2.gz QAJYUFUJVAHSPH-KRWDZBQOSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccncc2C)C1 ZINC001107947824 750797956 /nfs/dbraw/zinc/79/79/56/750797956.db2.gz QAJYUFUJVAHSPH-KRWDZBQOSA-N 1 2 303.406 1.326 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cccn2)cc1 ZINC001032446923 750850242 /nfs/dbraw/zinc/85/02/42/750850242.db2.gz SWWHSKHOJVJARI-ROUUACIJSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2cccn2)cc1 ZINC001032446923 750850250 /nfs/dbraw/zinc/85/02/50/750850250.db2.gz SWWHSKHOJVJARI-ROUUACIJSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2[nH]c(=O)[nH]c21 ZINC001032451664 750868780 /nfs/dbraw/zinc/86/87/80/750868780.db2.gz OCFJRJNATUQMHK-RYUDHWBXSA-N 1 2 310.357 1.191 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2[nH]c(=O)[nH]c21 ZINC001032451664 750868785 /nfs/dbraw/zinc/86/87/85/750868785.db2.gz OCFJRJNATUQMHK-RYUDHWBXSA-N 1 2 310.357 1.191 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cnns3)C[C@H]21 ZINC001114717965 751022330 /nfs/dbraw/zinc/02/23/30/751022330.db2.gz FOMWGZPUJINGTD-NHAGDIPZSA-N 1 2 304.419 1.278 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cnns3)C[C@H]21 ZINC001114717965 751022337 /nfs/dbraw/zinc/02/23/37/751022337.db2.gz FOMWGZPUJINGTD-NHAGDIPZSA-N 1 2 304.419 1.278 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn2cc(C)sc2n1 ZINC001032498386 751083493 /nfs/dbraw/zinc/08/34/93/751083493.db2.gz REFCZEDQFLFVNT-KBPBESRZSA-N 1 2 314.414 1.165 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn2cc(C)sc2n1 ZINC001032498386 751083495 /nfs/dbraw/zinc/08/34/95/751083495.db2.gz REFCZEDQFLFVNT-KBPBESRZSA-N 1 2 314.414 1.165 20 30 DDEDLO COc1ncnc(Nc2ccc[nH+]c2N2CCOCC2)c1C#N ZINC001212355812 751085960 /nfs/dbraw/zinc/08/59/60/751085960.db2.gz BWZXIWUEMKGELP-UHFFFAOYSA-N 1 2 312.333 1.332 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)CCn3cc[nH+]c3)C2)cn1 ZINC001114803201 751097181 /nfs/dbraw/zinc/09/71/81/751097181.db2.gz VYOYWFPUKZROKS-SAZUREKKSA-N 1 2 324.388 1.547 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCN1c1nccs1 ZINC001032540092 751227259 /nfs/dbraw/zinc/22/72/59/751227259.db2.gz PSAUZYJYTAARAD-MELADBBJSA-N 1 2 316.430 1.030 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCN1c1nccs1 ZINC001032540092 751227261 /nfs/dbraw/zinc/22/72/61/751227261.db2.gz PSAUZYJYTAARAD-MELADBBJSA-N 1 2 316.430 1.030 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc(CC3CC3)no2)CCC1 ZINC001129125480 751299965 /nfs/dbraw/zinc/29/99/65/751299965.db2.gz WVTWRBYRDYFXTK-UHFFFAOYSA-N 1 2 316.405 1.422 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2csc(CC)n2)C1 ZINC001108419216 762115044 /nfs/dbraw/zinc/11/50/44/762115044.db2.gz FGICGRLPAIJOGS-MRXNPFEDSA-N 1 2 323.462 1.641 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2csc(CC)n2)C1 ZINC001108419216 762115046 /nfs/dbraw/zinc/11/50/46/762115046.db2.gz FGICGRLPAIJOGS-MRXNPFEDSA-N 1 2 323.462 1.641 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnccc1C(F)(F)F ZINC001032650901 752598722 /nfs/dbraw/zinc/59/87/22/752598722.db2.gz YPVTZRJNVQXLOA-QWRGUYRKSA-N 1 2 309.291 1.632 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnccc1C(F)(F)F ZINC001032650901 752598728 /nfs/dbraw/zinc/59/87/28/752598728.db2.gz YPVTZRJNVQXLOA-QWRGUYRKSA-N 1 2 309.291 1.632 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)CC(F)F)C1 ZINC001107988503 752794866 /nfs/dbraw/zinc/79/48/66/752794866.db2.gz FRZXUOMZRBXJRL-INIZCTEOSA-N 1 2 316.392 1.898 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)CC(F)F)C1 ZINC001107988503 752794875 /nfs/dbraw/zinc/79/48/75/752794875.db2.gz FRZXUOMZRBXJRL-INIZCTEOSA-N 1 2 316.392 1.898 20 30 DDEDLO C=CCC(CC=C)C(=O)NCC[NH2+]Cc1nnc(C2CC2)o1 ZINC001128081286 752876623 /nfs/dbraw/zinc/87/66/23/752876623.db2.gz KAGSCGLWJPAFFT-UHFFFAOYSA-N 1 2 304.394 1.921 20 30 DDEDLO CC#CCCCC(=O)NCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001077898788 753367502 /nfs/dbraw/zinc/36/75/02/753367502.db2.gz CMZMSKXSXHXCEY-ZDUSSCGKSA-N 1 2 318.421 1.465 20 30 DDEDLO COc1ccc([C@@H]2C[C@H](N3CC[NH2+]C[C@@H]3C#N)CCO2)cc1 ZINC001168638225 753384951 /nfs/dbraw/zinc/38/49/51/753384951.db2.gz WRNNZGSVEMMTDG-VYDXJSESSA-N 1 2 301.390 1.713 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2CC[NH+](Cc3cn(C)nn3)CC2)C1 ZINC001005962948 753428101 /nfs/dbraw/zinc/42/81/01/753428101.db2.gz CWMCWNJIXPFOLS-UHFFFAOYSA-N 1 2 317.437 1.594 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1cccnc1 ZINC001009917054 753468120 /nfs/dbraw/zinc/46/81/20/753468120.db2.gz ZNVTYEJKIJSUKL-GOSISDBHSA-N 1 2 305.381 1.937 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cccnc1 ZINC001009917054 753468124 /nfs/dbraw/zinc/46/81/24/753468124.db2.gz ZNVTYEJKIJSUKL-GOSISDBHSA-N 1 2 305.381 1.937 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(CC)cc1 ZINC001032761701 753542744 /nfs/dbraw/zinc/54/27/44/753542744.db2.gz DVOSMJYSTYFDJJ-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(CC)cc1 ZINC001032761701 753542747 /nfs/dbraw/zinc/54/27/47/753542747.db2.gz DVOSMJYSTYFDJJ-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COc2ccccc2O1 ZINC001032765905 753564809 /nfs/dbraw/zinc/56/48/09/753564809.db2.gz KNZRBMHJQBMBTI-ZQIUZPCESA-N 1 2 312.369 1.135 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COc2ccccc2O1 ZINC001032765905 753564813 /nfs/dbraw/zinc/56/48/13/753564813.db2.gz KNZRBMHJQBMBTI-ZQIUZPCESA-N 1 2 312.369 1.135 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Oc1ccc(F)cc1 ZINC001032774213 753586167 /nfs/dbraw/zinc/58/61/67/753586167.db2.gz FIBANTOAMNDASY-KBMXLJTQSA-N 1 2 316.376 1.901 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Oc1ccc(F)cc1 ZINC001032774213 753586174 /nfs/dbraw/zinc/58/61/74/753586174.db2.gz FIBANTOAMNDASY-KBMXLJTQSA-N 1 2 316.376 1.901 20 30 DDEDLO Cn1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccc(C#N)cc2)n1 ZINC001032782297 753640377 /nfs/dbraw/zinc/64/03/77/753640377.db2.gz AYYIXOXGXMNNDC-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO Cn1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccc(C#N)cc2)n1 ZINC001032782297 753640379 /nfs/dbraw/zinc/64/03/79/753640379.db2.gz AYYIXOXGXMNNDC-HOTGVXAUSA-N 1 2 321.384 1.391 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1nc(C)c(Cl)c1C ZINC001032786640 753689916 /nfs/dbraw/zinc/68/99/16/753689916.db2.gz MCOPBGSQBKLELW-STQMWFEESA-N 1 2 306.797 1.072 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1nc(C)c(Cl)c1C ZINC001032786640 753689918 /nfs/dbraw/zinc/68/99/18/753689918.db2.gz MCOPBGSQBKLELW-STQMWFEESA-N 1 2 306.797 1.072 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC001078105763 753741869 /nfs/dbraw/zinc/74/18/69/753741869.db2.gz PYVKIJKSJCTDPL-RTBURBONSA-N 1 2 320.392 1.762 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC001078105763 753741874 /nfs/dbraw/zinc/74/18/74/753741874.db2.gz PYVKIJKSJCTDPL-RTBURBONSA-N 1 2 320.392 1.762 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC001078226733 753879370 /nfs/dbraw/zinc/87/93/70/753879370.db2.gz PTVKSWUZIWFDDG-ZIAGYGMSSA-N 1 2 300.358 1.702 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC001078226733 753879373 /nfs/dbraw/zinc/87/93/73/753879373.db2.gz PTVKSWUZIWFDDG-ZIAGYGMSSA-N 1 2 300.358 1.702 20 30 DDEDLO N#Cc1ccccc1CN1C[C@@H]2C[C@H]1CN2C(=O)Cn1cc[nH+]c1 ZINC001032815409 754349863 /nfs/dbraw/zinc/34/98/63/754349863.db2.gz WXRAMRGYFKJJOM-IRXDYDNUSA-N 1 2 321.384 1.240 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001032819887 754509962 /nfs/dbraw/zinc/50/99/62/754509962.db2.gz UHQGNRXOVKRNHN-KBPBESRZSA-N 1 2 315.348 1.316 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001032819887 754509966 /nfs/dbraw/zinc/50/99/66/754509966.db2.gz UHQGNRXOVKRNHN-KBPBESRZSA-N 1 2 315.348 1.316 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001011731394 754693274 /nfs/dbraw/zinc/69/32/74/754693274.db2.gz RNZXAEOXGNFXTF-UHFFFAOYSA-N 1 2 318.421 1.546 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN2C(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001064945146 754972639 /nfs/dbraw/zinc/97/26/39/754972639.db2.gz SBLBLKHJRMLPKM-ZDUSSCGKSA-N 1 2 310.361 1.322 20 30 DDEDLO CCC(=O)N1CC[NH+]([C@H]2CCN(c3ncccc3C#N)C2)CC1 ZINC001065184244 755114307 /nfs/dbraw/zinc/11/43/07/755114307.db2.gz XSQGXEVXGFUWFQ-HNNXBMFYSA-N 1 2 313.405 1.086 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)Cc2cnccc2OC)C1 ZINC001079949176 755649701 /nfs/dbraw/zinc/64/97/01/755649701.db2.gz SBMLTZQNRCWTSC-BXUZGUMPSA-N 1 2 323.824 1.822 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)Cc2cnccc2OC)C1 ZINC001079949176 755649703 /nfs/dbraw/zinc/64/97/03/755649703.db2.gz SBMLTZQNRCWTSC-BXUZGUMPSA-N 1 2 323.824 1.822 20 30 DDEDLO Cn1ccc(CC(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001014406094 755721829 /nfs/dbraw/zinc/72/18/29/755721829.db2.gz JSSOAFFMOQJRFR-QGZVFWFLSA-N 1 2 323.400 1.225 20 30 DDEDLO Cn1ccc(CC(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001014406094 755721835 /nfs/dbraw/zinc/72/18/35/755721835.db2.gz JSSOAFFMOQJRFR-QGZVFWFLSA-N 1 2 323.400 1.225 20 30 DDEDLO C=CCCCC(=O)N(CC)C1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001080387418 755874205 /nfs/dbraw/zinc/87/42/05/755874205.db2.gz APPLUIOCSYTHBB-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C[C@@H](CNc1snc(Cl)c1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001108485879 762437051 /nfs/dbraw/zinc/43/70/51/762437051.db2.gz QRTOGCHGUJDUFR-ZETCQYMHSA-N 1 2 324.797 1.551 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2Cc3ccccc3O2)C1 ZINC001015167496 756156016 /nfs/dbraw/zinc/15/60/16/756156016.db2.gz XYCIZQPAIFHCPP-ZFWWWQNUSA-N 1 2 306.793 1.933 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2Cc3ccccc3O2)C1 ZINC001015167496 756156022 /nfs/dbraw/zinc/15/60/22/756156022.db2.gz XYCIZQPAIFHCPP-ZFWWWQNUSA-N 1 2 306.793 1.933 20 30 DDEDLO CO[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC001081507258 756361818 /nfs/dbraw/zinc/36/18/18/756361818.db2.gz LXMBZWXDMIWMBW-LLGAQUFESA-N 1 2 308.769 1.068 20 30 DDEDLO CO[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC001081507258 756361824 /nfs/dbraw/zinc/36/18/24/756361824.db2.gz LXMBZWXDMIWMBW-LLGAQUFESA-N 1 2 308.769 1.068 20 30 DDEDLO Cc1ncc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)n1C ZINC001015616337 756416771 /nfs/dbraw/zinc/41/67/71/756416771.db2.gz RNBXUDMQCUPRDG-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1ncc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)n1C ZINC001015616337 756416774 /nfs/dbraw/zinc/41/67/74/756416774.db2.gz RNBXUDMQCUPRDG-INIZCTEOSA-N 1 2 323.400 1.605 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C)c2F)[C@H](OC)C1 ZINC001081716375 756441511 /nfs/dbraw/zinc/44/15/11/756441511.db2.gz IOHQBLMCKLZQTO-HUUCEWRRSA-N 1 2 304.365 1.586 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C)c2F)[C@H](OC)C1 ZINC001081716375 756441514 /nfs/dbraw/zinc/44/15/14/756441514.db2.gz IOHQBLMCKLZQTO-HUUCEWRRSA-N 1 2 304.365 1.586 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C1 ZINC001015725682 756497748 /nfs/dbraw/zinc/49/77/48/756497748.db2.gz ACVNRKDZBHERTK-BNOWGMLFSA-N 1 2 307.419 1.425 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C1 ZINC001015725682 756497750 /nfs/dbraw/zinc/49/77/50/756497750.db2.gz ACVNRKDZBHERTK-BNOWGMLFSA-N 1 2 307.419 1.425 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001082062165 756620385 /nfs/dbraw/zinc/62/03/85/756620385.db2.gz HJPIIIFTCNYOIM-AWEZNQCLSA-N 1 2 316.405 1.109 20 30 DDEDLO O=C(N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1)c1cccnn1 ZINC001015888803 756623055 /nfs/dbraw/zinc/62/30/55/756623055.db2.gz JPBCLPOVZYPHFG-INIZCTEOSA-N 1 2 306.369 1.332 20 30 DDEDLO O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cccnn1 ZINC001015888803 756623060 /nfs/dbraw/zinc/62/30/60/756623060.db2.gz JPBCLPOVZYPHFG-INIZCTEOSA-N 1 2 306.369 1.332 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(Cl)c2F)[C@H](OC)C1 ZINC001082088898 756635265 /nfs/dbraw/zinc/63/52/65/756635265.db2.gz CSYTVQFAFOVBQZ-CHWSQXEVSA-N 1 2 310.756 1.541 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(Cl)c2F)[C@H](OC)C1 ZINC001082088898 756635267 /nfs/dbraw/zinc/63/52/67/756635267.db2.gz CSYTVQFAFOVBQZ-CHWSQXEVSA-N 1 2 310.756 1.541 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ncsc2C2CC2)[C@H](OC)C1 ZINC001082130400 756657605 /nfs/dbraw/zinc/65/76/05/756657605.db2.gz JUXDKVFXUHRVBN-CHWSQXEVSA-N 1 2 319.430 1.473 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ncsc2C2CC2)[C@H](OC)C1 ZINC001082130400 756657607 /nfs/dbraw/zinc/65/76/07/756657607.db2.gz JUXDKVFXUHRVBN-CHWSQXEVSA-N 1 2 319.430 1.473 20 30 DDEDLO CCn1cnc(C(=O)N[C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)c1 ZINC001016005683 756714997 /nfs/dbraw/zinc/71/49/97/756714997.db2.gz YVRIWXBCSBCQFE-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1cnc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001016005683 756714999 /nfs/dbraw/zinc/71/49/99/756714999.db2.gz YVRIWXBCSBCQFE-MRXNPFEDSA-N 1 2 323.400 1.779 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ncccc2Cl)[C@H](OC)C1 ZINC001082335525 756743087 /nfs/dbraw/zinc/74/30/87/756743087.db2.gz RNZWDEBBDFSRPC-CHWSQXEVSA-N 1 2 307.781 1.187 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ncccc2Cl)[C@H](OC)C1 ZINC001082335525 756743088 /nfs/dbraw/zinc/74/30/88/756743088.db2.gz RNZWDEBBDFSRPC-CHWSQXEVSA-N 1 2 307.781 1.187 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)n1 ZINC001082450676 756791655 /nfs/dbraw/zinc/79/16/55/756791655.db2.gz DKAWVXZTOWDBFV-JHJVBQTASA-N 1 2 319.409 1.364 20 30 DDEDLO Cc1nc(N[C@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@@H]2C)ccc1C#N ZINC001067140568 756812672 /nfs/dbraw/zinc/81/26/72/756812672.db2.gz WJUPAIGJSLWRBD-FZMZJTMJSA-N 1 2 324.388 1.486 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnc(C)o1)C2 ZINC001097210596 756981885 /nfs/dbraw/zinc/98/18/85/756981885.db2.gz YRHVWWRAVVLSPD-BNOWGMLFSA-N 1 2 309.797 2.000 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnc(C)o1)C2 ZINC001097210596 756981892 /nfs/dbraw/zinc/98/18/92/756981892.db2.gz YRHVWWRAVVLSPD-BNOWGMLFSA-N 1 2 309.797 2.000 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCO[C@@H]3C[N@@H+](C/C=C\Cl)C[C@@H]32)c1 ZINC001083040575 757091066 /nfs/dbraw/zinc/09/10/66/757091066.db2.gz TZYFCNZETBCXFM-CIKQRIOISA-N 1 2 320.780 1.164 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2CCO[C@@H]3C[N@H+](C/C=C\Cl)C[C@@H]32)c1 ZINC001083040575 757091069 /nfs/dbraw/zinc/09/10/69/757091069.db2.gz TZYFCNZETBCXFM-CIKQRIOISA-N 1 2 320.780 1.164 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C[C@H](C)c3ccncc3)[C@H]2C1 ZINC001083120100 757122051 /nfs/dbraw/zinc/12/20/51/757122051.db2.gz ZJUVLZMZUCHVFG-BHYGNILZSA-N 1 2 313.401 1.120 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C[C@H](C)c3ccncc3)[C@H]2C1 ZINC001083120100 757122052 /nfs/dbraw/zinc/12/20/52/757122052.db2.gz ZJUVLZMZUCHVFG-BHYGNILZSA-N 1 2 313.401 1.120 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cncc(CC)c3)[C@H]2C1 ZINC001083144047 757157930 /nfs/dbraw/zinc/15/79/30/757157930.db2.gz NCVBQSZDYNQSCM-DLBZAZTESA-N 1 2 313.401 1.193 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cncc(CC)c3)[C@H]2C1 ZINC001083144047 757157934 /nfs/dbraw/zinc/15/79/34/757157934.db2.gz NCVBQSZDYNQSCM-DLBZAZTESA-N 1 2 313.401 1.193 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4[nH]ccc4c3)[C@H]2C1 ZINC001083180321 757178921 /nfs/dbraw/zinc/17/89/21/757178921.db2.gz BOACCRGSSGQOPL-DLBZAZTESA-N 1 2 309.369 1.326 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4[nH]ccc4c3)[C@H]2C1 ZINC001083180321 757178923 /nfs/dbraw/zinc/17/89/23/757178923.db2.gz BOACCRGSSGQOPL-DLBZAZTESA-N 1 2 309.369 1.326 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C(F)(F)F)c2)[C@@H](O)C1 ZINC001083984832 757206289 /nfs/dbraw/zinc/20/62/89/757206289.db2.gz AQOKAQBWKUPBHN-OLZOCXBDSA-N 1 2 312.291 1.114 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C(F)(F)F)c2)[C@@H](O)C1 ZINC001083984832 757206294 /nfs/dbraw/zinc/20/62/94/757206294.db2.gz AQOKAQBWKUPBHN-OLZOCXBDSA-N 1 2 312.291 1.114 20 30 DDEDLO Cc1nsc(N[C@H](C)CNC(=O)CCc2[nH]cc[nH+]2)c1C#N ZINC001097792159 757526456 /nfs/dbraw/zinc/52/64/56/757526456.db2.gz NUZPNUFPZYDMDK-SECBINFHSA-N 1 2 318.406 1.596 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001097793827 757529861 /nfs/dbraw/zinc/52/98/61/757529861.db2.gz GCGPESOZHFYUJA-PXAZEXFGSA-N 1 2 318.421 1.906 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3C)C[C@H]21 ZINC001084578144 757679043 /nfs/dbraw/zinc/67/90/43/757679043.db2.gz MGQIEVMVJVAPMC-TZMCWYRMSA-N 1 2 303.410 1.060 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3C)C[C@H]21 ZINC001084578144 757679049 /nfs/dbraw/zinc/67/90/49/757679049.db2.gz MGQIEVMVJVAPMC-TZMCWYRMSA-N 1 2 303.410 1.060 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@@H](C)C(C)C)CC2=O)C1 ZINC001108518369 762567142 /nfs/dbraw/zinc/56/71/42/762567142.db2.gz XZHLMKCKRHQDER-ZIAGYGMSSA-N 1 2 307.438 1.256 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNc1ccc(Br)c(C#N)n1 ZINC001169658492 762581271 /nfs/dbraw/zinc/58/12/71/762581271.db2.gz XLIACGMCSAKWGL-SECBINFHSA-N 1 2 311.183 1.458 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNc1ccc(Br)c(C#N)n1 ZINC001169658492 762581274 /nfs/dbraw/zinc/58/12/74/762581274.db2.gz XLIACGMCSAKWGL-SECBINFHSA-N 1 2 311.183 1.458 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(OC(C)C)cn1 ZINC001017552075 758019314 /nfs/dbraw/zinc/01/93/14/758019314.db2.gz NOMUSYBUFRCHDQ-OKILXGFUSA-N 1 2 316.405 1.739 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(OC(C)C)cn1 ZINC001017552075 758019326 /nfs/dbraw/zinc/01/93/26/758019326.db2.gz NOMUSYBUFRCHDQ-OKILXGFUSA-N 1 2 316.405 1.739 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cc(C)ccn12 ZINC001017578947 758046593 /nfs/dbraw/zinc/04/65/93/758046593.db2.gz YAALEQWMSVRBLQ-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2cc(C)ccn12 ZINC001017578947 758046597 /nfs/dbraw/zinc/04/65/97/758046597.db2.gz YAALEQWMSVRBLQ-IYBDPMFKSA-N 1 2 322.412 1.955 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c2c(nn1C)CCCC2 ZINC001017589121 758055631 /nfs/dbraw/zinc/05/56/31/758055631.db2.gz RLUZJOLRTGJNJA-OKILXGFUSA-N 1 2 312.417 1.221 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c2c(nn1C)CCCC2 ZINC001017589121 758055642 /nfs/dbraw/zinc/05/56/42/758055642.db2.gz RLUZJOLRTGJNJA-OKILXGFUSA-N 1 2 312.417 1.221 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)/C=C\C(C)(C)C)CC2=O)C1 ZINC001108525150 762599091 /nfs/dbraw/zinc/59/90/91/762599091.db2.gz HXPZKJBLNYHWSO-LMVHVUTASA-N 1 2 319.449 1.566 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099855374 758107853 /nfs/dbraw/zinc/10/78/53/758107853.db2.gz HANVAPOPWPABHL-UONOGXRCSA-N 1 2 323.462 1.859 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099855374 758107860 /nfs/dbraw/zinc/10/78/60/758107860.db2.gz HANVAPOPWPABHL-UONOGXRCSA-N 1 2 323.462 1.859 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2ccccc2O1 ZINC001017718015 758179274 /nfs/dbraw/zinc/17/92/74/758179274.db2.gz ZLBDPVMCSPQAMC-JZXOWHBKSA-N 1 2 310.397 1.689 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1Cc2ccccc2O1 ZINC001017718015 758179281 /nfs/dbraw/zinc/17/92/81/758179281.db2.gz ZLBDPVMCSPQAMC-JZXOWHBKSA-N 1 2 310.397 1.689 20 30 DDEDLO CC[C@@H]([NH2+]C[C@@H](O)c1cnn(C)c1)c1cccc(C#N)c1O ZINC000822658657 758225385 /nfs/dbraw/zinc/22/53/85/758225385.db2.gz KKNRGYJZKXWRQZ-HUUCEWRRSA-N 1 2 300.362 1.772 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCc1cccnc1)CCO2 ZINC001053329982 758379481 /nfs/dbraw/zinc/37/94/81/758379481.db2.gz JKPYIPRICMWWSW-UHFFFAOYSA-N 1 2 315.417 1.504 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)CCc1ccccn1)CCO2 ZINC001053370494 758409031 /nfs/dbraw/zinc/40/90/31/758409031.db2.gz JGZLRBOQZJQZDB-UHFFFAOYSA-N 1 2 315.417 1.504 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCOC2(C[NH+](C[C@H](CC)OC)C2)C1 ZINC001053375127 758411359 /nfs/dbraw/zinc/41/13/59/758411359.db2.gz ZWPDFWZGDUTCEO-AWEZNQCLSA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)[C@H]1CCCO1)CCO2 ZINC001053418357 758447948 /nfs/dbraw/zinc/44/79/48/758447948.db2.gz QGWGYDHSPPSVNX-HUUCEWRRSA-N 1 2 308.422 1.291 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2nccc2nc1C ZINC001018120176 758562173 /nfs/dbraw/zinc/56/21/73/758562173.db2.gz RGLSMFFLSFIZAQ-GASCZTMLSA-N 1 2 323.400 1.350 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2nccc2nc1C ZINC001018120176 758562180 /nfs/dbraw/zinc/56/21/80/758562180.db2.gz RGLSMFFLSFIZAQ-GASCZTMLSA-N 1 2 323.400 1.350 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnccn1)O2 ZINC001053566862 758575153 /nfs/dbraw/zinc/57/51/53/758575153.db2.gz SXZFQYFUYZFSQC-ZDUSSCGKSA-N 1 2 302.378 1.016 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)n(C)n1)O2 ZINC001053576608 758587624 /nfs/dbraw/zinc/58/76/24/758587624.db2.gz TYBXIVJREHYILX-AWEZNQCLSA-N 1 2 318.421 1.268 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(CC)n[nH]1)O2 ZINC001053578467 758590573 /nfs/dbraw/zinc/59/05/73/758590573.db2.gz HGSJZJFZTNKCFT-AWEZNQCLSA-N 1 2 318.421 1.511 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cn(C)nc1C)O2 ZINC001053582713 758595207 /nfs/dbraw/zinc/59/52/07/758595207.db2.gz KOQUANQJLZKDCJ-CQSZACIVSA-N 1 2 318.421 1.268 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnccc1C)O2 ZINC001053585357 758599943 /nfs/dbraw/zinc/59/99/43/758599943.db2.gz FKPGZMMOIIOVOZ-OAHLLOKOSA-N 1 2 315.417 1.929 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)C1CCC(O)CC1)O2 ZINC001053594430 758614046 /nfs/dbraw/zinc/61/40/46/758614046.db2.gz LQTKBOPSBOYTDU-YMAMQOFZSA-N 1 2 308.422 1.073 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCCOCC1)O2 ZINC001053595873 758616415 /nfs/dbraw/zinc/61/64/15/758616415.db2.gz GCYYAEDSPNEPEV-HUUCEWRRSA-N 1 2 308.422 1.339 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnc(C3CC3)o1)O2 ZINC001053611045 758630198 /nfs/dbraw/zinc/63/01/98/758630198.db2.gz IGWFTBDVOVAHSK-CYBMUJFWSA-N 1 2 317.389 1.701 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(COC)c(F)c1 ZINC001018216837 758640873 /nfs/dbraw/zinc/64/08/73/758640873.db2.gz YBMZJVRMXWXZST-IYBDPMFKSA-N 1 2 316.376 1.894 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(COC)c(F)c1 ZINC001018216837 758640878 /nfs/dbraw/zinc/64/08/78/758640878.db2.gz YBMZJVRMXWXZST-IYBDPMFKSA-N 1 2 316.376 1.894 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(NC(N)=O)cc1 ZINC001018239824 758659793 /nfs/dbraw/zinc/65/97/93/758659793.db2.gz XYQUCYUMBPFGJT-GASCZTMLSA-N 1 2 312.373 1.099 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(NC(N)=O)cc1 ZINC001018239824 758659795 /nfs/dbraw/zinc/65/97/95/758659795.db2.gz XYQUCYUMBPFGJT-GASCZTMLSA-N 1 2 312.373 1.099 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CC(C)(C)CC)CC2=O)C1 ZINC001108548682 762649865 /nfs/dbraw/zinc/64/98/65/762649865.db2.gz UBPZJOZMHZXBBA-CYBMUJFWSA-N 1 2 307.438 1.400 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)n1nc(C)cc1C ZINC001018334860 758737604 /nfs/dbraw/zinc/73/76/04/758737604.db2.gz PHCKTQFHDJZLBX-OAGGEKHMSA-N 1 2 300.406 1.369 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)n1nc(C)cc1C ZINC001018334860 758737608 /nfs/dbraw/zinc/73/76/08/758737608.db2.gz PHCKTQFHDJZLBX-OAGGEKHMSA-N 1 2 300.406 1.369 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)ccn1C)CO2 ZINC001053788258 758814345 /nfs/dbraw/zinc/81/43/45/758814345.db2.gz PBLJVKXJLFGPSG-AWEZNQCLSA-N 1 2 303.406 1.483 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H]1CCCOCC1)CO2 ZINC001053790544 758819011 /nfs/dbraw/zinc/81/90/11/758819011.db2.gz YSJKVFLGLCFKSD-CABCVRRESA-N 1 2 308.422 1.339 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1OCC[C@H]1C(C)C)CO2 ZINC001053804595 758832632 /nfs/dbraw/zinc/83/26/32/758832632.db2.gz ZBJSEDDKSPVBDS-JYJNAYRXSA-N 1 2 320.433 1.030 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)nc(C)c1)CO2 ZINC001053820064 758848010 /nfs/dbraw/zinc/84/80/10/758848010.db2.gz RIWIDLCBIRNGRP-INIZCTEOSA-N 1 2 313.401 1.295 20 30 DDEDLO C[C@H](CNC(=O)CCCn1cc[nH+]c1)Nc1ncccc1C#N ZINC001097912191 758913585 /nfs/dbraw/zinc/91/35/85/758913585.db2.gz VDNRPZMSJROJNL-CYBMUJFWSA-N 1 2 312.377 1.547 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(OC)cs1)CO2 ZINC001053889455 758923322 /nfs/dbraw/zinc/92/33/22/758923322.db2.gz JNZUBVSTBLVBOD-LBPRGKRZSA-N 1 2 322.430 1.906 20 30 DDEDLO C[C@@H](CNC(=O)Cn1cc[nH+]c1)Nc1cccc(F)c1C#N ZINC001097922097 759013192 /nfs/dbraw/zinc/01/31/92/759013192.db2.gz QLUDYPKWDCLBIO-NSHDSACASA-N 1 2 301.325 1.511 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnn(C(C)C)c1)CO2 ZINC001053979198 759017337 /nfs/dbraw/zinc/01/73/37/759017337.db2.gz KCPJPDKLZJGSEW-OAHLLOKOSA-N 1 2 316.405 1.060 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c(F)cccc1F)CO2 ZINC001053992761 759039701 /nfs/dbraw/zinc/03/97/01/759039701.db2.gz YXUUFUDDHKZINY-LBPRGKRZSA-N 1 2 320.339 1.561 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccccc1F)CO2 ZINC001053997229 759042916 /nfs/dbraw/zinc/04/29/16/759042916.db2.gz XYVVHGFIROLSFH-OAHLLOKOSA-N 1 2 318.392 1.904 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC=C(C)C)C2)C1 ZINC001108559549 762680865 /nfs/dbraw/zinc/68/08/65/762680865.db2.gz CCWBUWSJDDBROW-CQSZACIVSA-N 1 2 319.449 1.566 20 30 DDEDLO C[C@H](CNC(=O)Cn1cc[nH+]c1)Nc1nc(Cl)c(C#N)s1 ZINC001097921375 759074161 /nfs/dbraw/zinc/07/41/61/759074161.db2.gz HOAPAOFZOLMMDL-MRVPVSSYSA-N 1 2 324.797 1.481 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1CCCO1 ZINC001054029045 759080682 /nfs/dbraw/zinc/08/06/82/759080682.db2.gz DJZPYUJUBXHCCU-ROUUACIJSA-N 1 2 312.413 1.732 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1CCCO1 ZINC001054029045 759080686 /nfs/dbraw/zinc/08/06/86/759080686.db2.gz DJZPYUJUBXHCCU-ROUUACIJSA-N 1 2 312.413 1.732 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1)c1csnn1 ZINC001018682241 759130698 /nfs/dbraw/zinc/13/06/98/759130698.db2.gz NEFBCUYAFSFCKS-KOLCDFICSA-N 1 2 316.390 1.303 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+][C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001018748461 759218336 /nfs/dbraw/zinc/21/83/36/759218336.db2.gz ZMNQQKLRBXMFII-SKDRFNHKSA-N 1 2 314.349 1.143 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(C1)CCCN(C(=O)c1ccc3[nH]nnc3c1)C2 ZINC001054239950 759331769 /nfs/dbraw/zinc/33/17/69/759331769.db2.gz XPTPSGMJHAAWTP-SFHVURJKSA-N 1 2 323.400 1.519 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(C1)CCCN(C(=O)c1ccc3[nH]nnc3c1)C2 ZINC001054239950 759331778 /nfs/dbraw/zinc/33/17/78/759331778.db2.gz XPTPSGMJHAAWTP-SFHVURJKSA-N 1 2 323.400 1.519 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099975687 759335155 /nfs/dbraw/zinc/33/51/55/759335155.db2.gz GBEDXXYORBJXMR-UONOGXRCSA-N 1 2 323.462 1.859 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C[C@H]1O ZINC001099975687 759335163 /nfs/dbraw/zinc/33/51/63/759335163.db2.gz GBEDXXYORBJXMR-UONOGXRCSA-N 1 2 323.462 1.859 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001085596821 759805516 /nfs/dbraw/zinc/80/55/16/759805516.db2.gz JZHKNEMGGPMKCI-LSDHHAIUSA-N 1 2 302.422 1.938 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001085596821 759805526 /nfs/dbraw/zinc/80/55/26/759805526.db2.gz JZHKNEMGGPMKCI-LSDHHAIUSA-N 1 2 302.422 1.938 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2nc(Cl)c(Cl)cc2C#N)C[NH2+]1 ZINC001158255038 767905943 /nfs/dbraw/zinc/90/59/43/767905943.db2.gz OUDKRUHVDCSFQL-APPZFPTMSA-N 1 2 315.160 1.575 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001085681023 759995204 /nfs/dbraw/zinc/99/52/04/759995204.db2.gz QVZBUUIPSRQKQA-UKRRQHHQSA-N 1 2 322.840 1.731 20 30 DDEDLO C#Cc1cncc(C(=O)N2C[C@@H](Nc3cc[nH+]c(C)n3)C[C@H]2C)c1 ZINC001069199439 767910577 /nfs/dbraw/zinc/91/05/77/767910577.db2.gz FZNVVCUBCJSWSE-WBMJQRKESA-N 1 2 321.384 1.876 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)C(=O)c1cn[nH]n1 ZINC001085691321 760039267 /nfs/dbraw/zinc/03/92/67/760039267.db2.gz CSGWKZAZIUMTLX-AWEZNQCLSA-N 1 2 310.361 1.023 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)C(=O)c1cn[nH]n1 ZINC001085691321 760039278 /nfs/dbraw/zinc/03/92/78/760039278.db2.gz CSGWKZAZIUMTLX-AWEZNQCLSA-N 1 2 310.361 1.023 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](CNC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001046780124 767918689 /nfs/dbraw/zinc/91/86/89/767918689.db2.gz FOCUGDBOUXKILJ-AULYBMBSSA-N 1 2 310.361 1.605 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCc2cc(C)ccc2O1 ZINC001085720270 760104027 /nfs/dbraw/zinc/10/40/27/760104027.db2.gz PYAPABGLGOILCB-FUHWJXTLSA-N 1 2 312.413 1.855 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCc2cc(C)ccc2O1 ZINC001085720270 760104034 /nfs/dbraw/zinc/10/40/34/760104034.db2.gz PYAPABGLGOILCB-FUHWJXTLSA-N 1 2 312.413 1.855 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2ccnn2C)cc1 ZINC001085756422 760177051 /nfs/dbraw/zinc/17/70/51/760177051.db2.gz IWRXLGYQOCFTBK-GOSISDBHSA-N 1 2 322.412 1.748 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2ccnn2C)cc1 ZINC001085756422 760177057 /nfs/dbraw/zinc/17/70/57/760177057.db2.gz IWRXLGYQOCFTBK-GOSISDBHSA-N 1 2 322.412 1.748 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001085823105 760308797 /nfs/dbraw/zinc/30/87/97/760308797.db2.gz ZWNOHIABWWEGIV-XHSDSOJGSA-N 1 2 318.421 1.044 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001085823105 760308806 /nfs/dbraw/zinc/30/88/06/760308806.db2.gz ZWNOHIABWWEGIV-XHSDSOJGSA-N 1 2 318.421 1.044 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CC(F)(F)C(F)F)C1 ZINC001108215593 760401867 /nfs/dbraw/zinc/40/18/67/760401867.db2.gz GKJOSHRJYXZTOD-ZDUSSCGKSA-N 1 2 324.318 1.507 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CC(F)(F)C(F)F)C1 ZINC001108215593 760401870 /nfs/dbraw/zinc/40/18/70/760401870.db2.gz GKJOSHRJYXZTOD-ZDUSSCGKSA-N 1 2 324.318 1.507 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-n2ccnc2)cn1 ZINC001085922967 760545617 /nfs/dbraw/zinc/54/56/17/760545617.db2.gz NZNIKQOHISLEOZ-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-n2ccnc2)cn1 ZINC001085922967 760545622 /nfs/dbraw/zinc/54/56/22/760545622.db2.gz NZNIKQOHISLEOZ-INIZCTEOSA-N 1 2 323.400 1.437 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001046843703 767975249 /nfs/dbraw/zinc/97/52/49/767975249.db2.gz BSAKSWYBZYVPBH-OAHLLOKOSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001046843703 767975253 /nfs/dbraw/zinc/97/52/53/767975253.db2.gz BSAKSWYBZYVPBH-OAHLLOKOSA-N 1 2 322.796 1.127 20 30 DDEDLO C[C@@H](CNC(=O)Cc1[nH]cc[nH+]1)Nc1snc(Cl)c1C#N ZINC001098006630 760752509 /nfs/dbraw/zinc/75/25/09/760752509.db2.gz KCFNIWGVHNMTQX-ZETCQYMHSA-N 1 2 324.797 1.551 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001046855474 767987261 /nfs/dbraw/zinc/98/72/61/767987261.db2.gz TVLMPIQQLUDEDJ-WBMJQRKESA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001046855474 767987263 /nfs/dbraw/zinc/98/72/63/767987263.db2.gz TVLMPIQQLUDEDJ-WBMJQRKESA-N 1 2 322.840 1.848 20 30 DDEDLO CCn1nccc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038191849 760907091 /nfs/dbraw/zinc/90/70/91/760907091.db2.gz LKRKIAQQPIBZGU-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1nccc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038191849 760907096 /nfs/dbraw/zinc/90/70/96/760907096.db2.gz LKRKIAQQPIBZGU-INIZCTEOSA-N 1 2 323.400 1.779 20 30 DDEDLO CCn1ncc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2cccc(C#N)c2)n1 ZINC001038231436 760933254 /nfs/dbraw/zinc/93/32/54/760933254.db2.gz OLALDZUPWFNPII-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO CCn1ncc(C(=O)NC[C@@H]2CC[N@H+]2Cc2cccc(C#N)c2)n1 ZINC001038231436 760933262 /nfs/dbraw/zinc/93/32/62/760933262.db2.gz OLALDZUPWFNPII-HNNXBMFYSA-N 1 2 324.388 1.174 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ncnc2sccc21 ZINC001038278185 760970459 /nfs/dbraw/zinc/97/04/59/760970459.db2.gz VLWPEVPVLIFTNR-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ncnc2sccc21 ZINC001038278185 760970461 /nfs/dbraw/zinc/97/04/61/760970461.db2.gz VLWPEVPVLIFTNR-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H](C)C(C)C)CC1 ZINC001131336826 767997460 /nfs/dbraw/zinc/99/74/60/767997460.db2.gz KZKMTAPKLFLHGX-OAHLLOKOSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H](C)C(C)C)CC1 ZINC001131336826 767997462 /nfs/dbraw/zinc/99/74/62/767997462.db2.gz KZKMTAPKLFLHGX-OAHLLOKOSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C=C(CC)CC)CC1 ZINC001131348339 768005412 /nfs/dbraw/zinc/00/54/12/768005412.db2.gz RMUOUPUVZDMTJB-UHFFFAOYSA-N 1 2 307.438 1.569 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C=C(CC)CC)CC1 ZINC001131348339 768005420 /nfs/dbraw/zinc/00/54/20/768005420.db2.gz RMUOUPUVZDMTJB-UHFFFAOYSA-N 1 2 307.438 1.569 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1noc2c1CC(C)(C)CC2 ZINC001038550171 761191179 /nfs/dbraw/zinc/19/11/79/761191179.db2.gz BUVSHRYDJNLYJY-LBPRGKRZSA-N 1 2 301.390 1.627 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1noc2c1CC(C)(C)CC2 ZINC001038550171 761191183 /nfs/dbraw/zinc/19/11/83/761191183.db2.gz BUVSHRYDJNLYJY-LBPRGKRZSA-N 1 2 301.390 1.627 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1csc(-c2ccco2)n1 ZINC001038652904 761251815 /nfs/dbraw/zinc/25/18/15/761251815.db2.gz VMQOVOKOGLUPCN-LLVKDONJSA-N 1 2 301.371 1.840 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1csc(-c2ccco2)n1 ZINC001038652904 761251816 /nfs/dbraw/zinc/25/18/16/761251816.db2.gz VMQOVOKOGLUPCN-LLVKDONJSA-N 1 2 301.371 1.840 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2[C@H]2CCN(CC)C2=O)CC1 ZINC001038665003 761264988 /nfs/dbraw/zinc/26/49/88/761264988.db2.gz CPBOJCZGQCOSLW-CVEARBPZSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2[C@H]2CCN(CC)C2=O)CC1 ZINC001038665003 761264991 /nfs/dbraw/zinc/26/49/91/761264991.db2.gz CPBOJCZGQCOSLW-CVEARBPZSA-N 1 2 319.449 1.544 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cn(C)nc1C1CCCC1 ZINC001038686041 761287667 /nfs/dbraw/zinc/28/76/67/761287667.db2.gz DUCQISWFEBKWKP-AWEZNQCLSA-N 1 2 300.406 1.515 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn(C)nc1C1CCCC1 ZINC001038686041 761287670 /nfs/dbraw/zinc/28/76/70/761287670.db2.gz DUCQISWFEBKWKP-AWEZNQCLSA-N 1 2 300.406 1.515 20 30 DDEDLO C[C@H](CNC(=O)[C@H](C)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001098320040 761292258 /nfs/dbraw/zinc/29/22/58/761292258.db2.gz NGEKEYIZBPHZHV-VXGBXAGGSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](CNC(=O)[C@H](C)Cc1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001098320040 761292261 /nfs/dbraw/zinc/29/22/61/761292261.db2.gz NGEKEYIZBPHZHV-VXGBXAGGSA-N 1 2 312.377 1.472 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](Nc2nc(C)ccc2C#N)C1 ZINC001056680758 761337433 /nfs/dbraw/zinc/33/74/33/761337433.db2.gz AQWWDMWGOUWYDL-CQSZACIVSA-N 1 2 324.388 1.549 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001056680880 761338483 /nfs/dbraw/zinc/33/84/83/761338483.db2.gz HXGDZIWHSFQGMQ-CQSZACIVSA-N 1 2 310.361 1.240 20 30 DDEDLO Cc1cnoc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038870162 761494208 /nfs/dbraw/zinc/49/42/08/761494208.db2.gz RKBKDSDEGPOXKX-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cnoc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038870162 761494216 /nfs/dbraw/zinc/49/42/16/761494216.db2.gz RKBKDSDEGPOXKX-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(C(C)C)c1C1CC1 ZINC001038894469 761519060 /nfs/dbraw/zinc/51/90/60/761519060.db2.gz DFNJAOXPHLMROA-AWEZNQCLSA-N 1 2 300.406 1.779 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(C(C)C)c1C1CC1 ZINC001038894469 761519067 /nfs/dbraw/zinc/51/90/67/761519067.db2.gz DFNJAOXPHLMROA-AWEZNQCLSA-N 1 2 300.406 1.779 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@@H](C)Nc2ccncc2C#N)c(C)[nH+]1 ZINC001098431722 761541894 /nfs/dbraw/zinc/54/18/94/761541894.db2.gz WBVSNSIOTJYBCO-CYBMUJFWSA-N 1 2 323.400 1.926 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001000231724 761590214 /nfs/dbraw/zinc/59/02/14/761590214.db2.gz IZRHGAXZRRQWEC-MJBXVCDLSA-N 1 2 320.393 1.050 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(N(CC)CC)nc1 ZINC001039068778 761708746 /nfs/dbraw/zinc/70/87/46/761708746.db2.gz ZRQOOJBQYQGPJT-INIZCTEOSA-N 1 2 314.433 1.755 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(N(CC)CC)nc1 ZINC001039068778 761708748 /nfs/dbraw/zinc/70/87/48/761708748.db2.gz ZRQOOJBQYQGPJT-INIZCTEOSA-N 1 2 314.433 1.755 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cnn3ccccc23)C1 ZINC001108283575 761749616 /nfs/dbraw/zinc/74/96/16/761749616.db2.gz HOJIURUFQYMEGB-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cnn3ccccc23)C1 ZINC001108283575 761749623 /nfs/dbraw/zinc/74/96/23/761749623.db2.gz HOJIURUFQYMEGB-KRWDZBQOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108566819 762709822 /nfs/dbraw/zinc/70/98/22/762709822.db2.gz OJQNXAWFSBBWHF-CABCVRRESA-N 1 2 319.449 1.566 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3(CCC)CC3)CC2=O)C1 ZINC001108572969 762714114 /nfs/dbraw/zinc/71/41/14/762714114.db2.gz JUDIDJHXSLDURH-ZDUSSCGKSA-N 1 2 305.422 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)CC[C@H](C)CC)CC2=O)C1 ZINC001108580221 762741300 /nfs/dbraw/zinc/74/13/00/762741300.db2.gz CVYCZBXDDPLZCC-HUUCEWRRSA-N 1 2 321.465 1.790 20 30 DDEDLO N#Cc1ccc(NC2CCN(C(=O)Cn3cc[nH+]c3)CC2)cn1 ZINC001057341541 763475640 /nfs/dbraw/zinc/47/56/40/763475640.db2.gz JKIZHDYMVVVUCH-UHFFFAOYSA-N 1 2 310.361 1.253 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001109257989 763524275 /nfs/dbraw/zinc/52/42/75/763524275.db2.gz WLVINNKVCVSUPD-DNMXQMFDSA-N 1 2 324.428 1.981 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC2CC2)C3)cn1 ZINC001109257989 763524279 /nfs/dbraw/zinc/52/42/79/763524279.db2.gz WLVINNKVCVSUPD-DNMXQMFDSA-N 1 2 324.428 1.981 20 30 DDEDLO CCc1noc(C[NH+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)CC2)n1 ZINC001050463853 763626705 /nfs/dbraw/zinc/62/67/05/763626705.db2.gz CAMAPPBQEOKCQO-LBPRGKRZSA-N 1 2 317.393 1.216 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1[C@H]2C[N@H+](C/C(Cl)=C/Cl)C[C@@H]12 ZINC001115332824 763720511 /nfs/dbraw/zinc/72/05/11/763720511.db2.gz QESVMZBHZZUCQK-XIEBJGFCSA-N 1 2 319.232 1.943 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1[C@H]2C[N@@H+](C/C(Cl)=C/Cl)C[C@@H]12 ZINC001115332824 763720518 /nfs/dbraw/zinc/72/05/18/763720518.db2.gz QESVMZBHZZUCQK-XIEBJGFCSA-N 1 2 319.232 1.943 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@H+](Cc3cnon3)[C@@H]2C1 ZINC001042184081 763749585 /nfs/dbraw/zinc/74/95/85/763749585.db2.gz TXINBONWWXUCRT-GXTWGEPZSA-N 1 2 304.394 1.705 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@@H+](Cc3cnon3)[C@@H]2C1 ZINC001042184081 763749593 /nfs/dbraw/zinc/74/95/93/763749593.db2.gz TXINBONWWXUCRT-GXTWGEPZSA-N 1 2 304.394 1.705 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001109631550 763894683 /nfs/dbraw/zinc/89/46/83/763894683.db2.gz FYAJWZPPCXQFIH-VDERGJSUSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001109631550 763894686 /nfs/dbraw/zinc/89/46/86/763894686.db2.gz FYAJWZPPCXQFIH-VDERGJSUSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109676982 763929802 /nfs/dbraw/zinc/92/98/02/763929802.db2.gz DCYRFYYOPRJMFI-LDDOYCOJSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109676982 763929814 /nfs/dbraw/zinc/92/98/14/763929814.db2.gz DCYRFYYOPRJMFI-LDDOYCOJSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)COc1cccnc1)C2 ZINC001109846249 764127051 /nfs/dbraw/zinc/12/70/51/764127051.db2.gz AYLSPFOPYDUWCU-SNPRPXQTSA-N 1 2 321.808 1.934 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)COc1cccnc1)C2 ZINC001109846249 764127058 /nfs/dbraw/zinc/12/70/58/764127058.db2.gz AYLSPFOPYDUWCU-SNPRPXQTSA-N 1 2 321.808 1.934 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](CNc1ncccc1C#N)C1CC1 ZINC001109881978 764156900 /nfs/dbraw/zinc/15/69/00/764156900.db2.gz MJCIZLJYPWQJBY-HNNXBMFYSA-N 1 2 324.388 1.534 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC[C@H](C)CC)C2 ZINC001109874864 764159969 /nfs/dbraw/zinc/15/99/69/764159969.db2.gz USDBGWDOOCXCGV-WCVJEAGWSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC[C@H](C)CC)C2 ZINC001109874864 764159970 /nfs/dbraw/zinc/15/99/70/764159970.db2.gz USDBGWDOOCXCGV-WCVJEAGWSA-N 1 2 319.449 1.284 20 30 DDEDLO CN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](Cc2ccc(CO)cc2)C1 ZINC001042589056 764240568 /nfs/dbraw/zinc/24/05/68/764240568.db2.gz CAAQBXGPUGBQMR-UHFFFAOYSA-N 1 2 324.384 1.335 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn(C2CCC2)nn1 ZINC001050920390 764281733 /nfs/dbraw/zinc/28/17/33/764281733.db2.gz GFRSCPKEWNGYPS-AWEZNQCLSA-N 1 2 319.409 1.010 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn(C2CCC2)nn1 ZINC001050920390 764281738 /nfs/dbraw/zinc/28/17/38/764281738.db2.gz GFRSCPKEWNGYPS-AWEZNQCLSA-N 1 2 319.409 1.010 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccn(C(C)(C)C)n1 ZINC001050940040 764311784 /nfs/dbraw/zinc/31/17/84/764311784.db2.gz NFSABEBAGNNTRQ-AWEZNQCLSA-N 1 2 320.437 1.645 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccn(C(C)(C)C)n1 ZINC001050940040 764311789 /nfs/dbraw/zinc/31/17/89/764311789.db2.gz NFSABEBAGNNTRQ-AWEZNQCLSA-N 1 2 320.437 1.645 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)c2cn(C)nc2-c2ccco2)C1 ZINC001042710073 764379121 /nfs/dbraw/zinc/37/91/21/764379121.db2.gz YXNFCUSVFNALTQ-UHFFFAOYSA-N 1 2 300.362 1.622 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)cncc1C ZINC001051025766 764430287 /nfs/dbraw/zinc/43/02/87/764430287.db2.gz LPQPVIRUIMBAIA-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)cncc1C ZINC001051025766 764430290 /nfs/dbraw/zinc/43/02/90/764430290.db2.gz LPQPVIRUIMBAIA-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO COCCC(=O)N1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC001112595748 764435683 /nfs/dbraw/zinc/43/56/83/764435683.db2.gz PGYNTZJVAHSMJT-UHFFFAOYSA-N 1 2 317.389 1.270 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001051060481 764463188 /nfs/dbraw/zinc/46/31/88/764463188.db2.gz OPFSSDXGVACZON-KBPBESRZSA-N 1 2 304.394 1.203 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2COCC[N@H+]2C[C@H](C)OC)CC1 ZINC001051100166 764505435 /nfs/dbraw/zinc/50/54/35/764505435.db2.gz QERLYBYZFKKBMI-HOCLYGCPSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2COCC[N@@H+]2C[C@H](C)OC)CC1 ZINC001051100166 764505440 /nfs/dbraw/zinc/50/54/40/764505440.db2.gz QERLYBYZFKKBMI-HOCLYGCPSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnc(C2CC2)nc1 ZINC001051154463 764561198 /nfs/dbraw/zinc/56/11/98/764561198.db2.gz VZQXNEQTIPQNAE-HNNXBMFYSA-N 1 2 316.405 1.361 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnc(C2CC2)nc1 ZINC001051154463 764561210 /nfs/dbraw/zinc/56/12/10/764561210.db2.gz VZQXNEQTIPQNAE-HNNXBMFYSA-N 1 2 316.405 1.361 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c(C)cc1=O ZINC001051194175 764603336 /nfs/dbraw/zinc/60/33/36/764603336.db2.gz ZCGHTEALICYUID-AWEZNQCLSA-N 1 2 319.405 1.080 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]c(C)cc1=O ZINC001051194175 764603341 /nfs/dbraw/zinc/60/33/41/764603341.db2.gz ZCGHTEALICYUID-AWEZNQCLSA-N 1 2 319.405 1.080 20 30 DDEDLO CC(C)COCC[NH+]1CCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC001112772698 764737211 /nfs/dbraw/zinc/73/72/11/764737211.db2.gz GKDVHRAGICPABD-UHFFFAOYSA-N 1 2 304.394 1.317 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(-n3ccnc3)n2)C1 ZINC001043181670 764741705 /nfs/dbraw/zinc/74/17/05/764741705.db2.gz AFOACXZIJUUCMY-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001112809262 764791499 /nfs/dbraw/zinc/79/14/99/764791499.db2.gz AYXOCUONGHVPMP-MRXNPFEDSA-N 1 2 308.422 1.130 20 30 DDEDLO N#Cc1ccc(NCC2CC(NC(=O)CCc3[nH]cc[nH+]3)C2)nc1 ZINC001112832898 764827013 /nfs/dbraw/zinc/82/70/13/764827013.db2.gz XLOKOPGCAIALOI-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO CC(C)C#CC(=O)N1CC[NH+](C[C@H](O)c2ccc(F)cc2)CC1 ZINC001112834075 764829395 /nfs/dbraw/zinc/82/93/95/764829395.db2.gz AJYRIOPUJACFFS-KRWDZBQOSA-N 1 2 318.392 1.663 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2cccnc2C)CC1 ZINC001112843163 764867466 /nfs/dbraw/zinc/86/74/66/764867466.db2.gz MVJDZPIUGPCTSL-UHFFFAOYSA-N 1 2 301.390 1.074 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)CC1 ZINC001113123054 765297354 /nfs/dbraw/zinc/29/73/54/765297354.db2.gz HPDDBOSZMIAMCB-HRCADAONSA-N 1 2 308.422 1.148 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)Cc3cccs3)C2)CC1 ZINC001051970501 765328524 /nfs/dbraw/zinc/32/85/24/765328524.db2.gz GQEPGKHLLXQKSY-OAHLLOKOSA-N 1 2 317.458 1.142 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccncc2C(F)(F)F)C1 ZINC001044134264 765333801 /nfs/dbraw/zinc/33/38/01/765333801.db2.gz QDAXMWPNMJKIKM-UHFFFAOYSA-N 1 2 311.307 1.880 20 30 DDEDLO Cc1nsc(N[C@@H](C)[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001113156183 765346402 /nfs/dbraw/zinc/34/64/02/765346402.db2.gz XWFJGGSGXRVQHN-BDAKNGLRSA-N 1 2 318.406 1.594 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3CCCCCC3)C2)CC1 ZINC001051996274 765362704 /nfs/dbraw/zinc/36/27/04/765362704.db2.gz LVCAFEBMVULPQY-SFHVURJKSA-N 1 2 317.477 1.809 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@H](C)C#N)C2)CC1 ZINC001051997792 765363775 /nfs/dbraw/zinc/36/37/75/765363775.db2.gz IJCPAGKZLDGLDU-TZMCWYRMSA-N 1 2 310.829 1.117 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnc(OCC3CC3)cn2)C1 ZINC001044176438 765367619 /nfs/dbraw/zinc/36/76/19/765367619.db2.gz RKNKFTNFUCFVSL-UHFFFAOYSA-N 1 2 316.405 1.598 20 30 DDEDLO CN(C(=O)Cc1c[nH+]cn1C)C1CN(CC#Cc2ccccc2)C1 ZINC001044185897 765373751 /nfs/dbraw/zinc/37/37/51/765373751.db2.gz GQBORMCQQJVDFH-UHFFFAOYSA-N 1 2 322.412 1.157 20 30 DDEDLO CC[N@@H+]1CCN([C@@H]2CCCN(C(=O)C#CC(C)(C)C)C2)C(=O)C1 ZINC001131711349 768289026 /nfs/dbraw/zinc/28/90/26/768289026.db2.gz DSUFQUXKJGDAOL-OAHLLOKOSA-N 1 2 319.449 1.191 20 30 DDEDLO CC[N@H+]1CCN([C@@H]2CCCN(C(=O)C#CC(C)(C)C)C2)C(=O)C1 ZINC001131711349 768289029 /nfs/dbraw/zinc/28/90/29/768289029.db2.gz DSUFQUXKJGDAOL-OAHLLOKOSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)c3sccc3F)C2)CC1 ZINC001052020465 765392573 /nfs/dbraw/zinc/39/25/73/765392573.db2.gz HIMMZEZIQBYCHR-CYBMUJFWSA-N 1 2 321.421 1.353 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3c(C)coc3C)C2)CC1 ZINC001052021312 765393978 /nfs/dbraw/zinc/39/39/78/765393978.db2.gz BXYWDRSYABRYMP-INIZCTEOSA-N 1 2 317.433 1.915 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C(C)=C3CCC3)C2)CC1 ZINC001052025314 765401566 /nfs/dbraw/zinc/40/15/66/765401566.db2.gz BHQRURBBKVSHCC-KRWDZBQOSA-N 1 2 301.434 1.339 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)N(C3CCCC3)C2)C1 ZINC001044282936 765448102 /nfs/dbraw/zinc/44/81/02/765448102.db2.gz RVHAECSYUNPICJ-ZDUSSCGKSA-N 1 2 305.422 1.106 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3CC34CCC4)C2)CC1 ZINC001052091807 765462820 /nfs/dbraw/zinc/46/28/20/765462820.db2.gz MJUIPZBTSFMFHT-IAGOWNOFSA-N 1 2 317.477 1.971 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc(OC)c(Cl)c2)C1 ZINC001044325800 765483273 /nfs/dbraw/zinc/48/32/73/765483273.db2.gz IYEBNKHLOBHTMR-UHFFFAOYSA-N 1 2 307.781 1.523 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CCCN(C(=O)CCC)C2)C1 ZINC001044327923 765484221 /nfs/dbraw/zinc/48/42/21/765484221.db2.gz KCTUJJBJYBMGKS-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[NH+](CCOCC2CC2)CC1 ZINC001113421852 765677327 /nfs/dbraw/zinc/67/73/27/765677327.db2.gz DMHNWWIBLGMCJU-IRXDYDNUSA-N 1 2 322.449 1.538 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCN(C(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001057771517 765900790 /nfs/dbraw/zinc/90/07/90/765900790.db2.gz HOLLMLLZMVZJMB-MRXNPFEDSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCCN(C(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001057771517 765900795 /nfs/dbraw/zinc/90/07/95/765900795.db2.gz HOLLMLLZMVZJMB-MRXNPFEDSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1cnccc1N[C@H]1CCCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001057771304 765901282 /nfs/dbraw/zinc/90/12/82/765901282.db2.gz AOBZDVGKVPFRSI-HNNXBMFYSA-N 1 2 324.388 1.134 20 30 DDEDLO N#Cc1cnccc1N[C@H]1CCCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001057771304 765901284 /nfs/dbraw/zinc/90/12/84/765901284.db2.gz AOBZDVGKVPFRSI-HNNXBMFYSA-N 1 2 324.388 1.134 20 30 DDEDLO C#CCOCCC(=O)NC[C@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113723362 766063832 /nfs/dbraw/zinc/06/38/32/766063832.db2.gz NDPCBIVECGCVLN-LBPRGKRZSA-N 1 2 318.421 1.383 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CNC(=O)CC2CCCCC2)CC1 ZINC001113735390 766079818 /nfs/dbraw/zinc/07/98/18/766079818.db2.gz OWTHSTYRCWWCHE-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO Cc1nc(N2CCC(N(C)C(=O)c3ccc(C#N)[nH]3)CC2)cc[nH+]1 ZINC001058047313 766197481 /nfs/dbraw/zinc/19/74/81/766197481.db2.gz OSHQFYNWDWLCKT-UHFFFAOYSA-N 1 2 324.388 1.726 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccoc2)CC[C@@H]1C ZINC001131788320 768357499 /nfs/dbraw/zinc/35/74/99/768357499.db2.gz RKYXKSRBZKFXOG-GXTWGEPZSA-N 1 2 305.378 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccoc2)CC[C@@H]1C ZINC001131788320 768357505 /nfs/dbraw/zinc/35/75/05/768357505.db2.gz RKYXKSRBZKFXOG-GXTWGEPZSA-N 1 2 305.378 1.165 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(C)(NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])CC1 ZINC001045435779 766304876 /nfs/dbraw/zinc/30/48/76/766304876.db2.gz ATPQMWRIKHHBFN-GHMZBOCLSA-N 1 2 301.774 1.375 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1nccn1C ZINC001045734270 766544318 /nfs/dbraw/zinc/54/43/18/766544318.db2.gz AQNRKNUQAONCKB-MJBXVCDLSA-N 1 2 301.394 1.145 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1nccn1C ZINC001045734270 766544320 /nfs/dbraw/zinc/54/43/20/766544320.db2.gz AQNRKNUQAONCKB-MJBXVCDLSA-N 1 2 301.394 1.145 20 30 DDEDLO CN(C[C@@H]1CCCN1C(=O)Cn1cc[nH+]c1)c1ccc(C#N)nc1 ZINC001067765754 766689946 /nfs/dbraw/zinc/68/99/46/766689946.db2.gz WJLMBPANYGKHNY-INIZCTEOSA-N 1 2 324.388 1.277 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114159304 766711563 /nfs/dbraw/zinc/71/15/63/766711563.db2.gz CJYXSPJVEQELAV-HWWDLCQESA-N 1 2 312.417 1.525 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114159304 766711568 /nfs/dbraw/zinc/71/15/68/766711568.db2.gz CJYXSPJVEQELAV-HWWDLCQESA-N 1 2 312.417 1.525 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2C[C@H](CNc3cc[nH+]c(C)n3)C2)nc1 ZINC001068506465 767383219 /nfs/dbraw/zinc/38/32/19/767383219.db2.gz OOKDFRBPYJHLKM-SHTZXODSSA-N 1 2 321.384 1.782 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[NH2+]Cc2cnsn2)cc1 ZINC001130371202 767472060 /nfs/dbraw/zinc/47/20/60/767472060.db2.gz HEHLDKYWLPBYDL-UHFFFAOYSA-N 1 2 318.402 1.623 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[NH2+]Cc1nncs1 ZINC001130374408 767473247 /nfs/dbraw/zinc/47/32/47/767473247.db2.gz QEPOBJDDCLGDFI-UHFFFAOYSA-N 1 2 318.402 1.623 20 30 DDEDLO Cc1nc(N(C)C2CCN(C(=O)c3c[nH]c(C#N)c3)CC2)cc[nH+]1 ZINC001068655721 767492260 /nfs/dbraw/zinc/49/22/60/767492260.db2.gz VKWFVUVMZAMMNP-UHFFFAOYSA-N 1 2 324.388 1.726 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)[C@@H]2C)cc[nH+]1 ZINC001068813246 767633273 /nfs/dbraw/zinc/63/32/73/767633273.db2.gz OYURXYPFFUPIKM-MFKMUULPSA-N 1 2 310.361 1.700 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cnn3cccnc23)C1 ZINC001046533147 767664347 /nfs/dbraw/zinc/66/43/47/767664347.db2.gz HIHCEYXVCYJLDV-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cnn3cccnc23)C1 ZINC001046533147 767664352 /nfs/dbraw/zinc/66/43/52/767664352.db2.gz HIHCEYXVCYJLDV-HNNXBMFYSA-N 1 2 319.796 1.676 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068888319 767684401 /nfs/dbraw/zinc/68/44/01/767684401.db2.gz YMQAWUFKJMANAR-YPMHNXCESA-N 1 2 310.361 1.320 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](C)N(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001069048200 767797998 /nfs/dbraw/zinc/79/79/98/767797998.db2.gz AWYHBICUGBDMMS-HZMBPMFUSA-N 1 2 310.361 1.700 20 30 DDEDLO C[C@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)CCc1[nH]cc[nH+]1 ZINC001069079762 767830569 /nfs/dbraw/zinc/83/05/69/767830569.db2.gz QJVQPHCATZFOIQ-JSGCOSHPSA-N 1 2 324.388 1.710 20 30 DDEDLO CN(C(=O)c1ccco1)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047284928 768372444 /nfs/dbraw/zinc/37/24/44/768372444.db2.gz LUWCZIXRMMCBAR-IRXDYDNUSA-N 1 2 324.380 1.448 20 30 DDEDLO CN(C(=O)c1ccco1)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047284928 768372452 /nfs/dbraw/zinc/37/24/52/768372452.db2.gz LUWCZIXRMMCBAR-IRXDYDNUSA-N 1 2 324.380 1.448 20 30 DDEDLO CCCCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001131824352 768391199 /nfs/dbraw/zinc/39/11/99/768391199.db2.gz AMAZHXUTFQVKRQ-ZIAGYGMSSA-N 1 2 308.426 1.176 20 30 DDEDLO CCCCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001131824352 768391206 /nfs/dbraw/zinc/39/12/06/768391206.db2.gz AMAZHXUTFQVKRQ-ZIAGYGMSSA-N 1 2 308.426 1.176 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCC[NH2+][C@@H](C)c1ncc(C)o1 ZINC001131867091 768443230 /nfs/dbraw/zinc/44/32/30/768443230.db2.gz ISTCPTUFQHNFCR-BBRMVZONSA-N 1 2 309.410 1.979 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cnnn2CC)C1 ZINC001131900580 768465556 /nfs/dbraw/zinc/46/55/56/768465556.db2.gz ZZICEMDUJGGGOU-KGLIPLIRSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2CC)C1 ZINC001131900580 768465560 /nfs/dbraw/zinc/46/55/60/768465560.db2.gz ZZICEMDUJGGGOU-KGLIPLIRSA-N 1 2 305.426 1.733 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(F)cccc2Cl)C1 ZINC001047607570 768607065 /nfs/dbraw/zinc/60/70/65/768607065.db2.gz NWMPZYZXPITZSH-KBPBESRZSA-N 1 2 324.783 1.620 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2c(F)cccc2Cl)C1 ZINC001047607570 768607072 /nfs/dbraw/zinc/60/70/72/768607072.db2.gz NWMPZYZXPITZSH-KBPBESRZSA-N 1 2 324.783 1.620 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(CCC)nc2C)C1 ZINC001047626147 768621363 /nfs/dbraw/zinc/62/13/63/768621363.db2.gz UKHWJCOFENEYIH-STQMWFEESA-N 1 2 321.446 1.154 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(CCC)nc2C)C1 ZINC001047626147 768621364 /nfs/dbraw/zinc/62/13/64/768621364.db2.gz UKHWJCOFENEYIH-STQMWFEESA-N 1 2 321.446 1.154 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)C(C)(C)C2CC2)C[C@H]1NCC#N ZINC001070679493 768665858 /nfs/dbraw/zinc/66/58/58/768665858.db2.gz ANDINPROIUGQFI-ZIAGYGMSSA-N 1 2 315.421 1.264 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)[C@H]2CC23CCC3)C[C@H]1NCC#N ZINC001070696413 768677248 /nfs/dbraw/zinc/67/72/48/768677248.db2.gz RVCYODDHOGNGPL-MGPQQGTHSA-N 1 2 313.405 1.018 20 30 DDEDLO CCC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132252602 768706920 /nfs/dbraw/zinc/70/69/20/768706920.db2.gz HPNPCRAOLVZSIF-QWHCGFSZSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132252602 768706921 /nfs/dbraw/zinc/70/69/21/768706921.db2.gz HPNPCRAOLVZSIF-QWHCGFSZSA-N 1 2 308.426 1.031 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C(C)(C)C2CC2)CC[C@@H]1C ZINC001132237324 768709083 /nfs/dbraw/zinc/70/90/83/768709083.db2.gz HJBSPLSULFUKHY-DZGCQCFKSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C(C)(C)C2CC2)CC[C@@H]1C ZINC001132237324 768709086 /nfs/dbraw/zinc/70/90/86/768709086.db2.gz HJBSPLSULFUKHY-DZGCQCFKSA-N 1 2 319.449 1.141 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[NH2+]Cc1nc([C@H](C)OCC)no1 ZINC001132304038 768747436 /nfs/dbraw/zinc/74/74/36/768747436.db2.gz DSJAJYKAXXYSIQ-LBPRGKRZSA-N 1 2 324.425 1.975 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)c2cc[nH]c2C)CC1 ZINC001070954025 768810171 /nfs/dbraw/zinc/81/01/71/768810171.db2.gz JJIFVIRTGRXQKO-UHFFFAOYSA-N 1 2 318.421 1.163 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)c2cc[nH]c2C)CC1 ZINC001070954025 768810178 /nfs/dbraw/zinc/81/01/78/768810178.db2.gz JJIFVIRTGRXQKO-UHFFFAOYSA-N 1 2 318.421 1.163 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C2CCC2)CC1 ZINC001070982713 768834141 /nfs/dbraw/zinc/83/41/41/768834141.db2.gz IWIDGWGQCIYKEN-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C2CCC2)CC1 ZINC001070982713 768834152 /nfs/dbraw/zinc/83/41/52/768834152.db2.gz IWIDGWGQCIYKEN-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCC[NH2+]Cc1nc(CC(C)C)no1 ZINC001132568725 768990841 /nfs/dbraw/zinc/99/08/41/768990841.db2.gz CSNIKFZAFYALKR-STQMWFEESA-N 1 2 308.426 1.932 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071177124 769103762 /nfs/dbraw/zinc/10/37/62/769103762.db2.gz OLKMHUSOAAOISY-CYBMUJFWSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)CC[C@H]1C ZINC001071588749 769671486 /nfs/dbraw/zinc/67/14/86/769671486.db2.gz KCQIYWRGXCREHE-MWLCHTKSSA-N 1 2 312.801 1.759 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)CC[C@H]1C ZINC001071588749 769671489 /nfs/dbraw/zinc/67/14/89/769671489.db2.gz KCQIYWRGXCREHE-MWLCHTKSSA-N 1 2 312.801 1.759 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2cnon2)C[N@@H+]1CC#Cc1ccccc1 ZINC001071914317 770277524 /nfs/dbraw/zinc/27/75/24/770277524.db2.gz NRMDQGVUSGREBW-HOCLYGCPSA-N 1 2 324.384 1.704 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2cnon2)C[N@H+]1CC#Cc1ccccc1 ZINC001071914317 770277528 /nfs/dbraw/zinc/27/75/28/770277528.db2.gz NRMDQGVUSGREBW-HOCLYGCPSA-N 1 2 324.384 1.704 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2nonc2C)CC[C@@H]1C ZINC001071950231 770331205 /nfs/dbraw/zinc/33/12/05/770331205.db2.gz GQLDTZBLTDLUIJ-CMPLNLGQSA-N 1 2 312.801 1.642 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2nonc2C)CC[C@@H]1C ZINC001071950231 770331215 /nfs/dbraw/zinc/33/12/15/770331215.db2.gz GQLDTZBLTDLUIJ-CMPLNLGQSA-N 1 2 312.801 1.642 20 30 DDEDLO C=CC(C)(C)CC(=O)N1C[C@@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072195041 770648886 /nfs/dbraw/zinc/64/88/86/770648886.db2.gz HGIWTDVROIPLGZ-ZIAGYGMSSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001072226130 770681126 /nfs/dbraw/zinc/68/11/26/770681126.db2.gz LIGRVVSEEMYNGL-KGLIPLIRSA-N 1 2 304.394 1.203 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@H]1CC[N@@H+]2Cc1nccn1C ZINC001049380418 770758957 /nfs/dbraw/zinc/75/89/57/770758957.db2.gz FAEMBLVPQUAECP-HZSPNIEDSA-N 1 2 301.394 1.145 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1nccn1C ZINC001049380418 770758965 /nfs/dbraw/zinc/75/89/65/770758965.db2.gz FAEMBLVPQUAECP-HZSPNIEDSA-N 1 2 301.394 1.145 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC2CC2)co1 ZINC001049467975 770893485 /nfs/dbraw/zinc/89/34/85/770893485.db2.gz RMJGNXNXEXTPKX-IAGOWNOFSA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC2CC2)co1 ZINC001049467975 770893495 /nfs/dbraw/zinc/89/34/95/770893495.db2.gz RMJGNXNXEXTPKX-IAGOWNOFSA-N 1 2 313.401 1.962 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1cnns1 ZINC001049468954 770895719 /nfs/dbraw/zinc/89/57/19/770895719.db2.gz YZIXDIYCBXWAIS-GJZGRUSLSA-N 1 2 316.430 1.517 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1cnns1 ZINC001049468954 770895732 /nfs/dbraw/zinc/89/57/32/770895732.db2.gz YZIXDIYCBXWAIS-GJZGRUSLSA-N 1 2 316.430 1.517 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001072481590 770956054 /nfs/dbraw/zinc/95/60/54/770956054.db2.gz SOUTZHWHMOXTDB-WFASDCNBSA-N 1 2 316.405 1.027 20 30 DDEDLO N#Cc1ccc(N[C@H](CNC(=O)CCc2[nH]cc[nH+]2)C2CC2)nc1 ZINC001096647061 771370072 /nfs/dbraw/zinc/37/00/72/771370072.db2.gz YWCANYJGUPSIIP-CQSZACIVSA-N 1 2 324.388 1.616 20 30 DDEDLO COC(=O)[C@@H](Cc1ccc(C#N)cc1)[NH2+][C@@H]1CCCOCC1 ZINC001170802969 771393609 /nfs/dbraw/zinc/39/36/09/771393609.db2.gz GHJKYYAEGLDSIR-HZPDHXFCSA-N 1 2 302.374 1.801 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1COC(=O)C1)C2 ZINC001096955933 771550387 /nfs/dbraw/zinc/55/03/87/771550387.db2.gz VGZOTAYFBJSYIA-LOWDOPEQSA-N 1 2 312.797 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1COC(=O)C1)C2 ZINC001096955933 771550393 /nfs/dbraw/zinc/55/03/93/771550393.db2.gz VGZOTAYFBJSYIA-LOWDOPEQSA-N 1 2 312.797 1.414 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncc[nH]1)C2 ZINC001097048346 771596527 /nfs/dbraw/zinc/59/65/27/771596527.db2.gz CWGPENPOFNHZEK-OAGGEKHMSA-N 1 2 321.384 1.817 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncc[nH]1)C2 ZINC001097048346 771596533 /nfs/dbraw/zinc/59/65/33/771596533.db2.gz CWGPENPOFNHZEK-OAGGEKHMSA-N 1 2 321.384 1.817 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C)CC(F)(F)C2)[C@@H](O)C1 ZINC001090715821 772136162 /nfs/dbraw/zinc/13/61/62/772136162.db2.gz KIOVFWBCZJYZGC-MNOVXSKESA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C)CC(F)(F)C2)[C@@H](O)C1 ZINC001090715821 772136165 /nfs/dbraw/zinc/13/61/65/772136165.db2.gz KIOVFWBCZJYZGC-MNOVXSKESA-N 1 2 322.783 1.726 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001090733174 772145936 /nfs/dbraw/zinc/14/59/36/772145936.db2.gz IQPWKGJCWQTWKL-TZMCWYRMSA-N 1 2 304.394 1.319 20 30 DDEDLO O=C(c1cccc(C#CCO)c1)N1CC(Oc2cc[nH+]cc2)C1 ZINC001144099409 772412134 /nfs/dbraw/zinc/41/21/34/772412134.db2.gz WCTDHJFKXGGLJA-UHFFFAOYSA-N 1 2 308.337 1.329 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccns2)CCCO1 ZINC001149414197 772804460 /nfs/dbraw/zinc/80/44/60/772804460.db2.gz CEYQCGAXFYLZAM-NWDGAFQWSA-N 1 2 308.407 1.010 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccns2)CCCO1 ZINC001149414197 772804463 /nfs/dbraw/zinc/80/44/63/772804463.db2.gz CEYQCGAXFYLZAM-NWDGAFQWSA-N 1 2 308.407 1.010 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nnc(CC)[nH]1)C2 ZINC001147187690 773062352 /nfs/dbraw/zinc/06/23/52/773062352.db2.gz NISXZAWKKKURHU-CYBMUJFWSA-N 1 2 317.437 1.929 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nnc(CC)[nH]1)C2 ZINC001147187690 773062357 /nfs/dbraw/zinc/06/23/57/773062357.db2.gz NISXZAWKKKURHU-CYBMUJFWSA-N 1 2 317.437 1.929 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[NH2+]Cc1nncs1 ZINC001147290717 773102870 /nfs/dbraw/zinc/10/28/70/773102870.db2.gz QFCBEDNDQOWTLV-UHFFFAOYSA-N 1 2 319.390 1.018 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(CC)n1)C2 ZINC001147427947 773130995 /nfs/dbraw/zinc/13/09/95/773130995.db2.gz DUOOUKJOXULZHV-UHFFFAOYSA-N 1 2 315.421 1.136 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1cnn(CC)n1)C2 ZINC001147427947 773130999 /nfs/dbraw/zinc/13/09/99/773130999.db2.gz DUOOUKJOXULZHV-UHFFFAOYSA-N 1 2 315.421 1.136 20 30 DDEDLO Cc1noc(C[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)n1 ZINC001147498784 773153274 /nfs/dbraw/zinc/15/32/74/773153274.db2.gz JNKKWDFREVKEFF-UHFFFAOYSA-N 1 2 302.378 1.072 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)n1 ZINC001147498784 773153279 /nfs/dbraw/zinc/15/32/79/773153279.db2.gz JNKKWDFREVKEFF-UHFFFAOYSA-N 1 2 302.378 1.072 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2n[nH]cc2F)C1 ZINC001073758845 773383696 /nfs/dbraw/zinc/38/36/96/773383696.db2.gz REHRHSIFWYMMCL-JTQLQIEISA-N 1 2 316.764 1.122 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2n[nH]cc2F)C1 ZINC001073758845 773383701 /nfs/dbraw/zinc/38/37/01/773383701.db2.gz REHRHSIFWYMMCL-JTQLQIEISA-N 1 2 316.764 1.122 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nc(C(C)C)no2)nc1 ZINC001148370025 773458005 /nfs/dbraw/zinc/45/80/05/773458005.db2.gz FJYZJMLUAGERBX-UHFFFAOYSA-N 1 2 313.361 1.089 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)[C@H](C)C1 ZINC001074036781 773599049 /nfs/dbraw/zinc/59/90/49/773599049.db2.gz QVUIHDOZRKNDKS-ZIAGYGMSSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nccn1C)C2 ZINC001148874370 773610713 /nfs/dbraw/zinc/61/07/13/773610713.db2.gz SQQXUGNUWWWIJA-AWEZNQCLSA-N 1 2 318.421 1.046 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1C)C2 ZINC001148874370 773610718 /nfs/dbraw/zinc/61/07/18/773610718.db2.gz SQQXUGNUWWWIJA-AWEZNQCLSA-N 1 2 318.421 1.046 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cscn1)C2 ZINC001148900084 773630489 /nfs/dbraw/zinc/63/04/89/773630489.db2.gz QTPSAEKMEFBXSA-CYBMUJFWSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cscn1)C2 ZINC001148900084 773630490 /nfs/dbraw/zinc/63/04/90/773630490.db2.gz QTPSAEKMEFBXSA-CYBMUJFWSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3c(C)noc3C)C[C@H]21 ZINC001074163129 773686208 /nfs/dbraw/zinc/68/62/08/773686208.db2.gz KWXUMBCMEJRRTK-CABCVRRESA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3c(C)noc3C)C[C@H]21 ZINC001074163129 773686213 /nfs/dbraw/zinc/68/62/13/773686213.db2.gz KWXUMBCMEJRRTK-CABCVRRESA-N 1 2 319.405 1.783 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)n3C)C[C@H]21 ZINC001074163722 773686946 /nfs/dbraw/zinc/68/69/46/773686946.db2.gz UWPJHZMQMRXACO-IAGOWNOFSA-N 1 2 317.433 1.825 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(C)n3C)C[C@H]21 ZINC001074163722 773686953 /nfs/dbraw/zinc/68/69/53/773686953.db2.gz UWPJHZMQMRXACO-IAGOWNOFSA-N 1 2 317.433 1.825 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001074172112 773693709 /nfs/dbraw/zinc/69/37/09/773693709.db2.gz NHNPIRSOZMCZII-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3coc(CC)n3)C[C@H]21 ZINC001074219485 773742107 /nfs/dbraw/zinc/74/21/07/773742107.db2.gz QGOZAGBVKFDERP-HUUCEWRRSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3coc(CC)n3)C[C@H]21 ZINC001074219485 773742112 /nfs/dbraw/zinc/74/21/12/773742112.db2.gz QGOZAGBVKFDERP-HUUCEWRRSA-N 1 2 319.405 1.728 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC2(C[C@@H]1C)CCN(CC#N)CC2 ZINC001086954810 773743362 /nfs/dbraw/zinc/74/33/62/773743362.db2.gz UQPOMOUCAKEYRU-ZDUSSCGKSA-N 1 2 315.421 1.487 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@H]3OCC[N@@H+](CCCF)[C@@H]3C2)[nH]1 ZINC001074219777 773743692 /nfs/dbraw/zinc/74/36/92/773743692.db2.gz WAJWAFVHCWYOTE-HUUCEWRRSA-N 1 2 320.368 1.161 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@H]3OCC[N@H+](CCCF)[C@@H]3C2)[nH]1 ZINC001074219777 773743696 /nfs/dbraw/zinc/74/36/96/773743696.db2.gz WAJWAFVHCWYOTE-HUUCEWRRSA-N 1 2 320.368 1.161 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]ccc3C)C[C@H]21 ZINC001074228997 773753514 /nfs/dbraw/zinc/75/35/14/773753514.db2.gz ICRQEWPCIPBQQT-HUUCEWRRSA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]ccc3C)C[C@H]21 ZINC001074228997 773753516 /nfs/dbraw/zinc/75/35/16/773753516.db2.gz ICRQEWPCIPBQQT-HUUCEWRRSA-N 1 2 301.390 1.262 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCc3ncc[nH]3)C[C@@H]21 ZINC001074229920 773754682 /nfs/dbraw/zinc/75/46/82/773754682.db2.gz PNONRCSRLAOEQE-GJZGRUSLSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCc3ncc[nH]3)C[C@@H]21 ZINC001074229920 773754687 /nfs/dbraw/zinc/75/46/87/773754687.db2.gz PNONRCSRLAOEQE-GJZGRUSLSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)CN1CCO[C@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C[C@@H]21 ZINC001074229920 773754692 /nfs/dbraw/zinc/75/46/92/773754692.db2.gz PNONRCSRLAOEQE-GJZGRUSLSA-N 1 2 318.421 1.220 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CC(C)(C)C3)C[C@H]21 ZINC001074233289 773756970 /nfs/dbraw/zinc/75/69/70/773756970.db2.gz NRTRDCDVUFKAEQ-HZPDHXFCSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CC(C)(C)C3)C[C@H]21 ZINC001074233289 773756972 /nfs/dbraw/zinc/75/69/72/773756972.db2.gz NRTRDCDVUFKAEQ-HZPDHXFCSA-N 1 2 304.434 1.748 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cnc(C)o3)C[C@H]21 ZINC001074266180 773778410 /nfs/dbraw/zinc/77/84/10/773778410.db2.gz GHYLJBBSKPJMRQ-CVEARBPZSA-N 1 2 319.405 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cnc(C)o3)C[C@H]21 ZINC001074266180 773778414 /nfs/dbraw/zinc/77/84/14/773778414.db2.gz GHYLJBBSKPJMRQ-CVEARBPZSA-N 1 2 319.405 1.403 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]3OCC[N@@H+](CCO)[C@H]3C2)CC1 ZINC001074327267 773826233 /nfs/dbraw/zinc/82/62/33/773826233.db2.gz QVIYAQIGJAPZGB-JKSUJKDBSA-N 1 2 308.422 1.027 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]3OCC[N@H+](CCO)[C@H]3C2)CC1 ZINC001074327267 773826235 /nfs/dbraw/zinc/82/62/35/773826235.db2.gz QVIYAQIGJAPZGB-JKSUJKDBSA-N 1 2 308.422 1.027 20 30 DDEDLO C=C(Cl)CN1CCO[C@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C[C@@H]21 ZINC001074371945 773864773 /nfs/dbraw/zinc/86/47/73/773864773.db2.gz OQLALFQHDMQRSA-STQMWFEESA-N 1 2 324.812 1.006 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]cnc3C)C[C@H]21 ZINC001074419652 773912379 /nfs/dbraw/zinc/91/23/79/773912379.db2.gz PAJRQNUBVKAGKS-ZIAGYGMSSA-N 1 2 304.394 1.210 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3[nH]cnc3C)C[C@H]21 ZINC001074419652 773912383 /nfs/dbraw/zinc/91/23/83/773912383.db2.gz PAJRQNUBVKAGKS-ZIAGYGMSSA-N 1 2 304.394 1.210 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092173837 773981793 /nfs/dbraw/zinc/98/17/93/773981793.db2.gz PHGWVBMOONQMOB-KGLIPLIRSA-N 1 2 304.394 1.197 20 30 DDEDLO C=CCCC(=O)NCC1CC(Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001092196845 773999832 /nfs/dbraw/zinc/99/98/32/773999832.db2.gz LYVHMYDKJSXHEN-UHFFFAOYSA-N 1 2 318.425 1.519 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)C1CC1)c1nccn12 ZINC001092359040 774073899 /nfs/dbraw/zinc/07/38/99/774073899.db2.gz LMZNHQRLSRTONG-ZFWWWQNUSA-N 1 2 312.417 1.524 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cccnc1)c1nccn12 ZINC001092337325 774087623 /nfs/dbraw/zinc/08/76/23/774087623.db2.gz VXLUSZNNGVQDQH-AWEZNQCLSA-N 1 2 309.373 1.350 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C1(F)CCCC1)c1nccn12 ZINC001092378089 774093781 /nfs/dbraw/zinc/09/37/81/774093781.db2.gz ITNOYRAMNRORNE-ZDUSSCGKSA-N 1 2 318.396 1.923 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cocc1C)c1nccn12 ZINC001092389383 774098608 /nfs/dbraw/zinc/09/86/08/774098608.db2.gz RWUSBHGOTFGESH-OAHLLOKOSA-N 1 2 324.384 1.694 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cnnc(C)c1)c1nccn12 ZINC001092367157 774105790 /nfs/dbraw/zinc/10/57/90/774105790.db2.gz KAMGUOSGBKTSPF-CQSZACIVSA-N 1 2 324.388 1.053 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001074974675 774278085 /nfs/dbraw/zinc/27/80/85/774278085.db2.gz HHZUDODKLXWTQS-LSDHHAIUSA-N 1 2 316.405 1.038 20 30 DDEDLO C[C@@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1ncccc1C#N ZINC001098303138 774292316 /nfs/dbraw/zinc/29/23/16/774292316.db2.gz PVIPSJLBPKYRSG-STQMWFEESA-N 1 2 312.377 1.718 20 30 DDEDLO C[C@H](CC(=O)NC[C@H](C)Nc1nccnc1C#N)n1cc[nH+]c1 ZINC001098303343 774336426 /nfs/dbraw/zinc/33/64/26/774336426.db2.gz RBSZDLYROWIJPR-NWDGAFQWSA-N 1 2 313.365 1.113 20 30 DDEDLO C[C@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)Nc1nccnc1C#N ZINC001098380227 774556265 /nfs/dbraw/zinc/55/62/65/774556265.db2.gz SGVNQQOETBDQSM-SNVBAGLBSA-N 1 2 321.344 1.455 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CCC3(F)F)CC2)C1 ZINC001093545771 774820832 /nfs/dbraw/zinc/82/08/32/774820832.db2.gz QOBRWKDIYKGKSC-CQSZACIVSA-N 1 2 314.376 1.910 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3CCO[C@H]3CC)CC2)C1 ZINC001093556315 774880850 /nfs/dbraw/zinc/88/08/50/774880850.db2.gz UOAMLNVGOCVGHI-SJORKVTESA-N 1 2 322.449 1.679 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001099659355 775107512 /nfs/dbraw/zinc/10/75/12/775107512.db2.gz AYOXGXBZFAGATF-LBPRGKRZSA-N 1 2 320.441 1.909 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(F)cc(F)c2)C[C@H]1O ZINC001099726770 775191174 /nfs/dbraw/zinc/19/11/74/775191174.db2.gz JRUSKOSVZIISTM-HZPDHXFCSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(F)cc(F)c2)C[C@H]1O ZINC001099726770 775191176 /nfs/dbraw/zinc/19/11/76/775191176.db2.gz JRUSKOSVZIISTM-HZPDHXFCSA-N 1 2 324.371 1.982 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099804072 775301699 /nfs/dbraw/zinc/30/16/99/775301699.db2.gz HSFGSRYGWSIPRJ-GDBMZVCRSA-N 1 2 319.405 1.146 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099804072 775301711 /nfs/dbraw/zinc/30/17/11/775301711.db2.gz HSFGSRYGWSIPRJ-GDBMZVCRSA-N 1 2 319.405 1.146 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099804965 775303380 /nfs/dbraw/zinc/30/33/80/775303380.db2.gz VEIRIMSKXBFSEA-NWDGAFQWSA-N 1 2 319.232 1.660 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099804965 775303388 /nfs/dbraw/zinc/30/33/88/775303388.db2.gz VEIRIMSKXBFSEA-NWDGAFQWSA-N 1 2 319.232 1.660 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094050187 775374131 /nfs/dbraw/zinc/37/41/31/775374131.db2.gz DARLUZQEGOPFIQ-GFCCVEGCSA-N 1 2 306.414 1.376 20 30 DDEDLO N#Cc1ccc(NCCNC(=O)[C@H]2CCCc3[nH+]c[nH]c32)cn1 ZINC001094111939 775438496 /nfs/dbraw/zinc/43/84/96/775438496.db2.gz CDWIOCVKKWBDDR-ZDUSSCGKSA-N 1 2 310.361 1.325 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cnc(C)s3)nn2)C1 ZINC001094279682 775633464 /nfs/dbraw/zinc/63/34/64/775633464.db2.gz QZHBCTGWEHXWHI-UHFFFAOYSA-N 1 2 318.406 1.016 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3C(C)(C)C3(C)C)nn2)C1 ZINC001094283783 775646031 /nfs/dbraw/zinc/64/60/31/775646031.db2.gz IEROACRHBYJKIQ-UHFFFAOYSA-N 1 2 317.437 1.619 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)c3ccc(C)cc3)nn2)C1 ZINC001094335407 775720998 /nfs/dbraw/zinc/72/09/98/775720998.db2.gz FHNFERLYEHRSBM-UHFFFAOYSA-N 1 2 323.400 1.397 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H]3[C@@H]4CCCC[C@@H]43)CC2=O)C1 ZINC001094717369 776184326 /nfs/dbraw/zinc/18/43/26/776184326.db2.gz IPJDJGHTCOOHMM-UTXMOHQDSA-N 1 2 317.433 1.010 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCCCNc1ncccc1C#N ZINC001094792155 776282410 /nfs/dbraw/zinc/28/24/10/776282410.db2.gz JECDFDZIBBHAHY-ZIAGYGMSSA-N 1 2 324.388 1.409 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(C3CC3)C3CC3)CC2=O)C1 ZINC001094804559 776303040 /nfs/dbraw/zinc/30/30/40/776303040.db2.gz WSAXBMUAEBYNLQ-CQSZACIVSA-N 1 2 317.433 1.010 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCCN(CC)c1cc[nH+]c(C)n1 ZINC001100666251 776418624 /nfs/dbraw/zinc/41/86/24/776418624.db2.gz MIHWRPPQBUNLJI-KRWDZBQOSA-N 1 2 320.437 1.956 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H]1CCCN1c1ccc(C#N)nc1 ZINC001100849401 776629911 /nfs/dbraw/zinc/62/99/11/776629911.db2.gz PKAYEIYUCWJIKK-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO Cc1nc(NCCN(C)C(=O)C#CC2CC2)c2c([nH+]1)CCCC2 ZINC001101595055 777286350 /nfs/dbraw/zinc/28/63/50/777286350.db2.gz CZMRRGMMEKCOAI-UHFFFAOYSA-N 1 2 312.417 1.948 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)C(C)(F)F)c1nccn12 ZINC001101645148 777341918 /nfs/dbraw/zinc/34/19/18/777341918.db2.gz YKHMOGKNHYLMSN-NWDGAFQWSA-N 1 2 324.375 1.932 20 30 DDEDLO C[N@@H+]1CCCn2nc(C(=O)N(CC#N)Cc3ccccc3)cc2C1 ZINC001175077472 777685308 /nfs/dbraw/zinc/68/53/08/777685308.db2.gz PAEBSNMJDLTWEQ-UHFFFAOYSA-N 1 2 323.400 1.885 20 30 DDEDLO C[N@H+]1CCCn2nc(C(=O)N(CC#N)Cc3ccccc3)cc2C1 ZINC001175077472 777685314 /nfs/dbraw/zinc/68/53/14/777685314.db2.gz PAEBSNMJDLTWEQ-UHFFFAOYSA-N 1 2 323.400 1.885 20 30 DDEDLO C[C@H](CCCCNCC#N)NC(=O)[C@H](c1cccnc1)[NH+](C)C ZINC001176775682 778234575 /nfs/dbraw/zinc/23/45/75/778234575.db2.gz PVDZHEAURVSUGH-ZBFHGGJFSA-N 1 2 317.437 1.472 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCOCC(C)C)C[C@@H]21 ZINC001176929875 778317647 /nfs/dbraw/zinc/31/76/47/778317647.db2.gz NQHSITODTJNLHY-IRXDYDNUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCOCC(C)C)C[C@@H]21 ZINC001176929875 778317652 /nfs/dbraw/zinc/31/76/52/778317652.db2.gz NQHSITODTJNLHY-IRXDYDNUSA-N 1 2 324.465 1.927 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@H+](CCn3cccn3)[C@H]2C1 ZINC001176955218 778347376 /nfs/dbraw/zinc/34/73/76/778347376.db2.gz PIALRIQDJOSBQL-JKSUJKDBSA-N 1 2 318.421 1.151 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@@H+](CCn3cccn3)[C@H]2C1 ZINC001176955218 778347382 /nfs/dbraw/zinc/34/73/82/778347382.db2.gz PIALRIQDJOSBQL-JKSUJKDBSA-N 1 2 318.421 1.151 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)COCCCC)C[C@@H]21 ZINC001176978140 778362887 /nfs/dbraw/zinc/36/28/87/778362887.db2.gz RCQUPVHMSVFPOA-JKSUJKDBSA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)COCCCC)C[C@@H]21 ZINC001176978140 778362892 /nfs/dbraw/zinc/36/28/92/778362892.db2.gz RCQUPVHMSVFPOA-JKSUJKDBSA-N 1 2 310.438 1.681 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@@H]1C[N@H+](Cc2cnsn2)C[C@H]1C ZINC001102784359 778397779 /nfs/dbraw/zinc/39/77/79/778397779.db2.gz PWUMKSUBXOAWON-IJLUTSLNSA-N 1 2 307.423 1.272 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[C@@H]1C[N@@H+](Cc2cnsn2)C[C@H]1C ZINC001102784359 778397785 /nfs/dbraw/zinc/39/77/85/778397785.db2.gz PWUMKSUBXOAWON-IJLUTSLNSA-N 1 2 307.423 1.272 20 30 DDEDLO C=CCCOCC(=O)N(CC)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102810779 778419892 /nfs/dbraw/zinc/41/98/92/778419892.db2.gz HGAFEAVPQXMJIT-HNNXBMFYSA-N 1 2 318.421 1.805 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102869143 778462633 /nfs/dbraw/zinc/46/26/33/778462633.db2.gz QFNKLZQPGHMHTE-ZFWWWQNUSA-N 1 2 318.421 1.851 20 30 DDEDLO CO/N=C(\C(=O)NCCSCc1[nH]c[nH+]c1C)c1ccco1 ZINC001177353098 778565097 /nfs/dbraw/zinc/56/50/97/778565097.db2.gz FXNOITSDKQNSLX-AQTBWJFISA-N 1 2 322.390 1.711 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001177997799 778806713 /nfs/dbraw/zinc/80/67/13/778806713.db2.gz JHOSMLDNNBGZIX-GFCCVEGCSA-N 1 2 306.366 1.246 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001103451945 778873849 /nfs/dbraw/zinc/87/38/49/778873849.db2.gz DDCHVUGXGDICDC-KBUPBQIOSA-N 1 2 315.421 1.121 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@](C)(CNc1cc[nH+]c(C)n1)C1CC1 ZINC001103547232 778937981 /nfs/dbraw/zinc/93/79/81/778937981.db2.gz GJKGNFXREWQOHU-YVEFUNNKSA-N 1 2 316.405 1.520 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001104177169 779354366 /nfs/dbraw/zinc/35/43/66/779354366.db2.gz NRRHMAGUQSRDIL-NSHDSACASA-N 1 2 320.441 1.622 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnn(C)n1)C2 ZINC001111859275 779522006 /nfs/dbraw/zinc/52/20/06/779522006.db2.gz XZLAOMWIRRDGHP-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnn(C)n1)C2 ZINC001111859275 779522014 /nfs/dbraw/zinc/52/20/14/779522014.db2.gz XZLAOMWIRRDGHP-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)C#CC(C)C)[C@@H](C)C2)cc[nH+]1 ZINC001112409559 779765231 /nfs/dbraw/zinc/76/52/31/779765231.db2.gz MPJCGHNRHVJGIP-ZFWWWQNUSA-N 1 2 300.406 1.775 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)CSCC#N)[C@H](C)C2)cc[nH+]1 ZINC001112409555 779765539 /nfs/dbraw/zinc/76/55/39/779765539.db2.gz MLVQXCXQBFLQNR-YPMHNXCESA-N 1 2 319.434 1.373 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCCOCCN(C)c1cc[nH+]c(C)n1 ZINC001115240852 779971692 /nfs/dbraw/zinc/97/16/92/779971692.db2.gz SLQBOYNJJZLWIR-UHFFFAOYSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC001118078635 781017693 /nfs/dbraw/zinc/01/76/93/781017693.db2.gz AIUCQFPPFUSHLX-HNNXBMFYSA-N 1 2 301.390 1.372 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC001118078635 781017702 /nfs/dbraw/zinc/01/77/02/781017702.db2.gz AIUCQFPPFUSHLX-HNNXBMFYSA-N 1 2 301.390 1.372 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)c1c(C)cccc1Cl ZINC001267215875 837547644 /nfs/dbraw/zinc/54/76/44/837547644.db2.gz PWIVVLPIFBKKCV-UHFFFAOYSA-N 1 2 321.808 1.059 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)c1c(C)cccc1Cl ZINC001267215875 837547645 /nfs/dbraw/zinc/54/76/45/837547645.db2.gz PWIVVLPIFBKKCV-UHFFFAOYSA-N 1 2 321.808 1.059 20 30 DDEDLO C=C[C@H](CC(=O)NCC[N@@H+](C)CC(=O)N(C)C)c1ccccc1 ZINC001267231187 837571320 /nfs/dbraw/zinc/57/13/20/837571320.db2.gz QUUIWNKTNPQHTE-OAHLLOKOSA-N 1 2 317.433 1.483 20 30 DDEDLO C=C[C@H](CC(=O)NCC[N@H+](C)CC(=O)N(C)C)c1ccccc1 ZINC001267231187 837571323 /nfs/dbraw/zinc/57/13/23/837571323.db2.gz QUUIWNKTNPQHTE-OAHLLOKOSA-N 1 2 317.433 1.483 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@H+](Cc2nnc(C)s2)C1 ZINC001267299414 837780345 /nfs/dbraw/zinc/78/03/45/837780345.db2.gz CDNUQOOAZWDGBB-AWEZNQCLSA-N 1 2 320.462 1.978 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(C)s2)C1 ZINC001267299414 837780349 /nfs/dbraw/zinc/78/03/49/837780349.db2.gz CDNUQOOAZWDGBB-AWEZNQCLSA-N 1 2 320.462 1.978 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+]([C@H]3CCNC3=O)C2)CCCC1 ZINC001266254773 835992994 /nfs/dbraw/zinc/99/29/94/835992994.db2.gz VAHZIDBDFFMWMQ-GJZGRUSLSA-N 1 2 319.449 1.592 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+]([C@H]3CCNC3=O)C2)CCCC1 ZINC001266254773 835993007 /nfs/dbraw/zinc/99/30/07/835993007.db2.gz VAHZIDBDFFMWMQ-GJZGRUSLSA-N 1 2 319.449 1.592 20 30 DDEDLO C=CCCC(=O)NCc1cccc2c1CC[N@@H+](CC(N)=O)C2 ZINC001280423749 844115316 /nfs/dbraw/zinc/11/53/16/844115316.db2.gz AZBVLKHGDWNXSN-UHFFFAOYSA-N 1 2 301.390 1.112 20 30 DDEDLO C=CCCC(=O)NCc1cccc2c1CC[N@H+](CC(N)=O)C2 ZINC001280423749 844115318 /nfs/dbraw/zinc/11/53/18/844115318.db2.gz AZBVLKHGDWNXSN-UHFFFAOYSA-N 1 2 301.390 1.112 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001266318815 836110347 /nfs/dbraw/zinc/11/03/47/836110347.db2.gz AXXSDJUFMCGNLI-ZDUSSCGKSA-N 1 2 307.419 1.182 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001266318815 836110354 /nfs/dbraw/zinc/11/03/54/836110354.db2.gz AXXSDJUFMCGNLI-ZDUSSCGKSA-N 1 2 307.419 1.182 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@@H+](Cc2cccc(F)c2F)C1 ZINC001266318983 836111243 /nfs/dbraw/zinc/11/12/43/836111243.db2.gz FNHJIPRXCISREU-CQSZACIVSA-N 1 2 322.355 1.695 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@H+](Cc2cccc(F)c2F)C1 ZINC001266318983 836111246 /nfs/dbraw/zinc/11/12/46/836111246.db2.gz FNHJIPRXCISREU-CQSZACIVSA-N 1 2 322.355 1.695 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CC[N@H+](CC(=O)N2CCCCC2)C1 ZINC001266319249 836112224 /nfs/dbraw/zinc/11/22/24/836112224.db2.gz JOHJOJYXJLQPTG-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@@H]1CC[N@@H+](CC(=O)N2CCCCC2)C1 ZINC001266319249 836112227 /nfs/dbraw/zinc/11/22/27/836112227.db2.gz JOHJOJYXJLQPTG-OAHLLOKOSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCCCC(=O)N1CCCC[C@H]1C[NH2+]Cc1nnc(CC)o1 ZINC001266867318 836967666 /nfs/dbraw/zinc/96/76/66/836967666.db2.gz WGKQYSKQTLLHJJ-AWEZNQCLSA-N 1 2 318.421 1.906 20 30 DDEDLO Cc1noc(C[N@H+]2CCC[C@@H]([C@@H](C)NC(=O)C#CC3CC3)C2)n1 ZINC001266892162 837007563 /nfs/dbraw/zinc/00/75/63/837007563.db2.gz TWLYZDIGLAAJHW-IUODEOHRSA-N 1 2 316.405 1.508 20 30 DDEDLO Cc1noc(C[N@@H+]2CCC[C@@H]([C@@H](C)NC(=O)C#CC3CC3)C2)n1 ZINC001266892162 837007573 /nfs/dbraw/zinc/00/75/73/837007573.db2.gz TWLYZDIGLAAJHW-IUODEOHRSA-N 1 2 316.405 1.508 20 30 DDEDLO COCC#CC[N@H+](C)[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267103803 837351218 /nfs/dbraw/zinc/35/12/18/837351218.db2.gz GZQWIQCLDOTBTB-NWDGAFQWSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)[C@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001267103803 837351226 /nfs/dbraw/zinc/35/12/26/837351226.db2.gz GZQWIQCLDOTBTB-NWDGAFQWSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@H+](C)[C@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001267103803 837351234 /nfs/dbraw/zinc/35/12/34/837351234.db2.gz GZQWIQCLDOTBTB-NWDGAFQWSA-N 1 2 324.318 1.459 20 30 DDEDLO COCC#CC[N@@H+](C)[C@H]1CCCN(C(=O)[C@@H](F)C(F)(F)F)C1 ZINC001267103803 837351244 /nfs/dbraw/zinc/35/12/44/837351244.db2.gz GZQWIQCLDOTBTB-NWDGAFQWSA-N 1 2 324.318 1.459 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)CC[NH2+]Cc1nc(C2CCC2)no1 ZINC001267366400 837923303 /nfs/dbraw/zinc/92/33/03/837923303.db2.gz FWDMQXSIUJOGQI-MRXNPFEDSA-N 1 2 322.409 1.212 20 30 DDEDLO CCCN(C(=O)CC(C)(C)C)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267451432 838065775 /nfs/dbraw/zinc/06/57/75/838065775.db2.gz ZZZOMQNFFQBXGI-AWEZNQCLSA-N 1 2 322.453 1.375 20 30 DDEDLO CCCN(C(=O)CC(C)(C)C)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267451432 838065787 /nfs/dbraw/zinc/06/57/87/838065787.db2.gz ZZZOMQNFFQBXGI-AWEZNQCLSA-N 1 2 322.453 1.375 20 30 DDEDLO CN(CC#N)CC1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC1 ZINC001267604530 838422265 /nfs/dbraw/zinc/42/22/65/838422265.db2.gz UQEMSFXRJGOGFB-UHFFFAOYSA-N 1 2 303.410 1.381 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)CC1 ZINC001267609255 838450681 /nfs/dbraw/zinc/45/06/81/838450681.db2.gz UMKZSWBLMRXOIU-IKGGRYGDSA-N 1 2 303.450 1.132 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@H]2CCCC[C@@H]2C)CC1 ZINC001267617224 838493801 /nfs/dbraw/zinc/49/38/01/838493801.db2.gz AWIYWFUZPQOCDB-ZWKOTPCHSA-N 1 2 319.493 1.912 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001267722489 838733871 /nfs/dbraw/zinc/73/38/71/838733871.db2.gz FSIOQXMSCAKXPT-HNNXBMFYSA-N 1 2 317.364 1.590 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001267722489 838733881 /nfs/dbraw/zinc/73/38/81/838733881.db2.gz FSIOQXMSCAKXPT-HNNXBMFYSA-N 1 2 317.364 1.590 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[N@@H+]([C@@H](C)C(N)=O)C2)CCCCC1 ZINC001267735219 838787231 /nfs/dbraw/zinc/78/72/31/838787231.db2.gz PCEHYUUIIJOIPP-KBPBESRZSA-N 1 2 305.422 1.025 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2CC[N@H+]([C@@H](C)C(N)=O)C2)CCCCC1 ZINC001267735219 838787235 /nfs/dbraw/zinc/78/72/35/838787235.db2.gz PCEHYUUIIJOIPP-KBPBESRZSA-N 1 2 305.422 1.025 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@H](C)CCC)C1 ZINC001267746984 838831714 /nfs/dbraw/zinc/83/17/14/838831714.db2.gz DWHVTSMWHHRXBU-ZIAGYGMSSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@H](C)CCC)C1 ZINC001267746984 838831716 /nfs/dbraw/zinc/83/17/16/838831716.db2.gz DWHVTSMWHHRXBU-ZIAGYGMSSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@@H+]([C@H](C)C(N)=O)C2)CCCCC1 ZINC001267753973 838856340 /nfs/dbraw/zinc/85/63/40/838856340.db2.gz HDLBRYGZDQZEOO-ZIAGYGMSSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2CC[N@H+]([C@H](C)C(N)=O)C2)CCCCC1 ZINC001267753973 838856345 /nfs/dbraw/zinc/85/63/45/838856345.db2.gz HDLBRYGZDQZEOO-ZIAGYGMSSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C[C@@H](C)c2c(C)noc2C)C1 ZINC001268024253 839411572 /nfs/dbraw/zinc/41/15/72/839411572.db2.gz ATHVFUHCZUEUKI-IUODEOHRSA-N 1 2 321.421 1.788 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C[C@@H](C)c2c(C)noc2C)C1 ZINC001268024253 839411581 /nfs/dbraw/zinc/41/15/81/839411581.db2.gz ATHVFUHCZUEUKI-IUODEOHRSA-N 1 2 321.421 1.788 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc3nc(C)oc3c2)C1 ZINC001268327227 840001871 /nfs/dbraw/zinc/00/18/71/840001871.db2.gz JNEFHADXBKJXBQ-UHFFFAOYSA-N 1 2 315.373 1.753 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C/C[NH2+]Cc1nnc(C2CC2)o1 ZINC001268535903 840408794 /nfs/dbraw/zinc/40/87/94/840408794.db2.gz FMTKETLPIKEOQR-ONEGZZNKSA-N 1 2 302.378 1.368 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C\C[NH2+]Cc1nnc(C2CC2)o1 ZINC001268535902 840409060 /nfs/dbraw/zinc/40/90/60/840409060.db2.gz FMTKETLPIKEOQR-ARJAWSKDSA-N 1 2 302.378 1.368 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C[NH2+]Cc1nnc(C(F)F)s1 ZINC001268641924 840623539 /nfs/dbraw/zinc/62/35/39/840623539.db2.gz KNOKLHURLTUQTN-SECBINFHSA-N 1 2 316.377 1.874 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)C[N@H+](C)Cc1nc(C)c(C)o1 ZINC001268729394 840723931 /nfs/dbraw/zinc/72/39/31/840723931.db2.gz GFTRKQFYHURWNS-BXUZGUMPSA-N 1 2 307.394 1.266 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H](C)C[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001268729394 840723937 /nfs/dbraw/zinc/72/39/37/840723937.db2.gz GFTRKQFYHURWNS-BXUZGUMPSA-N 1 2 307.394 1.266 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCC1CC1 ZINC001268929811 841011079 /nfs/dbraw/zinc/01/10/79/841011079.db2.gz MOKMHHXEBMPNTI-QEJZJMRPSA-N 1 2 305.422 1.152 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCC1CC1 ZINC001268929811 841011083 /nfs/dbraw/zinc/01/10/83/841011083.db2.gz MOKMHHXEBMPNTI-QEJZJMRPSA-N 1 2 305.422 1.152 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1nccnc1C ZINC001268947571 841048276 /nfs/dbraw/zinc/04/82/76/841048276.db2.gz UITLFRPLYWUTCG-GJZGRUSLSA-N 1 2 300.406 1.926 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1nccnc1C ZINC001268947571 841048284 /nfs/dbraw/zinc/04/82/84/841048284.db2.gz UITLFRPLYWUTCG-GJZGRUSLSA-N 1 2 300.406 1.926 20 30 DDEDLO C=CC[C@H](C)C(=O)N1CC2(C1)C[NH+](Cc1cccc(=O)[nH]1)C2 ZINC001268967954 841070868 /nfs/dbraw/zinc/07/08/68/841070868.db2.gz HIPOPCNBELYFIZ-ZDUSSCGKSA-N 1 2 301.390 1.644 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cscn1 ZINC001268987667 841089022 /nfs/dbraw/zinc/08/90/22/841089022.db2.gz CEDSYBTZFGRAAA-GJZGRUSLSA-N 1 2 319.430 1.011 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cscn1 ZINC001268987667 841089032 /nfs/dbraw/zinc/08/90/32/841089032.db2.gz CEDSYBTZFGRAAA-GJZGRUSLSA-N 1 2 319.430 1.011 20 30 DDEDLO CC(C)(C)n1cc(C[N@@H+]2CC[C@H](NC(=O)C#CC3CC3)C2)cn1 ZINC001269023180 841119322 /nfs/dbraw/zinc/11/93/22/841119322.db2.gz OMVCQQXAVFJJDJ-INIZCTEOSA-N 1 2 314.433 1.742 20 30 DDEDLO CC(C)(C)n1cc(C[N@H+]2CC[C@H](NC(=O)C#CC3CC3)C2)cn1 ZINC001269023180 841119329 /nfs/dbraw/zinc/11/93/29/841119329.db2.gz OMVCQQXAVFJJDJ-INIZCTEOSA-N 1 2 314.433 1.742 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@H](C)CCC)C1 ZINC001269134103 841248597 /nfs/dbraw/zinc/24/85/97/841248597.db2.gz GKSGJIASFQRBJP-HUUCEWRRSA-N 1 2 309.454 1.838 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@H](C)CCC)C1 ZINC001269134103 841248605 /nfs/dbraw/zinc/24/86/05/841248605.db2.gz GKSGJIASFQRBJP-HUUCEWRRSA-N 1 2 309.454 1.838 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)[C@@H]1CC[N@H+](Cc2ncc(C)o2)C1 ZINC001269221350 841365126 /nfs/dbraw/zinc/36/51/26/841365126.db2.gz JCBUDPSPXGXJOP-HUUCEWRRSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001269221350 841365133 /nfs/dbraw/zinc/36/51/33/841365133.db2.gz JCBUDPSPXGXJOP-HUUCEWRRSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@H]2CNC(=O)C#CC(C)(C)C)C1=O ZINC001269235964 841401076 /nfs/dbraw/zinc/40/10/76/841401076.db2.gz SIILMRYOFZIKRR-LSDHHAIUSA-N 1 2 317.433 1.013 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@H]2CNC(=O)C#CC(C)(C)C)C1=O ZINC001269235964 841401083 /nfs/dbraw/zinc/40/10/83/841401083.db2.gz SIILMRYOFZIKRR-LSDHHAIUSA-N 1 2 317.433 1.013 20 30 DDEDLO CCn1ncc(Cl)c1C(=O)NC[C@@H]1CC[N@@H+]1CC#CCOC ZINC001269241485 841408400 /nfs/dbraw/zinc/40/84/00/841408400.db2.gz UXXGOYXJXIZZHY-LBPRGKRZSA-N 1 2 324.812 1.010 20 30 DDEDLO CCn1ncc(Cl)c1C(=O)NC[C@@H]1CC[N@H+]1CC#CCOC ZINC001269241485 841408403 /nfs/dbraw/zinc/40/84/03/841408403.db2.gz UXXGOYXJXIZZHY-LBPRGKRZSA-N 1 2 324.812 1.010 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CNC(=O)CCC2(C)CC2)C1=O ZINC001269326448 841519847 /nfs/dbraw/zinc/51/98/47/841519847.db2.gz KFCKGILXIZLCAL-HUUCEWRRSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CNC(=O)CCC2(C)CC2)C1=O ZINC001269326448 841519857 /nfs/dbraw/zinc/51/98/57/841519857.db2.gz KFCKGILXIZLCAL-HUUCEWRRSA-N 1 2 319.449 1.544 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)Cc2cc[nH]n2)CC1 ZINC001269617163 841830038 /nfs/dbraw/zinc/83/00/38/841830038.db2.gz YAGDXACBYAKYIW-UHFFFAOYSA-N 1 2 301.394 1.179 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)Cc2cc[nH]n2)CC1 ZINC001269617163 841830055 /nfs/dbraw/zinc/83/00/55/841830055.db2.gz YAGDXACBYAKYIW-UHFFFAOYSA-N 1 2 301.394 1.179 20 30 DDEDLO C=C1CCC(C(=O)N(C)C2C[NH+](CCOCCOC)C2)CC1 ZINC001269843978 842100266 /nfs/dbraw/zinc/10/02/66/842100266.db2.gz SGCFRZLTBMTULE-UHFFFAOYSA-N 1 2 310.438 1.538 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)COC[C@H](C)c2ccccc2)C1 ZINC001269882032 842128060 /nfs/dbraw/zinc/12/80/60/842128060.db2.gz WINOUZKGKRYTGN-INIZCTEOSA-N 1 2 314.429 1.973 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1(C)CC[NH+](Cc2coc(C)n2)CC1 ZINC001270225325 842400930 /nfs/dbraw/zinc/40/09/30/842400930.db2.gz GKINJPIQHUPHEF-QGZVFWFLSA-N 1 2 321.421 1.781 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)COc2ccc(C)cc2)C1 ZINC001270701319 842831595 /nfs/dbraw/zinc/83/15/95/842831595.db2.gz QWQLNXPYHOAMMS-QGZVFWFLSA-N 1 2 318.417 1.767 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)COc2ccc(C)cc2)C1 ZINC001270701319 842831607 /nfs/dbraw/zinc/83/16/07/842831607.db2.gz QWQLNXPYHOAMMS-QGZVFWFLSA-N 1 2 318.417 1.767 20 30 DDEDLO CC#CC(=O)N1CCCC2(C[NH+](Cc3cc(C)nn3C)C2)C1 ZINC001270716441 842842206 /nfs/dbraw/zinc/84/22/06/842842206.db2.gz HGLLUPPNBHBXAU-UHFFFAOYSA-N 1 2 300.406 1.176 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@]1(O)CC[N@H+](Cc2cscn2)C1 ZINC001271202040 843408671 /nfs/dbraw/zinc/40/86/71/843408671.db2.gz ZUPZTPAIEDYSEH-INIZCTEOSA-N 1 2 323.462 1.798 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@]1(O)CC[N@@H+](Cc2cscn2)C1 ZINC001271202040 843408677 /nfs/dbraw/zinc/40/86/77/843408677.db2.gz ZUPZTPAIEDYSEH-INIZCTEOSA-N 1 2 323.462 1.798 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)C[C@H]2CCO[C@H](C(C)C)C2)C1 ZINC001271373732 843533552 /nfs/dbraw/zinc/53/35/52/843533552.db2.gz FLPCQLUIXVKLBA-HOTGVXAUSA-N 1 2 322.449 1.014 20 30 DDEDLO C[C@H](NCC#N)[C@H]1CCCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001271646767 843752181 /nfs/dbraw/zinc/75/21/81/843752181.db2.gz ZALUSOACIYZUNY-STQMWFEESA-N 1 2 311.389 1.917 20 30 DDEDLO CCc1cnc(C[NH2+]CCN(CCO)C(=O)C#CC(C)(C)C)o1 ZINC001272162777 844670807 /nfs/dbraw/zinc/67/08/07/844670807.db2.gz UJHBNYDCBMOPJF-UHFFFAOYSA-N 1 2 321.421 1.197 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1C1CC1 ZINC001326658386 861517114 /nfs/dbraw/zinc/51/71/14/861517114.db2.gz IZGGWXONZODJOR-CQSZACIVSA-N 1 2 318.421 1.684 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1c[nH]nc1C1CC1 ZINC001326658386 861517123 /nfs/dbraw/zinc/51/71/23/861517123.db2.gz IZGGWXONZODJOR-CQSZACIVSA-N 1 2 318.421 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cn(C)nc1Cl ZINC001409655327 845508736 /nfs/dbraw/zinc/50/87/36/845508736.db2.gz MMDKWDLSRYVNPA-SECBINFHSA-N 1 2 305.209 1.876 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cn(C)nc1Cl ZINC001409655327 845508744 /nfs/dbraw/zinc/50/87/44/845508744.db2.gz MMDKWDLSRYVNPA-SECBINFHSA-N 1 2 305.209 1.876 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)COCC[N@H+](Cc1cc[nH]n1)C2 ZINC001272541862 846291247 /nfs/dbraw/zinc/29/12/47/846291247.db2.gz HEMRFIIEHKVGMG-INIZCTEOSA-N 1 2 304.394 1.037 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1cc[nH]n1)C2 ZINC001272541862 846291251 /nfs/dbraw/zinc/29/12/51/846291251.db2.gz HEMRFIIEHKVGMG-INIZCTEOSA-N 1 2 304.394 1.037 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C#N)[nH]1 ZINC001032362531 847010278 /nfs/dbraw/zinc/01/02/78/847010278.db2.gz FOFWCLZVGZMKBM-GJZGRUSLSA-N 1 2 324.388 1.027 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C#N)[nH]1 ZINC001032362531 847010286 /nfs/dbraw/zinc/01/02/86/847010286.db2.gz FOFWCLZVGZMKBM-GJZGRUSLSA-N 1 2 324.388 1.027 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1ccncc1O[C@H]1CCN(C(C)=O)C1 ZINC001127857620 847165363 /nfs/dbraw/zinc/16/53/63/847165363.db2.gz STXSSFJEABGSMW-AWEZNQCLSA-N 1 2 309.797 1.923 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]1(C)C[N@H+](CCC(F)(F)F)CCO1 ZINC001107821110 847224853 /nfs/dbraw/zinc/22/48/53/847224853.db2.gz JHKQFWYJSAYWNK-ZYHUDNBSSA-N 1 2 307.316 1.306 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]1(C)C[N@@H+](CCC(F)(F)F)CCO1 ZINC001107821110 847224862 /nfs/dbraw/zinc/22/48/62/847224862.db2.gz JHKQFWYJSAYWNK-ZYHUDNBSSA-N 1 2 307.316 1.306 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C2C(C)(C)C2(C)C)C1 ZINC001107843275 847296172 /nfs/dbraw/zinc/29/61/72/847296172.db2.gz HBSJNUUKCCZXFO-GOSISDBHSA-N 1 2 306.450 1.899 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C2C(C)(C)C2(C)C)C1 ZINC001107843275 847296187 /nfs/dbraw/zinc/29/61/87/847296187.db2.gz HBSJNUUKCCZXFO-GOSISDBHSA-N 1 2 306.450 1.899 20 30 DDEDLO CCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001272843644 847540337 /nfs/dbraw/zinc/54/03/37/847540337.db2.gz TTWZLMSQVRIOOB-AWEZNQCLSA-N 1 2 304.394 1.507 20 30 DDEDLO CCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001272843644 847540347 /nfs/dbraw/zinc/54/03/47/847540347.db2.gz TTWZLMSQVRIOOB-AWEZNQCLSA-N 1 2 304.394 1.507 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2C[C@@H]2C#N)cc1C#N ZINC001272886619 847593182 /nfs/dbraw/zinc/59/31/82/847593182.db2.gz PUMAGPUERAURPB-PNBKFKSVSA-N 1 2 323.400 1.232 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2C[C@@H]2C#N)cc1C#N ZINC001272886619 847593186 /nfs/dbraw/zinc/59/31/86/847593186.db2.gz PUMAGPUERAURPB-PNBKFKSVSA-N 1 2 323.400 1.232 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)C1 ZINC001273134136 847979567 /nfs/dbraw/zinc/97/95/67/847979567.db2.gz CWDFZONKMXXLRF-UHFFFAOYSA-N 1 2 324.428 1.897 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)CCn2cc(C)cn2)C1 ZINC001409974006 848123605 /nfs/dbraw/zinc/12/36/05/848123605.db2.gz PAXJMICPARPVHD-ZDUSSCGKSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H](F)C(C)C)C1 ZINC001150116374 862096611 /nfs/dbraw/zinc/09/66/11/862096611.db2.gz RQMMKWSPVNJSTC-CHWSQXEVSA-N 1 2 306.809 1.940 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H](F)C(C)C)C1 ZINC001150116374 862096618 /nfs/dbraw/zinc/09/66/18/862096618.db2.gz RQMMKWSPVNJSTC-CHWSQXEVSA-N 1 2 306.809 1.940 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@H](CNC(=O)C(C)(C)C)O2 ZINC001327363361 862104710 /nfs/dbraw/zinc/10/47/10/862104710.db2.gz GAIJQXLIYHNHAR-CQSZACIVSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@@]2(F)CCOC2)C1 ZINC001150172172 862123937 /nfs/dbraw/zinc/12/39/37/862123937.db2.gz QZWJGZMHQKIBRL-GXTWGEPZSA-N 1 2 320.792 1.075 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@@]2(F)CCOC2)C1 ZINC001150172172 862123943 /nfs/dbraw/zinc/12/39/43/862123943.db2.gz QZWJGZMHQKIBRL-GXTWGEPZSA-N 1 2 320.792 1.075 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)(C)C(=C)C)CO2 ZINC001327403665 862143339 /nfs/dbraw/zinc/14/33/39/862143339.db2.gz DDCJUFIIJMHITD-OAHLLOKOSA-N 1 2 322.449 1.751 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)CCCOC(C)C)CO2 ZINC001327429004 862168775 /nfs/dbraw/zinc/16/87/75/862168775.db2.gz YPSJJEJKNXTCMP-OAHLLOKOSA-N 1 2 310.438 1.727 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCC[N@H+](Cc2ncnn2C)CC1 ZINC001273447859 850817034 /nfs/dbraw/zinc/81/70/34/850817034.db2.gz ZTQWGRRMNORNBM-LSDHHAIUSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCC[N@@H+](Cc2ncnn2C)CC1 ZINC001273447859 850817043 /nfs/dbraw/zinc/81/70/43/850817043.db2.gz ZTQWGRRMNORNBM-LSDHHAIUSA-N 1 2 319.453 1.888 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1cn(C(C)(C)C)nn1 ZINC001273597870 851129856 /nfs/dbraw/zinc/12/98/56/851129856.db2.gz YNEPBMSMCJOJPM-HUUCEWRRSA-N 1 2 315.421 1.232 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cn(C(C)(C)C)nn1 ZINC001273597870 851129857 /nfs/dbraw/zinc/12/98/57/851129857.db2.gz YNEPBMSMCJOJPM-HUUCEWRRSA-N 1 2 315.421 1.232 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)C1(C(F)F)CC1)O2 ZINC001273661225 851196741 /nfs/dbraw/zinc/19/67/41/851196741.db2.gz FXMWJMGFHLYSNV-LLVKDONJSA-N 1 2 300.349 1.567 20 30 DDEDLO C=CCCC(=O)NC[C@H]1Cc2ccccc2C[N@H+]1[C@H](C)C(N)=O ZINC001327505120 862220434 /nfs/dbraw/zinc/22/04/34/862220434.db2.gz BJAUAWPNYVZRKB-CZUORRHYSA-N 1 2 315.417 1.370 20 30 DDEDLO C=CCCC(=O)NC[C@H]1Cc2ccccc2C[N@@H+]1[C@H](C)C(N)=O ZINC001327505120 862220452 /nfs/dbraw/zinc/22/04/52/862220452.db2.gz BJAUAWPNYVZRKB-CZUORRHYSA-N 1 2 315.417 1.370 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)CC1(C)CCCC1)CO2 ZINC001273696348 851236188 /nfs/dbraw/zinc/23/61/88/851236188.db2.gz NKNITFZLNNSDGW-HNNXBMFYSA-N 1 2 304.434 1.940 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1COC2(C[NH+](CCOCC)C2)C1 ZINC001273713013 851256111 /nfs/dbraw/zinc/25/61/11/851256111.db2.gz MGFAZNWSIUTGOX-OAHLLOKOSA-N 1 2 308.422 1.176 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@H+]2[C@H](C)C(=O)OCC ZINC001273912157 851499232 /nfs/dbraw/zinc/49/92/32/851499232.db2.gz BOVYAZMOOHNICG-PBHICJAKSA-N 1 2 308.422 1.971 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@@H+]2[C@H](C)C(=O)OCC ZINC001273912157 851499241 /nfs/dbraw/zinc/49/92/41/851499241.db2.gz BOVYAZMOOHNICG-PBHICJAKSA-N 1 2 308.422 1.971 20 30 DDEDLO N#Cc1ccncc1C(=O)N1CC2(C1)C[NH+](Cc1ccc[nH]1)C2 ZINC001273942735 851536901 /nfs/dbraw/zinc/53/69/01/851536901.db2.gz OSSCQOVGIGQEIK-UHFFFAOYSA-N 1 2 307.357 1.239 20 30 DDEDLO CC(C)(C)C(=O)OCNC(=O)[C@@H]1CC12CC[NH+](CCC#N)CC2 ZINC001274031439 851869691 /nfs/dbraw/zinc/86/96/91/851869691.db2.gz QIRUOVNTYPCEBB-ZDUSSCGKSA-N 1 2 321.421 1.665 20 30 DDEDLO N#CCCCC[N@H+]1C[C@]2(F)CN(CC(F)F)C(=O)[C@]2(F)C1 ZINC001274046228 851886726 /nfs/dbraw/zinc/88/67/26/851886726.db2.gz SYFZTKLIAHAICT-QWHCGFSZSA-N 1 2 307.291 1.520 20 30 DDEDLO N#CCCCC[N@@H+]1C[C@]2(F)CN(CC(F)F)C(=O)[C@]2(F)C1 ZINC001274046228 851886735 /nfs/dbraw/zinc/88/67/35/851886735.db2.gz SYFZTKLIAHAICT-QWHCGFSZSA-N 1 2 307.291 1.520 20 30 DDEDLO Cc1[nH+]ccn1CC(=O)NC/C=C/CNCc1ccccc1C#N ZINC001274577617 852411179 /nfs/dbraw/zinc/41/11/79/852411179.db2.gz BNTMZALLEDMVRN-SNAWJCMRSA-N 1 2 323.400 1.525 20 30 DDEDLO Cc1nccn1CC(=O)NC/C=C/C[NH2+]Cc1ccccc1C#N ZINC001274577617 852411191 /nfs/dbraw/zinc/41/11/91/852411191.db2.gz BNTMZALLEDMVRN-SNAWJCMRSA-N 1 2 323.400 1.525 20 30 DDEDLO CC(=O)[C@@H](C)N1CC[C@]2(CC[N@H+](Cc3[nH]ccc3C#N)C2)C1=O ZINC001274855860 852643711 /nfs/dbraw/zinc/64/37/11/852643711.db2.gz SZFRUQMITMCPKW-PXAZEXFGSA-N 1 2 314.389 1.288 20 30 DDEDLO CC(=O)[C@@H](C)N1CC[C@]2(CC[N@@H+](Cc3[nH]ccc3C#N)C2)C1=O ZINC001274855860 852643716 /nfs/dbraw/zinc/64/37/16/852643716.db2.gz SZFRUQMITMCPKW-PXAZEXFGSA-N 1 2 314.389 1.288 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)C[NH2+]Cc1nc([C@H](C)OC)no1 ZINC001274878389 852662593 /nfs/dbraw/zinc/66/25/93/852662593.db2.gz XQVVHWUDJRGDEM-NEPJUHHUSA-N 1 2 324.425 1.974 20 30 DDEDLO C[N@@H+]1CCO[C@@]2(CCCN(C(=O)c3ccc(O)c(C#N)c3)C2)C1 ZINC001275708489 853605179 /nfs/dbraw/zinc/60/51/79/853605179.db2.gz YTUSFKSBRHGJOY-KRWDZBQOSA-N 1 2 315.373 1.201 20 30 DDEDLO C[N@H+]1CCO[C@@]2(CCCN(C(=O)c3ccc(O)c(C#N)c3)C2)C1 ZINC001275708489 853605182 /nfs/dbraw/zinc/60/51/82/853605182.db2.gz YTUSFKSBRHGJOY-KRWDZBQOSA-N 1 2 315.373 1.201 20 30 DDEDLO C#CC[NH+]1CCC(CCOC)(NC(=O)c2[nH]nc(C)c2C)CC1 ZINC001151026971 862585752 /nfs/dbraw/zinc/58/57/52/862585752.db2.gz LSTSUCXHMQGKLD-UHFFFAOYSA-N 1 2 318.421 1.261 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(Cc4cncs4)C3)C2)cc1C#N ZINC001276059785 854689087 /nfs/dbraw/zinc/68/90/87/854689087.db2.gz PBGJNPWOSUHPDY-UHFFFAOYSA-N 1 2 313.430 1.671 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@H+](C)Cc2cn(C)nn2)c1O ZINC001328023360 862641626 /nfs/dbraw/zinc/64/16/26/862641626.db2.gz GVEIDNKRBMADHR-UHFFFAOYSA-N 1 2 302.378 1.890 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@@H+](C)Cc2cn(C)nn2)c1O ZINC001328023360 862641635 /nfs/dbraw/zinc/64/16/35/862641635.db2.gz GVEIDNKRBMADHR-UHFFFAOYSA-N 1 2 302.378 1.890 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cnccn1)C2 ZINC001111231492 855202729 /nfs/dbraw/zinc/20/27/29/855202729.db2.gz ATJLKDSTZIEUIE-KFWWJZLASA-N 1 2 320.824 1.883 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cnccn1)C2 ZINC001111231492 855202735 /nfs/dbraw/zinc/20/27/35/855202735.db2.gz ATJLKDSTZIEUIE-KFWWJZLASA-N 1 2 320.824 1.883 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nccn2C)[C@H](C)C1 ZINC001328241018 862809999 /nfs/dbraw/zinc/80/99/99/862809999.db2.gz QRRVDEROHTVWOJ-HUUCEWRRSA-N 1 2 302.422 1.693 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nccn2C)[C@H](C)C1 ZINC001328241018 862810006 /nfs/dbraw/zinc/81/00/06/862810006.db2.gz QRRVDEROHTVWOJ-HUUCEWRRSA-N 1 2 302.422 1.693 20 30 DDEDLO COc1cc(C[NH2+]Cc2nc(C(F)(F)F)n[nH]2)ccc1C#N ZINC001413255034 856588379 /nfs/dbraw/zinc/58/83/79/856588379.db2.gz MOLXUYZLQCQMGC-UHFFFAOYSA-N 1 2 311.267 1.994 20 30 DDEDLO CC(C)c1cnc(C[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001072552181 857436200 /nfs/dbraw/zinc/43/62/00/857436200.db2.gz MVWCVUQNPKCXRB-ZDUSSCGKSA-N 1 2 316.405 1.992 20 30 DDEDLO CC(C)c1cnc(C[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC001072552181 857436206 /nfs/dbraw/zinc/43/62/06/857436206.db2.gz MVWCVUQNPKCXRB-ZDUSSCGKSA-N 1 2 316.405 1.992 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072801395 857719457 /nfs/dbraw/zinc/71/94/57/857719457.db2.gz QYTLXQVUHPKOPL-GXTWGEPZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@@H+](Cc2nonc2C)C3)C1 ZINC001072964038 857943002 /nfs/dbraw/zinc/94/30/02/857943002.db2.gz GXGLNDRPCSYTRK-UHFFFAOYSA-N 1 2 316.405 1.769 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC3(C2)CC[N@H+](Cc2nonc2C)C3)C1 ZINC001072964038 857943006 /nfs/dbraw/zinc/94/30/06/857943006.db2.gz GXGLNDRPCSYTRK-UHFFFAOYSA-N 1 2 316.405 1.769 20 30 DDEDLO C#CCCCC(=O)NC1(C)CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001073277270 858232792 /nfs/dbraw/zinc/23/27/92/858232792.db2.gz QOBLHHCVDUFDJC-UHFFFAOYSA-N 1 2 316.405 1.253 20 30 DDEDLO CC#CC[N@@H+]1CCC2(CN(C(=O)c3[nH]nc4ccccc43)C2)C1 ZINC001073399944 858308756 /nfs/dbraw/zinc/30/87/56/858308756.db2.gz DPVVQBGVNUEBQC-UHFFFAOYSA-N 1 2 308.385 1.734 20 30 DDEDLO CC#CC[N@H+]1CCC2(CN(C(=O)c3[nH]nc4ccccc43)C2)C1 ZINC001073399944 858308763 /nfs/dbraw/zinc/30/87/63/858308763.db2.gz DPVVQBGVNUEBQC-UHFFFAOYSA-N 1 2 308.385 1.734 20 30 DDEDLO C=CCN(C)c1nnc([C@@]2(C)C[C@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001122396203 858791115 /nfs/dbraw/zinc/79/11/15/858791115.db2.gz YAXYRSWZCWIFHF-XJKSGUPXSA-N 1 2 305.426 1.388 20 30 DDEDLO C=CCN(C)c1nnc([C@@]2(C)C[C@H](O)C[N@H+]2C)n1CC(=C)C ZINC001122396203 858791126 /nfs/dbraw/zinc/79/11/26/858791126.db2.gz YAXYRSWZCWIFHF-XJKSGUPXSA-N 1 2 305.426 1.388 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC(C)C ZINC001122739517 858956638 /nfs/dbraw/zinc/95/66/38/858956638.db2.gz PAHVUECFRCOBFA-VBQJREDUSA-N 1 2 319.453 1.304 20 30 DDEDLO C#C[C@H](C)N(C)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC(C)C ZINC001122739517 858956646 /nfs/dbraw/zinc/95/66/46/858956646.db2.gz PAHVUECFRCOBFA-VBQJREDUSA-N 1 2 319.453 1.304 20 30 DDEDLO C#Cc1ccc(CNC(=O)NC[C@H](C)[NH+]2CCN(C)CC2)cc1 ZINC001123560408 859300628 /nfs/dbraw/zinc/30/06/28/859300628.db2.gz JRJLORCCYLBTKG-HNNXBMFYSA-N 1 2 314.433 1.103 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001123759052 859399347 /nfs/dbraw/zinc/39/93/47/859399347.db2.gz BXQLOEBIWSXEIV-KBPBESRZSA-N 1 2 304.394 1.684 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(C(C)C)no1 ZINC001124496226 859721460 /nfs/dbraw/zinc/72/14/60/859721460.db2.gz YMNHSWSOLXWZNM-QWHCGFSZSA-N 1 2 324.425 1.941 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(Cc3cc(C#N)ccc3F)C2)C[C@@H](C)O1 ZINC001139650398 860447012 /nfs/dbraw/zinc/44/70/12/860447012.db2.gz FNRQSRZULNZGSU-CHWSQXEVSA-N 1 2 303.381 1.991 20 30 DDEDLO C=CCOC[C@@H]1c2c(ncn2C)CC[N@H+]1Cc1ccncc1O ZINC001140277286 860609912 /nfs/dbraw/zinc/60/99/12/860609912.db2.gz ZQZNLVWLBYIVBM-OAHLLOKOSA-N 1 2 314.389 1.823 20 30 DDEDLO C=CCOC[C@@H]1c2c(ncn2C)CC[N@@H+]1Cc1ccncc1O ZINC001140277286 860609914 /nfs/dbraw/zinc/60/99/14/860609914.db2.gz ZQZNLVWLBYIVBM-OAHLLOKOSA-N 1 2 314.389 1.823 20 30 DDEDLO C=CCN1CCN(C(C)C)C(=O)[C@@]12CC[N@H+](Cc1cocn1)C2 ZINC001141128693 860790087 /nfs/dbraw/zinc/79/00/87/860790087.db2.gz UWKNZMHDZBRWKV-KRWDZBQOSA-N 1 2 318.421 1.358 20 30 DDEDLO C=CCN1CCN(C(C)C)C(=O)[C@@]12CC[N@@H+](Cc1cocn1)C2 ZINC001141128693 860790089 /nfs/dbraw/zinc/79/00/89/860790089.db2.gz UWKNZMHDZBRWKV-KRWDZBQOSA-N 1 2 318.421 1.358 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ccc(C(=O)OC)cc2OC)CC1 ZINC000121706059 860833050 /nfs/dbraw/zinc/83/30/50/860833050.db2.gz HYJUHEMZZZQXTD-UHFFFAOYSA-N 1 2 302.374 1.233 20 30 DDEDLO C#CCN1CC[NH+](Cc2ccc(C(=O)OC)cc2OC)CC1 ZINC000121706059 860833056 /nfs/dbraw/zinc/83/30/56/860833056.db2.gz HYJUHEMZZZQXTD-UHFFFAOYSA-N 1 2 302.374 1.233 20 30 DDEDLO CN1CCO[C@]2(CCC[N@H+](Cc3cccc(C#N)c3F)C2)C1 ZINC001277007596 881596937 /nfs/dbraw/zinc/59/69/37/881596937.db2.gz WUQCMKFGAORXON-QGZVFWFLSA-N 1 2 303.381 1.994 20 30 DDEDLO CN1CCO[C@]2(CCC[N@@H+](Cc3cccc(C#N)c3F)C2)C1 ZINC001277007596 881596945 /nfs/dbraw/zinc/59/69/45/881596945.db2.gz WUQCMKFGAORXON-QGZVFWFLSA-N 1 2 303.381 1.994 20 30 DDEDLO CSc1nc(CN2CC[C@@H]([NH+]3CCOCC3)C2)ccc1C#N ZINC001141939365 860973061 /nfs/dbraw/zinc/97/30/61/860973061.db2.gz OOVMFNBBIGYFBR-OAHLLOKOSA-N 1 2 318.446 1.582 20 30 DDEDLO C=C[C@@H](C(=O)NCC[NH2+]Cc1nnc(C)o1)c1ccccc1 ZINC001151989887 863095735 /nfs/dbraw/zinc/09/57/35/863095735.db2.gz AQNYLOMAYCHXLX-CQSZACIVSA-N 1 2 300.362 1.554 20 30 DDEDLO N#Cc1ccc(C(=O)NCCC[NH2+]Cc2nnsc2Cl)[nH]1 ZINC001156703541 863275593 /nfs/dbraw/zinc/27/55/93/863275593.db2.gz TZJPCXKMHFQXDD-UHFFFAOYSA-N 1 2 324.797 1.301 20 30 DDEDLO C#Cc1cncc(C(=O)NC2(CCO)C[NH+](CC=C(C)C)C2)c1 ZINC001329693280 863794050 /nfs/dbraw/zinc/79/40/50/863794050.db2.gz HBQRAZCGNVIPBO-UHFFFAOYSA-N 1 2 313.401 1.196 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)s1 ZINC001153331929 863832918 /nfs/dbraw/zinc/83/29/18/863832918.db2.gz NVIVPQOHHALNBE-TZMCWYRMSA-N 1 2 323.462 1.816 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)s1 ZINC001153331929 863832924 /nfs/dbraw/zinc/83/29/24/863832924.db2.gz NVIVPQOHHALNBE-TZMCWYRMSA-N 1 2 323.462 1.816 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ccon1 ZINC001157945393 864293041 /nfs/dbraw/zinc/29/30/41/864293041.db2.gz LZPREGRVQMJMQU-GDBMZVCRSA-N 1 2 319.405 1.526 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ccon1 ZINC001157945393 864293057 /nfs/dbraw/zinc/29/30/57/864293057.db2.gz LZPREGRVQMJMQU-GDBMZVCRSA-N 1 2 319.405 1.526 20 30 DDEDLO COc1cc(N2CCN(c3nc(C)ccc3C#N)CC2)cc[nH+]1 ZINC001158098290 864427051 /nfs/dbraw/zinc/42/70/51/864427051.db2.gz OVNLWAWUNKCDDB-UHFFFAOYSA-N 1 2 309.373 1.992 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@@H](C)CN(C)C(=O)C#CC2CC2)n1 ZINC001331439648 865083943 /nfs/dbraw/zinc/08/39/43/865083943.db2.gz NUEQMYMVRCEYMT-RYUDHWBXSA-N 1 2 304.394 1.543 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](CO)[NH2+]Cc2nnc(C)s2)CCC1 ZINC001331787641 865329460 /nfs/dbraw/zinc/32/94/60/865329460.db2.gz KSRFAOONESATMC-GFCCVEGCSA-N 1 2 324.450 1.160 20 30 DDEDLO CCn1ccc(C[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)n1 ZINC001159587230 865414038 /nfs/dbraw/zinc/41/40/38/865414038.db2.gz LGGUURYJXDADGB-CQSZACIVSA-N 1 2 315.421 1.701 20 30 DDEDLO CCn1ccc(C[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)n1 ZINC001159587230 865414041 /nfs/dbraw/zinc/41/40/41/865414041.db2.gz LGGUURYJXDADGB-CQSZACIVSA-N 1 2 315.421 1.701 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001159593755 865418953 /nfs/dbraw/zinc/41/89/53/865418953.db2.gz JQFUNOPBSPBPON-RYUDHWBXSA-N 1 2 317.393 1.737 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CC=C(CCNC(=O)[C@@H](C)C#N)CC2)o1 ZINC001159593755 865418959 /nfs/dbraw/zinc/41/89/59/865418959.db2.gz JQFUNOPBSPBPON-RYUDHWBXSA-N 1 2 317.393 1.737 20 30 DDEDLO Cc1cc(N2CC3(CC[N@H+]3Cc3cc(C#N)n(C)c3)C2)n(C)n1 ZINC001277051667 881885182 /nfs/dbraw/zinc/88/51/82/881885182.db2.gz JHJFHJWUKUFUDU-UHFFFAOYSA-N 1 2 310.405 1.403 20 30 DDEDLO Cc1cc(N2CC3(CC[N@@H+]3Cc3cc(C#N)n(C)c3)C2)n(C)n1 ZINC001277051667 881885199 /nfs/dbraw/zinc/88/51/99/881885199.db2.gz JHJFHJWUKUFUDU-UHFFFAOYSA-N 1 2 310.405 1.403 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@@H](NC(=O)C#CC(C)C)[C@@H]2C)cn1 ZINC001332176152 865640640 /nfs/dbraw/zinc/64/06/40/865640640.db2.gz LIDYCFYLTYWDPY-DOTOQJQBSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC(C)C)[C@@H]2C)cn1 ZINC001332176152 865640643 /nfs/dbraw/zinc/64/06/43/865640643.db2.gz LIDYCFYLTYWDPY-DOTOQJQBSA-N 1 2 314.433 1.914 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@H](O)C[N@H+](C)Cc1cc(C)on1 ZINC001332665632 866044313 /nfs/dbraw/zinc/04/43/13/866044313.db2.gz VDMDAWMIWOIQMJ-OAHLLOKOSA-N 1 2 323.437 1.836 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1cc(C)on1 ZINC001332665632 866044326 /nfs/dbraw/zinc/04/43/26/866044326.db2.gz VDMDAWMIWOIQMJ-OAHLLOKOSA-N 1 2 323.437 1.836 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)/C=C\c1ccco1 ZINC001323179694 866406939 /nfs/dbraw/zinc/40/69/39/866406939.db2.gz VEZOGFCEAFBYNH-LAYDCRAFSA-N 1 2 317.389 1.174 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)/C=C\c1ccco1 ZINC001323179694 866406943 /nfs/dbraw/zinc/40/69/43/866406943.db2.gz VEZOGFCEAFBYNH-LAYDCRAFSA-N 1 2 317.389 1.174 20 30 DDEDLO O=S1(=O)N/C(=N/Cc2ccn3cc[nH+]c3c2)c2ccccc21 ZINC001333093327 866413763 /nfs/dbraw/zinc/41/37/63/866413763.db2.gz QMMBDTCEPLLXFO-UHFFFAOYSA-N 1 2 312.354 1.573 20 30 DDEDLO CCc1nc(C#N)c(C#N)nc1NC1CN(c2cccc[nH+]2)C1 ZINC001161326170 866535810 /nfs/dbraw/zinc/53/58/10/866535810.db2.gz XENCMRNTWYOPKZ-UHFFFAOYSA-N 1 2 305.345 1.478 20 30 DDEDLO CCCC[C@H](C)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001324561823 867359613 /nfs/dbraw/zinc/35/96/13/867359613.db2.gz XZVMXOAVUALTGP-JKSUJKDBSA-N 1 2 306.454 1.555 20 30 DDEDLO CC(C)(CC(=O)N[C@]1(C)CC[N@H+](CC(=O)NCC#N)C1)C1CC1 ZINC001324606849 867400659 /nfs/dbraw/zinc/40/06/59/867400659.db2.gz JFEOJKZMBHWIDK-QGZVFWFLSA-N 1 2 320.437 1.033 20 30 DDEDLO CC(C)(CC(=O)N[C@]1(C)CC[N@@H+](CC(=O)NCC#N)C1)C1CC1 ZINC001324606849 867400665 /nfs/dbraw/zinc/40/06/65/867400665.db2.gz JFEOJKZMBHWIDK-QGZVFWFLSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001334348597 867505555 /nfs/dbraw/zinc/50/55/55/867505555.db2.gz LZIMHDUWEOKIFQ-ZDUSSCGKSA-N 1 2 320.437 1.908 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001334348597 867505567 /nfs/dbraw/zinc/50/55/67/867505567.db2.gz LZIMHDUWEOKIFQ-ZDUSSCGKSA-N 1 2 320.437 1.908 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNc2c(F)c(F)c(C#N)c(F)c2F)C1 ZINC001162689611 867740081 /nfs/dbraw/zinc/74/00/81/867740081.db2.gz KSGHICFFKNWAFK-ZETCQYMHSA-N 1 2 303.259 1.857 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNc2c(F)c(F)c(C#N)c(F)c2F)C1 ZINC001162689611 867740086 /nfs/dbraw/zinc/74/00/86/867740086.db2.gz KSGHICFFKNWAFK-ZETCQYMHSA-N 1 2 303.259 1.857 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@]1(O)CC[N@H+](Cc2nonc2C)C1 ZINC001325122680 867791472 /nfs/dbraw/zinc/79/14/72/867791472.db2.gz HHXLRNDFJBNQEH-CZUORRHYSA-N 1 2 322.409 1.033 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@]1(O)CC[N@@H+](Cc2nonc2C)C1 ZINC001325122680 867791477 /nfs/dbraw/zinc/79/14/77/867791477.db2.gz HHXLRNDFJBNQEH-CZUORRHYSA-N 1 2 322.409 1.033 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)C2CN(C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001335134197 868088528 /nfs/dbraw/zinc/08/85/28/868088528.db2.gz MSYSQFCCDDPFHU-ZDUSSCGKSA-N 1 2 316.405 1.203 20 30 DDEDLO C=CCn1nnnc1N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC001335327313 868230360 /nfs/dbraw/zinc/23/03/60/868230360.db2.gz JITCMLVZXKDJAG-UHFFFAOYSA-N 1 2 302.357 1.320 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001335510396 868350655 /nfs/dbraw/zinc/35/06/55/868350655.db2.gz HLRPZFGJKRLISR-UONOGXRCSA-N 1 2 316.405 1.155 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2c(Cl)nc(OC)n2C)CC1 ZINC001322764860 868369853 /nfs/dbraw/zinc/36/98/53/868369853.db2.gz HPMWXTULVMNVJR-UHFFFAOYSA-N 1 2 324.812 1.044 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2ncc(C#N)c3ccsc32)C[NH2+]1 ZINC001164305279 869107875 /nfs/dbraw/zinc/10/78/75/869107875.db2.gz SUITZOLJUUGLDR-ONGXEEELSA-N 1 2 302.359 1.483 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)CCC(C)(F)F)CC1 ZINC001316965325 870007535 /nfs/dbraw/zinc/00/75/35/870007535.db2.gz WXOFSVVETLGSJU-UHFFFAOYSA-N 1 2 301.381 1.179 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@@H](CNC(=O)C#CC(C)(C)C)C2CC2)no1 ZINC001317011524 870117039 /nfs/dbraw/zinc/11/70/39/870117039.db2.gz BLOSTLOFQMTRPQ-FZMZJTMJSA-N 1 2 318.421 1.973 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)CSC ZINC001317044029 870155802 /nfs/dbraw/zinc/15/58/02/870155802.db2.gz FZAWOVOKMHNHNL-KFWWJZLASA-N 1 2 310.463 1.311 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)CSC ZINC001317044029 870155809 /nfs/dbraw/zinc/15/58/09/870155809.db2.gz FZAWOVOKMHNHNL-KFWWJZLASA-N 1 2 310.463 1.311 20 30 DDEDLO C=CCCC(=O)N[C@H](C)C1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001339033243 870309681 /nfs/dbraw/zinc/30/96/81/870309681.db2.gz QCVSNDLLMVDQSL-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO N#CCc1cc[nH+]c(N2CCN(c3nccnc3C#N)CC2)c1 ZINC001166920058 870375130 /nfs/dbraw/zinc/37/51/30/870375130.db2.gz OLQVTQWFJWRZKQ-UHFFFAOYSA-N 1 2 305.345 1.136 20 30 DDEDLO C[C@@H](CNC(=O)C#CC1CC1)[NH2+]Cc1nc(C2CCC2)no1 ZINC001317239173 870519859 /nfs/dbraw/zinc/51/98/59/870519859.db2.gz RAEDVECPKDNZHW-NSHDSACASA-N 1 2 302.378 1.345 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C1C[NH+](Cc2cc(C)n(C)n2)C1 ZINC001276414405 870676089 /nfs/dbraw/zinc/67/60/89/870676089.db2.gz PXQYNXLETLXKGK-CYBMUJFWSA-N 1 2 304.438 1.877 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](CC)C1 ZINC001340373620 871063684 /nfs/dbraw/zinc/06/36/84/871063684.db2.gz CHURFAZSGWLZKO-MCIONIFRSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC[C@@H](CC)C1 ZINC001340373620 871063704 /nfs/dbraw/zinc/06/37/04/871063704.db2.gz CHURFAZSGWLZKO-MCIONIFRSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CCCC2CC2)C1 ZINC001317940772 871641950 /nfs/dbraw/zinc/64/19/50/871641950.db2.gz LYXPKDPXKLASSD-OAHLLOKOSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CCCC2CC2)C1 ZINC001317940772 871641959 /nfs/dbraw/zinc/64/19/59/871641959.db2.gz LYXPKDPXKLASSD-OAHLLOKOSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cc(C)cc3c[nH]nc32)C1 ZINC001318069291 871723961 /nfs/dbraw/zinc/72/39/61/871723961.db2.gz HHNWIXISIGXXET-UHFFFAOYSA-N 1 2 314.389 1.488 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CC[N@@H+]([C@H](C)c2csnn2)C1 ZINC001317522202 871733279 /nfs/dbraw/zinc/73/32/79/871733279.db2.gz HQNJJLWGJDMOIY-CHWSQXEVSA-N 1 2 322.434 1.077 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CC[N@H+]([C@H](C)c2csnn2)C1 ZINC001317522202 871733285 /nfs/dbraw/zinc/73/32/85/871733285.db2.gz HQNJJLWGJDMOIY-CHWSQXEVSA-N 1 2 322.434 1.077 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc([C@H]3C[N@H+](C(C)C)CCO3)n2C)C1 ZINC001341798700 871829583 /nfs/dbraw/zinc/82/95/83/871829583.db2.gz UNCFOIXTVQKKDR-LSDHHAIUSA-N 1 2 317.437 1.446 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc([C@H]3C[N@@H+](C(C)C)CCO3)n2C)C1 ZINC001341798700 871829586 /nfs/dbraw/zinc/82/95/86/871829586.db2.gz UNCFOIXTVQKKDR-LSDHHAIUSA-N 1 2 317.437 1.446 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CCC(c2c[nH+]cn2C)CC1 ZINC001342009769 871948208 /nfs/dbraw/zinc/94/82/08/871948208.db2.gz YLHIZMIQOGPFIU-HNNXBMFYSA-N 1 2 318.421 1.738 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@H]1CC[N@H+](CCS(C)(=O)=O)C1 ZINC001318408935 872013032 /nfs/dbraw/zinc/01/30/32/872013032.db2.gz ZFVHYRWRBBGTIW-ZDUSSCGKSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)[C@H]1CC[N@@H+](CCS(C)(=O)=O)C1 ZINC001318408935 872013049 /nfs/dbraw/zinc/01/30/49/872013049.db2.gz ZFVHYRWRBBGTIW-ZDUSSCGKSA-N 1 2 316.467 1.166 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N(C[C@@H](C)O)C1CC1 ZINC001342533432 872253823 /nfs/dbraw/zinc/25/38/23/872253823.db2.gz QFRBGXHGMXBJRX-CQSZACIVSA-N 1 2 319.453 1.800 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)CN1C(=O)CC1(C)C ZINC001318943693 872357925 /nfs/dbraw/zinc/35/79/25/872357925.db2.gz NAEOOSQFHWIDQV-UHFFFAOYSA-N 1 2 301.390 1.975 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)CN1C(=O)CC1(C)C ZINC001318943693 872357947 /nfs/dbraw/zinc/35/79/47/872357947.db2.gz NAEOOSQFHWIDQV-UHFFFAOYSA-N 1 2 301.390 1.975 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC3(CCN3Cc3cn[nH]c3)C2)c(O)c1 ZINC001276493386 872601584 /nfs/dbraw/zinc/60/15/84/872601584.db2.gz IWIYAKBSNOLGMB-UHFFFAOYSA-N 1 2 309.373 1.447 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001207058681 872968346 /nfs/dbraw/zinc/96/83/46/872968346.db2.gz YEYLVIURMYPGLD-YUSALJHKSA-N 1 2 301.818 1.090 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001207058681 872968352 /nfs/dbraw/zinc/96/83/52/872968352.db2.gz YEYLVIURMYPGLD-YUSALJHKSA-N 1 2 301.818 1.090 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](CC)OCC)C2)C1 ZINC001276531657 873249125 /nfs/dbraw/zinc/24/91/25/873249125.db2.gz DKMDVKMSSUFBFK-NVXWUHKLSA-N 1 2 308.422 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](CC)OCC)C2)C1 ZINC001276531657 873249129 /nfs/dbraw/zinc/24/91/29/873249129.db2.gz DKMDVKMSSUFBFK-NVXWUHKLSA-N 1 2 308.422 1.128 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@@H]1CCCO1 ZINC001345322672 873354594 /nfs/dbraw/zinc/35/45/94/873354594.db2.gz SVUTUTUWGSLICS-KBPBESRZSA-N 1 2 303.410 1.293 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@@H]1CCCO1 ZINC001345322672 873354600 /nfs/dbraw/zinc/35/46/00/873354600.db2.gz SVUTUTUWGSLICS-KBPBESRZSA-N 1 2 303.410 1.293 20 30 DDEDLO C=C(CC)C(=O)Nc1ccccc1C(=O)N1CC[NH+](C)CC1 ZINC001345603796 873451672 /nfs/dbraw/zinc/45/16/72/873451672.db2.gz ZZFPBSORNHQLIA-UHFFFAOYSA-N 1 2 301.390 1.979 20 30 DDEDLO COCC#CC[NH2+][C@@H]1CCCN(C(=O)C(F)C(F)(F)F)C1 ZINC001208083051 873816117 /nfs/dbraw/zinc/81/61/17/873816117.db2.gz AVFHEEPMQTXLMW-MNOVXSKESA-N 1 2 310.291 1.117 20 30 DDEDLO COCC#CC[NH2+][C@@H]1CCCN(C(=O)[C@H](F)C(F)(F)F)C1 ZINC001208083051 873816132 /nfs/dbraw/zinc/81/61/32/873816132.db2.gz AVFHEEPMQTXLMW-MNOVXSKESA-N 1 2 310.291 1.117 20 30 DDEDLO C=CCC[C@H]1CCCN1C(=O)NCC[N@@H+]1CCC2(C1)OCCO2 ZINC001346760872 873885002 /nfs/dbraw/zinc/88/50/02/873885002.db2.gz BYRRIHAKKYKHCP-HNNXBMFYSA-N 1 2 323.437 1.575 20 30 DDEDLO C=CCC[C@H]1CCCN1C(=O)NCC[N@H+]1CCC2(C1)OCCO2 ZINC001346760872 873885021 /nfs/dbraw/zinc/88/50/21/873885021.db2.gz BYRRIHAKKYKHCP-HNNXBMFYSA-N 1 2 323.437 1.575 20 30 DDEDLO C#CCC1(NC(=O)NCc2cc[nH+]c(NCC)c2)CCOCC1 ZINC001349108407 874974846 /nfs/dbraw/zinc/97/48/46/874974846.db2.gz CHFUJCJUAYHWDO-UHFFFAOYSA-N 1 2 316.405 1.885 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001209784133 875123819 /nfs/dbraw/zinc/12/38/19/875123819.db2.gz NBDLMPNMNKENQI-CKEIUWERSA-N 1 2 322.453 1.279 20 30 DDEDLO CCC[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001209784133 875123828 /nfs/dbraw/zinc/12/38/28/875123828.db2.gz NBDLMPNMNKENQI-CKEIUWERSA-N 1 2 322.453 1.279 20 30 DDEDLO CC[C@H](CC(C)C)C(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001210111696 875233224 /nfs/dbraw/zinc/23/32/24/875233224.db2.gz OUNZZGPDDOEBFE-RBSFLKMASA-N 1 2 322.453 1.135 20 30 DDEDLO CC[C@H](CC(C)C)C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001210111696 875233235 /nfs/dbraw/zinc/23/32/35/875233235.db2.gz OUNZZGPDDOEBFE-RBSFLKMASA-N 1 2 322.453 1.135 20 30 DDEDLO Cn1cc[nH+]c1Cc1nnc(N2Cc3cccc(C#N)c3C2)n1C ZINC001350421691 875679727 /nfs/dbraw/zinc/67/97/27/875679727.db2.gz CBZVTNRRWGGGIN-UHFFFAOYSA-N 1 2 319.372 1.531 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](N(C)C(=O)CCn2cc[nH+]c2)C1 ZINC001350724045 875856246 /nfs/dbraw/zinc/85/62/46/875856246.db2.gz MGXKYMATVOSLHD-CQSZACIVSA-N 1 2 318.421 1.545 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+](Cc2ccn(CC)n2)CC1 ZINC001227342705 882977051 /nfs/dbraw/zinc/97/70/51/882977051.db2.gz VLXJQCMUGCGNJB-CQSZACIVSA-N 1 2 318.421 1.022 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1cc2n(n1)CCCC2 ZINC001379057219 876254418 /nfs/dbraw/zinc/25/44/18/876254418.db2.gz GLRUIDLMYSUFKI-UHFFFAOYSA-N 1 2 310.829 1.976 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1cc2n(n1)CCCC2 ZINC001379057219 876254427 /nfs/dbraw/zinc/25/44/27/876254427.db2.gz GLRUIDLMYSUFKI-UHFFFAOYSA-N 1 2 310.829 1.976 20 30 DDEDLO N#Cc1ccc(F)cc1C[NH2+][C@H](CO)CNC(=O)C1CCCC1 ZINC001379336166 876855672 /nfs/dbraw/zinc/85/56/72/876855672.db2.gz SDFLOXRZUPCYEQ-INIZCTEOSA-N 1 2 319.380 1.454 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001352820650 876954781 /nfs/dbraw/zinc/95/47/81/876954781.db2.gz HWUAWFBJOYNCQF-HNNXBMFYSA-N 1 2 316.405 1.040 20 30 DDEDLO C#Cc1cc(Nc2ccc(NS(=O)(=O)CC)cc2)cc[nH+]1 ZINC001216648292 877007139 /nfs/dbraw/zinc/00/71/39/877007139.db2.gz JKTFDHLPZSJDGK-UHFFFAOYSA-N 1 2 301.371 1.990 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001353104625 877122697 /nfs/dbraw/zinc/12/26/97/877122697.db2.gz UFLFJIKDARAMLK-CQSZACIVSA-N 1 2 316.405 1.013 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001217997418 877368243 /nfs/dbraw/zinc/36/82/43/877368243.db2.gz DLSOZYGTJXDKAL-JKSUJKDBSA-N 1 2 320.433 1.150 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001217997418 877368250 /nfs/dbraw/zinc/36/82/50/877368250.db2.gz DLSOZYGTJXDKAL-JKSUJKDBSA-N 1 2 320.433 1.150 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]1CNC(=O)C1CCCC1 ZINC001276771956 877404375 /nfs/dbraw/zinc/40/43/75/877404375.db2.gz LFKXAICVZKAPNZ-INIZCTEOSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]1CNC(=O)C1CCCC1 ZINC001276771956 877404390 /nfs/dbraw/zinc/40/43/90/877404390.db2.gz LFKXAICVZKAPNZ-INIZCTEOSA-N 1 2 321.465 1.792 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)CC(F)(F)F)[C@H]2C1 ZINC001218576101 877582574 /nfs/dbraw/zinc/58/25/74/877582574.db2.gz VTBXPBLEFDNUDL-SDDRHHMPSA-N 1 2 304.312 1.120 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)CC(F)(F)F)[C@H]2C1 ZINC001218576101 877582580 /nfs/dbraw/zinc/58/25/80/877582580.db2.gz VTBXPBLEFDNUDL-SDDRHHMPSA-N 1 2 304.312 1.120 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)COCc1nccn1C ZINC001379680877 877726997 /nfs/dbraw/zinc/72/69/97/877726997.db2.gz IERAIIQFPVFAOE-LBPRGKRZSA-N 1 2 314.817 1.126 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)COCc1nccn1C ZINC001379680877 877727005 /nfs/dbraw/zinc/72/70/05/877727005.db2.gz IERAIIQFPVFAOE-LBPRGKRZSA-N 1 2 314.817 1.126 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H]1CC[N@@H+]1Cc1oc(C)nc1C ZINC001276800187 877836381 /nfs/dbraw/zinc/83/63/81/877836381.db2.gz HHXAGTARDFXNNG-ZFWWWQNUSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H]1CC[N@H+]1Cc1oc(C)nc1C ZINC001276800187 877836387 /nfs/dbraw/zinc/83/63/87/877836387.db2.gz HHXAGTARDFXNNG-ZFWWWQNUSA-N 1 2 321.421 1.963 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@@H]1O ZINC001219580915 878357662 /nfs/dbraw/zinc/35/76/62/878357662.db2.gz FXXXJBQQGUHFNA-CVEARBPZSA-N 1 2 320.820 1.661 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@@H]1O ZINC001219580915 878357673 /nfs/dbraw/zinc/35/76/73/878357673.db2.gz FXXXJBQQGUHFNA-CVEARBPZSA-N 1 2 320.820 1.661 20 30 DDEDLO C=C/C(C)=C/CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220169880 878771814 /nfs/dbraw/zinc/77/18/14/878771814.db2.gz VCDAJNWHYYCRJP-SBSMOFILSA-N 1 2 324.424 1.722 20 30 DDEDLO C=C/C(C)=C/CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220169880 878771826 /nfs/dbraw/zinc/77/18/26/878771826.db2.gz VCDAJNWHYYCRJP-SBSMOFILSA-N 1 2 324.424 1.722 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@@H](C)C#N)Cc1cc(C)ns1 ZINC001380104320 878804935 /nfs/dbraw/zinc/80/49/35/878804935.db2.gz PMRAFAWXRGNAIK-NSHDSACASA-N 1 2 310.423 1.176 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@@H](C)C#N)Cc1cc(C)ns1 ZINC001380104320 878804938 /nfs/dbraw/zinc/80/49/38/878804938.db2.gz PMRAFAWXRGNAIK-NSHDSACASA-N 1 2 310.423 1.176 20 30 DDEDLO C=C1CCC(CNC(=O)C(=O)N(C)CCCn2cc[nH+]c2)CC1 ZINC001356171675 878904110 /nfs/dbraw/zinc/90/41/10/878904110.db2.gz VQEFPABFKPJYCP-UHFFFAOYSA-N 1 2 318.421 1.594 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001356417966 879043607 /nfs/dbraw/zinc/04/36/07/879043607.db2.gz WCUCPCCQWZRAED-RHSMWYFYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](N(CC)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001356861722 879492745 /nfs/dbraw/zinc/49/27/45/879492745.db2.gz ICCMRZSMCAVTHN-AWEZNQCLSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001357011423 879696874 /nfs/dbraw/zinc/69/68/74/879696874.db2.gz KUFUZYOCQUOSQO-UONOGXRCSA-N 1 2 318.421 1.280 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2[nH+]ccn2C)[C@@H]1C ZINC001287858003 912507704 /nfs/dbraw/zinc/50/77/04/912507704.db2.gz GXDRGOUSRWZRQC-KBPBESRZSA-N 1 2 318.421 1.425 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)C3(F)CCCC3)[C@@H]2C1 ZINC001221662543 879994866 /nfs/dbraw/zinc/99/48/66/879994866.db2.gz RDXPJWZNAUNYDJ-ZIAGYGMSSA-N 1 2 323.412 1.104 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C3(F)CCCC3)[C@@H]2C1 ZINC001221662543 879994874 /nfs/dbraw/zinc/99/48/74/879994874.db2.gz RDXPJWZNAUNYDJ-ZIAGYGMSSA-N 1 2 323.412 1.104 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@@H+](CC(=O)NC)C[C@H]32)CCCC1 ZINC001222151715 880288346 /nfs/dbraw/zinc/28/83/46/880288346.db2.gz MAPKMMSANYBOKX-HUUCEWRRSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@H+](CC(=O)NC)C[C@H]32)CCCC1 ZINC001222151715 880288354 /nfs/dbraw/zinc/28/83/54/880288354.db2.gz MAPKMMSANYBOKX-HUUCEWRRSA-N 1 2 319.449 1.402 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001358515677 880473118 /nfs/dbraw/zinc/47/31/18/880473118.db2.gz SBOFFICAWAQNHW-OLZOCXBDSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H](C)NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001358515677 880473125 /nfs/dbraw/zinc/47/31/25/880473125.db2.gz SBOFFICAWAQNHW-OLZOCXBDSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001287932530 912603020 /nfs/dbraw/zinc/60/30/20/912603020.db2.gz DYDOOZHSGYRKSZ-OLZOCXBDSA-N 1 2 304.394 1.414 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](NC(=O)CCn2cc[nH+]c2)C[C@H]1C ZINC001287932191 912603279 /nfs/dbraw/zinc/60/32/79/912603279.db2.gz CESBDEWBHMPICV-CABCVRRESA-N 1 2 318.421 1.735 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@](C)(OC)c1ccccc1F ZINC001276861828 880817235 /nfs/dbraw/zinc/81/72/35/880817235.db2.gz QLTKZHOKACOWNF-SUMWQHHRSA-N 1 2 304.365 1.511 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@](C)(OC)c1ccccc1F ZINC001276861828 880817250 /nfs/dbraw/zinc/81/72/50/880817250.db2.gz QLTKZHOKACOWNF-SUMWQHHRSA-N 1 2 304.365 1.511 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001358748994 880854370 /nfs/dbraw/zinc/85/43/70/880854370.db2.gz XKMJJARSHMZYHF-INIZCTEOSA-N 1 2 304.394 1.224 20 30 DDEDLO C=CCCC(=O)N1CC[C@@]2(NC(=O)Cn3cc[nH+]c3)CCC[C@@H]12 ZINC001287975621 912636136 /nfs/dbraw/zinc/63/61/36/912636136.db2.gz VBOHOIOKTBDHQF-PBHICJAKSA-N 1 2 316.405 1.489 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@H+]2[C@@H]2CCN(C)C2=O)CCC1 ZINC001276923755 881113444 /nfs/dbraw/zinc/11/34/44/881113444.db2.gz MYPHWBLGXPTDCU-UONOGXRCSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@@H+]2[C@@H]2CCN(C)C2=O)CCC1 ZINC001276923755 881113453 /nfs/dbraw/zinc/11/34/53/881113453.db2.gz MYPHWBLGXPTDCU-UONOGXRCSA-N 1 2 305.422 1.154 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CC[NH+](Cc2nnc(C)[nH]2)CC1 ZINC001224057495 881198064 /nfs/dbraw/zinc/19/80/64/881198064.db2.gz NECNQPULDTVQPO-UHFFFAOYSA-N 1 2 305.426 1.654 20 30 DDEDLO C=CCCCC(=O)NCC1CC[NH+](Cc2cnn(CC)n2)CC1 ZINC001224272831 881303356 /nfs/dbraw/zinc/30/33/56/881303356.db2.gz HXLJORZROSPFOJ-UHFFFAOYSA-N 1 2 319.453 1.983 20 30 DDEDLO C=CCCNC(=S)N1CC[C@H]2C[N@@H+](COCCOC)C[C@H]21 ZINC001277401696 884063938 /nfs/dbraw/zinc/06/39/38/884063938.db2.gz SGRFTKHPMHPEIL-UONOGXRCSA-N 1 2 313.467 1.064 20 30 DDEDLO C=CCCNC(=S)N1CC[C@H]2C[N@H+](COCCOC)C[C@H]21 ZINC001277401696 884063953 /nfs/dbraw/zinc/06/39/53/884063953.db2.gz SGRFTKHPMHPEIL-UONOGXRCSA-N 1 2 313.467 1.064 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+]([C@H](C)c2nncn2C)CC1 ZINC001230415838 884483212 /nfs/dbraw/zinc/48/32/12/884483212.db2.gz ZGCXJDOVLKDEJZ-OLZOCXBDSA-N 1 2 321.425 1.048 20 30 DDEDLO C=CCCC(=O)N1CC[C@@]2(C1)CCC[N@H+](Cc1cnon1)C2 ZINC001277456665 884507246 /nfs/dbraw/zinc/50/72/46/884507246.db2.gz BEYJRDARJXFDCV-INIZCTEOSA-N 1 2 304.394 1.850 20 30 DDEDLO C=CCCC(=O)N1CC[C@@]2(C1)CCC[N@@H+](Cc1cnon1)C2 ZINC001277456665 884507263 /nfs/dbraw/zinc/50/72/63/884507263.db2.gz BEYJRDARJXFDCV-INIZCTEOSA-N 1 2 304.394 1.850 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C(CC)CC)C1=O ZINC001230834670 884935723 /nfs/dbraw/zinc/93/57/23/884935723.db2.gz JTOCINANDIFCHU-CVEARBPZSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C(CC)CC)C1=O ZINC001230834670 884935745 /nfs/dbraw/zinc/93/57/45/884935745.db2.gz JTOCINANDIFCHU-CVEARBPZSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1C[C@H](C)CS(C)(=O)=O ZINC001231179191 885332987 /nfs/dbraw/zinc/33/29/87/885332987.db2.gz QYEAAYWULUWDQB-KBPBESRZSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1C[C@H](C)CS(C)(=O)=O ZINC001231179191 885333008 /nfs/dbraw/zinc/33/30/08/885333008.db2.gz QYEAAYWULUWDQB-KBPBESRZSA-N 1 2 316.467 1.166 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N[C@@H](C)C1CC1 ZINC001231179231 885333460 /nfs/dbraw/zinc/33/34/60/885333460.db2.gz RMGYUPJNOHMABZ-ZFWWWQNUSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N[C@@H](C)C1CC1 ZINC001231179231 885333475 /nfs/dbraw/zinc/33/34/75/885333475.db2.gz RMGYUPJNOHMABZ-ZFWWWQNUSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(OC)nc1 ZINC001231227474 885405037 /nfs/dbraw/zinc/40/50/37/885405037.db2.gz ZMGWGZXWQLQNKU-HNNXBMFYSA-N 1 2 319.405 1.326 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(OC)nc1 ZINC001231227474 885405049 /nfs/dbraw/zinc/40/50/49/885405049.db2.gz ZMGWGZXWQLQNKU-HNNXBMFYSA-N 1 2 319.405 1.326 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1CCOCC(F)(F)F ZINC001231293197 885474376 /nfs/dbraw/zinc/47/43/76/885474376.db2.gz KCIMATXAIXRCFF-QWRGUYRKSA-N 1 2 307.316 1.258 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1CCOCC(F)(F)F ZINC001231293197 885474391 /nfs/dbraw/zinc/47/43/91/885474391.db2.gz KCIMATXAIXRCFF-QWRGUYRKSA-N 1 2 307.316 1.258 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC1CC1 ZINC001231343428 885523844 /nfs/dbraw/zinc/52/38/44/885523844.db2.gz VETYRZNBEQEJLR-UKRRQHHQSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCC1CC1 ZINC001231343428 885523859 /nfs/dbraw/zinc/52/38/59/885523859.db2.gz VETYRZNBEQEJLR-UKRRQHHQSA-N 1 2 307.438 1.400 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccccc1C#N)C(=O)Cc1ccon1 ZINC001231396117 885598760 /nfs/dbraw/zinc/59/87/60/885598760.db2.gz VPZZFMYLJNKVDR-QGZVFWFLSA-N 1 2 324.384 1.822 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccccc1C#N)C(=O)Cc1ccon1 ZINC001231396117 885598763 /nfs/dbraw/zinc/59/87/63/885598763.db2.gz VPZZFMYLJNKVDR-QGZVFWFLSA-N 1 2 324.384 1.822 20 30 DDEDLO CC[N@@H+]1CC[C@@H]1C(=O)Nc1ccccc1-n1ncc(C#N)c1N ZINC001363178993 885651798 /nfs/dbraw/zinc/65/17/98/885651798.db2.gz XSEUIVXEANOWIB-CQSZACIVSA-N 1 2 310.361 1.359 20 30 DDEDLO CC[N@H+]1CC[C@@H]1C(=O)Nc1ccccc1-n1ncc(C#N)c1N ZINC001363178993 885651809 /nfs/dbraw/zinc/65/18/09/885651809.db2.gz XSEUIVXEANOWIB-CQSZACIVSA-N 1 2 310.361 1.359 20 30 DDEDLO N#Cc1cnc(N2CC3(CC[N@H+]3CCCC(F)(F)F)C2)nc1 ZINC001277736472 886681448 /nfs/dbraw/zinc/68/14/48/886681448.db2.gz HBSCOFZNKGFVNF-UHFFFAOYSA-N 1 2 311.311 1.955 20 30 DDEDLO N#Cc1cnc(N2CC3(CC[N@@H+]3CCCC(F)(F)F)C2)nc1 ZINC001277736472 886681455 /nfs/dbraw/zinc/68/14/55/886681455.db2.gz HBSCOFZNKGFVNF-UHFFFAOYSA-N 1 2 311.311 1.955 20 30 DDEDLO Cc1ncsc1C[N@H+]1C[C@H]2C[C@@H](C1)N2c1ncc(C#N)cn1 ZINC001277737003 886681677 /nfs/dbraw/zinc/68/16/77/886681677.db2.gz XVOKKYXKPCDSMP-BETUJISGSA-N 1 2 312.402 1.576 20 30 DDEDLO Cc1ncsc1C[N@@H+]1C[C@H]2C[C@@H](C1)N2c1ncc(C#N)cn1 ZINC001277737003 886681684 /nfs/dbraw/zinc/68/16/84/886681684.db2.gz XVOKKYXKPCDSMP-BETUJISGSA-N 1 2 312.402 1.576 20 30 DDEDLO Cc1noc(C[C@@H]2C[C@H]3C[N@H+](Cc4[nH]ccc4C#N)C[C@H]3O2)n1 ZINC001233043388 886751683 /nfs/dbraw/zinc/75/16/83/886751683.db2.gz AKVTVKRRKWFCAX-KCQAQPDRSA-N 1 2 313.361 1.410 20 30 DDEDLO Cc1noc(C[C@@H]2C[C@H]3C[N@@H+](Cc4[nH]ccc4C#N)C[C@H]3O2)n1 ZINC001233043388 886751694 /nfs/dbraw/zinc/75/16/94/886751694.db2.gz AKVTVKRRKWFCAX-KCQAQPDRSA-N 1 2 313.361 1.410 20 30 DDEDLO N#Cc1cc[nH]c1C[NH+]1CCC(NC(=O)C2CCOCC2)CC1 ZINC001233047390 886757138 /nfs/dbraw/zinc/75/71/38/886757138.db2.gz YNPHIANMSQNXKC-UHFFFAOYSA-N 1 2 316.405 1.394 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CCc1ccoc1 ZINC001233580846 887127539 /nfs/dbraw/zinc/12/75/39/887127539.db2.gz NZUDIQBPXJWGCY-HNNXBMFYSA-N 1 2 319.405 1.047 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CCc1ccoc1 ZINC001233580846 887127543 /nfs/dbraw/zinc/12/75/43/887127543.db2.gz NZUDIQBPXJWGCY-HNNXBMFYSA-N 1 2 319.405 1.047 20 30 DDEDLO N#Cc1cccc([C@@H](O)C[N@@H+]2CCc3ccccc3[C@H]2C(N)=O)c1 ZINC001363778287 887196034 /nfs/dbraw/zinc/19/60/34/887196034.db2.gz CRFKOJHECQACNS-ROUUACIJSA-N 1 2 321.380 1.676 20 30 DDEDLO N#Cc1cccc([C@@H](O)C[N@H+]2CCc3ccccc3[C@H]2C(N)=O)c1 ZINC001363778287 887196043 /nfs/dbraw/zinc/19/60/43/887196043.db2.gz CRFKOJHECQACNS-ROUUACIJSA-N 1 2 321.380 1.676 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)cn1 ZINC001233937666 887475507 /nfs/dbraw/zinc/47/55/07/887475507.db2.gz PFWNHAQTPNUKOI-WBVHZDCISA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)cn1 ZINC001233937666 887475512 /nfs/dbraw/zinc/47/55/12/887475512.db2.gz PFWNHAQTPNUKOI-WBVHZDCISA-N 1 2 318.421 1.145 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NCCCC ZINC001233965981 887506622 /nfs/dbraw/zinc/50/66/22/887506622.db2.gz HOUQMDCYMUMFPB-OAHLLOKOSA-N 1 2 307.438 1.239 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NCCCC ZINC001233965981 887506632 /nfs/dbraw/zinc/50/66/32/887506632.db2.gz HOUQMDCYMUMFPB-OAHLLOKOSA-N 1 2 307.438 1.239 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234118701 887662896 /nfs/dbraw/zinc/66/28/96/887662896.db2.gz KANQAHUXVXZOII-MRXNPFEDSA-N 1 2 302.422 1.421 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234118701 887662913 /nfs/dbraw/zinc/66/29/13/887662913.db2.gz KANQAHUXVXZOII-MRXNPFEDSA-N 1 2 302.422 1.421 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)ccn1 ZINC001234178239 887717118 /nfs/dbraw/zinc/71/71/18/887717118.db2.gz JUSLRQRZONCRCD-MRXNPFEDSA-N 1 2 313.401 1.536 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)ccn1 ZINC001234178239 887717134 /nfs/dbraw/zinc/71/71/34/887717134.db2.gz JUSLRQRZONCRCD-MRXNPFEDSA-N 1 2 313.401 1.536 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)sn1 ZINC001234186902 887727376 /nfs/dbraw/zinc/72/73/76/887727376.db2.gz QHMLALILVPGHNT-CQSZACIVSA-N 1 2 303.431 1.898 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC2CC2)sn1 ZINC001234186902 887727380 /nfs/dbraw/zinc/72/73/80/887727380.db2.gz QHMLALILVPGHNT-CQSZACIVSA-N 1 2 303.431 1.898 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(C)c1F ZINC001234447497 887978264 /nfs/dbraw/zinc/97/82/64/887978264.db2.gz VXRBRNPWRHYHST-HNNXBMFYSA-N 1 2 318.392 1.930 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccc(C)c1F ZINC001234447497 887978273 /nfs/dbraw/zinc/97/82/73/887978273.db2.gz VXRBRNPWRHYHST-HNNXBMFYSA-N 1 2 318.392 1.930 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@@H](C)C(=O)NC ZINC001234553824 888085977 /nfs/dbraw/zinc/08/59/77/888085977.db2.gz AGDLMLFJAIHMRP-CMPLNLGQSA-N 1 2 315.845 1.432 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)C(=O)NC ZINC001234553824 888085994 /nfs/dbraw/zinc/08/59/94/888085994.db2.gz AGDLMLFJAIHMRP-CMPLNLGQSA-N 1 2 315.845 1.432 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(CC)C1CC1 ZINC001234625174 888153659 /nfs/dbraw/zinc/15/36/59/888153659.db2.gz JPIKXBDSLATQTK-INIZCTEOSA-N 1 2 321.465 1.886 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(CC)C1CC1 ZINC001234625174 888153674 /nfs/dbraw/zinc/15/36/74/888153674.db2.gz JPIKXBDSLATQTK-INIZCTEOSA-N 1 2 321.465 1.886 20 30 DDEDLO CC[C@@H](CC(F)F)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#CCOC ZINC001235226803 888490926 /nfs/dbraw/zinc/49/09/26/888490926.db2.gz SGRGIALBKYPXCB-KBPBESRZSA-N 1 2 316.392 1.850 20 30 DDEDLO CC[C@@H](CC(F)F)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#CCOC ZINC001235226803 888490935 /nfs/dbraw/zinc/49/09/35/888490935.db2.gz SGRGIALBKYPXCB-KBPBESRZSA-N 1 2 316.392 1.850 20 30 DDEDLO N#CCOc1ccccc1C(=O)N1CCc2cc[nH+]c(N)c2C1 ZINC001364376978 888492800 /nfs/dbraw/zinc/49/28/00/888492800.db2.gz SMDJILZVWCOFNO-UHFFFAOYSA-N 1 2 308.341 1.765 20 30 DDEDLO CC(C)C[C@@H](C(=O)N(C)C[C@@H]1CCN1CC#N)n1cc[nH+]c1 ZINC001235632418 888819864 /nfs/dbraw/zinc/81/98/64/888819864.db2.gz IDSUNSFLJHVFKY-GJZGRUSLSA-N 1 2 303.410 1.527 20 30 DDEDLO N#Cc1cc(F)c(C[NH+]2CCC3(CNC(=O)O3)CC2)c(F)c1 ZINC001235664023 888860100 /nfs/dbraw/zinc/86/01/00/888860100.db2.gz NEVWFEDCLLCQOM-UHFFFAOYSA-N 1 2 307.300 1.911 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nc(CC(C)C)no1 ZINC001235699025 888895466 /nfs/dbraw/zinc/89/54/66/888895466.db2.gz SLZJCDPJTALELA-UHFFFAOYSA-N 1 2 324.425 1.409 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nc(CC(C)C)no1 ZINC001235699025 888895484 /nfs/dbraw/zinc/89/54/84/888895484.db2.gz SLZJCDPJTALELA-UHFFFAOYSA-N 1 2 324.425 1.409 20 30 DDEDLO CO[C@@H](C)C(=O)N1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC001364940712 889716811 /nfs/dbraw/zinc/71/68/11/889716811.db2.gz CANCMYUDPHUJLK-AWEZNQCLSA-N 1 2 317.389 1.268 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](CO)[NH2+]Cc1ncoc1C(C)C ZINC001278128261 889790200 /nfs/dbraw/zinc/79/02/00/889790200.db2.gz HRMVKOJEERKBEX-GFCCVEGCSA-N 1 2 309.410 1.577 20 30 DDEDLO C#CCN(C(=O)CCCF)C1CC[NH+](Cc2ccon2)CC1 ZINC001278169719 889940938 /nfs/dbraw/zinc/94/09/38/889940938.db2.gz QZVLMYUCRWOBDM-UHFFFAOYSA-N 1 2 307.369 1.851 20 30 DDEDLO Cc1nsc(N2CCC[N@H+](Cc3cc(C#N)ccn3)CC2)n1 ZINC001365286178 890506048 /nfs/dbraw/zinc/50/60/48/890506048.db2.gz JHCSOKVPDVDUAQ-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO Cc1nsc(N2CCC[N@@H+](Cc3cc(C#N)ccn3)CC2)n1 ZINC001365286178 890506064 /nfs/dbraw/zinc/50/60/64/890506064.db2.gz JHCSOKVPDVDUAQ-UHFFFAOYSA-N 1 2 314.418 1.826 20 30 DDEDLO C[C@@H]([NH2+][C@@H]1CCN(c2ccc(C#N)cc2)C1=O)c1nncn1C ZINC001365288203 890511928 /nfs/dbraw/zinc/51/19/28/890511928.db2.gz JLPCBMFPBLRXDV-BXUZGUMPSA-N 1 2 310.361 1.143 20 30 DDEDLO CC(C)[C@@](C)([NH2+]Cc1nnc(-c2cccc(C#N)c2)o1)C(N)=O ZINC001365298794 890535565 /nfs/dbraw/zinc/53/55/65/890535565.db2.gz LJNAFHVEQDBXMN-MRXNPFEDSA-N 1 2 313.361 1.598 20 30 DDEDLO CO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365972073 892086182 /nfs/dbraw/zinc/08/61/82/892086182.db2.gz LYQKIQWCFNJBDX-RISCZKNCSA-N 1 2 305.353 1.423 20 30 DDEDLO CO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365972073 892086201 /nfs/dbraw/zinc/08/62/01/892086201.db2.gz LYQKIQWCFNJBDX-RISCZKNCSA-N 1 2 305.353 1.423 20 30 DDEDLO CC(C)OCC(=O)N[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365977146 892108575 /nfs/dbraw/zinc/10/85/75/892108575.db2.gz SMRXSRLJCXZJHD-HNNXBMFYSA-N 1 2 319.380 1.813 20 30 DDEDLO CC(C)OCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001365977146 892108582 /nfs/dbraw/zinc/10/85/82/892108582.db2.gz SMRXSRLJCXZJHD-HNNXBMFYSA-N 1 2 319.380 1.813 20 30 DDEDLO CCc1cnc(C[NH2+][C@H](C)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001366267126 892951876 /nfs/dbraw/zinc/95/18/76/892951876.db2.gz IHSQQKSTHPOYHG-SNVBAGLBSA-N 1 2 301.350 1.345 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)(CC)CC)[C@H]1C ZINC001278533307 894116558 /nfs/dbraw/zinc/11/65/58/894116558.db2.gz QEFAJHBKNIRRTH-HUUCEWRRSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(CC)CC)[C@H]1C ZINC001278533307 894116563 /nfs/dbraw/zinc/11/65/63/894116563.db2.gz QEFAJHBKNIRRTH-HUUCEWRRSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+](CC(N)=O)[C@H]2C)CCCC1 ZINC001278533321 894117184 /nfs/dbraw/zinc/11/71/84/894117184.db2.gz QGSDKXJERDLLSO-KBPBESRZSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+](CC(N)=O)[C@H]2C)CCCC1 ZINC001278533321 894117194 /nfs/dbraw/zinc/11/71/94/894117194.db2.gz QGSDKXJERDLLSO-KBPBESRZSA-N 1 2 307.438 1.577 20 30 DDEDLO N#Cc1ccc(NC[C@@H](O)C[NH+]2CCOCC2)c(F)c1Cl ZINC001251030935 894584335 /nfs/dbraw/zinc/58/43/35/894584335.db2.gz GWIZQZJAJDRZAF-LLVKDONJSA-N 1 2 313.760 1.456 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)c1n[nH]c2c1CCC2)C1CC1 ZINC001366748133 894806382 /nfs/dbraw/zinc/80/63/82/894806382.db2.gz GLJIIEOXCRZCFB-UHFFFAOYSA-N 1 2 308.813 1.845 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)c1n[nH]c2c1CCC2)C1CC1 ZINC001366748133 894806395 /nfs/dbraw/zinc/80/63/95/894806395.db2.gz GLJIIEOXCRZCFB-UHFFFAOYSA-N 1 2 308.813 1.845 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1CCc2c(nnn2CC2CC2)[C@H]1COC ZINC001252546880 895257892 /nfs/dbraw/zinc/25/78/92/895257892.db2.gz BWJSZJXBEGQBEF-ZBFHGGJFSA-N 1 2 306.410 1.171 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1CCc2c(nnn2CC2CC2)[C@H]1COC ZINC001252546880 895257906 /nfs/dbraw/zinc/25/79/06/895257906.db2.gz BWJSZJXBEGQBEF-ZBFHGGJFSA-N 1 2 306.410 1.171 20 30 DDEDLO C=CCC[C@H](O)C[N@H+]1C[C@@H](NC(=O)OC(C)(C)C)[C@@H](F)C1 ZINC001252602425 895310512 /nfs/dbraw/zinc/31/05/12/895310512.db2.gz AMCXEEWYUMWCKW-RWMBFGLXSA-N 1 2 302.390 1.861 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+]1C[C@@H](NC(=O)OC(C)(C)C)[C@@H](F)C1 ZINC001252602425 895310526 /nfs/dbraw/zinc/31/05/26/895310526.db2.gz AMCXEEWYUMWCKW-RWMBFGLXSA-N 1 2 302.390 1.861 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@H+]1C[C@@H](O)CCC ZINC001252779956 895447398 /nfs/dbraw/zinc/44/73/98/895447398.db2.gz KWPCKGISLQDBGM-ZFWWWQNUSA-N 1 2 308.426 1.561 20 30 DDEDLO C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1C[C@@H](O)CCC ZINC001252779956 895447404 /nfs/dbraw/zinc/44/74/04/895447404.db2.gz KWPCKGISLQDBGM-ZFWWWQNUSA-N 1 2 308.426 1.561 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H](NC(C)=O)[C@@H](C)CC)C1 ZINC001367019737 895590033 /nfs/dbraw/zinc/59/00/33/895590033.db2.gz PLIFXUNMJMIHIV-BPNCWPANSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H](NC(C)=O)[C@@H](C)CC)C1 ZINC001367019737 895590045 /nfs/dbraw/zinc/59/00/45/895590045.db2.gz PLIFXUNMJMIHIV-BPNCWPANSA-N 1 2 315.845 1.480 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nc(CC)no1)C2 ZINC001253538140 895888804 /nfs/dbraw/zinc/88/88/04/895888804.db2.gz AYAMEDHOWMASCX-UHFFFAOYSA-N 1 2 318.421 1.879 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC2(C1)CC[N@H+](Cc1nc(CC)no1)C2 ZINC001253538140 895888819 /nfs/dbraw/zinc/88/88/19/895888819.db2.gz AYAMEDHOWMASCX-UHFFFAOYSA-N 1 2 318.421 1.879 20 30 DDEDLO Cc1cc(C[N@@H+]2CCO[C@H](CNC(=O)[C@@H](C)C#N)C2)cs1 ZINC001367303552 896394999 /nfs/dbraw/zinc/39/49/99/896394999.db2.gz WQNGYQOORMGGHD-SMDDNHRTSA-N 1 2 307.419 1.533 20 30 DDEDLO Cc1cc(C[N@H+]2CCO[C@H](CNC(=O)[C@@H](C)C#N)C2)cs1 ZINC001367303552 896395012 /nfs/dbraw/zinc/39/50/12/896395012.db2.gz WQNGYQOORMGGHD-SMDDNHRTSA-N 1 2 307.419 1.533 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001278800373 896541107 /nfs/dbraw/zinc/54/11/07/896541107.db2.gz MSXASHYINISCRQ-PBHICJAKSA-N 1 2 318.421 1.447 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001278800373 896541122 /nfs/dbraw/zinc/54/11/22/896541122.db2.gz MSXASHYINISCRQ-PBHICJAKSA-N 1 2 318.421 1.447 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H](N3CC[NH2+]C[C@@H]3C#N)C[C@@H]2C1 ZINC001254901994 896652845 /nfs/dbraw/zinc/65/28/45/896652845.db2.gz AQUJVXAGMTVXDR-YJNKXOJESA-N 1 2 320.437 1.429 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](O)C[N@H+](C)CC(=C)Br ZINC001278850608 896795374 /nfs/dbraw/zinc/79/53/74/896795374.db2.gz PYGWMKLQYUXPRD-GFCCVEGCSA-N 1 2 319.243 1.612 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](O)C[N@@H+](C)CC(=C)Br ZINC001278850608 896795386 /nfs/dbraw/zinc/79/53/86/896795386.db2.gz PYGWMKLQYUXPRD-GFCCVEGCSA-N 1 2 319.243 1.612 20 30 DDEDLO Cc1ncncc1C(=O)N(C)CC[N@H+](C)Cc1ccccc1C#N ZINC001367502104 896942176 /nfs/dbraw/zinc/94/21/76/896942176.db2.gz LODPDFRQMNMRRB-UHFFFAOYSA-N 1 2 323.400 1.861 20 30 DDEDLO Cc1ncncc1C(=O)N(C)CC[N@@H+](C)Cc1ccccc1C#N ZINC001367502104 896942188 /nfs/dbraw/zinc/94/21/88/896942188.db2.gz LODPDFRQMNMRRB-UHFFFAOYSA-N 1 2 323.400 1.861 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1ccc(=O)n(CC)n1 ZINC001367840239 897980271 /nfs/dbraw/zinc/98/02/71/897980271.db2.gz XGWXCDAPTPJADI-LLVKDONJSA-N 1 2 312.801 1.066 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1ccc(=O)n(CC)n1 ZINC001367840239 897980282 /nfs/dbraw/zinc/98/02/82/897980282.db2.gz XGWXCDAPTPJADI-LLVKDONJSA-N 1 2 312.801 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)CCc2nccn2C)C1 ZINC001368159589 898853395 /nfs/dbraw/zinc/85/33/95/898853395.db2.gz CZOPCTXDIKHIOU-OAHLLOKOSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)CCc2nccn2C)C1 ZINC001368159589 898853406 /nfs/dbraw/zinc/85/34/06/898853406.db2.gz CZOPCTXDIKHIOU-OAHLLOKOSA-N 1 2 310.829 1.686 20 30 DDEDLO CC[C@H](CNC(=O)[C@H](C)C#N)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001390643229 899845514 /nfs/dbraw/zinc/84/55/14/899845514.db2.gz AHLQRCOGSCZIRK-ZYHUDNBSSA-N 1 2 313.361 1.347 20 30 DDEDLO C=CC[C@H](C)OC(=O)CC[NH+]1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001262066256 899926546 /nfs/dbraw/zinc/92/65/46/899926546.db2.gz WPCNTAWDTWTABK-GJZGRUSLSA-N 1 2 324.421 1.208 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@H+](C)CC(=O)N(C1CC1)C1CCCC1 ZINC001390740995 900069913 /nfs/dbraw/zinc/06/99/13/900069913.db2.gz GLFSXVBMYMIVLJ-CYBMUJFWSA-N 1 2 320.437 1.128 20 30 DDEDLO C[C@H](C#N)C(=O)NCC[N@@H+](C)CC(=O)N(C1CC1)C1CCCC1 ZINC001390740995 900069921 /nfs/dbraw/zinc/06/99/21/900069921.db2.gz GLFSXVBMYMIVLJ-CYBMUJFWSA-N 1 2 320.437 1.128 20 30 DDEDLO C=C(C)Cn1c(N2CCCCC2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001263285966 900558184 /nfs/dbraw/zinc/55/81/84/900558184.db2.gz RTVNFCCGUAVGGX-YOEHRIQHSA-N 1 2 319.453 1.756 20 30 DDEDLO C=C(C)Cn1c(N2CCCCC2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001263285966 900558188 /nfs/dbraw/zinc/55/81/88/900558188.db2.gz RTVNFCCGUAVGGX-YOEHRIQHSA-N 1 2 319.453 1.756 20 30 DDEDLO Cn1c[nH+]cc1C1CCN(C(=O)c2cccc(C#CCO)c2)CC1 ZINC001264010756 900871486 /nfs/dbraw/zinc/87/14/86/900871486.db2.gz KRBYFXFCOVRUTD-UHFFFAOYSA-N 1 2 323.396 1.784 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCC(C)(C)C1 ZINC001264370454 901048902 /nfs/dbraw/zinc/04/89/02/901048902.db2.gz CWFSHGUYSUGJLD-JKSUJKDBSA-N 1 2 306.450 1.995 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCC(C)(C)C1 ZINC001264370454 901048915 /nfs/dbraw/zinc/04/89/15/901048915.db2.gz CWFSHGUYSUGJLD-JKSUJKDBSA-N 1 2 306.450 1.995 20 30 DDEDLO COc1cccc(C[N@H+]2CCC[C@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001391387302 901643569 /nfs/dbraw/zinc/64/35/69/901643569.db2.gz GYIUIDGNOZXFSX-JSGCOSHPSA-N 1 2 302.378 1.330 20 30 DDEDLO COc1cccc(C[N@@H+]2CCC[C@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001391387302 901643561 /nfs/dbraw/zinc/64/35/61/901643561.db2.gz GYIUIDGNOZXFSX-JSGCOSHPSA-N 1 2 302.378 1.330 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@H+](Cc2ccns2)C1 ZINC001265235961 901758370 /nfs/dbraw/zinc/75/83/70/901758370.db2.gz ZOUFWFGXALBMCU-CYBMUJFWSA-N 1 2 309.435 1.816 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccns2)C1 ZINC001265235961 901758379 /nfs/dbraw/zinc/75/83/79/901758379.db2.gz ZOUFWFGXALBMCU-CYBMUJFWSA-N 1 2 309.435 1.816 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH+]1CCN(Cc2cc(C)on2)CC1 ZINC001265263290 901786751 /nfs/dbraw/zinc/78/67/51/901786751.db2.gz ZTCWHOSOSTZFLO-UHFFFAOYSA-N 1 2 320.437 1.429 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@H+](Cc2ccc(Cl)nc2)C1 ZINC001265283392 901819112 /nfs/dbraw/zinc/81/91/12/901819112.db2.gz FAAXCYMGMFOEPJ-TZMCWYRMSA-N 1 2 321.808 1.464 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(Cl)nc2)C1 ZINC001265283392 901819119 /nfs/dbraw/zinc/81/91/19/901819119.db2.gz FAAXCYMGMFOEPJ-TZMCWYRMSA-N 1 2 321.808 1.464 20 30 DDEDLO CCCC[C@H](C(N)=O)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001265405168 901979360 /nfs/dbraw/zinc/97/93/60/901979360.db2.gz PQFCZKDZNBKYBY-OWCLPIDISA-N 1 2 319.449 1.365 20 30 DDEDLO CCCC[C@H](C(N)=O)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001265405168 901979372 /nfs/dbraw/zinc/97/93/72/901979372.db2.gz PQFCZKDZNBKYBY-OWCLPIDISA-N 1 2 319.449 1.365 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCCC[N@H+]1Cc1cnnn1CC ZINC001265649076 902251488 /nfs/dbraw/zinc/25/14/88/902251488.db2.gz MYVKBFMIUVSETL-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCCC[N@@H+]1Cc1cnnn1CC ZINC001265649076 902251496 /nfs/dbraw/zinc/25/14/96/902251496.db2.gz MYVKBFMIUVSETL-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO C[C@H](CNC(=O)CSCC#N)[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001265755373 902370706 /nfs/dbraw/zinc/37/07/06/902370706.db2.gz WVNPSVNRNMGQKJ-ZJUUUORDSA-N 1 2 323.422 1.359 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H](C)Oc2cccnc2)C1 ZINC001370012915 902387249 /nfs/dbraw/zinc/38/72/49/902387249.db2.gz GHZQFNLNIAEWIT-QWHCGFSZSA-N 1 2 309.797 1.792 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H](C)Oc2cccnc2)C1 ZINC001370012915 902387262 /nfs/dbraw/zinc/38/72/62/902387262.db2.gz GHZQFNLNIAEWIT-QWHCGFSZSA-N 1 2 309.797 1.792 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001280295945 903585540 /nfs/dbraw/zinc/58/55/40/903585540.db2.gz YXLQQGHPBQYHPM-CHWSQXEVSA-N 1 2 304.394 1.011 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001280295945 903585548 /nfs/dbraw/zinc/58/55/48/903585548.db2.gz YXLQQGHPBQYHPM-CHWSQXEVSA-N 1 2 304.394 1.011 20 30 DDEDLO C[C@H](C[NH2+][C@H](C)c1csnn1)NC(=O)c1cc(C#N)c[nH]1 ZINC001392470101 904197626 /nfs/dbraw/zinc/19/76/26/904197626.db2.gz DJHYORCEJAHQMN-RKDXNWHRSA-N 1 2 304.379 1.207 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H](C)NC(=O)Cc1c[nH]c[nH+]1 ZINC001280996901 904297561 /nfs/dbraw/zinc/29/75/61/904297561.db2.gz RMQLTLTYBSJMOK-CYBMUJFWSA-N 1 2 304.394 1.109 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)C[NH2+]Cc1noc(-c2ccoc2)n1 ZINC001392551268 904439663 /nfs/dbraw/zinc/43/96/63/904439663.db2.gz UQUQBLRFZFXJKW-UWVGGRQHSA-N 1 2 303.322 1.084 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001281170456 904520611 /nfs/dbraw/zinc/52/06/11/904520611.db2.gz KRTXGZAZGGIIFK-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)[C@H]1C ZINC001281200474 904555484 /nfs/dbraw/zinc/55/54/84/904555484.db2.gz FKRCDYCXFGNWOS-KBPBESRZSA-N 1 2 318.421 1.804 20 30 DDEDLO CC#CCCCC(=O)NC1CC(C[NH2+]Cc2noc(CC)n2)C1 ZINC001282045733 905461544 /nfs/dbraw/zinc/46/15/44/905461544.db2.gz LORJTKHYWVWOLF-UHFFFAOYSA-N 1 2 318.421 1.810 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)C[C@H]1CCN1C(=O)Cn1cc[nH+]c1 ZINC001282052986 905472429 /nfs/dbraw/zinc/47/24/29/905472429.db2.gz UJZQUBQQRLOMHW-HUUCEWRRSA-N 1 2 318.421 1.545 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc2cnsn2)cc1 ZINC001282166151 905592004 /nfs/dbraw/zinc/59/20/04/905592004.db2.gz CXAUQIJLLBNXPM-NEPJUHHUSA-N 1 2 314.414 1.816 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)c2c[nH]c3ccccc23)C1 ZINC001282527296 905852122 /nfs/dbraw/zinc/85/21/22/905852122.db2.gz JYDXYIXHNKUJFP-UHFFFAOYSA-N 1 2 313.401 1.911 20 30 DDEDLO CCC(C)(C)NC(=O)C[NH+]1CC([C@@H](C)NC(=O)C#CC2CC2)C1 ZINC001282705424 905966050 /nfs/dbraw/zinc/96/60/50/905966050.db2.gz FRKKPHQTTYDWKS-CYBMUJFWSA-N 1 2 319.449 1.141 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H](C)OCc1ccncc1 ZINC001372115570 906495782 /nfs/dbraw/zinc/49/57/82/906495782.db2.gz HNULKOLSMLSZEZ-ZDUSSCGKSA-N 1 2 311.813 1.787 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H](C)OCc1ccncc1 ZINC001372115570 906495786 /nfs/dbraw/zinc/49/57/86/906495786.db2.gz HNULKOLSMLSZEZ-ZDUSSCGKSA-N 1 2 311.813 1.787 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]CCC[C@@H](C)NC(=O)C#CC(C)C)no1 ZINC001283163562 906773857 /nfs/dbraw/zinc/77/38/57/906773857.db2.gz DYZJBTQWLCLFQS-OLZOCXBDSA-N 1 2 306.410 1.973 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NCCCNC(=O)C#CC(C)C)c[nH+]1 ZINC001283244875 906985451 /nfs/dbraw/zinc/98/54/51/906985451.db2.gz WBKVXSKTOBSEOA-UHFFFAOYSA-N 1 2 318.421 1.209 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001283308404 907100783 /nfs/dbraw/zinc/10/07/83/907100783.db2.gz CUVHNYIGWPXZLM-LBPRGKRZSA-N 1 2 306.410 1.485 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001283342163 907176532 /nfs/dbraw/zinc/17/65/32/907176532.db2.gz HWGIRGOATKSNBO-HUUCEWRRSA-N 1 2 318.421 1.908 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](CO)[NH2+]Cc1nnc(C(C)C)[nH]1 ZINC001283792612 907970724 /nfs/dbraw/zinc/97/07/24/907970724.db2.gz MKBHEIOUKRKPSF-GFCCVEGCSA-N 1 2 323.441 1.097 20 30 DDEDLO N#Cc1ccccc1C[N@@H+](CCNC(=O)c1cnco1)C1CC1 ZINC001372850909 908427468 /nfs/dbraw/zinc/42/74/68/908427468.db2.gz WYGXOQIFASUUHA-UHFFFAOYSA-N 1 2 310.357 1.941 20 30 DDEDLO N#Cc1ccccc1C[N@H+](CCNC(=O)c1cnco1)C1CC1 ZINC001372850909 908427476 /nfs/dbraw/zinc/42/74/76/908427476.db2.gz WYGXOQIFASUUHA-UHFFFAOYSA-N 1 2 310.357 1.941 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1cc[nH+]c1 ZINC001284167229 908583490 /nfs/dbraw/zinc/58/34/90/908583490.db2.gz CJIPMYBLWCRIRK-HALDLXJZSA-N 1 2 316.405 1.203 20 30 DDEDLO COC[C@H](C)C(=O)NC[C@@H](C)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001394047583 908627438 /nfs/dbraw/zinc/62/74/38/908627438.db2.gz YVPKGAZEZVLKAN-QWHCGFSZSA-N 1 2 321.396 1.916 20 30 DDEDLO COC[C@H](C)C(=O)NC[C@@H](C)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001394047583 908627447 /nfs/dbraw/zinc/62/74/47/908627447.db2.gz YVPKGAZEZVLKAN-QWHCGFSZSA-N 1 2 321.396 1.916 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001284392753 908932938 /nfs/dbraw/zinc/93/29/38/908932938.db2.gz GJRNBRFIIIFUPM-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001284392753 908932951 /nfs/dbraw/zinc/93/29/51/908932951.db2.gz GJRNBRFIIIFUPM-HNNXBMFYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CCCCC(=O)NCCN(C)C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001284577569 909207061 /nfs/dbraw/zinc/20/70/61/909207061.db2.gz XVASMPCGDXXWNL-CQSZACIVSA-N 1 2 318.421 1.376 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C1CC[NH+](Cc2nnnn2C)CC1 ZINC001284696069 909365432 /nfs/dbraw/zinc/36/54/32/909365432.db2.gz ZOIFKBOCFXPPIL-GFCCVEGCSA-N 1 2 320.441 1.139 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+](CCN(C)C(=O)CC(C)C)CC1 ZINC001284835087 909550470 /nfs/dbraw/zinc/55/04/70/909550470.db2.gz AUWBKPZPTATJAE-UHFFFAOYSA-N 1 2 323.481 1.847 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CCn2ccccc2=O)C1 ZINC001373372773 909660699 /nfs/dbraw/zinc/66/06/99/909660699.db2.gz ZDQHNWZTECIYBH-CQSZACIVSA-N 1 2 323.824 1.572 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CCn2ccccc2=O)C1 ZINC001373372773 909660713 /nfs/dbraw/zinc/66/07/13/909660713.db2.gz ZDQHNWZTECIYBH-CQSZACIVSA-N 1 2 323.824 1.572 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](CC)CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001285088482 910051902 /nfs/dbraw/zinc/05/19/02/910051902.db2.gz NPKQDXLPYBXHTB-LBPRGKRZSA-N 1 2 304.394 1.320 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](CC)NC(=O)Cc1[nH]c[nH+]c1C ZINC001285098125 910066634 /nfs/dbraw/zinc/06/66/34/910066634.db2.gz WUJXEFBIPYEOHG-CXAGYDPISA-N 1 2 320.437 1.874 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001285375927 910442421 /nfs/dbraw/zinc/44/24/21/910442421.db2.gz QHZKWACAVMKCKF-STQMWFEESA-N 1 2 320.437 1.954 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001285375927 910442429 /nfs/dbraw/zinc/44/24/29/910442429.db2.gz QHZKWACAVMKCKF-STQMWFEESA-N 1 2 320.437 1.954 20 30 DDEDLO C=CCC1(C(=O)NC[C@H](C)NC(=O)Cc2c[nH+]cn2C)CCC1 ZINC001285756254 911156005 /nfs/dbraw/zinc/15/60/05/911156005.db2.gz OZTSLHZUZHPVDR-ZDUSSCGKSA-N 1 2 318.421 1.330 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)[C@@H]2CCc3[nH+]ccn3C2)CC1 ZINC001285937794 911470376 /nfs/dbraw/zinc/47/03/76/911470376.db2.gz IJBOGNJHVOLIHS-CYBMUJFWSA-N 1 2 316.405 1.177 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)CCCC(C)=O)C1 ZINC001316642270 911543726 /nfs/dbraw/zinc/54/37/26/911543726.db2.gz VCAVKKMYLCKMMB-ZWKOTPCHSA-N 1 2 312.413 1.963 20 30 DDEDLO C#CC[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)CCCC(C)=O)C1 ZINC001316642270 911543734 /nfs/dbraw/zinc/54/37/34/911543734.db2.gz VCAVKKMYLCKMMB-ZWKOTPCHSA-N 1 2 312.413 1.963 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001286130567 911731055 /nfs/dbraw/zinc/73/10/55/911731055.db2.gz SAWLVGOYDDPXGD-INIZCTEOSA-N 1 2 304.394 1.204 20 30 DDEDLO C#Cc1ccc(C(=O)NCCCN(C)C(=O)Cn2cc[nH+]c2)cc1 ZINC001294229830 914994334 /nfs/dbraw/zinc/99/43/34/914994334.db2.gz FXRSLKSNEXPEJK-UHFFFAOYSA-N 1 2 324.384 1.143 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@H+](CC(=O)Nc2ccccc2)[C@@H]1C ZINC001397232329 915505754 /nfs/dbraw/zinc/50/57/54/915505754.db2.gz AHLFDWDFFDGBAD-GUTXKFCHSA-N 1 2 314.389 1.364 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2ccccc2)[C@@H]1C ZINC001397232329 915505768 /nfs/dbraw/zinc/50/57/68/915505768.db2.gz AHLFDWDFFDGBAD-GUTXKFCHSA-N 1 2 314.389 1.364 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1C[C@@H]([NH2+]Cc2nnsc2Cl)C1 ZINC001397580443 916404566 /nfs/dbraw/zinc/40/45/66/916404566.db2.gz ZNCDELRJVDNVAG-XHNCKOQMSA-N 1 2 313.814 1.336 20 30 DDEDLO CCO[C@@H](C)C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001377133097 920424923 /nfs/dbraw/zinc/42/49/23/920424923.db2.gz SRFIBCSPTGJFNW-LBPRGKRZSA-N 1 2 307.369 1.670 20 30 DDEDLO CCO[C@@H](C)C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001377133097 920424932 /nfs/dbraw/zinc/42/49/32/920424932.db2.gz SRFIBCSPTGJFNW-LBPRGKRZSA-N 1 2 307.369 1.670 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@H+](Cc2nn(C)cc2Cl)C1 ZINC001377443249 921930223 /nfs/dbraw/zinc/93/02/23/921930223.db2.gz VTKKARJRIZTCLB-MNOVXSKESA-N 1 2 309.801 1.171 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@@H+](Cc2nn(C)cc2Cl)C1 ZINC001377443249 921930228 /nfs/dbraw/zinc/93/02/28/921930228.db2.gz VTKKARJRIZTCLB-MNOVXSKESA-N 1 2 309.801 1.171 20 30 DDEDLO CC(C)c1nc(C[NH2+]CC(C)(C)CN(C)C(=O)[C@@H](C)C#N)no1 ZINC001377943305 923479325 /nfs/dbraw/zinc/47/93/25/923479325.db2.gz ZRLWEZXZDDJVCK-LBPRGKRZSA-N 1 2 321.425 1.927 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(C)C)n2CC(=C)C)CC1 ZINC000283272161 222601497 /nfs/dbraw/zinc/60/14/97/222601497.db2.gz MYSWGUGVKVLLDX-UHFFFAOYSA-N 1 2 301.438 1.808 20 30 DDEDLO C[C@@H](O)C(=O)N1CC[NH+](Cc2ccc(C(C)(C)C#N)cc2)CC1 ZINC000614178982 361739414 /nfs/dbraw/zinc/73/94/14/361739414.db2.gz XQIJBSPSIYOEPA-CQSZACIVSA-N 1 2 315.417 1.513 20 30 DDEDLO C=CCCOCC(=O)NCc1nc(C[NH+]2CCCCC2)no1 ZINC000614465623 361869744 /nfs/dbraw/zinc/86/97/44/361869744.db2.gz XFQOYUDWBXQPSL-UHFFFAOYSA-N 1 2 308.382 1.264 20 30 DDEDLO COCCO[C@H]1CC[N@@H+]([C@@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000249329727 282144079 /nfs/dbraw/zinc/14/40/79/282144079.db2.gz HLUVXFPHAAYGIV-JKSUJKDBSA-N 1 2 318.373 1.510 20 30 DDEDLO COCCO[C@H]1CC[N@H+]([C@@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000249329727 282144083 /nfs/dbraw/zinc/14/40/83/282144083.db2.gz HLUVXFPHAAYGIV-JKSUJKDBSA-N 1 2 318.373 1.510 20 30 DDEDLO N#Cc1ccc(CNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)c(F)c1 ZINC000614492230 361885734 /nfs/dbraw/zinc/88/57/34/361885734.db2.gz LKRSKWCPZWMXRR-CYBMUJFWSA-N 1 2 313.336 1.708 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CCC[C@H]([NH+](C)C)C2)cc1C#N ZINC000106364449 295672640 /nfs/dbraw/zinc/67/26/40/295672640.db2.gz ONQFOBHIJJVKQI-NSHDSACASA-N 1 2 320.418 1.135 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)N1CC[C@@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000451443439 231098579 /nfs/dbraw/zinc/09/85/79/231098579.db2.gz CTJROWPQHZPKKW-JLZZUVOBSA-N 1 2 321.421 1.458 20 30 DDEDLO C[NH+](C)[C@H](CNS(=O)(=O)c1ccc(C#N)o1)c1ccco1 ZINC000286568095 219058723 /nfs/dbraw/zinc/05/87/23/219058723.db2.gz QKWYCFFBLWBWTA-LLVKDONJSA-N 1 2 309.347 1.325 20 30 DDEDLO Cc1nnc(CCNC(=O)C2CC[NH+](CC(F)F)CC2)[nH]1 ZINC000328768998 539298841 /nfs/dbraw/zinc/29/88/41/539298841.db2.gz IGPOKVYWUJPVRC-UHFFFAOYSA-N 1 2 301.341 1.589 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)CNC(=O)CC2CCCC2)C1 ZINC000329353383 539303685 /nfs/dbraw/zinc/30/36/85/539303685.db2.gz GCGKMLFDVJLAKN-CQSZACIVSA-N 1 2 310.442 1.726 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)CNC(=O)CC2CCCC2)C1 ZINC000329353383 539303686 /nfs/dbraw/zinc/30/36/86/539303686.db2.gz GCGKMLFDVJLAKN-CQSZACIVSA-N 1 2 310.442 1.726 20 30 DDEDLO CN(C)c1ccc([N+](=O)[O-])c(OC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000414143998 529785462 /nfs/dbraw/zinc/78/54/62/529785462.db2.gz JFZQPYRGDIBLEE-ZDUSSCGKSA-N 1 2 322.365 1.246 20 30 DDEDLO CN(C)c1ccc([N+](=O)[O-])c(OC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000414143998 529785464 /nfs/dbraw/zinc/78/54/64/529785464.db2.gz JFZQPYRGDIBLEE-ZDUSSCGKSA-N 1 2 322.365 1.246 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)C1(c2cccc(C#N)c2)CC1 ZINC000435027077 529910526 /nfs/dbraw/zinc/91/05/26/529910526.db2.gz DHFFLHCIZQJDJD-UHFFFAOYSA-N 1 2 313.401 1.380 20 30 DDEDLO CNC(=O)c1cccc(NC(=O)[C@H](C)[NH2+][C@@H]2CCC[C@H]2C#N)c1 ZINC000459457315 529950333 /nfs/dbraw/zinc/95/03/33/529950333.db2.gz DDRBQBMCBXSAGG-CORIIIEPSA-N 1 2 314.389 1.655 20 30 DDEDLO Cc1cc(C(=O)NCC(C)(C)[NH+]2CCOCC2)ncc1C#N ZINC000457398703 232049243 /nfs/dbraw/zinc/04/92/43/232049243.db2.gz WXJSTIHUKRYHBE-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO C[C@@H](O)[C@H]1CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000305199212 282391027 /nfs/dbraw/zinc/39/10/27/282391027.db2.gz VGFDUQDPHREINO-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@@H](O)[C@H]1CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000305199212 282391028 /nfs/dbraw/zinc/39/10/28/282391028.db2.gz VGFDUQDPHREINO-HIFRSBDPSA-N 1 2 322.430 1.425 20 30 DDEDLO C=CCN(C)S(=O)(=O)c1ccc(NCc2[nH+]ccn2C)cc1 ZINC000133692402 186007859 /nfs/dbraw/zinc/00/78/59/186007859.db2.gz COMNIGGQGMDESW-UHFFFAOYSA-N 1 2 320.418 1.839 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCCC[C@H]2CO)cc1 ZINC000148114019 186042849 /nfs/dbraw/zinc/04/28/49/186042849.db2.gz FJPRKNUNZWJYGZ-AWEZNQCLSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCCC[C@H]2CO)cc1 ZINC000148114019 186042850 /nfs/dbraw/zinc/04/28/50/186042850.db2.gz FJPRKNUNZWJYGZ-AWEZNQCLSA-N 1 2 308.403 1.179 20 30 DDEDLO CC(C)CNC(=O)CN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000023466646 352184770 /nfs/dbraw/zinc/18/47/70/352184770.db2.gz TUJAANMNAIFTCD-UHFFFAOYSA-N 1 2 314.433 1.448 20 30 DDEDLO CC[C@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000029450277 352239137 /nfs/dbraw/zinc/23/91/37/352239137.db2.gz UPFUBQZNZOHYEW-KBPBESRZSA-N 1 2 315.421 1.378 20 30 DDEDLO C#CC[NH+]1CCN(c2cc(C)nc3nc(C(F)(F)F)nn32)CC1 ZINC000026701496 352210744 /nfs/dbraw/zinc/21/07/44/352210744.db2.gz MIXYWHXNYNIXQU-UHFFFAOYSA-N 1 2 324.310 1.207 20 30 DDEDLO CN(C)c1ccc(CNC(=O)C(=O)Nc2cccc(C#N)c2)c[nH+]1 ZINC000041637745 352311021 /nfs/dbraw/zinc/31/10/21/352311021.db2.gz LKJIXGQWUWTAJU-UHFFFAOYSA-N 1 2 323.356 1.274 20 30 DDEDLO CC(C)[C@@H](CNC(=O)C1(C#N)CCCCC1)[NH+]1CCOCC1 ZINC000057385511 352812790 /nfs/dbraw/zinc/81/27/90/352812790.db2.gz YTAHRVZGZIYSEJ-OAHLLOKOSA-N 1 2 307.438 1.933 20 30 DDEDLO CCN(CC)C(=O)[C@H]1CCC[N@@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000067652181 353061279 /nfs/dbraw/zinc/06/12/79/353061279.db2.gz GLHVHIOUAMKUEL-PBHICJAKSA-N 1 2 322.453 1.374 20 30 DDEDLO CCN(CC)C(=O)[C@H]1CCC[N@H+]1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000067652181 353061282 /nfs/dbraw/zinc/06/12/82/353061282.db2.gz GLHVHIOUAMKUEL-PBHICJAKSA-N 1 2 322.453 1.374 20 30 DDEDLO C=CCn1c(C)cc(C(=O)CN2CC[NH+](CCO)CC2)c1C ZINC000070739301 353182335 /nfs/dbraw/zinc/18/23/35/353182335.db2.gz JSLYWECYGJRBNW-UHFFFAOYSA-N 1 2 305.422 1.084 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000174334819 198320876 /nfs/dbraw/zinc/32/08/76/198320876.db2.gz MGLJBSMUCNWZER-GHMZBOCLSA-N 1 2 316.336 1.664 20 30 DDEDLO C[N@H+](Cc1cnccn1)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000174649795 198364534 /nfs/dbraw/zinc/36/45/34/198364534.db2.gz PHEVEAVKJOBZKE-MRXNPFEDSA-N 1 2 307.357 1.586 20 30 DDEDLO C[N@@H+](Cc1cnccn1)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000174649795 198364535 /nfs/dbraw/zinc/36/45/35/198364535.db2.gz PHEVEAVKJOBZKE-MRXNPFEDSA-N 1 2 307.357 1.586 20 30 DDEDLO C[N@@H+]1CCO[C@@H](C(=O)N[C@H](C#N)c2ccc(C(C)(C)C)cc2)C1 ZINC000081368492 353667223 /nfs/dbraw/zinc/66/72/23/353667223.db2.gz HHEWBYDXJYQXJJ-HZPDHXFCSA-N 1 2 315.417 1.996 20 30 DDEDLO C[N@H+]1CCO[C@@H](C(=O)N[C@H](C#N)c2ccc(C(C)(C)C)cc2)C1 ZINC000081368492 353667225 /nfs/dbraw/zinc/66/72/25/353667225.db2.gz HHEWBYDXJYQXJJ-HZPDHXFCSA-N 1 2 315.417 1.996 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccnc3ccncc32)CC1 ZINC000085653821 353736050 /nfs/dbraw/zinc/73/60/50/353736050.db2.gz NWZBETRSENNIPS-UHFFFAOYSA-N 1 2 311.389 1.054 20 30 DDEDLO CCOC(=O)C1(C)CC[NH+](CC(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000092823175 353870809 /nfs/dbraw/zinc/87/08/09/353870809.db2.gz PZIIBQCDLVZYHH-KRWDZBQOSA-N 1 2 323.437 1.706 20 30 DDEDLO C=CCOc1ccccc1C[NH+]1CCN(C(=O)[C@@H](C)O)CC1 ZINC000093706613 353904062 /nfs/dbraw/zinc/90/40/62/353904062.db2.gz CGXKEDQORVWUEW-CQSZACIVSA-N 1 2 304.390 1.276 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC000185190192 354281473 /nfs/dbraw/zinc/28/14/73/354281473.db2.gz SELDENWSHIZWHK-UWVGGRQHSA-N 1 2 323.397 1.418 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(C)c2)C[C@H]1C ZINC000300259576 354457955 /nfs/dbraw/zinc/45/79/55/354457955.db2.gz HSGXIXNWSWNYTB-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)c(C)c2)C[C@H]1C ZINC000300259576 354457959 /nfs/dbraw/zinc/45/79/59/354457959.db2.gz HSGXIXNWSWNYTB-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CN1CC[N@H+](C)C[C@H]1c1noc([C@@]2(C#N)CC23CCCC3)n1 ZINC000351086458 354614190 /nfs/dbraw/zinc/61/41/90/354614190.db2.gz RLKWZCYRCXCKBH-LRDDRELGSA-N 1 2 301.394 1.713 20 30 DDEDLO CN1CC[N@@H+](C)C[C@H]1c1noc([C@@]2(C#N)CC23CCCC3)n1 ZINC000351086458 354614194 /nfs/dbraw/zinc/61/41/94/354614194.db2.gz RLKWZCYRCXCKBH-LRDDRELGSA-N 1 2 301.394 1.713 20 30 DDEDLO Cc1ccn2cc(CNS(=O)(=O)CC3(C#N)CCC3)[nH+]c2c1 ZINC000579529757 354720121 /nfs/dbraw/zinc/72/01/21/354720121.db2.gz LPGPDLSNIVMFHK-UHFFFAOYSA-N 1 2 318.402 1.756 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)c2cccc(C#N)n2)CC1 ZINC000589461728 354992952 /nfs/dbraw/zinc/99/29/52/354992952.db2.gz AEXSEMYRRSVNHC-UHFFFAOYSA-N 1 2 307.357 1.619 20 30 DDEDLO Cc1cc(Br)oc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000589826909 355022258 /nfs/dbraw/zinc/02/22/58/355022258.db2.gz BHFFXLZEFAQGTI-LBPRGKRZSA-N 1 2 314.183 1.924 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)CCc3ccon3)CC2)cc1 ZINC000590410683 355087024 /nfs/dbraw/zinc/08/70/24/355087024.db2.gz SVCAJCAUTIUDAT-UHFFFAOYSA-N 1 2 324.384 1.823 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@@H+]1Cc1ccc(C#N)cc1F ZINC000591627810 355343610 /nfs/dbraw/zinc/34/36/10/355343610.db2.gz HPRUWPPZCPVOEA-INIZCTEOSA-N 1 2 305.353 1.424 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@H+]1Cc1ccc(C#N)cc1F ZINC000591627810 355343611 /nfs/dbraw/zinc/34/36/11/355343611.db2.gz HPRUWPPZCPVOEA-INIZCTEOSA-N 1 2 305.353 1.424 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1cccc(C#N)c1[N+](=O)[O-] ZINC000450531015 291067605 /nfs/dbraw/zinc/06/76/05/291067605.db2.gz REDAMYHLXUORBL-GXTWGEPZSA-N 1 2 316.361 1.766 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)N[C@@H]2CCCc3ccccc32)C1 ZINC000592148061 355513869 /nfs/dbraw/zinc/51/38/69/355513869.db2.gz VPJDZMZJLVHZGL-SJLPKXTDSA-N 1 2 313.401 1.531 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)N[C@@H]2CCCc3ccccc32)C1 ZINC000592148061 355513873 /nfs/dbraw/zinc/51/38/73/355513873.db2.gz VPJDZMZJLVHZGL-SJLPKXTDSA-N 1 2 313.401 1.531 20 30 DDEDLO Cc1cccc(NC(=O)[C@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)c1C ZINC000592148058 355514234 /nfs/dbraw/zinc/51/42/34/355514234.db2.gz QQUZXXPETKMZQZ-YOEHRIQHSA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1cccc(NC(=O)[C@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)c1C ZINC000592148058 355514238 /nfs/dbraw/zinc/51/42/38/355514238.db2.gz QQUZXXPETKMZQZ-YOEHRIQHSA-N 1 2 301.390 1.981 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)NC2(c3ccccc3)CCC2)C1 ZINC000592150956 355517170 /nfs/dbraw/zinc/51/71/70/355517170.db2.gz KVTARHRYYZGYRT-QGZVFWFLSA-N 1 2 313.401 1.532 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)NC2(c3ccccc3)CCC2)C1 ZINC000592150956 355517172 /nfs/dbraw/zinc/51/71/72/355517172.db2.gz KVTARHRYYZGYRT-QGZVFWFLSA-N 1 2 313.401 1.532 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C1CC(OCc2ccccc2)C1 ZINC000593154338 355813024 /nfs/dbraw/zinc/81/30/24/355813024.db2.gz IGNJPSSPCPUPJJ-LEOMRAHMSA-N 1 2 315.417 1.942 20 30 DDEDLO N#Cc1cccc2c1CN(C(=O)N[C@H]1CCc3[nH+]c[nH]c3C1)C2 ZINC000593408844 355876550 /nfs/dbraw/zinc/87/65/50/355876550.db2.gz XDURACASNPBULQ-ZDUSSCGKSA-N 1 2 307.357 1.864 20 30 DDEDLO N#Cc1cccc2c1CN(C(=O)N[C@H]1CCc3[nH]c[nH+]c3C1)C2 ZINC000593408844 355876553 /nfs/dbraw/zinc/87/65/53/355876553.db2.gz XDURACASNPBULQ-ZDUSSCGKSA-N 1 2 307.357 1.864 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC000593444324 355886772 /nfs/dbraw/zinc/88/67/72/355886772.db2.gz VNALIUIFWBWMFK-LSDHHAIUSA-N 1 2 303.410 1.527 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000594010892 356085866 /nfs/dbraw/zinc/08/58/66/356085866.db2.gz VFSCAILAYKDURV-JTQLQIEISA-N 1 2 317.349 1.766 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)[C@@H]2CCn3c[nH+]cc3C2)cc1F ZINC000594354192 356181071 /nfs/dbraw/zinc/18/10/71/356181071.db2.gz QUYAZZMMDUHLFL-LLVKDONJSA-N 1 2 316.311 1.912 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)N[C@H](C)c2[nH+]ccn2C)c1C#N ZINC000595219847 356410688 /nfs/dbraw/zinc/41/06/88/356410688.db2.gz SWCIHWQLRVMVKY-LLVKDONJSA-N 1 2 311.345 1.416 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN([C@H](C#N)c2ccc(Cl)cc2)CC1 ZINC000595344074 356456610 /nfs/dbraw/zinc/45/66/10/356456610.db2.gz LDPLBDYUYSUMFA-CQSZACIVSA-N 1 2 320.824 1.786 20 30 DDEDLO CCN1CC[C@@H]([NH+]2CCN(Cc3ccc(C#N)cc3)CC2)C1=O ZINC000595287405 356433587 /nfs/dbraw/zinc/43/35/87/356433587.db2.gz SDWBNLBBWIGHGT-QGZVFWFLSA-N 1 2 312.417 1.297 20 30 DDEDLO CCN1CC[C@@H](N2CC[NH+](Cc3ccc(C#N)cc3)CC2)C1=O ZINC000595287405 356433588 /nfs/dbraw/zinc/43/35/88/356433588.db2.gz SDWBNLBBWIGHGT-QGZVFWFLSA-N 1 2 312.417 1.297 20 30 DDEDLO C[N@@H+]1CCc2nc(NC(=O)C3(C#N)CCOCC3)sc2C1 ZINC000408112148 283175129 /nfs/dbraw/zinc/17/51/29/283175129.db2.gz IGWPQSKRUKPETK-UHFFFAOYSA-N 1 2 306.391 1.390 20 30 DDEDLO C[N@H+]1CCc2nc(NC(=O)C3(C#N)CCOCC3)sc2C1 ZINC000408112148 283175133 /nfs/dbraw/zinc/17/51/33/283175133.db2.gz IGWPQSKRUKPETK-UHFFFAOYSA-N 1 2 306.391 1.390 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](CC#N)C1 ZINC000595611588 356576832 /nfs/dbraw/zinc/57/68/32/356576832.db2.gz LQCKUZWIIUIHAY-KGLIPLIRSA-N 1 2 303.410 1.990 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@@H](C)[N@H+]1CCC[C@@H](CC#N)C1 ZINC000595611588 356576836 /nfs/dbraw/zinc/57/68/36/356576836.db2.gz LQCKUZWIIUIHAY-KGLIPLIRSA-N 1 2 303.410 1.990 20 30 DDEDLO COC(=O)[C@H]1C[C@@H]([N@H+](C)Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000595857448 356680416 /nfs/dbraw/zinc/68/04/16/356680416.db2.gz XGSZCRGAIAMBEA-TXEJJXNPSA-N 1 2 303.318 1.850 20 30 DDEDLO COC(=O)[C@H]1C[C@@H]([N@@H+](C)Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000595857448 356680419 /nfs/dbraw/zinc/68/04/19/356680419.db2.gz XGSZCRGAIAMBEA-TXEJJXNPSA-N 1 2 303.318 1.850 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@@H+]2CC[C@@](C)(C#N)C2)C1 ZINC000595832362 356668784 /nfs/dbraw/zinc/66/87/84/356668784.db2.gz BKBHGOOJFKYUEQ-BBRMVZONSA-N 1 2 307.394 1.024 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@H+]2CC[C@@](C)(C#N)C2)C1 ZINC000595832362 356668789 /nfs/dbraw/zinc/66/87/89/356668789.db2.gz BKBHGOOJFKYUEQ-BBRMVZONSA-N 1 2 307.394 1.024 20 30 DDEDLO CCc1nc(C#N)cc(N2C[C@@H]([NH+]3CCOCC3)C[C@H]2C)n1 ZINC000596231959 356826124 /nfs/dbraw/zinc/82/61/24/356826124.db2.gz NNFZBXBRFQYIKI-OCCSQVGLSA-N 1 2 301.394 1.210 20 30 DDEDLO Cn1c[nH+]cc1CCN(c1ccc(C#N)cc1)S(C)(=O)=O ZINC000596250051 356832275 /nfs/dbraw/zinc/83/22/75/356832275.db2.gz MLTXXNNAUBVLAV-UHFFFAOYSA-N 1 2 304.375 1.300 20 30 DDEDLO CCn1cnc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)c1 ZINC000596657985 356944646 /nfs/dbraw/zinc/94/46/46/356944646.db2.gz FFWZKDQEELDMAL-UHFFFAOYSA-N 1 2 323.400 1.733 20 30 DDEDLO C[C@@H](CC#N)C(=O)N1CC[NH+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000596726222 356961992 /nfs/dbraw/zinc/96/19/92/356961992.db2.gz JMBZHGCUOXFZBO-GJZGRUSLSA-N 1 2 320.437 1.081 20 30 DDEDLO N#CC1(CC(=O)N2CC[NH+](Cc3ccco3)CC2)CCOCC1 ZINC000596695809 356955061 /nfs/dbraw/zinc/95/50/61/356955061.db2.gz CBXCALNCSNPVNY-UHFFFAOYSA-N 1 2 317.389 1.634 20 30 DDEDLO C=CCCSCCNC(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000596716673 356959743 /nfs/dbraw/zinc/95/97/43/356959743.db2.gz ZMEQTRWKRFTGQQ-UHFFFAOYSA-N 1 2 315.483 1.706 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[C@H]([NH+](C)C)[C@@H]2C)cc1C#N ZINC000597699175 357291291 /nfs/dbraw/zinc/29/12/91/357291291.db2.gz ILGAFYJXTQOYJN-CABZTGNLSA-N 1 2 320.418 1.545 20 30 DDEDLO COc1cc(CNC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)ccc1C#N ZINC000597664457 357274528 /nfs/dbraw/zinc/27/45/28/357274528.db2.gz HNBIUBUDCSVGRA-ZIAGYGMSSA-N 1 2 310.357 1.720 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)COc2ccccc2C#N)[C@@H](C)CO1 ZINC000597999857 357415252 /nfs/dbraw/zinc/41/52/52/357415252.db2.gz SPKWSTKJIADBTC-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)COc2ccccc2C#N)[C@@H](C)CO1 ZINC000597999857 357415255 /nfs/dbraw/zinc/41/52/55/357415255.db2.gz SPKWSTKJIADBTC-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO CCN(C(=O)c1ccc(C[NH+]2CCOCC2)cn1)[C@@H](C)C#N ZINC000598362713 357552012 /nfs/dbraw/zinc/55/20/12/357552012.db2.gz IMFXLYZNVUZPQX-ZDUSSCGKSA-N 1 2 302.378 1.288 20 30 DDEDLO Cc1cccc([C@H]2C(=O)NCC[N@H+]2C[C@@H](O)CC(C)(C)C#N)c1 ZINC000598622225 357658670 /nfs/dbraw/zinc/65/86/70/357658670.db2.gz GNJCJOKPHNGWBF-HOTGVXAUSA-N 1 2 315.417 1.769 20 30 DDEDLO Cc1cccc([C@H]2C(=O)NCC[N@@H+]2C[C@@H](O)CC(C)(C)C#N)c1 ZINC000598622225 357658672 /nfs/dbraw/zinc/65/86/72/357658672.db2.gz GNJCJOKPHNGWBF-HOTGVXAUSA-N 1 2 315.417 1.769 20 30 DDEDLO N#CC1(C[NH+]2CCN(c3ccc(F)cc3C(N)=O)CC2)CC1 ZINC000598648377 357669444 /nfs/dbraw/zinc/66/94/44/357669444.db2.gz NQXNFEUMWNYCSX-UHFFFAOYSA-N 1 2 302.353 1.350 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2Cc3c[nH+]cn3C2)cnc1C(F)(F)F ZINC000598946971 357771251 /nfs/dbraw/zinc/77/12/51/357771251.db2.gz JYAFIVCSKCRRCP-SNVBAGLBSA-N 1 2 321.262 1.523 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)n1 ZINC000599182820 357841991 /nfs/dbraw/zinc/84/19/91/357841991.db2.gz CQTUYJUSOLBISO-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)n1 ZINC000599182820 357841996 /nfs/dbraw/zinc/84/19/96/357841996.db2.gz CQTUYJUSOLBISO-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO CC(C)OCc1nc([C@H](C)[NH2+]C[C@H](O)CC2(C#N)CC2)no1 ZINC000599424461 357930040 /nfs/dbraw/zinc/93/00/40/357930040.db2.gz QCGWXGTXIZDSKZ-NWDGAFQWSA-N 1 2 308.382 1.700 20 30 DDEDLO COC[C@]1(C)C[N@H+](CCOc2ccc(C#N)cc2OC)CCO1 ZINC000599694400 358028743 /nfs/dbraw/zinc/02/87/43/358028743.db2.gz STKFFXWZDQWBMU-KRWDZBQOSA-N 1 2 320.389 1.683 20 30 DDEDLO COC[C@]1(C)C[N@@H+](CCOc2ccc(C#N)cc2OC)CCO1 ZINC000599694400 358028747 /nfs/dbraw/zinc/02/87/47/358028747.db2.gz STKFFXWZDQWBMU-KRWDZBQOSA-N 1 2 320.389 1.683 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)CSCc1ccc(C#N)cc1 ZINC000600418586 358216008 /nfs/dbraw/zinc/21/60/08/358216008.db2.gz DHLUZVFYEGFNGO-HNNXBMFYSA-N 1 2 319.430 1.238 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)CSCc1ccc(C#N)cc1 ZINC000600418586 358216009 /nfs/dbraw/zinc/21/60/09/358216009.db2.gz DHLUZVFYEGFNGO-HNNXBMFYSA-N 1 2 319.430 1.238 20 30 DDEDLO C[C@@H]1CC(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)C[C@@H](C)O1 ZINC000329794177 223030250 /nfs/dbraw/zinc/03/02/50/223030250.db2.gz BSXCWSUUHYJTJS-GBOPCIDUSA-N 1 2 311.426 1.119 20 30 DDEDLO C[C@@H]1CC(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)C[C@@H](C)O1 ZINC000329794177 223030253 /nfs/dbraw/zinc/03/02/53/223030253.db2.gz BSXCWSUUHYJTJS-GBOPCIDUSA-N 1 2 311.426 1.119 20 30 DDEDLO CCN(CCC#N)S(=O)(=O)N1CC[C@@H](c2cc(C)cc[nH+]2)C1 ZINC000601459950 358562737 /nfs/dbraw/zinc/56/27/37/358562737.db2.gz LNVYZLFQIYDABV-CQSZACIVSA-N 1 2 322.434 1.660 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccccc2CC#N)C[C@H]1C ZINC000601377743 358523988 /nfs/dbraw/zinc/52/39/88/358523988.db2.gz IULOELGYGTVWFS-CYBMUJFWSA-N 1 2 307.419 1.467 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccccc2CC#N)C[C@H]1C ZINC000601377743 358523991 /nfs/dbraw/zinc/52/39/91/358523991.db2.gz IULOELGYGTVWFS-CYBMUJFWSA-N 1 2 307.419 1.467 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)OC ZINC000601780909 358692460 /nfs/dbraw/zinc/69/24/60/358692460.db2.gz FEVYWPHRAOUPAQ-VXGBXAGGSA-N 1 2 300.424 1.048 20 30 DDEDLO CC[N@@H+]1CCOC[C@H]1C(=O)N(C)[C@H](C)c1cccc(C#N)c1 ZINC000602350019 358943082 /nfs/dbraw/zinc/94/30/82/358943082.db2.gz YBKCIMAPHPJDQL-CJNGLKHVSA-N 1 2 301.390 1.798 20 30 DDEDLO CC[N@H+]1CCOC[C@H]1C(=O)N(C)[C@H](C)c1cccc(C#N)c1 ZINC000602350019 358943086 /nfs/dbraw/zinc/94/30/86/358943086.db2.gz YBKCIMAPHPJDQL-CJNGLKHVSA-N 1 2 301.390 1.798 20 30 DDEDLO C=CCOC[C@@H]([NH2+][C@@H]1CCc2cccnc2CC1)C(=O)OC ZINC000602644186 359094533 /nfs/dbraw/zinc/09/45/33/359094533.db2.gz WPLFEYDOEUVTJM-GDBMZVCRSA-N 1 2 304.390 1.663 20 30 DDEDLO Cc1[nH+]c2ccccn2c1[C@H]1C(=O)NCCN1CC1(CC#N)CC1 ZINC000602780685 359186659 /nfs/dbraw/zinc/18/66/59/359186659.db2.gz BXDHKDAXXIUDLE-INIZCTEOSA-N 1 2 323.400 1.810 20 30 DDEDLO COc1ccnc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)c1OC ZINC000602855762 359242167 /nfs/dbraw/zinc/24/21/67/359242167.db2.gz WPLRIDRHVAZYGY-BETUJISGSA-N 1 2 304.394 1.517 20 30 DDEDLO COc1ccnc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)c1OC ZINC000602855762 359242170 /nfs/dbraw/zinc/24/21/70/359242170.db2.gz WPLRIDRHVAZYGY-BETUJISGSA-N 1 2 304.394 1.517 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2C[C@@H](C)N(CC#N)[C@H](C)C2)s1 ZINC000602864143 359248696 /nfs/dbraw/zinc/24/86/96/359248696.db2.gz QBFRYNZKXHVYOD-VXGBXAGGSA-N 1 2 307.419 1.953 20 30 DDEDLO Cn1cc(C2=CCC[N@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)cn1 ZINC000602888191 359272485 /nfs/dbraw/zinc/27/24/85/359272485.db2.gz PUVMIOAFAPTJEW-KRWDZBQOSA-N 1 2 313.405 1.318 20 30 DDEDLO Cn1cc(C2=CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C3CC3)C2)cn1 ZINC000602888191 359272488 /nfs/dbraw/zinc/27/24/88/359272488.db2.gz PUVMIOAFAPTJEW-KRWDZBQOSA-N 1 2 313.405 1.318 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N2CC[C@@](F)(C#N)C2)C1 ZINC000603319668 359567344 /nfs/dbraw/zinc/56/73/44/359567344.db2.gz QVKOBFUXRWHDDM-OAHLLOKOSA-N 1 2 323.393 1.301 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N2CC[C@@](F)(C#N)C2)C1 ZINC000603319668 359567347 /nfs/dbraw/zinc/56/73/47/359567347.db2.gz QVKOBFUXRWHDDM-OAHLLOKOSA-N 1 2 323.393 1.301 20 30 DDEDLO Cc1nsc(N2CCN(c3cc(CO)cc[nH+]3)CC2)c1C#N ZINC000603384052 359607025 /nfs/dbraw/zinc/60/70/25/359607025.db2.gz XMOBKMCVLFGOQY-UHFFFAOYSA-N 1 2 315.402 1.537 20 30 DDEDLO C#CCOc1ccccc1NC(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000188078800 200190381 /nfs/dbraw/zinc/19/03/81/200190381.db2.gz HGIYXBQROZQVAE-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO Cc1cc(CN(C)C(=O)NC[C@H]2CCc3[nH+]ccn3C2)no1 ZINC000329891572 223043189 /nfs/dbraw/zinc/04/31/89/223043189.db2.gz IBNHHWPKTPFWSY-GFCCVEGCSA-N 1 2 303.366 1.788 20 30 DDEDLO CCOCCCNC(=O)[C@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609486665 360313741 /nfs/dbraw/zinc/31/37/41/360313741.db2.gz OUIAKGHSWLKCTF-JKSUJKDBSA-N 1 2 324.469 1.083 20 30 DDEDLO CC(C)NC(=O)C[N@H+](C)CC(=O)N[C@@H](C)c1cccc(C#N)c1 ZINC000610362642 360421905 /nfs/dbraw/zinc/42/19/05/360421905.db2.gz GQEPGOKLYIGUGJ-ZDUSSCGKSA-N 1 2 316.405 1.192 20 30 DDEDLO CC(C)NC(=O)C[N@@H+](C)CC(=O)N[C@@H](C)c1cccc(C#N)c1 ZINC000610362642 360421909 /nfs/dbraw/zinc/42/19/09/360421909.db2.gz GQEPGOKLYIGUGJ-ZDUSSCGKSA-N 1 2 316.405 1.192 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000610682626 360505356 /nfs/dbraw/zinc/50/53/56/360505356.db2.gz WFZBJQJCLCBFAR-JYYAWHABSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000610682626 360505360 /nfs/dbraw/zinc/50/53/60/360505360.db2.gz WFZBJQJCLCBFAR-JYYAWHABSA-N 1 2 323.437 1.309 20 30 DDEDLO N#Cc1cc(CN2CCCC[C@@H]2C[NH+]2CCOCC2)ccn1 ZINC000610993674 360595208 /nfs/dbraw/zinc/59/52/08/360595208.db2.gz ATKGNSMLJAJBDH-QGZVFWFLSA-N 1 2 300.406 1.640 20 30 DDEDLO C[C@@H](C(=O)NCCc1ccccc1)[NH+]1CCN(CCC#N)CC1 ZINC000611174046 360646451 /nfs/dbraw/zinc/64/64/51/360646451.db2.gz VLLLNWQQMRCUHU-INIZCTEOSA-N 1 2 314.433 1.265 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cccc(C)c2C#N)C[C@@H]1C ZINC000611083967 360617579 /nfs/dbraw/zinc/61/75/79/360617579.db2.gz WCWZPIWCXPPSMQ-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cccc(C)c2C#N)C[C@@H]1C ZINC000611083967 360617581 /nfs/dbraw/zinc/61/75/81/360617581.db2.gz WCWZPIWCXPPSMQ-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO COc1cc(C[NH+]2CCN(CCC#N)CC2)ccc1[N+](=O)[O-] ZINC000611516874 360744138 /nfs/dbraw/zinc/74/41/38/360744138.db2.gz ZXXZZSIYOQEGEQ-UHFFFAOYSA-N 1 2 304.350 1.635 20 30 DDEDLO N#C[C@@H](c1ccc(F)cc1)N1CC[NH+](CC(=O)N2CCC2)CC1 ZINC000611552165 360756230 /nfs/dbraw/zinc/75/62/30/360756230.db2.gz QURFQFZMRNUSEV-INIZCTEOSA-N 1 2 316.380 1.240 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCC[C@H](OC(F)F)C2)c1 ZINC000611579870 360766159 /nfs/dbraw/zinc/76/61/59/360766159.db2.gz XWVGLBKIBBZQIX-AWEZNQCLSA-N 1 2 323.343 1.878 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCC[C@H](OC(F)F)C2)c1 ZINC000611579870 360766163 /nfs/dbraw/zinc/76/61/63/360766163.db2.gz XWVGLBKIBBZQIX-AWEZNQCLSA-N 1 2 323.343 1.878 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H](OC(F)F)C1 ZINC000611579015 360766708 /nfs/dbraw/zinc/76/67/08/360766708.db2.gz GXASNIGJMULSRN-SMDDNHRTSA-N 1 2 303.353 1.744 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H](OC(F)F)C1 ZINC000611579015 360766711 /nfs/dbraw/zinc/76/67/11/360766711.db2.gz GXASNIGJMULSRN-SMDDNHRTSA-N 1 2 303.353 1.744 20 30 DDEDLO COC1(CNC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)CCCCC1 ZINC000330137318 223079118 /nfs/dbraw/zinc/07/91/18/223079118.db2.gz UWCWIKZQHOGTMR-KBPBESRZSA-N 1 2 311.426 1.265 20 30 DDEDLO COC1(CNC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)CCCCC1 ZINC000330137318 223079120 /nfs/dbraw/zinc/07/91/20/223079120.db2.gz UWCWIKZQHOGTMR-KBPBESRZSA-N 1 2 311.426 1.265 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@@H](NC(=O)NCC2(CC#N)CC2)CCO1 ZINC000330304799 223101192 /nfs/dbraw/zinc/10/11/92/223101192.db2.gz QELOSDSAEBLDHP-JSGCOSHPSA-N 1 2 317.393 1.838 20 30 DDEDLO C[C@H](CCNC(=O)NCc1cn2c([nH+]1)CCCC2)[S@@](C)=O ZINC000331113374 223186591 /nfs/dbraw/zinc/18/65/91/223186591.db2.gz KFXYAJHAMVNZQV-WSVYEEACSA-N 1 2 312.439 1.380 20 30 DDEDLO Cc1[nH+]c2cc(NC(=O)[C@H](C)n3cnc(C#N)n3)ccc2n1C ZINC000619595018 364076085 /nfs/dbraw/zinc/07/60/85/364076085.db2.gz XXZJUDRHEBBYIC-VIFPVBQESA-N 1 2 309.333 1.545 20 30 DDEDLO COc1ncc(C[NH+]2CCN([C@H](C#N)C(C)C)CC2)c(C)n1 ZINC000619621096 364091201 /nfs/dbraw/zinc/09/12/01/364091201.db2.gz YIIAXIPPPYUYDR-OAHLLOKOSA-N 1 2 303.410 1.459 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(NC(=O)C2CCCCC2)CC1 ZINC000619719209 364130696 /nfs/dbraw/zinc/13/06/96/364130696.db2.gz LDXVTLSQQQGUIJ-HNNXBMFYSA-N 1 2 308.422 1.875 20 30 DDEDLO COCC[C@@](C)(C#N)NC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000344937659 223339869 /nfs/dbraw/zinc/33/98/69/223339869.db2.gz HFCLPSNBSFMLHJ-KRWDZBQOSA-N 1 2 312.373 1.980 20 30 DDEDLO C=CC[C@@H](C(=O)NCCC[N@@H+]1CCNC(=O)C1)c1ccccc1 ZINC000275322179 212270624 /nfs/dbraw/zinc/27/06/24/212270624.db2.gz LFUAIDJUXOMPMN-MRXNPFEDSA-N 1 2 315.417 1.284 20 30 DDEDLO C=CC[C@@H](C(=O)NCCC[N@H+]1CCNC(=O)C1)c1ccccc1 ZINC000275322179 212270626 /nfs/dbraw/zinc/27/06/26/212270626.db2.gz LFUAIDJUXOMPMN-MRXNPFEDSA-N 1 2 315.417 1.284 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCNC(=O)[C@H]2CC)cc1OC ZINC000093050241 193198318 /nfs/dbraw/zinc/19/83/18/193198318.db2.gz DZOYXIDQSWYLSC-CQSZACIVSA-N 1 2 304.390 1.970 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCNC(=O)[C@H]2CC)cc1OC ZINC000093050241 193198319 /nfs/dbraw/zinc/19/83/19/193198319.db2.gz DZOYXIDQSWYLSC-CQSZACIVSA-N 1 2 304.390 1.970 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCc2n[nH]c(=O)cc2C1 ZINC000275008975 212070453 /nfs/dbraw/zinc/07/04/53/212070453.db2.gz QZYMWXBFCCTODV-LLVKDONJSA-N 1 2 323.356 1.027 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCc2n[nH]c(=O)cc2C1 ZINC000275008975 212070456 /nfs/dbraw/zinc/07/04/56/212070456.db2.gz QZYMWXBFCCTODV-LLVKDONJSA-N 1 2 323.356 1.027 20 30 DDEDLO CCN(Cc1c[nH+]c2ccc(C#N)cn12)[C@@H](C)CS(C)(=O)=O ZINC000093390522 193242763 /nfs/dbraw/zinc/24/27/63/193242763.db2.gz NKFFOWQVCMXZKW-LBPRGKRZSA-N 1 2 320.418 1.461 20 30 DDEDLO CC[N@H+](Cc1cnc2ccc(C#N)cn12)[C@@H](C)CS(C)(=O)=O ZINC000093390522 193242764 /nfs/dbraw/zinc/24/27/64/193242764.db2.gz NKFFOWQVCMXZKW-LBPRGKRZSA-N 1 2 320.418 1.461 20 30 DDEDLO CC[N@@H+](Cc1cnc2ccc(C#N)cn12)[C@@H](C)CS(C)(=O)=O ZINC000093390522 193242766 /nfs/dbraw/zinc/24/27/66/193242766.db2.gz NKFFOWQVCMXZKW-LBPRGKRZSA-N 1 2 320.418 1.461 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)c1 ZINC000267384255 206026676 /nfs/dbraw/zinc/02/66/76/206026676.db2.gz NVXXQJRQRPXJOK-CYBMUJFWSA-N 1 2 323.356 1.626 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)c1ccc(Cl)c(C#N)c1 ZINC000340329265 251010584 /nfs/dbraw/zinc/01/05/84/251010584.db2.gz IBPYDSVVWHCXHO-UHFFFAOYSA-N 1 2 324.793 1.766 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH+]1CCN(c2nc(C)c(C)s2)CC1 ZINC000157545285 197196300 /nfs/dbraw/zinc/19/63/00/197196300.db2.gz CWHOTHIOESRGLO-GFCCVEGCSA-N 1 2 306.435 1.020 20 30 DDEDLO C[C@@H](CC#N)NC(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000157367409 197182962 /nfs/dbraw/zinc/18/29/62/197182962.db2.gz GTWAVXAXECSKHG-ZDUSSCGKSA-N 1 2 302.378 1.942 20 30 DDEDLO COC[C@H]1CCN(C(=O)NCc2[nH+]ccn2CC(F)(F)F)C1 ZINC000330407143 533138056 /nfs/dbraw/zinc/13/80/56/533138056.db2.gz IVAGXPGWTNLWDJ-JTQLQIEISA-N 1 2 320.315 1.828 20 30 DDEDLO CN(C(=O)c1ccc(C#N)n1C)C(C)(C)C[NH+]1CCOCC1 ZINC000414046359 224294682 /nfs/dbraw/zinc/29/46/82/224294682.db2.gz YWEURIUFLYUOEJ-UHFFFAOYSA-N 1 2 304.394 1.080 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NCc1ccccc1)[N@H+](C)CC(C)(C)C#N ZINC000433318885 533229740 /nfs/dbraw/zinc/22/97/40/533229740.db2.gz MXXOJTXHIFWPPU-ZDUSSCGKSA-N 1 2 316.405 1.882 20 30 DDEDLO C[C@@H](C(=O)NC(=O)NCc1ccccc1)[N@@H+](C)CC(C)(C)C#N ZINC000433318885 533229743 /nfs/dbraw/zinc/22/97/43/533229743.db2.gz MXXOJTXHIFWPPU-ZDUSSCGKSA-N 1 2 316.405 1.882 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](CCS(C)(=O)=O)C(C)C ZINC000121581097 195310598 /nfs/dbraw/zinc/31/05/98/195310598.db2.gz XTFVYNARKDOUIF-UHFFFAOYSA-N 1 2 310.419 1.822 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](CCS(C)(=O)=O)C(C)C ZINC000121581097 195310600 /nfs/dbraw/zinc/31/06/00/195310600.db2.gz XTFVYNARKDOUIF-UHFFFAOYSA-N 1 2 310.419 1.822 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[S@@](=O)CC1(C)C ZINC000331020759 533502955 /nfs/dbraw/zinc/50/29/55/533502955.db2.gz RLOFHWUPGJCXCF-JOCHJYFZSA-N 1 2 322.430 1.789 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[S@@](=O)CC1(C)C ZINC000331020759 533502959 /nfs/dbraw/zinc/50/29/59/533502959.db2.gz RLOFHWUPGJCXCF-JOCHJYFZSA-N 1 2 322.430 1.789 20 30 DDEDLO COC(=O)[C@]1(C)CC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459378129 533619485 /nfs/dbraw/zinc/61/94/85/533619485.db2.gz YTHQLWUTZNWFQP-OAHLLOKOSA-N 1 2 303.318 1.851 20 30 DDEDLO COC(=O)[C@]1(C)CC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459378129 533619490 /nfs/dbraw/zinc/61/94/90/533619490.db2.gz YTHQLWUTZNWFQP-OAHLLOKOSA-N 1 2 303.318 1.851 20 30 DDEDLO COCCC[N@H+](Cc1ccccc1C#N)[C@H]1CCS(=O)(=O)C1 ZINC000071119130 406831875 /nfs/dbraw/zinc/83/18/75/406831875.db2.gz CDMFSMLGTPCSDF-INIZCTEOSA-N 1 2 322.430 1.584 20 30 DDEDLO COCCC[N@@H+](Cc1ccccc1C#N)[C@H]1CCS(=O)(=O)C1 ZINC000071119130 406831879 /nfs/dbraw/zinc/83/18/79/406831879.db2.gz CDMFSMLGTPCSDF-INIZCTEOSA-N 1 2 322.430 1.584 20 30 DDEDLO CCN(CC)C(=O)C[N@H+](C)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000078526005 407031699 /nfs/dbraw/zinc/03/16/99/407031699.db2.gz IPUARFGTQXOGNZ-CYBMUJFWSA-N 1 2 316.405 1.685 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+](C)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000078526005 407031703 /nfs/dbraw/zinc/03/17/03/407031703.db2.gz IPUARFGTQXOGNZ-CYBMUJFWSA-N 1 2 316.405 1.685 20 30 DDEDLO CC[NH+]1CCN(S(=O)(=O)c2ccc(Cl)c(C#N)c2)CC1 ZINC000048659680 407103082 /nfs/dbraw/zinc/10/30/82/407103082.db2.gz RRYAQBJBQQAOOX-UHFFFAOYSA-N 1 2 313.810 1.538 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCCN(CC(F)F)CC1 ZINC000091083854 407177401 /nfs/dbraw/zinc/17/74/01/407177401.db2.gz PFPWOENRPMFRIO-OAHLLOKOSA-N 1 2 316.396 1.314 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCCN(CC(F)F)CC1 ZINC000091083854 407177402 /nfs/dbraw/zinc/17/74/02/407177402.db2.gz PFPWOENRPMFRIO-OAHLLOKOSA-N 1 2 316.396 1.314 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@@H+]1CCNC(=O)CC1 ZINC000054259088 407181027 /nfs/dbraw/zinc/18/10/27/407181027.db2.gz XSTBQSBQWZXSQB-SNVBAGLBSA-N 1 2 320.780 1.361 20 30 DDEDLO C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)[N@H+]1CCNC(=O)CC1 ZINC000054259088 407181028 /nfs/dbraw/zinc/18/10/28/407181028.db2.gz XSTBQSBQWZXSQB-SNVBAGLBSA-N 1 2 320.780 1.361 20 30 DDEDLO Cc1ncsc1C[N@H+](C)CC(=O)N(CCC#N)CCC#N ZINC000066564638 407254152 /nfs/dbraw/zinc/25/41/52/407254152.db2.gz PPCCRVPRPFVSPP-UHFFFAOYSA-N 1 2 305.407 1.539 20 30 DDEDLO Cc1ncsc1C[N@@H+](C)CC(=O)N(CCC#N)CCC#N ZINC000066564638 407254153 /nfs/dbraw/zinc/25/41/53/407254153.db2.gz PPCCRVPRPFVSPP-UHFFFAOYSA-N 1 2 305.407 1.539 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](O)C[N@@H+]1Cc1cccc(OCCCC#N)c1 ZINC000093441334 407198760 /nfs/dbraw/zinc/19/87/60/407198760.db2.gz QSNKSXXQYFCPIP-HOCLYGCPSA-N 1 2 318.373 1.477 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](O)C[N@H+]1Cc1cccc(OCCCC#N)c1 ZINC000093441334 407198762 /nfs/dbraw/zinc/19/87/62/407198762.db2.gz QSNKSXXQYFCPIP-HOCLYGCPSA-N 1 2 318.373 1.477 20 30 DDEDLO C=CCCOCCNC(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC000122381423 407299483 /nfs/dbraw/zinc/29/94/83/407299483.db2.gz MHWFUHQPWURZBW-OAHLLOKOSA-N 1 2 320.437 1.977 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)Cc1cc(=O)c(O)co1 ZINC000124144198 407349479 /nfs/dbraw/zinc/34/94/79/407349479.db2.gz YKFPZGFFZBUGGM-UHFFFAOYSA-N 1 2 314.341 1.866 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)Cc1cc(=O)c(O)co1 ZINC000124144198 407349480 /nfs/dbraw/zinc/34/94/80/407349480.db2.gz YKFPZGFFZBUGGM-UHFFFAOYSA-N 1 2 314.341 1.866 20 30 DDEDLO Cc1noc(CN2CC[NH+](Cc3ccc(C#N)s3)CC2)n1 ZINC000125362121 407386855 /nfs/dbraw/zinc/38/68/55/407386855.db2.gz GXQQUBBOVOZLLL-UHFFFAOYSA-N 1 2 303.391 1.629 20 30 DDEDLO N#C[C@H](NC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1)C1CC1 ZINC000108829385 407391073 /nfs/dbraw/zinc/39/10/73/407391073.db2.gz RWVMXEFPUMPFFA-LBPRGKRZSA-N 1 2 311.301 1.812 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000111327224 407408029 /nfs/dbraw/zinc/40/80/29/407408029.db2.gz HNEGRPUNJDGNBT-UHFFFAOYSA-N 1 2 312.782 1.711 20 30 DDEDLO C#CCCC[NH+]1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000271030621 407479220 /nfs/dbraw/zinc/47/92/20/407479220.db2.gz KTYSSEGMRMLAMM-UHFFFAOYSA-N 1 2 311.407 1.011 20 30 DDEDLO COc1cc(C#N)ccc1OCCCC(=O)NCc1[nH]cc[nH+]1 ZINC000114123720 407542568 /nfs/dbraw/zinc/54/25/68/407542568.db2.gz QJOLLPNWGVQNHA-UHFFFAOYSA-N 1 2 314.345 1.765 20 30 DDEDLO C[C@@H](c1cccnc1)[NH+]1CCN(C(=O)CSCC#N)CC1 ZINC000114704842 407602550 /nfs/dbraw/zinc/60/25/50/407602550.db2.gz RUCPEVOBACVTDW-ZDUSSCGKSA-N 1 2 304.419 1.544 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)N[C@@H](C(=O)NC)c1ccccc1 ZINC000114723999 407608140 /nfs/dbraw/zinc/60/81/40/407608140.db2.gz GPGKUKOOKQZHJF-MRXNPFEDSA-N 1 2 301.390 1.264 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[NH+]2CCN(CC(F)(F)F)CC2)cc1 ZINC000171136946 407608207 /nfs/dbraw/zinc/60/82/07/407608207.db2.gz LFKUAAOPXSKISG-AWEZNQCLSA-N 1 2 313.323 1.772 20 30 DDEDLO C[C@H]1CO[C@H](CO)C[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000129685279 407622174 /nfs/dbraw/zinc/62/21/74/407622174.db2.gz KPRDBOWWGJZVQV-JQWIXIFHSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@H]1CO[C@H](CO)C[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000129685279 407622177 /nfs/dbraw/zinc/62/21/77/407622177.db2.gz KPRDBOWWGJZVQV-JQWIXIFHSA-N 1 2 309.391 1.030 20 30 DDEDLO CC(C)(C#N)c1ccccc1C[NH+]1CCN(C(=O)CO)CC1 ZINC000152555007 407652604 /nfs/dbraw/zinc/65/26/04/407652604.db2.gz CZEDNXPFSZHDLS-UHFFFAOYSA-N 1 2 301.390 1.124 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1C[C@H](CO)OC[C@@H]1C ZINC000153141753 407763570 /nfs/dbraw/zinc/76/35/70/407763570.db2.gz GUSDREOXFMRNPK-BHYGNILZSA-N 1 2 321.417 1.236 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1C[C@H](CO)OC[C@@H]1C ZINC000153141753 407763575 /nfs/dbraw/zinc/76/35/75/407763575.db2.gz GUSDREOXFMRNPK-BHYGNILZSA-N 1 2 321.417 1.236 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH2+][C@H](c1nc(C2CC2)no1)C(C)C ZINC000179838894 407835975 /nfs/dbraw/zinc/83/59/75/407835975.db2.gz XMDUIZNNPNDETE-AWEZNQCLSA-N 1 2 319.409 1.996 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH2+]Cc1nnc2n1CCCC2 ZINC000173635870 407891700 /nfs/dbraw/zinc/89/17/00/407891700.db2.gz HUKXXQICNLPVPT-CYBMUJFWSA-N 1 2 303.410 1.293 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)[NH+]1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000118390749 407913027 /nfs/dbraw/zinc/91/30/27/407913027.db2.gz CUCJFQLLAJLRDR-YOEHRIQHSA-N 1 2 313.401 1.942 20 30 DDEDLO C=CCNC(=O)[C@H](C)N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC000118836787 407949044 /nfs/dbraw/zinc/94/90/44/407949044.db2.gz AVDSYUPVGJQACC-CABCVRRESA-N 1 2 302.422 1.451 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN([C@H](C)c2cccnc2)CC1 ZINC000118836787 407949047 /nfs/dbraw/zinc/94/90/47/407949047.db2.gz AVDSYUPVGJQACC-CABCVRRESA-N 1 2 302.422 1.451 20 30 DDEDLO N#C[C@@H](c1ccccc1)N1CC[NH+](CC(=O)NCC2CC2)CC1 ZINC000174571807 407968162 /nfs/dbraw/zinc/96/81/62/407968162.db2.gz JYZAFZAWUODXTA-KRWDZBQOSA-N 1 2 312.417 1.395 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)[N@@H+]1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000245641692 408036694 /nfs/dbraw/zinc/03/66/94/408036694.db2.gz ZCNNOYDTKHYZQT-QWHCGFSZSA-N 1 2 307.419 1.585 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)[N@H+]1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000245641692 408036698 /nfs/dbraw/zinc/03/66/98/408036698.db2.gz ZCNNOYDTKHYZQT-QWHCGFSZSA-N 1 2 307.419 1.585 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+](CC(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000268423867 408038993 /nfs/dbraw/zinc/03/89/93/408038993.db2.gz DHNTYNZKQUQLFV-CQSZACIVSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+](CC(=O)Nc3cccc(C#N)c3)C2)[nH]1 ZINC000268423867 408039000 /nfs/dbraw/zinc/03/90/00/408039000.db2.gz DHNTYNZKQUQLFV-CQSZACIVSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+](CC(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000268423867 408039005 /nfs/dbraw/zinc/03/90/05/408039005.db2.gz DHNTYNZKQUQLFV-CQSZACIVSA-N 1 2 324.388 1.803 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+](CC(=O)Nc3cccc(C#N)c3)C2)n1 ZINC000268423867 408039011 /nfs/dbraw/zinc/03/90/11/408039011.db2.gz DHNTYNZKQUQLFV-CQSZACIVSA-N 1 2 324.388 1.803 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)C1(c2ccc(C#N)cc2)CC1 ZINC000189542958 408043810 /nfs/dbraw/zinc/04/38/10/408043810.db2.gz FIKACLJNELOPQU-UHFFFAOYSA-N 1 2 313.401 1.380 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@H](CC(N)=O)C2)c(C#N)c1C ZINC000175271504 408092818 /nfs/dbraw/zinc/09/28/18/408092818.db2.gz CJQYAFFHTFPDOE-GFCCVEGCSA-N 1 2 318.377 1.294 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@H](CC(N)=O)C2)c(C#N)c1C ZINC000175271504 408092824 /nfs/dbraw/zinc/09/28/24/408092824.db2.gz CJQYAFFHTFPDOE-GFCCVEGCSA-N 1 2 318.377 1.294 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCc2c(Br)cccc2C1 ZINC000121155278 408156589 /nfs/dbraw/zinc/15/65/89/408156589.db2.gz PZHXWEHHWKKQPM-LLVKDONJSA-N 1 2 321.218 1.945 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCc2c(Br)cccc2C1 ZINC000121155278 408156594 /nfs/dbraw/zinc/15/65/94/408156594.db2.gz PZHXWEHHWKKQPM-LLVKDONJSA-N 1 2 321.218 1.945 20 30 DDEDLO NC(CCO)=[NH+]OCc1cccc(C(=O)N2CCCCC2)c1 ZINC000121316017 408183498 /nfs/dbraw/zinc/18/34/98/408183498.db2.gz PISJHCHSBSFPDL-UHFFFAOYSA-N 1 2 305.378 1.484 20 30 DDEDLO N#CCCCNC(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000273567583 408249841 /nfs/dbraw/zinc/24/98/41/408249841.db2.gz NXNDFBQUKDRBJY-UHFFFAOYSA-N 1 2 307.423 1.664 20 30 DDEDLO N#CCCCNC(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000273567583 408249844 /nfs/dbraw/zinc/24/98/44/408249844.db2.gz NXNDFBQUKDRBJY-UHFFFAOYSA-N 1 2 307.423 1.664 20 30 DDEDLO COc1cc2c(cc1OC)C[N@H+](CC(=O)N[C@@H](C)C#N)CC2 ZINC000151115671 408258871 /nfs/dbraw/zinc/25/88/71/408258871.db2.gz IRNVKEWSDSEZCG-NSHDSACASA-N 1 2 303.362 1.090 20 30 DDEDLO COc1cc2c(cc1OC)C[N@@H+](CC(=O)N[C@@H](C)C#N)CC2 ZINC000151115671 408258876 /nfs/dbraw/zinc/25/88/76/408258876.db2.gz IRNVKEWSDSEZCG-NSHDSACASA-N 1 2 303.362 1.090 20 30 DDEDLO C#CCOc1ccccc1C[N@H+]1CCC[C@H](S(C)(=O)=O)C1 ZINC000274164517 408314814 /nfs/dbraw/zinc/31/48/14/408314814.db2.gz VNOXEASDASKKKR-HNNXBMFYSA-N 1 2 307.415 1.708 20 30 DDEDLO C#CCOc1ccccc1C[N@@H+]1CCC[C@H](S(C)(=O)=O)C1 ZINC000274164517 408314819 /nfs/dbraw/zinc/31/48/19/408314819.db2.gz VNOXEASDASKKKR-HNNXBMFYSA-N 1 2 307.415 1.708 20 30 DDEDLO CO[C@H](C)c1noc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)n1 ZINC000158140302 408328396 /nfs/dbraw/zinc/32/83/96/408328396.db2.gz DQEQUWVPRDOJHH-SECBINFHSA-N 1 2 318.333 1.549 20 30 DDEDLO C[N@H+](Cc1cccc(OCCCC#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000157584577 408310758 /nfs/dbraw/zinc/31/07/58/408310758.db2.gz BLGKGMLDZFRGSJ-OAHLLOKOSA-N 1 2 322.430 1.988 20 30 DDEDLO C[N@@H+](Cc1cccc(OCCCC#N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000157584577 408310763 /nfs/dbraw/zinc/31/07/63/408310763.db2.gz BLGKGMLDZFRGSJ-OAHLLOKOSA-N 1 2 322.430 1.988 20 30 DDEDLO COC1CC[NH+](CCS(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000158818500 408363469 /nfs/dbraw/zinc/36/34/69/408363469.db2.gz GPPXBAQAYAOIQC-UHFFFAOYSA-N 1 2 308.403 1.443 20 30 DDEDLO Cc1nc(CN2CC[NH+](Cc3cc(C#N)n(C)c3)CC2)oc1C ZINC000191320127 408406419 /nfs/dbraw/zinc/40/64/19/408406419.db2.gz HVHNBQVZUXOXHI-UHFFFAOYSA-N 1 2 313.405 1.819 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(Cc2ccc(C#N)c(F)c2)CC1 ZINC000191913774 408507042 /nfs/dbraw/zinc/50/70/42/408507042.db2.gz LOWJMQWNZMAYOV-UHFFFAOYSA-N 1 2 318.396 1.340 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N1CCC[C@@H]1c1cc(OC)ccc1OC ZINC000192227486 408559876 /nfs/dbraw/zinc/55/98/76/408559876.db2.gz YBGRQPUBQGUQME-MRXNPFEDSA-N 1 2 316.401 1.932 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N1CCC[C@@H]1c1cc(OC)ccc1OC ZINC000192227486 408559880 /nfs/dbraw/zinc/55/98/80/408559880.db2.gz YBGRQPUBQGUQME-MRXNPFEDSA-N 1 2 316.401 1.932 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCCC3(C2)OCCO3)cc1 ZINC000270547589 408573608 /nfs/dbraw/zinc/57/36/08/408573608.db2.gz GAOAUFBBGGWBSV-UHFFFAOYSA-N 1 2 315.373 1.013 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCCC3(C2)OCCO3)cc1 ZINC000270547589 408573613 /nfs/dbraw/zinc/57/36/13/408573613.db2.gz GAOAUFBBGGWBSV-UHFFFAOYSA-N 1 2 315.373 1.013 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)N2CCC(n3cc[nH+]c3)CC2)c1 ZINC000177426211 408613514 /nfs/dbraw/zinc/61/35/14/408613514.db2.gz MSEBEFLIWGTQQI-UHFFFAOYSA-N 1 2 323.356 1.557 20 30 DDEDLO COc1ccc(OCCCO[NH+]=C(N)C(C)(C)NC(C)=O)cc1 ZINC000275774725 408672765 /nfs/dbraw/zinc/67/27/65/408672765.db2.gz WQRZSXOROBJAEW-UHFFFAOYSA-N 1 2 323.393 1.668 20 30 DDEDLO C=CCNC(=O)CN1CCC[C@H]1c1[nH+]c2c(n1C)CCCC2 ZINC000275965156 408733417 /nfs/dbraw/zinc/73/34/17/408733417.db2.gz NESXSXBZPROKFU-HNNXBMFYSA-N 1 2 302.422 1.738 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH2+][C@@H](COC)c1ccc(C)o1 ZINC000184765123 408685107 /nfs/dbraw/zinc/68/51/07/408685107.db2.gz CYGXTITZBCSODM-OCCSQVGLSA-N 1 2 307.394 1.873 20 30 DDEDLO N#Cc1cnc2ccccc2c1N1CC[NH+]([C@H]2CCNC2=O)CC1 ZINC000178194878 408786145 /nfs/dbraw/zinc/78/61/45/408786145.db2.gz SUJQCZSVBOROOS-INIZCTEOSA-N 1 2 321.384 1.117 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CC[C@H](O)[C@H](C)C2)c(C#N)c1C ZINC000167262131 408817189 /nfs/dbraw/zinc/81/71/89/408817189.db2.gz YXVGFILCXYXNND-RNCFNFMXSA-N 1 2 307.419 1.878 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CC[C@H](O)[C@H](C)C2)c(C#N)c1C ZINC000167262131 408817190 /nfs/dbraw/zinc/81/71/90/408817190.db2.gz YXVGFILCXYXNND-RNCFNFMXSA-N 1 2 307.419 1.878 20 30 DDEDLO N#CCCCC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000291049646 408857386 /nfs/dbraw/zinc/85/73/86/408857386.db2.gz ZHVONAOBSJHHOK-UHFFFAOYSA-N 1 2 301.390 1.829 20 30 DDEDLO Cc1nc(C(=O)N2CC[C@@H]([NH+]3CCOCC3)[C@H]2C)ccc1C#N ZINC000291459270 408868369 /nfs/dbraw/zinc/86/83/69/408868369.db2.gz OATZTTVBBNGLFI-CZUORRHYSA-N 1 2 314.389 1.197 20 30 DDEDLO C[C@@H]([NH2+][C@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1nccn1C ZINC000190631191 163150013 /nfs/dbraw/zinc/15/00/13/163150013.db2.gz AGULZFUCPBERNL-CJNGLKHVSA-N 1 2 323.400 1.743 20 30 DDEDLO C#Cc1cccc(NC(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@H]2C)c1 ZINC000286928837 409001766 /nfs/dbraw/zinc/00/17/66/409001766.db2.gz GAWSQEMQCDWXFE-PBHICJAKSA-N 1 2 313.401 1.995 20 30 DDEDLO CC[N@H+](Cc1nnc(-c2ccc(C#N)cc2)o1)[C@@H]1CCNC1=O ZINC000281954282 408959824 /nfs/dbraw/zinc/95/98/24/408959824.db2.gz URYCFHQKCWUBNR-CYBMUJFWSA-N 1 2 311.345 1.319 20 30 DDEDLO CC[N@@H+](Cc1nnc(-c2ccc(C#N)cc2)o1)[C@@H]1CCNC1=O ZINC000281954282 408959828 /nfs/dbraw/zinc/95/98/28/408959828.db2.gz URYCFHQKCWUBNR-CYBMUJFWSA-N 1 2 311.345 1.319 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccc(C)cc2)C1=O ZINC000282052813 408981422 /nfs/dbraw/zinc/98/14/22/408981422.db2.gz RRUVRFLNRXYKSB-OAHLLOKOSA-N 1 2 301.390 1.652 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccc(C)cc2)C1=O ZINC000282052813 408981425 /nfs/dbraw/zinc/98/14/25/408981425.db2.gz RRUVRFLNRXYKSB-OAHLLOKOSA-N 1 2 301.390 1.652 20 30 DDEDLO C#CCN(C)C(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000292260437 409011605 /nfs/dbraw/zinc/01/16/05/409011605.db2.gz GAQJBJSFAQXREN-CQSZACIVSA-N 1 2 300.337 1.923 20 30 DDEDLO COCCOCC[NH+]1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC000277808081 409015060 /nfs/dbraw/zinc/01/50/60/409015060.db2.gz PVUKGXWOMDMRKB-UHFFFAOYSA-N 1 2 323.824 1.997 20 30 DDEDLO C=C[C@@H](CO)NC(=O)NCCCn1c(C)[nH+]c2ccccc21 ZINC000292450330 409029832 /nfs/dbraw/zinc/02/98/32/409029832.db2.gz OLVSNCFXQNSIRO-ZDUSSCGKSA-N 1 2 302.378 1.581 20 30 DDEDLO C#CCSCCNc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000277966281 409042646 /nfs/dbraw/zinc/04/26/46/409042646.db2.gz YPODDVFMQVODSV-ZDUSSCGKSA-N 1 2 306.435 1.606 20 30 DDEDLO C#CCSCCNc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000277966281 409042648 /nfs/dbraw/zinc/04/26/48/409042648.db2.gz YPODDVFMQVODSV-ZDUSSCGKSA-N 1 2 306.435 1.606 20 30 DDEDLO COc1cc(C[N@@H+]2CCCN(S(C)(=O)=O)CC2)ccc1C#N ZINC000282381059 409043862 /nfs/dbraw/zinc/04/38/62/409043862.db2.gz QSSBFENGENDYKR-UHFFFAOYSA-N 1 2 323.418 1.034 20 30 DDEDLO COc1cc(C[N@H+]2CCCN(S(C)(=O)=O)CC2)ccc1C#N ZINC000282381059 409043863 /nfs/dbraw/zinc/04/38/63/409043863.db2.gz QSSBFENGENDYKR-UHFFFAOYSA-N 1 2 323.418 1.034 20 30 DDEDLO N#CCCC[NH+]1CCN(C(=O)c2ccccc2[N+](=O)[O-])CC1 ZINC000293153614 409058512 /nfs/dbraw/zinc/05/85/12/409058512.db2.gz OGSZONAGRRSINI-UHFFFAOYSA-N 1 2 302.334 1.656 20 30 DDEDLO CC(C)(C#N)CC(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000278624344 409085208 /nfs/dbraw/zinc/08/52/08/409085208.db2.gz XMLICHJTUOLYFC-UHFFFAOYSA-N 1 2 302.378 1.474 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccnn2CCCOC)C1=O ZINC000283118171 409091857 /nfs/dbraw/zinc/09/18/57/409091857.db2.gz VCDIZTDRQVPVPB-HNNXBMFYSA-N 1 2 306.410 1.138 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccnn2CCCOC)C1=O ZINC000283118171 409091860 /nfs/dbraw/zinc/09/18/60/409091860.db2.gz VCDIZTDRQVPVPB-HNNXBMFYSA-N 1 2 306.410 1.138 20 30 DDEDLO COc1ccccc1N1CC[C@H](O[NH+]=C(N)[C@@H]2CCCO2)C1=O ZINC000283760989 409210613 /nfs/dbraw/zinc/21/06/13/409210613.db2.gz AZJOZGDNRNOOEN-KBPBESRZSA-N 1 2 319.361 1.268 20 30 DDEDLO C#C[C@H](NC(=O)N1CCN(c2cccc[nH+]2)CC1)C(C)(C)C ZINC000289168500 409227543 /nfs/dbraw/zinc/22/75/43/409227543.db2.gz MILBNHORFLTLMM-AWEZNQCLSA-N 1 2 300.406 1.961 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc(C#N)cc3)C[C@@H]21 ZINC000284014740 409257260 /nfs/dbraw/zinc/25/72/60/409257260.db2.gz IYZVRSBZGMQQOM-YMPXZSTISA-N 1 2 311.385 1.503 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc(C#N)cc3)C[C@@H]21 ZINC000284014740 409257264 /nfs/dbraw/zinc/25/72/64/409257264.db2.gz IYZVRSBZGMQQOM-YMPXZSTISA-N 1 2 311.385 1.503 20 30 DDEDLO O=c1nc([C@H]2CCC[N@@H+](CC#Cc3ccc(F)cc3)C2)[nH][nH]1 ZINC000289373980 409257500 /nfs/dbraw/zinc/25/75/00/409257500.db2.gz IODLDKUMIIXOTK-ZDUSSCGKSA-N 1 2 300.337 1.468 20 30 DDEDLO O=c1nc([C@H]2CCC[N@H+](CC#Cc3ccc(F)cc3)C2)[nH][nH]1 ZINC000289373980 409257502 /nfs/dbraw/zinc/25/75/02/409257502.db2.gz IODLDKUMIIXOTK-ZDUSSCGKSA-N 1 2 300.337 1.468 20 30 DDEDLO CO[C@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CC[C@H]1C ZINC000289398753 409262727 /nfs/dbraw/zinc/26/27/27/409262727.db2.gz KVJIRJGDOJBOEA-OCCSQVGLSA-N 1 2 323.418 1.084 20 30 DDEDLO CO[C@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)CC[C@H]1C ZINC000289398753 409262729 /nfs/dbraw/zinc/26/27/29/409262729.db2.gz KVJIRJGDOJBOEA-OCCSQVGLSA-N 1 2 323.418 1.084 20 30 DDEDLO CCOC1CC([N@H+](C)CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000289452625 409275466 /nfs/dbraw/zinc/27/54/66/409275466.db2.gz PFFUYJRHEKRUJG-UHFFFAOYSA-N 1 2 323.418 1.226 20 30 DDEDLO CCOC1CC([N@@H+](C)CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000289452625 409275468 /nfs/dbraw/zinc/27/54/68/409275468.db2.gz PFFUYJRHEKRUJG-UHFFFAOYSA-N 1 2 323.418 1.226 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3cccnc3N)CC2)c1C#N ZINC000295185536 409361522 /nfs/dbraw/zinc/36/15/22/409361522.db2.gz JVTAQCFRNLKHEV-UHFFFAOYSA-N 1 2 314.418 1.623 20 30 DDEDLO NC(=[NH+]OCC(=O)N(Cc1ccccc1)C1CC1)[C@@H]1CCCO1 ZINC000284436468 409341401 /nfs/dbraw/zinc/34/14/01/409341401.db2.gz PAZGVKMOPLKEMB-HNNXBMFYSA-N 1 2 317.389 1.645 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)N1c2ccccc2C[C@@H]1C ZINC000284403940 409342552 /nfs/dbraw/zinc/34/25/52/409342552.db2.gz NBYINZDNNDCZSG-SLEUVZQESA-N 1 2 317.389 1.821 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)NCc1ccn2cc[nH+]c2c1 ZINC000296109309 409431050 /nfs/dbraw/zinc/43/10/50/409431050.db2.gz DXQQFOLCGDKNPW-UHFFFAOYSA-N 1 2 306.391 1.694 20 30 DDEDLO CS[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000296210151 409463849 /nfs/dbraw/zinc/46/38/49/409463849.db2.gz JPXMFQDAZWXPLF-GFCCVEGCSA-N 1 2 311.432 1.164 20 30 DDEDLO CS[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000296210151 409463853 /nfs/dbraw/zinc/46/38/53/409463853.db2.gz JPXMFQDAZWXPLF-GFCCVEGCSA-N 1 2 311.432 1.164 20 30 DDEDLO N#Cc1ccccc1OCC(=O)N1CC[NH2+][C@H](c2ccncc2)C1 ZINC000374373747 164171747 /nfs/dbraw/zinc/17/17/47/164171747.db2.gz JJXQPKUXDOICMK-INIZCTEOSA-N 1 2 322.368 1.505 20 30 DDEDLO N#Cc1cc(F)ccc1CS(=O)(=O)NCCCn1cc[nH+]c1 ZINC000295439578 409482484 /nfs/dbraw/zinc/48/24/84/409482484.db2.gz ZZLGDRYVAZOQBP-UHFFFAOYSA-N 1 2 322.365 1.404 20 30 DDEDLO Cc1nc(C(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@@H]2C)ccc1C#N ZINC000285611465 409508884 /nfs/dbraw/zinc/50/88/84/409508884.db2.gz CCQVNSMANZJOOA-WFASDCNBSA-N 1 2 314.389 1.197 20 30 DDEDLO CC(C)[C@@H](CNc1ncc(C#N)cc1[N+](=O)[O-])[NH+]1CCOCC1 ZINC000296592687 409626669 /nfs/dbraw/zinc/62/66/69/409626669.db2.gz SFMDRMZVLQTBMC-CQSZACIVSA-N 1 2 319.365 1.630 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+](C)C[C@@H](O)C(F)(F)F ZINC000305764618 409765149 /nfs/dbraw/zinc/76/51/49/409765149.db2.gz GNNVINDSOLJVLL-WDEREUQCSA-N 1 2 321.343 1.573 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+](C)C[C@@H](O)C(F)(F)F ZINC000305764618 409765154 /nfs/dbraw/zinc/76/51/54/409765154.db2.gz GNNVINDSOLJVLL-WDEREUQCSA-N 1 2 321.343 1.573 20 30 DDEDLO C=CC[C@H](C)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000354435144 409850600 /nfs/dbraw/zinc/85/06/00/409850600.db2.gz RAIBRKMWUGLTAD-GXTWGEPZSA-N 1 2 306.410 1.564 20 30 DDEDLO C=CC[C@H](C)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000354435144 409850607 /nfs/dbraw/zinc/85/06/07/409850607.db2.gz RAIBRKMWUGLTAD-GXTWGEPZSA-N 1 2 306.410 1.564 20 30 DDEDLO COCC1(O)C[NH+](Cc2ccc(OC)c(OCCCC#N)c2)C1 ZINC000297380939 409912812 /nfs/dbraw/zinc/91/28/12/409912812.db2.gz JKLAKNLBRUVJQO-UHFFFAOYSA-N 1 2 320.389 1.571 20 30 DDEDLO CC1(C)NC(=O)CC[C@@H]1NC([O-])=[NH+]C[C@H]1CCc2[nH+]ccn2C1 ZINC000328747486 409968952 /nfs/dbraw/zinc/96/89/52/409968952.db2.gz PCEXZBBWGXQXOS-NEPJUHHUSA-N 1 2 319.409 1.847 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N(C)C[C@@H]1CCOC1)CCC2 ZINC000328660300 409950116 /nfs/dbraw/zinc/95/01/16/409950116.db2.gz VDZSQXGRRPCWKY-KBPBESRZSA-N 1 2 306.410 1.951 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000354677469 410011002 /nfs/dbraw/zinc/01/10/02/410011002.db2.gz SQCIPELIUBSGRP-HNNXBMFYSA-N 1 2 314.389 1.487 20 30 DDEDLO CCC(=O)N[C@H]1CCC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000354677469 410011010 /nfs/dbraw/zinc/01/10/10/410011010.db2.gz SQCIPELIUBSGRP-HNNXBMFYSA-N 1 2 314.389 1.487 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCn2cc[nH+]c2C1)N1CCC[C@@H]1[C@@H]1CCCO1 ZINC000328877615 409998106 /nfs/dbraw/zinc/99/81/06/409998106.db2.gz KQXSQAGOBZRRGH-MCIONIFRSA-N 1 2 304.394 1.755 20 30 DDEDLO Cc1[nH]c(C(=O)NC[C@H]2CCn3ncc(C(N)=O)c3C2)c[nH+]1 ZINC000328903063 410005280 /nfs/dbraw/zinc/00/52/80/410005280.db2.gz HJSCDKHLVZVMIP-VIFPVBQESA-N 1 2 302.338 1.365 20 30 DDEDLO Cc1c[nH+]ccc1NC(=O)N[C@H]1CCOC2(CCOCC2)C1 ZINC000329008707 410048725 /nfs/dbraw/zinc/04/87/25/410048725.db2.gz NUKHQHJVLZMECY-ZDUSSCGKSA-N 1 2 305.378 1.866 20 30 DDEDLO C[C@H]1CCN(S(=O)(=O)CC2(C#N)CCC2)C[C@@H]1n1cc[nH+]c1 ZINC000357486480 410064800 /nfs/dbraw/zinc/06/48/00/410064800.db2.gz XTVAKWIVXKHGHF-KBPBESRZSA-N 1 2 322.434 1.790 20 30 DDEDLO C=CCOCC[N@@H+](C)CC(=O)Nc1nnc(CC(C)C)s1 ZINC000339152983 410066427 /nfs/dbraw/zinc/06/64/27/410066427.db2.gz INEVQXFXSIZUAL-UHFFFAOYSA-N 1 2 312.439 1.810 20 30 DDEDLO C=CCOCC[N@H+](C)CC(=O)Nc1nnc(CC(C)C)s1 ZINC000339152983 410066433 /nfs/dbraw/zinc/06/64/33/410066433.db2.gz INEVQXFXSIZUAL-UHFFFAOYSA-N 1 2 312.439 1.810 20 30 DDEDLO Cc1noc([C@@H]2CCCN2C(=O)N[C@H](C)C[NH+]2CCOCC2)n1 ZINC000329188944 410154624 /nfs/dbraw/zinc/15/46/24/410154624.db2.gz BUTRWIHLSBONGJ-YPMHNXCESA-N 1 2 323.397 1.150 20 30 DDEDLO Cc1cncc(CNC(=O)N2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)c1 ZINC000329241722 410185586 /nfs/dbraw/zinc/18/55/86/410185586.db2.gz RWXYWEDPXRRJTB-GOEBONIOSA-N 1 2 318.421 1.599 20 30 DDEDLO Cc1nn(C)c(C)c1C[NH+]1CCN(C(=O)NC[C@@H]2C[C@H]2C)CC1 ZINC000329338387 410244015 /nfs/dbraw/zinc/24/40/15/410244015.db2.gz QLXOXQASAAGUAC-DOMZBBRYSA-N 1 2 319.453 1.725 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)Nc2ccccc2SCC#N)C[C@@H]1O ZINC000351813323 410256489 /nfs/dbraw/zinc/25/64/89/410256489.db2.gz HCPBTPHATAEGOJ-AAEUAGOBSA-N 1 2 305.403 1.553 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)Nc2ccccc2SCC#N)C[C@@H]1O ZINC000351813323 410256493 /nfs/dbraw/zinc/25/64/93/410256493.db2.gz HCPBTPHATAEGOJ-AAEUAGOBSA-N 1 2 305.403 1.553 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCN2C(=O)CC[C@H]2C1 ZINC000332789337 410259225 /nfs/dbraw/zinc/25/92/25/410259225.db2.gz HKAHQUKUYYZHSM-KBPBESRZSA-N 1 2 318.421 1.024 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCN2C(=O)CC[C@H]2C1 ZINC000332789337 410259229 /nfs/dbraw/zinc/25/92/29/410259229.db2.gz HKAHQUKUYYZHSM-KBPBESRZSA-N 1 2 318.421 1.024 20 30 DDEDLO C[C@H](NC(=O)N1CCN(c2cccc[nH+]2)CC1)[C@@H]1CCCOC1 ZINC000329401033 410279974 /nfs/dbraw/zinc/27/99/74/410279974.db2.gz XXUXMWFXDXOUHZ-LSDHHAIUSA-N 1 2 318.421 1.933 20 30 DDEDLO Cc1nccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)n1 ZINC000351775256 410226172 /nfs/dbraw/zinc/22/61/72/410226172.db2.gz SKFPMTDYRSWUSR-GFCCVEGCSA-N 1 2 309.377 1.157 20 30 DDEDLO Cc1nccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)n1 ZINC000351775256 410226178 /nfs/dbraw/zinc/22/61/78/410226178.db2.gz SKFPMTDYRSWUSR-GFCCVEGCSA-N 1 2 309.377 1.157 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)[C@@]1(C#N)CC12CCCC2 ZINC000333118267 410365144 /nfs/dbraw/zinc/36/51/44/410365144.db2.gz UNLKPZPVJIASGL-VKJFTORMSA-N 1 2 317.433 1.782 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)N2CCCCC2)cc1OC ZINC000298782268 410395821 /nfs/dbraw/zinc/39/58/21/410395821.db2.gz YFWSAVNRNOFEIN-UHFFFAOYSA-N 1 2 321.377 1.353 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2C[C@H](C)[N@H+](C)C[C@@H]2C)cc1C#N ZINC000352007708 410408106 /nfs/dbraw/zinc/40/81/06/410408106.db2.gz YJAQRQPHKKLVIB-UWVGGRQHSA-N 1 2 320.418 1.545 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2C[C@H](C)[N@@H+](C)C[C@@H]2C)cc1C#N ZINC000352007708 410408116 /nfs/dbraw/zinc/40/81/16/410408116.db2.gz YJAQRQPHKKLVIB-UWVGGRQHSA-N 1 2 320.418 1.545 20 30 DDEDLO N#Cc1cncc(S(=O)(=O)NCc2ccn3cc[nH+]c3c2)c1 ZINC000352072836 410459525 /nfs/dbraw/zinc/45/95/25/410459525.db2.gz AOZOEVVVIFAIBM-UHFFFAOYSA-N 1 2 313.342 1.079 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000352249821 410578599 /nfs/dbraw/zinc/57/85/99/410578599.db2.gz LZZOCLPSTXXWQB-CYBMUJFWSA-N 1 2 314.389 1.812 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@H+](C)C[C@H](C)C#N)cc1 ZINC000299178235 410559178 /nfs/dbraw/zinc/55/91/78/410559178.db2.gz ZULHQEBVUBTYNC-TZMCWYRMSA-N 1 2 306.362 1.304 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@@H+](C)C[C@H](C)C#N)cc1 ZINC000299178235 410559183 /nfs/dbraw/zinc/55/91/83/410559183.db2.gz ZULHQEBVUBTYNC-TZMCWYRMSA-N 1 2 306.362 1.304 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCCC[C@H]2C(=O)OC(C)(C)C)C1=O ZINC000337196408 410659816 /nfs/dbraw/zinc/65/98/16/410659816.db2.gz XLKPSXOEAKLSNB-KGLIPLIRSA-N 1 2 308.422 1.970 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCCC[C@H]2C(=O)OC(C)(C)C)C1=O ZINC000337196408 410659822 /nfs/dbraw/zinc/65/98/22/410659822.db2.gz XLKPSXOEAKLSNB-KGLIPLIRSA-N 1 2 308.422 1.970 20 30 DDEDLO COCCC(=O)N1CC[NH+](Cc2cc(C#N)ccc2OC)CC1 ZINC000355663729 410630553 /nfs/dbraw/zinc/63/05/53/410630553.db2.gz RYPZCJUQIQDWDW-UHFFFAOYSA-N 1 2 317.389 1.248 20 30 DDEDLO CC[C@@]1(C)COCC[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000330543338 410764004 /nfs/dbraw/zinc/76/40/04/410764004.db2.gz YWZCKXCNEKHABF-KRWDZBQOSA-N 1 2 301.390 1.675 20 30 DDEDLO CC[C@@]1(C)COCC[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000330543338 410764013 /nfs/dbraw/zinc/76/40/13/410764013.db2.gz YWZCKXCNEKHABF-KRWDZBQOSA-N 1 2 301.390 1.675 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N2CCC(C)(C#N)CC2)c(C)[nH+]1 ZINC000356137124 410854802 /nfs/dbraw/zinc/85/48/02/410854802.db2.gz BSBDYQMJBZZNEC-UHFFFAOYSA-N 1 2 300.362 1.789 20 30 DDEDLO CC[C@H](C(N)=O)[NH+]1CCN([C@@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348331215 410923570 /nfs/dbraw/zinc/92/35/70/410923570.db2.gz QPQVISZFMSYAFG-CABCVRRESA-N 1 2 320.824 1.786 20 30 DDEDLO N#Cc1ccc(NCC(=O)N2CC[NH2+][C@H](c3ccncc3)C2)cc1 ZINC000337662016 410927846 /nfs/dbraw/zinc/92/78/46/410927846.db2.gz QKFJETNWQAJDLQ-KRWDZBQOSA-N 1 2 321.384 1.538 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NCc1ccc(N2CCC(C)CC2)[nH+]c1 ZINC000416372742 224903415 /nfs/dbraw/zinc/90/34/15/224903415.db2.gz NLFKZJKTUCWBKU-CYBMUJFWSA-N 1 2 322.434 1.649 20 30 DDEDLO C=CCCCCC[N@@H+]1CCn2c(nn(CC(F)F)c2=O)C1 ZINC000366111794 418429284 /nfs/dbraw/zinc/42/92/84/418429284.db2.gz ZCJPBVVCKYSVSV-UHFFFAOYSA-N 1 2 300.353 1.872 20 30 DDEDLO C=CCCCCC[N@H+]1CCn2c(nn(CC(F)F)c2=O)C1 ZINC000366111794 418429290 /nfs/dbraw/zinc/42/92/90/418429290.db2.gz ZCJPBVVCKYSVSV-UHFFFAOYSA-N 1 2 300.353 1.872 20 30 DDEDLO CC#CCC[N@@H+]1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000360488673 418448526 /nfs/dbraw/zinc/44/85/26/418448526.db2.gz JTMLFQNASGAADH-CQSZACIVSA-N 1 2 312.369 1.397 20 30 DDEDLO CC#CCC[N@H+]1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000360488673 418448531 /nfs/dbraw/zinc/44/85/31/418448531.db2.gz JTMLFQNASGAADH-CQSZACIVSA-N 1 2 312.369 1.397 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CC2CCCCC2)CC1 ZINC000373977313 418479617 /nfs/dbraw/zinc/47/96/17/418479617.db2.gz HLVUKNFJGVTTAS-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CC2CCCCC2)CC1 ZINC000373977313 418479618 /nfs/dbraw/zinc/47/96/18/418479618.db2.gz HLVUKNFJGVTTAS-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)COc2ccccc2C#N)C1 ZINC000374349989 418521065 /nfs/dbraw/zinc/52/10/65/418521065.db2.gz JEFUIJVSUJYNHQ-AWEZNQCLSA-N 1 2 310.357 1.687 20 30 DDEDLO C#CCOc1ccc(C(=O)N2CC[NH2+][C@H](c3ccncc3)C2)cc1 ZINC000374383004 418525742 /nfs/dbraw/zinc/52/57/42/418525742.db2.gz CMBZMJFCVMYIMT-SFHVURJKSA-N 1 2 321.380 1.880 20 30 DDEDLO Cc1c(C(=O)N(CCC#N)CC[NH+]2CCOCC2)ccn1C ZINC000195157458 418552847 /nfs/dbraw/zinc/55/28/47/418552847.db2.gz DHNNXAKYRVWGJC-UHFFFAOYSA-N 1 2 304.394 1.022 20 30 DDEDLO C=CC[N@@H+](CCOC)[C@H](C)C(=O)Nc1cccc(C(=O)NC)c1 ZINC000191110828 222096645 /nfs/dbraw/zinc/09/66/45/222096645.db2.gz VTKWEDPKDSHZDX-CYBMUJFWSA-N 1 2 319.405 1.508 20 30 DDEDLO C=CC[N@H+](CCOC)[C@H](C)C(=O)Nc1cccc(C(=O)NC)c1 ZINC000191110828 222096649 /nfs/dbraw/zinc/09/66/49/222096649.db2.gz VTKWEDPKDSHZDX-CYBMUJFWSA-N 1 2 319.405 1.508 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(CC#Cc2ccccc2)CC1 ZINC000191897809 222111600 /nfs/dbraw/zinc/11/16/00/222111600.db2.gz QERDDKGDTSZQPM-UHFFFAOYSA-N 1 2 313.445 1.524 20 30 DDEDLO C=CCN(CCOC)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000189663411 222052263 /nfs/dbraw/zinc/05/22/63/222052263.db2.gz HLRJUJDMYHTKFI-CQSZACIVSA-N 1 2 304.394 1.504 20 30 DDEDLO C=CCOC[C@H]([NH2+][C@@H]1CCc2c(cccc2OC)C1)C(=O)OC ZINC000361112882 418587053 /nfs/dbraw/zinc/58/70/53/418587053.db2.gz JZALXAHRFXUUBP-ZBFHGGJFSA-N 1 2 319.401 1.886 20 30 DDEDLO CCOC(=O)N1CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000194868737 222186187 /nfs/dbraw/zinc/18/61/87/222186187.db2.gz UGBVNMJDGZAMQG-MRXNPFEDSA-N 1 2 324.425 1.205 20 30 DDEDLO CCOC(=O)N1CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000194868737 222186189 /nfs/dbraw/zinc/18/61/89/222186189.db2.gz UGBVNMJDGZAMQG-MRXNPFEDSA-N 1 2 324.425 1.205 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)c1cccc(CC#N)c1 ZINC000264489620 222331319 /nfs/dbraw/zinc/33/13/19/222331319.db2.gz XYPLRRMNCQFKAJ-CQSZACIVSA-N 1 2 300.406 1.118 20 30 DDEDLO CCc1cc(N)nc(S[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)[nH+]1 ZINC000331308513 418614929 /nfs/dbraw/zinc/61/49/29/418614929.db2.gz FUVKLJHSOAXVLE-BONVTDFDSA-N 1 2 321.450 2.156 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@@H](O)C[N@H+](CC)CCC#N)c1 ZINC000267248025 222379752 /nfs/dbraw/zinc/37/97/52/222379752.db2.gz YXMXUAHEYVXLAV-HNNXBMFYSA-N 1 2 320.389 1.839 20 30 DDEDLO CCOC(=O)c1cccc(OC[C@@H](O)C[N@@H+](CC)CCC#N)c1 ZINC000267248025 222379754 /nfs/dbraw/zinc/37/97/54/222379754.db2.gz YXMXUAHEYVXLAV-HNNXBMFYSA-N 1 2 320.389 1.839 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+]2C[C@H](O)C3(CC3)C2)c(C#N)c1C ZINC000375305993 418626955 /nfs/dbraw/zinc/62/69/55/418626955.db2.gz ATXXSFXJGCYHCU-MFKMUULPSA-N 1 2 303.362 1.552 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+]2C[C@H](O)C3(CC3)C2)c(C#N)c1C ZINC000375305993 418626957 /nfs/dbraw/zinc/62/69/57/418626957.db2.gz ATXXSFXJGCYHCU-MFKMUULPSA-N 1 2 303.362 1.552 20 30 DDEDLO CC(C)CCN(CCC#N)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C ZINC000377064847 418705207 /nfs/dbraw/zinc/70/52/07/418705207.db2.gz WPQIPRRZYGNCNR-DLBZAZTESA-N 1 2 308.470 1.958 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000377835581 418716397 /nfs/dbraw/zinc/71/63/97/418716397.db2.gz VCQDXBPCUZPGLX-CQSZACIVSA-N 1 2 304.346 1.331 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000377835581 418716400 /nfs/dbraw/zinc/71/64/00/418716400.db2.gz VCQDXBPCUZPGLX-CQSZACIVSA-N 1 2 304.346 1.331 20 30 DDEDLO COC(=O)C[NH+]1CCC(Nc2cccc(OCC#N)c2)CC1 ZINC000273099913 222468248 /nfs/dbraw/zinc/46/82/48/222468248.db2.gz POFHKVROMVTMIN-UHFFFAOYSA-N 1 2 303.362 1.638 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000375756751 418682350 /nfs/dbraw/zinc/68/23/50/418682350.db2.gz ILQPLZGHZSSFML-WCQYABFASA-N 1 2 305.382 1.318 20 30 DDEDLO Cc1nc([C@@H]2CCC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C2)no1 ZINC000375756751 418682352 /nfs/dbraw/zinc/68/23/52/418682352.db2.gz ILQPLZGHZSSFML-WCQYABFASA-N 1 2 305.382 1.318 20 30 DDEDLO Cc1nsc(N2CCC[N@@H+](CC(=O)NC(C)C)CC2)c1C#N ZINC000376111969 418692613 /nfs/dbraw/zinc/69/26/13/418692613.db2.gz HHWWODIRZWMWJN-UHFFFAOYSA-N 1 2 321.450 1.360 20 30 DDEDLO Cc1nsc(N2CCC[N@H+](CC(=O)NC(C)C)CC2)c1C#N ZINC000376111969 418692616 /nfs/dbraw/zinc/69/26/16/418692616.db2.gz HHWWODIRZWMWJN-UHFFFAOYSA-N 1 2 321.450 1.360 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1snc(Cl)c1C#N ZINC000376202038 418694450 /nfs/dbraw/zinc/69/44/50/418694450.db2.gz XZRLMHSSFUEFPD-UWVGGRQHSA-N 1 2 312.826 1.968 20 30 DDEDLO C#CCCNC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1OC ZINC000369286726 418730685 /nfs/dbraw/zinc/73/06/85/418730685.db2.gz CHVWMIJOWPMMBQ-OAHLLOKOSA-N 1 2 312.373 1.841 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CCO[C@@H](COCC2CC2)C1 ZINC000362596845 418756506 /nfs/dbraw/zinc/75/65/06/418756506.db2.gz REAKXONOUNOBAG-DOTOQJQBSA-N 1 2 322.449 1.703 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CCO[C@@H](COCC2CC2)C1 ZINC000362596845 418756509 /nfs/dbraw/zinc/75/65/09/418756509.db2.gz REAKXONOUNOBAG-DOTOQJQBSA-N 1 2 322.449 1.703 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C[C@H]1Cc2ccccc2O1 ZINC000362823561 418760088 /nfs/dbraw/zinc/76/00/88/418760088.db2.gz SWOQDTCYPUHPFC-QGZVFWFLSA-N 1 2 315.417 1.538 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000371059805 418760184 /nfs/dbraw/zinc/76/01/84/418760184.db2.gz SAHIGPDSXLSZPV-ZDUSSCGKSA-N 1 2 306.435 1.772 20 30 DDEDLO C#CC[C@H](C)NC(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000371059805 418760185 /nfs/dbraw/zinc/76/01/85/418760185.db2.gz SAHIGPDSXLSZPV-ZDUSSCGKSA-N 1 2 306.435 1.772 20 30 DDEDLO N#CCOc1ccccc1C(=O)N1CC[NH+]([C@H]2CCOC2)CC1 ZINC000363708581 418768680 /nfs/dbraw/zinc/76/86/80/418768680.db2.gz KJHVDNSSIRMQIE-AWEZNQCLSA-N 1 2 315.373 1.136 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@H](C)[NH+]1CCC(C)(C#N)CC1 ZINC000408159517 418790114 /nfs/dbraw/zinc/79/01/14/418790114.db2.gz QBPDETSDQUDQKM-ZDUSSCGKSA-N 1 2 303.410 1.990 20 30 DDEDLO Cn1cccc1C[N@@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000371471873 418794075 /nfs/dbraw/zinc/79/40/75/418794075.db2.gz XHAHVQLIPPQTLW-FZKQIMNGSA-N 1 2 306.369 1.871 20 30 DDEDLO Cn1cccc1C[N@H+]1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000371471873 418794076 /nfs/dbraw/zinc/79/40/76/418794076.db2.gz XHAHVQLIPPQTLW-FZKQIMNGSA-N 1 2 306.369 1.871 20 30 DDEDLO N#CCSCC(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC000365128986 418838424 /nfs/dbraw/zinc/83/84/24/418838424.db2.gz UUOQYSYPWMPEKA-UHFFFAOYSA-N 1 2 310.448 1.480 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC=C(c2cncc(F)c2)CC1 ZINC000373126387 418929304 /nfs/dbraw/zinc/92/93/04/418929304.db2.gz QGUWUFVLZFHDQR-UHFFFAOYSA-N 1 2 318.352 1.322 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC=C(c2cncc(F)c2)CC1 ZINC000373126387 418929305 /nfs/dbraw/zinc/92/93/05/418929305.db2.gz QGUWUFVLZFHDQR-UHFFFAOYSA-N 1 2 318.352 1.322 20 30 DDEDLO CC(C)[C@H](C(=O)OC(C)(C)C)N1CC[C@H]([NH2+]CCC#N)C1=O ZINC000411626804 419365614 /nfs/dbraw/zinc/36/56/14/419365614.db2.gz XIYOMDDOCXZYPL-QWHCGFSZSA-N 1 2 309.410 1.457 20 30 DDEDLO CC[C@@]1(O)CC[N@@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000425924996 419368074 /nfs/dbraw/zinc/36/80/74/419368074.db2.gz IEUMVAWXENDKHC-MEDUHNTESA-N 1 2 305.378 1.942 20 30 DDEDLO CC[C@@]1(O)CC[N@H+]([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000425924996 419368078 /nfs/dbraw/zinc/36/80/78/419368078.db2.gz IEUMVAWXENDKHC-MEDUHNTESA-N 1 2 305.378 1.942 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)NCc1ccc(C#N)s1 ZINC000422220559 419885465 /nfs/dbraw/zinc/88/54/65/419885465.db2.gz UHYGNAKQQSNVTI-UHFFFAOYSA-N 1 2 308.407 1.093 20 30 DDEDLO C=C[C@@H](C)NC(=O)NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000429105981 419969725 /nfs/dbraw/zinc/96/97/25/419969725.db2.gz UXJFOVNQANKHFO-VQCLRJIVSA-N 1 2 311.426 1.130 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(c2cnccc2C#N)C1 ZINC000418954435 420029390 /nfs/dbraw/zinc/02/93/90/420029390.db2.gz WJWPBYDHVBUOTB-QGZVFWFLSA-N 1 2 316.405 1.853 20 30 DDEDLO COCCN(C)c1ccc(CNc2n[nH]c(C)c2C#N)c[nH+]1 ZINC000419746104 420062452 /nfs/dbraw/zinc/06/24/52/420062452.db2.gz PXBPDYPNGLOMRB-UHFFFAOYSA-N 1 2 300.366 1.680 20 30 DDEDLO C=CC[N@@H+](CCOC)Cc1ccc(S(=O)(=O)N(C)C)s1 ZINC000425450661 420387049 /nfs/dbraw/zinc/38/70/49/420387049.db2.gz VAKZOOWZHWCFOH-UHFFFAOYSA-N 1 2 318.464 1.633 20 30 DDEDLO C=CC[N@H+](CCOC)Cc1ccc(S(=O)(=O)N(C)C)s1 ZINC000425450661 420387053 /nfs/dbraw/zinc/38/70/53/420387053.db2.gz VAKZOOWZHWCFOH-UHFFFAOYSA-N 1 2 318.464 1.633 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1CCN(C(=O)CC)C1 ZINC000425507562 420404027 /nfs/dbraw/zinc/40/40/27/420404027.db2.gz GHUZCVNGZDLLHM-INIZCTEOSA-N 1 2 318.417 1.667 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1CCN(C(=O)CC)C1 ZINC000425507562 420404033 /nfs/dbraw/zinc/40/40/33/420404033.db2.gz GHUZCVNGZDLLHM-INIZCTEOSA-N 1 2 318.417 1.667 20 30 DDEDLO CC(C)CN(C[C@H](O)C[NH+]1CCOCC1)c1ccc(C#N)cc1 ZINC000438915214 420470104 /nfs/dbraw/zinc/47/01/04/420470104.db2.gz CFPMUIRQEDWYCN-GOSISDBHSA-N 1 2 317.433 1.714 20 30 DDEDLO CCCNC(=O)C[C@@H](C(N)=O)[N@H+](CCC)CC1(C#N)CCC1 ZINC000450704090 420512002 /nfs/dbraw/zinc/51/20/02/420512002.db2.gz FQZAUSNJAPUPLR-ZDUSSCGKSA-N 1 2 308.426 1.162 20 30 DDEDLO CCCNC(=O)C[C@@H](C(N)=O)[N@@H+](CCC)CC1(C#N)CCC1 ZINC000450704090 420512005 /nfs/dbraw/zinc/51/20/05/420512005.db2.gz FQZAUSNJAPUPLR-ZDUSSCGKSA-N 1 2 308.426 1.162 20 30 DDEDLO CN(Cc1ccc(C#N)cc1Cl)C[C@@H](O)C[NH+]1CCOCC1 ZINC000439432001 420512977 /nfs/dbraw/zinc/51/29/77/420512977.db2.gz OXEJUTVUTGOKMN-OAHLLOKOSA-N 1 2 323.824 1.337 20 30 DDEDLO CCn1c[nH+]cc1CN1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000417788912 420480014 /nfs/dbraw/zinc/48/00/14/420480014.db2.gz GKEDHGOMZAXRNT-ZDUSSCGKSA-N 1 2 311.393 1.275 20 30 DDEDLO N#CCCCC(=O)N(CC[NH+]1CCOCC1)Cc1ccco1 ZINC000457003913 420586250 /nfs/dbraw/zinc/58/62/50/420586250.db2.gz XPYTVTDGMNJRLG-UHFFFAOYSA-N 1 2 305.378 1.634 20 30 DDEDLO N#CCCN(Cc1cccnc1)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000440709684 420599262 /nfs/dbraw/zinc/59/92/62/420599262.db2.gz JXRYSBNTATVXRJ-HNNXBMFYSA-N 1 2 309.373 1.783 20 30 DDEDLO C=CCC1(CNC(=O)NC[C@H]2CN(C)CC[N@@H+]2C)CCCC1 ZINC000454480580 420866606 /nfs/dbraw/zinc/86/66/06/420866606.db2.gz HBZSCGGHDQOXAX-HNNXBMFYSA-N 1 2 308.470 1.668 20 30 DDEDLO C=CCC1(CNC(=O)NC[C@H]2CN(C)CC[N@H+]2C)CCCC1 ZINC000454480580 420866610 /nfs/dbraw/zinc/86/66/10/420866610.db2.gz HBZSCGGHDQOXAX-HNNXBMFYSA-N 1 2 308.470 1.668 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1ncc(C#N)cc1Cl ZINC000449845645 421095998 /nfs/dbraw/zinc/09/59/98/421095998.db2.gz REIDASGEPYWOIY-SNVBAGLBSA-N 1 2 308.769 1.057 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1ncc(C#N)cc1Cl ZINC000449845645 421096002 /nfs/dbraw/zinc/09/60/02/421096002.db2.gz REIDASGEPYWOIY-SNVBAGLBSA-N 1 2 308.769 1.057 20 30 DDEDLO COCC[N@H+](Cc1ccnn1C)Cc1ccc(C#N)cc1OC ZINC000489891629 421178944 /nfs/dbraw/zinc/17/89/44/421178944.db2.gz PVAFOFYGZWPNEP-UHFFFAOYSA-N 1 2 314.389 1.949 20 30 DDEDLO COCC[N@@H+](Cc1ccnn1C)Cc1ccc(C#N)cc1OC ZINC000489891629 421178945 /nfs/dbraw/zinc/17/89/45/421178945.db2.gz PVAFOFYGZWPNEP-UHFFFAOYSA-N 1 2 314.389 1.949 20 30 DDEDLO N#Cc1cncnc1NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000561883469 421337705 /nfs/dbraw/zinc/33/77/05/421337705.db2.gz YOJVLYHCHZKPNE-INIZCTEOSA-N 1 2 309.373 1.256 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@H](c3nc(C4CC4)no3)C2)CCC1 ZINC000563334373 421486602 /nfs/dbraw/zinc/48/66/02/421486602.db2.gz LSIWSBSIMQRESC-LBPRGKRZSA-N 1 2 315.377 1.299 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@H](c3nc(C4CC4)no3)C2)CCC1 ZINC000563334373 421486603 /nfs/dbraw/zinc/48/66/03/421486603.db2.gz LSIWSBSIMQRESC-LBPRGKRZSA-N 1 2 315.377 1.299 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC000515412095 421500795 /nfs/dbraw/zinc/50/07/95/421500795.db2.gz GGVIBKGMVKOZNQ-ZIAGYGMSSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC000515412095 421500797 /nfs/dbraw/zinc/50/07/97/421500797.db2.gz GGVIBKGMVKOZNQ-ZIAGYGMSSA-N 1 2 321.421 1.207 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)NCC1CC[NH+](CC(F)F)CC1 ZINC000552377032 421585606 /nfs/dbraw/zinc/58/56/06/421585606.db2.gz IWIKQCRJAUMWCG-UHFFFAOYSA-N 1 2 310.348 1.604 20 30 DDEDLO N#CCNC(=O)C[NH+]1CCC(Oc2cncc(Cl)c2)CC1 ZINC000567484299 421612125 /nfs/dbraw/zinc/61/21/25/421612125.db2.gz KPNCBCOAUWCSQX-UHFFFAOYSA-N 1 2 308.769 1.218 20 30 DDEDLO COc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c(OC)c1OC ZINC000568752106 421622631 /nfs/dbraw/zinc/62/26/31/421622631.db2.gz BEFIHNQNPAMXRW-MRXNPFEDSA-N 1 2 321.377 1.286 20 30 DDEDLO CC#CCNC(=O)NC[C@H](c1cccs1)[NH+]1CCN(C)CC1 ZINC000570016835 421628615 /nfs/dbraw/zinc/62/86/15/421628615.db2.gz XCTSXGFIRRSIIK-CQSZACIVSA-N 1 2 320.462 1.359 20 30 DDEDLO C[C@H]([NH2+]C[C@H](O)CN(C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000570391208 421642491 /nfs/dbraw/zinc/64/24/91/421642491.db2.gz OJETVXSTAKJDRN-RYUDHWBXSA-N 1 2 309.414 1.224 20 30 DDEDLO C[C@H](NC[C@H](O)C[N@H+](C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000570391208 421642493 /nfs/dbraw/zinc/64/24/93/421642493.db2.gz OJETVXSTAKJDRN-RYUDHWBXSA-N 1 2 309.414 1.224 20 30 DDEDLO C[C@H](NC[C@H](O)C[N@@H+](C)CCC#N)c1nc(C(C)(C)C)no1 ZINC000570391208 421642496 /nfs/dbraw/zinc/64/24/96/421642496.db2.gz OJETVXSTAKJDRN-RYUDHWBXSA-N 1 2 309.414 1.224 20 30 DDEDLO CC1CC(C#N)(C(=O)NC[C@]2([NH+]3CCOCC3)CCSC2)C1 ZINC000532065121 421647037 /nfs/dbraw/zinc/64/70/37/421647037.db2.gz JUDDVAGJFRWFEG-AVVWSFFYSA-N 1 2 323.462 1.250 20 30 DDEDLO Cc1nsc(N2CC[NH+](Cc3cnoc3C)CC2)c1C#N ZINC000570554955 421653352 /nfs/dbraw/zinc/65/33/52/421653352.db2.gz NJOYVQQFYIWKNV-UHFFFAOYSA-N 1 2 303.391 1.942 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@H+](C)CCN1CCCC1=O ZINC000534275687 421686516 /nfs/dbraw/zinc/68/65/16/421686516.db2.gz ROBCBOGAVPIQTN-ZDUSSCGKSA-N 1 2 314.389 1.439 20 30 DDEDLO C[C@@H](C(=O)Nc1cccc(C#N)c1)[N@@H+](C)CCN1CCCC1=O ZINC000534275687 421686519 /nfs/dbraw/zinc/68/65/19/421686519.db2.gz ROBCBOGAVPIQTN-ZDUSSCGKSA-N 1 2 314.389 1.439 20 30 DDEDLO C=CC[N@@H+](CCC(=O)N1CCC[C@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000533387337 421672739 /nfs/dbraw/zinc/67/27/39/421672739.db2.gz AWCLOWYKQGSSLO-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[N@H+](CCC(=O)N1CCC[C@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000533387337 421672742 /nfs/dbraw/zinc/67/27/42/421672742.db2.gz AWCLOWYKQGSSLO-ZIAGYGMSSA-N 1 2 314.451 1.063 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@@H]2CCC[N@H+](C)C2)cc1C#N ZINC000540882032 421770862 /nfs/dbraw/zinc/77/08/62/421770862.db2.gz CIXPRHAAKGODNL-JTQLQIEISA-N 1 2 320.418 1.452 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@@H]2CCC[N@@H+](C)C2)cc1C#N ZINC000540882032 421770864 /nfs/dbraw/zinc/77/08/64/421770864.db2.gz CIXPRHAAKGODNL-JTQLQIEISA-N 1 2 320.418 1.452 20 30 DDEDLO CC(C)(C)OC(=O)C[C@](C)(C#N)C(=O)N1CC(n2cc[nH+]c2)C1 ZINC000540952124 421778434 /nfs/dbraw/zinc/77/84/34/421778434.db2.gz ZVKSRJCFIXTSCK-MRXNPFEDSA-N 1 2 318.377 1.528 20 30 DDEDLO CCCCC[N@H+](CC(N)=O)Cc1cccc(C(=O)OC)c1C#N ZINC000540952739 421778995 /nfs/dbraw/zinc/77/89/95/421778995.db2.gz HJESNXIRLSWXOR-UHFFFAOYSA-N 1 2 317.389 1.822 20 30 DDEDLO CCCCC[N@@H+](CC(N)=O)Cc1cccc(C(=O)OC)c1C#N ZINC000540952739 421778997 /nfs/dbraw/zinc/77/89/97/421778997.db2.gz HJESNXIRLSWXOR-UHFFFAOYSA-N 1 2 317.389 1.822 20 30 DDEDLO C[C@@H](c1nccc(N)n1)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000573054475 421905617 /nfs/dbraw/zinc/90/56/17/421905617.db2.gz KUFYXIQRCCTXTR-ZDUSSCGKSA-N 1 2 308.389 1.814 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CC[C@](O)(C(F)(F)F)C3)cnc12 ZINC000582063515 422102136 /nfs/dbraw/zinc/10/21/36/422102136.db2.gz UISFNSRCOZQBIT-GFCCVEGCSA-N 1 2 311.267 1.100 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CC[C@](O)(C(F)(F)F)C3)cnc12 ZINC000582063515 422102141 /nfs/dbraw/zinc/10/21/41/422102141.db2.gz UISFNSRCOZQBIT-GFCCVEGCSA-N 1 2 311.267 1.100 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000574606474 422142461 /nfs/dbraw/zinc/14/24/61/422142461.db2.gz NFDVAYVAZIRDHF-SJORKVTESA-N 1 2 311.385 1.934 20 30 DDEDLO CCn1cc[nH+]c1[C@@H]1CCCCN1CC(=O)NC1(C#N)CCC1 ZINC000574654562 422153582 /nfs/dbraw/zinc/15/35/82/422153582.db2.gz BRDBWNYOMLVQIE-AWEZNQCLSA-N 1 2 315.421 1.992 20 30 DDEDLO COc1c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cccc1[N+](=O)[O-] ZINC000576044467 422361885 /nfs/dbraw/zinc/36/18/85/422361885.db2.gz USLXAZPNVNUSMY-AWEZNQCLSA-N 1 2 306.322 1.177 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@H]1SCCc2ccccc21 ZINC000577928129 422454003 /nfs/dbraw/zinc/45/40/03/422454003.db2.gz CKJCLHXACOGNJG-HOCLYGCPSA-N 1 2 303.431 1.977 20 30 DDEDLO CCC(=O)N1CCC[N@H+](Cc2cnc3c(C#N)cnn3c2)CC1 ZINC000578324054 422546349 /nfs/dbraw/zinc/54/63/49/422546349.db2.gz HPUOOGCQKIHWFR-UHFFFAOYSA-N 1 2 312.377 1.045 20 30 DDEDLO CCC(=O)N1CCC[N@@H+](Cc2cnc3c(C#N)cnn3c2)CC1 ZINC000578324054 422546355 /nfs/dbraw/zinc/54/63/55/422546355.db2.gz HPUOOGCQKIHWFR-UHFFFAOYSA-N 1 2 312.377 1.045 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+](Cc2cc3ccccc3[nH]2)CC1 ZINC000629389750 422646534 /nfs/dbraw/zinc/64/65/34/422646534.db2.gz UQBIQNADDTVKFV-GOSISDBHSA-N 1 2 313.401 1.749 20 30 DDEDLO C=CCOCCCNC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000636201623 422710132 /nfs/dbraw/zinc/71/01/32/422710132.db2.gz YJJUZIUEBQJQML-QGZVFWFLSA-N 1 2 318.417 1.978 20 30 DDEDLO C=CCOCCCNC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000636201623 422710139 /nfs/dbraw/zinc/71/01/39/422710139.db2.gz YJJUZIUEBQJQML-QGZVFWFLSA-N 1 2 318.417 1.978 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(C)Cc2cccs2)nn1 ZINC000640842075 423225019 /nfs/dbraw/zinc/22/50/19/423225019.db2.gz SYVRYQDRMIMEHZ-UHFFFAOYSA-N 1 2 317.418 1.111 20 30 DDEDLO N#Cc1ccccc1OCC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178374930 263352633 /nfs/dbraw/zinc/35/26/33/263352633.db2.gz FHTKNWZNDGKRIW-UHFFFAOYSA-N 1 2 306.325 1.901 20 30 DDEDLO C=CCCn1cc(C[N@@H+](CCC(N)=O)Cc2ccccc2)nn1 ZINC000653572105 423534979 /nfs/dbraw/zinc/53/49/79/423534979.db2.gz MYJSSTIHSWQFHA-UHFFFAOYSA-N 1 2 313.405 1.732 20 30 DDEDLO C=CCCn1cc(C[N@H+](CCC(N)=O)Cc2ccccc2)nn1 ZINC000653572105 423534983 /nfs/dbraw/zinc/53/49/83/423534983.db2.gz MYJSSTIHSWQFHA-UHFFFAOYSA-N 1 2 313.405 1.732 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H](CO)c2ccccc2OC)nn1 ZINC000653611854 423549030 /nfs/dbraw/zinc/54/90/30/423549030.db2.gz QJSCLHSUJUNFJR-HNNXBMFYSA-N 1 2 302.378 1.686 20 30 DDEDLO O=C(NCC#CCO)Nc1ccccc1OCCn1cc[nH+]c1 ZINC000639658646 423639198 /nfs/dbraw/zinc/63/91/98/423639198.db2.gz ALXFAVDJIKKGBF-UHFFFAOYSA-N 1 2 314.345 1.079 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)C3(c4cccc(C#N)c4)CC3)C[C@@H]21 ZINC000533257132 269995380 /nfs/dbraw/zinc/99/53/80/269995380.db2.gz AKUAPXLVHPEDKM-JKSUJKDBSA-N 1 2 311.385 1.131 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)C3(c4cccc(C#N)c4)CC3)C[C@@H]21 ZINC000533257132 269995381 /nfs/dbraw/zinc/99/53/81/269995381.db2.gz AKUAPXLVHPEDKM-JKSUJKDBSA-N 1 2 311.385 1.131 20 30 DDEDLO C=C[C@@](C)(O)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000665976167 423979715 /nfs/dbraw/zinc/97/97/15/423979715.db2.gz JNXADISFQZUKSC-NVXWUHKLSA-N 1 2 304.390 1.113 20 30 DDEDLO CC(=O)c1nc(CC[NH+]2CCN([C@H](C#N)C(C)C)CC2)[nH]c1C ZINC000660137726 424555096 /nfs/dbraw/zinc/55/50/96/424555096.db2.gz MXADPLKDKYFNHV-OAHLLOKOSA-N 1 2 317.437 1.629 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1c[nH+]ccc1OC)[C@@H](C)COC ZINC000658371420 424648876 /nfs/dbraw/zinc/64/88/76/424648876.db2.gz NAUXKXMBODDVBQ-NSHDSACASA-N 1 2 307.350 1.078 20 30 DDEDLO CC[C@@H](C(=O)OC)N1CC[NH+](Cc2ccc(F)c(C#N)c2)CC1 ZINC000352724786 266351531 /nfs/dbraw/zinc/35/15/31/266351531.db2.gz ODYXIGVLNDODLS-INIZCTEOSA-N 1 2 319.380 1.767 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNc2ccc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000362663811 266379535 /nfs/dbraw/zinc/37/95/35/266379535.db2.gz MDWNQEMZZUPMEF-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNc2ccc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000362663811 266379540 /nfs/dbraw/zinc/37/95/40/266379540.db2.gz MDWNQEMZZUPMEF-CYBMUJFWSA-N 1 2 304.350 1.989 20 30 DDEDLO C[C@@H]1C(=O)NCC[N@H+]1Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000361053716 267007914 /nfs/dbraw/zinc/00/79/14/267007914.db2.gz SDPLPIVBNSAYJK-GFCCVEGCSA-N 1 2 309.373 1.279 20 30 DDEDLO C[C@@H]1C(=O)NCC[N@@H+]1Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000361053716 267007915 /nfs/dbraw/zinc/00/79/15/267007915.db2.gz SDPLPIVBNSAYJK-GFCCVEGCSA-N 1 2 309.373 1.279 20 30 DDEDLO C[C@H](C(=O)Nc1c[nH+]ccc1OC(C)(C)C)n1cnc(C#N)n1 ZINC000360237120 267142319 /nfs/dbraw/zinc/14/23/19/267142319.db2.gz FUDVVSOLKBMQRY-SNVBAGLBSA-N 1 2 314.349 1.922 20 30 DDEDLO C[C@H]([NH2+]Cc1nnnn1CC1CC1)c1ccc(C#N)c(F)c1 ZINC000349357595 267185766 /nfs/dbraw/zinc/18/57/66/267185766.db2.gz UMUIDKOXJAIQHS-JTQLQIEISA-N 1 2 300.341 1.945 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000371307391 267200012 /nfs/dbraw/zinc/20/00/12/267200012.db2.gz DLGNOCHEEQPEAC-WCQYABFASA-N 1 2 310.357 1.722 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000371307391 267200013 /nfs/dbraw/zinc/20/00/13/267200013.db2.gz DLGNOCHEEQPEAC-WCQYABFASA-N 1 2 310.357 1.722 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000368044641 267286908 /nfs/dbraw/zinc/28/69/08/267286908.db2.gz LMVRQICLIKELEF-HNNXBMFYSA-N 1 2 314.389 1.851 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000368044641 267286909 /nfs/dbraw/zinc/28/69/09/267286909.db2.gz LMVRQICLIKELEF-HNNXBMFYSA-N 1 2 314.389 1.851 20 30 DDEDLO N#Cc1c(F)cccc1NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000370573724 267957861 /nfs/dbraw/zinc/95/78/61/267957861.db2.gz JVXDFUHHRCPRCS-KRWDZBQOSA-N 1 2 319.380 1.991 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)s1 ZINC000366646670 268191578 /nfs/dbraw/zinc/19/15/78/268191578.db2.gz HQBAPUHRSZYBNQ-SNVBAGLBSA-N 1 2 322.415 1.911 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@@]3(CNC(=O)O3)C2)c1 ZINC000375564315 268266203 /nfs/dbraw/zinc/26/62/03/268266203.db2.gz JGVYCXZGBSCGTI-MRXNPFEDSA-N 1 2 314.345 1.071 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCC[C@@]3(CNC(=O)O3)C2)c1 ZINC000375564315 268266206 /nfs/dbraw/zinc/26/62/06/268266206.db2.gz JGVYCXZGBSCGTI-MRXNPFEDSA-N 1 2 314.345 1.071 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@@H](NC([O-])=[NH+]C1(CO)CCC1)C2 ZINC000329780089 277270132 /nfs/dbraw/zinc/27/01/32/277270132.db2.gz MKDWSWLNGFJWNO-GFCCVEGCSA-N 1 2 320.437 1.914 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@@H]([NH+]=C([O-])NC1(CO)CCC1)C2 ZINC000329780089 277270134 /nfs/dbraw/zinc/27/01/34/277270134.db2.gz MKDWSWLNGFJWNO-GFCCVEGCSA-N 1 2 320.437 1.914 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@H+](CCC#N)CC(C)(C)C)C1 ZINC000111250461 278141742 /nfs/dbraw/zinc/14/17/42/278141742.db2.gz UAJSLXKZJSDYJH-OAHLLOKOSA-N 1 2 322.453 1.375 20 30 DDEDLO CC(=O)N[C@@H]1CCCN(C(=O)C[N@@H+](CCC#N)CC(C)(C)C)C1 ZINC000111250461 278141743 /nfs/dbraw/zinc/14/17/43/278141743.db2.gz UAJSLXKZJSDYJH-OAHLLOKOSA-N 1 2 322.453 1.375 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(F)c(C#N)c2)C[C@H](C)[N@H+]1C ZINC000135263294 288240981 /nfs/dbraw/zinc/24/09/81/288240981.db2.gz IYTDWAUUJWZSKS-PHIMTYICSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1CN(S(=O)(=O)c2ccc(F)c(C#N)c2)C[C@H](C)[N@@H+]1C ZINC000135263294 288240983 /nfs/dbraw/zinc/24/09/83/288240983.db2.gz IYTDWAUUJWZSKS-PHIMTYICSA-N 1 2 311.382 1.410 20 30 DDEDLO CC[C@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)c(C)c1 ZINC000451939012 288284468 /nfs/dbraw/zinc/28/44/68/288284468.db2.gz YMFZRUQIDHWQDD-HNNXBMFYSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[C@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)c(C)c1 ZINC000451939012 288284471 /nfs/dbraw/zinc/28/44/71/288284471.db2.gz YMFZRUQIDHWQDD-HNNXBMFYSA-N 1 2 321.446 1.972 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1c1cc(C#N)ccc1[N+](=O)[O-] ZINC000291097799 289048690 /nfs/dbraw/zinc/04/86/90/289048690.db2.gz KDLUVMIXHBQDTO-TZMCWYRMSA-N 1 2 316.361 1.766 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCC[C@H]1C#N)C(=O)N1CC(=O)Nc2ccccc21 ZINC000331750308 290012339 /nfs/dbraw/zinc/01/23/39/290012339.db2.gz ARUPDDCGDGCSNQ-RWMBFGLXSA-N 1 2 312.373 1.642 20 30 DDEDLO Cc1nc([C@@H]2CCCN2C([O-])=[NH+][C@H]2CCc3[nH]c[nH+]c3C2)no1 ZINC000329742176 293368959 /nfs/dbraw/zinc/36/89/59/293368959.db2.gz PGWMUUORTSIACG-GWCFXTLKSA-N 1 2 316.365 1.710 20 30 DDEDLO Cc1nc([C@@H]2CCCN2C([O-])=[NH+][C@H]2CCc3[nH+]c[nH]c3C2)no1 ZINC000329742176 293368962 /nfs/dbraw/zinc/36/89/62/293368962.db2.gz PGWMUUORTSIACG-GWCFXTLKSA-N 1 2 316.365 1.710 20 30 DDEDLO Cc1oc(C(C)C)cc1C(=O)NC[C@H](O)C[NH+]1CCOCC1 ZINC000330581466 294033858 /nfs/dbraw/zinc/03/38/58/294033858.db2.gz QQSDJVVSAMGYSL-ZDUSSCGKSA-N 1 2 310.394 1.709 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC[C@H](Nc2ccc(C#N)nc2)C1=O ZINC000543047813 303453420 /nfs/dbraw/zinc/45/34/20/303453420.db2.gz CJTJKXHJXVTZEG-AWEZNQCLSA-N 1 2 310.361 1.290 20 30 DDEDLO O=C(N[C@@H]1CCC(=O)NC12CCC2)N1CCn2cc[nH+]c2C1 ZINC000328701957 303508950 /nfs/dbraw/zinc/50/89/50/303508950.db2.gz NORPBBLOWGPHSY-LLVKDONJSA-N 1 2 303.366 1.654 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])CCO2 ZINC000565661949 308036143 /nfs/dbraw/zinc/03/61/43/308036143.db2.gz XLIQYWGUCIEVIL-LRDDRELGSA-N 1 2 317.345 1.846 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@@H+](Cc1ccc(C#N)cc1[N+](=O)[O-])CCO2 ZINC000565661949 308036144 /nfs/dbraw/zinc/03/61/44/308036144.db2.gz XLIQYWGUCIEVIL-LRDDRELGSA-N 1 2 317.345 1.846 20 30 DDEDLO C[C@@]1(C(N)=O)CCCC[N@@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000567392589 308079446 /nfs/dbraw/zinc/07/94/46/308079446.db2.gz WWEMZYRPDIMYPV-HNNXBMFYSA-N 1 2 302.334 1.696 20 30 DDEDLO C[C@@]1(C(N)=O)CCCC[N@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000567392589 308079447 /nfs/dbraw/zinc/07/94/47/308079447.db2.gz WWEMZYRPDIMYPV-HNNXBMFYSA-N 1 2 302.334 1.696 20 30 DDEDLO C[C@]1(F)C[NH2+]CCN(S(=O)(=O)Cc2ccc(C#N)cc2)C1 ZINC000576293061 308304413 /nfs/dbraw/zinc/30/44/13/308304413.db2.gz GHWAOIBTJOUIMI-AWEZNQCLSA-N 1 2 311.382 1.021 20 30 DDEDLO N#C[C@H]1COCCN1C(=O)/C=C\c1ccc(-n2cc[nH+]c2)cc1 ZINC000255391578 331737661 /nfs/dbraw/zinc/73/76/61/331737661.db2.gz GHKDVGVOYLWYMA-UYZDIKGJSA-N 1 2 308.341 1.636 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCc1nc(-c2ccoc2)no1 ZINC000584295124 332223440 /nfs/dbraw/zinc/22/34/40/332223440.db2.gz RHLCAXFIHOCSBU-OAHLLOKOSA-N 1 2 317.349 1.222 20 30 DDEDLO Cc1c(C[N@@H+](C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)cnn1C ZINC000574266847 332337209 /nfs/dbraw/zinc/33/72/09/332337209.db2.gz UBPFJAGTBBFVND-XJKSGUPXSA-N 1 2 305.426 1.603 20 30 DDEDLO Cc1c(C[N@H+](C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)cnn1C ZINC000574266847 332337211 /nfs/dbraw/zinc/33/72/11/332337211.db2.gz UBPFJAGTBBFVND-XJKSGUPXSA-N 1 2 305.426 1.603 20 30 DDEDLO C=CCCC[C@@H](C)NC(=O)C(=O)NCc1ccc[nH+]c1N(C)C ZINC000340238031 334153948 /nfs/dbraw/zinc/15/39/48/334153948.db2.gz XLWNTUAOAUXPHX-CYBMUJFWSA-N 1 2 318.421 1.625 20 30 DDEDLO C#CC[N@@H+](CCO[C@@H]1CCCC[C@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000104094495 336245458 /nfs/dbraw/zinc/24/54/58/336245458.db2.gz AZJDAQIMYCNRGS-BZUAXINKSA-N 1 2 313.463 1.704 20 30 DDEDLO C#CC[N@H+](CCO[C@@H]1CCCC[C@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000104094495 336245459 /nfs/dbraw/zinc/24/54/59/336245459.db2.gz AZJDAQIMYCNRGS-BZUAXINKSA-N 1 2 313.463 1.704 20 30 DDEDLO COC(=O)C[N@H+](CCCC(C)(C)C#N)CCN1CCOCC1 ZINC000174855065 340397629 /nfs/dbraw/zinc/39/76/29/340397629.db2.gz NNFYNZOAJIBDAC-UHFFFAOYSA-N 1 2 311.426 1.124 20 30 DDEDLO COC(=O)C[N@@H+](CCCC(C)(C)C#N)CCN1CCOCC1 ZINC000174855065 340397630 /nfs/dbraw/zinc/39/76/30/340397630.db2.gz NNFYNZOAJIBDAC-UHFFFAOYSA-N 1 2 311.426 1.124 20 30 DDEDLO COC(=O)CN(CCCC(C)(C)C#N)CC[NH+]1CCOCC1 ZINC000174855065 340397631 /nfs/dbraw/zinc/39/76/31/340397631.db2.gz NNFYNZOAJIBDAC-UHFFFAOYSA-N 1 2 311.426 1.124 20 30 DDEDLO COCC[C@@](C)(C#N)NC(=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000564191685 340595942 /nfs/dbraw/zinc/59/59/42/340595942.db2.gz WMKBOLPBSROUSK-INIZCTEOSA-N 1 2 300.362 1.620 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)C2C[C@H]3CCC[C@@H](C2)C3=O)CC1 ZINC000539005077 340865097 /nfs/dbraw/zinc/86/50/97/340865097.db2.gz LDZBUFFUOBMOQP-PBWFPOADSA-N 1 2 303.406 1.486 20 30 DDEDLO Cc1ccc(F)cc1C(=O)C(=O)NC1(C#N)CC[NH+](C)CC1 ZINC000542895447 341025543 /nfs/dbraw/zinc/02/55/43/341025543.db2.gz WXLUDRZEOQVRSE-UHFFFAOYSA-N 1 2 303.337 1.421 20 30 DDEDLO CC[C@@](C)(C#N)NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000132402955 341122135 /nfs/dbraw/zinc/12/21/35/341122135.db2.gz ZDZJTAWQRNMJQR-WBVHZDCISA-N 1 2 301.390 1.696 20 30 DDEDLO CC[C@@](C)(C#N)NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000132402955 341122136 /nfs/dbraw/zinc/12/21/36/341122136.db2.gz ZDZJTAWQRNMJQR-WBVHZDCISA-N 1 2 301.390 1.696 20 30 DDEDLO C#CC[N@@H+](CCOC1CCCCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000077282768 341222278 /nfs/dbraw/zinc/22/22/78/341222278.db2.gz KTQOMCKTXWEHAH-OAHLLOKOSA-N 1 2 313.463 1.848 20 30 DDEDLO C#CC[N@H+](CCOC1CCCCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000077282768 341222279 /nfs/dbraw/zinc/22/22/79/341222279.db2.gz KTQOMCKTXWEHAH-OAHLLOKOSA-N 1 2 313.463 1.848 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@H+](CC)C[C@@H](C)C#N)C1 ZINC000245776036 341314508 /nfs/dbraw/zinc/31/45/08/341314508.db2.gz LLELJRZUOPVYIV-SOUVJXGZSA-N 1 2 323.437 1.658 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)[N@@H+](CC)C[C@@H](C)C#N)C1 ZINC000245776036 341314509 /nfs/dbraw/zinc/31/45/09/341314509.db2.gz LLELJRZUOPVYIV-SOUVJXGZSA-N 1 2 323.437 1.658 20 30 DDEDLO Cc1cnc(CCN(CCC#N)CC[NH+]2CCOCC2)c(C)c1 ZINC000576698931 341859069 /nfs/dbraw/zinc/85/90/69/341859069.db2.gz CDVVZLNCUVGVTG-UHFFFAOYSA-N 1 2 316.449 1.789 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)C(=O)N2CC[NH+](C3CC3)CC2)C1 ZINC000658463700 484363210 /nfs/dbraw/zinc/36/32/10/484363210.db2.gz OBOMIDMRAKIYCN-AWEZNQCLSA-N 1 2 305.422 1.108 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000667651623 484712936 /nfs/dbraw/zinc/71/29/36/484712936.db2.gz BXNKNCFZMWKYJQ-AWEZNQCLSA-N 1 2 304.394 1.587 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCc1ccc[nH+]c1N1CCCC1 ZINC000665615874 484970901 /nfs/dbraw/zinc/97/09/01/484970901.db2.gz SBJBLSFCDNKXDB-HNNXBMFYSA-N 1 2 316.405 1.255 20 30 DDEDLO C=CCOCCNC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000679532138 485910589 /nfs/dbraw/zinc/91/05/89/485910589.db2.gz IRYBBBISIXLQQE-UHFFFAOYSA-N 1 2 316.361 1.139 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)[C@@H]2CCC(=O)c3cccn32)CC1 ZINC000684047939 486226273 /nfs/dbraw/zinc/22/62/73/486226273.db2.gz RETGKXSNYHRFPP-ZDUSSCGKSA-N 1 2 300.362 1.110 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)c2cccc(OC)c2)CC1 ZINC000677046642 486385793 /nfs/dbraw/zinc/38/57/93/486385793.db2.gz DNSXHINMTGWHPY-MRXNPFEDSA-N 1 2 304.390 1.390 20 30 DDEDLO CC[N@H+](CC#CCOC)CC(=O)Nc1ccc(NC(C)=O)cc1 ZINC000677476916 486476182 /nfs/dbraw/zinc/47/61/82/486476182.db2.gz JWRXYVXZMFZAGS-UHFFFAOYSA-N 1 2 317.389 1.555 20 30 DDEDLO CC[N@@H+](CC#CCOC)CC(=O)Nc1ccc(NC(C)=O)cc1 ZINC000677476916 486476185 /nfs/dbraw/zinc/47/61/85/486476185.db2.gz JWRXYVXZMFZAGS-UHFFFAOYSA-N 1 2 317.389 1.555 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000432299964 533732161 /nfs/dbraw/zinc/73/21/61/533732161.db2.gz CTPASQSFNWIMIZ-GFCCVEGCSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1c(C#N)cccc1NC(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000432299964 533732165 /nfs/dbraw/zinc/73/21/65/533732165.db2.gz CTPASQSFNWIMIZ-GFCCVEGCSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)N1CCO[C@H](C#N)C1)CCC2 ZINC000332694134 534508062 /nfs/dbraw/zinc/50/80/62/534508062.db2.gz WEGNYSARXQNDGX-CHWSQXEVSA-N 1 2 303.366 1.003 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@H](CO)CC(C)C)CCC2 ZINC000330389092 534511437 /nfs/dbraw/zinc/51/14/37/534511437.db2.gz DLDLUUQMSHTVCV-KBPBESRZSA-N 1 2 308.426 1.980 20 30 DDEDLO Cc1cn2cc(NC(=O)c3cn([C@@H]4CCOC4)nn3)ccc2[nH+]1 ZINC000330044675 534515532 /nfs/dbraw/zinc/51/55/32/534515532.db2.gz HRXUOFKXCFHWTR-GFCCVEGCSA-N 1 2 312.333 1.832 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCCc1cn2ccccc2[nH+]1 ZINC000339941480 526476279 /nfs/dbraw/zinc/47/62/79/526476279.db2.gz NSNZEHDPTHNAIQ-UHFFFAOYSA-N 1 2 300.362 1.028 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@H](S(C)(=O)=O)CC1 ZINC000337508576 526522441 /nfs/dbraw/zinc/52/24/41/526522441.db2.gz HGNPWNBUSVDKFR-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@H](S(C)(=O)=O)CC1 ZINC000337508576 526522442 /nfs/dbraw/zinc/52/24/42/526522442.db2.gz HGNPWNBUSVDKFR-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C#CC(C)(C)[N@H+](C)CC(=O)N[C@@H](Cc1ccccc1)C(C)=O ZINC000491126398 526653140 /nfs/dbraw/zinc/65/31/40/526653140.db2.gz NUVXPVXBNLKONP-INIZCTEOSA-N 1 2 300.402 1.647 20 30 DDEDLO C#CC(C)(C)[N@@H+](C)CC(=O)N[C@@H](Cc1ccccc1)C(C)=O ZINC000491126398 526653145 /nfs/dbraw/zinc/65/31/45/526653145.db2.gz NUVXPVXBNLKONP-INIZCTEOSA-N 1 2 300.402 1.647 20 30 DDEDLO CC(C)(C)[C@H](O)CNC(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000330809610 526711788 /nfs/dbraw/zinc/71/17/88/526711788.db2.gz LEPKLEOGKOTVQA-GFCCVEGCSA-N 1 2 301.431 1.008 20 30 DDEDLO CC(C)(C)[C@H](O)CNC(=O)NCC[N@H+]1CCOCC1(C)C ZINC000330809610 526711795 /nfs/dbraw/zinc/71/17/95/526711795.db2.gz LEPKLEOGKOTVQA-GFCCVEGCSA-N 1 2 301.431 1.008 20 30 DDEDLO C#CCN(C)C(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000491677435 526792543 /nfs/dbraw/zinc/79/25/43/526792543.db2.gz QOPLCSXCBGWIOA-OKILXGFUSA-N 1 2 316.405 1.470 20 30 DDEDLO C#CCN(C)C(=O)N[C@@H]1CC[N@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000491441085 526794294 /nfs/dbraw/zinc/79/42/94/526794294.db2.gz UATVEICOGQPYRW-CABCVRRESA-N 1 2 307.344 1.985 20 30 DDEDLO C#CCN(C)C(=O)N[C@@H]1CC[N@@H+](C)[C@H]1c1ccc(F)c(F)c1 ZINC000491441085 526794298 /nfs/dbraw/zinc/79/42/98/526794298.db2.gz UATVEICOGQPYRW-CABCVRRESA-N 1 2 307.344 1.985 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N(C)[C@@H](C)C[NH+]1CCOCC1 ZINC000457759610 526821662 /nfs/dbraw/zinc/82/16/62/526821662.db2.gz GXUCVZXHVBDTMF-ARFHVFGLSA-N 1 2 310.438 1.537 20 30 DDEDLO C#CC[NH+](CC#C)[C@@H](C)C(=O)NCc1ccc(OC)c(OC)c1 ZINC000491682673 526848598 /nfs/dbraw/zinc/84/85/98/526848598.db2.gz UBMIONXBVCTOST-AWEZNQCLSA-N 1 2 314.385 1.277 20 30 DDEDLO C#CC[N@H+](CC#CC)Cc1c(C)nn(CC(=O)OCC)c1C ZINC000490714583 526864781 /nfs/dbraw/zinc/86/47/81/526864781.db2.gz PYRPVKLCGTYENZ-UHFFFAOYSA-N 1 2 301.390 1.522 20 30 DDEDLO C#CC[N@@H+](CC#CC)Cc1c(C)nn(CC(=O)OCC)c1C ZINC000490714583 526864789 /nfs/dbraw/zinc/86/47/89/526864789.db2.gz PYRPVKLCGTYENZ-UHFFFAOYSA-N 1 2 301.390 1.522 20 30 DDEDLO C#CCN(CC)C(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000490779659 526885109 /nfs/dbraw/zinc/88/51/09/526885109.db2.gz YPOPVANYHOFQLU-UHFFFAOYSA-N 1 2 310.357 1.507 20 30 DDEDLO C#CC[N@@H+](C[C@@H](Cc1ccccc1)OC)[C@@H]1CCS(=O)(=O)C1 ZINC000491255969 526903646 /nfs/dbraw/zinc/90/36/46/526903646.db2.gz YUPCGHOYDRBIRZ-IAGOWNOFSA-N 1 2 321.442 1.366 20 30 DDEDLO C#CC[N@H+](C[C@@H](Cc1ccccc1)OC)[C@@H]1CCS(=O)(=O)C1 ZINC000491255969 526903651 /nfs/dbraw/zinc/90/36/51/526903651.db2.gz YUPCGHOYDRBIRZ-IAGOWNOFSA-N 1 2 321.442 1.366 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CCCCC(C)C)CC1 ZINC000491474006 526989882 /nfs/dbraw/zinc/98/98/82/526989882.db2.gz JIJZPPWRZCKJLR-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CCCCC(C)C)CC1 ZINC000491474006 526989889 /nfs/dbraw/zinc/98/98/89/526989889.db2.gz JIJZPPWRZCKJLR-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C(C)=C(/C)CC)CC1 ZINC000490950019 526990645 /nfs/dbraw/zinc/99/06/45/526990645.db2.gz OCXLEEADVMBNCR-PFONDFGASA-N 1 2 305.422 1.017 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C(C)=C(/C)CC)CC1 ZINC000490950019 526990650 /nfs/dbraw/zinc/99/06/50/526990650.db2.gz OCXLEEADVMBNCR-PFONDFGASA-N 1 2 305.422 1.017 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[NH+]1CCN(c2ncccn2)CC1 ZINC000429832370 526993003 /nfs/dbraw/zinc/99/30/03/526993003.db2.gz UDYBMRQQDUFJCZ-UHFFFAOYSA-N 1 2 317.437 1.317 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H]2CCCC[C@@H]2C)CC1 ZINC000491484541 526993687 /nfs/dbraw/zinc/99/36/87/526993687.db2.gz JERHVXWOWZEMGN-HOTGVXAUSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H]2CCCC[C@@H]2C)CC1 ZINC000491484541 526993691 /nfs/dbraw/zinc/99/36/91/526993691.db2.gz JERHVXWOWZEMGN-HOTGVXAUSA-N 1 2 319.449 1.096 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1cccc(-c2[nH+]ccn2C)c1 ZINC000376494544 527197627 /nfs/dbraw/zinc/19/76/27/527197627.db2.gz GKOWHGVTGVDCHS-OAHLLOKOSA-N 1 2 314.389 1.823 20 30 DDEDLO C=CCC[NH+]1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000338542805 527325646 /nfs/dbraw/zinc/32/56/46/527325646.db2.gz FJGQFXBKQSSNHJ-UHFFFAOYSA-N 1 2 301.437 1.334 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)C[C@@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC000491773388 527332081 /nfs/dbraw/zinc/33/20/81/527332081.db2.gz UXNCQUIUZWHNKL-NHYWBVRUSA-N 1 2 303.318 1.443 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)C[C@@H]1Oc1ccc([N+](=O)[O-])cc1 ZINC000491773388 527332085 /nfs/dbraw/zinc/33/20/85/527332085.db2.gz UXNCQUIUZWHNKL-NHYWBVRUSA-N 1 2 303.318 1.443 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000330600524 527548943 /nfs/dbraw/zinc/54/89/43/527548943.db2.gz YJSACAVEWNWIKT-GDBMZVCRSA-N 1 2 307.394 1.331 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCCC[C@@H]1CS(C)(=O)=O ZINC000451658692 527608555 /nfs/dbraw/zinc/60/85/55/527608555.db2.gz NJGQXSZSKWOVEZ-CQSZACIVSA-N 1 2 314.451 1.086 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCCC[C@@H]1CS(C)(=O)=O ZINC000451658692 527608559 /nfs/dbraw/zinc/60/85/59/527608559.db2.gz NJGQXSZSKWOVEZ-CQSZACIVSA-N 1 2 314.451 1.086 20 30 DDEDLO CC1(C)C[S@@](=O)CC[N@@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000330976584 527771122 /nfs/dbraw/zinc/77/11/22/527771122.db2.gz CYQGHKQNBCYYMU-FPTDNZKUSA-N 1 2 322.430 1.141 20 30 DDEDLO CC1(C)C[S@@](=O)CC[N@H+]1C[C@H](O)COc1ccc(C#N)cc1 ZINC000330976584 527771128 /nfs/dbraw/zinc/77/11/28/527771128.db2.gz CYQGHKQNBCYYMU-FPTDNZKUSA-N 1 2 322.430 1.141 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@H+](C)CC(C)(C)C#N)C1 ZINC000433315378 528056140 /nfs/dbraw/zinc/05/61/40/528056140.db2.gz YABMHNUOAXXVMX-ZDUSSCGKSA-N 1 2 309.410 1.270 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)C[N@@H+](C)CC(C)(C)C#N)C1 ZINC000433315378 528056147 /nfs/dbraw/zinc/05/61/47/528056147.db2.gz YABMHNUOAXXVMX-ZDUSSCGKSA-N 1 2 309.410 1.270 20 30 DDEDLO CC(C)COCCC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000343064096 528081076 /nfs/dbraw/zinc/08/10/76/528081076.db2.gz JSCBWFAYSTXTQV-UHFFFAOYSA-N 1 2 311.426 1.124 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@@H+]2CC[C@@](C)(F)C2)CC1 ZINC000341129462 528337514 /nfs/dbraw/zinc/33/75/14/528337514.db2.gz QDIUUUXDTHXKMH-GDBMZVCRSA-N 1 2 310.417 1.113 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@H+]2CC[C@@](C)(F)C2)CC1 ZINC000341129462 528337519 /nfs/dbraw/zinc/33/75/19/528337519.db2.gz QDIUUUXDTHXKMH-GDBMZVCRSA-N 1 2 310.417 1.113 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCC[C@@H]1[C@H](O)C(F)(F)F ZINC000340193421 528358479 /nfs/dbraw/zinc/35/84/79/528358479.db2.gz WECOSROIEHOUCP-YPMHNXCESA-N 1 2 321.343 1.526 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCC[C@@H]1[C@H](O)C(F)(F)F ZINC000340193421 528358484 /nfs/dbraw/zinc/35/84/84/528358484.db2.gz WECOSROIEHOUCP-YPMHNXCESA-N 1 2 321.343 1.526 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[NH+]1CCC(O)(C(F)F)CC1 ZINC000331119542 528856121 /nfs/dbraw/zinc/85/61/21/528856121.db2.gz AHRMVFXDVUKJNF-SMDDNHRTSA-N 1 2 317.380 1.521 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@H+](C)Cc2ccoc2)CC1 ZINC000330648427 528898908 /nfs/dbraw/zinc/89/89/08/528898908.db2.gz NTTUVLOWBBFPQR-INIZCTEOSA-N 1 2 318.421 1.404 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@@H+](C)Cc2ccoc2)CC1 ZINC000330648427 528898914 /nfs/dbraw/zinc/89/89/14/528898914.db2.gz NTTUVLOWBBFPQR-INIZCTEOSA-N 1 2 318.421 1.404 20 30 DDEDLO CC(C)[C@H](NS(=O)(=O)c1cncc(C#N)c1)c1[nH]cc[nH+]1 ZINC000451546748 529124596 /nfs/dbraw/zinc/12/45/96/529124596.db2.gz JPWBDWAZQKVGIE-LBPRGKRZSA-N 1 2 305.363 1.352 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[NH+](C3CCC3)CC2)ccc1C#N ZINC000278086093 538138902 /nfs/dbraw/zinc/13/89/02/538138902.db2.gz VDWMIQZIHDBWSK-UHFFFAOYSA-N 1 2 319.430 1.726 20 30 DDEDLO COc1ccc(C[N@H+](C[C@H](C)C#N)C2CC2)cc1-c1nn[nH]n1 ZINC000826193921 607831924 /nfs/dbraw/zinc/83/19/24/607831924.db2.gz UWHLGXOVWWWDGV-LLVKDONJSA-N 1 2 312.377 1.999 20 30 DDEDLO COc1ccc(C[N@@H+](C[C@H](C)C#N)C2CC2)cc1-c1nn[nH]n1 ZINC000826193921 607831925 /nfs/dbraw/zinc/83/19/25/607831925.db2.gz UWHLGXOVWWWDGV-LLVKDONJSA-N 1 2 312.377 1.999 20 30 DDEDLO Cc1cc(NC(=O)CO[NH+]=C(N)c2ccc(F)c(C)c2)no1 ZINC000121069400 696705590 /nfs/dbraw/zinc/70/55/90/696705590.db2.gz YXWJBDWGSSAKRN-UHFFFAOYSA-N 1 2 306.297 1.706 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)OC(=O)[C@@H]1CCCC[N@@H+]1C ZINC000745081456 699970824 /nfs/dbraw/zinc/97/08/24/699970824.db2.gz ZJLKTTAKGKEYHN-HEHGZKQESA-N 1 2 309.410 1.457 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)OC(=O)[C@@H]1CCCC[N@H+]1C ZINC000745081456 699970826 /nfs/dbraw/zinc/97/08/26/699970826.db2.gz ZJLKTTAKGKEYHN-HEHGZKQESA-N 1 2 309.410 1.457 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3CCCCC3)C2)C1 ZINC000972247866 695189445 /nfs/dbraw/zinc/18/94/45/695189445.db2.gz OKMDZBQOECFHTJ-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3CCCCC3)C2)C1 ZINC000972247866 695189447 /nfs/dbraw/zinc/18/94/47/695189447.db2.gz OKMDZBQOECFHTJ-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(CC)n[nH]3)C2)C1 ZINC000972261910 695194859 /nfs/dbraw/zinc/19/48/59/695194859.db2.gz OTRZZNVGZKFGJN-MRXNPFEDSA-N 1 2 304.394 1.075 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(CC)n[nH]3)C2)C1 ZINC000972261910 695194860 /nfs/dbraw/zinc/19/48/60/695194860.db2.gz OTRZZNVGZKFGJN-MRXNPFEDSA-N 1 2 304.394 1.075 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C=C3CCCCC3)C2)C1 ZINC000972286157 695205224 /nfs/dbraw/zinc/20/52/24/695205224.db2.gz RGHBSNYSTQEDML-GOSISDBHSA-N 1 2 302.418 1.813 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C=C3CCCCC3)C2)C1 ZINC000972286157 695205226 /nfs/dbraw/zinc/20/52/26/695205226.db2.gz RGHBSNYSTQEDML-GOSISDBHSA-N 1 2 302.418 1.813 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3CC)C2)C1 ZINC000972370471 695232397 /nfs/dbraw/zinc/23/23/97/695232397.db2.gz NRZBBGHTXHRESE-GOSISDBHSA-N 1 2 315.417 1.517 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3CC)C2)C1 ZINC000972370471 695232398 /nfs/dbraw/zinc/23/23/98/695232398.db2.gz NRZBBGHTXHRESE-GOSISDBHSA-N 1 2 315.417 1.517 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(CC)ccn3)C2)C1 ZINC000972395751 695239517 /nfs/dbraw/zinc/23/95/17/695239517.db2.gz HQTWSRVQZBMFBG-GOSISDBHSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(CC)ccn3)C2)C1 ZINC000972395751 695239518 /nfs/dbraw/zinc/23/95/18/695239518.db2.gz HQTWSRVQZBMFBG-GOSISDBHSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)nnc3C)C2)C1 ZINC000972397763 695239674 /nfs/dbraw/zinc/23/96/74/695239674.db2.gz SGZILLPUTYVNRI-KRWDZBQOSA-N 1 2 316.405 1.196 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)nnc3C)C2)C1 ZINC000972397763 695239677 /nfs/dbraw/zinc/23/96/77/695239677.db2.gz SGZILLPUTYVNRI-KRWDZBQOSA-N 1 2 316.405 1.196 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCC3(F)F)C2)C1 ZINC000972430307 695249609 /nfs/dbraw/zinc/24/96/09/695249609.db2.gz FBZJWYODMAJNAT-GXTWGEPZSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCC3(F)F)C2)C1 ZINC000972430307 695249610 /nfs/dbraw/zinc/24/96/10/695249610.db2.gz FBZJWYODMAJNAT-GXTWGEPZSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c[nH]nc3CCC)C2)C1 ZINC000972444916 695253401 /nfs/dbraw/zinc/25/34/01/695253401.db2.gz WYHRDPAGELGOMP-QGZVFWFLSA-N 1 2 318.421 1.465 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c[nH]nc3CCC)C2)C1 ZINC000972444916 695253402 /nfs/dbraw/zinc/25/34/02/695253402.db2.gz WYHRDPAGELGOMP-QGZVFWFLSA-N 1 2 318.421 1.465 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C4CC4)on3)C2)C1 ZINC000972485710 695262215 /nfs/dbraw/zinc/26/22/15/695262215.db2.gz BVINQQYSIRMWAV-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C4CC4)on3)C2)C1 ZINC000972485710 695262216 /nfs/dbraw/zinc/26/22/16/695262216.db2.gz BVINQQYSIRMWAV-KRWDZBQOSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](OC)C3CCC3)C2)C1 ZINC000972487188 695262792 /nfs/dbraw/zinc/26/27/92/695262792.db2.gz MBXQZRGGTYNMBY-RDJZCZTQSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](OC)C3CCC3)C2)C1 ZINC000972487188 695262793 /nfs/dbraw/zinc/26/27/93/695262793.db2.gz MBXQZRGGTYNMBY-RDJZCZTQSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccn(C)c3C)C2)C1 ZINC000972539405 695276566 /nfs/dbraw/zinc/27/65/66/695276566.db2.gz DRTPLZIBIBCUAW-QGZVFWFLSA-N 1 2 303.406 1.436 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccn(C)c3C)C2)C1 ZINC000972539405 695276567 /nfs/dbraw/zinc/27/65/67/695276567.db2.gz DRTPLZIBIBCUAW-QGZVFWFLSA-N 1 2 303.406 1.436 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3conc3CC)C2)C1 ZINC000972541162 695277125 /nfs/dbraw/zinc/27/71/25/695277125.db2.gz ZCZMOSLVPXWKGQ-KRWDZBQOSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3conc3CC)C2)C1 ZINC000972541162 695277126 /nfs/dbraw/zinc/27/71/26/695277126.db2.gz ZCZMOSLVPXWKGQ-KRWDZBQOSA-N 1 2 317.389 1.177 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3occc3C)C2)C1 ZINC000972543521 695277792 /nfs/dbraw/zinc/27/77/92/695277792.db2.gz PKFDUUGXAIUSAH-GOSISDBHSA-N 1 2 316.401 1.457 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3occc3C)C2)C1 ZINC000972543521 695277793 /nfs/dbraw/zinc/27/77/93/695277793.db2.gz PKFDUUGXAIUSAH-GOSISDBHSA-N 1 2 316.401 1.457 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H](C)c3ccco3)C2)C1 ZINC000972640355 695306310 /nfs/dbraw/zinc/30/63/10/695306310.db2.gz IWSCRODXEXIZEO-QAPCUYQASA-N 1 2 316.401 1.710 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@@H](C)c3ccco3)C2)C1 ZINC000972640355 695306313 /nfs/dbraw/zinc/30/63/13/695306313.db2.gz IWSCRODXEXIZEO-QAPCUYQASA-N 1 2 316.401 1.710 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccccc3C)C2)C1 ZINC000972669679 695314179 /nfs/dbraw/zinc/31/41/79/695314179.db2.gz RMSVZPPXKOZDIH-IBGZPJMESA-N 1 2 312.413 1.474 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccccc3C)C2)C1 ZINC000972669679 695314181 /nfs/dbraw/zinc/31/41/81/695314181.db2.gz RMSVZPPXKOZDIH-IBGZPJMESA-N 1 2 312.413 1.474 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCc3ccc(C)o3)C2)C1 ZINC000972685102 695316841 /nfs/dbraw/zinc/31/68/41/695316841.db2.gz MXFKLUZVRXFRHI-GOSISDBHSA-N 1 2 316.401 1.457 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCc3ccc(C)o3)C2)C1 ZINC000972685102 695316843 /nfs/dbraw/zinc/31/68/43/695316843.db2.gz MXFKLUZVRXFRHI-GOSISDBHSA-N 1 2 316.401 1.457 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2nnn(C)c2C)C(C)(C)C1 ZINC000972985146 695388222 /nfs/dbraw/zinc/38/82/22/695388222.db2.gz GWJCLHNGRMWTAL-NSHDSACASA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2nnn(C)c2C)C(C)(C)C1 ZINC000972985146 695388223 /nfs/dbraw/zinc/38/82/23/695388223.db2.gz GWJCLHNGRMWTAL-NSHDSACASA-N 1 2 311.817 1.316 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C(C)(C)C1 ZINC000974789070 695734952 /nfs/dbraw/zinc/73/49/52/695734952.db2.gz JIFLJOIDDQBODB-KRWDZBQOSA-N 1 2 323.396 1.602 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C(C)(C)C1 ZINC000974789070 695734953 /nfs/dbraw/zinc/73/49/53/695734953.db2.gz JIFLJOIDDQBODB-KRWDZBQOSA-N 1 2 323.396 1.602 20 30 DDEDLO COc1ccc(CNC(=O)NCCCn2cc[nH+]c2)cc1C#N ZINC000746535013 700029245 /nfs/dbraw/zinc/02/92/45/700029245.db2.gz WFMPJFBWELREDV-UHFFFAOYSA-N 1 2 313.361 1.653 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)c1ccccc1 ZINC000746678809 700036569 /nfs/dbraw/zinc/03/65/69/700036569.db2.gz ZFGUROAXUYYRTB-INIZCTEOSA-N 1 2 313.401 1.020 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)c1ccccc1 ZINC000746678809 700036571 /nfs/dbraw/zinc/03/65/71/700036571.db2.gz ZFGUROAXUYYRTB-INIZCTEOSA-N 1 2 313.401 1.020 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cnn3cc[nH]c23)C(C)(C)C1 ZINC000977518645 696197336 /nfs/dbraw/zinc/19/73/36/696197336.db2.gz JFMPMJOYJOIAJV-GFCCVEGCSA-N 1 2 321.812 1.855 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cnn3cc[nH]c23)C(C)(C)C1 ZINC000977518645 696197338 /nfs/dbraw/zinc/19/73/38/696197338.db2.gz JFMPMJOYJOIAJV-GFCCVEGCSA-N 1 2 321.812 1.855 20 30 DDEDLO COc1ncnc(N)c1C=[NH+]NCCCOc1ccccc1 ZINC000747631691 700078625 /nfs/dbraw/zinc/07/86/25/700078625.db2.gz UMFUQZIUTMISTL-UHFFFAOYSA-N 1 2 301.350 1.460 20 30 DDEDLO C[C@@H]1CCCC[C@H]1N(C)C(=O)CO[NH+]=C(N)Cc1cccnc1 ZINC000092915913 696598263 /nfs/dbraw/zinc/59/82/63/696598263.db2.gz SUPBECMVAAXGBO-UKRRQHHQSA-N 1 2 318.421 1.950 20 30 DDEDLO CCc1cnc(C[N@@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC000981670174 696867867 /nfs/dbraw/zinc/86/78/67/696867867.db2.gz NFHURLFKKYWNKS-CYBMUJFWSA-N 1 2 316.405 1.821 20 30 DDEDLO CCc1cnc(C[N@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC000981670174 696867869 /nfs/dbraw/zinc/86/78/69/696867869.db2.gz NFHURLFKKYWNKS-CYBMUJFWSA-N 1 2 316.405 1.821 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CCC[N@H+](Cc1cscn1)C2 ZINC000981757938 696904352 /nfs/dbraw/zinc/90/43/52/696904352.db2.gz BKEVJRSXMAWYKS-UHFFFAOYSA-N 1 2 315.442 1.981 20 30 DDEDLO O=C(C#CC1CC1)N1CC2(C1)CCC[N@@H+](Cc1cscn1)C2 ZINC000981757938 696904354 /nfs/dbraw/zinc/90/43/54/696904354.db2.gz BKEVJRSXMAWYKS-UHFFFAOYSA-N 1 2 315.442 1.981 20 30 DDEDLO O=C([C@H]1[C@@H]2COC[C@@H]21)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000981774377 696911082 /nfs/dbraw/zinc/91/10/82/696911082.db2.gz ZNZHRLFVINEYRG-BWTSREIZSA-N 1 2 324.424 1.465 20 30 DDEDLO O=C([C@H]1[C@@H]2COC[C@@H]21)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000981774377 696911086 /nfs/dbraw/zinc/91/10/86/696911086.db2.gz ZNZHRLFVINEYRG-BWTSREIZSA-N 1 2 324.424 1.465 20 30 DDEDLO C=CC[N@H+]1CCCN(C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)CC1 ZINC000981814476 696927934 /nfs/dbraw/zinc/92/79/34/696927934.db2.gz GWMPJIAXTWLOHF-DZGCQCFKSA-N 1 2 302.422 1.796 20 30 DDEDLO C=CC[N@@H+]1CCCN(C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)CC1 ZINC000981814476 696927936 /nfs/dbraw/zinc/92/79/36/696927936.db2.gz GWMPJIAXTWLOHF-DZGCQCFKSA-N 1 2 302.422 1.796 20 30 DDEDLO Cn1cc(C(=O)N2CCC[N@H+](CC#Cc3ccccc3)CC2)nn1 ZINC000981099696 697004473 /nfs/dbraw/zinc/00/44/73/697004473.db2.gz IHBOIVVTYVCVMS-UHFFFAOYSA-N 1 2 323.400 1.015 20 30 DDEDLO Cn1cc(C(=O)N2CCC[N@@H+](CC#Cc3ccccc3)CC2)nn1 ZINC000981099696 697004476 /nfs/dbraw/zinc/00/44/76/697004476.db2.gz IHBOIVVTYVCVMS-UHFFFAOYSA-N 1 2 323.400 1.015 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[N@@H+](CCn2cc(Cl)cn2)CC1 ZINC000981125790 697011596 /nfs/dbraw/zinc/01/15/96/697011596.db2.gz JMZKIONNZWIHFV-UHFFFAOYSA-N 1 2 320.824 1.484 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[N@H+](CCn2cc(Cl)cn2)CC1 ZINC000981125790 697011599 /nfs/dbraw/zinc/01/15/99/697011599.db2.gz JMZKIONNZWIHFV-UHFFFAOYSA-N 1 2 320.824 1.484 20 30 DDEDLO C#CCC[N@H+]1CCCN(C(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC000981303027 697060603 /nfs/dbraw/zinc/06/06/03/697060603.db2.gz WHQKTQZQIAVFNH-UHFFFAOYSA-N 1 2 323.400 1.643 20 30 DDEDLO C#CCC[N@@H+]1CCCN(C(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC000981303027 697060604 /nfs/dbraw/zinc/06/06/04/697060604.db2.gz WHQKTQZQIAVFNH-UHFFFAOYSA-N 1 2 323.400 1.643 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cn3cc(C)nc3s2)CC1 ZINC000981332444 697067917 /nfs/dbraw/zinc/06/79/17/697067917.db2.gz YGVQRVCEUANNNK-UHFFFAOYSA-N 1 2 302.403 1.485 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cn3cc(C)nc3s2)CC1 ZINC000981332444 697067919 /nfs/dbraw/zinc/06/79/19/697067919.db2.gz YGVQRVCEUANNNK-UHFFFAOYSA-N 1 2 302.403 1.485 20 30 DDEDLO C[C@@H]1[C@@H](NCC#N)CCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000986611577 697851941 /nfs/dbraw/zinc/85/19/41/697851941.db2.gz WKACJMUIENVRAU-CJNGLKHVSA-N 1 2 309.373 1.588 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000775422830 697995254 /nfs/dbraw/zinc/99/52/54/697995254.db2.gz ZJXSFXRUMJUETB-HNNXBMFYSA-N 1 2 314.389 1.856 20 30 DDEDLO C#C[C@H]([NH2+][C@@H](C(=O)NC1CC1)c1ccc(F)cc1)[C@H]1CCCO1 ZINC000775791616 698034816 /nfs/dbraw/zinc/03/48/16/698034816.db2.gz NBQIPUWKEMJNPP-GVDBMIGSSA-N 1 2 316.376 1.916 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)N[C@@]2(CC(C)(C)OC2(C)C)C1=O ZINC000777959444 698233270 /nfs/dbraw/zinc/23/32/70/698233270.db2.gz JQFJFGZBBDHKDW-INIZCTEOSA-N 1 2 309.410 1.720 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)N[C@@]2(CC(C)(C)OC2(C)C)C1=O ZINC000777959444 698233272 /nfs/dbraw/zinc/23/32/72/698233272.db2.gz JQFJFGZBBDHKDW-INIZCTEOSA-N 1 2 309.410 1.720 20 30 DDEDLO COCC[NH+]1CCC(/N=C\c2cc([N+](=O)[O-])ccc2O)CC1 ZINC000255513260 698419522 /nfs/dbraw/zinc/41/95/22/698419522.db2.gz BTGIOJKTXRUOAN-WJDWOHSUSA-N 1 2 307.350 1.830 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)N2CCC[C@H](n3cc[nH+]c3)C2)cc1 ZINC000780928003 698572269 /nfs/dbraw/zinc/57/22/69/698572269.db2.gz CUOCGLSBFNXBRE-JKSUJKDBSA-N 1 2 310.357 1.652 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ncccc2OC(C)C)CC1 ZINC000750844995 700250357 /nfs/dbraw/zinc/25/03/57/700250357.db2.gz FQNXFCIGVLZCSP-UHFFFAOYSA-N 1 2 301.390 1.650 20 30 DDEDLO COCC#CCOC(=O)c1ccc(-n2cc[nH+]c2)c([N+](=O)[O-])c1 ZINC000785678954 699091619 /nfs/dbraw/zinc/09/16/19/699091619.db2.gz SNBQKHZWYMOPJP-UHFFFAOYSA-N 1 2 315.285 1.587 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)N2CC[C@@](F)(C#N)C2)c1C ZINC000786117944 699128137 /nfs/dbraw/zinc/12/81/37/699128137.db2.gz VHWWPAZSVLTAFI-OAHLLOKOSA-N 1 2 306.341 1.854 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(S(=O)(=O)CC2(C#N)CC2)C1 ZINC000443996729 699173120 /nfs/dbraw/zinc/17/31/20/699173120.db2.gz ZJWWBMYMJKTDKG-GFCCVEGCSA-N 1 2 308.407 1.233 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000726252901 699355152 /nfs/dbraw/zinc/35/51/52/699355152.db2.gz AAAKVCFDQBELSP-CYBMUJFWSA-N 1 2 302.378 1.078 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000726252901 699355156 /nfs/dbraw/zinc/35/51/56/699355156.db2.gz AAAKVCFDQBELSP-CYBMUJFWSA-N 1 2 302.378 1.078 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(N2CCNC2=O)c1 ZINC000730098131 699500984 /nfs/dbraw/zinc/50/09/84/699500984.db2.gz WZNIRWCBJQPNPH-HNNXBMFYSA-N 1 2 312.373 1.252 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(N2CCNC2=O)c1 ZINC000730098131 699500985 /nfs/dbraw/zinc/50/09/85/699500985.db2.gz WZNIRWCBJQPNPH-HNNXBMFYSA-N 1 2 312.373 1.252 20 30 DDEDLO CC[NH+](CC)[C@@H](C(=O)OCC(=O)NCCC#N)c1ccccc1 ZINC000732349052 699556586 /nfs/dbraw/zinc/55/65/86/699556586.db2.gz QXTKYOWVBYANKB-MRXNPFEDSA-N 1 2 317.389 1.643 20 30 DDEDLO C=CC[C@H](NC(=O)N[C@@H](C)C[NH+]1CCOCC1)c1ccncc1 ZINC000734659347 699658525 /nfs/dbraw/zinc/65/85/25/699658525.db2.gz USHDSJHDUUFKHS-HOCLYGCPSA-N 1 2 318.421 1.719 20 30 DDEDLO COc1cccc([C@@H](C#N)NC(=O)CCc2c[nH]c[nH+]2)c1OC ZINC000793434668 699756417 /nfs/dbraw/zinc/75/64/17/699756417.db2.gz DZHQHTWDQWISBB-CYBMUJFWSA-N 1 2 314.345 1.741 20 30 DDEDLO COc1cccc([C@@H](C#N)NC(=O)CCc2c[nH+]c[nH]2)c1OC ZINC000793434668 699756419 /nfs/dbraw/zinc/75/64/19/699756419.db2.gz DZHQHTWDQWISBB-CYBMUJFWSA-N 1 2 314.345 1.741 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)COC(=O)CCc1ccc(N)[nH+]c1 ZINC000751456431 700291102 /nfs/dbraw/zinc/29/11/02/700291102.db2.gz RDDZSMIAQCUZKQ-MRXNPFEDSA-N 1 2 318.377 1.194 20 30 DDEDLO C[C@@H]1CC(=NNS(=O)(=O)Cc2ccccc2)C[N@@H+]1C1CC1 ZINC000753959026 700472083 /nfs/dbraw/zinc/47/20/83/700472083.db2.gz CSWDGFANOAACCX-GFCCVEGCSA-N 1 2 307.419 1.719 20 30 DDEDLO C[C@@H]1CC(=NNS(=O)(=O)Cc2ccccc2)C[N@H+]1C1CC1 ZINC000753959026 700472084 /nfs/dbraw/zinc/47/20/84/700472084.db2.gz CSWDGFANOAACCX-GFCCVEGCSA-N 1 2 307.419 1.719 20 30 DDEDLO COC(=O)c1cccc(C[NH+]2CCC3(CC2)OCCO3)c1C#N ZINC000756487416 700623602 /nfs/dbraw/zinc/62/36/02/700623602.db2.gz HTZKPLQIDGNBCR-UHFFFAOYSA-N 1 2 316.357 1.684 20 30 DDEDLO Nc1[nH+]cccc1CN=Nc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000764641218 700979989 /nfs/dbraw/zinc/97/99/89/700979989.db2.gz PQQRHERJMBWIRG-UHFFFAOYSA-N 1 2 302.250 1.926 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N[C@H]1CCc2c[nH+]cn2C1 ZINC000804335657 701179660 /nfs/dbraw/zinc/17/96/60/701179660.db2.gz CPUOWCHIQTWERF-ZDUSSCGKSA-N 1 2 309.325 1.095 20 30 DDEDLO C=CCN(C(=O)C(=O)N[C@H]1C[N@H+](C)Cc2ccccc21)C(C)C ZINC000807677834 701483601 /nfs/dbraw/zinc/48/36/01/701483601.db2.gz ZCUZQOMAAWMJMU-INIZCTEOSA-N 1 2 315.417 1.712 20 30 DDEDLO C=CCN(C(=O)C(=O)N[C@H]1C[N@@H+](C)Cc2ccccc21)C(C)C ZINC000807677834 701483602 /nfs/dbraw/zinc/48/36/02/701483602.db2.gz ZCUZQOMAAWMJMU-INIZCTEOSA-N 1 2 315.417 1.712 20 30 DDEDLO CC(=[NH+]Nc1ccc(S(N)(=O)=O)cc1)c1cc(N)ccc1F ZINC000814680700 701741069 /nfs/dbraw/zinc/74/10/69/701741069.db2.gz FCUVSGNNVXUQBB-UHFFFAOYSA-N 1 2 322.365 1.891 20 30 DDEDLO CC(=NNC1=[NH+]C[C@H](C)N1)c1nnn(-c2ccc(C)cc2)c1C ZINC000814897140 701766782 /nfs/dbraw/zinc/76/67/82/701766782.db2.gz FUDJDMOHZIRPCK-NSHDSACASA-N 1 2 311.393 1.545 20 30 DDEDLO C#CCCCNC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000810449378 701772130 /nfs/dbraw/zinc/77/21/30/701772130.db2.gz NYYDMMDJUMXUHY-CQSZACIVSA-N 1 2 304.394 1.013 20 30 DDEDLO C#CCCCNC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000810449378 701772132 /nfs/dbraw/zinc/77/21/32/701772132.db2.gz NYYDMMDJUMXUHY-CQSZACIVSA-N 1 2 304.394 1.013 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(CC)ncnc2CC)CC1 ZINC000815185066 701821806 /nfs/dbraw/zinc/82/18/06/701821806.db2.gz BSDHJVQEZSSACC-UHFFFAOYSA-N 1 2 300.406 1.383 20 30 DDEDLO Cn1cc[nH+]c1NN=C1CCCc2ccc(S(N)(=O)=O)cc21 ZINC000811649507 702021644 /nfs/dbraw/zinc/02/16/44/702021644.db2.gz OSWWFRZNHCRVRB-UHFFFAOYSA-N 1 2 319.390 1.220 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CC[C@@](F)(C#N)C1 ZINC000869105083 702462165 /nfs/dbraw/zinc/46/21/65/702462165.db2.gz FAPISPPCTAPIHF-GDBMZVCRSA-N 1 2 302.353 1.820 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CC[C@@](F)(C#N)C1 ZINC000869105083 702462168 /nfs/dbraw/zinc/46/21/68/702462168.db2.gz FAPISPPCTAPIHF-GDBMZVCRSA-N 1 2 302.353 1.820 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]3COC(=O)N[C@H]3C2)c([N+](=O)[O-])c1 ZINC000844346947 703018408 /nfs/dbraw/zinc/01/84/08/703018408.db2.gz PBCWVTHBYIKXSZ-OLZOCXBDSA-N 1 2 316.317 1.397 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]3COC(=O)N[C@H]3C2)c([N+](=O)[O-])c1 ZINC000844346947 703018409 /nfs/dbraw/zinc/01/84/09/703018409.db2.gz PBCWVTHBYIKXSZ-OLZOCXBDSA-N 1 2 316.317 1.397 20 30 DDEDLO CCN1CC[C@@H]([NH+]2CCN(c3ccc(F)cc3C#N)CC2)C1=O ZINC000879590707 706730749 /nfs/dbraw/zinc/73/07/49/706730749.db2.gz IXRNHTNSQOHVFV-MRXNPFEDSA-N 1 2 316.380 1.440 20 30 DDEDLO CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000846658457 703309836 /nfs/dbraw/zinc/30/98/36/703309836.db2.gz DIDVRQPOMICPKU-DOTOQJQBSA-N 1 2 324.384 1.509 20 30 DDEDLO COC(=O)[C@]1(C(F)(F)F)CC[N@H+](Cc2ccc(C#N)cn2)C1 ZINC000846900161 703349042 /nfs/dbraw/zinc/34/90/42/703349042.db2.gz UXHSGOZCOZRUGB-ZDUSSCGKSA-N 1 2 313.279 1.881 20 30 DDEDLO COC(=O)[C@]1(C(F)(F)F)CC[N@@H+](Cc2ccc(C#N)cn2)C1 ZINC000846900161 703349043 /nfs/dbraw/zinc/34/90/43/703349043.db2.gz UXHSGOZCOZRUGB-ZDUSSCGKSA-N 1 2 313.279 1.881 20 30 DDEDLO COC(=O)[C@@H](C)n1cccc1C=NNCCCn1cc[nH+]c1 ZINC000848419157 703548538 /nfs/dbraw/zinc/54/85/38/703548538.db2.gz ULUSOWODYXDWJG-CYBMUJFWSA-N 1 2 303.366 1.432 20 30 DDEDLO N#Cc1nccc(Nc2ccc([NH+]3CCC(C(N)=O)CC3)cc2)n1 ZINC000848661043 703573042 /nfs/dbraw/zinc/57/30/42/703573042.db2.gz QGVAEMSMSXMBAJ-UHFFFAOYSA-N 1 2 322.372 1.215 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)O[C@@H](C)C(=O)N(C)CCC#N)ccn12 ZINC000848780535 703588449 /nfs/dbraw/zinc/58/84/49/703588449.db2.gz KESRCGQOBJURTB-LBPRGKRZSA-N 1 2 314.345 1.560 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2ccc(F)cc2Cl)CC1 ZINC000851741454 703841197 /nfs/dbraw/zinc/84/11/97/703841197.db2.gz KNSPJGSYUYSLIK-UHFFFAOYSA-N 1 2 324.783 1.887 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH2+][C@H](c2cccc(OC)c2)C1 ZINC000870151702 703934446 /nfs/dbraw/zinc/93/44/46/703934446.db2.gz BORXTEZQZSDXOG-BBRMVZONSA-N 1 2 302.374 1.206 20 30 DDEDLO COc1cccc(C[NH+]2CCN(C(=O)c3ccc(C#N)[nH]3)CC2)c1 ZINC000870157311 703937684 /nfs/dbraw/zinc/93/76/84/703937684.db2.gz SJMZTFDBPPCENU-UHFFFAOYSA-N 1 2 324.384 1.853 20 30 DDEDLO CCOC(=O)C1=C(C[N@@H+]2CCC[C@@H](CC#N)C2)NC(=O)N[C@@H]1C ZINC000852318823 704011020 /nfs/dbraw/zinc/01/10/20/704011020.db2.gz CCPKQGXUCAEUPT-NEPJUHHUSA-N 1 2 320.393 1.131 20 30 DDEDLO CCOC(=O)C1=C(C[N@H+]2CCC[C@@H](CC#N)C2)NC(=O)N[C@@H]1C ZINC000852318823 704011022 /nfs/dbraw/zinc/01/10/22/704011022.db2.gz CCPKQGXUCAEUPT-NEPJUHHUSA-N 1 2 320.393 1.131 20 30 DDEDLO CCOCC[C@H](O)C[N@@H+]1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852333874 704015321 /nfs/dbraw/zinc/01/53/21/704015321.db2.gz XHQMRXXYSBGOAD-RDJZCZTQSA-N 1 2 303.406 1.726 20 30 DDEDLO CCOCC[C@H](O)C[N@H+]1CCC[C@@](C#N)(c2ccccn2)C1 ZINC000852333874 704015322 /nfs/dbraw/zinc/01/53/22/704015322.db2.gz XHQMRXXYSBGOAD-RDJZCZTQSA-N 1 2 303.406 1.726 20 30 DDEDLO CCOc1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc1OC ZINC000819396034 704120038 /nfs/dbraw/zinc/12/00/38/704120038.db2.gz WJOZZDLTRALQFJ-CQSZACIVSA-N 1 2 307.394 1.712 20 30 DDEDLO CCOc1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1OC ZINC000819396034 704120039 /nfs/dbraw/zinc/12/00/39/704120039.db2.gz WJOZZDLTRALQFJ-CQSZACIVSA-N 1 2 307.394 1.712 20 30 DDEDLO C#Cc1cccnc1NCC1([NH+]2CCOCC2)CC(OCC)C1 ZINC000853539675 704263579 /nfs/dbraw/zinc/26/35/79/704263579.db2.gz CLLMNAPBGDOMRX-UHFFFAOYSA-N 1 2 315.417 1.745 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CCn1cc[nH+]c1)C1CCSCC1 ZINC000854412652 704393308 /nfs/dbraw/zinc/39/33/08/704393308.db2.gz RPRQDZUETWYPSQ-CQSZACIVSA-N 1 2 321.446 1.646 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)cc1 ZINC000855408674 704483206 /nfs/dbraw/zinc/48/32/06/704483206.db2.gz XKWPMGBSUWMGBJ-JKSUJKDBSA-N 1 2 314.429 1.826 20 30 DDEDLO CCc1ccc(C#CC(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)cc1 ZINC000855408674 704483207 /nfs/dbraw/zinc/48/32/07/704483207.db2.gz XKWPMGBSUWMGBJ-JKSUJKDBSA-N 1 2 314.429 1.826 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)CCc1c[nH+]cn1C ZINC000855495206 704487986 /nfs/dbraw/zinc/48/79/86/704487986.db2.gz OMUBDIYHAMSUQP-UHFFFAOYSA-N 1 2 305.378 1.156 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000858166586 704672730 /nfs/dbraw/zinc/67/27/30/704672730.db2.gz UDIMBJJQSSHUQJ-CQSZACIVSA-N 1 2 319.405 1.935 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ncc(C#N)cc1Cl ZINC000874705030 705138529 /nfs/dbraw/zinc/13/85/29/705138529.db2.gz RJFOEHWVKMJURO-GFCCVEGCSA-N 1 2 322.796 1.447 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ncc(C#N)cc1Cl ZINC000874705030 705138532 /nfs/dbraw/zinc/13/85/32/705138532.db2.gz RJFOEHWVKMJURO-GFCCVEGCSA-N 1 2 322.796 1.447 20 30 DDEDLO N#CCc1ccnc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC000871725719 707177821 /nfs/dbraw/zinc/17/78/21/707177821.db2.gz JUQSHHXTHGSNAC-CQSZACIVSA-N 1 2 315.377 1.086 20 30 DDEDLO C#CCNC(=O)c1ccccc1NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000824171356 705390075 /nfs/dbraw/zinc/39/00/75/705390075.db2.gz NEZODWFVKNXPEN-ZDUSSCGKSA-N 1 2 322.368 1.447 20 30 DDEDLO C=CCn1cc(CNC(=O)Nc2ccc(C)[nH+]c2N(C)C)nn1 ZINC000824247178 705408931 /nfs/dbraw/zinc/40/89/31/705408931.db2.gz MJQCNINYEAEUNI-UHFFFAOYSA-N 1 2 315.381 1.555 20 30 DDEDLO CC(C)C#CC(=O)N1CC[NH+](C[C@@H](O)c2ccccc2)CC1 ZINC000826610638 705825877 /nfs/dbraw/zinc/82/58/77/705825877.db2.gz ILVNDOGXRQMEFD-QGZVFWFLSA-N 1 2 300.402 1.524 20 30 DDEDLO Cc1cc(C[C@@H](C)NC(=O)[C@H]2[NH2+]CCc3cc(C#N)ccc32)n[nH]1 ZINC000876881516 705905833 /nfs/dbraw/zinc/90/58/33/705905833.db2.gz ISGXFZLAYIHPTI-DIFFPNOSSA-N 1 2 323.400 1.524 20 30 DDEDLO CCOC(=O)C[C@H](O)CO[NH+]=C(N)c1ccc(Cl)cc1 ZINC000863455222 705933718 /nfs/dbraw/zinc/93/37/18/705933718.db2.gz ZPOLODAVTYJDOH-NSHDSACASA-N 1 2 300.742 1.291 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)N[C@H]1Cc2ccc(C#N)cc2C1 ZINC000864425687 706165109 /nfs/dbraw/zinc/16/51/09/706165109.db2.gz PAGYIHMWXUGJKF-WBMJQRKESA-N 1 2 309.373 1.610 20 30 DDEDLO CN(C)c1[nH+]cc(CN2CCN(c3ccc(C#N)cc3)CC2)n1C ZINC000877745357 706196376 /nfs/dbraw/zinc/19/63/76/706196376.db2.gz RBYHQKXPVOSGFN-UHFFFAOYSA-N 1 2 324.432 1.680 20 30 DDEDLO S=C(NCC1CCC1)NN=C1CCC[N@@H+]2CCSC[C@H]12 ZINC000872348406 707386827 /nfs/dbraw/zinc/38/68/27/707386827.db2.gz WQPZSBNHLLDIMJ-CYBMUJFWSA-N 1 2 312.508 1.818 20 30 DDEDLO S=C(NCC1CCC1)NN=C1CCC[N@H+]2CCSC[C@H]12 ZINC000872348406 707386833 /nfs/dbraw/zinc/38/68/33/707386833.db2.gz WQPZSBNHLLDIMJ-CYBMUJFWSA-N 1 2 312.508 1.818 20 30 DDEDLO CC1(C)OC[C@@H]([C@@H]2C[N@H+](Cc3ccc(C#N)cc3)CCO2)O1 ZINC000877785400 706210896 /nfs/dbraw/zinc/21/08/96/706210896.db2.gz VNFXFMZEMRAXGJ-HOTGVXAUSA-N 1 2 302.374 1.911 20 30 DDEDLO CC1(C)OC[C@@H]([C@@H]2C[N@@H+](Cc3ccc(C#N)cc3)CCO2)O1 ZINC000877785400 706210898 /nfs/dbraw/zinc/21/08/98/706210898.db2.gz VNFXFMZEMRAXGJ-HOTGVXAUSA-N 1 2 302.374 1.911 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)NCCCn1cc[nH+]c1 ZINC000872430315 707406353 /nfs/dbraw/zinc/40/63/53/707406353.db2.gz PWAJXEOUFCIGHM-UHFFFAOYSA-N 1 2 304.375 1.432 20 30 DDEDLO COc1c(C)c[nH+]c(CNC(=O)[C@@]2(C#N)CCCOC2)c1C ZINC000865064586 706341090 /nfs/dbraw/zinc/34/10/90/706341090.db2.gz UPJWDSRQYXQTEH-MRXNPFEDSA-N 1 2 303.362 1.644 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCC[C@H](c2nnc(C3CC3)[nH]2)C1 ZINC000878327238 706360864 /nfs/dbraw/zinc/36/08/64/706360864.db2.gz FKRRTAFNHXGUIM-QWHCGFSZSA-N 1 2 304.394 1.979 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCC[C@H](c2nnc(C3CC3)[nH]2)C1 ZINC000878327238 706360867 /nfs/dbraw/zinc/36/08/67/706360867.db2.gz FKRRTAFNHXGUIM-QWHCGFSZSA-N 1 2 304.394 1.979 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1csc(-c2ccn(C)n2)n1)[C@H]1CCCO1 ZINC000878453896 706392074 /nfs/dbraw/zinc/39/20/74/706392074.db2.gz OYUDIAPNFVZFSO-TZMCWYRMSA-N 1 2 302.403 1.814 20 30 DDEDLO C#CCNC(=O)C1CCN(c2cc(NCCC)[nH+]cn2)CC1 ZINC000865484046 706443560 /nfs/dbraw/zinc/44/35/60/706443560.db2.gz CSWBLBUCXDHVNB-UHFFFAOYSA-N 1 2 301.394 1.264 20 30 DDEDLO C#CCNC(=O)C1CCN(c2cc(NCCC)nc[nH+]2)CC1 ZINC000865484046 706443561 /nfs/dbraw/zinc/44/35/61/706443561.db2.gz CSWBLBUCXDHVNB-UHFFFAOYSA-N 1 2 301.394 1.264 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNc2cc(C(F)(F)F)cc(C#N)n2)C1 ZINC000865534780 706457642 /nfs/dbraw/zinc/45/76/42/706457642.db2.gz MAXUYSZTPXBYSB-LBPRGKRZSA-N 1 2 313.327 1.630 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNc2cc(C(F)(F)F)cc(C#N)n2)C1 ZINC000865534780 706457645 /nfs/dbraw/zinc/45/76/45/706457645.db2.gz MAXUYSZTPXBYSB-LBPRGKRZSA-N 1 2 313.327 1.630 20 30 DDEDLO N#Cc1cc(C(F)(F)F)cc(NC[C@@H]2C[NH+]3CCN2CC3)n1 ZINC000865630042 706482065 /nfs/dbraw/zinc/48/20/65/706482065.db2.gz ZOGYOXIZBYYCKC-GFCCVEGCSA-N 1 2 311.311 1.384 20 30 DDEDLO C#CC[N@@H+](C[C@@H](O)COc1cccc(C(C)=O)c1)C1CSC1 ZINC000878913139 706531149 /nfs/dbraw/zinc/53/11/49/706531149.db2.gz CARGOBRAYAOXLR-MRXNPFEDSA-N 1 2 319.426 1.680 20 30 DDEDLO C#CC[N@H+](C[C@@H](O)COc1cccc(C(C)=O)c1)C1CSC1 ZINC000878913139 706531152 /nfs/dbraw/zinc/53/11/52/706531152.db2.gz CARGOBRAYAOXLR-MRXNPFEDSA-N 1 2 319.426 1.680 20 30 DDEDLO Cc1ccc(NC(=O)N2CCN(CCC#N)CC2)c(N(C)C)[nH+]1 ZINC000879002608 706559073 /nfs/dbraw/zinc/55/90/73/706559073.db2.gz XODALWYKIQHLOC-UHFFFAOYSA-N 1 2 316.409 1.519 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NC2(C#N)CCC2)CC[C@@H](C(F)(F)F)O1 ZINC000880063304 706868015 /nfs/dbraw/zinc/86/80/15/706868015.db2.gz YJAIJDQOMBPUMC-QWRGUYRKSA-N 1 2 319.327 1.591 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)CC[C@@H](C(F)(F)F)O1 ZINC000880063304 706868019 /nfs/dbraw/zinc/86/80/19/706868019.db2.gz YJAIJDQOMBPUMC-QWRGUYRKSA-N 1 2 319.327 1.591 20 30 DDEDLO CO[C@@]1(C(F)(F)F)CC[N@@H+]([C@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000880306054 706935193 /nfs/dbraw/zinc/93/51/93/706935193.db2.gz KMJXZDOUBQTWSV-MFKMUULPSA-N 1 2 319.327 1.591 20 30 DDEDLO CO[C@@]1(C(F)(F)F)CC[N@H+]([C@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000880306054 706935196 /nfs/dbraw/zinc/93/51/96/706935196.db2.gz KMJXZDOUBQTWSV-MFKMUULPSA-N 1 2 319.327 1.591 20 30 DDEDLO C[C@@H](C#N)Oc1ccccc1NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000867291944 706949763 /nfs/dbraw/zinc/94/97/63/706949763.db2.gz IKSGEVBONSZZDA-GBXSZLQWSA-N 1 2 315.373 1.804 20 30 DDEDLO CC[C@H]([NH2+]C[C@H](O)c1ccnn1C)c1cccc(C#N)c1O ZINC000927125261 712944757 /nfs/dbraw/zinc/94/47/57/712944757.db2.gz CDRYXEWAJQTCOW-ZFWWWQNUSA-N 1 2 300.362 1.772 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1cccc2c1OCCCO2 ZINC000872239443 707344411 /nfs/dbraw/zinc/34/44/11/707344411.db2.gz IBQZXNJPBIURFS-CYBMUJFWSA-N 1 2 305.378 1.466 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1cccc2c1OCCCO2 ZINC000872239443 707344413 /nfs/dbraw/zinc/34/44/13/707344413.db2.gz IBQZXNJPBIURFS-CYBMUJFWSA-N 1 2 305.378 1.466 20 30 DDEDLO COc1c(C)c[nH+]c(CN=Nc2ncnc3c2cnn3C)c1C ZINC000872342593 707385676 /nfs/dbraw/zinc/38/56/76/707385676.db2.gz YFAVBFQVUDYXBP-UHFFFAOYSA-N 1 2 311.349 1.830 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)CC[C@H]2CCCCO2)CC1 ZINC000837524027 707672516 /nfs/dbraw/zinc/67/25/16/707672516.db2.gz NYAVXOMRSJOKPT-QGZVFWFLSA-N 1 2 321.417 1.793 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CC1 ZINC000873296339 707802043 /nfs/dbraw/zinc/80/20/43/707802043.db2.gz XSEUWBVNPDYTTG-JYJNAYRXSA-N 1 2 305.422 1.295 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](CCC(=O)N3CCCC3)CCO2)c1 ZINC000838906274 708000920 /nfs/dbraw/zinc/00/09/20/708000920.db2.gz IUAVSLQTDLHUFQ-QGZVFWFLSA-N 1 2 313.401 1.944 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](CCC(=O)N3CCCC3)CCO2)c1 ZINC000838906274 708000924 /nfs/dbraw/zinc/00/09/24/708000924.db2.gz IUAVSLQTDLHUFQ-QGZVFWFLSA-N 1 2 313.401 1.944 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)N[C@@](C)(C(C)C)C2=O)CC1 ZINC000884479142 708311789 /nfs/dbraw/zinc/31/17/89/708311789.db2.gz XZSDVLZRYHJPDW-HNNXBMFYSA-N 1 2 309.410 1.313 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)CC2CC(F)(F)C2)C1 ZINC000885509624 708562408 /nfs/dbraw/zinc/56/24/08/708562408.db2.gz UZSNCRFIKGWAHF-GFCCVEGCSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)CC2CC(F)(F)C2)C1 ZINC000885509624 708562411 /nfs/dbraw/zinc/56/24/11/708562411.db2.gz UZSNCRFIKGWAHF-GFCCVEGCSA-N 1 2 306.378 1.049 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@@H]1CCC[N@@H+](CCF)C1 ZINC000898499640 708659922 /nfs/dbraw/zinc/65/99/22/708659922.db2.gz QQMNPHVVCNSJKM-CYBMUJFWSA-N 1 2 307.369 1.810 20 30 DDEDLO C=CCOc1cccnc1C(=O)N[C@@H]1CCC[N@H+](CCF)C1 ZINC000898499640 708659923 /nfs/dbraw/zinc/65/99/23/708659923.db2.gz QQMNPHVVCNSJKM-CYBMUJFWSA-N 1 2 307.369 1.810 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000927760504 713048568 /nfs/dbraw/zinc/04/85/68/713048568.db2.gz JAVAXFFUFANPIE-TZMCWYRMSA-N 1 2 309.435 1.802 20 30 DDEDLO C=CCn1cc2ccc(NC(=O)[C@@H]3C[N@H+](C)CCO3)cc2n1 ZINC000886647238 708805209 /nfs/dbraw/zinc/80/52/09/708805209.db2.gz HVYKSBJXFMPRLE-HNNXBMFYSA-N 1 2 300.362 1.491 20 30 DDEDLO C=CCn1cc2ccc(NC(=O)[C@@H]3C[N@@H+](C)CCO3)cc2n1 ZINC000886647238 708805211 /nfs/dbraw/zinc/80/52/11/708805211.db2.gz HVYKSBJXFMPRLE-HNNXBMFYSA-N 1 2 300.362 1.491 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H](c2ncccn2)C1 ZINC000886674532 708811073 /nfs/dbraw/zinc/81/10/73/708811073.db2.gz MZUNSAFTGXPPIQ-RHSMWYFYSA-N 1 2 315.421 1.710 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H](c2ncccn2)C1 ZINC000886674532 708811074 /nfs/dbraw/zinc/81/10/74/708811074.db2.gz MZUNSAFTGXPPIQ-RHSMWYFYSA-N 1 2 315.421 1.710 20 30 DDEDLO CC(C)OC(=O)C1CC[NH+](CC(=O)N[C@@](C)(C#N)C2CC2)CC1 ZINC000886719771 708821615 /nfs/dbraw/zinc/82/16/15/708821615.db2.gz HFSDHPCQGJIWBR-KRWDZBQOSA-N 1 2 321.421 1.458 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CC[C@](CO)(C(F)(F)F)C1)C1CC1 ZINC000886793862 708845753 /nfs/dbraw/zinc/84/57/53/708845753.db2.gz VXJQVGBWRKOHJG-STQMWFEESA-N 1 2 319.327 1.042 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CC[C@](CO)(C(F)(F)F)C1)C1CC1 ZINC000886793862 708845756 /nfs/dbraw/zinc/84/57/56/708845756.db2.gz VXJQVGBWRKOHJG-STQMWFEESA-N 1 2 319.327 1.042 20 30 DDEDLO CCCC[C@@H](ON=C(C)C)C(=O)N[C@H](CO)c1c[nH+]cn1C ZINC000898889936 708909784 /nfs/dbraw/zinc/90/97/84/708909784.db2.gz RKVCGLBCAFIWNH-TZMCWYRMSA-N 1 2 310.398 1.541 20 30 DDEDLO C[C@]1(C#N)CN(C(=O)c2ccc(Cn3cc[nH+]c3)cc2)CCO1 ZINC000887671283 709097347 /nfs/dbraw/zinc/09/73/47/709097347.db2.gz QUSAYLKUCUKFBH-KRWDZBQOSA-N 1 2 310.357 1.686 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)Nc1ccc(C#N)cn1 ZINC000889235925 709465714 /nfs/dbraw/zinc/46/57/14/709465714.db2.gz PKTFPKAZMBBNDK-GXTWGEPZSA-N 1 2 315.377 1.280 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+]3CCSC[C@@H]23)cc1O ZINC000928088396 713125094 /nfs/dbraw/zinc/12/50/94/713125094.db2.gz HEHYUBWWTHQYAF-KGLIPLIRSA-N 1 2 317.414 1.573 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+]3CCSC[C@@H]23)cc1O ZINC000928088396 713125096 /nfs/dbraw/zinc/12/50/96/713125096.db2.gz HEHYUBWWTHQYAF-KGLIPLIRSA-N 1 2 317.414 1.573 20 30 DDEDLO C#CC[C@@H]1CCN(C(=O)c2cccn2CC[NH+]2CCOCC2)C1 ZINC000912986037 713139257 /nfs/dbraw/zinc/13/92/57/713139257.db2.gz QOYJNEOWYDRXLQ-MRXNPFEDSA-N 1 2 315.417 1.306 20 30 DDEDLO CN(C)c1nc(C(F)(F)F)ccc1C=[NH+]N1CCC[C@H]1CO ZINC000901252890 709985299 /nfs/dbraw/zinc/98/52/99/709985299.db2.gz QWEHTAPLLAYBPM-NSHDSACASA-N 1 2 316.327 1.957 20 30 DDEDLO CN(C)c1ccc(C(=O)[O-])cc1C=[NH+]NCCCn1cc[nH+]c1 ZINC000901260951 709988126 /nfs/dbraw/zinc/98/81/26/709988126.db2.gz ADGBYFJPHLYTLN-UHFFFAOYSA-N 1 2 315.377 1.661 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(C)ccc2C)C1 ZINC000891606120 710246705 /nfs/dbraw/zinc/24/67/05/710246705.db2.gz MCEOHPDIAPFMJM-HNNXBMFYSA-N 1 2 313.401 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cc(C)ccc2C)C1 ZINC000891606120 710246707 /nfs/dbraw/zinc/24/67/07/710246707.db2.gz MCEOHPDIAPFMJM-HNNXBMFYSA-N 1 2 313.401 1.456 20 30 DDEDLO C=CCCC[C@H](NC(=O)c1cccc2[nH+]ccn21)C(=O)OC ZINC000928315692 713173717 /nfs/dbraw/zinc/17/37/17/713173717.db2.gz CRSQMXOFNXCTDC-LBPRGKRZSA-N 1 2 301.346 1.962 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H](C#N)[C@H](Nc2cc[nH+]cc2CO)C1 ZINC000893168865 710565678 /nfs/dbraw/zinc/56/56/78/710565678.db2.gz BIMRCLNRYJYYHP-SMDDNHRTSA-N 1 2 318.377 1.167 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CN2C(=O)[C@@H]3C[C@]3(c3ccccc3)C2=O)C1 ZINC000902310443 710710827 /nfs/dbraw/zinc/71/08/27/710710827.db2.gz CRVNXEBSDGTBPQ-URLQWDBASA-N 1 2 323.396 1.896 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CN2C(=O)[C@@H]3C[C@]3(c3ccccc3)C2=O)C1 ZINC000902310443 710710831 /nfs/dbraw/zinc/71/08/31/710710831.db2.gz CRVNXEBSDGTBPQ-URLQWDBASA-N 1 2 323.396 1.896 20 30 DDEDLO CCn1c[nH+]c2c1CCN(Cn1cc3c(c(C#N)c1=O)CCC3)C2 ZINC000902551969 710791879 /nfs/dbraw/zinc/79/18/79/710791879.db2.gz ZDMLAZQGOVMVGC-UHFFFAOYSA-N 1 2 323.400 1.441 20 30 DDEDLO Cc1ccc(C(=O)N2CC[NH2+][C@H](c3cnn(C)c3)C2)cc1C#N ZINC000913459891 713225592 /nfs/dbraw/zinc/22/55/92/713225592.db2.gz CFRLAASNWAFQEU-INIZCTEOSA-N 1 2 309.373 1.387 20 30 DDEDLO Cn1cc([C@H]2CN(C(=O)c3cc(C#N)ccc3F)CC[NH2+]2)cn1 ZINC000913460239 713225781 /nfs/dbraw/zinc/22/57/81/713225781.db2.gz NEAZMTRREOCKEK-OAHLLOKOSA-N 1 2 313.336 1.218 20 30 DDEDLO Cn1c(C[NH2+][C@H]2CCCN(O)C2=O)nc2cc(Cl)ccc21 ZINC000895163765 711438436 /nfs/dbraw/zinc/43/84/36/711438436.db2.gz YDBVXSOEOAUUJL-JTQLQIEISA-N 1 2 308.769 1.697 20 30 DDEDLO C=C(C)C[C@H]([NH2+]C1CCN(CC(=O)OC)CC1)C(=O)OCC ZINC000895598350 711553993 /nfs/dbraw/zinc/55/39/93/711553993.db2.gz RPPBCGMRXZAQNT-AWEZNQCLSA-N 1 2 312.410 1.111 20 30 DDEDLO C=C(C)C[C@H](NC1CC[NH+](CC(=O)OC)CC1)C(=O)OCC ZINC000895598350 711553995 /nfs/dbraw/zinc/55/39/95/711553995.db2.gz RPPBCGMRXZAQNT-AWEZNQCLSA-N 1 2 312.410 1.111 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)Nc1c(C#N)cccc1[N+](=O)[O-] ZINC000895801150 711609584 /nfs/dbraw/zinc/60/95/84/711609584.db2.gz LGIZYWDIUXURHP-ZDUSSCGKSA-N 1 2 304.350 1.989 20 30 DDEDLO C[C@H](NC(=O)c1ccc(CC#N)cc1)[C@H]1CN(C)CC[N@@H+]1C ZINC000896551835 711749118 /nfs/dbraw/zinc/74/91/18/711749118.db2.gz HMPYANDRIARCQB-XJKSGUPXSA-N 1 2 300.406 1.117 20 30 DDEDLO C[C@H](NC(=O)c1ccc(CC#N)cc1)[C@H]1CN(C)CC[N@H+]1C ZINC000896551835 711749119 /nfs/dbraw/zinc/74/91/19/711749119.db2.gz HMPYANDRIARCQB-XJKSGUPXSA-N 1 2 300.406 1.117 20 30 DDEDLO Cc1cc(C#N)cc(C)c1C(=O)N(C)[C@H](C)C[NH+]1CCOCC1 ZINC000904946663 711905562 /nfs/dbraw/zinc/90/55/62/711905562.db2.gz HIVZPYHOAKWMSW-OAHLLOKOSA-N 1 2 315.417 1.968 20 30 DDEDLO C#CCNC(=O)C1CCN(C(=O)c2c(C)cc(C)[nH+]c2C)CC1 ZINC000906463065 712332277 /nfs/dbraw/zinc/33/22/77/712332277.db2.gz VIXYLBOLDLQPEC-UHFFFAOYSA-N 1 2 313.401 1.608 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000906490366 712338894 /nfs/dbraw/zinc/33/88/94/712338894.db2.gz YDDMDUMOZWDDKM-OAHLLOKOSA-N 1 2 315.417 1.555 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000906490366 712338895 /nfs/dbraw/zinc/33/88/95/712338895.db2.gz YDDMDUMOZWDDKM-OAHLLOKOSA-N 1 2 315.417 1.555 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)C(F)(F)c1ccccc1C#N ZINC000907306846 712539151 /nfs/dbraw/zinc/53/91/51/712539151.db2.gz HNMZNCONJHIZIO-UHFFFAOYSA-N 1 2 304.300 1.742 20 30 DDEDLO C#C[C@@H](NC(=O)c1cccn1CC[NH+]1CCOCC1)C(C)(C)C ZINC000908225809 712714051 /nfs/dbraw/zinc/71/40/51/712714051.db2.gz XNDVWDUSABERIO-MRXNPFEDSA-N 1 2 317.433 1.598 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000920417511 713668123 /nfs/dbraw/zinc/66/81/23/713668123.db2.gz HQDMEOCORJTNDE-DHDCSXOGSA-N 1 2 304.415 1.628 20 30 DDEDLO COCc1nc2n(n1)CCC[C@@H]2[NH2+]Cc1csc(C#N)c1 ZINC000921844120 713883234 /nfs/dbraw/zinc/88/32/34/713883234.db2.gz PJJJASUMUUSJPT-LBPRGKRZSA-N 1 2 303.391 1.982 20 30 DDEDLO Cc1nsc(NC(=O)N(C)[C@H](C)C[NH+]2CCOCC2)c1C#N ZINC000931343004 714072881 /nfs/dbraw/zinc/07/28/81/714072881.db2.gz NDOPLHJPBINIBS-SNVBAGLBSA-N 1 2 323.422 1.508 20 30 DDEDLO C#Cc1ccc(CNC(=O)N[C@@H](CC)C[NH+]2CCOCC2)cc1 ZINC000922666295 714113995 /nfs/dbraw/zinc/11/39/95/714113995.db2.gz SKJQDMIJTDVAKI-KRWDZBQOSA-N 1 2 315.417 1.578 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CC[C@@H](c2noc(C)n2)C1 ZINC000931945903 714217873 /nfs/dbraw/zinc/21/78/73/714217873.db2.gz HKSXDUCRHNROAB-WCQYABFASA-N 1 2 305.382 1.176 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@@H](c2noc(C)n2)C1 ZINC000931945903 714217876 /nfs/dbraw/zinc/21/78/76/714217876.db2.gz HKSXDUCRHNROAB-WCQYABFASA-N 1 2 305.382 1.176 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(CNC(C)=O)s2)C1 ZINC000923586172 714418020 /nfs/dbraw/zinc/41/80/20/714418020.db2.gz ZALPKXLYOXJPES-CYBMUJFWSA-N 1 2 319.430 1.212 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(CNC(C)=O)s2)C1 ZINC000923586172 714418022 /nfs/dbraw/zinc/41/80/22/714418022.db2.gz ZALPKXLYOXJPES-CYBMUJFWSA-N 1 2 319.430 1.212 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)N1CCc2c([nH+]cn2CC)C1 ZINC000933262706 714549118 /nfs/dbraw/zinc/54/91/18/714549118.db2.gz HQFDYVAHJJPNEZ-CQSZACIVSA-N 1 2 302.422 1.850 20 30 DDEDLO CN(C(=O)C[N@H+](C)Cc1cccc(=O)[nH]1)C1(C#N)CCCCC1 ZINC000933394887 714581343 /nfs/dbraw/zinc/58/13/43/714581343.db2.gz APTJZOVCMAWJCY-UHFFFAOYSA-N 1 2 316.405 1.904 20 30 DDEDLO CN(C(=O)C[N@@H+](C)Cc1cccc(=O)[nH]1)C1(C#N)CCCCC1 ZINC000933394887 714581346 /nfs/dbraw/zinc/58/13/46/714581346.db2.gz APTJZOVCMAWJCY-UHFFFAOYSA-N 1 2 316.405 1.904 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](CCO[C@@H]3CCOC3)CCO2)cc1 ZINC000933630157 714634494 /nfs/dbraw/zinc/63/44/94/714634494.db2.gz GDCHNABJGKODJD-SJORKVTESA-N 1 2 302.374 1.737 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](CCO[C@@H]3CCOC3)CCO2)cc1 ZINC000933630157 714634496 /nfs/dbraw/zinc/63/44/96/714634496.db2.gz GDCHNABJGKODJD-SJORKVTESA-N 1 2 302.374 1.737 20 30 DDEDLO C[C@](C#N)(NC(=O)C[NH+]1CCC2(CC1)CC(=O)C=CO2)C1CC1 ZINC000933653386 714639584 /nfs/dbraw/zinc/63/95/84/714639584.db2.gz DXLPVOHSYQQWMK-MRXNPFEDSA-N 1 2 317.389 1.133 20 30 DDEDLO C=CC[C@H]([NH2+][C@@H](C)C1(C(=O)OCC)CCOCC1)C(=O)OC ZINC000933876942 714690132 /nfs/dbraw/zinc/69/01/32/714690132.db2.gz PFHVUNNXJSRBDM-STQMWFEESA-N 1 2 313.394 1.442 20 30 DDEDLO C=CCOCC[N@H+]1CCN(C(=O)OC(C)(C)C)C[C@H]1COC ZINC000934851606 714916676 /nfs/dbraw/zinc/91/66/76/714916676.db2.gz CTDUXSGWBKGJHM-AWEZNQCLSA-N 1 2 314.426 1.757 20 30 DDEDLO C=CCOCC[N@@H+]1CCN(C(=O)OC(C)(C)C)C[C@H]1COC ZINC000934851606 714916679 /nfs/dbraw/zinc/91/66/79/714916679.db2.gz CTDUXSGWBKGJHM-AWEZNQCLSA-N 1 2 314.426 1.757 20 30 DDEDLO C=C1CCC(CNC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CC1 ZINC000926545786 715085148 /nfs/dbraw/zinc/08/51/48/715085148.db2.gz NHLUHNVXYOVZIL-IYBDPMFKSA-N 1 2 307.438 1.895 20 30 DDEDLO C=C1CCC(CNC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CC1 ZINC000926545786 715085150 /nfs/dbraw/zinc/08/51/50/715085150.db2.gz NHLUHNVXYOVZIL-IYBDPMFKSA-N 1 2 307.438 1.895 20 30 DDEDLO CC[C@@H]([NH2+][C@H]1CCc2c(nnn2C)C1)c1cccc(C#N)c1O ZINC000926668666 715110000 /nfs/dbraw/zinc/11/00/00/715110000.db2.gz PUKAXERHHNUOLS-GXTWGEPZSA-N 1 2 311.389 1.991 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000935912115 715137331 /nfs/dbraw/zinc/13/73/31/715137331.db2.gz QLWOKQRWJLAQKV-KBPBESRZSA-N 1 2 305.422 1.760 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(CNC(C)=O)o2)C1 ZINC000956960461 715682864 /nfs/dbraw/zinc/68/28/64/715682864.db2.gz CRKSNNMZTZMRAQ-UHFFFAOYSA-N 1 2 317.389 1.085 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(C)nc2C(C)(C)C)C1 ZINC000956962900 715683232 /nfs/dbraw/zinc/68/32/32/715683232.db2.gz MFQIRVPKTIPBLA-UHFFFAOYSA-N 1 2 316.449 1.887 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@@H]2CCO[C@@H](C(C)C)C2)CC1 ZINC000957102394 715746899 /nfs/dbraw/zinc/74/68/99/715746899.db2.gz CLOJBLPVWUSGHW-IAGOWNOFSA-N 1 2 306.450 1.995 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2c[nH]nc2-c2cnn(C)c2)C1 ZINC000957115698 715755467 /nfs/dbraw/zinc/75/54/67/715755467.db2.gz NPKSBGNNJPCQOO-UHFFFAOYSA-N 1 2 314.393 1.143 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCc2nc(C(C)(C)C)no2)CC1 ZINC000957633270 715977384 /nfs/dbraw/zinc/97/73/84/715977384.db2.gz GNWBYDDRLKTNNG-UHFFFAOYSA-N 1 2 306.410 1.630 20 30 DDEDLO N#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000960275988 716477128 /nfs/dbraw/zinc/47/71/28/716477128.db2.gz LMZFPTDSASSMIL-KCYZZUKISA-N 1 2 321.384 1.409 20 30 DDEDLO N#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000960275988 716477131 /nfs/dbraw/zinc/47/71/31/716477131.db2.gz LMZFPTDSASSMIL-KCYZZUKISA-N 1 2 321.384 1.409 20 30 DDEDLO C[N@@H+](Cc1ncccn1)C[C@H]1CCCN1C(=O)c1ccc(C#N)[nH]1 ZINC000960562079 716611308 /nfs/dbraw/zinc/61/13/08/716611308.db2.gz GJDXQKWMOFHPRT-CQSZACIVSA-N 1 2 324.388 1.413 20 30 DDEDLO C[N@H+](Cc1ncccn1)C[C@H]1CCCN1C(=O)c1ccc(C#N)[nH]1 ZINC000960562079 716611314 /nfs/dbraw/zinc/61/13/14/716611314.db2.gz GJDXQKWMOFHPRT-CQSZACIVSA-N 1 2 324.388 1.413 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccc(F)cc3)CC2)C1 ZINC000941279362 717144890 /nfs/dbraw/zinc/14/48/90/717144890.db2.gz NYJCNNFVIYHZKF-UHFFFAOYSA-N 1 2 315.392 1.291 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C[C@@H]3C=CCC3)CC2)C1 ZINC000941304757 717150807 /nfs/dbraw/zinc/15/08/07/717150807.db2.gz OSPDFTCKUXIKFJ-MRXNPFEDSA-N 1 2 301.434 1.194 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCCC3(C)C)CC2)C1 ZINC000941525387 717193745 /nfs/dbraw/zinc/19/37/45/717193745.db2.gz YWEZYBQVFHIRDO-QGZVFWFLSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@]34C[C@H]3CCCC4)CC2)C1 ZINC000941558357 717209770 /nfs/dbraw/zinc/20/97/70/717209770.db2.gz AUFFKSGBVBXLGS-CRAIPNDOSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3c(C)cccc3C)CC2)C1 ZINC000941579504 717217491 /nfs/dbraw/zinc/21/74/91/717217491.db2.gz DPVXEKAHHXOGLQ-UHFFFAOYSA-N 1 2 311.429 1.379 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@]3(CC)C(C)C)CC2)C1 ZINC000941623230 717233592 /nfs/dbraw/zinc/23/35/92/717233592.db2.gz ULHVRBFKYQDPDE-PKOBYXMFSA-N 1 2 317.477 1.520 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc4ccccc4o3)CC2)C1 ZINC000941657066 717246243 /nfs/dbraw/zinc/24/62/43/717246243.db2.gz QCGPNSUUJNEQKQ-UHFFFAOYSA-N 1 2 323.396 1.508 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)C2=COCCO2)C1 ZINC000964710289 717387819 /nfs/dbraw/zinc/38/78/19/717387819.db2.gz HPVGCEHSXKJYIX-CMPLNLGQSA-N 1 2 300.786 1.454 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)C2=COCCO2)C1 ZINC000964710289 717387822 /nfs/dbraw/zinc/38/78/22/717387822.db2.gz HPVGCEHSXKJYIX-CMPLNLGQSA-N 1 2 300.786 1.454 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC000965420397 717646053 /nfs/dbraw/zinc/64/60/53/717646053.db2.gz SXPMNKOJXAHDCC-YGRLFVJLSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC000965420397 717646054 /nfs/dbraw/zinc/64/60/54/717646054.db2.gz SXPMNKOJXAHDCC-YGRLFVJLSA-N 1 2 310.829 1.921 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnon3)C[C@@H]2C)cc1 ZINC000942553490 717740623 /nfs/dbraw/zinc/74/06/23/717740623.db2.gz PWFQNCSUXJCSJU-GUYCJALGSA-N 1 2 324.384 1.691 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnon3)C[C@@H]2C)cc1 ZINC000942553490 717740625 /nfs/dbraw/zinc/74/06/25/717740625.db2.gz PWFQNCSUXJCSJU-GUYCJALGSA-N 1 2 324.384 1.691 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccnnc2C)C1 ZINC000967257454 718800541 /nfs/dbraw/zinc/80/05/41/718800541.db2.gz NBSNZHVXEZWMIL-YGRLFVJLSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccnnc2C)C1 ZINC000967257454 718800543 /nfs/dbraw/zinc/80/05/43/718800543.db2.gz NBSNZHVXEZWMIL-YGRLFVJLSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC000967332359 718846890 /nfs/dbraw/zinc/84/68/90/718846890.db2.gz DLLGYCMUSHJKOD-ZWNOBZJWSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC000967332359 718846891 /nfs/dbraw/zinc/84/68/91/718846891.db2.gz DLLGYCMUSHJKOD-ZWNOBZJWSA-N 1 2 324.812 1.678 20 30 DDEDLO C[C@H]1CCN(CC#N)C[C@@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000967498939 718917839 /nfs/dbraw/zinc/91/78/39/718917839.db2.gz QDGQDCSCEWRVHT-YOEHRIQHSA-N 1 2 323.400 1.836 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3ccon3)[C@H](C)C2)c1 ZINC000947710743 719250430 /nfs/dbraw/zinc/25/04/30/719250430.db2.gz PAQJSQURBBBAKY-CJNGLKHVSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3ccon3)[C@H](C)C2)c1 ZINC000947710743 719250432 /nfs/dbraw/zinc/25/04/32/719250432.db2.gz PAQJSQURBBBAKY-CJNGLKHVSA-N 1 2 324.384 1.834 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)C[C@@H]1C ZINC000948025942 719336641 /nfs/dbraw/zinc/33/66/41/719336641.db2.gz PDDRBZJOBKRJIO-JSGCOSHPSA-N 1 2 311.389 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)C[C@@H]1C ZINC000948025942 719336643 /nfs/dbraw/zinc/33/66/43/719336643.db2.gz PDDRBZJOBKRJIO-JSGCOSHPSA-N 1 2 311.389 1.564 20 30 DDEDLO CN(C(=O)[C@H]1CCc2c[nH+]cn2C1)[C@H]1CCCN(CC#N)CC1 ZINC000948565672 719552307 /nfs/dbraw/zinc/55/23/07/719552307.db2.gz HBBBDKZLIGOILJ-GJZGRUSLSA-N 1 2 315.421 1.282 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2C[N@@H+](CC(=C)Cl)CC[C@H]2C)nn1 ZINC000968405540 719564244 /nfs/dbraw/zinc/56/42/44/719564244.db2.gz NMCLCYHRFRCLEY-YPMHNXCESA-N 1 2 323.828 1.657 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2C[N@H+](CC(=C)Cl)CC[C@H]2C)nn1 ZINC000968405540 719564246 /nfs/dbraw/zinc/56/42/46/719564246.db2.gz NMCLCYHRFRCLEY-YPMHNXCESA-N 1 2 323.828 1.657 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc3c(c2)occc3=O)C1 ZINC000968554342 719662447 /nfs/dbraw/zinc/66/24/47/719662447.db2.gz UQQINTJGMRIVGV-BBRMVZONSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc3c(c2)occc3=O)C1 ZINC000968554342 719662449 /nfs/dbraw/zinc/66/24/49/719662449.db2.gz UQQINTJGMRIVGV-BBRMVZONSA-N 1 2 324.380 1.866 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CCc2c(C)nc(=O)[nH]c2C)CC1 ZINC000948751271 719686526 /nfs/dbraw/zinc/68/65/26/719686526.db2.gz MDWGQFVYEPTOKH-UHFFFAOYSA-N 1 2 304.394 1.062 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cc3c(cn2)OCCO3)CC1 ZINC000948808678 719721904 /nfs/dbraw/zinc/72/19/04/719721904.db2.gz HVSWSXUTHMMSOZ-UHFFFAOYSA-N 1 2 303.362 1.187 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NC[C@H]1CCCCCN1CC#N ZINC000969038052 719934079 /nfs/dbraw/zinc/93/40/79/719934079.db2.gz UGZFWLMGFHGRSO-RBSFLKMASA-N 1 2 315.421 1.408 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC3(CC2)C[N@H+](CCF)CCO3)[nH]1 ZINC000949338079 720025559 /nfs/dbraw/zinc/02/55/59/720025559.db2.gz GSBPDGRRTSWHRI-UHFFFAOYSA-N 1 2 320.368 1.163 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCC3(CC2)C[N@@H+](CCF)CCO3)[nH]1 ZINC000949338079 720025562 /nfs/dbraw/zinc/02/55/62/720025562.db2.gz GSBPDGRRTSWHRI-UHFFFAOYSA-N 1 2 320.368 1.163 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC000969830676 720314535 /nfs/dbraw/zinc/31/45/35/720314535.db2.gz QLVZGTQCNORFLD-MLGOLLRUSA-N 1 2 322.409 1.509 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)[C@@H]2COCCN2C2CCCC2)CC1 ZINC000949820970 720363999 /nfs/dbraw/zinc/36/39/99/720363999.db2.gz GQRVNGGWAKIDDR-KRWDZBQOSA-N 1 2 321.465 1.350 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)[C@H]2C[C@H](C)Cc3cn[nH]c32)CC1 ZINC000949837611 720374251 /nfs/dbraw/zinc/37/42/51/720374251.db2.gz QVDQNBUCZDUDLU-HIFRSBDPSA-N 1 2 300.406 1.243 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnn(CCOC)c2C)C1 ZINC000950085306 720483885 /nfs/dbraw/zinc/48/38/85/720483885.db2.gz GITJASWISMJWBU-UHFFFAOYSA-N 1 2 320.437 1.560 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnc3n2CCC3)C1 ZINC000969867750 720541154 /nfs/dbraw/zinc/54/11/54/720541154.db2.gz FZHZMMPPDCYKMP-LLVKDONJSA-N 1 2 308.813 1.632 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC000969985545 720586228 /nfs/dbraw/zinc/58/62/28/720586228.db2.gz RHPMNVFFQUOJAN-NWDGAFQWSA-N 1 2 313.829 1.044 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CC(=O)N(C(C)(C)C)C2)C1 ZINC000950458033 720641822 /nfs/dbraw/zinc/64/18/22/720641822.db2.gz MWWOHEWOFKPKOP-ZDUSSCGKSA-N 1 2 307.438 1.352 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CNC(=O)c3ccccc32)C1 ZINC000950522529 720669314 /nfs/dbraw/zinc/66/93/14/720669314.db2.gz MRNMWSGQEMRFBN-MRXNPFEDSA-N 1 2 313.401 1.232 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2ccc(-n3cncn3)cc2)C1 ZINC000950555678 720681673 /nfs/dbraw/zinc/68/16/73/720681673.db2.gz GJTCFVWPNNJCDF-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cccnc2OC)C1 ZINC000970360608 720728095 /nfs/dbraw/zinc/72/80/95/720728095.db2.gz AMFJCBRZYRPDQD-LLVKDONJSA-N 1 2 309.797 1.893 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+]Cc3ncc(CC)o3)C2)cn1 ZINC000970520515 720795591 /nfs/dbraw/zinc/79/55/91/720795591.db2.gz ZOEIQQFMULQOPK-OAHLLOKOSA-N 1 2 324.384 1.618 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC000970815604 720945851 /nfs/dbraw/zinc/94/58/51/720945851.db2.gz GOPSWJSKCQLFOR-AAEUAGOBSA-N 1 2 313.829 1.186 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(F)cccc2NC(C)=O)CC1 ZINC000951187816 720949466 /nfs/dbraw/zinc/94/94/66/720949466.db2.gz XMKJEIUZNNIPQN-UHFFFAOYSA-N 1 2 317.364 1.565 20 30 DDEDLO C=CC[NH+]1CC([C@H](C)NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC000970868458 720964383 /nfs/dbraw/zinc/96/43/83/720964383.db2.gz KWOPHQAPKQKAFG-LBPRGKRZSA-N 1 2 302.422 1.915 20 30 DDEDLO C=C1CCC(C(=O)N(CC)C2C[NH+](CCn3cncn3)C2)CC1 ZINC000951619995 721102434 /nfs/dbraw/zinc/10/24/34/721102434.db2.gz QDKSJWNFWZFUSU-UHFFFAOYSA-N 1 2 317.437 1.557 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccnc(OCC)c2)C1 ZINC000951715825 721150957 /nfs/dbraw/zinc/15/09/57/721150957.db2.gz IPPVJTHNCJKYKE-UHFFFAOYSA-N 1 2 301.390 1.650 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)c2cccc3n[nH]nc32)C1 ZINC000971597133 721373736 /nfs/dbraw/zinc/37/37/36/721373736.db2.gz NOJWIPRJHGXMLC-NSHDSACASA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)c2cccc3n[nH]nc32)C1 ZINC000971597133 721373740 /nfs/dbraw/zinc/37/37/40/721373740.db2.gz NOJWIPRJHGXMLC-NSHDSACASA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)c2cccc3n[nH]nc32)C1 ZINC000971597132 721373795 /nfs/dbraw/zinc/37/37/95/721373795.db2.gz NOJWIPRJHGXMLC-LLVKDONJSA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)c2cccc3n[nH]nc32)C1 ZINC000971597132 721373802 /nfs/dbraw/zinc/37/38/02/721373802.db2.gz NOJWIPRJHGXMLC-LLVKDONJSA-N 1 2 319.796 1.857 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3cscn3)C2)C1 ZINC000972585819 735295067 /nfs/dbraw/zinc/29/50/67/735295067.db2.gz QFPVYMGXWOSSBG-INIZCTEOSA-N 1 2 319.430 1.012 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3cscn3)C2)C1 ZINC000972585819 735295069 /nfs/dbraw/zinc/29/50/69/735295069.db2.gz QFPVYMGXWOSSBG-INIZCTEOSA-N 1 2 319.430 1.012 20 30 DDEDLO Cn1c(=O)[nH]c(NCCCCC[NH+]2CCCCC2)c(C#N)c1=O ZINC001120896135 782216168 /nfs/dbraw/zinc/21/61/68/782216168.db2.gz JRQTWSAXCTUOTQ-UHFFFAOYSA-N 1 2 319.409 1.013 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C[C@@H](O)CN(C)c2cc[nH+]c(C)n2)C1 ZINC001125284881 732366620 /nfs/dbraw/zinc/36/66/20/732366620.db2.gz HRQIBQHVHTVKQX-AWEZNQCLSA-N 1 2 318.421 1.397 20 30 DDEDLO N#Cc1ccc2cc[nH+]c(N3CCN4C(=O)COC[C@H]4C3)c2c1 ZINC001167227979 732843412 /nfs/dbraw/zinc/84/34/12/732843412.db2.gz GEPHLRKMISWPQB-CQSZACIVSA-N 1 2 308.341 1.154 20 30 DDEDLO Cc1nc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)co1 ZINC001038196797 733239581 /nfs/dbraw/zinc/23/95/81/733239581.db2.gz FCGILUBUGNEZLY-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1nc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)co1 ZINC001038196797 733239583 /nfs/dbraw/zinc/23/95/83/733239583.db2.gz FCGILUBUGNEZLY-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@H+](Cc3cnon3)CC[C@H]21 ZINC001021582251 733340421 /nfs/dbraw/zinc/34/04/21/733340421.db2.gz VHFCWLCEQSIIBY-GOEBONIOSA-N 1 2 314.389 1.296 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@H]2C[N@@H+](Cc3cnon3)CC[C@H]21 ZINC001021582251 733340422 /nfs/dbraw/zinc/34/04/22/733340422.db2.gz VHFCWLCEQSIIBY-GOEBONIOSA-N 1 2 314.389 1.296 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)no1 ZINC001027833957 738710931 /nfs/dbraw/zinc/71/09/31/738710931.db2.gz WQHMQTJAUCNQLU-HNNXBMFYSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)no1 ZINC001027833957 738710935 /nfs/dbraw/zinc/71/09/35/738710935.db2.gz WQHMQTJAUCNQLU-HNNXBMFYSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C)no1 ZINC001111490041 734478794 /nfs/dbraw/zinc/47/87/94/734478794.db2.gz RNGZWQSBZUOZIM-XOUADPBQSA-N 1 2 319.405 1.796 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C)no1 ZINC001111490041 734478795 /nfs/dbraw/zinc/47/87/95/734478795.db2.gz RNGZWQSBZUOZIM-XOUADPBQSA-N 1 2 319.405 1.796 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4nc(C)[nH]c43)[C@H]2C1 ZINC001083241124 734696843 /nfs/dbraw/zinc/69/68/43/734696843.db2.gz OZFUYZCTWHNMQW-JKSUJKDBSA-N 1 2 324.384 1.030 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4nc(C)[nH]c43)[C@H]2C1 ZINC001083241124 734696846 /nfs/dbraw/zinc/69/68/46/734696846.db2.gz OZFUYZCTWHNMQW-JKSUJKDBSA-N 1 2 324.384 1.030 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3CCCCO3)C2)C1 ZINC000972334309 734795186 /nfs/dbraw/zinc/79/51/86/734795186.db2.gz WQHBXIFFOMFISA-AEFFLSMTSA-N 1 2 320.433 1.272 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3CCCCO3)C2)C1 ZINC000972334309 734795190 /nfs/dbraw/zinc/79/51/90/734795190.db2.gz WQHBXIFFOMFISA-AEFFLSMTSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)cc3C)[C@H]2C1 ZINC001083253417 734892267 /nfs/dbraw/zinc/89/22/67/734892267.db2.gz NNRFWGXZORAQGB-JKSUJKDBSA-N 1 2 302.349 1.293 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(F)cc3C)[C@H]2C1 ZINC001083253417 734892270 /nfs/dbraw/zinc/89/22/70/734892270.db2.gz NNRFWGXZORAQGB-JKSUJKDBSA-N 1 2 302.349 1.293 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H](NC(=O)c3occc3C)C2)C1=O ZINC001010222319 738883796 /nfs/dbraw/zinc/88/37/96/738883796.db2.gz SKBYVVIDGYOHQQ-KGLIPLIRSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H](NC(=O)c3occc3C)C2)C1=O ZINC001010222319 738883799 /nfs/dbraw/zinc/88/37/99/738883799.db2.gz SKBYVVIDGYOHQQ-KGLIPLIRSA-N 1 2 317.389 1.179 20 30 DDEDLO C#CCCCC(=O)NC[C@@H](CO)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC001121372041 782477867 /nfs/dbraw/zinc/47/78/67/782477867.db2.gz SVCPKWRQAVRZLN-AWEZNQCLSA-N 1 2 318.421 1.601 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NC[C@H]3CCCCN3CC#N)ccn12 ZINC001024440522 735829753 /nfs/dbraw/zinc/82/97/53/735829753.db2.gz QOSQQFXSJPCJKN-OAHLLOKOSA-N 1 2 311.389 1.751 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H]1CNC(=O)c1sc2nccn2c1C ZINC001024484900 735861462 /nfs/dbraw/zinc/86/14/62/735861462.db2.gz BRORPNAQTVCZGW-ZDUSSCGKSA-N 1 2 316.430 1.922 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1sc2nccn2c1C ZINC001024484900 735861468 /nfs/dbraw/zinc/86/14/68/735861468.db2.gz BRORPNAQTVCZGW-ZDUSSCGKSA-N 1 2 316.430 1.922 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cnc[nH]c1=O ZINC001024499017 735877737 /nfs/dbraw/zinc/87/77/37/735877737.db2.gz DCVZSWPFSFCNGE-NSHDSACASA-N 1 2 310.785 1.519 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCN(C)C1=O ZINC001024605540 735975156 /nfs/dbraw/zinc/97/51/56/735975156.db2.gz XOMGNSGVQOXVQK-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCN(C)C1=O ZINC001024605540 735975159 /nfs/dbraw/zinc/97/51/59/735975159.db2.gz XOMGNSGVQOXVQK-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccn(C2CCCC2)n1 ZINC001038309405 736000474 /nfs/dbraw/zinc/00/04/74/736000474.db2.gz HDPFOQCXOCOLLY-HNNXBMFYSA-N 1 2 300.406 1.826 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccn(C2CCCC2)n1 ZINC001038309405 736000477 /nfs/dbraw/zinc/00/04/77/736000477.db2.gz HDPFOQCXOCOLLY-HNNXBMFYSA-N 1 2 300.406 1.826 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cnc(OC)nc1 ZINC001024740525 736058295 /nfs/dbraw/zinc/05/82/95/736058295.db2.gz XMQQQGCGLFJBKU-ZDUSSCGKSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cnc(OC)nc1 ZINC001024740525 736058298 /nfs/dbraw/zinc/05/82/98/736058298.db2.gz XMQQQGCGLFJBKU-ZDUSSCGKSA-N 1 2 324.812 1.822 20 30 DDEDLO N#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001025237210 736384879 /nfs/dbraw/zinc/38/48/79/736384879.db2.gz KFTSKROSFRFUMY-JKSUJKDBSA-N 1 2 315.421 1.711 20 30 DDEDLO N#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001025237210 736384883 /nfs/dbraw/zinc/38/48/83/736384883.db2.gz KFTSKROSFRFUMY-JKSUJKDBSA-N 1 2 315.421 1.711 20 30 DDEDLO C=CC[N@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001025268011 736399328 /nfs/dbraw/zinc/39/93/28/736399328.db2.gz UOWWWSANEDUXLX-CABCVRRESA-N 1 2 318.421 1.771 20 30 DDEDLO C=CC[N@@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001025268011 736399330 /nfs/dbraw/zinc/39/93/30/736399330.db2.gz UOWWWSANEDUXLX-CABCVRRESA-N 1 2 318.421 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001028049225 738966663 /nfs/dbraw/zinc/96/66/63/738966663.db2.gz FPADVWWLAJMBCH-QWHCGFSZSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001028049225 738966666 /nfs/dbraw/zinc/96/66/66/738966666.db2.gz FPADVWWLAJMBCH-QWHCGFSZSA-N 1 2 313.829 1.236 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@H](C)C1 ZINC001105038247 737475600 /nfs/dbraw/zinc/47/56/00/737475600.db2.gz KMOSSWAPQYHVEP-OCCSQVGLSA-N 1 2 304.394 1.637 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)C(F)=C3CCCC3)C2)nn1 ZINC001105211189 737618047 /nfs/dbraw/zinc/61/80/47/737618047.db2.gz JPTYNOCHJGIFMZ-UHFFFAOYSA-N 1 2 317.368 1.182 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)on1 ZINC001038049964 737712024 /nfs/dbraw/zinc/71/20/24/737712024.db2.gz HGTRRPFSGNKJAH-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccc(C#N)cc2)on1 ZINC001038049964 737712026 /nfs/dbraw/zinc/71/20/26/737712026.db2.gz HGTRRPFSGNKJAH-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)C(C)(C)C1 ZINC001008654245 737922889 /nfs/dbraw/zinc/92/28/89/737922889.db2.gz BIJARKBFDPFSKZ-ZDUSSCGKSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001008731841 738004091 /nfs/dbraw/zinc/00/40/91/738004091.db2.gz SCCZKIMLTJISPR-CQSZACIVSA-N 1 2 323.824 1.490 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001008731841 738004095 /nfs/dbraw/zinc/00/40/95/738004095.db2.gz SCCZKIMLTJISPR-CQSZACIVSA-N 1 2 323.824 1.490 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027335814 738208323 /nfs/dbraw/zinc/20/83/23/738208323.db2.gz KJFTUDHLIUCKPA-ZYHUDNBSSA-N 1 2 314.227 1.977 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027335814 738208324 /nfs/dbraw/zinc/20/83/24/738208324.db2.gz KJFTUDHLIUCKPA-ZYHUDNBSSA-N 1 2 314.227 1.977 20 30 DDEDLO CC(C)n1cc(C[N@H+](C)[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)nn1 ZINC001027337214 738209957 /nfs/dbraw/zinc/20/99/57/738209957.db2.gz ZZKIGOSVUQWMCV-ZFWWWQNUSA-N 1 2 318.425 1.441 20 30 DDEDLO CC(C)n1cc(C[N@@H+](C)[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)nn1 ZINC001027337214 738209960 /nfs/dbraw/zinc/20/99/60/738209960.db2.gz ZZKIGOSVUQWMCV-ZFWWWQNUSA-N 1 2 318.425 1.441 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+][C@H](C)c1nnc(C)o1 ZINC001149129323 738221757 /nfs/dbraw/zinc/22/17/57/738221757.db2.gz AFLWIXVKPUECIP-GFCCVEGCSA-N 1 2 312.373 1.369 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)CC(CC)CC)C2)nn1 ZINC001105354542 738989190 /nfs/dbraw/zinc/98/91/90/738989190.db2.gz NULGLBOMFLNOLV-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO N#CCN1CCC[C@@H]1CNC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC001028239802 739213696 /nfs/dbraw/zinc/21/36/96/739213696.db2.gz XMPIJVGHBFIDSM-MRXNPFEDSA-N 1 2 309.373 1.590 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCc2n[nH]nc2C1 ZINC001028261452 739248265 /nfs/dbraw/zinc/24/82/65/739248265.db2.gz YWLOZVRSLJYLRS-RYUDHWBXSA-N 1 2 323.828 1.243 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc3occc3[nH]2)C1 ZINC001035384621 751454163 /nfs/dbraw/zinc/45/41/63/751454163.db2.gz LSULICIKZOTCOX-GFCCVEGCSA-N 1 2 303.362 1.768 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc3occc3[nH]2)C1 ZINC001035384621 751454164 /nfs/dbraw/zinc/45/41/64/751454164.db2.gz LSULICIKZOTCOX-GFCCVEGCSA-N 1 2 303.362 1.768 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c(Cl)cnn2CC)C1 ZINC001035386824 751457054 /nfs/dbraw/zinc/45/70/54/751457054.db2.gz JGGABIFWEIMQAL-LLVKDONJSA-N 1 2 312.801 1.173 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c(Cl)cnn2CC)C1 ZINC001035386824 751457057 /nfs/dbraw/zinc/45/70/57/751457057.db2.gz JGGABIFWEIMQAL-LLVKDONJSA-N 1 2 312.801 1.173 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001126385662 739682249 /nfs/dbraw/zinc/68/22/49/739682249.db2.gz XNEOSTIWAXGLIN-BDJLRTHQSA-N 1 2 324.425 1.461 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(F)cccc2OC)C1 ZINC001035377949 751474287 /nfs/dbraw/zinc/47/42/87/751474287.db2.gz KUFBXSJIIDCNKN-ZDUSSCGKSA-N 1 2 320.364 1.288 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(F)cccc2OC)C1 ZINC001035377949 751474291 /nfs/dbraw/zinc/47/42/91/751474291.db2.gz KUFBXSJIIDCNKN-ZDUSSCGKSA-N 1 2 320.364 1.288 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2cccc(OC)n2)C1 ZINC001137536694 739819663 /nfs/dbraw/zinc/81/96/63/739819663.db2.gz SRZMTOKOHPPRJA-AWEZNQCLSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2cccc(OC)n2)C1 ZINC001137536694 739819667 /nfs/dbraw/zinc/81/96/67/739819667.db2.gz SRZMTOKOHPPRJA-AWEZNQCLSA-N 1 2 305.378 1.967 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2n[nH]c3c2CCCC3)C1 ZINC001035428384 751486506 /nfs/dbraw/zinc/48/65/06/751486506.db2.gz ZEBJXMQNIMTTLR-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2n[nH]c3c2CCCC3)C1 ZINC001035428384 751486509 /nfs/dbraw/zinc/48/65/09/751486509.db2.gz ZEBJXMQNIMTTLR-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)c(F)cc2F)C1 ZINC001035413904 751495942 /nfs/dbraw/zinc/49/59/42/751495942.db2.gz FBBRHGCJSHBLNY-GFCCVEGCSA-N 1 2 310.344 1.890 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)c(F)cc2F)C1 ZINC001035413904 751495946 /nfs/dbraw/zinc/49/59/46/751495946.db2.gz FBBRHGCJSHBLNY-GFCCVEGCSA-N 1 2 310.344 1.890 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001035418170 751499659 /nfs/dbraw/zinc/49/96/59/751499659.db2.gz WJZJQYLSFKXWSP-AWEZNQCLSA-N 1 2 312.373 1.017 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001035418170 751499664 /nfs/dbraw/zinc/49/96/64/751499664.db2.gz WJZJQYLSFKXWSP-AWEZNQCLSA-N 1 2 312.373 1.017 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(COC)o2)C1 ZINC001035440397 751517543 /nfs/dbraw/zinc/51/75/43/751517543.db2.gz UTNQZKZTOQUCHZ-CQSZACIVSA-N 1 2 308.378 1.433 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(COC)o2)C1 ZINC001035440397 751517546 /nfs/dbraw/zinc/51/75/46/751517546.db2.gz UTNQZKZTOQUCHZ-CQSZACIVSA-N 1 2 308.378 1.433 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2onc3c2CCCC3)C1 ZINC001035444418 751520682 /nfs/dbraw/zinc/52/06/82/751520682.db2.gz RECTWBUGRAMAAN-ZDUSSCGKSA-N 1 2 317.389 1.007 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2onc3c2CCCC3)C1 ZINC001035444418 751520686 /nfs/dbraw/zinc/52/06/86/751520686.db2.gz RECTWBUGRAMAAN-ZDUSSCGKSA-N 1 2 317.389 1.007 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ncoc1C(F)(F)F ZINC001038432419 740431141 /nfs/dbraw/zinc/43/11/41/740431141.db2.gz VOJVCIDDJMDWIC-SECBINFHSA-N 1 2 301.268 1.521 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ncoc1C(F)(F)F ZINC001038432419 740431142 /nfs/dbraw/zinc/43/11/42/740431142.db2.gz VOJVCIDDJMDWIC-SECBINFHSA-N 1 2 301.268 1.521 20 30 DDEDLO CC1(C)C[C@@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001083412458 740493503 /nfs/dbraw/zinc/49/35/03/740493503.db2.gz HMRXTNRPOIJTFN-MCIONIFRSA-N 1 2 319.430 1.327 20 30 DDEDLO CC1(C)C[C@@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001083412458 740493504 /nfs/dbraw/zinc/49/35/04/740493504.db2.gz HMRXTNRPOIJTFN-MCIONIFRSA-N 1 2 319.430 1.327 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129185752 751562350 /nfs/dbraw/zinc/56/23/50/751562350.db2.gz USRGOPXYQMTIJQ-XHSDSOJGSA-N 1 2 315.421 1.245 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](CC#CC)C[C@@H]1n1ccnn1 ZINC001129185752 751562353 /nfs/dbraw/zinc/56/23/53/751562353.db2.gz USRGOPXYQMTIJQ-XHSDSOJGSA-N 1 2 315.421 1.245 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCCC(F)(F)C2)C1 ZINC001035506804 751564096 /nfs/dbraw/zinc/56/40/96/751564096.db2.gz XNYXHPYZJOENAD-QWHCGFSZSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCCC(F)(F)C2)C1 ZINC001035506804 751564099 /nfs/dbraw/zinc/56/40/99/751564099.db2.gz XNYXHPYZJOENAD-QWHCGFSZSA-N 1 2 302.365 1.815 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cnn(C)c1 ZINC001032605664 751566360 /nfs/dbraw/zinc/56/63/60/751566360.db2.gz FNLNJWKSNKKPCO-YQQAZPJKSA-N 1 2 302.422 1.808 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cnn(C)c1 ZINC001032605664 751566365 /nfs/dbraw/zinc/56/63/65/751566365.db2.gz FNLNJWKSNKKPCO-YQQAZPJKSA-N 1 2 302.422 1.808 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001035491938 751574830 /nfs/dbraw/zinc/57/48/30/751574830.db2.gz ZIPLCJBTRVZKPN-CQSZACIVSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001035491938 751574834 /nfs/dbraw/zinc/57/48/34/751574834.db2.gz ZIPLCJBTRVZKPN-CQSZACIVSA-N 1 2 300.362 1.180 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](NC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)C2)nc1 ZINC001059454548 740948926 /nfs/dbraw/zinc/94/89/26/740948926.db2.gz XBOABLMBHRASED-AAVRWANBSA-N 1 2 322.372 1.539 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](NC(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)C2)nc1 ZINC001059454548 740948928 /nfs/dbraw/zinc/94/89/28/740948928.db2.gz XBOABLMBHRASED-AAVRWANBSA-N 1 2 322.372 1.539 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C)c(F)c2F)C1 ZINC001035520583 751596706 /nfs/dbraw/zinc/59/67/06/751596706.db2.gz SFLQGLQBCUVASA-ZDUSSCGKSA-N 1 2 322.355 1.727 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C)c(F)c2F)C1 ZINC001035520583 751596711 /nfs/dbraw/zinc/59/67/11/751596711.db2.gz SFLQGLQBCUVASA-ZDUSSCGKSA-N 1 2 322.355 1.727 20 30 DDEDLO COC(=O)[C@H](Cc1ccc(C#N)cc1)[NH2+][C@@H]1CCO[C@@H](C)C1 ZINC001168102911 741447327 /nfs/dbraw/zinc/44/73/27/741447327.db2.gz HGRMIVVNUQZMFS-MAZHCROVSA-N 1 2 302.374 1.799 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc4n(n3)CCO4)[C@@H]2C1 ZINC001075888489 741753852 /nfs/dbraw/zinc/75/38/52/741753852.db2.gz MACVYAAJWGTTGQ-WCQYABFASA-N 1 2 322.796 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc4n(n3)CCO4)[C@@H]2C1 ZINC001075888489 741753854 /nfs/dbraw/zinc/75/38/54/741753854.db2.gz MACVYAAJWGTTGQ-WCQYABFASA-N 1 2 322.796 1.174 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2C[N@H+](CC=C(C)C)CCO2)cn1 ZINC001035581165 751672494 /nfs/dbraw/zinc/67/24/94/751672494.db2.gz HNKUJLHXEPLVBE-KRWDZBQOSA-N 1 2 313.401 1.460 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2C[N@@H+](CC=C(C)C)CCO2)cn1 ZINC001035581165 751672498 /nfs/dbraw/zinc/67/24/98/751672498.db2.gz HNKUJLHXEPLVBE-KRWDZBQOSA-N 1 2 313.401 1.460 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cnc([C@@H](C)OC)s2)[C@H]1C ZINC001088568948 741844260 /nfs/dbraw/zinc/84/42/60/741844260.db2.gz AILOMBGRBMYDEM-UTUOFQBUSA-N 1 2 307.419 1.676 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cnc([C@@H](C)OC)s2)[C@H]1C ZINC001088568948 741844262 /nfs/dbraw/zinc/84/42/62/741844262.db2.gz AILOMBGRBMYDEM-UTUOFQBUSA-N 1 2 307.419 1.676 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2oc(CC)cc2C)C1 ZINC001035582065 751673576 /nfs/dbraw/zinc/67/35/76/751673576.db2.gz VLGKCWWLTHVKQE-HNNXBMFYSA-N 1 2 304.390 1.604 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2oc(CC)cc2C)C1 ZINC001035582065 751673583 /nfs/dbraw/zinc/67/35/83/751673583.db2.gz VLGKCWWLTHVKQE-HNNXBMFYSA-N 1 2 304.390 1.604 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)no1 ZINC001038024136 751684269 /nfs/dbraw/zinc/68/42/69/751684269.db2.gz CTULZEYPJWSXBF-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)no1 ZINC001038024136 751684272 /nfs/dbraw/zinc/68/42/72/751684272.db2.gz CTULZEYPJWSXBF-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)[C@H]1C ZINC001088790726 742371969 /nfs/dbraw/zinc/37/19/69/742371969.db2.gz WUZWTEWYRJVXJR-CJNGLKHVSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)[C@H]1C ZINC001088790726 742371971 /nfs/dbraw/zinc/37/19/71/742371971.db2.gz WUZWTEWYRJVXJR-CJNGLKHVSA-N 1 2 323.400 1.688 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076319840 742662917 /nfs/dbraw/zinc/66/29/17/742662917.db2.gz LLLCFCPWYGZDIP-ZDUSSCGKSA-N 1 2 306.410 1.710 20 30 DDEDLO O=C(CC1CCC1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076839821 743030452 /nfs/dbraw/zinc/03/04/52/743030452.db2.gz IYIFAOVNRKHNCZ-QZTJIDSGSA-N 1 2 312.413 1.390 20 30 DDEDLO O=C(CC1CCC1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001076839821 743030462 /nfs/dbraw/zinc/03/04/62/743030462.db2.gz IYIFAOVNRKHNCZ-QZTJIDSGSA-N 1 2 312.413 1.390 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH]c[nH+]1 ZINC001077015784 743179733 /nfs/dbraw/zinc/17/97/33/743179733.db2.gz LONDGWSSUYCCCG-SUMWQHHRSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CCNC(=O)CCc1c[nH+]c[nH]1 ZINC001077015784 743179737 /nfs/dbraw/zinc/17/97/37/743179737.db2.gz LONDGWSSUYCCCG-SUMWQHHRSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2nncs2)C1 ZINC001181469010 743207171 /nfs/dbraw/zinc/20/71/71/743207171.db2.gz HSLPUNCKOBNAKI-VXGBXAGGSA-N 1 2 310.423 1.210 20 30 DDEDLO CC#CC[NH2+]C[C@]1(C)CN(C(=O)C(F)C(F)(F)F)C[C@H]1C ZINC001181563902 743263376 /nfs/dbraw/zinc/26/33/76/743263376.db2.gz HOIOPTDZXKNIRS-NQBHXWOUSA-N 1 2 308.319 1.984 20 30 DDEDLO CC#CC[NH2+]C[C@]1(C)CN(C(=O)[C@@H](F)C(F)(F)F)C[C@H]1C ZINC001181563902 743263382 /nfs/dbraw/zinc/26/33/82/743263382.db2.gz HOIOPTDZXKNIRS-NQBHXWOUSA-N 1 2 308.319 1.984 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(F)cc2F)C1 ZINC001077207680 743320524 /nfs/dbraw/zinc/32/05/24/743320524.db2.gz PVSFLTDEUVOSQY-HUUCEWRRSA-N 1 2 308.328 1.071 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(F)cc2F)C1 ZINC001077207680 743320530 /nfs/dbraw/zinc/32/05/30/743320530.db2.gz PVSFLTDEUVOSQY-HUUCEWRRSA-N 1 2 308.328 1.071 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC001105694930 743485692 /nfs/dbraw/zinc/48/56/92/743485692.db2.gz AKBKEIOZNUSYBD-AWEZNQCLSA-N 1 2 321.421 1.040 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC001127052465 743677859 /nfs/dbraw/zinc/67/78/59/743677859.db2.gz YBNKCSFDLBWFBF-RYUDHWBXSA-N 1 2 322.409 1.172 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnc(C(F)(F)F)nc1 ZINC001038383733 743690094 /nfs/dbraw/zinc/69/00/94/743690094.db2.gz UXVOLIFYZVQFQA-NSHDSACASA-N 1 2 312.295 1.323 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnc(C(F)(F)F)nc1 ZINC001038383733 743690096 /nfs/dbraw/zinc/69/00/96/743690096.db2.gz UXVOLIFYZVQFQA-NSHDSACASA-N 1 2 312.295 1.323 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)[C@H]1[C@@H]3COC[C@@H]31)CC2 ZINC001035772989 751888814 /nfs/dbraw/zinc/88/88/14/751888814.db2.gz NMZPFZAMKPAAQV-WDNDVIMCSA-N 1 2 310.825 1.556 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@]2(C3CCCC3)CCNC2=O)C1 ZINC001030467457 744180876 /nfs/dbraw/zinc/18/08/76/744180876.db2.gz PYILBEWZEDBYMQ-KRWDZBQOSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCOc1ccc(C(=O)NC2C[NH+]([C@H](C)COC)C2)cc1 ZINC001030541583 744251336 /nfs/dbraw/zinc/25/13/36/744251336.db2.gz SRZCHSQTBZFCGT-CYBMUJFWSA-N 1 2 304.390 1.700 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)C3CCCC3)C2)nn1 ZINC001185835209 744293191 /nfs/dbraw/zinc/29/31/91/744293191.db2.gz WJZXNRTWRQEGJW-MRXNPFEDSA-N 1 2 315.421 1.355 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](CNC(C)=O)c2ccccc2)CC1 ZINC001185946150 744311704 /nfs/dbraw/zinc/31/17/04/744311704.db2.gz FIWIXBUFZTVLKC-KRWDZBQOSA-N 1 2 315.417 1.237 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccsc3[nH]2)C1 ZINC001077480017 744367646 /nfs/dbraw/zinc/36/76/46/744367646.db2.gz GNTBLRQKKVRBCJ-CHWSQXEVSA-N 1 2 303.387 1.028 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccsc3[nH]2)C1 ZINC001077480017 744367648 /nfs/dbraw/zinc/36/76/48/744367648.db2.gz GNTBLRQKKVRBCJ-CHWSQXEVSA-N 1 2 303.387 1.028 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001110383675 744428341 /nfs/dbraw/zinc/42/83/41/744428341.db2.gz SPVVBPMEDWHJSL-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO N#Cc1cccnc1NC1(CNC(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001110383675 744428342 /nfs/dbraw/zinc/42/83/42/744428342.db2.gz SPVVBPMEDWHJSL-UHFFFAOYSA-N 1 2 310.361 1.370 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)CC3CCCCC3)CC2)C1 ZINC001045999631 744577711 /nfs/dbraw/zinc/57/77/11/744577711.db2.gz JZUPMVIUIXKMHG-QGZVFWFLSA-N 1 2 318.465 1.699 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)nn1C ZINC001187840547 744616388 /nfs/dbraw/zinc/61/63/88/744616388.db2.gz HASDYQQHQKQPEC-DOTOQJQBSA-N 1 2 314.433 1.421 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)nn1C ZINC001187840547 744616391 /nfs/dbraw/zinc/61/63/91/744616391.db2.gz HASDYQQHQKQPEC-DOTOQJQBSA-N 1 2 314.433 1.421 20 30 DDEDLO CC(C)C[C@@H](C(=O)N1CC[C@H]2CN(CC#N)C[C@H]21)n1cc[nH+]c1 ZINC001188286577 744687236 /nfs/dbraw/zinc/68/72/36/744687236.db2.gz WOGPZWHXTSSAKI-HRCADAONSA-N 1 2 315.421 1.527 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@H+](Cc3ccc(C#N)s3)C[C@H]2O)CCC1 ZINC001077519320 744872877 /nfs/dbraw/zinc/87/28/77/744872877.db2.gz OAUIZGKFZOJZTH-ZIAGYGMSSA-N 1 2 319.430 1.471 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccc(C#N)s3)C[C@H]2O)CCC1 ZINC001077519320 744872879 /nfs/dbraw/zinc/87/28/79/744872879.db2.gz OAUIZGKFZOJZTH-ZIAGYGMSSA-N 1 2 319.430 1.471 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001189365254 744886863 /nfs/dbraw/zinc/88/68/63/744886863.db2.gz WZGJOQBCTLDNNM-INIZCTEOSA-N 1 2 318.421 1.065 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([N@@H+](C)Cc2cc3n(n2)CCC3)C1 ZINC001189365254 744886866 /nfs/dbraw/zinc/88/68/66/744886866.db2.gz WZGJOQBCTLDNNM-INIZCTEOSA-N 1 2 318.421 1.065 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1C[NH+](Cc2ccc(CO)cc2)C1 ZINC001030977494 745009451 /nfs/dbraw/zinc/00/94/51/745009451.db2.gz NTCWFDLAITUWCA-SJORKVTESA-N 1 2 316.401 1.070 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001189919246 745035682 /nfs/dbraw/zinc/03/56/82/745035682.db2.gz ZTWWTZDFLVESGU-YOEHRIQHSA-N 1 2 321.421 1.651 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)c(C)o2)C1 ZINC001189919246 745035689 /nfs/dbraw/zinc/03/56/89/745035689.db2.gz ZTWWTZDFLVESGU-YOEHRIQHSA-N 1 2 321.421 1.651 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001190093878 745096808 /nfs/dbraw/zinc/09/68/08/745096808.db2.gz DDTBKPXSGCLTFZ-DZGCQCFKSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001190093878 745096815 /nfs/dbraw/zinc/09/68/15/745096815.db2.gz DDTBKPXSGCLTFZ-DZGCQCFKSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001190115111 745119879 /nfs/dbraw/zinc/11/98/79/745119879.db2.gz XARLYPUMEGSZTJ-JSGCOSHPSA-N 1 2 320.393 1.012 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2nnc(C)o2)C1 ZINC001190115111 745119884 /nfs/dbraw/zinc/11/98/84/745119884.db2.gz XARLYPUMEGSZTJ-JSGCOSHPSA-N 1 2 320.393 1.012 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1C[C@@H]2CCC[C@@H](NCC#N)[C@@H]2C1 ZINC000992867189 745251921 /nfs/dbraw/zinc/25/19/21/745251921.db2.gz AGKCBEGSHUNPOT-NUEKZKHPSA-N 1 2 315.421 1.093 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CCC[N@H+](Cc3cncs3)C2)c1 ZINC001007032278 751991746 /nfs/dbraw/zinc/99/17/46/751991746.db2.gz ZYZKEEISGITRGP-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CCC[N@@H+](Cc3cncs3)C2)c1 ZINC001007032278 751991753 /nfs/dbraw/zinc/99/17/53/751991753.db2.gz ZYZKEEISGITRGP-GFCCVEGCSA-N 1 2 315.402 1.737 20 30 DDEDLO CC/C=C(/C)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001191481495 745518737 /nfs/dbraw/zinc/51/87/37/745518737.db2.gz ALINJEHMCSFUGZ-ORBBHVSDSA-N 1 2 313.401 1.576 20 30 DDEDLO CC/C=C(/C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001191481495 745518741 /nfs/dbraw/zinc/51/87/41/745518741.db2.gz ALINJEHMCSFUGZ-ORBBHVSDSA-N 1 2 313.401 1.576 20 30 DDEDLO Cc1ccc(C#N)c(NCC[C@H](C)NC(=O)Cn2cc[nH+]c2)n1 ZINC001106361599 745630214 /nfs/dbraw/zinc/63/02/14/745630214.db2.gz CAYQIXOVSFMRPA-ZDUSSCGKSA-N 1 2 312.377 1.465 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)CCNc2cc[nH+]c(C)n2)nc1 ZINC001106400291 745662127 /nfs/dbraw/zinc/66/21/27/745662127.db2.gz AYVIGBOSQILPOI-LBPRGKRZSA-N 1 2 309.373 1.782 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1O ZINC001192504167 745799836 /nfs/dbraw/zinc/79/98/36/745799836.db2.gz XBVLBHVRTYVDKG-HZPDHXFCSA-N 1 2 304.365 1.291 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1O ZINC001192504167 745799840 /nfs/dbraw/zinc/79/98/40/745799840.db2.gz XBVLBHVRTYVDKG-HZPDHXFCSA-N 1 2 304.365 1.291 20 30 DDEDLO CC/C(C)=C\C(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001192709521 745875986 /nfs/dbraw/zinc/87/59/86/745875986.db2.gz LXFHMNSIBCLAAG-QIXZXHIJSA-N 1 2 313.401 1.576 20 30 DDEDLO CC/C(C)=C\C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001192709521 745875991 /nfs/dbraw/zinc/87/59/91/745875991.db2.gz LXFHMNSIBCLAAG-QIXZXHIJSA-N 1 2 313.401 1.576 20 30 DDEDLO Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)C#CC(C)C)C2)cc1F ZINC001192762226 745882834 /nfs/dbraw/zinc/88/28/34/745882834.db2.gz SJEQBDZMUAGICS-IAGOWNOFSA-N 1 2 318.392 1.455 20 30 DDEDLO Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)C#CC(C)C)C2)cc1F ZINC001192762226 745882838 /nfs/dbraw/zinc/88/28/38/745882838.db2.gz SJEQBDZMUAGICS-IAGOWNOFSA-N 1 2 318.392 1.455 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@H]1O ZINC001192770619 745886301 /nfs/dbraw/zinc/88/63/01/745886301.db2.gz NZYOADODXVVIEK-HZPDHXFCSA-N 1 2 318.804 1.415 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@H]1O ZINC001192770619 745886307 /nfs/dbraw/zinc/88/63/07/745886307.db2.gz NZYOADODXVVIEK-HZPDHXFCSA-N 1 2 318.804 1.415 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2ccn(C)n2)[C@@H]1C ZINC000993321655 745987633 /nfs/dbraw/zinc/98/76/33/745987633.db2.gz ZMGVLTHGQVXXHM-GXTWGEPZSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2ccn(C)n2)[C@@H]1C ZINC000993321655 745987634 /nfs/dbraw/zinc/98/76/34/745987634.db2.gz ZMGVLTHGQVXXHM-GXTWGEPZSA-N 1 2 310.829 1.684 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@H]1O ZINC001193337453 746068087 /nfs/dbraw/zinc/06/80/87/746068087.db2.gz PQOMKJSMZDDXBD-NWANDNLSSA-N 1 2 318.421 1.301 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@H]1O ZINC001193337453 746068091 /nfs/dbraw/zinc/06/80/91/746068091.db2.gz PQOMKJSMZDDXBD-NWANDNLSSA-N 1 2 318.421 1.301 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@H+](C/C=C/Cl)C[C@H]1O ZINC001193312775 746074872 /nfs/dbraw/zinc/07/48/72/746074872.db2.gz MYARNXZWZAQRCK-XKFHPXPTSA-N 1 2 307.221 1.679 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](C/C=C/Cl)C[C@H]1O ZINC001193312775 746074879 /nfs/dbraw/zinc/07/48/79/746074879.db2.gz MYARNXZWZAQRCK-XKFHPXPTSA-N 1 2 307.221 1.679 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)COCCCCCCCC)C1 ZINC001193827484 746209673 /nfs/dbraw/zinc/20/96/73/746209673.db2.gz AAKKMZBRPVERAQ-HZPDHXFCSA-N 1 2 310.438 1.158 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)COCCCCCCCC)C1 ZINC001193827484 746209674 /nfs/dbraw/zinc/20/96/74/746209674.db2.gz AAKKMZBRPVERAQ-HZPDHXFCSA-N 1 2 310.438 1.158 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(Cc3ccccc3)CCC2)C1 ZINC001193835087 746210909 /nfs/dbraw/zinc/21/09/09/746210909.db2.gz NNHWOXXISZIZRO-IAGOWNOFSA-N 1 2 312.413 1.194 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(Cc3ccccc3)CCC2)C1 ZINC001193835087 746210910 /nfs/dbraw/zinc/21/09/10/746210910.db2.gz NNHWOXXISZIZRO-IAGOWNOFSA-N 1 2 312.413 1.194 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)cn1 ZINC001007154736 746270341 /nfs/dbraw/zinc/27/03/41/746270341.db2.gz HLUGIQFBKZHNFC-HNNXBMFYSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1ncc(C[N@H+]2CCC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)cn1 ZINC001007154736 746270344 /nfs/dbraw/zinc/27/03/44/746270344.db2.gz HLUGIQFBKZHNFC-HNNXBMFYSA-N 1 2 324.388 1.379 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@@H]1C ZINC000993771080 746320290 /nfs/dbraw/zinc/32/02/90/746320290.db2.gz GDEMBVCVARKAMT-QWRGUYRKSA-N 1 2 312.801 1.461 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@@H]1C ZINC000993771080 746320297 /nfs/dbraw/zinc/32/02/97/746320297.db2.gz GDEMBVCVARKAMT-QWRGUYRKSA-N 1 2 312.801 1.461 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ccc(C(N)=O)s2)[C@H]1C ZINC000993975920 746407562 /nfs/dbraw/zinc/40/75/62/746407562.db2.gz SGUIOEZYJMRUSC-MNOVXSKESA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(N)=O)s2)[C@H]1C ZINC000993975920 746407565 /nfs/dbraw/zinc/40/75/65/746407565.db2.gz SGUIOEZYJMRUSC-MNOVXSKESA-N 1 2 305.403 1.063 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cnc(C)o2)CC1 ZINC001195173837 746544460 /nfs/dbraw/zinc/54/44/60/746544460.db2.gz DJFKJNOFOMHXJR-AWEZNQCLSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cnc(C)o2)CC1 ZINC001195173837 746544463 /nfs/dbraw/zinc/54/44/63/746544463.db2.gz DJFKJNOFOMHXJR-AWEZNQCLSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2cncc(F)c2)CC1 ZINC001195314623 746575087 /nfs/dbraw/zinc/57/50/87/746575087.db2.gz PKDIFMLIAICIQN-UHFFFAOYSA-N 1 2 307.369 1.458 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2cncc(F)c2)CC1 ZINC001195314623 746575088 /nfs/dbraw/zinc/57/50/88/746575088.db2.gz PKDIFMLIAICIQN-UHFFFAOYSA-N 1 2 307.369 1.458 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ncccc2C)CC1 ZINC001195445067 746602798 /nfs/dbraw/zinc/60/27/98/746602798.db2.gz ZGCVCZALPMOWAJ-UHFFFAOYSA-N 1 2 301.390 1.188 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ncccc2C)CC1 ZINC001195445067 746602801 /nfs/dbraw/zinc/60/28/01/746602801.db2.gz ZGCVCZALPMOWAJ-UHFFFAOYSA-N 1 2 301.390 1.188 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2c(C)coc2C)CC1 ZINC001195702680 746682056 /nfs/dbraw/zinc/68/20/56/746682056.db2.gz HIEZIPHJVVFZPQ-UHFFFAOYSA-N 1 2 319.405 1.347 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2c(C)coc2C)CC1 ZINC001195702680 746682059 /nfs/dbraw/zinc/68/20/59/746682059.db2.gz HIEZIPHJVVFZPQ-UHFFFAOYSA-N 1 2 319.405 1.347 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754451 746687396 /nfs/dbraw/zinc/68/73/96/746687396.db2.gz NVBASFGNBGVWRY-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754451 746687399 /nfs/dbraw/zinc/68/73/99/746687399.db2.gz NVBASFGNBGVWRY-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](CNC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001060687917 746688356 /nfs/dbraw/zinc/68/83/56/746688356.db2.gz NTTGOYNYVGXWJY-HDJSIYSDSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cccnc3)C[C@H]2O)CC1 ZINC001195896828 746724559 /nfs/dbraw/zinc/72/45/59/746724559.db2.gz BALDHKBDQVWPOQ-HZPDHXFCSA-N 1 2 315.417 1.489 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3cccnc3)C[C@H]2O)CC1 ZINC001195896828 746724561 /nfs/dbraw/zinc/72/45/61/746724561.db2.gz BALDHKBDQVWPOQ-HZPDHXFCSA-N 1 2 315.417 1.489 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@]2(C)CC=CCC2)CC1 ZINC001195934637 746740623 /nfs/dbraw/zinc/74/06/23/746740623.db2.gz DKNDHKZBEHASFL-GOSISDBHSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@]2(C)CC=CCC2)CC1 ZINC001195934637 746740627 /nfs/dbraw/zinc/74/06/27/746740627.db2.gz DKNDHKZBEHASFL-GOSISDBHSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001196587286 746914320 /nfs/dbraw/zinc/91/43/20/746914320.db2.gz YKBSCFJWSFNINV-IIDMSEBBSA-N 1 2 319.449 1.402 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001196587286 746914323 /nfs/dbraw/zinc/91/43/23/746914323.db2.gz YKBSCFJWSFNINV-IIDMSEBBSA-N 1 2 319.449 1.402 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CCC)CC2CCCC2)C1 ZINC001196616531 746916537 /nfs/dbraw/zinc/91/65/37/746916537.db2.gz JLHZWYUZUPEQLJ-GVDBMIGSSA-N 1 2 306.450 1.778 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](CCC)CC2CCCC2)C1 ZINC001196616531 746916541 /nfs/dbraw/zinc/91/65/41/746916541.db2.gz JLHZWYUZUPEQLJ-GVDBMIGSSA-N 1 2 306.450 1.778 20 30 DDEDLO O=C(C#CC1CC1)N1CC(CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001003029465 746919817 /nfs/dbraw/zinc/91/98/17/746919817.db2.gz FNPLLZUMFPXQLL-UHFFFAOYSA-N 1 2 322.368 1.165 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2Cc3cc(F)ccc3O2)C1 ZINC001043988025 746946169 /nfs/dbraw/zinc/94/61/69/746946169.db2.gz KELUCQIGLAPRHL-MRXNPFEDSA-N 1 2 304.365 1.848 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)c2ccco2)CC1 ZINC001197133953 747062069 /nfs/dbraw/zinc/06/20/69/747062069.db2.gz JFSQZTSLIAOCOK-AWEZNQCLSA-N 1 2 319.405 1.220 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)c2ccco2)CC1 ZINC001197133953 747062078 /nfs/dbraw/zinc/06/20/78/747062078.db2.gz JFSQZTSLIAOCOK-AWEZNQCLSA-N 1 2 319.405 1.220 20 30 DDEDLO CC(F)(F)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001089996573 747109998 /nfs/dbraw/zinc/10/99/98/747109998.db2.gz HVZBSHJQDOJMFW-KBPBESRZSA-N 1 2 323.343 1.265 20 30 DDEDLO CC(F)(F)C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001089996573 747110003 /nfs/dbraw/zinc/11/00/03/747110003.db2.gz HVZBSHJQDOJMFW-KBPBESRZSA-N 1 2 323.343 1.265 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2ccncc2F)C1 ZINC001031591626 747123132 /nfs/dbraw/zinc/12/31/32/747123132.db2.gz SFXJIYJJEILILS-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2C[C@@H]2C(C)C)CC1 ZINC001197629498 747209546 /nfs/dbraw/zinc/20/95/46/747209546.db2.gz IOZMXNAIYFFQBR-HUUCEWRRSA-N 1 2 307.438 1.115 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2C[C@@H]2C(C)C)CC1 ZINC001197629498 747209551 /nfs/dbraw/zinc/20/95/51/747209551.db2.gz IOZMXNAIYFFQBR-HUUCEWRRSA-N 1 2 307.438 1.115 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)[C@@H]1CC1(F)F ZINC001031655294 747323498 /nfs/dbraw/zinc/32/34/98/747323498.db2.gz AXSBOWJQRMSIEA-HNNXBMFYSA-N 1 2 322.330 1.880 20 30 DDEDLO N#Cc1cc(C[NH+]2CC(CNC(=O)[C@H]3CC3(F)F)C2)ccc1F ZINC001031655932 747324290 /nfs/dbraw/zinc/32/42/90/747324290.db2.gz PNJBAASDQROCKU-CYBMUJFWSA-N 1 2 323.318 1.901 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@@H+](Cc2cnc(C)o2)CC1 ZINC001198330251 747453743 /nfs/dbraw/zinc/45/37/43/747453743.db2.gz HUCYGWDAUNHEGM-UHFFFAOYSA-N 1 2 307.394 1.610 20 30 DDEDLO C=CCCOCC(=O)N1CCC[N@H+](Cc2cnc(C)o2)CC1 ZINC001198330251 747453745 /nfs/dbraw/zinc/45/37/45/747453745.db2.gz HUCYGWDAUNHEGM-UHFFFAOYSA-N 1 2 307.394 1.610 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)c2cnon2)CC1 ZINC001003817459 747554192 /nfs/dbraw/zinc/55/41/92/747554192.db2.gz JRVUTBVMJSJUNX-UHFFFAOYSA-N 1 2 315.171 1.172 20 30 DDEDLO CCOc1nnc(C[NH2+][C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)s1 ZINC000998748276 752198890 /nfs/dbraw/zinc/19/88/90/752198890.db2.gz VXNNJZIINSXGAX-GHMZBOCLSA-N 1 2 323.422 1.177 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+]Cc1ncc(CC)o1 ZINC001127605224 747726257 /nfs/dbraw/zinc/72/62/57/747726257.db2.gz VGUBCSFMSVTVGB-KGLIPLIRSA-N 1 2 307.394 1.424 20 30 DDEDLO CN(C(=O)c1cn(C)cn1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033008273 747891007 /nfs/dbraw/zinc/89/10/07/747891007.db2.gz GRHICSKXDCKSBZ-INIZCTEOSA-N 1 2 323.400 1.638 20 30 DDEDLO CN(C(=O)c1cn(C)cn1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033008273 747891014 /nfs/dbraw/zinc/89/10/14/747891014.db2.gz GRHICSKXDCKSBZ-INIZCTEOSA-N 1 2 323.400 1.638 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2c(C)nn(C)c2F)CC1 ZINC001004064523 747961932 /nfs/dbraw/zinc/96/19/32/747961932.db2.gz LNMCOSBDIYECSY-UHFFFAOYSA-N 1 2 314.792 1.814 20 30 DDEDLO C#CCC[NH+]1CC(CNC(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC001031856871 748035415 /nfs/dbraw/zinc/03/54/15/748035415.db2.gz KWGCRZNRBLAFRT-BLLLJJGKSA-N 1 2 300.406 1.147 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3cc(F)ccc3o2)[C@@H](O)C1 ZINC001083824064 748092009 /nfs/dbraw/zinc/09/20/09/748092009.db2.gz BYWDJFMVDIAKHP-OLZOCXBDSA-N 1 2 304.321 1.533 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3cc(F)ccc3o2)[C@@H](O)C1 ZINC001083824064 748092015 /nfs/dbraw/zinc/09/20/15/748092015.db2.gz BYWDJFMVDIAKHP-OLZOCXBDSA-N 1 2 304.321 1.533 20 30 DDEDLO Cc1n[nH]cc1C(=O)NCC1C[NH+](CC#Cc2ccccc2)C1 ZINC001031888318 748126332 /nfs/dbraw/zinc/12/63/32/748126332.db2.gz DAZFPPZPAVSXHA-UHFFFAOYSA-N 1 2 308.385 1.431 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnc(N(C)C)cn2)C1 ZINC001033077634 748209121 /nfs/dbraw/zinc/20/91/21/748209121.db2.gz FSRBTQHRQQKWIS-LBPRGKRZSA-N 1 2 323.828 1.441 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnc(N(C)C)cn2)C1 ZINC001033077634 748209130 /nfs/dbraw/zinc/20/91/30/748209130.db2.gz FSRBTQHRQQKWIS-LBPRGKRZSA-N 1 2 323.828 1.441 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccc(C)n2)[C@H](O)C1 ZINC001090091440 748209451 /nfs/dbraw/zinc/20/94/51/748209451.db2.gz LHMVEWUCBIUFCO-TZMCWYRMSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccc(C)n2)[C@H](O)C1 ZINC001090091440 748209458 /nfs/dbraw/zinc/20/94/58/748209458.db2.gz LHMVEWUCBIUFCO-TZMCWYRMSA-N 1 2 309.797 1.308 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001033081235 748215490 /nfs/dbraw/zinc/21/54/90/748215490.db2.gz DYUMCRKDAAIWIJ-NEPJUHHUSA-N 1 2 307.419 1.630 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2cnc([C@@H](C)OC)s2)C1 ZINC001033081235 748215494 /nfs/dbraw/zinc/21/54/94/748215494.db2.gz DYUMCRKDAAIWIJ-NEPJUHHUSA-N 1 2 307.419 1.630 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1C[NH+](Cc2ccns2)C1 ZINC001031988440 748365534 /nfs/dbraw/zinc/36/55/34/748365534.db2.gz XKSPFMSWYHSWIU-KGLIPLIRSA-N 1 2 307.419 1.282 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001007671502 752267169 /nfs/dbraw/zinc/26/71/69/752267169.db2.gz YJLIQTXMSIVNOT-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001007671502 752267172 /nfs/dbraw/zinc/26/71/72/752267172.db2.gz YJLIQTXMSIVNOT-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO COc1cc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)on1 ZINC001004405710 748404781 /nfs/dbraw/zinc/40/47/81/748404781.db2.gz HOWVVAGZTCTDJN-ZDUSSCGKSA-N 1 2 318.377 1.523 20 30 DDEDLO COc1cc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)on1 ZINC001004405710 748404785 /nfs/dbraw/zinc/40/47/85/748404785.db2.gz HOWVVAGZTCTDJN-ZDUSSCGKSA-N 1 2 318.377 1.523 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001004407830 748405788 /nfs/dbraw/zinc/40/57/88/748405788.db2.gz QYLBVUYJRQLDQA-DZGCQCFKSA-N 1 2 319.405 1.166 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001004407830 748405791 /nfs/dbraw/zinc/40/57/91/748405791.db2.gz QYLBVUYJRQLDQA-DZGCQCFKSA-N 1 2 319.405 1.166 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2nc3c(s2)CCC3)C1 ZINC001108073387 748445551 /nfs/dbraw/zinc/44/55/51/748445551.db2.gz JVAFGPSCSYOPRP-INIZCTEOSA-N 1 2 321.446 1.639 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2nc3c(s2)CCC3)C1 ZINC001108073387 748445554 /nfs/dbraw/zinc/44/55/54/748445554.db2.gz JVAFGPSCSYOPRP-INIZCTEOSA-N 1 2 321.446 1.639 20 30 DDEDLO C=CC[N@H+]1CC[C@H](N(C)C(=O)c2cc(-c3ccn(C)c3)[nH]n2)C1 ZINC001033144841 748548249 /nfs/dbraw/zinc/54/82/49/748548249.db2.gz BUEWEOCAKQNTSM-AWEZNQCLSA-N 1 2 313.405 1.748 20 30 DDEDLO C=CC[N@@H+]1CC[C@H](N(C)C(=O)c2cc(-c3ccn(C)c3)[nH]n2)C1 ZINC001033144841 748548255 /nfs/dbraw/zinc/54/82/55/748548255.db2.gz BUEWEOCAKQNTSM-AWEZNQCLSA-N 1 2 313.405 1.748 20 30 DDEDLO Cc1ccccc1-c1nc(C[NH2+]CCNC(=O)[C@H](C)C#N)no1 ZINC001124904134 748630185 /nfs/dbraw/zinc/63/01/85/748630185.db2.gz WXGAVKUKYOJTDR-GFCCVEGCSA-N 1 2 313.361 1.411 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@H]2COCCN2CC)C1 ZINC001007716019 752290245 /nfs/dbraw/zinc/29/02/45/752290245.db2.gz CGCUBNRRSAACJP-UONOGXRCSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2COCCN2CC)C1 ZINC001007716019 752290251 /nfs/dbraw/zinc/29/02/51/752290251.db2.gz CGCUBNRRSAACJP-UONOGXRCSA-N 1 2 315.845 1.040 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1(C)CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110586912 748799197 /nfs/dbraw/zinc/79/91/97/748799197.db2.gz PRVBEKDTIUVHDH-KRWDZBQOSA-N 1 2 318.421 1.587 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C(C)(C)CCOC)C1 ZINC001108317238 761889628 /nfs/dbraw/zinc/88/96/28/761889628.db2.gz HHCSQMMGFYQIOD-QGZVFWFLSA-N 1 2 310.438 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)CCOC)C1 ZINC001108317238 761889637 /nfs/dbraw/zinc/88/96/37/761889637.db2.gz HHCSQMMGFYQIOD-QGZVFWFLSA-N 1 2 310.438 1.280 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001033205129 748916011 /nfs/dbraw/zinc/91/60/11/748916011.db2.gz DVENOTGSZSMETP-KGLIPLIRSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001033205129 748916012 /nfs/dbraw/zinc/91/60/12/748916012.db2.gz DVENOTGSZSMETP-KGLIPLIRSA-N 1 2 322.840 1.731 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N)C(C)(C)C ZINC001110720841 748940222 /nfs/dbraw/zinc/94/02/22/748940222.db2.gz RMDQKGHHTQCGPE-SYQHCUMBSA-N 1 2 320.437 1.030 20 30 DDEDLO C[C@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N)C(C)(C)C ZINC001110720841 748940224 /nfs/dbraw/zinc/94/02/24/748940224.db2.gz RMDQKGHHTQCGPE-SYQHCUMBSA-N 1 2 320.437 1.030 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc(C)s2)[C@@H](O)C1 ZINC001090129569 749092894 /nfs/dbraw/zinc/09/28/94/749092894.db2.gz NOCLQZOXOMOHMC-QWRGUYRKSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc(C)s2)[C@@H](O)C1 ZINC001090129569 749092902 /nfs/dbraw/zinc/09/29/02/749092902.db2.gz NOCLQZOXOMOHMC-QWRGUYRKSA-N 1 2 315.826 1.369 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)C)on2)C1 ZINC001108102748 749158770 /nfs/dbraw/zinc/15/87/70/749158770.db2.gz FPVHBADAGURFBC-MRXNPFEDSA-N 1 2 307.394 1.805 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)C)on2)C1 ZINC001108102748 749158772 /nfs/dbraw/zinc/15/87/72/749158772.db2.gz FPVHBADAGURFBC-MRXNPFEDSA-N 1 2 307.394 1.805 20 30 DDEDLO Cc1nc(N(C)[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001056886424 761951268 /nfs/dbraw/zinc/95/12/68/761951268.db2.gz NTYZVZILWTYVPQ-ZDUSSCGKSA-N 1 2 310.361 1.336 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@]3(C)CC=CCC3)nn2)C1 ZINC001107173734 749490263 /nfs/dbraw/zinc/49/02/63/749490263.db2.gz GYRQLKLQUHIIEI-KRWDZBQOSA-N 1 2 315.421 1.683 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cocn2)c1 ZINC001032312695 749850515 /nfs/dbraw/zinc/85/05/15/749850515.db2.gz VOYJZMFDUPOXEI-GJZGRUSLSA-N 1 2 308.341 1.645 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cocn2)c1 ZINC001032312695 749850522 /nfs/dbraw/zinc/85/05/22/749850522.db2.gz VOYJZMFDUPOXEI-GJZGRUSLSA-N 1 2 308.341 1.645 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001108368267 761986995 /nfs/dbraw/zinc/98/69/95/761986995.db2.gz WZGIAGUGJRZUGC-MAUKXSAKSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001108368267 761986998 /nfs/dbraw/zinc/98/69/98/761986998.db2.gz WZGIAGUGJRZUGC-MAUKXSAKSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](OC)C2CCCC2)C1 ZINC001108369726 761989835 /nfs/dbraw/zinc/98/98/35/761989835.db2.gz YIDWYKWKAOFWMS-NVXWUHKLSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](OC)C2CCCC2)C1 ZINC001108369726 761989837 /nfs/dbraw/zinc/98/98/37/761989837.db2.gz YIDWYKWKAOFWMS-NVXWUHKLSA-N 1 2 310.438 1.585 20 30 DDEDLO Cc1nc(CC[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H](C)C#N)cs1 ZINC001032316796 749922886 /nfs/dbraw/zinc/92/28/86/749922886.db2.gz HEKNADYAWZUCIF-SWHYSGLUSA-N 1 2 304.419 1.439 20 30 DDEDLO Cc1nc(CC[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)[C@H](C)C#N)cs1 ZINC001032316796 749922891 /nfs/dbraw/zinc/92/28/91/749922891.db2.gz HEKNADYAWZUCIF-SWHYSGLUSA-N 1 2 304.419 1.439 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(F)cc2)C1 ZINC001108375027 762006197 /nfs/dbraw/zinc/00/61/97/762006197.db2.gz TVEAMELELJEFFA-KRWDZBQOSA-N 1 2 306.381 1.761 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(F)cc2)C1 ZINC001108375027 762006212 /nfs/dbraw/zinc/00/62/12/762006212.db2.gz TVEAMELELJEFFA-KRWDZBQOSA-N 1 2 306.381 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001033920558 750124109 /nfs/dbraw/zinc/12/41/09/750124109.db2.gz UNDGDBZENUHXGP-NSHDSACASA-N 1 2 312.801 1.415 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001033920558 750124117 /nfs/dbraw/zinc/12/41/17/750124117.db2.gz UNDGDBZENUHXGP-NSHDSACASA-N 1 2 312.801 1.415 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncccc2C)[C@H](O)C1 ZINC001090165493 750150324 /nfs/dbraw/zinc/15/03/24/750150324.db2.gz IJHJZNFXBAIJOM-CHWSQXEVSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncccc2C)[C@H](O)C1 ZINC001090165493 750150329 /nfs/dbraw/zinc/15/03/29/750150329.db2.gz IJHJZNFXBAIJOM-CHWSQXEVSA-N 1 2 309.797 1.308 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C=C)CC)C2 ZINC001110934599 750241707 /nfs/dbraw/zinc/24/17/07/750241707.db2.gz JEUNSUJOJKPRSF-FPCVCCKLSA-N 1 2 317.433 1.060 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C=C)CC)C2 ZINC001110934599 750241712 /nfs/dbraw/zinc/24/17/12/750241712.db2.gz JEUNSUJOJKPRSF-FPCVCCKLSA-N 1 2 317.433 1.060 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2nccc(C)n2)CC1 ZINC001000626909 762020583 /nfs/dbraw/zinc/02/05/83/762020583.db2.gz IUGDNEGEGMQSQT-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2nccc(C)n2)CC1 ZINC001000626909 762020594 /nfs/dbraw/zinc/02/05/94/762020594.db2.gz IUGDNEGEGMQSQT-UHFFFAOYSA-N 1 2 306.797 1.899 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc([C@@H](C)OC)s1 ZINC001032406335 750602411 /nfs/dbraw/zinc/60/24/11/750602411.db2.gz XKYCBJMRVBTGFL-AGIUHOORSA-N 1 2 319.430 1.773 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc([C@@H](C)OC)s1 ZINC001032406335 750602413 /nfs/dbraw/zinc/60/24/13/750602413.db2.gz XKYCBJMRVBTGFL-AGIUHOORSA-N 1 2 319.430 1.773 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H](Nc2cc[nH+]c(C)n2)[C@@H]1C ZINC001090223459 750602752 /nfs/dbraw/zinc/60/27/52/750602752.db2.gz KRSUDKXVNRSDPA-SCRDCRAPSA-N 1 2 304.394 1.777 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(C)nc2)C1 ZINC001108401301 762054483 /nfs/dbraw/zinc/05/44/83/762054483.db2.gz PTAVHAUSORQSKZ-KRWDZBQOSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccc(C)nc2)C1 ZINC001108401301 762054493 /nfs/dbraw/zinc/05/44/93/762054493.db2.gz PTAVHAUSORQSKZ-KRWDZBQOSA-N 1 2 303.406 1.326 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)CCCCC)CC2 ZINC001127943408 750803783 /nfs/dbraw/zinc/80/37/83/750803783.db2.gz CMYTUQXPIUNWIR-AWEZNQCLSA-N 1 2 317.437 1.402 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H](C)CNc1cc[nH+]c(C)n1 ZINC001108152787 750830343 /nfs/dbraw/zinc/83/03/43/750830343.db2.gz PVRNWYILRTVEHE-ZLDLUXBVSA-N 1 2 304.394 1.683 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncn(-c2ccccc2)n1 ZINC001032465765 750893511 /nfs/dbraw/zinc/89/35/11/750893511.db2.gz UIISFQUDVNUUNI-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncn(-c2ccccc2)n1 ZINC001032465765 750893517 /nfs/dbraw/zinc/89/35/17/750893517.db2.gz UIISFQUDVNUUNI-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@H]2CCCN2C(=O)[C@H](C)C#N)o1 ZINC001034885525 750982304 /nfs/dbraw/zinc/98/23/04/750982304.db2.gz VODSWXQVTPJLOR-VXGBXAGGSA-N 1 2 305.382 1.433 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114718832 751020569 /nfs/dbraw/zinc/02/05/69/751020569.db2.gz VUHABBSUPLGHEO-UYHMYPTGSA-N 1 2 316.405 1.679 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114718832 751020578 /nfs/dbraw/zinc/02/05/78/751020578.db2.gz VUHABBSUPLGHEO-UYHMYPTGSA-N 1 2 316.405 1.679 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114725819 751027945 /nfs/dbraw/zinc/02/79/45/751027945.db2.gz BPUKNBZMOLJVBP-FOCJUVANSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114725819 751027952 /nfs/dbraw/zinc/02/79/52/751027952.db2.gz BPUKNBZMOLJVBP-FOCJUVANSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114724910 751030525 /nfs/dbraw/zinc/03/05/25/751030525.db2.gz VLXBEUKCBZZHRX-BCUIYNNISA-N 1 2 318.421 1.781 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114724910 751030531 /nfs/dbraw/zinc/03/05/31/751030531.db2.gz VLXBEUKCBZZHRX-BCUIYNNISA-N 1 2 318.421 1.781 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(-c2cccnc2)n1 ZINC001032498834 751089086 /nfs/dbraw/zinc/08/90/86/751089086.db2.gz LEBKOHMQHVFNIK-KBPBESRZSA-N 1 2 310.357 1.821 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(-c2cccnc2)n1 ZINC001032498834 751089092 /nfs/dbraw/zinc/08/90/92/751089092.db2.gz LEBKOHMQHVFNIK-KBPBESRZSA-N 1 2 310.357 1.821 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COc2ccc(F)cc2C1 ZINC001032518457 751176006 /nfs/dbraw/zinc/17/60/06/751176006.db2.gz YSNFBNDQZVBPIR-BPUTZDHNSA-N 1 2 314.360 1.295 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COc2ccc(F)cc2C1 ZINC001032518457 751176008 /nfs/dbraw/zinc/17/60/08/751176008.db2.gz YSNFBNDQZVBPIR-BPUTZDHNSA-N 1 2 314.360 1.295 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC2(C1)CCN(C(=O)Cc1ncn[nH]1)CC2 ZINC001035248121 751329058 /nfs/dbraw/zinc/32/90/58/751329058.db2.gz YRRLZPSKJQKNSB-UHFFFAOYSA-N 1 2 323.828 1.414 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC2(C1)CCN(C(=O)Cc1ncn[nH]1)CC2 ZINC001035248121 751329065 /nfs/dbraw/zinc/32/90/65/751329065.db2.gz YRRLZPSKJQKNSB-UHFFFAOYSA-N 1 2 323.828 1.414 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)CC2)nc1 ZINC001066794151 751330865 /nfs/dbraw/zinc/33/08/65/751330865.db2.gz PMEQJEQKYPPKCO-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C[C@@H](CC(=O)N1CCC2(CCN(CC#N)C2)CC1)n1cc[nH+]c1 ZINC001035232063 751334229 /nfs/dbraw/zinc/33/42/29/751334229.db2.gz WKWJGFDPQMAOTB-HNNXBMFYSA-N 1 2 315.421 1.672 20 30 DDEDLO Cn1cncc1C(=O)N[C@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001007807919 752366657 /nfs/dbraw/zinc/36/66/57/752366657.db2.gz BOPWMWUZZDGOMV-INIZCTEOSA-N 1 2 323.400 1.686 20 30 DDEDLO Cn1cncc1C(=O)N[C@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001007807919 752366665 /nfs/dbraw/zinc/36/66/65/752366665.db2.gz BOPWMWUZZDGOMV-INIZCTEOSA-N 1 2 323.400 1.686 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2c(C)nn(C)c2C)C1 ZINC001008107086 752553273 /nfs/dbraw/zinc/55/32/73/752553273.db2.gz FWFYDGMTIKGLRZ-CQSZACIVSA-N 1 2 324.856 1.913 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2c(C)nn(C)c2C)C1 ZINC001008107086 752553282 /nfs/dbraw/zinc/55/32/82/752553282.db2.gz FWFYDGMTIKGLRZ-CQSZACIVSA-N 1 2 324.856 1.913 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccnnc2)c1 ZINC001032646834 752582363 /nfs/dbraw/zinc/58/23/63/752582363.db2.gz GSHLJJADYORYRO-IRXDYDNUSA-N 1 2 319.368 1.447 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccnnc2)c1 ZINC001032646834 752582368 /nfs/dbraw/zinc/58/23/68/752582368.db2.gz GSHLJJADYORYRO-IRXDYDNUSA-N 1 2 319.368 1.447 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C(F)(F)F)c1C ZINC001032663849 752648348 /nfs/dbraw/zinc/64/83/48/752648348.db2.gz FVZNTOWEMDERII-UWVGGRQHSA-N 1 2 312.295 1.269 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C(F)(F)F)c1C ZINC001032663849 752648353 /nfs/dbraw/zinc/64/83/53/752648353.db2.gz FVZNTOWEMDERII-UWVGGRQHSA-N 1 2 312.295 1.269 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CCC[N@@H+](Cc3cnoc3C)C2)c1 ZINC001008468764 752748009 /nfs/dbraw/zinc/74/80/09/752748009.db2.gz MSWLCTRYYJCKKI-KRWDZBQOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CCC[N@H+](Cc3cnoc3C)C2)c1 ZINC001008468764 752748012 /nfs/dbraw/zinc/74/80/12/752748012.db2.gz MSWLCTRYYJCKKI-KRWDZBQOSA-N 1 2 324.384 1.754 20 30 DDEDLO CN(C[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1)c1ncccc1C#N ZINC001062138326 752784086 /nfs/dbraw/zinc/78/40/86/752784086.db2.gz BLYRZQCDAUWBIV-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](N(C)c2ccc(C#N)nc2)C1 ZINC001056949453 762165733 /nfs/dbraw/zinc/16/57/33/762165733.db2.gz LSRLQQULTSZKQG-OAHLLOKOSA-N 1 2 324.388 1.265 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2C)[C@@H](O)C1 ZINC001083872411 753233314 /nfs/dbraw/zinc/23/33/14/753233314.db2.gz AXWYWHSXOSSMJV-CABCVRRESA-N 1 2 306.793 1.447 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(Cl)ccc2C)[C@@H](O)C1 ZINC001083872411 753233321 /nfs/dbraw/zinc/23/33/21/753233321.db2.gz AXWYWHSXOSSMJV-CABCVRRESA-N 1 2 306.793 1.447 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001009597783 753268189 /nfs/dbraw/zinc/26/81/89/753268189.db2.gz XAAUITYJFPZSKE-GXTWGEPZSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001009597783 753268197 /nfs/dbraw/zinc/26/81/97/753268197.db2.gz XAAUITYJFPZSKE-GXTWGEPZSA-N 1 2 322.840 1.848 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccccc3)nc2)C1 ZINC001077889468 753341738 /nfs/dbraw/zinc/34/17/38/753341738.db2.gz JLWILDWBWYZEMJ-QZTJIDSGSA-N 1 2 321.380 1.157 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccccc3)nc2)C1 ZINC001077889468 753341741 /nfs/dbraw/zinc/34/17/41/753341741.db2.gz JLWILDWBWYZEMJ-QZTJIDSGSA-N 1 2 321.380 1.157 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001107992010 753343630 /nfs/dbraw/zinc/34/36/30/753343630.db2.gz FUQALWBZWRTFTF-CHWSQXEVSA-N 1 2 324.388 1.329 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H](OCC)C(C)C)C1 ZINC001107993723 753356707 /nfs/dbraw/zinc/35/67/07/753356707.db2.gz XFGJQNBWURXTLR-NVXWUHKLSA-N 1 2 312.454 1.831 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](OCC)C(C)C)C1 ZINC001107993723 753356710 /nfs/dbraw/zinc/35/67/10/753356710.db2.gz XFGJQNBWURXTLR-NVXWUHKLSA-N 1 2 312.454 1.831 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CCCC)c1CC ZINC001032723745 753360470 /nfs/dbraw/zinc/36/04/70/753360470.db2.gz SHIAOFUXMKVIII-GJZGRUSLSA-N 1 2 314.433 1.778 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CCCC)c1CC ZINC001032723745 753360475 /nfs/dbraw/zinc/36/04/75/753360475.db2.gz SHIAOFUXMKVIII-GJZGRUSLSA-N 1 2 314.433 1.778 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001108177224 753497954 /nfs/dbraw/zinc/49/79/54/753497954.db2.gz RCLOCLPOOOWARJ-JSGCOSHPSA-N 1 2 320.355 1.805 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001108177224 753497957 /nfs/dbraw/zinc/49/79/57/753497957.db2.gz RCLOCLPOOOWARJ-JSGCOSHPSA-N 1 2 320.355 1.805 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001078098631 753732460 /nfs/dbraw/zinc/73/24/60/753732460.db2.gz XVHNRHKHZZLYTC-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001078098631 753732464 /nfs/dbraw/zinc/73/24/64/753732464.db2.gz XVHNRHKHZZLYTC-KBPBESRZSA-N 1 2 320.437 1.956 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)ccc2OC)C1 ZINC001078128942 753764009 /nfs/dbraw/zinc/76/40/09/753764009.db2.gz ICKFRHUHOSAMAH-ZIAGYGMSSA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)ccc2OC)C1 ZINC001078128942 753764013 /nfs/dbraw/zinc/76/40/13/753764013.db2.gz ICKFRHUHOSAMAH-ZIAGYGMSSA-N 1 2 322.792 1.147 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCCn3nccc32)C1 ZINC001108033977 753853520 /nfs/dbraw/zinc/85/35/20/753853520.db2.gz QLDNWARCKLQXPR-WMLDXEAASA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCCn3nccc32)C1 ZINC001108033977 753853524 /nfs/dbraw/zinc/85/35/24/753853524.db2.gz QLDNWARCKLQXPR-WMLDXEAASA-N 1 2 318.421 1.154 20 30 DDEDLO Cc1ncoc1C(=O)N[C@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001010444507 753923327 /nfs/dbraw/zinc/92/33/27/753923327.db2.gz ZGZBGRTYKUJFDL-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1ncoc1C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001010444507 753923329 /nfs/dbraw/zinc/92/33/29/753923329.db2.gz ZGZBGRTYKUJFDL-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO CCN(C(=O)c1cc(C#N)c[nH]1)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001063098101 753971699 /nfs/dbraw/zinc/97/16/99/753971699.db2.gz TVTYTOYIMVNWFF-AWEZNQCLSA-N 1 2 324.388 1.726 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001063203663 754040933 /nfs/dbraw/zinc/04/09/33/754040933.db2.gz NGXLJMASLHPGNC-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N2CCCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001063234339 754054212 /nfs/dbraw/zinc/05/42/12/754054212.db2.gz CEDRRTOLOUVMKZ-AWEZNQCLSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccc(F)cn3)C2)[nH]1 ZINC001010647470 754078212 /nfs/dbraw/zinc/07/82/12/754078212.db2.gz ZMHYUXRLJFCVMK-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccc(F)cn3)C2)[nH]1 ZINC001010647470 754078214 /nfs/dbraw/zinc/07/82/14/754078214.db2.gz ZMHYUXRLJFCVMK-CQSZACIVSA-N 1 2 313.336 1.425 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCOC(C)C)[C@H](O)C1 ZINC001099693485 754117658 /nfs/dbraw/zinc/11/76/58/754117658.db2.gz SODHVIDKZRZLKC-QWHCGFSZSA-N 1 2 304.818 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCOC(C)C)[C@H](O)C1 ZINC001099693485 754117662 /nfs/dbraw/zinc/11/76/62/754117662.db2.gz SODHVIDKZRZLKC-QWHCGFSZSA-N 1 2 304.818 1.105 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCCN1C(=O)CCc1[nH]cc[nH+]1 ZINC001063481199 754186498 /nfs/dbraw/zinc/18/64/98/754186498.db2.gz ZBCPXPLWYKYBRS-CQSZACIVSA-N 1 2 324.388 1.712 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001064069912 754481663 /nfs/dbraw/zinc/48/16/63/754481663.db2.gz MZSITAVDNPIAEY-ZIAGYGMSSA-N 1 2 318.421 1.496 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064833268 754875924 /nfs/dbraw/zinc/87/59/24/754875924.db2.gz XAGVNJZBKJXRNR-QWHCGFSZSA-N 1 2 324.388 1.710 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@H]1CNc1ncccc1C#N ZINC001064932252 754966236 /nfs/dbraw/zinc/96/62/36/754966236.db2.gz COEDAWUMFGMLPM-AWEZNQCLSA-N 1 2 324.388 1.332 20 30 DDEDLO C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2nc3cccnc3s2)C1 ZINC001079856668 755609805 /nfs/dbraw/zinc/60/98/05/755609805.db2.gz GBCMOALDRNZBRG-ZYHUDNBSSA-N 1 2 300.387 1.375 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2nc3cccnc3s2)C1 ZINC001079856668 755609806 /nfs/dbraw/zinc/60/98/06/755609806.db2.gz GBCMOALDRNZBRG-ZYHUDNBSSA-N 1 2 300.387 1.375 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc3n2CCOC3)C1 ZINC001079895082 755636305 /nfs/dbraw/zinc/63/63/05/755636305.db2.gz RVJFCVPKJSXFLM-ZYHUDNBSSA-N 1 2 324.812 1.216 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc3n2CCOC3)C1 ZINC001079895082 755636309 /nfs/dbraw/zinc/63/63/09/755636309.db2.gz RVJFCVPKJSXFLM-ZYHUDNBSSA-N 1 2 324.812 1.216 20 30 DDEDLO C=CCCCC(=O)N1CC(N(CC)C(=O)Cc2c[nH+]cn2C)C1 ZINC001080141680 755733040 /nfs/dbraw/zinc/73/30/40/755733040.db2.gz PKPYVQONTISSFU-UHFFFAOYSA-N 1 2 318.421 1.378 20 30 DDEDLO C#CCCCCC(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080348619 755863432 /nfs/dbraw/zinc/86/34/32/755863432.db2.gz NXTXSOLYRYJNEV-UHFFFAOYSA-N 1 2 316.405 1.205 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnn3ncccc23)C1 ZINC001014746244 755917475 /nfs/dbraw/zinc/91/74/75/755917475.db2.gz JNPQLMYRPKDRME-LLVKDONJSA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnn3ncccc23)C1 ZINC001014746244 755917479 /nfs/dbraw/zinc/91/74/79/755917479.db2.gz JNPQLMYRPKDRME-LLVKDONJSA-N 1 2 305.769 1.286 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001015013583 756072392 /nfs/dbraw/zinc/07/23/92/756072392.db2.gz JOUMPBQNGAWONC-AWEZNQCLSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001015013583 756072398 /nfs/dbraw/zinc/07/23/98/756072398.db2.gz JOUMPBQNGAWONC-AWEZNQCLSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001015362994 756265037 /nfs/dbraw/zinc/26/50/37/756265037.db2.gz XRJQOZUNSUYQCO-YNEHKIRRSA-N 1 2 308.813 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001015362994 756265041 /nfs/dbraw/zinc/26/50/41/756265041.db2.gz XRJQOZUNSUYQCO-YNEHKIRRSA-N 1 2 308.813 1.467 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cc(C)n(C)n3)C2)cc1 ZINC001015482727 756333804 /nfs/dbraw/zinc/33/38/04/756333804.db2.gz QATZNPSMFCMTSR-KRWDZBQOSA-N 1 2 322.412 1.714 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cc(C)n(C)n3)C2)cc1 ZINC001015482727 756333809 /nfs/dbraw/zinc/33/38/09/756333809.db2.gz QATZNPSMFCMTSR-KRWDZBQOSA-N 1 2 322.412 1.714 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(F)cn3)C2)c1 ZINC001015678802 756460768 /nfs/dbraw/zinc/46/07/68/756460768.db2.gz OIUPKFKPPQTPKP-KRWDZBQOSA-N 1 2 324.359 1.601 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3ccc(F)cn3)C2)c1 ZINC001015678802 756460771 /nfs/dbraw/zinc/46/07/71/756460771.db2.gz OIUPKFKPPQTPKP-KRWDZBQOSA-N 1 2 324.359 1.601 20 30 DDEDLO C=C[C@H]1C[C@]1([NH2+]C1CCC(S(C)(=O)=O)CC1)C(=O)OCC ZINC001169863168 762724740 /nfs/dbraw/zinc/72/47/40/762724740.db2.gz GXUXCCGEMVTOMD-LFUGVXIPSA-N 1 2 315.435 1.440 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067122959 756585904 /nfs/dbraw/zinc/58/59/04/756585904.db2.gz MYYHTVUCUBEUIX-LRDDRELGSA-N 1 2 324.388 1.188 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2occc2C(C)C)[C@H](OC)C1 ZINC001082059226 756618727 /nfs/dbraw/zinc/61/87/27/756618727.db2.gz DBKIQJXTFNLKCU-HUUCEWRRSA-N 1 2 304.390 1.855 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2occc2C(C)C)[C@H](OC)C1 ZINC001082059226 756618729 /nfs/dbraw/zinc/61/87/29/756618729.db2.gz DBKIQJXTFNLKCU-HUUCEWRRSA-N 1 2 304.390 1.855 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C3CC3)no2)[C@H](OC)C1 ZINC001082191792 756670136 /nfs/dbraw/zinc/67/01/36/756670136.db2.gz FZOHIADXDNCFNP-UKRRQHHQSA-N 1 2 303.362 1.004 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C3CC3)no2)[C@H](OC)C1 ZINC001082191792 756670139 /nfs/dbraw/zinc/67/01/39/756670139.db2.gz FZOHIADXDNCFNP-UKRRQHHQSA-N 1 2 303.362 1.004 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099738930 756731039 /nfs/dbraw/zinc/73/10/39/756731039.db2.gz USCIRFUJDIVUQO-GHMZBOCLSA-N 1 2 323.220 1.059 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](CC=C(Cl)Cl)C[C@H]1O ZINC001099738930 756731042 /nfs/dbraw/zinc/73/10/42/756731042.db2.gz USCIRFUJDIVUQO-GHMZBOCLSA-N 1 2 323.220 1.059 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001016113695 756768863 /nfs/dbraw/zinc/76/88/63/756768863.db2.gz LVRXEPLLMKJRRI-QGZVFWFLSA-N 1 2 322.412 1.335 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001016113695 756768867 /nfs/dbraw/zinc/76/88/67/756768867.db2.gz LVRXEPLLMKJRRI-QGZVFWFLSA-N 1 2 322.412 1.335 20 30 DDEDLO Cc1nccc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001016291446 756909413 /nfs/dbraw/zinc/90/94/13/756909413.db2.gz SSGOAINBWAFEEB-KRWDZBQOSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1nccc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001016291446 756909414 /nfs/dbraw/zinc/90/94/14/756909414.db2.gz SSGOAINBWAFEEB-KRWDZBQOSA-N 1 2 320.396 1.641 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@H](CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001097316710 757086543 /nfs/dbraw/zinc/08/65/43/757086543.db2.gz PYJQVKWZAYZZON-CYBMUJFWSA-N 1 2 324.388 1.252 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@H]2CCC(=O)N2C)CC1 ZINC001001066753 762519557 /nfs/dbraw/zinc/51/95/57/762519557.db2.gz LZJARIKWVXKXDH-CYBMUJFWSA-N 1 2 311.813 1.108 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@H]2CCC(=O)N2C)CC1 ZINC001001066753 762519561 /nfs/dbraw/zinc/51/95/61/762519561.db2.gz LZJARIKWVXKXDH-CYBMUJFWSA-N 1 2 311.813 1.108 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3ncc(F)cc3F)[C@H]2C1 ZINC001083122546 757126687 /nfs/dbraw/zinc/12/66/87/757126687.db2.gz YXTJVRJBHONHDV-QWHCGFSZSA-N 1 2 309.316 1.071 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ncc(F)cc3F)[C@H]2C1 ZINC001083122546 757126689 /nfs/dbraw/zinc/12/66/89/757126689.db2.gz YXTJVRJBHONHDV-QWHCGFSZSA-N 1 2 309.316 1.071 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3scnc3CC)[C@H]2C1 ZINC001083099825 757135062 /nfs/dbraw/zinc/13/50/62/757135062.db2.gz HAIRZYHHDIFZAX-QWHCGFSZSA-N 1 2 307.419 1.417 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3scnc3CC)[C@H]2C1 ZINC001083099825 757135064 /nfs/dbraw/zinc/13/50/64/757135064.db2.gz HAIRZYHHDIFZAX-QWHCGFSZSA-N 1 2 307.419 1.417 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(F)cccc2Cl)[C@@H](O)C1 ZINC001083983538 757206194 /nfs/dbraw/zinc/20/61/94/757206194.db2.gz AWIHMPDEPHGQNY-OLZOCXBDSA-N 1 2 310.756 1.277 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(F)cccc2Cl)[C@@H](O)C1 ZINC001083983538 757206202 /nfs/dbraw/zinc/20/62/02/757206202.db2.gz AWIHMPDEPHGQNY-OLZOCXBDSA-N 1 2 310.756 1.277 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCc3[nH]cc[nH+]3)[C@@H]2C1 ZINC001084312369 757477994 /nfs/dbraw/zinc/47/79/94/757477994.db2.gz MUGDBXUVMZCPQK-CHWSQXEVSA-N 1 2 308.813 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3ncc[nH]3)[C@@H]2C1 ZINC001084312369 757478003 /nfs/dbraw/zinc/47/80/03/757478003.db2.gz MUGDBXUVMZCPQK-CHWSQXEVSA-N 1 2 308.813 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3ncc[nH]3)[C@@H]2C1 ZINC001084312369 757478004 /nfs/dbraw/zinc/47/80/04/757478004.db2.gz MUGDBXUVMZCPQK-CHWSQXEVSA-N 1 2 308.813 1.628 20 30 DDEDLO C[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1ncc(C#N)cc1F ZINC001097792303 757527535 /nfs/dbraw/zinc/52/75/35/757527535.db2.gz OZSCKZJCVPNFSK-JTQLQIEISA-N 1 2 316.340 1.365 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CC[C@@H]2CCN(C(=O)[C@H](C)C#N)C[C@@H]21 ZINC001084906257 758044073 /nfs/dbraw/zinc/04/40/73/758044073.db2.gz IVQLXUVRZDHRKV-KFWWJZLASA-N 1 2 315.421 1.485 20 30 DDEDLO CCn1ccnc1C[N@H+]1CC[C@@H]2CCN(C(=O)[C@H](C)C#N)C[C@@H]21 ZINC001084906257 758044086 /nfs/dbraw/zinc/04/40/86/758044086.db2.gz IVQLXUVRZDHRKV-KFWWJZLASA-N 1 2 315.421 1.485 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]([C@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001053101568 758153403 /nfs/dbraw/zinc/15/34/03/758153403.db2.gz OOULYALQJGNYKX-HUUCEWRRSA-N 1 2 315.421 1.074 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]([C@H]2CCCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001053101568 758153405 /nfs/dbraw/zinc/15/34/05/758153405.db2.gz OOULYALQJGNYKX-HUUCEWRRSA-N 1 2 315.421 1.074 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn(C(C)C)n1 ZINC001017751374 758205185 /nfs/dbraw/zinc/20/51/85/758205185.db2.gz HNHMHDOKIRMFNL-CALCHBBNSA-N 1 2 314.433 1.705 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn(C(C)C)n1 ZINC001017751374 758205192 /nfs/dbraw/zinc/20/51/92/758205192.db2.gz HNHMHDOKIRMFNL-CALCHBBNSA-N 1 2 314.433 1.705 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CC[C@H](C2CC2)O1 ZINC001017756462 758209962 /nfs/dbraw/zinc/20/99/62/758209962.db2.gz MMNJYELRUUEIQF-VVLHAWIVSA-N 1 2 302.418 1.643 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CC[C@H](C2CC2)O1 ZINC001017756462 758209973 /nfs/dbraw/zinc/20/99/73/758209973.db2.gz MMNJYELRUUEIQF-VVLHAWIVSA-N 1 2 302.418 1.643 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCO[C@H]1C1CC1)CCO2 ZINC001053351021 758394949 /nfs/dbraw/zinc/39/49/49/758394949.db2.gz SDMJSSLJGSZYED-CVEARBPZSA-N 1 2 320.433 1.291 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1occc1CC)CCO2 ZINC001053412365 758443703 /nfs/dbraw/zinc/44/37/03/758443703.db2.gz YBLLTTQQYGORKX-UHFFFAOYSA-N 1 2 304.390 1.945 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)COc1ccccc1)CCO2 ZINC001053517036 758525026 /nfs/dbraw/zinc/52/50/26/758525026.db2.gz GZYYVPJBLFLPPG-UHFFFAOYSA-N 1 2 316.401 1.555 20 30 DDEDLO C[C@@]1(CNc2ccc(C#N)nc2)CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065494060 758579045 /nfs/dbraw/zinc/57/90/45/758579045.db2.gz IDLOWLVBXZOGMJ-KRWDZBQOSA-N 1 2 324.388 1.570 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CCCC)c1C ZINC001018157205 758586943 /nfs/dbraw/zinc/58/69/43/758586943.db2.gz GPBQBNUUBVEAQB-IYBDPMFKSA-N 1 2 314.433 1.914 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CCCC)c1C ZINC001018157205 758586947 /nfs/dbraw/zinc/58/69/47/758586947.db2.gz GPBQBNUUBVEAQB-IYBDPMFKSA-N 1 2 314.433 1.914 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccnn1CC)O2 ZINC001053594081 758613834 /nfs/dbraw/zinc/61/38/34/758613834.db2.gz CYPLXMLWONWVJU-CYBMUJFWSA-N 1 2 304.394 1.052 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnc(C3CC3)o1)O2 ZINC001053611046 758630405 /nfs/dbraw/zinc/63/04/05/758630405.db2.gz IGWFTBDVOVAHSK-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nccnc2c1 ZINC001018245210 758663343 /nfs/dbraw/zinc/66/33/43/758663343.db2.gz BUXUAWCFGCXTTL-GASCZTMLSA-N 1 2 306.369 1.552 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2nccnc2c1 ZINC001018245210 758663348 /nfs/dbraw/zinc/66/33/48/758663348.db2.gz BUXUAWCFGCXTTL-GASCZTMLSA-N 1 2 306.369 1.552 20 30 DDEDLO Cc1nc(NC[C@@H]2CN(C(=O)c3c[nH]c(C#N)c3)C[C@H]2C)cc[nH+]1 ZINC001053671777 758682135 /nfs/dbraw/zinc/68/21/35/758682135.db2.gz JZUSVJMFAANECD-BXUZGUMPSA-N 1 2 324.388 1.805 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)n1nc(C)cc1C ZINC001018268618 758682188 /nfs/dbraw/zinc/68/21/88/758682188.db2.gz AAXNWRJGXUQKOB-ZACQAIPSSA-N 1 2 314.433 1.759 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)n1nc(C)cc1C ZINC001018268618 758682193 /nfs/dbraw/zinc/68/21/93/758682193.db2.gz AAXNWRJGXUQKOB-ZACQAIPSSA-N 1 2 314.433 1.759 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1nc(C)c(C)s1)O2 ZINC001053695496 758704445 /nfs/dbraw/zinc/70/44/45/758704445.db2.gz KMYRINMLGYIUIF-CYBMUJFWSA-N 1 2 321.446 1.909 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001065692660 758710649 /nfs/dbraw/zinc/71/06/49/758710649.db2.gz XWTHOXREXRNWJP-SMDDNHRTSA-N 1 2 324.388 1.948 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C[C@@H]1CCCO1 ZINC001018325966 758731754 /nfs/dbraw/zinc/73/17/54/758731754.db2.gz YXFGEUBLFSVIFU-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C[C@@H]1CCCO1 ZINC001018325966 758731758 /nfs/dbraw/zinc/73/17/58/758731758.db2.gz YXFGEUBLFSVIFU-NCOADZHNSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](OC)C1CCCCC1 ZINC001018356713 758756382 /nfs/dbraw/zinc/75/63/82/758756382.db2.gz WOHHUMATWGZXDP-IXDOHACOSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](OC)C1CCCCC1 ZINC001018356713 758756385 /nfs/dbraw/zinc/75/63/85/758756385.db2.gz WOHHUMATWGZXDP-IXDOHACOSA-N 1 2 304.434 1.890 20 30 DDEDLO C[C@H](Nc1ccc(C#N)cn1)[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001065780238 758794325 /nfs/dbraw/zinc/79/43/25/758794325.db2.gz QUNJVSBVWWZWNH-JSGCOSHPSA-N 1 2 324.388 1.568 20 30 DDEDLO CC(C)=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c[nH]c(C#N)c1)CO2 ZINC001053779122 758802286 /nfs/dbraw/zinc/80/22/86/758802286.db2.gz NSUQPRPRKDBKKC-OAHLLOKOSA-N 1 2 314.389 1.426 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)C(C)(C)C)CC2=O)C1 ZINC001108553723 762666997 /nfs/dbraw/zinc/66/69/97/762666997.db2.gz UQXOJMKUEGGKJE-QWHCGFSZSA-N 1 2 307.438 1.256 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c(Cl)cnn1C)CO2 ZINC001053803423 758831610 /nfs/dbraw/zinc/83/16/10/758831610.db2.gz RXVFIDZIOZGNEL-NSHDSACASA-N 1 2 324.812 1.223 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1coc(OCC)n1)CO2 ZINC001053858076 758891426 /nfs/dbraw/zinc/89/14/26/758891426.db2.gz XKMIXDLKKFVFED-GFCCVEGCSA-N 1 2 321.377 1.223 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1occ3c1CCC3)CO2 ZINC001053882858 758917068 /nfs/dbraw/zinc/91/70/68/758917068.db2.gz JVEPNSODMGFFHG-CQSZACIVSA-N 1 2 316.401 1.918 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(OC)ccn1)CO2 ZINC001053889319 758923454 /nfs/dbraw/zinc/92/34/54/758923454.db2.gz HACAMRDQLVTQJY-ZDUSSCGKSA-N 1 2 317.389 1.239 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C=C)cc1)CO2 ZINC001053935276 758970122 /nfs/dbraw/zinc/97/01/22/758970122.db2.gz JSYZYSFLZXXCII-QGZVFWFLSA-N 1 2 310.397 1.926 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1oc(CC)nc1C)CO2 ZINC001053973647 759010385 /nfs/dbraw/zinc/01/03/85/759010385.db2.gz NXFVTITYECYVLE-CYBMUJFWSA-N 1 2 319.405 1.695 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1sccc1OC)CO2 ZINC001053988096 759031092 /nfs/dbraw/zinc/03/10/92/759031092.db2.gz ZEXNENJZZGVMCK-GFCCVEGCSA-N 1 2 322.430 1.906 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccn1CC)CO2 ZINC001054007584 759055241 /nfs/dbraw/zinc/05/52/41/759055241.db2.gz HQULYFWWZHEBHD-CQSZACIVSA-N 1 2 301.390 1.104 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001054029321 759081428 /nfs/dbraw/zinc/08/14/28/759081428.db2.gz KPHYRDHHBDMNSY-SFHVURJKSA-N 1 2 321.380 1.110 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001054029321 759081434 /nfs/dbraw/zinc/08/14/34/759081434.db2.gz KPHYRDHHBDMNSY-SFHVURJKSA-N 1 2 321.380 1.110 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1CCCOC1 ZINC001054034185 759089081 /nfs/dbraw/zinc/08/90/81/759089081.db2.gz JPLMVPFIVHJJMM-QZTJIDSGSA-N 1 2 312.413 1.589 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1CCCOC1 ZINC001054034185 759089089 /nfs/dbraw/zinc/08/90/89/759089089.db2.gz JPLMVPFIVHJJMM-QZTJIDSGSA-N 1 2 312.413 1.589 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001066136068 759093946 /nfs/dbraw/zinc/09/39/46/759093946.db2.gz ASMCBTRQLVAINK-HNNXBMFYSA-N 1 2 316.405 1.040 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001018732350 759199936 /nfs/dbraw/zinc/19/99/36/759199936.db2.gz SMMOZIMFKFQGAR-CABZTGNLSA-N 1 2 314.349 1.143 20 30 DDEDLO CC(C)(C)c1noc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001018826596 759313035 /nfs/dbraw/zinc/31/30/35/759313035.db2.gz HSANDBLKTXUNMF-ZDUSSCGKSA-N 1 2 316.405 1.471 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cc(C)nnc3C)cc2C1 ZINC001054267056 759372270 /nfs/dbraw/zinc/37/22/70/759372270.db2.gz XBUUBIDADHGGMW-UHFFFAOYSA-N 1 2 320.396 1.972 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cc(C)nnc3C)cc2C1 ZINC001054267056 759372283 /nfs/dbraw/zinc/37/22/83/759372283.db2.gz XBUUBIDADHGGMW-UHFFFAOYSA-N 1 2 320.396 1.972 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1)C(=O)[C@@H]1CCCO1 ZINC001085472143 759467144 /nfs/dbraw/zinc/46/71/44/759467144.db2.gz GYJSFUGWECHZCQ-DOMZBBRYSA-N 1 2 319.430 1.831 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccc(C#N)s1)C(=O)[C@@H]1CCCO1 ZINC001085472143 759467147 /nfs/dbraw/zinc/46/71/47/759467147.db2.gz GYJSFUGWECHZCQ-DOMZBBRYSA-N 1 2 319.430 1.831 20 30 DDEDLO Cc1cc(N[C@@H]2C[C@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001069138969 767875136 /nfs/dbraw/zinc/87/51/36/767875136.db2.gz ZMPNAJSZRHAAAB-GXTWGEPZSA-N 1 2 324.388 1.051 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1cccnc1)C(=O)c1c[nH]c(C#N)c1 ZINC001085551894 759690183 /nfs/dbraw/zinc/69/01/83/759690183.db2.gz NNQPFHVITLHFKF-INIZCTEOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1cccnc1)C(=O)c1c[nH]c(C#N)c1 ZINC001085551894 759690188 /nfs/dbraw/zinc/69/01/88/759690188.db2.gz NNQPFHVITLHFKF-INIZCTEOSA-N 1 2 309.373 1.628 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)CCn1cc[nH+]c1 ZINC001069182041 767897885 /nfs/dbraw/zinc/89/78/85/767897885.db2.gz YCDIOCKDEHNKID-DZGCQCFKSA-N 1 2 324.388 1.641 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncc(OC)cc1F ZINC001085633060 759909325 /nfs/dbraw/zinc/90/93/25/759909325.db2.gz LFVPRUWWBHBRKV-LBPRGKRZSA-N 1 2 305.353 1.399 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncc(OC)cc1F ZINC001085633060 759909333 /nfs/dbraw/zinc/90/93/33/759909333.db2.gz LFVPRUWWBHBRKV-LBPRGKRZSA-N 1 2 305.353 1.399 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2ccccc2n1 ZINC001085640260 759916004 /nfs/dbraw/zinc/91/60/04/759916004.db2.gz GYUZVBIUTHJFKC-CQSZACIVSA-N 1 2 308.385 1.799 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2ccccc2n1 ZINC001085640260 759916009 /nfs/dbraw/zinc/91/60/09/759916009.db2.gz GYUZVBIUTHJFKC-CQSZACIVSA-N 1 2 308.385 1.799 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn2c(n1)CC[C@H](C)C2 ZINC001085670930 759980526 /nfs/dbraw/zinc/98/05/26/759980526.db2.gz ISYADPSYCHFYRZ-KBPBESRZSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn2c(n1)CC[C@H](C)C2 ZINC001085670930 759980532 /nfs/dbraw/zinc/98/05/32/759980532.db2.gz ISYADPSYCHFYRZ-KBPBESRZSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C)nc1C1CCCC1 ZINC001085810401 760279144 /nfs/dbraw/zinc/27/91/44/760279144.db2.gz DEVLQNABRYXFTG-OAHLLOKOSA-N 1 2 314.433 1.857 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C)nc1C1CCCC1 ZINC001085810401 760279146 /nfs/dbraw/zinc/27/91/46/760279146.db2.gz DEVLQNABRYXFTG-OAHLLOKOSA-N 1 2 314.433 1.857 20 30 DDEDLO N#Cc1ccc(N2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cn2cc[nH+]c2)C3)nc1 ZINC001055051724 760306053 /nfs/dbraw/zinc/30/60/53/760306053.db2.gz MXNPFDGSIMXWJM-ILXRZTDVSA-N 1 2 322.372 1.076 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@H](C)Nc1cc[nH+]c(C)n1 ZINC001097979712 760359532 /nfs/dbraw/zinc/35/95/32/760359532.db2.gz FPMMWRANPHVCJU-ZDUSSCGKSA-N 1 2 308.385 1.926 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1OCC[C@@H]1c1ccccc1 ZINC001085868327 760413952 /nfs/dbraw/zinc/41/39/52/760413952.db2.gz MYCREJRZURNQHZ-RCCFBDPRSA-N 1 2 312.413 1.725 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1OCC[C@@H]1c1ccccc1 ZINC001085868327 760413957 /nfs/dbraw/zinc/41/39/57/760413957.db2.gz MYCREJRZURNQHZ-RCCFBDPRSA-N 1 2 312.413 1.725 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccc(C#N)cc1)C(=O)c1cnon1 ZINC001085885577 760449810 /nfs/dbraw/zinc/44/98/10/760449810.db2.gz FBONGMNAIXOEOR-CQSZACIVSA-N 1 2 311.345 1.288 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccc(C#N)cc1)C(=O)c1cnon1 ZINC001085885577 760449815 /nfs/dbraw/zinc/44/98/15/760449815.db2.gz FBONGMNAIXOEOR-CQSZACIVSA-N 1 2 311.345 1.288 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn(CC(C)C)c1C ZINC001085950264 760593756 /nfs/dbraw/zinc/59/37/56/760593756.db2.gz AUDHXADFVNKAAU-HNNXBMFYSA-N 1 2 302.422 1.627 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(CC(C)C)c1C ZINC001085950264 760593762 /nfs/dbraw/zinc/59/37/62/760593762.db2.gz AUDHXADFVNKAAU-HNNXBMFYSA-N 1 2 302.422 1.627 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)nc2cc(C)nn21 ZINC001085979237 760647976 /nfs/dbraw/zinc/64/79/76/760647976.db2.gz MYHXQDNNKGXTKF-CQSZACIVSA-N 1 2 311.389 1.126 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)nc2cc(C)nn21 ZINC001085979237 760647979 /nfs/dbraw/zinc/64/79/79/760647979.db2.gz MYHXQDNNKGXTKF-CQSZACIVSA-N 1 2 311.389 1.126 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCN(c2ccc(C#N)c(C)n2)CC1 ZINC001055869478 760788197 /nfs/dbraw/zinc/78/81/97/760788197.db2.gz AOYXJRCVTCFSFM-UHFFFAOYSA-N 1 2 324.388 1.185 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CNC(=O)C2=CCCC2)C1=O ZINC001038171792 760886510 /nfs/dbraw/zinc/88/65/10/760886510.db2.gz WLQHUZVAPXJFGV-GJZGRUSLSA-N 1 2 303.406 1.074 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CNC(=O)C2=CCCC2)C1=O ZINC001038171792 760886523 /nfs/dbraw/zinc/88/65/23/760886523.db2.gz WLQHUZVAPXJFGV-GJZGRUSLSA-N 1 2 303.406 1.074 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)n([C@@H](C)C2CC2)n1 ZINC001038442533 761121731 /nfs/dbraw/zinc/12/17/31/761121731.db2.gz OZEJYXCBKRREGY-GOEBONIOSA-N 1 2 314.433 1.990 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)n([C@@H](C)C2CC2)n1 ZINC001038442533 761121735 /nfs/dbraw/zinc/12/17/35/761121735.db2.gz OZEJYXCBKRREGY-GOEBONIOSA-N 1 2 314.433 1.990 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C(C)C)nn1CC ZINC001038454040 761131180 /nfs/dbraw/zinc/13/11/80/761131180.db2.gz DKXSKDMOAJLQFM-AWEZNQCLSA-N 1 2 302.422 1.854 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C(C)C)nn1CC ZINC001038454040 761131185 /nfs/dbraw/zinc/13/11/85/761131185.db2.gz DKXSKDMOAJLQFM-AWEZNQCLSA-N 1 2 302.422 1.854 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@H+]2[C@H]2CCN(C)C2=O)CC1 ZINC001038667258 761266947 /nfs/dbraw/zinc/26/69/47/761266947.db2.gz MJIFNUUNPHLPGZ-CABCVRRESA-N 1 2 305.422 1.154 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2CC[N@@H+]2[C@H]2CCN(C)C2=O)CC1 ZINC001038667258 761266948 /nfs/dbraw/zinc/26/69/48/761266948.db2.gz MJIFNUUNPHLPGZ-CABCVRRESA-N 1 2 305.422 1.154 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2n[nH]cc2F)cc1 ZINC001038680190 761280434 /nfs/dbraw/zinc/28/04/34/761280434.db2.gz QTFBUZYYQAUFTE-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2n[nH]cc2F)cc1 ZINC001038680190 761280437 /nfs/dbraw/zinc/28/04/37/761280437.db2.gz QTFBUZYYQAUFTE-CYBMUJFWSA-N 1 2 313.336 1.425 20 30 DDEDLO Cc1cc(N[C@@H]2CCN(C(=O)CCc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001056619724 761294867 /nfs/dbraw/zinc/29/48/67/761294867.db2.gz JQPVPGAHVFJMMQ-CQSZACIVSA-N 1 2 324.388 1.052 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc2c1OCCCO2 ZINC001038851619 761475537 /nfs/dbraw/zinc/47/55/37/761475537.db2.gz PHPSDTKSJSRSKW-CYBMUJFWSA-N 1 2 300.358 1.285 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc2c1OCCCO2 ZINC001038851619 761475539 /nfs/dbraw/zinc/47/55/39/761475539.db2.gz PHPSDTKSJSRSKW-CYBMUJFWSA-N 1 2 300.358 1.285 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(C2(NC(=O)c3cn[nH]n3)CC2)CC1 ZINC001000197413 761567986 /nfs/dbraw/zinc/56/79/86/761567986.db2.gz UNZWLBVNMSWMKF-UHFFFAOYSA-N 1 2 309.801 1.532 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(C2(NC(=O)c3cnn[nH]3)CC2)CC1 ZINC001000197413 761567993 /nfs/dbraw/zinc/56/79/93/761567993.db2.gz UNZWLBVNMSWMKF-UHFFFAOYSA-N 1 2 309.801 1.532 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(OCC)c(OC)c1 ZINC001039014609 761647117 /nfs/dbraw/zinc/64/71/17/761647117.db2.gz FFIYYCUJZDMJGE-OAHLLOKOSA-N 1 2 316.401 1.921 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(OCC)c(OC)c1 ZINC001039014609 761647124 /nfs/dbraw/zinc/64/71/24/761647124.db2.gz FFIYYCUJZDMJGE-OAHLLOKOSA-N 1 2 316.401 1.921 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(NC(=O)NC)cc1 ZINC001039061085 761700177 /nfs/dbraw/zinc/70/01/77/761700177.db2.gz OVVSPCYQGLZJAI-OAHLLOKOSA-N 1 2 314.389 1.265 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(NC(=O)NC)cc1 ZINC001039061085 761700180 /nfs/dbraw/zinc/70/01/80/761700180.db2.gz OVVSPCYQGLZJAI-OAHLLOKOSA-N 1 2 314.389 1.265 20 30 DDEDLO Cc1nc(NC[C@H](C)NC(=O)[C@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001108292421 761825682 /nfs/dbraw/zinc/82/56/82/761825682.db2.gz RPBMIQWWMBZMJT-MNOVXSKESA-N 1 2 301.394 1.740 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C[C@H](C)C3CC3)CC2=O)C1 ZINC001108594228 762768365 /nfs/dbraw/zinc/76/83/65/762768365.db2.gz MNTAEALMTINLHW-ZFWWWQNUSA-N 1 2 319.449 1.400 20 30 DDEDLO C[C@@H](CNc1ccncc1C#N)NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001108686348 762848021 /nfs/dbraw/zinc/84/80/21/762848021.db2.gz FTGBUDNHQYFDBZ-WCQYABFASA-N 1 2 324.388 1.135 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccccc1)C2 ZINC001108868335 763045467 /nfs/dbraw/zinc/04/54/67/763045467.db2.gz ZIFNRMSQIVJBKW-PMPSAXMXSA-N 1 2 313.401 1.324 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccccc1)C2 ZINC001108868335 763045472 /nfs/dbraw/zinc/04/54/72/763045472.db2.gz ZIFNRMSQIVJBKW-PMPSAXMXSA-N 1 2 313.401 1.324 20 30 DDEDLO C#CC[N@H+]1CC[C@@]2(CCN(C(=O)c3cc(C(C)C)[nH]n3)C2)C1 ZINC001041704448 763229741 /nfs/dbraw/zinc/22/97/41/763229741.db2.gz WTFBORORKNMOKU-QGZVFWFLSA-N 1 2 300.406 1.704 20 30 DDEDLO C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)c3cc(C(C)C)[nH]n3)C2)C1 ZINC001041704448 763229752 /nfs/dbraw/zinc/22/97/52/763229752.db2.gz WTFBORORKNMOKU-QGZVFWFLSA-N 1 2 300.406 1.704 20 30 DDEDLO N#Cc1cccnc1NC1CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001057358552 763503254 /nfs/dbraw/zinc/50/32/54/763503254.db2.gz DFJOWSHNRKZPJU-UHFFFAOYSA-N 1 2 310.361 1.322 20 30 DDEDLO C=C(Cl)CN1CC[C@H]2CCN(C(=O)Cn3c[nH+]cc3C)C[C@H]21 ZINC001042155317 763719120 /nfs/dbraw/zinc/71/91/20/763719120.db2.gz CHZFXTOSDMDTAC-LSDHHAIUSA-N 1 2 322.840 1.867 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(C)n1 ZINC001109627540 763889308 /nfs/dbraw/zinc/88/93/08/763889308.db2.gz WVAMYMCEBGNQJM-IKGGRYGDSA-N 1 2 314.433 1.835 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(C)n1 ZINC001109627540 763889317 /nfs/dbraw/zinc/88/93/17/763889317.db2.gz WVAMYMCEBGNQJM-IKGGRYGDSA-N 1 2 314.433 1.835 20 30 DDEDLO N#Cc1cccnc1NC[C@@H](NC(=O)CCc1[nH]cc[nH+]1)C1CC1 ZINC001109820097 764087769 /nfs/dbraw/zinc/08/77/69/764087769.db2.gz IJIKJPAKKKLWPX-CQSZACIVSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)noc1C ZINC001050812105 764116757 /nfs/dbraw/zinc/11/67/57/764116757.db2.gz ILZHTGCYUYYLQA-AWEZNQCLSA-N 1 2 307.394 1.688 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)noc1C ZINC001050812105 764116762 /nfs/dbraw/zinc/11/67/62/764116762.db2.gz ILZHTGCYUYYLQA-AWEZNQCLSA-N 1 2 307.394 1.688 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001042513900 764140662 /nfs/dbraw/zinc/14/06/62/764140662.db2.gz KENPSYFVQMCQHY-NGFQHRJXSA-N 1 2 316.405 1.317 20 30 DDEDLO N#Cc1ccc(NC[C@@H](NC(=O)Cn2cc[nH+]c2)C2CC2)cn1 ZINC001109866219 764147568 /nfs/dbraw/zinc/14/75/68/764147568.db2.gz VHVKPETZNGZYDQ-OAHLLOKOSA-N 1 2 310.361 1.157 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1nccn1CC ZINC001050896893 764250828 /nfs/dbraw/zinc/25/08/28/764250828.db2.gz ZCTRKTBOZDTINY-AWEZNQCLSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1nccn1CC ZINC001050896893 764250832 /nfs/dbraw/zinc/25/08/32/764250832.db2.gz ZCTRKTBOZDTINY-AWEZNQCLSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1C1CCC1 ZINC001050922320 764286091 /nfs/dbraw/zinc/28/60/91/764286091.db2.gz RROPUMHROANZIR-HNNXBMFYSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1C1CCC1 ZINC001050922320 764286098 /nfs/dbraw/zinc/28/60/98/764286098.db2.gz RROPUMHROANZIR-HNNXBMFYSA-N 1 2 318.421 1.615 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1COCC[N@H+]1CCCF ZINC001050924666 764287101 /nfs/dbraw/zinc/28/71/01/764287101.db2.gz JGXCUHZLOLRSME-KFWWJZLASA-N 1 2 314.401 1.144 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1COCC[N@@H+]1CCCF ZINC001050924666 764287110 /nfs/dbraw/zinc/28/71/10/764287110.db2.gz JGXCUHZLOLRSME-KFWWJZLASA-N 1 2 314.401 1.144 20 30 DDEDLO CN(C(=O)c1c[nH]c(C#N)c1)C1C[NH+](C[C@@H]2CCCCO2)C1 ZINC001042638238 764299208 /nfs/dbraw/zinc/29/92/08/764299208.db2.gz FZKXVBVCMNAJCC-HNNXBMFYSA-N 1 2 302.378 1.212 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1C(C)C ZINC001050999127 764403180 /nfs/dbraw/zinc/40/31/80/764403180.db2.gz YWOQIUJVLMRYAH-AWEZNQCLSA-N 1 2 320.437 1.930 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c[nH]nc1C(C)C ZINC001050999127 764403185 /nfs/dbraw/zinc/40/31/85/764403185.db2.gz YWOQIUJVLMRYAH-AWEZNQCLSA-N 1 2 320.437 1.930 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc(OC)nc1 ZINC001051012555 764416499 /nfs/dbraw/zinc/41/64/99/764416499.db2.gz FVNFUNMAEZCVHP-OAHLLOKOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc(OC)nc1 ZINC001051012555 764416503 /nfs/dbraw/zinc/41/65/03/764416503.db2.gz FVNFUNMAEZCVHP-OAHLLOKOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C(C)C)n[nH]1 ZINC001051132704 764533444 /nfs/dbraw/zinc/53/34/44/764533444.db2.gz ACQWCIQHMISPIH-CYBMUJFWSA-N 1 2 306.410 1.540 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C(C)C)n[nH]1 ZINC001051132704 764533449 /nfs/dbraw/zinc/53/34/49/764533449.db2.gz ACQWCIQHMISPIH-CYBMUJFWSA-N 1 2 306.410 1.540 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)C1Cc2ccccc2C1 ZINC001051133077 764533514 /nfs/dbraw/zinc/53/35/14/764533514.db2.gz BOURLLXDJIRTLS-SFHVURJKSA-N 1 2 314.429 1.795 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)C1Cc2ccccc2C1 ZINC001051133077 764533516 /nfs/dbraw/zinc/53/35/16/764533516.db2.gz BOURLLXDJIRTLS-SFHVURJKSA-N 1 2 314.429 1.795 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(CC(F)(F)F)c2)C1 ZINC001042935925 764537217 /nfs/dbraw/zinc/53/72/17/764537217.db2.gz FPRVYMYELDHHIE-UHFFFAOYSA-N 1 2 314.311 1.225 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1nc(CC)oc1C ZINC001051160147 764565447 /nfs/dbraw/zinc/56/54/47/764565447.db2.gz QCPUZFSMRXDURZ-CQSZACIVSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1nc(CC)oc1C ZINC001051160147 764565455 /nfs/dbraw/zinc/56/54/55/764565455.db2.gz QCPUZFSMRXDURZ-CQSZACIVSA-N 1 2 321.421 1.942 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccnn2CC(F)(F)F)C1 ZINC001042996672 764574484 /nfs/dbraw/zinc/57/44/84/764574484.db2.gz UZUWLVQBPYVMRF-UHFFFAOYSA-N 1 2 314.311 1.225 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CC2CC2)c1 ZINC001051196939 764606499 /nfs/dbraw/zinc/60/64/99/764606499.db2.gz IRLHPPQIEYZOIN-INIZCTEOSA-N 1 2 318.421 1.300 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CC2CC2)c1 ZINC001051196939 764606506 /nfs/dbraw/zinc/60/65/06/764606506.db2.gz IRLHPPQIEYZOIN-INIZCTEOSA-N 1 2 318.421 1.300 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn3c(n2)C[C@H](OC)CC3)C1 ZINC001043063109 764627351 /nfs/dbraw/zinc/62/73/51/764627351.db2.gz OGWSBWKEUKYCSQ-CQSZACIVSA-N 1 2 318.421 1.177 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)c2cccc3[nH+]ccn32)C1 ZINC001043329042 764838613 /nfs/dbraw/zinc/83/86/13/764838613.db2.gz XYRATYXYZMPOTD-UHFFFAOYSA-N 1 2 304.781 1.843 20 30 DDEDLO Cn1cc(CC[NH+]2CCN(C(=O)C#CC(C)(C)C)CC2)cn1 ZINC001112837143 764845097 /nfs/dbraw/zinc/84/50/97/764845097.db2.gz UNJSOMYPTSFPTQ-UHFFFAOYSA-N 1 2 302.422 1.156 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC(CNc2cc[nH+]c(C)n2)C1 ZINC001112838185 764847922 /nfs/dbraw/zinc/84/79/22/764847922.db2.gz LCLHRZAKKKFFOX-SEEARECTSA-N 1 2 304.394 1.419 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn(C3CCC3)c2)CC1 ZINC001112852301 764876897 /nfs/dbraw/zinc/87/68/97/764876897.db2.gz YXYHVHJELAUDGP-UHFFFAOYSA-N 1 2 318.421 1.569 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2csc(-c3ncc[nH]3)n2)C1 ZINC001043399077 764886815 /nfs/dbraw/zinc/88/68/15/764886815.db2.gz OPLIPQRILRTNIQ-UHFFFAOYSA-N 1 2 315.402 1.313 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccnn2C(F)F)CC1 ZINC001112888312 764926577 /nfs/dbraw/zinc/92/65/77/764926577.db2.gz WRYVDSSQVHSBPE-UHFFFAOYSA-N 1 2 314.336 1.239 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)Nc3ccccc32)C1 ZINC001043499444 764941958 /nfs/dbraw/zinc/94/19/58/764941958.db2.gz RZOAQOISWPFNLR-OAHLLOKOSA-N 1 2 313.401 1.831 20 30 DDEDLO C#CCC1(C(=O)N2CC[NH+](CCn3cccn3)CC2)CCC1 ZINC001112951845 765038202 /nfs/dbraw/zinc/03/82/02/765038202.db2.gz YGMRJLSTQQILGK-UHFFFAOYSA-N 1 2 300.406 1.221 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[NH+](CCOCCOC)CC1 ZINC001112967410 765057795 /nfs/dbraw/zinc/05/77/95/765057795.db2.gz RNMIWRKLHDSFRS-UHFFFAOYSA-N 1 2 321.421 1.095 20 30 DDEDLO C[C@@H](Nc1ccncc1C#N)[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001112993579 765102951 /nfs/dbraw/zinc/10/29/51/765102951.db2.gz JYEGYOLPFIEESM-NEPJUHHUSA-N 1 2 312.377 1.036 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)[C@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001113031198 765163420 /nfs/dbraw/zinc/16/34/20/765163420.db2.gz MJYXYGRCGOBLPK-RYUDHWBXSA-N 1 2 314.393 1.328 20 30 DDEDLO CN(C(=O)Cc1c[nH+]c[nH]1)C1CN(CC#Cc2ccccc2)C1 ZINC001043978410 765201671 /nfs/dbraw/zinc/20/16/71/765201671.db2.gz WLYYYLQAWAIHIB-UHFFFAOYSA-N 1 2 308.385 1.147 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ncc(C)cc2C)CC1 ZINC001113133973 765310069 /nfs/dbraw/zinc/31/00/69/765310069.db2.gz LICAFUXGRHCNLY-UHFFFAOYSA-N 1 2 303.406 1.659 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)C3CCOCC3)C2)CC1 ZINC001051964819 765321419 /nfs/dbraw/zinc/32/14/19/765321419.db2.gz OVQYPHMTGZPMGP-QGZVFWFLSA-N 1 2 321.465 1.208 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccc(F)cc3)C2)CC1 ZINC001051969228 765326315 /nfs/dbraw/zinc/32/63/15/765326315.db2.gz JESSEQBNERJUII-MRXNPFEDSA-N 1 2 316.380 1.181 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)c3ccnc(F)c3)C2)CC1 ZINC001051997037 765363575 /nfs/dbraw/zinc/36/35/75/765363575.db2.gz YMWVQHZUXDEAAJ-OAHLLOKOSA-N 1 2 318.396 1.239 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)C3CC(OC)C3)C2)CC1 ZINC001052041328 765413392 /nfs/dbraw/zinc/41/33/92/765413392.db2.gz YZGADYLJSFKSIK-CGZBRXJRSA-N 1 2 321.465 1.206 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)CCC(=O)N1)C2 ZINC001095971874 765416648 /nfs/dbraw/zinc/41/66/48/765416648.db2.gz LUDUUKQPKWIDSH-YXMPFFBPSA-N 1 2 311.813 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)CCC(=O)N1)C2 ZINC001095971874 765416649 /nfs/dbraw/zinc/41/66/49/765416649.db2.gz LUDUUKQPKWIDSH-YXMPFFBPSA-N 1 2 311.813 1.129 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC[NH+](CCn2nc(C)nc2C)CC1 ZINC001113228992 765440809 /nfs/dbraw/zinc/44/08/09/765440809.db2.gz AXZSRFSPRVLHLW-KBPBESRZSA-N 1 2 319.453 1.497 20 30 DDEDLO N#CCN1CC[NH+]([C@@H]2CCN(C(=O)[C@]34C[C@H]3CCCC4)C2)CC1 ZINC001052079431 765452130 /nfs/dbraw/zinc/45/21/30/765452130.db2.gz JVWAJPWDCJSQAO-JFIYKMOQSA-N 1 2 316.449 1.309 20 30 DDEDLO C[C@H](C(=O)N1CC[C@H]([NH+]2CCN(CC#N)CC2)C1)c1ccco1 ZINC001052082103 765455113 /nfs/dbraw/zinc/45/51/13/765455113.db2.gz QGBVQRVLZMQRRB-GJZGRUSLSA-N 1 2 316.405 1.125 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3CC34CCC4)C2)CC1 ZINC001052086736 765458829 /nfs/dbraw/zinc/45/88/29/765458829.db2.gz HDQDGZCRNKJTAW-JKSUJKDBSA-N 1 2 301.434 1.028 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(C)nc3cc(C)nn32)C1 ZINC001044313823 765475161 /nfs/dbraw/zinc/47/51/61/765475161.db2.gz OEJMRJMEBWIZDG-UHFFFAOYSA-N 1 2 313.405 1.678 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)N([C@@H](C)CCC)C2)C1 ZINC001044333245 765489358 /nfs/dbraw/zinc/48/93/58/765489358.db2.gz PKHLNLUXOXNVJI-UONOGXRCSA-N 1 2 307.438 1.352 20 30 DDEDLO C[C@H](NC(=O)Cc1c[nH]c[nH+]1)[C@H](C)Nc1ncc(C#N)cc1F ZINC001113314267 765554633 /nfs/dbraw/zinc/55/46/33/765554633.db2.gz QXSIQZTWDZNJDG-UWVGGRQHSA-N 1 2 316.340 1.363 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[NH+](CCOC[C@H]3CCCO3)CC2)C1 ZINC001113324818 765582811 /nfs/dbraw/zinc/58/28/11/765582811.db2.gz PORKFFCBAKGNRV-MRXNPFEDSA-N 1 2 322.449 1.683 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@@H](C)Nc1ccc(C#N)cn1 ZINC001113352036 765616229 /nfs/dbraw/zinc/61/62/29/765616229.db2.gz LYQSXZLDTWKCDQ-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@@H](C)Nc1ccc(C#N)cn1 ZINC001113352036 765616232 /nfs/dbraw/zinc/61/62/32/765616232.db2.gz LYQSXZLDTWKCDQ-VXGBXAGGSA-N 1 2 312.377 1.614 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CCC[N@H+](Cc3cnon3)CC2)c1 ZINC001052289706 765679629 /nfs/dbraw/zinc/67/96/29/765679629.db2.gz DIOVQQMZFLPQKL-LBPRGKRZSA-N 1 2 314.349 1.054 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CCC[N@@H+](Cc3cnon3)CC2)c1 ZINC001052289706 765679636 /nfs/dbraw/zinc/67/96/36/765679636.db2.gz DIOVQQMZFLPQKL-LBPRGKRZSA-N 1 2 314.349 1.054 20 30 DDEDLO CC(C)CCC(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001131788345 768356789 /nfs/dbraw/zinc/35/67/89/768356789.db2.gz RSXOHANVFNJAAH-KGLIPLIRSA-N 1 2 308.426 1.031 20 30 DDEDLO CC(C)CCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001131788345 768356795 /nfs/dbraw/zinc/35/67/95/768356795.db2.gz RSXOHANVFNJAAH-KGLIPLIRSA-N 1 2 308.426 1.031 20 30 DDEDLO CN1CCN(c2cc(NCC#Cc3ccccc3)[nH+]cn2)CC1=O ZINC001170426497 766491496 /nfs/dbraw/zinc/49/14/96/766491496.db2.gz VIIPNYKPWDYFHQ-UHFFFAOYSA-N 1 2 321.384 1.219 20 30 DDEDLO CN1CCN(c2cc(NCC#Cc3ccccc3)nc[nH+]2)CC1=O ZINC001170426497 766491503 /nfs/dbraw/zinc/49/15/03/766491503.db2.gz VIIPNYKPWDYFHQ-UHFFFAOYSA-N 1 2 321.384 1.219 20 30 DDEDLO C#Cc1ccccc1CC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001058412887 766503551 /nfs/dbraw/zinc/50/35/51/766503551.db2.gz FOJFFRKEHHBGSW-KRWDZBQOSA-N 1 2 320.396 1.704 20 30 DDEDLO N#Cc1c(F)cccc1N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001058422444 766514470 /nfs/dbraw/zinc/51/44/70/766514470.db2.gz FBIWAAKTAWXUQU-LLVKDONJSA-N 1 2 313.336 1.358 20 30 DDEDLO N#Cc1ccc(NCC2CC(NC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001067854941 766757014 /nfs/dbraw/zinc/75/70/14/766757014.db2.gz HJESMLZYFUAOMZ-UHFFFAOYSA-N 1 2 310.361 1.157 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C=C3CCCCC3)CC2)C1 ZINC001046009731 766800565 /nfs/dbraw/zinc/80/05/65/766800565.db2.gz YWQHXEBSRCPVPA-KRWDZBQOSA-N 1 2 316.449 1.619 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](CCCC)CNc1cc[nH+]c(C)n1 ZINC001114281803 766850429 /nfs/dbraw/zinc/85/04/29/766850429.db2.gz LGVJYIITFCWTMH-DZGCQCFKSA-N 1 2 318.421 1.910 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C(C3CC3)C3CC3)CC2)C1 ZINC001046078431 766892887 /nfs/dbraw/zinc/89/28/87/766892887.db2.gz XJSHFOOBUJQHSE-MRXNPFEDSA-N 1 2 316.449 1.165 20 30 DDEDLO C=CCn1cccc1C(=O)NCC[NH2+]Cc1nnc(C2CC2)o1 ZINC001129513876 766920890 /nfs/dbraw/zinc/92/08/90/766920890.db2.gz JRSBOWCDUOZEEO-UHFFFAOYSA-N 1 2 315.377 1.454 20 30 DDEDLO C[C@@H]1C[C@@H](CNc2ccc(C#N)nc2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068348554 767161301 /nfs/dbraw/zinc/16/13/01/767161301.db2.gz SAWCGRBXZZKTBT-OLZOCXBDSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H]1C[C@H](CNc2ncccc2C#N)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001068376408 767220268 /nfs/dbraw/zinc/22/02/68/767220268.db2.gz UJURQMDVTSSMOU-CHWSQXEVSA-N 1 2 324.388 1.568 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001046250714 767296053 /nfs/dbraw/zinc/29/60/53/767296053.db2.gz DYKQIRZUAJNVJN-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@](C)(NC(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001046250714 767296056 /nfs/dbraw/zinc/29/60/56/767296056.db2.gz DYKQIRZUAJNVJN-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001046319081 767416308 /nfs/dbraw/zinc/41/63/08/767416308.db2.gz PDQCQFZFFRACDK-RHSMWYFYSA-N 1 2 300.406 1.434 20 30 DDEDLO C[C@@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)CCn1cc[nH+]c1 ZINC001068928766 767705586 /nfs/dbraw/zinc/70/55/86/767705586.db2.gz RFBIHCFUXZMEKG-UKRRQHHQSA-N 1 2 324.388 1.641 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CSCC#N)C[N@@H+]1Cc1cncn1C ZINC001131825956 768392899 /nfs/dbraw/zinc/39/28/99/768392899.db2.gz MOYCPBOJULKHHA-STQMWFEESA-N 1 2 321.450 1.146 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)CSCC#N)C[N@H+]1Cc1cncn1C ZINC001131825956 768392906 /nfs/dbraw/zinc/39/29/06/768392906.db2.gz MOYCPBOJULKHHA-STQMWFEESA-N 1 2 321.450 1.146 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cnnn2CC)C1 ZINC001132003820 768555450 /nfs/dbraw/zinc/55/54/50/768555450.db2.gz ZXANUTXNNYKUGQ-CABCVRRESA-N 1 2 317.437 1.571 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2CC)C1 ZINC001132003820 768555453 /nfs/dbraw/zinc/55/54/53/768555453.db2.gz ZXANUTXNNYKUGQ-CABCVRRESA-N 1 2 317.437 1.571 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[N@@H+]1Cc1cnnn1C ZINC001132033785 768579379 /nfs/dbraw/zinc/57/93/79/768579379.db2.gz KZMOJSWZVNIYDW-ZIAGYGMSSA-N 1 2 317.437 1.334 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)C#CC(C)(C)C)C[N@H+]1Cc1cnnn1C ZINC001132033785 768579384 /nfs/dbraw/zinc/57/93/84/768579384.db2.gz KZMOJSWZVNIYDW-ZIAGYGMSSA-N 1 2 317.437 1.334 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132046482 768599461 /nfs/dbraw/zinc/59/94/61/768599461.db2.gz PFLCPVOVSRCSOK-ZBFHGGJFSA-N 1 2 319.449 1.285 20 30 DDEDLO CCCCNC(=O)C[N@H+]1C[C@@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132046482 768599465 /nfs/dbraw/zinc/59/94/65/768599465.db2.gz PFLCPVOVSRCSOK-ZBFHGGJFSA-N 1 2 319.449 1.285 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001070676608 768663063 /nfs/dbraw/zinc/66/30/63/768663063.db2.gz GFISAMXHIIVZJD-ZIAGYGMSSA-N 1 2 315.421 1.430 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132234198 768688070 /nfs/dbraw/zinc/68/80/70/768688070.db2.gz BHCYFHDTRYPWOJ-LSDHHAIUSA-N 1 2 317.437 1.478 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132234198 768688074 /nfs/dbraw/zinc/68/80/74/768688074.db2.gz BHCYFHDTRYPWOJ-LSDHHAIUSA-N 1 2 317.437 1.478 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](CCS(C)(=O)=O)C1 ZINC001132217598 768689175 /nfs/dbraw/zinc/68/91/75/768689175.db2.gz DPIIBRLZSPVAEX-OLZOCXBDSA-N 1 2 316.467 1.212 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@H+](CCS(C)(=O)=O)C1 ZINC001132217598 768689179 /nfs/dbraw/zinc/68/91/79/768689179.db2.gz DPIIBRLZSPVAEX-OLZOCXBDSA-N 1 2 316.467 1.212 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@H](NC(=O)C2(C)CC=CC2)CC[C@H]1C ZINC001132265522 768720424 /nfs/dbraw/zinc/72/04/24/768720424.db2.gz OCEDLNQQFQGTEC-HUUCEWRRSA-N 1 2 317.433 1.061 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@H](NC(=O)C2(C)CC=CC2)CC[C@H]1C ZINC001132265522 768720429 /nfs/dbraw/zinc/72/04/29/768720429.db2.gz OCEDLNQQFQGTEC-HUUCEWRRSA-N 1 2 317.433 1.061 20 30 DDEDLO C=CCCC(=O)N(CCO)CCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001111426959 768736346 /nfs/dbraw/zinc/73/63/46/768736346.db2.gz RJNQOEICVYPCSM-UHFFFAOYSA-N 1 2 318.421 1.861 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001132308616 768749339 /nfs/dbraw/zinc/74/93/39/768749339.db2.gz MRPZGILVTWVDTI-UHFFFAOYSA-N 1 2 324.450 1.910 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001070931878 768791477 /nfs/dbraw/zinc/79/14/77/768791477.db2.gz OLMDOFRSEQMGQV-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C#CCCCCCC(=O)NCC[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001132398995 768806377 /nfs/dbraw/zinc/80/63/77/768806377.db2.gz XURFVCAPOYJZQO-CYBMUJFWSA-N 1 2 322.409 1.567 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC2(C)C)CC1 ZINC001070956579 768811360 /nfs/dbraw/zinc/81/13/60/768811360.db2.gz UCLNFBNESYFKHT-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC2(C)C)CC1 ZINC001070956579 768811367 /nfs/dbraw/zinc/81/13/67/768811367.db2.gz UCLNFBNESYFKHT-AWEZNQCLSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@]2(C)CC=CCC2)CC1 ZINC001070965618 768818662 /nfs/dbraw/zinc/81/86/62/768818662.db2.gz LBQRSCVUOGDVHM-GOSISDBHSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@]2(C)CC=CCC2)CC1 ZINC001070965618 768818670 /nfs/dbraw/zinc/81/86/70/768818670.db2.gz LBQRSCVUOGDVHM-GOSISDBHSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001070989945 768844356 /nfs/dbraw/zinc/84/43/56/768844356.db2.gz HWZKSXMETCUKMI-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001070989945 768844371 /nfs/dbraw/zinc/84/43/71/768844371.db2.gz HWZKSXMETCUKMI-UHFFFAOYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@@]2(C)CC)CC1 ZINC001071013262 768878956 /nfs/dbraw/zinc/87/89/56/768878956.db2.gz WSAZRCRTHMBFOO-MAUKXSAKSA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@@]2(C)CC)CC1 ZINC001071013262 768878967 /nfs/dbraw/zinc/87/89/67/768878967.db2.gz WSAZRCRTHMBFOO-MAUKXSAKSA-N 1 2 321.465 1.649 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001071032077 768900612 /nfs/dbraw/zinc/90/06/12/768900612.db2.gz LMDRYKSYFLSBLK-OAHLLOKOSA-N 1 2 316.405 1.040 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071140269 769012034 /nfs/dbraw/zinc/01/20/34/769012034.db2.gz OTIYHJNVDMOUIZ-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nnc(C(F)F)s1 ZINC001132591211 769012582 /nfs/dbraw/zinc/01/25/82/769012582.db2.gz JDXAJPUBDLDBDK-UHFFFAOYSA-N 1 2 316.377 1.875 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH+]1CCN(c2ccc(C)nn2)CC1 ZINC001096293894 769059217 /nfs/dbraw/zinc/05/92/17/769059217.db2.gz ZWPSHXFWSFENIB-UHFFFAOYSA-N 1 2 317.437 1.235 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CC2OCCCO2)CC[C@H]1C ZINC001071458448 769465164 /nfs/dbraw/zinc/46/51/64/769465164.db2.gz SOCKEVZVQLOQHL-OLZOCXBDSA-N 1 2 316.829 1.861 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CC2OCCCO2)CC[C@H]1C ZINC001071458448 769465174 /nfs/dbraw/zinc/46/51/74/769465174.db2.gz SOCKEVZVQLOQHL-OLZOCXBDSA-N 1 2 316.829 1.861 20 30 DDEDLO C=CCCCC(=O)NCC[NH2+]Cc1nc(CC(F)(F)F)no1 ZINC001133144826 769541916 /nfs/dbraw/zinc/54/19/16/769541916.db2.gz DDFDVWDAKGFZEX-UHFFFAOYSA-N 1 2 320.315 1.737 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[NH2+]Cc2nc3c(o2)CCCC3)c1 ZINC001133597317 770048185 /nfs/dbraw/zinc/04/81/85/770048185.db2.gz NFNABRCPVQBFPV-UHFFFAOYSA-N 1 2 324.384 1.449 20 30 DDEDLO C#CCN1C[C@@H](NC(=O)c2c[nH+]ccc2N(C)C)CC[C@H]1C ZINC001071999036 770411617 /nfs/dbraw/zinc/41/16/17/770411617.db2.gz MDQDQZUUGJFMST-KGLIPLIRSA-N 1 2 300.406 1.364 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001071999607 770412930 /nfs/dbraw/zinc/41/29/30/770412930.db2.gz PDNBZBVVROIDLG-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(=O)n(C)n2)CC[C@@H]1C ZINC001072052440 770487150 /nfs/dbraw/zinc/48/71/50/770487150.db2.gz MXENGJIXEAUHNX-NWDGAFQWSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(=O)n(C)n2)CC[C@@H]1C ZINC001072052440 770487153 /nfs/dbraw/zinc/48/71/53/770487153.db2.gz MXENGJIXEAUHNX-NWDGAFQWSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072221776 770675851 /nfs/dbraw/zinc/67/58/51/770675851.db2.gz BZSPITSZSMXPKU-TZMCWYRMSA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1ccnc(C[N@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)n1 ZINC001049381420 770760858 /nfs/dbraw/zinc/76/08/58/770760858.db2.gz KLLVCNWRACLGIU-VHDGCEQUSA-N 1 2 313.405 1.510 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H](C)C#N)n1 ZINC001049381420 770760862 /nfs/dbraw/zinc/76/08/62/770760862.db2.gz KLLVCNWRACLGIU-VHDGCEQUSA-N 1 2 313.405 1.510 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1ccn[nH]1 ZINC001049386947 770767249 /nfs/dbraw/zinc/76/72/49/770767249.db2.gz KOMJLPJNCRFNQK-UONOGXRCSA-N 1 2 308.813 1.770 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1ccn[nH]1 ZINC001049386947 770767251 /nfs/dbraw/zinc/76/72/51/770767251.db2.gz KOMJLPJNCRFNQK-UONOGXRCSA-N 1 2 308.813 1.770 20 30 DDEDLO Cc1nc(N2CCC3(C[C@H]3NC(=O)C#CC(C)C)CC2)cc[nH+]1 ZINC001096520501 770802348 /nfs/dbraw/zinc/80/23/48/770802348.db2.gz QPOMSXPCGSTABK-OAHLLOKOSA-N 1 2 312.417 1.920 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC2CC2)no1 ZINC001049472757 770900589 /nfs/dbraw/zinc/90/05/89/770900589.db2.gz VMLPLNRLAWNEFY-IAGOWNOFSA-N 1 2 313.401 1.962 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)C#CC2CC2)no1 ZINC001049472757 770900593 /nfs/dbraw/zinc/90/05/93/770900593.db2.gz VMLPLNRLAWNEFY-IAGOWNOFSA-N 1 2 313.401 1.962 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](NC(=O)CCc3c[nH]c[nH+]3)C2)nc1 ZINC001097111449 771628446 /nfs/dbraw/zinc/62/84/46/771628446.db2.gz UIGLTLKREJBICI-HDJSIYSDSA-N 1 2 310.361 1.368 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@H](NC(=O)CCc3c[nH+]c[nH]3)C2)nc1 ZINC001097111449 771628449 /nfs/dbraw/zinc/62/84/49/771628449.db2.gz UIGLTLKREJBICI-HDJSIYSDSA-N 1 2 310.361 1.368 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ncccc2F)[C@H](O)C1 ZINC001090676701 772104010 /nfs/dbraw/zinc/10/40/10/772104010.db2.gz RSXJLGJEULSNRN-VXGBXAGGSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ncccc2F)[C@H](O)C1 ZINC001090676701 772104013 /nfs/dbraw/zinc/10/40/13/772104013.db2.gz RSXJLGJEULSNRN-VXGBXAGGSA-N 1 2 313.760 1.138 20 30 DDEDLO O=C(/C=C\c1ccc(F)cc1)C(=O)NCC[NH+]1CCSCC1 ZINC001136727292 772112901 /nfs/dbraw/zinc/11/29/01/772112901.db2.gz DSMUWIVGJKRKMA-UTCJRWHESA-N 1 2 322.405 1.573 20 30 DDEDLO COCOc1cncc(NC(=[NH2+])c2ccc(C(N)=O)cc2)c1 ZINC001171261329 772762588 /nfs/dbraw/zinc/76/25/88/772762588.db2.gz WXBJONIHLKQBHJ-UHFFFAOYSA-N 1 2 300.318 1.200 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CC[C@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001091598041 772934012 /nfs/dbraw/zinc/93/40/12/772934012.db2.gz ALOHSJRMFVFBKE-QWHCGFSZSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nncn1C)C2 ZINC001147182024 773075081 /nfs/dbraw/zinc/07/50/81/773075081.db2.gz PTNYYNLNZQUCKF-CYBMUJFWSA-N 1 2 303.410 1.377 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nncn1C)C2 ZINC001147182024 773075088 /nfs/dbraw/zinc/07/50/88/773075088.db2.gz PTNYYNLNZQUCKF-CYBMUJFWSA-N 1 2 303.410 1.377 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1ncc(CC)o1)C2 ZINC001147260809 773094526 /nfs/dbraw/zinc/09/45/26/773094526.db2.gz GQEDCESUDSYGRR-UHFFFAOYSA-N 1 2 319.405 1.474 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+](Cc1ncc(CC)o1)C2 ZINC001147260809 773094530 /nfs/dbraw/zinc/09/45/30/773094530.db2.gz GQEDCESUDSYGRR-UHFFFAOYSA-N 1 2 319.405 1.474 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)[nH]1 ZINC001147514417 773157391 /nfs/dbraw/zinc/15/73/91/773157391.db2.gz LYHGCNLCSBKQSN-ZDUSSCGKSA-N 1 2 315.421 1.368 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)[nH]1 ZINC001147514417 773157393 /nfs/dbraw/zinc/15/73/93/773157393.db2.gz LYHGCNLCSBKQSN-ZDUSSCGKSA-N 1 2 315.421 1.368 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC2(C1)CC[N@H+](Cc1cnns1)C2 ZINC001147522654 773168454 /nfs/dbraw/zinc/16/84/54/773168454.db2.gz DTVGLVDEPVJYRJ-UHFFFAOYSA-N 1 2 318.446 1.622 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CC2(C1)CC[N@@H+](Cc1cnns1)C2 ZINC001147522654 773168455 /nfs/dbraw/zinc/16/84/55/773168455.db2.gz DTVGLVDEPVJYRJ-UHFFFAOYSA-N 1 2 318.446 1.622 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cccnn2)C1 ZINC001073831783 773451870 /nfs/dbraw/zinc/45/18/70/773451870.db2.gz GRVRVBRWUZBYPS-GFCCVEGCSA-N 1 2 310.785 1.050 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cccnn2)C1 ZINC001073831783 773451875 /nfs/dbraw/zinc/45/18/75/773451875.db2.gz GRVRVBRWUZBYPS-GFCCVEGCSA-N 1 2 310.785 1.050 20 30 DDEDLO C=CC[N@H+]1CCC[C@H]1CNC(=O)c1ccc(S(C)(=O)=O)cc1 ZINC001148360178 773456126 /nfs/dbraw/zinc/45/61/26/773456126.db2.gz CPZRHMNVKDIAFJ-AWEZNQCLSA-N 1 2 322.430 1.470 20 30 DDEDLO C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(S(C)(=O)=O)cc1 ZINC001148360178 773456128 /nfs/dbraw/zinc/45/61/28/773456128.db2.gz CPZRHMNVKDIAFJ-AWEZNQCLSA-N 1 2 322.430 1.470 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ccnc(C)n2)C1 ZINC001073892893 773505428 /nfs/dbraw/zinc/50/54/28/773505428.db2.gz DCVDUIVZEJYNFE-ZDUSSCGKSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ccnc(C)n2)C1 ZINC001073892893 773505432 /nfs/dbraw/zinc/50/54/32/773505432.db2.gz DCVDUIVZEJYNFE-ZDUSSCGKSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CC(F)(F)F)C[C@@H]21 ZINC001074185347 773707190 /nfs/dbraw/zinc/70/71/90/773707190.db2.gz ZTIUQYDHBVPENJ-RYUDHWBXSA-N 1 2 306.328 1.817 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CC(F)(F)F)C[C@@H]21 ZINC001074185347 773707192 /nfs/dbraw/zinc/70/71/92/773707192.db2.gz ZTIUQYDHBVPENJ-RYUDHWBXSA-N 1 2 306.328 1.817 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@@H]21 ZINC001074208459 773731664 /nfs/dbraw/zinc/73/16/64/773731664.db2.gz IZBOUGXFTLOHKP-KBPBESRZSA-N 1 2 314.376 1.910 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C3CC(F)(F)C3)C[C@@H]21 ZINC001074208459 773731667 /nfs/dbraw/zinc/73/16/67/773731667.db2.gz IZBOUGXFTLOHKP-KBPBESRZSA-N 1 2 314.376 1.910 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001074262791 773776552 /nfs/dbraw/zinc/77/65/52/773776552.db2.gz AZAOHCYFHZQJCY-DZGCQCFKSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001074263678 773777596 /nfs/dbraw/zinc/77/75/96/773777596.db2.gz NTEWVCNJDFUFHC-DZGCQCFKSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cncs3)C[C@@H]21 ZINC001074270309 773782857 /nfs/dbraw/zinc/78/28/57/773782857.db2.gz FSFYKXCBICBNGC-GJZGRUSLSA-N 1 2 321.446 1.563 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cncs3)C[C@@H]21 ZINC001074270309 773782859 /nfs/dbraw/zinc/78/28/59/773782859.db2.gz FSFYKXCBICBNGC-GJZGRUSLSA-N 1 2 321.446 1.563 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3n[nH]cc3F)C[C@H]21 ZINC001074331392 773827134 /nfs/dbraw/zinc/82/71/34/773827134.db2.gz GOJTWRPWEFGBDP-CHWSQXEVSA-N 1 2 308.357 1.040 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3n[nH]cc3F)C[C@H]21 ZINC001074331392 773827139 /nfs/dbraw/zinc/82/71/39/773827139.db2.gz GOJTWRPWEFGBDP-CHWSQXEVSA-N 1 2 308.357 1.040 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCc3cn[nH]c3)C[C@@H]21 ZINC001074353217 773848734 /nfs/dbraw/zinc/84/87/34/773848734.db2.gz CBPYTDUTUVONMX-HOTGVXAUSA-N 1 2 318.421 1.220 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCc3cn[nH]c3)C[C@@H]21 ZINC001074353217 773848737 /nfs/dbraw/zinc/84/87/37/773848737.db2.gz CBPYTDUTUVONMX-HOTGVXAUSA-N 1 2 318.421 1.220 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2C[N@@H+](Cc3nncn3C)C[C@@]2(C)C1 ZINC001091916920 773858930 /nfs/dbraw/zinc/85/89/30/773858930.db2.gz QJCQZIGTXZMNHG-DYVFJYSZSA-N 1 2 317.437 1.308 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2C[N@H+](Cc3nncn3C)C[C@@]2(C)C1 ZINC001091916920 773858933 /nfs/dbraw/zinc/85/89/33/773858933.db2.gz QJCQZIGTXZMNHG-DYVFJYSZSA-N 1 2 317.437 1.308 20 30 DDEDLO N#Cc1cnccc1NC1CC(CNC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001092212869 774008429 /nfs/dbraw/zinc/00/84/29/774008429.db2.gz JQIBFWLYDLPWIO-UHFFFAOYSA-N 1 2 324.388 1.038 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncoc1C)c1nccn12 ZINC001092351558 774069337 /nfs/dbraw/zinc/06/93/37/774069337.db2.gz CLTDLUXGSLLGFG-GFCCVEGCSA-N 1 2 313.361 1.251 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)nc2)C[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001092452100 774148638 /nfs/dbraw/zinc/14/86/38/774148638.db2.gz UYTNTQQNBLETMX-CHWSQXEVSA-N 1 2 324.388 1.108 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001075020674 774302765 /nfs/dbraw/zinc/30/27/65/774302765.db2.gz TYTSQNWQSIDOPA-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001075044766 774318604 /nfs/dbraw/zinc/31/86/04/774318604.db2.gz AOZVBRKRJBJMKD-LSDHHAIUSA-N 1 2 316.405 1.182 20 30 DDEDLO Cc1nc(N[C@@H](C)CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)ccc1C#N ZINC001098388866 774559697 /nfs/dbraw/zinc/55/96/97/774559697.db2.gz YYGASOWSNFYQBA-ZLKJLUDKSA-N 1 2 324.388 1.705 20 30 DDEDLO Cc1nc(N[C@@H](C)CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)ccc1C#N ZINC001098388866 774559699 /nfs/dbraw/zinc/55/96/99/774559699.db2.gz YYGASOWSNFYQBA-ZLKJLUDKSA-N 1 2 324.388 1.705 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CC(C)(C)CC)C2)nn1 ZINC001098678038 774639830 /nfs/dbraw/zinc/63/98/30/774639830.db2.gz BRGVRVANFZBUNA-OAHLLOKOSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C/C=C(/C)C=C)C2)nn1 ZINC001098700615 774647062 /nfs/dbraw/zinc/64/70/62/774647062.db2.gz PZKBYCLVRFPZGM-VCXBKZMZSA-N 1 2 313.405 1.297 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@@H]1CCC(C)(C)CN1CC#N ZINC001099310105 774941773 /nfs/dbraw/zinc/94/17/73/774941773.db2.gz HQZXLOMXOQVIKZ-ZDUSSCGKSA-N 1 2 303.410 1.093 20 30 DDEDLO C[C@H](CCNC(=O)Cc1c[nH+]cn1C)Nc1ncccc1C#N ZINC001099314766 774943696 /nfs/dbraw/zinc/94/36/96/774943696.db2.gz SDIYUYZZUJKUAA-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099640295 775088256 /nfs/dbraw/zinc/08/82/56/775088256.db2.gz XQIZPZXXTMELNQ-IAGOWNOFSA-N 1 2 315.417 1.656 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099640295 775088258 /nfs/dbraw/zinc/08/82/58/775088258.db2.gz XQIZPZXXTMELNQ-IAGOWNOFSA-N 1 2 315.417 1.656 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCc2ccco2)[C@H](O)C1 ZINC001099653868 775101270 /nfs/dbraw/zinc/10/12/70/775101270.db2.gz ICIXIMGYWBPRIA-UONOGXRCSA-N 1 2 312.797 1.516 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCc2ccco2)[C@H](O)C1 ZINC001099653868 775101276 /nfs/dbraw/zinc/10/12/76/775101276.db2.gz ICIXIMGYWBPRIA-UONOGXRCSA-N 1 2 312.797 1.516 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(F)cc(F)c2)C[C@H]1O ZINC001099726772 775190642 /nfs/dbraw/zinc/19/06/42/775190642.db2.gz JRUSKOSVZIISTM-JKSUJKDBSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cc(F)cc(F)c2)C[C@H]1O ZINC001099726772 775190649 /nfs/dbraw/zinc/19/06/49/775190649.db2.gz JRUSKOSVZIISTM-JKSUJKDBSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(F)cc(F)c2)C[C@@H]1O ZINC001099726768 775191238 /nfs/dbraw/zinc/19/12/38/775191238.db2.gz JRUSKOSVZIISTM-CVEARBPZSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(F)cc(F)c2)C[C@@H]1O ZINC001099726768 775191241 /nfs/dbraw/zinc/19/12/41/775191241.db2.gz JRUSKOSVZIISTM-CVEARBPZSA-N 1 2 324.371 1.982 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099806732 775305095 /nfs/dbraw/zinc/30/50/95/775305095.db2.gz FUDFKTZGPNGGFL-HZPDHXFCSA-N 1 2 319.380 1.076 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099806732 775305103 /nfs/dbraw/zinc/30/51/03/775305103.db2.gz FUDFKTZGPNGGFL-HZPDHXFCSA-N 1 2 319.380 1.076 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2(C(F)F)CC2)[C@H](O)C1 ZINC001099829196 775335975 /nfs/dbraw/zinc/33/59/75/775335975.db2.gz CXZCKPLOMSFDRX-NXEZZACHSA-N 1 2 308.756 1.336 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2(C(F)F)CC2)[C@H](O)C1 ZINC001099829196 775335982 /nfs/dbraw/zinc/33/59/82/775335982.db2.gz CXZCKPLOMSFDRX-NXEZZACHSA-N 1 2 308.756 1.336 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099830456 775337724 /nfs/dbraw/zinc/33/77/24/775337724.db2.gz SDZFJVWMSDUHBW-KBPBESRZSA-N 1 2 321.446 1.244 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099830456 775337732 /nfs/dbraw/zinc/33/77/32/775337732.db2.gz SDZFJVWMSDUHBW-KBPBESRZSA-N 1 2 321.446 1.244 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3ccccc3C)nn2)C1 ZINC001094270303 775612469 /nfs/dbraw/zinc/61/24/69/775612469.db2.gz QCWHORLELHVPTL-UHFFFAOYSA-N 1 2 311.389 1.559 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C(C)(C)CCOC)[C@H](O)C1 ZINC001100058594 775630167 /nfs/dbraw/zinc/63/01/67/775630167.db2.gz WTDCVNMIMOBLMQ-CHWSQXEVSA-N 1 2 318.845 1.353 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C(C)(C)CCOC)[C@H](O)C1 ZINC001100058594 775630174 /nfs/dbraw/zinc/63/01/74/775630174.db2.gz WTDCVNMIMOBLMQ-CHWSQXEVSA-N 1 2 318.845 1.353 20 30 DDEDLO N#Cc1c(F)cccc1NCCCNC(=O)Cc1[nH]cc[nH+]1 ZINC001094549806 776059506 /nfs/dbraw/zinc/05/95/06/776059506.db2.gz SYPLBXROVYMMPI-UHFFFAOYSA-N 1 2 301.325 1.581 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3CCCCCC3)CC2=O)C1 ZINC001094712056 776180548 /nfs/dbraw/zinc/18/05/48/776180548.db2.gz UBYQWGMOUHCJHU-HNNXBMFYSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C3=CCCCCC3)CC2=O)C1 ZINC001094726768 776228753 /nfs/dbraw/zinc/22/87/53/776228753.db2.gz YWUWRWFIWKILBU-HNNXBMFYSA-N 1 2 317.433 1.464 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCN(CC)c1nc(C)[nH+]c(C)c1C ZINC001100503325 776244480 /nfs/dbraw/zinc/24/44/80/776244480.db2.gz WROFHUAQAUCSAN-KRWDZBQOSA-N 1 2 320.437 1.671 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3ccc(CC)o3)CC2=O)C1 ZINC001094758267 776252238 /nfs/dbraw/zinc/25/22/38/776252238.db2.gz ZQDSUXUTJYZOQN-GFCCVEGCSA-N 1 2 317.389 1.043 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)[C@@H]3CC34CCCC4)CC2=O)C1 ZINC001094800767 776254885 /nfs/dbraw/zinc/25/48/85/776254885.db2.gz CKIXTWMAPCETQR-HIFRSBDPSA-N 1 2 317.433 1.154 20 30 DDEDLO C[C@H](CCCCNCC#N)NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001171595616 776360467 /nfs/dbraw/zinc/36/04/67/776360467.db2.gz DRTDMTUIRHOJGC-KGLIPLIRSA-N 1 2 303.410 1.234 20 30 DDEDLO COC(=O)C[C@H]1C[N@@H+]([C@H](C)Cc2ccc(C#N)cc2)CCO1 ZINC001172319747 776747196 /nfs/dbraw/zinc/74/71/96/776747196.db2.gz QVPUUMQSHSUINQ-CJNGLKHVSA-N 1 2 302.374 1.753 20 30 DDEDLO COC(=O)C[C@H]1C[N@H+]([C@H](C)Cc2ccc(C#N)cc2)CCO1 ZINC001172319747 776747201 /nfs/dbraw/zinc/74/72/01/776747201.db2.gz QVPUUMQSHSUINQ-CJNGLKHVSA-N 1 2 302.374 1.753 20 30 DDEDLO COc1ncnc(Nc2ccc(C[NH+]3CC(O)C3)cc2)c1C#N ZINC001212667074 776809163 /nfs/dbraw/zinc/80/91/63/776809163.db2.gz AAYBSHDPZQLZSL-UHFFFAOYSA-N 1 2 311.345 1.277 20 30 DDEDLO Cc1ccc(C#N)c(N(CCNC(=O)Cc2[nH]cc[nH+]2)C2CC2)n1 ZINC001101373344 777104589 /nfs/dbraw/zinc/10/45/89/777104589.db2.gz FUCIIFBZUTWNHP-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1nc(N[C@H]2CCCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001095287565 777421153 /nfs/dbraw/zinc/42/11/53/777421153.db2.gz ZEOBISZOWIFPFE-LBPRGKRZSA-N 1 2 305.407 1.445 20 30 DDEDLO CN(CCNc1ncccc1C#N)C(=O)CCc1c[nH+]cn1C ZINC001101725564 777437820 /nfs/dbraw/zinc/43/78/20/777437820.db2.gz BGPNUAFIYWRYBW-UHFFFAOYSA-N 1 2 312.377 1.190 20 30 DDEDLO Cc1nc(N2CC[C@H](CCNC(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001102301945 778034306 /nfs/dbraw/zinc/03/43/06/778034306.db2.gz MVYSDAIAPMYLBK-ABAIWWIYSA-N 1 2 315.421 1.894 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(c2ncc(F)cn2)CC1 ZINC001102413875 778125003 /nfs/dbraw/zinc/12/50/03/778125003.db2.gz FMZHRVZDKNPXJW-UHFFFAOYSA-N 1 2 321.400 1.162 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001102609822 778237002 /nfs/dbraw/zinc/23/70/02/778237002.db2.gz MDDPHQUFJYIMJJ-FRRDWIJNSA-N 1 2 324.450 1.313 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001102609822 778237006 /nfs/dbraw/zinc/23/70/06/778237006.db2.gz MDDPHQUFJYIMJJ-FRRDWIJNSA-N 1 2 324.450 1.313 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]2OCC[N@@H+](CC=C(C)C)[C@@H]2C1 ZINC001176948658 778342177 /nfs/dbraw/zinc/34/21/77/778342177.db2.gz CGUNNAYXNGNWFD-CVEARBPZSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]2OCC[N@H+](CC=C(C)C)[C@@H]2C1 ZINC001176948658 778342180 /nfs/dbraw/zinc/34/21/80/778342180.db2.gz CGUNNAYXNGNWFD-CVEARBPZSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@H+](CCOCC3CC3)[C@H]2C1 ZINC001176955783 778346614 /nfs/dbraw/zinc/34/66/14/778346614.db2.gz ZGRHXXZWVIKSBM-DLBZAZTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOCC3CC3)[C@H]2C1 ZINC001176955783 778346620 /nfs/dbraw/zinc/34/66/20/778346620.db2.gz ZGRHXXZWVIKSBM-DLBZAZTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1C ZINC001102774614 778388852 /nfs/dbraw/zinc/38/88/52/778388852.db2.gz PXWHUXRFLURFTE-CHWSQXEVSA-N 1 2 324.450 1.315 20 30 DDEDLO C=CCOCC(=O)NCC[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1C ZINC001102774614 778388858 /nfs/dbraw/zinc/38/88/58/778388858.db2.gz PXWHUXRFLURFTE-CHWSQXEVSA-N 1 2 324.450 1.315 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C3(CCOC)CC3)C[C@H]21 ZINC001177029353 778399182 /nfs/dbraw/zinc/39/91/82/778399182.db2.gz FOEJFLNQGKTFKA-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C3(CCOC)CC3)C[C@H]21 ZINC001177029353 778399190 /nfs/dbraw/zinc/39/91/90/778399190.db2.gz FOEJFLNQGKTFKA-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO CCN(C(=O)Cc1[nH]cc[nH+]1)[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001102815467 778423645 /nfs/dbraw/zinc/42/36/45/778423645.db2.gz DMQNSAUJRXNJFC-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO N#Cc1cnc(NC2(CNC(=O)Cc3[nH]cc[nH+]3)CC2)c(F)c1 ZINC001110207341 778577355 /nfs/dbraw/zinc/57/73/55/778577355.db2.gz WZCSVYRBIQUHDI-UHFFFAOYSA-N 1 2 314.324 1.119 20 30 DDEDLO N#CCNCCCN(CC1CCCC1)C(=O)Cc1[nH]cc[nH+]1 ZINC001177449090 778592834 /nfs/dbraw/zinc/59/28/34/778592834.db2.gz ZORYSASVGUOVJY-UHFFFAOYSA-N 1 2 303.410 1.474 20 30 DDEDLO O=C(C#Cc1cccnc1)N1CC[NH+](CCc2ccccn2)CC1 ZINC001177777278 778714070 /nfs/dbraw/zinc/71/40/70/778714070.db2.gz LZONLZNOHQOELJ-UHFFFAOYSA-N 1 2 320.396 1.215 20 30 DDEDLO CC[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)Nc1ccc(C#N)cn1 ZINC001103364702 778821599 /nfs/dbraw/zinc/82/15/99/778821599.db2.gz SHACDTZZJXUIRB-MGPQQGTHSA-N 1 2 324.388 1.787 20 30 DDEDLO CC[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)Nc1ccc(C#N)cn1 ZINC001103364702 778821607 /nfs/dbraw/zinc/82/16/07/778821607.db2.gz SHACDTZZJXUIRB-MGPQQGTHSA-N 1 2 324.388 1.787 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1(CNc2cc[nH+]c(C)n2)CCCC1 ZINC001103423216 778858055 /nfs/dbraw/zinc/85/80/55/778858055.db2.gz SLXBKDVCUDRCNK-MRXNPFEDSA-N 1 2 318.421 1.953 20 30 DDEDLO COCC[NH+]1CCN(C(=O)C#Cc2ccc(F)cc2F)CC1 ZINC001178570354 779105545 /nfs/dbraw/zinc/10/55/45/779105545.db2.gz XHOXLTKKWBMIIR-UHFFFAOYSA-N 1 2 308.328 1.107 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H](C)CNc1cc[nH+]c(C)n1 ZINC001103885157 779132929 /nfs/dbraw/zinc/13/29/29/779132929.db2.gz SRMJZDWHLUTLPJ-QWHCGFSZSA-N 1 2 306.410 1.930 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H](C)CNc1ncccc1C#N ZINC001104039444 779264013 /nfs/dbraw/zinc/26/40/13/779264013.db2.gz QMXIVZOMACEAIP-LLVKDONJSA-N 1 2 312.377 1.392 20 30 DDEDLO CN(C(=O)Cc1[nH]cc[nH+]1)[C@H](CNc1ncccc1C#N)C1CC1 ZINC001115534264 780219590 /nfs/dbraw/zinc/21/95/90/780219590.db2.gz IZKFAJKRGQBBCH-CQSZACIVSA-N 1 2 324.388 1.568 20 30 DDEDLO N#CCNC1(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)CCCCC1 ZINC001115601792 780261609 /nfs/dbraw/zinc/26/16/09/780261609.db2.gz RIGUJSTXQXNSRV-AWEZNQCLSA-N 1 2 315.421 1.378 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001115647741 780302568 /nfs/dbraw/zinc/30/25/68/780302568.db2.gz UAZUDAUTYSXMPL-NSHDSACASA-N 1 2 306.414 1.471 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCNC(=O)C2CCC2)c1 ZINC001267182455 837486976 /nfs/dbraw/zinc/48/69/76/837486976.db2.gz DMTIKHKJQKOKCZ-UHFFFAOYSA-N 1 2 313.401 1.455 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCNC(=O)C2CCC2)c1 ZINC001267182455 837486981 /nfs/dbraw/zinc/48/69/81/837486981.db2.gz DMTIKHKJQKOKCZ-UHFFFAOYSA-N 1 2 313.401 1.455 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H]1CCC[C@H]1CCC ZINC001267204828 837530432 /nfs/dbraw/zinc/53/04/32/837530432.db2.gz MZPNDTQVSCFCOQ-CABCVRRESA-N 1 2 307.438 1.000 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCNC(=O)[C@H]1CCC[C@H]1CCC ZINC001267204828 837530439 /nfs/dbraw/zinc/53/04/39/837530439.db2.gz MZPNDTQVSCFCOQ-CABCVRRESA-N 1 2 307.438 1.000 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)c1cccc(C(C)C)c1 ZINC001267225271 837561544 /nfs/dbraw/zinc/56/15/44/837561544.db2.gz SCMOOTJBPXAJJU-UHFFFAOYSA-N 1 2 317.433 1.774 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)c1cccc(C(C)C)c1 ZINC001267225271 837561550 /nfs/dbraw/zinc/56/15/50/837561550.db2.gz SCMOOTJBPXAJJU-UHFFFAOYSA-N 1 2 317.433 1.774 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@@H+](CC)Cc1cc2n(n1)CCC2 ZINC001267247236 837665943 /nfs/dbraw/zinc/66/59/43/837665943.db2.gz DZZQUGAANORCEP-UHFFFAOYSA-N 1 2 304.438 1.980 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[N@H+](CC)Cc1cc2n(n1)CCC2 ZINC001267247236 837665949 /nfs/dbraw/zinc/66/59/49/837665949.db2.gz DZZQUGAANORCEP-UHFFFAOYSA-N 1 2 304.438 1.980 20 30 DDEDLO CCc1nocc1C[N@H+](CCNC(=O)C#CC1CC1)C1CC1 ZINC001267325331 837827428 /nfs/dbraw/zinc/82/74/28/837827428.db2.gz HESTVZATAPHFAE-UHFFFAOYSA-N 1 2 301.390 1.731 20 30 DDEDLO CCc1nocc1C[N@@H+](CCNC(=O)C#CC1CC1)C1CC1 ZINC001267325331 837827441 /nfs/dbraw/zinc/82/74/41/837827441.db2.gz HESTVZATAPHFAE-UHFFFAOYSA-N 1 2 301.390 1.731 20 30 DDEDLO C[N@H+](CCNC(=O)c1ccnc(F)c1)Cc1ccccc1C#N ZINC001266261934 836003786 /nfs/dbraw/zinc/00/37/86/836003786.db2.gz DZBKYWRMWVQDTI-UHFFFAOYSA-N 1 2 312.348 1.954 20 30 DDEDLO C[N@@H+](CCNC(=O)c1ccnc(F)c1)Cc1ccccc1C#N ZINC001266261934 836003796 /nfs/dbraw/zinc/00/37/96/836003796.db2.gz DZBKYWRMWVQDTI-UHFFFAOYSA-N 1 2 312.348 1.954 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)CCNC(=O)c1ocnc1C ZINC001266265549 836008582 /nfs/dbraw/zinc/00/85/82/836008582.db2.gz IHFSQOYXMVKMRM-UHFFFAOYSA-N 1 2 322.409 1.069 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)CCNC(=O)c1ocnc1C ZINC001266265549 836008594 /nfs/dbraw/zinc/00/85/94/836008594.db2.gz IHFSQOYXMVKMRM-UHFFFAOYSA-N 1 2 322.409 1.069 20 30 DDEDLO C[N@H+](CCNC(=O)C#CC(C)(C)C)CC(=O)N1CCCCC1 ZINC001266273183 836023298 /nfs/dbraw/zinc/02/32/98/836023298.db2.gz MEJUVVWBTLJXPV-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C[N@@H+](CCNC(=O)C#CC(C)(C)C)CC(=O)N1CCCCC1 ZINC001266273183 836023310 /nfs/dbraw/zinc/02/33/10/836023310.db2.gz MEJUVVWBTLJXPV-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)[C@H]2CCCC23CC3)CC1 ZINC001266288049 836058350 /nfs/dbraw/zinc/05/83/50/836058350.db2.gz PJDGZEMMSPBIKJ-MRXNPFEDSA-N 1 2 303.450 1.324 20 30 DDEDLO CC1(C)CCCC[C@H]1C(=O)NCC[NH+]1CCN(CC#N)CC1 ZINC001266296889 836071967 /nfs/dbraw/zinc/07/19/67/836071967.db2.gz GRKWWZJECWGTIM-HNNXBMFYSA-N 1 2 306.454 1.460 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@@H](F)c1ccccc1 ZINC001266329632 836126869 /nfs/dbraw/zinc/12/68/69/836126869.db2.gz BRFXRAZRTKGUSY-HNNXBMFYSA-N 1 2 307.369 1.047 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@@H](F)c1ccccc1 ZINC001266329632 836126875 /nfs/dbraw/zinc/12/68/75/836126875.db2.gz BRFXRAZRTKGUSY-HNNXBMFYSA-N 1 2 307.369 1.047 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@H+](Cc2ccc(OC)nn2)C1 ZINC001266341661 836143632 /nfs/dbraw/zinc/14/36/32/836143632.db2.gz LMIBLBTZWBIMHG-SUMWQHHRSA-N 1 2 318.421 1.778 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1CC[N@@H+](Cc2ccc(OC)nn2)C1 ZINC001266341661 836143634 /nfs/dbraw/zinc/14/36/34/836143634.db2.gz LMIBLBTZWBIMHG-SUMWQHHRSA-N 1 2 318.421 1.778 20 30 DDEDLO O=C(C#CC1CC1)NC[C@H]([NH2+]Cc1nc(C(F)F)no1)C1CC1 ZINC001266406774 836216191 /nfs/dbraw/zinc/21/61/91/836216191.db2.gz JWYOWULMZFOIBC-NSHDSACASA-N 1 2 324.331 1.405 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@@H](CNC(=O)C#CC(C)C)C(C)C)no1 ZINC001271838778 844148310 /nfs/dbraw/zinc/14/83/10/844148310.db2.gz PMFPWHJCBWGNFZ-OCCSQVGLSA-N 1 2 306.410 1.829 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)CCCC1CC1 ZINC001266852929 836938318 /nfs/dbraw/zinc/93/83/18/836938318.db2.gz BJDACMYXNSCJFS-HNNXBMFYSA-N 1 2 320.437 1.177 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)CCCC1CC1 ZINC001266852929 836938321 /nfs/dbraw/zinc/93/83/21/836938321.db2.gz BJDACMYXNSCJFS-HNNXBMFYSA-N 1 2 320.437 1.177 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[NH2+][C@@H](C)c2nc(C)no2)cc1 ZINC001266962390 837119712 /nfs/dbraw/zinc/11/97/12/837119712.db2.gz ZUUCOVSHYJCNKY-NEPJUHHUSA-N 1 2 312.373 1.828 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[NH2+]Cc2ncc(CC)o2)nc1 ZINC001266985680 837151096 /nfs/dbraw/zinc/15/10/96/837151096.db2.gz OVZWYXQZVAUWFV-GFCCVEGCSA-N 1 2 312.373 1.521 20 30 DDEDLO C#CCCCC(=O)N(C)CC[N@@H+](C)Cc1cccnc1OC ZINC001271997676 844282005 /nfs/dbraw/zinc/28/20/05/844282005.db2.gz CNPGVHFIRDAJGI-UHFFFAOYSA-N 1 2 303.406 1.784 20 30 DDEDLO C#CCCCC(=O)N(C)CC[N@H+](C)Cc1cccnc1OC ZINC001271997676 844282014 /nfs/dbraw/zinc/28/20/14/844282014.db2.gz CNPGVHFIRDAJGI-UHFFFAOYSA-N 1 2 303.406 1.784 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CC[NH2+][C@H](C)c1nnc(C)o1 ZINC001267429740 838026465 /nfs/dbraw/zinc/02/64/65/838026465.db2.gz IZRXTMGMSYVTRY-RTXFEEFZSA-N 1 2 308.382 1.078 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CCC)C(=O)c2cn(C)c(C)cc2=O)C1 ZINC001267466738 838114227 /nfs/dbraw/zinc/11/42/27/838114227.db2.gz QMRKEXPABCKYIC-OAHLLOKOSA-N 1 2 315.417 1.253 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CCC)C(=O)c2cn(C)c(C)cc2=O)C1 ZINC001267466738 838114236 /nfs/dbraw/zinc/11/42/36/838114236.db2.gz QMRKEXPABCKYIC-OAHLLOKOSA-N 1 2 315.417 1.253 20 30 DDEDLO CC#CC[NH2+][C@@H](CNC(=O)CCc1nc[nH]n1)c1ccccc1 ZINC001267517289 838232017 /nfs/dbraw/zinc/23/20/17/838232017.db2.gz OBCZHSZJUJYVOC-HNNXBMFYSA-N 1 2 311.389 1.208 20 30 DDEDLO CCC[C@H](C)C(=O)N(CCC)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267540488 838270964 /nfs/dbraw/zinc/27/09/64/838270964.db2.gz ZSAFNCQBYSWNGO-LSDHHAIUSA-N 1 2 322.453 1.375 20 30 DDEDLO CCC[C@H](C)C(=O)N(CCC)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267540488 838270972 /nfs/dbraw/zinc/27/09/72/838270972.db2.gz ZSAFNCQBYSWNGO-LSDHHAIUSA-N 1 2 322.453 1.375 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)CC[N@H+](C)Cc1nc(C)c(C)s1 ZINC001272013691 844320400 /nfs/dbraw/zinc/32/04/00/844320400.db2.gz QGNKFCLEMACINJ-CYBMUJFWSA-N 1 2 323.462 1.688 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)CC[N@@H+](C)Cc1nc(C)c(C)s1 ZINC001272013691 844320413 /nfs/dbraw/zinc/32/04/13/844320413.db2.gz QGNKFCLEMACINJ-CYBMUJFWSA-N 1 2 323.462 1.688 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)[C@H](OC)[C@H](C)CC)CC1 ZINC001267609340 838451920 /nfs/dbraw/zinc/45/19/20/838451920.db2.gz WTAWXGIKYXWMFO-IAGOWNOFSA-N 1 2 323.481 1.147 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@@H+](Cc3cnnn3C)C2)CCC1 ZINC001267629974 838532230 /nfs/dbraw/zinc/53/22/30/838532230.db2.gz STHUFOJRWCYSCU-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCC1(C(=O)N[C@H]2CCC[N@H+](Cc3cnnn3C)C2)CCC1 ZINC001267629974 838532234 /nfs/dbraw/zinc/53/22/34/838532234.db2.gz STHUFOJRWCYSCU-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1C[NH+](CCCOCc2ccccc2)C1 ZINC001267642427 838560036 /nfs/dbraw/zinc/56/00/36/838560036.db2.gz DAZAILRHRLPZLJ-AWEZNQCLSA-N 1 2 301.390 1.553 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1C[NH+](CCOC[C@@H]2CCCO2)C1 ZINC001267647956 838572494 /nfs/dbraw/zinc/57/24/94/838572494.db2.gz NLEDUBHQXOSYKC-HNNXBMFYSA-N 1 2 310.438 1.585 20 30 DDEDLO C#CCCCC(=O)NCC1C[NH+](Cc2cn(C)nc2C(F)F)C1 ZINC001267678940 838631068 /nfs/dbraw/zinc/63/10/68/838631068.db2.gz KDKAGNHAIUXJMN-UHFFFAOYSA-N 1 2 324.375 1.709 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2conc2C(C)C)C1 ZINC001267725800 838748314 /nfs/dbraw/zinc/74/83/14/838748314.db2.gz DBMVXMSQTPFHDA-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2conc2C(C)C)C1 ZINC001267725800 838748322 /nfs/dbraw/zinc/74/83/22/838748322.db2.gz DBMVXMSQTPFHDA-ZDUSSCGKSA-N 1 2 305.378 1.252 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267741360 838811335 /nfs/dbraw/zinc/81/13/35/838811335.db2.gz UPPUYEODUHQXED-CQSZACIVSA-N 1 2 300.406 1.525 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267741360 838811343 /nfs/dbraw/zinc/81/13/43/838811343.db2.gz UPPUYEODUHQXED-CQSZACIVSA-N 1 2 300.406 1.525 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001267747972 838835773 /nfs/dbraw/zinc/83/57/73/838835773.db2.gz JITAACSTZNNRDG-LSDHHAIUSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@@H](C)C(C)C)C1 ZINC001267747972 838835779 /nfs/dbraw/zinc/83/57/79/838835779.db2.gz JITAACSTZNNRDG-LSDHHAIUSA-N 1 2 309.454 1.694 20 30 DDEDLO CN(C(=O)C#CC1CC1)[C@@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001267763467 838899476 /nfs/dbraw/zinc/89/94/76/838899476.db2.gz XNWIIUWQQXTHLV-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO CN(C(=O)C#CC1CC1)[C@@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001267763467 838899483 /nfs/dbraw/zinc/89/94/83/838899483.db2.gz XNWIIUWQQXTHLV-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO CCN(C(=O)C#CC(C)(C)C)[C@H]1CC[N@H+](Cc2cnnn2C)C1 ZINC001267787250 838977007 /nfs/dbraw/zinc/97/70/07/838977007.db2.gz YTLBJOZQRFCHFF-AWEZNQCLSA-N 1 2 317.437 1.287 20 30 DDEDLO CCN(C(=O)C#CC(C)(C)C)[C@H]1CC[N@@H+](Cc2cnnn2C)C1 ZINC001267787250 838977012 /nfs/dbraw/zinc/97/70/12/838977012.db2.gz YTLBJOZQRFCHFF-AWEZNQCLSA-N 1 2 317.437 1.287 20 30 DDEDLO C#CCOCCC(=O)N(C)CC[N@@H+](C)[C@H](C)c1ncc(C)o1 ZINC001268237406 839873995 /nfs/dbraw/zinc/87/39/95/839873995.db2.gz HOQCWWMWTODGGY-CQSZACIVSA-N 1 2 307.394 1.474 20 30 DDEDLO C#CCOCCC(=O)N(C)CC[N@H+](C)[C@H](C)c1ncc(C)o1 ZINC001268237406 839874002 /nfs/dbraw/zinc/87/40/02/839874002.db2.gz HOQCWWMWTODGGY-CQSZACIVSA-N 1 2 307.394 1.474 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](O)C[NH2+][C@H](C)c1nc(C(C)C)no1 ZINC001268317688 839989495 /nfs/dbraw/zinc/98/94/95/839989495.db2.gz RWMKNVHOUZPUKL-NEPJUHHUSA-N 1 2 324.425 1.533 20 30 DDEDLO C=CCC1(C(=O)NC/C=C\C[NH2+]Cc2ncccn2)CCC1 ZINC001268586033 840491298 /nfs/dbraw/zinc/49/12/98/840491298.db2.gz CWWIRQVNDIOFHE-ARJAWSKDSA-N 1 2 300.406 1.985 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(C)C ZINC001268921800 840991538 /nfs/dbraw/zinc/99/15/38/840991538.db2.gz AEJOUWUKYUSJJZ-HOTGVXAUSA-N 1 2 321.465 1.742 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(C)C ZINC001268921800 840991548 /nfs/dbraw/zinc/99/15/48/840991548.db2.gz AEJOUWUKYUSJJZ-HOTGVXAUSA-N 1 2 321.465 1.742 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H](C)c1cnc(C)cn1 ZINC001268939339 841016949 /nfs/dbraw/zinc/01/69/49/841016949.db2.gz FWXUOHZTOFHRNE-PMPSAXMXSA-N 1 2 312.417 1.935 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H](C)c1cnc(C)cn1 ZINC001268939339 841016959 /nfs/dbraw/zinc/01/69/59/841016959.db2.gz FWXUOHZTOFHRNE-PMPSAXMXSA-N 1 2 312.417 1.935 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCC1CC1 ZINC001268960713 841059954 /nfs/dbraw/zinc/05/99/54/841059954.db2.gz ZSVXHLHWNPAUKD-BPUTZDHNSA-N 1 2 319.449 1.543 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCCC1CC1 ZINC001268960713 841059966 /nfs/dbraw/zinc/05/99/66/841059966.db2.gz ZSVXHLHWNPAUKD-BPUTZDHNSA-N 1 2 319.449 1.543 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@@H](NC(=O)C#CC2CC2)C2CC2)n1 ZINC001269066520 841171479 /nfs/dbraw/zinc/17/14/79/841171479.db2.gz HAPKNBOCEMLEMV-CQSZACIVSA-N 1 2 316.405 1.420 20 30 DDEDLO CC1(C)CCCC[C@H]1C(=O)N[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269097124 841200341 /nfs/dbraw/zinc/20/03/41/841200341.db2.gz QTVLWAPVJMNMNW-KBPBESRZSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CCCC[C@H]1C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269097124 841200346 /nfs/dbraw/zinc/20/03/46/841200346.db2.gz QTVLWAPVJMNMNW-KBPBESRZSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)CCCCN2C(=O)CCc1[nH]cc[nH+]1 ZINC001269175098 841295722 /nfs/dbraw/zinc/29/57/22/841295722.db2.gz HOEWELPPDAFOIM-QGZVFWFLSA-N 1 2 316.405 1.512 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCCC[C@@H]1CC ZINC001269204416 841338880 /nfs/dbraw/zinc/33/88/80/841338880.db2.gz CODMGZKHGZXLSU-HOTGVXAUSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCCC[C@@H]1CC ZINC001269204416 841338890 /nfs/dbraw/zinc/33/88/90/841338890.db2.gz CODMGZKHGZXLSU-HOTGVXAUSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)C(=O)N1CCCCCC1 ZINC001269204402 841339674 /nfs/dbraw/zinc/33/96/74/841339674.db2.gz CMMBCDAFNPQETO-HOTGVXAUSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)N1CCCCCC1 ZINC001269204402 841339686 /nfs/dbraw/zinc/33/96/86/841339686.db2.gz CMMBCDAFNPQETO-HOTGVXAUSA-N 1 2 321.465 1.934 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@H+]1[C@@H](C)C(=O)N(CC)CC ZINC001269224655 841371406 /nfs/dbraw/zinc/37/14/06/841371406.db2.gz XRIMHEPXDCVQJA-LSDHHAIUSA-N 1 2 307.438 1.237 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CC[N@@H+]1[C@@H](C)C(=O)N(CC)CC ZINC001269224655 841371419 /nfs/dbraw/zinc/37/14/19/841371419.db2.gz XRIMHEPXDCVQJA-LSDHHAIUSA-N 1 2 307.438 1.237 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)C(C)(C)[C@@H]1CCCCO1 ZINC001269235832 841401099 /nfs/dbraw/zinc/40/10/99/841401099.db2.gz PUQOYWYSYRCFRO-HOTGVXAUSA-N 1 2 322.449 1.422 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)C(C)(C)[C@@H]1CCCCO1 ZINC001269235832 841401109 /nfs/dbraw/zinc/40/11/09/841401109.db2.gz PUQOYWYSYRCFRO-HOTGVXAUSA-N 1 2 322.449 1.422 20 30 DDEDLO CCCC(CCC)C(=O)N(C)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269268910 841447505 /nfs/dbraw/zinc/44/75/05/841447505.db2.gz RANXWFAWVXSWHR-OAHLLOKOSA-N 1 2 322.453 1.375 20 30 DDEDLO CCCC(CCC)C(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269268910 841447506 /nfs/dbraw/zinc/44/75/06/841447506.db2.gz RANXWFAWVXSWHR-OAHLLOKOSA-N 1 2 322.453 1.375 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(CC)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269345700 841548896 /nfs/dbraw/zinc/54/88/96/841548896.db2.gz UMOSKRPQMZFGPA-HUUCEWRRSA-N 1 2 320.437 1.151 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(CC)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269345700 841548902 /nfs/dbraw/zinc/54/89/02/841548902.db2.gz UMOSKRPQMZFGPA-HUUCEWRRSA-N 1 2 320.437 1.151 20 30 DDEDLO C=CCCCC(=O)N(C)C1C[NH+](CCn2nc(C)nc2C)C1 ZINC001269839328 842094569 /nfs/dbraw/zinc/09/45/69/842094569.db2.gz NLVXRIUQFLVEDS-UHFFFAOYSA-N 1 2 305.426 1.394 20 30 DDEDLO C=CCCCC(=O)NCCC1CC[NH+](Cc2nnnn2C)CC1 ZINC001270138185 842327036 /nfs/dbraw/zinc/32/70/36/842327036.db2.gz NYZCIQRQVGJYLQ-UHFFFAOYSA-N 1 2 320.441 1.285 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)c2cocc2C)C1 ZINC001270664719 842795100 /nfs/dbraw/zinc/79/51/00/842795100.db2.gz HRZSBOSSROGRKE-AWEZNQCLSA-N 1 2 308.378 1.221 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)c2cocc2C)C1 ZINC001270664719 842795109 /nfs/dbraw/zinc/79/51/09/842795109.db2.gz HRZSBOSSROGRKE-AWEZNQCLSA-N 1 2 308.378 1.221 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001271185456 843393465 /nfs/dbraw/zinc/39/34/65/843393465.db2.gz ZWAOVOGVNPQJLG-WBMJQRKESA-N 1 2 322.409 1.348 20 30 DDEDLO C=CCCCC(=O)NC[C@@]1(O)CC[N@H+]([C@H](C)c2nnc(C)o2)C1 ZINC001271185456 843393477 /nfs/dbraw/zinc/39/34/77/843393477.db2.gz ZWAOVOGVNPQJLG-WBMJQRKESA-N 1 2 322.409 1.348 20 30 DDEDLO CC(C)C[C@@H](CNCC#N)NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001271278686 843463296 /nfs/dbraw/zinc/46/32/96/843463296.db2.gz OXZJGNRFKNHTBV-KBPBESRZSA-N 1 2 303.410 1.090 20 30 DDEDLO C[C@@H](NCC#N)[C@@H]1CCCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001271646764 843752618 /nfs/dbraw/zinc/75/26/18/843752618.db2.gz ZALUSOACIYZUNY-CHWSQXEVSA-N 1 2 311.389 1.917 20 30 DDEDLO C[C@@H]1CCCN(C(=O)CCc2[nH+]ccn2C)[C@@H]1CNCC#N ZINC001271728910 843817494 /nfs/dbraw/zinc/81/74/94/843817494.db2.gz VMDCVZITZRIYJM-ZIAGYGMSSA-N 1 2 303.410 1.093 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+][C@@H](C)c2nc(C)no2)CCOCC1 ZINC001149313528 861539197 /nfs/dbraw/zinc/53/91/97/861539197.db2.gz XQVHRFOXBKRJSI-LBPRGKRZSA-N 1 2 322.409 1.518 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H](C)[N@H+](C)Cc1cnc(Cl)s1 ZINC001409496850 845198703 /nfs/dbraw/zinc/19/87/03/845198703.db2.gz DGQUHRLYHZHGHQ-BDAKNGLRSA-N 1 2 300.815 1.893 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H](C)[N@@H+](C)Cc1cnc(Cl)s1 ZINC001409496850 845198706 /nfs/dbraw/zinc/19/87/06/845198706.db2.gz DGQUHRLYHZHGHQ-BDAKNGLRSA-N 1 2 300.815 1.893 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001155067441 861699068 /nfs/dbraw/zinc/69/90/68/861699068.db2.gz ZSGIZSPMDIAOKK-MLGOLLRUSA-N 1 2 323.441 1.037 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(=O)n(C)[nH]1 ZINC001155067441 861699078 /nfs/dbraw/zinc/69/90/78/861699078.db2.gz ZSGIZSPMDIAOKK-MLGOLLRUSA-N 1 2 323.441 1.037 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2ccco2)C1 ZINC001107732110 846895015 /nfs/dbraw/zinc/89/50/15/846895015.db2.gz ZMTFJXNIAJVOKY-KRWDZBQOSA-N 1 2 304.390 1.443 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2ccco2)C1 ZINC001107732110 846895024 /nfs/dbraw/zinc/89/50/24/846895024.db2.gz ZMTFJXNIAJVOKY-KRWDZBQOSA-N 1 2 304.390 1.443 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCOCC(C)C)C1 ZINC001107763776 846987521 /nfs/dbraw/zinc/98/75/21/846987521.db2.gz LXJDVAJZEUBGAL-QGZVFWFLSA-N 1 2 310.438 1.280 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCOCC(C)C)C1 ZINC001107763776 846987528 /nfs/dbraw/zinc/98/75/28/846987528.db2.gz LXJDVAJZEUBGAL-QGZVFWFLSA-N 1 2 310.438 1.280 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@]1(C)C[N@H+](CC#CC)CCO1 ZINC001107792080 847089851 /nfs/dbraw/zinc/08/98/51/847089851.db2.gz GFJJGIDTPTWIFI-RDJZCZTQSA-N 1 2 308.422 1.198 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001107792080 847089860 /nfs/dbraw/zinc/08/98/60/847089860.db2.gz GFJJGIDTPTWIFI-RDJZCZTQSA-N 1 2 308.422 1.198 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3c[nH]c4cccc(C)c34)C2)OCC1=O ZINC001273007148 847771798 /nfs/dbraw/zinc/77/17/98/847771798.db2.gz YFLQGVPGVSDKKB-UHFFFAOYSA-N 1 2 323.396 1.523 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@H](C)CSC)C2)CC1 ZINC001327083019 861861643 /nfs/dbraw/zinc/86/16/43/861861643.db2.gz QPJJBOREPORFIQ-HZPDHXFCSA-N 1 2 323.506 1.227 20 30 DDEDLO C=CC[N@H+]1CCCC[C@@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001034213557 848120417 /nfs/dbraw/zinc/12/04/17/848120417.db2.gz ZPNWZEAUDMYPCR-HUUCEWRRSA-N 1 2 302.422 1.986 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCCC[C@H](NC(=O)c2nnc[nH]2)C1 ZINC001034448356 848511694 /nfs/dbraw/zinc/51/16/94/848511694.db2.gz OZJQYZNYPINCCI-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCCC[C@H](NC(=O)c2nnc[nH]2)C1 ZINC001034448356 848511697 /nfs/dbraw/zinc/51/16/97/848511697.db2.gz OZJQYZNYPINCCI-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cnc3ccccc3c1)C2 ZINC001273177031 848636063 /nfs/dbraw/zinc/63/60/63/848636063.db2.gz GARMXCNVQKSNIB-UHFFFAOYSA-N 1 2 323.396 1.834 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@H+](Cc2nnc(C)[nH]2)CC1 ZINC001327149095 861928605 /nfs/dbraw/zinc/92/86/05/861928605.db2.gz XRDRGDURXJSGLH-OAHLLOKOSA-N 1 2 317.437 1.777 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2nnc(C)[nH]2)CC1 ZINC001327149095 861928627 /nfs/dbraw/zinc/92/86/27/861928627.db2.gz XRDRGDURXJSGLH-OAHLLOKOSA-N 1 2 317.437 1.777 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@H](O)CN(C)C(=O)[C@H](C)CC ZINC001411157556 850297838 /nfs/dbraw/zinc/29/78/38/850297838.db2.gz SATRDKZQMLOWBM-PWSUYJOCSA-N 1 2 321.259 1.692 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@H](O)CN(C)C(=O)[C@H](C)CC ZINC001411157556 850297840 /nfs/dbraw/zinc/29/78/40/850297840.db2.gz SATRDKZQMLOWBM-PWSUYJOCSA-N 1 2 321.259 1.692 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)C2(CF)CC2)C1 ZINC001150290572 862169865 /nfs/dbraw/zinc/16/98/65/862169865.db2.gz BJYGHJNVGRUESY-LBPRGKRZSA-N 1 2 304.793 1.696 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)C2(CF)CC2)C1 ZINC001150290572 862169873 /nfs/dbraw/zinc/16/98/73/862169873.db2.gz BJYGHJNVGRUESY-LBPRGKRZSA-N 1 2 304.793 1.696 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2nncn2C)CC1 ZINC001273458455 850844666 /nfs/dbraw/zinc/84/46/66/850844666.db2.gz VUCASWNQGUFVGP-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCC[N@H+](Cc2nncn2C)CC1 ZINC001273458455 850844678 /nfs/dbraw/zinc/84/46/78/850844678.db2.gz VUCASWNQGUFVGP-CQSZACIVSA-N 1 2 319.453 1.888 20 30 DDEDLO CCCCCCC[NH+]1CC2(C1)CN(C(=O)c1cnon1)CCO2 ZINC001273636883 851172506 /nfs/dbraw/zinc/17/25/06/851172506.db2.gz JUOUCCZGBMBWNE-UHFFFAOYSA-N 1 2 322.409 1.567 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)CC(C)(C)O ZINC001327507784 862224025 /nfs/dbraw/zinc/22/40/25/862224025.db2.gz MVRPCUGMRSQAIT-INIZCTEOSA-N 1 2 300.402 1.324 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)CC(C)(C)O ZINC001327507784 862224040 /nfs/dbraw/zinc/22/40/40/862224040.db2.gz MVRPCUGMRSQAIT-INIZCTEOSA-N 1 2 300.402 1.324 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H](OC)C1CCCC1)CO2 ZINC001273725138 851275995 /nfs/dbraw/zinc/27/59/95/851275995.db2.gz UVCFKLWYWGURGA-CVEARBPZSA-N 1 2 322.449 1.727 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@@H]3OCC[N@H+](C4CCCC4)[C@H]3C2)o1 ZINC001273821949 851385194 /nfs/dbraw/zinc/38/51/94/851385194.db2.gz FBMSWDNUDSTDTE-HOCLYGCPSA-N 1 2 315.373 1.619 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@@H]3OCC[N@@H+](C4CCCC4)[C@H]3C2)o1 ZINC001273821949 851385201 /nfs/dbraw/zinc/38/52/01/851385201.db2.gz FBMSWDNUDSTDTE-HOCLYGCPSA-N 1 2 315.373 1.619 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@](CO)([NH2+]Cc2ccon2)C1 ZINC001273867107 851437980 /nfs/dbraw/zinc/43/79/80/851437980.db2.gz PUNLTDJDGWVBBR-KRWDZBQOSA-N 1 2 319.405 1.311 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@@H+](C[C@@H](O)CCCCC)C[C@@]2(F)C1=O ZINC001273875999 851452133 /nfs/dbraw/zinc/45/21/33/851452133.db2.gz RCIPDZXZTLOREM-CWRNSKLLSA-N 1 2 314.376 1.135 20 30 DDEDLO C#CCN1C[C@@]2(F)C[N@H+](C[C@@H](O)CCCCC)C[C@@]2(F)C1=O ZINC001273875999 851452139 /nfs/dbraw/zinc/45/21/39/851452139.db2.gz RCIPDZXZTLOREM-CWRNSKLLSA-N 1 2 314.376 1.135 20 30 DDEDLO C[N@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(Cl)c(C#N)c(Cl)n1 ZINC001274440391 852273736 /nfs/dbraw/zinc/27/37/36/852273736.db2.gz JGISRHJIYKXXJW-OCAPTIKFSA-N 1 2 311.172 1.789 20 30 DDEDLO C[N@@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(Cl)c(C#N)c(Cl)n1 ZINC001274440391 852273742 /nfs/dbraw/zinc/27/37/42/852273742.db2.gz JGISRHJIYKXXJW-OCAPTIKFSA-N 1 2 311.172 1.789 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)COCCN(c1cccc[nH+]1)C2 ZINC001274739585 852568430 /nfs/dbraw/zinc/56/84/30/852568430.db2.gz LCZPHSVNDOMFBL-QGZVFWFLSA-N 1 2 301.390 1.713 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cc(Cl)ncc2O)C1=O ZINC001274838059 852628360 /nfs/dbraw/zinc/62/83/60/852628360.db2.gz HPNYLTJUCBKRIZ-INIZCTEOSA-N 1 2 319.792 1.641 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cc(Cl)ncc2O)C1=O ZINC001274838059 852628363 /nfs/dbraw/zinc/62/83/63/852628363.db2.gz HPNYLTJUCBKRIZ-INIZCTEOSA-N 1 2 319.792 1.641 20 30 DDEDLO CN(C)C(=O)C[N@H+]1C[C@H]2C[C@@H](C1)N2Cc1ccc(C#N)c(F)c1 ZINC001275326840 852983654 /nfs/dbraw/zinc/98/36/54/852983654.db2.gz DOVFYYRRAMDLGY-GASCZTMLSA-N 1 2 316.380 1.044 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1C[C@H]2C[C@@H](C1)N2Cc1ccc(C#N)c(F)c1 ZINC001275326840 852983657 /nfs/dbraw/zinc/98/36/57/852983657.db2.gz DOVFYYRRAMDLGY-GASCZTMLSA-N 1 2 316.380 1.044 20 30 DDEDLO CN(C)C(=O)C[N@H+]1C[C@H]2C[C@@H](C1)N2Cc1ccc(F)cc1C#N ZINC001275425773 853103783 /nfs/dbraw/zinc/10/37/83/853103783.db2.gz UHYVBWGKWCAASS-IYBDPMFKSA-N 1 2 316.380 1.044 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1C[C@H]2C[C@@H](C1)N2Cc1ccc(F)cc1C#N ZINC001275425773 853103791 /nfs/dbraw/zinc/10/37/91/853103791.db2.gz UHYVBWGKWCAASS-IYBDPMFKSA-N 1 2 316.380 1.044 20 30 DDEDLO C[N@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc(C#N)cc1Br ZINC001275734720 853649096 /nfs/dbraw/zinc/64/90/96/853649096.db2.gz OIELEINHVZCOCK-PHIMTYICSA-N 1 2 320.190 1.849 20 30 DDEDLO C[N@@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc(C#N)cc1Br ZINC001275734720 853649102 /nfs/dbraw/zinc/64/91/02/853649102.db2.gz OIELEINHVZCOCK-PHIMTYICSA-N 1 2 320.190 1.849 20 30 DDEDLO COCCOCN1CCC12C[NH+](Cc1ccc(C#N)cc1)C2 ZINC001275829136 853831263 /nfs/dbraw/zinc/83/12/63/853831263.db2.gz RTMGFNKIKVNBAJ-UHFFFAOYSA-N 1 2 301.390 1.439 20 30 DDEDLO CN(C)C(=O)CN1C[C@@H]2CC[N@H+](Cc3ccccc3C#N)[C@@H]2C1 ZINC001275965733 854029971 /nfs/dbraw/zinc/02/99/71/854029971.db2.gz OTQBBAUJOFNHSP-DLBZAZTESA-N 1 2 312.417 1.153 20 30 DDEDLO CN(C)C(=O)CN1C[C@@H]2CC[N@@H+](Cc3ccccc3C#N)[C@@H]2C1 ZINC001275965733 854029980 /nfs/dbraw/zinc/02/99/80/854029980.db2.gz OTQBBAUJOFNHSP-DLBZAZTESA-N 1 2 312.417 1.153 20 30 DDEDLO C=C(C)CC[NH+]1CC(CCO)(NC(=O)c2sc(C)nc2C)C1 ZINC001276100971 854764373 /nfs/dbraw/zinc/76/43/73/854764373.db2.gz KRMYGBQQZFOVAE-UHFFFAOYSA-N 1 2 323.462 1.893 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@@H+]2CCOC[C@H]2[C@H]2CCCO2)c1 ZINC001412979642 855852822 /nfs/dbraw/zinc/85/28/22/855852822.db2.gz BIKNXARPSGNQHB-GVDBMIGSSA-N 1 2 302.374 1.471 20 30 DDEDLO N#Cc1cccc([C@H](O)C[N@H+]2CCOC[C@H]2[C@H]2CCCO2)c1 ZINC001412979642 855852834 /nfs/dbraw/zinc/85/28/34/855852834.db2.gz BIKNXARPSGNQHB-GVDBMIGSSA-N 1 2 302.374 1.471 20 30 DDEDLO C#CCCCCC(=O)N[C@H](C)C1C[NH+](Cc2ccn(C)n2)C1 ZINC001276369718 856289663 /nfs/dbraw/zinc/28/96/63/856289663.db2.gz RIJWFPSZVPMVQQ-CQSZACIVSA-N 1 2 302.422 1.550 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072635441 857541586 /nfs/dbraw/zinc/54/15/86/857541586.db2.gz GGRQVERKOWSKQG-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1(C)C ZINC001073343232 858280538 /nfs/dbraw/zinc/28/05/38/858280538.db2.gz MPEDEAZHDBEWBU-CQSZACIVSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@@H]2CC2(F)F)C1 ZINC001073598296 858471466 /nfs/dbraw/zinc/47/14/66/858471466.db2.gz VSERGXJDFIZHKB-MNOVXSKESA-N 1 2 308.756 1.601 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@@H]2CC2(F)F)C1 ZINC001073598296 858471467 /nfs/dbraw/zinc/47/14/67/858471467.db2.gz VSERGXJDFIZHKB-MNOVXSKESA-N 1 2 308.756 1.601 20 30 DDEDLO Cc1nc(NC[C@@H](O)CN(C)C(=O)C#CC(C)(C)C)cc[nH+]1 ZINC001124282909 859666507 /nfs/dbraw/zinc/66/65/07/859666507.db2.gz CAEDFFIHTNBTBU-CYBMUJFWSA-N 1 2 304.394 1.066 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(CCCC)no1 ZINC001124487298 859720840 /nfs/dbraw/zinc/72/08/40/859720840.db2.gz BHLAOEXOFNACNY-CYBMUJFWSA-N 1 2 324.425 1.599 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2cc(O)cc(O)c2)C1 ZINC001138333560 860061495 /nfs/dbraw/zinc/06/14/95/860061495.db2.gz ICLYEMDGNZVPNA-ZDUSSCGKSA-N 1 2 306.362 1.974 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2cc(O)cc(O)c2)C1 ZINC001138333560 860061506 /nfs/dbraw/zinc/06/15/06/860061506.db2.gz ICLYEMDGNZVPNA-ZDUSSCGKSA-N 1 2 306.362 1.974 20 30 DDEDLO CC#CC[NH2+][C@@H]1C[C@H](NC(=O)c2cncc3nc[nH]c32)C12CCC2 ZINC001202940974 860422707 /nfs/dbraw/zinc/42/27/07/860422707.db2.gz UUXZAJBHKXPDBW-CABCVRRESA-N 1 2 323.400 1.612 20 30 DDEDLO C=CC[C@@](C)(NC(=O)c1nc2c(s1)C[N@H+](C)CC2)C(=O)OC ZINC001154224747 860893891 /nfs/dbraw/zinc/89/38/91/860893891.db2.gz ZYYBHCRDTGNRMD-OAHLLOKOSA-N 1 2 323.418 1.369 20 30 DDEDLO C=CC[C@@](C)(NC(=O)c1nc2c(s1)C[N@@H+](C)CC2)C(=O)OC ZINC001154224747 860893896 /nfs/dbraw/zinc/89/38/96/860893896.db2.gz ZYYBHCRDTGNRMD-OAHLLOKOSA-N 1 2 323.418 1.369 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)[NH2+]Cc1nc(COC)no1 ZINC001329103376 863477212 /nfs/dbraw/zinc/47/72/12/863477212.db2.gz KDBMUMDZSMKTFH-NWDGAFQWSA-N 1 2 324.425 1.801 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)[NH2+]Cc1nc(COC)no1 ZINC001329103378 863477255 /nfs/dbraw/zinc/47/72/55/863477255.db2.gz KDBMUMDZSMKTFH-VXGBXAGGSA-N 1 2 324.425 1.801 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)o1 ZINC001153012840 863653329 /nfs/dbraw/zinc/65/33/29/863653329.db2.gz VMLIPANBKYRHNH-WBMJQRKESA-N 1 2 309.410 1.637 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)o1 ZINC001153012840 863653333 /nfs/dbraw/zinc/65/33/33/863653333.db2.gz VMLIPANBKYRHNH-WBMJQRKESA-N 1 2 309.410 1.637 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001153091264 863704151 /nfs/dbraw/zinc/70/41/51/863704151.db2.gz IPIZJAWORGDEQN-UHFFFAOYSA-N 1 2 310.398 1.166 20 30 DDEDLO Cc1ccccc1C[NH+]1CC(CCO)(NC(=O)C#CC2CC2)C1 ZINC001329656895 863773884 /nfs/dbraw/zinc/77/38/84/863773884.db2.gz SVPAFFZZYUFHIJ-UHFFFAOYSA-N 1 2 312.413 1.461 20 30 DDEDLO CCn1ccnc1C[N@H+](CC)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153233139 863778538 /nfs/dbraw/zinc/77/85/38/863778538.db2.gz VVEQGCXTIYJBAU-CQSZACIVSA-N 1 2 302.422 1.643 20 30 DDEDLO CCn1ccnc1C[N@@H+](CC)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153233139 863778542 /nfs/dbraw/zinc/77/85/42/863778542.db2.gz VVEQGCXTIYJBAU-CQSZACIVSA-N 1 2 302.422 1.643 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CCC3(C)CC3)C2)C1 ZINC001330158992 864112403 /nfs/dbraw/zinc/11/24/03/864112403.db2.gz CXQMCAYMKYUYQS-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CCC3(C)CC3)C2)C1 ZINC001330158992 864112408 /nfs/dbraw/zinc/11/24/08/864112408.db2.gz CXQMCAYMKYUYQS-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[N@@H+](Cc2cnnn2CC)CC1(C)C ZINC001330177094 864127510 /nfs/dbraw/zinc/12/75/10/864127510.db2.gz OHAOERKWOWKVRB-AWEZNQCLSA-N 1 2 305.426 1.591 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[N@H+](Cc2cnnn2CC)CC1(C)C ZINC001330177094 864127516 /nfs/dbraw/zinc/12/75/16/864127516.db2.gz OHAOERKWOWKVRB-AWEZNQCLSA-N 1 2 305.426 1.591 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC001331277608 864982374 /nfs/dbraw/zinc/98/23/74/864982374.db2.gz OJKNMVNMULJSGW-HNNXBMFYSA-N 1 2 312.373 1.710 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1noc(C2CC2)n1 ZINC001225427700 881829548 /nfs/dbraw/zinc/82/95/48/881829548.db2.gz VKDSBUFRKJLYLJ-RAIGVLPGSA-N 1 2 317.393 1.624 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H](CO)[NH2+]Cc2nccs2)CC1 ZINC001331787133 865328267 /nfs/dbraw/zinc/32/82/67/865328267.db2.gz GPAVYHJSGOFSSL-ZDUSSCGKSA-N 1 2 309.435 1.456 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H](O)C[N@H+](C)Cc1cc(C)on1 ZINC001332663971 866041239 /nfs/dbraw/zinc/04/12/39/866041239.db2.gz RCIICSJVOMJSQS-NVXWUHKLSA-N 1 2 323.437 1.836 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1cc(C)on1 ZINC001332663971 866041255 /nfs/dbraw/zinc/04/12/55/866041255.db2.gz RCIICSJVOMJSQS-NVXWUHKLSA-N 1 2 323.437 1.836 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1cc(C)on1 ZINC001332665631 866042761 /nfs/dbraw/zinc/04/27/61/866042761.db2.gz VDMDAWMIWOIQMJ-HNNXBMFYSA-N 1 2 323.437 1.836 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1cc(C)on1 ZINC001332665631 866042777 /nfs/dbraw/zinc/04/27/77/866042777.db2.gz VDMDAWMIWOIQMJ-HNNXBMFYSA-N 1 2 323.437 1.836 20 30 DDEDLO N#Cc1cnc(Cl)nc1N[C@@H]1CCC[C@H]1[NH+]1CCOCC1 ZINC001160722948 866066722 /nfs/dbraw/zinc/06/67/22/866066722.db2.gz IWLYQGSGPBRRKL-VXGBXAGGSA-N 1 2 307.785 1.089 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)NCCC1=CCN(CC#N)CC1 ZINC001160748595 866095329 /nfs/dbraw/zinc/09/53/29/866095329.db2.gz JQTJVPDZMFCHIV-UHFFFAOYSA-N 1 2 301.394 1.015 20 30 DDEDLO CC#CC[N@H+](C)CCN(C(=O)[C@@H](C)C[NH+]1CCOCC1)C(C)C ZINC001332740263 866109452 /nfs/dbraw/zinc/10/94/52/866109452.db2.gz GKFDYBYKBLXCJZ-KRWDZBQOSA-N 1 2 323.481 1.147 20 30 DDEDLO CC#CC[N@@H+](C)CCN(C(=O)[C@@H](C)C[NH+]1CCOCC1)C(C)C ZINC001332740263 866109458 /nfs/dbraw/zinc/10/94/58/866109458.db2.gz GKFDYBYKBLXCJZ-KRWDZBQOSA-N 1 2 323.481 1.147 20 30 DDEDLO CC#CC[N@@H+](C)CCN(C(=O)[C@@H](C)CN1CCOCC1)C(C)C ZINC001332740263 866109466 /nfs/dbraw/zinc/10/94/66/866109466.db2.gz GKFDYBYKBLXCJZ-KRWDZBQOSA-N 1 2 323.481 1.147 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@@H](NC(=O)C#CC(C)C)C2CC2)no1 ZINC001322990128 866245508 /nfs/dbraw/zinc/24/55/08/866245508.db2.gz DNPRXNFOODDCRZ-SMDDNHRTSA-N 1 2 304.394 1.583 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C[C@@H](NC(=O)C#CC2CC2)C2CC2)o1 ZINC001322999980 866256744 /nfs/dbraw/zinc/25/67/44/866256744.db2.gz LCHQOZXMWDSRNN-CQSZACIVSA-N 1 2 316.405 1.591 20 30 DDEDLO N#Cc1cnc(CNc2cc(N3CCOCC3)nc[nH+]2)s1 ZINC001161000749 866260478 /nfs/dbraw/zinc/26/04/78/866260478.db2.gz GCCMDDWLMKIAHG-UHFFFAOYSA-N 1 2 302.363 1.253 20 30 DDEDLO N#Cc1cnc(CNc2cc(N3CCOCC3)[nH+]cn2)s1 ZINC001161000749 866260488 /nfs/dbraw/zinc/26/04/88/866260488.db2.gz GCCMDDWLMKIAHG-UHFFFAOYSA-N 1 2 302.363 1.253 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC001320039145 866441029 /nfs/dbraw/zinc/44/10/29/866441029.db2.gz XXKIHDHPSXQUDG-OAHLLOKOSA-N 1 2 318.373 1.229 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC001320039145 866441041 /nfs/dbraw/zinc/44/10/41/866441041.db2.gz XXKIHDHPSXQUDG-OAHLLOKOSA-N 1 2 318.373 1.229 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1cccc(Cl)c1 ZINC001320072261 866456143 /nfs/dbraw/zinc/45/61/43/866456143.db2.gz FLCXMUWFKXHUKN-UHFFFAOYSA-N 1 2 323.824 1.646 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1cccc(Cl)c1 ZINC001320072261 866456146 /nfs/dbraw/zinc/45/61/46/866456146.db2.gz FLCXMUWFKXHUKN-UHFFFAOYSA-N 1 2 323.824 1.646 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@H]1CNC(=O)c1snnc1CC ZINC001323259578 866479718 /nfs/dbraw/zinc/47/97/18/866479718.db2.gz SLNJHBNEPJHJEB-NSHDSACASA-N 1 2 310.423 1.107 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@H]1CNC(=O)c1snnc1CC ZINC001323259578 866479741 /nfs/dbraw/zinc/47/97/41/866479741.db2.gz SLNJHBNEPJHJEB-NSHDSACASA-N 1 2 310.423 1.107 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H](CC)Oc1ccccc1F ZINC001323337262 866543514 /nfs/dbraw/zinc/54/35/14/866543514.db2.gz WKHXQKBMXGWAHV-HIFRSBDPSA-N 1 2 304.365 1.807 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H](CC)Oc1ccccc1F ZINC001323337262 866543523 /nfs/dbraw/zinc/54/35/23/866543523.db2.gz WKHXQKBMXGWAHV-HIFRSBDPSA-N 1 2 304.365 1.807 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)Cc1ccccc1OC ZINC001323352191 866553495 /nfs/dbraw/zinc/55/34/95/866553495.db2.gz MCQNHIOCSLMWHK-INIZCTEOSA-N 1 2 316.401 1.078 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)Cc1ccccc1OC ZINC001323352191 866553516 /nfs/dbraw/zinc/55/35/16/866553516.db2.gz MCQNHIOCSLMWHK-INIZCTEOSA-N 1 2 316.401 1.078 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](O)C[NH2+][C@H](C)c1nc(C)no1 ZINC001320258457 866595930 /nfs/dbraw/zinc/59/59/30/866595930.db2.gz NGRZHNAAWOPBHT-ZYHUDNBSSA-N 1 2 310.398 1.108 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C1C[NH+](CCOc2ccccc2)C1 ZINC001323921745 866951396 /nfs/dbraw/zinc/95/13/96/866951396.db2.gz RZTYXOZJCVEVDJ-OAHLLOKOSA-N 1 2 316.401 1.246 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+](CN2C(=O)N[C@](C)(C(C)(C)C)C2=O)C1 ZINC001320849294 867075635 /nfs/dbraw/zinc/07/56/35/867075635.db2.gz RWVRJOSVVZIVRH-WBMJQRKESA-N 1 2 309.410 1.577 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+](CN2C(=O)N[C@](C)(C(C)(C)C)C2=O)C1 ZINC001320849294 867075646 /nfs/dbraw/zinc/07/56/46/867075646.db2.gz RWVRJOSVVZIVRH-WBMJQRKESA-N 1 2 309.410 1.577 20 30 DDEDLO C=CC[C@H]([NH2+]C1CCN(C(=O)c2ccoc2)CC1)C(=O)OCC ZINC001320904967 867114161 /nfs/dbraw/zinc/11/41/61/867114161.db2.gz DPNMZVKDWDEFBI-HNNXBMFYSA-N 1 2 320.389 1.982 20 30 DDEDLO C#CCN(C(=O)CCCC)C1CC[NH+](Cc2nnc(C)o2)CC1 ZINC001324362285 867227430 /nfs/dbraw/zinc/22/74/30/867227430.db2.gz MQDMERMEEJFLRS-UHFFFAOYSA-N 1 2 318.421 1.994 20 30 DDEDLO COc1cc[nH+]cc1CC(=O)N[C@H](CNCC#N)C1CCCC1 ZINC001324480363 867301609 /nfs/dbraw/zinc/30/16/09/867301609.db2.gz AWILKMVBGHGPQQ-OAHLLOKOSA-N 1 2 316.405 1.421 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(F)c2C)C1 ZINC001334196282 867375475 /nfs/dbraw/zinc/37/54/75/867375475.db2.gz PQKQYBYMVWRFSM-CYBMUJFWSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(F)c2C)C1 ZINC001334196282 867375489 /nfs/dbraw/zinc/37/54/89/867375489.db2.gz PQKQYBYMVWRFSM-CYBMUJFWSA-N 1 2 317.364 1.286 20 30 DDEDLO C#C[C@H]1CCCN(c2nnnn2CCCc2cccc(C)[nH+]2)C1 ZINC001334634512 867723610 /nfs/dbraw/zinc/72/36/10/867723610.db2.gz FJOSYKYLTUYUJN-HNNXBMFYSA-N 1 2 310.405 1.859 20 30 DDEDLO CCO[C@@H](C)c1noc(C[NH2+]C[C@H](C)NC(=O)C#CC(C)C)n1 ZINC001321843327 867828526 /nfs/dbraw/zinc/82/85/26/867828526.db2.gz PNBXTGABKYEOID-STQMWFEESA-N 1 2 322.409 1.421 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001325309325 867934857 /nfs/dbraw/zinc/93/48/57/867934857.db2.gz YNRMSRLWSNTILB-UHFFFAOYSA-N 1 2 320.458 1.845 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC001336069125 868712047 /nfs/dbraw/zinc/71/20/47/868712047.db2.gz ZTOJVDMLKQHNGH-UHFFFAOYSA-N 1 2 317.345 1.066 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC001336069125 868712054 /nfs/dbraw/zinc/71/20/54/868712054.db2.gz ZTOJVDMLKQHNGH-UHFFFAOYSA-N 1 2 317.345 1.066 20 30 DDEDLO CCS(=O)(=O)N1CCC(Nc2[nH+]cccc2CC#N)CC1 ZINC001164311478 869115150 /nfs/dbraw/zinc/11/51/50/869115150.db2.gz PPGCVDBRLCIMRP-UHFFFAOYSA-N 1 2 308.407 1.374 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](Nc2ccc3cc(C#N)c(C#N)cc3c2)C[NH2+]1 ZINC001164312774 869116458 /nfs/dbraw/zinc/11/64/58/869116458.db2.gz VJLQZOFAUGCWCT-IRXDYDNUSA-N 1 2 320.352 1.899 20 30 DDEDLO CC1(C)C[N@@H+](C2CN(c3ccc4[nH]c(C#N)cc4n3)C2)CCO1 ZINC001165128441 869397472 /nfs/dbraw/zinc/39/74/72/869397472.db2.gz SZHWTDRIWCFYFC-UHFFFAOYSA-N 1 2 311.389 1.734 20 30 DDEDLO CC1(C)C[N@H+](C2CN(c3ccc4[nH]c(C#N)cc4n3)C2)CCO1 ZINC001165128441 869397479 /nfs/dbraw/zinc/39/74/79/869397479.db2.gz SZHWTDRIWCFYFC-UHFFFAOYSA-N 1 2 311.389 1.734 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)c2cccs2)C1 ZINC001316970014 870015673 /nfs/dbraw/zinc/01/56/73/870015673.db2.gz VFXSQEYEICAQPF-VXGBXAGGSA-N 1 2 307.419 1.243 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(=O)c2cccs2)C1 ZINC001316970014 870015686 /nfs/dbraw/zinc/01/56/86/870015686.db2.gz VFXSQEYEICAQPF-VXGBXAGGSA-N 1 2 307.419 1.243 20 30 DDEDLO CC[C@@H](C)[C@@H](C)C(=O)NCC[NH+]1CCN(CC#CCOC)CC1 ZINC001316972421 870024132 /nfs/dbraw/zinc/02/41/32/870024132.db2.gz QIACUMKDBWYELX-IAGOWNOFSA-N 1 2 323.481 1.052 20 30 DDEDLO CC[C@@H](C)[C@@H](C)C(=O)NCCN1CC[NH+](CC#CCOC)CC1 ZINC001316972421 870024143 /nfs/dbraw/zinc/02/41/43/870024143.db2.gz QIACUMKDBWYELX-IAGOWNOFSA-N 1 2 323.481 1.052 20 30 DDEDLO CCc1ccc(C(=O)N[C@H]2CC[N@H+](CC#CCOC)C2)s1 ZINC001316980520 870052747 /nfs/dbraw/zinc/05/27/47/870052747.db2.gz NKAIRQFYGCBEJZ-ZDUSSCGKSA-N 1 2 306.431 1.764 20 30 DDEDLO CCc1ccc(C(=O)N[C@H]2CC[N@@H+](CC#CCOC)C2)s1 ZINC001316980520 870052758 /nfs/dbraw/zinc/05/27/58/870052758.db2.gz NKAIRQFYGCBEJZ-ZDUSSCGKSA-N 1 2 306.431 1.764 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@H]2C[C@@H](O)C[N@@H+]2C)n1C ZINC001338585518 870056522 /nfs/dbraw/zinc/05/65/22/870056522.db2.gz ZPTPSVJFCUDUDS-MGPQQGTHSA-N 1 2 305.426 1.488 20 30 DDEDLO C=CCC[C@@H]1CCCN1c1nnc([C@H]2C[C@@H](O)C[N@H+]2C)n1C ZINC001338585518 870056537 /nfs/dbraw/zinc/05/65/37/870056537.db2.gz ZPTPSVJFCUDUDS-MGPQQGTHSA-N 1 2 305.426 1.488 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccn(C)n2)C1 ZINC001316983985 870064362 /nfs/dbraw/zinc/06/43/62/870064362.db2.gz BNPLPUXILRHDGG-HNNXBMFYSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CC[N@H+](Cc2ccn(C)n2)C1 ZINC001316983985 870064374 /nfs/dbraw/zinc/06/43/74/870064374.db2.gz BNPLPUXILRHDGG-HNNXBMFYSA-N 1 2 302.422 1.694 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)CCC#N)n2CC=C)CC1 ZINC001338721855 870133119 /nfs/dbraw/zinc/13/31/19/870133119.db2.gz FJAKMKQCVOOCME-OAHLLOKOSA-N 1 2 312.421 1.627 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N1CC[C@@H](C)C1 ZINC001338833737 870194352 /nfs/dbraw/zinc/19/43/52/870194352.db2.gz DIUBZDGPGGFHKY-CQSZACIVSA-N 1 2 319.453 1.515 20 30 DDEDLO C=CCn1c(N(C)CCC2CC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001339198532 870401824 /nfs/dbraw/zinc/40/18/24/870401824.db2.gz YJKGLZFBBUMMQZ-PBHICJAKSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N(C)CCC2CC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001339198532 870401830 /nfs/dbraw/zinc/40/18/30/870401830.db2.gz YJKGLZFBBUMMQZ-PBHICJAKSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CCC(F)CC1 ZINC001339212322 870405769 /nfs/dbraw/zinc/40/57/69/870405769.db2.gz UOYUIIQBADVMKX-UHFFFAOYSA-N 1 2 304.373 1.727 20 30 DDEDLO C[C@H](CNC(=O)C#CC(C)(C)C)[NH2+]Cc1nnc(C2CC2)o1 ZINC001317239991 870519496 /nfs/dbraw/zinc/51/94/96/870519496.db2.gz DVCPZICQHALIFE-LLVKDONJSA-N 1 2 304.394 1.591 20 30 DDEDLO C=C(C)CCC(=O)N1CC=C(CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001339625765 870588260 /nfs/dbraw/zinc/58/82/60/870588260.db2.gz HUAMDHGCDHMMOA-UHFFFAOYSA-N 1 2 316.405 1.583 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@@H+](C)Cc1cc(OC)ns1 ZINC001317444711 870846633 /nfs/dbraw/zinc/84/66/33/870846633.db2.gz OMVKRLAASIKBGN-AWEZNQCLSA-N 1 2 313.423 1.027 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC[N@H+](C)Cc1cc(OC)ns1 ZINC001317444711 870846635 /nfs/dbraw/zinc/84/66/35/870846635.db2.gz OMVKRLAASIKBGN-AWEZNQCLSA-N 1 2 313.423 1.027 20 30 DDEDLO C#CCCCC(=O)NCC[N@@H+](C)CC(=O)Nc1cccc(C)c1 ZINC001317444635 870846768 /nfs/dbraw/zinc/84/67/68/870846768.db2.gz NKXCVKPAJVMDTI-UHFFFAOYSA-N 1 2 315.417 1.785 20 30 DDEDLO C#CCCCC(=O)NCC[N@H+](C)CC(=O)Nc1cccc(C)c1 ZINC001317444635 870846772 /nfs/dbraw/zinc/84/67/72/870846772.db2.gz NKXCVKPAJVMDTI-UHFFFAOYSA-N 1 2 315.417 1.785 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@@H+](C)Cc2c(C)nnn2CC)C1 ZINC001317458909 870873051 /nfs/dbraw/zinc/87/30/51/870873051.db2.gz GBZZIFNKYQWRSX-UHFFFAOYSA-N 1 2 305.426 1.511 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[N@H+](C)Cc2c(C)nnn2CC)C1 ZINC001317458909 870873063 /nfs/dbraw/zinc/87/30/63/870873063.db2.gz GBZZIFNKYQWRSX-UHFFFAOYSA-N 1 2 305.426 1.511 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@@H+](C)CC(=O)N(CC)CC)CC1 ZINC001317458542 870874576 /nfs/dbraw/zinc/87/45/76/870874576.db2.gz BTFJJAPTNWJUMA-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C1CCC(C(=O)NCC[N@H+](C)CC(=O)N(CC)CC)CC1 ZINC001317458542 870874587 /nfs/dbraw/zinc/87/45/87/870874587.db2.gz BTFJJAPTNWJUMA-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001299096652 870895884 /nfs/dbraw/zinc/89/58/84/870895884.db2.gz VZSQTHLGOITJKF-PBHICJAKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001299096652 870895892 /nfs/dbraw/zinc/89/58/92/870895892.db2.gz VZSQTHLGOITJKF-PBHICJAKSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CC[C@@H]1CCCN(c2nnc([C@@H]3C[C@@H](O)C[N@@H+]3C)n2CC)C1 ZINC001340400986 871080448 /nfs/dbraw/zinc/08/04/48/871080448.db2.gz OATYSJIZTSAMTE-KFWWJZLASA-N 1 2 317.437 1.275 20 30 DDEDLO C#CC[C@@H]1CCCN(c2nnc([C@@H]3C[C@@H](O)C[N@H+]3C)n2CC)C1 ZINC001340400986 871080459 /nfs/dbraw/zinc/08/04/59/871080459.db2.gz OATYSJIZTSAMTE-KFWWJZLASA-N 1 2 317.437 1.275 20 30 DDEDLO CN(CC[NH2+]Cc1ncc(C2CC2)o1)C(=O)CSCC#N ZINC001317569299 871102599 /nfs/dbraw/zinc/10/25/99/871102599.db2.gz IXMPFXICHRMTDB-UHFFFAOYSA-N 1 2 308.407 1.357 20 30 DDEDLO C=CCOCC(=O)NCC[C@H]1CC[N@@H+]([C@@H](C)c2csnn2)C1 ZINC001317778689 871488366 /nfs/dbraw/zinc/48/83/66/871488366.db2.gz LLVPOLLMRMVQSP-STQMWFEESA-N 1 2 324.450 1.630 20 30 DDEDLO C=CCOCC(=O)NCC[C@H]1CC[N@H+]([C@@H](C)c2csnn2)C1 ZINC001317778689 871488373 /nfs/dbraw/zinc/48/83/73/871488373.db2.gz LLVPOLLMRMVQSP-STQMWFEESA-N 1 2 324.450 1.630 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@H]2CCO[C@@H](C)C2)CC1 ZINC001317823096 871546746 /nfs/dbraw/zinc/54/67/46/871546746.db2.gz PZDLTRLTFKPGMV-HOTGVXAUSA-N 1 2 309.454 1.064 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)c2cccc(C)c2)C1 ZINC001317918751 871623294 /nfs/dbraw/zinc/62/32/94/871623294.db2.gz POOAAGLLFPPQGI-MRXNPFEDSA-N 1 2 315.417 1.491 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)c2cccc(C)c2)C1 ZINC001317918751 871623300 /nfs/dbraw/zinc/62/33/00/871623300.db2.gz POOAAGLLFPPQGI-MRXNPFEDSA-N 1 2 315.417 1.491 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001317926720 871628118 /nfs/dbraw/zinc/62/81/18/871628118.db2.gz QBFPUGPGYLYGCF-AIANPOQGSA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001317926720 871628128 /nfs/dbraw/zinc/62/81/28/871628128.db2.gz QBFPUGPGYLYGCF-AIANPOQGSA-N 1 2 319.449 1.305 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CCC[N@@H+](Cc2cnnn2C)C1 ZINC001317970821 871662926 /nfs/dbraw/zinc/66/29/26/871662926.db2.gz MGJFFZMFBRLGQO-HNNXBMFYSA-N 1 2 317.437 1.664 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@H]1CCC[N@H+](Cc2cnnn2C)C1 ZINC001317970821 871662934 /nfs/dbraw/zinc/66/29/34/871662934.db2.gz MGJFFZMFBRLGQO-HNNXBMFYSA-N 1 2 317.437 1.664 20 30 DDEDLO CC#CCCCC(=O)NC1C[NH+](CCOC[C@@H]2CCCO2)C1 ZINC001318055434 871714286 /nfs/dbraw/zinc/71/42/86/871714286.db2.gz CSZQTNSIMWATGE-INIZCTEOSA-N 1 2 308.422 1.176 20 30 DDEDLO C[C@H](C#N)C(=O)NC1CC[NH+](Cc2cc(F)ccc2C#N)CC1 ZINC001226618165 882542118 /nfs/dbraw/zinc/54/21/18/882542118.db2.gz ZHTQBORHTYZUAB-GFCCVEGCSA-N 1 2 314.364 1.938 20 30 DDEDLO CC#CCCCC(=O)NCC1C[NH+](Cc2cnnn2CC)C1 ZINC001318144613 871776389 /nfs/dbraw/zinc/77/63/89/871776389.db2.gz DCFKSDLGFYZJPU-UHFFFAOYSA-N 1 2 303.410 1.040 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001318253144 871881638 /nfs/dbraw/zinc/88/16/38/871881638.db2.gz MERKNWYORAYCML-JSGCOSHPSA-N 1 2 305.378 1.020 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001318253144 871881659 /nfs/dbraw/zinc/88/16/59/871881659.db2.gz MERKNWYORAYCML-JSGCOSHPSA-N 1 2 305.378 1.020 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2nc(C)oc2C)C1 ZINC001318402705 872002289 /nfs/dbraw/zinc/00/22/89/872002289.db2.gz NJKBRZCWXSQGLJ-OAHLLOKOSA-N 1 2 319.405 1.364 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2nc(C)oc2C)C1 ZINC001318402705 872002307 /nfs/dbraw/zinc/00/23/07/872002307.db2.gz NJKBRZCWXSQGLJ-OAHLLOKOSA-N 1 2 319.405 1.364 20 30 DDEDLO COCc1nc(C[N@H+](C)CCCNC(=O)C#CC2CC2)cs1 ZINC001316823121 872116319 /nfs/dbraw/zinc/11/63/19/872116319.db2.gz CQRIUWTUIICNQE-UHFFFAOYSA-N 1 2 321.446 1.641 20 30 DDEDLO COCc1nc(C[N@@H+](C)CCCNC(=O)C#CC2CC2)cs1 ZINC001316823121 872116324 /nfs/dbraw/zinc/11/63/24/872116324.db2.gz CQRIUWTUIICNQE-UHFFFAOYSA-N 1 2 321.446 1.641 20 30 DDEDLO C=CCOC(=O)c1c(N)nn(-c2c[nH+]c(N(C)C)cc2C)c1N ZINC001206723216 872534150 /nfs/dbraw/zinc/53/41/50/872534150.db2.gz LPOHDLODBPTHMH-UHFFFAOYSA-N 1 2 316.365 1.149 20 30 DDEDLO C=CCCC[N@@H+]1CCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001319308890 872558574 /nfs/dbraw/zinc/55/85/74/872558574.db2.gz GVDVQORWNWJJLO-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCCC[N@H+]1CCO[C@H](CNC(=O)C(F)C(F)(F)F)C1 ZINC001319308890 872558588 /nfs/dbraw/zinc/55/85/88/872558588.db2.gz GVDVQORWNWJJLO-MNOVXSKESA-N 1 2 312.307 1.670 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@@H+](Cc2ncccn2)C[C@H]1C ZINC001206885208 872703261 /nfs/dbraw/zinc/70/32/61/872703261.db2.gz ZRDDVFZJQHDYHF-HUUCEWRRSA-N 1 2 300.406 1.607 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1C[N@H+](Cc2ncccn2)C[C@H]1C ZINC001206885208 872703270 /nfs/dbraw/zinc/70/32/70/872703270.db2.gz ZRDDVFZJQHDYHF-HUUCEWRRSA-N 1 2 300.406 1.607 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2csc(C)n2)C[C@H]1C ZINC001206918244 872765656 /nfs/dbraw/zinc/76/56/56/872765656.db2.gz RSGSXPCKZFZJJT-DJSGYFEHSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2csc(C)n2)C[C@H]1C ZINC001206918244 872765659 /nfs/dbraw/zinc/76/56/59/872765659.db2.gz RSGSXPCKZFZJJT-DJSGYFEHSA-N 1 2 323.462 1.715 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001206965131 872858896 /nfs/dbraw/zinc/85/88/96/872858896.db2.gz OVQXKWIOALSIMU-UXIGCNINSA-N 1 2 319.405 1.266 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nc(C)oc2C)C[C@H]1C ZINC001206965131 872858901 /nfs/dbraw/zinc/85/89/01/872858901.db2.gz OVQXKWIOALSIMU-UXIGCNINSA-N 1 2 319.405 1.266 20 30 DDEDLO C#CCCC[NH+]1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC001209261448 874760145 /nfs/dbraw/zinc/76/01/45/874760145.db2.gz AOEXFBCEAIJDOG-UHFFFAOYSA-N 1 2 310.394 1.545 20 30 DDEDLO C#Cc1ccc(C(=O)NCCNC(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC001349001198 874917864 /nfs/dbraw/zinc/91/78/64/874917864.db2.gz NDIJTDJBPHEUMG-AWEZNQCLSA-N 1 2 324.384 1.362 20 30 DDEDLO C=CCC1(C(=O)NCCNC(=O)Cn2cc[nH+]c2)CCCCC1 ZINC001349141236 874987405 /nfs/dbraw/zinc/98/74/05/874987405.db2.gz XLFIXSPIJVZJTA-UHFFFAOYSA-N 1 2 318.421 1.642 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@@H+](Cc2nc(C)cs2)C[C@H]1C ZINC001211420549 875799918 /nfs/dbraw/zinc/79/99/18/875799918.db2.gz KEZZVMHYVAPEFY-TZMCWYRMSA-N 1 2 323.462 1.981 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1C[N@H+](Cc2nc(C)cs2)C[C@H]1C ZINC001211420549 875799925 /nfs/dbraw/zinc/79/99/25/875799925.db2.gz KEZZVMHYVAPEFY-TZMCWYRMSA-N 1 2 323.462 1.981 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213319756 875896171 /nfs/dbraw/zinc/89/61/71/875896171.db2.gz AYVOXKBZCXAFSL-XNRPHZJLSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213319756 875896181 /nfs/dbraw/zinc/89/61/81/875896181.db2.gz AYVOXKBZCXAFSL-XNRPHZJLSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213322738 875898805 /nfs/dbraw/zinc/89/88/05/875898805.db2.gz PQCCGFBXQXIXAL-IIAWOOMASA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1OC ZINC001213322738 875898813 /nfs/dbraw/zinc/89/88/13/875898813.db2.gz PQCCGFBXQXIXAL-IIAWOOMASA-N 1 2 321.421 1.901 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001350808202 875900435 /nfs/dbraw/zinc/90/04/35/875900435.db2.gz OQFJDRXNRGVRHV-HNNXBMFYSA-N 1 2 318.421 1.546 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)CCCC ZINC001351606168 876346245 /nfs/dbraw/zinc/34/62/45/876346245.db2.gz WRRKQHOGBZBYPX-ZIAGYGMSSA-N 1 2 307.442 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)CCCC ZINC001351606168 876346263 /nfs/dbraw/zinc/34/62/63/876346263.db2.gz WRRKQHOGBZBYPX-ZIAGYGMSSA-N 1 2 307.442 1.828 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H](C)[NH2+]Cc1nc(CC2CC2)no1 ZINC001379105837 876418195 /nfs/dbraw/zinc/41/81/95/876418195.db2.gz NYBBDXZOZJKQAV-GHMZBOCLSA-N 1 2 305.382 1.118 20 30 DDEDLO C=C(CC(C)C)C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC001352496276 876773127 /nfs/dbraw/zinc/77/31/27/876773127.db2.gz IBSQJZKOQQVGFC-UHFFFAOYSA-N 1 2 302.422 1.766 20 30 DDEDLO C#CCCCCCC(=O)N1CC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001353489978 877371541 /nfs/dbraw/zinc/37/15/41/877371541.db2.gz ZQFPYFNRAQRBHW-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=CCCC(=O)N(C)[C@H](CNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001287503188 912269389 /nfs/dbraw/zinc/26/93/89/912269389.db2.gz WZEUFERJQVYDSM-CQSZACIVSA-N 1 2 304.394 1.272 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219121020 877941845 /nfs/dbraw/zinc/94/18/45/877941845.db2.gz KULJWPIJMAKMDL-CVEARBPZSA-N 1 2 301.390 1.266 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@@H]1O ZINC001219121020 877941852 /nfs/dbraw/zinc/94/18/52/877941852.db2.gz KULJWPIJMAKMDL-CVEARBPZSA-N 1 2 301.390 1.266 20 30 DDEDLO C=CCCCC(=O)NC[C@H](C)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001354684495 878152517 /nfs/dbraw/zinc/15/25/17/878152517.db2.gz NMTPNFSWYCSQCO-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219591658 878372533 /nfs/dbraw/zinc/37/25/33/878372533.db2.gz OZHKRLCANARUEL-CVEARBPZSA-N 1 2 318.804 1.415 20 30 DDEDLO O=C(C#CC1CC1)N[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219591658 878372543 /nfs/dbraw/zinc/37/25/43/878372543.db2.gz OZHKRLCANARUEL-CVEARBPZSA-N 1 2 318.804 1.415 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@@H]1O ZINC001219689975 878455084 /nfs/dbraw/zinc/45/50/84/878455084.db2.gz RLPICYBBVICEOM-CABCVRRESA-N 1 2 319.405 1.413 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@@H]1O ZINC001219689975 878455089 /nfs/dbraw/zinc/45/50/89/878455089.db2.gz RLPICYBBVICEOM-CABCVRRESA-N 1 2 319.405 1.413 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1([NH2+]Cc2nnc(C3CC3)s2)CC1 ZINC001380067367 878707092 /nfs/dbraw/zinc/70/70/92/878707092.db2.gz LAXGZNLGOGJMMY-VIFPVBQESA-N 1 2 305.407 1.314 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](NC(=O)CCCF)[C@@H](O)C2)s1 ZINC001220160719 878759645 /nfs/dbraw/zinc/75/96/45/878759645.db2.gz CYWXPTMDBVZLKR-OLZOCXBDSA-N 1 2 311.382 1.031 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](NC(=O)CCCF)[C@@H](O)C2)s1 ZINC001220160719 878759658 /nfs/dbraw/zinc/75/96/58/878759658.db2.gz CYWXPTMDBVZLKR-OLZOCXBDSA-N 1 2 311.382 1.031 20 30 DDEDLO CC(C)[C@H](F)C(=O)N[C@@H]1C[N@H+](Cc2cccc(C#N)c2)C[C@@H]1O ZINC001220174552 878779154 /nfs/dbraw/zinc/77/91/54/878779154.db2.gz KDGFRLFKHWUPBE-PMPSAXMXSA-N 1 2 319.380 1.214 20 30 DDEDLO CC(C)[C@H](F)C(=O)N[C@@H]1C[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1O ZINC001220174552 878779172 /nfs/dbraw/zinc/77/91/72/878779172.db2.gz KDGFRLFKHWUPBE-PMPSAXMXSA-N 1 2 319.380 1.214 20 30 DDEDLO CCC(C)(C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220251621 878852475 /nfs/dbraw/zinc/85/24/75/878852475.db2.gz YORJRZXHXKJMFO-SJORKVTESA-N 1 2 314.429 1.636 20 30 DDEDLO CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001220251621 878852482 /nfs/dbraw/zinc/85/24/82/878852482.db2.gz YORJRZXHXKJMFO-SJORKVTESA-N 1 2 314.429 1.636 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3cnoc3C)C[C@@H]2O)CC1 ZINC001220289899 878887889 /nfs/dbraw/zinc/88/78/89/878887889.db2.gz GRHMCIWUEIIOCA-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cnoc3C)C[C@@H]2O)CC1 ZINC001220289899 878887897 /nfs/dbraw/zinc/88/78/97/878887897.db2.gz GRHMCIWUEIIOCA-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@H+](CC#Cc3ccccc3)C[C@@H]2O)CC1 ZINC001220288474 878888169 /nfs/dbraw/zinc/88/81/69/878888169.db2.gz YEYQHQPOWUHFDV-SJORKVTESA-N 1 2 312.413 1.390 20 30 DDEDLO CCC1(C(=O)N[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@@H]2O)CC1 ZINC001220288474 878888186 /nfs/dbraw/zinc/88/81/86/878888186.db2.gz YEYQHQPOWUHFDV-SJORKVTESA-N 1 2 312.413 1.390 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@@H]1O ZINC001220292331 878890983 /nfs/dbraw/zinc/89/09/83/878890983.db2.gz VEIRKCCAFDGIOE-YCPHGPKFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@@H]1O ZINC001220292331 878890990 /nfs/dbraw/zinc/89/09/90/878890990.db2.gz VEIRKCCAFDGIOE-YCPHGPKFSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220293817 878893875 /nfs/dbraw/zinc/89/38/75/878893875.db2.gz VWAVVWURRHMWJT-WWGRRREGSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220293817 878893883 /nfs/dbraw/zinc/89/38/83/878893883.db2.gz VWAVVWURRHMWJT-WWGRRREGSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001356386141 879029322 /nfs/dbraw/zinc/02/93/22/879029322.db2.gz QFAUBYCVTILFOJ-SUMWQHHRSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CC2CCCC2)C(C)C)[C@@H](O)C1 ZINC001220476502 879047033 /nfs/dbraw/zinc/04/70/33/879047033.db2.gz KCPSLKBRGOGCNA-BBWFWOEESA-N 1 2 306.450 1.633 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC2CCCC2)C(C)C)[C@@H](O)C1 ZINC001220476502 879047043 /nfs/dbraw/zinc/04/70/43/879047043.db2.gz KCPSLKBRGOGCNA-BBWFWOEESA-N 1 2 306.450 1.633 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H](CCC)CC2CCCC2)[C@@H](O)C1 ZINC001220474282 879047858 /nfs/dbraw/zinc/04/78/58/879047858.db2.gz JLHZWYUZUPEQLJ-BBWFWOEESA-N 1 2 306.450 1.778 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CCC)CC2CCCC2)[C@@H](O)C1 ZINC001220474282 879047876 /nfs/dbraw/zinc/04/78/76/879047876.db2.gz JLHZWYUZUPEQLJ-BBWFWOEESA-N 1 2 306.450 1.778 20 30 DDEDLO C=CCCC(=O)N[C@H](CC)CNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001356898397 879560137 /nfs/dbraw/zinc/56/01/37/879560137.db2.gz JGKWAUIZHZJVIF-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](CNC(=O)Cn1cc[nH+]c1)C(C)C ZINC001357104130 879792906 /nfs/dbraw/zinc/79/29/06/879792906.db2.gz XIGFEYCAMQVMLW-WMLDXEAASA-N 1 2 320.437 1.742 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(C)CCN(C)C(=O)CCn1cc[nH+]c1 ZINC001357264318 879883901 /nfs/dbraw/zinc/88/39/01/879883901.db2.gz YHIMMJAIDTYQLQ-LSDHHAIUSA-N 1 2 320.437 1.648 20 30 DDEDLO CC#CCCCC(=O)N(C)CCN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001357279111 879887877 /nfs/dbraw/zinc/88/78/77/879887877.db2.gz ZIFBGADQSLXKSG-UHFFFAOYSA-N 1 2 304.394 1.063 20 30 DDEDLO C=CCCC(=O)NC/C=C\CNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001357655787 880119178 /nfs/dbraw/zinc/11/91/78/880119178.db2.gz YBTRHLVTKXFCKC-WSNITJDQSA-N 1 2 316.405 1.200 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)CCOC)[C@@H]2C1 ZINC001222033479 880193554 /nfs/dbraw/zinc/19/35/54/880193554.db2.gz VFRFRTHLEMURDI-IACUBPJLSA-N 1 2 300.830 1.944 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)CCOC)[C@@H]2C1 ZINC001222033479 880193563 /nfs/dbraw/zinc/19/35/63/880193563.db2.gz VFRFRTHLEMURDI-IACUBPJLSA-N 1 2 300.830 1.944 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001222067724 880211330 /nfs/dbraw/zinc/21/13/30/880211330.db2.gz LTROMABRSIXPIJ-VXGBXAGGSA-N 1 2 310.423 1.210 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001358348545 880408636 /nfs/dbraw/zinc/40/86/36/880408636.db2.gz VSRPQUJHCMXITQ-KGLIPLIRSA-N 1 2 318.421 1.423 20 30 DDEDLO C[C@H](CNC(=O)CC#Cc1ccccc1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001358411030 880423928 /nfs/dbraw/zinc/42/39/28/880423928.db2.gz ZKNAXHBAQXKNMK-CQSZACIVSA-N 1 2 324.384 1.015 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3nnc(C)o3)[C@H]2C1 ZINC001222407514 880446975 /nfs/dbraw/zinc/44/69/75/880446975.db2.gz VAISMMITVPZZAG-KGLIPLIRSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CC[N@H+](Cc3nnc(C)o3)[C@H]2C1 ZINC001222407514 880446982 /nfs/dbraw/zinc/44/69/82/880446982.db2.gz VAISMMITVPZZAG-KGLIPLIRSA-N 1 2 304.394 1.767 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)CCCn1cc[nH+]c1 ZINC001358523154 880487516 /nfs/dbraw/zinc/48/75/16/880487516.db2.gz ONFBHJJDQUWMLL-ZDUSSCGKSA-N 1 2 306.410 1.496 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)c1ccc(C#N)cc1)c1nc(N)nc(N(C)C)n1 ZINC001413992591 880517715 /nfs/dbraw/zinc/51/77/15/880517715.db2.gz XKMVUDBWZTYOAK-WDEREUQCSA-N 1 2 311.393 1.803 20 30 DDEDLO C=CCCC(=O)NCC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001222612697 880600652 /nfs/dbraw/zinc/60/06/52/880600652.db2.gz JIMJOMRFWLKJBY-UHFFFAOYSA-N 1 2 305.426 1.592 20 30 DDEDLO Cc1cnc(C[NH+]2CCC(CNC(=O)[C@@H](C)C#N)CC2)nc1 ZINC001222641559 880617540 /nfs/dbraw/zinc/61/75/40/880617540.db2.gz OQOGYWKSNZABNB-ZDUSSCGKSA-N 1 2 301.394 1.273 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001358731982 880823660 /nfs/dbraw/zinc/82/36/60/880823660.db2.gz FCFZINBEZTZWGF-UHFFFAOYSA-N 1 2 316.405 1.321 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)CCn2cc[nH+]c2)C1 ZINC001287943748 912613041 /nfs/dbraw/zinc/61/30/41/912613041.db2.gz RJYMVMJDVFJRPF-HUUCEWRRSA-N 1 2 318.421 1.735 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H](C)N(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001287958392 912627633 /nfs/dbraw/zinc/62/76/33/912627633.db2.gz KGXFUDCQWHVPKX-GXTWGEPZSA-N 1 2 304.394 1.414 20 30 DDEDLO C=CCCC(=O)NC[C@@]1(C)CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001358836032 880976476 /nfs/dbraw/zinc/97/64/76/880976476.db2.gz KPYXYQTWUHAEIK-MRXNPFEDSA-N 1 2 304.394 1.273 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)O/C(=N\O)c1cc(F)ccc1F ZINC001223888960 881136395 /nfs/dbraw/zinc/13/63/95/881136395.db2.gz UQMDMYQDPORDTA-BXWLNTHASA-N 1 2 300.305 1.838 20 30 DDEDLO C=C[C@H](CC)CC(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224198839 881270468 /nfs/dbraw/zinc/27/04/68/881270468.db2.gz VYIAZVTWWSQMND-CQSZACIVSA-N 1 2 319.453 1.746 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(F)c(C#N)cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001227875562 883211942 /nfs/dbraw/zinc/21/19/42/883211942.db2.gz SMMCWUBUDIKJFN-QCRWRKDUSA-N 1 2 319.292 1.597 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(F)c(C#N)cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001227875562 883211962 /nfs/dbraw/zinc/21/19/62/883211962.db2.gz SMMCWUBUDIKJFN-QCRWRKDUSA-N 1 2 319.292 1.597 20 30 DDEDLO C=CCC(C)(C)C(=O)NC1CC[NH+](Cc2cn(CC)nn2)CC1 ZINC001229369404 883949088 /nfs/dbraw/zinc/94/90/88/883949088.db2.gz NHELKUUQLMMGKW-UHFFFAOYSA-N 1 2 319.453 1.981 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@H](C)C#N)Cc1cnc(C)s1 ZINC001382544859 884213741 /nfs/dbraw/zinc/21/37/41/884213741.db2.gz OXFYCCLPQDUCFK-LLVKDONJSA-N 1 2 310.423 1.176 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@H](C)C#N)Cc1cnc(C)s1 ZINC001382544859 884213757 /nfs/dbraw/zinc/21/37/57/884213757.db2.gz OXFYCCLPQDUCFK-LLVKDONJSA-N 1 2 310.423 1.176 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+]([C@H](C)c2nc(C)no2)CC1 ZINC001230407432 884476071 /nfs/dbraw/zinc/47/60/71/884476071.db2.gz QDOOKFPUEPFBLW-GFCCVEGCSA-N 1 2 322.409 1.612 20 30 DDEDLO C=CCCOCC(=O)NC1CC[NH+](Cc2ccn(C)n2)CC1 ZINC001230411346 884478077 /nfs/dbraw/zinc/47/80/77/884478077.db2.gz HAPGZACOSSAVOR-UHFFFAOYSA-N 1 2 306.410 1.093 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C1CC(NC(=O)Cc2c[nH+]cn2C)C1 ZINC001288333642 912839149 /nfs/dbraw/zinc/83/91/49/912839149.db2.gz UPLBOJDWNWLRJC-UHFFFAOYSA-N 1 2 318.421 1.280 20 30 DDEDLO N#Cc1cc(CNC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)ccn1 ZINC001362766274 884587304 /nfs/dbraw/zinc/58/73/04/884587304.db2.gz MVVSNRKDLAHQOH-MRXNPFEDSA-N 1 2 314.389 1.213 20 30 DDEDLO N#Cc1cc(CNC(=O)[C@H]2COCC[N@H+]2C2CCCC2)ccn1 ZINC001362766274 884587311 /nfs/dbraw/zinc/58/73/11/884587311.db2.gz MVVSNRKDLAHQOH-MRXNPFEDSA-N 1 2 314.389 1.213 20 30 DDEDLO COCC[C@H](C)C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230622957 884666067 /nfs/dbraw/zinc/66/60/67/884666067.db2.gz UCIYMSGXXRNEAD-GOEBONIOSA-N 1 2 307.438 1.886 20 30 DDEDLO COCC[C@H](C)C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230622957 884666073 /nfs/dbraw/zinc/66/60/73/884666073.db2.gz UCIYMSGXXRNEAD-GOEBONIOSA-N 1 2 307.438 1.886 20 30 DDEDLO Cc1ncoc1C[N@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815632 884916511 /nfs/dbraw/zinc/91/65/11/884916511.db2.gz QPSPVEKZWKXQPH-GFCCVEGCSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815632 884916527 /nfs/dbraw/zinc/91/65/27/884916527.db2.gz QPSPVEKZWKXQPH-GFCCVEGCSA-N 1 2 308.407 1.273 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@H]3C[C@]32C(=O)NCC(F)F)c1 ZINC001277479255 885136326 /nfs/dbraw/zinc/13/63/26/885136326.db2.gz UVDAKFQKWDIPSU-XJKSGUPXSA-N 1 2 305.328 1.904 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@H]3C[C@]32C(=O)NCC(F)F)c1 ZINC001277479255 885136337 /nfs/dbraw/zinc/13/63/37/885136337.db2.gz UVDAKFQKWDIPSU-XJKSGUPXSA-N 1 2 305.328 1.904 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001231094982 885213604 /nfs/dbraw/zinc/21/36/04/885213604.db2.gz LCWZACYMJSJKAV-HOCLYGCPSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001231094982 885213619 /nfs/dbraw/zinc/21/36/19/885213619.db2.gz LCWZACYMJSJKAV-HOCLYGCPSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001231095608 885216623 /nfs/dbraw/zinc/21/66/23/885216623.db2.gz SJOJUBPVIYNBLU-GJZGRUSLSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001231095608 885216637 /nfs/dbraw/zinc/21/66/37/885216637.db2.gz SJOJUBPVIYNBLU-GJZGRUSLSA-N 1 2 321.421 1.997 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H](C)CCC ZINC001231130850 885269555 /nfs/dbraw/zinc/26/95/55/885269555.db2.gz KVLIHFQFKKIJAJ-LSDHHAIUSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H](C)CCC ZINC001231130850 885269572 /nfs/dbraw/zinc/26/95/72/885269572.db2.gz KVLIHFQFKKIJAJ-LSDHHAIUSA-N 1 2 307.438 1.095 20 30 DDEDLO COc1cccc(C[N@@H+]2CC[C@H]2CN(C)C(=O)[C@@H](C)C#N)n1 ZINC001231247086 885426057 /nfs/dbraw/zinc/42/60/57/885426057.db2.gz KSGLUQDRKRVUDS-JSGCOSHPSA-N 1 2 302.378 1.283 20 30 DDEDLO COc1cccc(C[N@H+]2CC[C@H]2CN(C)C(=O)[C@@H](C)C#N)n1 ZINC001231247086 885426065 /nfs/dbraw/zinc/42/60/65/885426065.db2.gz KSGLUQDRKRVUDS-JSGCOSHPSA-N 1 2 302.378 1.283 20 30 DDEDLO CCc1ocnc1C(=O)N(C)C[C@H]1CC[N@@H+]1CC#CCOC ZINC001231357711 885542178 /nfs/dbraw/zinc/54/21/78/885542178.db2.gz ANUNANSRSFCHJX-CYBMUJFWSA-N 1 2 305.378 1.033 20 30 DDEDLO CCc1ocnc1C(=O)N(C)C[C@H]1CC[N@H+]1CC#CCOC ZINC001231357711 885542183 /nfs/dbraw/zinc/54/21/83/885542183.db2.gz ANUNANSRSFCHJX-CYBMUJFWSA-N 1 2 305.378 1.033 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)cc1)C(=O)Cc1ccn[nH]1 ZINC001231376833 885574582 /nfs/dbraw/zinc/57/45/82/885574582.db2.gz HELKOUIKQIZGRN-KRWDZBQOSA-N 1 2 323.400 1.557 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccc(C#N)cc1)C(=O)Cc1ccn[nH]1 ZINC001231376833 885574591 /nfs/dbraw/zinc/57/45/91/885574591.db2.gz HELKOUIKQIZGRN-KRWDZBQOSA-N 1 2 323.400 1.557 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C[C@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001288536343 912966472 /nfs/dbraw/zinc/96/64/72/912966472.db2.gz VCXJSUAPWHULCG-STQMWFEESA-N 1 2 320.437 1.574 20 30 DDEDLO CC(C)C[C@H]1C(=O)NCC[N@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001363229673 885771262 /nfs/dbraw/zinc/77/12/62/885771262.db2.gz GHYPUFNVIAPOCT-HOTGVXAUSA-N 1 2 301.390 1.438 20 30 DDEDLO CC(C)C[C@H]1C(=O)NCC[N@@H+]1C[C@H](O)c1cccc(C#N)c1 ZINC001363229673 885771273 /nfs/dbraw/zinc/77/12/73/885771273.db2.gz GHYPUFNVIAPOCT-HOTGVXAUSA-N 1 2 301.390 1.438 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H](C)OC[C@H]2CCCCO2)C1 ZINC001277706813 886556262 /nfs/dbraw/zinc/55/62/62/886556262.db2.gz JGHAJSLGHVBERR-GOEBONIOSA-N 1 2 310.438 1.679 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1nccnc1C ZINC001233948231 887490964 /nfs/dbraw/zinc/49/09/64/887490964.db2.gz SWKVRSBHXKJNPG-HNNXBMFYSA-N 1 2 300.406 1.621 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1nccnc1C ZINC001233948231 887490971 /nfs/dbraw/zinc/49/09/71/887490971.db2.gz SWKVRSBHXKJNPG-HNNXBMFYSA-N 1 2 300.406 1.621 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)cs1 ZINC001234088270 887632698 /nfs/dbraw/zinc/63/26/98/887632698.db2.gz NWKHJGQCHVUFIM-AWEZNQCLSA-N 1 2 306.431 1.853 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)cs1 ZINC001234088270 887632704 /nfs/dbraw/zinc/63/27/04/887632704.db2.gz NWKHJGQCHVUFIM-AWEZNQCLSA-N 1 2 306.431 1.853 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234118123 887663867 /nfs/dbraw/zinc/66/38/67/887663867.db2.gz FNLNRXBCYXXWQP-OAHLLOKOSA-N 1 2 307.438 1.047 20 30 DDEDLO CCN(CC)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234118123 887663872 /nfs/dbraw/zinc/66/38/72/887663872.db2.gz FNLNRXBCYXXWQP-OAHLLOKOSA-N 1 2 307.438 1.047 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCC(C)C ZINC001234130176 887671146 /nfs/dbraw/zinc/67/11/46/887671146.db2.gz MLSMQDFHBVAWBY-CVEARBPZSA-N 1 2 321.465 1.339 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCC(C)C ZINC001234130176 887671153 /nfs/dbraw/zinc/67/11/53/887671153.db2.gz MLSMQDFHBVAWBY-CVEARBPZSA-N 1 2 321.465 1.339 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234537537 888066873 /nfs/dbraw/zinc/06/68/73/888066873.db2.gz PXOWOBZDQJSACT-CQSZACIVSA-N 1 2 307.438 1.424 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C=C ZINC001234537537 888066888 /nfs/dbraw/zinc/06/68/88/888066888.db2.gz PXOWOBZDQJSACT-CQSZACIVSA-N 1 2 307.438 1.424 20 30 DDEDLO CC(=O)[C@@H](C)N1CC[C@H]2[C@@H]1CC[N@@H+]2Cc1cc(C#N)n(C)c1 ZINC001277887409 888197385 /nfs/dbraw/zinc/19/73/85/888197385.db2.gz DFNVJOIPZGYGJT-DQYPLSBCSA-N 1 2 300.406 1.523 20 30 DDEDLO CC(=O)[C@@H](C)N1CC[C@H]2[C@@H]1CC[N@H+]2Cc1cc(C#N)n(C)c1 ZINC001277887409 888197390 /nfs/dbraw/zinc/19/73/90/888197390.db2.gz DFNVJOIPZGYGJT-DQYPLSBCSA-N 1 2 300.406 1.523 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)C2CCS(=O)CC2)c1C#N ZINC001364342019 888421564 /nfs/dbraw/zinc/42/15/64/888421564.db2.gz MGDRLMRAYHPSIW-UHFFFAOYSA-N 1 2 320.414 1.688 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)C2CCS(=O)CC2)c1C#N ZINC001364342019 888421576 /nfs/dbraw/zinc/42/15/76/888421576.db2.gz MGDRLMRAYHPSIW-UHFFFAOYSA-N 1 2 320.414 1.688 20 30 DDEDLO N#CCC[N@H+]1CCC[C@]2(CCN(C(=O)NCC(F)(F)F)C2)C1 ZINC001277941329 888601152 /nfs/dbraw/zinc/60/11/52/888601152.db2.gz MQVKXQLHYLONGO-ZDUSSCGKSA-N 1 2 318.343 1.960 20 30 DDEDLO N#CCC[N@@H+]1CCC[C@]2(CCN(C(=O)NCC(F)(F)F)C2)C1 ZINC001277941329 888601159 /nfs/dbraw/zinc/60/11/59/888601159.db2.gz MQVKXQLHYLONGO-ZDUSSCGKSA-N 1 2 318.343 1.960 20 30 DDEDLO Cn1cc(CN2C[C@@H]3C[N@@H+](CCCC#N)C[C@H](C2)O3)cc1C#N ZINC001277943305 888628190 /nfs/dbraw/zinc/62/81/90/888628190.db2.gz RMPCFKCHEKRPKV-CALCHBBNSA-N 1 2 313.405 1.086 20 30 DDEDLO Cn1cc(CN2C[C@@H]3C[N@H+](CCCC#N)C[C@H](C2)O3)cc1C#N ZINC001277943305 888628200 /nfs/dbraw/zinc/62/82/00/888628200.db2.gz RMPCFKCHEKRPKV-CALCHBBNSA-N 1 2 313.405 1.086 20 30 DDEDLO COC(=O)Nc1ccc(CNC(=O)C[NH2+][C@@H](C)CC#N)cc1 ZINC001364455140 888673436 /nfs/dbraw/zinc/67/34/36/888673436.db2.gz VDKWHSVEFLVXIN-NSHDSACASA-N 1 2 304.350 1.373 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1csnc1OC ZINC001235684317 888880238 /nfs/dbraw/zinc/88/02/38/888880238.db2.gz WZBURLFPCBNHKL-UHFFFAOYSA-N 1 2 313.423 1.292 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1csnc1OC ZINC001235684317 888880254 /nfs/dbraw/zinc/88/02/54/888880254.db2.gz WZBURLFPCBNHKL-UHFFFAOYSA-N 1 2 313.423 1.292 20 30 DDEDLO N#Cc1ccc(C(=O)CN2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)cc1 ZINC001278075816 889567194 /nfs/dbraw/zinc/56/71/94/889567194.db2.gz UGCPZFNSZJPMJY-UHFFFAOYSA-N 1 2 320.396 1.887 20 30 DDEDLO COc1c(C)cccc1C[NH+]1CCN(c2nccnc2C#N)CC1 ZINC001237512990 889675763 /nfs/dbraw/zinc/67/57/63/889675763.db2.gz KIWFPOBPBXNSCY-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@H]2C[C@@H](NC(=O)Cn3cc[nH+]c3)C2)C1 ZINC001290072789 913377449 /nfs/dbraw/zinc/37/74/49/913377449.db2.gz GVADVEAHCGSVKD-OKILXGFUSA-N 1 2 316.405 1.250 20 30 DDEDLO N#Cc1cc(-c2ccc(OCC[NH+]3CCOCC3)nc2)ccn1 ZINC001241200386 891131841 /nfs/dbraw/zinc/13/18/41/891131841.db2.gz YLRWCQXXRRATIU-UHFFFAOYSA-N 1 2 310.357 1.726 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3cnc[nH]c3=O)CC2)cc1 ZINC001365696496 891351852 /nfs/dbraw/zinc/35/18/52/891351852.db2.gz BMESMJWEADNEGO-UHFFFAOYSA-N 1 2 323.356 1.012 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CC[N@@H+]3C[C@@H](F)C[C@H]3C2)CCCC1 ZINC001365745544 891444044 /nfs/dbraw/zinc/44/40/44/891444044.db2.gz SVVNEEQGPGKXNZ-STQMWFEESA-N 1 2 315.414 1.128 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CC[N@H+]3C[C@@H](F)C[C@H]3C2)CCCC1 ZINC001365745544 891444048 /nfs/dbraw/zinc/44/40/48/891444048.db2.gz SVVNEEQGPGKXNZ-STQMWFEESA-N 1 2 315.414 1.128 20 30 DDEDLO C#CCOCCC(=O)N[C@]1(C)CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001278397694 891989589 /nfs/dbraw/zinc/98/95/89/891989589.db2.gz VKISLDQPICFBTB-RHSMWYFYSA-N 1 2 316.405 1.158 20 30 DDEDLO C#CCOCCC(=O)N[C@]1(C)CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001278397694 891989603 /nfs/dbraw/zinc/98/96/03/891989603.db2.gz VKISLDQPICFBTB-RHSMWYFYSA-N 1 2 316.405 1.158 20 30 DDEDLO CCC[N@H+](CCNC(=O)[C@H](C)C#N)Cc1nn(CC)nc1C ZINC001366706323 894649051 /nfs/dbraw/zinc/64/90/51/894649051.db2.gz HJYOORITIQLFDF-GFCCVEGCSA-N 1 2 306.414 1.094 20 30 DDEDLO CCC[N@@H+](CCNC(=O)[C@H](C)C#N)Cc1nn(CC)nc1C ZINC001366706323 894649064 /nfs/dbraw/zinc/64/90/64/894649064.db2.gz HJYOORITIQLFDF-GFCCVEGCSA-N 1 2 306.414 1.094 20 30 DDEDLO C#CCOC[C@@H](O)CN1CC[C@@H]([NH+]2CCCCC2)C(F)(F)C1 ZINC001251860861 894855112 /nfs/dbraw/zinc/85/51/12/894855112.db2.gz VNTDXSOHIPEVQS-LSDHHAIUSA-N 1 2 316.392 1.193 20 30 DDEDLO C=CCOC[C@@H]1C[N@@H+](C[C@H](O)C=C)Cc2nnn(CC3CC3)c21 ZINC001253577804 895906906 /nfs/dbraw/zinc/90/69/06/895906906.db2.gz PVFHSLHHQWQEKE-LSDHHAIUSA-N 1 2 318.421 1.337 20 30 DDEDLO C=CCOC[C@@H]1C[N@H+](C[C@H](O)C=C)Cc2nnn(CC3CC3)c21 ZINC001253577804 895906909 /nfs/dbraw/zinc/90/69/09/895906909.db2.gz PVFHSLHHQWQEKE-LSDHHAIUSA-N 1 2 318.421 1.337 20 30 DDEDLO C=C[C@H](O)C[NH+]1CCN(c2nnc(C(F)(F)F)s2)CC1 ZINC001253578440 895908306 /nfs/dbraw/zinc/90/83/06/895908306.db2.gz BBVIIJVBRVXFQM-QMMMGPOBSA-N 1 2 308.329 1.226 20 30 DDEDLO C=C[C@@H](O)C[N@@H+]1CCc2onc(C(=O)Nc3cccnc3)c2C1 ZINC001253582758 895916341 /nfs/dbraw/zinc/91/63/41/895916341.db2.gz UHJZSLWKNGMHSR-GFCCVEGCSA-N 1 2 314.345 1.227 20 30 DDEDLO C=C[C@@H](O)C[N@H+]1CCc2onc(C(=O)Nc3cccnc3)c2C1 ZINC001253582758 895916352 /nfs/dbraw/zinc/91/63/52/895916352.db2.gz UHJZSLWKNGMHSR-GFCCVEGCSA-N 1 2 314.345 1.227 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@@H](O)C[NH2+][C@H](C)c1nnc(C)o1 ZINC001278790340 896459051 /nfs/dbraw/zinc/45/90/51/896459051.db2.gz DZAQVTGAEQUSHM-YPMHNXCESA-N 1 2 324.425 1.450 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H](O)C[N@H+](C)Cc1ncc(C)o1 ZINC001278855743 896816172 /nfs/dbraw/zinc/81/61/72/896816172.db2.gz UJIMWXAXBNPBNA-AWEZNQCLSA-N 1 2 307.394 1.038 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H](O)C[N@@H+](C)Cc1ncc(C)o1 ZINC001278855743 896816188 /nfs/dbraw/zinc/81/61/88/896816188.db2.gz UJIMWXAXBNPBNA-AWEZNQCLSA-N 1 2 307.394 1.038 20 30 DDEDLO CC(C)[C@H](CNC(=O)[C@@H](C)C#N)[NH2+]Cc1noc(C2CC2)n1 ZINC001367466820 896842444 /nfs/dbraw/zinc/84/24/44/896842444.db2.gz OKEDMKUTSINROC-JQWIXIFHSA-N 1 2 305.382 1.337 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)COCc1ccnn1C ZINC001367520648 897023699 /nfs/dbraw/zinc/02/36/99/897023699.db2.gz DDVROIYAVXDYFD-UHFFFAOYSA-N 1 2 314.817 1.079 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)COCc1ccnn1C ZINC001367520648 897023710 /nfs/dbraw/zinc/02/37/10/897023710.db2.gz DDVROIYAVXDYFD-UHFFFAOYSA-N 1 2 314.817 1.079 20 30 DDEDLO C[NH+]1CCN(c2ccc(CNCC3(C#N)CC3)cc2F)CC1 ZINC001256433369 897438681 /nfs/dbraw/zinc/43/86/81/897438681.db2.gz AARGHKZOJKBMJG-UHFFFAOYSA-N 1 2 302.397 1.971 20 30 DDEDLO C=CCCC(=O)N[C@@]1(C)CCN(C(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001299516954 898259559 /nfs/dbraw/zinc/25/95/59/898259559.db2.gz ZOURWUZRGSIFHQ-YOEHRIQHSA-N 1 2 318.421 1.908 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)NCc2c[nH+]cn2C2CCC2)c1 ZINC001259128565 898486239 /nfs/dbraw/zinc/48/62/39/898486239.db2.gz BIUGHTCMEUCFMM-UHFFFAOYSA-N 1 2 316.386 1.958 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)COC[C@@H]2CCCO2)C1 ZINC001368169823 898884915 /nfs/dbraw/zinc/88/49/15/898884915.db2.gz HIHMRKLSFYCMCR-DZGCQCFKSA-N 1 2 316.829 1.515 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)COC[C@@H]2CCCO2)C1 ZINC001368169823 898884929 /nfs/dbraw/zinc/88/49/29/898884929.db2.gz HIHMRKLSFYCMCR-DZGCQCFKSA-N 1 2 316.829 1.515 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)Nc2cc[nH+]c(CO)c2)cc1 ZINC001260038462 899022888 /nfs/dbraw/zinc/02/28/88/899022888.db2.gz HTKBZGGXQBWNMQ-UHFFFAOYSA-N 1 2 303.343 1.387 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[NH+](CC[C@H]2CC2(Cl)Cl)CC1 ZINC001261279490 899447074 /nfs/dbraw/zinc/44/70/74/899447074.db2.gz YTESGVJUMQSPNL-QWRGUYRKSA-N 1 2 304.221 1.874 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@](C)(OC)c1ccccc1 ZINC001262174612 900000321 /nfs/dbraw/zinc/00/03/21/900000321.db2.gz BKIHDQRDLYBHQU-FUHWJXTLSA-N 1 2 300.402 1.762 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@](C)(OC)c1ccccc1 ZINC001262174612 900000332 /nfs/dbraw/zinc/00/03/32/900000332.db2.gz BKIHDQRDLYBHQU-FUHWJXTLSA-N 1 2 300.402 1.762 20 30 DDEDLO Cc1cccc(NC(=O)[C@H](C)[N@@H+](C)CCNC(=O)[C@H](C)C#N)c1 ZINC001390740241 900066252 /nfs/dbraw/zinc/06/62/52/900066252.db2.gz DGCUEOVSFJQYOL-KGLIPLIRSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1cccc(NC(=O)[C@H](C)[N@H+](C)CCNC(=O)[C@H](C)C#N)c1 ZINC001390740241 900066258 /nfs/dbraw/zinc/06/62/58/900066258.db2.gz DGCUEOVSFJQYOL-KGLIPLIRSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1nc(N[C@@H]2COCC[C@@H]2NC(=O)C#CC(C)(C)C)cc[nH+]1 ZINC001262644789 900284008 /nfs/dbraw/zinc/28/40/08/900284008.db2.gz HDHAAZIEHXIEOQ-UONOGXRCSA-N 1 2 316.405 1.520 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@H]2C[C@@H](O)C[N@@H+]2C)n1CC ZINC001263055182 900476941 /nfs/dbraw/zinc/47/69/41/900476941.db2.gz RXNGPKJEFKIROA-MCIONIFRSA-N 1 2 303.410 1.028 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@H]2C[C@@H](O)C[N@H+]2C)n1CC ZINC001263055182 900476950 /nfs/dbraw/zinc/47/69/50/900476950.db2.gz RXNGPKJEFKIROA-MCIONIFRSA-N 1 2 303.410 1.028 20 30 DDEDLO C=C(C)CN(C)c1nnc(C[NH+]2CCCC2)n1C[C@@H]1CCOC1 ZINC001263573885 900642868 /nfs/dbraw/zinc/64/28/68/900642868.db2.gz SVSLRUVFKOUWQQ-HNNXBMFYSA-N 1 2 319.453 1.923 20 30 DDEDLO C#C[C@H](NC(=O)NCc1cc[nH+]c(NCC)c1)[C@@H]1CCCO1 ZINC001263725244 900684577 /nfs/dbraw/zinc/68/45/77/900684577.db2.gz YQKNJEOSWIZALC-KBPBESRZSA-N 1 2 302.378 1.493 20 30 DDEDLO C#CC1(O)CCN(C(=O)NC[C@@H]2c3ccccc3C[N@H+]2C)CC1 ZINC001263742603 900690928 /nfs/dbraw/zinc/69/09/28/900690928.db2.gz XNRKJLFCALBCQA-MRXNPFEDSA-N 1 2 313.401 1.343 20 30 DDEDLO C#CC1(O)CCN(C(=O)NC[C@@H]2c3ccccc3C[N@@H+]2C)CC1 ZINC001263742603 900690934 /nfs/dbraw/zinc/69/09/34/900690934.db2.gz XNRKJLFCALBCQA-MRXNPFEDSA-N 1 2 313.401 1.343 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2[C@H](CC)C(N)=O)CCCC1 ZINC001263823064 900738379 /nfs/dbraw/zinc/73/83/79/900738379.db2.gz GZZMKNRQUQESNQ-HUUCEWRRSA-N 1 2 321.465 1.920 20 30 DDEDLO C=CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@H](CC)C(N)=O)CCCC1 ZINC001263823064 900738391 /nfs/dbraw/zinc/73/83/91/900738391.db2.gz GZZMKNRQUQESNQ-HUUCEWRRSA-N 1 2 321.465 1.920 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](CCCS(C)(=O)=O)[C@@H]1C ZINC001264143383 900975008 /nfs/dbraw/zinc/97/50/08/900975008.db2.gz PNSPEWLCKGPBRW-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](CCCS(C)(=O)=O)[C@@H]1C ZINC001264143383 900975016 /nfs/dbraw/zinc/97/50/16/900975016.db2.gz PNSPEWLCKGPBRW-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001264368937 901047794 /nfs/dbraw/zinc/04/77/94/901047794.db2.gz NIKXFNSPZVDQNU-UKRRQHHQSA-N 1 2 319.449 1.093 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)C1CC1 ZINC001264368937 901047803 /nfs/dbraw/zinc/04/78/03/901047803.db2.gz NIKXFNSPZVDQNU-UKRRQHHQSA-N 1 2 319.449 1.093 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@H]23)o1 ZINC001369412715 901225199 /nfs/dbraw/zinc/22/51/99/901225199.db2.gz LUCUEYZUSROEPU-PVXIVEMSSA-N 1 2 302.378 1.756 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@H]23)o1 ZINC001369412715 901225212 /nfs/dbraw/zinc/22/52/12/901225212.db2.gz LUCUEYZUSROEPU-PVXIVEMSSA-N 1 2 302.378 1.756 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@@H]23)o1 ZINC001369412711 901225549 /nfs/dbraw/zinc/22/55/49/901225549.db2.gz LUCUEYZUSROEPU-AXAPSJFSSA-N 1 2 302.378 1.756 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@@H]23)o1 ZINC001369412711 901225558 /nfs/dbraw/zinc/22/55/58/901225558.db2.gz LUCUEYZUSROEPU-AXAPSJFSSA-N 1 2 302.378 1.756 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CCC)C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001391281003 901378141 /nfs/dbraw/zinc/37/81/41/901378141.db2.gz IOAHSFYJNXYGPD-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CCC)C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001391281003 901378146 /nfs/dbraw/zinc/37/81/46/901378146.db2.gz IOAHSFYJNXYGPD-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC[N@@H+](C)Cc1ncc(C)cn1 ZINC001264981351 901382095 /nfs/dbraw/zinc/38/20/95/901382095.db2.gz CTGNKQIMZFDEKU-INIZCTEOSA-N 1 2 306.410 1.050 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC[N@H+](C)Cc1ncc(C)cn1 ZINC001264981351 901382108 /nfs/dbraw/zinc/38/21/08/901382108.db2.gz CTGNKQIMZFDEKU-INIZCTEOSA-N 1 2 306.410 1.050 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H](CC)SC)C1 ZINC001265210195 901708789 /nfs/dbraw/zinc/70/87/89/901708789.db2.gz IDQCPLWWOYKBIN-QWHCGFSZSA-N 1 2 313.467 1.011 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](CC)SC)C1 ZINC001265210195 901708797 /nfs/dbraw/zinc/70/87/97/901708797.db2.gz IDQCPLWWOYKBIN-QWHCGFSZSA-N 1 2 313.467 1.011 20 30 DDEDLO CC(C)(C)C12CC(C(=O)NCC[NH+]3CCN(CC#N)CC3)(C1)C2 ZINC001265266548 901789501 /nfs/dbraw/zinc/78/95/01/901789501.db2.gz RJJMUFZNJHSBEG-UHFFFAOYSA-N 1 2 318.465 1.460 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]([NH2+]Cc2noc(C3CC3)n2)C1 ZINC001265491299 902068221 /nfs/dbraw/zinc/06/82/21/902068221.db2.gz WSRLBRIWFSHSMU-HAQNSBGRSA-N 1 2 304.394 1.896 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001391623119 902170628 /nfs/dbraw/zinc/17/06/28/902170628.db2.gz AEOHZCASOJKXJM-NWDGAFQWSA-N 1 2 316.833 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001391623119 902170637 /nfs/dbraw/zinc/17/06/37/902170637.db2.gz AEOHZCASOJKXJM-NWDGAFQWSA-N 1 2 316.833 1.109 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC[N@H+](C)CC(=C)Br ZINC001265834600 902466756 /nfs/dbraw/zinc/46/67/56/902466756.db2.gz USAMAFUUIBPYFN-ZDUSSCGKSA-N 1 2 319.243 1.660 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCC[N@@H+](C)CC(=C)Br ZINC001265834600 902466746 /nfs/dbraw/zinc/46/67/46/902466746.db2.gz USAMAFUUIBPYFN-ZDUSSCGKSA-N 1 2 319.243 1.660 20 30 DDEDLO C=CCOCC(=O)N(C)C1CC[NH+](Cc2ncccn2)CC1 ZINC001266177581 903066886 /nfs/dbraw/zinc/06/68/86/903066886.db2.gz HSBGDJMQBUVBBY-UHFFFAOYSA-N 1 2 304.394 1.102 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H]2C=CCC2)C1 ZINC001266200810 903096250 /nfs/dbraw/zinc/09/62/50/903096250.db2.gz RBKZLUHDFQKOGG-CABCVRRESA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@@H]2C=CCC2)C1 ZINC001266200810 903096260 /nfs/dbraw/zinc/09/62/60/903096260.db2.gz RBKZLUHDFQKOGG-CABCVRRESA-N 1 2 305.422 1.226 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@H+](CCCS(C)(=O)=O)C1 ZINC001266233594 903161538 /nfs/dbraw/zinc/16/15/38/903161538.db2.gz NLXYLWXLJWVCQI-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[N@@H+](CCCS(C)(=O)=O)C1 ZINC001266233594 903161544 /nfs/dbraw/zinc/16/15/44/903161544.db2.gz NLXYLWXLJWVCQI-ZDUSSCGKSA-N 1 2 316.467 1.214 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)CCCn2ccnc2C)C1 ZINC001266242869 903179397 /nfs/dbraw/zinc/17/93/97/903179397.db2.gz ISVFPVQQRAGKKY-MRXNPFEDSA-N 1 2 302.422 1.576 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)CCCn2ccnc2C)C1 ZINC001266242869 903179402 /nfs/dbraw/zinc/17/94/02/903179402.db2.gz ISVFPVQQRAGKKY-MRXNPFEDSA-N 1 2 302.422 1.576 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C1CC[NH+](Cc2nncn2C)CC1 ZINC001279146825 903186628 /nfs/dbraw/zinc/18/66/28/903186628.db2.gz QHPWDKUJIMJNFS-ZDUSSCGKSA-N 1 2 319.453 1.744 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC(CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001280077410 903508258 /nfs/dbraw/zinc/50/82/58/903508258.db2.gz XTDTTWCHZRGRJW-UHFFFAOYSA-N 1 2 318.421 1.484 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H](CC[NH2+]Cc2nnn(C)n2)C1 ZINC001280207835 903550868 /nfs/dbraw/zinc/55/08/68/903550868.db2.gz OWGKADJZONHWTE-ZDUSSCGKSA-N 1 2 320.441 1.141 20 30 DDEDLO CCCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCO1 ZINC001280396561 903630162 /nfs/dbraw/zinc/63/01/62/903630162.db2.gz GVYWTIWQJNJUFK-JKSUJKDBSA-N 1 2 312.454 1.953 20 30 DDEDLO CCCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H]1CCCO1 ZINC001280396561 903630166 /nfs/dbraw/zinc/63/01/66/903630166.db2.gz GVYWTIWQJNJUFK-JKSUJKDBSA-N 1 2 312.454 1.953 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)Cc1cc(C)cc(C)c1 ZINC001280414643 903650873 /nfs/dbraw/zinc/65/08/73/903650873.db2.gz CKYLTOLBPVIHSX-GOSISDBHSA-N 1 2 314.429 1.686 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)Cc1cc(C)cc(C)c1 ZINC001280414643 903650881 /nfs/dbraw/zinc/65/08/81/903650881.db2.gz CKYLTOLBPVIHSX-GOSISDBHSA-N 1 2 314.429 1.686 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([NH+]2CCN(CC(=C)C)CC2)C1 ZINC001280679448 903914590 /nfs/dbraw/zinc/91/45/90/903914590.db2.gz SOICOAVCGMPAAM-AEFFLSMTSA-N 1 2 321.465 1.108 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@H](CNC(=O)C1CC=CC1)O2 ZINC001280920508 904190029 /nfs/dbraw/zinc/19/00/29/904190029.db2.gz SJFKGGHZPBWEAZ-MRXNPFEDSA-N 1 2 320.433 1.505 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H](C)c1cnn(C)c1)O2 ZINC001280937438 904216606 /nfs/dbraw/zinc/21/66/06/904216606.db2.gz VQBSQFKEYNKYJT-DZGCQCFKSA-N 1 2 318.421 1.059 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)CC(C)=C(C)C)CO2 ZINC001280966083 904251405 /nfs/dbraw/zinc/25/14/05/904251405.db2.gz AMBQSMFTOMKLCG-MRXNPFEDSA-N 1 2 322.449 1.895 20 30 DDEDLO CCC1(NCC#N)CCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)CC1 ZINC001281021529 904325495 /nfs/dbraw/zinc/32/54/95/904325495.db2.gz OVLMFZBPYPGBQA-AWEZNQCLSA-N 1 2 315.421 1.330 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](C)SC ZINC001281063346 904368275 /nfs/dbraw/zinc/36/82/75/904368275.db2.gz OKMPASQNMRHTTL-XJKSGUPXSA-N 1 2 302.443 1.914 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](C)SC ZINC001281063346 904368291 /nfs/dbraw/zinc/36/82/91/904368291.db2.gz OKMPASQNMRHTTL-XJKSGUPXSA-N 1 2 302.443 1.914 20 30 DDEDLO CC(C)CCc1noc(C[NH2+]C[C@H](C)NC(=O)[C@@H](C)C#N)n1 ZINC001392550087 904436659 /nfs/dbraw/zinc/43/66/59/904436659.db2.gz OABYVODPQFAXFV-RYUDHWBXSA-N 1 2 307.398 1.412 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1coc(OCC)n1 ZINC001392694833 904937919 /nfs/dbraw/zinc/93/79/19/904937919.db2.gz SXNNXIIOHHFRKH-JTQLQIEISA-N 1 2 301.774 1.876 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1coc(OCC)n1 ZINC001392694833 904937927 /nfs/dbraw/zinc/93/79/27/904937927.db2.gz SXNNXIIOHHFRKH-JTQLQIEISA-N 1 2 301.774 1.876 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cnc2c(cnn2C)c1 ZINC001392714490 905022830 /nfs/dbraw/zinc/02/28/30/905022830.db2.gz UAPCKFSHIYPFBI-LLVKDONJSA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cnc2c(cnn2C)c1 ZINC001392714490 905022849 /nfs/dbraw/zinc/02/28/49/905022849.db2.gz UAPCKFSHIYPFBI-LLVKDONJSA-N 1 2 321.812 1.771 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CCN1C(=O)CCn1cc[nH+]c1 ZINC001282036309 905449344 /nfs/dbraw/zinc/44/93/44/905449344.db2.gz LSQFFZGLAKZYNW-HNNXBMFYSA-N 1 2 318.421 1.689 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)[N@H+](C)CC(=O)Nc1ccon1 ZINC001282456353 905798964 /nfs/dbraw/zinc/79/89/64/905798964.db2.gz ZMHPAIJTIROPEH-WBMJQRKESA-N 1 2 322.409 1.652 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](C)[N@@H+](C)CC(=O)Nc1ccon1 ZINC001282456353 905798978 /nfs/dbraw/zinc/79/89/78/905798978.db2.gz ZMHPAIJTIROPEH-WBMJQRKESA-N 1 2 322.409 1.652 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)COc2ccccc2F)C1 ZINC001282544689 905864351 /nfs/dbraw/zinc/86/43/51/905864351.db2.gz FHCVOEQCCSDULW-UHFFFAOYSA-N 1 2 322.380 1.334 20 30 DDEDLO C#CCCCC(=O)N[C@H](C)C1C[NH+](Cc2cncc(F)c2)C1 ZINC001282707260 905970062 /nfs/dbraw/zinc/97/00/62/905970062.db2.gz UFBUGEQWKMYZBJ-CYBMUJFWSA-N 1 2 303.381 1.961 20 30 DDEDLO C=CCCOCC(=O)N[C@H](C)C1C[NH+](Cc2cnc(C)cn2)C1 ZINC001282739984 906004353 /nfs/dbraw/zinc/00/43/53/906004353.db2.gz MSEJAMGUCSIKOQ-CQSZACIVSA-N 1 2 318.421 1.314 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCOCCOC)C(C)(C)C1 ZINC001282831842 906076961 /nfs/dbraw/zinc/07/69/61/906076961.db2.gz DLFUHGWXQNMAPP-ZDUSSCGKSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCOCCOC)C(C)(C)C1 ZINC001282831842 906076974 /nfs/dbraw/zinc/07/69/74/906076974.db2.gz DLFUHGWXQNMAPP-ZDUSSCGKSA-N 1 2 318.845 1.619 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)CCc2cncn2C)C1 ZINC001393118512 906254804 /nfs/dbraw/zinc/25/48/04/906254804.db2.gz BNOYUPARWJGIQR-OAHLLOKOSA-N 1 2 310.829 1.686 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)CCc2cncn2C)C1 ZINC001393118512 906254816 /nfs/dbraw/zinc/25/48/16/906254816.db2.gz BNOYUPARWJGIQR-OAHLLOKOSA-N 1 2 310.829 1.686 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001372029633 906281772 /nfs/dbraw/zinc/28/17/72/906281772.db2.gz VBJMKEHEBSFOKS-CMPLNLGQSA-N 1 2 311.345 1.053 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@H](C)[NH2+]Cc1nc(C2CC2)no1 ZINC001283608137 907672060 /nfs/dbraw/zinc/67/20/60/907672060.db2.gz NUQGICHCDYGTJU-LBPRGKRZSA-N 1 2 304.394 1.543 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)C2CC(F)(F)C2)CC1 ZINC001393841130 908055287 /nfs/dbraw/zinc/05/52/87/908055287.db2.gz UALSEJKYEZXIBB-UHFFFAOYSA-N 1 2 322.783 1.727 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001283863512 908091035 /nfs/dbraw/zinc/09/10/35/908091035.db2.gz PQCLVFZETNGMCL-GJZGRUSLSA-N 1 2 316.405 1.355 20 30 DDEDLO N#Cc1cc(C(=O)NCC[N@H+](Cc2ccns2)C2CC2)c[nH]1 ZINC001372790545 908264747 /nfs/dbraw/zinc/26/47/47/908264747.db2.gz USJAMHQBOHLBMO-UHFFFAOYSA-N 1 2 315.402 1.737 20 30 DDEDLO N#Cc1cc(C(=O)NCC[N@@H+](Cc2ccns2)C2CC2)c[nH]1 ZINC001372790545 908264760 /nfs/dbraw/zinc/26/47/60/908264760.db2.gz USJAMHQBOHLBMO-UHFFFAOYSA-N 1 2 315.402 1.737 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C#CC(C)(C)C)[C@H]1C ZINC001284130981 908524495 /nfs/dbraw/zinc/52/44/95/908524495.db2.gz YBPSSZTWSLOKJO-CABCVRRESA-N 1 2 319.449 1.307 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C#CC(C)(C)C)[C@H]1C ZINC001284130981 908524516 /nfs/dbraw/zinc/52/45/16/908524516.db2.gz YBPSSZTWSLOKJO-CABCVRRESA-N 1 2 319.449 1.307 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@@H](C)CNC(=O)C#CC3CC3)ccn12 ZINC001284233158 908702841 /nfs/dbraw/zinc/70/28/41/908702841.db2.gz KSXMIYQGMHCTIT-LBPRGKRZSA-N 1 2 324.384 1.291 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H](C)C1C[NH+](Cc2cc3n(n2)CCCC3)C1 ZINC001394215082 909105987 /nfs/dbraw/zinc/10/59/87/909105987.db2.gz FQEFQJBKEKIFMO-STQMWFEESA-N 1 2 315.421 1.316 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)CCN2CCCC2=O)C1 ZINC001394254063 909195988 /nfs/dbraw/zinc/19/59/88/909195988.db2.gz PEKKBIPOMZMNOG-LBPRGKRZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H](CC)NC(=O)Cc1[nH]c[nH+]c1C ZINC001285098128 910066373 /nfs/dbraw/zinc/06/63/73/910066373.db2.gz WUJXEFBIPYEOHG-GUYCJALGSA-N 1 2 320.437 1.874 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@H]23)n1 ZINC001394684258 910272847 /nfs/dbraw/zinc/27/28/47/910272847.db2.gz STSMBBDWYOGAER-IFUGULHKSA-N 1 2 303.366 1.151 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@H]23)n1 ZINC001394684258 910272858 /nfs/dbraw/zinc/27/28/58/910272858.db2.gz STSMBBDWYOGAER-IFUGULHKSA-N 1 2 303.366 1.151 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](CNC(=O)CCc1c[nH]c[nH+]1)C(C)C ZINC001285324282 910361040 /nfs/dbraw/zinc/36/10/40/910361040.db2.gz FUVKGHKKLGTLPQ-AWEZNQCLSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](CNC(=O)CCc1c[nH+]c[nH]1)C(C)C ZINC001285324282 910361054 /nfs/dbraw/zinc/36/10/54/910361054.db2.gz FUVKGHKKLGTLPQ-AWEZNQCLSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001285331097 910366744 /nfs/dbraw/zinc/36/67/44/910366744.db2.gz HUOISBKXTDTQHE-CYBMUJFWSA-N 1 2 306.410 1.421 20 30 DDEDLO C=CCCCC(=O)NC[C@H]1CCN1C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001285893107 911379690 /nfs/dbraw/zinc/37/96/90/911379690.db2.gz KBAGHYDBXNFWBX-CYBMUJFWSA-N 1 2 318.421 1.761 20 30 DDEDLO C#CCN(CC)c1nnc(C)n1CCc1cn2ccccc2[nH+]1 ZINC001286438031 911978033 /nfs/dbraw/zinc/97/80/33/911978033.db2.gz UHPBWIDBGMKMGB-UHFFFAOYSA-N 1 2 308.389 1.936 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C)n2CC2(SC)CCC2)CC1 ZINC001286473786 911991617 /nfs/dbraw/zinc/99/16/17/911991617.db2.gz XHNBGPCFRQSSGL-UHFFFAOYSA-N 1 2 319.478 1.627 20 30 DDEDLO CN(C[C@@H]1CCN1Cc1ccccc1C#N)C(=O)Cc1c[nH+]c[nH]1 ZINC001397017898 914967551 /nfs/dbraw/zinc/96/75/51/914967551.db2.gz OXWDNRSTYZTRMD-KRWDZBQOSA-N 1 2 323.400 1.557 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295820147 916071379 /nfs/dbraw/zinc/07/13/79/916071379.db2.gz VHQWCVJYYFBUOJ-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C#CCCCC(=O)N(C)CC[NH+]1CCN(C(=O)/C(C)=C/C)CC1 ZINC001296825604 916583701 /nfs/dbraw/zinc/58/37/01/916583701.db2.gz YBULNYCSEMLBPY-OMCISZLKSA-N 1 2 319.449 1.359 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001297210729 916812949 /nfs/dbraw/zinc/81/29/49/916812949.db2.gz UTNSQBIZDPHHJN-UHFFFAOYSA-N 1 2 318.421 1.519 20 30 DDEDLO CC(C)C[C@H](CNC(=O)CCc1[nH]cc[nH+]1)NC(=O)[C@H](C)C#N ZINC001375843726 917109448 /nfs/dbraw/zinc/10/94/48/917109448.db2.gz BXTQMUGKRPLGRH-CHWSQXEVSA-N 1 2 319.409 1.149 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]([NH2+]Cc1nnc(C2CC2)o1)C1CC1 ZINC001376199327 917939744 /nfs/dbraw/zinc/93/97/44/917939744.db2.gz NUPPWAFPJNWYED-BXKDBHETSA-N 1 2 303.366 1.091 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1F)[N@@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001377128474 920405924 /nfs/dbraw/zinc/40/59/24/920405924.db2.gz IFNRBRNOKTZZEU-NWDGAFQWSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1F)[N@H+](C)CCNC(=O)[C@@H](C)C#N ZINC001377128474 920405929 /nfs/dbraw/zinc/40/59/29/920405929.db2.gz IFNRBRNOKTZZEU-NWDGAFQWSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@H+](Cc2nnc(C3CC3)s2)C1 ZINC001377436892 921905529 /nfs/dbraw/zinc/90/55/29/921905529.db2.gz KCZWKPCUWUUZHU-QWRGUYRKSA-N 1 2 319.434 1.513 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(C3CC3)s2)C1 ZINC001377436892 921905542 /nfs/dbraw/zinc/90/55/42/921905542.db2.gz KCZWKPCUWUUZHU-QWRGUYRKSA-N 1 2 319.434 1.513 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CCC)C(=O)CCNC(N)=O)C1 ZINC001377678409 922673956 /nfs/dbraw/zinc/67/39/56/922673956.db2.gz MTVVRGLQZGYSFR-LBPRGKRZSA-N 1 2 316.833 1.110 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CCC)C(=O)CCNC(N)=O)C1 ZINC001377678409 922673965 /nfs/dbraw/zinc/67/39/65/922673965.db2.gz MTVVRGLQZGYSFR-LBPRGKRZSA-N 1 2 316.833 1.110 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@@H](c3cccc(F)c3)CC2=O)CC1 ZINC000076918921 185055223 /nfs/dbraw/zinc/05/52/23/185055223.db2.gz BMUKZXQMWPUSGA-INIZCTEOSA-N 1 2 315.392 1.350 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000278603775 214269537 /nfs/dbraw/zinc/26/95/37/214269537.db2.gz LFUSAARDHVLAKH-SJLPKXTDSA-N 1 2 318.417 1.503 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@]2(CCOC2)O1 ZINC000330079900 529385554 /nfs/dbraw/zinc/38/55/54/529385554.db2.gz OQDQCTMJEIKFMR-OIISXLGYSA-N 1 2 321.421 1.905 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@]2(CCOC2)O1 ZINC000330079900 529385556 /nfs/dbraw/zinc/38/55/56/529385556.db2.gz OQDQCTMJEIKFMR-OIISXLGYSA-N 1 2 321.421 1.905 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2nccc(C(C)C)n2)CC1 ZINC000185817414 539246667 /nfs/dbraw/zinc/24/66/67/539246667.db2.gz LJTIIXDPDXFIMC-CQSZACIVSA-N 1 2 317.437 1.413 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)Cc1ccc(C#N)cc1 ZINC000451932526 529590236 /nfs/dbraw/zinc/59/02/36/529590236.db2.gz NEKKHZKTXWPRIH-GFCCVEGCSA-N 1 2 318.402 1.955 20 30 DDEDLO CC(C)[C@@H]1C[C@H](NC(=O)NC[C@H](C)[NH+]2CCOCC2)CCO1 ZINC000329091331 539300777 /nfs/dbraw/zinc/30/07/77/539300777.db2.gz ACDIUHVKYBJFNA-ZNMIVQPWSA-N 1 2 313.442 1.414 20 30 DDEDLO O=C(NC[C@H](O)C[NH+]1CCOCC1)c1sccc1C1CC1 ZINC000328806228 539299042 /nfs/dbraw/zinc/29/90/42/539299042.db2.gz LBRRHHONYILOBF-LBPRGKRZSA-N 1 2 310.419 1.623 20 30 DDEDLO COc1cccc(CCNC(=O)C2([NH+]3CCOCC3)CCC2)n1 ZINC000329311574 539302938 /nfs/dbraw/zinc/30/29/38/539302938.db2.gz CQOANEXNGLYTOP-UHFFFAOYSA-N 1 2 319.405 1.844 20 30 DDEDLO C[C@@H](CNC(=O)NCC1CCSCC1)[NH+]1CCOCC1 ZINC000329378461 539303772 /nfs/dbraw/zinc/30/37/72/539303772.db2.gz MNCMULWCBJSPGX-LBPRGKRZSA-N 1 2 301.456 1.354 20 30 DDEDLO CC[C@H](C)NC(=O)CCNC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000330510925 529703153 /nfs/dbraw/zinc/70/31/53/529703153.db2.gz BDXUOWCNYOWFGF-QWHCGFSZSA-N 1 2 321.425 1.808 20 30 DDEDLO Cc1cc(Cl)cnc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329596244 539306171 /nfs/dbraw/zinc/30/61/71/539306171.db2.gz CAUNUASCEVDWRK-NEPJUHHUSA-N 1 2 310.785 1.604 20 30 DDEDLO Cc1cc(Cl)cnc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329596244 539306172 /nfs/dbraw/zinc/30/61/72/539306172.db2.gz CAUNUASCEVDWRK-NEPJUHHUSA-N 1 2 310.785 1.604 20 30 DDEDLO CCn1nc(NC(=O)NC[C@H](C)[NH+]2CCOCC2)cc1C1CC1 ZINC000330244692 539309752 /nfs/dbraw/zinc/30/97/52/539309752.db2.gz DJRUOIRTKATKBL-LBPRGKRZSA-N 1 2 321.425 1.827 20 30 DDEDLO CN(C)c1ccc([N+](=O)[O-])c(OC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000414143990 529785613 /nfs/dbraw/zinc/78/56/13/529785613.db2.gz JFZQPYRGDIBLEE-CYBMUJFWSA-N 1 2 322.365 1.246 20 30 DDEDLO CN(C)c1ccc([N+](=O)[O-])c(OC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000414143990 529785614 /nfs/dbraw/zinc/78/56/14/529785614.db2.gz JFZQPYRGDIBLEE-CYBMUJFWSA-N 1 2 322.365 1.246 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)Nc1cn(C)nc1C(F)(F)F ZINC000331314750 530027522 /nfs/dbraw/zinc/02/75/22/530027522.db2.gz DIAFJDSKXXFIKH-UHFFFAOYSA-N 1 2 316.287 1.850 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@H](C)C[NH+]2CCOCC2)ncc1C#N ZINC000457766164 232122764 /nfs/dbraw/zinc/12/27/64/232122764.db2.gz NWJDRYSGTYEWCA-CYBMUJFWSA-N 1 2 302.378 1.054 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ncccc2Br)CC1 ZINC000279892816 215213047 /nfs/dbraw/zinc/21/30/47/215213047.db2.gz VINBIMPFZMLFRG-UHFFFAOYSA-N 1 2 322.206 1.625 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[NH2+]C1(C(N)=O)CCCCC1 ZINC000459392236 233161387 /nfs/dbraw/zinc/16/13/87/233161387.db2.gz QACUOVUGVDIEQB-UHFFFAOYSA-N 1 2 302.334 1.744 20 30 DDEDLO N#Cc1cc(C(=O)NCc2ccc(N3CCOCC3)[nH+]c2)ccn1 ZINC000280071785 215354783 /nfs/dbraw/zinc/35/47/83/215354783.db2.gz XRXXDVYLGMLZMT-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](CC(=O)NC3CCCC3)CC2)c1 ZINC000029444060 352239111 /nfs/dbraw/zinc/23/91/11/352239111.db2.gz MHHRNISAKGKEGF-UHFFFAOYSA-N 1 2 313.405 1.134 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000028749851 352231418 /nfs/dbraw/zinc/23/14/18/352231418.db2.gz ZXSURMGOGSKRSF-AWEZNQCLSA-N 1 2 312.417 1.343 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000028749851 352231421 /nfs/dbraw/zinc/23/14/21/352231421.db2.gz ZXSURMGOGSKRSF-AWEZNQCLSA-N 1 2 312.417 1.343 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[NH+]1CCN(C(=O)C(C)C)CC1 ZINC000042353632 352335857 /nfs/dbraw/zinc/33/58/57/352335857.db2.gz AEHREODHNWOITA-OAHLLOKOSA-N 1 2 309.454 1.600 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN(Cc2ccccc2C#N)CC1 ZINC000044742289 352393454 /nfs/dbraw/zinc/39/34/54/352393454.db2.gz NYBOYZMMISQZLO-HNNXBMFYSA-N 1 2 314.433 1.591 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ncc(C(C)(C)C)o2)CC1 ZINC000046503745 352454853 /nfs/dbraw/zinc/45/48/53/352454853.db2.gz KKFBQCCLKKOUQV-UHFFFAOYSA-N 1 2 320.437 1.392 20 30 DDEDLO CCN(CC)C(=O)C[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000063508307 352914640 /nfs/dbraw/zinc/91/46/40/352914640.db2.gz JAMPKSCNDLHVLC-UHFFFAOYSA-N 1 2 318.396 1.688 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000066863259 353019811 /nfs/dbraw/zinc/01/98/11/353019811.db2.gz YPRQDBMAGIHUPR-UHFFFAOYSA-N 1 2 302.422 1.628 20 30 DDEDLO C#CCN(C(=O)N[C@H](C)C[NH+]1CCOCC1)C1CCCCC1 ZINC000068581555 353122171 /nfs/dbraw/zinc/12/21/71/353122171.db2.gz JQWQFIVBARIPBH-OAHLLOKOSA-N 1 2 307.438 1.685 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000579314426 422743088 /nfs/dbraw/zinc/74/30/88/422743088.db2.gz SXEOCVQFWGVPFR-UHFFFAOYSA-N 1 2 314.414 1.916 20 30 DDEDLO Cc1nc(C(=O)N2C[C@H](C)[C@H]([NH+]3CCOCC3)C2)ccc1C#N ZINC000173830276 198258847 /nfs/dbraw/zinc/25/88/47/198258847.db2.gz SHSFQSVRTZJTOC-BLLLJJGKSA-N 1 2 314.389 1.054 20 30 DDEDLO CCS(=O)(=O)c1ccc(C=C(O)NC2=[NH+]CCS2)cc1 ZINC000077167400 353454345 /nfs/dbraw/zinc/45/43/45/353454345.db2.gz JOMCPHKOLRUFDP-UHFFFAOYSA-N 1 2 312.416 1.242 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+](C)[C@H](C)c2ccccc2F)CC1 ZINC000081666353 353687482 /nfs/dbraw/zinc/68/74/82/353687482.db2.gz KOHVAKNIHNQXBB-OAHLLOKOSA-N 1 2 317.408 1.596 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+](C)[C@H](C)c2ccccc2F)CC1 ZINC000081666353 353687485 /nfs/dbraw/zinc/68/74/85/353687485.db2.gz KOHVAKNIHNQXBB-OAHLLOKOSA-N 1 2 317.408 1.596 20 30 DDEDLO N#Cc1ccc(NCC[NH+]2CCN(C(=O)C3CCC3)CC2)cc1 ZINC000112695612 353973821 /nfs/dbraw/zinc/97/38/21/353973821.db2.gz AFQWQEWBGMBRRU-UHFFFAOYSA-N 1 2 312.417 1.914 20 30 DDEDLO Cc1nn(-c2ccccc2)c(C[NH+]2CCC(C(N)=O)CC2)c1C#N ZINC000124784624 354042209 /nfs/dbraw/zinc/04/22/09/354042209.db2.gz DVHMTDWWUMKMKR-UHFFFAOYSA-N 1 2 323.400 1.750 20 30 DDEDLO COc1cccc(-c2noc([C@@H](C)[NH2+]CC(=O)NCC#N)n2)c1 ZINC000185116824 354281166 /nfs/dbraw/zinc/28/11/66/354281166.db2.gz DTVJSUWUCMOAKJ-SNVBAGLBSA-N 1 2 315.333 1.036 20 30 DDEDLO Cc1ccccc1-n1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)nn1 ZINC000579964467 354725418 /nfs/dbraw/zinc/72/54/18/354725418.db2.gz IBFAQTBWXKPHCZ-MRXNPFEDSA-N 1 2 312.377 1.149 20 30 DDEDLO COC1(CO)CC[NH+](CC(=O)Nc2oc(C)c(C)c2C#N)CC1 ZINC000401754996 354666289 /nfs/dbraw/zinc/66/62/89/354666289.db2.gz QIQMDQUWHACBOK-UHFFFAOYSA-N 1 2 321.377 1.180 20 30 DDEDLO COC1(CO)CC[NH+]([C@H](C)C(=O)Nc2ccccc2C#N)CC1 ZINC000402808838 354669757 /nfs/dbraw/zinc/66/97/57/354669757.db2.gz ZYSFILZNPGKTAJ-CYBMUJFWSA-N 1 2 317.389 1.359 20 30 DDEDLO COc1ccc(OC[C@H](O)C[N@H+](C)CCC#N)c(C(C)=O)c1 ZINC000581773252 354737438 /nfs/dbraw/zinc/73/74/38/354737438.db2.gz JXHJSXZLJFUKIC-CYBMUJFWSA-N 1 2 306.362 1.483 20 30 DDEDLO COc1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)c(C(C)=O)c1 ZINC000581773252 354737440 /nfs/dbraw/zinc/73/74/40/354737440.db2.gz JXHJSXZLJFUKIC-CYBMUJFWSA-N 1 2 306.362 1.483 20 30 DDEDLO Cc1nc(N2CCN(c3cnccc3C#N)CC2)c(C)c(C)[nH+]1 ZINC000589874440 355028049 /nfs/dbraw/zinc/02/80/49/355028049.db2.gz DLCSEZMDEKNNOD-UHFFFAOYSA-N 1 2 308.389 1.995 20 30 DDEDLO N#CC1(CC(=O)NC[C@@H](c2ccccc2)[NH+]2CCOCC2)CC1 ZINC000590145682 355054341 /nfs/dbraw/zinc/05/43/41/355054341.db2.gz OGWQSXRANMRDKD-INIZCTEOSA-N 1 2 313.401 1.870 20 30 DDEDLO N#Cc1ccc(N(CC[NH+]2CCOCC2)Cc2ccco2)nn1 ZINC000590737850 355158809 /nfs/dbraw/zinc/15/88/09/355158809.db2.gz GWLWVQNDHAPLIS-UHFFFAOYSA-N 1 2 313.361 1.280 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1N(CCC#N)Cc1ccco1 ZINC000377016491 291067337 /nfs/dbraw/zinc/06/73/37/291067337.db2.gz AWWXBJXDNJTCDR-JKSUJKDBSA-N 1 2 318.421 1.705 20 30 DDEDLO C[C@H]1CCc2ccccc2N1C(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592145310 355510793 /nfs/dbraw/zinc/51/07/93/355510793.db2.gz SCBUWBOTJDDHKP-KSSFIOAISA-N 1 2 313.401 1.705 20 30 DDEDLO C[C@H]1CCc2ccccc2N1C(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592145310 355510796 /nfs/dbraw/zinc/51/07/96/355510796.db2.gz SCBUWBOTJDDHKP-KSSFIOAISA-N 1 2 313.401 1.705 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592144969 355510931 /nfs/dbraw/zinc/51/09/31/355510931.db2.gz LEKBUDAOFZYNCJ-LRDDRELGSA-N 1 2 303.362 1.373 20 30 DDEDLO COc1ccccc1NC(=O)[C@H](C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592144969 355510934 /nfs/dbraw/zinc/51/09/34/355510934.db2.gz LEKBUDAOFZYNCJ-LRDDRELGSA-N 1 2 303.362 1.373 20 30 DDEDLO C[C@@H](C(=O)NC(=O)Nc1ccccc1)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146745 355511489 /nfs/dbraw/zinc/51/14/89/355511489.db2.gz FTGPIZVXUFUOPI-LRDDRELGSA-N 1 2 316.361 1.074 20 30 DDEDLO C[C@@H](C(=O)NC(=O)Nc1ccccc1)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146745 355511492 /nfs/dbraw/zinc/51/14/92/355511492.db2.gz FTGPIZVXUFUOPI-LRDDRELGSA-N 1 2 316.361 1.074 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)NCc2cccc(Cl)c2)C1 ZINC000592146516 355511843 /nfs/dbraw/zinc/51/18/43/355511843.db2.gz IXSSBLUBDPYKQL-HNNXBMFYSA-N 1 2 307.781 1.307 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)NCc2cccc(Cl)c2)C1 ZINC000592146516 355511846 /nfs/dbraw/zinc/51/18/46/355511846.db2.gz IXSSBLUBDPYKQL-HNNXBMFYSA-N 1 2 307.781 1.307 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](CC(=O)NCCc2ccc(Cl)cc2)C1 ZINC000592148390 355515282 /nfs/dbraw/zinc/51/52/82/355515282.db2.gz UQYKZXFEGKZDRV-MRXNPFEDSA-N 1 2 321.808 1.349 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](CC(=O)NCCc2ccc(Cl)cc2)C1 ZINC000592148390 355515287 /nfs/dbraw/zinc/51/52/87/355515287.db2.gz UQYKZXFEGKZDRV-MRXNPFEDSA-N 1 2 321.808 1.349 20 30 DDEDLO CC(C)(CCC#N)C[N@@H+]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC000592524636 355612124 /nfs/dbraw/zinc/61/21/24/355612124.db2.gz GZLZZSDPTVHBOS-AWEZNQCLSA-N 1 2 300.424 1.206 20 30 DDEDLO CC(C)(CCC#N)C[N@H+]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC000592524636 355612127 /nfs/dbraw/zinc/61/21/27/355612127.db2.gz GZLZZSDPTVHBOS-AWEZNQCLSA-N 1 2 300.424 1.206 20 30 DDEDLO N#Cc1ccnc(N2CC[NH+](Cc3cc4n(n3)CCCC4)CC2)c1 ZINC000592997910 355765687 /nfs/dbraw/zinc/76/56/87/355765687.db2.gz MMDITUZOZQSVHB-UHFFFAOYSA-N 1 2 322.416 1.808 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCO[C@@H]([C@@H]3CCCO3)C2)c([N+](=O)[O-])c1 ZINC000593015363 355770205 /nfs/dbraw/zinc/77/02/05/355770205.db2.gz ULXYUMFSGWUDBE-JKSUJKDBSA-N 1 2 317.345 1.846 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCO[C@@H]([C@@H]3CCCO3)C2)c([N+](=O)[O-])c1 ZINC000593015363 355770209 /nfs/dbraw/zinc/77/02/09/355770209.db2.gz ULXYUMFSGWUDBE-JKSUJKDBSA-N 1 2 317.345 1.846 20 30 DDEDLO CC1(C)C[N@H+](CCOc2cccc(C#N)c2)[C@@H]2COC[C@H]2O1 ZINC000593115536 355804596 /nfs/dbraw/zinc/80/45/96/355804596.db2.gz FWRMTMOHOZEFHQ-HZPDHXFCSA-N 1 2 302.374 1.815 20 30 DDEDLO CC1(C)C[N@@H+](CCOc2cccc(C#N)c2)[C@@H]2COC[C@H]2O1 ZINC000593115536 355804599 /nfs/dbraw/zinc/80/45/99/355804599.db2.gz FWRMTMOHOZEFHQ-HZPDHXFCSA-N 1 2 302.374 1.815 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)N1Cc2cccc(C#N)c2C1 ZINC000593338163 355857131 /nfs/dbraw/zinc/85/71/31/355857131.db2.gz ICZFAIWWPRJRAT-ZDUSSCGKSA-N 1 2 314.389 1.304 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)N1Cc2cccc(C#N)c2C1 ZINC000593338163 355857134 /nfs/dbraw/zinc/85/71/34/355857134.db2.gz ICZFAIWWPRJRAT-ZDUSSCGKSA-N 1 2 314.389 1.304 20 30 DDEDLO N#C[C@@H]1N(C(=O)CCc2[nH]cc[nH+]2)CCC[C@@]12CCCCO2 ZINC000593895871 356046381 /nfs/dbraw/zinc/04/63/81/356046381.db2.gz SKLYCDLFKILQLA-BBRMVZONSA-N 1 2 302.378 1.796 20 30 DDEDLO CC(C)Oc1cc[nH+]c(CN2CCN(C(=O)CC#N)CC2)c1 ZINC000594003563 356084148 /nfs/dbraw/zinc/08/41/48/356084148.db2.gz YZJLTDXIMSLVOV-UHFFFAOYSA-N 1 2 302.378 1.427 20 30 DDEDLO CCC(C)(C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)o2)CC1 ZINC000594475182 356229043 /nfs/dbraw/zinc/22/90/43/356229043.db2.gz TYYSYOABMHLXDE-UHFFFAOYSA-N 1 2 311.407 1.646 20 30 DDEDLO CN1CC[NH+](CCn2cc(CC3(C#N)CCCCC3)nn2)CC1 ZINC000594643709 356271190 /nfs/dbraw/zinc/27/11/90/356271190.db2.gz JNQSYQKOACKUBL-UHFFFAOYSA-N 1 2 316.453 1.542 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)n1cc(C[C@@H]([NH3+])C(=O)OC)nn1 ZINC000594651633 356274791 /nfs/dbraw/zinc/27/47/91/356274791.db2.gz FBOZHCPGBVSKPP-JKSUJKDBSA-N 1 2 314.389 1.681 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NC[C@H]1CCCSC1 ZINC000329259648 222953795 /nfs/dbraw/zinc/95/37/95/222953795.db2.gz YRJWGWSEFPIPRU-CHWSQXEVSA-N 1 2 301.456 1.354 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@H](C)[N@@H+]1CCC[C@H](CC#N)C1 ZINC000595611589 356576149 /nfs/dbraw/zinc/57/61/49/356576149.db2.gz LQCKUZWIIUIHAY-UONOGXRCSA-N 1 2 303.410 1.990 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@H](C)[N@H+]1CCC[C@H](CC#N)C1 ZINC000595611589 356576154 /nfs/dbraw/zinc/57/61/54/356576154.db2.gz LQCKUZWIIUIHAY-UONOGXRCSA-N 1 2 303.410 1.990 20 30 DDEDLO CS(=O)(=O)[C@@H]1C[N@@H+]([C@H]2CC[C@H](C#N)C2)C[C@H]1C(F)(F)F ZINC000595634606 356586249 /nfs/dbraw/zinc/58/62/49/356586249.db2.gz CTTQTIJMTCTXSG-UKKRHICBSA-N 1 2 310.341 1.586 20 30 DDEDLO CS(=O)(=O)[C@@H]1C[N@H+]([C@H]2CC[C@H](C#N)C2)C[C@H]1C(F)(F)F ZINC000595634606 356586253 /nfs/dbraw/zinc/58/62/53/356586253.db2.gz CTTQTIJMTCTXSG-UKKRHICBSA-N 1 2 310.341 1.586 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@@H+]1CCOc1ccccc1C#N ZINC000595803482 356657473 /nfs/dbraw/zinc/65/74/73/356657473.db2.gz VOQIPGMWBFWESL-KRWDZBQOSA-N 1 2 318.373 1.591 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@H+]1CCOc1ccccc1C#N ZINC000595803482 356657477 /nfs/dbraw/zinc/65/74/77/356657477.db2.gz VOQIPGMWBFWESL-KRWDZBQOSA-N 1 2 318.373 1.591 20 30 DDEDLO Cc1cc(N[C@@H](C)C[NH+]2CCOCC2)cc(C#N)c1[N+](=O)[O-] ZINC000596076245 356763599 /nfs/dbraw/zinc/76/35/99/356763599.db2.gz NYRLGSUSCBSVOC-LBPRGKRZSA-N 1 2 304.350 1.908 20 30 DDEDLO Cc1cc(NCCC[N@@H+]2CCNC(=O)C2)cc(C#N)c1[N+](=O)[O-] ZINC000596173155 356801593 /nfs/dbraw/zinc/80/15/93/356801593.db2.gz RHRQRMZSHBZYIK-UHFFFAOYSA-N 1 2 317.349 1.009 20 30 DDEDLO Cc1cc(NCCC[N@H+]2CCNC(=O)C2)cc(C#N)c1[N+](=O)[O-] ZINC000596173155 356801596 /nfs/dbraw/zinc/80/15/96/356801596.db2.gz RHRQRMZSHBZYIK-UHFFFAOYSA-N 1 2 317.349 1.009 20 30 DDEDLO CCc1nc(C#N)cc(N2C[C@@H]([NH+]3CCOCC3)C[C@@H]2C)n1 ZINC000596231958 356826060 /nfs/dbraw/zinc/82/60/60/356826060.db2.gz NNFZBXBRFQYIKI-JSGCOSHPSA-N 1 2 301.394 1.210 20 30 DDEDLO N#Cc1ccc([N+](=O)[O-])c(N2CCC[C@H]([NH+]3CCOCC3)C2)c1 ZINC000596247608 356831048 /nfs/dbraw/zinc/83/10/48/356831048.db2.gz SECYJHIYYFRVOU-AWEZNQCLSA-N 1 2 316.361 1.767 20 30 DDEDLO N#CC[C@@H]1CCCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)C1 ZINC000596327717 356858471 /nfs/dbraw/zinc/85/84/71/356858471.db2.gz ADDQRTZROIVRCW-GJZGRUSLSA-N 1 2 315.421 1.958 20 30 DDEDLO N#CC[C@@H]1CCCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC000596327717 356858474 /nfs/dbraw/zinc/85/84/74/356858474.db2.gz ADDQRTZROIVRCW-GJZGRUSLSA-N 1 2 315.421 1.958 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCC2(C#N)CCCC2)[C@@H](C)CO1 ZINC000596643859 356940136 /nfs/dbraw/zinc/94/01/36/356940136.db2.gz NBESDVXFWUXSQQ-UONOGXRCSA-N 1 2 308.426 1.479 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCC2(C#N)CCCC2)[C@@H](C)CO1 ZINC000596643859 356940138 /nfs/dbraw/zinc/94/01/38/356940138.db2.gz NBESDVXFWUXSQQ-UONOGXRCSA-N 1 2 308.426 1.479 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)NCC1(C#N)CCCCC1 ZINC000596858706 356997413 /nfs/dbraw/zinc/99/74/13/356997413.db2.gz AAKUMCOTFSMIFK-UHFFFAOYSA-N 1 2 322.453 1.870 20 30 DDEDLO CN(C)C(=O)COC1C[NH+](Cc2ccc(C3(C#N)CC3)cc2)C1 ZINC000597146768 357072912 /nfs/dbraw/zinc/07/29/12/357072912.db2.gz QDPWALNFLLICEF-UHFFFAOYSA-N 1 2 313.401 1.531 20 30 DDEDLO COc1cc(C[N@@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)ccc1C#N ZINC000597207983 357092789 /nfs/dbraw/zinc/09/27/89/357092789.db2.gz IOLIKJVZEFFQDS-GFCCVEGCSA-N 1 2 323.418 1.033 20 30 DDEDLO COc1cc(C[N@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)ccc1C#N ZINC000597207983 357092792 /nfs/dbraw/zinc/09/27/92/357092792.db2.gz IOLIKJVZEFFQDS-GFCCVEGCSA-N 1 2 323.418 1.033 20 30 DDEDLO CN(C)c1[nH+]cccc1N(C)C(=O)CC1(C#N)CCOCC1 ZINC000597361751 357149735 /nfs/dbraw/zinc/14/97/35/357149735.db2.gz SXMZDWUAEBGKOZ-UHFFFAOYSA-N 1 2 302.378 1.821 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC[C@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000597640437 357264991 /nfs/dbraw/zinc/26/49/91/357264991.db2.gz KNMXQKVDJDYACM-DOMZBBRYSA-N 1 2 305.382 1.338 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NC[C@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000597640437 357264992 /nfs/dbraw/zinc/26/49/92/357264992.db2.gz KNMXQKVDJDYACM-DOMZBBRYSA-N 1 2 305.382 1.338 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)c1cc(C#N)cs1)C(C)(C)CO ZINC000597849192 357357828 /nfs/dbraw/zinc/35/78/28/357357828.db2.gz ZWQIABHJQUFKKA-GFCCVEGCSA-N 1 2 318.402 1.843 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)ncc1C#N ZINC000597997540 357413399 /nfs/dbraw/zinc/41/33/99/357413399.db2.gz SSLNVNDUJKDNLE-QWHCGFSZSA-N 1 2 302.378 1.101 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)ncc1C#N ZINC000597997540 357413404 /nfs/dbraw/zinc/41/34/04/357413404.db2.gz SSLNVNDUJKDNLE-QWHCGFSZSA-N 1 2 302.378 1.101 20 30 DDEDLO N#Cc1cccc(C(=O)N(CC[NH+]2CCOCC2)CC2CC2)c1 ZINC000598343805 357546518 /nfs/dbraw/zinc/54/65/18/357546518.db2.gz YMTKFFZROCQOFX-UHFFFAOYSA-N 1 2 313.401 1.743 20 30 DDEDLO CO[C@H]1C[C@H](c2nc(C)no2)[N@H+](C[C@H](O)CC(C)(C)C#N)C1 ZINC000598608218 357651678 /nfs/dbraw/zinc/65/16/78/357651678.db2.gz UECWPPGTQXPNLD-FRRDWIJNSA-N 1 2 308.382 1.441 20 30 DDEDLO CO[C@H]1C[C@H](c2nc(C)no2)[N@@H+](C[C@H](O)CC(C)(C)C#N)C1 ZINC000598608218 357651682 /nfs/dbraw/zinc/65/16/82/357651682.db2.gz UECWPPGTQXPNLD-FRRDWIJNSA-N 1 2 308.382 1.441 20 30 DDEDLO N#CCCCS(=O)(=O)N1CC2(CC[N@@H+]2Cc2ccccc2)C1 ZINC000598897760 357753399 /nfs/dbraw/zinc/75/33/99/357753399.db2.gz DYPDFUVAPJUADU-UHFFFAOYSA-N 1 2 319.430 1.580 20 30 DDEDLO N#CCCCS(=O)(=O)N1CC2(CC[N@H+]2Cc2ccccc2)C1 ZINC000598897760 357753402 /nfs/dbraw/zinc/75/34/02/357753402.db2.gz DYPDFUVAPJUADU-UHFFFAOYSA-N 1 2 319.430 1.580 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNc1c(C#N)cnc2ccc(Cl)cc21 ZINC000599160054 357832550 /nfs/dbraw/zinc/83/25/50/357832550.db2.gz CQCLZFVAMAHRFS-ZDUSSCGKSA-N 1 2 316.792 1.924 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNc1c(C#N)cnc2ccc(Cl)cc21 ZINC000599160054 357832554 /nfs/dbraw/zinc/83/25/54/357832554.db2.gz CQCLZFVAMAHRFS-ZDUSSCGKSA-N 1 2 316.792 1.924 20 30 DDEDLO Cc1cc(NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)c(C#N)cn1 ZINC000599185019 357842559 /nfs/dbraw/zinc/84/25/59/357842559.db2.gz PQNTYGDUOYKYLI-KRWDZBQOSA-N 1 2 323.400 1.392 20 30 DDEDLO Cc1cc(NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)c(C#N)cn1 ZINC000599185019 357842562 /nfs/dbraw/zinc/84/25/62/357842562.db2.gz PQNTYGDUOYKYLI-KRWDZBQOSA-N 1 2 323.400 1.392 20 30 DDEDLO CC[C@@](C)([NH2+]C[C@@H](O)COc1ccccc1C#N)C(=O)OC ZINC000599351731 357905386 /nfs/dbraw/zinc/90/53/86/357905386.db2.gz IHMAJNDRQJWTOI-CZUORRHYSA-N 1 2 306.362 1.229 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)NC[C@H]1COCC[N@@H+]1C ZINC000599779649 358056914 /nfs/dbraw/zinc/05/69/14/358056914.db2.gz OQLGUOGNGNIPQR-LBPRGKRZSA-N 1 2 304.350 1.019 20 30 DDEDLO COc1cc(C#N)ccc1NC(=O)NC[C@H]1COCC[N@H+]1C ZINC000599779649 358056915 /nfs/dbraw/zinc/05/69/15/358056915.db2.gz OQLGUOGNGNIPQR-LBPRGKRZSA-N 1 2 304.350 1.019 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2C(=O)N[C@@H](C#N)C(C)C)cc1 ZINC000602096709 358811298 /nfs/dbraw/zinc/81/12/98/358811298.db2.gz JRECUEAWWJJLAX-HOTGVXAUSA-N 1 2 301.390 1.934 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2C(=O)N[C@@H](C#N)C(C)C)cc1 ZINC000602096709 358811300 /nfs/dbraw/zinc/81/13/00/358811300.db2.gz JRECUEAWWJJLAX-HOTGVXAUSA-N 1 2 301.390 1.934 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1cccc(OC(C)(C)C)n1 ZINC000602336631 358937167 /nfs/dbraw/zinc/93/71/67/358937167.db2.gz YTCSEUWIWIKZJY-MRXNPFEDSA-N 1 2 304.394 1.833 20 30 DDEDLO CCCS(=O)(=O)NC1CC[NH+](Cc2cccc(C#N)n2)CC1 ZINC000602440130 358977824 /nfs/dbraw/zinc/97/78/24/358977824.db2.gz JLNQZLHCVAQNRG-UHFFFAOYSA-N 1 2 322.434 1.247 20 30 DDEDLO C[C@@H](CC#N)[N@H+](C)Cc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC000602462665 358993528 /nfs/dbraw/zinc/99/35/28/358993528.db2.gz OIPHQHOAOYYYBK-VIFPVBQESA-N 1 2 301.799 1.721 20 30 DDEDLO C[C@@H](CC#N)[N@@H+](C)Cc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC000602462665 358993530 /nfs/dbraw/zinc/99/35/30/358993530.db2.gz OIPHQHOAOYYYBK-VIFPVBQESA-N 1 2 301.799 1.721 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)N1CC[NH+](CC2(CC#N)CC2)CC1 ZINC000602789510 359192978 /nfs/dbraw/zinc/19/29/78/359192978.db2.gz WKCVVDZJFOTYIN-OAHLLOKOSA-N 1 2 304.438 1.309 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(CC2(CC#N)CC2)CC1 ZINC000602789510 359192982 /nfs/dbraw/zinc/19/29/82/359192982.db2.gz WKCVVDZJFOTYIN-OAHLLOKOSA-N 1 2 304.438 1.309 20 30 DDEDLO C[C@@H]1C[NH+](Cc2nc(-c3ccco3)no2)C[C@@H](C)N1CC#N ZINC000602857015 359241877 /nfs/dbraw/zinc/24/18/77/359241877.db2.gz NXMLBZRAFHIIIB-VXGBXAGGSA-N 1 2 301.350 1.748 20 30 DDEDLO C[C@H]1C[NH+](Cc2nc(-c3ccccn3)no2)C[C@H](C)N1CC#N ZINC000602858740 359244594 /nfs/dbraw/zinc/24/45/94/359244594.db2.gz UUGUMVUKYTVLRU-STQMWFEESA-N 1 2 312.377 1.550 20 30 DDEDLO COc1ccc(CCNC(=O)[C@@H](C)[NH2+][C@H](C)CC#N)cc1OC ZINC000602863594 359248712 /nfs/dbraw/zinc/24/87/12/359248712.db2.gz OTBFFJGJFXCPCJ-CHWSQXEVSA-N 1 2 319.405 1.643 20 30 DDEDLO CC(C)S(=O)(=O)CCC[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602864814 359249289 /nfs/dbraw/zinc/24/92/89/359249289.db2.gz QTENJFIQYXBEKD-OKILXGFUSA-N 1 2 301.456 1.118 20 30 DDEDLO CC(C)S(=O)(=O)CCC[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602864814 359249292 /nfs/dbraw/zinc/24/92/92/359249292.db2.gz QTENJFIQYXBEKD-OKILXGFUSA-N 1 2 301.456 1.118 20 30 DDEDLO COC(=O)[C@@H](Cc1ccccc1)[NH2+]C[C@@H](O)CC(C)(C)C#N ZINC000602878188 359261167 /nfs/dbraw/zinc/26/11/67/359261167.db2.gz RUQXZAYBAZQTTG-LSDHHAIUSA-N 1 2 304.390 1.661 20 30 DDEDLO C[N@H+](CC(=O)NC(=O)NCc1cccs1)[C@H]1CCC[C@@H]1C#N ZINC000602976167 359336014 /nfs/dbraw/zinc/33/60/14/359336014.db2.gz MCSMHBRBHMNYLD-YPMHNXCESA-N 1 2 320.418 1.698 20 30 DDEDLO C[N@@H+](CC(=O)NC(=O)NCc1cccs1)[C@H]1CCC[C@@H]1C#N ZINC000602976167 359336022 /nfs/dbraw/zinc/33/60/22/359336022.db2.gz MCSMHBRBHMNYLD-YPMHNXCESA-N 1 2 320.418 1.698 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)Nc1ccnc(C#N)c1)[NH+]1CCOCC1 ZINC000603126729 359419589 /nfs/dbraw/zinc/41/95/89/359419589.db2.gz BBHWSAQLKDXKII-NWDGAFQWSA-N 1 2 303.366 1.184 20 30 DDEDLO COC1CC[NH+](Cc2cn(Cc3ccc(C#N)cn3)nn2)CC1 ZINC000603224141 359495151 /nfs/dbraw/zinc/49/51/51/359495151.db2.gz XJSQPCHMNCKWJR-UHFFFAOYSA-N 1 2 312.377 1.204 20 30 DDEDLO C[N@H+](CCO)Cc1cn(Cc2ccc(C#N)cc2Cl)nn1 ZINC000603226237 359497251 /nfs/dbraw/zinc/49/72/51/359497251.db2.gz IKERKTBJIQCVAZ-UHFFFAOYSA-N 1 2 305.769 1.276 20 30 DDEDLO C[N@@H+](CCO)Cc1cn(Cc2ccc(C#N)cc2Cl)nn1 ZINC000603226237 359497254 /nfs/dbraw/zinc/49/72/54/359497254.db2.gz IKERKTBJIQCVAZ-UHFFFAOYSA-N 1 2 305.769 1.276 20 30 DDEDLO CC(C)N(CCC#N)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603280187 359537937 /nfs/dbraw/zinc/53/79/37/359537937.db2.gz KYSYUWJXGBSACB-UHFFFAOYSA-N 1 2 321.446 1.987 20 30 DDEDLO CC(C)N(CCC#N)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603280187 359537939 /nfs/dbraw/zinc/53/79/39/359537939.db2.gz KYSYUWJXGBSACB-UHFFFAOYSA-N 1 2 321.446 1.987 20 30 DDEDLO C[C@@H](NS(=O)(=O)CCn1cc[nH+]c1)c1cccc(C#N)c1 ZINC000603296216 359550379 /nfs/dbraw/zinc/55/03/79/359550379.db2.gz MWHZNRLIYJXTCU-GFCCVEGCSA-N 1 2 304.375 1.435 20 30 DDEDLO COCCOCc1cc(C#N)ccc1NC[C@H]1COCC[N@@H+]1C ZINC000603415359 359626063 /nfs/dbraw/zinc/62/60/63/359626063.db2.gz LFIPQGSFCYZOSA-INIZCTEOSA-N 1 2 319.405 1.464 20 30 DDEDLO COCCOCc1cc(C#N)ccc1NC[C@H]1COCC[N@H+]1C ZINC000603415359 359626067 /nfs/dbraw/zinc/62/60/67/359626067.db2.gz LFIPQGSFCYZOSA-INIZCTEOSA-N 1 2 319.405 1.464 20 30 DDEDLO O=C(C[N@H+]1C[C@H]2CC[C@@H](C1)O2)NC[C@@H]1Cc2ccccc2O1 ZINC000329852083 223037858 /nfs/dbraw/zinc/03/78/58/223037858.db2.gz UJQRYSOTUPSWKC-ZNMIVQPWSA-N 1 2 302.374 1.810 20 30 DDEDLO O=C(C[N@@H+]1C[C@H]2CC[C@@H](C1)O2)NC[C@@H]1Cc2ccccc2O1 ZINC000329852083 223037861 /nfs/dbraw/zinc/03/78/61/223037861.db2.gz UJQRYSOTUPSWKC-ZNMIVQPWSA-N 1 2 302.374 1.810 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)cc1 ZINC000189063891 200343078 /nfs/dbraw/zinc/34/30/78/200343078.db2.gz DMNUHAXIXLRFCO-KRWDZBQOSA-N 1 2 313.401 1.818 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)cc1 ZINC000189063891 200343079 /nfs/dbraw/zinc/34/30/79/200343079.db2.gz DMNUHAXIXLRFCO-KRWDZBQOSA-N 1 2 313.401 1.818 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N1CCO[C@@]2(CCOC2)C1 ZINC000329889398 223043256 /nfs/dbraw/zinc/04/32/56/223043256.db2.gz DMZDRROKSPBUQV-BBRMVZONSA-N 1 2 320.393 1.166 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)c1 ZINC000609134622 360264309 /nfs/dbraw/zinc/26/43/09/360264309.db2.gz AJOOGDLXVGIORZ-CQSZACIVSA-N 1 2 316.386 1.781 20 30 DDEDLO CCC[C@H](C#N)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000609516889 360317073 /nfs/dbraw/zinc/31/70/73/360317073.db2.gz RYKCTYPKFUYXOS-MRXNPFEDSA-N 1 2 301.390 1.941 20 30 DDEDLO CCOC(=O)CC[NH+]1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000610389896 360423942 /nfs/dbraw/zinc/42/39/42/360423942.db2.gz QNSZPKPKIUEOHC-UHFFFAOYSA-N 1 2 318.377 1.499 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(c2nc(C#N)ccc2C)CC1 ZINC000611398896 360711722 /nfs/dbraw/zinc/71/17/22/360711722.db2.gz OSRFVYPTWOLAMD-CQSZACIVSA-N 1 2 315.421 1.298 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000611552229 360756501 /nfs/dbraw/zinc/75/65/01/360756501.db2.gz SLIZWEMPYFIBFD-INIZCTEOSA-N 1 2 318.396 1.533 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H](OC(F)F)C1 ZINC000611579697 360766537 /nfs/dbraw/zinc/76/65/37/360766537.db2.gz NLRAVGMPLWFUJE-NEPJUHHUSA-N 1 2 303.353 1.698 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@H](OC(F)F)C1 ZINC000611579697 360766538 /nfs/dbraw/zinc/76/65/38/360766538.db2.gz NLRAVGMPLWFUJE-NEPJUHHUSA-N 1 2 303.353 1.698 20 30 DDEDLO COC(=O)c1cccc(CN2CCn3cc(C)[nH+]c3C2)c1C#N ZINC000611801904 360828984 /nfs/dbraw/zinc/82/89/84/360828984.db2.gz JLLTYIJRGCZHTB-UHFFFAOYSA-N 1 2 310.357 1.866 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)NC3CC(F)(F)C3)CC2)cn1 ZINC000330233509 223091230 /nfs/dbraw/zinc/09/12/30/223091230.db2.gz FZLBQKGVRXKUDV-UHFFFAOYSA-N 1 2 313.352 1.249 20 30 DDEDLO C[C@H](C1CC1)n1cc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)cn1 ZINC000330257227 223095673 /nfs/dbraw/zinc/09/56/73/223095673.db2.gz QOPBCSDGMPWDPL-DFBGVHRSSA-N 1 2 319.409 1.414 20 30 DDEDLO C[C@H](C1CC1)n1cc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)cn1 ZINC000330257227 223095676 /nfs/dbraw/zinc/09/56/76/223095676.db2.gz QOPBCSDGMPWDPL-DFBGVHRSSA-N 1 2 319.409 1.414 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)NC[C@@H]1CCCOC1 ZINC000330516795 223124166 /nfs/dbraw/zinc/12/41/66/223124166.db2.gz DZSGRNYJURRUFE-JTQLQIEISA-N 1 2 320.315 1.876 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000330852841 223149048 /nfs/dbraw/zinc/14/90/48/223149048.db2.gz GEPAZTZXADLHFM-JQWIXIFHSA-N 1 2 307.316 1.136 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@@](O)(C(F)(F)F)C1 ZINC000330852841 223149050 /nfs/dbraw/zinc/14/90/50/223149050.db2.gz GEPAZTZXADLHFM-JQWIXIFHSA-N 1 2 307.316 1.136 20 30 DDEDLO CNc1[nH+]cnc2c1cnn2CCCC1(C#N)CCOCC1 ZINC000337224921 283702188 /nfs/dbraw/zinc/70/21/88/283702188.db2.gz NVDVJOLLRMWHCM-UHFFFAOYSA-N 1 2 300.366 1.969 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(C(=O)N2CCC[C@@H](C)C2)CC1 ZINC000619716477 364127642 /nfs/dbraw/zinc/12/76/42/364127642.db2.gz PGVOFEPUSUZWRN-UKRRQHHQSA-N 1 2 308.422 1.685 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CC[C@H](CN(CC)CC(F)(F)F)C1 ZINC000619718214 364129341 /nfs/dbraw/zinc/12/93/41/364129341.db2.gz FBZRPBGVRDCSRP-NEPJUHHUSA-N 1 2 308.344 1.920 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CC[C@H](CN(CC)CC(F)(F)F)C1 ZINC000619718214 364129343 /nfs/dbraw/zinc/12/93/43/364129343.db2.gz FBZRPBGVRDCSRP-NEPJUHHUSA-N 1 2 308.344 1.920 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000625446767 366933412 /nfs/dbraw/zinc/93/34/12/366933412.db2.gz RCHVNJYPXBYDOD-UHFFFAOYSA-N 1 2 318.421 1.765 20 30 DDEDLO Cc1ccc([C@@H](CNC(=O)[C@@H](C)C#N)[NH+]2CCOCC2)cc1 ZINC000347069082 223365291 /nfs/dbraw/zinc/36/52/91/223365291.db2.gz MXZUKCDRUZJSGT-GOEBONIOSA-N 1 2 301.390 1.644 20 30 DDEDLO N#CCCCOc1cccc(C[NH2+]Cc2cc(C(N)=O)no2)c1 ZINC000350397727 223399822 /nfs/dbraw/zinc/39/98/22/223399822.db2.gz YVVIDCUPOFNVEL-UHFFFAOYSA-N 1 2 314.345 1.746 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccccc2CC)CC1 ZINC000275201456 212192445 /nfs/dbraw/zinc/19/24/45/212192445.db2.gz NYKYMJHXXDZZBH-UHFFFAOYSA-N 1 2 306.431 1.579 20 30 DDEDLO CC(C)CS(=O)(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000263669958 203502906 /nfs/dbraw/zinc/50/29/06/203502906.db2.gz GLEVSDNBXMDFPI-UHFFFAOYSA-N 1 2 321.446 1.662 20 30 DDEDLO Cc1c(Cl)cccc1OCC(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000563816070 335023835 /nfs/dbraw/zinc/02/38/35/335023835.db2.gz ZQFGXICHOBOCIA-HNNXBMFYSA-N 1 2 309.797 1.987 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c1 ZINC000333751319 249092253 /nfs/dbraw/zinc/09/22/53/249092253.db2.gz ZPCRWVFSWGDNDS-CABCVRRESA-N 1 2 300.362 1.413 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c1 ZINC000333751319 249092255 /nfs/dbraw/zinc/09/22/55/249092255.db2.gz ZPCRWVFSWGDNDS-CABCVRRESA-N 1 2 300.362 1.413 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC[C@@H]1CCN(c2ccccc2)C1)C1CC1 ZINC000274117964 211370226 /nfs/dbraw/zinc/37/02/26/211370226.db2.gz GLMMEVVWMWOJEC-INIZCTEOSA-N 1 2 311.429 1.727 20 30 DDEDLO C#CC[N@H+](CC(=O)NC[C@@H]1CCN(c2ccccc2)C1)C1CC1 ZINC000274117964 211370230 /nfs/dbraw/zinc/37/02/30/211370230.db2.gz GLMMEVVWMWOJEC-INIZCTEOSA-N 1 2 311.429 1.727 20 30 DDEDLO C#CCN(C(=O)NCc1ccc[nH+]c1N1CCOCC1)C1CC1 ZINC000274078903 211333783 /nfs/dbraw/zinc/33/37/83/211333783.db2.gz GUCBYKLAESWWHD-UHFFFAOYSA-N 1 2 314.389 1.225 20 30 DDEDLO C[C@H](NC(=O)NCc1cccc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000105119658 194076459 /nfs/dbraw/zinc/07/64/59/194076459.db2.gz WOXMANGVFKAOQA-KBPBESRZSA-N 1 2 316.405 1.467 20 30 DDEDLO N#C[C@H]1CN(C(=O)NCc2cn3cc(Cl)ccc3[nH+]2)CCO1 ZINC000106330256 194197116 /nfs/dbraw/zinc/19/71/16/194197116.db2.gz BHQNZRPRGROHSO-LBPRGKRZSA-N 1 2 319.752 1.422 20 30 DDEDLO Cc1c(C[NH+]2CCN(Cc3ccc(C#N)cc3)CC2)cnn1C ZINC000268846717 207013256 /nfs/dbraw/zinc/01/32/56/207013256.db2.gz AWJUSKZRECMYNB-UHFFFAOYSA-N 1 2 309.417 1.918 20 30 DDEDLO N#Cc1cccc(CN2CCC[C@@H]2C[NH+]2CCOCC2)c1F ZINC000340893863 251262491 /nfs/dbraw/zinc/26/24/91/251262491.db2.gz LCMSNDOKBFIBBX-MRXNPFEDSA-N 1 2 303.381 1.994 20 30 DDEDLO C[C@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(F)cc1C#N ZINC000350869886 207375905 /nfs/dbraw/zinc/37/59/05/207375905.db2.gz LWMNEJZIQNFSJR-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(F)cc1C#N ZINC000350869886 207375910 /nfs/dbraw/zinc/37/59/10/207375910.db2.gz LWMNEJZIQNFSJR-QWRGUYRKSA-N 1 2 311.382 1.410 20 30 DDEDLO COc1ccc(OC[C@H](O)C[N@H+](C)CCC#N)cc1C(C)=O ZINC000414158115 533020271 /nfs/dbraw/zinc/02/02/71/533020271.db2.gz YYZYZZYPHJXDMI-CYBMUJFWSA-N 1 2 306.362 1.483 20 30 DDEDLO COc1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)cc1C(C)=O ZINC000414158115 533020275 /nfs/dbraw/zinc/02/02/75/533020275.db2.gz YYZYZZYPHJXDMI-CYBMUJFWSA-N 1 2 306.362 1.483 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000341979324 533109863 /nfs/dbraw/zinc/10/98/63/533109863.db2.gz NYORZLKHWKSDOX-OAHLLOKOSA-N 1 2 306.410 1.293 20 30 DDEDLO C=C(C)CNC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000156398504 197109989 /nfs/dbraw/zinc/10/99/89/197109989.db2.gz VFJJZRFATZLLTL-INIZCTEOSA-N 1 2 303.406 1.935 20 30 DDEDLO Cc1cc(NCCC[N@@H+]2CCNC(=O)C2)c(C#N)cc1[N+](=O)[O-] ZINC000413211121 224155506 /nfs/dbraw/zinc/15/55/06/224155506.db2.gz WWKWFEWXTSFAEC-UHFFFAOYSA-N 1 2 317.349 1.009 20 30 DDEDLO Cc1cc(NCCC[N@H+]2CCNC(=O)C2)c(C#N)cc1[N+](=O)[O-] ZINC000413211121 224155508 /nfs/dbraw/zinc/15/55/08/224155508.db2.gz WWKWFEWXTSFAEC-UHFFFAOYSA-N 1 2 317.349 1.009 20 30 DDEDLO CO[C@@H]1CC[C@H](NC(=O)N[C@@H]2CCO[C@@H](c3c[nH+]cn3C)C2)C1 ZINC000329978974 533432342 /nfs/dbraw/zinc/43/23/42/533432342.db2.gz IQPHLAMMPFXKTL-KYEXWDHISA-N 1 2 322.409 1.711 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCCC[C@H]1CS(C)(=O)=O ZINC000451648002 533494029 /nfs/dbraw/zinc/49/40/29/533494029.db2.gz CVXIZEHANNDDJG-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCCC[C@H]1CS(C)(=O)=O ZINC000451648002 533494038 /nfs/dbraw/zinc/49/40/38/533494038.db2.gz CVXIZEHANNDDJG-HNNXBMFYSA-N 1 2 322.430 1.966 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)c2cnc(C)nc2C)C1 ZINC000330601641 533504767 /nfs/dbraw/zinc/50/47/67/533504767.db2.gz IKGRJRILCMIXCA-ZDUSSCGKSA-N 1 2 320.393 1.283 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNC(=O)c2cnc(C)nc2C)C1 ZINC000330601641 533504771 /nfs/dbraw/zinc/50/47/71/533504771.db2.gz IKGRJRILCMIXCA-ZDUSSCGKSA-N 1 2 320.393 1.283 20 30 DDEDLO COCC(COC)N1CC[NH+]([C@H](C)c2ccc(C#N)cc2)CC1 ZINC000411861398 292203941 /nfs/dbraw/zinc/20/39/41/292203941.db2.gz NMBWOBQCIKTNFC-OAHLLOKOSA-N 1 2 317.433 1.898 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[NH+]1CCC(O)CC1 ZINC000026372479 406904865 /nfs/dbraw/zinc/90/48/65/406904865.db2.gz LPYPXXSKYHGSAD-SNVBAGLBSA-N 1 2 319.430 1.892 20 30 DDEDLO CC(=O)N1CCC[N@H+](CC(=O)Nc2ccc(C#N)cc2)CC1 ZINC000027564321 406911322 /nfs/dbraw/zinc/91/13/22/406911322.db2.gz GLBZIMQQMLHHHC-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO CC(=O)N1CCC[N@@H+](CC(=O)Nc2ccc(C#N)cc2)CC1 ZINC000027564321 406911323 /nfs/dbraw/zinc/91/13/23/406911323.db2.gz GLBZIMQQMLHHHC-UHFFFAOYSA-N 1 2 300.362 1.051 20 30 DDEDLO C[N@H+](CCn1cccn1)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000075721885 406940376 /nfs/dbraw/zinc/94/03/76/406940376.db2.gz JNAUJKJYTKHHMF-UHFFFAOYSA-N 1 2 308.345 1.937 20 30 DDEDLO C[N@@H+](CCn1cccn1)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000075721885 406940379 /nfs/dbraw/zinc/94/03/79/406940379.db2.gz JNAUJKJYTKHHMF-UHFFFAOYSA-N 1 2 308.345 1.937 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000055080237 407193762 /nfs/dbraw/zinc/19/37/62/407193762.db2.gz XRJBDLKDINNGRO-CYBMUJFWSA-N 1 2 307.419 1.663 20 30 DDEDLO N#CCCCCS(=O)(=O)N1CC[NH+](Cc2ccccc2)CC1 ZINC000104104347 407347174 /nfs/dbraw/zinc/34/71/74/407347174.db2.gz CHQLMKLQSYWCGS-UHFFFAOYSA-N 1 2 321.446 1.828 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2cccc(OCC)n2)CC1 ZINC000106873685 407364470 /nfs/dbraw/zinc/36/44/70/407364470.db2.gz APTLKYPLPKNZMR-CQSZACIVSA-N 1 2 318.421 1.293 20 30 DDEDLO N#Cc1ccc(C(=O)NCc2ccc(N3CCCC3)[nH+]c2)nc1 ZINC000107548959 407376435 /nfs/dbraw/zinc/37/64/35/407376435.db2.gz VMMYKKSFDVEZDJ-UHFFFAOYSA-N 1 2 307.357 1.878 20 30 DDEDLO CC(=O)Nc1cccc(CO[NH+]=C(N)C(C)(C)NC(C)=O)c1 ZINC000112336095 407426984 /nfs/dbraw/zinc/42/69/84/407426984.db2.gz BFRNLKFPLVADQX-UHFFFAOYSA-N 1 2 306.366 1.349 20 30 DDEDLO N#Cc1ncn(CC(=O)Nc2ccc3[nH]c(C4CCC4)[nH+]c3c2)n1 ZINC000178486574 407469780 /nfs/dbraw/zinc/46/97/80/407469780.db2.gz PUCMHAJQMCJYEG-UHFFFAOYSA-N 1 2 321.344 1.932 20 30 DDEDLO N#Cc1ccccc1S(=O)(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178859167 407629853 /nfs/dbraw/zinc/62/98/53/407629853.db2.gz UZZAOVOSABYFHD-UHFFFAOYSA-N 1 2 312.354 1.684 20 30 DDEDLO COC(=O)[C@@H](c1ccccc1)[N@H+](C)CCC(=O)N(C)CCC#N ZINC000271601779 407724542 /nfs/dbraw/zinc/72/45/42/407724542.db2.gz UPFWAZLFXZIKEA-MRXNPFEDSA-N 1 2 317.389 1.595 20 30 DDEDLO COC(=O)[C@@H](c1ccccc1)[N@@H+](C)CCC(=O)N(C)CCC#N ZINC000271601779 407724546 /nfs/dbraw/zinc/72/45/46/407724546.db2.gz UPFWAZLFXZIKEA-MRXNPFEDSA-N 1 2 317.389 1.595 20 30 DDEDLO C=CCCS(=O)(=O)NC[C@H](CC(C)C)[NH+]1CCOCC1 ZINC000130161561 407661542 /nfs/dbraw/zinc/66/15/42/407661542.db2.gz ZDORZPAIWBTNQF-AWEZNQCLSA-N 1 2 304.456 1.229 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)C[N@H+]1CCOc1cccc(C#N)c1 ZINC000116282559 407738527 /nfs/dbraw/zinc/73/85/27/407738527.db2.gz CBDOOMZSERKQAD-GJZGRUSLSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)C[N@@H+]1CCOc1cccc(C#N)c1 ZINC000116282559 407738530 /nfs/dbraw/zinc/73/85/30/407738530.db2.gz CBDOOMZSERKQAD-GJZGRUSLSA-N 1 2 304.346 1.199 20 30 DDEDLO CCOC(=O)N1CCN(C(=O)[C@H](C)[N@H+](CC)C[C@@H](C)C#N)CC1 ZINC000179277054 407765528 /nfs/dbraw/zinc/76/55/28/407765528.db2.gz LGBZETZOTJICBV-KBPBESRZSA-N 1 2 324.425 1.157 20 30 DDEDLO CCOC(=O)N1CCN(C(=O)[C@H](C)[N@@H+](CC)C[C@@H](C)C#N)CC1 ZINC000179277054 407765533 /nfs/dbraw/zinc/76/55/33/407765533.db2.gz LGBZETZOTJICBV-KBPBESRZSA-N 1 2 324.425 1.157 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccc(C#N)cc1)C1(C(N)=O)CCCC1 ZINC000180689722 407912535 /nfs/dbraw/zinc/91/25/35/407912535.db2.gz XVADZXNHKXHORV-UHFFFAOYSA-N 1 2 300.362 1.227 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccc(C#N)cc1)C1(C(N)=O)CCCC1 ZINC000180689722 407912540 /nfs/dbraw/zinc/91/25/40/407912540.db2.gz XVADZXNHKXHORV-UHFFFAOYSA-N 1 2 300.362 1.227 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCS[C@@H]2COCC[C@@H]21 ZINC000135568348 408034612 /nfs/dbraw/zinc/03/46/12/408034612.db2.gz ULRWZKAXIKHICV-NWDGAFQWSA-N 1 2 323.443 1.765 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCS[C@@H]2COCC[C@@H]21 ZINC000135568348 408034616 /nfs/dbraw/zinc/03/46/16/408034616.db2.gz ULRWZKAXIKHICV-NWDGAFQWSA-N 1 2 323.443 1.765 20 30 DDEDLO CNS(=O)(=O)c1cccc([C@H](C)[NH2+]C[C@H](C#N)CCC#N)c1 ZINC000181915739 408052058 /nfs/dbraw/zinc/05/20/58/408052058.db2.gz QDEIIHYBPUTDKM-STQMWFEESA-N 1 2 320.418 1.689 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCOc1cccc(C#N)c1 ZINC000181641416 408020248 /nfs/dbraw/zinc/02/02/48/408020248.db2.gz ZPUQLDUQMIMSOT-UHFFFAOYSA-N 1 2 304.350 1.141 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)[nH]1 ZINC000268515196 408080881 /nfs/dbraw/zinc/08/08/81/408080881.db2.gz VMIBJVACZSSOAD-BBRMVZONSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1nnc([C@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)[nH]1 ZINC000268515196 408080887 /nfs/dbraw/zinc/08/08/87/408080887.db2.gz VMIBJVACZSSOAD-BBRMVZONSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000268515196 408080892 /nfs/dbraw/zinc/08/08/92/408080892.db2.gz VMIBJVACZSSOAD-BBRMVZONSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1n[nH]c([C@H]2CCC[N@H+](CC(=O)N[C@@](C)(C#N)C(C)C)C2)n1 ZINC000268515196 408080898 /nfs/dbraw/zinc/08/08/98/408080898.db2.gz VMIBJVACZSSOAD-BBRMVZONSA-N 1 2 318.425 1.347 20 30 DDEDLO COCCO[C@H]1CC[N@@H+]([C@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000189694655 408083153 /nfs/dbraw/zinc/08/31/53/408083153.db2.gz HLUVXFPHAAYGIV-HOTGVXAUSA-N 1 2 318.373 1.510 20 30 DDEDLO COCCO[C@H]1CC[N@H+]([C@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000189694655 408083157 /nfs/dbraw/zinc/08/31/57/408083157.db2.gz HLUVXFPHAAYGIV-HOTGVXAUSA-N 1 2 318.373 1.510 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N2CCc3c[nH+]ccc32)ccc1F ZINC000175314490 408102779 /nfs/dbraw/zinc/10/27/79/408102779.db2.gz SPXIHERBGZIGGZ-UHFFFAOYSA-N 1 2 303.318 1.844 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC000268463847 408055264 /nfs/dbraw/zinc/05/52/64/408055264.db2.gz LINQMWFNJZRWLK-JSGCOSHPSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC000268463847 408055266 /nfs/dbraw/zinc/05/52/66/408055266.db2.gz LINQMWFNJZRWLK-JSGCOSHPSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000268463847 408055271 /nfs/dbraw/zinc/05/52/71/408055271.db2.gz LINQMWFNJZRWLK-JSGCOSHPSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000268463847 408055275 /nfs/dbraw/zinc/05/52/75/408055275.db2.gz LINQMWFNJZRWLK-JSGCOSHPSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000268463847 408055280 /nfs/dbraw/zinc/05/52/80/408055280.db2.gz LINQMWFNJZRWLK-JSGCOSHPSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000268463847 408055284 /nfs/dbraw/zinc/05/52/84/408055284.db2.gz LINQMWFNJZRWLK-JSGCOSHPSA-N 1 2 318.425 1.301 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCO[C@@H](C(=O)NC)C2)cc1OC ZINC000182459651 408169809 /nfs/dbraw/zinc/16/98/09/408169809.db2.gz VXAJWYNYRMJVID-MRXNPFEDSA-N 1 2 320.389 1.207 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCO[C@@H](C(=O)NC)C2)cc1OC ZINC000182459651 408169815 /nfs/dbraw/zinc/16/98/15/408169815.db2.gz VXAJWYNYRMJVID-MRXNPFEDSA-N 1 2 320.389 1.207 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)Nc1nc(CC(=O)OC)cs1 ZINC000175717151 408186955 /nfs/dbraw/zinc/18/69/55/408186955.db2.gz RWJNUNIVCZSITD-UHFFFAOYSA-N 1 2 309.391 1.471 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@H+]1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000122281644 408276228 /nfs/dbraw/zinc/27/62/28/408276228.db2.gz AKALOGYCGBFDTJ-QWHCGFSZSA-N 1 2 314.349 1.920 20 30 DDEDLO N#CCC[C@@H](C#N)C[N@@H+]1CC[C@@H](Nc2ccc([N+](=O)[O-])cn2)C1 ZINC000122281644 408276233 /nfs/dbraw/zinc/27/62/33/408276233.db2.gz AKALOGYCGBFDTJ-QWHCGFSZSA-N 1 2 314.349 1.920 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@]2(CCOC2)C1 ZINC000247466543 408476076 /nfs/dbraw/zinc/47/60/76/408476076.db2.gz UVKFZMOXRXNNEA-DOTOQJQBSA-N 1 2 300.358 1.885 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@]2(CCOC2)C1 ZINC000247466543 408476080 /nfs/dbraw/zinc/47/60/80/408476080.db2.gz UVKFZMOXRXNNEA-DOTOQJQBSA-N 1 2 300.358 1.885 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2cccc(F)c2F)CC1 ZINC000265167764 408565135 /nfs/dbraw/zinc/56/51/35/408565135.db2.gz AKJIFHCJRVRNTJ-LBPRGKRZSA-N 1 2 307.344 1.225 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCO[C@@]2(CCOC2)C1 ZINC000248923893 408662037 /nfs/dbraw/zinc/66/20/37/408662037.db2.gz FOCAUMIGYFUFSD-DYVFJYSZSA-N 1 2 315.373 1.377 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCO[C@@]2(CCOC2)C1 ZINC000248923893 408662041 /nfs/dbraw/zinc/66/20/41/408662041.db2.gz FOCAUMIGYFUFSD-DYVFJYSZSA-N 1 2 315.373 1.377 20 30 DDEDLO CC(C)c1cc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)[nH]n1 ZINC000162512345 408708256 /nfs/dbraw/zinc/70/82/56/408708256.db2.gz BOPZOLMGGZJAMK-UHFFFAOYSA-N 1 2 319.409 1.221 20 30 DDEDLO CC(=O)NC[C@H]1C[N@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000178326935 408804635 /nfs/dbraw/zinc/80/46/35/408804635.db2.gz ANGMJIRMIGRGRK-KRWDZBQOSA-N 1 2 317.389 1.164 20 30 DDEDLO CC(=O)NC[C@H]1C[N@@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000178326935 408804638 /nfs/dbraw/zinc/80/46/38/408804638.db2.gz ANGMJIRMIGRGRK-KRWDZBQOSA-N 1 2 317.389 1.164 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)CC1 ZINC000265682576 408744982 /nfs/dbraw/zinc/74/49/82/408744982.db2.gz UIZHAGNCJKIHEF-UHFFFAOYSA-N 1 2 308.385 1.858 20 30 DDEDLO C#CCC(C)(C)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000185039588 408745801 /nfs/dbraw/zinc/74/58/01/408745801.db2.gz ORNQLOFQXMXODX-UHFFFAOYSA-N 1 2 301.390 1.584 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](CC(=O)N2CCCCC2)CC1 ZINC000185079075 408751414 /nfs/dbraw/zinc/75/14/14/408751414.db2.gz ZGTGXKVWICQCFN-UHFFFAOYSA-N 1 2 319.449 1.337 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)O[NH+]=C(N)c1ccc(OC)cc1 ZINC000178141301 408779456 /nfs/dbraw/zinc/77/94/56/408779456.db2.gz DMXFWDQGSDEKIN-CYBMUJFWSA-N 1 2 317.389 1.921 20 30 DDEDLO CCCCCCNC(=O)CO[NH+]=C(N)Cc1csc(C)n1 ZINC000178145117 408779546 /nfs/dbraw/zinc/77/95/46/408779546.db2.gz YUTBLOBFSDLTTE-UHFFFAOYSA-N 1 2 312.439 1.979 20 30 DDEDLO C[C@H]([NH2+]CC(=O)N[C@](C)(C#N)C1CC1)c1c(F)cncc1F ZINC000285617308 408830049 /nfs/dbraw/zinc/83/00/49/408830049.db2.gz KDNRPOIXQGARGI-BJOHPYRUSA-N 1 2 308.332 1.819 20 30 DDEDLO C=CCC[N@@H+](C)Cc1nc2c(c(=O)[nH]c(=O)n2CCCC)n1C ZINC000276402697 408850749 /nfs/dbraw/zinc/85/07/49/408850749.db2.gz KRZXHMGWYAMUBX-UHFFFAOYSA-N 1 2 319.409 1.644 20 30 DDEDLO C=CCC[N@H+](C)Cc1nc2c(c(=O)[nH]c(=O)n2CCCC)n1C ZINC000276402697 408850752 /nfs/dbraw/zinc/85/07/52/408850752.db2.gz KRZXHMGWYAMUBX-UHFFFAOYSA-N 1 2 319.409 1.644 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000280963805 408866389 /nfs/dbraw/zinc/86/63/89/408866389.db2.gz ACVOFJUMBALTMP-ZIAGYGMSSA-N 1 2 305.426 1.773 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(Cc3cccc(F)c3)CC2)C1=O ZINC000281143838 408873001 /nfs/dbraw/zinc/87/30/01/408873001.db2.gz AYDMYFKJVMQDFA-QGZVFWFLSA-N 1 2 317.408 1.730 20 30 DDEDLO C=CCN1CC[C@@H](N2CC[NH+](Cc3cccc(F)c3)CC2)C1=O ZINC000281143838 408873004 /nfs/dbraw/zinc/87/30/04/408873004.db2.gz AYDMYFKJVMQDFA-QGZVFWFLSA-N 1 2 317.408 1.730 20 30 DDEDLO CC[C@@H]1C[N@H+](C[C@H](O)c2ccc(C#N)cc2)C[C@@H](C)[S@]1=O ZINC000285997416 408905080 /nfs/dbraw/zinc/90/50/80/408905080.db2.gz ORFXLQKCWHUSGJ-YLNDJPJSSA-N 1 2 306.431 1.823 20 30 DDEDLO CC[C@@H]1C[N@@H+](C[C@H](O)c2ccc(C#N)cc2)C[C@@H](C)[S@]1=O ZINC000285997416 408905081 /nfs/dbraw/zinc/90/50/81/408905081.db2.gz ORFXLQKCWHUSGJ-YLNDJPJSSA-N 1 2 306.431 1.823 20 30 DDEDLO C=CCOc1ccc(CNC(=O)NCc2c[nH+]cn2C)cc1 ZINC000292275391 409014602 /nfs/dbraw/zinc/01/46/02/409014602.db2.gz GNFYGTWACVUVBS-UHFFFAOYSA-N 1 2 300.362 1.984 20 30 DDEDLO N#CCCCC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000292585576 409037446 /nfs/dbraw/zinc/03/74/46/409037446.db2.gz LIOVLZYGZGYQKB-MRXNPFEDSA-N 1 2 301.390 1.870 20 30 DDEDLO C=C[C@@H](CO)NC(=O)N[C@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000292606328 409037834 /nfs/dbraw/zinc/03/78/34/409037834.db2.gz WLFYQPSECVIVFZ-KBPBESRZSA-N 1 2 318.352 1.495 20 30 DDEDLO C#CCN(CC#N)CC(=O)Nc1nc(C[NH+]2CCCC2)cs1 ZINC000292965924 409047799 /nfs/dbraw/zinc/04/77/99/409047799.db2.gz WHQIJUQSIRLYAS-UHFFFAOYSA-N 1 2 317.418 1.136 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CCOC)Cc1ccccn1 ZINC000287571727 409057738 /nfs/dbraw/zinc/05/77/38/409057738.db2.gz XJXYOCZMTCQPOJ-HNNXBMFYSA-N 1 2 318.421 1.538 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CCOC)Cc1ccccn1 ZINC000287571727 409057741 /nfs/dbraw/zinc/05/77/41/409057741.db2.gz XJXYOCZMTCQPOJ-HNNXBMFYSA-N 1 2 318.421 1.538 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N(C)CC(=O)Nc1ccccc1Cl ZINC000293151366 409058865 /nfs/dbraw/zinc/05/88/65/409058865.db2.gz OFNKAQCJBVNCPN-UHFFFAOYSA-N 1 2 321.808 1.692 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N(C)CC(=O)Nc1ccccc1Cl ZINC000293151366 409058868 /nfs/dbraw/zinc/05/88/68/409058868.db2.gz OFNKAQCJBVNCPN-UHFFFAOYSA-N 1 2 321.808 1.692 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)c(OC)c2)CC1 ZINC000282781744 409063296 /nfs/dbraw/zinc/06/32/96/409063296.db2.gz MUOBSRHVMGLEJO-CYBMUJFWSA-N 1 2 321.396 1.647 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc[nH]3)n2CC(C)C)CC1 ZINC000282959519 409071416 /nfs/dbraw/zinc/07/14/16/409071416.db2.gz HVFDCQQKJXTXIU-UHFFFAOYSA-N 1 2 312.421 1.684 20 30 DDEDLO N#CCCOCC[NH+]1CCN(C(=O)c2cccc(F)c2)CC1 ZINC000293425871 409110388 /nfs/dbraw/zinc/11/03/88/409110388.db2.gz IYYWWXIJFSJSFK-UHFFFAOYSA-N 1 2 305.353 1.514 20 30 DDEDLO C#CCNc1nc(N2CCC[C@@H]2C)nc(N2CCC[C@@H]2C)[nH+]1 ZINC000288314948 409161528 /nfs/dbraw/zinc/16/15/28/409161528.db2.gz ISBGAUWUEVNEHI-STQMWFEESA-N 1 2 300.410 1.894 20 30 DDEDLO C#CCNc1nc(N2CCC[C@@H]2C)[nH+]c(N2CCC[C@@H]2C)n1 ZINC000288314948 409161533 /nfs/dbraw/zinc/16/15/33/409161533.db2.gz ISBGAUWUEVNEHI-STQMWFEESA-N 1 2 300.410 1.894 20 30 DDEDLO COc1cncc(CO[NH+]=C(N)c2ccc(OC)c(OC)c2)c1 ZINC000288436021 409168826 /nfs/dbraw/zinc/16/88/26/409168826.db2.gz DRSFLTVUVLTLFM-UHFFFAOYSA-N 1 2 317.345 1.945 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2c[nH]c(C(=O)OC)c2)n1 ZINC000279455582 409218574 /nfs/dbraw/zinc/21/85/74/409218574.db2.gz TWSCVRXYNSKIPH-UHFFFAOYSA-N 1 2 300.362 1.653 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2c[nH]c(C(=O)OC)c2)n1 ZINC000279455582 409218577 /nfs/dbraw/zinc/21/85/77/409218577.db2.gz TWSCVRXYNSKIPH-UHFFFAOYSA-N 1 2 300.362 1.653 20 30 DDEDLO CC(=O)c1cccc(NC(=O)[C@@H](C)O[NH+]=C(N)[C@@H]2CCCO2)c1 ZINC000283859176 409229589 /nfs/dbraw/zinc/22/95/89/409229589.db2.gz CDSJIOZXEIUITF-RISCZKNCSA-N 1 2 319.361 1.684 20 30 DDEDLO C#CCOc1ccc(C(=O)N(C)[C@H](C)C[NH+]2CCOCC2)cc1 ZINC000279370818 409200563 /nfs/dbraw/zinc/20/05/63/409200563.db2.gz SORHHSVJCJYIII-OAHLLOKOSA-N 1 2 316.401 1.491 20 30 DDEDLO COc1ccc(C[N@@H+]2CCO[C@]3(CCO[C@@H]3C)C2)cc1C#N ZINC000280306831 409288656 /nfs/dbraw/zinc/28/86/56/409288656.db2.gz FVVGJCNYZQFNFQ-CXAGYDPISA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C[N@H+]2CCO[C@]3(CCO[C@@H]3C)C2)cc1C#N ZINC000280306831 409288659 /nfs/dbraw/zinc/28/86/59/409288659.db2.gz FVVGJCNYZQFNFQ-CXAGYDPISA-N 1 2 302.374 1.947 20 30 DDEDLO N#Cc1ccc(NC(=O)NCC2([NH+]3CCOCC3)CC2)cc1F ZINC000290187507 409316827 /nfs/dbraw/zinc/31/68/27/409316827.db2.gz NZZYKKGGFHGMDR-UHFFFAOYSA-N 1 2 318.352 1.684 20 30 DDEDLO C#CCN1CCN(C(=O)OC(C)(C)C)C[C@@H]1c1[nH+]ccn1C ZINC000284732570 409361266 /nfs/dbraw/zinc/36/12/66/409361266.db2.gz KKYNWENQNQOTRQ-CYBMUJFWSA-N 1 2 304.394 1.647 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC[NH+](CCc2ccccc2)CC1 ZINC000280507638 409336026 /nfs/dbraw/zinc/33/60/26/409336026.db2.gz DMDYGPRZEYRXJN-UHFFFAOYSA-N 1 2 308.447 1.753 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@H]1CCOC1 ZINC000314937569 164017082 /nfs/dbraw/zinc/01/70/82/164017082.db2.gz RSQGFSKIOGZXSI-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@H]1CCOC1 ZINC000314937569 164017084 /nfs/dbraw/zinc/01/70/84/164017084.db2.gz RSQGFSKIOGZXSI-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO CC1(C)C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)CCCO1 ZINC000319212050 164035749 /nfs/dbraw/zinc/03/57/49/164035749.db2.gz GUYRBOXNCRPMAT-UHFFFAOYSA-N 1 2 322.430 1.833 20 30 DDEDLO CC1(C)C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)CCCO1 ZINC000319212050 164035751 /nfs/dbraw/zinc/03/57/51/164035751.db2.gz GUYRBOXNCRPMAT-UHFFFAOYSA-N 1 2 322.430 1.833 20 30 DDEDLO C[C@@H]1[C@H](O)CCC[N@@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000296215133 409465636 /nfs/dbraw/zinc/46/56/36/409465636.db2.gz MPJMAVHHRVWHCV-IUODEOHRSA-N 1 2 308.403 1.177 20 30 DDEDLO C[C@@H]1[C@H](O)CCC[N@H+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000296215133 409465641 /nfs/dbraw/zinc/46/56/41/409465641.db2.gz MPJMAVHHRVWHCV-IUODEOHRSA-N 1 2 308.403 1.177 20 30 DDEDLO CN(C)S(=O)(=O)[C@@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC000285505716 409470601 /nfs/dbraw/zinc/47/06/01/409470601.db2.gz FPPOKPPTOWNUBD-OAHLLOKOSA-N 1 2 307.419 1.414 20 30 DDEDLO CN(C)S(=O)(=O)[C@@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC000285505716 409470608 /nfs/dbraw/zinc/47/06/08/409470608.db2.gz FPPOKPPTOWNUBD-OAHLLOKOSA-N 1 2 307.419 1.414 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)cc2)C[C@H]1C ZINC000408043961 164207568 /nfs/dbraw/zinc/20/75/68/164207568.db2.gz ZTXLSLCRCUJQIG-CHWSQXEVSA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2ccc(C#N)cc2)C[C@H]1C ZINC000408043961 164207570 /nfs/dbraw/zinc/20/75/70/164207570.db2.gz ZTXLSLCRCUJQIG-CHWSQXEVSA-N 1 2 307.419 1.661 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[NH2+][C@@H](C)c1c(F)cncc1F ZINC000285582788 409497492 /nfs/dbraw/zinc/49/74/92/409497492.db2.gz IHRXCCRRLUCUMP-IUCAKERBSA-N 1 2 312.320 1.411 20 30 DDEDLO Cn1ncc(C(=O)NCc2ccc(Cn3cc[nH+]c3)cc2)c1C#N ZINC000354297832 409752591 /nfs/dbraw/zinc/75/25/91/409752591.db2.gz AXNNOKKAKDLQHU-UHFFFAOYSA-N 1 2 320.356 1.467 20 30 DDEDLO CC[C@H]1CN(C(=O)c2ccc(C#N)s2)C[C@@H]1[NH+]1CCOCC1 ZINC000332107689 409890077 /nfs/dbraw/zinc/89/00/77/409890077.db2.gz IGDKNEBCPFWEAD-JSGCOSHPSA-N 1 2 319.430 1.803 20 30 DDEDLO Cc1ncc(C(=O)NC[C@@]2([NH+]3CCOCC3)CCSC2)[nH]1 ZINC000328772605 409976031 /nfs/dbraw/zinc/97/60/31/409976031.db2.gz JSLNTCUKXZTAGK-AWEZNQCLSA-N 1 2 310.423 1.231 20 30 DDEDLO CN(Cc1cc[nH]n1)C(=O)N[C@@H]1CCN(c2cccc[nH+]2)C1 ZINC000328827976 409988993 /nfs/dbraw/zinc/98/89/93/409988993.db2.gz TZUOPGHEZOSFNG-GFCCVEGCSA-N 1 2 300.366 1.429 20 30 DDEDLO C[C@H]([NH2+]Cc1nnn(CC(F)(F)F)n1)c1ccc(C#N)cc1 ZINC000338355385 409935978 /nfs/dbraw/zinc/93/59/78/409935978.db2.gz JESMFIOSVPSCNF-VIFPVBQESA-N 1 2 310.283 1.958 20 30 DDEDLO CCc1nc(CNC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)cs1 ZINC000328883650 410002149 /nfs/dbraw/zinc/00/21/49/410002149.db2.gz NLELRMPWUXVESB-STQMWFEESA-N 1 2 324.450 1.524 20 30 DDEDLO CCc1nc(CNC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)cs1 ZINC000328883650 410002155 /nfs/dbraw/zinc/00/21/55/410002155.db2.gz NLELRMPWUXVESB-STQMWFEESA-N 1 2 324.450 1.524 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@@H+](CC(=O)NC3CCCCCC3)CC[C@@H]21 ZINC000329006210 410049062 /nfs/dbraw/zinc/04/90/62/410049062.db2.gz YYGBCQQIRQUFAC-KGLIPLIRSA-N 1 2 308.426 1.968 20 30 DDEDLO CN1C(=O)N[C@@H]2C[N@H+](CC(=O)NC3CCCCCC3)CC[C@@H]21 ZINC000329006210 410049064 /nfs/dbraw/zinc/04/90/64/410049064.db2.gz YYGBCQQIRQUFAC-KGLIPLIRSA-N 1 2 308.426 1.968 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)CN1CCOCC1)C1CCOCC1 ZINC000329088856 410094918 /nfs/dbraw/zinc/09/49/18/410094918.db2.gz VTVCUXRSQJXOBM-HNNXBMFYSA-N 1 2 322.409 1.177 20 30 DDEDLO C[C@H](C(=O)NCc1ccccc1)[N@@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000329202386 410165791 /nfs/dbraw/zinc/16/57/91/410165791.db2.gz WZEDWPOKYZJNDS-VHDGCEQUSA-N 1 2 322.430 1.651 20 30 DDEDLO C[C@H](C(=O)NCc1ccccc1)[N@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000329202386 410165797 /nfs/dbraw/zinc/16/57/97/410165797.db2.gz WZEDWPOKYZJNDS-VHDGCEQUSA-N 1 2 322.430 1.651 20 30 DDEDLO O=C(NC[C@@H]1CCCSC1)NCC1([NH+]2CCOCC2)CC1 ZINC000329249553 410191155 /nfs/dbraw/zinc/19/11/55/410191155.db2.gz ATNQNTBGIKVKAP-ZDUSSCGKSA-N 1 2 313.467 1.498 20 30 DDEDLO CC(C)C(=O)N1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC000298391466 410209397 /nfs/dbraw/zinc/20/93/97/410209397.db2.gz GOOBQRZZLTVOPA-UHFFFAOYSA-N 1 2 301.390 1.889 20 30 DDEDLO O=C(CN1CCn2cc[nH+]c2C1)NC[C@H]1Cc2ccccc2O1 ZINC000329360493 410256574 /nfs/dbraw/zinc/25/65/74/410256574.db2.gz XZQAUCUDLWGKHI-CQSZACIVSA-N 1 2 312.373 1.659 20 30 DDEDLO Cc1nc([C@@H]2CCCN2C(=O)N[C@@H](C)C[NH+]2CCOCC2)no1 ZINC000329390914 410273346 /nfs/dbraw/zinc/27/33/46/410273346.db2.gz RLHUVEPHONHHCN-AAEUAGOBSA-N 1 2 323.397 1.150 20 30 DDEDLO Cc1noc([C@@H]2CCCCN2C(=O)NC[C@@H]2C[N@H+](C)CCO2)n1 ZINC000329409855 410281208 /nfs/dbraw/zinc/28/12/08/410281208.db2.gz CVVDOEAXUQJUMG-OLZOCXBDSA-N 1 2 323.397 1.150 20 30 DDEDLO Cc1noc([C@@H]2CCCCN2C(=O)NC[C@@H]2C[N@@H+](C)CCO2)n1 ZINC000329409855 410281213 /nfs/dbraw/zinc/28/12/13/410281213.db2.gz CVVDOEAXUQJUMG-OLZOCXBDSA-N 1 2 323.397 1.150 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H]([NH+]=C([O-])N1CCC(O)CC1)C2 ZINC000329310888 410226903 /nfs/dbraw/zinc/22/69/03/410226903.db2.gz ZOEOLANUDPHDRA-LBPRGKRZSA-N 1 2 320.437 1.866 20 30 DDEDLO Cn1ncc([NH+]=C([O-])N2CCn3c[nH+]cc3C2)c1C(F)(F)F ZINC000329544140 410353868 /nfs/dbraw/zinc/35/38/68/410353868.db2.gz YQHWEUPMIYWRIM-UHFFFAOYSA-N 1 2 314.271 1.697 20 30 DDEDLO N#CC[N@@H+](CCC(=O)Nc1sccc1C(N)=O)C1CCCC1 ZINC000299209433 410571558 /nfs/dbraw/zinc/57/15/58/410571558.db2.gz HGVOANMIBFIQOA-UHFFFAOYSA-N 1 2 320.418 1.944 20 30 DDEDLO N#CC[N@H+](CCC(=O)Nc1sccc1C(N)=O)C1CCCC1 ZINC000299209433 410571562 /nfs/dbraw/zinc/57/15/62/410571562.db2.gz HGVOANMIBFIQOA-UHFFFAOYSA-N 1 2 320.418 1.944 20 30 DDEDLO C=CCc1ccc(OC[C@H](O)C[N@@H+](C)CC(=O)OC)c(OC)c1 ZINC000299252427 410581611 /nfs/dbraw/zinc/58/16/11/410581611.db2.gz DTVWCKCFUOTOTN-CQSZACIVSA-N 1 2 323.389 1.268 20 30 DDEDLO C=CCc1ccc(OC[C@H](O)C[N@H+](C)CC(=O)OC)c(OC)c1 ZINC000299252427 410581617 /nfs/dbraw/zinc/58/16/17/410581617.db2.gz DTVWCKCFUOTOTN-CQSZACIVSA-N 1 2 323.389 1.268 20 30 DDEDLO C#CC[NH+](CC#C)[C@H](C)C(=O)NCCc1c[nH]c2ccccc12 ZINC000299411211 410592601 /nfs/dbraw/zinc/59/26/01/410592601.db2.gz VZJXCCIIJJGTJW-OAHLLOKOSA-N 1 2 307.397 1.784 20 30 DDEDLO C[C@H]1CN(c2ccc(CNc3nccnc3C#N)c[nH+]2)C[C@H](C)O1 ZINC000301145211 410664521 /nfs/dbraw/zinc/66/45/21/410664521.db2.gz AKIVPGWZOJMLAH-STQMWFEESA-N 1 2 324.388 1.969 20 30 DDEDLO N#CC1(F)CC[NH+](CC(=O)N[C@@H]2CCOc3ccccc32)CC1 ZINC000352459057 410658859 /nfs/dbraw/zinc/65/88/59/410658859.db2.gz SLCUGDJXLCJROY-CQSZACIVSA-N 1 2 317.364 1.954 20 30 DDEDLO CNC(=O)C[C@H]([NH2+]Cc1nc(C#N)cs1)c1ccccc1 ZINC000352933349 410690212 /nfs/dbraw/zinc/69/02/12/410690212.db2.gz GEMMEOLPBWRORX-ZDUSSCGKSA-N 1 2 300.387 1.982 20 30 DDEDLO CCCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)cc2F)CC1 ZINC000330437482 410689535 /nfs/dbraw/zinc/68/95/35/410689535.db2.gz ZDXDPJQFBBKQOP-ZDUSSCGKSA-N 1 2 318.396 1.734 20 30 DDEDLO N#Cc1ccc(C(=O)CNC(=O)Cc2cn3ccccc3[nH+]2)cc1 ZINC000356179208 410880555 /nfs/dbraw/zinc/88/05/55/410880555.db2.gz GWAPNNWBQSHYRJ-UHFFFAOYSA-N 1 2 318.336 1.748 20 30 DDEDLO C[C@H]1C[C@H](CO)CC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000344124580 410972339 /nfs/dbraw/zinc/97/23/39/410972339.db2.gz GMFIFTIWJFNRSW-DZGCQCFKSA-N 1 2 322.430 1.425 20 30 DDEDLO C[C@H]1C[C@H](CO)CC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000344124580 410972344 /nfs/dbraw/zinc/97/23/44/410972344.db2.gz GMFIFTIWJFNRSW-DZGCQCFKSA-N 1 2 322.430 1.425 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](CCN3C(=O)CCC3=O)CCO2)c1 ZINC000341820746 411064487 /nfs/dbraw/zinc/06/44/87/411064487.db2.gz UZHTWFOVHHYFHA-OAHLLOKOSA-N 1 2 313.357 1.081 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](CCN3C(=O)CCC3=O)CCO2)c1 ZINC000341820746 411064494 /nfs/dbraw/zinc/06/44/94/411064494.db2.gz UZHTWFOVHHYFHA-OAHLLOKOSA-N 1 2 313.357 1.081 20 30 DDEDLO C=CCCC(C)(C)CNC(=O)C(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000636575863 422915084 /nfs/dbraw/zinc/91/50/84/422915084.db2.gz NXQKMNYWQIBAKN-CYBMUJFWSA-N 1 2 306.410 1.496 20 30 DDEDLO C[C@H](C#N)C[N@H+](C)C[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419182608 226064844 /nfs/dbraw/zinc/06/48/44/226064844.db2.gz CNOAEGWNALVXJC-OCCSQVGLSA-N 1 2 317.389 1.402 20 30 DDEDLO C[C@H](C#N)C[N@@H+](C)C[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000419182608 226064848 /nfs/dbraw/zinc/06/48/48/226064848.db2.gz CNOAEGWNALVXJC-OCCSQVGLSA-N 1 2 317.389 1.402 20 30 DDEDLO N#Cc1c(N2CCC([C@@H](O)c3[nH]cc[nH+]3)CC2)nc2ccccn12 ZINC000366507608 418482520 /nfs/dbraw/zinc/48/25/20/418482520.db2.gz VGEKRNAKYQGCEK-OAHLLOKOSA-N 1 2 322.372 1.879 20 30 DDEDLO C=CCN(C(=O)C1CC[NH+](Cc2nccn2C)CC1)C1CC1 ZINC000366684016 418504289 /nfs/dbraw/zinc/50/42/89/418504289.db2.gz NPBMAMIOXUPZQM-UHFFFAOYSA-N 1 2 302.422 1.809 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1Cc2ccccc2[C@H](C(=O)OCC)C1 ZINC000374388058 418525927 /nfs/dbraw/zinc/52/59/27/418525927.db2.gz CPICXAVSNGOHLJ-CZUORRHYSA-N 1 2 316.401 1.840 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1Cc2ccccc2[C@H](C(=O)OCC)C1 ZINC000374388058 418525931 /nfs/dbraw/zinc/52/59/31/418525931.db2.gz CPICXAVSNGOHLJ-CZUORRHYSA-N 1 2 316.401 1.840 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1Cc2ccccc2[C@@H](C(=O)OCC)C1 ZINC000374395887 418526534 /nfs/dbraw/zinc/52/65/34/418526534.db2.gz WGKNVLPHUZLNGE-BBRMVZONSA-N 1 2 314.385 1.287 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1Cc2ccccc2[C@@H](C(=O)OCC)C1 ZINC000374395887 418526538 /nfs/dbraw/zinc/52/65/38/418526538.db2.gz WGKNVLPHUZLNGE-BBRMVZONSA-N 1 2 314.385 1.287 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000192101027 222119075 /nfs/dbraw/zinc/11/90/75/222119075.db2.gz SAXZNMPFPXMAJX-KRWDZBQOSA-N 1 2 300.402 1.980 20 30 DDEDLO C=CCOC[C@@H](NCc1c[nH+]c2ccc(C)cn12)C(=O)OC ZINC000361106232 418584747 /nfs/dbraw/zinc/58/47/47/418584747.db2.gz FCIMZJMAMCAPAM-CQSZACIVSA-N 1 2 303.362 1.477 20 30 DDEDLO O=C(Nc1ccn(CC[NH+]2CCOCC2)n1)N[C@@H]1C=CCCC1 ZINC000329821922 418610090 /nfs/dbraw/zinc/61/00/90/418610090.db2.gz YWPSGFGMEDAQDQ-CQSZACIVSA-N 1 2 319.409 1.650 20 30 DDEDLO CCCCOCCNC(=O)CO[NH+]=C(N)c1cccc(OC)c1 ZINC000266212924 222360551 /nfs/dbraw/zinc/36/05/51/222360551.db2.gz OWDHODCUNQPPOE-UHFFFAOYSA-N 1 2 323.393 1.265 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[C@H]2CCOC(=O)[C@@H]21 ZINC000368579806 418722013 /nfs/dbraw/zinc/72/20/13/418722013.db2.gz HHLWGZHXZMSZNN-XJKSGUPXSA-N 1 2 316.357 1.583 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[C@H]2CCOC(=O)[C@@H]21 ZINC000368579806 418722016 /nfs/dbraw/zinc/72/20/16/418722016.db2.gz HHLWGZHXZMSZNN-XJKSGUPXSA-N 1 2 316.357 1.583 20 30 DDEDLO CO/N=C/C(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(OC)cc1 ZINC000377704728 418714010 /nfs/dbraw/zinc/71/40/10/418714010.db2.gz YKWWCUSWXJKYOH-NGWPFTMJSA-N 1 2 302.334 1.267 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCOC[C@@H]2CC(=O)OC)cc1 ZINC000377868342 418715880 /nfs/dbraw/zinc/71/58/80/418715880.db2.gz HJZSYXXMALDMAY-INIZCTEOSA-N 1 2 317.385 1.853 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCOC[C@@H]2CC(=O)OC)cc1 ZINC000377868342 418715883 /nfs/dbraw/zinc/71/58/83/418715883.db2.gz HJZSYXXMALDMAY-INIZCTEOSA-N 1 2 317.385 1.853 20 30 DDEDLO CC(C)(C#N)CCS(=O)(=O)NC[C@@H]1CCn2cc[nH+]c2C1 ZINC000377868995 418716188 /nfs/dbraw/zinc/71/61/88/418716188.db2.gz NARZUKIBIKOUEC-GFCCVEGCSA-N 1 2 310.423 1.305 20 30 DDEDLO CN(Cc1cccc(C#N)c1)C(=O)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000378074637 418718762 /nfs/dbraw/zinc/71/87/62/418718762.db2.gz UVKUTUNEOJWZEV-AWEZNQCLSA-N 1 2 309.373 1.980 20 30 DDEDLO CN(Cc1cccc(C#N)c1)C(=O)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000378074637 418718765 /nfs/dbraw/zinc/71/87/65/418718765.db2.gz UVKUTUNEOJWZEV-AWEZNQCLSA-N 1 2 309.373 1.980 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCOc1ccc([N+](=O)[O-])cc1 ZINC000272884956 222464705 /nfs/dbraw/zinc/46/47/05/222464705.db2.gz ICPLPQMDEPKNFZ-UHFFFAOYSA-N 1 2 324.337 1.177 20 30 DDEDLO Cc1nsc(NC[C@]2([NH+]3CCOCC3)CCSC2)c1C#N ZINC000376073812 418692319 /nfs/dbraw/zinc/69/23/19/418692319.db2.gz IGPSJLUKHXRUDM-CQSZACIVSA-N 1 2 324.475 1.943 20 30 DDEDLO N#C[C@H]1C[N@@H+](Cc2cncnc2)C[C@@]12c1ccccc1NC2=O ZINC000376641314 418699602 /nfs/dbraw/zinc/69/96/02/418699602.db2.gz IXESCRCGVCRPIS-GUYCJALGSA-N 1 2 305.341 1.322 20 30 DDEDLO N#C[C@H]1C[N@H+](Cc2cncnc2)C[C@@]12c1ccccc1NC2=O ZINC000376641314 418699604 /nfs/dbraw/zinc/69/96/04/418699604.db2.gz IXESCRCGVCRPIS-GUYCJALGSA-N 1 2 305.341 1.322 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)NC[C@H]1C[N@@H+](C2CC2)CCO1 ZINC000368185736 418701341 /nfs/dbraw/zinc/70/13/41/418701341.db2.gz OSQOAWSXVBFKSQ-AWEZNQCLSA-N 1 2 308.426 1.479 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)NC[C@H]1C[N@H+](C2CC2)CCO1 ZINC000368185736 418701343 /nfs/dbraw/zinc/70/13/43/418701343.db2.gz OSQOAWSXVBFKSQ-AWEZNQCLSA-N 1 2 308.426 1.479 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCC(C)(C)CCC#N ZINC000377040142 418704469 /nfs/dbraw/zinc/70/44/69/418704469.db2.gz BBHLJWJOPDFJHA-HUUCEWRRSA-N 1 2 322.453 1.821 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CC[C@H](OC)C[C@H]2C(=O)OC)cc1 ZINC000370368944 418743722 /nfs/dbraw/zinc/74/37/22/418743722.db2.gz YMFRJUUNOJCYKC-IRXDYDNUSA-N 1 2 317.385 1.851 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CC[C@H](OC)C[C@H]2C(=O)OC)cc1 ZINC000370368944 418743724 /nfs/dbraw/zinc/74/37/24/418743724.db2.gz YMFRJUUNOJCYKC-IRXDYDNUSA-N 1 2 317.385 1.851 20 30 DDEDLO C=CC1CCN(C(=O)C2(N3CC[NH+](C)CC3)CCCC2)CC1 ZINC000363048732 418761972 /nfs/dbraw/zinc/76/19/72/418761972.db2.gz CAFJCSXSJPWFCH-UHFFFAOYSA-N 1 2 305.466 1.971 20 30 DDEDLO N#Cc1ccc(NC(=O)[C@@H]2CCCN(c3cccc[nH+]3)C2)nc1 ZINC000363123727 418762365 /nfs/dbraw/zinc/76/23/65/418762365.db2.gz IASRHRCGHNAPOJ-CQSZACIVSA-N 1 2 307.357 2.203 20 30 DDEDLO C[C@H]1C[C@H](CO)C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000398662636 418763089 /nfs/dbraw/zinc/76/30/89/418763089.db2.gz PBHNPTWDEUAQIP-ZFWWWQNUSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H]1C[C@H](CO)C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000398662636 418763092 /nfs/dbraw/zinc/76/30/92/418763092.db2.gz PBHNPTWDEUAQIP-ZFWWWQNUSA-N 1 2 322.430 1.282 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCN2C(=O)OC[C@H]2C1 ZINC000363840782 418770091 /nfs/dbraw/zinc/77/00/91/418770091.db2.gz GTPFEHYSEYJFRN-CYBMUJFWSA-N 1 2 317.345 1.082 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCN2C(=O)OC[C@H]2C1 ZINC000363840782 418770094 /nfs/dbraw/zinc/77/00/94/418770094.db2.gz GTPFEHYSEYJFRN-CYBMUJFWSA-N 1 2 317.345 1.082 20 30 DDEDLO N#C[C@H]1C[N@@H+](CC2=CCCOC2)C[C@@]12C(=O)Nc1ccccc12 ZINC000371465760 418793984 /nfs/dbraw/zinc/79/39/84/418793984.db2.gz HRNYFNLQLHLITH-KBXCAEBGSA-N 1 2 309.369 1.679 20 30 DDEDLO N#C[C@H]1C[N@H+](CC2=CCCOC2)C[C@@]12C(=O)Nc1ccccc12 ZINC000371465760 418793987 /nfs/dbraw/zinc/79/39/87/418793987.db2.gz HRNYFNLQLHLITH-KBXCAEBGSA-N 1 2 309.369 1.679 20 30 DDEDLO Cc1nc2c(cnn2C)c(N2CC[NH+](CC3(C#N)CC3)CC2)n1 ZINC000408110873 418788826 /nfs/dbraw/zinc/78/88/26/418788826.db2.gz WIIKPMKRZGEBLH-UHFFFAOYSA-N 1 2 311.393 1.098 20 30 DDEDLO C[C@H](c1nnnn1C)[N@@H+]1CCCN(c2ccc(C#N)cc2)CC1 ZINC000372733809 418897057 /nfs/dbraw/zinc/89/70/57/418897057.db2.gz AVFAYBFSIXTUGQ-CYBMUJFWSA-N 1 2 311.393 1.355 20 30 DDEDLO C[C@H](c1nnnn1C)[N@H+]1CCCN(c2ccc(C#N)cc2)CC1 ZINC000372733809 418897059 /nfs/dbraw/zinc/89/70/59/418897059.db2.gz AVFAYBFSIXTUGQ-CYBMUJFWSA-N 1 2 311.393 1.355 20 30 DDEDLO CC(C)(C)[C@@H](C#N)NC(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000427483966 419668967 /nfs/dbraw/zinc/66/89/67/419668967.db2.gz LYKVTTVKZUOUSO-OAHLLOKOSA-N 1 2 316.405 1.582 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N1CC[NH+]([C@H](C)c2ccsc2)CC1 ZINC000416466533 420382889 /nfs/dbraw/zinc/38/28/89/420382889.db2.gz QNSXFUCSIGCQDP-VXGBXAGGSA-N 1 2 313.448 1.669 20 30 DDEDLO C=CCC[C@@H](NC(=O)Cc1cn2ccccc2[nH+]1)C(=O)OCC ZINC000456630580 420518751 /nfs/dbraw/zinc/51/87/51/420518751.db2.gz QOJRPORQMDXTSI-CQSZACIVSA-N 1 2 315.373 1.891 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000441040192 420627499 /nfs/dbraw/zinc/62/74/99/420627499.db2.gz XXCVNODQWZOGPM-CYBMUJFWSA-N 1 2 300.358 1.560 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000441040192 420627502 /nfs/dbraw/zinc/62/75/02/420627502.db2.gz XXCVNODQWZOGPM-CYBMUJFWSA-N 1 2 300.358 1.560 20 30 DDEDLO C=CCCCNC(=O)N[C@@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000447995161 420830875 /nfs/dbraw/zinc/83/08/75/420830875.db2.gz ZEIBGMNXUYXPQE-CYBMUJFWSA-N 1 2 319.409 1.177 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000493636298 420903266 /nfs/dbraw/zinc/90/32/66/420903266.db2.gz YARDSFXGBKGNOK-RZIFZGNASA-N 1 2 302.334 1.568 20 30 DDEDLO C[C@H]1C[C@]1(C#N)C(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000448611113 420871950 /nfs/dbraw/zinc/87/19/50/420871950.db2.gz BKTSVDLJAATMLX-KBXCAEBGSA-N 1 2 323.400 1.528 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000448646240 420878535 /nfs/dbraw/zinc/87/85/35/420878535.db2.gz GWMVQJZEOYDHJO-UHFFFAOYSA-N 1 2 309.373 1.548 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)/C(C)=C\C(C)(C)C)CC1 ZINC000491020393 421194163 /nfs/dbraw/zinc/19/41/63/421194163.db2.gz GBDDHPMRPIEDPD-SQFISAMPSA-N 1 2 319.449 1.263 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)/C(C)=C\C(C)(C)C)CC1 ZINC000491020393 421194164 /nfs/dbraw/zinc/19/41/64/421194164.db2.gz GBDDHPMRPIEDPD-SQFISAMPSA-N 1 2 319.449 1.263 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000491473734 421198069 /nfs/dbraw/zinc/19/80/69/421198069.db2.gz HGEWSNWUEDCPTK-DOTOQJQBSA-N 1 2 315.417 1.551 20 30 DDEDLO C#C[C@H](C)N(C)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000491473734 421198071 /nfs/dbraw/zinc/19/80/71/421198071.db2.gz HGEWSNWUEDCPTK-DOTOQJQBSA-N 1 2 315.417 1.551 20 30 DDEDLO N#CCCC1CCN(C(=O)N[C@H]2CCc3[nH+]c[nH]c3C2)CC1 ZINC000564895038 421594581 /nfs/dbraw/zinc/59/45/81/421594581.db2.gz CEOOPUBESSYADU-ZDUSSCGKSA-N 1 2 301.394 1.992 20 30 DDEDLO N#CCCC1CCN(C(=O)N[C@H]2CCc3[nH]c[nH+]c3C2)CC1 ZINC000564895038 421594585 /nfs/dbraw/zinc/59/45/85/421594585.db2.gz CEOOPUBESSYADU-ZDUSSCGKSA-N 1 2 301.394 1.992 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000565050463 421595405 /nfs/dbraw/zinc/59/54/05/421595405.db2.gz COWDFWCRBDZTHA-CQSZACIVSA-N 1 2 316.321 1.045 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@H]1CCN(c2ccccc2)C1 ZINC000567995886 421616385 /nfs/dbraw/zinc/61/63/85/421616385.db2.gz YCVHDKYWHWRPSN-YOEHRIQHSA-N 1 2 300.406 1.473 20 30 DDEDLO C=CCCCC[NH+]1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000569196177 421624727 /nfs/dbraw/zinc/62/47/27/421624727.db2.gz HINCKHCNSDLXGM-UHFFFAOYSA-N 1 2 309.435 1.744 20 30 DDEDLO CCc1oc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1C(=O)OC ZINC000570805822 421670646 /nfs/dbraw/zinc/67/06/46/421670646.db2.gz OAKJLDKKGVMSAB-OAHLLOKOSA-N 1 2 307.350 1.202 20 30 DDEDLO COCC[NH+](CCOC)Cc1cccc(C(=O)OC)c1C#N ZINC000533774793 421682684 /nfs/dbraw/zinc/68/26/84/421682684.db2.gz JPSLJARWXWBIFS-UHFFFAOYSA-N 1 2 306.362 1.440 20 30 DDEDLO C=CC(C)(C)CCNC(=O)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000636883819 421881659 /nfs/dbraw/zinc/88/16/59/421881659.db2.gz ROZAVSKDCUJLDE-LBPRGKRZSA-N 1 2 304.394 1.033 20 30 DDEDLO Cc1nnc([C@@H](C)[NH2+]CCC(=O)Nc2sccc2C#N)o1 ZINC000543543052 421838343 /nfs/dbraw/zinc/83/83/43/421838343.db2.gz CXHSRZGHLRCMET-MRVPVSSYSA-N 1 2 305.363 1.991 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]C[C@H](O)COc2ccc(C#N)cc2)o1 ZINC000544510760 421845450 /nfs/dbraw/zinc/84/54/50/421845450.db2.gz YOTBBRBKLNRFGG-GWCFXTLKSA-N 1 2 302.334 1.340 20 30 DDEDLO CC#CCCNC(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000637057596 421980759 /nfs/dbraw/zinc/98/07/59/421980759.db2.gz CFKPPFXDQJVLNN-UHFFFAOYSA-N 1 2 303.410 2.033 20 30 DDEDLO C[C@H](C(=O)N[C@@](C)(C#N)C[NH+](C)C)c1ccc2c(c1)OCO2 ZINC000574457469 422111551 /nfs/dbraw/zinc/11/15/51/422111551.db2.gz PSMJZQPQSHNANP-ZBEGNZNMSA-N 1 2 303.362 1.479 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)C2(c3ccccc3)CC2)nn1 ZINC000630611401 422220518 /nfs/dbraw/zinc/22/05/18/422220518.db2.gz FZKWDMQONIVEEV-UHFFFAOYSA-N 1 2 308.385 1.692 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCCN(Cc3[nH+]ccn3C)C2=O)C1 ZINC000632395763 422304854 /nfs/dbraw/zinc/30/48/54/422304854.db2.gz UGVBRGSJZLAFNR-ZDUSSCGKSA-N 1 2 316.405 1.384 20 30 DDEDLO Cc1nsc(N2CC[NH+]([C@@H]3CCCN(C)C3=O)CC2)c1C#N ZINC000577665222 422402001 /nfs/dbraw/zinc/40/20/01/422402001.db2.gz HMHBLTGLKAKPOA-CYBMUJFWSA-N 1 2 319.434 1.066 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)N2[C@@H](C)CCC[C@@H]2C)nn1 ZINC000640827665 423216510 /nfs/dbraw/zinc/21/65/10/423216510.db2.gz BDEVCMIYAHYALY-SOUVJXGZSA-N 1 2 317.437 1.742 20 30 DDEDLO C=CCCCn1cc(Cn2cc[nH+]c2CN2CCOCC2)nn1 ZINC000640935704 423284246 /nfs/dbraw/zinc/28/42/46/423284246.db2.gz JHWZVWOQOKFRAI-UHFFFAOYSA-N 1 2 316.409 1.321 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc(-c3cccs3)no2)nn1 ZINC000640853251 423230215 /nfs/dbraw/zinc/23/02/15/423230215.db2.gz FESPTMMLVIBAFL-UHFFFAOYSA-N 1 2 314.374 1.551 20 30 DDEDLO N#Cc1csc(CNc2ccc(N3CCOCC3)[nH+]c2)n1 ZINC000352808746 269992053 /nfs/dbraw/zinc/99/20/53/269992053.db2.gz DQYIAQHVNGLTEK-UHFFFAOYSA-N 1 2 301.375 1.858 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H]2Cc3ccccc3N(C)C2=O)nn1 ZINC000657574557 424416953 /nfs/dbraw/zinc/41/69/53/424416953.db2.gz ZLFXGHMZTFTSLM-OAHLLOKOSA-N 1 2 311.389 1.532 20 30 DDEDLO CCO[C@@H]1C[C@@H](O)C12CC[NH+](Cc1nc(C#N)cs1)CC2 ZINC000356107868 266297179 /nfs/dbraw/zinc/29/71/79/266297179.db2.gz FCDKRDDZNSOOMW-CHWSQXEVSA-N 1 2 307.419 1.767 20 30 DDEDLO C[C@@H]1CCS(=O)(=O)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000375284992 267025416 /nfs/dbraw/zinc/02/54/16/267025416.db2.gz YANSPKDZMDMROF-GFCCVEGCSA-N 1 2 318.402 1.215 20 30 DDEDLO C[C@H](C(=O)N(C)CC[NH+]1CCOCC1)c1cccc(C#N)c1 ZINC000360160315 267123734 /nfs/dbraw/zinc/12/37/34/267123734.db2.gz BELJJNXEMAOFFP-AWEZNQCLSA-N 1 2 301.390 1.452 20 30 DDEDLO C[C@H]1[C@H]([NH+]2CCOCC2)CCN1Cc1cccc(C#N)c1F ZINC000352008352 267252350 /nfs/dbraw/zinc/25/23/50/267252350.db2.gz OZHZCDSXLFFXHN-XJKSGUPXSA-N 1 2 303.381 1.992 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[NH+]([C@@H]3CCCCNC3=O)CC2)n1 ZINC000367343502 267375336 /nfs/dbraw/zinc/37/53/36/267375336.db2.gz YZJDMYZZRNCCEK-OAHLLOKOSA-N 1 2 313.405 1.052 20 30 DDEDLO Cc1nnc(N(C)CC[NH+]2C[C@@H](C)O[C@H](C)C2)c(C#N)c1C ZINC000343085103 267667073 /nfs/dbraw/zinc/66/70/73/267667073.db2.gz AQGGEFCORVZTOI-VXGBXAGGSA-N 1 2 303.410 1.511 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)Cc3cccc(C#N)c3)CC2)cn1 ZINC000531390451 268127503 /nfs/dbraw/zinc/12/75/03/268127503.db2.gz CTHKFOVNTMFSKK-UHFFFAOYSA-N 1 2 323.400 1.179 20 30 DDEDLO Cn1cc(Cl)c(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000519133677 268130123 /nfs/dbraw/zinc/13/01/23/268130123.db2.gz IDVGWKOAHPTAAT-LBPRGKRZSA-N 1 2 317.780 1.993 20 30 DDEDLO Cn1cc(Cl)c(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000519133677 268130124 /nfs/dbraw/zinc/13/01/24/268130124.db2.gz IDVGWKOAHPTAAT-LBPRGKRZSA-N 1 2 317.780 1.993 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2C[C@@H]3CCC[C@H]3[C@H]2C(N)=O)cc1 ZINC000368973634 268140465 /nfs/dbraw/zinc/14/04/65/268140465.db2.gz KPRKPQHGVKYBSU-BJJXKVORSA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2C[C@@H]3CCC[C@H]3[C@H]2C(N)=O)cc1 ZINC000368973634 268140468 /nfs/dbraw/zinc/14/04/68/268140468.db2.gz KPRKPQHGVKYBSU-BJJXKVORSA-N 1 2 312.373 1.083 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)cc1F ZINC000528779860 268224076 /nfs/dbraw/zinc/22/40/76/268224076.db2.gz FKFPCESSWRLZBW-KGLIPLIRSA-N 1 2 308.378 1.849 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)cc1F ZINC000528779860 268224077 /nfs/dbraw/zinc/22/40/77/268224077.db2.gz FKFPCESSWRLZBW-KGLIPLIRSA-N 1 2 308.378 1.849 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC000371121220 268267377 /nfs/dbraw/zinc/26/73/77/268267377.db2.gz ZGIDMNRHJZKLLV-OAHLLOKOSA-N 1 2 300.362 1.497 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C/c1cnn(-c2ccccc2)c1 ZINC000493430847 276808295 /nfs/dbraw/zinc/80/82/95/276808295.db2.gz UOJRVTWUBMRWFX-BBVFFXRHSA-N 1 2 323.400 1.846 20 30 DDEDLO CN1C(=O)[C@H]2C[N@H+](CC#Cc3ccc(Cl)cc3)CCN2C1=O ZINC000360038654 277020457 /nfs/dbraw/zinc/02/04/57/277020457.db2.gz VHAPFWSFAIUTCD-CQSZACIVSA-N 1 2 317.776 1.270 20 30 DDEDLO CN1C(=O)[C@H]2C[N@@H+](CC#Cc3ccc(Cl)cc3)CCN2C1=O ZINC000360038654 277020459 /nfs/dbraw/zinc/02/04/59/277020459.db2.gz VHAPFWSFAIUTCD-CQSZACIVSA-N 1 2 317.776 1.270 20 30 DDEDLO CCN1CCC[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)CC1=O ZINC000190193934 279289489 /nfs/dbraw/zinc/28/94/89/279289489.db2.gz XXDAZKWRYMJKTM-UHFFFAOYSA-N 1 2 320.437 1.225 20 30 DDEDLO CCN1CCC[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)CC1=O ZINC000190193934 279289493 /nfs/dbraw/zinc/28/94/93/279289493.db2.gz XXDAZKWRYMJKTM-UHFFFAOYSA-N 1 2 320.437 1.225 20 30 DDEDLO Cc1nc(C(=O)N(C)C(C)(C)C[NH+]2CCOCC2)ccc1C#N ZINC000414046817 293156408 /nfs/dbraw/zinc/15/64/08/293156408.db2.gz AOSBNCCEDDIYBN-UHFFFAOYSA-N 1 2 316.405 1.445 20 30 DDEDLO N#Cc1ccncc1C(=O)N1CC[NH+](Cc2cccc(O)c2)CC1 ZINC000362876372 300167929 /nfs/dbraw/zinc/16/79/29/300167929.db2.gz QDLNBWPHYMHTMG-UHFFFAOYSA-N 1 2 322.368 1.617 20 30 DDEDLO O=C(NCC1([NH+]2CCOCC2)CC1)N1CC[C@@H](O)C12CCCC2 ZINC000330352298 303508017 /nfs/dbraw/zinc/50/80/17/303508017.db2.gz NERYXGJAHHGRMF-CQSZACIVSA-N 1 2 323.437 1.145 20 30 DDEDLO CN1CCC[C@@H]([NH+]2CCN(c3cccc(F)c3C#N)CC2)C1=O ZINC000558442031 303770725 /nfs/dbraw/zinc/77/07/25/303770725.db2.gz KOKUNICTOPPDCJ-MRXNPFEDSA-N 1 2 316.380 1.440 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000547967790 307751007 /nfs/dbraw/zinc/75/10/07/307751007.db2.gz CUBYQSWUDDGKCO-CYBMUJFWSA-N 1 2 316.386 1.357 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)Nc2c[nH+]c3n2CCCC3)cc1 ZINC000570593756 308173296 /nfs/dbraw/zinc/17/32/96/308173296.db2.gz LHBHGBXHEPCBAE-UHFFFAOYSA-N 1 2 302.359 1.892 20 30 DDEDLO Cc1cc(NCC[N@@H+]2CCO[C@@H](C)C2)c(C#N)cc1[N+](=O)[O-] ZINC000576683825 308331758 /nfs/dbraw/zinc/33/17/58/308331758.db2.gz BNAHGRQDMVCAHW-LBPRGKRZSA-N 1 2 304.350 1.908 20 30 DDEDLO Cc1cc(NCC[N@H+]2CCO[C@@H](C)C2)c(C#N)cc1[N+](=O)[O-] ZINC000576683825 308331759 /nfs/dbraw/zinc/33/17/59/308331759.db2.gz BNAHGRQDMVCAHW-LBPRGKRZSA-N 1 2 304.350 1.908 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NS(=O)(=O)c1ccc(C#N)nc1)C1CC1 ZINC000581016778 308648317 /nfs/dbraw/zinc/64/83/17/308648317.db2.gz FFHRAGIQWGQOLY-ZDUSSCGKSA-N 1 2 317.374 1.116 20 30 DDEDLO N#CCc1ccc(CS(=O)(=O)N2CCn3c[nH+]cc3C2)cc1 ZINC000569599500 332192649 /nfs/dbraw/zinc/19/26/49/332192649.db2.gz BDAATSGYRHNDOV-UHFFFAOYSA-N 1 2 316.386 1.295 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@@H]1C[C@H]1c1ccc([N+](=O)[O-])cc1 ZINC000518778957 333166257 /nfs/dbraw/zinc/16/62/57/333166257.db2.gz WVSZMNZEDOMVBP-LZWOXQAQSA-N 1 2 316.361 1.658 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)NC1(C#N)CCC1)Cc1ccc(CO)o1 ZINC000352816314 336250701 /nfs/dbraw/zinc/25/07/01/336250701.db2.gz OQAWZZJBSFZBIS-UHFFFAOYSA-N 1 2 317.389 1.712 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)NC1(C#N)CCC1)Cc1ccc(CO)o1 ZINC000352816314 336250702 /nfs/dbraw/zinc/25/07/02/336250702.db2.gz OQAWZZJBSFZBIS-UHFFFAOYSA-N 1 2 317.389 1.712 20 30 DDEDLO CC1(C)CCC[C@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000355294487 336503148 /nfs/dbraw/zinc/50/31/48/336503148.db2.gz UFAWXLLEGMZWAG-HNNXBMFYSA-N 1 2 307.438 1.887 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)Nc2c[nH+]c3n2CCCC3)cn1 ZINC000583184847 337259675 /nfs/dbraw/zinc/25/96/75/337259675.db2.gz OYMAQAFGXIPDMS-UHFFFAOYSA-N 1 2 303.347 1.287 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@H](NC(=O)Cc1ccc(OCC#N)cc1)C2 ZINC000110265968 337389004 /nfs/dbraw/zinc/38/90/04/337389004.db2.gz DMJUFIMFCYORSK-HNNXBMFYSA-N 1 2 324.384 1.768 20 30 DDEDLO C[C@@H]1CN(C(=O)OC(C)(C)C)[C@H](C)[C@H](C)[N@@H+]1CC(=O)NCC#N ZINC000496979358 340017426 /nfs/dbraw/zinc/01/74/26/340017426.db2.gz HQSWATHONSQUFX-FRRDWIJNSA-N 1 2 324.425 1.344 20 30 DDEDLO C[C@@H]1CN(C(=O)OC(C)(C)C)[C@H](C)[C@H](C)[N@H+]1CC(=O)NCC#N ZINC000496979358 340017427 /nfs/dbraw/zinc/01/74/27/340017427.db2.gz HQSWATHONSQUFX-FRRDWIJNSA-N 1 2 324.425 1.344 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2ccccc2)CC1 ZINC000076426563 341207198 /nfs/dbraw/zinc/20/71/98/341207198.db2.gz RXUDPGVOGZPKET-UHFFFAOYSA-N 1 2 300.406 1.571 20 30 DDEDLO COC(=O)[C@@H](O)C1CC[NH+](CC#Cc2ccccc2Cl)CC1 ZINC000135345112 341237413 /nfs/dbraw/zinc/23/74/13/341237413.db2.gz HLUKIHXASXKSTH-INIZCTEOSA-N 1 2 321.804 1.937 20 30 DDEDLO C=CC[N@H+](CCO[C@@H]1CCCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000248842932 341388416 /nfs/dbraw/zinc/38/84/16/341388416.db2.gz RSKMTTDFEOIKCT-UONOGXRCSA-N 1 2 303.424 1.205 20 30 DDEDLO C=CC[N@@H+](CCO[C@@H]1CCCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000248842932 341388417 /nfs/dbraw/zinc/38/84/17/341388417.db2.gz RSKMTTDFEOIKCT-UONOGXRCSA-N 1 2 303.424 1.205 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@@H]1COc2ccc(Cl)cc2C1 ZINC000564872314 341511945 /nfs/dbraw/zinc/51/19/45/341511945.db2.gz ODZQKUZUFQDGGI-BLLLJJGKSA-N 1 2 321.808 1.851 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2cc(Cl)ccc2F)CC1 ZINC000154723376 341595288 /nfs/dbraw/zinc/59/52/88/341595288.db2.gz SEILEAPHSQPRLU-UHFFFAOYSA-N 1 2 309.772 1.668 20 30 DDEDLO C[C@@H]1CCN(C(=O)Nc2nn(C)cc2C#N)C[C@H]1n1cc[nH+]c1 ZINC000610730875 483936763 /nfs/dbraw/zinc/93/67/63/483936763.db2.gz TXROQPVLGMLKQY-DGCLKSJQSA-N 1 2 313.365 1.603 20 30 DDEDLO C=CCCn1cc(CNc2ccc(N3CCOCC3)c[nH+]2)nn1 ZINC000656768289 484318996 /nfs/dbraw/zinc/31/89/96/484318996.db2.gz IIURULOJUBQMBI-UHFFFAOYSA-N 1 2 314.393 1.698 20 30 DDEDLO C[C@@H]1C[N@H+](C[C@H](O)COc2ccc(CC#N)cc2)CCC1=O ZINC000670087875 484752093 /nfs/dbraw/zinc/75/20/93/484752093.db2.gz DUZPZVHAEAVQOE-HIFRSBDPSA-N 1 2 302.374 1.403 20 30 DDEDLO C[C@@H]1C[N@@H+](C[C@H](O)COc2ccc(CC#N)cc2)CCC1=O ZINC000670087875 484752098 /nfs/dbraw/zinc/75/20/98/484752098.db2.gz DUZPZVHAEAVQOE-HIFRSBDPSA-N 1 2 302.374 1.403 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CCC[C@](CO)(OC)C2)c1C ZINC000661394616 485089815 /nfs/dbraw/zinc/08/98/15/485089815.db2.gz CHWMOBJSDSJQCQ-SFHVURJKSA-N 1 2 320.433 1.947 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CCC[C@](CO)(OC)C2)c1C ZINC000661394616 485089819 /nfs/dbraw/zinc/08/98/19/485089819.db2.gz CHWMOBJSDSJQCQ-SFHVURJKSA-N 1 2 320.433 1.947 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000673469602 485415453 /nfs/dbraw/zinc/41/54/53/485415453.db2.gz NJXVFKJRUHGQTJ-KBPBESRZSA-N 1 2 322.409 1.379 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000673469602 485415454 /nfs/dbraw/zinc/41/54/54/485415454.db2.gz NJXVFKJRUHGQTJ-KBPBESRZSA-N 1 2 322.409 1.379 20 30 DDEDLO CCC#C[C@@H](C)[NH+]1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000677475847 486475294 /nfs/dbraw/zinc/47/52/94/486475294.db2.gz GRFRFBKEVRMIJK-QGZVFWFLSA-N 1 2 313.445 1.722 20 30 DDEDLO C[C@H]1C[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@]1(C)CO ZINC000595875520 490372474 /nfs/dbraw/zinc/37/24/74/490372474.db2.gz QIZKJMWMNYEZBB-BBRMVZONSA-N 1 2 322.430 1.282 20 30 DDEDLO C[C@H]1C[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C[C@@]1(C)CO ZINC000595875520 490372478 /nfs/dbraw/zinc/37/24/78/490372478.db2.gz QIZKJMWMNYEZBB-BBRMVZONSA-N 1 2 322.430 1.282 20 30 DDEDLO O=C(Nc1cnn(CC[NH+]2CCOCC2)c1)N1C[C@@H]2CCC[C@H]21 ZINC000329948522 534330778 /nfs/dbraw/zinc/33/07/78/534330778.db2.gz ZDIIELLWHLDRMU-DZGCQCFKSA-N 1 2 319.409 1.245 20 30 DDEDLO Cc1cn2cc(NC(=O)N3C[C@@H]4OCCN(C)[C@H]4C3)ccc2[nH+]1 ZINC000329922982 534514025 /nfs/dbraw/zinc/51/40/25/534514025.db2.gz IGLLOPHWYUMPTK-KBPBESRZSA-N 1 2 315.377 1.203 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCOC[C@@H](O)C2)c(Br)c1 ZINC000295601071 534780875 /nfs/dbraw/zinc/78/08/75/534780875.db2.gz NCTKMNCSOXBYMZ-LBPRGKRZSA-N 1 2 311.179 1.514 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCOC[C@@H](O)C2)c(Br)c1 ZINC000295601071 534780879 /nfs/dbraw/zinc/78/08/79/534780879.db2.gz NCTKMNCSOXBYMZ-LBPRGKRZSA-N 1 2 311.179 1.514 20 30 DDEDLO Cn1cc[nH+]c1CN1C[C@@H](F)C[C@H]1CNC(=O)[C@@H]1CCC(=O)N1 ZINC000329980028 534788579 /nfs/dbraw/zinc/78/85/79/534788579.db2.gz KOBHGHMHOUEMHS-SRVKXCTJSA-N 1 2 323.372 1.408 20 30 DDEDLO CC#CCCCC(=O)NCC[NH+]1CCN(C(=O)C2CCC2)CC1 ZINC000342014591 526297795 /nfs/dbraw/zinc/29/77/95/526297795.db2.gz IAYVOHWYYIAUCJ-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000330944464 526400623 /nfs/dbraw/zinc/40/06/23/526400623.db2.gz IVVNZOOPPXSUAR-WCQYABFASA-N 1 2 315.377 1.093 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000330944464 526400625 /nfs/dbraw/zinc/40/06/25/526400625.db2.gz IVVNZOOPPXSUAR-WCQYABFASA-N 1 2 315.377 1.093 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2ccccc2Cl)C1 ZINC000330941176 526400721 /nfs/dbraw/zinc/40/07/21/526400721.db2.gz FIHBMAVXJWCMAF-WDEREUQCSA-N 1 2 324.812 1.875 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2ccccc2Cl)C1 ZINC000330941176 526400728 /nfs/dbraw/zinc/40/07/28/526400728.db2.gz FIHBMAVXJWCMAF-WDEREUQCSA-N 1 2 324.812 1.875 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2cccc(C#N)c2)C1=O ZINC000337204549 526469391 /nfs/dbraw/zinc/46/93/91/526469391.db2.gz HVDIWPHOPGHOKO-OAHLLOKOSA-N 1 2 312.373 1.215 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2cccc(C#N)c2)C1=O ZINC000337204549 526469395 /nfs/dbraw/zinc/46/93/95/526469395.db2.gz HVDIWPHOPGHOKO-OAHLLOKOSA-N 1 2 312.373 1.215 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NC(C2CC2)C2CC2)C1=O ZINC000337203741 526469630 /nfs/dbraw/zinc/46/96/30/526469630.db2.gz GUHHYXFJQAMWPN-CQSZACIVSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NC(C2CC2)C2CC2)C1=O ZINC000337203741 526469634 /nfs/dbraw/zinc/46/96/34/526469634.db2.gz GUHHYXFJQAMWPN-CQSZACIVSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C)C(=O)N(C(C)C)C(C)C)C1=O ZINC000337228073 526473321 /nfs/dbraw/zinc/47/33/21/526473321.db2.gz QTHYGTRAHFHZNX-LSDHHAIUSA-N 1 2 309.454 1.739 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@@H](C)C(=O)N(C(C)C)C(C)C)C1=O ZINC000337228073 526473327 /nfs/dbraw/zinc/47/33/27/526473327.db2.gz QTHYGTRAHFHZNX-LSDHHAIUSA-N 1 2 309.454 1.739 20 30 DDEDLO C=CCNC(=O)CNC(=O)[C@H](c1cccc(Cl)c1)[NH+](C)C ZINC000347887262 526564318 /nfs/dbraw/zinc/56/43/18/526564318.db2.gz ARMKAKZVUGGUJT-AWEZNQCLSA-N 1 2 309.797 1.361 20 30 DDEDLO C#CCN(CC)C(=O)C[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000490891344 526887647 /nfs/dbraw/zinc/88/76/47/526887647.db2.gz DJKJFODBNRLEMP-UHFFFAOYSA-N 1 2 316.401 1.544 20 30 DDEDLO C#CCN(CC)C(=O)C[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000490891344 526887651 /nfs/dbraw/zinc/88/76/51/526887651.db2.gz DJKJFODBNRLEMP-UHFFFAOYSA-N 1 2 316.401 1.544 20 30 DDEDLO CC(C)(C)c1nnc(CNC(=O)N2CCn3cc[nH+]c3C2)s1 ZINC000329883573 526888351 /nfs/dbraw/zinc/88/83/51/526888351.db2.gz REXGZBYMJOERSC-UHFFFAOYSA-N 1 2 320.422 1.962 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)C)n2C[C@H]2CCOC2)CC1 ZINC000491118869 526956093 /nfs/dbraw/zinc/95/60/93/526956093.db2.gz GGDVAYANYCASRY-OAHLLOKOSA-N 1 2 317.437 1.193 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](Cc1ccccc1Cl)C1COC1 ZINC000491704487 526975714 /nfs/dbraw/zinc/97/57/14/526975714.db2.gz IZFOATPUAIWPOL-UHFFFAOYSA-N 1 2 306.793 1.680 20 30 DDEDLO C#CCNC(=O)CC[N@H+](Cc1ccccc1Cl)C1COC1 ZINC000491704487 526975721 /nfs/dbraw/zinc/97/57/21/526975721.db2.gz IZFOATPUAIWPOL-UHFFFAOYSA-N 1 2 306.793 1.680 20 30 DDEDLO C#CCNC(=O)CN1CC[NH+](Cc2ccc(F)cc2C)CC1 ZINC000491181996 527003452 /nfs/dbraw/zinc/00/34/52/527003452.db2.gz XPERZYFFIQZXDW-UHFFFAOYSA-N 1 2 303.381 1.001 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)CCc2cscn2)n1 ZINC000491632324 527190281 /nfs/dbraw/zinc/19/02/81/527190281.db2.gz OQWWJPBAHHYYME-UHFFFAOYSA-N 1 2 304.419 1.664 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)CCc2cscn2)n1 ZINC000491632324 527190292 /nfs/dbraw/zinc/19/02/92/527190292.db2.gz OQWWJPBAHHYYME-UHFFFAOYSA-N 1 2 304.419 1.664 20 30 DDEDLO CC(=O)c1ccc(C#N)cc1NC[C@@H](C)[NH+]1CCN(C)CC1 ZINC000302077744 527232365 /nfs/dbraw/zinc/23/23/65/527232365.db2.gz AQHYMVNLQPDLQY-CYBMUJFWSA-N 1 2 300.406 1.809 20 30 DDEDLO C#C[C@@H](CC)NC(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000491801486 527241341 /nfs/dbraw/zinc/24/13/41/527241341.db2.gz CPRPWJYMQZNRDW-HOCLYGCPSA-N 1 2 317.408 1.864 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+](C)Cc1nncn1C ZINC000347665269 527261083 /nfs/dbraw/zinc/26/10/83/527261083.db2.gz QSSDKDTUBHBFFI-HNNXBMFYSA-N 1 2 316.405 1.415 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+](C)Cc1nncn1C ZINC000347665269 527261085 /nfs/dbraw/zinc/26/10/85/527261085.db2.gz QSSDKDTUBHBFFI-HNNXBMFYSA-N 1 2 316.405 1.415 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000491800559 527298083 /nfs/dbraw/zinc/29/80/83/527298083.db2.gz AEFXQJOZCMZHFD-MRXNPFEDSA-N 1 2 309.369 1.335 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1C(=O)C[C@@](C)(c2ccc(F)cc2)C1=O ZINC000491819615 527332782 /nfs/dbraw/zinc/33/27/82/527332782.db2.gz OVFKXBCPZOIGBJ-SJCJKPOMSA-N 1 2 302.349 1.753 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1C(=O)C[C@@](C)(c2ccc(F)cc2)C1=O ZINC000491819615 527332784 /nfs/dbraw/zinc/33/27/84/527332784.db2.gz OVFKXBCPZOIGBJ-SJCJKPOMSA-N 1 2 302.349 1.753 20 30 DDEDLO C#C[C@H](NC(=O)C[N@@H+](C)CC(=O)NC(C)C)c1ccc(F)cc1 ZINC000491242073 527382035 /nfs/dbraw/zinc/38/20/35/527382035.db2.gz NZPAQHQGOZILRN-HNNXBMFYSA-N 1 2 319.380 1.073 20 30 DDEDLO C#C[C@H](NC(=O)C[N@H+](C)CC(=O)NC(C)C)c1ccc(F)cc1 ZINC000491242073 527382039 /nfs/dbraw/zinc/38/20/39/527382039.db2.gz NZPAQHQGOZILRN-HNNXBMFYSA-N 1 2 319.380 1.073 20 30 DDEDLO CC(C)C(=O)N1CCC[N@@H+]([C@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000346232266 527474260 /nfs/dbraw/zinc/47/42/60/527474260.db2.gz AUGXJDKVMNPMFD-CQSZACIVSA-N 1 2 320.437 1.128 20 30 DDEDLO CC(C)C(=O)N1CCC[N@H+]([C@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000346232266 527474264 /nfs/dbraw/zinc/47/42/64/527474264.db2.gz AUGXJDKVMNPMFD-CQSZACIVSA-N 1 2 320.437 1.128 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000330812597 527549384 /nfs/dbraw/zinc/54/93/84/527549384.db2.gz KOCYQEMYRBNNGH-SJKOYZFVSA-N 1 2 305.422 1.939 20 30 DDEDLO C=CCN(C)C(=O)C(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000343148511 527556814 /nfs/dbraw/zinc/55/68/14/527556814.db2.gz XEUBUJZSQCBKGP-UHFFFAOYSA-N 1 2 316.336 1.272 20 30 DDEDLO CCCN1CCC[N@@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1=O ZINC000332012915 528218800 /nfs/dbraw/zinc/21/88/00/528218800.db2.gz PVUWYRTZTNTWJL-PBHICJAKSA-N 1 2 322.453 1.374 20 30 DDEDLO CCCN1CCC[N@H+]([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1=O ZINC000332012915 528218805 /nfs/dbraw/zinc/21/88/05/528218805.db2.gz PVUWYRTZTNTWJL-PBHICJAKSA-N 1 2 322.453 1.374 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000451756747 528624749 /nfs/dbraw/zinc/62/47/49/528624749.db2.gz NCQUYMZJARHKHM-YEJXKQKISA-N 1 2 313.467 1.228 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000451756747 528624754 /nfs/dbraw/zinc/62/47/54/528624754.db2.gz NCQUYMZJARHKHM-YEJXKQKISA-N 1 2 313.467 1.228 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCOC[C@H]1CC1CCC1 ZINC000339769394 528694016 /nfs/dbraw/zinc/69/40/16/528694016.db2.gz MHCMXDPZEFHRNC-GDBMZVCRSA-N 1 2 307.438 1.886 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCOC[C@H]1CC1CCC1 ZINC000339769394 528694018 /nfs/dbraw/zinc/69/40/18/528694018.db2.gz MHCMXDPZEFHRNC-GDBMZVCRSA-N 1 2 307.438 1.886 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)c1ccc(C[NH+]2CCOCC2)cn1 ZINC000343072326 528703522 /nfs/dbraw/zinc/70/35/22/528703522.db2.gz OIISCQMSAYCYJB-CQSZACIVSA-N 1 2 316.405 1.536 20 30 DDEDLO CC(C)[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCCCS2(=O)=O)C1 ZINC000330062570 529019454 /nfs/dbraw/zinc/01/94/54/529019454.db2.gz PIBKHXXHBQHYNE-STQMWFEESA-N 1 2 302.440 1.783 20 30 DDEDLO CC(C)[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCCCS2(=O)=O)C1 ZINC000330062570 529019460 /nfs/dbraw/zinc/01/94/60/529019460.db2.gz PIBKHXXHBQHYNE-STQMWFEESA-N 1 2 302.440 1.783 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](CCOc2ccc(-c3nn[nH]n3)cc2)C1 ZINC000824776910 608125645 /nfs/dbraw/zinc/12/56/45/608125645.db2.gz FNKYMJVJYPWOIH-MRXNPFEDSA-N 1 2 312.377 1.871 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](CCOc2ccc(-c3nn[nH]n3)cc2)C1 ZINC000824776910 608125646 /nfs/dbraw/zinc/12/56/46/608125646.db2.gz FNKYMJVJYPWOIH-MRXNPFEDSA-N 1 2 312.377 1.871 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCC3CCCC3)C2)C1 ZINC000972240347 695186388 /nfs/dbraw/zinc/18/63/88/695186388.db2.gz SLWJOPZYPQZBEK-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCC3CCCC3)C2)C1 ZINC000972240347 695186390 /nfs/dbraw/zinc/18/63/90/695186390.db2.gz SLWJOPZYPQZBEK-SFHVURJKSA-N 1 2 304.434 1.893 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)[nH]n3)C2)C1 ZINC000972281918 695203126 /nfs/dbraw/zinc/20/31/26/695203126.db2.gz MZWFSPCIYVYENT-INIZCTEOSA-N 1 2 304.394 1.211 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)[nH]n3)C2)C1 ZINC000972281918 695203127 /nfs/dbraw/zinc/20/31/27/695203127.db2.gz MZWFSPCIYVYENT-INIZCTEOSA-N 1 2 304.394 1.211 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]([N@H+](C)Cc3cc(C)on3)C2)c1 ZINC000972284673 695204474 /nfs/dbraw/zinc/20/44/74/695204474.db2.gz MEHAEBBPXNEFBX-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]([N@@H+](C)Cc3cc(C)on3)C2)c1 ZINC000972284673 695204475 /nfs/dbraw/zinc/20/44/75/695204475.db2.gz MEHAEBBPXNEFBX-KRWDZBQOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(C)[nH]3)C2)C1 ZINC000972294184 695208243 /nfs/dbraw/zinc/20/82/43/695208243.db2.gz ITZNSMMYYDMKLS-INIZCTEOSA-N 1 2 304.394 1.211 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnc(C)[nH]3)C2)C1 ZINC000972294184 695208245 /nfs/dbraw/zinc/20/82/45/695208245.db2.gz ITZNSMMYYDMKLS-INIZCTEOSA-N 1 2 304.394 1.211 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCOC3)C2)C1 ZINC000972328763 695217771 /nfs/dbraw/zinc/21/77/71/695217771.db2.gz RGOKVRJWFPJSBW-RDJZCZTQSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CC[C@H]3CCOC3)C2)C1 ZINC000972328763 695217773 /nfs/dbraw/zinc/21/77/73/695217773.db2.gz RGOKVRJWFPJSBW-RDJZCZTQSA-N 1 2 308.422 1.292 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccncc3CC)C2)C1 ZINC000972437321 695251132 /nfs/dbraw/zinc/25/11/32/695251132.db2.gz BKAHLCXEOLYWDG-SFHVURJKSA-N 1 2 313.401 1.194 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccncc3CC)C2)C1 ZINC000972437321 695251133 /nfs/dbraw/zinc/25/11/33/695251133.db2.gz BKAHLCXEOLYWDG-SFHVURJKSA-N 1 2 313.401 1.194 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(CC)o3)C2)C1 ZINC000972441914 695252654 /nfs/dbraw/zinc/25/26/54/695252654.db2.gz WJJZXUFGWDOKDD-QGZVFWFLSA-N 1 2 304.390 1.945 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(CC)o3)C2)C1 ZINC000972441914 695252655 /nfs/dbraw/zinc/25/26/55/695252655.db2.gz WJJZXUFGWDOKDD-QGZVFWFLSA-N 1 2 304.390 1.945 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCC3(C)C)C2)C1 ZINC000972512093 695269016 /nfs/dbraw/zinc/26/90/16/695269016.db2.gz PGUXJCXCNGLIBO-YJBOKZPZSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCC3(C)C)C2)C1 ZINC000972512093 695269019 /nfs/dbraw/zinc/26/90/19/695269019.db2.gz PGUXJCXCNGLIBO-YJBOKZPZSA-N 1 2 304.434 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3Cc4ccccc43)C2)C1 ZINC000972527313 695273184 /nfs/dbraw/zinc/27/31/84/695273184.db2.gz TXBFTQFMASFBQS-PKOBYXMFSA-N 1 2 312.413 1.816 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3Cc4ccccc43)C2)C1 ZINC000972527313 695273185 /nfs/dbraw/zinc/27/31/85/695273185.db2.gz TXBFTQFMASFBQS-PKOBYXMFSA-N 1 2 312.413 1.816 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@]34C[C@H]3CCCC4)C2)C1 ZINC000972544136 695277978 /nfs/dbraw/zinc/27/79/78/695277978.db2.gz SDJWTTGXGAYPNT-KBAYOESNSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@]34C[C@H]3CCCC4)C2)C1 ZINC000972544136 695277980 /nfs/dbraw/zinc/27/79/80/695277980.db2.gz SDJWTTGXGAYPNT-KBAYOESNSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3ccco3)C2)C1 ZINC000972548922 695279471 /nfs/dbraw/zinc/27/94/71/695279471.db2.gz KOZHEGZBJYYYNR-CRAIPNDOSA-N 1 2 316.401 1.710 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)c3ccco3)C2)C1 ZINC000972548922 695279472 /nfs/dbraw/zinc/27/94/72/695279472.db2.gz KOZHEGZBJYYYNR-CRAIPNDOSA-N 1 2 316.401 1.710 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(c4ccccc4)CC3)C2)C1 ZINC000972662194 695312263 /nfs/dbraw/zinc/31/22/63/695312263.db2.gz NGWDBTGAMMZDHL-IBGZPJMESA-N 1 2 324.424 1.655 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(c4ccccc4)CC3)C2)C1 ZINC000972662194 695312265 /nfs/dbraw/zinc/31/22/65/695312265.db2.gz NGWDBTGAMMZDHL-IBGZPJMESA-N 1 2 324.424 1.655 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2nnn(C)c2C)C(C)(C)C1 ZINC000972985142 695388407 /nfs/dbraw/zinc/38/84/07/695388407.db2.gz GWJCLHNGRMWTAL-LLVKDONJSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2nnn(C)c2C)C(C)(C)C1 ZINC000972985142 695388408 /nfs/dbraw/zinc/38/84/08/695388408.db2.gz GWJCLHNGRMWTAL-LLVKDONJSA-N 1 2 311.817 1.316 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)C(C)(C)C1 ZINC000974897252 695755753 /nfs/dbraw/zinc/75/57/53/695755753.db2.gz BLUYVLHQMNMRIP-LLVKDONJSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)C(C)(C)C1 ZINC000974897252 695755754 /nfs/dbraw/zinc/75/57/54/695755754.db2.gz BLUYVLHQMNMRIP-LLVKDONJSA-N 1 2 312.801 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974947510 695764699 /nfs/dbraw/zinc/76/46/99/695764699.db2.gz LPCWFLCNSFSSGZ-NEPJUHHUSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974947510 695764700 /nfs/dbraw/zinc/76/47/00/695764700.db2.gz LPCWFLCNSFSSGZ-NEPJUHHUSA-N 1 2 313.829 1.044 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C[NH+]2CCC(C)CC2)C(C)(C)C1 ZINC000977390174 696141049 /nfs/dbraw/zinc/14/10/49/696141049.db2.gz QCLMMERYRAELFX-MRXNPFEDSA-N 1 2 305.466 1.568 20 30 DDEDLO CC#CCN1C[C@@H](NC(=O)C[NH+]2CCC(C)CC2)C(C)(C)C1 ZINC000977390174 696141051 /nfs/dbraw/zinc/14/10/51/696141051.db2.gz QCLMMERYRAELFX-MRXNPFEDSA-N 1 2 305.466 1.568 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cnc(COC)s2)C(C)(C)C1 ZINC000977671966 696251144 /nfs/dbraw/zinc/25/11/44/696251144.db2.gz XRGRZULJVDOACM-GFCCVEGCSA-N 1 2 307.419 1.363 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cnc(COC)s2)C(C)(C)C1 ZINC000977671966 696251147 /nfs/dbraw/zinc/25/11/47/696251147.db2.gz XRGRZULJVDOACM-GFCCVEGCSA-N 1 2 307.419 1.363 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2nnc(C)n2C2CC2)cc1 ZINC000091595075 696590229 /nfs/dbraw/zinc/59/02/29/696590229.db2.gz IDEQUXROISFWLW-UHFFFAOYSA-N 1 2 301.350 1.767 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+](C)[C@@H](C)CS(C)(=O)=O)cc1 ZINC000093384758 696600616 /nfs/dbraw/zinc/60/06/16/696600616.db2.gz NDDGWABXWNVQCU-AWEZNQCLSA-N 1 2 309.431 1.954 20 30 DDEDLO C#CCCOc1ccc(C[N@H+](C)[C@@H](C)CS(C)(=O)=O)cc1 ZINC000093384758 696600617 /nfs/dbraw/zinc/60/06/17/696600617.db2.gz NDDGWABXWNVQCU-AWEZNQCLSA-N 1 2 309.431 1.954 20 30 DDEDLO C=CC[N@@H+]1CCCC2(CN(C(=O)[C@H]3CCCc4[nH]ncc43)C2)C1 ZINC000981771367 696909647 /nfs/dbraw/zinc/90/96/47/696909647.db2.gz URIKQZQFZRFLOY-AWEZNQCLSA-N 1 2 314.433 1.940 20 30 DDEDLO C=CC[N@H+]1CCCC2(CN(C(=O)[C@H]3CCCc4[nH]ncc43)C2)C1 ZINC000981771367 696909650 /nfs/dbraw/zinc/90/96/50/696909650.db2.gz URIKQZQFZRFLOY-AWEZNQCLSA-N 1 2 314.433 1.940 20 30 DDEDLO Cc1ncoc1C[N@@H+]1CCCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000980917247 696943880 /nfs/dbraw/zinc/94/38/80/696943880.db2.gz HJAMMHCXHAIRHY-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO Cc1ncoc1C[N@H+]1CCCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000980917247 696943883 /nfs/dbraw/zinc/94/38/83/696943883.db2.gz HJAMMHCXHAIRHY-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO C[C@@H](C#N)CN1CC(=O)C(=C2N(C)c3ccccc3N2C)C1=[NH2+] ZINC000179230703 697435114 /nfs/dbraw/zinc/43/51/14/697435114.db2.gz RFYMJFQFOKTONO-NSHDSACASA-N 1 2 309.373 1.806 20 30 DDEDLO C#C[C@@H](C)NC(=O)N1CC[NH+](Cc2cc(C)ccc2OC)CC1 ZINC000773598391 697785232 /nfs/dbraw/zinc/78/52/32/697785232.db2.gz UHGZSCBGHBGRKI-OAHLLOKOSA-N 1 2 315.417 1.853 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@@H]([NH2+]Cc3nnn(C)n3)[C@@H]2C)CC1 ZINC000986858262 697931416 /nfs/dbraw/zinc/93/14/16/697931416.db2.gz WKQFTPCSLFVPIB-GXTWGEPZSA-N 1 2 318.425 1.036 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)CN(C)C(=O)N2 ZINC000774870477 697936889 /nfs/dbraw/zinc/93/68/89/697936889.db2.gz KPDUJXSUHJOPAE-HNNXBMFYSA-N 1 2 312.373 1.700 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)CN(C)C(=O)N2 ZINC000774870477 697936892 /nfs/dbraw/zinc/93/68/92/697936892.db2.gz KPDUJXSUHJOPAE-HNNXBMFYSA-N 1 2 312.373 1.700 20 30 DDEDLO C#C[C@H]([NH2+]CC(=O)Nc1cc(C(C)(C)C)no1)[C@H]1CCCO1 ZINC000775798988 698037917 /nfs/dbraw/zinc/03/79/17/698037917.db2.gz MGLCOMWINCHASK-NWDGAFQWSA-N 1 2 305.378 1.681 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)Nc1cc(C(C)(C)C)no1)[C@H]1CCCO1 ZINC000775798990 698037924 /nfs/dbraw/zinc/03/79/24/698037924.db2.gz MGLCOMWINCHASK-VXGBXAGGSA-N 1 2 305.378 1.681 20 30 DDEDLO C[C@@H]1C[C@@H]([NH2+]Cc2csnn2)CN1C(=O)c1c[nH]c(C#N)c1 ZINC000988619520 698420674 /nfs/dbraw/zinc/42/06/74/698420674.db2.gz GBMSRGPAGPGGKD-BXKDBHETSA-N 1 2 316.390 1.131 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)[C@H]2CCC(=O)[C@@H](C)C2(C)C)CC1 ZINC000780535913 698529309 /nfs/dbraw/zinc/52/93/09/698529309.db2.gz JOIFXQKVCSPYEW-HUUCEWRRSA-N 1 2 304.434 1.795 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000780996013 698581199 /nfs/dbraw/zinc/58/11/99/698581199.db2.gz XHOJQRVEGNWVNH-DOTOQJQBSA-N 1 2 322.368 1.382 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2cc3c(s2)CCOC3)CC1 ZINC000989507040 698650115 /nfs/dbraw/zinc/65/01/15/698650115.db2.gz KUXYFWBCMUDVSO-UHFFFAOYSA-N 1 2 318.442 1.992 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2cc3c(s2)CCOC3)CC1 ZINC000989507040 698650116 /nfs/dbraw/zinc/65/01/16/698650116.db2.gz KUXYFWBCMUDVSO-UHFFFAOYSA-N 1 2 318.442 1.992 20 30 DDEDLO COC(C[NH+]1CCN([C@H](C#N)c2ccc(F)cc2)CC1)OC ZINC000781909598 698660639 /nfs/dbraw/zinc/66/06/39/698660639.db2.gz QMYWRCITZZQSTO-OAHLLOKOSA-N 1 2 307.369 1.627 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@H]2CCc3nncn3C2)CC1 ZINC000989728418 698742624 /nfs/dbraw/zinc/74/26/24/698742624.db2.gz IZMKBRREDSKPAV-ZDUSSCGKSA-N 1 2 323.828 1.127 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@H]2CCc3nncn3C2)CC1 ZINC000989728418 698742626 /nfs/dbraw/zinc/74/26/26/698742626.db2.gz IZMKBRREDSKPAV-ZDUSSCGKSA-N 1 2 323.828 1.127 20 30 DDEDLO C[C@H]1CN(C)C(=O)C[N@@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000782584018 698742707 /nfs/dbraw/zinc/74/27/07/698742707.db2.gz GXRBUCYCHKHCKG-LBPRGKRZSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@H]1CN(C)C(=O)C[N@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000782584018 698742709 /nfs/dbraw/zinc/74/27/09/698742709.db2.gz GXRBUCYCHKHCKG-LBPRGKRZSA-N 1 2 300.362 1.049 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N(CCC#N)C(C)C)c(N(C)C)[nH+]1 ZINC000783400122 698838884 /nfs/dbraw/zinc/83/88/84/698838884.db2.gz OJEFJDSDFZANMJ-UHFFFAOYSA-N 1 2 317.393 1.545 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(CCOC(C)=O)c1 ZINC000783615789 698859026 /nfs/dbraw/zinc/85/90/26/698859026.db2.gz WPBFUWVSXKJUBY-KRWDZBQOSA-N 1 2 314.385 1.828 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(CCOC(C)=O)c1 ZINC000783615789 698859032 /nfs/dbraw/zinc/85/90/32/698859032.db2.gz WPBFUWVSXKJUBY-KRWDZBQOSA-N 1 2 314.385 1.828 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1CC(=O)N(CN2CCC(C)(C#N)CC2)C1 ZINC000783993220 698900982 /nfs/dbraw/zinc/90/09/82/698900982.db2.gz OMNXWJPUGHTPOI-ZDUSSCGKSA-N 1 2 301.394 1.319 20 30 DDEDLO COc1ccc(C[N@H+](C)CC(=O)NC2(C#N)CCC2)cc1F ZINC000784332813 698930127 /nfs/dbraw/zinc/93/01/27/698930127.db2.gz DIWOHVIVHXUEER-UHFFFAOYSA-N 1 2 305.353 1.829 20 30 DDEDLO COc1ccc(C[N@@H+](C)CC(=O)NC2(C#N)CCC2)cc1F ZINC000784332813 698930129 /nfs/dbraw/zinc/93/01/29/698930129.db2.gz DIWOHVIVHXUEER-UHFFFAOYSA-N 1 2 305.353 1.829 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC([NH2+]Cc2nnsc2Cl)CC1 ZINC000990262451 699013299 /nfs/dbraw/zinc/01/32/99/699013299.db2.gz PCTYLVJUODSAMM-QMMMGPOBSA-N 1 2 313.814 1.432 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)NCCC#N)CC1 ZINC000426435795 699154831 /nfs/dbraw/zinc/15/48/31/699154831.db2.gz MYCFFHARGMVDEL-UHFFFAOYSA-N 1 2 302.378 1.436 20 30 DDEDLO CO[C@@H]1CC(=O)N(C[N@H+]2CC=C(c3ccc(C#N)cc3)CC2)C1 ZINC000787225285 699197823 /nfs/dbraw/zinc/19/78/23/699197823.db2.gz CVOGNBIPSJOHDS-QGZVFWFLSA-N 1 2 311.385 1.852 20 30 DDEDLO CO[C@@H]1CC(=O)N(C[N@@H+]2CC=C(c3ccc(C#N)cc3)CC2)C1 ZINC000787225285 699197827 /nfs/dbraw/zinc/19/78/27/699197827.db2.gz CVOGNBIPSJOHDS-QGZVFWFLSA-N 1 2 311.385 1.852 20 30 DDEDLO C[C@@H](OC(=O)CCc1ccc(N)[nH+]c1)C(=O)NC1(C#N)CCC1 ZINC000787756193 699238632 /nfs/dbraw/zinc/23/86/32/699238632.db2.gz WUHVQUSHYCFVBK-LLVKDONJSA-N 1 2 316.361 1.091 20 30 DDEDLO C=C(CO)C(=O)NC1(c2noc(C[NH+](CC)CC)n2)CCCC1 ZINC000791334276 699624233 /nfs/dbraw/zinc/62/42/33/699624233.db2.gz ZMDWJOCVBVQQPD-UHFFFAOYSA-N 1 2 322.409 1.345 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@@H+](Cc3nnc(-c4cccc(C#N)c4)o3)C[C@@H]21 ZINC000794969646 699839037 /nfs/dbraw/zinc/83/90/37/699839037.db2.gz AMHGCHQEGKEZNV-NHAGDIPZSA-N 1 2 324.340 1.459 20 30 DDEDLO COC(=O)[C@H]1[C@@H]2C[N@H+](Cc3nnc(-c4cccc(C#N)c4)o3)C[C@@H]21 ZINC000794969646 699839040 /nfs/dbraw/zinc/83/90/40/699839040.db2.gz AMHGCHQEGKEZNV-NHAGDIPZSA-N 1 2 324.340 1.459 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CCC[C@H](CCO)C1 ZINC000741787721 699852181 /nfs/dbraw/zinc/85/21/81/699852181.db2.gz KNESSRPAZSNLEB-GDBMZVCRSA-N 1 2 302.374 1.867 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CCC[C@H](CCO)C1 ZINC000741787721 699852183 /nfs/dbraw/zinc/85/21/83/699852183.db2.gz KNESSRPAZSNLEB-GDBMZVCRSA-N 1 2 302.374 1.867 20 30 DDEDLO CC[C@@H](C#N)Oc1cc(C[NH2+]Cc2cn(C)nn2)ccc1OC ZINC000742370775 699875865 /nfs/dbraw/zinc/87/58/65/699875865.db2.gz MJLJZFHYJIZBRM-AWEZNQCLSA-N 1 2 315.377 1.794 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1nc(C(C)(C)C)no1 ZINC000742919225 699894254 /nfs/dbraw/zinc/89/42/54/699894254.db2.gz ISSAYBCQEILDMZ-RYUDHWBXSA-N 1 2 304.394 1.642 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)c1nc(C(C)(C)C)no1 ZINC000742919225 699894256 /nfs/dbraw/zinc/89/42/56/699894256.db2.gz ISSAYBCQEILDMZ-RYUDHWBXSA-N 1 2 304.394 1.642 20 30 DDEDLO COc1ccccc1O[C@H]1CCC[N@H+](CC(=O)NCCC#N)C1 ZINC000796564496 699939119 /nfs/dbraw/zinc/93/91/19/699939119.db2.gz NEHGVRFZXWJWEK-AWEZNQCLSA-N 1 2 317.389 1.568 20 30 DDEDLO COc1ccccc1O[C@H]1CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000796564496 699939121 /nfs/dbraw/zinc/93/91/21/699939121.db2.gz NEHGVRFZXWJWEK-AWEZNQCLSA-N 1 2 317.389 1.568 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)COC(=O)CCc1ccc(N)[nH+]c1 ZINC000751459560 700291742 /nfs/dbraw/zinc/29/17/42/700291742.db2.gz SUQWQILFSPCBTO-GFCCVEGCSA-N 1 2 318.377 1.148 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CCCC3)n2CCOC)CC1 ZINC000802397355 700399982 /nfs/dbraw/zinc/39/99/82/700399982.db2.gz FILFCCUAJZNRJG-UHFFFAOYSA-N 1 2 317.437 1.337 20 30 DDEDLO C[NH+]1CCC(C#N)(NC(=O)C(F)(F)c2nccs2)CC1 ZINC000756745616 700639313 /nfs/dbraw/zinc/63/93/13/700639313.db2.gz QTMZKRFTZQLRSF-UHFFFAOYSA-N 1 2 300.334 1.339 20 30 DDEDLO NC(=O)CNN=C1CC[NH+](Cc2c(F)cccc2Cl)CC1 ZINC000758892662 700727851 /nfs/dbraw/zinc/72/78/51/700727851.db2.gz LORSTMDUNKWZGV-UHFFFAOYSA-N 1 2 312.776 1.506 20 30 DDEDLO N#CCOc1cccc(CC(=O)N2CC(Oc3cc[nH+]cc3)C2)c1 ZINC000760486345 700810504 /nfs/dbraw/zinc/81/05/04/700810504.db2.gz LMTLVQDNOUHVQF-UHFFFAOYSA-N 1 2 323.352 1.816 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[NH+]1CCC(C)(CO)CC1 ZINC000762624246 700900651 /nfs/dbraw/zinc/90/06/51/700900651.db2.gz WNDXESSBNKKMRA-HNNXBMFYSA-N 1 2 302.374 1.867 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(NC(=O)NC)c1 ZINC000804399277 701186855 /nfs/dbraw/zinc/18/68/55/701186855.db2.gz KSVDMWPJGQXSCJ-AWEZNQCLSA-N 1 2 318.352 1.613 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(F)c(NC(=O)NC)c1 ZINC000804399277 701186857 /nfs/dbraw/zinc/18/68/57/701186857.db2.gz KSVDMWPJGQXSCJ-AWEZNQCLSA-N 1 2 318.352 1.613 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000815062346 701790931 /nfs/dbraw/zinc/79/09/31/701790931.db2.gz JVWJQUBVLAIHMN-KBPBESRZSA-N 1 2 314.426 1.803 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000815062346 701790933 /nfs/dbraw/zinc/79/09/33/701790933.db2.gz JVWJQUBVLAIHMN-KBPBESRZSA-N 1 2 314.426 1.803 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@]3(CCOC3)OC(C)(C)C2)C1=O ZINC000840059520 701944262 /nfs/dbraw/zinc/94/42/62/701944262.db2.gz GRHGIOYQSPJZOS-INIZCTEOSA-N 1 2 309.410 1.137 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@]3(CCOC3)OC(C)(C)C2)C1=O ZINC000840059520 701944269 /nfs/dbraw/zinc/94/42/69/701944269.db2.gz GRHGIOYQSPJZOS-INIZCTEOSA-N 1 2 309.410 1.137 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@H](C)O[C@]3(CCO[C@H]3C)C2)C1=O ZINC000840066208 701948684 /nfs/dbraw/zinc/94/86/84/701948684.db2.gz VCRQEOPTJWLEPT-OFQRWUPVSA-N 1 2 309.410 1.136 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@H](C)O[C@]3(CCO[C@H]3C)C2)C1=O ZINC000840066208 701948689 /nfs/dbraw/zinc/94/86/89/701948689.db2.gz VCRQEOPTJWLEPT-OFQRWUPVSA-N 1 2 309.410 1.136 20 30 DDEDLO Cc1nnc(-c2cccc(C#N)c2)n1C[N@@H+]1CCO[C@@H](CF)C1 ZINC000840172733 702009656 /nfs/dbraw/zinc/00/96/56/702009656.db2.gz SLLFGZQJXNQTKZ-HNNXBMFYSA-N 1 2 315.352 1.753 20 30 DDEDLO Cc1nnc(-c2cccc(C#N)c2)n1C[N@H+]1CCO[C@@H](CF)C1 ZINC000840172733 702009664 /nfs/dbraw/zinc/00/96/64/702009664.db2.gz SLLFGZQJXNQTKZ-HNNXBMFYSA-N 1 2 315.352 1.753 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(Nc2cc(C#N)ncn2)CC1 ZINC000866169899 706639353 /nfs/dbraw/zinc/63/93/53/706639353.db2.gz XGTBDINHKCNVEG-UHFFFAOYSA-N 1 2 317.393 1.566 20 30 DDEDLO Cc1cccc(C[N@@H+]2CCO[C@@H](CNc3ccc(C#N)nn3)C2)c1 ZINC000866190523 706644510 /nfs/dbraw/zinc/64/45/10/706644510.db2.gz LQUHMZQOMWGMOW-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1cccc(C[N@H+]2CCO[C@@H](CNc3ccc(C#N)nn3)C2)c1 ZINC000866190523 706644514 /nfs/dbraw/zinc/64/45/14/706644514.db2.gz LQUHMZQOMWGMOW-KRWDZBQOSA-N 1 2 323.400 1.970 20 30 DDEDLO C#C[C@H](C)NC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000868513569 702170696 /nfs/dbraw/zinc/17/06/96/702170696.db2.gz HFIAUAMBTCUHPM-LBPRGKRZSA-N 1 2 309.410 1.113 20 30 DDEDLO C[C@@H]([NH2+]C[C@H]1COC2(CCOCC2)O1)c1cccc(C#N)c1O ZINC000866326920 706674310 /nfs/dbraw/zinc/67/43/10/706674310.db2.gz OSDIXZYLZWUPJZ-OCCSQVGLSA-N 1 2 318.373 1.837 20 30 DDEDLO CC(C)(C)N1CC[C@@H](O[NH+]=C(N)c2ccc3c(c2)CCO3)C1=O ZINC000818079985 702573133 /nfs/dbraw/zinc/57/31/33/702573133.db2.gz LIHKSCBZHCVQPH-CQSZACIVSA-N 1 2 317.389 1.658 20 30 DDEDLO C[C@H]([NH2+]C[C@@]1(OCCO)CCOC1)c1cccc(C#N)c1O ZINC000866389152 706687886 /nfs/dbraw/zinc/68/78/86/706687886.db2.gz QFZDRVDTXWFIAV-LRDDRELGSA-N 1 2 306.362 1.082 20 30 DDEDLO CC(C)OCCCNC(=O)[C@@H](C#N)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845444398 703157703 /nfs/dbraw/zinc/15/77/03/703157703.db2.gz SYJXVXIYWAVEBL-KGLIPLIRSA-N 1 2 320.393 1.474 20 30 DDEDLO C#C[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000847559060 703436337 /nfs/dbraw/zinc/43/63/37/703436337.db2.gz DQPRFOWHZVJQIG-CQSZACIVSA-N 1 2 322.368 1.683 20 30 DDEDLO CCN(C(C)=O)c1nc(C=NNCCCn2cc[nH+]c2)cs1 ZINC000848418318 703548186 /nfs/dbraw/zinc/54/81/86/703548186.db2.gz IHFHZGMIXNNRJQ-UHFFFAOYSA-N 1 2 320.422 1.726 20 30 DDEDLO CC(=NNCCCn1cc[nH+]c1)c1ccc2c(c1)NC(=O)CO2 ZINC000848417459 703548237 /nfs/dbraw/zinc/54/82/37/703548237.db2.gz ADHMHSFJXQNZSN-UHFFFAOYSA-N 1 2 313.361 1.618 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](C)NC(=O)OC(C)(C)C)nn1 ZINC000849147466 703620918 /nfs/dbraw/zinc/62/09/18/703620918.db2.gz OQOZFXNFJSDSRF-LBPRGKRZSA-N 1 2 307.398 1.304 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000849227808 703626395 /nfs/dbraw/zinc/62/63/95/703626395.db2.gz SBCNUPVDPHUISS-ZDUSSCGKSA-N 1 2 320.393 1.258 20 30 DDEDLO CC1(C)OC[C@H](CC[N@H+](CCC#N)CCN2CCOCC2)O1 ZINC000851798897 703859620 /nfs/dbraw/zinc/85/96/20/703859620.db2.gz UHWDLWRRCJVCGZ-HNNXBMFYSA-N 1 2 311.426 1.076 20 30 DDEDLO CC1(C)OC[C@H](CC[N@@H+](CCC#N)CCN2CCOCC2)O1 ZINC000851798897 703859622 /nfs/dbraw/zinc/85/96/22/703859622.db2.gz UHWDLWRRCJVCGZ-HNNXBMFYSA-N 1 2 311.426 1.076 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+]([C@@H]2C[C@@H]3CCCC[C@@H]3NC2=O)CC1 ZINC000852136429 703940101 /nfs/dbraw/zinc/94/01/01/703940101.db2.gz LNIVICZRSJUMMT-MELADBBJSA-N 1 2 319.405 1.212 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000852503202 704064482 /nfs/dbraw/zinc/06/44/82/704064482.db2.gz LKWYOSSABAEJME-SHUKQUCYSA-N 1 2 302.374 1.721 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000852503202 704064485 /nfs/dbraw/zinc/06/44/85/704064485.db2.gz LKWYOSSABAEJME-SHUKQUCYSA-N 1 2 302.374 1.721 20 30 DDEDLO CSC[C@H](O)C[NH2+][C@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000819230269 704091199 /nfs/dbraw/zinc/09/11/99/704091199.db2.gz UDXJTDNFCQDIQH-UTUOFQBUSA-N 1 2 315.439 1.059 20 30 DDEDLO Cc1[nH+]cc(C=NNS(=O)(=O)CCc2ccccc2)n1C ZINC000853313166 704232504 /nfs/dbraw/zinc/23/25/04/704232504.db2.gz KLCHIKNGXNODDQ-UHFFFAOYSA-N 1 2 306.391 1.225 20 30 DDEDLO C#C[C@@H](C)NC(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000820436778 704279355 /nfs/dbraw/zinc/27/93/55/704279355.db2.gz ZUJJUHIVEAOHIF-CQSZACIVSA-N 1 2 301.390 1.544 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)C[C@](C)(CC(C)C)C1=O ZINC000853620795 704287389 /nfs/dbraw/zinc/28/73/89/704287389.db2.gz FATQLTFFFPWWNT-KRWDZBQOSA-N 1 2 308.422 1.727 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)C[C@](C)(CC(C)C)C1=O ZINC000853620795 704287392 /nfs/dbraw/zinc/28/73/92/704287392.db2.gz FATQLTFFFPWWNT-KRWDZBQOSA-N 1 2 308.422 1.727 20 30 DDEDLO NC(=[NH+]OC[C@H]1COCCO1)c1ccc(Br)cc1 ZINC000853685118 704299121 /nfs/dbraw/zinc/29/91/21/704299121.db2.gz PXCOFHNSHNBGTH-LLVKDONJSA-N 1 2 315.167 1.501 20 30 DDEDLO C[C@@H](CNC(=O)c1cc(C#N)ccc1F)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000855048606 704467414 /nfs/dbraw/zinc/46/74/14/704467414.db2.gz CCYVMMBWRXNQGL-RWMBFGLXSA-N 1 2 319.380 1.925 20 30 DDEDLO C[C@@H](CNC(=O)c1cc(C#N)ccc1F)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000855048606 704467415 /nfs/dbraw/zinc/46/74/15/704467415.db2.gz CCYVMMBWRXNQGL-RWMBFGLXSA-N 1 2 319.380 1.925 20 30 DDEDLO Cc1ccc(C[N@@H+]2CC[C@@H]3CS(=O)(=O)C[C@@H]3C2)cc1C#N ZINC000879936852 706825402 /nfs/dbraw/zinc/82/54/02/706825402.db2.gz LLIOHUAQJGGAJU-ZBFHGGJFSA-N 1 2 304.415 1.733 20 30 DDEDLO Cc1ccc(C[N@H+]2CC[C@@H]3CS(=O)(=O)C[C@@H]3C2)cc1C#N ZINC000879936852 706825404 /nfs/dbraw/zinc/82/54/04/706825404.db2.gz LLIOHUAQJGGAJU-ZBFHGGJFSA-N 1 2 304.415 1.733 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)C(C)(C)c1ccc(C#N)cc1 ZINC000858500649 704719010 /nfs/dbraw/zinc/71/90/10/704719010.db2.gz LPZIQJZDYGUQJO-CQSZACIVSA-N 1 2 315.417 1.673 20 30 DDEDLO O=S(=O)(NC1CC1)c1ccc(NNC=C2CC2(F)F)[nH+]c1 ZINC000834939698 707150301 /nfs/dbraw/zinc/15/03/01/707150301.db2.gz NMFIZIJUZKNTIO-MRVPVSSYSA-N 1 2 316.333 1.575 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)NCC1CCC(C#N)CC1 ZINC000875557371 705428524 /nfs/dbraw/zinc/42/85/24/705428524.db2.gz CSJXXYOWONVQQJ-GPANFISMSA-N 1 2 322.453 1.726 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)NCC1CCC(C#N)CC1 ZINC000875557371 705428529 /nfs/dbraw/zinc/42/85/29/705428529.db2.gz CSJXXYOWONVQQJ-GPANFISMSA-N 1 2 322.453 1.726 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000875924147 705561559 /nfs/dbraw/zinc/56/15/59/705561559.db2.gz OHDKVOUTAQVSSZ-RBSFLKMASA-N 1 2 304.394 1.514 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)o1 ZINC000825099715 705600245 /nfs/dbraw/zinc/60/02/45/705600245.db2.gz FDJXZVSZHWBYSW-UHFFFAOYSA-N 1 2 310.357 1.547 20 30 DDEDLO Cc1[nH]c(CN[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]2C#N)[nH+]c1C ZINC000862324127 705696339 /nfs/dbraw/zinc/69/63/39/705696339.db2.gz DAZBAUHNDBMCKW-OLZOCXBDSA-N 1 2 319.409 1.875 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)NCC[N@H+]2CCOCC2(C)C)C1 ZINC000826045931 705763422 /nfs/dbraw/zinc/76/34/22/705763422.db2.gz DDRNHJAZVNVYOH-OAHLLOKOSA-N 1 2 307.438 1.542 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)NCC[N@@H+]2CCOCC2(C)C)C1 ZINC000826045931 705763423 /nfs/dbraw/zinc/76/34/23/705763423.db2.gz DDRNHJAZVNVYOH-OAHLLOKOSA-N 1 2 307.438 1.542 20 30 DDEDLO C#CC[C@@H]1CCCN(c2cc(N3CC[C@@H]([C@@H](C)O)C3)nc[nH+]2)C1 ZINC000826584445 705819544 /nfs/dbraw/zinc/81/95/44/705819544.db2.gz GOZYVOPTAMMTTL-BZUAXINKSA-N 1 2 314.433 1.923 20 30 DDEDLO C#CC[C@@H]1CCCN(c2cc(N3CC[C@@H]([C@@H](C)O)C3)[nH+]cn2)C1 ZINC000826584445 705819545 /nfs/dbraw/zinc/81/95/45/705819545.db2.gz GOZYVOPTAMMTTL-BZUAXINKSA-N 1 2 314.433 1.923 20 30 DDEDLO CN(C)c1cc(F)c(C=[NH+]N[C@@H]2CCS(=O)(=O)C2)cc1F ZINC000863062927 705858196 /nfs/dbraw/zinc/85/81/96/705858196.db2.gz JUVYLVIHRIDZNX-SNVBAGLBSA-N 1 2 317.361 1.141 20 30 DDEDLO N#Cc1ccc(Cn2cc(NC(=O)[C@@H]3CSC[NH2+]3)cn2)cc1 ZINC000877216745 706020978 /nfs/dbraw/zinc/02/09/78/706020978.db2.gz YGOAWJNXKIYXPI-AWEZNQCLSA-N 1 2 313.386 1.404 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2N(C)C)C[C@H](C)N1CCO ZINC000877568579 706145474 /nfs/dbraw/zinc/14/54/74/706145474.db2.gz RSHMQHSWGKPSFF-GASCZTMLSA-N 1 2 316.449 1.511 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cc(C#N)ccc2N(C)C)C[C@H](C)N1CCO ZINC000877568579 706145477 /nfs/dbraw/zinc/14/54/77/706145477.db2.gz RSHMQHSWGKPSFF-GASCZTMLSA-N 1 2 316.449 1.511 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)SCC(=O)N2 ZINC000829028990 706276930 /nfs/dbraw/zinc/27/69/30/706276930.db2.gz VVVJONPWVYUVNS-ZDUSSCGKSA-N 1 2 315.398 1.767 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)SCC(=O)N2 ZINC000829028990 706276933 /nfs/dbraw/zinc/27/69/33/706276933.db2.gz VVVJONPWVYUVNS-ZDUSSCGKSA-N 1 2 315.398 1.767 20 30 DDEDLO N#C[C@@]1(C(=O)NCCCCNc2cccc[nH+]2)CCCOC1 ZINC000865175815 706371017 /nfs/dbraw/zinc/37/10/17/706371017.db2.gz KDLCBJZGDGYYDW-INIZCTEOSA-N 1 2 302.378 1.710 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@@H]2CC3(CCC3)CO2)n1 ZINC000878526680 706416939 /nfs/dbraw/zinc/41/69/39/706416939.db2.gz WTUOCVRBUNEEDI-KRWDZBQOSA-N 1 2 317.433 1.924 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@@H]2CC3(CCC3)CO2)n1 ZINC000878526680 706416941 /nfs/dbraw/zinc/41/69/41/706416941.db2.gz WTUOCVRBUNEEDI-KRWDZBQOSA-N 1 2 317.433 1.924 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@H](C)[N@@H+]2Cc2ccc(C#N)o2)O1 ZINC000878566344 706429217 /nfs/dbraw/zinc/42/92/17/706429217.db2.gz YUTAMDCKAROZEO-QOWREQOWSA-N 1 2 304.346 1.835 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@H](C)[N@H+]2Cc2ccc(C#N)o2)O1 ZINC000878566344 706429220 /nfs/dbraw/zinc/42/92/20/706429220.db2.gz YUTAMDCKAROZEO-QOWREQOWSA-N 1 2 304.346 1.835 20 30 DDEDLO C#CC1CC[NH+](CCS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000830351330 706501241 /nfs/dbraw/zinc/50/12/41/706501241.db2.gz PRDIBQJURXOBLI-UHFFFAOYSA-N 1 2 303.387 1.072 20 30 DDEDLO Cc1cc(F)c(C#N)cc1NC(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000880994365 707104746 /nfs/dbraw/zinc/10/47/46/707104746.db2.gz KDAOUPKLRLAHRF-LBPRGKRZSA-N 1 2 320.368 1.848 20 30 DDEDLO O=C1Nc2cc(O)c(F)cc2C1=NNC1=[NH+][C@H]2CCCC[C@@H]2N1 ZINC000834966583 707153451 /nfs/dbraw/zinc/15/34/51/707153451.db2.gz QCBBYSYKIQKBPD-UWVGGRQHSA-N 1 2 317.324 1.048 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccc(Cl)nc2)nn1 ZINC000881385905 707226580 /nfs/dbraw/zinc/22/65/80/707226580.db2.gz ARSRJHGKTMNSNH-UHFFFAOYSA-N 1 2 318.768 1.078 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)c2cccc(Cl)c2)nn1 ZINC000881416349 707248325 /nfs/dbraw/zinc/24/83/25/707248325.db2.gz ZJFHQOZTSWEEEC-HNNXBMFYSA-N 1 2 304.781 1.778 20 30 DDEDLO Cc1ccc(NC[C@@]2([NH+]3CCOCC3)CCSC2)c(C#N)n1 ZINC000881837901 707387679 /nfs/dbraw/zinc/38/76/79/707387679.db2.gz YVUZTBTXTBUNRP-INIZCTEOSA-N 1 2 318.446 1.882 20 30 DDEDLO CC[C@@H](O)CNN=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872374733 707394247 /nfs/dbraw/zinc/39/42/47/707394247.db2.gz HZCCDSVWNREIDK-MRXNPFEDSA-N 1 2 321.421 1.092 20 30 DDEDLO CCN1c2ccc(C)cc2C(=NNc2cc(C)[nH+]c(N)n2)C1=O ZINC000872419939 707404101 /nfs/dbraw/zinc/40/41/01/707404101.db2.gz BNKONMUHFJTEPO-UHFFFAOYSA-N 1 2 310.361 1.858 20 30 DDEDLO C#CCNC(=O)c1ccccc1NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000836492323 707472691 /nfs/dbraw/zinc/47/26/91/707472691.db2.gz FVIINPZIQJDPJX-ZDUSSCGKSA-N 1 2 322.368 1.447 20 30 DDEDLO CCc1nnc(NCC[N@H+]2CCOC[C@H]2CC)c(C#N)c1CC ZINC000872772714 707593957 /nfs/dbraw/zinc/59/39/57/707593957.db2.gz ZOPXTRQVSKNDRK-CYBMUJFWSA-N 1 2 317.437 1.996 20 30 DDEDLO CCc1nnc(NCC[N@@H+]2CCOC[C@H]2CC)c(C#N)c1CC ZINC000872772714 707593961 /nfs/dbraw/zinc/59/39/61/707593961.db2.gz ZOPXTRQVSKNDRK-CYBMUJFWSA-N 1 2 317.437 1.996 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H]1c1cc(C)no1 ZINC000884006771 708099712 /nfs/dbraw/zinc/09/97/12/708099712.db2.gz BMZIOHUWDYGVIJ-NWDGAFQWSA-N 1 2 307.350 1.093 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCSc1ccccc1 ZINC000884059172 708121175 /nfs/dbraw/zinc/12/11/75/708121175.db2.gz IWIMJZGROUBEAI-ZDUSSCGKSA-N 1 2 308.403 1.342 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(CC=C)Cc1ccccc1F ZINC000884099941 708138570 /nfs/dbraw/zinc/13/85/70/708138570.db2.gz DSRCIBIKJWYLDI-HNNXBMFYSA-N 1 2 320.364 1.787 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1CCc2cc(F)ccc21 ZINC000884100429 708138688 /nfs/dbraw/zinc/13/86/88/708138688.db2.gz UPXMPRVXJXFQRI-KBPBESRZSA-N 1 2 306.337 1.376 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCc2c1cccc2OC ZINC000884123269 708149511 /nfs/dbraw/zinc/14/95/11/708149511.db2.gz NMFJAIQWDOSYFU-UONOGXRCSA-N 1 2 318.373 1.245 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H]1C[C@H](OCC)C12CCC2 ZINC000884328082 708247193 /nfs/dbraw/zinc/24/71/93/708247193.db2.gz IUQABHYPHKYUQZ-IHRRRGAJSA-N 1 2 324.421 1.239 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H](c2ccccc2)C1 ZINC000884393374 708277852 /nfs/dbraw/zinc/27/78/52/708277852.db2.gz BAOXKRNYAUIWCG-CVEARBPZSA-N 1 2 316.401 1.839 20 30 DDEDLO C=CCC1(O)CC[NH+](CN2C(=O)N[C@@](CC)(C(C)C)C2=O)CC1 ZINC000884479020 708311825 /nfs/dbraw/zinc/31/18/25/708311825.db2.gz SVPUDPIJGTYFID-KRWDZBQOSA-N 1 2 323.437 1.704 20 30 DDEDLO N#Cc1c(F)cccc1C[NH2+]CCS(=O)(=O)N1CCCC1 ZINC000897830259 708464093 /nfs/dbraw/zinc/46/40/93/708464093.db2.gz PWXXSHWMPKBRKI-UHFFFAOYSA-N 1 2 311.382 1.213 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)/C=C/c2ccccc2F)C1 ZINC000885509260 708562339 /nfs/dbraw/zinc/56/23/39/708562339.db2.gz RBIFUVKXOVDMOT-RZXPCSSPSA-N 1 2 322.405 1.813 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)/C=C/c2ccccc2F)C1 ZINC000885509260 708562341 /nfs/dbraw/zinc/56/23/41/708562341.db2.gz RBIFUVKXOVDMOT-RZXPCSSPSA-N 1 2 322.405 1.813 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccccc2SC)C1 ZINC000885511119 708562545 /nfs/dbraw/zinc/56/25/45/708562545.db2.gz CJYIIEBTEVNXAD-CYBMUJFWSA-N 1 2 324.471 1.784 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccccc2SC)C1 ZINC000885511119 708562547 /nfs/dbraw/zinc/56/25/47/708562547.db2.gz CJYIIEBTEVNXAD-CYBMUJFWSA-N 1 2 324.471 1.784 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C=C)cc2)C1 ZINC000885509273 708562628 /nfs/dbraw/zinc/56/26/28/708562628.db2.gz RIHGMJAGBMRJGL-OAHLLOKOSA-N 1 2 304.415 1.706 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(C=C)cc2)C1 ZINC000885509273 708562629 /nfs/dbraw/zinc/56/26/29/708562629.db2.gz RIHGMJAGBMRJGL-OAHLLOKOSA-N 1 2 304.415 1.706 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)CCc2ccccc2)C1 ZINC000885512425 708563114 /nfs/dbraw/zinc/56/31/14/708563114.db2.gz VYVZLYZRHKSVMJ-INIZCTEOSA-N 1 2 306.431 1.246 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)CCc2ccccc2)C1 ZINC000885512425 708563116 /nfs/dbraw/zinc/56/31/16/708563116.db2.gz VYVZLYZRHKSVMJ-INIZCTEOSA-N 1 2 306.431 1.246 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cc(C)ccc2C)C1 ZINC000885514071 708563604 /nfs/dbraw/zinc/56/36/04/708563604.db2.gz OTRBKMAQKZVKIR-HNNXBMFYSA-N 1 2 306.431 1.679 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cc(C)ccc2C)C1 ZINC000885514071 708563605 /nfs/dbraw/zinc/56/36/05/708563605.db2.gz OTRBKMAQKZVKIR-HNNXBMFYSA-N 1 2 306.431 1.679 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2cc(F)ccc2C)C1 ZINC000885513763 708563610 /nfs/dbraw/zinc/56/36/10/708563610.db2.gz FFZQSKYYYIHIMP-CQSZACIVSA-N 1 2 310.394 1.510 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2cc(F)ccc2C)C1 ZINC000885513763 708563611 /nfs/dbraw/zinc/56/36/11/708563611.db2.gz FFZQSKYYYIHIMP-CQSZACIVSA-N 1 2 310.394 1.510 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CO)c1csc(C(C)C)n1 ZINC000898366197 708624291 /nfs/dbraw/zinc/62/42/91/708624291.db2.gz RVSSLYCEXXDKAG-JSGCOSHPSA-N 1 2 321.446 1.514 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CO)c1csc(C(C)C)n1 ZINC000898366197 708624292 /nfs/dbraw/zinc/62/42/92/708624292.db2.gz RVSSLYCEXXDKAG-JSGCOSHPSA-N 1 2 321.446 1.514 20 30 DDEDLO Cc1nsc(N2CC[NH+](C[C@@](C)(O)C(F)F)CC2)c1C#N ZINC000886210953 708715361 /nfs/dbraw/zinc/71/53/61/708715361.db2.gz FYJPHINBBGJADX-CYBMUJFWSA-N 1 2 316.377 1.461 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@]1(CO)CNC(=O)OC(C)(C)C ZINC000886292688 708736569 /nfs/dbraw/zinc/73/65/69/708736569.db2.gz VGLTYGHBDVNIGK-INIZCTEOSA-N 1 2 314.426 1.541 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@]1(CO)CNC(=O)OC(C)(C)C ZINC000886292688 708736570 /nfs/dbraw/zinc/73/65/70/708736570.db2.gz VGLTYGHBDVNIGK-INIZCTEOSA-N 1 2 314.426 1.541 20 30 DDEDLO COc1cc2c(cc1O)CC[N@@H+]([C@H](C)C(=O)N(C)CCC#N)C2 ZINC000887104157 708955728 /nfs/dbraw/zinc/95/57/28/708955728.db2.gz ZMIAMALYBWFUFZ-GFCCVEGCSA-N 1 2 317.389 1.519 20 30 DDEDLO COc1cc2c(cc1O)CC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C2 ZINC000887104157 708955731 /nfs/dbraw/zinc/95/57/31/708955731.db2.gz ZMIAMALYBWFUFZ-GFCCVEGCSA-N 1 2 317.389 1.519 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1Cc2cc(C(=O)OCC)nn2C[C@@H]1C ZINC000887118005 708961204 /nfs/dbraw/zinc/96/12/04/708961204.db2.gz FXBDJIGCFVTNPK-GXTWGEPZSA-N 1 2 307.394 1.591 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1Cc2cc(C(=O)OCC)nn2C[C@@H]1C ZINC000887118005 708961205 /nfs/dbraw/zinc/96/12/05/708961205.db2.gz FXBDJIGCFVTNPK-GXTWGEPZSA-N 1 2 307.394 1.591 20 30 DDEDLO CC[C@@H](O)[C@@H](C)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000899967500 709252768 /nfs/dbraw/zinc/25/27/68/709252768.db2.gz MWJHJDVMXHHJNH-RHSMWYFYSA-N 1 2 315.417 1.609 20 30 DDEDLO N#Cc1ccc(CN2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)cc1 ZINC000899967885 709252875 /nfs/dbraw/zinc/25/28/75/709252875.db2.gz ZHFNDKUHNHMISA-UHFFFAOYSA-N 1 2 309.373 1.168 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(C#N)cn1 ZINC000889242190 709466750 /nfs/dbraw/zinc/46/67/50/709466750.db2.gz KXRKCXNOBBXYIR-CYBMUJFWSA-N 1 2 303.366 1.186 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(C#N)cn1 ZINC000889242190 709466751 /nfs/dbraw/zinc/46/67/51/709466751.db2.gz KXRKCXNOBBXYIR-CYBMUJFWSA-N 1 2 303.366 1.186 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)/C=C(\C)C[NH+]1CCOCC1 ZINC000900438895 709595447 /nfs/dbraw/zinc/59/54/47/709595447.db2.gz IZZWWSDUCRCRPO-UKTHLTGXSA-N 1 2 315.373 1.784 20 30 DDEDLO C=CC(C)(C)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000891266485 710145441 /nfs/dbraw/zinc/14/54/41/710145441.db2.gz OHUNEXISCVXSEL-CYBMUJFWSA-N 1 2 306.410 1.564 20 30 DDEDLO C=CC(C)(C)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000891266485 710145443 /nfs/dbraw/zinc/14/54/43/710145443.db2.gz OHUNEXISCVXSEL-CYBMUJFWSA-N 1 2 306.410 1.564 20 30 DDEDLO C=CC[N@H+](C[C@@H]1C[C@]1(C)Br)[C@H]1CCS(=O)(=O)C1 ZINC000891769174 710290399 /nfs/dbraw/zinc/29/03/99/710290399.db2.gz HGGCSPAYNHELKU-SRVKXCTJSA-N 1 2 322.268 1.835 20 30 DDEDLO C=CC[N@@H+](C[C@@H]1C[C@]1(C)Br)[C@H]1CCS(=O)(=O)C1 ZINC000891769174 710290398 /nfs/dbraw/zinc/29/03/98/710290398.db2.gz HGGCSPAYNHELKU-SRVKXCTJSA-N 1 2 322.268 1.835 20 30 DDEDLO Cc1cc(F)c(C#N)c(N2CC[NH+](CC[S@](C)=O)CC2)c1 ZINC000892658576 710477355 /nfs/dbraw/zinc/47/73/55/710477355.db2.gz VWWPUDCONCNDFF-NRFANRHFSA-N 1 2 309.410 1.506 20 30 DDEDLO N#Cc1cccc(N2CC[NH+](Cc3cnc4n3CCOC4)CC2)n1 ZINC000893598694 710735338 /nfs/dbraw/zinc/73/53/38/710735338.db2.gz VNICMULRZGAIPY-UHFFFAOYSA-N 1 2 324.388 1.002 20 30 DDEDLO N#CC1(c2ccc(C(=O)N3CC[NH2+][C@H](c4cn[nH]c4)C3)cc2)CC1 ZINC000913449921 713220782 /nfs/dbraw/zinc/22/07/82/713220782.db2.gz SIYOGPWRYSSULG-INIZCTEOSA-N 1 2 321.384 1.752 20 30 DDEDLO C[N@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000903206732 711064660 /nfs/dbraw/zinc/06/46/60/711064660.db2.gz KNZIMYWPSQVPJN-TXEJJXNPSA-N 1 2 309.366 1.164 20 30 DDEDLO C[N@@H+]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000903206732 711064662 /nfs/dbraw/zinc/06/46/62/711064662.db2.gz KNZIMYWPSQVPJN-TXEJJXNPSA-N 1 2 309.366 1.164 20 30 DDEDLO C[C@@H](CNC(=O)c1ccsc1C#N)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000912048392 711144040 /nfs/dbraw/zinc/14/40/40/711144040.db2.gz PTAOHLIXYUIQFC-SDDRHHMPSA-N 1 2 307.419 1.847 20 30 DDEDLO C[C@@H](CNC(=O)c1ccsc1C#N)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000912048392 711144045 /nfs/dbraw/zinc/14/40/45/711144045.db2.gz PTAOHLIXYUIQFC-SDDRHHMPSA-N 1 2 307.419 1.847 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)/C=C/c1ccccc1C#N ZINC000928643556 713247535 /nfs/dbraw/zinc/24/75/35/713247535.db2.gz IWXGQSFEXYYHKI-OZSKJFCKSA-N 1 2 313.401 1.798 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)c(O)c1 ZINC000928643575 713247652 /nfs/dbraw/zinc/24/76/52/713247652.db2.gz FFTNOFFHQSEWHM-CQSZACIVSA-N 1 2 303.362 1.104 20 30 DDEDLO N#Cc1c(F)cccc1C[NH2+][C@@H]1C(=O)NCC12CCOCC2 ZINC000895769135 711600965 /nfs/dbraw/zinc/60/09/65/711600965.db2.gz NIJYEADVSJOKLZ-CQSZACIVSA-N 1 2 303.337 1.082 20 30 DDEDLO C=CCCCCNC(=O)c1cccn1CC[NH+]1CCOCC1 ZINC000907447887 712574440 /nfs/dbraw/zinc/57/44/40/712574440.db2.gz ZCDRVBOPMMBWOM-UHFFFAOYSA-N 1 2 305.422 1.906 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)[C@@H](C)N1CC[NH+](C)CC1 ZINC000908648441 712828532 /nfs/dbraw/zinc/82/85/32/712828532.db2.gz UGXKWLBNYBGPCT-HUUCEWRRSA-N 1 2 307.438 1.149 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966042948 717902978 /nfs/dbraw/zinc/90/29/78/717902978.db2.gz BDZCTIKYUXDMHE-SMDDNHRTSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966042948 717902983 /nfs/dbraw/zinc/90/29/83/717902983.db2.gz BDZCTIKYUXDMHE-SMDDNHRTSA-N 1 2 310.829 1.542 20 30 DDEDLO COC(=O)/C=C(\C)C[NH+]1CCN(c2ccc(C#N)cn2)CC1 ZINC000929327897 713590160 /nfs/dbraw/zinc/59/01/60/713590160.db2.gz OVSXQXOKECOWNI-UKTHLTGXSA-N 1 2 300.362 1.195 20 30 DDEDLO C[N@H+](Cc1cncc(C#N)c1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000929628580 713654623 /nfs/dbraw/zinc/65/46/23/713654623.db2.gz GIKQPNCIXOMZAY-GFCCVEGCSA-N 1 2 312.295 1.548 20 30 DDEDLO C[N@@H+](Cc1cncc(C#N)c1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000929628580 713654624 /nfs/dbraw/zinc/65/46/24/713654624.db2.gz GIKQPNCIXOMZAY-GFCCVEGCSA-N 1 2 312.295 1.548 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(Cc2cc(Cl)cc(C#N)c2)CC1 ZINC000929804688 713693348 /nfs/dbraw/zinc/69/33/48/713693348.db2.gz NOLDADDORSZPNO-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO COc1ccc(NC(=O)[C@H]2CCC[N@@H+]2C[C@H](O)CC#N)cc1 ZINC000929876566 713711452 /nfs/dbraw/zinc/71/14/52/713711452.db2.gz CREXOVLYJZKJQS-UKRRQHHQSA-N 1 2 303.362 1.373 20 30 DDEDLO COc1ccc(NC(=O)[C@H]2CCC[N@H+]2C[C@H](O)CC#N)cc1 ZINC000929876566 713711454 /nfs/dbraw/zinc/71/14/54/713711454.db2.gz CREXOVLYJZKJQS-UKRRQHHQSA-N 1 2 303.362 1.373 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](C[C@H](O)C3(O)CCC3)CCO2)c1 ZINC000930135115 713764500 /nfs/dbraw/zinc/76/45/00/713764500.db2.gz LBRRBHZUFWFTLH-CVEARBPZSA-N 1 2 302.374 1.207 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](C[C@H](O)C3(O)CCC3)CCO2)c1 ZINC000930135115 713764501 /nfs/dbraw/zinc/76/45/01/713764501.db2.gz LBRRBHZUFWFTLH-CVEARBPZSA-N 1 2 302.374 1.207 20 30 DDEDLO C[C@@H]1C(=O)N[C@@H](C)[C@H](C)[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000930830647 713931002 /nfs/dbraw/zinc/93/10/02/713931002.db2.gz AZWKUSUHGRYQMJ-GARJFASQSA-N 1 2 320.418 1.546 20 30 DDEDLO C[C@@H]1C(=O)N[C@@H](C)[C@H](C)[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000930830647 713931005 /nfs/dbraw/zinc/93/10/05/713931005.db2.gz AZWKUSUHGRYQMJ-GARJFASQSA-N 1 2 320.418 1.546 20 30 DDEDLO C#CC1(O)CC[NH+](Cc2nnc(-c3cc(C)oc3C)o2)CC1 ZINC000931141779 714013579 /nfs/dbraw/zinc/01/35/79/714013579.db2.gz HQUGTNJGNRCJLD-UHFFFAOYSA-N 1 2 301.346 1.907 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CCCC[C@H]2CS(N)(=O)=O)c1 ZINC000931225538 714041285 /nfs/dbraw/zinc/04/12/85/714041285.db2.gz JQJJWNUMYDCELO-ZDUSSCGKSA-N 1 2 311.382 1.340 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CCCC[C@H]2CS(N)(=O)=O)c1 ZINC000931225538 714041288 /nfs/dbraw/zinc/04/12/88/714041288.db2.gz JQJJWNUMYDCELO-ZDUSSCGKSA-N 1 2 311.382 1.340 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C2(CCCC)CC2)CC1 ZINC000932037669 714243631 /nfs/dbraw/zinc/24/36/31/714243631.db2.gz ASSCKYPPCWZOOS-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(CCCC)CC2)CC1 ZINC000932037669 714243633 /nfs/dbraw/zinc/24/36/33/714243633.db2.gz ASSCKYPPCWZOOS-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@@H+]2CCO[C@H](C)C2)ncc1C#N ZINC000932155763 714273189 /nfs/dbraw/zinc/27/31/89/714273189.db2.gz NMMQWBXBCYLROG-GFCCVEGCSA-N 1 2 303.366 1.104 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@H+]2CCO[C@H](C)C2)ncc1C#N ZINC000932155763 714273192 /nfs/dbraw/zinc/27/31/92/714273192.db2.gz NMMQWBXBCYLROG-GFCCVEGCSA-N 1 2 303.366 1.104 20 30 DDEDLO Cn1nccc1[C@@H]1CCC[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000932268800 714296125 /nfs/dbraw/zinc/29/61/25/714296125.db2.gz DQQOLZZNMRUWEK-HNNXBMFYSA-N 1 2 314.393 1.213 20 30 DDEDLO Cn1nccc1[C@@H]1CCC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000932268800 714296128 /nfs/dbraw/zinc/29/61/28/714296128.db2.gz DQQOLZZNMRUWEK-HNNXBMFYSA-N 1 2 314.393 1.213 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CC[C@@H](c2ncc(C(=O)OC)s2)C1 ZINC000932671143 714383495 /nfs/dbraw/zinc/38/34/95/714383495.db2.gz MTGMDAAQYVGKCR-GHMZBOCLSA-N 1 2 324.402 1.837 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CC[C@@H](c2ncc(C(=O)OC)s2)C1 ZINC000932671143 714383498 /nfs/dbraw/zinc/38/34/98/714383498.db2.gz MTGMDAAQYVGKCR-GHMZBOCLSA-N 1 2 324.402 1.837 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ccc([S@](C)=O)cc2)C1 ZINC000923588708 714419305 /nfs/dbraw/zinc/41/93/05/714419305.db2.gz HVKRHGXQBPELRE-QKKBWIMNSA-N 1 2 304.415 1.251 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc([S@](C)=O)cc2)C1 ZINC000923588708 714419307 /nfs/dbraw/zinc/41/93/07/714419307.db2.gz HVKRHGXQBPELRE-QKKBWIMNSA-N 1 2 304.415 1.251 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@H+]1CC[C@@H](CS(N)(=O)=O)C1 ZINC000932948844 714442657 /nfs/dbraw/zinc/44/26/57/714442657.db2.gz JWWSQRBOCJQEHI-SNVBAGLBSA-N 1 2 313.810 1.322 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@@H+]1CC[C@@H](CS(N)(=O)=O)C1 ZINC000932948844 714442658 /nfs/dbraw/zinc/44/26/58/714442658.db2.gz JWWSQRBOCJQEHI-SNVBAGLBSA-N 1 2 313.810 1.322 20 30 DDEDLO COC(=O)C1([C@@]2(O)CCC[N@H+](C[C@@H](C#N)CCC#N)C2)CCC1 ZINC000933842871 714682767 /nfs/dbraw/zinc/68/27/67/714682767.db2.gz SVLTVPFXXPIKPZ-RHSMWYFYSA-N 1 2 319.405 1.600 20 30 DDEDLO COC(=O)C1([C@@]2(O)CCC[N@@H+](C[C@@H](C#N)CCC#N)C2)CCC1 ZINC000933842871 714682770 /nfs/dbraw/zinc/68/27/70/714682770.db2.gz SVLTVPFXXPIKPZ-RHSMWYFYSA-N 1 2 319.405 1.600 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCC[C@H]2C2OCCO2)cc1 ZINC000933910087 714699987 /nfs/dbraw/zinc/69/99/87/714699987.db2.gz RSUQXCXODSZYME-HNNXBMFYSA-N 1 2 315.373 1.012 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCC[C@H]2C2OCCO2)cc1 ZINC000933910087 714699989 /nfs/dbraw/zinc/69/99/89/714699989.db2.gz RSUQXCXODSZYME-HNNXBMFYSA-N 1 2 315.373 1.012 20 30 DDEDLO C#C[C@@H]1CCCC[N@H+]1CC(=O)NC1(C(=O)OC)CCCCC1 ZINC000934513440 714839983 /nfs/dbraw/zinc/83/99/83/714839983.db2.gz DYXPBGLECMABSH-CQSZACIVSA-N 1 2 306.406 1.466 20 30 DDEDLO C#C[C@@H]1CCCC[N@@H+]1CC(=O)NC1(C(=O)OC)CCCCC1 ZINC000934513440 714839984 /nfs/dbraw/zinc/83/99/84/714839984.db2.gz DYXPBGLECMABSH-CQSZACIVSA-N 1 2 306.406 1.466 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@H+]2CCOC[C@H]2CC)cc1 ZINC000934918480 714929451 /nfs/dbraw/zinc/92/94/51/714929451.db2.gz PCIXHAZPKYOUHQ-MRXNPFEDSA-N 1 2 301.390 1.900 20 30 DDEDLO C#Cc1ccc(NC(=O)NCC[N@@H+]2CCOC[C@H]2CC)cc1 ZINC000934918480 714929453 /nfs/dbraw/zinc/92/94/53/714929453.db2.gz PCIXHAZPKYOUHQ-MRXNPFEDSA-N 1 2 301.390 1.900 20 30 DDEDLO C#Cc1ccc(NC(=O)N(C)[C@H](C)C[NH+]2CCOCC2)cc1 ZINC000934917596 714929516 /nfs/dbraw/zinc/92/95/16/714929516.db2.gz DWZXGYCUYUTFER-CQSZACIVSA-N 1 2 301.390 1.852 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)C2CCN(C(C)=O)CC2)C1 ZINC000957367694 715863687 /nfs/dbraw/zinc/86/36/87/715863687.db2.gz YFVLRWORMAGCSS-UHFFFAOYSA-N 1 2 307.438 1.354 20 30 DDEDLO Cc1noc([C@H](C)[N@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960617874 716633768 /nfs/dbraw/zinc/63/37/68/716633768.db2.gz OBDDQVUWDIAGRX-WFASDCNBSA-N 1 2 316.405 1.775 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+](C)C[C@@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC000960617874 716633769 /nfs/dbraw/zinc/63/37/69/716633769.db2.gz OBDDQVUWDIAGRX-WFASDCNBSA-N 1 2 316.405 1.775 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cnnn1C ZINC000960826136 716705308 /nfs/dbraw/zinc/70/53/08/716705308.db2.gz JYNZVNXKZITNAN-PBHICJAKSA-N 1 2 319.453 1.840 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cnnn1C ZINC000960826136 716705313 /nfs/dbraw/zinc/70/53/13/716705313.db2.gz JYNZVNXKZITNAN-PBHICJAKSA-N 1 2 319.453 1.840 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)CCC3CCCC3)CC2)C1 ZINC000941293621 717148573 /nfs/dbraw/zinc/14/85/73/717148573.db2.gz WSGIWRGBESKCGE-UHFFFAOYSA-N 1 2 317.477 1.809 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3ccc(C)o3)CC2)C1 ZINC000941297651 717149265 /nfs/dbraw/zinc/14/92/65/717149265.db2.gz MJXYQIZMQIVOJW-UHFFFAOYSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@]3(C)CC=CCC3)CC2)C1 ZINC000941419848 717173853 /nfs/dbraw/zinc/17/38/53/717173853.db2.gz HOODEYYSKRPVPC-SFHVURJKSA-N 1 2 301.434 1.194 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3coc(C)n3)C[C@H]21 ZINC000961812317 717216016 /nfs/dbraw/zinc/21/60/16/717216016.db2.gz GKJZETKRIFKBQM-OWYFMNJBSA-N 1 2 317.389 1.121 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3coc(C)n3)C[C@H]21 ZINC000961812317 717216021 /nfs/dbraw/zinc/21/60/21/717216021.db2.gz GKJZETKRIFKBQM-OWYFMNJBSA-N 1 2 317.389 1.121 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H](C)C3CCCC3)CC2)C1 ZINC000941636097 717238822 /nfs/dbraw/zinc/23/88/22/717238822.db2.gz AIKZWFHIYPOSHC-MRXNPFEDSA-N 1 2 317.477 1.664 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4coc(C)n4)C[C@H]32)cn1 ZINC000962326548 717407306 /nfs/dbraw/zinc/40/73/06/717407306.db2.gz OQKVERPDXCTHCS-FVQHAEBGSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4coc(C)n4)C[C@H]32)cn1 ZINC000962326548 717407307 /nfs/dbraw/zinc/40/73/07/717407307.db2.gz OQKVERPDXCTHCS-FVQHAEBGSA-N 1 2 322.368 1.220 20 30 DDEDLO C[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1ccn[nH]1 ZINC000965322602 717618607 /nfs/dbraw/zinc/61/86/07/717618607.db2.gz HSORWABQYFYRPA-GUYCJALGSA-N 1 2 323.400 1.922 20 30 DDEDLO C[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@@H]1NC(=O)c1ccn[nH]1 ZINC000965322602 717618610 /nfs/dbraw/zinc/61/86/10/717618610.db2.gz HSORWABQYFYRPA-GUYCJALGSA-N 1 2 323.400 1.922 20 30 DDEDLO N#CCN1CCC[C@H]1[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC000963341536 717750391 /nfs/dbraw/zinc/75/03/91/717750391.db2.gz YISLQLXSJDLQML-CVEARBPZSA-N 1 2 315.421 1.500 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3ncnn3C)C[C@@H]2C)C1 ZINC000942602849 717772824 /nfs/dbraw/zinc/77/28/24/717772824.db2.gz OGDLJCMYOIDAQJ-UONOGXRCSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3ncnn3C)C[C@@H]2C)C1 ZINC000942602849 717772826 /nfs/dbraw/zinc/77/28/26/717772826.db2.gz OGDLJCMYOIDAQJ-UONOGXRCSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cncnc2)C1 ZINC000965963349 717835789 /nfs/dbraw/zinc/83/57/89/717835789.db2.gz IDWHGOOXAGJYKV-BXUZGUMPSA-N 1 2 308.813 1.598 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cncnc2)C1 ZINC000965963349 717835793 /nfs/dbraw/zinc/83/57/93/717835793.db2.gz IDWHGOOXAGJYKV-BXUZGUMPSA-N 1 2 308.813 1.598 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CN(C(=O)Cc3[nH]cc[nH+]3)C[C@@H]2C)C1 ZINC000966746071 718647774 /nfs/dbraw/zinc/64/77/74/718647774.db2.gz ISFCIYSMNMDMTN-STQMWFEESA-N 1 2 316.405 1.272 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N(C)[C@H]2CCCN(CC#N)CC2)c[nH+]1 ZINC000948474591 719518527 /nfs/dbraw/zinc/51/85/27/719518527.db2.gz WTTRKFMCGYCDCG-HNNXBMFYSA-N 1 2 317.437 1.763 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC000968347012 719522907 /nfs/dbraw/zinc/52/29/07/719522907.db2.gz QMBMATNODTWHMU-JOYOIKCWSA-N 1 2 314.349 1.143 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2c3c(nn2C)CCCC3)CC1 ZINC000948555638 719545723 /nfs/dbraw/zinc/54/57/23/719545723.db2.gz DFXSEIOPWGKNKU-UHFFFAOYSA-N 1 2 302.422 1.633 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2C[N@@H+](CCF)CC[C@@H]2C)nn1 ZINC000968409750 719566949 /nfs/dbraw/zinc/56/69/49/719566949.db2.gz PTKHIXITGUPWJP-QWHCGFSZSA-N 1 2 309.389 1.264 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@H]2C[N@H+](CCF)CC[C@@H]2C)nn1 ZINC000968409750 719566951 /nfs/dbraw/zinc/56/69/51/719566951.db2.gz PTKHIXITGUPWJP-QWHCGFSZSA-N 1 2 309.389 1.264 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC000968410927 719568254 /nfs/dbraw/zinc/56/82/54/719568254.db2.gz SMMOZIMFKFQGAR-JOYOIKCWSA-N 1 2 314.349 1.143 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1ncn[nH]1 ZINC000968439562 719588730 /nfs/dbraw/zinc/58/87/30/719588730.db2.gz HVUCFYGDQRXGOQ-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C[C@@H]1NC(=O)c1ncn[nH]1 ZINC000968439562 719588732 /nfs/dbraw/zinc/58/87/32/719588732.db2.gz HVUCFYGDQRXGOQ-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC000968481176 719611415 /nfs/dbraw/zinc/61/14/15/719611415.db2.gz ZBIGBOQDVAWVDB-CMPLNLGQSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC000968481176 719611419 /nfs/dbraw/zinc/61/14/19/719611419.db2.gz ZBIGBOQDVAWVDB-CMPLNLGQSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC000968593649 719682842 /nfs/dbraw/zinc/68/28/42/719682842.db2.gz YWIAYGAZZJDGMH-GXFFZTMASA-N 1 2 321.812 1.855 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC000968593649 719682844 /nfs/dbraw/zinc/68/28/44/719682844.db2.gz YWIAYGAZZJDGMH-GXFFZTMASA-N 1 2 321.812 1.855 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968636201 719706233 /nfs/dbraw/zinc/70/62/33/719706233.db2.gz XMQRSTMZRFHIEL-JSGCOSHPSA-N 1 2 323.824 1.427 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968636201 719706236 /nfs/dbraw/zinc/70/62/36/719706236.db2.gz XMQRSTMZRFHIEL-JSGCOSHPSA-N 1 2 323.824 1.427 20 30 DDEDLO C=C(Cl)CN1CCN(C(=O)[C@@H]2CCn3cc[nH+]c3C2)CC1 ZINC000948949660 719798398 /nfs/dbraw/zinc/79/83/98/719798398.db2.gz VBASUJNVUDPBGN-CYBMUJFWSA-N 1 2 308.813 1.342 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2ccc(N(C)C)cc2)CC1 ZINC000949166438 719939241 /nfs/dbraw/zinc/93/92/41/719939241.db2.gz DYZBJOHDHDDKTE-UHFFFAOYSA-N 1 2 313.445 1.853 20 30 DDEDLO C=CC[N@@H+]1CCOC2(CCN(C(=O)Cn3cncc3C)CC2)C1 ZINC000949415072 720072229 /nfs/dbraw/zinc/07/22/29/720072229.db2.gz OAVMSFCSMKWUKU-UHFFFAOYSA-N 1 2 318.421 1.071 20 30 DDEDLO C=CC[N@H+]1CCOC2(CCN(C(=O)Cn3cncc3C)CC2)C1 ZINC000949415072 720072235 /nfs/dbraw/zinc/07/22/35/720072235.db2.gz OAVMSFCSMKWUKU-UHFFFAOYSA-N 1 2 318.421 1.071 20 30 DDEDLO C=CCN1CCOC2(CCN(C(=O)Cn3c[nH+]cc3C)CC2)C1 ZINC000949415072 720072240 /nfs/dbraw/zinc/07/22/40/720072240.db2.gz OAVMSFCSMKWUKU-UHFFFAOYSA-N 1 2 318.421 1.071 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cccc3cccnc32)CC1 ZINC000949558469 720163603 /nfs/dbraw/zinc/16/36/03/720163603.db2.gz QVOONFLRJPISRH-UHFFFAOYSA-N 1 2 307.397 1.945 20 30 DDEDLO C=C1CCC(C(=O)N2CCN(Cc3c[nH+]cn3C)CC2)CC1 ZINC000950018879 720454502 /nfs/dbraw/zinc/45/45/02/720454502.db2.gz UNONWLRANAGLOE-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCCN(C(=O)CC)C2)C1 ZINC000950410743 720621119 /nfs/dbraw/zinc/62/11/19/720621119.db2.gz QVKPKZCKWOCBDU-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(C)nc2C2CC2)C1 ZINC000950433257 720630291 /nfs/dbraw/zinc/63/02/91/720630291.db2.gz WMIMVBPSQHIEFI-UHFFFAOYSA-N 1 2 300.406 1.467 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cc(C3CC3)n(C)n2)C1 ZINC000950473963 720649468 /nfs/dbraw/zinc/64/94/68/720649468.db2.gz LNMMVBRISUCCOX-UHFFFAOYSA-N 1 2 300.406 1.467 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@H](C)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970238613 720674130 /nfs/dbraw/zinc/67/41/30/720674130.db2.gz CMKHUKSWTVWKIC-GFCCVEGCSA-N 1 2 323.400 1.840 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnc3cnccc3c2)C1 ZINC000950890548 720814759 /nfs/dbraw/zinc/81/47/59/720814759.db2.gz KVWKHUKSWSHMLT-UHFFFAOYSA-N 1 2 308.385 1.799 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCc3cnn(C)c3C2)C1 ZINC000951372081 721006814 /nfs/dbraw/zinc/00/68/14/721006814.db2.gz OKUNIWSBNAOJOX-AWEZNQCLSA-N 1 2 316.449 1.634 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccnn2C2CCOCC2)CC1 ZINC000951604404 721093412 /nfs/dbraw/zinc/09/34/12/721093412.db2.gz NINBTIMKKJDVKZ-UHFFFAOYSA-N 1 2 304.394 1.178 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)sc1C ZINC000971212423 721181191 /nfs/dbraw/zinc/18/11/91/721181191.db2.gz YQFYUYFOIXHWRM-ZWNOBZJWSA-N 1 2 306.435 1.952 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)sc1C ZINC000971212423 721181193 /nfs/dbraw/zinc/18/11/93/721181193.db2.gz YQFYUYFOIXHWRM-ZWNOBZJWSA-N 1 2 306.435 1.952 20 30 DDEDLO C=CC[NH+]1CCN(c2ncc([N+](=O)[O-])cc2C(=O)OC)CC1 ZINC001165033389 721864155 /nfs/dbraw/zinc/86/41/55/721864155.db2.gz MIQVSMTVSCFOJS-UHFFFAOYSA-N 1 2 306.322 1.084 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccsc3)[C@H]2C1 ZINC001083190371 732476652 /nfs/dbraw/zinc/47/66/52/732476652.db2.gz JGLLFZLJOOCLDX-CWRNSKLLSA-N 1 2 318.442 1.787 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccsc3)[C@H]2C1 ZINC001083190371 732476655 /nfs/dbraw/zinc/47/66/55/732476655.db2.gz JGLLFZLJOOCLDX-CWRNSKLLSA-N 1 2 318.442 1.787 20 30 DDEDLO CC(C)C[C@H](C(=O)NCC1CC(NCC#N)C1)n1cc[nH+]c1 ZINC001100427839 732794281 /nfs/dbraw/zinc/79/42/81/732794281.db2.gz KHPIZCJEZSTCAP-YMAMQOFZSA-N 1 2 303.410 1.478 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccon1 ZINC001027888417 738776544 /nfs/dbraw/zinc/77/65/44/738776544.db2.gz OLJJQXLLBQNRDR-XHSDSOJGSA-N 1 2 319.405 1.736 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]1Cc1ccon1 ZINC001027888417 738776549 /nfs/dbraw/zinc/77/65/49/738776549.db2.gz OLJJQXLLBQNRDR-XHSDSOJGSA-N 1 2 319.405 1.736 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@H]2CCc3[nH+]ccn3C2)C[C@H]1CCNCC#N ZINC001104365666 734374645 /nfs/dbraw/zinc/37/46/45/734374645.db2.gz UMUZBYNNMZVGMC-KFWWJZLASA-N 1 2 315.421 1.043 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C)n1 ZINC001039471055 734566064 /nfs/dbraw/zinc/56/60/64/734566064.db2.gz FIGBMJPLOUJAHQ-OLZOCXBDSA-N 1 2 308.813 1.852 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C)n1 ZINC001039471055 734566066 /nfs/dbraw/zinc/56/60/66/734566066.db2.gz FIGBMJPLOUJAHQ-OLZOCXBDSA-N 1 2 308.813 1.852 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc4[nH]cnc43)[C@H]2C1 ZINC001083231289 734569006 /nfs/dbraw/zinc/56/90/06/734569006.db2.gz RSHVQLNHRNQZBA-JKSUJKDBSA-N 1 2 324.384 1.111 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc4[nH]cnc43)[C@H]2C1 ZINC001083231289 734569007 /nfs/dbraw/zinc/56/90/07/734569007.db2.gz RSHVQLNHRNQZBA-JKSUJKDBSA-N 1 2 324.384 1.111 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4c(c3)CCC=C4)[C@H]2C1 ZINC001083249027 734809992 /nfs/dbraw/zinc/80/99/92/734809992.db2.gz JCSPBSCLSMJXPE-RBUKOAKNSA-N 1 2 322.408 1.804 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4c(c3)CCC=C4)[C@H]2C1 ZINC001083249027 734809995 /nfs/dbraw/zinc/80/99/95/734809995.db2.gz JCSPBSCLSMJXPE-RBUKOAKNSA-N 1 2 322.408 1.804 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3C[C@H]3OC(C)(C)C)n2C)CC1 ZINC001121310662 782452372 /nfs/dbraw/zinc/45/23/72/782452372.db2.gz SHKBDTFCDVDGDY-UONOGXRCSA-N 1 2 317.437 1.241 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCCNC1=O ZINC001024491868 735869188 /nfs/dbraw/zinc/86/91/88/735869188.db2.gz HCCZHUAGFVXJLZ-OLZOCXBDSA-N 1 2 313.829 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCCNC1=O ZINC001024491868 735869193 /nfs/dbraw/zinc/86/91/93/735869193.db2.gz HCCZHUAGFVXJLZ-OLZOCXBDSA-N 1 2 313.829 1.236 20 30 DDEDLO C#CCN1CCCC[C@H]1CNC(=O)c1ccn(-c2cc[nH+]cc2)n1 ZINC001024548337 735926321 /nfs/dbraw/zinc/92/63/21/735926321.db2.gz YBQYCLRUZRMCAD-INIZCTEOSA-N 1 2 323.400 1.485 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001024598791 735969694 /nfs/dbraw/zinc/96/96/94/735969694.db2.gz GNUOYLVIOFFUEM-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001024598791 735969696 /nfs/dbraw/zinc/96/96/96/735969696.db2.gz GNUOYLVIOFFUEM-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001024796781 736097901 /nfs/dbraw/zinc/09/79/01/736097901.db2.gz HFLQLQRQVYPBBE-LBPRGKRZSA-N 1 2 324.812 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001024796781 736097904 /nfs/dbraw/zinc/09/79/04/736097904.db2.gz HFLQLQRQVYPBBE-LBPRGKRZSA-N 1 2 324.812 1.450 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)CCC(C)(C)C)C2)nn1 ZINC001105347900 738932786 /nfs/dbraw/zinc/93/27/86/738932786.db2.gz QRIRAUGYQOMBSQ-UHFFFAOYSA-N 1 2 317.437 1.601 20 30 DDEDLO CCc1nnc(C[NH2+]C[C@H]2CCCCN2C(=O)C#CC2CC2)o1 ZINC001024959659 736184625 /nfs/dbraw/zinc/18/46/25/736184625.db2.gz FOADKTJWWKOQEJ-CQSZACIVSA-N 1 2 316.405 1.516 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@H]2CCCN(C(=O)CSCC#N)C2)n1 ZINC001207429154 736374125 /nfs/dbraw/zinc/37/41/25/736374125.db2.gz AQNQKXWQXLXGIU-JQWIXIFHSA-N 1 2 323.422 1.276 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3ccc(C)s3)[C@H]2C1 ZINC001083283667 736765815 /nfs/dbraw/zinc/76/58/15/736765815.db2.gz NBNXUAGLNOWTMM-LSDHHAIUSA-N 1 2 304.415 1.144 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3ccc(C)s3)[C@H]2C1 ZINC001083283667 736765816 /nfs/dbraw/zinc/76/58/16/736765816.db2.gz NBNXUAGLNOWTMM-LSDHHAIUSA-N 1 2 304.415 1.144 20 30 DDEDLO Cc1nc(NC[C@H](CC(C)C)NC(=O)CSCC#N)cc[nH+]1 ZINC001104897242 737351508 /nfs/dbraw/zinc/35/15/08/737351508.db2.gz GCZJHBCIVOIUFK-ZDUSSCGKSA-N 1 2 321.450 1.985 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3ccccc3CC)C2)nn1 ZINC001105315518 737827234 /nfs/dbraw/zinc/82/72/34/737827234.db2.gz RCDOMDRUWMZJGW-UHFFFAOYSA-N 1 2 323.400 1.260 20 30 DDEDLO Cc1nc(N2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)c(C)c(C)[nH+]1 ZINC001058734290 737897315 /nfs/dbraw/zinc/89/73/15/737897315.db2.gz LEVPUXVBXSTBID-KMUNFCNLSA-N 1 2 313.405 1.741 20 30 DDEDLO N#Cc1cnc(N[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)c(F)c1 ZINC001058919496 739168684 /nfs/dbraw/zinc/16/86/84/739168684.db2.gz RTFXQCMBZPFEEC-LBPRGKRZSA-N 1 2 314.324 1.002 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H]1CNC(=O)c1cccnn1 ZINC001028217199 739181438 /nfs/dbraw/zinc/18/14/38/739181438.db2.gz PMUZDFLTBVDBHN-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H]1CNC(=O)c1cccnn1 ZINC001028217199 739181440 /nfs/dbraw/zinc/18/14/40/739181440.db2.gz PMUZDFLTBVDBHN-INIZCTEOSA-N 1 2 321.384 1.743 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001075621232 739232233 /nfs/dbraw/zinc/23/22/33/739232233.db2.gz STJMUDZQWNJWMA-KWCYVHTRSA-N 1 2 314.389 1.744 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001075621232 739232237 /nfs/dbraw/zinc/23/22/37/739232237.db2.gz STJMUDZQWNJWMA-KWCYVHTRSA-N 1 2 314.389 1.744 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001035369564 751433081 /nfs/dbraw/zinc/43/30/81/751433081.db2.gz GBIDLAPMJAVNSD-CYBMUJFWSA-N 1 2 321.421 1.979 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001035369564 751433085 /nfs/dbraw/zinc/43/30/85/751433085.db2.gz GBIDLAPMJAVNSD-CYBMUJFWSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)(C)C)c2C)C1 ZINC001035390025 751459950 /nfs/dbraw/zinc/45/99/50/751459950.db2.gz UYURFPOAYBQUOE-AWEZNQCLSA-N 1 2 320.437 1.563 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)(C)C)c2C)C1 ZINC001035390025 751459957 /nfs/dbraw/zinc/45/99/57/751459957.db2.gz UYURFPOAYBQUOE-AWEZNQCLSA-N 1 2 320.437 1.563 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cn(CC)nc2C2CC2)C1 ZINC001035384357 751453961 /nfs/dbraw/zinc/45/39/61/751453961.db2.gz FVWLZZZAFZFOAH-CQSZACIVSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cn(CC)nc2C2CC2)C1 ZINC001035384357 751453965 /nfs/dbraw/zinc/45/39/65/751453965.db2.gz FVWLZZZAFZFOAH-CQSZACIVSA-N 1 2 318.421 1.397 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001035388370 751457453 /nfs/dbraw/zinc/45/74/53/751457453.db2.gz FHACISRRPDQQDE-AWEZNQCLSA-N 1 2 318.421 1.092 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccn(C(C)(C)C)n2)C1 ZINC001035388370 751457461 /nfs/dbraw/zinc/45/74/61/751457461.db2.gz FHACISRRPDQQDE-AWEZNQCLSA-N 1 2 318.421 1.092 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCN(C(=O)CCn3cc[nH+]c3)C2)n1 ZINC001059016591 739632018 /nfs/dbraw/zinc/63/20/18/739632018.db2.gz KJOZBJWXLIDGQB-HNNXBMFYSA-N 1 2 324.388 1.561 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)c3cccc(C)c3C)C2)nn1 ZINC001105370204 739641092 /nfs/dbraw/zinc/64/10/92/739641092.db2.gz YIUHHANRIUJOMV-UHFFFAOYSA-N 1 2 323.400 1.315 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001035419073 751477199 /nfs/dbraw/zinc/47/71/99/751477199.db2.gz MYOKXIZFQUDSDS-AWEZNQCLSA-N 1 2 306.410 1.255 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001035419073 751477202 /nfs/dbraw/zinc/47/72/02/751477202.db2.gz MYOKXIZFQUDSDS-AWEZNQCLSA-N 1 2 306.410 1.255 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3oc(=O)[nH]c3c2)C1 ZINC001035413297 751494574 /nfs/dbraw/zinc/49/45/74/751494574.db2.gz ZMXUYGYLDUHEFP-GFCCVEGCSA-N 1 2 317.345 1.150 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3oc(=O)[nH]c3c2)C1 ZINC001035413297 751494580 /nfs/dbraw/zinc/49/45/80/751494580.db2.gz ZMXUYGYLDUHEFP-GFCCVEGCSA-N 1 2 317.345 1.150 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc3cnccc3o2)C1 ZINC001035431493 751508501 /nfs/dbraw/zinc/50/85/01/751508501.db2.gz HTSSVUDRKOQCGB-CQSZACIVSA-N 1 2 313.357 1.282 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc3cnccc3o2)C1 ZINC001035431493 751508511 /nfs/dbraw/zinc/50/85/11/751508511.db2.gz HTSSVUDRKOQCGB-CQSZACIVSA-N 1 2 313.357 1.282 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(C)(C)C)on2)C1 ZINC001035443234 751519235 /nfs/dbraw/zinc/51/92/35/751519235.db2.gz YGMVXDSUQZXYOY-CYBMUJFWSA-N 1 2 319.405 1.426 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C(C)(C)C)on2)C1 ZINC001035443234 751519239 /nfs/dbraw/zinc/51/92/39/751519239.db2.gz YGMVXDSUQZXYOY-CYBMUJFWSA-N 1 2 319.405 1.426 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114899469 751536863 /nfs/dbraw/zinc/53/68/63/751536863.db2.gz SKERQMCBLOVONL-FOLVSLTJSA-N 1 2 314.433 1.934 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114899469 751536865 /nfs/dbraw/zinc/53/68/65/751536865.db2.gz SKERQMCBLOVONL-FOLVSLTJSA-N 1 2 314.433 1.934 20 30 DDEDLO O=C([C@@H]1CCOC1)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#Cc1ccccc1 ZINC001029237267 740477921 /nfs/dbraw/zinc/47/79/21/740477921.db2.gz ZIFALPOOOCAQAX-QRVBRYPASA-N 1 2 324.424 1.750 20 30 DDEDLO O=C([C@@H]1CCOC1)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#Cc1ccccc1 ZINC001029237267 740477924 /nfs/dbraw/zinc/47/79/24/740477924.db2.gz ZIFALPOOOCAQAX-QRVBRYPASA-N 1 2 324.424 1.750 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC001035464328 751549416 /nfs/dbraw/zinc/54/94/16/751549416.db2.gz UUTOIAAMXPISBC-AWEZNQCLSA-N 1 2 306.410 1.369 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC001035464328 751549418 /nfs/dbraw/zinc/54/94/18/751549418.db2.gz UUTOIAAMXPISBC-AWEZNQCLSA-N 1 2 306.410 1.369 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2OCCc3ccccc32)C1 ZINC001035467384 751552717 /nfs/dbraw/zinc/55/27/17/751552717.db2.gz RDWQIOIFAPERKF-WBVHZDCISA-N 1 2 316.401 1.303 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2OCCc3ccccc32)C1 ZINC001035467384 751552721 /nfs/dbraw/zinc/55/27/21/751552721.db2.gz RDWQIOIFAPERKF-WBVHZDCISA-N 1 2 316.401 1.303 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1ncccn1 ZINC001087545655 740625167 /nfs/dbraw/zinc/62/51/67/740625167.db2.gz VYFWZZYHYBGGIF-DZGCQCFKSA-N 1 2 324.388 1.459 20 30 DDEDLO CC[C@@H]1[C@@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1ncccn1 ZINC001087545655 740625169 /nfs/dbraw/zinc/62/51/69/740625169.db2.gz VYFWZZYHYBGGIF-DZGCQCFKSA-N 1 2 324.388 1.459 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(OC)ncn2)[C@H]1CC ZINC001087573768 740659898 /nfs/dbraw/zinc/65/98/98/740659898.db2.gz DBJYCDAXHXYUTR-WCQYABFASA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(OC)ncn2)[C@H]1CC ZINC001087573768 740659900 /nfs/dbraw/zinc/65/99/00/740659900.db2.gz DBJYCDAXHXYUTR-WCQYABFASA-N 1 2 324.812 1.820 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CC(=O)N(C)C)C1 ZINC001029465121 740869088 /nfs/dbraw/zinc/86/90/88/740869088.db2.gz NRYQPFIAPVOQAE-OKILXGFUSA-N 1 2 305.422 1.106 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CC(=O)N(C)C)C1 ZINC001029465121 740869090 /nfs/dbraw/zinc/86/90/90/740869090.db2.gz NRYQPFIAPVOQAE-OKILXGFUSA-N 1 2 305.422 1.106 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cccc4nn[nH]c43)[C@@H]2C1 ZINC001075828204 740896701 /nfs/dbraw/zinc/89/67/01/740896701.db2.gz DWFZTRZXULSPBZ-SWLSCSKDSA-N 1 2 309.373 1.128 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cccc4nn[nH]c43)[C@@H]2C1 ZINC001075828204 740896705 /nfs/dbraw/zinc/89/67/05/740896705.db2.gz DWFZTRZXULSPBZ-SWLSCSKDSA-N 1 2 309.373 1.128 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(F)(F)F)n[nH]2)C1 ZINC001035541738 751588346 /nfs/dbraw/zinc/58/83/46/751588346.db2.gz KOFIBXGYAFOQGZ-VIFPVBQESA-N 1 2 318.299 1.045 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(F)(F)F)n[nH]2)C1 ZINC001035541738 751588349 /nfs/dbraw/zinc/58/83/49/751588349.db2.gz KOFIBXGYAFOQGZ-VIFPVBQESA-N 1 2 318.299 1.045 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)nn(CC)c2C)C1 ZINC001035545165 751592855 /nfs/dbraw/zinc/59/28/55/751592855.db2.gz HFMJIMSDUVARBY-CQSZACIVSA-N 1 2 306.410 1.136 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c(C)nn(CC)c2C)C1 ZINC001035545165 751592861 /nfs/dbraw/zinc/59/28/61/751592861.db2.gz HFMJIMSDUVARBY-CQSZACIVSA-N 1 2 306.410 1.136 20 30 DDEDLO CCn1c(C)nnc1C[N@H+](C)C[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001029679004 741220157 /nfs/dbraw/zinc/22/01/57/741220157.db2.gz VTGUHONXVAITPU-JSGCOSHPSA-N 1 2 318.425 1.046 20 30 DDEDLO CCn1c(C)nnc1C[N@@H+](C)C[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001029679004 741220159 /nfs/dbraw/zinc/22/01/59/741220159.db2.gz VTGUHONXVAITPU-JSGCOSHPSA-N 1 2 318.425 1.046 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](C[N@@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029800643 741316064 /nfs/dbraw/zinc/31/60/64/741316064.db2.gz WKQQLYKTXZOKFM-CQSZACIVSA-N 1 2 319.453 1.996 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](C[N@H+](C)Cc2nnc(C)[nH]2)C1 ZINC001029800643 741316068 /nfs/dbraw/zinc/31/60/68/741316068.db2.gz WKQQLYKTXZOKFM-CQSZACIVSA-N 1 2 319.453 1.996 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc(OC)c2F)C1 ZINC001035554014 751635946 /nfs/dbraw/zinc/63/59/46/751635946.db2.gz MOGSHYHIHVRGBA-ZDUSSCGKSA-N 1 2 320.364 1.288 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccc(OC)c2F)C1 ZINC001035554014 751635949 /nfs/dbraw/zinc/63/59/49/751635949.db2.gz MOGSHYHIHVRGBA-ZDUSSCGKSA-N 1 2 320.364 1.288 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCCCC[C@@H](NCC#N)C1 ZINC001088395845 741459670 /nfs/dbraw/zinc/45/96/70/741459670.db2.gz RKDDRBCTEVVKCL-UONOGXRCSA-N 1 2 303.410 1.473 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCCCC[C@@H](NCC#N)C1 ZINC001088395845 741459671 /nfs/dbraw/zinc/45/96/71/741459671.db2.gz RKDDRBCTEVVKCL-UONOGXRCSA-N 1 2 303.410 1.473 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCOC2)[C@H]1C ZINC001088488064 741601206 /nfs/dbraw/zinc/60/12/06/741601206.db2.gz RKXKWGVGCNTKJI-WOPDTQHZSA-N 1 2 317.227 1.511 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCOC2)[C@H]1C ZINC001088488064 741601207 /nfs/dbraw/zinc/60/12/07/741601207.db2.gz RKXKWGVGCNTKJI-WOPDTQHZSA-N 1 2 317.227 1.511 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cccnc2N(C)C)C1 ZINC001035604486 751663235 /nfs/dbraw/zinc/66/32/35/751663235.db2.gz LQFNYFXWDSDJNC-CQSZACIVSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cccnc2N(C)C)C1 ZINC001035604486 751663236 /nfs/dbraw/zinc/66/32/36/751663236.db2.gz LQFNYFXWDSDJNC-CQSZACIVSA-N 1 2 318.421 1.154 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ncccc2Cl)C1 ZINC001035582231 751673874 /nfs/dbraw/zinc/67/38/74/751673874.db2.gz BQHMHEGBVQWACP-GFCCVEGCSA-N 1 2 307.781 1.189 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ncccc2Cl)C1 ZINC001035582231 751673875 /nfs/dbraw/zinc/67/38/75/751673875.db2.gz BQHMHEGBVQWACP-GFCCVEGCSA-N 1 2 307.781 1.189 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cnccn2)cc1 ZINC001038020809 751677827 /nfs/dbraw/zinc/67/78/27/751677827.db2.gz LAACHHZDDVMFKX-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cnccn2)cc1 ZINC001038020809 751677830 /nfs/dbraw/zinc/67/78/30/751677830.db2.gz LAACHHZDDVMFKX-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccn3nnnc23)[C@H]1C ZINC001088591773 741913884 /nfs/dbraw/zinc/91/38/84/741913884.db2.gz XUHVTUNWOAEGGG-PWSUYJOCSA-N 1 2 320.784 1.069 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccn3nnnc23)[C@H]1C ZINC001088591773 741913885 /nfs/dbraw/zinc/91/38/85/741913885.db2.gz XUHVTUNWOAEGGG-PWSUYJOCSA-N 1 2 320.784 1.069 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnc(C)cn2)C[C@H]1OC ZINC001212022257 741944604 /nfs/dbraw/zinc/94/46/04/741944604.db2.gz IUGRPVMIDNTDTL-FVQBIDKESA-N 1 2 318.421 1.628 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnc(C)cn2)C[C@H]1OC ZINC001212022257 741944606 /nfs/dbraw/zinc/94/46/06/741944606.db2.gz IUGRPVMIDNTDTL-FVQBIDKESA-N 1 2 318.421 1.628 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)c2cnccn2)c1 ZINC001038022885 751682710 /nfs/dbraw/zinc/68/27/10/751682710.db2.gz DGWOCMSPZVGQQY-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2cnccn2)c1 ZINC001038022885 751682712 /nfs/dbraw/zinc/68/27/12/751682712.db2.gz DGWOCMSPZVGQQY-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccc(C(N)=O)c2)[C@H]1C ZINC001088669157 742064389 /nfs/dbraw/zinc/06/43/89/742064389.db2.gz HFEMFCBPWUJUCG-RISCZKNCSA-N 1 2 321.808 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccc(C(N)=O)c2)[C@H]1C ZINC001088669157 742064392 /nfs/dbraw/zinc/06/43/92/742064392.db2.gz HFEMFCBPWUJUCG-RISCZKNCSA-N 1 2 321.808 1.731 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N(C)CCN(C)c1cc[nH+]c(C)n1 ZINC001105512696 742098585 /nfs/dbraw/zinc/09/85/85/742098585.db2.gz XKJHWFPQQNPTMD-QGZVFWFLSA-N 1 2 320.437 1.908 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2sccc2CC)C1 ZINC001035608849 751713677 /nfs/dbraw/zinc/71/36/77/751713677.db2.gz KXYQFJUHVSIZCC-CQSZACIVSA-N 1 2 306.431 1.764 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2sccc2CC)C1 ZINC001035608849 751713678 /nfs/dbraw/zinc/71/36/78/751713678.db2.gz KXYQFJUHVSIZCC-CQSZACIVSA-N 1 2 306.431 1.764 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@H+](Cc3ccc(C#N)c(F)c3)C[C@@H]2O)CC1 ZINC001083479564 742333021 /nfs/dbraw/zinc/33/30/21/742333021.db2.gz TYGPYTXLDDBOLE-CABCVRRESA-N 1 2 317.364 1.159 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccc(C#N)c(F)c3)C[C@@H]2O)CC1 ZINC001083479564 742333024 /nfs/dbraw/zinc/33/30/24/742333024.db2.gz TYGPYTXLDDBOLE-CABCVRRESA-N 1 2 317.364 1.159 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+]Cc1nc(CCC(C)C)no1 ZINC001126834385 742334199 /nfs/dbraw/zinc/33/41/99/742334199.db2.gz PTMMHGANSUIRQN-UHFFFAOYSA-N 1 2 306.410 1.523 20 30 DDEDLO CNC(=O)c1cc(C#N)cc(C)c1NC(=O)Cc1cc[nH+]c(N)c1 ZINC001181263374 743129748 /nfs/dbraw/zinc/12/97/48/743129748.db2.gz JUAIPDWFOYTMNL-UHFFFAOYSA-N 1 2 323.356 1.385 20 30 DDEDLO C=C[C@@H](O)C(=O)NCCc1cn(Cc2ccc(Cl)cc2)c[nH+]1 ZINC001181359830 743166360 /nfs/dbraw/zinc/16/63/60/743166360.db2.gz JMEVKCNNRLVSRT-OAHLLOKOSA-N 1 2 319.792 1.790 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c(C)oc3ccccc32)C1 ZINC001077050447 743198530 /nfs/dbraw/zinc/19/85/30/743198530.db2.gz NCOASYCNPGHVEH-HUUCEWRRSA-N 1 2 312.369 1.539 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c(C)oc3ccccc32)C1 ZINC001077050447 743198533 /nfs/dbraw/zinc/19/85/33/743198533.db2.gz NCOASYCNPGHVEH-HUUCEWRRSA-N 1 2 312.369 1.539 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(OC(C)C)nc2)C1 ZINC001042847165 743226132 /nfs/dbraw/zinc/22/61/32/743226132.db2.gz VMYSIMBPXRFILM-UHFFFAOYSA-N 1 2 301.390 1.648 20 30 DDEDLO C=CCOc1ccc(N[C@@H]2CCC[N@@H+](C3COC3)C2)nc1C#N ZINC001168369014 743445216 /nfs/dbraw/zinc/44/52/16/743445216.db2.gz WKARYDVIBOPYOT-CYBMUJFWSA-N 1 2 314.389 1.793 20 30 DDEDLO C=CCOc1ccc(N[C@@H]2CCC[N@H+](C3COC3)C2)nc1C#N ZINC001168369014 743445221 /nfs/dbraw/zinc/44/52/21/743445221.db2.gz WKARYDVIBOPYOT-CYBMUJFWSA-N 1 2 314.389 1.793 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CO[C@@H](C)CC)c2C1 ZINC001128306650 743529572 /nfs/dbraw/zinc/52/95/72/743529572.db2.gz MVTMVQNQAKZNEL-AWEZNQCLSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CO[C@@H](C)CC)c2C1 ZINC001128306650 743529574 /nfs/dbraw/zinc/52/95/74/743529574.db2.gz MVTMVQNQAKZNEL-AWEZNQCLSA-N 1 2 320.437 1.706 20 30 DDEDLO C#CCCCCC(=O)N1CCC(OC2C[NH+](CCOC)C2)CC1 ZINC001105703074 743590578 /nfs/dbraw/zinc/59/05/78/743590578.db2.gz NCMNQVANHYNDGV-UHFFFAOYSA-N 1 2 322.449 1.518 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(-c3ccco3)o2)[C@@H](O)C1 ZINC001083630447 743631185 /nfs/dbraw/zinc/63/11/85/743631185.db2.gz VHFPWHMZVKVBSI-OLZOCXBDSA-N 1 2 314.341 1.338 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(-c3ccco3)o2)[C@@H](O)C1 ZINC001083630447 743631188 /nfs/dbraw/zinc/63/11/88/743631188.db2.gz VHFPWHMZVKVBSI-OLZOCXBDSA-N 1 2 314.341 1.338 20 30 DDEDLO Cn1cc(Cl)c(C[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)n1 ZINC001182459098 743638677 /nfs/dbraw/zinc/63/86/77/743638677.db2.gz SJYDQDAUJIVLQO-GFCCVEGCSA-N 1 2 322.840 1.814 20 30 DDEDLO C=CCCC(=O)NCC[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001060232625 743701081 /nfs/dbraw/zinc/70/10/81/743701081.db2.gz DBLMJDLJRALEJO-CYBMUJFWSA-N 1 2 304.394 1.273 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNc1ccc([N+](=O)[O-])c(N)c1C#N ZINC001185254546 744190354 /nfs/dbraw/zinc/19/03/54/744190354.db2.gz WLJBNJFNNZVOHK-UHFFFAOYSA-N 1 2 319.365 1.571 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNc1ccc([N+](=O)[O-])c(N)c1C#N ZINC001185254546 744190356 /nfs/dbraw/zinc/19/03/56/744190356.db2.gz WLJBNJFNNZVOHK-UHFFFAOYSA-N 1 2 319.365 1.571 20 30 DDEDLO C[C@H]1CN(C(=O)CCCn2cc[nH+]c2)CC[C@@H]1CNCC#N ZINC001185790332 744286550 /nfs/dbraw/zinc/28/65/50/744286550.db2.gz ZPTJXXLJMYGXKN-LSDHHAIUSA-N 1 2 303.410 1.261 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001185907563 744306838 /nfs/dbraw/zinc/30/68/38/744306838.db2.gz NFEXMPMTDQMJMA-INIZCTEOSA-N 1 2 315.421 1.521 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001185955635 744313537 /nfs/dbraw/zinc/31/35/37/744313537.db2.gz VSFFLGFALKQNQQ-OAHLLOKOSA-N 1 2 323.416 1.857 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001185933215 744316494 /nfs/dbraw/zinc/31/64/94/744316494.db2.gz LVCVSFYQTUDYRG-HNNXBMFYSA-N 1 2 321.400 1.304 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@@H](C)C(C)C)C2)nn1 ZINC001186010553 744325985 /nfs/dbraw/zinc/32/59/85/744325985.db2.gz AJAQYALXCVNUQY-HOCLYGCPSA-N 1 2 317.437 1.457 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](C[C@H]3CC(C)(C)CO3)C2)cc1 ZINC001030777427 744612320 /nfs/dbraw/zinc/61/23/20/744612320.db2.gz XOXUEULXDSTTEF-QGZVFWFLSA-N 1 2 312.413 1.897 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188966770 744801375 /nfs/dbraw/zinc/80/13/75/744801375.db2.gz ZOWYYCKKDWNBQQ-CQSZACIVSA-N 1 2 321.450 1.192 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188966770 744801378 /nfs/dbraw/zinc/80/13/78/744801378.db2.gz ZOWYYCKKDWNBQQ-CQSZACIVSA-N 1 2 321.450 1.192 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)sc2C)C1 ZINC001189379313 744879604 /nfs/dbraw/zinc/87/96/04/744879604.db2.gz IHAPXRNJFQEELD-CQSZACIVSA-N 1 2 323.462 1.995 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)sc2C)C1 ZINC001189379313 744879607 /nfs/dbraw/zinc/87/96/07/744879607.db2.gz IHAPXRNJFQEELD-CQSZACIVSA-N 1 2 323.462 1.995 20 30 DDEDLO CC#CC[N@@H+]1CC[C@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@H]12 ZINC000992467713 744898201 /nfs/dbraw/zinc/89/82/01/744898201.db2.gz VMNLHYQLNBOMLU-FUHWJXTLSA-N 1 2 323.400 1.708 20 30 DDEDLO CC#CC[N@H+]1CC[C@]2(NC(=O)c3ccc4[nH]nnc4c3)CCC[C@H]12 ZINC000992467713 744898204 /nfs/dbraw/zinc/89/82/04/744898204.db2.gz VMNLHYQLNBOMLU-FUHWJXTLSA-N 1 2 323.400 1.708 20 30 DDEDLO C=C(C)CC[NH+]1CC(NC(=O)c2ccc(CNC(C)=O)s2)C1 ZINC001031015916 745087478 /nfs/dbraw/zinc/08/74/78/745087478.db2.gz SWLSGDROXRQONJ-UHFFFAOYSA-N 1 2 321.446 1.764 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cc(Br)cn2C)CC1 ZINC001190352523 745190446 /nfs/dbraw/zinc/19/04/46/745190446.db2.gz PCYIGWFKQXPBCS-UHFFFAOYSA-N 1 2 312.211 1.731 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001190441740 745221702 /nfs/dbraw/zinc/22/17/02/745221702.db2.gz ZNYFXAUPVMUEKV-QLFBSQMISA-N 1 2 319.405 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3ncc(C)o3)C[C@H]21 ZINC001190441740 745221706 /nfs/dbraw/zinc/22/17/06/745221706.db2.gz ZNYFXAUPVMUEKV-QLFBSQMISA-N 1 2 319.405 1.607 20 30 DDEDLO C[NH+]1CCC(C#N)(NS(=O)(=O)c2ccc(C3CC3)cc2)CC1 ZINC001190533847 745242371 /nfs/dbraw/zinc/24/23/71/745242371.db2.gz WDVNZWMLXQECAK-UHFFFAOYSA-N 1 2 319.430 1.830 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CCC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007026183 751989330 /nfs/dbraw/zinc/98/93/30/751989330.db2.gz BYZNVKMGVBPLAN-GXTWGEPZSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CCC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001007026183 751989332 /nfs/dbraw/zinc/98/93/32/751989332.db2.gz BYZNVKMGVBPLAN-GXTWGEPZSA-N 1 2 324.388 1.632 20 30 DDEDLO C=CCn1cc(C(=O)NC2C[NH+](Cc3ccccc3C)C2)nn1 ZINC001031069821 745298662 /nfs/dbraw/zinc/29/86/62/745298662.db2.gz BAPONDDTJVZEME-UHFFFAOYSA-N 1 2 311.389 1.387 20 30 DDEDLO Cc1ncc(C[N@@H+]2CCC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)cn1 ZINC001007027556 751989984 /nfs/dbraw/zinc/98/99/84/751989984.db2.gz FQZWLJLYDQHONC-OAHLLOKOSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1ncc(C[N@H+]2CCC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)cn1 ZINC001007027556 751989988 /nfs/dbraw/zinc/98/99/88/751989988.db2.gz FQZWLJLYDQHONC-OAHLLOKOSA-N 1 2 324.388 1.379 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc2c(c1)c(C)nn2C ZINC001038573243 745367061 /nfs/dbraw/zinc/36/70/61/745367061.db2.gz XGKFRODHYBDATE-CQSZACIVSA-N 1 2 311.389 1.104 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc2c(c1)c(C)nn2C ZINC001038573243 745367065 /nfs/dbraw/zinc/36/70/65/745367065.db2.gz XGKFRODHYBDATE-CQSZACIVSA-N 1 2 311.389 1.104 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@@H](CNCC#N)[C@H](C)C2)c[nH+]1 ZINC001106307909 745583651 /nfs/dbraw/zinc/58/36/51/745583651.db2.gz UDHIWJBYGFKQKD-TZMCWYRMSA-N 1 2 303.410 1.134 20 30 DDEDLO C[C@H](CCNc1ncccc1C#N)NC(=O)CCc1[nH]cc[nH+]1 ZINC001106309450 745591581 /nfs/dbraw/zinc/59/15/81/745591581.db2.gz HSKTVOSIXLYPTE-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccccc2Cl)C[C@H]1O ZINC001191854972 745617364 /nfs/dbraw/zinc/61/73/64/745617364.db2.gz TVHCDUTZCCWHGL-ZLKJLUDKSA-N 1 2 307.781 1.161 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccccc2Cl)C[C@H]1O ZINC001191854972 745617368 /nfs/dbraw/zinc/61/73/68/745617368.db2.gz TVHCDUTZCCWHGL-ZLKJLUDKSA-N 1 2 307.781 1.161 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)CCNc1[nH+]cnc2c1cnn2C ZINC001106532169 745772729 /nfs/dbraw/zinc/77/27/29/745772729.db2.gz ZEULTMLTAAVCLC-NSHDSACASA-N 1 2 316.409 1.882 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C2CN(C(C)=O)C2)[C@@H]1C ZINC000993246715 745928627 /nfs/dbraw/zinc/92/86/27/745928627.db2.gz JFEKFBCILBKFKG-FZMZJTMJSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C2CN(C(C)=O)C2)[C@@H]1C ZINC000993246715 745928630 /nfs/dbraw/zinc/92/86/30/745928630.db2.gz JFEKFBCILBKFKG-FZMZJTMJSA-N 1 2 313.829 1.186 20 30 DDEDLO N#CCS(=O)(=O)N1CCC[N@@H+](Cc2ccc(F)cc2)CC1 ZINC001192963468 745937668 /nfs/dbraw/zinc/93/76/68/745937668.db2.gz MTAKVPICCOWJED-UHFFFAOYSA-N 1 2 311.382 1.187 20 30 DDEDLO N#CCS(=O)(=O)N1CCC[N@H+](Cc2ccc(F)cc2)CC1 ZINC001192963468 745937669 /nfs/dbraw/zinc/93/76/69/745937669.db2.gz MTAKVPICCOWJED-UHFFFAOYSA-N 1 2 311.382 1.187 20 30 DDEDLO C[C@H](C[C@@H](C)Nc1ccc(C#N)nc1)NC(=O)Cn1cc[nH+]c1 ZINC001089343542 745961997 /nfs/dbraw/zinc/96/19/97/745961997.db2.gz DZRABNDGINIINB-CHWSQXEVSA-N 1 2 312.377 1.545 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(OC)ns2)[C@@H]1C ZINC000993368165 746039847 /nfs/dbraw/zinc/03/98/47/746039847.db2.gz RSYMSISYGHWDSF-NWDGAFQWSA-N 1 2 307.419 1.758 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(OC)ns2)[C@@H]1C ZINC000993368165 746039851 /nfs/dbraw/zinc/03/98/51/746039851.db2.gz RSYMSISYGHWDSF-NWDGAFQWSA-N 1 2 307.419 1.758 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2cnn(C)c2C)[C@@H]1C ZINC000993397735 746072070 /nfs/dbraw/zinc/07/20/70/746072070.db2.gz KLLPPKOEYVWSPE-ZFWWWQNUSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2cnn(C)c2C)[C@@H]1C ZINC000993397735 746072076 /nfs/dbraw/zinc/07/20/76/746072076.db2.gz KLLPPKOEYVWSPE-ZFWWWQNUSA-N 1 2 324.856 1.993 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2sc3nccn3c2C)[C@H]1C ZINC000993453487 746169128 /nfs/dbraw/zinc/16/91/28/746169128.db2.gz QHDXZMBESPXWCF-YPMHNXCESA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2sc3nccn3c2C)[C@H]1C ZINC000993453487 746169130 /nfs/dbraw/zinc/16/91/30/746169130.db2.gz QHDXZMBESPXWCF-YPMHNXCESA-N 1 2 316.430 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccn(C)c(=O)c2)[C@H]1C ZINC000993494656 746176179 /nfs/dbraw/zinc/17/61/79/746176179.db2.gz DVRNBYHTKXCBTO-OCCSQVGLSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccn(C)c(=O)c2)[C@H]1C ZINC000993494656 746176182 /nfs/dbraw/zinc/17/61/82/746176182.db2.gz DVRNBYHTKXCBTO-OCCSQVGLSA-N 1 2 323.824 1.721 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2scnc2COC)[C@@H]1C ZINC000993957200 746391189 /nfs/dbraw/zinc/39/11/89/746391189.db2.gz BMNNDZUJRJPHLL-STQMWFEESA-N 1 2 321.446 1.896 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2scnc2COC)[C@@H]1C ZINC000993957200 746391193 /nfs/dbraw/zinc/39/11/93/746391193.db2.gz BMNNDZUJRJPHLL-STQMWFEESA-N 1 2 321.446 1.896 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)s2)[C@H]1C ZINC000993975915 746407601 /nfs/dbraw/zinc/40/76/01/746407601.db2.gz SGUIOEZYJMRUSC-GHMZBOCLSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(N)=O)s2)[C@H]1C ZINC000993975915 746407605 /nfs/dbraw/zinc/40/76/05/746407605.db2.gz SGUIOEZYJMRUSC-GHMZBOCLSA-N 1 2 305.403 1.063 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195333634 746589362 /nfs/dbraw/zinc/58/93/62/746589362.db2.gz LLPAXXOXVAXHIK-HZPDHXFCSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1O ZINC001195333634 746589364 /nfs/dbraw/zinc/58/93/64/746589364.db2.gz LLPAXXOXVAXHIK-HZPDHXFCSA-N 1 2 319.405 1.228 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CC(C)(C)C2CC2)[C@H](OC)C1 ZINC001212226303 746702592 /nfs/dbraw/zinc/70/25/92/746702592.db2.gz YTZRWTAWNUGIKQ-HZPDHXFCSA-N 1 2 322.449 1.278 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CC(C)(C)C2CC2)[C@H](OC)C1 ZINC001212226303 746702596 /nfs/dbraw/zinc/70/25/96/746702596.db2.gz YTZRWTAWNUGIKQ-HZPDHXFCSA-N 1 2 322.449 1.278 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2Oc3ccccc3O[C@H]2C)C1 ZINC001031377134 746714320 /nfs/dbraw/zinc/71/43/20/746714320.db2.gz DYNMEGVZRYEGIX-LRDDRELGSA-N 1 2 302.374 1.591 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@@H+](Cc3cncn3C)CC2)CCC1 ZINC001196139169 746781753 /nfs/dbraw/zinc/78/17/53/746781753.db2.gz SLNGMLUWRSIJBB-UHFFFAOYSA-N 1 2 314.433 1.648 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@H+](Cc3cncn3C)CC2)CCC1 ZINC001196139169 746781758 /nfs/dbraw/zinc/78/17/58/746781758.db2.gz SLNGMLUWRSIJBB-UHFFFAOYSA-N 1 2 314.433 1.648 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2ccc(OC(C)C)cc2)C1 ZINC001031425174 746785613 /nfs/dbraw/zinc/78/56/13/746785613.db2.gz FULMPHNPDONCPD-UHFFFAOYSA-N 1 2 300.402 1.840 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc3c(c2)[nH]c(=O)n3C)C1 ZINC001031445488 746819288 /nfs/dbraw/zinc/81/92/88/746819288.db2.gz ZSCDQGSTSLWIPJ-UHFFFAOYSA-N 1 2 300.362 1.269 20 30 DDEDLO CCCc1n[nH]cc1C(=O)N1CCC[N@H+](CC#CCOC)CC1 ZINC001196395456 746855007 /nfs/dbraw/zinc/85/50/07/746855007.db2.gz RYCJWLJHUAFNGQ-UHFFFAOYSA-N 1 2 318.421 1.160 20 30 DDEDLO CCCc1n[nH]cc1C(=O)N1CCC[N@@H+](CC#CCOC)CC1 ZINC001196395456 746855015 /nfs/dbraw/zinc/85/50/15/746855015.db2.gz RYCJWLJHUAFNGQ-UHFFFAOYSA-N 1 2 318.421 1.160 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2ccccn2)C1 ZINC001031515573 746939287 /nfs/dbraw/zinc/93/92/87/746939287.db2.gz MCULNNVUUUOMPG-UHFFFAOYSA-N 1 2 306.369 1.815 20 30 DDEDLO Cn1cc[nH+]c1CCNC(=O)c1cc(-c2cccc(C#N)c2)on1 ZINC001196775854 746971051 /nfs/dbraw/zinc/97/10/51/746971051.db2.gz KTMNOSQATBYLKK-UHFFFAOYSA-N 1 2 321.340 1.919 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001197094208 747048535 /nfs/dbraw/zinc/04/85/35/747048535.db2.gz YWEVHDGZNFXWQW-UHFFFAOYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001197094208 747048543 /nfs/dbraw/zinc/04/85/43/747048543.db2.gz YWEVHDGZNFXWQW-UHFFFAOYSA-N 1 2 305.422 1.179 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1cncs1 ZINC001031567507 747059003 /nfs/dbraw/zinc/05/90/03/747059003.db2.gz RHHZSKUBEOZJAL-UHFFFAOYSA-N 1 2 311.410 1.856 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)CC2CC2)[C@H](O)C1 ZINC001090009712 747224097 /nfs/dbraw/zinc/22/40/97/747224097.db2.gz MBGGNFZACHUZKR-IAGOWNOFSA-N 1 2 313.401 1.410 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)CC2CC2)[C@H](O)C1 ZINC001090009712 747224105 /nfs/dbraw/zinc/22/41/05/747224105.db2.gz MBGGNFZACHUZKR-IAGOWNOFSA-N 1 2 313.401 1.410 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccccc2F)[C@H](O)C1 ZINC001090018343 747316280 /nfs/dbraw/zinc/31/62/80/747316280.db2.gz ZUZIGKPDILYUID-ZIAGYGMSSA-N 1 2 312.772 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccccc2F)[C@H](O)C1 ZINC001090018343 747316285 /nfs/dbraw/zinc/31/62/85/747316285.db2.gz ZUZIGKPDILYUID-ZIAGYGMSSA-N 1 2 312.772 1.743 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CC(CNC(=O)c3cc[nH]c3)C2)c1 ZINC001031692306 747391878 /nfs/dbraw/zinc/39/18/78/747391878.db2.gz PTGUNIJPPURIQE-UHFFFAOYSA-N 1 2 312.348 1.887 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2nccn2Cc2ccccn2)CC1 ZINC001198281331 747433971 /nfs/dbraw/zinc/43/39/71/747433971.db2.gz PADNOBIRBWXSIZ-UHFFFAOYSA-N 1 2 311.389 1.270 20 30 DDEDLO CCN(CCNc1ccc(C#N)nc1)C(=O)CCn1cc[nH+]c1 ZINC001106904410 747572595 /nfs/dbraw/zinc/57/25/95/747572595.db2.gz PEKBLTIVJAMTPR-UHFFFAOYSA-N 1 2 312.377 1.500 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC000994826356 747586002 /nfs/dbraw/zinc/58/60/02/747586002.db2.gz ADTFECCBSMJRAY-XNJGSVPQSA-N 1 2 316.405 1.443 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC000994826356 747586007 /nfs/dbraw/zinc/58/60/07/747586007.db2.gz ADTFECCBSMJRAY-XNJGSVPQSA-N 1 2 316.405 1.443 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3nccn3C)CC2)cn1 ZINC001003997004 747898020 /nfs/dbraw/zinc/89/80/20/747898020.db2.gz ARDBEQUDOYUSII-UHFFFAOYSA-N 1 2 323.400 1.191 20 30 DDEDLO Cn1cncc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031819654 747941488 /nfs/dbraw/zinc/94/14/88/747941488.db2.gz XKPFIUCOQGAYPK-UHFFFAOYSA-N 1 2 309.373 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(CNC(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC001031856688 748033110 /nfs/dbraw/zinc/03/31/10/748033110.db2.gz GFQSINCRYWDTJU-BLLLJJGKSA-N 1 2 302.422 1.700 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2c3c[nH]nc3CC[C@@H]2C)C1 ZINC001031857386 748036647 /nfs/dbraw/zinc/03/66/47/748036647.db2.gz UASYRQSAXCHCKC-ZUZCIYMTSA-N 1 2 322.840 1.876 20 30 DDEDLO C=CCCCC(=O)N(CC)CCNc1[nH+]cnc2c1cnn2C ZINC001106945145 748210249 /nfs/dbraw/zinc/21/02/49/748210249.db2.gz PQNYAIUDMDDYBX-UHFFFAOYSA-N 1 2 316.409 1.980 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2cnns2)CC1 ZINC001004348922 748340448 /nfs/dbraw/zinc/34/04/48/748340448.db2.gz GSXNMNVWDANXOX-GFCCVEGCSA-N 1 2 305.407 1.378 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2cnns2)CC1 ZINC001004348922 748340457 /nfs/dbraw/zinc/34/04/57/748340457.db2.gz GSXNMNVWDANXOX-GFCCVEGCSA-N 1 2 305.407 1.378 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC001004422227 748415833 /nfs/dbraw/zinc/41/58/33/748415833.db2.gz WSTAXDCHFCYJHZ-INIZCTEOSA-N 1 2 311.389 1.727 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC001004422227 748415836 /nfs/dbraw/zinc/41/58/36/748415836.db2.gz WSTAXDCHFCYJHZ-INIZCTEOSA-N 1 2 311.389 1.727 20 30 DDEDLO CCn1nccc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004423181 748417210 /nfs/dbraw/zinc/41/72/10/748417210.db2.gz XLBBHYQFMDELQF-HNNXBMFYSA-N 1 2 315.421 1.743 20 30 DDEDLO CCn1nccc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004423181 748417216 /nfs/dbraw/zinc/41/72/16/748417216.db2.gz XLBBHYQFMDELQF-HNNXBMFYSA-N 1 2 315.421 1.743 20 30 DDEDLO C=CCCCC(=O)N1CCCO[C@@H](C[NH2+]Cc2cnsn2)C1 ZINC001201284046 748453101 /nfs/dbraw/zinc/45/31/01/748453101.db2.gz NXRDWIPDXUXYIW-AWEZNQCLSA-N 1 2 324.450 1.602 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc3n(n2)CCC3)C1 ZINC001033133744 748496921 /nfs/dbraw/zinc/49/69/21/748496921.db2.gz LOOOGMAGXCUOKK-CYBMUJFWSA-N 1 2 308.813 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc3n(n2)CCC3)C1 ZINC001033133744 748496927 /nfs/dbraw/zinc/49/69/27/748496927.db2.gz LOOOGMAGXCUOKK-CYBMUJFWSA-N 1 2 308.813 1.728 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2C[NH+](Cc3cncs3)C2)nc1 ZINC001032137077 748729437 /nfs/dbraw/zinc/72/94/37/748729437.db2.gz KVYIZRMUCAADTB-UHFFFAOYSA-N 1 2 312.398 1.381 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](C)CNc1nc(N(C)C)nc(C)[nH+]1 ZINC001108085806 748753800 /nfs/dbraw/zinc/75/38/00/748753800.db2.gz TVYBCCMZIHXFHL-BDJLRTHQSA-N 1 2 320.441 1.765 20 30 DDEDLO CC1(NC(=O)Cn2cc[nH+]c2)CCN(c2ccc(C#N)cn2)CC1 ZINC001110593929 748807569 /nfs/dbraw/zinc/80/75/69/748807569.db2.gz VBNSVYBEFBEVMA-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2CN(C(=O)[C@@H](C)C#N)CC2(C)C)o1 ZINC000995566900 748942414 /nfs/dbraw/zinc/94/24/14/748942414.db2.gz VETBBOIQFSDHQK-NWDGAFQWSA-N 1 2 319.409 1.679 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc(=O)n(C)c2)C1 ZINC001033216824 748956697 /nfs/dbraw/zinc/95/66/97/748956697.db2.gz KBSSHHVBNWVYPI-ZDUSSCGKSA-N 1 2 309.797 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(=O)n(C)c2)C1 ZINC001033216824 748956702 /nfs/dbraw/zinc/95/67/02/748956702.db2.gz KBSSHHVBNWVYPI-ZDUSSCGKSA-N 1 2 309.797 1.284 20 30 DDEDLO Cc1cc(N2CC[C@](C)(NC(=O)Cc3[nH]cc[nH+]3)C2)c(C#N)cn1 ZINC001110778491 749003687 /nfs/dbraw/zinc/00/36/87/749003687.db2.gz HKWMIEDYJAFTMU-KRWDZBQOSA-N 1 2 324.388 1.313 20 30 DDEDLO C[C@]1(NC(=O)Cc2[nH]cc[nH+]2)CCN(c2ncccc2C#N)C1 ZINC001110778991 749004427 /nfs/dbraw/zinc/00/44/27/749004427.db2.gz STNKBHWYKMCXRJ-INIZCTEOSA-N 1 2 310.361 1.004 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001056874977 761918272 /nfs/dbraw/zinc/91/82/72/761918272.db2.gz MWMUSBNGLBJFHT-CQSZACIVSA-N 1 2 310.361 1.336 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001114326749 749057670 /nfs/dbraw/zinc/05/76/70/749057670.db2.gz WLJPACFOVSOVFW-ZSHCYNCHSA-N 1 2 315.421 1.130 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001114326749 749057679 /nfs/dbraw/zinc/05/76/79/749057679.db2.gz WLJPACFOVSOVFW-ZSHCYNCHSA-N 1 2 315.421 1.130 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(CCC)on2)C1 ZINC001108316937 761915020 /nfs/dbraw/zinc/91/50/20/761915020.db2.gz DJCANLRTQHHZPJ-INIZCTEOSA-N 1 2 307.394 1.634 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(CCC)on2)C1 ZINC001108316937 761915025 /nfs/dbraw/zinc/91/50/25/761915025.db2.gz DJCANLRTQHHZPJ-INIZCTEOSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@@]1(C)C[N@H+](CC#CC)CCO1 ZINC001108100266 749117871 /nfs/dbraw/zinc/11/78/71/749117871.db2.gz TWLNEZBDMUICBL-MSOLQXFVSA-N 1 2 322.449 1.446 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC[C@@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001108100266 749117878 /nfs/dbraw/zinc/11/78/78/749117878.db2.gz TWLNEZBDMUICBL-MSOLQXFVSA-N 1 2 322.449 1.446 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)/C=C\C(C)(C)C)nn2)C1 ZINC001107146076 749399922 /nfs/dbraw/zinc/39/99/22/749399922.db2.gz UTFZKQUAPLFGGW-SREVYHEPSA-N 1 2 303.410 1.539 20 30 DDEDLO C=CCNC(=O)Nc1cccc(OCC[NH+]2CCOCC2)c1 ZINC001202570590 749437180 /nfs/dbraw/zinc/43/71/80/749437180.db2.gz BLUWHNZWWNVBFG-UHFFFAOYSA-N 1 2 305.378 1.705 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)Cc3ccoc3)nn2)C1 ZINC001107163922 749460946 /nfs/dbraw/zinc/46/09/46/749460946.db2.gz HRKZLVMGECOSSP-UHFFFAOYSA-N 1 2 315.377 1.163 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C\CNC(=O)CCc2[nH]cc[nH+]2)n1 ZINC001107173282 749489806 /nfs/dbraw/zinc/48/98/06/749489806.db2.gz HHWSLDDLUTWFKD-IHWYPQMZSA-N 1 2 324.388 1.702 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@@H]3C=CCCC3)nn2)C1 ZINC001107181655 749497777 /nfs/dbraw/zinc/49/77/77/749497777.db2.gz GCMDZMMCEYLLAA-CQSZACIVSA-N 1 2 315.421 1.683 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C(C)(C)C3CC3)nn2)C1 ZINC001107198954 749566883 /nfs/dbraw/zinc/56/68/83/749566883.db2.gz YZPBLARPRQPSKP-UHFFFAOYSA-N 1 2 303.410 1.373 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001033662651 749698786 /nfs/dbraw/zinc/69/87/86/749698786.db2.gz YRUFRIIEFGDIKZ-NSHDSACASA-N 1 2 310.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001033662651 749698792 /nfs/dbraw/zinc/69/87/92/749698792.db2.gz YRUFRIIEFGDIKZ-NSHDSACASA-N 1 2 310.785 1.012 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C(CC)CC)[C@@H](n2ccnn2)C1 ZINC001128740677 749901693 /nfs/dbraw/zinc/90/16/93/749901693.db2.gz HEWURAROSURVIE-CABCVRRESA-N 1 2 303.410 1.079 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C(CC)CC)[C@@H](n2ccnn2)C1 ZINC001128740677 749901696 /nfs/dbraw/zinc/90/16/96/749901696.db2.gz HEWURAROSURVIE-CABCVRRESA-N 1 2 303.410 1.079 20 30 DDEDLO N#Cc1ccccc1C[NH2+]CCNC(=O)C(F)C(F)(F)F ZINC001127778473 749976520 /nfs/dbraw/zinc/97/65/20/749976520.db2.gz FZNBHOMUQYAWKB-NSHDSACASA-N 1 2 303.259 1.664 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2c[nH]c(=O)cn2)C1 ZINC001033936519 750167223 /nfs/dbraw/zinc/16/72/23/750167223.db2.gz QPQLALLOYKIPII-LLVKDONJSA-N 1 2 310.785 1.059 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2c[nH]c(=O)cn2)C1 ZINC001033936519 750167227 /nfs/dbraw/zinc/16/72/27/750167227.db2.gz QPQLALLOYKIPII-LLVKDONJSA-N 1 2 310.785 1.059 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001110936489 750241364 /nfs/dbraw/zinc/24/13/64/750241364.db2.gz PMWGDNKPGUDCNT-XOUADPBQSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001110936489 750241367 /nfs/dbraw/zinc/24/13/67/750241367.db2.gz PMWGDNKPGUDCNT-XOUADPBQSA-N 1 2 317.437 1.639 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC ZINC001110989454 750284659 /nfs/dbraw/zinc/28/46/59/750284659.db2.gz MNDVVPWIGCPGFE-VWPFQQQWSA-N 1 2 307.438 1.446 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC ZINC001110989454 750284661 /nfs/dbraw/zinc/28/46/61/750284661.db2.gz MNDVVPWIGCPGFE-VWPFQQQWSA-N 1 2 307.438 1.446 20 30 DDEDLO CN(c1ccc(C#N)cn1)[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001056907005 762031906 /nfs/dbraw/zinc/03/19/06/762031906.db2.gz VRDCTGFDEOKDGX-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO CC[C@@H](C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001111177214 750410538 /nfs/dbraw/zinc/41/05/38/750410538.db2.gz XUHLGHNPJFAKNK-TUVASFSCSA-N 1 2 320.437 1.174 20 30 DDEDLO CC[C@@H](C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001111177214 750410542 /nfs/dbraw/zinc/41/05/42/750410542.db2.gz XUHLGHNPJFAKNK-TUVASFSCSA-N 1 2 320.437 1.174 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cn(C)nc2C(C)C)C1 ZINC001108394790 762037320 /nfs/dbraw/zinc/03/73/20/762037320.db2.gz JWNPMBNSCSOIIB-QGZVFWFLSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cn(C)nc2C(C)C)C1 ZINC001108394790 762037324 /nfs/dbraw/zinc/03/73/24/762037324.db2.gz JWNPMBNSCSOIIB-QGZVFWFLSA-N 1 2 320.437 1.550 20 30 DDEDLO C[C@H](CNc1cncc(C#N)n1)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108137140 750672234 /nfs/dbraw/zinc/67/22/34/750672234.db2.gz NDUTYRZBRIEGDS-NEPJUHHUSA-N 1 2 313.365 1.113 20 30 DDEDLO C[C@@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C1CCN(CC#N)CC1 ZINC000997180772 750674767 /nfs/dbraw/zinc/67/47/67/750674767.db2.gz MXBDPZHVRKXGHQ-HIFRSBDPSA-N 1 2 315.421 1.186 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(-c2cccnc2)c1 ZINC001032443305 750856365 /nfs/dbraw/zinc/85/63/65/750856365.db2.gz RUBDXUWJRHLPKA-IRXDYDNUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(-c2cccnc2)c1 ZINC001032443305 750856373 /nfs/dbraw/zinc/85/63/73/750856373.db2.gz RUBDXUWJRHLPKA-IRXDYDNUSA-N 1 2 321.384 1.189 20 30 DDEDLO C=CCOCC(=O)N1C[C@H](CNc2cc[nH+]c(C)n2)C[C@H]1C ZINC001114669957 750967748 /nfs/dbraw/zinc/96/77/48/750967748.db2.gz CCGWYTIICPDAOG-OCCSQVGLSA-N 1 2 304.394 1.637 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cnon4)C[C@H]32)CC1 ZINC001114797583 751091778 /nfs/dbraw/zinc/09/17/78/751091778.db2.gz VODMCSYPTHQKSK-WDNDVIMCSA-N 1 2 302.378 1.362 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cnon4)C[C@H]32)CC1 ZINC001114797583 751091786 /nfs/dbraw/zinc/09/17/86/751091786.db2.gz VODMCSYPTHQKSK-WDNDVIMCSA-N 1 2 302.378 1.362 20 30 DDEDLO CCN(CCCNC(=O)Cn1cc[nH+]c1)c1ccc(C#N)nc1 ZINC001095892085 751095765 /nfs/dbraw/zinc/09/57/65/751095765.db2.gz MCHDZVBJCWEZEQ-UHFFFAOYSA-N 1 2 312.377 1.183 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@]1(C)C[N@H+](CC#CC)CCO1 ZINC001107967348 751117836 /nfs/dbraw/zinc/11/78/36/751117836.db2.gz RJNIWMFNBSRBEV-SFHVURJKSA-N 1 2 304.434 1.985 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001107967348 751117840 /nfs/dbraw/zinc/11/78/40/751117840.db2.gz RJNIWMFNBSRBEV-SFHVURJKSA-N 1 2 304.434 1.985 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(OC)ccnc1OC ZINC001032515498 751159288 /nfs/dbraw/zinc/15/92/88/751159288.db2.gz BZRMMBQHEOTBDD-RYUDHWBXSA-N 1 2 303.362 1.184 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(OC)ccnc1OC ZINC001032515498 751159293 /nfs/dbraw/zinc/15/92/93/751159293.db2.gz BZRMMBQHEOTBDD-RYUDHWBXSA-N 1 2 303.362 1.184 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2ccnc2)cc1 ZINC001032544514 751251290 /nfs/dbraw/zinc/25/12/90/751251290.db2.gz WKNCWFGVPZLYAT-ROUUACIJSA-N 1 2 320.396 1.794 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-n2ccnc2)cc1 ZINC001032544514 751251294 /nfs/dbraw/zinc/25/12/94/751251294.db2.gz WKNCWFGVPZLYAT-ROUUACIJSA-N 1 2 320.396 1.794 20 30 DDEDLO C=CCOCC(=O)Nc1ccc(C(N)=O)cc1-n1cc[nH+]c1 ZINC001129108506 751282061 /nfs/dbraw/zinc/28/20/61/751282061.db2.gz ORNJEUFXBWNALS-UHFFFAOYSA-N 1 2 300.318 1.112 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c[nH]c2cc(C)ccc12 ZINC001032679934 752701279 /nfs/dbraw/zinc/70/12/79/752701279.db2.gz RJFWKLJCUXFTSO-HOTGVXAUSA-N 1 2 307.397 1.937 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c[nH]c2cc(C)ccc12 ZINC001032679934 752701286 /nfs/dbraw/zinc/70/12/86/752701286.db2.gz RJFWKLJCUXFTSO-HOTGVXAUSA-N 1 2 307.397 1.937 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2scnc2COC)C1 ZINC001008799616 752924064 /nfs/dbraw/zinc/92/40/64/752924064.db2.gz NNTAMABOUXPOOY-LBPRGKRZSA-N 1 2 307.419 1.507 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2scnc2COC)C1 ZINC001008799616 752924074 /nfs/dbraw/zinc/92/40/74/752924074.db2.gz NNTAMABOUXPOOY-LBPRGKRZSA-N 1 2 307.419 1.507 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001009242885 753122894 /nfs/dbraw/zinc/12/28/94/753122894.db2.gz FIEORWYGOSXNJM-LBPRGKRZSA-N 1 2 309.797 1.332 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001009242885 753122896 /nfs/dbraw/zinc/12/28/96/753122896.db2.gz FIEORWYGOSXNJM-LBPRGKRZSA-N 1 2 309.797 1.332 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cc(C)no3)C2)cn1 ZINC001009269519 753135368 /nfs/dbraw/zinc/13/53/68/753135368.db2.gz ORRFONBJCSHXLR-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3cc(C)no3)C2)cn1 ZINC001009269519 753135369 /nfs/dbraw/zinc/13/53/69/753135369.db2.gz ORRFONBJCSHXLR-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@]2(C)CCCc3ccccc32)C1 ZINC001077843886 753285988 /nfs/dbraw/zinc/28/59/88/753285988.db2.gz MHYPZFTVDSNJGD-ZHALLVOQSA-N 1 2 312.413 1.075 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@]2(C)CCCc3ccccc32)C1 ZINC001077843886 753285992 /nfs/dbraw/zinc/28/59/92/753285992.db2.gz MHYPZFTVDSNJGD-ZHALLVOQSA-N 1 2 312.413 1.075 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CC)c1C1CC1 ZINC001032722751 753355911 /nfs/dbraw/zinc/35/59/11/753355911.db2.gz BYVZTRHYSGWURT-GJZGRUSLSA-N 1 2 312.417 1.702 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CC)c1C1CC1 ZINC001032722751 753355914 /nfs/dbraw/zinc/35/59/14/753355914.db2.gz BYVZTRHYSGWURT-GJZGRUSLSA-N 1 2 312.417 1.702 20 30 DDEDLO COc1ccc([C@@H]2C[C@@H](N3CC[NH2+]C[C@@H]3C#N)CCO2)cc1 ZINC001168638226 753385794 /nfs/dbraw/zinc/38/57/94/753385794.db2.gz WRNNZGSVEMMTDG-ZOBUZTSGSA-N 1 2 301.390 1.713 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3sccc3c2)C1 ZINC001077923036 753418043 /nfs/dbraw/zinc/41/80/43/753418043.db2.gz VGNODDFDIGOSIH-ZIAGYGMSSA-N 1 2 300.383 1.309 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3sccc3c2)C1 ZINC001077923036 753418045 /nfs/dbraw/zinc/41/80/45/753418045.db2.gz VGNODDFDIGOSIH-ZIAGYGMSSA-N 1 2 300.383 1.309 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2ccc(Cl)cc21 ZINC001032759999 753520578 /nfs/dbraw/zinc/52/05/78/753520578.db2.gz JJTLTIJBMXVGRH-DZKIICNBSA-N 1 2 300.789 1.898 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2ccc(Cl)cc21 ZINC001032759999 753520585 /nfs/dbraw/zinc/52/05/85/753520585.db2.gz JJTLTIJBMXVGRH-DZKIICNBSA-N 1 2 300.789 1.898 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1c(C)cc(C)cc1C ZINC001032767548 753571059 /nfs/dbraw/zinc/57/10/59/753571059.db2.gz YYYLOJKDYJDJTP-IRXDYDNUSA-N 1 2 312.413 1.909 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1c(C)cc(C)cc1C ZINC001032767548 753571067 /nfs/dbraw/zinc/57/10/67/753571067.db2.gz YYYLOJKDYJDJTP-IRXDYDNUSA-N 1 2 312.413 1.909 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)nc[nH]c2=O ZINC001032785674 753678528 /nfs/dbraw/zinc/67/85/28/753678528.db2.gz OQZABPMOZNJHEK-KBPBESRZSA-N 1 2 322.368 1.257 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)nc[nH]c2=O ZINC001032785674 753678530 /nfs/dbraw/zinc/67/85/30/753678530.db2.gz OQZABPMOZNJHEK-KBPBESRZSA-N 1 2 322.368 1.257 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001078088997 753723117 /nfs/dbraw/zinc/72/31/17/753723117.db2.gz DSCYPZRHWACCSL-HUUCEWRRSA-N 1 2 324.783 1.586 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001078088997 753723121 /nfs/dbraw/zinc/72/31/21/753723121.db2.gz DSCYPZRHWACCSL-HUUCEWRRSA-N 1 2 324.783 1.586 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001078219294 753852765 /nfs/dbraw/zinc/85/27/65/753852765.db2.gz WAQGPXBBMXXGRT-SJCJKPOMSA-N 1 2 320.437 1.874 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3cncs3)C2)c1 ZINC001010460482 753941167 /nfs/dbraw/zinc/94/11/67/753941167.db2.gz AMIKULOKICCAFS-LLVKDONJSA-N 1 2 301.375 1.347 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3cncs3)C2)c1 ZINC001010460482 753941171 /nfs/dbraw/zinc/94/11/71/753941171.db2.gz AMIKULOKICCAFS-LLVKDONJSA-N 1 2 301.375 1.347 20 30 DDEDLO C[C@@H](CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC#N)n1cc[nH+]c1 ZINC001039935904 762274987 /nfs/dbraw/zinc/27/49/87/762274987.db2.gz SZYGAZBIXNPHDP-SOUVJXGZSA-N 1 2 301.394 1.423 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)c(NC(C)=O)c1 ZINC001032810325 754180735 /nfs/dbraw/zinc/18/07/35/754180735.db2.gz BSAUCDSIMWAMIB-KBPBESRZSA-N 1 2 315.348 1.316 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)c(NC(C)=O)c1 ZINC001032810325 754180738 /nfs/dbraw/zinc/18/07/38/754180738.db2.gz BSAUCDSIMWAMIB-KBPBESRZSA-N 1 2 315.348 1.316 20 30 DDEDLO C=CCCC(=O)N(C)CCCN(C)C(=O)CCc1[nH]cc[nH+]1 ZINC001066985590 754189638 /nfs/dbraw/zinc/18/96/38/754189638.db2.gz WRBUVKIHEFXSAO-UHFFFAOYSA-N 1 2 306.410 1.615 20 30 DDEDLO Cc1nc(NC[C@H]2CCCN2C(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001063510735 754201311 /nfs/dbraw/zinc/20/13/11/754201311.db2.gz TWBDHLYFUWUIKU-CQSZACIVSA-N 1 2 324.388 1.630 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063520028 754206550 /nfs/dbraw/zinc/20/65/50/754206550.db2.gz IUJQQTXQEXLUGL-CQSZACIVSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001011329719 754436975 /nfs/dbraw/zinc/43/69/75/754436975.db2.gz BXLACYOATMHDFJ-INIZCTEOSA-N 1 2 304.394 1.156 20 30 DDEDLO Cc1nc(NC[C@H]2CCC[C@@H]2NC(=O)c2ccc(C#N)[nH]2)cc[nH+]1 ZINC001064086318 754492039 /nfs/dbraw/zinc/49/20/39/754492039.db2.gz AYUPUBYRHRCLPX-OCCSQVGLSA-N 1 2 324.388 1.995 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064785722 754847951 /nfs/dbraw/zinc/84/79/51/754847951.db2.gz RBDHQVZUMRMMOA-ZIAGYGMSSA-N 1 2 324.388 1.641 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccncc2C#N)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064791192 754858174 /nfs/dbraw/zinc/85/81/74/754858174.db2.gz FRWAZFNATDONJO-GXTWGEPZSA-N 1 2 324.388 1.132 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCOC2)C1 ZINC001079470135 755364129 /nfs/dbraw/zinc/36/41/29/755364129.db2.gz FTSDFTKAHFQWEV-YUSALJHKSA-N 1 2 317.227 1.368 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCOC2)C1 ZINC001079470135 755364132 /nfs/dbraw/zinc/36/41/32/755364132.db2.gz FTSDFTKAHFQWEV-YUSALJHKSA-N 1 2 317.227 1.368 20 30 DDEDLO C=CCCC(=O)N(CC)C1CN(C(=O)CCCn2cc[nH+]c2)C1 ZINC001079473780 755369061 /nfs/dbraw/zinc/36/90/61/755369061.db2.gz ZVUYLABXHHAVHM-UHFFFAOYSA-N 1 2 318.421 1.689 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001079708965 755541220 /nfs/dbraw/zinc/54/12/20/755541220.db2.gz HVFVWLJIUWKNHA-BXKDBHETSA-N 1 2 310.785 1.288 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001079708965 755541221 /nfs/dbraw/zinc/54/12/21/755541221.db2.gz HVFVWLJIUWKNHA-BXKDBHETSA-N 1 2 310.785 1.288 20 30 DDEDLO Cc1ncc(CC(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)o1 ZINC001014703486 755898057 /nfs/dbraw/zinc/89/80/57/755898057.db2.gz CBLMDLFQVXEVSG-INIZCTEOSA-N 1 2 324.384 1.788 20 30 DDEDLO Cc1ncc(CC(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)o1 ZINC001014703486 755898059 /nfs/dbraw/zinc/89/80/59/755898059.db2.gz CBLMDLFQVXEVSG-INIZCTEOSA-N 1 2 324.384 1.788 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](Cc3nocc3C)C[C@H]2C)cn1 ZINC001080809092 756104200 /nfs/dbraw/zinc/10/42/00/756104200.db2.gz BBCUAVJAKKPODD-MLGOLLRUSA-N 1 2 324.384 1.610 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](Cc3nocc3C)C[C@H]2C)cn1 ZINC001080809092 756104207 /nfs/dbraw/zinc/10/42/07/756104207.db2.gz BBCUAVJAKKPODD-MLGOLLRUSA-N 1 2 324.384 1.610 20 30 DDEDLO C#CC[N@H+]1CCC[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001040216866 762443128 /nfs/dbraw/zinc/44/31/28/762443128.db2.gz QPVBOZXOEIIOLS-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CCC[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC001040216866 762443130 /nfs/dbraw/zinc/44/31/30/762443130.db2.gz QPVBOZXOEIIOLS-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@H+]1CCC[C@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001040216866 762443132 /nfs/dbraw/zinc/44/31/32/762443132.db2.gz QPVBOZXOEIIOLS-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CCC[C@]2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)C1 ZINC001040216866 762443133 /nfs/dbraw/zinc/44/31/33/762443133.db2.gz QPVBOZXOEIIOLS-AAEUAGOBSA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc3c2CCCC3)[C@H](OC)C1 ZINC001081726282 756443722 /nfs/dbraw/zinc/44/37/22/756443722.db2.gz YIOVMQBPRNTYBX-QZTJIDSGSA-N 1 2 312.413 1.628 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc3c2CCCC3)[C@H](OC)C1 ZINC001081726282 756443725 /nfs/dbraw/zinc/44/37/25/756443725.db2.gz YIOVMQBPRNTYBX-QZTJIDSGSA-N 1 2 312.413 1.628 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2cc(C)ccn2)[C@H](OC)C1 ZINC001081847926 756514884 /nfs/dbraw/zinc/51/48/84/756514884.db2.gz BFTQDKSJVDZWDS-HUUCEWRRSA-N 1 2 315.417 1.116 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2cc(C)ccn2)[C@H](OC)C1 ZINC001081847926 756514890 /nfs/dbraw/zinc/51/48/90/756514890.db2.gz BFTQDKSJVDZWDS-HUUCEWRRSA-N 1 2 315.417 1.116 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001081947387 756559260 /nfs/dbraw/zinc/55/92/60/756559260.db2.gz AGFIKUUUZGRPHP-ZDUSSCGKSA-N 1 2 304.394 1.416 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3cccc(F)c3)CC2)[C@H](OC)C1 ZINC001082196245 756671858 /nfs/dbraw/zinc/67/18/58/756671858.db2.gz ADJURTZBUBPQPU-HZPDHXFCSA-N 1 2 316.376 1.306 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3cccc(F)c3)CC2)[C@H](OC)C1 ZINC001082196245 756671861 /nfs/dbraw/zinc/67/18/61/756671861.db2.gz ADJURTZBUBPQPU-HZPDHXFCSA-N 1 2 316.376 1.306 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3ccccc3n2)[C@H](OC)C1 ZINC001082340225 756746619 /nfs/dbraw/zinc/74/66/19/756746619.db2.gz BIPOLXKOCRCRDW-QZTJIDSGSA-N 1 2 323.396 1.687 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc3ccccc3n2)[C@H](OC)C1 ZINC001082340225 756746621 /nfs/dbraw/zinc/74/66/21/756746621.db2.gz BIPOLXKOCRCRDW-QZTJIDSGSA-N 1 2 323.396 1.687 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2sccc2C(C)C)[C@H](OC)C1 ZINC001082381304 756761623 /nfs/dbraw/zinc/76/16/23/756761623.db2.gz GIEOEWLHTKNWAE-ZIAGYGMSSA-N 1 2 306.431 1.934 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2sccc2C(C)C)[C@H](OC)C1 ZINC001082381304 756761626 /nfs/dbraw/zinc/76/16/26/756761626.db2.gz GIEOEWLHTKNWAE-ZIAGYGMSSA-N 1 2 306.431 1.934 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C(C)(C)C)o2)C1 ZINC001082448106 756803686 /nfs/dbraw/zinc/80/36/86/756803686.db2.gz DMGIMEKMIYLQRV-IJLUTSLNSA-N 1 2 319.409 1.463 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@@H](Nc2ncccc2C#N)C1 ZINC001097257440 757022937 /nfs/dbraw/zinc/02/29/37/757022937.db2.gz MQBUPYKVJZHHBS-FPMFFAJLSA-N 1 2 324.388 1.614 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@@H](Nc2ncccc2C#N)C1 ZINC001097257440 757022949 /nfs/dbraw/zinc/02/29/49/757022949.db2.gz MQBUPYKVJZHHBS-FPMFFAJLSA-N 1 2 324.388 1.614 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(F)c3)[C@H]2C1 ZINC001082986029 757024433 /nfs/dbraw/zinc/02/44/33/757024433.db2.gz GIJIESVUJOBSKW-JKSUJKDBSA-N 1 2 302.349 1.374 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(F)c3)[C@H]2C1 ZINC001082986029 757024440 /nfs/dbraw/zinc/02/44/40/757024440.db2.gz GIJIESVUJOBSKW-JKSUJKDBSA-N 1 2 302.349 1.374 20 30 DDEDLO N#Cc1cnccc1N1CCC[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001097349459 757103858 /nfs/dbraw/zinc/10/38/58/757103858.db2.gz UXFVAVKGKJZTRE-ZDUSSCGKSA-N 1 2 324.388 1.252 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001097364939 757125507 /nfs/dbraw/zinc/12/55/07/757125507.db2.gz ZXRCWOSZDWJYJS-WMLDXEAASA-N 1 2 318.421 1.763 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001097480488 757201906 /nfs/dbraw/zinc/20/19/06/757201906.db2.gz ZJANOLLPLKWNGM-AWEZNQCLSA-N 1 2 304.394 1.781 20 30 DDEDLO C=CCOCC(=O)N[C@H](C)[C@@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097517186 757224358 /nfs/dbraw/zinc/22/43/58/757224358.db2.gz YDLGHRBKVWUQSU-UKRRQHHQSA-N 1 2 318.421 1.709 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2sccc2C(F)F)[C@@H](O)C1 ZINC001084007070 757244723 /nfs/dbraw/zinc/24/47/23/757244723.db2.gz AXTUPSOGBYSMES-ZJUUUORDSA-N 1 2 302.346 1.647 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2sccc2C(F)F)[C@@H](O)C1 ZINC001084007070 757244726 /nfs/dbraw/zinc/24/47/26/757244726.db2.gz AXTUPSOGBYSMES-ZJUUUORDSA-N 1 2 302.346 1.647 20 30 DDEDLO Cc1c[nH]cc1C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001084051968 757261045 /nfs/dbraw/zinc/26/10/45/757261045.db2.gz GJSYHFPQBJXIHC-MSOLQXFVSA-N 1 2 323.396 1.150 20 30 DDEDLO Cc1c[nH]cc1C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001084051968 757261053 /nfs/dbraw/zinc/26/10/53/757261053.db2.gz GJSYHFPQBJXIHC-MSOLQXFVSA-N 1 2 323.396 1.150 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CC(N(C)C(=O)Cn3cc[nH+]c3)C2)C1 ZINC001016685544 757266058 /nfs/dbraw/zinc/26/60/58/757266058.db2.gz ULMFLDYYLNIKRP-UHFFFAOYSA-N 1 2 316.405 1.345 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2CC(c3ccc(F)cc3)C2)[C@@H](O)C1 ZINC001084121354 757273905 /nfs/dbraw/zinc/27/39/05/757273905.db2.gz DJRKZLDBFFJRNC-MMADZMRASA-N 1 2 316.376 1.114 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2CC(c3ccc(F)cc3)C2)[C@@H](O)C1 ZINC001084121354 757273913 /nfs/dbraw/zinc/27/39/13/757273913.db2.gz DJRKZLDBFFJRNC-MMADZMRASA-N 1 2 316.376 1.114 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@H]2CC[N@H+](Cc3cncs3)C[C@H]21 ZINC001084334084 757495428 /nfs/dbraw/zinc/49/54/28/757495428.db2.gz NUKNHRAJWFYTCO-UKRRQHHQSA-N 1 2 301.415 1.589 20 30 DDEDLO O=C(C#CC1CC1)N1C[C@H]2CC[N@@H+](Cc3cncs3)C[C@H]21 ZINC001084334084 757495430 /nfs/dbraw/zinc/49/54/30/757495430.db2.gz NUKNHRAJWFYTCO-UKRRQHHQSA-N 1 2 301.415 1.589 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099857807 758113271 /nfs/dbraw/zinc/11/32/71/758113271.db2.gz BZYXHNHEFLWYBU-GOEBONIOSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)C[C@H]1O ZINC001099857807 758113274 /nfs/dbraw/zinc/11/32/74/758113274.db2.gz BZYXHNHEFLWYBU-GOEBONIOSA-N 1 2 321.421 1.699 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001017675166 758144725 /nfs/dbraw/zinc/14/47/25/758144725.db2.gz FFVQFHGOXICBRC-IYBDPMFKSA-N 1 2 321.384 1.394 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC001017675166 758144731 /nfs/dbraw/zinc/14/47/31/758144731.db2.gz FFVQFHGOXICBRC-IYBDPMFKSA-N 1 2 321.384 1.394 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCN(C(=O)c4ccc(C#N)[nH]4)[C@@H]3C2)cc[nH+]1 ZINC001040581143 762605808 /nfs/dbraw/zinc/60/58/08/762605808.db2.gz WDSHBQWOKVQUQG-SWLSCSKDSA-N 1 2 322.372 1.336 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC001017730922 758190452 /nfs/dbraw/zinc/19/04/52/758190452.db2.gz VPCFEWBVGMGXPQ-XWTMOSNGSA-N 1 2 316.445 1.748 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC001017730922 758190455 /nfs/dbraw/zinc/19/04/55/758190455.db2.gz VPCFEWBVGMGXPQ-XWTMOSNGSA-N 1 2 316.445 1.748 20 30 DDEDLO C#CCCN1CC2(C1)CN(C(=O)c1cc3c[nH+]ccc3[nH]1)CCO2 ZINC001053308538 758361753 /nfs/dbraw/zinc/36/17/53/758361753.db2.gz LFVIYNASRJWWGL-UHFFFAOYSA-N 1 2 324.384 1.113 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCO[C@@H](C)C1)CCO2 ZINC001053487200 758494124 /nfs/dbraw/zinc/49/41/24/758494124.db2.gz DAFUYKDAQBIAKR-LSDHHAIUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cnsn1)O2 ZINC001053575509 758586068 /nfs/dbraw/zinc/58/60/68/758586068.db2.gz XUVMUEPPQSYVCP-NSHDSACASA-N 1 2 308.407 1.077 20 30 DDEDLO C[C@H](CNc1nccnc1C#N)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001108196663 758646327 /nfs/dbraw/zinc/64/63/27/758646327.db2.gz QGSPHXAQYXRAHM-SNVBAGLBSA-N 1 2 321.344 1.455 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)N(C)CC2 ZINC001018227020 758650056 /nfs/dbraw/zinc/65/00/56/758650056.db2.gz YMUVAKBQZCFILY-HDICACEKSA-N 1 2 323.440 1.991 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)N(C)CC2 ZINC001018227020 758650060 /nfs/dbraw/zinc/65/00/60/758650060.db2.gz YMUVAKBQZCFILY-HDICACEKSA-N 1 2 323.440 1.991 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@H]2CCN(C(=O)[C@H](C)CC)C2)CC1 ZINC001065685013 758702813 /nfs/dbraw/zinc/70/28/13/758702813.db2.gz BGEWNPCDVFACIL-CVEARBPZSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065705222 758705761 /nfs/dbraw/zinc/70/57/61/758705761.db2.gz WTIGMNNXCQDTTE-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)/C(C)=C/C)C2)CC1 ZINC001065699119 758715285 /nfs/dbraw/zinc/71/52/85/758715285.db2.gz KRUGKGGOLNHCCV-HSUWOCHWSA-N 1 2 319.449 1.664 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C)s1)CO2 ZINC001053724955 758741800 /nfs/dbraw/zinc/74/18/00/758741800.db2.gz VBZADWLJMLNZQM-ZDUSSCGKSA-N 1 2 304.415 1.653 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cncc(F)c1 ZINC001018345269 758745272 /nfs/dbraw/zinc/74/52/72/758745272.db2.gz ULVMODJFNLBVCP-IYBDPMFKSA-N 1 2 301.365 1.462 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cncc(F)c1 ZINC001018345269 758745278 /nfs/dbraw/zinc/74/52/78/758745278.db2.gz ULVMODJFNLBVCP-IYBDPMFKSA-N 1 2 301.365 1.462 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(OC)ns1)CO2 ZINC001053804675 758832954 /nfs/dbraw/zinc/83/29/54/758832954.db2.gz BUTFQGXTTRRYGJ-LLVKDONJSA-N 1 2 323.418 1.301 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1CNc1ccc(C#N)nc1 ZINC001065872067 758858692 /nfs/dbraw/zinc/85/86/92/758858692.db2.gz MNQFNZCKWXSJMT-OLZOCXBDSA-N 1 2 324.388 1.425 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(COC)o1)CO2 ZINC001053863627 758896647 /nfs/dbraw/zinc/89/66/47/758896647.db2.gz OMUQXAPDSCNBIM-ZDUSSCGKSA-N 1 2 320.389 1.575 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(F)c(C)c1)CO2 ZINC001053909558 758944010 /nfs/dbraw/zinc/94/40/10/758944010.db2.gz NAWUUDJZYZHAOA-OAHLLOKOSA-N 1 2 316.376 1.731 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CCC(=C)CC1)CO2 ZINC001053914967 758949017 /nfs/dbraw/zinc/94/90/17/758949017.db2.gz ZLVSJZYEIXEFCF-MRXNPFEDSA-N 1 2 302.418 1.716 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)Cn1cc(Cl)cn1)CO2 ZINC001053943231 758978841 /nfs/dbraw/zinc/97/88/41/758978841.db2.gz ZWGZYKJCEKRKKM-ZDUSSCGKSA-N 1 2 324.812 1.072 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@H]2COC3(C[NH+](CC)C3)C2)cc1 ZINC001053946032 758983214 /nfs/dbraw/zinc/98/32/14/758983214.db2.gz LWRAZMJSJSUGLE-OAHLLOKOSA-N 1 2 314.385 1.292 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1coc(C3CC3)n1)CO2 ZINC001053948675 758987568 /nfs/dbraw/zinc/98/75/68/758987568.db2.gz QIQGXQGZYNLIMG-CYBMUJFWSA-N 1 2 315.373 1.148 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1oc(CC)cc1C)CO2 ZINC001053982518 759024378 /nfs/dbraw/zinc/02/43/78/759024378.db2.gz LJHZWGRAKONQHT-AWEZNQCLSA-N 1 2 316.401 1.747 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncccc1Cl)CO2 ZINC001053988993 759032388 /nfs/dbraw/zinc/03/23/88/759032388.db2.gz VUCQRRKTYDEYIH-GFCCVEGCSA-N 1 2 321.808 1.884 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1nc(C)c(C)s1)CO2 ZINC001054012013 759060770 /nfs/dbraw/zinc/06/07/70/759060770.db2.gz UXXDHHBLORSYKY-ZDUSSCGKSA-N 1 2 321.446 1.909 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H](C)C1CCOCC1)CO2 ZINC001054025693 759076689 /nfs/dbraw/zinc/07/66/89/759076689.db2.gz FSJOWWGPNYMYML-GDBMZVCRSA-N 1 2 322.449 1.585 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(C1)CCCN(C(=O)C(F)C(F)(F)F)C2 ZINC001054135741 759209478 /nfs/dbraw/zinc/20/94/78/759209478.db2.gz JCIIFBVWBGTTIP-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(C1)CCCN(C(=O)C(F)C(F)(F)F)C2 ZINC001054135741 759209480 /nfs/dbraw/zinc/20/94/80/759209480.db2.gz JCIIFBVWBGTTIP-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(C1)CCCN(C(=O)[C@@H](F)C(F)(F)F)C2 ZINC001054135741 759209486 /nfs/dbraw/zinc/20/94/86/759209486.db2.gz JCIIFBVWBGTTIP-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(C1)CCCN(C(=O)[C@@H](F)C(F)(F)F)C2 ZINC001054135741 759209491 /nfs/dbraw/zinc/20/94/91/759209491.db2.gz JCIIFBVWBGTTIP-YPMHNXCESA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cn(C)nc3C)cc2C1 ZINC001054258786 759357636 /nfs/dbraw/zinc/35/76/36/759357636.db2.gz BUPAAJDCLGJXFU-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cn(C)nc3C)cc2C1 ZINC001054258786 759357647 /nfs/dbraw/zinc/35/76/47/759357647.db2.gz BUPAAJDCLGJXFU-UHFFFAOYSA-N 1 2 308.385 1.607 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)cc2C1 ZINC001054274850 759380767 /nfs/dbraw/zinc/38/07/67/759380767.db2.gz UZOFOCMBJKFUNW-LFDJNIOPSA-N 1 2 322.408 1.675 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)cc2C1 ZINC001054274850 759380777 /nfs/dbraw/zinc/38/07/77/759380777.db2.gz UZOFOCMBJKFUNW-LFDJNIOPSA-N 1 2 322.408 1.675 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cn(C(C)C)nn2)C1 ZINC001046737094 767869047 /nfs/dbraw/zinc/86/90/47/767869047.db2.gz OOKYMGRTDSCANK-CQSZACIVSA-N 1 2 311.817 1.806 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cn(C(C)C)nn2)C1 ZINC001046737094 767869050 /nfs/dbraw/zinc/86/90/50/767869050.db2.gz OOKYMGRTDSCANK-CQSZACIVSA-N 1 2 311.817 1.806 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ncccc2C#N)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001069138760 767874679 /nfs/dbraw/zinc/87/46/79/767874679.db2.gz YFIWJVRCOJKHPK-WCQYABFASA-N 1 2 310.361 1.320 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)C2=CCCC2)C1=O ZINC001085547895 759674875 /nfs/dbraw/zinc/67/48/75/759674875.db2.gz HJMUMSNDTCPHSF-HOTGVXAUSA-N 1 2 317.433 1.416 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C2=CCCC2)C1=O ZINC001085547895 759674881 /nfs/dbraw/zinc/67/48/81/759674881.db2.gz HJMUMSNDTCPHSF-HOTGVXAUSA-N 1 2 317.433 1.416 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)CCn1cc[nH+]c1 ZINC001069182042 767897666 /nfs/dbraw/zinc/89/76/66/767897666.db2.gz YCDIOCKDEHNKID-HIFRSBDPSA-N 1 2 324.388 1.641 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]([NH2+]Cc2ncc(CC)o2)C1 ZINC001019405308 759903597 /nfs/dbraw/zinc/90/35/97/759903597.db2.gz BELQCUHQTRGJHY-CFVMTHIKSA-N 1 2 319.405 1.519 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC001085670564 759978791 /nfs/dbraw/zinc/97/87/91/759978791.db2.gz ZAXZMFHGYZSZAM-UONOGXRCSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC001085670564 759978794 /nfs/dbraw/zinc/97/87/94/759978794.db2.gz ZAXZMFHGYZSZAM-UONOGXRCSA-N 1 2 300.406 1.245 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)Cc1c[nH+]c[nH]1 ZINC001069213757 767919003 /nfs/dbraw/zinc/91/90/03/767919003.db2.gz DOABFOGYVFUVIO-RISCZKNCSA-N 1 2 310.361 1.320 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001108206125 760107486 /nfs/dbraw/zinc/10/74/86/760107486.db2.gz DKXZEYCRRJDFIY-MRXNPFEDSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001108206125 760107492 /nfs/dbraw/zinc/10/74/92/760107492.db2.gz DKXZEYCRRJDFIY-MRXNPFEDSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]([NH2+]Cc2nc(N(C)C)no2)[C@@H](C)C1 ZINC001054977129 760251704 /nfs/dbraw/zinc/25/17/04/760251704.db2.gz URMPYVYLULCBTQ-RYUDHWBXSA-N 1 2 321.425 1.284 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(-c2ccccc2)n1 ZINC001085848798 760352689 /nfs/dbraw/zinc/35/26/89/760352689.db2.gz GRTSLNNXENXHGJ-HNNXBMFYSA-N 1 2 308.385 1.856 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(-c2ccccc2)n1 ZINC001085848798 760352699 /nfs/dbraw/zinc/35/26/99/760352699.db2.gz GRTSLNNXENXHGJ-HNNXBMFYSA-N 1 2 308.385 1.856 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ocnc2C(F)F)C1 ZINC001108219896 760466276 /nfs/dbraw/zinc/46/62/76/760466276.db2.gz KLMMRYKKUSJUGE-AWEZNQCLSA-N 1 2 315.320 1.619 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ocnc2C(F)F)C1 ZINC001108219896 760466278 /nfs/dbraw/zinc/46/62/78/760466278.db2.gz KLMMRYKKUSJUGE-AWEZNQCLSA-N 1 2 315.320 1.619 20 30 DDEDLO N#Cc1ccc(NCC[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001066337540 760480550 /nfs/dbraw/zinc/48/05/50/760480550.db2.gz IWECHGIKEUDVAJ-CYBMUJFWSA-N 1 2 324.388 1.570 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001098089693 767954132 /nfs/dbraw/zinc/95/41/32/767954132.db2.gz XHNYQWRQPSWHIF-NSHDSACASA-N 1 2 320.441 1.765 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1noc2c1C[C@H](C)CC2 ZINC001085901895 760495517 /nfs/dbraw/zinc/49/55/17/760495517.db2.gz PKHIMPRBXXHHOG-CHWSQXEVSA-N 1 2 301.390 1.579 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1noc2c1C[C@H](C)CC2 ZINC001085901895 760495521 /nfs/dbraw/zinc/49/55/21/760495521.db2.gz PKHIMPRBXXHHOG-CHWSQXEVSA-N 1 2 301.390 1.579 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(NC(C)=O)c1C ZINC001085925690 760540995 /nfs/dbraw/zinc/54/09/95/760540995.db2.gz CDWOAKVEWAFOTO-OAHLLOKOSA-N 1 2 313.401 1.733 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(NC(C)=O)c1C ZINC001085925690 760541001 /nfs/dbraw/zinc/54/10/01/760541001.db2.gz CDWOAKVEWAFOTO-OAHLLOKOSA-N 1 2 313.401 1.733 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)c1cccc(=O)[nH]1 ZINC001038146385 760852825 /nfs/dbraw/zinc/85/28/25/760852825.db2.gz OYJLFCAWVMACLY-MRXNPFEDSA-N 1 2 321.380 1.643 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)c1cccc(=O)[nH]1 ZINC001038146385 760852839 /nfs/dbraw/zinc/85/28/39/760852839.db2.gz OYJLFCAWVMACLY-MRXNPFEDSA-N 1 2 321.380 1.643 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1ncn2cc(Cl)ccc12 ZINC001038273276 760965462 /nfs/dbraw/zinc/96/54/62/760965462.db2.gz NIRQYQPLFOIZGR-LBPRGKRZSA-N 1 2 302.765 1.425 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1ncn2cc(Cl)ccc12 ZINC001038273276 760965458 /nfs/dbraw/zinc/96/54/58/760965458.db2.gz NIRQYQPLFOIZGR-LBPRGKRZSA-N 1 2 302.765 1.425 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C(F)(F)F)nn1C ZINC001038286176 760976415 /nfs/dbraw/zinc/97/64/15/760976415.db2.gz GZYJKMAYRRGUKN-JTQLQIEISA-N 1 2 314.311 1.266 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C(F)(F)F)nn1C ZINC001038286176 760976422 /nfs/dbraw/zinc/97/64/22/760976422.db2.gz GZYJKMAYRRGUKN-JTQLQIEISA-N 1 2 314.311 1.266 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)Cn2cc[nH+]c2)CCCN1c1ncccc1C#N ZINC001069375273 767999524 /nfs/dbraw/zinc/99/95/24/767999524.db2.gz GQWVMEDBAFRWPW-UKRRQHHQSA-N 1 2 324.388 1.323 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001038600357 761224992 /nfs/dbraw/zinc/22/49/92/761224992.db2.gz PILAJGARFBOQCM-BDXSIMOUSA-N 1 2 310.397 1.121 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001038600357 761224997 /nfs/dbraw/zinc/22/49/97/761224997.db2.gz PILAJGARFBOQCM-BDXSIMOUSA-N 1 2 310.397 1.121 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccnc1 ZINC001038707502 761309463 /nfs/dbraw/zinc/30/94/63/761309463.db2.gz GLGASDYSHAPYDF-OAGGEKHMSA-N 1 2 301.390 1.363 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cccnc1 ZINC001038707502 761309471 /nfs/dbraw/zinc/30/94/71/761309471.db2.gz GLGASDYSHAPYDF-OAGGEKHMSA-N 1 2 301.390 1.363 20 30 DDEDLO Cc1nsc(N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)c1C#N ZINC001056687561 761344002 /nfs/dbraw/zinc/34/40/02/761344002.db2.gz HSOYXTJPSJVGQT-SNVBAGLBSA-N 1 2 316.390 1.302 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001038752983 761364639 /nfs/dbraw/zinc/36/46/39/761364639.db2.gz JNYXMAFTKGUZEQ-HOCLYGCPSA-N 1 2 302.349 1.343 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC001038752983 761364652 /nfs/dbraw/zinc/36/46/52/761364652.db2.gz JNYXMAFTKGUZEQ-HOCLYGCPSA-N 1 2 302.349 1.343 20 30 DDEDLO N#Cc1cccnc1N[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001056742969 761383970 /nfs/dbraw/zinc/38/39/70/761383970.db2.gz CSBUIZIRDFARRC-CQSZACIVSA-N 1 2 310.361 1.253 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CC[C@@H](NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001069510107 768039519 /nfs/dbraw/zinc/03/95/19/768039519.db2.gz FNCVJSXKOFLOFX-GXTWGEPZSA-N 1 2 324.388 1.616 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001000231723 761589578 /nfs/dbraw/zinc/58/95/78/761589578.db2.gz IZRHGAXZRRQWEC-MCIONIFRSA-N 1 2 320.393 1.050 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)c1ccc(=O)[nH]c1 ZINC001038987524 761620476 /nfs/dbraw/zinc/62/04/76/761620476.db2.gz SWEUHVFIWFOQHV-QGZVFWFLSA-N 1 2 321.380 1.643 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)c1ccc(=O)[nH]c1 ZINC001038987524 761620480 /nfs/dbraw/zinc/62/04/80/761620480.db2.gz SWEUHVFIWFOQHV-QGZVFWFLSA-N 1 2 321.380 1.643 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(NC(N)=O)cc1 ZINC001038997260 761627685 /nfs/dbraw/zinc/62/76/85/761627685.db2.gz QDUZSRJBMJZLHV-AWEZNQCLSA-N 1 2 300.362 1.005 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(NC(N)=O)cc1 ZINC001038997260 761627687 /nfs/dbraw/zinc/62/76/87/761627687.db2.gz QDUZSRJBMJZLHV-AWEZNQCLSA-N 1 2 300.362 1.005 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)c2cnc(OC)nc2)CC1 ZINC001001324826 762763206 /nfs/dbraw/zinc/76/32/06/762763206.db2.gz LLZXVIUUUCRTJE-UHFFFAOYSA-N 1 2 322.796 1.600 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2cnc(OC)nc2)CC1 ZINC001001324826 762763210 /nfs/dbraw/zinc/76/32/10/762763210.db2.gz LLZXVIUUUCRTJE-UHFFFAOYSA-N 1 2 322.796 1.600 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001108995125 763210888 /nfs/dbraw/zinc/21/08/88/763210888.db2.gz FEZOZSOEPMDQCL-RISCZKNCSA-N 1 2 320.437 1.934 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)CN(C)c2cc[nH+]c(C)n2)nc1 ZINC001109030915 763244838 /nfs/dbraw/zinc/24/48/38/763244838.db2.gz IJTAGNXXACLRGZ-LBPRGKRZSA-N 1 2 309.373 1.416 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CCC)C2 ZINC001109094671 763340920 /nfs/dbraw/zinc/34/09/20/763340920.db2.gz GBNLIWKDXOZAFO-XGUBFFRZSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CCC)C2 ZINC001109094671 763340929 /nfs/dbraw/zinc/34/09/29/763340929.db2.gz GBNLIWKDXOZAFO-XGUBFFRZSA-N 1 2 307.438 1.446 20 30 DDEDLO Cc1nc(NC2CCN(C(=O)Cn3cc[nH+]c3)CC2)ccc1C#N ZINC001057341708 763476552 /nfs/dbraw/zinc/47/65/52/763476552.db2.gz MOONMUYCGJZCRF-UHFFFAOYSA-N 1 2 324.388 1.561 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC(Nc2ccc(C#N)cn2)CC1 ZINC001057351874 763492476 /nfs/dbraw/zinc/49/24/76/763492476.db2.gz KOTYELKDDSAVDF-UHFFFAOYSA-N 1 2 324.388 1.630 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@H+](Cc3cnon3)[C@H]2C1 ZINC001042184082 763749605 /nfs/dbraw/zinc/74/96/05/763749605.db2.gz TXINBONWWXUCRT-JSGCOSHPSA-N 1 2 304.394 1.705 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H]2CC[N@@H+](Cc3cnon3)[C@H]2C1 ZINC001042184082 763749612 /nfs/dbraw/zinc/74/96/12/763749612.db2.gz TXINBONWWXUCRT-JSGCOSHPSA-N 1 2 304.394 1.705 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([C@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001050588068 763790674 /nfs/dbraw/zinc/79/06/74/763790674.db2.gz PRVMDQOZYUJCBY-KBPBESRZSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3cc(C(C)C)[nH]n3)C[C@@H]21 ZINC001042241480 763807447 /nfs/dbraw/zinc/80/74/47/763807447.db2.gz YOSMZLYZEXPBMX-CJNGLKHVSA-N 1 2 300.406 1.703 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cc(C(C)C)[nH]n3)C[C@@H]21 ZINC001042241480 763807457 /nfs/dbraw/zinc/80/74/57/763807457.db2.gz YOSMZLYZEXPBMX-CJNGLKHVSA-N 1 2 300.406 1.703 20 30 DDEDLO Cc1nsc(NCCCN(C)C(=O)Cc2c[nH+]c[nH]2)c1C#N ZINC001109633775 763883144 /nfs/dbraw/zinc/88/31/44/763883144.db2.gz PWFWTAZYZABQLV-UHFFFAOYSA-N 1 2 318.406 1.549 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3ccco3)c2C1 ZINC001069849635 768177724 /nfs/dbraw/zinc/17/77/24/768177724.db2.gz FKJAJQWTEBJDBB-UHFFFAOYSA-N 1 2 300.362 1.798 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3ccco3)c2C1 ZINC001069849635 768177729 /nfs/dbraw/zinc/17/77/29/768177729.db2.gz FKJAJQWTEBJDBB-UHFFFAOYSA-N 1 2 300.362 1.798 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CC)c1 ZINC001050853893 764186737 /nfs/dbraw/zinc/18/67/37/764186737.db2.gz NWJVOKAPRWKSNV-HNNXBMFYSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn(CC)c1 ZINC001050853893 764186746 /nfs/dbraw/zinc/18/67/46/764186746.db2.gz NWJVOKAPRWKSNV-HNNXBMFYSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@@H]3C[C@H](C)CO3)c2C1 ZINC001069868138 768199680 /nfs/dbraw/zinc/19/96/80/768199680.db2.gz AVPMMJRMGHCJMF-BBRMVZONSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@@H]3C[C@H](C)CO3)c2C1 ZINC001069868138 768199681 /nfs/dbraw/zinc/19/96/81/768199681.db2.gz AVPMMJRMGHCJMF-BBRMVZONSA-N 1 2 318.421 1.316 20 30 DDEDLO N#Cc1cccnc1NC[C@H](NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001109991740 764269731 /nfs/dbraw/zinc/26/97/31/764269731.db2.gz PTQOOLFMRNVTNH-AWEZNQCLSA-N 1 2 310.361 1.226 20 30 DDEDLO C[C@@H]1CC[C@H](C[NH+]2CC(N(C)C(=O)c3ccc(C#N)[nH]3)C2)O1 ZINC001042628716 764291814 /nfs/dbraw/zinc/29/18/14/764291814.db2.gz NYOUHSHVLJAFAC-BXUZGUMPSA-N 1 2 302.378 1.210 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccn(C(F)F)n1 ZINC001050938738 764307207 /nfs/dbraw/zinc/30/72/07/764307207.db2.gz WYIVQTPUIRWJMJ-LLVKDONJSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccn(C(F)F)n1 ZINC001050938738 764307210 /nfs/dbraw/zinc/30/72/10/764307210.db2.gz WYIVQTPUIRWJMJ-LLVKDONJSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccn(C(C)(C)C)n1 ZINC001050940041 764311906 /nfs/dbraw/zinc/31/19/06/764311906.db2.gz NFSABEBAGNNTRQ-CQSZACIVSA-N 1 2 320.437 1.645 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccn(C(C)(C)C)n1 ZINC001050940041 764311909 /nfs/dbraw/zinc/31/19/09/764311909.db2.gz NFSABEBAGNNTRQ-CQSZACIVSA-N 1 2 320.437 1.645 20 30 DDEDLO N#Cc1nc(Cl)c(NCC[NH+]2CCSCC2)nc1C#N ZINC001157043050 764316837 /nfs/dbraw/zinc/31/68/37/764316837.db2.gz ACCWHIAPAWDPLI-UHFFFAOYSA-N 1 2 308.798 1.334 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)cn1 ZINC001057683878 764327759 /nfs/dbraw/zinc/32/77/59/764327759.db2.gz KGHVTPZPIIJTNL-AWEZNQCLSA-N 1 2 310.361 1.322 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CCCOC(C)C)[C@H](O)C1 ZINC001100138061 764328015 /nfs/dbraw/zinc/32/80/15/764328015.db2.gz FFIOTLRJZKNAHR-UONOGXRCSA-N 1 2 318.845 1.496 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CCCOC(C)C)[C@H](O)C1 ZINC001100138061 764328023 /nfs/dbraw/zinc/32/80/23/764328023.db2.gz FFIOTLRJZKNAHR-UONOGXRCSA-N 1 2 318.845 1.496 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnc(OC)c1 ZINC001050999819 764403160 /nfs/dbraw/zinc/40/31/60/764403160.db2.gz PVPMLVGWHSYYAW-OAHLLOKOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnc(OC)c1 ZINC001050999819 764403164 /nfs/dbraw/zinc/40/31/64/764403164.db2.gz PVPMLVGWHSYYAW-OAHLLOKOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(Cl)n(C)n1 ZINC001051014027 764417607 /nfs/dbraw/zinc/41/76/07/764417607.db2.gz NCWMZEBBVGRGPV-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(Cl)n(C)n1 ZINC001051014027 764417611 /nfs/dbraw/zinc/41/76/11/764417611.db2.gz NCWMZEBBVGRGPV-NSHDSACASA-N 1 2 312.801 1.080 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccc(OC)nc1 ZINC001051013634 764417760 /nfs/dbraw/zinc/41/77/60/764417760.db2.gz WJUKTUUTJDCFMO-AWEZNQCLSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccc(OC)nc1 ZINC001051013634 764417766 /nfs/dbraw/zinc/41/77/66/764417766.db2.gz WJUKTUUTJDCFMO-AWEZNQCLSA-N 1 2 305.378 1.097 20 30 DDEDLO CCOCC(=O)N1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC001112601998 764449843 /nfs/dbraw/zinc/44/98/43/764449843.db2.gz DBOPMWNFFXAHSJ-UHFFFAOYSA-N 1 2 317.389 1.270 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c3c(nn2C)CCCC3)C1 ZINC001042802018 764456830 /nfs/dbraw/zinc/45/68/30/764456830.db2.gz AYAGRZHIUOWXCT-UHFFFAOYSA-N 1 2 300.406 1.078 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1Cc2ccccc21 ZINC001051091905 764497504 /nfs/dbraw/zinc/49/75/04/764497504.db2.gz SQRRRFXCVAXXSS-WBVHZDCISA-N 1 2 300.402 1.719 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1Cc2ccccc21 ZINC001051091905 764497513 /nfs/dbraw/zinc/49/75/13/764497513.db2.gz SQRRRFXCVAXXSS-WBVHZDCISA-N 1 2 300.402 1.719 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2CCCCCC2)[C@@H](n2ccnn2)C1 ZINC001069901752 768221244 /nfs/dbraw/zinc/22/12/44/768221244.db2.gz RBTOWVRVWWXASS-CVEARBPZSA-N 1 2 315.421 1.223 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2CCCCCC2)[C@@H](n2ccnn2)C1 ZINC001069901752 768221249 /nfs/dbraw/zinc/22/12/49/768221249.db2.gz RBTOWVRVWWXASS-CVEARBPZSA-N 1 2 315.421 1.223 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](CCc2cnn(C)c2)CC1 ZINC001112671543 764567634 /nfs/dbraw/zinc/56/76/34/764567634.db2.gz LHXOQGCWHRAOFL-HNNXBMFYSA-N 1 2 320.437 1.088 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1nc(C2CC2)oc1C ZINC001051186363 764595033 /nfs/dbraw/zinc/59/50/33/764595033.db2.gz LOCMPKZWDDCPST-CQSZACIVSA-N 1 2 319.405 1.867 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1nc(C2CC2)oc1C ZINC001051186363 764595042 /nfs/dbraw/zinc/59/50/42/764595042.db2.gz LOCMPKZWDDCPST-CQSZACIVSA-N 1 2 319.405 1.867 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CCC(=C)C)nc1 ZINC001051214957 764629471 /nfs/dbraw/zinc/62/94/71/764629471.db2.gz QBFJEQLWPMWADH-MRXNPFEDSA-N 1 2 313.401 1.460 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CCC(=C)C)nc1 ZINC001051214957 764629475 /nfs/dbraw/zinc/62/94/75/764629475.db2.gz QBFJEQLWPMWADH-MRXNPFEDSA-N 1 2 313.401 1.460 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cc(F)cc(F)c1 ZINC001051241244 764663694 /nfs/dbraw/zinc/66/36/94/764663694.db2.gz USQRUYPRIRVRRG-HNNXBMFYSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cc(F)cc(F)c1 ZINC001051241244 764663699 /nfs/dbraw/zinc/66/36/99/764663699.db2.gz USQRUYPRIRVRRG-HNNXBMFYSA-N 1 2 310.344 1.972 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccnn2-c2ccccc2)C1 ZINC001043107613 764677233 /nfs/dbraw/zinc/67/72/33/764677233.db2.gz SHHYUCQPXZQANN-UHFFFAOYSA-N 1 2 308.385 1.652 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ncccc1OCC ZINC001051276821 764700768 /nfs/dbraw/zinc/70/07/68/764700768.db2.gz JXYLXCBMRZJLBG-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ncccc1OCC ZINC001051276821 764700771 /nfs/dbraw/zinc/70/07/71/764700771.db2.gz JXYLXCBMRZJLBG-AWEZNQCLSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112800589 764788707 /nfs/dbraw/zinc/78/87/07/764788707.db2.gz RCVYSDNBLHPROX-UKRRQHHQSA-N 1 2 316.405 1.251 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2sc(C)nc2C)CC1 ZINC001112837437 764846226 /nfs/dbraw/zinc/84/62/26/764846226.db2.gz HVDGODJMGCKVGA-CYBMUJFWSA-N 1 2 321.446 1.442 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2ccc(CC)cc2)CC1 ZINC001112845961 764860236 /nfs/dbraw/zinc/86/02/36/764860236.db2.gz ASAOHWPJAPPXJT-MRXNPFEDSA-N 1 2 314.429 1.932 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](CCc2scnc2C)CC1 ZINC001112845958 764860922 /nfs/dbraw/zinc/86/09/22/764860922.db2.gz ARUJCKKHMWFDGP-AWEZNQCLSA-N 1 2 321.446 1.177 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[NH+](CCOCC(C)C)CC1 ZINC001112863578 764890717 /nfs/dbraw/zinc/89/07/17/764890717.db2.gz CNBWDOIIDOABLP-SJORKVTESA-N 1 2 324.465 1.784 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2cccc(OCC)n2)C1 ZINC001043412885 764891748 /nfs/dbraw/zinc/89/17/48/764891748.db2.gz XJGCRIJQDDMHDQ-UHFFFAOYSA-N 1 2 303.406 1.742 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C2C[NH+](CCn3cccn3)C2)cc1 ZINC001043506183 764950676 /nfs/dbraw/zinc/95/06/76/764950676.db2.gz QZYSDGUXXJVDBK-UHFFFAOYSA-N 1 2 308.385 1.321 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@H]2CCCN(C(C)(C)C)C2=O)C1 ZINC001043604848 765000694 /nfs/dbraw/zinc/00/06/94/765000694.db2.gz OCEYYCRLZSQJKB-CQSZACIVSA-N 1 2 307.438 1.352 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCO[C@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001051803972 765175412 /nfs/dbraw/zinc/17/54/12/765175412.db2.gz ULDSBBRWVCIVEN-CJNGLKHVSA-N 1 2 322.409 1.297 20 30 DDEDLO C[C@H](NC(=O)Cc1[nH]cc[nH+]1)[C@H](C)Nc1ncc(C#N)cc1F ZINC001113155032 765344570 /nfs/dbraw/zinc/34/45/70/765344570.db2.gz MCYQYGRYUHPQSX-UWVGGRQHSA-N 1 2 316.340 1.363 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)CC1 ZINC001052003789 765370030 /nfs/dbraw/zinc/37/00/30/765370030.db2.gz BLHYFNZDACPDCG-WNRNVDISSA-N 1 2 315.461 1.274 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)CC1 ZINC001052005952 765373638 /nfs/dbraw/zinc/37/36/38/765373638.db2.gz JOAAJUAEJGXCLN-BDXSIMOUSA-N 1 2 317.477 1.827 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)C3CC(C)(C)C3)C2)CC1 ZINC001052021266 765393725 /nfs/dbraw/zinc/39/37/25/765393725.db2.gz AZZPCXRWNDEJRH-QGZVFWFLSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CCN1CC[NH+]([C@@H]2CCN(C(=O)C3(F)CCCC3)C2)CC1 ZINC001052044269 765416741 /nfs/dbraw/zinc/41/67/41/765416741.db2.gz FJONVLBUVCPNON-OAHLLOKOSA-N 1 2 307.413 1.120 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3(F)CCCC3)C2)CC1 ZINC001052044269 765416745 /nfs/dbraw/zinc/41/67/45/765416745.db2.gz FJONVLBUVCPNON-OAHLLOKOSA-N 1 2 307.413 1.120 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3CCC(C)(C)C3)C2)CC1 ZINC001052076721 765448584 /nfs/dbraw/zinc/44/85/84/765448584.db2.gz LFMPULWQMSPDKM-IAGOWNOFSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3CC34CCC4)C2)CC1 ZINC001052091538 765463120 /nfs/dbraw/zinc/46/31/20/765463120.db2.gz GLLVDCSEIHPPED-DLBZAZTESA-N 1 2 315.461 1.418 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H](C)[C@@H](C)Nc2cc[nH+]c(C)n2)nn1 ZINC001113334258 765576348 /nfs/dbraw/zinc/57/63/48/765576348.db2.gz IAUWJFRDYXOOQI-MNOVXSKESA-N 1 2 315.381 1.181 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cc(C)c(C)cn2)[C@H](O)C1 ZINC001090450072 765597518 /nfs/dbraw/zinc/59/75/18/765597518.db2.gz STCBGINISVHNGK-DZGCQCFKSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cc(C)c(C)cn2)[C@H](O)C1 ZINC001090450072 765597523 /nfs/dbraw/zinc/59/75/23/765597523.db2.gz STCBGINISVHNGK-DZGCQCFKSA-N 1 2 323.824 1.616 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@@H](C)Nc1ccncc1C#N ZINC001113351992 765616053 /nfs/dbraw/zinc/61/60/53/765616053.db2.gz LEAQNULOWQKMJL-VXGBXAGGSA-N 1 2 312.377 1.036 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@@H](C)Nc1ccncc1C#N ZINC001113351992 765616058 /nfs/dbraw/zinc/61/60/58/765616058.db2.gz LEAQNULOWQKMJL-VXGBXAGGSA-N 1 2 312.377 1.036 20 30 DDEDLO C[C@@H](Nc1cnc(C#N)cn1)[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001113352518 765617700 /nfs/dbraw/zinc/61/77/00/765617700.db2.gz UEKNXYRCOQJVMT-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@@H](Nc1cnc(C#N)cn1)[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001113352518 765617705 /nfs/dbraw/zinc/61/77/05/765617705.db2.gz UEKNXYRCOQJVMT-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)[C@H](C)Nc1ccc(C#N)nn1 ZINC001113352628 765618268 /nfs/dbraw/zinc/61/82/68/765618268.db2.gz VUQZPLMSZONQHE-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)[C@H](C)Nc1ccc(C#N)nn1 ZINC001113352628 765618270 /nfs/dbraw/zinc/61/82/70/765618270.db2.gz VUQZPLMSZONQHE-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H](C)NC(=O)c2ccccc2)CC1 ZINC001113611524 765935559 /nfs/dbraw/zinc/93/55/59/765935559.db2.gz SOFLZBWCGXRHCB-CQSZACIVSA-N 1 2 301.390 1.135 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H](C)N(C)c2cc[nH+]c(C)n2)c1 ZINC001113865416 766323892 /nfs/dbraw/zinc/32/38/92/766323892.db2.gz PGUVATCQLCHBMM-GFCCVEGCSA-N 1 2 309.373 1.416 20 30 DDEDLO N#Cc1cnccc1N[C@@H]1CCCC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001045575580 766412622 /nfs/dbraw/zinc/41/26/22/766412622.db2.gz XOPUBRJLXFYDEP-HUUCEWRRSA-N 1 2 324.388 1.180 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc4n3CCCC4)C[C@H]21 ZINC001114003740 766521249 /nfs/dbraw/zinc/52/12/49/766521249.db2.gz DDZVIKFDIKLHFD-LAQFHYBYSA-N 1 2 315.421 1.127 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc4n3CCCC4)C[C@H]21 ZINC001114003740 766521254 /nfs/dbraw/zinc/52/12/54/766521254.db2.gz DDZVIKFDIKLHFD-LAQFHYBYSA-N 1 2 315.421 1.127 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC[C@@H]1C ZINC001114035652 766568666 /nfs/dbraw/zinc/56/86/66/766568666.db2.gz ATTMQOYKRNKJGU-ZFWWWQNUSA-N 1 2 316.405 1.156 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)CCN1c1ccc(C#N)cn1 ZINC001067541970 766604858 /nfs/dbraw/zinc/60/48/58/766604858.db2.gz GSGDREQVGSXSHM-UKRRQHHQSA-N 1 2 324.388 1.323 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001114201929 766761687 /nfs/dbraw/zinc/76/16/87/766761687.db2.gz XGOQPPPWLDFFBQ-PBOSXPJTSA-N 1 2 302.378 1.289 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nc(C)no3)C[C@H]21 ZINC001114201929 766761695 /nfs/dbraw/zinc/76/16/95/766761695.db2.gz XGOQPPPWLDFFBQ-PBOSXPJTSA-N 1 2 302.378 1.289 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C[C@H]3C=CCC3)CC2)C1 ZINC001046002031 766788479 /nfs/dbraw/zinc/78/84/79/766788479.db2.gz RASLGLVDPBPSCX-JKSUJKDBSA-N 1 2 302.422 1.085 20 30 DDEDLO CCc1occc1C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046026310 766830565 /nfs/dbraw/zinc/83/05/65/766830565.db2.gz SHWLLJXIXZISKX-AWEZNQCLSA-N 1 2 316.405 1.198 20 30 DDEDLO C[C@H]1CCN(c2ccc(C#N)cn2)C[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067968296 766845985 /nfs/dbraw/zinc/84/59/85/766845985.db2.gz ZOOCCIXUHXBWBL-JSGCOSHPSA-N 1 2 324.388 1.250 20 30 DDEDLO C#CCOCCC(=O)NC[C@H](C)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC001098058773 766978919 /nfs/dbraw/zinc/97/89/19/766978919.db2.gz CYLOCGOWOZIUIM-AWEZNQCLSA-N 1 2 318.421 1.865 20 30 DDEDLO C[C@H]1C[C@@H](CNc2ccc(C#N)nc2)CN1C(=O)Cn1cc[nH+]c1 ZINC001068335286 767119746 /nfs/dbraw/zinc/11/97/46/767119746.db2.gz JKUTZPAJDZWFRI-KBPBESRZSA-N 1 2 324.388 1.499 20 30 DDEDLO C[C@H]1C[C@@H](CNc2ccc(C#N)cn2)CN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068346567 767150106 /nfs/dbraw/zinc/15/01/06/767150106.db2.gz HJMXQHLDXHLWQW-JSGCOSHPSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001046319083 767417160 /nfs/dbraw/zinc/41/71/60/767417160.db2.gz PDQCQFZFFRACDK-WMLDXEAASA-N 1 2 300.406 1.434 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[NH2+]Cc1nnc(C)o1 ZINC001130374394 767473324 /nfs/dbraw/zinc/47/33/24/767473324.db2.gz PPDJJMCDXFNIEL-UHFFFAOYSA-N 1 2 316.361 1.462 20 30 DDEDLO C[C@@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)CCc1c[nH]c[nH+]1 ZINC001068972640 767738251 /nfs/dbraw/zinc/73/82/51/767738251.db2.gz XBQBMBVSHOCVRK-IUODEOHRSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1[C@H](Nc2ncccc2C#N)CCN1C(=O)CCc1c[nH+]c[nH]1 ZINC001068972640 767738258 /nfs/dbraw/zinc/73/82/58/767738258.db2.gz XBQBMBVSHOCVRK-IUODEOHRSA-N 1 2 324.388 1.710 20 30 DDEDLO C#CCOCCC(=O)N(C)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001096118540 767776580 /nfs/dbraw/zinc/77/65/80/767776580.db2.gz ACBYJDZBYKFBKU-UHFFFAOYSA-N 1 2 316.405 1.252 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@]2(C)CC[N@H+](CC(=C)Cl)C2)nn1 ZINC001046690558 767809307 /nfs/dbraw/zinc/80/93/07/767809307.db2.gz WFEZKWKCEVYWDL-HNNXBMFYSA-N 1 2 323.828 1.801 20 30 DDEDLO C=CCCn1cc(C(=O)N[C@@]2(C)CC[N@@H+](CC(=C)Cl)C2)nn1 ZINC001046690558 767809315 /nfs/dbraw/zinc/80/93/15/767809315.db2.gz WFEZKWKCEVYWDL-HNNXBMFYSA-N 1 2 323.828 1.801 20 30 DDEDLO CCC(C)(C)CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130998997 767838452 /nfs/dbraw/zinc/83/84/52/767838452.db2.gz FYJXLXYOWXGGTA-ZIAGYGMSSA-N 1 2 317.437 1.654 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CNC(=O)OC)CC[C@@H]1C ZINC001131834235 768413778 /nfs/dbraw/zinc/41/37/78/768413778.db2.gz LMOLSZVHXPTVNN-WDEREUQCSA-N 1 2 303.790 1.064 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CNC(=O)OC)CC[C@@H]1C ZINC001131834235 768413780 /nfs/dbraw/zinc/41/37/80/768413780.db2.gz LMOLSZVHXPTVNN-WDEREUQCSA-N 1 2 303.790 1.064 20 30 DDEDLO CN(C(=O)c1cc[nH]c1)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047390769 768444023 /nfs/dbraw/zinc/44/40/23/768444023.db2.gz GTTIHYOFMHKIEH-ROUUACIJSA-N 1 2 323.396 1.184 20 30 DDEDLO CN(C(=O)c1cc[nH]c1)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047390769 768444027 /nfs/dbraw/zinc/44/40/27/768444027.db2.gz GTTIHYOFMHKIEH-ROUUACIJSA-N 1 2 323.396 1.184 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@@H+](Cc2nc(C)c(C)o2)C1 ZINC001131946371 768503675 /nfs/dbraw/zinc/50/36/75/768503675.db2.gz ITINARCHGKWYQY-SWLSCSKDSA-N 1 2 321.421 1.963 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2nc(C)c(C)o2)C1 ZINC001131946371 768503680 /nfs/dbraw/zinc/50/36/80/768503680.db2.gz ITINARCHGKWYQY-SWLSCSKDSA-N 1 2 321.421 1.963 20 30 DDEDLO CCC(=CC(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1)CC ZINC001131949369 768508619 /nfs/dbraw/zinc/50/86/19/768508619.db2.gz BSYMLKKUBVMXHZ-DZGCQCFKSA-N 1 2 320.437 1.342 20 30 DDEDLO CCC(=CC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1)CC ZINC001131949369 768508623 /nfs/dbraw/zinc/50/86/23/768508623.db2.gz BSYMLKKUBVMXHZ-DZGCQCFKSA-N 1 2 320.437 1.342 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2C[N@H+](Cc3cnoc3C)C[C@@H]2O)C1 ZINC001047519635 768538894 /nfs/dbraw/zinc/53/88/94/768538894.db2.gz KIAOXLVCBHRBJT-GJZGRUSLSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H]2C[N@@H+](Cc3cnoc3C)C[C@@H]2O)C1 ZINC001047519635 768538898 /nfs/dbraw/zinc/53/88/98/768538898.db2.gz KIAOXLVCBHRBJT-GJZGRUSLSA-N 1 2 319.405 1.343 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scnc2C(C)C)C1 ZINC001047557384 768569336 /nfs/dbraw/zinc/56/93/36/768569336.db2.gz SZLQEZMFIJORRE-STQMWFEESA-N 1 2 321.446 1.407 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2scnc2C(C)C)C1 ZINC001047557384 768569338 /nfs/dbraw/zinc/56/93/38/768569338.db2.gz SZLQEZMFIJORRE-STQMWFEESA-N 1 2 321.446 1.407 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132033700 768579561 /nfs/dbraw/zinc/57/95/61/768579561.db2.gz JYCJPLCIRFBMTC-UKRRQHHQSA-N 1 2 301.390 1.866 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@H]1C ZINC001132033700 768579565 /nfs/dbraw/zinc/57/95/65/768579565.db2.gz JYCJPLCIRFBMTC-UKRRQHHQSA-N 1 2 301.390 1.866 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132047586 768599857 /nfs/dbraw/zinc/59/98/57/768599857.db2.gz YJQZGBQPMKBRHA-SMDDNHRTSA-N 1 2 318.446 1.729 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132047586 768599860 /nfs/dbraw/zinc/59/98/60/768599860.db2.gz YJQZGBQPMKBRHA-SMDDNHRTSA-N 1 2 318.446 1.729 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132214925 768680764 /nfs/dbraw/zinc/68/07/64/768680764.db2.gz PICJERNJMAYTEZ-UONOGXRCSA-N 1 2 320.437 1.198 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132214925 768680766 /nfs/dbraw/zinc/68/07/66/768680766.db2.gz PICJERNJMAYTEZ-UONOGXRCSA-N 1 2 320.437 1.198 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001070707009 768685832 /nfs/dbraw/zinc/68/58/32/768685832.db2.gz RKBSBRRWORMEDX-OAHLLOKOSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cnnn2CC)C1 ZINC001132219036 768691735 /nfs/dbraw/zinc/69/17/35/768691735.db2.gz ZGDXQCWFZKUHPT-ZIAGYGMSSA-N 1 2 319.453 1.979 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2CC)C1 ZINC001132219036 768691739 /nfs/dbraw/zinc/69/17/39/768691739.db2.gz ZGDXQCWFZKUHPT-ZIAGYGMSSA-N 1 2 319.453 1.979 20 30 DDEDLO CCC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132252601 768706672 /nfs/dbraw/zinc/70/66/72/768706672.db2.gz HPNPCRAOLVZSIF-OLZOCXBDSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132252601 768706674 /nfs/dbraw/zinc/70/66/74/768706674.db2.gz HPNPCRAOLVZSIF-OLZOCXBDSA-N 1 2 308.426 1.031 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)CCC)C1 ZINC001070900559 768775846 /nfs/dbraw/zinc/77/58/46/768775846.db2.gz PSGJTMAFDDYZMK-MJBXVCDLSA-N 1 2 307.394 1.078 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)CCC)C1 ZINC001070900559 768775848 /nfs/dbraw/zinc/77/58/48/768775848.db2.gz PSGJTMAFDDYZMK-MJBXVCDLSA-N 1 2 307.394 1.078 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CC(=O)N(C)C)CC[C@H]1C ZINC001132346072 768776088 /nfs/dbraw/zinc/77/60/88/768776088.db2.gz UKMHZPWPJMHVSB-NEPJUHHUSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CC(=O)N(C)C)CC[C@H]1C ZINC001132346072 768776092 /nfs/dbraw/zinc/77/60/92/768776092.db2.gz UKMHZPWPJMHVSB-NEPJUHHUSA-N 1 2 301.818 1.186 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2nccs2)CC[C@H]1C ZINC001132373067 768791136 /nfs/dbraw/zinc/79/11/36/768791136.db2.gz XZPHOAVSCDCKIJ-CHWSQXEVSA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2nccs2)CC[C@H]1C ZINC001132373067 768791140 /nfs/dbraw/zinc/79/11/40/768791140.db2.gz XZPHOAVSCDCKIJ-CHWSQXEVSA-N 1 2 307.419 1.376 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@]2(C)C=CCC2)CC1 ZINC001070988555 768842629 /nfs/dbraw/zinc/84/26/29/768842629.db2.gz SPQDBOQJTHUEGM-GOSISDBHSA-N 1 2 319.449 1.569 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@]2(C)C=CCC2)CC1 ZINC001070988555 768842639 /nfs/dbraw/zinc/84/26/39/768842639.db2.gz SPQDBOQJTHUEGM-GOSISDBHSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)c2ccco2)CC1 ZINC001071000143 768862773 /nfs/dbraw/zinc/86/27/73/768862773.db2.gz PRNSRKSWQNVPPF-AWEZNQCLSA-N 1 2 319.405 1.220 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)c2ccco2)CC1 ZINC001071000143 768862785 /nfs/dbraw/zinc/86/27/85/768862785.db2.gz PRNSRKSWQNVPPF-AWEZNQCLSA-N 1 2 319.405 1.220 20 30 DDEDLO CC[C@@H](C)C(=O)NCC[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC001096275004 768900656 /nfs/dbraw/zinc/90/06/56/768900656.db2.gz ONCITRDWESULKJ-CQSZACIVSA-N 1 2 315.421 1.238 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001071137728 769003558 /nfs/dbraw/zinc/00/35/58/769003558.db2.gz LNKJBYKFZCKWKZ-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096365175 769434575 /nfs/dbraw/zinc/43/45/75/769434575.db2.gz VGMOWVDJYQCDAV-HNNXBMFYSA-N 1 2 300.406 1.900 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2ccnn2C)CC[C@H]1C ZINC001071466903 769479389 /nfs/dbraw/zinc/47/93/89/769479389.db2.gz IPGCKNMMOWFWRW-CHWSQXEVSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2ccnn2C)CC[C@H]1C ZINC001071466903 769479396 /nfs/dbraw/zinc/47/93/96/769479396.db2.gz IPGCKNMMOWFWRW-CHWSQXEVSA-N 1 2 310.829 1.684 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cn3cc(C)nc3s2)CC[C@@H]1C ZINC001071568774 769641826 /nfs/dbraw/zinc/64/18/26/769641826.db2.gz SQALKHYHUTWMFE-STQMWFEESA-N 1 2 316.430 1.920 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cn3cc(C)nc3s2)CC[C@@H]1C ZINC001071568774 769641832 /nfs/dbraw/zinc/64/18/32/769641832.db2.gz SQALKHYHUTWMFE-STQMWFEESA-N 1 2 316.430 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccc(=O)n(C)c2)CC[C@@H]1C ZINC001071641652 769777126 /nfs/dbraw/zinc/77/71/26/769777126.db2.gz CSNWJGXQYKQOMF-JSGCOSHPSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccc(=O)n(C)c2)CC[C@@H]1C ZINC001071641652 769777131 /nfs/dbraw/zinc/77/71/31/769777131.db2.gz CSNWJGXQYKQOMF-JSGCOSHPSA-N 1 2 323.824 1.721 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[NH2+][C@H](C)c2nc(CC)no2)c1 ZINC001133597594 770047811 /nfs/dbraw/zinc/04/78/11/770047811.db2.gz VNKZJSTWDJMZMJ-LLVKDONJSA-N 1 2 313.361 1.089 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001096457875 770193687 /nfs/dbraw/zinc/19/36/87/770193687.db2.gz XBFXQXZPTGWCPJ-PBHICJAKSA-N 1 2 318.421 1.709 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3nncs3)C[C@@H]2C1 ZINC001048982302 770203642 /nfs/dbraw/zinc/20/36/42/770203642.db2.gz RMHJXNLGJMYLTA-TXEJJXNPSA-N 1 2 306.435 1.641 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3nncs3)C[C@@H]2C1 ZINC001048982302 770203647 /nfs/dbraw/zinc/20/36/47/770203647.db2.gz RMHJXNLGJMYLTA-TXEJJXNPSA-N 1 2 306.435 1.641 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001096474476 770411038 /nfs/dbraw/zinc/41/10/38/770411038.db2.gz VXWUYQCQKRCBDJ-LBPRGKRZSA-N 1 2 314.393 1.415 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[NH2+]Cc1nc(C2CCC2)no1 ZINC001134053006 770603313 /nfs/dbraw/zinc/60/33/13/770603313.db2.gz JRDBCADHAQEBLN-OLZOCXBDSA-N 1 2 320.393 1.134 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@@H]1C ZINC001072317637 770754779 /nfs/dbraw/zinc/75/47/79/770754779.db2.gz RYNOOSIBTCHYPI-GXTWGEPZSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072317637 770754788 /nfs/dbraw/zinc/75/47/88/770754788.db2.gz RYNOOSIBTCHYPI-GXTWGEPZSA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)co1 ZINC001049999938 771353828 /nfs/dbraw/zinc/35/38/28/771353828.db2.gz PHUIVWUXMPNBNX-CORIIIEPSA-N 1 2 302.378 1.566 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)co1 ZINC001049999938 771353837 /nfs/dbraw/zinc/35/38/37/771353837.db2.gz PHUIVWUXMPNBNX-CORIIIEPSA-N 1 2 302.378 1.566 20 30 DDEDLO N#Cc1ccc(N[C@@H](CNC(=O)CCn2cc[nH+]c2)C2CC2)cn1 ZINC001096767923 771455390 /nfs/dbraw/zinc/45/53/90/771455390.db2.gz ASVGYSDXNTUPOL-INIZCTEOSA-N 1 2 324.388 1.547 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@H](NC(=O)CCc3c[nH]c[nH+]3)C2)n1 ZINC001097109662 771627823 /nfs/dbraw/zinc/62/78/23/771627823.db2.gz CJVOPWPGCRXQIK-SHTZXODSSA-N 1 2 324.388 1.677 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@H](NC(=O)CCc3c[nH+]c[nH]3)C2)n1 ZINC001097109662 771627826 /nfs/dbraw/zinc/62/78/26/771627826.db2.gz CJVOPWPGCRXQIK-SHTZXODSSA-N 1 2 324.388 1.677 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N[C@H]1C[C@H](Nc2ccc(C#N)nc2)C1 ZINC001097114614 771630565 /nfs/dbraw/zinc/63/05/65/771630565.db2.gz XVMOLBAGRITOHX-SHTZXODSSA-N 1 2 324.388 1.379 20 30 DDEDLO C[C@@H](C[C@H](C)NC(=O)c1ccc(-n2cc[nH+]c2)cn1)NCC#N ZINC001135916608 771786767 /nfs/dbraw/zinc/78/67/67/771786767.db2.gz POFYQVSPQUQRSC-STQMWFEESA-N 1 2 312.377 1.277 20 30 DDEDLO C[C@@H]1CN(c2ncccc2C#N)C[C@H]1NC(=O)CCn1cc[nH+]c1 ZINC001090799396 772223590 /nfs/dbraw/zinc/22/35/90/772223590.db2.gz VRUGBWGTHAUXLW-UKRRQHHQSA-N 1 2 324.388 1.181 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@@H+]([C@H](C)Cc2cccc(C#N)c2)C1 ZINC001171211482 772598049 /nfs/dbraw/zinc/59/80/49/772598049.db2.gz ZYCGRBSFFQZGDK-CJNGLKHVSA-N 1 2 314.385 1.943 20 30 DDEDLO CCOC(=O)C1=C(O)CC[N@H+]([C@H](C)Cc2cccc(C#N)c2)C1 ZINC001171211482 772598052 /nfs/dbraw/zinc/59/80/52/772598052.db2.gz ZYCGRBSFFQZGDK-CJNGLKHVSA-N 1 2 314.385 1.943 20 30 DDEDLO COC(=O)c1cn2c(n1)C[N@H+]([C@H](C)Cc1cccc(C#N)c1)CC2 ZINC001171229995 772651654 /nfs/dbraw/zinc/65/16/54/772651654.db2.gz ROFJFFDUDBBFDA-CYBMUJFWSA-N 1 2 324.384 1.988 20 30 DDEDLO COC(=O)c1cn2c(n1)C[N@@H+]([C@H](C)Cc1cccc(C#N)c1)CC2 ZINC001171229995 772651655 /nfs/dbraw/zinc/65/16/55/772651655.db2.gz ROFJFFDUDBBFDA-CYBMUJFWSA-N 1 2 324.384 1.988 20 30 DDEDLO CSc1ncc(NC(=[NH2+])c2ccc(C(N)=O)cc2)c(C)n1 ZINC001171261239 772762326 /nfs/dbraw/zinc/76/23/26/772762326.db2.gz VNELHYCCFZGITQ-UHFFFAOYSA-N 1 2 301.375 1.643 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001091476304 772780011 /nfs/dbraw/zinc/78/00/11/772780011.db2.gz IGELLWWHZBJZIJ-MQMHXKEQSA-N 1 2 324.388 1.534 20 30 DDEDLO C#Cc1ccccc1CC(=O)NCC[NH2+][C@@H](C)c1ncc(C)o1 ZINC001149118116 772810496 /nfs/dbraw/zinc/81/04/96/772810496.db2.gz ZZYPWMMJIDNUNW-AWEZNQCLSA-N 1 2 311.385 1.974 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)s1)C2 ZINC001147428512 773131639 /nfs/dbraw/zinc/13/16/39/773131639.db2.gz UVQPWFGCDWARDO-UHFFFAOYSA-N 1 2 318.446 1.684 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)s1)C2 ZINC001147428512 773131644 /nfs/dbraw/zinc/13/16/44/773131644.db2.gz UVQPWFGCDWARDO-UHFFFAOYSA-N 1 2 318.446 1.684 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1C)C2 ZINC001147439689 773146727 /nfs/dbraw/zinc/14/67/27/773146727.db2.gz FUDPMNPICRVWCY-UHFFFAOYSA-N 1 2 300.406 1.258 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nccn1C)C2 ZINC001147439689 773146731 /nfs/dbraw/zinc/14/67/31/773146731.db2.gz FUDPMNPICRVWCY-UHFFFAOYSA-N 1 2 300.406 1.258 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2c[nH]cc2C)C1 ZINC001073889065 773502980 /nfs/dbraw/zinc/50/29/80/773502980.db2.gz HKZPYPAPRXOKAO-ZDUSSCGKSA-N 1 2 311.813 1.896 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2c[nH]cc2C)C1 ZINC001073889065 773502983 /nfs/dbraw/zinc/50/29/83/773502983.db2.gz HKZPYPAPRXOKAO-ZDUSSCGKSA-N 1 2 311.813 1.896 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1nonc1C)C2 ZINC001148893481 773627236 /nfs/dbraw/zinc/62/72/36/773627236.db2.gz KJLVVJOMMHMPOZ-UHFFFAOYSA-N 1 2 320.393 1.005 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@H+](Cc1nonc1C)C2 ZINC001148893481 773627239 /nfs/dbraw/zinc/62/72/39/773627239.db2.gz KJLVVJOMMHMPOZ-UHFFFAOYSA-N 1 2 320.393 1.005 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)CCn3cccn3)C[C@@H]21 ZINC001074177874 773699808 /nfs/dbraw/zinc/69/98/08/773699808.db2.gz NARXQWHDWCJOFF-HOTGVXAUSA-N 1 2 318.421 1.151 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)CCn3cccn3)C[C@@H]21 ZINC001074177874 773699811 /nfs/dbraw/zinc/69/98/11/773699811.db2.gz NARXQWHDWCJOFF-HOTGVXAUSA-N 1 2 318.421 1.151 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3oc(C)nc3C)C[C@H]21 ZINC001074195193 773718015 /nfs/dbraw/zinc/71/80/15/773718015.db2.gz QGBLRDAQPNBJLX-HUUCEWRRSA-N 1 2 319.405 1.783 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3oc(C)nc3C)C[C@H]21 ZINC001074195193 773718017 /nfs/dbraw/zinc/71/80/17/773718017.db2.gz QGBLRDAQPNBJLX-HUUCEWRRSA-N 1 2 319.405 1.783 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)cn3)C[C@H]21 ZINC001074222757 773748270 /nfs/dbraw/zinc/74/82/70/773748270.db2.gz MNCNKESXWOUSMH-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccc(F)cn3)C[C@H]21 ZINC001074222757 773748271 /nfs/dbraw/zinc/74/82/71/773748271.db2.gz MNCNKESXWOUSMH-HZPDHXFCSA-N 1 2 317.364 1.159 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2C[C@H]3CN(CC#N)C[C@@]3(C)C2)c[nH+]1 ZINC001091779808 773816235 /nfs/dbraw/zinc/81/62/35/773816235.db2.gz KVBXOXNDDBWYFZ-PBHICJAKSA-N 1 2 315.421 1.231 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cccnc3C)C[C@H]21 ZINC001074327163 773826143 /nfs/dbraw/zinc/82/61/43/773826143.db2.gz PTGTYXQLYOVDCX-SJORKVTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccnc3C)C[C@H]21 ZINC001074327163 773826145 /nfs/dbraw/zinc/82/61/45/773826145.db2.gz PTGTYXQLYOVDCX-SJORKVTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]c3C)C[C@@H]21 ZINC001074346280 773842685 /nfs/dbraw/zinc/84/26/85/773842685.db2.gz LVLASSGVTRPBCF-IRXDYDNUSA-N 1 2 315.417 1.570 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]c3C)C[C@@H]21 ZINC001074346280 773842689 /nfs/dbraw/zinc/84/26/89/773842689.db2.gz LVLASSGVTRPBCF-IRXDYDNUSA-N 1 2 315.417 1.570 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2cccnc2)[C@H](O)C1 ZINC001099908774 773941603 /nfs/dbraw/zinc/94/16/03/773941603.db2.gz JBWWDBIXZDAZPK-HUUCEWRRSA-N 1 2 323.824 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2cccnc2)[C@H](O)C1 ZINC001099908774 773941611 /nfs/dbraw/zinc/94/16/11/773941611.db2.gz JBWWDBIXZDAZPK-HUUCEWRRSA-N 1 2 323.824 1.318 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2CCN(C(=O)[C@@H](C)C#N)[C@H]2C)n1 ZINC001074569959 774001034 /nfs/dbraw/zinc/00/10/34/774001034.db2.gz AHTOKZQJKFWGJF-SRVKXCTJSA-N 1 2 305.382 1.261 20 30 DDEDLO N#Cc1cccnc1NC1CC(CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001092234134 774022342 /nfs/dbraw/zinc/02/23/42/774022342.db2.gz LOWUBGUDTPNBHA-UHFFFAOYSA-N 1 2 310.361 1.226 20 30 DDEDLO N#Cc1ccc(NC2CC(CNC(=O)CCc3c[nH]c[nH+]3)C2)cn1 ZINC001092268794 774037626 /nfs/dbraw/zinc/03/76/26/774037626.db2.gz DHDZGUMVXWEUNH-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1ccc(NC2CC(CNC(=O)CCc3c[nH+]c[nH]3)C2)cn1 ZINC001092268794 774037632 /nfs/dbraw/zinc/03/76/32/774037632.db2.gz DHDZGUMVXWEUNH-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H]1CCOC1)c1nccn12 ZINC001092356121 774072023 /nfs/dbraw/zinc/07/20/23/774072023.db2.gz GFNYJUBOYVOEQG-ZIAGYGMSSA-N 1 2 316.405 1.068 20 30 DDEDLO C[C@@H]1CN(c2ncccc2C#N)C[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001092381543 774094846 /nfs/dbraw/zinc/09/48/46/774094846.db2.gz MBVNTXRXEIRMPQ-UKRRQHHQSA-N 1 2 324.388 1.038 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H](C)C1 ZINC001074955847 774263972 /nfs/dbraw/zinc/26/39/72/774263972.db2.gz MYRDZIPZIMBSJA-LSDHHAIUSA-N 1 2 316.405 1.182 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001075127615 774371457 /nfs/dbraw/zinc/37/14/57/774371457.db2.gz OFJGAQHNSHVYQN-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C[C@H]1C ZINC001075211319 774424895 /nfs/dbraw/zinc/42/48/95/774424895.db2.gz RWVYSVYXFRLHEE-ZIAGYGMSSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn3cc[nH]c13)C2 ZINC001098281816 774526286 /nfs/dbraw/zinc/52/62/86/774526286.db2.gz XOKBSRINYMUXTG-RTXFEEFZSA-N 1 2 319.796 1.750 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn3cc[nH]c13)C2 ZINC001098281816 774526288 /nfs/dbraw/zinc/52/62/88/774526288.db2.gz XOKBSRINYMUXTG-RTXFEEFZSA-N 1 2 319.796 1.750 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C(F)=C(C)C)C2)nn1 ZINC001098657527 774633113 /nfs/dbraw/zinc/63/31/13/774633113.db2.gz WVYCOMGFJWTTDR-ZDUSSCGKSA-N 1 2 305.357 1.038 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H]3C[C@@H]3OCC)CC2)C1 ZINC001093510800 774758129 /nfs/dbraw/zinc/75/81/29/774758129.db2.gz IGZGOHSMDJTWAX-SJORKVTESA-N 1 2 322.449 1.679 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ocnc3C)CC2)C1 ZINC001093520894 774772585 /nfs/dbraw/zinc/77/25/85/774772585.db2.gz CHCHOUDQKRHUHD-UHFFFAOYSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3conc3CC)CC2)C1 ZINC001093569989 774841228 /nfs/dbraw/zinc/84/12/28/774841228.db2.gz LYRPWKOABNTCOG-UHFFFAOYSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)CC2)C1 ZINC001093579936 774854249 /nfs/dbraw/zinc/85/42/49/774854249.db2.gz ITWQOFMSZDKUQR-OIISXLGYSA-N 1 2 320.433 1.432 20 30 DDEDLO CCCCC(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099686733 775141069 /nfs/dbraw/zinc/14/10/69/775141069.db2.gz JURZRKWPYKHXOD-DLBZAZTESA-N 1 2 315.417 1.800 20 30 DDEDLO CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001099686733 775141076 /nfs/dbraw/zinc/14/10/76/775141076.db2.gz JURZRKWPYKHXOD-DLBZAZTESA-N 1 2 315.417 1.800 20 30 DDEDLO C#Cc1ccc(C(=O)NCCNc2nc(N(C)C)nc(C)[nH+]2)cc1 ZINC001094029682 775354445 /nfs/dbraw/zinc/35/44/45/775354445.db2.gz UYOARDIRXQNYPC-UHFFFAOYSA-N 1 2 324.388 1.069 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099854976 775357970 /nfs/dbraw/zinc/35/79/70/775357970.db2.gz AKSJIKFFRNFAHR-CVEARBPZSA-N 1 2 321.396 1.628 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@@H]1O ZINC001099854976 775357978 /nfs/dbraw/zinc/35/79/78/775357978.db2.gz AKSJIKFFRNFAHR-CVEARBPZSA-N 1 2 321.396 1.628 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCN(C)c1nc(C)[nH+]c(C)c1C ZINC001099985117 775525036 /nfs/dbraw/zinc/52/50/36/775525036.db2.gz WNFRCHTZASLJDA-AWEZNQCLSA-N 1 2 320.437 1.935 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3ccoc3)nn2)C1 ZINC001094275465 775624910 /nfs/dbraw/zinc/62/49/10/775624910.db2.gz HVIKKLQKNXLTOU-UHFFFAOYSA-N 1 2 301.350 1.234 20 30 DDEDLO C=CCOCC(=O)NCC1CC([NH2+]CC(F)(F)C(F)F)C1 ZINC001100187875 775789965 /nfs/dbraw/zinc/78/99/65/775789965.db2.gz QBMHTZWINYNALA-UHFFFAOYSA-N 1 2 312.307 1.574 20 30 DDEDLO C#CCCCCC(=O)NCCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001094395758 775803266 /nfs/dbraw/zinc/80/32/66/775803266.db2.gz RKSGCDBAWPIKAT-UHFFFAOYSA-N 1 2 318.425 1.358 20 30 DDEDLO CN(CCNC(=O)c1cccc2[nH+]ccn21)c1ncccc1C#N ZINC001100226255 775853656 /nfs/dbraw/zinc/85/36/56/775853656.db2.gz NYYHZIPSWMRKDB-UHFFFAOYSA-N 1 2 320.356 1.467 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3cccc(F)c3)CC2=O)C1 ZINC001094697115 776207171 /nfs/dbraw/zinc/20/71/71/776207171.db2.gz HLIGVTBRIOFUOA-CQSZACIVSA-N 1 2 317.364 1.027 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3(C)CCC3)CC2=O)C1 ZINC001094769012 776257093 /nfs/dbraw/zinc/25/70/93/776257093.db2.gz ZWPFKUHYNWFIGT-ZDUSSCGKSA-N 1 2 305.422 1.154 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+]([C@@H](C)Cc2ccc(C#N)cc2)CCO1 ZINC001172319749 776747101 /nfs/dbraw/zinc/74/71/01/776747101.db2.gz QVPUUMQSHSUINQ-XJKSGUPXSA-N 1 2 302.374 1.753 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+]([C@@H](C)Cc2ccc(C#N)cc2)CCO1 ZINC001172319749 776747109 /nfs/dbraw/zinc/74/71/09/776747109.db2.gz QVPUUMQSHSUINQ-XJKSGUPXSA-N 1 2 302.374 1.753 20 30 DDEDLO CCN(C1C[NH+](C)C1)[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001172991142 776961959 /nfs/dbraw/zinc/96/19/59/776961959.db2.gz UTCONJZZRZBATN-KBPBESRZSA-N 1 2 322.453 1.914 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN1CCCC1=O)C2 ZINC001095154118 777178554 /nfs/dbraw/zinc/17/85/54/777178554.db2.gz ARBVJKDMWIENEW-AGIUHOORSA-N 1 2 311.813 1.083 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN1CCCC1=O)C2 ZINC001095154118 777178560 /nfs/dbraw/zinc/17/85/60/777178560.db2.gz ARBVJKDMWIENEW-AGIUHOORSA-N 1 2 311.813 1.083 20 30 DDEDLO COC(=O)[C@H](CCc1cn(C)cn1)[NH2+]C1CCC(C)(C#N)CC1 ZINC001173593480 777272229 /nfs/dbraw/zinc/27/22/29/777272229.db2.gz PUGJQUOJXZJXTM-GULBITTBSA-N 1 2 318.421 1.956 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C(/C)CC)c1nccn12 ZINC001101627811 777323635 /nfs/dbraw/zinc/32/36/35/777323635.db2.gz NOPATAVDABIALC-XXYUJHKVSA-N 1 2 300.406 1.997 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)CCC(=C)C)c1nccn12 ZINC001101629513 777324368 /nfs/dbraw/zinc/32/43/68/777324368.db2.gz VFNWRAVVZZOTSL-AWEZNQCLSA-N 1 2 300.406 1.997 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC(CNc2cc[nH+]c(C)n2)CC1 ZINC001095266076 777378894 /nfs/dbraw/zinc/37/88/94/777378894.db2.gz CCSBJESFKRZSLA-CYBMUJFWSA-N 1 2 316.405 1.474 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)c1cccc2[nH+]ccn21 ZINC001101854743 777607999 /nfs/dbraw/zinc/60/79/99/777607999.db2.gz DFPNRKUHBNSBDG-UHFFFAOYSA-N 1 2 320.356 1.785 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCNc1ncnc2c1C[N@H+](C)CC2 ZINC001101902680 777661268 /nfs/dbraw/zinc/66/12/68/777661268.db2.gz AVKAGQPWUATOPQ-UHFFFAOYSA-N 1 2 317.437 1.547 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001101902680 777661273 /nfs/dbraw/zinc/66/12/73/777661273.db2.gz AVKAGQPWUATOPQ-UHFFFAOYSA-N 1 2 317.437 1.547 20 30 DDEDLO C[C@H](CCCCNCC#N)NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001175227087 777720758 /nfs/dbraw/zinc/72/07/58/777720758.db2.gz QPGJEOZUIIRACH-CHWSQXEVSA-N 1 2 303.410 1.618 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)COC(C)(C)C)C[C@H]21 ZINC001176988640 778344623 /nfs/dbraw/zinc/34/46/23/778344623.db2.gz JRIVEGVPPBVSNX-HUUCEWRRSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)COC(C)(C)C)C[C@H]21 ZINC001176988640 778344627 /nfs/dbraw/zinc/34/46/27/778344627.db2.gz JRIVEGVPPBVSNX-HUUCEWRRSA-N 1 2 310.438 1.679 20 30 DDEDLO N#C[C@@H]1C[C@H](F)CN1C(=O)[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC001176965304 778352432 /nfs/dbraw/zinc/35/24/32/778352432.db2.gz OOZGQMYFWXPAOO-RDBSUJKOSA-N 1 2 302.353 1.761 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3=CCCC3)C[C@H]21 ZINC001176976441 778362413 /nfs/dbraw/zinc/36/24/13/778362413.db2.gz JFWNYXKZPZIFLU-IAGOWNOFSA-N 1 2 320.433 1.601 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3=CCCC3)C[C@H]21 ZINC001176976441 778362416 /nfs/dbraw/zinc/36/24/16/778362416.db2.gz JFWNYXKZPZIFLU-IAGOWNOFSA-N 1 2 320.433 1.601 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CC)[C@@H]3C2)CCOCC1 ZINC001177029729 778400391 /nfs/dbraw/zinc/40/03/91/778400391.db2.gz IIXWDXLSSHGWMT-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]3OCC[N@H+](CC)[C@@H]3C2)CCOCC1 ZINC001177029729 778400392 /nfs/dbraw/zinc/40/03/92/778400392.db2.gz IIXWDXLSSHGWMT-HZPDHXFCSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N(CC)[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102812682 778421137 /nfs/dbraw/zinc/42/11/37/778421137.db2.gz IUQYANQMVJAOKD-ZFWWWQNUSA-N 1 2 318.421 1.803 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)Cc2ccc(C(F)(F)F)cn2)CC1 ZINC001177154514 778464183 /nfs/dbraw/zinc/46/41/83/778464183.db2.gz RRYUXNPSGLIWBE-UHFFFAOYSA-N 1 2 313.323 1.973 20 30 DDEDLO CC[C@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1ccc(C#N)nc1 ZINC001103056946 778605264 /nfs/dbraw/zinc/60/52/64/778605264.db2.gz YYMCFUANSQWKJC-GFCCVEGCSA-N 1 2 312.377 1.616 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](CC)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001103206863 778709948 /nfs/dbraw/zinc/70/99/48/778709948.db2.gz ZZPMWHWFAOQZEC-CYBMUJFWSA-N 1 2 320.441 1.909 20 30 DDEDLO C[C@](CNc1ccc(C#N)cn1)(NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001103536615 778930279 /nfs/dbraw/zinc/93/02/79/778930279.db2.gz XFQYGSKRWUZAHM-QGZVFWFLSA-N 1 2 324.388 1.547 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nc(C)no2)[C@@H]1C ZINC001178278872 778970482 /nfs/dbraw/zinc/97/04/82/778970482.db2.gz OSQHASDPSARXFC-OBJOEFQTSA-N 1 2 322.409 1.438 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([NH2+]Cc2nc(CC)no2)[C@@H]1C ZINC001178572478 779106573 /nfs/dbraw/zinc/10/65/73/779106573.db2.gz IYXCWHSCFBJORU-MKBNYLNASA-N 1 2 322.409 1.038 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(N(C)C)no2)[C@@H]1C ZINC001178600010 779110743 /nfs/dbraw/zinc/11/07/43/779110743.db2.gz MZTOBLFBAIIBFQ-STQMWFEESA-N 1 2 319.409 1.018 20 30 DDEDLO Cc1cc(NC[C@H](C)CNC(=O)[C@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001103898158 779146335 /nfs/dbraw/zinc/14/63/35/779146335.db2.gz HCPPNBSFRUSXOA-WDEREUQCSA-N 1 2 301.394 1.986 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001112000090 779593606 /nfs/dbraw/zinc/59/36/06/779593606.db2.gz HUCAZLXJTJZFEW-HIFRSBDPSA-N 1 2 316.405 1.298 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001112000088 779593808 /nfs/dbraw/zinc/59/38/08/779593808.db2.gz HTHWAJLSDORJIG-OAHLLOKOSA-N 1 2 316.405 1.300 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001115330737 780043416 /nfs/dbraw/zinc/04/34/16/780043416.db2.gz SFECCFFEIGUNDU-QLPKVWCKSA-N 1 2 318.421 1.042 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001115330737 780043423 /nfs/dbraw/zinc/04/34/23/780043423.db2.gz SFECCFFEIGUNDU-QLPKVWCKSA-N 1 2 318.421 1.042 20 30 DDEDLO C[C@@H](CN(C)C(=O)CCc1[nH]cc[nH+]1)Nc1ncccc1C#N ZINC001115688398 780344414 /nfs/dbraw/zinc/34/44/14/780344414.db2.gz ATRBLIBVJGFLLH-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO C[C@@H](CN(C)C(=O)C#CC1CC1)Nc1[nH+]cnc2c1cnn2C ZINC001115714022 780368911 /nfs/dbraw/zinc/36/89/11/780368911.db2.gz XXXXEZXTKDNCHD-NSHDSACASA-N 1 2 312.377 1.036 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)C[C@H](C)Nc1ccc(C#N)nc1 ZINC001115801658 780445002 /nfs/dbraw/zinc/44/50/02/780445002.db2.gz MAENFONCWFJOTP-NSHDSACASA-N 1 2 312.377 1.486 20 30 DDEDLO CC(C)n1ccc(C[N@H+](C)[C@H](C)CNC(=O)CSCC#N)n1 ZINC001282356144 835991407 /nfs/dbraw/zinc/99/14/07/835991407.db2.gz PJYKXPIFLAKQBO-CYBMUJFWSA-N 1 2 323.466 1.657 20 30 DDEDLO CC(C)n1ccc(C[N@@H+](C)[C@H](C)CNC(=O)CSCC#N)n1 ZINC001282356144 835991413 /nfs/dbraw/zinc/99/14/13/835991413.db2.gz PJYKXPIFLAKQBO-CYBMUJFWSA-N 1 2 323.466 1.657 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1)[N@H+](C)CCNC(=O)C#CC1CC1 ZINC001266273208 836023990 /nfs/dbraw/zinc/02/39/90/836023990.db2.gz MWAITESADGYDIH-CQSZACIVSA-N 1 2 313.401 1.475 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1)[N@@H+](C)CCNC(=O)C#CC1CC1 ZINC001266273208 836024001 /nfs/dbraw/zinc/02/40/01/836024001.db2.gz MWAITESADGYDIH-CQSZACIVSA-N 1 2 313.401 1.475 20 30 DDEDLO C=CCCC(=O)NCC[NH+]1CCN([C@@H](C)c2ncccn2)CC1 ZINC001266281344 836038885 /nfs/dbraw/zinc/03/88/85/836038885.db2.gz MDZWWSHBTKMICE-HNNXBMFYSA-N 1 2 317.437 1.238 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)c2ccnc3ccccc32)CC1 ZINC001266288427 836058737 /nfs/dbraw/zinc/05/87/37/836058737.db2.gz XBGRARCXESXTOL-UHFFFAOYSA-N 1 2 323.400 1.106 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH+]2CCN(Cc3ccon3)CC2)C1 ZINC001266292819 836065548 /nfs/dbraw/zinc/06/55/48/836065548.db2.gz WMHQEKAFTHFHOV-UHFFFAOYSA-N 1 2 318.421 1.265 20 30 DDEDLO Cc1nc(C[NH+]2CC([C@H](C)NC(=O)CSCC#N)C2)oc1C ZINC001282688061 836205634 /nfs/dbraw/zinc/20/56/34/836205634.db2.gz IIFCBSDAQXJNQN-NSHDSACASA-N 1 2 322.434 1.485 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccn(C)n1 ZINC001266449997 836269772 /nfs/dbraw/zinc/26/97/72/836269772.db2.gz GUVKBYBRIJOLBX-HOCLYGCPSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1ccn(C)n1 ZINC001266449997 836269781 /nfs/dbraw/zinc/26/97/81/836269781.db2.gz GUVKBYBRIJOLBX-HOCLYGCPSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@H+]1[C@@H](C)c1ncccn1 ZINC001266453335 836277836 /nfs/dbraw/zinc/27/78/36/836277836.db2.gz DAZVCMOTAVODEQ-KBPBESRZSA-N 1 2 304.394 1.321 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CCC[N@@H+]1[C@@H](C)c1ncccn1 ZINC001266453335 836277846 /nfs/dbraw/zinc/27/78/46/836277846.db2.gz DAZVCMOTAVODEQ-KBPBESRZSA-N 1 2 304.394 1.321 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H]1CC[N@@H+](Cc2cnn(C)n2)C1 ZINC001266513687 836393166 /nfs/dbraw/zinc/39/31/66/836393166.db2.gz FFDOJLZVGOBPLN-AWEZNQCLSA-N 1 2 317.437 1.522 20 30 DDEDLO C=CCC(CC=C)C(=O)NC[C@@H]1CC[N@H+](Cc2cnn(C)n2)C1 ZINC001266513687 836393176 /nfs/dbraw/zinc/39/31/76/836393176.db2.gz FFDOJLZVGOBPLN-AWEZNQCLSA-N 1 2 317.437 1.522 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[C@H]([NH2+]Cc2cnsn2)C1)OCC ZINC001266529636 836420959 /nfs/dbraw/zinc/42/09/59/836420959.db2.gz IHCVKQPBYHPGLJ-JSGCOSHPSA-N 1 2 324.450 1.600 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC([N@H+](C)Cc2nncs2)CC1 ZINC001283302087 837107492 /nfs/dbraw/zinc/10/74/92/837107492.db2.gz QSVDFODRXDCZPI-OAHLLOKOSA-N 1 2 324.450 1.288 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC([N@@H+](C)Cc2nncs2)CC1 ZINC001283302087 837107498 /nfs/dbraw/zinc/10/74/98/837107498.db2.gz QSVDFODRXDCZPI-OAHLLOKOSA-N 1 2 324.450 1.288 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[NH2+]Cc1ncc(CC)o1 ZINC001266973555 837134431 /nfs/dbraw/zinc/13/44/31/837134431.db2.gz NBVMPLHGZFVHLG-BNOWGMLFSA-N 1 2 307.394 1.422 20 30 DDEDLO C=C(C)C[NH2+][C@H](C)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001266982603 837147056 /nfs/dbraw/zinc/14/70/56/837147056.db2.gz FGHJZABFJMYMAI-LLVKDONJSA-N 1 2 302.382 1.094 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([NH2+]Cc2ncc(C3CC3)o2)C1 ZINC001267026810 837214993 /nfs/dbraw/zinc/21/49/93/837214993.db2.gz NKBBHZVHBINSQS-AWEZNQCLSA-N 1 2 319.405 1.835 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)C12CCC(CC1)CC2 ZINC001267577017 838347518 /nfs/dbraw/zinc/34/75/18/838347518.db2.gz DDSWBUBIOVJSAX-UHFFFAOYSA-N 1 2 318.465 1.556 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@]2(C)CC=CCC2)CC1 ZINC001267607332 838435496 /nfs/dbraw/zinc/43/54/96/838435496.db2.gz FJGUBOLWMLWUCR-GOSISDBHSA-N 1 2 305.466 1.995 20 30 DDEDLO CCc1cc(C(=O)N[C@@H]2CCC[N@H+](CC#CCOC)C2)no1 ZINC001267620025 838507101 /nfs/dbraw/zinc/50/71/01/838507101.db2.gz PIIHEFUUMXPHGQ-CYBMUJFWSA-N 1 2 305.378 1.081 20 30 DDEDLO CCc1cc(C(=O)N[C@@H]2CCC[N@@H+](CC#CCOC)C2)no1 ZINC001267620025 838507104 /nfs/dbraw/zinc/50/71/04/838507104.db2.gz PIIHEFUUMXPHGQ-CYBMUJFWSA-N 1 2 305.378 1.081 20 30 DDEDLO CC[C@H](CC(C)C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001267619254 838507180 /nfs/dbraw/zinc/50/71/80/838507180.db2.gz DOCZGWNOMQKFJK-HUUCEWRRSA-N 1 2 322.453 1.279 20 30 DDEDLO CC[C@H](CC(C)C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001267619254 838507185 /nfs/dbraw/zinc/50/71/85/838507185.db2.gz DOCZGWNOMQKFJK-HUUCEWRRSA-N 1 2 322.453 1.279 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC1C[NH+](Cc2snnc2C)C1 ZINC001267684337 838637236 /nfs/dbraw/zinc/63/72/36/838637236.db2.gz VOPLXBCZRZOBGY-UHFFFAOYSA-N 1 2 308.451 1.997 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001267727342 838757461 /nfs/dbraw/zinc/75/74/61/838757461.db2.gz CTNBEUGRTCUFOW-AWEZNQCLSA-N 1 2 309.454 1.551 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)C)C1 ZINC001267727342 838757466 /nfs/dbraw/zinc/75/74/66/838757466.db2.gz CTNBEUGRTCUFOW-AWEZNQCLSA-N 1 2 309.454 1.551 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)N(C)c2ccccc2)C1 ZINC001267738887 838799901 /nfs/dbraw/zinc/79/99/01/838799901.db2.gz UBBDHPULCMZNLP-OAHLLOKOSA-N 1 2 315.417 1.806 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](CC(=O)N(C)c2ccccc2)C1 ZINC001267738887 838799917 /nfs/dbraw/zinc/79/99/17/838799917.db2.gz UBBDHPULCMZNLP-OAHLLOKOSA-N 1 2 315.417 1.806 20 30 DDEDLO CCN(C(=O)CC1CCCC1)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001267780723 838963581 /nfs/dbraw/zinc/96/35/81/838963581.db2.gz UKSNCRIGMWNEBY-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO CCN(C(=O)CC1CCCC1)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001267780723 838963585 /nfs/dbraw/zinc/96/35/85/838963585.db2.gz UKSNCRIGMWNEBY-OAHLLOKOSA-N 1 2 320.437 1.129 20 30 DDEDLO C#CCCCC(=O)N(CC)[C@@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001267783526 838967717 /nfs/dbraw/zinc/96/77/17/838967717.db2.gz JHIKZPJKUYTPEJ-QGZVFWFLSA-N 1 2 316.449 1.955 20 30 DDEDLO C#CCCCC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001267783526 838967727 /nfs/dbraw/zinc/96/77/27/838967727.db2.gz JHIKZPJKUYTPEJ-QGZVFWFLSA-N 1 2 316.449 1.955 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001268025860 839425076 /nfs/dbraw/zinc/42/50/76/839425076.db2.gz KSQPWBPRISWUHB-OLZOCXBDSA-N 1 2 320.380 1.297 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001268025860 839425085 /nfs/dbraw/zinc/42/50/85/839425085.db2.gz KSQPWBPRISWUHB-OLZOCXBDSA-N 1 2 320.380 1.297 20 30 DDEDLO C=CCOCC(=O)N(C)[C@@H](C)C[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001268054847 839615678 /nfs/dbraw/zinc/61/56/78/839615678.db2.gz QKFFINJKPBFFTK-LBPRGKRZSA-N 1 2 324.425 1.506 20 30 DDEDLO CC[C@@H]1CCC[C@]1(C)C(=O)N(C)CC[N@H+](C)CC(=O)NCC#N ZINC001268241397 839881387 /nfs/dbraw/zinc/88/13/87/839881387.db2.gz ZWCWTEBOTFLVMK-PBHICJAKSA-N 1 2 322.453 1.233 20 30 DDEDLO CC[C@@H]1CCC[C@]1(C)C(=O)N(C)CC[N@@H+](C)CC(=O)NCC#N ZINC001268241397 839881397 /nfs/dbraw/zinc/88/13/97/839881397.db2.gz ZWCWTEBOTFLVMK-PBHICJAKSA-N 1 2 322.453 1.233 20 30 DDEDLO C[C@H](C[NH2+]Cc1nc(CC2CC2)no1)NC(=O)C#CC(C)(C)C ZINC001268646547 840629102 /nfs/dbraw/zinc/62/91/02/840629102.db2.gz WXYGYEIUBZNXFK-GFCCVEGCSA-N 1 2 318.421 1.666 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H](C)C[N@H+](C)Cc1csc(C)n1 ZINC001268729227 840720740 /nfs/dbraw/zinc/72/07/40/840720740.db2.gz MUCJKTOVTAKPTQ-ABAIWWIYSA-N 1 2 311.451 1.715 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H](C)C[N@@H+](C)Cc1csc(C)n1 ZINC001268729227 840720750 /nfs/dbraw/zinc/72/07/50/840720750.db2.gz MUCJKTOVTAKPTQ-ABAIWWIYSA-N 1 2 311.451 1.715 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)[C@@]1(CC)CCNC1=O ZINC001268734251 840732210 /nfs/dbraw/zinc/73/22/10/840732210.db2.gz SJLMHLLZJYZQQT-RISCZKNCSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)[C@@]1(CC)CCNC1=O ZINC001268734251 840732222 /nfs/dbraw/zinc/73/22/22/840732222.db2.gz SJLMHLLZJYZQQT-RISCZKNCSA-N 1 2 301.818 1.092 20 30 DDEDLO C#CC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2CCc1c[nH]c2ccccc12 ZINC001268944903 841024914 /nfs/dbraw/zinc/02/49/14/841024914.db2.gz RORUBGNXMIYLBG-MSOLQXFVSA-N 1 2 323.396 1.255 20 30 DDEDLO C#CC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2CCc1c[nH]c2ccccc12 ZINC001268944903 841024923 /nfs/dbraw/zinc/02/49/23/841024923.db2.gz RORUBGNXMIYLBG-MSOLQXFVSA-N 1 2 323.396 1.255 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@@]23C[C@@H]2CCCC3)C1 ZINC001269062083 841161133 /nfs/dbraw/zinc/16/11/33/841161133.db2.gz YHDADKOGMBNMRO-ZQIUZPCESA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@@]23C[C@@H]2CCCC3)C1 ZINC001269062083 841161137 /nfs/dbraw/zinc/16/11/37/841161137.db2.gz YHDADKOGMBNMRO-ZQIUZPCESA-N 1 2 305.422 1.059 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1CC[N@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001269108250 841212777 /nfs/dbraw/zinc/21/27/77/841212777.db2.gz ODEBUGFXUTUYCU-XJKSGUPXSA-N 1 2 320.820 1.917 20 30 DDEDLO CO[C@@H](C)C(=O)N[C@@H]1CC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001269108250 841212783 /nfs/dbraw/zinc/21/27/83/841212783.db2.gz ODEBUGFXUTUYCU-XJKSGUPXSA-N 1 2 320.820 1.917 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)CCCCN2C(=O)[C@@H](C)n1cc[nH+]c1 ZINC001269158306 841278583 /nfs/dbraw/zinc/27/85/83/841278583.db2.gz XEZVFJNLZJOMEN-PBHICJAKSA-N 1 2 316.405 1.614 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCCN2C(=O)Cc2c[nH+]c[nH]2)C1=O ZINC001269568786 841774716 /nfs/dbraw/zinc/77/47/16/841774716.db2.gz DVLGNKMWPPWADK-MRXNPFEDSA-N 1 2 302.378 1.122 20 30 DDEDLO COCC#CC[NH2+]C[C@@](C)(NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001269618588 841832245 /nfs/dbraw/zinc/83/22/45/841832245.db2.gz DWGQIFXLGUEAQW-WCQYABFASA-N 1 2 324.318 1.411 20 30 DDEDLO COCC#CC[NH2+]C[C@@](C)(NC(=O)[C@H](F)C(F)(F)F)C1CC1 ZINC001269618588 841832257 /nfs/dbraw/zinc/83/22/57/841832257.db2.gz DWGQIFXLGUEAQW-WCQYABFASA-N 1 2 324.318 1.411 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2ncc(C)cc2C)C1 ZINC001269826300 842083746 /nfs/dbraw/zinc/08/37/46/842083746.db2.gz VRUHGLLPOHXMRH-UHFFFAOYSA-N 1 2 303.406 1.657 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)c4ccncc4)C3)C2)cc1C#N ZINC001270265130 842440373 /nfs/dbraw/zinc/44/03/73/842440373.db2.gz YSUHBQAFPWKBJX-UHFFFAOYSA-N 1 2 321.384 1.250 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@H+](Cc2c[nH]c3cc(C#N)ccc23)CCO1 ZINC001142921491 861310874 /nfs/dbraw/zinc/31/08/74/861310874.db2.gz OYVACRDTETYHEV-INIZCTEOSA-N 1 2 313.357 1.803 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@@H+](Cc2c[nH]c3cc(C#N)ccc23)CCO1 ZINC001142921491 861310898 /nfs/dbraw/zinc/31/08/98/861310898.db2.gz OYVACRDTETYHEV-INIZCTEOSA-N 1 2 313.357 1.803 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@](C)(NC(=O)c2cocc2C)C1 ZINC001270614808 842719168 /nfs/dbraw/zinc/71/91/68/842719168.db2.gz IFWANOHOMKWGAZ-INIZCTEOSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@](C)(NC(=O)c2cocc2C)C1 ZINC001270614808 842719176 /nfs/dbraw/zinc/71/91/76/842719176.db2.gz IFWANOHOMKWGAZ-INIZCTEOSA-N 1 2 305.378 1.084 20 30 DDEDLO N#CCCC(=O)N1Cc2c[nH+]cn2C[C@@H](COCC2CC2)C1 ZINC001143194296 861406330 /nfs/dbraw/zinc/40/63/30/861406330.db2.gz PQYABQQAEXSKPV-AWEZNQCLSA-N 1 2 302.378 1.572 20 30 DDEDLO C=C1CC(C(=O)N2C[C@@H](C(=O)N(C)C)[C@H](c3cn(C)c[nH+]3)C2)C1 ZINC001271458399 843623116 /nfs/dbraw/zinc/62/31/16/843623116.db2.gz UOKGPNDSHTUMCF-ZIAGYGMSSA-N 1 2 316.405 1.017 20 30 DDEDLO N#CCN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)CCn1cc[nH+]c1)C2 ZINC001271744445 843834461 /nfs/dbraw/zinc/83/44/61/843834461.db2.gz XUIOOAKGXZZPTQ-QDMKHBRRSA-N 1 2 301.394 1.156 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)C4(C5CC5)CC4)C3)C2)cc1C#N ZINC001272254726 844915808 /nfs/dbraw/zinc/91/58/08/844915808.db2.gz FWTLUSXRJVWPDL-UHFFFAOYSA-N 1 2 324.428 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1ccnc2c1CC(=O)N2 ZINC001409602584 845397138 /nfs/dbraw/zinc/39/71/38/845397138.db2.gz PZWUNBIWLAQHHC-SNVBAGLBSA-N 1 2 322.796 1.379 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1ccnc2c1CC(=O)N2 ZINC001409602584 845397139 /nfs/dbraw/zinc/39/71/39/845397139.db2.gz PZWUNBIWLAQHHC-SNVBAGLBSA-N 1 2 322.796 1.379 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001409914472 846592104 /nfs/dbraw/zinc/59/21/04/846592104.db2.gz MNWYOCYEFUOUDY-WCQYABFASA-N 1 2 313.829 1.234 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@]3(C2)CN(CCCC#N)C(=O)CO3)co1 ZINC001272726688 846724294 /nfs/dbraw/zinc/72/42/94/846724294.db2.gz SPVCWPSOOVQAPU-MRXNPFEDSA-N 1 2 318.377 1.090 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@]3(C2)CN(CCCC#N)C(=O)CO3)co1 ZINC001272726688 846724301 /nfs/dbraw/zinc/72/43/01/846724301.db2.gz SPVCWPSOOVQAPU-MRXNPFEDSA-N 1 2 318.377 1.090 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CC[C@H]2CCCO2)C1 ZINC001107757652 846960860 /nfs/dbraw/zinc/96/08/60/846960860.db2.gz RRTRJFPASRGOAG-NVXWUHKLSA-N 1 2 308.422 1.176 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CC[C@H]2CCCO2)C1 ZINC001107757652 846960867 /nfs/dbraw/zinc/96/08/67/846960867.db2.gz RRTRJFPASRGOAG-NVXWUHKLSA-N 1 2 308.422 1.176 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)OCC2CC2)C1 ZINC001107790385 847082705 /nfs/dbraw/zinc/08/27/05/847082705.db2.gz FYPHXYLKRIGMLO-RHSMWYFYSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)OCC2CC2)C1 ZINC001107790385 847082712 /nfs/dbraw/zinc/08/27/12/847082712.db2.gz FYPHXYLKRIGMLO-RHSMWYFYSA-N 1 2 308.422 1.032 20 30 DDEDLO Cc1ccncc1C[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107818471 847195346 /nfs/dbraw/zinc/19/53/46/847195346.db2.gz AFEAEVHLHFZFKQ-WMLDXEAASA-N 1 2 316.405 1.257 20 30 DDEDLO Cc1ccncc1C[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107818471 847195350 /nfs/dbraw/zinc/19/53/50/847195350.db2.gz AFEAEVHLHFZFKQ-WMLDXEAASA-N 1 2 316.405 1.257 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CC(C)(C)O)C1 ZINC001149631616 861767251 /nfs/dbraw/zinc/76/72/51/861767251.db2.gz KOPWANNCOLWFES-LBPRGKRZSA-N 1 2 304.818 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CC(C)(C)O)C1 ZINC001149631616 861767259 /nfs/dbraw/zinc/76/72/59/861767259.db2.gz KOPWANNCOLWFES-LBPRGKRZSA-N 1 2 304.818 1.107 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+](Cc3csnn3)CC2)C1=O ZINC001272780290 847418282 /nfs/dbraw/zinc/41/82/82/847418282.db2.gz DSIZRQVBUJICTR-OAHLLOKOSA-N 1 2 306.435 1.929 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+](Cc3csnn3)CC2)C1=O ZINC001272780290 847418284 /nfs/dbraw/zinc/41/82/84/847418284.db2.gz DSIZRQVBUJICTR-OAHLLOKOSA-N 1 2 306.435 1.929 20 30 DDEDLO N#C[C@H]1C[C@H]1C(=O)N1CC2(CC[N@H+]2CCCC(F)(F)F)C1 ZINC001272886413 847591958 /nfs/dbraw/zinc/59/19/58/847591958.db2.gz MAJMRAPIAYDIQY-GHMZBOCLSA-N 1 2 301.312 1.775 20 30 DDEDLO N#C[C@H]1C[C@H]1C(=O)N1CC2(CC[N@@H+]2CCCC(F)(F)F)C1 ZINC001272886413 847591964 /nfs/dbraw/zinc/59/19/64/847591964.db2.gz MAJMRAPIAYDIQY-GHMZBOCLSA-N 1 2 301.312 1.775 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CCCC[N@H+](Cc3ccon3)C2)c1 ZINC001034158458 848023880 /nfs/dbraw/zinc/02/38/80/848023880.db2.gz BCLUNIBYCGHKJZ-ZDUSSCGKSA-N 1 2 313.361 1.659 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H]2CCCC[N@@H+](Cc3ccon3)C2)c1 ZINC001034158458 848023884 /nfs/dbraw/zinc/02/38/84/848023884.db2.gz BCLUNIBYCGHKJZ-ZDUSSCGKSA-N 1 2 313.361 1.659 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1ccc(F)nc1)C2 ZINC001273241654 849011396 /nfs/dbraw/zinc/01/13/96/849011396.db2.gz KVMWIWUBVCLUOL-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1ccc(F)nc1)C2 ZINC001273241654 849011404 /nfs/dbraw/zinc/01/14/04/849011404.db2.gz KVMWIWUBVCLUOL-KRWDZBQOSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3ccc(Cl)nn3)C2)OCC1=O ZINC001273346021 849604227 /nfs/dbraw/zinc/60/42/27/849604227.db2.gz DMZGYTYJXTXDMN-OAHLLOKOSA-N 1 2 322.796 1.119 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3ccc(Cl)nn3)C2)OCC1=O ZINC001273346021 849604232 /nfs/dbraw/zinc/60/42/32/849604232.db2.gz DMZGYTYJXTXDMN-OAHLLOKOSA-N 1 2 322.796 1.119 20 30 DDEDLO C#CCN1CC2(C[NH+](CCc3ccc(Cl)cc3)C2)OCC1=O ZINC001273431114 850271653 /nfs/dbraw/zinc/27/16/53/850271653.db2.gz BOUBJZJOTUBXFS-UHFFFAOYSA-N 1 2 318.804 1.429 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)CCc1ccncc1)CO2 ZINC001327397374 862137873 /nfs/dbraw/zinc/13/78/73/862137873.db2.gz QIZLAIQFLMJWIC-MRXNPFEDSA-N 1 2 315.417 1.550 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(CC)CC1)CO2 ZINC001327403060 862144087 /nfs/dbraw/zinc/14/40/87/862144087.db2.gz AUGXWHKKXCXEMR-CQSZACIVSA-N 1 2 308.422 1.339 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1COC2(C[NH+](CCOCC)C2)C1 ZINC001327405258 862144623 /nfs/dbraw/zinc/14/46/23/862144623.db2.gz HNDUGWOAKMNASP-GDBMZVCRSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCC(C)(C)C(=O)NCC[NH2+][C@@H](C)c1nc(COC)no1 ZINC001134411937 850669204 /nfs/dbraw/zinc/66/92/04/850669204.db2.gz MYFAJFRSZBQFPD-NSHDSACASA-N 1 2 310.398 1.585 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)CC[C@H]1CCOC1)O2 ZINC001273655986 851190884 /nfs/dbraw/zinc/19/08/84/851190884.db2.gz SEGGCSIDZBZHRX-LSDHHAIUSA-N 1 2 308.422 1.339 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C2CCCC2)o1 ZINC001273821950 851385936 /nfs/dbraw/zinc/38/59/36/851385936.db2.gz FBMSWDNUDSTDTE-ZBFHGGJFSA-N 1 2 315.373 1.619 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C2CCCC2)o1 ZINC001273821950 851385941 /nfs/dbraw/zinc/38/59/41/851385941.db2.gz FBMSWDNUDSTDTE-ZBFHGGJFSA-N 1 2 315.373 1.619 20 30 DDEDLO N#CCC[N@H+]1CC[C@@H]2[C@H]1CCC(=O)N2CCCCCC(N)=O ZINC001274032031 851873382 /nfs/dbraw/zinc/87/33/82/851873382.db2.gz FPPQPWNLWBATCT-ZIAGYGMSSA-N 1 2 306.410 1.011 20 30 DDEDLO N#CCC[N@@H+]1CC[C@@H]2[C@H]1CCC(=O)N2CCCCCC(N)=O ZINC001274032031 851873385 /nfs/dbraw/zinc/87/33/85/851873385.db2.gz FPPQPWNLWBATCT-ZIAGYGMSSA-N 1 2 306.410 1.011 20 30 DDEDLO Cc1ncc(C[NH+]2CCC3(C[C@@H]3C(=O)NCCCC#N)CC2)[nH]1 ZINC001274576956 852409491 /nfs/dbraw/zinc/40/94/91/852409491.db2.gz SQYJFTUYNLKAIV-OAHLLOKOSA-N 1 2 315.421 1.740 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C[NH2+]Cc1nc(C(C)C)no1 ZINC001274888603 852660232 /nfs/dbraw/zinc/66/02/32/852660232.db2.gz PHBWPXQCPARPFS-CYBMUJFWSA-N 1 2 306.410 1.981 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)NC[C@H](C)N(C)C(=O)[C@H](C)C#N)c[nH+]1 ZINC001411587749 853416807 /nfs/dbraw/zinc/41/68/07/853416807.db2.gz ASISTONLSBQSFQ-YPMHNXCESA-N 1 2 319.409 1.049 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)C[N@H+](C)Cc2cnnn2CC)C1 ZINC001275647633 853486280 /nfs/dbraw/zinc/48/62/80/853486280.db2.gz DEODCXXSVUADOV-ZDUSSCGKSA-N 1 2 305.426 1.591 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)C[N@@H+](C)Cc2cnnn2CC)C1 ZINC001275647633 853486277 /nfs/dbraw/zinc/48/62/77/853486277.db2.gz DEODCXXSVUADOV-ZDUSSCGKSA-N 1 2 305.426 1.591 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC3(C2)C[NH+](C2CCCC2)C3)c1O ZINC001275734931 853650070 /nfs/dbraw/zinc/65/00/70/853650070.db2.gz UTQCTSOEGRGFFT-UHFFFAOYSA-N 1 2 311.385 1.964 20 30 DDEDLO N#CC1(c2ccccn2)CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC001412067459 854178777 /nfs/dbraw/zinc/17/87/77/854178777.db2.gz FDOAFUGKDCHCNM-ZIAGYGMSSA-N 1 2 321.384 1.992 20 30 DDEDLO N#CC1(c2ccccn2)CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CC1 ZINC001412067459 854178781 /nfs/dbraw/zinc/17/87/81/854178781.db2.gz FDOAFUGKDCHCNM-ZIAGYGMSSA-N 1 2 321.384 1.992 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)CCC2CCCC2)C1 ZINC001276335730 855669962 /nfs/dbraw/zinc/66/99/62/855669962.db2.gz ZRJVZZRLTSBJAS-CQSZACIVSA-N 1 2 321.465 1.696 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](Cc2nnc(C)[nH]2)[C@H](C)C1 ZINC001328237838 862799058 /nfs/dbraw/zinc/79/90/58/862799058.db2.gz CDYDVZHEKYCIAT-HIFRSBDPSA-N 1 2 317.437 1.776 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](Cc2nnc(C)[nH]2)[C@H](C)C1 ZINC001328237838 862799069 /nfs/dbraw/zinc/79/90/69/862799069.db2.gz CDYDVZHEKYCIAT-HIFRSBDPSA-N 1 2 317.437 1.776 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)NCCn2cc[nH+]c2)c1Cl ZINC001413264577 856584828 /nfs/dbraw/zinc/58/48/28/856584828.db2.gz GQHLPHKBBREVMW-UHFFFAOYSA-N 1 2 310.766 1.387 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@@H](C)C1 ZINC001072632869 857537796 /nfs/dbraw/zinc/53/77/96/857537796.db2.gz PIHWTSRXKYUMMZ-WFASDCNBSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001072635442 857540789 /nfs/dbraw/zinc/54/07/89/857540789.db2.gz GGRQVERKOWSKQG-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO CC(C)C#CC(=O)N1CCC(C)(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001073012996 857998107 /nfs/dbraw/zinc/99/81/07/857998107.db2.gz MMUAZODRGKBOKD-UHFFFAOYSA-N 1 2 316.405 1.040 20 30 DDEDLO C=CCCC(=O)NC1(C)CCN(C(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001073176907 858158067 /nfs/dbraw/zinc/15/80/67/858158067.db2.gz AFSCFDRBHHAFLM-UHFFFAOYSA-N 1 2 318.421 1.724 20 30 DDEDLO C#CCCCC(=O)NC1(C)CCN(C(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001073215753 858184766 /nfs/dbraw/zinc/18/47/66/858184766.db2.gz YMPKTVOMHIHKSO-UHFFFAOYSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CC[N@@H+]1CCCO[C@@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001073603743 858477067 /nfs/dbraw/zinc/47/70/67/858477067.db2.gz NKGNZGVXLQVOJM-UONOGXRCSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CC[N@H+]1CCCO[C@@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001073603743 858477071 /nfs/dbraw/zinc/47/70/71/858477071.db2.gz NKGNZGVXLQVOJM-UONOGXRCSA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N1CCSCC1 ZINC001122490035 858848938 /nfs/dbraw/zinc/84/89/38/858848938.db2.gz DZKGRSZHSFCNSH-UHFFFAOYSA-N 1 2 318.450 1.732 20 30 DDEDLO C#CCCC[C@@H](C)OC(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC001123154246 859106276 /nfs/dbraw/zinc/10/62/76/859106276.db2.gz WRBHUSPFJUJWGQ-OAGGEKHMSA-N 1 2 309.406 1.601 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)NCc1cc[nH+]c(NCC)c1 ZINC001123672858 859354729 /nfs/dbraw/zinc/35/47/29/859354729.db2.gz IEMHPSPEOZILCC-CQSZACIVSA-N 1 2 304.394 1.741 20 30 DDEDLO Cc1cc(NC[C@@H](O)CN(C)C(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001124192405 859622413 /nfs/dbraw/zinc/62/24/13/859622413.db2.gz WHIMOTDNMDRUDY-GXFFZTMASA-N 1 2 317.393 1.053 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2cnccc2OC)C1 ZINC001139610349 860440710 /nfs/dbraw/zinc/44/07/10/860440710.db2.gz DBYCIHLKDPSBRN-CQSZACIVSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2cnccc2OC)C1 ZINC001139610349 860440707 /nfs/dbraw/zinc/44/07/07/860440707.db2.gz DBYCIHLKDPSBRN-CQSZACIVSA-N 1 2 305.378 1.967 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]CCNC(=O)CC#Cc2ccccc2)o1 ZINC001151958608 863080068 /nfs/dbraw/zinc/08/00/68/863080068.db2.gz LFOQURHIYQPUSJ-ZDUSSCGKSA-N 1 2 312.373 1.587 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)CC(C)(C)CC(F)F)C1 ZINC001329679040 863785412 /nfs/dbraw/zinc/78/54/12/863785412.db2.gz IXUOERJMPVCSBW-UHFFFAOYSA-N 1 2 316.392 1.634 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@H]2CCCC(F)(F)C2)C1 ZINC001329693824 863795412 /nfs/dbraw/zinc/79/54/12/863795412.db2.gz LMYKGZFQHFMKNY-ZDUSSCGKSA-N 1 2 316.392 1.941 20 30 DDEDLO C#CC[C@@H]1CCCN(C(=O)C(=O)NCc2c[nH+]c(C)cc2C)C1 ZINC001330205358 864155001 /nfs/dbraw/zinc/15/50/01/864155001.db2.gz AYAQSNOUJRRHSE-OAHLLOKOSA-N 1 2 313.401 1.577 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2ccc(F)s2)C(C)(C)C1 ZINC001330263346 864196910 /nfs/dbraw/zinc/19/69/10/864196910.db2.gz TUSUFKDGLZMDOE-ZDUSSCGKSA-N 1 2 324.421 1.977 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2ccc(F)s2)C(C)(C)C1 ZINC001330263346 864196913 /nfs/dbraw/zinc/19/69/13/864196913.db2.gz TUSUFKDGLZMDOE-ZDUSSCGKSA-N 1 2 324.421 1.977 20 30 DDEDLO CCN(CC#N)[C@H](C)CNC(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC001153930644 864237595 /nfs/dbraw/zinc/23/75/95/864237595.db2.gz VQCLYHOTXVEYHX-CABCVRRESA-N 1 2 305.426 1.820 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001158171714 864469920 /nfs/dbraw/zinc/46/99/20/864469920.db2.gz FHWAGJPPCUGQRU-LSDHHAIUSA-N 1 2 315.421 1.282 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@H](C2CC2)N(C)C(=O)C#CC2CC2)no1 ZINC001331282681 864987356 /nfs/dbraw/zinc/98/73/56/864987356.db2.gz OUGLYPAMDXZGRL-XHDPSFHLSA-N 1 2 316.405 1.679 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](O)C[N@@H+](C)[C@H](C)c1nc(C)no1 ZINC001332617042 865981782 /nfs/dbraw/zinc/98/17/82/865981782.db2.gz CBVYHDJBOFLTDV-YPMHNXCESA-N 1 2 310.398 1.156 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](O)C[N@H+](C)[C@H](C)c1nc(C)no1 ZINC001332617042 865981786 /nfs/dbraw/zinc/98/17/86/865981786.db2.gz CBVYHDJBOFLTDV-YPMHNXCESA-N 1 2 310.398 1.156 20 30 DDEDLO COC(=O)[C@@H](Cc1c[nH+]cn1C)Nc1ncc(F)cc1C#N ZINC001160691616 866033270 /nfs/dbraw/zinc/03/32/70/866033270.db2.gz UOCBDAQMRPRNPL-GFCCVEGCSA-N 1 2 303.297 1.022 20 30 DDEDLO C#CCCN1CCC[C@@H](Nc2ccc(Cn3cncn3)c[nH+]2)C1=O ZINC001160899887 866175729 /nfs/dbraw/zinc/17/57/29/866175729.db2.gz PMJDWJDHAXGFFE-OAHLLOKOSA-N 1 2 324.388 1.148 20 30 DDEDLO COCC[N@H+](CCNC(=O)C#CC(C)C)[C@@H](C)c1cnccn1 ZINC001332914599 866249722 /nfs/dbraw/zinc/24/97/22/866249722.db2.gz XHKRXCMLHXSZRD-HNNXBMFYSA-N 1 2 318.421 1.262 20 30 DDEDLO COCC[N@@H+](CCNC(=O)C#CC(C)C)[C@@H](C)c1cnccn1 ZINC001332914599 866249738 /nfs/dbraw/zinc/24/97/38/866249738.db2.gz XHKRXCMLHXSZRD-HNNXBMFYSA-N 1 2 318.421 1.262 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc2cc[nH]c21 ZINC001323311079 866521885 /nfs/dbraw/zinc/52/18/85/866521885.db2.gz TVIUBOMVWWFTCJ-OAHLLOKOSA-N 1 2 311.385 1.622 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc2cc[nH]c21 ZINC001323311079 866521897 /nfs/dbraw/zinc/52/18/97/866521897.db2.gz TVIUBOMVWWFTCJ-OAHLLOKOSA-N 1 2 311.385 1.622 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](O)C[NH2+][C@@H](C)c1nc(C)no1 ZINC001320258454 866595199 /nfs/dbraw/zinc/59/51/99/866595199.db2.gz NGRZHNAAWOPBHT-CMPLNLGQSA-N 1 2 310.398 1.108 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CCc2cnn(C)c2C)C1 ZINC001323930828 866956993 /nfs/dbraw/zinc/95/69/93/866956993.db2.gz JHRBKQVZWKHAPQ-UHFFFAOYSA-N 1 2 320.437 1.006 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)[C@]23CCO[C@H]2CCCC3)C1 ZINC001323953396 866971855 /nfs/dbraw/zinc/97/18/55/866971855.db2.gz BZQDPTUSYYSXOV-FUHWJXTLSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)CCCn2ccccc2=O)C1 ZINC001323964853 866983212 /nfs/dbraw/zinc/98/32/12/866983212.db2.gz XDEBEIKQRSOBDW-UHFFFAOYSA-N 1 2 303.406 1.347 20 30 DDEDLO C#CCCCCC(=O)N[C@H](C)[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001333887012 867119165 /nfs/dbraw/zinc/11/91/65/867119165.db2.gz NPKKQSWMEPAAGB-CHWSQXEVSA-N 1 2 318.421 1.464 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H](CNCC#N)C2CCCC2)c[nH+]1 ZINC001324482631 867304402 /nfs/dbraw/zinc/30/44/02/867304402.db2.gz NRGURXONPUBQJX-OAHLLOKOSA-N 1 2 317.437 1.715 20 30 DDEDLO C=CCCC(=O)NCC1(COC)CC[NH+](Cc2cnon2)CC1 ZINC001162161176 867306952 /nfs/dbraw/zinc/30/69/52/867306952.db2.gz XYAOCTRZJBGZOA-UHFFFAOYSA-N 1 2 322.409 1.381 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C/C[NH2+]Cc2ncccn2)cc1 ZINC001321191870 867391212 /nfs/dbraw/zinc/39/12/12/867391212.db2.gz JKWIGQCKQRWLKP-ONEGZZNKSA-N 1 2 306.369 1.534 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001334351666 867508295 /nfs/dbraw/zinc/50/82/95/867508295.db2.gz ZULLFCFIPGUWCN-CYBMUJFWSA-N 1 2 306.410 1.662 20 30 DDEDLO C=C(C)CCC(=O)N(C)[C@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001334351666 867508307 /nfs/dbraw/zinc/50/83/07/867508307.db2.gz ZULLFCFIPGUWCN-CYBMUJFWSA-N 1 2 306.410 1.662 20 30 DDEDLO COCCCn1nccc1C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC001334383175 867531726 /nfs/dbraw/zinc/53/17/26/867531726.db2.gz FYVQZAGOULKGOR-GASCZTMLSA-N 1 2 305.426 1.338 20 30 DDEDLO COCCCn1nccc1C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC001334383175 867531736 /nfs/dbraw/zinc/53/17/36/867531736.db2.gz FYVQZAGOULKGOR-GASCZTMLSA-N 1 2 305.426 1.338 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)Nc1ccc([N+](=O)[O-])c(N)c1C#N ZINC001162449796 867540815 /nfs/dbraw/zinc/54/08/15/867540815.db2.gz BGJHDHHAEDMZEO-NSHDSACASA-N 1 2 318.381 1.096 20 30 DDEDLO C#CCCCC(=O)NCC1(O)C[NH+](Cc2cc(C)sc2C)C1 ZINC001325202454 867859620 /nfs/dbraw/zinc/85/96/20/867859620.db2.gz YANHUPJENABIOW-UHFFFAOYSA-N 1 2 320.458 1.831 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)CCCOc2ccccc2)C1 ZINC001325311368 867935054 /nfs/dbraw/zinc/93/50/54/867935054.db2.gz FCUVNOWHLYKMID-UHFFFAOYSA-N 1 2 316.401 1.032 20 30 DDEDLO C=CC[C@@H](NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)OCC ZINC001325357787 867968757 /nfs/dbraw/zinc/96/87/57/867968757.db2.gz XSAFIPUUJAMTDT-NWDGAFQWSA-N 1 2 300.424 1.048 20 30 DDEDLO C=C[C@H](COC)NC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC001325634080 868200835 /nfs/dbraw/zinc/20/08/35/868200835.db2.gz DJPRTSXHDMETFP-CQSZACIVSA-N 1 2 300.362 1.873 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)Cc1ncccc1OC ZINC001381566048 882182521 /nfs/dbraw/zinc/18/25/21/882182521.db2.gz BCFXFLHQDUDHME-LBPRGKRZSA-N 1 2 311.813 1.822 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)Cc1ncccc1OC ZINC001381566048 882182526 /nfs/dbraw/zinc/18/25/26/882182526.db2.gz BCFXFLHQDUDHME-LBPRGKRZSA-N 1 2 311.813 1.822 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001335626092 868436283 /nfs/dbraw/zinc/43/62/83/868436283.db2.gz LESYOQKVBSKNSA-MQMHXKEQSA-N 1 2 304.394 1.320 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001335626092 868436292 /nfs/dbraw/zinc/43/62/92/868436292.db2.gz LESYOQKVBSKNSA-MQMHXKEQSA-N 1 2 304.394 1.320 20 30 DDEDLO Cc1nnn([NH+]=C[C@]23C[C@H]2CN(C(=O)OC(C)(C)C)C3)c1C ZINC001336982567 869244150 /nfs/dbraw/zinc/24/41/50/869244150.db2.gz PBXGWTDGCILLHC-WFASDCNBSA-N 1 2 305.382 1.986 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1C(F)(F)c1cc(Cl)nc(=S)[nH]1 ZINC001164651477 869340743 /nfs/dbraw/zinc/34/07/43/869340743.db2.gz IUKOHQCWSWUYDR-ZCFIWIBFSA-N 1 2 305.741 1.265 20 30 DDEDLO C=CC[NH+]1CCN(c2ncnc(Cl)c2CC(=O)OC)CC1 ZINC001165031789 869355614 /nfs/dbraw/zinc/35/56/14/869355614.db2.gz WDAVBQWDVPNQHQ-UHFFFAOYSA-N 1 2 310.785 1.154 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC(C)(C)C)C1 ZINC001316976794 870039625 /nfs/dbraw/zinc/03/96/25/870039625.db2.gz UQEHRLJJGKYWPF-HNNXBMFYSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](CC(=O)NCC(C)(C)C)C1 ZINC001316976794 870039635 /nfs/dbraw/zinc/03/96/35/870039635.db2.gz UQEHRLJJGKYWPF-HNNXBMFYSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ncc(CC)s3)n2C)CC1 ZINC001338688273 870116083 /nfs/dbraw/zinc/11/60/83/870116083.db2.gz ZTLBONZAMZNICX-UHFFFAOYSA-N 1 2 316.434 1.256 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CC[C@@H](C)C[C@H]1C ZINC001338771969 870158490 /nfs/dbraw/zinc/15/84/90/870158490.db2.gz IAHSXGQUJASAQM-TUVASFSCSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CC[C@@H](C)C[C@H]1C ZINC001338771969 870158494 /nfs/dbraw/zinc/15/84/94/870158494.db2.gz IAHSXGQUJASAQM-TUVASFSCSA-N 1 2 319.453 1.826 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC1CCCC1 ZINC001317044664 870159219 /nfs/dbraw/zinc/15/92/19/870159219.db2.gz UBRBDISJOYGRGW-CALCHBBNSA-N 1 2 304.434 1.892 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC1CCCC1 ZINC001317044664 870159224 /nfs/dbraw/zinc/15/92/24/870159224.db2.gz UBRBDISJOYGRGW-CALCHBBNSA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)C1CCCCC1 ZINC001338775085 870162371 /nfs/dbraw/zinc/16/23/71/870162371.db2.gz UFEJVHXQPIKXSV-HUUCEWRRSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)C1CCCCC1 ZINC001338775085 870162381 /nfs/dbraw/zinc/16/23/81/870162381.db2.gz UFEJVHXQPIKXSV-HUUCEWRRSA-N 1 2 319.453 1.971 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1CCCC[C@H]1CNC(=O)[C@H](C)C#N ZINC001317170199 870405186 /nfs/dbraw/zinc/40/51/86/870405186.db2.gz WACGTHWZMJCEHD-KGLIPLIRSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCCNC(=O)C[N@H+]1CCCC[C@H]1CNC(=O)[C@H](C)C#N ZINC001317170199 870405193 /nfs/dbraw/zinc/40/51/93/870405193.db2.gz WACGTHWZMJCEHD-KGLIPLIRSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](NC(=O)Cn2cc[nH+]c2)CC[C@@H]1C ZINC001339700505 870643595 /nfs/dbraw/zinc/64/35/95/870643595.db2.gz XABCMEWAJHDLGH-GJZGRUSLSA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](C)[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001339738627 870665298 /nfs/dbraw/zinc/66/52/98/870665298.db2.gz MOXTZKAYYKZPSU-KBPBESRZSA-N 1 2 318.421 1.519 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)CCNC(=O)[C@H]1CC1(F)F ZINC001317443846 870846208 /nfs/dbraw/zinc/84/62/08/870846208.db2.gz MAPUENVRKXSDRB-GFCCVEGCSA-N 1 2 317.380 1.114 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)CCNC(=O)[C@H]1CC1(F)F ZINC001317443846 870846220 /nfs/dbraw/zinc/84/62/20/870846220.db2.gz MAPUENVRKXSDRB-GFCCVEGCSA-N 1 2 317.380 1.114 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN1C(=O)Cn1cc[nH+]c1 ZINC001299094134 870893662 /nfs/dbraw/zinc/89/36/62/870893662.db2.gz AFTLFTUQYNEOJU-XJKSGUPXSA-N 1 2 304.394 1.203 20 30 DDEDLO N#Cc1ccccc1/C=C/C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC001302061493 871041060 /nfs/dbraw/zinc/04/10/60/871041060.db2.gz UMAGJKOGDVKLKA-JFDDCEBESA-N 1 2 307.357 1.468 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)[C@H](C)C1 ZINC001340374195 871065219 /nfs/dbraw/zinc/06/52/19/871065219.db2.gz KQNZOZNMWSUUPN-CRWXNKLISA-N 1 2 305.426 1.294 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@H](C)[C@H](C)C1 ZINC001340374195 871065242 /nfs/dbraw/zinc/06/52/42/871065242.db2.gz KQNZOZNMWSUUPN-CRWXNKLISA-N 1 2 305.426 1.294 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2c(C3CCC3)cnn2C)C1 ZINC001318026001 871697119 /nfs/dbraw/zinc/69/71/19/871697119.db2.gz UAGLCBIXSBSBEA-UHFFFAOYSA-N 1 2 318.421 1.304 20 30 DDEDLO CC(C)(C)C#CC(=O)NCC1C[NH+](CC(=O)NC2CCCC2)C1 ZINC001318119615 871762824 /nfs/dbraw/zinc/76/28/24/871762824.db2.gz XBAMXWYMLVSOIN-UHFFFAOYSA-N 1 2 319.449 1.143 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)C(F)F ZINC001318217228 871839600 /nfs/dbraw/zinc/83/96/00/871839600.db2.gz YEIJNGUJRIONOR-RYUDHWBXSA-N 1 2 300.349 1.213 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)C(F)F ZINC001318217228 871839606 /nfs/dbraw/zinc/83/96/06/871839606.db2.gz YEIJNGUJRIONOR-RYUDHWBXSA-N 1 2 300.349 1.213 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)cn2)C1 ZINC001318243925 871868700 /nfs/dbraw/zinc/86/87/00/871868700.db2.gz BTBAYWYXVAOHNR-HNNXBMFYSA-N 1 2 318.421 1.458 20 30 DDEDLO C=CCOCCCC(=O)N[C@H]1CC[N@H+](Cc2cnc(C)cn2)C1 ZINC001318243925 871868718 /nfs/dbraw/zinc/86/87/18/871868718.db2.gz BTBAYWYXVAOHNR-HNNXBMFYSA-N 1 2 318.421 1.458 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2c(C)noc2C(C)C)C1 ZINC001318257206 871888291 /nfs/dbraw/zinc/88/82/91/871888291.db2.gz HAUKJMFSMMNNRR-AWEZNQCLSA-N 1 2 319.405 1.560 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2c(C)noc2C(C)C)C1 ZINC001318257206 871888311 /nfs/dbraw/zinc/88/83/11/871888311.db2.gz HAUKJMFSMMNNRR-AWEZNQCLSA-N 1 2 319.405 1.560 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)CCCCOC ZINC001342061662 871978410 /nfs/dbraw/zinc/97/84/10/871978410.db2.gz JZXKRPPREGLCJR-ZIAGYGMSSA-N 1 2 323.441 1.064 20 30 DDEDLO C=CCn1c([C@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)CCCCOC ZINC001342061662 871978430 /nfs/dbraw/zinc/97/84/30/871978430.db2.gz JZXKRPPREGLCJR-ZIAGYGMSSA-N 1 2 323.441 1.064 20 30 DDEDLO C[C@@H]1CCCC[C@@]1(CNCC#N)NC(=O)CCCn1cc[nH+]c1 ZINC001318757675 872249196 /nfs/dbraw/zinc/24/91/96/872249196.db2.gz ITQJXCRYRRQRPW-WBVHZDCISA-N 1 2 317.437 1.842 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001206778904 872572830 /nfs/dbraw/zinc/57/28/30/872572830.db2.gz PHIWTYYTBSLOIR-UKRRQHHQSA-N 1 2 321.421 1.902 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1C[N@H+](Cc2ncc(C)o2)C[C@H]1C ZINC001206778904 872572841 /nfs/dbraw/zinc/57/28/41/872572841.db2.gz PHIWTYYTBSLOIR-UKRRQHHQSA-N 1 2 321.421 1.902 20 30 DDEDLO C=CCN(CC[N@@H+]1CC[C@@](F)(CO)C1)C(=O)OC(C)(C)C ZINC001207198206 873116158 /nfs/dbraw/zinc/11/61/58/873116158.db2.gz AORFYLMFYIINMT-HNNXBMFYSA-N 1 2 302.390 1.816 20 30 DDEDLO C=CCN(CC[N@H+]1CC[C@@](F)(CO)C1)C(=O)OC(C)(C)C ZINC001207198206 873116164 /nfs/dbraw/zinc/11/61/64/873116164.db2.gz AORFYLMFYIINMT-HNNXBMFYSA-N 1 2 302.390 1.816 20 30 DDEDLO Cc1nnc(C[NH2+][C@H]2CCCN(C(=O)C#CC(C)(C)C)C2)o1 ZINC001208011143 873746343 /nfs/dbraw/zinc/74/63/43/873746343.db2.gz WZARQQGAMAXCCX-ZDUSSCGKSA-N 1 2 304.394 1.508 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](C)SC)n2CC2CC2)CC1 ZINC001346573680 873809741 /nfs/dbraw/zinc/80/97/41/873809741.db2.gz GIGUMBFJUACQRA-ZDUSSCGKSA-N 1 2 319.478 1.867 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001208255807 873939373 /nfs/dbraw/zinc/93/93/73/873939373.db2.gz RKYCWSXTKGIBGV-ZDUSSCGKSA-N 1 2 322.409 1.653 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208393830 874104797 /nfs/dbraw/zinc/10/47/97/874104797.db2.gz YFAPOHISTVXOTF-HZSPNIEDSA-N 1 2 316.467 1.070 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208393830 874104798 /nfs/dbraw/zinc/10/47/98/874104798.db2.gz YFAPOHISTVXOTF-HZSPNIEDSA-N 1 2 316.467 1.070 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cnnn3C)C[C@H]2C)CCC1 ZINC001208497813 874194693 /nfs/dbraw/zinc/19/46/93/874194693.db2.gz AWRPFEJNTUOGGA-UKRRQHHQSA-N 1 2 317.437 1.498 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3cnnn3C)C[C@H]2C)CCC1 ZINC001208497813 874194698 /nfs/dbraw/zinc/19/46/98/874194698.db2.gz AWRPFEJNTUOGGA-UKRRQHHQSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208509290 874207492 /nfs/dbraw/zinc/20/74/92/874207492.db2.gz YWSAZIGTOVHOHS-NFAWXSAZSA-N 1 2 316.467 1.070 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208509290 874207507 /nfs/dbraw/zinc/20/75/07/874207507.db2.gz YWSAZIGTOVHOHS-NFAWXSAZSA-N 1 2 316.467 1.070 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2nonc2C)C[C@H]1C ZINC001208509284 874207813 /nfs/dbraw/zinc/20/78/13/874207813.db2.gz YSANEMPVEDMGQO-AXAPSJFSSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2nonc2C)C[C@H]1C ZINC001208509284 874207825 /nfs/dbraw/zinc/20/78/25/874207825.db2.gz YSANEMPVEDMGQO-AXAPSJFSSA-N 1 2 306.410 1.917 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1C[C@H](C)C(=O)OC ZINC001208714804 874408851 /nfs/dbraw/zinc/40/88/51/874408851.db2.gz WPYQRFZZQNPNTG-GXTWGEPZSA-N 1 2 322.409 1.209 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(CC)c2CC[N@H+]1C[C@H](C)C(=O)OC ZINC001208714804 874408855 /nfs/dbraw/zinc/40/88/55/874408855.db2.gz WPYQRFZZQNPNTG-GXTWGEPZSA-N 1 2 322.409 1.209 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+](Cc2nccc(C)n2)CC1 ZINC001227113243 882844338 /nfs/dbraw/zinc/84/43/38/882844338.db2.gz CTGQRNQYOLWKET-KRWDZBQOSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C1CC(C)(C(=O)NCCNC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001349001079 874918519 /nfs/dbraw/zinc/91/85/19/874918519.db2.gz IRCIVACDVNRZSB-ZDUSSCGKSA-N 1 2 304.394 1.423 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001349081243 874960824 /nfs/dbraw/zinc/96/08/24/874960824.db2.gz NPCIEAZXFWYPJT-GFCCVEGCSA-N 1 2 306.410 1.423 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001349081243 874960831 /nfs/dbraw/zinc/96/08/31/874960831.db2.gz NPCIEAZXFWYPJT-GFCCVEGCSA-N 1 2 306.410 1.423 20 30 DDEDLO Cc1ccnc(C[NH+]2CCC(NC(=O)C#CC(C)C)CC2)n1 ZINC001227233387 882923819 /nfs/dbraw/zinc/92/38/19/882923819.db2.gz UMFMXRQTSXJIPE-UHFFFAOYSA-N 1 2 300.406 1.525 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)OC2CCCCCC2)[C@H](OC)C1 ZINC001213419155 875929499 /nfs/dbraw/zinc/92/94/99/875929499.db2.gz LEPQDTKNKJNILG-USXIJHARSA-N 1 2 322.449 1.563 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)OC2CCCCCC2)[C@H](OC)C1 ZINC001213419155 875929507 /nfs/dbraw/zinc/92/95/07/875929507.db2.gz LEPQDTKNKJNILG-USXIJHARSA-N 1 2 322.449 1.563 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001214301374 876271758 /nfs/dbraw/zinc/27/17/58/876271758.db2.gz AFONWYAYGVMADD-VXGBXAGGSA-N 1 2 308.382 1.119 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001351786921 876430172 /nfs/dbraw/zinc/43/01/72/876430172.db2.gz INCVKFRLTOOAGA-UHFFFAOYSA-N 1 2 320.437 1.828 20 30 DDEDLO C#CCN(CC#CC)c1nnc(Cc2[nH+]ccn2C)n1CC=C ZINC001352094391 876595005 /nfs/dbraw/zinc/59/50/05/876595005.db2.gz XKMOQNKFPFMJEA-UHFFFAOYSA-N 1 2 308.389 1.251 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001352946314 877029497 /nfs/dbraw/zinc/02/94/97/877029497.db2.gz YCNRKRBYVVVUII-MLGOLLRUSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001216929824 877095184 /nfs/dbraw/zinc/09/51/84/877095184.db2.gz CZHWATOVQNRATH-JHJVBQTASA-N 1 2 322.409 1.160 20 30 DDEDLO Cc1csc(C[N@@H+](C)C[C@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001379655115 877663082 /nfs/dbraw/zinc/66/30/82/877663082.db2.gz QXKOVNJBUAKMHL-JTQLQIEISA-N 1 2 317.418 1.902 20 30 DDEDLO Cc1csc(C[N@H+](C)C[C@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001379655115 877663083 /nfs/dbraw/zinc/66/30/83/877663083.db2.gz QXKOVNJBUAKMHL-JTQLQIEISA-N 1 2 317.418 1.902 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)OCCC(C)C)[C@H]2C1 ZINC001219067619 877897874 /nfs/dbraw/zinc/89/78/74/877897874.db2.gz ZMMQAXNXTXKPAT-IXDOHACOSA-N 1 2 322.449 1.373 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)OCCC(C)C)[C@H]2C1 ZINC001219067619 877897885 /nfs/dbraw/zinc/89/78/85/877897885.db2.gz ZMMQAXNXTXKPAT-IXDOHACOSA-N 1 2 322.449 1.373 20 30 DDEDLO COC(=O)/C=C(\C)C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC001354611032 878104092 /nfs/dbraw/zinc/10/40/92/878104092.db2.gz CHQPNVYZJBGTRP-JLHYYAGUSA-N 1 2 300.362 1.195 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@@H]1O ZINC001220123957 878716132 /nfs/dbraw/zinc/71/61/32/878716132.db2.gz SBIBATHEKWAEBN-CABCVRRESA-N 1 2 303.406 1.345 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@@H]1O ZINC001220123957 878716141 /nfs/dbraw/zinc/71/61/41/878716141.db2.gz SBIBATHEKWAEBN-CABCVRRESA-N 1 2 303.406 1.345 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2C[N@H+](C(C)C)CCO2)n1CC=C ZINC001355887420 878764859 /nfs/dbraw/zinc/76/48/59/878764859.db2.gz YOXOFCNPTWFROL-CQSZACIVSA-N 1 2 305.426 1.868 20 30 DDEDLO C=CCN(C)c1nnc([C@H]2C[N@@H+](C(C)C)CCO2)n1CC=C ZINC001355887420 878764867 /nfs/dbraw/zinc/76/48/67/878764867.db2.gz YOXOFCNPTWFROL-CQSZACIVSA-N 1 2 305.426 1.868 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220206037 878820116 /nfs/dbraw/zinc/82/01/16/878820116.db2.gz VWUJPKYNBYBLRI-ZNMIVQPWSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220206037 878820127 /nfs/dbraw/zinc/82/01/27/878820127.db2.gz VWUJPKYNBYBLRI-ZNMIVQPWSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@@H]1O ZINC001220292039 878891875 /nfs/dbraw/zinc/89/18/75/878891875.db2.gz MHCCBSOZUHWMQT-VYDXJSESSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@@H]1O ZINC001220292039 878891883 /nfs/dbraw/zinc/89/18/83/878891883.db2.gz MHCCBSOZUHWMQT-VYDXJSESSA-N 1 2 303.406 1.345 20 30 DDEDLO C=CCCCCC[N@@H+]1C[C@@H](NC(=O)c2[nH]ncc2F)[C@@H](O)C1 ZINC001220295400 878894311 /nfs/dbraw/zinc/89/43/11/878894311.db2.gz OIDTWWYWWZZQEP-OLZOCXBDSA-N 1 2 310.373 1.070 20 30 DDEDLO C=CCCCCC[N@H+]1C[C@@H](NC(=O)c2[nH]ncc2F)[C@@H](O)C1 ZINC001220295400 878894326 /nfs/dbraw/zinc/89/43/26/878894326.db2.gz OIDTWWYWWZZQEP-OLZOCXBDSA-N 1 2 310.373 1.070 20 30 DDEDLO CC#CCCCC(=O)N(C)C[C@@H](C)NC(=O)CCn1cc[nH+]c1 ZINC001287691497 912399152 /nfs/dbraw/zinc/39/91/52/912399152.db2.gz UUYXCMIAXUNZLI-OAHLLOKOSA-N 1 2 318.421 1.430 20 30 DDEDLO CC#CCCCC(=O)N1CCC[C@@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001356350554 879008593 /nfs/dbraw/zinc/00/85/93/879008593.db2.gz DOGKGNZTCVIRDS-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@@H]2C[C@H]2C)CC1 ZINC001356698627 879200155 /nfs/dbraw/zinc/20/01/55/879200155.db2.gz FCTMYKIUFUDJIT-HUUCEWRRSA-N 1 2 307.438 1.211 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001356733925 879252279 /nfs/dbraw/zinc/25/22/79/879252279.db2.gz KNDMZIWPFUAEOW-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@@H](C)CC)C[C@H]21 ZINC001221731764 880032261 /nfs/dbraw/zinc/03/22/61/880032261.db2.gz PTLWFWCEALVNHN-RRFJBIMHSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@@H](C)CC)C[C@H]21 ZINC001221731764 880032272 /nfs/dbraw/zinc/03/22/72/880032272.db2.gz PTLWFWCEALVNHN-RRFJBIMHSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C(C)=C1CCCC1 ZINC001276825020 880065844 /nfs/dbraw/zinc/06/58/44/880065844.db2.gz XPSXSCPQGVNBFG-GDBMZVCRSA-N 1 2 319.449 1.758 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)C(C)=C1CCCC1 ZINC001276825020 880065859 /nfs/dbraw/zinc/06/58/59/880065859.db2.gz XPSXSCPQGVNBFG-GDBMZVCRSA-N 1 2 319.449 1.758 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)C[C@H](C)COC)[C@@H]2C1 ZINC001221908167 880147584 /nfs/dbraw/zinc/14/75/84/880147584.db2.gz VVICMVZSRWCFBK-IACUBPJLSA-N 1 2 300.830 1.944 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)C[C@H](C)COC)[C@@H]2C1 ZINC001221908167 880147591 /nfs/dbraw/zinc/14/75/91/880147591.db2.gz VVICMVZSRWCFBK-IACUBPJLSA-N 1 2 300.830 1.944 20 30 DDEDLO COCCOCN1CC2(C1)CCC[N@H+](Cc1[nH]ccc1C#N)C2 ZINC001276840685 880709510 /nfs/dbraw/zinc/70/95/10/880709510.db2.gz TVVHPIWZMAIXPS-UHFFFAOYSA-N 1 2 318.421 1.405 20 30 DDEDLO COCCOCN1CC2(C1)CCC[N@@H+](Cc1[nH]ccc1C#N)C2 ZINC001276840685 880709517 /nfs/dbraw/zinc/70/95/17/880709517.db2.gz TVVHPIWZMAIXPS-UHFFFAOYSA-N 1 2 318.421 1.405 20 30 DDEDLO C#CCCCCC(=O)NCC1CC[NH+](Cc2nncn2C)CC1 ZINC001222873523 880730910 /nfs/dbraw/zinc/73/09/10/880730910.db2.gz YVDYJQPITMKLLI-UHFFFAOYSA-N 1 2 317.437 1.337 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)CN1C(=O)C#CC(C)(C)C ZINC001287933158 912606028 /nfs/dbraw/zinc/60/60/28/912606028.db2.gz WJEMGVOTDAMKOX-JSGCOSHPSA-N 1 2 316.405 1.107 20 30 DDEDLO COCCOC[N@@H+]1C[C@H]2C[C@@H](C1)N(c1cccc(C#N)c1)C2 ZINC001276953762 881264670 /nfs/dbraw/zinc/26/46/70/881264670.db2.gz PDQWEEAKVVISNH-WBVHZDCISA-N 1 2 301.390 1.689 20 30 DDEDLO COCCOC[N@H+]1C[C@H]2C[C@@H](C1)N(c1cccc(C#N)c1)C2 ZINC001276953762 881264686 /nfs/dbraw/zinc/26/46/86/881264686.db2.gz PDQWEEAKVVISNH-WBVHZDCISA-N 1 2 301.390 1.689 20 30 DDEDLO N#Cc1ccc(N2C[C@@H]3COC[C@H](C2)N3CCn2cc[nH+]c2)cc1 ZINC001276960334 881307788 /nfs/dbraw/zinc/30/77/88/881307788.db2.gz FOSVYZDEVSPXFZ-HDICACEKSA-N 1 2 323.400 1.344 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001288056554 912701961 /nfs/dbraw/zinc/70/19/61/912701961.db2.gz PSJYGHPHWUBNAQ-OLZOCXBDSA-N 1 2 304.394 1.320 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc4sc(=O)oc4c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228426260 883492320 /nfs/dbraw/zinc/49/23/20/883492320.db2.gz MLQKEIJDYYFCSM-OTDFPYDRSA-N 1 2 305.355 1.846 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc4sc(=O)oc4c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228426260 883492335 /nfs/dbraw/zinc/49/23/35/883492335.db2.gz MLQKEIJDYYFCSM-OTDFPYDRSA-N 1 2 305.355 1.846 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC[NH+]([C@@H](C)c2nncn2C)CC1 ZINC001228631950 883583777 /nfs/dbraw/zinc/58/37/77/883583777.db2.gz YHFHLYARIUKDDI-LBPRGKRZSA-N 1 2 305.426 1.669 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCc2ccnc(C#N)c2)[nH]n1 ZINC001362499700 883948064 /nfs/dbraw/zinc/94/80/64/883948064.db2.gz UHZWBXIBHPWVTN-HNNXBMFYSA-N 1 2 310.361 1.373 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)NCc2ccnc(C#N)c2)[nH]n1 ZINC001362499700 883948075 /nfs/dbraw/zinc/94/80/75/883948075.db2.gz UHZWBXIBHPWVTN-HNNXBMFYSA-N 1 2 310.361 1.373 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CC2CC2)C1=O ZINC001230603327 884640205 /nfs/dbraw/zinc/64/02/05/884640205.db2.gz MBUNDHLEQAUPOJ-HUUCEWRRSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CC2CC2)C1=O ZINC001230603327 884640218 /nfs/dbraw/zinc/64/02/18/884640218.db2.gz MBUNDHLEQAUPOJ-HUUCEWRRSA-N 1 2 305.422 1.106 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C(C)(C)F)C1=O ZINC001230609207 884651290 /nfs/dbraw/zinc/65/12/90/884651290.db2.gz YHVIHSWDXIKPSX-CHWSQXEVSA-N 1 2 311.401 1.054 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C(C)(C)F)C1=O ZINC001230609207 884651306 /nfs/dbraw/zinc/65/13/06/884651306.db2.gz YHVIHSWDXIKPSX-CHWSQXEVSA-N 1 2 311.401 1.054 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)noc1C ZINC001230714377 884784346 /nfs/dbraw/zinc/78/43/46/884784346.db2.gz BESBEJQCOGUDGZ-AWEZNQCLSA-N 1 2 305.378 1.088 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)noc1C ZINC001230714377 884784360 /nfs/dbraw/zinc/78/43/60/884784360.db2.gz BESBEJQCOGUDGZ-AWEZNQCLSA-N 1 2 305.378 1.088 20 30 DDEDLO COC(=O)C[C@@H](C#N)c1ccc(OC[C@H]2C[N@H+](C)CCO2)cc1 ZINC001230997654 885115977 /nfs/dbraw/zinc/11/59/77/885115977.db2.gz CVGZPYATNTZQBU-GOEBONIOSA-N 1 2 318.373 1.566 20 30 DDEDLO COC(=O)C[C@@H](C#N)c1ccc(OC[C@H]2C[N@@H+](C)CCO2)cc1 ZINC001230997654 885115986 /nfs/dbraw/zinc/11/59/86/885115986.db2.gz CVGZPYATNTZQBU-GOEBONIOSA-N 1 2 318.373 1.566 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NCC(C)C ZINC001231123953 885259691 /nfs/dbraw/zinc/25/96/91/885259691.db2.gz YDOHAQCDZCHLSW-HUUCEWRRSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCC(C)C ZINC001231123953 885259708 /nfs/dbraw/zinc/25/97/08/885259708.db2.gz YDOHAQCDZCHLSW-HUUCEWRRSA-N 1 2 309.454 1.646 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)CCCC(C)(C)C ZINC001231225624 885403297 /nfs/dbraw/zinc/40/32/97/885403297.db2.gz FXJUCZULTBEJQB-HNNXBMFYSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)CCCC(C)(C)C ZINC001231225624 885403306 /nfs/dbraw/zinc/40/33/06/885403306.db2.gz FXJUCZULTBEJQB-HNNXBMFYSA-N 1 2 321.465 1.485 20 30 DDEDLO Cc1nsc(C)c1C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231245771 885424032 /nfs/dbraw/zinc/42/40/32/885424032.db2.gz AZZILFKNVTZFIE-MFKMUULPSA-N 1 2 306.435 1.952 20 30 DDEDLO Cc1nsc(C)c1C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231245771 885424034 /nfs/dbraw/zinc/42/40/34/885424034.db2.gz AZZILFKNVTZFIE-MFKMUULPSA-N 1 2 306.435 1.952 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC001231247562 885429937 /nfs/dbraw/zinc/42/99/37/885429937.db2.gz OWTXIERUZPTAKQ-SWLSCSKDSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC001231247562 885429950 /nfs/dbraw/zinc/42/99/50/885429950.db2.gz OWTXIERUZPTAKQ-SWLSCSKDSA-N 1 2 314.364 1.890 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H](NC(C)=O)C2CCCC2)C1 ZINC001277626423 886146054 /nfs/dbraw/zinc/14/60/54/886146054.db2.gz XTQMRJVQUDGDBK-MRXNPFEDSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cc(OC)ccn2)C1 ZINC001277637223 886187676 /nfs/dbraw/zinc/18/76/76/886187676.db2.gz ULNPONPXNFDJDH-UHFFFAOYSA-N 1 2 305.378 1.049 20 30 DDEDLO N#Cc1cc(F)ccc1C[NH+]1CC2(C1)CCOC[C@H]2C(N)=O ZINC001277660223 886334867 /nfs/dbraw/zinc/33/48/67/886334867.db2.gz QRIDQRNYJWFWNQ-AWEZNQCLSA-N 1 2 303.337 1.021 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@H+](Cc3n[nH]c4c3CCC4)C2)C1=O ZINC001232425746 886350740 /nfs/dbraw/zinc/35/07/40/886350740.db2.gz DJRGNZXACBUHKR-SFHVURJKSA-N 1 2 314.433 1.899 20 30 DDEDLO C=CCN1CC[C@]2(CCC[N@@H+](Cc3n[nH]c4c3CCC4)C2)C1=O ZINC001232425746 886350758 /nfs/dbraw/zinc/35/07/58/886350758.db2.gz DJRGNZXACBUHKR-SFHVURJKSA-N 1 2 314.433 1.899 20 30 DDEDLO COc1cccc(N2CC[NH+](Cc3cc(C#N)ccc3O)CC2)n1 ZINC001232678732 886529120 /nfs/dbraw/zinc/52/91/20/886529120.db2.gz NUPLTNFPFAXDKR-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO COc1cccc(N2CC[NH+](Cc3cccc(C#N)n3)CC2)n1 ZINC001232925653 886676061 /nfs/dbraw/zinc/67/60/61/886676061.db2.gz JTYQPUZGDDKTNN-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO N#Cc1cc[nH]c1C[N@@H+]1C[C@@H]2[C@H](CC(=O)NCc3ccco3)[C@@H]2C1 ZINC001233044202 886750158 /nfs/dbraw/zinc/75/01/58/886750158.db2.gz IHBNDRDVWWYKAL-MUJYYYPQSA-N 1 2 324.384 1.864 20 30 DDEDLO N#Cc1cc[nH]c1C[N@H+]1C[C@@H]2[C@H](CC(=O)NCc3ccco3)[C@@H]2C1 ZINC001233044202 886750168 /nfs/dbraw/zinc/75/01/68/886750168.db2.gz IHBNDRDVWWYKAL-MUJYYYPQSA-N 1 2 324.384 1.864 20 30 DDEDLO CN(CC[N@H+](C)Cc1ccccc1C#N)C(=O)c1cncn1C ZINC001374512206 913108603 /nfs/dbraw/zinc/10/86/03/913108603.db2.gz FOQUMIHRNPKFKJ-UHFFFAOYSA-N 1 2 311.389 1.496 20 30 DDEDLO CN(CC[N@@H+](C)Cc1ccccc1C#N)C(=O)c1cncn1C ZINC001374512206 913108611 /nfs/dbraw/zinc/10/86/11/913108611.db2.gz FOQUMIHRNPKFKJ-UHFFFAOYSA-N 1 2 311.389 1.496 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@@H](C)CCC ZINC001233946639 887485098 /nfs/dbraw/zinc/48/50/98/887485098.db2.gz CDDPTJVITKGRQR-JKSUJKDBSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@@H](C)CCC ZINC001233946639 887485102 /nfs/dbraw/zinc/48/51/02/887485102.db2.gz CDDPTJVITKGRQR-JKSUJKDBSA-N 1 2 321.465 1.627 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001233976032 887513225 /nfs/dbraw/zinc/51/32/25/887513225.db2.gz BKOBWVAUZXYBOB-CJNGLKHVSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001233976032 887513237 /nfs/dbraw/zinc/51/32/37/887513237.db2.gz BKOBWVAUZXYBOB-CJNGLKHVSA-N 1 2 307.394 1.343 20 30 DDEDLO CCN(C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C)C1CC1 ZINC001234128439 887673060 /nfs/dbraw/zinc/67/30/60/887673060.db2.gz CCTABRSTLIEIMV-MRXNPFEDSA-N 1 2 319.449 1.189 20 30 DDEDLO CCN(C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C)C1CC1 ZINC001234128439 887673063 /nfs/dbraw/zinc/67/30/63/887673063.db2.gz CCTABRSTLIEIMV-MRXNPFEDSA-N 1 2 319.449 1.189 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)N(C)C(C)C ZINC001234170984 887709278 /nfs/dbraw/zinc/70/92/78/887709278.db2.gz NFIDYOYLAXYDOM-CVEARBPZSA-N 1 2 321.465 1.434 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)N(C)C(C)C ZINC001234170984 887709285 /nfs/dbraw/zinc/70/92/85/887709285.db2.gz NFIDYOYLAXYDOM-CVEARBPZSA-N 1 2 321.465 1.434 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC(=O)N(C)C1CC1)C(=O)C#CC(C)(C)C ZINC001234195221 887735881 /nfs/dbraw/zinc/73/58/81/887735881.db2.gz JCCQIXUDEJORSD-HNNXBMFYSA-N 1 2 319.449 1.189 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC(=O)N(C)C1CC1)C(=O)C#CC(C)(C)C ZINC001234195221 887735892 /nfs/dbraw/zinc/73/58/92/887735892.db2.gz JCCQIXUDEJORSD-HNNXBMFYSA-N 1 2 319.449 1.189 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@H]1C[C@H]1OCC ZINC001234462673 887990018 /nfs/dbraw/zinc/99/00/18/887990018.db2.gz ABDFSBZKYAAGLR-NWDGAFQWSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@H]1C[C@H]1OCC ZINC001234462673 887990029 /nfs/dbraw/zinc/99/00/29/887990029.db2.gz ABDFSBZKYAAGLR-NWDGAFQWSA-N 1 2 319.243 1.758 20 30 DDEDLO N#CCOc1cccc(CC(=O)N2CCc3cc[nH+]c(N)c3C2)c1 ZINC001364384114 888507176 /nfs/dbraw/zinc/50/71/76/888507176.db2.gz KLNWJPORTDVFMP-UHFFFAOYSA-N 1 2 322.368 1.694 20 30 DDEDLO N#CCCN1CC2(C1)C[NH+](Cc1cc(F)cc3c1OCOC3)C2 ZINC001277939583 888586906 /nfs/dbraw/zinc/58/69/06/888586906.db2.gz YIDYZQWEXUZDPO-UHFFFAOYSA-N 1 2 317.364 1.723 20 30 DDEDLO CC1(C)C[N@H+](C[C@@H](O)c2cccc(C#N)c2)[C@@H]2COC[C@H]2O1 ZINC001364454632 888672977 /nfs/dbraw/zinc/67/29/77/888672977.db2.gz QAVYGFXUIOOSOO-BZUAXINKSA-N 1 2 302.374 1.470 20 30 DDEDLO CC1(C)C[N@@H+](C[C@@H](O)c2cccc(C#N)c2)[C@@H]2COC[C@H]2O1 ZINC001364454632 888672984 /nfs/dbraw/zinc/67/29/84/888672984.db2.gz QAVYGFXUIOOSOO-BZUAXINKSA-N 1 2 302.374 1.470 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)n1cnc(C)c1 ZINC001235794454 888952014 /nfs/dbraw/zinc/95/20/14/888952014.db2.gz BMPQWSKVACOBOZ-OAHLLOKOSA-N 1 2 302.422 1.483 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)n1cnc(C)c1 ZINC001235794454 888952026 /nfs/dbraw/zinc/95/20/26/888952026.db2.gz BMPQWSKVACOBOZ-OAHLLOKOSA-N 1 2 302.422 1.483 20 30 DDEDLO Cc1ncccc1NC(=O)[C@H](C)[NH2+]Cc1nc(C#N)cs1 ZINC001364612244 889032964 /nfs/dbraw/zinc/03/29/64/889032964.db2.gz UGAKVTVZUPYWRV-JTQLQIEISA-N 1 2 301.375 1.835 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[NH+](Cc2cccc(N(C)C)c2)CC1 ZINC001364941818 889719341 /nfs/dbraw/zinc/71/93/41/889719341.db2.gz SJTVZBTTXQPOIL-AWEZNQCLSA-N 1 2 300.406 1.556 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H](CNCC#N)C1CCCC1 ZINC001278275776 890333523 /nfs/dbraw/zinc/33/35/23/890333523.db2.gz RWJAHXMRHXLKET-IUODEOHRSA-N 1 2 303.410 1.376 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H](CNCC#N)C1CCCC1 ZINC001278275776 890333536 /nfs/dbraw/zinc/33/35/36/890333536.db2.gz RWJAHXMRHXLKET-IUODEOHRSA-N 1 2 303.410 1.376 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nc(C4CC4)no3)C[C@H]21 ZINC001366229291 892797668 /nfs/dbraw/zinc/79/76/68/892797668.db2.gz KEDOGJHRSMXNKH-DTPMLBFTSA-N 1 2 315.377 1.214 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nc(C4CC4)no3)C[C@H]21 ZINC001366229291 892797687 /nfs/dbraw/zinc/79/76/87/892797687.db2.gz KEDOGJHRSMXNKH-DTPMLBFTSA-N 1 2 315.377 1.214 20 30 DDEDLO CCc1cnc(C[NH2+][C@@H](C)CNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001366267949 892958155 /nfs/dbraw/zinc/95/81/55/892958155.db2.gz WUPAHQRVLROXCX-JTQLQIEISA-N 1 2 301.350 1.345 20 30 DDEDLO C[C@H](CNC(=O)c1[nH]ncc1F)[NH2+]Cc1cccc(C#N)c1 ZINC001366323209 893162193 /nfs/dbraw/zinc/16/21/93/893162193.db2.gz NYPAEIGAKXYYOZ-SNVBAGLBSA-N 1 2 301.325 1.329 20 30 DDEDLO COc1cc(C(=O)NCC[N@H+](C)Cc2ccccc2C#N)on1 ZINC001366518418 893824737 /nfs/dbraw/zinc/82/47/37/893824737.db2.gz ICLLUJADTOZHRH-UHFFFAOYSA-N 1 2 314.345 1.417 20 30 DDEDLO COc1cc(C(=O)NCC[N@@H+](C)Cc2ccccc2C#N)on1 ZINC001366518418 893824754 /nfs/dbraw/zinc/82/47/54/893824754.db2.gz ICLLUJADTOZHRH-UHFFFAOYSA-N 1 2 314.345 1.417 20 30 DDEDLO CO[C@@H](C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F)C1CC1 ZINC001366531705 893906827 /nfs/dbraw/zinc/90/68/27/893906827.db2.gz VISCOOMLWCJPOA-MRXNPFEDSA-N 1 2 319.380 1.670 20 30 DDEDLO CO[C@@H](C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F)C1CC1 ZINC001366531705 893906842 /nfs/dbraw/zinc/90/68/42/893906842.db2.gz VISCOOMLWCJPOA-MRXNPFEDSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@H+](Cc2nnc(C(C)(C)C)o2)C1 ZINC001366684169 894572081 /nfs/dbraw/zinc/57/20/81/894572081.db2.gz CHFAVWMCHDKQTH-NEPJUHHUSA-N 1 2 319.409 1.465 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(C(C)(C)C)o2)C1 ZINC001366684169 894572099 /nfs/dbraw/zinc/57/20/99/894572099.db2.gz CHFAVWMCHDKQTH-NEPJUHHUSA-N 1 2 319.409 1.465 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCC[N@@H+]([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001254339834 896372998 /nfs/dbraw/zinc/37/29/98/896372998.db2.gz UITAENUYMJJESM-ZBFHGGJFSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCC[N@H+]([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001254339834 896373016 /nfs/dbraw/zinc/37/30/16/896373016.db2.gz UITAENUYMJJESM-ZBFHGGJFSA-N 1 2 320.414 1.589 20 30 DDEDLO CC(C)CCc1noc(C[NH2+]C[C@@H](C)N(C)C(=O)[C@H](C)C#N)n1 ZINC001367350955 896524447 /nfs/dbraw/zinc/52/44/47/896524447.db2.gz CMSAJNVEYAVYAS-CHWSQXEVSA-N 1 2 321.425 1.754 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2cccc(C(C)C)n2)C1 ZINC001278883029 897101351 /nfs/dbraw/zinc/10/13/51/897101351.db2.gz DWGOMUZRCLSRGX-UHFFFAOYSA-N 1 2 301.390 1.005 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1(O)C[NH+](Cc2ccccc2)C1 ZINC001278904181 897207724 /nfs/dbraw/zinc/20/77/24/897207724.db2.gz XALFRRFXHGKSHE-KRWDZBQOSA-N 1 2 302.418 1.952 20 30 DDEDLO CCc1noc(C[NH+]2CCC3(C[C@H]3NC(=O)[C@H](C)C#N)CC2)n1 ZINC001389535156 897468144 /nfs/dbraw/zinc/46/81/44/897468144.db2.gz YKGSYCJJKDOLGW-VXGBXAGGSA-N 1 2 317.393 1.262 20 30 DDEDLO CC(C)c1nnc(C[NH2+]C2(CNC(=O)[C@H](C)C#N)CC2)s1 ZINC001367988035 898410633 /nfs/dbraw/zinc/41/06/33/898410633.db2.gz BYIRTHRDQBMIDD-SNVBAGLBSA-N 1 2 307.423 1.560 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1ccnc2c1ncn2C ZINC001390813341 900256290 /nfs/dbraw/zinc/25/62/90/900256290.db2.gz OVRIWGMKQCKYDS-UHFFFAOYSA-N 1 2 307.785 1.382 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1ccnc2c1ncn2C ZINC001390813341 900256302 /nfs/dbraw/zinc/25/63/02/900256302.db2.gz OVRIWGMKQCKYDS-UHFFFAOYSA-N 1 2 307.785 1.382 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CCSCC3)n2C)CC1 ZINC001262928746 900421799 /nfs/dbraw/zinc/42/17/99/900421799.db2.gz SAGNVUMEJVXBGG-UHFFFAOYSA-N 1 2 305.451 1.181 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@H]2C[C@H](O)C[N@@H+]2C)n1CC ZINC001263055184 900477171 /nfs/dbraw/zinc/47/71/71/900477171.db2.gz RXNGPKJEFKIROA-RDBSUJKOSA-N 1 2 303.410 1.028 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nnc([C@@H]2C[C@H](O)C[N@H+]2C)n1CC ZINC001263055184 900477177 /nfs/dbraw/zinc/47/71/77/900477177.db2.gz RXNGPKJEFKIROA-RDBSUJKOSA-N 1 2 303.410 1.028 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cn(CC)nn2)[C@@H]1CC ZINC001264060687 900899063 /nfs/dbraw/zinc/89/90/63/900899063.db2.gz KJYDAKNCJIBCOF-LSDHHAIUSA-N 1 2 319.453 1.979 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cn(CC)nn2)[C@@H]1CC ZINC001264060687 900899068 /nfs/dbraw/zinc/89/90/68/900899068.db2.gz KJYDAKNCJIBCOF-LSDHHAIUSA-N 1 2 319.453 1.979 20 30 DDEDLO CCc1nnc(C[N@H+](C)CCCNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001264974216 901366405 /nfs/dbraw/zinc/36/64/05/901366405.db2.gz UNVSFQOTFOPBAT-UHFFFAOYSA-N 1 2 316.365 1.084 20 30 DDEDLO CCc1nnc(C[N@@H+](C)CCCNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001264974216 901366411 /nfs/dbraw/zinc/36/64/11/901366411.db2.gz UNVSFQOTFOPBAT-UHFFFAOYSA-N 1 2 316.365 1.084 20 30 DDEDLO C=C(Cl)CN1CC[NH+](CCN(C)C(=O)C2=CCCC2)CC1 ZINC001391328579 901490825 /nfs/dbraw/zinc/49/08/25/901490825.db2.gz JELXAEHOXDRENF-UHFFFAOYSA-N 1 2 311.857 1.925 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@@H+](CC(=O)N[C@@H](C)CC)C2)CC1 ZINC001265301445 901852109 /nfs/dbraw/zinc/85/21/09/901852109.db2.gz KXXLMPCAKROQPA-HOCLYGCPSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2CC[N@H+](CC(=O)N[C@@H](C)CC)C2)CC1 ZINC001265301445 901852121 /nfs/dbraw/zinc/85/21/21/901852121.db2.gz KXXLMPCAKROQPA-HOCLYGCPSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H](C)c2ccsc2)C1 ZINC001265303148 901854023 /nfs/dbraw/zinc/85/40/23/901854023.db2.gz BUFJDMXYTMJVQJ-OCCSQVGLSA-N 1 2 321.446 1.344 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)c2ccsc2)C1 ZINC001265303148 901854037 /nfs/dbraw/zinc/85/40/37/901854037.db2.gz BUFJDMXYTMJVQJ-OCCSQVGLSA-N 1 2 321.446 1.344 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCCOC1 ZINC001265416073 901991188 /nfs/dbraw/zinc/99/11/88/901991188.db2.gz MCIPPPCDDMJBLQ-YESZJQIVSA-N 1 2 320.433 1.128 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCCOC1 ZINC001265416073 901991196 /nfs/dbraw/zinc/99/11/96/901991196.db2.gz MCIPPPCDDMJBLQ-YESZJQIVSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2nnc(C)o2)C1 ZINC001265425933 902003005 /nfs/dbraw/zinc/00/30/05/902003005.db2.gz OOWPTDTXFKKHTM-JSGCOSHPSA-N 1 2 304.394 1.823 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@@H+](Cc2ncnn2CC)C1 ZINC001265583049 902159521 /nfs/dbraw/zinc/15/95/21/902159521.db2.gz ZPTQFKDACICSOT-HNNXBMFYSA-N 1 2 317.437 1.430 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CCC[N@H+](Cc2ncnn2CC)C1 ZINC001265583049 902159526 /nfs/dbraw/zinc/15/95/26/902159526.db2.gz ZPTQFKDACICSOT-HNNXBMFYSA-N 1 2 317.437 1.430 20 30 DDEDLO C[C@@H](C[N@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1cocn1 ZINC001375011987 914634022 /nfs/dbraw/zinc/63/40/22/914634022.db2.gz QWGQAQBZAFJFSV-NSHDSACASA-N 1 2 316.336 1.936 20 30 DDEDLO C[C@@H](C[N@@H+](C)Cc1cc(F)ccc1C#N)NC(=O)c1cocn1 ZINC001375011987 914634030 /nfs/dbraw/zinc/63/40/30/914634030.db2.gz QWGQAQBZAFJFSV-NSHDSACASA-N 1 2 316.336 1.936 20 30 DDEDLO C[C@H](NC(=O)CCc1c[nH+]cn1C)C1CCN(CC#N)CC1 ZINC001279163780 903188932 /nfs/dbraw/zinc/18/89/32/903188932.db2.gz DVHAKCSDCSBDIP-ZDUSSCGKSA-N 1 2 303.410 1.093 20 30 DDEDLO C=CCCCC(=O)N1CCC(NC(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001293795772 914708871 /nfs/dbraw/zinc/70/88/71/914708871.db2.gz VBSGNBKINDBAIX-UHFFFAOYSA-N 1 2 318.421 1.724 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001280504017 903741961 /nfs/dbraw/zinc/74/19/61/903741961.db2.gz OMARCSBBCQNHNE-SUMWQHHRSA-N 1 2 320.437 1.908 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001280504017 903741973 /nfs/dbraw/zinc/74/19/73/903741973.db2.gz OMARCSBBCQNHNE-SUMWQHHRSA-N 1 2 320.437 1.908 20 30 DDEDLO C=CCCC(=O)N(C)[C@@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001280518741 903755272 /nfs/dbraw/zinc/75/52/72/903755272.db2.gz PIRULVFROFARCT-ZDUSSCGKSA-N 1 2 314.389 1.877 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCC[C@@H]2CNC(=O)[C@@H](C)C#N)nn1 ZINC001370842107 903829699 /nfs/dbraw/zinc/82/96/99/903829699.db2.gz HUMBGMNDTJEPGV-GXTWGEPZSA-N 1 2 317.393 1.116 20 30 DDEDLO COc1ccc(C[N@H+]2CCCC[C@@H]2CNC(=O)[C@@H](C)C#N)nn1 ZINC001370842107 903829705 /nfs/dbraw/zinc/82/97/05/903829705.db2.gz HUMBGMNDTJEPGV-GXTWGEPZSA-N 1 2 317.393 1.116 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)CC#Cc1ccccc1)CO2 ZINC001280977816 904262221 /nfs/dbraw/zinc/26/22/21/904262221.db2.gz CXPSOFBERVILTA-SFHVURJKSA-N 1 2 324.424 1.964 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H](C)SC ZINC001281063344 904364979 /nfs/dbraw/zinc/36/49/79/904364979.db2.gz OKMPASQNMRHTTL-CJNGLKHVSA-N 1 2 302.443 1.914 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)[C@@H](C)SC ZINC001281063344 904364993 /nfs/dbraw/zinc/36/49/93/904364993.db2.gz OKMPASQNMRHTTL-CJNGLKHVSA-N 1 2 302.443 1.914 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C(C)(C)COC ZINC001281062201 904366412 /nfs/dbraw/zinc/36/64/12/904366412.db2.gz DULQTMKRDWOYMM-QGZVFWFLSA-N 1 2 314.429 1.835 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C(C)(C)COC ZINC001281062201 904366435 /nfs/dbraw/zinc/36/64/35/904366435.db2.gz DULQTMKRDWOYMM-QGZVFWFLSA-N 1 2 314.429 1.835 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]c[nH+]c2C)[C@H]1C ZINC001281150792 904479112 /nfs/dbraw/zinc/47/91/12/904479112.db2.gz VDPIMPLRBVCBNQ-STQMWFEESA-N 1 2 318.421 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)c1ccn(CCOC)n1 ZINC001392673411 904843596 /nfs/dbraw/zinc/84/35/96/904843596.db2.gz JORXVKDEWKSJFU-LBPRGKRZSA-N 1 2 314.817 1.332 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)c1ccn(CCOC)n1 ZINC001392673411 904843612 /nfs/dbraw/zinc/84/36/12/904843612.db2.gz JORXVKDEWKSJFU-LBPRGKRZSA-N 1 2 314.817 1.332 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@H](C)C(C)(F)F)C1 ZINC001282745500 906015958 /nfs/dbraw/zinc/01/59/58/906015958.db2.gz YUDOCOTYYLZWEF-QWRGUYRKSA-N 1 2 317.380 1.016 20 30 DDEDLO CC[N@H+](CCNC(=O)[C@H](C)C#N)CC(=O)Nc1ccc(C)cc1 ZINC001372288604 906925988 /nfs/dbraw/zinc/92/59/88/906925988.db2.gz NEGHHJOTQXYZFP-CQSZACIVSA-N 1 2 316.405 1.531 20 30 DDEDLO CC[N@@H+](CCNC(=O)[C@H](C)C#N)CC(=O)Nc1ccc(C)cc1 ZINC001372288604 906926003 /nfs/dbraw/zinc/92/60/03/906926003.db2.gz NEGHHJOTQXYZFP-CQSZACIVSA-N 1 2 316.405 1.531 20 30 DDEDLO CCC(=O)N[C@]1(CO)CCC[N@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001393427205 906961849 /nfs/dbraw/zinc/96/18/49/906961849.db2.gz XSKPHKLIPDUUSE-QGZVFWFLSA-N 1 2 319.380 1.550 20 30 DDEDLO CCC(=O)N[C@]1(CO)CCC[N@@H+](Cc2ccc(F)c(C#N)c2)C1 ZINC001393427205 906961857 /nfs/dbraw/zinc/96/18/57/906961857.db2.gz XSKPHKLIPDUUSE-QGZVFWFLSA-N 1 2 319.380 1.550 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CC[C@H]2CNC(=O)[C@@H](C)C#N)c(C)[nH+]1 ZINC001393457619 907046564 /nfs/dbraw/zinc/04/65/64/907046564.db2.gz WLYKNXXGVJIOOK-FZMZJTMJSA-N 1 2 314.389 1.497 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001284092857 908464586 /nfs/dbraw/zinc/46/45/86/908464586.db2.gz UKGCQOFDBMIHNX-OKILXGFUSA-N 1 2 318.421 1.639 20 30 DDEDLO CCn1nncc1C[N@@H+]1CCC[C@H](NC(=O)C#CC2CC2)[C@@H]1C ZINC001284123377 908513386 /nfs/dbraw/zinc/51/33/86/908513386.db2.gz RBNFNIPTEDBFHK-BBRMVZONSA-N 1 2 315.421 1.181 20 30 DDEDLO CCn1nncc1C[N@H+]1CCC[C@H](NC(=O)C#CC2CC2)[C@@H]1C ZINC001284123377 908513405 /nfs/dbraw/zinc/51/34/05/908513405.db2.gz RBNFNIPTEDBFHK-BBRMVZONSA-N 1 2 315.421 1.181 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001284273356 908755600 /nfs/dbraw/zinc/75/56/00/908755600.db2.gz YAXSGDJOUCZHRF-YNEHKIRRSA-N 1 2 318.421 1.736 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001284273356 908755614 /nfs/dbraw/zinc/75/56/14/908755614.db2.gz YAXSGDJOUCZHRF-YNEHKIRRSA-N 1 2 318.421 1.736 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]([NH2+]Cc1ccn(C)n1)c1ccccc1 ZINC001373043832 908819756 /nfs/dbraw/zinc/81/97/56/908819756.db2.gz YTJKWQUQJJYTHB-XJKSGUPXSA-N 1 2 311.389 1.527 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H](O)C[N@H+](C)Cc1nocc1C ZINC001284505860 909104499 /nfs/dbraw/zinc/10/44/99/909104499.db2.gz OZLJMSUPYQGKAX-CYBMUJFWSA-N 1 2 309.410 1.446 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1nocc1C ZINC001284505860 909104503 /nfs/dbraw/zinc/10/45/03/909104503.db2.gz OZLJMSUPYQGKAX-CYBMUJFWSA-N 1 2 309.410 1.446 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001284547169 909168864 /nfs/dbraw/zinc/16/88/64/909168864.db2.gz ZTXVLGWCUZEYNR-CQSZACIVSA-N 1 2 318.421 1.376 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]CCOCCN(C)C(=O)C#CC(C)C)n1 ZINC001284862969 909593174 /nfs/dbraw/zinc/59/31/74/909593174.db2.gz DCSZPUMJVGCFCD-ZDUSSCGKSA-N 1 2 322.409 1.163 20 30 DDEDLO CC[C@@H](CNC(=O)C#CC(C)C)NC(=O)CCc1[nH+]ccn1C ZINC001285049633 910000904 /nfs/dbraw/zinc/00/09/04/910000904.db2.gz HWAJGYDOQVUMDA-AWEZNQCLSA-N 1 2 318.421 1.023 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CC[C@]2(C)CCC(=O)N2)C1 ZINC001373618013 910369932 /nfs/dbraw/zinc/36/99/32/910369932.db2.gz DGYXJOVDUOWSGI-SWLSCSKDSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CC[C@]2(C)CCC(=O)N2)C1 ZINC001373618013 910369944 /nfs/dbraw/zinc/36/99/44/910369944.db2.gz DGYXJOVDUOWSGI-SWLSCSKDSA-N 1 2 313.829 1.378 20 30 DDEDLO C=CCCC(=O)NC/C=C\CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001285496920 910668233 /nfs/dbraw/zinc/66/82/33/910668233.db2.gz MIORNRPNBDUELU-WSNITJDQSA-N 1 2 316.405 1.200 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001286202732 911823034 /nfs/dbraw/zinc/82/30/34/911823034.db2.gz LPRWHATXEWBJCZ-ZIAGYGMSSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCC[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001286325811 911924164 /nfs/dbraw/zinc/92/41/64/911924164.db2.gz APZAAYGMVXOLIK-KBPBESRZSA-N 1 2 304.394 1.393 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CCC3)n2[C@@H]2C[C@H]2CF)CC1 ZINC001286479472 911994132 /nfs/dbraw/zinc/99/41/32/911994132.db2.gz MBOSRIMTLSJSGO-LSDHHAIUSA-N 1 2 317.412 1.831 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@@H]1[C@@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001294360501 915079693 /nfs/dbraw/zinc/07/96/93/915079693.db2.gz RZZRJHLFKHNSJM-HUUCEWRRSA-N 1 2 318.421 1.735 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295138626 915602582 /nfs/dbraw/zinc/60/25/82/915602582.db2.gz VTMWNRHIOHOPQL-JHJVBQTASA-N 1 2 304.394 1.318 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001295893597 916112785 /nfs/dbraw/zinc/11/27/85/916112785.db2.gz BBDKYBQUKUMGDN-GFCCVEGCSA-N 1 2 320.437 1.874 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)CC[NH+]1CCN(C(C)=O)CC1 ZINC001296827061 916581718 /nfs/dbraw/zinc/58/17/18/916581718.db2.gz YTJWKENKDGKNLT-UHFFFAOYSA-N 1 2 307.438 1.377 20 30 DDEDLO COc1cc(C[N@@H+]2CCCC[C@H]2CNC(=O)[C@@H](C)C#N)sn1 ZINC001376581358 918806780 /nfs/dbraw/zinc/80/67/80/918806780.db2.gz UBGIYVOWPNRIFD-RYUDHWBXSA-N 1 2 322.434 1.782 20 30 DDEDLO COc1cc(C[N@H+]2CCCC[C@H]2CNC(=O)[C@@H](C)C#N)sn1 ZINC001376581358 918806795 /nfs/dbraw/zinc/80/67/95/918806795.db2.gz UBGIYVOWPNRIFD-RYUDHWBXSA-N 1 2 322.434 1.782 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@H]1CCc2nccn2C1)C1CC1 ZINC001377538791 922269754 /nfs/dbraw/zinc/26/97/54/922269754.db2.gz YDHGZKBRAACWOD-ZDUSSCGKSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@H]1CCc2nccn2C1)C1CC1 ZINC001377538791 922269762 /nfs/dbraw/zinc/26/97/62/922269762.db2.gz YDHGZKBRAACWOD-ZDUSSCGKSA-N 1 2 322.840 1.779 20 30 DDEDLO CC(C)CC[C@H](C)NC(=O)C[NH+]1CC(CNC(=O)[C@@H](C)C#N)C1 ZINC001377960100 923524097 /nfs/dbraw/zinc/52/40/97/923524097.db2.gz KUXFEDIFAPZRAU-KBPBESRZSA-N 1 2 322.453 1.135 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)Cn2ncc3cccnc32)C1 ZINC001377985311 923596356 /nfs/dbraw/zinc/59/63/56/923596356.db2.gz NZQWIZADHMSTAR-UHFFFAOYSA-N 1 2 319.796 1.232 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)Cc2ccc3c(c2)OCCO3)C1 ZINC000329420188 539304276 /nfs/dbraw/zinc/30/42/76/539304276.db2.gz FEGDVNZYOFNYLM-CQSZACIVSA-N 1 2 319.405 1.203 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)Cc2ccc3c(c2)OCCO3)C1 ZINC000329420188 539304277 /nfs/dbraw/zinc/30/42/77/539304277.db2.gz FEGDVNZYOFNYLM-CQSZACIVSA-N 1 2 319.405 1.203 20 30 DDEDLO CN1CC[NH+](C[C@@H](O)COc2cc(Cl)ccc2C#N)CC1 ZINC000414149394 529772713 /nfs/dbraw/zinc/77/27/13/529772713.db2.gz ODCCVSREUIPTCP-CQSZACIVSA-N 1 2 309.797 1.199 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NCc3ccc4c(c3)COC4)C[C@@H]21 ZINC000329612847 529784917 /nfs/dbraw/zinc/78/49/17/529784917.db2.gz OOSOMLKALZNHRX-JKSUJKDBSA-N 1 2 317.389 1.146 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NCc3ccc4c(c3)COC4)C[C@@H]21 ZINC000329612847 529784919 /nfs/dbraw/zinc/78/49/19/529784919.db2.gz OOSOMLKALZNHRX-JKSUJKDBSA-N 1 2 317.389 1.146 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc4scnc4c3)C[C@H]21 ZINC000329944867 529786412 /nfs/dbraw/zinc/78/64/12/529786412.db2.gz WZLPEMQUMUCBOB-CHWSQXEVSA-N 1 2 318.402 1.857 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3ccc4scnc4c3)C[C@H]21 ZINC000329944867 529786413 /nfs/dbraw/zinc/78/64/13/529786413.db2.gz WZLPEMQUMUCBOB-CHWSQXEVSA-N 1 2 318.402 1.857 20 30 DDEDLO CN(C)c1[nH+]cccc1O[C@H]1CCN(C(=O)NCCC#N)C1 ZINC000331122397 529815604 /nfs/dbraw/zinc/81/56/04/529815604.db2.gz BTEHYMLTSMGGNC-LBPRGKRZSA-N 1 2 303.366 1.428 20 30 DDEDLO CN(C)c1[nH+]cccc1CNS(=O)(=O)CC1(CC#N)CC1 ZINC000346449331 529814416 /nfs/dbraw/zinc/81/44/16/529814416.db2.gz UVQYSXPUBUQHNX-UHFFFAOYSA-N 1 2 308.407 1.261 20 30 DDEDLO CNC(=O)CCCNC(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000330371475 529847269 /nfs/dbraw/zinc/84/72/69/529847269.db2.gz AHIRKMVAMBAOSD-GFCCVEGCSA-N 1 2 307.398 1.099 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cc(Cl)ccc1C(N)=O ZINC000414119487 529868305 /nfs/dbraw/zinc/86/83/05/529868305.db2.gz CZYMSPXYTSVJDP-LLVKDONJSA-N 1 2 311.769 1.024 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cc(Cl)ccc1C(N)=O ZINC000414119487 529868306 /nfs/dbraw/zinc/86/83/06/529868306.db2.gz CZYMSPXYTSVJDP-LLVKDONJSA-N 1 2 311.769 1.024 20 30 DDEDLO C=CCNC(=O)CNC(=O)[C@@H]1CCC[N@H+]1Cc1ccc(F)cc1 ZINC000181691104 199339290 /nfs/dbraw/zinc/33/92/90/199339290.db2.gz IAEGBDMJECDMSN-HNNXBMFYSA-N 1 2 319.380 1.209 20 30 DDEDLO C=CCNC(=O)CNC(=O)[C@@H]1CCC[N@@H+]1Cc1ccc(F)cc1 ZINC000181691104 199339292 /nfs/dbraw/zinc/33/92/92/199339292.db2.gz IAEGBDMJECDMSN-HNNXBMFYSA-N 1 2 319.380 1.209 20 30 DDEDLO CCC1(CC)C[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)CC[S@@]1=O ZINC000185231461 282377250 /nfs/dbraw/zinc/37/72/50/282377250.db2.gz FZQFVOKDBAJERQ-YEJXKQKISA-N 1 2 313.467 1.370 20 30 DDEDLO CCC1(CC)C[N@H+]([C@@H](C)C(=O)N(C)CCC#N)CC[S@@]1=O ZINC000185231461 282377254 /nfs/dbraw/zinc/37/72/54/282377254.db2.gz FZQFVOKDBAJERQ-YEJXKQKISA-N 1 2 313.467 1.370 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000123791976 185842455 /nfs/dbraw/zinc/84/24/55/185842455.db2.gz UCGVQPPIVBNLQF-UHFFFAOYSA-N 1 2 324.384 1.853 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1C[NH+]1CCC(CC(N)=O)CC1 ZINC000459297457 233070807 /nfs/dbraw/zinc/07/08/07/233070807.db2.gz JBTQSFSTEZMHKD-UHFFFAOYSA-N 1 2 302.334 1.554 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@H+]2CCCC[C@H]2CO)c1 ZINC000147419415 186039328 /nfs/dbraw/zinc/03/93/28/186039328.db2.gz UMNYUAQSDFPABZ-AWEZNQCLSA-N 1 2 308.403 1.179 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[N@@H+]2CCCC[C@H]2CO)c1 ZINC000147419415 186039330 /nfs/dbraw/zinc/03/93/30/186039330.db2.gz UMNYUAQSDFPABZ-AWEZNQCLSA-N 1 2 308.403 1.179 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2ccccc2OC)CC1 ZINC000023265233 352183149 /nfs/dbraw/zinc/18/31/49/352183149.db2.gz MMGCXRSKGAPPGR-UHFFFAOYSA-N 1 2 303.406 1.115 20 30 DDEDLO CC(C)(C)NC(=O)CN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000023257728 352183301 /nfs/dbraw/zinc/18/33/01/352183301.db2.gz QONUWVIMWNABIT-UHFFFAOYSA-N 1 2 314.433 1.591 20 30 DDEDLO CC(C)(C)NC(=O)C[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000023257728 352183303 /nfs/dbraw/zinc/18/33/03/352183303.db2.gz QONUWVIMWNABIT-UHFFFAOYSA-N 1 2 314.433 1.591 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000025940715 352203478 /nfs/dbraw/zinc/20/34/78/352203478.db2.gz UVCQJEIITJWDKU-CQSZACIVSA-N 1 2 313.405 1.086 20 30 DDEDLO C=CCN(CC=C)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000027340841 352216125 /nfs/dbraw/zinc/21/61/25/352216125.db2.gz FLSOLUKLKUYACF-UHFFFAOYSA-N 1 2 316.405 1.802 20 30 DDEDLO CCOC(=O)C1CC[NH+](CC(=O)N[C@@](C)(C#N)C2CC2)CC1 ZINC000042978574 352356743 /nfs/dbraw/zinc/35/67/43/352356743.db2.gz CLTHEFFZYIWERB-INIZCTEOSA-N 1 2 307.394 1.070 20 30 DDEDLO Cn1cc[nH+]c1C[C@@H]1CCCN(C(=O)c2cc(C#N)ccn2)C1 ZINC000331739282 234067501 /nfs/dbraw/zinc/06/75/01/234067501.db2.gz VJTLPJOYVIDVOA-AWEZNQCLSA-N 1 2 309.373 1.782 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(C(=O)Cc2ccccc2)CC1 ZINC000046069113 352435589 /nfs/dbraw/zinc/43/55/89/352435589.db2.gz MTOVZLKGDGORIK-OAHLLOKOSA-N 1 2 315.417 1.064 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000046943521 352471826 /nfs/dbraw/zinc/47/18/26/352471826.db2.gz WSVGHOPOPXYMEW-CYBMUJFWSA-N 1 2 301.394 1.851 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)CSc1[nH+]cnc2c1cnn2C ZINC000053713196 352664913 /nfs/dbraw/zinc/66/49/13/352664913.db2.gz LMRZJGHLJDWEFY-JTQLQIEISA-N 1 2 318.406 1.464 20 30 DDEDLO C=CCNC(=O)[C@@H](C)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000052660800 352622375 /nfs/dbraw/zinc/62/23/75/352622375.db2.gz NZAUPUAUCDLAKJ-OAHLLOKOSA-N 1 2 312.417 1.367 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000052660800 352622378 /nfs/dbraw/zinc/62/23/78/352622378.db2.gz NZAUPUAUCDLAKJ-OAHLLOKOSA-N 1 2 312.417 1.367 20 30 DDEDLO CN1CC[C@@H]([N@H+](C)Cc2nnc(-c3cccc(C#N)c3)o2)C1=O ZINC000075752548 353378845 /nfs/dbraw/zinc/37/88/45/353378845.db2.gz GTCNTBFPPAORLV-CYBMUJFWSA-N 1 2 311.345 1.271 20 30 DDEDLO CN1CC[C@@H]([N@@H+](C)Cc2nnc(-c3cccc(C#N)c3)o2)C1=O ZINC000075752548 353378849 /nfs/dbraw/zinc/37/88/49/353378849.db2.gz GTCNTBFPPAORLV-CYBMUJFWSA-N 1 2 311.345 1.271 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](C2CCCCC2)C[C@@H]1C(=O)OC ZINC000076179759 353403901 /nfs/dbraw/zinc/40/39/01/353403901.db2.gz KWDXSJPOMSMKNY-LSDHHAIUSA-N 1 2 308.422 1.732 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](C2CCCCC2)C[C@@H]1C(=O)OC ZINC000076179759 353403904 /nfs/dbraw/zinc/40/39/04/353403904.db2.gz KWDXSJPOMSMKNY-LSDHHAIUSA-N 1 2 308.422 1.732 20 30 DDEDLO C[N@H+](CC(=O)NCC(=O)c1ccccc1)Cc1ccc(C#N)cc1 ZINC000081648924 353686327 /nfs/dbraw/zinc/68/63/27/353686327.db2.gz NHAHWSAKHIYTIT-UHFFFAOYSA-N 1 2 321.380 1.989 20 30 DDEDLO C[N@@H+](CC(=O)NCC(=O)c1ccccc1)Cc1ccc(C#N)cc1 ZINC000081648924 353686332 /nfs/dbraw/zinc/68/63/32/353686332.db2.gz NHAHWSAKHIYTIT-UHFFFAOYSA-N 1 2 321.380 1.989 20 30 DDEDLO C[C@@H]([NH2+][C@H](C)c1nc(C(C)(C)C)no1)C(=O)N(C)CCC#N ZINC000185189612 354281923 /nfs/dbraw/zinc/28/19/23/354281923.db2.gz FGTJZOKMGLSDTJ-GHMZBOCLSA-N 1 2 307.398 1.778 20 30 DDEDLO CN1CC[N@H+](C)C[C@@H]1c1noc([C@]2(C#N)CC23CCCC3)n1 ZINC000351086460 354614076 /nfs/dbraw/zinc/61/40/76/354614076.db2.gz RLKWZCYRCXCKBH-MLGOLLRUSA-N 1 2 301.394 1.713 20 30 DDEDLO CN1CC[N@@H+](C)C[C@@H]1c1noc([C@]2(C#N)CC23CCCC3)n1 ZINC000351086460 354614082 /nfs/dbraw/zinc/61/40/82/354614082.db2.gz RLKWZCYRCXCKBH-MLGOLLRUSA-N 1 2 301.394 1.713 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)NCCCOc1ccccc1F ZINC000521640695 354688718 /nfs/dbraw/zinc/68/87/18/354688718.db2.gz OKLZWMUDNOEQFL-CYBMUJFWSA-N 1 2 307.369 1.993 20 30 DDEDLO COC(=O)[C@@H]([NH2+]C[C@@H](O)COc1ccc(CC#N)cc1)C1CC1 ZINC000585816418 354853525 /nfs/dbraw/zinc/85/35/25/354853525.db2.gz DYVQNLVNCMIJMN-ZBFHGGJFSA-N 1 2 318.373 1.034 20 30 DDEDLO N#CCCCCCC(=O)N1CC[NH+](CC(=O)N2CCCC2)CC1 ZINC000588749474 354933634 /nfs/dbraw/zinc/93/36/34/354933634.db2.gz ADMCLAZVTLBVKR-UHFFFAOYSA-N 1 2 320.437 1.227 20 30 DDEDLO N#Cc1cc(O)cc(C(=O)N2CC[NH+](Cc3ccco3)CC2)c1 ZINC000589158553 354965784 /nfs/dbraw/zinc/96/57/84/354965784.db2.gz PDMMQKQCVSWKQV-UHFFFAOYSA-N 1 2 311.341 1.815 20 30 DDEDLO C[C@@H](CC[NH+]1CCN(C)CC1)NC(=O)c1cc(O)cc(C#N)c1 ZINC000589204346 354972496 /nfs/dbraw/zinc/97/24/96/354972496.db2.gz AUEZXYYWINSCTR-ZDUSSCGKSA-N 1 2 316.405 1.020 20 30 DDEDLO C[C@@H](CCN1CC[NH+](C)CC1)NC(=O)c1cc(O)cc(C#N)c1 ZINC000589204346 354972499 /nfs/dbraw/zinc/97/24/99/354972499.db2.gz AUEZXYYWINSCTR-ZDUSSCGKSA-N 1 2 316.405 1.020 20 30 DDEDLO N#Cc1cncc(S(=O)(=O)N2CCC[C@H](n3cc[nH+]c3)C2)c1 ZINC000589278786 354981150 /nfs/dbraw/zinc/98/11/50/354981150.db2.gz MDYLQTHRNDNZBK-ZDUSSCGKSA-N 1 2 317.374 1.176 20 30 DDEDLO CC[C@@](C)([NH2+]C[C@@H](O)COc1ccc(CC#N)cc1)C(=O)OC ZINC000589989995 355037670 /nfs/dbraw/zinc/03/76/70/355037670.db2.gz AFRZCWURUBBEKN-RHSMWYFYSA-N 1 2 320.389 1.424 20 30 DDEDLO N#CC1(CC(=O)NC[C@@]2([NH+]3CCOCC3)CCSC2)CC1 ZINC000590174292 355056129 /nfs/dbraw/zinc/05/61/29/355056129.db2.gz JQGSEEIYOKCXPM-HNNXBMFYSA-N 1 2 309.435 1.004 20 30 DDEDLO N#CCN1CCC([NH2+][C@H](c2cccs2)c2nnc[nH]2)CC1 ZINC000590243065 355060737 /nfs/dbraw/zinc/06/07/37/355060737.db2.gz ICCOXGWWLAROKF-CYBMUJFWSA-N 1 2 302.407 1.533 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)N1CCn2c[nH+]cc2C1 ZINC000590600427 355127044 /nfs/dbraw/zinc/12/70/44/355127044.db2.gz WPZPNNJTVPBVSJ-CYBMUJFWSA-N 1 2 301.394 1.430 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@@H+]1Cc1ccc(C#N)cc1F ZINC000591627811 355343557 /nfs/dbraw/zinc/34/35/57/355343557.db2.gz HPRUWPPZCPVOEA-MRXNPFEDSA-N 1 2 305.353 1.424 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@H+]1Cc1ccc(C#N)cc1F ZINC000591627811 355343558 /nfs/dbraw/zinc/34/35/58/355343558.db2.gz HPRUWPPZCPVOEA-MRXNPFEDSA-N 1 2 305.353 1.424 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@@H+]1Cc1cc(F)ccc1C#N ZINC000591646275 355350241 /nfs/dbraw/zinc/35/02/41/355350241.db2.gz HPURRGGZVWBWEY-INIZCTEOSA-N 1 2 305.353 1.424 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@H+]1Cc1cc(F)ccc1C#N ZINC000591646275 355350242 /nfs/dbraw/zinc/35/02/42/355350242.db2.gz HPURRGGZVWBWEY-INIZCTEOSA-N 1 2 305.353 1.424 20 30 DDEDLO COC[C@]1(C(N)=O)CCC[N@@H+]1Cc1cn2ccccc2c1C#N ZINC000591646929 355351635 /nfs/dbraw/zinc/35/16/35/355351635.db2.gz IFHPZCSNTUWPHI-KRWDZBQOSA-N 1 2 312.373 1.277 20 30 DDEDLO COC[C@]1(C(N)=O)CCC[N@H+]1Cc1cn2ccccc2c1C#N ZINC000591646929 355351642 /nfs/dbraw/zinc/35/16/42/355351642.db2.gz IFHPZCSNTUWPHI-KRWDZBQOSA-N 1 2 312.373 1.277 20 30 DDEDLO Cc1ccc2[nH+]c(CNC(=O)N3CC[C@](O)(CC#N)C3)cn2c1 ZINC000591925607 355437056 /nfs/dbraw/zinc/43/70/56/355437056.db2.gz VIYMRZXWAFFPGP-MRXNPFEDSA-N 1 2 313.361 1.203 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](O)C[N@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000592208706 355539331 /nfs/dbraw/zinc/53/93/31/355539331.db2.gz UVOFSUYWAWHNRK-OCCSQVGLSA-N 1 2 308.765 1.567 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](O)C[N@@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC000592208706 355539332 /nfs/dbraw/zinc/53/93/32/355539332.db2.gz UVOFSUYWAWHNRK-OCCSQVGLSA-N 1 2 308.765 1.567 20 30 DDEDLO CCOc1ccc(NC(=O)[C@H](C)[N@@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592149276 355515995 /nfs/dbraw/zinc/51/59/95/355515995.db2.gz LYKFSYAWMAOIAP-GUYCJALGSA-N 1 2 317.389 1.763 20 30 DDEDLO CCOc1ccc(NC(=O)[C@H](C)[N@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592149276 355515998 /nfs/dbraw/zinc/51/59/98/355515998.db2.gz LYKFSYAWMAOIAP-GUYCJALGSA-N 1 2 317.389 1.763 20 30 DDEDLO Cc1oc(NC(=O)C[NH+]2CCC(C3(O)CC3)CC2)c(C#N)c1C ZINC000593049282 355779779 /nfs/dbraw/zinc/77/97/79/355779779.db2.gz KDHVKGADSVFQTA-UHFFFAOYSA-N 1 2 317.389 1.944 20 30 DDEDLO COc1ccc(COCC(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000593155288 355813553 /nfs/dbraw/zinc/81/35/53/355813553.db2.gz JMXOTWZAHDKJJJ-INIZCTEOSA-N 1 2 305.378 1.172 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(Cn2cccn2)cc1 ZINC000593155635 355813595 /nfs/dbraw/zinc/81/35/95/355813595.db2.gz MDBCXIPPJHRFDT-KRWDZBQOSA-N 1 2 311.389 1.505 20 30 DDEDLO N#CC1(CNC(=O)NCC2([NH+]3CCOCC3)CC2)CCCC1 ZINC000593395735 355871954 /nfs/dbraw/zinc/87/19/54/355871954.db2.gz GKRBFRMGXKVPEI-UHFFFAOYSA-N 1 2 306.410 1.234 20 30 DDEDLO N#CC1(CNC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)CCCC1 ZINC000593773964 355992469 /nfs/dbraw/zinc/99/24/69/355992469.db2.gz RSEWOETWGRTYNJ-HNNXBMFYSA-N 1 2 305.422 1.830 20 30 DDEDLO N#CC1(CNC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)CCCC1 ZINC000593773964 355992474 /nfs/dbraw/zinc/99/24/74/355992474.db2.gz RSEWOETWGRTYNJ-HNNXBMFYSA-N 1 2 305.422 1.830 20 30 DDEDLO COCC[N@@H+](Cc1ccccc1C#N)C[C@@H](O)C(F)(F)F ZINC000594018083 356089889 /nfs/dbraw/zinc/08/98/89/356089889.db2.gz RFTMOSWAADSRJT-CYBMUJFWSA-N 1 2 302.296 1.930 20 30 DDEDLO COCC[N@H+](Cc1ccccc1C#N)C[C@@H](O)C(F)(F)F ZINC000594018083 356089893 /nfs/dbraw/zinc/08/98/93/356089893.db2.gz RFTMOSWAADSRJT-CYBMUJFWSA-N 1 2 302.296 1.930 20 30 DDEDLO Cn1cnnc1N1CC[NH+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000594024507 356093334 /nfs/dbraw/zinc/09/33/34/356093334.db2.gz LEUNXAMFCBOTNV-UHFFFAOYSA-N 1 2 300.341 1.148 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000594261367 356156102 /nfs/dbraw/zinc/15/61/02/356156102.db2.gz ZYTSUVZVOXGMPA-GJZGRUSLSA-N 1 2 315.417 1.574 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000594261367 356156104 /nfs/dbraw/zinc/15/61/04/356156104.db2.gz ZYTSUVZVOXGMPA-GJZGRUSLSA-N 1 2 315.417 1.574 20 30 DDEDLO N#Cc1ccc2c(c1)CN(c1nc(N3CCOCC3)cc[nH+]1)C2 ZINC000594604693 356263034 /nfs/dbraw/zinc/26/30/34/356263034.db2.gz WMNPKBWEDNYIHF-UHFFFAOYSA-N 1 2 307.357 1.705 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+]([C@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C1 ZINC000594659894 356278714 /nfs/dbraw/zinc/27/87/14/356278714.db2.gz JNRXXPRXHPXADF-HOCLYGCPSA-N 1 2 322.368 1.816 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+]([C@H]2CC(=O)N(c3ccc(C#N)cc3)C2=O)C1 ZINC000594659894 356278719 /nfs/dbraw/zinc/27/87/19/356278719.db2.gz JNRXXPRXHPXADF-HOCLYGCPSA-N 1 2 322.368 1.816 20 30 DDEDLO C[C@@H]1CN(c2ccc(CNc3ccnc(C#N)n3)c[nH+]2)C[C@H](C)O1 ZINC000594544726 356246200 /nfs/dbraw/zinc/24/62/00/356246200.db2.gz DGSUPSUCUHQCFR-BETUJISGSA-N 1 2 324.388 1.391 20 30 DDEDLO COC(=O)CC[C@@H](C(=O)OC)[N@H+](C)Cc1cccc(C#N)c1 ZINC000595289220 356435029 /nfs/dbraw/zinc/43/50/29/356435029.db2.gz QKZYCQQPXSDAAP-AWEZNQCLSA-N 1 2 304.346 1.485 20 30 DDEDLO COC(=O)CC[C@@H](C(=O)OC)[N@@H+](C)Cc1cccc(C#N)c1 ZINC000595289220 356435033 /nfs/dbraw/zinc/43/50/33/356435033.db2.gz QKZYCQQPXSDAAP-AWEZNQCLSA-N 1 2 304.346 1.485 20 30 DDEDLO CCN1OC[C@H]([NH+]2CCC(Oc3ccc(C#N)cc3)CC2)C1=O ZINC000595305230 356441930 /nfs/dbraw/zinc/44/19/30/356441930.db2.gz YXXXWHISVAIMRB-INIZCTEOSA-N 1 2 315.373 1.564 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000595399512 356478287 /nfs/dbraw/zinc/47/82/87/356478287.db2.gz MEACNJOJJQNZLE-LLVKDONJSA-N 1 2 301.375 1.580 20 30 DDEDLO COC(=O)c1ccc2[nH]c(C[N@@H+]3CC[C@](O)(CC#N)C3)nc2c1 ZINC000595558324 356550238 /nfs/dbraw/zinc/55/02/38/356550238.db2.gz NQDGRWGDMOZSPH-MRXNPFEDSA-N 1 2 314.345 1.200 20 30 DDEDLO COC(=O)c1ccc2[nH]c(C[N@H+]3CC[C@](O)(CC#N)C3)nc2c1 ZINC000595558324 356550242 /nfs/dbraw/zinc/55/02/42/356550242.db2.gz NQDGRWGDMOZSPH-MRXNPFEDSA-N 1 2 314.345 1.200 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@H+]2CC[C@@]3(O)C[C@H]3C2)C1=O ZINC000595757620 356639737 /nfs/dbraw/zinc/63/97/37/356639737.db2.gz OAZGPEPRAMPNQN-YWPYICTPSA-N 1 2 303.387 1.182 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@@H+]2CC[C@@]3(O)C[C@H]3C2)C1=O ZINC000595757620 356639739 /nfs/dbraw/zinc/63/97/39/356639739.db2.gz OAZGPEPRAMPNQN-YWPYICTPSA-N 1 2 303.387 1.182 20 30 DDEDLO CCN(CC(=O)N1CC[NH+](Cc2ccco2)CC1)[C@H](C)C#N ZINC000595818697 356662795 /nfs/dbraw/zinc/66/27/95/356662795.db2.gz XFMQJIZBHRZCHN-CQSZACIVSA-N 1 2 304.394 1.158 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCOC(C2CC2)(C2CC2)C1 ZINC000595822626 356663677 /nfs/dbraw/zinc/66/36/77/356663677.db2.gz YHUPDVXUBXXIDX-KRWDZBQOSA-N 1 2 319.449 1.932 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCOC(C2CC2)(C2CC2)C1 ZINC000595822626 356663680 /nfs/dbraw/zinc/66/36/80/356663680.db2.gz YHUPDVXUBXXIDX-KRWDZBQOSA-N 1 2 319.449 1.932 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H]2COC[C@@H]2C1 ZINC000595831365 356669519 /nfs/dbraw/zinc/66/95/19/356669519.db2.gz OEWMVEFOMGLDGI-PMPSAXMXSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H]2COC[C@@H]2C1 ZINC000595831365 356669520 /nfs/dbraw/zinc/66/95/20/356669520.db2.gz OEWMVEFOMGLDGI-PMPSAXMXSA-N 1 2 300.358 1.741 20 30 DDEDLO CN(C)C(=O)N(C)[C@H]1CC[N@H+](Cc2cccc(F)c2C#N)C1 ZINC000595824046 356664647 /nfs/dbraw/zinc/66/46/47/356664647.db2.gz XVXNQSCHEKQPDZ-ZDUSSCGKSA-N 1 2 304.369 1.885 20 30 DDEDLO CN(C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2cccc(F)c2C#N)C1 ZINC000595824046 356664652 /nfs/dbraw/zinc/66/46/52/356664652.db2.gz XVXNQSCHEKQPDZ-ZDUSSCGKSA-N 1 2 304.369 1.885 20 30 DDEDLO C[C@H]1C[N@H+](CCNc2ncc(C#N)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596431590 356877906 /nfs/dbraw/zinc/87/79/06/356877906.db2.gz PJOYAWWPROSVGE-QWRGUYRKSA-N 1 2 305.338 1.383 20 30 DDEDLO C[C@H]1C[N@@H+](CCNc2ncc(C#N)cc2[N+](=O)[O-])[C@@H](C)CO1 ZINC000596431590 356877908 /nfs/dbraw/zinc/87/79/08/356877908.db2.gz PJOYAWWPROSVGE-QWRGUYRKSA-N 1 2 305.338 1.383 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000596594888 356928933 /nfs/dbraw/zinc/92/89/33/356928933.db2.gz XLSCBOSUADSJBH-TZMCWYRMSA-N 1 2 316.405 1.865 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000596594888 356928937 /nfs/dbraw/zinc/92/89/37/356928937.db2.gz XLSCBOSUADSJBH-TZMCWYRMSA-N 1 2 316.405 1.865 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)N[C@H]2CC[C@@H](CC#N)C2)[C@@H](C)CO1 ZINC000596611782 356932693 /nfs/dbraw/zinc/93/26/93/356932693.db2.gz IVOGPBZJXCYPGB-AJNGGQMLSA-N 1 2 308.426 1.477 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)N[C@H]2CC[C@@H](CC#N)C2)[C@@H](C)CO1 ZINC000596611782 356932699 /nfs/dbraw/zinc/93/26/99/356932699.db2.gz IVOGPBZJXCYPGB-AJNGGQMLSA-N 1 2 308.426 1.477 20 30 DDEDLO Cc1nccnc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000596659448 356944930 /nfs/dbraw/zinc/94/49/30/356944930.db2.gz ZTBDJTVGTIYHJQ-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO N#Cc1c(F)cc(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cc1F ZINC000597375638 357154746 /nfs/dbraw/zinc/15/47/46/357154746.db2.gz GVRJVENTUCMRBM-LBPRGKRZSA-N 1 2 321.327 1.383 20 30 DDEDLO C[C@H](CNC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1)c1nccs1 ZINC000329625492 223003703 /nfs/dbraw/zinc/00/37/03/223003703.db2.gz STWWTWVIXVMZIS-GRYCIOLGSA-N 1 2 310.423 1.175 20 30 DDEDLO C[C@H](CNC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1)c1nccs1 ZINC000329625492 223003706 /nfs/dbraw/zinc/00/37/06/223003706.db2.gz STWWTWVIXVMZIS-GRYCIOLGSA-N 1 2 310.423 1.175 20 30 DDEDLO Cc1n[nH]c(C)c1[C@H]1COCC[N@@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598602010 357649524 /nfs/dbraw/zinc/64/95/24/357649524.db2.gz YXPGIMGJJMTMKA-UONOGXRCSA-N 1 2 306.410 1.701 20 30 DDEDLO Cc1n[nH]c(C)c1[C@H]1COCC[N@H+]1C[C@@H](O)CC(C)(C)C#N ZINC000598602010 357649529 /nfs/dbraw/zinc/64/95/29/357649529.db2.gz YXPGIMGJJMTMKA-UONOGXRCSA-N 1 2 306.410 1.701 20 30 DDEDLO CO[C@H]1C[C@H](c2nc(C)no2)[N@H+](C[C@@H](O)CC(C)(C)C#N)C1 ZINC000598608220 357651651 /nfs/dbraw/zinc/65/16/51/357651651.db2.gz UECWPPGTQXPNLD-RWMBFGLXSA-N 1 2 308.382 1.441 20 30 DDEDLO CO[C@H]1C[C@H](c2nc(C)no2)[N@@H+](C[C@@H](O)CC(C)(C)C#N)C1 ZINC000598608220 357651654 /nfs/dbraw/zinc/65/16/54/357651654.db2.gz UECWPPGTQXPNLD-RWMBFGLXSA-N 1 2 308.382 1.441 20 30 DDEDLO Cc1nccnc1CN1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000598675007 357681073 /nfs/dbraw/zinc/68/10/73/357681073.db2.gz AGXFRGIMWUDLSK-UHFFFAOYSA-N 1 2 307.401 1.975 20 30 DDEDLO Cc1nccnc1C[NH+]1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000598675007 357681079 /nfs/dbraw/zinc/68/10/79/357681079.db2.gz AGXFRGIMWUDLSK-UHFFFAOYSA-N 1 2 307.401 1.975 20 30 DDEDLO COc1cccc(CC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1Cl ZINC000598836678 357740288 /nfs/dbraw/zinc/74/02/88/357740288.db2.gz ORNGWJTVYODZTB-HNNXBMFYSA-N 1 2 309.797 1.851 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCO[C@H](c3ccco3)C2)CCOCC1 ZINC000599317757 357891097 /nfs/dbraw/zinc/89/10/97/357891097.db2.gz XKORMWDRUJWELT-HOCLYGCPSA-N 1 2 320.389 1.724 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCO[C@H](c3ccco3)C2)CCOCC1 ZINC000599317757 357891103 /nfs/dbraw/zinc/89/11/03/357891103.db2.gz XKORMWDRUJWELT-HOCLYGCPSA-N 1 2 320.389 1.724 20 30 DDEDLO COC(=O)CCN1CC[N@H+](Cc2ccc(C)c(C#N)c2)[C@H](C)C1 ZINC000599355160 357906893 /nfs/dbraw/zinc/90/68/93/357906893.db2.gz VIFKLCGYUUGULI-OAHLLOKOSA-N 1 2 315.417 1.936 20 30 DDEDLO COC(=O)CCN1CC[N@@H+](Cc2ccc(C)c(C#N)c2)[C@H](C)C1 ZINC000599355160 357906896 /nfs/dbraw/zinc/90/68/96/357906896.db2.gz VIFKLCGYUUGULI-OAHLLOKOSA-N 1 2 315.417 1.936 20 30 DDEDLO CC[C@H](C)[C@H]([NH2+]C[C@@H](O)COc1ccccc1C#N)C(=O)OC ZINC000599358155 357908400 /nfs/dbraw/zinc/90/84/00/357908400.db2.gz FLHHZCSBSUHRNG-BJJXKVORSA-N 1 2 320.389 1.475 20 30 DDEDLO Cn1nccc1[C@H]1c2[nH]c[nH+]c2CCN1CCOCCC#N ZINC000599445730 357940171 /nfs/dbraw/zinc/94/01/71/357940171.db2.gz PCLJMOLXJKOGBE-HNNXBMFYSA-N 1 2 300.366 1.021 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCc2nc(C3CC3)ncc2C1 ZINC000599616868 357993891 /nfs/dbraw/zinc/99/38/91/357993891.db2.gz FPQRNGGEMYHJEQ-LBPRGKRZSA-N 1 2 313.405 1.473 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCc2nc(C3CC3)ncc2C1 ZINC000599616868 357993893 /nfs/dbraw/zinc/99/38/93/357993893.db2.gz FPQRNGGEMYHJEQ-LBPRGKRZSA-N 1 2 313.405 1.473 20 30 DDEDLO COC[C@]1(C)C[N@@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CCO1 ZINC000599693411 358028128 /nfs/dbraw/zinc/02/81/28/358028128.db2.gz PJDMFVBLGUVGAC-BPUTZDHNSA-N 1 2 311.426 1.167 20 30 DDEDLO COC[C@]1(C)C[N@H+]([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CCO1 ZINC000599693411 358028130 /nfs/dbraw/zinc/02/81/30/358028130.db2.gz PJDMFVBLGUVGAC-BPUTZDHNSA-N 1 2 311.426 1.167 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000600135503 358134009 /nfs/dbraw/zinc/13/40/09/358134009.db2.gz YEMRWRICCINZQJ-GFCCVEGCSA-N 1 2 324.388 1.924 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1cnc(C2CC2)o1 ZINC000600135503 358134012 /nfs/dbraw/zinc/13/40/12/358134012.db2.gz YEMRWRICCINZQJ-GFCCVEGCSA-N 1 2 324.388 1.924 20 30 DDEDLO Cc1conc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000600945423 358351688 /nfs/dbraw/zinc/35/16/88/358351688.db2.gz JKIDEYRNYYITIJ-UHFFFAOYSA-N 1 2 310.357 1.813 20 30 DDEDLO Cn1c[nH+]c(CCNS(=O)(=O)c2ccccc2CC#N)c1 ZINC000601437242 358553145 /nfs/dbraw/zinc/55/31/45/358553145.db2.gz TUGRCCGNGJFZJW-UHFFFAOYSA-N 1 2 304.375 1.007 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NC(=O)N1CCN(CCC#N)CC1 ZINC000601604142 358619698 /nfs/dbraw/zinc/61/96/98/358619698.db2.gz DOHGXNNCBZXEJW-CYBMUJFWSA-N 1 2 304.398 1.205 20 30 DDEDLO CN1CCCC[C@H]([N@H+](C)CC(=O)Nc2sccc2C#N)C1=O ZINC000601967732 358758042 /nfs/dbraw/zinc/75/80/42/358758042.db2.gz ADLXEFBWWIZYJB-LBPRGKRZSA-N 1 2 320.418 1.501 20 30 DDEDLO CN1CCCC[C@H]([N@@H+](C)CC(=O)Nc2sccc2C#N)C1=O ZINC000601967732 358758049 /nfs/dbraw/zinc/75/80/49/358758049.db2.gz ADLXEFBWWIZYJB-LBPRGKRZSA-N 1 2 320.418 1.501 20 30 DDEDLO CN(C(=O)C(=O)Nc1ccn2cc[nH+]c2c1)[C@H]1CCC[C@H]1C#N ZINC000602062348 358796852 /nfs/dbraw/zinc/79/68/52/358796852.db2.gz LIUZUVXGIFFDFQ-AAEUAGOBSA-N 1 2 311.345 1.423 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH2+][C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000185439542 199853502 /nfs/dbraw/zinc/85/35/02/199853502.db2.gz UBVGLFNEXCZTHW-MLGOLLRUSA-N 1 2 316.380 1.929 20 30 DDEDLO COc1cc2c(cc1C[NH+]1CCN(CCC#N)CC1)OCO2 ZINC000602748929 359166311 /nfs/dbraw/zinc/16/63/11/359166311.db2.gz XDZFIHHUOGRMKF-UHFFFAOYSA-N 1 2 303.362 1.455 20 30 DDEDLO Cc1ccc(NC(=O)C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)cc1F ZINC000602854419 359241169 /nfs/dbraw/zinc/24/11/69/359241169.db2.gz BWBWBSXISIOANX-OKILXGFUSA-N 1 2 318.396 1.991 20 30 DDEDLO Cc1ccc(NC(=O)C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)cc1F ZINC000602854419 359241174 /nfs/dbraw/zinc/24/11/74/359241174.db2.gz BWBWBSXISIOANX-OKILXGFUSA-N 1 2 318.396 1.991 20 30 DDEDLO C[C@@H](C(=O)N[C@H]1CCCC[C@H]1C)[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602858047 359245184 /nfs/dbraw/zinc/24/51/84/359245184.db2.gz YBLRKPJLULTRJG-XAJHFOFHSA-N 1 2 320.481 1.988 20 30 DDEDLO COc1cc(OC)c(OC)cc1CNC(=O)C[NH2+][C@H](C)CC#N ZINC000602862843 359248109 /nfs/dbraw/zinc/24/81/09/359248109.db2.gz KDQGRGGBTNDMSR-LLVKDONJSA-N 1 2 321.377 1.220 20 30 DDEDLO CCOC(=O)CN(C(=O)C[NH2+][C@@H](C)CC#N)c1ccccc1 ZINC000602864059 359248645 /nfs/dbraw/zinc/24/86/45/359248645.db2.gz PZACOYZBKPIPFR-ZDUSSCGKSA-N 1 2 303.362 1.474 20 30 DDEDLO C[N@H+](CC(=O)NCC#N)Cc1ccc(Br)cc1F ZINC000602925349 359297783 /nfs/dbraw/zinc/29/77/83/359297783.db2.gz LBNBYWRDODJYSB-UHFFFAOYSA-N 1 2 314.158 1.660 20 30 DDEDLO C[N@@H+](CC(=O)NCC#N)Cc1ccc(Br)cc1F ZINC000602925349 359297784 /nfs/dbraw/zinc/29/77/84/359297784.db2.gz LBNBYWRDODJYSB-UHFFFAOYSA-N 1 2 314.158 1.660 20 30 DDEDLO COc1ccc(CNC(=O)C[N@H+](C)[C@H]2CCC[C@@H]2C#N)cc1 ZINC000602974900 359336042 /nfs/dbraw/zinc/33/60/42/359336042.db2.gz VPJKDVKCYRTALH-ZBFHGGJFSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccc(CNC(=O)C[N@@H+](C)[C@H]2CCC[C@@H]2C#N)cc1 ZINC000602974900 359336045 /nfs/dbraw/zinc/33/60/45/359336045.db2.gz VPJKDVKCYRTALH-ZBFHGGJFSA-N 1 2 301.390 1.935 20 30 DDEDLO C[C@@H](NC(=O)Nc1cc(F)cc(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000603079531 359391382 /nfs/dbraw/zinc/39/13/82/359391382.db2.gz WAMXAXSTRDBZMC-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO COC1CC[NH+](Cc2cn(Cc3ccc(C#N)cc3)nn2)CC1 ZINC000603162163 359448002 /nfs/dbraw/zinc/44/80/02/359448002.db2.gz OSWUZBCEZCSREV-UHFFFAOYSA-N 1 2 311.389 1.809 20 30 DDEDLO N#Cc1ccccc1OCc1cn(CC[NH+]2CCOCC2)nn1 ZINC000603216555 359488607 /nfs/dbraw/zinc/48/86/07/359488607.db2.gz QJCNGLMMVMQHJZ-UHFFFAOYSA-N 1 2 313.361 1.061 20 30 DDEDLO Cc1cc([C@H]2CCC[N@@H+]2CC(=O)N[C@](C)(C#N)C2CC2)on1 ZINC000603851163 359687935 /nfs/dbraw/zinc/68/79/35/359687935.db2.gz PDDVBQXPNUQQCE-CZUORRHYSA-N 1 2 302.378 1.928 20 30 DDEDLO Cc1cc([C@H]2CCC[N@H+]2CC(=O)N[C@](C)(C#N)C2CC2)on1 ZINC000603851163 359687941 /nfs/dbraw/zinc/68/79/41/359687941.db2.gz PDDVBQXPNUQQCE-CZUORRHYSA-N 1 2 302.378 1.928 20 30 DDEDLO N#CCN1CCC(NC(=O)c2cccc(Cn3cc[nH+]c3)c2)CC1 ZINC000609330573 360294773 /nfs/dbraw/zinc/29/47/73/360294773.db2.gz RFJRQIHSRXLQNP-UHFFFAOYSA-N 1 2 323.400 1.649 20 30 DDEDLO N#CCNC(=O)C[NH+]1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 ZINC000609417593 360307054 /nfs/dbraw/zinc/30/70/54/360307054.db2.gz AOGVDPLCCHZJFX-HTQZYQBOSA-N 1 2 317.233 1.689 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCc2cccc(F)c2[C@H]1C ZINC000610004454 360371925 /nfs/dbraw/zinc/37/19/25/360371925.db2.gz LDRHYVLPXRZVAA-LLVKDONJSA-N 1 2 305.353 1.757 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCc2cccc(F)c2[C@H]1C ZINC000610004454 360371931 /nfs/dbraw/zinc/37/19/31/360371931.db2.gz LDRHYVLPXRZVAA-LLVKDONJSA-N 1 2 305.353 1.757 20 30 DDEDLO COc1cc(OC)cc(N2CC[C@@H]([NH2+][C@@H](C)CC#N)C2=O)c1 ZINC000610483084 360447743 /nfs/dbraw/zinc/44/77/43/360447743.db2.gz YLJCEYTXYFKBRH-XHDPSFHLSA-N 1 2 303.362 1.701 20 30 DDEDLO N#Cc1nc(C2CC2)oc1N1CC[NH+]([C@H]2CCCOC2)CC1 ZINC000610569994 360472238 /nfs/dbraw/zinc/47/22/38/360472238.db2.gz MRSRNYRLOALGPV-ZDUSSCGKSA-N 1 2 302.378 1.725 20 30 DDEDLO COCC[N@H+](CCC#N)C[C@@H](O)COc1cc(C)cc(C)c1 ZINC000610511694 360457615 /nfs/dbraw/zinc/45/76/15/360457615.db2.gz HZOPPQGVBICIER-MRXNPFEDSA-N 1 2 306.406 1.905 20 30 DDEDLO COCC[N@@H+](CCC#N)C[C@@H](O)COc1cc(C)cc(C)c1 ZINC000610511694 360457618 /nfs/dbraw/zinc/45/76/18/360457618.db2.gz HZOPPQGVBICIER-MRXNPFEDSA-N 1 2 306.406 1.905 20 30 DDEDLO COc1cc(C(=O)NC[C@@H]2CCCn3cc(C)[nH+]c32)nn1C ZINC000330036247 223066851 /nfs/dbraw/zinc/06/68/51/223066851.db2.gz YDWRYTUEIRHAPD-NSHDSACASA-N 1 2 303.366 1.816 20 30 DDEDLO CN1CC[C@@H]([NH+]2CCN([C@H](C#N)c3ccc(F)cc3)CC2)C1=O ZINC000611550343 360756100 /nfs/dbraw/zinc/75/61/00/360756100.db2.gz DITNQEMFPXGUCR-HZPDHXFCSA-N 1 2 316.380 1.239 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H](O)C[C@H](O)C2)c(Br)c1 ZINC000611500812 360738083 /nfs/dbraw/zinc/73/80/83/360738083.db2.gz AQNKUBBQLJTIBA-TXEJJXNPSA-N 1 2 311.179 1.248 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H](O)C[C@H](O)C2)c(Br)c1 ZINC000611500812 360738088 /nfs/dbraw/zinc/73/80/88/360738088.db2.gz AQNKUBBQLJTIBA-TXEJJXNPSA-N 1 2 311.179 1.248 20 30 DDEDLO C=C[C@@H](O)C(=O)N(CC)CC1CCN(c2cccc[nH+]2)CC1 ZINC000611645077 360783160 /nfs/dbraw/zinc/78/31/60/360783160.db2.gz UGZCXLLNUMZYGC-OAHLLOKOSA-N 1 2 303.406 1.693 20 30 DDEDLO Cc1cn2c([nH+]1)CN([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC2 ZINC000611801967 360829057 /nfs/dbraw/zinc/82/90/57/360829057.db2.gz LTNPBTVKRLGOBM-XJKSGUPXSA-N 1 2 303.410 1.450 20 30 DDEDLO O=C(C[N@@H+]1CCN2C(=O)NC[C@H]2C1)NCCc1ccc(F)cc1 ZINC000330283776 223099021 /nfs/dbraw/zinc/09/90/21/223099021.db2.gz WOITYWJLLCVDBK-AWEZNQCLSA-N 1 2 320.368 1.239 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)NC[C@H]2C1)NCCc1ccc(F)cc1 ZINC000330283776 223099024 /nfs/dbraw/zinc/09/90/24/223099024.db2.gz WOITYWJLLCVDBK-AWEZNQCLSA-N 1 2 320.368 1.239 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000330852834 223149285 /nfs/dbraw/zinc/14/92/85/223149285.db2.gz GEPAZTZXADLHFM-CMPLNLGQSA-N 1 2 307.316 1.136 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@](O)(C(F)(F)F)C1 ZINC000330852834 223149288 /nfs/dbraw/zinc/14/92/88/223149288.db2.gz GEPAZTZXADLHFM-CMPLNLGQSA-N 1 2 307.316 1.136 20 30 DDEDLO N#C[C@]1(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CC12CCCC2 ZINC000333962652 223225640 /nfs/dbraw/zinc/22/56/40/223225640.db2.gz NEGQFNXJLSRBJS-VBQJREDUSA-N 1 2 303.406 1.440 20 30 DDEDLO N#C[C@]1(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CC12CCCC2 ZINC000333962652 223225642 /nfs/dbraw/zinc/22/56/42/223225642.db2.gz NEGQFNXJLSRBJS-VBQJREDUSA-N 1 2 303.406 1.440 20 30 DDEDLO C[C@H]1CN(c2ccc(CNC(=O)NCCC#N)c[nH+]2)C[C@H](C)O1 ZINC000334077127 223226322 /nfs/dbraw/zinc/22/63/22/223226322.db2.gz ZYJCLQOLIUOAPK-STQMWFEESA-N 1 2 317.393 1.408 20 30 DDEDLO CC(C)(C)CN1CCC[C@H]([NH+]2CCN(CCC#N)CC2)C1=O ZINC000613127785 361281327 /nfs/dbraw/zinc/28/13/27/361281327.db2.gz UNQYKEJSCIVHMY-HNNXBMFYSA-N 1 2 306.454 1.555 20 30 DDEDLO C[C@H](c1cccnc1)[NH+]1CCN(C(=O)c2ccnc(C#N)c2)CC1 ZINC000193272204 201017996 /nfs/dbraw/zinc/01/79/96/201017996.db2.gz CHBMJPBWMKWMCL-CQSZACIVSA-N 1 2 321.384 1.867 20 30 DDEDLO COC(=O)[C@H]([NH2+]CCC(=O)Nc1cccc(C#N)c1)C(C)C ZINC000565937815 304104994 /nfs/dbraw/zinc/10/49/94/304104994.db2.gz APNAZIWTJHWGGB-OAHLLOKOSA-N 1 2 303.362 1.674 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCCc1ccc(C)[nH+]c1 ZINC000619709786 364123937 /nfs/dbraw/zinc/12/39/37/364123937.db2.gz VYMSWKPIVPCLGK-GFCCVEGCSA-N 1 2 310.423 1.249 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(NC(=O)NC2CCCCC2)CC1 ZINC000619719205 364130918 /nfs/dbraw/zinc/13/09/18/364130918.db2.gz KCBRDWMRDXVARC-HNNXBMFYSA-N 1 2 323.437 1.810 20 30 DDEDLO C[C@H]1CC[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)C[C@@H]1F ZINC000625312930 366843927 /nfs/dbraw/zinc/84/39/27/366843927.db2.gz HJNKBJFZNZUOSR-BBRMVZONSA-N 1 2 314.360 1.966 20 30 DDEDLO C[C@H]1CC[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)C[C@@H]1F ZINC000625312930 366843929 /nfs/dbraw/zinc/84/39/29/366843929.db2.gz HJNKBJFZNZUOSR-BBRMVZONSA-N 1 2 314.360 1.966 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC[C@@H](n2ccnn2)C1 ZINC000566141109 304121685 /nfs/dbraw/zinc/12/16/85/304121685.db2.gz IHZNIODOCSVHPH-DZGCQCFKSA-N 1 2 324.388 1.814 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC[C@@H](n2ccnn2)C1 ZINC000566141109 304121687 /nfs/dbraw/zinc/12/16/87/304121687.db2.gz IHZNIODOCSVHPH-DZGCQCFKSA-N 1 2 324.388 1.814 20 30 DDEDLO C[C@@H](C(N)=O)[NH+]1CCN([C@@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348328474 223382801 /nfs/dbraw/zinc/38/28/01/223382801.db2.gz KRHOMYWBNMYIPY-FZMZJTMJSA-N 1 2 306.797 1.396 20 30 DDEDLO C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(Cc2ccc(C#N)o2)CC1 ZINC000093843329 193315624 /nfs/dbraw/zinc/31/56/24/193315624.db2.gz CKBUYCFMMZEJEF-AWEZNQCLSA-N 1 2 316.405 1.280 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@@H+]1CCc2n[nH]c(=O)cc2C1 ZINC000274958484 212042071 /nfs/dbraw/zinc/04/20/71/212042071.db2.gz ILDDPJKKMZWMHF-UHFFFAOYSA-N 1 2 300.749 1.853 20 30 DDEDLO N#Cc1cc(Cl)ccc1C[N@H+]1CCc2n[nH]c(=O)cc2C1 ZINC000274958484 212042072 /nfs/dbraw/zinc/04/20/72/212042072.db2.gz ILDDPJKKMZWMHF-UHFFFAOYSA-N 1 2 300.749 1.853 20 30 DDEDLO N#Cc1ccnc(C(=O)NCc2ccc[nH+]c2N2CCOCC2)c1 ZINC000283032368 217399914 /nfs/dbraw/zinc/39/99/14/217399914.db2.gz REKVOUKEMULLBJ-UHFFFAOYSA-N 1 2 323.356 1.115 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)c2ccc(C#N)cc2)CC1 ZINC000106032623 248248445 /nfs/dbraw/zinc/24/84/45/248248445.db2.gz LZRDYEYHVDTEEP-UHFFFAOYSA-N 1 2 309.373 1.250 20 30 DDEDLO C#Cc1cccc(NC(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)c1 ZINC000104989198 194062623 /nfs/dbraw/zinc/06/26/23/194062623.db2.gz NKNBRWXTAAEDSU-KBPBESRZSA-N 1 2 301.390 1.899 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccc(F)c(C#N)c1)[C@H](C)[NH+]1CCOCC1 ZINC000105036812 194066070 /nfs/dbraw/zinc/06/60/70/194066070.db2.gz ZAEPSRVECIKEAO-NEPJUHHUSA-N 1 2 320.368 1.928 20 30 DDEDLO COC(=O)[C@H](CC(C)C)[NH+]1CCN(c2ncccc2C#N)CC1 ZINC000285301161 218371113 /nfs/dbraw/zinc/37/11/13/218371113.db2.gz UQINYGJCDVYTHS-HNNXBMFYSA-N 1 2 316.405 1.663 20 30 DDEDLO CC[C@H](C)[NH+]1CCN(S(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000055080231 183962161 /nfs/dbraw/zinc/96/21/61/183962161.db2.gz AMILAMWHJURMQB-ZDUSSCGKSA-N 1 2 307.419 1.663 20 30 DDEDLO C=C(Cl)CNC(=O)N1CCN(C2=[NH+]CC(C)(C)S2)CC1 ZINC000270038160 208093911 /nfs/dbraw/zinc/09/39/11/208093911.db2.gz ZRDVCFCJJJZDJF-UHFFFAOYSA-N 1 2 316.858 1.948 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](C2CCCCC2)C[N@@H+]1CC(=O)NCC#N ZINC000330922389 533036508 /nfs/dbraw/zinc/03/65/08/533036508.db2.gz BKYYFFWCYGKTSX-KGLIPLIRSA-N 1 2 307.394 1.070 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](C2CCCCC2)C[N@H+]1CC(=O)NCC#N ZINC000330922389 533036517 /nfs/dbraw/zinc/03/65/17/533036517.db2.gz BKYYFFWCYGKTSX-KGLIPLIRSA-N 1 2 307.394 1.070 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)NCc2ccccc2)CC1 ZINC000341972547 533110070 /nfs/dbraw/zinc/11/00/70/533110070.db2.gz FWJLOBUBKXEDNK-UHFFFAOYSA-N 1 2 301.390 1.555 20 30 DDEDLO Cc1cc(NC[C@@H]2C[NH+]3CCN2CC3)c(C#N)cc1[N+](=O)[O-] ZINC000413201826 224153984 /nfs/dbraw/zinc/15/39/84/224153984.db2.gz LMZGUZTYRCCWKD-CYBMUJFWSA-N 1 2 301.350 1.187 20 30 DDEDLO CO[C@H]1CCCC[C@@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000339586806 533216301 /nfs/dbraw/zinc/21/63/01/533216301.db2.gz LWYKWUOCLBSNMC-HOTGVXAUSA-N 1 2 323.437 1.266 20 30 DDEDLO COCC[C@](C)(C#N)NC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000344903893 533319078 /nfs/dbraw/zinc/31/90/78/533319078.db2.gz JGELGJNNQLDNON-CKEIUWERSA-N 1 2 316.405 1.589 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNC(=O)c2oc(C)nc2C)C1 ZINC000330611587 533504894 /nfs/dbraw/zinc/50/48/94/533504894.db2.gz VURKEZOSHGFTFE-LBPRGKRZSA-N 1 2 309.366 1.481 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNC(=O)c2oc(C)nc2C)C1 ZINC000330611587 533504899 /nfs/dbraw/zinc/50/48/99/533504899.db2.gz VURKEZOSHGFTFE-LBPRGKRZSA-N 1 2 309.366 1.481 20 30 DDEDLO Cc1cc(N2CCCN(S(=O)(=O)C3CC3)CC2)c(C#N)c[nH+]1 ZINC000569569990 304364218 /nfs/dbraw/zinc/36/42/18/304364218.db2.gz AMBYNGNUUPSXTG-UHFFFAOYSA-N 1 2 320.418 1.266 20 30 DDEDLO CCO[C@@H]1C[C@@H]1C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000328832017 286296631 /nfs/dbraw/zinc/29/66/31/286296631.db2.gz LEXCKHMQJZRGQU-GUTXKFCHSA-N 1 2 314.451 1.576 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000016565553 406883647 /nfs/dbraw/zinc/88/36/47/406883647.db2.gz MDZJLFKTFLELKD-UHFFFAOYSA-N 1 2 304.350 1.019 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(SCC)sc2=S)CC1 ZINC000074171394 406905158 /nfs/dbraw/zinc/90/51/58/406905158.db2.gz QEQYGFNSNDNARB-UHFFFAOYSA-N 1 2 314.505 1.994 20 30 DDEDLO C[C@@H]1CC[C@H](CCC(=O)N(CCC#N)CC[NH+]2CCOCC2)O1 ZINC000075196611 406926665 /nfs/dbraw/zinc/92/66/65/406926665.db2.gz OVRWIBQAQCFRBY-HZPDHXFCSA-N 1 2 323.437 1.409 20 30 DDEDLO N#CCSCC(=O)NCCc1[nH+]ccn1Cc1ccccc1 ZINC000032956037 406957103 /nfs/dbraw/zinc/95/71/03/406957103.db2.gz BLTNPZXTEBPJSX-UHFFFAOYSA-N 1 2 314.414 1.847 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000078269193 407023043 /nfs/dbraw/zinc/02/30/43/407023043.db2.gz XTCJCKRELSYAGU-RHSMWYFYSA-N 1 2 312.417 1.536 20 30 DDEDLO C=CC[NH+](CC=C)CC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000078636129 407037492 /nfs/dbraw/zinc/03/74/92/407037492.db2.gz MGAUTCCNBGXJPC-UHFFFAOYSA-N 1 2 313.357 1.525 20 30 DDEDLO Cc1ccccc1N1CC[NH+]([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000052660855 407155735 /nfs/dbraw/zinc/15/57/35/407155735.db2.gz XRLKEJANPUDVFX-MRXNPFEDSA-N 1 2 314.433 1.878 20 30 DDEDLO Cc1nc(CN2CC[NH+](Cc3ccc(C#N)s3)CC2)no1 ZINC000123145401 407320738 /nfs/dbraw/zinc/32/07/38/407320738.db2.gz RTRGNBVPJYIEJN-UHFFFAOYSA-N 1 2 303.391 1.629 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2ccccc2F)CC1 ZINC000101574850 407312174 /nfs/dbraw/zinc/31/21/74/407312174.db2.gz IXIASXRCXOSHDR-UHFFFAOYSA-N 1 2 310.394 1.296 20 30 DDEDLO N#CCCCS(=O)(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000104110581 407347225 /nfs/dbraw/zinc/34/72/25/407347225.db2.gz YCNBGVWOHGGORI-UHFFFAOYSA-N 1 2 308.407 1.405 20 30 DDEDLO N#Cc1ccccc1N1CC[NH+](Cc2cc(=O)c(O)co2)CC1 ZINC000124341476 407355745 /nfs/dbraw/zinc/35/57/45/407355745.db2.gz LURWFRBIJXFJEE-UHFFFAOYSA-N 1 2 311.341 1.539 20 30 DDEDLO CCOCCN1CC[NH+](C[C@@H](O)c2ccc(C#N)cc2)CC1 ZINC000127429804 407436665 /nfs/dbraw/zinc/43/66/65/407436665.db2.gz YIAKMEXPQRBGDM-QGZVFWFLSA-N 1 2 303.406 1.246 20 30 DDEDLO COC(=O)C[C@@H]1C[N@H+](CCOc2ccc(C#N)cc2)CCO1 ZINC000178440583 407457178 /nfs/dbraw/zinc/45/71/78/407457178.db2.gz XDBXVIYWUVRJFU-OAHLLOKOSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)C[C@@H]1C[N@@H+](CCOc2ccc(C#N)cc2)CCO1 ZINC000178440583 407457185 /nfs/dbraw/zinc/45/71/85/407457185.db2.gz XDBXVIYWUVRJFU-OAHLLOKOSA-N 1 2 304.346 1.201 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(CC(F)(F)C(F)F)CC1 ZINC000114492114 407583114 /nfs/dbraw/zinc/58/31/14/407583114.db2.gz KOWUZMKATBSZFE-SNVBAGLBSA-N 1 2 311.323 1.195 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNc1ccc([N+](=O)[O-])cc1C#N ZINC000170869541 407538875 /nfs/dbraw/zinc/53/88/75/407538875.db2.gz JHJBNZDZFBNDHI-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNc1ccc([N+](=O)[O-])cc1C#N ZINC000170869541 407538878 /nfs/dbraw/zinc/53/88/78/407538878.db2.gz JHJBNZDZFBNDHI-UHFFFAOYSA-N 1 2 304.350 1.989 20 30 DDEDLO COCC[N@H+](CCO)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000266535094 407548388 /nfs/dbraw/zinc/54/83/88/407548388.db2.gz NWKKSSHBUNVXLY-UHFFFAOYSA-N 1 2 302.334 1.049 20 30 DDEDLO COCC[N@@H+](CCO)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000266535094 407548396 /nfs/dbraw/zinc/54/83/96/407548396.db2.gz NWKKSSHBUNVXLY-UHFFFAOYSA-N 1 2 302.334 1.049 20 30 DDEDLO C=CCOc1ccccc1C(=O)N(C)CC[NH+]1CCOCC1 ZINC000171417376 407683490 /nfs/dbraw/zinc/68/34/90/407683490.db2.gz DBXYSFWZMIGNBR-UHFFFAOYSA-N 1 2 304.390 1.656 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCOC[C@H]1c1cccn1C ZINC000132013482 407764884 /nfs/dbraw/zinc/76/48/84/407764884.db2.gz IYNCAANEKGGNDD-HNNXBMFYSA-N 1 2 304.394 1.161 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCOC[C@H]1c1cccn1C ZINC000132013482 407764888 /nfs/dbraw/zinc/76/48/88/407764888.db2.gz IYNCAANEKGGNDD-HNNXBMFYSA-N 1 2 304.394 1.161 20 30 DDEDLO COCCOC1CC[NH+](CC(=O)Nc2sccc2C#N)CC1 ZINC000116887374 407792434 /nfs/dbraw/zinc/79/24/34/407792434.db2.gz KPQVOGQMQQRPDV-UHFFFAOYSA-N 1 2 323.418 1.686 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCN(C)C(=O)[C@H]1C ZINC000186936516 407800025 /nfs/dbraw/zinc/80/00/25/407800025.db2.gz DOEMXCGYKYUGFK-GFCCVEGCSA-N 1 2 303.362 1.108 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCN(C)C(=O)[C@H]1C ZINC000186936516 407800031 /nfs/dbraw/zinc/80/00/31/407800031.db2.gz DOEMXCGYKYUGFK-GFCCVEGCSA-N 1 2 303.362 1.108 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](CCC)[C@@H]1CCS(=O)(=O)C1 ZINC000134391628 407899143 /nfs/dbraw/zinc/89/91/43/407899143.db2.gz GPKMAGHZNJKVMT-CQSZACIVSA-N 1 2 314.451 1.086 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](CCC)[C@@H]1CCS(=O)(=O)C1 ZINC000134391628 407899145 /nfs/dbraw/zinc/89/91/45/407899145.db2.gz GPKMAGHZNJKVMT-CQSZACIVSA-N 1 2 314.451 1.086 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCOc1ccccc1C#N ZINC000181641157 408020108 /nfs/dbraw/zinc/02/01/08/408020108.db2.gz YXRUCFLCDPWFAK-UHFFFAOYSA-N 1 2 304.350 1.141 20 30 DDEDLO NC(=[NH+]OCCCO)c1cccc(OCc2cccnc2)c1 ZINC000154760514 408082885 /nfs/dbraw/zinc/08/28/85/408082885.db2.gz APXCQOUMGBXVES-UHFFFAOYSA-N 1 2 301.346 1.680 20 30 DDEDLO CCc1ccc([C@@H](C)NC(=O)[C@H](C)O[NH+]=C(N)CCO)cc1 ZINC000121359511 408192808 /nfs/dbraw/zinc/19/28/08/408192808.db2.gz OSVSPULLRYNREW-NEPJUHHUSA-N 1 2 307.394 1.486 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@H+](C)Cc1ccc(C#N)cc1OC ZINC000120964888 408148420 /nfs/dbraw/zinc/14/84/20/408148420.db2.gz RMMOAIKZZMTMSI-LBPRGKRZSA-N 1 2 310.419 1.822 20 30 DDEDLO CCS(=O)(=O)C[C@H](C)[N@@H+](C)Cc1ccc(C#N)cc1OC ZINC000120964888 408148425 /nfs/dbraw/zinc/14/84/25/408148425.db2.gz RMMOAIKZZMTMSI-LBPRGKRZSA-N 1 2 310.419 1.822 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)[C@H](C)Oc2ccccc2C)CC1 ZINC000121730630 408241454 /nfs/dbraw/zinc/24/14/54/408241454.db2.gz MUABULLMTGOUEA-INIZCTEOSA-N 1 2 300.402 1.930 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@H+](CCC#N)CC1CC1 ZINC000176255447 408325400 /nfs/dbraw/zinc/32/54/00/408325400.db2.gz RJXMGIFYHMZYAC-HNNXBMFYSA-N 1 2 321.421 1.556 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCN1C(=O)C[N@@H+](CCC#N)CC1CC1 ZINC000176255447 408325407 /nfs/dbraw/zinc/32/54/07/408325407.db2.gz RJXMGIFYHMZYAC-HNNXBMFYSA-N 1 2 321.421 1.556 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)c1ccccc1 ZINC000122300372 408278858 /nfs/dbraw/zinc/27/88/58/408278858.db2.gz DEZKTXBZGARZJW-MRXNPFEDSA-N 1 2 315.417 1.865 20 30 DDEDLO C[C@H]1C[N@@H+]([C@H]2CCN(c3sccc3C#N)C2=O)CCCO1 ZINC000246533239 408284661 /nfs/dbraw/zinc/28/46/61/408284661.db2.gz SFHTXIIIWPQTNN-AAEUAGOBSA-N 1 2 305.403 1.836 20 30 DDEDLO C[C@H]1C[N@H+]([C@H]2CCN(c3sccc3C#N)C2=O)CCCO1 ZINC000246533239 408284665 /nfs/dbraw/zinc/28/46/65/408284665.db2.gz SFHTXIIIWPQTNN-AAEUAGOBSA-N 1 2 305.403 1.836 20 30 DDEDLO CCCCCCC[NH+]1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000157352443 408299763 /nfs/dbraw/zinc/29/97/63/408299763.db2.gz HFEGIBKKUCQGRZ-OAHLLOKOSA-N 1 2 302.484 1.762 20 30 DDEDLO CCCCC[N@H+](CC(N)=O)CC(=O)Nc1ccc(C#N)cc1 ZINC000183038624 408311953 /nfs/dbraw/zinc/31/19/53/408311953.db2.gz MRUVGRGPJVDNAQ-UHFFFAOYSA-N 1 2 302.378 1.474 20 30 DDEDLO CCCCC[N@@H+](CC(N)=O)CC(=O)Nc1ccc(C#N)cc1 ZINC000183038624 408311956 /nfs/dbraw/zinc/31/19/56/408311956.db2.gz MRUVGRGPJVDNAQ-UHFFFAOYSA-N 1 2 302.378 1.474 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)Cn3cccn3)CC2)cc1 ZINC000274235987 408334886 /nfs/dbraw/zinc/33/48/86/408334886.db2.gz ULMCMRKHCXQHJK-UHFFFAOYSA-N 1 2 309.373 1.099 20 30 DDEDLO C[C@@H]([NH2+]CC(=O)Nc1cccc(C#N)c1)c1nnc2ccccn21 ZINC000172610222 162287075 /nfs/dbraw/zinc/28/70/75/162287075.db2.gz LXNZKCDGLYFSOF-GFCCVEGCSA-N 1 2 320.356 1.890 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)OC ZINC000191220045 408387687 /nfs/dbraw/zinc/38/76/87/408387687.db2.gz GUMUQJZERNBYPI-KCQAQPDRSA-N 1 2 307.394 1.022 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)OC ZINC000191220045 408387691 /nfs/dbraw/zinc/38/76/91/408387691.db2.gz GUMUQJZERNBYPI-KCQAQPDRSA-N 1 2 307.394 1.022 20 30 DDEDLO Cn1cc(C[N@@H+]2CCNC(=O)C[C@H]2c2ccccc2)cc1C#N ZINC000191257298 408395601 /nfs/dbraw/zinc/39/56/01/408395601.db2.gz ATCWGAWZOWOINL-KRWDZBQOSA-N 1 2 308.385 1.960 20 30 DDEDLO Cn1cc(C[N@H+]2CCNC(=O)C[C@H]2c2ccccc2)cc1C#N ZINC000191257298 408395605 /nfs/dbraw/zinc/39/56/05/408395605.db2.gz ATCWGAWZOWOINL-KRWDZBQOSA-N 1 2 308.385 1.960 20 30 DDEDLO CCc1nnc(NCC[N@@H+]2CCOCC2(C)C)c(C#N)c1CC ZINC000176985087 408488996 /nfs/dbraw/zinc/48/89/96/408488996.db2.gz XPSMQEUUECETQT-UHFFFAOYSA-N 1 2 317.437 1.996 20 30 DDEDLO CCc1nnc(NCC[N@H+]2CCOCC2(C)C)c(C#N)c1CC ZINC000176985087 408489001 /nfs/dbraw/zinc/48/90/01/408489001.db2.gz XPSMQEUUECETQT-UHFFFAOYSA-N 1 2 317.437 1.996 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CCC[C@H]1C[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000184403131 408609361 /nfs/dbraw/zinc/60/93/61/408609361.db2.gz BJJOAFBPVBLWGD-ZNMIVQPWSA-N 1 2 316.467 1.466 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CCC[C@H]1C[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000184403131 408609369 /nfs/dbraw/zinc/60/93/69/408609369.db2.gz BJJOAFBPVBLWGD-ZNMIVQPWSA-N 1 2 316.467 1.466 20 30 DDEDLO C=CC[C@H](CO)NC(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000184475488 408624704 /nfs/dbraw/zinc/62/47/04/408624704.db2.gz VSZIYJIUNYJZPS-OAHLLOKOSA-N 1 2 319.405 1.577 20 30 DDEDLO C[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H]1CO ZINC000248930358 408661534 /nfs/dbraw/zinc/66/15/34/408661534.db2.gz MJLUNAHLRYZOAM-WFASDCNBSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@H]1CO ZINC000248930358 408661538 /nfs/dbraw/zinc/66/15/38/408661538.db2.gz MJLUNAHLRYZOAM-WFASDCNBSA-N 1 2 308.403 1.035 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[NH+](Cc2cccnc2)CC1 ZINC000275751587 408668818 /nfs/dbraw/zinc/66/88/18/408668818.db2.gz SPSOJCSMXQMDTE-SFHVURJKSA-N 1 2 317.433 1.955 20 30 DDEDLO NC(Cc1ccc(Cl)cc1)=[NH+]OCc1nnc2n1CCOC2 ZINC000280806848 408837923 /nfs/dbraw/zinc/83/79/23/408837923.db2.gz SQMQYWFIJVUVFC-UHFFFAOYSA-N 1 2 321.768 1.493 20 30 DDEDLO C=C[C@@H](CO)NC(=O)N[C@@H](c1[nH+]ccn1CC)C1CCOCC1 ZINC000291068175 408859201 /nfs/dbraw/zinc/85/92/01/408859201.db2.gz IMWYNBIAHRWIRD-UONOGXRCSA-N 1 2 322.409 1.217 20 30 DDEDLO CCCc1occc1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000281654154 408904655 /nfs/dbraw/zinc/90/46/55/408904655.db2.gz PVYXVFIDHMJMRR-UHFFFAOYSA-N 1 2 319.405 1.920 20 30 DDEDLO N#CC1CCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)CC1 ZINC000277327583 408920470 /nfs/dbraw/zinc/92/04/70/408920470.db2.gz DWLHVRUHJIECRS-AWEZNQCLSA-N 1 2 301.394 1.568 20 30 DDEDLO N#CC1CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)CC1 ZINC000277327583 408920471 /nfs/dbraw/zinc/92/04/71/408920471.db2.gz DWLHVRUHJIECRS-AWEZNQCLSA-N 1 2 301.394 1.568 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCC(CS(C)(=O)=O)CC1 ZINC000292216148 409002648 /nfs/dbraw/zinc/00/26/48/409002648.db2.gz UQGRZLZBLIHQMV-UHFFFAOYSA-N 1 2 322.430 1.823 20 30 DDEDLO C[C@@H]1CCN(C(=O)C(=O)NCCCCC#N)C[C@@H]1n1cc[nH+]c1 ZINC000286342780 408968243 /nfs/dbraw/zinc/96/82/43/408968243.db2.gz BEKRRFVCBDLWSF-KGLIPLIRSA-N 1 2 317.393 1.103 20 30 DDEDLO C[C@H]1CCN(C(=O)C(=O)NCCCCC#N)C[C@H]1n1cc[nH+]c1 ZINC000286342787 408968326 /nfs/dbraw/zinc/96/83/26/408968326.db2.gz BEKRRFVCBDLWSF-UONOGXRCSA-N 1 2 317.393 1.103 20 30 DDEDLO N#Cc1cccc(NC(=O)C[NH+]2CCC3(CC2)OCCCO3)c1 ZINC000293199187 409067435 /nfs/dbraw/zinc/06/74/35/409067435.db2.gz XOPFHLZAVUQREL-UHFFFAOYSA-N 1 2 315.373 1.726 20 30 DDEDLO C=CCOCC[N@H+]1CCc2c(cccc2S(=O)(=O)NC)C1 ZINC000294086702 409237824 /nfs/dbraw/zinc/23/78/24/409237824.db2.gz LMYJIGFVZDMYJJ-UHFFFAOYSA-N 1 2 310.419 1.155 20 30 DDEDLO C=CCOCC[N@@H+]1CCc2c(cccc2S(=O)(=O)NC)C1 ZINC000294086702 409237827 /nfs/dbraw/zinc/23/78/27/409237827.db2.gz LMYJIGFVZDMYJJ-UHFFFAOYSA-N 1 2 310.419 1.155 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000279838922 409250596 /nfs/dbraw/zinc/25/05/96/409250596.db2.gz ZQDHYRRBWCXXKZ-HZPDHXFCSA-N 1 2 304.390 1.905 20 30 DDEDLO CCOc1cc(CO[NH+]=C(N)[C@@H]2CCCO2)ccc1[N+](=O)[O-] ZINC000289396512 409261063 /nfs/dbraw/zinc/26/10/63/409261063.db2.gz NHMKBQFSDXCZAA-LBPRGKRZSA-N 1 2 309.322 1.961 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1cc(C#N)cs1 ZINC000279406945 409208666 /nfs/dbraw/zinc/20/86/66/409208666.db2.gz WPDFYFHVDMETJH-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1cc(C#N)cs1 ZINC000279406945 409208670 /nfs/dbraw/zinc/20/86/70/409208670.db2.gz WPDFYFHVDMETJH-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OC[C@H]2CCCS(=O)(=O)C2)cs1 ZINC000284060998 409266847 /nfs/dbraw/zinc/26/68/47/409266847.db2.gz JRIKLXZKXAMCJH-SNVBAGLBSA-N 1 2 317.436 1.108 20 30 DDEDLO CCOc1ccccc1NC(=O)[C@@H](C)O[NH+]=C(N)[C@H]1CCCO1 ZINC000284089158 409273750 /nfs/dbraw/zinc/27/37/50/409273750.db2.gz KUBRTBIQHJXKDS-BXUZGUMPSA-N 1 2 321.377 1.880 20 30 DDEDLO CC[C@@](C)(C#N)C(=O)NCc1cc[nH+]c(N2CCOCC2)c1 ZINC000285007042 409390962 /nfs/dbraw/zinc/39/09/62/409390962.db2.gz BDZPXJYGKMCHSZ-INIZCTEOSA-N 1 2 302.378 1.474 20 30 DDEDLO NC(=[NH+]O[C@H]1CCCN(c2cccc(F)c2)C1=O)[C@H]1CCCO1 ZINC000284596249 409351946 /nfs/dbraw/zinc/35/19/46/409351946.db2.gz YFJURZTXEWKJME-KGLIPLIRSA-N 1 2 321.352 1.789 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2ccc(C)cc2F)CC1 ZINC000280721909 409426774 /nfs/dbraw/zinc/42/67/74/409426774.db2.gz MFYSJAARZXMECZ-UHFFFAOYSA-N 1 2 324.421 1.605 20 30 DDEDLO CC(C)NS(=O)(=O)[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC000362333500 164155966 /nfs/dbraw/zinc/15/59/66/164155966.db2.gz DCAGXOIMURLTEE-CYBMUJFWSA-N 1 2 313.448 1.522 20 30 DDEDLO CC(C)NS(=O)(=O)[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC000362333500 164155968 /nfs/dbraw/zinc/15/59/68/164155968.db2.gz DCAGXOIMURLTEE-CYBMUJFWSA-N 1 2 313.448 1.522 20 30 DDEDLO C=CCCOCCNc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000305437865 409755987 /nfs/dbraw/zinc/75/59/87/409755987.db2.gz JVHNNOGKGVVREK-CQSZACIVSA-N 1 2 306.410 1.690 20 30 DDEDLO C=CCCOCCNc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000305437865 409755999 /nfs/dbraw/zinc/75/59/99/409755999.db2.gz JVHNNOGKGVVREK-CQSZACIVSA-N 1 2 306.410 1.690 20 30 DDEDLO Cc1nc(NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@H]3C2)sc1C ZINC000328839708 409987509 /nfs/dbraw/zinc/98/75/09/409987509.db2.gz OKPXMBVCUTZRQN-RYUDHWBXSA-N 1 2 310.423 1.710 20 30 DDEDLO Cc1nc(NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@H]3C2)sc1C ZINC000328839708 409987515 /nfs/dbraw/zinc/98/75/15/409987515.db2.gz OKPXMBVCUTZRQN-RYUDHWBXSA-N 1 2 310.423 1.710 20 30 DDEDLO O=C(NCc1cn2ccccc2[nH+]1)N[C@@H]1CCO[C@H]1C1CC1 ZINC000328834314 409989139 /nfs/dbraw/zinc/98/91/39/409989139.db2.gz LQLVRKFAIBHJLL-HIFRSBDPSA-N 1 2 300.362 1.905 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)NCc1nc(C)no1)CCC2 ZINC000328660166 409949819 /nfs/dbraw/zinc/94/98/19/409949819.db2.gz UXKVHLGBROXXLI-LLVKDONJSA-N 1 2 304.354 1.464 20 30 DDEDLO Cn1c[nH+]cc1CNC(=O)C(=O)Nc1ccc(Cl)c(C#N)c1 ZINC000354667532 410006377 /nfs/dbraw/zinc/00/63/77/410006377.db2.gz HAGSEPXBTWDSHH-UHFFFAOYSA-N 1 2 317.736 1.200 20 30 DDEDLO CC(C)n1ncnc1CNC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000329011840 410051110 /nfs/dbraw/zinc/05/11/10/410051110.db2.gz OUALWMLRUUHWLC-AWEZNQCLSA-N 1 2 321.425 1.959 20 30 DDEDLO CC(C)n1ncnc1CNC(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000329011840 410051116 /nfs/dbraw/zinc/05/11/16/410051116.db2.gz OUALWMLRUUHWLC-AWEZNQCLSA-N 1 2 321.425 1.959 20 30 DDEDLO N#Cc1cc(F)ccc1CS(=O)(=O)N1CCc2c[nH+]ccc21 ZINC000357539513 410091780 /nfs/dbraw/zinc/09/17/80/410091780.db2.gz SFBVULQRIMHXRK-UHFFFAOYSA-N 1 2 317.345 1.985 20 30 DDEDLO C[C@@H]1C[C@H](NC(=O)N2CC[NH+](Cc3cnn(C)c3)CC2)CCO1 ZINC000329119196 410113478 /nfs/dbraw/zinc/11/34/78/410113478.db2.gz VGTJITOFHXEZPS-UKRRQHHQSA-N 1 2 321.425 1.019 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)COc2ccc(Cl)cc2)CC1 ZINC000357608585 410132666 /nfs/dbraw/zinc/13/26/66/410132666.db2.gz NBCXDGWKVGIUEY-UHFFFAOYSA-N 1 2 306.793 1.886 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@H](F)C[C@H]2CNc2cccc(C#N)n2)cn1 ZINC000357620834 410139897 /nfs/dbraw/zinc/13/98/97/410139897.db2.gz WONMMMSEDNCXKX-HIFRSBDPSA-N 1 2 314.368 1.711 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@H](F)C[C@H]2CNc2cccc(C#N)n2)cn1 ZINC000357620834 410139902 /nfs/dbraw/zinc/13/99/02/410139902.db2.gz WONMMMSEDNCXKX-HIFRSBDPSA-N 1 2 314.368 1.711 20 30 DDEDLO CCCc1csc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)n1 ZINC000329189722 410154708 /nfs/dbraw/zinc/15/47/08/410154708.db2.gz CCSRLUGQDNAROG-NEPJUHHUSA-N 1 2 310.423 1.656 20 30 DDEDLO CCCc1csc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)n1 ZINC000329189722 410154712 /nfs/dbraw/zinc/15/47/12/410154712.db2.gz CCSRLUGQDNAROG-NEPJUHHUSA-N 1 2 310.423 1.656 20 30 DDEDLO CC(C)C[C@@H]1C[C@H](NC(=O)NC[C@H]2C[N@H+](C)CCO2)CCO1 ZINC000329508103 410334820 /nfs/dbraw/zinc/33/48/20/410334820.db2.gz WZIAXUXFRMEXTM-KFWWJZLASA-N 1 2 313.442 1.414 20 30 DDEDLO CC(C)C[C@@H]1C[C@H](NC(=O)NC[C@H]2C[N@@H+](C)CCO2)CCO1 ZINC000329508103 410334824 /nfs/dbraw/zinc/33/48/24/410334824.db2.gz WZIAXUXFRMEXTM-KFWWJZLASA-N 1 2 313.442 1.414 20 30 DDEDLO O=C(NCCC1(O)CCOCC1)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000329522078 410341392 /nfs/dbraw/zinc/34/13/92/410341392.db2.gz QZDJISFWAJXTEO-CYBMUJFWSA-N 1 2 322.409 1.435 20 30 DDEDLO CC[C@@H](C)[N@H+](CC(=O)NC1(C#N)CCC1)CC(=O)OC(C)(C)C ZINC000347003024 410301404 /nfs/dbraw/zinc/30/14/04/410301404.db2.gz XDKZSYPFQYMADX-CYBMUJFWSA-N 1 2 323.437 1.991 20 30 DDEDLO CC[C@@H](C)[N@@H+](CC(=O)NC1(C#N)CCC1)CC(=O)OC(C)(C)C ZINC000347003024 410301413 /nfs/dbraw/zinc/30/14/13/410301413.db2.gz XDKZSYPFQYMADX-CYBMUJFWSA-N 1 2 323.437 1.991 20 30 DDEDLO O=C(N[C@@H]1CCN(c2cccc[nH+]2)C1)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000329727068 410424861 /nfs/dbraw/zinc/42/48/61/410424861.db2.gz FBWFIBCTQMJSMG-XGUBFFRZSA-N 1 2 316.405 1.277 20 30 DDEDLO C[NH+](C)Cc1nc(C(C)(C)NC(=O)c2cc(C#N)ccn2)no1 ZINC000352048870 410440772 /nfs/dbraw/zinc/44/07/72/410440772.db2.gz NUEKQOOODDSNIV-UHFFFAOYSA-N 1 2 314.349 1.063 20 30 DDEDLO Cc1c(Cl)cnc(N2CCN(Cc3[nH]cc[nH+]3)CC2)c1C#N ZINC000343509176 410500327 /nfs/dbraw/zinc/50/03/27/410500327.db2.gz JSSAYFQRWQWFSG-UHFFFAOYSA-N 1 2 316.796 1.960 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)C[NH+]1CCC(F)(C#N)CC1 ZINC000352480498 410660627 /nfs/dbraw/zinc/66/06/27/410660627.db2.gz CPEDLVLBBLSSAX-OLZOCXBDSA-N 1 2 324.400 1.719 20 30 DDEDLO CC[C@H](C)[N@H+](CC(=O)OC)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000337236994 410666401 /nfs/dbraw/zinc/66/64/01/410666401.db2.gz LUJXJPPZURLWKU-AWEZNQCLSA-N 1 2 323.437 1.945 20 30 DDEDLO CC[C@H](C)[N@@H+](CC(=O)OC)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000337236994 410666409 /nfs/dbraw/zinc/66/64/09/410666409.db2.gz LUJXJPPZURLWKU-AWEZNQCLSA-N 1 2 323.437 1.945 20 30 DDEDLO Cc1cnn([C@@H]2CC[N@@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C2)c1 ZINC000352417376 410654827 /nfs/dbraw/zinc/65/48/27/410654827.db2.gz ZMKRJCFFVBTFMP-HZPDHXFCSA-N 1 2 309.373 1.537 20 30 DDEDLO Cc1cnn([C@@H]2CC[N@H+]([C@@H](C(N)=O)c3ccc(C#N)cc3)C2)c1 ZINC000352417376 410654831 /nfs/dbraw/zinc/65/48/31/410654831.db2.gz ZMKRJCFFVBTFMP-HZPDHXFCSA-N 1 2 309.373 1.537 20 30 DDEDLO COC(=O)[C@H](C1CC1)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000359591568 410756909 /nfs/dbraw/zinc/75/69/09/410756909.db2.gz WHTUPGGLNHVOJH-KRWDZBQOSA-N 1 2 313.401 1.627 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]CCS(=O)(=O)c2ccc(C#N)cc2)n1 ZINC000348096665 410833024 /nfs/dbraw/zinc/83/30/24/410833024.db2.gz RZVJSHGSWRAIMD-JTQLQIEISA-N 1 2 320.374 1.374 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000344059384 410926171 /nfs/dbraw/zinc/92/61/71/410926171.db2.gz LTFAOLPCZKAEQN-VGWMRTNUSA-N 1 2 300.358 1.475 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000344059384 410926176 /nfs/dbraw/zinc/92/61/76/410926176.db2.gz LTFAOLPCZKAEQN-VGWMRTNUSA-N 1 2 300.358 1.475 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+](CCO)[C@H]2CCO[C@@H]2C)c(C#N)c1C ZINC000331184279 411003651 /nfs/dbraw/zinc/00/36/51/411003651.db2.gz TVLXFMHUORLXIW-OCCSQVGLSA-N 1 2 321.377 1.178 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+](CCO)[C@H]2CCO[C@@H]2C)c(C#N)c1C ZINC000331184279 411003654 /nfs/dbraw/zinc/00/36/54/411003654.db2.gz TVLXFMHUORLXIW-OCCSQVGLSA-N 1 2 321.377 1.178 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)COc1ccc(C#N)cc1)C1CC1 ZINC000341716438 411049829 /nfs/dbraw/zinc/04/98/29/411049829.db2.gz GZPHMYJIHUBPHO-INIZCTEOSA-N 1 2 310.357 1.938 20 30 DDEDLO C=CCOc1ccccc1C[N@@H+]1CCO[C@H](CNC(C)=O)C1 ZINC000353698973 411113726 /nfs/dbraw/zinc/11/37/26/411113726.db2.gz ACZNVMCTGNNHJT-MRXNPFEDSA-N 1 2 304.390 1.588 20 30 DDEDLO C=CCOc1ccccc1C[N@H+]1CCO[C@H](CNC(C)=O)C1 ZINC000353698973 411113728 /nfs/dbraw/zinc/11/37/28/411113728.db2.gz ACZNVMCTGNNHJT-MRXNPFEDSA-N 1 2 304.390 1.588 20 30 DDEDLO C[C@@H]1CC(O)C[C@@H](C)[NH+]1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000191262768 287230042 /nfs/dbraw/zinc/23/00/42/287230042.db2.gz IXNCXSRSWZGQRG-CHWSQXEVSA-N 1 2 322.430 1.566 20 30 DDEDLO C=C[C@H](C)NC(=O)c1ccc(NCC[NH+]2CCOCC2)c(F)c1 ZINC000631756085 422908148 /nfs/dbraw/zinc/90/81/48/422908148.db2.gz LYNJHHYTRSUECU-ZDUSSCGKSA-N 1 2 321.396 1.874 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCc1ncc(C(C)(C)C)o1 ZINC000580557059 422941819 /nfs/dbraw/zinc/94/18/19/422941819.db2.gz DXIWZKNEZGENOJ-INIZCTEOSA-N 1 2 306.410 1.865 20 30 DDEDLO N#Cc1ccc(NCC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)nc1 ZINC000539598061 416581166 /nfs/dbraw/zinc/58/11/66/416581166.db2.gz AIIHQFGZMFPZKK-CQSZACIVSA-N 1 2 322.372 1.155 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNc2cc(C#N)c(Br)cn2)C1 ZINC000603303475 416634751 /nfs/dbraw/zinc/63/47/51/416634751.db2.gz LRLATCJEOXUHAZ-LLVKDONJSA-N 1 2 324.226 1.373 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNc2cc(C#N)c(Br)cn2)C1 ZINC000603303475 416634754 /nfs/dbraw/zinc/63/47/54/416634754.db2.gz LRLATCJEOXUHAZ-LLVKDONJSA-N 1 2 324.226 1.373 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC[C@H]1c1ccccc1F ZINC000374040701 418486271 /nfs/dbraw/zinc/48/62/71/418486271.db2.gz GRRZLILOMMPLTJ-RISCZKNCSA-N 1 2 305.353 1.973 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@H]1c1ccccc1F ZINC000374040701 418486273 /nfs/dbraw/zinc/48/62/73/418486273.db2.gz GRRZLILOMMPLTJ-RISCZKNCSA-N 1 2 305.353 1.973 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@@H](c3nc(C4CC4)n[nH]3)C2)C1=O ZINC000374074484 418486668 /nfs/dbraw/zinc/48/66/68/418486668.db2.gz KXFZYWUCFLGTLA-KGLIPLIRSA-N 1 2 315.421 1.648 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@@H](c3nc(C4CC4)n[nH]3)C2)C1=O ZINC000374074484 418486672 /nfs/dbraw/zinc/48/66/72/418486672.db2.gz KXFZYWUCFLGTLA-KGLIPLIRSA-N 1 2 315.421 1.648 20 30 DDEDLO Cc1ccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cn1 ZINC000360965613 418555838 /nfs/dbraw/zinc/55/58/38/418555838.db2.gz HDAFMEZDRYVVBV-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@H]2C)cn1 ZINC000360965613 418555840 /nfs/dbraw/zinc/55/58/40/418555840.db2.gz HDAFMEZDRYVVBV-CQSZACIVSA-N 1 2 308.389 1.762 20 30 DDEDLO Cn1cc(C[N@@H+]2CC(=O)NCC2(C)C)c(-c2ccc(C#N)cc2)n1 ZINC000367080271 418558154 /nfs/dbraw/zinc/55/81/54/418558154.db2.gz ZGHQRXHODLORPI-UHFFFAOYSA-N 1 2 323.400 1.669 20 30 DDEDLO Cn1cc(C[N@H+]2CC(=O)NCC2(C)C)c(-c2ccc(C#N)cc2)n1 ZINC000367080271 418558159 /nfs/dbraw/zinc/55/81/59/418558159.db2.gz ZGHQRXHODLORPI-UHFFFAOYSA-N 1 2 323.400 1.669 20 30 DDEDLO CC(C)C[C@H]1C(=O)NCC[N@H+]1CCOc1ccc(C#N)cc1 ZINC000189799708 222056939 /nfs/dbraw/zinc/05/69/39/222056939.db2.gz KZTIIKZPWKJNDF-INIZCTEOSA-N 1 2 301.390 1.784 20 30 DDEDLO CC(C)C[C@H]1C(=O)NCC[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000189799708 222056943 /nfs/dbraw/zinc/05/69/43/222056943.db2.gz KZTIIKZPWKJNDF-INIZCTEOSA-N 1 2 301.390 1.784 20 30 DDEDLO CC(=O)N1CCC(C/[NH+]=C/c2c(CO)cnc(C)c2O)CC1 ZINC000264368811 222329854 /nfs/dbraw/zinc/32/98/54/222329854.db2.gz DDRRQGURWDRSKB-RQZCQDPDSA-N 1 2 305.378 1.265 20 30 DDEDLO N#CCOc1ccc(C[N@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000264599410 222334337 /nfs/dbraw/zinc/33/43/37/222334337.db2.gz JJGJROSFBJGPKD-HNNXBMFYSA-N 1 2 320.414 1.740 20 30 DDEDLO N#CCOc1ccc(C[N@@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000264599410 222334340 /nfs/dbraw/zinc/33/43/40/222334340.db2.gz JJGJROSFBJGPKD-HNNXBMFYSA-N 1 2 320.414 1.740 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000375130494 418608804 /nfs/dbraw/zinc/60/88/04/418608804.db2.gz ZKXSDWJTMSTFPY-NVXWUHKLSA-N 1 2 310.438 1.586 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)C[C@@H](C)OC ZINC000291291675 222291534 /nfs/dbraw/zinc/29/15/34/222291534.db2.gz RGCLRFBOUJNWTG-GFCCVEGCSA-N 1 2 311.407 1.084 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)C[C@@H](C)OC ZINC000291291675 222291536 /nfs/dbraw/zinc/29/15/36/222291536.db2.gz RGCLRFBOUJNWTG-GFCCVEGCSA-N 1 2 311.407 1.084 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCOC[C@H]1[C@@H]1CCCO1 ZINC000367576240 418612074 /nfs/dbraw/zinc/61/20/74/418612074.db2.gz PKSNISWPQJQNIC-HOTGVXAUSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCOC[C@H]1[C@@H]1CCCO1 ZINC000367576240 418612078 /nfs/dbraw/zinc/61/20/78/418612078.db2.gz PKSNISWPQJQNIC-HOTGVXAUSA-N 1 2 302.374 1.947 20 30 DDEDLO CC[N@H+](CCC#N)[C@@H](C)C(=O)N1CCN(c2ccccc2)CC1 ZINC000266920085 222364707 /nfs/dbraw/zinc/36/47/07/222364707.db2.gz LSYWSRHMRNPCQM-INIZCTEOSA-N 1 2 314.433 1.959 20 30 DDEDLO CC[N@@H+](CCC#N)[C@@H](C)C(=O)N1CCN(c2ccccc2)CC1 ZINC000266920085 222364710 /nfs/dbraw/zinc/36/47/10/222364710.db2.gz LSYWSRHMRNPCQM-INIZCTEOSA-N 1 2 314.433 1.959 20 30 DDEDLO CC[C@H](C#N)NC(=O)c1cccc(CNc2cc[nH+]c(C)n2)c1 ZINC000344795352 418634417 /nfs/dbraw/zinc/63/44/17/418634417.db2.gz ZMVCGWZIQMTUIH-OAHLLOKOSA-N 1 2 309.373 2.429 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000377224661 418707411 /nfs/dbraw/zinc/70/74/11/418707411.db2.gz VCUSIVNSPQPMOJ-KKUMJFAQSA-N 1 2 318.421 1.900 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCC[C@@H]1c1nccs1 ZINC000377387841 418709937 /nfs/dbraw/zinc/70/99/37/418709937.db2.gz BWIZMZOYUNNXGR-WDEREUQCSA-N 1 2 308.407 1.680 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCC[C@@H]1c1nccs1 ZINC000377387841 418709939 /nfs/dbraw/zinc/70/99/39/418709939.db2.gz BWIZMZOYUNNXGR-WDEREUQCSA-N 1 2 308.407 1.680 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC[C@@H]2CCOC(=O)[C@@H]21 ZINC000368579805 418722020 /nfs/dbraw/zinc/72/20/20/418722020.db2.gz HHLWGZHXZMSZNN-CZUORRHYSA-N 1 2 316.357 1.583 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC[C@@H]2CCOC(=O)[C@@H]21 ZINC000368579805 418722023 /nfs/dbraw/zinc/72/20/23/418722023.db2.gz HHLWGZHXZMSZNN-CZUORRHYSA-N 1 2 316.357 1.583 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH2+][C@@H](c3ccncc3)C2)ccc1F ZINC000375902172 418690230 /nfs/dbraw/zinc/69/02/30/418690230.db2.gz OZXGFKDFNFHZPB-MRXNPFEDSA-N 1 2 310.332 1.879 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NC(C)C)CC1 ZINC000376951259 418702928 /nfs/dbraw/zinc/70/29/28/418702928.db2.gz UVURJLZEQYUPKL-OAHLLOKOSA-N 1 2 309.454 1.648 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NC(C)C)CC1 ZINC000376951259 418702930 /nfs/dbraw/zinc/70/29/30/418702930.db2.gz UVURJLZEQYUPKL-OAHLLOKOSA-N 1 2 309.454 1.648 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CC2(C)CCCC2)CC1 ZINC000368589827 418721732 /nfs/dbraw/zinc/72/17/32/418721732.db2.gz ATRBTYPIQQMKGL-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CC2(C)CCCC2)CC1 ZINC000368589827 418721734 /nfs/dbraw/zinc/72/17/34/418721734.db2.gz ATRBTYPIQQMKGL-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000362797854 418759801 /nfs/dbraw/zinc/75/98/01/418759801.db2.gz NCBBWCLKWAOQBJ-ZBFHGGJFSA-N 1 2 301.390 1.711 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCC[N@@H+]1CC(=O)N(CC)C[C@@H](C)C#N ZINC000363852852 418770324 /nfs/dbraw/zinc/77/03/24/418770324.db2.gz OQBLGRYZICJIGV-GJZGRUSLSA-N 1 2 323.437 1.802 20 30 DDEDLO CCOC(=O)[C@@H]1CCCCC[N@H+]1CC(=O)N(CC)C[C@@H](C)C#N ZINC000363852852 418770325 /nfs/dbraw/zinc/77/03/25/418770325.db2.gz OQBLGRYZICJIGV-GJZGRUSLSA-N 1 2 323.437 1.802 20 30 DDEDLO Cc1nn(C)cc1[C@@H]1CCC[N@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000363927350 418771724 /nfs/dbraw/zinc/77/17/24/418771724.db2.gz XLTNXRXFBDQIKE-DOTOQJQBSA-N 1 2 317.437 1.920 20 30 DDEDLO Cc1nn(C)cc1[C@@H]1CCC[N@@H+]1CC(=O)N[C@](C)(C#N)C(C)C ZINC000363927350 418771726 /nfs/dbraw/zinc/77/17/26/418771726.db2.gz XLTNXRXFBDQIKE-DOTOQJQBSA-N 1 2 317.437 1.920 20 30 DDEDLO N#Cc1cc(F)ccc1N1CC[NH+]([C@@H]2CCCCNC2=O)CC1 ZINC000364053646 418772669 /nfs/dbraw/zinc/77/26/69/418772669.db2.gz HPIYPOUHZVPVHN-MRXNPFEDSA-N 1 2 316.380 1.488 20 30 DDEDLO N#CC1(C[N@H+]2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)CC1 ZINC000408174845 418790906 /nfs/dbraw/zinc/79/09/06/418790906.db2.gz VLJNKHYQXCZRHR-CQSZACIVSA-N 1 2 311.451 1.617 20 30 DDEDLO N#CC1(C[N@@H+]2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)CC1 ZINC000408174845 418790908 /nfs/dbraw/zinc/79/09/08/418790908.db2.gz VLJNKHYQXCZRHR-CQSZACIVSA-N 1 2 311.451 1.617 20 30 DDEDLO N#CCOc1cccc(CC(=O)N[C@@H]2CCc3[nH+]c[nH]c3C2)c1 ZINC000371359743 418783759 /nfs/dbraw/zinc/78/37/59/418783759.db2.gz LNZBLTLYMXPJDV-CYBMUJFWSA-N 1 2 310.357 1.528 20 30 DDEDLO N#CCOc1cccc(CC(=O)N[C@@H]2CCc3[nH]c[nH+]c3C2)c1 ZINC000371359743 418783761 /nfs/dbraw/zinc/78/37/61/418783761.db2.gz LNZBLTLYMXPJDV-CYBMUJFWSA-N 1 2 310.357 1.528 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1C[C@H]2CCC[C@@H](C1)C2O ZINC000371924483 418824902 /nfs/dbraw/zinc/82/49/02/418824902.db2.gz WHFGFVGVNSQTCO-TVXGVJEUSA-N 1 2 314.385 1.865 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@H]2CCC[C@@H](C1)C2O ZINC000371924483 418824905 /nfs/dbraw/zinc/82/49/05/418824905.db2.gz WHFGFVGVNSQTCO-TVXGVJEUSA-N 1 2 314.385 1.865 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000365519640 418871228 /nfs/dbraw/zinc/87/12/28/418871228.db2.gz XUYYAXQOGGCUTI-BZUAXINKSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000365519640 418871231 /nfs/dbraw/zinc/87/12/31/418871231.db2.gz XUYYAXQOGGCUTI-BZUAXINKSA-N 1 2 308.422 1.337 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000424624373 228296382 /nfs/dbraw/zinc/29/63/82/228296382.db2.gz FMKWYXWWJNITJO-CVEARBPZSA-N 1 2 307.438 1.887 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)NCc2ccc(C#N)s2)CCO1 ZINC000422220824 419886594 /nfs/dbraw/zinc/88/65/94/419886594.db2.gz VTZXWRAYTQYLJQ-GFCCVEGCSA-N 1 2 322.434 1.530 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)NCc2ccc(C#N)s2)CCO1 ZINC000422220824 419886601 /nfs/dbraw/zinc/88/66/01/419886601.db2.gz VTZXWRAYTQYLJQ-GFCCVEGCSA-N 1 2 322.434 1.530 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@@H](C)[S@](=O)CC1 ZINC000429576773 420027575 /nfs/dbraw/zinc/02/75/75/420027575.db2.gz HJHABUATRLJNPV-LAPQFRIASA-N 1 2 300.468 1.642 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[C@@H](C)[S@](=O)CC1 ZINC000429576773 420027578 /nfs/dbraw/zinc/02/75/78/420027578.db2.gz HJHABUATRLJNPV-LAPQFRIASA-N 1 2 300.468 1.642 20 30 DDEDLO Cc1cc(NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)c(C#N)c[nH+]1 ZINC000425255347 420330454 /nfs/dbraw/zinc/33/04/54/420330454.db2.gz PFZUTMBCQQCTCY-OCCSQVGLSA-N 1 2 322.434 1.204 20 30 DDEDLO C[C@H](O)[C@H]1CCN(c2cc(N[C@@H]3CC[C@H](C#N)C3)[nH+]cn2)C1 ZINC000425276829 420336381 /nfs/dbraw/zinc/33/63/81/420336381.db2.gz WKAQPTHMWYGPOU-XDQVBPFNSA-N 1 2 301.394 1.788 20 30 DDEDLO C[C@H](O)[C@H]1CCN(c2cc(N[C@@H]3CC[C@H](C#N)C3)nc[nH+]2)C1 ZINC000425276829 420336385 /nfs/dbraw/zinc/33/63/85/420336385.db2.gz WKAQPTHMWYGPOU-XDQVBPFNSA-N 1 2 301.394 1.788 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCCNS(=O)(=O)[C@H](C)C#N ZINC000416365768 420343810 /nfs/dbraw/zinc/34/38/10/420343810.db2.gz BOTBVFVILQAVIX-LLVKDONJSA-N 1 2 306.391 1.566 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[NH+]2CC(OC(F)F)C2)cc1 ZINC000435873434 420291336 /nfs/dbraw/zinc/29/13/36/420291336.db2.gz CRQJGJXHRJVKAS-LBPRGKRZSA-N 1 2 312.316 1.416 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)NCC#Cc2ccccc2)c(C)[nH+]1 ZINC000447227522 230345961 /nfs/dbraw/zinc/34/59/61/230345961.db2.gz RWDUGBZMOZRFLU-UHFFFAOYSA-N 1 2 307.353 1.805 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000456768842 420540659 /nfs/dbraw/zinc/54/06/59/420540659.db2.gz OVTQKIWJJAZCIS-RBSFLKMASA-N 1 2 303.406 1.597 20 30 DDEDLO N#CCCN(Cc1ccccn1)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000440964260 420623998 /nfs/dbraw/zinc/62/39/98/420623998.db2.gz XOBARSQKUZBKPD-CQSZACIVSA-N 1 2 309.373 1.783 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000458585092 420692431 /nfs/dbraw/zinc/69/24/31/420692431.db2.gz IUYGOWYAWRJJRS-KBPBESRZSA-N 1 2 304.394 1.287 20 30 DDEDLO CNC(=O)c1ccc(/C=C\C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000493001786 420732681 /nfs/dbraw/zinc/73/26/81/420732681.db2.gz CNIGADTXUWBOMG-JEZWAEDTSA-N 1 2 314.389 1.019 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000459818102 420882567 /nfs/dbraw/zinc/88/25/67/420882567.db2.gz BKEXSVMKQRUPMT-OAHLLOKOSA-N 1 2 317.389 1.486 20 30 DDEDLO Cn1c(C#N)ccc1C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000448703692 420886285 /nfs/dbraw/zinc/88/62/85/420886285.db2.gz CZTGBRZZKJZJSC-UHFFFAOYSA-N 1 2 309.373 1.691 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)[C@H](O)C3CCC3)CC2)cc1 ZINC000449125763 420954169 /nfs/dbraw/zinc/95/41/69/420954169.db2.gz KALAYSKSNGTRRT-QGZVFWFLSA-N 1 2 313.401 1.363 20 30 DDEDLO C=CCC[C@@H]([NH2+]Cc1ccc2c(c1)nnn2C)C(=O)OCC ZINC000449658688 421048918 /nfs/dbraw/zinc/04/89/18/421048918.db2.gz UDUAQWDDZPVSQO-CYBMUJFWSA-N 1 2 302.378 1.956 20 30 DDEDLO C=C(CC)CNC(=O)N1CC[NH+]([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000455522594 421028503 /nfs/dbraw/zinc/02/85/03/421028503.db2.gz NCBYTYCKEDLAAX-HNNXBMFYSA-N 1 2 322.453 1.291 20 30 DDEDLO C#CC[N@@H+](C[C@@]1(C)CC1(Cl)Cl)[C@H]1CCS(=O)(=O)C1 ZINC000491708158 421202169 /nfs/dbraw/zinc/20/21/69/421202169.db2.gz MTVOYDOWXXTADD-WDEREUQCSA-N 1 2 310.246 1.693 20 30 DDEDLO C#CC[N@H+](C[C@@]1(C)CC1(Cl)Cl)[C@H]1CCS(=O)(=O)C1 ZINC000491708158 421202171 /nfs/dbraw/zinc/20/21/71/421202171.db2.gz MTVOYDOWXXTADD-WDEREUQCSA-N 1 2 310.246 1.693 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNc2nccc(C)c2C#N)C1 ZINC000450539164 421207756 /nfs/dbraw/zinc/20/77/56/421207756.db2.gz JVCWWEPIJASPIG-CYBMUJFWSA-N 1 2 302.378 1.559 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNc2nccc(C)c2C#N)C1 ZINC000450539164 421207759 /nfs/dbraw/zinc/20/77/59/421207759.db2.gz JVCWWEPIJASPIG-CYBMUJFWSA-N 1 2 302.378 1.559 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCCN(c3nccs3)CC2)CCC1 ZINC000527135944 421360181 /nfs/dbraw/zinc/36/01/81/421360181.db2.gz WQQQFVLXYJHTNQ-UHFFFAOYSA-N 1 2 319.434 1.218 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCCN(c3nccs3)CC2)CCC1 ZINC000527135944 421360186 /nfs/dbraw/zinc/36/01/86/421360186.db2.gz WQQQFVLXYJHTNQ-UHFFFAOYSA-N 1 2 319.434 1.218 20 30 DDEDLO N#Cc1cnc(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)c(Cl)c1 ZINC000547984298 421385817 /nfs/dbraw/zinc/38/58/17/421385817.db2.gz BTLNALLYRRVAJA-SNVBAGLBSA-N 1 2 301.737 1.548 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)cc1C#N ZINC000528777902 421516667 /nfs/dbraw/zinc/51/66/67/421516667.db2.gz FSNBHABGRFVCLC-CABCVRRESA-N 1 2 320.414 1.718 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)cc1C#N ZINC000528777902 421516669 /nfs/dbraw/zinc/51/66/69/421516669.db2.gz FSNBHABGRFVCLC-CABCVRRESA-N 1 2 320.414 1.718 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(C[C@@H]2CCCCO2)c1=O ZINC000563440344 421508484 /nfs/dbraw/zinc/50/84/84/421508484.db2.gz PZLLVHXPFKDUPL-INIZCTEOSA-N 1 2 317.389 1.121 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)Cc1coc(-c2cccs2)n1 ZINC000516833155 421569745 /nfs/dbraw/zinc/56/97/45/421569745.db2.gz IXURUUJLWPTSQQ-OAHLLOKOSA-N 1 2 318.402 1.906 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CCNC(=O)C1CCCCC1 ZINC000516930149 421581009 /nfs/dbraw/zinc/58/10/09/421581009.db2.gz FKHUYKQCUZXCNR-INIZCTEOSA-N 1 2 308.426 1.033 20 30 DDEDLO C=CCn1cc(CNC(=O)Cc2cn3cccc(C)c3[nH+]2)nn1 ZINC000564860440 421593550 /nfs/dbraw/zinc/59/35/50/421593550.db2.gz NENLXIJEKNXSFB-UHFFFAOYSA-N 1 2 310.361 1.279 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)COCCOc1ccccc1 ZINC000565051674 421595482 /nfs/dbraw/zinc/59/54/82/421595482.db2.gz PFJJNHXEDYAWQC-INIZCTEOSA-N 1 2 305.378 1.042 20 30 DDEDLO Cn1cc(-c2ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)o2)cn1 ZINC000568633277 421621217 /nfs/dbraw/zinc/62/12/17/421621217.db2.gz GXTKVEWCZDSQJT-HNNXBMFYSA-N 1 2 301.350 1.254 20 30 DDEDLO Cn1cc(Cl)c(C[N@H+](C)CCCc2[nH]nc(N)c2C#N)n1 ZINC000568865813 421623498 /nfs/dbraw/zinc/62/34/98/421623498.db2.gz BNGZDZVVZLMSSX-UHFFFAOYSA-N 1 2 307.789 1.315 20 30 DDEDLO Cn1cc(Cl)c(C[N@@H+](C)CCCc2[nH]nc(N)c2C#N)n1 ZINC000568865813 421623507 /nfs/dbraw/zinc/62/35/07/421623507.db2.gz BNGZDZVVZLMSSX-UHFFFAOYSA-N 1 2 307.789 1.315 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000554290351 421636295 /nfs/dbraw/zinc/63/62/95/421636295.db2.gz HOZCNQPDAOMSCY-QGZVFWFLSA-N 1 2 323.400 1.372 20 30 DDEDLO Cn1cc(C[NH+]2CCN(CC#Cc3ccc(F)cc3)CC2)cn1 ZINC000533002551 421668034 /nfs/dbraw/zinc/66/80/34/421668034.db2.gz ARZXRWCCAYUQPC-UHFFFAOYSA-N 1 2 312.392 1.729 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000559399435 421834342 /nfs/dbraw/zinc/83/43/42/421834342.db2.gz DFDYFGPCRRWURU-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000559399435 421834343 /nfs/dbraw/zinc/83/43/43/421834343.db2.gz DFDYFGPCRRWURU-UHFFFAOYSA-N 1 2 302.378 1.102 20 30 DDEDLO Cc1[nH+]c2cc(NC(=O)CNc3ccc(C#N)cn3)ccc2n1C ZINC000581205621 421924889 /nfs/dbraw/zinc/92/48/89/421924889.db2.gz GJSFDHGCCMYBOM-UHFFFAOYSA-N 1 2 320.356 2.199 20 30 DDEDLO C[C@@H](Cn1ncc2ccccc21)C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000573210141 421931118 /nfs/dbraw/zinc/93/11/18/421931118.db2.gz CJGUKGYYKDKRLR-SUMWQHHRSA-N 1 2 313.405 1.632 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](CCO)[C@H]2CCO[C@H]2C)c1C#N ZINC000581463841 421975672 /nfs/dbraw/zinc/97/56/72/421975672.db2.gz VCHYXHDENOKMOR-LRDDRELGSA-N 1 2 318.373 1.317 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](CCO)[C@H]2CCO[C@H]2C)c1C#N ZINC000581463841 421975679 /nfs/dbraw/zinc/97/56/79/421975679.db2.gz VCHYXHDENOKMOR-LRDDRELGSA-N 1 2 318.373 1.317 20 30 DDEDLO C=CCCCS(=O)(=O)N[C@H]1CCCC[C@@H]1[NH+]1CCOCC1 ZINC000574255609 422081409 /nfs/dbraw/zinc/08/14/09/422081409.db2.gz BEZBRSQKTUQDSK-GJZGRUSLSA-N 1 2 316.467 1.515 20 30 DDEDLO C=CC(C)(C)CCNC(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000637319398 422141771 /nfs/dbraw/zinc/14/17/71/422141771.db2.gz CAXBSEHYEWZHIY-UHFFFAOYSA-N 1 2 319.453 1.850 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CC[C@@H]3[C@@H](CCC(=O)N3C3CC3)C2)n1 ZINC000583984664 422231781 /nfs/dbraw/zinc/23/17/81/422231781.db2.gz UMEMHIYRPQAORU-SMDDNHRTSA-N 1 2 316.430 1.990 20 30 DDEDLO N#Cc1csc(C[N@H+]2CC[C@@H]3[C@@H](CCC(=O)N3C3CC3)C2)n1 ZINC000583984664 422231786 /nfs/dbraw/zinc/23/17/86/422231786.db2.gz UMEMHIYRPQAORU-SMDDNHRTSA-N 1 2 316.430 1.990 20 30 DDEDLO Cn1cc([C@H]([NH2+]C[C@@H](C#N)CCC#N)C2(CO)CCC2)cn1 ZINC000576040498 422362903 /nfs/dbraw/zinc/36/29/03/422362903.db2.gz IFHVXGHXSUTJIL-HIFRSBDPSA-N 1 2 301.394 1.657 20 30 DDEDLO C[NH+]1CCN(CCCCNc2cc(C#N)nc(C3CC3)n2)CC1 ZINC000601104521 422415036 /nfs/dbraw/zinc/41/50/36/422415036.db2.gz YYRHMYOCIXKSCA-UHFFFAOYSA-N 1 2 314.437 1.665 20 30 DDEDLO C=C(C)C[C@@H]1NC(=O)N([C@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000630975328 422452972 /nfs/dbraw/zinc/45/29/72/422452972.db2.gz KVBFGKYZFDNTNJ-STQMWFEESA-N 1 2 300.362 1.547 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCO[C@@]2(CCSC2)C1)C1CC1 ZINC000577950732 422462994 /nfs/dbraw/zinc/46/29/94/422462994.db2.gz ZWRIPECVLIEQLR-GJZGRUSLSA-N 1 2 309.435 1.003 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCO[C@@]2(CCSC2)C1)C1CC1 ZINC000577950732 422463000 /nfs/dbraw/zinc/46/30/00/422463000.db2.gz ZWRIPECVLIEQLR-GJZGRUSLSA-N 1 2 309.435 1.003 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000636111617 422652049 /nfs/dbraw/zinc/65/20/49/422652049.db2.gz NHINHCQWCOIDST-WDEREUQCSA-N 1 2 303.284 1.267 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccc(F)c(F)c2)nn1 ZINC000640761992 423171418 /nfs/dbraw/zinc/17/14/18/423171418.db2.gz VSUKBBDMVVNIHS-UHFFFAOYSA-N 1 2 319.315 1.308 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cc(F)c(OC)cc2OC)nn1 ZINC000651835291 423701765 /nfs/dbraw/zinc/70/17/65/423701765.db2.gz GJQVSNXPYNHPCW-UHFFFAOYSA-N 1 2 306.341 1.910 20 30 DDEDLO N#Cc1csc(CNc2ccc[nH+]c2N2CCC(O)CC2)n1 ZINC000352811815 269992189 /nfs/dbraw/zinc/99/21/89/269992189.db2.gz URAQVZZSEDOIAO-UHFFFAOYSA-N 1 2 315.402 1.983 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000645199454 424232916 /nfs/dbraw/zinc/23/29/16/424232916.db2.gz FFFGCLROEVNFDB-CYBMUJFWSA-N 1 2 300.362 1.216 20 30 DDEDLO C=CCN(CCOC)C(=O)C(=O)Nc1ccn2cc(C)[nH+]c2c1 ZINC000657889319 424536830 /nfs/dbraw/zinc/53/68/30/424536830.db2.gz OYHZMVDXLVLADZ-UHFFFAOYSA-N 1 2 316.361 1.242 20 30 DDEDLO C[C@@H]1CCN(S(=O)(=O)c2ccc(C#N)o2)C[C@H]1n1cc[nH+]c1 ZINC000367784794 267021733 /nfs/dbraw/zinc/02/17/33/267021733.db2.gz PTFCCJJKBGWFDU-DGCLKSJQSA-N 1 2 320.374 1.620 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)C[C@@H](C2CC2)O1 ZINC000373511367 267048197 /nfs/dbraw/zinc/04/81/97/267048197.db2.gz XUPCGGUCCXWPSX-DYVFJYSZSA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)C[C@@H](C2CC2)O1 ZINC000373511367 267048202 /nfs/dbraw/zinc/04/82/02/267048202.db2.gz XUPCGGUCCXWPSX-DYVFJYSZSA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2ccc(C#N)nc2)CC1 ZINC000377275969 267130972 /nfs/dbraw/zinc/13/09/72/267130972.db2.gz BZIHEQHAJZOXJO-CYBMUJFWSA-N 1 2 315.421 1.378 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1CC[C@H]([NH+]2CCOCC2)[C@@H]1C ZINC000355435487 267276448 /nfs/dbraw/zinc/27/64/48/267276448.db2.gz AKPRGMQOFJPTEL-YOEHRIQHSA-N 1 2 313.401 1.802 20 30 DDEDLO Cc1cc(NCCn2cc(Br)cn2)c(C#N)c[nH+]1 ZINC000399576454 267299576 /nfs/dbraw/zinc/29/95/76/267299576.db2.gz MCMOWFFOXAIPAJ-UHFFFAOYSA-N 1 2 306.167 1.755 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)NCCNc1cccc[nH+]1 ZINC000345229766 267309435 /nfs/dbraw/zinc/30/94/35/267309435.db2.gz HWSLJKGHWIIUSM-UHFFFAOYSA-N 1 2 316.386 1.652 20 30 DDEDLO Cn1cc(CN2CC[NH+](Cc3ccc(C#N)s3)CC2)cn1 ZINC000353456669 267794498 /nfs/dbraw/zinc/79/44/98/267794498.db2.gz WDFOWZBOPASUDX-UHFFFAOYSA-N 1 2 301.419 1.671 20 30 DDEDLO N#Cc1ccc(N2CCN(Cc3[nH]cc[nH+]3)CC2)c([N+](=O)[O-])c1 ZINC000342791707 268118988 /nfs/dbraw/zinc/11/89/88/268118988.db2.gz ONYVQUFXKKSJJQ-UHFFFAOYSA-N 1 2 312.333 1.512 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCN3C(=O)OCC[C@H]3C2)c([N+](=O)[O-])c1 ZINC000496212877 268221366 /nfs/dbraw/zinc/22/13/66/268221366.db2.gz AIRRNYBGZFOOQX-ZDUSSCGKSA-N 1 2 316.317 1.493 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCN3C(=O)OCC[C@H]3C2)c([N+](=O)[O-])c1 ZINC000496212877 268221368 /nfs/dbraw/zinc/22/13/68/268221368.db2.gz AIRRNYBGZFOOQX-ZDUSSCGKSA-N 1 2 316.317 1.493 20 30 DDEDLO N#Cc1cccc(CN2C(=O)CC[C@H]2C(=O)NCc2[nH]cc[nH+]2)c1 ZINC000356518014 268245782 /nfs/dbraw/zinc/24/57/82/268245782.db2.gz KKARWDJKESEFJV-AWEZNQCLSA-N 1 2 323.356 1.089 20 30 DDEDLO O=C1NC(=O)N2CC[N@@H+](CC#Cc3ccc(Cl)cc3)C[C@@H]12 ZINC000329415991 280277168 /nfs/dbraw/zinc/27/71/68/280277168.db2.gz FNFDTWWCSOABMY-ZDUSSCGKSA-N 1 2 303.749 1.768 20 30 DDEDLO O=C1NC(=O)N2CC[N@H+](CC#Cc3ccc(Cl)cc3)C[C@@H]12 ZINC000329415991 280277169 /nfs/dbraw/zinc/27/71/69/280277169.db2.gz FNFDTWWCSOABMY-ZDUSSCGKSA-N 1 2 303.749 1.768 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCCC34CCOCC4)C[C@H]21 ZINC000328777910 290088429 /nfs/dbraw/zinc/08/84/29/290088429.db2.gz INCZOWWMXKFYMW-RBSFLKMASA-N 1 2 323.437 1.265 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)N[C@@H]3CCCC34CCOCC4)C[C@H]21 ZINC000328777910 290088431 /nfs/dbraw/zinc/08/84/31/290088431.db2.gz INCZOWWMXKFYMW-RBSFLKMASA-N 1 2 323.437 1.265 20 30 DDEDLO O=C(CN1CCCCCC1=O)NCC1([NH+]2CCOCC2)CC1 ZINC000329926745 304624447 /nfs/dbraw/zinc/62/44/47/304624447.db2.gz WLHDYFOEIUMUKO-UHFFFAOYSA-N 1 2 309.410 1.210 20 30 DDEDLO N#Cc1cc(Br)ccc1C[NH2+][C@@]1(C(N)=O)CCOC1 ZINC000575134947 304717908 /nfs/dbraw/zinc/71/79/08/304717908.db2.gz MYPPYBRSZRYHHR-ZDUSSCGKSA-N 1 2 324.178 1.055 20 30 DDEDLO N#CCC[C@H](C#N)C[N@H+]1CCCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000363573602 303154643 /nfs/dbraw/zinc/15/46/43/303154643.db2.gz ULYBPRYSTIFQGH-CABCVRRESA-N 1 2 304.394 1.143 20 30 DDEDLO N#CCC[C@H](C#N)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000363573602 303154646 /nfs/dbraw/zinc/15/46/46/303154646.db2.gz ULYBPRYSTIFQGH-CABCVRRESA-N 1 2 304.394 1.143 20 30 DDEDLO N#Cc1cncc(S(=O)(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)c1 ZINC000289405120 303213805 /nfs/dbraw/zinc/21/38/05/303213805.db2.gz GFTAWMUXBXAWOE-CYBMUJFWSA-N 1 2 317.374 1.592 20 30 DDEDLO Cn1cc[nH+]c1CN1CCC[C@H](Nc2ncccc2C#N)C1=O ZINC000543047913 303453461 /nfs/dbraw/zinc/45/34/61/303453461.db2.gz HYEAJAZVAOBTFZ-ZDUSSCGKSA-N 1 2 310.361 1.290 20 30 DDEDLO N#Cc1ccncc1N1CCN(C(=O)/C=C\c2[nH]cc[nH+]2)CC1 ZINC000493069482 307308013 /nfs/dbraw/zinc/30/80/13/307308013.db2.gz HRXTWYJZHWTPRW-UPHRSURJSA-N 1 2 308.345 1.038 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCC[C@]23CCNC3=O)c1C#N ZINC000565169207 308022894 /nfs/dbraw/zinc/02/28/94/308022894.db2.gz FYPROEZMJRQNIG-QGZVFWFLSA-N 1 2 313.357 1.199 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCC[C@]23CCNC3=O)c1C#N ZINC000565169207 308022895 /nfs/dbraw/zinc/02/28/95/308022895.db2.gz FYPROEZMJRQNIG-QGZVFWFLSA-N 1 2 313.357 1.199 20 30 DDEDLO Cn1ncc2c1CCC[N@H+](CC(=O)Nc1ccc(C#N)cc1)C2 ZINC000573184765 308231760 /nfs/dbraw/zinc/23/17/60/308231760.db2.gz FHIPYRSZJZNDDD-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO Cn1ncc2c1CCC[N@@H+](CC(=O)Nc1ccc(C#N)cc1)C2 ZINC000573184765 308231761 /nfs/dbraw/zinc/23/17/61/308231761.db2.gz FHIPYRSZJZNDDD-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO N#Cc1cncc(S(=O)(=O)Nc2c[nH+]c3n2CCCC3)c1 ZINC000581052982 308649990 /nfs/dbraw/zinc/64/99/90/308649990.db2.gz HNVWNXWERBMMHD-UHFFFAOYSA-N 1 2 303.347 1.287 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCNC(=O)[C@H]2Cc2ccccc2)nc1 ZINC000582368440 326021867 /nfs/dbraw/zinc/02/18/67/326021867.db2.gz IRYRAQNQBNOVCM-GOSISDBHSA-N 1 2 320.396 1.886 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCNC(=O)[C@H]2Cc2ccccc2)nc1 ZINC000582368440 326021870 /nfs/dbraw/zinc/02/18/70/326021870.db2.gz IRYRAQNQBNOVCM-GOSISDBHSA-N 1 2 320.396 1.886 20 30 DDEDLO N#CCC(=O)N1CCN(Cc2[nH+]ccn2CC(F)(F)F)CC1 ZINC000584061496 331658228 /nfs/dbraw/zinc/65/82/28/331658228.db2.gz NCYDBZMFDMWFGC-UHFFFAOYSA-N 1 2 315.299 1.003 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(COC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000092193583 332115915 /nfs/dbraw/zinc/11/59/15/332115915.db2.gz OZWMDBLUYCIGHX-MRXNPFEDSA-N 1 2 307.415 1.455 20 30 DDEDLO C#CC[N@H+](Cc1ccc(COC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000092193583 332115917 /nfs/dbraw/zinc/11/59/17/332115917.db2.gz OZWMDBLUYCIGHX-MRXNPFEDSA-N 1 2 307.415 1.455 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCc1cc[nH+]c(N2CCCC2)c1 ZINC000583421494 332398345 /nfs/dbraw/zinc/39/83/45/332398345.db2.gz WOGRZCSOPPTVCD-CABCVRRESA-N 1 2 301.390 1.889 20 30 DDEDLO N#CCC1CC[NH+]([C@H]2CC(=O)N(CC(F)(F)F)C2=O)CC1 ZINC000562580167 332607199 /nfs/dbraw/zinc/60/71/99/332607199.db2.gz DAHJHLGRBQTUNX-JTQLQIEISA-N 1 2 303.284 1.302 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC1CC[NH+](CC(F)F)CC1 ZINC000563402958 333601246 /nfs/dbraw/zinc/60/12/46/333601246.db2.gz HDKSJRHQENPGSH-OLZOCXBDSA-N 1 2 302.365 1.671 20 30 DDEDLO C[C@@H]1C[C@H](NS(=O)(=O)c2ccccc2C#N)c2[nH+]ccn21 ZINC000582468188 337093885 /nfs/dbraw/zinc/09/38/85/337093885.db2.gz VYEMQVUEKJFOKA-PWSUYJOCSA-N 1 2 302.359 1.739 20 30 DDEDLO CN1CCC[C@H]([NH+]2CCN([C@H](C#N)c3ccccc3)CC2)C1=O ZINC000537610459 337946086 /nfs/dbraw/zinc/94/60/86/337946086.db2.gz PORDGQNTVXQDPJ-DLBZAZTESA-N 1 2 312.417 1.490 20 30 DDEDLO CC1(C)C[NH+](CC(=O)N2CCC[C@@]3(CCCCO3)[C@H]2C#N)C1 ZINC000514949634 337974820 /nfs/dbraw/zinc/97/48/20/337974820.db2.gz LGGGDROJJCAOHN-PBHICJAKSA-N 1 2 305.422 1.782 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[NH+](CCN(CC#N)C2CC2)CC1 ZINC000496442855 340005617 /nfs/dbraw/zinc/00/56/17/340005617.db2.gz WHHCCHYDCVNZQC-UHFFFAOYSA-N 1 2 308.426 1.527 20 30 DDEDLO COC(=O)Nc1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000496570325 340008041 /nfs/dbraw/zinc/00/80/41/340008041.db2.gz KICMONGMIPDKCP-OAHLLOKOSA-N 1 2 304.350 1.439 20 30 DDEDLO CCn1cc(N2C(=O)C[C@H]([NH+]3CCC(C)(C#N)CC3)C2=O)cn1 ZINC000541859026 340986143 /nfs/dbraw/zinc/98/61/43/340986143.db2.gz GMRBNVWTKVXYEM-ZDUSSCGKSA-N 1 2 315.377 1.161 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)C[C@@H]1CCCCO1 ZINC000131005935 341056034 /nfs/dbraw/zinc/05/60/34/341056034.db2.gz YLHJUIYTSMNNGH-HNNXBMFYSA-N 1 2 309.410 1.020 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000659257506 484717740 /nfs/dbraw/zinc/71/77/40/484717740.db2.gz YISLAXJQLOJYSF-AWEZNQCLSA-N 1 2 304.394 1.471 20 30 DDEDLO C=C[C@H](O)C(=O)N1CC[NH+](Cc2cc(C)ccc2OC)CC1 ZINC000665479966 484878662 /nfs/dbraw/zinc/87/86/62/484878662.db2.gz KHBQNEOCPVTDNX-HNNXBMFYSA-N 1 2 304.390 1.195 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC000673283945 485404058 /nfs/dbraw/zinc/40/40/58/485404058.db2.gz JRMIUNAPYCECAU-MRXNPFEDSA-N 1 2 315.417 1.714 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC000673283945 485404060 /nfs/dbraw/zinc/40/40/60/485404060.db2.gz JRMIUNAPYCECAU-MRXNPFEDSA-N 1 2 315.417 1.714 20 30 DDEDLO CCC[N@H+](CC#CCOC)CC(=O)Nc1ccccc1OC ZINC000677476512 486476148 /nfs/dbraw/zinc/47/61/48/486476148.db2.gz SBXVWJXSVIDFBC-UHFFFAOYSA-N 1 2 304.390 1.996 20 30 DDEDLO CCC[N@@H+](CC#CCOC)CC(=O)Nc1ccccc1OC ZINC000677476512 486476152 /nfs/dbraw/zinc/47/61/52/486476152.db2.gz SBXVWJXSVIDFBC-UHFFFAOYSA-N 1 2 304.390 1.996 20 30 DDEDLO C[C@H](SCC[NH+]1CCOCC1)C(=O)NC(=O)NC1CC1 ZINC000328910629 490733352 /nfs/dbraw/zinc/73/33/52/490733352.db2.gz NPPHHPMQNUFWOC-JTQLQIEISA-N 1 2 301.412 1.473 20 30 DDEDLO CSC[C@H](C)NC(=O)N[C@@H]1CCO[C@@H](c2c[nH+]cn2C)C1 ZINC000331178770 533763800 /nfs/dbraw/zinc/76/38/00/533763800.db2.gz GHWBELOUJWLCRG-DMDPSCGWSA-N 1 2 312.439 1.895 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)c1cc(C#N)cc(N(C)C)c1 ZINC000292791772 534064192 /nfs/dbraw/zinc/06/41/92/534064192.db2.gz XXBAZEQBPRAZMJ-CYBMUJFWSA-N 1 2 316.405 1.075 20 30 DDEDLO C[C@@H](c1ccccc1)[C@@H]([NH2+]Cc1nc(C#N)cs1)C(N)=O ZINC000419278825 534117602 /nfs/dbraw/zinc/11/76/02/534117602.db2.gz NQBKFQKBQDWJHL-IINYFYTJSA-N 1 2 300.387 1.762 20 30 DDEDLO C[C@]1(C(N)=O)CCN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)C1 ZINC000329944163 534161611 /nfs/dbraw/zinc/16/16/11/534161611.db2.gz DZUQZNOMNCJXEF-NHYWBVRUSA-N 1 2 305.382 1.922 20 30 DDEDLO Cc1[nH+]c2ccc(C(=O)N[C@@H](C)CS(C)(=O)=O)cc2n1C ZINC000331073220 534648907 /nfs/dbraw/zinc/64/89/07/534648907.db2.gz UGBHSHZOECRFES-VIFPVBQESA-N 1 2 309.391 1.619 20 30 DDEDLO N#CCc1ccc(C[N@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000342757031 534717377 /nfs/dbraw/zinc/71/73/77/534717377.db2.gz VWPGANQMBBNFGL-INIZCTEOSA-N 1 2 304.415 1.904 20 30 DDEDLO N#CCc1ccc(C[N@@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000342757031 534717381 /nfs/dbraw/zinc/71/73/81/534717381.db2.gz VWPGANQMBBNFGL-INIZCTEOSA-N 1 2 304.415 1.904 20 30 DDEDLO Cn1cc(NC(=O)NCC[NH+]2CCOCC2)c(C(F)(F)F)n1 ZINC000330885007 534737404 /nfs/dbraw/zinc/73/74/04/534737404.db2.gz PYXPPCNKJGDGHW-UHFFFAOYSA-N 1 2 321.303 1.097 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC000330958892 526402412 /nfs/dbraw/zinc/40/24/12/526402412.db2.gz WTSKJUGIQAODFY-ZWNOBZJWSA-N 1 2 324.812 1.875 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC000330958892 526402413 /nfs/dbraw/zinc/40/24/13/526402413.db2.gz WTSKJUGIQAODFY-ZWNOBZJWSA-N 1 2 324.812 1.875 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@H+](CC(=O)Nc2cc(C)ccc2C)C1 ZINC000330957195 526402513 /nfs/dbraw/zinc/40/25/13/526402513.db2.gz VGJHGAJOWVSMMK-ZDUSSCGKSA-N 1 2 304.394 1.450 20 30 DDEDLO CNC(=O)N[C@H]1CC[N@@H+](CC(=O)Nc2cc(C)ccc2C)C1 ZINC000330957195 526402514 /nfs/dbraw/zinc/40/25/14/526402514.db2.gz VGJHGAJOWVSMMK-ZDUSSCGKSA-N 1 2 304.394 1.450 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccccc2F)C1=O ZINC000337200967 526499946 /nfs/dbraw/zinc/49/99/46/526499946.db2.gz CJXGBWWWAWKPEV-AWEZNQCLSA-N 1 2 305.353 1.483 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccccc2F)C1=O ZINC000337200967 526499953 /nfs/dbraw/zinc/49/99/53/526499953.db2.gz CJXGBWWWAWKPEV-AWEZNQCLSA-N 1 2 305.353 1.483 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2ccc(C(=O)OC)cc2F)C1=O ZINC000337201571 526501326 /nfs/dbraw/zinc/50/13/26/526501326.db2.gz DDTQIDQCEQYDQO-HNNXBMFYSA-N 1 2 320.364 1.831 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2ccc(C(=O)OC)cc2F)C1=O ZINC000337201571 526501327 /nfs/dbraw/zinc/50/13/27/526501327.db2.gz DDTQIDQCEQYDQO-HNNXBMFYSA-N 1 2 320.364 1.831 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(CC(F)(F)C(F)F)CC2)C1=O ZINC000337186717 526508152 /nfs/dbraw/zinc/50/81/52/526508152.db2.gz PBRALEPHKCOZKQ-NSHDSACASA-N 1 2 323.334 1.291 20 30 DDEDLO C=C(C)COCCNc1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000413209460 526657983 /nfs/dbraw/zinc/65/79/83/526657983.db2.gz UNIGBMHWVNAUJF-ZIAGYGMSSA-N 1 2 306.410 1.688 20 30 DDEDLO C=C(C)COCCNc1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000413209460 526657993 /nfs/dbraw/zinc/65/79/93/526657993.db2.gz UNIGBMHWVNAUJF-ZIAGYGMSSA-N 1 2 306.410 1.688 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1CCC(C(=O)N(C)C(C)C)CC1)C1CC1 ZINC000491776335 526883452 /nfs/dbraw/zinc/88/34/52/526883452.db2.gz FKPMECIJASEORE-UHFFFAOYSA-N 1 2 319.449 1.189 20 30 DDEDLO C#CC[N@H+](CC(=O)N1CCC(C(=O)N(C)C(C)C)CC1)C1CC1 ZINC000491776335 526883459 /nfs/dbraw/zinc/88/34/59/526883459.db2.gz FKPMECIJASEORE-UHFFFAOYSA-N 1 2 319.449 1.189 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000491535475 526909755 /nfs/dbraw/zinc/90/97/55/526909755.db2.gz CAEIKRGSHTXIBY-INIZCTEOSA-N 1 2 315.417 1.552 20 30 DDEDLO C#CCN(Cc1ccccc1)C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000491535475 526909760 /nfs/dbraw/zinc/90/97/60/526909760.db2.gz CAEIKRGSHTXIBY-INIZCTEOSA-N 1 2 315.417 1.552 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CNc2ccccc2OCCF)CC1 ZINC000491668585 526951632 /nfs/dbraw/zinc/95/16/32/526951632.db2.gz ZEBNJEMZHNIVOF-UHFFFAOYSA-N 1 2 319.380 1.224 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)Cn2nc(Cl)c3ccccc32)CC1 ZINC000490923250 526953473 /nfs/dbraw/zinc/95/34/73/526953473.db2.gz JLXAWCWUOPOMKT-UHFFFAOYSA-N 1 2 316.792 1.467 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ncccc3C)n2C2CC2)CC1 ZINC000491692858 526955499 /nfs/dbraw/zinc/95/54/99/526955499.db2.gz JPNIGHHJTCNBRI-UHFFFAOYSA-N 1 2 322.416 1.739 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2CCc2ccccc2)CC1 ZINC000491155866 526956056 /nfs/dbraw/zinc/95/60/56/526956056.db2.gz VUWDCBQCCSKAMA-UHFFFAOYSA-N 1 2 323.444 1.838 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCOC3)n2CC(C)C)CC1 ZINC000491154702 526957254 /nfs/dbraw/zinc/95/72/54/526957254.db2.gz LPAQCAQEVQUWHE-HNNXBMFYSA-N 1 2 317.437 1.193 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cn2nc(C)n(CC)c2=S)CC1 ZINC000491154636 526971791 /nfs/dbraw/zinc/97/17/91/526971791.db2.gz KVMJRGKOXZRJRI-UHFFFAOYSA-N 1 2 321.450 1.161 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000337098627 527037555 /nfs/dbraw/zinc/03/75/55/527037555.db2.gz TXWBVDJRKZQDKA-OAHLLOKOSA-N 1 2 302.422 1.675 20 30 DDEDLO C=CCCCCCN(C)C(=O)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000347021954 527120858 /nfs/dbraw/zinc/12/08/58/527120858.db2.gz XSSGXUDOIHZMQI-AWEZNQCLSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CCn1ccc(CN(CC)C(=O)[C@@H]2CCc3c[nH+]cn3C2)n1 ZINC000491454446 527180440 /nfs/dbraw/zinc/18/04/40/527180440.db2.gz YWXWJFWCWJQUCA-CQSZACIVSA-N 1 2 311.389 1.324 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000346033636 527180931 /nfs/dbraw/zinc/18/09/31/527180931.db2.gz HPMMBZQJHRRANZ-QLFBSQMISA-N 1 2 303.406 1.455 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CC[C@@H]([C@H](C)O)C2)nc[nH+]1 ZINC000413219635 527190628 /nfs/dbraw/zinc/19/06/28/527190628.db2.gz DUEFROFJCFOBFK-MELADBBJSA-N 1 2 306.410 1.280 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CC[C@@H]([C@H](C)O)C2)[nH+]cn1 ZINC000413219635 527190636 /nfs/dbraw/zinc/19/06/36/527190636.db2.gz DUEFROFJCFOBFK-MELADBBJSA-N 1 2 306.410 1.280 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@@](C)(O)C(F)(F)F)n1 ZINC000490819578 527190952 /nfs/dbraw/zinc/19/09/52/527190952.db2.gz JBFQIVSDMPOJLF-CYBMUJFWSA-N 1 2 319.327 1.278 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@@](C)(O)C(F)(F)F)n1 ZINC000490819578 527190961 /nfs/dbraw/zinc/19/09/61/527190961.db2.gz JBFQIVSDMPOJLF-CYBMUJFWSA-N 1 2 319.327 1.278 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000491523077 527203815 /nfs/dbraw/zinc/20/38/15/527203815.db2.gz BKINAXSTGVLGPB-CYBMUJFWSA-N 1 2 302.378 1.081 20 30 DDEDLO C#C[C@@H](NC(=O)NCC[NH+]1CCOCC1)c1ccc(F)cc1 ZINC000491441228 527276137 /nfs/dbraw/zinc/27/61/37/527276137.db2.gz USCBLAMOHNTNIB-OAHLLOKOSA-N 1 2 305.353 1.131 20 30 DDEDLO C=CCn1c(CC2CC2)nnc1N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000337815063 527314586 /nfs/dbraw/zinc/31/45/86/527314586.db2.gz NMSGQNLQSWKTBV-HNNXBMFYSA-N 1 2 317.437 1.328 20 30 DDEDLO C#C[C@H](CC)NC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000491623010 527353328 /nfs/dbraw/zinc/35/33/28/527353328.db2.gz FMOKNGVCZREQOD-SJORKVTESA-N 1 2 315.417 1.598 20 30 DDEDLO C#C[C@H](CC)NC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000491623010 527353331 /nfs/dbraw/zinc/35/33/31/527353331.db2.gz FMOKNGVCZREQOD-SJORKVTESA-N 1 2 315.417 1.598 20 30 DDEDLO C#C[C@H](NC(=O)[C@H](c1c[nH+]cn1C)N(C)C)c1ccc(F)cc1 ZINC000491566328 527404262 /nfs/dbraw/zinc/40/42/62/527404262.db2.gz FSYRRHFMSBTHSC-HOCLYGCPSA-N 1 2 314.364 1.653 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH2+][C@H](c2cccc(F)c2)C1 ZINC000451666941 527486316 /nfs/dbraw/zinc/48/63/16/527486316.db2.gz SUCUCCMFVXKWAB-PMPSAXMXSA-N 1 2 304.365 1.890 20 30 DDEDLO C=CCN(CC=C)C(=O)NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000371165940 527620688 /nfs/dbraw/zinc/62/06/88/527620688.db2.gz ONCHGDZAVIJDCV-KRWDZBQOSA-N 1 2 323.437 1.251 20 30 DDEDLO CC[N@H+](Cc1cnn(C)c1)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000343741564 528876909 /nfs/dbraw/zinc/87/69/09/528876909.db2.gz XFMGDVRDULWRSL-XJKSGUPXSA-N 1 2 305.426 1.685 20 30 DDEDLO CC[N@@H+](Cc1cnn(C)c1)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000343741564 528876918 /nfs/dbraw/zinc/87/69/18/528876918.db2.gz XFMGDVRDULWRSL-XJKSGUPXSA-N 1 2 305.426 1.685 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@H+](C)CC(C)(C)C#N)CC1 ZINC000433318466 528898541 /nfs/dbraw/zinc/89/85/41/528898541.db2.gz GPBIHXNQFSKBNI-HNNXBMFYSA-N 1 2 319.453 1.160 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@@H+](C)CC(C)(C)C#N)CC1 ZINC000433318466 528898546 /nfs/dbraw/zinc/89/85/46/528898546.db2.gz GPBIHXNQFSKBNI-HNNXBMFYSA-N 1 2 319.453 1.160 20 30 DDEDLO CCN1CCN(C(=O)NCc2cc(C)no2)C[C@H]1c1[nH]cc[nH+]1 ZINC000329949479 529107949 /nfs/dbraw/zinc/10/79/49/529107949.db2.gz HKDKURKZEAMCDH-ZDUSSCGKSA-N 1 2 318.381 1.499 20 30 DDEDLO C[C@@H](CN(C)C(=O)CCc1c[nH]c[nH+]1)Nc1ccc(C#N)nc1 ZINC001120839568 782176401 /nfs/dbraw/zinc/17/64/01/782176401.db2.gz XYLJUWFOKJESRH-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO C[C@@H](CN(C)C(=O)CCc1c[nH+]c[nH]1)Nc1ccc(C#N)nc1 ZINC001120839568 782176406 /nfs/dbraw/zinc/17/64/06/782176406.db2.gz XYLJUWFOKJESRH-LBPRGKRZSA-N 1 2 312.377 1.568 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccncc3C)C2)C1 ZINC000972373198 695233394 /nfs/dbraw/zinc/23/33/94/695233394.db2.gz JIWQWZNOSOFMIJ-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccncc3C)C2)C1 ZINC000972373198 695233396 /nfs/dbraw/zinc/23/33/96/695233396.db2.gz JIWQWZNOSOFMIJ-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3conc3C(C)C)C2)C1 ZINC000972406688 695241713 /nfs/dbraw/zinc/24/17/13/695241713.db2.gz ARDNQODVJIJTOS-QGZVFWFLSA-N 1 2 319.405 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3conc3C(C)C)C2)C1 ZINC000972406688 695241714 /nfs/dbraw/zinc/24/17/14/695241714.db2.gz ARDNQODVJIJTOS-QGZVFWFLSA-N 1 2 319.405 1.901 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3ccc(C)o3)C2)C1 ZINC000972582832 695289864 /nfs/dbraw/zinc/28/98/64/695289864.db2.gz NTSSOLRBPJZJDG-MAUKXSAKSA-N 1 2 316.401 1.628 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3ccc(C)o3)C2)C1 ZINC000972582832 695289866 /nfs/dbraw/zinc/28/98/66/695289866.db2.gz NTSSOLRBPJZJDG-MAUKXSAKSA-N 1 2 316.401 1.628 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)Cc3ccco3)C2)C1 ZINC000972593570 695293257 /nfs/dbraw/zinc/29/32/57/695293257.db2.gz NICGFHFQOJMGDX-QAPCUYQASA-N 1 2 316.401 1.395 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)Cc3ccco3)C2)C1 ZINC000972593570 695293258 /nfs/dbraw/zinc/29/32/58/695293258.db2.gz NICGFHFQOJMGDX-QAPCUYQASA-N 1 2 316.401 1.395 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](OC)C3CCCC3)C2)C1 ZINC000972655045 695310205 /nfs/dbraw/zinc/31/02/05/695310205.db2.gz ALKZSYBSDRQYIW-WMZOPIPTSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](OC)C3CCCC3)C2)C1 ZINC000972655045 695310207 /nfs/dbraw/zinc/31/02/07/695310207.db2.gz ALKZSYBSDRQYIW-WMZOPIPTSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(F)cc3)C2)C1 ZINC000972662671 695312990 /nfs/dbraw/zinc/31/29/90/695312990.db2.gz SRHKAKIHRHWFPH-SFHVURJKSA-N 1 2 318.392 1.858 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)Cc3ccc(F)cc3)C2)C1 ZINC000972662671 695312992 /nfs/dbraw/zinc/31/29/92/695312992.db2.gz SRHKAKIHRHWFPH-SFHVURJKSA-N 1 2 318.392 1.858 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CC(C(C)(C)C)C3)C2)C1 ZINC000972693099 695317291 /nfs/dbraw/zinc/31/72/91/695317291.db2.gz WBRLQVCADFXVSA-LADRWXRNSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CC(C(C)(C)C)C3)C2)C1 ZINC000972693099 695317293 /nfs/dbraw/zinc/31/72/93/695317293.db2.gz WBRLQVCADFXVSA-LADRWXRNSA-N 1 2 318.461 1.995 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2)C(C)(C)C1 ZINC000972843611 695341586 /nfs/dbraw/zinc/34/15/86/695341586.db2.gz GXUSTNTWPLCBOV-CYBMUJFWSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2)C(C)(C)C1 ZINC000972843611 695341591 /nfs/dbraw/zinc/34/15/91/695341591.db2.gz GXUSTNTWPLCBOV-CYBMUJFWSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C2CN(C(C)=O)C2)C(C)(C)C1 ZINC000974504310 695681981 /nfs/dbraw/zinc/68/19/81/695681981.db2.gz WVFUSWAUVPJPDL-ZDUSSCGKSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C2CN(C(C)=O)C2)C(C)(C)C1 ZINC000974504310 695681982 /nfs/dbraw/zinc/68/19/82/695681982.db2.gz WVFUSWAUVPJPDL-ZDUSSCGKSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccnnc2C)C(C)(C)C1 ZINC000974765645 695729543 /nfs/dbraw/zinc/72/95/43/695729543.db2.gz HXBZUYCBNMDMQK-ZDUSSCGKSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccnnc2C)C(C)(C)C1 ZINC000974765645 695729545 /nfs/dbraw/zinc/72/95/45/695729545.db2.gz HXBZUYCBNMDMQK-ZDUSSCGKSA-N 1 2 308.813 1.978 20 30 DDEDLO CC#CCN1C[C@H](NC(=O)c2ccn3c[nH+]cc3c2)C(C)(C)C1 ZINC000974779871 695732867 /nfs/dbraw/zinc/73/28/67/695732867.db2.gz RCQXCAJHFONDMM-INIZCTEOSA-N 1 2 310.401 1.798 20 30 DDEDLO C#CCC[C@H](O)CNc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000798420010 700064206 /nfs/dbraw/zinc/06/42/06/700064206.db2.gz YNGCQBGZNWISMX-KBPBESRZSA-N 1 2 304.394 1.014 20 30 DDEDLO C#CCC[C@H](O)CNc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000798420010 700064209 /nfs/dbraw/zinc/06/42/09/700064209.db2.gz YNGCQBGZNWISMX-KBPBESRZSA-N 1 2 304.394 1.014 20 30 DDEDLO C#CC[N@@H+]1C[C@H](NC(=O)c2ccc3c(c2)occc3=O)C(C)(C)C1 ZINC000977455745 696170871 /nfs/dbraw/zinc/17/08/71/696170871.db2.gz UEAARFNYKUAKSG-KRWDZBQOSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CC[N@H+]1C[C@H](NC(=O)c2ccc3c(c2)occc3=O)C(C)(C)C1 ZINC000977455745 696170872 /nfs/dbraw/zinc/17/08/72/696170872.db2.gz UEAARFNYKUAKSG-KRWDZBQOSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2cnn(-c3ccccc3)n2)CC1 ZINC000747818189 700087877 /nfs/dbraw/zinc/08/78/77/700087877.db2.gz ICVDGUAZZKPALE-UHFFFAOYSA-N 1 2 323.400 1.229 20 30 DDEDLO NC(Cc1cccnc1)=[NH+]OCC(=O)NCCC1=CCCCC1 ZINC000137637902 696859065 /nfs/dbraw/zinc/85/90/65/696859065.db2.gz ZABYCTDKVMRURM-UHFFFAOYSA-N 1 2 316.405 1.920 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000151974218 696893153 /nfs/dbraw/zinc/89/31/53/696893153.db2.gz XZZINPWFJCMGTN-HNNXBMFYSA-N 1 2 301.390 1.327 20 30 DDEDLO O=C(c1cncnc1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000981031364 696984304 /nfs/dbraw/zinc/98/43/04/696984304.db2.gz KJHTUGPKQVYAPG-UHFFFAOYSA-N 1 2 320.396 1.676 20 30 DDEDLO O=C(c1cncnc1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000981031364 696984307 /nfs/dbraw/zinc/98/43/07/696984307.db2.gz KJHTUGPKQVYAPG-UHFFFAOYSA-N 1 2 320.396 1.676 20 30 DDEDLO C#CCOc1ccccc1CNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000749240693 700153275 /nfs/dbraw/zinc/15/32/75/700153275.db2.gz IBJQPQCRMKAKHB-CQSZACIVSA-N 1 2 309.369 1.774 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)N2CCN(C(=O)C=C(C)C)CC2)CC1 ZINC000985827163 697580392 /nfs/dbraw/zinc/58/03/92/697580392.db2.gz WYQHZDBTFMTVFN-UHFFFAOYSA-N 1 2 320.437 1.020 20 30 DDEDLO C#CCC[NH+]1CCC(NC(=O)c2ccc([N+](=O)[O-])cc2O)CC1 ZINC000773110504 697714700 /nfs/dbraw/zinc/71/47/00/697714700.db2.gz FCDWZQWKDNQLPH-UHFFFAOYSA-N 1 2 317.345 1.518 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)[C@@H]2C)n1 ZINC000986251858 697753151 /nfs/dbraw/zinc/75/31/51/697753151.db2.gz AYPPNVMFPLAXRA-OCCSQVGLSA-N 1 2 316.405 1.685 20 30 DDEDLO CCc1noc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)[C@H]2C)n1 ZINC000986290862 697769252 /nfs/dbraw/zinc/76/92/52/697769252.db2.gz ZDMJEDHRVILFSG-WCQYABFASA-N 1 2 302.378 1.124 20 30 DDEDLO C=C(C)[C@H](CO)[N@@H+]1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000775850569 698044596 /nfs/dbraw/zinc/04/45/96/698044596.db2.gz NNEWEBGDXPDHOE-OLZOCXBDSA-N 1 2 300.399 1.149 20 30 DDEDLO C=C(C)[C@H](CO)[N@H+]1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000775850569 698044597 /nfs/dbraw/zinc/04/45/97/698044597.db2.gz NNEWEBGDXPDHOE-OLZOCXBDSA-N 1 2 300.399 1.149 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](Cc2ccc(-n3ccnc3)nc2)CC1 ZINC000750045800 700199687 /nfs/dbraw/zinc/19/96/87/700199687.db2.gz XGNYJJWZLZUFGZ-QGZVFWFLSA-N 1 2 324.432 1.933 20 30 DDEDLO N#Cc1ccccc1N1CCC(NC(=O)Cn2cc[nH+]c2)CC1 ZINC000750046221 700199796 /nfs/dbraw/zinc/19/97/96/700199796.db2.gz UHGHOFKKETXPJP-UHFFFAOYSA-N 1 2 309.373 1.540 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)[C@H]1C ZINC000987503510 698131689 /nfs/dbraw/zinc/13/16/89/698131689.db2.gz FEVCOJVIBPWLIK-CMPLNLGQSA-N 1 2 319.796 1.903 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@@H]([S@](=O)CC)C1 ZINC000750130061 700204367 /nfs/dbraw/zinc/20/43/67/700204367.db2.gz IBUOXHQLYOGANG-VKQVCWQJSA-N 1 2 310.463 1.280 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@@H]([S@](=O)CC)C1 ZINC000750130061 700204370 /nfs/dbraw/zinc/20/43/70/700204370.db2.gz IBUOXHQLYOGANG-VKQVCWQJSA-N 1 2 310.463 1.280 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)CC(C)(C)C#N)[C@@H](c2ccccc2)C1 ZINC000777817686 698224391 /nfs/dbraw/zinc/22/43/91/698224391.db2.gz RNHNSSXSAIRGLW-OAHLLOKOSA-N 1 2 321.446 1.855 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)CC(C)(C)C#N)[C@@H](c2ccccc2)C1 ZINC000777817686 698224393 /nfs/dbraw/zinc/22/43/93/698224393.db2.gz RNHNSSXSAIRGLW-OAHLLOKOSA-N 1 2 321.446 1.855 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+][C@H]1CCS(=O)(=O)C1 ZINC000778152249 698245644 /nfs/dbraw/zinc/24/56/44/698245644.db2.gz QUNLXFMQXLACEZ-NSHDSACASA-N 1 2 313.806 1.629 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2ncnn2CC(C)C)cc1 ZINC000778591452 698350628 /nfs/dbraw/zinc/35/06/28/698350628.db2.gz FIFIOVWGMRWYHN-UHFFFAOYSA-N 1 2 303.366 1.780 20 30 DDEDLO N#CCOc1ccc(CC(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000779094837 698395545 /nfs/dbraw/zinc/39/55/45/698395545.db2.gz FSBVAKCLYVQMPG-AWEZNQCLSA-N 1 2 310.357 1.459 20 30 DDEDLO COc1cc(C(C)=NNc2cc[nH+]cc2)cc([N+](=O)[O-])c1O ZINC000779806833 698469774 /nfs/dbraw/zinc/46/97/74/698469774.db2.gz IIZNTJXGEAYABJ-UHFFFAOYSA-N 1 2 302.290 1.962 20 30 DDEDLO Cc1nn(C)c(N2CCOCC2)c1C=[NH+]Nc1ccnc(F)c1 ZINC000781129338 698591581 /nfs/dbraw/zinc/59/15/81/698591581.db2.gz FDAIBMBIZSEICB-UHFFFAOYSA-N 1 2 318.356 1.545 20 30 DDEDLO Cc1noc(CO[NH+]=C(N)c2ccc(Br)cc2)n1 ZINC000782949429 698788119 /nfs/dbraw/zinc/78/81/19/698788119.db2.gz VAUCNIQTMUOERY-UHFFFAOYSA-N 1 2 311.139 1.978 20 30 DDEDLO CCN(CCC#N)C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000783086997 698804132 /nfs/dbraw/zinc/80/41/32/698804132.db2.gz RTRGSYCXWSWTNU-UHFFFAOYSA-N 1 2 303.366 1.157 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CC(=O)N(CN2CCC[C@](C)(C#N)C2)C1 ZINC000784012945 698902815 /nfs/dbraw/zinc/90/28/15/698902815.db2.gz KNJOIEPUVMQYLQ-CZUORRHYSA-N 1 2 301.394 1.319 20 30 DDEDLO COC[C@H](C#N)OC(=O)C[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000785335936 699068667 /nfs/dbraw/zinc/06/86/67/699068667.db2.gz CWERZJKKGAMRLH-CVEARBPZSA-N 1 2 318.373 1.359 20 30 DDEDLO COC[C@H](C#N)OC(=O)C[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000785335936 699068671 /nfs/dbraw/zinc/06/86/71/699068671.db2.gz CWERZJKKGAMRLH-CVEARBPZSA-N 1 2 318.373 1.359 20 30 DDEDLO C=C(CC)COC(=O)CC[NH+]1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000787002231 699185207 /nfs/dbraw/zinc/18/52/07/699185207.db2.gz UQUQORAHNVECBS-OAHLLOKOSA-N 1 2 324.421 1.209 20 30 DDEDLO C#CCCCS(=O)(=O)NCC(C)(C)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000791417425 699632408 /nfs/dbraw/zinc/63/24/08/699632408.db2.gz NMYRBQAUYMFIDS-ZIAGYGMSSA-N 1 2 316.467 1.207 20 30 DDEDLO CC(C)N1CC[NH+](CCCOC(=O)C#Cc2ccccc2)CC1 ZINC000791570752 699641244 /nfs/dbraw/zinc/64/12/44/699641244.db2.gz AXCLOUSXCYOBRO-UHFFFAOYSA-N 1 2 314.429 1.997 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cccc([N+](=O)[O-])c2OC)CC1 ZINC000793328203 699750603 /nfs/dbraw/zinc/75/06/03/699750603.db2.gz LLDLAIDENARKAA-UHFFFAOYSA-N 1 2 317.345 1.385 20 30 DDEDLO N#CCCNC(=O)CN1CC[NH+](C/C=C\c2ccccc2)CC1 ZINC000794729856 699827360 /nfs/dbraw/zinc/82/73/60/699827360.db2.gz LSTDORKARDQYLG-YWEYNIOJSA-N 1 2 312.417 1.347 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)OC(=O)CCc1ccc(N)[nH+]c1 ZINC000751457467 700291350 /nfs/dbraw/zinc/29/13/50/700291350.db2.gz XZSVXWFIRMAWDA-ZDUSSCGKSA-N 1 2 317.389 1.729 20 30 DDEDLO C[N@H+](CC(=O)[C@@H](C#N)C(=O)Nc1ccccn1)[C@@H]1CCSC1 ZINC000753951934 700471110 /nfs/dbraw/zinc/47/11/10/700471110.db2.gz ZNCWIZPWYOYHFN-VXGBXAGGSA-N 1 2 318.402 1.166 20 30 DDEDLO C[N@@H+](CC(=O)[C@@H](C#N)C(=O)Nc1ccccn1)[C@@H]1CCSC1 ZINC000753951934 700471111 /nfs/dbraw/zinc/47/11/11/700471111.db2.gz ZNCWIZPWYOYHFN-VXGBXAGGSA-N 1 2 318.402 1.166 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@@]2(CCOC2)C1 ZINC000756903180 700648478 /nfs/dbraw/zinc/64/84/78/700648478.db2.gz MKYKGDKLSOEPQF-DOTOQJQBSA-N 1 2 300.358 1.885 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@]2(CCOC2)C1 ZINC000756903180 700648480 /nfs/dbraw/zinc/64/84/80/700648480.db2.gz MKYKGDKLSOEPQF-DOTOQJQBSA-N 1 2 300.358 1.885 20 30 DDEDLO COc1ccc(OCC[N@H+](C)Cn2cccc(C#N)c2=O)cc1 ZINC000758178344 700687314 /nfs/dbraw/zinc/68/73/14/700687314.db2.gz LLZBNZMCYYQHNA-UHFFFAOYSA-N 1 2 313.357 1.697 20 30 DDEDLO COc1ccc(OCC[N@@H+](C)Cn2cccc(C#N)c2=O)cc1 ZINC000758178344 700687315 /nfs/dbraw/zinc/68/73/15/700687315.db2.gz LLZBNZMCYYQHNA-UHFFFAOYSA-N 1 2 313.357 1.697 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2[nH]c(=S)[nH]c2c1 ZINC000758631780 700716856 /nfs/dbraw/zinc/71/68/56/700716856.db2.gz QJEJFOUWIMJNST-ZDUSSCGKSA-N 1 2 300.387 1.888 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2[nH]c(=S)[nH]c2c1 ZINC000758631780 700716857 /nfs/dbraw/zinc/71/68/57/700716857.db2.gz QJEJFOUWIMJNST-ZDUSSCGKSA-N 1 2 300.387 1.888 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1cccc(F)c1C#N ZINC000768561379 701187186 /nfs/dbraw/zinc/18/71/86/701187186.db2.gz IBHZJQRMSGPRQZ-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1cccc(F)c1C#N ZINC000768561379 701187187 /nfs/dbraw/zinc/18/71/87/701187187.db2.gz IBHZJQRMSGPRQZ-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO CC(C)(C)n1ncc2c1nc[nH+]c2NCCn1cnc(C#N)n1 ZINC000804817832 701214609 /nfs/dbraw/zinc/21/46/09/701214609.db2.gz IGHDGFPNMKWCTP-UHFFFAOYSA-N 1 2 311.353 1.157 20 30 DDEDLO Cc1[nH]c(-c2cccc(NC(=O)Cn3cnc(C#N)n3)c2)[nH+]c1C ZINC000769142703 701234369 /nfs/dbraw/zinc/23/43/69/701234369.db2.gz FAQDGHLLXQWGCK-UHFFFAOYSA-N 1 2 321.344 1.795 20 30 DDEDLO C[N@H+](CC(F)F)C1CCN(C(=O)c2cccc(C#N)n2)CC1 ZINC000810450637 701772152 /nfs/dbraw/zinc/77/21/52/701772152.db2.gz PVCQRAIKNFRIGX-UHFFFAOYSA-N 1 2 308.332 1.755 20 30 DDEDLO C[N@@H+](CC(F)F)C1CCN(C(=O)c2cccc(C#N)n2)CC1 ZINC000810450637 701772153 /nfs/dbraw/zinc/77/21/53/701772153.db2.gz PVCQRAIKNFRIGX-UHFFFAOYSA-N 1 2 308.332 1.755 20 30 DDEDLO COCC[N@@H+](Cc1ccc(C#N)cc1)CN1C(=O)[C@@H]2CCC[C@@H]21 ZINC000839980508 701889520 /nfs/dbraw/zinc/88/95/20/701889520.db2.gz PPCZGERDJIAJNF-SJORKVTESA-N 1 2 313.401 1.975 20 30 DDEDLO COCC[N@H+](Cc1ccc(C#N)cc1)CN1C(=O)[C@@H]2CCC[C@@H]21 ZINC000839980508 701889527 /nfs/dbraw/zinc/88/95/27/701889527.db2.gz PPCZGERDJIAJNF-SJORKVTESA-N 1 2 313.401 1.975 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000815798327 701937430 /nfs/dbraw/zinc/93/74/30/701937430.db2.gz ZPYSEQKAFKKXBX-CYBMUJFWSA-N 1 2 318.364 1.148 20 30 DDEDLO C#CC[C@H](Cc1ccccc1)NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000868276732 702036524 /nfs/dbraw/zinc/03/65/24/702036524.db2.gz GMYPBXGVUJWPSW-OEPRFQHASA-N 1 2 312.413 1.626 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[NH+](CCc2cnccn2)CC1)C(C)C ZINC000868576953 702207139 /nfs/dbraw/zinc/20/71/39/702207139.db2.gz VKDDXWXLSCFIDF-MRXNPFEDSA-N 1 2 315.421 1.004 20 30 DDEDLO CO[C@@H]1CC[C@@H](C)[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000879323077 706649656 /nfs/dbraw/zinc/64/96/56/706649656.db2.gz XBHBTHOHKYOGEO-UKRRQHHQSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@@H]1CC[C@@H](C)[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000879323077 706649657 /nfs/dbraw/zinc/64/96/57/706649657.db2.gz XBHBTHOHKYOGEO-UKRRQHHQSA-N 1 2 322.430 1.831 20 30 DDEDLO Cc1cc(CNC(=O)N2CCN(C3CC3)[C@@H](C#N)C2)cc(C)[nH+]1 ZINC000817170849 702298304 /nfs/dbraw/zinc/29/83/04/702298304.db2.gz LMVKAIJIDAAMJO-INIZCTEOSA-N 1 2 313.405 1.580 20 30 DDEDLO CS(=O)(=O)c1ccc(C=NNCC[NH+]2CCCCC2)s1 ZINC000841619257 702511309 /nfs/dbraw/zinc/51/13/09/702511309.db2.gz LWYBFIPPDDYGSS-UHFFFAOYSA-N 1 2 315.464 1.561 20 30 DDEDLO CN(CCO)c1ccc(NCc2cnc3c(C#N)cnn3c2)[nH+]c1 ZINC000843401368 702874397 /nfs/dbraw/zinc/87/43/97/702874397.db2.gz JQHTWIULQZFGPE-UHFFFAOYSA-N 1 2 323.360 1.037 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H](Cn2cc[nH+]c2)C(C)(C)C)nn1 ZINC000843775472 702922144 /nfs/dbraw/zinc/92/21/44/702922144.db2.gz QUXUUKSEZIXEFN-CYBMUJFWSA-N 1 2 302.382 1.505 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(-n2nncc2C)cc1 ZINC000844011902 702954738 /nfs/dbraw/zinc/95/47/38/702954738.db2.gz ZQNVFATXJLFICT-INIZCTEOSA-N 1 2 309.373 1.612 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(-n2nncc2C)cc1 ZINC000844011902 702954739 /nfs/dbraw/zinc/95/47/39/702954739.db2.gz ZQNVFATXJLFICT-INIZCTEOSA-N 1 2 309.373 1.612 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)C[C@@H]2CN(C3CC3)C(=O)O2)n1 ZINC000844324101 703013953 /nfs/dbraw/zinc/01/39/53/703013953.db2.gz LNCIKRHNXNFYFN-OAHLLOKOSA-N 1 2 302.378 1.321 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)C[C@@H]2CN(C3CC3)C(=O)O2)n1 ZINC000844324101 703013955 /nfs/dbraw/zinc/01/39/55/703013955.db2.gz LNCIKRHNXNFYFN-OAHLLOKOSA-N 1 2 302.378 1.321 20 30 DDEDLO CC[C@@H](C#N)N(C)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000847169102 703383195 /nfs/dbraw/zinc/38/31/95/703383195.db2.gz SJDTYRJOSHECKC-AWEZNQCLSA-N 1 2 303.410 1.393 20 30 DDEDLO COc1cc(C=NNCCCn2cc[nH+]c2)cc(OC)c1O ZINC000848419954 703548415 /nfs/dbraw/zinc/54/84/15/703548415.db2.gz KOPCRZIKSPNNII-UHFFFAOYSA-N 1 2 304.350 1.620 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH2+][C@H](c2cccc(OC)c2)C1 ZINC000870149012 703931679 /nfs/dbraw/zinc/93/16/79/703931679.db2.gz ASOLHWVHFIWHLA-INIZCTEOSA-N 1 2 304.390 1.761 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)Nc1nc(CC)c(C)s1 ZINC000852750751 704113389 /nfs/dbraw/zinc/11/33/89/704113389.db2.gz DZNKUBHZEKNBQO-UHFFFAOYSA-N 1 2 309.435 1.924 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)Nc1nc(CC)c(C)s1 ZINC000852750751 704113392 /nfs/dbraw/zinc/11/33/92/704113392.db2.gz DZNKUBHZEKNBQO-UHFFFAOYSA-N 1 2 309.435 1.924 20 30 DDEDLO COc1cc(NC[C@@H](O)C[N@H+](C)CCC#N)cc(OC)c1OC ZINC000819392847 704120008 /nfs/dbraw/zinc/12/00/08/704120008.db2.gz YEZLSCXSMYZDSU-CYBMUJFWSA-N 1 2 323.393 1.331 20 30 DDEDLO COc1cc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc(OC)c1OC ZINC000819392847 704120010 /nfs/dbraw/zinc/12/00/10/704120010.db2.gz YEZLSCXSMYZDSU-CYBMUJFWSA-N 1 2 323.393 1.331 20 30 DDEDLO C#CCCN(CCOC)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000853546047 704265190 /nfs/dbraw/zinc/26/51/90/704265190.db2.gz XVYQCNWSDQEAQX-UHFFFAOYSA-N 1 2 315.417 1.684 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000821015142 704359651 /nfs/dbraw/zinc/35/96/51/704359651.db2.gz HNOPYGSIOBLQCZ-INIZCTEOSA-N 1 2 313.401 1.804 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@@H]2CCn3c[nH+]cc3C2)s1 ZINC000857952703 704649265 /nfs/dbraw/zinc/64/92/65/704649265.db2.gz WJSRNSKUOFAWAA-SNVBAGLBSA-N 1 2 303.391 1.682 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ccc(C#N)c(OC)c1 ZINC000874709654 705143986 /nfs/dbraw/zinc/14/39/86/705143986.db2.gz IEHBYXVFDMTADU-OAHLLOKOSA-N 1 2 317.389 1.407 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ccc(C#N)c(OC)c1 ZINC000874709654 705143987 /nfs/dbraw/zinc/14/39/87/705143987.db2.gz IEHBYXVFDMTADU-OAHLLOKOSA-N 1 2 317.389 1.407 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000823542767 705264329 /nfs/dbraw/zinc/26/43/29/705264329.db2.gz ZCLNJDYVEVGXEF-WDEREUQCSA-N 1 2 301.268 1.237 20 30 DDEDLO C[C@H](O)c1cn(C2C[NH+](Cc3ccc(C4(C#N)CC4)cc3)C2)nn1 ZINC000825461702 705675617 /nfs/dbraw/zinc/67/56/17/705675617.db2.gz MSFLRGYJGGQKCL-ZDUSSCGKSA-N 1 2 323.400 1.943 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CCO[C@H](CC(N)=O)C2)cc1 ZINC000862535655 705729324 /nfs/dbraw/zinc/72/93/24/705729324.db2.gz UZRXMKLMZRIRGM-MRXNPFEDSA-N 1 2 302.374 1.165 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CCO[C@H](CC(N)=O)C2)cc1 ZINC000862535655 705729328 /nfs/dbraw/zinc/72/93/28/705729328.db2.gz UZRXMKLMZRIRGM-MRXNPFEDSA-N 1 2 302.374 1.165 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC000826682879 705843972 /nfs/dbraw/zinc/84/39/72/705843972.db2.gz RBDQUAJSKIEEMC-DLBZAZTESA-N 1 2 315.417 1.760 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC000826682879 705843978 /nfs/dbraw/zinc/84/39/78/705843978.db2.gz RBDQUAJSKIEEMC-DLBZAZTESA-N 1 2 315.417 1.760 20 30 DDEDLO C#CC[N@@H+](CC#CC)Cc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC000876748601 705855232 /nfs/dbraw/zinc/85/52/32/705855232.db2.gz AVXSEGIRTQTIJT-UHFFFAOYSA-N 1 2 310.806 1.446 20 30 DDEDLO C#CC[N@H+](CC#CC)Cc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC000876748601 705855237 /nfs/dbraw/zinc/85/52/37/705855237.db2.gz AVXSEGIRTQTIJT-UHFFFAOYSA-N 1 2 310.806 1.446 20 30 DDEDLO COC[C@@H]1C[N@H+](Cc2cc(C#N)ccc2N(C)C)C[C@@H](C)O1 ZINC000877463681 706113923 /nfs/dbraw/zinc/11/39/23/706113923.db2.gz IXURJOHLXHTHEZ-CJNGLKHVSA-N 1 2 303.406 1.860 20 30 DDEDLO COC[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2N(C)C)C[C@@H](C)O1 ZINC000877463681 706113925 /nfs/dbraw/zinc/11/39/25/706113925.db2.gz IXURJOHLXHTHEZ-CJNGLKHVSA-N 1 2 303.406 1.860 20 30 DDEDLO CCCn1cc(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)nn1 ZINC000877545993 706138488 /nfs/dbraw/zinc/13/84/88/706138488.db2.gz NXLIFEZTSKBEDT-OAHLLOKOSA-N 1 2 312.377 1.608 20 30 DDEDLO CCCn1cc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)nn1 ZINC000877545993 706138491 /nfs/dbraw/zinc/13/84/91/706138491.db2.gz NXLIFEZTSKBEDT-OAHLLOKOSA-N 1 2 312.377 1.608 20 30 DDEDLO N#C[C@]1(C(=O)N2CC[NH+](Cc3ccccc3)CC2)CCCOC1 ZINC000864397247 706157212 /nfs/dbraw/zinc/15/72/12/706157212.db2.gz HQJWXCHISYVXMA-GOSISDBHSA-N 1 2 313.401 1.651 20 30 DDEDLO COc1cncc(C[NH+]2CCN(c3ccc(C#N)nc3)CC2)c1C ZINC000877645465 706168887 /nfs/dbraw/zinc/16/88/87/706168887.db2.gz LVJWUAQOTKABBB-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(F)c(C#N)c1 ZINC000878593585 706438211 /nfs/dbraw/zinc/43/82/11/706438211.db2.gz RVNDFGKKKNTRJB-AWEZNQCLSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(F)c(C#N)c1 ZINC000878593585 706438213 /nfs/dbraw/zinc/43/82/13/706438213.db2.gz RVNDFGKKKNTRJB-AWEZNQCLSA-N 1 2 320.368 1.930 20 30 DDEDLO C#C[C@@H](CO)NC(=O)Nc1cccc([C@@H](C)[NH+]2CCOCC2)c1 ZINC000878626932 706449077 /nfs/dbraw/zinc/44/90/77/706449077.db2.gz IXURKTMPGXYJCN-HIFRSBDPSA-N 1 2 317.389 1.195 20 30 DDEDLO COCC1([N@H+](C)CCS(=O)(=O)c2cccc(C#N)c2)CCC1 ZINC000880185759 706904892 /nfs/dbraw/zinc/90/48/92/706904892.db2.gz BRASTZACAFDPFJ-UHFFFAOYSA-N 1 2 322.430 1.833 20 30 DDEDLO COCC1([N@@H+](C)CCS(=O)(=O)c2cccc(C#N)c2)CCC1 ZINC000880185759 706904895 /nfs/dbraw/zinc/90/48/95/706904895.db2.gz BRASTZACAFDPFJ-UHFFFAOYSA-N 1 2 322.430 1.833 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)C(=O)NCCCCn2cc[nH+]c2)C1 ZINC000834608635 707093094 /nfs/dbraw/zinc/09/30/94/707093094.db2.gz JBUNGXBJWJZXHE-HNNXBMFYSA-N 1 2 316.405 1.041 20 30 DDEDLO O=C1C=C(NNc2cc(Br)nc[nH+]2)[C@H]2CCCN12 ZINC000872435804 707409232 /nfs/dbraw/zinc/40/92/32/707409232.db2.gz HOOAGVPECKVYQA-MRVPVSSYSA-N 1 2 310.155 1.402 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)OCC(=O)CC[C@@H]2CCCCO2)CC1 ZINC000837524026 707672771 /nfs/dbraw/zinc/67/27/71/707672771.db2.gz NYAVXOMRSJOKPT-KRWDZBQOSA-N 1 2 321.417 1.793 20 30 DDEDLO N#CCCOCCOC(=O)c1ccc(N)c(-n2cc[nH+]c2)c1 ZINC000838098193 707840019 /nfs/dbraw/zinc/84/00/19/707840019.db2.gz UQTRHSKDPCVXFZ-UHFFFAOYSA-N 1 2 300.318 1.542 20 30 DDEDLO CCn1ncc(C[NH2+][C@H]2CCCN(c3ccc(C#N)cc3)C2=O)n1 ZINC000839003578 708014657 /nfs/dbraw/zinc/01/46/57/708014657.db2.gz AGGSIEPTBCKMDV-INIZCTEOSA-N 1 2 324.388 1.455 20 30 DDEDLO C#CC[C@H]([NH2+]C1CCN(c2ccc(C#N)cc2)CC1)C(=O)OC ZINC000839168742 708032968 /nfs/dbraw/zinc/03/29/68/708032968.db2.gz NVPLEJWXWOZWIF-KRWDZBQOSA-N 1 2 311.385 1.682 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)c1cc(F)ccc1OC ZINC000884088060 708133498 /nfs/dbraw/zinc/13/34/98/708133498.db2.gz WTBRNUITUYPOAS-MFKMUULPSA-N 1 2 324.352 1.458 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)c1ccc(OC)c(OC)c1 ZINC000884106192 708141638 /nfs/dbraw/zinc/14/16/38/708141638.db2.gz JROCDQSEPHMNJG-LBPRGKRZSA-N 1 2 322.361 1.113 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1cc(F)c(OC)cc1F ZINC000884164732 708168190 /nfs/dbraw/zinc/16/81/90/708168190.db2.gz PECOBBXTPVVPKR-JTQLQIEISA-N 1 2 314.288 1.359 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H]1CC[C@@H](SCC)C1 ZINC000884169138 708169739 /nfs/dbraw/zinc/16/97/39/708169739.db2.gz WVOLDSKKSZOVSP-XQQFMLRXSA-N 1 2 314.451 1.566 20 30 DDEDLO N#Cc1cnc(Cl)c(C[NH+]2CCC([C@@H]3COC(=O)N3)CC2)c1 ZINC000897021434 708210043 /nfs/dbraw/zinc/21/00/43/708210043.db2.gz ZEOBNKIQENCKEU-ZDUSSCGKSA-N 1 2 320.780 1.927 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](CC(C)(C)C)C(F)(F)F ZINC000884331715 708248793 /nfs/dbraw/zinc/24/87/93/708248793.db2.gz VSRVAAYPWVYIKT-UWVGGRQHSA-N 1 2 324.343 1.916 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2ccccc2[C@H](C)C1 ZINC000884387277 708275228 /nfs/dbraw/zinc/27/52/28/708275228.db2.gz OEQNLYCIPBEDBT-CJNGLKHVSA-N 1 2 316.401 1.621 20 30 DDEDLO C#C[C@H]1CCCCN1c1cc(N2CCC[C@H](CO)C2)[nH+]cn1 ZINC000897360281 708304305 /nfs/dbraw/zinc/30/43/05/708304305.db2.gz BWPGSDWJVCPZRC-GJZGRUSLSA-N 1 2 300.406 1.677 20 30 DDEDLO C#C[C@H]1CCCCN1c1cc(N2CCC[C@H](CO)C2)nc[nH+]1 ZINC000897360281 708304310 /nfs/dbraw/zinc/30/43/10/708304310.db2.gz BWPGSDWJVCPZRC-GJZGRUSLSA-N 1 2 300.406 1.677 20 30 DDEDLO Cc1[nH]c(C#N)cc1C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000927765764 713050864 /nfs/dbraw/zinc/05/08/64/713050864.db2.gz XJOJOCXSOXRWFA-UHFFFAOYSA-N 1 2 303.391 1.643 20 30 DDEDLO CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1OC ZINC000886470417 708765822 /nfs/dbraw/zinc/76/58/22/708765822.db2.gz LYXDKTZXZOJOGW-CYBMUJFWSA-N 1 2 323.418 1.282 20 30 DDEDLO CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1OC ZINC000886470417 708765824 /nfs/dbraw/zinc/76/58/24/708765824.db2.gz LYXDKTZXZOJOGW-CYBMUJFWSA-N 1 2 323.418 1.282 20 30 DDEDLO O=[N+]([O-])c1ccc(O)c(/C=N\C[C@H]2CC[N@@H+]2C2CCOCC2)c1 ZINC000899227234 709027580 /nfs/dbraw/zinc/02/75/80/709027580.db2.gz HPMHWJWTGFBVSR-DISPHTKASA-N 1 2 319.361 1.973 20 30 DDEDLO O=[N+]([O-])c1ccc(O)c(/C=N\C[C@H]2CC[N@H+]2C2CCOCC2)c1 ZINC000899227234 709027584 /nfs/dbraw/zinc/02/75/84/709027584.db2.gz HPMHWJWTGFBVSR-DISPHTKASA-N 1 2 319.361 1.973 20 30 DDEDLO Cc1ccc(C(=O)/C=C/C(=O)N2CC[NH+](C[C@H](C)O)CC2)cc1 ZINC000900600236 709670961 /nfs/dbraw/zinc/67/09/61/709670961.db2.gz BIZPKVIESMNIHK-KIUWMYQTSA-N 1 2 316.401 1.259 20 30 DDEDLO C=CC[C@H]1CCN1C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000890554915 709914453 /nfs/dbraw/zinc/91/44/53/709914453.db2.gz ZGLUKYBERWVLRW-LSDHHAIUSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CC[C@H]1CCN1C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000890554915 709914455 /nfs/dbraw/zinc/91/44/55/709914455.db2.gz ZGLUKYBERWVLRW-LSDHHAIUSA-N 1 2 318.421 1.660 20 30 DDEDLO C=CC[C@H]([NH2+]C[C@@H]1[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21)C(=O)OC ZINC000901602607 710091013 /nfs/dbraw/zinc/09/10/13/710091013.db2.gz YKWCZEWJYLHKEA-FQUUOJAGSA-N 1 2 324.421 1.807 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(F)cc(F)c2)C1 ZINC000891605795 710246612 /nfs/dbraw/zinc/24/66/12/710246612.db2.gz IRAOWCCAPWGOQP-CYBMUJFWSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(F)cc(F)c2)C1 ZINC000891605795 710246615 /nfs/dbraw/zinc/24/66/15/710246615.db2.gz IRAOWCCAPWGOQP-CYBMUJFWSA-N 1 2 321.327 1.117 20 30 DDEDLO C=CCCC[C@H](NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)OC ZINC000928318763 713174446 /nfs/dbraw/zinc/17/44/46/713174446.db2.gz XTHRSWVMIIIREM-STQMWFEESA-N 1 2 314.451 1.438 20 30 DDEDLO CN(C)c1cc(N2CCN(c3ccc(C#N)cn3)CC2)nc[nH+]1 ZINC000891952096 710336513 /nfs/dbraw/zinc/33/65/13/710336513.db2.gz HSNPKQJKKITUCL-UHFFFAOYSA-N 1 2 309.377 1.136 20 30 DDEDLO CN(C)c1cc(N2CCN(c3ccc(C#N)cn3)CC2)[nH+]cn1 ZINC000891952096 710336516 /nfs/dbraw/zinc/33/65/16/710336516.db2.gz HSNPKQJKKITUCL-UHFFFAOYSA-N 1 2 309.377 1.136 20 30 DDEDLO C#CC[NH+]1CCN(CN2C[C@@H](c3ccc(F)cc3)CC2=O)CC1 ZINC000902041718 710592317 /nfs/dbraw/zinc/59/23/17/710592317.db2.gz OATWAWSUSKXNTD-INIZCTEOSA-N 1 2 315.392 1.350 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CN1CCN(Cc2cccc(OC)c2)C1=O ZINC000902243694 710677573 /nfs/dbraw/zinc/67/75/73/710677573.db2.gz NOTUBOIEKFOTEB-AWEZNQCLSA-N 1 2 301.390 1.844 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CN1CCN(Cc2cccc(OC)c2)C1=O ZINC000902243694 710677575 /nfs/dbraw/zinc/67/75/75/710677575.db2.gz NOTUBOIEKFOTEB-AWEZNQCLSA-N 1 2 301.390 1.844 20 30 DDEDLO CCOC(=O)c1ncc(C[NH2+]Cc2ccc(C#N)cn2)s1 ZINC000893866926 710863614 /nfs/dbraw/zinc/86/36/14/710863614.db2.gz IHMYIRYMPMAYEH-UHFFFAOYSA-N 1 2 302.359 1.876 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccc(OCCOC)o2)C1=O ZINC000894231234 711025922 /nfs/dbraw/zinc/02/59/22/711025922.db2.gz MOJMYHDJXQXXCT-CQSZACIVSA-N 1 2 308.378 1.524 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccc(OCCOC)o2)C1=O ZINC000894231234 711025925 /nfs/dbraw/zinc/02/59/25/711025925.db2.gz MOJMYHDJXQXXCT-CQSZACIVSA-N 1 2 308.378 1.524 20 30 DDEDLO O=C(NCC#C[C@@H]1CCCCO1)N[C@H]1CCn2cc[nH+]c2C1 ZINC000894307096 711059794 /nfs/dbraw/zinc/05/97/94/711059794.db2.gz BDXJBZVCDDFWGN-KBPBESRZSA-N 1 2 302.378 1.070 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)CNc3cccc[nH+]3)CC2)cc1 ZINC000913479655 713233407 /nfs/dbraw/zinc/23/34/07/713233407.db2.gz ZEIHSYGKDMUXMU-UHFFFAOYSA-N 1 2 321.384 1.714 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc(Cc2ccccc2Cl)no1 ZINC000904081022 711375973 /nfs/dbraw/zinc/37/59/73/711375973.db2.gz DZMYZZZHYGMYMU-LBPRGKRZSA-N 1 2 321.764 1.913 20 30 DDEDLO Cn1c(C[NH2+][C@H]2CCCN(O)C2=O)nc2ccc(Cl)cc21 ZINC000895162363 711437873 /nfs/dbraw/zinc/43/78/73/711437873.db2.gz KZVWZVWWPRLNGC-NSHDSACASA-N 1 2 308.769 1.697 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)Nc1cc(C#N)nc(C2CC2)n1 ZINC000895802140 711609747 /nfs/dbraw/zinc/60/97/47/711609747.db2.gz YVXVFEGATYTACC-ZDUSSCGKSA-N 1 2 301.394 1.748 20 30 DDEDLO C=CCC1(O)CC[NH+](Cc2ccc(S(N)(=O)=O)s2)CC1 ZINC000895834709 711620444 /nfs/dbraw/zinc/62/04/44/711620444.db2.gz PKTCEGVAHDIAMJ-UHFFFAOYSA-N 1 2 316.448 1.299 20 30 DDEDLO N#Cc1ccccc1C(F)(F)C(=O)N[C@H]1Cc2c[nH+]cn2C1 ZINC000908759080 712849491 /nfs/dbraw/zinc/84/94/91/712849491.db2.gz XYIUJSXXAGGAPC-NSHDSACASA-N 1 2 302.284 1.588 20 30 DDEDLO N#Cc1cc(CS(=O)(=O)N[C@H]2CCc3[nH+]ccn3C2)cs1 ZINC000914305326 713373930 /nfs/dbraw/zinc/37/39/30/713373930.db2.gz ZDFNKIUEWNQEJT-NSHDSACASA-N 1 2 322.415 1.251 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2coc(C(C)(C)C)n2)CC1 ZINC000929418746 713606209 /nfs/dbraw/zinc/60/62/09/713606209.db2.gz VIPZBOMKQXFXKN-UHFFFAOYSA-N 1 2 303.406 1.934 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1CCCCN1S(=O)(=O)CC(C)(C)C#N ZINC000919974115 713643870 /nfs/dbraw/zinc/64/38/70/713643870.db2.gz HWKWZQWXDZLIHH-GFCCVEGCSA-N 1 2 310.423 1.827 20 30 DDEDLO N#CC[C@@H](O)C[NH+]1CCN(c2nc3ccccc3s2)CC1 ZINC000929878513 713711951 /nfs/dbraw/zinc/71/19/51/713711951.db2.gz RMYPRUQPUXPGEH-GFCCVEGCSA-N 1 2 302.403 1.693 20 30 DDEDLO COC(=O)N1CC([NH2+]Cc2cc(C#N)ccc2Br)C1 ZINC000921861743 713889003 /nfs/dbraw/zinc/88/90/03/713889003.db2.gz FGKABBFAURZLCL-UHFFFAOYSA-N 1 2 324.178 1.861 20 30 DDEDLO N#Cc1c(NCc2cccc3[nH+]ccn32)[nH]nc1N1CCOCC1 ZINC000922360446 714030153 /nfs/dbraw/zinc/03/01/53/714030153.db2.gz UPRKJTDNCKBVMB-UHFFFAOYSA-N 1 2 323.360 1.378 20 30 DDEDLO N#Cc1c(NCc2cccc3[nH+]ccn32)n[nH]c1N1CCOCC1 ZINC000922360446 714030156 /nfs/dbraw/zinc/03/01/56/714030156.db2.gz UPRKJTDNCKBVMB-UHFFFAOYSA-N 1 2 323.360 1.378 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCNC(=O)CC1(C)C)C1(C#N)CCCCC1 ZINC000931207901 714036860 /nfs/dbraw/zinc/03/68/60/714036860.db2.gz ULPDHJPPONINAN-UHFFFAOYSA-N 1 2 320.437 1.272 20 30 DDEDLO CN(C(=O)C[N@H+]1CCNC(=O)CC1(C)C)C1(C#N)CCCCC1 ZINC000931207901 714036863 /nfs/dbraw/zinc/03/68/63/714036863.db2.gz ULPDHJPPONINAN-UHFFFAOYSA-N 1 2 320.437 1.272 20 30 DDEDLO CC[N@H+](CCC(N)=O)Cc1c(C#N)c(C)nn1-c1ccccc1 ZINC000932478688 714339945 /nfs/dbraw/zinc/33/99/45/714339945.db2.gz KAVYKGZJUYOQRD-UHFFFAOYSA-N 1 2 311.389 1.750 20 30 DDEDLO CC[N@@H+](CCC(N)=O)Cc1c(C#N)c(C)nn1-c1ccccc1 ZINC000932478688 714339950 /nfs/dbraw/zinc/33/99/50/714339950.db2.gz KAVYKGZJUYOQRD-UHFFFAOYSA-N 1 2 311.389 1.750 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C2C[C@H]3CCCC[C@@H](C2)C3=O)C1 ZINC000923599745 714425409 /nfs/dbraw/zinc/42/54/09/714425409.db2.gz ZIUDLPGGRNKYIW-WFVVYAPDSA-N 1 2 316.445 1.986 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C2C[C@H]3CCCC[C@@H](C2)C3=O)C1 ZINC000923599745 714425410 /nfs/dbraw/zinc/42/54/10/714425410.db2.gz ZIUDLPGGRNKYIW-WFVVYAPDSA-N 1 2 316.445 1.986 20 30 DDEDLO CCc1ccc(C[NH+]2CCN(C(=O)NCC#CCO)CC2)s1 ZINC000923779636 714469301 /nfs/dbraw/zinc/46/93/01/714469301.db2.gz CFDCFBFTMVYHDR-UHFFFAOYSA-N 1 2 321.446 1.133 20 30 DDEDLO C#C[C@@H]1CCCN(C(=O)NCc2nc(C[NH+](C)C)cs2)C1 ZINC000924787272 714663938 /nfs/dbraw/zinc/66/39/38/714663938.db2.gz WUWZHZPYWRIPTM-GFCCVEGCSA-N 1 2 306.435 1.760 20 30 DDEDLO CC(C)(C)[C@H]1CNC(=O)C[N@@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000933824087 714676703 /nfs/dbraw/zinc/67/67/03/714676703.db2.gz INCDJFPKKRXGER-LSDHHAIUSA-N 1 2 301.390 1.438 20 30 DDEDLO CC(C)(C)[C@H]1CNC(=O)C[N@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000933824087 714676706 /nfs/dbraw/zinc/67/67/06/714676706.db2.gz INCDJFPKKRXGER-LSDHHAIUSA-N 1 2 301.390 1.438 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@H+](Cc1ccoc1)C1CC1 ZINC000934006835 714721093 /nfs/dbraw/zinc/72/10/93/714721093.db2.gz QUQHZOFIVPHQBD-UHFFFAOYSA-N 1 2 300.362 1.900 20 30 DDEDLO N#CCCN(CCC#N)C(=O)C[N@@H+](Cc1ccoc1)C1CC1 ZINC000934006835 714721094 /nfs/dbraw/zinc/72/10/94/714721094.db2.gz QUQHZOFIVPHQBD-UHFFFAOYSA-N 1 2 300.362 1.900 20 30 DDEDLO COc1cnc([C@@H]2CCC[N@H+]2CCCSCC#N)[nH]c1=O ZINC000934272299 714783169 /nfs/dbraw/zinc/78/31/69/714783169.db2.gz FXCFTEOYGUYJOX-NSHDSACASA-N 1 2 308.407 1.975 20 30 DDEDLO COc1cnc([C@@H]2CCC[N@@H+]2CCCSCC#N)[nH]c1=O ZINC000934272299 714783168 /nfs/dbraw/zinc/78/31/68/714783168.db2.gz FXCFTEOYGUYJOX-NSHDSACASA-N 1 2 308.407 1.975 20 30 DDEDLO C#CCC1(NC(=O)[C@H](CC)[N@@H+]2CCO[C@H](CC)C2)CCOCC1 ZINC000925423034 714851571 /nfs/dbraw/zinc/85/15/71/714851571.db2.gz CKPIJTJJQZAJOA-CVEARBPZSA-N 1 2 322.449 1.565 20 30 DDEDLO C#CCC1(NC(=O)[C@H](CC)[N@H+]2CCO[C@H](CC)C2)CCOCC1 ZINC000925423034 714851574 /nfs/dbraw/zinc/85/15/74/714851574.db2.gz CKPIJTJJQZAJOA-CVEARBPZSA-N 1 2 322.449 1.565 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)N1CCC[C@H](CC#N)C1 ZINC000925605881 714897901 /nfs/dbraw/zinc/89/79/01/714897901.db2.gz JBMSHBDYMTVPDP-CABCVRRESA-N 1 2 308.426 1.432 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1cnn(C)n1 ZINC000926117288 715008425 /nfs/dbraw/zinc/00/84/25/715008425.db2.gz WPIJBRUTVLYEHB-SWLSCSKDSA-N 1 2 324.388 1.533 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([NH+]3CCN(C(C)=O)CC3)C2)C1 ZINC000956568636 715472874 /nfs/dbraw/zinc/47/28/74/715472874.db2.gz ATGGNNDNMWSESP-OAHLLOKOSA-N 1 2 305.422 1.108 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2nc3nc(C)cc(C)n3n2)CC1 ZINC000957543719 715949306 /nfs/dbraw/zinc/94/93/06/715949306.db2.gz VOHRAHPYPNCTEM-UHFFFAOYSA-N 1 2 314.393 1.075 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2nc(C(C)(C)C)no2)CC1 ZINC000957629137 715975706 /nfs/dbraw/zinc/97/57/06/715975706.db2.gz UESFWHJNRGDPMT-UHFFFAOYSA-N 1 2 318.421 1.467 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@@H+]1C[C@@H](CNC(=O)C2CC2)[C@H](O)C1 ZINC000957783406 716042176 /nfs/dbraw/zinc/04/21/76/716042176.db2.gz GYYVONXYKOREDS-GDBMZVCRSA-N 1 2 317.364 1.016 20 30 DDEDLO N#Cc1ccc(F)cc1C[N@H+]1C[C@@H](CNC(=O)C2CC2)[C@H](O)C1 ZINC000957783406 716042182 /nfs/dbraw/zinc/04/21/82/716042182.db2.gz GYYVONXYKOREDS-GDBMZVCRSA-N 1 2 317.364 1.016 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000938989813 716073129 /nfs/dbraw/zinc/07/31/29/716073129.db2.gz BLICYCWWUMAPSO-LRDDRELGSA-N 1 2 304.394 1.272 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC000939477390 716274253 /nfs/dbraw/zinc/27/42/53/716274253.db2.gz JGHNHXVDSRQVTG-RHSMWYFYSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CC[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2cccc3nc(C)[nH]c32)C1 ZINC000958571127 716631749 /nfs/dbraw/zinc/63/17/49/716631749.db2.gz RSIOSDQNERMKLS-WFASDCNBSA-N 1 2 314.389 1.080 20 30 DDEDLO C=CC[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2cccc3nc(C)[nH]c32)C1 ZINC000958571127 716631752 /nfs/dbraw/zinc/63/17/52/716631752.db2.gz RSIOSDQNERMKLS-WFASDCNBSA-N 1 2 314.389 1.080 20 30 DDEDLO N#CCN1CC[C@H]([C@@H]2CCCN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC000961237127 716875299 /nfs/dbraw/zinc/87/52/99/716875299.db2.gz BPJTUSZUQWEUJA-KGLIPLIRSA-N 1 2 301.394 1.036 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000940748070 716943447 /nfs/dbraw/zinc/94/34/47/716943447.db2.gz UTMLSLAYYDCZKC-BBRMVZONSA-N 1 2 314.433 1.680 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C(C)(C)C1 ZINC000940748070 716943451 /nfs/dbraw/zinc/94/34/51/716943451.db2.gz UTMLSLAYYDCZKC-BBRMVZONSA-N 1 2 314.433 1.680 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000940851553 716993019 /nfs/dbraw/zinc/99/30/19/716993019.db2.gz YEVWFESWWXNPIR-KBPBESRZSA-N 1 2 315.421 1.186 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nccc(C)n4)C[C@H]32)C1 ZINC000961688418 717059333 /nfs/dbraw/zinc/05/93/33/717059333.db2.gz DJNTUEHXYXEMBA-FOLVSLTJSA-N 1 2 312.417 1.688 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nccc(C)n4)C[C@H]32)C1 ZINC000961688418 717059337 /nfs/dbraw/zinc/05/93/37/717059337.db2.gz DJNTUEHXYXEMBA-FOLVSLTJSA-N 1 2 312.417 1.688 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4cc(C)on4)C[C@H]32)c1 ZINC000961726219 717075056 /nfs/dbraw/zinc/07/50/56/717075056.db2.gz ZXXSMMHHWFWRPB-FVQHAEBGSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4cc(C)on4)C[C@H]32)c1 ZINC000961726219 717075061 /nfs/dbraw/zinc/07/50/61/717075061.db2.gz ZXXSMMHHWFWRPB-FVQHAEBGSA-N 1 2 322.368 1.220 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@]3(C)CCC[C@@H]3CC)CC2)C1 ZINC000941456864 717180657 /nfs/dbraw/zinc/18/06/57/717180657.db2.gz UXNPUYODUAKTSN-QFBILLFUSA-N 1 2 317.477 1.664 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3cocc3C)CC2)C1 ZINC000941520557 717191903 /nfs/dbraw/zinc/19/19/03/717191903.db2.gz IKOALKOIIBGKOT-UHFFFAOYSA-N 1 2 301.390 1.053 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)CC2)C1 ZINC000941604036 717226325 /nfs/dbraw/zinc/22/63/25/717226325.db2.gz OKDYBVKZGWPBJW-ZWKOTPCHSA-N 1 2 315.461 1.274 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC000941679467 717253455 /nfs/dbraw/zinc/25/34/55/717253455.db2.gz MWNSGHGMETVJLM-CXAGYDPISA-N 1 2 318.421 1.282 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1cn[nH]n1 ZINC000942215446 717554456 /nfs/dbraw/zinc/55/44/56/717554456.db2.gz DKASUTBHMKGBAG-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1cn[nH]n1 ZINC000942215446 717554462 /nfs/dbraw/zinc/55/44/62/717554462.db2.gz DKASUTBHMKGBAG-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1cnn[nH]1 ZINC000942215446 717554464 /nfs/dbraw/zinc/55/44/64/717554464.db2.gz DKASUTBHMKGBAG-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@H]1C[N@@H+](Cc2ccccc2C#N)CC[C@H]1NC(=O)c1cnn[nH]1 ZINC000942215446 717554472 /nfs/dbraw/zinc/55/44/72/717554472.db2.gz DKASUTBHMKGBAG-SWLSCSKDSA-N 1 2 324.388 1.317 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cncnc2C)C1 ZINC000965352828 717628510 /nfs/dbraw/zinc/62/85/10/717628510.db2.gz XJBGENJBRUDTLO-QMTHXVAHSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cncnc2C)C1 ZINC000965352828 717628515 /nfs/dbraw/zinc/62/85/15/717628515.db2.gz XJBGENJBRUDTLO-QMTHXVAHSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC000965927953 717819040 /nfs/dbraw/zinc/81/90/40/717819040.db2.gz ZZFSOBUKPDHJIZ-CABZTGNLSA-N 1 2 311.817 1.195 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC000965927953 717819044 /nfs/dbraw/zinc/81/90/44/717819044.db2.gz ZZFSOBUKPDHJIZ-CABZTGNLSA-N 1 2 311.817 1.195 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC000966750692 718649411 /nfs/dbraw/zinc/64/94/11/718649411.db2.gz JSNAKEYFVDCMNY-GWCFXTLKSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC000966750692 718649413 /nfs/dbraw/zinc/64/94/13/718649413.db2.gz JSNAKEYFVDCMNY-GWCFXTLKSA-N 1 2 324.812 1.468 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+]1Cc1ccon1 ZINC000947217041 719076178 /nfs/dbraw/zinc/07/61/78/719076178.db2.gz JNABCUKKJJSUSW-NWDGAFQWSA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)CC[N@H+]1Cc1ccon1 ZINC000947217041 719076181 /nfs/dbraw/zinc/07/61/81/719076181.db2.gz JNABCUKKJJSUSW-NWDGAFQWSA-N 1 2 313.361 1.657 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn(C)ccc2=O)C[C@H]1C ZINC000947536071 719201391 /nfs/dbraw/zinc/20/13/91/719201391.db2.gz DKSQEGMUFJUGMD-OLZOCXBDSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn(C)ccc2=O)C[C@H]1C ZINC000947536071 719201389 /nfs/dbraw/zinc/20/13/89/719201389.db2.gz DKSQEGMUFJUGMD-OLZOCXBDSA-N 1 2 323.824 1.721 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2ccc3oc(=O)nc-3[nH]2)C[C@H]1C ZINC000947924378 719308588 /nfs/dbraw/zinc/30/85/88/719308588.db2.gz CEGXFOWBGCNOKF-MNOVXSKESA-N 1 2 314.345 1.144 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3oc(=O)nc-3[nH]2)C[C@H]1C ZINC000947924378 719308589 /nfs/dbraw/zinc/30/85/89/719308589.db2.gz CEGXFOWBGCNOKF-MNOVXSKESA-N 1 2 314.345 1.144 20 30 DDEDLO CN(C(=O)[C@H]1CCn2cc[nH+]c2C1)[C@@H]1CCCN(CC#N)CC1 ZINC000948567022 719553040 /nfs/dbraw/zinc/55/30/40/719553040.db2.gz SOIFCZBQJUVNLG-LSDHHAIUSA-N 1 2 315.421 1.282 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968635192 719705561 /nfs/dbraw/zinc/70/55/61/719705561.db2.gz GYBXTKRBFCWPLR-BLLLJJGKSA-N 1 2 311.389 1.421 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000968635192 719705564 /nfs/dbraw/zinc/70/55/64/719705564.db2.gz GYBXTKRBFCWPLR-BLLLJJGKSA-N 1 2 311.389 1.421 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCCC[C@@H]1CNC(=O)c1cnn(C)n1 ZINC000969070993 719947878 /nfs/dbraw/zinc/94/78/78/719947878.db2.gz ZGQVWPMRPZYYJU-GFCCVEGCSA-N 1 2 311.817 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCCC[C@@H]1CNC(=O)c1cnn(C)n1 ZINC000969070993 719947881 /nfs/dbraw/zinc/94/78/81/719947881.db2.gz ZGQVWPMRPZYYJU-GFCCVEGCSA-N 1 2 311.817 1.542 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@H](OC)C3)CC2)C1 ZINC000949401365 720063195 /nfs/dbraw/zinc/06/31/95/720063195.db2.gz ALHAYVVNFUGQHA-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@H](OC)C3)CC2)C1 ZINC000949401365 720063201 /nfs/dbraw/zinc/06/32/01/720063201.db2.gz ALHAYVVNFUGQHA-CVEARBPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cc(F)c[nH]3)CC2)C1 ZINC000949415112 720071641 /nfs/dbraw/zinc/07/16/41/720071641.db2.gz PIJKNAKJHYUQHA-UHFFFAOYSA-N 1 2 305.353 1.094 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cc(F)c[nH]3)CC2)C1 ZINC000949415112 720071647 /nfs/dbraw/zinc/07/16/47/720071647.db2.gz PIJKNAKJHYUQHA-UHFFFAOYSA-N 1 2 305.353 1.094 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ccc(-n3cccn3)nc2)CC1 ZINC000949567993 720172373 /nfs/dbraw/zinc/17/23/73/720172373.db2.gz MZVIJMBRBDWZEN-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[NH+](Cc2ccn(C)c(=O)c2)CC1 ZINC000949663315 720248927 /nfs/dbraw/zinc/24/89/27/720248927.db2.gz ZGMPELGHFKKIKF-UHFFFAOYSA-N 1 2 303.406 1.242 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cn3c(n2)CCC3)C1 ZINC000969694995 720252686 /nfs/dbraw/zinc/25/26/86/720252686.db2.gz DGRFWJHCWFZMCZ-NSHDSACASA-N 1 2 308.813 1.632 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC000969830677 720315111 /nfs/dbraw/zinc/31/51/11/720315111.db2.gz QLVZGTQCNORFLD-WBMJQRKESA-N 1 2 322.409 1.509 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cnn(C3CCC3)c2)C1 ZINC000950089764 720485729 /nfs/dbraw/zinc/48/57/29/720485729.db2.gz MUCQJTOMWJBJST-UHFFFAOYSA-N 1 2 300.406 1.778 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2ccnc(OC)c2)C1 ZINC000970026348 720602869 /nfs/dbraw/zinc/60/28/69/720602869.db2.gz FLRXDGDNKBTVIR-NSHDSACASA-N 1 2 309.797 1.893 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncn(-c3ccccc3)n2)C1 ZINC000950581903 720693584 /nfs/dbraw/zinc/69/35/84/720693584.db2.gz SMETUYMUXYEUKK-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H](C)C2C[NH+](CC(=C)Cl)C2)c1 ZINC000970399806 720746506 /nfs/dbraw/zinc/74/65/06/720746506.db2.gz VAZUYOWDTNHZSQ-GFCCVEGCSA-N 1 2 303.793 1.866 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(F)cccc2NC(N)=O)CC1 ZINC000950935407 720840383 /nfs/dbraw/zinc/84/03/83/720840383.db2.gz HGTNSGQPRKNTQF-UHFFFAOYSA-N 1 2 318.352 1.097 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]1CNC(=O)c1cccc2[nH+]ccn21 ZINC000951061635 720902820 /nfs/dbraw/zinc/90/28/20/720902820.db2.gz QXJPKEXRVZMLFZ-AWEZNQCLSA-N 1 2 322.368 1.078 20 30 DDEDLO C[C@@H](NC(=O)c1ccn(C)n1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970879894 720970303 /nfs/dbraw/zinc/97/03/03/720970303.db2.gz HEUSMALKVVIGIT-CYBMUJFWSA-N 1 2 323.400 1.542 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC000970918595 720986903 /nfs/dbraw/zinc/98/69/03/720986903.db2.gz VUGDMGCKNIPFLQ-RYUDHWBXSA-N 1 2 323.828 1.030 20 30 DDEDLO C=CCN1CC(N(CC)C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000951365960 721003734 /nfs/dbraw/zinc/00/37/34/721003734.db2.gz UKSMFPZJJGTBJH-QGZVFWFLSA-N 1 2 321.465 1.349 20 30 DDEDLO C=CCN1CC(N(CC)C(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000951365960 721003739 /nfs/dbraw/zinc/00/37/39/721003739.db2.gz UKSMFPZJJGTBJH-QGZVFWFLSA-N 1 2 321.465 1.349 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)no1 ZINC000971371865 721263281 /nfs/dbraw/zinc/26/32/81/721263281.db2.gz QYYIRNMECFYNRU-OAHLLOKOSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)no1 ZINC000971371865 721263283 /nfs/dbraw/zinc/26/32/83/721263283.db2.gz QYYIRNMECFYNRU-OAHLLOKOSA-N 1 2 313.361 1.529 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2noc3c2CCCC3)C1 ZINC000952332480 721410974 /nfs/dbraw/zinc/41/09/74/721410974.db2.gz JAZQYJRVQSTHGQ-UHFFFAOYSA-N 1 2 301.390 1.723 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2CC[N@H+]2CCO[C@@H]2CC2(F)F)c1 ZINC001038145055 735368652 /nfs/dbraw/zinc/36/86/52/735368652.db2.gz KEMWSWLYMLPEBU-WCQYABFASA-N 1 2 324.331 1.115 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2CC[N@@H+]2CCO[C@@H]2CC2(F)F)c1 ZINC001038145055 735368654 /nfs/dbraw/zinc/36/86/54/735368654.db2.gz KEMWSWLYMLPEBU-WCQYABFASA-N 1 2 324.331 1.115 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ncoc3C3CC3)C2)C1 ZINC000972627133 735375956 /nfs/dbraw/zinc/37/59/56/735375956.db2.gz HXTAZKODPYDLGZ-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ncoc3C3CC3)C2)C1 ZINC000972627133 735375958 /nfs/dbraw/zinc/37/59/58/735375958.db2.gz HXTAZKODPYDLGZ-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[C@H]([N@H+](C)Cc3ccon3)C2)c1 ZINC001027568431 738416120 /nfs/dbraw/zinc/41/61/20/738416120.db2.gz HVICGGIMRVTDAP-KRWDZBQOSA-N 1 2 324.384 1.788 20 30 DDEDLO C#Cc1cncc(C(=O)N2CCC[C@H]([N@@H+](C)Cc3ccon3)C2)c1 ZINC001027568431 738416122 /nfs/dbraw/zinc/41/61/22/738416122.db2.gz HVICGGIMRVTDAP-KRWDZBQOSA-N 1 2 324.384 1.788 20 30 DDEDLO Cc1ncoc1C(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)s2)C1 ZINC001010444453 732331628 /nfs/dbraw/zinc/33/16/28/732331628.db2.gz ZAEGVOPWWGARMD-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1ncoc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)s2)C1 ZINC001010444453 732331634 /nfs/dbraw/zinc/33/16/34/732331634.db2.gz ZAEGVOPWWGARMD-LLVKDONJSA-N 1 2 316.386 1.921 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](C)CNC(=O)Cc2c[nH]c[nH+]2)n1 ZINC001104225919 732490934 /nfs/dbraw/zinc/49/09/34/732490934.db2.gz FXLFRVZBUXKADV-LLVKDONJSA-N 1 2 312.377 1.392 20 30 DDEDLO C#CCCCC(=O)NCCN(CC)c1[nH+]cnc2c1cnn2C ZINC001100508256 733588174 /nfs/dbraw/zinc/58/81/74/733588174.db2.gz WRCSUJKCTYMKSB-UHFFFAOYSA-N 1 2 314.393 1.109 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3scnc3C3CC3)[C@H]2C1 ZINC001083217942 733657399 /nfs/dbraw/zinc/65/73/99/733657399.db2.gz VEKCHQGNKFTKPP-QWHCGFSZSA-N 1 2 319.430 1.732 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3scnc3C3CC3)[C@H]2C1 ZINC001083217942 733657400 /nfs/dbraw/zinc/65/74/00/733657400.db2.gz VEKCHQGNKFTKPP-QWHCGFSZSA-N 1 2 319.430 1.732 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C[C@@H](C)C(C)C)C2)nn1 ZINC001098632584 738807429 /nfs/dbraw/zinc/80/74/29/738807429.db2.gz DDPLGDXONLWXGM-GDBMZVCRSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4ccn(C)c4c3)[C@H]2C1 ZINC001083239751 734681727 /nfs/dbraw/zinc/68/17/27/734681727.db2.gz BBNIDZZXRIFHRY-ZWKOTPCHSA-N 1 2 323.396 1.337 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4ccn(C)c4c3)[C@H]2C1 ZINC001083239751 734681729 /nfs/dbraw/zinc/68/17/29/734681729.db2.gz BBNIDZZXRIFHRY-ZWKOTPCHSA-N 1 2 323.396 1.337 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(CCN(C)c1cc[nH+]c(C)n1)C(C)C ZINC001125589245 734715723 /nfs/dbraw/zinc/71/57/23/734715723.db2.gz OETIITFESHKROZ-CQSZACIVSA-N 1 2 318.421 1.497 20 30 DDEDLO C=C(C)C(=O)NCCCNc1[nH+]cnc2[nH]cc([S@@](C)=O)c21 ZINC001167665729 735006700 /nfs/dbraw/zinc/00/67/00/735006700.db2.gz QXZNYERKQZUXEK-JOCHJYFZSA-N 1 2 321.406 1.141 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](F)c3ccccc3)C2)C1 ZINC000972576182 735266642 /nfs/dbraw/zinc/26/66/42/735266642.db2.gz BDPPYZGYKPXOAL-SJLPKXTDSA-N 1 2 316.376 1.634 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](F)c3ccccc3)C2)C1 ZINC000972576182 735266645 /nfs/dbraw/zinc/26/66/45/735266645.db2.gz BDPPYZGYKPXOAL-SJLPKXTDSA-N 1 2 316.376 1.634 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@H]2CNC(=O)c2cn[nH]n2)cc1 ZINC001024525076 735899691 /nfs/dbraw/zinc/89/96/91/735899691.db2.gz HHQJXGKJGRBTFT-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@H]2CNC(=O)c2cnn[nH]2)cc1 ZINC001024525076 735899696 /nfs/dbraw/zinc/89/96/96/735899696.db2.gz HHQJXGKJGRBTFT-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@H]2CNC(=O)c2cnn[nH]2)cc1 ZINC001024525076 735899700 /nfs/dbraw/zinc/89/97/00/735899700.db2.gz HHQJXGKJGRBTFT-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H]1CNC(=O)c1nc2cnccc2s1 ZINC001024546365 735920823 /nfs/dbraw/zinc/92/08/23/735920823.db2.gz XJVHDHLHSOLISW-LBPRGKRZSA-N 1 2 314.414 1.909 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1nc2cnccc2s1 ZINC001024546365 735920825 /nfs/dbraw/zinc/92/08/25/735920825.db2.gz XJVHDHLHSOLISW-LBPRGKRZSA-N 1 2 314.414 1.909 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H]1CNC(=O)c1cn[nH]n1 ZINC001027991407 738913341 /nfs/dbraw/zinc/91/33/41/738913341.db2.gz BYAIIOXKSFNNRQ-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H]1CNC(=O)c1cn[nH]n1 ZINC001027991407 738913344 /nfs/dbraw/zinc/91/33/44/738913344.db2.gz BYAIIOXKSFNNRQ-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001007358455 736313575 /nfs/dbraw/zinc/31/35/75/736313575.db2.gz XJCFDUWGPJMYPF-RAIGVLPGSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001007358455 736313578 /nfs/dbraw/zinc/31/35/78/736313578.db2.gz XJCFDUWGPJMYPF-RAIGVLPGSA-N 1 2 313.829 1.234 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)N(C)C(=O)CCn1cc[nH+]c1 ZINC001104749546 737049626 /nfs/dbraw/zinc/04/96/26/737049626.db2.gz ZIVIVPRDBOTACE-ZDUSSCGKSA-N 1 2 312.377 1.499 20 30 DDEDLO C[C@H](CNc1ccc(C#N)cn1)N(C)C(=O)CCn1cc[nH+]c1 ZINC001104750290 737060405 /nfs/dbraw/zinc/06/04/05/737060405.db2.gz BONOTRHAFUBQEW-CYBMUJFWSA-N 1 2 312.377 1.499 20 30 DDEDLO N#CCN[C@@H]1CC[C@H]2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@@H]21 ZINC001026296244 737313860 /nfs/dbraw/zinc/31/38/60/737313860.db2.gz VDVCSNBLJGKEEO-CORIIIEPSA-N 1 2 309.373 1.527 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCCN(C(=O)[C@@H](C)OC)C1 ZINC001027319825 738191623 /nfs/dbraw/zinc/19/16/23/738191623.db2.gz PQUSDMBEMWBGJM-NEPJUHHUSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCCN(C(=O)[C@@H](C)OC)C1 ZINC001027319825 738191626 /nfs/dbraw/zinc/19/16/26/738191626.db2.gz PQUSDMBEMWBGJM-NEPJUHHUSA-N 1 2 319.243 1.853 20 30 DDEDLO CCn1ccc(C[N@H+](C)[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001027336889 738209043 /nfs/dbraw/zinc/20/90/43/738209043.db2.gz VOMJQJBGIGHMNR-ZFWWWQNUSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccc(C[N@@H+](C)[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001027336889 738209045 /nfs/dbraw/zinc/20/90/45/738209045.db2.gz VOMJQJBGIGHMNR-ZFWWWQNUSA-N 1 2 303.410 1.485 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(C2CCCC2)c1C ZINC001038899148 739105099 /nfs/dbraw/zinc/10/50/99/739105099.db2.gz IGAVMNJILFKNGN-OAHLLOKOSA-N 1 2 300.406 1.744 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(C2CCCC2)c1C ZINC001038899148 739105103 /nfs/dbraw/zinc/10/51/03/739105103.db2.gz IGAVMNJILFKNGN-OAHLLOKOSA-N 1 2 300.406 1.744 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H]1CNC(=O)c1ncccn1 ZINC001028218529 739182533 /nfs/dbraw/zinc/18/25/33/739182533.db2.gz MHSHYTIZSXIODU-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H]1CNC(=O)c1ncccn1 ZINC001028218529 739182535 /nfs/dbraw/zinc/18/25/35/739182535.db2.gz MHSHYTIZSXIODU-MRXNPFEDSA-N 1 2 321.384 1.743 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cnn(-c2cc[nH+]cc2)c1 ZINC001028226853 739195935 /nfs/dbraw/zinc/19/59/35/739195935.db2.gz ORNAYFKVWOGXPU-QGZVFWFLSA-N 1 2 323.400 1.485 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1cnn(-c2cc[nH+]cc2)c1 ZINC001028226853 739195937 /nfs/dbraw/zinc/19/59/37/739195937.db2.gz ORNAYFKVWOGXPU-QGZVFWFLSA-N 1 2 323.400 1.485 20 30 DDEDLO CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1cnn(-c2ccncc2)c1 ZINC001028226853 739195941 /nfs/dbraw/zinc/19/59/41/739195941.db2.gz ORNAYFKVWOGXPU-QGZVFWFLSA-N 1 2 323.400 1.485 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001035369465 751433468 /nfs/dbraw/zinc/43/34/68/751433468.db2.gz CMVARHHYEFOQBH-HUUCEWRRSA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001035369465 751433472 /nfs/dbraw/zinc/43/34/72/751433472.db2.gz CMVARHHYEFOQBH-HUUCEWRRSA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)cc1 ZINC001028311136 739337626 /nfs/dbraw/zinc/33/76/26/739337626.db2.gz GJRDPSLQYATLGF-CQSZACIVSA-N 1 2 321.808 1.732 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)cc1 ZINC001028311136 739337631 /nfs/dbraw/zinc/33/76/31/739337631.db2.gz GJRDPSLQYATLGF-CQSZACIVSA-N 1 2 321.808 1.732 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2[nH]ncc2C(F)(F)F)C1 ZINC001035392818 751462916 /nfs/dbraw/zinc/46/29/16/751462916.db2.gz RYLUBQBMUUIJIK-SECBINFHSA-N 1 2 318.299 1.045 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2[nH]ncc2C(F)(F)F)C1 ZINC001035392818 751462918 /nfs/dbraw/zinc/46/29/18/751462918.db2.gz RYLUBQBMUUIJIK-SECBINFHSA-N 1 2 318.299 1.045 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(c2cccnc2)CCCC1 ZINC001032592698 751469023 /nfs/dbraw/zinc/46/90/23/751469023.db2.gz QVKKBVKSKGVSFU-IRXDYDNUSA-N 1 2 309.413 1.812 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(c2cccnc2)CCCC1 ZINC001032592698 751469027 /nfs/dbraw/zinc/46/90/27/751469027.db2.gz QVKKBVKSKGVSFU-IRXDYDNUSA-N 1 2 309.413 1.812 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)n(CC)n2)C1 ZINC001035400032 751469850 /nfs/dbraw/zinc/46/98/50/751469850.db2.gz WXKMIHRUWULGKD-AWEZNQCLSA-N 1 2 318.421 1.397 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C3CC3)n(CC)n2)C1 ZINC001035400032 751469852 /nfs/dbraw/zinc/46/98/52/751469852.db2.gz WXKMIHRUWULGKD-AWEZNQCLSA-N 1 2 318.421 1.397 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2CCCn3nccc32)C1 ZINC001035420386 751479726 /nfs/dbraw/zinc/47/97/26/751479726.db2.gz NWBBDKOBTPCBAC-CABCVRRESA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCCn3nccc32)C1 ZINC001035420386 751479729 /nfs/dbraw/zinc/47/97/29/751479729.db2.gz NWBBDKOBTPCBAC-CABCVRRESA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(N(C)C)nc2)C1 ZINC001035423157 751481402 /nfs/dbraw/zinc/48/14/02/751481402.db2.gz IKVSLRVFFDPYIV-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(N(C)C)nc2)C1 ZINC001035423157 751481407 /nfs/dbraw/zinc/48/14/07/751481407.db2.gz IKVSLRVFFDPYIV-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc(OC)c2)C1 ZINC001035428624 751486865 /nfs/dbraw/zinc/48/68/65/751486865.db2.gz FDUAIGRENGJSFN-AWEZNQCLSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccnc(OC)c2)C1 ZINC001035428624 751486866 /nfs/dbraw/zinc/48/68/66/751486866.db2.gz FDUAIGRENGJSFN-AWEZNQCLSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001114896122 751497180 /nfs/dbraw/zinc/49/71/80/751497180.db2.gz JMIOIAKQILULRH-NHAGDIPZSA-N 1 2 302.422 1.569 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001114896122 751497184 /nfs/dbraw/zinc/49/71/84/751497184.db2.gz JMIOIAKQILULRH-NHAGDIPZSA-N 1 2 302.422 1.569 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@@H+](Cc3nncn3C)C2)CC1 ZINC001028780302 740027645 /nfs/dbraw/zinc/02/76/45/740027645.db2.gz GKPAMIUGUFFSFK-AWEZNQCLSA-N 1 2 317.437 1.500 20 30 DDEDLO C=C1CCC(C(=O)NC[C@@H]2CC[N@H+](Cc3nncn3C)C2)CC1 ZINC001028780302 740027650 /nfs/dbraw/zinc/02/76/50/740027650.db2.gz GKPAMIUGUFFSFK-AWEZNQCLSA-N 1 2 317.437 1.500 20 30 DDEDLO C=CCCN1CCO[C@@H](CNC(=O)c2occc2C[NH+](C)C)C1 ZINC001035486521 751540065 /nfs/dbraw/zinc/54/00/65/751540065.db2.gz CASLBYVHCSPJRQ-HNNXBMFYSA-N 1 2 321.421 1.348 20 30 DDEDLO Cc1nc(N[C@H]2C[C@H](NC(=O)Cc3c[nH+]cn3C)C2)ccc1C#N ZINC001059261681 740507289 /nfs/dbraw/zinc/50/72/89/740507289.db2.gz PEGXBYWKGBIVPP-HDJSIYSDSA-N 1 2 324.388 1.297 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCCC(F)(F)C2)C1 ZINC001035506802 751564111 /nfs/dbraw/zinc/56/41/11/751564111.db2.gz XNYXHPYZJOENAD-CHWSQXEVSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCCC(F)(F)C2)C1 ZINC001035506802 751564115 /nfs/dbraw/zinc/56/41/15/751564115.db2.gz XNYXHPYZJOENAD-CHWSQXEVSA-N 1 2 302.365 1.815 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)[C@H]1CCCOC1 ZINC001038103177 740650188 /nfs/dbraw/zinc/65/01/88/740650188.db2.gz JLRDOZAHZIYDOB-ZWKOTPCHSA-N 1 2 312.413 1.655 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)[C@H]1CCCOC1 ZINC001038103177 740650192 /nfs/dbraw/zinc/65/01/92/740650192.db2.gz JLRDOZAHZIYDOB-ZWKOTPCHSA-N 1 2 312.413 1.655 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2coc(C3CC3)n2)C1 ZINC001035540451 751586571 /nfs/dbraw/zinc/58/65/71/751586571.db2.gz DZWZIXJFIFOTCY-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2coc(C3CC3)n2)C1 ZINC001035540451 751586577 /nfs/dbraw/zinc/58/65/77/751586577.db2.gz DZWZIXJFIFOTCY-CYBMUJFWSA-N 1 2 303.362 1.006 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@@H]3C2)n1 ZINC001087909859 740848419 /nfs/dbraw/zinc/84/84/19/740848419.db2.gz ZZFBDFGZNVVNLH-UPJWGTAASA-N 1 2 317.393 1.072 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@@H]3C2)n1 ZINC001087909859 740848421 /nfs/dbraw/zinc/84/84/21/740848421.db2.gz ZZFBDFGZNVVNLH-UPJWGTAASA-N 1 2 317.393 1.072 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001035519017 751595009 /nfs/dbraw/zinc/59/50/09/751595009.db2.gz SMCLDICEIWLOON-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001035519017 751595015 /nfs/dbraw/zinc/59/50/15/751595015.db2.gz SMCLDICEIWLOON-LBPRGKRZSA-N 1 2 300.362 1.180 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(C)nnc3C)[C@@H]2C1 ZINC001075834259 740989729 /nfs/dbraw/zinc/98/97/29/740989729.db2.gz WTBMVRPENXKOCQ-DZGCQCFKSA-N 1 2 320.824 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(C)nnc3C)[C@@H]2C1 ZINC001075834259 740989733 /nfs/dbraw/zinc/98/97/33/740989733.db2.gz WTBMVRPENXKOCQ-DZGCQCFKSA-N 1 2 320.824 1.992 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[N@@H+](Cc3cnon3)CC[C@@H]2C1 ZINC001088093052 741021458 /nfs/dbraw/zinc/02/14/58/741021458.db2.gz HTPDHXSLSGNHBV-KEYYUXOJSA-N 1 2 318.421 1.952 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[N@H+](Cc3cnon3)CC[C@@H]2C1 ZINC001088093052 741021459 /nfs/dbraw/zinc/02/14/59/741021459.db2.gz HTPDHXSLSGNHBV-KEYYUXOJSA-N 1 2 318.421 1.952 20 30 DDEDLO N#CCN[C@H]1CCCCCN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)C1 ZINC001088337923 741410884 /nfs/dbraw/zinc/41/08/84/741410884.db2.gz QQNNLKRDYHRGLN-CABCVRRESA-N 1 2 315.421 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn3c2C[C@H](C)CC3)C1 ZINC001035597199 751653577 /nfs/dbraw/zinc/65/35/77/751653577.db2.gz WBCCMBZRRSPNOG-KGLIPLIRSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cnn3c2C[C@H](C)CC3)C1 ZINC001035597199 751653581 /nfs/dbraw/zinc/65/35/81/751653581.db2.gz WBCCMBZRRSPNOG-KGLIPLIRSA-N 1 2 318.421 1.082 20 30 DDEDLO Cc1nc([C@@H](C)[N@@H+](C)CC2CCN(C(=O)[C@H](C)C#N)CC2)no1 ZINC001029946918 741636477 /nfs/dbraw/zinc/63/64/77/741636477.db2.gz YLMVIMHZNSZIIW-VXGBXAGGSA-N 1 2 319.409 1.769 20 30 DDEDLO Cc1nc([C@@H](C)[N@H+](C)CC2CCN(C(=O)[C@H](C)C#N)CC2)no1 ZINC001029946918 741636479 /nfs/dbraw/zinc/63/64/79/741636479.db2.gz YLMVIMHZNSZIIW-VXGBXAGGSA-N 1 2 319.409 1.769 20 30 DDEDLO Cc1cc(C[N@@H+]2CCC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)ncn1 ZINC001007029651 741696696 /nfs/dbraw/zinc/69/66/96/741696696.db2.gz OJSGOPFRUUUROC-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1cc(C[N@H+]2CCC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)ncn1 ZINC001007029651 741696699 /nfs/dbraw/zinc/69/66/99/741696699.db2.gz OJSGOPFRUUUROC-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO N#CCN1CCC[C@]2(CCN(C(=O)CCc3[nH]cc[nH+]3)C2)C1 ZINC001040182386 741741435 /nfs/dbraw/zinc/74/14/35/741741435.db2.gz WZSCXEQNYUDPNU-INIZCTEOSA-N 1 2 301.394 1.180 20 30 DDEDLO Cc1nc(N2CCC([C@H](C)NC(=O)[C@H](C)C#N)CC2)cc[nH+]1 ZINC001126714920 741799358 /nfs/dbraw/zinc/79/93/58/741799358.db2.gz FSOJMRLLTUOHQS-NEPJUHHUSA-N 1 2 301.394 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn3c(n2)CCC3)[C@H]1C ZINC001088577475 741889524 /nfs/dbraw/zinc/88/95/24/741889524.db2.gz WXJXCASOYAODGV-NEPJUHHUSA-N 1 2 308.813 1.774 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn3c(n2)CCC3)[C@H]1C ZINC001088577475 741889529 /nfs/dbraw/zinc/88/95/29/741889529.db2.gz WXJXCASOYAODGV-NEPJUHHUSA-N 1 2 308.813 1.774 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(F)cccc2Cl)C1 ZINC001035584395 751677449 /nfs/dbraw/zinc/67/74/49/751677449.db2.gz RSLIODFRXSKPTH-LBPRGKRZSA-N 1 2 324.783 1.933 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(F)cccc2Cl)C1 ZINC001035584395 751677453 /nfs/dbraw/zinc/67/74/53/751677453.db2.gz RSLIODFRXSKPTH-LBPRGKRZSA-N 1 2 324.783 1.933 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccc(N(C)C)cc2)C1 ZINC001035585709 751678417 /nfs/dbraw/zinc/67/84/17/751678417.db2.gz AXLSPWRFKNBVBM-QGZVFWFLSA-N 1 2 317.433 1.759 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccc(N(C)C)cc2)C1 ZINC001035585709 751678422 /nfs/dbraw/zinc/67/84/22/751678422.db2.gz AXLSPWRFKNBVBM-QGZVFWFLSA-N 1 2 317.433 1.759 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001211999716 741935932 /nfs/dbraw/zinc/93/59/32/741935932.db2.gz VJHCRBZFQBIBDD-QZTJIDSGSA-N 1 2 312.413 1.820 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001211999716 741935934 /nfs/dbraw/zinc/93/59/34/741935934.db2.gz VJHCRBZFQBIBDD-QZTJIDSGSA-N 1 2 312.413 1.820 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)[C@H](C)CCC)C2)nn1 ZINC001098645458 741990139 /nfs/dbraw/zinc/99/01/39/741990139.db2.gz MVSLTOOGYDJQGW-UKRRQHHQSA-N 1 2 303.410 1.211 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001060070119 742402367 /nfs/dbraw/zinc/40/23/67/742402367.db2.gz NZKVIKRDEGOMSP-CYBMUJFWSA-N 1 2 318.421 1.519 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001076411770 742717350 /nfs/dbraw/zinc/71/73/50/742717350.db2.gz XSUNYAATTHJWEA-LSDHHAIUSA-N 1 2 318.421 1.505 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001076414702 742719026 /nfs/dbraw/zinc/71/90/26/742719026.db2.gz IPEQVAGRWIPQND-BFHYXJOUSA-N 1 2 316.405 1.184 20 30 DDEDLO CC(C)C#CC(=O)NCC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001076414702 742719029 /nfs/dbraw/zinc/71/90/29/742719029.db2.gz IPEQVAGRWIPQND-BFHYXJOUSA-N 1 2 316.405 1.184 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001076546139 742783191 /nfs/dbraw/zinc/78/31/91/742783191.db2.gz SOYBSWANZKGLTL-GJZGRUSLSA-N 1 2 318.421 1.505 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)[C@@H](C)n1cncn1)CC2 ZINC001035690389 751768987 /nfs/dbraw/zinc/76/89/87/751768987.db2.gz OCMPSOYSXNTFLO-CYBMUJFWSA-N 1 2 323.828 1.516 20 30 DDEDLO N#Cc1ccc(N[C@H]2CCC[C@H](NC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001061094868 743180288 /nfs/dbraw/zinc/18/02/88/743180288.db2.gz IIXUZCJRYJZMIG-GJZGRUSLSA-N 1 2 324.388 1.689 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)CN2CCCCCCC2=O)C1 ZINC001042851839 743305786 /nfs/dbraw/zinc/30/57/86/743305786.db2.gz PFRKIFLTWQHYEI-UHFFFAOYSA-N 1 2 307.438 1.498 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C1CC(Nc2cc[nH+]c(C)n2)C1 ZINC001127006840 743520804 /nfs/dbraw/zinc/52/08/04/743520804.db2.gz UGUFCPBESKCHNA-LMWSTFAQSA-N 1 2 302.378 1.225 20 30 DDEDLO C#CCCCC(=O)NCc1cnn2c1C[N@H+](CCCF)CC2 ZINC001128326870 743599056 /nfs/dbraw/zinc/59/90/56/743599056.db2.gz GCYJYCOWPFIUIO-UHFFFAOYSA-N 1 2 306.385 1.478 20 30 DDEDLO C#CCCCC(=O)NCc1cnn2c1C[N@@H+](CCCF)CC2 ZINC001128326870 743599058 /nfs/dbraw/zinc/59/90/58/743599058.db2.gz GCYJYCOWPFIUIO-UHFFFAOYSA-N 1 2 306.385 1.478 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC(OC2C[NH+](CC=C)C2)CC1 ZINC001105735869 743770020 /nfs/dbraw/zinc/77/00/20/743770020.db2.gz CELXWBXYJQVXBU-AWEZNQCLSA-N 1 2 308.422 1.455 20 30 DDEDLO N#Cc1ccc(C(=O)NC2C[NH+](Cc3ccc4c(c3)CCC4)C2)[nH]1 ZINC001030222719 743960936 /nfs/dbraw/zinc/96/09/36/743960936.db2.gz JONUZEPVIJBIRF-UHFFFAOYSA-N 1 2 320.396 1.989 20 30 DDEDLO CC#CC[NH2+][C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001184792806 744102039 /nfs/dbraw/zinc/10/20/39/744102039.db2.gz VXJAXRQUCLFMCX-OAHLLOKOSA-N 1 2 309.373 1.299 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CCCCC)C2)nn1 ZINC001185872709 744297537 /nfs/dbraw/zinc/29/75/37/744297537.db2.gz LEUIJAPVYPZIGA-MRXNPFEDSA-N 1 2 317.437 1.745 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)[C@H](C)C3CC3)C2)nn1 ZINC001185899064 744304774 /nfs/dbraw/zinc/30/47/74/744304774.db2.gz IDFKJHLXMAFFSD-CJNGLKHVSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CC[N@H+]1CC[C@H](NC(=O)c2cnc([C@H]3CCCO3)s2)[C@H]1C ZINC001088907744 744358157 /nfs/dbraw/zinc/35/81/57/744358157.db2.gz LMZGLJKSUBVCBM-FRRDWIJNSA-N 1 2 319.430 1.820 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](NC(=O)c2cnc([C@H]3CCCO3)s2)[C@H]1C ZINC001088907744 744358158 /nfs/dbraw/zinc/35/81/58/744358158.db2.gz LMZGLJKSUBVCBM-FRRDWIJNSA-N 1 2 319.430 1.820 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2C[C@]23CCOc2ccccc23)C1 ZINC001030666999 744415244 /nfs/dbraw/zinc/41/52/44/744415244.db2.gz ZAVZHAMWBJASIU-LPHOPBHVSA-N 1 2 310.397 1.551 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001187699470 744593767 /nfs/dbraw/zinc/59/37/67/744593767.db2.gz ZBCWLPOXYASSRY-JGGQBBKZSA-N 1 2 321.446 1.503 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001187699470 744593771 /nfs/dbraw/zinc/59/37/71/744593771.db2.gz ZBCWLPOXYASSRY-JGGQBBKZSA-N 1 2 321.446 1.503 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3C)C[C@H]21 ZINC001187729629 744597522 /nfs/dbraw/zinc/59/75/22/744597522.db2.gz PPQMCOYUDISKQT-LSDHHAIUSA-N 1 2 300.406 1.256 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nccn3C)C[C@H]21 ZINC001187729629 744597524 /nfs/dbraw/zinc/59/75/24/744597524.db2.gz PPQMCOYUDISKQT-LSDHHAIUSA-N 1 2 300.406 1.256 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](C/C=C/Cl)C[C@H]2O)cc1 ZINC001077495193 744628690 /nfs/dbraw/zinc/62/86/90/744628690.db2.gz WOVXOJQMKMOWBL-PXQLCEJZSA-N 1 2 304.777 1.195 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](C/C=C/Cl)C[C@H]2O)cc1 ZINC001077495193 744628691 /nfs/dbraw/zinc/62/86/91/744628691.db2.gz WOVXOJQMKMOWBL-PXQLCEJZSA-N 1 2 304.777 1.195 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([N@H+](C)CC(=O)Nc2nccs2)C1 ZINC001189280604 744858061 /nfs/dbraw/zinc/85/80/61/744858061.db2.gz UXUXAZFTAIFJNL-GFCCVEGCSA-N 1 2 322.434 1.581 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([N@@H+](C)CC(=O)Nc2nccs2)C1 ZINC001189280604 744858065 /nfs/dbraw/zinc/85/80/65/744858065.db2.gz UXUXAZFTAIFJNL-GFCCVEGCSA-N 1 2 322.434 1.581 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)C[C@H](C)OC)C1 ZINC001189300588 744862887 /nfs/dbraw/zinc/86/28/87/744862887.db2.gz MZWVXHUOXNWBSC-NWDGAFQWSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)C[C@H](C)OC)C1 ZINC001189300588 744862888 /nfs/dbraw/zinc/86/28/88/744862888.db2.gz MZWVXHUOXNWBSC-NWDGAFQWSA-N 1 2 319.243 1.853 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@H]1CCN(C(=O)CCOCC)C1 ZINC001189300841 744863205 /nfs/dbraw/zinc/86/32/05/744863205.db2.gz NOZYLNGTMUTZOR-LBPRGKRZSA-N 1 2 319.243 1.854 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@H]1CCN(C(=O)CCOCC)C1 ZINC001189300841 744863207 /nfs/dbraw/zinc/86/32/07/744863207.db2.gz NOZYLNGTMUTZOR-LBPRGKRZSA-N 1 2 319.243 1.854 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001190718311 745294208 /nfs/dbraw/zinc/29/42/08/745294208.db2.gz ZKRAASDCPVBJPD-IAGOWNOFSA-N 1 2 318.392 1.385 20 30 DDEDLO CC(C)CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001190718311 745294210 /nfs/dbraw/zinc/29/42/10/745294210.db2.gz ZKRAASDCPVBJPD-IAGOWNOFSA-N 1 2 318.392 1.385 20 30 DDEDLO C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NC1C[NH+](C[C@H]2CCCCO2)C1 ZINC001031077240 745328504 /nfs/dbraw/zinc/32/85/04/745328504.db2.gz KPFGKJMUCNZVIT-IKGGRYGDSA-N 1 2 322.449 1.727 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)N1C[C@@H](CNCC#N)[C@H](C)C1)C2 ZINC001106342943 745616120 /nfs/dbraw/zinc/61/61/20/745616120.db2.gz JVLOUZKFQXDCLM-KWCYVHTRSA-N 1 2 315.421 1.031 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)N1C[C@@H](CNCC#N)[C@H](C)C1)CC2 ZINC001106342943 745616122 /nfs/dbraw/zinc/61/61/22/745616122.db2.gz JVLOUZKFQXDCLM-KWCYVHTRSA-N 1 2 315.421 1.031 20 30 DDEDLO C[C@@H](CCNc1ccc(C#N)nc1)NC(=O)Cc1c[nH+]cn1C ZINC001106381273 745646679 /nfs/dbraw/zinc/64/66/79/745646679.db2.gz HQLCHWFKYYUFRP-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CCNc1ncccc1C#N ZINC001106396101 745657744 /nfs/dbraw/zinc/65/77/44/745657744.db2.gz ZWUSWQDAOKIPPB-NSHDSACASA-N 1 2 312.377 1.534 20 30 DDEDLO COCC#CC[N@H+](C)[C@H]1CCN(C(=O)c2cc(C(C)C)[nH]n2)C1 ZINC001192464100 745794531 /nfs/dbraw/zinc/79/45/31/745794531.db2.gz DORAXEPMAHDNPJ-AWEZNQCLSA-N 1 2 318.421 1.329 20 30 DDEDLO COCC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2cc(C(C)C)[nH]n2)C1 ZINC001192464100 745794535 /nfs/dbraw/zinc/79/45/35/745794535.db2.gz DORAXEPMAHDNPJ-AWEZNQCLSA-N 1 2 318.421 1.329 20 30 DDEDLO C[C@@H](c1cnccn1)[N@@H+]1CCC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007138427 752033759 /nfs/dbraw/zinc/03/37/59/752033759.db2.gz CTPAKMAHPJSPNM-JSGCOSHPSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@@H](c1cnccn1)[N@H+]1CCC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007138427 752033765 /nfs/dbraw/zinc/03/37/65/752033765.db2.gz CTPAKMAHPJSPNM-JSGCOSHPSA-N 1 2 324.388 1.632 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cc(=O)n(C)o2)[C@H]1C ZINC000993283508 745939990 /nfs/dbraw/zinc/93/99/90/745939990.db2.gz QDNZKDKGHSDLTJ-GHMZBOCLSA-N 1 2 313.785 1.314 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cc(=O)n(C)o2)[C@H]1C ZINC000993283508 745939993 /nfs/dbraw/zinc/93/99/93/745939993.db2.gz QDNZKDKGHSDLTJ-GHMZBOCLSA-N 1 2 313.785 1.314 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cnn(CCC(C)C)c2C)C1 ZINC001031232408 745952887 /nfs/dbraw/zinc/95/28/87/745952887.db2.gz PXPDGSFUPSXGFU-UHFFFAOYSA-N 1 2 302.422 1.675 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cn1cc[nH+]c1)Nc1ccc(C#N)nc1 ZINC001089343544 745962032 /nfs/dbraw/zinc/96/20/32/745962032.db2.gz DZRABNDGINIINB-OLZOCXBDSA-N 1 2 312.377 1.545 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)Nc1ccc(F)cc1OCC[NH+](C)C ZINC001193158365 746002062 /nfs/dbraw/zinc/00/20/62/746002062.db2.gz OXWVTRGILIARGX-JTQLQIEISA-N 1 2 315.370 1.420 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@H]1O ZINC001193231778 746024292 /nfs/dbraw/zinc/02/42/92/746024292.db2.gz MDAMVWYYODTKOF-HZPDHXFCSA-N 1 2 319.405 1.413 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@H]1O ZINC001193231778 746024297 /nfs/dbraw/zinc/02/42/97/746024297.db2.gz MDAMVWYYODTKOF-HZPDHXFCSA-N 1 2 319.405 1.413 20 30 DDEDLO CCN(CCNc1ncc(C#N)cc1F)C(=O)Cn1cc[nH+]c1 ZINC001106790124 746083066 /nfs/dbraw/zinc/08/30/66/746083066.db2.gz RFFLUPKAKDQTNY-UHFFFAOYSA-N 1 2 316.340 1.249 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2snnc2C2CC2)[C@@H]1C ZINC000993456737 746171457 /nfs/dbraw/zinc/17/14/57/746171457.db2.gz BVYLVENMBBEAQU-CMPLNLGQSA-N 1 2 304.419 1.631 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2snnc2C2CC2)[C@@H]1C ZINC000993456737 746171460 /nfs/dbraw/zinc/17/14/60/746171460.db2.gz BVYLVENMBBEAQU-CMPLNLGQSA-N 1 2 304.419 1.631 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NC(C)=O)C1 ZINC000993637289 746244792 /nfs/dbraw/zinc/24/47/92/746244792.db2.gz ZNLYBBIWZBZHLQ-CPUCHLNUSA-N 1 2 318.421 1.453 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccnc3c2ncn3C)C1 ZINC001015685692 746349812 /nfs/dbraw/zinc/34/98/12/746349812.db2.gz LRBQNXAYBFJSQQ-NSHDSACASA-N 1 2 319.796 1.525 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccnc3c2ncn3C)C1 ZINC001015685692 746349818 /nfs/dbraw/zinc/34/98/18/746349818.db2.gz LRBQNXAYBFJSQQ-NSHDSACASA-N 1 2 319.796 1.525 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2CCC[N@H+](CC(=C)Cl)[C@@H]2C)nn1 ZINC000993950205 746403618 /nfs/dbraw/zinc/40/36/18/746403618.db2.gz LOZXLTPOSHZGGB-CHWSQXEVSA-N 1 2 323.828 1.799 20 30 DDEDLO C=CCn1cc(C(=O)N[C@@H]2CCC[N@@H+](CC(=C)Cl)[C@@H]2C)nn1 ZINC000993950205 746403620 /nfs/dbraw/zinc/40/36/20/746403620.db2.gz LOZXLTPOSHZGGB-CHWSQXEVSA-N 1 2 323.828 1.799 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccc(C)s2)CC1 ZINC001194674437 746434490 /nfs/dbraw/zinc/43/44/90/746434490.db2.gz ZJGPIZLNNRTIHX-UHFFFAOYSA-N 1 2 306.431 1.854 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccc(C)s2)CC1 ZINC001194674437 746434493 /nfs/dbraw/zinc/43/44/93/746434493.db2.gz ZJGPIZLNNRTIHX-UHFFFAOYSA-N 1 2 306.431 1.854 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@H]1O ZINC001195554357 746630123 /nfs/dbraw/zinc/63/01/23/746630123.db2.gz GHMPCVZQOVXENB-APHBMKBZSA-N 1 2 321.421 1.501 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@H]1O ZINC001195554357 746630126 /nfs/dbraw/zinc/63/01/26/746630126.db2.gz GHMPCVZQOVXENB-APHBMKBZSA-N 1 2 321.421 1.501 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[C@H](CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001060689048 746691502 /nfs/dbraw/zinc/69/15/02/746691502.db2.gz REPTVXTUKLGSBS-HDJSIYSDSA-N 1 2 318.421 1.710 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785382 746704029 /nfs/dbraw/zinc/70/40/29/746704029.db2.gz KMKVFXZYPKMZGY-HNNXBMFYSA-N 1 2 321.465 1.485 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785382 746704031 /nfs/dbraw/zinc/70/40/31/746704031.db2.gz KMKVFXZYPKMZGY-HNNXBMFYSA-N 1 2 321.465 1.485 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@H+](Cc2cncs2)CC1 ZINC001195832673 746719883 /nfs/dbraw/zinc/71/98/83/746719883.db2.gz PVKBMNVGJHTWBF-UHFFFAOYSA-N 1 2 307.419 1.217 20 30 DDEDLO C#CCOCCC(=O)N1CCC[N@@H+](Cc2cncs2)CC1 ZINC001195832673 746719887 /nfs/dbraw/zinc/71/98/87/746719887.db2.gz PVKBMNVGJHTWBF-UHFFFAOYSA-N 1 2 307.419 1.217 20 30 DDEDLO C[C@@H](C[C@H](C)Nc1ccncc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089379006 746752754 /nfs/dbraw/zinc/75/27/54/746752754.db2.gz ANGCOMRALPHDBD-RYUDHWBXSA-N 1 2 312.377 1.036 20 30 DDEDLO C[C@H](C[C@H](C)Nc1cncc(C#N)n1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089380154 746757513 /nfs/dbraw/zinc/75/75/13/746757513.db2.gz HSHNKAIYPPHNNG-WDEREUQCSA-N 1 2 313.365 1.009 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CC(=O)N([C@@H](C)CCC)C2)C1 ZINC001031435326 746803199 /nfs/dbraw/zinc/80/31/99/746803199.db2.gz XVWLHISWAFAWGJ-KBPBESRZSA-N 1 2 307.438 1.400 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3ccncc3)C2)c(F)c1 ZINC001031514170 746932663 /nfs/dbraw/zinc/93/26/63/746932663.db2.gz FTGLRPNHVHBSSG-UHFFFAOYSA-N 1 2 324.359 1.954 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1ccncc1 ZINC001031514463 746933609 /nfs/dbraw/zinc/93/36/09/746933609.db2.gz SDCLLXFHNXZGAE-UHFFFAOYSA-N 1 2 323.371 1.934 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H]2CC=CCC2)CC1 ZINC001196762013 746949428 /nfs/dbraw/zinc/94/94/28/746949428.db2.gz FGRLEHQTOLZOPU-INIZCTEOSA-N 1 2 319.449 1.569 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H]2CC=CCC2)CC1 ZINC001196762013 746949437 /nfs/dbraw/zinc/94/94/37/746949437.db2.gz FGRLEHQTOLZOPU-INIZCTEOSA-N 1 2 319.449 1.569 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@@]2(C)C=CCC2)CC1 ZINC001196789519 746962421 /nfs/dbraw/zinc/96/24/21/746962421.db2.gz AKONRGBIGNEZSB-YJBOKZPZSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@@]2(C)C=CCC2)CC1 ZINC001196789519 746962422 /nfs/dbraw/zinc/96/24/22/746962422.db2.gz AKONRGBIGNEZSB-YJBOKZPZSA-N 1 2 317.433 1.015 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)c2cc(-c3cccc(C#N)c3)on2)c1 ZINC001196770619 746969066 /nfs/dbraw/zinc/96/90/66/746969066.db2.gz GLEIGCJWDUYOTO-UHFFFAOYSA-N 1 2 321.340 1.919 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111541544 746988307 /nfs/dbraw/zinc/98/83/07/746988307.db2.gz VKYYIYKZGJHNIS-ZDUSSCGKSA-N 1 2 306.410 1.620 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC(CNC(=O)c3cnns3)C2)s1 ZINC001031562444 747045087 /nfs/dbraw/zinc/04/50/87/747045087.db2.gz RJZDLPYDLPVIKV-UHFFFAOYSA-N 1 2 319.415 1.333 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2cc(C)ccc2F)CC1 ZINC001197263037 747119750 /nfs/dbraw/zinc/11/97/50/747119750.db2.gz ULHNEHKADNINBN-UHFFFAOYSA-N 1 2 318.392 1.932 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2cc(C)ccc2F)CC1 ZINC001197263037 747119751 /nfs/dbraw/zinc/11/97/51/747119751.db2.gz ULHNEHKADNINBN-UHFFFAOYSA-N 1 2 318.392 1.932 20 30 DDEDLO C#CCOCCNC(=O)c1ccc([N+](=O)[O-])c(-n2cc[nH+]c2)c1 ZINC001127499794 747124155 /nfs/dbraw/zinc/12/41/55/747124155.db2.gz DVMBZTHXCVWYFE-UHFFFAOYSA-N 1 2 314.301 1.160 20 30 DDEDLO CO[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C#CC1CC1 ZINC001212244425 747234103 /nfs/dbraw/zinc/23/41/03/747234103.db2.gz SQIGIZCGYZIAFP-RTBURBONSA-N 1 2 322.408 1.267 20 30 DDEDLO CO[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1NC(=O)C#CC1CC1 ZINC001212244425 747234109 /nfs/dbraw/zinc/23/41/09/747234109.db2.gz SQIGIZCGYZIAFP-RTBURBONSA-N 1 2 322.408 1.267 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC1C[NH+](Cc2cnc(C)o2)C1 ZINC001031680753 747370413 /nfs/dbraw/zinc/37/04/13/747370413.db2.gz PHOSKTOFEGNMDM-CVEARBPZSA-N 1 2 319.405 1.512 20 30 DDEDLO C=CCCC[N@@H+]1CCCN(C(=O)[C@H]2CCCS2(=O)=O)CC1 ZINC001198182858 747404802 /nfs/dbraw/zinc/40/48/02/747404802.db2.gz RWKNKNMADVDQMR-CQSZACIVSA-N 1 2 314.451 1.064 20 30 DDEDLO C=CCCC[N@H+]1CCCN(C(=O)[C@H]2CCCS2(=O)=O)CC1 ZINC001198182858 747404808 /nfs/dbraw/zinc/40/48/08/747404808.db2.gz RWKNKNMADVDQMR-CQSZACIVSA-N 1 2 314.451 1.064 20 30 DDEDLO CCc1nc(N(CC)[C@H](C)CNC(=O)[C@H](C)C#N)cc(C)[nH+]1 ZINC001089601579 747434835 /nfs/dbraw/zinc/43/48/35/747434835.db2.gz XIRNOFHOJSFFRX-DGCLKSJQSA-N 1 2 303.410 1.838 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCCCC(=O)NC)CC1 ZINC001198330053 747453786 /nfs/dbraw/zinc/45/37/86/747453786.db2.gz FTQHXWTWVCPTTE-UHFFFAOYSA-N 1 2 315.845 1.580 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCCCC(=O)NC)CC1 ZINC001198330053 747453788 /nfs/dbraw/zinc/45/37/88/747453788.db2.gz FTQHXWTWVCPTTE-UHFFFAOYSA-N 1 2 315.845 1.580 20 30 DDEDLO CCN(c1nc(C)[nH+]c(C)c1C)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001089623675 747486366 /nfs/dbraw/zinc/48/63/66/747486366.db2.gz ULDZPRFGSPZOFK-WDEREUQCSA-N 1 2 303.410 1.893 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H]([NH2+]CCF)c1ccccc1OC ZINC001198724462 747579161 /nfs/dbraw/zinc/57/91/61/747579161.db2.gz VWFVNZYSDNIKRX-ZFWWWQNUSA-N 1 2 322.380 1.450 20 30 DDEDLO CCN(c1ccc(C#N)cn1)[C@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001089678181 747642468 /nfs/dbraw/zinc/64/24/68/747642468.db2.gz BBJPHTIUZBGBEY-GFCCVEGCSA-N 1 2 312.377 1.250 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000998748498 752199573 /nfs/dbraw/zinc/19/95/73/752199573.db2.gz XDMDRBJBBFQURP-RYUDHWBXSA-N 1 2 305.382 1.433 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001033021785 747944909 /nfs/dbraw/zinc/94/49/09/747944909.db2.gz DTHJHOPRHFEOQH-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001033021785 747944916 /nfs/dbraw/zinc/94/49/16/747944916.db2.gz DTHJHOPRHFEOQH-AWEZNQCLSA-N 1 2 313.361 1.529 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)(C)C)C2 ZINC001110543364 748029453 /nfs/dbraw/zinc/02/94/53/748029453.db2.gz OLRVRZNYYQPRMV-KFWWJZLASA-N 1 2 317.433 1.060 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC(C)(C)C)C2 ZINC001110543364 748029462 /nfs/dbraw/zinc/02/94/62/748029462.db2.gz OLRVRZNYYQPRMV-KFWWJZLASA-N 1 2 317.433 1.060 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnc(N(C)C)cn2)C1 ZINC001033077633 748209034 /nfs/dbraw/zinc/20/90/34/748209034.db2.gz FSRBTQHRQQKWIS-GFCCVEGCSA-N 1 2 323.828 1.441 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc(N(C)C)cn2)C1 ZINC001033077633 748209038 /nfs/dbraw/zinc/20/90/38/748209038.db2.gz FSRBTQHRQQKWIS-GFCCVEGCSA-N 1 2 323.828 1.441 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc(OC)ncn2)C1 ZINC001033083463 748220434 /nfs/dbraw/zinc/22/04/34/748220434.db2.gz XCUMVQLYRPVPRZ-LLVKDONJSA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(OC)ncn2)C1 ZINC001033083463 748220441 /nfs/dbraw/zinc/22/04/41/748220441.db2.gz XCUMVQLYRPVPRZ-LLVKDONJSA-N 1 2 310.785 1.384 20 30 DDEDLO C[C@@](O)(CC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1)C1CC1 ZINC001004404834 748402071 /nfs/dbraw/zinc/40/20/71/748402071.db2.gz AKXQZQIRXIHVRX-FUHWJXTLSA-N 1 2 319.449 1.764 20 30 DDEDLO C[C@@](O)(CC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1)C1CC1 ZINC001004404834 748402073 /nfs/dbraw/zinc/40/20/73/748402073.db2.gz AKXQZQIRXIHVRX-FUHWJXTLSA-N 1 2 319.449 1.764 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2cccnc2)CC1 ZINC001004408578 748408557 /nfs/dbraw/zinc/40/85/57/748408557.db2.gz STMBZQWZVXNHQH-QGZVFWFLSA-N 1 2 312.417 1.851 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)Cc2cccnc2)CC1 ZINC001004408578 748408560 /nfs/dbraw/zinc/40/85/60/748408560.db2.gz STMBZQWZVXNHQH-QGZVFWFLSA-N 1 2 312.417 1.851 20 30 DDEDLO Cn1nccc1CC(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004418420 748414252 /nfs/dbraw/zinc/41/42/52/748414252.db2.gz FIVUOHTTWZMONV-INIZCTEOSA-N 1 2 315.421 1.189 20 30 DDEDLO Cn1nccc1CC(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004418420 748414254 /nfs/dbraw/zinc/41/42/54/748414254.db2.gz FIVUOHTTWZMONV-INIZCTEOSA-N 1 2 315.421 1.189 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2nc(C)cs2)C1 ZINC001108074276 748465462 /nfs/dbraw/zinc/46/54/62/748465462.db2.gz FSIHQEGPBXIRGS-INIZCTEOSA-N 1 2 323.462 1.777 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2nc(C)cs2)C1 ZINC001108074276 748465465 /nfs/dbraw/zinc/46/54/65/748465465.db2.gz FSIHQEGPBXIRGS-INIZCTEOSA-N 1 2 323.462 1.777 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)CCc2ccon2)CC1 ZINC001004489694 748472221 /nfs/dbraw/zinc/47/22/21/748472221.db2.gz NFKYNKBNCFLNQE-MRXNPFEDSA-N 1 2 316.405 1.834 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)CCc2ccon2)CC1 ZINC001004489694 748472224 /nfs/dbraw/zinc/47/22/24/748472224.db2.gz NFKYNKBNCFLNQE-MRXNPFEDSA-N 1 2 316.405 1.834 20 30 DDEDLO Cc1nocc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004530973 748530551 /nfs/dbraw/zinc/53/05/51/748530551.db2.gz QHETULFXLNGOKW-HNNXBMFYSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1nocc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004530973 748530556 /nfs/dbraw/zinc/53/05/56/748530556.db2.gz QHETULFXLNGOKW-HNNXBMFYSA-N 1 2 302.378 1.823 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccccc2)C1)c1cccnn1 ZINC001032065581 748550437 /nfs/dbraw/zinc/55/04/37/748550437.db2.gz CEPJGOJBPWGPLQ-UHFFFAOYSA-N 1 2 306.369 1.190 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cc(C(C)C)nn2C)C1 ZINC001032096312 748618923 /nfs/dbraw/zinc/61/89/23/748618923.db2.gz XEKQNFAZFQPAPR-UHFFFAOYSA-N 1 2 310.829 1.958 20 30 DDEDLO Cc1conc1C(=O)NCC1C[NH+](Cc2ccc(C#N)s2)C1 ZINC001032107426 748649475 /nfs/dbraw/zinc/64/94/75/748649475.db2.gz HKYQJZOXLOTNPQ-UHFFFAOYSA-N 1 2 316.386 1.778 20 30 DDEDLO CC1(NC(=O)Cn2cc[nH+]c2)CCN(c2ccncc2C#N)CC1 ZINC001110593624 748807586 /nfs/dbraw/zinc/80/75/86/748807586.db2.gz IISAUQCWQQHRHW-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001110608223 748821067 /nfs/dbraw/zinc/82/10/67/748821067.db2.gz DHVRUZGFYSARIH-YUELXQCFSA-N 1 2 303.410 1.393 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001110608223 748821075 /nfs/dbraw/zinc/82/10/75/748821075.db2.gz DHVRUZGFYSARIH-YUELXQCFSA-N 1 2 303.410 1.393 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCc3nccn3C2)C1 ZINC001033205126 748915709 /nfs/dbraw/zinc/91/57/09/748915709.db2.gz DVENOTGSZSMETP-KBPBESRZSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCc3nccn3C2)C1 ZINC001033205126 748915712 /nfs/dbraw/zinc/91/57/12/748915712.db2.gz DVENOTGSZSMETP-KBPBESRZSA-N 1 2 322.840 1.731 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001033214995 748940430 /nfs/dbraw/zinc/94/04/30/748940430.db2.gz AKYYRRPVMHKRHP-GFCCVEGCSA-N 1 2 315.398 1.369 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001033214995 748940437 /nfs/dbraw/zinc/94/04/37/748940437.db2.gz AKYYRRPVMHKRHP-GFCCVEGCSA-N 1 2 315.398 1.369 20 30 DDEDLO Cc1nc(N2CC[C@](C)(NC(=O)C#CC3CC3)C2)c(C)c(C)[nH+]1 ZINC001110753798 748982134 /nfs/dbraw/zinc/98/21/34/748982134.db2.gz QTXYBZHCMTVXGR-SFHVURJKSA-N 1 2 312.417 1.900 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)Cn2nc(C)cc2C)C1 ZINC001033243147 749045669 /nfs/dbraw/zinc/04/56/69/749045669.db2.gz PYKUZFGOEFVUKY-CQSZACIVSA-N 1 2 310.829 1.785 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)Cn2nc(C)cc2C)C1 ZINC001033243147 749045672 /nfs/dbraw/zinc/04/56/72/749045672.db2.gz PYKUZFGOEFVUKY-CQSZACIVSA-N 1 2 310.829 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ncc(OC)cn2)C1 ZINC001033255116 749065229 /nfs/dbraw/zinc/06/52/29/749065229.db2.gz SYWNSSYLOIYGQV-NSHDSACASA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ncc(OC)cn2)C1 ZINC001033255116 749065235 /nfs/dbraw/zinc/06/52/35/749065235.db2.gz SYWNSSYLOIYGQV-NSHDSACASA-N 1 2 310.785 1.384 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2cnc(Cl)n2C)C1 ZINC001033263246 749090104 /nfs/dbraw/zinc/09/01/04/749090104.db2.gz PWHFMBKPNAHEBU-JTQLQIEISA-N 1 2 317.220 1.972 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnc(Cl)n2C)C1 ZINC001033263246 749090110 /nfs/dbraw/zinc/09/01/10/749090110.db2.gz PWHFMBKPNAHEBU-JTQLQIEISA-N 1 2 317.220 1.972 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CC3CCCC3)nn2)C1 ZINC001107119747 749152550 /nfs/dbraw/zinc/15/25/50/749152550.db2.gz FCFHOIYXXQXTQE-UHFFFAOYSA-N 1 2 303.410 1.517 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001033365212 749253483 /nfs/dbraw/zinc/25/34/83/749253483.db2.gz DAOJFYLWDLHIAJ-VXGBXAGGSA-N 1 2 323.828 1.510 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001033365212 749253486 /nfs/dbraw/zinc/25/34/86/749253486.db2.gz DAOJFYLWDLHIAJ-VXGBXAGGSA-N 1 2 323.828 1.510 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CCCC#CC)nn2)C1 ZINC001107197943 749560078 /nfs/dbraw/zinc/56/00/78/749560078.db2.gz NLRYDHATYQTUPT-UHFFFAOYSA-N 1 2 315.421 1.521 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C[C@H](C)C3CC3)nn2)C1 ZINC001107241224 749683564 /nfs/dbraw/zinc/68/35/64/749683564.db2.gz PCGMLHJMOSYIJT-ZDUSSCGKSA-N 1 2 315.421 1.211 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(OC)cc2)C1 ZINC001108359766 761983160 /nfs/dbraw/zinc/98/31/60/761983160.db2.gz HUNJZGMWTYFNBC-QGZVFWFLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(OC)cc2)C1 ZINC001108359766 761983163 /nfs/dbraw/zinc/98/31/63/761983163.db2.gz HUNJZGMWTYFNBC-QGZVFWFLSA-N 1 2 304.390 1.702 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]12CCC[C@H]1[N@H+](Cc1nncs1)CC2 ZINC001107335912 749826273 /nfs/dbraw/zinc/82/62/73/749826273.db2.gz FNZYBYTWZIDUCY-LALPHHSUSA-N 1 2 319.434 1.559 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@]12CCC[C@H]1[N@@H+](Cc1nncs1)CC2 ZINC001107335912 749826277 /nfs/dbraw/zinc/82/62/77/749826277.db2.gz FNZYBYTWZIDUCY-LALPHHSUSA-N 1 2 319.434 1.559 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001033865414 749992845 /nfs/dbraw/zinc/99/28/45/749992845.db2.gz AGVLDIPKFGMYRY-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001033865414 749992849 /nfs/dbraw/zinc/99/28/49/749992849.db2.gz AGVLDIPKFGMYRY-QWHCGFSZSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc[nH]c1=O)C2 ZINC001095593378 750062279 /nfs/dbraw/zinc/06/22/79/750062279.db2.gz SEHVLLXUCQKJQA-USWWRNFRSA-N 1 2 308.769 1.270 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc[nH]c1=O)C2 ZINC001095593378 750062284 /nfs/dbraw/zinc/06/22/84/750062284.db2.gz SEHVLLXUCQKJQA-USWWRNFRSA-N 1 2 308.769 1.270 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NC/C=C/CNc1ncccc1C#N ZINC001107549907 750113892 /nfs/dbraw/zinc/11/38/92/750113892.db2.gz SXUQMQKSAQLGEB-IBUXWKBASA-N 1 2 324.388 1.639 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NC/C=C/CNc1ncccc1C#N ZINC001107549907 750113897 /nfs/dbraw/zinc/11/38/97/750113897.db2.gz SXUQMQKSAQLGEB-IBUXWKBASA-N 1 2 324.388 1.639 20 30 DDEDLO Cc1nc(NC/C=C/CNC(=O)CCc2c[nH]c[nH+]2)ccc1C#N ZINC001107566239 750152179 /nfs/dbraw/zinc/15/21/79/750152179.db2.gz NKBAPEOJTAZNMD-NSCUHMNNSA-N 1 2 324.388 1.702 20 30 DDEDLO Cc1nc(NC/C=C/CNC(=O)CCc2c[nH+]c[nH]2)ccc1C#N ZINC001107566239 750152185 /nfs/dbraw/zinc/15/21/85/750152185.db2.gz NKBAPEOJTAZNMD-NSCUHMNNSA-N 1 2 324.388 1.702 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cscc2C)[C@@H](O)C1 ZINC001090176637 750244544 /nfs/dbraw/zinc/24/45/44/750244544.db2.gz BUCOXZGOKAJKLP-OLZOCXBDSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cscc2C)[C@@H](O)C1 ZINC001090176637 750244546 /nfs/dbraw/zinc/24/45/46/750244546.db2.gz BUCOXZGOKAJKLP-OLZOCXBDSA-N 1 2 314.838 1.974 20 30 DDEDLO CN(c1ccncc1C#N)[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001056906864 762032174 /nfs/dbraw/zinc/03/21/74/762032174.db2.gz RNLVLRSDYBUGDT-CQSZACIVSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]nnc2c1 ZINC001039469261 762059015 /nfs/dbraw/zinc/05/90/15/762059015.db2.gz FAKMBBZGGUPEDW-CABCVRRESA-N 1 2 323.400 1.660 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]nnc2c1 ZINC001039469261 762059023 /nfs/dbraw/zinc/05/90/23/762059023.db2.gz FAKMBBZGGUPEDW-CABCVRRESA-N 1 2 323.400 1.660 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(-c3ccoc3)s2)C1 ZINC001077795661 750693471 /nfs/dbraw/zinc/69/34/71/750693471.db2.gz RBCYKHWRBFMTBU-VXGBXAGGSA-N 1 2 319.386 1.364 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(-c3ccoc3)s2)C1 ZINC001077795661 750693476 /nfs/dbraw/zinc/69/34/76/750693476.db2.gz RBCYKHWRBFMTBU-VXGBXAGGSA-N 1 2 319.386 1.364 20 30 DDEDLO Cc1nc(N2C[C@@H]3[C@@H](CNC(=O)[C@@H](C)C#N)[C@@H]3C2)c(C)c(C)[nH+]1 ZINC001114525413 750698845 /nfs/dbraw/zinc/69/88/45/750698845.db2.gz LDMDRQRPZUDHAS-WHLNNUNGSA-N 1 2 313.405 1.360 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001213319898 750708454 /nfs/dbraw/zinc/70/84/54/750708454.db2.gz GLDQQEYYFZJQRF-DAXOMENPSA-N 1 2 321.421 1.901 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001213319898 750708457 /nfs/dbraw/zinc/70/84/57/750708457.db2.gz GLDQQEYYFZJQRF-DAXOMENPSA-N 1 2 321.421 1.901 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(CCOCC)CCC2)C1 ZINC001107947721 750797893 /nfs/dbraw/zinc/79/78/93/750797893.db2.gz OXOYSNCIENMULO-QGZVFWFLSA-N 1 2 324.465 1.976 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(CCOCC)CCC2)C1 ZINC001107947721 750797899 /nfs/dbraw/zinc/79/78/99/750797899.db2.gz OXOYSNCIENMULO-QGZVFWFLSA-N 1 2 324.465 1.976 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1[C@@H](C)CC ZINC001032428115 750806199 /nfs/dbraw/zinc/80/61/99/750806199.db2.gz JLDILLPXQNJZBO-KKUMJFAQSA-N 1 2 300.406 1.776 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1[C@@H](C)CC ZINC001032428115 750806207 /nfs/dbraw/zinc/80/62/07/750806207.db2.gz JLDILLPXQNJZBO-KKUMJFAQSA-N 1 2 300.406 1.776 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)[C@H](C)CC)CC2 ZINC001127944828 750809421 /nfs/dbraw/zinc/80/94/21/750809421.db2.gz XNJTXIDKVJIEOM-KGLIPLIRSA-N 1 2 317.437 1.258 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2ccccc2n1 ZINC001032446570 750850319 /nfs/dbraw/zinc/85/03/19/750850319.db2.gz ZDWRSDAFSFTKFL-KBPBESRZSA-N 1 2 306.369 1.552 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2ccccc2n1 ZINC001032446570 750850328 /nfs/dbraw/zinc/85/03/28/750850328.db2.gz ZDWRSDAFSFTKFL-KBPBESRZSA-N 1 2 306.369 1.552 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001107954381 750866625 /nfs/dbraw/zinc/86/66/25/750866625.db2.gz PYIBDBQPLJOSRE-LZLYRXPVSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001107954381 750866631 /nfs/dbraw/zinc/86/66/31/750866631.db2.gz PYIBDBQPLJOSRE-LZLYRXPVSA-N 1 2 315.417 1.578 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114680511 750983171 /nfs/dbraw/zinc/98/31/71/750983171.db2.gz IUZDAALFYOPARC-FOLVSLTJSA-N 1 2 314.433 1.934 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C)cn3)C[C@H]21 ZINC001114680511 750983173 /nfs/dbraw/zinc/98/31/73/750983173.db2.gz IUZDAALFYOPARC-FOLVSLTJSA-N 1 2 314.433 1.934 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)CC2CC(C)(C)C2)[C@H](OC)C1 ZINC001213323932 750984097 /nfs/dbraw/zinc/98/40/97/750984097.db2.gz MDEVONSFCUZYEM-HZPDHXFCSA-N 1 2 322.449 1.278 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)CC2CC(C)(C)C2)[C@H](OC)C1 ZINC001213323932 750984100 /nfs/dbraw/zinc/98/41/00/750984100.db2.gz MDEVONSFCUZYEM-HZPDHXFCSA-N 1 2 322.449 1.278 20 30 DDEDLO CC[C@@H](F)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001114696252 750998116 /nfs/dbraw/zinc/99/81/16/750998116.db2.gz SWWVALBCSDAWAW-QXSJWSMHSA-N 1 2 319.355 1.992 20 30 DDEDLO CC[C@@H](F)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(F)ccc3C#N)C[C@H]21 ZINC001114696252 750998122 /nfs/dbraw/zinc/99/81/22/750998122.db2.gz SWWVALBCSDAWAW-QXSJWSMHSA-N 1 2 319.355 1.992 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114711666 751012085 /nfs/dbraw/zinc/01/20/85/751012085.db2.gz YVKBYXHQHFHKGH-HWWDLCQESA-N 1 2 312.417 1.525 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccc(C)n3)C[C@H]21 ZINC001114711666 751012093 /nfs/dbraw/zinc/01/20/93/751012093.db2.gz YVKBYXHQHFHKGH-HWWDLCQESA-N 1 2 312.417 1.525 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)/C=C(/C)CC)CC2 ZINC001127984826 751109366 /nfs/dbraw/zinc/10/93/66/751109366.db2.gz RZFGABTVGKSFQQ-FZDNWWAKSA-N 1 2 315.421 1.178 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(=O)c2ccccc12 ZINC001032555533 751294027 /nfs/dbraw/zinc/29/40/27/751294027.db2.gz RPPGZCLWGUFHSQ-STQMWFEESA-N 1 2 308.337 1.325 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc(=O)c2ccccc12 ZINC001032555533 751294028 /nfs/dbraw/zinc/29/40/28/751294028.db2.gz RPPGZCLWGUFHSQ-STQMWFEESA-N 1 2 308.337 1.325 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001007896479 752422078 /nfs/dbraw/zinc/42/20/78/752422078.db2.gz OKOJYRXWTSRGPD-JTQLQIEISA-N 1 2 320.784 1.300 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001007896479 752422083 /nfs/dbraw/zinc/42/20/83/752422083.db2.gz OKOJYRXWTSRGPD-JTQLQIEISA-N 1 2 320.784 1.300 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccncn2)c1 ZINC001032689206 752706318 /nfs/dbraw/zinc/70/63/18/752706318.db2.gz RXXDZPUETQCQKG-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2ccncn2)c1 ZINC001032689206 752706321 /nfs/dbraw/zinc/70/63/21/752706321.db2.gz RXXDZPUETQCQKG-HOTGVXAUSA-N 1 2 319.368 1.447 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(CNC(C)=O)o1 ZINC001032685511 752721303 /nfs/dbraw/zinc/72/13/03/752721303.db2.gz HQCODOQMPGNYGT-STQMWFEESA-N 1 2 303.362 1.000 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(CNC(C)=O)o1 ZINC001032685511 752721305 /nfs/dbraw/zinc/72/13/05/752721305.db2.gz HQCODOQMPGNYGT-STQMWFEESA-N 1 2 303.362 1.000 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1cccnc1 ZINC001032686563 752725303 /nfs/dbraw/zinc/72/53/03/752725303.db2.gz YYDLCASXZCQQAW-KBPBESRZSA-N 1 2 307.357 1.004 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1-c1cccnc1 ZINC001032686563 752725310 /nfs/dbraw/zinc/72/53/10/752725310.db2.gz YYDLCASXZCQQAW-KBPBESRZSA-N 1 2 307.357 1.004 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)CCc3c[nH]c[nH+]3)C2)C1 ZINC000999290112 752792200 /nfs/dbraw/zinc/79/22/00/752792200.db2.gz HSLQXJORPQYSJB-UHFFFAOYSA-N 1 2 302.378 1.026 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)CCc3c[nH+]c[nH]3)C2)C1 ZINC000999290112 752792205 /nfs/dbraw/zinc/79/22/05/752792205.db2.gz HSLQXJORPQYSJB-UHFFFAOYSA-N 1 2 302.378 1.026 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)n1cnc2ccccc21 ZINC001032712585 752828991 /nfs/dbraw/zinc/82/89/91/752828991.db2.gz CMWDZPWOPVZXMA-JYJNAYRXSA-N 1 2 322.412 1.906 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)n1cnc2ccccc21 ZINC001032712585 752828998 /nfs/dbraw/zinc/82/89/98/752828998.db2.gz CMWDZPWOPVZXMA-JYJNAYRXSA-N 1 2 322.412 1.906 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001008904448 752972386 /nfs/dbraw/zinc/97/23/86/752972386.db2.gz FBWWBYXEVBZNGF-LBPRGKRZSA-N 1 2 324.812 1.210 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001008904448 752972392 /nfs/dbraw/zinc/97/23/92/752972392.db2.gz FBWWBYXEVBZNGF-LBPRGKRZSA-N 1 2 324.812 1.210 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(N(C)C)ccn2)C1 ZINC001108437989 762184483 /nfs/dbraw/zinc/18/44/83/762184483.db2.gz RXXPYTAYIZISLQ-QGZVFWFLSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(N(C)C)ccn2)C1 ZINC001108437989 762184487 /nfs/dbraw/zinc/18/44/87/762184487.db2.gz RXXPYTAYIZISLQ-QGZVFWFLSA-N 1 2 318.421 1.154 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@]2(c3ccccc3)CC2(C)C)C1 ZINC001077883709 753334880 /nfs/dbraw/zinc/33/48/80/753334880.db2.gz LMARCSWXSLCHHA-MDZRGWNJSA-N 1 2 312.413 1.149 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@]2(c3ccccc3)CC2(C)C)C1 ZINC001077883709 753334886 /nfs/dbraw/zinc/33/48/86/753334886.db2.gz LMARCSWXSLCHHA-MDZRGWNJSA-N 1 2 312.413 1.149 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ncccc1C#N ZINC001039767960 762209111 /nfs/dbraw/zinc/20/91/11/762209111.db2.gz TZKIFCOSMMKLPG-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2cccnc2)nc1 ZINC001032738392 753410178 /nfs/dbraw/zinc/41/01/78/753410178.db2.gz ZWRKJVKJBVERAO-IRXDYDNUSA-N 1 2 318.380 1.557 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2cccnc2)nc1 ZINC001032738392 753410182 /nfs/dbraw/zinc/41/01/82/753410182.db2.gz ZWRKJVKJBVERAO-IRXDYDNUSA-N 1 2 318.380 1.557 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1c[nH+]cn1C ZINC001032759431 753525465 /nfs/dbraw/zinc/52/54/65/753525465.db2.gz MXYUDKASFUDRCL-KBPBESRZSA-N 1 2 308.813 1.390 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccccc1OCC ZINC001032764301 753557017 /nfs/dbraw/zinc/55/70/17/753557017.db2.gz NGLAKJSVZONYIR-GJZGRUSLSA-N 1 2 314.385 1.382 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccccc1OCC ZINC001032764301 753557024 /nfs/dbraw/zinc/55/70/24/753557024.db2.gz NGLAKJSVZONYIR-GJZGRUSLSA-N 1 2 314.385 1.382 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1C(C)C ZINC001032780826 753626147 /nfs/dbraw/zinc/62/61/47/753626147.db2.gz YRIABQHEZUWVGU-KBPBESRZSA-N 1 2 300.406 1.466 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nc1C(C)C ZINC001032780826 753626153 /nfs/dbraw/zinc/62/61/53/753626153.db2.gz YRIABQHEZUWVGU-KBPBESRZSA-N 1 2 300.406 1.466 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCOC2)C1 ZINC001010363164 753810795 /nfs/dbraw/zinc/81/07/95/753810795.db2.gz XOSYUTYHOJYFJE-DLBZAZTESA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCOC2)C1 ZINC001010363164 753810797 /nfs/dbraw/zinc/81/07/97/753810797.db2.gz XOSYUTYHOJYFJE-DLBZAZTESA-N 1 2 313.401 1.675 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1cocn1 ZINC001010376156 753832570 /nfs/dbraw/zinc/83/25/70/753832570.db2.gz OVGMQKYSFYGFGO-OAHLLOKOSA-N 1 2 313.332 1.670 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1cocn1 ZINC001010376156 753832577 /nfs/dbraw/zinc/83/25/77/753832577.db2.gz OVGMQKYSFYGFGO-OAHLLOKOSA-N 1 2 313.332 1.670 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2c(F)c(F)c(C#N)c(F)c2F)C[NH2+]1 ZINC001169132789 762249126 /nfs/dbraw/zinc/24/91/26/762249126.db2.gz YLEZALGVMDEBHZ-VDTYLAMSSA-N 1 2 317.242 1.430 20 30 DDEDLO Cc1cc(CC(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)n[nH]1 ZINC001010515729 753985506 /nfs/dbraw/zinc/98/55/06/753985506.db2.gz FRJWAENNBPCRKO-QGZVFWFLSA-N 1 2 322.412 1.503 20 30 DDEDLO Cc1cc(CC(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)n[nH]1 ZINC001010515729 753985509 /nfs/dbraw/zinc/98/55/09/753985509.db2.gz FRJWAENNBPCRKO-QGZVFWFLSA-N 1 2 322.412 1.503 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)OCCc2ccccc21 ZINC001032814288 754303716 /nfs/dbraw/zinc/30/37/16/754303716.db2.gz GSZNSRGGSKQSPE-TXPKVOOTSA-N 1 2 310.397 1.393 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)OCCc2ccccc21 ZINC001032814288 754303720 /nfs/dbraw/zinc/30/37/20/754303720.db2.gz GSZNSRGGSKQSPE-TXPKVOOTSA-N 1 2 310.397 1.393 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1(NC(=O)CCn2cc[nH+]c2)CCC1 ZINC001063923349 754409077 /nfs/dbraw/zinc/40/90/77/754409077.db2.gz HZMQBCCUNLSHFR-UHFFFAOYSA-N 1 2 318.421 1.641 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCC[C@@H]2NC(=O)Cc2[nH]cc[nH+]2)cn1 ZINC001064112849 754521236 /nfs/dbraw/zinc/52/12/36/754521236.db2.gz KTOYDYPHCRYORC-DOMZBBRYSA-N 1 2 324.388 1.616 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC(C)C)cn1 ZINC001032822717 754544217 /nfs/dbraw/zinc/54/42/17/754544217.db2.gz WJQNUDIHDDSFEK-GJZGRUSLSA-N 1 2 313.401 1.791 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC(C)C)cn1 ZINC001032822717 754544219 /nfs/dbraw/zinc/54/42/19/754544219.db2.gz WJQNUDIHDDSFEK-GJZGRUSLSA-N 1 2 313.401 1.791 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cc(C)nnc2C)[C@@H](O)C1 ZINC001090291850 754772731 /nfs/dbraw/zinc/77/27/31/754772731.db2.gz KFMFISNFRVROCX-KGLIPLIRSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cc(C)nnc2C)[C@@H](O)C1 ZINC001090291850 754772732 /nfs/dbraw/zinc/77/27/32/754772732.db2.gz KFMFISNFRVROCX-KGLIPLIRSA-N 1 2 324.812 1.011 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)C2C=CC=CC=C2)[C@@H](O)C1 ZINC001090300799 754786930 /nfs/dbraw/zinc/78/69/30/754786930.db2.gz MMZFGTTUTGBFTI-CABCVRRESA-N 1 2 308.809 1.589 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)C2C=CC=CC=C2)[C@@H](O)C1 ZINC001090300799 754786935 /nfs/dbraw/zinc/78/69/35/754786935.db2.gz MMZFGTTUTGBFTI-CABCVRRESA-N 1 2 308.809 1.589 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCCN1C(=O)CCn1cc[nH+]c1 ZINC001064983631 754991036 /nfs/dbraw/zinc/99/10/36/754991036.db2.gz DSKZLJWBSCHZBQ-HNNXBMFYSA-N 1 2 324.388 1.643 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080032143 755691385 /nfs/dbraw/zinc/69/13/85/755691385.db2.gz PLSVADYUIOIDOU-UHFFFAOYSA-N 1 2 318.421 1.545 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1ncc[nH]1 ZINC001014381100 755708469 /nfs/dbraw/zinc/70/84/69/755708469.db2.gz NAZPERYADUMYJW-OAHLLOKOSA-N 1 2 312.348 1.405 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1ncc[nH]1 ZINC001014381100 755708475 /nfs/dbraw/zinc/70/84/75/755708475.db2.gz NAZPERYADUMYJW-OAHLLOKOSA-N 1 2 312.348 1.405 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001080090413 755718754 /nfs/dbraw/zinc/71/87/54/755718754.db2.gz HWSMCWOQYASMJK-PSASIEDQSA-N 1 2 311.769 1.181 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001080090413 755718756 /nfs/dbraw/zinc/71/87/56/755718756.db2.gz HWSMCWOQYASMJK-PSASIEDQSA-N 1 2 311.769 1.181 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001080212093 755767116 /nfs/dbraw/zinc/76/71/16/755767116.db2.gz STZOYGKXSUOLJY-UHFFFAOYSA-N 1 2 318.421 1.545 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(C)nc2OC)C1 ZINC001080254098 755800178 /nfs/dbraw/zinc/80/01/78/755800178.db2.gz GIMOHOFLBZAZNN-BXKDBHETSA-N 1 2 312.801 1.231 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(C)nc2OC)C1 ZINC001080254098 755800185 /nfs/dbraw/zinc/80/01/85/755800185.db2.gz GIMOHOFLBZAZNN-BXKDBHETSA-N 1 2 312.801 1.231 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001080301733 755813217 /nfs/dbraw/zinc/81/32/17/755813217.db2.gz DEPORKHUJBWEQM-LDYMZIIASA-N 1 2 310.785 1.293 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001080301733 755813223 /nfs/dbraw/zinc/81/32/23/755813223.db2.gz DEPORKHUJBWEQM-LDYMZIIASA-N 1 2 310.785 1.293 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001080771624 756092603 /nfs/dbraw/zinc/09/26/03/756092603.db2.gz RGUHENYCYFFIBB-IFUGULHKSA-N 1 2 322.840 1.704 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001080771624 756092607 /nfs/dbraw/zinc/09/26/07/756092607.db2.gz RGUHENYCYFFIBB-IFUGULHKSA-N 1 2 322.840 1.704 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2c(F)cccc2F)C[C@H]1O ZINC001099727887 756453153 /nfs/dbraw/zinc/45/31/53/756453153.db2.gz SUUGSJLKZZNTTN-HZPDHXFCSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2c(F)cccc2F)C[C@H]1O ZINC001099727887 756453159 /nfs/dbraw/zinc/45/31/59/756453159.db2.gz SUUGSJLKZZNTTN-HZPDHXFCSA-N 1 2 324.371 1.982 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccn(C3CCCC3)n2)[C@H](OC)C1 ZINC001081751114 756464002 /nfs/dbraw/zinc/46/40/02/756464002.db2.gz SDASKCSOKRNWHW-HZPDHXFCSA-N 1 2 316.405 1.060 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccn(C3CCCC3)n2)[C@H](OC)C1 ZINC001081751114 756464004 /nfs/dbraw/zinc/46/40/04/756464004.db2.gz SDASKCSOKRNWHW-HZPDHXFCSA-N 1 2 316.405 1.060 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cn(C)nc2Cl)C1 ZINC001015700486 756475224 /nfs/dbraw/zinc/47/52/24/756475224.db2.gz IJZWGPLKEOYWJV-NSHDSACASA-N 1 2 317.220 1.559 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cn(C)nc2Cl)C1 ZINC001015700486 756475227 /nfs/dbraw/zinc/47/52/27/756475227.db2.gz IJZWGPLKEOYWJV-NSHDSACASA-N 1 2 317.220 1.559 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2([C@H]3CCCCO3)CCC2)[C@H](OC)C1 ZINC001081937280 756552564 /nfs/dbraw/zinc/55/25/64/756552564.db2.gz KIHRMBPJBFFJTQ-BZUAXINKSA-N 1 2 320.433 1.174 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2([C@H]3CCCCO3)CCC2)[C@H](OC)C1 ZINC001081937280 756552568 /nfs/dbraw/zinc/55/25/68/756552568.db2.gz KIHRMBPJBFFJTQ-BZUAXINKSA-N 1 2 320.433 1.174 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067122960 756585753 /nfs/dbraw/zinc/58/57/53/756585753.db2.gz MYYHTVUCUBEUIX-WBMJQRKESA-N 1 2 324.388 1.188 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2ccccc2)[C@H](OC)C1 ZINC001082360561 756753041 /nfs/dbraw/zinc/75/30/41/756753041.db2.gz HMZOCAXDXBHKOS-HZPDHXFCSA-N 1 2 300.402 1.413 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2ccccc2)[C@H](OC)C1 ZINC001082360561 756753043 /nfs/dbraw/zinc/75/30/43/756753043.db2.gz HMZOCAXDXBHKOS-HZPDHXFCSA-N 1 2 300.402 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2ccc(=O)n(CCC)n2)C1 ZINC001016225298 756843225 /nfs/dbraw/zinc/84/32/25/756843225.db2.gz DZMFVCNDEHWWKL-LBPRGKRZSA-N 1 2 324.812 1.210 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccc(=O)n(CCC)n2)C1 ZINC001016225298 756843231 /nfs/dbraw/zinc/84/32/31/756843231.db2.gz DZMFVCNDEHWWKL-LBPRGKRZSA-N 1 2 324.812 1.210 20 30 DDEDLO Cc1nccn1CC(=O)N[C@@H]1CC[N@H+](Cc2cccc(C#N)c2)C1 ZINC001016260096 756883826 /nfs/dbraw/zinc/88/38/26/756883826.db2.gz MBADFDHBRHMQNV-QGZVFWFLSA-N 1 2 323.400 1.454 20 30 DDEDLO Cc1nccn1CC(=O)N[C@@H]1CC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC001016260096 756883830 /nfs/dbraw/zinc/88/38/30/756883830.db2.gz MBADFDHBRHMQNV-QGZVFWFLSA-N 1 2 323.400 1.454 20 30 DDEDLO C[C@@H](CC(=O)N[C@H]1C[C@@H](Nc2ccc(C#N)cn2)C1)n1cc[nH+]c1 ZINC001097254526 757020586 /nfs/dbraw/zinc/02/05/86/757020586.db2.gz LISIMOKBEHBYSI-AEGPPILISA-N 1 2 324.388 1.860 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3csnc3C)[C@H]2C1 ZINC001083056483 757106917 /nfs/dbraw/zinc/10/69/17/757106917.db2.gz PSAIQIUPBFUTNS-UONOGXRCSA-N 1 2 305.403 1.000 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3csnc3C)[C@H]2C1 ZINC001083056483 757106921 /nfs/dbraw/zinc/10/69/21/757106921.db2.gz PSAIQIUPBFUTNS-UONOGXRCSA-N 1 2 305.403 1.000 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)c(C)cn3)[C@H]2C1 ZINC001083150046 757158112 /nfs/dbraw/zinc/15/81/12/757158112.db2.gz AVCNXKSPVIWKFM-DLBZAZTESA-N 1 2 313.401 1.247 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)c(C)cn3)[C@H]2C1 ZINC001083150046 757158114 /nfs/dbraw/zinc/15/81/14/757158114.db2.gz AVCNXKSPVIWKFM-DLBZAZTESA-N 1 2 313.401 1.247 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3occ4c3CCOC4)[C@H]2C1 ZINC001083153594 757162119 /nfs/dbraw/zinc/16/21/19/757162119.db2.gz IBPJHELKVFKWRZ-LSDHHAIUSA-N 1 2 318.373 1.064 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3occ4c3CCOC4)[C@H]2C1 ZINC001083153594 757162123 /nfs/dbraw/zinc/16/21/23/757162123.db2.gz IBPJHELKVFKWRZ-LSDHHAIUSA-N 1 2 318.373 1.064 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097517187 757224060 /nfs/dbraw/zinc/22/40/60/757224060.db2.gz YDLGHRBKVWUQSU-ZFWWWQNUSA-N 1 2 318.421 1.709 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2ccccc2Cl)[C@@H](O)C1 ZINC001083971757 757224808 /nfs/dbraw/zinc/22/48/08/757224808.db2.gz YHYWUHRPCCNOCG-CABCVRRESA-N 1 2 320.820 1.412 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2ccccc2Cl)[C@@H](O)C1 ZINC001083971757 757224815 /nfs/dbraw/zinc/22/48/15/757224815.db2.gz YHYWUHRPCCNOCG-CABCVRRESA-N 1 2 320.820 1.412 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@@H]3O[C@H]1[C@H]1C[C@H]13)C2 ZINC001097562669 757247941 /nfs/dbraw/zinc/24/79/41/757247941.db2.gz VDEMWSFZSJFEKW-UTDAFUOISA-N 1 2 322.836 1.884 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@@H]3O[C@H]1[C@H]1C[C@H]13)C2 ZINC001097562669 757247944 /nfs/dbraw/zinc/24/79/44/757247944.db2.gz VDEMWSFZSJFEKW-UTDAFUOISA-N 1 2 322.836 1.884 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)(C)C)s2)[C@@H](O)C1 ZINC001084080950 757255779 /nfs/dbraw/zinc/25/57/79/757255779.db2.gz BLDYCWHHLHTRIH-OLZOCXBDSA-N 1 2 320.458 1.844 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)(C)C)s2)[C@@H](O)C1 ZINC001084080950 757255786 /nfs/dbraw/zinc/25/57/86/757255786.db2.gz BLDYCWHHLHTRIH-OLZOCXBDSA-N 1 2 320.458 1.844 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc3c(s2)CC[C@H](C)C3)[C@@H](O)C1 ZINC001084046043 757259808 /nfs/dbraw/zinc/25/98/08/757259808.db2.gz PDRKUCMOWRBOOJ-YUTCNCBUSA-N 1 2 318.442 1.281 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc3c(s2)CC[C@H](C)C3)[C@@H](O)C1 ZINC001084046043 757259813 /nfs/dbraw/zinc/25/98/13/757259813.db2.gz PDRKUCMOWRBOOJ-YUTCNCBUSA-N 1 2 318.442 1.281 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3cc(C)ccc3o2)[C@@H](O)C1 ZINC001084089305 757278211 /nfs/dbraw/zinc/27/82/11/757278211.db2.gz BQMFUHKVCVQSHS-CABCVRRESA-N 1 2 312.369 1.539 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cc(C)ccc3o2)[C@@H](O)C1 ZINC001084089305 757278216 /nfs/dbraw/zinc/27/82/16/757278216.db2.gz BQMFUHKVCVQSHS-CABCVRRESA-N 1 2 312.369 1.539 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(F)(F)F)s2)[C@@H](O)C1 ZINC001084110964 757290040 /nfs/dbraw/zinc/29/00/40/757290040.db2.gz MKOVNMNWQRFERB-BDAKNGLRSA-N 1 2 320.336 1.728 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(F)(F)F)s2)[C@@H](O)C1 ZINC001084110964 757290051 /nfs/dbraw/zinc/29/00/51/757290051.db2.gz MKOVNMNWQRFERB-BDAKNGLRSA-N 1 2 320.336 1.728 20 30 DDEDLO C#CCOCCC(=O)NC1CCC(Nc2cc[nH+]c(C)n2)CC1 ZINC001097631687 757295818 /nfs/dbraw/zinc/29/58/18/757295818.db2.gz LNXNHNFCZWRESZ-UHFFFAOYSA-N 1 2 316.405 1.664 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]3CN(C(=O)C(F)F)[C@@H]3C2)s1 ZINC001084141493 757312634 /nfs/dbraw/zinc/31/26/34/757312634.db2.gz LXBQTGWSXBDESG-BXKDBHETSA-N 1 2 311.357 1.918 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]3CN(C(=O)C(F)F)[C@@H]3C2)s1 ZINC001084141493 757312640 /nfs/dbraw/zinc/31/26/40/757312640.db2.gz LXBQTGWSXBDESG-BXKDBHETSA-N 1 2 311.357 1.918 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H](C)Nc1ncnc2c1C[N@H+](C)CC2 ZINC001097822338 757589989 /nfs/dbraw/zinc/58/99/89/757589989.db2.gz FCBSIQCPTXCNSA-ZDUSSCGKSA-N 1 2 315.421 1.041 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H](C)Nc1ncnc2c1C[N@@H+](C)CC2 ZINC001097822338 757589994 /nfs/dbraw/zinc/58/99/94/757589994.db2.gz FCBSIQCPTXCNSA-ZDUSSCGKSA-N 1 2 315.421 1.041 20 30 DDEDLO COC(=O)c1cc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)[nH]c1C ZINC001119516158 757771671 /nfs/dbraw/zinc/77/16/71/757771671.db2.gz PSLLTBYUBDIEKY-TXEJJXNPSA-N 1 2 304.394 1.528 20 30 DDEDLO COC(=O)c1cc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)[nH]c1C ZINC001119516158 757771676 /nfs/dbraw/zinc/77/16/76/757771676.db2.gz PSLLTBYUBDIEKY-TXEJJXNPSA-N 1 2 304.394 1.528 20 30 DDEDLO N#CCN1CC[C@@H]2CN(C(=O)c3cccc(-n4cc[nH+]c4)c3)[C@@H]2C1 ZINC001084753908 757869477 /nfs/dbraw/zinc/86/94/77/757869477.db2.gz MFUOAKZCZUJADB-NVXWUHKLSA-N 1 2 321.384 1.542 20 30 DDEDLO Cc1ccnc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)n1 ZINC001084906411 758043563 /nfs/dbraw/zinc/04/35/63/758043563.db2.gz QDHREVAWVHZSRK-YUELXQCFSA-N 1 2 313.405 1.368 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)n1 ZINC001084906411 758043577 /nfs/dbraw/zinc/04/35/77/758043577.db2.gz QDHREVAWVHZSRK-YUELXQCFSA-N 1 2 313.405 1.368 20 30 DDEDLO N#CCN1CCC[C@H]([C@@H]2CCCCN2C(=O)Cn2cc[nH+]c2)C1 ZINC001053112894 758164849 /nfs/dbraw/zinc/16/48/49/758164849.db2.gz YHFODYPYNFWABN-HOTGVXAUSA-N 1 2 315.421 1.500 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1scnc1C)CCO2 ZINC001053173026 758229636 /nfs/dbraw/zinc/22/96/36/758229636.db2.gz GITGJQLFIIMEBN-UHFFFAOYSA-N 1 2 307.419 1.554 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CCC)[nH]n1 ZINC001017784704 758237727 /nfs/dbraw/zinc/23/77/27/758237727.db2.gz AJBMLQKGFSCQKH-GASCZTMLSA-N 1 2 300.406 1.674 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CCC)[nH]n1 ZINC001017784704 758237737 /nfs/dbraw/zinc/23/77/37/758237737.db2.gz AJBMLQKGFSCQKH-GASCZTMLSA-N 1 2 300.406 1.674 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)CNc1cc(C)[nH+]c(C(C)C)n1 ZINC001108530283 762618461 /nfs/dbraw/zinc/61/84/61/762618461.db2.gz FUQJNCKFLXBZQE-UONOGXRCSA-N 1 2 318.421 1.863 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](C)[C@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001053305397 758360286 /nfs/dbraw/zinc/36/02/86/758360286.db2.gz DUGIPFMQMWGRQA-LSDHHAIUSA-N 1 2 318.421 1.450 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H]1CCC[C@@H]3C[C@@H]31)CCO2 ZINC001053318448 758369413 /nfs/dbraw/zinc/36/94/13/758369413.db2.gz ISCBVUPWFLIYNV-OAGGEKHMSA-N 1 2 304.434 1.912 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1csc2cncn21 ZINC001018017216 758479731 /nfs/dbraw/zinc/47/97/31/758479731.db2.gz UQILJKZFIJDCOD-BETUJISGSA-N 1 2 314.414 1.708 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1csc2cncn21 ZINC001018017216 758479738 /nfs/dbraw/zinc/47/97/38/758479738.db2.gz UQILJKZFIJDCOD-BETUJISGSA-N 1 2 314.414 1.708 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1sccc1OC)CCO2 ZINC001053512666 758518661 /nfs/dbraw/zinc/51/86/61/758518661.db2.gz VAYKFMXJLVYCLX-UHFFFAOYSA-N 1 2 322.430 1.860 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc[nH]c1C)O2 ZINC001053589193 758605165 /nfs/dbraw/zinc/60/51/65/758605165.db2.gz BLFLBSQKOUPGEY-AWEZNQCLSA-N 1 2 303.406 1.862 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(OC)no1)O2 ZINC001053593211 758611192 /nfs/dbraw/zinc/61/11/92/758611192.db2.gz DXJOAEUPVPDSAZ-GFCCVEGCSA-N 1 2 321.377 1.223 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCCOCC1)O2 ZINC001053595871 758616773 /nfs/dbraw/zinc/61/67/73/758616773.db2.gz GCYYAEDSPNEPEV-CABCVRRESA-N 1 2 308.422 1.339 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)nc1C1CC1 ZINC001018226178 758648808 /nfs/dbraw/zinc/64/88/08/758648808.db2.gz APEBWWZVDLZLTQ-IYBDPMFKSA-N 1 2 324.428 1.975 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)nc1C1CC1 ZINC001018226178 758648813 /nfs/dbraw/zinc/64/88/13/758648813.db2.gz APEBWWZVDLZLTQ-IYBDPMFKSA-N 1 2 324.428 1.975 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(OC)ccn1)O2 ZINC001053641126 758655054 /nfs/dbraw/zinc/65/50/54/758655054.db2.gz KSQXBURJQMPNNI-AWEZNQCLSA-N 1 2 317.389 1.239 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Oc1ccc(F)cc1 ZINC001018250032 758667345 /nfs/dbraw/zinc/66/73/45/758667345.db2.gz WCIFWYPVOSBMRP-CWRNSKLLSA-N 1 2 316.376 1.901 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Oc1ccc(F)cc1 ZINC001018250032 758667348 /nfs/dbraw/zinc/66/73/48/758667348.db2.gz WCIFWYPVOSBMRP-CWRNSKLLSA-N 1 2 316.376 1.901 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1CCO[C@H]1C(=C)C)O2 ZINC001053674990 758684697 /nfs/dbraw/zinc/68/46/97/758684697.db2.gz BFFACZFWPKRKCM-PMPSAXMXSA-N 1 2 320.433 1.503 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1C[C@H]1C1CC1)O2 ZINC001053677196 758686178 /nfs/dbraw/zinc/68/61/78/758686178.db2.gz UENKGCVOFADBQK-HRCADAONSA-N 1 2 304.434 1.958 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(CC)on1)O2 ZINC001053690329 758698768 /nfs/dbraw/zinc/69/87/68/758698768.db2.gz HTCHJRDHBOUEHO-CQSZACIVSA-N 1 2 319.405 1.776 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C=C(C)C)CC2)C1 ZINC001065692175 758710592 /nfs/dbraw/zinc/71/05/92/758710592.db2.gz PSHZHQJGACXPRW-MRXNPFEDSA-N 1 2 319.449 1.664 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C[C@@H]1CCCCO1)CO2 ZINC001053772440 758794274 /nfs/dbraw/zinc/79/42/74/758794274.db2.gz OOJOVVQQCQZSNZ-GJZGRUSLSA-N 1 2 308.422 1.481 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)C[C@@H]1CNc1ccc(C#N)cn1 ZINC001065861197 758866586 /nfs/dbraw/zinc/86/65/86/758866586.db2.gz PXRWWSZJKAPJEI-ZFWWWQNUSA-N 1 2 324.388 1.356 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CCCC1(C)C)CO2 ZINC001053897159 758929714 /nfs/dbraw/zinc/92/97/14/758929714.db2.gz MEWYVAVUGAHDTG-LSDHHAIUSA-N 1 2 304.434 1.796 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc3[nH]ccc3c1)CO2 ZINC001053911842 758946585 /nfs/dbraw/zinc/94/65/85/758946585.db2.gz OBIDQPLEDJRNBC-INIZCTEOSA-N 1 2 323.396 1.764 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2COC3(C[NH+](C[C@@H](C)OC)C3)C2)C1 ZINC001053919632 758955662 /nfs/dbraw/zinc/95/56/62/758955662.db2.gz PTUFPCHQPZHWNL-ZIAGYGMSSA-N 1 2 308.422 1.337 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)c1cccs1)CO2 ZINC001053922929 758957494 /nfs/dbraw/zinc/95/74/94/758957494.db2.gz KTVNERFILLNIMK-KBPBESRZSA-N 1 2 318.442 1.834 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccsc1C)CO2 ZINC001053946867 758984398 /nfs/dbraw/zinc/98/43/98/758984398.db2.gz GCRWYUDWOUUIJL-HNNXBMFYSA-N 1 2 318.442 1.582 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ncoc1C1CC1)CO2 ZINC001053973967 759011905 /nfs/dbraw/zinc/01/19/05/759011905.db2.gz CSMWNXNMLNXKKL-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)C1(C)CC(F)(F)C1)CO2 ZINC001053979352 759017029 /nfs/dbraw/zinc/01/70/29/759017029.db2.gz OJGAUYAOOSMSTG-LBPRGKRZSA-N 1 2 314.376 1.957 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccccc1OC)CO2 ZINC001053988883 759031935 /nfs/dbraw/zinc/03/19/35/759031935.db2.gz SUEWLRYNJPVWOI-AWEZNQCLSA-N 1 2 314.385 1.292 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(OC)cc1)CO2 ZINC001053991629 759037436 /nfs/dbraw/zinc/03/74/36/759037436.db2.gz ZNEOFRJOGVVGCQ-HNNXBMFYSA-N 1 2 314.385 1.292 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)cc1C)CO2 ZINC001053999750 759045694 /nfs/dbraw/zinc/04/56/94/759045694.db2.gz MQGHXZBLHRLIQN-MRXNPFEDSA-N 1 2 312.413 1.900 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1CC[C@@H](C)O1 ZINC001054054308 759107532 /nfs/dbraw/zinc/10/75/32/759107532.db2.gz NMANEFIPCLTXNJ-ZTFGCOKTSA-N 1 2 312.413 1.730 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1CC[C@@H](C)O1 ZINC001054054308 759107538 /nfs/dbraw/zinc/10/75/38/759107538.db2.gz NMANEFIPCLTXNJ-ZTFGCOKTSA-N 1 2 312.413 1.730 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC001018830896 759317169 /nfs/dbraw/zinc/31/71/69/759317169.db2.gz NQIILYKROISIMZ-AWEZNQCLSA-N 1 2 314.389 1.126 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001018862368 759344924 /nfs/dbraw/zinc/34/49/24/759344924.db2.gz AMDMBXGDKHIKPG-YUTCNCBUSA-N 1 2 322.434 1.210 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)[C@@H]1CCCO1 ZINC001085465987 759448098 /nfs/dbraw/zinc/44/80/98/759448098.db2.gz MIHYFYGUWNCCQT-ROUUACIJSA-N 1 2 312.413 1.750 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)[C@@H]1CCCO1 ZINC001085465987 759448103 /nfs/dbraw/zinc/44/81/03/759448103.db2.gz MIHYFYGUWNCCQT-ROUUACIJSA-N 1 2 312.413 1.750 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2coc(COC)n2)C1 ZINC001046741771 767876447 /nfs/dbraw/zinc/87/64/47/767876447.db2.gz INDVHROQIAZHLQ-CQSZACIVSA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2coc(COC)n2)C1 ZINC001046741771 767876453 /nfs/dbraw/zinc/87/64/53/767876453.db2.gz INDVHROQIAZHLQ-CQSZACIVSA-N 1 2 313.785 1.768 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1CNc1ncccc1C#N ZINC001054567587 759824505 /nfs/dbraw/zinc/82/45/05/759824505.db2.gz CSJGUCNEOQCWIB-TZMCWYRMSA-N 1 2 324.388 1.425 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(Cl)cnn1CC ZINC001085616311 759857550 /nfs/dbraw/zinc/85/75/50/759857550.db2.gz JTGHLJBHURWVKW-LBPRGKRZSA-N 1 2 308.813 1.726 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(Cl)cnn1CC ZINC001085616311 759857552 /nfs/dbraw/zinc/85/75/52/759857552.db2.gz JTGHLJBHURWVKW-LBPRGKRZSA-N 1 2 308.813 1.726 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2ccn(C)c2)n[nH]1 ZINC001085636562 759905520 /nfs/dbraw/zinc/90/55/20/759905520.db2.gz UMILVZWPWXDEAA-CQSZACIVSA-N 1 2 311.389 1.195 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2ccn(C)c2)n[nH]1 ZINC001085636562 759905525 /nfs/dbraw/zinc/90/55/25/759905525.db2.gz UMILVZWPWXDEAA-CQSZACIVSA-N 1 2 311.389 1.195 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc2n(n1)CCCC2 ZINC001085661065 759962443 /nfs/dbraw/zinc/96/24/43/759962443.db2.gz OLOJZXKVRPCCSX-OAHLLOKOSA-N 1 2 300.406 1.389 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc2n(n1)CCCC2 ZINC001085661065 759962448 /nfs/dbraw/zinc/96/24/48/759962448.db2.gz OLOJZXKVRPCCSX-OAHLLOKOSA-N 1 2 300.406 1.389 20 30 DDEDLO N#Cc1cccnc1N1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1cc[nH+]c1)C2 ZINC001055051695 760305949 /nfs/dbraw/zinc/30/59/49/760305949.db2.gz LBKVFVFYXKXDKC-ILXRZTDVSA-N 1 2 322.372 1.076 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2cccs2)n[nH]1 ZINC001085830143 760323219 /nfs/dbraw/zinc/32/32/19/760323219.db2.gz QJYRFNHHRSWNNN-LBPRGKRZSA-N 1 2 314.414 1.918 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(-c2cccs2)n[nH]1 ZINC001085830143 760323228 /nfs/dbraw/zinc/32/32/28/760323228.db2.gz QJYRFNHHRSWNNN-LBPRGKRZSA-N 1 2 314.414 1.918 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ocnc2C2CC2)C1 ZINC001108212422 760379102 /nfs/dbraw/zinc/37/91/02/760379102.db2.gz CSUZPMJNWCLFPV-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ocnc2C2CC2)C1 ZINC001108212422 760379092 /nfs/dbraw/zinc/37/90/92/760379092.db2.gz CSUZPMJNWCLFPV-MRXNPFEDSA-N 1 2 305.378 1.559 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001085907372 760502052 /nfs/dbraw/zinc/50/20/52/760502052.db2.gz UYVKQKDPJQNTHW-OAHLLOKOSA-N 1 2 309.373 1.251 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001085907372 760502060 /nfs/dbraw/zinc/50/20/60/760502060.db2.gz UYVKQKDPJQNTHW-OAHLLOKOSA-N 1 2 309.373 1.251 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnn2c1C[C@H](C)CC2 ZINC001085951195 760595225 /nfs/dbraw/zinc/59/52/25/760595225.db2.gz YXVOXTDBXSNXSC-KGLIPLIRSA-N 1 2 300.406 1.245 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn2c1C[C@H](C)CC2 ZINC001085951195 760595230 /nfs/dbraw/zinc/59/52/30/760595230.db2.gz YXVOXTDBXSNXSC-KGLIPLIRSA-N 1 2 300.406 1.245 20 30 DDEDLO C=C(C)CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCS1(=O)=O ZINC001085979939 760649459 /nfs/dbraw/zinc/64/94/59/760649459.db2.gz GBJCFROWONPGMS-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCS1(=O)=O ZINC001085979939 760649461 /nfs/dbraw/zinc/64/94/61/760649461.db2.gz GBJCFROWONPGMS-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(N(C)C(C)=O)cc1 ZINC001085994498 760677100 /nfs/dbraw/zinc/67/71/00/760677100.db2.gz MVKWTSARXMZZTF-KRWDZBQOSA-N 1 2 313.401 1.449 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(N(C)C(C)=O)cc1 ZINC001085994498 760677104 /nfs/dbraw/zinc/67/71/04/760677104.db2.gz MVKWTSARXMZZTF-KRWDZBQOSA-N 1 2 313.401 1.449 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC001108233595 760773581 /nfs/dbraw/zinc/77/35/81/760773581.db2.gz YTFKAXXFLSYKJN-QGZVFWFLSA-N 1 2 318.421 1.377 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC001108233595 760773584 /nfs/dbraw/zinc/77/35/84/760773584.db2.gz YTFKAXXFLSYKJN-QGZVFWFLSA-N 1 2 318.421 1.377 20 30 DDEDLO Cc1cn(C)nc1C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038247457 760944941 /nfs/dbraw/zinc/94/49/41/760944941.db2.gz FIHJFIWPUCHBPO-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO Cc1cn(C)nc1C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038247457 760944943 /nfs/dbraw/zinc/94/49/43/760944943.db2.gz FIHJFIWPUCHBPO-MRXNPFEDSA-N 1 2 323.400 1.605 20 30 DDEDLO N#Cc1cccnc1NCC[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001066387808 760955036 /nfs/dbraw/zinc/95/50/36/760955036.db2.gz HSDPLBFXGIYKEX-ZDUSSCGKSA-N 1 2 324.388 1.570 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@H]1CCN2C(=O)Cc1[nH]cc[nH+]1 ZINC001056298431 761101489 /nfs/dbraw/zinc/10/14/89/761101489.db2.gz QOEOVTMBSVKDAE-ZIAGYGMSSA-N 1 2 316.405 1.510 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C)n([C@H](C)CC)n1 ZINC001038443744 761122791 /nfs/dbraw/zinc/12/27/91/761122791.db2.gz WRBDIEORWIZENN-HIFRSBDPSA-N 1 2 302.422 1.990 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C)n([C@H](C)CC)n1 ZINC001038443744 761122796 /nfs/dbraw/zinc/12/27/96/761122796.db2.gz WRBDIEORWIZENN-HIFRSBDPSA-N 1 2 302.422 1.990 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)[nH]nc1C(F)(F)F ZINC001038516447 761170128 /nfs/dbraw/zinc/17/01/28/761170128.db2.gz JGCYUZAWDGMUHN-SECBINFHSA-N 1 2 300.284 1.174 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)[nH]nc1C(F)(F)F ZINC001038516447 761170130 /nfs/dbraw/zinc/17/01/30/761170130.db2.gz JGCYUZAWDGMUHN-SECBINFHSA-N 1 2 300.284 1.174 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1c[nH+]c[nH]1 ZINC001056573229 761239920 /nfs/dbraw/zinc/23/99/20/761239920.db2.gz TXSSRDSKWXSOFV-GJZGRUSLSA-N 1 2 316.405 1.510 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnc(C2CCCC2)n1 ZINC001038860134 761483164 /nfs/dbraw/zinc/48/31/64/761483164.db2.gz QEVPXZAVNRBIKU-HNNXBMFYSA-N 1 2 312.417 1.962 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnc(C2CCCC2)n1 ZINC001038860134 761483169 /nfs/dbraw/zinc/48/31/69/761483169.db2.gz QEVPXZAVNRBIKU-HNNXBMFYSA-N 1 2 312.417 1.962 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001038880021 761504370 /nfs/dbraw/zinc/50/43/70/761504370.db2.gz SEIGQPWHXUFTKH-OAHLLOKOSA-N 1 2 309.373 1.299 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC001038880021 761504373 /nfs/dbraw/zinc/50/43/73/761504373.db2.gz SEIGQPWHXUFTKH-OAHLLOKOSA-N 1 2 309.373 1.299 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001108298562 761848278 /nfs/dbraw/zinc/84/82/78/761848278.db2.gz UUOVBVRJEGYQFI-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc3[nH]cnc32)C1 ZINC001108298562 761848285 /nfs/dbraw/zinc/84/82/85/761848285.db2.gz UUOVBVRJEGYQFI-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO N#Cc1ccc(N2CCCN(C(=O)CCc3[nH]cc[nH+]3)CC2)cn1 ZINC001057134152 762717821 /nfs/dbraw/zinc/71/78/21/762717821.db2.gz IOCLJJDFEJECTM-UHFFFAOYSA-N 1 2 324.388 1.348 20 30 DDEDLO C=CCCC(=O)NC1(CC)CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001069645243 768082822 /nfs/dbraw/zinc/08/28/22/768082822.db2.gz QKGNWVSZHXWBTF-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2=CC[N@H+](Cc3ccon3)CC2)nc1 ZINC001001378394 762805541 /nfs/dbraw/zinc/80/55/41/762805541.db2.gz HVQYJRPWGCWLDZ-UHFFFAOYSA-N 1 2 322.368 1.613 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2=CC[N@@H+](Cc3ccon3)CC2)nc1 ZINC001001378394 762805544 /nfs/dbraw/zinc/80/55/44/762805544.db2.gz HVQYJRPWGCWLDZ-UHFFFAOYSA-N 1 2 322.368 1.613 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001041142144 762836342 /nfs/dbraw/zinc/83/63/42/762836342.db2.gz ULGWCASTXWWFMW-WBMJQRKESA-N 1 2 317.393 1.216 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@]3(CCN(C(=O)[C@H](C)C#N)C3)C2)n1 ZINC001041142144 762836349 /nfs/dbraw/zinc/83/63/49/762836349.db2.gz ULGWCASTXWWFMW-WBMJQRKESA-N 1 2 317.393 1.216 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CC(C)(C)CC)CC1 ZINC001131362200 768093780 /nfs/dbraw/zinc/09/37/80/768093780.db2.gz OGIMLDGYFSPVNJ-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CC(C)(C)CC)CC1 ZINC001131362200 768093788 /nfs/dbraw/zinc/09/37/88/768093788.db2.gz OGIMLDGYFSPVNJ-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO Cc1c[nH+]cn1CC(=O)NCCNCc1cc(F)ccc1C#N ZINC001131377743 768103160 /nfs/dbraw/zinc/10/31/60/768103160.db2.gz ZJYXDMQNCQTYMN-UHFFFAOYSA-N 1 2 315.352 1.108 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)[C@@H](C)CC)CC1 ZINC001131390112 768109013 /nfs/dbraw/zinc/10/90/13/768109013.db2.gz AXCIHSQVDIEMLZ-JKSUJKDBSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)[C@@H](C)CC)CC1 ZINC001131390112 768109019 /nfs/dbraw/zinc/10/90/19/768109019.db2.gz AXCIHSQVDIEMLZ-JKSUJKDBSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCCN(C(=O)c3n[nH]cc3F)[C@@H]2C1 ZINC001050130349 763185862 /nfs/dbraw/zinc/18/58/62/763185862.db2.gz UXPUZVMQRXAREQ-CMPLNLGQSA-N 1 2 312.776 1.838 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCCN(C(=O)c3n[nH]cc3F)[C@@H]2C1 ZINC001050130349 763185868 /nfs/dbraw/zinc/18/58/68/763185868.db2.gz UXPUZVMQRXAREQ-CMPLNLGQSA-N 1 2 312.776 1.838 20 30 DDEDLO C[C@@H](CC(=O)N1CCC[C@H]2CN(CC#N)C[C@H]21)n1cc[nH+]c1 ZINC001050152406 763225496 /nfs/dbraw/zinc/22/54/96/763225496.db2.gz NZDGASLHQGOIGG-SOUVJXGZSA-N 1 2 301.394 1.281 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)CN(C)c1[nH+]cnc2c1cnn2C ZINC001109108712 763346211 /nfs/dbraw/zinc/34/62/11/763346211.db2.gz CLDFZYHBNXIVDT-GFCCVEGCSA-N 1 2 316.409 1.661 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@H]4CCN(CC#N)[C@H]4C3)ccn12 ZINC001042061322 763604110 /nfs/dbraw/zinc/60/41/10/763604110.db2.gz DEWUNZDCZBJYBU-ZBFHGGJFSA-N 1 2 323.400 1.703 20 30 DDEDLO C#CCC[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001046968500 768159165 /nfs/dbraw/zinc/15/91/65/768159165.db2.gz IEOADNJSJGODLC-JTQLQIEISA-N 1 2 314.311 1.259 20 30 DDEDLO C#CCC[N@H+]1CCC(F)(F)[C@@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001046968500 768159168 /nfs/dbraw/zinc/15/91/68/768159168.db2.gz IEOADNJSJGODLC-JTQLQIEISA-N 1 2 314.311 1.259 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3CC[N@H+](Cc4cnon4)[C@H]3C2)C1 ZINC001042223250 763783255 /nfs/dbraw/zinc/78/32/55/763783255.db2.gz CFIIWQJTECZJOA-HIFRSBDPSA-N 1 2 316.405 1.849 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H]3CC[N@@H+](Cc4cnon4)[C@H]3C2)C1 ZINC001042223250 763783260 /nfs/dbraw/zinc/78/32/60/763783260.db2.gz CFIIWQJTECZJOA-HIFRSBDPSA-N 1 2 316.405 1.849 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3cc(-c4ccoc4)[nH]n3)C[C@@H]21 ZINC001042357174 763960398 /nfs/dbraw/zinc/96/03/98/763960398.db2.gz KDFDNPXSQGVQHJ-DYVFJYSZSA-N 1 2 324.384 1.839 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cc(-c4ccoc4)[nH]n3)C[C@@H]21 ZINC001042357174 763960403 /nfs/dbraw/zinc/96/04/03/763960403.db2.gz KDFDNPXSQGVQHJ-DYVFJYSZSA-N 1 2 324.384 1.839 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001109769404 764017228 /nfs/dbraw/zinc/01/72/28/764017228.db2.gz APEXFFHWRYOPHA-SNPRPXQTSA-N 1 2 317.437 1.639 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001109769404 764017234 /nfs/dbraw/zinc/01/72/34/764017234.db2.gz APEXFFHWRYOPHA-SNPRPXQTSA-N 1 2 317.437 1.639 20 30 DDEDLO C=CCCC(=O)N[C@@H](CNc1[nH+]cnc2c1cnn2C)C1CC1 ZINC001109789724 764056762 /nfs/dbraw/zinc/05/67/62/764056762.db2.gz FXSURSURXOQBLR-ZDUSSCGKSA-N 1 2 314.393 1.636 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)CCC)C2 ZINC001109891794 764170496 /nfs/dbraw/zinc/17/04/96/764170496.db2.gz UJBHCCHKXYBYRL-FZKCQIBNSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)CCC)C2 ZINC001109891794 764170498 /nfs/dbraw/zinc/17/04/98/764170498.db2.gz UJBHCCHKXYBYRL-FZKCQIBNSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109906656 764193075 /nfs/dbraw/zinc/19/30/75/764193075.db2.gz FZHPVBNYUUKVJP-FZMZJTMJSA-N 1 2 302.378 1.130 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)[C@H]3C[C@@H](C)CO3)c2C1 ZINC001069868140 768199604 /nfs/dbraw/zinc/19/96/04/768199604.db2.gz AVPMMJRMGHCJMF-CZUORRHYSA-N 1 2 318.421 1.316 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)[C@H]3C[C@@H](C)CO3)c2C1 ZINC001069868140 768199606 /nfs/dbraw/zinc/19/96/06/768199606.db2.gz AVPMMJRMGHCJMF-CZUORRHYSA-N 1 2 318.421 1.316 20 30 DDEDLO Cc1nc(N[C@@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001057660103 764261010 /nfs/dbraw/zinc/26/10/10/764261010.db2.gz IDYNVTOYRJJJFW-OAHLLOKOSA-N 1 2 324.388 1.561 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC[C@H](Nc2ccc(C#N)nc2)C1 ZINC001057671024 764288720 /nfs/dbraw/zinc/28/87/20/764288720.db2.gz JXYMRUVRGAYZBR-HNNXBMFYSA-N 1 2 324.388 1.332 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(C2CC2)c1 ZINC001051009082 764413063 /nfs/dbraw/zinc/41/30/63/764413063.db2.gz DOVPWNICHAPAAP-INIZCTEOSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnc(C2CC2)c1 ZINC001051009082 764413074 /nfs/dbraw/zinc/41/30/74/764413074.db2.gz DOVPWNICHAPAAP-INIZCTEOSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C(C)(C)C)on1 ZINC001051022090 764426282 /nfs/dbraw/zinc/42/62/82/764426282.db2.gz UMWSDSBGEYDEDA-CYBMUJFWSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C(C)(C)C)on1 ZINC001051022090 764426284 /nfs/dbraw/zinc/42/62/84/764426284.db2.gz UMWSDSBGEYDEDA-CYBMUJFWSA-N 1 2 321.421 1.979 20 30 DDEDLO CC#CCN1CCOC[C@@H]1CNC(=O)c1c(C)cc(C)[nH+]c1C ZINC001051038596 764443394 /nfs/dbraw/zinc/44/33/94/764443394.db2.gz WNKHPJABCGSVBC-INIZCTEOSA-N 1 2 315.417 1.461 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(OC)ccn1 ZINC001051061096 764464729 /nfs/dbraw/zinc/46/47/29/764464729.db2.gz NKRJVZZOODDSRI-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(OC)ccn1 ZINC001051061096 764464730 /nfs/dbraw/zinc/46/47/30/764464730.db2.gz NKRJVZZOODDSRI-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3SCCCS3)n2C)CC1 ZINC001121562890 782569101 /nfs/dbraw/zinc/56/91/01/782569101.db2.gz GPLLLSFFDSEIMM-UHFFFAOYSA-N 1 2 323.491 1.439 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001051132194 764532052 /nfs/dbraw/zinc/53/20/52/764532052.db2.gz YMLBLQWUZQSDEQ-RBSFLKMASA-N 1 2 304.390 1.776 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001051132194 764532059 /nfs/dbraw/zinc/53/20/59/764532059.db2.gz YMLBLQWUZQSDEQ-RBSFLKMASA-N 1 2 304.390 1.776 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccncc1Cl ZINC001051136237 764538742 /nfs/dbraw/zinc/53/87/42/764538742.db2.gz UFVOBUAZTTXXCM-LBPRGKRZSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccncc1Cl ZINC001051136237 764538747 /nfs/dbraw/zinc/53/87/47/764538747.db2.gz UFVOBUAZTTXXCM-LBPRGKRZSA-N 1 2 309.797 1.742 20 30 DDEDLO C#CCCCC(=O)NC1(CO)CCN(c2cc[nH+]c(C)n2)CC1 ZINC001112663727 764543248 /nfs/dbraw/zinc/54/32/48/764543248.db2.gz FSSQGVAEKOFCDP-UHFFFAOYSA-N 1 2 316.405 1.036 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cnn2ccccc12 ZINC001051169872 764575492 /nfs/dbraw/zinc/57/54/92/764575492.db2.gz DYSMXACEMULJEW-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cnn2ccccc12 ZINC001051169872 764575495 /nfs/dbraw/zinc/57/54/95/764575495.db2.gz DYSMXACEMULJEW-AWEZNQCLSA-N 1 2 314.389 1.341 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@H+]2CC(C)C)nc1 ZINC001051213649 764628181 /nfs/dbraw/zinc/62/81/81/764628181.db2.gz CJTZRVSAAFNLHO-HNNXBMFYSA-N 1 2 301.390 1.150 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H]2COCC[N@@H+]2CC(C)C)nc1 ZINC001051213649 764628183 /nfs/dbraw/zinc/62/81/83/764628183.db2.gz CJTZRVSAAFNLHO-HNNXBMFYSA-N 1 2 301.390 1.150 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccccc1OC ZINC001051220165 764637123 /nfs/dbraw/zinc/63/71/23/764637123.db2.gz DCUAIECKPDVSNJ-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccccc1OC ZINC001051220165 764637125 /nfs/dbraw/zinc/63/71/25/764637125.db2.gz DCUAIECKPDVSNJ-CQSZACIVSA-N 1 2 304.390 1.702 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCCN2C(=O)C#CC(C)C)cc[nH+]1 ZINC001112788271 764764720 /nfs/dbraw/zinc/76/47/20/764764720.db2.gz AQSPFDLWRIZAKA-OAHLLOKOSA-N 1 2 300.406 1.872 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(C)c2C(F)(F)F)C1 ZINC001043210382 764770436 /nfs/dbraw/zinc/77/04/36/764770436.db2.gz PGDLOSYONONPLJ-UHFFFAOYSA-N 1 2 314.311 1.218 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCn2nc(C)cc2C)CC1 ZINC001112809284 764790810 /nfs/dbraw/zinc/79/08/10/764790810.db2.gz CKVGYALLZNLFGL-UHFFFAOYSA-N 1 2 302.422 1.448 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2c3c[nH]nc3CC[C@H]2C)C1 ZINC001043385664 764876617 /nfs/dbraw/zinc/87/66/17/764876617.db2.gz KRMLYRGZFSJNGW-WBMJQRKESA-N 1 2 302.422 1.794 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccnn2[C@H](C)CC)CC1 ZINC001112899241 764941189 /nfs/dbraw/zinc/94/11/89/764941189.db2.gz TZYNDUSPLHCDOY-OAHLLOKOSA-N 1 2 320.437 1.815 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(-n3ccnc3)nc2)C1 ZINC001043499060 764942118 /nfs/dbraw/zinc/94/21/18/764942118.db2.gz CANFNRSXICMXRW-UHFFFAOYSA-N 1 2 311.389 1.600 20 30 DDEDLO C[C@@H](Nc1ccc(C#N)nn1)[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001112994268 765105075 /nfs/dbraw/zinc/10/50/75/765105075.db2.gz UPTAJADYZKDKQG-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCC2(C)C)[C@@H](n2ccnn2)C1 ZINC001070002166 768273177 /nfs/dbraw/zinc/27/31/77/768273177.db2.gz USLLXIVMPJTGKN-ZNMIVQPWSA-N 1 2 315.421 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCC2(C)C)[C@@H](n2ccnn2)C1 ZINC001070002166 768273181 /nfs/dbraw/zinc/27/31/81/768273181.db2.gz USLLXIVMPJTGKN-ZNMIVQPWSA-N 1 2 315.421 1.079 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccnc(C3CC3)c2)CC1 ZINC001113071823 765221244 /nfs/dbraw/zinc/22/12/44/765221244.db2.gz WXWLYBSQUJCPEA-UHFFFAOYSA-N 1 2 315.417 1.919 20 30 DDEDLO Cc1nc(N[C@H](C)[C@@H](C)NC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001113083389 765238396 /nfs/dbraw/zinc/23/83/96/765238396.db2.gz ZAUNTHKBGDIMDZ-VXGBXAGGSA-N 1 2 312.377 1.464 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(C)ccc2OC)CC1 ZINC001113078354 765240976 /nfs/dbraw/zinc/24/09/76/765240976.db2.gz CJVFRKLCIFIYAH-UHFFFAOYSA-N 1 2 318.417 1.964 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(-c3nccs3)c2)C1 ZINC001044167371 765360482 /nfs/dbraw/zinc/36/04/82/765360482.db2.gz SYEULBPWDUEJGD-UHFFFAOYSA-N 1 2 315.402 1.108 20 30 DDEDLO C[C@]1(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)CC=CCC1 ZINC001052030236 765405401 /nfs/dbraw/zinc/40/54/01/765405401.db2.gz KDCPLTFDUZRCSF-AEFFLSMTSA-N 1 2 316.449 1.475 20 30 DDEDLO CC#CCCCC(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001113232555 765448360 /nfs/dbraw/zinc/44/83/60/765448360.db2.gz UFUOMRMNKOZVBG-KRWDZBQOSA-N 1 2 322.449 1.520 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H]3CCC(C)(C)C3)C2)CC1 ZINC001052076723 765449236 /nfs/dbraw/zinc/44/92/36/765449236.db2.gz LFMPULWQMSPDKM-IRXDYDNUSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cn2c3ccccc3oc2=O)C1 ZINC001044285490 765449817 /nfs/dbraw/zinc/44/98/17/765449817.db2.gz XPIMTCUEGXEXGL-UHFFFAOYSA-N 1 2 315.373 1.313 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H](C)c3ccco3)C2)CC1 ZINC001052082706 765456640 /nfs/dbraw/zinc/45/66/40/765456640.db2.gz VDSTYHUCOLMTJK-JKSUJKDBSA-N 1 2 315.417 1.235 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[NH+](CCOC[C@H]2CCCO2)CC1 ZINC001113248135 765470028 /nfs/dbraw/zinc/47/00/28/765470028.db2.gz RXTVPJJBBJZAPB-IAGOWNOFSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H](CNC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001052180898 765552189 /nfs/dbraw/zinc/55/21/89/765552189.db2.gz HQJTZYOAHNBPJZ-GXTWGEPZSA-N 1 2 318.421 1.438 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cn(CC)nc2C)CC1 ZINC001113389808 765643297 /nfs/dbraw/zinc/64/32/97/765643297.db2.gz RZNQXKMYOFRRJC-UHFFFAOYSA-N 1 2 306.410 1.172 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001113390790 765644711 /nfs/dbraw/zinc/64/47/11/765644711.db2.gz TXYWODQEYGAWLK-INIZCTEOSA-N 1 2 324.465 1.929 20 30 DDEDLO Cc1cc(N(C)[C@H](C)CNC(=O)[C@@H](C)C#N)nc(C2CC2)[nH+]1 ZINC001113537504 765822977 /nfs/dbraw/zinc/82/29/77/765822977.db2.gz OQHIQWJZAXNWHF-CMPLNLGQSA-N 1 2 301.394 1.763 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[NH+](CCn2nc(C)cc2C)CC1 ZINC001113712677 766051826 /nfs/dbraw/zinc/05/18/26/766051826.db2.gz CNWSHTXCGWJYPF-MRXNPFEDSA-N 1 2 320.437 1.235 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ncccc2OCC)CC1 ZINC001113743390 766083365 /nfs/dbraw/zinc/08/33/65/766083365.db2.gz JSQFFZQCEOTVBT-UHFFFAOYSA-N 1 2 319.405 1.441 20 30 DDEDLO Cc1cc(N2CCC(NC(=O)Cc3[nH]cc[nH+]3)CC2)c(C#N)cn1 ZINC001057893637 766124177 /nfs/dbraw/zinc/12/41/77/766124177.db2.gz HAHZOSWEAODRBF-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCSC ZINC001113818296 766211686 /nfs/dbraw/zinc/21/16/86/766211686.db2.gz ABJXCZQEXAAPKZ-IAZYJMLFSA-N 1 2 319.268 1.695 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCSC ZINC001113818296 766211689 /nfs/dbraw/zinc/21/16/89/766211689.db2.gz ABJXCZQEXAAPKZ-IAZYJMLFSA-N 1 2 319.268 1.695 20 30 DDEDLO N#Cc1cccnc1N(CCCNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001096041788 766533983 /nfs/dbraw/zinc/53/39/83/766533983.db2.gz DSGYHRDJKFHJTN-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067716849 766648418 /nfs/dbraw/zinc/64/84/18/766648418.db2.gz KBLSEONDNNLNTJ-HUUCEWRRSA-N 1 2 320.437 1.648 20 30 DDEDLO N#Cc1ccc(NCC2CC(NC(=O)Cn3cc[nH+]c3)C2)cn1 ZINC001067854846 766757509 /nfs/dbraw/zinc/75/75/09/766757509.db2.gz AYAFHUZZDLPTPE-UHFFFAOYSA-N 1 2 310.361 1.157 20 30 DDEDLO Cc1nc(NCC2CC(NC(=O)Cc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001067890110 766786165 /nfs/dbraw/zinc/78/61/65/766786165.db2.gz NTNBLEVLPFNCEO-UHFFFAOYSA-N 1 2 324.388 1.534 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)n1 ZINC001114234274 766797891 /nfs/dbraw/zinc/79/78/91/766797891.db2.gz ISKOGYMSVUWHFH-PBOSXPJTSA-N 1 2 302.378 1.145 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)n1 ZINC001114234274 766797897 /nfs/dbraw/zinc/79/78/97/766797897.db2.gz ISKOGYMSVUWHFH-PBOSXPJTSA-N 1 2 302.378 1.145 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067972563 766848671 /nfs/dbraw/zinc/84/86/71/766848671.db2.gz DFTLVXLNOJRXMX-KBPBESRZSA-N 1 2 320.437 1.717 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001046060819 766873425 /nfs/dbraw/zinc/87/34/25/766873425.db2.gz GKZRMEFSIGYAQD-NVXWUHKLSA-N 1 2 304.438 1.331 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)CC1CC1 ZINC001121609884 782590842 /nfs/dbraw/zinc/59/08/42/782590842.db2.gz PGDZYOIWPLKQOB-KBPBESRZSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)CC1CC1 ZINC001121609884 782590854 /nfs/dbraw/zinc/59/08/54/782590854.db2.gz PGDZYOIWPLKQOB-KBPBESRZSA-N 1 2 305.426 1.438 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001046248399 767290265 /nfs/dbraw/zinc/29/02/65/767290265.db2.gz OUSOIDYKZIPFIN-SJKOYZFVSA-N 1 2 324.388 1.632 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1CC[C@@](C)(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001046248399 767290267 /nfs/dbraw/zinc/29/02/67/767290267.db2.gz OUSOIDYKZIPFIN-SJKOYZFVSA-N 1 2 324.388 1.632 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1cn(C)nn1 ZINC001157939431 767406330 /nfs/dbraw/zinc/40/63/30/767406330.db2.gz AEKIIZXPACNNPK-MRXNPFEDSA-N 1 2 317.437 1.287 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1cn(C)nn1 ZINC001157939431 767406332 /nfs/dbraw/zinc/40/63/32/767406332.db2.gz AEKIIZXPACNNPK-MRXNPFEDSA-N 1 2 317.437 1.287 20 30 DDEDLO C[C@@]1(NC(=O)c2ncn[nH]2)CC[N@H+](Cc2cccc(C#N)c2)C1 ZINC001046715270 767839698 /nfs/dbraw/zinc/83/96/98/767839698.db2.gz YAGVYRHXODVKJM-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@@]1(NC(=O)c2ncn[nH]2)CC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC001046715270 767839705 /nfs/dbraw/zinc/83/97/05/767839705.db2.gz YAGVYRHXODVKJM-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@@]1(NC(=O)c2nc[nH]n2)CC[N@H+](Cc2cccc(C#N)c2)C1 ZINC001046715270 767839712 /nfs/dbraw/zinc/83/97/12/767839712.db2.gz YAGVYRHXODVKJM-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO C[C@@]1(NC(=O)c2nc[nH]n2)CC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC001046715270 767839719 /nfs/dbraw/zinc/83/97/19/767839719.db2.gz YAGVYRHXODVKJM-MRXNPFEDSA-N 1 2 310.361 1.071 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001047308085 768390954 /nfs/dbraw/zinc/39/09/54/768390954.db2.gz GFQNZHLVVUKESD-HOTGVXAUSA-N 1 2 319.380 1.357 20 30 DDEDLO CC(C)C(=O)N(C)[C@H]1C[N@@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001047308085 768390962 /nfs/dbraw/zinc/39/09/62/768390962.db2.gz GFQNZHLVVUKESD-HOTGVXAUSA-N 1 2 319.380 1.357 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)Cc1c[nH+]c[nH]1)C2 ZINC001047395284 768448517 /nfs/dbraw/zinc/44/85/17/768448517.db2.gz NTDXHMBJXMUOBT-GUTXKFCHSA-N 1 2 316.405 1.414 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)/C=C\C(C)(C)C)C[N@@H+]1CC(=O)NCC#N ZINC001131911809 768475591 /nfs/dbraw/zinc/47/55/91/768475591.db2.gz OBALZIALENFBQK-BYTACQFHSA-N 1 2 320.437 1.198 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)/C=C\C(C)(C)C)C[N@H+]1CC(=O)NCC#N ZINC001131911809 768475593 /nfs/dbraw/zinc/47/55/93/768475593.db2.gz OBALZIALENFBQK-BYTACQFHSA-N 1 2 320.437 1.198 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3c(s2)CCCC3)C1 ZINC001047617837 768615986 /nfs/dbraw/zinc/61/59/86/768615986.db2.gz BMPLUTPCVPGCSF-KBPBESRZSA-N 1 2 318.442 1.377 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3c(s2)CCCC3)C1 ZINC001047617837 768615989 /nfs/dbraw/zinc/61/59/89/768615989.db2.gz BMPLUTPCVPGCSF-KBPBESRZSA-N 1 2 318.442 1.377 20 30 DDEDLO C[C@@H](CNC(=O)[C@H]1CCc2[nH+]ccn2C1)Nc1ncccc1C#N ZINC001098125211 768639460 /nfs/dbraw/zinc/63/94/60/768639460.db2.gz BANOXLMMMBLIOZ-JSGCOSHPSA-N 1 2 324.388 1.329 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132223869 768696320 /nfs/dbraw/zinc/69/63/20/768696320.db2.gz HQSXISVTVXZBTN-LSDHHAIUSA-N 1 2 320.437 1.342 20 30 DDEDLO CC(C)=C(C)CC(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132223869 768696324 /nfs/dbraw/zinc/69/63/24/768696324.db2.gz HQSXISVTVXZBTN-LSDHHAIUSA-N 1 2 320.437 1.342 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCCC[C@@H]2C)CC1 ZINC001070991075 768847206 /nfs/dbraw/zinc/84/72/06/768847206.db2.gz DQKFYFJFARQWPN-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CCCC[C@@H]2C)CC1 ZINC001070991075 768847213 /nfs/dbraw/zinc/84/72/13/768847213.db2.gz DQKFYFJFARQWPN-HOTGVXAUSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)c2ccc(C)cc2)CC1 ZINC001071017286 768885463 /nfs/dbraw/zinc/88/54/63/768885463.db2.gz PQTYQLYOSDJBSQ-UHFFFAOYSA-N 1 2 315.417 1.445 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)c2ccc(C)cc2)CC1 ZINC001071017286 768885476 /nfs/dbraw/zinc/88/54/76/768885476.db2.gz PQTYQLYOSDJBSQ-UHFFFAOYSA-N 1 2 315.417 1.445 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2ccn(C)n2)C1 ZINC001132528430 768948189 /nfs/dbraw/zinc/94/81/89/768948189.db2.gz COULBKBPWZXEAM-GJZGRUSLSA-N 1 2 320.437 1.482 20 30 DDEDLO C=CCCOCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2ccn(C)n2)C1 ZINC001132528430 768948192 /nfs/dbraw/zinc/94/81/92/768948192.db2.gz COULBKBPWZXEAM-GJZGRUSLSA-N 1 2 320.437 1.482 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCCC(N)=O)CC[C@H]1C ZINC001132536956 768971465 /nfs/dbraw/zinc/97/14/65/768971465.db2.gz KGAAAYXCMAQNBA-NEPJUHHUSA-N 1 2 301.818 1.364 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCCC(N)=O)CC[C@H]1C ZINC001132536956 768971468 /nfs/dbraw/zinc/97/14/68/768971468.db2.gz KGAAAYXCMAQNBA-NEPJUHHUSA-N 1 2 301.818 1.364 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)c1cn[nH]c1)C[C@@H](C)O2 ZINC001071139589 769010580 /nfs/dbraw/zinc/01/05/80/769010580.db2.gz XLJSKTDVOKXZHX-IUODEOHRSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)c1cn[nH]c1)C[C@@H](C)O2 ZINC001071139589 769010592 /nfs/dbraw/zinc/01/05/92/769010592.db2.gz XLJSKTDVOKXZHX-IUODEOHRSA-N 1 2 324.812 1.468 20 30 DDEDLO C=CCCCC(=O)NCC[NH+]1CCN(c2cnc(F)cn2)CC1 ZINC001096300303 769087275 /nfs/dbraw/zinc/08/72/75/769087275.db2.gz RFRNACHBBQYHNA-UHFFFAOYSA-N 1 2 321.400 1.210 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CN2CCCC2=O)CC[C@@H]1C ZINC001071339804 769302739 /nfs/dbraw/zinc/30/27/39/769302739.db2.gz FHBHWHLKLKTRRD-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CN2CCCC2=O)CC[C@@H]1C ZINC001071339804 769302747 /nfs/dbraw/zinc/30/27/47/769302747.db2.gz FHBHWHLKLKTRRD-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096360535 769381304 /nfs/dbraw/zinc/38/13/04/769381304.db2.gz OEYFQPWTXBFRBF-YOEHRIQHSA-N 1 2 318.421 1.424 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CN1CC#N ZINC001071528410 769569666 /nfs/dbraw/zinc/56/96/66/769569666.db2.gz TULXFBMNMSFKCE-ZIAGYGMSSA-N 1 2 315.421 1.255 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096392760 769624233 /nfs/dbraw/zinc/62/42/33/769624233.db2.gz BBUKZSLEZPKQGE-OAHLLOKOSA-N 1 2 318.421 1.689 20 30 DDEDLO C=C1CCC(C(=O)NCC[NH2+]Cc2nc(CCC)no2)CC1 ZINC001133362860 769745827 /nfs/dbraw/zinc/74/58/27/769745827.db2.gz YWJGHOYOTRKVNC-UHFFFAOYSA-N 1 2 306.410 1.974 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(=O)n(C)c2)CC[C@H]1C ZINC001071641654 769777537 /nfs/dbraw/zinc/77/75/37/769777537.db2.gz CSNWJGXQYKQOMF-TZMCWYRMSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(=O)n(C)c2)CC[C@H]1C ZINC001071641654 769777550 /nfs/dbraw/zinc/77/75/50/769777550.db2.gz CSNWJGXQYKQOMF-TZMCWYRMSA-N 1 2 323.824 1.721 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCc2[nH+]ccn2C)C1 ZINC001071787220 770072151 /nfs/dbraw/zinc/07/21/51/770072151.db2.gz MWZXJFDQBLTMBL-KGLIPLIRSA-N 1 2 318.421 1.282 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cccc(=O)n2C)CC[C@H]1C ZINC001071815571 770117517 /nfs/dbraw/zinc/11/75/17/770117517.db2.gz QHWPSMUZLWRRST-CHWSQXEVSA-N 1 2 323.824 1.721 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cccc(=O)n2C)CC[C@H]1C ZINC001071815571 770117521 /nfs/dbraw/zinc/11/75/21/770117521.db2.gz QHWPSMUZLWRRST-CHWSQXEVSA-N 1 2 323.824 1.721 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@H+](Cc3nnc(C)s3)C[C@@H]2C1 ZINC001048978310 770200070 /nfs/dbraw/zinc/20/00/70/770200070.db2.gz CMTFIWZKRRJZSX-BETUJISGSA-N 1 2 320.462 1.949 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](Cc3nnc(C)s3)C[C@@H]2C1 ZINC001048978310 770200074 /nfs/dbraw/zinc/20/00/74/770200074.db2.gz CMTFIWZKRRJZSX-BETUJISGSA-N 1 2 320.462 1.949 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2scnc2COC)CC[C@H]1C ZINC001071883619 770222831 /nfs/dbraw/zinc/22/28/31/770222831.db2.gz OKYVCUIBIQMVGR-NEPJUHHUSA-N 1 2 307.419 1.505 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2scnc2COC)CC[C@H]1C ZINC001071883619 770222834 /nfs/dbraw/zinc/22/28/34/770222834.db2.gz OKYVCUIBIQMVGR-NEPJUHHUSA-N 1 2 307.419 1.505 20 30 DDEDLO C[C@H]1CC[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CN1CC#N ZINC001071954133 770341130 /nfs/dbraw/zinc/34/11/30/770341130.db2.gz KICZPLBYPXFHCE-HOCLYGCPSA-N 1 2 323.400 1.979 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)C[C@H]1C ZINC001071996440 770408000 /nfs/dbraw/zinc/40/80/00/770408000.db2.gz RAWWRVJUDXFXQV-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCN(C)c1nc(C)[nH+]c(C)c1C ZINC001100334719 770521970 /nfs/dbraw/zinc/52/19/70/770521970.db2.gz PWTNWXNAANTOBJ-CABCVRRESA-N 1 2 318.421 1.545 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001134062285 770609003 /nfs/dbraw/zinc/60/90/03/770609003.db2.gz VSYKUGINLPEHFG-NEPJUHHUSA-N 1 2 322.409 1.164 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072219579 770675136 /nfs/dbraw/zinc/67/51/36/770675136.db2.gz VHLYXLSTEVAJAZ-QWHCGFSZSA-N 1 2 304.394 1.272 20 30 DDEDLO CCn1ccc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)n1 ZINC001049373387 770752430 /nfs/dbraw/zinc/75/24/30/770752430.db2.gz SDUZWGQTEQVYCF-NUEKZKHPSA-N 1 2 315.421 1.628 20 30 DDEDLO CCn1ccc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)n1 ZINC001049373387 770752437 /nfs/dbraw/zinc/75/24/37/770752437.db2.gz SDUZWGQTEQVYCF-NUEKZKHPSA-N 1 2 315.421 1.628 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891215 771237806 /nfs/dbraw/zinc/23/78/06/771237806.db2.gz DRQQZHNUVMEJJV-CVEARBPZSA-N 1 2 309.373 1.270 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891215 771237810 /nfs/dbraw/zinc/23/78/10/771237810.db2.gz DRQQZHNUVMEJJV-CVEARBPZSA-N 1 2 309.373 1.270 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc(C)n[nH]1)C2 ZINC001096941119 771529901 /nfs/dbraw/zinc/52/99/01/771529901.db2.gz CGEIRPXTJQSSBU-MCIONIFRSA-N 1 2 308.813 1.735 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc(C)n[nH]1)C2 ZINC001096941119 771529904 /nfs/dbraw/zinc/52/99/04/771529904.db2.gz CGEIRPXTJQSSBU-MCIONIFRSA-N 1 2 308.813 1.735 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc(C)[nH]n1)C2 ZINC001096941119 771529908 /nfs/dbraw/zinc/52/99/08/771529908.db2.gz CGEIRPXTJQSSBU-MCIONIFRSA-N 1 2 308.813 1.735 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc(C)[nH]n1)C2 ZINC001096941119 771529911 /nfs/dbraw/zinc/52/99/11/771529911.db2.gz CGEIRPXTJQSSBU-MCIONIFRSA-N 1 2 308.813 1.735 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@H+](Cc2cnns2)CC1 ZINC001159587570 771713668 /nfs/dbraw/zinc/71/36/68/771713668.db2.gz UFIBQFPVUHUMOE-LLVKDONJSA-N 1 2 305.407 1.336 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC1=CC[N@@H+](Cc2cnns2)CC1 ZINC001159587570 771713670 /nfs/dbraw/zinc/71/36/70/771713670.db2.gz UFIBQFPVUHUMOE-LLVKDONJSA-N 1 2 305.407 1.336 20 30 DDEDLO COC(=O)c1ccc(C(=[NH2+])Nc2cnc3c(c2)OCCO3)cc1 ZINC001170985764 772022591 /nfs/dbraw/zinc/02/25/91/772022591.db2.gz YTCAVWHDJRZKEX-UHFFFAOYSA-N 1 2 313.313 1.676 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@@H](C)[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001090970906 772397749 /nfs/dbraw/zinc/39/77/49/772397749.db2.gz HNMZFIVBUUAKHQ-KFNAQCHYSA-N 1 2 304.394 1.371 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@]3(C)C2)s1 ZINC001091545948 772817507 /nfs/dbraw/zinc/81/75/07/772817507.db2.gz WIPIWSAVSCPFNT-NVBFEUDRSA-N 1 2 319.434 1.287 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@]3(C)C2)s1 ZINC001091545948 772817511 /nfs/dbraw/zinc/81/75/11/772817511.db2.gz WIPIWSAVSCPFNT-NVBFEUDRSA-N 1 2 319.434 1.287 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C)s1)C2 ZINC001147255939 773081319 /nfs/dbraw/zinc/08/13/19/773081319.db2.gz DPSXVTICJMBXHX-UHFFFAOYSA-N 1 2 322.434 1.083 20 30 DDEDLO C=CCOCC(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C)s1)C2 ZINC001147255939 773081321 /nfs/dbraw/zinc/08/13/21/773081321.db2.gz DPSXVTICJMBXHX-UHFFFAOYSA-N 1 2 322.434 1.083 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nccs1)C2 ZINC001147441264 773148096 /nfs/dbraw/zinc/14/80/96/773148096.db2.gz TYBIUZIQWLAJGR-UHFFFAOYSA-N 1 2 303.431 1.981 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccs1)C2 ZINC001147441264 773148098 /nfs/dbraw/zinc/14/80/98/773148098.db2.gz TYBIUZIQWLAJGR-UHFFFAOYSA-N 1 2 303.431 1.981 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@@H+](Cc1nncn1C)C2 ZINC001147640351 773188902 /nfs/dbraw/zinc/18/89/02/773188902.db2.gz WTDHUOFPENSEOT-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[N@H+](Cc1nncn1C)C2 ZINC001147640351 773188904 /nfs/dbraw/zinc/18/89/04/773188904.db2.gz WTDHUOFPENSEOT-UHFFFAOYSA-N 1 2 303.410 1.206 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001073803316 773425367 /nfs/dbraw/zinc/42/53/67/773425367.db2.gz RKPUOZUGWOYFFA-KGLIPLIRSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CC[N@@H+]1CCCO[C@@H](CNC(=O)c2cccc3nc[nH]c32)C1 ZINC001073829975 773450400 /nfs/dbraw/zinc/45/04/00/773450400.db2.gz DQUSEKMASYOSKE-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCCO[C@@H](CNC(=O)c2cccc3nc[nH]c32)C1 ZINC001073829975 773450405 /nfs/dbraw/zinc/45/04/05/773450405.db2.gz DQUSEKMASYOSKE-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C[C@@H](C#N)c1cccc(C(=O)NCC[N@@H+]2CCOC[C@H]2C)c1 ZINC001148621796 773553768 /nfs/dbraw/zinc/55/37/68/773553768.db2.gz FXKVSMOHSXFXCK-UONOGXRCSA-N 1 2 301.390 1.764 20 30 DDEDLO C[C@@H](C#N)c1cccc(C(=O)NCC[N@H+]2CCOC[C@H]2C)c1 ZINC001148621796 773553772 /nfs/dbraw/zinc/55/37/72/773553772.db2.gz FXKVSMOHSXFXCK-UONOGXRCSA-N 1 2 301.390 1.764 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@@H]1C ZINC001073996556 773576767 /nfs/dbraw/zinc/57/67/67/773576767.db2.gz LEQOTLRDRCCGMW-GJZGRUSLSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(COCC)CC2)[C@H](O)C1 ZINC001099895852 773683212 /nfs/dbraw/zinc/68/32/12/773683212.db2.gz ABPPAKRGXBSRMK-QWHCGFSZSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(COCC)CC2)[C@H](O)C1 ZINC001099895852 773683215 /nfs/dbraw/zinc/68/32/15/773683215.db2.gz ABPPAKRGXBSRMK-QWHCGFSZSA-N 1 2 316.829 1.107 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccoc3C)C[C@H]21 ZINC001074166441 773690077 /nfs/dbraw/zinc/69/00/77/773690077.db2.gz HMKKRTHIGYKEQN-CVEARBPZSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccoc3C)C[C@H]21 ZINC001074166441 773690081 /nfs/dbraw/zinc/69/00/81/773690081.db2.gz HMKKRTHIGYKEQN-CVEARBPZSA-N 1 2 302.374 1.527 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc[nH]3)C[C@H]21 ZINC001074225106 773749740 /nfs/dbraw/zinc/74/97/40/773749740.db2.gz BJZQCWNUUMBBGQ-SDKBWNRFSA-N 1 2 315.417 1.906 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccc[nH]3)C[C@H]21 ZINC001074225106 773749743 /nfs/dbraw/zinc/74/97/43/773749743.db2.gz BJZQCWNUUMBBGQ-SDKBWNRFSA-N 1 2 315.417 1.906 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]3OCC[N@@H+](CCCO)[C@H]3C2)CC1 ZINC001074326444 773824827 /nfs/dbraw/zinc/82/48/27/773824827.db2.gz FLRMJXSDZDVJHM-DLBZAZTESA-N 1 2 322.449 1.417 20 30 DDEDLO C=C1CCC(C(=O)N2CC[C@H]3OCC[N@H+](CCCO)[C@H]3C2)CC1 ZINC001074326444 773824831 /nfs/dbraw/zinc/82/48/31/773824831.db2.gz FLRMJXSDZDVJHM-DLBZAZTESA-N 1 2 322.449 1.417 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCn3cccc3)C[C@H]21 ZINC001074371367 773863638 /nfs/dbraw/zinc/86/36/38/773863638.db2.gz JVPIFBQRKXDNTQ-IAGOWNOFSA-N 1 2 315.417 1.203 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCn3cccc3)C[C@H]21 ZINC001074371367 773863640 /nfs/dbraw/zinc/86/36/40/773863640.db2.gz JVPIFBQRKXDNTQ-IAGOWNOFSA-N 1 2 315.417 1.203 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ncccc3F)C[C@@H]21 ZINC001074372097 773864541 /nfs/dbraw/zinc/86/45/41/773864541.db2.gz RIEOQQDRMZTNLP-LSDHHAIUSA-N 1 2 319.380 1.712 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ncccc3F)C[C@@H]21 ZINC001074372097 773864546 /nfs/dbraw/zinc/86/45/46/773864546.db2.gz RIEOQQDRMZTNLP-LSDHHAIUSA-N 1 2 319.380 1.712 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1[nH]ccc1C)c1nccn12 ZINC001092366297 774105801 /nfs/dbraw/zinc/10/58/01/774105801.db2.gz QUDJRIWXLVSGGV-ZDUSSCGKSA-N 1 2 311.389 1.591 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)[C@H](C)C1 ZINC001074886035 774216385 /nfs/dbraw/zinc/21/63/85/774216385.db2.gz BWWJIUOXBVZDNZ-CABCVRRESA-N 1 2 318.421 1.735 20 30 DDEDLO Cc1nc(N2CCN(C(=O)CC#Cc3ccccc3)CC2)cc[nH+]1 ZINC001093156522 774456231 /nfs/dbraw/zinc/45/62/31/774456231.db2.gz KKZYNYGFERONKZ-UHFFFAOYSA-N 1 2 320.396 1.875 20 30 DDEDLO N#CCN1CC[C@@H]2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)CC[C@@H]21 ZINC001036751014 774474156 /nfs/dbraw/zinc/47/41/56/774474156.db2.gz WNVZRKMAQDJXNH-WBMJQRKESA-N 1 2 309.373 1.623 20 30 DDEDLO C[C@H](CC(=O)NC[C@H](C)Nc1cnc(C#N)cn1)n1cc[nH+]c1 ZINC001098302084 774530743 /nfs/dbraw/zinc/53/07/43/774530743.db2.gz CAZQOKGFUKDECF-NWDGAFQWSA-N 1 2 313.365 1.113 20 30 DDEDLO CCC(=O)N1CC(n2cc(C[NH2+]Cc3cccc(C#N)c3)nn2)C1 ZINC001093294044 774589114 /nfs/dbraw/zinc/58/91/14/774589114.db2.gz TZOLFEYKJSRBQL-UHFFFAOYSA-N 1 2 324.388 1.233 20 30 DDEDLO C[C@@H](CCNC(=O)CCc1[nH]cc[nH+]1)Nc1ccncc1C#N ZINC001099032141 774783415 /nfs/dbraw/zinc/78/34/15/774783415.db2.gz PAXWNHGMSBZAOH-LBPRGKRZSA-N 1 2 312.377 1.038 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)CC3(O)CCC3)CC2)C1 ZINC001093534047 774788577 /nfs/dbraw/zinc/78/85/77/774788577.db2.gz GNRXRRPAEZHADS-UHFFFAOYSA-N 1 2 322.449 1.559 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H](OC)C(C)C)CC2)C1 ZINC001093568771 774840021 /nfs/dbraw/zinc/84/00/21/774840021.db2.gz RGILNJOLMWXURQ-KRWDZBQOSA-N 1 2 324.465 1.925 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2c(F)cccc2F)C[C@@H]1O ZINC001099727885 775194368 /nfs/dbraw/zinc/19/43/68/775194368.db2.gz SUUGSJLKZZNTTN-CVEARBPZSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2c(F)cccc2F)C[C@@H]1O ZINC001099727885 775194376 /nfs/dbraw/zinc/19/43/76/775194376.db2.gz SUUGSJLKZZNTTN-CVEARBPZSA-N 1 2 324.371 1.982 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH]c[nH+]1)Nc1ccncc1C#N ZINC001099765154 775242373 /nfs/dbraw/zinc/24/23/73/775242373.db2.gz LNEYLFBZPJMISH-GFCCVEGCSA-N 1 2 312.377 1.038 20 30 DDEDLO C[C@H](CCNC(=O)CCc1c[nH+]c[nH]1)Nc1ccncc1C#N ZINC001099765154 775242377 /nfs/dbraw/zinc/24/23/77/775242377.db2.gz LNEYLFBZPJMISH-GFCCVEGCSA-N 1 2 312.377 1.038 20 30 DDEDLO Cc1csc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)C)[C@H](O)C2)n1 ZINC001099821768 775328285 /nfs/dbraw/zinc/32/82/85/775328285.db2.gz XTWZYHCTGNPWPZ-UONOGXRCSA-N 1 2 321.446 1.162 20 30 DDEDLO Cc1csc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)C)[C@H](O)C2)n1 ZINC001099821768 775328292 /nfs/dbraw/zinc/32/82/92/775328292.db2.gz XTWZYHCTGNPWPZ-UONOGXRCSA-N 1 2 321.446 1.162 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1CC[N@@H+](CC(=C)Cl)C[C@H]1O ZINC001099959310 775480329 /nfs/dbraw/zinc/48/03/29/775480329.db2.gz FBVRCGDAVSAWAH-HUUCEWRRSA-N 1 2 312.841 1.874 20 30 DDEDLO C#CCCCCCC(=O)N[C@@H]1CC[N@H+](CC(=C)Cl)C[C@H]1O ZINC001099959310 775480334 /nfs/dbraw/zinc/48/03/34/775480334.db2.gz FBVRCGDAVSAWAH-HUUCEWRRSA-N 1 2 312.841 1.874 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@H](C)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001094188784 775549981 /nfs/dbraw/zinc/54/99/81/775549981.db2.gz NTJGINRKVKWXRC-LLVKDONJSA-N 1 2 312.377 1.392 20 30 DDEDLO Cc1nc(NCCNC(=O)[C@H](C)Cc2c[nH+]c[nH]2)ccc1C#N ZINC001094188784 775549991 /nfs/dbraw/zinc/54/99/91/775549991.db2.gz NTJGINRKVKWXRC-LLVKDONJSA-N 1 2 312.377 1.392 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)c2cc3c[nH+]ccc3[nH]2)n1 ZINC001094236760 775573621 /nfs/dbraw/zinc/57/36/21/775573621.db2.gz YUQMXFXOQAZHKP-UHFFFAOYSA-N 1 2 320.356 1.980 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@H]3C3CCC3)nn2)C1 ZINC001094326547 775705981 /nfs/dbraw/zinc/70/59/81/775705981.db2.gz JFXPOTNQKBHTKW-JKSUJKDBSA-N 1 2 315.421 1.373 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@H]3C[C@H]3C(C)C)nn2)C1 ZINC001094332096 775715401 /nfs/dbraw/zinc/71/54/01/775715401.db2.gz KRPLEZHJVVZJTD-HOTGVXAUSA-N 1 2 315.421 1.066 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCCN(CC)c1cc[nH+]c(C)n1 ZINC001100461917 776189148 /nfs/dbraw/zinc/18/91/48/776189148.db2.gz QFVLSXAYJQDSLN-ZDUSSCGKSA-N 1 2 306.410 1.709 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)c3ccc(CC)o3)CC2=O)C1 ZINC001094758268 776252733 /nfs/dbraw/zinc/25/27/33/776252733.db2.gz ZQDSUXUTJYZOQN-LBPRGKRZSA-N 1 2 317.389 1.043 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC[C@@H]2CNC(=O)Cn2cc[nH+]c2)n1 ZINC001100843307 776624750 /nfs/dbraw/zinc/62/47/50/776624750.db2.gz DYTBDNWNNVHJQS-OAHLLOKOSA-N 1 2 324.388 1.243 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@H]1CCCN1c1ccc(C#N)cn1 ZINC001100849785 776630629 /nfs/dbraw/zinc/63/06/29/776630629.db2.gz ZBZWJTSWJGXNAO-CQSZACIVSA-N 1 2 324.388 1.014 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]2CNC(=O)Cc2c[nH]c[nH+]2)nc1 ZINC001100910941 776695120 /nfs/dbraw/zinc/69/51/20/776695120.db2.gz WMXYISGLUJERFI-CQSZACIVSA-N 1 2 310.361 1.004 20 30 DDEDLO Cc1nc(N2CC[C@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001101063381 776871342 /nfs/dbraw/zinc/87/13/42/776871342.db2.gz ZZNBNMNKBGCSLD-CYBMUJFWSA-N 1 2 324.388 1.170 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn[nH]c1)C2 ZINC001095204226 777233429 /nfs/dbraw/zinc/23/34/29/777233429.db2.gz LKQARLFBDLNJMD-IKGGRYGDSA-N 1 2 321.384 1.817 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn[nH]c1)C2 ZINC001095204226 777233436 /nfs/dbraw/zinc/23/34/36/777233436.db2.gz LKQARLFBDLNJMD-IKGGRYGDSA-N 1 2 321.384 1.817 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)COC(C)(C)C)c1nccn12 ZINC001101624313 777318707 /nfs/dbraw/zinc/31/87/07/777318707.db2.gz RHKLDVYNRSVDMC-CYBMUJFWSA-N 1 2 318.421 1.456 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C#CC(C)C)c1nccn12 ZINC001101628253 777323477 /nfs/dbraw/zinc/32/34/77/777323477.db2.gz ZIMQZXBWCZFPES-OAHLLOKOSA-N 1 2 310.401 1.138 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)CCCF)c1nccn12 ZINC001101637118 777334068 /nfs/dbraw/zinc/33/40/68/777334068.db2.gz DYYOLZQYVSLIRZ-CYBMUJFWSA-N 1 2 304.369 1.228 20 30 DDEDLO N#Cc1cccc(Nc2cnn(CC[NH+]3CCOCC3)c2)c1F ZINC001174492224 777486086 /nfs/dbraw/zinc/48/60/86/777486086.db2.gz RSVPYEXXCLKURE-UHFFFAOYSA-N 1 2 315.352 1.970 20 30 DDEDLO COCC#CC[NH2+]CCCC[C@H](C)NC(=O)c1[nH]ncc1F ZINC001175115727 777683462 /nfs/dbraw/zinc/68/34/62/777683462.db2.gz WVDLSYNDRGMRJC-LBPRGKRZSA-N 1 2 310.373 1.077 20 30 DDEDLO CN(CCNc1nccnc1C#N)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001102023509 777805312 /nfs/dbraw/zinc/80/53/12/777805312.db2.gz XHCZLCYORTWZDB-UHFFFAOYSA-N 1 2 321.344 1.409 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCOCC(C)C)C[C@@H]21 ZINC001176930709 778319613 /nfs/dbraw/zinc/31/96/13/778319613.db2.gz SYKBAGNQZMJFKP-IRXDYDNUSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCOCC(C)C)C[C@@H]21 ZINC001176930709 778319621 /nfs/dbraw/zinc/31/96/21/778319621.db2.gz SYKBAGNQZMJFKP-IRXDYDNUSA-N 1 2 322.449 1.374 20 30 DDEDLO C#Cc1cc(NC(=O)[C@H]2CCCN(c3cccc[nH+]3)C2)ccn1 ZINC001176957357 778348372 /nfs/dbraw/zinc/34/83/72/778348372.db2.gz HMJZQKASFWBKSV-AWEZNQCLSA-N 1 2 306.369 1.735 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)(C)C)C[C@@H]21 ZINC001177007039 778387204 /nfs/dbraw/zinc/38/72/04/778387204.db2.gz WNKXVGNXCQCIBS-HOTGVXAUSA-N 1 2 302.418 1.361 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)(C)C)C[C@@H]21 ZINC001177007039 778387213 /nfs/dbraw/zinc/38/72/13/778387213.db2.gz WNKXVGNXCQCIBS-HOTGVXAUSA-N 1 2 302.418 1.361 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CC)CC3)C[C@H]21 ZINC001177095975 778444744 /nfs/dbraw/zinc/44/47/44/778444744.db2.gz TVPRVALCOOQXOT-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CC)CC3)C[C@H]21 ZINC001177095975 778444746 /nfs/dbraw/zinc/44/47/46/778444746.db2.gz TVPRVALCOOQXOT-HZPDHXFCSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C[C@@H]1C[C@@]1(NC(=O)CN(C)c1cccc[nH+]1)C(=O)OCC ZINC001177416431 778588801 /nfs/dbraw/zinc/58/88/01/778588801.db2.gz BWXCPRUXCULIRZ-WBMJQRKESA-N 1 2 303.362 1.142 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](CC)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001103260568 778743859 /nfs/dbraw/zinc/74/38/59/778743859.db2.gz BJLVRLWVJFDQIG-GFCCVEGCSA-N 1 2 320.441 1.765 20 30 DDEDLO CCc1nc(N2CCC[C@@H]2CCNC(=O)[C@H](C)C#N)cc(C)[nH+]1 ZINC001103725350 779015580 /nfs/dbraw/zinc/01/55/80/779015580.db2.gz UGTZGZMUNCPALP-TZMCWYRMSA-N 1 2 315.421 1.982 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c[nH+]cn1C)CNc1ccc(C#N)cn1 ZINC001104023076 779249515 /nfs/dbraw/zinc/24/95/15/779249515.db2.gz RNZOADOFTXXOKN-GFCCVEGCSA-N 1 2 312.377 1.094 20 30 DDEDLO COCC#CC[NH2+]CCCCCCNC(=O)c1[nH]ncc1F ZINC001179511055 779403532 /nfs/dbraw/zinc/40/35/32/779403532.db2.gz ZMGMMKKYDGXNPI-UHFFFAOYSA-N 1 2 310.373 1.078 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)co1 ZINC001111667066 779427619 /nfs/dbraw/zinc/42/76/19/779427619.db2.gz SSTZFPBHZCHNCU-RBOXIYTFSA-N 1 2 302.378 1.613 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)co1 ZINC001111667066 779427622 /nfs/dbraw/zinc/42/76/22/779427622.db2.gz SSTZFPBHZCHNCU-RBOXIYTFSA-N 1 2 302.378 1.613 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nncn1C)C2 ZINC001111855691 779519806 /nfs/dbraw/zinc/51/98/06/779519806.db2.gz IMDXCVWJVVZGSH-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nncn1C)C2 ZINC001111855691 779519811 /nfs/dbraw/zinc/51/98/11/779519811.db2.gz IMDXCVWJVVZGSH-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO C[C@H](CN(C)C(=O)Cc1[nH]cc[nH+]1)Nc1cccc(F)c1C#N ZINC001119978293 781704670 /nfs/dbraw/zinc/70/46/70/781704670.db2.gz FQSQDHFOQPQVAO-LLVKDONJSA-N 1 2 315.352 1.922 20 30 DDEDLO O=C(NCCN1CC[NH+](CC#Cc2ccccc2)CC1)C(F)F ZINC001266279178 836034085 /nfs/dbraw/zinc/03/40/85/836034085.db2.gz GUPSNIAGKGKDLX-UHFFFAOYSA-N 1 2 321.371 1.037 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@H](CCCC)C(C)C)CC1 ZINC001266296608 836071921 /nfs/dbraw/zinc/07/19/21/836071921.db2.gz BNAKIBGIJYELMY-QGZVFWFLSA-N 1 2 307.482 1.816 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[N@@H+](CC)Cc2nocc2C)c1 ZINC001266416957 836226767 /nfs/dbraw/zinc/22/67/67/836226767.db2.gz RSGIYEZSVVHWFW-UHFFFAOYSA-N 1 2 312.373 1.611 20 30 DDEDLO C#Cc1cncc(C(=O)NCC[N@H+](CC)Cc2nocc2C)c1 ZINC001266416957 836226777 /nfs/dbraw/zinc/22/67/77/836226777.db2.gz RSGIYEZSVVHWFW-UHFFFAOYSA-N 1 2 312.373 1.611 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@H](CNC(=O)C#CC(C)C)C(C)C)no1 ZINC001271838779 844148224 /nfs/dbraw/zinc/14/82/24/844148224.db2.gz PMFPWHJCBWGNFZ-TZMCWYRMSA-N 1 2 306.410 1.829 20 30 DDEDLO COCC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC[C@H](F)C1 ZINC001266494411 836345871 /nfs/dbraw/zinc/34/58/71/836345871.db2.gz QQTMYHHYEINUDG-QXSJWSMHSA-N 1 2 308.397 1.450 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC[C@H](F)C1 ZINC001266494411 836345876 /nfs/dbraw/zinc/34/58/76/836345876.db2.gz QQTMYHHYEINUDG-QXSJWSMHSA-N 1 2 308.397 1.450 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](CCC)Cc1coc(C)n1 ZINC001266573438 836484280 /nfs/dbraw/zinc/48/42/80/836484280.db2.gz UPQHTZVZAQZTMU-CVEARBPZSA-N 1 2 321.421 1.902 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](CCC)Cc1coc(C)n1 ZINC001266573438 836484288 /nfs/dbraw/zinc/48/42/88/836484288.db2.gz UPQHTZVZAQZTMU-CVEARBPZSA-N 1 2 321.421 1.902 20 30 DDEDLO CCc1nnc([C@H](C)[NH2+]CCN(C)C(=O)C#CC(C)(C)C)o1 ZINC001266694601 836681665 /nfs/dbraw/zinc/68/16/65/836681665.db2.gz SMNYFPMTNDNSBA-LBPRGKRZSA-N 1 2 306.410 1.791 20 30 DDEDLO C=CCCC(=O)N[C@]1(CO)CCC[N@@H+]([C@@H](C)c2ncc(C)o2)C1 ZINC001271949424 844228618 /nfs/dbraw/zinc/22/86/18/844228618.db2.gz IMQLLUQHTLGIHP-WMLDXEAASA-N 1 2 321.421 1.953 20 30 DDEDLO C=CCCC(=O)N[C@]1(CO)CCC[N@H+]([C@@H](C)c2ncc(C)o2)C1 ZINC001271949424 844228632 /nfs/dbraw/zinc/22/86/32/844228632.db2.gz IMQLLUQHTLGIHP-WMLDXEAASA-N 1 2 321.421 1.953 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cccnc1 ZINC001267405651 838001167 /nfs/dbraw/zinc/00/11/67/838001167.db2.gz OPKPYFBWTOIOOE-BHYGNILZSA-N 1 2 313.401 1.295 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cccnc1 ZINC001267405651 838001170 /nfs/dbraw/zinc/00/11/70/838001170.db2.gz OPKPYFBWTOIOOE-BHYGNILZSA-N 1 2 313.401 1.295 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CC(=O)N(C)C)CCC1 ZINC001267423649 838021308 /nfs/dbraw/zinc/02/13/08/838021308.db2.gz RTXUDFIRWHFWMM-GASCZTMLSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CC(=O)N(C)C)CCC1 ZINC001267423649 838021316 /nfs/dbraw/zinc/02/13/16/838021316.db2.gz RTXUDFIRWHFWMM-GASCZTMLSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@@H]2CCC(F)(F)C2)CC1 ZINC001267573607 838338309 /nfs/dbraw/zinc/33/83/09/838338309.db2.gz VVVAEOPRRXXQLT-CQSZACIVSA-N 1 2 315.408 1.684 20 30 DDEDLO C[C@H](CC(=O)N(C)CC[NH+]1CCN(CC#N)CC1)C(F)(F)F ZINC001267574665 838342447 /nfs/dbraw/zinc/34/24/47/838342447.db2.gz PAEPYVOBCFREFV-GFCCVEGCSA-N 1 2 320.359 1.174 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)CC(C1CC1)C1CC1 ZINC001267618692 838504921 /nfs/dbraw/zinc/50/49/21/838504921.db2.gz QXFRZRKEBGEGOL-UHFFFAOYSA-N 1 2 318.465 1.412 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C[C@@H](C)CC(C)C)C1 ZINC001267633670 838539588 /nfs/dbraw/zinc/53/95/88/838539588.db2.gz JJSDOQITNIXJQY-HOTGVXAUSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C[C@@H](C)CC(C)C)C1 ZINC001267633670 838539595 /nfs/dbraw/zinc/53/95/95/838539595.db2.gz JJSDOQITNIXJQY-HOTGVXAUSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCC1C[NH+](Cc2ccc(F)cc2F)C1 ZINC001267679518 838632117 /nfs/dbraw/zinc/63/21/17/838632117.db2.gz QDEZPHUVVWGUBE-KRWDZBQOSA-N 1 2 324.371 1.840 20 30 DDEDLO C=CCC(CC=C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@@H](CC)C(N)=O ZINC001267705435 838686096 /nfs/dbraw/zinc/68/60/96/838686096.db2.gz ADESAMQHXANYLE-KKUMJFAQSA-N 1 2 305.422 1.304 20 30 DDEDLO C=CCC(CC=C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@@H](CC)C(N)=O ZINC001267705435 838686100 /nfs/dbraw/zinc/68/61/00/838686100.db2.gz ADESAMQHXANYLE-KKUMJFAQSA-N 1 2 305.422 1.304 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@@H]1CC[N@H+](CC=C(Cl)Cl)C1 ZINC001267762230 838892287 /nfs/dbraw/zinc/89/22/87/838892287.db2.gz PMWXQMYXXKILGM-NWDGAFQWSA-N 1 2 319.232 1.876 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)[C@@H]1CC[N@@H+](CC=C(Cl)Cl)C1 ZINC001267762230 838892301 /nfs/dbraw/zinc/89/23/01/838892301.db2.gz PMWXQMYXXKILGM-NWDGAFQWSA-N 1 2 319.232 1.876 20 30 DDEDLO C[C@@H]1CCCC[C@@]1(CNCC#N)NC(=O)CCc1c[nH+]cn1C ZINC001267873940 839107845 /nfs/dbraw/zinc/10/78/45/839107845.db2.gz NNUQZIZSULCXOF-PBHICJAKSA-N 1 2 317.437 1.531 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)[C@@H](Cc2ccccc2)OC)C1 ZINC001268029741 839445927 /nfs/dbraw/zinc/44/59/27/839445927.db2.gz ZEUCFJXGMSBMTQ-DLBZAZTESA-N 1 2 318.417 1.247 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H](Cc2ccccc2)OC)C1 ZINC001268029741 839445931 /nfs/dbraw/zinc/44/59/31/839445931.db2.gz ZEUCFJXGMSBMTQ-DLBZAZTESA-N 1 2 318.417 1.247 20 30 DDEDLO CCN(CC[NH2+]Cc1nc2c(o1)CCCC2)C(=O)[C@H](C)C#N ZINC001268440551 840191477 /nfs/dbraw/zinc/19/14/77/840191477.db2.gz MDVFSOYCSMSOLP-GFCCVEGCSA-N 1 2 304.394 1.651 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)CCCc2nc(CCC)no2)C1 ZINC001268484913 840282914 /nfs/dbraw/zinc/28/29/14/840282914.db2.gz LZJWLKGEIWHDKB-UHFFFAOYSA-N 1 2 306.410 1.721 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC(CNC(=O)c2occc2C(C)C)C1 ZINC001268867934 840923778 /nfs/dbraw/zinc/92/37/78/840923778.db2.gz WZSFRPIPEITBFL-UHFFFAOYSA-N 1 2 319.405 1.367 20 30 DDEDLO C=C(Cl)C(=O)N1CC2(C1)C[NH+](Cc1cc(C#N)n(C)c1)C2 ZINC001268961953 841061874 /nfs/dbraw/zinc/06/18/74/841061874.db2.gz ASHSLZBHDOZJGG-UHFFFAOYSA-N 1 2 304.781 1.293 20 30 DDEDLO CCCC#CC(=O)N1CC2(C1)CC[N@H+](Cc1nccn1CC)C2 ZINC001272111493 844621106 /nfs/dbraw/zinc/62/11/06/844621106.db2.gz FVZFYKHXKRDJJH-UHFFFAOYSA-N 1 2 314.433 1.741 20 30 DDEDLO CCCC#CC(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1CC)C2 ZINC001272111493 844621110 /nfs/dbraw/zinc/62/11/10/844621110.db2.gz FVZFYKHXKRDJJH-UHFFFAOYSA-N 1 2 314.433 1.741 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CC[N@@H+]1Cc1cc(C)ccc1F ZINC001269234498 841392225 /nfs/dbraw/zinc/39/22/25/841392225.db2.gz AMMXTOHRRDYKFR-HOCLYGCPSA-N 1 2 318.392 1.863 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CC[N@H+]1Cc1cc(C)ccc1F ZINC001269234498 841392228 /nfs/dbraw/zinc/39/22/28/841392228.db2.gz AMMXTOHRRDYKFR-HOCLYGCPSA-N 1 2 318.392 1.863 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@@H+]1CC[C@H](N(C)C(=O)C#CC(C)C)C1 ZINC001269241884 841411832 /nfs/dbraw/zinc/41/18/32/841411832.db2.gz ZNWAVEOPJYHIJE-CABCVRRESA-N 1 2 307.438 1.093 20 30 DDEDLO CC[C@@H](C)NC(=O)C[N@H+]1CC[C@H](N(C)C(=O)C#CC(C)C)C1 ZINC001269241884 841411847 /nfs/dbraw/zinc/41/18/47/841411847.db2.gz ZNWAVEOPJYHIJE-CABCVRRESA-N 1 2 307.438 1.093 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001269288109 841468209 /nfs/dbraw/zinc/46/82/09/841468209.db2.gz ZUTLYXZQIWSUGZ-WMLDXEAASA-N 1 2 307.438 1.400 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001269288109 841468212 /nfs/dbraw/zinc/46/82/12/841468212.db2.gz ZUTLYXZQIWSUGZ-WMLDXEAASA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1(CF)CCC1 ZINC001269290736 841475572 /nfs/dbraw/zinc/47/55/72/841475572.db2.gz DLJAAQVFHHFTQE-QWHCGFSZSA-N 1 2 311.401 1.007 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C1(CF)CCC1 ZINC001269290736 841475579 /nfs/dbraw/zinc/47/55/79/841475579.db2.gz DLJAAQVFHHFTQE-QWHCGFSZSA-N 1 2 311.401 1.007 20 30 DDEDLO C=CCN1CC[C@]2(CCCN(C(=O)Cn3cc[nH+]c3)CC2)C1=O ZINC001269298456 841488327 /nfs/dbraw/zinc/48/83/27/841488327.db2.gz KJXKLRVWAHZOFL-QGZVFWFLSA-N 1 2 316.405 1.300 20 30 DDEDLO C=CC1(CC(=O)NC[C@@H]2CC[N@H+]2[C@H](C)C(N)=O)CCCCC1 ZINC001269320783 841515933 /nfs/dbraw/zinc/51/59/33/841515933.db2.gz JDVATRYFPOYNAF-KGLIPLIRSA-N 1 2 307.438 1.577 20 30 DDEDLO C=CC1(CC(=O)NC[C@@H]2CC[N@@H+]2[C@H](C)C(N)=O)CCCCC1 ZINC001269320783 841515937 /nfs/dbraw/zinc/51/59/37/841515937.db2.gz JDVATRYFPOYNAF-KGLIPLIRSA-N 1 2 307.438 1.577 20 30 DDEDLO N#CCN1CCC[C@@]2(CCN(C(=O)CCCn3cc[nH+]c3)C2)C1 ZINC001269699952 841947508 /nfs/dbraw/zinc/94/75/08/841947508.db2.gz LCNKGPBXDOOTRX-QGZVFWFLSA-N 1 2 315.421 1.501 20 30 DDEDLO N#Cc1cccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)c1 ZINC001269714674 841960430 /nfs/dbraw/zinc/96/04/30/841960430.db2.gz ALSBVKANGDKQFN-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1cccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)c1 ZINC001269714674 841960439 /nfs/dbraw/zinc/96/04/39/841960439.db2.gz ALSBVKANGDKQFN-CALCHBBNSA-N 1 2 321.384 1.770 20 30 DDEDLO CC#CC[NH2+]C[C@@H]1CCCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001270104989 842298800 /nfs/dbraw/zinc/29/88/00/842298800.db2.gz HWVQYOOGPCYODE-INIZCTEOSA-N 1 2 323.400 1.689 20 30 DDEDLO C#CCCCCC(=O)N[C@]1(C)CC[N@@H+](Cc2cn(C)nn2)C1 ZINC001270576702 842685011 /nfs/dbraw/zinc/68/50/11/842685011.db2.gz MPQHCINMMSALBJ-MRXNPFEDSA-N 1 2 303.410 1.089 20 30 DDEDLO C#CCCCCC(=O)N[C@]1(C)CC[N@H+](Cc2cn(C)nn2)C1 ZINC001270576702 842685016 /nfs/dbraw/zinc/68/50/16/842685016.db2.gz MPQHCINMMSALBJ-MRXNPFEDSA-N 1 2 303.410 1.089 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O ZINC001154720021 861391136 /nfs/dbraw/zinc/39/11/36/861391136.db2.gz SAKFUHUTGMLAHY-CQSZACIVSA-N 1 2 316.467 1.051 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O ZINC001154720021 861391143 /nfs/dbraw/zinc/39/11/43/861391143.db2.gz SAKFUHUTGMLAHY-CQSZACIVSA-N 1 2 316.467 1.051 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@]1(O)CC[N@H+](Cc2nccs2)C1 ZINC001271175576 843380306 /nfs/dbraw/zinc/38/03/06/843380306.db2.gz QAUAFPZSYXGCBU-MRXNPFEDSA-N 1 2 323.462 1.798 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@]1(O)CC[N@@H+](Cc2nccs2)C1 ZINC001271175576 843380319 /nfs/dbraw/zinc/38/03/19/843380319.db2.gz QAUAFPZSYXGCBU-MRXNPFEDSA-N 1 2 323.462 1.798 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)C(C)(C)CC(C)C ZINC001272145593 844653522 /nfs/dbraw/zinc/65/35/22/844653522.db2.gz OPTDUDVFHVCXAL-UHFFFAOYSA-N 1 2 309.454 1.198 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)C(C)(C)CC(C)C ZINC001272145593 844653524 /nfs/dbraw/zinc/65/35/24/844653524.db2.gz OPTDUDVFHVCXAL-UHFFFAOYSA-N 1 2 309.454 1.198 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)COCC[N@H+](Cc1cc(C)n[nH]1)C2 ZINC001272544237 846300251 /nfs/dbraw/zinc/30/02/51/846300251.db2.gz OTYGTLGBSBXPMX-KRWDZBQOSA-N 1 2 318.421 1.345 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1cc(C)n[nH]1)C2 ZINC001272544237 846300260 /nfs/dbraw/zinc/30/02/60/846300260.db2.gz OTYGTLGBSBXPMX-KRWDZBQOSA-N 1 2 318.421 1.345 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@]23CCN(CC(F)F)C3=O)cn1 ZINC001272602514 846388666 /nfs/dbraw/zinc/38/86/66/846388666.db2.gz JAWCLSVBHMEKFH-INIZCTEOSA-N 1 2 320.343 1.785 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@]23CCN(CC(F)F)C3=O)cn1 ZINC001272602514 846388679 /nfs/dbraw/zinc/38/86/79/846388679.db2.gz JAWCLSVBHMEKFH-INIZCTEOSA-N 1 2 320.343 1.785 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCC(F)(F)F)C1 ZINC001107839246 847277705 /nfs/dbraw/zinc/27/77/05/847277705.db2.gz KZYYMPICCQUXKH-CYBMUJFWSA-N 1 2 306.328 1.559 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCC(F)(F)F)C1 ZINC001107839246 847277727 /nfs/dbraw/zinc/27/77/27/847277727.db2.gz KZYYMPICCQUXKH-CYBMUJFWSA-N 1 2 306.328 1.559 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2coc(CC)n2)[C@@H](O)C1 ZINC001090205554 848298026 /nfs/dbraw/zinc/29/80/26/848298026.db2.gz GPYSBOHAJHAIHH-PWSUYJOCSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2coc(CC)n2)[C@@H](O)C1 ZINC001090205554 848298035 /nfs/dbraw/zinc/29/80/35/848298035.db2.gz GPYSBOHAJHAIHH-PWSUYJOCSA-N 1 2 313.785 1.155 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncccn1 ZINC001155326007 861963322 /nfs/dbraw/zinc/96/33/22/861963322.db2.gz PPQLOWRIMAWXCM-KFWWJZLASA-N 1 2 318.421 1.394 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncccn1 ZINC001155326007 861963331 /nfs/dbraw/zinc/96/33/31/861963331.db2.gz PPQLOWRIMAWXCM-KFWWJZLASA-N 1 2 318.421 1.394 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H](C)CNC(=O)CCCn1cc[nH+]c1 ZINC001280514139 849162609 /nfs/dbraw/zinc/16/26/09/849162609.db2.gz BBLWECXZRBWORP-OAHLLOKOSA-N 1 2 318.421 1.286 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H](C)C(=O)NCC(F)(F)F)nn1 ZINC001327239283 861986076 /nfs/dbraw/zinc/98/60/76/861986076.db2.gz UGFIXVSGPVGXAP-VIFPVBQESA-N 1 2 305.304 1.011 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001280516533 849266705 /nfs/dbraw/zinc/26/67/05/849266705.db2.gz VJTHJDVZLGTUBC-CQSZACIVSA-N 1 2 318.421 1.119 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)/C=C(/C)CC)C2)CC1 ZINC001273374895 849736069 /nfs/dbraw/zinc/73/60/69/849736069.db2.gz OKAMPNRGUFSUMN-YHKKIHSWSA-N 1 2 303.450 1.585 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CC13CC3)O2 ZINC001327365067 862107397 /nfs/dbraw/zinc/10/73/97/862107397.db2.gz QJMCIEZTKLMSNK-LSDHHAIUSA-N 1 2 320.433 1.339 20 30 DDEDLO CC(C)N(CC[N@H+](C)CC(=O)NCC(C)(C)C)C(=O)[C@@H](C)C#N ZINC001411185823 850346283 /nfs/dbraw/zinc/34/62/83/850346283.db2.gz PBSGAZRNQMXOGK-AWEZNQCLSA-N 1 2 324.469 1.477 20 30 DDEDLO CC(C)N(CC[N@@H+](C)CC(=O)NCC(C)(C)C)C(=O)[C@@H](C)C#N ZINC001411185823 850346292 /nfs/dbraw/zinc/34/62/92/850346292.db2.gz PBSGAZRNQMXOGK-AWEZNQCLSA-N 1 2 324.469 1.477 20 30 DDEDLO C[C@H](C[NH+]1CC2(C1)COCC(=O)N2CCCC#N)C(F)(F)F ZINC001273445701 850815248 /nfs/dbraw/zinc/81/52/48/850815248.db2.gz LHCVWOVBYFPJOT-LLVKDONJSA-N 1 2 319.327 1.402 20 30 DDEDLO C=CCNC(=O)[C@H]1CC12CCN(c1cc[nH+]c(OC)c1)CC2 ZINC001273586118 851117257 /nfs/dbraw/zinc/11/72/57/851117257.db2.gz YBTGMTMUAQLCLA-CQSZACIVSA-N 1 2 301.390 1.999 20 30 DDEDLO CC(C)COCC[NH+]1CC2(C1)C[C@@H](NC(=O)C#CC1CC1)CO2 ZINC001273694865 851233474 /nfs/dbraw/zinc/23/34/74/851233474.db2.gz AIOLISLZQLQZNO-MRXNPFEDSA-N 1 2 320.433 1.032 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2[C@H](C)C(=O)OC(C)(C)C ZINC001273707958 851252111 /nfs/dbraw/zinc/25/21/11/851252111.db2.gz VOASRJIGFSJRAT-RDTXWAMCSA-N 1 2 320.433 1.807 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@@H+]2[C@H](C)C(=O)OC(C)(C)C ZINC001273707958 851252121 /nfs/dbraw/zinc/25/21/21/851252121.db2.gz VOASRJIGFSJRAT-RDTXWAMCSA-N 1 2 320.433 1.807 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1COC2(C[NH+]([C@H](C)COC)C2)C1 ZINC001273712983 851255936 /nfs/dbraw/zinc/25/59/36/851255936.db2.gz LLFWPXNVAXPBMT-KGLIPLIRSA-N 1 2 310.438 1.583 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1Cc2ccccc2CN1CC#N ZINC001327512896 862229181 /nfs/dbraw/zinc/22/91/81/862229181.db2.gz NLECBPOMQASMCA-INIZCTEOSA-N 1 2 323.400 1.327 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+](Cc3noc(C)n3)CC2)C1=O ZINC001273769745 851321457 /nfs/dbraw/zinc/32/14/57/851321457.db2.gz HZIJWSQINYDHEK-INIZCTEOSA-N 1 2 302.378 1.216 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+](Cc3noc(C)n3)CC2)C1=O ZINC001273769745 851321465 /nfs/dbraw/zinc/32/14/65/851321465.db2.gz HZIJWSQINYDHEK-INIZCTEOSA-N 1 2 302.378 1.216 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2Cc2cnc(F)c(OC)c2)C1=O ZINC001273794414 851353574 /nfs/dbraw/zinc/35/35/74/851353574.db2.gz YADOHYRMRQTJIU-QGZVFWFLSA-N 1 2 317.364 1.429 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2Cc2cnc(F)c(OC)c2)C1=O ZINC001273794414 851353579 /nfs/dbraw/zinc/35/35/79/851353579.db2.gz YADOHYRMRQTJIU-QGZVFWFLSA-N 1 2 317.364 1.429 20 30 DDEDLO C=C(C)CN1CC[C@]2(CC[N@@H+](Cc3noc(COC)n3)C2)C1=O ZINC001273821762 851385917 /nfs/dbraw/zinc/38/59/17/851385917.db2.gz AXBSJEPBCHHZRN-INIZCTEOSA-N 1 2 320.393 1.217 20 30 DDEDLO C=C(C)CN1CC[C@]2(CC[N@H+](Cc3noc(COC)n3)C2)C1=O ZINC001273821762 851385922 /nfs/dbraw/zinc/38/59/22/851385922.db2.gz AXBSJEPBCHHZRN-INIZCTEOSA-N 1 2 320.393 1.217 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)c1ccc(Cl)o1 ZINC001319978405 852193167 /nfs/dbraw/zinc/19/31/67/852193167.db2.gz VVCOICOUIYKRPF-UHFFFAOYSA-N 1 2 313.785 1.239 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)c1ccc(Cl)o1 ZINC001319978405 852193173 /nfs/dbraw/zinc/19/31/73/852193173.db2.gz VVCOICOUIYKRPF-UHFFFAOYSA-N 1 2 313.785 1.239 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@@H+](Cc2ncc(C)o2)CC1(C)C ZINC001274417525 852247439 /nfs/dbraw/zinc/24/74/39/852247439.db2.gz WKIFSNPFDZWCCL-CQSZACIVSA-N 1 2 321.421 1.902 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CC[N@H+](Cc2ncc(C)o2)CC1(C)C ZINC001274417525 852247446 /nfs/dbraw/zinc/24/74/46/852247446.db2.gz WKIFSNPFDZWCCL-CQSZACIVSA-N 1 2 321.421 1.902 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1C[C@]2(F)CN(CC=C(C)C)C(=O)[C@]2(F)C1 ZINC001274614138 852442609 /nfs/dbraw/zinc/44/26/09/852442609.db2.gz BLNWJRZALVYEQA-OWCLPIDISA-N 1 2 314.376 1.464 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1C[C@]2(F)CN(CC=C(C)C)C(=O)[C@]2(F)C1 ZINC001274614138 852442611 /nfs/dbraw/zinc/44/26/11/852442611.db2.gz BLNWJRZALVYEQA-OWCLPIDISA-N 1 2 314.376 1.464 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC(N(C)C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001299369476 852550288 /nfs/dbraw/zinc/55/02/88/852550288.db2.gz RLZAYIONEIEIJK-STQMWFEESA-N 1 2 318.421 1.470 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC(N(C)C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001299369476 852550299 /nfs/dbraw/zinc/55/02/99/852550299.db2.gz RLZAYIONEIEIJK-STQMWFEESA-N 1 2 318.421 1.470 20 30 DDEDLO COCCOCN1CCC12C[NH+](Cc1cccc(C#N)c1)C2 ZINC001275290416 852956431 /nfs/dbraw/zinc/95/64/31/852956431.db2.gz KPCFBIQHKNJVED-UHFFFAOYSA-N 1 2 301.390 1.439 20 30 DDEDLO Cc1cc(C[NH+]2CC3(CN(Cc4ccccc4C#N)C3)C2)n(C)n1 ZINC001275968625 854039066 /nfs/dbraw/zinc/03/90/66/854039066.db2.gz FZOYXTSOXZRHDO-UHFFFAOYSA-N 1 2 321.428 1.918 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]CCCN(C)C(=O)C#CC(C)(C)C)no1 ZINC001275997004 854115263 /nfs/dbraw/zinc/11/52/63/854115263.db2.gz YRTUQQDHGDPZRX-GFCCVEGCSA-N 1 2 306.410 1.927 20 30 DDEDLO CCCC[C@H](C[NH2+]Cc1nnc(C)o1)NC(=O)C#CC1CC1 ZINC001276254916 855062597 /nfs/dbraw/zinc/06/25/97/855062597.db2.gz IUQHNRMJMCDDGV-CQSZACIVSA-N 1 2 304.394 1.556 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ncoc2C(C)(C)C)C1 ZINC001047587852 856754507 /nfs/dbraw/zinc/75/45/07/856754507.db2.gz AVAOXMPZBXTDIS-STQMWFEESA-N 1 2 319.405 1.113 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ncoc2C(C)(C)C)C1 ZINC001047587852 856754511 /nfs/dbraw/zinc/75/45/11/856754511.db2.gz AVAOXMPZBXTDIS-STQMWFEESA-N 1 2 319.405 1.113 20 30 DDEDLO CCc1noc([C@H](C)[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001072544673 857426668 /nfs/dbraw/zinc/42/66/68/857426668.db2.gz TXHWYDIVXAWYCR-RYUDHWBXSA-N 1 2 317.393 1.387 20 30 DDEDLO CCc1noc([C@H](C)[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001072544673 857426678 /nfs/dbraw/zinc/42/66/78/857426678.db2.gz TXHWYDIVXAWYCR-RYUDHWBXSA-N 1 2 317.393 1.387 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1c1nc(-c2cccnc2)nc2c1CCC2 ZINC001156332931 862944075 /nfs/dbraw/zinc/94/40/75/862944075.db2.gz RIEXHFQZXKEJLD-ZDUSSCGKSA-N 1 2 306.373 1.329 20 30 DDEDLO C=C(C)CCC(=O)N1CCC(C)(NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001073069662 858064566 /nfs/dbraw/zinc/06/45/66/858064566.db2.gz SLFYSLJFSOJCCK-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(C)(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001073183450 858162618 /nfs/dbraw/zinc/16/26/18/858162618.db2.gz YYHVHSRGCZPXGL-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@H](C)OCC(C)C)CC2)C1 ZINC001328485381 862999236 /nfs/dbraw/zinc/99/92/36/862999236.db2.gz KDYLXZVMXGPPGP-INIZCTEOSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@H](C)OCC(C)C)CC2)C1 ZINC001328485381 862999247 /nfs/dbraw/zinc/99/92/47/862999247.db2.gz KDYLXZVMXGPPGP-INIZCTEOSA-N 1 2 322.449 1.374 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cc(C)n[nH]2)C1 ZINC001073545332 858428795 /nfs/dbraw/zinc/42/87/95/858428795.db2.gz NOWLMSVMCVKAGW-GFCCVEGCSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cc(C)n[nH]2)C1 ZINC001073545332 858428796 /nfs/dbraw/zinc/42/87/96/858428796.db2.gz NOWLMSVMCVKAGW-GFCCVEGCSA-N 1 2 312.801 1.291 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001073577242 858455843 /nfs/dbraw/zinc/45/58/43/858455843.db2.gz SUHMXNGSIMRDCH-CQSZACIVSA-N 1 2 322.796 1.460 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001073577242 858455850 /nfs/dbraw/zinc/45/58/50/858455850.db2.gz SUHMXNGSIMRDCH-CQSZACIVSA-N 1 2 322.796 1.460 20 30 DDEDLO C=CCn1c(N(CC)CC2CC2)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121709483 858578637 /nfs/dbraw/zinc/57/86/37/858578637.db2.gz UKPXEKSNIFLWIJ-WMLDXEAASA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N(CC)CC2CC2)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001121709483 858578639 /nfs/dbraw/zinc/57/86/39/858578639.db2.gz UKPXEKSNIFLWIJ-WMLDXEAASA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1nnc(C(C)C)o1 ZINC001124482526 859718658 /nfs/dbraw/zinc/71/86/58/859718658.db2.gz FKUJDWOXEANWDJ-GFCCVEGCSA-N 1 2 310.398 1.380 20 30 DDEDLO N#Cc1cccc2[nH]cc(C(=O)N[C@H]3CCn4cc[nH+]c4C3)c21 ZINC001138457763 860106748 /nfs/dbraw/zinc/10/67/48/860106748.db2.gz KNTJZVYKZYXIEQ-LBPRGKRZSA-N 1 2 305.341 1.981 20 30 DDEDLO CCNC(=O)CO[C@H]1CCC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001139649510 860446768 /nfs/dbraw/zinc/44/67/68/860446768.db2.gz MAIQOVWTNKVBKN-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO CCNC(=O)CO[C@H]1CCC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001139649510 860446772 /nfs/dbraw/zinc/44/67/72/860446772.db2.gz MAIQOVWTNKVBKN-HNNXBMFYSA-N 1 2 319.380 1.814 20 30 DDEDLO Cc1cc(C)c(CNC(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)c[nH+]1 ZINC001325973348 861006995 /nfs/dbraw/zinc/00/69/95/861006995.db2.gz GMRVEGDQAYWXAT-GXTWGEPZSA-N 1 2 300.362 1.123 20 30 DDEDLO C=C(C)C(=O)NCCCNc1ccc(CC(=O)OCC)c[nH+]1 ZINC001156820347 863364461 /nfs/dbraw/zinc/36/44/61/863364461.db2.gz DEGOSHZYYYNPHI-UHFFFAOYSA-N 1 2 305.378 1.682 20 30 DDEDLO CC[N@H+](Cc1ccon1)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152855164 863572369 /nfs/dbraw/zinc/57/23/69/863572369.db2.gz WQNLPWJIMYRWRJ-LLVKDONJSA-N 1 2 301.350 1.515 20 30 DDEDLO CC[N@@H+](Cc1ccon1)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152855164 863572378 /nfs/dbraw/zinc/57/23/78/863572378.db2.gz WQNLPWJIMYRWRJ-LLVKDONJSA-N 1 2 301.350 1.515 20 30 DDEDLO C=CCC[NH+]1CC(CCO)(NC(=O)c2scnc2CC)C1 ZINC001329668082 863781413 /nfs/dbraw/zinc/78/14/13/863781413.db2.gz PHTHACSUXMVTAR-UHFFFAOYSA-N 1 2 309.435 1.448 20 30 DDEDLO C=C(Br)C[N@@H+](CC)[C@H](C)CNC(=O)c1ncc[nH]1 ZINC001153260414 863792356 /nfs/dbraw/zinc/79/23/56/863792356.db2.gz RRJHIJRWMLXOEY-SNVBAGLBSA-N 1 2 315.215 1.759 20 30 DDEDLO C=C(Br)C[N@H+](CC)[C@H](C)CNC(=O)c1ncc[nH]1 ZINC001153260414 863792363 /nfs/dbraw/zinc/79/23/63/863792363.db2.gz RRJHIJRWMLXOEY-SNVBAGLBSA-N 1 2 315.215 1.759 20 30 DDEDLO C=CCOCC[NH+]1CC(CCO)(NC(=O)[C@@H]2CC[C@@H](C)C2)C1 ZINC001329706035 863802321 /nfs/dbraw/zinc/80/23/21/863802321.db2.gz UFPYDQDGCORYNI-HUUCEWRRSA-N 1 2 310.438 1.178 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H](C)[N@H+](CC)Cc1ccon1 ZINC001153441772 863888753 /nfs/dbraw/zinc/88/87/53/863888753.db2.gz MCRAINBSIIVWLL-BMFZPTHFSA-N 1 2 321.421 1.982 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ccon1 ZINC001153441772 863888763 /nfs/dbraw/zinc/88/87/63/863888763.db2.gz MCRAINBSIIVWLL-BMFZPTHFSA-N 1 2 321.421 1.982 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)C1C[NH+](Cc2cncc(F)c2)C1 ZINC001329998953 864007168 /nfs/dbraw/zinc/00/71/68/864007168.db2.gz WWHNYGBKNUTUTA-GFCCVEGCSA-N 1 2 301.365 1.571 20 30 DDEDLO N#Cc1c[nH]c2cc[nH+]c(N3Cc4ccnn4CC[C@@H]3CO)c12 ZINC001157650540 864013288 /nfs/dbraw/zinc/01/32/88/864013288.db2.gz SAVPRELSIBDXOZ-CYBMUJFWSA-N 1 2 308.345 1.402 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H](C)C(F)(F)F)C2)C1 ZINC001330160144 864114979 /nfs/dbraw/zinc/11/49/79/864114979.db2.gz LLIBDLGBKDNRBO-TZMCWYRMSA-N 1 2 318.339 1.511 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H](C)C(F)(F)F)C2)C1 ZINC001330160144 864114988 /nfs/dbraw/zinc/11/49/88/864114988.db2.gz LLIBDLGBKDNRBO-TZMCWYRMSA-N 1 2 318.339 1.511 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC001330570820 864460533 /nfs/dbraw/zinc/46/05/33/864460533.db2.gz KFPUUXUBCZLKLO-MELADBBJSA-N 1 2 314.389 1.024 20 30 DDEDLO CN(CC#N)C[C@H]1CCCCN1C(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001158171793 864469002 /nfs/dbraw/zinc/46/90/02/864469002.db2.gz JFZGINNPZYPZLD-LSDHHAIUSA-N 1 2 315.421 1.282 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@@H+]1CCC[C@H](c2n[nH]cc2NC(C)=O)C1 ZINC001330672591 864525148 /nfs/dbraw/zinc/52/51/48/864525148.db2.gz NVYFCSMRSBEQEY-GXTWGEPZSA-N 1 2 320.393 1.665 20 30 DDEDLO C=CC[C@H](C(=O)OC)[N@H+]1CCC[C@H](c2n[nH]cc2NC(C)=O)C1 ZINC001330672591 864525152 /nfs/dbraw/zinc/52/51/52/864525152.db2.gz NVYFCSMRSBEQEY-GXTWGEPZSA-N 1 2 320.393 1.665 20 30 DDEDLO C[C@H]1C[NH+](C2CN(c3ncnc4[nH]cc(C#N)c43)C2)C[C@H](C)O1 ZINC001158718390 864849786 /nfs/dbraw/zinc/84/97/86/864849786.db2.gz NXQLMWIINLCALK-QWRGUYRKSA-N 1 2 312.377 1.127 20 30 DDEDLO Cc1cnc(N2CC([NH+]3C[C@H](C)O[C@@H](C)C3)C2)c(/C=N/O)c1 ZINC001158729397 864863681 /nfs/dbraw/zinc/86/36/81/864863681.db2.gz XQFOONPYMMTJRQ-NTNUCRSISA-N 1 2 304.394 1.496 20 30 DDEDLO C#CCCOc1ccc(C[NH2+][C@@H](Cc2c[nH+]c[nH]2)C(=O)[O-])cc1 ZINC001331996927 865491560 /nfs/dbraw/zinc/49/15/60/865491560.db2.gz WUNCIPBKCHTFHO-INIZCTEOSA-N 1 2 313.357 1.597 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@H+](Cc2nnc(C)o2)CC1 ZINC001159957320 865614571 /nfs/dbraw/zinc/61/45/71/865614571.db2.gz BJFMWZKVPLKGJE-UHFFFAOYSA-N 1 2 316.405 1.820 20 30 DDEDLO C#CCCCC(=O)NCCC1=CC[N@@H+](Cc2nnc(C)o2)CC1 ZINC001159957320 865614574 /nfs/dbraw/zinc/61/45/74/865614574.db2.gz BJFMWZKVPLKGJE-UHFFFAOYSA-N 1 2 316.405 1.820 20 30 DDEDLO O=C(C#CC1CC1)NCCC1=CC[N@H+](Cc2cnon2)CC1 ZINC001160081577 865672024 /nfs/dbraw/zinc/67/20/24/865672024.db2.gz QALGHNUAEVBXAJ-UHFFFAOYSA-N 1 2 300.362 1.121 20 30 DDEDLO O=C(C#CC1CC1)NCCC1=CC[N@@H+](Cc2cnon2)CC1 ZINC001160081577 865672027 /nfs/dbraw/zinc/67/20/27/865672027.db2.gz QALGHNUAEVBXAJ-UHFFFAOYSA-N 1 2 300.362 1.121 20 30 DDEDLO COc1cc2ccc(N[C@@H]3C(=O)N(O)C[C@@H]3C)[nH+]c2cc1OC ZINC001160175110 865712788 /nfs/dbraw/zinc/71/27/88/865712788.db2.gz ZUMSOLREGZFBSI-VFZGTOFNSA-N 1 2 317.345 1.900 20 30 DDEDLO C=CCC[N@H+](C)C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001332632687 865997089 /nfs/dbraw/zinc/99/70/89/865997089.db2.gz OLFFXSSAZNNYBL-VHSXEESVSA-N 1 2 300.296 1.214 20 30 DDEDLO C=CCC[N@@H+](C)C[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001332632687 865997098 /nfs/dbraw/zinc/99/70/98/865997098.db2.gz OLFFXSSAZNNYBL-VHSXEESVSA-N 1 2 300.296 1.214 20 30 DDEDLO C=CCC[N@H+](C)C[C@H](O)CN(C)C(=O)[C@@H](F)C(F)(F)F ZINC001332632687 865997105 /nfs/dbraw/zinc/99/71/05/865997105.db2.gz OLFFXSSAZNNYBL-VHSXEESVSA-N 1 2 300.296 1.214 20 30 DDEDLO C=CCC[N@@H+](C)C[C@H](O)CN(C)C(=O)C(F)C(F)(F)F ZINC001332632687 865997080 /nfs/dbraw/zinc/99/70/80/865997080.db2.gz OLFFXSSAZNNYBL-VHSXEESVSA-N 1 2 300.296 1.214 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H](O)C[N@H+](C)Cc1nocc1C ZINC001332663089 866038891 /nfs/dbraw/zinc/03/88/91/866038891.db2.gz KRTGORDYTNFWJF-PBHICJAKSA-N 1 2 323.437 1.836 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@H](O)C[N@@H+](C)Cc1nocc1C ZINC001332663089 866038905 /nfs/dbraw/zinc/03/89/05/866038905.db2.gz KRTGORDYTNFWJF-PBHICJAKSA-N 1 2 323.437 1.836 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CCNC(=O)CCc2cn[nH]n2)CC1 ZINC001161248775 866465913 /nfs/dbraw/zinc/46/59/13/866465913.db2.gz KXXKOXVWPSRRAG-UHFFFAOYSA-N 1 2 323.828 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CCNC(=O)CCc2cn[nH]n2)CC1 ZINC001161248775 866465925 /nfs/dbraw/zinc/46/59/25/866465925.db2.gz KXXKOXVWPSRRAG-UHFFFAOYSA-N 1 2 323.828 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CCNC(=O)CCc2c[nH]nn2)CC1 ZINC001161248775 866465941 /nfs/dbraw/zinc/46/59/41/866465941.db2.gz KXXKOXVWPSRRAG-UHFFFAOYSA-N 1 2 323.828 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CCNC(=O)CCc2c[nH]nn2)CC1 ZINC001161248775 866465953 /nfs/dbraw/zinc/46/59/53/866465953.db2.gz KXXKOXVWPSRRAG-UHFFFAOYSA-N 1 2 323.828 1.628 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@H](C)C(=O)NCCC ZINC001323280500 866496379 /nfs/dbraw/zinc/49/63/79/866496379.db2.gz ZISMBDPMKMNBSN-ZIAGYGMSSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCCC ZINC001323280500 866496385 /nfs/dbraw/zinc/49/63/85/866496385.db2.gz ZISMBDPMKMNBSN-ZIAGYGMSSA-N 1 2 309.454 1.694 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@](C)(OC)c2ccccc2F)C1 ZINC001323933876 866959511 /nfs/dbraw/zinc/95/95/11/866959511.db2.gz MOJLNDPTWWZXGZ-SFHVURJKSA-N 1 2 318.392 1.853 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cnc3ccc(C#N)cn23)C[C@H](C)N1CC#N ZINC001333718559 866960953 /nfs/dbraw/zinc/96/09/53/866960953.db2.gz IUALPNIICHDHEE-OKILXGFUSA-N 1 2 308.389 1.624 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cnc3ccc(C#N)cn23)C[C@H](C)N1CC#N ZINC001333718559 866960966 /nfs/dbraw/zinc/96/09/66/866960966.db2.gz IUALPNIICHDHEE-OKILXGFUSA-N 1 2 308.389 1.624 20 30 DDEDLO Cc1cc(CNC(=O)C(=O)N2CCC[C@@H](C#N)C2)cc(C)[nH+]1 ZINC001334310949 867476292 /nfs/dbraw/zinc/47/62/92/867476292.db2.gz ZOZRJISBHNJCRD-ZDUSSCGKSA-N 1 2 300.362 1.077 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)CCC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001324922680 867628695 /nfs/dbraw/zinc/62/86/95/867628695.db2.gz SHCDYRRQPWTTCH-KRWDZBQOSA-N 1 2 319.453 1.983 20 30 DDEDLO C=CCCC(=O)NC[C@]1(C)CCC[N@H+](Cc2cnn(CC)n2)C1 ZINC001324922680 867628703 /nfs/dbraw/zinc/62/87/03/867628703.db2.gz SHCDYRRQPWTTCH-KRWDZBQOSA-N 1 2 319.453 1.983 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+]([C@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC001325222024 867872710 /nfs/dbraw/zinc/87/27/10/867872710.db2.gz DOFLGBPGFQVSKS-MNOVXSKESA-N 1 2 323.418 1.451 20 30 DDEDLO C=CCO[C@H]1CC[N@H+]([C@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC001325222024 867872723 /nfs/dbraw/zinc/87/27/23/867872723.db2.gz DOFLGBPGFQVSKS-MNOVXSKESA-N 1 2 323.418 1.451 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)N(C)CCCn2cc[nH+]c2)CC1 ZINC001325229962 867878095 /nfs/dbraw/zinc/87/80/95/867878095.db2.gz SNDHOKMZKPEHOV-UHFFFAOYSA-N 1 2 304.394 1.156 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[NH2+]Cc2noc(C3CC3)n2)cc1 ZINC001163763788 868687474 /nfs/dbraw/zinc/68/74/74/868687474.db2.gz XLKWAISNRAZIGG-UHFFFAOYSA-N 1 2 324.384 1.838 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC[NH2+]Cc1nsc(N(C)C)n1 ZINC001163948349 868818059 /nfs/dbraw/zinc/81/80/59/868818059.db2.gz FIPJAOIABDRWQQ-UHFFFAOYSA-N 1 2 311.455 1.412 20 30 DDEDLO C=CCO[C@@H]1CCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC001337680795 869593623 /nfs/dbraw/zinc/59/36/23/869593623.db2.gz XVHAFACOUYBRHU-OAHLLOKOSA-N 1 2 318.421 1.748 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)[C@@H]1C ZINC001338017333 869747025 /nfs/dbraw/zinc/74/70/25/869747025.db2.gz MJIGZNUVXHTFRM-OCCSQVGLSA-N 1 2 318.421 1.660 20 30 DDEDLO C#C[C@H]1CCCCN1c1nnc(C[NH+]2CCC(CO)CC2)n1C ZINC001338367731 869948681 /nfs/dbraw/zinc/94/86/81/869948681.db2.gz SGQYGYUFXCBTOU-HNNXBMFYSA-N 1 2 317.437 1.012 20 30 DDEDLO N#CC[C@@H]1CCCN(c2cc(N3CCC[C@@H]3C(N)=O)nc[nH+]2)C1 ZINC001166742063 870212124 /nfs/dbraw/zinc/21/21/24/870212124.db2.gz YOWCBTZDETUDOH-QWHCGFSZSA-N 1 2 314.393 1.061 20 30 DDEDLO N#CC[C@@H]1CCCN(c2cc(N3CCC[C@@H]3C(N)=O)[nH+]cn2)C1 ZINC001166742063 870212138 /nfs/dbraw/zinc/21/21/38/870212138.db2.gz YOWCBTZDETUDOH-QWHCGFSZSA-N 1 2 314.393 1.061 20 30 DDEDLO O=C(N[C@H]1C[C@@H]([NH2+]CC#Cc2ccccc2)C1)c1[nH]ncc1F ZINC001317119091 870288810 /nfs/dbraw/zinc/28/88/10/870288810.db2.gz IAGJHQUYMXWGDC-OKILXGFUSA-N 1 2 312.348 1.451 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCCC[N@H+]1Cc1ncc(C)o1 ZINC001317171574 870412233 /nfs/dbraw/zinc/41/22/33/870412233.db2.gz VKDGZKAIFKVBQI-HNNXBMFYSA-N 1 2 319.405 1.494 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1ncc(C)o1 ZINC001317171574 870412239 /nfs/dbraw/zinc/41/22/39/870412239.db2.gz VKDGZKAIFKVBQI-HNNXBMFYSA-N 1 2 319.405 1.494 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH+]ccn1C)[C@@H]1CCCN(CC#N)C1 ZINC001317211294 870478139 /nfs/dbraw/zinc/47/81/39/870478139.db2.gz XXJIIQJXEJCUAW-ZIAGYGMSSA-N 1 2 303.410 1.093 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001298693464 870678392 /nfs/dbraw/zinc/67/83/92/870678392.db2.gz UCIRVVYDXRBABT-ZIAGYGMSSA-N 1 2 318.421 1.423 20 30 DDEDLO C[N@H+](CCNC(=O)c1ccc2ccccc2c1)CC(=O)NCC#N ZINC001317469065 870889012 /nfs/dbraw/zinc/88/90/12/870889012.db2.gz LTBAWOXSRDYLDQ-UHFFFAOYSA-N 1 2 324.384 1.141 20 30 DDEDLO C[N@@H+](CCNC(=O)c1ccc2ccccc2c1)CC(=O)NCC#N ZINC001317469065 870889024 /nfs/dbraw/zinc/88/90/24/870889024.db2.gz LTBAWOXSRDYLDQ-UHFFFAOYSA-N 1 2 324.384 1.141 20 30 DDEDLO C=CCn1c([C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)nnc1N1CCCC1 ZINC001340195773 870950985 /nfs/dbraw/zinc/95/09/85/870950985.db2.gz OTRAIXHWSMMYCM-CABCVRRESA-N 1 2 317.437 1.990 20 30 DDEDLO C=CCn1c([C@@H]2C[N@H+]3CCCC[C@@H]3CO2)nnc1N1CCCC1 ZINC001340195773 870950991 /nfs/dbraw/zinc/95/09/91/870950991.db2.gz OTRAIXHWSMMYCM-CABCVRRESA-N 1 2 317.437 1.990 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@H+]2C)nnc1N1CCO[C@@H](C#N)C1 ZINC001340263725 870994771 /nfs/dbraw/zinc/99/47/71/870994771.db2.gz GWNCVLUTRPGCIF-KBPBESRZSA-N 1 2 316.409 1.350 20 30 DDEDLO C=CCn1c([C@@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@@H](C#N)C1 ZINC001340263725 870994776 /nfs/dbraw/zinc/99/47/76/870994776.db2.gz GWNCVLUTRPGCIF-KBPBESRZSA-N 1 2 316.409 1.350 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@H](C)[C@@H](C)C1 ZINC001340374201 871063893 /nfs/dbraw/zinc/06/38/93/871063893.db2.gz KQNZOZNMWSUUPN-XUXIUFHCSA-N 1 2 305.426 1.294 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@H](C)[C@@H](C)C1 ZINC001340374201 871063915 /nfs/dbraw/zinc/06/39/15/871063915.db2.gz KQNZOZNMWSUUPN-XUXIUFHCSA-N 1 2 305.426 1.294 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)Cc2ccccc2)C1 ZINC001317975389 871664419 /nfs/dbraw/zinc/66/44/19/871664419.db2.gz MBEVMPIJXRTOTE-INIZCTEOSA-N 1 2 315.417 1.112 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)Cc2ccccc2)C1 ZINC001317975389 871664427 /nfs/dbraw/zinc/66/44/27/871664427.db2.gz MBEVMPIJXRTOTE-INIZCTEOSA-N 1 2 315.417 1.112 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCOc1ccccc1CC ZINC001318224371 871851135 /nfs/dbraw/zinc/85/11/35/871851135.db2.gz SBUIJOAQKUWLFM-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCOc1ccccc1CC ZINC001318224371 871851156 /nfs/dbraw/zinc/85/11/56/871851156.db2.gz SBUIJOAQKUWLFM-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO C=CCC[C@@H]([NH2+]C1CCN(CC(=O)OC)CC1)C(=O)OCC ZINC001205848513 871860381 /nfs/dbraw/zinc/86/03/81/871860381.db2.gz DBHLXWWIPJSDNM-CQSZACIVSA-N 1 2 312.410 1.111 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1CC[NH+](Cc2cc3n(n2)CCC3)CC1 ZINC001226640032 882559305 /nfs/dbraw/zinc/55/93/05/882559305.db2.gz KAMXQFMNNZODDX-LBPRGKRZSA-N 1 2 301.394 1.070 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NCCCC)C1 ZINC001318358363 871956366 /nfs/dbraw/zinc/95/63/66/871956366.db2.gz UBEFJZZLPXUGQQ-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)NCCCC)C1 ZINC001318358363 871956376 /nfs/dbraw/zinc/95/63/76/871956376.db2.gz UBEFJZZLPXUGQQ-CQSZACIVSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@@H]1CC[N@@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001318456921 872071117 /nfs/dbraw/zinc/07/11/17/872071117.db2.gz FGKSEYSWNGVUQT-GXTWGEPZSA-N 1 2 322.409 1.564 20 30 DDEDLO C=CCOCC(=O)N(CC)[C@@H]1CC[N@H+]([C@@H](C)c2nnc(C)o2)C1 ZINC001318456921 872071140 /nfs/dbraw/zinc/07/11/40/872071140.db2.gz FGKSEYSWNGVUQT-GXTWGEPZSA-N 1 2 322.409 1.564 20 30 DDEDLO CC#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)C(C)(F)F)C2 ZINC001316806868 872076826 /nfs/dbraw/zinc/07/68/26/872076826.db2.gz UQYKAOKCWUEONT-UHFFFAOYSA-N 1 2 313.373 1.796 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001206160886 872098300 /nfs/dbraw/zinc/09/83/00/872098300.db2.gz DORRBJSOLADDER-QLFBSQMISA-N 1 2 322.453 1.279 20 30 DDEDLO CCCC[C@H](CC)C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001206160886 872098321 /nfs/dbraw/zinc/09/83/21/872098321.db2.gz DORRBJSOLADDER-QLFBSQMISA-N 1 2 322.453 1.279 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)COC(C)C)C1 ZINC001206415471 872299613 /nfs/dbraw/zinc/29/96/13/872299613.db2.gz BEDPZFXJZXRNSK-ZYHUDNBSSA-N 1 2 319.243 1.757 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COC(C)C)C1 ZINC001206415471 872299636 /nfs/dbraw/zinc/29/96/36/872299636.db2.gz BEDPZFXJZXRNSK-ZYHUDNBSSA-N 1 2 319.243 1.757 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)CCOCC)C1 ZINC001206531962 872418717 /nfs/dbraw/zinc/41/87/17/872418717.db2.gz PFFYGAVZTXHCHA-ZYHUDNBSSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)CCOCC)C1 ZINC001206531962 872418724 /nfs/dbraw/zinc/41/87/24/872418724.db2.gz PFFYGAVZTXHCHA-ZYHUDNBSSA-N 1 2 319.243 1.758 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@@H+]([C@H](C)c2ncc(C)o2)C1 ZINC001316943589 872431696 /nfs/dbraw/zinc/43/16/96/872431696.db2.gz HGKSCJPBGORGFC-HUUCEWRRSA-N 1 2 319.405 1.665 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@H+]([C@H](C)c2ncc(C)o2)C1 ZINC001316943589 872431701 /nfs/dbraw/zinc/43/17/01/872431701.db2.gz HGKSCJPBGORGFC-HUUCEWRRSA-N 1 2 319.405 1.665 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001316948903 872445573 /nfs/dbraw/zinc/44/55/73/872445573.db2.gz JBLXFZAZIZYJLD-JKSUJKDBSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001316948903 872445580 /nfs/dbraw/zinc/44/55/80/872445580.db2.gz JBLXFZAZIZYJLD-JKSUJKDBSA-N 1 2 323.481 1.942 20 30 DDEDLO N#Cc1cc(Nc2cccc(OCC[NH+]3CCOCC3)c2)ccn1 ZINC001206613250 872475899 /nfs/dbraw/zinc/47/58/99/872475899.db2.gz UAKAZCGXERCONY-UHFFFAOYSA-N 1 2 324.384 1.830 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)/C=C/c2ccco2)C1 ZINC001319289325 872540115 /nfs/dbraw/zinc/54/01/15/872540115.db2.gz KEHBOZLJZVPLTE-JFDDCEBESA-N 1 2 320.389 1.312 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)/C=C/c2ccco2)C1 ZINC001319289325 872540125 /nfs/dbraw/zinc/54/01/25/872540125.db2.gz KEHBOZLJZVPLTE-JFDDCEBESA-N 1 2 320.389 1.312 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C[C@H]2Cc3ccccc3O2)C1 ZINC001319307646 872558795 /nfs/dbraw/zinc/55/87/95/872558795.db2.gz AIHOOHKBQUHXQN-HZPDHXFCSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C[C@H]2Cc3ccccc3O2)C1 ZINC001319307646 872558804 /nfs/dbraw/zinc/55/88/04/872558804.db2.gz AIHOOHKBQUHXQN-HZPDHXFCSA-N 1 2 316.401 1.383 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)C2(CC)CCC2)C1 ZINC001319320630 872569857 /nfs/dbraw/zinc/56/98/57/872569857.db2.gz XSTYMPFFHCZRRA-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)C2(CC)CCC2)C1 ZINC001319320630 872569867 /nfs/dbraw/zinc/56/98/67/872569867.db2.gz XSTYMPFFHCZRRA-OAHLLOKOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)Cc2occc2C)C1 ZINC001319323292 872575423 /nfs/dbraw/zinc/57/54/23/872575423.db2.gz WWLSTGOJPVVFJC-HNNXBMFYSA-N 1 2 322.405 1.150 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)Cc2occc2C)C1 ZINC001319323292 872575432 /nfs/dbraw/zinc/57/54/32/872575432.db2.gz WWLSTGOJPVVFJC-HNNXBMFYSA-N 1 2 322.405 1.150 20 30 DDEDLO C=C(C)Cn1c(N2CCC[C@@H]2C)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001343403760 872610173 /nfs/dbraw/zinc/61/01/73/872610173.db2.gz HGXCCVMLCOFUCY-ZQIUZPCESA-N 1 2 319.453 1.755 20 30 DDEDLO C=C(C)Cn1c(N2CCC[C@@H]2C)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001343403760 872610183 /nfs/dbraw/zinc/61/01/83/872610183.db2.gz HGXCCVMLCOFUCY-ZQIUZPCESA-N 1 2 319.453 1.755 20 30 DDEDLO C#CCOCC[N@@H+](C)Cc1ccc(S(=O)(=O)C(F)F)cc1 ZINC001319655269 872738323 /nfs/dbraw/zinc/73/83/23/872738323.db2.gz DLPNQUHRKMSWGP-UHFFFAOYSA-N 1 2 317.357 1.765 20 30 DDEDLO C#CCOCC[N@H+](C)Cc1ccc(S(=O)(=O)C(F)F)cc1 ZINC001319655269 872738336 /nfs/dbraw/zinc/73/83/36/872738336.db2.gz DLPNQUHRKMSWGP-UHFFFAOYSA-N 1 2 317.357 1.765 20 30 DDEDLO CC[C@H](N[NH+]=Cc1ccc(N2CC[NH+](C)CC2)c(F)c1)C(=O)[O-] ZINC001343775992 872754225 /nfs/dbraw/zinc/75/42/25/872754225.db2.gz WNENQBLFQRODDA-AWEZNQCLSA-N 1 2 322.384 1.364 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001206914554 872758808 /nfs/dbraw/zinc/75/88/08/872758808.db2.gz JMTVKDTWKXGSDZ-JDSLSITLSA-N 1 2 321.421 1.555 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001206914554 872758812 /nfs/dbraw/zinc/75/88/12/872758812.db2.gz JMTVKDTWKXGSDZ-JDSLSITLSA-N 1 2 321.421 1.555 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2cncc(OC)c2)C1 ZINC001207000122 872910347 /nfs/dbraw/zinc/91/03/47/872910347.db2.gz FXDDZOFNQCCGAQ-AWEZNQCLSA-N 1 2 305.378 1.967 20 30 DDEDLO C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2cncc(OC)c2)C1 ZINC001207000122 872910354 /nfs/dbraw/zinc/91/03/54/872910354.db2.gz FXDDZOFNQCCGAQ-AWEZNQCLSA-N 1 2 305.378 1.967 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NCC[N@@H+]1CCC2(C1)OCCO2 ZINC001346764128 873884158 /nfs/dbraw/zinc/88/41/58/873884158.db2.gz YTGRKRCHAJZEGO-GASCZTMLSA-N 1 2 321.421 1.328 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NCC[N@H+]1CCC2(C1)OCCO2 ZINC001346764128 873884176 /nfs/dbraw/zinc/88/41/76/873884176.db2.gz YTGRKRCHAJZEGO-GASCZTMLSA-N 1 2 321.421 1.328 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)CCCn1cc[nH+]c1 ZINC001378052641 874007435 /nfs/dbraw/zinc/00/74/35/874007435.db2.gz ITRNIVSLEHAZAK-KBPBESRZSA-N 1 2 308.813 1.701 20 30 DDEDLO C[C@@H](CNC(=O)c1nnc[nH]1)[N@H+](C)Cc1ccc(C#N)cc1F ZINC001378161004 874292008 /nfs/dbraw/zinc/29/20/08/874292008.db2.gz MNISBCAYDPHPTA-JTQLQIEISA-N 1 2 316.340 1.066 20 30 DDEDLO C[C@@H](CNC(=O)c1nnc[nH]1)[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001378161004 874292023 /nfs/dbraw/zinc/29/20/23/874292023.db2.gz MNISBCAYDPHPTA-JTQLQIEISA-N 1 2 316.340 1.066 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC001227076424 882814443 /nfs/dbraw/zinc/81/44/43/882814443.db2.gz MJKVQIIKSZBHHQ-OAHLLOKOSA-N 1 2 309.435 1.551 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@H](NC(=O)C#CC(C)C)C(C)(C)C1 ZINC001276579893 874398306 /nfs/dbraw/zinc/39/83/06/874398306.db2.gz PFUFTQWSHKYTSZ-AWEZNQCLSA-N 1 2 320.462 1.833 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@H](NC(=O)C#CC(C)C)C(C)(C)C1 ZINC001276579893 874398320 /nfs/dbraw/zinc/39/83/20/874398320.db2.gz PFUFTQWSHKYTSZ-AWEZNQCLSA-N 1 2 320.462 1.833 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001349081605 874961607 /nfs/dbraw/zinc/96/16/07/874961607.db2.gz SZEPYOGRKYLJOI-CYBMUJFWSA-N 1 2 318.421 1.280 20 30 DDEDLO CC(C)CCCCC(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001209584957 874989407 /nfs/dbraw/zinc/98/94/07/874989407.db2.gz FFCXLAALUCJTEH-HUUCEWRRSA-N 1 2 322.453 1.279 20 30 DDEDLO CC(C)CCCCC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001209584957 874989413 /nfs/dbraw/zinc/98/94/13/874989413.db2.gz FFCXLAALUCJTEH-HUUCEWRRSA-N 1 2 322.453 1.279 20 30 DDEDLO N#Cc1ccc2c(c1)[C@@H]([NH2+]Cc1nnc3c(=O)[nH]ccn13)CC2 ZINC001350085075 875530891 /nfs/dbraw/zinc/53/08/91/875530891.db2.gz KBBYEMGOZVDMFI-ZDUSSCGKSA-N 1 2 306.329 1.066 20 30 DDEDLO CC(C)C#CC(=O)NCCCCCCNC(=O)Cc1c[nH]c[nH+]1 ZINC001350426989 875682111 /nfs/dbraw/zinc/68/21/11/875682111.db2.gz JOOWKBKYKCIDHQ-UHFFFAOYSA-N 1 2 318.421 1.404 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001211354752 875765509 /nfs/dbraw/zinc/76/55/09/875765509.db2.gz FSNMBNICRXMYKM-ZDUSSCGKSA-N 1 2 308.382 1.051 20 30 DDEDLO C#CCOCCC(=O)NC1CC[NH+](Cc2nccn2CC)CC1 ZINC001227332348 882973338 /nfs/dbraw/zinc/97/33/38/882973338.db2.gz NDKUEZZYPXQEOY-UHFFFAOYSA-N 1 2 318.421 1.024 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@H](CO)[C@H](O)C2)cc1Br ZINC001236856242 889385209 /nfs/dbraw/zinc/38/52/09/889385209.db2.gz KEHDBPLCXAPXBD-DGCLKSJQSA-N 1 2 311.179 1.106 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@H](CO)[C@H](O)C2)cc1Br ZINC001236856242 889385219 /nfs/dbraw/zinc/38/52/19/889385219.db2.gz KEHDBPLCXAPXBD-DGCLKSJQSA-N 1 2 311.179 1.106 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cnc(C)s3)C[C@@H]21 ZINC001217476109 877205736 /nfs/dbraw/zinc/20/57/36/877205736.db2.gz VAEYYMKWPAQUAY-LSDHHAIUSA-N 1 2 321.446 1.829 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3cnc(C)s3)C[C@@H]21 ZINC001217476109 877205749 /nfs/dbraw/zinc/20/57/49/877205749.db2.gz VAEYYMKWPAQUAY-LSDHHAIUSA-N 1 2 321.446 1.829 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3ccccn3)C[C@@H]21 ZINC001217475958 877207469 /nfs/dbraw/zinc/20/74/69/877207469.db2.gz NUWWDBGXQMUKLB-JKSUJKDBSA-N 1 2 301.390 1.459 20 30 DDEDLO C=CCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccccn3)C[C@@H]21 ZINC001217475958 877207484 /nfs/dbraw/zinc/20/74/84/877207484.db2.gz NUWWDBGXQMUKLB-JKSUJKDBSA-N 1 2 301.390 1.459 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(CC#N)cc2)C1 ZINC001353342563 877265348 /nfs/dbraw/zinc/26/53/48/877265348.db2.gz LJIWPYCFASXYPP-HNNXBMFYSA-N 1 2 317.414 1.129 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(CC#N)cc2)C1 ZINC001353342563 877265362 /nfs/dbraw/zinc/26/53/62/877265362.db2.gz LJIWPYCFASXYPP-HNNXBMFYSA-N 1 2 317.414 1.129 20 30 DDEDLO Cc1ncoc1C[N@H+]1C[C@H]2OCCN(C(=O)C#CC(C)C)[C@H]2C1 ZINC001217852298 877339861 /nfs/dbraw/zinc/33/98/61/877339861.db2.gz JFYMJKWEWFXBLK-GOEBONIOSA-N 1 2 317.389 1.054 20 30 DDEDLO Cc1ncoc1C[N@@H+]1C[C@H]2OCCN(C(=O)C#CC(C)C)[C@H]2C1 ZINC001217852298 877339870 /nfs/dbraw/zinc/33/98/70/877339870.db2.gz JFYMJKWEWFXBLK-GOEBONIOSA-N 1 2 317.389 1.054 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001353813004 877583378 /nfs/dbraw/zinc/58/33/78/877583378.db2.gz FBXMXSJZAPTRBV-OKILXGFUSA-N 1 2 316.405 1.299 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)CNC(=O)OC)[C@@H]1C ZINC001379631195 877584874 /nfs/dbraw/zinc/58/48/74/877584874.db2.gz KMLFPDJPWPXCKG-WDEREUQCSA-N 1 2 303.790 1.064 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CNC(=O)OC)[C@@H]1C ZINC001379631195 877584897 /nfs/dbraw/zinc/58/48/97/877584897.db2.gz KMLFPDJPWPXCKG-WDEREUQCSA-N 1 2 303.790 1.064 20 30 DDEDLO CCCc1nnc(C[NH2+]C2(CNC(=O)[C@@H](C)C#N)CC2)s1 ZINC001380066882 878704720 /nfs/dbraw/zinc/70/47/20/878704720.db2.gz ISHCVBVOOAJPLD-JTQLQIEISA-N 1 2 307.423 1.389 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2c(C)noc2CC)C[C@@H]1O ZINC001220147830 878738416 /nfs/dbraw/zinc/73/84/16/878738416.db2.gz PISVXEQVCHUCDS-KGLIPLIRSA-N 1 2 321.421 1.419 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2c(C)noc2CC)C[C@@H]1O ZINC001220147830 878738434 /nfs/dbraw/zinc/73/84/34/878738434.db2.gz PISVXEQVCHUCDS-KGLIPLIRSA-N 1 2 321.421 1.419 20 30 DDEDLO C=C(C)Cn1c(N2CC[C@H](C)C2)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001355946794 878790680 /nfs/dbraw/zinc/79/06/80/878790680.db2.gz GNSCFWPOJNYBIT-ZQIUZPCESA-N 1 2 319.453 1.612 20 30 DDEDLO C=C(C)Cn1c(N2CC[C@H](C)C2)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001355946794 878790689 /nfs/dbraw/zinc/79/06/89/878790689.db2.gz GNSCFWPOJNYBIT-ZQIUZPCESA-N 1 2 319.453 1.612 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001287710683 912410630 /nfs/dbraw/zinc/41/06/30/912410630.db2.gz RTDFAELGZODYMW-YVEFUNNKSA-N 1 2 320.437 1.826 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](NC(=O)Cn1cc[nH+]c1)C(C)C ZINC001357097807 879789667 /nfs/dbraw/zinc/78/96/67/879789667.db2.gz UJHMXVJEMJSGAX-CQSZACIVSA-N 1 2 320.437 1.742 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2CN(C(=O)Cn3cc[nH+]c3)CC[C@H]21 ZINC001357297569 879896145 /nfs/dbraw/zinc/89/61/45/879896145.db2.gz SWLGXDAGQGHFFX-LSDHHAIUSA-N 1 2 316.405 1.299 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001222067311 880206828 /nfs/dbraw/zinc/20/68/28/880206828.db2.gz FXWHQYPWWAOEDS-AAEUAGOBSA-N 1 2 308.382 1.050 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@](CO)(NC(=O)C2CC2)C1 ZINC001380723081 880271241 /nfs/dbraw/zinc/27/12/41/880271241.db2.gz XUAHZGKJGJGRPW-SFHVURJKSA-N 1 2 313.401 1.411 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@](CO)(NC(=O)C2CC2)C1 ZINC001380723081 880271259 /nfs/dbraw/zinc/27/12/59/880271259.db2.gz XUAHZGKJGJGRPW-SFHVURJKSA-N 1 2 313.401 1.411 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]2CNC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001358643448 880671885 /nfs/dbraw/zinc/67/18/85/880671885.db2.gz JJQSZNMJXAKMOB-CQSZACIVSA-N 1 2 316.405 1.416 20 30 DDEDLO N#CCN1C[C@@H]2CC[C@H](NC(=O)CCCn3cc[nH+]c3)C[C@@H]2C1 ZINC001276841345 880713130 /nfs/dbraw/zinc/71/31/30/880713130.db2.gz VRRQJWMZSMYDQL-XHSDSOJGSA-N 1 2 315.421 1.404 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NC1(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001358673973 880737788 /nfs/dbraw/zinc/73/77/88/880737788.db2.gz NPPDMMXBPHDTEV-STQMWFEESA-N 1 2 304.394 1.106 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1CC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001276851313 880751396 /nfs/dbraw/zinc/75/13/96/880751396.db2.gz ALQRYLGOGONJCQ-OAHLLOKOSA-N 1 2 302.422 1.469 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1CC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001276851313 880751400 /nfs/dbraw/zinc/75/14/00/880751400.db2.gz ALQRYLGOGONJCQ-OAHLLOKOSA-N 1 2 302.422 1.469 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@]1(C)CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001358836249 880976705 /nfs/dbraw/zinc/97/67/05/880976705.db2.gz RPRKHUCVSGWUDW-QGZVFWFLSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2CC[N@H+](Cc3ccon3)[C@H]2C1 ZINC001224280235 881306738 /nfs/dbraw/zinc/30/67/38/881306738.db2.gz COAQHMUWAULIOM-FMKPAKJESA-N 1 2 319.405 1.689 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3ccon3)[C@H]2C1 ZINC001224280235 881306753 /nfs/dbraw/zinc/30/67/53/881306753.db2.gz COAQHMUWAULIOM-FMKPAKJESA-N 1 2 319.405 1.689 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1nnn(C)n1 ZINC001228413681 883487169 /nfs/dbraw/zinc/48/71/69/883487169.db2.gz POLDOUPRHALQLO-XJKCOSOUSA-N 1 2 320.441 1.329 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)COCC(F)F)[C@H]1C ZINC001382342695 883864353 /nfs/dbraw/zinc/86/43/53/883864353.db2.gz QPAUMFCFLVDQMG-GHMZBOCLSA-N 1 2 310.772 1.990 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)COCC(F)F)[C@H]1C ZINC001382342695 883864369 /nfs/dbraw/zinc/86/43/69/883864369.db2.gz QPAUMFCFLVDQMG-GHMZBOCLSA-N 1 2 310.772 1.990 20 30 DDEDLO C=CCOCC(=O)N1CCC([N@@H+](C)[C@H](C)c2csnn2)CC1 ZINC001277386043 883976047 /nfs/dbraw/zinc/97/60/47/883976047.db2.gz ZQOUBDKLJLSCDV-GFCCVEGCSA-N 1 2 324.450 1.725 20 30 DDEDLO C=CCOCC(=O)N1CCC([N@H+](C)[C@H](C)c2csnn2)CC1 ZINC001277386043 883976062 /nfs/dbraw/zinc/97/60/62/883976062.db2.gz ZQOUBDKLJLSCDV-GFCCVEGCSA-N 1 2 324.450 1.725 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+]([C@H](C)c2ncccn2)CC1 ZINC001230415682 884483442 /nfs/dbraw/zinc/48/34/42/884483442.db2.gz WMYWHRZBAHQRMQ-KGLIPLIRSA-N 1 2 318.421 1.709 20 30 DDEDLO CC[C@H](NC(C)=O)C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230603869 884643949 /nfs/dbraw/zinc/64/39/49/884643949.db2.gz ARRKWLWULSLFEX-JKSUJKDBSA-N 1 2 320.437 1.128 20 30 DDEDLO CC[C@H](NC(C)=O)C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001230603869 884643959 /nfs/dbraw/zinc/64/39/59/884643959.db2.gz ARRKWLWULSLFEX-JKSUJKDBSA-N 1 2 320.437 1.128 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(CC)CC ZINC001230834775 884935974 /nfs/dbraw/zinc/93/59/74/884935974.db2.gz LXLQIVFOPGKKEH-ZFWWWQNUSA-N 1 2 309.454 1.646 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(CC)CC ZINC001230834775 884936000 /nfs/dbraw/zinc/93/60/00/884936000.db2.gz LXLQIVFOPGKKEH-ZFWWWQNUSA-N 1 2 309.454 1.646 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230935086 885054685 /nfs/dbraw/zinc/05/46/85/885054685.db2.gz BAJFGRSTVOYOPA-DOMZBBRYSA-N 1 2 319.380 1.765 20 30 DDEDLO CO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC001230935086 885054699 /nfs/dbraw/zinc/05/46/99/885054699.db2.gz BAJFGRSTVOYOPA-DOMZBBRYSA-N 1 2 319.380 1.765 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C/CC ZINC001231006292 885130781 /nfs/dbraw/zinc/13/07/81/885130781.db2.gz SQTPMDBUTQYYGJ-WAYTWBJWSA-N 1 2 305.422 1.013 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)/C(C)=C/CC ZINC001231006292 885130785 /nfs/dbraw/zinc/13/07/85/885130785.db2.gz SQTPMDBUTQYYGJ-WAYTWBJWSA-N 1 2 305.422 1.013 20 30 DDEDLO CCCN(CCC)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231248444 885432789 /nfs/dbraw/zinc/43/27/89/885432789.db2.gz WDHIOFWWEZCIRL-CABCVRRESA-N 1 2 322.453 1.327 20 30 DDEDLO CCCN(CCC)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231248444 885432793 /nfs/dbraw/zinc/43/27/93/885432793.db2.gz WDHIOFWWEZCIRL-CABCVRRESA-N 1 2 322.453 1.327 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C1CC=CC1 ZINC001231378104 885573721 /nfs/dbraw/zinc/57/37/21/885573721.db2.gz PBLLKALJQUAXLE-HIFRSBDPSA-N 1 2 305.422 1.176 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C1CC=CC1 ZINC001231378104 885573737 /nfs/dbraw/zinc/57/37/37/885573737.db2.gz PBLLKALJQUAXLE-HIFRSBDPSA-N 1 2 305.422 1.176 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)C[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001288522266 912954437 /nfs/dbraw/zinc/95/44/37/912954437.db2.gz VEOBIKNKMFCVNU-UONOGXRCSA-N 1 2 318.421 1.166 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](Cc2cc(OC)c(O)cc2O)CC1 ZINC001231638978 885779257 /nfs/dbraw/zinc/77/92/57/885779257.db2.gz CDBRYZLXXAXSJN-UHFFFAOYSA-N 1 2 322.361 1.547 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)c2cncnc2C2CC2)C1 ZINC001277691913 886501716 /nfs/dbraw/zinc/50/17/16/886501716.db2.gz XYKHKMWPIAQKDN-UHFFFAOYSA-N 1 2 316.405 1.313 20 30 DDEDLO CC1(N2CCOCC2)CC[NH+](Cc2cc(C#N)ccc2O)CC1 ZINC001232678978 886529402 /nfs/dbraw/zinc/52/94/02/886529402.db2.gz WVXWCLZMFNJDGR-UHFFFAOYSA-N 1 2 315.417 1.951 20 30 DDEDLO CN(C1CC[NH+](Cc2cc(C#N)ccc2O)CC1)S(C)(=O)=O ZINC001232678993 886531797 /nfs/dbraw/zinc/53/17/97/886531797.db2.gz XMSNGLQZCYBGBC-UHFFFAOYSA-N 1 2 323.418 1.120 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH2+][C@@H](c3ccc(F)cc3)C2)cn1 ZINC001363558480 886630749 /nfs/dbraw/zinc/63/07/49/886630749.db2.gz KWRDJXWCSSWNFK-MRXNPFEDSA-N 1 2 310.332 1.879 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ncc(O)cn3)CC2)c(O)c1 ZINC001233387770 886967640 /nfs/dbraw/zinc/96/76/40/886967640.db2.gz XQBRWQBOHQMHFI-UHFFFAOYSA-N 1 2 311.345 1.082 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C\C ZINC001233572197 887120460 /nfs/dbraw/zinc/12/04/60/887120460.db2.gz ZUARUZYKLXELFQ-YMDFIQGPSA-N 1 2 319.449 1.686 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)/C(C)=C\C ZINC001233572197 887120467 /nfs/dbraw/zinc/12/04/67/887120467.db2.gz ZUARUZYKLXELFQ-YMDFIQGPSA-N 1 2 319.449 1.686 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@@H]1CCCO1 ZINC001233620930 887162280 /nfs/dbraw/zinc/16/22/80/887162280.db2.gz OEKDNORDORTKJA-NSHDSACASA-N 1 2 305.216 1.512 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@@H]1CCCO1 ZINC001233620930 887162287 /nfs/dbraw/zinc/16/22/87/887162287.db2.gz OEKDNORDORTKJA-NSHDSACASA-N 1 2 305.216 1.512 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c(C#N)c1 ZINC001233633275 887172490 /nfs/dbraw/zinc/17/24/90/887172490.db2.gz YSBURBOJHHWHAZ-NQPNPBMCSA-N 1 2 314.341 1.336 20 30 DDEDLO COC(=O)c1ccc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c(C#N)c1 ZINC001233633275 887172500 /nfs/dbraw/zinc/17/25/00/887172500.db2.gz YSBURBOJHHWHAZ-NQPNPBMCSA-N 1 2 314.341 1.336 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)C(C)C ZINC001233762309 887296009 /nfs/dbraw/zinc/29/60/09/887296009.db2.gz RTCMMABZEPJQIC-INIZCTEOSA-N 1 2 321.465 1.580 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)C(C)C ZINC001233762309 887296026 /nfs/dbraw/zinc/29/60/26/887296026.db2.gz RTCMMABZEPJQIC-INIZCTEOSA-N 1 2 321.465 1.580 20 30 DDEDLO COc1ccc(C[NH2+]Cc2ccc(S(N)(=O)=O)o2)cc1C#N ZINC001363874910 887446429 /nfs/dbraw/zinc/44/64/29/887446429.db2.gz HHAWFQJENLYYPY-UHFFFAOYSA-N 1 2 321.358 1.097 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1conc1CC ZINC001233979299 887521441 /nfs/dbraw/zinc/52/14/41/887521441.db2.gz ZAFBOORUVHTOEL-WMLDXEAASA-N 1 2 321.421 1.597 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1conc1CC ZINC001233979299 887521452 /nfs/dbraw/zinc/52/14/52/887521452.db2.gz ZAFBOORUVHTOEL-WMLDXEAASA-N 1 2 321.421 1.597 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](O)C[N@@H+]1Cc1ccc(C(C)(C)C#N)cc1 ZINC001363953923 887605335 /nfs/dbraw/zinc/60/53/35/887605335.db2.gz SOGRULPIYGTWIM-HUUCEWRRSA-N 1 2 302.374 1.596 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](O)C[N@H+]1Cc1ccc(C(C)(C)C#N)cc1 ZINC001363953923 887605342 /nfs/dbraw/zinc/60/53/42/887605342.db2.gz SOGRULPIYGTWIM-HUUCEWRRSA-N 1 2 302.374 1.596 20 30 DDEDLO CCCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234129201 887671521 /nfs/dbraw/zinc/67/15/21/887671521.db2.gz HXASDAVFLYTSIL-CABCVRRESA-N 1 2 307.438 1.093 20 30 DDEDLO CCCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234129201 887671527 /nfs/dbraw/zinc/67/15/27/887671527.db2.gz HXASDAVFLYTSIL-CABCVRRESA-N 1 2 307.438 1.093 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001234171614 887708488 /nfs/dbraw/zinc/70/84/88/887708488.db2.gz QZJJNSWNAQNIOE-HOTGVXAUSA-N 1 2 319.449 1.188 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001234171614 887708502 /nfs/dbraw/zinc/70/85/02/887708502.db2.gz QZJJNSWNAQNIOE-HOTGVXAUSA-N 1 2 319.449 1.188 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234197241 887741997 /nfs/dbraw/zinc/74/19/97/887741997.db2.gz ZPUSLMNQGXDDCX-GDBMZVCRSA-N 1 2 319.449 1.237 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234197241 887742008 /nfs/dbraw/zinc/74/20/08/887742008.db2.gz ZPUSLMNQGXDDCX-GDBMZVCRSA-N 1 2 319.449 1.237 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)CC(F)F ZINC001235225102 888489270 /nfs/dbraw/zinc/48/92/70/888489270.db2.gz KIVNCPGFGRVGLC-CYBMUJFWSA-N 1 2 316.392 1.850 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)CC(F)F ZINC001235225102 888489276 /nfs/dbraw/zinc/48/92/76/888489276.db2.gz KIVNCPGFGRVGLC-CYBMUJFWSA-N 1 2 316.392 1.850 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](C[C@H](O)c2cccc(C#N)c2)[C@@H](C)C(=O)N1C ZINC001364437631 888637603 /nfs/dbraw/zinc/63/76/03/888637603.db2.gz IMZAXHBMSRLOPA-DVZHBHJUSA-N 1 2 301.390 1.531 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](C[C@H](O)c2cccc(C#N)c2)[C@@H](C)C(=O)N1C ZINC001364437631 888637612 /nfs/dbraw/zinc/63/76/12/888637612.db2.gz IMZAXHBMSRLOPA-DVZHBHJUSA-N 1 2 301.390 1.531 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)Cc1nc(C(F)F)no1 ZINC001235436205 888639655 /nfs/dbraw/zinc/63/96/55/888639655.db2.gz HQIGFCJCTPYZFT-UHFFFAOYSA-N 1 2 302.325 1.912 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)Cc1nc(C(F)F)no1 ZINC001235436205 888639666 /nfs/dbraw/zinc/63/96/66/888639666.db2.gz HQIGFCJCTPYZFT-UHFFFAOYSA-N 1 2 302.325 1.912 20 30 DDEDLO N#CCCN1CC[NH+](CCOC(=O)Cc2ccccc2)CC1 ZINC001364472912 888715916 /nfs/dbraw/zinc/71/59/16/888715916.db2.gz DUXWDHAJYPXKNC-UHFFFAOYSA-N 1 2 301.390 1.304 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nnc(C2CC2)o1 ZINC001235698986 888895243 /nfs/dbraw/zinc/89/52/43/888895243.db2.gz RGJNSNHOCYBXNS-UHFFFAOYSA-N 1 2 308.382 1.088 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nnc(C2CC2)o1 ZINC001235698986 888895259 /nfs/dbraw/zinc/89/52/59/888895259.db2.gz RGJNSNHOCYBXNS-UHFFFAOYSA-N 1 2 308.382 1.088 20 30 DDEDLO O=C1C=C2C[N@H+](Cc3ccccc3OCCO)CC[C@@H]2S1 ZINC001237196012 889536158 /nfs/dbraw/zinc/53/61/58/889536158.db2.gz ZCOOMJSTMCXTBL-HNNXBMFYSA-N 1 2 305.399 1.832 20 30 DDEDLO O=C1C=C2C[N@@H+](Cc3ccccc3OCCO)CC[C@@H]2S1 ZINC001237196012 889536166 /nfs/dbraw/zinc/53/61/66/889536166.db2.gz ZCOOMJSTMCXTBL-HNNXBMFYSA-N 1 2 305.399 1.832 20 30 DDEDLO N#Cc1cccc(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)n1 ZINC001364920721 889670023 /nfs/dbraw/zinc/67/00/23/889670023.db2.gz DSWVQTQMNIIANA-LBPRGKRZSA-N 1 2 303.284 1.061 20 30 DDEDLO N#Cc1cccc(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)n1 ZINC001364920721 889670034 /nfs/dbraw/zinc/67/00/34/889670034.db2.gz DSWVQTQMNIIANA-LBPRGKRZSA-N 1 2 303.284 1.061 20 30 DDEDLO Cc1cc2n(n1)c(=O)cc(C)n2Cc1cn2cc(C#N)ccc2[nH+]1 ZINC001237607974 889750888 /nfs/dbraw/zinc/75/08/88/889750888.db2.gz BDNYWNJBENFSMO-UHFFFAOYSA-N 1 2 318.340 1.681 20 30 DDEDLO CCc1[nH]c(CNS(=O)(=O)c2ccccc2CC#N)c[nH+]1 ZINC001364966150 889774998 /nfs/dbraw/zinc/77/49/98/889774998.db2.gz GGTKBMDDEAECDW-UHFFFAOYSA-N 1 2 304.375 1.517 20 30 DDEDLO CCc1[nH]cc(CNS(=O)(=O)c2ccccc2CC#N)[nH+]1 ZINC001364966150 889775004 /nfs/dbraw/zinc/77/50/04/889775004.db2.gz GGTKBMDDEAECDW-UHFFFAOYSA-N 1 2 304.375 1.517 20 30 DDEDLO Cc1nc(N[C@@H]2COCC[C@@H]2NC(=O)[C@@H](C)CC#N)cc[nH+]1 ZINC001365147909 890196101 /nfs/dbraw/zinc/19/61/01/890196101.db2.gz JQGLICXHEVOWNV-WCFLWFBJSA-N 1 2 303.366 1.020 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC2(C[C@H]2C(=O)NCC2COC2)C1 ZINC001278239157 890237896 /nfs/dbraw/zinc/23/78/96/890237896.db2.gz GWUNKHQYIDQXAE-INIZCTEOSA-N 1 2 311.385 1.143 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC2(C[C@H]2C(=O)Nc2cn[nH]c2)C1 ZINC001278239576 890240616 /nfs/dbraw/zinc/24/06/16/890240616.db2.gz AMXIYAXQRXBUGS-HNNXBMFYSA-N 1 2 307.357 1.742 20 30 DDEDLO CC(C)c1[nH]cc(CNS(=O)(=O)c2cccc(C#N)c2)[nH+]1 ZINC001365741383 891433883 /nfs/dbraw/zinc/43/38/83/891433883.db2.gz PIKZGNFKXPKOPR-UHFFFAOYSA-N 1 2 304.375 1.883 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ncc(C(F)(F)F)cc2F)CC1 ZINC001248790414 893702306 /nfs/dbraw/zinc/70/23/06/893702306.db2.gz PXWXAZLZTNBBOB-UHFFFAOYSA-N 1 2 301.287 1.990 20 30 DDEDLO C=CCOC[C@H](O)CN1CC[C@H]([NH+]2CCCCC2)C(F)(F)C1 ZINC001252471031 895183683 /nfs/dbraw/zinc/18/36/83/895183683.db2.gz BATRJIHLVNGPSL-CABCVRRESA-N 1 2 318.408 1.745 20 30 DDEDLO C=CCOC[C@@H](O)CN1CC[N@@H+]2CCc3ccccc3[C@H]2C1 ZINC001252470543 895184044 /nfs/dbraw/zinc/18/40/44/895184044.db2.gz LVFIQUFNQUSGGH-FUHWJXTLSA-N 1 2 302.418 1.465 20 30 DDEDLO C=CCOC[C@@H](O)CN1CC[N@H+]2CCc3ccccc3[C@H]2C1 ZINC001252470543 895184051 /nfs/dbraw/zinc/18/40/51/895184051.db2.gz LVFIQUFNQUSGGH-FUHWJXTLSA-N 1 2 302.418 1.465 20 30 DDEDLO C=C[C@@](C)(O)C[N@@H+]1CCc2c(nnn2CC2CC2)[C@@H]1COC ZINC001252546878 895258252 /nfs/dbraw/zinc/25/82/52/895258252.db2.gz BWJSZJXBEGQBEF-GOEBONIOSA-N 1 2 306.410 1.171 20 30 DDEDLO C=C[C@@](C)(O)C[N@H+]1CCc2c(nnn2CC2CC2)[C@@H]1COC ZINC001252546878 895258257 /nfs/dbraw/zinc/25/82/57/895258257.db2.gz BWJSZJXBEGQBEF-GOEBONIOSA-N 1 2 306.410 1.171 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001292797390 914011622 /nfs/dbraw/zinc/01/16/22/914011622.db2.gz WZWJWQIRZRABCG-ZDUSSCGKSA-N 1 2 318.421 1.280 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1c[nH]c(=O)cc1OC ZINC001367531762 897067663 /nfs/dbraw/zinc/06/76/63/897067663.db2.gz MWAZCEUCRGBCTQ-UHFFFAOYSA-N 1 2 313.785 1.552 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1c[nH]c(=O)cc1OC ZINC001367531762 897067678 /nfs/dbraw/zinc/06/76/78/897067678.db2.gz MWAZCEUCRGBCTQ-UHFFFAOYSA-N 1 2 313.785 1.552 20 30 DDEDLO CC[C@@H]1C[C@H](N2CC[NH2+]C[C@@H]2C#N)CCN1C(=O)OC(C)(C)C ZINC001257124265 897737452 /nfs/dbraw/zinc/73/74/52/897737452.db2.gz AFZZNBAZENDMSS-KFWWJZLASA-N 1 2 322.453 1.962 20 30 DDEDLO C[NH+](C)CCn1cc(NS(=O)(=O)c2cccc(C#N)c2)cn1 ZINC001259117347 898475661 /nfs/dbraw/zinc/47/56/61/898475661.db2.gz FTQQASFDDNABRH-UHFFFAOYSA-N 1 2 319.390 1.117 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@](C)(NC(=O)[C@H](C)COC)C1 ZINC001368150834 898819047 /nfs/dbraw/zinc/81/90/47/898819047.db2.gz KAMZWXVDBKZTOV-MFKMUULPSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@](C)(NC(=O)[C@H](C)COC)C1 ZINC001368150834 898819061 /nfs/dbraw/zinc/81/90/61/898819061.db2.gz KAMZWXVDBKZTOV-MFKMUULPSA-N 1 2 319.243 1.758 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnc3n2CCCCC3)CC1 ZINC001261286243 899451964 /nfs/dbraw/zinc/45/19/64/899451964.db2.gz DXEZAJLIMGUSAT-UHFFFAOYSA-N 1 2 302.422 1.943 20 30 DDEDLO C=C[C@H](CC(=O)N1CC[NH2+]C[C@H]1C(=O)OCC)c1ccccc1 ZINC001261501472 899608282 /nfs/dbraw/zinc/60/82/82/899608282.db2.gz BXSIZMRVSFDXAU-ZBFHGGJFSA-N 1 2 316.401 1.710 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)C[C@@H]2[C@@H](C(=O)OC)C2(F)F)n1 ZINC001262111082 899949420 /nfs/dbraw/zinc/94/94/20/899949420.db2.gz WLURKVDXADVJLK-OLZOCXBDSA-N 1 2 311.332 1.393 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)C[C@@H]2[C@@H](C(=O)OC)C2(F)F)n1 ZINC001262111082 899949408 /nfs/dbraw/zinc/94/94/08/899949408.db2.gz WLURKVDXADVJLK-OLZOCXBDSA-N 1 2 311.332 1.393 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC(C)(C)C[C@H]1C ZINC001262947501 900430919 /nfs/dbraw/zinc/43/09/19/900430919.db2.gz MWLRMPACSOPQJC-RDBSUJKOSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC(C)(C)C[C@H]1C ZINC001262947501 900430929 /nfs/dbraw/zinc/43/09/29/900430929.db2.gz MWLRMPACSOPQJC-RDBSUJKOSA-N 1 2 319.453 1.826 20 30 DDEDLO Cc1cc(C(=O)NCC[N@H+](Cc2ccccc2C#N)C2CC2)[nH]n1 ZINC001391147847 901055689 /nfs/dbraw/zinc/05/56/89/901055689.db2.gz ODVSZOQQCWZTTL-UHFFFAOYSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1cc(C(=O)NCC[N@@H+](Cc2ccccc2C#N)C2CC2)[nH]n1 ZINC001391147847 901055702 /nfs/dbraw/zinc/05/57/02/901055702.db2.gz ODVSZOQQCWZTTL-UHFFFAOYSA-N 1 2 323.400 1.984 20 30 DDEDLO C=CCCC(=O)N(C)C1CC[NH+]([C@@H](C)c2nncn2C)CC1 ZINC001265189769 901683793 /nfs/dbraw/zinc/68/37/93/901683793.db2.gz WCAICQWUZOMGHQ-ZDUSSCGKSA-N 1 2 305.426 1.765 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2cc(Cl)c[nH]2)C1 ZINC001265203160 901695766 /nfs/dbraw/zinc/69/57/66/901695766.db2.gz DKTVBEXVMAADBB-LBPRGKRZSA-N 1 2 324.812 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2cc(Cl)c[nH]2)C1 ZINC001265203160 901695773 /nfs/dbraw/zinc/69/57/73/901695773.db2.gz DKTVBEXVMAADBB-LBPRGKRZSA-N 1 2 324.812 1.165 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CCC[N@@H+](Cc2snnc2C)C1 ZINC001265213119 901715976 /nfs/dbraw/zinc/71/59/76/901715976.db2.gz MJXZILFNXSAJKD-SWLSCSKDSA-N 1 2 324.450 1.254 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CCC[N@H+](Cc2snnc2C)C1 ZINC001265213119 901715986 /nfs/dbraw/zinc/71/59/86/901715986.db2.gz MJXZILFNXSAJKD-SWLSCSKDSA-N 1 2 324.450 1.254 20 30 DDEDLO CC1(C)C2CCC1(C(=O)NCC[NH+]1CCN(CC#N)CC1)CC2 ZINC001265264352 901787369 /nfs/dbraw/zinc/78/73/69/901787369.db2.gz MLTVAVXDHVUHNG-UHFFFAOYSA-N 1 2 318.465 1.460 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H](NC(=O)C#CC(C)(C)C)C2)ncn1 ZINC001265284433 901824528 /nfs/dbraw/zinc/82/45/28/901824528.db2.gz PLANLUOLUBFWOJ-AWEZNQCLSA-N 1 2 300.406 1.525 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H](NC(=O)C#CC(C)(C)C)C2)ncn1 ZINC001265284433 901824537 /nfs/dbraw/zinc/82/45/37/901824537.db2.gz PLANLUOLUBFWOJ-AWEZNQCLSA-N 1 2 300.406 1.525 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)N(CC)CCC#N)C1 ZINC001369806095 901999772 /nfs/dbraw/zinc/99/97/72/901999772.db2.gz TZOMMSPKXDISLS-HUUCEWRRSA-N 1 2 322.453 1.375 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)N(CC)CCC#N)C1 ZINC001369806095 901999783 /nfs/dbraw/zinc/99/97/83/901999783.db2.gz TZOMMSPKXDISLS-HUUCEWRRSA-N 1 2 322.453 1.375 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001265721149 902342034 /nfs/dbraw/zinc/34/20/34/902342034.db2.gz KTIAYXGISFXFHL-NLIDSEJHSA-N 1 2 317.437 1.525 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nncn3C)C[C@H]21 ZINC001265721149 902342041 /nfs/dbraw/zinc/34/20/41/902342041.db2.gz KTIAYXGISFXFHL-NLIDSEJHSA-N 1 2 317.437 1.525 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001265721151 902343186 /nfs/dbraw/zinc/34/31/86/902343186.db2.gz KTIAYXGISFXFHL-REVBEMBVSA-N 1 2 317.437 1.525 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001265721151 902343196 /nfs/dbraw/zinc/34/31/96/902343196.db2.gz KTIAYXGISFXFHL-REVBEMBVSA-N 1 2 317.437 1.525 20 30 DDEDLO C=CCOCC(=O)NC[C@H](C)[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001265759066 902375702 /nfs/dbraw/zinc/37/57/02/902375702.db2.gz OSXOQFFULARDFY-NWDGAFQWSA-N 1 2 324.425 1.725 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[N@H+]([C@@H](C)c1nncn1C)C1CC1 ZINC001266125450 902986554 /nfs/dbraw/zinc/98/65/54/902986554.db2.gz BFXCUAFGIICJJE-NEPJUHHUSA-N 1 2 304.398 1.006 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[N@@H+]([C@@H](C)c1nncn1C)C1CC1 ZINC001266125450 902986565 /nfs/dbraw/zinc/98/65/65/902986565.db2.gz BFXCUAFGIICJJE-NEPJUHHUSA-N 1 2 304.398 1.006 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C=C2CCCCC2)C1 ZINC001266205370 903106342 /nfs/dbraw/zinc/10/63/42/903106342.db2.gz MVDZYLFIVXFDRD-HNNXBMFYSA-N 1 2 318.421 1.097 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C=C2CCCCC2)C1 ZINC001266205370 903106349 /nfs/dbraw/zinc/10/63/49/903106349.db2.gz MVDZYLFIVXFDRD-HNNXBMFYSA-N 1 2 318.421 1.097 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)C=C(CC)CC)C1 ZINC001266212446 903120498 /nfs/dbraw/zinc/12/04/98/903120498.db2.gz NOTRPXOAKSASSB-HNNXBMFYSA-N 1 2 305.422 1.063 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)C=C(CC)CC)C1 ZINC001266212446 903120508 /nfs/dbraw/zinc/12/05/08/903120508.db2.gz NOTRPXOAKSASSB-HNNXBMFYSA-N 1 2 305.422 1.063 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@@H](C)C(CC)CC)C1 ZINC001266225194 903144672 /nfs/dbraw/zinc/14/46/72/903144672.db2.gz JWPZKDBVGLCZTB-GOEBONIOSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](C)C(CC)CC)C1 ZINC001266225194 903144679 /nfs/dbraw/zinc/14/46/79/903144679.db2.gz JWPZKDBVGLCZTB-GOEBONIOSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1cnn(C)n1 ZINC001279574640 903335141 /nfs/dbraw/zinc/33/51/41/903335141.db2.gz ARXBKUWPNPZHQN-HZPDHXFCSA-N 1 2 317.437 1.737 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@@H+]2Cc1cnn(C)n1 ZINC001279574640 903335156 /nfs/dbraw/zinc/33/51/56/903335156.db2.gz ARXBKUWPNPZHQN-HZPDHXFCSA-N 1 2 317.437 1.737 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH+]cn1C ZINC001280530975 903768459 /nfs/dbraw/zinc/76/84/59/903768459.db2.gz ZGUYSUFBGMGJKZ-AWEZNQCLSA-N 1 2 318.421 1.119 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C[C@H]1CCOC1 ZINC001281073065 904376621 /nfs/dbraw/zinc/37/66/21/904376621.db2.gz TYMSIKCAPGMQFS-CRAIPNDOSA-N 1 2 312.413 1.589 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)C[C@H]1CCOC1 ZINC001281073065 904376635 /nfs/dbraw/zinc/37/66/35/904376635.db2.gz TYMSIKCAPGMQFS-CRAIPNDOSA-N 1 2 312.413 1.589 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001281208578 904564471 /nfs/dbraw/zinc/56/44/71/904564471.db2.gz ULUPOGCZMVLWND-KBPBESRZSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001281214478 904573116 /nfs/dbraw/zinc/57/31/16/904573116.db2.gz MJOGCLCIHLEBON-STQMWFEESA-N 1 2 304.394 1.414 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001281214222 904573395 /nfs/dbraw/zinc/57/33/95/904573395.db2.gz HFVAPEKIXWGGLQ-ZIAGYGMSSA-N 1 2 318.421 1.591 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](CNC(=O)Cn1cc[nH+]c1)C(C)(C)C ZINC001281307229 904670411 /nfs/dbraw/zinc/67/04/11/904670411.db2.gz SZNIECYPSBADME-CQSZACIVSA-N 1 2 318.421 1.190 20 30 DDEDLO C[C@H](C[C@@H](C)NC(=O)CCn1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001281444100 904859236 /nfs/dbraw/zinc/85/92/36/904859236.db2.gz QLKJMYZPYLBYMK-ZIAGYGMSSA-N 1 2 316.405 1.086 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cccc(C(=O)NC)n1 ZINC001392715881 905027332 /nfs/dbraw/zinc/02/73/32/905027332.db2.gz KHTMLPQWKWQQDF-LLVKDONJSA-N 1 2 324.812 1.244 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cccc(C(=O)NC)n1 ZINC001392715881 905027348 /nfs/dbraw/zinc/02/73/48/905027348.db2.gz KHTMLPQWKWQQDF-LLVKDONJSA-N 1 2 324.812 1.244 20 30 DDEDLO COCC#CC[N@@H+]1CCOC2(CCN(C(=O)CC3CC3)CC2)C1 ZINC001281784248 905215863 /nfs/dbraw/zinc/21/58/63/905215863.db2.gz RYRXTOZNMLIEHV-UHFFFAOYSA-N 1 2 320.433 1.130 20 30 DDEDLO COCC#CC[N@H+]1CCOC2(CCN(C(=O)CC3CC3)CC2)C1 ZINC001281784248 905215884 /nfs/dbraw/zinc/21/58/84/905215884.db2.gz RYRXTOZNMLIEHV-UHFFFAOYSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CCCCC(=O)NC1(CO)CC[NH+](Cc2coc(C)n2)CC1 ZINC001281808879 905234170 /nfs/dbraw/zinc/23/41/70/905234170.db2.gz CIQRGHYMTUGWLG-UHFFFAOYSA-N 1 2 319.405 1.230 20 30 DDEDLO CC#CC[N@H+](C)C[C@H]1CCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC001281969531 905377408 /nfs/dbraw/zinc/37/74/08/905377408.db2.gz QFWMZEJJOFWWNN-CQSZACIVSA-N 1 2 311.389 1.518 20 30 DDEDLO CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC001281969531 905377421 /nfs/dbraw/zinc/37/74/21/905377421.db2.gz QFWMZEJJOFWWNN-CQSZACIVSA-N 1 2 311.389 1.518 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+][C@H]2C[C@@H](CNC(=O)C#CC(C)(C)C)C2)no1 ZINC001316616576 905406173 /nfs/dbraw/zinc/40/61/73/905406173.db2.gz WXRQNIUWTSIDKK-BNOWGMLFSA-N 1 2 318.421 1.973 20 30 DDEDLO C[C@H](NC(=O)C#CC1CC1)[C@H](C)[NH2+]Cc1nnc(C2CC2)o1 ZINC001282128065 905567039 /nfs/dbraw/zinc/56/70/39/905567039.db2.gz GOYYXGSQSVKDPF-QWRGUYRKSA-N 1 2 302.378 1.343 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C2CCCCCCC2)C1 ZINC001282527841 905850878 /nfs/dbraw/zinc/85/08/78/905850878.db2.gz UAQQKMKPMJFGHH-UHFFFAOYSA-N 1 2 306.450 1.923 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)C2CC3(C2)CCOCC3)C1 ZINC001282538916 905857495 /nfs/dbraw/zinc/85/74/95/905857495.db2.gz DVORSRMOPAELIN-UHFFFAOYSA-N 1 2 322.449 1.322 20 30 DDEDLO C=CC1(CC(=O)NC2(CCO)C[NH+](CCCO)C2)CCCCC1 ZINC001282540540 905864065 /nfs/dbraw/zinc/86/40/65/905864065.db2.gz ZXJUPXQKXKTBRJ-UHFFFAOYSA-N 1 2 324.465 1.448 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H](C)C1C[NH+](Cc2ccn(C)n2)C1 ZINC001282733609 905993120 /nfs/dbraw/zinc/99/31/20/905993120.db2.gz GUZAKAAMLFAWGV-KBPBESRZSA-N 1 2 304.438 1.959 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H](C)C1C[NH+](CC(=O)NCCC)C1 ZINC001282738414 906001957 /nfs/dbraw/zinc/00/19/57/906001957.db2.gz FPFWQCOZFJRASR-CYBMUJFWSA-N 1 2 309.454 1.551 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(COC)CCC3)C2)C1 ZINC001282774254 906026392 /nfs/dbraw/zinc/02/63/92/906026392.db2.gz XHSOSUILPZNAQY-SFHVURJKSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(COC)CCC3)C2)C1 ZINC001282774254 906026410 /nfs/dbraw/zinc/02/64/10/906026410.db2.gz XHSOSUILPZNAQY-SFHVURJKSA-N 1 2 320.433 1.130 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)Cc1csc2nccn12 ZINC001372220156 906736685 /nfs/dbraw/zinc/73/66/85/906736685.db2.gz BLUMMOTZPQJHDI-UHFFFAOYSA-N 1 2 312.826 1.739 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)Cc1csc2nccn12 ZINC001372220156 906736696 /nfs/dbraw/zinc/73/66/96/906736696.db2.gz BLUMMOTZPQJHDI-UHFFFAOYSA-N 1 2 312.826 1.739 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001283358673 907211419 /nfs/dbraw/zinc/21/14/19/907211419.db2.gz VPDUJNOEUJFXIN-CYBMUJFWSA-N 1 2 304.394 1.416 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001284021415 908329236 /nfs/dbraw/zinc/32/92/36/908329236.db2.gz XGHPOLIVOFGZII-YNEHKIRRSA-N 1 2 302.378 1.197 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001284021415 908329248 /nfs/dbraw/zinc/32/92/48/908329248.db2.gz XGHPOLIVOFGZII-YNEHKIRRSA-N 1 2 302.378 1.197 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001284024044 908332463 /nfs/dbraw/zinc/33/24/63/908332463.db2.gz ZNHVLDVZWDKXAA-ZDUSSCGKSA-N 1 2 316.405 1.208 20 30 DDEDLO C=C(Cl)C[N@H+](CCNC(=O)[C@H]1CCc2c[nH]nc2C1)C1CC1 ZINC001372908012 908550273 /nfs/dbraw/zinc/55/02/73/908550273.db2.gz SXRBBMDWCSKMJV-LBPRGKRZSA-N 1 2 322.840 1.848 20 30 DDEDLO C=C(Cl)C[N@@H+](CCNC(=O)[C@H]1CCc2c[nH]nc2C1)C1CC1 ZINC001372908012 908550283 /nfs/dbraw/zinc/55/02/83/908550283.db2.gz SXRBBMDWCSKMJV-LBPRGKRZSA-N 1 2 322.840 1.848 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)[C@H](C)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001394054236 908653567 /nfs/dbraw/zinc/65/35/67/908653567.db2.gz MDLZVJIRESFPDD-ZYHUDNBSSA-N 1 2 315.377 1.994 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)[C@H](C)CNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001394054236 908653569 /nfs/dbraw/zinc/65/35/69/908653569.db2.gz MDLZVJIRESFPDD-ZYHUDNBSSA-N 1 2 315.377 1.994 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001394068192 908697490 /nfs/dbraw/zinc/69/74/90/908697490.db2.gz RXZRRCHNNXRRLB-NWDGAFQWSA-N 1 2 301.818 1.044 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001394068192 908697497 /nfs/dbraw/zinc/69/74/97/908697497.db2.gz RXZRRCHNNXRRLB-NWDGAFQWSA-N 1 2 301.818 1.044 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001284447032 909015405 /nfs/dbraw/zinc/01/54/05/909015405.db2.gz BIIFNDBQFFEPOL-CYBMUJFWSA-N 1 2 306.410 1.496 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCCCC[N@@H+]1Cc1cnns1 ZINC001394203027 909066069 /nfs/dbraw/zinc/06/60/69/909066069.db2.gz FIDQGZNCEVIPHW-RYUDHWBXSA-N 1 2 307.423 1.559 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1CCCCC[N@H+]1Cc1cnns1 ZINC001394203027 909066086 /nfs/dbraw/zinc/06/60/86/909066086.db2.gz FIDQGZNCEVIPHW-RYUDHWBXSA-N 1 2 307.423 1.559 20 30 DDEDLO CC#CCCCC(=O)N(C)CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC001284531884 909150762 /nfs/dbraw/zinc/15/07/62/909150762.db2.gz VBTMMKFXNPTCOH-AWEZNQCLSA-N 1 2 318.421 1.357 20 30 DDEDLO CC#CCCCC(=O)N(C)CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC001284531884 909150776 /nfs/dbraw/zinc/15/07/76/909150776.db2.gz VBTMMKFXNPTCOH-AWEZNQCLSA-N 1 2 318.421 1.357 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)o1 ZINC001284890723 909657507 /nfs/dbraw/zinc/65/75/07/909657507.db2.gz AHPSDYCQLDKFMH-MNOVXSKESA-N 1 2 303.366 1.347 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)o1 ZINC001284890723 909657522 /nfs/dbraw/zinc/65/75/22/909657522.db2.gz AHPSDYCQLDKFMH-MNOVXSKESA-N 1 2 303.366 1.347 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H](CC(C)C)NC(=O)Cn1cc[nH+]c1 ZINC001285257437 910278265 /nfs/dbraw/zinc/27/82/65/910278265.db2.gz VXPULGKEPKHIJL-OAHLLOKOSA-N 1 2 318.421 1.190 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](CNC(=O)C#CC(C)C)C(C)C ZINC001285316279 910342927 /nfs/dbraw/zinc/34/29/27/910342927.db2.gz OLQGZSVBFDWEKW-OAHLLOKOSA-N 1 2 318.421 1.177 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001285402538 910470481 /nfs/dbraw/zinc/47/04/81/910470481.db2.gz IYEZTODQSWILHK-UKRRQHHQSA-N 1 2 316.405 1.013 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@](C)(NC(C)=O)C(C)C)C1 ZINC001373657341 910509725 /nfs/dbraw/zinc/50/97/25/910509725.db2.gz YJJDZEGLICEYPK-HIFRSBDPSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@](C)(NC(C)=O)C(C)C)C1 ZINC001373657341 910509734 /nfs/dbraw/zinc/50/97/34/910509734.db2.gz YJJDZEGLICEYPK-HIFRSBDPSA-N 1 2 315.845 1.480 20 30 DDEDLO C=CCCC(=O)N[C@H](C)CNC(=O)c1cccc2[nH+]ccn21 ZINC001285628112 910915572 /nfs/dbraw/zinc/91/55/72/910915572.db2.gz YDOLKVSYNKQBMI-GFCCVEGCSA-N 1 2 300.362 1.535 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001285681441 911027290 /nfs/dbraw/zinc/02/72/90/911027290.db2.gz KRLSWTLYPWREKJ-CYBMUJFWSA-N 1 2 306.410 1.330 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1ccc(CNC(=O)Cc2nnc[nH]2)cc1 ZINC001373932023 911388800 /nfs/dbraw/zinc/38/88/00/911388800.db2.gz VJXWFKCECFSLSM-UHFFFAOYSA-N 1 2 319.796 1.506 20 30 DDEDLO C=C1CC(C)(C(=O)NC2(CNC(=O)CCn3cc[nH+]c3)CC2)C1 ZINC001285944489 911477980 /nfs/dbraw/zinc/47/79/80/911477980.db2.gz AGXZUASOEMECFU-UHFFFAOYSA-N 1 2 316.405 1.395 20 30 DDEDLO CCCC[C@@H](CNC(=O)Cn1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001286078028 911653236 /nfs/dbraw/zinc/65/32/36/911653236.db2.gz WSXNPCIRXRKSLH-HNNXBMFYSA-N 1 2 316.405 1.088 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@](C)(NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001286094011 911685204 /nfs/dbraw/zinc/68/52/04/911685204.db2.gz LSUXKXVYWOJPSW-KRWDZBQOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@](C)(NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001286094011 911685217 /nfs/dbraw/zinc/68/52/17/911685217.db2.gz LSUXKXVYWOJPSW-KRWDZBQOSA-N 1 2 318.421 1.806 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccnc3)n2CCC)CC1 ZINC001286477145 911991684 /nfs/dbraw/zinc/99/16/84/911991684.db2.gz PGTBHNGSHDMHQK-UHFFFAOYSA-N 1 2 310.405 1.505 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295224396 915662530 /nfs/dbraw/zinc/66/25/30/915662530.db2.gz VOBYIDKAYSBINJ-BFQNTYOBSA-N 1 2 304.394 1.318 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CNC(=O)CCc1c[nH]c[nH+]1 ZINC001295533149 915879271 /nfs/dbraw/zinc/87/92/71/915879271.db2.gz WUKYZEARMDTZGU-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CNC(=O)CCc1c[nH+]c[nH]1 ZINC001295533149 915879286 /nfs/dbraw/zinc/87/92/86/915879286.db2.gz WUKYZEARMDTZGU-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC[C@@H]1CCCN1C(=O)C#CC(C)C ZINC001296453727 916427858 /nfs/dbraw/zinc/42/78/58/916427858.db2.gz NCYNGBODVBGXIO-AWEZNQCLSA-N 1 2 316.405 1.027 20 30 DDEDLO CC(C)C[C@H](CNC(=O)CCc1[nH]cc[nH+]1)NC(=O)[C@@H](C)C#N ZINC001375843728 917108021 /nfs/dbraw/zinc/10/80/21/917108021.db2.gz BXTQMUGKRPLGRH-QWHCGFSZSA-N 1 2 319.409 1.149 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)C[C@@H]1COC(=O)C1 ZINC001376562967 918761383 /nfs/dbraw/zinc/76/13/83/918761383.db2.gz BCLTWHCFSISAQR-QWHCGFSZSA-N 1 2 314.813 1.663 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)C[C@@H]1COC(=O)C1 ZINC001376562967 918761390 /nfs/dbraw/zinc/76/13/90/918761390.db2.gz BCLTWHCFSISAQR-QWHCGFSZSA-N 1 2 314.813 1.663 20 30 DDEDLO CC[N@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1ccns1 ZINC001377303680 920972987 /nfs/dbraw/zinc/97/29/87/920972987.db2.gz UAAGFMUHXXHMAD-UHFFFAOYSA-N 1 2 303.391 1.595 20 30 DDEDLO CC[N@@H+](CCNC(=O)c1ccc(C#N)[nH]1)Cc1ccns1 ZINC001377303680 920973000 /nfs/dbraw/zinc/97/30/00/920973000.db2.gz UAAGFMUHXXHMAD-UHFFFAOYSA-N 1 2 303.391 1.595 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)c1cnn(CCF)c1 ZINC001377322466 921045146 /nfs/dbraw/zinc/04/51/46/921045146.db2.gz MWCUAULRAJWBAS-UHFFFAOYSA-N 1 2 302.781 1.657 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)c1cnn(CCF)c1 ZINC001377322466 921045164 /nfs/dbraw/zinc/04/51/64/921045164.db2.gz MWCUAULRAJWBAS-UHFFFAOYSA-N 1 2 302.781 1.657 20 30 DDEDLO Cc1cnc(C[NH2+][C@H](CNC(=O)[C@H](C)C#N)c2ccccc2)cn1 ZINC001377602251 922450953 /nfs/dbraw/zinc/45/09/53/922450953.db2.gz AKXQKSLWDMOSTC-CXAGYDPISA-N 1 2 323.400 1.892 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)C#N)[N@H+](C)Cc1cc2n(n1)CCCC2 ZINC001378017991 923712626 /nfs/dbraw/zinc/71/26/26/923712626.db2.gz GDXAIRSLYJUUEJ-QWHCGFSZSA-N 1 2 303.410 1.316 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)C#N)[N@@H+](C)Cc1cc2n(n1)CCCC2 ZINC001378017991 923712634 /nfs/dbraw/zinc/71/26/34/923712634.db2.gz GDXAIRSLYJUUEJ-QWHCGFSZSA-N 1 2 303.410 1.316 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H](C)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001378021095 923726922 /nfs/dbraw/zinc/72/69/22/923726922.db2.gz NTCYLPBPUZAHDM-RYUDHWBXSA-N 1 2 302.353 1.794 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H](C)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001378021095 923726938 /nfs/dbraw/zinc/72/69/38/923726938.db2.gz NTCYLPBPUZAHDM-RYUDHWBXSA-N 1 2 302.353 1.794 20 30 DDEDLO NC(=[NH+]O[C@@H]1CCCN(c2ccccc2F)C1=O)[C@@H]1CCCO1 ZINC000283755344 222609825 /nfs/dbraw/zinc/60/98/25/222609825.db2.gz AHYNCXKMSFDBGT-UONOGXRCSA-N 1 2 321.352 1.789 20 30 DDEDLO C[C@@H](c1ccc(F)cc1)N(C)C(=O)CO[NH+]=C(N)[C@H]1CCCO1 ZINC000284033975 222613510 /nfs/dbraw/zinc/61/35/10/222613510.db2.gz IQXWNMIXLBUOQN-SMDDNHRTSA-N 1 2 323.368 1.813 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000278715410 214347248 /nfs/dbraw/zinc/34/72/48/214347248.db2.gz CEBOGSDUDVPWLP-SUMWQHHRSA-N 1 2 319.405 1.250 20 30 DDEDLO C[C@H](O)C[N@H+](CCC(=O)Nc1sccc1C#N)CC(F)F ZINC000451854650 231212955 /nfs/dbraw/zinc/21/29/55/231212955.db2.gz QZMNONKFDXBXAU-VIFPVBQESA-N 1 2 317.361 1.896 20 30 DDEDLO C[C@H](O)C[N@@H+](CCC(=O)Nc1sccc1C#N)CC(F)F ZINC000451854650 231212957 /nfs/dbraw/zinc/21/29/57/231212957.db2.gz QZMNONKFDXBXAU-VIFPVBQESA-N 1 2 317.361 1.896 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)c1cnc(C)c(C#N)c1 ZINC000451933173 529590126 /nfs/dbraw/zinc/59/01/26/529590126.db2.gz UUFOXMOAGADFFB-LLVKDONJSA-N 1 2 319.390 1.518 20 30 DDEDLO O=C(NC1CCOCC1)N1CC[NH+](Cc2cccnc2)CC1 ZINC000328846802 539299305 /nfs/dbraw/zinc/29/93/05/539299305.db2.gz AMVKGLFHFBXWCW-UHFFFAOYSA-N 1 2 304.394 1.292 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)NCC1CCSCC1 ZINC000329383676 539303799 /nfs/dbraw/zinc/30/37/99/539303799.db2.gz OZJZUNHHSXLYQM-GFCCVEGCSA-N 1 2 301.456 1.354 20 30 DDEDLO CN1CCO[C@@H]2C[N@H+](CC(=O)NC(=O)NC3CCCCC3)C[C@H]21 ZINC000329925156 529786536 /nfs/dbraw/zinc/78/65/36/529786536.db2.gz JODXAOXSSUIBQV-ZIAGYGMSSA-N 1 2 324.425 1.204 20 30 DDEDLO CN1CCO[C@@H]2C[N@@H+](CC(=O)NC(=O)NC3CCCCC3)C[C@H]21 ZINC000329925156 529786537 /nfs/dbraw/zinc/78/65/37/529786537.db2.gz JODXAOXSSUIBQV-ZIAGYGMSSA-N 1 2 324.425 1.204 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(CC(=O)NC(=O)NC3CCCCC3)C[C@H]21 ZINC000329925156 529786538 /nfs/dbraw/zinc/78/65/38/529786538.db2.gz JODXAOXSSUIBQV-ZIAGYGMSSA-N 1 2 324.425 1.204 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(CC(=O)NC(=O)NC3CCCCC3)C[C@H]21 ZINC000329925156 529786540 /nfs/dbraw/zinc/78/65/40/529786540.db2.gz JODXAOXSSUIBQV-ZIAGYGMSSA-N 1 2 324.425 1.204 20 30 DDEDLO CNC(=O)CC1CCN(C(=O)N[C@@H](C)Cn2cc[nH+]c2)CC1 ZINC000330959653 529845143 /nfs/dbraw/zinc/84/51/43/529845143.db2.gz BCMQXWJBULUAKP-LBPRGKRZSA-N 1 2 307.398 1.034 20 30 DDEDLO CNC(=O)CC1CCN(C([O-])=[NH+][C@@H](C)Cn2cc[nH+]c2)CC1 ZINC000330959653 529845144 /nfs/dbraw/zinc/84/51/44/529845144.db2.gz BCMQXWJBULUAKP-LBPRGKRZSA-N 1 2 307.398 1.034 20 30 DDEDLO CNC(=O)[C@H](NC(=O)c1ccc2[nH+]c(C)n(C)c2c1)C(C)C ZINC000331047055 529912796 /nfs/dbraw/zinc/91/27/96/529912796.db2.gz GHCLOFYXPTYTSD-CQSZACIVSA-N 1 2 302.378 1.957 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)[C@H]2CCC[N@@H+]2Cc2ccccn2)C1 ZINC000615825184 362455219 /nfs/dbraw/zinc/45/52/19/362455219.db2.gz NZAAUNZDYBVJGF-ZBFHGGJFSA-N 1 2 302.353 1.510 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)[C@H]2CCC[N@H+]2Cc2ccccn2)C1 ZINC000615825184 362455226 /nfs/dbraw/zinc/45/52/26/362455226.db2.gz NZAAUNZDYBVJGF-ZBFHGGJFSA-N 1 2 302.353 1.510 20 30 DDEDLO CN1C(=O)CC[C@H]1C(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000330138611 530042778 /nfs/dbraw/zinc/04/27/78/530042778.db2.gz VRBFLXBMKKIIPJ-HNNXBMFYSA-N 1 2 317.389 1.728 20 30 DDEDLO C=CCCc1ccccc1NC(=O)C(=O)NCc1c[nH+]cn1C ZINC000354659356 539450619 /nfs/dbraw/zinc/45/06/19/539450619.db2.gz TXGCUJIIZWKKHG-UHFFFAOYSA-N 1 2 312.373 1.794 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](Cc2cnc(C3CC3)nc2)CC1 ZINC000459304925 233079053 /nfs/dbraw/zinc/07/90/53/233079053.db2.gz MJPBNEPITWNRHJ-UHFFFAOYSA-N 1 2 320.400 1.943 20 30 DDEDLO CO[C@@H](C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1)C1CC1 ZINC000280092922 215369675 /nfs/dbraw/zinc/36/96/75/215369675.db2.gz WSEQPUNBKOZXJM-QGZVFWFLSA-N 1 2 313.401 1.627 20 30 DDEDLO N#Cc1ncccc1S(=O)(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000617469172 363122358 /nfs/dbraw/zinc/12/23/58/363122358.db2.gz ACMMLKHAKYRVRP-LBPRGKRZSA-N 1 2 317.374 1.176 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](C)C[C@@H]1COc2ccccc2O1 ZINC000042365275 352336053 /nfs/dbraw/zinc/33/60/53/352336053.db2.gz QWFXRYYIUZYHKS-OAHLLOKOSA-N 1 2 316.401 1.959 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](C)C[C@@H]1COc2ccccc2O1 ZINC000042365275 352336055 /nfs/dbraw/zinc/33/60/55/352336055.db2.gz QWFXRYYIUZYHKS-OAHLLOKOSA-N 1 2 316.401 1.959 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000296484376 222823606 /nfs/dbraw/zinc/82/36/06/222823606.db2.gz YNHNQUUVWSMGKM-GFCCVEGCSA-N 1 2 310.423 1.859 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000052636594 352621088 /nfs/dbraw/zinc/62/10/88/352621088.db2.gz BUZGJSNWJZUFQE-LBPRGKRZSA-N 1 2 304.390 1.753 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCc2cc(OC)c(OC)cc2C1 ZINC000052636594 352621092 /nfs/dbraw/zinc/62/10/92/352621092.db2.gz BUZGJSNWJZUFQE-LBPRGKRZSA-N 1 2 304.390 1.753 20 30 DDEDLO COCCOC[C@H]1CC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000072796618 191229725 /nfs/dbraw/zinc/22/97/25/191229725.db2.gz RQSPSXPPJJDJGW-LBPRGKRZSA-N 1 2 323.418 1.543 20 30 DDEDLO COCCOC[C@H]1CC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000072796618 191229728 /nfs/dbraw/zinc/22/97/28/191229728.db2.gz RQSPSXPPJJDJGW-LBPRGKRZSA-N 1 2 323.418 1.543 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000063589872 352916451 /nfs/dbraw/zinc/91/64/51/352916451.db2.gz JYRGCULLPOVZGI-UHFFFAOYSA-N 1 2 306.431 1.633 20 30 DDEDLO C[C@H](Oc1ccccc1C#N)C(=O)NCCNc1cccc[nH+]1 ZINC000063705279 352920287 /nfs/dbraw/zinc/92/02/87/352920287.db2.gz XAAQLWKPBYJVLI-ZDUSSCGKSA-N 1 2 310.357 1.949 20 30 DDEDLO Cn1cc(C[N@H+](C)Cc2nnc(-c3ccc(C#N)cc3)o2)cn1 ZINC000173456568 198216315 /nfs/dbraw/zinc/21/63/15/198216315.db2.gz CFBUNEWDHQQJNX-UHFFFAOYSA-N 1 2 308.345 1.974 20 30 DDEDLO Cn1cc(C[N@@H+](C)Cc2nnc(-c3ccc(C#N)cc3)o2)cn1 ZINC000173456568 198216317 /nfs/dbraw/zinc/21/63/17/198216317.db2.gz CFBUNEWDHQQJNX-UHFFFAOYSA-N 1 2 308.345 1.974 20 30 DDEDLO C=CCCCN(C)C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000173921160 198269974 /nfs/dbraw/zinc/26/99/74/198269974.db2.gz DWTAVXZSNYDXMM-UHFFFAOYSA-N 1 2 302.422 1.628 20 30 DDEDLO N#Cc1ccc(/C=C/C(=O)N2CCN(Cc3[nH]cc[nH+]3)CC2)cc1 ZINC000491868334 234320917 /nfs/dbraw/zinc/32/09/17/234320917.db2.gz LLDVXTRGIHPRKN-AATRIKPKSA-N 1 2 321.384 1.639 20 30 DDEDLO N#Cc1cc(F)ccc1NCCCCN1CC[NH+](CCO)CC1 ZINC000078260610 353507884 /nfs/dbraw/zinc/50/78/84/353507884.db2.gz VPTUCMVWRXESOF-UHFFFAOYSA-N 1 2 320.412 1.499 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCC(c2nc[nH]n2)CC1 ZINC000083445409 353710893 /nfs/dbraw/zinc/71/08/93/353710893.db2.gz IQDSFDHRWJPKJE-ZDUSSCGKSA-N 1 2 305.426 1.797 20 30 DDEDLO C=C(C)CNC(=O)NC[C@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000623908923 366108494 /nfs/dbraw/zinc/10/84/94/366108494.db2.gz XQOIZQBRHOKDOV-HNNXBMFYSA-N 1 2 304.394 1.158 20 30 DDEDLO C=C(C)CNC(=O)NC[C@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000623908923 366108495 /nfs/dbraw/zinc/10/84/95/366108495.db2.gz XQOIZQBRHOKDOV-HNNXBMFYSA-N 1 2 304.394 1.158 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[NH+]1CCC(O)(C(F)(F)F)CC1 ZINC000089969553 353786558 /nfs/dbraw/zinc/78/65/58/353786558.db2.gz KNBXKLCKOIFEBE-LLVKDONJSA-N 1 2 321.343 1.384 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000120789410 354014978 /nfs/dbraw/zinc/01/49/78/354014978.db2.gz VZVBHLYIRZFDFU-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000120789410 354014979 /nfs/dbraw/zinc/01/49/79/354014979.db2.gz VZVBHLYIRZFDFU-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)NCCc1c[nH+]cn1C ZINC000121270493 354016865 /nfs/dbraw/zinc/01/68/65/354016865.db2.gz XZUDJFWFEHEDQT-UHFFFAOYSA-N 1 2 315.373 1.966 20 30 DDEDLO C[C@@H](C#N)C[N@H+](C)[C@@H](C)C(=O)NC(=O)Nc1ccccc1F ZINC000143082729 354147896 /nfs/dbraw/zinc/14/78/96/354147896.db2.gz CJRGTHASQJFWSK-QWRGUYRKSA-N 1 2 306.341 1.954 20 30 DDEDLO C[C@@H](C#N)C[N@@H+](C)[C@@H](C)C(=O)NC(=O)Nc1ccccc1F ZINC000143082729 354147899 /nfs/dbraw/zinc/14/78/99/354147899.db2.gz CJRGTHASQJFWSK-QWRGUYRKSA-N 1 2 306.341 1.954 20 30 DDEDLO C=CCNC(=O)c1ccc(NC(=O)C[NH+]2CC(C)(C)C2)cc1 ZINC000581438552 354733803 /nfs/dbraw/zinc/73/38/03/354733803.db2.gz SQAVPIMLRRZHME-UHFFFAOYSA-N 1 2 301.390 1.883 20 30 DDEDLO COc1cc(CCC(=O)N[C@](C)(C#N)C[NH+](C)C)cc(OC)c1 ZINC000580927761 354728283 /nfs/dbraw/zinc/72/82/83/354728283.db2.gz KAMMCSSWDFLSOL-QGZVFWFLSA-N 1 2 319.405 1.596 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O ZINC000586860121 354875250 /nfs/dbraw/zinc/87/52/50/354875250.db2.gz SYEQWYIYWREYTR-YGRLFVJLSA-N 1 2 309.329 1.505 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)N1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O ZINC000586860121 354875252 /nfs/dbraw/zinc/87/52/52/354875252.db2.gz SYEQWYIYWREYTR-YGRLFVJLSA-N 1 2 309.329 1.505 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@@]2(CCOC2)O1 ZINC000565048783 304040611 /nfs/dbraw/zinc/04/06/11/304040611.db2.gz MQCOOSARQIHEPS-MLGOLLRUSA-N 1 2 317.345 1.846 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@@]2(CCOC2)O1 ZINC000565048783 304040613 /nfs/dbraw/zinc/04/06/13/304040613.db2.gz MQCOOSARQIHEPS-MLGOLLRUSA-N 1 2 317.345 1.846 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC[C@H](OCC3CC3)C2)cc1 ZINC000494708785 235077030 /nfs/dbraw/zinc/07/70/30/235077030.db2.gz MCVRVVPLMVPHAC-IRXDYDNUSA-N 1 2 313.401 1.976 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC[C@H](OCC3CC3)C2)cc1 ZINC000494708785 235077036 /nfs/dbraw/zinc/07/70/36/235077036.db2.gz MCVRVVPLMVPHAC-IRXDYDNUSA-N 1 2 313.401 1.976 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)N[C@@H]2CCc3cc(C#N)ccc32)c1 ZINC000590939483 355218770 /nfs/dbraw/zinc/21/87/70/355218770.db2.gz FJOODNNMPYXHLT-MRXNPFEDSA-N 1 2 309.373 1.821 20 30 DDEDLO COC(=O)C[C@@](C)([NH2+]Cc1ccncc1C#N)c1ccccn1 ZINC000592107606 355501784 /nfs/dbraw/zinc/50/17/84/355501784.db2.gz LPBSNUUKGAHTEO-QGZVFWFLSA-N 1 2 310.357 1.916 20 30 DDEDLO Cc1c(Cl)cccc1NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1 ZINC000592148581 355515334 /nfs/dbraw/zinc/51/53/34/355515334.db2.gz ZZANSMOYRBYNAK-OAHLLOKOSA-N 1 2 307.781 1.937 20 30 DDEDLO Cc1c(Cl)cccc1NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1 ZINC000592148581 355515339 /nfs/dbraw/zinc/51/53/39/355515339.db2.gz ZZANSMOYRBYNAK-OAHLLOKOSA-N 1 2 307.781 1.937 20 30 DDEDLO CC[C@H]1COC(C)(C)C[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000593056365 355781528 /nfs/dbraw/zinc/78/15/28/355781528.db2.gz HCAQCQVRFHLDFZ-AWEZNQCLSA-N 1 2 306.410 1.532 20 30 DDEDLO CC[C@H]1COC(C)(C)C[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000593056365 355781533 /nfs/dbraw/zinc/78/15/33/355781533.db2.gz HCAQCQVRFHLDFZ-AWEZNQCLSA-N 1 2 306.410 1.532 20 30 DDEDLO COC(=O)c1ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1F ZINC000593154282 355812955 /nfs/dbraw/zinc/81/29/55/355812955.db2.gz FEDKQKXVMKHIRW-HNNXBMFYSA-N 1 2 307.325 1.186 20 30 DDEDLO C[C@@H](C(=O)NC1CC1)[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000078269192 191840131 /nfs/dbraw/zinc/84/01/31/191840131.db2.gz XTCJCKRELSYAGU-WMLDXEAASA-N 1 2 312.417 1.536 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3cc[nH+]c(C(=O)[O-])c3)CC2)cc1 ZINC000593324925 355854637 /nfs/dbraw/zinc/85/46/37/355854637.db2.gz PWHHSIAMRZUNRX-UHFFFAOYSA-N 1 2 322.368 1.974 20 30 DDEDLO CC(C)[C@@H]1CN(S(=O)(=O)c2ccsc2C#N)CC[N@@H+]1C ZINC000593585900 355934382 /nfs/dbraw/zinc/93/43/82/355934382.db2.gz OXBMUDGHNNDYJX-NSHDSACASA-N 1 2 313.448 1.580 20 30 DDEDLO CC(C)[C@@H]1CN(S(=O)(=O)c2ccsc2C#N)CC[N@H+]1C ZINC000593585900 355934384 /nfs/dbraw/zinc/93/43/84/355934384.db2.gz OXBMUDGHNNDYJX-NSHDSACASA-N 1 2 313.448 1.580 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)NCC1(C#N)CCCC1 ZINC000593430207 355883199 /nfs/dbraw/zinc/88/31/99/355883199.db2.gz BJCSMICYAQAGHY-CABCVRRESA-N 1 2 320.437 1.575 20 30 DDEDLO O=C(CCc1c[nH+]c[nH]1)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000329372582 222969856 /nfs/dbraw/zinc/96/98/56/222969856.db2.gz JKYYOKPKHAXVCE-JTQLQIEISA-N 1 2 318.299 1.852 20 30 DDEDLO O=C(CCc1c[nH]c[nH+]1)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000329372582 222969858 /nfs/dbraw/zinc/96/98/58/222969858.db2.gz JKYYOKPKHAXVCE-JTQLQIEISA-N 1 2 318.299 1.852 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N[C@@H](C)c1[nH+]ccn1C ZINC000595384235 356473615 /nfs/dbraw/zinc/47/36/15/356473615.db2.gz UUTNIYFAJDERIX-NSHDSACASA-N 1 2 304.375 1.640 20 30 DDEDLO C[C@H](NS(=O)(=O)Cc1ccc(C#N)cc1)c1[nH+]ccn1C ZINC000595384558 356473775 /nfs/dbraw/zinc/47/37/75/356473775.db2.gz XPMVCLGYFRGKLB-NSHDSACASA-N 1 2 304.375 1.472 20 30 DDEDLO N#CCCN(CCOCC(F)(F)F)CC[NH+]1CCOCC1 ZINC000081530552 192284542 /nfs/dbraw/zinc/28/45/42/192284542.db2.gz AMSNODAVIWMSQE-UHFFFAOYSA-N 1 2 309.332 1.113 20 30 DDEDLO N#CC[C@H]1CCC[N@@H+](CC(=O)Nc2nnc(-c3ccco3)o2)C1 ZINC000595620706 356581439 /nfs/dbraw/zinc/58/14/39/356581439.db2.gz PEAJQZXRYOUGJI-LLVKDONJSA-N 1 2 315.333 1.894 20 30 DDEDLO N#CC[C@H]1CCC[N@H+](CC(=O)Nc2nnc(-c3ccco3)o2)C1 ZINC000595620706 356581441 /nfs/dbraw/zinc/58/14/41/356581441.db2.gz PEAJQZXRYOUGJI-LLVKDONJSA-N 1 2 315.333 1.894 20 30 DDEDLO C[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H](C)O1 ZINC000595780747 356649662 /nfs/dbraw/zinc/64/96/62/356649662.db2.gz MKBZTPFFLKGTJD-KGLIPLIRSA-N 1 2 322.430 1.831 20 30 DDEDLO C[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H](C)O1 ZINC000595780747 356649666 /nfs/dbraw/zinc/64/96/66/356649666.db2.gz MKBZTPFFLKGTJD-KGLIPLIRSA-N 1 2 322.430 1.831 20 30 DDEDLO C=CCC1(NC(=O)c2ccc(-n3cc[nH+]c3)nn2)CCOCC1 ZINC000618247077 363544453 /nfs/dbraw/zinc/54/44/53/363544453.db2.gz JNAYIAOFVKBKIU-UHFFFAOYSA-N 1 2 313.361 1.517 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@@H+]2CCC3(COC3)C2)C1=O ZINC000595834513 356670562 /nfs/dbraw/zinc/67/05/62/356670562.db2.gz PJYWTLKBPYLDGN-GFCCVEGCSA-N 1 2 303.387 1.447 20 30 DDEDLO N#Cc1ccsc1N1CC[C@@H]([N@H+]2CCC3(COC3)C2)C1=O ZINC000595834513 356670564 /nfs/dbraw/zinc/67/05/64/356670564.db2.gz PJYWTLKBPYLDGN-GFCCVEGCSA-N 1 2 303.387 1.447 20 30 DDEDLO C[C@@H](CC#N)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000596675469 356949772 /nfs/dbraw/zinc/94/97/72/356949772.db2.gz TVOMYQYVAFDITI-HOCLYGCPSA-N 1 2 301.390 1.553 20 30 DDEDLO C[C@@H](CC#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000596675469 356949775 /nfs/dbraw/zinc/94/97/75/356949775.db2.gz TVOMYQYVAFDITI-HOCLYGCPSA-N 1 2 301.390 1.553 20 30 DDEDLO N#Cc1cccc(N2CCN(Cc3c[nH+]c4n3CCC4)CC2)n1 ZINC000597085025 357057264 /nfs/dbraw/zinc/05/72/64/357057264.db2.gz ALCQBSDSVSGFBE-UHFFFAOYSA-N 1 2 308.389 1.418 20 30 DDEDLO COc1cc(C[NH+]2CC(CC#N)C2)cc(Cl)c1OCC(N)=O ZINC000597192972 357088988 /nfs/dbraw/zinc/08/89/88/357088988.db2.gz BSHAHYMBNQTSDB-UHFFFAOYSA-N 1 2 323.780 1.558 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[C@@H]([NH+](C)C)[C@@H]2C)cc1C#N ZINC000597699176 357291315 /nfs/dbraw/zinc/29/13/15/357291315.db2.gz ILGAFYJXTQOYJN-JOYOIKCWSA-N 1 2 320.418 1.545 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NCc1nc(C[NH+]2CCCCC2)no1 ZINC000597622318 357259547 /nfs/dbraw/zinc/25/95/47/357259547.db2.gz UKKGXPSZVLIJOV-OAHLLOKOSA-N 1 2 305.382 1.612 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@@H+]1CCN(c2cccc(F)c2)C(=O)C1 ZINC000598605913 357651397 /nfs/dbraw/zinc/65/13/97/357651397.db2.gz WOIDBJYQEHOSFT-OAHLLOKOSA-N 1 2 319.380 1.775 20 30 DDEDLO CC(C)(C#N)C[C@@H](O)C[N@H+]1CCN(c2cccc(F)c2)C(=O)C1 ZINC000598605913 357651400 /nfs/dbraw/zinc/65/14/00/357651400.db2.gz WOIDBJYQEHOSFT-OAHLLOKOSA-N 1 2 319.380 1.775 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2Cc3c[nH+]cn3C2)cnc1C(F)(F)F ZINC000598946970 357771042 /nfs/dbraw/zinc/77/10/42/357771042.db2.gz JYAFIVCSKCRRCP-JTQLQIEISA-N 1 2 321.262 1.523 20 30 DDEDLO COc1ccc(C[NH2+]Cc2cnn[nH]2)cc1OCCCC#N ZINC000598984293 357782968 /nfs/dbraw/zinc/78/29/68/357782968.db2.gz KRXTVCFNLSEMEY-UHFFFAOYSA-N 1 2 301.350 1.786 20 30 DDEDLO COC(=O)C1([NH2+]C[C@H](O)CC2(C#N)CCOCC2)CCCC1 ZINC000599343400 357902588 /nfs/dbraw/zinc/90/25/88/357902588.db2.gz RKIZFEJLTMSUEZ-CYBMUJFWSA-N 1 2 310.394 1.133 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]C[C@H](O)COc2ccccc2C#N)o1 ZINC000599372566 357914571 /nfs/dbraw/zinc/91/45/71/357914571.db2.gz WUMAWJRFNNJAOC-GWCFXTLKSA-N 1 2 302.334 1.340 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@]1(C)C(=O)OC(C)(C)C ZINC000599496586 357956986 /nfs/dbraw/zinc/95/69/86/357956986.db2.gz ZPZAJNWPYXCPJB-KRWDZBQOSA-N 1 2 323.437 1.945 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@]1(C)C(=O)OC(C)(C)C ZINC000599496586 357956992 /nfs/dbraw/zinc/95/69/92/357956992.db2.gz ZPZAJNWPYXCPJB-KRWDZBQOSA-N 1 2 323.437 1.945 20 30 DDEDLO C=CCN(C(=O)Nc1cc[nH+]c(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000179816539 199084392 /nfs/dbraw/zinc/08/43/92/199084392.db2.gz CSBFINVPARUGQX-ZDUSSCGKSA-N 1 2 309.391 1.597 20 30 DDEDLO N#Cc1cccc2c1C[N@H+](C[C@H](O)CC1(O)CCOCC1)C2 ZINC000600845354 358330807 /nfs/dbraw/zinc/33/08/07/358330807.db2.gz CQQFAKDVWGTTRT-OAHLLOKOSA-N 1 2 302.374 1.166 20 30 DDEDLO N#Cc1cccc2c1C[N@@H+](C[C@H](O)CC1(O)CCOCC1)C2 ZINC000600845354 358330811 /nfs/dbraw/zinc/33/08/11/358330811.db2.gz CQQFAKDVWGTTRT-OAHLLOKOSA-N 1 2 302.374 1.166 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N[C@@H]1CCn2c[nH+]cc2C1 ZINC000601969919 358758700 /nfs/dbraw/zinc/75/87/00/358758700.db2.gz UJGOVIYPVNLPAK-CYBMUJFWSA-N 1 2 316.386 1.357 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)CC2)nc1 ZINC000602078523 358802955 /nfs/dbraw/zinc/80/29/55/358802955.db2.gz KPHXUCSLYZYHPN-ZIAGYGMSSA-N 1 2 322.372 1.129 20 30 DDEDLO N#Cc1ccc(N2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)CC2)nc1 ZINC000602078523 358802958 /nfs/dbraw/zinc/80/29/58/358802958.db2.gz KPHXUCSLYZYHPN-ZIAGYGMSSA-N 1 2 322.372 1.129 20 30 DDEDLO N#Cc1ccccc1N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CC1 ZINC000602090230 358807668 /nfs/dbraw/zinc/80/76/68/358807668.db2.gz SPJJKWUVKLVSMI-HUUCEWRRSA-N 1 2 321.384 1.734 20 30 DDEDLO N#Cc1ccccc1N1CCN(C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC000602090230 358807670 /nfs/dbraw/zinc/80/76/70/358807670.db2.gz SPJJKWUVKLVSMI-HUUCEWRRSA-N 1 2 321.384 1.734 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cccc(F)c3N)CC2)nc1 ZINC000602440985 358977887 /nfs/dbraw/zinc/97/78/87/358977887.db2.gz MFFGUNTZBQYAPK-UHFFFAOYSA-N 1 2 311.364 1.997 20 30 DDEDLO N#CC1CCC(Nc2cnn(CC[NH+]3CCOCC3)c2)CC1 ZINC000602575889 359052639 /nfs/dbraw/zinc/05/26/39/359052639.db2.gz HQGDAQGNOFPVRB-UHFFFAOYSA-N 1 2 303.410 1.710 20 30 DDEDLO N#CC1(C[NH2+]Cc2ccc(Cl)c(S(N)(=O)=O)c2)CCC1 ZINC000602693601 359129841 /nfs/dbraw/zinc/12/98/41/359129841.db2.gz CINUNFNMPVIBMW-UHFFFAOYSA-N 1 2 313.810 1.771 20 30 DDEDLO C[C@@H](NC(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)c1ccccc1 ZINC000602849385 359236881 /nfs/dbraw/zinc/23/68/81/359236881.db2.gz LAUSZSMZVWRLJX-OWCLPIDISA-N 1 2 314.433 1.782 20 30 DDEDLO C[C@@H](NC(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1)c1ccccc1 ZINC000602849385 359236887 /nfs/dbraw/zinc/23/68/87/359236887.db2.gz LAUSZSMZVWRLJX-OWCLPIDISA-N 1 2 314.433 1.782 20 30 DDEDLO C[C@H](C(=O)N(C)c1ccccc1)[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856578 359242133 /nfs/dbraw/zinc/24/21/33/359242133.db2.gz KXJJYSORVOFFED-OWCLPIDISA-N 1 2 314.433 1.956 20 30 DDEDLO C[C@H](C(=O)N(C)c1ccccc1)[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856578 359242135 /nfs/dbraw/zinc/24/21/35/359242135.db2.gz KXJJYSORVOFFED-OWCLPIDISA-N 1 2 314.433 1.956 20 30 DDEDLO C[C@@H]1C[NH+]([C@@H]2CCN(c3ccccc3)C2=O)C[C@@H](C)N1CC#N ZINC000602858571 359245056 /nfs/dbraw/zinc/24/50/56/359245056.db2.gz TUZMWGCZCRONIC-BFYDXBDKSA-N 1 2 312.417 1.710 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)Nc1ccnn1Cc1cccs1 ZINC000602861686 359246381 /nfs/dbraw/zinc/24/63/81/359246381.db2.gz HNJPGIMUKZGIRY-LLVKDONJSA-N 1 2 303.391 1.823 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)CC#N)C(=O)N1CCN(c2ccccc2)CC1 ZINC000602864075 359248631 /nfs/dbraw/zinc/24/86/31/359248631.db2.gz QAVCFRIKIRZPOU-LSDHHAIUSA-N 1 2 300.406 1.615 20 30 DDEDLO COCCOc1cccc(CNC(=O)C[NH2+][C@H](C)CC#N)c1 ZINC000602864174 359248649 /nfs/dbraw/zinc/24/86/49/359248649.db2.gz RAUXSWIEXBVOOS-CYBMUJFWSA-N 1 2 305.378 1.220 20 30 DDEDLO CCN(Cc1ccc2c(c1)OCCO2)C(=O)C[NH2+][C@@H](C)CC#N ZINC000602864655 359249491 /nfs/dbraw/zinc/24/94/91/359249491.db2.gz SHYWVGIOGAMQNY-ZDUSSCGKSA-N 1 2 317.389 1.698 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)Nc1ccc(N2CCCCC2)nc1 ZINC000602867062 359251518 /nfs/dbraw/zinc/25/15/18/359251518.db2.gz BDNJIGNZFIXYLQ-ZDUSSCGKSA-N 1 2 301.394 1.902 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)NC(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000602869145 359252936 /nfs/dbraw/zinc/25/29/36/359252936.db2.gz MSRQWMVBEQHEGS-GVMDUPDNSA-N 1 2 318.421 1.673 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)N1c2ccccc2NC(=O)C1(C)C ZINC000602870894 359254738 /nfs/dbraw/zinc/25/47/38/359254738.db2.gz YJMYFILFQUJTNA-LLVKDONJSA-N 1 2 300.362 1.642 20 30 DDEDLO COC(=O)c1ccc(CNC(=O)[C@H](C)[NH2+][C@H](C)CC#N)cc1 ZINC000602870607 359254906 /nfs/dbraw/zinc/25/49/06/359254906.db2.gz UWHGPSFOPMISAE-NEPJUHHUSA-N 1 2 303.362 1.370 20 30 DDEDLO N#CCC1(C[NH+]2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)CC1 ZINC000602802937 359202850 /nfs/dbraw/zinc/20/28/50/359202850.db2.gz YJOYMRFWCJYOFR-UHFFFAOYSA-N 1 2 300.362 1.239 20 30 DDEDLO C[N@H+](CC(=O)NCc1ccc2c(c1)OCO2)[C@H]1CCC[C@H]1C#N ZINC000602978306 359337190 /nfs/dbraw/zinc/33/71/90/359337190.db2.gz OPYQAEUBGWJORC-KBPBESRZSA-N 1 2 315.373 1.656 20 30 DDEDLO C[N@@H+](CC(=O)NCc1ccc2c(c1)OCO2)[C@H]1CCC[C@H]1C#N ZINC000602978306 359337198 /nfs/dbraw/zinc/33/71/98/359337198.db2.gz OPYQAEUBGWJORC-KBPBESRZSA-N 1 2 315.373 1.656 20 30 DDEDLO N#Cc1cc(NC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)ccn1 ZINC000603128816 359421246 /nfs/dbraw/zinc/42/12/46/359421246.db2.gz ABVMPJQMPHVMGM-CYBMUJFWSA-N 1 2 308.345 1.749 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)Nc2cc(F)cc(C#N)c2)[C@@H](C)CO1 ZINC000603063810 359384228 /nfs/dbraw/zinc/38/42/28/359384228.db2.gz CYCOGXRKCDLPQK-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)Nc2cc(F)cc(C#N)c2)[C@@H](C)CO1 ZINC000603063810 359384229 /nfs/dbraw/zinc/38/42/29/359384229.db2.gz CYCOGXRKCDLPQK-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO C=C[C@@H](C(=O)OC)n1cc(C[NH2+]Cc2ccc(F)cc2)nn1 ZINC000603238407 359506087 /nfs/dbraw/zinc/50/60/87/359506087.db2.gz WXQXVQWHDSFOMB-AWEZNQCLSA-N 1 2 304.325 1.607 20 30 DDEDLO COc1ccc(C#N)cc1Cn1cc[nH+]c1CN1CCOCC1 ZINC000603421728 359630200 /nfs/dbraw/zinc/63/02/00/359630200.db2.gz ZMZLOXHYZHVLTN-UHFFFAOYSA-N 1 2 312.373 1.644 20 30 DDEDLO N#Cc1nc(C2CC2)oc1N1CC[NH+](C[C@@H]2CCCO2)CC1 ZINC000603590836 359662869 /nfs/dbraw/zinc/66/28/69/359662869.db2.gz JQASHEFCYFGWGA-ZDUSSCGKSA-N 1 2 302.378 1.725 20 30 DDEDLO [O-]C(NC[C@H]1CCc2[nH+]ccn2C1)=[NH+]CCc1cscn1 ZINC000329908610 223045621 /nfs/dbraw/zinc/04/56/21/223045621.db2.gz LWDXUOMNSHZMSW-LLVKDONJSA-N 1 2 305.407 1.648 20 30 DDEDLO [O-]C(NCCc1cscn1)=[NH+]C[C@H]1CCc2[nH+]ccn2C1 ZINC000329908610 223045626 /nfs/dbraw/zinc/04/56/26/223045626.db2.gz LWDXUOMNSHZMSW-LLVKDONJSA-N 1 2 305.407 1.648 20 30 DDEDLO N#Cc1ccc(N2CCC(NC(=O)CCn3cc[nH+]c3)CC2)nc1 ZINC000608967970 360254729 /nfs/dbraw/zinc/25/47/29/360254729.db2.gz KHPBTJLPZMHBOB-UHFFFAOYSA-N 1 2 324.388 1.325 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)NCCC2CCCCC2)CC1 ZINC000611173655 360646405 /nfs/dbraw/zinc/64/64/05/360646405.db2.gz FMCDETLAGHDCKC-UHFFFAOYSA-N 1 2 306.454 1.604 20 30 DDEDLO C[C@H](NC(=O)C[NH+]1CCN(CCC#N)CC1)c1ccc(F)cc1 ZINC000611174547 360647725 /nfs/dbraw/zinc/64/77/25/360647725.db2.gz LITNPXKUADLHBZ-AWEZNQCLSA-N 1 2 318.396 1.534 20 30 DDEDLO CN(C)C(=O)[C@H](c1ccccc1)[NH+]1CCN(CCC#N)CC1 ZINC000611175034 360647812 /nfs/dbraw/zinc/64/78/12/360647812.db2.gz VRAXUUBUIBJFOX-INIZCTEOSA-N 1 2 300.406 1.347 20 30 DDEDLO N#CC1(CN2CC[NH+]([C@H]3CCN(c4ccccc4)C3=O)CC2)CC1 ZINC000611429903 360719168 /nfs/dbraw/zinc/71/91/68/360719168.db2.gz KZGILXMONVPMIC-KRWDZBQOSA-N 1 2 324.428 1.713 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCn3cc[nH+]c3C2)C1 ZINC000611692523 360793732 /nfs/dbraw/zinc/79/37/32/360793732.db2.gz UXBFGBXEWXFJSZ-KGLIPLIRSA-N 1 2 316.405 1.129 20 30 DDEDLO C[C@H](C(=O)N(C)CC(=O)NC1CC1)[N@@H+]1CCO[C@@H](C2CC2)C1 ZINC000330129679 223077745 /nfs/dbraw/zinc/07/77/45/223077745.db2.gz KDQBBXGPAPXHBQ-BXUZGUMPSA-N 1 2 309.410 1.063 20 30 DDEDLO C[C@H](C(=O)N(C)CC(=O)NC1CC1)[N@H+]1CCO[C@@H](C2CC2)C1 ZINC000330129679 223077748 /nfs/dbraw/zinc/07/77/48/223077748.db2.gz KDQBBXGPAPXHBQ-BXUZGUMPSA-N 1 2 309.410 1.063 20 30 DDEDLO CC1(C)C[NH+]=C(N2CCN(C(=O)c3c[nH]c(C#N)c3)CC2)S1 ZINC000272002534 209375641 /nfs/dbraw/zinc/37/56/41/209375641.db2.gz OJXSLKGKNRXSOM-UHFFFAOYSA-N 1 2 317.418 1.526 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](C[C@@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000612166025 360938679 /nfs/dbraw/zinc/93/86/79/360938679.db2.gz QVLCYHPWMXCHJX-ZBFHGGJFSA-N 1 2 319.361 1.960 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](C[C@@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000612166025 360938684 /nfs/dbraw/zinc/93/86/84/360938684.db2.gz QVLCYHPWMXCHJX-ZBFHGGJFSA-N 1 2 319.361 1.960 20 30 DDEDLO C[C@H](C(=O)NCCc1ccccc1)[N@@H+]1CCN2C(=O)NC[C@H]2C1 ZINC000330274121 223097938 /nfs/dbraw/zinc/09/79/38/223097938.db2.gz JPDUVJGLSARIJG-HIFRSBDPSA-N 1 2 316.405 1.488 20 30 DDEDLO C[C@H](C(=O)NCCc1ccccc1)[N@H+]1CCN2C(=O)NC[C@H]2C1 ZINC000330274121 223097939 /nfs/dbraw/zinc/09/79/39/223097939.db2.gz JPDUVJGLSARIJG-HIFRSBDPSA-N 1 2 316.405 1.488 20 30 DDEDLO C[C@H](CO)N(C)C(=O)NCc1ccc(N2CCCCC2)[nH+]c1 ZINC000330586697 223128462 /nfs/dbraw/zinc/12/84/62/223128462.db2.gz NRRDCNJSMQBCPB-CYBMUJFWSA-N 1 2 306.410 1.799 20 30 DDEDLO C[C@H](CNC(=O)c1c(F)ccc(O)c1F)[NH+]1CCOCC1 ZINC000330647766 223134612 /nfs/dbraw/zinc/13/46/12/223134612.db2.gz NKGINTMIGZIBKG-SECBINFHSA-N 1 2 300.305 1.696 20 30 DDEDLO C[C@@H](CC#N)N(C)C(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000330722279 223140536 /nfs/dbraw/zinc/14/05/36/223140536.db2.gz YFUBPOUOYSLMOK-AWEZNQCLSA-N 1 2 301.390 1.893 20 30 DDEDLO N#C[C@@H]1CCC[C@H]1[NH2+]CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000331686856 223207895 /nfs/dbraw/zinc/20/78/95/223207895.db2.gz SWQCIBXZHVEILF-WCQYABFASA-N 1 2 301.346 1.678 20 30 DDEDLO N#C[C@@H]1CCC[C@H]1[NH2+]CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000331686329 223208515 /nfs/dbraw/zinc/20/85/15/223208515.db2.gz VNGMFWJXELWONZ-GXTWGEPZSA-N 1 2 314.345 1.766 20 30 DDEDLO N#C[C@H]1CCC[C@@H]1NC(=O)N1CC[NH+](Cc2ccco2)CC1 ZINC000331903826 223209289 /nfs/dbraw/zinc/20/92/89/223209289.db2.gz ZNJNWQSNGUCLAV-HIFRSBDPSA-N 1 2 302.378 1.799 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)N[C@@H]2CCC[C@H]2C#N)CC1 ZINC000332101394 223210994 /nfs/dbraw/zinc/21/09/94/223210994.db2.gz CNQRMPWEZLOXRT-LSDHHAIUSA-N 1 2 313.405 1.914 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH+](Cc3ccncc3)CC2)ccn1 ZINC000195068999 201335659 /nfs/dbraw/zinc/33/56/59/201335659.db2.gz WJZNGNOHEFBVEO-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO CC(C)(C(=O)N1CCN(c2ncccc2C#N)CC1)c1c[nH+]c[nH]1 ZINC000618927064 363805230 /nfs/dbraw/zinc/80/52/30/363805230.db2.gz VKSPHFZUUKPEKH-UHFFFAOYSA-N 1 2 324.388 1.303 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)c1cccc(CC#N)c1 ZINC000619474011 364027854 /nfs/dbraw/zinc/02/78/54/364027854.db2.gz OKCNNDOWJADLLF-UHFFFAOYSA-N 1 2 304.375 1.307 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+](C)Cc1ccc(OCC(=O)OC)cc1 ZINC000619721592 364130938 /nfs/dbraw/zinc/13/09/38/364130938.db2.gz QCLNKGNLPKCSQX-CQSZACIVSA-N 1 2 307.346 1.398 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+](C)Cc1ccc(OCC(=O)OC)cc1 ZINC000619721592 364130941 /nfs/dbraw/zinc/13/09/41/364130941.db2.gz QCLNKGNLPKCSQX-CQSZACIVSA-N 1 2 307.346 1.398 20 30 DDEDLO Cc1ccc([C@H](CNC(=O)[C@@H](C)C#N)[NH+]2CCOCC2)cc1 ZINC000347069084 223365378 /nfs/dbraw/zinc/36/53/78/223365378.db2.gz MXZUKCDRUZJSGT-HOCLYGCPSA-N 1 2 301.390 1.644 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(Cc2ccc(C#N)cc2)C1=O)c1nccn1C ZINC000190631130 299387061 /nfs/dbraw/zinc/38/70/61/299387061.db2.gz AGULZFUCPBERNL-XJKSGUPXSA-N 1 2 323.400 1.743 20 30 DDEDLO C#CCCNC(=O)NC[C@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000264944435 204289862 /nfs/dbraw/zinc/28/98/62/204289862.db2.gz AZJFMBQDRZNPDX-QGZVFWFLSA-N 1 2 315.417 1.691 20 30 DDEDLO CO[C@@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H](C)C1 ZINC000282508251 217049874 /nfs/dbraw/zinc/04/98/74/217049874.db2.gz XFMDQGVJEBYNGY-DZGCQCFKSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)[C@@H](C)C1 ZINC000282508251 217049877 /nfs/dbraw/zinc/04/98/77/217049877.db2.gz XFMDQGVJEBYNGY-DZGCQCFKSA-N 1 2 322.430 1.831 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CC(=O)NCC1(C)C ZINC000282714690 217197073 /nfs/dbraw/zinc/19/70/73/217197073.db2.gz LRLGBRYGXTUNSA-UHFFFAOYSA-N 1 2 303.362 1.156 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CC(=O)NCC1(C)C ZINC000282714690 217197077 /nfs/dbraw/zinc/19/70/77/217197077.db2.gz LRLGBRYGXTUNSA-UHFFFAOYSA-N 1 2 303.362 1.156 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C#N)c(Cl)c3)C[C@@H]21 ZINC000134505235 248288166 /nfs/dbraw/zinc/28/81/66/248288166.db2.gz MACQAABIEZHHJV-KBPBESRZSA-N 1 2 320.780 1.758 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C#N)c(Cl)c3)C[C@@H]21 ZINC000134505235 248288172 /nfs/dbraw/zinc/28/81/72/248288172.db2.gz MACQAABIEZHHJV-KBPBESRZSA-N 1 2 320.780 1.758 20 30 DDEDLO N#Cc1cc(C(=O)NCCn2cc[nH+]c2)cnc1C(F)(F)F ZINC000338892338 250184589 /nfs/dbraw/zinc/18/45/89/250184589.db2.gz OELKBFHJJBBGIL-UHFFFAOYSA-N 1 2 309.251 1.599 20 30 DDEDLO CC(C)(C)C[N@H+](CCC#N)CC(=O)NC(=O)NCC(F)(F)F ZINC000109813579 194290145 /nfs/dbraw/zinc/29/01/45/194290145.db2.gz KCEBFJORQNJNFV-UHFFFAOYSA-N 1 2 322.331 1.636 20 30 DDEDLO CC(C)(C)C[N@@H+](CCC#N)CC(=O)NC(=O)NCC(F)(F)F ZINC000109813579 194290147 /nfs/dbraw/zinc/29/01/47/194290147.db2.gz KCEBFJORQNJNFV-UHFFFAOYSA-N 1 2 322.331 1.636 20 30 DDEDLO N#Cc1ccc(NC(=O)NCC[NH+]2CCOCC2)c(Cl)c1 ZINC000269115921 207252119 /nfs/dbraw/zinc/25/21/19/207252119.db2.gz INYCHZKTICXWJN-UHFFFAOYSA-N 1 2 308.769 1.665 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000366581865 285040151 /nfs/dbraw/zinc/04/01/51/285040151.db2.gz UUVZBXTYAVFNSW-INMHGKMJSA-N 1 2 323.437 1.264 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000366581865 285040153 /nfs/dbraw/zinc/04/01/53/285040153.db2.gz UUVZBXTYAVFNSW-INMHGKMJSA-N 1 2 323.437 1.264 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCc2cccc(F)c2C1)C1CC1 ZINC000158071770 197240388 /nfs/dbraw/zinc/24/03/88/197240388.db2.gz KQMHWHSWMIWSJI-KRWDZBQOSA-N 1 2 301.365 1.992 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCc2cccc(F)c2C1)C1CC1 ZINC000158071770 197240390 /nfs/dbraw/zinc/24/03/90/197240390.db2.gz KQMHWHSWMIWSJI-KRWDZBQOSA-N 1 2 301.365 1.992 20 30 DDEDLO Cc1nc(-c2ccc(OC[C@H](O)C[N@H+](C)CCC#N)cc2)no1 ZINC000414151783 224306294 /nfs/dbraw/zinc/30/62/94/224306294.db2.gz WPDDHOCBSOLMQP-CQSZACIVSA-N 1 2 316.361 1.630 20 30 DDEDLO Cc1nc(-c2ccc(OC[C@H](O)C[N@@H+](C)CCC#N)cc2)no1 ZINC000414151783 224306295 /nfs/dbraw/zinc/30/62/95/224306295.db2.gz WPDDHOCBSOLMQP-CQSZACIVSA-N 1 2 316.361 1.630 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]([C@@H](C)CS(C)(=O)=O)C1CC1 ZINC000120969103 195273661 /nfs/dbraw/zinc/27/36/61/195273661.db2.gz WDNWDDZTOYWAFR-LBPRGKRZSA-N 1 2 322.430 1.964 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]([C@@H](C)CS(C)(=O)=O)C1CC1 ZINC000120969103 195273663 /nfs/dbraw/zinc/27/36/63/195273663.db2.gz WDNWDDZTOYWAFR-LBPRGKRZSA-N 1 2 322.430 1.964 20 30 DDEDLO COCC[C@](C)(C#N)NC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@@H]1C ZINC000344903903 533319147 /nfs/dbraw/zinc/31/91/47/533319147.db2.gz JGELGJNNQLDNON-JJRVBVJISA-N 1 2 316.405 1.589 20 30 DDEDLO CO[C@@H]1C[C@@H](NC(=O)N2CCC(C#N)([NH+](C)C)CC2)C1(C)C ZINC000332496766 533534196 /nfs/dbraw/zinc/53/41/96/533534196.db2.gz YJZUNCUTVQFUEC-CHWSQXEVSA-N 1 2 308.426 1.429 20 30 DDEDLO COc1cc(C)cc(OC)c1OC[C@H](O)C[N@H+](C)CCC#N ZINC000414167629 533646912 /nfs/dbraw/zinc/64/69/12/533646912.db2.gz SVJZJRNWWFUXHM-CYBMUJFWSA-N 1 2 308.378 1.597 20 30 DDEDLO COc1cc(C)cc(OC)c1OC[C@H](O)C[N@@H+](C)CCC#N ZINC000414167629 533646916 /nfs/dbraw/zinc/64/69/16/533646916.db2.gz SVJZJRNWWFUXHM-CYBMUJFWSA-N 1 2 308.378 1.597 20 30 DDEDLO CCS(=O)(=O)CC[N@H+](C)CCC(=O)Nc1ccccc1C#N ZINC000128739333 407566024 /nfs/dbraw/zinc/56/60/24/407566024.db2.gz KBOHRBHUASRYBJ-UHFFFAOYSA-N 1 2 323.418 1.253 20 30 DDEDLO CCS(=O)(=O)CC[N@@H+](C)CCC(=O)Nc1ccccc1C#N ZINC000128739333 407566029 /nfs/dbraw/zinc/56/60/29/407566029.db2.gz KBOHRBHUASRYBJ-UHFFFAOYSA-N 1 2 323.418 1.253 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2ccccc2)CC1 ZINC000029705082 406925688 /nfs/dbraw/zinc/92/56/88/406925688.db2.gz WEZBJFWCWZCAGU-UHFFFAOYSA-N 1 2 301.390 1.137 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2ccccc2)CC1 ZINC000029705082 406925691 /nfs/dbraw/zinc/92/56/91/406925691.db2.gz WEZBJFWCWZCAGU-UHFFFAOYSA-N 1 2 301.390 1.137 20 30 DDEDLO C#CC[NH+](CC#C)CCCOc1ccc(S(C)(=O)=O)cc1 ZINC000076185535 406958329 /nfs/dbraw/zinc/95/83/29/406958329.db2.gz HEVYTWNXDJQFEH-UHFFFAOYSA-N 1 2 305.399 1.427 20 30 DDEDLO CCC(=O)N1CCC[N@H+](CC(=O)Nc2cccc(C#N)c2)CC1 ZINC000077388101 406988992 /nfs/dbraw/zinc/98/89/92/406988992.db2.gz FPMYWCMEBVVSHJ-UHFFFAOYSA-N 1 2 314.389 1.441 20 30 DDEDLO CCC(=O)N1CCC[N@@H+](CC(=O)Nc2cccc(C#N)c2)CC1 ZINC000077388101 406988993 /nfs/dbraw/zinc/98/89/93/406988993.db2.gz FPMYWCMEBVVSHJ-UHFFFAOYSA-N 1 2 314.389 1.441 20 30 DDEDLO Cc1cccc(C[NH+]2CCN(C(=O)CSCC#N)CC2)c1 ZINC000046024796 407052871 /nfs/dbraw/zinc/05/28/71/407052871.db2.gz SQJHPDMGLPWXBU-UHFFFAOYSA-N 1 2 303.431 1.896 20 30 DDEDLO C[C@H](C(=O)NC1CC1)N1CC[NH+](Cc2ccc(C#N)s2)CC1 ZINC000123353541 407326941 /nfs/dbraw/zinc/32/69/41/407326941.db2.gz QPEGVZMPSSZEHQ-GFCCVEGCSA-N 1 2 318.446 1.404 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(Cc2ccc(C#N)s2)CC1 ZINC000123353541 407326942 /nfs/dbraw/zinc/32/69/42/407326942.db2.gz QPEGVZMPSSZEHQ-GFCCVEGCSA-N 1 2 318.446 1.404 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)Nc2nc(-c3ccccn3)n[nH]2)CC1 ZINC000098093597 407305553 /nfs/dbraw/zinc/30/55/53/407305553.db2.gz AFFLCNUOMUTUHA-UHFFFAOYSA-N 1 2 310.361 1.150 20 30 DDEDLO C=CCOc1cc(OC)ccc1C(=O)NCCn1cc[nH+]c1 ZINC000124964037 407375569 /nfs/dbraw/zinc/37/55/69/407375569.db2.gz RXNFFOPYDPVQLT-UHFFFAOYSA-N 1 2 301.346 1.887 20 30 DDEDLO CCCC[N@H+](C)CCNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000112401375 407428286 /nfs/dbraw/zinc/42/82/86/407428286.db2.gz SAUCBLHDWITNOC-UHFFFAOYSA-N 1 2 322.434 1.430 20 30 DDEDLO CCCC[N@@H+](C)CCNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000112401375 407428287 /nfs/dbraw/zinc/42/82/87/407428287.db2.gz SAUCBLHDWITNOC-UHFFFAOYSA-N 1 2 322.434 1.430 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@H](CC)C(C)C)CC1 ZINC000112904292 407444138 /nfs/dbraw/zinc/44/41/38/407444138.db2.gz VZIZVXITCAZUPB-INIZCTEOSA-N 1 2 321.465 1.342 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@H](CC)C(C)C)CC1 ZINC000112904292 407444143 /nfs/dbraw/zinc/44/41/43/407444143.db2.gz VZIZVXITCAZUPB-INIZCTEOSA-N 1 2 321.465 1.342 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[NH+](CCCF)CC2)cc1 ZINC000178682846 407545134 /nfs/dbraw/zinc/54/51/34/407545134.db2.gz YEQKMCMBBLCJLP-UHFFFAOYSA-N 1 2 311.382 1.224 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(CCOC(C)C)CC1 ZINC000266960914 407693078 /nfs/dbraw/zinc/69/30/78/407693078.db2.gz XNDXWLTUIXXPDU-KRWDZBQOSA-N 1 2 323.481 1.618 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@H+]1CCC[C@@H]1C(=O)OC ZINC000116394866 407749375 /nfs/dbraw/zinc/74/93/75/407749375.db2.gz VOTZIHLCBNNZLG-JKSUJKDBSA-N 1 2 319.401 1.792 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[N@@H+]1CCC[C@@H]1C(=O)OC ZINC000116394866 407749382 /nfs/dbraw/zinc/74/93/82/407749382.db2.gz VOTZIHLCBNNZLG-JKSUJKDBSA-N 1 2 319.401 1.792 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+](CC)CC(=O)Nc1ccccc1OC ZINC000179186179 407751242 /nfs/dbraw/zinc/75/12/42/407751242.db2.gz FXUZDXHCNNHMCQ-ZDUSSCGKSA-N 1 2 317.389 1.094 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+](CC)CC(=O)Nc1ccccc1OC ZINC000179186179 407751248 /nfs/dbraw/zinc/75/12/48/407751248.db2.gz FXUZDXHCNNHMCQ-ZDUSSCGKSA-N 1 2 317.389 1.094 20 30 DDEDLO CC[C@H]1CN(C(=O)c2sccc2C#N)CC[N@@H+]1CCOC ZINC000117299324 407826673 /nfs/dbraw/zinc/82/66/73/407826673.db2.gz YIIUXWYJGHPENL-ZDUSSCGKSA-N 1 2 307.419 1.803 20 30 DDEDLO CC[C@H]1CN(C(=O)c2sccc2C#N)CC[N@H+]1CCOC ZINC000117299324 407826681 /nfs/dbraw/zinc/82/66/81/407826681.db2.gz YIIUXWYJGHPENL-ZDUSSCGKSA-N 1 2 307.419 1.803 20 30 DDEDLO CCNC(=O)C[N@H+](CC)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000133398881 407838188 /nfs/dbraw/zinc/83/81/88/407838188.db2.gz RBTZSYPIGGZZJV-LBPRGKRZSA-N 1 2 320.418 1.183 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000133398881 407838194 /nfs/dbraw/zinc/83/81/94/407838194.db2.gz RBTZSYPIGGZZJV-LBPRGKRZSA-N 1 2 320.418 1.183 20 30 DDEDLO C[C@H](NC(=O)Nc1ccn(CCC#N)n1)[C@H](C)[NH+]1CCOCC1 ZINC000173338118 407875204 /nfs/dbraw/zinc/87/52/04/407875204.db2.gz ZXXZYNOUUDYATE-STQMWFEESA-N 1 2 320.397 1.027 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+]([C@@H](C)c2ccc(C#N)cc2)CC1 ZINC000118395460 407912879 /nfs/dbraw/zinc/91/28/79/407912879.db2.gz UFWMJJHZGYOXCE-ZDUSSCGKSA-N 1 2 307.419 1.587 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(-n3ccnc3)ccn2)CC1 ZINC000272392503 407898845 /nfs/dbraw/zinc/89/88/45/407898845.db2.gz JJBCROBRHYFAFO-UHFFFAOYSA-N 1 2 309.373 1.048 20 30 DDEDLO CC[C@H]1C[N@@H+]([C@@H](C)C(=O)N(C)CCC#N)CCN1CC(F)F ZINC000153544952 407847191 /nfs/dbraw/zinc/84/71/91/407847191.db2.gz WRBFJTWRDHZBLR-STQMWFEESA-N 1 2 316.396 1.408 20 30 DDEDLO CC[C@H]1C[N@H+]([C@@H](C)C(=O)N(C)CCC#N)CCN1CC(F)F ZINC000153544952 407847196 /nfs/dbraw/zinc/84/71/96/407847196.db2.gz WRBFJTWRDHZBLR-STQMWFEESA-N 1 2 316.396 1.408 20 30 DDEDLO C=C(C)C[N@@H+](C)CC(=O)N(CCCC)[C@H]1CCS(=O)(=O)C1 ZINC000181250924 407971851 /nfs/dbraw/zinc/97/18/51/407971851.db2.gz DZNSPMQPAWSBGK-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO C=C(C)C[N@H+](C)CC(=O)N(CCCC)[C@H]1CCS(=O)(=O)C1 ZINC000181250924 407971859 /nfs/dbraw/zinc/97/18/59/407971859.db2.gz DZNSPMQPAWSBGK-AWEZNQCLSA-N 1 2 316.467 1.310 20 30 DDEDLO CC1(C#N)CC[NH+](CC(=O)NC(=O)Nc2ccccc2)CC1 ZINC000272646833 408004359 /nfs/dbraw/zinc/00/43/59/408004359.db2.gz YOKRMGHICPQFKT-UHFFFAOYSA-N 1 2 300.362 1.960 20 30 DDEDLO COC(=O)[C@@H](O)C1CC[NH+](Cc2cc(F)ccc2C#N)CC1 ZINC000135343344 408006141 /nfs/dbraw/zinc/00/61/41/408006141.db2.gz SZZNCZOWYLVPDJ-HNNXBMFYSA-N 1 2 306.337 1.443 20 30 DDEDLO C[C@@H](NC(=O)CO[NH+]=C(N)CCO)c1ccc2ccccc2c1 ZINC000136064310 408073714 /nfs/dbraw/zinc/07/37/14/408073714.db2.gz YCSVRRNJZZZAEO-GFCCVEGCSA-N 1 2 315.373 1.688 20 30 DDEDLO C=CCCS(=O)(=O)N1CCN(C2=[NH+]C[C@H](C)S2)CC1 ZINC000121143383 408157997 /nfs/dbraw/zinc/15/79/97/408157997.db2.gz OQCWBZBYHWUBFW-NSHDSACASA-N 1 2 303.453 1.001 20 30 DDEDLO C=CCCS(=O)(=O)N1CCN(C2=[NH+]C[C@@H](C)S2)CC1 ZINC000121143216 408158890 /nfs/dbraw/zinc/15/88/90/408158890.db2.gz OQCWBZBYHWUBFW-LLVKDONJSA-N 1 2 303.453 1.001 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@@H+]2CCN3CCCC[C@H]3C2)cc1 ZINC000246173402 408162995 /nfs/dbraw/zinc/16/29/95/408162995.db2.gz JLVZRXJFALSJQR-DLBZAZTESA-N 1 2 315.417 1.468 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@H+]2CCN3CCCC[C@H]3C2)cc1 ZINC000246173402 408163000 /nfs/dbraw/zinc/16/30/00/408163000.db2.gz JLVZRXJFALSJQR-DLBZAZTESA-N 1 2 315.417 1.468 20 30 DDEDLO N#CC1CC[NH+](CC(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000142076700 408179599 /nfs/dbraw/zinc/17/95/99/408179599.db2.gz PVWTVZKLSGFWSY-UHFFFAOYSA-N 1 2 301.346 1.632 20 30 DDEDLO CN(Cc1[nH+]ccn1C)S(=O)(=O)c1ccc(C#N)cc1F ZINC000151065835 408249958 /nfs/dbraw/zinc/24/99/58/408249958.db2.gz VUXQXOUWPVDXBB-UHFFFAOYSA-N 1 2 308.338 1.252 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000182826557 408261434 /nfs/dbraw/zinc/26/14/34/408261434.db2.gz QTKJZHOKNNORJA-ZDUSSCGKSA-N 1 2 302.378 1.581 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2cccc(C)c2)CC1 ZINC000263680588 408203364 /nfs/dbraw/zinc/20/33/64/408203364.db2.gz MLLSCRQAWSETJX-UHFFFAOYSA-N 1 2 306.431 1.466 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ncc([N+](=O)[O-])cn3)CC2)cc1 ZINC000273626282 408271531 /nfs/dbraw/zinc/27/15/31/408271531.db2.gz PNFUCPYMFQADHO-UHFFFAOYSA-N 1 2 324.344 1.579 20 30 DDEDLO COC(=O)[C@H](C)C[N@H+](C)[C@@H](C(=O)OC)c1cccc(C#N)c1 ZINC000246516001 408280260 /nfs/dbraw/zinc/28/02/60/408280260.db2.gz RADHUBFFOYWSBZ-BXUZGUMPSA-N 1 2 304.346 1.513 20 30 DDEDLO COC(=O)[C@H](C)C[N@@H+](C)[C@@H](C(=O)OC)c1cccc(C#N)c1 ZINC000246516001 408280265 /nfs/dbraw/zinc/28/02/65/408280265.db2.gz RADHUBFFOYWSBZ-BXUZGUMPSA-N 1 2 304.346 1.513 20 30 DDEDLO CC[C@H](C)NC(=O)C[NH+]1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000176156106 408298094 /nfs/dbraw/zinc/29/80/94/408298094.db2.gz BJMMAUUZKGBDKP-DOTOQJQBSA-N 1 2 314.433 1.784 20 30 DDEDLO N#CCN1CCC[C@@H](CNC(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000274262971 408344587 /nfs/dbraw/zinc/34/45/87/408344587.db2.gz VPYXSYCKPPGVDI-AWEZNQCLSA-N 1 2 324.388 1.233 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000247407882 408467143 /nfs/dbraw/zinc/46/71/43/408467143.db2.gz RMADTMHSJPMGSP-LLVKDONJSA-N 1 2 312.377 1.664 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000247407882 408467147 /nfs/dbraw/zinc/46/71/47/408467147.db2.gz RMADTMHSJPMGSP-LLVKDONJSA-N 1 2 312.377 1.664 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000274823901 408546348 /nfs/dbraw/zinc/54/63/48/408546348.db2.gz QOZFMSAZVMOIPH-SMDDNHRTSA-N 1 2 300.337 1.970 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH2+][C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000185439478 408808846 /nfs/dbraw/zinc/80/88/46/408808846.db2.gz UBVGLFNEXCZTHW-LRDDRELGSA-N 1 2 316.380 1.929 20 30 DDEDLO C=C[C@H](CO)NC(=O)NC[C@@H](c1c(F)cccc1F)[NH+](C)C ZINC000290835399 408841669 /nfs/dbraw/zinc/84/16/69/408841669.db2.gz BJAKOYXRBZCCDK-MFKMUULPSA-N 1 2 313.348 1.414 20 30 DDEDLO C#CCC[NH+]1CCN(c2ccc(S(=O)(=O)CC)cc2)CC1 ZINC000276357405 408842475 /nfs/dbraw/zinc/84/24/75/408842475.db2.gz VGPHTHGWDMYCCU-UHFFFAOYSA-N 1 2 306.431 1.626 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(c2cncc3ccccc32)CC1 ZINC000276425613 408854743 /nfs/dbraw/zinc/85/47/43/408854743.db2.gz IYGHEWPWQSTRKZ-HNNXBMFYSA-N 1 2 322.412 1.495 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)Nc2ccccc2)C1=O ZINC000281405824 408885465 /nfs/dbraw/zinc/88/54/65/408885465.db2.gz QVWSLZBWMFGOMI-HIFRSBDPSA-N 1 2 301.390 1.732 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)Nc2ccccc2)C1=O ZINC000281405824 408885468 /nfs/dbraw/zinc/88/54/68/408885468.db2.gz QVWSLZBWMFGOMI-HIFRSBDPSA-N 1 2 301.390 1.732 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[N@@H+]3CCCC[C@@H]3C2)cc1F ZINC000291620942 408896138 /nfs/dbraw/zinc/89/61/38/408896138.db2.gz AGSOOEHSYBUJGE-CYBMUJFWSA-N 1 2 323.393 1.556 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[N@H+]3CCCC[C@@H]3C2)cc1F ZINC000291620942 408896140 /nfs/dbraw/zinc/89/61/40/408896140.db2.gz AGSOOEHSYBUJGE-CYBMUJFWSA-N 1 2 323.393 1.556 20 30 DDEDLO C=CCN1CC[C@H](N(C)C(=O)Nc2ccc(C)[nH+]c2C)C1=O ZINC000281450405 408886786 /nfs/dbraw/zinc/88/67/86/408886786.db2.gz SLUXJMGVMBERCU-AWEZNQCLSA-N 1 2 302.378 1.949 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N[C@@H](C)c2ccccc2)C1=O ZINC000281441229 408886822 /nfs/dbraw/zinc/88/68/22/408886822.db2.gz TZXOXCCHRVKLJL-GOEBONIOSA-N 1 2 315.417 1.583 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N[C@@H](C)c2ccccc2)C1=O ZINC000281441229 408886825 /nfs/dbraw/zinc/88/68/25/408886825.db2.gz TZXOXCCHRVKLJL-GOEBONIOSA-N 1 2 315.417 1.583 20 30 DDEDLO C[C@H](CCN1CC[NH+](C)CC1)NC(=O)c1ccc(C#N)c(O)c1 ZINC000188825239 163071739 /nfs/dbraw/zinc/07/17/39/163071739.db2.gz NQHJEVOVZVYRSL-CYBMUJFWSA-N 1 2 316.405 1.020 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCNC(=O)C[C@H]2c2ccccc2)C1=O ZINC000282005703 408970212 /nfs/dbraw/zinc/97/02/12/408970212.db2.gz NZTWPTKDRVMHDV-CVEARBPZSA-N 1 2 313.401 1.337 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCNC(=O)C[C@H]2c2ccccc2)C1=O ZINC000282005703 408970214 /nfs/dbraw/zinc/97/02/14/408970214.db2.gz NZTWPTKDRVMHDV-CVEARBPZSA-N 1 2 313.401 1.337 20 30 DDEDLO C=CCN1CC[C@@H](N2CC[NH+](Cc3c(C)noc3C)CC2)C1=O ZINC000282092745 408988972 /nfs/dbraw/zinc/98/89/72/408988972.db2.gz VIEWFYFZLXVKEO-MRXNPFEDSA-N 1 2 318.421 1.196 20 30 DDEDLO C=CCN1CC[C@@H]([NH+]2CCN(Cc3c(C)noc3C)CC2)C1=O ZINC000282092745 408988975 /nfs/dbraw/zinc/98/89/75/408988975.db2.gz VIEWFYFZLXVKEO-MRXNPFEDSA-N 1 2 318.421 1.196 20 30 DDEDLO COc1cc(C[N@H+](C)[C@H](C)[C@H](C)S(C)(=O)=O)ccc1C#N ZINC000282318097 409033005 /nfs/dbraw/zinc/03/30/05/409033005.db2.gz NLCLAABAOVWAHU-NEPJUHHUSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@H](C)[C@H](C)S(C)(=O)=O)ccc1C#N ZINC000282318097 409033006 /nfs/dbraw/zinc/03/30/06/409033006.db2.gz NLCLAABAOVWAHU-NEPJUHHUSA-N 1 2 310.419 1.820 20 30 DDEDLO N#CCCOCC[N@H+]1CCOC[C@H]1C[C@@H](O)c1ccccc1 ZINC000292748233 409041157 /nfs/dbraw/zinc/04/11/57/409041157.db2.gz HVDIXYCQTQUFTP-IAGOWNOFSA-N 1 2 304.390 1.741 20 30 DDEDLO N#CCCOCC[N@@H+]1CCOC[C@H]1C[C@@H](O)c1ccccc1 ZINC000292748233 409041159 /nfs/dbraw/zinc/04/11/59/409041159.db2.gz HVDIXYCQTQUFTP-IAGOWNOFSA-N 1 2 304.390 1.741 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000293193821 409065631 /nfs/dbraw/zinc/06/56/31/409065631.db2.gz QIUDVDZYWSIDBK-GFCCVEGCSA-N 1 2 306.387 1.595 20 30 DDEDLO CC#CC[N@H+](C)[C@@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000293193821 409065633 /nfs/dbraw/zinc/06/56/33/409065633.db2.gz QIUDVDZYWSIDBK-GFCCVEGCSA-N 1 2 306.387 1.595 20 30 DDEDLO NC(=[NH+]OC[C@@H]1CCS(=O)(=O)C1)c1cccc(Cl)c1 ZINC000278748354 409088331 /nfs/dbraw/zinc/08/83/31/409088331.db2.gz WDJKRUNQYSXICD-VIFPVBQESA-N 1 2 302.783 1.412 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc(Cl)cc3)n2C)CC1 ZINC000283159296 409100617 /nfs/dbraw/zinc/10/06/17/409100617.db2.gz MPJMTCZFMAMAIW-UHFFFAOYSA-N 1 2 315.808 1.891 20 30 DDEDLO Cc1cc(C#N)nc(NCc2ccc(N3CCOCC3)[nH+]c2)n1 ZINC000283631701 409187720 /nfs/dbraw/zinc/18/77/20/409187720.db2.gz UAYRNRLVSWOQGG-UHFFFAOYSA-N 1 2 310.361 1.500 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000279149758 409159451 /nfs/dbraw/zinc/15/94/51/409159451.db2.gz FFAQUGPOOXMGGR-QGZVFWFLSA-N 1 2 304.390 1.784 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@@H](C2CC2)O1 ZINC000279207763 409170694 /nfs/dbraw/zinc/17/06/94/409170694.db2.gz BLKWDZGGALKMMC-GUYCJALGSA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)C[C@@H](C2CC2)O1 ZINC000279207763 409170696 /nfs/dbraw/zinc/17/06/96/409170696.db2.gz BLKWDZGGALKMMC-GUYCJALGSA-N 1 2 313.401 1.674 20 30 DDEDLO CC(=O)c1cccc(NC(=O)[C@H](C)O[NH+]=C(N)[C@@H]2CCCO2)c1 ZINC000283859172 409229944 /nfs/dbraw/zinc/22/99/44/409229944.db2.gz CDSJIOZXEIUITF-FZMZJTMJSA-N 1 2 319.361 1.684 20 30 DDEDLO CC(C)(CNC(=O)c1ccc(F)c(C#N)c1)[NH+]1CCOCC1 ZINC000283991335 409253034 /nfs/dbraw/zinc/25/30/34/409253034.db2.gz UCLSZUGWMFQJII-UHFFFAOYSA-N 1 2 305.353 1.538 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)/C=C/c3ccc(C#N)cc3)C[C@@H]21 ZINC000284014735 409257798 /nfs/dbraw/zinc/25/77/98/409257798.db2.gz IYZVRSBZGMQQOM-SGUAIBKKSA-N 1 2 311.385 1.503 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)/C=C/c3ccc(C#N)cc3)C[C@@H]21 ZINC000284014735 409257801 /nfs/dbraw/zinc/25/78/01/409257801.db2.gz IYZVRSBZGMQQOM-SGUAIBKKSA-N 1 2 311.385 1.503 20 30 DDEDLO Cc1ccc(F)cc1NC(=O)[C@@H](C)O[NH+]=C(N)[C@H]1CCCO1 ZINC000284039410 409262358 /nfs/dbraw/zinc/26/23/58/409262358.db2.gz IWWXYXMRJNCOMY-ZWNOBZJWSA-N 1 2 309.341 1.929 20 30 DDEDLO CC(C)[C@@H](NS(=O)(=O)c1ccc(C#N)cc1)c1[nH]cc[nH+]1 ZINC000289018136 409208131 /nfs/dbraw/zinc/20/81/31/409208131.db2.gz VKLUQZFHIVDPGY-CYBMUJFWSA-N 1 2 304.375 1.957 20 30 DDEDLO CO[C@@]1(C)CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000289461562 409276398 /nfs/dbraw/zinc/27/63/98/409276398.db2.gz QDIAIADEDCJYKE-HNNXBMFYSA-N 1 2 323.418 1.228 20 30 DDEDLO CO[C@@]1(C)CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000289461562 409276400 /nfs/dbraw/zinc/27/64/00/409276400.db2.gz QDIAIADEDCJYKE-HNNXBMFYSA-N 1 2 323.418 1.228 20 30 DDEDLO COc1ccc(-c2noc(CO[NH+]=C(N)[C@@H]3CCCO3)n2)cc1 ZINC000284069819 409268851 /nfs/dbraw/zinc/26/88/51/409268851.db2.gz JZJFKOXZDDPELW-LBPRGKRZSA-N 1 2 318.333 1.713 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000284848514 409365007 /nfs/dbraw/zinc/36/50/07/409365007.db2.gz AZPIRXIPOYUKCV-UHFFFAOYSA-N 1 2 314.389 1.684 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)CCC#N)C[C@@H]1Cc1ccccc1 ZINC000295804629 409370763 /nfs/dbraw/zinc/37/07/63/409370763.db2.gz BFOKIJACURAAOX-HNNXBMFYSA-N 1 2 307.419 1.089 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)CCC#N)C[C@@H]1Cc1ccccc1 ZINC000295804629 409370767 /nfs/dbraw/zinc/37/07/67/409370767.db2.gz BFOKIJACURAAOX-HNNXBMFYSA-N 1 2 307.419 1.089 20 30 DDEDLO Cc1ccc(NC(=O)[C@@H](C)O[NH+]=C(N)[C@H]2CCCO2)cc1F ZINC000284464783 409345217 /nfs/dbraw/zinc/34/52/17/409345217.db2.gz QWZPFOCTHIFXLI-ZWNOBZJWSA-N 1 2 309.341 1.929 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@H](c2[nH+]cc3n2CCCC3)C1 ZINC000296161434 409450177 /nfs/dbraw/zinc/45/01/77/409450177.db2.gz KOMUYOHOVIWJKN-CABCVRRESA-N 1 2 315.417 1.964 20 30 DDEDLO CN(CC[NH+]1CCOCC1)C(=O)NCCc1cccc(C#N)c1 ZINC000342207569 409569253 /nfs/dbraw/zinc/56/92/53/409569253.db2.gz SKDVTUSKPBCIHN-UHFFFAOYSA-N 1 2 316.405 1.074 20 30 DDEDLO CC[C@](C)(C#N)C(=O)N1CC[NH+]([C@H](C)C(=O)N2CCCC2)CC1 ZINC000353932221 409570826 /nfs/dbraw/zinc/57/08/26/409570826.db2.gz KTIKATISZYHDTB-RHSMWYFYSA-N 1 2 320.437 1.081 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000338134347 409741719 /nfs/dbraw/zinc/74/17/19/409741719.db2.gz XRKBDXBPQQZYGL-MRXNPFEDSA-N 1 2 323.400 1.729 20 30 DDEDLO Cc1c[nH+]c(CN2CCN(c3nccnc3C#N)C[C@H]2C)n1C ZINC000342794541 409910495 /nfs/dbraw/zinc/91/04/95/409910495.db2.gz UJORKTXBAYQSEU-CYBMUJFWSA-N 1 2 311.393 1.101 20 30 DDEDLO COC(=O)[C@H](CC(F)F)NCc1c[nH+]c2ccc(C#N)cn12 ZINC000342797891 409911989 /nfs/dbraw/zinc/91/19/89/409911989.db2.gz RACCLSHVQNAUHH-NSHDSACASA-N 1 2 308.288 1.492 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](C)CCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000342777800 409898380 /nfs/dbraw/zinc/89/83/80/409898380.db2.gz MDVFUKDPVWABDL-DOMZBBRYSA-N 1 2 312.373 1.979 20 30 DDEDLO [O-]C(=[NH+]C[C@H]1CCCn2cc[nH+]c21)N1CCc2cncnc2C1 ZINC000328602610 409934024 /nfs/dbraw/zinc/93/40/24/409934024.db2.gz HAKCVOBAKFNFMO-CYBMUJFWSA-N 1 2 312.377 1.523 20 30 DDEDLO Cn1c[nH+]c2cc(NS(=O)(=O)c3cncc(C#N)c3)ccc21 ZINC000357389442 410007022 /nfs/dbraw/zinc/00/70/22/410007022.db2.gz NTUDAPYYDZGNQL-UHFFFAOYSA-N 1 2 313.342 1.641 20 30 DDEDLO C[C@@H](Cn1cncn1)NC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C ZINC000328966484 410023204 /nfs/dbraw/zinc/02/32/04/410023204.db2.gz SPZPHMLNCNDPIR-HZSPNIEDSA-N 1 2 314.393 1.791 20 30 DDEDLO Cc1nc2ccc(NC(=O)N[C@@H](C)C[NH+]3CCOCC3)cn2n1 ZINC000328883411 410003247 /nfs/dbraw/zinc/00/32/47/410003247.db2.gz MDTXPRABNRJHBS-NSHDSACASA-N 1 2 318.381 1.084 20 30 DDEDLO C[C@@H]1CN(c2cccc[nH+]2)C[C@H]1C(=O)N[C@H]1CCN(C)C1=O ZINC000329028340 410061179 /nfs/dbraw/zinc/06/11/79/410061179.db2.gz OWJNRXJGVLAGMN-UPJWGTAASA-N 1 2 302.378 1.341 20 30 DDEDLO COc1ccc(F)cc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329040611 410065927 /nfs/dbraw/zinc/06/59/27/410065927.db2.gz DJSLUEMKLZNVRT-OCCSQVGLSA-N 1 2 309.341 1.395 20 30 DDEDLO COc1ccc(F)cc1NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329040611 410065934 /nfs/dbraw/zinc/06/59/34/410065934.db2.gz DJSLUEMKLZNVRT-OCCSQVGLSA-N 1 2 309.341 1.395 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCCc3cscn3)C[C@@H]21 ZINC000329053261 410072977 /nfs/dbraw/zinc/07/29/77/410072977.db2.gz LKLUELWUVGVSFD-QWHCGFSZSA-N 1 2 310.423 1.005 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCCc3cscn3)C[C@@H]21 ZINC000329053261 410072982 /nfs/dbraw/zinc/07/29/82/410072982.db2.gz LKLUELWUVGVSFD-QWHCGFSZSA-N 1 2 310.423 1.005 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@@H](NC(=O)c2cc(C#N)ccn2)CCO1 ZINC000332391247 410123130 /nfs/dbraw/zinc/12/31/30/410123130.db2.gz CYCLWDXVEJTCQE-WFASDCNBSA-N 1 2 311.345 1.337 20 30 DDEDLO COC[C@H](C(N)=O)[N@H+](C)Cc1ccc(C#N)cc1OC(F)F ZINC000339361231 410125524 /nfs/dbraw/zinc/12/55/24/410125524.db2.gz WCKZHCPPRKVGGS-LLVKDONJSA-N 1 2 313.304 1.092 20 30 DDEDLO COC[C@H](C(N)=O)[N@@H+](C)Cc1ccc(C#N)cc1OC(F)F ZINC000339361231 410125530 /nfs/dbraw/zinc/12/55/30/410125530.db2.gz WCKZHCPPRKVGGS-LLVKDONJSA-N 1 2 313.304 1.092 20 30 DDEDLO Cc1noc([C@H](C)NC(=O)N2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)n1 ZINC000329293555 410217765 /nfs/dbraw/zinc/21/77/65/410217765.db2.gz RPMBGHHBGUEILN-GMXVVIOVSA-N 1 2 323.397 1.148 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1nccc(C#N)c1Cl ZINC000343250840 410274669 /nfs/dbraw/zinc/27/46/69/410274669.db2.gz NPCKQGSKNRPOMH-AAEUAGOBSA-N 1 2 306.797 1.906 20 30 DDEDLO Cn1ccc(CCNC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)n1 ZINC000329531177 410348253 /nfs/dbraw/zinc/34/82/53/410348253.db2.gz WIBOFRWYYQNAOH-HNNXBMFYSA-N 1 2 306.410 1.563 20 30 DDEDLO Cn1ccc(CCNC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)n1 ZINC000329531177 410348258 /nfs/dbraw/zinc/34/82/58/410348258.db2.gz WIBOFRWYYQNAOH-HNNXBMFYSA-N 1 2 306.410 1.563 20 30 DDEDLO O=C(C[N@H+]1CCN2C(=O)NC(=O)[C@H]2C1)NC(C1CC1)C1CC1 ZINC000329426288 410294057 /nfs/dbraw/zinc/29/40/57/410294057.db2.gz ISSUSILDVDGSAL-LLVKDONJSA-N 1 2 306.366 1.208 20 30 DDEDLO Cc1ccc(NC(=O)NC[C@@H]2CCCS(=O)(=O)C2)c(C)[nH+]1 ZINC000329434347 410297341 /nfs/dbraw/zinc/29/73/41/410297341.db2.gz JEFCODVUMRLRAK-LBPRGKRZSA-N 1 2 311.407 1.849 20 30 DDEDLO CC(C)[C@@H](C)NC(=O)C[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355085352 410304642 /nfs/dbraw/zinc/30/46/42/410304642.db2.gz XFZGWLCNKUBQRL-CQSZACIVSA-N 1 2 315.421 1.236 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000355088983 410306451 /nfs/dbraw/zinc/30/64/51/410306451.db2.gz PGPOMQLOPNMINU-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000355088983 410306455 /nfs/dbraw/zinc/30/64/55/410306455.db2.gz PGPOMQLOPNMINU-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000355088982 410308414 /nfs/dbraw/zinc/30/84/14/410308414.db2.gz PGPOMQLOPNMINU-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H](Oc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000355088982 410308423 /nfs/dbraw/zinc/30/84/23/410308423.db2.gz PGPOMQLOPNMINU-UONOGXRCSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@H](NC(=O)N1CCn2cc[nH+]c2C1)C(=O)N1CCCC[C@H]1C ZINC000329468003 410314692 /nfs/dbraw/zinc/31/46/92/410314692.db2.gz WPHKKAKNKKESBV-OLZOCXBDSA-N 1 2 319.409 1.402 20 30 DDEDLO Cc1nccc(NC(=O)N2CC[NH+](Cc3ccncc3)CC2)n1 ZINC000329596413 410379503 /nfs/dbraw/zinc/37/95/03/410379503.db2.gz IOVHKVNHBLAPLS-UHFFFAOYSA-N 1 2 312.377 1.543 20 30 DDEDLO C#CC[NH+](CC#C)[C@@H](C)C(=O)NC(=O)Nc1ccc(C)cc1C ZINC000298824194 410412458 /nfs/dbraw/zinc/41/24/58/410412458.db2.gz BEOZLSALBBIQAA-HNNXBMFYSA-N 1 2 311.385 1.908 20 30 DDEDLO Cc1noc(C)c1C[NH+]1CCN(C(=O)CSCC#N)CC1 ZINC000298923880 410452438 /nfs/dbraw/zinc/45/24/38/410452438.db2.gz BBYJSTOGWGGFIJ-UHFFFAOYSA-N 1 2 308.407 1.192 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1[nH+]ccn1CC(F)(F)F ZINC000358839653 410533908 /nfs/dbraw/zinc/53/39/08/410533908.db2.gz RPHFVRSMTNDWEO-VIFPVBQESA-N 1 2 306.288 1.182 20 30 DDEDLO Cc1oc(NC(=O)[C@H](C)[NH2+][C@@H](C)c2nncn2C)c(C#N)c1C ZINC000358794331 410534043 /nfs/dbraw/zinc/53/40/43/410534043.db2.gz YTRKKBDAAVPEPK-UWVGGRQHSA-N 1 2 316.365 1.574 20 30 DDEDLO N#CCC[C@H](c1ccccc1)N1C(=O)N[C@H](Cc2c[nH+]c[nH]2)C1=O ZINC000359165434 410564372 /nfs/dbraw/zinc/56/43/72/410564372.db2.gz FTHAPDQRMKOKOG-HUUCEWRRSA-N 1 2 323.356 1.918 20 30 DDEDLO N#CCC[C@H](c1ccccc1)N1C(=O)N[C@H](Cc2c[nH]c[nH+]2)C1=O ZINC000359165434 410564377 /nfs/dbraw/zinc/56/43/77/410564377.db2.gz FTHAPDQRMKOKOG-HUUCEWRRSA-N 1 2 323.356 1.918 20 30 DDEDLO N#CCC[C@@H](c1ccccc1)N1C(=O)N[C@H](Cc2c[nH+]c[nH]2)C1=O ZINC000359165416 410564731 /nfs/dbraw/zinc/56/47/31/410564731.db2.gz FTHAPDQRMKOKOG-CABCVRRESA-N 1 2 323.356 1.918 20 30 DDEDLO N#CCC[C@@H](c1ccccc1)N1C(=O)N[C@H](Cc2c[nH]c[nH+]2)C1=O ZINC000359165416 410564737 /nfs/dbraw/zinc/56/47/37/410564737.db2.gz FTHAPDQRMKOKOG-CABCVRRESA-N 1 2 323.356 1.918 20 30 DDEDLO Cc1cc(C#N)cc(NCc2ccc[nH+]c2N2CCOCC2)n1 ZINC000339975275 410590206 /nfs/dbraw/zinc/59/02/06/410590206.db2.gz IZYIETIWLBRRHG-UHFFFAOYSA-N 1 2 309.373 2.105 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)N2[C@@H](C)CCC[C@@H]2C)C1=O ZINC000337218883 410662048 /nfs/dbraw/zinc/66/20/48/410662048.db2.gz JIUSPGHOSLPKRX-JONQDZQNSA-N 1 2 321.465 1.883 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)N2[C@@H](C)CCC[C@@H]2C)C1=O ZINC000337218883 410662055 /nfs/dbraw/zinc/66/20/55/410662055.db2.gz JIUSPGHOSLPKRX-JONQDZQNSA-N 1 2 321.465 1.883 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000352370635 410652370 /nfs/dbraw/zinc/65/23/70/410652370.db2.gz BDQPWVSHERNTJE-WBVHZDCISA-N 1 2 310.438 1.681 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CCO[C@@]2(CCCOC2)C1 ZINC000352370635 410652379 /nfs/dbraw/zinc/65/23/79/410652379.db2.gz BDQPWVSHERNTJE-WBVHZDCISA-N 1 2 310.438 1.681 20 30 DDEDLO C=CCc1ccc(OC[C@@H](O)C[NH+]2CC(C)(OC)C2)c(OC)c1 ZINC000353020686 410739058 /nfs/dbraw/zinc/73/90/58/410739058.db2.gz CLOIBKHTIGERRW-HNNXBMFYSA-N 1 2 321.417 1.884 20 30 DDEDLO C=C(C)C[N@H+](CC(=O)NC1CCOCC1)Cc1ccc(CO)o1 ZINC000352813928 410682671 /nfs/dbraw/zinc/68/26/71/410682671.db2.gz FTICQYQQRSHONL-UHFFFAOYSA-N 1 2 322.405 1.445 20 30 DDEDLO C=C(C)C[N@@H+](CC(=O)NC1CCOCC1)Cc1ccc(CO)o1 ZINC000352813928 410682675 /nfs/dbraw/zinc/68/26/75/410682675.db2.gz FTICQYQQRSHONL-UHFFFAOYSA-N 1 2 322.405 1.445 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)c(C)c1 ZINC000451939014 287190664 /nfs/dbraw/zinc/19/06/64/287190664.db2.gz YMFZRUQIDHWQDD-OAHLLOKOSA-N 1 2 321.446 1.972 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)c(C)c1 ZINC000451939014 287190665 /nfs/dbraw/zinc/19/06/65/287190665.db2.gz YMFZRUQIDHWQDD-OAHLLOKOSA-N 1 2 321.446 1.972 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)NCCOc1ccc(C#N)cc1 ZINC000341840056 411070005 /nfs/dbraw/zinc/07/00/05/411070005.db2.gz LOROIWAQGONLDW-UHFFFAOYSA-N 1 2 313.361 1.512 20 30 DDEDLO Cn1ncc(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)c1C#N ZINC000353826600 411133334 /nfs/dbraw/zinc/13/33/34/411133334.db2.gz NHPRQNHLWJFMRU-UHFFFAOYSA-N 1 2 310.361 1.217 20 30 DDEDLO C(#CC[N@@H+]1CCOCC12CCC2)CN1CCOCC12CCC2 ZINC000373744527 418455549 /nfs/dbraw/zinc/45/55/49/418455549.db2.gz DPSDQZFFXGWPLU-UHFFFAOYSA-N 1 2 304.434 1.500 20 30 DDEDLO C(#CC[N@H+]1CCOCC12CCC2)CN1CCOCC12CCC2 ZINC000373744527 418455552 /nfs/dbraw/zinc/45/55/52/418455552.db2.gz DPSDQZFFXGWPLU-UHFFFAOYSA-N 1 2 304.434 1.500 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1Cc2ccccc2O[C@H](C)C1 ZINC000366486866 418479752 /nfs/dbraw/zinc/47/97/52/418479752.db2.gz YQBXSDVDJZIGQD-GFCCVEGCSA-N 1 2 303.362 1.281 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1Cc2ccccc2O[C@H](C)C1 ZINC000366486866 418479755 /nfs/dbraw/zinc/47/97/55/418479755.db2.gz YQBXSDVDJZIGQD-GFCCVEGCSA-N 1 2 303.362 1.281 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1c1ccccc1F ZINC000374040699 418486424 /nfs/dbraw/zinc/48/64/24/418486424.db2.gz GRRZLILOMMPLTJ-BXUZGUMPSA-N 1 2 305.353 1.973 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@@H]1c1ccccc1F ZINC000374040699 418486427 /nfs/dbraw/zinc/48/64/27/418486427.db2.gz GRRZLILOMMPLTJ-BXUZGUMPSA-N 1 2 305.353 1.973 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@H](c3nc(C4CC4)n[nH]3)C2)C1=O ZINC000374074483 418486780 /nfs/dbraw/zinc/48/67/80/418486780.db2.gz KXFZYWUCFLGTLA-KBPBESRZSA-N 1 2 315.421 1.648 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@H](c3nc(C4CC4)n[nH]3)C2)C1=O ZINC000374074483 418486784 /nfs/dbraw/zinc/48/67/84/418486784.db2.gz KXFZYWUCFLGTLA-KBPBESRZSA-N 1 2 315.421 1.648 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(S(=O)(=O)c2ccc(C#N)s2)C1 ZINC000366625286 418498175 /nfs/dbraw/zinc/49/81/75/418498175.db2.gz UFJSEMPZEJQQHD-JTQLQIEISA-N 1 2 322.415 1.531 20 30 DDEDLO CCc1ccc([C@@H](COC)[NH2+][C@H](C)C(=O)N(C)CCC#N)o1 ZINC000184837241 221943986 /nfs/dbraw/zinc/94/39/86/221943986.db2.gz PDZASFUHKGGKHE-TZMCWYRMSA-N 1 2 307.394 1.880 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000191094305 222095604 /nfs/dbraw/zinc/09/56/04/222095604.db2.gz DSSLYOHBQZSTKH-UHFFFAOYSA-N 1 2 306.362 1.531 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000191094305 222095607 /nfs/dbraw/zinc/09/56/07/222095607.db2.gz DSSLYOHBQZSTKH-UHFFFAOYSA-N 1 2 306.362 1.531 20 30 DDEDLO N#Cc1ccc(NCC2([NH+]3CCOCC3)CC2)cc1[N+](=O)[O-] ZINC000290939257 418579752 /nfs/dbraw/zinc/57/97/52/418579752.db2.gz LESDDPFYTLRYSR-UHFFFAOYSA-N 1 2 302.334 1.743 20 30 DDEDLO C[C@@H](C(=O)NC(=O)Nc1ccccc1)[NH+]1CCC(C#N)CC1 ZINC000195335380 222200141 /nfs/dbraw/zinc/20/01/41/222200141.db2.gz IIZKSPCLXBERKS-LBPRGKRZSA-N 1 2 300.362 1.959 20 30 DDEDLO Cn1cc(C[N@@H+]2C[C@@H](F)C[C@H]2CNc2ccc(C#N)cn2)cn1 ZINC000328635280 418606686 /nfs/dbraw/zinc/60/66/86/418606686.db2.gz NFLMHUWPDUTVPJ-GJZGRUSLSA-N 1 2 314.368 1.711 20 30 DDEDLO Cn1cc(C[N@H+]2C[C@@H](F)C[C@H]2CNc2ccc(C#N)cn2)cn1 ZINC000328635280 418606690 /nfs/dbraw/zinc/60/66/90/418606690.db2.gz NFLMHUWPDUTVPJ-GJZGRUSLSA-N 1 2 314.368 1.711 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCOC[C@H]1[C@@H]1CCCO1 ZINC000367578335 418612294 /nfs/dbraw/zinc/61/22/94/418612294.db2.gz VOSBMAKVUWYGBS-RDJZCZTQSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCOC[C@H]1[C@@H]1CCCO1 ZINC000367578335 418612297 /nfs/dbraw/zinc/61/22/97/418612297.db2.gz VOSBMAKVUWYGBS-RDJZCZTQSA-N 1 2 302.374 1.947 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCOC[C@@H]2CC(=O)OC)cc1 ZINC000377870762 418715627 /nfs/dbraw/zinc/71/56/27/418715627.db2.gz WMBVBHWWHNJMAI-HNNXBMFYSA-N 1 2 303.358 1.463 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCOC[C@@H]2CC(=O)OC)cc1 ZINC000377870762 418715630 /nfs/dbraw/zinc/71/56/30/418715630.db2.gz WMBVBHWWHNJMAI-HNNXBMFYSA-N 1 2 303.358 1.463 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@@H+]2CCN3C(=O)OC[C@H]3C2)cc1 ZINC000378345724 418721242 /nfs/dbraw/zinc/72/12/42/418721242.db2.gz MMYNSFLRXYDPIC-TZMCWYRMSA-N 1 2 301.346 1.614 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[N@H+]2CCN3C(=O)OC[C@H]3C2)cc1 ZINC000378345724 418721244 /nfs/dbraw/zinc/72/12/44/418721244.db2.gz MMYNSFLRXYDPIC-TZMCWYRMSA-N 1 2 301.346 1.614 20 30 DDEDLO Cc1nsc(NC[C@@]2([NH+]3CCOCC3)CCSC2)c1C#N ZINC000376073811 418692108 /nfs/dbraw/zinc/69/21/08/418692108.db2.gz IGPSJLUKHXRUDM-AWEZNQCLSA-N 1 2 324.475 1.943 20 30 DDEDLO C#CCCNC(=O)N1CCC[C@@H]([N@H+](C)Cc2noc(C)n2)CC1 ZINC000378308692 418721621 /nfs/dbraw/zinc/72/16/21/418721621.db2.gz RZQZGPOPQNPODJ-CQSZACIVSA-N 1 2 319.409 1.397 20 30 DDEDLO C#CCCNC(=O)N1CCC[C@@H]([N@@H+](C)Cc2noc(C)n2)CC1 ZINC000378308692 418721623 /nfs/dbraw/zinc/72/16/23/418721623.db2.gz RZQZGPOPQNPODJ-CQSZACIVSA-N 1 2 319.409 1.397 20 30 DDEDLO CCCCCCCCOCC(=O)NC[C@@H]1C[N@H+](C)CCO1 ZINC000369628718 418736227 /nfs/dbraw/zinc/73/62/27/418736227.db2.gz HMIBLAHXJWDTTH-OAHLLOKOSA-N 1 2 300.443 1.810 20 30 DDEDLO CCCCCCCCOCC(=O)NC[C@@H]1C[N@@H+](C)CCO1 ZINC000369628718 418736230 /nfs/dbraw/zinc/73/62/30/418736230.db2.gz HMIBLAHXJWDTTH-OAHLLOKOSA-N 1 2 300.443 1.810 20 30 DDEDLO C=CCCS(=O)(=O)N[C@@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000370679180 418746679 /nfs/dbraw/zinc/74/66/79/418746679.db2.gz LYUFADHHZWAAAU-ZIAGYGMSSA-N 1 2 302.440 1.125 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)c2ccco2)CC1 ZINC000363458199 418766061 /nfs/dbraw/zinc/76/60/61/418766061.db2.gz ZASWLFUIBIUIJE-CYBMUJFWSA-N 1 2 305.378 1.118 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)c2ccco2)CC1 ZINC000363458199 418766063 /nfs/dbraw/zinc/76/60/63/418766063.db2.gz ZASWLFUIBIUIJE-CYBMUJFWSA-N 1 2 305.378 1.118 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)CCC2CCCC2)CC1 ZINC000363482723 418766609 /nfs/dbraw/zinc/76/66/09/418766609.db2.gz ACFRKUXTAKKFEC-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)CCC2CCCC2)CC1 ZINC000363482723 418766612 /nfs/dbraw/zinc/76/66/12/418766612.db2.gz ACFRKUXTAKKFEC-UHFFFAOYSA-N 1 2 319.449 1.241 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)nc1 ZINC000363779426 418769564 /nfs/dbraw/zinc/76/95/64/418769564.db2.gz ONXZHTJOQVYVDS-CYBMUJFWSA-N 1 2 301.350 1.004 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CC[C@@H](O)c2ccccc2C1)C1CC1 ZINC000364735517 418805972 /nfs/dbraw/zinc/80/59/72/418805972.db2.gz BTQQKVLOTLCMFX-AEFFLSMTSA-N 1 2 313.401 1.734 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CC[C@@H](O)c2ccccc2C1)C1CC1 ZINC000364735517 418805974 /nfs/dbraw/zinc/80/59/74/418805974.db2.gz BTQQKVLOTLCMFX-AEFFLSMTSA-N 1 2 313.401 1.734 20 30 DDEDLO Cc1ccc(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)nn1 ZINC000411122764 418871515 /nfs/dbraw/zinc/87/15/15/418871515.db2.gz BJRFWQYYGHLHOB-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO C=CC[N@H+](Cc1cccc([N+](=O)[O-])c1)[C@H](C)C(=O)NC(N)=O ZINC000411194608 418878652 /nfs/dbraw/zinc/87/86/52/418878652.db2.gz QVTKCQARWJCTSV-SNVBAGLBSA-N 1 2 306.322 1.166 20 30 DDEDLO C=CC[N@@H+](Cc1cccc([N+](=O)[O-])c1)[C@H](C)C(=O)NC(N)=O ZINC000411194608 418878655 /nfs/dbraw/zinc/87/86/55/418878655.db2.gz QVTKCQARWJCTSV-SNVBAGLBSA-N 1 2 306.322 1.166 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCc1ccc(-n2cc[nH+]c2)nc1 ZINC000372543103 418879834 /nfs/dbraw/zinc/87/98/34/418879834.db2.gz PIMVLKVBJRRVBY-CYBMUJFWSA-N 1 2 300.362 1.865 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@@H](NC(=O)[C@@H](C)Oc1ccc(C#N)cc1)C2 ZINC000372549948 418882847 /nfs/dbraw/zinc/88/28/47/418882847.db2.gz JEBVRFCQQRWLSA-UKRRQHHQSA-N 1 2 324.384 1.962 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CC[C@@H](Oc2ccncc2)C1)C1CC1 ZINC000365651706 418889144 /nfs/dbraw/zinc/88/91/44/418889144.db2.gz XJEPHFGKUVCIIC-WBVHZDCISA-N 1 2 314.389 1.343 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CC[C@@H](Oc2ccncc2)C1)C1CC1 ZINC000365651706 418889146 /nfs/dbraw/zinc/88/91/46/418889146.db2.gz XJEPHFGKUVCIIC-WBVHZDCISA-N 1 2 314.389 1.343 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000411358265 418895909 /nfs/dbraw/zinc/89/59/09/418895909.db2.gz WEIOPLUNHTVBHH-ZDUSSCGKSA-N 1 2 305.378 1.246 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCN(c2ccc(C#N)cc2)C1=O)c1csnn1 ZINC000412035285 419585104 /nfs/dbraw/zinc/58/51/04/419585104.db2.gz HCODBCMBHSVDBA-GXFFZTMASA-N 1 2 313.386 1.866 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(Cc2cccc(F)c2C#N)CC1 ZINC000428233359 419835243 /nfs/dbraw/zinc/83/52/43/419835243.db2.gz ROGPVQWEDHWMNW-UHFFFAOYSA-N 1 2 313.380 1.749 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[C@H](C)[S@](=O)CC1 ZINC000429576774 420027336 /nfs/dbraw/zinc/02/73/36/420027336.db2.gz HJHABUATRLJNPV-PJSUUKDQSA-N 1 2 300.468 1.642 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[C@H](C)[S@](=O)CC1 ZINC000429576774 420027339 /nfs/dbraw/zinc/02/73/39/420027339.db2.gz HJHABUATRLJNPV-PJSUUKDQSA-N 1 2 300.468 1.642 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H](O)C1CCCCC1 ZINC000437802917 420425699 /nfs/dbraw/zinc/42/56/99/420425699.db2.gz PUFUTOSUYVRTGA-INIZCTEOSA-N 1 2 323.437 1.002 20 30 DDEDLO C=C(C)C[NH+]1CCC(NS(=O)(=O)NCC(F)(F)F)CC1 ZINC000439290255 420505751 /nfs/dbraw/zinc/50/57/51/420505751.db2.gz VPYYCGOLPHDRKA-UHFFFAOYSA-N 1 2 315.361 1.013 20 30 DDEDLO CN(Cc1ccc(C#N)cc1Cl)C[C@H](O)C[NH+]1CCOCC1 ZINC000439432000 420512960 /nfs/dbraw/zinc/51/29/60/420512960.db2.gz OXEJUTVUTGOKMN-HNNXBMFYSA-N 1 2 323.824 1.337 20 30 DDEDLO C#CCC[C@@H](O)CNC(=O)/C=C/c1ccc(-n2cc[nH+]c2)cc1 ZINC000492598186 420602908 /nfs/dbraw/zinc/60/29/08/420602908.db2.gz UYHLCSAHUOMIOJ-PYUISTEWSA-N 1 2 309.369 1.776 20 30 DDEDLO COc1cc(C[NH2+]Cc2nnnn2-c2ccccc2)ccc1C#N ZINC000440816516 420610318 /nfs/dbraw/zinc/61/03/18/420610318.db2.gz MZIDGHUBWXIXOB-UHFFFAOYSA-N 1 2 320.356 1.832 20 30 DDEDLO C=CCC[C@@H](NC(=O)/C=C/c1[nH+]ccn1CC)C(=O)OCC ZINC000493130738 420765273 /nfs/dbraw/zinc/76/52/73/420765273.db2.gz OKFPLJGBVHFFIN-WTNCMQEWSA-N 1 2 305.378 1.930 20 30 DDEDLO C=C(CC)CNC(=O)N1CC[C@@H]([N@H+](C)Cc2nccc(N)n2)C1 ZINC000459066383 420796339 /nfs/dbraw/zinc/79/63/39/420796339.db2.gz ABYBHTQLZPFLHC-CYBMUJFWSA-N 1 2 318.425 1.241 20 30 DDEDLO C=C(CC)CNC(=O)N1CC[C@@H]([N@@H+](C)Cc2nccc(N)n2)C1 ZINC000459066383 420796341 /nfs/dbraw/zinc/79/63/41/420796341.db2.gz ABYBHTQLZPFLHC-CYBMUJFWSA-N 1 2 318.425 1.241 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[NH2+][C@H](CO)[C@@H]3CCCO3)o2)c1 ZINC000447906217 420814304 /nfs/dbraw/zinc/81/43/04/420814304.db2.gz OFNJAGBHSBBWRP-KGLIPLIRSA-N 1 2 314.345 1.238 20 30 DDEDLO CCn1cc[nH+]c1CN1CCN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000495813443 421069682 /nfs/dbraw/zinc/06/96/82/421069682.db2.gz HKXLGVYKRRWNOP-CYBMUJFWSA-N 1 2 319.409 1.848 20 30 DDEDLO CN(C)C(=O)O[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000496205974 421151759 /nfs/dbraw/zinc/15/17/59/421151759.db2.gz LVOSPTAAUNFMOA-CYBMUJFWSA-N 1 2 318.333 1.739 20 30 DDEDLO CN(C)C(=O)O[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000496205974 421151764 /nfs/dbraw/zinc/15/17/64/421151764.db2.gz LVOSPTAAUNFMOA-CYBMUJFWSA-N 1 2 318.333 1.739 20 30 DDEDLO CCC[C@H](C#N)NC(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000524938217 421276113 /nfs/dbraw/zinc/27/61/13/421276113.db2.gz SWVBNDBCZFUGEN-HZPDHXFCSA-N 1 2 301.390 1.696 20 30 DDEDLO CCC[C@H](C#N)NC(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000524938217 421276114 /nfs/dbraw/zinc/27/61/14/421276114.db2.gz SWVBNDBCZFUGEN-HZPDHXFCSA-N 1 2 301.390 1.696 20 30 DDEDLO N#Cc1ccc(OCC[N@@H+]2CCO[C@H](C(=O)C3CC3)C2)cc1 ZINC000547843301 421373760 /nfs/dbraw/zinc/37/37/60/421373760.db2.gz UPIYEMTXISTXAO-INIZCTEOSA-N 1 2 300.358 1.617 20 30 DDEDLO N#Cc1ccc(OCC[N@H+]2CCO[C@H](C(=O)C3CC3)C2)cc1 ZINC000547843301 421373762 /nfs/dbraw/zinc/37/37/62/421373762.db2.gz UPIYEMTXISTXAO-INIZCTEOSA-N 1 2 300.358 1.617 20 30 DDEDLO CS(=O)(=O)NC[C@@H]1CCCC[N@@H+]1Cc1cc(C#N)cs1 ZINC000507140278 421424106 /nfs/dbraw/zinc/42/41/06/421424106.db2.gz BYWRCDFKTLNGOQ-LBPRGKRZSA-N 1 2 313.448 1.523 20 30 DDEDLO CS(=O)(=O)NC[C@@H]1CCCC[N@H+]1Cc1cc(C#N)cs1 ZINC000507140278 421424108 /nfs/dbraw/zinc/42/41/08/421424108.db2.gz BYWRCDFKTLNGOQ-LBPRGKRZSA-N 1 2 313.448 1.523 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)C2(c3ccc(C#N)cc3)CC2)CCO1 ZINC000548702181 421464330 /nfs/dbraw/zinc/46/43/30/421464330.db2.gz ITCDQHSIZLLPFK-CQSZACIVSA-N 1 2 313.401 1.427 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)C2(c3ccc(C#N)cc3)CC2)CCO1 ZINC000548702181 421464333 /nfs/dbraw/zinc/46/43/33/421464333.db2.gz ITCDQHSIZLLPFK-CQSZACIVSA-N 1 2 313.401 1.427 20 30 DDEDLO CC[C@@H]1C[N@H+](CC#CCN2C(=O)c3ccccc3C2=O)CCO1 ZINC000528348351 421479845 /nfs/dbraw/zinc/47/98/45/421479845.db2.gz GAHSABRRWWZEMN-CQSZACIVSA-N 1 2 312.369 1.397 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC#CCN2C(=O)c3ccccc3C2=O)CCO1 ZINC000528348351 421479847 /nfs/dbraw/zinc/47/98/47/421479847.db2.gz GAHSABRRWWZEMN-CQSZACIVSA-N 1 2 312.369 1.397 20 30 DDEDLO C=CCCn1cc(C(=O)N2CC(C)(C)[C@H]2c2[nH+]ccn2C)nn1 ZINC000515415006 421500745 /nfs/dbraw/zinc/50/07/45/421500745.db2.gz NLABFCHBNNNPIV-CYBMUJFWSA-N 1 2 314.393 1.811 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[NH2+][C@H](CCO)C(F)F)cc1 ZINC000517567786 421618507 /nfs/dbraw/zinc/61/85/07/421618507.db2.gz RMQQQAXYPUWLHG-GXTWGEPZSA-N 1 2 314.332 1.098 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N[C@@H]2CCCC[C@H]2C)C1=O ZINC000532217198 421652113 /nfs/dbraw/zinc/65/21/13/421652113.db2.gz ZYIKBNAMOHAKQE-KFWWJZLASA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N[C@@H]2CCCC[C@H]2C)C1=O ZINC000532217198 421652116 /nfs/dbraw/zinc/65/21/16/421652116.db2.gz ZYIKBNAMOHAKQE-KFWWJZLASA-N 1 2 307.438 1.400 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNCc1nnc(C(C)(C)C)s1 ZINC000566112409 421602087 /nfs/dbraw/zinc/60/20/87/421602087.db2.gz NXBZQHRGHKMTCR-LLVKDONJSA-N 1 2 311.455 1.132 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNCc1nnc(C(C)(C)C)s1 ZINC000566112409 421602090 /nfs/dbraw/zinc/60/20/90/421602090.db2.gz NXBZQHRGHKMTCR-LLVKDONJSA-N 1 2 311.455 1.132 20 30 DDEDLO N#Cc1cccc(-c2cc(C(=O)Nc3cc[nH+]cc3O)on2)c1 ZINC000556063254 421698616 /nfs/dbraw/zinc/69/86/16/421698616.db2.gz QGKNWCSQDHODLT-UHFFFAOYSA-N 1 2 306.281 1.988 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000556242037 421705850 /nfs/dbraw/zinc/70/58/50/421705850.db2.gz IXIYMRWWLICNPG-MJBXVCDLSA-N 1 2 304.394 1.583 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000556242037 421705853 /nfs/dbraw/zinc/70/58/53/421705853.db2.gz IXIYMRWWLICNPG-MJBXVCDLSA-N 1 2 304.394 1.583 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)Nc1ccc(C(F)(F)F)c(C#N)n1 ZINC000573666957 421998426 /nfs/dbraw/zinc/99/84/26/421998426.db2.gz XQWXVFKJRBJORJ-SNVBAGLBSA-N 1 2 314.311 2.105 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2ccc(C#N)cn2)CC1 ZINC000582190228 422129228 /nfs/dbraw/zinc/12/92/28/422129228.db2.gz NUIPPIASDFAXHE-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2ccc(C#N)cn2)CC1 ZINC000582190228 422129232 /nfs/dbraw/zinc/12/92/32/422129232.db2.gz NUIPPIASDFAXHE-CYBMUJFWSA-N 1 2 324.388 1.204 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCNC(=O)[C@H]2CC2CCCCC2)C1=O ZINC000576217779 422371989 /nfs/dbraw/zinc/37/19/89/422371989.db2.gz LOABPPDEYOCYHQ-HZPDHXFCSA-N 1 2 319.449 1.544 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCNC(=O)[C@H]2CC2CCCCC2)C1=O ZINC000576217779 422371995 /nfs/dbraw/zinc/37/19/95/422371995.db2.gz LOABPPDEYOCYHQ-HZPDHXFCSA-N 1 2 319.449 1.544 20 30 DDEDLO CC[C@]1(O)CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000602981661 422440930 /nfs/dbraw/zinc/44/09/30/422440930.db2.gz HMGNRRZGIZNJHJ-INIZCTEOSA-N 1 2 322.430 1.569 20 30 DDEDLO CC[C@]1(O)CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000602981661 422440934 /nfs/dbraw/zinc/44/09/34/422440934.db2.gz HMGNRRZGIZNJHJ-INIZCTEOSA-N 1 2 322.430 1.569 20 30 DDEDLO CC(C)(C#N)C[C@H](O)Cn1c2ccccc2[nH+]c1NCCO ZINC000598705041 422399361 /nfs/dbraw/zinc/39/93/61/422399361.db2.gz XNUDIBDKBGVEJH-LBPRGKRZSA-N 1 2 302.378 1.741 20 30 DDEDLO C=CCN([C@H](c1[nH+]ccn1C)C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000637963113 422513498 /nfs/dbraw/zinc/51/34/98/422513498.db2.gz TZBFTXHYZJVVSJ-KBPBESRZSA-N 1 2 309.435 1.546 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000634511614 422466875 /nfs/dbraw/zinc/46/68/75/422466875.db2.gz VZAOAPFCLZTDPN-OAHLLOKOSA-N 1 2 318.421 1.145 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccc(OC)cc2)nn1 ZINC000640759296 423168899 /nfs/dbraw/zinc/16/88/99/423168899.db2.gz OMSAXYVLIZJHPN-UHFFFAOYSA-N 1 2 313.361 1.038 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CCOc2ccccc2OC)nn1 ZINC000640950336 423292815 /nfs/dbraw/zinc/29/28/15/423292815.db2.gz YFCCMWFUDWEZPX-UHFFFAOYSA-N 1 2 300.362 1.479 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2csc([C@H](C)OCC)n2)nn1 ZINC000641064710 423364123 /nfs/dbraw/zinc/36/41/23/423364123.db2.gz SMHKBESUYURGDP-LBPRGKRZSA-N 1 2 319.434 1.993 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@H](N3CCCC3=O)C2)nn1 ZINC000653555719 423528041 /nfs/dbraw/zinc/52/80/41/423528041.db2.gz RLTLRDIUHHXAMP-HNNXBMFYSA-N 1 2 303.410 1.441 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@H](N3CCCC3=O)C2)nn1 ZINC000653555719 423528045 /nfs/dbraw/zinc/52/80/45/423528045.db2.gz RLTLRDIUHHXAMP-HNNXBMFYSA-N 1 2 303.410 1.441 20 30 DDEDLO C=CCNS(=O)(=O)c1ccccc1NCCCn1cc[nH+]c1 ZINC000641251682 423504569 /nfs/dbraw/zinc/50/45/69/423504569.db2.gz DALLMTOTTGPJPX-UHFFFAOYSA-N 1 2 320.418 1.850 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)NS(=O)(=O)CCn1cc[nH+]c1 ZINC000641537553 423705678 /nfs/dbraw/zinc/70/56/78/423705678.db2.gz ZRLDYSRGNGVNDR-INIZCTEOSA-N 1 2 319.430 1.990 20 30 DDEDLO CC#CC[C@H](CO)NC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000645174694 424211279 /nfs/dbraw/zinc/21/12/79/424211279.db2.gz QWJUUBUHIKQMPB-OAHLLOKOSA-N 1 2 316.405 1.124 20 30 DDEDLO CC[NH+](CC)C[C@@H](C)NC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000341704562 266114706 /nfs/dbraw/zinc/11/47/06/266114706.db2.gz SPMBHPMSWJNDRO-SNVBAGLBSA-N 1 2 322.434 1.841 20 30 DDEDLO CC[N@H+](Cc1ncc(-c2ccc(C#N)cc2)o1)[C@H]1CCNC1=O ZINC000366918866 266140226 /nfs/dbraw/zinc/14/02/26/266140226.db2.gz AGYFMWWCHOMZSF-AWEZNQCLSA-N 1 2 310.357 1.924 20 30 DDEDLO CC[N@@H+](Cc1ncc(-c2ccc(C#N)cc2)o1)[C@H]1CCNC1=O ZINC000366918866 266140228 /nfs/dbraw/zinc/14/02/28/266140228.db2.gz AGYFMWWCHOMZSF-AWEZNQCLSA-N 1 2 310.357 1.924 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cnccc2C#N)C[C@H](C)O1 ZINC000359088669 267050756 /nfs/dbraw/zinc/05/07/56/267050756.db2.gz AEQWRRDWFKQYJR-BETUJISGSA-N 1 2 302.378 1.134 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2cnccc2C#N)C[C@H](C)O1 ZINC000359088669 267050759 /nfs/dbraw/zinc/05/07/59/267050759.db2.gz AEQWRRDWFKQYJR-BETUJISGSA-N 1 2 302.378 1.134 20 30 DDEDLO CCOC[C@H]1C[N@H+](C[C@H](O)COc2ccccc2C#N)CCO1 ZINC000528731863 267142424 /nfs/dbraw/zinc/14/24/24/267142424.db2.gz LBSPKDXTYBHERE-JKSUJKDBSA-N 1 2 320.389 1.035 20 30 DDEDLO CCOC[C@H]1C[N@@H+](C[C@H](O)COc2ccccc2C#N)CCO1 ZINC000528731863 267142427 /nfs/dbraw/zinc/14/24/27/267142427.db2.gz LBSPKDXTYBHERE-JKSUJKDBSA-N 1 2 320.389 1.035 20 30 DDEDLO C[C@H]([NH2+]CCS(=O)(=O)c1ccc(C#N)cn1)c1ccon1 ZINC000343812371 267184316 /nfs/dbraw/zinc/18/43/16/267184316.db2.gz KPFZMNBQXAMAKU-JTQLQIEISA-N 1 2 306.347 1.066 20 30 DDEDLO C[C@H]1CN(c2nccc(C#N)c2Cl)C[C@H]1[NH+]1CCOCC1 ZINC000342573703 267240901 /nfs/dbraw/zinc/24/09/01/267240901.db2.gz OROHUJHMMLIQHS-WCQYABFASA-N 1 2 306.797 1.764 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[NH+]([C@H]3CCCCNC3=O)CC2)n1 ZINC000367343501 267374903 /nfs/dbraw/zinc/37/49/03/267374903.db2.gz YZJDMYZZRNCCEK-HNNXBMFYSA-N 1 2 313.405 1.052 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@H+]1C[C@@H](O)C2(CC2)C1 ZINC000375322568 268100943 /nfs/dbraw/zinc/10/09/43/268100943.db2.gz ZEKCFEFGRXWVKU-CYBMUJFWSA-N 1 2 305.765 1.607 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1C[C@@H](O)C2(CC2)C1 ZINC000375322568 268100945 /nfs/dbraw/zinc/10/09/45/268100945.db2.gz ZEKCFEFGRXWVKU-CYBMUJFWSA-N 1 2 305.765 1.607 20 30 DDEDLO N#Cc1ccc(N2CC[NH+]([C@@H]3COC[C@@H]3O)CC2)c(Cl)c1 ZINC000361393668 268120250 /nfs/dbraw/zinc/12/02/50/268120250.db2.gz YEUJCJSTOFTYHT-CABCVRRESA-N 1 2 307.781 1.093 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2C[C@H](F)C[N@H+]2Cc2cncnc2)cn1 ZINC000367811641 268156726 /nfs/dbraw/zinc/15/67/26/268156726.db2.gz DUTCJEUBQOZLSB-BBRMVZONSA-N 1 2 312.352 1.768 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2C[C@H](F)C[N@@H+]2Cc2cncnc2)cn1 ZINC000367811641 268156730 /nfs/dbraw/zinc/15/67/30/268156730.db2.gz DUTCJEUBQOZLSB-BBRMVZONSA-N 1 2 312.352 1.768 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCC[C@]3(CNC(=O)O3)C2)c1 ZINC000375564312 268265852 /nfs/dbraw/zinc/26/58/52/268265852.db2.gz JGVYCXZGBSCGTI-INIZCTEOSA-N 1 2 314.345 1.071 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCC[C@]3(CNC(=O)O3)C2)c1 ZINC000375564312 268265853 /nfs/dbraw/zinc/26/58/53/268265853.db2.gz JGVYCXZGBSCGTI-INIZCTEOSA-N 1 2 314.345 1.071 20 30 DDEDLO N#Cc1ccccc1OCC[NH+]1CCN([C@H]2CCOC2)CC1 ZINC000366536751 268281945 /nfs/dbraw/zinc/28/19/45/268281945.db2.gz SWHHIHQAEXICRK-INIZCTEOSA-N 1 2 301.390 1.344 20 30 DDEDLO CCCCOC(=O)[C@@H](C)[NH+]1CCC(n2cnc(C#N)n2)CC1 ZINC000369731467 269645910 /nfs/dbraw/zinc/64/59/10/269645910.db2.gz VEDOWXAFZOHJBA-GFCCVEGCSA-N 1 2 305.382 1.518 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1ccc(F)cc1C#N ZINC000267614841 274219081 /nfs/dbraw/zinc/21/90/81/274219081.db2.gz POUWQRXEQINKQM-LBPRGKRZSA-N 1 2 319.384 1.455 20 30 DDEDLO CCN1CC[NH+]([C@@H]2CCN(c3nc(C)cc(C)c3C#N)C2)CC1 ZINC000106479516 276198899 /nfs/dbraw/zinc/19/88/99/276198899.db2.gz VVSBQAWJPDZXIR-MRXNPFEDSA-N 1 2 313.449 1.786 20 30 DDEDLO CC(C)CCN(CCC#N)N1C[C@@H]([NH+]2CCOCC2)C[C@H]1C ZINC000377064850 278760943 /nfs/dbraw/zinc/76/09/43/278760943.db2.gz WPQIPRRZYGNCNR-SJORKVTESA-N 1 2 308.470 1.958 20 30 DDEDLO CCN(C1CC[NH+](Cc2ccc(C#N)o2)CC1)S(C)(=O)=O ZINC000093320637 279046805 /nfs/dbraw/zinc/04/68/05/279046805.db2.gz ODPVJANWAAYHNA-UHFFFAOYSA-N 1 2 311.407 1.397 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCOC2(CCC2)C1 ZINC000154301381 298135672 /nfs/dbraw/zinc/13/56/72/298135672.db2.gz XJRJXLSCGHLSBS-CQSZACIVSA-N 1 2 305.422 1.972 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCOC2(CCC2)C1 ZINC000154301381 298135675 /nfs/dbraw/zinc/13/56/75/298135675.db2.gz XJRJXLSCGHLSBS-CQSZACIVSA-N 1 2 305.422 1.972 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c(F)c1 ZINC000370806571 301395126 /nfs/dbraw/zinc/39/51/26/301395126.db2.gz UNFUTKTWVMJBMC-GFCCVEGCSA-N 1 2 321.327 1.383 20 30 DDEDLO N#CCC[N@@H+](CC(=O)NC(=O)NCC(F)(F)F)CC1CC1 ZINC000081062964 303152377 /nfs/dbraw/zinc/15/23/77/303152377.db2.gz CSRZVBDCZJREEI-UHFFFAOYSA-N 1 2 306.288 1.000 20 30 DDEDLO N#CCC[N@H+](CC(=O)NC(=O)NCC(F)(F)F)CC1CC1 ZINC000081062964 303152380 /nfs/dbraw/zinc/15/23/80/303152380.db2.gz CSRZVBDCZJREEI-UHFFFAOYSA-N 1 2 306.288 1.000 20 30 DDEDLO N#Cc1ccc(CN2CCN(CCn3cc[nH+]c3)CC2=O)cc1 ZINC000367351833 307091730 /nfs/dbraw/zinc/09/17/30/307091730.db2.gz QNKCUTYEPWFRBT-UHFFFAOYSA-N 1 2 309.373 1.099 20 30 DDEDLO COc1ccnc(N2CC[NH+](Cc3ccc(C#N)cn3)CC2)n1 ZINC000563669055 307978911 /nfs/dbraw/zinc/97/89/11/307978911.db2.gz HUOIZXOKBUQSEH-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO Cc1cc(N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)c(C#N)c[nH+]1 ZINC000572150516 308213593 /nfs/dbraw/zinc/21/35/93/308213593.db2.gz FZQSZOCEAYRDJB-MRXNPFEDSA-N 1 2 313.361 1.076 20 30 DDEDLO CC1(C)C[NH+](CC(=O)Nc2cnn(-c3ncccc3C#N)c2)C1 ZINC000576410463 308313190 /nfs/dbraw/zinc/31/31/90/308313190.db2.gz LKLLUVZIAGKKIR-UHFFFAOYSA-N 1 2 310.361 1.419 20 30 DDEDLO N#Cc1cnc(C(=O)NCC2([NH+]3CCOCC3)CC2)c(Cl)c1 ZINC000577016610 308356690 /nfs/dbraw/zinc/35/66/90/308356690.db2.gz DFXMZHLRWFTXMB-UHFFFAOYSA-N 1 2 320.780 1.201 20 30 DDEDLO C[C@@H](O)C[C@H]1COCCN1Cc1c[nH+]c2ccc(C#N)cn12 ZINC000581575269 325878073 /nfs/dbraw/zinc/87/80/73/325878073.db2.gz IOXWLNZAVKXPAA-OCCSQVGLSA-N 1 2 300.362 1.178 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH2+][C@H](C)c1nc(C)c(C(=O)OCC)s1 ZINC000151740597 332608931 /nfs/dbraw/zinc/60/89/31/332608931.db2.gz WAPSURBSDAGNQP-WDEREUQCSA-N 1 2 323.418 1.417 20 30 DDEDLO C[C@H]1CCC[C@@H](NC(=O)CN2CC[NH+](CCCC#N)CC2)C1 ZINC000066566234 335135146 /nfs/dbraw/zinc/13/51/46/335135146.db2.gz BHKCXCVSAOQCCL-JKSUJKDBSA-N 1 2 306.454 1.603 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCC(=O)Nc2ccccc2F)CC1 ZINC000563361979 333596424 /nfs/dbraw/zinc/59/64/24/333596424.db2.gz WCMCRAMFVSXHDW-UHFFFAOYSA-N 1 2 318.352 1.212 20 30 DDEDLO C[C@@H]1C[C@@H](NS(=O)(=O)c2ccccc2C#N)c2[nH+]ccn21 ZINC000582468189 337093961 /nfs/dbraw/zinc/09/39/61/337093961.db2.gz VYEMQVUEKJFOKA-ZYHUDNBSSA-N 1 2 302.359 1.739 20 30 DDEDLO COCC[N@H+](Cc1cnc2c(C#N)cnn2c1)Cc1ccccn1 ZINC000582486785 337097715 /nfs/dbraw/zinc/09/77/15/337097715.db2.gz RMIJFNZHATZFRK-UHFFFAOYSA-N 1 2 322.372 1.645 20 30 DDEDLO COCC[N@@H+](Cc1cnc2c(C#N)cnn2c1)Cc1ccccn1 ZINC000582486785 337097716 /nfs/dbraw/zinc/09/77/16/337097716.db2.gz RMIJFNZHATZFRK-UHFFFAOYSA-N 1 2 322.372 1.645 20 30 DDEDLO C=C(C)COCCNC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000135010951 337450442 /nfs/dbraw/zinc/45/04/42/337450442.db2.gz MZKAVQFKVUPHKU-OAHLLOKOSA-N 1 2 305.378 1.837 20 30 DDEDLO C=C1CC[NH+]([C@H](C)C(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000264520243 337632792 /nfs/dbraw/zinc/63/27/92/337632792.db2.gz ZVLRPNVLVRUVKR-LLVKDONJSA-N 1 2 300.362 1.835 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000496937925 340016633 /nfs/dbraw/zinc/01/66/33/340016633.db2.gz MMNGNEOTPVEAGZ-OLZOCXBDSA-N 1 2 313.398 1.141 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000496937925 340016634 /nfs/dbraw/zinc/01/66/34/340016634.db2.gz MMNGNEOTPVEAGZ-OLZOCXBDSA-N 1 2 313.398 1.141 20 30 DDEDLO C=CC1CCN(C(=O)C[NH+]2CCN(c3ccccn3)CC2)CC1 ZINC000173301312 340361876 /nfs/dbraw/zinc/36/18/76/340361876.db2.gz NFZPDDJUUZQTLS-UHFFFAOYSA-N 1 2 314.433 1.628 20 30 DDEDLO CC[N@@H+](Cc1ccc(S(=O)(=O)N(C)C)cc1)C[C@@H](C)C#N ZINC000130935639 341054503 /nfs/dbraw/zinc/05/45/03/341054503.db2.gz WAHCVPVKZYHUBY-ZDUSSCGKSA-N 1 2 309.435 1.918 20 30 DDEDLO CC[N@H+](Cc1ccc(S(=O)(=O)N(C)C)cc1)C[C@@H](C)C#N ZINC000130935639 341054504 /nfs/dbraw/zinc/05/45/04/341054504.db2.gz WAHCVPVKZYHUBY-ZDUSSCGKSA-N 1 2 309.435 1.918 20 30 DDEDLO C#CC[N@H+](CC(=O)NC1CCOCC1)Cc1ccc(F)cc1 ZINC000076292974 341205335 /nfs/dbraw/zinc/20/53/35/341205335.db2.gz SCMIJXRPSRFILB-UHFFFAOYSA-N 1 2 304.365 1.556 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC1CCOCC1)Cc1ccc(F)cc1 ZINC000076292974 341205336 /nfs/dbraw/zinc/20/53/36/341205336.db2.gz SCMIJXRPSRFILB-UHFFFAOYSA-N 1 2 304.365 1.556 20 30 DDEDLO CCOC(=O)c1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)nc1C ZINC000574045331 341746869 /nfs/dbraw/zinc/74/68/69/341746869.db2.gz PUMOLYMOAPDKNQ-MRXNPFEDSA-N 1 2 318.377 1.140 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1NC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000656192225 484008639 /nfs/dbraw/zinc/00/86/39/484008639.db2.gz GUBAPLURHDGTMC-KFWWJZLASA-N 1 2 304.394 1.963 20 30 DDEDLO C=C[C@@](C)(O)C(=O)N1CC[NH+](Cc2cc(C)ccc2OC)CC1 ZINC000665479134 484878588 /nfs/dbraw/zinc/87/85/88/484878588.db2.gz RKAKORMJWMZBIA-GOSISDBHSA-N 1 2 318.417 1.585 20 30 DDEDLO C=CC[N@H+](Cc1nc(-c2cc(OC)ncn2)no1)[C@@H](C)COC ZINC000661240996 484995147 /nfs/dbraw/zinc/99/51/47/484995147.db2.gz VBSPDMYUTXSRDN-NSHDSACASA-N 1 2 319.365 1.558 20 30 DDEDLO C=CC[N@@H+](Cc1nc(-c2cc(OC)ncn2)no1)[C@@H](C)COC ZINC000661240996 484995153 /nfs/dbraw/zinc/99/51/53/484995153.db2.gz VBSPDMYUTXSRDN-NSHDSACASA-N 1 2 319.365 1.558 20 30 DDEDLO C=CC[N@H+](Cc1nc(-c2cc(OC)ncn2)no1)[C@H](C)COC ZINC000661240994 484995629 /nfs/dbraw/zinc/99/56/29/484995629.db2.gz VBSPDMYUTXSRDN-LLVKDONJSA-N 1 2 319.365 1.558 20 30 DDEDLO C=CC[N@@H+](Cc1nc(-c2cc(OC)ncn2)no1)[C@H](C)COC ZINC000661240994 484995633 /nfs/dbraw/zinc/99/56/33/484995633.db2.gz VBSPDMYUTXSRDN-LLVKDONJSA-N 1 2 319.365 1.558 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000667157572 485416708 /nfs/dbraw/zinc/41/67/08/485416708.db2.gz CGBNCLLHVXVAOO-QGZVFWFLSA-N 1 2 315.417 1.589 20 30 DDEDLO C=CCOCCNC(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000679504833 485904525 /nfs/dbraw/zinc/90/45/25/485904525.db2.gz AKEQJWPAGCHIED-HNNXBMFYSA-N 1 2 318.421 1.672 20 30 DDEDLO CCOC(=O)[C@H](C)[N@H+](C)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000610987479 500697447 /nfs/dbraw/zinc/69/74/47/500697447.db2.gz FMICTISIMZGFTR-ZFWWWQNUSA-N 1 2 320.389 1.376 20 30 DDEDLO CCOC(=O)[C@H](C)[N@@H+](C)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000610987479 500697450 /nfs/dbraw/zinc/69/74/50/500697450.db2.gz FMICTISIMZGFTR-ZFWWWQNUSA-N 1 2 320.389 1.376 20 30 DDEDLO C[C@H]1COCC[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000328670397 534023665 /nfs/dbraw/zinc/02/36/65/534023665.db2.gz GLASJYAXVQOVAB-DGCLKSJQSA-N 1 2 305.403 1.693 20 30 DDEDLO C[C@H]1COCC[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000328670397 534023671 /nfs/dbraw/zinc/02/36/71/534023671.db2.gz GLASJYAXVQOVAB-DGCLKSJQSA-N 1 2 305.403 1.693 20 30 DDEDLO Cc1cc(NCCNC(=O)NC2CCCCC2)c(C#N)c[nH+]1 ZINC000425242435 534031695 /nfs/dbraw/zinc/03/16/95/534031695.db2.gz CEQBVVSXPIVCOO-UHFFFAOYSA-N 1 2 301.394 1.727 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)N1CCC[C@H](CO)C1 ZINC000330528616 534394828 /nfs/dbraw/zinc/39/48/28/534394828.db2.gz LMCRGRRJYKYUPI-JTQLQIEISA-N 1 2 320.315 1.564 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)N[C@H]2CCSC2)CCO1 ZINC000329988597 534814461 /nfs/dbraw/zinc/81/44/61/534814461.db2.gz ULXVDALKIONJIR-MDZLAQPJSA-N 1 2 310.423 1.649 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCc1cc(OC)c(OC)cc1OC ZINC000339754298 526357996 /nfs/dbraw/zinc/35/79/96/526357996.db2.gz QDLOTDOFCVTELE-UHFFFAOYSA-N 1 2 320.389 1.284 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCc1cc(OC)c(OC)cc1OC ZINC000339754298 526358001 /nfs/dbraw/zinc/35/80/01/526358001.db2.gz QDLOTDOFCVTELE-UHFFFAOYSA-N 1 2 320.389 1.284 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)NCc1cccc(OCCOC)c1 ZINC000339766450 526359039 /nfs/dbraw/zinc/35/90/39/526359039.db2.gz OXFVTRHPNOSVGP-UHFFFAOYSA-N 1 2 304.390 1.283 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)NCc1cccc(OCCOC)c1 ZINC000339766450 526359045 /nfs/dbraw/zinc/35/90/45/526359045.db2.gz OXFVTRHPNOSVGP-UHFFFAOYSA-N 1 2 304.390 1.283 20 30 DDEDLO CNC(=O)NCC(=O)Nc1c[nH+]c(N2CCCC[C@H]2C)c(C)c1 ZINC000330764367 526401315 /nfs/dbraw/zinc/40/13/15/526401315.db2.gz JAMWJIAKLUNEBF-GFCCVEGCSA-N 1 2 319.409 1.841 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@H](C)C(=O)Nc2ccc(C)cc2F)C1 ZINC000330944480 526403203 /nfs/dbraw/zinc/40/32/03/526403203.db2.gz IYBRXGNSUOEVIT-VXGBXAGGSA-N 1 2 322.384 1.669 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@H](C)C(=O)Nc2ccc(C)cc2F)C1 ZINC000330944480 526403206 /nfs/dbraw/zinc/40/32/06/526403206.db2.gz IYBRXGNSUOEVIT-VXGBXAGGSA-N 1 2 322.384 1.669 20 30 DDEDLO C=CCN1CC[C@@H](N2CC[NH+](Cc3cccs3)CC2)C1=O ZINC000337124433 526479840 /nfs/dbraw/zinc/47/98/40/526479840.db2.gz CWFNRJPPTTYJBX-OAHLLOKOSA-N 1 2 305.447 1.653 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(C)[C@H](C)CC(C)C)C1=O ZINC000337200017 526499699 /nfs/dbraw/zinc/49/96/99/526499699.db2.gz BKUVHLFUQPDPNT-CABCVRRESA-N 1 2 309.454 1.598 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(C)[C@H](C)CC(C)C)C1=O ZINC000337200017 526499704 /nfs/dbraw/zinc/49/97/04/526499704.db2.gz BKUVHLFUQPDPNT-CABCVRRESA-N 1 2 309.454 1.598 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NCCCNc1cccc[nH+]1 ZINC000340504747 526511853 /nfs/dbraw/zinc/51/18/53/526511853.db2.gz HXAMCRCSMDEOMM-UHFFFAOYSA-N 1 2 304.394 1.424 20 30 DDEDLO CC(=O)N1CC[NH+](CCNc2c(C#N)cccc2[N+](=O)[O-])CC1 ZINC000425210299 526626718 /nfs/dbraw/zinc/62/67/18/526626718.db2.gz UACMFTHGYORGCU-UHFFFAOYSA-N 1 2 317.349 1.042 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@H](c2ccccc2)[C@@](F)(CO)C1 ZINC000451275859 526635407 /nfs/dbraw/zinc/63/54/07/526635407.db2.gz YLWRTIKPSFMFIX-LXZKKBNFSA-N 1 2 306.381 1.477 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@H](c2ccccc2)[C@@](F)(CO)C1 ZINC000451275859 526635410 /nfs/dbraw/zinc/63/54/10/526635410.db2.gz YLWRTIKPSFMFIX-LXZKKBNFSA-N 1 2 306.381 1.477 20 30 DDEDLO CC(C)(C)[C@@H]1CCN(C([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)C[C@@H]1O ZINC000330277080 526668010 /nfs/dbraw/zinc/66/80/10/526668010.db2.gz NTZQLRNIBHBTCY-MCIONIFRSA-N 1 2 320.437 1.841 20 30 DDEDLO CC(C)(C)[C@@H]1CCN(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C[C@@H]1O ZINC000330277080 526668015 /nfs/dbraw/zinc/66/80/15/526668015.db2.gz NTZQLRNIBHBTCY-MCIONIFRSA-N 1 2 320.437 1.841 20 30 DDEDLO CC(C)(C)[C@H](O)CNC(=O)NCC[N@@H+]1CCOC(C)(C)C1 ZINC000330822745 526711057 /nfs/dbraw/zinc/71/10/57/526711057.db2.gz PNVIUQCZCXDWFX-GFCCVEGCSA-N 1 2 301.431 1.008 20 30 DDEDLO CC(C)(C)[C@H](O)CNC(=O)NCC[N@H+]1CCOC(C)(C)C1 ZINC000330822745 526711062 /nfs/dbraw/zinc/71/10/62/526711062.db2.gz PNVIUQCZCXDWFX-GFCCVEGCSA-N 1 2 301.431 1.008 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@@H](NC([O-])=[NH+][C@H]1[C@@H]3COC[C@@H]31)C2 ZINC000329997152 526813090 /nfs/dbraw/zinc/81/30/90/526813090.db2.gz QAAOZUSCMBOBGO-FJJYHAOUSA-N 1 2 318.421 1.644 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@@H]([NH+]=C([O-])N[C@H]1[C@@H]3COC[C@@H]31)C2 ZINC000329997152 526813096 /nfs/dbraw/zinc/81/30/96/526813096.db2.gz QAAOZUSCMBOBGO-FJJYHAOUSA-N 1 2 318.421 1.644 20 30 DDEDLO C#CCN(CC)CC(=O)Nc1ccc(C[NH+]2CCOCC2)cc1 ZINC000490840853 526897024 /nfs/dbraw/zinc/89/70/24/526897024.db2.gz PVSYHWZIIVLTPW-UHFFFAOYSA-N 1 2 315.417 1.412 20 30 DDEDLO C#CC[N@@H+](CCCO[C@H]1CCCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000491048360 526901912 /nfs/dbraw/zinc/90/19/12/526901912.db2.gz XWMZGIOWMLLZKX-GJZGRUSLSA-N 1 2 315.435 1.042 20 30 DDEDLO C#CC[N@H+](CCCO[C@H]1CCCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000491048360 526901917 /nfs/dbraw/zinc/90/19/17/526901917.db2.gz XWMZGIOWMLLZKX-GJZGRUSLSA-N 1 2 315.435 1.042 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC(C)(C)C)n2C2CC2)CC1 ZINC000491380686 526955560 /nfs/dbraw/zinc/95/55/60/526955560.db2.gz AQNUTZWWQWLZJB-UHFFFAOYSA-N 1 2 301.438 1.957 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2Cc2cccs2)CC1 ZINC000491164918 526956178 /nfs/dbraw/zinc/95/61/78/526956178.db2.gz GSTHOHITATWUCO-UHFFFAOYSA-N 1 2 315.446 1.706 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N(CC)CC)CC1 ZINC000340291897 526986314 /nfs/dbraw/zinc/98/63/14/526986314.db2.gz MNCLQUSBMCZJLQ-UHFFFAOYSA-N 1 2 323.481 1.991 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N(CC)CC)CC1 ZINC000340291897 526986318 /nfs/dbraw/zinc/98/63/18/526986318.db2.gz MNCLQUSBMCZJLQ-UHFFFAOYSA-N 1 2 323.481 1.991 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)N1CC[NH+](CC(=O)N(CC)CC)CC1 ZINC000341536086 527040489 /nfs/dbraw/zinc/04/04/89/527040489.db2.gz VEJHLUUOJJEFQC-OAHLLOKOSA-N 1 2 324.469 1.537 20 30 DDEDLO C=CC[C@@H](Cc1ccccc1)NC(=O)NCC[NH+]1CCOCC1 ZINC000413036399 527109106 /nfs/dbraw/zinc/10/91/06/527109106.db2.gz PLRXSAWYZQCJAQ-KRWDZBQOSA-N 1 2 317.433 1.805 20 30 DDEDLO C=CCc1cc(C)cc(OC)c1OC[C@@H](O)C[NH+]1CCOCC1 ZINC000445488535 527235465 /nfs/dbraw/zinc/23/54/65/527235465.db2.gz WWBJNEBDQJAMDB-INIZCTEOSA-N 1 2 321.417 1.804 20 30 DDEDLO C=CCCCS(=O)(=O)N1CC[NH+](C(C)(C)COC)CC1 ZINC000425212091 527240789 /nfs/dbraw/zinc/24/07/89/527240789.db2.gz ZEBBNDQJBBEREU-UHFFFAOYSA-N 1 2 304.456 1.325 20 30 DDEDLO C#C[C@H](CC)NC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000491784206 527353699 /nfs/dbraw/zinc/35/36/99/527353699.db2.gz OYYXOQMBAQVJAL-CQSZACIVSA-N 1 2 302.378 1.129 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NCCn1c(C)[nH+]c2ccccc21 ZINC000491667903 527418685 /nfs/dbraw/zinc/41/86/85/527418685.db2.gz SBWYXRZIOPMRPJ-AWEZNQCLSA-N 1 2 312.373 1.388 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1CCC(=O)Nc1ccc(N(C)C)cc1 ZINC000491645069 527427404 /nfs/dbraw/zinc/42/74/04/527427404.db2.gz NEYSIMLUCXUZEO-HNNXBMFYSA-N 1 2 301.390 1.415 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1CCC(=O)Nc1ccc(N(C)C)cc1 ZINC000491645069 527427405 /nfs/dbraw/zinc/42/74/05/527427405.db2.gz NEYSIMLUCXUZEO-HNNXBMFYSA-N 1 2 301.390 1.415 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(C(=O)NC[C@@H]2CCCOC2)CC1 ZINC000330241001 527500179 /nfs/dbraw/zinc/50/01/79/527500179.db2.gz FNOSTEPRXPSWGF-LBPRGKRZSA-N 1 2 312.414 1.258 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1[nH+]cnc2c1cnn2-c1ncccn1 ZINC000414211966 527517259 /nfs/dbraw/zinc/51/72/59/527517259.db2.gz PIMWZVZVYVRYIV-NEPJUHHUSA-N 1 2 309.333 1.361 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000342893551 527600929 /nfs/dbraw/zinc/60/09/29/527600929.db2.gz JZGLHJJMJGZATQ-UHFFFAOYSA-N 1 2 324.384 1.689 20 30 DDEDLO CCN(C)C(=O)[C@H](C)NC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000331020114 527768624 /nfs/dbraw/zinc/76/86/24/527768624.db2.gz OIEXGXNBZHUABA-GXTWGEPZSA-N 1 2 320.393 1.316 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@H+](Cc2cccc(F)c2C#N)CC12COC2 ZINC000451143062 527885742 /nfs/dbraw/zinc/88/57/42/527885742.db2.gz WZNBLACZAAXVSI-AWEZNQCLSA-N 1 2 318.348 1.709 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@@H+](Cc2cccc(F)c2C#N)CC12COC2 ZINC000451143062 527885749 /nfs/dbraw/zinc/88/57/49/527885749.db2.gz WZNBLACZAAXVSI-AWEZNQCLSA-N 1 2 318.348 1.709 20 30 DDEDLO CC(C)[C@H]1OCC[C@@H]1CNC(=O)N[C@@H](C)C[NH+]1CCOCC1 ZINC000330325218 527914720 /nfs/dbraw/zinc/91/47/20/527914720.db2.gz JBKSWAGFXHXJAT-RRFJBIMHSA-N 1 2 313.442 1.272 20 30 DDEDLO CCO[C@H](C)c1ncc(C(=O)NC[C@H]2C[N@H+](C)CCO2)s1 ZINC000330906634 528013864 /nfs/dbraw/zinc/01/38/64/528013864.db2.gz DQVTYHFODLYTNR-MNOVXSKESA-N 1 2 313.423 1.876 20 30 DDEDLO CCO[C@H](C)c1ncc(C(=O)NC[C@H]2C[N@@H+](C)CCO2)s1 ZINC000330906634 528013867 /nfs/dbraw/zinc/01/38/67/528013867.db2.gz DQVTYHFODLYTNR-MNOVXSKESA-N 1 2 313.423 1.876 20 30 DDEDLO CCCCNC(=O)NC(=O)CN1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000330963370 528020321 /nfs/dbraw/zinc/02/03/21/528020321.db2.gz CQHQXMVNRRNNCQ-LBPRGKRZSA-N 1 2 307.398 1.419 20 30 DDEDLO CC(C)c1[nH+]c2c(n1C)CCN(C(=O)c1ccc(C#N)n1C)C2 ZINC000331869497 528327055 /nfs/dbraw/zinc/32/70/55/528327055.db2.gz SNGDGJCOLTVUSL-UHFFFAOYSA-N 1 2 311.389 1.952 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@H+](C)CC(C)(C)C#N)CC1 ZINC000433322844 528638328 /nfs/dbraw/zinc/63/83/28/528638328.db2.gz ZEHRLNICSCGTCZ-CYBMUJFWSA-N 1 2 323.437 1.658 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@@H](C)[N@@H+](C)CC(C)(C)C#N)CC1 ZINC000433322844 528638333 /nfs/dbraw/zinc/63/83/33/528638333.db2.gz ZEHRLNICSCGTCZ-CYBMUJFWSA-N 1 2 323.437 1.658 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)NC[C@H]1CCCCS1 ZINC000329669513 529131551 /nfs/dbraw/zinc/13/15/51/529131551.db2.gz SNUCLDYIFFYYPT-CYBMUJFWSA-N 1 2 315.483 1.887 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)NC[C@H]1CCCCS1 ZINC000329669513 529131552 /nfs/dbraw/zinc/13/15/52/529131552.db2.gz SNUCLDYIFFYYPT-CYBMUJFWSA-N 1 2 315.483 1.887 20 30 DDEDLO CC[NH+]1CCN(C[C@H](C)CNC(=O)c2ncc(C)cc2O)CC1 ZINC000330891548 529147473 /nfs/dbraw/zinc/14/74/73/529147473.db2.gz XETXNQPYHWRUGB-CQSZACIVSA-N 1 2 320.437 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCOC2)C(C)(C)C1 ZINC000972850861 695342387 /nfs/dbraw/zinc/34/23/87/695342387.db2.gz BKESDXXKVZSBGV-CHWSQXEVSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCOC2)C(C)(C)C1 ZINC000972850861 695342389 /nfs/dbraw/zinc/34/23/89/695342389.db2.gz BKESDXXKVZSBGV-CHWSQXEVSA-N 1 2 300.830 1.992 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3C=CCC3)C2)C1 ZINC000972247692 695189292 /nfs/dbraw/zinc/18/92/92/695189292.db2.gz GHWWKSKUPPNWCY-SJLPKXTDSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3C=CCC3)C2)C1 ZINC000972247692 695189296 /nfs/dbraw/zinc/18/92/96/695189296.db2.gz GHWWKSKUPPNWCY-SJLPKXTDSA-N 1 2 302.418 1.669 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]([N@H+](C)Cc3ccon3)C2)c1 ZINC000972284103 695204442 /nfs/dbraw/zinc/20/44/42/695204442.db2.gz GWUJVXWDZKOHSM-INIZCTEOSA-N 1 2 310.357 1.397 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H]([N@@H+](C)Cc3ccon3)C2)c1 ZINC000972284103 695204443 /nfs/dbraw/zinc/20/44/43/695204443.db2.gz GWUJVXWDZKOHSM-INIZCTEOSA-N 1 2 310.357 1.397 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@]2(C1)C[N@H+](Cc1cccnc1)CCO2 ZINC000972299044 695210234 /nfs/dbraw/zinc/21/02/34/695210234.db2.gz XKNDEKIIOLMSPJ-PBHICJAKSA-N 1 2 314.389 1.045 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@]2(C1)C[N@@H+](Cc1cccnc1)CCO2 ZINC000972299044 695210235 /nfs/dbraw/zinc/21/02/35/695210235.db2.gz XKNDEKIIOLMSPJ-PBHICJAKSA-N 1 2 314.389 1.045 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccncc3F)C2)C1 ZINC000972309543 695213133 /nfs/dbraw/zinc/21/31/33/695213133.db2.gz BGLONUSOYVWOTN-KRWDZBQOSA-N 1 2 317.364 1.161 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccncc3F)C2)C1 ZINC000972309543 695213134 /nfs/dbraw/zinc/21/31/34/695213134.db2.gz BGLONUSOYVWOTN-KRWDZBQOSA-N 1 2 317.364 1.161 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3coc(CC)n3)C2)C1 ZINC000972353998 695224777 /nfs/dbraw/zinc/22/47/77/695224777.db2.gz VSHHYHZZTCZLCO-MRXNPFEDSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3coc(CC)n3)C2)C1 ZINC000972353998 695224778 /nfs/dbraw/zinc/22/47/78/695224778.db2.gz VSHHYHZZTCZLCO-MRXNPFEDSA-N 1 2 305.378 1.340 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3C[C@@H]3C(F)F)C2)C1 ZINC000972356386 695226311 /nfs/dbraw/zinc/22/63/11/695226311.db2.gz BCJZXUBCOQUNBL-SLEUVZQESA-N 1 2 300.349 1.377 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3C[C@@H]3C(F)F)C2)C1 ZINC000972356386 695226313 /nfs/dbraw/zinc/22/63/13/695226313.db2.gz BCJZXUBCOQUNBL-SLEUVZQESA-N 1 2 300.349 1.377 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)cs3)C2)C1 ZINC000972373159 695233236 /nfs/dbraw/zinc/23/32/36/695233236.db2.gz IPEMFUJVICQXHI-QGZVFWFLSA-N 1 2 318.442 1.997 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)cs3)C2)C1 ZINC000972373159 695233239 /nfs/dbraw/zinc/23/32/39/695233239.db2.gz IPEMFUJVICQXHI-QGZVFWFLSA-N 1 2 318.442 1.997 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@@H]4C=C[C@H]3CC4)C2)C1 ZINC000972392925 695238843 /nfs/dbraw/zinc/23/88/43/695238843.db2.gz OJQQFQMSOMGCHQ-SFNKJDCFSA-N 1 2 314.429 1.525 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@@H]4C=C[C@H]3CC4)C2)C1 ZINC000972392925 695238845 /nfs/dbraw/zinc/23/88/45/695238845.db2.gz OJQQFQMSOMGCHQ-SFNKJDCFSA-N 1 2 314.429 1.525 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCC3(F)F)C2)C1 ZINC000972428983 695248646 /nfs/dbraw/zinc/24/86/46/695248646.db2.gz SVQXJIQERQQREW-DZGCQCFKSA-N 1 2 312.360 1.358 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCC3(F)F)C2)C1 ZINC000972428983 695248648 /nfs/dbraw/zinc/24/86/48/695248648.db2.gz SVQXJIQERQQREW-DZGCQCFKSA-N 1 2 312.360 1.358 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccncc3CC)C2)C1 ZINC000972442173 695252456 /nfs/dbraw/zinc/25/24/56/695252456.db2.gz ZXLKJUJOXLYFGR-SFHVURJKSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccncc3CC)C2)C1 ZINC000972442173 695252458 /nfs/dbraw/zinc/25/24/58/695252458.db2.gz ZXLKJUJOXLYFGR-SFHVURJKSA-N 1 2 315.417 1.747 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3c[nH]nc3C(C)C)C2)C1 ZINC000972445904 695253619 /nfs/dbraw/zinc/25/36/19/695253619.db2.gz FKXSRZNEQFACGI-QGZVFWFLSA-N 1 2 318.421 1.636 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3c[nH]nc3C(C)C)C2)C1 ZINC000972445904 695253621 /nfs/dbraw/zinc/25/36/21/695253621.db2.gz FKXSRZNEQFACGI-QGZVFWFLSA-N 1 2 318.421 1.636 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccon3)C2)C1 ZINC000972465993 695257915 /nfs/dbraw/zinc/25/79/15/695257915.db2.gz NZZNMJVNVAFHCP-INIZCTEOSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccon3)C2)C1 ZINC000972465993 695257917 /nfs/dbraw/zinc/25/79/17/695257917.db2.gz NZZNMJVNVAFHCP-INIZCTEOSA-N 1 2 305.378 1.097 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(C(C)C)CC3)C2)C1 ZINC000972543627 695277797 /nfs/dbraw/zinc/27/77/97/695277797.db2.gz QJTPQTQJEWLZTO-QGZVFWFLSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(C(C)C)CC3)C2)C1 ZINC000972543627 695277798 /nfs/dbraw/zinc/27/77/98/695277798.db2.gz QJTPQTQJEWLZTO-QGZVFWFLSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(F)cc3)C2)C1 ZINC000972662122 695312440 /nfs/dbraw/zinc/31/24/40/695312440.db2.gz MTIZSEBXLCFNLT-GOSISDBHSA-N 1 2 316.376 1.305 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(F)cc3)C2)C1 ZINC000972662122 695312441 /nfs/dbraw/zinc/31/24/41/695312441.db2.gz MTIZSEBXLCFNLT-GOSISDBHSA-N 1 2 316.376 1.305 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2cncnc2)C(C)(C)C1 ZINC000974524055 695682871 /nfs/dbraw/zinc/68/28/71/695682871.db2.gz JSFYGVAZXUMSKU-ZDUSSCGKSA-N 1 2 308.813 1.598 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2cncnc2)C(C)(C)C1 ZINC000974524055 695682872 /nfs/dbraw/zinc/68/28/72/695682872.db2.gz JSFYGVAZXUMSKU-ZDUSSCGKSA-N 1 2 308.813 1.598 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[N@@H+](Cc3cnnn3C)CC2(C)C)C1 ZINC000975011082 695777148 /nfs/dbraw/zinc/77/71/48/695777148.db2.gz FCDZTRLIKQHBJB-AWEZNQCLSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[N@H+](Cc3cnnn3C)CC2(C)C)C1 ZINC000975011082 695777150 /nfs/dbraw/zinc/77/71/50/695777150.db2.gz FCDZTRLIKQHBJB-AWEZNQCLSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2nonc2C)C(C)(C)C1 ZINC000977419121 696153586 /nfs/dbraw/zinc/15/35/86/696153586.db2.gz FBSZKHZAMHXRNR-LBPRGKRZSA-N 1 2 312.801 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2nonc2C)C(C)(C)C1 ZINC000977419121 696153587 /nfs/dbraw/zinc/15/35/87/696153587.db2.gz FBSZKHZAMHXRNR-LBPRGKRZSA-N 1 2 312.801 1.500 20 30 DDEDLO CC1CCC(N(C)C(=O)CO[NH+]=C(N)Cc2cccnc2)CC1 ZINC000092916355 696598361 /nfs/dbraw/zinc/59/83/61/696598361.db2.gz YOVDAAKHIZZHKV-UHFFFAOYSA-N 1 2 318.421 1.950 20 30 DDEDLO C#CCOc1ccccc1C[NH+]1CCN(Cc2nccn2C)CC1 ZINC000093131911 696599240 /nfs/dbraw/zinc/59/92/40/696599240.db2.gz CTRJBBVNNPKGMW-UHFFFAOYSA-N 1 2 324.428 1.750 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)C[C@@H]2CCCC(=O)N2)CC1 ZINC000981589651 696830712 /nfs/dbraw/zinc/83/07/12/696830712.db2.gz VSWPBYBHPCFWAV-ZDUSSCGKSA-N 1 2 313.829 1.332 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)C[C@@H]2CCCC(=O)N2)CC1 ZINC000981589651 696830716 /nfs/dbraw/zinc/83/07/16/696830716.db2.gz VSWPBYBHPCFWAV-ZDUSSCGKSA-N 1 2 313.829 1.332 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)[C@@H]2CCNC(=O)CC2)CC1 ZINC000981694067 696878016 /nfs/dbraw/zinc/87/80/16/696878016.db2.gz ZICZDYAUYQYWFE-ZDUSSCGKSA-N 1 2 313.829 1.190 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)[C@@H]2CCNC(=O)CC2)CC1 ZINC000981694067 696878018 /nfs/dbraw/zinc/87/80/18/696878018.db2.gz ZICZDYAUYQYWFE-ZDUSSCGKSA-N 1 2 313.829 1.190 20 30 DDEDLO C=CCNC(=O)[C@@H](C)OC(=O)[C@H]([NH2+]C1CCOCC1)C(C)C ZINC000748472871 700120460 /nfs/dbraw/zinc/12/04/60/700120460.db2.gz SQEVDBGPUINAJK-TZMCWYRMSA-N 1 2 312.410 1.014 20 30 DDEDLO C=C(C)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000981164751 697023675 /nfs/dbraw/zinc/02/36/75/697023675.db2.gz FYQOCXFXBYCLHQ-CQSZACIVSA-N 1 2 302.422 1.940 20 30 DDEDLO C=C(C)C[N@H+]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000981164751 697023678 /nfs/dbraw/zinc/02/36/78/697023678.db2.gz FYQOCXFXBYCLHQ-CQSZACIVSA-N 1 2 302.422 1.940 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)C[C@H](C)n2ccnc2CC)CC1 ZINC000981197866 697031564 /nfs/dbraw/zinc/03/15/64/697031564.db2.gz PZXXDEREDPYWJR-HNNXBMFYSA-N 1 2 302.422 1.564 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)C[C@H](C)n2ccnc2CC)CC1 ZINC000981197866 697031567 /nfs/dbraw/zinc/03/15/67/697031567.db2.gz PZXXDEREDPYWJR-HNNXBMFYSA-N 1 2 302.422 1.564 20 30 DDEDLO CN(CC(F)(F)F)C(=O)CO[NH+]=C(N)c1ccc(F)cc1 ZINC000748779980 700134796 /nfs/dbraw/zinc/13/47/96/700134796.db2.gz ICHIVMFRRGGIKQ-UHFFFAOYSA-N 1 2 307.247 1.483 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@H+](C)CCCCS(C)(=O)=O)c1 ZINC000161498062 697323742 /nfs/dbraw/zinc/32/37/42/697323742.db2.gz CVDNNQRNDQWOQU-UHFFFAOYSA-N 1 2 322.430 1.363 20 30 DDEDLO C#Cc1cccc(NC(=O)C[N@@H+](C)CCCCS(C)(=O)=O)c1 ZINC000161498062 697323743 /nfs/dbraw/zinc/32/37/43/697323743.db2.gz CVDNNQRNDQWOQU-UHFFFAOYSA-N 1 2 322.430 1.363 20 30 DDEDLO CCSCCON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000161455212 697323757 /nfs/dbraw/zinc/32/37/57/697323757.db2.gz HBLZOKGYEHHSKM-HNNXBMFYSA-N 1 2 323.462 1.929 20 30 DDEDLO CCSCCON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000161455212 697323759 /nfs/dbraw/zinc/32/37/59/697323759.db2.gz HBLZOKGYEHHSKM-HNNXBMFYSA-N 1 2 323.462 1.929 20 30 DDEDLO CC(C)c1nnc(C[N@H+](C)C2CCN(C(=O)[C@H](C)C#N)CC2)[nH]1 ZINC000985338491 697493412 /nfs/dbraw/zinc/49/34/12/697493412.db2.gz JDOOZEPNPAWMBY-GFCCVEGCSA-N 1 2 318.425 1.511 20 30 DDEDLO CC(C)c1nnc(C[N@@H+](C)C2CCN(C(=O)[C@H](C)C#N)CC2)[nH]1 ZINC000985338491 697493413 /nfs/dbraw/zinc/49/34/13/697493413.db2.gz JDOOZEPNPAWMBY-GFCCVEGCSA-N 1 2 318.425 1.511 20 30 DDEDLO CCc1nnc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)[C@H]2C)o1 ZINC000986287889 697768376 /nfs/dbraw/zinc/76/83/76/697768376.db2.gz SROABWKZFTXQOP-AAEUAGOBSA-N 1 2 302.378 1.124 20 30 DDEDLO N#Cc1ccc(OCCC[N@H+]2CCSC[C@H]2C(N)=O)cc1 ZINC000773571149 697783025 /nfs/dbraw/zinc/78/30/25/697783025.db2.gz PPNRAYPZTVXBES-AWEZNQCLSA-N 1 2 305.403 1.230 20 30 DDEDLO N#Cc1ccc(OCCC[N@@H+]2CCSC[C@H]2C(N)=O)cc1 ZINC000773571149 697783027 /nfs/dbraw/zinc/78/30/27/697783027.db2.gz PPNRAYPZTVXBES-AWEZNQCLSA-N 1 2 305.403 1.230 20 30 DDEDLO C[C@H]1[C@H](NCC#N)CCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000986611581 697851791 /nfs/dbraw/zinc/85/17/91/697851791.db2.gz WKACJMUIENVRAU-XJKSGUPXSA-N 1 2 309.373 1.588 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc(C)n([C@@H](C)C3CC3)n2)CC1 ZINC000777377106 698183990 /nfs/dbraw/zinc/18/39/90/698183990.db2.gz BPAQJHOLNWWKHY-HNNXBMFYSA-N 1 2 314.433 1.944 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@H+](C[C@H](C)O)C[C@H]1C ZINC000249454624 698392350 /nfs/dbraw/zinc/39/23/50/698392350.db2.gz GEOQYBGNHFLPLO-CABCVRRESA-N 1 2 320.499 1.674 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@@H+](C[C@H](C)O)C[C@H]1C ZINC000249454624 698392354 /nfs/dbraw/zinc/39/23/54/698392354.db2.gz GEOQYBGNHFLPLO-CABCVRRESA-N 1 2 320.499 1.674 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@H+](C[C@@H](C)O)C[C@H]1C ZINC000249454630 698392373 /nfs/dbraw/zinc/39/23/73/698392373.db2.gz GEOQYBGNHFLPLO-HUUCEWRRSA-N 1 2 320.499 1.674 20 30 DDEDLO CCCCCCCS(=O)(=O)N1CC[N@@H+](C[C@@H](C)O)C[C@H]1C ZINC000249454630 698392378 /nfs/dbraw/zinc/39/23/78/698392378.db2.gz GEOQYBGNHFLPLO-HUUCEWRRSA-N 1 2 320.499 1.674 20 30 DDEDLO N#CCOc1cccc(CC(=O)N[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000779098050 698395811 /nfs/dbraw/zinc/39/58/11/698395811.db2.gz ZLSOLOKKDZTHAA-AWEZNQCLSA-N 1 2 310.357 1.459 20 30 DDEDLO COCC#CCON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000779646063 698447458 /nfs/dbraw/zinc/44/74/58/698447458.db2.gz KSKLNYVHXLAIRA-MRXNPFEDSA-N 1 2 317.389 1.036 20 30 DDEDLO COCC#CCON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000779646063 698447461 /nfs/dbraw/zinc/44/74/61/698447461.db2.gz KSKLNYVHXLAIRA-MRXNPFEDSA-N 1 2 317.389 1.036 20 30 DDEDLO Cc1nn(Cc2ccccc2)c(C)c1C=NNC1=[NH+]C[C@H](C)N1 ZINC000779801489 698468063 /nfs/dbraw/zinc/46/80/63/698468063.db2.gz UOAHZAQHKQWEAD-LBPRGKRZSA-N 1 2 310.405 1.819 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]([NH2+]Cc3nc(C)no3)C[C@H]2C)cc1 ZINC000989274499 698574195 /nfs/dbraw/zinc/57/41/95/698574195.db2.gz KSNILPBNTKSDRO-WBMJQRKESA-N 1 2 324.384 1.752 20 30 DDEDLO COC(=O)[C@H](C)Oc1ccc(C=NNc2[nH+]ccn2C)cc1 ZINC000790300037 699452800 /nfs/dbraw/zinc/45/28/00/699452800.db2.gz OBJWUCBFNJDWGD-NSHDSACASA-N 1 2 302.334 1.806 20 30 DDEDLO Cc1c(C=[NH+][N-]c2[nH+]ccn2C)c(=O)n(-c2ccccc2)n1C ZINC000790305244 699452946 /nfs/dbraw/zinc/45/29/46/699452946.db2.gz GMZLAJUVLFPVOX-UHFFFAOYSA-N 1 2 310.361 1.664 20 30 DDEDLO COc1cc(C=NNc2[nH+]ccn2C)cc(OC)c1OC(C)=O ZINC000790306300 699453082 /nfs/dbraw/zinc/45/30/82/699453082.db2.gz WSRFDVZLRUCFGA-UHFFFAOYSA-N 1 2 318.333 1.809 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(C(N)=O)c(Cl)c1 ZINC000732109632 699546861 /nfs/dbraw/zinc/54/68/61/699546861.db2.gz KAUZXMPIKFNFOS-ZDUSSCGKSA-N 1 2 305.765 1.475 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(C(N)=O)c(Cl)c1 ZINC000732109632 699546863 /nfs/dbraw/zinc/54/68/63/699546863.db2.gz KAUZXMPIKFNFOS-ZDUSSCGKSA-N 1 2 305.765 1.475 20 30 DDEDLO C=CCNC(=O)COC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccc1 ZINC000732356172 699557102 /nfs/dbraw/zinc/55/71/02/699557102.db2.gz GCEWQNNKUXHQOM-HNNXBMFYSA-N 1 2 302.374 1.496 20 30 DDEDLO C=CCNC(=O)COC(=O)[C@@H]1CCC[N@H+]1Cc1ccccc1 ZINC000732356172 699557103 /nfs/dbraw/zinc/55/71/03/699557103.db2.gz GCEWQNNKUXHQOM-HNNXBMFYSA-N 1 2 302.374 1.496 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@](C)(O)c1ccc(F)cc1F ZINC000734024077 699633317 /nfs/dbraw/zinc/63/33/17/699633317.db2.gz WSUSVSITAXGJPG-RDJZCZTQSA-N 1 2 322.355 1.386 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@](C)(O)c1ccc(F)cc1F ZINC000734024077 699633320 /nfs/dbraw/zinc/63/33/20/699633320.db2.gz WSUSVSITAXGJPG-RDJZCZTQSA-N 1 2 322.355 1.386 20 30 DDEDLO N#Cc1ccc([C@H](C(N)=O)[NH+]2CCC(n3cccn3)CC2)cc1 ZINC000734486182 699652760 /nfs/dbraw/zinc/65/27/60/699652760.db2.gz HBLMMFJYHASGRD-MRXNPFEDSA-N 1 2 309.373 1.618 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+](C)[C@H]1CC(C)(C)OC1=O ZINC000795696599 699884518 /nfs/dbraw/zinc/88/45/18/699884518.db2.gz GDUAYSXTLHHLEN-ZDUSSCGKSA-N 1 2 318.373 1.972 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+](C)[C@H]1CC(C)(C)OC1=O ZINC000795696599 699884521 /nfs/dbraw/zinc/88/45/21/699884521.db2.gz GDUAYSXTLHHLEN-ZDUSSCGKSA-N 1 2 318.373 1.972 20 30 DDEDLO C#C[C@H](C)OC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000796729486 699949413 /nfs/dbraw/zinc/94/94/13/699949413.db2.gz YCOBNGZFGVGDMA-WOSRLPQWSA-N 1 2 317.389 1.675 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC000801909455 700351598 /nfs/dbraw/zinc/35/15/98/700351598.db2.gz CECFTDCPCUNBIS-CYBMUJFWSA-N 1 2 305.353 1.512 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000801910619 700351891 /nfs/dbraw/zinc/35/18/91/700351891.db2.gz NKYGQFPHDUHJSO-LBPRGKRZSA-N 1 2 323.343 1.651 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)Cc1ccc(Br)c(F)c1 ZINC000755195515 700555687 /nfs/dbraw/zinc/55/56/87/700555687.db2.gz NQOOAPFHCJUJBC-UHFFFAOYSA-N 1 2 313.170 1.769 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)Cc1ccc(Br)c(F)c1 ZINC000755195515 700555690 /nfs/dbraw/zinc/55/56/90/700555690.db2.gz NQOOAPFHCJUJBC-UHFFFAOYSA-N 1 2 313.170 1.769 20 30 DDEDLO Cc1cccc(CN[NH+]=Cc2cnc(N3CCN(C)CC3)nc2)c1 ZINC000755774013 700583429 /nfs/dbraw/zinc/58/34/29/700583429.db2.gz OHRXKZJHJMOOBT-UHFFFAOYSA-N 1 2 324.432 1.661 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CCc2ccc(C(C)=O)cc2CC1 ZINC000756076078 700602354 /nfs/dbraw/zinc/60/23/54/700602354.db2.gz ZZGSWUJEMBSJDC-IBGZPJMESA-N 1 2 324.424 1.914 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCc2ccc(C(C)=O)cc2CC1 ZINC000756076078 700602356 /nfs/dbraw/zinc/60/23/56/700602356.db2.gz ZZGSWUJEMBSJDC-IBGZPJMESA-N 1 2 324.424 1.914 20 30 DDEDLO COC(=O)[C@@H]1CC12CC[NH+](Cn1ccc(C)c(C#N)c1=O)CC2 ZINC000758222729 700691271 /nfs/dbraw/zinc/69/12/71/700691271.db2.gz KULMNXBOICBMJZ-AWEZNQCLSA-N 1 2 315.373 1.261 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1CC(=O)N(CN2CCCC(C)(C)[C@H]2C#N)C1 ZINC000766113908 701038177 /nfs/dbraw/zinc/03/81/77/701038177.db2.gz URNRKPXHBIHJGY-DZGCQCFKSA-N 1 2 315.421 1.708 20 30 DDEDLO C#CC[N@H+](C[C@@H]1CCOc2ccccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000768932455 701217407 /nfs/dbraw/zinc/21/74/07/701217407.db2.gz TVIXWRRWUWTFEY-LSDHHAIUSA-N 1 2 319.426 1.675 20 30 DDEDLO C#CC[N@@H+](C[C@@H]1CCOc2ccccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000768932455 701217410 /nfs/dbraw/zinc/21/74/10/701217410.db2.gz TVIXWRRWUWTFEY-LSDHHAIUSA-N 1 2 319.426 1.675 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000808077550 701496530 /nfs/dbraw/zinc/49/65/30/701496530.db2.gz KVRJJAMJTZZXCS-CQSZACIVSA-N 1 2 303.406 1.351 20 30 DDEDLO C=CCC(F)(F)C(=O)N[C@@H]1CCCC[C@H]1[NH+]1CCOCC1 ZINC000815820821 701940891 /nfs/dbraw/zinc/94/08/91/701940891.db2.gz ZSIDVGSMGRTZSP-CHWSQXEVSA-N 1 2 302.365 1.957 20 30 DDEDLO C=CCN1CCN(C[N@H+]2C[C@H](C)O[C@]3(CCO[C@@H](C)C3)C2)C1=O ZINC000840065206 701948161 /nfs/dbraw/zinc/94/81/61/701948161.db2.gz QRCTUDYBJNVHHJ-ZOBUZTSGSA-N 1 2 323.437 1.526 20 30 DDEDLO C=CCN1CCN(C[N@@H+]2C[C@H](C)O[C@]3(CCO[C@@H](C)C3)C2)C1=O ZINC000840065206 701948163 /nfs/dbraw/zinc/94/81/63/701948163.db2.gz QRCTUDYBJNVHHJ-ZOBUZTSGSA-N 1 2 323.437 1.526 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@@H](CNc3ccnc(C#N)n3)C2)cc1 ZINC000866189543 706643911 /nfs/dbraw/zinc/64/39/11/706643911.db2.gz BJKOBVTVCISGFG-INIZCTEOSA-N 1 2 323.400 1.392 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@@H](CNc3ccnc(C#N)n3)C2)cc1 ZINC000866189543 706643913 /nfs/dbraw/zinc/64/39/13/706643913.db2.gz BJKOBVTVCISGFG-INIZCTEOSA-N 1 2 323.400 1.392 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCO[C@H](CNc3cncc(C#N)n3)C2)cc1 ZINC000866191165 706644480 /nfs/dbraw/zinc/64/44/80/706644480.db2.gz WLCLNBVMSBPXHM-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO Cc1ccc(C[N@H+]2CCO[C@H](CNc3cncc(C#N)n3)C2)cc1 ZINC000866191165 706644484 /nfs/dbraw/zinc/64/44/84/706644484.db2.gz WLCLNBVMSBPXHM-QGZVFWFLSA-N 1 2 323.400 1.970 20 30 DDEDLO C[C@H]([NH2+]CCCN1CCCS1(=O)=O)c1cccc(C#N)c1O ZINC000866293090 706667077 /nfs/dbraw/zinc/66/70/77/706667077.db2.gz CHLUBAZOAMXNSA-LBPRGKRZSA-N 1 2 323.418 1.340 20 30 DDEDLO Cc1cccn2cc(CCNC(=O)[C@]3(C#N)CCCOC3)[nH+]c12 ZINC000866297076 706668367 /nfs/dbraw/zinc/66/83/67/706668367.db2.gz GMTVEWJJNOOBLU-KRWDZBQOSA-N 1 2 312.373 1.622 20 30 DDEDLO CCOC(=O)c1noc(C[N@H+](C)CCc2cccc(C#N)c2)n1 ZINC000879433014 706678730 /nfs/dbraw/zinc/67/87/30/706678730.db2.gz SHHFWZKBMYFRGK-UHFFFAOYSA-N 1 2 314.345 1.792 20 30 DDEDLO CCOC(=O)c1noc(C[N@@H+](C)CCc2cccc(C#N)c2)n1 ZINC000879433014 706678732 /nfs/dbraw/zinc/67/87/32/706678732.db2.gz SHHFWZKBMYFRGK-UHFFFAOYSA-N 1 2 314.345 1.792 20 30 DDEDLO CC(C)(C)N1CC[C@H](O[NH+]=C(N)c2ccc3c(c2)CCO3)C1=O ZINC000818079984 702573005 /nfs/dbraw/zinc/57/30/05/702573005.db2.gz LIHKSCBZHCVQPH-AWEZNQCLSA-N 1 2 317.389 1.658 20 30 DDEDLO CC(C)(C)OC(=O)C(=O)N1CC[NH+]([C@H]2CC[C@H](C#N)C2)CC1 ZINC000844340305 703017611 /nfs/dbraw/zinc/01/76/11/703017611.db2.gz BBUBNQYWHIWUOI-STQMWFEESA-N 1 2 307.394 1.165 20 30 DDEDLO COc1ccc(CNC(=O)Cc2cn3c([nH+]2)CCCC3)cc1C#N ZINC000845851230 703209398 /nfs/dbraw/zinc/20/93/98/703209398.db2.gz VJXVBZBNLXXHFY-UHFFFAOYSA-N 1 2 324.384 1.959 20 30 DDEDLO C[C@H]1C[N@H+](CCN2CCN(c3ccc(C#N)cc3)CC2)CCO1 ZINC000879586181 706728749 /nfs/dbraw/zinc/72/87/49/706728749.db2.gz HARBETZXKQXHJK-INIZCTEOSA-N 1 2 314.433 1.401 20 30 DDEDLO C[C@H]1C[N@@H+](CCN2CCN(c3ccc(C#N)cc3)CC2)CCO1 ZINC000879586181 706728751 /nfs/dbraw/zinc/72/87/51/706728751.db2.gz HARBETZXKQXHJK-INIZCTEOSA-N 1 2 314.433 1.401 20 30 DDEDLO C#CCCCCCC(=O)N[C@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000846121635 703240341 /nfs/dbraw/zinc/24/03/41/703240341.db2.gz LBBULAQUKOTGIS-HNNXBMFYSA-N 1 2 317.433 1.741 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000847022306 703366917 /nfs/dbraw/zinc/36/69/17/703366917.db2.gz UCJIFTIYYPFMPG-AWEZNQCLSA-N 1 2 302.399 1.677 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000847022306 703366921 /nfs/dbraw/zinc/36/69/21/703366921.db2.gz UCJIFTIYYPFMPG-AWEZNQCLSA-N 1 2 302.399 1.677 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)C[C@@H]2CCC2(F)F)CC1 ZINC000866598565 706741124 /nfs/dbraw/zinc/74/11/24/706741124.db2.gz IDAFLXWNFZGHIT-LBPRGKRZSA-N 1 2 306.378 1.002 20 30 DDEDLO C#C[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3[nH+]c(C)cn3c2)C1 ZINC000847561461 703436879 /nfs/dbraw/zinc/43/68/79/703436879.db2.gz AOJOFDARDZNHLS-CYBMUJFWSA-N 1 2 310.357 1.453 20 30 DDEDLO C=CCCn1cc(CO[NH+]=C(N)c2ccc(OC)cc2)nn1 ZINC000848510619 703559640 /nfs/dbraw/zinc/55/96/40/703559640.db2.gz VHPWVPDTGFGCPT-UHFFFAOYSA-N 1 2 301.350 1.700 20 30 DDEDLO C#CCOCC[NH+]1CCN(C(=O)c2ccc(C)c(OC)c2)CC1 ZINC000851729719 703839437 /nfs/dbraw/zinc/83/94/37/703839437.db2.gz FRZVTSBZPYUROI-UHFFFAOYSA-N 1 2 316.401 1.411 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000852263404 703991074 /nfs/dbraw/zinc/99/10/74/703991074.db2.gz RNBPSVVNCIBPHX-NVXWUHKLSA-N 1 2 314.433 1.124 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000852263404 703991075 /nfs/dbraw/zinc/99/10/75/703991075.db2.gz RNBPSVVNCIBPHX-NVXWUHKLSA-N 1 2 314.433 1.124 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@@H+](C[C@@H](O)Cn2cccn2)C1 ZINC000852328630 704013544 /nfs/dbraw/zinc/01/35/44/704013544.db2.gz JKBYNCDVJZZLDI-WBVHZDCISA-N 1 2 311.389 1.196 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@H+](C[C@@H](O)Cn2cccn2)C1 ZINC000852328630 704013548 /nfs/dbraw/zinc/01/35/48/704013548.db2.gz JKBYNCDVJZZLDI-WBVHZDCISA-N 1 2 311.389 1.196 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1ccccc1C(N)=O)[C@H](C)COC ZINC000852356907 704022746 /nfs/dbraw/zinc/02/27/46/704022746.db2.gz RGRBJYCIDDRVGN-GFCCVEGCSA-N 1 2 305.378 1.247 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1ccccc1C(N)=O)[C@H](C)COC ZINC000852356907 704022750 /nfs/dbraw/zinc/02/27/50/704022750.db2.gz RGRBJYCIDDRVGN-GFCCVEGCSA-N 1 2 305.378 1.247 20 30 DDEDLO C[C@@H](C#N)OCC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)C(F)(F)F)C1 ZINC000852756417 704116097 /nfs/dbraw/zinc/11/60/97/704116097.db2.gz IGCUEMBQWZSQHB-VWYCJHECSA-N 1 2 307.316 1.304 20 30 DDEDLO C[C@@H](C#N)OCC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)C(F)(F)F)C1 ZINC000852756417 704116098 /nfs/dbraw/zinc/11/60/98/704116098.db2.gz IGCUEMBQWZSQHB-VWYCJHECSA-N 1 2 307.316 1.304 20 30 DDEDLO CCOc1ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc1OC ZINC000819396033 704119871 /nfs/dbraw/zinc/11/98/71/704119871.db2.gz WJOZZDLTRALQFJ-AWEZNQCLSA-N 1 2 307.394 1.712 20 30 DDEDLO CCOc1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc1OC ZINC000819396033 704119872 /nfs/dbraw/zinc/11/98/72/704119872.db2.gz WJOZZDLTRALQFJ-AWEZNQCLSA-N 1 2 307.394 1.712 20 30 DDEDLO C=CC[N@H+](CN1C(=O)[C@]2(CCCO2)[C@@H]1C(C)C)[C@H](C)COC ZINC000853533885 704261720 /nfs/dbraw/zinc/26/17/20/704261720.db2.gz CTJHDQFPDQYUJW-VYDXJSESSA-N 1 2 310.438 1.883 20 30 DDEDLO C=CC[N@@H+](CN1C(=O)[C@]2(CCCO2)[C@@H]1C(C)C)[C@H](C)COC ZINC000853533885 704261721 /nfs/dbraw/zinc/26/17/21/704261721.db2.gz CTJHDQFPDQYUJW-VYDXJSESSA-N 1 2 310.438 1.883 20 30 DDEDLO COc1cc(C(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)ccc1C#N ZINC000854830380 704457439 /nfs/dbraw/zinc/45/74/39/704457439.db2.gz WLVAKZJVCNWGDW-GASCZTMLSA-N 1 2 315.373 1.160 20 30 DDEDLO COc1cc(C(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)ccc1C#N ZINC000854830380 704457440 /nfs/dbraw/zinc/45/74/40/704457440.db2.gz WLVAKZJVCNWGDW-GASCZTMLSA-N 1 2 315.373 1.160 20 30 DDEDLO CON=Cc1ccc(C(=O)NCC[N@@H+]2C[C@@H](C)OC[C@H]2C)cc1 ZINC000855413141 704484139 /nfs/dbraw/zinc/48/41/39/704484139.db2.gz HHGHBISKEHHJGS-ZIAGYGMSSA-N 1 2 319.405 1.506 20 30 DDEDLO CON=Cc1ccc(C(=O)NCC[N@H+]2C[C@@H](C)OC[C@H]2C)cc1 ZINC000855413141 704484140 /nfs/dbraw/zinc/48/41/40/704484140.db2.gz HHGHBISKEHHJGS-ZIAGYGMSSA-N 1 2 319.405 1.506 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000855496075 704488092 /nfs/dbraw/zinc/48/80/92/704488092.db2.gz SAMOHEDSHMHLAG-ZDUSSCGKSA-N 1 2 317.389 1.249 20 30 DDEDLO C#CC[NH+]1CCN(c2ccnc(Cc3ccc(F)cc3)n2)CC1 ZINC000856722188 704535303 /nfs/dbraw/zinc/53/53/03/704535303.db2.gz OVWKYSAYVVNYPK-UHFFFAOYSA-N 1 2 310.376 1.962 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000858858637 704764991 /nfs/dbraw/zinc/76/49/91/704764991.db2.gz NEQKXMVZOXJQGU-RBSFLKMASA-N 1 2 318.421 1.524 20 30 DDEDLO C#CC[C@H](CCOC)Nc1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000858858637 704764992 /nfs/dbraw/zinc/76/49/92/704764992.db2.gz NEQKXMVZOXJQGU-RBSFLKMASA-N 1 2 318.421 1.524 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000859018855 704785430 /nfs/dbraw/zinc/78/54/30/704785430.db2.gz DPWVECGKYKKXNE-GOEBONIOSA-N 1 2 317.389 1.673 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000859036120 704788961 /nfs/dbraw/zinc/78/89/61/704788961.db2.gz QQAZDPDSDLCOBX-KBPBESRZSA-N 1 2 304.394 1.347 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](C/C=C/c2ccccc2)CC1 ZINC000822182959 704891716 /nfs/dbraw/zinc/89/17/16/704891716.db2.gz OHCATPWVKVGAGP-PYUISTEWSA-N 1 2 312.413 1.882 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000822681332 705006022 /nfs/dbraw/zinc/00/60/22/705006022.db2.gz ZFESJENVBUMSPT-WDEREUQCSA-N 1 2 303.284 1.267 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)CNc1ccc(C#N)cc1 ZINC000874703630 705136939 /nfs/dbraw/zinc/13/69/39/705136939.db2.gz SHFFYNUYPUKCMU-MRXNPFEDSA-N 1 2 316.405 1.197 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)CNc1ccc(C#N)cc1 ZINC000874703630 705136940 /nfs/dbraw/zinc/13/69/40/705136940.db2.gz SHFFYNUYPUKCMU-MRXNPFEDSA-N 1 2 316.405 1.197 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)c1cc(O)cc(C#N)c1 ZINC000874708400 705142440 /nfs/dbraw/zinc/14/24/40/705142440.db2.gz UECPKJMPZVEPFB-AWEZNQCLSA-N 1 2 303.362 1.104 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)c1cc(O)cc(C#N)c1 ZINC000874708400 705142441 /nfs/dbraw/zinc/14/24/41/705142441.db2.gz UECPKJMPZVEPFB-AWEZNQCLSA-N 1 2 303.362 1.104 20 30 DDEDLO C=C(C)C[N@@H+](CC)[C@H](C(=O)OC)c1cccc(-c2nn[nH]n2)c1 ZINC000823878348 705333019 /nfs/dbraw/zinc/33/30/19/705333019.db2.gz WCFVWIXKPTZIDX-AWEZNQCLSA-N 1 2 315.377 1.979 20 30 DDEDLO C=C(C)C[N@H+](CC)[C@H](C(=O)OC)c1cccc(-c2nn[nH]n2)c1 ZINC000823878348 705333023 /nfs/dbraw/zinc/33/30/23/705333023.db2.gz WCFVWIXKPTZIDX-AWEZNQCLSA-N 1 2 315.377 1.979 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2ccc3c(c2)OCCO3)CC1 ZINC000824861755 705543990 /nfs/dbraw/zinc/54/39/90/705543990.db2.gz PQIVGDFARPZEJD-UHFFFAOYSA-N 1 2 316.357 1.737 20 30 DDEDLO CCOC(=O)c1cccc(C=NNCCCn2cc[nH+]c2)n1 ZINC000863127114 705863833 /nfs/dbraw/zinc/86/38/33/705863833.db2.gz UKQQYRPMGKBMBA-UHFFFAOYSA-N 1 2 301.350 1.469 20 30 DDEDLO C#CC[N@@H+](CC[C@H]1CCCC1(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000878237683 706334750 /nfs/dbraw/zinc/33/47/50/706334750.db2.gz OHGXBALDAMHKPE-OLZOCXBDSA-N 1 2 305.390 1.934 20 30 DDEDLO C#CC[N@H+](CC[C@H]1CCCC1(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000878237683 706334751 /nfs/dbraw/zinc/33/47/51/706334751.db2.gz OHGXBALDAMHKPE-OLZOCXBDSA-N 1 2 305.390 1.934 20 30 DDEDLO N#Cc1ccc(CNC(=O)/C=C/C[NH+]2CCOCC2)c(F)c1 ZINC000865182683 706373506 /nfs/dbraw/zinc/37/35/06/706373506.db2.gz KZSUSGNUMYHONO-OWOJBTEDSA-N 1 2 303.337 1.202 20 30 DDEDLO C#CC[C@H]1CCC[N@@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000829805883 706399799 /nfs/dbraw/zinc/39/97/99/706399799.db2.gz XFRYEVRGNJLUTH-ZDUSSCGKSA-N 1 2 317.414 1.744 20 30 DDEDLO C#CC[C@H]1CCC[N@H+](CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000829805883 706399801 /nfs/dbraw/zinc/39/98/01/706399801.db2.gz XFRYEVRGNJLUTH-ZDUSSCGKSA-N 1 2 317.414 1.744 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000878641481 706453346 /nfs/dbraw/zinc/45/33/46/706453346.db2.gz RNMHXSINGCGZSK-KGLIPLIRSA-N 1 2 301.346 1.524 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000878641481 706453347 /nfs/dbraw/zinc/45/33/47/706453347.db2.gz RNMHXSINGCGZSK-KGLIPLIRSA-N 1 2 301.346 1.524 20 30 DDEDLO N#C[C@]1(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)CCCOC1 ZINC000866933296 706848586 /nfs/dbraw/zinc/84/85/86/706848586.db2.gz KAALMIZIUSNVSF-XJKSGUPXSA-N 1 2 300.362 1.097 20 30 DDEDLO COc1cc([C@H](C)[NH+]2CCN(c3ccc(C#N)nc3)CC2)on1 ZINC000926823861 712907805 /nfs/dbraw/zinc/90/78/05/712907805.db2.gz PEPBELNHXVZBFK-LBPRGKRZSA-N 1 2 313.361 1.833 20 30 DDEDLO C#CCC1(O)CC[NH+]([C@H](C)C(=O)Nc2nc(C)c(C)s2)CC1 ZINC000880482079 706988331 /nfs/dbraw/zinc/98/83/31/706988331.db2.gz WSEILAUDADYOIE-GFCCVEGCSA-N 1 2 321.446 1.937 20 30 DDEDLO C#CCOc1ccccc1NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000867509184 707023306 /nfs/dbraw/zinc/02/33/06/707023306.db2.gz JYIMPJXRRNGJGZ-VMPITWQZSA-N 1 2 300.358 1.526 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1NC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000836266751 707427723 /nfs/dbraw/zinc/42/77/23/707427723.db2.gz OFNYLMFCZKPTTN-OCCSQVGLSA-N 1 2 305.378 1.850 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1C[C@H](C)[N@@H+](C)C[C@@H]1C ZINC000872468508 707430213 /nfs/dbraw/zinc/43/02/13/707430213.db2.gz WHROSWOBQJFQJM-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1C[C@H](C)[N@H+](C)C[C@@H]1C ZINC000872468508 707430217 /nfs/dbraw/zinc/43/02/17/707430217.db2.gz WHROSWOBQJFQJM-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO C#CCC1(O)CCN(C(=O)[C@H]2COCC[N@@H+]2C2CCCC2)CC1 ZINC000882528580 707683719 /nfs/dbraw/zinc/68/37/19/707683719.db2.gz XHIAQBCETJFNHB-MRXNPFEDSA-N 1 2 320.433 1.007 20 30 DDEDLO C#CCC1(O)CCN(C(=O)[C@H]2COCC[N@H+]2C2CCCC2)CC1 ZINC000882528580 707683723 /nfs/dbraw/zinc/68/37/23/707683723.db2.gz XHIAQBCETJFNHB-MRXNPFEDSA-N 1 2 320.433 1.007 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1cccc(OC(C)C)c1 ZINC000884069921 708125801 /nfs/dbraw/zinc/12/58/01/708125801.db2.gz SXRINSDUXFHYGW-HNNXBMFYSA-N 1 2 320.389 1.537 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(C)c(OC)c1C ZINC000884087681 708133608 /nfs/dbraw/zinc/13/36/08/708133608.db2.gz KTAXVCXTPBDTHU-LBPRGKRZSA-N 1 2 306.362 1.697 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](CC)Cc1ccccc1 ZINC000884117838 708146947 /nfs/dbraw/zinc/14/69/47/708146947.db2.gz NPKGZLFMGBQGQN-CABCVRRESA-N 1 2 304.390 1.571 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCc2ccccc2[C@H]1CC ZINC000884139631 708157502 /nfs/dbraw/zinc/15/75/02/708157502.db2.gz XPQSCDJVQKEKPT-JKSUJKDBSA-N 1 2 316.401 1.969 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H]1C[C@H](OCC)C1(C)C ZINC000884150651 708161646 /nfs/dbraw/zinc/16/16/46/708161646.db2.gz RSNTWSYHQVOUSG-AVGNSLFASA-N 1 2 312.410 1.095 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1COc2ccc(Cl)cc21 ZINC000884165437 708167983 /nfs/dbraw/zinc/16/79/83/708167983.db2.gz HHSPRIRTYQMPJE-RYUDHWBXSA-N 1 2 324.764 1.336 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H]1CCCO[C@H]1C(C)C ZINC000884171233 708170600 /nfs/dbraw/zinc/17/06/00/708170600.db2.gz PXEGHCGMRSCNOR-YDHLFZDLSA-N 1 2 312.410 1.000 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H](c1ncccc1C)C(C)C ZINC000884219508 708196403 /nfs/dbraw/zinc/19/64/03/708196403.db2.gz QVASPYFQBMMJQD-DZGCQCFKSA-N 1 2 319.405 1.650 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@H](C)c1nc(C)cs1 ZINC000884314587 708240384 /nfs/dbraw/zinc/24/03/84/708240384.db2.gz OKJMTIXEMZXWNO-ONGXEEELSA-N 1 2 311.407 1.118 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H]1C[C@@H](OCC)C12CCC2 ZINC000884328083 708246960 /nfs/dbraw/zinc/24/69/60/708246960.db2.gz IUQABHYPHKYUQZ-MELADBBJSA-N 1 2 324.421 1.239 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC1(c2ccc(C)cc2)CCC1 ZINC000884376227 708269520 /nfs/dbraw/zinc/26/95/20/708269520.db2.gz BFSLUIPQUNBMAK-HNNXBMFYSA-N 1 2 316.401 1.937 20 30 DDEDLO C#CC[C@H]1CCN(c2cc(N3CCCC[C@H]3CO)nc[nH+]2)C1 ZINC000884594643 708334908 /nfs/dbraw/zinc/33/49/08/708334908.db2.gz OFDAILUHRLFJMM-GJZGRUSLSA-N 1 2 300.406 1.677 20 30 DDEDLO C#CC[C@H]1CCN(c2cc(N3CCCC[C@H]3CO)[nH+]cn2)C1 ZINC000884594643 708334912 /nfs/dbraw/zinc/33/49/12/708334912.db2.gz OFDAILUHRLFJMM-GJZGRUSLSA-N 1 2 300.406 1.677 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)CC2CC(F)(F)C2)C1 ZINC000885509625 708562477 /nfs/dbraw/zinc/56/24/77/708562477.db2.gz UZSNCRFIKGWAHF-LBPRGKRZSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)CC2CC(F)(F)C2)C1 ZINC000885509625 708562479 /nfs/dbraw/zinc/56/24/79/708562479.db2.gz UZSNCRFIKGWAHF-LBPRGKRZSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(OC)c(C)c2)C1 ZINC000885514292 708564075 /nfs/dbraw/zinc/56/40/75/708564075.db2.gz WMZZQWVMMROEFT-CQSZACIVSA-N 1 2 322.430 1.380 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc(OC)c(C)c2)C1 ZINC000885514292 708564077 /nfs/dbraw/zinc/56/40/77/708564077.db2.gz WMZZQWVMMROEFT-CQSZACIVSA-N 1 2 322.430 1.380 20 30 DDEDLO C#CC[C@@H]1CC[N@@H+](Cc2noc(CNC(=O)OC(C)(C)C)n2)C1 ZINC000886363953 708749729 /nfs/dbraw/zinc/74/97/29/708749729.db2.gz JYSIWIBHYUGDKF-GFCCVEGCSA-N 1 2 320.393 1.940 20 30 DDEDLO C#CC[C@@H]1CC[N@H+](Cc2noc(CNC(=O)OC(C)(C)C)n2)C1 ZINC000886363953 708749731 /nfs/dbraw/zinc/74/97/31/708749731.db2.gz JYSIWIBHYUGDKF-GFCCVEGCSA-N 1 2 320.393 1.940 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000898893413 708911455 /nfs/dbraw/zinc/91/14/55/708911455.db2.gz CIXYGWVAEMRMRL-AWEZNQCLSA-N 1 2 312.373 1.685 20 30 DDEDLO C/C(=C/C(=O)N(C)Cc1cc(C#N)cs1)C[NH+]1CCOCC1 ZINC000887965377 709182050 /nfs/dbraw/zinc/18/20/50/709182050.db2.gz FVOBZOHCRSKOKI-QPEQYQDCSA-N 1 2 319.430 1.857 20 30 DDEDLO Cn1nccc1N[NH+]=Cc1c(Cl)nc(N)nc1N1CCCC1 ZINC000901223511 709974072 /nfs/dbraw/zinc/97/40/72/709974072.db2.gz FHAPWNNTWMDWAC-UHFFFAOYSA-N 1 2 320.788 1.492 20 30 DDEDLO C=CCNc1ncc(C(=O)N2C[C@@H](C)[N@@H+](CCO)C[C@H]2C)s1 ZINC000910927585 710122490 /nfs/dbraw/zinc/12/24/90/710122490.db2.gz BPILRSHTPNRURH-VXGBXAGGSA-N 1 2 324.450 1.268 20 30 DDEDLO C=CCNc1ncc(C(=O)N2C[C@@H](C)[N@H+](CCO)C[C@H]2C)s1 ZINC000910927585 710122493 /nfs/dbraw/zinc/12/24/93/710122493.db2.gz BPILRSHTPNRURH-VXGBXAGGSA-N 1 2 324.450 1.268 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(C)cc(C)c2)C1 ZINC000891608068 710246939 /nfs/dbraw/zinc/24/69/39/710246939.db2.gz WTBJAGSTWFZWGI-OAHLLOKOSA-N 1 2 313.401 1.456 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(C)cc(C)c2)C1 ZINC000891608068 710246942 /nfs/dbraw/zinc/24/69/42/710246942.db2.gz WTBJAGSTWFZWGI-OAHLLOKOSA-N 1 2 313.401 1.456 20 30 DDEDLO COCC[C@@H]1CCN(C[NH+]2CCC3(CC2)CC(=O)C=CO3)C1=O ZINC000902610025 710815017 /nfs/dbraw/zinc/81/50/17/710815017.db2.gz USFGDWISWALBHW-AWEZNQCLSA-N 1 2 322.405 1.167 20 30 DDEDLO C/C(=C/C(=O)NCc1ccc(CC#N)cc1)C[NH+]1CCOCC1 ZINC000911493754 710822162 /nfs/dbraw/zinc/82/21/62/710822162.db2.gz NJBQYYIDYOKVSF-QINSGFPZSA-N 1 2 313.401 1.647 20 30 DDEDLO N#Cc1cccn(C[N@@H+]2CC[C@H](Cc3nccs3)C2)c1=O ZINC000902649453 710839864 /nfs/dbraw/zinc/83/98/64/710839864.db2.gz MJMUXBAMNGISMB-GFCCVEGCSA-N 1 2 300.387 1.699 20 30 DDEDLO N#Cc1cccn(C[N@H+]2CC[C@H](Cc3nccs3)C2)c1=O ZINC000902649453 710839872 /nfs/dbraw/zinc/83/98/72/710839872.db2.gz MJMUXBAMNGISMB-GFCCVEGCSA-N 1 2 300.387 1.699 20 30 DDEDLO C=CCCC[N@@H+](CC)Cn1nc(CCC(N)=O)n(C)c1=S ZINC000902670150 710854200 /nfs/dbraw/zinc/85/42/00/710854200.db2.gz YKNBJESKCCEQGB-UHFFFAOYSA-N 1 2 311.455 1.615 20 30 DDEDLO C=CCCC[N@H+](CC)Cn1nc(CCC(N)=O)n(C)c1=S ZINC000902670150 710854205 /nfs/dbraw/zinc/85/42/05/710854205.db2.gz YKNBJESKCCEQGB-UHFFFAOYSA-N 1 2 311.455 1.615 20 30 DDEDLO N#Cc1ccccc1C(F)(F)C(=O)N[C@H]1CCn2c[nH+]cc2C1 ZINC000911573457 710861278 /nfs/dbraw/zinc/86/12/78/710861278.db2.gz JPBLXTITPYJDQB-LBPRGKRZSA-N 1 2 316.311 1.978 20 30 DDEDLO CN(C)c1cc(N2CCN(c3cnccc3C#N)CC2)nc[nH+]1 ZINC000893955915 710899640 /nfs/dbraw/zinc/89/96/40/710899640.db2.gz VGCKNQLCDMCISU-UHFFFAOYSA-N 1 2 309.377 1.136 20 30 DDEDLO CN(C)c1cc(N2CCN(c3cnccc3C#N)CC2)[nH+]cn1 ZINC000893955915 710899642 /nfs/dbraw/zinc/89/96/42/710899642.db2.gz VGCKNQLCDMCISU-UHFFFAOYSA-N 1 2 309.377 1.136 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)[C@@]2(C#N)CC3CCC2CC3)[C@@H](C)CO1 ZINC000912393671 711308942 /nfs/dbraw/zinc/30/89/42/711308942.db2.gz SBVSIENXBQLUCQ-JSCVFSPLSA-N 1 2 319.449 1.932 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)[C@@]2(C#N)CC3CCC2CC3)[C@@H](C)CO1 ZINC000912393671 711308947 /nfs/dbraw/zinc/30/89/47/711308947.db2.gz SBVSIENXBQLUCQ-JSCVFSPLSA-N 1 2 319.449 1.932 20 30 DDEDLO C#CCC1(O)CCN(c2cc(N[C@@H](CC)CO)[nH+]cn2)CC1 ZINC000895160779 711437527 /nfs/dbraw/zinc/43/75/27/711437527.db2.gz LIIRQTGLTAIYOL-ZDUSSCGKSA-N 1 2 304.394 1.014 20 30 DDEDLO C#CCC1(O)CCN(c2cc(N[C@@H](CC)CO)nc[nH+]2)CC1 ZINC000895160779 711437531 /nfs/dbraw/zinc/43/75/31/711437531.db2.gz LIIRQTGLTAIYOL-ZDUSSCGKSA-N 1 2 304.394 1.014 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCc2cccc(C#N)c2)[nH]n1 ZINC000895639095 711566444 /nfs/dbraw/zinc/56/64/44/711566444.db2.gz YKCJDXLRVKKMNM-MRXNPFEDSA-N 1 2 309.373 1.978 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)NCc2cccc(C#N)c2)[nH]n1 ZINC000895639095 711566446 /nfs/dbraw/zinc/56/64/46/711566446.db2.gz YKCJDXLRVKKMNM-MRXNPFEDSA-N 1 2 309.373 1.978 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)Nc1nc2c(cc1C#N)CCC2 ZINC000895804999 711611178 /nfs/dbraw/zinc/61/11/78/711611178.db2.gz YJOYGQJBJMFJHP-HNNXBMFYSA-N 1 2 300.406 1.965 20 30 DDEDLO C=CC[C@@H]([NH2+]CCc1cccc(C(=O)N(C)C)c1)C(=O)OC ZINC000905760041 712140612 /nfs/dbraw/zinc/14/06/12/712140612.db2.gz FCTBCRHTNOCNCB-OAHLLOKOSA-N 1 2 304.390 1.638 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@H]2C[C@@H]2c2cccc(F)c2)CC1 ZINC000906583693 712361483 /nfs/dbraw/zinc/36/14/83/712361483.db2.gz ITSQYBYYPOZSFZ-SJORKVTESA-N 1 2 317.408 1.601 20 30 DDEDLO Cc1cc(C(=O)N[C@H](C)[C@H]2CN(C)CC[N@@H+]2C)cc(C)c1C#N ZINC000906777312 712409772 /nfs/dbraw/zinc/40/97/72/712409772.db2.gz IUIJJEYABZOUKD-RHSMWYFYSA-N 1 2 314.433 1.539 20 30 DDEDLO Cc1cc(C(=O)N[C@H](C)[C@H]2CN(C)CC[N@H+]2C)cc(C)c1C#N ZINC000906777312 712409773 /nfs/dbraw/zinc/40/97/73/712409773.db2.gz IUIJJEYABZOUKD-RHSMWYFYSA-N 1 2 314.433 1.539 20 30 DDEDLO N#C[C@@]1(C(=O)N2CC[NH+]([C@H]3CCOC3)CC2)CC2CCC1CC2 ZINC000906975006 712454106 /nfs/dbraw/zinc/45/41/06/712454106.db2.gz JEGXMVSJPIGTNZ-OBQMCRIKSA-N 1 2 317.433 1.640 20 30 DDEDLO Cc1cc(C(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)cc(C)c1C#N ZINC000907837442 712633597 /nfs/dbraw/zinc/63/35/97/712633597.db2.gz UBFYHWHMRKOJIC-HNNXBMFYSA-N 1 2 315.417 1.968 20 30 DDEDLO C=C(C)C[C@H](NC(=O)/C=C(\C)C[NH+]1CCOCC1)C(=O)OCC ZINC000916709100 713464617 /nfs/dbraw/zinc/46/46/17/713464617.db2.gz DMMSBEFKVISZCZ-GOFCXVBSSA-N 1 2 324.421 1.279 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[NH2+]Cc2nc(C3CC3)no2)cc1 ZINC000917012323 713478130 /nfs/dbraw/zinc/47/81/30/713478130.db2.gz GWZSWGASRAKBRW-ZDUSSCGKSA-N 1 2 314.345 1.348 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966042945 717903231 /nfs/dbraw/zinc/90/32/31/717903231.db2.gz BDZCTIKYUXDMHE-FZMZJTMJSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966042945 717903236 /nfs/dbraw/zinc/90/32/36/717903236.db2.gz BDZCTIKYUXDMHE-FZMZJTMJSA-N 1 2 310.829 1.542 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@H+]2CC[S@](=O)[C@@H](C)[C@H]2C)cc1 ZINC000929678161 713666699 /nfs/dbraw/zinc/66/66/99/713666699.db2.gz BWWSKZSZHUQNSJ-QLEMLULZSA-N 1 2 318.442 1.838 20 30 DDEDLO C#Cc1ccc(NC(=O)CC[N@@H+]2CC[S@](=O)[C@@H](C)[C@H]2C)cc1 ZINC000929678161 713666700 /nfs/dbraw/zinc/66/67/00/713666700.db2.gz BWWSKZSZHUQNSJ-QLEMLULZSA-N 1 2 318.442 1.838 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@H+](C[C@H]2CCC3(COC3)O2)C1 ZINC000930924968 713961447 /nfs/dbraw/zinc/96/14/47/713961447.db2.gz XSYLQPDNAOCIRW-WBVHZDCISA-N 1 2 313.401 1.887 20 30 DDEDLO N#C[C@@]1(c2ccccn2)CCC[N@@H+](C[C@H]2CCC3(COC3)O2)C1 ZINC000930924968 713961448 /nfs/dbraw/zinc/96/14/48/713961448.db2.gz XSYLQPDNAOCIRW-WBVHZDCISA-N 1 2 313.401 1.887 20 30 DDEDLO N#Cc1ccc(C2CC[NH+](CC(=O)Nc3cccnn3)CC2)nc1 ZINC000931112831 714004434 /nfs/dbraw/zinc/00/44/34/714004434.db2.gz JXXBPJVVSLTNIH-UHFFFAOYSA-N 1 2 322.372 1.561 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cccc(C#N)n1 ZINC000931804105 714188492 /nfs/dbraw/zinc/18/84/92/714188492.db2.gz NKPHDVGLAFBQQH-LBPRGKRZSA-N 1 2 303.366 1.138 20 30 DDEDLO C[C@@]1([C@H]2CCC[N@H+](Cc3nc(C#N)cs3)C2)COC(=O)N1 ZINC000932021076 714237995 /nfs/dbraw/zinc/23/79/95/714237995.db2.gz GYRZUPYXBVSHSU-HZMBPMFUSA-N 1 2 306.391 1.725 20 30 DDEDLO C[C@@]1([C@H]2CCC[N@@H+](Cc3nc(C#N)cs3)C2)COC(=O)N1 ZINC000932021076 714237998 /nfs/dbraw/zinc/23/79/98/714237998.db2.gz GYRZUPYXBVSHSU-HZMBPMFUSA-N 1 2 306.391 1.725 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cccc(CC#N)n1 ZINC000932425065 714327510 /nfs/dbraw/zinc/32/75/10/714327510.db2.gz QLASVGJGADCDTH-ZDUSSCGKSA-N 1 2 317.393 1.380 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(F)(F)C2(O)CCCC2)C1 ZINC000923560405 714403668 /nfs/dbraw/zinc/40/36/68/714403668.db2.gz AHAVXTJCBBBFJP-LBPRGKRZSA-N 1 2 300.349 1.141 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(F)(F)C2(O)CCCC2)C1 ZINC000923560405 714403669 /nfs/dbraw/zinc/40/36/69/714403669.db2.gz AHAVXTJCBBBFJP-LBPRGKRZSA-N 1 2 300.349 1.141 20 30 DDEDLO CCO[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000932975509 714447170 /nfs/dbraw/zinc/44/71/70/714447170.db2.gz TYNLFQRDEDENHQ-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO CCO[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000932975509 714447173 /nfs/dbraw/zinc/44/71/73/714447173.db2.gz TYNLFQRDEDENHQ-AWEZNQCLSA-N 1 2 308.403 1.443 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCOC[C@H]1C[C@H]1CCOC1 ZINC000933677637 714646274 /nfs/dbraw/zinc/64/62/74/714646274.db2.gz WZZBXHRCGSZUEN-HZPDHXFCSA-N 1 2 308.422 1.314 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCOC[C@H]1C[C@H]1CCOC1 ZINC000933677637 714646275 /nfs/dbraw/zinc/64/62/75/714646275.db2.gz WZZBXHRCGSZUEN-HZPDHXFCSA-N 1 2 308.422 1.314 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)N2CCC[C@@H](C#N)C2)n1 ZINC000924793143 714665237 /nfs/dbraw/zinc/66/52/37/714665237.db2.gz WLZKAQNKUKECNS-NSHDSACASA-N 1 2 307.423 1.650 20 30 DDEDLO COc1ccccc1N(CCC#N)C(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CO ZINC000934024063 714724661 /nfs/dbraw/zinc/72/46/61/714724661.db2.gz NZSOHJDYMYGKHA-ZIAGYGMSSA-N 1 2 317.389 1.397 20 30 DDEDLO COc1ccccc1N(CCC#N)C(=O)[C@@H](C)[N@H+]1CC[C@@H]1CO ZINC000934024063 714724662 /nfs/dbraw/zinc/72/46/62/714724662.db2.gz NZSOHJDYMYGKHA-ZIAGYGMSSA-N 1 2 317.389 1.397 20 30 DDEDLO CO[C@H]1CC[C@@H]1[N@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000934117189 714745954 /nfs/dbraw/zinc/74/59/54/714745954.db2.gz ZNUCRISDPBJMFW-GJZGRUSLSA-N 1 2 308.403 1.441 20 30 DDEDLO CO[C@H]1CC[C@@H]1[N@@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000934117189 714745955 /nfs/dbraw/zinc/74/59/55/714745955.db2.gz ZNUCRISDPBJMFW-GJZGRUSLSA-N 1 2 308.403 1.441 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)NC(=O)Nc1ccc(OC)cc1 ZINC000934513488 714839895 /nfs/dbraw/zinc/83/98/95/714839895.db2.gz PPUVGGHSXXOJAA-AWEZNQCLSA-N 1 2 315.373 1.831 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)NC(=O)Nc1ccc(OC)cc1 ZINC000934513488 714839896 /nfs/dbraw/zinc/83/98/96/714839896.db2.gz PPUVGGHSXXOJAA-AWEZNQCLSA-N 1 2 315.373 1.831 20 30 DDEDLO Cn1cc(C[N@@H+]2CC[C@@H](O)[C@H](F)C2)c(-c2ccc(C#N)cc2)n1 ZINC000934571073 714854047 /nfs/dbraw/zinc/85/40/47/714854047.db2.gz ONDAIJCWBIEMCL-HZPDHXFCSA-N 1 2 314.364 1.863 20 30 DDEDLO Cn1cc(C[N@H+]2CC[C@@H](O)[C@H](F)C2)c(-c2ccc(C#N)cc2)n1 ZINC000934571073 714854049 /nfs/dbraw/zinc/85/40/49/714854049.db2.gz ONDAIJCWBIEMCL-HZPDHXFCSA-N 1 2 314.364 1.863 20 30 DDEDLO COc1cc(C#N)ccc1C(=O)N(C)[C@H](C)C[NH+]1CCOCC1 ZINC000935712513 715120599 /nfs/dbraw/zinc/12/05/99/715120599.db2.gz DPNRFJGZPNZJSK-CYBMUJFWSA-N 1 2 317.389 1.360 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnc(C)nc2C2CC2)CC1 ZINC000957508473 715932642 /nfs/dbraw/zinc/93/26/42/715932642.db2.gz BMEZJZCGKJQZHS-UHFFFAOYSA-N 1 2 300.406 1.996 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)C2CCC2)C1 ZINC000957797228 716054527 /nfs/dbraw/zinc/05/45/27/716054527.db2.gz DOGXDHOFRAOJMA-RYUDHWBXSA-N 1 2 317.227 1.104 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)C2CCC2)C1 ZINC000957797228 716054531 /nfs/dbraw/zinc/05/45/31/716054531.db2.gz DOGXDHOFRAOJMA-RYUDHWBXSA-N 1 2 317.227 1.104 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)C(=O)Nc2c(C)cccc2C)CC1 ZINC000957937042 716238998 /nfs/dbraw/zinc/23/89/98/716238998.db2.gz LYHOASGTFXCOGR-UHFFFAOYSA-N 1 2 315.417 1.962 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)c(C)o1 ZINC000960503198 716585191 /nfs/dbraw/zinc/58/51/91/716585191.db2.gz PPFKRQBJYXWZBF-BXUZGUMPSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)c(C)o1 ZINC000960503198 716585197 /nfs/dbraw/zinc/58/51/97/716585197.db2.gz PPFKRQBJYXWZBF-BXUZGUMPSA-N 1 2 304.394 1.874 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960613903 716631376 /nfs/dbraw/zinc/63/13/76/716631376.db2.gz ONPKPJPGZOVQER-AWEZNQCLSA-N 1 2 318.446 1.683 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC000960613903 716631379 /nfs/dbraw/zinc/63/13/79/716631379.db2.gz ONPKPJPGZOVQER-AWEZNQCLSA-N 1 2 318.446 1.683 20 30 DDEDLO C=C(C)C[NH+]1CC(N2CCN(C(=O)C(=O)C(C)(C)C)CC2)C1 ZINC000941348374 717158996 /nfs/dbraw/zinc/15/89/96/717158996.db2.gz MPMAUPCDHWYZCI-UHFFFAOYSA-N 1 2 307.438 1.006 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3cscc3C)CC2)C1 ZINC000941368602 717163667 /nfs/dbraw/zinc/16/36/67/717163667.db2.gz YTCROVKNTVLVPE-UHFFFAOYSA-N 1 2 317.458 1.522 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC[C@@H](C)C3)CC2)C1 ZINC000941540516 717199837 /nfs/dbraw/zinc/19/98/37/717199837.db2.gz FQBMRXKYMOHVGG-CVEARBPZSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3Cc4ccccc43)CC2)C1 ZINC000941542903 717201448 /nfs/dbraw/zinc/20/14/48/717201448.db2.gz BJCITASXQUGFTM-LJQANCHMSA-N 1 2 323.440 1.178 20 30 DDEDLO C=C(Cl)CN1CC[C@@H](NC(=O)Cn2cc[nH+]c2C)[C@H](C)C1 ZINC000944965084 718370835 /nfs/dbraw/zinc/37/08/35/718370835.db2.gz PXRYZGWXTHQDGB-BXUZGUMPSA-N 1 2 310.829 1.771 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC000966748935 718649071 /nfs/dbraw/zinc/64/90/71/718649071.db2.gz DDQJRWMQLGXPNV-CMPLNLGQSA-N 1 2 308.813 1.699 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC000966748935 718649072 /nfs/dbraw/zinc/64/90/72/718649072.db2.gz DDQJRWMQLGXPNV-CMPLNLGQSA-N 1 2 308.813 1.699 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@@H]2CN(CC#N)CC[C@@H]2C)c[nH+]1 ZINC000966907907 718706183 /nfs/dbraw/zinc/70/61/83/718706183.db2.gz HVIXQKXVHVGJCS-GXTWGEPZSA-N 1 2 303.410 1.277 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967182259 718785448 /nfs/dbraw/zinc/78/54/48/718785448.db2.gz JMCAGCHEQZXLHX-XJKSGUPXSA-N 1 2 314.433 1.752 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000967182259 718785451 /nfs/dbraw/zinc/78/54/51/718785451.db2.gz JMCAGCHEQZXLHX-XJKSGUPXSA-N 1 2 314.433 1.752 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccnc(OC)n2)C1 ZINC000967332355 718847128 /nfs/dbraw/zinc/84/71/28/718847128.db2.gz DLLGYCMUSHJKOD-GWCFXTLKSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccnc(OC)n2)C1 ZINC000967332355 718847132 /nfs/dbraw/zinc/84/71/32/718847132.db2.gz DLLGYCMUSHJKOD-GWCFXTLKSA-N 1 2 324.812 1.678 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CCCC3)no2)C1 ZINC000967943370 719097414 /nfs/dbraw/zinc/09/74/14/719097414.db2.gz JNIHMZBQHMFNNT-DGCLKSJQSA-N 1 2 317.393 1.577 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](N(C)C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000948378574 719474476 /nfs/dbraw/zinc/47/44/76/719474476.db2.gz YHFQCYBJWVVNFZ-HUUCEWRRSA-N 1 2 314.433 1.776 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](N(C)C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000948378574 719474478 /nfs/dbraw/zinc/47/44/78/719474478.db2.gz YHFQCYBJWVVNFZ-HUUCEWRRSA-N 1 2 314.433 1.776 20 30 DDEDLO CN(C(=O)[C@@H]1CCCc2[nH+]c[nH]c21)[C@H]1CCCN(CC#N)CC1 ZINC000948767694 719696644 /nfs/dbraw/zinc/69/66/44/719696644.db2.gz ZIDOQVFMJQMURH-UONOGXRCSA-N 1 2 315.421 1.666 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cn(C)nc2[C@H]2CCOC2)CC1 ZINC000949501567 720127478 /nfs/dbraw/zinc/12/74/78/720127478.db2.gz LELUVQAMCXNKLL-AWEZNQCLSA-N 1 2 318.421 1.258 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+][C@@H](C)c3nc(C)no3)C2)cc1 ZINC000969548771 720186014 /nfs/dbraw/zinc/18/60/14/720186014.db2.gz YOZLQRPOBLJZJC-BLLLJJGKSA-N 1 2 324.384 1.925 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC000970217557 720665720 /nfs/dbraw/zinc/66/57/20/720665720.db2.gz QDUCUNJGGDBYRC-NEPJUHHUSA-N 1 2 313.829 1.092 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc3c(c2)CCOC3)C1 ZINC000950849779 720794789 /nfs/dbraw/zinc/79/47/89/720794789.db2.gz ASIQQGJVHQIACU-UHFFFAOYSA-N 1 2 312.413 1.929 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)c2cnc(C)nc2C)C1 ZINC000970602150 720838795 /nfs/dbraw/zinc/83/87/95/720838795.db2.gz MIDLEJKRVCQWQZ-JTQLQIEISA-N 1 2 308.813 1.896 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ccc(-n3cccn3)nc2)C1 ZINC000951103606 720917935 /nfs/dbraw/zinc/91/79/35/720917935.db2.gz WHUZNXYAXKFJAR-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnn(-c3ccccn3)c2)CC1 ZINC000951126457 720927035 /nfs/dbraw/zinc/92/70/35/720927035.db2.gz ICFQNCFCMVTUPT-UHFFFAOYSA-N 1 2 311.389 1.601 20 30 DDEDLO C[C@H](NC(=O)c1ccn(C)n1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970879895 720970281 /nfs/dbraw/zinc/97/02/81/720970281.db2.gz HEUSMALKVVIGIT-ZDUSSCGKSA-N 1 2 323.400 1.542 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC000970932751 720995173 /nfs/dbraw/zinc/99/51/73/720995173.db2.gz XIRRZDVZCNLSQY-NWDGAFQWSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(CC)C1C[NH+](CCOC2CCC2)C1 ZINC000951785279 721182632 /nfs/dbraw/zinc/18/26/32/721182632.db2.gz HAKWWBAXKGRCAS-SJORKVTESA-N 1 2 322.449 1.679 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2cnc(Cl)s2)C1 ZINC000971216989 721183983 /nfs/dbraw/zinc/18/39/83/721183983.db2.gz AJYDCVKPSSSSHJ-ZJUUUORDSA-N 1 2 312.826 1.989 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnc(Cl)s2)C1 ZINC000971216989 721183988 /nfs/dbraw/zinc/18/39/88/721183988.db2.gz AJYDCVKPSSSSHJ-ZJUUUORDSA-N 1 2 312.826 1.989 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@]3(C)CCCOC3)C2)C1 ZINC000972618190 735360179 /nfs/dbraw/zinc/36/01/79/735360179.db2.gz XACRXTNKKOHNPK-ROUUACIJSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@]3(C)CCCOC3)C2)C1 ZINC000972618190 735360180 /nfs/dbraw/zinc/36/01/80/735360180.db2.gz XACRXTNKKOHNPK-ROUUACIJSA-N 1 2 320.433 1.130 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(C)c3C)[C@H]2C1 ZINC001083263117 735393754 /nfs/dbraw/zinc/39/37/54/735393754.db2.gz GAYWNXDFNFDSAJ-ZWKOTPCHSA-N 1 2 312.413 1.852 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(C)c3C)[C@H]2C1 ZINC001083263117 735393757 /nfs/dbraw/zinc/39/37/57/735393757.db2.gz GAYWNXDFNFDSAJ-ZWKOTPCHSA-N 1 2 312.413 1.852 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3coc(CCC)n3)C2)C1 ZINC000972637676 735396775 /nfs/dbraw/zinc/39/67/75/735396775.db2.gz CYZMHJRXGQIXCG-QGZVFWFLSA-N 1 2 319.405 1.730 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3coc(CCC)n3)C2)C1 ZINC000972637676 735396776 /nfs/dbraw/zinc/39/67/76/735396776.db2.gz CYZMHJRXGQIXCG-QGZVFWFLSA-N 1 2 319.405 1.730 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1C[C@H]([NH2+][C@H](C)c2noc(C)n2)C1 ZINC001020604827 732552837 /nfs/dbraw/zinc/55/28/37/732552837.db2.gz QGWCANVVVLPIBI-FZGMBXNASA-N 1 2 320.393 1.267 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)CNc1ncccc1C#N ZINC001104258441 733069189 /nfs/dbraw/zinc/06/91/89/733069189.db2.gz HBBYWOSTGHXJSW-MRVWCRGKSA-N 1 2 324.388 1.644 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)CNc1ncccc1C#N ZINC001104258441 733069191 /nfs/dbraw/zinc/06/91/91/733069191.db2.gz HBBYWOSTGHXJSW-MRVWCRGKSA-N 1 2 324.388 1.644 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H]2[C@@H](CCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001021679545 733436706 /nfs/dbraw/zinc/43/67/06/733436706.db2.gz OWRRLMHJWULQGD-HOCLYGCPSA-N 1 2 302.422 1.841 20 30 DDEDLO C=CCC[N@H+]1CC[C@H]2[C@@H](CCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001021679545 733436708 /nfs/dbraw/zinc/43/67/08/733436708.db2.gz OWRRLMHJWULQGD-HOCLYGCPSA-N 1 2 302.422 1.841 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cn(C2CCC2)nn1 ZINC001027887769 738774375 /nfs/dbraw/zinc/77/43/75/738774375.db2.gz XKCQRJRJGZEZNJ-CYBMUJFWSA-N 1 2 323.828 1.950 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cn(C2CCC2)nn1 ZINC001027887769 738774379 /nfs/dbraw/zinc/77/43/79/738774379.db2.gz XKCQRJRJGZEZNJ-CYBMUJFWSA-N 1 2 323.828 1.950 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1C[C@@H]([NH2+][C@@H](C)c2noc(C)n2)C1 ZINC001022737128 734746164 /nfs/dbraw/zinc/74/61/64/734746164.db2.gz QGWCANVVVLPIBI-RORMEEMCSA-N 1 2 320.393 1.267 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001038259569 735071234 /nfs/dbraw/zinc/07/12/34/735071234.db2.gz HNXTWBZYNCRNJI-OAGGEKHMSA-N 1 2 318.421 1.092 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001038259569 735071239 /nfs/dbraw/zinc/07/12/39/735071239.db2.gz HNXTWBZYNCRNJI-OAGGEKHMSA-N 1 2 318.421 1.092 20 30 DDEDLO C#CCCCC(=O)NC[C@H](CO)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC001121372038 782477610 /nfs/dbraw/zinc/47/76/10/782477610.db2.gz STNMJTBFGDKBHU-CQSZACIVSA-N 1 2 316.405 1.355 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCc2cncn2C1 ZINC001027980964 738902673 /nfs/dbraw/zinc/90/26/73/738902673.db2.gz HDPXMGIEEBFZOQ-KBPBESRZSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCc2cncn2C1 ZINC001027980964 738902674 /nfs/dbraw/zinc/90/26/74/738902674.db2.gz HDPXMGIEEBFZOQ-KBPBESRZSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1c[nH]c(=O)cn1 ZINC001024623492 735989104 /nfs/dbraw/zinc/98/91/04/735989104.db2.gz FBWASILMFAVZDI-NSHDSACASA-N 1 2 310.785 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1c[nH]c(=O)cn1 ZINC001024623492 735989108 /nfs/dbraw/zinc/98/91/08/735989108.db2.gz FBWASILMFAVZDI-NSHDSACASA-N 1 2 310.785 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cncc(OC)n1 ZINC001024695153 736028761 /nfs/dbraw/zinc/02/87/61/736028761.db2.gz PVJFVLOYXGTKKP-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cncc(OC)n1 ZINC001024695153 736028767 /nfs/dbraw/zinc/02/87/67/736028767.db2.gz PVJFVLOYXGTKKP-GFCCVEGCSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2cnn3ccncc23)C1 ZINC001007507005 736369735 /nfs/dbraw/zinc/36/97/35/736369735.db2.gz SCCAEUJKCOFFMK-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2cnn3ccncc23)C1 ZINC001007507005 736369737 /nfs/dbraw/zinc/36/97/37/736369737.db2.gz SCCAEUJKCOFFMK-LBPRGKRZSA-N 1 2 319.796 1.676 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCCC[C@@H]1[C@H]1CCCN1CC#N ZINC001025293812 736410740 /nfs/dbraw/zinc/41/07/40/736410740.db2.gz USNLOUGIZZPBAO-HZPDHXFCSA-N 1 2 315.421 1.630 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C3CC(C(C)(C)C)C3)[C@H]2C1 ZINC001083288167 737060932 /nfs/dbraw/zinc/06/09/32/737060932.db2.gz QZBFZIXTPHIQIE-SSHXOBKSSA-N 1 2 304.434 1.604 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C3CC(C(C)(C)C)C3)[C@H]2C1 ZINC001083288167 737060936 /nfs/dbraw/zinc/06/09/36/737060936.db2.gz QZBFZIXTPHIQIE-SSHXOBKSSA-N 1 2 304.434 1.604 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CC3CCC(OC)CC3)[C@H]2C1 ZINC001083293716 737374736 /nfs/dbraw/zinc/37/47/36/737374736.db2.gz ULLATPDBKJPXNF-SJJHQCBESA-N 1 2 320.433 1.127 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CC3CCC(OC)CC3)[C@H]2C1 ZINC001083293716 737374740 /nfs/dbraw/zinc/37/47/40/737374740.db2.gz ULLATPDBKJPXNF-SJJHQCBESA-N 1 2 320.433 1.127 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@@H](C)C3CCC3)C2)nn1 ZINC001105265813 737691098 /nfs/dbraw/zinc/69/10/98/737691098.db2.gz INFUEXXBWUNLMM-ZDUSSCGKSA-N 1 2 315.421 1.211 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(n2cc(C[NH2+]C[C@@H](F)CC)nn2)C1 ZINC001105297218 737762308 /nfs/dbraw/zinc/76/23/08/737762308.db2.gz JUCZAQALMCXRGC-LBPRGKRZSA-N 1 2 323.416 1.711 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3CCC(C)(C)C3)C2)nn1 ZINC001105324017 737858207 /nfs/dbraw/zinc/85/82/07/737858207.db2.gz OPBVFZPSEDFQGM-ZDUSSCGKSA-N 1 2 315.421 1.211 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001125951292 737913099 /nfs/dbraw/zinc/91/30/99/737913099.db2.gz LIGZIKQARDJPBH-LBPRGKRZSA-N 1 2 304.394 1.907 20 30 DDEDLO C[N@H+](Cc1ccon1)[C@@H]1CCCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC001027371629 738242185 /nfs/dbraw/zinc/24/21/85/738242185.db2.gz GDVVTYZVLZCDFX-CQSZACIVSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@@H+](Cc1ccon1)[C@@H]1CCCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC001027371629 738242186 /nfs/dbraw/zinc/24/21/86/738242186.db2.gz GDVVTYZVLZCDFX-CQSZACIVSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@@H]1CCCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC001027375901 738246634 /nfs/dbraw/zinc/24/66/34/738246634.db2.gz ZFOYCTCPZIUFJE-OAHLLOKOSA-N 1 2 324.388 1.413 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@@H]1CCCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC001027375901 738246636 /nfs/dbraw/zinc/24/66/36/738246636.db2.gz ZFOYCTCPZIUFJE-OAHLLOKOSA-N 1 2 324.388 1.413 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2[C@@H](C)CC)C1 ZINC001107977969 751398702 /nfs/dbraw/zinc/39/87/02/751398702.db2.gz YKTFZOWAUJBLNP-YOEHRIQHSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccnn2[C@@H](C)CC)C1 ZINC001107977969 751398708 /nfs/dbraw/zinc/39/87/08/751398708.db2.gz YKTFZOWAUJBLNP-YOEHRIQHSA-N 1 2 320.437 1.861 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)[C@H](C)CC)C2)nn1 ZINC001105354390 738990115 /nfs/dbraw/zinc/99/01/15/738990115.db2.gz JPGUSOCTYKKWNE-CHWSQXEVSA-N 1 2 303.410 1.066 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C)nc1OCCC ZINC001038859654 739007478 /nfs/dbraw/zinc/00/74/78/739007478.db2.gz IRBDTPNNVXBFCY-CQSZACIVSA-N 1 2 301.390 1.616 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(C)nc1OCCC ZINC001038859654 739007483 /nfs/dbraw/zinc/00/74/83/739007483.db2.gz IRBDTPNNVXBFCY-CQSZACIVSA-N 1 2 301.390 1.616 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CCC[N@H+]2Cc2ccn(C)n2)c1 ZINC001028128029 739070208 /nfs/dbraw/zinc/07/02/08/739070208.db2.gz ZYCREVNYEYMTJU-QGZVFWFLSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CCC[N@@H+]2Cc2ccn(C)n2)c1 ZINC001028128029 739070212 /nfs/dbraw/zinc/07/02/12/739070212.db2.gz ZYCREVNYEYMTJU-QGZVFWFLSA-N 1 2 323.400 1.191 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC001035372943 751437090 /nfs/dbraw/zinc/43/70/90/751437090.db2.gz OUGPKPZESULYCN-OAHLLOKOSA-N 1 2 317.433 1.996 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC001035372943 751437092 /nfs/dbraw/zinc/43/70/92/751437092.db2.gz OUGPKPZESULYCN-OAHLLOKOSA-N 1 2 317.433 1.996 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(CC)ccn2)C1 ZINC001035382461 751453217 /nfs/dbraw/zinc/45/32/17/751453217.db2.gz DVWXLXRLGSPTAN-OAHLLOKOSA-N 1 2 301.390 1.098 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(CC)ccn2)C1 ZINC001035382461 751453219 /nfs/dbraw/zinc/45/32/19/751453219.db2.gz DVWXLXRLGSPTAN-OAHLLOKOSA-N 1 2 301.390 1.098 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccn(C3CCCC3)n2)C1 ZINC001035387241 751456569 /nfs/dbraw/zinc/45/65/69/751456569.db2.gz ZDILDMUVJBDRNK-OAHLLOKOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccn(C3CCCC3)n2)C1 ZINC001035387241 751456576 /nfs/dbraw/zinc/45/65/76/751456576.db2.gz ZDILDMUVJBDRNK-OAHLLOKOSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(=O)[nH]c(C3CC3)c2)C1 ZINC001035394880 751465039 /nfs/dbraw/zinc/46/50/39/751465039.db2.gz SDGWAGOZWZFLKS-AWEZNQCLSA-N 1 2 317.389 1.281 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(=O)[nH]c(C3CC3)c2)C1 ZINC001035394880 751465041 /nfs/dbraw/zinc/46/50/41/751465041.db2.gz SDGWAGOZWZFLKS-AWEZNQCLSA-N 1 2 317.389 1.281 20 30 DDEDLO Cc1nc(N(C)CCN(C)C(=O)[C@@H](C)C#N)c2c([nH+]1)CCCC2 ZINC001105372096 739714515 /nfs/dbraw/zinc/71/45/15/739714515.db2.gz SMWNAAIHVIEODT-LBPRGKRZSA-N 1 2 315.421 1.718 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2C(C)C)C1 ZINC001035429046 751487346 /nfs/dbraw/zinc/48/73/46/751487346.db2.gz JUWQYDDBLXOISE-ZDUSSCGKSA-N 1 2 306.410 1.540 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c[nH]nc2C(C)C)C1 ZINC001035429046 751487352 /nfs/dbraw/zinc/48/73/52/751487352.db2.gz JUWQYDDBLXOISE-ZDUSSCGKSA-N 1 2 306.410 1.540 20 30 DDEDLO N#CCN1CC[C@@H](CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001028716468 739948739 /nfs/dbraw/zinc/94/87/39/739948739.db2.gz YJAXHVGANJZXJL-AWEZNQCLSA-N 1 2 309.373 1.448 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ncc(C)cc2C)C1 ZINC001035456602 751504720 /nfs/dbraw/zinc/50/47/20/751504720.db2.gz RFSYXDUVJWNXKN-OAHLLOKOSA-N 1 2 301.390 1.152 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ncc(C)cc2C)C1 ZINC001035456602 751504724 /nfs/dbraw/zinc/50/47/24/751504724.db2.gz RFSYXDUVJWNXKN-OAHLLOKOSA-N 1 2 301.390 1.152 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]1CNC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001038423810 740287683 /nfs/dbraw/zinc/28/76/83/740287683.db2.gz FTUWOZPKYKICRR-QWHCGFSZSA-N 1 2 308.813 1.389 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnn(CC)n3)[C@@H]2C1 ZINC001075763209 740318939 /nfs/dbraw/zinc/31/89/39/740318939.db2.gz PTPCOHKMBNDGBQ-WCQYABFASA-N 1 2 309.801 1.197 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cnn(CC)n3)[C@@H]2C1 ZINC001075763209 740318944 /nfs/dbraw/zinc/31/89/44/740318944.db2.gz PTPCOHKMBNDGBQ-WCQYABFASA-N 1 2 309.801 1.197 20 30 DDEDLO O=C(c1cn[nH]c1)N1C[C@H]2CC[C@@H](C1)[N@H+]2CC#Cc1ccccc1 ZINC001029224506 740463025 /nfs/dbraw/zinc/46/30/25/740463025.db2.gz HRGFSJSPLKLTQG-HDICACEKSA-N 1 2 320.396 1.750 20 30 DDEDLO O=C(c1cn[nH]c1)N1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#Cc1ccccc1 ZINC001029224506 740463029 /nfs/dbraw/zinc/46/30/29/740463029.db2.gz HRGFSJSPLKLTQG-HDICACEKSA-N 1 2 320.396 1.750 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cccnc2)c1 ZINC001029258877 740499259 /nfs/dbraw/zinc/49/92/59/740499259.db2.gz GNIMXMSVLIHFJQ-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cccnc2)c1 ZINC001029258877 740499263 /nfs/dbraw/zinc/49/92/63/740499263.db2.gz GNIMXMSVLIHFJQ-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)cncc1Cl ZINC001032604117 751552519 /nfs/dbraw/zinc/55/25/19/751552519.db2.gz PWKBKIYGCYPSRJ-UWVGGRQHSA-N 1 2 310.184 1.920 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(Cl)cncc1Cl ZINC001032604117 751552523 /nfs/dbraw/zinc/55/25/23/751552523.db2.gz PWKBKIYGCYPSRJ-UWVGGRQHSA-N 1 2 310.184 1.920 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098706176 740768910 /nfs/dbraw/zinc/76/89/10/740768910.db2.gz UKVKQGYSFRILEH-DZGCQCFKSA-N 1 2 323.416 1.713 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3[C@@H](C)C(N)=O)CC1 ZINC001029453334 740825850 /nfs/dbraw/zinc/82/58/50/740825850.db2.gz RUDJSFIKEFAOST-AEGPPILISA-N 1 2 305.422 1.282 20 30 DDEDLO C=C1CCC(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3[C@@H](C)C(N)=O)CC1 ZINC001029453334 740825854 /nfs/dbraw/zinc/82/58/54/740825854.db2.gz RUDJSFIKEFAOST-AEGPPILISA-N 1 2 305.422 1.282 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccnn2C)C1 ZINC001029466507 740871770 /nfs/dbraw/zinc/87/17/70/740871770.db2.gz SJUZTHARHLUODX-IYBDPMFKSA-N 1 2 314.433 1.952 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccnn2C)C1 ZINC001029466507 740871773 /nfs/dbraw/zinc/87/17/73/740871773.db2.gz SJUZTHARHLUODX-IYBDPMFKSA-N 1 2 314.433 1.952 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C(F)(F)F)n[nH]2)C1 ZINC001035541737 751588499 /nfs/dbraw/zinc/58/84/99/751588499.db2.gz KOFIBXGYAFOQGZ-SECBINFHSA-N 1 2 318.299 1.045 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(C(F)(F)F)n[nH]2)C1 ZINC001035541737 751588501 /nfs/dbraw/zinc/58/85/01/751588501.db2.gz KOFIBXGYAFOQGZ-SECBINFHSA-N 1 2 318.299 1.045 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)nc(C)n2)C1 ZINC001035541362 751588526 /nfs/dbraw/zinc/58/85/26/751588526.db2.gz HZBPAJKPMVTFEG-AWEZNQCLSA-N 1 2 304.394 1.100 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)nc(C)n2)C1 ZINC001035541362 751588529 /nfs/dbraw/zinc/58/85/29/751588529.db2.gz HZBPAJKPMVTFEG-AWEZNQCLSA-N 1 2 304.394 1.100 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2noc3c2CCCC3)C1 ZINC001035530094 751611116 /nfs/dbraw/zinc/61/11/16/751611116.db2.gz RTRMNEGTKJCTNA-ZDUSSCGKSA-N 1 2 319.405 1.560 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2noc3c2CCCC3)C1 ZINC001035530094 751611124 /nfs/dbraw/zinc/61/11/24/751611124.db2.gz RTRMNEGTKJCTNA-ZDUSSCGKSA-N 1 2 319.405 1.560 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](C[N@@H+](C)Cc2ncnn2CC)C1 ZINC001029801580 741318019 /nfs/dbraw/zinc/31/80/19/741318019.db2.gz OWTQEMBKOOQPOY-CQSZACIVSA-N 1 2 319.453 1.791 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](C[N@H+](C)Cc2ncnn2CC)C1 ZINC001029801580 741318022 /nfs/dbraw/zinc/31/80/22/741318022.db2.gz OWTQEMBKOOQPOY-CQSZACIVSA-N 1 2 319.453 1.791 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001035572383 751626466 /nfs/dbraw/zinc/62/64/66/751626466.db2.gz ZTJHLVFOOPFZIO-GFCCVEGCSA-N 1 2 306.410 1.324 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2c[nH]nc2C(C)(C)C)C1 ZINC001035572383 751626467 /nfs/dbraw/zinc/62/64/67/751626467.db2.gz ZTJHLVFOOPFZIO-GFCCVEGCSA-N 1 2 306.410 1.324 20 30 DDEDLO COC(=O)[C@H](Cc1ccc(C#N)cc1)[NH2+][C@@H]1CCO[C@H](C)C1 ZINC001168102913 741447083 /nfs/dbraw/zinc/44/70/83/741447083.db2.gz HGRMIVVNUQZMFS-WQVCFCJDSA-N 1 2 302.374 1.799 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CCCC(C)C)C2)nn1 ZINC001098741410 741587846 /nfs/dbraw/zinc/58/78/46/741587846.db2.gz ZFCHTCGYCVJIOZ-MRXNPFEDSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2sc(C)nc2C)C1 ZINC001035600618 751658236 /nfs/dbraw/zinc/65/82/36/751658236.db2.gz QVTNZSOHQUWKJK-CYBMUJFWSA-N 1 2 309.435 1.767 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2sc(C)nc2C)C1 ZINC001035600618 751658242 /nfs/dbraw/zinc/65/82/42/751658242.db2.gz QVTNZSOHQUWKJK-CYBMUJFWSA-N 1 2 309.435 1.767 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cncnc2C(C)C)C1 ZINC001035605583 751663112 /nfs/dbraw/zinc/66/31/12/751663112.db2.gz HQFVTKIZTLQKDG-CQSZACIVSA-N 1 2 318.421 1.607 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cncnc2C(C)C)C1 ZINC001035605583 751663114 /nfs/dbraw/zinc/66/31/14/751663114.db2.gz HQFVTKIZTLQKDG-CQSZACIVSA-N 1 2 318.421 1.607 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cncnc2C(C)C)C1 ZINC001035605582 751663254 /nfs/dbraw/zinc/66/32/54/751663254.db2.gz HQFVTKIZTLQKDG-AWEZNQCLSA-N 1 2 318.421 1.607 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cncnc2C(C)C)C1 ZINC001035605582 751663256 /nfs/dbraw/zinc/66/32/56/751663256.db2.gz HQFVTKIZTLQKDG-AWEZNQCLSA-N 1 2 318.421 1.607 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cnc(CC)s2)C1 ZINC001035620084 751691276 /nfs/dbraw/zinc/69/12/76/751691276.db2.gz DGQDCFRRDPWRFL-LBPRGKRZSA-N 1 2 309.435 1.712 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cnc(CC)s2)C1 ZINC001035620084 751691279 /nfs/dbraw/zinc/69/12/79/751691279.db2.gz DGQDCFRRDPWRFL-LBPRGKRZSA-N 1 2 309.435 1.712 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2c(C)nsc2C)C1 ZINC001035617005 751723157 /nfs/dbraw/zinc/72/31/57/751723157.db2.gz QDZLTKLUFFDPNR-CYBMUJFWSA-N 1 2 309.435 1.767 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2c(C)nsc2C)C1 ZINC001035617005 751723161 /nfs/dbraw/zinc/72/31/61/751723161.db2.gz QDZLTKLUFFDPNR-CYBMUJFWSA-N 1 2 309.435 1.767 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001076296477 742650339 /nfs/dbraw/zinc/65/03/39/742650339.db2.gz GCAFNSVQYFGPRZ-LSDHHAIUSA-N 1 2 318.421 1.649 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNC(=O)CCc1[nH]cc[nH+]1 ZINC001076320670 742664365 /nfs/dbraw/zinc/66/43/65/742664365.db2.gz RPHWMYNNJWVXJP-ZDUSSCGKSA-N 1 2 320.437 1.956 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)C3CC=CC3)C2)s1 ZINC001076827171 743020527 /nfs/dbraw/zinc/02/05/27/743020527.db2.gz XXFYBPRJVWWTCS-HUUCEWRRSA-N 1 2 317.414 1.247 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)C3CC=CC3)C2)s1 ZINC001076827171 743020535 /nfs/dbraw/zinc/02/05/35/743020535.db2.gz XXFYBPRJVWWTCS-HUUCEWRRSA-N 1 2 317.414 1.247 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001181124032 743074106 /nfs/dbraw/zinc/07/41/06/743074106.db2.gz KJVQPUHNXZSMPT-LLVKDONJSA-N 1 2 323.422 1.140 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001181475966 743220985 /nfs/dbraw/zinc/22/09/85/743220985.db2.gz LUDICLMHSWYCAW-VXGBXAGGSA-N 1 2 310.423 1.210 20 30 DDEDLO Cc1nc(C[NH+]2CCC(NC(=O)c3c[nH]c(C#N)c3)CC2)co1 ZINC001002648467 743297118 /nfs/dbraw/zinc/29/71/18/743297118.db2.gz LAQIGXHEFSUWSC-UHFFFAOYSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H](C)CCNC(=O)Cn2cc[nH+]c2)CC1 ZINC001077184354 743301204 /nfs/dbraw/zinc/30/12/04/743301204.db2.gz AUVKOJPGDKKIDA-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2CCCN(CC(F)F)C2)C1 ZINC001043652278 743304746 /nfs/dbraw/zinc/30/47/46/743304746.db2.gz AWPFXKGCKCZMKN-ZDUSSCGKSA-N 1 2 313.392 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2sccc2C)[C@H](O)C1 ZINC001089982491 743432991 /nfs/dbraw/zinc/43/29/91/743432991.db2.gz ARWNUKQVDPGCLP-VXGBXAGGSA-N 1 2 314.838 1.974 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2sccc2C)[C@H](O)C1 ZINC001089982491 743432994 /nfs/dbraw/zinc/43/29/94/743432994.db2.gz ARWNUKQVDPGCLP-VXGBXAGGSA-N 1 2 314.838 1.974 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)[C@@H](O)C1 ZINC001083584828 743481114 /nfs/dbraw/zinc/48/11/14/743481114.db2.gz PMKQMZOLBGNZOH-KGLIPLIRSA-N 1 2 324.783 1.586 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)[C@@H](O)C1 ZINC001083584828 743481110 /nfs/dbraw/zinc/48/11/10/743481110.db2.gz PMKQMZOLBGNZOH-KGLIPLIRSA-N 1 2 324.783 1.586 20 30 DDEDLO C=CCOCC[NH+]1CC(OC2CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC001105694931 743484876 /nfs/dbraw/zinc/48/48/76/743484876.db2.gz AKBKEIOZNUSYBD-CQSZACIVSA-N 1 2 321.421 1.040 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC1CC[NH+](Cc2ccon2)CC1 ZINC001002846659 743501505 /nfs/dbraw/zinc/50/15/05/743501505.db2.gz GQAHYGCFRUIPAY-CVEARBPZSA-N 1 2 319.405 1.736 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2=Cc3ccc(OC)cc3OC2)CC1 ZINC001182642505 743690241 /nfs/dbraw/zinc/69/02/41/743690241.db2.gz JCHCJONPOWTILV-UHFFFAOYSA-N 1 2 314.385 1.801 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H](C)[C@H](C)COC)CC2)C1 ZINC001105713633 743745652 /nfs/dbraw/zinc/74/56/52/743745652.db2.gz NIKSAHSSECATDW-HUUCEWRRSA-N 1 2 324.465 1.783 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC2C[NH+](CCc3cccs3)C2)c1 ZINC001030193946 743925477 /nfs/dbraw/zinc/92/54/77/743925477.db2.gz DDGPNZVYRDAJCY-UHFFFAOYSA-N 1 2 300.387 1.605 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CC[C@@H]([NH2+]Cc2csnn2)C1 ZINC001184637392 744076811 /nfs/dbraw/zinc/07/68/11/744076811.db2.gz GOXASHBUHJQLNT-IUODEOHRSA-N 1 2 324.450 1.457 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH2+][C@H](C)c2nc(C)no2)C1 ZINC001185007127 744136175 /nfs/dbraw/zinc/13/61/75/744136175.db2.gz MGWRLFLJSWJEDS-TZMCWYRMSA-N 1 2 304.394 1.823 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](CNC(C)=O)c2ccccc2)CC1 ZINC001185946152 744311609 /nfs/dbraw/zinc/31/16/09/744311609.db2.gz FIWIXBUFZTVLKC-QGZVFWFLSA-N 1 2 315.417 1.237 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)/C(C)=C/C)C2)nn1 ZINC001185916652 744313917 /nfs/dbraw/zinc/31/39/17/744313917.db2.gz QYKMGSDXTOHEOI-WQPBDQAGSA-N 1 2 301.394 1.131 20 30 DDEDLO CC(C)[N@H+](C)Cc1nnc2n1CCCN(C(=O)[C@@H]1C[C@@H]1C#N)C2 ZINC001186974848 744480940 /nfs/dbraw/zinc/48/09/40/744480940.db2.gz IIDFKEKISAUPTQ-CHWSQXEVSA-N 1 2 316.409 1.010 20 30 DDEDLO CC(C)[N@@H+](C)Cc1nnc2n1CCCN(C(=O)[C@@H]1C[C@@H]1C#N)C2 ZINC001186974848 744480943 /nfs/dbraw/zinc/48/09/43/744480943.db2.gz IIDFKEKISAUPTQ-CHWSQXEVSA-N 1 2 316.409 1.010 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)[C@@H]2CCn3c[nH+]cc3C2)C1 ZINC001014982537 744521765 /nfs/dbraw/zinc/52/17/65/744521765.db2.gz FQRVYHJLBOXRST-OLZOCXBDSA-N 1 2 308.813 1.389 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccc(F)cn1 ZINC001110394874 744575706 /nfs/dbraw/zinc/57/57/06/744575706.db2.gz OPAZWMCWWRHGOK-QOWREQOWSA-N 1 2 302.353 1.602 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(F)cn1 ZINC001110394874 744575709 /nfs/dbraw/zinc/57/57/09/744575709.db2.gz OPAZWMCWWRHGOK-QOWREQOWSA-N 1 2 302.353 1.602 20 30 DDEDLO C[NH+](C)Cc1csc(CNc2nccc(C#N)c2[N+](=O)[O-])n1 ZINC001187640995 744588395 /nfs/dbraw/zinc/58/83/95/744588395.db2.gz YTGNWSYMTKSZMI-UHFFFAOYSA-N 1 2 318.362 1.992 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)co1 ZINC001187841446 744616809 /nfs/dbraw/zinc/61/68/09/744616809.db2.gz ZQIWJGBVCLCQBJ-GOEBONIOSA-N 1 2 301.390 1.675 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H]3CCN(C(=O)C#CC(C)C)[C@@H]3C2)co1 ZINC001187841446 744616813 /nfs/dbraw/zinc/61/68/13/744616813.db2.gz ZQIWJGBVCLCQBJ-GOEBONIOSA-N 1 2 301.390 1.675 20 30 DDEDLO CC#CC[NH2+]C1CC2(CC(NC(=O)C(F)C(F)(F)F)C2)C1 ZINC001121145150 744651177 /nfs/dbraw/zinc/65/11/77/744651177.db2.gz RTQAOQIBYIOKRM-XPOXDWOYSA-N 1 2 306.303 1.927 20 30 DDEDLO CC#CC[NH2+]C1CC2(CC(NC(=O)[C@@H](F)C(F)(F)F)C2)C1 ZINC001121145150 744651181 /nfs/dbraw/zinc/65/11/81/744651181.db2.gz RTQAOQIBYIOKRM-XPOXDWOYSA-N 1 2 306.303 1.927 20 30 DDEDLO C[N@@H+](Cc1ncccn1)C[C@@H]1CCCCN1C(=O)C#CC1CC1 ZINC001089174481 744662693 /nfs/dbraw/zinc/66/26/93/744662693.db2.gz BNYZHSCLGWUJQB-INIZCTEOSA-N 1 2 312.417 1.703 20 30 DDEDLO C[N@H+](Cc1ncccn1)C[C@@H]1CCCCN1C(=O)C#CC1CC1 ZINC001089174481 744662695 /nfs/dbraw/zinc/66/26/95/744662695.db2.gz BNYZHSCLGWUJQB-INIZCTEOSA-N 1 2 312.417 1.703 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001188886271 744788771 /nfs/dbraw/zinc/78/87/71/744788771.db2.gz VSRZFZYERCIASM-OFQRWUPVSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3cn(C)nn3)C[C@H]21 ZINC001188886271 744788772 /nfs/dbraw/zinc/78/87/72/744788772.db2.gz VSRZFZYERCIASM-OFQRWUPVSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([N@H+](C)Cc2nocc2C)C1 ZINC001189573119 744925724 /nfs/dbraw/zinc/92/57/24/744925724.db2.gz BTSPUSOBBQQIHL-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2nocc2C)C1 ZINC001189573119 744925725 /nfs/dbraw/zinc/92/57/25/744925725.db2.gz BTSPUSOBBQQIHL-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001190104092 745100717 /nfs/dbraw/zinc/10/07/17/745100717.db2.gz CHRWKDLCPSRJLG-SWLSCSKDSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001190104092 745100720 /nfs/dbraw/zinc/10/07/20/745100720.db2.gz CHRWKDLCPSRJLG-SWLSCSKDSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@H+](C)Cc2nccs2)C1 ZINC001190120938 745122242 /nfs/dbraw/zinc/12/22/42/745122242.db2.gz NEEWJIAGACJGJZ-CYBMUJFWSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2nccs2)C1 ZINC001190120938 745122243 /nfs/dbraw/zinc/12/22/43/745122243.db2.gz NEEWJIAGACJGJZ-CYBMUJFWSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@@H+](C)[C@@H](C)c2ncc(C)o2)C1 ZINC001190128625 745125084 /nfs/dbraw/zinc/12/50/84/745125084.db2.gz MXAWYMNLBFPJJV-GJZGRUSLSA-N 1 2 319.405 1.617 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)c2ncc(C)o2)C1 ZINC001190128625 745125088 /nfs/dbraw/zinc/12/50/88/745125088.db2.gz MXAWYMNLBFPJJV-GJZGRUSLSA-N 1 2 319.405 1.617 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001190439681 745220607 /nfs/dbraw/zinc/22/06/07/745220607.db2.gz BOPLZLQNRCSKPY-IJEWVQPXSA-N 1 2 318.421 1.044 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]2C[N@@H+](Cc3ccn(C)n3)C[C@H]21 ZINC001190439681 745220610 /nfs/dbraw/zinc/22/06/10/745220610.db2.gz BOPLZLQNRCSKPY-IJEWVQPXSA-N 1 2 318.421 1.044 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(OC3COC3)c(F)c2)CC1 ZINC001190455270 745227516 /nfs/dbraw/zinc/22/75/16/745227516.db2.gz DKPTYNSQMAEGHV-UHFFFAOYSA-N 1 2 320.364 1.547 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)Cc2noc3ccc(F)cc23)C1 ZINC001031092350 745357541 /nfs/dbraw/zinc/35/75/41/745357541.db2.gz QOLNRTWLENEFRX-UHFFFAOYSA-N 1 2 301.321 1.333 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2ccc(=O)[nH]n2)[C@@H]1C ZINC000993003167 745360888 /nfs/dbraw/zinc/36/08/88/745360888.db2.gz KBWLQYOLCWKPEO-QWRGUYRKSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(=O)[nH]n2)[C@@H]1C ZINC000993003167 745360893 /nfs/dbraw/zinc/36/08/93/745360893.db2.gz KBWLQYOLCWKPEO-QWRGUYRKSA-N 1 2 310.785 1.517 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191106301 745425222 /nfs/dbraw/zinc/42/52/22/745425222.db2.gz XLLHJAVLFJMGLX-ZLKJLUDKSA-N 1 2 307.419 1.327 20 30 DDEDLO CC[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@H]1O ZINC001191106301 745425225 /nfs/dbraw/zinc/42/52/25/745425225.db2.gz XLLHJAVLFJMGLX-ZLKJLUDKSA-N 1 2 307.419 1.327 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)[C@]3(F)CCOC3)C2)s1 ZINC001015611281 745838422 /nfs/dbraw/zinc/83/84/22/745838422.db2.gz ICHVGBHRPULIQR-ABAIWWIYSA-N 1 2 323.393 1.439 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)[C@]3(F)CCOC3)C2)s1 ZINC001015611281 745838429 /nfs/dbraw/zinc/83/84/29/745838429.db2.gz ICHVGBHRPULIQR-ABAIWWIYSA-N 1 2 323.393 1.439 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cc(=O)n(C)o2)[C@@H]1C ZINC000993283516 745940027 /nfs/dbraw/zinc/94/00/27/745940027.db2.gz QDNZKDKGHSDLTJ-WDEREUQCSA-N 1 2 313.785 1.314 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cc(=O)n(C)o2)[C@@H]1C ZINC000993283516 745940030 /nfs/dbraw/zinc/94/00/30/745940030.db2.gz QDNZKDKGHSDLTJ-WDEREUQCSA-N 1 2 313.785 1.314 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2cc(OC)ns2)[C@H]1C ZINC000993368160 746039382 /nfs/dbraw/zinc/03/93/82/746039382.db2.gz RSYMSISYGHWDSF-NEPJUHHUSA-N 1 2 307.419 1.758 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(OC)ns2)[C@H]1C ZINC000993368160 746039387 /nfs/dbraw/zinc/03/93/87/746039387.db2.gz RSYMSISYGHWDSF-NEPJUHHUSA-N 1 2 307.419 1.758 20 30 DDEDLO COCCC[NH+]1CCC(NC(=O)c2cccc(C#N)c2O)CC1 ZINC001193658007 746169039 /nfs/dbraw/zinc/16/90/39/746169039.db2.gz PQGHVEXWTKGPKS-UHFFFAOYSA-N 1 2 317.389 1.495 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2c[nH]c(=O)cn2)[C@@H]1C ZINC000993733652 746315130 /nfs/dbraw/zinc/31/51/30/746315130.db2.gz YJCRWGBWJPNGBJ-QWRGUYRKSA-N 1 2 310.785 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(=O)cn2)[C@@H]1C ZINC000993733652 746315137 /nfs/dbraw/zinc/31/51/37/746315137.db2.gz YJCRWGBWJPNGBJ-QWRGUYRKSA-N 1 2 310.785 1.105 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2CCCCC2)CC1 ZINC001194681923 746436882 /nfs/dbraw/zinc/43/68/82/746436882.db2.gz PYJTVADPKQOIQW-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2CCCCC2)CC1 ZINC001194681923 746436884 /nfs/dbraw/zinc/43/68/84/746436884.db2.gz PYJTVADPKQOIQW-HNNXBMFYSA-N 1 2 319.449 1.239 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+](Cc2cncc(F)c2)CC1 ZINC001195652467 746673471 /nfs/dbraw/zinc/67/34/71/746673471.db2.gz PUHOZCKFTCHNHY-KRWDZBQOSA-N 1 2 321.396 1.582 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cncc(F)c2)CC1 ZINC001195652467 746673474 /nfs/dbraw/zinc/67/34/74/746673474.db2.gz PUHOZCKFTCHNHY-KRWDZBQOSA-N 1 2 321.396 1.582 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@@H+]([C@@H](C)c2cnccn2)CC1 ZINC001195792499 746706278 /nfs/dbraw/zinc/70/62/78/746706278.db2.gz UJCQEHLVDFDJJO-GJZGRUSLSA-N 1 2 316.405 1.110 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[N@H+]([C@@H](C)c2cnccn2)CC1 ZINC001195792499 746706280 /nfs/dbraw/zinc/70/62/80/746706280.db2.gz UJCQEHLVDFDJJO-GJZGRUSLSA-N 1 2 316.405 1.110 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cncs3)C[C@H]2O)CCC1 ZINC001195940456 746731754 /nfs/dbraw/zinc/73/17/54/746731754.db2.gz WLMPZFNOTQSXEE-ZIAGYGMSSA-N 1 2 321.446 1.551 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3cncs3)C[C@H]2O)CCC1 ZINC001195940456 746731758 /nfs/dbraw/zinc/73/17/58/746731758.db2.gz WLMPZFNOTQSXEE-ZIAGYGMSSA-N 1 2 321.446 1.551 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@H]1O ZINC001195932314 746739711 /nfs/dbraw/zinc/73/97/11/746739711.db2.gz MHCCBSOZUHWMQT-BFYDXBDKSA-N 1 2 303.406 1.345 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@H]1O ZINC001195932314 746739713 /nfs/dbraw/zinc/73/97/13/746739713.db2.gz MHCCBSOZUHWMQT-BFYDXBDKSA-N 1 2 303.406 1.345 20 30 DDEDLO C[C@@H]1C[C@H]1C(=O)NCC1C[NH+](Cc2ccc(F)c(C#N)c2)C1 ZINC001031521304 746950564 /nfs/dbraw/zinc/95/05/64/746950564.db2.gz BKLSBJLHZSMPHJ-IAQYHMDHSA-N 1 2 301.365 1.901 20 30 DDEDLO C#CCCCCCC(=O)N1CCC[N@@H+](CC(=O)NCC=C)CC1 ZINC001196769373 746956906 /nfs/dbraw/zinc/95/69/06/746956906.db2.gz CSUAKQCIWXXPCQ-UHFFFAOYSA-N 1 2 319.449 1.407 20 30 DDEDLO C#CCCCCCC(=O)N1CCC[N@H+](CC(=O)NCC=C)CC1 ZINC001196769373 746956909 /nfs/dbraw/zinc/95/69/09/746956909.db2.gz CSUAKQCIWXXPCQ-UHFFFAOYSA-N 1 2 319.449 1.407 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)[C@@H](C)C=C)CC1 ZINC001196854895 746991682 /nfs/dbraw/zinc/99/16/82/746991682.db2.gz VECZWUODBGZRAB-GJZGRUSLSA-N 1 2 307.438 1.281 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)[C@@H](C)C=C)CC1 ZINC001196854895 746991685 /nfs/dbraw/zinc/99/16/85/746991685.db2.gz VECZWUODBGZRAB-GJZGRUSLSA-N 1 2 307.438 1.281 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCCCC)CC1 ZINC001196854698 746991935 /nfs/dbraw/zinc/99/19/35/746991935.db2.gz SFJPEWCWEQJKET-HOTGVXAUSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CCC[N@H+](CC(=O)NCCCC)CC1 ZINC001196854698 746991941 /nfs/dbraw/zinc/99/19/41/746991941.db2.gz SFJPEWCWEQJKET-HOTGVXAUSA-N 1 2 323.481 1.895 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC(NC(=O)c3cn[nH]n3)CC2)s1 ZINC001003231341 747067339 /nfs/dbraw/zinc/06/73/39/747067339.db2.gz MEUCFPOJBIDAOV-UHFFFAOYSA-N 1 2 316.390 1.132 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC(NC(=O)c3cnn[nH]3)CC2)s1 ZINC001003231341 747067347 /nfs/dbraw/zinc/06/73/47/747067347.db2.gz MEUCFPOJBIDAOV-UHFFFAOYSA-N 1 2 316.390 1.132 20 30 DDEDLO C[C@H](C[C@H](C)Nc1nccnc1C#N)NC(=O)Cc1c[nH]c[nH+]1 ZINC001089490720 747091299 /nfs/dbraw/zinc/09/12/99/747091299.db2.gz UZBKNVHWWLMWQG-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO CCn1cc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)cn1 ZINC001031587575 747111521 /nfs/dbraw/zinc/11/15/21/747111521.db2.gz LYACBTFSNPDFOU-UHFFFAOYSA-N 1 2 322.412 1.616 20 30 DDEDLO CC(C)(F)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001090002211 747194179 /nfs/dbraw/zinc/19/41/79/747194179.db2.gz QKLPHRZEHUFAGT-HUUCEWRRSA-N 1 2 319.380 1.358 20 30 DDEDLO CC(C)(F)C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001090002211 747194181 /nfs/dbraw/zinc/19/41/81/747194181.db2.gz QKLPHRZEHUFAGT-HUUCEWRRSA-N 1 2 319.380 1.358 20 30 DDEDLO CC(=O)N1CC[C@@H](n2cc(C[NH2+]Cc3cccc(C#N)c3)nn2)C1 ZINC001089571360 747257818 /nfs/dbraw/zinc/25/78/18/747257818.db2.gz BSRZVGQTRMGEBI-QGZVFWFLSA-N 1 2 324.388 1.233 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC1CC[NH+](Cc2cc(C)on2)CC1 ZINC001003650591 747348617 /nfs/dbraw/zinc/34/86/17/747348617.db2.gz XFMJJGGJFIUJON-CVEARBPZSA-N 1 2 319.405 1.655 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@@H+]([C@@H](C)C(N)=O)CC2)CCCCC1 ZINC001198220992 747411255 /nfs/dbraw/zinc/41/12/55/747411255.db2.gz CHEWVJMRVQZGNB-HNNXBMFYSA-N 1 2 321.465 1.921 20 30 DDEDLO C=CCC1(C(=O)N2CCC[N@H+]([C@@H](C)C(N)=O)CC2)CCCCC1 ZINC001198220992 747411258 /nfs/dbraw/zinc/41/12/58/747411258.db2.gz CHEWVJMRVQZGNB-HNNXBMFYSA-N 1 2 321.465 1.921 20 30 DDEDLO CN(C(=O)C(F)F)[C@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001032855581 747470471 /nfs/dbraw/zinc/47/04/71/747470471.db2.gz JZHDKSPZSLAWDO-LBPRGKRZSA-N 1 2 311.307 1.995 20 30 DDEDLO CN(C(=O)C(F)F)[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001032855581 747470475 /nfs/dbraw/zinc/47/04/75/747470475.db2.gz JZHDKSPZSLAWDO-LBPRGKRZSA-N 1 2 311.307 1.995 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000998744600 752195409 /nfs/dbraw/zinc/19/54/09/752195409.db2.gz CMPHZGARZWVDLI-QWHCGFSZSA-N 1 2 319.409 1.508 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C(F)(F)F)no2)C1 ZINC001007560671 752209876 /nfs/dbraw/zinc/20/98/76/752209876.db2.gz KTZDFSWGOZZZRX-JTQLQIEISA-N 1 2 315.295 1.911 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NC(=O)c2cc(C(F)(F)F)no2)C1 ZINC001007560671 752209881 /nfs/dbraw/zinc/20/98/81/752209881.db2.gz KTZDFSWGOZZZRX-JTQLQIEISA-N 1 2 315.295 1.911 20 30 DDEDLO CC(C)(C(=O)N1CC[C@]2(C1)CCCN(CC#N)C2)c1c[nH+]c[nH]1 ZINC001040492534 752214032 /nfs/dbraw/zinc/21/40/32/752214032.db2.gz CEHHONNPYCSNNO-QGZVFWFLSA-N 1 2 315.421 1.525 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H](N(C)C(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001033022662 747955735 /nfs/dbraw/zinc/95/57/35/747955735.db2.gz PGYLJMQHFHQPEP-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H](N(C)C(=O)c3ccc(C#N)[nH]3)C2)o1 ZINC001033022662 747955736 /nfs/dbraw/zinc/95/57/36/747955736.db2.gz PGYLJMQHFHQPEP-CYBMUJFWSA-N 1 2 313.361 1.529 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2cncc(C)c2)C1 ZINC001108064601 748024722 /nfs/dbraw/zinc/02/47/22/748024722.db2.gz KJOQNPDFSJUODJ-GOSISDBHSA-N 1 2 317.433 1.716 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2cncc(C)c2)C1 ZINC001108064601 748024728 /nfs/dbraw/zinc/02/47/28/748024728.db2.gz KJOQNPDFSJUODJ-GOSISDBHSA-N 1 2 317.433 1.716 20 30 DDEDLO CN(C(=O)c1ncn(C)n1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033062031 748133792 /nfs/dbraw/zinc/13/37/92/748133792.db2.gz YDRYWHHGIFMMQC-HNNXBMFYSA-N 1 2 324.388 1.033 20 30 DDEDLO CN(C(=O)c1ncn(C)n1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033062031 748133794 /nfs/dbraw/zinc/13/37/94/748133794.db2.gz YDRYWHHGIFMMQC-HNNXBMFYSA-N 1 2 324.388 1.033 20 30 DDEDLO C=CC[NH+]1CCN(C(=S)NCc2ccc(OC)cc2)CC1 ZINC001200355434 748212835 /nfs/dbraw/zinc/21/28/35/748212835.db2.gz PCGQKYLNNFGBSG-UHFFFAOYSA-N 1 2 305.447 1.873 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1nn(C)cc1Cl ZINC001124488500 748278053 /nfs/dbraw/zinc/27/80/53/748278053.db2.gz PHVYRQLWEUBYMK-NSHDSACASA-N 1 2 314.817 1.261 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC001124495996 748288221 /nfs/dbraw/zinc/28/82/21/748288221.db2.gz URYYJJRUAIOSIS-NWDGAFQWSA-N 1 2 322.409 1.695 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCC[C@H]1CNc1cc[nH+]c(C)n1 ZINC001110554881 748294526 /nfs/dbraw/zinc/29/45/26/748294526.db2.gz JDIVNQQTBBBDFE-JSGCOSHPSA-N 1 2 302.378 1.226 20 30 DDEDLO N#CC[N@H+]1CCC[C@@H]1C1CCN(C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001004323199 748305779 /nfs/dbraw/zinc/30/57/79/748305779.db2.gz UZANIRIOBXIRJU-CQSZACIVSA-N 1 2 315.377 1.022 20 30 DDEDLO N#CC[N@@H+]1CCC[C@@H]1C1CCN(C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001004323199 748305784 /nfs/dbraw/zinc/30/57/84/748305784.db2.gz UZANIRIOBXIRJU-CQSZACIVSA-N 1 2 315.377 1.022 20 30 DDEDLO C[C@@H](C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1)n1cncn1 ZINC001004416011 748410710 /nfs/dbraw/zinc/41/07/10/748410710.db2.gz WHJHXWSKKPDKLQ-ZFWWWQNUSA-N 1 2 316.409 1.066 20 30 DDEDLO C[C@@H](C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1)n1cncn1 ZINC001004416011 748410715 /nfs/dbraw/zinc/41/07/15/748410715.db2.gz WHJHXWSKKPDKLQ-ZFWWWQNUSA-N 1 2 316.409 1.066 20 30 DDEDLO C[C@@H](C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1)n1cncn1 ZINC001004415995 748411595 /nfs/dbraw/zinc/41/15/95/748411595.db2.gz WHJHXWSKKPDKLQ-DZGCQCFKSA-N 1 2 316.409 1.066 20 30 DDEDLO C[C@@H](C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1)n1cncn1 ZINC001004415995 748411597 /nfs/dbraw/zinc/41/15/97/748411597.db2.gz WHJHXWSKKPDKLQ-DZGCQCFKSA-N 1 2 316.409 1.066 20 30 DDEDLO Cn1cncc1C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004478512 748467827 /nfs/dbraw/zinc/46/78/27/748467827.db2.gz WIIHPMYDIJGXGH-CQSZACIVSA-N 1 2 301.394 1.260 20 30 DDEDLO Cn1cncc1C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1 ZINC001004478512 748467830 /nfs/dbraw/zinc/46/78/30/748467830.db2.gz WIIHPMYDIJGXGH-CQSZACIVSA-N 1 2 301.394 1.260 20 30 DDEDLO Cc1ccccc1-c1nc(C[NH2+]CCNC(=O)[C@@H](C)C#N)no1 ZINC001124904135 748630130 /nfs/dbraw/zinc/63/01/30/748630130.db2.gz WXGAVKUKYOJTDR-LBPRGKRZSA-N 1 2 313.361 1.411 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C2CC3(C2)CCOCC3)C1 ZINC001032127660 748715221 /nfs/dbraw/zinc/71/52/21/748715221.db2.gz BLAUNIACDNPITE-UHFFFAOYSA-N 1 2 312.841 1.994 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2nccc3occc32)C1 ZINC001108089497 748781574 /nfs/dbraw/zinc/78/15/74/748781574.db2.gz GWCLUDCNEPKRQB-QGZVFWFLSA-N 1 2 315.373 1.835 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2nccc3occc32)C1 ZINC001108089497 748781578 /nfs/dbraw/zinc/78/15/78/748781578.db2.gz GWCLUDCNEPKRQB-QGZVFWFLSA-N 1 2 315.373 1.835 20 30 DDEDLO CC1(NC(=O)Cc2c[nH]c[nH+]2)CCN(c2ncccc2C#N)CC1 ZINC001110610192 748823113 /nfs/dbraw/zinc/82/31/13/748823113.db2.gz RJTZWUCCRBMZOL-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001033184421 748833723 /nfs/dbraw/zinc/83/37/23/748833723.db2.gz AFIRWCKWQPLOSG-SWLSCSKDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001033184421 748833725 /nfs/dbraw/zinc/83/37/25/748833725.db2.gz AFIRWCKWQPLOSG-SWLSCSKDSA-N 1 2 313.829 1.188 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2CN(C(=O)[C@H](C)C#N)CC2(C)C)o1 ZINC000995566897 748942292 /nfs/dbraw/zinc/94/22/92/748942292.db2.gz VETBBOIQFSDHQK-NEPJUHHUSA-N 1 2 319.409 1.679 20 30 DDEDLO CN(C(=O)c1cn[nH]n1)[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001033221229 748973719 /nfs/dbraw/zinc/97/37/19/748973719.db2.gz KMCPNBVMSBWSBZ-AWEZNQCLSA-N 1 2 310.361 1.023 20 30 DDEDLO CN(C(=O)c1cn[nH]n1)[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001033221229 748973727 /nfs/dbraw/zinc/97/37/27/748973727.db2.gz KMCPNBVMSBWSBZ-AWEZNQCLSA-N 1 2 310.361 1.023 20 30 DDEDLO C=CCOCCCC(=O)NC/C=C\CNc1cc[nH+]c(C)n1 ZINC001107091297 748999847 /nfs/dbraw/zinc/99/98/47/748999847.db2.gz HGONPFVYJKNALM-PLNGDYQASA-N 1 2 304.394 1.852 20 30 DDEDLO Cc1nonc1C(=O)NCC1C[NH+](Cc2ccc(C#N)s2)C1 ZINC001032225133 749032962 /nfs/dbraw/zinc/03/29/62/749032962.db2.gz YEYRYDWVVDZZSJ-UHFFFAOYSA-N 1 2 317.374 1.173 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001114352616 749103409 /nfs/dbraw/zinc/10/34/09/749103409.db2.gz DFYDGQSZNVSZKO-QLPKVWCKSA-N 1 2 302.422 1.806 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccn(CC)n3)C[C@H]21 ZINC001114352616 749103414 /nfs/dbraw/zinc/10/34/14/749103414.db2.gz DFYDGQSZNVSZKO-QLPKVWCKSA-N 1 2 302.422 1.806 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)Cc2cc(CC)nn2C)C1 ZINC001033282847 749118546 /nfs/dbraw/zinc/11/85/46/749118546.db2.gz QSRUMSCGWSNTJI-AWEZNQCLSA-N 1 2 324.856 1.810 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)Cc2cc(CC)nn2C)C1 ZINC001033282847 749118552 /nfs/dbraw/zinc/11/85/52/749118552.db2.gz QSRUMSCGWSNTJI-AWEZNQCLSA-N 1 2 324.856 1.810 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cscn2)[C@H](O)C1 ZINC001090134798 749197545 /nfs/dbraw/zinc/19/75/45/749197545.db2.gz REMZPNPQJLQEIW-MWLCHTKSSA-N 1 2 301.799 1.061 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cscn2)[C@H](O)C1 ZINC001090134798 749197549 /nfs/dbraw/zinc/19/75/49/749197549.db2.gz REMZPNPQJLQEIW-MWLCHTKSSA-N 1 2 301.799 1.061 20 30 DDEDLO Cc1noc(C[NH2+][C@@H]2CN(C(=O)C#CC3CC3)CC2(C)C)n1 ZINC000995773621 749217131 /nfs/dbraw/zinc/21/71/31/749217131.db2.gz DIDYXZPCAAWVPW-CYBMUJFWSA-N 1 2 302.378 1.118 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)/C=C(\C)C3CC3)nn2)C1 ZINC001107137296 749305458 /nfs/dbraw/zinc/30/54/58/749305458.db2.gz SLGDGIUAUPGBPT-MDWZMJQESA-N 1 2 313.405 1.131 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cn[nH]c2)cc1 ZINC001032293811 749400667 /nfs/dbraw/zinc/40/06/67/749400667.db2.gz CMOVZYDBKXXTTM-HOTGVXAUSA-N 1 2 307.357 1.380 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cn[nH]c2)cc1 ZINC001032293811 749400671 /nfs/dbraw/zinc/40/06/71/749400671.db2.gz CMOVZYDBKXXTTM-HOTGVXAUSA-N 1 2 307.357 1.380 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](C)[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001005202706 749507505 /nfs/dbraw/zinc/50/75/05/749507505.db2.gz YQRLTZOJTFTMLW-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2oc(CCC)nc2C)C1 ZINC001108344544 761961270 /nfs/dbraw/zinc/96/12/70/761961270.db2.gz QKUBSCGOXTWZSW-KRWDZBQOSA-N 1 2 321.421 1.942 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2oc(CCC)nc2C)C1 ZINC001108344544 761961276 /nfs/dbraw/zinc/96/12/76/761961276.db2.gz QKUBSCGOXTWZSW-KRWDZBQOSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107206892 749603801 /nfs/dbraw/zinc/60/38/01/749603801.db2.gz RRVFJHPTAKSMKG-QGZVFWFLSA-N 1 2 317.437 1.929 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001033687914 749719739 /nfs/dbraw/zinc/71/97/39/749719739.db2.gz GNGFYURTHNBCQQ-CHWSQXEVSA-N 1 2 313.829 1.140 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001033687914 749719743 /nfs/dbraw/zinc/71/97/43/749719743.db2.gz GNGFYURTHNBCQQ-CHWSQXEVSA-N 1 2 313.829 1.140 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nn[nH]c21 ZINC001039379385 761979400 /nfs/dbraw/zinc/97/94/00/761979400.db2.gz NORRQFSFYYDIBQ-KGLIPLIRSA-N 1 2 323.400 1.660 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nn[nH]c21 ZINC001039379385 761979408 /nfs/dbraw/zinc/97/94/08/761979408.db2.gz NORRQFSFYYDIBQ-KGLIPLIRSA-N 1 2 323.400 1.660 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)C2=COCCO2)C1 ZINC001033741797 749810727 /nfs/dbraw/zinc/81/07/27/749810727.db2.gz HUGCXASZZMYVAP-LBPRGKRZSA-N 1 2 300.786 1.550 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)C2=COCCO2)C1 ZINC001033741797 749810729 /nfs/dbraw/zinc/81/07/29/749810729.db2.gz HUGCXASZZMYVAP-LBPRGKRZSA-N 1 2 300.786 1.550 20 30 DDEDLO C[NH+](C)[C@@H](C(=O)N1C[C@@H](NCC#N)C(C)(C)C1)c1cccnc1 ZINC000996694122 749918433 /nfs/dbraw/zinc/91/84/33/749918433.db2.gz MVUYWKBWTJNIBQ-HUUCEWRRSA-N 1 2 315.421 1.034 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc(OC)c2)C1 ZINC001108364884 761995402 /nfs/dbraw/zinc/99/54/02/761995402.db2.gz WZNQFMNZQFYYNY-GOSISDBHSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc(OC)c2)C1 ZINC001108364884 761995411 /nfs/dbraw/zinc/99/54/11/761995411.db2.gz WZNQFMNZQFYYNY-GOSISDBHSA-N 1 2 316.401 1.539 20 30 DDEDLO CC#CC[NH+]1CCC(N(C)C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001005394291 749964989 /nfs/dbraw/zinc/96/49/89/749964989.db2.gz BUARQOZNSQKKCC-HNNXBMFYSA-N 1 2 314.433 1.776 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2cc(CCC)[nH]n2)C1 ZINC001033912689 750090051 /nfs/dbraw/zinc/09/00/51/750090051.db2.gz KSBRQRQBFHAVCT-OAHLLOKOSA-N 1 2 302.422 1.922 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnccc2C)[C@@H](O)C1 ZINC001090158945 750133456 /nfs/dbraw/zinc/13/34/56/750133456.db2.gz OPCIICIESHPGIG-KGLIPLIRSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnccc2C)[C@@H](O)C1 ZINC001090158945 750133458 /nfs/dbraw/zinc/13/34/58/750133458.db2.gz OPCIICIESHPGIG-KGLIPLIRSA-N 1 2 309.797 1.308 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2c(C)n[nH]c2C)C1 ZINC001108390524 762012252 /nfs/dbraw/zinc/01/22/52/762012252.db2.gz FSPUVIKUOVKPID-QGZVFWFLSA-N 1 2 320.437 1.352 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2c(C)n[nH]c2C)C1 ZINC001108390524 762012260 /nfs/dbraw/zinc/01/22/60/762012260.db2.gz FSPUVIKUOVKPID-QGZVFWFLSA-N 1 2 320.437 1.352 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C\CNC(=O)CCc2c[nH]c[nH+]2)n1 ZINC001107566202 750151888 /nfs/dbraw/zinc/15/18/88/750151888.db2.gz MHTIAFGWKOXLHM-IHWYPQMZSA-N 1 2 324.388 1.702 20 30 DDEDLO Cc1ccc(C#N)c(NC/C=C\CNC(=O)CCc2c[nH+]c[nH]2)n1 ZINC001107566202 750151896 /nfs/dbraw/zinc/15/18/96/750151896.db2.gz MHTIAFGWKOXLHM-IHWYPQMZSA-N 1 2 324.388 1.702 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2cccc(F)c2)C1 ZINC001108382639 762017955 /nfs/dbraw/zinc/01/79/55/762017955.db2.gz PCPNZAHEHSKLLU-GOSISDBHSA-N 1 2 318.392 1.599 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cccc(F)c2)C1 ZINC001108382639 762017963 /nfs/dbraw/zinc/01/79/63/762017963.db2.gz PCPNZAHEHSKLLU-GOSISDBHSA-N 1 2 318.392 1.599 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001108383141 762017248 /nfs/dbraw/zinc/01/72/48/762017248.db2.gz XQOOGFQUNGOKHA-MRXNPFEDSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001108383141 762017253 /nfs/dbraw/zinc/01/72/53/762017253.db2.gz XQOOGFQUNGOKHA-MRXNPFEDSA-N 1 2 310.344 1.972 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2sc(C)nc2C)C1 ZINC001108385461 762022512 /nfs/dbraw/zinc/02/25/12/762022512.db2.gz HZIYJURIJQDAFM-OAHLLOKOSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2sc(C)nc2C)C1 ZINC001108385461 762022522 /nfs/dbraw/zinc/02/25/22/762022522.db2.gz HZIYJURIJQDAFM-OAHLLOKOSA-N 1 2 309.435 1.767 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1CC1CCC1 ZINC001032404960 750599042 /nfs/dbraw/zinc/59/90/42/750599042.db2.gz GQZDMDBFJZJQCK-HOTGVXAUSA-N 1 2 312.417 1.605 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1CC1CCC1 ZINC001032404960 750599044 /nfs/dbraw/zinc/59/90/44/750599044.db2.gz GQZDMDBFJZJQCK-HOTGVXAUSA-N 1 2 312.417 1.605 20 30 DDEDLO Cc1nc(N2C[C@@H]3[C@@H](CNC(=O)C#CC4CC4)[C@@H]3C2)c(C)c(C)[nH+]1 ZINC001114544129 750718548 /nfs/dbraw/zinc/71/85/48/750718548.db2.gz IGWZYHOHYDXZED-OSYLJGHBSA-N 1 2 324.428 1.614 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](CCC)OCC)C1 ZINC001108148188 750778615 /nfs/dbraw/zinc/77/86/15/750778615.db2.gz JHUVFQZSAXTQEP-DOTOQJQBSA-N 1 2 310.438 1.422 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](CCC)OCC)C1 ZINC001108148188 750778618 /nfs/dbraw/zinc/77/86/18/750778618.db2.gz JHUVFQZSAXTQEP-DOTOQJQBSA-N 1 2 310.438 1.422 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)[C@H](C)CC)CC2 ZINC001127944830 750809249 /nfs/dbraw/zinc/80/92/49/750809249.db2.gz XNJTXIDKVJIEOM-ZIAGYGMSSA-N 1 2 317.437 1.258 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(F)cc1F ZINC001032431637 750816927 /nfs/dbraw/zinc/81/69/27/750816927.db2.gz DHDIHUANKWENLQ-STQMWFEESA-N 1 2 306.312 1.262 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(F)cc1F ZINC001032431637 750816939 /nfs/dbraw/zinc/81/69/39/750816939.db2.gz DHDIHUANKWENLQ-STQMWFEESA-N 1 2 306.312 1.262 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)C(C)C)[C@@H](n2ccnn2)C1 ZINC001129025087 750980427 /nfs/dbraw/zinc/98/04/27/750980427.db2.gz FONBKEKGVMLFHH-CABCVRRESA-N 1 2 317.437 1.325 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)C(C)C)[C@@H](n2ccnn2)C1 ZINC001129025087 750980429 /nfs/dbraw/zinc/98/04/29/750980429.db2.gz FONBKEKGVMLFHH-CABCVRRESA-N 1 2 317.437 1.325 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCCCN(CC)c1cc[nH+]c(C)n1 ZINC001095889748 750989078 /nfs/dbraw/zinc/98/90/78/750989078.db2.gz GTNIWBBCQCMEKM-ZDUSSCGKSA-N 1 2 306.410 1.709 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001114710388 751011764 /nfs/dbraw/zinc/01/17/64/751011764.db2.gz CFYYEKVTVRDVTE-HWWDLCQESA-N 1 2 314.433 1.643 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001114710388 751011771 /nfs/dbraw/zinc/01/17/71/751011771.db2.gz CFYYEKVTVRDVTE-HWWDLCQESA-N 1 2 314.433 1.643 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114711501 751011995 /nfs/dbraw/zinc/01/19/95/751011995.db2.gz WDUBKJBCJKNOSL-VIKVFOODSA-N 1 2 302.378 1.118 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114711501 751012003 /nfs/dbraw/zinc/01/20/03/751012003.db2.gz WDUBKJBCJKNOSL-VIKVFOODSA-N 1 2 302.378 1.118 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114710672 751012018 /nfs/dbraw/zinc/01/20/18/751012018.db2.gz HWAHLKSMZDMBPR-VIKVFOODSA-N 1 2 302.378 1.118 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114710672 751012024 /nfs/dbraw/zinc/01/20/24/751012024.db2.gz HWAHLKSMZDMBPR-VIKVFOODSA-N 1 2 302.378 1.118 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N(C)CCCc1[nH+]ccn1CCC ZINC001118035400 751146850 /nfs/dbraw/zinc/14/68/50/751146850.db2.gz GKXGCQVWCKJXCZ-UHFFFAOYSA-N 1 2 320.437 1.767 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2[C@H]1CCCN(C)C1=O ZINC001032557571 751300097 /nfs/dbraw/zinc/30/00/97/751300097.db2.gz NESLVVVKNYKWNE-KKUMJFAQSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2[C@H]1CCCN(C)C1=O ZINC001032557571 751300104 /nfs/dbraw/zinc/30/01/04/751300104.db2.gz NESLVVVKNYKWNE-KKUMJFAQSA-N 1 2 319.449 1.495 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn2c(C)csc2n1 ZINC001032560291 751334298 /nfs/dbraw/zinc/33/42/98/751334298.db2.gz URAUHCLPAQKXOY-KBPBESRZSA-N 1 2 314.414 1.165 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn2c(C)csc2n1 ZINC001032560291 751334304 /nfs/dbraw/zinc/33/43/04/751334304.db2.gz URAUHCLPAQKXOY-KBPBESRZSA-N 1 2 314.414 1.165 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(-c2ccccc2)n1 ZINC001032653881 752606645 /nfs/dbraw/zinc/60/66/45/752606645.db2.gz HVRLHTVLAFSDMY-GJZGRUSLSA-N 1 2 306.369 1.609 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(-c2ccccc2)n1 ZINC001032653881 752606647 /nfs/dbraw/zinc/60/66/47/752606647.db2.gz HVRLHTVLAFSDMY-GJZGRUSLSA-N 1 2 306.369 1.609 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001032659162 752630676 /nfs/dbraw/zinc/63/06/76/752630676.db2.gz TVBDASOMJDQYNX-OFQRWUPVSA-N 1 2 300.333 1.048 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC001032659162 752630682 /nfs/dbraw/zinc/63/06/82/752630682.db2.gz TVBDASOMJDQYNX-OFQRWUPVSA-N 1 2 300.333 1.048 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Br)co1 ZINC001032666496 752674012 /nfs/dbraw/zinc/67/40/12/752674012.db2.gz RRTHHMFZEGJLPR-RYUDHWBXSA-N 1 2 323.190 1.964 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Br)co1 ZINC001032666496 752674019 /nfs/dbraw/zinc/67/40/19/752674019.db2.gz RRTHHMFZEGJLPR-RYUDHWBXSA-N 1 2 323.190 1.964 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cc(F)ccc1OC ZINC001032693381 752749170 /nfs/dbraw/zinc/74/91/70/752749170.db2.gz DGSPOHZPSVPQOJ-GJZGRUSLSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cc(F)ccc1OC ZINC001032693381 752749171 /nfs/dbraw/zinc/74/91/71/752749171.db2.gz DGSPOHZPSVPQOJ-GJZGRUSLSA-N 1 2 302.349 1.295 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1scc2c1OCCO2 ZINC001032712699 752830555 /nfs/dbraw/zinc/83/05/55/752830555.db2.gz GEGIQNNGNRFYLU-QWRGUYRKSA-N 1 2 306.387 1.604 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1scc2c1OCCO2 ZINC001032712699 752830564 /nfs/dbraw/zinc/83/05/64/752830564.db2.gz GEGIQNNGNRFYLU-QWRGUYRKSA-N 1 2 306.387 1.604 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)CN1c1ncccc1C#N ZINC001039758668 762204694 /nfs/dbraw/zinc/20/46/94/762204694.db2.gz YRFXKUYYURAYCI-DZGCQCFKSA-N 1 2 324.388 1.323 20 30 DDEDLO C[C@H]1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CN1c1ccncc1C#N ZINC001039767863 762208365 /nfs/dbraw/zinc/20/83/65/762208365.db2.gz RNGSMTRQAKNPMR-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CCCCC(=O)NCC[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001077901126 753374285 /nfs/dbraw/zinc/37/42/85/753374285.db2.gz BEFJVAVSZUYOHC-AWEZNQCLSA-N 1 2 304.394 1.088 20 30 DDEDLO O=C(Cc1ccco1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083878823 753432140 /nfs/dbraw/zinc/43/21/40/753432140.db2.gz KESIYGRQYCLUSA-MSOLQXFVSA-N 1 2 324.380 1.035 20 30 DDEDLO O=C(Cc1ccco1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001083878823 753432144 /nfs/dbraw/zinc/43/21/44/753432144.db2.gz KESIYGRQYCLUSA-MSOLQXFVSA-N 1 2 324.380 1.035 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CC(C)C)c1C ZINC001032757546 753504876 /nfs/dbraw/zinc/50/48/76/753504876.db2.gz TYDRLBNLSSOOKX-HOTGVXAUSA-N 1 2 314.433 1.770 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CC(C)C)c1C ZINC001032757546 753504881 /nfs/dbraw/zinc/50/48/81/753504881.db2.gz TYDRLBNLSSOOKX-HOTGVXAUSA-N 1 2 314.433 1.770 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ncccc2OCC)C1 ZINC001108448937 762235379 /nfs/dbraw/zinc/23/53/79/762235379.db2.gz GBYYAEPWAWQFTQ-KRWDZBQOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncccc2OCC)C1 ZINC001108448937 762235383 /nfs/dbraw/zinc/23/53/83/762235383.db2.gz GBYYAEPWAWQFTQ-KRWDZBQOSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001043140530 754066251 /nfs/dbraw/zinc/06/62/51/754066251.db2.gz OFNGWTDFPPSBFG-LBPRGKRZSA-N 1 2 308.813 1.341 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCO[C@@H](C[NH2+]Cc2csnn2)C1 ZINC001078440809 754080367 /nfs/dbraw/zinc/08/03/67/754080367.db2.gz HLUJLAJLNHZXFQ-ZDUSSCGKSA-N 1 2 324.450 1.457 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(C)nn(C)c2n1 ZINC001032804132 754101261 /nfs/dbraw/zinc/10/12/61/754101261.db2.gz XYYLMYSCDCOXKH-KBPBESRZSA-N 1 2 323.400 1.199 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(C)nn(C)c2n1 ZINC001032804132 754101264 /nfs/dbraw/zinc/10/12/64/754101264.db2.gz XYYLMYSCDCOXKH-KBPBESRZSA-N 1 2 323.400 1.199 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3cncnc3)C2)s1 ZINC001010710855 754115210 /nfs/dbraw/zinc/11/52/10/754115210.db2.gz GYIDGOYZSVHSOV-GFCCVEGCSA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3cncnc3)C2)s1 ZINC001010710855 754115218 /nfs/dbraw/zinc/11/52/18/754115218.db2.gz GYIDGOYZSVHSOV-GFCCVEGCSA-N 1 2 313.386 1.414 20 30 DDEDLO CCn1ccnc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001010808195 754177791 /nfs/dbraw/zinc/17/77/91/754177791.db2.gz XEAYBZNLJKFVJX-QGZVFWFLSA-N 1 2 322.412 1.759 20 30 DDEDLO CCn1ccnc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001010808195 754177796 /nfs/dbraw/zinc/17/77/96/754177796.db2.gz XEAYBZNLJKFVJX-QGZVFWFLSA-N 1 2 322.412 1.759 20 30 DDEDLO C[C@H]1CN(C(=O)Cn2cc[nH+]c2)CC[C@H]1Nc1ccc(C#N)cn1 ZINC001063754394 754331198 /nfs/dbraw/zinc/33/11/98/754331198.db2.gz SFRHGQVXVDNJFY-DZGCQCFKSA-N 1 2 324.388 1.499 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NCC1(NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001063896469 754392751 /nfs/dbraw/zinc/39/27/51/754392751.db2.gz MWUUXCKCTNHPEL-UHFFFAOYSA-N 1 2 318.421 1.641 20 30 DDEDLO C=CCCC(=O)N1CCC2(CN(C(=O)Cn3cc[nH+]c3)C2)CC1 ZINC001061043733 754651985 /nfs/dbraw/zinc/65/19/85/754651985.db2.gz YLNAIHTYXFJILA-UHFFFAOYSA-N 1 2 316.405 1.300 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCN(C(=O)Cc2[nH]c[nH+]c2C)CC1 ZINC001011847214 754740622 /nfs/dbraw/zinc/74/06/22/754740622.db2.gz OXJVYOKVFWULAG-UHFFFAOYSA-N 1 2 304.394 1.144 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cn1cc[nH+]c1 ZINC001064786136 754848813 /nfs/dbraw/zinc/84/88/13/754848813.db2.gz XGSSKUAMIPFXBP-HIFRSBDPSA-N 1 2 324.388 1.641 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN2C(=O)CCn2cc[nH+]c2)nc1 ZINC001064984269 754991047 /nfs/dbraw/zinc/99/10/47/754991047.db2.gz UWOVRLIVYGGHKP-HNNXBMFYSA-N 1 2 324.388 1.643 20 30 DDEDLO CC(=O)N1CC[NH+]([C@H]2CCN(c3cc(C)ncc3C#N)C2)CC1 ZINC001065184442 755114262 /nfs/dbraw/zinc/11/42/62/755114262.db2.gz BXUSSOXRAURWDO-INIZCTEOSA-N 1 2 313.405 1.005 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCO2)C1 ZINC001079391375 755282970 /nfs/dbraw/zinc/28/29/70/755282970.db2.gz ADYHJZKIPCGSIS-JLLWLGSASA-N 1 2 317.227 1.511 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCO2)C1 ZINC001079391375 755282976 /nfs/dbraw/zinc/28/29/76/755282976.db2.gz ADYHJZKIPCGSIS-JLLWLGSASA-N 1 2 317.227 1.511 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)[nH]1 ZINC001040125696 762398535 /nfs/dbraw/zinc/39/85/35/762398535.db2.gz HNEBNITYSCRGPQ-LRDDRELGSA-N 1 2 316.409 1.087 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@]3(CCN(C(=O)[C@@H](C)C#N)C3)C2)[nH]1 ZINC001040125696 762398541 /nfs/dbraw/zinc/39/85/41/762398541.db2.gz HNEBNITYSCRGPQ-LRDDRELGSA-N 1 2 316.409 1.087 20 30 DDEDLO C=C(C)CCC(=O)N1CC(N(CC)C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001079694517 755531695 /nfs/dbraw/zinc/53/16/95/755531695.db2.gz KQQPHVUMUBXEHP-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=CC(C)(C)C(=O)N(CC)C1CN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001080220752 755771596 /nfs/dbraw/zinc/77/15/96/755771596.db2.gz SYPPEJFTMXQOQR-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(CC)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001080288684 755827912 /nfs/dbraw/zinc/82/79/12/755827912.db2.gz AMHYJYRHHFJKDX-KBPBESRZSA-N 1 2 318.421 1.401 20 30 DDEDLO C[C@H]1CN(C(=O)C#CC2CC2)C[C@@H]1Nc1[nH+]cnc2c1cnn2C ZINC001067089481 755919646 /nfs/dbraw/zinc/91/96/46/755919646.db2.gz KAHMFULEWDJZSU-FZMZJTMJSA-N 1 2 324.388 1.036 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080467413 755929737 /nfs/dbraw/zinc/92/97/37/755929737.db2.gz TTXDHFXYSJEKKY-QGZVFWFLSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cnc3ccccn23)C1 ZINC001015011922 756069657 /nfs/dbraw/zinc/06/96/57/756069657.db2.gz XSPDDZHGDAWYGU-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cnc3ccccn23)C1 ZINC001015011922 756069660 /nfs/dbraw/zinc/06/96/60/756069660.db2.gz XSPDDZHGDAWYGU-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)cn3)C2)cc1 ZINC001015486714 756336652 /nfs/dbraw/zinc/33/66/52/756336652.db2.gz IOAQFGWHRPBDAZ-QGZVFWFLSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)cn3)C2)cc1 ZINC001015486714 756336657 /nfs/dbraw/zinc/33/66/57/756336657.db2.gz IOAQFGWHRPBDAZ-QGZVFWFLSA-N 1 2 320.396 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001015619748 756417637 /nfs/dbraw/zinc/41/76/37/756417637.db2.gz CYTRVYJQUDYGTL-WDEREUQCSA-N 1 2 309.801 1.168 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001015619748 756417641 /nfs/dbraw/zinc/41/76/41/756417641.db2.gz CYTRVYJQUDYGTL-WDEREUQCSA-N 1 2 309.801 1.168 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@H]2C)ccc1C#N ZINC001040260098 762465976 /nfs/dbraw/zinc/46/59/76/762465976.db2.gz CHKZEZGBZRFFTA-WFASDCNBSA-N 1 2 324.388 1.629 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3snnc3C)C2)C1 ZINC001015675726 756458243 /nfs/dbraw/zinc/45/82/43/756458243.db2.gz DCPWPSQVCQLARX-GFCCVEGCSA-N 1 2 306.435 1.893 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3snnc3C)C2)C1 ZINC001015675726 756458245 /nfs/dbraw/zinc/45/82/45/756458245.db2.gz DCPWPSQVCQLARX-GFCCVEGCSA-N 1 2 306.435 1.893 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](NC(=O)c2cc(C[NH+]3CCCC3)on2)C1 ZINC001015713633 756489685 /nfs/dbraw/zinc/48/96/85/756489685.db2.gz PZWCNJXGPAAKDG-CYBMUJFWSA-N 1 2 304.394 1.261 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H](NC(=O)c2cc(CN3CCCC3)on2)C1 ZINC001015713633 756489690 /nfs/dbraw/zinc/48/96/90/756489690.db2.gz PZWCNJXGPAAKDG-CYBMUJFWSA-N 1 2 304.394 1.261 20 30 DDEDLO C=CC[N@H+]1CC[C@@H](NC(=O)c2cc(CN3CCCC3)on2)C1 ZINC001015713633 756489692 /nfs/dbraw/zinc/48/96/92/756489692.db2.gz PZWCNJXGPAAKDG-CYBMUJFWSA-N 1 2 304.394 1.261 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001015812224 756567211 /nfs/dbraw/zinc/56/72/11/756567211.db2.gz ANPHBHDXCXDGTK-OLZOCXBDSA-N 1 2 319.430 1.822 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2cnc([C@@H]3CCCO3)s2)C1 ZINC001015812224 756567213 /nfs/dbraw/zinc/56/72/13/756567213.db2.gz ANPHBHDXCXDGTK-OLZOCXBDSA-N 1 2 319.430 1.822 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2sc(C)cc2OC)[C@H](OC)C1 ZINC001082199035 756672672 /nfs/dbraw/zinc/67/26/72/756672672.db2.gz XWNGSKCVOAROCF-TZMCWYRMSA-N 1 2 322.430 1.517 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2sc(C)cc2OC)[C@H](OC)C1 ZINC001082199035 756672675 /nfs/dbraw/zinc/67/26/75/756672675.db2.gz XWNGSKCVOAROCF-TZMCWYRMSA-N 1 2 322.430 1.517 20 30 DDEDLO N#CCN1CCC[C@]2(CCN(C(=O)CCn3cc[nH+]c3)C2)C1 ZINC001040320614 762487914 /nfs/dbraw/zinc/48/79/14/762487914.db2.gz UENNDNJLJNMZEO-INIZCTEOSA-N 1 2 301.394 1.111 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2coc(CC3CC3)n2)[C@H](OC)C1 ZINC001082284412 756723210 /nfs/dbraw/zinc/72/32/10/756723210.db2.gz DCSQHKBYUMNBRI-UKRRQHHQSA-N 1 2 317.389 1.079 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2coc(CC3CC3)n2)[C@H](OC)C1 ZINC001082284412 756723213 /nfs/dbraw/zinc/72/32/13/756723213.db2.gz DCSQHKBYUMNBRI-UKRRQHHQSA-N 1 2 317.389 1.079 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)CCC(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129334194 762488312 /nfs/dbraw/zinc/48/83/12/762488312.db2.gz WNHGJDVRISPGTL-CABCVRRESA-N 1 2 317.437 1.469 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)CCC(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001129334194 762488321 /nfs/dbraw/zinc/48/83/21/762488321.db2.gz WNHGJDVRISPGTL-CABCVRRESA-N 1 2 317.437 1.469 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cccc(C(F)F)c2)[C@H](OC)C1 ZINC001082336288 756744119 /nfs/dbraw/zinc/74/41/19/756744119.db2.gz HCBPUQIDFUEKTH-ZIAGYGMSSA-N 1 2 308.328 1.686 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cccc(C(F)F)c2)[C@H](OC)C1 ZINC001082336288 756744125 /nfs/dbraw/zinc/74/41/25/756744125.db2.gz HCBPUQIDFUEKTH-ZIAGYGMSSA-N 1 2 308.328 1.686 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccccc2OCC)[C@H](OC)C1 ZINC001082352480 756751088 /nfs/dbraw/zinc/75/10/88/756751088.db2.gz XMDBAPBHIJKRQZ-GDBMZVCRSA-N 1 2 302.374 1.148 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2OCC)[C@H](OC)C1 ZINC001082352480 756751092 /nfs/dbraw/zinc/75/10/92/756751092.db2.gz XMDBAPBHIJKRQZ-GDBMZVCRSA-N 1 2 302.374 1.148 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)s2)[C@H](OC)C1 ZINC001082389015 756765757 /nfs/dbraw/zinc/76/57/57/756765757.db2.gz UCFOOEZIRXCADO-CHWSQXEVSA-N 1 2 306.431 1.934 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)s2)[C@H](OC)C1 ZINC001082389015 756765758 /nfs/dbraw/zinc/76/57/58/756765758.db2.gz UCFOOEZIRXCADO-CHWSQXEVSA-N 1 2 306.431 1.934 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2ccccc2C)[C@H](OC)C1 ZINC001082397984 756769452 /nfs/dbraw/zinc/76/94/52/756769452.db2.gz CLIDWOMMYREZAM-IAGOWNOFSA-N 1 2 314.429 1.721 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)c2ccccc2C)[C@H](OC)C1 ZINC001082397984 756769455 /nfs/dbraw/zinc/76/94/55/756769455.db2.gz CLIDWOMMYREZAM-IAGOWNOFSA-N 1 2 314.429 1.721 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)CCCn3cc[nH+]c3)C2)nc1 ZINC001097197504 756968363 /nfs/dbraw/zinc/96/83/63/756968363.db2.gz WBFXOPWEGRGIBZ-GASCZTMLSA-N 1 2 324.388 1.689 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097317633 757087694 /nfs/dbraw/zinc/08/76/94/757087694.db2.gz IOANIKFCUYGXKV-UKRRQHHQSA-N 1 2 316.405 1.156 20 30 DDEDLO N#Cc1cccnc1N1CCCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001097467154 757192813 /nfs/dbraw/zinc/19/28/13/757192813.db2.gz UBRDGOIBTINYKW-OAHLLOKOSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C3=CCCC3)cc2)[C@@H](O)C1 ZINC001084131403 757295549 /nfs/dbraw/zinc/29/55/49/757295549.db2.gz FTOGLAGHBJRYTQ-MSOLQXFVSA-N 1 2 310.397 1.662 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C3=CCCC3)cc2)[C@@H](O)C1 ZINC001084131403 757295554 /nfs/dbraw/zinc/29/55/54/757295554.db2.gz FTOGLAGHBJRYTQ-MSOLQXFVSA-N 1 2 310.397 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(C#N)[nH]3)[C@@H]2C1 ZINC001084288258 757442726 /nfs/dbraw/zinc/44/27/26/757442726.db2.gz NISIBCDVMKWSHR-BXUZGUMPSA-N 1 2 304.781 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(C#N)[nH]3)[C@@H]2C1 ZINC001084288258 757442728 /nfs/dbraw/zinc/44/27/28/757442728.db2.gz NISIBCDVMKWSHR-BXUZGUMPSA-N 1 2 304.781 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)CCc3nccn3C)[C@@H]2C1 ZINC001084540624 757648950 /nfs/dbraw/zinc/64/89/50/757648950.db2.gz NUQQGKRCQZFWMF-ZIAGYGMSSA-N 1 2 322.840 1.638 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)CCc3nccn3C)[C@@H]2C1 ZINC001084540624 757648956 /nfs/dbraw/zinc/64/89/56/757648956.db2.gz NUQQGKRCQZFWMF-ZIAGYGMSSA-N 1 2 322.840 1.638 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3C)C[C@H]21 ZINC001084634919 757723701 /nfs/dbraw/zinc/72/37/01/757723701.db2.gz JPJUULOLPZRPRK-UNEWFSDZSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3C)C[C@H]21 ZINC001084634919 757723710 /nfs/dbraw/zinc/72/37/10/757723710.db2.gz JPJUULOLPZRPRK-UNEWFSDZSA-N 1 2 317.437 1.450 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cc([C@@H](C)CC)no3)[C@@H]2C1 ZINC001084707805 757796200 /nfs/dbraw/zinc/79/62/00/757796200.db2.gz DBOYDJLRWWBKSK-GZBFAFLISA-N 1 2 301.390 1.968 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc([C@@H](C)CC)no3)[C@@H]2C1 ZINC001084707805 757796204 /nfs/dbraw/zinc/79/62/04/757796204.db2.gz DBOYDJLRWWBKSK-GZBFAFLISA-N 1 2 301.390 1.968 20 30 DDEDLO Cc1nc(N2C[C@@H](CNC(=O)c3cc(C#N)c[nH]3)[C@H](C)C2)cc[nH+]1 ZINC001052856108 757883628 /nfs/dbraw/zinc/88/36/28/757883628.db2.gz FUDCFBHYGNLDNP-BXUZGUMPSA-N 1 2 324.388 1.487 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3cnn(CC)c3)[C@@H]2C1 ZINC001084767106 757891975 /nfs/dbraw/zinc/89/19/75/757891975.db2.gz GTWHRKGAUYDRIK-HUUCEWRRSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cnn(CC)c3)[C@@H]2C1 ZINC001084767106 757891987 /nfs/dbraw/zinc/89/19/87/757891987.db2.gz GTWHRKGAUYDRIK-HUUCEWRRSA-N 1 2 322.840 1.731 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2ncc[nH]2)c1 ZINC001017533196 758003318 /nfs/dbraw/zinc/00/33/18/758003318.db2.gz IZDQPNIHPMCVLU-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)c2ncc[nH]2)c1 ZINC001017533196 758003330 /nfs/dbraw/zinc/00/33/30/758003330.db2.gz IZDQPNIHPMCVLU-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc2ncn(C)c21 ZINC001017633290 758101659 /nfs/dbraw/zinc/10/16/59/758101659.db2.gz STBRUODQHWSNPV-OKILXGFUSA-N 1 2 323.400 1.280 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc2ncn(C)c21 ZINC001017633290 758101666 /nfs/dbraw/zinc/10/16/66/758101666.db2.gz STBRUODQHWSNPV-OKILXGFUSA-N 1 2 323.400 1.280 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)F)ncn1 ZINC001017661148 758129630 /nfs/dbraw/zinc/12/96/30/758129630.db2.gz QWTKDDNDWGGGBH-TXEJJXNPSA-N 1 2 320.343 1.726 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(F)F)ncn1 ZINC001017661148 758129641 /nfs/dbraw/zinc/12/96/41/758129641.db2.gz QWTKDDNDWGGGBH-TXEJJXNPSA-N 1 2 320.343 1.726 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)CCC)CC2=O)C1 ZINC001108527765 762606860 /nfs/dbraw/zinc/60/68/60/762606860.db2.gz OIRJPPZHYUPPIC-ZIAGYGMSSA-N 1 2 307.438 1.400 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1Nc1ccc(C#N)nc1 ZINC001067206951 758234194 /nfs/dbraw/zinc/23/41/94/758234194.db2.gz GLQDMXNSKVRVDG-ABAIWWIYSA-N 1 2 310.361 1.178 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnccc1C)CCO2 ZINC001053202372 758259579 /nfs/dbraw/zinc/25/95/79/758259579.db2.gz PIYYUQNBLOMYRU-UHFFFAOYSA-N 1 2 301.390 1.493 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001017840187 758288234 /nfs/dbraw/zinc/28/82/34/758288234.db2.gz AGKFQJRIMXANIB-VNHYZAJKSA-N 1 2 314.389 1.183 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001017840187 758288241 /nfs/dbraw/zinc/28/82/41/758288241.db2.gz AGKFQJRIMXANIB-VNHYZAJKSA-N 1 2 314.389 1.183 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2cccnc21 ZINC001017858111 758302123 /nfs/dbraw/zinc/30/21/23/758302123.db2.gz YKHOAEYAHMGNRT-IXDOHACOSA-N 1 2 309.413 1.810 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2cccnc21 ZINC001017858111 758302134 /nfs/dbraw/zinc/30/21/34/758302134.db2.gz YKHOAEYAHMGNRT-IXDOHACOSA-N 1 2 309.413 1.810 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001017979733 758436718 /nfs/dbraw/zinc/43/67/18/758436718.db2.gz CUPQLHAEDCPMJP-VVLHAWIVSA-N 1 2 312.417 1.222 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001017979733 758436723 /nfs/dbraw/zinc/43/67/23/758436723.db2.gz CUPQLHAEDCPMJP-VVLHAWIVSA-N 1 2 312.417 1.222 20 30 DDEDLO C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1C(C)C ZINC001018092862 758542725 /nfs/dbraw/zinc/54/27/25/758542725.db2.gz GPFPOUAZRMEOJU-BETUJISGSA-N 1 2 318.446 1.974 20 30 DDEDLO C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1snnc1C(C)C ZINC001018092862 758542728 /nfs/dbraw/zinc/54/27/28/758542728.db2.gz GPFPOUAZRMEOJU-BETUJISGSA-N 1 2 318.446 1.974 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CCC)c1C ZINC001018101964 758548135 /nfs/dbraw/zinc/54/81/35/758548135.db2.gz HLTXTMMOKINMJC-GASCZTMLSA-N 1 2 300.406 1.524 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CCC)c1C ZINC001018101964 758548140 /nfs/dbraw/zinc/54/81/40/758548140.db2.gz HLTXTMMOKINMJC-GASCZTMLSA-N 1 2 300.406 1.524 20 30 DDEDLO C[C@]1(CNc2ccc(C#N)nc2)CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065445782 758551613 /nfs/dbraw/zinc/55/16/13/758551613.db2.gz UGDMFPMPFVFZLQ-QGZVFWFLSA-N 1 2 324.388 1.570 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnccn1)O2 ZINC001053566861 758574624 /nfs/dbraw/zinc/57/46/24/758574624.db2.gz SXZFQYFUYZFSQC-CYBMUJFWSA-N 1 2 302.378 1.016 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnc(C)s1)O2 ZINC001053581832 758594314 /nfs/dbraw/zinc/59/43/14/758594314.db2.gz UYORAISAZZOSSZ-CYBMUJFWSA-N 1 2 321.446 1.991 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CCCOCC1)O2 ZINC001053595928 758616799 /nfs/dbraw/zinc/61/67/99/758616799.db2.gz GZFOSGMUQICQFI-HOTGVXAUSA-N 1 2 322.449 1.729 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CCC(F)(F)C1)O2 ZINC001053608900 758629134 /nfs/dbraw/zinc/62/91/34/758629134.db2.gz OFNKBHGNCMIQOD-STQMWFEESA-N 1 2 314.376 1.957 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1scnc1CC)O2 ZINC001053609097 758629198 /nfs/dbraw/zinc/62/91/98/758629198.db2.gz UIPAMHCIJVCLHB-GFCCVEGCSA-N 1 2 321.446 1.855 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1conc1C(C)C)O2 ZINC001053611528 758630032 /nfs/dbraw/zinc/63/00/32/758630032.db2.gz SXTATQHSWCCRFS-ZDUSSCGKSA-N 1 2 319.405 1.947 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(C)ncn1C)O2 ZINC001053613582 758631334 /nfs/dbraw/zinc/63/13/34/758631334.db2.gz JGGQIEAYFCVQDH-AWEZNQCLSA-N 1 2 318.421 1.268 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(OCC)no1)O2 ZINC001053629317 758647406 /nfs/dbraw/zinc/64/74/06/758647406.db2.gz LYMVXEJMDAJYIJ-LBPRGKRZSA-N 1 2 321.377 1.223 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CCC3(C[NH+](CC=C)C3)O2)c1 ZINC001053662919 758675509 /nfs/dbraw/zinc/67/55/09/758675509.db2.gz ZYCAMMDCRPCNLG-MRXNPFEDSA-N 1 2 311.385 1.212 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1nc(C)c[nH]1)O2 ZINC001053675439 758684448 /nfs/dbraw/zinc/68/44/48/758684448.db2.gz NMKFEERLPOFJHB-ZDUSSCGKSA-N 1 2 304.394 1.257 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cn(C(C)C)nn1)O2 ZINC001053685762 758696306 /nfs/dbraw/zinc/69/63/06/758696306.db2.gz YVOJAUSERKWWLE-ZDUSSCGKSA-N 1 2 319.409 1.008 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)CC)CC2)C1 ZINC001065705042 758705444 /nfs/dbraw/zinc/70/54/44/758705444.db2.gz VARABKCTIVIUFB-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](OC)c1ccc(F)cc1 ZINC001018327815 758732806 /nfs/dbraw/zinc/73/28/06/758732806.db2.gz XPEJPJFQXWZXHP-IXDOHACOSA-N 1 2 316.376 1.822 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](OC)c1ccc(F)cc1 ZINC001018327815 758732813 /nfs/dbraw/zinc/73/28/13/758732813.db2.gz XPEJPJFQXWZXHP-IXDOHACOSA-N 1 2 316.376 1.822 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1c(C)nn(C)c1C ZINC001018346274 758746662 /nfs/dbraw/zinc/74/66/62/758746662.db2.gz IGAXECBHFQURLC-WQVCFCJDSA-N 1 2 314.433 1.449 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1c(C)nn(C)c1C ZINC001018346274 758746670 /nfs/dbraw/zinc/74/66/70/758746670.db2.gz IGAXECBHFQURLC-WQVCFCJDSA-N 1 2 314.433 1.449 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1OCC ZINC001018347176 758747693 /nfs/dbraw/zinc/74/76/93/758747693.db2.gz QOHHDIYZPJDXPA-OKILXGFUSA-N 1 2 301.390 1.955 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1OCC ZINC001018347176 758747702 /nfs/dbraw/zinc/74/77/02/758747702.db2.gz QOHHDIYZPJDXPA-OKILXGFUSA-N 1 2 301.390 1.955 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc(F)c1C)CO2 ZINC001053809298 758837176 /nfs/dbraw/zinc/83/71/76/758837176.db2.gz FAOADOBFHDQKFG-CQSZACIVSA-N 1 2 316.376 1.731 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccnn1C(C)C)CO2 ZINC001053814921 758843229 /nfs/dbraw/zinc/84/32/29/758843229.db2.gz ZUWKPUSVQMCWIS-AWEZNQCLSA-N 1 2 316.405 1.060 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(OCC)no1)CO2 ZINC001053873357 758908083 /nfs/dbraw/zinc/90/80/83/758908083.db2.gz WXIKABFBPOFPLO-LBPRGKRZSA-N 1 2 321.377 1.223 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccn(CC)n1)CO2 ZINC001053945678 758981955 /nfs/dbraw/zinc/98/19/55/758981955.db2.gz DIAHNBAJQPQFER-ZDUSSCGKSA-N 1 2 304.394 1.052 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001066101158 759062035 /nfs/dbraw/zinc/06/20/35/759062035.db2.gz VQTZPWQBBVEIQE-HNNXBMFYSA-N 1 2 316.405 1.184 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnc(C)[nH]1 ZINC001054035643 759091627 /nfs/dbraw/zinc/09/16/27/759091627.db2.gz QQZNHPXIPKKUQQ-QGZVFWFLSA-N 1 2 322.412 1.898 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnc(C)[nH]1 ZINC001054035643 759091635 /nfs/dbraw/zinc/09/16/35/759091635.db2.gz QQZNHPXIPKKUQQ-QGZVFWFLSA-N 1 2 322.412 1.898 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ncccn1 ZINC001054056846 759110295 /nfs/dbraw/zinc/11/02/95/759110295.db2.gz UIFXTEKOAIZIQN-MRXNPFEDSA-N 1 2 306.369 1.267 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ncccn1 ZINC001054056846 759110299 /nfs/dbraw/zinc/11/02/99/759110299.db2.gz UIFXTEKOAIZIQN-MRXNPFEDSA-N 1 2 306.369 1.267 20 30 DDEDLO COC(=O)/C=C(\C)C[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000824134458 759152693 /nfs/dbraw/zinc/15/26/93/759152693.db2.gz YONYMPFLGQIWRX-UKTHLTGXSA-N 1 2 300.362 1.195 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@]2(CC[N@H+](Cc3cnon3)C2)C1 ZINC001054102698 759169035 /nfs/dbraw/zinc/16/90/35/759169035.db2.gz RLOAQOJXGQTZOU-IUODEOHRSA-N 1 2 303.366 1.044 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@]2(CC[N@@H+](Cc3cnon3)C2)C1 ZINC001054102698 759169037 /nfs/dbraw/zinc/16/90/37/759169037.db2.gz RLOAQOJXGQTZOU-IUODEOHRSA-N 1 2 303.366 1.044 20 30 DDEDLO CC(C)c1noc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001018826545 759313334 /nfs/dbraw/zinc/31/33/34/759313334.db2.gz GUUCRKPMSZPPQS-ZDUSSCGKSA-N 1 2 302.378 1.297 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cn(C)nc3CC)cc2C1 ZINC001054269071 759373205 /nfs/dbraw/zinc/37/32/05/759373205.db2.gz JTGHIVIKMLAJSY-UHFFFAOYSA-N 1 2 322.412 1.861 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cn(C)nc3CC)cc2C1 ZINC001054269071 759373214 /nfs/dbraw/zinc/37/32/14/759373214.db2.gz JTGHIVIKMLAJSY-UHFFFAOYSA-N 1 2 322.412 1.861 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)cc2C1 ZINC001054282939 759390232 /nfs/dbraw/zinc/39/02/32/759390232.db2.gz FFANVYUPXKKGSF-KURKYZTESA-N 1 2 310.397 1.819 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)cc2C1 ZINC001054282939 759390241 /nfs/dbraw/zinc/39/02/41/759390241.db2.gz FFANVYUPXKKGSF-KURKYZTESA-N 1 2 310.397 1.819 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)Cn1cc[nH+]c1 ZINC001069116992 767858122 /nfs/dbraw/zinc/85/81/22/767858122.db2.gz WNQUWMKYKIWUHZ-SWLSCSKDSA-N 1 2 310.361 1.251 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccccn1)C(=O)c1c[nH]c(C#N)c1 ZINC001085552132 759691442 /nfs/dbraw/zinc/69/14/42/759691442.db2.gz VMDUGVKMHBGDGN-INIZCTEOSA-N 1 2 309.373 1.628 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccccn1)C(=O)c1c[nH]c(C#N)c1 ZINC001085552132 759691449 /nfs/dbraw/zinc/69/14/49/759691449.db2.gz VMDUGVKMHBGDGN-INIZCTEOSA-N 1 2 309.373 1.628 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC2(CN(C(=O)Cc3[nH]cc[nH+]3)C2)C1 ZINC001019333073 759812557 /nfs/dbraw/zinc/81/25/57/759812557.db2.gz KRSHVGNNQVZPEN-UHFFFAOYSA-N 1 2 316.405 1.225 20 30 DDEDLO C[C@H]1C[C@@H](Nc2ccncc2C#N)CN1C(=O)CCn1cc[nH+]c1 ZINC001069181215 767895872 /nfs/dbraw/zinc/89/58/72/767895872.db2.gz OPTOBQNXSYPCDZ-DZGCQCFKSA-N 1 2 324.388 1.063 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(C(C)(C)C)c1C ZINC001085629384 759884370 /nfs/dbraw/zinc/88/43/70/759884370.db2.gz SLWJEAQZIOIGQU-CQSZACIVSA-N 1 2 302.422 1.726 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(C(C)(C)C)c1C ZINC001085629384 759884381 /nfs/dbraw/zinc/88/43/81/759884381.db2.gz SLWJEAQZIOIGQU-CQSZACIVSA-N 1 2 302.422 1.726 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccn(CC(F)F)n1 ZINC001085659619 759940148 /nfs/dbraw/zinc/94/01/48/759940148.db2.gz YWRSHLFPAYGRBD-LBPRGKRZSA-N 1 2 310.348 1.318 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccn(CC(F)F)n1 ZINC001085659619 759940157 /nfs/dbraw/zinc/94/01/57/759940157.db2.gz YWRSHLFPAYGRBD-LBPRGKRZSA-N 1 2 310.348 1.318 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C2CC2)nn1CC ZINC001085661546 759954038 /nfs/dbraw/zinc/95/40/38/759954038.db2.gz AUPQZKPILSYYHN-AWEZNQCLSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C2CC2)nn1CC ZINC001085661546 759954045 /nfs/dbraw/zinc/95/40/45/759954045.db2.gz AUPQZKPILSYYHN-AWEZNQCLSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)n([C@@H](C)CC)n1 ZINC001085685244 760012371 /nfs/dbraw/zinc/01/23/71/760012371.db2.gz MPKIXHCSDMSMQR-ZFWWWQNUSA-N 1 2 302.422 1.942 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)n([C@@H](C)CC)n1 ZINC001085685244 760012382 /nfs/dbraw/zinc/01/23/82/760012382.db2.gz MPKIXHCSDMSMQR-ZFWWWQNUSA-N 1 2 302.422 1.942 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCc2cc(C)ccc2O1 ZINC001085720271 760104217 /nfs/dbraw/zinc/10/42/17/760104217.db2.gz PYAPABGLGOILCB-SJLPKXTDSA-N 1 2 312.413 1.855 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCc2cc(C)ccc2O1 ZINC001085720271 760104221 /nfs/dbraw/zinc/10/42/21/760104221.db2.gz PYAPABGLGOILCB-SJLPKXTDSA-N 1 2 312.413 1.855 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2cc(C)on2)C1 ZINC001108206151 760107620 /nfs/dbraw/zinc/10/76/20/760107620.db2.gz FCARCWHBGPUCHE-MRXNPFEDSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2cc(C)on2)C1 ZINC001108206151 760107623 /nfs/dbraw/zinc/10/76/23/760107623.db2.gz FCARCWHBGPUCHE-MRXNPFEDSA-N 1 2 307.394 1.309 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069221128 767925347 /nfs/dbraw/zinc/92/53/47/767925347.db2.gz JLWMBLIUEIAPMV-MLGOLLRUSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)nc2)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069221128 767925351 /nfs/dbraw/zinc/92/53/51/767925351.db2.gz JLWMBLIUEIAPMV-MLGOLLRUSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccncc2C#N)CN1C(=O)CCc1c[nH]c[nH+]1 ZINC001069221247 767925500 /nfs/dbraw/zinc/92/55/00/767925500.db2.gz LKPBEIJEFCFUCA-IUODEOHRSA-N 1 2 324.388 1.132 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccncc2C#N)CN1C(=O)CCc1c[nH+]c[nH]1 ZINC001069221247 767925502 /nfs/dbraw/zinc/92/55/02/767925502.db2.gz LKPBEIJEFCFUCA-IUODEOHRSA-N 1 2 324.388 1.132 20 30 DDEDLO N#Cc1cnccc1N1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1cc[nH+]c1)C2 ZINC001055051528 760305774 /nfs/dbraw/zinc/30/57/74/760305774.db2.gz IHPARRQAWQUFQD-YCPHGPKFSA-N 1 2 322.372 1.076 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1coc(CC2CC2)n1 ZINC001085899949 760491057 /nfs/dbraw/zinc/49/10/57/760491057.db2.gz RLRYXSMHKUTVNH-AWEZNQCLSA-N 1 2 301.390 1.797 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1coc(CC2CC2)n1 ZINC001085899949 760491064 /nfs/dbraw/zinc/49/10/64/760491064.db2.gz RLRYXSMHKUTVNH-AWEZNQCLSA-N 1 2 301.390 1.797 20 30 DDEDLO C#CC[N@H+]1CC[C@](C)(NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001046831369 767962613 /nfs/dbraw/zinc/96/26/13/767962613.db2.gz KDICMAJVQQKXHB-KRWDZBQOSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CC[N@@H+]1CC[C@](C)(NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001046831369 767962615 /nfs/dbraw/zinc/96/26/15/767962615.db2.gz KDICMAJVQQKXHB-KRWDZBQOSA-N 1 2 300.406 1.506 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)[nH]c(=O)n2C ZINC001085989792 760668032 /nfs/dbraw/zinc/66/80/32/760668032.db2.gz ZIDAXAZJHYVISM-ZDUSSCGKSA-N 1 2 312.373 1.058 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)[nH]c(=O)n2C ZINC001085989792 760668033 /nfs/dbraw/zinc/66/80/33/760668033.db2.gz ZIDAXAZJHYVISM-ZDUSSCGKSA-N 1 2 312.373 1.058 20 30 DDEDLO Cc1nsc(N[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001098007131 760770318 /nfs/dbraw/zinc/77/03/18/760770318.db2.gz UFLITSCQGUUDGJ-MRVPVSSYSA-N 1 2 304.379 1.206 20 30 DDEDLO Cc1cccnc1C[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038153665 760859885 /nfs/dbraw/zinc/85/98/85/760859885.db2.gz PPWNBLTZOTUXAM-CQSZACIVSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cccnc1C[N@H+]1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038153665 760859892 /nfs/dbraw/zinc/85/98/92/760859892.db2.gz PPWNBLTZOTUXAM-CQSZACIVSA-N 1 2 309.373 1.594 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C2CC2)c1Cl ZINC001038449898 761128049 /nfs/dbraw/zinc/12/80/49/761128049.db2.gz HUKLTXYMHGFXME-NSHDSACASA-N 1 2 306.797 1.768 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C2CC2)c1Cl ZINC001038449898 761128052 /nfs/dbraw/zinc/12/80/52/761128052.db2.gz HUKLTXYMHGFXME-NSHDSACASA-N 1 2 306.797 1.768 20 30 DDEDLO C[C@H]1[C@H](NC(=O)Cc2c[nH]c[nH+]2)CCCN1c1ccc(C#N)nc1 ZINC001069415465 768015949 /nfs/dbraw/zinc/01/59/49/768015949.db2.gz QHOPNEWODQLGGZ-BLLLJJGKSA-N 1 2 324.388 1.393 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2nc(C)n(C)c2c1 ZINC001038592774 761222584 /nfs/dbraw/zinc/22/25/84/761222584.db2.gz NCXVQEDODIZELF-HNNXBMFYSA-N 1 2 310.401 1.709 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2nc(C)n(C)c2c1 ZINC001038592774 761222590 /nfs/dbraw/zinc/22/25/90/761222590.db2.gz NCXVQEDODIZELF-HNNXBMFYSA-N 1 2 310.401 1.709 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc2c1OCCCO2 ZINC001038848785 761471039 /nfs/dbraw/zinc/47/10/39/761471039.db2.gz FDVMZRAQIMSMMP-AWEZNQCLSA-N 1 2 314.385 1.675 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc2c1OCCCO2 ZINC001038848785 761471042 /nfs/dbraw/zinc/47/10/42/761471042.db2.gz FDVMZRAQIMSMMP-AWEZNQCLSA-N 1 2 314.385 1.675 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccncc1C(F)(F)F ZINC001038874936 761497950 /nfs/dbraw/zinc/49/79/50/761497950.db2.gz UCWVCGVIXDVKPR-LLVKDONJSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccncc1C(F)(F)F ZINC001038874936 761497954 /nfs/dbraw/zinc/49/79/54/761497954.db2.gz UCWVCGVIXDVKPR-LLVKDONJSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(Br)o1 ZINC001038950134 761583821 /nfs/dbraw/zinc/58/38/21/761583821.db2.gz SAWLQJGQLRJRAI-SNVBAGLBSA-N 1 2 311.179 1.870 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2ccccn2)cn1 ZINC001038942141 761575892 /nfs/dbraw/zinc/57/58/92/761575892.db2.gz RRDNSLBNMCLZJG-KRWDZBQOSA-N 1 2 306.369 1.462 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2ccccn2)cn1 ZINC001038942141 761575898 /nfs/dbraw/zinc/57/58/98/761575898.db2.gz RRDNSLBNMCLZJG-KRWDZBQOSA-N 1 2 306.369 1.462 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(Br)o1 ZINC001038950134 761583817 /nfs/dbraw/zinc/58/38/17/761583817.db2.gz SAWLQJGQLRJRAI-SNVBAGLBSA-N 1 2 311.179 1.870 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1c(Cl)nc2ccccn21 ZINC001038993263 761625356 /nfs/dbraw/zinc/62/53/56/761625356.db2.gz FJRLKGBQKDMKTP-NSHDSACASA-N 1 2 302.765 1.425 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1c(Cl)nc2ccccn21 ZINC001038993263 761625358 /nfs/dbraw/zinc/62/53/58/761625358.db2.gz FJRLKGBQKDMKTP-NSHDSACASA-N 1 2 302.765 1.425 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(N=NC(N)=O)cc1 ZINC001039061482 761700333 /nfs/dbraw/zinc/70/03/33/761700333.db2.gz QASHYTNTLNRLMC-CQSZACIVSA-N 1 2 313.361 1.676 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(N=NC(N)=O)cc1 ZINC001039061482 761700337 /nfs/dbraw/zinc/70/03/37/761700337.db2.gz QASHYTNTLNRLMC-CQSZACIVSA-N 1 2 313.361 1.676 20 30 DDEDLO C[C@@H](CNc1ncccc1C#N)NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC001108686371 762848410 /nfs/dbraw/zinc/84/84/10/762848410.db2.gz GNRYIMIWJOYWMT-AAEUAGOBSA-N 1 2 324.388 1.713 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N[C@@H](C)CNc1ccc(C#N)cn1 ZINC001108740991 762898895 /nfs/dbraw/zinc/89/88/95/762898895.db2.gz GEFAAPRUKZYLSN-NEPJUHHUSA-N 1 2 312.377 1.472 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N[C@@H](C)CNc1ccc(C#N)cn1 ZINC001108740991 762898902 /nfs/dbraw/zinc/89/89/02/762898902.db2.gz GEFAAPRUKZYLSN-NEPJUHHUSA-N 1 2 312.377 1.472 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H](C)CNc2ccc(C#N)nn2)c(C)[nH+]1 ZINC001108793207 762940265 /nfs/dbraw/zinc/94/02/65/762940265.db2.gz AIWIEPMSQLOFQV-LBPRGKRZSA-N 1 2 324.388 1.899 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC[C@@]3(CCN(CC#N)C3)C2)c[nH+]1 ZINC001041400304 763005476 /nfs/dbraw/zinc/00/54/76/763005476.db2.gz SZHQGLSLZCGOHA-QGZVFWFLSA-N 1 2 315.421 1.375 20 30 DDEDLO COCC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cncs1)C2 ZINC001108983016 763195344 /nfs/dbraw/zinc/19/53/44/763195344.db2.gz JJBNJDVLNHUTSW-AGIUHOORSA-N 1 2 305.403 1.128 20 30 DDEDLO COCC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cncs1)C2 ZINC001108983016 763195350 /nfs/dbraw/zinc/19/53/50/763195350.db2.gz JJBNJDVLNHUTSW-AGIUHOORSA-N 1 2 305.403 1.128 20 30 DDEDLO C#CC[N@H+]1CC[C@]2(CCN(C(=O)c3cc(C(C)C)[nH]n3)C2)C1 ZINC001041704447 763229098 /nfs/dbraw/zinc/22/90/98/763229098.db2.gz WTFBORORKNMOKU-KRWDZBQOSA-N 1 2 300.406 1.704 20 30 DDEDLO C#CC[N@@H+]1CC[C@]2(CCN(C(=O)c3cc(C(C)C)[nH]n3)C2)C1 ZINC001041704447 763229108 /nfs/dbraw/zinc/22/91/08/763229108.db2.gz WTFBORORKNMOKU-KRWDZBQOSA-N 1 2 300.406 1.704 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)Cc2c[nH+]cn2C)C1 ZINC001050267682 763391575 /nfs/dbraw/zinc/39/15/75/763391575.db2.gz DZMMDOYGLCYRAU-UONOGXRCSA-N 1 2 318.421 1.282 20 30 DDEDLO C[C@@H](CN(C)c1ccncc1C#N)NC(=O)CCc1c[nH]c[nH+]1 ZINC001109293887 763553570 /nfs/dbraw/zinc/55/35/70/763553570.db2.gz LVPBMMJQMMYSFV-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C[C@@H](CN(C)c1ccncc1C#N)NC(=O)CCc1c[nH+]c[nH]1 ZINC001109293887 763553579 /nfs/dbraw/zinc/55/35/79/763553579.db2.gz LVPBMMJQMMYSFV-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO CN(CCCN(C)C(=O)Cc1c[nH+]c[nH]1)C(=O)C#CC(C)(C)C ZINC001067382712 763584943 /nfs/dbraw/zinc/58/49/43/763584943.db2.gz USLVKPBZIKKBTA-UHFFFAOYSA-N 1 2 318.421 1.309 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)o1 ZINC001042057478 763600220 /nfs/dbraw/zinc/60/02/20/763600220.db2.gz KDEXFRBSKVTHEV-HZPDHXFCSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)o1 ZINC001042057478 763600225 /nfs/dbraw/zinc/60/02/25/763600225.db2.gz KDEXFRBSKVTHEV-HZPDHXFCSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)no1 ZINC001042058373 763601731 /nfs/dbraw/zinc/60/17/31/763601731.db2.gz QSODIHTVFHDRSH-DOTOQJQBSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]3CCN(C(=O)C#CC4CC4)C[C@H]32)no1 ZINC001042058373 763601736 /nfs/dbraw/zinc/60/17/36/763601736.db2.gz QSODIHTVFHDRSH-DOTOQJQBSA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)n1 ZINC001042057852 763602037 /nfs/dbraw/zinc/60/20/37/763602037.db2.gz NJBJYMVELROQLE-CABCVRRESA-N 1 2 314.389 1.214 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)n1 ZINC001042057852 763602040 /nfs/dbraw/zinc/60/20/40/763602040.db2.gz NJBJYMVELROQLE-CABCVRRESA-N 1 2 314.389 1.214 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnc(C)cn1 ZINC001050802397 764098024 /nfs/dbraw/zinc/09/80/24/764098024.db2.gz JKJNPHHLTMCBDV-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnc(C)cn1 ZINC001050802397 764098032 /nfs/dbraw/zinc/09/80/32/764098032.db2.gz JKJNPHHLTMCBDV-CQSZACIVSA-N 1 2 304.394 1.182 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N[C@@H](CNc1ncccc1C#N)C1CC1 ZINC001109875408 764159547 /nfs/dbraw/zinc/15/95/47/764159547.db2.gz JCGZDLROGLPSAY-HNNXBMFYSA-N 1 2 324.388 1.236 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)CCC)C2 ZINC001109891795 764170806 /nfs/dbraw/zinc/17/08/06/764170806.db2.gz UJBHCCHKXYBYRL-WCVJEAGWSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)CCC)C2 ZINC001109891795 764170811 /nfs/dbraw/zinc/17/08/11/764170811.db2.gz UJBHCCHKXYBYRL-WCVJEAGWSA-N 1 2 319.449 1.284 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1coc(CC)n1 ZINC001050881244 764229624 /nfs/dbraw/zinc/22/96/24/764229624.db2.gz DNXJWGKJBCVCFX-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1coc(CC)n1 ZINC001050881244 764229628 /nfs/dbraw/zinc/22/96/28/764229628.db2.gz DNXJWGKJBCVCFX-ZDUSSCGKSA-N 1 2 307.394 1.634 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCCOC(C)C)[C@@H](O)C1 ZINC001100138060 764327469 /nfs/dbraw/zinc/32/74/69/764327469.db2.gz FFIOTLRJZKNAHR-KGLIPLIRSA-N 1 2 318.845 1.496 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCCOC(C)C)[C@@H](O)C1 ZINC001100138060 764327472 /nfs/dbraw/zinc/32/74/72/764327472.db2.gz FFIOTLRJZKNAHR-KGLIPLIRSA-N 1 2 318.845 1.496 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccncc1CC ZINC001050993966 764395410 /nfs/dbraw/zinc/39/54/10/764395410.db2.gz WKUQAUCLHMNXCA-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccncc1CC ZINC001050993966 764395414 /nfs/dbraw/zinc/39/54/14/764395414.db2.gz WKUQAUCLHMNXCA-HNNXBMFYSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccncc1CC ZINC001050993969 764395550 /nfs/dbraw/zinc/39/55/50/764395550.db2.gz WKUQAUCLHMNXCA-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccncc1CC ZINC001050993969 764395552 /nfs/dbraw/zinc/39/55/52/764395552.db2.gz WKUQAUCLHMNXCA-OAHLLOKOSA-N 1 2 303.406 1.651 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1nccc2[nH]ccc21 ZINC001051121435 764520934 /nfs/dbraw/zinc/52/09/34/764520934.db2.gz ROTURPJGDNOZGJ-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1nccc2[nH]ccc21 ZINC001051121435 764520937 /nfs/dbraw/zinc/52/09/37/764520937.db2.gz ROTURPJGDNOZGJ-CYBMUJFWSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2sccc2-n2ccnn2)C1 ZINC001043024508 764594134 /nfs/dbraw/zinc/59/41/34/764594134.db2.gz PVKFHSVLYVLLLN-UHFFFAOYSA-N 1 2 317.418 1.661 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2ccc(OC)cc2)CC1 ZINC001112706263 764625296 /nfs/dbraw/zinc/62/52/96/764625296.db2.gz NFZLSGQVYNBNLA-UHFFFAOYSA-N 1 2 304.390 1.542 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CCCC)cn1 ZINC001051216946 764632281 /nfs/dbraw/zinc/63/22/81/764632281.db2.gz OKDHDEGDJXYUCS-MRXNPFEDSA-N 1 2 301.390 1.294 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CCCC)cn1 ZINC001051216946 764632285 /nfs/dbraw/zinc/63/22/85/764632285.db2.gz OKDHDEGDJXYUCS-MRXNPFEDSA-N 1 2 301.390 1.294 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C)noc1CC ZINC001051237775 764658716 /nfs/dbraw/zinc/65/87/16/764658716.db2.gz BXAOMULEOQEEIL-AWEZNQCLSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C)noc1CC ZINC001051237775 764658720 /nfs/dbraw/zinc/65/87/20/764658720.db2.gz BXAOMULEOQEEIL-AWEZNQCLSA-N 1 2 321.421 1.942 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)n(C)c1C ZINC001051238819 764662827 /nfs/dbraw/zinc/66/28/27/764662827.db2.gz YYAAWFJHIDAKNX-OAHLLOKOSA-N 1 2 305.422 1.649 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)n(C)c1C ZINC001051238819 764662831 /nfs/dbraw/zinc/66/28/31/764662831.db2.gz YYAAWFJHIDAKNX-OAHLLOKOSA-N 1 2 305.422 1.649 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2ccc(-c3cn[nH]c3)cn2)C1 ZINC001043131941 764695894 /nfs/dbraw/zinc/69/58/94/764695894.db2.gz BXDXGOKLZGQPCW-UHFFFAOYSA-N 1 2 311.389 1.804 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1Nc1ncccc1C#N ZINC001043296390 764817903 /nfs/dbraw/zinc/81/79/03/764817903.db2.gz WVZVEQLFBPAKFP-DGCLKSJQSA-N 1 2 310.361 1.178 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[NH+](Cc2cccc(CC)c2)CC1 ZINC001112844686 764857858 /nfs/dbraw/zinc/85/78/58/764857858.db2.gz BIPIRJVPYGAEIY-INIZCTEOSA-N 1 2 314.429 1.932 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2csc(-c3ncc[nH]3)n2)C1 ZINC001043398358 764886898 /nfs/dbraw/zinc/88/68/98/764886898.db2.gz INRKABGASQFLMQ-UHFFFAOYSA-N 1 2 317.418 1.866 20 30 DDEDLO CC#CCN1CCN(C(=O)[C@H](CC(C)C)n2cc[nH+]c2)CC1 ZINC001112991923 765114345 /nfs/dbraw/zinc/11/43/45/765114345.db2.gz ZQMUNGKWMBWDCC-INIZCTEOSA-N 1 2 302.422 1.638 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001112997286 765118080 /nfs/dbraw/zinc/11/80/80/765118080.db2.gz WWBLYTMRKSBWQF-WDEREUQCSA-N 1 2 302.382 1.635 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2CCc3cccc(OC)c32)C1 ZINC001044017747 765234715 /nfs/dbraw/zinc/23/47/15/765234715.db2.gz HSJNFJHBYAWSRV-MRXNPFEDSA-N 1 2 312.413 1.891 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2c(CC)nn(C)c2Cl)C1 ZINC001044156308 765350572 /nfs/dbraw/zinc/35/05/72/765350572.db2.gz IFRSIRLXCYHWML-UHFFFAOYSA-N 1 2 310.829 1.968 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)C=C3CCC3)C2)CC1 ZINC001052006199 765373136 /nfs/dbraw/zinc/37/31/36/765373136.db2.gz NRFUNGFVJGHKKY-QGZVFWFLSA-N 1 2 301.434 1.339 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)c3cc[nH]c3C)C2)CC1 ZINC001052007814 765375882 /nfs/dbraw/zinc/37/58/82/765375882.db2.gz AJTRGFBXZYIVMG-MRXNPFEDSA-N 1 2 314.433 1.179 20 30 DDEDLO C#CCCCCCC(=O)N1CC[NH+](CCOCCOC)CC1 ZINC001113205367 765407075 /nfs/dbraw/zinc/40/70/75/765407075.db2.gz RPMZLSGKQQVXSB-UHFFFAOYSA-N 1 2 310.438 1.377 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(OC)cc(OC)c2)C1 ZINC001044228204 765410117 /nfs/dbraw/zinc/41/01/17/765410117.db2.gz INYOFGCCMFICSM-UHFFFAOYSA-N 1 2 302.374 1.483 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H](C)C(C)(C)C)C2)CC1 ZINC001052043216 765415479 /nfs/dbraw/zinc/41/54/79/765415479.db2.gz RQQVNRNJZHBFII-CVEARBPZSA-N 1 2 305.466 1.520 20 30 DDEDLO C[C@]1(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)C[C@H]2C[C@H]2C1 ZINC001052057865 765430497 /nfs/dbraw/zinc/43/04/97/765430497.db2.gz UIMIIFKTMLJONK-UIBIWLFHSA-N 1 2 316.449 1.165 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)CC(C)(F)F)C2)CC1 ZINC001052073970 765445589 /nfs/dbraw/zinc/44/55/89/765445589.db2.gz QRFFIVMRKCEBTE-AWEZNQCLSA-N 1 2 315.408 1.826 20 30 DDEDLO CC1(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)CC=CC1 ZINC001052075322 765446931 /nfs/dbraw/zinc/44/69/31/765446931.db2.gz ZKDGFHHHDHMICX-OAHLLOKOSA-N 1 2 302.422 1.085 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3CCC)C2)CC1 ZINC001052077923 765449685 /nfs/dbraw/zinc/44/96/85/765449685.db2.gz XXYMNQLEUYWSOD-BRWVUGGUSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(C)CC=CC3)C2)CC1 ZINC001052077458 765449742 /nfs/dbraw/zinc/44/97/42/765449742.db2.gz RJNGFXKJPWPAFI-KRWDZBQOSA-N 1 2 315.461 1.585 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)c3csc(C)c3)C2)CC1 ZINC001052098367 765469392 /nfs/dbraw/zinc/46/93/92/765469392.db2.gz ITNDKUVIMJCXFA-INIZCTEOSA-N 1 2 317.458 1.522 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(C)nc3cc(C)nn32)C1 ZINC001044313050 765474911 /nfs/dbraw/zinc/47/49/11/765474911.db2.gz BULQHCIHUZOTFH-UHFFFAOYSA-N 1 2 311.389 1.126 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2cccc3nsnc32)C1 ZINC001044386333 765527251 /nfs/dbraw/zinc/52/72/51/765527251.db2.gz WRDUVQNPGHZZLA-UHFFFAOYSA-N 1 2 316.430 1.953 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)CCn2cc[nH+]c2)C1 ZINC001052186346 765561465 /nfs/dbraw/zinc/56/14/65/765561465.db2.gz AFILCAQVIQMMPO-CABCVRRESA-N 1 2 318.421 1.450 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CCC[N@H+](Cc3cnon3)CC2)c[nH]1 ZINC001052319117 765714651 /nfs/dbraw/zinc/71/46/51/765714651.db2.gz RLQRWPVFIJYLGI-GFCCVEGCSA-N 1 2 314.349 1.054 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cnon3)CC2)c[nH]1 ZINC001052319117 765714655 /nfs/dbraw/zinc/71/46/55/765714655.db2.gz RLQRWPVFIJYLGI-GFCCVEGCSA-N 1 2 314.349 1.054 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2nc(C3CC3)oc2C)CC1 ZINC001113476508 765732099 /nfs/dbraw/zinc/73/20/99/765732099.db2.gz TZBYIMPEGNUGRK-UHFFFAOYSA-N 1 2 319.405 1.821 20 30 DDEDLO Cc1nc(N2CCC[C@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001096169407 768318177 /nfs/dbraw/zinc/31/81/77/768318177.db2.gz YDIOFXAQVCGPCG-LBPRGKRZSA-N 1 2 305.407 1.127 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113582638 765912954 /nfs/dbraw/zinc/91/29/54/765912954.db2.gz LYMFNTYRXJUWFT-APPDUMDISA-N 1 2 320.437 1.670 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(C3CC3)cn2)CC1 ZINC001113595005 765913987 /nfs/dbraw/zinc/91/39/87/765913987.db2.gz MQHUSJJPXIDMFO-UHFFFAOYSA-N 1 2 315.417 1.919 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2n[nH]c3ccccc32)CC1 ZINC001113599678 765929030 /nfs/dbraw/zinc/92/90/30/765929030.db2.gz GYUWXAJFKGHOBS-UHFFFAOYSA-N 1 2 314.389 1.523 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cn(C)nc2C(C)C)CC1 ZINC001113629594 765959027 /nfs/dbraw/zinc/95/90/27/765959027.db2.gz PEFLPHSWTXVPBY-UHFFFAOYSA-N 1 2 320.437 1.504 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cncnc2C(C)C)CC1 ZINC001113637672 765972988 /nfs/dbraw/zinc/97/29/88/765972988.db2.gz VTCCNAASNNEBEH-UHFFFAOYSA-N 1 2 318.421 1.560 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccccc2OCCOC)CC1 ZINC001113656734 765980629 /nfs/dbraw/zinc/98/06/29/765980629.db2.gz RYAARGAZOITOHU-UHFFFAOYSA-N 1 2 304.390 1.656 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@@H](F)Cc2ccccc2)CC1 ZINC001113667301 765993410 /nfs/dbraw/zinc/99/34/10/765993410.db2.gz YFKLEOHYOKRYJL-KRWDZBQOSA-N 1 2 320.408 1.914 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CN(C(C)=O)c2ccccc2)CC1 ZINC001113664394 766000652 /nfs/dbraw/zinc/00/06/52/766000652.db2.gz JLHJIBPPXAHTFN-UHFFFAOYSA-N 1 2 301.390 1.370 20 30 DDEDLO C#Cc1ccccc1CC(=O)NC[C@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113671190 766008285 /nfs/dbraw/zinc/00/82/85/766008285.db2.gz MPAFRVHCWDTHPZ-AWEZNQCLSA-N 1 2 322.412 1.950 20 30 DDEDLO C=CCCOCC(=O)N1CC[NH+](CCCc2nc(C)no2)CC1 ZINC001113709634 766048833 /nfs/dbraw/zinc/04/88/33/766048833.db2.gz XKANRXFSNLQPCR-UHFFFAOYSA-N 1 2 322.409 1.048 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001113724907 766066141 /nfs/dbraw/zinc/06/61/41/766066141.db2.gz KFVVMGRZVTWOGS-FZMZJTMJSA-N 1 2 318.421 1.381 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H]2C[NH2+]Cc2nnc(C)o2)cc1 ZINC001045145169 766112964 /nfs/dbraw/zinc/11/29/64/766112964.db2.gz APMLIQPSDFFNGJ-INIZCTEOSA-N 1 2 324.384 1.754 20 30 DDEDLO CN(C[C@@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1)c1ccc(C#N)cn1 ZINC001067803001 766711116 /nfs/dbraw/zinc/71/11/16/766711116.db2.gz PYMHOCQGCLWOGQ-HNNXBMFYSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114159584 766711582 /nfs/dbraw/zinc/71/15/82/766711582.db2.gz HXVGQRQVIZVGCA-VIKVFOODSA-N 1 2 302.378 1.118 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114159584 766711589 /nfs/dbraw/zinc/71/15/89/766711589.db2.gz HXVGQRQVIZVGCA-VIKVFOODSA-N 1 2 302.378 1.118 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)c3ccc(F)cc3)CC2)C1 ZINC001045996867 766779832 /nfs/dbraw/zinc/77/98/32/766779832.db2.gz JLFAWXYREXVXAA-MRXNPFEDSA-N 1 2 316.380 1.181 20 30 DDEDLO Cc1ccccc1C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001045999417 766784151 /nfs/dbraw/zinc/78/41/51/766784151.db2.gz PUYBYFYPFOMGLR-MRXNPFEDSA-N 1 2 312.417 1.351 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)C3C=CC=CC=C3)CC2)C1 ZINC001046034263 766839449 /nfs/dbraw/zinc/83/94/49/766839449.db2.gz QREMZTVQJHTZOU-KRWDZBQOSA-N 1 2 312.417 1.027 20 30 DDEDLO C[C@@H]1CCN(c2ccncc2C#N)C[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067965215 766842172 /nfs/dbraw/zinc/84/21/72/766842172.db2.gz LSJBYTDLNFYLPJ-HIFRSBDPSA-N 1 2 324.388 1.181 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(C)CCCN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001067972565 766849188 /nfs/dbraw/zinc/84/91/88/766849188.db2.gz DFTLVXLNOJRXMX-UONOGXRCSA-N 1 2 320.437 1.717 20 30 DDEDLO CC1(C)CC[C@@H](C(=O)N2CC[NH+]([C@H]3CCN(CC#N)C3)CC2)C1 ZINC001046062200 766875738 /nfs/dbraw/zinc/87/57/38/766875738.db2.gz RPYXWIFWQMOSPX-CVEARBPZSA-N 1 2 318.465 1.555 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)[C@@H]1CCSC1 ZINC001121607628 782590193 /nfs/dbraw/zinc/59/01/93/782590193.db2.gz APJWRZZJUNUCIS-UPJWGTAASA-N 1 2 323.466 1.143 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)[C@@H]1CCSC1 ZINC001121607628 782590196 /nfs/dbraw/zinc/59/01/96/782590196.db2.gz APJWRZZJUNUCIS-UPJWGTAASA-N 1 2 323.466 1.143 20 30 DDEDLO C[C@@]1(NC(=O)c2cncnc2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046260711 767317972 /nfs/dbraw/zinc/31/79/72/767317972.db2.gz PPFPCTPJKQUXST-GOSISDBHSA-N 1 2 321.384 1.743 20 30 DDEDLO C[C@@]1(NC(=O)c2cncnc2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046260711 767317982 /nfs/dbraw/zinc/31/79/82/767317982.db2.gz PPFPCTPJKQUXST-GOSISDBHSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)Cn3cc[nH+]c3)C2)nc1 ZINC001068500751 767379126 /nfs/dbraw/zinc/37/91/26/767379126.db2.gz HJESMLZYFUAOMZ-HDJSIYSDSA-N 1 2 310.361 1.157 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001046422608 767542588 /nfs/dbraw/zinc/54/25/88/767542588.db2.gz CSCBGFZRWSADLQ-CJNGLKHVSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001046422608 767542595 /nfs/dbraw/zinc/54/25/95/767542595.db2.gz CSCBGFZRWSADLQ-CJNGLKHVSA-N 1 2 322.840 1.779 20 30 DDEDLO CCC(CC)C(=O)N[C@H]1CC[C@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001131834353 768413729 /nfs/dbraw/zinc/41/37/29/768413729.db2.gz NIANGBPCEOSSLB-JSGCOSHPSA-N 1 2 308.426 1.031 20 30 DDEDLO CCC(CC)C(=O)N[C@H]1CC[C@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001131834353 768413731 /nfs/dbraw/zinc/41/37/31/768413731.db2.gz NIANGBPCEOSSLB-JSGCOSHPSA-N 1 2 308.426 1.031 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2oc(C(C)C)nc2C)C1 ZINC001047557271 768569464 /nfs/dbraw/zinc/56/94/64/768569464.db2.gz MGNQQVAJJCWYIB-KBPBESRZSA-N 1 2 319.405 1.247 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2oc(C(C)C)nc2C)C1 ZINC001047557271 768569470 /nfs/dbraw/zinc/56/94/70/768569470.db2.gz MGNQQVAJJCWYIB-KBPBESRZSA-N 1 2 319.405 1.247 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cc(C)on2)C1 ZINC001132041890 768583328 /nfs/dbraw/zinc/58/33/28/768583328.db2.gz MGGYMWSWLYSIFG-ZFWWWQNUSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cc(C)on2)C1 ZINC001132041890 768583333 /nfs/dbraw/zinc/58/33/33/768583333.db2.gz MGGYMWSWLYSIFG-ZFWWWQNUSA-N 1 2 319.405 1.492 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)CC2CCCC2)C[C@H]1NCC#N ZINC001070618302 768622820 /nfs/dbraw/zinc/62/28/20/768622820.db2.gz ZWANSPFEBTXJLU-HUUCEWRRSA-N 1 2 315.421 1.408 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)COCC(F)F)CC[C@H]1C ZINC001132201965 768684309 /nfs/dbraw/zinc/68/43/09/768684309.db2.gz AAMBTGHWRDSZEN-MNOVXSKESA-N 1 2 310.772 1.990 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)COCC(F)F)CC[C@H]1C ZINC001132201965 768684315 /nfs/dbraw/zinc/68/43/15/768684315.db2.gz AAMBTGHWRDSZEN-MNOVXSKESA-N 1 2 310.772 1.990 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@H+](CC(=O)NCC(C)C)C1 ZINC001132227698 768698269 /nfs/dbraw/zinc/69/82/69/768698269.db2.gz HTNXJYMHKPVAPZ-LSDHHAIUSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)[N@@H+](CC(=O)NCC(C)C)C1 ZINC001132227698 768698277 /nfs/dbraw/zinc/69/82/77/768698277.db2.gz HTNXJYMHKPVAPZ-LSDHHAIUSA-N 1 2 323.481 1.940 20 30 DDEDLO CCCC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC#N)C1 ZINC001132288957 768740706 /nfs/dbraw/zinc/74/07/06/768740706.db2.gz ZSMQSKCGVJZDRO-KGLIPLIRSA-N 1 2 322.453 1.422 20 30 DDEDLO CCCC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC#N)C1 ZINC001132288957 768740709 /nfs/dbraw/zinc/74/07/09/768740709.db2.gz ZSMQSKCGVJZDRO-KGLIPLIRSA-N 1 2 322.453 1.422 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001070824601 768745772 /nfs/dbraw/zinc/74/57/72/768745772.db2.gz FNBCBAHPVLSLRA-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001070824601 768745777 /nfs/dbraw/zinc/74/57/77/768745777.db2.gz FNBCBAHPVLSLRA-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001070933918 768793746 /nfs/dbraw/zinc/79/37/46/768793746.db2.gz JOUVCQMLRKZATA-RHSMWYFYSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC001070981952 768833013 /nfs/dbraw/zinc/83/30/13/768833013.db2.gz SMMRICIAOOCJSI-HOTGVXAUSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC001070981952 768833024 /nfs/dbraw/zinc/83/30/24/768833024.db2.gz SMMRICIAOOCJSI-HOTGVXAUSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC23CCC3)CC1 ZINC001071006127 768871308 /nfs/dbraw/zinc/87/13/08/768871308.db2.gz WAUBAFAHGUOKDN-AWEZNQCLSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC23CCC3)CC1 ZINC001071006127 768871318 /nfs/dbraw/zinc/87/13/18/768871318.db2.gz WAUBAFAHGUOKDN-AWEZNQCLSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC23CCCC3)CC1 ZINC001071013133 768878301 /nfs/dbraw/zinc/87/83/01/768878301.db2.gz RTNGKCBERWKURL-HNNXBMFYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CC23CCCC3)CC1 ZINC001071013133 768878305 /nfs/dbraw/zinc/87/83/05/768878305.db2.gz RTNGKCBERWKURL-HNNXBMFYSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001071214844 769148557 /nfs/dbraw/zinc/14/85/57/769148557.db2.gz FJASGJWUHNGEHI-OAHLLOKOSA-N 1 2 318.421 1.737 20 30 DDEDLO C[C@@H]1C[N@H+](C2CN(c3ccc4[nH]c(C#N)cc4n3)C2)C[C@H](C)O1 ZINC001158729060 769251762 /nfs/dbraw/zinc/25/17/62/769251762.db2.gz VHZSOHZIEKMOQG-TXEJJXNPSA-N 1 2 311.389 1.732 20 30 DDEDLO C[C@@H]1C[N@@H+](C2CN(c3ccc4[nH]c(C#N)cc4n3)C2)C[C@H](C)O1 ZINC001158729060 769251766 /nfs/dbraw/zinc/25/17/66/769251766.db2.gz VHZSOHZIEKMOQG-TXEJJXNPSA-N 1 2 311.389 1.732 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071416055 769401140 /nfs/dbraw/zinc/40/11/40/769401140.db2.gz OMPMTNOETFJBDW-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071418168 769405605 /nfs/dbraw/zinc/40/56/05/769405605.db2.gz GHLYPYVWABRYOS-CQSZACIVSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C1CC(C)(C(=O)NCC[NH2+]Cc2nc(-c3ccco3)no2)C1 ZINC001133570027 769999427 /nfs/dbraw/zinc/99/94/27/769999427.db2.gz JEHBHLPQVFLFDA-UHFFFAOYSA-N 1 2 316.361 1.892 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cn(CC)nn2)CC[C@H]1C ZINC001071942090 770319876 /nfs/dbraw/zinc/31/98/76/770319876.db2.gz MTLUAVHYABBOPG-VXGBXAGGSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cn(CC)nn2)CC[C@H]1C ZINC001071942090 770319879 /nfs/dbraw/zinc/31/98/79/770319879.db2.gz MTLUAVHYABBOPG-VXGBXAGGSA-N 1 2 311.817 1.633 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@H]1C ZINC001072077033 770517491 /nfs/dbraw/zinc/51/74/91/770517491.db2.gz ZLUNNIIZHCBMFI-PWSUYJOCSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@H]1C ZINC001072077033 770517494 /nfs/dbraw/zinc/51/74/94/770517494.db2.gz ZLUNNIIZHCBMFI-PWSUYJOCSA-N 1 2 324.812 1.449 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001072226637 770680914 /nfs/dbraw/zinc/68/09/14/770680914.db2.gz RZELRUVVKXNTDP-CABCVRRESA-N 1 2 318.421 1.593 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001072317027 770753153 /nfs/dbraw/zinc/75/31/53/770753153.db2.gz JIGDCZUPXBLJEL-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001072317027 770753155 /nfs/dbraw/zinc/75/31/55/770753155.db2.gz JIGDCZUPXBLJEL-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049630949 771034238 /nfs/dbraw/zinc/03/42/38/771034238.db2.gz PKGOWBUNJRHDCG-RYUDHWBXSA-N 1 2 309.801 1.545 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049630949 771034245 /nfs/dbraw/zinc/03/42/45/771034245.db2.gz PKGOWBUNJRHDCG-RYUDHWBXSA-N 1 2 309.801 1.545 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001090733485 772146201 /nfs/dbraw/zinc/14/62/01/772146201.db2.gz PMFGBGVGOIRXPL-MBNYWOFBSA-N 1 2 304.394 1.317 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001091411188 772733257 /nfs/dbraw/zinc/73/32/57/772733257.db2.gz TWVXCXVFUAIFTP-BETUJISGSA-N 1 2 310.361 1.226 20 30 DDEDLO Cc1csc(C[N@@H+]2CCCO[C@H](CNC(=O)[C@H](C)C#N)C2)n1 ZINC001149398303 772764620 /nfs/dbraw/zinc/76/46/20/772764620.db2.gz KZXRHLQLHPVWCG-DGCLKSJQSA-N 1 2 322.434 1.318 20 30 DDEDLO Cc1csc(C[N@H+]2CCCO[C@H](CNC(=O)[C@H](C)C#N)C2)n1 ZINC001149398303 772764623 /nfs/dbraw/zinc/76/46/23/772764623.db2.gz KZXRHLQLHPVWCG-DGCLKSJQSA-N 1 2 322.434 1.318 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nncn1C)C2 ZINC001147182027 773075265 /nfs/dbraw/zinc/07/52/65/773075265.db2.gz PTNYYNLNZQUCKF-ZDUSSCGKSA-N 1 2 303.410 1.377 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nncn1C)C2 ZINC001147182027 773075272 /nfs/dbraw/zinc/07/52/72/773075272.db2.gz PTNYYNLNZQUCKF-ZDUSSCGKSA-N 1 2 303.410 1.377 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC3(CN(C(=O)C#CC(C)(C)C)C3)C2)o1 ZINC001147541845 773163174 /nfs/dbraw/zinc/16/31/74/773163174.db2.gz ZHCSHYILACXERR-UHFFFAOYSA-N 1 2 316.405 1.462 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC3(CN(C(=O)C#CC(C)(C)C)C3)C2)o1 ZINC001147541845 773163175 /nfs/dbraw/zinc/16/31/75/773163175.db2.gz ZHCSHYILACXERR-UHFFFAOYSA-N 1 2 316.405 1.462 20 30 DDEDLO C=CC[N@@H+]1CCCO[C@@H](CNC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001073674944 773292436 /nfs/dbraw/zinc/29/24/36/773292436.db2.gz SNYXUMLMTMUKOU-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO C=CC[N@H+]1CCCO[C@@H](CNC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001073674944 773292438 /nfs/dbraw/zinc/29/24/38/773292438.db2.gz SNYXUMLMTMUKOU-ZDUSSCGKSA-N 1 2 318.421 1.295 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1cnn(C)n1)C2 ZINC001148089456 773361505 /nfs/dbraw/zinc/36/15/05/773361505.db2.gz WMDTWTARKGAMMI-KBPBESRZSA-N 1 2 317.437 1.308 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1cnn(C)n1)C2 ZINC001148089456 773361511 /nfs/dbraw/zinc/36/15/11/773361511.db2.gz WMDTWTARKGAMMI-KBPBESRZSA-N 1 2 317.437 1.308 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3CCOCC3)C[C@@H]21 ZINC001074190751 773713484 /nfs/dbraw/zinc/71/34/84/773713484.db2.gz REQBRENDAXKWBR-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3CCOCC3)C[C@@H]21 ZINC001074190751 773713485 /nfs/dbraw/zinc/71/34/85/773713485.db2.gz REQBRENDAXKWBR-DLBZAZTESA-N 1 2 320.433 1.128 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3cc(C)on3)C[C@H]21 ZINC001074196173 773720368 /nfs/dbraw/zinc/72/03/68/773720368.db2.gz HUGGOKFCRYFLET-HZPDHXFCSA-N 1 2 319.405 1.403 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3cc(C)on3)C[C@H]21 ZINC001074196173 773720372 /nfs/dbraw/zinc/72/03/72/773720372.db2.gz HUGGOKFCRYFLET-HZPDHXFCSA-N 1 2 319.405 1.403 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(=O)[nH]3)C[C@H]21 ZINC001074202185 773725385 /nfs/dbraw/zinc/72/53/85/773725385.db2.gz WALIZGKFROEXCY-HUUCEWRRSA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3cccc(=O)[nH]3)C[C@H]21 ZINC001074202185 773725387 /nfs/dbraw/zinc/72/53/87/773725387.db2.gz WALIZGKFROEXCY-HUUCEWRRSA-N 1 2 317.389 1.279 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccn(C)c3)C[C@@H]21 ZINC001074215270 773737167 /nfs/dbraw/zinc/73/71/67/773737167.db2.gz FSDASSKSACXREK-JKSUJKDBSA-N 1 2 303.406 1.517 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccn(C)c3)C[C@@H]21 ZINC001074215270 773737171 /nfs/dbraw/zinc/73/71/71/773737171.db2.gz FSDASSKSACXREK-JKSUJKDBSA-N 1 2 303.406 1.517 20 30 DDEDLO CC#CC[NH+]1CCC2(C[C@H](C)N(C(=O)Cc3ncn[nH]3)C2)CC1 ZINC001086952815 773742297 /nfs/dbraw/zinc/74/22/97/773742297.db2.gz RAJCKWZTHVJXGQ-AWEZNQCLSA-N 1 2 315.421 1.074 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3coc(C)c3)C[C@H]21 ZINC001074226593 773751136 /nfs/dbraw/zinc/75/11/36/773751136.db2.gz SQHASEPHIBVKMF-HZPDHXFCSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3coc(C)c3)C[C@H]21 ZINC001074226593 773751138 /nfs/dbraw/zinc/75/11/38/773751138.db2.gz SQHASEPHIBVKMF-HZPDHXFCSA-N 1 2 302.374 1.527 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3[nH]ccc3C)C[C@@H]21 ZINC001074228996 773753917 /nfs/dbraw/zinc/75/39/17/773753917.db2.gz ICRQEWPCIPBQQT-GJZGRUSLSA-N 1 2 301.390 1.262 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3[nH]ccc3C)C[C@@H]21 ZINC001074228996 773753919 /nfs/dbraw/zinc/75/39/19/773753919.db2.gz ICRQEWPCIPBQQT-GJZGRUSLSA-N 1 2 301.390 1.262 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)CNC(=O)CCc2c[nH]c[nH+]2)n1 ZINC001098344458 773797014 /nfs/dbraw/zinc/79/70/14/773797014.db2.gz NBXSEBAQKVJDMQ-GFCCVEGCSA-N 1 2 312.377 1.534 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)CNC(=O)CCc2c[nH+]c[nH]2)n1 ZINC001098344458 773797021 /nfs/dbraw/zinc/79/70/21/773797021.db2.gz NBXSEBAQKVJDMQ-GFCCVEGCSA-N 1 2 312.377 1.534 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]c3C)C[C@@H]21 ZINC001074346278 773843403 /nfs/dbraw/zinc/84/34/03/773843403.db2.gz LVLASSGVTRPBCF-DLBZAZTESA-N 1 2 315.417 1.570 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]c3C)C[C@@H]21 ZINC001074346278 773843407 /nfs/dbraw/zinc/84/34/07/773843407.db2.gz LVLASSGVTRPBCF-DLBZAZTESA-N 1 2 315.417 1.570 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCc2c[nH]c[nH+]2)CCN1c1ccc(C#N)cn1 ZINC001092063220 773932660 /nfs/dbraw/zinc/93/26/60/773932660.db2.gz ILVDTLDXTZZKOH-DOMZBBRYSA-N 1 2 324.388 1.393 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)CCc2c[nH+]c[nH]2)CCN1c1ccc(C#N)cn1 ZINC001092063220 773932663 /nfs/dbraw/zinc/93/26/63/773932663.db2.gz ILVDTLDXTZZKOH-DOMZBBRYSA-N 1 2 324.388 1.393 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1occc1C)c1nccn12 ZINC001092349485 774067188 /nfs/dbraw/zinc/06/71/88/774067188.db2.gz HSYAXNHNVJOCRK-ZDUSSCGKSA-N 1 2 312.373 1.856 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cnccc1C)c1nccn12 ZINC001092357009 774072250 /nfs/dbraw/zinc/07/22/50/774072250.db2.gz NUHYOYWNEBFXPV-HNNXBMFYSA-N 1 2 323.400 1.658 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)C=C1CCC1)c1nccn12 ZINC001092361290 774076004 /nfs/dbraw/zinc/07/60/04/774076004.db2.gz GDNCAMGGVIYXTR-HNNXBMFYSA-N 1 2 310.401 1.589 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH]c[nH+]2)C[C@H]1C ZINC001075036418 774312448 /nfs/dbraw/zinc/31/24/48/774312448.db2.gz KPWAXULINFYFIS-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH+]c[nH]2)C[C@H]1C ZINC001075036418 774312454 /nfs/dbraw/zinc/31/24/54/774312454.db2.gz KPWAXULINFYFIS-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C[C@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)Nc1ccc(C#N)nn1 ZINC001098380129 774555210 /nfs/dbraw/zinc/55/52/10/774555210.db2.gz PLBQNFJDUDEUGL-SNVBAGLBSA-N 1 2 321.344 1.455 20 30 DDEDLO Cc1nc(N[C@H](C)CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)ccc1C#N ZINC001098388864 774559373 /nfs/dbraw/zinc/55/93/73/774559373.db2.gz YYGASOWSNFYQBA-LERXQTSPSA-N 1 2 324.388 1.705 20 30 DDEDLO Cc1nc(N[C@H](C)CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)ccc1C#N ZINC001098388864 774559376 /nfs/dbraw/zinc/55/93/76/774559376.db2.gz YYGASOWSNFYQBA-LERXQTSPSA-N 1 2 324.388 1.705 20 30 DDEDLO Cc1nc(N[C@@H]2CCC[C@@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001098598106 774617888 /nfs/dbraw/zinc/61/78/88/774617888.db2.gz VHDXBWMBXDIVFG-CHWSQXEVSA-N 1 2 319.434 1.881 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CC(C)(C)C)C2)nn1 ZINC001098611875 774621246 /nfs/dbraw/zinc/62/12/46/774621246.db2.gz UFQNIZZPWKNIBQ-OAHLLOKOSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@]3(C)CCOC3)CC2)C1 ZINC001093586745 774866494 /nfs/dbraw/zinc/86/64/94/774866494.db2.gz HIGLQJRWOAUNGF-GOSISDBHSA-N 1 2 322.449 1.681 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[C@H](C)Nc2cc[nH+]c(C)n2)nc1 ZINC001099327225 774949422 /nfs/dbraw/zinc/94/94/22/774949422.db2.gz ZQPSSYPQEBPFIX-LBPRGKRZSA-N 1 2 309.373 1.782 20 30 DDEDLO CC(C)(C(=O)N1CC[C@@H]2[C@H](CCN2CC#N)C1)c1c[nH+]c[nH]1 ZINC001036869607 775005882 /nfs/dbraw/zinc/00/58/82/775005882.db2.gz HNGCLNHDYRHQPN-CHWSQXEVSA-N 1 2 301.394 1.134 20 30 DDEDLO C=CC(C)(C)CC(=O)NCCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001093917401 775204599 /nfs/dbraw/zinc/20/45/99/775204599.db2.gz ASVBUFSUHMXZRT-UHFFFAOYSA-N 1 2 306.414 1.376 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCC2CCC2)[C@@H](O)C1 ZINC001099774779 775264643 /nfs/dbraw/zinc/26/46/43/775264643.db2.gz DQBHSQSQZGZMTN-KGLIPLIRSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCC2CCC2)[C@@H](O)C1 ZINC001099774779 775264647 /nfs/dbraw/zinc/26/46/47/775264647.db2.gz DQBHSQSQZGZMTN-KGLIPLIRSA-N 1 2 300.830 1.871 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001099977313 775510202 /nfs/dbraw/zinc/51/02/02/775510202.db2.gz XBSQMPWVBWSICC-CVEARBPZSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)nc2)C[C@@H]1O ZINC001099977313 775510209 /nfs/dbraw/zinc/51/02/09/775510209.db2.gz XBSQMPWVBWSICC-CVEARBPZSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC[C@H](F)C3)nn2)C1 ZINC001094314618 775670217 /nfs/dbraw/zinc/67/02/17/775670217.db2.gz YULYNUDFPSYFJP-NEPJUHHUSA-N 1 2 307.373 1.075 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3nccs3)nn2)C1 ZINC001094329513 775709374 /nfs/dbraw/zinc/70/93/74/775709374.db2.gz HJAKELQNFBRWIQ-UHFFFAOYSA-N 1 2 318.406 1.097 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H]3CC34CCCC4)nn2)C1 ZINC001094331929 775715369 /nfs/dbraw/zinc/71/53/69/775715369.db2.gz HMCJLPIAJVDILZ-OAHLLOKOSA-N 1 2 315.421 1.517 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC1CC([NH2+]Cc2noc(C3CCC3)n2)C1 ZINC001100198007 775805870 /nfs/dbraw/zinc/80/58/70/775805870.db2.gz LJFOQUNRKJJKSU-ZBOXLXRLSA-N 1 2 317.393 1.481 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NCCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC001094384254 775833352 /nfs/dbraw/zinc/83/33/52/775833352.db2.gz MCVMANCAJRUGJE-KRWDZBQOSA-N 1 2 318.421 1.908 20 30 DDEDLO CN(CCNC(=O)[C@H]1CCCc2[nH+]c[nH]c21)c1ncccc1C#N ZINC001100330235 776007069 /nfs/dbraw/zinc/00/70/69/776007069.db2.gz JGXQOGCUKOMXBE-ZDUSSCGKSA-N 1 2 324.388 1.349 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)c3occc3C)CC2=O)C1 ZINC001094706068 776217150 /nfs/dbraw/zinc/21/71/50/776217150.db2.gz VRTGVBSXQMQMRH-CYBMUJFWSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@H]3C=CCCC3)CC2=O)C1 ZINC001094749535 776245296 /nfs/dbraw/zinc/24/52/96/776245296.db2.gz PMPZIHZSHMUVSU-GJZGRUSLSA-N 1 2 317.433 1.320 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)[C@@H]3CC34CCC4)CC2=O)C1 ZINC001094794198 776286432 /nfs/dbraw/zinc/28/64/32/776286432.db2.gz VKKJDJKXTXJRPL-ZFWWWQNUSA-N 1 2 317.433 1.154 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H]([NH2+]Cc2ncc(C#N)s2)CC1=O ZINC001171695429 776438678 /nfs/dbraw/zinc/43/86/78/776438678.db2.gz UOFZEWCIDFSUKN-SECBINFHSA-N 1 2 322.390 1.640 20 30 DDEDLO CCCC[N@@H+]1CCOC[C@@H]1C(=O)N[C@@H](C)CCCCNCC#N ZINC001171918407 776518334 /nfs/dbraw/zinc/51/83/34/776518334.db2.gz NXUCOCDBDZENPD-JKSUJKDBSA-N 1 2 324.469 1.275 20 30 DDEDLO CCCC[N@H+]1CCOC[C@@H]1C(=O)N[C@@H](C)CCCCNCC#N ZINC001171918407 776518338 /nfs/dbraw/zinc/51/83/38/776518338.db2.gz NXUCOCDBDZENPD-JKSUJKDBSA-N 1 2 324.469 1.275 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC[C@@H]1CCCN1c1ccc(C#N)nc1 ZINC001100849400 776630571 /nfs/dbraw/zinc/63/05/71/776630571.db2.gz PKAYEIYUCWJIKK-AWEZNQCLSA-N 1 2 324.388 1.014 20 30 DDEDLO C[C@@H]1CC[C@@H](N2CC[NH2+]C[C@H]2C#N)CN1C(=O)OC(C)(C)C ZINC001173057467 776967757 /nfs/dbraw/zinc/96/77/57/776967757.db2.gz RMICFIHONNCXMU-MGPQQGTHSA-N 1 2 308.426 1.572 20 30 DDEDLO C=CCOC1CCC(n2cnc(C[C@@H]([NH3+])C(=O)OC)c2)CC1 ZINC001173319601 777129485 /nfs/dbraw/zinc/12/94/85/777129485.db2.gz ODFQUYXKRZSMQS-YMAMQOFZSA-N 1 2 307.394 1.612 20 30 DDEDLO N#Cc1cccnc1N(CCNC(=O)CCc1c[nH]c[nH+]1)C1CC1 ZINC001101463264 777154240 /nfs/dbraw/zinc/15/42/40/777154240.db2.gz NWOROWCULMNGFK-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1cccnc1N(CCNC(=O)CCc1c[nH+]c[nH]1)C1CC1 ZINC001101463264 777154248 /nfs/dbraw/zinc/15/42/48/777154248.db2.gz NWOROWCULMNGFK-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H](C)OC)c1nccn12 ZINC001101616433 777307819 /nfs/dbraw/zinc/30/78/19/777307819.db2.gz AOJIOHSIJZSESY-QWHCGFSZSA-N 1 2 304.394 1.066 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C(C)(C)COC)c1nccn12 ZINC001101634029 777329626 /nfs/dbraw/zinc/32/96/26/777329626.db2.gz LIOZXFFGXSIUAI-CYBMUJFWSA-N 1 2 318.421 1.314 20 30 DDEDLO N#Cc1ccc(Nc2cnn(CC[NH+]3CCOCC3)c2)cc1C#N ZINC001174492791 777487106 /nfs/dbraw/zinc/48/71/06/777487106.db2.gz SNXUMHXCQBIZIQ-UHFFFAOYSA-N 1 2 322.372 1.702 20 30 DDEDLO CC#CCCCC(=O)N(C)CCNc1nc(N(C)C)nc(C)[nH+]1 ZINC001101906642 777666616 /nfs/dbraw/zinc/66/66/16/777666616.db2.gz RLBRSGPEEOYMGH-UHFFFAOYSA-N 1 2 318.425 1.310 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3CC3)C[C@@H]21 ZINC001176883546 778292090 /nfs/dbraw/zinc/29/20/90/778292090.db2.gz YZEVUPWFDILULP-HOTGVXAUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3CC3)C[C@@H]21 ZINC001176883546 778292093 /nfs/dbraw/zinc/29/20/93/778292093.db2.gz YZEVUPWFDILULP-HOTGVXAUSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)CCOC(C)C)C[C@H]21 ZINC001176925904 778315692 /nfs/dbraw/zinc/31/56/92/778315692.db2.gz ZFZVSUIADVMYSB-HZPDHXFCSA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)CCOC(C)C)C[C@H]21 ZINC001176925904 778315698 /nfs/dbraw/zinc/31/56/98/778315698.db2.gz ZFZVSUIADVMYSB-HZPDHXFCSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3CCC3)C[C@H]21 ZINC001176968443 778354131 /nfs/dbraw/zinc/35/41/31/778354131.db2.gz FKGQKBASGKPWGI-SJORKVTESA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3CCC3)C[C@H]21 ZINC001176968443 778354135 /nfs/dbraw/zinc/35/41/35/778354135.db2.gz FKGQKBASGKPWGI-SJORKVTESA-N 1 2 322.449 1.681 20 30 DDEDLO CCN(C(=O)Cn1cc[nH+]c1)[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001102810978 778420318 /nfs/dbraw/zinc/42/03/18/778420318.db2.gz LENHFHCSFGFTRY-MRXNPFEDSA-N 1 2 324.388 1.277 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)OC)C1 ZINC001206296053 778476879 /nfs/dbraw/zinc/47/68/79/778476879.db2.gz NSHSOBDXBUSYJV-DVVUODLYSA-N 1 2 305.216 1.366 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)OC)C1 ZINC001206296053 778476885 /nfs/dbraw/zinc/47/68/85/778476885.db2.gz NSHSOBDXBUSYJV-DVVUODLYSA-N 1 2 305.216 1.366 20 30 DDEDLO N#CCNCCCN(CC1CCCC1)C(=O)Cn1cc[nH+]c1 ZINC001177493509 778619856 /nfs/dbraw/zinc/61/98/56/778619856.db2.gz ZKIAMYSMWNVAFM-UHFFFAOYSA-N 1 2 303.410 1.405 20 30 DDEDLO CNC(=O)c1cc(C#N)cc(C)c1NC(=O)CCc1[nH]cc[nH+]1 ZINC001177996969 778806788 /nfs/dbraw/zinc/80/67/88/778806788.db2.gz RXWNCLIWRAPNAK-UHFFFAOYSA-N 1 2 311.345 1.521 20 30 DDEDLO C=CCOCC(=O)NCCC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001103675622 778990906 /nfs/dbraw/zinc/99/09/06/778990906.db2.gz NVCBEEXTFOBBDS-UHFFFAOYSA-N 1 2 318.421 1.710 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](C)CNC(=O)Cn2cc[nH+]c2)n1 ZINC001104002458 779236550 /nfs/dbraw/zinc/23/65/50/779236550.db2.gz SCFYXYPAPAGYSR-LBPRGKRZSA-N 1 2 312.377 1.323 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ncccn1)C2 ZINC001111658677 779422499 /nfs/dbraw/zinc/42/24/99/779422499.db2.gz KRMPEIPAMPWXFV-YOEHRIQHSA-N 1 2 316.405 1.150 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ncccn1)C2 ZINC001111658677 779422504 /nfs/dbraw/zinc/42/25/04/779422504.db2.gz KRMPEIPAMPWXFV-YOEHRIQHSA-N 1 2 316.405 1.150 20 30 DDEDLO C[C@@H](C#N)C(=O)N1Cc2c[nH+]cn2C[C@H](COCC2CC2)C1 ZINC001179889592 779516667 /nfs/dbraw/zinc/51/66/67/779516667.db2.gz KNWULQVQFDFXNL-GXTWGEPZSA-N 1 2 302.378 1.428 20 30 DDEDLO CC(C)[N@H+](C)Cc1nnc2n1CCCN(C(=O)[C@@H](C)C#N)C2 ZINC001179896971 779521773 /nfs/dbraw/zinc/52/17/73/779521773.db2.gz XDHGKOQFUXQPAG-LBPRGKRZSA-N 1 2 304.398 1.010 20 30 DDEDLO CC(C)[N@@H+](C)Cc1nnc2n1CCCN(C(=O)[C@@H](C)C#N)C2 ZINC001179896971 779521777 /nfs/dbraw/zinc/52/17/77/779521777.db2.gz XDHGKOQFUXQPAG-LBPRGKRZSA-N 1 2 304.398 1.010 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1ncnn1C)C2 ZINC001111858992 779522670 /nfs/dbraw/zinc/52/26/70/779522670.db2.gz IYZIBGNLVICIFE-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO C=CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1ncnn1C)C2 ZINC001111858992 779522678 /nfs/dbraw/zinc/52/26/78/779522678.db2.gz IYZIBGNLVICIFE-YOEHRIQHSA-N 1 2 317.437 1.642 20 30 DDEDLO C[C@H](CCCNc1cncc(C#N)n1)NC(=O)Cc1c[nH]c[nH+]1 ZINC001115089136 779869561 /nfs/dbraw/zinc/86/95/61/779869561.db2.gz RPNSXQKTGLWRNV-LLVKDONJSA-N 1 2 313.365 1.011 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001115323606 780037494 /nfs/dbraw/zinc/03/74/94/780037494.db2.gz BTHXUVMQQFJJPZ-HALDLXJZSA-N 1 2 319.405 1.376 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(CC)o3)C[C@H]21 ZINC001115323606 780037503 /nfs/dbraw/zinc/03/75/03/780037503.db2.gz BTHXUVMQQFJJPZ-HALDLXJZSA-N 1 2 319.405 1.376 20 30 DDEDLO N#Cc1cnn2cc(C[NH2+]Cc3nnc4n3CCCCC4)cnc12 ZINC001118270825 781079968 /nfs/dbraw/zinc/07/99/68/781079968.db2.gz PUUZDQCRXHONJK-UHFFFAOYSA-N 1 2 322.376 1.209 20 30 DDEDLO CCC[C@H](CC)C(=O)NCC[NH+]1CCN(CC#CCOC)CC1 ZINC001266294914 836067729 /nfs/dbraw/zinc/06/77/29/836067729.db2.gz PCUYJNYFBDUEHX-KRWDZBQOSA-N 1 2 323.481 1.196 20 30 DDEDLO CCC[C@H](CC)C(=O)NCCN1CC[NH+](CC#CCOC)CC1 ZINC001266294914 836067740 /nfs/dbraw/zinc/06/77/40/836067740.db2.gz PCUYJNYFBDUEHX-KRWDZBQOSA-N 1 2 323.481 1.196 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)sc2C)C1 ZINC001266320109 836114173 /nfs/dbraw/zinc/11/41/73/836114173.db2.gz YZBRYVBUTSQSJS-CQSZACIVSA-N 1 2 321.446 1.490 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)sc2C)C1 ZINC001266320109 836114178 /nfs/dbraw/zinc/11/41/78/836114178.db2.gz YZBRYVBUTSQSJS-CQSZACIVSA-N 1 2 321.446 1.490 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cncc(OC)n2)C1 ZINC001266335687 836134767 /nfs/dbraw/zinc/13/47/67/836134767.db2.gz CKMZNPHHAKTNAT-AWEZNQCLSA-N 1 2 316.405 1.369 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cncc(OC)n2)C1 ZINC001266335687 836134773 /nfs/dbraw/zinc/13/47/73/836134773.db2.gz CKMZNPHHAKTNAT-AWEZNQCLSA-N 1 2 316.405 1.369 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCC2(C)C)C1 ZINC001266335769 836135075 /nfs/dbraw/zinc/13/50/75/836135075.db2.gz FBQQVUBMDDGLMI-UONOGXRCSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCC2(C)C)C1 ZINC001266335769 836135078 /nfs/dbraw/zinc/13/50/78/836135078.db2.gz FBQQVUBMDDGLMI-UONOGXRCSA-N 1 2 307.438 1.305 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC12CC[NH+](Cc1nncs1)CC2 ZINC001266370326 836182121 /nfs/dbraw/zinc/18/21/21/836182121.db2.gz CIQYWERMUAZBSA-CYBMUJFWSA-N 1 2 318.446 1.812 20 30 DDEDLO CCn1ccc(C[N@@H+]2CCC[C@@H]2CNC(=O)CSCC#N)n1 ZINC001266447009 836264149 /nfs/dbraw/zinc/26/41/49/836264149.db2.gz NKKHUJCDUAULSX-CQSZACIVSA-N 1 2 321.450 1.240 20 30 DDEDLO CCn1ccc(C[N@H+]2CCC[C@@H]2CNC(=O)CSCC#N)n1 ZINC001266447009 836264160 /nfs/dbraw/zinc/26/41/60/836264160.db2.gz NKKHUJCDUAULSX-CQSZACIVSA-N 1 2 321.450 1.240 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC ZINC001266475859 836319266 /nfs/dbraw/zinc/31/92/66/836319266.db2.gz NRXKHFKRWSKCIH-OAGGEKHMSA-N 1 2 321.465 1.885 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CC ZINC001266475859 836319279 /nfs/dbraw/zinc/31/92/79/836319279.db2.gz NRXKHFKRWSKCIH-OAGGEKHMSA-N 1 2 321.465 1.885 20 30 DDEDLO COCC#CC[N@H+](CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001266592532 836508418 /nfs/dbraw/zinc/50/84/18/836508418.db2.gz SDRSXRWSLZXGTE-LLVKDONJSA-N 1 2 310.291 1.117 20 30 DDEDLO COCC#CC[N@@H+](CCNC(=O)C(F)C(F)(F)F)C1CC1 ZINC001266592532 836508443 /nfs/dbraw/zinc/50/84/43/836508443.db2.gz SDRSXRWSLZXGTE-LLVKDONJSA-N 1 2 310.291 1.117 20 30 DDEDLO COCC#CC[N@H+](CCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001266592532 836508453 /nfs/dbraw/zinc/50/84/53/836508453.db2.gz SDRSXRWSLZXGTE-LLVKDONJSA-N 1 2 310.291 1.117 20 30 DDEDLO COCC#CC[N@@H+](CCNC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001266592532 836508464 /nfs/dbraw/zinc/50/84/64/836508464.db2.gz SDRSXRWSLZXGTE-LLVKDONJSA-N 1 2 310.291 1.117 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](Cc1cncs1)C1CC1 ZINC001267333428 837846273 /nfs/dbraw/zinc/84/62/73/837846273.db2.gz ZWQNXYSRRKUHPH-CABCVRRESA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](Cc1cncs1)C1CC1 ZINC001267333428 837846284 /nfs/dbraw/zinc/84/62/84/837846284.db2.gz ZWQNXYSRRKUHPH-CABCVRRESA-N 1 2 321.446 1.815 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1nncn1C ZINC001272002900 844295252 /nfs/dbraw/zinc/29/52/52/844295252.db2.gz AWLVTKSHPWAXAP-KBPBESRZSA-N 1 2 303.410 1.347 20 30 DDEDLO C=CCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1nncn1C ZINC001272002900 844295258 /nfs/dbraw/zinc/29/52/58/844295258.db2.gz AWLVTKSHPWAXAP-KBPBESRZSA-N 1 2 303.410 1.347 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)c2cnccc2C)CC1 ZINC001267573498 838338465 /nfs/dbraw/zinc/33/84/65/838338465.db2.gz GWTOJVKAUWSQEY-UHFFFAOYSA-N 1 2 314.433 1.103 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)c2ncccc2C)CC1 ZINC001267606428 838430846 /nfs/dbraw/zinc/43/08/46/838430846.db2.gz YMSZJZDGJXCKFN-UHFFFAOYSA-N 1 2 314.433 1.103 20 30 DDEDLO C=C(C)C[NH+]1CC(CNC(=O)C(C)(C)NC(=O)C(F)(F)F)C1 ZINC001267699534 838671807 /nfs/dbraw/zinc/67/18/07/838671807.db2.gz WKEFDKZQAKAMMJ-UHFFFAOYSA-N 1 2 321.343 1.068 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCC12CC2 ZINC001267710007 838693639 /nfs/dbraw/zinc/69/36/39/838693639.db2.gz ZGBBCUHJHTUOAC-JYJNAYRXSA-N 1 2 302.418 1.502 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCC12CC2 ZINC001267710007 838693646 /nfs/dbraw/zinc/69/36/46/838693646.db2.gz ZGBBCUHJHTUOAC-JYJNAYRXSA-N 1 2 302.418 1.502 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cc3n(n2)CCC3)C1 ZINC001267722687 838733988 /nfs/dbraw/zinc/73/39/88/838733988.db2.gz MSDUUWZVEZMTCZ-AWEZNQCLSA-N 1 2 300.406 1.323 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cc3n(n2)CCC3)C1 ZINC001267722687 838733998 /nfs/dbraw/zinc/73/39/98/838733998.db2.gz MSDUUWZVEZMTCZ-AWEZNQCLSA-N 1 2 300.406 1.323 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CC[N@@H+]([C@@H](C)c2ncccn2)C1 ZINC001267760625 838885016 /nfs/dbraw/zinc/88/50/16/838885016.db2.gz VXQXWHSHDHOLGN-KBPBESRZSA-N 1 2 304.394 1.273 20 30 DDEDLO C=CCOCC(=O)N(C)[C@H]1CC[N@H+]([C@@H](C)c2ncccn2)C1 ZINC001267760625 838885028 /nfs/dbraw/zinc/88/50/28/838885028.db2.gz VXQXWHSHDHOLGN-KBPBESRZSA-N 1 2 304.394 1.273 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001268030023 839447826 /nfs/dbraw/zinc/44/78/26/839447826.db2.gz LAMBJKLZHTYAGI-ZDUSSCGKSA-N 1 2 306.410 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001268030023 839447833 /nfs/dbraw/zinc/44/78/33/839447833.db2.gz LAMBJKLZHTYAGI-ZDUSSCGKSA-N 1 2 306.410 1.080 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@H+](Cc2cncs2)C1 ZINC001268153125 839761419 /nfs/dbraw/zinc/76/14/19/839761419.db2.gz HWDCIDOYCUCDCF-CYBMUJFWSA-N 1 2 309.435 1.816 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2cncs2)C1 ZINC001268153125 839761426 /nfs/dbraw/zinc/76/14/26/839761426.db2.gz HWDCIDOYCUCDCF-CYBMUJFWSA-N 1 2 309.435 1.816 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC/C=C/C[NH2+]Cc1nonc1C ZINC001268602737 840558640 /nfs/dbraw/zinc/55/86/40/840558640.db2.gz MYCPEGCVMUIJBI-SDCXRFKSSA-N 1 2 320.393 1.121 20 30 DDEDLO C#CC(=O)N1C[C@@H]2CC[C@H](C1)[C@H]2C(=O)Nc1cccc2[nH+]ccn21 ZINC001268851619 840901379 /nfs/dbraw/zinc/90/13/79/840901379.db2.gz QKYRDCNPNXAKKC-FVCICTRLSA-N 1 2 322.368 1.391 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccccc1F ZINC001268944009 841023198 /nfs/dbraw/zinc/02/31/98/841023198.db2.gz GFUDXIBCDCDBFS-BPUTZDHNSA-N 1 2 316.376 1.649 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1F ZINC001268944009 841023206 /nfs/dbraw/zinc/02/32/06/841023206.db2.gz GFUDXIBCDCDBFS-BPUTZDHNSA-N 1 2 316.376 1.649 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1CCC1 ZINC001268935365 841027370 /nfs/dbraw/zinc/02/73/70/841027370.db2.gz VIYSSJUWYUCHTF-SNPRPXQTSA-N 1 2 305.422 1.152 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1CCC1 ZINC001268935365 841027377 /nfs/dbraw/zinc/02/73/77/841027377.db2.gz VIYSSJUWYUCHTF-SNPRPXQTSA-N 1 2 305.422 1.152 20 30 DDEDLO CCC#CC(=O)N1CCC[C@@]2(C1)C[N@H+](Cc1ccc[nH]1)CCO2 ZINC001268951207 841032702 /nfs/dbraw/zinc/03/27/02/841032702.db2.gz HXVAVHKRBYIWNE-SFHVURJKSA-N 1 2 315.417 1.622 20 30 DDEDLO CCC#CC(=O)N1CCC[C@@]2(C1)C[N@@H+](Cc1ccc[nH]1)CCO2 ZINC001268951207 841032715 /nfs/dbraw/zinc/03/27/15/841032715.db2.gz HXVAVHKRBYIWNE-SFHVURJKSA-N 1 2 315.417 1.622 20 30 DDEDLO N#CCCC(=O)N1CC2(C[C@@H]2C(=O)Nc2cccc3[nH+]ccn32)C1 ZINC001269024387 841119907 /nfs/dbraw/zinc/11/99/07/841119907.db2.gz UZLXOMOHUVKUEQ-GFCCVEGCSA-N 1 2 323.356 1.425 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001269122487 841233249 /nfs/dbraw/zinc/23/32/49/841233249.db2.gz YGICDAMEFHPERI-CQSZACIVSA-N 1 2 303.410 1.182 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001269122487 841233258 /nfs/dbraw/zinc/23/32/58/841233258.db2.gz YGICDAMEFHPERI-CQSZACIVSA-N 1 2 303.410 1.182 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCCN2C(=O)[C@@H](C)n1cc[nH+]c1 ZINC001269157981 841279250 /nfs/dbraw/zinc/27/92/50/841279250.db2.gz SIRAKQHPUOQWEE-PBHICJAKSA-N 1 2 314.389 1.061 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCCN2C(=O)Cn1cc[nH+]c1 ZINC001269299178 841488061 /nfs/dbraw/zinc/48/80/61/841488061.db2.gz YYFLQLBNSYODTN-QGZVFWFLSA-N 1 2 316.405 1.443 20 30 DDEDLO C=C(C)CNC(=O)[C@@H]1CC12CCN(C(=O)Cn1cc[nH+]c1)CC2 ZINC001269298283 841488821 /nfs/dbraw/zinc/48/88/21/841488821.db2.gz GCQLZJYLRLZUCJ-AWEZNQCLSA-N 1 2 316.405 1.204 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)C1(c2cccc(OC)c2)CC1 ZINC001269309745 841499340 /nfs/dbraw/zinc/49/93/40/841499340.db2.gz IYGLYPNXSGJVOA-MRXNPFEDSA-N 1 2 312.413 1.941 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)C1(c2cccc(OC)c2)CC1 ZINC001269309745 841499357 /nfs/dbraw/zinc/49/93/57/841499357.db2.gz IYGLYPNXSGJVOA-MRXNPFEDSA-N 1 2 312.413 1.941 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@H+]2[C@@H](C)C(=O)NC)CCCCC1 ZINC001269353070 841561903 /nfs/dbraw/zinc/56/19/03/841561903.db2.gz ZDGDICQEOBSBLQ-GJZGRUSLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCC1(C(=O)NC[C@@H]2CC[N@@H+]2[C@@H](C)C(=O)NC)CCCCC1 ZINC001269353070 841561913 /nfs/dbraw/zinc/56/19/13/841561913.db2.gz ZDGDICQEOBSBLQ-GJZGRUSLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CC[N@H+]1Cc1c(C)noc1C ZINC001269352836 841562135 /nfs/dbraw/zinc/56/21/35/841562135.db2.gz XGFQDXDGVUWZKK-AWEZNQCLSA-N 1 2 307.394 1.575 20 30 DDEDLO C=CCCOCC(=O)NC[C@@H]1CC[N@@H+]1Cc1c(C)noc1C ZINC001269352836 841562137 /nfs/dbraw/zinc/56/21/37/841562137.db2.gz XGFQDXDGVUWZKK-AWEZNQCLSA-N 1 2 307.394 1.575 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1([NH2+][C@H](C)c2ncccn2)CC1 ZINC001269401291 841607789 /nfs/dbraw/zinc/60/77/89/841607789.db2.gz AMYJMSGBCHVEOW-IUODEOHRSA-N 1 2 304.394 1.103 20 30 DDEDLO C=CCN1CC[C@]2(CCCN(C(=O)Cc3c[nH+]c[nH]3)CC2)C1=O ZINC001269569380 841775167 /nfs/dbraw/zinc/77/51/67/841775167.db2.gz RKEQQIDBDDQZNO-QGZVFWFLSA-N 1 2 316.405 1.369 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(CCN3CCn3cc[nH+]c3)C2)cc1 ZINC001269748943 842003149 /nfs/dbraw/zinc/00/31/49/842003149.db2.gz PQKILPCHLAYWEQ-UHFFFAOYSA-N 1 2 321.384 1.355 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(CC[N@@H+]3CCn3ccnc3)C2)cc1 ZINC001269748943 842003155 /nfs/dbraw/zinc/00/31/55/842003155.db2.gz PQKILPCHLAYWEQ-UHFFFAOYSA-N 1 2 321.384 1.355 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(CC[N@H+]3CCn3ccnc3)C2)cc1 ZINC001269748943 842003173 /nfs/dbraw/zinc/00/31/73/842003173.db2.gz PQKILPCHLAYWEQ-UHFFFAOYSA-N 1 2 321.384 1.355 20 30 DDEDLO CC(C)(C(=O)N1CCC[C@H](CCNCC#N)C1)c1c[nH+]c[nH]1 ZINC001269789928 842049884 /nfs/dbraw/zinc/04/98/84/842049884.db2.gz BBUYSXYHVHWKPM-CYBMUJFWSA-N 1 2 303.410 1.429 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)C[C@H]2CCC[C@H](OC)C2)C1 ZINC001269799790 842058699 /nfs/dbraw/zinc/05/86/99/842058699.db2.gz HIULZRNSOZMXPF-RDJZCZTQSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H](CCC(C)C)NC(C)=O)C1 ZINC001269907039 842146729 /nfs/dbraw/zinc/14/67/29/842146729.db2.gz MYWIIXNKNHDPFC-MRXNPFEDSA-N 1 2 309.454 1.646 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H](CCC(C)C)NC(C)=O)C1 ZINC001269907038 842146820 /nfs/dbraw/zinc/14/68/20/842146820.db2.gz MYWIIXNKNHDPFC-INIZCTEOSA-N 1 2 309.454 1.646 20 30 DDEDLO CS(=O)(=O)N1CC[NH+](Cc2c[nH]c3cc(C#N)ccc23)CC1 ZINC001142928772 861313695 /nfs/dbraw/zinc/31/36/95/861313695.db2.gz KILQPHSQPNWWGE-UHFFFAOYSA-N 1 2 318.402 1.117 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)c2ccc3c(c2)CCCC3)C1 ZINC001271387933 843547269 /nfs/dbraw/zinc/54/72/69/843547269.db2.gz KPZQKUCPQUITQU-UHFFFAOYSA-N 1 2 314.429 1.918 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@H+](CC=C(Cl)Cl)CCCO1 ZINC001149410111 861600362 /nfs/dbraw/zinc/60/03/62/861600362.db2.gz XUFFBWZIFJXPME-WDEREUQCSA-N 1 2 320.220 1.672 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@@H]1C[N@@H+](CC=C(Cl)Cl)CCCO1 ZINC001149410111 861600368 /nfs/dbraw/zinc/60/03/68/861600368.db2.gz XUFFBWZIFJXPME-WDEREUQCSA-N 1 2 320.220 1.672 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(N)=O ZINC001234509822 846361069 /nfs/dbraw/zinc/36/10/69/846361069.db2.gz QKTYHERSCCVEFT-MWLCHTKSSA-N 1 2 301.818 1.172 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(N)=O ZINC001234509822 846361084 /nfs/dbraw/zinc/36/10/84/846361084.db2.gz QKTYHERSCCVEFT-MWLCHTKSSA-N 1 2 301.818 1.172 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3cccc4[nH]ccc43)C2)OCC1=O ZINC001272688051 846620408 /nfs/dbraw/zinc/62/04/08/846620408.db2.gz YPVRWRRVTTVEIR-UHFFFAOYSA-N 1 2 309.369 1.214 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)n2C)C1 ZINC001107736276 846889774 /nfs/dbraw/zinc/88/97/74/846889774.db2.gz TWBOPCAZRCBIOL-QGZVFWFLSA-N 1 2 303.406 1.178 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)n2C)C1 ZINC001107736276 846889784 /nfs/dbraw/zinc/88/97/84/846889784.db2.gz TWBOPCAZRCBIOL-QGZVFWFLSA-N 1 2 303.406 1.178 20 30 DDEDLO C=CCCC[NH2+]C[C@H]1CN(C(=O)C(F)C(F)(F)F)CCO1 ZINC001326978012 861767650 /nfs/dbraw/zinc/76/76/50/861767650.db2.gz KHGBQBGSURQEMV-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C=CCCC[NH2+]C[C@H]1CN(C(=O)[C@@H](F)C(F)(F)F)CCO1 ZINC001326978012 861767657 /nfs/dbraw/zinc/76/76/57/861767657.db2.gz KHGBQBGSURQEMV-WDEREUQCSA-N 1 2 312.307 1.670 20 30 DDEDLO C#CCN1CC2(CCC1=O)CC[NH+](Cc1cn[nH]c1C)CC2 ZINC001272755278 847374073 /nfs/dbraw/zinc/37/40/73/847374073.db2.gz YQCKEYZEPLNSNV-UHFFFAOYSA-N 1 2 300.406 1.556 20 30 DDEDLO C#CCN1C[C@]2(CC[N@@H+](Cc3cncc(Cl)c3)C2)OCC1=O ZINC001272856852 847555270 /nfs/dbraw/zinc/55/52/70/847555270.db2.gz PWFXBMMYZKBAMR-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@]2(CC[N@H+](Cc3cncc(Cl)c3)C2)OCC1=O ZINC001272856852 847555273 /nfs/dbraw/zinc/55/52/73/847555273.db2.gz PWFXBMMYZKBAMR-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)[C@H](OC)C1CCC1 ZINC001272876791 847577542 /nfs/dbraw/zinc/57/75/42/847577542.db2.gz LIRKHWSAXHUHDL-JKSUJKDBSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@H](OC)C1CCC1 ZINC001272876791 847577551 /nfs/dbraw/zinc/57/75/51/847577551.db2.gz LIRKHWSAXHUHDL-JKSUJKDBSA-N 1 2 310.438 1.585 20 30 DDEDLO N#CCCCNC(=O)[C@H]1CC12CC[NH+](Cc1ncc[nH]1)CC2 ZINC001272920604 847630153 /nfs/dbraw/zinc/63/01/53/847630153.db2.gz BFBLNBROPWHMBZ-CYBMUJFWSA-N 1 2 301.394 1.432 20 30 DDEDLO C[N@@H+]1CCO[C@H](CNc2ccc(C3(C#N)CCOCC3)cn2)C1 ZINC001155197464 861827218 /nfs/dbraw/zinc/82/72/18/861827218.db2.gz MQIQWHQEIMCAJV-OAHLLOKOSA-N 1 2 316.405 1.396 20 30 DDEDLO C[N@H+]1CCO[C@H](CNc2ccc(C3(C#N)CCOCC3)cn2)C1 ZINC001155197464 861827232 /nfs/dbraw/zinc/82/72/32/861827232.db2.gz MQIQWHQEIMCAJV-OAHLLOKOSA-N 1 2 316.405 1.396 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@@H+](Cc1ccc(F)nc1)C2 ZINC001273241655 849011652 /nfs/dbraw/zinc/01/16/52/849011652.db2.gz KVMWIWUBVCLUOL-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCC[N@H+](Cc1ccc(F)nc1)C2 ZINC001273241655 849011658 /nfs/dbraw/zinc/01/16/58/849011658.db2.gz KVMWIWUBVCLUOL-QGZVFWFLSA-N 1 2 319.380 1.458 20 30 DDEDLO CCOc1nnc(C[NH2+][C@H](C)CN(C)C(=O)[C@H](C)C#N)s1 ZINC001410340331 849105401 /nfs/dbraw/zinc/10/54/01/849105401.db2.gz HIFJEUTXIQCKMI-NXEZZACHSA-N 1 2 311.411 1.033 20 30 DDEDLO C#CCNC(=O)[C@@H]1CC12CC[NH+](Cc1cnc(Cl)nc1)CC2 ZINC001273278698 849327751 /nfs/dbraw/zinc/32/77/51/849327751.db2.gz VVVPGUUDVJSBEO-ZDUSSCGKSA-N 1 2 318.808 1.482 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cnc3[nH]ccc3c1)C2 ZINC001273391702 849815283 /nfs/dbraw/zinc/81/52/83/849815283.db2.gz NPLDMGYIJQWHBJ-UHFFFAOYSA-N 1 2 312.373 1.162 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H](C)CSC)O2 ZINC001327350068 862089660 /nfs/dbraw/zinc/08/96/60/862089660.db2.gz NYZPUXODSSDIMF-UONOGXRCSA-N 1 2 312.479 1.911 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@]2(F)CCOC2)C1 ZINC001150172174 862121848 /nfs/dbraw/zinc/12/18/48/862121848.db2.gz QZWJGZMHQKIBRL-OCCSQVGLSA-N 1 2 320.792 1.075 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@]2(F)CCOC2)C1 ZINC001150172174 862121855 /nfs/dbraw/zinc/12/18/55/862121855.db2.gz QZWJGZMHQKIBRL-OCCSQVGLSA-N 1 2 320.792 1.075 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1CC1(C)C)CO2 ZINC001327389124 862130457 /nfs/dbraw/zinc/13/04/57/862130457.db2.gz YGHQAOUOIMBVST-ZIAGYGMSSA-N 1 2 308.422 1.195 20 30 DDEDLO C=CCN1CC2(C[NH+](CCCc3cccnc3)C2)OCC1=O ZINC001273493244 851025743 /nfs/dbraw/zinc/02/57/43/851025743.db2.gz NRKLOODFILTNSN-UHFFFAOYSA-N 1 2 301.390 1.113 20 30 DDEDLO C#CCCC[N@H+]1C[C@]2(F)CN(CCOCC)C(=O)[C@]2(F)C1 ZINC001273537015 851070079 /nfs/dbraw/zinc/07/00/79/851070079.db2.gz CCGPDGHYGCZZNC-LSDHHAIUSA-N 1 2 300.349 1.011 20 30 DDEDLO C#CCCC[N@@H+]1C[C@]2(F)CN(CCOCC)C(=O)[C@]2(F)C1 ZINC001273537015 851070087 /nfs/dbraw/zinc/07/00/87/851070087.db2.gz CCGPDGHYGCZZNC-LSDHHAIUSA-N 1 2 300.349 1.011 20 30 DDEDLO C=CCN1C(=O)C[C@]2(CCCN(c3cc[nH+]c(OC)c3)C2)C1=O ZINC001273585460 851116420 /nfs/dbraw/zinc/11/64/20/851116420.db2.gz PVTNXQMACLNUEG-KRWDZBQOSA-N 1 2 315.373 1.622 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1cccc(O)c1C)C2 ZINC001273615906 851154657 /nfs/dbraw/zinc/15/46/57/851154657.db2.gz DRROTUSFAPLQQK-UHFFFAOYSA-N 1 2 316.401 1.690 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C1(COC)CCC1)CCO2 ZINC001273623664 851160620 /nfs/dbraw/zinc/16/06/20/851160620.db2.gz JEJBOPPVEKRZBC-UHFFFAOYSA-N 1 2 308.422 1.292 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@H+]2[C@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707441 851250130 /nfs/dbraw/zinc/25/01/30/851250130.db2.gz QILCTDUBCSAYQV-CXAGYDPISA-N 1 2 306.406 1.417 20 30 DDEDLO C#CCN1CC[C@]2(CCC[N@@H+]2[C@H](C)C(=O)OC(C)(C)C)C1=O ZINC001273707441 851250134 /nfs/dbraw/zinc/25/01/34/851250134.db2.gz QILCTDUBCSAYQV-CXAGYDPISA-N 1 2 306.406 1.417 20 30 DDEDLO C#CCNC(=O)[C@@H]1CC12CC[NH+](Cc1cncc(Cl)n1)CC2 ZINC001273836653 851405310 /nfs/dbraw/zinc/40/53/10/851405310.db2.gz STUZZSHENMBYEL-ZDUSSCGKSA-N 1 2 318.808 1.482 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)CCc3nnc[nH]3)cc2C1 ZINC001274027793 851866349 /nfs/dbraw/zinc/86/63/49/851866349.db2.gz MYOVPMJJDPJCSS-UHFFFAOYSA-N 1 2 309.373 1.003 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)CCc3nnc[nH]3)cc2C1 ZINC001274027793 851866350 /nfs/dbraw/zinc/86/63/50/851866350.db2.gz MYOVPMJJDPJCSS-UHFFFAOYSA-N 1 2 309.373 1.003 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@](CO)([NH2+]Cc2csnn2)C1 ZINC001327591667 862300700 /nfs/dbraw/zinc/30/07/00/862300700.db2.gz MAKGKOCPIBMMHU-HNNXBMFYSA-N 1 2 324.450 1.338 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccccc1NC)C2 ZINC001274664432 852499546 /nfs/dbraw/zinc/49/95/46/852499546.db2.gz MIQHMEKKFOIPOQ-UHFFFAOYSA-N 1 2 301.390 1.328 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnc(C2CC2)o1)[C@H](C)NC(=O)C#CC(C)(C)C ZINC001275395682 853063106 /nfs/dbraw/zinc/06/31/06/853063106.db2.gz WNFOWNSQYTTWEU-NEPJUHHUSA-N 1 2 318.421 1.979 20 30 DDEDLO CN(C)C(=O)C[N@H+]1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1C#N ZINC001275966012 854031050 /nfs/dbraw/zinc/03/10/50/854031050.db2.gz YHSXIDOKVREOJF-CALCHBBNSA-N 1 2 312.417 1.295 20 30 DDEDLO CN(C)C(=O)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1C#N ZINC001275966012 854031051 /nfs/dbraw/zinc/03/10/51/854031051.db2.gz YHSXIDOKVREOJF-CALCHBBNSA-N 1 2 312.417 1.295 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccnn2C)cc1C#N ZINC001276281562 855087443 /nfs/dbraw/zinc/08/74/43/855087443.db2.gz JXNKGKZIWMNTQA-CALCHBBNSA-N 1 2 324.432 1.479 20 30 DDEDLO Cn1cc(CN2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccnn2C)cc1C#N ZINC001276281562 855087451 /nfs/dbraw/zinc/08/74/51/855087451.db2.gz JXNKGKZIWMNTQA-CALCHBBNSA-N 1 2 324.432 1.479 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H](C)[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111250311 855251694 /nfs/dbraw/zinc/25/16/94/855251694.db2.gz ZHVNIEHUMGLLEZ-HIFRSBDPSA-N 1 2 318.421 1.884 20 30 DDEDLO CC[N@H+](CCC#N)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001412950308 855805757 /nfs/dbraw/zinc/80/57/57/855805757.db2.gz PGCDYJLSESGFCR-HZPDHXFCSA-N 1 2 317.389 1.604 20 30 DDEDLO CC[N@@H+](CCC#N)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001412950308 855805759 /nfs/dbraw/zinc/80/57/59/855805759.db2.gz PGCDYJLSESGFCR-HZPDHXFCSA-N 1 2 317.389 1.604 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nonc2C)[C@@H](C)C1 ZINC001328238060 862798402 /nfs/dbraw/zinc/79/84/02/862798402.db2.gz DHFRNOPHTNSIJZ-JSGCOSHPSA-N 1 2 304.394 1.651 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nonc2C)[C@@H](C)C1 ZINC001328238060 862798408 /nfs/dbraw/zinc/79/84/08/862798408.db2.gz DHFRNOPHTNSIJZ-JSGCOSHPSA-N 1 2 304.394 1.651 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2C)C[C@@H]1C ZINC001328239202 862804136 /nfs/dbraw/zinc/80/41/36/862804136.db2.gz FUFHBWFRMYIUMV-SWLSCSKDSA-N 1 2 318.421 1.259 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2C)C[C@@H]1C ZINC001328239202 862804156 /nfs/dbraw/zinc/80/41/56/862804156.db2.gz FUFHBWFRMYIUMV-SWLSCSKDSA-N 1 2 318.421 1.259 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccc2[nH+]ccn21 ZINC001049590357 857026101 /nfs/dbraw/zinc/02/61/01/857026101.db2.gz SBRBPSMJHBRMGO-ZIAGYGMSSA-N 1 2 309.373 1.537 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[N@H+](Cc1nnc(C3CC3)o1)C2 ZINC001072559462 857448302 /nfs/dbraw/zinc/44/83/02/857448302.db2.gz DJZLOFTUSWKXFW-LLVKDONJSA-N 1 2 315.377 1.141 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](Cc1nnc(C3CC3)o1)C2 ZINC001072559462 857448305 /nfs/dbraw/zinc/44/83/05/857448305.db2.gz DJZLOFTUSWKXFW-LLVKDONJSA-N 1 2 315.377 1.141 20 30 DDEDLO CC#CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001072563880 857455464 /nfs/dbraw/zinc/45/54/64/857455464.db2.gz PJIWMSYCWFIKCN-HIFRSBDPSA-N 1 2 316.405 1.109 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(C2)CC[N@H+](Cc2ccon2)C3)[nH]1 ZINC001072635793 857541878 /nfs/dbraw/zinc/54/18/78/857541878.db2.gz WWHJRDUGQFKPNW-UHFFFAOYSA-N 1 2 311.345 1.222 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(C2)CC[N@@H+](Cc2ccon2)C3)[nH]1 ZINC001072635793 857541884 /nfs/dbraw/zinc/54/18/84/857541884.db2.gz WWHJRDUGQFKPNW-UHFFFAOYSA-N 1 2 311.345 1.222 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)c2ncccc2C)C1 ZINC001073569563 858447133 /nfs/dbraw/zinc/44/71/33/858447133.db2.gz AUDDFIFLVUTIDN-AWEZNQCLSA-N 1 2 323.824 1.963 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)c2ncccc2C)C1 ZINC001073569563 858447139 /nfs/dbraw/zinc/44/71/39/858447139.db2.gz AUDDFIFLVUTIDN-AWEZNQCLSA-N 1 2 323.824 1.963 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2C)nnc1[C@@]1(C)C[C@H](O)C[N@@H+]1C ZINC001121708978 858578597 /nfs/dbraw/zinc/57/85/97/858578597.db2.gz PKHABSYMPPWDLG-HEHGZKQESA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2C)nnc1[C@@]1(C)C[C@H](O)C[N@H+]1C ZINC001121708978 858578599 /nfs/dbraw/zinc/57/85/99/858578599.db2.gz PKHABSYMPPWDLG-HEHGZKQESA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@H](CF)C1 ZINC001121714896 858581113 /nfs/dbraw/zinc/58/11/13/858581113.db2.gz ILYDGDYICXNMPA-GFCCVEGCSA-N 1 2 304.373 1.584 20 30 DDEDLO C=C(C)CN(CC)c1nnc(C[NH+]2CCC(CO)CC2)n1CC ZINC001121852402 858612578 /nfs/dbraw/zinc/61/25/78/858612578.db2.gz NUCIWEHMIRAQFC-UHFFFAOYSA-N 1 2 321.469 1.905 20 30 DDEDLO C#C[C@@H](C)N(C)c1nnc(C[NH+]2CCCC2)n1CCCOCC ZINC001122611625 858911025 /nfs/dbraw/zinc/91/10/25/858911025.db2.gz ZORGPIFJSGGZHN-OAHLLOKOSA-N 1 2 319.453 1.758 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](O)CNc1cc[nH+]c(C)n1 ZINC001124727453 859796200 /nfs/dbraw/zinc/79/62/00/859796200.db2.gz GUJNQPKCPHPBGK-BBRMVZONSA-N 1 2 306.410 1.619 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)Cc1cccc2nsnc21 ZINC001276985274 881470512 /nfs/dbraw/zinc/47/05/12/881470512.db2.gz AOTJQGXUFIUVNG-LBPRGKRZSA-N 1 2 300.387 1.058 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)Cc1cccc2nsnc21 ZINC001276985274 881470529 /nfs/dbraw/zinc/47/05/29/881470529.db2.gz AOTJQGXUFIUVNG-LBPRGKRZSA-N 1 2 300.387 1.058 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2ccc3nonc3c2)CC1 ZINC001139224324 860323253 /nfs/dbraw/zinc/32/32/53/860323253.db2.gz BJGOURCROOCUQN-UHFFFAOYSA-N 1 2 321.344 1.207 20 30 DDEDLO C[N@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(C#N)ccc1Br ZINC001276398271 860331929 /nfs/dbraw/zinc/33/19/29/860331929.db2.gz QDHUGHXOVALFGV-PHIMTYICSA-N 1 2 320.190 1.849 20 30 DDEDLO C[N@@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(C#N)ccc1Br ZINC001276398271 860331934 /nfs/dbraw/zinc/33/19/34/860331934.db2.gz QDHUGHXOVALFGV-PHIMTYICSA-N 1 2 320.190 1.849 20 30 DDEDLO CCN(CC#N)CC1CCN(C(=O)CCc2c[nH+]cn2C)CC1 ZINC001328589108 863091741 /nfs/dbraw/zinc/09/17/41/863091741.db2.gz SUXMNIQHFMQVCK-UHFFFAOYSA-N 1 2 317.437 1.437 20 30 DDEDLO C=C[C@@H](C(=O)NCC[NH2+]Cc1nccc(C)n1)c1ccccc1 ZINC001151991002 863095127 /nfs/dbraw/zinc/09/51/27/863095127.db2.gz VCUFDMJJHYKECR-MRXNPFEDSA-N 1 2 310.401 1.961 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]1C[N@H+](C)Cc1ccn(C)n1 ZINC001328721110 863175664 /nfs/dbraw/zinc/17/56/64/863175664.db2.gz SACZBMIEENPIGN-INIZCTEOSA-N 1 2 302.422 1.502 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1ccn(C)n1 ZINC001328721110 863175667 /nfs/dbraw/zinc/17/56/67/863175667.db2.gz SACZBMIEENPIGN-INIZCTEOSA-N 1 2 302.422 1.502 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cn3c(cccc3OC)n2)CC1 ZINC001156595927 863176651 /nfs/dbraw/zinc/17/66/51/863176651.db2.gz SDHYSLSSQRULPU-UHFFFAOYSA-N 1 2 300.362 1.287 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc1nc(COC)no1 ZINC001329103375 863477338 /nfs/dbraw/zinc/47/73/38/863477338.db2.gz KDBMUMDZSMKTFH-NEPJUHHUSA-N 1 2 324.425 1.801 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001153135272 863728878 /nfs/dbraw/zinc/72/88/78/863728878.db2.gz OSLDVQZIKLCXHD-NSHDSACASA-N 1 2 320.349 1.127 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(C)nn1 ZINC001153608615 863973477 /nfs/dbraw/zinc/97/34/77/863973477.db2.gz KKDAXVASPRQPGK-CYBMUJFWSA-N 1 2 307.442 1.744 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(C)nn1 ZINC001153608615 863973489 /nfs/dbraw/zinc/97/34/89/863973489.db2.gz KKDAXVASPRQPGK-CYBMUJFWSA-N 1 2 307.442 1.744 20 30 DDEDLO C=CCn1cc(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)N(C)CCOC)cn1 ZINC001277022286 881714738 /nfs/dbraw/zinc/71/47/38/881714738.db2.gz LNKYPCMXFSKYFV-WBVHZDCISA-N 1 2 318.421 1.138 20 30 DDEDLO C=CCn1cc(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)N(C)CCOC)cn1 ZINC001277022286 881714749 /nfs/dbraw/zinc/71/47/49/881714749.db2.gz LNKYPCMXFSKYFV-WBVHZDCISA-N 1 2 318.421 1.138 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1C[NH+](CC(=O)NC2CCCCC2)C1 ZINC001329971609 863984126 /nfs/dbraw/zinc/98/41/26/863984126.db2.gz MGEICGZLAGXCIL-AWEZNQCLSA-N 1 2 321.465 1.838 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001330012575 864020903 /nfs/dbraw/zinc/02/09/03/864020903.db2.gz KCQWZEKJJPLMAE-BPLDGKMQSA-N 1 2 321.465 1.407 20 30 DDEDLO COc1cc(N2CCN(c3ncc(C#N)nc3C)CC2)cc[nH+]1 ZINC001158099226 864427697 /nfs/dbraw/zinc/42/76/97/864427697.db2.gz XDHWGPAWQWBNRC-UHFFFAOYSA-N 1 2 310.361 1.387 20 30 DDEDLO CC#CC[N@@H+](CC)[C@H](C)CNC(=O)c1[nH]nc2c1CCCC2 ZINC001154140420 864444865 /nfs/dbraw/zinc/44/48/65/864444865.db2.gz PHKDBEYNEYSZDO-CYBMUJFWSA-N 1 2 302.422 1.752 20 30 DDEDLO CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1[nH]nc2c1CCCC2 ZINC001154140420 864444874 /nfs/dbraw/zinc/44/48/74/864444874.db2.gz PHKDBEYNEYSZDO-CYBMUJFWSA-N 1 2 302.422 1.752 20 30 DDEDLO Cc1cnc(N2CC([NH+]3C[C@@H](C)O[C@H](C)C3)C2)c(/C=N/O)c1 ZINC001158729396 864864087 /nfs/dbraw/zinc/86/40/87/864864087.db2.gz XQFOONPYMMTJRQ-MRUOBZPZSA-N 1 2 304.394 1.496 20 30 DDEDLO C=C(C)CCC(=O)NCCC1=CC[N@H+](Cc2cn(C)nn2)CC1 ZINC001160257877 865749906 /nfs/dbraw/zinc/74/99/06/865749906.db2.gz IJGMOHSBJBGKHK-UHFFFAOYSA-N 1 2 317.437 1.810 20 30 DDEDLO C=C(C)CCC(=O)NCCC1=CC[N@@H+](Cc2cn(C)nn2)CC1 ZINC001160257877 865749909 /nfs/dbraw/zinc/74/99/09/865749909.db2.gz IJGMOHSBJBGKHK-UHFFFAOYSA-N 1 2 317.437 1.810 20 30 DDEDLO C#CCOCCOCCNc1cc(N2CCC[C@@H](C)C2)nc[nH+]1 ZINC001160670986 866008859 /nfs/dbraw/zinc/00/88/59/866008859.db2.gz BXMSQAQICWUPRF-OAHLLOKOSA-N 1 2 318.421 1.791 20 30 DDEDLO C#CCOCCOCCNc1cc(N2CCC[C@@H](C)C2)[nH+]cn1 ZINC001160670986 866008873 /nfs/dbraw/zinc/00/88/73/866008873.db2.gz BXMSQAQICWUPRF-OAHLLOKOSA-N 1 2 318.421 1.791 20 30 DDEDLO C#CCOCCOCCNc1cc(CC(=O)OCC)cc[nH+]1 ZINC001160675286 866013947 /nfs/dbraw/zinc/01/39/47/866013947.db2.gz SOCQWTZWIXVQEN-UHFFFAOYSA-N 1 2 306.362 1.266 20 30 DDEDLO N#Cc1cnc(Cl)nc1N[C@H]1CCC[C@@H]1[NH+]1CCOCC1 ZINC001160722946 866067338 /nfs/dbraw/zinc/06/73/38/866067338.db2.gz IWLYQGSGPBRRKL-RYUDHWBXSA-N 1 2 307.785 1.089 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NC(C)(C)CC ZINC001323229303 866449883 /nfs/dbraw/zinc/44/98/83/866449883.db2.gz AXGSDYNHFKTNTF-GJZGRUSLSA-N 1 2 321.465 1.674 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NC(C)(C)CC ZINC001323229303 866449893 /nfs/dbraw/zinc/44/98/93/866449893.db2.gz AXGSDYNHFKTNTF-GJZGRUSLSA-N 1 2 321.465 1.674 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@@H]1CC[N@@H+]1Cc1cnoc1C ZINC001323273558 866492636 /nfs/dbraw/zinc/49/26/36/866492636.db2.gz ROCZZISBMCMXFY-RDJZCZTQSA-N 1 2 321.421 1.902 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NC[C@@H]1CC[N@H+]1Cc1cnoc1C ZINC001323273558 866492643 /nfs/dbraw/zinc/49/26/43/866492643.db2.gz ROCZZISBMCMXFY-RDJZCZTQSA-N 1 2 321.421 1.902 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@H](Cc3[nH+]ccn3C)C2)nc1 ZINC001333478264 866783267 /nfs/dbraw/zinc/78/32/67/866783267.db2.gz LABCKEGRYMXUPI-OAHLLOKOSA-N 1 2 308.385 1.891 20 30 DDEDLO C=CCOCC(=O)N1CC[C@]2(CC[N@@H+](Cc3nc(C)no3)C2)C1 ZINC001323844233 866886985 /nfs/dbraw/zinc/88/69/85/866886985.db2.gz JPPVINOAGVYIFU-INIZCTEOSA-N 1 2 320.393 1.005 20 30 DDEDLO C=CCOCC(=O)N1CC[C@]2(CC[N@H+](Cc3nc(C)no3)C2)C1 ZINC001323844233 866886996 /nfs/dbraw/zinc/88/69/96/866886996.db2.gz JPPVINOAGVYIFU-INIZCTEOSA-N 1 2 320.393 1.005 20 30 DDEDLO Cc1cc(C)n(CC[NH+]2CC(N(C)C(=O)C#CC(C)C)C2)n1 ZINC001323921976 866951184 /nfs/dbraw/zinc/95/11/84/866951184.db2.gz WWPZYEGHIKLDEB-UHFFFAOYSA-N 1 2 302.422 1.302 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001333915676 867150918 /nfs/dbraw/zinc/15/09/18/867150918.db2.gz JIOOENMMNWJHGY-VXGBXAGGSA-N 1 2 306.410 1.626 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2c3c(nn2C)CCSC3)C[C@H](C)N1CC#N ZINC001334384749 867533787 /nfs/dbraw/zinc/53/37/87/867533787.db2.gz PDMYHMIPPWSMMU-BETUJISGSA-N 1 2 319.478 1.628 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2c3c(nn2C)CCSC3)C[C@H](C)N1CC#N ZINC001334384749 867533801 /nfs/dbraw/zinc/53/38/01/867533801.db2.gz PDMYHMIPPWSMMU-BETUJISGSA-N 1 2 319.478 1.628 20 30 DDEDLO C=CC1CCN(C(=O)C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)CC1 ZINC001324832896 867561268 /nfs/dbraw/zinc/56/12/68/867561268.db2.gz JBADTYDFAHZPLH-CQSZACIVSA-N 1 2 316.405 1.540 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNc2ncc(C(F)(F)F)cc2C#N)C1 ZINC001162683224 867727481 /nfs/dbraw/zinc/72/74/81/867727481.db2.gz ROBSBULHSSBWAG-NSHDSACASA-N 1 2 300.284 1.715 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNc2ncc(C(F)(F)F)cc2C#N)C1 ZINC001162683224 867727487 /nfs/dbraw/zinc/72/74/87/867727487.db2.gz ROBSBULHSSBWAG-NSHDSACASA-N 1 2 300.284 1.715 20 30 DDEDLO CC#CC[N@H+](C)C[C@@H](C)NC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001322274126 868094222 /nfs/dbraw/zinc/09/42/22/868094222.db2.gz MAJDBGDILBGWLD-QWHCGFSZSA-N 1 2 302.422 1.608 20 30 DDEDLO CC#CC[N@@H+](C)C[C@@H](C)NC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001322274126 868094234 /nfs/dbraw/zinc/09/42/34/868094234.db2.gz MAJDBGDILBGWLD-QWHCGFSZSA-N 1 2 302.422 1.608 20 30 DDEDLO C=C[C@@H](COC)NC(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC001325633793 868200760 /nfs/dbraw/zinc/20/07/60/868200760.db2.gz BJQXXPDAFDUIKW-KGLIPLIRSA-N 1 2 306.410 1.585 20 30 DDEDLO CC#CC[C@H](NC(=O)OC(C)(C)C)C(=O)NCCc1[nH]cc[nH+]1 ZINC001336340385 868883471 /nfs/dbraw/zinc/88/34/71/868883471.db2.gz CGLBDYCXIYUOPC-LBPRGKRZSA-N 1 2 320.393 1.375 20 30 DDEDLO C#C[C@@H]1CCCCN1C(=O)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC001336540657 869004210 /nfs/dbraw/zinc/00/42/10/869004210.db2.gz OXUGLEWHRSLQNO-UONOGXRCSA-N 1 2 314.389 1.130 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001164223443 869035569 /nfs/dbraw/zinc/03/55/69/869035569.db2.gz SNSAOUYJDFFFKL-QWHCGFSZSA-N 1 2 322.457 1.482 20 30 DDEDLO CCOC(=O)c1cc(N2CC[NH2+]C[C@H]2C#N)nc2ccccc21 ZINC001164642327 869338647 /nfs/dbraw/zinc/33/86/47/869338647.db2.gz UZJCYBBZIDKAGI-GFCCVEGCSA-N 1 2 310.357 1.713 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H](C)CN(C)C(=O)Cn1cc[nH+]c1 ZINC001337272110 869411848 /nfs/dbraw/zinc/41/18/48/869411848.db2.gz HJKOZIOAEVEWGM-ZDUSSCGKSA-N 1 2 306.410 1.449 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ncc([N+](=O)[O-])cc3Cl)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226255515 882311952 /nfs/dbraw/zinc/31/19/52/882311952.db2.gz MDPHCRYKWSFYRN-ZQLNZSQWSA-N 1 2 311.725 1.634 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ncc([N+](=O)[O-])cc3Cl)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226255515 882311969 /nfs/dbraw/zinc/31/19/69/882311969.db2.gz MDPHCRYKWSFYRN-ZQLNZSQWSA-N 1 2 311.725 1.634 20 30 DDEDLO C=CCn1c(-c2[nH]cnc2C)nnc1N1CC[NH+](C(C)C)CC1 ZINC001338777043 870163271 /nfs/dbraw/zinc/16/32/71/870163271.db2.gz ZFHMRTUDIFJYEH-UHFFFAOYSA-N 1 2 315.425 1.693 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)N[C@]2(C#N)CCSC2)[nH]n1 ZINC001339038095 870311458 /nfs/dbraw/zinc/31/14/58/870311458.db2.gz UJAWAURQTXLOJP-JSGCOSHPSA-N 1 2 305.407 1.305 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)N[C@]2(C#N)CCSC2)[nH]n1 ZINC001339038095 870311469 /nfs/dbraw/zinc/31/14/69/870311469.db2.gz UJAWAURQTXLOJP-JSGCOSHPSA-N 1 2 305.407 1.305 20 30 DDEDLO C=CCn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@H](C)[C@H](F)C1 ZINC001339212718 870406292 /nfs/dbraw/zinc/40/62/92/870406292.db2.gz YFNFNXYLSPBLEC-QWHCGFSZSA-N 1 2 318.400 1.973 20 30 DDEDLO C=C(Br)C[NH+]1CCC(NC(=O)COC(C)C)CC1 ZINC001226414428 882414955 /nfs/dbraw/zinc/41/49/55/882414955.db2.gz OYAODTRWICKALN-UHFFFAOYSA-N 1 2 319.243 1.901 20 30 DDEDLO C=CC(C)(C)CC(=O)NC/C=C/CNC(=O)Cc1[nH]cc[nH+]1 ZINC001298422273 870539040 /nfs/dbraw/zinc/53/90/40/870539040.db2.gz RZOVLONMBLZJBM-AATRIKPKSA-N 1 2 304.394 1.343 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](C)[NH2+]Cc1nc(C(F)F)no1 ZINC001317255218 870542483 /nfs/dbraw/zinc/54/24/83/870542483.db2.gz IXXJXCZFRGVMLC-QMMMGPOBSA-N 1 2 302.325 1.814 20 30 DDEDLO C=C[C@H](O)c1nnc(N2CCC(Nc3cccc[nH+]3)CC2)n1C ZINC001339647817 870603474 /nfs/dbraw/zinc/60/34/74/870603474.db2.gz MISIBKGMDGBMTQ-ZDUSSCGKSA-N 1 2 314.393 1.510 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@H+]1[C@H](C)c1ncccn1 ZINC001317505369 870941934 /nfs/dbraw/zinc/94/19/34/870941934.db2.gz OSEXQRUZVCBCCP-HUUCEWRRSA-N 1 2 316.405 1.158 20 30 DDEDLO C#CCOCCC(=O)NC[C@H]1CCC[N@@H+]1[C@H](C)c1ncccn1 ZINC001317505369 870941939 /nfs/dbraw/zinc/94/19/39/870941939.db2.gz OSEXQRUZVCBCCP-HUUCEWRRSA-N 1 2 316.405 1.158 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1CCC[N@@H+]1CCS(C)(=O)=O ZINC001317508027 870948588 /nfs/dbraw/zinc/94/85/88/870948588.db2.gz LWMGAXGOQBILHC-KBPBESRZSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1CCC[N@H+]1CCS(C)(=O)=O ZINC001317508027 870948599 /nfs/dbraw/zinc/94/85/99/870948599.db2.gz LWMGAXGOQBILHC-KBPBESRZSA-N 1 2 316.467 1.214 20 30 DDEDLO C=CCn1c(N(CC)CCC)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001340700868 871291959 /nfs/dbraw/zinc/29/19/59/871291959.db2.gz HNJBCUFIFWFVBK-BBRMVZONSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CCn1c(N(CC)CCC)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001340700868 871291975 /nfs/dbraw/zinc/29/19/75/871291975.db2.gz HNJBCUFIFWFVBK-BBRMVZONSA-N 1 2 307.442 1.612 20 30 DDEDLO COc1cc(C[NH2+]CC2(C#N)CCOCC2)c([N+](=O)[O-])cc1O ZINC001308549556 871509886 /nfs/dbraw/zinc/50/98/86/871509886.db2.gz ZRUMJARRTRHOAB-UHFFFAOYSA-N 1 2 321.333 1.719 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)CC(C)(C)C(C)C)C1 ZINC001309844173 871601119 /nfs/dbraw/zinc/60/11/19/871601119.db2.gz NMEZENGXWJOLDV-AWEZNQCLSA-N 1 2 300.468 1.686 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)CC(C)(C)C(C)C)C1 ZINC001309844173 871601127 /nfs/dbraw/zinc/60/11/27/871601127.db2.gz NMEZENGXWJOLDV-AWEZNQCLSA-N 1 2 300.468 1.686 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+]([C@@H](C)C(=O)NCC(C)C)C1 ZINC001317940852 871640858 /nfs/dbraw/zinc/64/08/58/871640858.db2.gz NGPGBSYGPDHSJG-LSDHHAIUSA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+]([C@@H](C)C(=O)NCC(C)C)C1 ZINC001317940852 871640885 /nfs/dbraw/zinc/64/08/85/871640885.db2.gz NGPGBSYGPDHSJG-LSDHHAIUSA-N 1 2 323.481 1.940 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N(C[C@H](C)O)C1CC1 ZINC001341903193 871889111 /nfs/dbraw/zinc/88/91/11/871889111.db2.gz LVPPAEBECIKZGW-ZFWWWQNUSA-N 1 2 319.453 1.971 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N(C[C@H](C)O)C1CC1 ZINC001341903193 871889131 /nfs/dbraw/zinc/88/91/31/871889131.db2.gz LVPPAEBECIKZGW-ZFWWWQNUSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCC23CC3)C1 ZINC001318260861 871889340 /nfs/dbraw/zinc/88/93/40/871889340.db2.gz QMRVAWCHKPIVLX-UONOGXRCSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCC23CC3)C1 ZINC001318260861 871889363 /nfs/dbraw/zinc/88/93/63/871889363.db2.gz QMRVAWCHKPIVLX-UONOGXRCSA-N 1 2 305.422 1.059 20 30 DDEDLO COc1nscc1C[NH+]1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001226639504 882560398 /nfs/dbraw/zinc/56/03/98/882560398.db2.gz DYHIVSDDDXKTMT-JTQLQIEISA-N 1 2 308.407 1.392 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)CCOCCN(C)C(=O)[C@@H](C)C#N ZINC001316761217 872002630 /nfs/dbraw/zinc/00/26/30/872002630.db2.gz LVYHAQNVCYDOPL-UONOGXRCSA-N 1 2 319.409 1.104 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)CCOCCN(C)C(=O)[C@@H](C)C#N ZINC001316761217 872002645 /nfs/dbraw/zinc/00/26/45/872002645.db2.gz LVYHAQNVCYDOPL-UONOGXRCSA-N 1 2 319.409 1.104 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@@H+](C)Cc2nccc(C)n2)cn1 ZINC001316863314 872176462 /nfs/dbraw/zinc/17/64/62/872176462.db2.gz XCOJFQVOHCYOKL-UHFFFAOYSA-N 1 2 323.400 1.413 20 30 DDEDLO C#Cc1ccc(C(=O)NCCC[N@H+](C)Cc2nccc(C)n2)cn1 ZINC001316863314 872176472 /nfs/dbraw/zinc/17/64/72/872176472.db2.gz XCOJFQVOHCYOKL-UHFFFAOYSA-N 1 2 323.400 1.413 20 30 DDEDLO C=CCOC[C@H]1CCC[C@@]12C[N@H+](Cc1ccnn1C)CCO2 ZINC001206342900 872236432 /nfs/dbraw/zinc/23/64/32/872236432.db2.gz QDYSPLFEQYQTMR-NVXWUHKLSA-N 1 2 305.422 1.994 20 30 DDEDLO C=CCOC[C@H]1CCC[C@@]12C[N@@H+](Cc1ccnn1C)CCO2 ZINC001206342900 872236446 /nfs/dbraw/zinc/23/64/46/872236446.db2.gz QDYSPLFEQYQTMR-NVXWUHKLSA-N 1 2 305.422 1.994 20 30 DDEDLO CC(C)C[C@@H](C(=O)N[C@H]1CCCN(CC#N)C1)n1cc[nH+]c1 ZINC001316942786 872428238 /nfs/dbraw/zinc/42/82/38/872428238.db2.gz FUPWPOSDOCGYEP-GJZGRUSLSA-N 1 2 303.410 1.574 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)COC)C1 ZINC001206551966 872434045 /nfs/dbraw/zinc/43/40/45/872434045.db2.gz PJJITZQQWHFWMQ-JFGNBEQYSA-N 1 2 319.243 1.614 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)COC)C1 ZINC001206551966 872434056 /nfs/dbraw/zinc/43/40/56/872434056.db2.gz PJJITZQQWHFWMQ-JFGNBEQYSA-N 1 2 319.243 1.614 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(C)C)C1 ZINC001316948902 872445195 /nfs/dbraw/zinc/44/51/95/872445195.db2.gz JBLXFZAZIZYJLD-HZPDHXFCSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(C)C)C1 ZINC001316948902 872445199 /nfs/dbraw/zinc/44/51/99/872445199.db2.gz JBLXFZAZIZYJLD-HZPDHXFCSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1C[N@H+](CCOC2CCC2)CCO1 ZINC001319311230 872561132 /nfs/dbraw/zinc/56/11/32/872561132.db2.gz TZUMMDVHWGTALV-MRXNPFEDSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H]1C[N@@H+](CCOC2CCC2)CCO1 ZINC001319311230 872561140 /nfs/dbraw/zinc/56/11/40/872561140.db2.gz TZUMMDVHWGTALV-MRXNPFEDSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C(C)Cn1c(N(CC)CC)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001343287882 872565670 /nfs/dbraw/zinc/56/56/70/872565670.db2.gz MJUMYKKCDWFOAH-CZUORRHYSA-N 1 2 307.442 1.612 20 30 DDEDLO C=C(C)Cn1c(N(CC)CC)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001343287882 872565682 /nfs/dbraw/zinc/56/56/82/872565682.db2.gz MJUMYKKCDWFOAH-CZUORRHYSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)Cc2ccc(C)c(C)c2)C1 ZINC001319334014 872585976 /nfs/dbraw/zinc/58/59/76/872585976.db2.gz WNKXXBYKZJSJLA-QGZVFWFLSA-N 1 2 302.418 1.849 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)Cc2ccc(C)c(C)c2)C1 ZINC001319334014 872585993 /nfs/dbraw/zinc/58/59/93/872585993.db2.gz WNKXXBYKZJSJLA-QGZVFWFLSA-N 1 2 302.418 1.849 20 30 DDEDLO C#CCN(CC1CC1)C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC001319443343 872633004 /nfs/dbraw/zinc/63/30/04/872633004.db2.gz JVBRMXXMPULLOJ-UHFFFAOYSA-N 1 2 300.406 1.817 20 30 DDEDLO C#CCOCC[N@@H+](C)CC(=O)Nc1ccccc1OC(F)F ZINC001319656177 872740665 /nfs/dbraw/zinc/74/06/65/872740665.db2.gz LYBNMKPIGKLNJL-UHFFFAOYSA-N 1 2 312.316 1.808 20 30 DDEDLO C#CCOCC[N@H+](C)CC(=O)Nc1ccccc1OC(F)F ZINC001319656177 872740668 /nfs/dbraw/zinc/74/06/68/872740668.db2.gz LYBNMKPIGKLNJL-UHFFFAOYSA-N 1 2 312.316 1.808 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)s1 ZINC001206948445 872829727 /nfs/dbraw/zinc/82/97/27/872829727.db2.gz KVKZNAGCESOCOG-BXUZGUMPSA-N 1 2 303.431 1.801 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)s1 ZINC001206948445 872829728 /nfs/dbraw/zinc/82/97/28/872829728.db2.gz KVKZNAGCESOCOG-BXUZGUMPSA-N 1 2 303.431 1.801 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nncn2C)C[C@H]1C ZINC001207165442 873097625 /nfs/dbraw/zinc/09/76/25/873097625.db2.gz NZXXXSCQELKUDI-MGPQQGTHSA-N 1 2 319.453 1.915 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nncn2C)C[C@H]1C ZINC001207165442 873097640 /nfs/dbraw/zinc/09/76/40/873097640.db2.gz NZXXXSCQELKUDI-MGPQQGTHSA-N 1 2 319.453 1.915 20 30 DDEDLO Cc1c(C(=O)OCCCc2c[nH+]cn2C)cnn1CCC#N ZINC001345059354 873241044 /nfs/dbraw/zinc/24/10/44/873241044.db2.gz HGSYPIHPFMZUDE-UHFFFAOYSA-N 1 2 301.350 1.628 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]([NH2+][C@@H](C)c2nc(COC)no2)C1 ZINC001207654920 873447010 /nfs/dbraw/zinc/44/70/10/873447010.db2.gz XEQHILNWTPDKSM-QWHCGFSZSA-N 1 2 322.409 1.824 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001207680486 873471482 /nfs/dbraw/zinc/47/14/82/873471482.db2.gz ORHKKXUUXMGETO-ZDUSSCGKSA-N 1 2 320.393 1.230 20 30 DDEDLO C=CCOCCCC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001207758733 873540302 /nfs/dbraw/zinc/54/03/02/873540302.db2.gz GFIRBNTZFYLNEW-CQSZACIVSA-N 1 2 322.409 1.441 20 30 DDEDLO C=C[C@@H](C(=O)NC[C@H](C)[NH+]1CCN(C)CC1)c1ccccc1 ZINC001345952765 873571283 /nfs/dbraw/zinc/57/12/83/873571283.db2.gz KYQRSWUVFKNPQR-DOTOQJQBSA-N 1 2 301.434 1.708 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC001346402557 873736232 /nfs/dbraw/zinc/73/62/32/873736232.db2.gz RWDCPUBCMDGOEI-CYBMUJFWSA-N 1 2 300.362 1.421 20 30 DDEDLO Cc1nnc(C[NH2+][C@@H]2CCCN(C(=O)C#CC(C)(C)C)C2)o1 ZINC001208011142 873746700 /nfs/dbraw/zinc/74/67/00/873746700.db2.gz WZARQQGAMAXCCX-CYBMUJFWSA-N 1 2 304.394 1.508 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)N[C@H](C)[C@@H]2CN(C)CC[N@@H+]2C)C1 ZINC001346766018 873887192 /nfs/dbraw/zinc/88/71/92/873887192.db2.gz FPCFJMGTEXRCMU-OAGGEKHMSA-N 1 2 308.470 1.618 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)N[C@H](C)[C@@H]2CN(C)CC[N@H+]2C)C1 ZINC001346766018 873887211 /nfs/dbraw/zinc/88/72/11/873887211.db2.gz FPCFJMGTEXRCMU-OAGGEKHMSA-N 1 2 308.470 1.618 20 30 DDEDLO C#CCC1(NC(=O)NC[C@@H](C)[NH+]2CCN(C)CC2)CCCCC1 ZINC001347102067 874013242 /nfs/dbraw/zinc/01/32/42/874013242.db2.gz IKWNVTGSGBVXJR-MRXNPFEDSA-N 1 2 320.481 1.648 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2nccn2C)C[C@H]1C ZINC001208392668 874101741 /nfs/dbraw/zinc/10/17/41/874101741.db2.gz APZCJEOIGDVTMP-RBSFLKMASA-N 1 2 304.438 1.959 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2nccn2C)C[C@H]1C ZINC001208392668 874101747 /nfs/dbraw/zinc/10/17/47/874101747.db2.gz APZCJEOIGDVTMP-RBSFLKMASA-N 1 2 304.438 1.959 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)Cn1cc(Cl)cn1 ZINC001378138173 874227960 /nfs/dbraw/zinc/22/79/60/874227960.db2.gz IFQJSYYMJBKFLN-SNVBAGLBSA-N 1 2 305.209 1.726 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)Cn1cc(Cl)cn1 ZINC001378138173 874227973 /nfs/dbraw/zinc/22/79/73/874227973.db2.gz IFQJSYYMJBKFLN-SNVBAGLBSA-N 1 2 305.209 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)C(C)(C)N1CCOCC1 ZINC001378170342 874322484 /nfs/dbraw/zinc/32/24/84/874322484.db2.gz SIWYNAYHMUMPEY-CYBMUJFWSA-N 1 2 317.861 1.286 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)C(C)(C)N1CCOCC1 ZINC001378170342 874322499 /nfs/dbraw/zinc/32/24/99/874322499.db2.gz SIWYNAYHMUMPEY-CYBMUJFWSA-N 1 2 317.861 1.286 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NCCNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001349000988 874915529 /nfs/dbraw/zinc/91/55/29/874915529.db2.gz GIWWSPGYYXZSHH-UONOGXRCSA-N 1 2 306.410 1.669 20 30 DDEDLO CCn1ccnc1C[NH+]1CCC(NC(=O)C#CC(C)C)CC1 ZINC001227233592 882924119 /nfs/dbraw/zinc/92/41/19/882924119.db2.gz WXDZZXKGZLRCHQ-UHFFFAOYSA-N 1 2 302.422 1.643 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)CC(C)C)[C@H](OC)C1 ZINC001213695017 876025378 /nfs/dbraw/zinc/02/53/78/876025378.db2.gz CBMAWLMUSBROSL-HZPDHXFCSA-N 1 2 324.465 1.524 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)CC(C)C)[C@H](OC)C1 ZINC001213695017 876025394 /nfs/dbraw/zinc/02/53/94/876025394.db2.gz CBMAWLMUSBROSL-HZPDHXFCSA-N 1 2 324.465 1.524 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)Oc2ccc(F)cc2)[C@H](OC)C1 ZINC001213833303 876071106 /nfs/dbraw/zinc/07/11/06/876071106.db2.gz HIHFLNIOUKINEL-APHBMKBZSA-N 1 2 320.364 1.042 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)Oc2ccc(F)cc2)[C@H](OC)C1 ZINC001213833303 876071121 /nfs/dbraw/zinc/07/11/21/876071121.db2.gz HIHFLNIOUKINEL-APHBMKBZSA-N 1 2 320.364 1.042 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H](C)[C@H]1CN(C)CC[N@@H+]1C)c1ccccc1 ZINC001351325791 876189381 /nfs/dbraw/zinc/18/93/81/876189381.db2.gz QHULJRHZURMPNR-BHYGNILZSA-N 1 2 301.434 1.707 20 30 DDEDLO C=C[C@H](C(=O)N[C@@H](C)[C@H]1CN(C)CC[N@H+]1C)c1ccccc1 ZINC001351325791 876189386 /nfs/dbraw/zinc/18/93/86/876189386.db2.gz QHULJRHZURMPNR-BHYGNILZSA-N 1 2 301.434 1.707 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+][C@H](C)c2noc(C)n2)C1 ZINC001214524558 876376361 /nfs/dbraw/zinc/37/63/61/876376361.db2.gz GFYCDJZGJMRYPV-YRGRVCCFSA-N 1 2 304.394 1.679 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)C/C=C/Cc3ccccc3)[C@H]2C1 ZINC001218129217 877404692 /nfs/dbraw/zinc/40/46/92/877404692.db2.gz GUEJEGHISVUOKP-HMTUNPETSA-N 1 2 324.424 1.720 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)C/C=C/Cc3ccccc3)[C@H]2C1 ZINC001218129217 877404701 /nfs/dbraw/zinc/40/47/01/877404701.db2.gz GUEJEGHISVUOKP-HMTUNPETSA-N 1 2 324.424 1.720 20 30 DDEDLO N#C[C@H]1C[NH2+]C[C@@H]1Oc1[nH]c(=O)nc2cc(-c3ccccc3)[nH]c21 ZINC001218199973 877417966 /nfs/dbraw/zinc/41/79/66/877417966.db2.gz IPZSLFVLXCYSEC-FZMZJTMJSA-N 1 2 321.340 1.821 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001353808512 877581492 /nfs/dbraw/zinc/58/14/92/877581492.db2.gz LBGNUAALJIMQFQ-RFQIPJPRSA-N 1 2 318.421 1.184 20 30 DDEDLO C=CCCC(=O)NC1CCC(NC(=O)CCc2[nH]cc[nH+]2)CC1 ZINC001354184140 877795794 /nfs/dbraw/zinc/79/57/94/877795794.db2.gz MMYMYYSZOJLPMJ-UHFFFAOYSA-N 1 2 318.421 1.852 20 30 DDEDLO C#CCCCCC(=O)NC[C@H](C)NC(=O)Cc1[nH]c[nH+]c1C ZINC001354345064 877905905 /nfs/dbraw/zinc/90/59/05/877905905.db2.gz SWBRZMBXDCHMMW-LBPRGKRZSA-N 1 2 304.394 1.075 20 30 DDEDLO CCC(CC)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219224754 878030434 /nfs/dbraw/zinc/03/04/34/878030434.db2.gz JHAKDUQKUNRGEZ-CABCVRRESA-N 1 2 321.446 1.717 20 30 DDEDLO CCC(CC)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219224754 878030455 /nfs/dbraw/zinc/03/04/55/878030455.db2.gz JHAKDUQKUNRGEZ-CABCVRRESA-N 1 2 321.446 1.717 20 30 DDEDLO CCC(CC)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219224499 878031124 /nfs/dbraw/zinc/03/11/24/878031124.db2.gz CWTJOSCXZBSVCD-MSOLQXFVSA-N 1 2 314.429 1.636 20 30 DDEDLO CCC(CC)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219224499 878031136 /nfs/dbraw/zinc/03/11/36/878031136.db2.gz CWTJOSCXZBSVCD-MSOLQXFVSA-N 1 2 314.429 1.636 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(Cc3ccccc3)CCC2)[C@@H](O)C1 ZINC001219818224 878531257 /nfs/dbraw/zinc/53/12/57/878531257.db2.gz NNHWOXXISZIZRO-SJORKVTESA-N 1 2 312.413 1.194 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(Cc3ccccc3)CCC2)[C@@H](O)C1 ZINC001219818224 878531270 /nfs/dbraw/zinc/53/12/70/878531270.db2.gz NNHWOXXISZIZRO-SJORKVTESA-N 1 2 312.413 1.194 20 30 DDEDLO C=CCn1c(C[NH+]2CCC(CO)CC2)nnc1N(C)C1CC1 ZINC001355968582 878802742 /nfs/dbraw/zinc/80/27/42/878802742.db2.gz LVIPJIZPVWSGRC-UHFFFAOYSA-N 1 2 305.426 1.267 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](CCN(C)C(=O)[C@H]2C[C@@H]2C)CC1 ZINC001356698142 879200850 /nfs/dbraw/zinc/20/08/50/879200850.db2.gz AEEVFLRAGFURSM-GJZGRUSLSA-N 1 2 307.438 1.211 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@]1(C)CC[N@H+](Cc2noc3c2CCCC3)C1 ZINC001380348148 879412301 /nfs/dbraw/zinc/41/23/01/879412301.db2.gz OHQJKLUCVQNXLW-SJCJKPOMSA-N 1 2 316.405 1.794 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@]1(C)CC[N@@H+](Cc2noc3c2CCCC3)C1 ZINC001380348148 879412316 /nfs/dbraw/zinc/41/23/16/879412316.db2.gz OHQJKLUCVQNXLW-SJCJKPOMSA-N 1 2 316.405 1.794 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](CC)CNC(=O)Cn1cc[nH+]c1 ZINC001356899394 879559730 /nfs/dbraw/zinc/55/97/30/879559730.db2.gz AZSBPRYZEGPXHG-XJKSGUPXSA-N 1 2 306.410 1.496 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(C)o3)[C@@H]2C1 ZINC001221242094 879607650 /nfs/dbraw/zinc/60/76/50/879607650.db2.gz LIMNPGCKTWAXPR-ZIAGYGMSSA-N 1 2 317.389 1.037 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc(C)o3)[C@@H]2C1 ZINC001221242094 879607654 /nfs/dbraw/zinc/60/76/54/879607654.db2.gz LIMNPGCKTWAXPR-ZIAGYGMSSA-N 1 2 317.389 1.037 20 30 DDEDLO Cc1nnsc1C[N@H+]1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221534987 879929340 /nfs/dbraw/zinc/92/93/40/879929340.db2.gz HSGCPBUANBLGTA-ZIAGYGMSSA-N 1 2 318.446 1.539 20 30 DDEDLO Cc1nnsc1C[N@@H+]1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221534987 879929346 /nfs/dbraw/zinc/92/93/46/879929346.db2.gz HSGCPBUANBLGTA-ZIAGYGMSSA-N 1 2 318.446 1.539 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H](C)NC(=O)CC)[C@@H]2C1 ZINC001221565312 879947629 /nfs/dbraw/zinc/94/76/29/879947629.db2.gz FVQCGJCMRWXQPU-YNEHKIRRSA-N 1 2 313.829 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)NC(=O)CC)[C@@H]2C1 ZINC001221565312 879947632 /nfs/dbraw/zinc/94/76/32/879947632.db2.gz FVQCGJCMRWXQPU-YNEHKIRRSA-N 1 2 313.829 1.186 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)CCC(F)(F)F ZINC001276824954 880052737 /nfs/dbraw/zinc/05/27/37/880052737.db2.gz XAAUVALNADROBV-GHMZBOCLSA-N 1 2 321.343 1.210 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)CCC(F)(F)F ZINC001276824954 880052751 /nfs/dbraw/zinc/05/27/51/880052751.db2.gz XAAUVALNADROBV-GHMZBOCLSA-N 1 2 321.343 1.210 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001358184088 880360791 /nfs/dbraw/zinc/36/07/91/880360791.db2.gz NYFYICUQFXRKIC-CHWSQXEVSA-N 1 2 320.437 1.812 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001358184088 880360801 /nfs/dbraw/zinc/36/08/01/880360801.db2.gz NYFYICUQFXRKIC-CHWSQXEVSA-N 1 2 320.437 1.812 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)COC/C=C/c1ccccc1 ZINC001276875918 880887627 /nfs/dbraw/zinc/88/76/27/880887627.db2.gz QIFSAJFQEFEDNP-HKMNZKMDSA-N 1 2 312.413 1.930 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)COC/C=C/c1ccccc1 ZINC001276875918 880887637 /nfs/dbraw/zinc/88/76/37/880887637.db2.gz QIFSAJFQEFEDNP-HKMNZKMDSA-N 1 2 312.413 1.930 20 30 DDEDLO C#CCN(CC#CC)c1nnc(C)n1CCCNc1cccc[nH+]1 ZINC001358986479 881130501 /nfs/dbraw/zinc/13/05/01/881130501.db2.gz XQXSJSPXPNNXGV-UHFFFAOYSA-N 1 2 322.416 1.947 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NCC1CC[NH+](Cc2ncnn2C)CC1 ZINC001224187700 881265930 /nfs/dbraw/zinc/26/59/30/881265930.db2.gz XWJWBQIRBWUHOO-CQSZACIVSA-N 1 2 319.453 1.746 20 30 DDEDLO O=C(CC#Cc1ccccc1)NCC1([NH2+]Cc2ccon2)CC1 ZINC001277252424 883231243 /nfs/dbraw/zinc/23/12/43/883231243.db2.gz IODZOUNBXATZAY-UHFFFAOYSA-N 1 2 309.369 1.855 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665907 884718919 /nfs/dbraw/zinc/71/89/19/884718919.db2.gz ZCBPKMSWYHLMTD-HOCLYGCPSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)CC1CCCC1 ZINC001230665907 884718932 /nfs/dbraw/zinc/71/89/32/884718932.db2.gz ZCBPKMSWYHLMTD-HOCLYGCPSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770312 884854107 /nfs/dbraw/zinc/85/41/07/884854107.db2.gz MKBGGGZVZPJFRZ-ZIAGYGMSSA-N 1 2 307.438 1.093 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)C ZINC001230770312 884854127 /nfs/dbraw/zinc/85/41/27/884854127.db2.gz MKBGGGZVZPJFRZ-ZIAGYGMSSA-N 1 2 307.438 1.093 20 30 DDEDLO COC[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001231215014 885381334 /nfs/dbraw/zinc/38/13/34/885381334.db2.gz DSYBPFHLBZPNAM-WMZOPIPTSA-N 1 2 314.429 1.853 20 30 DDEDLO COC[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001231215014 885381358 /nfs/dbraw/zinc/38/13/58/885381358.db2.gz DSYBPFHLBZPNAM-WMZOPIPTSA-N 1 2 314.429 1.853 20 30 DDEDLO C[N@@H+]1CCN(C(=O)c2cccc(SCC#N)c2)C[C@@H]1CO ZINC001363564402 886644977 /nfs/dbraw/zinc/64/49/77/886644977.db2.gz UHJDQYVPJKHQMZ-CYBMUJFWSA-N 1 2 305.403 1.051 20 30 DDEDLO C[N@H+]1CCN(C(=O)c2cccc(SCC#N)c2)C[C@@H]1CO ZINC001363564402 886644988 /nfs/dbraw/zinc/64/49/88/886644988.db2.gz UHJDQYVPJKHQMZ-CYBMUJFWSA-N 1 2 305.403 1.051 20 30 DDEDLO CN(C)c1ccc(C[N@@H+]2CCOC[C@@](C)(O)C2)c(F)c1C#N ZINC001233097752 886785395 /nfs/dbraw/zinc/78/53/95/886785395.db2.gz BDLJARFCLQBYTN-INIZCTEOSA-N 1 2 307.369 1.347 20 30 DDEDLO CN(C)c1ccc(C[N@H+]2CCOC[C@@](C)(O)C2)c(F)c1C#N ZINC001233097752 886785402 /nfs/dbraw/zinc/78/54/02/886785402.db2.gz BDLJARFCLQBYTN-INIZCTEOSA-N 1 2 307.369 1.347 20 30 DDEDLO C[C@@H]1CN(C2C[NH+](Cc3ccc(C#N)cc3O)C2)C[C@@H](C)O1 ZINC001233387987 886969813 /nfs/dbraw/zinc/96/98/13/886969813.db2.gz HPRNQVITIWAMMC-CHWSQXEVSA-N 1 2 301.390 1.557 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CCC1CCC1 ZINC001233510951 887058393 /nfs/dbraw/zinc/05/83/93/887058393.db2.gz KWYQBZYXZHRFFL-OAHLLOKOSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC1CCC1 ZINC001233510951 887058405 /nfs/dbraw/zinc/05/84/05/887058405.db2.gz KWYQBZYXZHRFFL-OAHLLOKOSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)C1=CCCC1 ZINC001233620908 887159510 /nfs/dbraw/zinc/15/95/10/887159510.db2.gz BUNHHPONUIBVDH-DZGCQCFKSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)C1=CCCC1 ZINC001233620908 887159513 /nfs/dbraw/zinc/15/95/13/887159513.db2.gz BUNHHPONUIBVDH-DZGCQCFKSA-N 1 2 305.422 1.320 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c(C)ccn1C ZINC001233865482 887404336 /nfs/dbraw/zinc/40/43/36/887404336.db2.gz JLGXBMNQEMYUGB-HNNXBMFYSA-N 1 2 303.406 1.130 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1c(C)ccn1C ZINC001233865482 887404342 /nfs/dbraw/zinc/40/43/42/887404342.db2.gz JLGXBMNQEMYUGB-HNNXBMFYSA-N 1 2 303.406 1.130 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214788 887754380 /nfs/dbraw/zinc/75/43/80/887754380.db2.gz FBNHIXQEBCLEKC-UKRRQHHQSA-N 1 2 319.449 1.236 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214788 887754396 /nfs/dbraw/zinc/75/43/96/887754396.db2.gz FBNHIXQEBCLEKC-UKRRQHHQSA-N 1 2 319.449 1.236 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1conc1CC ZINC001234224424 887763079 /nfs/dbraw/zinc/76/30/79/887763079.db2.gz IBTABGXPBMMSQH-DZGCQCFKSA-N 1 2 319.405 1.308 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1conc1CC ZINC001234224424 887763097 /nfs/dbraw/zinc/76/30/97/887763097.db2.gz IBTABGXPBMMSQH-DZGCQCFKSA-N 1 2 319.405 1.308 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1(C)CC1 ZINC001234464228 887991238 /nfs/dbraw/zinc/99/12/38/887991238.db2.gz ABZJAJHVSZOZDA-OAHLLOKOSA-N 1 2 319.449 1.520 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1(C)CC1 ZINC001234464228 887991242 /nfs/dbraw/zinc/99/12/42/887991242.db2.gz ABZJAJHVSZOZDA-OAHLLOKOSA-N 1 2 319.449 1.520 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)nc(C)c1 ZINC001234517006 888047078 /nfs/dbraw/zinc/04/70/78/888047078.db2.gz HZHLEOXLJYEXQD-QGZVFWFLSA-N 1 2 315.417 1.495 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)nc(C)c1 ZINC001234517006 888047088 /nfs/dbraw/zinc/04/70/88/888047088.db2.gz HZHLEOXLJYEXQD-QGZVFWFLSA-N 1 2 315.417 1.495 20 30 DDEDLO C#CCC1(C(=O)N(C)C[C@H]2CCN2Cc2c[nH+]cn2C)CCC1 ZINC001235208482 888475392 /nfs/dbraw/zinc/47/53/92/888475392.db2.gz BVDVYQLEKMPILL-OAHLLOKOSA-N 1 2 314.433 1.646 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)COCCCC)CC2)C1 ZINC001281782846 889288744 /nfs/dbraw/zinc/28/87/44/889288744.db2.gz AKHVMELTASRZSB-UHFFFAOYSA-N 1 2 308.422 1.130 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)COCCCC)CC2)C1 ZINC001281782846 889288755 /nfs/dbraw/zinc/28/87/55/889288755.db2.gz AKHVMELTASRZSB-UHFFFAOYSA-N 1 2 308.422 1.130 20 30 DDEDLO C=CCN(CC[N@@H+]1CCN[C@H](C(F)(F)F)C1)C(=O)OCC ZINC001236982253 889460186 /nfs/dbraw/zinc/46/01/86/889460186.db2.gz OULCCQBSUFKGHS-NSHDSACASA-N 1 2 309.332 1.467 20 30 DDEDLO C=CCN(CC[N@H+]1CCN[C@H](C(F)(F)F)C1)C(=O)OCC ZINC001236982253 889460191 /nfs/dbraw/zinc/46/01/91/889460191.db2.gz OULCCQBSUFKGHS-NSHDSACASA-N 1 2 309.332 1.467 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H](CO)[NH2+]Cc1coc(C2CC2)n1 ZINC001278077109 889582869 /nfs/dbraw/zinc/58/28/69/889582869.db2.gz GKTGJKAJHZZISZ-CYBMUJFWSA-N 1 2 319.405 1.168 20 30 DDEDLO N#Cc1cccc(C2([NH2+]Cc3ccc(S(N)(=O)=O)o3)CC2)c1 ZINC001364895611 889624626 /nfs/dbraw/zinc/62/46/26/889624626.db2.gz NIHKTRKRMQTLHB-UHFFFAOYSA-N 1 2 317.370 1.578 20 30 DDEDLO COc1cc(C[NH+]2CCN(c3ncncn3)CC2)ccc1C#N ZINC001238417028 890204789 /nfs/dbraw/zinc/20/47/89/890204789.db2.gz ULJVPWAVNPJERH-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO N#CCC1CN(C(=O)[C@@H]2CC23C[NH+](Cc2ccccc2C#N)C3)C1 ZINC001278239280 890237752 /nfs/dbraw/zinc/23/77/52/890237752.db2.gz KZQBQQAKKZUWJQ-KRWDZBQOSA-N 1 2 320.396 1.752 20 30 DDEDLO N#CCNC[C@H](NC(=O)[C@H]1CCn2c[nH+]cc2C1)C1CCCC1 ZINC001278249684 890270682 /nfs/dbraw/zinc/27/06/82/890270682.db2.gz FWDFYLDVAROLIG-HOCLYGCPSA-N 1 2 315.421 1.234 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cc2c[nH+]cn2C)[C@H]1C ZINC001290682919 913488378 /nfs/dbraw/zinc/48/83/78/913488378.db2.gz PGNSPNZTNBBJSR-HIFRSBDPSA-N 1 2 318.421 1.425 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@]1(C)CC[N@@H+](Cc2nocc2C)C1 ZINC001278381182 891844477 /nfs/dbraw/zinc/84/44/77/891844477.db2.gz HTHIWQQFTLSTTL-HZPDHXFCSA-N 1 2 307.394 1.391 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@]1(C)CC[N@H+](Cc2nocc2C)C1 ZINC001278381182 891844484 /nfs/dbraw/zinc/84/44/84/891844484.db2.gz HTHIWQQFTLSTTL-HZPDHXFCSA-N 1 2 307.394 1.391 20 30 DDEDLO CC1(C)CCC[C@H]1C(=O)N[C@]1(C)CC[N@H+](CC(=O)NCC#N)C1 ZINC001278413830 892209164 /nfs/dbraw/zinc/20/91/64/892209164.db2.gz LDIOHLWAKLWKPR-SUMWQHHRSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CCC[C@H]1C(=O)N[C@]1(C)CC[N@@H+](CC(=O)NCC#N)C1 ZINC001278413830 892209180 /nfs/dbraw/zinc/20/91/80/892209180.db2.gz LDIOHLWAKLWKPR-SUMWQHHRSA-N 1 2 320.437 1.033 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](N2CCC(c3cccc(C#N)c3)CC2)C[NH2+]1 ZINC001246794622 892903213 /nfs/dbraw/zinc/90/32/13/892903213.db2.gz GFVNSGWTDIJDQP-IRXDYDNUSA-N 1 2 313.401 1.641 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](N2CCC(c3ccc(C#N)cc3)CC2)C[NH2+]1 ZINC001246898618 892977053 /nfs/dbraw/zinc/97/70/53/892977053.db2.gz NTTAPWFIIYPHCE-SJORKVTESA-N 1 2 313.401 1.641 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2ccoc2)[C@H]1C ZINC001278499195 893538608 /nfs/dbraw/zinc/53/86/08/893538608.db2.gz CRDJRNSSVJTSBU-OCCSQVGLSA-N 1 2 305.378 1.165 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2ccoc2)[C@H]1C ZINC001278499195 893538623 /nfs/dbraw/zinc/53/86/23/893538623.db2.gz CRDJRNSSVJTSBU-OCCSQVGLSA-N 1 2 305.378 1.165 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-c1ncc(C#N)cn1 ZINC001250509616 894308273 /nfs/dbraw/zinc/30/82/73/894308273.db2.gz WFJIARKMNJRWRX-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO C=C(Cl)CN(CCNC(=O)[C@H]1CCc2c[nH+]cn2C1)C1CC1 ZINC001366742961 894783289 /nfs/dbraw/zinc/78/32/89/894783289.db2.gz IBSUUFULAPFUAB-ZDUSSCGKSA-N 1 2 322.840 1.779 20 30 DDEDLO C#CCOC[C@@H](O)CNc1cc(C)c(Br)c(C)[nH+]1 ZINC001251819102 894823079 /nfs/dbraw/zinc/82/30/79/894823079.db2.gz HJRLEXMRTRBEKH-NSHDSACASA-N 1 2 313.195 1.884 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)CC[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001366767775 894877678 /nfs/dbraw/zinc/87/76/78/894877678.db2.gz ZZNCDZHWKHHQLL-GHMZBOCLSA-N 1 2 307.398 1.636 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@H]2CN(C(=O)C#CC(C)C)CC2(C)C)n1 ZINC001278640556 894934721 /nfs/dbraw/zinc/93/47/21/894934721.db2.gz SWNMNGGGQKZQJR-JSGCOSHPSA-N 1 2 318.421 1.925 20 30 DDEDLO C=CCC[C@@H](O)CN1CC[N@H+](Cc2ccccc2)[C@@H](CO)C1 ZINC001252588728 895292537 /nfs/dbraw/zinc/29/25/37/895292537.db2.gz DZPJNNMOEOVEOY-QZTJIDSGSA-N 1 2 304.434 1.492 20 30 DDEDLO C=CCC[C@@H](O)CN1CC[N@@H+](Cc2ccccc2)[C@@H](CO)C1 ZINC001252588728 895292552 /nfs/dbraw/zinc/29/25/52/895292552.db2.gz DZPJNNMOEOVEOY-QZTJIDSGSA-N 1 2 304.434 1.492 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)Cc2cnn3ccccc23)C1 ZINC001366974263 895468771 /nfs/dbraw/zinc/46/87/71/895468771.db2.gz YPNFANHCKNQYMW-UHFFFAOYSA-N 1 2 318.808 1.677 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@H]1CC[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001367147772 896004354 /nfs/dbraw/zinc/00/43/54/896004354.db2.gz WZGGHYIQRNSFFV-WFASDCNBSA-N 1 2 303.410 1.311 20 30 DDEDLO CCN(C(=O)[C@@H](C)C#N)[C@H]1CC[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001367147772 896004368 /nfs/dbraw/zinc/00/43/68/896004368.db2.gz WZGGHYIQRNSFFV-WFASDCNBSA-N 1 2 303.410 1.311 20 30 DDEDLO CCc1ccc(C[N@@H+]2CCO[C@H](CNC(=O)[C@@H](C)C#N)C2)cc1 ZINC001367292633 896365656 /nfs/dbraw/zinc/36/56/56/896365656.db2.gz CBKXAUPRHLJIBO-WMLDXEAASA-N 1 2 315.417 1.726 20 30 DDEDLO CCc1ccc(C[N@H+]2CCO[C@H](CNC(=O)[C@@H](C)C#N)C2)cc1 ZINC001367292633 896365674 /nfs/dbraw/zinc/36/56/74/896365674.db2.gz CBKXAUPRHLJIBO-WMLDXEAASA-N 1 2 315.417 1.726 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@]1(O)CC[N@H+](Cc2cc(C)on2)C1 ZINC001278809867 896590251 /nfs/dbraw/zinc/59/02/51/896590251.db2.gz HWYACBRPDBCYCZ-QGZVFWFLSA-N 1 2 321.421 1.638 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@]1(O)CC[N@@H+](Cc2cc(C)on2)C1 ZINC001278809867 896590238 /nfs/dbraw/zinc/59/02/38/896590238.db2.gz HWYACBRPDBCYCZ-QGZVFWFLSA-N 1 2 321.421 1.638 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2(O)C[NH+](CC[C@H](C)F)C2)cc1 ZINC001278896132 897161742 /nfs/dbraw/zinc/16/17/42/897161742.db2.gz OQCMMEAFICFHRR-ZDUSSCGKSA-N 1 2 304.365 1.193 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC1(O)C[NH+](Cc2ccccc2)C1 ZINC001278904182 897208113 /nfs/dbraw/zinc/20/81/13/897208113.db2.gz XALFRRFXHGKSHE-QGZVFWFLSA-N 1 2 302.418 1.952 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)cs1 ZINC001367793404 897814707 /nfs/dbraw/zinc/81/47/07/897814707.db2.gz JNEUAFPRYPLPNU-SNVBAGLBSA-N 1 2 317.418 1.902 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)cs1 ZINC001367793404 897814726 /nfs/dbraw/zinc/81/47/26/897814726.db2.gz JNEUAFPRYPLPNU-SNVBAGLBSA-N 1 2 317.418 1.902 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC3(C2)CC[N@H+](Cc2cnon2)C3)cc1 ZINC001258463209 898196942 /nfs/dbraw/zinc/19/69/42/898196942.db2.gz RPRIFCFGJZHUSQ-UHFFFAOYSA-N 1 2 322.368 1.399 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC3(C2)CC[N@@H+](Cc2cnon2)C3)cc1 ZINC001258463209 898196950 /nfs/dbraw/zinc/19/69/50/898196950.db2.gz RPRIFCFGJZHUSQ-UHFFFAOYSA-N 1 2 322.368 1.399 20 30 DDEDLO C=CCS(=O)(=O)N1CCC[N@@H+](Cc2ccc(F)cc2)CC1 ZINC001259927533 898942947 /nfs/dbraw/zinc/94/29/47/898942947.db2.gz KLELNQFQNBDYPW-UHFFFAOYSA-N 1 2 312.410 1.849 20 30 DDEDLO C=CCS(=O)(=O)N1CCC[N@H+](Cc2ccc(F)cc2)CC1 ZINC001259927533 898942951 /nfs/dbraw/zinc/94/29/51/898942951.db2.gz KLELNQFQNBDYPW-UHFFFAOYSA-N 1 2 312.410 1.849 20 30 DDEDLO C=CCCSCCNC(=O)C(=O)NCc1c[nH+]c(C)cc1C ZINC001261716814 899728206 /nfs/dbraw/zinc/72/82/06/899728206.db2.gz SBBITNVZSAUKLB-UHFFFAOYSA-N 1 2 321.446 1.740 20 30 DDEDLO C[C@H](CCNC(=O)[C@@H](C)C#N)[NH2+]Cc1nnsc1Cl ZINC001390647478 899856711 /nfs/dbraw/zinc/85/67/11/899856711.db2.gz PYQTWNBOSIFBQI-JGVFFNPUSA-N 1 2 301.803 1.336 20 30 DDEDLO Cn1ccn2c(C[NH2+][C@@H]3CCc4ccc(C#N)cc43)nnc2c1=O ZINC001262031163 899902677 /nfs/dbraw/zinc/90/26/77/899902677.db2.gz IOBLICQHGGAUSW-CQSZACIVSA-N 1 2 320.356 1.077 20 30 DDEDLO CC(=O)N(C)CCOCC[N@H+](C)Cc1cc(F)ccc1C#N ZINC001264102056 900931740 /nfs/dbraw/zinc/93/17/40/900931740.db2.gz HHBVADSMCDESLO-UHFFFAOYSA-N 1 2 307.369 1.624 20 30 DDEDLO CC(=O)N(C)CCOCC[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001264102056 900931753 /nfs/dbraw/zinc/93/17/53/900931753.db2.gz HHBVADSMCDESLO-UHFFFAOYSA-N 1 2 307.369 1.624 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C[C@H](C)CC(C)C ZINC001264376016 901059315 /nfs/dbraw/zinc/05/93/15/901059315.db2.gz ALTRCKMLVUKQEB-CVEARBPZSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@H](C)CC(C)C ZINC001264376016 901059327 /nfs/dbraw/zinc/05/93/27/901059327.db2.gz ALTRCKMLVUKQEB-CVEARBPZSA-N 1 2 321.465 1.341 20 30 DDEDLO CC#CCN1CC[NH+](CCNC(=O)C2CC3(CCC3)C2)CC1 ZINC001265262850 901783524 /nfs/dbraw/zinc/78/35/24/901783524.db2.gz KUMJMHKOPXPXJV-UHFFFAOYSA-N 1 2 303.450 1.324 20 30 DDEDLO C[C@H](C(=O)NCC[NH+]1CCN(CC#N)CC1)c1ccccc1F ZINC001265264870 901788085 /nfs/dbraw/zinc/78/80/85/901788085.db2.gz HEXAZEFNWDMDHG-AWEZNQCLSA-N 1 2 318.396 1.187 20 30 DDEDLO C#CCCOc1ccc(C(=O)N[C@@H]2CC[N@@H+](CCF)C2)cc1 ZINC001265284368 901823785 /nfs/dbraw/zinc/82/37/85/901823785.db2.gz OOLBIUKMVYPGIO-OAHLLOKOSA-N 1 2 304.365 1.862 20 30 DDEDLO C#CCCOc1ccc(C(=O)N[C@@H]2CC[N@H+](CCF)C2)cc1 ZINC001265284368 901823795 /nfs/dbraw/zinc/82/37/95/901823795.db2.gz OOLBIUKMVYPGIO-OAHLLOKOSA-N 1 2 304.365 1.862 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001293602354 914577803 /nfs/dbraw/zinc/57/78/03/914577803.db2.gz PRKQYHNNGVDGKQ-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(OCC)s2)C1 ZINC001265422629 901999344 /nfs/dbraw/zinc/99/93/44/901999344.db2.gz SXASJMDCSYKZBL-NSHDSACASA-N 1 2 310.423 1.594 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H](C)[NH2+]Cc1nnc(C(F)F)s1 ZINC001265773464 902393102 /nfs/dbraw/zinc/39/31/02/902393102.db2.gz WRKTZWUTMNCBOY-VIFPVBQESA-N 1 2 316.377 1.729 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[N@H+]([C@@H](C)c1nncn1C)C1CC1 ZINC001266125452 902986954 /nfs/dbraw/zinc/98/69/54/902986954.db2.gz BFXCUAFGIICJJE-RYUDHWBXSA-N 1 2 304.398 1.006 20 30 DDEDLO C[C@@H](C#N)C(=O)NCCC[N@@H+]([C@@H](C)c1nncn1C)C1CC1 ZINC001266125452 902986960 /nfs/dbraw/zinc/98/69/60/902986960.db2.gz BFXCUAFGIICJJE-RYUDHWBXSA-N 1 2 304.398 1.006 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CC2CC(C)(C)C2)C1 ZINC001266237593 903171987 /nfs/dbraw/zinc/17/19/87/903171987.db2.gz ZDLVWDVZTGLEGB-HNNXBMFYSA-N 1 2 321.465 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CC2CC(C)(C)C2)C1 ZINC001266237593 903171994 /nfs/dbraw/zinc/17/19/94/903171994.db2.gz ZDLVWDVZTGLEGB-HNNXBMFYSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCCC1 ZINC001316602941 903594360 /nfs/dbraw/zinc/59/43/60/903594360.db2.gz WEVLOILBIQKTAK-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCCC1 ZINC001316602941 903594365 /nfs/dbraw/zinc/59/43/65/903594365.db2.gz WEVLOILBIQKTAK-OAHLLOKOSA-N 1 2 321.465 1.744 20 30 DDEDLO CC#CCCCC(=O)N(C)[C@H](C)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001280527749 903765636 /nfs/dbraw/zinc/76/56/36/903765636.db2.gz CKYAVIPVGYGJKT-CYBMUJFWSA-N 1 2 304.394 1.109 20 30 DDEDLO Cc1cc(C)c(C(=O)N2CC[C@H](NC(=O)[C@@H](C)C#N)C2)c(C)[nH+]1 ZINC001396942287 914782333 /nfs/dbraw/zinc/78/23/33/914782333.db2.gz ISTZLQLURUJIPE-FZMZJTMJSA-N 1 2 314.389 1.497 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)[C@@H](C)COC)CCO2 ZINC001280900564 904169121 /nfs/dbraw/zinc/16/91/21/904169121.db2.gz YJBLTGUXLAEJCN-LSDHHAIUSA-N 1 2 310.438 1.394 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001281234055 904598282 /nfs/dbraw/zinc/59/82/82/904598282.db2.gz FGLGGFBEAXWOLQ-HUUCEWRRSA-N 1 2 318.421 1.641 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@H]1CCc2cncn2C1 ZINC001392668943 904824590 /nfs/dbraw/zinc/82/45/90/904824590.db2.gz DXOFXICYWLDJLY-STQMWFEESA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@H]1CCc2cncn2C1 ZINC001392668943 904824612 /nfs/dbraw/zinc/82/46/12/904824612.db2.gz DXOFXICYWLDJLY-STQMWFEESA-N 1 2 310.829 1.635 20 30 DDEDLO N#Cc1c[nH]c(C(=O)N[C@H](C[NH2+]Cc2cnsn2)C2CC2)c1 ZINC001392764846 905183132 /nfs/dbraw/zinc/18/31/32/905183132.db2.gz VSOSYUPUEDWXQK-CYBMUJFWSA-N 1 2 316.390 1.036 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC1(CO)CC[NH+](CC(=C)Cl)CC1 ZINC001281810829 905238102 /nfs/dbraw/zinc/23/81/02/905238102.db2.gz WSNXYYQVMAJQRW-CQSZACIVSA-N 1 2 316.829 1.009 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)[N@H+](C)CC(=O)Nc1ccon1 ZINC001282468960 905810533 /nfs/dbraw/zinc/81/05/33/905810533.db2.gz OBDBDGPENFCOCP-GFCCVEGCSA-N 1 2 322.409 1.652 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](C)[N@@H+](C)CC(=O)Nc1ccon1 ZINC001282468960 905810546 /nfs/dbraw/zinc/81/05/46/905810546.db2.gz OBDBDGPENFCOCP-GFCCVEGCSA-N 1 2 322.409 1.652 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)C[C@@H]2CCC[C@@H](OC)C2)C1 ZINC001282518780 905847942 /nfs/dbraw/zinc/84/79/42/905847942.db2.gz YIYATMAQDDIYIP-HZPDHXFCSA-N 1 2 324.465 1.711 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@H](C)Cc2ccco2)C1 ZINC001282540302 905863249 /nfs/dbraw/zinc/86/32/49/905863249.db2.gz VADQFQMCHUSWQL-CQSZACIVSA-N 1 2 304.390 1.035 20 30 DDEDLO C=C(C)CCC(=O)N[C@H](C)C1C[NH+](CC(=O)NCC(C)(C)C)C1 ZINC001282716819 905983057 /nfs/dbraw/zinc/98/30/57/905983057.db2.gz NDAJHPIHYVARGB-CQSZACIVSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001282723739 905985235 /nfs/dbraw/zinc/98/52/35/905985235.db2.gz ADCRRPSVGQZMFP-OLZOCXBDSA-N 1 2 309.454 1.407 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3(CCOC)CCC3)C2)C1 ZINC001282783388 906034571 /nfs/dbraw/zinc/03/45/71/906034571.db2.gz ZQNMXSWDQCCNKZ-GOSISDBHSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C3(CCOC)CCC3)C2)C1 ZINC001282783388 906034588 /nfs/dbraw/zinc/03/45/88/906034588.db2.gz ZQNMXSWDQCCNKZ-GOSISDBHSA-N 1 2 320.433 1.130 20 30 DDEDLO CC(C)c1noc(C[N@@H+]2CCC[C@@H](NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001393599339 907432646 /nfs/dbraw/zinc/43/26/46/907432646.db2.gz LDXNMUFJTKEDFH-QWHCGFSZSA-N 1 2 319.409 1.823 20 30 DDEDLO CC(C)c1noc(C[N@H+]2CCC[C@@H](NC(=O)[C@@H](C)C#N)CC2)n1 ZINC001393599339 907432655 /nfs/dbraw/zinc/43/26/55/907432655.db2.gz LDXNMUFJTKEDFH-QWHCGFSZSA-N 1 2 319.409 1.823 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)Nc1ccccc1 ZINC001372506745 907475909 /nfs/dbraw/zinc/47/59/09/907475909.db2.gz BVFKORWVEQRTDM-HIFRSBDPSA-N 1 2 314.389 1.365 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)Nc1ccccc1 ZINC001372506745 907475924 /nfs/dbraw/zinc/47/59/24/907475924.db2.gz BVFKORWVEQRTDM-HIFRSBDPSA-N 1 2 314.389 1.365 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001283863518 908092233 /nfs/dbraw/zinc/09/22/33/908092233.db2.gz PQCLVFZETNGMCL-LSDHHAIUSA-N 1 2 316.405 1.355 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)[C@H]1C ZINC001283882779 908127623 /nfs/dbraw/zinc/12/76/23/908127623.db2.gz YDHFVQVTPQFGMS-CHWSQXEVSA-N 1 2 322.409 1.651 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)c(C)o1 ZINC001394280258 909247458 /nfs/dbraw/zinc/24/74/58/909247458.db2.gz CZNDYDJFRDYGFM-IINYFYTJSA-N 1 2 304.394 1.778 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)c(C)o1 ZINC001394280258 909247470 /nfs/dbraw/zinc/24/74/70/909247470.db2.gz CZNDYDJFRDYGFM-IINYFYTJSA-N 1 2 304.394 1.778 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](CC)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001285084278 910047702 /nfs/dbraw/zinc/04/77/02/910047702.db2.gz ZFJTXOOFNUNORZ-OLZOCXBDSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](CC)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001285084278 910047712 /nfs/dbraw/zinc/04/77/12/910047712.db2.gz ZFJTXOOFNUNORZ-OLZOCXBDSA-N 1 2 320.437 1.812 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@@H]23)n1 ZINC001394684273 910274197 /nfs/dbraw/zinc/27/41/97/910274197.db2.gz STSMBBDWYOGAER-IXPVHAAZSA-N 1 2 303.366 1.151 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@]3(NC(=O)[C@H](C)C#N)CCC[C@@H]23)n1 ZINC001394684273 910274211 /nfs/dbraw/zinc/27/42/11/910274211.db2.gz STSMBBDWYOGAER-IXPVHAAZSA-N 1 2 303.366 1.151 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001285382353 910444616 /nfs/dbraw/zinc/44/46/16/910444616.db2.gz HXMZGAKVNYKFNB-CHWSQXEVSA-N 1 2 320.437 1.636 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CNC(=O)CCC(C)C)C1 ZINC001373643182 910462667 /nfs/dbraw/zinc/46/26/67/910462667.db2.gz DVPARTRAJWEOEX-CYBMUJFWSA-N 1 2 315.845 1.482 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CNC(=O)CCC(C)C)C1 ZINC001373643182 910462682 /nfs/dbraw/zinc/46/26/82/910462682.db2.gz DVPARTRAJWEOEX-CYBMUJFWSA-N 1 2 315.845 1.482 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@@H](OC)c1cnn(C)c1 ZINC001375078896 914885623 /nfs/dbraw/zinc/88/56/23/914885623.db2.gz GCNNCWGXLPCCDP-AAEUAGOBSA-N 1 2 314.817 1.297 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@@H](OC)c1cnn(C)c1 ZINC001375078896 914885643 /nfs/dbraw/zinc/88/56/43/914885643.db2.gz GCNNCWGXLPCCDP-AAEUAGOBSA-N 1 2 314.817 1.297 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@H](OC)c1cnn(C)c1 ZINC001375078905 914887174 /nfs/dbraw/zinc/88/71/74/914887174.db2.gz GCNNCWGXLPCCDP-WCQYABFASA-N 1 2 314.817 1.297 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@H](OC)c1cnn(C)c1 ZINC001375078905 914887193 /nfs/dbraw/zinc/88/71/93/914887193.db2.gz GCNNCWGXLPCCDP-WCQYABFASA-N 1 2 314.817 1.297 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(C)CCCNC(=O)Cc1[nH]cc[nH+]1 ZINC001294268577 915028869 /nfs/dbraw/zinc/02/88/69/915028869.db2.gz ZGZXATRPQRVLCC-CYBMUJFWSA-N 1 2 306.410 1.519 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)o1 ZINC001377325443 921053170 /nfs/dbraw/zinc/05/31/70/921053170.db2.gz VJYYHGFWAIDXCA-ZYHUDNBSSA-N 1 2 305.382 1.254 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)o1 ZINC001377325443 921053180 /nfs/dbraw/zinc/05/31/80/921053180.db2.gz VJYYHGFWAIDXCA-ZYHUDNBSSA-N 1 2 305.382 1.254 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)c2cc(C#N)c[nH]2)CC1 ZINC001377551933 922307943 /nfs/dbraw/zinc/30/79/43/922307943.db2.gz QHJNYWHKCXWQMP-UHFFFAOYSA-N 1 2 322.796 1.196 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@@H+]3CC[C@H](n4cncn4)C3)o2)c1 ZINC000614418939 361845614 /nfs/dbraw/zinc/84/56/14/361845614.db2.gz IUOHTGJTIHPDAV-AWEZNQCLSA-N 1 2 321.344 1.647 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@H+]3CC[C@H](n4cncn4)C3)o2)c1 ZINC000614418939 361845616 /nfs/dbraw/zinc/84/56/16/361845616.db2.gz IUOHTGJTIHPDAV-AWEZNQCLSA-N 1 2 321.344 1.647 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1CCC[C@@H](O)C1 ZINC000249303230 282143344 /nfs/dbraw/zinc/14/33/44/282143344.db2.gz FMKPSLBTVHLUFG-GHMZBOCLSA-N 1 2 319.430 1.892 20 30 DDEDLO C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1CCC[C@@H](O)C1 ZINC000249303230 282143349 /nfs/dbraw/zinc/14/33/49/282143349.db2.gz FMKPSLBTVHLUFG-GHMZBOCLSA-N 1 2 319.430 1.892 20 30 DDEDLO C[NH+](C)[C@@H](CNS(=O)(=O)c1ccc(C#N)o1)c1ccco1 ZINC000286568098 219058782 /nfs/dbraw/zinc/05/87/82/219058782.db2.gz QKWYCFFBLWBWTA-NSHDSACASA-N 1 2 309.347 1.325 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1C[C@H](C)O[C@H](CO)C1 ZINC000249510038 282163346 /nfs/dbraw/zinc/16/33/46/282163346.db2.gz ZQDBDXKSARDFPH-JSGCOSHPSA-N 1 2 306.362 1.027 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1C[C@H](C)O[C@H](CO)C1 ZINC000249510038 282163349 /nfs/dbraw/zinc/16/33/49/282163349.db2.gz ZQDBDXKSARDFPH-JSGCOSHPSA-N 1 2 306.362 1.027 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(F)ccc2C#N)C1 ZINC000451980884 231256150 /nfs/dbraw/zinc/25/61/50/231256150.db2.gz AKQCMDJDTUTWLX-OAHLLOKOSA-N 1 2 304.369 1.933 20 30 DDEDLO CN(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(F)ccc2C#N)C1 ZINC000451980884 231256154 /nfs/dbraw/zinc/25/61/54/231256154.db2.gz AKQCMDJDTUTWLX-OAHLLOKOSA-N 1 2 304.369 1.933 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1CCCC2(C1)OCCO2 ZINC000090563669 185303831 /nfs/dbraw/zinc/30/38/31/185303831.db2.gz HUAMSCOMUNYDMF-CYBMUJFWSA-N 1 2 315.373 1.724 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CCCC2(C1)OCCO2 ZINC000090563669 185303832 /nfs/dbraw/zinc/30/38/32/185303832.db2.gz HUAMSCOMUNYDMF-CYBMUJFWSA-N 1 2 315.373 1.724 20 30 DDEDLO O=C(NCc1cc[nH+]c(N2CCCC2)c1)N[C@@H]1CCCNC1=O ZINC000328674342 539298273 /nfs/dbraw/zinc/29/82/73/539298273.db2.gz JSUNUCCJNKUEEU-CYBMUJFWSA-N 1 2 317.393 1.804 20 30 DDEDLO N#Cc1cc(S(=O)(=O)NCCCn2cc[nH+]c2)ccc1Cl ZINC000096996817 185395580 /nfs/dbraw/zinc/39/55/80/185395580.db2.gz BVQTWSSWKWQYMT-UHFFFAOYSA-N 1 2 324.793 1.777 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NCC3CCC(C#N)CC3)C[C@H]21 ZINC000333102169 529785755 /nfs/dbraw/zinc/78/57/55/529785755.db2.gz VKZPYUXQBXGBCK-NEXFUWMNSA-N 1 2 306.410 1.041 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NCC3CCC(C#N)CC3)C[C@H]21 ZINC000333102169 529785756 /nfs/dbraw/zinc/78/57/56/529785756.db2.gz VKZPYUXQBXGBCK-NEXFUWMNSA-N 1 2 306.410 1.041 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)Nc3cccc4[nH]ccc43)C[C@H]21 ZINC000329936068 529786128 /nfs/dbraw/zinc/78/61/28/529786128.db2.gz RIJMVVLXIPJMHI-HUUCEWRRSA-N 1 2 300.362 1.728 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)Nc3cccc4[nH]ccc43)C[C@H]21 ZINC000329936068 529786130 /nfs/dbraw/zinc/78/61/30/529786130.db2.gz RIJMVVLXIPJMHI-HUUCEWRRSA-N 1 2 300.362 1.728 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cc(C(N)=O)ccc3F)C[C@H]21 ZINC000329926799 529791121 /nfs/dbraw/zinc/79/11/21/529791121.db2.gz KZGSFDIWMIKPCT-OLZOCXBDSA-N 1 2 322.340 1.269 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cc(C(N)=O)ccc3F)C[C@H]21 ZINC000329926799 529791123 /nfs/dbraw/zinc/79/11/23/529791123.db2.gz KZGSFDIWMIKPCT-OLZOCXBDSA-N 1 2 322.340 1.269 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CSc1nnnn1C1CCCCC1 ZINC000414107407 529868677 /nfs/dbraw/zinc/86/86/77/529868677.db2.gz KBOGWRNWIUKJME-ZDUSSCGKSA-N 1 2 324.454 1.477 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CSc1nnnn1C1CCCCC1 ZINC000414107407 529868678 /nfs/dbraw/zinc/86/86/78/529868678.db2.gz KBOGWRNWIUKJME-ZDUSSCGKSA-N 1 2 324.454 1.477 20 30 DDEDLO Cn1ccnc1C[NH+]1CCC(C(=O)N2CC[C@@](F)(C#N)C2)CC1 ZINC000615595023 362353854 /nfs/dbraw/zinc/35/38/54/362353854.db2.gz RSWPLGNVYACJBS-MRXNPFEDSA-N 1 2 319.384 1.096 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+](Cc3ccnc(C#N)c3)CC2)c1C#N ZINC000615972172 362504911 /nfs/dbraw/zinc/50/49/11/362504911.db2.gz VDLINJKGFVSDKZ-UHFFFAOYSA-N 1 2 321.388 1.189 20 30 DDEDLO CC(C)(C)[C@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000279742051 215108298 /nfs/dbraw/zinc/10/82/98/215108298.db2.gz KPSRQZXMNBZLAA-MRXNPFEDSA-N 1 2 315.417 1.921 20 30 DDEDLO CC(C)(C)[C@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)CCO1 ZINC000279742051 215108300 /nfs/dbraw/zinc/10/83/00/215108300.db2.gz KPSRQZXMNBZLAA-MRXNPFEDSA-N 1 2 315.417 1.921 20 30 DDEDLO C=CCNC(=O)CN1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000027545029 352218996 /nfs/dbraw/zinc/21/89/96/352218996.db2.gz JIQRFTNXACQWJD-UHFFFAOYSA-N 1 2 317.437 1.333 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)C2(C#N)CC3(CC3)C2)CC1 ZINC000601266681 358471348 /nfs/dbraw/zinc/47/13/48/358471348.db2.gz DPNLBZFTSPQIMX-UHFFFAOYSA-N 1 2 305.422 1.640 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)NCc2cn3ccccc3[nH+]2)c1 ZINC000047213576 352486381 /nfs/dbraw/zinc/48/63/81/352486381.db2.gz FFUIMVTXJJTVFK-UHFFFAOYSA-N 1 2 312.354 1.684 20 30 DDEDLO N#CCCC[NH+]1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000054993285 352711696 /nfs/dbraw/zinc/71/16/96/352711696.db2.gz SKQMFKBAVBKTFE-UHFFFAOYSA-N 1 2 314.455 1.029 20 30 DDEDLO C[C@@H](C#N)CN(C)CC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000064795421 352944287 /nfs/dbraw/zinc/94/42/87/352944287.db2.gz MLQYJOSALUNTDO-AWEZNQCLSA-N 1 2 320.462 1.484 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)C3=COCCC3)CC2)cc1 ZINC000068383811 353108228 /nfs/dbraw/zinc/10/82/28/353108228.db2.gz FXJWHTPOHAIIEE-UHFFFAOYSA-N 1 2 311.385 1.897 20 30 DDEDLO COCC[N@@H+](CCC#N)CCOc1ccc(C#N)cc1OC ZINC000072689450 353209373 /nfs/dbraw/zinc/20/93/73/353209373.db2.gz AGOISNHGMIHQQK-UHFFFAOYSA-N 1 2 303.362 1.808 20 30 DDEDLO COCC[N@H+](CCC#N)CCOc1ccc(C#N)cc1OC ZINC000072689450 353209375 /nfs/dbraw/zinc/20/93/75/353209375.db2.gz AGOISNHGMIHQQK-UHFFFAOYSA-N 1 2 303.362 1.808 20 30 DDEDLO CC(C)(C)c1nc(NCCNC(=O)C2N=CC=CC2=O)cc[nH+]1 ZINC000301602375 222866820 /nfs/dbraw/zinc/86/68/20/222866820.db2.gz WHIQTCACLZRQOQ-BUHFOSPRSA-N 1 2 315.377 1.712 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC000076085724 353397477 /nfs/dbraw/zinc/39/74/77/353397477.db2.gz WQDOQOOMPWPHHD-GFCCVEGCSA-N 1 2 321.450 1.909 20 30 DDEDLO CCN(C(=O)[C@@H]1C[N@H+](C)CCO1)[C@@H](C)c1ccc(C#N)cc1 ZINC000174661040 198366304 /nfs/dbraw/zinc/36/63/04/198366304.db2.gz QLWYLOTUPNGYRL-BBRMVZONSA-N 1 2 301.390 1.798 20 30 DDEDLO CCN(C(=O)[C@@H]1C[N@@H+](C)CCO1)[C@@H](C)c1ccc(C#N)cc1 ZINC000174661040 198366306 /nfs/dbraw/zinc/36/63/06/198366306.db2.gz QLWYLOTUPNGYRL-BBRMVZONSA-N 1 2 301.390 1.798 20 30 DDEDLO C[C@@H]1Oc2ccc(-c3csc(NC(N)=[NH2+])n3)cc2NC1=O ZINC000076392015 353417956 /nfs/dbraw/zinc/41/79/56/353417956.db2.gz UESUNAYRMYSTPF-LURJTMIESA-N 1 2 303.347 1.434 20 30 DDEDLO Cn1cc(CCN2CC[NH+](Cc3ccc(C#N)cc3)CC2)cn1 ZINC000077247330 353460844 /nfs/dbraw/zinc/46/08/44/353460844.db2.gz LFDGHSKNWMOZMJ-UHFFFAOYSA-N 1 2 309.417 1.652 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2ccc(F)cc2F)CC1 ZINC000078227919 353505891 /nfs/dbraw/zinc/50/58/91/353505891.db2.gz POUAZIBODPGNPK-UHFFFAOYSA-N 1 2 309.360 1.385 20 30 DDEDLO C[C@H](O)C1CCN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)CC1 ZINC000328610938 222876035 /nfs/dbraw/zinc/87/60/35/222876035.db2.gz OBYDLUVQQWVSTA-JSGCOSHPSA-N 1 2 306.410 1.767 20 30 DDEDLO CC[N@H+](CCC#N)C[C@@H](O)COc1ccc(C(=O)OC)cc1 ZINC000081039688 353647929 /nfs/dbraw/zinc/64/79/29/353647929.db2.gz LUEYYGATPCWNOQ-CQSZACIVSA-N 1 2 306.362 1.448 20 30 DDEDLO CC[N@@H+](CCC#N)C[C@@H](O)COc1ccc(C(=O)OC)cc1 ZINC000081039688 353647934 /nfs/dbraw/zinc/64/79/34/353647934.db2.gz LUEYYGATPCWNOQ-CQSZACIVSA-N 1 2 306.362 1.448 20 30 DDEDLO CN(CCC#N)CC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000083218950 353708195 /nfs/dbraw/zinc/70/81/95/353708195.db2.gz YBPKHQNQPKTMDQ-UHFFFAOYSA-N 1 2 306.435 1.238 20 30 DDEDLO N#Cc1cccc(OCC[N@H+]2Cc3ccccc3C[C@@H]2C(N)=O)c1 ZINC000209047197 354308735 /nfs/dbraw/zinc/30/87/35/354308735.db2.gz AHZPEUWOWSGQGI-GOSISDBHSA-N 1 2 321.380 1.849 20 30 DDEDLO N#Cc1cccc(OCC[N@@H+]2Cc3ccccc3C[C@@H]2C(N)=O)c1 ZINC000209047197 354308739 /nfs/dbraw/zinc/30/87/39/354308739.db2.gz AHZPEUWOWSGQGI-GOSISDBHSA-N 1 2 321.380 1.849 20 30 DDEDLO CC[C@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000311683663 354476099 /nfs/dbraw/zinc/47/60/99/354476099.db2.gz MSMXYOCJLLYDLP-HZPDHXFCSA-N 1 2 301.390 1.553 20 30 DDEDLO CC[C@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000311683663 354476103 /nfs/dbraw/zinc/47/61/03/354476103.db2.gz MSMXYOCJLLYDLP-HZPDHXFCSA-N 1 2 301.390 1.553 20 30 DDEDLO C[C@@H](OCCc1ccccc1)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000579717658 354723084 /nfs/dbraw/zinc/72/30/84/354723084.db2.gz GLMQQDVZGBKOOH-PBHICJAKSA-N 1 2 303.406 1.594 20 30 DDEDLO CC[C@H](CC#N)[NH2+][C@H]1CCN(c2cccc([N+](=O)[O-])c2)C1=O ZINC000579849764 354724163 /nfs/dbraw/zinc/72/41/63/354724163.db2.gz ANQFSRAAWBSAGD-RISCZKNCSA-N 1 2 302.334 1.982 20 30 DDEDLO C[C@@H](C(=O)N[C@](C)(C#N)C[NH+](C)C)c1cccc([N+](=O)[O-])c1 ZINC000580777137 354726672 /nfs/dbraw/zinc/72/66/72/354726672.db2.gz KKIXDLDBCBAZBK-IAQYHMDHSA-N 1 2 304.350 1.658 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)CC2(CCC2)O1 ZINC000584836421 354779276 /nfs/dbraw/zinc/77/92/76/354779276.db2.gz HLMCTPSXPCTESF-CQSZACIVSA-N 1 2 304.394 1.286 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)CC2(CCC2)O1 ZINC000584836421 354779278 /nfs/dbraw/zinc/77/92/78/354779278.db2.gz HLMCTPSXPCTESF-CQSZACIVSA-N 1 2 304.394 1.286 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000581734737 354737242 /nfs/dbraw/zinc/73/72/42/354737242.db2.gz VFTSJXSELUFMMQ-STQMWFEESA-N 1 2 303.362 1.224 20 30 DDEDLO CC[C@H](NC(=O)N1CC[C@@H]2OCC[N@H+](C)[C@@H]2C1)c1nccs1 ZINC000329078155 222928926 /nfs/dbraw/zinc/92/89/26/222928926.db2.gz CVUIBFBBQRYNOF-XQQFMLRXSA-N 1 2 324.450 1.913 20 30 DDEDLO CC[C@H](NC(=O)N1CC[C@@H]2OCC[N@@H+](C)[C@@H]2C1)c1nccs1 ZINC000329078155 222928929 /nfs/dbraw/zinc/92/89/29/222928929.db2.gz CVUIBFBBQRYNOF-XQQFMLRXSA-N 1 2 324.450 1.913 20 30 DDEDLO COC(=O)c1ccc(N2CCN(c3cccc[nH+]3)CC2)c(C#N)n1 ZINC000589103219 354959293 /nfs/dbraw/zinc/95/92/93/354959293.db2.gz STZWVAMYJSYTOL-UHFFFAOYSA-N 1 2 323.356 1.461 20 30 DDEDLO C[NH+](C)Cc1nc(C(C)(C)NC(=O)c2cccc(C#N)n2)no1 ZINC000589334715 354985109 /nfs/dbraw/zinc/98/51/09/354985109.db2.gz ZYUBRXIZTBTARV-UHFFFAOYSA-N 1 2 314.349 1.063 20 30 DDEDLO CS(=O)(=O)CC[C@H]1CCCC[N@@H+]1Cc1ccnc(C#N)c1 ZINC000590003824 355038229 /nfs/dbraw/zinc/03/82/29/355038229.db2.gz HGZWDRIOXHJKMI-OAHLLOKOSA-N 1 2 307.419 1.742 20 30 DDEDLO CS(=O)(=O)CC[C@H]1CCCC[N@H+]1Cc1ccnc(C#N)c1 ZINC000590003824 355038234 /nfs/dbraw/zinc/03/82/34/355038234.db2.gz HGZWDRIOXHJKMI-OAHLLOKOSA-N 1 2 307.419 1.742 20 30 DDEDLO N#Cc1ccc(Cl)cc1NC(=O)CN1CCc2[nH+]c[nH]c2C1 ZINC000590602265 355128085 /nfs/dbraw/zinc/12/80/85/355128085.db2.gz UXAMODATVXWBCZ-UHFFFAOYSA-N 1 2 315.764 1.932 20 30 DDEDLO N#CC1(CC(=O)N(CC[NH+]2CCOCC2)Cc2ccco2)CC1 ZINC000590888433 355206417 /nfs/dbraw/zinc/20/64/17/355206417.db2.gz ANFVHSOMUIDDOC-UHFFFAOYSA-N 1 2 317.389 1.634 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@H]2CCN(c3ccc(F)cc3)C2=O)C1 ZINC000592154147 355520410 /nfs/dbraw/zinc/52/04/10/355520410.db2.gz PVCHSNJVZCHJOG-GOEBONIOSA-N 1 2 303.337 1.281 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@H]2CCN(c3ccc(F)cc3)C2=O)C1 ZINC000592154147 355520413 /nfs/dbraw/zinc/52/04/13/355520413.db2.gz PVCHSNJVZCHJOG-GOEBONIOSA-N 1 2 303.337 1.281 20 30 DDEDLO Cc1c(C#N)c(NC(=O)Cc2cn3cccc(C)c3[nH+]2)nn1C ZINC000592647387 355652735 /nfs/dbraw/zinc/65/27/35/355652735.db2.gz VOUPTXHLEDHJCZ-UHFFFAOYSA-N 1 2 308.345 1.738 20 30 DDEDLO CC(C)O[C@H]1CCC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000593054085 355781816 /nfs/dbraw/zinc/78/18/16/355781816.db2.gz AIUDMAAZUZDUJS-JKSUJKDBSA-N 1 2 301.390 1.974 20 30 DDEDLO CC(C)O[C@H]1CCC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000593054085 355781821 /nfs/dbraw/zinc/78/18/21/355781821.db2.gz AIUDMAAZUZDUJS-JKSUJKDBSA-N 1 2 301.390 1.974 20 30 DDEDLO C[C@H](COCC(=O)N[C@](C)(C#N)C[NH+](C)C)c1ccccc1 ZINC000593153134 355813037 /nfs/dbraw/zinc/81/30/37/355813037.db2.gz BVKYMDYVBSVTQX-RHSMWYFYSA-N 1 2 303.406 1.767 20 30 DDEDLO COC(=O)c1ccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c(F)c1 ZINC000593154793 355813849 /nfs/dbraw/zinc/81/38/49/355813849.db2.gz FFEOMDGGSSXBMJ-OAHLLOKOSA-N 1 2 307.325 1.186 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000593399401 355873414 /nfs/dbraw/zinc/87/34/14/355873414.db2.gz HYVHUXXWWYLDLU-HOCLYGCPSA-N 1 2 301.390 1.726 20 30 DDEDLO CC[C@@H](C#N)N(C)C(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000594089131 356109287 /nfs/dbraw/zinc/10/92/87/356109287.db2.gz MQVNRYDTUNXZAC-HNNXBMFYSA-N 1 2 301.390 1.893 20 30 DDEDLO CC[C@@H](C#N)N(C)C(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000594087620 356109416 /nfs/dbraw/zinc/10/94/16/356109416.db2.gz BGTMAZUNIALPLL-JKSUJKDBSA-N 1 2 301.390 1.648 20 30 DDEDLO CC[C@@H](C#N)N(C)C(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000594087620 356109425 /nfs/dbraw/zinc/10/94/25/356109425.db2.gz BGTMAZUNIALPLL-JKSUJKDBSA-N 1 2 301.390 1.648 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000594281117 356163010 /nfs/dbraw/zinc/16/30/10/356163010.db2.gz BTMPNCRTORUDKM-CABCVRRESA-N 1 2 315.417 1.574 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000594281117 356163012 /nfs/dbraw/zinc/16/30/12/356163012.db2.gz BTMPNCRTORUDKM-CABCVRRESA-N 1 2 315.417 1.574 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCCc1c[nH+]ccc1C ZINC000594820034 356327303 /nfs/dbraw/zinc/32/73/03/356327303.db2.gz YRRLJFBDVFUTPO-LBPRGKRZSA-N 1 2 310.423 1.249 20 30 DDEDLO Cc1cn2ccc(NC(=O)C(=O)N(CCC#N)C(C)C)cc2[nH+]1 ZINC000595003325 356370907 /nfs/dbraw/zinc/37/09/07/356370907.db2.gz HFOJDWGNGBPTRP-UHFFFAOYSA-N 1 2 313.361 1.732 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+]([C@@H]2C[C@H]3CCCC[C@@H]3NC2=O)CC1 ZINC000595396982 356477683 /nfs/dbraw/zinc/47/76/83/356477683.db2.gz GLKHHNVGGATTPN-TWMKSMIVSA-N 1 2 318.465 1.599 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CC(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000595616100 356578917 /nfs/dbraw/zinc/57/89/17/356578917.db2.gz PLWPRIZYZZJYIS-LBPRGKRZSA-N 1 2 301.346 1.979 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CC(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000595616100 356578922 /nfs/dbraw/zinc/57/89/22/356578922.db2.gz PLWPRIZYZZJYIS-LBPRGKRZSA-N 1 2 301.346 1.979 20 30 DDEDLO COc1cc(-c2noc(C[N@@H+]3CCC[C@H](CC#N)C3)n2)ncn1 ZINC000595623347 356581902 /nfs/dbraw/zinc/58/19/02/356581902.db2.gz NQWFCWVMKUWBHX-LLVKDONJSA-N 1 2 314.349 1.661 20 30 DDEDLO COc1cc(-c2noc(C[N@H+]3CCC[C@H](CC#N)C3)n2)ncn1 ZINC000595623347 356581905 /nfs/dbraw/zinc/58/19/05/356581905.db2.gz NQWFCWVMKUWBHX-LLVKDONJSA-N 1 2 314.349 1.661 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)[N@@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000595765261 356643244 /nfs/dbraw/zinc/64/32/44/356643244.db2.gz ZCNNOYDTKHYZQT-CHWSQXEVSA-N 1 2 307.419 1.585 20 30 DDEDLO C[C@H](c1ccc(C#N)cc1)[N@H+]1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000595765261 356643246 /nfs/dbraw/zinc/64/32/46/356643246.db2.gz ZCNNOYDTKHYZQT-CHWSQXEVSA-N 1 2 307.419 1.585 20 30 DDEDLO N#CCc1ccccc1S(=O)(=O)N1CC[NH+](C2CCC2)CC1 ZINC000601345659 358509248 /nfs/dbraw/zinc/50/92/48/358509248.db2.gz WXVXARJMZJQCFO-UHFFFAOYSA-N 1 2 319.430 1.611 20 30 DDEDLO C[C@]1(C#N)CCN(CC(=O)N2CC[NH+](Cc3ccco3)CC2)C1 ZINC000595840463 356673169 /nfs/dbraw/zinc/67/31/69/356673169.db2.gz OEWRSBRXRGDURT-QGZVFWFLSA-N 1 2 316.405 1.159 20 30 DDEDLO C[C@@H](NC(=O)NCc1ccc(C#N)cc1F)c1[nH+]ccn1C ZINC000595845177 356675465 /nfs/dbraw/zinc/67/54/65/356675465.db2.gz LBDJFIAROWEAGD-SNVBAGLBSA-N 1 2 301.325 1.991 20 30 DDEDLO N#Cc1nc(N2CCC[C@H]2C[NH+]2CCOCC2)sc1Cl ZINC000596199112 356811150 /nfs/dbraw/zinc/81/11/50/356811150.db2.gz CZGMKVKRZBYYIP-JTQLQIEISA-N 1 2 312.826 1.969 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2nc3c(cc2C#N)CCC3)[C@H](C)CO1 ZINC000596433320 356878795 /nfs/dbraw/zinc/87/87/95/356878795.db2.gz UDABXBVGSSZADR-CHWSQXEVSA-N 1 2 300.406 1.963 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2nc3c(cc2C#N)CCC3)[C@H](C)CO1 ZINC000596433320 356878799 /nfs/dbraw/zinc/87/87/99/356878799.db2.gz UDABXBVGSSZADR-CHWSQXEVSA-N 1 2 300.406 1.963 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1Cc2c[nH]nc2CC(C)(C)C1 ZINC000596599234 356930346 /nfs/dbraw/zinc/93/03/46/356930346.db2.gz RNFBRMNXKIDLBR-QGZVFWFLSA-N 1 2 317.437 1.848 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1Cc2c[nH]nc2CC(C)(C)C1 ZINC000596599234 356930349 /nfs/dbraw/zinc/93/03/49/356930349.db2.gz RNFBRMNXKIDLBR-QGZVFWFLSA-N 1 2 317.437 1.848 20 30 DDEDLO C[N@H+](Cc1ccc(C2(C#N)CC2)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000596977599 357032575 /nfs/dbraw/zinc/03/25/75/357032575.db2.gz LOXBZIUCEDLXFD-OAHLLOKOSA-N 1 2 304.415 1.861 20 30 DDEDLO C[N@@H+](Cc1ccc(C2(C#N)CC2)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000596977599 357032579 /nfs/dbraw/zinc/03/25/79/357032579.db2.gz LOXBZIUCEDLXFD-OAHLLOKOSA-N 1 2 304.415 1.861 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1cccc(CC#N)c1 ZINC000596929826 357017528 /nfs/dbraw/zinc/01/75/28/357017528.db2.gz ZJMZGRCPIKUKLO-KGLIPLIRSA-N 1 2 316.405 1.983 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1cccc(CC#N)c1 ZINC000596929826 357017529 /nfs/dbraw/zinc/01/75/29/357017529.db2.gz ZJMZGRCPIKUKLO-KGLIPLIRSA-N 1 2 316.405 1.983 20 30 DDEDLO O=C(NC1CC1)[C@@H]1CCCN1C(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000329646173 223008990 /nfs/dbraw/zinc/00/89/90/223008990.db2.gz ZRCLBUZNISQTRI-RISCZKNCSA-N 1 2 317.393 1.764 20 30 DDEDLO O=C(NC1CC1)[C@@H]1CCCN1C(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000329646173 223008993 /nfs/dbraw/zinc/00/89/93/223008993.db2.gz ZRCLBUZNISQTRI-RISCZKNCSA-N 1 2 317.393 1.764 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)N[C@@H]3CC[C@@H](F)C3)CC2)cn1 ZINC000329712364 223017440 /nfs/dbraw/zinc/01/74/40/223017440.db2.gz PYFUGNPHDANGLT-ZIAGYGMSSA-N 1 2 309.389 1.342 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CN(C(=O)c2cccc(C#N)c2)CCN1C ZINC000276767490 213096321 /nfs/dbraw/zinc/09/63/21/213096321.db2.gz TWVBVFKVSKPKDN-OAHLLOKOSA-N 1 2 309.373 1.421 20 30 DDEDLO N#CCCn1cc(C[NH2+]Cc2cnn[nH]2)c(-c2ccccc2)n1 ZINC000598987067 357784504 /nfs/dbraw/zinc/78/45/04/357784504.db2.gz JVPCXUWSQPIATG-UHFFFAOYSA-N 1 2 307.361 1.872 20 30 DDEDLO N#CCCn1cc(C[NH2+]Cc2c[nH]nn2)c(-c2ccccc2)n1 ZINC000598987067 357784507 /nfs/dbraw/zinc/78/45/07/357784507.db2.gz JVPCXUWSQPIATG-UHFFFAOYSA-N 1 2 307.361 1.872 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCOC[C@H]2CC(F)F)CCOCC1 ZINC000599416566 357927449 /nfs/dbraw/zinc/92/74/49/357927449.db2.gz FLAWTNWGUOQMLK-OLZOCXBDSA-N 1 2 318.364 1.414 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCOC[C@H]2CC(F)F)CCOCC1 ZINC000599416566 357927452 /nfs/dbraw/zinc/92/74/52/357927452.db2.gz FLAWTNWGUOQMLK-OLZOCXBDSA-N 1 2 318.364 1.414 20 30 DDEDLO COC[C@]1(C)C[N@@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)CCO1 ZINC000599691338 358027288 /nfs/dbraw/zinc/02/72/88/358027288.db2.gz FEUJNQAYERZOJF-ZBFHGGJFSA-N 1 2 323.437 1.455 20 30 DDEDLO COC[C@]1(C)C[N@H+]([C@H](C)C(=O)NC2(C#N)CCCCC2)CCO1 ZINC000599691338 358027291 /nfs/dbraw/zinc/02/72/91/358027291.db2.gz FEUJNQAYERZOJF-ZBFHGGJFSA-N 1 2 323.437 1.455 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1C(=O)c1ccc(CC#N)cc1 ZINC000285656764 291129237 /nfs/dbraw/zinc/12/92/37/291129237.db2.gz FAIMYDGQUKKRAF-YOEHRIQHSA-N 1 2 313.401 1.688 20 30 DDEDLO C[C@H]1C[C@H]([NH+]2CCOCC2)CN1c1ccc(C#N)cc1[N+](=O)[O-] ZINC000286174596 291130943 /nfs/dbraw/zinc/13/09/43/291130943.db2.gz ABWZLVPWVGJQDB-JSGCOSHPSA-N 1 2 316.361 1.766 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)c3ncccc3N)CC2)cc1 ZINC000600945835 358352058 /nfs/dbraw/zinc/35/20/58/358352058.db2.gz NNRWIJVRIVSYDQ-UHFFFAOYSA-N 1 2 321.384 1.493 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)c1ccncc1 ZINC000601023417 358385561 /nfs/dbraw/zinc/38/55/61/358385561.db2.gz HGELVQMVUVTODW-OAHLLOKOSA-N 1 2 316.405 1.260 20 30 DDEDLO N#C[C@H]1CN(C(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)CCO1 ZINC000602099776 358812532 /nfs/dbraw/zinc/81/25/32/358812532.db2.gz ZOOLUMJRKUTLBX-UWVGGRQHSA-N 1 2 302.256 1.128 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)c2ccc([N+](=O)[O-])cc2O)CC1 ZINC000185507074 199860114 /nfs/dbraw/zinc/86/01/14/199860114.db2.gz ONBKOTCPZRMVAH-UHFFFAOYSA-N 1 2 305.334 1.681 20 30 DDEDLO N#CCCN1CCN(C(=O)NCc2cn3ccccc3[nH+]2)CC1 ZINC000602226487 358891213 /nfs/dbraw/zinc/89/12/13/358891213.db2.gz GQFSZVWZEBKUDO-UHFFFAOYSA-N 1 2 312.377 1.075 20 30 DDEDLO Cc1ncc(C(F)(F)F)cc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000602334514 358935591 /nfs/dbraw/zinc/93/55/91/358935591.db2.gz SMHWXZBIROEPGE-ZDUSSCGKSA-N 1 2 314.311 1.983 20 30 DDEDLO CC(C)(C#N)C[NH2+]Cc1ccc(Cl)c(S(N)(=O)=O)c1 ZINC000602606695 359069612 /nfs/dbraw/zinc/06/96/12/359069612.db2.gz CUOSMBOUCLFALJ-UHFFFAOYSA-N 1 2 301.799 1.627 20 30 DDEDLO N#Cc1ccccc1N1CC[NH+]([C@H]2CCN(C3CCC3)C2=O)CC1 ZINC000602770815 359178214 /nfs/dbraw/zinc/17/82/14/359178214.db2.gz YGEAZFOPYPDPTR-SFHVURJKSA-N 1 2 324.428 1.834 20 30 DDEDLO COC(=O)[C@H]([NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1)C1(OC)CCC1 ZINC000602847688 359234855 /nfs/dbraw/zinc/23/48/55/359234855.db2.gz CBGHBWCCLSWYAT-MCIONIFRSA-N 1 2 309.410 1.015 20 30 DDEDLO COC(=O)c1cc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)oc1C ZINC000602857190 359243512 /nfs/dbraw/zinc/24/35/12/359243512.db2.gz PEUDLPJLKPUGPN-RYUDHWBXSA-N 1 2 305.378 1.793 20 30 DDEDLO Cc1ccccc1CNC(=O)C[NH+]1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602857923 359243624 /nfs/dbraw/zinc/24/36/24/359243624.db2.gz WZQVUQNLMQNLTM-HOTGVXAUSA-N 1 2 314.433 1.529 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@@H](C)C(=O)N[C@@H](C)c1ccc2c(c1)OCCO2 ZINC000602864637 359248614 /nfs/dbraw/zinc/24/86/14/359248614.db2.gz TXNDNRQZLCOUCA-AGIUHOORSA-N 1 2 317.389 1.915 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@H](C)C(=O)N1CCN(c2ccccc2)CC1 ZINC000602864072 359249274 /nfs/dbraw/zinc/24/92/74/359249274.db2.gz QAVCFRIKIRZPOU-HUUCEWRRSA-N 1 2 300.406 1.615 20 30 DDEDLO C[C@H]1C[NH+](CC(=O)NCc2cccs2)C[C@H](C)N1CC#N ZINC000602866443 359250533 /nfs/dbraw/zinc/25/05/33/359250533.db2.gz UHUFCLUVDAEXPJ-STQMWFEESA-N 1 2 306.435 1.282 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000602868959 359252953 /nfs/dbraw/zinc/25/29/53/359252953.db2.gz LEZYZSRJEUUDMR-LLVKDONJSA-N 1 2 300.362 1.409 20 30 DDEDLO Cc1n[nH]cc1C[N@@H+](C)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000602943191 359311378 /nfs/dbraw/zinc/31/13/78/359311378.db2.gz PXANEPAALGPFQY-CYBMUJFWSA-N 1 2 315.402 1.889 20 30 DDEDLO Cc1n[nH]cc1C[N@H+](C)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000602943191 359311380 /nfs/dbraw/zinc/31/13/80/359311380.db2.gz PXANEPAALGPFQY-CYBMUJFWSA-N 1 2 315.402 1.889 20 30 DDEDLO CO[C@H]1C[N@H+](CC(=O)Nc2sccc2C#N)[C@@](C)(CO)C1 ZINC000602965081 359328828 /nfs/dbraw/zinc/32/88/28/359328828.db2.gz YVDBSXYTQOAEQF-BXUZGUMPSA-N 1 2 309.391 1.030 20 30 DDEDLO CO[C@H]1C[N@@H+](CC(=O)Nc2sccc2C#N)[C@@](C)(CO)C1 ZINC000602965081 359328831 /nfs/dbraw/zinc/32/88/31/359328831.db2.gz YVDBSXYTQOAEQF-BXUZGUMPSA-N 1 2 309.391 1.030 20 30 DDEDLO C[C@@H]1CN(C(=O)Nc2ccn3cc[nH+]c3c2)C[C@H](C)N1CC#N ZINC000603007013 359353540 /nfs/dbraw/zinc/35/35/40/359353540.db2.gz UWUOGNIQYPWLCQ-BETUJISGSA-N 1 2 312.377 1.784 20 30 DDEDLO CC(C)(CNC(=O)Nc1cc(F)cc(C#N)c1)[NH+]1CCOCC1 ZINC000603080649 359391958 /nfs/dbraw/zinc/39/19/58/359391958.db2.gz LEWMJAQJFBJHSC-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H](C)C(=O)Nc2ccccc2C#N)nn1 ZINC000603176916 359459609 /nfs/dbraw/zinc/45/96/09/359459609.db2.gz OBDFROGXIWZMFV-CYBMUJFWSA-N 1 2 322.372 1.462 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc([C@H](C)SCC)no2)nn1 ZINC000603252393 359516827 /nfs/dbraw/zinc/51/68/27/359516827.db2.gz YRRHRJAFPGVAGE-NSHDSACASA-N 1 2 320.422 1.636 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)Cc2cccc(C#N)c2)CC1(C)C ZINC000603376105 359601564 /nfs/dbraw/zinc/60/15/64/359601564.db2.gz UUOVOLDIFFSTMS-UHFFFAOYSA-N 1 2 307.419 1.414 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)Cc2cccc(C#N)c2)CC1(C)C ZINC000603376105 359601568 /nfs/dbraw/zinc/60/15/68/359601568.db2.gz UUOVOLDIFFSTMS-UHFFFAOYSA-N 1 2 307.419 1.414 20 30 DDEDLO CCC(=O)N1CCC[N@H+](CC(=O)NC2(C#N)CCCCC2)CC1 ZINC000603935595 359705101 /nfs/dbraw/zinc/70/51/01/359705101.db2.gz QLQOEVXZUKEGRU-UHFFFAOYSA-N 1 2 320.437 1.273 20 30 DDEDLO CCC(=O)N1CCC[N@@H+](CC(=O)NC2(C#N)CCCCC2)CC1 ZINC000603935595 359705109 /nfs/dbraw/zinc/70/51/09/359705109.db2.gz QLQOEVXZUKEGRU-UHFFFAOYSA-N 1 2 320.437 1.273 20 30 DDEDLO O=C(NC[C@@H](O)C[NH+]1CCOCC1)c1coc(C2CCCC2)n1 ZINC000329919862 223046785 /nfs/dbraw/zinc/04/67/85/223046785.db2.gz RWOXIICULULDSZ-CYBMUJFWSA-N 1 2 323.393 1.330 20 30 DDEDLO CC(C)[C@H](C#N)N1CC[NH+](CC(=O)N[C@H]2CCCC[C@H]2C)CC1 ZINC000609485631 360312585 /nfs/dbraw/zinc/31/25/85/360312585.db2.gz UETMCMMBZVVCAI-IKGGRYGDSA-N 1 2 320.481 1.847 20 30 DDEDLO CC[C@H]1CCCCN1C(=O)C[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609486604 360313571 /nfs/dbraw/zinc/31/35/71/360313571.db2.gz NOJRCBXROVGCIU-DLBZAZTESA-N 1 2 320.481 1.943 20 30 DDEDLO CCC[C@H](C)NC(=O)[C@@H](C)[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609485872 360313636 /nfs/dbraw/zinc/31/36/36/360313636.db2.gz ZCYQNGBKXHPJDI-ARFHVFGLSA-N 1 2 308.470 1.845 20 30 DDEDLO CC(C)C[C@@H](C#N)NC(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000610159107 360387648 /nfs/dbraw/zinc/38/76/48/360387648.db2.gz QIBKWJSCHBINHK-IRXDYDNUSA-N 1 2 315.417 1.942 20 30 DDEDLO CC(C)C[C@@H](C#N)NC(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000610159107 360387651 /nfs/dbraw/zinc/38/76/51/360387651.db2.gz QIBKWJSCHBINHK-IRXDYDNUSA-N 1 2 315.417 1.942 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1C[N@H+](CCCSCC#N)CCO1 ZINC000610451386 360440645 /nfs/dbraw/zinc/44/06/45/360440645.db2.gz KGGHOSMADZRGNV-GFCCVEGCSA-N 1 2 300.424 1.676 20 30 DDEDLO CC(C)(C)OC(=O)[C@H]1C[N@@H+](CCCSCC#N)CCO1 ZINC000610451386 360440648 /nfs/dbraw/zinc/44/06/48/360440648.db2.gz KGGHOSMADZRGNV-GFCCVEGCSA-N 1 2 300.424 1.676 20 30 DDEDLO N#C[C@H]1CCC[C@@H]1NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000610498161 360453617 /nfs/dbraw/zinc/45/36/17/360453617.db2.gz NAGVZIYHBSNOKL-PBHICJAKSA-N 1 2 313.401 1.941 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2F)C[C@H]1C ZINC000611083725 360617193 /nfs/dbraw/zinc/61/71/93/360617193.db2.gz ZXJYFEGUYNTPFP-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2F)C[C@H]1C ZINC000611083725 360617196 /nfs/dbraw/zinc/61/71/96/360617196.db2.gz ZXJYFEGUYNTPFP-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CNC(=O)CC[N@H+](C)Cc1c(C#N)c(C)nn1-c1ccccc1 ZINC000611346832 360703773 /nfs/dbraw/zinc/70/37/73/360703773.db2.gz MGNJALQRLHIMAG-UHFFFAOYSA-N 1 2 311.389 1.620 20 30 DDEDLO CNC(=O)CC[N@@H+](C)Cc1c(C#N)c(C)nn1-c1ccccc1 ZINC000611346832 360703776 /nfs/dbraw/zinc/70/37/76/360703776.db2.gz MGNJALQRLHIMAG-UHFFFAOYSA-N 1 2 311.389 1.620 20 30 DDEDLO CO[C@@H](C)c1noc(CN2CCC[N@H+](CC3(C#N)CC3)CC2)n1 ZINC000611430248 360718806 /nfs/dbraw/zinc/71/88/06/360718806.db2.gz UIGBOKHERXLLOZ-ZDUSSCGKSA-N 1 2 319.409 1.588 20 30 DDEDLO CO[C@@H](C)c1noc(CN2CCC[N@@H+](CC3(C#N)CC3)CC2)n1 ZINC000611430248 360718814 /nfs/dbraw/zinc/71/88/14/360718814.db2.gz UIGBOKHERXLLOZ-ZDUSSCGKSA-N 1 2 319.409 1.588 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000611551534 360756302 /nfs/dbraw/zinc/75/63/02/360756302.db2.gz MMLXICYXKMMULN-INIZCTEOSA-N 1 2 313.380 1.942 20 30 DDEDLO Cn1c[nH+]cc1CN1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000611550772 360756465 /nfs/dbraw/zinc/75/64/65/360756465.db2.gz JTFUSJMPGHLKHL-QGZVFWFLSA-N 1 2 313.380 1.942 20 30 DDEDLO N#CCNC(=O)C[N@H+](Cc1ccccc1)C[C@@H](O)C(F)(F)F ZINC000611577916 360766336 /nfs/dbraw/zinc/76/63/36/360766336.db2.gz YJNMYPFRRNYQEN-GFCCVEGCSA-N 1 2 315.295 1.052 20 30 DDEDLO N#CCNC(=O)C[N@@H+](Cc1ccccc1)C[C@@H](O)C(F)(F)F ZINC000611577916 360766339 /nfs/dbraw/zinc/76/63/39/360766339.db2.gz YJNMYPFRRNYQEN-GFCCVEGCSA-N 1 2 315.295 1.052 20 30 DDEDLO O=C(NC[C@H]1CCCCS1(=O)=O)[C@H]1CCC[N@@H+]1C1CC1 ZINC000330266909 223096090 /nfs/dbraw/zinc/09/60/90/223096090.db2.gz AIYMNVMJUBIKEA-CHWSQXEVSA-N 1 2 300.424 1.537 20 30 DDEDLO O=C(NC[C@H]1CCCCS1(=O)=O)[C@H]1CCC[N@H+]1C1CC1 ZINC000330266909 223096094 /nfs/dbraw/zinc/09/60/94/223096094.db2.gz AIYMNVMJUBIKEA-CHWSQXEVSA-N 1 2 300.424 1.537 20 30 DDEDLO Cc1c(NC(=O)NC[C@@H]2CN(C)CC[N@@H+]2C)cccc1N(C)C ZINC000330684649 223136883 /nfs/dbraw/zinc/13/68/83/223136883.db2.gz KEIVHSDGCAGIRR-CQSZACIVSA-N 1 2 319.453 1.633 20 30 DDEDLO Cc1c(NC(=O)NC[C@@H]2CN(C)CC[N@H+]2C)cccc1N(C)C ZINC000330684649 223136886 /nfs/dbraw/zinc/13/68/86/223136886.db2.gz KEIVHSDGCAGIRR-CQSZACIVSA-N 1 2 319.453 1.633 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](O)C(C)(C)C1 ZINC000446077477 291276674 /nfs/dbraw/zinc/27/66/74/291276674.db2.gz JWZVXEKIXHUQOS-LSDHHAIUSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](O)C(C)(C)C1 ZINC000446077477 291276675 /nfs/dbraw/zinc/27/66/75/291276675.db2.gz JWZVXEKIXHUQOS-LSDHHAIUSA-N 1 2 302.374 1.865 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@@H](c2nnc3ccccn32)C1 ZINC000619718371 364129358 /nfs/dbraw/zinc/12/93/58/364129358.db2.gz HYTJCMRRWAVENJ-OLZOCXBDSA-N 1 2 300.362 1.636 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@@H](c2nnc3ccccn32)C1 ZINC000619718371 364129362 /nfs/dbraw/zinc/12/93/62/364129362.db2.gz HYTJCMRRWAVENJ-OLZOCXBDSA-N 1 2 300.362 1.636 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(C(=O)Nc2ccc(O)cc2)CC1 ZINC000619719405 364130895 /nfs/dbraw/zinc/13/08/95/364130895.db2.gz ZFCIORAQVLVXAV-OAHLLOKOSA-N 1 2 318.373 1.770 20 30 DDEDLO FCC[C@@H]1CN(CC#CC[N@@H+]2CCO[C@H](CCF)C2)CCO1 ZINC000626004669 367272433 /nfs/dbraw/zinc/27/24/33/367272433.db2.gz MAWSUULRPDGIKD-HZPDHXFCSA-N 1 2 316.392 1.111 20 30 DDEDLO FCC[C@@H]1CN(CC#CC[N@H+]2CCO[C@H](CCF)C2)CCO1 ZINC000626004669 367272436 /nfs/dbraw/zinc/27/24/36/367272436.db2.gz MAWSUULRPDGIKD-HZPDHXFCSA-N 1 2 316.392 1.111 20 30 DDEDLO CCS(=O)(=O)N1CC[N@H+](Cc2ccc(CC#N)cc2)[C@H](C)C1 ZINC000347287650 223369749 /nfs/dbraw/zinc/36/97/49/223369749.db2.gz JPHZIOOQXHNVPG-CQSZACIVSA-N 1 2 321.446 1.608 20 30 DDEDLO CCS(=O)(=O)N1CC[N@@H+](Cc2ccc(CC#N)cc2)[C@H](C)C1 ZINC000347287650 223369750 /nfs/dbraw/zinc/36/97/50/223369750.db2.gz JPHZIOOQXHNVPG-CQSZACIVSA-N 1 2 321.446 1.608 20 30 DDEDLO CN(CCC#N)C(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000347748236 223375250 /nfs/dbraw/zinc/37/52/50/223375250.db2.gz ZTIDRIKAGIFODI-UHFFFAOYSA-N 1 2 323.418 1.008 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(Cc2ccon2)CC1 ZINC000121060926 248265217 /nfs/dbraw/zinc/26/52/17/248265217.db2.gz RBCFDRYGOPJZBM-UHFFFAOYSA-N 1 2 312.373 1.873 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)[NH+]1CCN(C(=O)OCC)CC1 ZINC000042249024 183246765 /nfs/dbraw/zinc/24/67/65/183246765.db2.gz WXGIZVHXHJTPMB-AWEZNQCLSA-N 1 2 309.410 1.350 20 30 DDEDLO C#CC[N@@H+](CC(=O)NCc1ccccc1COCC)C1CC1 ZINC000274037192 211294916 /nfs/dbraw/zinc/29/49/16/211294916.db2.gz DZAPIMUIIQZHLJ-UHFFFAOYSA-N 1 2 300.402 1.937 20 30 DDEDLO C#CC[N@H+](CC(=O)NCc1ccccc1COCC)C1CC1 ZINC000274037192 211294921 /nfs/dbraw/zinc/29/49/21/211294921.db2.gz DZAPIMUIIQZHLJ-UHFFFAOYSA-N 1 2 300.402 1.937 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCOc3cc[nH+]cc32)s1 ZINC000339153979 250318359 /nfs/dbraw/zinc/31/83/59/250318359.db2.gz JKWRFAWERHIFKM-UHFFFAOYSA-N 1 2 307.356 1.602 20 30 DDEDLO N#Cc1ccc(NCC(=O)NCc2cn3ccccc3[nH+]2)cc1 ZINC000339211367 250344564 /nfs/dbraw/zinc/34/45/64/250344564.db2.gz UDRJUWVBVUEGJD-UHFFFAOYSA-N 1 2 305.341 1.934 20 30 DDEDLO N#C[C@@H]1CN(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)CCO1 ZINC000106330247 194197495 /nfs/dbraw/zinc/19/74/95/194197495.db2.gz FPAVMTWXWWPGBW-CQSZACIVSA-N 1 2 315.377 1.116 20 30 DDEDLO COC(=O)C1(NC(=O)C[NH+]2CCC(C#N)CC2)CCCCC1 ZINC000057873466 184059663 /nfs/dbraw/zinc/05/96/63/184059663.db2.gz NSNMFALUSBGVEF-UHFFFAOYSA-N 1 2 307.394 1.214 20 30 DDEDLO C[N@H+](CCN1C(=O)c2ccccc2C1=O)C[C@@H](C#N)CCC#N ZINC000158954973 197314546 /nfs/dbraw/zinc/31/45/46/197314546.db2.gz UQPMCURXOUWCKC-CYBMUJFWSA-N 1 2 310.357 1.658 20 30 DDEDLO C[N@@H+](CCN1C(=O)c2ccccc2C1=O)C[C@@H](C#N)CCC#N ZINC000158954973 197314548 /nfs/dbraw/zinc/31/45/48/197314548.db2.gz UQPMCURXOUWCKC-CYBMUJFWSA-N 1 2 310.357 1.658 20 30 DDEDLO CCc1cc(N2CCN(c3nccnc3C#N)C[C@H]2C)nc(C)[nH+]1 ZINC000272912168 210299723 /nfs/dbraw/zinc/29/97/23/210299723.db2.gz RKMGOCFVJPDBFP-GFCCVEGCSA-N 1 2 323.404 1.724 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+]1CCOC[C@H]1C[C@@H](C)O ZINC000157475022 197189875 /nfs/dbraw/zinc/18/98/75/197189875.db2.gz HZSGRKLLZDFANI-UKRRQHHQSA-N 1 2 320.389 1.417 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+]1CCOC[C@H]1C[C@@H](C)O ZINC000157475022 197189877 /nfs/dbraw/zinc/18/98/77/197189877.db2.gz HZSGRKLLZDFANI-UKRRQHHQSA-N 1 2 320.389 1.417 20 30 DDEDLO COc1nccc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)n1 ZINC000294512200 533229713 /nfs/dbraw/zinc/22/97/13/533229713.db2.gz DCKXZHSNNXYTPM-UHFFFAOYSA-N 1 2 310.361 1.074 20 30 DDEDLO N#Cc1ccc(NC(=O)[C@@H]2C[N@H+](Cc3ccccc3)CCO2)nc1 ZINC000126497496 195845076 /nfs/dbraw/zinc/84/50/76/195845076.db2.gz SMDLZZUXFAUOTO-INIZCTEOSA-N 1 2 322.368 1.793 20 30 DDEDLO N#Cc1ccc(NC(=O)[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)nc1 ZINC000126497496 195845078 /nfs/dbraw/zinc/84/50/78/195845078.db2.gz SMDLZZUXFAUOTO-INIZCTEOSA-N 1 2 322.368 1.793 20 30 DDEDLO COCc1nc(C)c(C(=O)NCC[NH+]2CCSCC2)s1 ZINC000330493613 533276386 /nfs/dbraw/zinc/27/63/86/533276386.db2.gz SWQBZCAXQZQYIA-UHFFFAOYSA-N 1 2 315.464 1.951 20 30 DDEDLO C#CCNC(=O)N1CC[NH+](Cc2ccc(OC)c(F)c2)CC1 ZINC000026525064 406905433 /nfs/dbraw/zinc/90/54/33/406905433.db2.gz ZWJVBCAXPIRPLF-UHFFFAOYSA-N 1 2 305.353 1.295 20 30 DDEDLO N#Cc1ccc([C@@H](O)CN2CC[NH+](Cc3cccnc3)CC2)cc1 ZINC000091076473 407176843 /nfs/dbraw/zinc/17/68/43/407176843.db2.gz WXFLEGWOUKXJOX-IBGZPJMESA-N 1 2 322.412 1.804 20 30 DDEDLO C[C@@H]1CCCC[C@@H]1OCCNC(=O)C[NH+]1CCC(C#N)CC1 ZINC000057874572 407217848 /nfs/dbraw/zinc/21/78/48/407217848.db2.gz PRNDOTAFDIYAHO-ZBFHGGJFSA-N 1 2 307.438 1.933 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)COc1ccccc1 ZINC000060633698 407225662 /nfs/dbraw/zinc/22/56/62/407225662.db2.gz WMCVMPBPXDIRMN-UHFFFAOYSA-N 1 2 317.389 1.140 20 30 DDEDLO Cc1ccccc1CC(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000060794144 407226252 /nfs/dbraw/zinc/22/62/52/407226252.db2.gz UTSVOGOWSFRJMB-UHFFFAOYSA-N 1 2 315.417 1.612 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCc2ccc(OC)cc2C1 ZINC000067461940 407274475 /nfs/dbraw/zinc/27/44/75/407274475.db2.gz GUJOIHWZOJMCRP-GFCCVEGCSA-N 1 2 317.389 1.454 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCc2ccc(OC)cc2C1 ZINC000067461940 407274476 /nfs/dbraw/zinc/27/44/76/407274476.db2.gz GUJOIHWZOJMCRP-GFCCVEGCSA-N 1 2 317.389 1.454 20 30 DDEDLO CCN(CC)C(=O)[C@H](C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000101554488 407311105 /nfs/dbraw/zinc/31/11/05/407311105.db2.gz XQRMDYTYSMOJRR-HNNXBMFYSA-N 1 2 314.433 1.937 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2cccc(F)c2F)CC1 ZINC000101574853 407312114 /nfs/dbraw/zinc/31/21/14/407312114.db2.gz PMPYNJDWGWHKJY-UHFFFAOYSA-N 1 2 314.357 1.294 20 30 DDEDLO CCNC(=O)C[N@H+](CC)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000126816343 407422088 /nfs/dbraw/zinc/42/20/88/407422088.db2.gz ALEHVSQJMFDLSR-CYBMUJFWSA-N 1 2 308.426 1.176 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000126816343 407422090 /nfs/dbraw/zinc/42/20/90/407422090.db2.gz ALEHVSQJMFDLSR-CYBMUJFWSA-N 1 2 308.426 1.176 20 30 DDEDLO N#CCc1ccc(NC(=O)CSCC[NH+]2CCOCC2)cc1 ZINC000127406388 407435952 /nfs/dbraw/zinc/43/59/52/407435952.db2.gz NWCHECFOESFQJR-UHFFFAOYSA-N 1 2 319.430 1.757 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)Cc1cc(Br)cn1C ZINC000151632483 407467645 /nfs/dbraw/zinc/46/76/45/407467645.db2.gz RISATSCLRLYFLV-UHFFFAOYSA-N 1 2 300.200 1.522 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)Cc1cc(Br)cn1C ZINC000151632483 407467653 /nfs/dbraw/zinc/46/76/53/407467653.db2.gz RISATSCLRLYFLV-UHFFFAOYSA-N 1 2 300.200 1.522 20 30 DDEDLO C=CCNc1ncc(C(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)s1 ZINC000128955914 407584816 /nfs/dbraw/zinc/58/48/16/407584816.db2.gz ZJJGZDBEDSNEAL-GFCCVEGCSA-N 1 2 322.434 1.334 20 30 DDEDLO C=CCNc1ncc(C(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)s1 ZINC000128955914 407584825 /nfs/dbraw/zinc/58/48/25/407584825.db2.gz ZJJGZDBEDSNEAL-GFCCVEGCSA-N 1 2 322.434 1.334 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2C)C[C@@H]1C ZINC000229327178 407658121 /nfs/dbraw/zinc/65/81/21/407658121.db2.gz ZUBKYXBHYWQSHI-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C#N)cc2C)C[C@@H]1C ZINC000229327178 407658127 /nfs/dbraw/zinc/65/81/27/407658127.db2.gz ZUBKYXBHYWQSHI-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1cc(OC)c(OC)c(OC)c1 ZINC000266921472 407678036 /nfs/dbraw/zinc/67/80/36/407678036.db2.gz MBSQEIFLLMXDRD-UHFFFAOYSA-N 1 2 306.362 1.606 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1cc(OC)c(OC)c(OC)c1 ZINC000266921472 407678039 /nfs/dbraw/zinc/67/80/39/407678039.db2.gz MBSQEIFLLMXDRD-UHFFFAOYSA-N 1 2 306.362 1.606 20 30 DDEDLO C#CC[N@@H+](CC)[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000266938004 407683374 /nfs/dbraw/zinc/68/33/74/407683374.db2.gz QLVJVCAVVULLBP-NSHDSACASA-N 1 2 305.334 1.886 20 30 DDEDLO C#CC[N@H+](CC)[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1OC ZINC000266938004 407683377 /nfs/dbraw/zinc/68/33/77/407683377.db2.gz QLVJVCAVVULLBP-NSHDSACASA-N 1 2 305.334 1.886 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1cc(Cl)ccc1-n1cncn1 ZINC000266937885 407684479 /nfs/dbraw/zinc/68/44/79/407684479.db2.gz QGYJDAUXWCELKD-UHFFFAOYSA-N 1 2 317.780 1.814 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1cc(Cl)ccc1-n1cncn1 ZINC000266937885 407684481 /nfs/dbraw/zinc/68/44/81/407684481.db2.gz QGYJDAUXWCELKD-UHFFFAOYSA-N 1 2 317.780 1.814 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2noc3c2CCCCC3)CC1 ZINC000132235522 407775338 /nfs/dbraw/zinc/77/53/38/407775338.db2.gz UQQXQIJBUUQUJW-UHFFFAOYSA-N 1 2 301.390 1.725 20 30 DDEDLO Cn1cc(C[N@@H+]2CCn3cnnc3C2)c(-c2ccc(C#N)cc2)n1 ZINC000272243574 407833558 /nfs/dbraw/zinc/83/35/58/407833558.db2.gz HCAQDLAIFRRFSC-UHFFFAOYSA-N 1 2 319.372 1.566 20 30 DDEDLO Cn1cc(C[N@H+]2CCn3cnnc3C2)c(-c2ccc(C#N)cc2)n1 ZINC000272243574 407833562 /nfs/dbraw/zinc/83/35/62/407833562.db2.gz HCAQDLAIFRRFSC-UHFFFAOYSA-N 1 2 319.372 1.566 20 30 DDEDLO C=C(C)COCCNC(=O)NCCc1cn2ccccc2[nH+]1 ZINC000135042005 407966928 /nfs/dbraw/zinc/96/69/28/407966928.db2.gz YBERGFBYDFHRJT-UHFFFAOYSA-N 1 2 302.378 1.769 20 30 DDEDLO CC#CCNC(=O)NC[C@@H](c1cccc(C)c1)[NH+]1CCOCC1 ZINC000135042123 407969404 /nfs/dbraw/zinc/96/94/04/407969404.db2.gz ODCWYOVQGKIUQP-KRWDZBQOSA-N 1 2 315.417 1.691 20 30 DDEDLO COCCc1noc(C[N@H+](C)Cc2ccc(OCC#N)cc2)n1 ZINC000268281701 407985600 /nfs/dbraw/zinc/98/56/00/407985600.db2.gz PIIBCDAIFSQEDJ-UHFFFAOYSA-N 1 2 316.361 1.793 20 30 DDEDLO COCCc1noc(C[N@@H+](C)Cc2ccc(OCC#N)cc2)n1 ZINC000268281701 407985606 /nfs/dbraw/zinc/98/56/06/407985606.db2.gz PIIBCDAIFSQEDJ-UHFFFAOYSA-N 1 2 316.361 1.793 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@H](Cc2ccccc2)C1 ZINC000181566188 408013971 /nfs/dbraw/zinc/01/39/71/408013971.db2.gz DMJIESHUZOSSAN-NVXWUHKLSA-N 1 2 315.417 1.690 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@H](Cc2ccccc2)C1 ZINC000181566188 408013974 /nfs/dbraw/zinc/01/39/74/408013974.db2.gz DMJIESHUZOSSAN-NVXWUHKLSA-N 1 2 315.417 1.690 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000273193177 408100581 /nfs/dbraw/zinc/10/05/81/408100581.db2.gz DDFLBZGHCAAMJL-MRXNPFEDSA-N 1 2 314.389 1.668 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@H+]1CCC[C@@H](CO)C1 ZINC000120077088 408117786 /nfs/dbraw/zinc/11/77/86/408117786.db2.gz MRPWRDYMVSHQRR-CYBMUJFWSA-N 1 2 319.430 1.945 20 30 DDEDLO N#CCSc1ccccc1NC(=O)C[N@@H+]1CCC[C@@H](CO)C1 ZINC000120077088 408117787 /nfs/dbraw/zinc/11/77/87/408117787.db2.gz MRPWRDYMVSHQRR-CYBMUJFWSA-N 1 2 319.430 1.945 20 30 DDEDLO Cc1ccc(CN(C(=O)CO[NH+]=C(N)CCO)C2CC2)cc1 ZINC000121364193 408193773 /nfs/dbraw/zinc/19/37/73/408193773.db2.gz VYYAKPZQBQVOFV-UHFFFAOYSA-N 1 2 305.378 1.157 20 30 DDEDLO Cc1ccnc(N2CCN(C3=[NH+]C[C@@H](C)S3)CC2)c1C#N ZINC000273372301 408171993 /nfs/dbraw/zinc/17/19/93/408171993.db2.gz RVCPVZDFJPVZNU-GFCCVEGCSA-N 1 2 301.419 1.875 20 30 DDEDLO C=C(C)CN(C)c1nc(NCCOC)nc(N(C)CC(=C)C)[nH+]1 ZINC000182865148 408269278 /nfs/dbraw/zinc/26/92/78/408269278.db2.gz UUJITEOVQUIJQD-UHFFFAOYSA-N 1 2 320.441 1.955 20 30 DDEDLO CCOCCOC1C[NH+](C[C@H](O)COc2ccc(C#N)cc2)C1 ZINC000273677630 408285710 /nfs/dbraw/zinc/28/57/10/408285710.db2.gz JPJNVPJVKBAGLP-HNNXBMFYSA-N 1 2 320.389 1.035 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@@H]21 ZINC000158498965 408342269 /nfs/dbraw/zinc/34/22/69/408342269.db2.gz BXWCBQUFPTYOSC-OWCLPIDISA-N 1 2 310.438 1.679 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCO[C@@H]2CC[C@@H](OC)C[C@@H]21 ZINC000158498965 408342274 /nfs/dbraw/zinc/34/22/74/408342274.db2.gz BXWCBQUFPTYOSC-OWCLPIDISA-N 1 2 310.438 1.679 20 30 DDEDLO CCn1cc[nH+]c1CN1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000171544288 162224210 /nfs/dbraw/zinc/22/42/10/162224210.db2.gz BDZASKYLYSXGSB-ZDUSSCGKSA-N 1 2 311.393 1.275 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(CC#Cc2ccc(F)cc2)CC1 ZINC000269656584 408377246 /nfs/dbraw/zinc/37/72/46/408377246.db2.gz WLEZGMGXQJLVFP-UHFFFAOYSA-N 1 2 303.381 1.059 20 30 DDEDLO COCC[N@H+](CCO)Cc1cc(C#N)ccc1Br ZINC000191781645 408491732 /nfs/dbraw/zinc/49/17/32/408491732.db2.gz PRELMBGGSLJRQF-UHFFFAOYSA-N 1 2 313.195 1.761 20 30 DDEDLO COCC[N@@H+](CCO)Cc1cc(C#N)ccc1Br ZINC000191781645 408491740 /nfs/dbraw/zinc/49/17/40/408491740.db2.gz PRELMBGGSLJRQF-UHFFFAOYSA-N 1 2 313.195 1.761 20 30 DDEDLO CCC[N@H+](CC(=O)NC)CC(=O)Nc1sc(C)c(C)c1C#N ZINC000177175776 408544232 /nfs/dbraw/zinc/54/42/32/408544232.db2.gz FQCGXUOYUPJMIN-UHFFFAOYSA-N 1 2 322.434 1.633 20 30 DDEDLO CCC[N@@H+](CC(=O)NC)CC(=O)Nc1sc(C)c(C)c1C#N ZINC000177175776 408544237 /nfs/dbraw/zinc/54/42/37/408544237.db2.gz FQCGXUOYUPJMIN-UHFFFAOYSA-N 1 2 322.434 1.633 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@@H+](C)CC(=O)NC ZINC000270778965 408679090 /nfs/dbraw/zinc/67/90/90/408679090.db2.gz OAJKSBXIWCHOIA-GFCCVEGCSA-N 1 2 309.435 1.329 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@H+](C)CC(=O)NC ZINC000270778965 408679094 /nfs/dbraw/zinc/67/90/94/408679094.db2.gz OAJKSBXIWCHOIA-GFCCVEGCSA-N 1 2 309.435 1.329 20 30 DDEDLO CC[C@@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1O ZINC000270831899 408699583 /nfs/dbraw/zinc/69/95/83/408699583.db2.gz CUAUWKBTZDXOBB-HIFRSBDPSA-N 1 2 308.403 1.035 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1O ZINC000270831899 408699592 /nfs/dbraw/zinc/69/95/92/408699592.db2.gz CUAUWKBTZDXOBB-HIFRSBDPSA-N 1 2 308.403 1.035 20 30 DDEDLO CCOc1ccc(C#N)cc1NC(=O)N1CC[NH+](CCO)CC1 ZINC000178214132 408788346 /nfs/dbraw/zinc/78/83/46/408788346.db2.gz NSLZRCLBNHWYGS-UHFFFAOYSA-N 1 2 318.377 1.099 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000185283665 408791477 /nfs/dbraw/zinc/79/14/77/408791477.db2.gz OVJCMYOGLRABPT-HOCLYGCPSA-N 1 2 312.479 1.913 20 30 DDEDLO C[N@@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2c[nH]c(C#N)c2)C1 ZINC000252550895 408804839 /nfs/dbraw/zinc/80/48/39/408804839.db2.gz TTZNIPUAIASAHN-HNNXBMFYSA-N 1 2 302.378 1.069 20 30 DDEDLO C[N@H+]1CCO[C@H](CN(CC2CC2)C(=O)c2c[nH]c(C#N)c2)C1 ZINC000252550895 408804841 /nfs/dbraw/zinc/80/48/41/408804841.db2.gz TTZNIPUAIASAHN-HNNXBMFYSA-N 1 2 302.378 1.069 20 30 DDEDLO Cc1csc(C[NH2+]CCS(=O)(=O)c2ccc(C#N)cc2)n1 ZINC000163073275 408756484 /nfs/dbraw/zinc/75/64/84/408756484.db2.gz PXMGROFOTWEINX-UHFFFAOYSA-N 1 2 321.427 1.887 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@H](C)C(=O)Nc2cccc(F)c2)C1=O ZINC000281180155 408874626 /nfs/dbraw/zinc/87/46/26/408874626.db2.gz AWYYSTSCTXCMQF-DOMZBBRYSA-N 1 2 319.380 1.871 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@H](C)C(=O)Nc2cccc(F)c2)C1=O ZINC000281180155 408874628 /nfs/dbraw/zinc/87/46/28/408874628.db2.gz AWYYSTSCTXCMQF-DOMZBBRYSA-N 1 2 319.380 1.871 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+]2CC[C@@H](O)[C@H](C)C2)c(C#N)c1C ZINC000167262233 408817346 /nfs/dbraw/zinc/81/73/46/408817346.db2.gz YXVGFILCXYXNND-NOZJJQNGSA-N 1 2 307.419 1.878 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+]2CC[C@@H](O)[C@H](C)C2)c(C#N)c1C ZINC000167262233 408817347 /nfs/dbraw/zinc/81/73/47/408817347.db2.gz YXVGFILCXYXNND-NOZJJQNGSA-N 1 2 307.419 1.878 20 30 DDEDLO C#CCN(C(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1)C1CC1 ZINC000276139414 408822725 /nfs/dbraw/zinc/82/27/25/408822725.db2.gz IZVZWWMQLUETPR-UHFFFAOYSA-N 1 2 322.368 1.650 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCC(C(=O)C(F)(F)F)CC2)C1=O ZINC000281445067 408886403 /nfs/dbraw/zinc/88/64/03/408886403.db2.gz UHWNFRAAAHWNDV-NSHDSACASA-N 1 2 304.312 1.617 20 30 DDEDLO CC(=O)CCC(=O)N1CCC[N@H+](Cc2ccc(C#N)cc2)CC1 ZINC000194488047 163285950 /nfs/dbraw/zinc/28/59/50/163285950.db2.gz HIRVWUCXAHBTJI-UHFFFAOYSA-N 1 2 313.401 1.962 20 30 DDEDLO CC(=O)CCC(=O)N1CCC[N@@H+](Cc2ccc(C#N)cc2)CC1 ZINC000194488047 163285952 /nfs/dbraw/zinc/28/59/52/163285952.db2.gz HIRVWUCXAHBTJI-UHFFFAOYSA-N 1 2 313.401 1.962 20 30 DDEDLO C[C@@H]1C[C@H]([NH+]2CCOCC2)CN1CC#Cc1ccc(F)cc1 ZINC000286234389 408945910 /nfs/dbraw/zinc/94/59/10/408945910.db2.gz ZHKFQZCHBVVRIN-QAPCUYQASA-N 1 2 302.393 1.972 20 30 DDEDLO C=CCSCCNC(=O)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000286471247 408989388 /nfs/dbraw/zinc/98/93/88/408989388.db2.gz KUHTXNFSDPQPSH-LBPRGKRZSA-N 1 2 322.434 1.499 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2ncnn2C(C)(C)C)cc1 ZINC000282193930 409008071 /nfs/dbraw/zinc/00/80/71/409008071.db2.gz HNCPTKCPNKLTSC-UHFFFAOYSA-N 1 2 303.366 1.879 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1cc[nH+]c(N2CCOCC2)c1)C(C)C ZINC000282311016 409031677 /nfs/dbraw/zinc/03/16/77/409031677.db2.gz SJFMRUPJVPYIGS-OAHLLOKOSA-N 1 2 316.405 1.375 20 30 DDEDLO C=CCn1c(CC(C)C)nnc1N(C)CC[NH+]1CCOCC1 ZINC000282575418 409058796 /nfs/dbraw/zinc/05/87/96/409058796.db2.gz KVNCBTCHNMAPOB-UHFFFAOYSA-N 1 2 307.442 1.431 20 30 DDEDLO C#CCCOc1ccc(C[N@H+]2CC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000282726710 409062577 /nfs/dbraw/zinc/06/25/77/409062577.db2.gz LZMCSAVGRFHZGI-MRXNPFEDSA-N 1 2 307.415 1.708 20 30 DDEDLO C#CCCOc1ccc(C[N@@H+]2CC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000282726710 409062578 /nfs/dbraw/zinc/06/25/78/409062578.db2.gz LZMCSAVGRFHZGI-MRXNPFEDSA-N 1 2 307.415 1.708 20 30 DDEDLO CCOC1CC(CNc2cnccc2C#N)([NH+]2CCOCC2)C1 ZINC000278095879 409065311 /nfs/dbraw/zinc/06/53/11/409065311.db2.gz WBZFARNCXJZXRL-UHFFFAOYSA-N 1 2 316.405 1.635 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@]1(C#N)CCC[C@@H](C)C1 ZINC000282913055 409070667 /nfs/dbraw/zinc/07/06/67/409070667.db2.gz BQRLTPVTENSHRF-DIOULYMOSA-N 1 2 317.393 1.046 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@]1(C#N)CCC[C@@H](C)C1 ZINC000282913055 409070670 /nfs/dbraw/zinc/07/06/70/409070670.db2.gz BQRLTPVTENSHRF-DIOULYMOSA-N 1 2 317.393 1.046 20 30 DDEDLO Cc1ccc(C(N)=[NH+]OCc2nnc3n2CCCC3)cc1F ZINC000278260175 409078103 /nfs/dbraw/zinc/07/81/03/409078103.db2.gz NPZCDOTTWTXERE-UHFFFAOYSA-N 1 2 303.341 1.899 20 30 DDEDLO N#Cc1ccnc(C(=O)NCC[N@@H+]2CCc3sccc3C2)c1 ZINC000283111215 409089643 /nfs/dbraw/zinc/08/96/43/409089643.db2.gz SMEHZEZIUWWLIN-UHFFFAOYSA-N 1 2 312.398 1.803 20 30 DDEDLO N#Cc1ccnc(C(=O)NCC[N@H+]2CCc3sccc3C2)c1 ZINC000283111215 409089644 /nfs/dbraw/zinc/08/96/44/409089644.db2.gz SMEHZEZIUWWLIN-UHFFFAOYSA-N 1 2 312.398 1.803 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccccc1OCC#N ZINC000279358889 409198350 /nfs/dbraw/zinc/19/83/50/409198350.db2.gz RNNMFFIOZCMWDI-CQSZACIVSA-N 1 2 317.389 1.382 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000280239796 409276745 /nfs/dbraw/zinc/27/67/45/409276745.db2.gz JXRXKAUOEICJGO-AWEZNQCLSA-N 1 2 315.417 1.938 20 30 DDEDLO CC(C)(C#N)c1ccc(C(=O)N2CC[NH+](CCO)CC2)cc1 ZINC000280389379 409304042 /nfs/dbraw/zinc/30/40/42/409304042.db2.gz UWCRWYGVFGRKGC-UHFFFAOYSA-N 1 2 301.390 1.238 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@H+](CCC(C)(C)C#N)C2 ZINC000284322515 409319300 /nfs/dbraw/zinc/31/93/00/409319300.db2.gz BCFGEONUXAZXCB-UHFFFAOYSA-N 1 2 321.446 1.893 20 30 DDEDLO CNS(=O)(=O)c1cccc2c1CC[N@@H+](CCC(C)(C)C#N)C2 ZINC000284322515 409319302 /nfs/dbraw/zinc/31/93/02/409319302.db2.gz BCFGEONUXAZXCB-UHFFFAOYSA-N 1 2 321.446 1.893 20 30 DDEDLO CN(C)S(=O)(=O)c1cccc2c1CC[N@H+](CCCC#N)C2 ZINC000284869965 409369664 /nfs/dbraw/zinc/36/96/64/409369664.db2.gz SLZSBGBAWGZDJT-UHFFFAOYSA-N 1 2 307.419 1.599 20 30 DDEDLO CN(C)S(=O)(=O)c1cccc2c1CC[N@@H+](CCCC#N)C2 ZINC000284869965 409369670 /nfs/dbraw/zinc/36/96/70/409369670.db2.gz SLZSBGBAWGZDJT-UHFFFAOYSA-N 1 2 307.419 1.599 20 30 DDEDLO NC(COc1ccccc1)=[NH+]OC[C@H]1CCCS(=O)(=O)C1 ZINC000284605860 409355249 /nfs/dbraw/zinc/35/52/49/409355249.db2.gz YRETZWOEXIGMTO-GFCCVEGCSA-N 1 2 312.391 1.179 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000290473980 409413477 /nfs/dbraw/zinc/41/34/77/409413477.db2.gz LVXNTDUGRUCURD-AWEZNQCLSA-N 1 2 316.405 1.201 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1C(=O)N1CC=C(c2ccc(C#N)cc2)CC1 ZINC000408045037 164208113 /nfs/dbraw/zinc/20/81/13/164208113.db2.gz POAQKDITCNHYLL-QGZVFWFLSA-N 1 2 311.385 1.505 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1C(=O)N1CC=C(c2ccc(C#N)cc2)CC1 ZINC000408045037 164208114 /nfs/dbraw/zinc/20/81/14/164208114.db2.gz POAQKDITCNHYLL-QGZVFWFLSA-N 1 2 311.385 1.505 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@H+](C)[C@@H]1COC[C@H]1O ZINC000285549851 409485172 /nfs/dbraw/zinc/48/51/72/409485172.db2.gz MDWGMXALWAOEBY-FVQBIDKESA-N 1 2 317.389 1.013 20 30 DDEDLO C[C@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+](C)[C@@H]1COC[C@H]1O ZINC000285549851 409485176 /nfs/dbraw/zinc/48/51/76/409485176.db2.gz MDWGMXALWAOEBY-FVQBIDKESA-N 1 2 317.389 1.013 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)C(=O)Nc1ccc(C#N)cc1)C1CC1 ZINC000356849571 409661346 /nfs/dbraw/zinc/66/13/46/409661346.db2.gz PYGBRWKAPHCBIV-CQSZACIVSA-N 1 2 323.356 1.498 20 30 DDEDLO COC(=O)CC[N@@H+]1CCN(C(=O)c2cscc2C#N)C[C@H]1C ZINC000345225968 409668752 /nfs/dbraw/zinc/66/87/52/409668752.db2.gz VMXACJUXLPTZCG-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO COC(=O)CC[N@H+]1CCN(C(=O)c2cscc2C#N)C[C@H]1C ZINC000345225968 409668755 /nfs/dbraw/zinc/66/87/55/409668755.db2.gz VMXACJUXLPTZCG-LLVKDONJSA-N 1 2 321.402 1.329 20 30 DDEDLO N#CC1CC[NH+]([C@H]2CC(=O)N(c3ccc(F)cc3)C2=O)CC1 ZINC000331631714 409714183 /nfs/dbraw/zinc/71/41/83/409714183.db2.gz IANYXWNTIURFCD-AWEZNQCLSA-N 1 2 301.321 1.693 20 30 DDEDLO COC(=O)[C@H]([NH2+]C[C@@H](O)COc1ccc(C#N)cc1)C(C)C ZINC000314565705 409827048 /nfs/dbraw/zinc/82/70/48/409827048.db2.gz NAEBFUDLDHNYGE-UKRRQHHQSA-N 1 2 306.362 1.085 20 30 DDEDLO C=CCCOCCNC(=O)N1CCN(CC)[C@H](c2[nH]cc[nH+]2)C1 ZINC000354352400 409788963 /nfs/dbraw/zinc/78/89/63/409788963.db2.gz PISCDYCOFCZOAJ-AWEZNQCLSA-N 1 2 321.425 1.391 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000297463506 409917801 /nfs/dbraw/zinc/91/78/01/409917801.db2.gz IEBYQJBOOPNHCE-HUUCEWRRSA-N 1 2 316.405 1.493 20 30 DDEDLO CCC[N@H+](Cc1cc(C#N)ccc1OC)[C@@H]1CC(=O)N(C)C1=O ZINC000338333830 409920826 /nfs/dbraw/zinc/92/08/26/409920826.db2.gz YFRXJGKOFAGDRT-CQSZACIVSA-N 1 2 315.373 1.536 20 30 DDEDLO CCC[N@@H+](Cc1cc(C#N)ccc1OC)[C@@H]1CC(=O)N(C)C1=O ZINC000338333830 409920830 /nfs/dbraw/zinc/92/08/30/409920830.db2.gz YFRXJGKOFAGDRT-CQSZACIVSA-N 1 2 315.373 1.536 20 30 DDEDLO Cn1c(N2CC[C@H](NC(=O)NC3CC3)C2)[nH+]c2cccc(F)c21 ZINC000328674460 409952529 /nfs/dbraw/zinc/95/25/29/409952529.db2.gz PZZQQLGKKUUKHO-NSHDSACASA-N 1 2 317.368 1.957 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N(CC1CC1)C[C@H]1C[N@H+](C)CCO1 ZINC000328628475 409940792 /nfs/dbraw/zinc/94/07/92/409940792.db2.gz HHSFEZYOUPFGSS-OAHLLOKOSA-N 1 2 316.405 1.080 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N(CC1CC1)C[C@H]1C[N@@H+](C)CCO1 ZINC000328628475 409940799 /nfs/dbraw/zinc/94/07/99/409940799.db2.gz HHSFEZYOUPFGSS-OAHLLOKOSA-N 1 2 316.405 1.080 20 30 DDEDLO Cn1cc(C#N)cc1-c1nc([C@H]2C[N@@H+]3CCCC[C@H]3CO2)no1 ZINC000351025149 410023732 /nfs/dbraw/zinc/02/37/32/410023732.db2.gz LQACKERAOJQUSC-GXTWGEPZSA-N 1 2 313.361 1.873 20 30 DDEDLO Cn1cc(C#N)cc1-c1nc([C@H]2C[N@H+]3CCCC[C@H]3CO2)no1 ZINC000351025149 410023742 /nfs/dbraw/zinc/02/37/42/410023742.db2.gz LQACKERAOJQUSC-GXTWGEPZSA-N 1 2 313.361 1.873 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)c3cccc(CC#N)c3)CC2)cn1 ZINC000357765133 410236663 /nfs/dbraw/zinc/23/66/63/410236663.db2.gz VVUPBMFSWMKNGI-UHFFFAOYSA-N 1 2 323.400 1.444 20 30 DDEDLO C[C@H](NC(=O)N1CCOCC2(CC2)C1)[C@H](C)[NH+]1CCOCC1 ZINC000329344746 410247015 /nfs/dbraw/zinc/24/70/15/410247015.db2.gz UIVQPSHVJLFCDM-KBPBESRZSA-N 1 2 311.426 1.122 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(=O)N2CCCC2)C1 ZINC000329516327 410340023 /nfs/dbraw/zinc/34/00/23/410340023.db2.gz DKBUHEMNQJAYTG-CYBMUJFWSA-N 1 2 324.425 1.396 20 30 DDEDLO CCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(=O)N2CCCC2)C1 ZINC000329516327 410340027 /nfs/dbraw/zinc/34/00/27/410340027.db2.gz DKBUHEMNQJAYTG-CYBMUJFWSA-N 1 2 324.425 1.396 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[N@H+]2CCOC[C@@H]2C)cc1 ZINC000355072258 410289613 /nfs/dbraw/zinc/28/96/13/410289613.db2.gz PTIGJYDEQHWOKU-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)cc1 ZINC000355072258 410289621 /nfs/dbraw/zinc/28/96/21/410289621.db2.gz PTIGJYDEQHWOKU-AWEZNQCLSA-N 1 2 304.390 1.702 20 30 DDEDLO C[C@H](NC(=O)C[N@@H+]1CCOCC2(CC2)C1)C(=O)N1CCCCC1 ZINC000329452887 410306449 /nfs/dbraw/zinc/30/64/49/410306449.db2.gz MHRVXNFMBXHERE-AWEZNQCLSA-N 1 2 323.437 1.456 20 30 DDEDLO C[C@H](NC(=O)C[N@H+]1CCOCC2(CC2)C1)C(=O)N1CCCCC1 ZINC000329452887 410306452 /nfs/dbraw/zinc/30/64/52/410306452.db2.gz MHRVXNFMBXHERE-AWEZNQCLSA-N 1 2 323.437 1.456 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cnn(C(C)(C)C)c2)CC1 ZINC000298709195 410360602 /nfs/dbraw/zinc/36/06/02/410360602.db2.gz UIDGDLPBWJZMCN-UHFFFAOYSA-N 1 2 319.453 1.058 20 30 DDEDLO C=C(CC)C[N@@H+]1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000358621092 410496984 /nfs/dbraw/zinc/49/69/84/410496984.db2.gz ROUFWCCAGANKKN-AWEZNQCLSA-N 1 2 314.385 1.950 20 30 DDEDLO C=C(CC)C[N@H+]1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000358621092 410496991 /nfs/dbraw/zinc/49/69/91/410496991.db2.gz ROUFWCCAGANKKN-AWEZNQCLSA-N 1 2 314.385 1.950 20 30 DDEDLO CS(=O)(=O)C1(C(=O)N[C@@H]2CCn3cc[nH+]c3C2)CCCC1 ZINC000330267375 410605235 /nfs/dbraw/zinc/60/52/35/410605235.db2.gz AMPAESZYHRYNNV-LLVKDONJSA-N 1 2 311.407 1.512 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cnc3onc(CC)c3c2)CC1 ZINC000299351986 410587848 /nfs/dbraw/zinc/58/78/48/410587848.db2.gz VIVLXEHHSWGZNQ-UHFFFAOYSA-N 1 2 312.373 1.566 20 30 DDEDLO CS(=O)(=O)N1CCC(C/[NH+]=C/c2cccc(O)c2O)CC1 ZINC000299514275 410595661 /nfs/dbraw/zinc/59/56/61/410595661.db2.gz NHNDWBOMAWJNEN-XNTDXEJSSA-N 1 2 312.391 1.188 20 30 DDEDLO CN(CCCn1cc[nH+]c1)S(=O)(=O)CC1(C#N)CCCC1 ZINC000352877140 410683963 /nfs/dbraw/zinc/68/39/63/410683963.db2.gz AWACDFPQDMSHDZ-UHFFFAOYSA-N 1 2 310.423 1.619 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CCC[C@H](c3nnc4n3CCC4)C2)n1 ZINC000356190960 410887756 /nfs/dbraw/zinc/88/77/56/410887756.db2.gz JGHDQNFMWDCWCB-NSHDSACASA-N 1 2 314.418 1.932 20 30 DDEDLO N#Cc1csc(C[N@H+]2CCC[C@H](c3nnc4n3CCC4)C2)n1 ZINC000356190960 410887767 /nfs/dbraw/zinc/88/77/67/410887767.db2.gz JGHDQNFMWDCWCB-NSHDSACASA-N 1 2 314.418 1.932 20 30 DDEDLO CC(=O)NCC[N@H+](Cc1nc(C#N)cs1)C1CCSCC1 ZINC000356333066 410971255 /nfs/dbraw/zinc/97/12/55/410971255.db2.gz ACDBSHMGWIRWQH-UHFFFAOYSA-N 1 2 324.475 1.848 20 30 DDEDLO CC(=O)NCC[N@@H+](Cc1nc(C#N)cs1)C1CCSCC1 ZINC000356333066 410971263 /nfs/dbraw/zinc/97/12/63/410971263.db2.gz ACDBSHMGWIRWQH-UHFFFAOYSA-N 1 2 324.475 1.848 20 30 DDEDLO N#Cc1ccc(NCC(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)nc1 ZINC000539598060 416582006 /nfs/dbraw/zinc/58/20/06/416582006.db2.gz AIIHQFGZMFPZKK-AWEZNQCLSA-N 1 2 322.372 1.155 20 30 DDEDLO N#CC1(C[C@H](O)Cn2c3ccccc3[nH+]c2NCCO)CC1 ZINC000601951633 416624952 /nfs/dbraw/zinc/62/49/52/416624952.db2.gz CNZLCIOPRXKBLO-LBPRGKRZSA-N 1 2 300.362 1.495 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)N2CC[NH+](Cc3ccco3)CC2)n1 ZINC000610564274 416659992 /nfs/dbraw/zinc/65/99/92/416659992.db2.gz GZTWZCNQHUJHEP-UHFFFAOYSA-N 1 2 314.349 1.234 20 30 DDEDLO C#CCC1(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)CCCCC1 ZINC000373753769 418457716 /nfs/dbraw/zinc/45/77/16/418457716.db2.gz LOAHVUGLUHHFSP-MRXNPFEDSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCO[C@@H](COC(C)C)C1 ZINC000366740683 418511610 /nfs/dbraw/zinc/51/16/10/418511610.db2.gz TUBVEFQCMWBWCY-HZPDHXFCSA-N 1 2 310.438 1.701 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCO[C@@H](COC(C)C)C1 ZINC000366740683 418511613 /nfs/dbraw/zinc/51/16/13/418511613.db2.gz TUBVEFQCMWBWCY-HZPDHXFCSA-N 1 2 310.438 1.701 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)on1 ZINC000374385813 418525584 /nfs/dbraw/zinc/52/55/84/418525584.db2.gz MMWWISOOTPMFKS-SJKOYZFVSA-N 1 2 308.341 1.829 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)on1 ZINC000374385813 418525587 /nfs/dbraw/zinc/52/55/87/418525587.db2.gz MMWWISOOTPMFKS-SJKOYZFVSA-N 1 2 308.341 1.829 20 30 DDEDLO COc1ccccc1[C@H]1CN(C(=O)c2cc(C#N)cn2C)CC[NH2+]1 ZINC000367092586 418558095 /nfs/dbraw/zinc/55/80/95/418558095.db2.gz OVTZAZGRXGYXEC-OAHLLOKOSA-N 1 2 324.384 1.692 20 30 DDEDLO COCC[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)Cc1cccnc1 ZINC000191239603 222099867 /nfs/dbraw/zinc/09/98/67/222099867.db2.gz GVFLUIVWHBLGCC-KRWDZBQOSA-N 1 2 316.405 1.338 20 30 DDEDLO COCC[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)Cc1cccnc1 ZINC000191239603 222099869 /nfs/dbraw/zinc/09/98/69/222099869.db2.gz GVFLUIVWHBLGCC-KRWDZBQOSA-N 1 2 316.405 1.338 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCN(C)C(=O)[C@H]2C)cc1OC ZINC000190088491 222068114 /nfs/dbraw/zinc/06/81/14/222068114.db2.gz KVFSHNPETRFHCM-CYBMUJFWSA-N 1 2 304.390 1.923 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCN(C)C(=O)[C@H]2C)cc1OC ZINC000190088491 222068119 /nfs/dbraw/zinc/06/81/19/222068119.db2.gz KVFSHNPETRFHCM-CYBMUJFWSA-N 1 2 304.390 1.923 20 30 DDEDLO O=S(=O)([C@@H]1CC[N@H+](CC#Cc2ccccc2)C1)N1CCCC1 ZINC000192078415 222119827 /nfs/dbraw/zinc/11/98/27/222119827.db2.gz YOHSBDQKTDTNMH-QGZVFWFLSA-N 1 2 318.442 1.538 20 30 DDEDLO O=S(=O)([C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)N1CCCC1 ZINC000192078415 222119831 /nfs/dbraw/zinc/11/98/31/222119831.db2.gz YOHSBDQKTDTNMH-QGZVFWFLSA-N 1 2 318.442 1.538 20 30 DDEDLO CCOC(=O)C[N@@H+]1CCCN(c2cc(C#N)cc(C)n2)CC1 ZINC000367326936 418586198 /nfs/dbraw/zinc/58/61/98/418586198.db2.gz NLZGUQQHUIPDCJ-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO CCOC(=O)C[N@H+]1CCCN(c2cc(C#N)cc(C)n2)CC1 ZINC000367326936 418586201 /nfs/dbraw/zinc/58/62/01/418586201.db2.gz NLZGUQQHUIPDCJ-UHFFFAOYSA-N 1 2 302.378 1.337 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000296484384 418587713 /nfs/dbraw/zinc/58/77/13/418587713.db2.gz YNHNQUUVWSMGKM-LBPRGKRZSA-N 1 2 310.423 1.859 20 30 DDEDLO CCn1cc(C[N@H+](CCO)Cc2cc(C#N)ccc2F)cn1 ZINC000361350972 418632663 /nfs/dbraw/zinc/63/26/63/418632663.db2.gz JFXDQFSDZOMOJK-UHFFFAOYSA-N 1 2 302.353 1.908 20 30 DDEDLO CCn1cc(C[N@@H+](CCO)Cc2cc(C#N)ccc2F)cn1 ZINC000361350972 418632664 /nfs/dbraw/zinc/63/26/64/418632664.db2.gz JFXDQFSDZOMOJK-UHFFFAOYSA-N 1 2 302.353 1.908 20 30 DDEDLO N#Cc1ccc(NCC(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)nc1 ZINC000345444039 418636194 /nfs/dbraw/zinc/63/61/94/418636194.db2.gz KUWYRZRTYHGSTN-UHFFFAOYSA-N 1 2 318.340 2.394 20 30 DDEDLO C(#CC[N@@H+]1CCOC2(CCC2)C1)CN1CCOC2(CCC2)C1 ZINC000377403210 418709418 /nfs/dbraw/zinc/70/94/18/418709418.db2.gz WBVLPECWHZLNCP-UHFFFAOYSA-N 1 2 304.434 1.500 20 30 DDEDLO C(#CC[N@H+]1CCOC2(CCC2)C1)CN1CCOC2(CCC2)C1 ZINC000377403210 418709419 /nfs/dbraw/zinc/70/94/19/418709419.db2.gz WBVLPECWHZLNCP-UHFFFAOYSA-N 1 2 304.434 1.500 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000377835580 418715838 /nfs/dbraw/zinc/71/58/38/418715838.db2.gz VCQDXBPCUZPGLX-AWEZNQCLSA-N 1 2 304.346 1.331 20 30 DDEDLO COC(=O)C[C@H]1COCC[N@H+]1Cc1ccc(C#N)c(OC)c1 ZINC000377835580 418715839 /nfs/dbraw/zinc/71/58/39/418715839.db2.gz VCQDXBPCUZPGLX-AWEZNQCLSA-N 1 2 304.346 1.331 20 30 DDEDLO C[C@H](c1nncn1C)[N@@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000376551762 418698682 /nfs/dbraw/zinc/69/86/82/418698682.db2.gz CGBZXDSVFKPTEB-CYBMUJFWSA-N 1 2 311.393 1.355 20 30 DDEDLO C[C@H](c1nncn1C)[N@H+]1CCCN(c2ncccc2C#N)CC1 ZINC000376551762 418698685 /nfs/dbraw/zinc/69/86/85/418698685.db2.gz CGBZXDSVFKPTEB-CYBMUJFWSA-N 1 2 311.393 1.355 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@@H+]1CCOc1cccc(C#N)c1 ZINC000376879238 418702673 /nfs/dbraw/zinc/70/26/73/418702673.db2.gz CGIPAMRLFLAYBG-ZBFHGGJFSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)CC[N@H+]1CCOc1cccc(C#N)c1 ZINC000376879238 418702676 /nfs/dbraw/zinc/70/26/76/418702676.db2.gz CGIPAMRLFLAYBG-ZBFHGGJFSA-N 1 2 318.373 1.589 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCC(C#N)(c3ccccn3)CC2)C1=O ZINC000369205200 418729461 /nfs/dbraw/zinc/72/94/61/418729461.db2.gz IWTLICCZIXVEST-HNNXBMFYSA-N 1 2 310.401 1.726 20 30 DDEDLO C#CCSCCNC(=O)[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000369552742 418734868 /nfs/dbraw/zinc/73/48/68/418734868.db2.gz IYOVYAXUOWXFLY-AWEZNQCLSA-N 1 2 303.431 1.781 20 30 DDEDLO CCS(=O)(=O)NCC[N@@H+]1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000369803310 418738306 /nfs/dbraw/zinc/73/83/06/418738306.db2.gz KZMNDPZOQYGOFV-HZPDHXFCSA-N 1 2 321.446 1.697 20 30 DDEDLO CCS(=O)(=O)NCC[N@H+]1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000369803310 418738307 /nfs/dbraw/zinc/73/83/07/418738307.db2.gz KZMNDPZOQYGOFV-HZPDHXFCSA-N 1 2 321.446 1.697 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)CC[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000370232727 418742191 /nfs/dbraw/zinc/74/21/91/418742191.db2.gz YGCKWMCRSTWGSB-HZPDHXFCSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@H]1C[C@H](OC)CC[N@H+]1CCOc1ccc(C#N)cc1 ZINC000370232727 418742194 /nfs/dbraw/zinc/74/21/94/418742194.db2.gz YGCKWMCRSTWGSB-HZPDHXFCSA-N 1 2 318.373 1.589 20 30 DDEDLO CNC(=O)[C@@H]1CC[N@H+](Cc2ccc(Br)cc2C#N)C1 ZINC000398499670 418762785 /nfs/dbraw/zinc/76/27/85/418762785.db2.gz XCLIJWIVWMAHRM-LLVKDONJSA-N 1 2 322.206 1.889 20 30 DDEDLO CNC(=O)[C@@H]1CC[N@@H+](Cc2ccc(Br)cc2C#N)C1 ZINC000398499670 418762786 /nfs/dbraw/zinc/76/27/86/418762786.db2.gz XCLIJWIVWMAHRM-LLVKDONJSA-N 1 2 322.206 1.889 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCO[C@@H]2CCC[C@H]21)C1(C#N)CCCCC1 ZINC000363616562 418767775 /nfs/dbraw/zinc/76/77/75/418767775.db2.gz FHELGCIUVJAENV-HUUCEWRRSA-N 1 2 305.422 1.925 20 30 DDEDLO CN(C(=O)C[N@H+]1CCO[C@@H]2CCC[C@H]21)C1(C#N)CCCCC1 ZINC000363616562 418767779 /nfs/dbraw/zinc/76/77/79/418767779.db2.gz FHELGCIUVJAENV-HUUCEWRRSA-N 1 2 305.422 1.925 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1ccc(-n2cc[nH+]c2)nc1 ZINC000363779427 418769717 /nfs/dbraw/zinc/76/97/17/418769717.db2.gz ONXZHTJOQVYVDS-ZDUSSCGKSA-N 1 2 301.350 1.004 20 30 DDEDLO C#CCNC(=O)N1CCC[N@@H+](Cc2csc(CC)n2)CC1 ZINC000364243392 418774122 /nfs/dbraw/zinc/77/41/22/418774122.db2.gz LWEXGXPNBOUCDY-UHFFFAOYSA-N 1 2 306.435 1.556 20 30 DDEDLO C#CCNC(=O)N1CCC[N@H+](Cc2csc(CC)n2)CC1 ZINC000364243392 418774125 /nfs/dbraw/zinc/77/41/25/418774125.db2.gz LWEXGXPNBOUCDY-UHFFFAOYSA-N 1 2 306.435 1.556 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@@H]1CCN(c2c(C#N)cccc2C#N)C1 ZINC000368935024 418726756 /nfs/dbraw/zinc/72/67/56/418726756.db2.gz ZAVWYINJYSJELW-MRXNPFEDSA-N 1 2 318.384 1.931 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@@H]1CCN(c2c(C#N)cccc2C#N)C1 ZINC000368935024 418726759 /nfs/dbraw/zinc/72/67/59/418726759.db2.gz ZAVWYINJYSJELW-MRXNPFEDSA-N 1 2 318.384 1.931 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2cccnc2C#N)C[C@H]1C ZINC000408376945 418800156 /nfs/dbraw/zinc/80/01/56/418800156.db2.gz VDJXXFYQGORJAB-VXGBXAGGSA-N 1 2 308.407 1.056 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2cccnc2C#N)C[C@H]1C ZINC000408376945 418800158 /nfs/dbraw/zinc/80/01/58/418800158.db2.gz VDJXXFYQGORJAB-VXGBXAGGSA-N 1 2 308.407 1.056 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCO[C@@H](C2CCCCC2)C1 ZINC000364722002 418803796 /nfs/dbraw/zinc/80/37/96/418803796.db2.gz MVQSBNOJRADYPR-CQSZACIVSA-N 1 2 309.410 1.279 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCO[C@@H](C2CCCCC2)C1 ZINC000364722002 418803798 /nfs/dbraw/zinc/80/37/98/418803798.db2.gz MVQSBNOJRADYPR-CQSZACIVSA-N 1 2 309.410 1.279 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1ccc(F)cc1C#N)CC2 ZINC000371699296 418809954 /nfs/dbraw/zinc/80/99/54/418809954.db2.gz FPCUERYSLCIDEX-UHFFFAOYSA-N 1 2 320.349 1.407 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@H+](CC[C@H]3CCOC3=O)CCO2)c1 ZINC000372344184 418859100 /nfs/dbraw/zinc/85/91/00/418859100.db2.gz FYXXWPSUQIXXDY-GOEBONIOSA-N 1 2 300.358 1.885 20 30 DDEDLO N#Cc1cccc([C@H]2C[N@@H+](CC[C@H]3CCOC3=O)CCO2)c1 ZINC000372344184 418859101 /nfs/dbraw/zinc/85/91/01/418859101.db2.gz FYXXWPSUQIXXDY-GOEBONIOSA-N 1 2 300.358 1.885 20 30 DDEDLO CC(C)NC(=O)CN1CCC[N@H+](Cc2ccc(C#N)o2)CC1 ZINC000372391960 418863952 /nfs/dbraw/zinc/86/39/52/418863952.db2.gz QZIXEZSGIDFSKX-UHFFFAOYSA-N 1 2 304.394 1.184 20 30 DDEDLO CC(C)NC(=O)CN1CCC[N@@H+](Cc2ccc(C#N)o2)CC1 ZINC000372391960 418863956 /nfs/dbraw/zinc/86/39/56/418863956.db2.gz QZIXEZSGIDFSKX-UHFFFAOYSA-N 1 2 304.394 1.184 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@H](NC(=O)[C@H](C)Oc1ccc(C#N)cc1)C2 ZINC000372549949 418882974 /nfs/dbraw/zinc/88/29/74/418882974.db2.gz JEBVRFCQQRWLSA-ZFWWWQNUSA-N 1 2 324.384 1.962 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1C[C@H](CO)OC(C)(C)C1 ZINC000420948040 419375189 /nfs/dbraw/zinc/37/51/89/419375189.db2.gz VDGRNDQEQJZXST-UONOGXRCSA-N 1 2 323.437 1.189 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1C[C@H](CO)OC(C)(C)C1 ZINC000420948040 419375193 /nfs/dbraw/zinc/37/51/93/419375193.db2.gz VDGRNDQEQJZXST-UONOGXRCSA-N 1 2 323.437 1.189 20 30 DDEDLO COC(=O)[C@]1(C)CCC[N@@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000426756729 419542687 /nfs/dbraw/zinc/54/26/87/419542687.db2.gz ABCGJVCGQCHJCD-KRWDZBQOSA-N 1 2 315.373 1.202 20 30 DDEDLO COC(=O)[C@]1(C)CCC[N@H+]1CC(=O)NCc1cccc(C#N)c1 ZINC000426756729 419542696 /nfs/dbraw/zinc/54/26/96/419542696.db2.gz ABCGJVCGQCHJCD-KRWDZBQOSA-N 1 2 315.373 1.202 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)c2ncc(C#N)cc2Cl)CCO1 ZINC000428067543 419806968 /nfs/dbraw/zinc/80/69/68/419806968.db2.gz UTRFXKIMZQHLAH-GFCCVEGCSA-N 1 2 322.796 1.447 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)c2ncc(C#N)cc2Cl)CCO1 ZINC000428067543 419806977 /nfs/dbraw/zinc/80/69/77/419806977.db2.gz UTRFXKIMZQHLAH-GFCCVEGCSA-N 1 2 322.796 1.447 20 30 DDEDLO C=CC[N@H+](Cc1nnc(C)[nH]1)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000428251742 419837881 /nfs/dbraw/zinc/83/78/81/419837881.db2.gz YDPZPBQBRLIREH-GFCCVEGCSA-N 1 2 324.392 1.838 20 30 DDEDLO C=CC[N@@H+](Cc1nnc(C)[nH]1)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000428251742 419837886 /nfs/dbraw/zinc/83/78/86/419837886.db2.gz YDPZPBQBRLIREH-GFCCVEGCSA-N 1 2 324.392 1.838 20 30 DDEDLO C[C@@]1(F)C[NH2+]CCN(S(=O)(=O)Cc2cccc(C#N)c2)C1 ZINC000420432845 420282718 /nfs/dbraw/zinc/28/27/18/420282718.db2.gz BWJQQRSXBWUOOO-CQSZACIVSA-N 1 2 311.382 1.021 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@H+](CC(=O)N2CCCC2)CC1 ZINC000424651689 420320453 /nfs/dbraw/zinc/32/04/53/420320453.db2.gz DKJXOAXLMSFERN-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N2CCCC2)CC1 ZINC000424651689 420320457 /nfs/dbraw/zinc/32/04/57/420320457.db2.gz DKJXOAXLMSFERN-UHFFFAOYSA-N 1 2 321.465 1.745 20 30 DDEDLO C[C@H]1CN(c2ccc(C#N)cc2Br)CC[N@@H+]1CCO ZINC000302350443 230222660 /nfs/dbraw/zinc/22/26/60/230222660.db2.gz BLEMGMVSZIXJDB-NSHDSACASA-N 1 2 324.222 1.824 20 30 DDEDLO C[C@H]1CN(c2ccc(C#N)cc2Br)CC[N@H+]1CCO ZINC000302350443 230222662 /nfs/dbraw/zinc/22/26/62/230222662.db2.gz BLEMGMVSZIXJDB-NSHDSACASA-N 1 2 324.222 1.824 20 30 DDEDLO COc1ccccc1[C@H]1CN(C(=O)c2ccc(C#N)n2C)CC[NH2+]1 ZINC000451657886 420607330 /nfs/dbraw/zinc/60/73/30/420607330.db2.gz CBUYHBHUQLDIKJ-OAHLLOKOSA-N 1 2 324.384 1.692 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[NH2+][C@@H](CO)[C@@H]3CCCO3)o2)c1 ZINC000447906216 420815287 /nfs/dbraw/zinc/81/52/87/420815287.db2.gz OFNJAGBHSBBWRP-KBPBESRZSA-N 1 2 314.345 1.238 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)C(=O)N1CC[NH+](CC)CC1 ZINC000459981943 420906716 /nfs/dbraw/zinc/90/67/16/420906716.db2.gz BEACDLCFHMODHI-UHFFFAOYSA-N 1 2 315.417 1.365 20 30 DDEDLO CN(CCOCc1ccc(C#N)cc1)C(=O)C=Cc1c[nH]c[nH+]1 ZINC000493431786 420848956 /nfs/dbraw/zinc/84/89/56/420848956.db2.gz ZVHMANSUUWCPHL-VOTSOKGWSA-N 1 2 310.357 1.970 20 30 DDEDLO C[C@@H](NC(=O)N[C@H]1CC[C@@H](CC#N)C1)[C@@H](C)[NH+]1CCOCC1 ZINC000454552259 420881654 /nfs/dbraw/zinc/88/16/54/420881654.db2.gz SZYXAGBUMKZWRB-KBXIAJHMSA-N 1 2 308.426 1.477 20 30 DDEDLO CN(C)c1[nH+]cccc1CNc1nc2c(cc1C#N)COCC2 ZINC000450257654 421171914 /nfs/dbraw/zinc/17/19/14/421171914.db2.gz MTFUYKPDUHMQJM-UHFFFAOYSA-N 1 2 309.373 2.099 20 30 DDEDLO C#CC[N@@H+](CC(=O)N1c2ccccc2NC(=O)C1(C)C)C1CC1 ZINC000491473563 421197676 /nfs/dbraw/zinc/19/76/76/421197676.db2.gz FLQBAOZDGMPNNY-UHFFFAOYSA-N 1 2 311.385 1.848 20 30 DDEDLO C#CC[N@H+](CC(=O)N1c2ccccc2NC(=O)C1(C)C)C1CC1 ZINC000491473563 421197682 /nfs/dbraw/zinc/19/76/82/421197682.db2.gz FLQBAOZDGMPNNY-UHFFFAOYSA-N 1 2 311.385 1.848 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC(Oc2ccc(C#N)cc2)CC1 ZINC000527187881 421363009 /nfs/dbraw/zinc/36/30/09/421363009.db2.gz BUJPCJYKEXQPPA-UHFFFAOYSA-N 1 2 324.384 1.904 20 30 DDEDLO COc1cc(C[NH+]2CCN(C(=O)CC#N)CC2)ccc1SC ZINC000563366123 421492341 /nfs/dbraw/zinc/49/23/41/421492341.db2.gz VTGAULDKVYLARX-UHFFFAOYSA-N 1 2 319.430 1.975 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cnc(C#N)c(Cl)c3)C[C@H]21 ZINC000515337397 421492585 /nfs/dbraw/zinc/49/25/85/421492585.db2.gz LIBMIXLYTZHBOG-OLZOCXBDSA-N 1 2 321.768 1.153 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cnc(C#N)c(Cl)c3)C[C@H]21 ZINC000515337397 421492588 /nfs/dbraw/zinc/49/25/88/421492588.db2.gz LIBMIXLYTZHBOG-OLZOCXBDSA-N 1 2 321.768 1.153 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC000515412092 421501169 /nfs/dbraw/zinc/50/11/69/421501169.db2.gz GGVIBKGMVKOZNQ-KBPBESRZSA-N 1 2 321.421 1.207 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC000515412092 421501171 /nfs/dbraw/zinc/50/11/71/421501171.db2.gz GGVIBKGMVKOZNQ-KBPBESRZSA-N 1 2 321.421 1.207 20 30 DDEDLO CCN1CCN(C(=O)c2ncc(C#N)cc2C)C[C@H]1c1[nH]cc[nH+]1 ZINC000551981098 421563386 /nfs/dbraw/zinc/56/33/86/421563386.db2.gz NYXDHHMQEXZOTG-AWEZNQCLSA-N 1 2 324.388 1.504 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](CO)[C@@H](O)C2)c(OC(F)F)c1 ZINC000563769726 421540235 /nfs/dbraw/zinc/54/02/35/421540235.db2.gz HAMDISWSPLDHFM-OLZOCXBDSA-N 1 2 312.316 1.335 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](CO)[C@@H](O)C2)c(OC(F)F)c1 ZINC000563769726 421540239 /nfs/dbraw/zinc/54/02/39/421540239.db2.gz HAMDISWSPLDHFM-OLZOCXBDSA-N 1 2 312.316 1.335 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000569669842 421626960 /nfs/dbraw/zinc/62/69/60/421626960.db2.gz IQHLHHGZVVSSPH-CAOSSQGBSA-N 1 2 323.437 1.130 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000569669842 421626963 /nfs/dbraw/zinc/62/69/63/421626963.db2.gz IQHLHHGZVVSSPH-CAOSSQGBSA-N 1 2 323.437 1.130 20 30 DDEDLO N#CCC(=O)N1CC[NH+](Cc2ccc(-c3ccccn3)nc2)CC1 ZINC000570525128 421651732 /nfs/dbraw/zinc/65/17/32/421651732.db2.gz IPWFOMJSPRFMCW-UHFFFAOYSA-N 1 2 321.384 1.701 20 30 DDEDLO C=CCC[C@H]1NC(=O)N(C[C@@H]2CCCn3cc(C)[nH+]c32)C1=O ZINC000571186605 421695638 /nfs/dbraw/zinc/69/56/38/421695638.db2.gz VJKRUQKSVYKXKZ-QWHCGFSZSA-N 1 2 302.378 1.956 20 30 DDEDLO Cc1cc(N(C)CCCc2[nH]nc(N)c2C#N)nc(C2CC2)[nH+]1 ZINC000521058063 421770993 /nfs/dbraw/zinc/77/09/93/421770993.db2.gz LSSDFWVNYQKERT-UHFFFAOYSA-N 1 2 311.393 1.908 20 30 DDEDLO C[C@@H]1CN(c2ccc(Nc3ncncc3C#N)c[nH+]2)C[C@@H](C)O1 ZINC000581069391 421892423 /nfs/dbraw/zinc/89/24/23/421892423.db2.gz RNOOOKWMBCFYST-VXGBXAGGSA-N 1 2 310.361 1.522 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000583503156 422175709 /nfs/dbraw/zinc/17/57/09/422175709.db2.gz RULYAEJSIGCNRM-CQSZACIVSA-N 1 2 322.390 1.647 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000628825711 422342645 /nfs/dbraw/zinc/34/26/45/422342645.db2.gz PGAZRGITEXQGEM-MRXNPFEDSA-N 1 2 306.410 1.764 20 30 DDEDLO COc1c(N)[nH+]cnc1NCCSCc1ccccc1C#N ZINC000594543760 422349696 /nfs/dbraw/zinc/34/96/96/422349696.db2.gz PPGPGJLSSOCFMH-UHFFFAOYSA-N 1 2 315.402 2.284 20 30 DDEDLO COc1c(N)nc[nH+]c1NCCSCc1ccccc1C#N ZINC000594543760 422349699 /nfs/dbraw/zinc/34/96/99/422349699.db2.gz PPGPGJLSSOCFMH-UHFFFAOYSA-N 1 2 315.402 2.284 20 30 DDEDLO Cc1ccc([C@H](C[NH+]2CCOCC2)Nc2cc(C#N)cnn2)cc1 ZINC000596061964 422362459 /nfs/dbraw/zinc/36/24/59/422362459.db2.gz DCUCBWCBMIYEMN-KRWDZBQOSA-N 1 2 323.400 2.142 20 30 DDEDLO C[N@H+](Cc1cc(Cl)cc(S(N)(=O)=O)c1)CC(C)(C)C#N ZINC000575752656 422322982 /nfs/dbraw/zinc/32/29/82/422322982.db2.gz NSHYQRIUMQRVLT-UHFFFAOYSA-N 1 2 315.826 1.969 20 30 DDEDLO C[N@@H+](Cc1cc(Cl)cc(S(N)(=O)=O)c1)CC(C)(C)C#N ZINC000575752656 422322985 /nfs/dbraw/zinc/32/29/85/422322985.db2.gz NSHYQRIUMQRVLT-UHFFFAOYSA-N 1 2 315.826 1.969 20 30 DDEDLO C=CCN([C@@H](c1[nH+]ccn1C)C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000637963115 422513904 /nfs/dbraw/zinc/51/39/04/422513904.db2.gz TZBFTXHYZJVVSJ-UONOGXRCSA-N 1 2 309.435 1.546 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000636005836 422557355 /nfs/dbraw/zinc/55/73/55/422557355.db2.gz PUCVXSUBFORBNC-NVXWUHKLSA-N 1 2 318.417 1.713 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000636005836 422557359 /nfs/dbraw/zinc/55/73/59/422557359.db2.gz PUCVXSUBFORBNC-NVXWUHKLSA-N 1 2 318.417 1.713 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[NH2+]Cc2noc(C3CC3)n2)cc1 ZINC000578499746 422584334 /nfs/dbraw/zinc/58/43/34/422584334.db2.gz YSCVSIUMPJPRNA-ZDUSSCGKSA-N 1 2 314.345 1.348 20 30 DDEDLO N#Cc1cnn2cc(C[N@@H+]3CCOC[C@H]3CC3CCC3)cnc12 ZINC000578627423 422612475 /nfs/dbraw/zinc/61/24/75/422612475.db2.gz VOHQSTZKLOHSBD-MRXNPFEDSA-N 1 2 311.389 1.992 20 30 DDEDLO N#Cc1cnn2cc(C[N@H+]3CCOC[C@H]3CC3CCC3)cnc12 ZINC000578627423 422612481 /nfs/dbraw/zinc/61/24/81/422612481.db2.gz VOHQSTZKLOHSBD-MRXNPFEDSA-N 1 2 311.389 1.992 20 30 DDEDLO C=CCCn1cc(CN2CCN(c3cc(C)cc[nH+]3)CC2)nn1 ZINC000653570881 423533877 /nfs/dbraw/zinc/53/38/77/423533877.db2.gz IDLYFTMUSRAYKT-UHFFFAOYSA-N 1 2 312.421 1.880 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(C(=O)N(CC)CC)CC2)nn1 ZINC000653525201 423513196 /nfs/dbraw/zinc/51/31/96/423513196.db2.gz FHFLCTHRNBBLRZ-UHFFFAOYSA-N 1 2 319.453 1.935 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000644944247 424068395 /nfs/dbraw/zinc/06/83/95/424068395.db2.gz LULZRNAWCDHWPQ-KKUMJFAQSA-N 1 2 316.405 1.551 20 30 DDEDLO CC#CC[C@@H](CO)NC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000645207961 424237725 /nfs/dbraw/zinc/23/77/25/424237725.db2.gz TYXRDHXXMFSIHT-HNNXBMFYSA-N 1 2 312.373 1.446 20 30 DDEDLO C=CCOCCCNc1cc(N2CC[C@H]([C@H](C)O)C2)nc[nH+]1 ZINC000664387795 424570963 /nfs/dbraw/zinc/57/09/63/424570963.db2.gz IDMNWTBDPUGQBA-KBPBESRZSA-N 1 2 306.410 1.688 20 30 DDEDLO C=CCOCCCNc1cc(N2CC[C@H]([C@H](C)O)C2)[nH+]cn1 ZINC000664387795 424570968 /nfs/dbraw/zinc/57/09/68/424570968.db2.gz IDMNWTBDPUGQBA-KBPBESRZSA-N 1 2 306.410 1.688 20 30 DDEDLO C[C@@H]1C[NH+](CCN(C)C(=O)c2ccc(C#N)cc2)C[C@@H](C)O1 ZINC000345389666 267051929 /nfs/dbraw/zinc/05/19/29/267051929.db2.gz PDNKLZRVMSDFRK-ZIAGYGMSSA-N 1 2 301.390 1.739 20 30 DDEDLO Cc1c(C#N)cccc1C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000338116301 267277567 /nfs/dbraw/zinc/27/75/67/267277567.db2.gz KJMPVOFVNGILDT-ZIAGYGMSSA-N 1 2 301.390 1.706 20 30 DDEDLO N#Cc1cc(NC(=O)NC[C@@H]2C[N@@H+](C3CC3)CCO2)ccc1F ZINC000367772840 268009502 /nfs/dbraw/zinc/00/95/02/268009502.db2.gz PVPNHHQNSHTGAP-CQSZACIVSA-N 1 2 318.352 1.682 20 30 DDEDLO N#Cc1cc(NC(=O)NC[C@@H]2C[N@H+](C3CC3)CCO2)ccc1F ZINC000367772840 268009504 /nfs/dbraw/zinc/00/95/04/268009504.db2.gz PVPNHHQNSHTGAP-CQSZACIVSA-N 1 2 318.352 1.682 20 30 DDEDLO N#Cc1ccc(CNC(=O)CN2CCC[C@@H]2c2[nH]cc[nH+]2)cc1 ZINC000374867440 268067384 /nfs/dbraw/zinc/06/73/84/268067384.db2.gz ZSGRGOAJIHBQGT-OAHLLOKOSA-N 1 2 309.373 1.735 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H]2Cn2cc[nH+]c2)s1 ZINC000368535564 268192819 /nfs/dbraw/zinc/19/28/19/268192819.db2.gz XUKPGLOWRHHESD-NSHDSACASA-N 1 2 322.415 1.670 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCn3c[nH+]cc3C2)cc1Cl ZINC000377783760 268196561 /nfs/dbraw/zinc/19/65/61/268196561.db2.gz PLDIQPNTLSDLAE-UHFFFAOYSA-N 1 2 322.777 1.613 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(N)=O)C2)c(OC(F)F)c1 ZINC000342877099 271553400 /nfs/dbraw/zinc/55/34/00/271553400.db2.gz VYTBUYBVHSMADA-LLVKDONJSA-N 1 2 310.304 1.402 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(N)=O)C2)c(OC(F)F)c1 ZINC000342877099 271553403 /nfs/dbraw/zinc/55/34/03/271553403.db2.gz VYTBUYBVHSMADA-LLVKDONJSA-N 1 2 310.304 1.402 20 30 DDEDLO C#CCN(C(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1)C1CC1 ZINC000491230104 277678432 /nfs/dbraw/zinc/67/84/32/277678432.db2.gz KVYSMRGPNYTEGP-INIZCTEOSA-N 1 2 323.462 1.002 20 30 DDEDLO Cc1c[nH+]c(CN2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)n1C ZINC000342810457 279012949 /nfs/dbraw/zinc/01/29/49/279012949.db2.gz VUPXWOHGWBFPAZ-FZKQIMNGSA-N 1 2 321.384 1.574 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@]2(CCCOC2)C1 ZINC000352362345 280102466 /nfs/dbraw/zinc/10/24/66/280102466.db2.gz OBYFJEJSOKGARK-DJIMGWMZSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@]2(CCCOC2)C1 ZINC000352362345 280102468 /nfs/dbraw/zinc/10/24/68/280102468.db2.gz OBYFJEJSOKGARK-DJIMGWMZSA-N 1 2 323.437 1.311 20 30 DDEDLO CO[C@@H]1[C@H](C)[C@H](NC(=O)N2CCC(C#N)([NH+](C)C)CC2)C1(C)C ZINC000332469430 294013397 /nfs/dbraw/zinc/01/33/97/294013397.db2.gz RKHPPSAKRPTLSX-HZSPNIEDSA-N 1 2 322.453 1.675 20 30 DDEDLO Cc1cc(S(=O)(=O)N2C[C@@H](C)[N@@H+](C)C[C@@H]2C)ccc1C#N ZINC000443877857 301393735 /nfs/dbraw/zinc/39/37/35/301393735.db2.gz OLXDHUZTKLTASZ-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(S(=O)(=O)N2C[C@@H](C)[N@H+](C)C[C@@H]2C)ccc1C#N ZINC000443877857 301393739 /nfs/dbraw/zinc/39/37/39/301393739.db2.gz OLXDHUZTKLTASZ-OLZOCXBDSA-N 1 2 307.419 1.580 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)c(F)c1 ZINC000547968271 307751427 /nfs/dbraw/zinc/75/14/27/307751427.db2.gz LVCBDDWJJMEHCK-NSHDSACASA-N 1 2 320.349 1.187 20 30 DDEDLO Cc1cc(N2CCN(c3cnccc3C#N)CC2)c(C#N)c[nH+]1 ZINC000565594843 308034178 /nfs/dbraw/zinc/03/41/78/308034178.db2.gz ANUUBJPHUDLSQO-UHFFFAOYSA-N 1 2 304.357 1.855 20 30 DDEDLO CC[C@H]1C[N@H+](C)CCN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000577343395 308379928 /nfs/dbraw/zinc/37/99/28/308379928.db2.gz XDCGXUPKVMBVCW-HNNXBMFYSA-N 1 2 321.446 1.358 20 30 DDEDLO CC[C@H]1C[N@@H+](C)CCN1CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000577343395 308379929 /nfs/dbraw/zinc/37/99/29/308379929.db2.gz XDCGXUPKVMBVCW-HNNXBMFYSA-N 1 2 321.446 1.358 20 30 DDEDLO COc1ccc(N2CC[NH+](Cc3ccc(C#N)cn3)CC2)nc1 ZINC000579137392 308510828 /nfs/dbraw/zinc/51/08/28/308510828.db2.gz AYFDZAMWWVLXJB-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO Cc1c(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cnn1CCC(C)C ZINC000569367950 332064299 /nfs/dbraw/zinc/06/42/99/332064299.db2.gz UUUKNERDCXVBBX-INIZCTEOSA-N 1 2 305.426 1.811 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)[C@]2(C#N)CC23CCCC3)CCO1 ZINC000560260154 332324886 /nfs/dbraw/zinc/32/48/86/332324886.db2.gz VETTYFZARNFDOH-WMLDXEAASA-N 1 2 305.422 1.687 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)[C@]2(C#N)CC23CCCC3)CCO1 ZINC000560260154 332324887 /nfs/dbraw/zinc/32/48/87/332324887.db2.gz VETTYFZARNFDOH-WMLDXEAASA-N 1 2 305.422 1.687 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@H+](C)[C@@H]1CCNC1=O ZINC000340219093 334150976 /nfs/dbraw/zinc/15/09/76/334150976.db2.gz LJEQZQZEAWOSDS-DZGCQCFKSA-N 1 2 314.389 1.142 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+](C)[C@@H]1CCNC1=O ZINC000340219093 334150977 /nfs/dbraw/zinc/15/09/77/334150977.db2.gz LJEQZQZEAWOSDS-DZGCQCFKSA-N 1 2 314.389 1.142 20 30 DDEDLO N#CC1(C(=O)N2CC[NH+](Cc3ccsc3)CC2)CCOCC1 ZINC000535259575 334383071 /nfs/dbraw/zinc/38/30/71/334383071.db2.gz CYIJCVBNMYUGQS-UHFFFAOYSA-N 1 2 319.430 1.713 20 30 DDEDLO N#Cc1cccc(NC(=O)N2CC[NH+](Cc3cccnc3)CC2)n1 ZINC000584349849 336059229 /nfs/dbraw/zinc/05/92/29/336059229.db2.gz VZLYWSQOHSQRRM-UHFFFAOYSA-N 1 2 322.372 1.698 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[N@H+](Cc2ccccc2)[C@@H](C)C1 ZINC000396341123 336278890 /nfs/dbraw/zinc/27/88/90/336278890.db2.gz BCVCNHVOFYCPIR-KBPBESRZSA-N 1 2 307.419 1.435 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[N@@H+](Cc2ccccc2)[C@@H](C)C1 ZINC000396341123 336278891 /nfs/dbraw/zinc/27/88/91/336278891.db2.gz BCVCNHVOFYCPIR-KBPBESRZSA-N 1 2 307.419 1.435 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(C(=O)CCCC#N)C1 ZINC000360547138 336714485 /nfs/dbraw/zinc/71/44/85/336714485.db2.gz PUEZIUKEIQHICO-MRXNPFEDSA-N 1 2 309.410 1.603 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000582734048 337125193 /nfs/dbraw/zinc/12/51/93/337125193.db2.gz QWQBGHAPSMVBBR-CYBMUJFWSA-N 1 2 314.389 1.799 20 30 DDEDLO COCC[N@H+](Cc1cccnc1)Cc1cnc2c(C#N)cnn2c1 ZINC000582785554 337161617 /nfs/dbraw/zinc/16/16/17/337161617.db2.gz GKRTVWGVOXCQKC-UHFFFAOYSA-N 1 2 322.372 1.645 20 30 DDEDLO COCC[N@@H+](Cc1cccnc1)Cc1cnc2c(C#N)cnn2c1 ZINC000582785554 337161618 /nfs/dbraw/zinc/16/16/18/337161618.db2.gz GKRTVWGVOXCQKC-UHFFFAOYSA-N 1 2 322.372 1.645 20 30 DDEDLO COC(=O)Cc1cccc(NC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000583317989 337314798 /nfs/dbraw/zinc/31/47/98/337314798.db2.gz KRQSMJDYZDYGRS-OAHLLOKOSA-N 1 2 305.378 1.020 20 30 DDEDLO COC(=O)Cc1cccc(NC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000583317989 337314799 /nfs/dbraw/zinc/31/47/99/337314799.db2.gz KRQSMJDYZDYGRS-OAHLLOKOSA-N 1 2 305.378 1.020 20 30 DDEDLO CC#CCNC(=O)N[C@H](c1[nH+]ccn1C)c1cccc(F)c1 ZINC000270752685 337642358 /nfs/dbraw/zinc/64/23/58/337642358.db2.gz YRCZJNXYJKZIDQ-AWEZNQCLSA-N 1 2 300.337 1.971 20 30 DDEDLO CCCN(CC#N)CC[NH+]1CCN(C(=O)OC(C)(C)C)CC1 ZINC000495678504 339989969 /nfs/dbraw/zinc/98/99/69/339989969.db2.gz ZUQGUCBAFATYKU-UHFFFAOYSA-N 1 2 310.442 1.775 20 30 DDEDLO C[C@H](CNC(=O)OC(C)(C)C)C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000496504474 340006777 /nfs/dbraw/zinc/00/67/77/340006777.db2.gz IUPISWKFBXJNRQ-ABAIWWIYSA-N 1 2 312.414 1.107 20 30 DDEDLO C=CCNC(=O)C[S@](=O)Cc1cn2cc(Cl)ccc2[nH+]1 ZINC000505707303 340175369 /nfs/dbraw/zinc/17/53/69/340175369.db2.gz RCRBRVVWTCGBCO-HXUWFJFHSA-N 1 2 311.794 1.539 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)C2=CCCCCC2)CC1 ZINC000130847789 341048943 /nfs/dbraw/zinc/04/89/43/341048943.db2.gz LUPTTXYHUAMHSW-UHFFFAOYSA-N 1 2 317.433 1.161 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)C2=CCCCCC2)CC1 ZINC000130847789 341048944 /nfs/dbraw/zinc/04/89/44/341048944.db2.gz LUPTTXYHUAMHSW-UHFFFAOYSA-N 1 2 317.433 1.161 20 30 DDEDLO CCN(CCC#N)C(=O)C[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000076429929 341207291 /nfs/dbraw/zinc/20/72/91/341207291.db2.gz YRWQCKIMJPMMNO-UHFFFAOYSA-N 1 2 318.396 1.710 20 30 DDEDLO COC[C@H]1CCCC[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000307795439 484377401 /nfs/dbraw/zinc/37/74/01/484377401.db2.gz XGFUGIOTLBTWNH-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO COC[C@H]1CCCC[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000307795439 484377406 /nfs/dbraw/zinc/37/74/06/484377406.db2.gz XGFUGIOTLBTWNH-OAHLLOKOSA-N 1 2 322.430 1.833 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1nnc(-c2ccco2)o1)[C@H](C)COC ZINC000661239616 484993835 /nfs/dbraw/zinc/99/38/35/484993835.db2.gz HTGGKOCWBCXOIV-LLVKDONJSA-N 1 2 320.349 1.791 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1nnc(-c2ccco2)o1)[C@H](C)COC ZINC000661239616 484993842 /nfs/dbraw/zinc/99/38/42/484993842.db2.gz HTGGKOCWBCXOIV-LLVKDONJSA-N 1 2 320.349 1.791 20 30 DDEDLO C=CC[N@H+](Cc1csc(CC(=O)N(C)C)n1)[C@@H](C)COC ZINC000661244820 484997050 /nfs/dbraw/zinc/99/70/50/484997050.db2.gz VXIHSMBRERFSMN-LBPRGKRZSA-N 1 2 311.451 1.797 20 30 DDEDLO C=CC[N@@H+](Cc1csc(CC(=O)N(C)C)n1)[C@@H](C)COC ZINC000661244820 484997057 /nfs/dbraw/zinc/99/70/57/484997057.db2.gz VXIHSMBRERFSMN-LBPRGKRZSA-N 1 2 311.451 1.797 20 30 DDEDLO CC[N@H+](CCC(=O)NO)Cc1nc2c(C)cccc2c(=O)[nH]1 ZINC000671996776 485215118 /nfs/dbraw/zinc/21/51/18/485215118.db2.gz XBWBAGILPDUFNO-UHFFFAOYSA-N 1 2 304.350 1.361 20 30 DDEDLO CC[N@@H+](CCC(=O)NO)Cc1nc2c(C)cccc2c(=O)[nH]1 ZINC000671996776 485215120 /nfs/dbraw/zinc/21/51/20/485215120.db2.gz XBWBAGILPDUFNO-UHFFFAOYSA-N 1 2 304.350 1.361 20 30 DDEDLO C=CCOCCNC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000679503422 485905173 /nfs/dbraw/zinc/90/51/73/485905173.db2.gz QALHZYZIUSACOE-UHFFFAOYSA-N 1 2 309.435 1.778 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)c2ccc(C)c(F)c2)CC1 ZINC000677133097 486397747 /nfs/dbraw/zinc/39/77/47/486397747.db2.gz HBMHLXFAVKBKQT-UHFFFAOYSA-N 1 2 304.365 1.542 20 30 DDEDLO Cc1cc(N2CC[C@H](S(=O)(=O)N3CCCC3)C2)c(C#N)c[nH+]1 ZINC000425242099 533910151 /nfs/dbraw/zinc/91/01/51/533910151.db2.gz RCBZQYURRKCFCV-AWEZNQCLSA-N 1 2 320.418 1.266 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)N1CCN(Cc2ccco2)CC1 ZINC000570292703 517811961 /nfs/dbraw/zinc/81/19/61/517811961.db2.gz UNPKLEMPLQCIDT-CQSZACIVSA-N 1 2 304.394 1.206 20 30 DDEDLO Cc1cc[nH+]cc1N1CCN(C(=O)NCCC[S@](C)=O)CC1 ZINC000330962961 534423683 /nfs/dbraw/zinc/42/36/83/534423683.db2.gz AWMPHJAQBCCDCN-QFIPXVFZSA-N 1 2 324.450 1.195 20 30 DDEDLO Cc1noc([C@H]2CC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000332390319 534483232 /nfs/dbraw/zinc/48/32/32/534483232.db2.gz RCWYMMADBXUYQW-SWLSCSKDSA-N 1 2 305.382 1.222 20 30 DDEDLO Cc1noc([C@H]2CC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000332390319 534483237 /nfs/dbraw/zinc/48/32/37/534483237.db2.gz RCWYMMADBXUYQW-SWLSCSKDSA-N 1 2 305.382 1.222 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)C[N@H+]1CCCOc1cccc(C#N)c1 ZINC000156356027 523768735 /nfs/dbraw/zinc/76/87/35/523768735.db2.gz GXGDIICGIRPFIG-CVEARBPZSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](OC)C[N@@H+]1CCCOc1cccc(C#N)c1 ZINC000156356027 523768739 /nfs/dbraw/zinc/76/87/39/523768739.db2.gz GXGDIICGIRPFIG-CVEARBPZSA-N 1 2 318.373 1.589 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1NC[C@@H]1C[N@@H+](C2CC2)CCO1 ZINC000425233118 534813319 /nfs/dbraw/zinc/81/33/19/534813319.db2.gz VNIVLBRNUNBPNB-CYBMUJFWSA-N 1 2 302.334 1.742 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1NC[C@@H]1C[N@H+](C2CC2)CCO1 ZINC000425233118 534813323 /nfs/dbraw/zinc/81/33/23/534813323.db2.gz VNIVLBRNUNBPNB-CYBMUJFWSA-N 1 2 302.334 1.742 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)NCC2(CC#N)CC2)CCO1 ZINC000330304800 534813353 /nfs/dbraw/zinc/81/33/53/534813353.db2.gz QELOSDSAEBLDHP-OCCSQVGLSA-N 1 2 317.393 1.838 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(C)C2CCCCC2)C1=O ZINC000337146306 526467229 /nfs/dbraw/zinc/46/72/29/526467229.db2.gz YCNBSTJWGASULS-OAHLLOKOSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(C)C2CCCCC2)C1=O ZINC000337146306 526467231 /nfs/dbraw/zinc/46/72/31/526467231.db2.gz YCNBSTJWGASULS-OAHLLOKOSA-N 1 2 307.438 1.496 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)N(CC)C2CCCCC2)C1=O ZINC000337231191 526467565 /nfs/dbraw/zinc/46/75/65/526467565.db2.gz UGTCVZBAJWUETR-MRXNPFEDSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)N(CC)C2CCCCC2)C1=O ZINC000337231191 526467574 /nfs/dbraw/zinc/46/75/74/526467574.db2.gz UGTCVZBAJWUETR-MRXNPFEDSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N(CC(C)C)CC(C)C)C1=O ZINC000337267267 526499445 /nfs/dbraw/zinc/49/94/45/526499445.db2.gz IQZAGVZRLGZWCV-INIZCTEOSA-N 1 2 323.481 1.846 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N(CC(C)C)CC(C)C)C1=O ZINC000337267267 526499447 /nfs/dbraw/zinc/49/94/47/526499447.db2.gz IQZAGVZRLGZWCV-INIZCTEOSA-N 1 2 323.481 1.846 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)[C@@H](C)C(=O)N2[C@H](C)CCC[C@@H]2C)C1=O ZINC000337218880 526502290 /nfs/dbraw/zinc/50/22/90/526502290.db2.gz JIUSPGHOSLPKRX-FZKCQIBNSA-N 1 2 321.465 1.883 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)[C@@H](C)C(=O)N2[C@H](C)CCC[C@@H]2C)C1=O ZINC000337218880 526502294 /nfs/dbraw/zinc/50/22/94/526502294.db2.gz JIUSPGHOSLPKRX-FZKCQIBNSA-N 1 2 321.465 1.883 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000451755223 526524471 /nfs/dbraw/zinc/52/44/71/526524471.db2.gz DHQKVMRIRSBLBY-HXUWFJFHSA-N 1 2 300.468 1.644 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000451755223 526524481 /nfs/dbraw/zinc/52/44/81/526524481.db2.gz DHQKVMRIRSBLBY-HXUWFJFHSA-N 1 2 300.468 1.644 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](C/C=C/c2ccncc2)CC1 ZINC000495057029 526537211 /nfs/dbraw/zinc/53/72/11/526537211.db2.gz FDNMBJZYBWCUQY-ONEGZZNKSA-N 1 2 300.406 1.015 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(C/C=C/c2ccncc2)CC1 ZINC000495057029 526537215 /nfs/dbraw/zinc/53/72/15/526537215.db2.gz FDNMBJZYBWCUQY-ONEGZZNKSA-N 1 2 300.406 1.015 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](C/C=C\c2ccncc2)CC1 ZINC000495057028 526537689 /nfs/dbraw/zinc/53/76/89/526537689.db2.gz FDNMBJZYBWCUQY-ARJAWSKDSA-N 1 2 300.406 1.015 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(C/C=C\c2ccncc2)CC1 ZINC000495057028 526537692 /nfs/dbraw/zinc/53/76/92/526537692.db2.gz FDNMBJZYBWCUQY-ARJAWSKDSA-N 1 2 300.406 1.015 20 30 DDEDLO C#CC[N@@H+](CC(=O)NC[C@H]1COc2ccccc2O1)C1CC1 ZINC000491393411 526883259 /nfs/dbraw/zinc/88/32/59/526883259.db2.gz HNHNUARDTDUBSA-AWEZNQCLSA-N 1 2 300.358 1.040 20 30 DDEDLO C#CC[N@H+](CC(=O)NC[C@H]1COc2ccccc2O1)C1CC1 ZINC000491393411 526883262 /nfs/dbraw/zinc/88/32/62/526883262.db2.gz HNHNUARDTDUBSA-AWEZNQCLSA-N 1 2 300.358 1.040 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H]3OCC[C@@H]3C)n2CC=C)CC1 ZINC000491100838 526957266 /nfs/dbraw/zinc/95/72/66/526957266.db2.gz IATZAVRINJIHHW-LSDHHAIUSA-N 1 2 315.421 1.317 20 30 DDEDLO CC(C)(CC#N)CS(=O)(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000331351019 526958859 /nfs/dbraw/zinc/95/88/59/526958859.db2.gz YTCKKFSLCIHHSN-CQSZACIVSA-N 1 2 323.418 1.804 20 30 DDEDLO CC(C)(CCC#N)CNC(=O)NCC(C)(C)[NH+]1CCOCC1 ZINC000349529676 526990382 /nfs/dbraw/zinc/99/03/82/526990382.db2.gz YUVGPDKNVUMMGZ-UHFFFAOYSA-N 1 2 310.442 1.726 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)NCc1cn2cc(C)ccc2[nH+]1 ZINC000341860364 527065877 /nfs/dbraw/zinc/06/58/77/527065877.db2.gz QAYZIDMKROVMSV-CYBMUJFWSA-N 1 2 302.378 1.627 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)NCc1ccnc(-n2cc[nH+]c2)c1 ZINC000376894917 527091939 /nfs/dbraw/zinc/09/19/39/527091939.db2.gz JICVAGVXPBNOQI-ZDUSSCGKSA-N 1 2 301.350 1.004 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCO[C@@H]([C@@H](C)O)C2)cc(OC)c1O ZINC000414598439 527236650 /nfs/dbraw/zinc/23/66/50/527236650.db2.gz YHCOTOMKZGUUMC-MLGOLLRUSA-N 1 2 307.390 1.711 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCO[C@@H]([C@@H](C)O)C2)cc(OC)c1O ZINC000414598439 527236655 /nfs/dbraw/zinc/23/66/55/527236655.db2.gz YHCOTOMKZGUUMC-MLGOLLRUSA-N 1 2 307.390 1.711 20 30 DDEDLO C#C[C@@H](NC(=O)N1CC[NH+](Cc2cccnc2)CC1)C(C)C ZINC000491022938 527272140 /nfs/dbraw/zinc/27/21/40/527272140.db2.gz UEUURESEMHNUTA-MRXNPFEDSA-N 1 2 300.406 1.567 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000491621337 527297884 /nfs/dbraw/zinc/29/78/84/527297884.db2.gz GEYURXBQUVXPGR-CVEARBPZSA-N 1 2 309.369 1.792 20 30 DDEDLO C#C[C@@H]1COCCN1C(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1 ZINC000491338296 527302626 /nfs/dbraw/zinc/30/26/26/527302626.db2.gz HQRFSDLJFAGKNN-HZPDHXFCSA-N 1 2 324.384 1.553 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2ccc(N(C)CCOC)nc2)nn1 ZINC000424175511 527372168 /nfs/dbraw/zinc/37/21/68/527372168.db2.gz URFVXPDEHJFBGE-UHFFFAOYSA-N 1 2 316.409 1.232 20 30 DDEDLO C#C[C@H]1COCC[N@H+]1C[C@H](O)COc1cccc2ccccc21 ZINC000491638962 527429405 /nfs/dbraw/zinc/42/94/05/527429405.db2.gz WKYBAQUSFUTOPN-IRXDYDNUSA-N 1 2 311.381 1.914 20 30 DDEDLO C#C[C@H]1COCC[N@@H+]1C[C@H](O)COc1cccc2ccccc21 ZINC000491638962 527429413 /nfs/dbraw/zinc/42/94/13/527429413.db2.gz WKYBAQUSFUTOPN-IRXDYDNUSA-N 1 2 311.381 1.914 20 30 DDEDLO CC(C)n1cc(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)nn1 ZINC000292392870 528563141 /nfs/dbraw/zinc/56/31/41/528563141.db2.gz ZWAVQOHWPHEBFO-HNNXBMFYSA-N 1 2 312.377 1.779 20 30 DDEDLO CC(C)n1cc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)nn1 ZINC000292392870 528563147 /nfs/dbraw/zinc/56/31/47/528563147.db2.gz ZWAVQOHWPHEBFO-HNNXBMFYSA-N 1 2 312.377 1.779 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)SCC[NH+]1CCOCC1 ZINC000342445042 528855683 /nfs/dbraw/zinc/85/56/83/528855683.db2.gz RIHIZHZDMJKNNE-DZGCQCFKSA-N 1 2 313.467 1.495 20 30 DDEDLO C[N@H+](CCO)C1CC[NH+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)CC1 ZINC000737449563 600570410 /nfs/dbraw/zinc/57/04/10/600570410.db2.gz SEXIVBALKNKXRF-MRXNPFEDSA-N 1 2 317.389 1.072 20 30 DDEDLO C[N@@H+](CCO)C1CC[NH+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)CC1 ZINC000737449563 600570412 /nfs/dbraw/zinc/57/04/12/600570412.db2.gz SEXIVBALKNKXRF-MRXNPFEDSA-N 1 2 317.389 1.072 20 30 DDEDLO Cc1nc(-c2nn[nH]n2)c(N=NC2C[N@H+](C3CC3)C[C@H]2C)o1 ZINC000822422626 606702982 /nfs/dbraw/zinc/70/29/82/606702982.db2.gz AOBHVFREBBFQMJ-SSDOTTSWSA-N 1 2 302.342 1.045 20 30 DDEDLO Cc1nc(-c2nn[nH]n2)c(N=NC2C[N@@H+](C3CC3)C[C@H]2C)o1 ZINC000822422626 606702983 /nfs/dbraw/zinc/70/29/83/606702983.db2.gz AOBHVFREBBFQMJ-SSDOTTSWSA-N 1 2 302.342 1.045 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](C[C@H](O)c2ccc(-c3nn[nH]n3)cc2)C1 ZINC000824778501 608125752 /nfs/dbraw/zinc/12/57/52/608125752.db2.gz QFUSLEBSBKWDEZ-HOCLYGCPSA-N 1 2 312.377 1.526 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](C[C@H](O)c2ccc(-c3nn[nH]n3)cc2)C1 ZINC000824778501 608125753 /nfs/dbraw/zinc/12/57/53/608125753.db2.gz QFUSLEBSBKWDEZ-HOCLYGCPSA-N 1 2 312.377 1.526 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCn3cncc3C2)C1 ZINC000971811036 695100234 /nfs/dbraw/zinc/10/02/34/695100234.db2.gz OGIKRMZGBVZEBE-KGLIPLIRSA-N 1 2 322.840 1.731 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCn3cncc3C2)C1 ZINC000971811036 695100237 /nfs/dbraw/zinc/10/02/37/695100237.db2.gz OGIKRMZGBVZEBE-KGLIPLIRSA-N 1 2 322.840 1.731 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCOC3)C2)C1 ZINC000972328761 695217928 /nfs/dbraw/zinc/21/79/28/695217928.db2.gz RGOKVRJWFPJSBW-NVXWUHKLSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)CC[C@@H]3CCOC3)C2)C1 ZINC000972328761 695217929 /nfs/dbraw/zinc/21/79/29/695217929.db2.gz RGOKVRJWFPJSBW-NVXWUHKLSA-N 1 2 308.422 1.292 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3CCCCO3)C2)C1 ZINC000972332897 695219729 /nfs/dbraw/zinc/21/97/29/695219729.db2.gz NODFDICXGBNRFC-DOTOQJQBSA-N 1 2 308.422 1.435 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3CCCCO3)C2)C1 ZINC000972332897 695219731 /nfs/dbraw/zinc/21/97/31/695219731.db2.gz NODFDICXGBNRFC-DOTOQJQBSA-N 1 2 308.422 1.435 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C(F)=C3CCCC3)C2)C1 ZINC000972338719 695221060 /nfs/dbraw/zinc/22/10/60/695221060.db2.gz JOEDEFWNQKAFNF-QGZVFWFLSA-N 1 2 306.381 1.721 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C(F)=C3CCCC3)C2)C1 ZINC000972338719 695221061 /nfs/dbraw/zinc/22/10/61/695221061.db2.gz JOEDEFWNQKAFNF-QGZVFWFLSA-N 1 2 306.381 1.721 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)n3cccc3)C2)C1 ZINC000972341954 695222025 /nfs/dbraw/zinc/22/20/25/695222025.db2.gz IWAKRDRPWMHYHA-SJLPKXTDSA-N 1 2 315.417 1.376 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)n3cccc3)C2)C1 ZINC000972341954 695222027 /nfs/dbraw/zinc/22/20/27/695222027.db2.gz IWAKRDRPWMHYHA-SJLPKXTDSA-N 1 2 315.417 1.376 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3[nH]ccc3C)C2)C1 ZINC000972363498 695229386 /nfs/dbraw/zinc/22/93/86/695229386.db2.gz ARBRZBDBPODHLL-QGZVFWFLSA-N 1 2 301.390 1.263 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3[nH]ccc3C)C2)C1 ZINC000972363498 695229388 /nfs/dbraw/zinc/22/93/88/695229388.db2.gz ARBRZBDBPODHLL-QGZVFWFLSA-N 1 2 301.390 1.263 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3CC)C2)C1 ZINC000972368539 695231882 /nfs/dbraw/zinc/23/18/82/695231882.db2.gz XWBRYFPHGLMRSH-KRWDZBQOSA-N 1 2 301.390 1.127 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc[nH]c3CC)C2)C1 ZINC000972368539 695231884 /nfs/dbraw/zinc/23/18/84/695231884.db2.gz XWBRYFPHGLMRSH-KRWDZBQOSA-N 1 2 301.390 1.127 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3coc(OCC)n3)C2)C1 ZINC000972448875 695253992 /nfs/dbraw/zinc/25/39/92/695253992.db2.gz CVCTYLJFEQAMNJ-INIZCTEOSA-N 1 2 321.377 1.176 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3coc(OCC)n3)C2)C1 ZINC000972448875 695253993 /nfs/dbraw/zinc/25/39/93/695253993.db2.gz CVCTYLJFEQAMNJ-INIZCTEOSA-N 1 2 321.377 1.176 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](OC)C3CCC3)C2)C1 ZINC000972485723 695262177 /nfs/dbraw/zinc/26/21/77/695262177.db2.gz CCTUPUWPIYUUDC-FUHWJXTLSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](OC)C3CCC3)C2)C1 ZINC000972485723 695262179 /nfs/dbraw/zinc/26/21/79/695262179.db2.gz CCTUPUWPIYUUDC-FUHWJXTLSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)co3)C2)C1 ZINC000972511806 695269254 /nfs/dbraw/zinc/26/92/54/695269254.db2.gz IAVCJVWRPDEKHZ-KRWDZBQOSA-N 1 2 302.374 1.528 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)co3)C2)C1 ZINC000972511806 695269255 /nfs/dbraw/zinc/26/92/55/695269255.db2.gz IAVCJVWRPDEKHZ-KRWDZBQOSA-N 1 2 302.374 1.528 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3C3CC3)C2)C1 ZINC000972524709 695272676 /nfs/dbraw/zinc/27/26/76/695272676.db2.gz VVVRVEGDDPMATR-GOSISDBHSA-N 1 2 315.417 1.995 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc[nH]c3C3CC3)C2)C1 ZINC000972524709 695272677 /nfs/dbraw/zinc/27/26/77/695272677.db2.gz VVVRVEGDDPMATR-GOSISDBHSA-N 1 2 315.417 1.995 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(Cl)no3)C2)C1 ZINC000972641901 695307365 /nfs/dbraw/zinc/30/73/65/695307365.db2.gz AWRURPUXJLDDJP-CQSZACIVSA-N 1 2 311.769 1.431 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(Cl)no3)C2)C1 ZINC000972641901 695307366 /nfs/dbraw/zinc/30/73/66/695307366.db2.gz AWRURPUXJLDDJP-CQSZACIVSA-N 1 2 311.769 1.431 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cccc(OC)c3)C2)C1 ZINC000972655674 695310304 /nfs/dbraw/zinc/31/03/04/695310304.db2.gz OALXDMLXLRHEIQ-GOSISDBHSA-N 1 2 316.401 1.798 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cccc(OC)c3)C2)C1 ZINC000972655674 695310308 /nfs/dbraw/zinc/31/03/08/695310308.db2.gz OALXDMLXLRHEIQ-GOSISDBHSA-N 1 2 316.401 1.798 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)cc3C)C2)C1 ZINC000972662316 695312423 /nfs/dbraw/zinc/31/24/23/695312423.db2.gz OPYPERVTMCWHKY-LJQANCHMSA-N 1 2 312.413 1.854 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)cc3C)C2)C1 ZINC000972662316 695312425 /nfs/dbraw/zinc/31/24/25/695312425.db2.gz OPYPERVTMCWHKY-LJQANCHMSA-N 1 2 312.413 1.854 20 30 DDEDLO C[C@H]1C[N@H+](CCNC(=O)NCc2cc(C#N)ccc2F)CCO1 ZINC000745791613 699994381 /nfs/dbraw/zinc/99/43/81/699994381.db2.gz LYDPJDJXMJDJEG-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@H]1C[N@@H+](CCNC(=O)NCc2cc(C#N)ccc2F)CCO1 ZINC000745791613 699994383 /nfs/dbraw/zinc/99/43/83/699994383.db2.gz LYDPJDJXMJDJEG-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2C[C@H](NC(=O)Cn3cc[nH+]c3)C2)C1 ZINC000973420475 695473925 /nfs/dbraw/zinc/47/39/25/695473925.db2.gz BTYJJRKRBCQDAS-JOCQHMNTSA-N 1 2 302.378 1.003 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CC2OCCCO2)C(C)(C)C1 ZINC000974505059 695682279 /nfs/dbraw/zinc/68/22/79/695682279.db2.gz DAYMFUMGFFMNNM-GFCCVEGCSA-N 1 2 316.829 1.719 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CC2OCCCO2)C(C)(C)C1 ZINC000974505059 695682280 /nfs/dbraw/zinc/68/22/80/695682280.db2.gz DAYMFUMGFFMNNM-GFCCVEGCSA-N 1 2 316.829 1.719 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccc(=O)n(C)c2)C(C)(C)C1 ZINC000974780369 695732662 /nfs/dbraw/zinc/73/26/62/695732662.db2.gz YVYQQHKRNGSUQA-ZDUSSCGKSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccc(=O)n(C)c2)C(C)(C)C1 ZINC000974780369 695732665 /nfs/dbraw/zinc/73/26/65/695732665.db2.gz YVYQQHKRNGSUQA-ZDUSSCGKSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cccc(=O)n2C)C(C)(C)C1 ZINC000975013676 695778096 /nfs/dbraw/zinc/77/80/96/695778096.db2.gz FSWHMZCSFBYDHK-CYBMUJFWSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cccc(=O)n2C)C(C)(C)C1 ZINC000975013676 695778097 /nfs/dbraw/zinc/77/80/97/695778097.db2.gz FSWHMZCSFBYDHK-CYBMUJFWSA-N 1 2 323.824 1.578 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2C[N@@H+](CC(=C)Cl)CC2(C)C)nn1 ZINC000977257250 696094225 /nfs/dbraw/zinc/09/42/25/696094225.db2.gz YDDAXMNKMYCXCQ-ZDUSSCGKSA-N 1 2 323.828 1.657 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H]2C[N@H+](CC(=C)Cl)CC2(C)C)nn1 ZINC000977257250 696094227 /nfs/dbraw/zinc/09/42/27/696094227.db2.gz YDDAXMNKMYCXCQ-ZDUSSCGKSA-N 1 2 323.828 1.657 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cn(Cc3ccccc3)nn2)CC1 ZINC000068444989 696372566 /nfs/dbraw/zinc/37/25/66/696372566.db2.gz FTSZIUKSNOTALQ-UHFFFAOYSA-N 1 2 323.400 1.108 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1c[nH]c[nH+]1 ZINC000979515348 696581093 /nfs/dbraw/zinc/58/10/93/696581093.db2.gz HPXRZGILZQNDJY-SHFYGJNESA-N 1 2 316.405 1.128 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cn(-c3cccc(C)c3)nn2)CC1 ZINC000101517453 696607346 /nfs/dbraw/zinc/60/73/46/696607346.db2.gz VKFUVPIMXLLCED-UHFFFAOYSA-N 1 2 323.400 1.357 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2CC[C@H](NC(=O)c3cncc4nc[nH]c43)C[C@H]2C1 ZINC000979727125 696634110 /nfs/dbraw/zinc/63/41/10/696634110.db2.gz HEGSAIHBOYLKGH-IHRRRGAJSA-N 1 2 323.400 1.421 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2CC[C@H](NC(=O)c3cncc4nc[nH]c43)C[C@H]2C1 ZINC000979727125 696634111 /nfs/dbraw/zinc/63/41/11/696634111.db2.gz HEGSAIHBOYLKGH-IHRRRGAJSA-N 1 2 323.400 1.421 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000129899476 696792673 /nfs/dbraw/zinc/79/26/73/696792673.db2.gz JCRPNTNOZAQVFM-UHFFFAOYSA-N 1 2 316.401 1.379 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2nsc(N(C)C)n2)cc1 ZINC000131598349 696807632 /nfs/dbraw/zinc/80/76/32/696807632.db2.gz YMYGDISUWKASPI-UHFFFAOYSA-N 1 2 307.379 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)N(C)C2CC2)CC1 ZINC000981746684 696901000 /nfs/dbraw/zinc/90/10/00/696901000.db2.gz LIKMDOMQGATRDH-UHFFFAOYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CC(=O)N(C)C2CC2)CC1 ZINC000981746684 696901003 /nfs/dbraw/zinc/90/10/03/696901003.db2.gz LIKMDOMQGATRDH-UHFFFAOYSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)c2csc(=O)[nH]2)CC1 ZINC000981792345 696917879 /nfs/dbraw/zinc/91/78/79/696917879.db2.gz JGZPMBJGWLIWGD-UHFFFAOYSA-N 1 2 301.799 1.749 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)c2csc(=O)[nH]2)CC1 ZINC000981792345 696917881 /nfs/dbraw/zinc/91/78/81/696917881.db2.gz JGZPMBJGWLIWGD-UHFFFAOYSA-N 1 2 301.799 1.749 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](CC(=O)N2CCCCCC2)CC1 ZINC000980848465 696917036 /nfs/dbraw/zinc/91/70/36/696917036.db2.gz XSVBIMMBIOMFIU-OAHLLOKOSA-N 1 2 320.437 1.083 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](CC(=O)N2CCCCCC2)CC1 ZINC000980848465 696917039 /nfs/dbraw/zinc/91/70/39/696917039.db2.gz XSVBIMMBIOMFIU-OAHLLOKOSA-N 1 2 320.437 1.083 20 30 DDEDLO Cc1cc(C[N@@H+]2CCCN(C(=O)c3cc(C#N)c[nH]3)CC2)on1 ZINC000980917271 696943722 /nfs/dbraw/zinc/94/37/22/696943722.db2.gz IEWPPOZUQDPZJG-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO Cc1cc(C[N@H+]2CCCN(C(=O)c3cc(C#N)c[nH]3)CC2)on1 ZINC000980917271 696943724 /nfs/dbraw/zinc/94/37/24/696943724.db2.gz IEWPPOZUQDPZJG-UHFFFAOYSA-N 1 2 313.361 1.531 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cn2nccc2-c2cccnc2)C1=O ZINC000799141792 700122542 /nfs/dbraw/zinc/12/25/42/700122542.db2.gz BRSMKZRQVMPZDK-INIZCTEOSA-N 1 2 311.389 1.621 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cn2nccc2-c2cccnc2)C1=O ZINC000799141792 700122543 /nfs/dbraw/zinc/12/25/43/700122543.db2.gz BRSMKZRQVMPZDK-INIZCTEOSA-N 1 2 311.389 1.621 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[N@H+](Cc2cncs2)CC1 ZINC000981994262 696997218 /nfs/dbraw/zinc/99/72/18/696997218.db2.gz AGWOOSYHJLKUBK-CABCVRRESA-N 1 2 321.446 1.769 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[N@@H+](Cc2cncs2)CC1 ZINC000981994262 696997219 /nfs/dbraw/zinc/99/72/19/696997219.db2.gz AGWOOSYHJLKUBK-CABCVRRESA-N 1 2 321.446 1.769 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCc2ccncn2)CC1 ZINC000981260074 697049475 /nfs/dbraw/zinc/04/94/75/697049475.db2.gz IAVHKGXQJVGFJR-UHFFFAOYSA-N 1 2 308.813 1.696 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCc2ccncn2)CC1 ZINC000981260074 697049478 /nfs/dbraw/zinc/04/94/78/697049478.db2.gz IAVHKGXQJVGFJR-UHFFFAOYSA-N 1 2 308.813 1.696 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2ccc(C(N)=O)s2)CC1 ZINC000982205535 697058648 /nfs/dbraw/zinc/05/86/48/697058648.db2.gz XXBLXLZCUFSNFS-UHFFFAOYSA-N 1 2 305.403 1.018 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2ccc(C(N)=O)s2)CC1 ZINC000982205535 697058651 /nfs/dbraw/zinc/05/86/51/697058651.db2.gz XXBLXLZCUFSNFS-UHFFFAOYSA-N 1 2 305.403 1.018 20 30 DDEDLO C#CC[N@H+]1C[C@@]2(C)CN(C(=O)c3cc(C(C)C)[nH]n3)C[C@@]2(C)C1 ZINC000982583282 697153521 /nfs/dbraw/zinc/15/35/21/697153521.db2.gz KJMTWSFIDUVKLR-HDICACEKSA-N 1 2 314.433 1.950 20 30 DDEDLO C#CC[N@@H+]1C[C@@]2(C)CN(C(=O)c3cc(C(C)C)[nH]n3)C[C@@]2(C)C1 ZINC000982583282 697153522 /nfs/dbraw/zinc/15/35/22/697153522.db2.gz KJMTWSFIDUVKLR-HDICACEKSA-N 1 2 314.433 1.950 20 30 DDEDLO CCSCCON=C(N)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000161455342 697323573 /nfs/dbraw/zinc/32/35/73/697323573.db2.gz HBLZOKGYEHHSKM-OAHLLOKOSA-N 1 2 323.462 1.929 20 30 DDEDLO CCSCCON=C(N)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000161455342 697323576 /nfs/dbraw/zinc/32/35/76/697323576.db2.gz HBLZOKGYEHHSKM-OAHLLOKOSA-N 1 2 323.462 1.929 20 30 DDEDLO NC(=NOCc1ccon1)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181838941 697465426 /nfs/dbraw/zinc/46/54/26/697465426.db2.gz CGKDIZCBAMWWET-HNNXBMFYSA-N 1 2 316.361 1.364 20 30 DDEDLO NC(=NOCc1ccon1)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181838941 697465428 /nfs/dbraw/zinc/46/54/28/697465428.db2.gz CGKDIZCBAMWWET-HNNXBMFYSA-N 1 2 316.361 1.364 20 30 DDEDLO C=CCCN(C[C@H](O)C[NH+]1CCOCC1)OCc1ccccc1 ZINC000187377147 697544212 /nfs/dbraw/zinc/54/42/12/697544212.db2.gz HLSHZRVULDIENF-GOSISDBHSA-N 1 2 320.433 1.689 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)NC(=O)c1ccc(OC)cc1 ZINC000191099867 697601143 /nfs/dbraw/zinc/60/11/43/697601143.db2.gz QEGCFDVORARQSL-UHFFFAOYSA-N 1 2 306.362 1.086 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)NC(=O)c1ccc(OC)cc1 ZINC000191099867 697601144 /nfs/dbraw/zinc/60/11/44/697601144.db2.gz QEGCFDVORARQSL-UHFFFAOYSA-N 1 2 306.362 1.086 20 30 DDEDLO C[N@H+](CC(=O)[C@H](C#N)C(=O)Nc1ccccc1)[C@H]1CCSC1 ZINC000773975089 697829800 /nfs/dbraw/zinc/82/98/00/697829800.db2.gz JMNNHVPOMYKQEQ-KBPBESRZSA-N 1 2 317.414 1.771 20 30 DDEDLO C[N@@H+](CC(=O)[C@H](C#N)C(=O)Nc1ccccc1)[C@H]1CCSC1 ZINC000773975089 697829804 /nfs/dbraw/zinc/82/98/04/697829804.db2.gz JMNNHVPOMYKQEQ-KBPBESRZSA-N 1 2 317.414 1.771 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccn1)[C@H]1CCCO1 ZINC000775343422 697986324 /nfs/dbraw/zinc/98/63/24/697986324.db2.gz YJVJPQLNXUJQFB-YESZJQIVSA-N 1 2 313.401 1.343 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H]1CCC[N@H+]1Cc1ccccn1)[C@H]1CCCO1 ZINC000775343422 697986326 /nfs/dbraw/zinc/98/63/26/697986326.db2.gz YJVJPQLNXUJQFB-YESZJQIVSA-N 1 2 313.401 1.343 20 30 DDEDLO C#C[C@H]([NH2+]Cc1csc(-c2cnn(C)c2)n1)[C@@H]1CCCO1 ZINC000775786678 698032687 /nfs/dbraw/zinc/03/26/87/698032687.db2.gz ZVBATPKMFNAUCU-KBPBESRZSA-N 1 2 302.403 1.814 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@H](C(=O)OC(C)(C)C)C[C@@H]2CCCC[C@@H]21 ZINC000775845324 698043872 /nfs/dbraw/zinc/04/38/72/698043872.db2.gz PSTCBZCEWPHKMR-KKUMJFAQSA-N 1 2 320.433 1.711 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@H](C(=O)OC(C)(C)C)C[C@@H]2CCCC[C@@H]21 ZINC000775845324 698043877 /nfs/dbraw/zinc/04/38/77/698043877.db2.gz PSTCBZCEWPHKMR-KKUMJFAQSA-N 1 2 320.433 1.711 20 30 DDEDLO C#C[C@H](NC(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1)[C@H]1CCCO1 ZINC000776225129 698083422 /nfs/dbraw/zinc/08/34/22/698083422.db2.gz CCGPECHWIJXFAB-YHUYYLMFSA-N 1 2 316.405 1.656 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[N@H+]1CC[C@@H](NC(N)=O)C1 ZINC000779167525 698399750 /nfs/dbraw/zinc/39/97/50/698399750.db2.gz COWJQQKNDZPAAT-LLVKDONJSA-N 1 2 307.781 1.595 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[N@@H+]1CC[C@@H](NC(N)=O)C1 ZINC000779167525 698399756 /nfs/dbraw/zinc/39/97/56/698399756.db2.gz COWJQQKNDZPAAT-LLVKDONJSA-N 1 2 307.781 1.595 20 30 DDEDLO N#Cc1ccc(CNc2nc(NCCO)c3ccccc3[nH+]2)nc1 ZINC000780613006 698537859 /nfs/dbraw/zinc/53/78/59/698537859.db2.gz QQSAYRDOGZTFGV-UHFFFAOYSA-N 1 2 320.356 1.913 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3cncn3C)CC2)cn1 ZINC000989512240 698651622 /nfs/dbraw/zinc/65/16/22/698651622.db2.gz BHJJVVSQDUMLCG-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3cncn3C)CC2)cn1 ZINC000989512240 698651624 /nfs/dbraw/zinc/65/16/24/698651624.db2.gz BHJJVVSQDUMLCG-UHFFFAOYSA-N 1 2 323.400 1.145 20 30 DDEDLO Cn1ccc(C(=O)N2CCC[N@H+](CC#Cc3ccccc3)CC2)n1 ZINC000989666258 698716407 /nfs/dbraw/zinc/71/64/07/698716407.db2.gz ZGGUIGCNEADENO-UHFFFAOYSA-N 1 2 322.412 1.620 20 30 DDEDLO Cn1ccc(C(=O)N2CCC[N@@H+](CC#Cc3ccccc3)CC2)n1 ZINC000989666258 698716409 /nfs/dbraw/zinc/71/64/09/698716409.db2.gz ZGGUIGCNEADENO-UHFFFAOYSA-N 1 2 322.412 1.620 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000783424765 698840312 /nfs/dbraw/zinc/84/03/12/698840312.db2.gz KENABEMMJQWHJN-LLVKDONJSA-N 1 2 317.393 1.403 20 30 DDEDLO CC[C@H](CC#N)NC(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000784195960 698915212 /nfs/dbraw/zinc/91/52/12/698915212.db2.gz OSNMQNUVBQOLNC-LLVKDONJSA-N 1 2 303.366 1.203 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC([NH2+]Cc2noc(C(C)(C)C)n2)CC1 ZINC000990262552 699013391 /nfs/dbraw/zinc/01/33/91/699013391.db2.gz RUSYYSNSJULXQK-NSHDSACASA-N 1 2 319.409 1.607 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(CC#N)cc2)CCO1 ZINC000726255476 699357086 /nfs/dbraw/zinc/35/70/86/699357086.db2.gz KMVFQELTUVXUTA-CYBMUJFWSA-N 1 2 302.378 1.595 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(CC#N)cc2)CCO1 ZINC000726255476 699357090 /nfs/dbraw/zinc/35/70/90/699357090.db2.gz KMVFQELTUVXUTA-CYBMUJFWSA-N 1 2 302.378 1.595 20 30 DDEDLO CCNC(=O)C[C@H]1CCCC[N@@H+]1Cn1ccc(C)c(C#N)c1=O ZINC000789515927 699392105 /nfs/dbraw/zinc/39/21/05/699392105.db2.gz SSSBBTGQUODKSL-CQSZACIVSA-N 1 2 316.405 1.367 20 30 DDEDLO CCNC(=O)C[C@H]1CCCC[N@H+]1Cn1ccc(C)c(C#N)c1=O ZINC000789515927 699392106 /nfs/dbraw/zinc/39/21/06/699392106.db2.gz SSSBBTGQUODKSL-CQSZACIVSA-N 1 2 316.405 1.367 20 30 DDEDLO COC[C@@H](C)NC(=S)N[NH+]=Cc1ccc(N(C)C(C)C)nc1 ZINC000790149106 699445431 /nfs/dbraw/zinc/44/54/31/699445431.db2.gz SWTCVBFTZRFODK-GFCCVEGCSA-N 1 2 323.466 1.759 20 30 DDEDLO N#Cc1c(=O)n(C[N@@H+]2CCCSCC2)cc2c1CCCC2 ZINC000793488193 699760317 /nfs/dbraw/zinc/76/03/17/699760317.db2.gz ZLNXTAFXUZHNBT-UHFFFAOYSA-N 1 2 303.431 1.995 20 30 DDEDLO N#Cc1c(=O)n(C[N@H+]2CCCSCC2)cc2c1CCCC2 ZINC000793488193 699760320 /nfs/dbraw/zinc/76/03/20/699760320.db2.gz ZLNXTAFXUZHNBT-UHFFFAOYSA-N 1 2 303.431 1.995 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](Cn3cc[nH]c3=S)C2)nc1 ZINC000793590341 699766257 /nfs/dbraw/zinc/76/62/57/699766257.db2.gz LIILBBPBZYXINJ-LBPRGKRZSA-N 1 2 301.375 1.549 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](Cn3cc[nH]c3=S)C2)nc1 ZINC000793590341 699766259 /nfs/dbraw/zinc/76/62/59/699766259.db2.gz LIILBBPBZYXINJ-LBPRGKRZSA-N 1 2 301.375 1.549 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](CN3CCSC3=S)C2)nc1 ZINC000793606960 699767250 /nfs/dbraw/zinc/76/72/50/699767250.db2.gz MQBYYGAQSAWQJH-GFCCVEGCSA-N 1 2 320.443 1.698 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](CN3CCSC3=S)C2)nc1 ZINC000793606960 699767251 /nfs/dbraw/zinc/76/72/51/699767251.db2.gz MQBYYGAQSAWQJH-GFCCVEGCSA-N 1 2 320.443 1.698 20 30 DDEDLO C#CCNC(=O)COC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccccc1 ZINC000741356178 699833344 /nfs/dbraw/zinc/83/33/44/699833344.db2.gz ZAFCTIRODNTZPM-INIZCTEOSA-N 1 2 314.385 1.334 20 30 DDEDLO C#CCNC(=O)COC(=O)[C@@H]1CCCC[N@H+]1Cc1ccccc1 ZINC000741356178 699833347 /nfs/dbraw/zinc/83/33/47/699833347.db2.gz ZAFCTIRODNTZPM-INIZCTEOSA-N 1 2 314.385 1.334 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2cccc(F)c2C#N)[C@H](C)C1 ZINC000796073462 699910296 /nfs/dbraw/zinc/91/02/96/699910296.db2.gz PBHFKBXLUIMJMR-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2cccc(F)c2C#N)[C@H](C)C1 ZINC000796073462 699910298 /nfs/dbraw/zinc/91/02/98/699910298.db2.gz PBHFKBXLUIMJMR-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO N#Cc1cccc(NC(=O)COC(=O)CCc2ccc(N)[nH+]c2)c1 ZINC000759809649 700774213 /nfs/dbraw/zinc/77/42/13/700774213.db2.gz IORHNDRTMRBGGZ-UHFFFAOYSA-N 1 2 324.340 1.650 20 30 DDEDLO NC(=[NH+]OCc1nnnn1CC(F)(F)F)c1ccc(F)cc1 ZINC000762194579 700884505 /nfs/dbraw/zinc/88/45/05/700884505.db2.gz RSLBFFMJPRSHNP-UHFFFAOYSA-N 1 2 318.234 1.212 20 30 DDEDLO CON=CC(=O)N[C@H]1CCC[N@H+](Cc2c(F)cccc2F)C1 ZINC000809676740 701679839 /nfs/dbraw/zinc/67/98/39/701679839.db2.gz WAKBJAPPYJHNOM-NSHDSACASA-N 1 2 311.332 1.678 20 30 DDEDLO CON=CC(=O)N[C@H]1CCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC000809676740 701679841 /nfs/dbraw/zinc/67/98/41/701679841.db2.gz WAKBJAPPYJHNOM-NSHDSACASA-N 1 2 311.332 1.678 20 30 DDEDLO N#CCOc1ccc(Cl)cc1C[N@H+]1CC[C@@H](NC(N)=O)C1 ZINC000762924988 700916666 /nfs/dbraw/zinc/91/66/66/700916666.db2.gz JFJJKDIGDFVAPZ-GFCCVEGCSA-N 1 2 308.769 1.485 20 30 DDEDLO N#CCOc1ccc(Cl)cc1C[N@@H+]1CC[C@@H](NC(N)=O)C1 ZINC000762924988 700916667 /nfs/dbraw/zinc/91/66/67/700916667.db2.gz JFJJKDIGDFVAPZ-GFCCVEGCSA-N 1 2 308.769 1.485 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@@H+]2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000764678523 700981782 /nfs/dbraw/zinc/98/17/82/700981782.db2.gz MMMXFJVLVXNKPX-XJKSGUPXSA-N 1 2 322.430 1.986 20 30 DDEDLO C[C@@H](C#N)Oc1ccc(C[N@H+]2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000764678523 700981784 /nfs/dbraw/zinc/98/17/84/700981784.db2.gz MMMXFJVLVXNKPX-XJKSGUPXSA-N 1 2 322.430 1.986 20 30 DDEDLO C[C@H]1C[N@@H+](C2CC2)CC1=NNS(=O)(=O)c1ccc(F)cc1 ZINC000764722272 700983487 /nfs/dbraw/zinc/98/34/87/700983487.db2.gz NVOAVVBFZXEWGR-JTQLQIEISA-N 1 2 311.382 1.574 20 30 DDEDLO C[C@H]1C[N@H+](C2CC2)CC1=NNS(=O)(=O)c1ccc(F)cc1 ZINC000764722272 700983489 /nfs/dbraw/zinc/98/34/89/700983489.db2.gz NVOAVVBFZXEWGR-JTQLQIEISA-N 1 2 311.382 1.574 20 30 DDEDLO COc1ncnc(N)c1C=[NH+]NCc1cnc2ccccc2c1 ZINC000764779275 700986198 /nfs/dbraw/zinc/98/61/98/700986198.db2.gz QMDADPNXTLJHKS-UHFFFAOYSA-N 1 2 308.345 1.739 20 30 DDEDLO C#CC[C@@H](c1ccccc1)N1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O ZINC000804164153 701168672 /nfs/dbraw/zinc/16/86/72/701168672.db2.gz HNSLTMRCDUPRLS-GJZGRUSLSA-N 1 2 308.341 1.637 20 30 DDEDLO C#CC[C@@H](c1ccccc1)N1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O ZINC000804164153 701168674 /nfs/dbraw/zinc/16/86/74/701168674.db2.gz HNSLTMRCDUPRLS-GJZGRUSLSA-N 1 2 308.341 1.637 20 30 DDEDLO C[C@@H](NC(=S)Nc1ccccc1C#N)[C@@H]1C[N@H+](C)CCO1 ZINC000769791107 701256061 /nfs/dbraw/zinc/25/60/61/701256061.db2.gz FTBNPDXVGXKZSD-RISCZKNCSA-N 1 2 304.419 1.564 20 30 DDEDLO C[C@@H](NC(=S)Nc1ccccc1C#N)[C@@H]1C[N@@H+](C)CCO1 ZINC000769791107 701256062 /nfs/dbraw/zinc/25/60/62/701256062.db2.gz FTBNPDXVGXKZSD-RISCZKNCSA-N 1 2 304.419 1.564 20 30 DDEDLO COc1cc(C)c(C[NH+]2CCN(C(=O)CC#N)CC2)cc1OC ZINC000771911956 701336544 /nfs/dbraw/zinc/33/65/44/701336544.db2.gz APMJXJCCVZVBFK-UHFFFAOYSA-N 1 2 317.389 1.570 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@@H]2CCc3c[nH+]cn3C2)s1 ZINC000807685429 701483840 /nfs/dbraw/zinc/48/38/40/701483840.db2.gz OUJBTAWMTYIOFL-SNVBAGLBSA-N 1 2 303.391 1.682 20 30 DDEDLO C=CCN(C(=O)C(=O)N[C@H]1CCN(c2cccc[nH+]2)C1)C(C)C ZINC000807695163 701484238 /nfs/dbraw/zinc/48/42/38/701484238.db2.gz RGRXAALIXHCFFM-AWEZNQCLSA-N 1 2 316.405 1.200 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[NH+]2CCC3(CC2)OCCCO3)cc1 ZINC000815158089 701817584 /nfs/dbraw/zinc/81/75/84/701817584.db2.gz FURUHHDKNXMHFW-HNNXBMFYSA-N 1 2 315.373 1.314 20 30 DDEDLO CC(=[NH+]NC(=S)NC1CC1)c1cccc(N2CCOCC2)c1 ZINC000812400619 702175395 /nfs/dbraw/zinc/17/53/95/702175395.db2.gz ABEQIFKMXRGOPY-UHFFFAOYSA-N 1 2 318.446 1.874 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1ccc2ccc(=O)oc2c1 ZINC000840751877 702227550 /nfs/dbraw/zinc/22/75/50/702227550.db2.gz FVEIIGUCOFSEPZ-CYBMUJFWSA-N 1 2 302.330 1.378 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1ccc2ccc(=O)oc2c1 ZINC000840751877 702227554 /nfs/dbraw/zinc/22/75/54/702227554.db2.gz FVEIIGUCOFSEPZ-CYBMUJFWSA-N 1 2 302.330 1.378 20 30 DDEDLO CNC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1ccc(F)cc1C#N ZINC000879333454 706652467 /nfs/dbraw/zinc/65/24/67/706652467.db2.gz CAVGAJVBFYWHTF-HNNXBMFYSA-N 1 2 304.369 1.981 20 30 DDEDLO CNC(=O)NC[C@@H]1CCCC[N@H+]1Cc1ccc(F)cc1C#N ZINC000879333454 706652468 /nfs/dbraw/zinc/65/24/68/706652468.db2.gz CAVGAJVBFYWHTF-HNNXBMFYSA-N 1 2 304.369 1.981 20 30 DDEDLO CCn1c[nH+]cc1CN[C@@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000841874665 702601917 /nfs/dbraw/zinc/60/19/17/702601917.db2.gz ONOWTSPCCXBGHO-GXTWGEPZSA-N 1 2 319.409 1.752 20 30 DDEDLO C[C@@H]([NH2+]C[C@]1(OCCO)CCOC1)c1cccc(C#N)c1O ZINC000866389154 706687883 /nfs/dbraw/zinc/68/78/83/706687883.db2.gz QFZDRVDTXWFIAV-MLGOLLRUSA-N 1 2 306.362 1.082 20 30 DDEDLO COCC[N@H+]1[C@H](C)CN(C(=O)c2ccc(C#N)s2)C[C@@H]1C ZINC000844021147 702956706 /nfs/dbraw/zinc/95/67/06/702956706.db2.gz VJGLFUUEOYDZDT-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO COCC[N@@H+]1[C@H](C)CN(C(=O)c2ccc(C#N)s2)C[C@@H]1C ZINC000844021147 702956708 /nfs/dbraw/zinc/95/67/08/702956708.db2.gz VJGLFUUEOYDZDT-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000845125957 703113503 /nfs/dbraw/zinc/11/35/03/703113503.db2.gz SFAPXZBDKJTHDN-AWEZNQCLSA-N 1 2 319.317 1.230 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000845125957 703113504 /nfs/dbraw/zinc/11/35/04/703113504.db2.gz SFAPXZBDKJTHDN-AWEZNQCLSA-N 1 2 319.317 1.230 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC000831576780 706733475 /nfs/dbraw/zinc/73/34/75/706733475.db2.gz JZGMJGHTZXDWNJ-HBNTYKKESA-N 1 2 320.311 1.057 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC000831576780 706733476 /nfs/dbraw/zinc/73/34/76/706733476.db2.gz JZGMJGHTZXDWNJ-HBNTYKKESA-N 1 2 320.311 1.057 20 30 DDEDLO N#CCOc1cc(F)ccc1NC(=O)/C=C\C[NH+]1CCOCC1 ZINC000866619027 706745007 /nfs/dbraw/zinc/74/50/07/706745007.db2.gz PGEOPFFCCCFNRI-UPHRSURJSA-N 1 2 319.336 1.555 20 30 DDEDLO COc1ccc2c(c1OC)OCC2=NNCCCn1cc[nH+]c1 ZINC000848416229 703548012 /nfs/dbraw/zinc/54/80/12/703548012.db2.gz CZLMKPHAZMCLML-UHFFFAOYSA-N 1 2 316.361 1.677 20 30 DDEDLO CC(=NNCCCn1cc[nH+]c1)c1ccc(C(=O)N(C)C)cc1 ZINC000848417129 703548101 /nfs/dbraw/zinc/54/81/01/703548101.db2.gz MDKSMJWLHAHHMY-UHFFFAOYSA-N 1 2 313.405 1.989 20 30 DDEDLO C#C[C@H]1CCC[N@@H+](CN2C(=O)NC(C3CC3)(C3CC3)C2=O)C1 ZINC000848492335 703557247 /nfs/dbraw/zinc/55/72/47/703557247.db2.gz ATAQMZXALKHKIL-LBPRGKRZSA-N 1 2 301.390 1.400 20 30 DDEDLO C#C[C@H]1CCC[N@H+](CN2C(=O)NC(C3CC3)(C3CC3)C2=O)C1 ZINC000848492335 703557249 /nfs/dbraw/zinc/55/72/49/703557249.db2.gz ATAQMZXALKHKIL-LBPRGKRZSA-N 1 2 301.390 1.400 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](Cn2nc(N3CCOCC3)n(C)c2=S)C1 ZINC000848494583 703557692 /nfs/dbraw/zinc/55/76/92/703557692.db2.gz YCKDVUALLGKIDK-CYBMUJFWSA-N 1 2 321.450 1.090 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](Cn2nc(N3CCOCC3)n(C)c2=S)C1 ZINC000848494583 703557693 /nfs/dbraw/zinc/55/76/93/703557693.db2.gz YCKDVUALLGKIDK-CYBMUJFWSA-N 1 2 321.450 1.090 20 30 DDEDLO C#Cc1cccc(NC(=S)N2CC[NH+]([C@@H]3CCOC3)CC2)c1 ZINC000849289982 703632510 /nfs/dbraw/zinc/63/25/10/703632510.db2.gz SIWJMDRZIZTYNC-MRXNPFEDSA-N 1 2 315.442 1.771 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[NH2+][C@@H](c2cccc(OC)c2)C1 ZINC000870153731 703934929 /nfs/dbraw/zinc/93/49/29/703934929.db2.gz XGNSUVKHXKAGDR-NVXWUHKLSA-N 1 2 304.390 1.495 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H]2COC[C@@]2(C)C1 ZINC000852535102 704069873 /nfs/dbraw/zinc/06/98/73/704069873.db2.gz UXOZLNQPJRQDRG-HLLBOEOZSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@@H]2COC[C@@]2(C)C1 ZINC000852535102 704069875 /nfs/dbraw/zinc/06/98/75/704069875.db2.gz UXOZLNQPJRQDRG-HLLBOEOZSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)NC1CC[NH+](CC(=O)Nc2cccc(C#N)c2)CC1 ZINC000852767950 704119315 /nfs/dbraw/zinc/11/93/15/704119315.db2.gz LDYADEIHDFFROM-UHFFFAOYSA-N 1 2 316.361 1.317 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1ccc2c(c1)OCCCO2 ZINC000819394534 704119983 /nfs/dbraw/zinc/11/99/83/704119983.db2.gz YJDPQLYYUGHXDO-AWEZNQCLSA-N 1 2 305.378 1.466 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1ccc2c(c1)OCCCO2 ZINC000819394534 704119985 /nfs/dbraw/zinc/11/99/85/704119985.db2.gz YJDPQLYYUGHXDO-AWEZNQCLSA-N 1 2 305.378 1.466 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(COCCO)cc1 ZINC000819721608 704164548 /nfs/dbraw/zinc/16/45/48/704164548.db2.gz BQADZTAKVGBJMB-INIZCTEOSA-N 1 2 302.374 1.232 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(COCCO)cc1 ZINC000819721608 704164551 /nfs/dbraw/zinc/16/45/51/704164551.db2.gz BQADZTAKVGBJMB-INIZCTEOSA-N 1 2 302.374 1.232 20 30 DDEDLO C[NH+](C)[C@@H](C(=O)N[C@@H]1CCN(O)C1=O)c1cccc(Cl)c1 ZINC000820157111 704231712 /nfs/dbraw/zinc/23/17/12/704231712.db2.gz SEBWXWBNTCZMTA-VXGBXAGGSA-N 1 2 311.769 1.049 20 30 DDEDLO C[C@@]12COC[C@]1(C)C[N@@H+](Cn1cc3c(c(C#N)c1=O)CCC3)C2 ZINC000853543290 704264629 /nfs/dbraw/zinc/26/46/29/704264629.db2.gz STIWBUKVZWXNEG-HDICACEKSA-N 1 2 313.401 1.525 20 30 DDEDLO C[C@@]12COC[C@]1(C)C[N@H+](Cn1cc3c(c(C#N)c1=O)CCC3)C2 ZINC000853543290 704264630 /nfs/dbraw/zinc/26/46/30/704264630.db2.gz STIWBUKVZWXNEG-HDICACEKSA-N 1 2 313.401 1.525 20 30 DDEDLO Cc1noc(CO[NH+]=C(N)c2ccc3c(c2)CCO3)c1[N+](=O)[O-] ZINC000853679531 704298154 /nfs/dbraw/zinc/29/81/54/704298154.db2.gz BFXWNPDZZBYLTK-UHFFFAOYSA-N 1 2 318.289 1.663 20 30 DDEDLO C#C[C@@H](NC(=O)N(C)[C@H](C)C[NH+]1CCOCC1)c1ccccc1 ZINC000820595163 704307353 /nfs/dbraw/zinc/30/73/53/704307353.db2.gz OZJBJXLYQLDZNI-NVXWUHKLSA-N 1 2 315.417 1.723 20 30 DDEDLO CC(C)(C)OC(=O)C[NH+]1CCC(NC(=O)CCCCC#N)CC1 ZINC000871545414 704312578 /nfs/dbraw/zinc/31/25/78/704312578.db2.gz OWHUNUOOUZPHIU-UHFFFAOYSA-N 1 2 323.437 1.993 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000855494172 704487941 /nfs/dbraw/zinc/48/79/41/704487941.db2.gz AEKQSDBDELQSEX-CYBMUJFWSA-N 1 2 317.389 1.249 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(-c2cn(C)nn2)c1 ZINC000856181847 704517607 /nfs/dbraw/zinc/51/76/07/704517607.db2.gz DAINWDDQYKCCCT-INIZCTEOSA-N 1 2 309.373 1.518 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(-c2cn(C)nn2)c1 ZINC000856181847 704517608 /nfs/dbraw/zinc/51/76/08/704517608.db2.gz DAINWDDQYKCCCT-INIZCTEOSA-N 1 2 309.373 1.518 20 30 DDEDLO Cc1ccc(C[N@@H+]2CC[C@H]3CS(=O)(=O)C[C@@H]3C2)cc1C#N ZINC000879936851 706825319 /nfs/dbraw/zinc/82/53/19/706825319.db2.gz LLIOHUAQJGGAJU-HOCLYGCPSA-N 1 2 304.415 1.733 20 30 DDEDLO Cc1ccc(C[N@H+]2CC[C@H]3CS(=O)(=O)C[C@@H]3C2)cc1C#N ZINC000879936851 706825322 /nfs/dbraw/zinc/82/53/22/706825322.db2.gz LLIOHUAQJGGAJU-HOCLYGCPSA-N 1 2 304.415 1.733 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CC[C@@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858046446 704661735 /nfs/dbraw/zinc/66/17/35/704661735.db2.gz NCZRKALSGBICPB-CQSZACIVSA-N 1 2 300.362 1.052 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CC[C@@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858046446 704661736 /nfs/dbraw/zinc/66/17/36/704661736.db2.gz NCZRKALSGBICPB-CQSZACIVSA-N 1 2 300.362 1.052 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880304478 706934502 /nfs/dbraw/zinc/93/45/02/706934502.db2.gz ZVNRGNHWBWOWRS-AAEUAGOBSA-N 1 2 321.343 1.648 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880304478 706934504 /nfs/dbraw/zinc/93/45/04/706934504.db2.gz ZVNRGNHWBWOWRS-AAEUAGOBSA-N 1 2 321.343 1.648 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CCC[C@@H](CO)C2)nc[nH+]1 ZINC000858860111 704765250 /nfs/dbraw/zinc/76/52/50/704765250.db2.gz YYSVWCRSWZMPCA-ZIAGYGMSSA-N 1 2 304.394 1.136 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CCC[C@@H](CO)C2)[nH+]cn1 ZINC000858860111 704765251 /nfs/dbraw/zinc/76/52/51/704765251.db2.gz YYSVWCRSWZMPCA-ZIAGYGMSSA-N 1 2 304.394 1.136 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CC[C@@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000858861369 704765546 /nfs/dbraw/zinc/76/55/46/704765546.db2.gz XIZWANAXNNJZBH-MGPQQGTHSA-N 1 2 304.394 1.134 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CC[C@@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000858861369 704765548 /nfs/dbraw/zinc/76/55/48/704765548.db2.gz XIZWANAXNNJZBH-MGPQQGTHSA-N 1 2 304.394 1.134 20 30 DDEDLO N#Cc1ccccc1OC[C@H](O)C[NH+]1CC(C(F)(F)F)C1 ZINC000859200604 704819479 /nfs/dbraw/zinc/81/94/79/704819479.db2.gz GVWSPYFWNMPMGS-GFCCVEGCSA-N 1 2 300.280 1.792 20 30 DDEDLO C#C[C@@H](NC(=O)c1cc(-n2cc[nH+]c2)ccc1O)[C@H]1CCCO1 ZINC000822072812 704871468 /nfs/dbraw/zinc/87/14/68/704871468.db2.gz MTONXEQOKFJOQM-GDBMZVCRSA-N 1 2 311.341 1.489 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2noc3c2CC(C)(C)CC3)CC1 ZINC000874263345 704989028 /nfs/dbraw/zinc/98/90/28/704989028.db2.gz BLIUWNGBUIRCHK-UHFFFAOYSA-N 1 2 315.417 1.971 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)C(=O)Nc2ccc3[nH+]ccn3c2)CC1 ZINC000874371948 705024033 /nfs/dbraw/zinc/02/40/33/705024033.db2.gz GOXVYXGOLPQZRQ-JOCQHMNTSA-N 1 2 310.357 1.581 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H](CC)CCC)CC1 ZINC000874382939 705029844 /nfs/dbraw/zinc/02/98/44/705029844.db2.gz NZBIYDQONCAGQQ-HNNXBMFYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H](CC)CCC)CC1 ZINC000874382939 705029847 /nfs/dbraw/zinc/02/98/47/705029847.db2.gz NZBIYDQONCAGQQ-HNNXBMFYSA-N 1 2 307.438 1.096 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)CNc1ccc(C#N)cc1 ZINC000874703629 705136716 /nfs/dbraw/zinc/13/67/16/705136716.db2.gz SHFFYNUYPUKCMU-INIZCTEOSA-N 1 2 316.405 1.197 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)CNc1ccc(C#N)cc1 ZINC000874703629 705136718 /nfs/dbraw/zinc/13/67/18/705136718.db2.gz SHFFYNUYPUKCMU-INIZCTEOSA-N 1 2 316.405 1.197 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+]([C@H]2CCN(C(C)(C)C)C2=O)CC1 ZINC000823215563 705173871 /nfs/dbraw/zinc/17/38/71/705173871.db2.gz KGCBEVACGOMQEK-LBPRGKRZSA-N 1 2 307.394 1.165 20 30 DDEDLO C[C@H](C#N)CNC(=O)NC1CC[NH+](CC(=O)OC(C)(C)C)CC1 ZINC000875475969 705400926 /nfs/dbraw/zinc/40/09/26/705400926.db2.gz IZAIURJDMIQIJE-GFCCVEGCSA-N 1 2 324.425 1.251 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@H+]2CCOC[C@@H]2CC)cc1 ZINC000875630305 705455697 /nfs/dbraw/zinc/45/56/97/705455697.db2.gz NCESQNCTBUABDO-KRWDZBQOSA-N 1 2 315.417 1.578 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC[N@@H+]2CCOC[C@@H]2CC)cc1 ZINC000875630305 705455700 /nfs/dbraw/zinc/45/57/00/705455700.db2.gz NCESQNCTBUABDO-KRWDZBQOSA-N 1 2 315.417 1.578 20 30 DDEDLO COC(=O)C1(C#N)CC[NH+](Cc2cccc(OC)c2OC)CC1 ZINC000824861910 705544239 /nfs/dbraw/zinc/54/42/39/705544239.db2.gz SGOCUCQAWAOVBU-UHFFFAOYSA-N 1 2 318.373 1.983 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C)[nH+]c2N(C)C)C1 ZINC000861977114 705621642 /nfs/dbraw/zinc/62/16/42/705621642.db2.gz DCZUFGCAQWSBCX-CYBMUJFWSA-N 1 2 316.405 1.819 20 30 DDEDLO N#Cc1ccc(N2CCN(Cc3c[nH+]c4n3CCC4)CC2)cn1 ZINC000862423041 705715425 /nfs/dbraw/zinc/71/54/25/705715425.db2.gz NLKZCDRWVGZIAI-UHFFFAOYSA-N 1 2 308.389 1.418 20 30 DDEDLO CC(=[NH+]NC[C@@H]1COc2ccccc2O1)c1csc(N)n1 ZINC000863200526 705878430 /nfs/dbraw/zinc/87/84/30/705878430.db2.gz FQWSYCFEAXHXCK-SNVBAGLBSA-N 1 2 304.375 1.879 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc2c(C#N)c[nH]c2c1 ZINC000864879934 706290448 /nfs/dbraw/zinc/29/04/48/706290448.db2.gz SQSCJIVGRQVECL-GFCCVEGCSA-N 1 2 312.373 1.490 20 30 DDEDLO Cc1ccc(S(=O)(=O)N[C@@H]2CCn3cc[nH+]c3C2)c(C#N)c1 ZINC000872474445 707433371 /nfs/dbraw/zinc/43/33/71/707433371.db2.gz GLLYRHHUGRSCGT-CYBMUJFWSA-N 1 2 316.386 1.357 20 30 DDEDLO N#Cc1ccc2c(c1)C[C@H](NC(=O)[C@H]1CCn3cc[nH+]c3C1)C2 ZINC000829486459 706343286 /nfs/dbraw/zinc/34/32/86/706343286.db2.gz WJZAQLFFGGNTKF-GOEBONIOSA-N 1 2 306.369 1.601 20 30 DDEDLO CC(C)(O)C[C@@H](O)C[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000878321844 706359169 /nfs/dbraw/zinc/35/91/69/706359169.db2.gz CNWKEWBQIMCZDE-CVEARBPZSA-N 1 2 304.390 1.453 20 30 DDEDLO CC(C)(O)C[C@@H](O)C[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000878321844 706359171 /nfs/dbraw/zinc/35/91/71/706359171.db2.gz CNWKEWBQIMCZDE-CVEARBPZSA-N 1 2 304.390 1.453 20 30 DDEDLO C[C@H](C#N)OCC[N@@H+]1Cc2ccccc2C[C@H]1C(=O)NC1CC1 ZINC000829656644 706372829 /nfs/dbraw/zinc/37/28/29/706372829.db2.gz XKDKLPCGRIYYML-DYVFJYSZSA-N 1 2 313.401 1.621 20 30 DDEDLO C[C@H](C#N)OCC[N@H+]1Cc2ccccc2C[C@H]1C(=O)NC1CC1 ZINC000829656644 706372830 /nfs/dbraw/zinc/37/28/30/706372830.db2.gz XKDKLPCGRIYYML-DYVFJYSZSA-N 1 2 313.401 1.621 20 30 DDEDLO C#CC[C@H]1CCC[N@@H+](CC(=O)N=c2cc[nH]n2[C@H](C)C2CC2)C1 ZINC000829803640 706399149 /nfs/dbraw/zinc/39/91/49/706399149.db2.gz AGGUGSKXCAWWGZ-CABCVRRESA-N 1 2 314.433 1.950 20 30 DDEDLO C#CC[C@H]1CCC[N@H+](CC(=O)N=c2cc[nH]n2[C@H](C)C2CC2)C1 ZINC000829803640 706399151 /nfs/dbraw/zinc/39/91/51/706399151.db2.gz AGGUGSKXCAWWGZ-CABCVRRESA-N 1 2 314.433 1.950 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)Cc2cc[nH]c(=O)c2)n1 ZINC000878526403 706417021 /nfs/dbraw/zinc/41/70/21/706417021.db2.gz PGEQLNNXZPKXOW-UHFFFAOYSA-N 1 2 300.362 1.266 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)Cc2cc[nH]c(=O)c2)n1 ZINC000878526403 706417022 /nfs/dbraw/zinc/41/70/22/706417022.db2.gz PGEQLNNXZPKXOW-UHFFFAOYSA-N 1 2 300.362 1.266 20 30 DDEDLO C#CCOc1cccc(NC(=O)/C=C\C[NH+]2CCOCC2)c1 ZINC000865601979 706474396 /nfs/dbraw/zinc/47/43/96/706474396.db2.gz JRKKRKNQMUETLA-DAXSKMNVSA-N 1 2 300.358 1.526 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc[nH]3)n2CC2CC2)CC1 ZINC000830505662 706527507 /nfs/dbraw/zinc/52/75/07/706527507.db2.gz SSNZFYHKECNHBO-UHFFFAOYSA-N 1 2 310.405 1.438 20 30 DDEDLO N#CC[C@@]1(O)CCN(c2cc(NCc3ccccc3)[nH+]cn2)C1 ZINC000865900199 706557443 /nfs/dbraw/zinc/55/74/43/706557443.db2.gz VZFPKARLFHUDMZ-QGZVFWFLSA-N 1 2 309.373 1.944 20 30 DDEDLO N#CC[C@@]1(O)CCN(c2cc(NCc3ccccc3)nc[nH+]2)C1 ZINC000865900199 706557446 /nfs/dbraw/zinc/55/74/46/706557446.db2.gz VZFPKARLFHUDMZ-QGZVFWFLSA-N 1 2 309.373 1.944 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCO[C@@H](C(F)(F)F)CC1 ZINC000880046720 706862934 /nfs/dbraw/zinc/86/29/34/706862934.db2.gz BCRONHFRDWFITG-LLVKDONJSA-N 1 2 307.316 1.402 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCO[C@@H](C(F)(F)F)CC1 ZINC000880046720 706862936 /nfs/dbraw/zinc/86/29/36/706862936.db2.gz BCRONHFRDWFITG-LLVKDONJSA-N 1 2 307.316 1.402 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@H](C(F)(F)F)O[C@H](C)C1 ZINC000880052323 706864643 /nfs/dbraw/zinc/86/46/43/706864643.db2.gz UMMZXAJRYDXEFY-NXEZZACHSA-N 1 2 323.315 1.040 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@H](C(F)(F)F)O[C@H](C)C1 ZINC000880052323 706864646 /nfs/dbraw/zinc/86/46/46/706864646.db2.gz UMMZXAJRYDXEFY-NXEZZACHSA-N 1 2 323.315 1.040 20 30 DDEDLO CN(CN1C[C@H](c2c[nH+]cn2C)CC1=O)[C@H]1CCC[C@H]1C#N ZINC000872684558 707558030 /nfs/dbraw/zinc/55/80/30/707558030.db2.gz OYZJKEZTBBOFIM-MJBXVCDLSA-N 1 2 301.394 1.318 20 30 DDEDLO Cc1ccc(NC[C@]2(CO)COCC3(CCC3)[N@H+]2C)c(C#N)n1 ZINC000882650497 707733229 /nfs/dbraw/zinc/73/32/29/707733229.db2.gz AUXBPCHFTATFHE-KRWDZBQOSA-N 1 2 316.405 1.289 20 30 DDEDLO Cc1ccc(NC[C@]2(CO)COCC3(CCC3)[N@@H+]2C)c(C#N)n1 ZINC000882650497 707733233 /nfs/dbraw/zinc/73/32/33/707733233.db2.gz AUXBPCHFTATFHE-KRWDZBQOSA-N 1 2 316.405 1.289 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@H]2C)CC1 ZINC000873296709 707802329 /nfs/dbraw/zinc/80/23/29/707802329.db2.gz LPQHYEAJXUITGS-VQHPVUNQSA-N 1 2 319.449 1.683 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)C[C@H](n1cc[nH+]c1)C(F)(F)F ZINC000882903180 707845236 /nfs/dbraw/zinc/84/52/36/707845236.db2.gz XIZAWKUWIXLQKZ-MNOVXSKESA-N 1 2 305.300 1.820 20 30 DDEDLO C#CC[C@H](Cc1ccccc1)NC(=O)NCCc1cn(C)c[nH+]1 ZINC000838589300 707949649 /nfs/dbraw/zinc/94/96/49/707949649.db2.gz KOOJDFJYGQGJQF-MRXNPFEDSA-N 1 2 310.401 1.896 20 30 DDEDLO C[C@H]([NH2+]CC[C@H]1CCCS1(=O)=O)c1cccc(C#N)c1O ZINC000883309965 707973616 /nfs/dbraw/zinc/97/36/16/707973616.db2.gz XWCFBWIIICLSLD-WCQYABFASA-N 1 2 308.403 1.882 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccccc1OC(C)C ZINC000884069575 708125495 /nfs/dbraw/zinc/12/54/95/708125495.db2.gz ICFJTKPCUYEHPB-AWEZNQCLSA-N 1 2 320.389 1.537 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@H]1C[C@@H](OCC)C1(C)C ZINC000884150652 708161359 /nfs/dbraw/zinc/16/13/59/708161359.db2.gz RSNTWSYHQVOUSG-RWMBFGLXSA-N 1 2 312.410 1.095 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H]1COc2c1cccc2Cl ZINC000884166253 708168887 /nfs/dbraw/zinc/16/88/87/708168887.db2.gz YCRXPEUDGSBEHJ-RYUDHWBXSA-N 1 2 324.764 1.336 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)c1ccc(OC)cc1OC ZINC000884315368 708240657 /nfs/dbraw/zinc/24/06/57/708240657.db2.gz NBGXNVONHINRIC-LBPRGKRZSA-N 1 2 322.361 1.113 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC(c2ccco2)CC1 ZINC000884398583 708280551 /nfs/dbraw/zinc/28/05/51/708280551.db2.gz IJERLTVAYIXEDV-ZDUSSCGKSA-N 1 2 306.362 1.432 20 30 DDEDLO C[N@@H+]1CCC[C@@H]1c1cc(C(=O)NCc2ccc(C#N)cn2)[nH]n1 ZINC000897496596 708351829 /nfs/dbraw/zinc/35/18/29/708351829.db2.gz PEZLSPFGSFBGKH-OAHLLOKOSA-N 1 2 310.361 1.373 20 30 DDEDLO C[N@H+]1CCC[C@@H]1c1cc(C(=O)NCc2ccc(C#N)cn2)[nH]n1 ZINC000897496596 708351832 /nfs/dbraw/zinc/35/18/32/708351832.db2.gz PEZLSPFGSFBGKH-OAHLLOKOSA-N 1 2 310.361 1.373 20 30 DDEDLO C=CCC1(O)CCN(c2cc(N3CCSCC3)nc[nH+]2)CC1 ZINC000884723196 708366343 /nfs/dbraw/zinc/36/63/43/708366343.db2.gz BHXAYGFIWDZDNE-UHFFFAOYSA-N 1 2 320.462 1.937 20 30 DDEDLO C=CCC1(O)CCN(c2cc(N3CCSCC3)[nH+]cn2)CC1 ZINC000884723196 708366347 /nfs/dbraw/zinc/36/63/47/708366347.db2.gz BHXAYGFIWDZDNE-UHFFFAOYSA-N 1 2 320.462 1.937 20 30 DDEDLO Cn1c[nH+]cc1CNS(=O)(=O)c1ccc(CCC#N)cc1 ZINC000885193255 708490506 /nfs/dbraw/zinc/49/05/06/708490506.db2.gz SFMFZYVLLJFAED-UHFFFAOYSA-N 1 2 304.375 1.355 20 30 DDEDLO COC(=O)c1cc(C2C[NH+](Cc3ccc(C#N)c(F)c3)C2)[nH]n1 ZINC000898002098 708516194 /nfs/dbraw/zinc/51/61/94/708516194.db2.gz NIYSIGKFLOQUKK-UHFFFAOYSA-N 1 2 314.320 1.806 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)CCc2ccccc2F)C1 ZINC000885508778 708561865 /nfs/dbraw/zinc/56/18/65/708561865.db2.gz KXPOELBQOYKDIE-HNNXBMFYSA-N 1 2 324.421 1.385 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)CCc2ccccc2F)C1 ZINC000885508778 708561868 /nfs/dbraw/zinc/56/18/68/708561868.db2.gz KXPOELBQOYKDIE-HNNXBMFYSA-N 1 2 324.421 1.385 20 30 DDEDLO CC(C)[C@H](C#N)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000886402458 708754200 /nfs/dbraw/zinc/75/42/00/708754200.db2.gz KXHQCRRPGCKQRB-AWEZNQCLSA-N 1 2 307.419 1.501 20 30 DDEDLO CC(C)[C@H](C#N)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000886402458 708754201 /nfs/dbraw/zinc/75/42/01/708754201.db2.gz KXHQCRRPGCKQRB-AWEZNQCLSA-N 1 2 307.419 1.501 20 30 DDEDLO C#Cc1ccc(C(=O)NCc2nc(C[NH+](C)C)cs2)cn1 ZINC000927769603 713051881 /nfs/dbraw/zinc/05/18/81/713051881.db2.gz KQTFMPWDOUWKCN-UHFFFAOYSA-N 1 2 300.387 1.511 20 30 DDEDLO Cc1cc(=O)[nH]c(N2CC[NH+](Cc3ccc(C)c(C#N)c3)CC2)n1 ZINC000886657885 708807523 /nfs/dbraw/zinc/80/75/23/708807523.db2.gz HGNVWJNZLCTIPN-UHFFFAOYSA-N 1 2 323.400 1.993 20 30 DDEDLO COC(=O)[C@@H]1CSCC[N@@H+]1CCCC1(C#N)CCOCC1 ZINC000887077083 708948961 /nfs/dbraw/zinc/94/89/61/708948961.db2.gz DEDGIQCCXVKQFJ-ZDUSSCGKSA-N 1 2 312.435 1.677 20 30 DDEDLO COC(=O)[C@@H]1CSCC[N@H+]1CCCC1(C#N)CCOCC1 ZINC000887077083 708948963 /nfs/dbraw/zinc/94/89/63/708948963.db2.gz DEDGIQCCXVKQFJ-ZDUSSCGKSA-N 1 2 312.435 1.677 20 30 DDEDLO C#CCN1CCC[C@@H](NC(=O)NC[C@@H](C)Cn2cc[nH+]c2C)C1 ZINC000888026296 709193655 /nfs/dbraw/zinc/19/36/55/709193655.db2.gz VCJFARZTLYIXEJ-GDBMZVCRSA-N 1 2 317.437 1.224 20 30 DDEDLO CC(C)OC1C[NH+](C[C@@H](O)COc2ccc(CC#N)cc2)C1 ZINC000899901655 709231637 /nfs/dbraw/zinc/23/16/37/709231637.db2.gz RTUYHGIQDJYYTF-OAHLLOKOSA-N 1 2 304.390 1.602 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2cc(C#N)ccc2F)[C@H](C)CO1 ZINC000888374094 709290740 /nfs/dbraw/zinc/29/07/40/709290740.db2.gz ZOOZWZCLUJMKFF-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2cc(C#N)ccc2F)[C@H](C)CO1 ZINC000888374094 709290742 /nfs/dbraw/zinc/29/07/42/709290742.db2.gz ZOOZWZCLUJMKFF-VXGBXAGGSA-N 1 2 320.368 1.928 20 30 DDEDLO COC(=O)[C@@H](C)Oc1ccccc1C=NNc1[nH+]ccn1C ZINC000901236207 709979548 /nfs/dbraw/zinc/97/95/48/709979548.db2.gz PLJHARWSADGBNZ-LLVKDONJSA-N 1 2 302.334 1.806 20 30 DDEDLO CCn1c[nH+]cc1/C=C1\CCn2nc(C(=O)OC)cc2C1=O ZINC000901561146 710075475 /nfs/dbraw/zinc/07/54/75/710075475.db2.gz PIGBFLKSWFYUSU-UXBLZVDNSA-N 1 2 300.318 1.556 20 30 DDEDLO CN(C)C(=O)[C@@H]([NH2+]CC#C[C@@H]1CCCCO1)c1ccccc1 ZINC000901699106 710123527 /nfs/dbraw/zinc/12/35/27/710123527.db2.gz FYPNQRJVDIMZLS-IRXDYDNUSA-N 1 2 300.402 1.978 20 30 DDEDLO CN(C)c1cc(N2CCN(c3ncccc3C#N)CC2)nc[nH+]1 ZINC000891620657 710250674 /nfs/dbraw/zinc/25/06/74/710250674.db2.gz HMPBQKXIJOAGOB-UHFFFAOYSA-N 1 2 309.377 1.136 20 30 DDEDLO CN(C)c1cc(N2CCN(c3ncccc3C#N)CC2)[nH+]cn1 ZINC000891620657 710250676 /nfs/dbraw/zinc/25/06/76/710250676.db2.gz HMPBQKXIJOAGOB-UHFFFAOYSA-N 1 2 309.377 1.136 20 30 DDEDLO C=CCCC[C@@H](NC(=O)[C@H]1CCc2[nH+]ccn2C1)C(=O)OC ZINC000928312842 713172895 /nfs/dbraw/zinc/17/28/95/713172895.db2.gz HIDOMSYRJBJQPD-QWHCGFSZSA-N 1 2 305.378 1.460 20 30 DDEDLO C/C(=C\C(=O)NCc1ccc(CC#N)cc1)C[NH+]1CCOCC1 ZINC000911493753 710822031 /nfs/dbraw/zinc/82/20/31/710822031.db2.gz NJBQYYIDYOKVSF-NTCAYCPXSA-N 1 2 313.401 1.647 20 30 DDEDLO C#CCSCC(=O)N1CC[NH2+][C@@H](c2cccc(OC)c2)C1 ZINC000913482153 713234127 /nfs/dbraw/zinc/23/41/27/713234127.db2.gz GRJOHPJBENVFDU-OAHLLOKOSA-N 1 2 304.415 1.535 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ccccc1OCC#N ZINC000928637923 713244944 /nfs/dbraw/zinc/24/49/44/713244944.db2.gz HDVJDYGVNQXCGA-AWEZNQCLSA-N 1 2 317.389 1.430 20 30 DDEDLO Cc1nc([C@@H]2CC[N@H+](Cc3cnc4c(C#N)cnn4c3)C2)n[nH]1 ZINC000895007298 711364267 /nfs/dbraw/zinc/36/42/67/711364267.db2.gz BJKFLCYPBZWZKL-GFCCVEGCSA-N 1 2 308.349 1.017 20 30 DDEDLO Cc1nc([C@@H]2CC[N@@H+](Cc3cnc4c(C#N)cnn4c3)C2)n[nH]1 ZINC000895007298 711364270 /nfs/dbraw/zinc/36/42/70/711364270.db2.gz BJKFLCYPBZWZKL-GFCCVEGCSA-N 1 2 308.349 1.017 20 30 DDEDLO C=C(C)C[C@H]([NH2+]CC1CN(C(=O)OC(C)(C)C)C1)C(=O)OC ZINC000895442603 711513801 /nfs/dbraw/zinc/51/38/01/711513801.db2.gz DCWMUUDZQCOKRT-ZDUSSCGKSA-N 1 2 312.410 1.951 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@H]([NH2+]C[C@@](C)(O)C(F)F)[C@@H](C#N)C1 ZINC000905211454 711969516 /nfs/dbraw/zinc/96/95/16/711969516.db2.gz DVXMSOSLHZUBHA-PKFCDNJMSA-N 1 2 319.352 1.351 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[N@@H+](C[C@@H](C)O)C[C@@H]2C)s1 ZINC000907517996 712589139 /nfs/dbraw/zinc/58/91/39/712589139.db2.gz LPCRYSRIWPWOBK-NWDGAFQWSA-N 1 2 324.450 1.268 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[N@H+](C[C@@H](C)O)C[C@@H]2C)s1 ZINC000907517996 712589140 /nfs/dbraw/zinc/58/91/40/712589140.db2.gz LPCRYSRIWPWOBK-NWDGAFQWSA-N 1 2 324.450 1.268 20 30 DDEDLO C=C(C)C[C@@H](NC(=O)/C=C(/C)C[NH+]1CCOCC1)C(=O)OCC ZINC000916709101 713464612 /nfs/dbraw/zinc/46/46/12/713464612.db2.gz DMMSBEFKVISZCZ-QKXCFHHRSA-N 1 2 324.421 1.279 20 30 DDEDLO N#CCCNC(=O)C[NH+]1CCC(Oc2ccc(F)cc2F)CC1 ZINC000917062257 713480526 /nfs/dbraw/zinc/48/05/26/713480526.db2.gz LSSUPUBBKPJQDS-UHFFFAOYSA-N 1 2 323.343 1.838 20 30 DDEDLO C#Cc1cccc(NC(=O)C(=O)NCCCCn2cc[nH+]c2)c1 ZINC000918473702 713543579 /nfs/dbraw/zinc/54/35/79/713543579.db2.gz MQXBCSIDOUJOSE-UHFFFAOYSA-N 1 2 310.357 1.400 20 30 DDEDLO C[N@@H+]1CCN(S(=O)(=O)c2cncc(C#N)c2)C[C@H]1C(C)(C)C ZINC000919598610 713620260 /nfs/dbraw/zinc/62/02/60/713620260.db2.gz XPNFEZBRDUBMOP-AWEZNQCLSA-N 1 2 322.434 1.304 20 30 DDEDLO C[N@H+]1CCN(S(=O)(=O)c2cncc(C#N)c2)C[C@H]1C(C)(C)C ZINC000919598610 713620262 /nfs/dbraw/zinc/62/02/62/713620262.db2.gz XPNFEZBRDUBMOP-AWEZNQCLSA-N 1 2 322.434 1.304 20 30 DDEDLO C[C@@](O)(C[NH+]1CCN(CCC#N)CC1)C(F)(F)C(F)(F)F ZINC000930116161 713759953 /nfs/dbraw/zinc/75/99/53/713759953.db2.gz HOIBVIXUASWGDU-SNVBAGLBSA-N 1 2 315.286 1.466 20 30 DDEDLO C=CCOCCNC(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC000921870068 713891206 /nfs/dbraw/zinc/89/12/06/713891206.db2.gz KGHKHJVMJCAENI-UHFFFAOYSA-N 1 2 304.394 1.870 20 30 DDEDLO C#CCC1(O)CC[NH+](Cc2ccc(Br)nc2N)CC1 ZINC000931701326 714164805 /nfs/dbraw/zinc/16/48/05/714164805.db2.gz ZJDYHSBJSJHYBO-UHFFFAOYSA-N 1 2 324.222 1.777 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(C#N)ccc1F ZINC000931711217 714166899 /nfs/dbraw/zinc/16/68/99/714166899.db2.gz CENWDHRKFWLRSK-CYBMUJFWSA-N 1 2 320.368 1.930 20 30 DDEDLO Cc1nc([C@H]2CC[N@H+](CC(=O)NC3(C#N)CCCCC3)C2)no1 ZINC000931949242 714218605 /nfs/dbraw/zinc/21/86/05/714218605.db2.gz MYDQMYFGRUXHEQ-ZDUSSCGKSA-N 1 2 317.393 1.510 20 30 DDEDLO Cc1nc([C@H]2CC[N@@H+](CC(=O)NC3(C#N)CCCCC3)C2)no1 ZINC000931949242 714218606 /nfs/dbraw/zinc/21/86/06/714218606.db2.gz MYDQMYFGRUXHEQ-ZDUSSCGKSA-N 1 2 317.393 1.510 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[NH2+][C@H](c1ncccn1)C1CC1 ZINC000932370301 714319565 /nfs/dbraw/zinc/31/95/65/714319565.db2.gz YFUBAIJJESSULR-HOCLYGCPSA-N 1 2 301.394 1.572 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(O)cc([N+](=O)[O-])c2)C1 ZINC000923572603 714411299 /nfs/dbraw/zinc/41/12/99/714411299.db2.gz ZDPAKDZKCDDFBX-LBPRGKRZSA-N 1 2 303.318 1.128 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(O)cc([N+](=O)[O-])c2)C1 ZINC000923572603 714411302 /nfs/dbraw/zinc/41/13/02/714411302.db2.gz ZDPAKDZKCDDFBX-LBPRGKRZSA-N 1 2 303.318 1.128 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC000923591387 714420623 /nfs/dbraw/zinc/42/06/23/714420623.db2.gz YIZFWJMWSPFVFT-ZDUSSCGKSA-N 1 2 309.369 1.356 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCOC[C@@H]1C[C@H]1CCOC1 ZINC000933671097 714644144 /nfs/dbraw/zinc/64/41/44/714644144.db2.gz MJADGMAZDAOXIF-OAGGEKHMSA-N 1 2 323.437 1.122 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCOC[C@@H]1C[C@H]1CCOC1 ZINC000933671097 714644145 /nfs/dbraw/zinc/64/41/45/714644145.db2.gz MJADGMAZDAOXIF-OAGGEKHMSA-N 1 2 323.437 1.122 20 30 DDEDLO C=CCCC[C@@H]1NC(=O)N([C@H]2CCN(c3cccc[nH+]3)C2)C1=O ZINC000924719170 714648494 /nfs/dbraw/zinc/64/84/94/714648494.db2.gz XPTZBRJJWLFDGY-KBPBESRZSA-N 1 2 314.389 1.937 20 30 DDEDLO Cc1cc(N(CCC#N)C(=O)C[N@@H+]2CC[C@@H]2CO)ccc1Cl ZINC000934027109 714725430 /nfs/dbraw/zinc/72/54/30/714725430.db2.gz SKCVOHANGRXQSQ-CQSZACIVSA-N 1 2 321.808 1.962 20 30 DDEDLO Cc1cc(N(CCC#N)C(=O)C[N@H+]2CC[C@@H]2CO)ccc1Cl ZINC000934027109 714725434 /nfs/dbraw/zinc/72/54/34/714725434.db2.gz SKCVOHANGRXQSQ-CQSZACIVSA-N 1 2 321.808 1.962 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCOC[C@@H]1CC1CCOCC1 ZINC000934643637 714869200 /nfs/dbraw/zinc/86/92/00/714869200.db2.gz XYONRGQTZGKRKB-INIZCTEOSA-N 1 2 323.437 1.266 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCOC[C@@H]1CC1CCOCC1 ZINC000934643637 714869203 /nfs/dbraw/zinc/86/92/03/714869203.db2.gz XYONRGQTZGKRKB-INIZCTEOSA-N 1 2 323.437 1.266 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC(N(C)C(=O)Cn2cc[nH+]c2)C1 ZINC000954664417 715460482 /nfs/dbraw/zinc/46/04/82/715460482.db2.gz DQRVIMWTEDRSSC-UHFFFAOYSA-N 1 2 304.394 1.155 20 30 DDEDLO C#CCN1CC(N(CC)C(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC000957129662 715761709 /nfs/dbraw/zinc/76/17/09/715761709.db2.gz HLRCXRGWBNPSKG-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2ncoc2-c2ccon2)CC1 ZINC000957346043 715850481 /nfs/dbraw/zinc/85/04/81/715850481.db2.gz BAEKXILZDAVRKT-UHFFFAOYSA-N 1 2 302.334 1.664 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)C[C@H](C)n2nc(C)cc2C)CC1 ZINC000957661607 715991039 /nfs/dbraw/zinc/99/10/39/715991039.db2.gz MPOQVYYOKKSECN-INIZCTEOSA-N 1 2 302.422 1.619 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2n[nH]nc2-c2ccccc2)CC1 ZINC000957805149 716060031 /nfs/dbraw/zinc/06/00/31/716060031.db2.gz HHWLVILUHCRTBW-UHFFFAOYSA-N 1 2 311.389 1.806 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)C1 ZINC000938993487 716075722 /nfs/dbraw/zinc/07/57/22/716075722.db2.gz UOCHQDVXWILQTQ-LBPRGKRZSA-N 1 2 302.378 1.026 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2cc(C)c(C)o2)[C@H](O)C1 ZINC000957980727 716261505 /nfs/dbraw/zinc/26/15/05/716261505.db2.gz XGFRUBPLUGELBT-CHWSQXEVSA-N 1 2 312.797 1.671 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2cc(C)c(C)o2)[C@H](O)C1 ZINC000957980727 716261510 /nfs/dbraw/zinc/26/15/10/716261510.db2.gz XGFRUBPLUGELBT-CHWSQXEVSA-N 1 2 312.797 1.671 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ncc(C)o4)C[C@H]32)cc1 ZINC000961439791 716947287 /nfs/dbraw/zinc/94/72/87/716947287.db2.gz IOYUWBRDTDSLOD-VQFNDLOPSA-N 1 2 321.380 1.825 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ncc(C)o4)C[C@H]32)cc1 ZINC000961439791 716947291 /nfs/dbraw/zinc/94/72/91/716947291.db2.gz IOYUWBRDTDSLOD-VQFNDLOPSA-N 1 2 321.380 1.825 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cccc(F)c3C)CC2)C1 ZINC000941416816 717173286 /nfs/dbraw/zinc/17/32/86/717173286.db2.gz NJJFAKMUZZOVCZ-UHFFFAOYSA-N 1 2 315.392 1.209 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCCC34CC4)CC2)C1 ZINC000941455336 717180142 /nfs/dbraw/zinc/18/01/42/717180142.db2.gz OGSVNSRURWARKA-KRWDZBQOSA-N 1 2 315.461 1.418 20 30 DDEDLO C=Cc1ccc(C(=O)N2CCN(C3C[NH+](CC#CC)C3)CC2)cc1 ZINC000941574384 717215641 /nfs/dbraw/zinc/21/56/41/717215641.db2.gz CYIUQDMKZGIMAI-UHFFFAOYSA-N 1 2 323.440 1.795 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H](C)CC3CCCC3)CC2)C1 ZINC000941590878 717222166 /nfs/dbraw/zinc/22/21/66/717222166.db2.gz WPFJSPXFGVIHKH-INIZCTEOSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)CCC3CCCCC3)CC2)C1 ZINC000941657684 717246071 /nfs/dbraw/zinc/24/60/71/717246071.db2.gz IRWQFNURFXLLKM-UHFFFAOYSA-N 1 2 317.477 1.809 20 30 DDEDLO N#CCN1CCC[C@H]1[C@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC000963341537 717750557 /nfs/dbraw/zinc/75/05/57/717750557.db2.gz YISLQLXSJDLQML-HOTGVXAUSA-N 1 2 315.421 1.500 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnnn2CC)C1 ZINC000965980896 717845230 /nfs/dbraw/zinc/84/52/30/717845230.db2.gz QEVWUFRLRNIODQ-ZYHUDNBSSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnnn2CC)C1 ZINC000965980896 717845232 /nfs/dbraw/zinc/84/52/32/717845232.db2.gz QEVWUFRLRNIODQ-ZYHUDNBSSA-N 1 2 311.817 1.491 20 30 DDEDLO C[C@@H]1CCN(CC#N)C[C@@H]1NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000966236528 718478495 /nfs/dbraw/zinc/47/84/95/718478495.db2.gz QFVKFAFGLORWBF-HIFRSBDPSA-N 1 2 315.421 1.112 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2c(C)ncn2C)C1 ZINC000966879740 718691681 /nfs/dbraw/zinc/69/16/81/718691681.db2.gz PTOCYQMHIFDYII-ZWNOBZJWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2c(C)ncn2C)C1 ZINC000966879740 718691684 /nfs/dbraw/zinc/69/16/84/718691684.db2.gz PTOCYQMHIFDYII-ZWNOBZJWSA-N 1 2 310.829 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966885055 718694070 /nfs/dbraw/zinc/69/40/70/718694070.db2.gz WJQDGYBSPLOJMT-JOYOIKCWSA-N 1 2 310.785 1.375 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966885055 718694073 /nfs/dbraw/zinc/69/40/73/718694073.db2.gz WJQDGYBSPLOJMT-JOYOIKCWSA-N 1 2 310.785 1.375 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](N(C)C(=O)c2cccc3nn[nH]c32)CC1 ZINC000948405749 719483922 /nfs/dbraw/zinc/48/39/22/719483922.db2.gz XYGADWBIQGGNOD-ZDUSSCGKSA-N 1 2 311.389 1.518 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](N(C)C(=O)c2cccc3nn[nH]c32)CC1 ZINC000948405749 719483923 /nfs/dbraw/zinc/48/39/23/719483923.db2.gz XYGADWBIQGGNOD-ZDUSSCGKSA-N 1 2 311.389 1.518 20 30 DDEDLO C[C@@H]1CCN(CC#N)C[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC000968533755 719649721 /nfs/dbraw/zinc/64/97/21/719649721.db2.gz MMDLDYTYZIOFQL-CZUORRHYSA-N 1 2 324.388 1.231 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccnc(C)n2)C1 ZINC000968665248 719723006 /nfs/dbraw/zinc/72/30/06/719723006.db2.gz OXUUNHRFUBAKAO-YGRLFVJLSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccnc(C)n2)C1 ZINC000968665248 719723010 /nfs/dbraw/zinc/72/30/10/719723010.db2.gz OXUUNHRFUBAKAO-YGRLFVJLSA-N 1 2 308.813 1.978 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CCCO1 ZINC000948906436 719777877 /nfs/dbraw/zinc/77/78/77/719777877.db2.gz MMMIFSLVODJKNF-ROUUACIJSA-N 1 2 312.413 1.904 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CCCO1 ZINC000948906436 719777880 /nfs/dbraw/zinc/77/78/80/719777880.db2.gz MMMIFSLVODJKNF-ROUUACIJSA-N 1 2 312.413 1.904 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnnc(C)c1 ZINC000948910656 719781111 /nfs/dbraw/zinc/78/11/11/719781111.db2.gz YYMNDCDHXFTRSX-SFHVURJKSA-N 1 2 320.396 1.747 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnnc(C)c1 ZINC000948910656 719781116 /nfs/dbraw/zinc/78/11/16/719781116.db2.gz YYMNDCDHXFTRSX-SFHVURJKSA-N 1 2 320.396 1.747 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1[nH]nnc1C ZINC000948945725 719796353 /nfs/dbraw/zinc/79/63/53/719796353.db2.gz BDIHOVFGAGTZMV-MRXNPFEDSA-N 1 2 323.400 1.466 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1[nH]nnc1C ZINC000948945725 719796358 /nfs/dbraw/zinc/79/63/58/719796358.db2.gz BDIHOVFGAGTZMV-MRXNPFEDSA-N 1 2 323.400 1.466 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccc3c(cc[nH]c3=O)n2)CC1 ZINC000948987967 719825798 /nfs/dbraw/zinc/82/57/98/719825798.db2.gz NWTLXXMCZFIMFC-UHFFFAOYSA-N 1 2 310.357 1.117 20 30 DDEDLO N#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000968925709 719877129 /nfs/dbraw/zinc/87/71/29/719877129.db2.gz LCDQRXALGASCCQ-CABCVRRESA-N 1 2 315.421 1.330 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(CC1)C[N@H+](C/C=C/Cl)CCO2 ZINC000949320931 720015769 /nfs/dbraw/zinc/01/57/69/720015769.db2.gz XAEZSSLEZNOBHZ-ADQTWTKMSA-N 1 2 311.813 1.592 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(CC1)C[N@@H+](C/C=C/Cl)CCO2 ZINC000949320931 720015771 /nfs/dbraw/zinc/01/57/71/720015771.db2.gz XAEZSSLEZNOBHZ-ADQTWTKMSA-N 1 2 311.813 1.592 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3cncc(C#C)c3)CC2)C1 ZINC000949469043 720109896 /nfs/dbraw/zinc/10/98/96/720109896.db2.gz PVCDHXHOUBZESA-UHFFFAOYSA-N 1 2 323.396 1.003 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3cncc(C#C)c3)CC2)C1 ZINC000949469043 720109900 /nfs/dbraw/zinc/10/99/00/720109900.db2.gz PVCDHXHOUBZESA-UHFFFAOYSA-N 1 2 323.396 1.003 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(C)(C)C)C2=O)CC1 ZINC000949793172 720342802 /nfs/dbraw/zinc/34/28/02/720342802.db2.gz NNOALWWKVITPER-CQSZACIVSA-N 1 2 307.438 1.354 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC000970192664 720658630 /nfs/dbraw/zinc/65/86/30/720658630.db2.gz COULAYYLXYCRKN-GLQYFDAESA-N 1 2 312.841 1.991 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@H]2CCc3cncn3C2)C1 ZINC000950663921 720725892 /nfs/dbraw/zinc/72/58/92/720725892.db2.gz ZUAAFLYZOODNOK-AWEZNQCLSA-N 1 2 300.406 1.002 20 30 DDEDLO C=C(Cl)C[NH2+][C@@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000970688786 720889843 /nfs/dbraw/zinc/88/98/43/720889843.db2.gz HFPSYMNDNMDJIH-LLVKDONJSA-N 1 2 305.769 1.515 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2ccc(C(C)C)[nH]c2=O)CC1 ZINC000951219271 720961862 /nfs/dbraw/zinc/96/18/62/720961862.db2.gz WXHXJUPBINQXEL-UHFFFAOYSA-N 1 2 301.390 1.692 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCCc3nn(C)cc32)C1 ZINC000951380380 721011783 /nfs/dbraw/zinc/01/17/83/721011783.db2.gz RXIPYVZKODUOLS-HNNXBMFYSA-N 1 2 316.449 1.949 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CC23CCOCC3)C1 ZINC000970996058 721044957 /nfs/dbraw/zinc/04/49/57/721044957.db2.gz HCZXLUXXWGDMPK-GXTWGEPZSA-N 1 2 312.841 1.992 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2cnc(Cl)s2)C1 ZINC000971216987 721184036 /nfs/dbraw/zinc/18/40/36/721184036.db2.gz AJYDCVKPSSSSHJ-UWVGGRQHSA-N 1 2 312.826 1.989 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnc(Cl)s2)C1 ZINC000971216987 721184039 /nfs/dbraw/zinc/18/40/39/721184039.db2.gz AJYDCVKPSSSSHJ-UWVGGRQHSA-N 1 2 312.826 1.989 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@H]([N@H+](C)Cc2ccon2)C1 ZINC000971556943 721353918 /nfs/dbraw/zinc/35/39/18/721353918.db2.gz WCAYXGKARWZIMQ-XHSDSOJGSA-N 1 2 319.405 1.689 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N1CC[C@H]([N@@H+](C)Cc2ccon2)C1 ZINC000971556943 721353922 /nfs/dbraw/zinc/35/39/22/721353922.db2.gz WCAYXGKARWZIMQ-XHSDSOJGSA-N 1 2 319.405 1.689 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001023682537 735394907 /nfs/dbraw/zinc/39/49/07/735394907.db2.gz CTJZGEDYKLVFLJ-CYBMUJFWSA-N 1 2 323.824 1.878 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001023682537 735394912 /nfs/dbraw/zinc/39/49/12/735394912.db2.gz CTJZGEDYKLVFLJ-CYBMUJFWSA-N 1 2 323.824 1.878 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](OCC)C2CC2)C1 ZINC001206981376 733095844 /nfs/dbraw/zinc/09/58/44/733095844.db2.gz IGMZMVJGECAKEZ-LERXQTSPSA-N 1 2 300.830 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](OCC)C2CC2)C1 ZINC001206981376 733095849 /nfs/dbraw/zinc/09/58/49/733095849.db2.gz IGMZMVJGECAKEZ-LERXQTSPSA-N 1 2 300.830 1.991 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C)ccc3F)[C@H]2C1 ZINC001083204375 733190009 /nfs/dbraw/zinc/19/00/09/733190009.db2.gz MVKRAVMOZYURCY-DLBZAZTESA-N 1 2 316.376 1.683 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C)ccc3F)[C@H]2C1 ZINC001083204375 733190011 /nfs/dbraw/zinc/19/00/11/733190011.db2.gz MVKRAVMOZYURCY-DLBZAZTESA-N 1 2 316.376 1.683 20 30 DDEDLO C=CC[N@H+]1C[C@H]2OCCN(C(=O)c3oc(C(C)C)nc3C)[C@H]2C1 ZINC001083218904 733713538 /nfs/dbraw/zinc/71/35/38/733713538.db2.gz PQKRMWBHOIMIJU-UONOGXRCSA-N 1 2 319.405 1.818 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2OCCN(C(=O)c3oc(C(C)C)nc3C)[C@H]2C1 ZINC001083218904 733713540 /nfs/dbraw/zinc/71/35/40/733713540.db2.gz PQKRMWBHOIMIJU-UONOGXRCSA-N 1 2 319.405 1.818 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3cc(C)oc3C)[C@H]2C1 ZINC001083225435 734487038 /nfs/dbraw/zinc/48/70/38/734487038.db2.gz WMPRHOPYOMDVGL-XOKHGSTOSA-N 1 2 316.401 1.545 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)c3cc(C)oc3C)[C@H]2C1 ZINC001083225435 734487040 /nfs/dbraw/zinc/48/70/40/734487040.db2.gz WMPRHOPYOMDVGL-XOKHGSTOSA-N 1 2 316.401 1.545 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CCC3CCCCC3)[C@H]2C1 ZINC001083265048 735617232 /nfs/dbraw/zinc/61/72/32/735617232.db2.gz GIWYOVUODCLTDP-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CCC3CCCCC3)[C@H]2C1 ZINC001083265048 735617234 /nfs/dbraw/zinc/61/72/34/735617234.db2.gz GIWYOVUODCLTDP-DLBZAZTESA-N 1 2 304.434 1.892 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@H](NC(=O)c2ncc[nH]2)C1 ZINC001007290925 751351323 /nfs/dbraw/zinc/35/13/23/751351323.db2.gz HIQGSQWUDCFAHA-OAHLLOKOSA-N 1 2 309.373 1.676 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@H](NC(=O)c2ncc[nH]2)C1 ZINC001007290925 751351330 /nfs/dbraw/zinc/35/13/30/751351330.db2.gz HIQGSQWUDCFAHA-OAHLLOKOSA-N 1 2 309.373 1.676 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H]2CCCC[N@H+]2CCF)nn1 ZINC001024698923 736029956 /nfs/dbraw/zinc/02/99/56/736029956.db2.gz NQJHPOCFYWNQRW-CYBMUJFWSA-N 1 2 309.389 1.408 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H]2CCCC[N@@H+]2CCF)nn1 ZINC001024698923 736029962 /nfs/dbraw/zinc/02/99/62/736029962.db2.gz NQJHPOCFYWNQRW-CYBMUJFWSA-N 1 2 309.389 1.408 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001098602298 736749498 /nfs/dbraw/zinc/74/94/98/736749498.db2.gz ODTMGIZNJGNZQZ-KBPBESRZSA-N 1 2 304.394 1.827 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2cncn2)cc1 ZINC001038361409 737286276 /nfs/dbraw/zinc/28/62/76/737286276.db2.gz RNMPXISBRHXQRL-MRXNPFEDSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc(-n2cncn2)cc1 ZINC001038361409 737286278 /nfs/dbraw/zinc/28/62/78/737286278.db2.gz RNMPXISBRHXQRL-MRXNPFEDSA-N 1 2 309.373 1.095 20 30 DDEDLO C=CCCC(=O)N1CC(n2cc(C[NH2+]C[C@@H](F)CC)nn2)C1 ZINC001105185090 737602109 /nfs/dbraw/zinc/60/21/09/737602109.db2.gz GJNQDZARUKCTMO-LBPRGKRZSA-N 1 2 309.389 1.465 20 30 DDEDLO N#CCNC1CCC(NC(=O)Cc2cn3c([nH+]2)CCCC3)CC1 ZINC001026498060 737612199 /nfs/dbraw/zinc/61/21/99/737612199.db2.gz ZBYVPGPNJBPNGD-UHFFFAOYSA-N 1 2 315.421 1.302 20 30 DDEDLO C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001028069289 738987390 /nfs/dbraw/zinc/98/73/90/738987390.db2.gz FXWMOQDYTNIOKV-INIZCTEOSA-N 1 2 323.400 1.689 20 30 DDEDLO CCc1cc(N2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)nc(C)[nH+]1 ZINC001058734465 737896865 /nfs/dbraw/zinc/89/68/65/737896865.db2.gz SUSLLSCOVKSPBN-TUKIKUTGSA-N 1 2 313.405 1.687 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H]2CCC[N@H+]2CC(=C)Cl)nn1 ZINC001028192597 739143352 /nfs/dbraw/zinc/14/33/52/739143352.db2.gz OGZFFRXXMJCYAS-ZDUSSCGKSA-N 1 2 323.828 1.801 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H]2CCC[N@@H+]2CC(=C)Cl)nn1 ZINC001028192597 739143355 /nfs/dbraw/zinc/14/33/55/739143355.db2.gz OGZFFRXXMJCYAS-ZDUSSCGKSA-N 1 2 323.828 1.801 20 30 DDEDLO C#CCOc1ncccc1C(=O)NC[C@H]1CC[N@H+]1C[C@H](F)CC ZINC001038928184 739149597 /nfs/dbraw/zinc/14/95/97/739149597.db2.gz LDXHGZLFVIYKHY-ZIAGYGMSSA-N 1 2 319.380 1.646 20 30 DDEDLO C#CCOc1ncccc1C(=O)NC[C@H]1CC[N@@H+]1C[C@H](F)CC ZINC001038928184 739149600 /nfs/dbraw/zinc/14/96/00/739149600.db2.gz LDXHGZLFVIYKHY-ZIAGYGMSSA-N 1 2 319.380 1.646 20 30 DDEDLO C[C@@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1ccc(C#N)cn1 ZINC001098152795 739273208 /nfs/dbraw/zinc/27/32/08/739273208.db2.gz FFNXGARSDAJUNW-LBPRGKRZSA-N 1 2 320.356 1.831 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)c(F)c2)C1 ZINC001035402684 751472107 /nfs/dbraw/zinc/47/21/07/751472107.db2.gz NKPLBIXIOYLHCY-ZDUSSCGKSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(OC)c(F)c2)C1 ZINC001035402684 751472112 /nfs/dbraw/zinc/47/21/12/751472112.db2.gz NKPLBIXIOYLHCY-ZDUSSCGKSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@H](OCC)C(=C)C)C1 ZINC001107979677 751473467 /nfs/dbraw/zinc/47/34/67/751473467.db2.gz LFNIFKDHNAITHR-DOTOQJQBSA-N 1 2 310.438 1.751 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](OCC)C(=C)C)C1 ZINC001107979677 751473473 /nfs/dbraw/zinc/47/34/73/751473473.db2.gz LFNIFKDHNAITHR-DOTOQJQBSA-N 1 2 310.438 1.751 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cn3c(n2)CC[C@H](C)C3)C1 ZINC001035418947 751477447 /nfs/dbraw/zinc/47/74/47/751477447.db2.gz DYCAWZCYETTZNL-KBPBESRZSA-N 1 2 318.421 1.082 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cn3c(n2)CC[C@H](C)C3)C1 ZINC001035418947 751477448 /nfs/dbraw/zinc/47/74/48/751477448.db2.gz DYCAWZCYETTZNL-KBPBESRZSA-N 1 2 318.421 1.082 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001028682675 739913748 /nfs/dbraw/zinc/91/37/48/739913748.db2.gz LSMQBFVJVRIXAU-LBPRGKRZSA-N 1 2 309.797 1.190 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001028682675 739913751 /nfs/dbraw/zinc/91/37/51/739913751.db2.gz LSMQBFVJVRIXAU-LBPRGKRZSA-N 1 2 309.797 1.190 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3ccccc3O2)C1 ZINC001035435753 751513231 /nfs/dbraw/zinc/51/32/31/751513231.db2.gz WAFAFFKXAJEUPD-RDJZCZTQSA-N 1 2 316.401 1.383 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2Cc3ccccc3O2)C1 ZINC001035435753 751513234 /nfs/dbraw/zinc/51/32/34/751513234.db2.gz WAFAFFKXAJEUPD-RDJZCZTQSA-N 1 2 316.401 1.383 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)nc(OC)c2)C1 ZINC001035482486 751536303 /nfs/dbraw/zinc/53/63/03/751536303.db2.gz ALIGYKBUVXWNSA-OAHLLOKOSA-N 1 2 319.405 1.405 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C)nc(OC)c2)C1 ZINC001035482486 751536305 /nfs/dbraw/zinc/53/63/05/751536305.db2.gz ALIGYKBUVXWNSA-OAHLLOKOSA-N 1 2 319.405 1.405 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1C[C@@H](Nc2ccc(C#N)c(C)n2)C1 ZINC001059272027 740529011 /nfs/dbraw/zinc/52/90/11/740529011.db2.gz MCASPMIADPPGOC-HDJSIYSDSA-N 1 2 324.388 1.595 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](CCNC(=O)Cn2cc[nH+]c2)C1 ZINC001059315893 740609949 /nfs/dbraw/zinc/60/99/49/740609949.db2.gz OLXDCWSOIVYUAR-CQSZACIVSA-N 1 2 304.394 1.204 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2oc(C3CC3)nc2C)C1 ZINC001035538662 751585781 /nfs/dbraw/zinc/58/57/81/751585781.db2.gz RXPKTFDKDNNMDG-CQSZACIVSA-N 1 2 317.389 1.314 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2oc(C3CC3)nc2C)C1 ZINC001035538662 751585785 /nfs/dbraw/zinc/58/57/85/751585785.db2.gz RXPKTFDKDNNMDG-CQSZACIVSA-N 1 2 317.389 1.314 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2sc(C)cc2OC)C1 ZINC001035531218 751612245 /nfs/dbraw/zinc/61/22/45/751612245.db2.gz RHUZKNMNSGZKDT-GFCCVEGCSA-N 1 2 310.419 1.682 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2sc(C)cc2OC)C1 ZINC001035531218 751612253 /nfs/dbraw/zinc/61/22/53/751612253.db2.gz RHUZKNMNSGZKDT-GFCCVEGCSA-N 1 2 310.419 1.682 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCCCC[C@H]([NH2+]Cc2cnsn2)C1 ZINC001088280915 741319324 /nfs/dbraw/zinc/31/93/24/741319324.db2.gz DKRLUISIGUJVGK-NEPJUHHUSA-N 1 2 307.423 1.559 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc(OC)c2F)C1 ZINC001035558151 751640203 /nfs/dbraw/zinc/64/02/03/751640203.db2.gz CDLQXADZVGBBIL-GFCCVEGCSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc(OC)c2F)C1 ZINC001035558151 751640207 /nfs/dbraw/zinc/64/02/07/751640207.db2.gz CDLQXADZVGBBIL-GFCCVEGCSA-N 1 2 308.353 1.451 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(C[N@@H+](C)Cc2nnnn2C)CC1 ZINC001029984126 741661565 /nfs/dbraw/zinc/66/15/65/741661565.db2.gz ZREQANYHKHWDNY-UHFFFAOYSA-N 1 2 320.441 1.093 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC(C[N@H+](C)Cc2nnnn2C)CC1 ZINC001029984126 741661568 /nfs/dbraw/zinc/66/15/68/741661568.db2.gz ZREQANYHKHWDNY-UHFFFAOYSA-N 1 2 320.441 1.093 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2sc(C)nc2C)C1 ZINC001035600642 751658303 /nfs/dbraw/zinc/65/83/03/751658303.db2.gz RHZXCNUOSMXXSD-CYBMUJFWSA-N 1 2 307.419 1.214 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2sc(C)nc2C)C1 ZINC001035600642 751658310 /nfs/dbraw/zinc/65/83/10/751658310.db2.gz RHZXCNUOSMXXSD-CYBMUJFWSA-N 1 2 307.419 1.214 20 30 DDEDLO Cc1nsc(N(C)CCN(C)C(=O)Cc2[nH]cc[nH+]2)c1C#N ZINC001105452872 741780179 /nfs/dbraw/zinc/78/01/79/741780179.db2.gz CMAHQWIYOOMXEP-UHFFFAOYSA-N 1 2 318.406 1.184 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)cn2)C[C@H]1OC ZINC001212022559 741945939 /nfs/dbraw/zinc/94/59/39/741945939.db2.gz XCBWMLWZQVYYHN-HUUCEWRRSA-N 1 2 304.394 1.067 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cnc(C)cn2)C[C@H]1OC ZINC001212022559 741945940 /nfs/dbraw/zinc/94/59/40/741945940.db2.gz XCBWMLWZQVYYHN-HUUCEWRRSA-N 1 2 304.394 1.067 20 30 DDEDLO Cc1nc(N2CCC[C@@H](CNC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001059912011 741963607 /nfs/dbraw/zinc/96/36/07/741963607.db2.gz UJPCIBRVUQFTQP-ZDUSSCGKSA-N 1 2 324.388 1.631 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2COc3ccccc32)C1 ZINC001035624930 751696481 /nfs/dbraw/zinc/69/64/81/751696481.db2.gz QCINYBJRAPPVSE-GDBMZVCRSA-N 1 2 316.401 1.556 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2COc3ccccc32)C1 ZINC001035624930 751696482 /nfs/dbraw/zinc/69/64/82/751696482.db2.gz QCINYBJRAPPVSE-GDBMZVCRSA-N 1 2 316.401 1.556 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ncnc3sccc32)C1 ZINC001042750068 742272940 /nfs/dbraw/zinc/27/29/40/742272940.db2.gz RRZYZVUEGWQOGN-UHFFFAOYSA-N 1 2 300.387 1.471 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCN(C(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001060048525 742279816 /nfs/dbraw/zinc/27/98/16/742279816.db2.gz JVJCJUPODUHEPG-AWEZNQCLSA-N 1 2 324.388 1.570 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(Cl)cn2CC)C1 ZINC001035610972 751716600 /nfs/dbraw/zinc/71/66/00/751716600.db2.gz TULWTLKNUCFURB-CYBMUJFWSA-N 1 2 311.813 1.778 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(Cl)cn2CC)C1 ZINC001035610972 751716604 /nfs/dbraw/zinc/71/66/04/751716604.db2.gz TULWTLKNUCFURB-CYBMUJFWSA-N 1 2 311.813 1.778 20 30 DDEDLO CC(C)C#CC(=O)NCC[NH2+]Cc1nc(-c2ccco2)no1 ZINC001126828516 742322036 /nfs/dbraw/zinc/32/20/36/742322036.db2.gz XJZXLOTZUUMJIF-UHFFFAOYSA-N 1 2 302.334 1.195 20 30 DDEDLO C[NH+](C)[C@H](C(=O)NCCC1(CNCC#N)CC1)c1cccnc1 ZINC001168365996 742475262 /nfs/dbraw/zinc/47/52/62/742475262.db2.gz AFBYMBCUGFPNEC-HNNXBMFYSA-N 1 2 315.421 1.084 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1cnsn1)CC2 ZINC001035658017 751735678 /nfs/dbraw/zinc/73/56/78/751735678.db2.gz LDIQJCHBEDWLOR-UHFFFAOYSA-N 1 2 312.826 1.829 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)CCNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001076545858 742783270 /nfs/dbraw/zinc/78/32/70/742783270.db2.gz LKIRBYJSROYMEK-UONOGXRCSA-N 1 2 322.409 1.048 20 30 DDEDLO Cc1c[nH]c(CCNC(=O)[C@H](CC#N)NC(=O)OC(C)(C)C)[nH+]1 ZINC001180782167 742936497 /nfs/dbraw/zinc/93/64/97/742936497.db2.gz BJPDANWZQVKMLW-NSHDSACASA-N 1 2 321.381 1.184 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)C1CC1 ZINC001076850215 743035964 /nfs/dbraw/zinc/03/59/64/743035964.db2.gz QZKIILVRUMSRQX-ZTFGCOKTSA-N 1 2 312.413 1.246 20 30 DDEDLO C[C@@H](C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)C1CC1 ZINC001076850215 743035974 /nfs/dbraw/zinc/03/59/74/743035974.db2.gz QZKIILVRUMSRQX-ZTFGCOKTSA-N 1 2 312.413 1.246 20 30 DDEDLO Cc1conc1C[NH+]1CCC(NC(=O)c2c[nH]c(C#N)c2)CC1 ZINC001002647781 743307931 /nfs/dbraw/zinc/30/79/31/743307931.db2.gz GEUUNOMNADMZHP-UHFFFAOYSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CC(C)(C)CC(=O)NCC[NH2+]Cc1csc(NC(C)=O)n1 ZINC001128258447 743395812 /nfs/dbraw/zinc/39/58/12/743395812.db2.gz JKMXYHGKLREIBW-UHFFFAOYSA-N 1 2 324.450 1.910 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccccc3s2)C1 ZINC001077320796 743402062 /nfs/dbraw/zinc/40/20/62/743402062.db2.gz WSIKOVIGOZVQDG-CHWSQXEVSA-N 1 2 302.399 1.862 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccccc3s2)C1 ZINC001077320796 743402064 /nfs/dbraw/zinc/40/20/64/743402064.db2.gz WSIKOVIGOZVQDG-CHWSQXEVSA-N 1 2 302.399 1.862 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccccc3cc2F)C1 ZINC001077367457 743429591 /nfs/dbraw/zinc/42/95/91/743429591.db2.gz CIWKIZONSAFFIC-IAGOWNOFSA-N 1 2 312.344 1.387 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccccc3cc2F)C1 ZINC001077367457 743429593 /nfs/dbraw/zinc/42/95/93/743429593.db2.gz CIWKIZONSAFFIC-IAGOWNOFSA-N 1 2 312.344 1.387 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC(C)(C)C)C2)o1 ZINC001182459454 743638988 /nfs/dbraw/zinc/63/89/88/743638988.db2.gz WOYZYCHRMQJVAR-WCQYABFASA-N 1 2 304.394 1.679 20 30 DDEDLO C#CC(C)(C)C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC001182635891 743677609 /nfs/dbraw/zinc/67/76/09/743677609.db2.gz GRIWIBUJRWCDQC-UHFFFAOYSA-N 1 2 300.402 1.869 20 30 DDEDLO Cn1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccc(C#N)cc2)cn1 ZINC001038055052 743709334 /nfs/dbraw/zinc/70/93/34/743709334.db2.gz MDBWVHCRJSUVKT-MRXNPFEDSA-N 1 2 309.373 1.296 20 30 DDEDLO Cn1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccc(C#N)cc2)cn1 ZINC001038055052 743709335 /nfs/dbraw/zinc/70/93/35/743709335.db2.gz MDBWVHCRJSUVKT-MRXNPFEDSA-N 1 2 309.373 1.296 20 30 DDEDLO C=CCOCC(=O)NC[C@H](O)CNc1cc(C)[nH+]c(C(C)C)n1 ZINC001105747363 743779311 /nfs/dbraw/zinc/77/93/11/743779311.db2.gz FYQKGYVRMCOCQL-CYBMUJFWSA-N 1 2 322.409 1.000 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([NH2+][C@@H](C)c3csnn3)C2)CCC1 ZINC001183185692 743792662 /nfs/dbraw/zinc/79/26/62/743792662.db2.gz PYDPYODTGDTQPU-QWHCGFSZSA-N 1 2 318.446 1.983 20 30 DDEDLO CN(C)c1ccccc1C[NH+]1CC(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001030223156 743961814 /nfs/dbraw/zinc/96/18/14/743961814.db2.gz REBHYEPVSFPVCA-UHFFFAOYSA-N 1 2 323.400 1.567 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ncn(-c2ccccc2)n1 ZINC001038391273 743998927 /nfs/dbraw/zinc/99/89/27/743998927.db2.gz XUUZUIFJOARNJE-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ncn(-c2ccccc2)n1 ZINC001038391273 743998929 /nfs/dbraw/zinc/99/89/29/743998929.db2.gz XUUZUIFJOARNJE-OAHLLOKOSA-N 1 2 309.373 1.095 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCCCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001060313276 744022889 /nfs/dbraw/zinc/02/28/89/744022889.db2.gz SNRRSRVKZNLNBQ-OAHLLOKOSA-N 1 2 324.388 1.712 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001185070572 744155301 /nfs/dbraw/zinc/15/53/01/744155301.db2.gz HFXXUTJCVDFSBK-QWHCGFSZSA-N 1 2 306.410 1.925 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@@H]([NH2+]Cc3cnsn3)C2)CC1 ZINC001185439649 744223460 /nfs/dbraw/zinc/22/34/60/744223460.db2.gz ULUFSAMUWMSSPU-GFCCVEGCSA-N 1 2 306.435 1.975 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)[C@H]1C ZINC001088862705 744254283 /nfs/dbraw/zinc/25/42/83/744254283.db2.gz ONTJFGMTFQZXBU-NDBYEHHHSA-N 1 2 313.829 1.220 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)[C@H]1C ZINC001088862705 744254285 /nfs/dbraw/zinc/25/42/85/744254285.db2.gz ONTJFGMTFQZXBU-NDBYEHHHSA-N 1 2 313.829 1.220 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@H]1C ZINC001089004305 744442434 /nfs/dbraw/zinc/44/24/34/744442434.db2.gz HMSORPREDKDWFY-PWSUYJOCSA-N 1 2 307.785 1.608 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@H]1C ZINC001089004305 744442435 /nfs/dbraw/zinc/44/24/35/744442435.db2.gz HMSORPREDKDWFY-PWSUYJOCSA-N 1 2 307.785 1.608 20 30 DDEDLO Cc1conc1C[N@@H+]1C[C@@H]2CCN(C(=O)CSCC#N)[C@@H]2C1 ZINC001186917000 744473481 /nfs/dbraw/zinc/47/34/81/744473481.db2.gz FDCVSVBLZHQQLB-GXTWGEPZSA-N 1 2 320.418 1.273 20 30 DDEDLO Cc1conc1C[N@H+]1C[C@@H]2CCN(C(=O)CSCC#N)[C@@H]2C1 ZINC001186917000 744473484 /nfs/dbraw/zinc/47/34/84/744473484.db2.gz FDCVSVBLZHQQLB-GXTWGEPZSA-N 1 2 320.418 1.273 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CCNC(=O)Cc2c[nH+]cn2C)C1 ZINC001077501370 744665490 /nfs/dbraw/zinc/66/54/90/744665490.db2.gz VLSVCQOZDUNMSI-ZDUSSCGKSA-N 1 2 318.421 1.330 20 30 DDEDLO N#Cc1cnc(NCC2([NH+]3CCCC3)COC2)c([N+](=O)[O-])c1 ZINC001168443397 744912657 /nfs/dbraw/zinc/91/26/57/744912657.db2.gz HDWCLXAXGXOVPG-UHFFFAOYSA-N 1 2 303.322 1.138 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)cs2)C1 ZINC001190094274 745098099 /nfs/dbraw/zinc/09/80/99/745098099.db2.gz IUSGKEISZSGHHR-KBPBESRZSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)cs2)C1 ZINC001190094274 745098100 /nfs/dbraw/zinc/09/81/00/745098100.db2.gz IUSGKEISZSGHHR-KBPBESRZSA-N 1 2 321.446 1.523 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(C(F)(F)F)cn1 ZINC001038571858 745265046 /nfs/dbraw/zinc/26/50/46/745265046.db2.gz PAWWOCHSCNFGBW-LBPRGKRZSA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(C(F)(F)F)cn1 ZINC001038571858 745265051 /nfs/dbraw/zinc/26/50/51/745265051.db2.gz PAWWOCHSCNFGBW-LBPRGKRZSA-N 1 2 311.307 1.928 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C[C@H](C)C3CC3)C2)nn1 ZINC001098785880 745698544 /nfs/dbraw/zinc/69/85/44/745698544.db2.gz ZTFJJUPMOPFIPV-BBRMVZONSA-N 1 2 315.421 1.211 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H](C)CCNc2cc[nH+]c(C)n2)c1 ZINC001106576047 745806243 /nfs/dbraw/zinc/80/62/43/745806243.db2.gz MAENJMANVJAKSH-LBPRGKRZSA-N 1 2 309.373 1.782 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2ccccc2F)C[C@H]1O ZINC001192804975 745899578 /nfs/dbraw/zinc/89/95/78/745899578.db2.gz MXEQKGDAJHYTRD-IAOVAPTHSA-N 1 2 318.392 1.707 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2ccccc2F)C[C@H]1O ZINC001192804975 745899583 /nfs/dbraw/zinc/89/95/83/745899583.db2.gz MXEQKGDAJHYTRD-IAOVAPTHSA-N 1 2 318.392 1.707 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)N(C)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC001193138227 745993331 /nfs/dbraw/zinc/99/33/31/745993331.db2.gz IWRHYCKNDYKJDR-GFCCVEGCSA-N 1 2 304.375 1.546 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2cccnc2)C[C@H]1O ZINC001193232047 746024307 /nfs/dbraw/zinc/02/43/07/746024307.db2.gz REKSVFDIGXOWPI-IAGOWNOFSA-N 1 2 315.417 1.511 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2cccnc2)C[C@H]1O ZINC001193232047 746024312 /nfs/dbraw/zinc/02/43/12/746024312.db2.gz REKSVFDIGXOWPI-IAGOWNOFSA-N 1 2 315.417 1.511 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ccccn2)C[C@H]1O ZINC001193258425 746033925 /nfs/dbraw/zinc/03/39/25/746033925.db2.gz JLELQFVPRPXWDF-ZIAGYGMSSA-N 1 2 323.824 1.522 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ccccn2)C[C@H]1O ZINC001193258425 746033930 /nfs/dbraw/zinc/03/39/30/746033930.db2.gz JLELQFVPRPXWDF-ZIAGYGMSSA-N 1 2 323.824 1.522 20 30 DDEDLO CC#CCN1CCC[C@@H](NC(=O)c2occc2C[NH+](C)C)[C@@H]1C ZINC000993704157 746297193 /nfs/dbraw/zinc/29/71/93/746297193.db2.gz PVCJOODBBIEPQX-GOEBONIOSA-N 1 2 317.433 1.947 20 30 DDEDLO Cn1cnc(C(=O)N[C@@H]2CCC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001007273270 752077828 /nfs/dbraw/zinc/07/78/28/752077828.db2.gz NYRUOXWXUBPMSL-MRXNPFEDSA-N 1 2 323.400 1.061 20 30 DDEDLO Cn1cnc(C(=O)N[C@@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001007273270 752077832 /nfs/dbraw/zinc/07/78/32/752077832.db2.gz NYRUOXWXUBPMSL-MRXNPFEDSA-N 1 2 323.400 1.061 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CC2(C)CCCCC2)C1 ZINC001194618634 746427391 /nfs/dbraw/zinc/42/73/91/746427391.db2.gz DRLWXBHIIXUSCB-HZPDHXFCSA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)CC2(C)CCCCC2)C1 ZINC001194618634 746427394 /nfs/dbraw/zinc/42/73/94/746427394.db2.gz DRLWXBHIIXUSCB-HZPDHXFCSA-N 1 2 322.449 1.158 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccc(Cl)o2)CC1 ZINC001194831694 746470557 /nfs/dbraw/zinc/47/05/57/746470557.db2.gz WTRCBTCXTFVCOE-UHFFFAOYSA-N 1 2 310.781 1.731 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccc(Cl)o2)CC1 ZINC001194831694 746470559 /nfs/dbraw/zinc/47/05/59/746470559.db2.gz WTRCBTCXTFVCOE-UHFFFAOYSA-N 1 2 310.781 1.731 20 30 DDEDLO COC(=O)[C@H](CS)NC(=O)c1cccc(-c2c[nH+]cn2C)c1 ZINC001194918169 746486285 /nfs/dbraw/zinc/48/62/85/746486285.db2.gz UCZCHMGORGSDFU-LBPRGKRZSA-N 1 2 319.386 1.288 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1O ZINC001195254318 746557639 /nfs/dbraw/zinc/55/76/39/746557639.db2.gz AKCVESONDKZYND-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1O ZINC001195254318 746557642 /nfs/dbraw/zinc/55/76/42/746557642.db2.gz AKCVESONDKZYND-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO CCC(C)(C)NC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195321289 746564035 /nfs/dbraw/zinc/56/40/35/746564035.db2.gz HDYHWIVDWLYINO-UONOGXRCSA-N 1 2 322.453 1.374 20 30 DDEDLO CCC(C)(C)NC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195321289 746564039 /nfs/dbraw/zinc/56/40/39/746564039.db2.gz HDYHWIVDWLYINO-UONOGXRCSA-N 1 2 322.453 1.374 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@H]1O ZINC001195566727 746655334 /nfs/dbraw/zinc/65/53/34/746655334.db2.gz CDPMUYFZYHYVTL-BFHYXJOUSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@H]1O ZINC001195566727 746655338 /nfs/dbraw/zinc/65/53/38/746655338.db2.gz CDPMUYFZYHYVTL-BFHYXJOUSA-N 1 2 307.394 1.247 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](Cc2cnc(C)cn2)CC1 ZINC001195682431 746670658 /nfs/dbraw/zinc/67/06/58/746670658.db2.gz QIGPKPWZBJQHPD-UHFFFAOYSA-N 1 2 300.406 1.623 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](Cc2cnc(C)cn2)CC1 ZINC001195682431 746670662 /nfs/dbraw/zinc/67/06/62/746670662.db2.gz QIGPKPWZBJQHPD-UHFFFAOYSA-N 1 2 300.406 1.623 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCCN(C(=O)C2(C)CC2)CC1 ZINC001195915470 746735980 /nfs/dbraw/zinc/73/59/80/746735980.db2.gz UKTFFBPHWMEDID-UHFFFAOYSA-N 1 2 319.449 1.521 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCCN(C(=O)C2(C)CC2)CC1 ZINC001195915470 746735985 /nfs/dbraw/zinc/73/59/85/746735985.db2.gz UKTFFBPHWMEDID-UHFFFAOYSA-N 1 2 319.449 1.521 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1)Nc1ncccc1C#N ZINC001089381598 746762348 /nfs/dbraw/zinc/76/23/48/746762348.db2.gz TTYAFTFCTARYOE-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@@H+](CC(=O)NC(C)C)CC2)CCC1 ZINC001196139107 746781550 /nfs/dbraw/zinc/78/15/50/746781550.db2.gz RSAMVNQJYNJQAG-UHFFFAOYSA-N 1 2 319.449 1.239 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@H+](CC(=O)NC(C)C)CC2)CCC1 ZINC001196139107 746781553 /nfs/dbraw/zinc/78/15/53/746781553.db2.gz RSAMVNQJYNJQAG-UHFFFAOYSA-N 1 2 319.449 1.239 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CCCn2ccnn2)CC1 ZINC001196149042 746783001 /nfs/dbraw/zinc/78/30/01/746783001.db2.gz YTFNRSQKKVNMNW-UHFFFAOYSA-N 1 2 311.817 1.345 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CCCn2ccnn2)CC1 ZINC001196149042 746783003 /nfs/dbraw/zinc/78/30/03/746783003.db2.gz YTFNRSQKKVNMNW-UHFFFAOYSA-N 1 2 311.817 1.345 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)C2CCC2)CC1 ZINC001196268190 746813734 /nfs/dbraw/zinc/81/37/34/746813734.db2.gz CIWQANIDHZORLI-CABCVRRESA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@H](C)C2CCC2)CC1 ZINC001196268190 746813738 /nfs/dbraw/zinc/81/37/38/746813738.db2.gz CIWQANIDHZORLI-CABCVRRESA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCOc1ccc(CC(=O)NC2C[NH+](CCOC)C2)cc1 ZINC001031450202 746834010 /nfs/dbraw/zinc/83/40/10/746834010.db2.gz QVGOQMNOLYNTEP-UHFFFAOYSA-N 1 2 304.390 1.241 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=S)Nc1ccccc1C#N ZINC001196337947 746836552 /nfs/dbraw/zinc/83/65/52/746836552.db2.gz IBYYKHZLJBEUHN-UHFFFAOYSA-N 1 2 315.402 1.888 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001196874192 746984663 /nfs/dbraw/zinc/98/46/63/746984663.db2.gz SHMLGFJOKNCLAC-AWEZNQCLSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001196874192 746984666 /nfs/dbraw/zinc/98/46/66/746984666.db2.gz SHMLGFJOKNCLAC-AWEZNQCLSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)CC(=C)C)CC1 ZINC001196895110 747002140 /nfs/dbraw/zinc/00/21/40/747002140.db2.gz GNEBUVPIHTVMTQ-CVEARBPZSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[C@H](C)CC(=C)C)CC1 ZINC001196895110 747002145 /nfs/dbraw/zinc/00/21/45/747002145.db2.gz GNEBUVPIHTVMTQ-CVEARBPZSA-N 1 2 319.449 1.261 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C(C)(C)CC)CC1 ZINC001196993793 747027337 /nfs/dbraw/zinc/02/73/37/747027337.db2.gz SPWRELZYBRGSTP-CQSZACIVSA-N 1 2 307.438 1.095 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C(C)(C)CC)CC1 ZINC001196993793 747027344 /nfs/dbraw/zinc/02/73/44/747027344.db2.gz SPWRELZYBRGSTP-CQSZACIVSA-N 1 2 307.438 1.095 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)[C@@H]1CCOC1 ZINC001031570204 747065152 /nfs/dbraw/zinc/06/51/52/747065152.db2.gz OIUBJTIDVYSSAM-MRXNPFEDSA-N 1 2 316.376 1.262 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C(C)(C)C)oc2C)[C@@H](O)C1 ZINC001083817917 747101943 /nfs/dbraw/zinc/10/19/43/747101943.db2.gz IGCFUYYMEVXGQF-CABCVRRESA-N 1 2 318.417 1.684 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C(C)(C)C)oc2C)[C@@H](O)C1 ZINC001083817917 747101947 /nfs/dbraw/zinc/10/19/47/747101947.db2.gz IGCFUYYMEVXGQF-CABCVRRESA-N 1 2 318.417 1.684 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1NC(=O)C#CC1CC1 ZINC001212244545 747231762 /nfs/dbraw/zinc/23/17/62/747231762.db2.gz ZJTBENQFWUQOQS-IAGOWNOFSA-N 1 2 316.376 1.555 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1NC(=O)C#CC1CC1 ZINC001212244545 747231765 /nfs/dbraw/zinc/23/17/65/747231765.db2.gz ZJTBENQFWUQOQS-IAGOWNOFSA-N 1 2 316.376 1.555 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(OC)no1 ZINC001110493918 747246150 /nfs/dbraw/zinc/24/61/50/747246150.db2.gz CFWHYEKNOFDGFF-YUELXQCFSA-N 1 2 317.389 1.708 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(OC)no1 ZINC001110493918 747246155 /nfs/dbraw/zinc/24/61/55/747246155.db2.gz CFWHYEKNOFDGFF-YUELXQCFSA-N 1 2 317.389 1.708 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)c(F)c2)C1 ZINC001108057849 747311689 /nfs/dbraw/zinc/31/16/89/747311689.db2.gz MUIYYUSNALQGTP-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccc(C)c(F)c2)C1 ZINC001108057849 747311693 /nfs/dbraw/zinc/31/16/93/747311693.db2.gz MUIYYUSNALQGTP-GOSISDBHSA-N 1 2 318.392 1.978 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nc(C)c(C)o1 ZINC001152370887 747314338 /nfs/dbraw/zinc/31/43/38/747314338.db2.gz RSFVSHAAOUOHBE-GFCCVEGCSA-N 1 2 309.410 1.821 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nc(C)c(C)o1 ZINC001152370887 747314341 /nfs/dbraw/zinc/31/43/41/747314341.db2.gz RSFVSHAAOUOHBE-GFCCVEGCSA-N 1 2 309.410 1.821 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)CNC(=O)[C@H](C)CC)CC1 ZINC001198136526 747378020 /nfs/dbraw/zinc/37/80/20/747378020.db2.gz UCODUJMUCTXIBJ-GFCCVEGCSA-N 1 2 315.845 1.436 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)CNC(=O)[C@H](C)CC)CC1 ZINC001198136526 747378028 /nfs/dbraw/zinc/37/80/28/747378028.db2.gz UCODUJMUCTXIBJ-GFCCVEGCSA-N 1 2 315.845 1.436 20 30 DDEDLO Cc1cc(C[N@@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC(C)C)C3)ncn1 ZINC001110534045 747871545 /nfs/dbraw/zinc/87/15/45/747871545.db2.gz PMMCOSUVDFIHCM-ZACQAIPSSA-N 1 2 312.417 1.666 20 30 DDEDLO Cc1cc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC(C)C)C3)ncn1 ZINC001110534045 747871549 /nfs/dbraw/zinc/87/15/49/747871549.db2.gz PMMCOSUVDFIHCM-ZACQAIPSSA-N 1 2 312.417 1.666 20 30 DDEDLO C=CCC[C@H](C(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001199567261 747896766 /nfs/dbraw/zinc/89/67/66/747896766.db2.gz NZIYOIUMKXLCCE-GVDBMIGSSA-N 1 2 320.408 1.867 20 30 DDEDLO C=CCC[C@H](C(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001199567261 747896773 /nfs/dbraw/zinc/89/67/73/747896773.db2.gz NZIYOIUMKXLCCE-GVDBMIGSSA-N 1 2 320.408 1.867 20 30 DDEDLO C#CCC[NH+]1CC(CNC(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC001031856874 748034995 /nfs/dbraw/zinc/03/49/95/748034995.db2.gz KWGCRZNRBLAFRT-WBMJQRKESA-N 1 2 300.406 1.147 20 30 DDEDLO N#Cc1ccc(NC(=S)NCCC[NH+]2CCOCC2)c(O)c1 ZINC001199948765 748047947 /nfs/dbraw/zinc/04/79/47/748047947.db2.gz SQOQGADJKKODHQ-UHFFFAOYSA-N 1 2 320.418 1.273 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(C)nc2)[C@H](O)C1 ZINC001090073516 748063263 /nfs/dbraw/zinc/06/32/63/748063263.db2.gz GFJUBAUDTMRPTM-ZIAGYGMSSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)nc2)[C@H](O)C1 ZINC001090073516 748063269 /nfs/dbraw/zinc/06/32/69/748063269.db2.gz GFJUBAUDTMRPTM-ZIAGYGMSSA-N 1 2 309.797 1.308 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)CCNC(=O)CCn2cc[nH+]c2)C1 ZINC001077574103 748204418 /nfs/dbraw/zinc/20/44/18/748204418.db2.gz XBYAWHQNKJGNSA-AWEZNQCLSA-N 1 2 318.421 1.641 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(CC)no1 ZINC001124496147 748286147 /nfs/dbraw/zinc/28/61/47/748286147.db2.gz WWJMXDBMLFQZJG-VXGBXAGGSA-N 1 2 310.398 1.380 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)CCn2cccn2)CC1 ZINC001004346317 748346098 /nfs/dbraw/zinc/34/60/98/748346098.db2.gz LBJQFXQDFLCTQL-INIZCTEOSA-N 1 2 315.421 1.500 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)CCn2cccn2)CC1 ZINC001004346317 748346109 /nfs/dbraw/zinc/34/61/09/748346109.db2.gz LBJQFXQDFLCTQL-INIZCTEOSA-N 1 2 315.421 1.500 20 30 DDEDLO CO[C@H](C(=O)N1CCC([C@H]2CCC[N@@H+]2CC#N)CC1)C1CC1 ZINC001004423586 748418089 /nfs/dbraw/zinc/41/80/89/748418089.db2.gz CDYGIKWNPXQKOY-CVEARBPZSA-N 1 2 305.422 1.638 20 30 DDEDLO CO[C@H](C(=O)N1CCC([C@H]2CCC[N@H+]2CC#N)CC1)C1CC1 ZINC001004423586 748418092 /nfs/dbraw/zinc/41/80/92/748418092.db2.gz CDYGIKWNPXQKOY-CVEARBPZSA-N 1 2 305.422 1.638 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2nc3c(s2)CCC3)C1 ZINC001108073388 748445752 /nfs/dbraw/zinc/44/57/52/748445752.db2.gz JVAFGPSCSYOPRP-MRXNPFEDSA-N 1 2 321.446 1.639 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2nc3c(s2)CCC3)C1 ZINC001108073388 748445754 /nfs/dbraw/zinc/44/57/54/748445754.db2.gz JVAFGPSCSYOPRP-MRXNPFEDSA-N 1 2 321.446 1.639 20 30 DDEDLO C=CCOCC(=O)NCC[NH2+][C@@H](C)c1nnc(CC(C)C)o1 ZINC001124773595 748478091 /nfs/dbraw/zinc/47/80/91/748478091.db2.gz UGUDCMWRTZLHFK-LBPRGKRZSA-N 1 2 310.398 1.238 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)CC(F)F)C1 ZINC001108083017 748732559 /nfs/dbraw/zinc/73/25/59/748732559.db2.gz WAJJFVJIWBKJIR-WFASDCNBSA-N 1 2 302.365 1.508 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)CC(F)F)C1 ZINC001108083017 748732560 /nfs/dbraw/zinc/73/25/60/748732560.db2.gz WAJJFVJIWBKJIR-WFASDCNBSA-N 1 2 302.365 1.508 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2ccc(=O)n(CCC)n2)C1 ZINC001032178719 748770208 /nfs/dbraw/zinc/77/02/08/748770208.db2.gz NHAAIELRGGJXRN-UHFFFAOYSA-N 1 2 324.812 1.067 20 30 DDEDLO CC1(NC(=O)Cc2c[nH]c[nH+]2)CCN(c2ccc(C#N)nc2)CC1 ZINC001110609970 748823385 /nfs/dbraw/zinc/82/33/85/748823385.db2.gz GRFAMUCWOPBJGX-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(CC)CCOCC2)C1 ZINC001108310384 761901855 /nfs/dbraw/zinc/90/18/55/761901855.db2.gz MRQMJSPBORELFS-INIZCTEOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(CC)CCOCC2)C1 ZINC001108310384 761901857 /nfs/dbraw/zinc/90/18/57/761901857.db2.gz MRQMJSPBORELFS-INIZCTEOSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2ccnc(C)n2)C1 ZINC001108096681 748972212 /nfs/dbraw/zinc/97/22/12/748972212.db2.gz UOKSLFINCPUTQP-QGZVFWFLSA-N 1 2 318.421 1.111 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2ccnc(C)n2)C1 ZINC001108096681 748972215 /nfs/dbraw/zinc/97/22/15/748972215.db2.gz UOKSLFINCPUTQP-QGZVFWFLSA-N 1 2 318.421 1.111 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](C)[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001004991899 748989254 /nfs/dbraw/zinc/98/92/54/748989254.db2.gz OYBVWBOURIHWMV-ZIAGYGMSSA-N 1 2 318.421 1.449 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@]1(C)CCN(c2ncccc2C#N)C1 ZINC001110774044 749000450 /nfs/dbraw/zinc/00/04/50/749000450.db2.gz XMSBUJJHFUNKIS-QGZVFWFLSA-N 1 2 324.388 1.313 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@H](C)C(C)C)nn2)C1 ZINC001107140901 749366814 /nfs/dbraw/zinc/36/68/14/749366814.db2.gz CNIDMLXTISVARC-ZDUSSCGKSA-N 1 2 305.426 1.619 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)C(C)(C)C(C)C)nn2)C1 ZINC001107176566 749480245 /nfs/dbraw/zinc/48/02/45/749480245.db2.gz BNOXWLSXAARBHF-UHFFFAOYSA-N 1 2 317.437 1.457 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@H](C)CC(F)F)nn2)C1 ZINC001107188838 749524187 /nfs/dbraw/zinc/52/41/87/749524187.db2.gz ZYDKQDRCIBCHEC-SNVBAGLBSA-N 1 2 313.352 1.228 20 30 DDEDLO C#CCC[N@H+]1CC[C@H](N(C)C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC001033665926 749674713 /nfs/dbraw/zinc/67/47/13/749674713.db2.gz SAPGPQUFGXIOGX-AWEZNQCLSA-N 1 2 312.373 1.839 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H](N(C)C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC001033665926 749674715 /nfs/dbraw/zinc/67/47/15/749674715.db2.gz SAPGPQUFGXIOGX-AWEZNQCLSA-N 1 2 312.373 1.839 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NC/C=C\CNc1ccc(C#N)cn1 ZINC001107263351 749701739 /nfs/dbraw/zinc/70/17/39/749701739.db2.gz ZCUVPHWFLHMZOF-IHWYPQMZSA-N 1 2 310.361 1.014 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2nccn3cc(C)nc23)C1 ZINC001015963858 749713823 /nfs/dbraw/zinc/71/38/23/749713823.db2.gz PCRPWIJSEFRRCJ-GFCCVEGCSA-N 1 2 319.796 1.594 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2nccn3cc(C)nc23)C1 ZINC001015963858 749713825 /nfs/dbraw/zinc/71/38/25/749713825.db2.gz PCRPWIJSEFRRCJ-GFCCVEGCSA-N 1 2 319.796 1.594 20 30 DDEDLO Cc1nc(NC[C@@]2(C)CCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001110836555 749742188 /nfs/dbraw/zinc/74/21/88/749742188.db2.gz MJHIUWISQHJCHX-OAHLLOKOSA-N 1 2 319.434 1.692 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001095411062 749755847 /nfs/dbraw/zinc/75/58/47/749755847.db2.gz GGPGFHUUCXLQDE-INIZCTEOSA-N 1 2 304.394 1.197 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cnn(C)c2N)C1 ZINC001033812426 749937356 /nfs/dbraw/zinc/93/73/56/749937356.db2.gz ZCNHYGIPRWVOJT-LLVKDONJSA-N 1 2 311.817 1.291 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cnn(C)c2N)C1 ZINC001033812426 749937363 /nfs/dbraw/zinc/93/73/63/749937363.db2.gz ZCNHYGIPRWVOJT-LLVKDONJSA-N 1 2 311.817 1.291 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccc(F)cc1)C(F)C(F)(F)F ZINC001127780064 749989449 /nfs/dbraw/zinc/98/94/49/749989449.db2.gz VFRWRXJNQSPMLY-LBPRGKRZSA-N 1 2 320.261 1.783 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccc(F)cc1)[C@H](F)C(F)(F)F ZINC001127780064 749989457 /nfs/dbraw/zinc/98/94/57/749989457.db2.gz VFRWRXJNQSPMLY-LBPRGKRZSA-N 1 2 320.261 1.783 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CC3(CC3)C1)C2 ZINC001111008030 750299650 /nfs/dbraw/zinc/29/96/50/750299650.db2.gz FPFCQDFFRTZQFR-KFWWJZLASA-N 1 2 317.433 1.200 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CC3(CC3)C1)C2 ZINC001111008030 750299656 /nfs/dbraw/zinc/29/96/56/750299656.db2.gz FPFCQDFFRTZQFR-KFWWJZLASA-N 1 2 317.433 1.200 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccccc2C)C1 ZINC001107706251 750400815 /nfs/dbraw/zinc/40/08/15/750400815.db2.gz KIPHRMOSCUHHCI-GOSISDBHSA-N 1 2 300.402 1.839 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccccc2C)C1 ZINC001107706251 750400820 /nfs/dbraw/zinc/40/08/20/750400820.db2.gz KIPHRMOSCUHHCI-GOSISDBHSA-N 1 2 300.402 1.839 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001034473441 750478636 /nfs/dbraw/zinc/47/86/36/750478636.db2.gz ZARWYSKPOAZKEV-MRXNPFEDSA-N 1 2 323.400 1.689 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001034473441 750478637 /nfs/dbraw/zinc/47/86/37/750478637.db2.gz ZARWYSKPOAZKEV-MRXNPFEDSA-N 1 2 323.400 1.689 20 30 DDEDLO C#CCCCC(=O)N[C@@]1(CO)CCCN(c2cc[nH+]c(C)n2)C1 ZINC001111351591 750504954 /nfs/dbraw/zinc/50/49/54/750504954.db2.gz ZFJUXLNBQKVLCN-KRWDZBQOSA-N 1 2 316.405 1.036 20 30 DDEDLO C=CCCCC(=O)N[C@]1(CO)CCCN(c2cc[nH+]c(C)n2)C1 ZINC001111363926 750523725 /nfs/dbraw/zinc/52/37/25/750523725.db2.gz IOEAKMGNHCACCC-QGZVFWFLSA-N 1 2 318.421 1.589 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C2CC(CNC(=O)C#CC3CC3)C2)no1 ZINC001100314867 750591043 /nfs/dbraw/zinc/59/10/43/750591043.db2.gz HUSZWSGVOOCFOS-GWMXKSKGSA-N 1 2 302.378 1.337 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(C)ccc1F ZINC001032404610 750595195 /nfs/dbraw/zinc/59/51/95/750595195.db2.gz OTCAKKLDCBBQBM-KBPBESRZSA-N 1 2 302.349 1.431 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(C)ccc1F ZINC001032404610 750595198 /nfs/dbraw/zinc/59/51/98/750595198.db2.gz OTCAKKLDCBBQBM-KBPBESRZSA-N 1 2 302.349 1.431 20 30 DDEDLO C[C@H](CNc1ncc(C#N)cc1Cl)NC(=O)Cc1c[nH]c[nH+]1 ZINC001108136161 750657422 /nfs/dbraw/zinc/65/74/22/750657422.db2.gz PXMBBVBDEWCXJL-SECBINFHSA-N 1 2 318.768 1.489 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cncnc2CC)C1 ZINC001108402567 762062028 /nfs/dbraw/zinc/06/20/28/762062028.db2.gz HLJWGBBOBIQFSQ-INIZCTEOSA-N 1 2 304.394 1.046 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cncnc2CC)C1 ZINC001108402567 762062037 /nfs/dbraw/zinc/06/20/37/762062037.db2.gz HLJWGBBOBIQFSQ-INIZCTEOSA-N 1 2 304.394 1.046 20 30 DDEDLO C[Si](C)(C)C#Cc1cc(Cl)cnc1-n1[nH]c(=O)nc1C[NH3+] ZINC001168756915 750750080 /nfs/dbraw/zinc/75/00/80/750750080.db2.gz YMVPWKRZUWQIAV-UHFFFAOYSA-N 1 2 321.844 1.709 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001107945307 750788686 /nfs/dbraw/zinc/78/86/86/750788686.db2.gz DARFUGYMKBUHIM-WMLDXEAASA-N 1 2 318.421 1.223 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001107945307 750788690 /nfs/dbraw/zinc/78/86/90/750788690.db2.gz DARFUGYMKBUHIM-WMLDXEAASA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cnn(C)c1 ZINC001032423442 750790956 /nfs/dbraw/zinc/79/09/56/750790956.db2.gz MNFXIYRPQBRAOW-KBPBESRZSA-N 1 2 322.840 1.984 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cnn(C)c1 ZINC001032423442 750790959 /nfs/dbraw/zinc/79/09/59/750790959.db2.gz MNFXIYRPQBRAOW-KBPBESRZSA-N 1 2 322.840 1.984 20 30 DDEDLO C#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)/C(C)=C\CC)CC2 ZINC001127951031 750824081 /nfs/dbraw/zinc/82/40/81/750824081.db2.gz RUNNRJXMNMGCTB-XMRSSTEGSA-N 1 2 315.421 1.178 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]ncc1C(F)(F)F ZINC001032438984 750834197 /nfs/dbraw/zinc/83/41/97/750834197.db2.gz KWHVXZUSAZCRMV-UWVGGRQHSA-N 1 2 312.295 1.351 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]ncc1C(F)(F)F ZINC001032438984 750834208 /nfs/dbraw/zinc/83/42/08/750834208.db2.gz KWHVXZUSAZCRMV-UWVGGRQHSA-N 1 2 312.295 1.351 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001032485933 751006899 /nfs/dbraw/zinc/00/68/99/751006899.db2.gz FKXIWIUCEOQOHB-SNPRPXQTSA-N 1 2 320.824 1.483 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccc(OCC)cc1 ZINC001032489773 751034988 /nfs/dbraw/zinc/03/49/88/751034988.db2.gz YCZMFFONEIVFCJ-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccc(OCC)cc1 ZINC001032489773 751034993 /nfs/dbraw/zinc/03/49/93/751034993.db2.gz YCZMFFONEIVFCJ-IRXDYDNUSA-N 1 2 312.413 1.936 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2nn(C)cc2Cl)C1 ZINC001107967155 751116136 /nfs/dbraw/zinc/11/61/36/751116136.db2.gz PYEPEEAMPKGRMY-AWEZNQCLSA-N 1 2 312.801 1.080 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2nn(C)cc2Cl)C1 ZINC001107967155 751116139 /nfs/dbraw/zinc/11/61/39/751116139.db2.gz PYEPEEAMPKGRMY-AWEZNQCLSA-N 1 2 312.801 1.080 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]nc(C)c2c1 ZINC001032514029 751152695 /nfs/dbraw/zinc/15/26/95/751152695.db2.gz FGXFJQYOGLQQDW-GJZGRUSLSA-N 1 2 308.385 1.793 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]nc(C)c2c1 ZINC001032514029 751152699 /nfs/dbraw/zinc/15/26/99/751152699.db2.gz FGXFJQYOGLQQDW-GJZGRUSLSA-N 1 2 308.385 1.793 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(-c2ncc[nH]2)n1 ZINC001032529186 751203415 /nfs/dbraw/zinc/20/34/15/751203415.db2.gz UXYZLEUGVGOPNT-QWRGUYRKSA-N 1 2 315.402 1.618 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(-c2ncc[nH]2)n1 ZINC001032529186 751203421 /nfs/dbraw/zinc/20/34/21/751203421.db2.gz UXYZLEUGVGOPNT-QWRGUYRKSA-N 1 2 315.402 1.618 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nc(C)c2)C1 ZINC001107972073 751286218 /nfs/dbraw/zinc/28/62/18/751286218.db2.gz FBUXBYRBDBNNQV-KRWDZBQOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C)nc(C)c2)C1 ZINC001107972073 751286223 /nfs/dbraw/zinc/28/62/23/751286223.db2.gz FBUXBYRBDBNNQV-KRWDZBQOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(CNC(C)=O)s1 ZINC001032650129 752596365 /nfs/dbraw/zinc/59/63/65/752596365.db2.gz APGSOQCWMZHRSO-STQMWFEESA-N 1 2 319.430 1.469 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(CNC(C)=O)s1 ZINC001032650129 752596376 /nfs/dbraw/zinc/59/63/76/752596376.db2.gz APGSOQCWMZHRSO-STQMWFEESA-N 1 2 319.430 1.469 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC001032713779 752861589 /nfs/dbraw/zinc/86/15/89/752861589.db2.gz RAJTVDZPYUJNKE-HOTGVXAUSA-N 1 2 306.369 1.609 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC001032713779 752861595 /nfs/dbraw/zinc/86/15/95/752861595.db2.gz RAJTVDZPYUJNKE-HOTGVXAUSA-N 1 2 306.369 1.609 20 30 DDEDLO C=CCCCC(=O)N1CCCC[C@@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001062332848 752889836 /nfs/dbraw/zinc/88/98/36/752889836.db2.gz YKPJWLZMXVTPAL-OAHLLOKOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cn3c(n2)CC[C@@H](C)C3)C1 ZINC001043077948 753070307 /nfs/dbraw/zinc/07/03/07/753070307.db2.gz ANUDUUPVRRKYBX-CYBMUJFWSA-N 1 2 302.422 1.798 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CCCC)c1C ZINC001032723603 753353855 /nfs/dbraw/zinc/35/38/55/753353855.db2.gz MVADNLAKBXRIJO-HOTGVXAUSA-N 1 2 314.433 1.914 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CCCC)c1C ZINC001032723603 753353859 /nfs/dbraw/zinc/35/38/59/753353859.db2.gz MVADNLAKBXRIJO-HOTGVXAUSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1nc(NC[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001060913410 753454452 /nfs/dbraw/zinc/45/44/52/753454452.db2.gz IITBJJPIHVROIT-ZDUSSCGKSA-N 1 2 324.388 1.488 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2cnccc2C)C1 ZINC001108003641 753481758 /nfs/dbraw/zinc/48/17/58/753481758.db2.gz KTXMEUPJKMCZEW-KRWDZBQOSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cnccc2C)C1 ZINC001108003641 753481760 /nfs/dbraw/zinc/48/17/60/753481760.db2.gz KTXMEUPJKMCZEW-KRWDZBQOSA-N 1 2 303.406 1.326 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)c2ccncc2)C1 ZINC001108022400 753566716 /nfs/dbraw/zinc/56/67/16/753566716.db2.gz HZRJDRNAWYXGAK-YJBOKZPZSA-N 1 2 317.433 1.968 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)c2ccncc2)C1 ZINC001108022400 753566724 /nfs/dbraw/zinc/56/67/24/753566724.db2.gz HZRJDRNAWYXGAK-YJBOKZPZSA-N 1 2 317.433 1.968 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C3CC3)s2)C1 ZINC001078000655 753613309 /nfs/dbraw/zinc/61/33/09/753613309.db2.gz JXQPAKMDSHGQEZ-CHWSQXEVSA-N 1 2 304.415 1.424 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C3CC3)s2)C1 ZINC001078000655 753613315 /nfs/dbraw/zinc/61/33/15/753613315.db2.gz JXQPAKMDSHGQEZ-CHWSQXEVSA-N 1 2 304.415 1.424 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC001078011795 753632366 /nfs/dbraw/zinc/63/23/66/753632366.db2.gz ICPZDKFIIZQXHC-ZIAGYGMSSA-N 1 2 304.390 1.203 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC001078011795 753632371 /nfs/dbraw/zinc/63/23/71/753632371.db2.gz ICPZDKFIIZQXHC-ZIAGYGMSSA-N 1 2 304.390 1.203 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001108447886 762234072 /nfs/dbraw/zinc/23/40/72/762234072.db2.gz YOUDTRKEJJEEPZ-HOCLYGCPSA-N 1 2 308.422 1.196 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001108447886 762234077 /nfs/dbraw/zinc/23/40/77/762234077.db2.gz YOUDTRKEJJEEPZ-HOCLYGCPSA-N 1 2 308.422 1.196 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Nc2cc(Cl)nc3[nH]cc(C#N)c32)C[NH2+]1 ZINC001169130964 762246870 /nfs/dbraw/zinc/24/68/70/762246870.db2.gz WLDPMVXSZPWCSJ-SCZZXKLOSA-N 1 2 319.752 1.403 20 30 DDEDLO Cc1cc(CC(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)no1 ZINC001010399480 753867909 /nfs/dbraw/zinc/86/79/09/753867909.db2.gz VRMZIRJICICWRS-INIZCTEOSA-N 1 2 324.384 1.788 20 30 DDEDLO Cc1cc(CC(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)no1 ZINC001010399480 753867916 /nfs/dbraw/zinc/86/79/16/753867916.db2.gz VRMZIRJICICWRS-INIZCTEOSA-N 1 2 324.384 1.788 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001010687582 754100221 /nfs/dbraw/zinc/10/02/21/754100221.db2.gz GNKZPDWZBGVQFO-WCQYABFASA-N 1 2 313.361 1.748 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001010687582 754100224 /nfs/dbraw/zinc/10/02/24/754100224.db2.gz GNKZPDWZBGVQFO-WCQYABFASA-N 1 2 313.361 1.748 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001032804311 754108000 /nfs/dbraw/zinc/10/80/00/754108000.db2.gz GBYVOTKBENEUKU-STQMWFEESA-N 1 2 311.341 1.455 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001032804311 754108005 /nfs/dbraw/zinc/10/80/05/754108005.db2.gz GBYVOTKBENEUKU-STQMWFEESA-N 1 2 311.341 1.455 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN2C(=O)CCc2c[nH]c[nH+]2)nc1 ZINC001063574670 754233809 /nfs/dbraw/zinc/23/38/09/754233809.db2.gz UHKASUMEUYYDKW-OAHLLOKOSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN2C(=O)CCc2c[nH+]c[nH]2)nc1 ZINC001063574670 754233814 /nfs/dbraw/zinc/23/38/14/754233814.db2.gz UHKASUMEUYYDKW-OAHLLOKOSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1CCC[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001064099011 754499701 /nfs/dbraw/zinc/49/97/01/754499701.db2.gz IHVQDRJZLFVSTH-GJZGRUSLSA-N 1 2 324.388 1.547 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2C=CC=CC=C2)[C@H](O)C1 ZINC001090300802 754786984 /nfs/dbraw/zinc/78/69/84/754786984.db2.gz MMZFGTTUTGBFTI-LSDHHAIUSA-N 1 2 308.809 1.589 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2C=CC=CC=C2)[C@H](O)C1 ZINC001090300802 754786988 /nfs/dbraw/zinc/78/69/88/754786988.db2.gz MMZFGTTUTGBFTI-LSDHHAIUSA-N 1 2 308.809 1.589 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2onc(C)c2C)[C@H](O)C1 ZINC001090349311 755006964 /nfs/dbraw/zinc/00/69/64/755006964.db2.gz KPRWHIDMWGTSIF-VXGBXAGGSA-N 1 2 313.785 1.209 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2onc(C)c2C)[C@H](O)C1 ZINC001090349311 755006970 /nfs/dbraw/zinc/00/69/70/755006970.db2.gz KPRWHIDMWGTSIF-VXGBXAGGSA-N 1 2 313.785 1.209 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)c2ccoc2)C1 ZINC001079127345 755122673 /nfs/dbraw/zinc/12/26/73/755122673.db2.gz OUBQUMVFNPJQBQ-QZTJIDSGSA-N 1 2 324.380 1.600 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](N(Cc2ccccc2)C(=O)c2ccoc2)C1 ZINC001079127345 755122676 /nfs/dbraw/zinc/12/26/76/755122676.db2.gz OUBQUMVFNPJQBQ-QZTJIDSGSA-N 1 2 324.380 1.600 20 30 DDEDLO Cc1nonc1C[N@H+]1CCC[C@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001040121534 762395194 /nfs/dbraw/zinc/39/51/94/762395194.db2.gz SBWHAFMPSDWRHN-LRDDRELGSA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCC[C@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001040121534 762395198 /nfs/dbraw/zinc/39/51/98/762395198.db2.gz SBWHAFMPSDWRHN-LRDDRELGSA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CNc1ccc(C#N)c(C)n1 ZINC001108469095 762411653 /nfs/dbraw/zinc/41/16/53/762411653.db2.gz ZZPODMIQZXAYCY-JTQLQIEISA-N 1 2 312.377 1.453 20 30 DDEDLO C=CC(C)(C)C(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080223247 755784649 /nfs/dbraw/zinc/78/46/49/755784649.db2.gz YBLAUBVUBLXTRR-UHFFFAOYSA-N 1 2 304.394 1.224 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@]2(C1)CCC[N@H+](Cc1cnon1)C2 ZINC001040197863 762434835 /nfs/dbraw/zinc/43/48/35/762434835.db2.gz OGJCKBHYQGJLIO-KRWDZBQOSA-N 1 2 314.389 1.298 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@]2(C1)CCC[N@@H+](Cc1cnon1)C2 ZINC001040197863 762434837 /nfs/dbraw/zinc/43/48/37/762434837.db2.gz OGJCKBHYQGJLIO-KRWDZBQOSA-N 1 2 314.389 1.298 20 30 DDEDLO C=C(Cl)CN1CC[C@H](NC(=O)C(C)(C)n2c[nH+]c(C)c2)C1 ZINC001014919727 756015381 /nfs/dbraw/zinc/01/53/81/756015381.db2.gz MTIHSVJMSITOTE-ZDUSSCGKSA-N 1 2 310.829 1.870 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccc(N(C)C)nc2)C1 ZINC001015048592 756091411 /nfs/dbraw/zinc/09/14/11/756091411.db2.gz GPPGYGABZGRIOO-CYBMUJFWSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccc(N(C)C)nc2)C1 ZINC001015048592 756091419 /nfs/dbraw/zinc/09/14/19/756091419.db2.gz GPPGYGABZGRIOO-CYBMUJFWSA-N 1 2 308.813 1.704 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn(C)c2CCC)C1 ZINC001015086775 756114129 /nfs/dbraw/zinc/11/41/29/756114129.db2.gz HNNPPNMSTNKCOK-LBPRGKRZSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn(C)c2CCC)C1 ZINC001015086775 756114133 /nfs/dbraw/zinc/11/41/33/756114133.db2.gz HNNPPNMSTNKCOK-LBPRGKRZSA-N 1 2 310.829 1.929 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cccn3nccc23)C1 ZINC001015094773 756120723 /nfs/dbraw/zinc/12/07/23/756120723.db2.gz VBLJTSISOIAPOX-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cccn3nccc23)C1 ZINC001015094773 756120728 /nfs/dbraw/zinc/12/07/28/756120728.db2.gz VBLJTSISOIAPOX-GFCCVEGCSA-N 1 2 304.781 1.891 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CN(C(=O)Cn3cc[nH+]c3)C[C@@H]2C)n1 ZINC001067113051 756329791 /nfs/dbraw/zinc/32/97/91/756329791.db2.gz KKMHNPGDBWFLHY-SWLSCSKDSA-N 1 2 324.388 1.417 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001015610925 756410056 /nfs/dbraw/zinc/41/00/56/756410056.db2.gz GAYJZLOXPORJFH-DOTOQJQBSA-N 1 2 317.364 1.377 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001015610925 756410059 /nfs/dbraw/zinc/41/00/59/756410059.db2.gz GAYJZLOXPORJFH-DOTOQJQBSA-N 1 2 317.364 1.377 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(OCC=C)cc2)[C@H](OC)C1 ZINC001081864809 756497902 /nfs/dbraw/zinc/49/79/02/756497902.db2.gz GCVWBEXNRPWITN-IAGOWNOFSA-N 1 2 314.385 1.314 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(OCC=C)cc2)[C@H](OC)C1 ZINC001081864809 756497904 /nfs/dbraw/zinc/49/79/04/756497904.db2.gz GCVWBEXNRPWITN-IAGOWNOFSA-N 1 2 314.385 1.314 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ncccc2CC)[C@H](OC)C1 ZINC001081877554 756503680 /nfs/dbraw/zinc/50/36/80/756503680.db2.gz PNFLKSDHEREBMC-HUUCEWRRSA-N 1 2 301.390 1.096 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ncccc2CC)[C@H](OC)C1 ZINC001081877554 756503685 /nfs/dbraw/zinc/50/36/85/756503685.db2.gz PNFLKSDHEREBMC-HUUCEWRRSA-N 1 2 301.390 1.096 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]cn2C)C[C@@H]1Nc1ccc(C#N)cn1 ZINC001067123893 756595958 /nfs/dbraw/zinc/59/59/58/756595958.db2.gz YKNZVKJCQMUGOT-DOMZBBRYSA-N 1 2 324.388 1.188 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ncsc2C2CC2)[C@H](OC)C1 ZINC001082129098 756656887 /nfs/dbraw/zinc/65/68/87/756656887.db2.gz BJYJDJFSAJMTRT-VXGBXAGGSA-N 1 2 305.403 1.083 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ncsc2C2CC2)[C@H](OC)C1 ZINC001082129098 756656889 /nfs/dbraw/zinc/65/68/89/756656889.db2.gz BJYJDJFSAJMTRT-VXGBXAGGSA-N 1 2 305.403 1.083 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2sc(C)cc2OC)[C@H](OC)C1 ZINC001082201239 756674953 /nfs/dbraw/zinc/67/49/53/756674953.db2.gz QXEXASDOSCMIGD-DGCLKSJQSA-N 1 2 308.403 1.127 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2sc(C)cc2OC)[C@H](OC)C1 ZINC001082201239 756674955 /nfs/dbraw/zinc/67/49/55/756674955.db2.gz QXEXASDOSCMIGD-DGCLKSJQSA-N 1 2 308.403 1.127 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001015984979 756698061 /nfs/dbraw/zinc/69/80/61/756698061.db2.gz PYYUVQQLABUMCE-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001015984979 756698064 /nfs/dbraw/zinc/69/80/64/756698064.db2.gz PYYUVQQLABUMCE-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2oc3ccccc3c2C)[C@H](OC)C1 ZINC001082357222 756754089 /nfs/dbraw/zinc/75/40/89/756754089.db2.gz ODQOPXYIECEOEN-GDBMZVCRSA-N 1 2 312.369 1.803 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2oc3ccccc3c2C)[C@H](OC)C1 ZINC001082357222 756754094 /nfs/dbraw/zinc/75/40/94/756754094.db2.gz ODQOPXYIECEOEN-GDBMZVCRSA-N 1 2 312.369 1.803 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C3CCC3)no2)C1 ZINC001082451236 756791448 /nfs/dbraw/zinc/79/14/48/756791448.db2.gz UHELEOUIWKZNFY-NQBHXWOUSA-N 1 2 317.393 1.433 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2COc3ccccc3O2)C1 ZINC001016172139 756808039 /nfs/dbraw/zinc/80/80/39/756808039.db2.gz UQLAPKWJZYGSCX-WFASDCNBSA-N 1 2 322.792 1.769 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2COc3ccccc3O2)C1 ZINC001016172139 756808047 /nfs/dbraw/zinc/80/80/47/756808047.db2.gz UQLAPKWJZYGSCX-WFASDCNBSA-N 1 2 322.792 1.769 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@H]1C[C@@H](Nc2ccncc2C#N)C1 ZINC001097257036 757024003 /nfs/dbraw/zinc/02/40/03/757024003.db2.gz FOAXBACSHULGIY-FPMFFAJLSA-N 1 2 324.388 1.036 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@H]1C[C@@H](Nc2ccncc2C#N)C1 ZINC001097257036 757024015 /nfs/dbraw/zinc/02/40/15/757024015.db2.gz FOAXBACSHULGIY-FPMFFAJLSA-N 1 2 324.388 1.036 20 30 DDEDLO CC[C@H](F)C[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(C#N)c[nH]3)[C@H]2C1 ZINC001083040332 757088577 /nfs/dbraw/zinc/08/85/77/757088577.db2.gz IIGJBKCHIKNBGW-AEGPPILISA-N 1 2 320.368 1.160 20 30 DDEDLO CC[C@H](F)C[N@H+]1C[C@H]2OCCN(C(=O)c3cc(C#N)c[nH]3)[C@H]2C1 ZINC001083040332 757088585 /nfs/dbraw/zinc/08/85/85/757088585.db2.gz IIGJBKCHIKNBGW-AEGPPILISA-N 1 2 320.368 1.160 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cnc4[nH]ccc4c3)[C@H]2C1 ZINC001083113428 757118476 /nfs/dbraw/zinc/11/84/76/757118476.db2.gz ZWCVJAZJYMICBF-JKSUJKDBSA-N 1 2 324.384 1.063 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cnc4[nH]ccc4c3)[C@H]2C1 ZINC001083113428 757118481 /nfs/dbraw/zinc/11/84/81/757118481.db2.gz ZWCVJAZJYMICBF-JKSUJKDBSA-N 1 2 324.384 1.063 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4cc[nH]c4n3)[C@H]2C1 ZINC001083112617 757118806 /nfs/dbraw/zinc/11/88/06/757118806.db2.gz ISNBDBBOGSQCKT-JKSUJKDBSA-N 1 2 324.384 1.111 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4cc[nH]c4n3)[C@H]2C1 ZINC001083112617 757118808 /nfs/dbraw/zinc/11/88/08/757118808.db2.gz ISNBDBBOGSQCKT-JKSUJKDBSA-N 1 2 324.384 1.111 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001097364938 757125058 /nfs/dbraw/zinc/12/50/58/757125058.db2.gz ZXRCWOSZDWJYJS-RHSMWYFYSA-N 1 2 318.421 1.763 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c(OC)c3)[C@H]2C1 ZINC001083136395 757149574 /nfs/dbraw/zinc/14/95/74/757149574.db2.gz VHSAEXQKSOYUIX-DOTOQJQBSA-N 1 2 314.385 1.162 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c(OC)c3)[C@H]2C1 ZINC001083136395 757149582 /nfs/dbraw/zinc/14/95/82/757149582.db2.gz VHSAEXQKSOYUIX-DOTOQJQBSA-N 1 2 314.385 1.162 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c(C)n3)[C@H]2C1 ZINC001083144532 757158832 /nfs/dbraw/zinc/15/88/32/757158832.db2.gz LOFFDQVFDZYXHK-DLBZAZTESA-N 1 2 313.401 1.247 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(C)c(C)n3)[C@H]2C1 ZINC001083144532 757158837 /nfs/dbraw/zinc/15/88/37/757158837.db2.gz LOFFDQVFDZYXHK-DLBZAZTESA-N 1 2 313.401 1.247 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CC[C@@H]4C[C@@H]4CC3)[C@H]2C1 ZINC001083151046 757160546 /nfs/dbraw/zinc/16/05/46/757160546.db2.gz FQQJDYOJEFEIKW-ZOFXXKQRSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CC[C@@H]4C[C@@H]4CC3)[C@H]2C1 ZINC001083151046 757160548 /nfs/dbraw/zinc/16/05/48/757160548.db2.gz FQQJDYOJEFEIKW-ZOFXXKQRSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(CC(C)C)cc2)[C@@H](O)C1 ZINC001084039208 757240383 /nfs/dbraw/zinc/24/03/83/757240383.db2.gz PRLNBVSNQQGLGT-SJORKVTESA-N 1 2 300.402 1.293 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(CC(C)C)cc2)[C@@H](O)C1 ZINC001084039208 757240387 /nfs/dbraw/zinc/24/03/87/757240387.db2.gz PRLNBVSNQQGLGT-SJORKVTESA-N 1 2 300.402 1.293 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@H+](Cc3ccc(F)cn3)C[C@H]21 ZINC001084237008 757439972 /nfs/dbraw/zinc/43/99/72/757439972.db2.gz YMVLAYDOWUYHAH-LALPHHSUSA-N 1 2 302.353 1.413 20 30 DDEDLO C[C@H](C#N)C(=O)N1C[C@H]2CC[N@@H+](Cc3ccc(F)cn3)C[C@H]21 ZINC001084237008 757439975 /nfs/dbraw/zinc/43/99/75/757439975.db2.gz YMVLAYDOWUYHAH-LALPHHSUSA-N 1 2 302.353 1.413 20 30 DDEDLO C#CCCCCC(=O)N(CCO)CCNc1cc[nH+]c(C)n1 ZINC001111454803 757525992 /nfs/dbraw/zinc/52/59/92/757525992.db2.gz WQDOQLXIGSUAGD-UHFFFAOYSA-N 1 2 304.394 1.211 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc4n3CCC4)[C@@H]2C1 ZINC001084417478 757539929 /nfs/dbraw/zinc/53/99/29/757539929.db2.gz WMAMVSIKVOJBRH-TZMCWYRMSA-N 1 2 320.824 1.728 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3cnc4n3CCC4)[C@@H]2C1 ZINC001084417478 757539933 /nfs/dbraw/zinc/53/99/33/757539933.db2.gz WMAMVSIKVOJBRH-TZMCWYRMSA-N 1 2 320.824 1.728 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cnc4ccccc4c3O)[C@@H]2C1 ZINC001084478018 757615941 /nfs/dbraw/zinc/61/59/41/757615941.db2.gz DJMJWERZWOULRB-CXAGYDPISA-N 1 2 321.380 1.308 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnc4ccccc4c3O)[C@@H]2C1 ZINC001084478018 757615944 /nfs/dbraw/zinc/61/59/44/757615944.db2.gz DJMJWERZWOULRB-CXAGYDPISA-N 1 2 321.380 1.308 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C2CCC2)C1=O ZINC001017288253 757789669 /nfs/dbraw/zinc/78/96/69/757789669.db2.gz XIBBEWKKPCPKPW-XHSDSOJGSA-N 1 2 317.433 1.249 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)C2CCC2)C1=O ZINC001017288253 757789673 /nfs/dbraw/zinc/78/96/73/757789673.db2.gz XIBBEWKKPCPKPW-XHSDSOJGSA-N 1 2 317.433 1.249 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3nonc3C)[C@@H]2C1 ZINC001084750420 757863475 /nfs/dbraw/zinc/86/34/75/757863475.db2.gz NKHBIXVKNYYFGN-DGCLKSJQSA-N 1 2 310.785 1.206 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3nonc3C)[C@@H]2C1 ZINC001084750420 757863484 /nfs/dbraw/zinc/86/34/84/757863484.db2.gz NKHBIXVKNYYFGN-DGCLKSJQSA-N 1 2 310.785 1.206 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(OC)ns1)CCO2 ZINC001053259789 758316784 /nfs/dbraw/zinc/31/67/84/758316784.db2.gz MHXYCNNDRIHZCG-UHFFFAOYSA-N 1 2 323.418 1.255 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)Cc1cncs1)CCO2 ZINC001053296637 758353096 /nfs/dbraw/zinc/35/30/96/758353096.db2.gz RLNANSGHEMDWBF-UHFFFAOYSA-N 1 2 307.419 1.175 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC12CC(OCC)C2 ZINC001017940455 758409955 /nfs/dbraw/zinc/40/99/55/758409955.db2.gz UWPGRNZEDFZRNG-XAZSACEBSA-N 1 2 316.445 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC12CC(OCC)C2 ZINC001017940455 758409956 /nfs/dbraw/zinc/40/99/56/758409956.db2.gz UWPGRNZEDFZRNG-XAZSACEBSA-N 1 2 316.445 1.890 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1[nH]c(C)nc1C)CCO2 ZINC001053434631 758458059 /nfs/dbraw/zinc/45/80/59/758458059.db2.gz PZXZXXHRCWMPBD-UHFFFAOYSA-N 1 2 304.394 1.129 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@@H](C(C)C)C1 ZINC001018144400 758578930 /nfs/dbraw/zinc/57/89/30/758578930.db2.gz POGZHSWVERXQNF-MWDXBVQZSA-N 1 2 304.434 1.746 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCO[C@@H](C(C)C)C1 ZINC001018144400 758578933 /nfs/dbraw/zinc/57/89/33/758578933.db2.gz POGZHSWVERXQNF-MWDXBVQZSA-N 1 2 304.434 1.746 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cscn1)O2 ZINC001053582593 758594941 /nfs/dbraw/zinc/59/49/41/758594941.db2.gz ILPHSYTVUWXUEH-GFCCVEGCSA-N 1 2 307.419 1.682 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(F)c[nH]1)O2 ZINC001053629710 758647890 /nfs/dbraw/zinc/64/78/90/758647890.db2.gz UCCUAAJDXVNXNT-ZDUSSCGKSA-N 1 2 307.369 1.693 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1Cc3ccccc31)O2 ZINC001053656592 758668731 /nfs/dbraw/zinc/66/87/31/758668731.db2.gz XWMCAIRMRLJDSN-WBVHZDCISA-N 1 2 312.413 1.862 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnc(C)nc1C)O2 ZINC001053681723 758692173 /nfs/dbraw/zinc/69/21/73/758692173.db2.gz RJUXXLFBSLJAKR-CQSZACIVSA-N 1 2 316.405 1.243 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC)N1CCCC1=O ZINC001018286705 758699284 /nfs/dbraw/zinc/69/92/84/758699284.db2.gz VKSVRWVMOSSYPE-OWCLPIDISA-N 1 2 317.433 1.086 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC)N1CCCC1=O ZINC001018286705 758699287 /nfs/dbraw/zinc/69/92/87/758699287.db2.gz VKSVRWVMOSSYPE-OWCLPIDISA-N 1 2 317.433 1.086 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)n(C)c1C)O2 ZINC001053696974 758705787 /nfs/dbraw/zinc/70/57/87/758705787.db2.gz UAPNCSJIRNRPRF-OAHLLOKOSA-N 1 2 317.433 1.791 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1ccc(C#N)[nH]1)CO2 ZINC001053773176 758795898 /nfs/dbraw/zinc/79/58/98/758795898.db2.gz FMJPYQWWQUPRNS-ZDUSSCGKSA-N 1 2 300.362 1.036 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)cncc1C)CO2 ZINC001053870445 758904054 /nfs/dbraw/zinc/90/40/54/758904054.db2.gz JZUPJIVYFLHPSF-HNNXBMFYSA-N 1 2 315.417 1.848 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)c(C)cn1)CO2 ZINC001053876363 758910823 /nfs/dbraw/zinc/91/08/23/758910823.db2.gz AOSIBNJQQPQEKJ-HNNXBMFYSA-N 1 2 315.417 1.848 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C1CCC(OC)CC1)CO2 ZINC001053909371 758944027 /nfs/dbraw/zinc/94/40/27/758944027.db2.gz LHJHMKYZNJVMIL-HWOWSKLDSA-N 1 2 322.449 1.727 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)C1CCC(OC)CC1)CO2 ZINC001053910130 758944837 /nfs/dbraw/zinc/94/48/37/758944837.db2.gz USOQWLVTJPTJOA-PCKAHOCUSA-N 1 2 320.433 1.174 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@H]3CC[C@@H]1O3)CO2 ZINC001053932311 758968024 /nfs/dbraw/zinc/96/80/24/758968024.db2.gz RELSXAOPPVURBT-TUVASFSCSA-N 1 2 306.406 1.090 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1[C@H]3[C@@H]1[C@@H]1CC[C@H]3C1)CO2 ZINC001054021604 759073025 /nfs/dbraw/zinc/07/30/25/759073025.db2.gz OXTWKAXLWXUFOX-NEXGVSGLSA-N 1 2 314.429 1.261 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)C1CCOCC1)CO2 ZINC001054025696 759076601 /nfs/dbraw/zinc/07/66/01/759076601.db2.gz FSJOWWGPNYMYML-ZBFHGGJFSA-N 1 2 322.449 1.585 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1CCCO1 ZINC001054029044 759080423 /nfs/dbraw/zinc/08/04/23/759080423.db2.gz DJZPYUJUBXHCCU-QZTJIDSGSA-N 1 2 312.413 1.732 20 30 DDEDLO CC#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1CCCO1 ZINC001054029044 759080430 /nfs/dbraw/zinc/08/04/30/759080430.db2.gz DJZPYUJUBXHCCU-QZTJIDSGSA-N 1 2 312.413 1.732 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn(C)c1 ZINC001054032438 759085937 /nfs/dbraw/zinc/08/59/37/759085937.db2.gz ZAWGECITBCEOBG-QGZVFWFLSA-N 1 2 308.385 1.210 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn(C)c1 ZINC001054032438 759085947 /nfs/dbraw/zinc/08/59/47/759085947.db2.gz ZAWGECITBCEOBG-QGZVFWFLSA-N 1 2 308.385 1.210 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001069136798 767872234 /nfs/dbraw/zinc/87/22/34/767872234.db2.gz AGOZAVOXCLIMDB-RISCZKNCSA-N 1 2 324.388 1.629 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nc([C@H](C)OC)no2)C1 ZINC001019238018 759707158 /nfs/dbraw/zinc/70/71/58/759707158.db2.gz IWZCNIGZRNSXRD-RYUDHWBXSA-N 1 2 322.409 1.680 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([NH2+]Cc2nnc(C3CC3)o2)C1 ZINC001019238378 759708539 /nfs/dbraw/zinc/70/85/39/759708539.db2.gz YXTWLZNUUBZMJK-LBPRGKRZSA-N 1 2 304.394 1.850 20 30 DDEDLO C=CCC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCCS1(=O)=O ZINC001085637297 759928085 /nfs/dbraw/zinc/92/80/85/759928085.db2.gz MLWSDXCDQVPTTP-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCCS1(=O)=O ZINC001085637297 759928092 /nfs/dbraw/zinc/92/80/92/759928092.db2.gz MLWSDXCDQVPTTP-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(CC(F)F)nc1C ZINC001085659288 759951812 /nfs/dbraw/zinc/95/18/12/759951812.db2.gz OROMPOPJMXXCGT-GFCCVEGCSA-N 1 2 310.348 1.236 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(CC(F)F)nc1C ZINC001085659288 759951810 /nfs/dbraw/zinc/95/18/10/759951810.db2.gz OROMPOPJMXXCGT-GFCCVEGCSA-N 1 2 310.348 1.236 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cn(-c2ccccc2)nn1 ZINC001085692139 760042648 /nfs/dbraw/zinc/04/26/48/760042648.db2.gz ZXHBMYWUFBGZKR-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(-c2ccccc2)nn1 ZINC001085692139 760042660 /nfs/dbraw/zinc/04/26/60/760042660.db2.gz ZXHBMYWUFBGZKR-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc2ccccc2nn1 ZINC001085753566 760158927 /nfs/dbraw/zinc/15/89/27/760158927.db2.gz JVWMUCYNJWGOFH-HNNXBMFYSA-N 1 2 308.385 1.799 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc2ccccc2nn1 ZINC001085753566 760158929 /nfs/dbraw/zinc/15/89/29/760158929.db2.gz JVWMUCYNJWGOFH-HNNXBMFYSA-N 1 2 308.385 1.799 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc2ccc(OC)cc2[nH]1 ZINC001085755242 760172424 /nfs/dbraw/zinc/17/24/24/760172424.db2.gz AQKHACJTERWQTC-AWEZNQCLSA-N 1 2 311.385 1.956 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc2ccc(OC)cc2[nH]1 ZINC001085755242 760172425 /nfs/dbraw/zinc/17/24/25/760172425.db2.gz AQKHACJTERWQTC-AWEZNQCLSA-N 1 2 311.385 1.956 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2cnn(C)c2)cc1 ZINC001085756500 760177415 /nfs/dbraw/zinc/17/74/15/760177415.db2.gz KRVQSOOWVHMZMD-GOSISDBHSA-N 1 2 322.412 1.748 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2cnn(C)c2)cc1 ZINC001085756500 760177420 /nfs/dbraw/zinc/17/74/20/760177420.db2.gz KRVQSOOWVHMZMD-GOSISDBHSA-N 1 2 322.412 1.748 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001054970350 760243842 /nfs/dbraw/zinc/24/38/42/760243842.db2.gz BNDQIUCPQBXAKT-WCQYABFASA-N 1 2 306.410 1.917 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001054975052 760248875 /nfs/dbraw/zinc/24/88/75/760248875.db2.gz ANPBTCUXDLYUSJ-VXGBXAGGSA-N 1 2 306.410 1.781 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@@H+]2Cc2ccccn2)c1 ZINC001085809386 760277192 /nfs/dbraw/zinc/27/71/92/760277192.db2.gz CGSNUZSQVAUURB-GOSISDBHSA-N 1 2 320.396 1.804 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2ccccn2)c1 ZINC001085809386 760277203 /nfs/dbraw/zinc/27/72/03/760277203.db2.gz CGSNUZSQVAUURB-GOSISDBHSA-N 1 2 320.396 1.804 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@H+]2C[C@H](F)CC)c1 ZINC001085809496 760277755 /nfs/dbraw/zinc/27/77/55/760277755.db2.gz GCGBMLSVCZTCCF-CVEARBPZSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C[C@H](F)CC)c1 ZINC001085809496 760277760 /nfs/dbraw/zinc/27/77/60/760277760.db2.gz GCGBMLSVCZTCCF-CVEARBPZSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C/C=C\Cl)c1 ZINC001085810094 760278989 /nfs/dbraw/zinc/27/89/89/760278989.db2.gz UPUWKJLOCVPBSK-VOKGJARPSA-N 1 2 303.793 1.962 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C[C@@H]2CC[N@H+]2C/C=C\Cl)c1 ZINC001085810094 760278994 /nfs/dbraw/zinc/27/89/94/760278994.db2.gz UPUWKJLOCVPBSK-VOKGJARPSA-N 1 2 303.793 1.962 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncn(C(C)(C)C)n1 ZINC001085817516 760301319 /nfs/dbraw/zinc/30/13/19/760301319.db2.gz ALMIJQPJXNCHPR-CYBMUJFWSA-N 1 2 303.410 1.203 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncn(C(C)(C)C)n1 ZINC001085817516 760301327 /nfs/dbraw/zinc/30/13/27/760301327.db2.gz ALMIJQPJXNCHPR-CYBMUJFWSA-N 1 2 303.410 1.203 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001085901816 760495422 /nfs/dbraw/zinc/49/54/22/760495422.db2.gz NPHIMZXKEIGULB-KGLIPLIRSA-N 1 2 314.433 1.704 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001085901816 760495426 /nfs/dbraw/zinc/49/54/26/760495426.db2.gz NPHIMZXKEIGULB-KGLIPLIRSA-N 1 2 314.433 1.704 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(CCCC)c1C ZINC001085917986 760527155 /nfs/dbraw/zinc/52/71/55/760527155.db2.gz PVHMIDLSKDVEPY-OAHLLOKOSA-N 1 2 302.422 1.771 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(CCCC)c1C ZINC001085917986 760527161 /nfs/dbraw/zinc/52/71/61/760527161.db2.gz PVHMIDLSKDVEPY-OAHLLOKOSA-N 1 2 302.422 1.771 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C(=O)N(C)C)cc1 ZINC001085931200 760558612 /nfs/dbraw/zinc/55/86/12/760558612.db2.gz SDFGMPMRZVQCOQ-INIZCTEOSA-N 1 2 313.401 1.168 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C(=O)N(C)C)cc1 ZINC001085931200 760558614 /nfs/dbraw/zinc/55/86/14/760558614.db2.gz SDFGMPMRZVQCOQ-INIZCTEOSA-N 1 2 313.401 1.168 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CCN2Cc2c[nH+]cn2C)nc1 ZINC001085930062 760567677 /nfs/dbraw/zinc/56/76/77/760567677.db2.gz MYLOPNBXICXKIY-HNNXBMFYSA-N 1 2 323.400 1.143 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc(OCC)cn1 ZINC001086003856 760699053 /nfs/dbraw/zinc/69/90/53/760699053.db2.gz RKACTEXOYIEQQL-ZDUSSCGKSA-N 1 2 302.378 1.045 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc(OCC)cn1 ZINC001086003856 760699058 /nfs/dbraw/zinc/69/90/58/760699058.db2.gz RKACTEXOYIEQQL-ZDUSSCGKSA-N 1 2 302.378 1.045 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001066381337 760933249 /nfs/dbraw/zinc/93/32/49/760933249.db2.gz ULRMUPNJEPQSAI-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C2CC2)nn1CC ZINC001038385422 761072191 /nfs/dbraw/zinc/07/21/91/761072191.db2.gz FFQCFWKGRHPDIA-CQSZACIVSA-N 1 2 300.406 1.608 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C2CC2)nn1CC ZINC001038385422 761072200 /nfs/dbraw/zinc/07/22/00/761072200.db2.gz FFQCFWKGRHPDIA-CQSZACIVSA-N 1 2 300.406 1.608 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)CC[C@H]2CCCCO2)C1 ZINC001108251472 761153111 /nfs/dbraw/zinc/15/31/11/761153111.db2.gz FUKVLPITLIMLPP-NVXWUHKLSA-N 1 2 310.438 1.729 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)CC[C@H]2CCCCO2)C1 ZINC001108251472 761153118 /nfs/dbraw/zinc/15/31/18/761153118.db2.gz FUKVLPITLIMLPP-NVXWUHKLSA-N 1 2 310.438 1.729 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)CC1 ZINC001066444181 761184676 /nfs/dbraw/zinc/18/46/76/761184676.db2.gz VBBFKWANJAAZPI-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+]2Cc2conc2C)cc1 ZINC001038581193 761215112 /nfs/dbraw/zinc/21/51/12/761215112.db2.gz GWHNHFSAOANGHK-KRWDZBQOSA-N 1 2 309.369 1.969 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+]2Cc2conc2C)cc1 ZINC001038581193 761215114 /nfs/dbraw/zinc/21/51/14/761215114.db2.gz GWHNHFSAOANGHK-KRWDZBQOSA-N 1 2 309.369 1.969 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(-c2ncon2)c1 ZINC001038693842 761294685 /nfs/dbraw/zinc/29/46/85/761294685.db2.gz NJUUEQOJONRMRM-HNNXBMFYSA-N 1 2 310.357 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(-c2ncon2)c1 ZINC001038693842 761294691 /nfs/dbraw/zinc/29/46/91/761294691.db2.gz NJUUEQOJONRMRM-HNNXBMFYSA-N 1 2 310.357 1.564 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C(F)(F)F)c1C ZINC001038774866 761396912 /nfs/dbraw/zinc/39/69/12/761396912.db2.gz CKIDRQBJPRXFJH-SECBINFHSA-N 1 2 300.284 1.174 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C(F)(F)F)c1C ZINC001038774866 761396920 /nfs/dbraw/zinc/39/69/20/761396920.db2.gz CKIDRQBJPRXFJH-SECBINFHSA-N 1 2 300.284 1.174 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H]2CC[N@H+]2C[C@H](F)CC)nn1 ZINC001038791194 761411619 /nfs/dbraw/zinc/41/16/19/761411619.db2.gz WIZVIQIRNJUMPO-OLZOCXBDSA-N 1 2 309.389 1.406 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H]2CC[N@@H+]2C[C@H](F)CC)nn1 ZINC001038791194 761411622 /nfs/dbraw/zinc/41/16/22/761411622.db2.gz WIZVIQIRNJUMPO-OLZOCXBDSA-N 1 2 309.389 1.406 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cccc(-n2cccn2)c1 ZINC001038822733 761438776 /nfs/dbraw/zinc/43/87/76/761438776.db2.gz LIVUEDJASMIERF-QGZVFWFLSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cccc(-n2cccn2)c1 ZINC001038822733 761438780 /nfs/dbraw/zinc/43/87/80/761438780.db2.gz LIVUEDJASMIERF-QGZVFWFLSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn(CCCC)nc1C ZINC001038901440 761527390 /nfs/dbraw/zinc/52/73/90/761527390.db2.gz SXFJRGOYXXJVAE-HNNXBMFYSA-N 1 2 302.422 1.819 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn(CCCC)nc1C ZINC001038901440 761527393 /nfs/dbraw/zinc/52/73/93/761527393.db2.gz SXFJRGOYXXJVAE-HNNXBMFYSA-N 1 2 302.422 1.819 20 30 DDEDLO Cc1cc(C)c(C(=O)NC[C@H](C)Nc2ccnc(C#N)n2)c(C)[nH+]1 ZINC001098431685 761541217 /nfs/dbraw/zinc/54/12/17/761541217.db2.gz UVFMWZUZXICPPG-LBPRGKRZSA-N 1 2 324.388 1.321 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@H](NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001066561365 761798404 /nfs/dbraw/zinc/79/84/04/761798404.db2.gz JYXJZGGIGBCYNR-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO CC1(C)CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1Nc1ccc(C#N)cn1 ZINC001069619929 768078321 /nfs/dbraw/zinc/07/83/21/768078321.db2.gz RZENPSHLVJETKN-CQSZACIVSA-N 1 2 324.388 1.568 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001108588769 762773294 /nfs/dbraw/zinc/77/32/94/762773294.db2.gz PVDACMWUSPWGFJ-QWHCGFSZSA-N 1 2 324.388 1.329 20 30 DDEDLO C[C@@H](CNc1cncc(C#N)n1)NC(=O)c1cccc2[nH+]ccn21 ZINC001108602973 762787457 /nfs/dbraw/zinc/78/74/57/762787457.db2.gz KFMGJOYWWWNGTA-NSHDSACASA-N 1 2 321.344 1.226 20 30 DDEDLO C[C@@H](CNc1cnc(C#N)cn1)NC(=O)c1cccc2[nH+]ccn21 ZINC001108603152 762788499 /nfs/dbraw/zinc/78/84/99/762788499.db2.gz UKXOTRDVSXBEAM-NSHDSACASA-N 1 2 321.344 1.226 20 30 DDEDLO C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001108686303 762848638 /nfs/dbraw/zinc/84/86/38/762848638.db2.gz COBBFUUCCKONDS-WCQYABFASA-N 1 2 324.388 1.713 20 30 DDEDLO C[C@H](CC(=O)N[C@@H](C)CNc1nccnc1C#N)n1cc[nH+]c1 ZINC001108721278 762891386 /nfs/dbraw/zinc/89/13/86/762891386.db2.gz ALTZBJPNVJEANJ-NWDGAFQWSA-N 1 2 313.365 1.113 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)F)C2 ZINC001108857778 763017524 /nfs/dbraw/zinc/01/75/24/763017524.db2.gz SLCSDIVBPQBYMD-RNJOBUHISA-N 1 2 311.401 1.147 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)F)C2 ZINC001108857778 763017527 /nfs/dbraw/zinc/01/75/27/763017527.db2.gz SLCSDIVBPQBYMD-RNJOBUHISA-N 1 2 311.401 1.147 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCC)C2 ZINC001108930579 763135897 /nfs/dbraw/zinc/13/58/97/763135897.db2.gz XPICMXDAKAIRON-ILXRZTDVSA-N 1 2 305.422 1.038 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCC)C2 ZINC001108930579 763135905 /nfs/dbraw/zinc/13/59/05/763135905.db2.gz XPICMXDAKAIRON-ILXRZTDVSA-N 1 2 305.422 1.038 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](C)[C@@H](C)CC)CC1 ZINC001131393053 768111255 /nfs/dbraw/zinc/11/12/55/768111255.db2.gz KIYPCWBKZQRBSM-LSDHHAIUSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](C)[C@@H](C)CC)CC1 ZINC001131393053 768111261 /nfs/dbraw/zinc/11/12/61/768111261.db2.gz KIYPCWBKZQRBSM-LSDHHAIUSA-N 1 2 309.454 1.505 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)CCC)C2 ZINC001109051919 763289120 /nfs/dbraw/zinc/28/91/20/763289120.db2.gz LDJHWULONBHQTK-FZKCQIBNSA-N 1 2 319.449 1.284 20 30 DDEDLO C#CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)CCC)C2 ZINC001109051919 763289129 /nfs/dbraw/zinc/28/91/29/763289129.db2.gz LDJHWULONBHQTK-FZKCQIBNSA-N 1 2 319.449 1.284 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnc(C)cn1 ZINC001109054878 763294703 /nfs/dbraw/zinc/29/47/03/763294703.db2.gz CUULXENARNEIJQ-PMPSAXMXSA-N 1 2 300.406 1.973 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnc(C)cn1 ZINC001109054878 763294708 /nfs/dbraw/zinc/29/47/08/763294708.db2.gz CUULXENARNEIJQ-PMPSAXMXSA-N 1 2 300.406 1.973 20 30 DDEDLO Cn1cncc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)(C)C)C2 ZINC001109259644 763524715 /nfs/dbraw/zinc/52/47/15/763524715.db2.gz LPKZDKOSMNXVJJ-KBMXLJTQSA-N 1 2 314.433 1.691 20 30 DDEDLO Cn1cncc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC(C)(C)C)C2 ZINC001109259644 763524724 /nfs/dbraw/zinc/52/47/24/763524724.db2.gz LPKZDKOSMNXVJJ-KBMXLJTQSA-N 1 2 314.433 1.691 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cncs1 ZINC001109268263 763530944 /nfs/dbraw/zinc/53/09/44/763530944.db2.gz YIJODZKRIPNIRC-NEBZKDRISA-N 1 2 319.430 1.403 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cncs1 ZINC001109268263 763530954 /nfs/dbraw/zinc/53/09/54/763530954.db2.gz YIJODZKRIPNIRC-NEBZKDRISA-N 1 2 319.430 1.403 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@@H+]3CCF)CCOCC1 ZINC001109391052 763655969 /nfs/dbraw/zinc/65/59/69/763655969.db2.gz PFHAKZXDMIDVLQ-ILXRZTDVSA-N 1 2 308.397 1.498 20 30 DDEDLO C#CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2[N@H+]3CCF)CCOCC1 ZINC001109391052 763655972 /nfs/dbraw/zinc/65/59/72/763655972.db2.gz PFHAKZXDMIDVLQ-ILXRZTDVSA-N 1 2 308.397 1.498 20 30 DDEDLO C=C(Cl)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1cc[nH+]c1)C2 ZINC001109579414 763835236 /nfs/dbraw/zinc/83/52/36/763835236.db2.gz USBUKQGRGCDNNE-RDBSUJKOSA-N 1 2 308.813 1.747 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCC ZINC001109634800 763886465 /nfs/dbraw/zinc/88/64/65/763886465.db2.gz YAFTZCAJRCWCTD-WCVJEAGWSA-N 1 2 321.465 1.836 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCC ZINC001109634800 763886474 /nfs/dbraw/zinc/88/64/74/763886474.db2.gz YAFTZCAJRCWCTD-WCVJEAGWSA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC ZINC001109769499 764017916 /nfs/dbraw/zinc/01/79/16/764017916.db2.gz GDIMIXRTFPVWNU-RDBSUJKOSA-N 1 2 307.438 1.446 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC ZINC001109769499 764017925 /nfs/dbraw/zinc/01/79/25/764017925.db2.gz GDIMIXRTFPVWNU-RDBSUJKOSA-N 1 2 307.438 1.446 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C)cncc2C)[C@H](O)C1 ZINC001090428750 764083811 /nfs/dbraw/zinc/08/38/11/764083811.db2.gz XBWVVQDWQYZTAU-ZIAGYGMSSA-N 1 2 323.824 1.616 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)cncc2C)[C@H](O)C1 ZINC001090428750 764083820 /nfs/dbraw/zinc/08/38/20/764083820.db2.gz XBWVVQDWQYZTAU-ZIAGYGMSSA-N 1 2 323.824 1.616 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C2CC2)n1 ZINC001109891172 764170785 /nfs/dbraw/zinc/17/07/85/764170785.db2.gz IPBLBVVYTHEMKD-AWEZNQCLSA-N 1 2 324.388 1.534 20 30 DDEDLO N#Cc1cccnc1NC[C@H](NC(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001109895339 764175196 /nfs/dbraw/zinc/17/51/96/764175196.db2.gz IKTAYNQFZDZOMA-ZDUSSCGKSA-N 1 2 310.361 1.226 20 30 DDEDLO N#Cc1cnccc1N[C@H]1CCCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001057630939 764183650 /nfs/dbraw/zinc/18/36/50/764183650.db2.gz HBRRZAQNCXZGDA-AWEZNQCLSA-N 1 2 324.388 1.134 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001050925975 764291065 /nfs/dbraw/zinc/29/10/65/764291065.db2.gz XPHPTHGVDXEWRQ-YESZJQIVSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001050925975 764291066 /nfs/dbraw/zinc/29/10/66/764291066.db2.gz XPHPTHGVDXEWRQ-YESZJQIVSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1c(C2CC2)cnn1C ZINC001050952633 764338915 /nfs/dbraw/zinc/33/89/15/764338915.db2.gz RTLVYSQYHKLTLB-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1c(C2CC2)cnn1C ZINC001050952633 764338922 /nfs/dbraw/zinc/33/89/22/764338922.db2.gz RTLVYSQYHKLTLB-AWEZNQCLSA-N 1 2 318.421 1.304 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cn2)CC[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001067407231 764400001 /nfs/dbraw/zinc/40/00/01/764400001.db2.gz CYLRBIFVRMGBDE-OCCSQVGLSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)Cc2cn3c(n2)CCCC3)C1 ZINC001042756547 764418562 /nfs/dbraw/zinc/41/85/62/764418562.db2.gz ROZNZYVRBBODDT-UHFFFAOYSA-N 1 2 302.422 1.481 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cnn(CC(F)(F)F)c2)C1 ZINC001042936969 764537299 /nfs/dbraw/zinc/53/72/99/764537299.db2.gz PZJHNUFQZPXWBP-UHFFFAOYSA-N 1 2 316.327 1.778 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCC(=O)N1)C2 ZINC001096152166 768221664 /nfs/dbraw/zinc/22/16/64/768221664.db2.gz NFWGKVUHBUEKOG-RNJOBUHISA-N 1 2 311.813 1.129 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCC(=O)N1)C2 ZINC001096152166 768221668 /nfs/dbraw/zinc/22/16/68/768221668.db2.gz NFWGKVUHBUEKOG-RNJOBUHISA-N 1 2 311.813 1.129 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn2ccccc12 ZINC001051169875 764574986 /nfs/dbraw/zinc/57/49/86/764574986.db2.gz DYSMXACEMULJEW-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn2ccccc12 ZINC001051169875 764574997 /nfs/dbraw/zinc/57/49/97/764574997.db2.gz DYSMXACEMULJEW-CQSZACIVSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnc(C)nc1C ZINC001051172381 764577717 /nfs/dbraw/zinc/57/77/17/764577717.db2.gz IIFWWYIOESSNCW-OAHLLOKOSA-N 1 2 318.421 1.490 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cnc(C)nc1C ZINC001051172381 764577726 /nfs/dbraw/zinc/57/77/26/764577726.db2.gz IIFWWYIOESSNCW-OAHLLOKOSA-N 1 2 318.421 1.490 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)c2cc(=O)[nH]c(C3CC3)n2)C1 ZINC001043013473 764583794 /nfs/dbraw/zinc/58/37/94/764583794.db2.gz AHHZKKJHWOYYPF-UHFFFAOYSA-N 1 2 302.378 1.392 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CC12CCOCC2 ZINC001051270138 764693142 /nfs/dbraw/zinc/69/31/42/764693142.db2.gz DKNSRPQJTHVYCY-CABCVRRESA-N 1 2 308.422 1.196 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CC12CCOCC2 ZINC001051270138 764693149 /nfs/dbraw/zinc/69/31/49/764693149.db2.gz DKNSRPQJTHVYCY-CABCVRRESA-N 1 2 308.422 1.196 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001051287491 764715078 /nfs/dbraw/zinc/71/50/78/764715078.db2.gz ISVMXWGQJZVPGP-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)N[C@H](CC(C)C)C2)C1 ZINC001043188773 764748861 /nfs/dbraw/zinc/74/88/61/764748861.db2.gz UINODYMSVNORBE-UONOGXRCSA-N 1 2 307.438 1.256 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](CCO[C@@H]2CC2(F)F)CC1 ZINC001112809679 764792741 /nfs/dbraw/zinc/79/27/41/764792741.db2.gz NTIWCAUMLMEFDA-CYBMUJFWSA-N 1 2 300.349 1.358 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2coc(C(C)(C)C)n2)CC1 ZINC001112848799 764871925 /nfs/dbraw/zinc/87/19/25/764871925.db2.gz UZDQLDATGXITCW-UHFFFAOYSA-N 1 2 321.421 1.933 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(-n3cccn3)nc2)C1 ZINC001043500969 764944032 /nfs/dbraw/zinc/94/40/32/764944032.db2.gz FJOYCNAUVOTQMZ-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C=C(C)[C@H](CC(=O)N1CC[NH+](CCn2cccn2)CC1)OCC ZINC001112906910 764949237 /nfs/dbraw/zinc/94/92/37/764949237.db2.gz SCHSTYQRBSZJSX-INIZCTEOSA-N 1 2 320.437 1.399 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2occc2-c2cnn(C)c2)C1 ZINC001043622794 765010424 /nfs/dbraw/zinc/01/04/24/765010424.db2.gz QSDUZUMEAWUOBE-UHFFFAOYSA-N 1 2 312.373 1.460 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CCN(CC(F)(F)F)C2)C1 ZINC001043656328 765029130 /nfs/dbraw/zinc/02/91/30/765029130.db2.gz XEAXWWDIYHBUJW-GFCCVEGCSA-N 1 2 319.371 1.589 20 30 DDEDLO C=CCCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051672367 765057733 /nfs/dbraw/zinc/05/77/33/765057733.db2.gz RZEXMJKNIUESSV-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO CC#CCN1CCN(C(=O)[C@@H](CC(C)C)n2cc[nH+]c2)CC1 ZINC001112991924 765114069 /nfs/dbraw/zinc/11/40/69/765114069.db2.gz ZQMUNGKWMBWDCC-MRXNPFEDSA-N 1 2 302.422 1.638 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCO[C@H](C[NH2+]Cc3cnsn3)C2)C1 ZINC001051814903 765185385 /nfs/dbraw/zinc/18/53/85/765185385.db2.gz MNWXHAAQMQWQII-CYBMUJFWSA-N 1 2 322.434 1.211 20 30 DDEDLO C=C(Cl)CN1CC[NH+]([C@H]2CCN(C(=O)C=C(C)C)C2)CC1 ZINC001051970674 765328775 /nfs/dbraw/zinc/32/87/75/765328775.db2.gz KMHOPPIDSWTURC-HNNXBMFYSA-N 1 2 311.857 1.924 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)c3cc[nH]c3C)C2)CC1 ZINC001052007813 765376236 /nfs/dbraw/zinc/37/62/36/765376236.db2.gz AJTRGFBXZYIVMG-INIZCTEOSA-N 1 2 314.433 1.179 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@H](C)C(F)(F)F)C2)CC1 ZINC001052063322 765435100 /nfs/dbraw/zinc/43/51/00/765435100.db2.gz STGGLPKUDROTQI-STQMWFEESA-N 1 2 317.355 1.037 20 30 DDEDLO CCC(CC)(CC)C(=O)N1CC[C@@H]([NH+]2CCN(CC#N)CC2)C1 ZINC001052100881 765470566 /nfs/dbraw/zinc/47/05/66/765470566.db2.gz JJCGCNQNXWSVKN-MRXNPFEDSA-N 1 2 320.481 1.945 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@H]2Cc3cccc(F)c3O2)C1 ZINC001044317030 765477125 /nfs/dbraw/zinc/47/71/25/765477125.db2.gz HBQQGIPBXJARQH-OAHLLOKOSA-N 1 2 302.349 1.295 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)CC(C)(C)CNc1cc[nH+]c(C)n1 ZINC001113438055 765699346 /nfs/dbraw/zinc/69/93/46/765699346.db2.gz BYOKBSZZEVFRFR-CYBMUJFWSA-N 1 2 318.421 1.720 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cnn(C(C)C)c2)CC1 ZINC001113528874 765812250 /nfs/dbraw/zinc/81/22/50/765812250.db2.gz URVHENZXGJDOAB-UHFFFAOYSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)N(C)c1[nH+]cnc2c1cnn2C ZINC001113562547 765868327 /nfs/dbraw/zinc/86/83/27/765868327.db2.gz OJPRMDRJDIXIHV-LLVKDONJSA-N 1 2 302.382 1.270 20 30 DDEDLO C[C@H](CNC(=O)CCn1cc[nH+]c1)N(C)c1ccncc1C#N ZINC001113821410 766207309 /nfs/dbraw/zinc/20/73/09/766207309.db2.gz BYQNEXRWBDNGTD-CYBMUJFWSA-N 1 2 312.377 1.181 20 30 DDEDLO CCOC(=O)[C@H](C#N)Nc1c(Br)ccc2[nH+]ccn21 ZINC001170377184 766286639 /nfs/dbraw/zinc/28/66/39/766286639.db2.gz GQRIFFAIQIHMKC-VIFPVBQESA-N 1 2 323.150 1.964 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NC3(C)CCN(CC#N)CC3)ccn12 ZINC001045439627 766308529 /nfs/dbraw/zinc/30/85/29/766308529.db2.gz QWUWWWAIHXHVFX-UHFFFAOYSA-N 1 2 311.389 1.751 20 30 DDEDLO Cc1cc(N2CCC[C@H](NC(=O)Cc3c[nH]c[nH+]3)C2)c(C#N)cn1 ZINC001058247284 766323981 /nfs/dbraw/zinc/32/39/81/766323981.db2.gz NYVJDYYZNBCPAR-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC[C@@H]1C ZINC001114023602 766551203 /nfs/dbraw/zinc/55/12/03/766551203.db2.gz HJVLSMFQJZKUQR-ZFWWWQNUSA-N 1 2 300.406 1.920 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)s3)C[C@H]21 ZINC001114025253 766572508 /nfs/dbraw/zinc/57/25/08/766572508.db2.gz JOMROKHHTYMGER-WUWHUORYSA-N 1 2 306.435 1.920 20 30 DDEDLO C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)s3)C[C@H]21 ZINC001114025253 766572510 /nfs/dbraw/zinc/57/25/10/766572510.db2.gz JOMROKHHTYMGER-WUWHUORYSA-N 1 2 306.435 1.920 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccncc1C#N ZINC001067549938 766609771 /nfs/dbraw/zinc/60/97/71/766609771.db2.gz MRCWDIJFBKAQGS-GXTWGEPZSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001114161939 766714941 /nfs/dbraw/zinc/71/49/41/766714941.db2.gz OHYXOOZTEGPZJC-AIANPOQGSA-N 1 2 312.417 1.778 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3ncccn3)C[C@H]21 ZINC001114161939 766714949 /nfs/dbraw/zinc/71/49/49/766714949.db2.gz OHYXOOZTEGPZJC-AIANPOQGSA-N 1 2 312.417 1.778 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114162775 766715759 /nfs/dbraw/zinc/71/57/59/766715759.db2.gz XVYFEFAUFNOBBC-YYWXWVFPSA-N 1 2 316.405 1.679 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nnc(C)o3)C[C@H]21 ZINC001114162775 766715767 /nfs/dbraw/zinc/71/57/67/766715767.db2.gz XVYFEFAUFNOBBC-YYWXWVFPSA-N 1 2 316.405 1.679 20 30 DDEDLO Cc1nc(NCC2CC(NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001067837801 766742147 /nfs/dbraw/zinc/74/21/47/766742147.db2.gz PDTTWFXVYOGZBM-UHFFFAOYSA-N 1 2 310.361 1.605 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)c3ccsc3)CC2)C1 ZINC001045998904 766783000 /nfs/dbraw/zinc/78/30/00/766783000.db2.gz JWPMCIRCDXPEIB-AWEZNQCLSA-N 1 2 304.419 1.104 20 30 DDEDLO Cc1nc(N2CC[C@H](C)[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)cc[nH+]1 ZINC001067942505 766828739 /nfs/dbraw/zinc/82/87/39/766828739.db2.gz UJAYDAYEAKKXCJ-NHYWBVRUSA-N 1 2 324.388 1.630 20 30 DDEDLO CC1(C)CN(c2ncccc2C#N)C[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001068139877 766945846 /nfs/dbraw/zinc/94/58/46/766945846.db2.gz KBSLQNAURCWTBR-AWEZNQCLSA-N 1 2 324.388 1.250 20 30 DDEDLO Cc1nc(N2CCC(N(C)C(=O)[C@H](C)C#N)CC2)c(C)c(C)[nH+]1 ZINC001096101176 767630959 /nfs/dbraw/zinc/63/09/59/767630959.db2.gz WKAWMLYZVJFXJJ-LLVKDONJSA-N 1 2 315.421 1.989 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@H](Nc2ccc(C#N)cn2)[C@@H]1C ZINC001068871865 767672599 /nfs/dbraw/zinc/67/25/99/767672599.db2.gz BUQJBHWYQXWTPU-GXTWGEPZSA-N 1 2 324.388 1.629 20 30 DDEDLO Cc1nc(N2CCC(N(C)C(=O)C#CC(C)C)CC2)cc[nH+]1 ZINC001096109169 767686222 /nfs/dbraw/zinc/68/62/22/767686222.db2.gz FDZQJEHMEDVBFS-UHFFFAOYSA-N 1 2 300.406 1.872 20 30 DDEDLO N#Cc1cccnc1N1CCC[C@@H](NC(=O)CCc2[nH]cc[nH+]2)C1 ZINC001096176089 768370645 /nfs/dbraw/zinc/37/06/45/768370645.db2.gz XDNQWHAYSALDJD-CQSZACIVSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)Cn1cc[nH+]c1)C2 ZINC001047387404 768441576 /nfs/dbraw/zinc/44/15/76/768441576.db2.gz QQQKXRRRXZLRSG-KKUMJFAQSA-N 1 2 316.405 1.345 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(C)cc2C)C1 ZINC001047397442 768449786 /nfs/dbraw/zinc/44/97/86/768449786.db2.gz RTYONKIXHDIZFB-KBPBESRZSA-N 1 2 306.431 1.505 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2sc(C)cc2C)C1 ZINC001047397442 768449791 /nfs/dbraw/zinc/44/97/91/768449791.db2.gz RTYONKIXHDIZFB-KBPBESRZSA-N 1 2 306.431 1.505 20 30 DDEDLO COc1cc(C[N@@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@H]2C)on1 ZINC001132021952 768559133 /nfs/dbraw/zinc/55/91/33/768559133.db2.gz SGEYGTSZLIRSKZ-ZIAGYGMSSA-N 1 2 319.405 1.812 20 30 DDEDLO COc1cc(C[N@H+]2C[C@H](NC(=O)C#CC(C)C)CC[C@H]2C)on1 ZINC001132021952 768559137 /nfs/dbraw/zinc/55/91/37/768559137.db2.gz SGEYGTSZLIRSKZ-ZIAGYGMSSA-N 1 2 319.405 1.812 20 30 DDEDLO CCCNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC(C)(C)C)CC[C@H]1C ZINC001132033848 768580710 /nfs/dbraw/zinc/58/07/10/768580710.db2.gz MBDRVNFMWAXRTL-HUUCEWRRSA-N 1 2 321.465 1.531 20 30 DDEDLO CCCNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC(C)(C)C)CC[C@H]1C ZINC001132033848 768580714 /nfs/dbraw/zinc/58/07/14/768580714.db2.gz MBDRVNFMWAXRTL-HUUCEWRRSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(CC)CC)C2 ZINC001111426143 768582546 /nfs/dbraw/zinc/58/25/46/768582546.db2.gz LFMXLPDNLVEWQQ-KFWWJZLASA-N 1 2 321.465 1.836 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(CC)CC)C2 ZINC001111426143 768582547 /nfs/dbraw/zinc/58/25/47/768582547.db2.gz LFMXLPDNLVEWQQ-KFWWJZLASA-N 1 2 321.465 1.836 20 30 DDEDLO CCC(C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001111426286 768594335 /nfs/dbraw/zinc/59/43/35/768594335.db2.gz QZMTUPVUDOMOMT-MCIONIFRSA-N 1 2 320.437 1.174 20 30 DDEDLO CCC(C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001111426286 768594341 /nfs/dbraw/zinc/59/43/41/768594341.db2.gz QZMTUPVUDOMOMT-MCIONIFRSA-N 1 2 320.437 1.174 20 30 DDEDLO CCCCNC(=O)C[N@@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132046480 768599357 /nfs/dbraw/zinc/59/93/57/768599357.db2.gz PFLCPVOVSRCSOK-GOEBONIOSA-N 1 2 319.449 1.285 20 30 DDEDLO CCCCNC(=O)C[N@H+]1C[C@H](NC(=O)C#CC2CC2)CC[C@@H]1C ZINC001132046480 768599362 /nfs/dbraw/zinc/59/93/62/768599362.db2.gz PFLCPVOVSRCSOK-GOEBONIOSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](NC(=O)CCn2cc[nH+]c2)C1 ZINC001070667955 768655737 /nfs/dbraw/zinc/65/57/37/768655737.db2.gz WWOBHWQTIVMGBK-OAHLLOKOSA-N 1 2 316.405 1.184 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nc(CCC)no2)cc1 ZINC001132215988 768689301 /nfs/dbraw/zinc/68/93/01/768689301.db2.gz UXAFQOYVHCVCIM-UHFFFAOYSA-N 1 2 312.373 1.523 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+][C@@H](C)c2nnc(CC)o2)cc1 ZINC001132226587 768697022 /nfs/dbraw/zinc/69/70/22/768697022.db2.gz GIFNQSUBQGMSKV-LBPRGKRZSA-N 1 2 312.373 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@H+](CC(=O)NCC(C)C)C1 ZINC001132227694 768699039 /nfs/dbraw/zinc/69/90/39/768699039.db2.gz HTNXJYMHKPVAPZ-CABCVRRESA-N 1 2 323.481 1.940 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)[N@@H+](CC(=O)NCC(C)C)C1 ZINC001132227694 768699040 /nfs/dbraw/zinc/69/90/40/768699040.db2.gz HTNXJYMHKPVAPZ-CABCVRRESA-N 1 2 323.481 1.940 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C2(C(C)C)CC2)CC[C@@H]1C ZINC001132273191 768729860 /nfs/dbraw/zinc/72/98/60/768729860.db2.gz DVUWSTGCCIVULN-GJZGRUSLSA-N 1 2 319.449 1.141 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C2(C(C)C)CC2)CC[C@@H]1C ZINC001132273191 768729866 /nfs/dbraw/zinc/72/98/66/768729866.db2.gz DVUWSTGCCIVULN-GJZGRUSLSA-N 1 2 319.449 1.141 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC[C@H](C)CC2)CC1 ZINC001071016206 768882973 /nfs/dbraw/zinc/88/29/73/768882973.db2.gz DITZEVIUDMAXJT-WKILWMFISA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC[C@H](C)CC2)CC1 ZINC001071016206 768882985 /nfs/dbraw/zinc/88/29/85/768882985.db2.gz DITZEVIUDMAXJT-WKILWMFISA-N 1 2 321.465 1.649 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)c1cn[nH]c1)C[C@H](C)O2 ZINC001071139590 769009702 /nfs/dbraw/zinc/00/97/02/769009702.db2.gz XLJSKTDVOKXZHX-SWLSCSKDSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)c1cn[nH]c1)C[C@H](C)O2 ZINC001071139590 769009712 /nfs/dbraw/zinc/00/97/12/769009712.db2.gz XLJSKTDVOKXZHX-SWLSCSKDSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc[n+]([O-])cc2)CC[C@@H]1C ZINC001071333270 769296194 /nfs/dbraw/zinc/29/61/94/769296194.db2.gz ZZCFIZHZKMZICN-GXTWGEPZSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc[n+]([O-])cc2)CC[C@@H]1C ZINC001071333270 769296198 /nfs/dbraw/zinc/29/61/98/769296198.db2.gz ZZCFIZHZKMZICN-GXTWGEPZSA-N 1 2 309.797 1.655 20 30 DDEDLO Cc1cc(N2CC[C@H](NC(=O)C#CC3CC3)C2)nc(C2CC2)[nH+]1 ZINC001096363896 769414719 /nfs/dbraw/zinc/41/47/19/769414719.db2.gz BFSYJTMQIRIPDN-HNNXBMFYSA-N 1 2 310.401 1.771 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096365176 769433729 /nfs/dbraw/zinc/43/37/29/769433729.db2.gz VGMOWVDJYQCDAV-OAHLLOKOSA-N 1 2 300.406 1.900 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc(=O)n(C)o2)CC[C@@H]1C ZINC001071463586 769473218 /nfs/dbraw/zinc/47/32/18/769473218.db2.gz NUMWPROURLNQTG-WDEREUQCSA-N 1 2 313.785 1.314 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc(=O)n(C)o2)CC[C@@H]1C ZINC001071463586 769473224 /nfs/dbraw/zinc/47/32/24/769473224.db2.gz NUMWPROURLNQTG-WDEREUQCSA-N 1 2 313.785 1.314 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)Cc2ccnn2C)CC[C@@H]1C ZINC001071466905 769479733 /nfs/dbraw/zinc/47/97/33/769479733.db2.gz IPGCKNMMOWFWRW-QWHCGFSZSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)Cc2ccnn2C)CC[C@@H]1C ZINC001071466905 769479737 /nfs/dbraw/zinc/47/97/37/769479737.db2.gz IPGCKNMMOWFWRW-QWHCGFSZSA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cn(C)c(=O)cn2)CC[C@H]1C ZINC001071694342 769895389 /nfs/dbraw/zinc/89/53/89/769895389.db2.gz FRRVYPHNTAAFML-VXGBXAGGSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cn(C)c(=O)cn2)CC[C@H]1C ZINC001071694342 769895399 /nfs/dbraw/zinc/89/53/99/769895399.db2.gz FRRVYPHNTAAFML-VXGBXAGGSA-N 1 2 324.812 1.116 20 30 DDEDLO Cc1ccc(C#N)c(N2CC[C@@H](NC(=O)CCn3cc[nH+]c3)C2)n1 ZINC001096459357 770222266 /nfs/dbraw/zinc/22/22/66/770222266.db2.gz FCEQKVVBIPFIQY-OAHLLOKOSA-N 1 2 324.388 1.243 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)CC[C@@H]1C ZINC001072101750 770543894 /nfs/dbraw/zinc/54/38/94/770543894.db2.gz JVJWXUBBYRBVLR-ZFWWWQNUSA-N 1 2 323.400 1.688 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nnc2-c2ccccc2)CC[C@@H]1C ZINC001072101750 770543901 /nfs/dbraw/zinc/54/39/01/770543901.db2.gz JVJWXUBBYRBVLR-ZFWWWQNUSA-N 1 2 323.400 1.688 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001072218841 770673841 /nfs/dbraw/zinc/67/38/41/770673841.db2.gz LSYSAHRBDHXFFW-DOMZBBRYSA-N 1 2 318.421 1.580 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC001072221774 770676217 /nfs/dbraw/zinc/67/62/17/770676217.db2.gz BZSPITSZSMXPKU-JSGCOSHPSA-N 1 2 304.394 1.272 20 30 DDEDLO CCn1cc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)nn1 ZINC001049368569 770743573 /nfs/dbraw/zinc/74/35/73/770743573.db2.gz ACTLDOSNMACRCQ-NWANDNLSSA-N 1 2 316.409 1.023 20 30 DDEDLO CCn1cc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H](C)C#N)nn1 ZINC001049368569 770743577 /nfs/dbraw/zinc/74/35/77/770743577.db2.gz ACTLDOSNMACRCQ-NWANDNLSSA-N 1 2 316.409 1.023 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049377808 770757038 /nfs/dbraw/zinc/75/70/38/770757038.db2.gz IPBOWUIZTMQFTE-RAIGVLPGSA-N 1 2 303.366 1.103 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H](C)C#N)o1 ZINC001049377808 770757042 /nfs/dbraw/zinc/75/70/42/770757042.db2.gz IPBOWUIZTMQFTE-RAIGVLPGSA-N 1 2 303.366 1.103 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049499375 770933438 /nfs/dbraw/zinc/93/34/38/770933438.db2.gz RJJHGTMCEBALHO-GJZGRUSLSA-N 1 2 309.373 1.270 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccc2nn[nH]c21 ZINC001049499375 770933446 /nfs/dbraw/zinc/93/34/46/770933446.db2.gz RJJHGTMCEBALHO-GJZGRUSLSA-N 1 2 309.373 1.270 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)CCc1cn[nH]n1 ZINC001049740316 771123960 /nfs/dbraw/zinc/12/39/60/771123960.db2.gz KGTOCJRITRFVCR-ZIAGYGMSSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)CCc1cn[nH]n1 ZINC001049740316 771123962 /nfs/dbraw/zinc/12/39/62/771123962.db2.gz KGTOCJRITRFVCR-ZIAGYGMSSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)CCc1c[nH]nn1 ZINC001049740316 771123966 /nfs/dbraw/zinc/12/39/66/771123966.db2.gz KGTOCJRITRFVCR-ZIAGYGMSSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)CCc1c[nH]nn1 ZINC001049740316 771123971 /nfs/dbraw/zinc/12/39/71/771123971.db2.gz KGTOCJRITRFVCR-ZIAGYGMSSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)CCc1cn[nH]n1 ZINC001049740315 771124025 /nfs/dbraw/zinc/12/40/25/771124025.db2.gz KGTOCJRITRFVCR-UONOGXRCSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)CCc1cn[nH]n1 ZINC001049740315 771124028 /nfs/dbraw/zinc/12/40/28/771124028.db2.gz KGTOCJRITRFVCR-UONOGXRCSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)CCc1c[nH]nn1 ZINC001049740315 771124032 /nfs/dbraw/zinc/12/40/32/771124032.db2.gz KGTOCJRITRFVCR-UONOGXRCSA-N 1 2 323.828 1.555 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)CCc1c[nH]nn1 ZINC001049740315 771124036 /nfs/dbraw/zinc/12/40/36/771124036.db2.gz KGTOCJRITRFVCR-UONOGXRCSA-N 1 2 323.828 1.555 20 30 DDEDLO N#Cc1ccc(N[C@H](CNC(=O)CCn2cc[nH+]c2)C2CC2)cn1 ZINC001096767924 771455397 /nfs/dbraw/zinc/45/53/97/771455397.db2.gz ASVGYSDXNTUPOL-MRXNPFEDSA-N 1 2 324.388 1.547 20 30 DDEDLO SCCCNc1nc(N2CCCC2)[nH+]c(N2CCCC2)n1 ZINC001159869378 771958109 /nfs/dbraw/zinc/95/81/09/771958109.db2.gz AXNKLZYUZXGCAF-UHFFFAOYSA-N 1 2 308.455 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C)CC(F)(F)C2)[C@H](O)C1 ZINC001090715824 772136068 /nfs/dbraw/zinc/13/60/68/772136068.db2.gz KIOVFWBCZJYZGC-WDEREUQCSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C)CC(F)(F)C2)[C@H](O)C1 ZINC001090715824 772136070 /nfs/dbraw/zinc/13/60/70/772136070.db2.gz KIOVFWBCZJYZGC-WDEREUQCSA-N 1 2 322.783 1.726 20 30 DDEDLO CCOC[C@H]1C[N@H+](Cc2cncc(C#N)c2)Cc2ncn(C)c21 ZINC001144076109 772393458 /nfs/dbraw/zinc/39/34/58/772393458.db2.gz ZDOVDFDVXWRKIJ-OAHLLOKOSA-N 1 2 311.389 1.823 20 30 DDEDLO CCOC[C@H]1C[N@@H+](Cc2cncc(C#N)c2)Cc2ncn(C)c21 ZINC001144076109 772393460 /nfs/dbraw/zinc/39/34/60/772393460.db2.gz ZDOVDFDVXWRKIJ-OAHLLOKOSA-N 1 2 311.389 1.823 20 30 DDEDLO N#Cc1cnccc1N[C@H]1C[C@@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001091413904 772736269 /nfs/dbraw/zinc/73/62/69/772736269.db2.gz NQVCDMBRXCRMJO-JNSHFYNHSA-N 1 2 324.388 1.038 20 30 DDEDLO N#Cc1cnccc1N[C@H]1C[C@@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001091413904 772736272 /nfs/dbraw/zinc/73/62/72/772736272.db2.gz NQVCDMBRXCRMJO-JNSHFYNHSA-N 1 2 324.388 1.038 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001091564495 772883291 /nfs/dbraw/zinc/88/32/91/772883291.db2.gz FXIPTNATSJVTLH-JJRVBVJISA-N 1 2 318.421 1.809 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)n1 ZINC001147523244 773168434 /nfs/dbraw/zinc/16/84/34/773168434.db2.gz RCEQYAQHQDRUBC-ZDUSSCGKSA-N 1 2 316.405 1.633 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2CCC3(CN(C(=O)C#CC(C)C)C3)C2)n1 ZINC001147523244 773168437 /nfs/dbraw/zinc/16/84/37/773168437.db2.gz RCEQYAQHQDRUBC-ZDUSSCGKSA-N 1 2 316.405 1.633 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nonc1C)C2 ZINC001148104500 773349938 /nfs/dbraw/zinc/34/99/38/773349938.db2.gz NBQHDSZKABWAGV-UHFFFAOYSA-N 1 2 316.405 1.606 20 30 DDEDLO CC#CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nonc1C)C2 ZINC001148104500 773349946 /nfs/dbraw/zinc/34/99/46/773349946.db2.gz NBQHDSZKABWAGV-UHFFFAOYSA-N 1 2 316.405 1.606 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nc(C)no1)C2 ZINC001148087726 773359867 /nfs/dbraw/zinc/35/98/67/773359867.db2.gz FPGULCRGGXQYNP-CHWSQXEVSA-N 1 2 318.421 1.871 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nc(C)no1)C2 ZINC001148087726 773359873 /nfs/dbraw/zinc/35/98/73/773359873.db2.gz FPGULCRGGXQYNP-CHWSQXEVSA-N 1 2 318.421 1.871 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001073916422 773522929 /nfs/dbraw/zinc/52/29/29/773522929.db2.gz NPKGGAHTJMDILP-ZIAGYGMSSA-N 1 2 316.405 1.109 20 30 DDEDLO C[C@H](C#N)c1cccc(C(=O)NCC[N@@H+]2CCOC[C@@H]2C)c1 ZINC001148621795 773553567 /nfs/dbraw/zinc/55/35/67/773553567.db2.gz FXKVSMOHSXFXCK-KGLIPLIRSA-N 1 2 301.390 1.764 20 30 DDEDLO C[C@H](C#N)c1cccc(C(=O)NCC[N@H+]2CCOC[C@@H]2C)c1 ZINC001148621795 773553571 /nfs/dbraw/zinc/55/35/71/773553571.db2.gz FXKVSMOHSXFXCK-KGLIPLIRSA-N 1 2 301.390 1.764 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ocnc3C)C[C@@H]21 ZINC001074205639 773729053 /nfs/dbraw/zinc/72/90/53/773729053.db2.gz VFNATJKPGMNGDB-KBPBESRZSA-N 1 2 305.378 1.474 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ocnc3C)C[C@@H]21 ZINC001074205639 773729054 /nfs/dbraw/zinc/72/90/54/773729054.db2.gz VFNATJKPGMNGDB-KBPBESRZSA-N 1 2 305.378 1.474 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@@H]21 ZINC001074319062 773819221 /nfs/dbraw/zinc/81/92/21/773819221.db2.gz FPHQHOJHVSRCTO-BIVLZKPYSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@@H]21 ZINC001074319062 773819222 /nfs/dbraw/zinc/81/92/22/773819222.db2.gz FPHQHOJHVSRCTO-BIVLZKPYSA-N 1 2 302.418 1.358 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3conc3CC)C[C@@H]21 ZINC001074336512 773834521 /nfs/dbraw/zinc/83/45/21/773834521.db2.gz QRHFJCNEQRYEKJ-JKSUJKDBSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3conc3CC)C[C@@H]21 ZINC001074336512 773834524 /nfs/dbraw/zinc/83/45/24/773834524.db2.gz QRHFJCNEQRYEKJ-JKSUJKDBSA-N 1 2 319.405 1.728 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@@H]1O ZINC001092165255 773969345 /nfs/dbraw/zinc/96/93/45/773969345.db2.gz VRCLBNSSMWJYPY-KBPBESRZSA-N 1 2 318.421 1.443 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@]1(C)CCOC1)c1nccn12 ZINC001092403374 774103014 /nfs/dbraw/zinc/10/30/14/774103014.db2.gz FGDCUGQMJHTDDO-BBRMVZONSA-N 1 2 316.405 1.068 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC1(F)F)c1nccn12 ZINC001092367926 774106618 /nfs/dbraw/zinc/10/66/18/774106618.db2.gz DXKAZROJYIMTEM-WDEREUQCSA-N 1 2 308.332 1.296 20 30 DDEDLO C[C@@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)Nc1ccc(C#N)nn1 ZINC001098380128 774555314 /nfs/dbraw/zinc/55/53/14/774555314.db2.gz PLBQNFJDUDEUGL-JTQLQIEISA-N 1 2 321.344 1.455 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001098673994 774637682 /nfs/dbraw/zinc/63/76/82/774637682.db2.gz ZSMOMBLINFNNAO-CYBMUJFWSA-N 1 2 319.434 1.469 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCCN(C(=O)C#CC(C)C)C2)cc[nH+]1 ZINC001098689475 774642845 /nfs/dbraw/zinc/64/28/45/774642845.db2.gz CFNSWEYTACZUHG-OAHLLOKOSA-N 1 2 300.406 1.872 20 30 DDEDLO CC[C@H](C)C(=O)NC[C@H]1CCC(C)(C)C[N@@H+]1CC(=O)NCC#N ZINC001098904301 774712935 /nfs/dbraw/zinc/71/29/35/774712935.db2.gz CEYAKKZSSZSQOZ-UONOGXRCSA-N 1 2 322.453 1.279 20 30 DDEDLO CC[C@H](C)C(=O)NC[C@H]1CCC(C)(C)C[N@H+]1CC(=O)NCC#N ZINC001098904301 774712937 /nfs/dbraw/zinc/71/29/37/774712937.db2.gz CEYAKKZSSZSQOZ-UONOGXRCSA-N 1 2 322.453 1.279 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccc(C#N)[nH]3)CC2)C1 ZINC001093527232 774778831 /nfs/dbraw/zinc/77/88/31/774778831.db2.gz QRQKYRGULNZABI-UHFFFAOYSA-N 1 2 314.389 1.378 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3ccc(OC)o3)CC2)C1 ZINC001093588074 774868659 /nfs/dbraw/zinc/86/86/59/774868659.db2.gz GWZRQJKOFSOFPU-UHFFFAOYSA-N 1 2 320.389 1.780 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3ccn(C)n3)CC2)C1 ZINC001093596531 774901149 /nfs/dbraw/zinc/90/11/49/774901149.db2.gz HZUMEHASKDOIGC-UHFFFAOYSA-N 1 2 318.421 1.302 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099807322 775304927 /nfs/dbraw/zinc/30/49/27/775304927.db2.gz OJRVHMHWDQLKND-KBPBESRZSA-N 1 2 321.446 1.306 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)cs2)C[C@@H]1O ZINC001099807322 775304938 /nfs/dbraw/zinc/30/49/38/775304938.db2.gz OJRVHMHWDQLKND-KBPBESRZSA-N 1 2 321.446 1.306 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@H](C)Cc2c[nH]c[nH+]2)n1 ZINC001094188975 775550495 /nfs/dbraw/zinc/55/04/95/775550495.db2.gz XPQWGPFMAQJDIA-LLVKDONJSA-N 1 2 312.377 1.392 20 30 DDEDLO Cc1ccc(C#N)c(NCCNC(=O)[C@H](C)Cc2c[nH+]c[nH]2)n1 ZINC001094188975 775550502 /nfs/dbraw/zinc/55/05/02/775550502.db2.gz XPQWGPFMAQJDIA-LLVKDONJSA-N 1 2 312.377 1.392 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3ccco3)nn2)C1 ZINC001094270339 775611933 /nfs/dbraw/zinc/61/19/33/775611933.db2.gz RUMWTTPVCIZFLY-UHFFFAOYSA-N 1 2 301.350 1.234 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC[C@@H](F)C3)nn2)C1 ZINC001094313912 775669704 /nfs/dbraw/zinc/66/97/04/775669704.db2.gz IPFKWRVJNZXYPI-CHWSQXEVSA-N 1 2 321.400 1.465 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC[C@H](F)C3)nn2)C1 ZINC001094313913 775669744 /nfs/dbraw/zinc/66/97/44/775669744.db2.gz IPFKWRVJNZXYPI-OLZOCXBDSA-N 1 2 321.400 1.465 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@H]3C(C)C)nn2)C1 ZINC001094332752 775716682 /nfs/dbraw/zinc/71/66/82/775716682.db2.gz YMKPOAHDIFNFJN-LSDHHAIUSA-N 1 2 303.410 1.229 20 30 DDEDLO N#Cc1ccc(NCCCNC(=O)c2cccc3[nH+]ccn32)cn1 ZINC001094667537 776149577 /nfs/dbraw/zinc/14/95/77/776149577.db2.gz WTDHGLCUQZRVIW-UHFFFAOYSA-N 1 2 320.356 1.833 20 30 DDEDLO C=CCOCC(=O)NCCN(CC)c1nc(C)[nH+]c(C)c1C ZINC001100466004 776193686 /nfs/dbraw/zinc/19/36/86/776193686.db2.gz CWRNSQBDSGWWOS-UHFFFAOYSA-N 1 2 306.410 1.547 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CC3CCCCC3)CC2=O)C1 ZINC001094700656 776211119 /nfs/dbraw/zinc/21/11/19/776211119.db2.gz FSVFMOJXUHHPPL-OAHLLOKOSA-N 1 2 319.449 1.544 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@H]3CC3(C)C)CC2=O)C1 ZINC001094726489 776229550 /nfs/dbraw/zinc/22/95/50/776229550.db2.gz QRQFHVPQJZYXFW-TZMCWYRMSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(C)=C3CCC3)CC2=O)C1 ZINC001094735948 776237581 /nfs/dbraw/zinc/23/75/81/776237581.db2.gz XKKJSJLYFTXFGO-AWEZNQCLSA-N 1 2 303.406 1.074 20 30 DDEDLO Cc1nc(N2CCC[C@H]2CNC(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001100843584 776624562 /nfs/dbraw/zinc/62/45/62/776624562.db2.gz LGRINOOMYMSSIC-HNNXBMFYSA-N 1 2 324.388 1.243 20 30 DDEDLO CCCN(CCNC(=O)Cc1c[nH]c[nH+]1)c1ncccc1C#N ZINC001101246117 777009475 /nfs/dbraw/zinc/00/94/75/777009475.db2.gz ZGDAQYLCFJWXQE-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095095257 777091803 /nfs/dbraw/zinc/09/18/03/777091803.db2.gz DPDYBCFNDSARLI-DZGCQCFKSA-N 1 2 318.421 1.803 20 30 DDEDLO N#CC1CN(C2CC[NH+](CC(=O)OCc3ccccc3)CC2)C1 ZINC001173470563 777212980 /nfs/dbraw/zinc/21/29/80/777212980.db2.gz WXEMVSOXQBQVKG-UHFFFAOYSA-N 1 2 313.401 1.650 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)CSC)c1nccn12 ZINC001101613740 777305517 /nfs/dbraw/zinc/30/55/17/777305517.db2.gz GTQYFQLDTMHKJH-OLZOCXBDSA-N 1 2 320.462 1.640 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)CCC1CC1)c1nccn12 ZINC001101619140 777311108 /nfs/dbraw/zinc/31/11/08/777311108.db2.gz PMIFOPZCCCBXSS-HNNXBMFYSA-N 1 2 312.417 1.669 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](F)C(C)C)c1nccn12 ZINC001101638718 777336798 /nfs/dbraw/zinc/33/67/98/777336798.db2.gz AIDVYHGZBRYDJE-UONOGXRCSA-N 1 2 318.396 1.472 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC(CNc2cc[nH+]c(C)n2)CC1 ZINC001095258793 777366133 /nfs/dbraw/zinc/36/61/33/777366133.db2.gz VGKUAPXRHHWXTJ-KRWDZBQOSA-N 1 2 318.421 1.763 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101912605 777671261 /nfs/dbraw/zinc/67/12/61/777671261.db2.gz SDMDWFNCMHANIF-NWDGAFQWSA-N 1 2 316.409 1.692 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@@H+](Cc2nncs2)C[C@H]1C ZINC001101920598 777680035 /nfs/dbraw/zinc/68/00/35/777680035.db2.gz AKBYQXZBMNCISE-CHWSQXEVSA-N 1 2 306.435 1.526 20 30 DDEDLO C#CCCCC(=O)NC[C@@H]1C[N@H+](Cc2nncs2)C[C@H]1C ZINC001101920598 777680040 /nfs/dbraw/zinc/68/00/40/777680040.db2.gz AKBYQXZBMNCISE-CHWSQXEVSA-N 1 2 306.435 1.526 20 30 DDEDLO CCCN(C(=O)[C@@H](C)C#N)[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001102226003 777957287 /nfs/dbraw/zinc/95/72/87/777957287.db2.gz YFSWBTPJEQLPRS-GXTWGEPZSA-N 1 2 301.394 1.762 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102434494 778138964 /nfs/dbraw/zinc/13/89/64/778138964.db2.gz DGEAIAQVQBIOND-CQSZACIVSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001176878175 778287033 /nfs/dbraw/zinc/28/70/33/778287033.db2.gz FOZBHVVWURSMNU-CYBMUJFWSA-N 1 2 306.366 1.176 20 30 DDEDLO CN(C(=O)CCc1c[nH]c[nH+]1)[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001102766987 778381051 /nfs/dbraw/zinc/38/10/51/778381051.db2.gz PCWSZOCTMIZTGR-MRXNPFEDSA-N 1 2 324.388 1.346 20 30 DDEDLO CN(C(=O)CCc1c[nH+]c[nH]1)[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001102766987 778381058 /nfs/dbraw/zinc/38/10/58/778381058.db2.gz PCWSZOCTMIZTGR-MRXNPFEDSA-N 1 2 324.388 1.346 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3(OC)CCC3)C[C@@H]21 ZINC001177004769 778384439 /nfs/dbraw/zinc/38/44/39/778384439.db2.gz BNRWTDKUIUIYDN-JKSUJKDBSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3(OC)CCC3)C[C@@H]21 ZINC001177004769 778384448 /nfs/dbraw/zinc/38/44/48/778384448.db2.gz BNRWTDKUIUIYDN-JKSUJKDBSA-N 1 2 320.433 1.271 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCC(C)(F)F)C[C@@H]21 ZINC001177041447 778404465 /nfs/dbraw/zinc/40/44/65/778404465.db2.gz HUJZHNHOWQDGOP-KBPBESRZSA-N 1 2 314.376 1.747 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCC(C)(F)F)C[C@@H]21 ZINC001177041447 778404469 /nfs/dbraw/zinc/40/44/69/778404469.db2.gz HUJZHNHOWQDGOP-KBPBESRZSA-N 1 2 314.376 1.747 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3OCC[N@@H+](CCOCC)[C@@H]3C2)C1 ZINC001177089165 778441534 /nfs/dbraw/zinc/44/15/34/778441534.db2.gz QHMGEWMWKYRWDI-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]3OCC[N@H+](CCOCC)[C@@H]3C2)C1 ZINC001177089165 778441537 /nfs/dbraw/zinc/44/15/37/778441537.db2.gz QHMGEWMWKYRWDI-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]2OCC[N@H+](CCOCC=C)[C@@H]2C1 ZINC001177089606 778441957 /nfs/dbraw/zinc/44/19/57/778441957.db2.gz TVDYFQFNUHXEFC-SJORKVTESA-N 1 2 322.449 1.847 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]2OCC[N@@H+](CCOCC=C)[C@@H]2C1 ZINC001177089606 778441959 /nfs/dbraw/zinc/44/19/59/778441959.db2.gz TVDYFQFNUHXEFC-SJORKVTESA-N 1 2 322.449 1.847 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102878059 778467156 /nfs/dbraw/zinc/46/71/56/778467156.db2.gz OMFQTYLPROKWJQ-UKRRQHHQSA-N 1 2 316.405 1.298 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCOC(C)C)C[C@H]21 ZINC001177161638 778469090 /nfs/dbraw/zinc/46/90/90/778469090.db2.gz FDTPUBYRFKRNRI-SJORKVTESA-N 1 2 322.449 1.517 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCOC(C)C)C[C@H]21 ZINC001177161638 778469093 /nfs/dbraw/zinc/46/90/93/778469093.db2.gz FDTPUBYRFKRNRI-SJORKVTESA-N 1 2 322.449 1.517 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](CCNC(=O)C(F)C(F)(F)F)C1 ZINC001103011387 778557862 /nfs/dbraw/zinc/55/78/62/778557862.db2.gz BCBPAWCCFDOQNM-UTUOFQBUSA-N 1 2 308.319 1.984 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](CCNC(=O)C(F)C(F)(F)F)C1 ZINC001103011387 778557864 /nfs/dbraw/zinc/55/78/64/778557864.db2.gz BCBPAWCCFDOQNM-UTUOFQBUSA-N 1 2 308.319 1.984 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](C)[C@H](CCNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001103011387 778557866 /nfs/dbraw/zinc/55/78/66/778557866.db2.gz BCBPAWCCFDOQNM-UTUOFQBUSA-N 1 2 308.319 1.984 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](C)[C@H](CCNC(=O)[C@H](F)C(F)(F)F)C1 ZINC001103011387 778557868 /nfs/dbraw/zinc/55/78/68/778557868.db2.gz BCBPAWCCFDOQNM-UTUOFQBUSA-N 1 2 308.319 1.984 20 30 DDEDLO CC[C@@H](CNC(=O)CCc1[nH]cc[nH+]1)Nc1cncc(C#N)n1 ZINC001103056417 778603169 /nfs/dbraw/zinc/60/31/69/778603169.db2.gz KMERXHAUTSYYGY-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO N#Cc1ccccc1OCCCNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001178122627 778914735 /nfs/dbraw/zinc/91/47/35/778914735.db2.gz ZBOOOXYFKZLHTG-HNNXBMFYSA-N 1 2 324.384 1.902 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)[C@@H]1C ZINC001178587214 779094401 /nfs/dbraw/zinc/09/44/01/779094401.db2.gz CXIFGPGSXKOEKD-MQIPJXDCSA-N 1 2 322.409 1.038 20 30 DDEDLO Cc1noc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)n1 ZINC001111660500 779423612 /nfs/dbraw/zinc/42/36/12/779423612.db2.gz KYOVIPQWLLLNLB-WBIUFABUSA-N 1 2 303.366 1.008 20 30 DDEDLO Cc1noc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)n1 ZINC001111660500 779423617 /nfs/dbraw/zinc/42/36/17/779423617.db2.gz KYOVIPQWLLLNLB-WBIUFABUSA-N 1 2 303.366 1.008 20 30 DDEDLO N#Cc1cnccc1NC1(CNC(=O)CCc2c[nH]c[nH+]2)CCC1 ZINC001111920354 779560416 /nfs/dbraw/zinc/56/04/16/779560416.db2.gz NXHVZNLQHWZYJU-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO N#Cc1cnccc1NC1(CNC(=O)CCc2c[nH+]c[nH]2)CCC1 ZINC001111920354 779560424 /nfs/dbraw/zinc/56/04/24/779560424.db2.gz NXHVZNLQHWZYJU-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO Cc1nc(N[C@@H]2CN(C(=O)CSCC#N)C[C@@H]2C)cc[nH+]1 ZINC001112298337 779699162 /nfs/dbraw/zinc/69/91/62/779699162.db2.gz SMEBCCJNBLDTRR-CMPLNLGQSA-N 1 2 305.407 1.301 20 30 DDEDLO C=CCSCC(=O)N1CCc2onc(Cn3cc[nH+]c3)c2C1 ZINC001180559736 779833390 /nfs/dbraw/zinc/83/33/90/779833390.db2.gz XHHMWRQHYYZDLB-UHFFFAOYSA-N 1 2 318.402 1.723 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1nc(-c2ccccc2)c[nH]1 ZINC001116825439 780563928 /nfs/dbraw/zinc/56/39/28/780563928.db2.gz OPEHOJGCRJYYOC-UHFFFAOYSA-N 1 2 305.403 1.767 20 30 DDEDLO COc1ncccc1C[N@H+](C)CCNC(=O)C#CC(C)(C)C ZINC001267193715 837510634 /nfs/dbraw/zinc/51/06/34/837510634.db2.gz BELQKKOEPBBYCE-UHFFFAOYSA-N 1 2 303.406 1.688 20 30 DDEDLO COc1ncccc1C[N@@H+](C)CCNC(=O)C#CC(C)(C)C ZINC001267193715 837510638 /nfs/dbraw/zinc/51/06/38/837510638.db2.gz BELQKKOEPBBYCE-UHFFFAOYSA-N 1 2 303.406 1.688 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)c2ccc(C#N)c(Cl)n2)C1 ZINC001267212255 837543399 /nfs/dbraw/zinc/54/33/99/837543399.db2.gz LLIDSDRSOSONKQ-LLVKDONJSA-N 1 2 315.764 1.970 20 30 DDEDLO C=CCOCC[N@@H+](C)CCNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001267224915 837561511 /nfs/dbraw/zinc/56/15/11/837561511.db2.gz NAMSWTHTGVXDKT-CYBMUJFWSA-N 1 2 320.437 1.399 20 30 DDEDLO C=CCOCC[N@H+](C)CCNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001267224915 837561518 /nfs/dbraw/zinc/56/15/18/837561518.db2.gz NAMSWTHTGVXDKT-CYBMUJFWSA-N 1 2 320.437 1.399 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H](C)[C@H](C)C(C)(C)C ZINC001266338029 836139362 /nfs/dbraw/zinc/13/93/62/836139362.db2.gz VCEKLWCABFLNQX-KGLIPLIRSA-N 1 2 311.470 1.655 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@H](C)[C@H](C)C(C)(C)C ZINC001266338029 836139367 /nfs/dbraw/zinc/13/93/67/836139367.db2.gz VCEKLWCABFLNQX-KGLIPLIRSA-N 1 2 311.470 1.655 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1cnnn1C ZINC001266853578 836939281 /nfs/dbraw/zinc/93/92/81/836939281.db2.gz OOQHYRNGDLUCBY-GJZGRUSLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1CCCC[N@H+]1Cc1cnnn1C ZINC001266853578 836939291 /nfs/dbraw/zinc/93/92/91/836939291.db2.gz OOQHYRNGDLUCBY-GJZGRUSLSA-N 1 2 319.453 1.888 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)CCCC#CC)C1 ZINC001271972507 844251925 /nfs/dbraw/zinc/25/19/25/844251925.db2.gz BBSNPWBOLCTEDS-MRXNPFEDSA-N 1 2 312.841 1.876 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)CCCC#CC)C1 ZINC001271972507 844251932 /nfs/dbraw/zinc/25/19/32/844251932.db2.gz BBSNPWBOLCTEDS-MRXNPFEDSA-N 1 2 312.841 1.876 20 30 DDEDLO C#CCN1CC[NH+](CCN(C)C(=O)[C@]2(C)CC=CCC2)CC1 ZINC001267572564 838336069 /nfs/dbraw/zinc/33/60/69/838336069.db2.gz PCUMDHYUYIPXFH-GOSISDBHSA-N 1 2 303.450 1.442 20 30 DDEDLO C#CC[NH+]1CCN(CCN(C)C(=O)C[C@H]2C=CCCC2)CC1 ZINC001267573146 838337854 /nfs/dbraw/zinc/33/78/54/838337854.db2.gz KXQVADVFTUSHJV-KRWDZBQOSA-N 1 2 303.450 1.442 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(Cc2cnoc2C)CC1 ZINC001267573889 838339461 /nfs/dbraw/zinc/33/94/61/838339461.db2.gz OPDRQSFBGIVUSI-UHFFFAOYSA-N 1 2 320.437 1.525 20 30 DDEDLO C=CCCC(=O)N(C)CCN1CC[NH+](Cc2cnoc2C)CC1 ZINC001267573889 838339466 /nfs/dbraw/zinc/33/94/66/838339466.db2.gz OPDRQSFBGIVUSI-UHFFFAOYSA-N 1 2 320.437 1.525 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2cnnn2CC)C1 ZINC001267617052 838491708 /nfs/dbraw/zinc/49/17/08/838491708.db2.gz AWXXMJJYKQHRPO-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CCC[N@H+](Cc2cnnn2CC)C1 ZINC001267617052 838491713 /nfs/dbraw/zinc/49/17/13/838491713.db2.gz AWXXMJJYKQHRPO-CQSZACIVSA-N 1 2 319.453 1.981 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001267624850 838520708 /nfs/dbraw/zinc/52/07/08/838520708.db2.gz PBNCUFVYIAXRCQ-HOTGVXAUSA-N 1 2 321.465 1.531 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@H+]1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001267624850 838520709 /nfs/dbraw/zinc/52/07/09/838520709.db2.gz PBNCUFVYIAXRCQ-HOTGVXAUSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCOCCC(=O)NC1C[NH+](Cc2ccc(OC)c(C)c2)C1 ZINC001267644548 838563839 /nfs/dbraw/zinc/56/38/39/838563839.db2.gz AGNXQUCYUQGGCV-UHFFFAOYSA-N 1 2 316.401 1.344 20 30 DDEDLO Cc1nc(C[NH+]2CC(CNC(=O)C#CC(C)C)C2)sc1C ZINC001267680540 838632621 /nfs/dbraw/zinc/63/26/21/838632621.db2.gz PEQJGXCECNVJQT-UHFFFAOYSA-N 1 2 305.447 1.967 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1C[NH+](CC(=O)N2CCCCCC2)C1 ZINC001267687960 838643522 /nfs/dbraw/zinc/64/35/22/838643522.db2.gz TVLLOVRNNTYHDD-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)s2)C1 ZINC001267723984 838737104 /nfs/dbraw/zinc/73/71/04/838737104.db2.gz NGMQDSNRJHGMLD-OCCSQVGLSA-N 1 2 321.446 1.489 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)s2)C1 ZINC001267723984 838737108 /nfs/dbraw/zinc/73/71/08/838737108.db2.gz NGMQDSNRJHGMLD-OCCSQVGLSA-N 1 2 321.446 1.489 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@H+](Cc2ccc(F)cn2)C1 ZINC001267724625 838742480 /nfs/dbraw/zinc/74/24/80/838742480.db2.gz YHBCPNFSTITCQP-HNNXBMFYSA-N 1 2 303.381 1.961 20 30 DDEDLO CC(C)(C)C#CC(=O)N[C@H]1CC[N@@H+](Cc2ccc(F)cn2)C1 ZINC001267724625 838742487 /nfs/dbraw/zinc/74/24/87/838742487.db2.gz YHBCPNFSTITCQP-HNNXBMFYSA-N 1 2 303.381 1.961 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2(CCCC)CC2)C1 ZINC001267730302 838770182 /nfs/dbraw/zinc/77/01/82/838770182.db2.gz NGNBJKAAWHMEGB-AWEZNQCLSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2(CCCC)CC2)C1 ZINC001267730302 838770190 /nfs/dbraw/zinc/77/01/90/838770190.db2.gz NGNBJKAAWHMEGB-AWEZNQCLSA-N 1 2 307.438 1.450 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@H](CC)CNC(=O)C#CC(C)C)n1 ZINC001267803091 839003069 /nfs/dbraw/zinc/00/30/69/839003069.db2.gz KNSXNPNAQHRJJG-CHWSQXEVSA-N 1 2 306.410 1.837 20 30 DDEDLO CCc1noc([C@H](C)[NH2+][C@@H](CC)CNC(=O)C#CC(C)C)n1 ZINC001267803094 839003780 /nfs/dbraw/zinc/00/37/80/839003780.db2.gz KNSXNPNAQHRJJG-STQMWFEESA-N 1 2 306.410 1.837 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CCC2CCC2)C1 ZINC001267919857 839193455 /nfs/dbraw/zinc/19/34/55/839193455.db2.gz ZBNIGQVATHFXLR-OAHLLOKOSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CCC2CCC2)C1 ZINC001267919857 839193461 /nfs/dbraw/zinc/19/34/61/839193461.db2.gz ZBNIGQVATHFXLR-OAHLLOKOSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)[C@H](C)C[NH2+]Cc1nnc(C)o1 ZINC001268088827 839671281 /nfs/dbraw/zinc/67/12/81/839671281.db2.gz DVQGRVFIWWPRKV-ZLDLUXBVSA-N 1 2 322.409 1.296 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)CCC2CCCC2)C1 ZINC001268158500 839768193 /nfs/dbraw/zinc/76/81/93/839768193.db2.gz QPWJVEXEILCYEU-HNNXBMFYSA-N 1 2 320.437 1.177 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CCC2CCCC2)C1 ZINC001268158500 839768203 /nfs/dbraw/zinc/76/82/03/839768203.db2.gz QPWJVEXEILCYEU-HNNXBMFYSA-N 1 2 320.437 1.177 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@H+](CC(=O)NC2CC2)C1 ZINC001268193898 839821145 /nfs/dbraw/zinc/82/11/45/839821145.db2.gz OMPOLHRNSDPVFX-AWEZNQCLSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@H]1CCC[N@@H+](CC(=O)NC2CC2)C1 ZINC001268193898 839821154 /nfs/dbraw/zinc/82/11/54/839821154.db2.gz OMPOLHRNSDPVFX-AWEZNQCLSA-N 1 2 307.438 1.448 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C)C(=O)Cc1cccc(C)c1 ZINC001268247369 839886566 /nfs/dbraw/zinc/88/65/66/839886566.db2.gz QNAXPZFPLSJFLL-UHFFFAOYSA-N 1 2 317.433 1.230 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C)C(=O)Cc1cccc(C)c1 ZINC001268247369 839886570 /nfs/dbraw/zinc/88/65/70/839886570.db2.gz QNAXPZFPLSJFLL-UHFFFAOYSA-N 1 2 317.433 1.230 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]2[C@@H](CC[N@@H+]2Cc2cnsn2)C1 ZINC001268261814 839912917 /nfs/dbraw/zinc/91/29/17/839912917.db2.gz FSHDSCCDQHINBT-DZGCQCFKSA-N 1 2 318.446 1.764 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]2[C@@H](CC[N@H+]2Cc2cnsn2)C1 ZINC001268261814 839912924 /nfs/dbraw/zinc/91/29/24/839912924.db2.gz FSHDSCCDQHINBT-DZGCQCFKSA-N 1 2 318.446 1.764 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)[C@]1(CC)CCNC1=O ZINC001268734252 840733147 /nfs/dbraw/zinc/73/31/47/840733147.db2.gz SJLMHLLZJYZQQT-SMDDNHRTSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)[C@]1(CC)CCNC1=O ZINC001268734252 840733157 /nfs/dbraw/zinc/73/31/57/840733157.db2.gz SJLMHLLZJYZQQT-SMDDNHRTSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(C)CCC(=O)NCC1C[NH+](CC(=O)N2CCC(C)CC2)C1 ZINC001268833656 840877740 /nfs/dbraw/zinc/87/77/40/840877740.db2.gz XDQMHWJKHXIESR-UHFFFAOYSA-N 1 2 321.465 1.649 20 30 DDEDLO C=CC[C@@H](C)C(=O)N1C[C@@H]2COC[C@H](C1)N2CCn1cc[nH+]c1 ZINC001268968401 841064118 /nfs/dbraw/zinc/06/41/18/841064118.db2.gz PDJHJYOGVMSRTN-OAGGEKHMSA-N 1 2 318.421 1.007 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001269069585 841170077 /nfs/dbraw/zinc/17/00/77/841170077.db2.gz RVFJDYOIZDVRTC-ZDUSSCGKSA-N 1 2 305.426 1.591 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001269069585 841170079 /nfs/dbraw/zinc/17/00/79/841170079.db2.gz RVFJDYOIZDVRTC-ZDUSSCGKSA-N 1 2 305.426 1.591 20 30 DDEDLO CC(C)(O)CC(=O)NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001269229452 841389049 /nfs/dbraw/zinc/38/90/49/841389049.db2.gz JLSXQUWNSGBDPB-MRXNPFEDSA-N 1 2 300.402 1.390 20 30 DDEDLO CC(C)(O)CC(=O)NC[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001269229452 841389062 /nfs/dbraw/zinc/38/90/62/841389062.db2.gz JLSXQUWNSGBDPB-MRXNPFEDSA-N 1 2 300.402 1.390 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc2cnccc2c1 ZINC001269260469 841438049 /nfs/dbraw/zinc/43/80/49/841438049.db2.gz FUNSTWLRVBOZEW-MRXNPFEDSA-N 1 2 324.384 1.084 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc2cnccc2c1 ZINC001269260469 841438051 /nfs/dbraw/zinc/43/80/51/841438051.db2.gz FUNSTWLRVBOZEW-MRXNPFEDSA-N 1 2 324.384 1.084 20 30 DDEDLO C=CCN1CC2(CCC1=O)CCN(C(=O)Cn1cc[nH+]c1)CC2 ZINC001269298840 841488872 /nfs/dbraw/zinc/48/88/72/841488872.db2.gz QKVREHAAOAFKRO-UHFFFAOYSA-N 1 2 316.405 1.300 20 30 DDEDLO C=CCC(C)(C)C(=O)N1C[C@@H](C(N)=O)[C@H](c2cn(C)c[nH+]2)C1 ZINC001269305209 841496248 /nfs/dbraw/zinc/49/62/48/841496248.db2.gz DUTVOPMLMWTTEF-VXGBXAGGSA-N 1 2 304.394 1.050 20 30 DDEDLO CCCc1nc(C[NH2+]CC[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)no1 ZINC001326225513 861213163 /nfs/dbraw/zinc/21/31/63/861213163.db2.gz VBVXUYXYDWLDBQ-STQMWFEESA-N 1 2 319.409 1.510 20 30 DDEDLO N#CC[N@H+](CC1CC1)C1CCN(C(=O)C2=CCOCC2)CC1 ZINC001269621462 841839440 /nfs/dbraw/zinc/83/94/40/841839440.db2.gz BXVYLPMZZBFJPW-UHFFFAOYSA-N 1 2 303.406 1.560 20 30 DDEDLO N#CC[N@@H+](CC1CC1)C1CCN(C(=O)C2=CCOCC2)CC1 ZINC001269621462 841839449 /nfs/dbraw/zinc/83/94/49/841839449.db2.gz BXVYLPMZZBFJPW-UHFFFAOYSA-N 1 2 303.406 1.560 20 30 DDEDLO O=C(C#Cc1ccccn1)N1CC2(C1)C[NH+](Cc1ccc[nH]1)C2 ZINC001270346520 842502459 /nfs/dbraw/zinc/50/24/59/842502459.db2.gz NNQPYDGRMNPXID-UHFFFAOYSA-N 1 2 306.369 1.106 20 30 DDEDLO N#CC1(C(=O)N2CC3(C2)C[NH+](Cc2cccc(=O)[nH]2)C3)CCC1 ZINC001271112474 843226287 /nfs/dbraw/zinc/22/62/87/843226287.db2.gz POROGVBUXMPLAS-UHFFFAOYSA-N 1 2 312.373 1.125 20 30 DDEDLO N#Cc1ccc(F)c(CN2CC[C@@H]([NH+]3CCOCC3)C2)c1F ZINC001143172671 861396155 /nfs/dbraw/zinc/39/61/55/861396155.db2.gz BGIYFISKULWAHQ-CYBMUJFWSA-N 1 2 307.344 1.743 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@@H](N3CCOCC3)C2)c1F ZINC001143172671 861396164 /nfs/dbraw/zinc/39/61/64/861396164.db2.gz BGIYFISKULWAHQ-CYBMUJFWSA-N 1 2 307.344 1.743 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@@H](N3CCOCC3)C2)c1F ZINC001143172671 861396177 /nfs/dbraw/zinc/39/61/77/861396177.db2.gz BGIYFISKULWAHQ-CYBMUJFWSA-N 1 2 307.344 1.743 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CC[C@H](CNCC#N)CC2)c[nH+]1 ZINC001326525455 861426455 /nfs/dbraw/zinc/42/64/55/861426455.db2.gz NXBCRFSVABJGFZ-SHTZXODSSA-N 1 2 317.437 1.715 20 30 DDEDLO C=C(Cl)C[NH2+]CCN(CCO)C(=O)c1cccc2n[nH]cc21 ZINC001272218144 844764593 /nfs/dbraw/zinc/76/45/93/844764593.db2.gz JLADBSPDUGCQBH-UHFFFAOYSA-N 1 2 322.796 1.340 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@@H](CC)OC ZINC001235328300 846411035 /nfs/dbraw/zinc/41/10/35/846411035.db2.gz XZIVQAPRGROGCM-LLVKDONJSA-N 1 2 307.232 1.758 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@@H](CC)OC ZINC001235328300 846411039 /nfs/dbraw/zinc/41/10/39/846411039.db2.gz XZIVQAPRGROGCM-LLVKDONJSA-N 1 2 307.232 1.758 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3cc(C)ccc3C)C2)OCC1=O ZINC001272639871 846446253 /nfs/dbraw/zinc/44/62/53/846446253.db2.gz OUTKZUGWHWFBML-UHFFFAOYSA-N 1 2 300.402 1.903 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)/C=C(/C)C3CC3)nn2)C1 ZINC001107137159 846797310 /nfs/dbraw/zinc/79/73/10/846797310.db2.gz OQTYAQBWNOIYIL-GHXNOFRVSA-N 1 2 301.394 1.293 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)/C=C\c2ccc[nH]2)C1 ZINC001149607054 861744658 /nfs/dbraw/zinc/74/46/58/861744658.db2.gz BIGALFJGRRRXKE-IYKSTZQJSA-N 1 2 323.824 1.988 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)/C=C\c2ccc[nH]2)C1 ZINC001149607054 861744670 /nfs/dbraw/zinc/74/46/70/861744670.db2.gz BIGALFJGRRRXKE-IYKSTZQJSA-N 1 2 323.824 1.988 20 30 DDEDLO C#CC[NH+]1CCC([C@@H](C)NC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000997083097 847301783 /nfs/dbraw/zinc/30/17/83/847301783.db2.gz CUUUIKWJSZSIQX-UKRRQHHQSA-N 1 2 314.433 1.680 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1ncco1 ZINC001272800902 847444825 /nfs/dbraw/zinc/44/48/25/847444825.db2.gz CTLOUNKCTMWWLY-INIZCTEOSA-N 1 2 302.378 1.935 20 30 DDEDLO N#CCCCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1ncco1 ZINC001272800902 847444832 /nfs/dbraw/zinc/44/48/32/847444832.db2.gz CTLOUNKCTMWWLY-INIZCTEOSA-N 1 2 302.378 1.935 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@@H+](Cc3ccc(Cl)cn3)C2)OCC1=O ZINC001272847739 847545065 /nfs/dbraw/zinc/54/50/65/847545065.db2.gz QQSYDYAFTJNSKK-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@@]2(CC[N@H+](Cc3ccc(Cl)cn3)C2)OCC1=O ZINC001272847739 847545069 /nfs/dbraw/zinc/54/50/69/847545069.db2.gz QQSYDYAFTJNSKK-INIZCTEOSA-N 1 2 319.792 1.172 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3cccc(Cl)n3)C2)OCC1=O ZINC001272961782 847711178 /nfs/dbraw/zinc/71/11/78/847711178.db2.gz IKDVAVLQPJNWTQ-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3cccc(Cl)n3)C2)OCC1=O ZINC001272961782 847711185 /nfs/dbraw/zinc/71/11/85/847711185.db2.gz IKDVAVLQPJNWTQ-INIZCTEOSA-N 1 2 321.808 1.724 20 30 DDEDLO C#CCN1CC2(C[NH+](CC[C@H](C)c3ccc(C)o3)C2)OCC1=O ZINC001273124852 847957181 /nfs/dbraw/zinc/95/71/81/847957181.db2.gz NOCZAEURSMYFPJ-AWEZNQCLSA-N 1 2 316.401 1.628 20 30 DDEDLO C=CCOCCN1CC[NH+]([C@H]2CCN(C(=O)/C(C)=C\C)C2)CC1 ZINC001327082293 861863925 /nfs/dbraw/zinc/86/39/25/861863925.db2.gz LSCAYCIGOKEDOY-JVMBSBNBSA-N 1 2 321.465 1.374 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)COCCCC)C2)CC1 ZINC001327084157 861867270 /nfs/dbraw/zinc/86/72/70/861867270.db2.gz YXDDFAWEZRNDSL-QGZVFWFLSA-N 1 2 323.481 1.598 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)[C@@H]1C ZINC001090208954 848405090 /nfs/dbraw/zinc/40/50/90/848405090.db2.gz FOMDQZMGLJOCNW-AAEUAGOBSA-N 1 2 318.425 1.614 20 30 DDEDLO C=CCN1CC2(C[NH+](Cc3cccc4ncccc34)C2)OCC1=O ZINC001273220761 848803477 /nfs/dbraw/zinc/80/34/77/848803477.db2.gz BAJWBOUFFMTYGW-UHFFFAOYSA-N 1 2 323.396 1.834 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)/C=C(/C)CC)C2)CC1 ZINC001273374894 849735246 /nfs/dbraw/zinc/73/52/46/849735246.db2.gz OKAMPNRGUFSUMN-WAIALKQBSA-N 1 2 303.450 1.585 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)OCC1CC1)CCO2 ZINC001327315360 862056042 /nfs/dbraw/zinc/05/60/42/862056042.db2.gz QMDBUGGEZJPMMH-AWEZNQCLSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)C(C)(C)COC)O2 ZINC001327358962 862099903 /nfs/dbraw/zinc/09/99/03/862099903.db2.gz JRPTVONJPMGKHL-AWEZNQCLSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CCC2(C[NH+](CCOCC)C2)O1 ZINC001327360510 862100788 /nfs/dbraw/zinc/10/07/88/862100788.db2.gz WFJMEIHDYWRGRG-AWEZNQCLSA-N 1 2 310.438 1.585 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CCC[N@H+](C)Cc1ncccn1 ZINC001273559860 851093482 /nfs/dbraw/zinc/09/34/82/851093482.db2.gz DVSYBPCPCOUETG-CABCVRRESA-N 1 2 318.421 1.348 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)CCC[N@@H+](C)Cc1ncccn1 ZINC001273559860 851093489 /nfs/dbraw/zinc/09/34/89/851093489.db2.gz DVSYBPCPCOUETG-CABCVRRESA-N 1 2 318.421 1.348 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cocc1C)CO2 ZINC001273712802 851256945 /nfs/dbraw/zinc/25/69/45/851256945.db2.gz IKUDSARBGDJPHT-AWEZNQCLSA-N 1 2 320.389 1.364 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2cn[nH]c2)o1 ZINC001273821831 851385965 /nfs/dbraw/zinc/38/59/65/851385965.db2.gz BJQVCHZABODRMS-BETUJISGSA-N 1 2 311.345 1.363 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2cn[nH]c2)o1 ZINC001273821831 851385970 /nfs/dbraw/zinc/38/59/70/851385970.db2.gz BJQVCHZABODRMS-BETUJISGSA-N 1 2 311.345 1.363 20 30 DDEDLO Cc1ccnc(C[NH2+]C/C=C/CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001273967005 851624587 /nfs/dbraw/zinc/62/45/87/851624587.db2.gz SZOFRILQYCCADB-NSCUHMNNSA-N 1 2 310.361 1.061 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1nonc1C ZINC001273993435 851793463 /nfs/dbraw/zinc/79/34/63/851793463.db2.gz YSSSIQPMAZNUMB-MRXNPFEDSA-N 1 2 304.394 1.911 20 30 DDEDLO C=C(C)CN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1nonc1C ZINC001273993435 851793475 /nfs/dbraw/zinc/79/34/75/851793475.db2.gz YSSSIQPMAZNUMB-MRXNPFEDSA-N 1 2 304.394 1.911 20 30 DDEDLO CCc1nnc(C[NH2+]C/C=C\CNC(=O)C#CC(C)(C)C)s1 ZINC001274014807 851851402 /nfs/dbraw/zinc/85/14/02/851851402.db2.gz CYURWYWPTHCLNN-SREVYHEPSA-N 1 2 320.462 1.912 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1c(N)ccnc1F ZINC001274117399 851946217 /nfs/dbraw/zinc/94/62/17/851946217.db2.gz LFGMIGKAZIMBKW-KRWDZBQOSA-N 1 2 316.380 1.393 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1c(N)ccnc1F ZINC001274117399 851946228 /nfs/dbraw/zinc/94/62/28/851946228.db2.gz LFGMIGKAZIMBKW-KRWDZBQOSA-N 1 2 316.380 1.393 20 30 DDEDLO C#CCN1CC[C@@]2(CC[N@@H+](Cc3ncc(Cl)cn3)C2)C1=O ZINC001274324324 852160653 /nfs/dbraw/zinc/16/06/53/852160653.db2.gz JBMIRYUNFFNPFZ-OAHLLOKOSA-N 1 2 304.781 1.188 20 30 DDEDLO C#CCN1CC[C@@]2(CC[N@H+](Cc3ncc(Cl)cn3)C2)C1=O ZINC001274324324 852160665 /nfs/dbraw/zinc/16/06/65/852160665.db2.gz JBMIRYUNFFNPFZ-OAHLLOKOSA-N 1 2 304.781 1.188 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccccc1CC#N)C2 ZINC001274350795 852178308 /nfs/dbraw/zinc/17/83/08/852178308.db2.gz XHHISVUMPNKFON-UHFFFAOYSA-N 1 2 311.385 1.352 20 30 DDEDLO C=CCNC(=O)[C@H]1CC12CC[NH+](Cc1cn[nH]c1CC)CC2 ZINC001274381268 852217410 /nfs/dbraw/zinc/21/74/10/852217410.db2.gz NODLSKXYFCFLNU-CQSZACIVSA-N 1 2 302.422 1.876 20 30 DDEDLO CC(C)C#CC(=O)N1CC(N(C)C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001299355471 852515969 /nfs/dbraw/zinc/51/59/69/852515969.db2.gz HGFHPLVSJRWOCM-CQSZACIVSA-N 1 2 316.405 1.163 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC3(C2)C[NH+](Cc2cn[nH]c2)C3)cc1 ZINC001275139998 852834829 /nfs/dbraw/zinc/83/48/29/852834829.db2.gz XEYCPBYYIPAHRN-UHFFFAOYSA-N 1 2 306.369 1.349 20 30 DDEDLO C[N@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc(C#N)c(Br)c1 ZINC001275775337 853727502 /nfs/dbraw/zinc/72/75/02/853727502.db2.gz ZWOYSVGKTCANHY-TXEJJXNPSA-N 1 2 320.190 1.849 20 30 DDEDLO C[N@@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc(C#N)c(Br)c1 ZINC001275775337 853727506 /nfs/dbraw/zinc/72/75/06/853727506.db2.gz ZWOYSVGKTCANHY-TXEJJXNPSA-N 1 2 320.190 1.849 20 30 DDEDLO C=CCC[NH+]1CC(CCO)(NC(=O)c2cnc(C3CC3)o2)C1 ZINC001276056811 854684239 /nfs/dbraw/zinc/68/42/39/854684239.db2.gz LLLGBFPWCUWXLZ-UHFFFAOYSA-N 1 2 305.378 1.295 20 30 DDEDLO C=CCCC(=O)NC[C@]1(O)CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001111045786 855140636 /nfs/dbraw/zinc/14/06/36/855140636.db2.gz SLLXLSOWQZSVGN-QGZVFWFLSA-N 1 2 318.421 1.425 20 30 DDEDLO Cc1nc(NC[C@H]2CN(C(=O)CSCC#N)C[C@H]2C)cc[nH+]1 ZINC001111243961 855216040 /nfs/dbraw/zinc/21/60/40/855216040.db2.gz RLOBWRJRHZTGGX-YPMHNXCESA-N 1 2 319.434 1.548 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]2C1 ZINC001111298043 855458136 /nfs/dbraw/zinc/45/81/36/855458136.db2.gz GVKQWQLYNXSHRK-AEGPPILISA-N 1 2 316.405 1.271 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2C)C[C@@H]1C ZINC001328239203 862801091 /nfs/dbraw/zinc/80/10/91/862801091.db2.gz FUFHBWFRMYIUMV-WFASDCNBSA-N 1 2 318.421 1.259 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2C)C[C@@H]1C ZINC001328239203 862801112 /nfs/dbraw/zinc/80/11/12/862801112.db2.gz FUFHBWFRMYIUMV-WFASDCNBSA-N 1 2 318.421 1.259 20 30 DDEDLO CCCn1c[nH+]cc1CN1CCN(c2cc(C#N)ccn2)CC1 ZINC001413198705 856539558 /nfs/dbraw/zinc/53/95/58/856539558.db2.gz ZJCNYFOMBRDXDJ-UHFFFAOYSA-N 1 2 310.405 1.882 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CC(n3cc[nH+]c3)C2)c1Cl ZINC001413319447 856643698 /nfs/dbraw/zinc/64/36/98/856643698.db2.gz RFBBUQOBYYOXNP-UHFFFAOYSA-N 1 2 322.777 1.654 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)S(C)(=O)=O ZINC001049548329 856958204 /nfs/dbraw/zinc/95/82/04/856958204.db2.gz JMFYNICJOZRQQP-MELADBBJSA-N 1 2 314.451 1.061 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)S(C)(=O)=O ZINC001049548329 856958207 /nfs/dbraw/zinc/95/82/07/856958207.db2.gz JMFYNICJOZRQQP-MELADBBJSA-N 1 2 314.451 1.061 20 30 DDEDLO N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001049555876 856969515 /nfs/dbraw/zinc/96/95/15/856969515.db2.gz BHRDENKOEJFMJP-SOUVJXGZSA-N 1 2 313.405 1.034 20 30 DDEDLO CCc1nc(C[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)cs1 ZINC001072544337 857425965 /nfs/dbraw/zinc/42/59/65/857425965.db2.gz JOAJAMNRVARWJB-GFCCVEGCSA-N 1 2 318.446 1.899 20 30 DDEDLO CCc1nc(C[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)cs1 ZINC001072544337 857425967 /nfs/dbraw/zinc/42/59/67/857425967.db2.gz JOAJAMNRVARWJB-GFCCVEGCSA-N 1 2 318.446 1.899 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001072635440 857541710 /nfs/dbraw/zinc/54/17/10/857541710.db2.gz GGRQVERKOWSKQG-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCCCC(=O)NC1(C)CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001073128471 858124708 /nfs/dbraw/zinc/12/47/08/858124708.db2.gz HHBMJXNBBGPROC-UHFFFAOYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2cnns2)C1 ZINC001073547169 858428024 /nfs/dbraw/zinc/42/80/24/858428024.db2.gz BUAKAJJLSKIAML-SNVBAGLBSA-N 1 2 316.814 1.111 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2cnns2)C1 ZINC001073547169 858428028 /nfs/dbraw/zinc/42/80/28/858428028.db2.gz BUAKAJJLSKIAML-SNVBAGLBSA-N 1 2 316.814 1.111 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)[C@H]2CC2(C)C)C1 ZINC001073574625 858452630 /nfs/dbraw/zinc/45/26/30/858452630.db2.gz XTXZOEOINRWYNO-CHWSQXEVSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)[C@H]2CC2(C)C)C1 ZINC001073574625 858452634 /nfs/dbraw/zinc/45/26/34/858452634.db2.gz XTXZOEOINRWYNO-CHWSQXEVSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CCC[C@@H]1CCCN1C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC001123570546 859304741 /nfs/dbraw/zinc/30/47/41/859304741.db2.gz ZILWNYUAVNHLDR-JKSUJKDBSA-N 1 2 308.470 1.763 20 30 DDEDLO C=CCCC(=O)NCC[NH2+]Cc1nc(-c2ccsc2)no1 ZINC001124646667 859763309 /nfs/dbraw/zinc/76/33/09/859763309.db2.gz XZPCPTGONWQODE-UHFFFAOYSA-N 1 2 306.391 1.970 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@](O)(C(F)(F)F)C[C@H]2CO)cc1F ZINC001139526810 860426200 /nfs/dbraw/zinc/42/62/00/860426200.db2.gz JKSTTXZOJLUOKW-AAEUAGOBSA-N 1 2 318.270 1.557 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@](O)(C(F)(F)F)C[C@H]2CO)cc1F ZINC001139526810 860426209 /nfs/dbraw/zinc/42/62/09/860426209.db2.gz JKSTTXZOJLUOKW-AAEUAGOBSA-N 1 2 318.270 1.557 20 30 DDEDLO CC[N@H+](Cc1cc(C)on1)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152854819 863570872 /nfs/dbraw/zinc/57/08/72/863570872.db2.gz HZVOFAHBXRYVAT-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO CC[N@@H+](Cc1cc(C)on1)[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001152854819 863570884 /nfs/dbraw/zinc/57/08/84/863570884.db2.gz HZVOFAHBXRYVAT-LLVKDONJSA-N 1 2 315.377 1.823 20 30 DDEDLO C#CCCCC(=O)NCCC[NH2+]Cc1noc(CCCC)n1 ZINC001157164503 863625156 /nfs/dbraw/zinc/62/51/56/863625156.db2.gz PROLGDJRLJBFGZ-UHFFFAOYSA-N 1 2 306.410 1.812 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1ncccn1 ZINC001153012972 863653226 /nfs/dbraw/zinc/65/32/26/863653226.db2.gz WHKMRQBKZXFRRR-CZUORRHYSA-N 1 2 306.410 1.130 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncccn1 ZINC001153012972 863653234 /nfs/dbraw/zinc/65/32/34/863653234.db2.gz WHKMRQBKZXFRRR-CZUORRHYSA-N 1 2 306.410 1.130 20 30 DDEDLO C#CCOCCC(=O)NC[C@H](C)[N@H+](C)Cc1nc(C)c(C)o1 ZINC001329439653 863668238 /nfs/dbraw/zinc/66/82/38/863668238.db2.gz ATBUCPCSGWGEEZ-LBPRGKRZSA-N 1 2 307.394 1.268 20 30 DDEDLO C#CCOCCC(=O)NC[C@H](C)[N@@H+](C)Cc1nc(C)c(C)o1 ZINC001329439653 863668244 /nfs/dbraw/zinc/66/82/44/863668244.db2.gz ATBUCPCSGWGEEZ-LBPRGKRZSA-N 1 2 307.394 1.268 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1-n1ncc(C#N)c1N)n1cc[nH+]c1 ZINC001157288181 863713075 /nfs/dbraw/zinc/71/30/75/863713075.db2.gz HZYQZLUBXMWYCH-LLVKDONJSA-N 1 2 321.344 1.722 20 30 DDEDLO CC[N@H+](CC(=O)Nc1ccon1)[C@H](C)CNC(=O)C#CC(C)C ZINC001153215192 863769542 /nfs/dbraw/zinc/76/95/42/863769542.db2.gz VNKBTMBRHNVWDY-CYBMUJFWSA-N 1 2 320.393 1.099 20 30 DDEDLO CC[N@@H+](CC(=O)Nc1ccon1)[C@H](C)CNC(=O)C#CC(C)C ZINC001153215192 863769547 /nfs/dbraw/zinc/76/95/47/863769547.db2.gz VNKBTMBRHNVWDY-CYBMUJFWSA-N 1 2 320.393 1.099 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2ccc3cccnc3c2)C1 ZINC001329704684 863800287 /nfs/dbraw/zinc/80/02/87/863800287.db2.gz HMZKGKGDTWEFMN-UHFFFAOYSA-N 1 2 323.396 1.425 20 30 DDEDLO CC[N@H+](Cc1nonc1C)[C@H](C)CNC(=O)C#CC(C)(C)C ZINC001153281315 863803234 /nfs/dbraw/zinc/80/32/34/863803234.db2.gz KDSAVYFHOIOLFU-GFCCVEGCSA-N 1 2 306.410 1.754 20 30 DDEDLO CC[N@@H+](Cc1nonc1C)[C@H](C)CNC(=O)C#CC(C)(C)C ZINC001153281315 863803235 /nfs/dbraw/zinc/80/32/35/863803235.db2.gz KDSAVYFHOIOLFU-GFCCVEGCSA-N 1 2 306.410 1.754 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1nocc1C ZINC001153306771 863817005 /nfs/dbraw/zinc/81/70/05/863817005.db2.gz RGEBXBPUXSDUND-CQSZACIVSA-N 1 2 307.394 1.350 20 30 DDEDLO C#CCOCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nocc1C ZINC001153306771 863817010 /nfs/dbraw/zinc/81/70/10/863817010.db2.gz RGEBXBPUXSDUND-CQSZACIVSA-N 1 2 307.394 1.350 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001330073049 864063422 /nfs/dbraw/zinc/06/34/22/864063422.db2.gz YTBDWVAPXNCMGM-KKUMJFAQSA-N 1 2 321.465 1.551 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nc(CC)no2)CCCC1 ZINC001153813970 864127123 /nfs/dbraw/zinc/12/71/23/864127123.db2.gz KUIXTXRVVDZMKO-UHFFFAOYSA-N 1 2 306.410 1.974 20 30 DDEDLO CCN(CC1CCN(c2cccc[nH+]2)CC1)C(=O)C#CCOC ZINC001330571230 864459791 /nfs/dbraw/zinc/45/97/91/864459791.db2.gz MSHKFQGHNGAURC-UHFFFAOYSA-N 1 2 315.417 1.796 20 30 DDEDLO Cc1cnc(N2CC[C@H]([NH+]3CCCC3)C(F)(F)C2)c(C#N)n1 ZINC001158621714 864764520 /nfs/dbraw/zinc/76/45/20/864764520.db2.gz IBVARMIGQTVYJD-ZDUSSCGKSA-N 1 2 307.348 1.967 20 30 DDEDLO NC(=O)[C@H]1CCCN1c1cc(NCC#Cc2ccccc2)[nH+]cn1 ZINC001159375560 865262486 /nfs/dbraw/zinc/26/24/86/865262486.db2.gz AKBIYAZTNIZSDA-OAHLLOKOSA-N 1 2 321.384 1.394 20 30 DDEDLO NC(=O)[C@H]1CCCN1c1cc(NCC#Cc2ccccc2)nc[nH+]1 ZINC001159375560 865262497 /nfs/dbraw/zinc/26/24/97/865262497.db2.gz AKBIYAZTNIZSDA-OAHLLOKOSA-N 1 2 321.384 1.394 20 30 DDEDLO CCc1nnc(C[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)o1 ZINC001159586731 865412420 /nfs/dbraw/zinc/41/24/20/865412420.db2.gz BOSSUFPYXILUDC-GFCCVEGCSA-N 1 2 317.393 1.430 20 30 DDEDLO CCc1nnc(C[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)o1 ZINC001159586731 865412425 /nfs/dbraw/zinc/41/24/25/865412425.db2.gz BOSSUFPYXILUDC-GFCCVEGCSA-N 1 2 317.393 1.430 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)n1 ZINC001159594437 865419237 /nfs/dbraw/zinc/41/92/37/865419237.db2.gz RBMOLSLRBDGZLN-VXGBXAGGSA-N 1 2 317.393 1.737 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)n1 ZINC001159594437 865419245 /nfs/dbraw/zinc/41/92/45/865419245.db2.gz RBMOLSLRBDGZLN-VXGBXAGGSA-N 1 2 317.393 1.737 20 30 DDEDLO C=C[C@@H](COC)[NH2+]Cc1c(I)cnn1C ZINC001331996396 865489405 /nfs/dbraw/zinc/48/94/05/865489405.db2.gz WCEHUCKFXYVUDN-QMMMGPOBSA-N 1 2 321.162 1.315 20 30 DDEDLO C#CCCCCC(=O)N[C@@]12CCC[C@H]1[N@H+](Cc1cnon1)CC2 ZINC001332093057 865571015 /nfs/dbraw/zinc/57/10/15/865571015.db2.gz WHQPSEWHCHFHJS-NVXWUHKLSA-N 1 2 316.405 1.876 20 30 DDEDLO C#CCCCCC(=O)N[C@@]12CCC[C@H]1[N@@H+](Cc1cnon1)CC2 ZINC001332093057 865571021 /nfs/dbraw/zinc/57/10/21/865571021.db2.gz WHQPSEWHCHFHJS-NVXWUHKLSA-N 1 2 316.405 1.876 20 30 DDEDLO CC(C)C#CC(=O)NCC1([NH2+]Cc2noc3c2CCCC3)CC1 ZINC001277055799 881917742 /nfs/dbraw/zinc/91/77/42/881917742.db2.gz VNLQJZGAWDDGIL-UHFFFAOYSA-N 1 2 315.417 1.951 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(C)cc(C#N)c2)C1 ZINC001332520031 865904658 /nfs/dbraw/zinc/90/46/58/865904658.db2.gz GPBASHDBWGFSGK-OAHLLOKOSA-N 1 2 324.384 1.019 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(C)cc(C#N)c2)C1 ZINC001332520031 865904663 /nfs/dbraw/zinc/90/46/63/865904663.db2.gz GPBASHDBWGFSGK-OAHLLOKOSA-N 1 2 324.384 1.019 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)C1CC[NH+](Cc2nncs2)CC1 ZINC001332948546 866281690 /nfs/dbraw/zinc/28/16/90/866281690.db2.gz RNVVLGYICNPKEM-GFCCVEGCSA-N 1 2 318.446 1.668 20 30 DDEDLO C#CCN(C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1)C(C)C ZINC001333026533 866349897 /nfs/dbraw/zinc/34/98/97/866349897.db2.gz VTRJVPZQLCBZTK-UHFFFAOYSA-N 1 2 319.409 1.091 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001323279290 866496547 /nfs/dbraw/zinc/49/65/47/866496547.db2.gz UDJOOCMKHILRNG-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001323279290 866496551 /nfs/dbraw/zinc/49/65/51/866496551.db2.gz UDJOOCMKHILRNG-AWEZNQCLSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1c[nH+]cn1C ZINC001323761559 866825831 /nfs/dbraw/zinc/82/58/31/866825831.db2.gz BDPFGAHVMALWMD-GASCZTMLSA-N 1 2 302.422 1.952 20 30 DDEDLO COC(OC)c1c(C#N)ccnc1NCCCn1cc[nH+]c1 ZINC001161682120 866883889 /nfs/dbraw/zinc/88/38/89/866883889.db2.gz HNTUJNYYBOKFED-UHFFFAOYSA-N 1 2 301.350 1.943 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CC[C@H]2CC[C@H](C)O2)C1 ZINC001324031322 867020549 /nfs/dbraw/zinc/02/05/49/867020549.db2.gz ZTGNVVDXEJJGHF-GOEBONIOSA-N 1 2 310.438 1.679 20 30 DDEDLO C=CCCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(N(C)C)no1 ZINC001324221306 867148708 /nfs/dbraw/zinc/14/87/08/867148708.db2.gz MDEUGICZVHUTKT-CYBMUJFWSA-N 1 2 321.425 1.573 20 30 DDEDLO Cc1cccc(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)NC2(C#N)CCC2)n1 ZINC001277076498 882061013 /nfs/dbraw/zinc/06/10/13/882061013.db2.gz LYKFXNRIHZSREN-KDOFPFPSSA-N 1 2 310.401 1.917 20 30 DDEDLO Cc1cccc(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)NC2(C#N)CCC2)n1 ZINC001277076498 882061034 /nfs/dbraw/zinc/06/10/34/882061034.db2.gz LYKFXNRIHZSREN-KDOFPFPSSA-N 1 2 310.401 1.917 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC/C=C\C[NH2+][C@H](C)c1nnnn1C ZINC001321230314 867419909 /nfs/dbraw/zinc/41/99/09/867419909.db2.gz BYBWZTACEOYSLS-SOFBIIEWSA-N 1 2 306.414 1.135 20 30 DDEDLO C#CC[NH2+]C[C@]1(C)CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001324773310 867514671 /nfs/dbraw/zinc/51/46/71/867514671.db2.gz XFZVLPNGEIQALF-SFHVURJKSA-N 1 2 323.400 1.547 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001381531865 882097915 /nfs/dbraw/zinc/09/79/15/882097915.db2.gz IOQFKGFFHHGLQB-SNVBAGLBSA-N 1 2 324.812 1.862 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001381531865 882097929 /nfs/dbraw/zinc/09/79/29/882097929.db2.gz IOQFKGFFHHGLQB-SNVBAGLBSA-N 1 2 324.812 1.862 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC001325098647 867766734 /nfs/dbraw/zinc/76/67/34/867766734.db2.gz LEOGLFNGIYPJOS-AWEZNQCLSA-N 1 2 316.405 1.683 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC001325098647 867766745 /nfs/dbraw/zinc/76/67/45/867766745.db2.gz LEOGLFNGIYPJOS-AWEZNQCLSA-N 1 2 316.405 1.683 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@@H]1CCn2cncc2C1 ZINC001381542183 882123104 /nfs/dbraw/zinc/12/31/04/882123104.db2.gz PSGUHJNZZQSIEH-CHWSQXEVSA-N 1 2 310.829 1.635 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@@H]1CCn2cncc2C1 ZINC001381542183 882123113 /nfs/dbraw/zinc/12/31/13/882123113.db2.gz PSGUHJNZZQSIEH-CHWSQXEVSA-N 1 2 310.829 1.635 20 30 DDEDLO C[C@H](CN(C)C(=O)[C@@H](C)C#N)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001382056224 883287209 /nfs/dbraw/zinc/28/72/09/883287209.db2.gz JQEJATUHDBWMKW-WDEREUQCSA-N 1 2 307.398 1.463 20 30 DDEDLO C[C@@H](C[NH2+]Cc1nc(C(C)(C)C)no1)NC(=O)C#CC1CC1 ZINC001321842651 867827626 /nfs/dbraw/zinc/82/76/26/867827626.db2.gz WNMIPSCTPOKXSV-NSHDSACASA-N 1 2 304.394 1.375 20 30 DDEDLO C=CCO[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC001325222032 867873239 /nfs/dbraw/zinc/87/32/39/867873239.db2.gz DOFLGBPGFQVSKS-WDEREUQCSA-N 1 2 323.418 1.451 20 30 DDEDLO C=CCO[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC001325222032 867873249 /nfs/dbraw/zinc/87/32/49/867873249.db2.gz DOFLGBPGFQVSKS-WDEREUQCSA-N 1 2 323.418 1.451 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)Cc2cc(F)c(C)cc2F)C1 ZINC001325246330 867887145 /nfs/dbraw/zinc/88/71/45/867887145.db2.gz PTPPMQLJFCAKGN-UHFFFAOYSA-N 1 2 322.355 1.002 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)C1CN(C(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001334958427 867948333 /nfs/dbraw/zinc/94/83/33/867948333.db2.gz NNXHWGAZOGDUJV-KGLIPLIRSA-N 1 2 318.421 1.764 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCCC[NH2+][C@H](C)c1noc(C)n1 ZINC001163345030 868377210 /nfs/dbraw/zinc/37/72/10/868377210.db2.gz QHUSRDSHOJTCEB-WBMJQRKESA-N 1 2 324.425 1.764 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc4nc(N)sc4c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226135350 882223800 /nfs/dbraw/zinc/22/38/00/882223800.db2.gz GDRYCKRIEOXEFI-LSVRBPJHSA-N 1 2 303.387 1.870 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc4nc(N)sc4c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226135350 882223814 /nfs/dbraw/zinc/22/38/14/882223814.db2.gz GDRYCKRIEOXEFI-LSVRBPJHSA-N 1 2 303.387 1.870 20 30 DDEDLO C=CCn1ccc2c1nc[nH+]c2NCCNC(=O)C(F)(F)F ZINC001164238550 869046311 /nfs/dbraw/zinc/04/63/11/869046311.db2.gz XFEADVVONONZMZ-UHFFFAOYSA-N 1 2 313.283 1.708 20 30 DDEDLO N#C[C@H]1CN(C(=O)[C@H]2CC[C@@H](Nc3cccc[nH+]3)CC2)CCO1 ZINC001361761082 882315292 /nfs/dbraw/zinc/31/52/92/882315292.db2.gz JZUOVIDOIAWMIA-ZNMIVQPWSA-N 1 2 314.389 1.803 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001337978121 869726080 /nfs/dbraw/zinc/72/60/80/869726080.db2.gz ILZXMDMFYZEZKW-ZIAGYGMSSA-N 1 2 318.421 1.591 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1C[C@H](C)N(C(=O)C#CC(C)C)C1 ZINC001338088009 869792891 /nfs/dbraw/zinc/79/28/91/869792891.db2.gz GTCNHFUHYLAIEQ-GXTWGEPZSA-N 1 2 316.405 1.026 20 30 DDEDLO COC(=O)c1ccc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)n1C ZINC001338287591 869906596 /nfs/dbraw/zinc/90/65/96/869906596.db2.gz FKMSBSROBVHQMD-STQMWFEESA-N 1 2 304.394 1.230 20 30 DDEDLO C[C@@H](CC(=O)NCC[NH+]1CCN(CC#N)CC1)c1ccco1 ZINC001316971723 870021916 /nfs/dbraw/zinc/02/19/16/870021916.db2.gz GQJVKBYLOKPECY-AWEZNQCLSA-N 1 2 304.394 1.031 20 30 DDEDLO CC[C@@H](C)[C@H](C)C(=O)NCC[NH+]1CCN(CC#CCOC)CC1 ZINC001316972423 870026641 /nfs/dbraw/zinc/02/66/41/870026641.db2.gz QIACUMKDBWYELX-SJORKVTESA-N 1 2 323.481 1.052 20 30 DDEDLO CC[C@@H](C)[C@H](C)C(=O)NCCN1CC[NH+](CC#CCOC)CC1 ZINC001316972423 870026657 /nfs/dbraw/zinc/02/66/57/870026657.db2.gz QIACUMKDBWYELX-SJORKVTESA-N 1 2 323.481 1.052 20 30 DDEDLO CN(CC1CC1)C(=O)C[N@@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001316978143 870045068 /nfs/dbraw/zinc/04/50/68/870045068.db2.gz QSSGHVBBHWJZKQ-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO CN(CC1CC1)C(=O)C[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001316978143 870045080 /nfs/dbraw/zinc/04/50/80/870045080.db2.gz QSSGHVBBHWJZKQ-OAHLLOKOSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@H](C)[C@H]1CC ZINC001338896463 870228638 /nfs/dbraw/zinc/22/86/38/870228638.db2.gz WLGRPROFCSRMLZ-XQLPTFJDSA-N 1 2 319.453 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@H](C)[C@H]1CC ZINC001338896463 870228655 /nfs/dbraw/zinc/22/86/55/870228655.db2.gz WLGRPROFCSRMLZ-XQLPTFJDSA-N 1 2 319.453 1.826 20 30 DDEDLO C=C(C)CN(C)c1nnc(C[NH+]2CCC(C(N)=O)CC2)n1CC ZINC001339270793 870432429 /nfs/dbraw/zinc/43/24/29/870432429.db2.gz OCOZIYFGYKTHFO-UHFFFAOYSA-N 1 2 320.441 1.008 20 30 DDEDLO C#CC[NH2+]C[C@@H]1CCCCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001317188977 870440287 /nfs/dbraw/zinc/44/02/87/870440287.db2.gz NGQWZWWRQRUSAZ-INIZCTEOSA-N 1 2 323.400 1.689 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[NH2+][C@H](C)c1noc(C)n1 ZINC001166987187 870452785 /nfs/dbraw/zinc/45/27/85/870452785.db2.gz WREZVWAGMIQZNN-RTXFEEFZSA-N 1 2 308.382 1.126 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N1CCC(C=C)CC1 ZINC001339530005 870540876 /nfs/dbraw/zinc/54/08/76/870540876.db2.gz LEJMQWDHVACRSY-CABCVRRESA-N 1 2 317.437 1.604 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N1CCC(C=C)CC1 ZINC001339530005 870540883 /nfs/dbraw/zinc/54/08/83/870540883.db2.gz LEJMQWDHVACRSY-CABCVRRESA-N 1 2 317.437 1.604 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([NH2+]Cc2nc(CC(C)C)no2)C1 ZINC001317299011 870599522 /nfs/dbraw/zinc/59/95/22/870599522.db2.gz SHXPLWBSDKSHLZ-AWEZNQCLSA-N 1 2 318.421 1.618 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001317454881 870869312 /nfs/dbraw/zinc/86/93/12/870869312.db2.gz YTKPHOIRSMOOLW-QDMKHBRRSA-N 1 2 307.438 1.163 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001317454881 870869321 /nfs/dbraw/zinc/86/93/21/870869321.db2.gz YTKPHOIRSMOOLW-QDMKHBRRSA-N 1 2 307.438 1.163 20 30 DDEDLO C#C[C@@H](NC(=O)N1CCC(c2c[nH+]cn2C)CC1)[C@H]1CCCO1 ZINC001340076142 870877351 /nfs/dbraw/zinc/87/73/51/870877351.db2.gz UIOWQJJUBRRCAK-GDBMZVCRSA-N 1 2 316.405 1.490 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1CC[N@H+](Cc2cnn(CC)n2)C1 ZINC001317536158 871016084 /nfs/dbraw/zinc/01/60/84/871016084.db2.gz JRUKFQKPGBSEDY-HUUCEWRRSA-N 1 2 319.453 1.838 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1CC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001317536158 871016108 /nfs/dbraw/zinc/01/61/08/871016108.db2.gz JRUKFQKPGBSEDY-HUUCEWRRSA-N 1 2 319.453 1.838 20 30 DDEDLO C#CCOCC[N@@H+](C)Cc1nc(-c2ccc3c(c2)OCO3)no1 ZINC001341258916 871580074 /nfs/dbraw/zinc/58/00/74/871580074.db2.gz WNENHZDGWVWSBS-UHFFFAOYSA-N 1 2 315.329 1.547 20 30 DDEDLO C#CCOCC[N@H+](C)Cc1nc(-c2ccc3c(c2)OCO3)no1 ZINC001341258916 871580084 /nfs/dbraw/zinc/58/00/84/871580084.db2.gz WNENHZDGWVWSBS-UHFFFAOYSA-N 1 2 315.329 1.547 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CC2CCCC2)C1 ZINC001317918306 871623357 /nfs/dbraw/zinc/62/33/57/871623357.db2.gz CGVWVBOJKYZEHX-OAHLLOKOSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)CC2CCCC2)C1 ZINC001317918306 871623359 /nfs/dbraw/zinc/62/33/59/871623359.db2.gz CGVWVBOJKYZEHX-OAHLLOKOSA-N 1 2 307.438 1.450 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001317929768 871629888 /nfs/dbraw/zinc/62/98/88/871629888.db2.gz CJGAIMWBUAKSOT-GDBMZVCRSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001317929768 871629899 /nfs/dbraw/zinc/62/98/99/871629899.db2.gz CJGAIMWBUAKSOT-GDBMZVCRSA-N 1 2 319.449 1.285 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)CC=C)C1 ZINC001317945074 871642944 /nfs/dbraw/zinc/64/29/44/871642944.db2.gz WLDMSLUPSIZAKY-HUUCEWRRSA-N 1 2 319.449 1.307 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](NC(=O)C(C)(C)CC=C)C1 ZINC001317945074 871642961 /nfs/dbraw/zinc/64/29/61/871642961.db2.gz WLDMSLUPSIZAKY-HUUCEWRRSA-N 1 2 319.449 1.307 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)c2ccc(C)o2)C1 ZINC001317956021 871651131 /nfs/dbraw/zinc/65/11/31/871651131.db2.gz HIULGRPLAULTLI-ZDUSSCGKSA-N 1 2 305.378 1.084 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(C)o2)C1 ZINC001317956021 871651136 /nfs/dbraw/zinc/65/11/36/871651136.db2.gz HIULGRPLAULTLI-ZDUSSCGKSA-N 1 2 305.378 1.084 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(NC(=O)[C@@H](C)C#N)CC2)n1C1CC1 ZINC001226617555 882542908 /nfs/dbraw/zinc/54/29/08/882542908.db2.gz JELLCBLNLCGLOH-NSHDSACASA-N 1 2 316.409 1.162 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@@H]1C[C@H](C)CO1)C2 ZINC001316806207 872076166 /nfs/dbraw/zinc/07/61/66/872076166.db2.gz QJEKBEOOPKBPDY-AAEUAGOBSA-N 1 2 319.430 1.176 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)[C@@H]1CC[N@H+](Cc2nccs2)C1 ZINC001318470886 872089088 /nfs/dbraw/zinc/08/90/88/872089088.db2.gz ONCFWUKRCGFOCY-CJNGLKHVSA-N 1 2 323.462 1.893 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2nccs2)C1 ZINC001318470886 872089108 /nfs/dbraw/zinc/08/91/08/872089108.db2.gz ONCFWUKRCGFOCY-CJNGLKHVSA-N 1 2 323.462 1.893 20 30 DDEDLO C=C[C@H]1CCCCN1C(=O)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC001342415550 872197088 /nfs/dbraw/zinc/19/70/88/872197088.db2.gz IRMZROVONHZWOH-AWEZNQCLSA-N 1 2 312.373 1.518 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@@](C)(NC(=O)C#CC(C)C)C2CC2)no1 ZINC001318686729 872205937 /nfs/dbraw/zinc/20/59/37/872205937.db2.gz RMNUNCRIDITOJP-YVEFUNNKSA-N 1 2 318.421 1.973 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N(C[C@H](C)O)C1CC1 ZINC001342533431 872253790 /nfs/dbraw/zinc/25/37/90/872253790.db2.gz QFRBGXHGMXBJRX-AWEZNQCLSA-N 1 2 319.453 1.800 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001342589402 872283164 /nfs/dbraw/zinc/28/31/64/872283164.db2.gz JAZGPPPDILXKCM-MRRJBJDNSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1C[N@H+](CCOC2CCC2)CCO1 ZINC001319318443 872566794 /nfs/dbraw/zinc/56/67/94/872566794.db2.gz JZOVZFRPQRARAI-FUHWJXTLSA-N 1 2 324.465 1.975 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H]1C[N@@H+](CCOC2CCC2)CCO1 ZINC001319318443 872566802 /nfs/dbraw/zinc/56/68/02/872566802.db2.gz JZOVZFRPQRARAI-FUHWJXTLSA-N 1 2 324.465 1.975 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001319320168 872570677 /nfs/dbraw/zinc/57/06/77/872570677.db2.gz VULZGYWFGABUQC-FXUDXRNXSA-N 1 2 308.422 1.052 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001319320168 872570694 /nfs/dbraw/zinc/57/06/94/872570694.db2.gz VULZGYWFGABUQC-FXUDXRNXSA-N 1 2 308.422 1.052 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1C[C@H](C)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001344602606 873050863 /nfs/dbraw/zinc/05/08/63/873050863.db2.gz FCRYZFHOKBWYBZ-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCN(C(=O)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1)C1CC1 ZINC001344968539 873199999 /nfs/dbraw/zinc/19/99/99/873199999.db2.gz BEHUIZYKKBNPMI-HIFRSBDPSA-N 1 2 316.405 1.470 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C(C)C)o2)C1 ZINC001207680813 873474026 /nfs/dbraw/zinc/47/40/26/873474026.db2.gz VZOXGGUJIHPPNK-CYBMUJFWSA-N 1 2 322.409 1.476 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](CC)CC(C)C)C2)C1 ZINC001276549813 873652145 /nfs/dbraw/zinc/65/21/45/873652145.db2.gz WCNALMINYOYAJN-AEFFLSMTSA-N 1 2 306.450 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](CC)CC(C)C)C2)C1 ZINC001276549813 873652153 /nfs/dbraw/zinc/65/21/53/873652153.db2.gz WCNALMINYOYAJN-AEFFLSMTSA-N 1 2 306.450 1.995 20 30 DDEDLO Cc1nnsc1C[N@H+]1C[C@@H](NC(=O)C#CC(C)C)C(C)(C)C1 ZINC001276579894 874397299 /nfs/dbraw/zinc/39/72/99/874397299.db2.gz PFUFTQWSHKYTSZ-CQSZACIVSA-N 1 2 320.462 1.833 20 30 DDEDLO Cc1nnsc1C[N@@H+]1C[C@@H](NC(=O)C#CC(C)C)C(C)(C)C1 ZINC001276579894 874397316 /nfs/dbraw/zinc/39/73/16/874397316.db2.gz PFUFTQWSHKYTSZ-CQSZACIVSA-N 1 2 320.462 1.833 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)[C@H](NC(C)=O)C(C)C ZINC001378199784 874410248 /nfs/dbraw/zinc/41/02/48/874410248.db2.gz PDWMKAVKLUWZDI-WCQYABFASA-N 1 2 303.834 1.336 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)[C@H](NC(C)=O)C(C)C ZINC001378199784 874410252 /nfs/dbraw/zinc/41/02/52/874410252.db2.gz PDWMKAVKLUWZDI-WCQYABFASA-N 1 2 303.834 1.336 20 30 DDEDLO Cc1ccc(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)NC2(C#N)CCC2)cn1 ZINC001277190021 882913978 /nfs/dbraw/zinc/91/39/78/882913978.db2.gz BZLDRSSZSCUGPB-QAPCUYQASA-N 1 2 310.401 1.917 20 30 DDEDLO Cc1ccc(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)NC2(C#N)CCC2)cn1 ZINC001277190021 882913986 /nfs/dbraw/zinc/91/39/86/882913986.db2.gz BZLDRSSZSCUGPB-QAPCUYQASA-N 1 2 310.401 1.917 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@@](C)(C(F)(F)F)C1 ZINC001349818804 875391475 /nfs/dbraw/zinc/39/14/75/875391475.db2.gz XCBUFXFQTZEQCU-JOYOIKCWSA-N 1 2 308.300 1.234 20 30 DDEDLO CC(C)C#CC(=O)NC1CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001227265358 882942889 /nfs/dbraw/zinc/94/28/89/882942889.db2.gz ILZJXRYOEFWDGC-AWEZNQCLSA-N 1 2 300.406 1.778 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001350796674 875896850 /nfs/dbraw/zinc/89/68/50/875896850.db2.gz IVGSSRNQSGGSOJ-UHFFFAOYSA-N 1 2 318.421 1.615 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1OC ZINC001213963389 876116260 /nfs/dbraw/zinc/11/62/60/876116260.db2.gz BNGNNDIMXXSASL-MKVKLZLISA-N 1 2 302.802 1.145 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1OC ZINC001213963389 876116263 /nfs/dbraw/zinc/11/62/63/876116263.db2.gz BNGNNDIMXXSASL-MKVKLZLISA-N 1 2 302.802 1.145 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001214283419 876256992 /nfs/dbraw/zinc/25/69/92/876256992.db2.gz ZDYBGPWJRZALFH-MBNYWOFBSA-N 1 2 322.409 1.296 20 30 DDEDLO C=C(C)Cn1nnnc1N1CC[NH+]([C@H](C)c2cccnc2)CC1 ZINC001354301415 877868352 /nfs/dbraw/zinc/86/83/52/877868352.db2.gz CJKYNJHAISKZFD-CQSZACIVSA-N 1 2 313.409 1.527 20 30 DDEDLO N#Cc1ccc(NS(=O)(=O)CC[NH3+])c(OC(F)(F)F)c1 ZINC001237615426 889759135 /nfs/dbraw/zinc/75/91/35/889759135.db2.gz FKDYKRICNJZOTP-UHFFFAOYSA-N 1 2 309.269 1.157 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001219686358 878448435 /nfs/dbraw/zinc/44/84/35/878448435.db2.gz MDAMVWYYODTKOF-CVEARBPZSA-N 1 2 319.405 1.413 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)no2)C[C@@H]1O ZINC001219686358 878448443 /nfs/dbraw/zinc/44/84/43/878448443.db2.gz MDAMVWYYODTKOF-CVEARBPZSA-N 1 2 319.405 1.413 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc(C[N@@H+]3CCC[C@@H](O)C3)n2CC)C1 ZINC001356502523 879080880 /nfs/dbraw/zinc/08/08/80/879080880.db2.gz SZWNYLYDLPSRGU-HUUCEWRRSA-N 1 2 317.437 1.104 20 30 DDEDLO C#C[C@@H]1CCCN(c2nnc(C[N@H+]3CCC[C@@H](O)C3)n2CC)C1 ZINC001356502523 879080888 /nfs/dbraw/zinc/08/08/88/879080888.db2.gz SZWNYLYDLPSRGU-HUUCEWRRSA-N 1 2 317.437 1.104 20 30 DDEDLO CO[C@H](C[NH+]1CC(N(C)C(=O)[C@@H](C)C#N)C1)c1ccccc1 ZINC001380220988 879083719 /nfs/dbraw/zinc/08/37/19/879083719.db2.gz KTTGSLIRPIJNDY-XJKSGUPXSA-N 1 2 301.390 1.676 20 30 DDEDLO C=CCCC(=O)N(C)CC[NH+]1CCN(C(=O)[C@H]2C[C@@H]2C)CC1 ZINC001356698626 879201260 /nfs/dbraw/zinc/20/12/60/879201260.db2.gz FCTMYKIUFUDJIT-GJZGRUSLSA-N 1 2 307.438 1.211 20 30 DDEDLO C=C(C)CCC(=O)N[C@@](C)(CNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001356956269 879628756 /nfs/dbraw/zinc/62/87/56/879628756.db2.gz CEXUOFJPKHQYGG-KRWDZBQOSA-N 1 2 318.421 1.710 20 30 DDEDLO C=CCCC(=O)NCCC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001356977557 879644356 /nfs/dbraw/zinc/64/43/56/879644356.db2.gz ZRGBFRMPLZAKFK-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@H]2CC[N@H+](Cc3ccns3)C[C@H]21 ZINC001221518184 879909611 /nfs/dbraw/zinc/90/96/11/879909611.db2.gz WINFHOIGAWIWLF-XNRPHZJLSA-N 1 2 321.446 1.503 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1C[C@H]2CC[N@@H+](Cc3ccns3)C[C@H]21 ZINC001221518184 879909627 /nfs/dbraw/zinc/90/96/27/879909627.db2.gz WINFHOIGAWIWLF-XNRPHZJLSA-N 1 2 321.446 1.503 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H](NC(=O)CCc2[nH]cc[nH+]2)[C@@H]1C ZINC001287865211 912518784 /nfs/dbraw/zinc/51/87/84/912518784.db2.gz AFTHUZCUWMVCFY-KBPBESRZSA-N 1 2 316.405 1.251 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001358521958 880485843 /nfs/dbraw/zinc/48/58/43/880485843.db2.gz HUMJRLWPPAPSNS-STQMWFEESA-N 1 2 304.394 1.033 20 30 DDEDLO CCn1ccnc1C[N@@H+]1CC[C@H]2[C@@H]1CCN2Cc1[nH]ccc1C#N ZINC001276840011 880707249 /nfs/dbraw/zinc/70/72/49/880707249.db2.gz HSWJNQSZXIMNNN-IRXDYDNUSA-N 1 2 324.432 1.952 20 30 DDEDLO CCn1ccnc1C[N@H+]1CC[C@H]2[C@@H]1CCN2Cc1[nH]ccc1C#N ZINC001276840011 880707256 /nfs/dbraw/zinc/70/72/56/880707256.db2.gz HSWJNQSZXIMNNN-IRXDYDNUSA-N 1 2 324.432 1.952 20 30 DDEDLO Cc1cc(C#N)ccc1C[NH+]1CC2(CCN2CC(=O)N(C)C)C1 ZINC001276857094 880785595 /nfs/dbraw/zinc/78/55/95/880785595.db2.gz VHGZVHQGMGKFAW-UHFFFAOYSA-N 1 2 312.417 1.215 20 30 DDEDLO C=C(C)CCC(=O)N1CC(N(C)C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001358732615 880826859 /nfs/dbraw/zinc/82/68/59/880826859.db2.gz AOOWCLRFUMMSFZ-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(C)CCC(=O)N1CC(N(C)C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001358732615 880826878 /nfs/dbraw/zinc/82/68/78/880826878.db2.gz AOOWCLRFUMMSFZ-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N(C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001358748995 880854403 /nfs/dbraw/zinc/85/44/03/880854403.db2.gz XKMJJARSHMZYHF-MRXNPFEDSA-N 1 2 304.394 1.224 20 30 DDEDLO C=CCCCC[N@@H+]1CCc2[nH]nc(C(=O)N(C)CCOC)c2C1 ZINC001276886088 880942168 /nfs/dbraw/zinc/94/21/68/880942168.db2.gz VEWMXPYUFPZBDI-UHFFFAOYSA-N 1 2 320.437 1.843 20 30 DDEDLO C=CCCCC[N@H+]1CCc2[nH]nc(C(=O)N(C)CCOC)c2C1 ZINC001276886088 880942172 /nfs/dbraw/zinc/94/21/72/880942172.db2.gz VEWMXPYUFPZBDI-UHFFFAOYSA-N 1 2 320.437 1.843 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)[nH]c1C ZINC001276930528 881142763 /nfs/dbraw/zinc/14/27/63/881142763.db2.gz HEJONGVQSPDHDH-ZIAGYGMSSA-N 1 2 318.421 1.126 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)[nH]c1C ZINC001276930528 881142770 /nfs/dbraw/zinc/14/27/70/881142770.db2.gz HEJONGVQSPDHDH-ZIAGYGMSSA-N 1 2 318.421 1.126 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2[C@@H]2C[C@H]2CCC)CC1 ZINC001359036609 881164779 /nfs/dbraw/zinc/16/47/79/881164779.db2.gz BLNPUSNTAJNEJE-HUUCEWRRSA-N 1 2 301.438 1.957 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CC[NH+](Cc2cnn(C)n2)CC1 ZINC001224051352 881194458 /nfs/dbraw/zinc/19/44/58/881194458.db2.gz SPMGAZMWJJMTDP-UHFFFAOYSA-N 1 2 305.426 1.356 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCCN(C(=O)Cn2cc[nH+]c2)[C@H]1C ZINC001288010682 912663990 /nfs/dbraw/zinc/66/39/90/912663990.db2.gz CSPWBFFQOOXEQN-GJZGRUSLSA-N 1 2 318.421 1.735 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C(C1CC1)C1CC1 ZINC001276956152 881271364 /nfs/dbraw/zinc/27/13/64/881271364.db2.gz LIIASGSYNIEJFG-SWLSCSKDSA-N 1 2 319.449 1.304 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C(C1CC1)C1CC1 ZINC001276956152 881271378 /nfs/dbraw/zinc/27/13/78/881271378.db2.gz LIIASGSYNIEJFG-SWLSCSKDSA-N 1 2 319.449 1.304 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2CC[N@H+](Cc3ccon3)[C@H]2C1 ZINC001224280238 881304896 /nfs/dbraw/zinc/30/48/96/881304896.db2.gz COAQHMUWAULIOM-LZWOXQAQSA-N 1 2 319.405 1.689 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3ccon3)[C@H]2C1 ZINC001224280238 881304915 /nfs/dbraw/zinc/30/49/15/881304915.db2.gz COAQHMUWAULIOM-LZWOXQAQSA-N 1 2 319.405 1.689 20 30 DDEDLO C[C@@H](NC(=O)c1ncc(C#N)cc1Cl)[C@H](C)[NH+]1CCOCC1 ZINC001362262466 883433156 /nfs/dbraw/zinc/43/31/56/883433156.db2.gz YQLJNHXTHHIGGL-MNOVXSKESA-N 1 2 322.796 1.446 20 30 DDEDLO Cc1ncn(C)c1C(=O)N1CCC([N@H+](CC#N)CC2CC2)CC1 ZINC001277387956 883987715 /nfs/dbraw/zinc/98/77/15/883987715.db2.gz BMYJQKBHHYAXFK-UHFFFAOYSA-N 1 2 315.421 1.569 20 30 DDEDLO Cc1ncn(C)c1C(=O)N1CCC([N@@H+](CC#N)CC2CC2)CC1 ZINC001277387956 883987729 /nfs/dbraw/zinc/98/77/29/883987729.db2.gz BMYJQKBHHYAXFK-UHFFFAOYSA-N 1 2 315.421 1.569 20 30 DDEDLO N#Cc1ccnnc1OC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001229605345 884068929 /nfs/dbraw/zinc/06/89/29/884068929.db2.gz YXIGTFLCVQMIHH-INIZCTEOSA-N 1 2 310.357 1.628 20 30 DDEDLO N#Cc1ccnnc1OC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC001229605345 884068946 /nfs/dbraw/zinc/06/89/46/884068946.db2.gz YXIGTFLCVQMIHH-INIZCTEOSA-N 1 2 310.357 1.628 20 30 DDEDLO C[C@H]1Cc2cccc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@H+]4C)c2C(=O)O1 ZINC001229974520 884259039 /nfs/dbraw/zinc/25/90/39/884259039.db2.gz HFLDLBFJUXSWGN-IWYOUYIOSA-N 1 2 315.369 1.779 20 30 DDEDLO C[C@H]1Cc2cccc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@@H+]4C)c2C(=O)O1 ZINC001229974520 884259053 /nfs/dbraw/zinc/25/90/53/884259053.db2.gz HFLDLBFJUXSWGN-IWYOUYIOSA-N 1 2 315.369 1.779 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CN(C)C(=O)CCCCC ZINC001230782491 884870820 /nfs/dbraw/zinc/87/08/20/884870820.db2.gz YMUJGZZWNCHJEW-LSDHHAIUSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CN(C)C(=O)CCCCC ZINC001230782491 884870833 /nfs/dbraw/zinc/87/08/33/884870833.db2.gz YMUJGZZWNCHJEW-LSDHHAIUSA-N 1 2 309.454 1.790 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)/C=C(\C)C1CC1 ZINC001230958653 885086703 /nfs/dbraw/zinc/08/67/03/885086703.db2.gz QJRRXACWGUBVRE-QNICALHASA-N 1 2 319.449 1.566 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)/C=C(\C)C1CC1 ZINC001230958653 885086714 /nfs/dbraw/zinc/08/67/14/885086714.db2.gz QJRRXACWGUBVRE-QNICALHASA-N 1 2 319.449 1.566 20 30 DDEDLO COC[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccccc1C#N ZINC001231217293 885386883 /nfs/dbraw/zinc/38/68/83/885386883.db2.gz PNPSFVPUDPIBJZ-YOEHRIQHSA-N 1 2 315.417 1.873 20 30 DDEDLO COC[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccccc1C#N ZINC001231217293 885386902 /nfs/dbraw/zinc/38/69/02/885386902.db2.gz PNPSFVPUDPIBJZ-YOEHRIQHSA-N 1 2 315.417 1.873 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](CNC(=O)[C@H](C)C#N)C(C)(C)C ZINC001383260228 885499493 /nfs/dbraw/zinc/49/94/93/885499493.db2.gz OYHLLUOJUYKHSY-ZWNOBZJWSA-N 1 2 319.409 1.067 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001231406141 885619580 /nfs/dbraw/zinc/61/95/80/885619580.db2.gz XJEBQECLBCZEMW-MWDXBVQZSA-N 1 2 304.434 1.605 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001231406141 885619590 /nfs/dbraw/zinc/61/95/90/885619590.db2.gz XJEBQECLBCZEMW-MWDXBVQZSA-N 1 2 304.434 1.605 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cscc1C ZINC001231408245 885628702 /nfs/dbraw/zinc/62/87/02/885628702.db2.gz YTVPTBLDJFZIJG-CQSZACIVSA-N 1 2 306.431 1.853 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cscc1C ZINC001231408245 885628711 /nfs/dbraw/zinc/62/87/11/885628711.db2.gz YTVPTBLDJFZIJG-CQSZACIVSA-N 1 2 306.431 1.853 20 30 DDEDLO Cc1cc(C)n(CC[NH+]2CC(N(C)C(=O)C#CC3CC3)C2)n1 ZINC001277593140 885978071 /nfs/dbraw/zinc/97/80/71/885978071.db2.gz BKEAGOBCQULTQV-UHFFFAOYSA-N 1 2 300.406 1.056 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cn(C2CC2)cn1 ZINC001363425425 886305781 /nfs/dbraw/zinc/30/57/81/886305781.db2.gz DJOHBBYPNJGPLL-UHFFFAOYSA-N 1 2 303.410 1.266 20 30 DDEDLO C#CCOc1ccc(C(=O)N(C)C2C[NH+](CCOCC)C2)cc1 ZINC001277671250 886424850 /nfs/dbraw/zinc/42/48/50/886424850.db2.gz RJSHHAVZZHTZKP-UHFFFAOYSA-N 1 2 316.401 1.491 20 30 DDEDLO Cc1cc(C)c(C(=O)N(C)C[C@H](C)NC(=O)[C@H](C)C#N)c(C)[nH+]1 ZINC001383788056 886518465 /nfs/dbraw/zinc/51/84/65/886518465.db2.gz POCKSCNBBDJEMK-YPMHNXCESA-N 1 2 316.405 1.743 20 30 DDEDLO N#Cc1ccc(O)c(C[N@@H+]2CC[C@@H](Oc3ncc(F)cn3)C2)c1 ZINC001232681801 886535502 /nfs/dbraw/zinc/53/55/02/886535502.db2.gz VWZFOMFHBLMCIJ-CQSZACIVSA-N 1 2 314.320 1.846 20 30 DDEDLO N#Cc1ccc(O)c(C[N@H+]2CC[C@@H](Oc3ncc(F)cn3)C2)c1 ZINC001232681801 886535507 /nfs/dbraw/zinc/53/55/07/886535507.db2.gz VWZFOMFHBLMCIJ-CQSZACIVSA-N 1 2 314.320 1.846 20 30 DDEDLO N#Cc1cc[nH]c1C[N@@H+]1CCc2ncnc(N3CCCC3)c2CC1 ZINC001233044309 886751542 /nfs/dbraw/zinc/75/15/42/886751542.db2.gz IZVIAXUUOWBDHH-UHFFFAOYSA-N 1 2 322.416 1.877 20 30 DDEDLO N#Cc1cc[nH]c1C[N@H+]1CCc2ncnc(N3CCCC3)c2CC1 ZINC001233044309 886751568 /nfs/dbraw/zinc/75/15/68/886751568.db2.gz IZVIAXUUOWBDHH-UHFFFAOYSA-N 1 2 322.416 1.877 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc[nH]c1C ZINC001233529289 887079955 /nfs/dbraw/zinc/07/99/55/887079955.db2.gz JJXITJHABJQTRZ-KBPBESRZSA-N 1 2 318.421 1.160 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)c1cc[nH]c1C ZINC001233529289 887079964 /nfs/dbraw/zinc/07/99/64/887079964.db2.gz JJXITJHABJQTRZ-KBPBESRZSA-N 1 2 318.421 1.160 20 30 DDEDLO C=C(Br)C[N@H+](C)CCCNC(=O)[C@H]1CCCO1 ZINC001233620928 887160934 /nfs/dbraw/zinc/16/09/34/887160934.db2.gz OEKDNORDORTKJA-LLVKDONJSA-N 1 2 305.216 1.512 20 30 DDEDLO C=C(Br)C[N@@H+](C)CCCNC(=O)[C@H]1CCCO1 ZINC001233620928 887160945 /nfs/dbraw/zinc/16/09/45/887160945.db2.gz OEKDNORDORTKJA-LLVKDONJSA-N 1 2 305.216 1.512 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2ccc3sc(C#N)nc3c2)C[N@@H+]1C ZINC001233706201 887238549 /nfs/dbraw/zinc/23/85/49/887238549.db2.gz PAWZOCWWCJEAKW-PWSUYJOCSA-N 1 2 317.370 1.792 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H](Oc2ccc3sc(C#N)nc3c2)C[N@H+]1C ZINC001233706201 887238566 /nfs/dbraw/zinc/23/85/66/887238566.db2.gz PAWZOCWWCJEAKW-PWSUYJOCSA-N 1 2 317.370 1.792 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)NCC=C ZINC001233761303 887291931 /nfs/dbraw/zinc/29/19/31/887291931.db2.gz ILNUHOIJPAXFMG-HNNXBMFYSA-N 1 2 305.422 1.015 20 30 DDEDLO C#CCCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)NCC=C ZINC001233761303 887291945 /nfs/dbraw/zinc/29/19/45/887291945.db2.gz ILNUHOIJPAXFMG-HNNXBMFYSA-N 1 2 305.422 1.015 20 30 DDEDLO CC(C)(C(=O)N1CCc2c(C#N)c(N)sc2C1)c1c[nH+]c[nH]1 ZINC001363842437 887371650 /nfs/dbraw/zinc/37/16/50/887371650.db2.gz UBNFWPSHJWONNS-UHFFFAOYSA-N 1 2 315.402 1.788 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NCC(C)C ZINC001233948250 887491583 /nfs/dbraw/zinc/49/15/83/887491583.db2.gz UCIUXYNVSNRCKR-CVEARBPZSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NCC(C)C ZINC001233948250 887491591 /nfs/dbraw/zinc/49/15/91/887491591.db2.gz UCIUXYNVSNRCKR-CVEARBPZSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001234214773 887751810 /nfs/dbraw/zinc/75/18/10/887751810.db2.gz DWAYNRNQJFLJQX-IEBWSBKVSA-N 1 2 324.424 1.609 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001234214773 887751824 /nfs/dbraw/zinc/75/18/24/887751824.db2.gz DWAYNRNQJFLJQX-IEBWSBKVSA-N 1 2 324.424 1.609 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cccnc1 ZINC001234214536 887752651 /nfs/dbraw/zinc/75/26/51/887752651.db2.gz CGVVDXMZJNIZQX-GDBMZVCRSA-N 1 2 301.390 1.153 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cccnc1 ZINC001234214536 887752670 /nfs/dbraw/zinc/75/26/70/887752670.db2.gz CGVVDXMZJNIZQX-GDBMZVCRSA-N 1 2 301.390 1.153 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CCNC(=O)C1CC1)C(=O)C#CC(C)(C)C ZINC001234216532 887761439 /nfs/dbraw/zinc/76/14/39/887761439.db2.gz YVLMIKDDGOPEMN-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CCNC(=O)C1CC1)C(=O)C#CC(C)(C)C ZINC001234216532 887761454 /nfs/dbraw/zinc/76/14/54/887761454.db2.gz YVLMIKDDGOPEMN-HNNXBMFYSA-N 1 2 319.449 1.095 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)C(C)C ZINC001234537839 888066919 /nfs/dbraw/zinc/06/69/19/888066919.db2.gz RRSDPGCCWGMWFF-HNNXBMFYSA-N 1 2 323.481 1.988 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)C(C)C ZINC001234537839 888066940 /nfs/dbraw/zinc/06/69/40/888066940.db2.gz RRSDPGCCWGMWFF-HNNXBMFYSA-N 1 2 323.481 1.988 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N1CCCCC1 ZINC001234595554 888131135 /nfs/dbraw/zinc/13/11/35/888131135.db2.gz ULSQAUQZXAKNRR-MRXNPFEDSA-N 1 2 321.465 1.888 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N1CCCCC1 ZINC001234595554 888131139 /nfs/dbraw/zinc/13/11/39/888131139.db2.gz ULSQAUQZXAKNRR-MRXNPFEDSA-N 1 2 321.465 1.888 20 30 DDEDLO N#CCC[N@H+]1CC[C@H]2[C@@H]1CCN2C(=O)Cn1cc(Cl)cn1 ZINC001277934350 888519807 /nfs/dbraw/zinc/51/98/07/888519807.db2.gz TVUMGUHAXXPAOW-STQMWFEESA-N 1 2 307.785 1.125 20 30 DDEDLO N#CCC[N@@H+]1CC[C@H]2[C@@H]1CCN2C(=O)Cn1cc(Cl)cn1 ZINC001277934350 888519818 /nfs/dbraw/zinc/51/98/18/888519818.db2.gz TVUMGUHAXXPAOW-STQMWFEESA-N 1 2 307.785 1.125 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCc2c(C#N)c(N)sc2C1 ZINC001364391814 888524614 /nfs/dbraw/zinc/52/46/14/888524614.db2.gz AIGKMBJGHJTCSD-UHFFFAOYSA-N 1 2 315.402 1.453 20 30 DDEDLO Cc1nnc2n1CC[N@@H+](CCC(=O)Nc1ccccc1C#N)[C@H]2C ZINC001364542182 888888993 /nfs/dbraw/zinc/88/89/93/888888993.db2.gz XPWWWOKQXWKBQM-LBPRGKRZSA-N 1 2 324.388 1.864 20 30 DDEDLO Cc1nnc2n1CC[N@H+](CCC(=O)Nc1ccccc1C#N)[C@H]2C ZINC001364542182 888889001 /nfs/dbraw/zinc/88/90/01/888889001.db2.gz XPWWWOKQXWKBQM-LBPRGKRZSA-N 1 2 324.388 1.864 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)[C@H](C)c1nc(C2CC2)no1 ZINC001235698165 888890879 /nfs/dbraw/zinc/89/08/79/888890879.db2.gz JDXJZHJOQSPKPW-GFCCVEGCSA-N 1 2 322.409 1.649 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)[C@H](C)c1nc(C2CC2)no1 ZINC001235698165 888890895 /nfs/dbraw/zinc/89/08/95/888890895.db2.gz JDXJZHJOQSPKPW-GFCCVEGCSA-N 1 2 322.409 1.649 20 30 DDEDLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCc2c(C#N)c(N)sc2C1 ZINC001365122044 890145408 /nfs/dbraw/zinc/14/54/08/890145408.db2.gz VYKGJWJWIUIUEC-SECBINFHSA-N 1 2 315.402 1.689 20 30 DDEDLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCc2c(C#N)c(N)sc2C1 ZINC001365122044 890145417 /nfs/dbraw/zinc/14/54/17/890145417.db2.gz VYKGJWJWIUIUEC-SECBINFHSA-N 1 2 315.402 1.689 20 30 DDEDLO CCCCCCCCCCS(=O)(=O)N1CC[NH2+][C@@H](CO)C1 ZINC001239088256 890492639 /nfs/dbraw/zinc/49/26/39/890492639.db2.gz RVMMQEPICWTLMZ-OAHLLOKOSA-N 1 2 320.499 1.723 20 30 DDEDLO N#Cc1ccc(C[NH+]2CC3(C[C@@H]3C(=O)N3CC=CC3)C2)c(F)c1 ZINC001278305790 890781328 /nfs/dbraw/zinc/78/13/28/890781328.db2.gz LIEODFLDGJDOHG-OAHLLOKOSA-N 1 2 311.360 1.918 20 30 DDEDLO C[NH+]1CCN(C(=O)c2ccnc(-c3ccc(C#N)nc3)c2)CC1 ZINC001241411934 891158348 /nfs/dbraw/zinc/15/83/48/891158348.db2.gz GYWJYITVJDKTIM-UHFFFAOYSA-N 1 2 307.357 1.403 20 30 DDEDLO C=CCCC(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C2 ZINC001292017048 913600497 /nfs/dbraw/zinc/60/04/97/913600497.db2.gz GGFQKPMEJSMWBW-RDBSUJKOSA-N 1 2 302.378 1.167 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@]1(C)CC[N@H+](Cc2ncc(C)o2)C1 ZINC001278387626 891897702 /nfs/dbraw/zinc/89/77/02/891897702.db2.gz CTXIWLHRFQQRGB-CJNGLKHVSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@]1(C)CC[N@@H+](Cc2ncc(C)o2)C1 ZINC001278387626 891897710 /nfs/dbraw/zinc/89/77/10/891897710.db2.gz CTXIWLHRFQQRGB-CJNGLKHVSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCCCC(=O)N[C@]1(C)CC[N@@H+](Cc2ccn(CC)n2)C1 ZINC001278388408 891920236 /nfs/dbraw/zinc/92/02/36/891920236.db2.gz KSXLRAVANTVZMY-QGZVFWFLSA-N 1 2 302.422 1.787 20 30 DDEDLO C#CCCCC(=O)N[C@]1(C)CC[N@H+](Cc2ccn(CC)n2)C1 ZINC001278388408 891920246 /nfs/dbraw/zinc/92/02/46/891920246.db2.gz KSXLRAVANTVZMY-QGZVFWFLSA-N 1 2 302.422 1.787 20 30 DDEDLO N#Cc1ccccc1C[N@@H+](CCNC(=O)Cn1cccn1)C1CC1 ZINC001366735172 894757825 /nfs/dbraw/zinc/75/78/25/894757825.db2.gz QFADUNJAYVUKNR-UHFFFAOYSA-N 1 2 323.400 1.536 20 30 DDEDLO N#Cc1ccccc1C[N@H+](CCNC(=O)Cn1cccn1)C1CC1 ZINC001366735172 894757840 /nfs/dbraw/zinc/75/78/40/894757840.db2.gz QFADUNJAYVUKNR-UHFFFAOYSA-N 1 2 323.400 1.536 20 30 DDEDLO C=CCOC[C@@H](O)CN1CC[C@@H]([NH+]2CCCCC2)C(F)(F)C1 ZINC001252471037 895184958 /nfs/dbraw/zinc/18/49/58/895184958.db2.gz BATRJIHLVNGPSL-LSDHHAIUSA-N 1 2 318.408 1.745 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+](C)Cc2cc3ccccc3[nH]2)CC1 ZINC001252732479 895426521 /nfs/dbraw/zinc/42/65/21/895426521.db2.gz HLBXUOWCIJLEEH-UHFFFAOYSA-N 1 2 324.428 1.377 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+](C)Cc2cc3ccccc3[nH]2)CC1 ZINC001252732479 895426529 /nfs/dbraw/zinc/42/65/29/895426529.db2.gz HLBXUOWCIJLEEH-UHFFFAOYSA-N 1 2 324.428 1.377 20 30 DDEDLO CC(C)(C)OC(=O)N1CCCC[C@H](N2CC[NH2+]C[C@@H]2C#N)C1 ZINC001254199937 896292875 /nfs/dbraw/zinc/29/28/75/896292875.db2.gz VRAGRRXPFPWNCO-KBPBESRZSA-N 1 2 308.426 1.573 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001278800374 896543131 /nfs/dbraw/zinc/54/31/31/896543131.db2.gz MSXASHYINISCRQ-RHSMWYFYSA-N 1 2 318.421 1.447 20 30 DDEDLO C=CCCCC(=O)NC[C@]1(O)CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001278800374 896543137 /nfs/dbraw/zinc/54/31/37/896543137.db2.gz MSXASHYINISCRQ-RHSMWYFYSA-N 1 2 318.421 1.447 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]2C[N@H+]([C@@H]3CC[C@H](C#N)C3)C[C@H](C1)O2 ZINC001254658624 896553942 /nfs/dbraw/zinc/55/39/42/896553942.db2.gz JHSNHGPQDPDWIL-LJISPDSOSA-N 1 2 321.421 1.999 20 30 DDEDLO CC(C)(C)OC(=O)N1C[C@@H]2C[N@@H+]([C@@H]3CC[C@H](C#N)C3)C[C@H](C1)O2 ZINC001254658624 896553950 /nfs/dbraw/zinc/55/39/50/896553950.db2.gz JHSNHGPQDPDWIL-LJISPDSOSA-N 1 2 321.421 1.999 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1ccoc1CC(N)=O ZINC001367839618 897979995 /nfs/dbraw/zinc/97/99/95/897979995.db2.gz YLEWXQZDLGBFNM-SNVBAGLBSA-N 1 2 313.785 1.110 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1ccoc1CC(N)=O ZINC001367839618 897980009 /nfs/dbraw/zinc/98/00/09/897980009.db2.gz YLEWXQZDLGBFNM-SNVBAGLBSA-N 1 2 313.785 1.110 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[N@@H+]3CC[C@@H]3C2)c(Cl)c1 ZINC001259112295 898473195 /nfs/dbraw/zinc/47/31/95/898473195.db2.gz YGPXODZJKYWHSH-LLVKDONJSA-N 1 2 311.794 1.290 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[N@H+]3CC[C@@H]3C2)c(Cl)c1 ZINC001259112295 898473204 /nfs/dbraw/zinc/47/32/04/898473204.db2.gz YGPXODZJKYWHSH-LLVKDONJSA-N 1 2 311.794 1.290 20 30 DDEDLO C=CCS(=O)(=O)NCC1([NH+]2CCOCC2)CCCCC1 ZINC001259932592 898947965 /nfs/dbraw/zinc/94/79/65/898947965.db2.gz PBCHLEBPRZLYKQ-UHFFFAOYSA-N 1 2 302.440 1.127 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)c2cc(-n3cc[nH+]c3)ccc2O)C1 ZINC001261909829 899821590 /nfs/dbraw/zinc/82/15/90/899821590.db2.gz HDVMPAKBOSNPGU-AWEZNQCLSA-N 1 2 313.357 1.995 20 30 DDEDLO C=CCOc1cccc(C[NH2+][C@H](Cc2c[nH+]c[nH]2)C(=O)[O-])c1 ZINC001262164110 899995079 /nfs/dbraw/zinc/99/50/79/899995079.db2.gz VUUQFFLPBJBWPF-OAHLLOKOSA-N 1 2 301.346 1.760 20 30 DDEDLO C#C[C@@H](NC(=O)NCc1cc[nH+]c(NCC)c1)[C@@H]1CCCO1 ZINC001263725245 900684759 /nfs/dbraw/zinc/68/47/59/900684759.db2.gz YQKNJEOSWIZALC-KGLIPLIRSA-N 1 2 302.378 1.493 20 30 DDEDLO C=CC1CCN(C(=O)NCC[N@@H+]2CCC3(C2)OCCO3)CC1 ZINC001263749358 900690835 /nfs/dbraw/zinc/69/08/35/900690835.db2.gz ZQWMZZMFLWXOFQ-UHFFFAOYSA-N 1 2 309.410 1.043 20 30 DDEDLO C=CC1CCN(C(=O)NCC[N@H+]2CCC3(C2)OCCO3)CC1 ZINC001263749358 900690844 /nfs/dbraw/zinc/69/08/44/900690844.db2.gz ZQWMZZMFLWXOFQ-UHFFFAOYSA-N 1 2 309.410 1.043 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(C)(C)C#N)n2CC2CC2)CC1 ZINC001263742040 900692136 /nfs/dbraw/zinc/69/21/36/900692136.db2.gz GRZFKTVPVNALHR-UHFFFAOYSA-N 1 2 312.421 1.244 20 30 DDEDLO CC1(C)C[C@H](NC(=O)CCn2cc[nH+]c2)CCN(CC#N)C1 ZINC001264753236 901333886 /nfs/dbraw/zinc/33/38/86/901333886.db2.gz DJYDKKZXQFLUPI-CQSZACIVSA-N 1 2 303.410 1.404 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1[C@H](C)[NH2+]Cc1cnsn1 ZINC001265080259 901532882 /nfs/dbraw/zinc/53/28/82/901532882.db2.gz PHGRPAQEJLMPMP-GXTWGEPZSA-N 1 2 324.450 1.600 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001293569903 914560724 /nfs/dbraw/zinc/56/07/24/914560724.db2.gz PGFNOYDRYKHOAI-CQSZACIVSA-N 1 2 316.405 1.438 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[N@H+](CC(=O)N2CCC[C@@H](C)C2)C1 ZINC001265280491 901814985 /nfs/dbraw/zinc/81/49/85/901814985.db2.gz CEYLYTHTMGWIHX-HZPDHXFCSA-N 1 2 319.449 1.095 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1CC[N@@H+](CC(=O)N2CCC[C@@H](C)C2)C1 ZINC001265280491 901814995 /nfs/dbraw/zinc/81/49/95/901814995.db2.gz CEYLYTHTMGWIHX-HZPDHXFCSA-N 1 2 319.449 1.095 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@H](NC(=O)C#CC3CC3)C2)sc1C ZINC001265283438 901820369 /nfs/dbraw/zinc/82/03/69/901820369.db2.gz FMEINNOVGRUBNO-AWEZNQCLSA-N 1 2 303.431 1.864 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@H](NC(=O)C#CC3CC3)C2)sc1C ZINC001265283438 901820377 /nfs/dbraw/zinc/82/03/77/901820377.db2.gz FMEINNOVGRUBNO-AWEZNQCLSA-N 1 2 303.431 1.864 20 30 DDEDLO CC[C@H](F)C(=O)NCC1C[NH+](Cc2ccc(C#N)c(F)c2)C1 ZINC001391494128 901845064 /nfs/dbraw/zinc/84/50/64/901845064.db2.gz QEQZVKGVNDVQHV-AWEZNQCLSA-N 1 2 307.344 1.993 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)C[C@@](C)(O)C2CC2)C1 ZINC001391610630 902139168 /nfs/dbraw/zinc/13/91/68/902139168.db2.gz BDBDLITUOTVYKS-UKRRQHHQSA-N 1 2 300.830 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)C[C@@](C)(O)C2CC2)C1 ZINC001391610630 902139178 /nfs/dbraw/zinc/13/91/78/902139178.db2.gz BDBDLITUOTVYKS-UKRRQHHQSA-N 1 2 300.830 1.823 20 30 DDEDLO N#CCSCC(=O)NC[C@@H]1CCC[N@H+](Cc2cscn2)C1 ZINC001265575133 902144551 /nfs/dbraw/zinc/14/45/51/902144551.db2.gz CBSALEJCPSCYKH-LBPRGKRZSA-N 1 2 324.475 1.728 20 30 DDEDLO N#CCSCC(=O)NC[C@@H]1CCC[N@@H+](Cc2cscn2)C1 ZINC001265575133 902144556 /nfs/dbraw/zinc/14/45/56/902144556.db2.gz CBSALEJCPSCYKH-LBPRGKRZSA-N 1 2 324.475 1.728 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCCC[N@H+]1Cc1cnnn1C ZINC001265643494 902238570 /nfs/dbraw/zinc/23/85/70/902238570.db2.gz NALZTVVJPGPFOP-OAHLLOKOSA-N 1 2 317.437 1.479 20 30 DDEDLO C#CCCCCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1cnnn1C ZINC001265643494 902238582 /nfs/dbraw/zinc/23/85/82/902238582.db2.gz NALZTVVJPGPFOP-OAHLLOKOSA-N 1 2 317.437 1.479 20 30 DDEDLO CC1(C)CC[C@@H]1C(=O)NC[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC001265651689 902256427 /nfs/dbraw/zinc/25/64/27/902256427.db2.gz NQIIOOIXJSHRLL-UONOGXRCSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C)CC[C@@H]1C(=O)NC[C@@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC001265651689 902256437 /nfs/dbraw/zinc/25/64/37/902256437.db2.gz NQIIOOIXJSHRLL-UONOGXRCSA-N 1 2 320.437 1.033 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@H]1CCC[N@H+](Cc2ncnn2C)C1 ZINC001265706403 902321264 /nfs/dbraw/zinc/32/12/64/902321264.db2.gz VMIWNPZWVMNFLN-CABCVRRESA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCCC(=O)N[C@H](C)[C@H]1CCC[N@@H+](Cc2ncnn2C)C1 ZINC001265706403 902321270 /nfs/dbraw/zinc/32/12/70/902321270.db2.gz VMIWNPZWVMNFLN-CABCVRRESA-N 1 2 319.453 1.888 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001280399763 903635802 /nfs/dbraw/zinc/63/58/02/903635802.db2.gz QSESFEADYSAWGA-CQSZACIVSA-N 1 2 302.378 1.283 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001280399763 903635815 /nfs/dbraw/zinc/63/58/15/903635815.db2.gz QSESFEADYSAWGA-CQSZACIVSA-N 1 2 302.378 1.283 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H](C)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001280983237 904272926 /nfs/dbraw/zinc/27/29/26/904272926.db2.gz GOOLQGCMFALGDZ-ZIAGYGMSSA-N 1 2 318.421 1.375 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](O)C(C)C ZINC001281063777 904367585 /nfs/dbraw/zinc/36/75/85/904367585.db2.gz SSNFUNUZJMSEDH-IAGOWNOFSA-N 1 2 300.402 1.180 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](O)C(C)C ZINC001281063777 904367601 /nfs/dbraw/zinc/36/76/01/904367601.db2.gz SSNFUNUZJMSEDH-IAGOWNOFSA-N 1 2 300.402 1.180 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H](C)N(C(=O)CCc2[nH+]ccn2C)C1 ZINC001281177884 904533594 /nfs/dbraw/zinc/53/35/94/904533594.db2.gz UKPLAFJDPQOWTL-UONOGXRCSA-N 1 2 318.421 1.425 20 30 DDEDLO Cc1ccc(NC(=O)C[N@@H+](C)C[C@H](C)NC(=O)[C@@H](C)C#N)cc1 ZINC001392635209 904678260 /nfs/dbraw/zinc/67/82/60/904678260.db2.gz BYSGKWVVYQUNDU-KBPBESRZSA-N 1 2 316.405 1.530 20 30 DDEDLO Cc1ccc(NC(=O)C[N@H+](C)C[C@H](C)NC(=O)[C@@H](C)C#N)cc1 ZINC001392635209 904678267 /nfs/dbraw/zinc/67/82/67/904678267.db2.gz BYSGKWVVYQUNDU-KBPBESRZSA-N 1 2 316.405 1.530 20 30 DDEDLO C=CCCC(=O)N1C[C@H]([NH2+]Cc2nc(CC3CC3)no2)[C@@H](C)C1 ZINC001281387185 904771381 /nfs/dbraw/zinc/77/13/81/904771381.db2.gz HIOYEOMJTAIBSY-JSGCOSHPSA-N 1 2 318.421 1.925 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](OCC)c1ccccc1 ZINC001316611211 904901269 /nfs/dbraw/zinc/90/12/69/904901269.db2.gz OIVGVIWFUBWRRJ-SJORKVTESA-N 1 2 300.402 1.930 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](OCC)c1ccccc1 ZINC001316611211 904901276 /nfs/dbraw/zinc/90/12/76/904901276.db2.gz OIVGVIWFUBWRRJ-SJORKVTESA-N 1 2 300.402 1.930 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N(CC)[C@H](C)CNC(=O)Cc1c[nH]c[nH+]1 ZINC001281496131 904937857 /nfs/dbraw/zinc/93/78/57/904937857.db2.gz QYVRXRXOAUKNSI-ZIAGYGMSSA-N 1 2 320.437 1.908 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H](C)CCCCNC(=O)[C@@H](C)C#N ZINC001281573723 905050920 /nfs/dbraw/zinc/05/09/20/905050920.db2.gz GFLUHUUJPCVYHW-RYUDHWBXSA-N 1 2 319.409 1.212 20 30 DDEDLO C=CCCCC(=O)NC1(CO)CC[NH+](Cc2nccs2)CC1 ZINC001281816661 905239784 /nfs/dbraw/zinc/23/97/84/905239784.db2.gz KTGRMMWTPGWWFX-UHFFFAOYSA-N 1 2 323.462 1.943 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2ncccn2)CC1(C)C ZINC001282791995 906041877 /nfs/dbraw/zinc/04/18/77/906041877.db2.gz RUOFVCNGRWACDY-ZIAGYGMSSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2ncccn2)CC1(C)C ZINC001282791995 906041897 /nfs/dbraw/zinc/04/18/97/906041897.db2.gz RUOFVCNGRWACDY-ZIAGYGMSSA-N 1 2 318.421 1.567 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1([NH2+]Cc2nc(CC3CC3)no2)CCC1 ZINC001393533364 907245545 /nfs/dbraw/zinc/24/55/45/907245545.db2.gz GPWLCVORSSBEBY-LLVKDONJSA-N 1 2 317.393 1.310 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001283865305 908096653 /nfs/dbraw/zinc/09/66/53/908096653.db2.gz XLRSLXGVUQVNES-GJZGRUSLSA-N 1 2 318.421 1.908 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001283872082 908109947 /nfs/dbraw/zinc/10/99/47/908109947.db2.gz QUHRZSZMKZWQJI-OAHLLOKOSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001283872082 908109954 /nfs/dbraw/zinc/10/99/54/908109954.db2.gz QUHRZSZMKZWQJI-OAHLLOKOSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)(C)C(C)C)[C@H]1C ZINC001284127867 908518970 /nfs/dbraw/zinc/51/89/70/908518970.db2.gz GGVUIMDEYCQMMO-HUUCEWRRSA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)C(C)C)[C@H]1C ZINC001284127867 908518978 /nfs/dbraw/zinc/51/89/78/908518978.db2.gz GGVUIMDEYCQMMO-HUUCEWRRSA-N 1 2 321.465 1.387 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@H+](Cc2cc(C)on2)[C@@H]1C ZINC001284131093 908525944 /nfs/dbraw/zinc/52/59/44/908525944.db2.gz ZANVEHFLJZIOFV-GDBMZVCRSA-N 1 2 319.405 1.492 20 30 DDEDLO C#CCOCCC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C)on2)[C@@H]1C ZINC001284131093 908525956 /nfs/dbraw/zinc/52/59/56/908525956.db2.gz ZANVEHFLJZIOFV-GDBMZVCRSA-N 1 2 319.405 1.492 20 30 DDEDLO C=CCCC(=O)NC[C@H](C)NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001284204941 908643512 /nfs/dbraw/zinc/64/35/12/908643512.db2.gz AZKVAVGZAWUNLE-ZDUSSCGKSA-N 1 2 318.421 1.349 20 30 DDEDLO C=CCC1(C(=O)N[C@@H](C)CNC(=O)Cc2[nH]cc[nH+]2)CCCC1 ZINC001284316608 908819107 /nfs/dbraw/zinc/81/91/07/908819107.db2.gz MSTWNVDJCFGPAS-ZDUSSCGKSA-N 1 2 318.421 1.710 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001284327132 908832885 /nfs/dbraw/zinc/83/28/85/908832885.db2.gz WVHGZDAFRRNQTK-KGLIPLIRSA-N 1 2 318.421 1.811 20 30 DDEDLO CC#CCCCC(=O)N(CCNC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001284740411 909424712 /nfs/dbraw/zinc/42/47/12/909424712.db2.gz DEJSJRRBCOLKFI-UHFFFAOYSA-N 1 2 316.405 1.184 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)N(CCNC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001284753560 909444884 /nfs/dbraw/zinc/44/48/84/909444884.db2.gz OMSPXZHJAVIFRA-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@H](C)NC(=O)Cc1c[nH+]ccc1OC ZINC001285322837 910357243 /nfs/dbraw/zinc/35/72/43/910357243.db2.gz UNAKITXOSVVGKJ-STQMWFEESA-N 1 2 319.405 1.608 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H](C)[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001285391758 910456192 /nfs/dbraw/zinc/45/61/92/910456192.db2.gz NLIRPVDPXORCEY-NEPJUHHUSA-N 1 2 304.394 1.318 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1CCC[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001285402540 910471584 /nfs/dbraw/zinc/47/15/84/910471584.db2.gz IYEZTODQSWILHK-ZFWWWQNUSA-N 1 2 316.405 1.013 20 30 DDEDLO CC(C)CNC(=O)C[N@H+](C)CCN(C(=O)[C@@H](C)C#N)C(C)C ZINC001394801841 910595756 /nfs/dbraw/zinc/59/57/56/910595756.db2.gz ODYOHZZCFHEEKK-AWEZNQCLSA-N 1 2 310.442 1.087 20 30 DDEDLO CC(C)CNC(=O)C[N@@H+](C)CCN(C(=O)[C@@H](C)C#N)C(C)C ZINC001394801841 910595769 /nfs/dbraw/zinc/59/57/69/910595769.db2.gz ODYOHZZCFHEEKK-AWEZNQCLSA-N 1 2 310.442 1.087 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@H](C)CNC(=O)C#CC(C)(C)C ZINC001285669267 911007062 /nfs/dbraw/zinc/00/70/62/911007062.db2.gz GJGHWXLQKFHXLI-GFCCVEGCSA-N 1 2 318.421 1.273 20 30 DDEDLO CC[C@H](CNC(=O)c1[nH]ncc1F)[NH2+]Cc1cccc(C#N)c1 ZINC001373796369 911020528 /nfs/dbraw/zinc/02/05/28/911020528.db2.gz ZIKNCBRJMNNOHH-CYBMUJFWSA-N 1 2 315.352 1.719 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001285733936 911123866 /nfs/dbraw/zinc/12/38/66/911123866.db2.gz BHTRYVYPIBLELY-OLZOCXBDSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001285733936 911123873 /nfs/dbraw/zinc/12/38/73/911123873.db2.gz BHTRYVYPIBLELY-OLZOCXBDSA-N 1 2 320.437 1.812 20 30 DDEDLO C=CCC(C)(C)C(=O)N(C)C1CN(C(=O)Cn2cc[nH+]c2)C1 ZINC001286012463 911565844 /nfs/dbraw/zinc/56/58/44/911565844.db2.gz FGUMKAOREVMHPC-UHFFFAOYSA-N 1 2 304.394 1.155 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@@](C)(NC(=O)C#CC(C)C)C1 ZINC001286090598 911680427 /nfs/dbraw/zinc/68/04/27/911680427.db2.gz XDJDATNXENSVHB-QGZVFWFLSA-N 1 2 316.405 1.027 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC([C@@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001286202730 911822625 /nfs/dbraw/zinc/82/26/25/911822625.db2.gz LPRWHATXEWBJCZ-KGLIPLIRSA-N 1 2 318.421 1.449 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H](NC(=O)Cc2[nH]cc[nH+]2)C(C)(C)C1 ZINC001286237335 911868992 /nfs/dbraw/zinc/86/89/92/911868992.db2.gz PJLSFIQSFVUNHA-ZDUSSCGKSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)CCCNC(=O)Cc1[nH]c[nH+]c1C ZINC001287281736 912157129 /nfs/dbraw/zinc/15/71/29/912157129.db2.gz PABWXDHSQAODFE-GFCCVEGCSA-N 1 2 320.437 1.874 20 30 DDEDLO C=C(C)CCC(=O)N1CCC(N(C)C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001287362118 912204624 /nfs/dbraw/zinc/20/46/24/912204624.db2.gz KNNUXMXSHLJYPU-UHFFFAOYSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N(C)CCCNC(=O)Cc1[nH]cc[nH+]1 ZINC001294268578 915029171 /nfs/dbraw/zinc/02/91/71/915029171.db2.gz ZGZXATRPQRVLCC-ZDUSSCGKSA-N 1 2 306.410 1.519 20 30 DDEDLO C=C(Cl)C[NH2+]Cc1cc(=O)[nH]c(CNC(=O)[C@H]2CC23CC3)n1 ZINC001397167013 915325570 /nfs/dbraw/zinc/32/55/70/915325570.db2.gz FQSWXULYLXLWHH-LLVKDONJSA-N 1 2 322.796 1.441 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@H](NC(=O)CCn3cc[nH+]c3)C2)C1 ZINC001294780250 915359914 /nfs/dbraw/zinc/35/99/14/915359914.db2.gz KGVVULLDICQJJD-AWEZNQCLSA-N 1 2 316.405 1.347 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001295729290 916023664 /nfs/dbraw/zinc/02/36/64/916023664.db2.gz OMQSGBFPMKOPTJ-PBHICJAKSA-N 1 2 318.421 1.450 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)CCNC(=O)Cc1c[nH]c[nH+]1 ZINC001295931377 916132958 /nfs/dbraw/zinc/13/29/58/916132958.db2.gz HXYYAKWKLRQSAP-CYBMUJFWSA-N 1 2 304.394 1.157 20 30 DDEDLO C=CCCC(=O)N(C)CCNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001296106952 916238352 /nfs/dbraw/zinc/23/83/52/916238352.db2.gz USNDSOUYFVVMLI-UHFFFAOYSA-N 1 2 318.421 1.303 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]1CNC(=O)Cn1cc[nH+]c1 ZINC001296442753 916421511 /nfs/dbraw/zinc/42/15/11/916421511.db2.gz HHBXJIJSTXSIDZ-AWEZNQCLSA-N 1 2 304.394 1.347 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCN(C(=O)Cc1[nH]cc[nH+]1)C1CC1 ZINC001296621012 916509473 /nfs/dbraw/zinc/50/94/73/916509473.db2.gz IPSGFCUBWFNCIS-QGZVFWFLSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001376064317 917595547 /nfs/dbraw/zinc/59/55/47/917595547.db2.gz BDHJATIFOCUYLB-ZDUSSCGKSA-N 1 2 315.845 1.482 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001376064317 917595553 /nfs/dbraw/zinc/59/55/53/917595553.db2.gz BDHJATIFOCUYLB-ZDUSSCGKSA-N 1 2 315.845 1.482 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)s1 ZINC001376624245 918899386 /nfs/dbraw/zinc/89/93/86/918899386.db2.gz QBANKAHXYLFZRP-HINXJTCJSA-N 1 2 305.407 1.114 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)s1 ZINC001376624245 918899399 /nfs/dbraw/zinc/89/93/99/918899399.db2.gz QBANKAHXYLFZRP-HINXJTCJSA-N 1 2 305.407 1.114 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@H+](Cc2nnc(C3CC3)s2)C1 ZINC001377436879 921904803 /nfs/dbraw/zinc/90/48/03/921904803.db2.gz KCZWKPCUWUUZHU-GHMZBOCLSA-N 1 2 319.434 1.513 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@@H+](Cc2nnc(C3CC3)s2)C1 ZINC001377436879 921904817 /nfs/dbraw/zinc/90/48/17/921904817.db2.gz KCZWKPCUWUUZHU-GHMZBOCLSA-N 1 2 319.434 1.513 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)c2ccn(C)c2)CC1 ZINC001377549418 922303065 /nfs/dbraw/zinc/30/30/65/922303065.db2.gz GWBMUIKLINRGDY-UHFFFAOYSA-N 1 2 311.813 1.334 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CC[N@@H+](Cc2ccccc2OCC)C[C@@H]1C ZINC000614452404 361862900 /nfs/dbraw/zinc/86/29/00/361862900.db2.gz CKUOBRFMXVLSHP-GOEBONIOSA-N 1 2 318.417 1.665 20 30 DDEDLO C=C[C@@H](O)C(=O)N1CC[N@H+](Cc2ccccc2OCC)C[C@@H]1C ZINC000614452404 361862906 /nfs/dbraw/zinc/86/29/06/361862906.db2.gz CKUOBRFMXVLSHP-GOEBONIOSA-N 1 2 318.417 1.665 20 30 DDEDLO CO[C@@H]1C[N@@H+]([C@@H](C)C(=O)Nc2ccc(C#N)c(Cl)c2)C[C@H]1O ZINC000451754349 231183282 /nfs/dbraw/zinc/18/32/82/231183282.db2.gz CSFSZJHTBJCVES-CUOATXAZSA-N 1 2 323.780 1.230 20 30 DDEDLO CO[C@@H]1C[N@H+]([C@@H](C)C(=O)Nc2ccc(C#N)c(Cl)c2)C[C@H]1O ZINC000451754349 231183285 /nfs/dbraw/zinc/18/32/85/231183285.db2.gz CSFSZJHTBJCVES-CUOATXAZSA-N 1 2 323.780 1.230 20 30 DDEDLO CCc1c(C(=O)NC[C@H]2C[N@H+](C)CCO2)[nH]c(C)c1C(N)=O ZINC000331251664 529470707 /nfs/dbraw/zinc/47/07/07/529470707.db2.gz POWMNASMMHBBLS-JTQLQIEISA-N 1 2 308.382 1.404 20 30 DDEDLO CCc1c(C(=O)NC[C@H]2C[N@@H+](C)CCO2)[nH]c(C)c1C(N)=O ZINC000331251664 529470708 /nfs/dbraw/zinc/47/07/08/529470708.db2.gz POWMNASMMHBBLS-JTQLQIEISA-N 1 2 308.382 1.404 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)c1cccc(C#N)c1 ZINC000451939304 529589554 /nfs/dbraw/zinc/58/95/54/529589554.db2.gz ZPYAJOPLNCATSA-LLVKDONJSA-N 1 2 304.375 1.814 20 30 DDEDLO CCc1ccc(-c2noc([C@@H](C)[NH2+]CC(=O)NCC#N)n2)cc1 ZINC000348537816 529579885 /nfs/dbraw/zinc/57/98/85/529579885.db2.gz YKZKIIABUAEZGT-LLVKDONJSA-N 1 2 313.361 1.589 20 30 DDEDLO CCc1ncc(C[NH+]2CCN(c3ccc(C#N)cn3)CC2)cn1 ZINC000414374036 529754100 /nfs/dbraw/zinc/75/41/00/529754100.db2.gz UPSRTIUQYCARMC-UHFFFAOYSA-N 1 2 308.389 1.628 20 30 DDEDLO CN1CCO[C@H]2C[N@H+](CC(=O)NC(=O)Nc3ccccc3)C[C@H]21 ZINC000329974921 529791011 /nfs/dbraw/zinc/79/10/11/529791011.db2.gz XTSQDCDGEWJLQB-KGLIPLIRSA-N 1 2 318.377 1.203 20 30 DDEDLO CN1CCO[C@H]2C[N@@H+](CC(=O)NC(=O)Nc3ccccc3)C[C@H]21 ZINC000329974921 529791013 /nfs/dbraw/zinc/79/10/13/529791013.db2.gz XTSQDCDGEWJLQB-KGLIPLIRSA-N 1 2 318.377 1.203 20 30 DDEDLO CCC(CC)[C@H](C(=O)N1CC[C@@](F)(C#N)C1)[NH+]1CCOCC1 ZINC000615825051 362455239 /nfs/dbraw/zinc/45/52/39/362455239.db2.gz GVEBEMKYIGQJKT-GDBMZVCRSA-N 1 2 311.401 1.588 20 30 DDEDLO COCCN(CC#N)C(=O)[C@@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000457207032 232014293 /nfs/dbraw/zinc/01/42/93/232014293.db2.gz UEPOHJYRKTZMQN-GFCCVEGCSA-N 1 2 304.394 1.637 20 30 DDEDLO COCCN(CC#N)C(=O)[C@@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000457207032 232014296 /nfs/dbraw/zinc/01/42/96/232014296.db2.gz UEPOHJYRKTZMQN-GFCCVEGCSA-N 1 2 304.394 1.637 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2ccc(C#N)cc2)CC1 ZINC000080489110 192161848 /nfs/dbraw/zinc/16/18/48/192161848.db2.gz AMRXNEGBBIVUCU-UHFFFAOYSA-N 1 2 301.390 1.741 20 30 DDEDLO COCC[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)C1CC1 ZINC000147420359 186039646 /nfs/dbraw/zinc/03/96/46/186039646.db2.gz LYJCXOXDXDMAFA-UHFFFAOYSA-N 1 2 308.403 1.443 20 30 DDEDLO COCC[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)C1CC1 ZINC000147420359 186039647 /nfs/dbraw/zinc/03/96/47/186039647.db2.gz LYJCXOXDXDMAFA-UHFFFAOYSA-N 1 2 308.403 1.443 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCC[C@H](CO)C2)cc1 ZINC000147730961 186041522 /nfs/dbraw/zinc/04/15/22/186041522.db2.gz GYKDIYLMQSUTIQ-AWEZNQCLSA-N 1 2 308.403 1.036 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCC[C@H](CO)C2)cc1 ZINC000147730961 186041524 /nfs/dbraw/zinc/04/15/24/186041524.db2.gz GYKDIYLMQSUTIQ-AWEZNQCLSA-N 1 2 308.403 1.036 20 30 DDEDLO Cc1nnc(N2CC[NH+](CCc3cnccn3)CC2)c(C#N)c1C ZINC000601253031 358464600 /nfs/dbraw/zinc/46/46/00/358464600.db2.gz BACOTDKMSFNJMW-UHFFFAOYSA-N 1 2 323.404 1.120 20 30 DDEDLO CC(C)(CNC(=O)CNc1ccc(C#N)cc1)[NH+]1CCOCC1 ZINC000042470519 352337969 /nfs/dbraw/zinc/33/79/69/352337969.db2.gz HFQAMTUFBKEFNJ-UHFFFAOYSA-N 1 2 316.405 1.197 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[NH+]1CCN(CC(F)(F)F)CC1 ZINC000042566230 352343933 /nfs/dbraw/zinc/34/39/33/352343933.db2.gz PGYLCIZYUZTJOO-ZDUSSCGKSA-N 1 2 321.387 1.979 20 30 DDEDLO COC(=O)C[C@@H]1CCCC[N@@H+]1CC(=O)Nc1sccc1C#N ZINC000053616199 352662318 /nfs/dbraw/zinc/66/23/18/352662318.db2.gz BCDWQCKJCLDGQZ-LBPRGKRZSA-N 1 2 321.402 1.976 20 30 DDEDLO COC(=O)C[C@@H]1CCCC[N@H+]1CC(=O)Nc1sccc1C#N ZINC000053616199 352662322 /nfs/dbraw/zinc/66/23/22/352662322.db2.gz BCDWQCKJCLDGQZ-LBPRGKRZSA-N 1 2 321.402 1.976 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)/C=C/c1cccnc1 ZINC000491419813 234163763 /nfs/dbraw/zinc/16/37/63/234163763.db2.gz QXRGXJMZOSIZIR-SNAWJCMRSA-N 1 2 314.389 1.169 20 30 DDEDLO COc1ccc(CNC(=O)[C@H](C)[N@H+](C)C[C@H](C)C#N)cc1OC ZINC000064796365 352944347 /nfs/dbraw/zinc/94/43/47/352944347.db2.gz WHBQQHBOBBJZBV-OLZOCXBDSA-N 1 2 319.405 1.800 20 30 DDEDLO COc1ccc(CNC(=O)[C@H](C)[N@@H+](C)C[C@H](C)C#N)cc1OC ZINC000064796365 352944351 /nfs/dbraw/zinc/94/43/51/352944351.db2.gz WHBQQHBOBBJZBV-OLZOCXBDSA-N 1 2 319.405 1.800 20 30 DDEDLO C[C@@H]1CC[N@H+](C[C@@H](O)COc2ccc(C#N)cc2)CC[S@]1=O ZINC000277105635 213296254 /nfs/dbraw/zinc/29/62/54/213296254.db2.gz GUYJEKUSOCCGMI-DZKLMBRESA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[N@@H+](C[C@@H](O)COc2ccc(C#N)cc2)CC[S@]1=O ZINC000277105635 213296256 /nfs/dbraw/zinc/29/62/56/213296256.db2.gz GUYJEKUSOCCGMI-DZKLMBRESA-N 1 2 322.430 1.141 20 30 DDEDLO CNS(=O)(=O)CCC[N@@H+]1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000076153704 353401845 /nfs/dbraw/zinc/40/18/45/353401845.db2.gz UFCOPLKPGZKMBO-HOTGVXAUSA-N 1 2 321.446 1.697 20 30 DDEDLO CNS(=O)(=O)CCC[N@H+]1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000076153704 353401846 /nfs/dbraw/zinc/40/18/46/353401846.db2.gz UFCOPLKPGZKMBO-HOTGVXAUSA-N 1 2 321.446 1.697 20 30 DDEDLO C=CCNC(=O)C[N@@H+](CC(N)=O)[C@H](C)c1ccc(CCC)cc1 ZINC000077375863 353466274 /nfs/dbraw/zinc/46/62/74/353466274.db2.gz FMVARRXKFDIHKO-CQSZACIVSA-N 1 2 317.433 1.790 20 30 DDEDLO C=CCNC(=O)C[N@H+](CC(N)=O)[C@H](C)c1ccc(CCC)cc1 ZINC000077375863 353466275 /nfs/dbraw/zinc/46/62/75/353466275.db2.gz FMVARRXKFDIHKO-CQSZACIVSA-N 1 2 317.433 1.790 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)C(=O)Nc1ccc(F)c(C#N)c1 ZINC000077539234 353474903 /nfs/dbraw/zinc/47/49/03/353474903.db2.gz BOCURJXDQOOXDJ-SNVBAGLBSA-N 1 2 315.308 1.037 20 30 DDEDLO N#Cc1csc(C(=O)N2CC[NH+]([C@@H]3CCSC3)CC2)c1 ZINC000087493858 353751422 /nfs/dbraw/zinc/75/14/22/353751422.db2.gz HKWGWSQNRGSNRX-GFCCVEGCSA-N 1 2 307.444 1.883 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3cccs3)CC2)nc1 ZINC000107538602 353945454 /nfs/dbraw/zinc/94/54/54/353945454.db2.gz BFADWZDXOFFDRC-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO COCc1nc2cc(NC[C@@H](O)C[N@H+](C)CCC#N)ccc2o1 ZINC000563004822 354695992 /nfs/dbraw/zinc/69/59/92/354695992.db2.gz MKEKRNWUQBJOND-CYBMUJFWSA-N 1 2 318.377 1.592 20 30 DDEDLO COCc1nc2cc(NC[C@@H](O)C[N@@H+](C)CCC#N)ccc2o1 ZINC000563004822 354695994 /nfs/dbraw/zinc/69/59/94/354695994.db2.gz MKEKRNWUQBJOND-CYBMUJFWSA-N 1 2 318.377 1.592 20 30 DDEDLO N#Cc1cc(F)c(C(=O)N2CC[NH+](CCCF)CC2)c(F)c1 ZINC000402882286 354669846 /nfs/dbraw/zinc/66/98/46/354669846.db2.gz ZUVVEMSRPLNAFK-UHFFFAOYSA-N 1 2 311.307 1.954 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2cccc(C#N)n2)C[C@H](C)O1 ZINC000589502994 354996651 /nfs/dbraw/zinc/99/66/51/354996651.db2.gz CIPAYOUHJQATDA-BETUJISGSA-N 1 2 302.378 1.134 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2cccc(C#N)n2)C[C@H](C)O1 ZINC000589502994 354996654 /nfs/dbraw/zinc/99/66/54/354996654.db2.gz CIPAYOUHJQATDA-BETUJISGSA-N 1 2 302.378 1.134 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[C@H]3C[N@H+](C)C[C@@H]32)cc1C#N ZINC000590444226 355098596 /nfs/dbraw/zinc/09/85/96/355098596.db2.gz MTUWIPJQPKFJRY-CABZTGNLSA-N 1 2 318.402 1.157 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)N2CC[C@H]3C[N@@H+](C)C[C@@H]32)cc1C#N ZINC000590444226 355098600 /nfs/dbraw/zinc/09/86/00/355098600.db2.gz MTUWIPJQPKFJRY-CABZTGNLSA-N 1 2 318.402 1.157 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CC[C@@](O)(C(F)F)C2)c1C#N ZINC000590755530 355167256 /nfs/dbraw/zinc/16/72/56/355167256.db2.gz BBYTVKIGFNXNEB-HNNXBMFYSA-N 1 2 310.300 1.547 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CC[C@@](O)(C(F)F)C2)c1C#N ZINC000590755530 355167260 /nfs/dbraw/zinc/16/72/60/355167260.db2.gz BBYTVKIGFNXNEB-HNNXBMFYSA-N 1 2 310.300 1.547 20 30 DDEDLO N#Cc1cc(C[NH+]2CCOCC2)cn(CCCCCF)c1=O ZINC000590597145 355125747 /nfs/dbraw/zinc/12/57/47/355125747.db2.gz XHBSUEGVIUVBQU-UHFFFAOYSA-N 1 2 307.369 1.692 20 30 DDEDLO N#C[C@]1(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)CC12CCCC2 ZINC000590616391 355131388 /nfs/dbraw/zinc/13/13/88/355131388.db2.gz MSPHOJLVHNCYON-QAPCUYQASA-N 1 2 317.433 1.784 20 30 DDEDLO C[C@H](CNS(=O)(=O)c1cccc(CC#N)c1)Cn1cc[nH+]c1 ZINC000591260794 355271815 /nfs/dbraw/zinc/27/18/15/355271815.db2.gz FAIMUHLNMOQGFD-CYBMUJFWSA-N 1 2 318.402 1.564 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@@H+]1Cc1cc(C#N)ccc1F ZINC000591646799 355350228 /nfs/dbraw/zinc/35/02/28/355350228.db2.gz KLGVUYDXBILDBA-INIZCTEOSA-N 1 2 305.353 1.424 20 30 DDEDLO CNC(=O)[C@@]1(COC)CCC[N@H+]1Cc1cc(C#N)ccc1F ZINC000591646799 355350230 /nfs/dbraw/zinc/35/02/30/355350230.db2.gz KLGVUYDXBILDBA-INIZCTEOSA-N 1 2 305.353 1.424 20 30 DDEDLO Cc1cccc2[nH+]c(CCNC(=O)N3CCO[C@@H](C#N)C3)cn21 ZINC000592127470 355506318 /nfs/dbraw/zinc/50/63/18/355506318.db2.gz GVGGLIHXPOQJPY-AWEZNQCLSA-N 1 2 313.361 1.119 20 30 DDEDLO C[N@@H+](Cc1ccncc1C#N)C[C@@H](O)COCc1ccccc1 ZINC000592070856 355483168 /nfs/dbraw/zinc/48/31/68/355483168.db2.gz RLNVZBAZZRQBIQ-GOSISDBHSA-N 1 2 311.385 1.963 20 30 DDEDLO C[N@H+](Cc1ccncc1C#N)C[C@@H](O)COCc1ccccc1 ZINC000592070856 355483169 /nfs/dbraw/zinc/48/31/69/355483169.db2.gz RLNVZBAZZRQBIQ-GOSISDBHSA-N 1 2 311.385 1.963 20 30 DDEDLO COc1ccc(C)cc1NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148324 355514893 /nfs/dbraw/zinc/51/48/93/355514893.db2.gz XNRIMZOZYDTQRC-INIZCTEOSA-N 1 2 303.362 1.293 20 30 DDEDLO COc1ccc(C)cc1NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592148324 355514897 /nfs/dbraw/zinc/51/48/97/355514897.db2.gz XNRIMZOZYDTQRC-INIZCTEOSA-N 1 2 303.362 1.293 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCc3cccc(C(=O)OC)c3C2)C1=O ZINC000592165056 355523041 /nfs/dbraw/zinc/52/30/41/355523041.db2.gz NNMFJEFIXYTOHI-MRXNPFEDSA-N 1 2 314.385 1.618 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCc3cccc(C(=O)OC)c3C2)C1=O ZINC000592165056 355523042 /nfs/dbraw/zinc/52/30/42/355523042.db2.gz NNMFJEFIXYTOHI-MRXNPFEDSA-N 1 2 314.385 1.618 20 30 DDEDLO Cc1cc(C)cc(OCC[NH+]2CCN(C(=O)CC#N)CC2)c1 ZINC000594016856 356089266 /nfs/dbraw/zinc/08/92/66/356089266.db2.gz QKTICRBFEQMUHT-UHFFFAOYSA-N 1 2 301.390 1.740 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)N1Cc2ccc(C#N)cc2C1 ZINC000594209882 356140278 /nfs/dbraw/zinc/14/02/78/356140278.db2.gz YAVZWIRRMJOVQW-ZDUSSCGKSA-N 1 2 314.389 1.304 20 30 DDEDLO C[C@H](CNC(=O)c1ccc(C#N)c(O)c1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594404075 356199154 /nfs/dbraw/zinc/19/91/54/356199154.db2.gz RELPOPDQNDAROZ-UPJWGTAASA-N 1 2 317.389 1.491 20 30 DDEDLO C[C@H](CNC(=O)c1ccc(C#N)c(O)c1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000594404075 356199158 /nfs/dbraw/zinc/19/91/58/356199158.db2.gz RELPOPDQNDAROZ-UPJWGTAASA-N 1 2 317.389 1.491 20 30 DDEDLO N#Cc1cccc(-c2nnc(CN3CCn4c[nH+]cc4C3)o2)c1 ZINC000595496183 356518727 /nfs/dbraw/zinc/51/87/27/356518727.db2.gz XWESYROQJWRDKK-UHFFFAOYSA-N 1 2 306.329 1.821 20 30 DDEDLO N#CC1(CNC(=O)NCC2([NH+]3CCOCC3)CC2)CCCCC1 ZINC000595591059 356565497 /nfs/dbraw/zinc/56/54/97/356565497.db2.gz JJDDLMZRCIJYTF-UHFFFAOYSA-N 1 2 320.437 1.624 20 30 DDEDLO C=CC[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@@H](C)COC ZINC000595638907 356588616 /nfs/dbraw/zinc/58/86/16/356588616.db2.gz OGMPBDIQPLQUDU-AWEZNQCLSA-N 1 2 322.430 1.855 20 30 DDEDLO C=CC[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)[C@@H](C)COC ZINC000595638907 356588618 /nfs/dbraw/zinc/58/86/18/356588618.db2.gz OGMPBDIQPLQUDU-AWEZNQCLSA-N 1 2 322.430 1.855 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CC[C@H]2NC(=O)CC[C@H]2C1 ZINC000595736436 356628183 /nfs/dbraw/zinc/62/81/83/356628183.db2.gz GJHOLNSSBNWASW-NWDGAFQWSA-N 1 2 318.402 1.159 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CC[C@H]2NC(=O)CC[C@H]2C1 ZINC000595736436 356628187 /nfs/dbraw/zinc/62/81/87/356628187.db2.gz GJHOLNSSBNWASW-NWDGAFQWSA-N 1 2 318.402 1.159 20 30 DDEDLO COc1cccc(CN(C)C(=O)C[N@@H+]2CC[C@](C)(C#N)C2)c1 ZINC000595834183 356670445 /nfs/dbraw/zinc/67/04/45/356670445.db2.gz KEHHUHUVOLAAIR-QGZVFWFLSA-N 1 2 301.390 1.889 20 30 DDEDLO COc1cccc(CN(C)C(=O)C[N@H+]2CC[C@](C)(C#N)C2)c1 ZINC000595834183 356670446 /nfs/dbraw/zinc/67/04/46/356670446.db2.gz KEHHUHUVOLAAIR-QGZVFWFLSA-N 1 2 301.390 1.889 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@@H+]2CCc3nccnc3C2)cc1 ZINC000595856424 356679828 /nfs/dbraw/zinc/67/98/28/356679828.db2.gz CCSDAWRPKSSGDG-CQSZACIVSA-N 1 2 310.357 1.146 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@H+]2CCc3nccnc3C2)cc1 ZINC000595856424 356679832 /nfs/dbraw/zinc/67/98/32/356679832.db2.gz CCSDAWRPKSSGDG-CQSZACIVSA-N 1 2 310.357 1.146 20 30 DDEDLO CCc1nc(C#N)cc(N2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)n1 ZINC000596231957 356826324 /nfs/dbraw/zinc/82/63/24/356826324.db2.gz NNFZBXBRFQYIKI-GXTWGEPZSA-N 1 2 301.394 1.210 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])cnc1N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000596247466 356831261 /nfs/dbraw/zinc/83/12/61/356831261.db2.gz PARVKZGPNCKCGU-ZDUSSCGKSA-N 1 2 317.349 1.162 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000596452132 356883933 /nfs/dbraw/zinc/88/39/33/356883933.db2.gz DIBGKMWXAYQBDI-QWHCGFSZSA-N 1 2 302.378 1.789 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)cc2)[C@@H](C)CO1 ZINC000596452132 356883935 /nfs/dbraw/zinc/88/39/35/356883935.db2.gz DIBGKMWXAYQBDI-QWHCGFSZSA-N 1 2 302.378 1.789 20 30 DDEDLO CN1CC[N@H+](Cc2ccccc2)[C@H](CNc2nccnc2C#N)C1 ZINC000596550214 356914174 /nfs/dbraw/zinc/91/41/74/356914174.db2.gz DPGACEITJDLBGE-MRXNPFEDSA-N 1 2 322.416 1.576 20 30 DDEDLO CN1CC[N@@H+](Cc2ccccc2)[C@H](CNc2nccnc2C#N)C1 ZINC000596550214 356914175 /nfs/dbraw/zinc/91/41/75/356914175.db2.gz DPGACEITJDLBGE-MRXNPFEDSA-N 1 2 322.416 1.576 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)NCC1CCC(C#N)CC1 ZINC000596595768 356929598 /nfs/dbraw/zinc/92/95/98/356929598.db2.gz FWJOCXONNQCRQG-QVOMUQBLSA-N 1 2 322.453 1.725 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)NCC1CCC(C#N)CC1 ZINC000596595768 356929601 /nfs/dbraw/zinc/92/96/01/356929601.db2.gz FWJOCXONNQCRQG-QVOMUQBLSA-N 1 2 322.453 1.725 20 30 DDEDLO C[C@H](CC#N)C(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000596679736 356951184 /nfs/dbraw/zinc/95/11/84/356951184.db2.gz LWMHACPRVKCRFV-GDBMZVCRSA-N 1 2 301.390 1.726 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(C3(C#N)CC3)cc2)CCO1 ZINC000596994450 357035704 /nfs/dbraw/zinc/03/57/04/357035704.db2.gz AFXPFACNJMVJLE-HNNXBMFYSA-N 1 2 300.358 1.616 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(C3(C#N)CC3)cc2)CCO1 ZINC000596994450 357035706 /nfs/dbraw/zinc/03/57/06/357035706.db2.gz AFXPFACNJMVJLE-HNNXBMFYSA-N 1 2 300.358 1.616 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CCN1Cc1c[nH+]c2n1CCC2 ZINC000597025831 357043538 /nfs/dbraw/zinc/04/35/38/357043538.db2.gz DSZWHJWQZPHUOW-ZDUSSCGKSA-N 1 2 323.404 1.202 20 30 DDEDLO N#CC1(CC(=O)NCc2nc(C[NH+]3CCCCC3)no2)CC1 ZINC000597621078 357258407 /nfs/dbraw/zinc/25/84/07/357258407.db2.gz FSFOCLPVEBLZJY-UHFFFAOYSA-N 1 2 303.366 1.366 20 30 DDEDLO N#CCC1(CS(=O)(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)CC1 ZINC000329638547 223007040 /nfs/dbraw/zinc/00/70/40/223007040.db2.gz YUMALLYXCZLJOY-GFCCVEGCSA-N 1 2 308.407 1.960 20 30 DDEDLO Cc1cc(NC(=O)[C@H]2CCn3cc(C)[nH+]c3C2)n(CCC#N)n1 ZINC000599145075 357828888 /nfs/dbraw/zinc/82/88/88/357828888.db2.gz OHANTLHJLQTLKC-ZDUSSCGKSA-N 1 2 312.377 1.811 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000599183810 357842524 /nfs/dbraw/zinc/84/25/24/357842524.db2.gz ADQPBJHIWHLGJL-MRXNPFEDSA-N 1 2 309.373 1.083 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000599183810 357842527 /nfs/dbraw/zinc/84/25/27/357842527.db2.gz ADQPBJHIWHLGJL-MRXNPFEDSA-N 1 2 309.373 1.083 20 30 DDEDLO CC(C)OC(=O)[C@@H](C)[N@H+](C)C[C@H](O)COc1ccccc1C#N ZINC000599311216 357887595 /nfs/dbraw/zinc/88/75/95/357887595.db2.gz HRLREOOJCKKOTG-HIFRSBDPSA-N 1 2 320.389 1.570 20 30 DDEDLO CC(C)OC(=O)[C@@H](C)[N@@H+](C)C[C@H](O)COc1ccccc1C#N ZINC000599311216 357887598 /nfs/dbraw/zinc/88/75/98/357887598.db2.gz HRLREOOJCKKOTG-HIFRSBDPSA-N 1 2 320.389 1.570 20 30 DDEDLO CC[C@](C)([NH2+]C[C@H](O)COc1ccccc1C#N)C(=O)OC ZINC000599351729 357905737 /nfs/dbraw/zinc/90/57/37/357905737.db2.gz IHMAJNDRQJWTOI-BBRMVZONSA-N 1 2 306.362 1.229 20 30 DDEDLO Cc1nnc(C[N@H+](C)C[C@H](O)COc2ccccc2C#N)s1 ZINC000599479068 357950956 /nfs/dbraw/zinc/95/09/56/357950956.db2.gz ULPYXRKSXAYPCY-ZDUSSCGKSA-N 1 2 318.402 1.590 20 30 DDEDLO Cc1nnc(C[N@@H+](C)C[C@H](O)COc2ccccc2C#N)s1 ZINC000599479068 357950957 /nfs/dbraw/zinc/95/09/57/357950957.db2.gz ULPYXRKSXAYPCY-ZDUSSCGKSA-N 1 2 318.402 1.590 20 30 DDEDLO COC[C@]1(C)C[N@@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)CCO1 ZINC000599691285 358027119 /nfs/dbraw/zinc/02/71/19/358027119.db2.gz DFZGZGXSJBAWLN-GUYCJALGSA-N 1 2 317.389 1.623 20 30 DDEDLO COC[C@]1(C)C[N@H+]([C@@H](C)C(=O)Nc2cccc(C#N)c2)CCO1 ZINC000599691285 358027121 /nfs/dbraw/zinc/02/71/21/358027121.db2.gz DFZGZGXSJBAWLN-GUYCJALGSA-N 1 2 317.389 1.623 20 30 DDEDLO CCOc1ccc(C#N)cc1NC(=O)NC[C@@H]1COCC[N@@H+]1C ZINC000599726222 358040866 /nfs/dbraw/zinc/04/08/66/358040866.db2.gz CIANYMSQVABSLX-CYBMUJFWSA-N 1 2 318.377 1.409 20 30 DDEDLO CCOc1ccc(C#N)cc1NC(=O)NC[C@@H]1COCC[N@H+]1C ZINC000599726222 358040869 /nfs/dbraw/zinc/04/08/69/358040869.db2.gz CIANYMSQVABSLX-CYBMUJFWSA-N 1 2 318.377 1.409 20 30 DDEDLO Cn1cc(CNc2cnn(CC[NH+]3CCOCC3)c2)cc1C#N ZINC000276653214 213025009 /nfs/dbraw/zinc/02/50/09/213025009.db2.gz UNCVEDDNQXXDIN-UHFFFAOYSA-N 1 2 314.393 1.038 20 30 DDEDLO COC(=O)CC[N@H+](Cc1ccc(C#N)cc1F)CC(OC)OC ZINC000180604487 199183205 /nfs/dbraw/zinc/18/32/05/199183205.db2.gz QYCNWDZQFIWMRB-UHFFFAOYSA-N 1 2 324.352 1.681 20 30 DDEDLO COC(=O)CC[N@@H+](Cc1ccc(C#N)cc1F)CC(OC)OC ZINC000180604487 199183207 /nfs/dbraw/zinc/18/32/07/199183207.db2.gz QYCNWDZQFIWMRB-UHFFFAOYSA-N 1 2 324.352 1.681 20 30 DDEDLO N#CC1(C(=O)NC[C@@H]2C[N@H+](Cc3ccncc3)CCO2)CCC1 ZINC000600685489 358282764 /nfs/dbraw/zinc/28/27/64/358282764.db2.gz VADVWSNOSYUABS-OAHLLOKOSA-N 1 2 314.389 1.092 20 30 DDEDLO N#CC1(C(=O)NC[C@@H]2C[N@@H+](Cc3ccncc3)CCO2)CCC1 ZINC000600685489 358282768 /nfs/dbraw/zinc/28/27/68/358282768.db2.gz VADVWSNOSYUABS-OAHLLOKOSA-N 1 2 314.389 1.092 20 30 DDEDLO N#CC1(C[NH2+]Cc2cn(C[C@@H]3CCOC3)nn2)CCCCC1 ZINC000601133163 358416111 /nfs/dbraw/zinc/41/61/11/358416111.db2.gz VHUOIWQKWKEMKP-AWEZNQCLSA-N 1 2 303.410 1.878 20 30 DDEDLO N#CC1(C[NH2+]Cc2cn(C[C@H]3CCOC3)nn2)CCCCC1 ZINC000601133164 358416337 /nfs/dbraw/zinc/41/63/37/358416337.db2.gz VHUOIWQKWKEMKP-CQSZACIVSA-N 1 2 303.410 1.878 20 30 DDEDLO Cc1nc(N2CCN(C(=O)C3(C#N)CC4(CC4)C3)CC2)cc[nH+]1 ZINC000601438965 358553826 /nfs/dbraw/zinc/55/38/26/358553826.db2.gz DRZSSGLBFLBMAB-UHFFFAOYSA-N 1 2 311.389 1.518 20 30 DDEDLO CC[C@@H](C#N)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000601854422 358717051 /nfs/dbraw/zinc/71/70/51/358717051.db2.gz HHMFWEQLUVJPSG-ZDUSSCGKSA-N 1 2 304.419 1.801 20 30 DDEDLO COc1cc[nH+]cc1NC(=O)C(=O)N(C)[C@H]1CCC[C@H]1C#N ZINC000602077888 358802999 /nfs/dbraw/zinc/80/29/99/358802999.db2.gz UXZHAURGAQSIBB-JQWIXIFHSA-N 1 2 302.334 1.179 20 30 DDEDLO CO[C@@]1(C[N@@H+]2CCN(Cc3ccccc3)[C@@H](C#N)C2)CCOC1 ZINC000602428555 358969094 /nfs/dbraw/zinc/96/90/94/358969094.db2.gz PRTLVQGSYUINSS-ZWKOTPCHSA-N 1 2 315.417 1.502 20 30 DDEDLO CO[C@@]1(C[N@H+]2CCN(Cc3ccccc3)[C@@H](C#N)C2)CCOC1 ZINC000602428555 358969099 /nfs/dbraw/zinc/96/90/99/358969099.db2.gz PRTLVQGSYUINSS-ZWKOTPCHSA-N 1 2 315.417 1.502 20 30 DDEDLO C[N@H+](Cc1cn(C[C@@H]2CCOC2)nn1)Cc1cccc(C#N)c1 ZINC000602438920 358976841 /nfs/dbraw/zinc/97/68/41/358976841.db2.gz CXJONMMQIGDIDR-INIZCTEOSA-N 1 2 311.389 1.818 20 30 DDEDLO C[N@@H+](Cc1cn(C[C@@H]2CCOC2)nn1)Cc1cccc(C#N)c1 ZINC000602438920 358976849 /nfs/dbraw/zinc/97/68/49/358976849.db2.gz CXJONMMQIGDIDR-INIZCTEOSA-N 1 2 311.389 1.818 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)[C@@H]1COc2cc(F)ccc2C1 ZINC000602333141 358934719 /nfs/dbraw/zinc/93/47/19/358934719.db2.gz LSIHKPHYOHOTJC-BLLLJJGKSA-N 1 2 305.353 1.337 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1nc(CC2CC2)no1 ZINC000602781469 359186021 /nfs/dbraw/zinc/18/60/21/359186021.db2.gz YXHOVTLEOQPWGY-UHFFFAOYSA-N 1 2 319.409 1.070 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CCC[C@]3(CCS(=O)(=O)C3)C2)n1 ZINC000602683757 359123870 /nfs/dbraw/zinc/12/38/70/359123870.db2.gz RYTDWUGKWMHJKV-HNNXBMFYSA-N 1 2 305.403 1.354 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CCC[C@]3(CCS(=O)(=O)C3)C2)n1 ZINC000602683757 359123874 /nfs/dbraw/zinc/12/38/74/359123874.db2.gz RYTDWUGKWMHJKV-HNNXBMFYSA-N 1 2 305.403 1.354 20 30 DDEDLO C[C@@H](CC1(C#N)CCOCC1)[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC000602708128 359138261 /nfs/dbraw/zinc/13/82/61/359138261.db2.gz WLPPXNUVHBLERG-LBPRGKRZSA-N 1 2 306.414 1.617 20 30 DDEDLO N#CCC1(C[NH+]2CCN(CC(=O)Nc3ccccc3)CC2)CC1 ZINC000602756949 359170798 /nfs/dbraw/zinc/17/07/98/359170798.db2.gz BLDFKYAYOMLGGC-UHFFFAOYSA-N 1 2 312.417 1.937 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)NC2CCCCCC2)C[C@H](C)N1CC#N ZINC000602854619 359241357 /nfs/dbraw/zinc/24/13/57/359241357.db2.gz CIOZIEQCZJLBJD-GASCZTMLSA-N 1 2 306.454 1.744 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)NC2CCCCCC2)C[C@H](C)N1CC#N ZINC000602854619 359241360 /nfs/dbraw/zinc/24/13/60/359241360.db2.gz CIOZIEQCZJLBJD-GASCZTMLSA-N 1 2 306.454 1.744 20 30 DDEDLO C[C@@H]1C[N@@H+](CC(=O)N(C)Cc2ccco2)C[C@H](C)N1CC#N ZINC000602856545 359241774 /nfs/dbraw/zinc/24/17/74/359241774.db2.gz KNZBBHRDYWLGHD-OKILXGFUSA-N 1 2 304.394 1.156 20 30 DDEDLO C[C@@H]1C[N@H+](CC(=O)N(C)Cc2ccco2)C[C@H](C)N1CC#N ZINC000602856545 359241780 /nfs/dbraw/zinc/24/17/80/359241780.db2.gz KNZBBHRDYWLGHD-OKILXGFUSA-N 1 2 304.394 1.156 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000602860545 359246420 /nfs/dbraw/zinc/24/64/20/359246420.db2.gz DJWLRWKSGPRSQM-IUODEOHRSA-N 1 2 305.353 1.617 20 30 DDEDLO Cc1ccccc1NC(=O)C[NH+]1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602859903 359246524 /nfs/dbraw/zinc/24/65/24/359246524.db2.gz ZTGCOBKLMFYFKB-HUUCEWRRSA-N 1 2 300.406 1.852 20 30 DDEDLO N#C[C@H]1CC[C@H]([N@@H+]2CCc3c(cccc3S(N)(=O)=O)C2)C1 ZINC000603027354 359368523 /nfs/dbraw/zinc/36/85/23/359368523.db2.gz WBEZMMSMYWBOPX-AAEUAGOBSA-N 1 2 305.403 1.384 20 30 DDEDLO N#C[C@H]1CC[C@H]([N@H+]2CCc3c(cccc3S(N)(=O)=O)C2)C1 ZINC000603027354 359368529 /nfs/dbraw/zinc/36/85/29/359368529.db2.gz WBEZMMSMYWBOPX-AAEUAGOBSA-N 1 2 305.403 1.384 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1ccnc(C#N)c1 ZINC000603124483 359419160 /nfs/dbraw/zinc/41/91/60/359419160.db2.gz YSTLNYOOYPCOKO-UHFFFAOYSA-N 1 2 303.366 1.186 20 30 DDEDLO COC(=O)CCC[NH+]1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000604489297 359756043 /nfs/dbraw/zinc/75/60/43/359756043.db2.gz LWQJRICTAWDHRW-UHFFFAOYSA-N 1 2 318.377 1.499 20 30 DDEDLO C[C@H](Cc1cccc(O)c1)NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329917754 223046466 /nfs/dbraw/zinc/04/64/66/223046466.db2.gz FOHRCNMCFJYLCO-KCXAZCMYSA-N 1 2 319.405 1.252 20 30 DDEDLO C[C@H](Cc1cccc(O)c1)NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329917754 223046468 /nfs/dbraw/zinc/04/64/68/223046468.db2.gz FOHRCNMCFJYLCO-KCXAZCMYSA-N 1 2 319.405 1.252 20 30 DDEDLO C[C@H](NC(=O)C1CCCCC1)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329929796 223048709 /nfs/dbraw/zinc/04/87/09/223048709.db2.gz NWFDARYPFMHEGQ-CFVMTHIKSA-N 1 2 323.437 1.453 20 30 DDEDLO C[C@H](NC(=O)C1CCCCC1)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329929796 223048711 /nfs/dbraw/zinc/04/87/11/223048711.db2.gz NWFDARYPFMHEGQ-CFVMTHIKSA-N 1 2 323.437 1.453 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)[C@@H]1CCCN1c1ccc(C#N)cc1 ZINC000609100599 360259247 /nfs/dbraw/zinc/25/92/47/360259247.db2.gz WEHYMFANIHQKOZ-INIZCTEOSA-N 1 2 323.400 1.919 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)NCC[C@@H]2CCOC2)CCN1C ZINC000329954098 223052704 /nfs/dbraw/zinc/05/27/04/223052704.db2.gz JUQDDKAAMYOKPD-KGLIPLIRSA-N 1 2 321.425 1.049 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)CCCn2cc[nH+]c2)CC1 ZINC000609457130 360309112 /nfs/dbraw/zinc/30/91/12/360309112.db2.gz LXMQIDJLWOXUDZ-HNNXBMFYSA-N 1 2 303.410 1.356 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[NH+]1CCN([C@H](C#N)C(C)C)CC1 ZINC000609538247 360321336 /nfs/dbraw/zinc/32/13/36/360321336.db2.gz PCXROJPEQABEBN-JKSUJKDBSA-N 1 2 319.453 1.160 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)N[C@@H](C)c1cccc(C#N)c1 ZINC000610347567 360417949 /nfs/dbraw/zinc/41/79/49/360417949.db2.gz OKQCQGRHRYRNKE-KBPBESRZSA-N 1 2 316.405 1.639 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC000610874095 360558875 /nfs/dbraw/zinc/55/88/75/360558875.db2.gz ZLSZXRVRNJEIPL-LBPRGKRZSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC000610874095 360558880 /nfs/dbraw/zinc/55/88/80/360558880.db2.gz ZLSZXRVRNJEIPL-LBPRGKRZSA-N 1 2 308.407 1.273 20 30 DDEDLO CCc1oc(C(=O)OC)cc1C[NH+]1CCN(CCC#N)CC1 ZINC000611174708 360648151 /nfs/dbraw/zinc/64/81/51/360648151.db2.gz LKHGULTZUDLLSJ-UHFFFAOYSA-N 1 2 305.378 1.660 20 30 DDEDLO NC(=O)N1CC[C@H](NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000330088227 223073160 /nfs/dbraw/zinc/07/31/60/223073160.db2.gz NWUJKCBMUXVCNI-AAEUAGOBSA-N 1 2 310.398 1.153 20 30 DDEDLO NC(=O)N1CC[C@H](NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000330088227 223073163 /nfs/dbraw/zinc/07/31/63/223073163.db2.gz NWUJKCBMUXVCNI-AAEUAGOBSA-N 1 2 310.398 1.153 20 30 DDEDLO C[C@@]1(C#N)CCC[N@H+](C[C@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000612166024 360938355 /nfs/dbraw/zinc/93/83/55/360938355.db2.gz QVLCYHPWMXCHJX-HOCLYGCPSA-N 1 2 319.361 1.960 20 30 DDEDLO C[C@@]1(C#N)CCC[N@@H+](C[C@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000612166024 360938362 /nfs/dbraw/zinc/93/83/62/360938362.db2.gz QVLCYHPWMXCHJX-HOCLYGCPSA-N 1 2 319.361 1.960 20 30 DDEDLO CC1(C)COCC[N@@H+]1CCNC(=O)N1CCC[C@@](C)(C#N)C1 ZINC000612131660 360927943 /nfs/dbraw/zinc/92/79/43/360927943.db2.gz AMTOPIKGQSQXFW-INIZCTEOSA-N 1 2 308.426 1.432 20 30 DDEDLO CC1(C)COCC[N@H+]1CCNC(=O)N1CCC[C@@](C)(C#N)C1 ZINC000612131660 360927949 /nfs/dbraw/zinc/92/79/49/360927949.db2.gz AMTOPIKGQSQXFW-INIZCTEOSA-N 1 2 308.426 1.432 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)c1cc(C(C)(C)C)nn1C ZINC000330628664 223130953 /nfs/dbraw/zinc/13/09/53/223130953.db2.gz ZIJGLVCKTICRTE-GFCCVEGCSA-N 1 2 308.426 1.743 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)c1cc(C(C)(C)C)nn1C ZINC000330628664 223130956 /nfs/dbraw/zinc/13/09/56/223130956.db2.gz ZIJGLVCKTICRTE-GFCCVEGCSA-N 1 2 308.426 1.743 20 30 DDEDLO Cc1[nH]nc(C(C)C)c1C(=O)NCCC[NH+]1CCN(C)CC1 ZINC000330553583 223126835 /nfs/dbraw/zinc/12/68/35/223126835.db2.gz OKKIYOFSUNFZET-UHFFFAOYSA-N 1 2 307.442 1.784 20 30 DDEDLO Cc1n[nH]c(C(C)C)c1C(=O)NCCC[NH+]1CCN(C)CC1 ZINC000330553583 223126838 /nfs/dbraw/zinc/12/68/38/223126838.db2.gz OKKIYOFSUNFZET-UHFFFAOYSA-N 1 2 307.442 1.784 20 30 DDEDLO C[C@H](C(=O)NC1CCCC1)[NH+]1CCN(C(=O)CCCC#N)CC1 ZINC000330895066 223152065 /nfs/dbraw/zinc/15/20/65/223152065.db2.gz MIDMTTPGBSVZFX-CQSZACIVSA-N 1 2 320.437 1.272 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)[C@@]1(C#N)CC12CCCC2 ZINC000333266273 223219617 /nfs/dbraw/zinc/21/96/17/223219617.db2.gz BTOQGVNWCKWAPZ-PBHICJAKSA-N 1 2 305.422 1.640 20 30 DDEDLO CC(C)(O)CCC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000618791624 363759485 /nfs/dbraw/zinc/75/94/85/363759485.db2.gz UOAFOKZGHMFEFI-UHFFFAOYSA-N 1 2 315.417 1.754 20 30 DDEDLO N#CCc1cccc(S(=O)(=O)NCCCn2cc[nH+]c2)c1 ZINC000619472856 364026786 /nfs/dbraw/zinc/02/67/86/364026786.db2.gz ITQYBSSTQJZRGA-UHFFFAOYSA-N 1 2 304.375 1.318 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(NC(=O)c2ccccc2C)CC1 ZINC000619719016 364129426 /nfs/dbraw/zinc/12/94/26/364129426.db2.gz NICINDRQAVQJRA-MRXNPFEDSA-N 1 2 316.401 1.917 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000619883473 364174632 /nfs/dbraw/zinc/17/46/32/364174632.db2.gz JZKZKZNTVHERNJ-GOSISDBHSA-N 1 2 324.465 1.786 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C)CC[N@@H+]1Cc1ccccc1 ZINC000620722121 364532354 /nfs/dbraw/zinc/53/23/54/364532354.db2.gz BCXOWTUORDVMIZ-QGZVFWFLSA-N 1 2 301.434 1.885 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C)CC[N@H+]1Cc1ccccc1 ZINC000620722121 364532357 /nfs/dbraw/zinc/53/23/57/364532357.db2.gz BCXOWTUORDVMIZ-QGZVFWFLSA-N 1 2 301.434 1.885 20 30 DDEDLO C[C@@]1(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)CCCO1 ZINC000267918110 206336486 /nfs/dbraw/zinc/33/64/86/206336486.db2.gz HSAJAVJPHIXIGF-SFHVURJKSA-N 1 2 313.401 1.772 20 30 DDEDLO CCOCCO[C@H]1CC[N@@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000157711385 248309925 /nfs/dbraw/zinc/30/99/25/248309925.db2.gz QCABFZRFSFZZIB-JKSUJKDBSA-N 1 2 317.389 1.212 20 30 DDEDLO CCOCCO[C@H]1CC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000157711385 248309933 /nfs/dbraw/zinc/30/99/33/248309933.db2.gz QCABFZRFSFZZIB-JKSUJKDBSA-N 1 2 317.389 1.212 20 30 DDEDLO C=CC[N@@H+](CC(=O)Nc1nc(CC(=O)OCC)cs1)C1CC1 ZINC000274157661 211387474 /nfs/dbraw/zinc/38/74/74/211387474.db2.gz KCXXTGCRDLPDLU-UHFFFAOYSA-N 1 2 323.418 1.838 20 30 DDEDLO C=CC[N@H+](CC(=O)Nc1nc(CC(=O)OCC)cs1)C1CC1 ZINC000274157661 211387478 /nfs/dbraw/zinc/38/74/78/211387478.db2.gz KCXXTGCRDLPDLU-UHFFFAOYSA-N 1 2 323.418 1.838 20 30 DDEDLO COC[C@@H]1C[N@H+](CC(=O)Nc2cccc(C#N)c2)CC(C)(C)O1 ZINC000284680590 218130287 /nfs/dbraw/zinc/13/02/87/218130287.db2.gz ZNLODEDYYYWVQG-HNNXBMFYSA-N 1 2 317.389 1.623 20 30 DDEDLO COC[C@@H]1C[N@@H+](CC(=O)Nc2cccc(C#N)c2)CC(C)(C)O1 ZINC000284680590 218130290 /nfs/dbraw/zinc/13/02/90/218130290.db2.gz ZNLODEDYYYWVQG-HNNXBMFYSA-N 1 2 317.389 1.623 20 30 DDEDLO Cc1cc(C[NH+]2CCN(c3ncc(C#N)cc3F)CC2)on1 ZINC000413028058 224121054 /nfs/dbraw/zinc/12/10/54/224121054.db2.gz ANUIFOFGKKSYMF-UHFFFAOYSA-N 1 2 301.325 1.711 20 30 DDEDLO COCCO[C@@H]1CC[N@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459438328 533170021 /nfs/dbraw/zinc/17/00/21/533170021.db2.gz CWBJIKSSGQCNLI-CYBMUJFWSA-N 1 2 305.334 1.704 20 30 DDEDLO COCCO[C@@H]1CC[N@@H+](Cc2c(C#N)cccc2[N+](=O)[O-])C1 ZINC000459438328 533170029 /nfs/dbraw/zinc/17/00/29/533170029.db2.gz CWBJIKSSGQCNLI-CYBMUJFWSA-N 1 2 305.334 1.704 20 30 DDEDLO C[C@H](CNC(=O)[C@@H](C)Oc1ccc(C#N)cc1)Cn1cc[nH+]c1 ZINC000153391916 196874841 /nfs/dbraw/zinc/87/48/41/196874841.db2.gz JQAWGDPRZFTUGD-ZIAGYGMSSA-N 1 2 312.373 1.975 20 30 DDEDLO Cn1cc[nH+]c1C[C@H]1CCCN(C(=O)c2ccc(C#N)nc2)C1 ZINC000120689068 195216649 /nfs/dbraw/zinc/21/66/49/195216649.db2.gz FTJMHZPFICITDP-CYBMUJFWSA-N 1 2 309.373 1.782 20 30 DDEDLO O=c1ccc(N2CC[NH+](CC#Cc3ccc(F)cc3)CC2)n[nH]1 ZINC000370878599 285385377 /nfs/dbraw/zinc/38/53/77/285385377.db2.gz BQXDBUMRWHBVOF-UHFFFAOYSA-N 1 2 312.348 1.495 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[N@@H+](C)[C@H](C)[C@H]1C ZINC000408085059 292045890 /nfs/dbraw/zinc/04/58/90/292045890.db2.gz WIUVOUUDATWOAO-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N1CC[N@H+](C)[C@H](C)[C@H]1C ZINC000408085059 292045892 /nfs/dbraw/zinc/04/58/92/292045892.db2.gz WIUVOUUDATWOAO-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO C[NH+](C)[C@@H](CNS(=O)(=O)c1cccc(C#N)c1)c1ccco1 ZINC000010088884 406818716 /nfs/dbraw/zinc/81/87/16/406818716.db2.gz DSTSHSFLQPJMET-AWEZNQCLSA-N 1 2 319.386 1.732 20 30 DDEDLO CN(C)c1[nH+]cccc1CNC(=O)C(=O)Nc1ccc(C#N)cc1 ZINC000041638902 407009149 /nfs/dbraw/zinc/00/91/49/407009149.db2.gz SSTPKNFJMCFQJJ-UHFFFAOYSA-N 1 2 323.356 1.274 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC000078599554 407035676 /nfs/dbraw/zinc/03/56/76/407035676.db2.gz UIPDUAMBFZZENE-CQSZACIVSA-N 1 2 321.808 1.679 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC000078599554 407035677 /nfs/dbraw/zinc/03/56/77/407035677.db2.gz UIPDUAMBFZZENE-CQSZACIVSA-N 1 2 321.808 1.679 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCc1ncc(-c2ccccc2)o1 ZINC000089126965 407123628 /nfs/dbraw/zinc/12/36/28/407123628.db2.gz DPXFMUXOSRDBBK-UHFFFAOYSA-N 1 2 316.361 1.463 20 30 DDEDLO CC(C)N(C)C(=O)C[NH+]1CCN(c2cccc(F)c2C#N)CC1 ZINC000048041588 407087833 /nfs/dbraw/zinc/08/78/33/407087833.db2.gz AMZDSEJNEGECRN-UHFFFAOYSA-N 1 2 318.396 1.686 20 30 DDEDLO COCCCOCC[N@H+](C)CC(=O)Nc1sccc1C#N ZINC000091102147 407178262 /nfs/dbraw/zinc/17/82/62/407178262.db2.gz WRDUTIFBTNYRNN-UHFFFAOYSA-N 1 2 311.407 1.543 20 30 DDEDLO COCCCOCC[N@@H+](C)CC(=O)Nc1sccc1C#N ZINC000091102147 407178263 /nfs/dbraw/zinc/17/82/63/407178263.db2.gz WRDUTIFBTNYRNN-UHFFFAOYSA-N 1 2 311.407 1.543 20 30 DDEDLO C#CCN(Cc1cn2c(cccc2C)[nH+]1)[C@@H]1CCS(=O)(=O)C1 ZINC000066538043 407254521 /nfs/dbraw/zinc/25/45/21/407254521.db2.gz MYFLKVQYOHVCFW-OAHLLOKOSA-N 1 2 317.414 1.265 20 30 DDEDLO COC(=O)[C@H]1CCC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000066833983 407260057 /nfs/dbraw/zinc/26/00/57/407260057.db2.gz YHYBHFMIMPBLQE-NSHDSACASA-N 1 2 307.375 1.443 20 30 DDEDLO COC(=O)[C@H]1CCC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000066833983 407260058 /nfs/dbraw/zinc/26/00/58/407260058.db2.gz YHYBHFMIMPBLQE-NSHDSACASA-N 1 2 307.375 1.443 20 30 DDEDLO CC[NH+](CC)[C@H](C)CNC(=O)c1cc(C#N)c(SC)[nH]c1=O ZINC000068080166 407294279 /nfs/dbraw/zinc/29/42/79/407294279.db2.gz KVOFIRZLSKPHEF-SNVBAGLBSA-N 1 2 322.434 1.841 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1C[C@@H](C)O[C@H](C(=O)OC)C1 ZINC000123771985 407338243 /nfs/dbraw/zinc/33/82/43/407338243.db2.gz LIXJNUFBQPCITG-RDBSUJKOSA-N 1 2 312.410 1.062 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1C[C@@H](C)O[C@H](C(=O)OC)C1 ZINC000123771985 407338245 /nfs/dbraw/zinc/33/82/45/407338245.db2.gz LIXJNUFBQPCITG-RDBSUJKOSA-N 1 2 312.410 1.062 20 30 DDEDLO CNC(=O)[C@@H]1CCCC[N@@H+]1CC(=O)N(C)C1(C#N)CCCCC1 ZINC000104672132 407351880 /nfs/dbraw/zinc/35/18/80/407351880.db2.gz VFZRHMOHYVJVLY-AWEZNQCLSA-N 1 2 320.437 1.272 20 30 DDEDLO CNC(=O)[C@@H]1CCCC[N@H+]1CC(=O)N(C)C1(C#N)CCCCC1 ZINC000104672132 407351882 /nfs/dbraw/zinc/35/18/82/407351882.db2.gz VFZRHMOHYVJVLY-AWEZNQCLSA-N 1 2 320.437 1.272 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccccn3)CC2)nc1 ZINC000107542362 407375986 /nfs/dbraw/zinc/37/59/86/407375986.db2.gz XXHGBUVOWJVMDZ-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO COc1cc(C#N)ccc1S(=O)(=O)N1CCc2c[nH+]ccc21 ZINC000185829931 407514054 /nfs/dbraw/zinc/51/40/54/407514054.db2.gz INPDECIUDCRECI-UHFFFAOYSA-N 1 2 315.354 1.713 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000128480416 407540822 /nfs/dbraw/zinc/54/08/22/407540822.db2.gz SOZDRVGYLGNMIX-NSHDSACASA-N 1 2 323.256 1.395 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000128480416 407540830 /nfs/dbraw/zinc/54/08/30/407540830.db2.gz SOZDRVGYLGNMIX-NSHDSACASA-N 1 2 323.256 1.395 20 30 DDEDLO Cn1cc(N2CC[NH+](CC(=O)Nc3cccc(C#N)c3)CC2)cn1 ZINC000266949022 407686973 /nfs/dbraw/zinc/68/69/73/407686973.db2.gz SYOYZDKFPIZVIP-UHFFFAOYSA-N 1 2 324.388 1.052 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2cccnc2C#N)CC1 ZINC000233855425 407706282 /nfs/dbraw/zinc/70/62/82/407706282.db2.gz SOKWXINKQIMRKB-GFCCVEGCSA-N 1 2 308.407 1.058 20 30 DDEDLO COC(=O)C[C@@H]1CCC[N@@H+]1CC(=O)Nc1oc(C)c(C)c1C#N ZINC000179144949 407737319 /nfs/dbraw/zinc/73/73/19/407737319.db2.gz GQPQQOHTNUCUNJ-LBPRGKRZSA-N 1 2 319.361 1.734 20 30 DDEDLO COC(=O)C[C@@H]1CCC[N@H+]1CC(=O)Nc1oc(C)c(C)c1C#N ZINC000179144949 407737322 /nfs/dbraw/zinc/73/73/22/407737322.db2.gz GQPQQOHTNUCUNJ-LBPRGKRZSA-N 1 2 319.361 1.734 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(Cc2ccc(Cl)nc2)CC1 ZINC000116306724 407741244 /nfs/dbraw/zinc/74/12/44/407741244.db2.gz WUGYPIQTTYIMHF-CYBMUJFWSA-N 1 2 322.840 1.543 20 30 DDEDLO CCN(CC#N)C(=O)[C@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000117766452 407856021 /nfs/dbraw/zinc/85/60/21/407856021.db2.gz NEVRJYGJYQYXAB-AWEZNQCLSA-N 1 2 318.396 1.708 20 30 DDEDLO CCNC(=O)N1CC[NH+](Cc2ccc(OC)c(C#N)c2)CC1 ZINC000134962547 407958076 /nfs/dbraw/zinc/95/80/76/407958076.db2.gz PKXKJLLIKJKZAT-UHFFFAOYSA-N 1 2 302.378 1.414 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)c1cccnc1 ZINC000174416636 407943631 /nfs/dbraw/zinc/94/36/31/407943631.db2.gz WIAMZBGBKJLWEI-OAHLLOKOSA-N 1 2 316.405 1.260 20 30 DDEDLO CCc1nnc(C[N@@H+]2CCCN(c3ccccc3C#N)CC2)[nH]1 ZINC000181680952 408028413 /nfs/dbraw/zinc/02/84/13/408028413.db2.gz OBXBMQRPONVUMY-UHFFFAOYSA-N 1 2 310.405 1.951 20 30 DDEDLO CCc1nnc(C[N@H+]2CCCN(c3ccccc3C#N)CC2)[nH]1 ZINC000181680952 408028416 /nfs/dbraw/zinc/02/84/16/408028416.db2.gz OBXBMQRPONVUMY-UHFFFAOYSA-N 1 2 310.405 1.951 20 30 DDEDLO C=C[C@@H](C)NC(=O)CCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000154458187 408038192 /nfs/dbraw/zinc/03/81/92/408038192.db2.gz OJLYNNSUYKTIET-CQSZACIVSA-N 1 2 316.405 1.201 20 30 DDEDLO CC#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000119078975 407990706 /nfs/dbraw/zinc/99/07/06/407990706.db2.gz WRNQBWDJFXMJRF-AWEZNQCLSA-N 1 2 310.394 1.592 20 30 DDEDLO CC#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000119078975 407990711 /nfs/dbraw/zinc/99/07/11/407990711.db2.gz WRNQBWDJFXMJRF-AWEZNQCLSA-N 1 2 310.394 1.592 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[NH+]2CCC(NC(N)=O)CC2)c1C ZINC000174918297 408007856 /nfs/dbraw/zinc/00/78/56/408007856.db2.gz WOURTDRRTRJOSI-UHFFFAOYSA-N 1 2 318.421 1.606 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCO[C@@H](Cc2ccccc2)C1 ZINC000181546261 408012006 /nfs/dbraw/zinc/01/20/06/408012006.db2.gz XVOOUIHPNOLWHV-KRWDZBQOSA-N 1 2 315.417 1.692 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCO[C@@H](Cc2ccccc2)C1 ZINC000181546261 408012013 /nfs/dbraw/zinc/01/20/13/408012013.db2.gz XVOOUIHPNOLWHV-KRWDZBQOSA-N 1 2 315.417 1.692 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCCOc1ccc(Cl)cc1 ZINC000181641391 408019947 /nfs/dbraw/zinc/01/99/47/408019947.db2.gz IVUMQDOQEGDGBN-UHFFFAOYSA-N 1 2 313.785 1.922 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)[nH]1 ZINC000268515207 408079787 /nfs/dbraw/zinc/07/97/87/408079787.db2.gz VMIBJVACZSSOAD-CZUORRHYSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1nnc([C@@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)[nH]1 ZINC000268515207 408079792 /nfs/dbraw/zinc/07/97/92/408079792.db2.gz VMIBJVACZSSOAD-CZUORRHYSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000268515207 408079798 /nfs/dbraw/zinc/07/97/98/408079798.db2.gz VMIBJVACZSSOAD-CZUORRHYSA-N 1 2 318.425 1.347 20 30 DDEDLO Cc1n[nH]c([C@@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C(C)C)C2)n1 ZINC000268515207 408079802 /nfs/dbraw/zinc/07/98/02/408079802.db2.gz VMIBJVACZSSOAD-CZUORRHYSA-N 1 2 318.425 1.347 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(OC)c(OC)c2OC)CC1 ZINC000175464332 408136644 /nfs/dbraw/zinc/13/66/44/408136644.db2.gz DJYZOXRVXBPKLX-UHFFFAOYSA-N 1 2 320.389 1.656 20 30 DDEDLO N#CCCN(CCC(=O)c1ccccc1)CC[NH+]1CCOCC1 ZINC000182390972 408143064 /nfs/dbraw/zinc/14/30/64/408143064.db2.gz XEUDYFFWGQMOKS-UHFFFAOYSA-N 1 2 315.417 1.807 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(Cl)n(C)nc2CC)CC1 ZINC000120833000 408147106 /nfs/dbraw/zinc/14/71/06/408147106.db2.gz HSTWHBSOMLIFDF-UHFFFAOYSA-N 1 2 308.813 1.417 20 30 DDEDLO C=CCCS(=O)(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000268782132 408190566 /nfs/dbraw/zinc/19/05/66/408190566.db2.gz DFLIUXCYWRSPEC-UHFFFAOYSA-N 1 2 305.403 1.927 20 30 DDEDLO N#CCCCNC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000273417019 408190715 /nfs/dbraw/zinc/19/07/15/408190715.db2.gz YLDZZEYZQYQWBM-INIZCTEOSA-N 1 2 316.405 1.663 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@@H+]([C@@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000246353057 408230872 /nfs/dbraw/zinc/23/08/72/408230872.db2.gz GALMOMDKMCHEIF-HUUCEWRRSA-N 1 2 315.373 1.230 20 30 DDEDLO CNC(=O)[C@@H]1CCC[N@H+]([C@@H](C(=O)OC)c2cccc(C#N)c2)C1 ZINC000246353057 408230879 /nfs/dbraw/zinc/23/08/79/408230879.db2.gz GALMOMDKMCHEIF-HUUCEWRRSA-N 1 2 315.373 1.230 20 30 DDEDLO N#Cc1cccc(CNC(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)c1 ZINC000173784035 162365817 /nfs/dbraw/zinc/36/58/17/162365817.db2.gz QAPVTDCZVWCTKA-MRXNPFEDSA-N 1 2 314.389 1.174 20 30 DDEDLO C=C1CC[NH+](CC(=O)Nc2ccccc2SCC(N)=O)CC1 ZINC000264435965 408489725 /nfs/dbraw/zinc/48/97/25/408489725.db2.gz DRDAYYOXZPQWHJ-UHFFFAOYSA-N 1 2 319.430 1.855 20 30 DDEDLO C=CCn1c(SCCn2cc[nH+]c2)nnc1-c1cnccn1 ZINC000160189834 408463106 /nfs/dbraw/zinc/46/31/06/408463106.db2.gz GKORUNPGSIPTCX-UHFFFAOYSA-N 1 2 313.390 1.910 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CC[C@@](O)(C(F)(F)F)C2)cc1 ZINC000177274879 408570714 /nfs/dbraw/zinc/57/07/14/408570714.db2.gz KGTNFFLOEXANEL-ZDUSSCGKSA-N 1 2 313.279 1.496 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CC[C@@](O)(C(F)(F)F)C2)cc1 ZINC000177274879 408570719 /nfs/dbraw/zinc/57/07/19/408570719.db2.gz KGTNFFLOEXANEL-ZDUSSCGKSA-N 1 2 313.279 1.496 20 30 DDEDLO N#CCCCCNC(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000184611470 408655441 /nfs/dbraw/zinc/65/54/41/408655441.db2.gz PPZALRBVBSEVPL-UHFFFAOYSA-N 1 2 301.394 1.603 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[N@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000177741587 408697099 /nfs/dbraw/zinc/69/70/99/408697099.db2.gz CFFBGFYAXCHOPD-CVEARBPZSA-N 1 2 320.414 1.243 20 30 DDEDLO N#Cc1ccc([C@@H](O)C[N@@H+](C2CC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000177741587 408697105 /nfs/dbraw/zinc/69/71/05/408697105.db2.gz CFFBGFYAXCHOPD-CVEARBPZSA-N 1 2 320.414 1.243 20 30 DDEDLO C#Cc1ccccc1CC(=O)N1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000290793949 408833185 /nfs/dbraw/zinc/83/31/85/408833185.db2.gz TXHPBHWIEMPUTL-UHFFFAOYSA-N 1 2 320.396 1.658 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1CNC(=O)N(C)CCCn1cc[nH+]c1 ZINC000281903016 408951470 /nfs/dbraw/zinc/95/14/70/408951470.db2.gz XLMVFIUFTQZQLJ-LSDHHAIUSA-N 1 2 306.410 1.896 20 30 DDEDLO N#CCOc1ccccc1C(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000278004622 409049592 /nfs/dbraw/zinc/04/95/92/409049592.db2.gz SCABKAGDPBUOFD-UHFFFAOYSA-N 1 2 315.373 1.184 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH+]c[nH]1)C(=O)N[C@]1(C#N)CCC[C@H](C)C1 ZINC000282913059 409070589 /nfs/dbraw/zinc/07/05/89/409070589.db2.gz BQRLTPVTENSHRF-HZUKXOBISA-N 1 2 317.393 1.046 20 30 DDEDLO CC(=O)N[C@@H](Cc1c[nH]c[nH+]1)C(=O)N[C@]1(C#N)CCC[C@H](C)C1 ZINC000282913059 409070591 /nfs/dbraw/zinc/07/05/91/409070591.db2.gz BQRLTPVTENSHRF-HZUKXOBISA-N 1 2 317.393 1.046 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CCN(C2=[NH+]C[C@H](C)S2)CC1 ZINC000293460381 409117632 /nfs/dbraw/zinc/11/76/32/409117632.db2.gz YSXMOJOSFMKWCJ-JTQLQIEISA-N 1 2 303.378 1.833 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+](CCCO)Cc1ccccn1 ZINC000287962657 409122317 /nfs/dbraw/zinc/12/23/17/409122317.db2.gz YVOCRWARDVLNSD-UHFFFAOYSA-N 1 2 304.394 1.028 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+](CCCO)Cc1ccccn1 ZINC000287962657 409122319 /nfs/dbraw/zinc/12/23/19/409122319.db2.gz YVOCRWARDVLNSD-UHFFFAOYSA-N 1 2 304.394 1.028 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)Cc2ccc(C#N)cc2)[C@H](C)C1 ZINC000289287728 409243761 /nfs/dbraw/zinc/24/37/61/409243761.db2.gz XXSCQFBDCUPSBE-CYBMUJFWSA-N 1 2 307.419 1.414 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)Cc2ccc(C#N)cc2)[C@H](C)C1 ZINC000289287728 409243764 /nfs/dbraw/zinc/24/37/64/409243764.db2.gz XXSCQFBDCUPSBE-CYBMUJFWSA-N 1 2 307.419 1.414 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)N1CCn2c[nH+]cc2C1 ZINC000290353849 409372258 /nfs/dbraw/zinc/37/22/58/409372258.db2.gz OHMIIUAANFNEDJ-UHFFFAOYSA-N 1 2 309.329 1.044 20 30 DDEDLO CC[C@](C)(C#N)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000285053809 409395181 /nfs/dbraw/zinc/39/51/81/409395181.db2.gz FZXIOPOIMLZDAC-SUMWQHHRSA-N 1 2 316.405 1.863 20 30 DDEDLO CCN(Cc1cccc(F)c1)C(=O)CO[NH+]=C(N)[C@@H]1CCCO1 ZINC000284509720 409347953 /nfs/dbraw/zinc/34/79/53/409347953.db2.gz UBWJFJIHPVWJMH-AWEZNQCLSA-N 1 2 323.368 1.642 20 30 DDEDLO C=CCOc1ccccc1C[NH+]1CCN(C(C)(C)C(N)=O)CC1 ZINC000353928963 409566740 /nfs/dbraw/zinc/56/67/40/409566740.db2.gz CBRSULQPLVFTQY-UHFFFAOYSA-N 1 2 317.433 1.633 20 30 DDEDLO C=CCOc1ccccc1CN1CC[NH+](C(C)(C)C(N)=O)CC1 ZINC000353928963 409566743 /nfs/dbraw/zinc/56/67/43/409566743.db2.gz CBRSULQPLVFTQY-UHFFFAOYSA-N 1 2 317.433 1.633 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@H](CNc2cccc(F)c2C#N)C1 ZINC000353875190 409531557 /nfs/dbraw/zinc/53/15/57/409531557.db2.gz MXVXWCDLPXJYEI-GFCCVEGCSA-N 1 2 305.353 1.994 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@H](CNc2cccc(F)c2C#N)C1 ZINC000353875190 409531562 /nfs/dbraw/zinc/53/15/62/409531562.db2.gz MXVXWCDLPXJYEI-GFCCVEGCSA-N 1 2 305.353 1.994 20 30 DDEDLO CC#CCCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(F)cc2)CC1 ZINC000353937773 409571047 /nfs/dbraw/zinc/57/10/47/409571047.db2.gz DRLUAORSCUEXRN-OAHLLOKOSA-N 1 2 317.408 1.866 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000354303822 409753022 /nfs/dbraw/zinc/75/30/22/409753022.db2.gz KKMGHFGABCZVBU-INIZCTEOSA-N 1 2 304.390 1.379 20 30 DDEDLO CCN(CC)C(=O)C[N@H+](C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000356999327 409779282 /nfs/dbraw/zinc/77/92/82/409779282.db2.gz KCOWLJLRKOYMDW-CZUORRHYSA-N 1 2 310.442 1.230 20 30 DDEDLO CCN(CC)C(=O)C[N@@H+](C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000356999327 409779289 /nfs/dbraw/zinc/77/92/89/409779289.db2.gz KCOWLJLRKOYMDW-CZUORRHYSA-N 1 2 310.442 1.230 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)c3cc(C#N)ccc3Cl)C[C@H]21 ZINC000332203095 409974643 /nfs/dbraw/zinc/97/46/43/409974643.db2.gz DSFALCTWZKRDCB-KGLIPLIRSA-N 1 2 305.765 1.367 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)c3cc(C#N)ccc3Cl)C[C@H]21 ZINC000332203095 409974649 /nfs/dbraw/zinc/97/46/49/409974649.db2.gz DSFALCTWZKRDCB-KGLIPLIRSA-N 1 2 305.765 1.367 20 30 DDEDLO CC[C@H](C(=O)N[C@@H]1CCC(=O)N(C)C1)[N@@H+]1CCO[C@H](CC)C1 ZINC000328795704 409978611 /nfs/dbraw/zinc/97/86/11/409978611.db2.gz UGLXTAXTRMEULB-MGPQQGTHSA-N 1 2 311.426 1.453 20 30 DDEDLO CC[C@H](C(=O)N[C@@H]1CCC(=O)N(C)C1)[N@H+]1CCO[C@H](CC)C1 ZINC000328795704 409978615 /nfs/dbraw/zinc/97/86/15/409978615.db2.gz UGLXTAXTRMEULB-MGPQQGTHSA-N 1 2 311.426 1.453 20 30 DDEDLO O=C(C[NH+]1CCN(Cc2ncc(C3CC3)o2)CC1)NC1CC1 ZINC000328814846 409984568 /nfs/dbraw/zinc/98/45/68/409984568.db2.gz CEWLWSBIXWJYPZ-UHFFFAOYSA-N 1 2 304.394 1.789 20 30 DDEDLO CC(C)(C)[C@@H]1C[C@@H](NC(=O)NCC[NH+]2CCOCC2)CCO1 ZINC000328953553 410021023 /nfs/dbraw/zinc/02/10/23/410021023.db2.gz YBGOFGFCQOSJQM-KBPBESRZSA-N 1 2 313.442 1.416 20 30 DDEDLO CC(C)[N@@H+]1CCO[C@@H](c2noc(-c3ccc(C#N)n3C)n2)C1 ZINC000351056828 410031478 /nfs/dbraw/zinc/03/14/78/410031478.db2.gz MQMUHYKKCFFCQU-CYBMUJFWSA-N 1 2 301.350 1.728 20 30 DDEDLO CC(C)[N@H+]1CCO[C@@H](c2noc(-c3ccc(C#N)n3C)n2)C1 ZINC000351056828 410031486 /nfs/dbraw/zinc/03/14/86/410031486.db2.gz MQMUHYKKCFFCQU-CYBMUJFWSA-N 1 2 301.350 1.728 20 30 DDEDLO C[C@@H](C(=O)NCCc1nnc2n1CCCCC2)[NH+]1CCOCC1 ZINC000328875406 409999290 /nfs/dbraw/zinc/99/92/90/409999290.db2.gz SBIISMXFZXWZMO-ZDUSSCGKSA-N 1 2 321.425 1.224 20 30 DDEDLO COC[C@@H](NC(=O)N1CCN(c2c[nH+]ccc2C)CC1)C1CC1 ZINC000329260491 410197849 /nfs/dbraw/zinc/19/78/49/410197849.db2.gz AXEBYZLVKAZUCE-OAHLLOKOSA-N 1 2 318.421 1.851 20 30 DDEDLO CC(C)[C@H](C(=O)N[C@H](C)C[NH+]1CCN(C)CC1)N1CCCC1=O ZINC000329337486 410244056 /nfs/dbraw/zinc/24/40/56/410244056.db2.gz YHIZYZQXBBPPNG-GDBMZVCRSA-N 1 2 324.469 1.226 20 30 DDEDLO C[C@@H]1C[C@H](O)CN1C(=O)N[C@H](c1[nH+]ccn1C)C1CCOCC1 ZINC000329346707 410245718 /nfs/dbraw/zinc/24/57/18/410245718.db2.gz RNZLGXYBQCBJRA-XBFCOCLRSA-N 1 2 322.409 1.257 20 30 DDEDLO Cc1[nH+]ccn1C[C@@H](C)C[NH+]=C([O-])N1CCOCC2(CC2)C1 ZINC000329343145 410247256 /nfs/dbraw/zinc/24/72/56/410247256.db2.gz SXPPPVWCUGUENW-ZDUSSCGKSA-N 1 2 306.410 1.854 20 30 DDEDLO C[N@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000357809857 410262233 /nfs/dbraw/zinc/26/22/33/410262233.db2.gz NCRXUWMIGGORHT-CYBMUJFWSA-N 1 2 310.361 1.023 20 30 DDEDLO C[N@@H+](Cc1ncccn1)[C@@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000357809857 410262252 /nfs/dbraw/zinc/26/22/52/410262252.db2.gz NCRXUWMIGGORHT-CYBMUJFWSA-N 1 2 310.361 1.023 20 30 DDEDLO CSc1ccc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)nc1 ZINC000329495082 410327376 /nfs/dbraw/zinc/32/73/76/410327376.db2.gz DKKRSRDCOUZRAW-NEPJUHHUSA-N 1 2 308.407 1.364 20 30 DDEDLO CSc1ccc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)nc1 ZINC000329495082 410327383 /nfs/dbraw/zinc/32/73/83/410327383.db2.gz DKKRSRDCOUZRAW-NEPJUHHUSA-N 1 2 308.407 1.364 20 30 DDEDLO C=CCN(C(=O)Nc1c(C)cc[nH+]c1C)[C@H]1CCS(=O)(=O)C1 ZINC000351955095 410367897 /nfs/dbraw/zinc/36/78/97/410367897.db2.gz GGVPIRLBTFWGTE-ZDUSSCGKSA-N 1 2 323.418 1.905 20 30 DDEDLO O=C(NCc1cn2ccccc2[nH+]1)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000329590639 410374618 /nfs/dbraw/zinc/37/46/18/410374618.db2.gz YOCOMKRCRSHJQE-UBHSHLNASA-N 1 2 300.362 1.451 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@@H]1CCCOC1 ZINC000352213491 410551297 /nfs/dbraw/zinc/55/12/97/410551297.db2.gz VGBGJOSFVGKQEY-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)[C@@H]1CCCOC1 ZINC000352213491 410551302 /nfs/dbraw/zinc/55/13/02/410551302.db2.gz VGBGJOSFVGKQEY-CQSZACIVSA-N 1 2 308.403 1.443 20 30 DDEDLO Cc1cc(NC[C@H]2CCC3(CCOCC3)[C@H]2O)c(C#N)c[nH+]1 ZINC000333462813 410560592 /nfs/dbraw/zinc/56/05/92/410560592.db2.gz LZRCTKWKVDWEDI-CJNGLKHVSA-N 1 2 301.390 1.663 20 30 DDEDLO [O-]C(N[C@H]1CCn2cc[nH+]c2C1)=[NH+]CC1(O)CCCCCC1 ZINC000330284850 410610582 /nfs/dbraw/zinc/61/05/82/410610582.db2.gz YBISYGMLICQJLK-ZDUSSCGKSA-N 1 2 306.410 1.787 20 30 DDEDLO [O-]C(NCC1(O)CCCCCC1)=[NH+][C@H]1CCn2cc[nH+]c2C1 ZINC000330284850 410610584 /nfs/dbraw/zinc/61/05/84/410610584.db2.gz YBISYGMLICQJLK-ZDUSSCGKSA-N 1 2 306.410 1.787 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CCC[C@@H](c3nnc4n3CCC4)C2)n1 ZINC000356190959 410888182 /nfs/dbraw/zinc/88/81/82/410888182.db2.gz JGHDQNFMWDCWCB-LLVKDONJSA-N 1 2 314.418 1.932 20 30 DDEDLO N#Cc1csc(C[N@H+]2CCC[C@@H](c3nnc4n3CCC4)C2)n1 ZINC000356190959 410888187 /nfs/dbraw/zinc/88/81/87/410888187.db2.gz JGHDQNFMWDCWCB-LLVKDONJSA-N 1 2 314.418 1.932 20 30 DDEDLO CC[C@@H]1C[N@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)cc1 ZINC000451933728 287190654 /nfs/dbraw/zinc/19/06/54/287190654.db2.gz PMWYXHXGUMSSQZ-CQSZACIVSA-N 1 2 307.419 1.663 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC)CCN1S(=O)(=O)c1ccc(C#N)cc1 ZINC000451933728 287190655 /nfs/dbraw/zinc/19/06/55/287190655.db2.gz PMWYXHXGUMSSQZ-CQSZACIVSA-N 1 2 307.419 1.663 20 30 DDEDLO CC[C@@H]1C[N@H+](CC(=O)NC(=O)NC2CC2)C[C@@]2(CCOC2)O1 ZINC000330072605 287188989 /nfs/dbraw/zinc/18/89/89/287188989.db2.gz JTYSUPNUMJORSE-IUODEOHRSA-N 1 2 311.382 1.289 20 30 DDEDLO CC[C@@H]1C[N@@H+](CC(=O)NC(=O)NC2CC2)C[C@@]2(CCOC2)O1 ZINC000330072605 287188990 /nfs/dbraw/zinc/18/89/90/287188990.db2.gz JTYSUPNUMJORSE-IUODEOHRSA-N 1 2 311.382 1.289 20 30 DDEDLO C[C@@H](C[NH+]1CCN(C)CC1)NC(=O)Nc1ccc(C#N)c(F)c1 ZINC000353557011 411061482 /nfs/dbraw/zinc/06/14/82/411061482.db2.gz HJKHVHVKJJACAY-LBPRGKRZSA-N 1 2 319.384 1.455 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCCc2cn3ccccc3[nH+]2)o1 ZINC000344226050 411039793 /nfs/dbraw/zinc/03/97/93/411039793.db2.gz RUASQOCYPFUMSZ-UHFFFAOYSA-N 1 2 316.342 1.320 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@@H](C(=O)N1CC(O)(CC#N)C1)C2 ZINC000373512562 418433622 /nfs/dbraw/zinc/43/36/22/418433622.db2.gz BBAMVWAJOHNHGN-LLVKDONJSA-N 1 2 302.378 1.125 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@H](C(=O)N1CC(O)(CC#N)C1)CC2 ZINC000373512562 418433626 /nfs/dbraw/zinc/43/36/26/418433626.db2.gz BBAMVWAJOHNHGN-LLVKDONJSA-N 1 2 302.378 1.125 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H](NS(=O)(=O)CCCCC#N)C1 ZINC000374350376 418521635 /nfs/dbraw/zinc/52/16/35/418521635.db2.gz KCXLFKAUHDJVLS-OAHLLOKOSA-N 1 2 307.419 1.786 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H](NS(=O)(=O)CCCCC#N)C1 ZINC000374350376 418521637 /nfs/dbraw/zinc/52/16/37/418521637.db2.gz KCXLFKAUHDJVLS-OAHLLOKOSA-N 1 2 307.419 1.786 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1ccc(C#N)s1)CC2 ZINC000367107740 418560329 /nfs/dbraw/zinc/56/03/29/418560329.db2.gz OFTTZGGTPGUIJK-UHFFFAOYSA-N 1 2 308.388 1.329 20 30 DDEDLO CCO[C@@H]1C[C@@]([NH2+]CCCSCC#N)(C(=O)OC)C1(C)C ZINC000189863765 222059009 /nfs/dbraw/zinc/05/90/09/222059009.db2.gz DKSWCXWBXFDOGK-IUODEOHRSA-N 1 2 314.451 1.970 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCO[C@@H](Cc2ccccc2)C1 ZINC000245598544 222217663 /nfs/dbraw/zinc/21/76/63/222217663.db2.gz DMJIESHUZOSSAN-RDJZCZTQSA-N 1 2 315.417 1.690 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCO[C@@H](Cc2ccccc2)C1 ZINC000245598544 222217666 /nfs/dbraw/zinc/21/76/66/222217666.db2.gz DMJIESHUZOSSAN-RDJZCZTQSA-N 1 2 315.417 1.690 20 30 DDEDLO Cc1noc([C@@H]2CCC[N@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)n1 ZINC000249749912 222242053 /nfs/dbraw/zinc/24/20/53/222242053.db2.gz BZWPKWBTMTUFPR-MLGOLLRUSA-N 1 2 317.393 1.366 20 30 DDEDLO Cc1noc([C@@H]2CCC[N@@H+](CC(=O)N[C@](C)(C#N)C3CC3)C2)n1 ZINC000249749912 222242057 /nfs/dbraw/zinc/24/20/57/222242057.db2.gz BZWPKWBTMTUFPR-MLGOLLRUSA-N 1 2 317.393 1.366 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN1CC(=O)NCc1cccc(C#N)c1 ZINC000375028398 418591024 /nfs/dbraw/zinc/59/10/24/418591024.db2.gz CMYULPIGOKTXLD-MRXNPFEDSA-N 1 2 323.400 1.745 20 30 DDEDLO CN(C)c1ccc(CNC(=O)Cc2ccc(OCC#N)cc2)c[nH+]1 ZINC000264754752 222336013 /nfs/dbraw/zinc/33/60/13/222336013.db2.gz MUABIQRPVNVGEG-UHFFFAOYSA-N 1 2 324.384 1.909 20 30 DDEDLO CCc1cc(N)nc(S[C@H](C)C(=O)NC2(C#N)CCC2)[nH+]1 ZINC000331915026 418614385 /nfs/dbraw/zinc/61/43/85/418614385.db2.gz UDROWVNBIOUVGC-SECBINFHSA-N 1 2 305.407 1.664 20 30 DDEDLO CN(C)C(=O)[C@H]1CCC[C@@H](/[NH+]=C/c2ccc(O)c(O)c2O)C1 ZINC000265115427 222346671 /nfs/dbraw/zinc/34/66/71/222346671.db2.gz FPRHIXWZFXNIJK-IOZWDWOISA-N 1 2 306.362 1.869 20 30 DDEDLO CCc1[nH+]c2ccccc2n1CC(=O)NC1(C#N)CCOCC1 ZINC000265161016 222347987 /nfs/dbraw/zinc/34/79/87/222347987.db2.gz DXEYCXMRNMMPFO-UHFFFAOYSA-N 1 2 312.373 1.788 20 30 DDEDLO C=CCOc1ccc(CNc2nc3c(cnn3C)c(N)[nH+]2)cc1 ZINC000343219529 418631157 /nfs/dbraw/zinc/63/11/57/418631157.db2.gz DAHSAJJLFXZMDK-UHFFFAOYSA-N 1 2 310.361 2.122 20 30 DDEDLO N#Cc1ccc(C(=O)NCCNc2ccc3ccccc3[nH+]2)cn1 ZINC000346461404 418638040 /nfs/dbraw/zinc/63/80/40/418638040.db2.gz AQYMJBDOWOZUFO-UHFFFAOYSA-N 1 2 317.352 2.343 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)C(=O)N[C@@H]1CCc2[nH+]c[nH]c2C1 ZINC000378089258 418718644 /nfs/dbraw/zinc/71/86/44/418718644.db2.gz DLOWKZQFSZEGQF-CQSZACIVSA-N 1 2 309.373 1.980 20 30 DDEDLO CN(Cc1ccc(C#N)cc1)C(=O)N[C@@H]1CCc2[nH]c[nH+]c2C1 ZINC000378089258 418718645 /nfs/dbraw/zinc/71/86/45/418718645.db2.gz DLOWKZQFSZEGQF-CQSZACIVSA-N 1 2 309.373 1.980 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[N@H+](Cc2ccccc2)C(C)(C)C1 ZINC000383068337 418732801 /nfs/dbraw/zinc/73/28/01/418732801.db2.gz IHCMVCOHLUQGRF-AWEZNQCLSA-N 1 2 321.446 1.825 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)N1CC[N@@H+](Cc2ccccc2)C(C)(C)C1 ZINC000383068337 418732803 /nfs/dbraw/zinc/73/28/03/418732803.db2.gz IHCMVCOHLUQGRF-AWEZNQCLSA-N 1 2 321.446 1.825 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CC[C@H](OC)C[C@@H]2C(=O)OC)cc1 ZINC000370368942 418743952 /nfs/dbraw/zinc/74/39/52/418743952.db2.gz YMFRJUUNOJCYKC-DLBZAZTESA-N 1 2 317.385 1.851 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CC[C@H](OC)C[C@@H]2C(=O)OC)cc1 ZINC000370368942 418743954 /nfs/dbraw/zinc/74/39/54/418743954.db2.gz YMFRJUUNOJCYKC-DLBZAZTESA-N 1 2 317.385 1.851 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1cc(C#N)ccc1C)CC2 ZINC000363716714 418769429 /nfs/dbraw/zinc/76/94/29/418769429.db2.gz CDVFSKOMLGJQEJ-UHFFFAOYSA-N 1 2 316.386 1.576 20 30 DDEDLO CCOC(=O)[C@H]1CCCCC[N@@H+]1CC(=O)N(CC)C[C@H](C)C#N ZINC000363852854 418770293 /nfs/dbraw/zinc/77/02/93/418770293.db2.gz OQBLGRYZICJIGV-HUUCEWRRSA-N 1 2 323.437 1.802 20 30 DDEDLO CCOC(=O)[C@H]1CCCCC[N@H+]1CC(=O)N(CC)C[C@H](C)C#N ZINC000363852854 418770295 /nfs/dbraw/zinc/77/02/95/418770295.db2.gz OQBLGRYZICJIGV-HUUCEWRRSA-N 1 2 323.437 1.802 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)C)CC1 ZINC000368661596 418723902 /nfs/dbraw/zinc/72/39/02/418723902.db2.gz YXVACDIHNZAYJP-UHFFFAOYSA-N 1 2 322.453 1.329 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCCN(C(=O)C(C)(C)C)CC1 ZINC000368661596 418723906 /nfs/dbraw/zinc/72/39/06/418723906.db2.gz YXVACDIHNZAYJP-UHFFFAOYSA-N 1 2 322.453 1.329 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@H+](CC#Cc2ccc(F)cc2)C1 ZINC000364955632 418828303 /nfs/dbraw/zinc/82/83/03/418828303.db2.gz QNIWWOIHNMBGLI-HNNXBMFYSA-N 1 2 310.394 1.191 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000364955632 418828304 /nfs/dbraw/zinc/82/83/04/418828304.db2.gz QNIWWOIHNMBGLI-HNNXBMFYSA-N 1 2 310.394 1.191 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000410784583 418847403 /nfs/dbraw/zinc/84/74/03/418847403.db2.gz ALZVZXNUKHHFRS-CQSZACIVSA-N 1 2 303.362 1.096 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@H]1c1[nH+]ccn1C ZINC000372971411 418917588 /nfs/dbraw/zinc/91/75/88/418917588.db2.gz BYJFYUWRKNARSM-KBPBESRZSA-N 1 2 303.410 1.565 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)N1CCC[N@@H+](CC(=O)NC)CC1 ZINC000373232435 418932748 /nfs/dbraw/zinc/93/27/48/418932748.db2.gz VLZUPRIXLAXEEK-AWEZNQCLSA-N 1 2 310.442 1.195 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)N1CCC[N@H+](CC(=O)NC)CC1 ZINC000373232435 418932749 /nfs/dbraw/zinc/93/27/49/418932749.db2.gz VLZUPRIXLAXEEK-AWEZNQCLSA-N 1 2 310.442 1.195 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@H](CO)[C@H]2C)c(C#N)c1C ZINC000412088196 419642880 /nfs/dbraw/zinc/64/28/80/419642880.db2.gz PEYFFNJHMJOEES-DGCLKSJQSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@H](CO)[C@H]2C)c(C#N)c1C ZINC000412088196 419642886 /nfs/dbraw/zinc/64/28/86/419642886.db2.gz PEYFFNJHMJOEES-DGCLKSJQSA-N 1 2 305.378 1.799 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[N@@H+](C)CC2(C)C)ccc1C#N ZINC000443887091 230035933 /nfs/dbraw/zinc/03/59/33/230035933.db2.gz VGXBHBAXZQQDRF-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[N@H+](C)CC2(C)C)ccc1C#N ZINC000443887091 230035935 /nfs/dbraw/zinc/03/59/35/230035935.db2.gz VGXBHBAXZQQDRF-UHFFFAOYSA-N 1 2 307.419 1.581 20 30 DDEDLO CC#CCCNC(=O)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000440725140 420601222 /nfs/dbraw/zinc/60/12/22/420601222.db2.gz DTVZHONDLCYNMM-UHFFFAOYSA-N 1 2 310.357 1.555 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(C[C@H](C#N)CCC#N)C1 ZINC000440487785 420580691 /nfs/dbraw/zinc/58/06/91/420580691.db2.gz AVRYAAVQVNVABC-YOEHRIQHSA-N 1 2 320.437 1.826 20 30 DDEDLO CC(C)(C)OC(=O)CN[C@@]1(C)CC[N@H+](C[C@H](C#N)CCC#N)C1 ZINC000440487785 420580697 /nfs/dbraw/zinc/58/06/97/420580697.db2.gz AVRYAAVQVNVABC-YOEHRIQHSA-N 1 2 320.437 1.826 20 30 DDEDLO CC(C)(C)OC(=O)CN[C@@]1(C)CC[N@@H+](C[C@H](C#N)CCC#N)C1 ZINC000440487785 420580701 /nfs/dbraw/zinc/58/07/01/420580701.db2.gz AVRYAAVQVNVABC-YOEHRIQHSA-N 1 2 320.437 1.826 20 30 DDEDLO CN(C)c1[nH+]cccc1CNS(=O)(=O)CC(C)(C)CC#N ZINC000451048721 420588357 /nfs/dbraw/zinc/58/83/57/420588357.db2.gz GTFVCPBPGBDVBQ-UHFFFAOYSA-N 1 2 310.423 1.507 20 30 DDEDLO CC[C@@H](CC(F)F)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000457508726 420632135 /nfs/dbraw/zinc/63/21/35/420632135.db2.gz QLAWFTXMZAGSEF-ZDUSSCGKSA-N 1 2 317.380 1.742 20 30 DDEDLO C=C(C)CNC(=O)N1CC[C@@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000441108718 420633305 /nfs/dbraw/zinc/63/33/05/420633305.db2.gz DGRWGJIWYIRXDY-MRXNPFEDSA-N 1 2 311.426 1.668 20 30 DDEDLO COc1cc(CNC(=O)NCCc2cn(C)c[nH+]2)ccc1C#N ZINC000458794508 420738132 /nfs/dbraw/zinc/73/81/32/420738132.db2.gz URVGGKUFOQWKJQ-UHFFFAOYSA-N 1 2 313.361 1.342 20 30 DDEDLO C=C(CC)CNC(=O)N1CC[C@H]([N@H+](C)Cc2ncccn2)C1 ZINC000459110132 420806567 /nfs/dbraw/zinc/80/65/67/420806567.db2.gz ZYAAFTYHJVKUHC-AWEZNQCLSA-N 1 2 303.410 1.659 20 30 DDEDLO C=C(CC)CNC(=O)N1CC[C@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000459110132 420806572 /nfs/dbraw/zinc/80/65/72/420806572.db2.gz ZYAAFTYHJVKUHC-AWEZNQCLSA-N 1 2 303.410 1.659 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)NCc1ccc(C#N)c(F)c1 ZINC000454277453 420828927 /nfs/dbraw/zinc/82/89/27/420828927.db2.gz UDWHSWGFSOPKPK-LBPRGKRZSA-N 1 2 320.368 1.217 20 30 DDEDLO C[C@@H]1C[C@]1(C#N)C(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000448611116 420872293 /nfs/dbraw/zinc/87/22/93/420872293.db2.gz BKTSVDLJAATMLX-RDTXWAMCSA-N 1 2 323.400 1.528 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000448647668 420878856 /nfs/dbraw/zinc/87/88/56/420878856.db2.gz JSCRSTXXQMDNED-KYJSFNMBSA-N 1 2 313.401 1.726 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494131547 420996649 /nfs/dbraw/zinc/99/66/49/420996649.db2.gz GCSYAHUATVISDH-KGLIPLIRSA-N 1 2 307.394 1.102 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC000494131547 420996652 /nfs/dbraw/zinc/99/66/52/420996652.db2.gz GCSYAHUATVISDH-KGLIPLIRSA-N 1 2 307.394 1.102 20 30 DDEDLO C=CCC1(CNC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)CCCC1 ZINC000455486615 421023278 /nfs/dbraw/zinc/02/32/78/421023278.db2.gz ZYTNFXLVJOYLQU-GJZGRUSLSA-N 1 2 307.438 1.847 20 30 DDEDLO C=CCC1(CNC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)CCCC1 ZINC000455486615 421023282 /nfs/dbraw/zinc/02/32/82/421023282.db2.gz ZYTNFXLVJOYLQU-GJZGRUSLSA-N 1 2 307.438 1.847 20 30 DDEDLO CCCCNC(=O)OC[C@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC000495812910 421068699 /nfs/dbraw/zinc/06/86/99/421068699.db2.gz DIKZQNNXUDBYHG-CYBMUJFWSA-N 1 2 310.398 1.007 20 30 DDEDLO CCCCNC(=O)OC[C@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC000495812910 421068702 /nfs/dbraw/zinc/06/87/02/421068702.db2.gz DIKZQNNXUDBYHG-CYBMUJFWSA-N 1 2 310.398 1.007 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489126838 421137105 /nfs/dbraw/zinc/13/71/05/421137105.db2.gz VCNUHXIZHCOFMY-SNVBAGLBSA-N 1 2 308.338 1.261 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)cc1F ZINC000489627622 421168984 /nfs/dbraw/zinc/16/89/84/421168984.db2.gz XJPCQGKLMUWDGR-CYBMUJFWSA-N 1 2 320.349 1.946 20 30 DDEDLO Cc1c(C#N)c(NC[C@@H]2CN(C)CC[N@@H+]2C)nc2ccccc12 ZINC000450335959 421185109 /nfs/dbraw/zinc/18/51/09/421185109.db2.gz NHFSBIQEGWEAGY-CQSZACIVSA-N 1 2 309.417 2.073 20 30 DDEDLO Cc1c(C#N)c(NC[C@@H]2CN(C)CC[N@H+]2C)nc2ccccc12 ZINC000450335959 421185112 /nfs/dbraw/zinc/18/51/12/421185112.db2.gz NHFSBIQEGWEAGY-CQSZACIVSA-N 1 2 309.417 2.073 20 30 DDEDLO CN1CC[N@H+](C)C[C@H]1c1noc(COc2ccc(C#N)cc2)n1 ZINC000545089485 421237841 /nfs/dbraw/zinc/23/78/41/421237841.db2.gz WPESSRNFQZPMKN-AWEZNQCLSA-N 1 2 313.361 1.439 20 30 DDEDLO CN1CC[N@@H+](C)C[C@H]1c1noc(COc2ccc(C#N)cc2)n1 ZINC000545089485 421237844 /nfs/dbraw/zinc/23/78/44/421237844.db2.gz WPESSRNFQZPMKN-AWEZNQCLSA-N 1 2 313.361 1.439 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000528776416 421514912 /nfs/dbraw/zinc/51/49/12/421514912.db2.gz WDFNUWNTJYHHRZ-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000528776416 421514915 /nfs/dbraw/zinc/51/49/15/421514915.db2.gz WDFNUWNTJYHHRZ-KGLIPLIRSA-N 1 2 314.451 1.063 20 30 DDEDLO COC(=O)c1cccc(C[N@H+](C)[C@@H]2CCC(=O)N(C)C2)c1C#N ZINC000514795674 421456162 /nfs/dbraw/zinc/45/61/62/421456162.db2.gz BCCXJFUUJZEJMO-CYBMUJFWSA-N 1 2 315.373 1.397 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+](C)[C@@H]2CCC(=O)N(C)C2)c1C#N ZINC000514795674 421456165 /nfs/dbraw/zinc/45/61/65/421456165.db2.gz BCCXJFUUJZEJMO-CYBMUJFWSA-N 1 2 315.373 1.397 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@@H+]1CCOCC12CCC2 ZINC000528422772 421488178 /nfs/dbraw/zinc/48/81/78/421488178.db2.gz SWLNUQXRSJAMQQ-UHFFFAOYSA-N 1 2 324.380 1.541 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@H+]1CCOCC12CCC2 ZINC000528422772 421488182 /nfs/dbraw/zinc/48/81/82/421488182.db2.gz SWLNUQXRSJAMQQ-UHFFFAOYSA-N 1 2 324.380 1.541 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc(-c2nnco2)cc1 ZINC000568008218 421616511 /nfs/dbraw/zinc/61/65/11/421616511.db2.gz PVMFHZVDQGUTFW-ZDUSSCGKSA-N 1 2 302.334 1.322 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc(-c2nnco2)cc1 ZINC000568008218 421616516 /nfs/dbraw/zinc/61/65/16/421616516.db2.gz PVMFHZVDQGUTFW-ZDUSSCGKSA-N 1 2 302.334 1.322 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)C1=Cc2cc(F)ccc2OC1 ZINC000568638167 421621245 /nfs/dbraw/zinc/62/12/45/421621245.db2.gz OLXPJVISPRLRFM-MRXNPFEDSA-N 1 2 303.337 1.562 20 30 DDEDLO N#CCc1ccc(CN2C(=O)N[C@@H](Cc3c[nH+]c[nH]3)C2=O)cc1 ZINC000569223202 421625086 /nfs/dbraw/zinc/62/50/86/421625086.db2.gz VEIBEBNFHBPBGJ-AWEZNQCLSA-N 1 2 309.329 1.139 20 30 DDEDLO N#CCc1ccc(CN2C(=O)N[C@@H](Cc3c[nH]c[nH+]3)C2=O)cc1 ZINC000569223202 421625088 /nfs/dbraw/zinc/62/50/88/421625088.db2.gz VEIBEBNFHBPBGJ-AWEZNQCLSA-N 1 2 309.329 1.139 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)NCCCCn2cc[nH+]c2)c1 ZINC000518193296 421642961 /nfs/dbraw/zinc/64/29/61/421642961.db2.gz KNFHNAZIVDNHAK-UHFFFAOYSA-N 1 2 311.345 1.290 20 30 DDEDLO CC(C)Cn1ccnc1C[NH2+]Cc1cnc2c(C#N)cnn2c1 ZINC000570720559 421664904 /nfs/dbraw/zinc/66/49/04/421664904.db2.gz IRLJWFUWDYXHEN-UHFFFAOYSA-N 1 2 309.377 1.743 20 30 DDEDLO C=CCOCCCC(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000556835526 421745158 /nfs/dbraw/zinc/74/51/58/421745158.db2.gz JAEXKCWOLVHEPA-CQSZACIVSA-N 1 2 321.421 1.140 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1 ZINC000540726655 421767722 /nfs/dbraw/zinc/76/77/22/421767722.db2.gz ZEGPLOVHGNBIKL-LSDHHAIUSA-N 1 2 314.389 1.746 20 30 DDEDLO N#Cc1ccc(CCC(=O)NCC2([NH+]3CCOCC3)CC2)cc1 ZINC000581004235 421880487 /nfs/dbraw/zinc/88/04/87/421880487.db2.gz VNSCQAMWJNITRO-UHFFFAOYSA-N 1 2 313.401 1.472 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cc(Br)co1 ZINC000573210497 421930917 /nfs/dbraw/zinc/93/09/17/421930917.db2.gz LHQNZPBKTKVZQX-NSHDSACASA-N 1 2 300.156 1.616 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ncsc1C(F)(F)F ZINC000573924881 422035930 /nfs/dbraw/zinc/03/59/30/422035930.db2.gz JIAIXBJKHVSCSM-JTQLQIEISA-N 1 2 306.313 1.736 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)CSc1ccccc1[N+](=O)[O-] ZINC000583503155 422175702 /nfs/dbraw/zinc/17/57/02/422175702.db2.gz RULYAEJSIGCNRM-AWEZNQCLSA-N 1 2 322.390 1.647 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@@H+]1C ZINC000628576121 422236496 /nfs/dbraw/zinc/23/64/96/422236496.db2.gz QPBIDNLJTSLBTC-WMLDXEAASA-N 1 2 310.438 1.321 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)NC[C@@]1(CO)COCC2(CCC2)[N@H+]1C ZINC000628576121 422236499 /nfs/dbraw/zinc/23/64/99/422236499.db2.gz QPBIDNLJTSLBTC-WMLDXEAASA-N 1 2 310.438 1.321 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@]2([NH+]3CCOCC3)CCSC2)C1 ZINC000630809304 422350791 /nfs/dbraw/zinc/35/07/91/422350791.db2.gz XQFBTXSPOHYUCQ-MRXNPFEDSA-N 1 2 310.463 1.667 20 30 DDEDLO Cn1cc([C@@H]([NH2+]C[C@@H](C#N)CCC#N)C2(CO)CCC2)cn1 ZINC000576040499 422362963 /nfs/dbraw/zinc/36/29/63/422362963.db2.gz IFHVXGHXSUTJIL-UKRRQHHQSA-N 1 2 301.394 1.657 20 30 DDEDLO C=CCSCCNc1nc2c(cnn2C(C)(C)C)c(N)[nH+]1 ZINC000596093552 422365546 /nfs/dbraw/zinc/36/55/46/422365546.db2.gz GIOGFCZPIGPXTO-UHFFFAOYSA-N 1 2 306.439 2.495 20 30 DDEDLO C[C@@H]1C[N@H+](CCNc2cc(C#N)nc(C3CC3)n2)[C@@H](C)CO1 ZINC000601193548 422420902 /nfs/dbraw/zinc/42/09/02/422420902.db2.gz KGDNZSBLJQCXJM-NWDGAFQWSA-N 1 2 301.394 1.747 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNc2cc(C#N)nc(C3CC3)n2)[C@@H](C)CO1 ZINC000601193548 422420905 /nfs/dbraw/zinc/42/09/05/422420905.db2.gz KGDNZSBLJQCXJM-NWDGAFQWSA-N 1 2 301.394 1.747 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)Cc1c[nH]c2cc(F)ccc12 ZINC000577805265 422430317 /nfs/dbraw/zinc/43/03/17/422430317.db2.gz ZWHHMVRCYUGUNG-INIZCTEOSA-N 1 2 302.353 1.810 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCCO[C@@H](CF)C2)c1C#N ZINC000578301449 422540609 /nfs/dbraw/zinc/54/06/09/422540609.db2.gz NFFLQIIAMJYKEB-ZDUSSCGKSA-N 1 2 306.337 1.905 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCCO[C@@H](CF)C2)c1C#N ZINC000578301449 422540613 /nfs/dbraw/zinc/54/06/13/422540613.db2.gz NFFLQIIAMJYKEB-ZDUSSCGKSA-N 1 2 306.337 1.905 20 30 DDEDLO CC(=O)N1CC[NH+](Cc2cn(C)nc2-c2ccc(C#N)cc2)CC1 ZINC000179867203 263362834 /nfs/dbraw/zinc/36/28/34/263362834.db2.gz PCISIWWTHWVWLW-UHFFFAOYSA-N 1 2 323.400 1.623 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)N(C)[C@@H]2CCCC[C@H]2C)nn1 ZINC000640966177 423302249 /nfs/dbraw/zinc/30/22/49/423302249.db2.gz MGGPJYIVGIOXKC-GDBMZVCRSA-N 1 2 317.437 1.428 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[C@H](C)[C@@H](n3cc[nH+]c3)C2)nn1 ZINC000648823791 423481340 /nfs/dbraw/zinc/48/13/40/423481340.db2.gz RMDRZAUOSPGYFW-JSGCOSHPSA-N 1 2 300.366 1.384 20 30 DDEDLO C=CCCn1cc(CN2CCN(c3c[nH+]ccc3C)CC2)nn1 ZINC000653657618 423568745 /nfs/dbraw/zinc/56/87/45/423568745.db2.gz CFWOSUANDJNYFM-UHFFFAOYSA-N 1 2 312.421 1.880 20 30 DDEDLO CC#CC[C@H](CO)[NH2+]Cc1c(Cl)cccc1S(C)(=O)=O ZINC000641767304 423962999 /nfs/dbraw/zinc/96/29/99/423962999.db2.gz ILAJRNSHSNWFBW-LLVKDONJSA-N 1 2 315.822 1.607 20 30 DDEDLO C=CCC[C@@H](CO)Nc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000663991055 424319933 /nfs/dbraw/zinc/31/99/33/424319933.db2.gz MKBYKHFYFWASQC-ZDUSSCGKSA-N 1 2 306.410 1.567 20 30 DDEDLO C=CCC[C@@H](CO)Nc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000663991055 424319938 /nfs/dbraw/zinc/31/99/38/424319938.db2.gz MKBYKHFYFWASQC-ZDUSSCGKSA-N 1 2 306.410 1.567 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1csc(CNC(=O)c2ccccc2)n1 ZINC000662167690 424453027 /nfs/dbraw/zinc/45/30/27/424453027.db2.gz FTLBSWDOGANNLF-CYBMUJFWSA-N 1 2 317.414 1.710 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CCc2nc(C(C)=O)c(C)[nH]2)C1=O ZINC000660463394 424695656 /nfs/dbraw/zinc/69/56/56/424695656.db2.gz CFNQCSWOJQSKPV-CYBMUJFWSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CCc2nc(C(C)=O)c(C)[nH]2)C1=O ZINC000660463394 424695658 /nfs/dbraw/zinc/69/56/58/424695658.db2.gz CFNQCSWOJQSKPV-CYBMUJFWSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CCc2nc(C)c(C(C)=O)[nH]2)C1=O ZINC000660463394 424695660 /nfs/dbraw/zinc/69/56/60/424695660.db2.gz CFNQCSWOJQSKPV-CYBMUJFWSA-N 1 2 304.394 1.182 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CCc2nc(C)c(C(C)=O)[nH]2)C1=O ZINC000660463394 424695662 /nfs/dbraw/zinc/69/56/62/424695662.db2.gz CFNQCSWOJQSKPV-CYBMUJFWSA-N 1 2 304.394 1.182 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)N1CCn2cc(C)[nH+]c2C1 ZINC000374697372 266643204 /nfs/dbraw/zinc/64/32/04/266643204.db2.gz NTPIRVNNTHKFFB-MRXNPFEDSA-N 1 2 310.357 1.793 20 30 DDEDLO C[C@H](C(=O)NC(C)(C)C)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355098127 267130511 /nfs/dbraw/zinc/13/05/11/267130511.db2.gz FBLFZIVRWQNECW-CYBMUJFWSA-N 1 2 315.421 1.378 20 30 DDEDLO C[C@]1(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)CCOC1 ZINC000347985421 267257657 /nfs/dbraw/zinc/25/76/57/267257657.db2.gz ANSNJGSESJKGEM-SFHVURJKSA-N 1 2 313.401 1.629 20 30 DDEDLO CNS(=O)(=O)[C@H]1CC[N@H+](Cc2ccc(C#N)cc2Cl)C1 ZINC000527378018 267350319 /nfs/dbraw/zinc/35/03/19/267350319.db2.gz XCLRWGXVXBWPNH-LBPRGKRZSA-N 1 2 313.810 1.335 20 30 DDEDLO CNS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2Cl)C1 ZINC000527378018 267350322 /nfs/dbraw/zinc/35/03/22/267350322.db2.gz XCLRWGXVXBWPNH-LBPRGKRZSA-N 1 2 313.810 1.335 20 30 DDEDLO N#Cc1ccc(CC(=O)NCCc2cn3c([nH+]2)CCCC3)cc1 ZINC000345666284 268056375 /nfs/dbraw/zinc/05/63/75/268056375.db2.gz OCUPOKWILCKKLY-UHFFFAOYSA-N 1 2 308.385 1.992 20 30 DDEDLO N#Cc1ccc(N2CC[NH+]([C@H]3COC[C@@H]3O)CC2)c(Cl)c1 ZINC000361393669 268119679 /nfs/dbraw/zinc/11/96/79/268119679.db2.gz YEUJCJSTOFTYHT-GJZGRUSLSA-N 1 2 307.781 1.093 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@@H+]2CCC[C@@H]2c2ncon2)cc1 ZINC000377498305 268178282 /nfs/dbraw/zinc/17/82/82/268178282.db2.gz PHUCDMATCQUHDL-UKRRQHHQSA-N 1 2 314.345 1.518 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@H+]2CCC[C@@H]2c2ncon2)cc1 ZINC000377498305 268178283 /nfs/dbraw/zinc/17/82/83/268178283.db2.gz PHUCDMATCQUHDL-UKRRQHHQSA-N 1 2 314.345 1.518 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2C[C@@H]3CCCCC[C@@H]32)nc1 ZINC000377569230 268188137 /nfs/dbraw/zinc/18/81/37/268188137.db2.gz AMCSZMPXBPUEKG-GJZGRUSLSA-N 1 2 319.430 1.991 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2C[C@@H]3CCCCC[C@@H]32)nc1 ZINC000377569230 268188139 /nfs/dbraw/zinc/18/81/39/268188139.db2.gz AMCSZMPXBPUEKG-GJZGRUSLSA-N 1 2 319.430 1.991 20 30 DDEDLO N#Cc1ccc([N+](=O)[O-])c(N2CCN(Cc3[nH]cc[nH+]3)CC2)c1 ZINC000341564215 268216896 /nfs/dbraw/zinc/21/68/96/268216896.db2.gz LKDMYFRJZOMEGQ-UHFFFAOYSA-N 1 2 312.333 1.512 20 30 DDEDLO N#Cc1cccc(CNC(=O)NC[C@H]2C[N@@H+](C3CC3)CCO2)c1 ZINC000366255526 268253750 /nfs/dbraw/zinc/25/37/50/268253750.db2.gz LHIJYSHHYNXGSY-INIZCTEOSA-N 1 2 314.389 1.221 20 30 DDEDLO N#Cc1cccc(CNC(=O)NC[C@H]2C[N@H+](C3CC3)CCO2)c1 ZINC000366255526 268253753 /nfs/dbraw/zinc/25/37/53/268253753.db2.gz LHIJYSHHYNXGSY-INIZCTEOSA-N 1 2 314.389 1.221 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CCc3cc[nH]c(=O)c3C2)c1 ZINC000375984558 268266556 /nfs/dbraw/zinc/26/65/56/268266556.db2.gz JHVZYFRIBQTMMG-UHFFFAOYSA-N 1 2 308.341 1.656 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CCc3cc[nH]c(=O)c3C2)c1 ZINC000375984558 268266558 /nfs/dbraw/zinc/26/65/58/268266558.db2.gz JHVZYFRIBQTMMG-UHFFFAOYSA-N 1 2 308.341 1.656 20 30 DDEDLO N#Cc1ccncc1NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000361796965 268301101 /nfs/dbraw/zinc/30/11/01/268301101.db2.gz GOCJZMYBETWUGV-OAHLLOKOSA-N 1 2 304.419 1.573 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+]Cc2ccc(Cl)cn2)C(N)=O)cc1 ZINC000344480409 272034954 /nfs/dbraw/zinc/03/49/54/272034954.db2.gz FPDYMPVZZLGGSO-CQSZACIVSA-N 1 2 300.749 1.923 20 30 DDEDLO C#CC[NH+]1CCN(c2cc(C(=O)OC)ccc2[N+](=O)[O-])CC1 ZINC000302086448 275382749 /nfs/dbraw/zinc/38/27/49/275382749.db2.gz KSMFBPNJDJSSBS-UHFFFAOYSA-N 1 2 303.318 1.137 20 30 DDEDLO C=CCCCNC(=O)NC[C@@]1([NH+]2CCOCC2)CCSC1 ZINC000447984082 277829148 /nfs/dbraw/zinc/82/91/48/277829148.db2.gz WEBUGMPPGGTPNW-HNNXBMFYSA-N 1 2 313.467 1.460 20 30 DDEDLO C=CCC[C@@H](CO)NC(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000456766364 277837016 /nfs/dbraw/zinc/83/70/16/277837016.db2.gz IJTPULMDOZKXSB-HOTGVXAUSA-N 1 2 312.454 1.567 20 30 DDEDLO Cc1cccc(NC(=O)C(=O)N(C)Cc2[nH+]ccn2C)c1C#N ZINC000119701986 281105605 /nfs/dbraw/zinc/10/56/05/281105605.db2.gz BCWWFRZLWIUSBF-UHFFFAOYSA-N 1 2 311.345 1.197 20 30 DDEDLO C[NH+](C)CCCN(CCC#N)C(=O)c1cc([N+](=O)[O-])ccc1O ZINC000272407914 289113349 /nfs/dbraw/zinc/11/33/49/289113349.db2.gz OBGURINAASUHSE-UHFFFAOYSA-N 1 2 320.349 1.608 20 30 DDEDLO C[C@]12CCC(=O)N1[C@H](C(=O)N[C@@H]1CCn3cc[nH+]c3C1)CS2 ZINC000330268001 300862736 /nfs/dbraw/zinc/86/27/36/300862736.db2.gz AQDCIXOADKIJTH-ZETOZRRWSA-N 1 2 320.418 1.608 20 30 DDEDLO N#CCCOCC[N@H+]1CCOC[C@@H]1CC(=O)c1ccccc1 ZINC000293838506 303153830 /nfs/dbraw/zinc/15/38/30/303153830.db2.gz QIALRYLUHZKGDL-INIZCTEOSA-N 1 2 302.374 1.890 20 30 DDEDLO N#CCCOCC[N@@H+]1CCOC[C@@H]1CC(=O)c1ccccc1 ZINC000293838506 303153833 /nfs/dbraw/zinc/15/38/33/303153833.db2.gz QIALRYLUHZKGDL-INIZCTEOSA-N 1 2 302.374 1.890 20 30 DDEDLO N#C[C@@H]1CCC[C@@H]1NC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000329675425 303158552 /nfs/dbraw/zinc/15/85/52/303158552.db2.gz UJTJUCUHDMEPOX-KKUMJFAQSA-N 1 2 306.410 1.389 20 30 DDEDLO N#Cc1c(F)cccc1C[N@@H+](CCC(F)(F)F)C[C@H](O)CO ZINC000428255400 303175923 /nfs/dbraw/zinc/17/59/23/303175923.db2.gz XWMYBIXKIOKIAW-NSHDSACASA-N 1 2 320.286 1.805 20 30 DDEDLO N#Cc1c(F)cccc1C[N@H+](CCC(F)(F)F)C[C@H](O)CO ZINC000428255400 303175924 /nfs/dbraw/zinc/17/59/24/303175924.db2.gz XWMYBIXKIOKIAW-NSHDSACASA-N 1 2 320.286 1.805 20 30 DDEDLO COc1c(O)cccc1C[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000562376452 307936605 /nfs/dbraw/zinc/93/66/05/307936605.db2.gz JPABVWDYJDBLRP-UHFFFAOYSA-N 1 2 324.384 1.990 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@H](C)[N@@H+]2Cc2ccc(C#N)cn2)O1 ZINC000565160839 308021812 /nfs/dbraw/zinc/02/18/12/308021812.db2.gz DGFZHMDBUITRRJ-SRMUXQRQSA-N 1 2 315.373 1.637 20 30 DDEDLO COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@H](C)[N@H+]2Cc2ccc(C#N)cn2)O1 ZINC000565160839 308021814 /nfs/dbraw/zinc/02/18/14/308021814.db2.gz DGFZHMDBUITRRJ-SRMUXQRQSA-N 1 2 315.373 1.637 20 30 DDEDLO CC1(C)C[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)[C@@H]1[C@@H]1CCCO1 ZINC000582329811 326012543 /nfs/dbraw/zinc/01/25/43/326012543.db2.gz KLDQZMBPJUZYJR-HRCADAONSA-N 1 2 313.401 1.974 20 30 DDEDLO CC1(C)C[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)[C@@H]1[C@@H]1CCCO1 ZINC000582329811 326012546 /nfs/dbraw/zinc/01/25/46/326012546.db2.gz KLDQZMBPJUZYJR-HRCADAONSA-N 1 2 313.401 1.974 20 30 DDEDLO C[C@H](C(=O)N1[C@H](C)CCC[C@H]1C)[NH+]1CCN(C(=O)CC#N)CC1 ZINC000574925210 332851234 /nfs/dbraw/zinc/85/12/34/332851234.db2.gz UFLLZXHEIKNMFD-RBSFLKMASA-N 1 2 320.437 1.222 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCc1nc(C(C)(C)C)no1 ZINC000565604489 331738283 /nfs/dbraw/zinc/73/82/83/331738283.db2.gz UKXHGTHSBYTZLK-OAHLLOKOSA-N 1 2 307.398 1.260 20 30 DDEDLO N#CCC(=O)N1CC[NH+](C[C@@H]2CCC3(CCCCC3)O2)CC1 ZINC000564465326 332002183 /nfs/dbraw/zinc/00/21/83/332002183.db2.gz LBMRILRNJNODBS-HNNXBMFYSA-N 1 2 305.422 1.926 20 30 DDEDLO N#CCCC1CCN(C(=O)c2ccc(-n3cc[nH+]c3)nn2)CC1 ZINC000516772269 333351204 /nfs/dbraw/zinc/35/12/04/333351204.db2.gz DUYFPSGBICZTJC-UHFFFAOYSA-N 1 2 310.361 1.818 20 30 DDEDLO CCN(C[C@@H](C)C#N)S(=O)(=O)NCCc1ccc(C)c[nH+]1 ZINC000416509062 334669789 /nfs/dbraw/zinc/66/97/89/334669789.db2.gz SXUFAHLQYJGANL-ZDUSSCGKSA-N 1 2 310.423 1.249 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@@H](n2ccnn2)C1 ZINC000583627341 336000265 /nfs/dbraw/zinc/00/02/65/336000265.db2.gz PAGMSGYPSCOMGU-GFCCVEGCSA-N 1 2 316.390 1.487 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@@H](n2ccnn2)C1 ZINC000583627341 336000267 /nfs/dbraw/zinc/00/02/67/336000267.db2.gz PAGMSGYPSCOMGU-GFCCVEGCSA-N 1 2 316.390 1.487 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCN(c2cc(C(F)(F)F)cc[nH+]2)CC1 ZINC000527005709 336278746 /nfs/dbraw/zinc/27/87/46/336278746.db2.gz HEZDBIZITRTMGA-JTQLQIEISA-N 1 2 312.295 1.909 20 30 DDEDLO N#CC1(CS(=O)(=O)NCCc2cn3c([nH+]2)CCCC3)CCC1 ZINC000516117589 338005756 /nfs/dbraw/zinc/00/57/56/338005756.db2.gz HRZBWWAARYLUQP-UHFFFAOYSA-N 1 2 322.434 1.375 20 30 DDEDLO CN(C)[C@H](C(=O)NCc1ccc(CC#N)cc1)c1c[nH+]cn1C ZINC000572485916 338382380 /nfs/dbraw/zinc/38/23/80/338382380.db2.gz GRCVFVUEEQYHEC-INIZCTEOSA-N 1 2 311.389 1.405 20 30 DDEDLO CC(C)(C)OC(=O)N1CCC([C@H](O)C[NH+]2CC(C)(C#N)C2)CC1 ZINC000497000854 340017998 /nfs/dbraw/zinc/01/79/98/340017998.db2.gz VBTXFZOQSIUHTO-CQSZACIVSA-N 1 2 323.437 1.840 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccccc2SCC)CC1 ZINC000133817066 341190020 /nfs/dbraw/zinc/19/00/20/341190020.db2.gz VYECNJXIZJBBQG-UHFFFAOYSA-N 1 2 318.442 1.955 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N[C@H](c1ccc(OC)cc1)C1CC1 ZINC000076185203 341203700 /nfs/dbraw/zinc/20/37/00/341203700.db2.gz TXRGZVVACUBFQH-IBGZPJMESA-N 1 2 310.397 1.831 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@H+](CC)C[C@@H](C)C#N)C1 ZINC000245776033 341314483 /nfs/dbraw/zinc/31/44/83/341314483.db2.gz LLELJRZUOPVYIV-RRFJBIMHSA-N 1 2 323.437 1.658 20 30 DDEDLO CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)[N@@H+](CC)C[C@@H](C)C#N)C1 ZINC000245776033 341314484 /nfs/dbraw/zinc/31/44/84/341314484.db2.gz LLELJRZUOPVYIV-RRFJBIMHSA-N 1 2 323.437 1.658 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cc3ccccc3[nH]2)CC1 ZINC000684019502 486218934 /nfs/dbraw/zinc/21/89/34/486218934.db2.gz HJCZRXAFYDGKNV-UHFFFAOYSA-N 1 2 312.417 1.588 20 30 DDEDLO CCC#C[C@H](C)[NH+]1CCN(CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000677047354 486386094 /nfs/dbraw/zinc/38/60/94/486386094.db2.gz WUNCBXZGZPKRIU-IRXDYDNUSA-N 1 2 305.466 1.807 20 30 DDEDLO CCC#C[C@H](C)N1CC[NH+](CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000677047354 486386097 /nfs/dbraw/zinc/38/60/97/486386097.db2.gz WUNCBXZGZPKRIU-IRXDYDNUSA-N 1 2 305.466 1.807 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)N(C)Cc2ccccc2)CC1 ZINC000677479562 486477760 /nfs/dbraw/zinc/47/77/60/486477760.db2.gz PNBQURUXZPRXTE-QGZVFWFLSA-N 1 2 317.433 1.793 20 30 DDEDLO N#CC1(c2ccc(C[NH2+]Cc3nnnn3CC3CC3)cc2)CC1 ZINC000594944167 490368439 /nfs/dbraw/zinc/36/84/39/490368439.db2.gz ICZSLDCMXOSLEG-UHFFFAOYSA-N 1 2 308.389 1.928 20 30 DDEDLO C[C@@H]1CCN(S(=O)(=O)CC2(CC#N)CC2)C[C@H]1n1cc[nH+]c1 ZINC000333461406 534045914 /nfs/dbraw/zinc/04/59/14/534045914.db2.gz URSDIZCORZEHSG-ZIAGYGMSSA-N 1 2 322.434 1.790 20 30 DDEDLO Cc1cn2c([nH+]1)[C@@H](CNC(=O)N[C@@H]1CCCC[C@H]1O)CCC2 ZINC000330148304 534508841 /nfs/dbraw/zinc/50/88/41/534508841.db2.gz JGUAFMSADYGNDV-MGPQQGTHSA-N 1 2 306.410 1.876 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)N[C@H](CCO)C(C)C)CCC2 ZINC000330412670 534511762 /nfs/dbraw/zinc/51/17/62/534511762.db2.gz VHSOULLEYXQEOJ-UONOGXRCSA-N 1 2 308.426 1.980 20 30 DDEDLO Cc1cn2c([nH+]1)[C@H](CNC(=O)NC[C@H](O)C(C)(C)C)CCC2 ZINC000330410193 534512124 /nfs/dbraw/zinc/51/21/24/534512124.db2.gz TYVJOQZOHXFJGH-STQMWFEESA-N 1 2 308.426 1.980 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+](C)[C@@]2(CO)CCOC2)c(C#N)c1C ZINC000330810122 534608839 /nfs/dbraw/zinc/60/88/39/534608839.db2.gz NXRMLVZSJBSKFX-OAHLLOKOSA-N 1 2 323.418 1.258 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+](C)[C@@]2(CO)CCOC2)c(C#N)c1C ZINC000330810122 534608843 /nfs/dbraw/zinc/60/88/43/534608843.db2.gz NXRMLVZSJBSKFX-OAHLLOKOSA-N 1 2 323.418 1.258 20 30 DDEDLO N#CCc1ccc(S(=O)(=O)NCC[NH+]2CCC2)c(Cl)c1 ZINC000451346162 534726869 /nfs/dbraw/zinc/72/68/69/534726869.db2.gz MRHQXCWXQJSRJB-UHFFFAOYSA-N 1 2 313.810 1.390 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H](NC(=O)N[C@@H]2CCCOC2)CCO1 ZINC000329737327 534813087 /nfs/dbraw/zinc/81/30/87/534813087.db2.gz MBBJWXYVFXPLKU-BZPMIXESSA-N 1 2 308.382 1.323 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)[C@H](C)Oc2cccc(C)c2)CC1 ZINC000357891465 526364771 /nfs/dbraw/zinc/36/47/71/526364771.db2.gz GPVQGXXQQINFQZ-INIZCTEOSA-N 1 2 300.402 1.930 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccc(F)cc2F)C1=O ZINC000337203362 526498957 /nfs/dbraw/zinc/49/89/57/526498957.db2.gz GHWUWCSTFGIXQP-AWEZNQCLSA-N 1 2 323.343 1.622 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccc(F)cc2F)C1=O ZINC000337203362 526498960 /nfs/dbraw/zinc/49/89/60/526498960.db2.gz GHWUWCSTFGIXQP-AWEZNQCLSA-N 1 2 323.343 1.622 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccc(F)cc2)C1=O ZINC000337142725 526499801 /nfs/dbraw/zinc/49/98/01/526499801.db2.gz XNOZBWKDACAQIW-AWEZNQCLSA-N 1 2 305.353 1.483 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccc(F)cc2)C1=O ZINC000337142725 526499812 /nfs/dbraw/zinc/49/98/12/526499812.db2.gz XNOZBWKDACAQIW-AWEZNQCLSA-N 1 2 305.353 1.483 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@H]1CCCN(Cc2[nH+]ccn2C)C1=O ZINC000341331820 526713276 /nfs/dbraw/zinc/71/32/76/526713276.db2.gz QXLPBGSVNSQETQ-KBPBESRZSA-N 1 2 318.421 1.630 20 30 DDEDLO C=CCOCCC[N@@H+]1CCC[C@@H]1c1nc(C(=O)NC2CC2)no1 ZINC000348078060 526754481 /nfs/dbraw/zinc/75/44/81/526754481.db2.gz GNKSSVMSINKMGP-CYBMUJFWSA-N 1 2 320.393 1.691 20 30 DDEDLO C=CCOCCC[N@H+]1CCC[C@@H]1c1nc(C(=O)NC2CC2)no1 ZINC000348078060 526754488 /nfs/dbraw/zinc/75/44/88/526754488.db2.gz GNKSSVMSINKMGP-CYBMUJFWSA-N 1 2 320.393 1.691 20 30 DDEDLO C=CCOCC[NH+]1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000338886906 526773413 /nfs/dbraw/zinc/77/34/13/526773413.db2.gz LLYALGAGTFDELU-UHFFFAOYSA-N 1 2 324.446 1.504 20 30 DDEDLO CC(C)(C)c1cn2c([nH+]1)CC[C@H]([NH+]=C([O-])N1CC(CO)C1)C2 ZINC000330113951 526814398 /nfs/dbraw/zinc/81/43/98/526814398.db2.gz SLVBUKVWVQMRLS-LBPRGKRZSA-N 1 2 306.410 1.334 20 30 DDEDLO C#CC[NH+](CC#C)CC(=O)N[C@@H](C)Cc1c(F)cccc1F ZINC000490799142 526846139 /nfs/dbraw/zinc/84/61/39/526846139.db2.gz BSGWEHRHYWDURN-ZDUSSCGKSA-N 1 2 304.340 1.580 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2ccc(CCOC)cc2)CC1 ZINC000490778823 526951810 /nfs/dbraw/zinc/95/18/10/526951810.db2.gz MBECUWUJTHPFCF-UHFFFAOYSA-N 1 2 316.401 1.032 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)Cn2cc(-c3ccc(C)cc3)cn2)CC1 ZINC000490778292 526953107 /nfs/dbraw/zinc/95/31/07/526953107.db2.gz CSNLPLIPPVRKDY-UHFFFAOYSA-N 1 2 322.412 1.636 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(Cc3ccccn3)n2C2CC2)CC1 ZINC000491712442 526956367 /nfs/dbraw/zinc/95/63/67/526956367.db2.gz ZPKJHRFORNXKHT-UHFFFAOYSA-N 1 2 322.416 1.354 20 30 DDEDLO C#CCNC(=O)C1CC[NH+](Cc2nc([C@@H](C)OCC)no2)CC1 ZINC000491740567 526972049 /nfs/dbraw/zinc/97/20/49/526972049.db2.gz HXMRYWNUCMOCRQ-GFCCVEGCSA-N 1 2 320.393 1.129 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1CCO[C@H](c2ccc(F)c(F)c2)C1 ZINC000491747876 526978509 /nfs/dbraw/zinc/97/85/09/526978509.db2.gz QQHQPAOXZRLWNS-HNNXBMFYSA-N 1 2 308.328 1.478 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1CCO[C@H](c2ccc(F)c(F)c2)C1 ZINC000491747876 526978514 /nfs/dbraw/zinc/97/85/14/526978514.db2.gz QQHQPAOXZRLWNS-HNNXBMFYSA-N 1 2 308.328 1.478 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@]2(C)CCC[C@@H]2C)CC1 ZINC000491566433 526996212 /nfs/dbraw/zinc/99/62/12/526996212.db2.gz GGLVOWPGSSOXTO-MAUKXSAKSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@]2(C)CCC[C@@H]2C)CC1 ZINC000491566433 526996215 /nfs/dbraw/zinc/99/62/15/526996215.db2.gz GGLVOWPGSSOXTO-MAUKXSAKSA-N 1 2 319.449 1.096 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)N1CCN(Cc2[nH+]ccn2C)CC1 ZINC000343811312 527286917 /nfs/dbraw/zinc/28/69/17/527286917.db2.gz HLQWRVBMSLUVBK-HNNXBMFYSA-N 1 2 319.453 1.992 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@@H+]2CCSC[C@@H]2CO)c1C ZINC000343711760 527296059 /nfs/dbraw/zinc/29/60/59/527296059.db2.gz NDNMGNLXHMXBIO-AWEZNQCLSA-N 1 2 308.447 1.883 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[N@H+]2CCSC[C@@H]2CO)c1C ZINC000343711760 527296063 /nfs/dbraw/zinc/29/60/63/527296063.db2.gz NDNMGNLXHMXBIO-AWEZNQCLSA-N 1 2 308.447 1.883 20 30 DDEDLO C#C[C@H](CC)NC(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000491231999 527354283 /nfs/dbraw/zinc/35/42/83/527354283.db2.gz XEKMBMWJFCWINY-DZGCQCFKSA-N 1 2 316.405 1.518 20 30 DDEDLO C#C[C@H](CC)NC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000491751388 527355556 /nfs/dbraw/zinc/35/55/56/527355556.db2.gz UIOQFVBDGGYXBD-IAGOWNOFSA-N 1 2 315.417 1.771 20 30 DDEDLO C=CCN(CC=C)C(=O)C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000340577753 527600330 /nfs/dbraw/zinc/60/03/30/527600330.db2.gz UVKPNZCRUGTHCI-UHFFFAOYSA-N 1 2 316.405 1.079 20 30 DDEDLO C=CCN(CCC#N)C(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000340626523 527653189 /nfs/dbraw/zinc/65/31/89/527653189.db2.gz MRYMCISRACMOQS-UHFFFAOYSA-N 1 2 311.345 1.510 20 30 DDEDLO CCC[C@@H]1CN(C(=O)OC(C)(C)C)CC[N@@H+]1CC(=O)NCC#N ZINC000495105182 527782168 /nfs/dbraw/zinc/78/21/68/527782168.db2.gz LHCXRPYXPIVDKB-CYBMUJFWSA-N 1 2 324.425 1.348 20 30 DDEDLO CCC[C@@H]1CN(C(=O)OC(C)(C)C)CC[N@H+]1CC(=O)NCC#N ZINC000495105182 527782174 /nfs/dbraw/zinc/78/21/74/527782174.db2.gz LHCXRPYXPIVDKB-CYBMUJFWSA-N 1 2 324.425 1.348 20 30 DDEDLO CC(C)[C@](C)(O)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000331001790 527952265 /nfs/dbraw/zinc/95/22/65/527952265.db2.gz FVRFVORLWAQFQH-SFHVURJKSA-N 1 2 315.417 1.609 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)[N@H+](C)CC(C)(C)C#N)C1 ZINC000433300640 528061336 /nfs/dbraw/zinc/06/13/36/528061336.db2.gz TYNZGRVHOOJURP-KBPBESRZSA-N 1 2 323.437 1.658 20 30 DDEDLO CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)[N@@H+](C)CC(C)(C)C#N)C1 ZINC000433300640 528061340 /nfs/dbraw/zinc/06/13/40/528061340.db2.gz TYNZGRVHOOJURP-KBPBESRZSA-N 1 2 323.437 1.658 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNC(=O)N[C@H]1CCC(=O)NC1(C)C ZINC000330456418 528727275 /nfs/dbraw/zinc/72/72/75/528727275.db2.gz XPJQCOPSFCTLNM-LBPRGKRZSA-N 1 2 321.425 1.600 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCC(C#N)(c2ccccn2)CC1 ZINC000369215731 529362107 /nfs/dbraw/zinc/36/21/07/529362107.db2.gz RINAJJJNGYOGFU-CQSZACIVSA-N 1 2 300.406 1.853 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H]([N@H+](C)Cc2cnnn2C)C1 ZINC000972245457 695188431 /nfs/dbraw/zinc/18/84/31/695188431.db2.gz LAGFSQGHKDTGAQ-CJNGLKHVSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC000972245457 695188434 /nfs/dbraw/zinc/18/84/34/695188434.db2.gz LAGFSQGHKDTGAQ-CJNGLKHVSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C3CCC(O)CC3)C2)C1 ZINC000972365177 695230417 /nfs/dbraw/zinc/23/04/17/695230417.db2.gz QMHOMVQCZXXNGR-VMBOVVBDSA-N 1 2 308.422 1.027 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C3CCC(O)CC3)C2)C1 ZINC000972365177 695230419 /nfs/dbraw/zinc/23/04/19/695230419.db2.gz QMHOMVQCZXXNGR-VMBOVVBDSA-N 1 2 308.422 1.027 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([N@H+](C)Cc2nccs2)C1 ZINC000972373077 695233309 /nfs/dbraw/zinc/23/33/09/695233309.db2.gz KOJUGIPVKBGEIS-MCIONIFRSA-N 1 2 321.446 1.767 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H]([N@@H+](C)Cc2nccs2)C1 ZINC000972373077 695233310 /nfs/dbraw/zinc/23/33/10/695233310.db2.gz KOJUGIPVKBGEIS-MCIONIFRSA-N 1 2 321.446 1.767 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3CC(C)(C)C)C2)C1 ZINC000972389127 695238108 /nfs/dbraw/zinc/23/81/08/695238108.db2.gz FVYDHKDHRSWLDQ-FCEWJHQRSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3CC(C)(C)C)C2)C1 ZINC000972389127 695238110 /nfs/dbraw/zinc/23/81/10/695238110.db2.gz FVYDHKDHRSWLDQ-FCEWJHQRSA-N 1 2 318.461 1.995 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCC(F)(F)C3)C2)C1 ZINC000972410947 695242489 /nfs/dbraw/zinc/24/24/89/695242489.db2.gz YCHGBWNAWYLQFW-ZFWWWQNUSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3CCC(F)(F)C3)C2)C1 ZINC000972410947 695242490 /nfs/dbraw/zinc/24/24/90/695242490.db2.gz YCHGBWNAWYLQFW-ZFWWWQNUSA-N 1 2 314.376 1.911 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3onc(C)c3C)C2)C1 ZINC000972428671 695248407 /nfs/dbraw/zinc/24/84/07/695248407.db2.gz MOUVLAOEPVSKLU-MRXNPFEDSA-N 1 2 305.378 1.394 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3onc(C)c3C)C2)C1 ZINC000972428671 695248409 /nfs/dbraw/zinc/24/84/09/695248409.db2.gz MOUVLAOEPVSKLU-MRXNPFEDSA-N 1 2 305.378 1.394 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3snnc3CC)C2)C1 ZINC000972456096 695255121 /nfs/dbraw/zinc/25/51/21/695255121.db2.gz RMDXOJJVKAZXLN-OAHLLOKOSA-N 1 2 322.434 1.203 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3snnc3CC)C2)C1 ZINC000972456096 695255123 /nfs/dbraw/zinc/25/51/23/695255123.db2.gz RMDXOJJVKAZXLN-OAHLLOKOSA-N 1 2 322.434 1.203 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)c(C)cn3)C2)C1 ZINC000972475497 695259652 /nfs/dbraw/zinc/25/96/52/695259652.db2.gz NMOGPDCBBIXFRH-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)c(C)cn3)C2)C1 ZINC000972475497 695259654 /nfs/dbraw/zinc/25/96/54/695259654.db2.gz NMOGPDCBBIXFRH-GOSISDBHSA-N 1 2 315.417 1.801 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972475805 695259661 /nfs/dbraw/zinc/25/96/61/695259661.db2.gz RGVBFJRCLYKRFB-XYJFISCASA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972475805 695259664 /nfs/dbraw/zinc/25/96/64/695259664.db2.gz RGVBFJRCLYKRFB-XYJFISCASA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3occc3CC)C2)C1 ZINC000972537598 695276032 /nfs/dbraw/zinc/27/60/32/695276032.db2.gz JJKQNDTYWJTDDU-KRWDZBQOSA-N 1 2 304.390 1.945 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3occc3CC)C2)C1 ZINC000972537598 695276035 /nfs/dbraw/zinc/27/60/35/695276035.db2.gz JJKQNDTYWJTDDU-KRWDZBQOSA-N 1 2 304.390 1.945 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(C)c3CC)C2)C1 ZINC000972551954 695280085 /nfs/dbraw/zinc/28/00/85/695280085.db2.gz ILFCEMUMLHOKON-SFHVURJKSA-N 1 2 315.417 1.138 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccn(C)c3CC)C2)C1 ZINC000972551954 695280087 /nfs/dbraw/zinc/28/00/87/695280087.db2.gz ILFCEMUMLHOKON-SFHVURJKSA-N 1 2 315.417 1.138 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)Cc3ccco3)C2)C1 ZINC000972592024 695292810 /nfs/dbraw/zinc/29/28/10/695292810.db2.gz HFVOUGDPMAPACJ-MAUKXSAKSA-N 1 2 318.417 1.948 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)Cc3ccco3)C2)C1 ZINC000972592024 695292812 /nfs/dbraw/zinc/29/28/12/695292812.db2.gz HFVOUGDPMAPACJ-MAUKXSAKSA-N 1 2 318.417 1.948 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(Cl)no3)C2)C1 ZINC000972641900 695307188 /nfs/dbraw/zinc/30/71/88/695307188.db2.gz AWRURPUXJLDDJP-AWEZNQCLSA-N 1 2 311.769 1.431 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(Cl)no3)C2)C1 ZINC000972641900 695307190 /nfs/dbraw/zinc/30/71/90/695307190.db2.gz AWRURPUXJLDDJP-AWEZNQCLSA-N 1 2 311.769 1.431 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccccc3)C2)C1 ZINC000972648607 695308611 /nfs/dbraw/zinc/30/86/11/695308611.db2.gz CGSRFZLWZRJOOO-IBGZPJMESA-N 1 2 312.413 1.556 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)CCc3ccccc3)C2)C1 ZINC000972648607 695308613 /nfs/dbraw/zinc/30/86/13/695308613.db2.gz CGSRFZLWZRJOOO-IBGZPJMESA-N 1 2 312.413 1.556 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)cc(C)c3)C2)C1 ZINC000972653433 695309859 /nfs/dbraw/zinc/30/98/59/695309859.db2.gz HCKWNWYCNBGQMU-IBGZPJMESA-N 1 2 312.413 1.854 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cc(C)cc(C)c3)C2)C1 ZINC000972653433 695309861 /nfs/dbraw/zinc/30/98/61/695309861.db2.gz HCKWNWYCNBGQMU-IBGZPJMESA-N 1 2 312.413 1.854 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C[C@@H]2CCNC2=O)C(C)(C)C1 ZINC000974662864 695706460 /nfs/dbraw/zinc/70/64/60/695706460.db2.gz BBZWWZZMFOGWIY-NWDGAFQWSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C[C@@H]2CCNC2=O)C(C)(C)C1 ZINC000974662864 695706465 /nfs/dbraw/zinc/70/64/65/695706465.db2.gz BBZWWZZMFOGWIY-NWDGAFQWSA-N 1 2 313.829 1.092 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2cc3n(n2)CCO3)C(C)(C)C1 ZINC000974704487 695715276 /nfs/dbraw/zinc/71/52/76/695715276.db2.gz XKYYAWDJUCJTHW-LBPRGKRZSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2cc3n(n2)CCO3)C(C)(C)C1 ZINC000974704487 695715277 /nfs/dbraw/zinc/71/52/77/695715277.db2.gz XKYYAWDJUCJTHW-LBPRGKRZSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2ccnc(OC)n2)C(C)(C)C1 ZINC000974811932 695740447 /nfs/dbraw/zinc/74/04/47/695740447.db2.gz NEKGKZVJUKPUJR-LBPRGKRZSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2ccnc(OC)n2)C(C)(C)C1 ZINC000974811932 695740449 /nfs/dbraw/zinc/74/04/49/695740449.db2.gz NEKGKZVJUKPUJR-LBPRGKRZSA-N 1 2 324.812 1.678 20 30 DDEDLO C=CC[N@H+](Cc1c(C)nn(CCS(C)(=O)=O)c1C)C(C)C ZINC000797949340 700034258 /nfs/dbraw/zinc/03/42/58/700034258.db2.gz PKNXVZRDXWRQIL-UHFFFAOYSA-N 1 2 313.467 1.941 20 30 DDEDLO C=CC[N@@H+](Cc1c(C)nn(CCS(C)(=O)=O)c1C)C(C)C ZINC000797949340 700034260 /nfs/dbraw/zinc/03/42/60/700034260.db2.gz PKNXVZRDXWRQIL-UHFFFAOYSA-N 1 2 313.467 1.941 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@]2(C)CCOC2)C(C)(C)C1 ZINC000977403658 696146368 /nfs/dbraw/zinc/14/63/68/696146368.db2.gz OIYHSDDDXYJICM-SWLSCSKDSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@]2(C)CCOC2)C(C)(C)C1 ZINC000977403658 696146369 /nfs/dbraw/zinc/14/63/69/696146369.db2.gz OIYHSDDDXYJICM-SWLSCSKDSA-N 1 2 300.830 1.992 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000798395496 700061428 /nfs/dbraw/zinc/06/14/28/700061428.db2.gz ILCQRUYRNRJILT-ZDUSSCGKSA-N 1 2 305.378 1.281 20 30 DDEDLO C#Cc1cccc(NC(=O)C[NH2+][C@@H](C(N)=O)c2ccccc2)c1 ZINC000056202019 696288217 /nfs/dbraw/zinc/28/82/17/696288217.db2.gz FWBXZJYGMACUOA-QGZVFWFLSA-N 1 2 307.353 1.423 20 30 DDEDLO C=CC[N@@H+](CCc1ccccc1)CN1C(=O)C(=O)N(C)C1=O ZINC000065943294 696348202 /nfs/dbraw/zinc/34/82/02/696348202.db2.gz GHAIUWGLJDAZOO-UHFFFAOYSA-N 1 2 301.346 1.095 20 30 DDEDLO C=CC[N@H+](CCc1ccccc1)CN1C(=O)C(=O)N(C)C1=O ZINC000065943294 696348204 /nfs/dbraw/zinc/34/82/04/696348204.db2.gz GHAIUWGLJDAZOO-UHFFFAOYSA-N 1 2 301.346 1.095 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(O[C@@H](C)C#N)cc2)C[C@@H](C)O1 ZINC000092013361 696592179 /nfs/dbraw/zinc/59/21/79/696592179.db2.gz UDHXVLSYPLIMEY-ZENOOKHLSA-N 1 2 318.373 1.740 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(O[C@@H](C)C#N)cc2)C[C@@H](C)O1 ZINC000092013361 696592181 /nfs/dbraw/zinc/59/21/81/696592181.db2.gz UDHXVLSYPLIMEY-ZENOOKHLSA-N 1 2 318.373 1.740 20 30 DDEDLO CCSCCON=C(N)c1cccc(C[NH+]2CCOCC2)c1 ZINC000127814226 696774471 /nfs/dbraw/zinc/77/44/71/696774471.db2.gz ZFFJMXBKFUUGRV-UHFFFAOYSA-N 1 2 323.462 1.909 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1CC[NH+](CCS(C)(=O)=O)CC1 ZINC000135035253 696844119 /nfs/dbraw/zinc/84/41/19/696844119.db2.gz QXVHOEXVDYOERC-HNNXBMFYSA-N 1 2 316.467 1.214 20 30 DDEDLO CCc1nnc(C[N@@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC000981672718 696869126 /nfs/dbraw/zinc/86/91/26/696869126.db2.gz YKLFICHZEPRVIK-GFCCVEGCSA-N 1 2 317.393 1.216 20 30 DDEDLO CCc1nnc(C[N@H+]2CCCC3(CN(C(=O)[C@H](C)C#N)C3)C2)o1 ZINC000981672718 696869130 /nfs/dbraw/zinc/86/91/30/696869130.db2.gz YKLFICHZEPRVIK-GFCCVEGCSA-N 1 2 317.393 1.216 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCCN(C(=O)[C@@H](F)CC)CC2)C1=O ZINC000981761374 696905960 /nfs/dbraw/zinc/90/59/60/696905960.db2.gz POLPAVWFXSZONG-UONOGXRCSA-N 1 2 311.401 1.056 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCCN(C(=O)[C@@H](F)CC)CC2)C1=O ZINC000981761374 696905961 /nfs/dbraw/zinc/90/59/61/696905961.db2.gz POLPAVWFXSZONG-UONOGXRCSA-N 1 2 311.401 1.056 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC4(C3)CCCN(CC#N)C4)ccn12 ZINC000981770892 696909711 /nfs/dbraw/zinc/90/97/11/696909711.db2.gz FWGXICLJXLRZLK-UHFFFAOYSA-N 1 2 323.400 1.704 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](Cc2cc(CO)ccc2F)CC1 ZINC000980850994 696918027 /nfs/dbraw/zinc/91/80/27/696918027.db2.gz YQTWTJPQHCPTSW-ZDUSSCGKSA-N 1 2 319.380 1.512 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](Cc2cc(CO)ccc2F)CC1 ZINC000980850994 696918029 /nfs/dbraw/zinc/91/80/29/696918029.db2.gz YQTWTJPQHCPTSW-ZDUSSCGKSA-N 1 2 319.380 1.512 20 30 DDEDLO N#CCN1CCCC2(CN(C(=O)[C@@H]3CCCc4[nH+]c[nH]c43)C2)C1 ZINC000982005534 697000066 /nfs/dbraw/zinc/00/00/66/697000066.db2.gz RMCOQHHVDHYNRG-CYBMUJFWSA-N 1 2 313.405 1.278 20 30 DDEDLO Cc1c[nH]nc1C(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000981133100 697014178 /nfs/dbraw/zinc/01/41/78/697014178.db2.gz IOADHHZJRBLHKY-UHFFFAOYSA-N 1 2 322.412 1.918 20 30 DDEDLO Cc1c[nH]nc1C(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000981133100 697014180 /nfs/dbraw/zinc/01/41/80/697014180.db2.gz IOADHHZJRBLHKY-UHFFFAOYSA-N 1 2 322.412 1.918 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2sc(N(C)C)nc2C)CC1 ZINC000982093377 697028054 /nfs/dbraw/zinc/02/80/54/697028054.db2.gz BTHPOMSZHLKMQQ-UHFFFAOYSA-N 1 2 306.435 1.299 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2sc(N(C)C)nc2C)CC1 ZINC000982093377 697028057 /nfs/dbraw/zinc/02/80/57/697028057.db2.gz BTHPOMSZHLKMQQ-UHFFFAOYSA-N 1 2 306.435 1.299 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@@H+]2CCNC(=O)[C@@H]2CC)c1O ZINC000164304481 697336074 /nfs/dbraw/zinc/33/60/74/697336074.db2.gz LCUSBIZOUGGKNR-HNNXBMFYSA-N 1 2 304.390 1.840 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@H+]2CCNC(=O)[C@@H]2CC)c1O ZINC000164304481 697336076 /nfs/dbraw/zinc/33/60/76/697336076.db2.gz LCUSBIZOUGGKNR-HNNXBMFYSA-N 1 2 304.390 1.840 20 30 DDEDLO CCCCCCCC[N@H+](C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000172650656 697370118 /nfs/dbraw/zinc/37/01/18/697370118.db2.gz FDDDVISWCLDHTH-AWEZNQCLSA-N 1 2 318.483 1.582 20 30 DDEDLO CCCCCCCC[N@@H+](C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000172650656 697370121 /nfs/dbraw/zinc/37/01/21/697370121.db2.gz FDDDVISWCLDHTH-AWEZNQCLSA-N 1 2 318.483 1.582 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCCOCC(F)(F)F)cc1OC ZINC000181580215 697462331 /nfs/dbraw/zinc/46/23/31/697462331.db2.gz ZXRQRKVQYNGVKM-UHFFFAOYSA-N 1 2 322.283 1.920 20 30 DDEDLO C=C(C)C[N@H+](C)CN1C(=O)N[C@]2(CCc3ccccc3C2)C1=O ZINC000182626190 697477452 /nfs/dbraw/zinc/47/74/52/697477452.db2.gz GJDWOCAPMLLKKK-SFHVURJKSA-N 1 2 313.401 1.931 20 30 DDEDLO C=C(C)C[N@@H+](C)CN1C(=O)N[C@]2(CCc3ccccc3C2)C1=O ZINC000182626190 697477453 /nfs/dbraw/zinc/47/74/53/697477453.db2.gz GJDWOCAPMLLKKK-SFHVURJKSA-N 1 2 313.401 1.931 20 30 DDEDLO C[N@H+](Cc1nncs1)C1CCN(C(=O)C#CC2CC2)CC1 ZINC000985431700 697510209 /nfs/dbraw/zinc/51/02/09/697510209.db2.gz SCZULKAZYBKIGP-UHFFFAOYSA-N 1 2 304.419 1.374 20 30 DDEDLO C[N@@H+](Cc1nncs1)C1CCN(C(=O)C#CC2CC2)CC1 ZINC000985431700 697510210 /nfs/dbraw/zinc/51/02/10/697510210.db2.gz SCZULKAZYBKIGP-UHFFFAOYSA-N 1 2 304.419 1.374 20 30 DDEDLO CCc1nnc(C[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)[C@H]2C)o1 ZINC000986287896 697768556 /nfs/dbraw/zinc/76/85/56/697768556.db2.gz SROABWKZFTXQOP-WCQYABFASA-N 1 2 302.378 1.124 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)Cc2cc(C)cc(C)c2)CC1 ZINC000800018907 700181127 /nfs/dbraw/zinc/18/11/27/700181127.db2.gz KUEBHEQEFWLHKT-UHFFFAOYSA-N 1 2 320.458 1.774 20 30 DDEDLO C[C@H]1C[C@@H]([NH2+]Cc2cnsn2)CN1C(=O)c1c[nH]c(C#N)c1 ZINC000988620196 698420707 /nfs/dbraw/zinc/42/07/07/698420707.db2.gz HMQYAXXUAMZWNS-JOYOIKCWSA-N 1 2 316.390 1.131 20 30 DDEDLO CC[C@H](CO)C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000779723778 698456125 /nfs/dbraw/zinc/45/61/25/698456125.db2.gz MACMLFKHMZASOY-MRXNPFEDSA-N 1 2 301.390 1.221 20 30 DDEDLO CC(=NNC1=[NH+]C[C@H](C)N1)c1cnn(-c2ccc(C#N)cc2)c1C ZINC000779793910 698466462 /nfs/dbraw/zinc/46/64/62/698466462.db2.gz BTFWKNUIKPUGIO-NSHDSACASA-N 1 2 321.388 1.714 20 30 DDEDLO Cc1nn(Cc2ccccc2)c(C)c1C=NNC1=[NH+]C[C@@H](C)N1 ZINC000779801464 698468149 /nfs/dbraw/zinc/46/81/49/698468149.db2.gz UOAHZAQHKQWEAD-GFCCVEGCSA-N 1 2 310.405 1.819 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@@H+](Cc3ocnc3C)CC2)cn1 ZINC000989512422 698652535 /nfs/dbraw/zinc/65/25/35/698652535.db2.gz JSDRNRIFYYMNFT-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[N@H+](Cc3ocnc3C)CC2)cn1 ZINC000989512422 698652536 /nfs/dbraw/zinc/65/25/36/698652536.db2.gz JSDRNRIFYYMNFT-UHFFFAOYSA-N 1 2 324.384 1.707 20 30 DDEDLO O=C(c1cccc(C#CCO)c1)N1CCN(c2cccc[nH+]2)CC1 ZINC000324215969 698744392 /nfs/dbraw/zinc/74/43/92/698744392.db2.gz QNSPVZCCOZSDIL-UHFFFAOYSA-N 1 2 321.380 1.388 20 30 DDEDLO Cc1ccn(C[NH+]2CCC([C@H]3CCCO3)CC2)c(=O)c1C#N ZINC000783966577 698896028 /nfs/dbraw/zinc/89/60/28/698896028.db2.gz ZRYRNWFMHRWQHJ-MRXNPFEDSA-N 1 2 301.390 1.877 20 30 DDEDLO CC(C)CN(CCC#N)CN1C[C@H](c2c[nH+]cn2C)CC1=O ZINC000783973955 698897696 /nfs/dbraw/zinc/89/76/96/698897696.db2.gz AUSJHGZMGQTORM-CQSZACIVSA-N 1 2 303.410 1.565 20 30 DDEDLO CN(CN1C[C@H](c2c[nH+]cn2C)CC1=O)c1ccc(C#N)cc1 ZINC000783988277 698899604 /nfs/dbraw/zinc/89/96/04/698899604.db2.gz AKFVCUHGDRBBSN-CQSZACIVSA-N 1 2 309.373 1.702 20 30 DDEDLO C#CCN(C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C)C1CC1 ZINC000784918280 699047209 /nfs/dbraw/zinc/04/72/09/699047209.db2.gz JEQZZRVLMNVUIN-UHFFFAOYSA-N 1 2 300.362 1.019 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)N1CCC[C@@H](c2[nH+]ccn2C)C1 ZINC000459824147 699198582 /nfs/dbraw/zinc/19/85/82/699198582.db2.gz SGMXJVZAWCAFSZ-CQSZACIVSA-N 1 2 318.421 1.551 20 30 DDEDLO Nc1nc(Cl)c(C=[NH+]N[C@H]2CCOC2)c(N2CCCC2)n1 ZINC000788440183 699313949 /nfs/dbraw/zinc/31/39/49/699313949.db2.gz QLBMSCNZYKUYHP-VIFPVBQESA-N 1 2 310.789 1.025 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)/C=C/c1ccccc1C#N ZINC000790131778 699444667 /nfs/dbraw/zinc/44/46/67/699444667.db2.gz KQIOGYMSESIKTN-MVGZEHJDSA-N 1 2 313.401 1.751 20 30 DDEDLO CC1(C)C[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C[C@@H]1O ZINC000790447750 699460668 /nfs/dbraw/zinc/46/06/68/699460668.db2.gz NYFFUVNUXKCANF-AWEZNQCLSA-N 1 2 312.373 1.123 20 30 DDEDLO CC1(C)C[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C[C@@H]1O ZINC000790447750 699460669 /nfs/dbraw/zinc/46/06/69/699460669.db2.gz NYFFUVNUXKCANF-AWEZNQCLSA-N 1 2 312.373 1.123 20 30 DDEDLO C#CC[NH+]1CCN(c2nc3ccc([N+](=O)[O-])cc3s2)CC1 ZINC000731209028 699524216 /nfs/dbraw/zinc/52/42/16/699524216.db2.gz PQZNPRGIZQIWCZ-UHFFFAOYSA-N 1 2 302.359 1.960 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cccc(NC(=O)NC)c1 ZINC000732055293 699544952 /nfs/dbraw/zinc/54/49/52/699544952.db2.gz FXTDUCPLGQOOAR-AWEZNQCLSA-N 1 2 300.362 1.474 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cccc(NC(=O)NC)c1 ZINC000732055293 699544953 /nfs/dbraw/zinc/54/49/53/699544953.db2.gz FXTDUCPLGQOOAR-AWEZNQCLSA-N 1 2 300.362 1.474 20 30 DDEDLO C#CCOc1ccccc1C[NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000734506085 699653281 /nfs/dbraw/zinc/65/32/81/699653281.db2.gz BSHZMEYFALSFCG-GOSISDBHSA-N 1 2 319.364 1.886 20 30 DDEDLO CC[C@H]1CC[N@@H+]1CN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC000793822507 699781144 /nfs/dbraw/zinc/78/11/44/699781144.db2.gz CUZNRENOMRXWLE-WMLDXEAASA-N 1 2 312.373 1.767 20 30 DDEDLO CC[C@H]1CC[N@H+]1CN1C(=O)N[C@](C)(c2cccc(C#N)c2)C1=O ZINC000793822507 699781145 /nfs/dbraw/zinc/78/11/45/699781145.db2.gz CUZNRENOMRXWLE-WMLDXEAASA-N 1 2 312.373 1.767 20 30 DDEDLO COCCCN[NH+]=Cc1c(Cl)nc(N)nc1N1CCCC1 ZINC000794542321 699815423 /nfs/dbraw/zinc/81/54/23/699815423.db2.gz CXFUMWMNVWWQOR-UHFFFAOYSA-N 1 2 312.805 1.272 20 30 DDEDLO C=CCn1c(C2CC2)nn(C[N@H+]2CC[C@@](C)(C(N)=O)C2)c1=S ZINC000794709733 699826123 /nfs/dbraw/zinc/82/61/23/699826123.db2.gz HHHCQFANZFUVTI-OAHLLOKOSA-N 1 2 321.450 1.632 20 30 DDEDLO C=CCn1c(C2CC2)nn(C[N@@H+]2CC[C@@](C)(C(N)=O)C2)c1=S ZINC000794709733 699826125 /nfs/dbraw/zinc/82/61/25/699826125.db2.gz HHHCQFANZFUVTI-OAHLLOKOSA-N 1 2 321.450 1.632 20 30 DDEDLO CCCCNC(=O)OC[C@H]1CCCC[N@@H+]1CC(=O)NCCC#N ZINC000796160846 699916745 /nfs/dbraw/zinc/91/67/45/699916745.db2.gz YHRPSLRIYIEZQR-CQSZACIVSA-N 1 2 324.425 1.397 20 30 DDEDLO CCCCNC(=O)OC[C@H]1CCCC[N@H+]1CC(=O)NCCC#N ZINC000796160846 699916746 /nfs/dbraw/zinc/91/67/46/699916746.db2.gz YHRPSLRIYIEZQR-CQSZACIVSA-N 1 2 324.425 1.397 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)COC(=O)CCc1ccc(N)[nH+]c1 ZINC000751456430 700291096 /nfs/dbraw/zinc/29/10/96/700291096.db2.gz RDDZSMIAQCUZKQ-INIZCTEOSA-N 1 2 318.377 1.194 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(C(=O)c2cccc(F)c2)CC1 ZINC000801911443 700351841 /nfs/dbraw/zinc/35/18/41/700351841.db2.gz ZRFFJDIYBMLRGN-CYBMUJFWSA-N 1 2 305.353 1.512 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000801930627 700356375 /nfs/dbraw/zinc/35/63/75/700356375.db2.gz SLWYLZSFFYSGBI-GFCCVEGCSA-N 1 2 315.377 1.474 20 30 DDEDLO CNC(=O)CCC[N@H+](C)[C@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000753206200 700414483 /nfs/dbraw/zinc/41/44/83/700414483.db2.gz DQJKYFNCSABVRV-HNNXBMFYSA-N 1 2 303.362 1.230 20 30 DDEDLO CNC(=O)CCC[N@@H+](C)[C@H](C(=O)OC)c1ccc(C#N)cc1 ZINC000753206200 700414484 /nfs/dbraw/zinc/41/44/84/700414484.db2.gz DQJKYFNCSABVRV-HNNXBMFYSA-N 1 2 303.362 1.230 20 30 DDEDLO CN1CCN(c2ncc(C=[NH+]NCc3ccccc3)cn2)CC1 ZINC000755563561 700570713 /nfs/dbraw/zinc/57/07/13/700570713.db2.gz HHKLQMOWJAUSOG-UHFFFAOYSA-N 1 2 310.405 1.352 20 30 DDEDLO C#CCNC(=S)N[C@@H](Cc1ccccc1)C[NH+]1CCOCC1 ZINC000758229879 700691876 /nfs/dbraw/zinc/69/18/76/700691876.db2.gz JYCVTUQLYNBSTG-INIZCTEOSA-N 1 2 317.458 1.027 20 30 DDEDLO CCC(=[NH+]NC(=S)NCC(=O)NC)c1ccc(N(C)C)cc1 ZINC000759195661 700741103 /nfs/dbraw/zinc/74/11/03/700741103.db2.gz PZQKDSXHPPXVTL-UHFFFAOYSA-N 1 2 321.450 1.077 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](CCCN3CCOC3=O)CCO2)c1 ZINC000761759577 700868988 /nfs/dbraw/zinc/86/89/88/700868988.db2.gz YYZLTJOZPJEHNH-INIZCTEOSA-N 1 2 315.373 1.774 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](CCCN3CCOC3=O)CCO2)c1 ZINC000761759577 700868990 /nfs/dbraw/zinc/86/89/90/700868990.db2.gz YYZLTJOZPJEHNH-INIZCTEOSA-N 1 2 315.373 1.774 20 30 DDEDLO CC1(C)C[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CCCO1 ZINC000766620630 701057164 /nfs/dbraw/zinc/05/71/64/701057164.db2.gz VCXFDXNNJMPBIY-UHFFFAOYSA-N 1 2 301.390 1.667 20 30 DDEDLO CC1(C)C[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)CCCO1 ZINC000766620630 701057165 /nfs/dbraw/zinc/05/71/65/701057165.db2.gz VCXFDXNNJMPBIY-UHFFFAOYSA-N 1 2 301.390 1.667 20 30 DDEDLO C#CC[C@H](c1ccccc1)N1C(=O)N[C@@H](Cc2c[nH]c[nH+]2)C1=O ZINC000804164156 701168720 /nfs/dbraw/zinc/16/87/20/701168720.db2.gz HNSLTMRCDUPRLS-LSDHHAIUSA-N 1 2 308.341 1.637 20 30 DDEDLO C#CC[C@H](c1ccccc1)N1C(=O)N[C@@H](Cc2c[nH+]c[nH]2)C1=O ZINC000804164156 701168722 /nfs/dbraw/zinc/16/87/22/701168722.db2.gz HNSLTMRCDUPRLS-LSDHHAIUSA-N 1 2 308.341 1.637 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@@H](C)[C@@H]2C[N@H+](C)CCO2)cc1 ZINC000815841049 701947640 /nfs/dbraw/zinc/94/76/40/701947640.db2.gz OPTSDXTYRCNHFJ-YOEHRIQHSA-N 1 2 300.402 1.436 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@@H](C)[C@@H]2C[N@@H+](C)CCO2)cc1 ZINC000815841049 701947645 /nfs/dbraw/zinc/94/76/45/701947645.db2.gz OPTSDXTYRCNHFJ-YOEHRIQHSA-N 1 2 300.402 1.436 20 30 DDEDLO C[C@H]1C(=O)N(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C[C@H]1C ZINC000840074571 701954305 /nfs/dbraw/zinc/95/43/05/701954305.db2.gz FDBLUPWATWMLRT-UMVBOHGHSA-N 1 2 314.389 1.478 20 30 DDEDLO C[C@H]1C(=O)N(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)C[C@H]1C ZINC000840074571 701954310 /nfs/dbraw/zinc/95/43/10/701954310.db2.gz FDBLUPWATWMLRT-UMVBOHGHSA-N 1 2 314.389 1.478 20 30 DDEDLO C=CCOCCON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000842039873 702662185 /nfs/dbraw/zinc/66/21/85/702662185.db2.gz SROIIUQCAUBENA-INIZCTEOSA-N 1 2 319.405 1.588 20 30 DDEDLO C=CCOCCON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000842039873 702662188 /nfs/dbraw/zinc/66/21/88/702662188.db2.gz SROIIUQCAUBENA-INIZCTEOSA-N 1 2 319.405 1.588 20 30 DDEDLO CCn1nncc1CO[NH+]=C(N)c1ccc(Br)cc1 ZINC000842039970 702662424 /nfs/dbraw/zinc/66/24/24/702662424.db2.gz OUANHVMEQLJVSV-UHFFFAOYSA-N 1 2 324.182 1.898 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)[C@@H]2CCc3c[nH+]cn3C2)c1 ZINC000844130441 702975862 /nfs/dbraw/zinc/97/58/62/702975862.db2.gz FDFPQWRWUDQKKE-CQSZACIVSA-N 1 2 322.368 1.182 20 30 DDEDLO C#CCN1C(=O)C[C@@H]([N@@H+]2CCS[C@H](c3ccccc3)C2)C1=O ZINC000844273113 703002693 /nfs/dbraw/zinc/00/26/93/703002693.db2.gz NOGQGUDRJUILCF-CABCVRRESA-N 1 2 314.410 1.537 20 30 DDEDLO C#CCN1C(=O)C[C@@H]([N@H+]2CCS[C@H](c3ccccc3)C2)C1=O ZINC000844273113 703002696 /nfs/dbraw/zinc/00/26/96/703002696.db2.gz NOGQGUDRJUILCF-CABCVRRESA-N 1 2 314.410 1.537 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2cccc(F)n2)CC1 ZINC000831472561 706713522 /nfs/dbraw/zinc/71/35/22/706713522.db2.gz DBMQFBRRUPNJGK-ZDUSSCGKSA-N 1 2 319.384 1.103 20 30 DDEDLO CC(C)S(=O)(=O)CCC[N@H+](C)C[C@@H](O)c1cccc(C#N)c1 ZINC000844369563 703021481 /nfs/dbraw/zinc/02/14/81/703021481.db2.gz MEQZEVLSWOTGQA-MRXNPFEDSA-N 1 2 324.446 1.737 20 30 DDEDLO CC(C)S(=O)(=O)CCC[N@@H+](C)C[C@@H](O)c1cccc(C#N)c1 ZINC000844369563 703021482 /nfs/dbraw/zinc/02/14/82/703021482.db2.gz MEQZEVLSWOTGQA-MRXNPFEDSA-N 1 2 324.446 1.737 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)COC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845281314 703138117 /nfs/dbraw/zinc/13/81/17/703138117.db2.gz KPUJBVIYCCZECG-OLZOCXBDSA-N 1 2 306.366 1.386 20 30 DDEDLO CCCNC(=O)[C@H](C)[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585462 706728360 /nfs/dbraw/zinc/72/83/60/706728360.db2.gz YZERQZHKOZBKIC-AWEZNQCLSA-N 1 2 300.406 1.595 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(C(=O)N(C)OC)CC2)nn1 ZINC000846915438 703350992 /nfs/dbraw/zinc/35/09/92/703350992.db2.gz UDHOBDOYKZOKJZ-UHFFFAOYSA-N 1 2 307.398 1.086 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCC(C#N)(C(=O)OC)CC1 ZINC000831692022 706754587 /nfs/dbraw/zinc/75/45/87/706754587.db2.gz ZXESOXJUHZIGOO-CQSZACIVSA-N 1 2 319.405 1.354 20 30 DDEDLO C#CCCOc1ccc(C[NH2+]Cc2nc(C(N)=O)cs2)cc1 ZINC000850383224 703728517 /nfs/dbraw/zinc/72/85/17/703728517.db2.gz BQHYQXQLNSFCFU-UHFFFAOYSA-N 1 2 315.398 1.934 20 30 DDEDLO C#C[C@H](NC(=O)NCc1cc(C)[nH+]c(C)c1)C1CCOCC1 ZINC000852386119 704031122 /nfs/dbraw/zinc/03/11/22/704031122.db2.gz WPTNUEODICOSJT-INIZCTEOSA-N 1 2 301.390 1.926 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@H]2COC[C@@]2(C)C1 ZINC000852535104 704069984 /nfs/dbraw/zinc/06/99/84/704069984.db2.gz UXOZLNQPJRQDRG-YQQAZPJKSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@H]2COC[C@@]2(C)C1 ZINC000852535104 704069987 /nfs/dbraw/zinc/06/99/87/704069987.db2.gz UXOZLNQPJRQDRG-YQQAZPJKSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)c1ccc(C=NNCCCn2cc[nH+]c2)cc1F ZINC000853372666 704237316 /nfs/dbraw/zinc/23/73/16/704237316.db2.gz UKYAJONNIBYALV-UHFFFAOYSA-N 1 2 304.325 1.823 20 30 DDEDLO C#CCC[C@@H](O)CNc1nc[nH+]c(NC[C@H](O)CCC#C)c1C ZINC000853569573 704273125 /nfs/dbraw/zinc/27/31/25/704273125.db2.gz YZWHMKLZTVBDFG-HUUCEWRRSA-N 1 2 316.405 1.157 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000853604628 704283528 /nfs/dbraw/zinc/28/35/28/704283528.db2.gz GUEDCIBDPSITRI-CYBMUJFWSA-N 1 2 301.456 1.316 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000853604628 704283530 /nfs/dbraw/zinc/28/35/30/704283530.db2.gz GUEDCIBDPSITRI-CYBMUJFWSA-N 1 2 301.456 1.316 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1C[C@@H](C)OC[C@@H]1C)c1ccccc1 ZINC000820584809 704304954 /nfs/dbraw/zinc/30/49/54/704304954.db2.gz SUHRRJRMNOVCND-UXLLHSPISA-N 1 2 315.417 1.769 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1C[C@@H](C)OC[C@@H]1C)c1ccccc1 ZINC000820584809 704304957 /nfs/dbraw/zinc/30/49/57/704304957.db2.gz SUHRRJRMNOVCND-UXLLHSPISA-N 1 2 315.417 1.769 20 30 DDEDLO CC(C)C#CC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000854271042 704373931 /nfs/dbraw/zinc/37/39/31/704373931.db2.gz VIXFCBMKQBZHGF-UHFFFAOYSA-N 1 2 300.402 1.794 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC000879929031 706822878 /nfs/dbraw/zinc/82/28/78/706822878.db2.gz FQGNSBJLPRQXES-LSDHHAIUSA-N 1 2 320.414 1.304 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC000879929031 706822881 /nfs/dbraw/zinc/82/28/81/706822881.db2.gz FQGNSBJLPRQXES-LSDHHAIUSA-N 1 2 320.414 1.304 20 30 DDEDLO Cc1ncc(S(=O)(=O)N2c3cc[nH+]cc3C[C@H]2C)cc1C#N ZINC000857899710 704639636 /nfs/dbraw/zinc/63/96/36/704639636.db2.gz MIWQRKHHTNJHIZ-SNVBAGLBSA-N 1 2 314.370 1.797 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](C[C@H](O)CC3(O)CCC3)CCO2)c1 ZINC000859219274 704826077 /nfs/dbraw/zinc/82/60/77/704826077.db2.gz TZURMQVDEJSVEZ-SJORKVTESA-N 1 2 316.401 1.598 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](C[C@H](O)CC3(O)CCC3)CCO2)c1 ZINC000859219274 704826082 /nfs/dbraw/zinc/82/60/82/704826082.db2.gz TZURMQVDEJSVEZ-SJORKVTESA-N 1 2 316.401 1.598 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)c1ncc(C#N)cc1C ZINC000874709228 705143370 /nfs/dbraw/zinc/14/33/70/705143370.db2.gz ZHVMVUZBEBJYOZ-CQSZACIVSA-N 1 2 302.378 1.102 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)c1ncc(C#N)cc1C ZINC000874709228 705143372 /nfs/dbraw/zinc/14/33/72/705143372.db2.gz ZHVMVUZBEBJYOZ-CQSZACIVSA-N 1 2 302.378 1.102 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)NCc1cccc(C#N)c1 ZINC000875064896 705270084 /nfs/dbraw/zinc/27/00/84/705270084.db2.gz OYVDPDGTUAOZIO-INIZCTEOSA-N 1 2 316.405 1.468 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)NCc1cccc(C#N)c1 ZINC000875064896 705270089 /nfs/dbraw/zinc/27/00/89/705270089.db2.gz OYVDPDGTUAOZIO-INIZCTEOSA-N 1 2 316.405 1.468 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2nc(CC3CC3)no2)cc1 ZINC000871725834 707178020 /nfs/dbraw/zinc/17/80/20/707178020.db2.gz NIJGLFFIASYNOT-UHFFFAOYSA-N 1 2 302.334 1.868 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@@H+]1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000876652747 705803722 /nfs/dbraw/zinc/80/37/22/705803722.db2.gz ROWCVYLYVNLAGS-WBVHZDCISA-N 1 2 312.417 1.867 20 30 DDEDLO CN(C)c1ccc(C#N)cc1C[N@H+]1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000876652747 705803724 /nfs/dbraw/zinc/80/37/24/705803724.db2.gz ROWCVYLYVNLAGS-WBVHZDCISA-N 1 2 312.417 1.867 20 30 DDEDLO CC[C@H](C#N)C(=O)NC[C@@H]1C[N@H+](Cc2cccc(C)c2)CCO1 ZINC000826551741 705812097 /nfs/dbraw/zinc/81/20/97/705812097.db2.gz OTZVWTQEDDXJTM-IAGOWNOFSA-N 1 2 315.417 1.862 20 30 DDEDLO CC[C@H](C#N)C(=O)NC[C@@H]1C[N@@H+](Cc2cccc(C)c2)CCO1 ZINC000826551741 705812099 /nfs/dbraw/zinc/81/20/99/705812099.db2.gz OTZVWTQEDDXJTM-IAGOWNOFSA-N 1 2 315.417 1.862 20 30 DDEDLO C#CC[C@@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)nc[nH+]2)C1 ZINC000826578501 705819137 /nfs/dbraw/zinc/81/91/37/705819137.db2.gz FODDXXYKTSGHKV-CVEARBPZSA-N 1 2 314.433 1.925 20 30 DDEDLO C#CC[C@@H]1CCCN(c2cc(N3CCC[C@H](CO)C3)[nH+]cn2)C1 ZINC000826578501 705819140 /nfs/dbraw/zinc/81/91/40/705819140.db2.gz FODDXXYKTSGHKV-CVEARBPZSA-N 1 2 314.433 1.925 20 30 DDEDLO C#Cc1cnc(N[C@H](C[NH+]2CCOCC2)c2ccccc2)nc1 ZINC000827327158 705975657 /nfs/dbraw/zinc/97/56/57/705975657.db2.gz JCBDHFJQPNMHQL-QGZVFWFLSA-N 1 2 308.385 1.943 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCOc1ccc(S(N)(=O)=O)cc1F ZINC000832550907 706938364 /nfs/dbraw/zinc/93/83/64/706938364.db2.gz WEYCGGCRAOGQMA-UHFFFAOYSA-N 1 2 322.789 1.536 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCOc1ccc(S(N)(=O)=O)cc1F ZINC000832550907 706938366 /nfs/dbraw/zinc/93/83/66/706938366.db2.gz WEYCGGCRAOGQMA-UHFFFAOYSA-N 1 2 322.789 1.536 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@@H+]2CCc3ncncc3C2)cc1 ZINC000880593616 707032416 /nfs/dbraw/zinc/03/24/16/707032416.db2.gz GCFGWGFIUDTSHG-HNNXBMFYSA-N 1 2 310.357 1.146 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCc3ncncc3C2)cc1 ZINC000880593616 707032419 /nfs/dbraw/zinc/03/24/19/707032419.db2.gz GCFGWGFIUDTSHG-HNNXBMFYSA-N 1 2 310.357 1.146 20 30 DDEDLO CN(C)S(=O)(=O)c1ccccc1N[NH2+]C=C1CC1(F)F ZINC000834981998 707154550 /nfs/dbraw/zinc/15/45/50/707154550.db2.gz JECJTQAPJWGENL-VIFPVBQESA-N 1 2 303.334 1.990 20 30 DDEDLO C(=NNc1cc[nH+]c2ccccc12)c1cn(C[C@@H]2CCOC2)nn1 ZINC000872349992 707387479 /nfs/dbraw/zinc/38/74/79/707387479.db2.gz IYUJJEQLFQVQKA-ZDUSSCGKSA-N 1 2 322.372 1.731 20 30 DDEDLO C=CCONC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000838702323 707965736 /nfs/dbraw/zinc/96/57/36/707965736.db2.gz QESQUPGOMKGAKS-UHFFFAOYSA-N 1 2 305.378 1.510 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH+]1CC(C(=O)NCC)C1 ZINC000839137430 708029131 /nfs/dbraw/zinc/02/91/31/708029131.db2.gz ABKNKQHBAXUKKH-UHFFFAOYSA-N 1 2 306.793 1.920 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCc1ccc(C)c(OC)c1 ZINC000884100658 708138697 /nfs/dbraw/zinc/13/86/97/708138697.db2.gz BSILSQJBNPEKIH-ZDUSSCGKSA-N 1 2 306.362 1.066 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H](C)c1ccc(F)cc1 ZINC000884102982 708140096 /nfs/dbraw/zinc/14/00/96/708140096.db2.gz TYKHYMIVMVZLKW-RISCZKNCSA-N 1 2 308.353 1.492 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCCC[C@@H]1SCC ZINC000884160039 708165667 /nfs/dbraw/zinc/16/56/67/708165667.db2.gz SDUUMYRKAQTBRR-XQQFMLRXSA-N 1 2 314.451 1.614 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@@H]1c1ccccn1 ZINC000884192096 708180645 /nfs/dbraw/zinc/18/06/45/708180645.db2.gz VWJASSMYJMTJLX-GXTWGEPZSA-N 1 2 303.362 1.192 20 30 DDEDLO CN(Cc1cc(C#N)cs1)c1cc(N2CCOCC2)nc[nH+]1 ZINC000896923311 708184017 /nfs/dbraw/zinc/18/40/17/708184017.db2.gz INFGUMOMWLOXMU-UHFFFAOYSA-N 1 2 315.402 1.883 20 30 DDEDLO CN(Cc1cc(C#N)cs1)c1cc(N2CCOCC2)[nH+]cn1 ZINC000896923311 708184020 /nfs/dbraw/zinc/18/40/20/708184020.db2.gz INFGUMOMWLOXMU-UHFFFAOYSA-N 1 2 315.402 1.883 20 30 DDEDLO C[C@@H](O)CNc1cc(N(C)Cc2cc(C#N)cs2)nc[nH+]1 ZINC000896923905 708184058 /nfs/dbraw/zinc/18/40/58/708184058.db2.gz MSZAVLWQKLLTQI-SNVBAGLBSA-N 1 2 303.391 1.839 20 30 DDEDLO C[C@@H](O)CNc1cc(N(C)Cc2cc(C#N)cs2)[nH+]cn1 ZINC000896923905 708184059 /nfs/dbraw/zinc/18/40/59/708184059.db2.gz MSZAVLWQKLLTQI-SNVBAGLBSA-N 1 2 303.391 1.839 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](c1ncccc1C)C(C)C ZINC000884219509 708196400 /nfs/dbraw/zinc/19/64/00/708196400.db2.gz QVASPYFQBMMJQD-ZFWWWQNUSA-N 1 2 319.405 1.650 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NC[C@@H]1C[C@H]1c1ccccc1 ZINC000884373853 708268691 /nfs/dbraw/zinc/26/86/91/708268691.db2.gz YARVXXPKLNQPPZ-KKUMJFAQSA-N 1 2 302.374 1.353 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@]1(c2ccccc2)CC1(C)C ZINC000884421050 708290753 /nfs/dbraw/zinc/29/07/53/708290753.db2.gz KUUHDYVCYVXQGX-KBXCAEBGSA-N 1 2 316.401 1.875 20 30 DDEDLO C#C[C@@H]1CCCCN1c1nc(NCCO)[nH+]c(NC(C)C)n1 ZINC000897360909 708304695 /nfs/dbraw/zinc/30/46/95/708304695.db2.gz TYPRNZQRZPEXGF-GFCCVEGCSA-N 1 2 304.398 1.088 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(CCC#N)cc2)CC[N@H+]1C ZINC000885081889 708466235 /nfs/dbraw/zinc/46/62/35/708466235.db2.gz GSCNQCZHMDMUQV-KGLIPLIRSA-N 1 2 321.446 1.856 20 30 DDEDLO C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(CCC#N)cc2)CC[N@@H+]1C ZINC000885081889 708466237 /nfs/dbraw/zinc/46/62/37/708466237.db2.gz GSCNQCZHMDMUQV-KGLIPLIRSA-N 1 2 321.446 1.856 20 30 DDEDLO COC(=O)c1cc2n(n1)CCC[C@@H]2[NH2+]Cc1nc(C#N)cs1 ZINC000897987408 708511840 /nfs/dbraw/zinc/51/18/40/708511840.db2.gz QFRQAKRCORXSKR-JTQLQIEISA-N 1 2 317.374 1.623 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N[C@H]2CCC[N@H+](CCF)C2)c1 ZINC000898504915 708663119 /nfs/dbraw/zinc/66/31/19/708663119.db2.gz UKAMVINTFYQRAO-HNNXBMFYSA-N 1 2 318.396 1.788 20 30 DDEDLO CN(C)c1cc(C#N)cc(C(=O)N[C@H]2CCC[N@@H+](CCF)C2)c1 ZINC000898504915 708663121 /nfs/dbraw/zinc/66/31/21/708663121.db2.gz UKAMVINTFYQRAO-HNNXBMFYSA-N 1 2 318.396 1.788 20 30 DDEDLO N#CC1(C[C@H](O)C[NH+]2CCN(C(=O)c3ccco3)CC2)CCC1 ZINC000885931744 708665289 /nfs/dbraw/zinc/66/52/89/708665289.db2.gz YQZPDEHIHVAARU-AWEZNQCLSA-N 1 2 317.389 1.482 20 30 DDEDLO C=CCOCC[N@H+]1CCN(C(=O)OC(C)(C)C)C[C@@H]1CO ZINC000886351609 708747376 /nfs/dbraw/zinc/74/73/76/708747376.db2.gz KOGXUZLRFOVBOR-CYBMUJFWSA-N 1 2 300.399 1.103 20 30 DDEDLO C=CCOCC[N@@H+]1CCN(C(=O)OC(C)(C)C)C[C@@H]1CO ZINC000886351609 708747377 /nfs/dbraw/zinc/74/73/77/708747377.db2.gz KOGXUZLRFOVBOR-CYBMUJFWSA-N 1 2 300.399 1.103 20 30 DDEDLO Cn1c[nH+]cc1[C@H](CO)NC(=O)C[C@H](CC#N)c1ccccc1 ZINC000898893480 708911553 /nfs/dbraw/zinc/91/15/53/708911553.db2.gz CYMNUQRKHORSNA-GJZGRUSLSA-N 1 2 312.373 1.657 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCC(F)(C(F)(F)F)C(F)(F)F ZINC000899097020 708981804 /nfs/dbraw/zinc/98/18/04/708981804.db2.gz JZAYMDGIHGRRND-UHFFFAOYSA-N 1 2 321.196 1.912 20 30 DDEDLO CCC(CC)[C@@H](C(=O)N1CCO[C@](C)(C#N)C1)[NH+]1CCOCC1 ZINC000887676771 709099270 /nfs/dbraw/zinc/09/92/70/709099270.db2.gz KAKIEFPQFJFJQP-DOTOQJQBSA-N 1 2 323.437 1.264 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc([C@@H](C)CC(N)=O)cc1 ZINC000888929248 709406048 /nfs/dbraw/zinc/40/60/48/709406048.db2.gz FMSXHAYHCAEPJT-BBRMVZONSA-N 1 2 313.401 1.702 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc([C@@H](C)CC(N)=O)cc1 ZINC000888929248 709406050 /nfs/dbraw/zinc/40/60/50/709406050.db2.gz FMSXHAYHCAEPJT-BBRMVZONSA-N 1 2 313.401 1.702 20 30 DDEDLO O=C(c1cccc(C#CCO)c1)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000900985364 709863280 /nfs/dbraw/zinc/86/32/80/709863280.db2.gz XBZNKJURARWGQJ-UHFFFAOYSA-N 1 2 309.369 1.773 20 30 DDEDLO O=C(c1cccc(C#CCO)c1)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000900985364 709863287 /nfs/dbraw/zinc/86/32/87/709863287.db2.gz XBZNKJURARWGQJ-UHFFFAOYSA-N 1 2 309.369 1.773 20 30 DDEDLO Cc1cccc(S(=O)(=O)N[C@H]2CCn3c[nH+]cc3C2)c1C#N ZINC000903195749 711061210 /nfs/dbraw/zinc/06/12/10/711061210.db2.gz ZZJBBUYQFULDTI-LBPRGKRZSA-N 1 2 316.386 1.357 20 30 DDEDLO C/C(=C\C(=O)N1Cc2cccc(C#N)c2C1)C[NH+]1CCOCC1 ZINC000911923083 711070672 /nfs/dbraw/zinc/07/06/72/711070672.db2.gz KUONSGQBBPBFTQ-NTEUORMPSA-N 1 2 311.385 1.679 20 30 DDEDLO C#CCN(C(=O)c1cc(-n2cc[nH+]c2)ccc1O)C1CSC1 ZINC000912361087 711291428 /nfs/dbraw/zinc/29/14/28/711291428.db2.gz ACEOZCLTRKOMCV-UHFFFAOYSA-N 1 2 313.382 1.769 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@H](CC)C[NH+]2CCOCC2)cc1 ZINC000928642983 713247208 /nfs/dbraw/zinc/24/72/08/713247208.db2.gz XTNWMHALLKQXHR-MRXNPFEDSA-N 1 2 316.401 1.539 20 30 DDEDLO Cc1ccc(-c2n[nH]cc2C[NH+]2CCN(C(=O)CC#N)CC2)cc1 ZINC000895067970 711395635 /nfs/dbraw/zinc/39/56/35/711395635.db2.gz BZCSMAXHJGMFJD-UHFFFAOYSA-N 1 2 323.400 1.943 20 30 DDEDLO CC#CC[C@H](CO)[NH2+]Cc1nc2ccccn2c1Br ZINC000895100467 711411270 /nfs/dbraw/zinc/41/12/70/711411270.db2.gz TXVXTQOHSWSRAA-LLVKDONJSA-N 1 2 322.206 1.961 20 30 DDEDLO CCCCCCCCOCC(=O)N1CC[N@H+](C)[C@@H](CO)C1 ZINC000913550413 713255598 /nfs/dbraw/zinc/25/55/98/713255598.db2.gz UACUDPNNGKJBGW-OAHLLOKOSA-N 1 2 300.443 1.498 20 30 DDEDLO CCCCCCCCOCC(=O)N1CC[N@@H+](C)[C@@H](CO)C1 ZINC000913550413 713255601 /nfs/dbraw/zinc/25/56/01/713255601.db2.gz UACUDPNNGKJBGW-OAHLLOKOSA-N 1 2 300.443 1.498 20 30 DDEDLO C=C(C)C[C@H]([NH2+]C1CC(n2cc([N+](=O)[O-])cn2)C1)C(=O)OCC ZINC000895591556 711552474 /nfs/dbraw/zinc/55/24/74/711552474.db2.gz AUAQHGAZJPGDQD-YIZWMMSDSA-N 1 2 322.365 1.982 20 30 DDEDLO N#Cc1nc(N2CC[NH+](Cc3cn[nH]c3)CC2)ccc1Cl ZINC000895961341 711656289 /nfs/dbraw/zinc/65/62/89/711656289.db2.gz PPRYKTHKYZBWPC-UHFFFAOYSA-N 1 2 302.769 1.652 20 30 DDEDLO CCOCc1nc(C)cc(NNC2=CC(=O)N3CCCC[C@H]23)[nH+]1 ZINC000905498734 712055976 /nfs/dbraw/zinc/05/59/76/712055976.db2.gz IMZZEJKNOFCNHD-CYBMUJFWSA-N 1 2 317.393 1.874 20 30 DDEDLO Cc1ccc(C(=O)/C=C\C(=O)N2CC[N@H+](CCO)[C@@H](C)C2)cc1 ZINC000907542897 712592208 /nfs/dbraw/zinc/59/22/08/712592208.db2.gz FISJEXDDDIKXPT-LZNQSLFOSA-N 1 2 316.401 1.259 20 30 DDEDLO Cc1ccc(C(=O)/C=C\C(=O)N2CC[N@@H+](CCO)[C@@H](C)C2)cc1 ZINC000907542897 712592210 /nfs/dbraw/zinc/59/22/10/712592210.db2.gz FISJEXDDDIKXPT-LZNQSLFOSA-N 1 2 316.401 1.259 20 30 DDEDLO COC(=O)C[C@H]1C[N@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000929801161 713692767 /nfs/dbraw/zinc/69/27/67/713692767.db2.gz VZEJYNSVHKRXCO-HNNXBMFYSA-N 1 2 302.374 1.939 20 30 DDEDLO COC(=O)C[C@H]1C[N@@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000929801161 713692768 /nfs/dbraw/zinc/69/27/68/713692768.db2.gz VZEJYNSVHKRXCO-HNNXBMFYSA-N 1 2 302.374 1.939 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@@H](O)C2(O)CCC2)C1 ZINC000930924883 713961443 /nfs/dbraw/zinc/96/14/43/713961443.db2.gz XBSWHLADCYIGHA-HZPDHXFCSA-N 1 2 301.390 1.215 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@@H](O)C2(O)CCC2)C1 ZINC000930924883 713961444 /nfs/dbraw/zinc/96/14/44/713961444.db2.gz XBSWHLADCYIGHA-HZPDHXFCSA-N 1 2 301.390 1.215 20 30 DDEDLO C#CCOc1ccccc1NC(=O)NCC[N@@H+]1CCO[C@@H](C)C1 ZINC000930940054 713964770 /nfs/dbraw/zinc/96/47/70/713964770.db2.gz RTJRVPUASSNWPH-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CCOc1ccccc1NC(=O)NCC[N@H+]1CCO[C@@H](C)C1 ZINC000930940054 713964772 /nfs/dbraw/zinc/96/47/72/713964772.db2.gz RTJRVPUASSNWPH-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C)C(=O)Nc2cc(F)ccc2F)CC1 ZINC000931145929 714015636 /nfs/dbraw/zinc/01/56/36/714015636.db2.gz WWQLUVVIMODJBD-LLVKDONJSA-N 1 2 308.328 1.752 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])CC1 ZINC000931147010 714015967 /nfs/dbraw/zinc/01/59/67/714015967.db2.gz UIYMOUPWLUZCBX-LBPRGKRZSA-N 1 2 317.345 1.382 20 30 DDEDLO C#CCNC(=O)C1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC000931205209 714035766 /nfs/dbraw/zinc/03/57/66/714035766.db2.gz IPFKDONFWXPZBS-UHFFFAOYSA-N 1 2 310.357 1.165 20 30 DDEDLO N#C[C@H]1CCC[N@@H+](C[C@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000932161977 714275335 /nfs/dbraw/zinc/27/53/35/714275335.db2.gz CURYQXPCUMPWAA-OCCSQVGLSA-N 1 2 305.334 1.570 20 30 DDEDLO N#C[C@H]1CCC[N@H+](C[C@H](O)COc2cccc([N+](=O)[O-])c2)C1 ZINC000932161977 714275337 /nfs/dbraw/zinc/27/53/37/714275337.db2.gz CURYQXPCUMPWAA-OCCSQVGLSA-N 1 2 305.334 1.570 20 30 DDEDLO C#C[C@@H](NC(=O)N[C@H](CC)C[NH+]1CCOCC1)c1ccccc1 ZINC000923221049 714276532 /nfs/dbraw/zinc/27/65/32/714276532.db2.gz VZUZQFAOTSRVAT-IAGOWNOFSA-N 1 2 315.417 1.771 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)Cc2n[nH]c3c2CCCC3)C1 ZINC000923566628 714408179 /nfs/dbraw/zinc/40/81/79/714408179.db2.gz RVURWMUWNFGJIX-CYBMUJFWSA-N 1 2 300.406 1.045 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)Cc2n[nH]c3c2CCCC3)C1 ZINC000923566628 714408182 /nfs/dbraw/zinc/40/81/82/714408182.db2.gz RVURWMUWNFGJIX-CYBMUJFWSA-N 1 2 300.406 1.045 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@@H+]2CCOC[C@H]2CC2CC2)cc1 ZINC000933213217 714537848 /nfs/dbraw/zinc/53/78/48/714537848.db2.gz KXVBLISEGNGUEJ-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccc(CNC(=O)C[N@H+]2CCOC[C@H]2CC2CC2)cc1 ZINC000933213217 714537851 /nfs/dbraw/zinc/53/78/51/714537851.db2.gz KXVBLISEGNGUEJ-QGZVFWFLSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@H+](C[C@H](O)CC3(O)CCC3)CCO2)cc1 ZINC000933633068 714635052 /nfs/dbraw/zinc/63/50/52/714635052.db2.gz VABDWXQHEVRHEL-IAGOWNOFSA-N 1 2 316.401 1.598 20 30 DDEDLO N#Cc1ccc([C@H]2C[N@@H+](C[C@H](O)CC3(O)CCC3)CCO2)cc1 ZINC000933633068 714635054 /nfs/dbraw/zinc/63/50/54/714635054.db2.gz VABDWXQHEVRHEL-IAGOWNOFSA-N 1 2 316.401 1.598 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCC[C@@H]2C2OCCO2)CCCCC1 ZINC000933906761 714698431 /nfs/dbraw/zinc/69/84/31/714698431.db2.gz HHLHNUAQFAKMEI-CYBMUJFWSA-N 1 2 307.394 1.166 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCC[C@@H]2C2OCCO2)CCCCC1 ZINC000933906761 714698433 /nfs/dbraw/zinc/69/84/33/714698433.db2.gz HHLHNUAQFAKMEI-CYBMUJFWSA-N 1 2 307.394 1.166 20 30 DDEDLO C=CC1(CC(=O)N(C)C[C@@H](O)C[NH+]2CCOCC2)CCCCC1 ZINC000934322030 714794484 /nfs/dbraw/zinc/79/44/84/714794484.db2.gz XIBYZDDCWKQINJ-MRXNPFEDSA-N 1 2 324.465 1.665 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1Cc1cccc(S(=O)(=O)N(C)C)c1 ZINC000934508593 714838228 /nfs/dbraw/zinc/83/82/28/714838228.db2.gz CYUTXJSIFJLLAE-HNNXBMFYSA-N 1 2 306.431 1.925 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1Cc1cccc(S(=O)(=O)N(C)C)c1 ZINC000934508593 714838229 /nfs/dbraw/zinc/83/82/29/714838229.db2.gz CYUTXJSIFJLLAE-HNNXBMFYSA-N 1 2 306.431 1.925 20 30 DDEDLO C#CCC1(NC(=O)[C@@H]2CCc3[nH+]c(C)[nH]c3C2)CCOCC1 ZINC000925420095 714851036 /nfs/dbraw/zinc/85/10/36/714851036.db2.gz GNWARJAKDZAXGY-CYBMUJFWSA-N 1 2 301.390 1.512 20 30 DDEDLO C#CCC1(NC(=O)[C@@H]2CCc3[nH]c(C)[nH+]c3C2)CCOCC1 ZINC000925420095 714851037 /nfs/dbraw/zinc/85/10/37/714851037.db2.gz GNWARJAKDZAXGY-CYBMUJFWSA-N 1 2 301.390 1.512 20 30 DDEDLO C=C1CCC(C(=O)N2CC[NH+]([C@@H]3CCN(C(C)=O)C3)CC2)CC1 ZINC000956606267 715486341 /nfs/dbraw/zinc/48/63/41/715486341.db2.gz QKIVUQADKMLSRU-QGZVFWFLSA-N 1 2 319.449 1.498 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2nc3ccccn3c2F)C1 ZINC000957092230 715741224 /nfs/dbraw/zinc/74/12/24/715741224.db2.gz DWXDWPCAFNFUQO-UHFFFAOYSA-N 1 2 314.364 1.643 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)C2C[NH+]([C@@H](C)COC)C2)cn1 ZINC000957290270 715818927 /nfs/dbraw/zinc/81/89/27/715818927.db2.gz QHATUMROJIHLQT-ZDUSSCGKSA-N 1 2 301.390 1.244 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(F)ccc(OC)c2F)CC1 ZINC000957409779 715887437 /nfs/dbraw/zinc/88/74/37/715887437.db2.gz XSDCPEAMAMGUOI-UHFFFAOYSA-N 1 2 308.328 1.755 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2ccc(CC)o2)C1 ZINC000958187339 716383935 /nfs/dbraw/zinc/38/39/35/716383935.db2.gz OSOJSMTXCQLJJO-AAEUAGOBSA-N 1 2 312.797 1.617 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2ccc(CC)o2)C1 ZINC000958187339 716383937 /nfs/dbraw/zinc/38/39/37/716383937.db2.gz OSOJSMTXCQLJJO-AAEUAGOBSA-N 1 2 312.797 1.617 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1cc2n(n1)CCC2 ZINC000960499623 716582399 /nfs/dbraw/zinc/58/23/99/716582399.db2.gz FTHJCKFGWBDTEV-CJNGLKHVSA-N 1 2 315.421 1.412 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC000960499623 716582403 /nfs/dbraw/zinc/58/24/03/716582403.db2.gz FTHJCKFGWBDTEV-CJNGLKHVSA-N 1 2 315.421 1.412 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@@H+]([C@H](C)c4nncn4C)C[C@H]32)C1 ZINC000961730395 717077467 /nfs/dbraw/zinc/07/74/67/717077467.db2.gz IKFXPUPGOXNPNO-MQYQWHSLSA-N 1 2 315.421 1.279 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2[C@H]3C[N@H+]([C@H](C)c4nncn4C)C[C@H]32)C1 ZINC000961730395 717077468 /nfs/dbraw/zinc/07/74/68/717077468.db2.gz IKFXPUPGOXNPNO-MQYQWHSLSA-N 1 2 315.421 1.279 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)CCc3ccoc3)CC2)C1 ZINC000941371072 717164075 /nfs/dbraw/zinc/16/40/75/717164075.db2.gz KXLDBEKZTDNDNX-UHFFFAOYSA-N 1 2 315.417 1.064 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3sc(C)cc3C)CC2)C1 ZINC000941424563 717174953 /nfs/dbraw/zinc/17/49/53/717174953.db2.gz QFNOUZXWOTYMTE-UHFFFAOYSA-N 1 2 317.458 1.440 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C(C)(C)C3CCC3)CC2)C1 ZINC000941506672 717188937 /nfs/dbraw/zinc/18/89/37/717188937.db2.gz OPIWYSCZNOSIPB-UHFFFAOYSA-N 1 2 317.477 1.664 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3C[C@@H]3c3ccccc3)CC2)C1 ZINC000941596473 717224095 /nfs/dbraw/zinc/22/40/95/717224095.db2.gz HZONUITUFMVVLL-MOPGFXCFSA-N 1 2 323.440 1.252 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@@]3(C)C(C)C)CC2)C1 ZINC000941621725 717232682 /nfs/dbraw/zinc/23/26/82/717232682.db2.gz BTVVTNNFMCZZLJ-WMZOPIPTSA-N 1 2 303.450 1.130 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3ccc(C4CC4)cc3)CC2)C1 ZINC000941670226 717249280 /nfs/dbraw/zinc/24/92/80/717249280.db2.gz JYZZJVXSMFLUSR-UHFFFAOYSA-N 1 2 323.440 1.639 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876666 718690445 /nfs/dbraw/zinc/69/04/45/718690445.db2.gz YBHLYVVEPDWKCK-JQWIXIFHSA-N 1 2 304.419 1.489 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2snnc2C2CC2)C1 ZINC000966876666 718690447 /nfs/dbraw/zinc/69/04/47/718690447.db2.gz YBHLYVVEPDWKCK-JQWIXIFHSA-N 1 2 304.419 1.489 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000967006808 718741142 /nfs/dbraw/zinc/74/11/42/718741142.db2.gz LWAIGZMYSBAOOL-BXUZGUMPSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000967006808 718741144 /nfs/dbraw/zinc/74/11/44/718741144.db2.gz LWAIGZMYSBAOOL-BXUZGUMPSA-N 1 2 323.824 1.578 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@H+](Cc3ccon3)[C@@H](C)C2)nc1 ZINC000947948440 719315712 /nfs/dbraw/zinc/31/57/12/719315712.db2.gz NTBFQSJHQRKWNZ-DZGCQCFKSA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CC[N@@H+](Cc3ccon3)[C@@H](C)C2)nc1 ZINC000947948440 719315715 /nfs/dbraw/zinc/31/57/15/719315715.db2.gz NTBFQSJHQRKWNZ-DZGCQCFKSA-N 1 2 324.384 1.834 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@H](NC(=O)Cn2nccc2C)C1 ZINC000968370610 719537760 /nfs/dbraw/zinc/53/77/60/719537760.db2.gz ZYTRPVUWIKJLRT-SMDDNHRTSA-N 1 2 310.829 1.771 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cn2nccc2C)C1 ZINC000968370610 719537763 /nfs/dbraw/zinc/53/77/63/719537763.db2.gz ZYTRPVUWIKJLRT-SMDDNHRTSA-N 1 2 310.829 1.771 20 30 DDEDLO CCc1nnc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000968613085 719691979 /nfs/dbraw/zinc/69/19/79/719691979.db2.gz BYHMXIRPTUYGKY-DGCLKSJQSA-N 1 2 302.378 1.297 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC000968627110 719699613 /nfs/dbraw/zinc/69/96/13/719699613.db2.gz NQIILYKROISIMZ-CQSZACIVSA-N 1 2 314.389 1.126 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nnn(C)c1C ZINC000948901550 719774903 /nfs/dbraw/zinc/77/49/03/719774903.db2.gz BJURGWREFYWKJV-INIZCTEOSA-N 1 2 323.400 1.086 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1nnn(C)c1C ZINC000948901550 719774904 /nfs/dbraw/zinc/77/49/04/719774904.db2.gz BJURGWREFYWKJV-INIZCTEOSA-N 1 2 323.400 1.086 20 30 DDEDLO N#Cc1cccc(C[NH+]2CCN(C(=O)Cc3cccnc3)CC2)c1 ZINC000948902927 719775712 /nfs/dbraw/zinc/77/57/12/719775712.db2.gz KDDWFZLYXFQZDM-UHFFFAOYSA-N 1 2 320.396 1.840 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cc(C(F)(F)F)ncn2)CC1 ZINC000949269102 719985692 /nfs/dbraw/zinc/98/56/92/719985692.db2.gz GAZXHEFGQKJUOI-UHFFFAOYSA-N 1 2 314.311 1.829 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC3(F)F)CC2)C1 ZINC000949395613 720059781 /nfs/dbraw/zinc/05/97/81/720059781.db2.gz WPPPATFNGHKLAR-ZDUSSCGKSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC3(F)F)CC2)C1 ZINC000949395613 720059786 /nfs/dbraw/zinc/05/97/86/720059786.db2.gz WPPPATFNGHKLAR-ZDUSSCGKSA-N 1 2 312.360 1.358 20 30 DDEDLO C[C@@H](NC(=O)c1ccc(C#N)[nH]1)C1C[NH+](Cc2ccns2)C1 ZINC000969513923 720170022 /nfs/dbraw/zinc/17/00/22/720170022.db2.gz INGGBGHPHILFEP-SNVBAGLBSA-N 1 2 315.402 1.593 20 30 DDEDLO Cc1conc1C[NH+]1CC([C@@H](C)NC(=O)c2c[nH]c(C#N)c2)C1 ZINC000969527111 720174473 /nfs/dbraw/zinc/17/44/73/720174473.db2.gz TZAWCFUTESGVJZ-LLVKDONJSA-N 1 2 313.361 1.433 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnc(N(C)C)cn2)C1 ZINC000969675289 720246197 /nfs/dbraw/zinc/24/61/97/720246197.db2.gz RZYKAGWYHJGYJL-LLVKDONJSA-N 1 2 323.828 1.345 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(CC(F)F)C2)CC1 ZINC000949894854 720404991 /nfs/dbraw/zinc/40/49/91/720404991.db2.gz KQRNJJHVCBQSKK-ZDUSSCGKSA-N 1 2 301.381 1.294 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc(OC(C)C)cn2)C1 ZINC000950096873 720488918 /nfs/dbraw/zinc/48/89/18/720488918.db2.gz GKUCIZPOALSTNZ-UHFFFAOYSA-N 1 2 318.421 1.986 20 30 DDEDLO CC#CC[NH+]1CC([C@@H](C)NC(=O)c2nnc3ccccc3c2O)C1 ZINC000970522220 720796051 /nfs/dbraw/zinc/79/60/51/720796051.db2.gz SPZYEIMUFXHHQT-GFCCVEGCSA-N 1 2 324.384 1.409 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@@H]2CCc3cnn(C)c3C2)C1 ZINC000951379979 721011309 /nfs/dbraw/zinc/01/13/09/721011309.db2.gz KEWPAIOEVBBGII-CYBMUJFWSA-N 1 2 302.422 1.244 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2ccoc2CC(N)=O)C1 ZINC000951551195 721069186 /nfs/dbraw/zinc/06/91/86/721069186.db2.gz STTCCNJNRNOJSB-UHFFFAOYSA-N 1 2 305.378 1.030 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cc(C(F)(F)F)n[nH]2)CC1 ZINC000951748993 721164687 /nfs/dbraw/zinc/16/46/87/721164687.db2.gz RREBYIYKCIWNBB-UHFFFAOYSA-N 1 2 302.300 1.762 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@H+](C)Cc2noc3c2CCCC3)C1 ZINC000971218363 721185188 /nfs/dbraw/zinc/18/51/88/721185188.db2.gz MNDSOHDCIWZSGE-OLZOCXBDSA-N 1 2 316.405 1.746 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]([N@@H+](C)Cc2noc3c2CCCC3)C1 ZINC000971218363 721185190 /nfs/dbraw/zinc/18/51/90/721185190.db2.gz MNDSOHDCIWZSGE-OLZOCXBDSA-N 1 2 316.405 1.746 20 30 DDEDLO CC(C)n1ccc(C[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971511452 721331623 /nfs/dbraw/zinc/33/16/23/721331623.db2.gz LTSBJZQCSBWBDE-QGZVFWFLSA-N 1 2 314.433 1.910 20 30 DDEDLO CC(C)n1ccc(C[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971511452 721331628 /nfs/dbraw/zinc/33/16/28/721331628.db2.gz LTSBJZQCSBWBDE-QGZVFWFLSA-N 1 2 314.433 1.910 20 30 DDEDLO C[N@H+](Cc1cc2n(n1)CCC2)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971511699 721332349 /nfs/dbraw/zinc/33/23/49/721332349.db2.gz PASYLBHTWGEGRP-KRWDZBQOSA-N 1 2 312.417 1.275 20 30 DDEDLO C[N@@H+](Cc1cc2n(n1)CCC2)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971511699 721332355 /nfs/dbraw/zinc/33/23/55/721332355.db2.gz PASYLBHTWGEGRP-KRWDZBQOSA-N 1 2 312.417 1.275 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C(F)(F)F)n(C)n1 ZINC001038295664 735475662 /nfs/dbraw/zinc/47/56/62/735475662.db2.gz XLGGUXHJYSTAHA-SNVBAGLBSA-N 1 2 314.311 1.266 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C(F)(F)F)n(C)n1 ZINC001038295664 735475663 /nfs/dbraw/zinc/47/56/63/735475663.db2.gz XLGGUXHJYSTAHA-SNVBAGLBSA-N 1 2 314.311 1.266 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC4CCC3CC4)C2)C1 ZINC000972696811 735485755 /nfs/dbraw/zinc/48/57/55/735485755.db2.gz ZODFOPMQSNUUAN-BXNZUYAZSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3CC4CCC3CC4)C2)C1 ZINC000972696811 735485757 /nfs/dbraw/zinc/48/57/57/735485757.db2.gz ZODFOPMQSNUUAN-BXNZUYAZSA-N 1 2 316.445 1.749 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001009783365 738423248 /nfs/dbraw/zinc/42/32/48/738423248.db2.gz VPTIBOSACWNLJK-TZMCWYRMSA-N 1 2 310.829 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001009783365 738423251 /nfs/dbraw/zinc/42/32/51/738423251.db2.gz VPTIBOSACWNLJK-TZMCWYRMSA-N 1 2 310.829 1.857 20 30 DDEDLO CN(CC#N)[C@H]1CCCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC001027637125 738496796 /nfs/dbraw/zinc/49/67/96/738496796.db2.gz MISVTSFGVULURH-KRWDZBQOSA-N 1 2 323.400 1.932 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(P(C)(C)=O)cc1 ZINC001039041716 732486517 /nfs/dbraw/zinc/48/65/17/732486517.db2.gz AKNQUYVIJGLVKO-AWEZNQCLSA-N 1 2 306.346 1.925 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(P(C)(C)=O)cc1 ZINC001039041716 732486521 /nfs/dbraw/zinc/48/65/21/732486521.db2.gz AKNQUYVIJGLVKO-AWEZNQCLSA-N 1 2 306.346 1.925 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCOC1 ZINC001027781409 738655234 /nfs/dbraw/zinc/65/52/34/738655234.db2.gz HQLJVNDNWKPLLK-NWDGAFQWSA-N 1 2 317.227 1.512 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCOC1 ZINC001027781409 738655236 /nfs/dbraw/zinc/65/52/36/738655236.db2.gz HQLJVNDNWKPLLK-NWDGAFQWSA-N 1 2 317.227 1.512 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2ccsc2)nn1 ZINC001038792574 738673396 /nfs/dbraw/zinc/67/33/96/738673396.db2.gz XIGWZLFDECDYKJ-CYBMUJFWSA-N 1 2 317.418 1.530 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2ccsc2)nn1 ZINC001038792574 738673399 /nfs/dbraw/zinc/67/33/99/738673399.db2.gz XIGWZLFDECDYKJ-CYBMUJFWSA-N 1 2 317.418 1.530 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1CCC[C@H]2CN(CC#N)CC[C@@H]21 ZINC001021640518 733393994 /nfs/dbraw/zinc/39/39/94/733393994.db2.gz WGKPLFMMJHSJGC-GJZGRUSLSA-N 1 2 315.421 1.189 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccnc2ccnn21 ZINC001027924075 738815105 /nfs/dbraw/zinc/81/51/05/738815105.db2.gz CUVQLWMKEYTRJJ-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccnc2ccnn21 ZINC001027924075 738815110 /nfs/dbraw/zinc/81/51/10/738815110.db2.gz CUVQLWMKEYTRJJ-GFCCVEGCSA-N 1 2 319.796 1.676 20 30 DDEDLO Cc1nc(NC2(CNC(=O)CSCC#N)CCC2)cc[nH+]1 ZINC001111765451 734745201 /nfs/dbraw/zinc/74/52/01/734745201.db2.gz PLMWEERKBZQVMI-UHFFFAOYSA-N 1 2 305.407 1.493 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3sccc3Cl)n2C)CC1 ZINC001121275072 782436915 /nfs/dbraw/zinc/43/69/15/782436915.db2.gz ZZONQXRZSJOSAY-UHFFFAOYSA-N 1 2 321.837 1.952 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1COc2cc(F)ccc2C1 ZINC001038243216 734856157 /nfs/dbraw/zinc/85/61/57/734856157.db2.gz BASBQJXNFAPIGD-UKRRQHHQSA-N 1 2 302.349 1.201 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1COc2cc(F)ccc2C1 ZINC001038243216 734856161 /nfs/dbraw/zinc/85/61/61/734856161.db2.gz BASBQJXNFAPIGD-UKRRQHHQSA-N 1 2 302.349 1.201 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCOCCOC)C2 ZINC001111491212 734991521 /nfs/dbraw/zinc/99/15/21/734991521.db2.gz STDKXZMNAOBVNI-MCIONIFRSA-N 1 2 316.829 1.514 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCOCCOC)C2 ZINC001111491212 734991528 /nfs/dbraw/zinc/99/15/28/734991528.db2.gz STDKXZMNAOBVNI-MCIONIFRSA-N 1 2 316.829 1.514 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3ccco3)C2)C1 ZINC000972550606 735162265 /nfs/dbraw/zinc/16/22/65/735162265.db2.gz ZQWOCQGCAWRMAI-PBHICJAKSA-N 1 2 304.390 1.872 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3ccco3)C2)C1 ZINC000972550606 735162269 /nfs/dbraw/zinc/16/22/69/735162269.db2.gz ZQWOCQGCAWRMAI-PBHICJAKSA-N 1 2 304.390 1.872 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001024469088 735846795 /nfs/dbraw/zinc/84/67/95/735846795.db2.gz PMBDLQXCDUBJPV-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001024469088 735846799 /nfs/dbraw/zinc/84/67/99/735846799.db2.gz PMBDLQXCDUBJPV-QWHCGFSZSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1[nH]nc(CC)c1Cl ZINC001024482425 735858258 /nfs/dbraw/zinc/85/82/58/735858258.db2.gz FHMAOEPLVJKCIZ-LLVKDONJSA-N 1 2 308.813 1.843 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1[nH]nc(CC)c1Cl ZINC001024482425 735858261 /nfs/dbraw/zinc/85/82/61/735858261.db2.gz FHMAOEPLVJKCIZ-LLVKDONJSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001024494446 735872316 /nfs/dbraw/zinc/87/23/16/735872316.db2.gz NYYHGPCXLLBNSO-NSHDSACASA-N 1 2 312.801 1.761 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001024494446 735872320 /nfs/dbraw/zinc/87/23/20/735872320.db2.gz NYYHGPCXLLBNSO-NSHDSACASA-N 1 2 312.801 1.761 20 30 DDEDLO CC1(C)[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H]2CCCN(CC#N)[C@H]21 ZINC001087309359 736036102 /nfs/dbraw/zinc/03/61/02/736036102.db2.gz FYJQWPZYYFQGIL-KCPJHIHWSA-N 1 2 301.394 1.081 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CCO[C@@H](CNc2cc[nH+]c(C)n2)C1 ZINC001111947859 736172385 /nfs/dbraw/zinc/17/23/85/736172385.db2.gz BCFBLNUNNIHXIL-OCCSQVGLSA-N 1 2 320.393 1.015 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@@H](NC(=O)C(C)(F)F)C2)C1=O ZINC001006565125 736486284 /nfs/dbraw/zinc/48/62/84/736486284.db2.gz FIMHWOVGJAQJCA-NEPJUHHUSA-N 1 2 315.364 1.009 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@@H](NC(=O)C(C)(F)F)C2)C1=O ZINC001006565125 736486286 /nfs/dbraw/zinc/48/62/86/736486286.db2.gz FIMHWOVGJAQJCA-NEPJUHHUSA-N 1 2 315.364 1.009 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)c3cccc(C)c3)C2)nn1 ZINC001098604082 736826008 /nfs/dbraw/zinc/82/60/08/736826008.db2.gz GNPUWKXFFHQUIA-KRWDZBQOSA-N 1 2 323.400 1.397 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3c(C)nsc3C)[C@H]2C1 ZINC001083286481 736966132 /nfs/dbraw/zinc/96/61/32/736966132.db2.gz MCZAMPSNHUXYKH-UONOGXRCSA-N 1 2 319.430 1.308 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3c(C)nsc3C)[C@H]2C1 ZINC001083286481 736966136 /nfs/dbraw/zinc/96/61/36/736966136.db2.gz MCZAMPSNHUXYKH-UONOGXRCSA-N 1 2 319.430 1.308 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)CC(C)(C)C)C2)nn1 ZINC001098614809 737410641 /nfs/dbraw/zinc/41/06/41/737410641.db2.gz ACFSUMPEFXEFOC-CQSZACIVSA-N 1 2 303.410 1.211 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](CNc1cc[nH+]c(C)n1)CC(C)C ZINC001104968104 737424293 /nfs/dbraw/zinc/42/42/93/737424293.db2.gz UKVGUXSCIDQIIO-OAHLLOKOSA-N 1 2 318.421 1.768 20 30 DDEDLO N#Cc1cnccc1NC1(CNC(=O)CCc2[nH]cc[nH+]2)CCC1 ZINC001111794378 737647391 /nfs/dbraw/zinc/64/73/91/737647391.db2.gz QDAKAMPPSFOQOJ-UHFFFAOYSA-N 1 2 324.388 1.182 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1C(F)(F)F ZINC001038707053 738149452 /nfs/dbraw/zinc/14/94/52/738149452.db2.gz UEGGCBCMNXITSN-JTQLQIEISA-N 1 2 314.311 1.564 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1C(F)(F)F ZINC001038707053 738149453 /nfs/dbraw/zinc/14/94/53/738149453.db2.gz UEGGCBCMNXITSN-JTQLQIEISA-N 1 2 314.311 1.564 20 30 DDEDLO C#CC[N@@H+](C)[C@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001027410541 738271133 /nfs/dbraw/zinc/27/11/33/738271133.db2.gz FLDKMJPJIAZFHZ-KBPBESRZSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@H+](C)[C@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001027410541 738271135 /nfs/dbraw/zinc/27/11/35/738271135.db2.gz FLDKMJPJIAZFHZ-KBPBESRZSA-N 1 2 300.406 1.386 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nccn1C)C2 ZINC001111659029 751414210 /nfs/dbraw/zinc/41/42/10/751414210.db2.gz YZFGGUDTESSXRS-YOEHRIQHSA-N 1 2 318.421 1.093 20 30 DDEDLO C=CCOCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nccn1C)C2 ZINC001111659029 751414212 /nfs/dbraw/zinc/41/42/12/751414212.db2.gz YZFGGUDTESSXRS-YOEHRIQHSA-N 1 2 318.421 1.093 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3cc4n(n3)CCC4)C[C@H]21 ZINC001075616400 739188558 /nfs/dbraw/zinc/18/85/58/739188558.db2.gz RJQKHFCSJBNKLL-HEHGZKQESA-N 1 2 313.405 1.022 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3cc4n(n3)CCC4)C[C@H]21 ZINC001075616400 739188560 /nfs/dbraw/zinc/18/85/60/739188560.db2.gz RJQKHFCSJBNKLL-HEHGZKQESA-N 1 2 313.405 1.022 20 30 DDEDLO CC(C)(C(=O)N1CC[C@H](Nc2ccncc2C#N)C1)c1c[nH+]c[nH]1 ZINC001058931094 739220604 /nfs/dbraw/zinc/22/06/04/739220604.db2.gz VGVMTGNBTBYIFU-ZDUSSCGKSA-N 1 2 324.388 1.089 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC[NH2+]Cc1nc(C2CCCC2)no1 ZINC001126365284 739457747 /nfs/dbraw/zinc/45/77/47/739457747.db2.gz IJXKYFZEFDIWFK-MRXNPFEDSA-N 1 2 322.409 1.260 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001059016491 739631063 /nfs/dbraw/zinc/63/10/63/739631063.db2.gz CSBUIZIRDFARRC-AWEZNQCLSA-N 1 2 310.361 1.253 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)[C@@H]2C1 ZINC001075657519 739709546 /nfs/dbraw/zinc/70/95/46/739709546.db2.gz VUMFNNMAKLHHQQ-GXTWGEPZSA-N 1 2 304.781 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)[C@@H]2C1 ZINC001075657519 739709550 /nfs/dbraw/zinc/70/95/50/739709550.db2.gz VUMFNNMAKLHHQQ-GXTWGEPZSA-N 1 2 304.781 1.785 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)cc(C)nc2C)C1 ZINC001035452896 751500808 /nfs/dbraw/zinc/50/08/08/751500808.db2.gz JHUBOXRYLWBLAD-INIZCTEOSA-N 1 2 315.417 1.461 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2c(C)cc(C)nc2C)C1 ZINC001035452896 751500811 /nfs/dbraw/zinc/50/08/11/751500811.db2.gz JHUBOXRYLWBLAD-INIZCTEOSA-N 1 2 315.417 1.461 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001035433255 751510684 /nfs/dbraw/zinc/51/06/84/751510684.db2.gz WIDMWQVWZFDHSD-INIZCTEOSA-N 1 2 315.417 1.966 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001035433255 751510688 /nfs/dbraw/zinc/51/06/88/751510688.db2.gz WIDMWQVWZFDHSD-INIZCTEOSA-N 1 2 315.417 1.966 20 30 DDEDLO CC[C@H]1CN(C(=O)C(C)(C)n2c[nH+]c(C)c2)CC[C@H]1NCC#N ZINC001037872235 751522634 /nfs/dbraw/zinc/52/26/34/751522634.db2.gz NUEITXXCNPCSCD-LSDHHAIUSA-N 1 2 317.437 1.667 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(F)ccc2OC)C1 ZINC001035471547 751528454 /nfs/dbraw/zinc/52/84/54/751528454.db2.gz XDAVCLWTQHBTKT-CQSZACIVSA-N 1 2 320.364 1.288 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(F)ccc2OC)C1 ZINC001035471547 751528459 /nfs/dbraw/zinc/52/84/59/751528459.db2.gz XDAVCLWTQHBTKT-CQSZACIVSA-N 1 2 320.364 1.288 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2nc(Cl)cs2)C1 ZINC001035481031 751535283 /nfs/dbraw/zinc/53/52/83/751535283.db2.gz CZIICOYOIUGKGX-SNVBAGLBSA-N 1 2 315.826 1.803 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2nc(Cl)cs2)C1 ZINC001035481031 751535286 /nfs/dbraw/zinc/53/52/86/751535286.db2.gz CZIICOYOIUGKGX-SNVBAGLBSA-N 1 2 315.826 1.803 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2C[N@@H+](Cc3cncc(F)c3)C[C@H]21 ZINC001075776405 740429278 /nfs/dbraw/zinc/42/92/78/740429278.db2.gz MKSNUEHBPRLICI-DOTOQJQBSA-N 1 2 313.376 1.667 20 30 DDEDLO O=C(C#CC1CC1)N1CC[C@H]2C[N@H+](Cc3cncc(F)c3)C[C@H]21 ZINC001075776405 740429281 /nfs/dbraw/zinc/42/92/81/740429281.db2.gz MKSNUEHBPRLICI-DOTOQJQBSA-N 1 2 313.376 1.667 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(-n2ccnc2)n1 ZINC001038440868 740543469 /nfs/dbraw/zinc/54/34/69/740543469.db2.gz BZHZPKLOWLKKPD-AWEZNQCLSA-N 1 2 309.373 1.095 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(-n2ccnc2)n1 ZINC001038440868 740543470 /nfs/dbraw/zinc/54/34/70/740543470.db2.gz BZHZPKLOWLKKPD-AWEZNQCLSA-N 1 2 309.373 1.095 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C[C@@H](C=C)CC)C2)nn1 ZINC001098706001 740760172 /nfs/dbraw/zinc/76/01/72/740760172.db2.gz OQMLMHBXUJTYKV-GOEBONIOSA-N 1 2 315.421 1.377 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)(C)C)nn2C)C1 ZINC001035493332 751576015 /nfs/dbraw/zinc/57/60/15/751576015.db2.gz HUUCSXVILVHJTG-ZDUSSCGKSA-N 1 2 320.437 1.334 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C(C)(C)C)nn2C)C1 ZINC001035493332 751576019 /nfs/dbraw/zinc/57/60/19/751576019.db2.gz HUUCSXVILVHJTG-ZDUSSCGKSA-N 1 2 320.437 1.334 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3ncccc3c2)C1 ZINC001035494263 751577361 /nfs/dbraw/zinc/57/73/61/751577361.db2.gz XSNQHYYHCROZLW-MRXNPFEDSA-N 1 2 311.385 1.851 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3ncccc3c2)C1 ZINC001035494263 751577370 /nfs/dbraw/zinc/57/73/70/751577370.db2.gz XSNQHYYHCROZLW-MRXNPFEDSA-N 1 2 311.385 1.851 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnnc3ccccc32)C1 ZINC001035524509 751603257 /nfs/dbraw/zinc/60/32/57/751603257.db2.gz GDCXAUQUUNNCQJ-AWEZNQCLSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnnc3ccccc32)C1 ZINC001035524509 751603260 /nfs/dbraw/zinc/60/32/60/751603260.db2.gz GDCXAUQUUNNCQJ-AWEZNQCLSA-N 1 2 324.384 1.084 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccnc(N(C)C)c2)C1 ZINC001035531231 751612658 /nfs/dbraw/zinc/61/26/58/751612658.db2.gz RSTKHVQWBCJBQI-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccnc(N(C)C)c2)C1 ZINC001035531231 751612661 /nfs/dbraw/zinc/61/26/61/751612661.db2.gz RSTKHVQWBCJBQI-OAHLLOKOSA-N 1 2 318.421 1.154 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cccc3[nH]c(C)nc32)C1 ZINC001035566347 751619452 /nfs/dbraw/zinc/61/94/52/751619452.db2.gz CUOAJSKHDNYSTH-CYBMUJFWSA-N 1 2 314.389 1.488 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cccc3[nH]c(C)nc32)C1 ZINC001035566347 751619454 /nfs/dbraw/zinc/61/94/54/751619454.db2.gz CUOAJSKHDNYSTH-CYBMUJFWSA-N 1 2 314.389 1.488 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nncn2C)C1 ZINC001029798187 741312479 /nfs/dbraw/zinc/31/24/79/741312479.db2.gz GZGCODKBOJWROL-AWEZNQCLSA-N 1 2 319.453 1.698 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nncn2C)C1 ZINC001029798187 741312483 /nfs/dbraw/zinc/31/24/83/741312483.db2.gz GZGCODKBOJWROL-AWEZNQCLSA-N 1 2 319.453 1.698 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001035571973 751625972 /nfs/dbraw/zinc/62/59/72/751625972.db2.gz NMOVJODZMSBENC-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cnn(C(C)C)c2C)C1 ZINC001035571973 751625977 /nfs/dbraw/zinc/62/59/77/751625977.db2.gz NMOVJODZMSBENC-HNNXBMFYSA-N 1 2 318.421 1.226 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](C[N@H+](C)Cc2nnnn2C)C1 ZINC001029825959 741362886 /nfs/dbraw/zinc/36/28/86/741362886.db2.gz KCRYTIUVZWIPOM-XJKSGUPXSA-N 1 2 320.441 1.093 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H](C[N@@H+](C)Cc2nnnn2C)C1 ZINC001029825959 741362890 /nfs/dbraw/zinc/36/28/90/741362890.db2.gz KCRYTIUVZWIPOM-XJKSGUPXSA-N 1 2 320.441 1.093 20 30 DDEDLO CCn1ncnc1C[N@H+](C)CC1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC001029946470 741635462 /nfs/dbraw/zinc/63/54/62/741635462.db2.gz NQZYRCVETZHDFA-ZDUSSCGKSA-N 1 2 318.425 1.128 20 30 DDEDLO CCn1ncnc1C[N@@H+](C)CC1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC001029946470 741635463 /nfs/dbraw/zinc/63/54/63/741635463.db2.gz NQZYRCVETZHDFA-ZDUSSCGKSA-N 1 2 318.425 1.128 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)c(COC)c1 ZINC001032618295 751660563 /nfs/dbraw/zinc/66/05/63/751660563.db2.gz QPGDFAVYBHUBAE-HOTGVXAUSA-N 1 2 316.376 1.894 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)c(COC)c1 ZINC001032618295 751660567 /nfs/dbraw/zinc/66/05/67/751660567.db2.gz QPGDFAVYBHUBAE-HOTGVXAUSA-N 1 2 316.376 1.894 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cncnc2C(C)C)C1 ZINC001035604386 751663282 /nfs/dbraw/zinc/66/32/82/751663282.db2.gz BVPBGVYNXSEXBL-AWEZNQCLSA-N 1 2 316.405 1.054 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cncnc2C(C)C)C1 ZINC001035604386 751663284 /nfs/dbraw/zinc/66/32/84/751663284.db2.gz BVPBGVYNXSEXBL-AWEZNQCLSA-N 1 2 316.405 1.054 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(CC)c(CC)o2)C1 ZINC001035579661 751669356 /nfs/dbraw/zinc/66/93/56/751669356.db2.gz LDWCNXSLUFEWFZ-OAHLLOKOSA-N 1 2 318.417 1.858 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(CC)c(CC)o2)C1 ZINC001035579661 751669360 /nfs/dbraw/zinc/66/93/60/751669360.db2.gz LDWCNXSLUFEWFZ-OAHLLOKOSA-N 1 2 318.417 1.858 20 30 DDEDLO C=CCCC(=O)N(C)C1CC(Nc2nc(N(C)C)nc(C)[nH+]2)C1 ZINC001126859198 742386050 /nfs/dbraw/zinc/38/60/50/742386050.db2.gz JDTOHMXLWSMGLF-UHFFFAOYSA-N 1 2 318.425 1.614 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1O ZINC001083526580 742466285 /nfs/dbraw/zinc/46/62/85/742466285.db2.gz YYCKDJCPSWZUES-LJXYTEIVSA-N 1 2 309.797 1.202 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1O ZINC001083526580 742466286 /nfs/dbraw/zinc/46/62/86/742466286.db2.gz YYCKDJCPSWZUES-LJXYTEIVSA-N 1 2 309.797 1.202 20 30 DDEDLO COCc1noc([C@@H](C)[NH2+]CCNC(=O)C#CC(C)(C)C)n1 ZINC001126912563 742483939 /nfs/dbraw/zinc/48/39/39/742483939.db2.gz PTGJDWRBAMJOBM-LLVKDONJSA-N 1 2 308.382 1.032 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C[C@H](C)CC)C2)nn1 ZINC001098665476 742879872 /nfs/dbraw/zinc/87/98/72/742879872.db2.gz ZBOPBMGWQGDPRB-UKRRQHHQSA-N 1 2 303.410 1.211 20 30 DDEDLO C[C@H](c1ncccn1)[NH+]1CC2(C1)CCN(C(=O)[C@@H](C)C#N)CC2 ZINC001035671762 751777745 /nfs/dbraw/zinc/77/77/45/751777745.db2.gz ZRSCTXMLLAUDOI-UONOGXRCSA-N 1 2 313.405 1.622 20 30 DDEDLO Cc1conc1C[NH+]1CC2(C1)CCN(C(=O)[C@@H](C)C#N)CC2 ZINC001035669476 751775294 /nfs/dbraw/zinc/77/52/94/751775294.db2.gz RMOXHHGKQNPDRK-LBPRGKRZSA-N 1 2 302.378 1.567 20 30 DDEDLO Cc1nnsc1C[NH+]1CC2(C1)CCN(C(=O)[C@@H](C)C#N)CC2 ZINC001035670685 751776374 /nfs/dbraw/zinc/77/63/74/751776374.db2.gz IOTKABILOIGZGZ-NSHDSACASA-N 1 2 319.434 1.431 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC001076797384 742994882 /nfs/dbraw/zinc/99/48/82/742994882.db2.gz GYSVARPNVLEHLW-KGLIPLIRSA-N 1 2 320.437 1.956 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC001076797384 742994887 /nfs/dbraw/zinc/99/48/87/742994887.db2.gz GYSVARPNVLEHLW-KGLIPLIRSA-N 1 2 320.437 1.956 20 30 DDEDLO C#CCC1(C(=O)NCC[C@H](C)NC(=O)Cn2cc[nH+]c2)CCC1 ZINC001076837520 743027802 /nfs/dbraw/zinc/02/78/02/743027802.db2.gz HZBKGXLYSOMUFN-AWEZNQCLSA-N 1 2 316.405 1.088 20 30 DDEDLO N#Cc1ccc(C(=O)NC2CC[NH+](Cc3cscn3)CC2)[nH]1 ZINC001002620941 743283908 /nfs/dbraw/zinc/28/39/08/743283908.db2.gz RCWCFSLGQZAXRA-UHFFFAOYSA-N 1 2 315.402 1.737 20 30 DDEDLO C[C@@H]1CN(C(=O)[C@@H]2CCc3c[nH+]cn3C2)C[C@@]1(C)CNCC#N ZINC001181951713 743408268 /nfs/dbraw/zinc/40/82/68/743408268.db2.gz FTPNFLAOEUTQMH-CKEIUWERSA-N 1 2 315.421 1.043 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)c1cccc2[nH+]ccn21 ZINC001077357366 743423273 /nfs/dbraw/zinc/42/32/73/743423273.db2.gz RILMYRLATCDTNG-ZDUSSCGKSA-N 1 2 314.389 1.925 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CN(C)c3ccccc3O2)CC1 ZINC001181970158 743435150 /nfs/dbraw/zinc/43/51/50/743435150.db2.gz XDDAQWUWEHBVAS-INIZCTEOSA-N 1 2 301.390 1.214 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@@H](C)COC)CC2)C1 ZINC001105698058 743557264 /nfs/dbraw/zinc/55/72/64/743557264.db2.gz DLXIAJUZGNLLJX-AWEZNQCLSA-N 1 2 310.438 1.537 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1cncn1)C2 ZINC001110288523 743607590 /nfs/dbraw/zinc/60/75/90/743607590.db2.gz PTKXSEFLYRFWDB-UPJWGTAASA-N 1 2 309.801 1.142 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1cncn1)C2 ZINC001110288523 743607594 /nfs/dbraw/zinc/60/75/94/743607594.db2.gz PTKXSEFLYRFWDB-UPJWGTAASA-N 1 2 309.801 1.142 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCCCN2C(=O)Cn2cc[nH+]c2)cn1 ZINC001060257863 743743757 /nfs/dbraw/zinc/74/37/57/743743757.db2.gz STHICUSLUPVWGG-INIZCTEOSA-N 1 2 324.388 1.643 20 30 DDEDLO Cc1nc(N2CCC(C3(NC(=O)[C@H](C)C#N)CC3)CC2)cc[nH+]1 ZINC001127122057 743796854 /nfs/dbraw/zinc/79/68/54/743796854.db2.gz FMDGAGXKMGZWKR-GFCCVEGCSA-N 1 2 313.405 1.810 20 30 DDEDLO N#Cc1ccc(C(=O)NC2C[NH+](CCCc3ccsc3)C2)[nH]1 ZINC001030224997 743962306 /nfs/dbraw/zinc/96/23/06/743962306.db2.gz FHVAGPOPBSIRHG-UHFFFAOYSA-N 1 2 314.414 1.995 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cc(Cl)c(Cl)n2C)C1 ZINC001030402726 744113276 /nfs/dbraw/zinc/11/32/76/744113276.db2.gz YAGBGBIJSHRQGP-UHFFFAOYSA-N 1 2 300.189 1.769 20 30 DDEDLO C=CCn1cccc1C(=O)NC1C[NH+](CCc2cnn(C)c2)C1 ZINC001030447257 744167148 /nfs/dbraw/zinc/16/71/48/744167148.db2.gz ODGYDYWMJFCZBC-UHFFFAOYSA-N 1 2 313.405 1.064 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@H]2CCCN(C(=O)/C(C)=C/C)C2)nn1 ZINC001185915419 744313978 /nfs/dbraw/zinc/31/39/78/744313978.db2.gz FJMNKPZDTLIRCG-RNDAEWJDSA-N 1 2 315.421 1.521 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)[C@@H](C)C(C)C)C2)nn1 ZINC001186010552 744326142 /nfs/dbraw/zinc/32/61/42/744326142.db2.gz AJAQYALXCVNUQY-GOEBONIOSA-N 1 2 317.437 1.457 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)[C@@H]2COc3ccc(F)cc3C2)C1 ZINC001030678966 744438292 /nfs/dbraw/zinc/43/82/92/744438292.db2.gz YDTOSJVJCYCPLW-ZDUSSCGKSA-N 1 2 302.349 1.201 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001187239426 744522795 /nfs/dbraw/zinc/52/27/95/744522795.db2.gz BOFUWDJWAIWALT-KWCYVHTRSA-N 1 2 303.410 1.673 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3nnc(C)[nH]3)C[C@H]21 ZINC001187239426 744522796 /nfs/dbraw/zinc/52/27/96/744522796.db2.gz BOFUWDJWAIWALT-KWCYVHTRSA-N 1 2 303.410 1.673 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001187296974 744543724 /nfs/dbraw/zinc/54/37/24/744543724.db2.gz VOAYFVGOQCWLFX-QWHCGFSZSA-N 1 2 307.419 1.378 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001187296974 744543727 /nfs/dbraw/zinc/54/37/27/744543727.db2.gz VOAYFVGOQCWLFX-QWHCGFSZSA-N 1 2 307.419 1.378 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3cccc(F)c3)CCC2)[C@@H](O)C1 ZINC001083688434 744783681 /nfs/dbraw/zinc/78/36/81/744783681.db2.gz RXPBXBSQPANFOQ-CVEARBPZSA-N 1 2 316.376 1.042 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3cccc(F)c3)CCC2)[C@@H](O)C1 ZINC001083688434 744783684 /nfs/dbraw/zinc/78/36/84/744783684.db2.gz RXPBXBSQPANFOQ-CVEARBPZSA-N 1 2 316.376 1.042 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)co1 ZINC001188958365 744799300 /nfs/dbraw/zinc/79/93/00/744799300.db2.gz GPDFDNGYWAZVRL-ZDUSSCGKSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)CSCC#N)C2)co1 ZINC001188958365 744799303 /nfs/dbraw/zinc/79/93/03/744799303.db2.gz GPDFDNGYWAZVRL-ZDUSSCGKSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188959852 744799668 /nfs/dbraw/zinc/79/96/68/744799668.db2.gz ZSVMIGIGYOTEIS-QWHCGFSZSA-N 1 2 322.434 1.834 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001188959852 744799670 /nfs/dbraw/zinc/79/96/70/744799670.db2.gz ZSVMIGIGYOTEIS-QWHCGFSZSA-N 1 2 322.434 1.834 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)c2cc3ncccc3s2)[C@@H](O)C1 ZINC001083696606 744874077 /nfs/dbraw/zinc/87/40/77/744874077.db2.gz IONQHBZZEJTHCX-NEPJUHHUSA-N 1 2 303.387 1.257 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)c2cc3ncccc3s2)[C@@H](O)C1 ZINC001083696606 744874079 /nfs/dbraw/zinc/87/40/79/744874079.db2.gz IONQHBZZEJTHCX-NEPJUHHUSA-N 1 2 303.387 1.257 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@H+](Cc3ccc(C#N)s3)C[C@@H]2O)CCC1 ZINC001083703394 744920634 /nfs/dbraw/zinc/92/06/34/744920634.db2.gz OAUIZGKFZOJZTH-KGLIPLIRSA-N 1 2 319.430 1.471 20 30 DDEDLO CC1(C(=O)N[C@@H]2C[N@@H+](Cc3ccc(C#N)s3)C[C@@H]2O)CCC1 ZINC001083703394 744920637 /nfs/dbraw/zinc/92/06/37/744920637.db2.gz OAUIZGKFZOJZTH-KGLIPLIRSA-N 1 2 319.430 1.471 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2csc(C)n2)C1 ZINC001189865836 745005128 /nfs/dbraw/zinc/00/51/28/745005128.db2.gz HPFCUTFXQCAXNF-HOCLYGCPSA-N 1 2 323.462 1.811 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2csc(C)n2)C1 ZINC001189865836 745005135 /nfs/dbraw/zinc/00/51/35/745005135.db2.gz HPFCUTFXQCAXNF-HOCLYGCPSA-N 1 2 323.462 1.811 20 30 DDEDLO Cc1cc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)nn1C ZINC001190046743 745087571 /nfs/dbraw/zinc/08/75/71/745087571.db2.gz KPRILYQYPFRCMK-INIZCTEOSA-N 1 2 302.422 1.421 20 30 DDEDLO Cc1cc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)C)C2)nn1C ZINC001190046743 745087578 /nfs/dbraw/zinc/08/75/78/745087578.db2.gz KPRILYQYPFRCMK-INIZCTEOSA-N 1 2 302.422 1.421 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]([N@@H+](C)Cc3cnnn3C)C2)CCC1 ZINC001190595711 745268246 /nfs/dbraw/zinc/26/82/46/745268246.db2.gz REMRLWVXAFHNHQ-AWEZNQCLSA-N 1 2 315.421 1.041 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@H]([N@H+](C)Cc3cnnn3C)C2)CCC1 ZINC001190595711 745268252 /nfs/dbraw/zinc/26/82/52/745268252.db2.gz REMRLWVXAFHNHQ-AWEZNQCLSA-N 1 2 315.421 1.041 20 30 DDEDLO Cc1[nH+]c[nH]c1CSCCNC(=O)c1ccc(C#N)cn1 ZINC001190597408 745269019 /nfs/dbraw/zinc/26/90/19/745269019.db2.gz SCRVUGCPVVVMGN-UHFFFAOYSA-N 1 2 301.375 1.648 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@H+](Cc2ccc(OC)c(C#N)c2)C[C@H]1O ZINC001190684974 745296316 /nfs/dbraw/zinc/29/63/16/745296316.db2.gz PMQOIENPYBGBSA-HUUCEWRRSA-N 1 2 317.389 1.028 20 30 DDEDLO CCCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(OC)c(C#N)c2)C[C@H]1O ZINC001190684974 745296318 /nfs/dbraw/zinc/29/63/18/745296318.db2.gz PMQOIENPYBGBSA-HUUCEWRRSA-N 1 2 317.389 1.028 20 30 DDEDLO C=CCC1(S(=O)(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)CC1 ZINC001190835855 745345553 /nfs/dbraw/zinc/34/55/53/745345553.db2.gz BUGHLGHZNKISBB-CYBMUJFWSA-N 1 2 307.419 1.688 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC1C[NH+](CCOC2CCC2)C1 ZINC001031088010 745348311 /nfs/dbraw/zinc/34/83/11/745348311.db2.gz GISWQJHCVRKDGQ-HOTGVXAUSA-N 1 2 308.422 1.337 20 30 DDEDLO CC[N@H+](Cc1ncc(C)cn1)[C@H](C)CNC(=O)CSCC#N ZINC001151954033 745528051 /nfs/dbraw/zinc/52/80/51/745528051.db2.gz JWBZGMGPADBTAH-CYBMUJFWSA-N 1 2 321.450 1.368 20 30 DDEDLO CC[N@@H+](Cc1ncc(C)cn1)[C@H](C)CNC(=O)CSCC#N ZINC001151954033 745528057 /nfs/dbraw/zinc/52/80/57/745528057.db2.gz JWBZGMGPADBTAH-CYBMUJFWSA-N 1 2 321.450 1.368 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)C[C@H]1O ZINC001191864675 745621146 /nfs/dbraw/zinc/62/11/46/745621146.db2.gz PAWMEIDXGCKOOE-LERXQTSPSA-N 1 2 307.781 1.161 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)C[C@H]1O ZINC001191864675 745621150 /nfs/dbraw/zinc/62/11/50/745621150.db2.gz PAWMEIDXGCKOOE-LERXQTSPSA-N 1 2 307.781 1.161 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)c2nccnc2N)[C@@H]1C ZINC000993175339 745712559 /nfs/dbraw/zinc/71/25/59/745712559.db2.gz SGWOZXRQLYZOQR-QWRGUYRKSA-N 1 2 309.801 1.394 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)c2nccnc2N)[C@@H]1C ZINC000993175339 745712563 /nfs/dbraw/zinc/71/25/63/745712563.db2.gz SGWOZXRQLYZOQR-QWRGUYRKSA-N 1 2 309.801 1.394 20 30 DDEDLO N#Cc1cccc(C(=O)n2c(=N)sc3c2CC[C@H]([NH3+])C3)c1O ZINC001193657709 746169075 /nfs/dbraw/zinc/16/90/75/746169075.db2.gz CBSSSJIINUWZAI-VIFPVBQESA-N 1 2 314.370 1.111 20 30 DDEDLO Cn1nncc1C(=O)N[C@H]1CCC[N@H+](CC#Cc2ccccc2)C1 ZINC001007245257 752071355 /nfs/dbraw/zinc/07/13/55/752071355.db2.gz ZERBJGYUWDQTKK-INIZCTEOSA-N 1 2 323.400 1.061 20 30 DDEDLO Cn1nncc1C(=O)N[C@H]1CCC[N@@H+](CC#Cc2ccccc2)C1 ZINC001007245257 752071360 /nfs/dbraw/zinc/07/13/60/752071360.db2.gz ZERBJGYUWDQTKK-INIZCTEOSA-N 1 2 323.400 1.061 20 30 DDEDLO N#Cc1cncc(C(=O)N2CC[NH+](CCc3ccncc3)CC2)c1 ZINC001194108778 746276131 /nfs/dbraw/zinc/27/61/31/746276131.db2.gz UVVZTZMCBDIYAM-UHFFFAOYSA-N 1 2 321.384 1.349 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2ccoc2C)CC1 ZINC001194762276 746459978 /nfs/dbraw/zinc/45/99/78/746459978.db2.gz UEVUYRCTKNRANF-UHFFFAOYSA-N 1 2 305.378 1.038 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2ccoc2C)CC1 ZINC001194762276 746459981 /nfs/dbraw/zinc/45/99/81/746459981.db2.gz UEVUYRCTKNRANF-UHFFFAOYSA-N 1 2 305.378 1.038 20 30 DDEDLO C=C(C)CC[NH+]1CC(NC(=O)c2sc(COC)nc2C)C1 ZINC001031319765 746564314 /nfs/dbraw/zinc/56/43/14/746564314.db2.gz HCJOEBUCVYTBTP-UHFFFAOYSA-N 1 2 309.435 1.978 20 30 DDEDLO CC(C)N(C(=O)C[N@@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1)C(C)C ZINC001195315084 746575074 /nfs/dbraw/zinc/57/50/74/746575074.db2.gz XNLKCADEDDUVTM-HNNXBMFYSA-N 1 2 322.453 1.326 20 30 DDEDLO CC(C)N(C(=O)C[N@H+]1CCCN(C(=O)[C@@H](C)C#N)CC1)C(C)C ZINC001195315084 746575078 /nfs/dbraw/zinc/57/50/78/746575078.db2.gz XNLKCADEDDUVTM-HNNXBMFYSA-N 1 2 322.453 1.326 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2c(Cl)nc3ccccn32)C1 ZINC001031356161 746661930 /nfs/dbraw/zinc/66/19/30/746661930.db2.gz JRWFLDWZQLOWAB-UHFFFAOYSA-N 1 2 302.765 1.425 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cccnc2OC)C[C@H]1O ZINC001195733115 746680154 /nfs/dbraw/zinc/68/01/54/746680154.db2.gz ZNUFVCGJNGLHOT-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cccnc2OC)C[C@H]1O ZINC001195733115 746680156 /nfs/dbraw/zinc/68/01/56/746680156.db2.gz ZNUFVCGJNGLHOT-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO Cc1cnc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)cn1 ZINC001031525571 746957039 /nfs/dbraw/zinc/95/70/39/746957039.db2.gz PFXKAJZTHHGQGJ-UHFFFAOYSA-N 1 2 320.396 1.498 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@@H+]([C@H](C)C(=O)NC)CC2)CC1 ZINC001197061652 747049871 /nfs/dbraw/zinc/04/98/71/747049871.db2.gz FBYSAGPZFVCQAT-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO C=CCCC1(C(=O)N2CCC[N@H+]([C@H](C)C(=O)NC)CC2)CC1 ZINC001197061652 747049876 /nfs/dbraw/zinc/04/98/76/747049876.db2.gz FBYSAGPZFVCQAT-CQSZACIVSA-N 1 2 307.438 1.402 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001197799605 747267517 /nfs/dbraw/zinc/26/75/17/747267517.db2.gz NVASWXHTVAUHFI-BZUAXINKSA-N 1 2 324.465 1.260 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001197799605 747267519 /nfs/dbraw/zinc/26/75/19/747267519.db2.gz NVASWXHTVAUHFI-BZUAXINKSA-N 1 2 324.465 1.260 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCCO[C@@H]2CC)C1 ZINC001031721814 747531375 /nfs/dbraw/zinc/53/13/75/747531375.db2.gz IAOOWNIXZICJLM-ZIAGYGMSSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001031725394 747548756 /nfs/dbraw/zinc/54/87/56/747548756.db2.gz GMMMXQOOHSPGKE-CYBMUJFWSA-N 1 2 320.824 1.382 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CC(C)(F)F)c2C1 ZINC001128484986 747565248 /nfs/dbraw/zinc/56/52/48/747565248.db2.gz XIGAGUBXUWCHPN-UHFFFAOYSA-N 1 2 312.364 1.936 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CC(C)(F)F)c2C1 ZINC001128484986 747565253 /nfs/dbraw/zinc/56/52/53/747565253.db2.gz XIGAGUBXUWCHPN-UHFFFAOYSA-N 1 2 312.364 1.936 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(OC)nc2)C1 ZINC001108061792 747763166 /nfs/dbraw/zinc/76/31/66/747763166.db2.gz WXORCQFNZIWUIY-INIZCTEOSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(OC)nc2)C1 ZINC001108061792 747763171 /nfs/dbraw/zinc/76/31/71/747763171.db2.gz WXORCQFNZIWUIY-INIZCTEOSA-N 1 2 305.378 1.097 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NCC[NH2+][C@H](C)c1nnc(C)o1 ZINC001127609337 747766478 /nfs/dbraw/zinc/76/64/78/747766478.db2.gz WLMFODHZXKSZFK-RTXFEEFZSA-N 1 2 308.382 1.126 20 30 DDEDLO C#CC[C@H](CC(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001199505456 747878193 /nfs/dbraw/zinc/87/81/93/747878193.db2.gz IQMRWQFXVXVGFE-BRWVUGGUSA-N 1 2 318.392 1.314 20 30 DDEDLO C#CC[C@H](CC(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1O)c1ccccc1 ZINC001199505456 747878199 /nfs/dbraw/zinc/87/81/99/747878199.db2.gz IQMRWQFXVXVGFE-BRWVUGGUSA-N 1 2 318.392 1.314 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3ncccn3)CC2)cn1 ZINC001003997818 747896969 /nfs/dbraw/zinc/89/69/69/747896969.db2.gz MTFQFAOVVKIVTN-UHFFFAOYSA-N 1 2 321.384 1.247 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007166364 748065368 /nfs/dbraw/zinc/06/53/68/748065368.db2.gz UBUVGSMUJCZVPT-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO Cc1nccnc1C[N@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001007166364 748065378 /nfs/dbraw/zinc/06/53/78/748065378.db2.gz UBUVGSMUJCZVPT-CQSZACIVSA-N 1 2 324.388 1.379 20 30 DDEDLO Cn1cc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)cn1 ZINC001004341257 748333437 /nfs/dbraw/zinc/33/34/37/748333437.db2.gz VMUFXFCINMFRCI-HNNXBMFYSA-N 1 2 301.394 1.260 20 30 DDEDLO Cn1cc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)cn1 ZINC001004341257 748333443 /nfs/dbraw/zinc/33/34/43/748333443.db2.gz VMUFXFCINMFRCI-HNNXBMFYSA-N 1 2 301.394 1.260 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2cc[nH]c(=O)c2)CC1 ZINC001004389602 748387919 /nfs/dbraw/zinc/38/79/19/748387919.db2.gz FUKRWYCJZVDBBZ-HNNXBMFYSA-N 1 2 314.389 1.627 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2cc[nH]c(=O)c2)CC1 ZINC001004389602 748387922 /nfs/dbraw/zinc/38/79/22/748387922.db2.gz FUKRWYCJZVDBBZ-HNNXBMFYSA-N 1 2 314.389 1.627 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2ncccn2)C1 ZINC001032064728 748543432 /nfs/dbraw/zinc/54/34/32/748543432.db2.gz BKZRKYDAHZQYMZ-UHFFFAOYSA-N 1 2 307.357 1.210 20 30 DDEDLO Cc1ccn(CC(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004551775 748551040 /nfs/dbraw/zinc/55/10/40/748551040.db2.gz LBXITAOCIKBBNK-MRXNPFEDSA-N 1 2 315.421 1.418 20 30 DDEDLO Cc1ccn(CC(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004551775 748551047 /nfs/dbraw/zinc/55/10/47/748551047.db2.gz LBXITAOCIKBBNK-MRXNPFEDSA-N 1 2 315.421 1.418 20 30 DDEDLO O=C(NCC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1cccnn1 ZINC001032065607 748551616 /nfs/dbraw/zinc/55/16/16/748551616.db2.gz DIKOGRQSZMZDRB-UHFFFAOYSA-N 1 2 324.359 1.329 20 30 DDEDLO N#CCN1CCC[C@H]1C1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001004624157 748590421 /nfs/dbraw/zinc/59/04/21/748590421.db2.gz JOORVUZYHJJBJW-HNNXBMFYSA-N 1 2 301.394 1.110 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2nc3cccnc3s2)C1 ZINC001033151735 748623841 /nfs/dbraw/zinc/62/38/41/748623841.db2.gz IVRZELDBEXWMAI-NSHDSACASA-N 1 2 300.387 1.471 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2nc3cccnc3s2)C1 ZINC001033151735 748623849 /nfs/dbraw/zinc/62/38/49/748623849.db2.gz IVRZELDBEXWMAI-NSHDSACASA-N 1 2 300.387 1.471 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CCO[C@H](CC)C2)C1 ZINC001032110539 748654633 /nfs/dbraw/zinc/65/46/33/748654633.db2.gz LONOZXKPDFIWFP-UONOGXRCSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+]Cc1nc(CCC)no1)OCC ZINC001128529048 748740614 /nfs/dbraw/zinc/74/06/14/748740614.db2.gz WPRJCVUVXPORIS-CYBMUJFWSA-N 1 2 324.425 1.599 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001108087835 748759485 /nfs/dbraw/zinc/75/94/85/748759485.db2.gz NTXYSTRQDZTDNF-XYJFISCASA-N 1 2 304.434 1.653 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001108087835 748759489 /nfs/dbraw/zinc/75/94/89/748759489.db2.gz NTXYSTRQDZTDNF-XYJFISCASA-N 1 2 304.434 1.653 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCC[NH2+]Cc1nc(CC)no1)OCC ZINC001128540330 748762423 /nfs/dbraw/zinc/76/24/23/748762423.db2.gz UNXPTMSINNNCQT-GFCCVEGCSA-N 1 2 310.398 1.209 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc3nc[nH]c3n2)C1 ZINC001033180537 748822562 /nfs/dbraw/zinc/82/25/62/748822562.db2.gz UMYOWKGYCPPBQX-NSHDSACASA-N 1 2 319.796 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc3nc[nH]c3n2)C1 ZINC001033180537 748822568 /nfs/dbraw/zinc/82/25/68/748822568.db2.gz UMYOWKGYCPPBQX-NSHDSACASA-N 1 2 319.796 1.857 20 30 DDEDLO C#CCN(C(=O)[C@@H](C)C#N)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110620354 748835043 /nfs/dbraw/zinc/83/50/43/748835043.db2.gz YJTWBZIBUWPGAY-ZDUSSCGKSA-N 1 2 311.389 1.375 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)CSC(C)C)nn2)C1 ZINC001107129861 749190673 /nfs/dbraw/zinc/19/06/73/749190673.db2.gz SRHABZMZPMPXDM-UHFFFAOYSA-N 1 2 323.466 1.469 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@H]1CN(c2cc[nH+]c(C)n2)CC1(C)C ZINC001114454865 749236995 /nfs/dbraw/zinc/23/69/95/749236995.db2.gz NVXXVLDMERSGBP-GUYCJALGSA-N 1 2 318.421 1.443 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C\CNc1[nH+]cnc2c1cnn2C ZINC001107159326 749435232 /nfs/dbraw/zinc/43/52/32/749435232.db2.gz FIPPURHIULTTLX-PLNGDYQASA-N 1 2 312.377 1.107 20 30 DDEDLO N#Cc1cnccc1N1CCC(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001095359870 749597884 /nfs/dbraw/zinc/59/78/84/749597884.db2.gz VIDDPBSIHHEEND-UHFFFAOYSA-N 1 2 324.388 1.183 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)C2(CCOC)CCC2)C1 ZINC001108366529 761985358 /nfs/dbraw/zinc/98/53/58/761985358.db2.gz VUPWFCDCDHIQNQ-MRXNPFEDSA-N 1 2 310.438 1.586 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)C2(CCOC)CCC2)C1 ZINC001108366529 761985359 /nfs/dbraw/zinc/98/53/59/761985359.db2.gz VUPWFCDCDHIQNQ-MRXNPFEDSA-N 1 2 310.438 1.586 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)c3c[nH]c(C#N)c3)CC2)cc[nH+]1 ZINC001066737030 749853770 /nfs/dbraw/zinc/85/37/70/749853770.db2.gz YSGDVTWKQKPXNQ-CQSZACIVSA-N 1 2 324.388 1.774 20 30 DDEDLO N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001039394912 761994742 /nfs/dbraw/zinc/99/47/42/761994742.db2.gz ONRXSWVAJSZCRN-KGLIPLIRSA-N 1 2 309.373 1.765 20 30 DDEDLO CCN(C(=O)c1ccn2c(C)c[nH+]c2c1)[C@H]1CCN(CC#N)C1 ZINC001033832008 749953394 /nfs/dbraw/zinc/95/33/94/749953394.db2.gz HLNIYDALDRPPSL-HNNXBMFYSA-N 1 2 311.389 1.703 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCCN(C)c1cc[nH+]c(C)n1 ZINC001095490972 749963274 /nfs/dbraw/zinc/96/32/74/749963274.db2.gz JBPAHVYGEJNEHD-CYBMUJFWSA-N 1 2 306.410 1.709 20 30 DDEDLO C[C@@H](CC(=O)NC/C=C/CNc1ncccc1C#N)n1cc[nH+]c1 ZINC001107546213 750111284 /nfs/dbraw/zinc/11/12/84/750111284.db2.gz WRECDTFRTFZCAK-HSWBROFVSA-N 1 2 324.388 1.885 20 30 DDEDLO C#CCC1(C(=O)NCCCN(C)c2cc[nH+]c(C)n2)CCC1 ZINC001095657617 750116974 /nfs/dbraw/zinc/11/69/74/750116974.db2.gz CITLYKJFDVWYAG-UHFFFAOYSA-N 1 2 300.406 1.921 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(C)C ZINC001110888452 750176697 /nfs/dbraw/zinc/17/66/97/750176697.db2.gz FIECUQOOPWDUGA-KFWWJZLASA-N 1 2 321.465 1.835 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC(C)C ZINC001110888452 750176700 /nfs/dbraw/zinc/17/67/00/750176700.db2.gz FIECUQOOPWDUGA-KFWWJZLASA-N 1 2 321.465 1.835 20 30 DDEDLO Cc1nc(N2CCC[C@@](C)(CNC(=O)[C@H](C)C#N)C2)cc[nH+]1 ZINC001110927996 750233468 /nfs/dbraw/zinc/23/34/68/750233468.db2.gz KCAQUAKYQCCAMV-WBMJQRKESA-N 1 2 301.394 1.667 20 30 DDEDLO Cc1cncc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cc(C#N)c[nH]2)c1 ZINC001032338580 750254614 /nfs/dbraw/zinc/25/46/14/750254614.db2.gz ZROXEMWFEFALKJ-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1cncc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cc(C#N)c[nH]2)c1 ZINC001032338580 750254617 /nfs/dbraw/zinc/25/46/17/750254617.db2.gz ZROXEMWFEFALKJ-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+]([C@H](C)c3cnccn3)C[C@H]2O)C1 ZINC001077641933 750255520 /nfs/dbraw/zinc/25/55/20/750255520.db2.gz ORYOYAKWYLHVAY-BPLDGKMQSA-N 1 2 316.405 1.055 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+]([C@H](C)c3cnccn3)C[C@H]2O)C1 ZINC001077641933 750255527 /nfs/dbraw/zinc/25/55/27/750255527.db2.gz ORYOYAKWYLHVAY-BPLDGKMQSA-N 1 2 316.405 1.055 20 30 DDEDLO C#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2cc(=O)c3ccccc3o2)C1 ZINC001034029103 750275887 /nfs/dbraw/zinc/27/58/87/750275887.db2.gz NDSOKPIUYFCMDP-CQSZACIVSA-N 1 2 324.380 1.963 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(=O)c3ccccc3o2)C1 ZINC001034029103 750275892 /nfs/dbraw/zinc/27/58/92/750275892.db2.gz NDSOKPIUYFCMDP-CQSZACIVSA-N 1 2 324.380 1.963 20 30 DDEDLO CCC[C@@H](CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001111194029 750428837 /nfs/dbraw/zinc/42/88/37/750428837.db2.gz SOEMFKZTSXUXHV-TUVASFSCSA-N 1 2 320.437 1.174 20 30 DDEDLO CCC[C@@H](CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001111194029 750428841 /nfs/dbraw/zinc/42/88/41/750428841.db2.gz SOEMFKZTSXUXHV-TUVASFSCSA-N 1 2 320.437 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001034474526 750462359 /nfs/dbraw/zinc/46/23/59/750462359.db2.gz QXJHXRDBGCQYNH-CYBMUJFWSA-N 1 2 324.812 1.822 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001034474526 750462362 /nfs/dbraw/zinc/46/23/62/750462362.db2.gz QXJHXRDBGCQYNH-CYBMUJFWSA-N 1 2 324.812 1.822 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH]c[nH+]1 ZINC001077744205 750486318 /nfs/dbraw/zinc/48/63/18/750486318.db2.gz HPVYGXNYYGXETR-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)CCc1c[nH+]c[nH]1 ZINC001077744205 750486322 /nfs/dbraw/zinc/48/63/22/750486322.db2.gz HPVYGXNYYGXETR-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C[C@H](CNc1snc(Cl)c1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001107889118 750566936 /nfs/dbraw/zinc/56/69/36/750566936.db2.gz QRTOGCHGUJDUFR-SSDOTTSWSA-N 1 2 324.797 1.551 20 30 DDEDLO CC[C@H](F)C[N@@H+]1C[C@@H](NC(=O)C#CC(C)C)[C@@H](n2ccnn2)C1 ZINC001128976056 750594277 /nfs/dbraw/zinc/59/42/77/750594277.db2.gz HDIKEKAILNIQBE-ZNMIVQPWSA-N 1 2 321.400 1.027 20 30 DDEDLO CC[C@H](F)C[N@H+]1C[C@@H](NC(=O)C#CC(C)C)[C@@H](n2ccnn2)C1 ZINC001128976056 750594280 /nfs/dbraw/zinc/59/42/80/750594280.db2.gz HDIKEKAILNIQBE-ZNMIVQPWSA-N 1 2 321.400 1.027 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H]1[C@H]2CN(c3cc[nH+]c(C)n3)C[C@H]21 ZINC001114561293 750731131 /nfs/dbraw/zinc/73/11/31/750731131.db2.gz PZRUBCZJVUKFDX-CYUUQNCZSA-N 1 2 316.405 1.175 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(C(C)(C)C)n1 ZINC001032431245 750798932 /nfs/dbraw/zinc/79/89/32/750798932.db2.gz ZRADRCMCGHCPEH-KBPBESRZSA-N 1 2 300.406 1.560 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(C(C)(C)C)n1 ZINC001032431245 750798936 /nfs/dbraw/zinc/79/89/36/750798936.db2.gz ZRADRCMCGHCPEH-KBPBESRZSA-N 1 2 300.406 1.560 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cccc(OC)c1F ZINC001032434436 750842834 /nfs/dbraw/zinc/84/28/34/750842834.db2.gz HDTRMUVGNXGTAK-GJZGRUSLSA-N 1 2 316.376 1.685 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cccc(OC)c1F ZINC001032434436 750842845 /nfs/dbraw/zinc/84/28/45/750842845.db2.gz HDTRMUVGNXGTAK-GJZGRUSLSA-N 1 2 316.376 1.685 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001108159438 750884395 /nfs/dbraw/zinc/88/43/95/750884395.db2.gz ZMGOCXRJDDBHKG-KRWDZBQOSA-N 1 2 319.405 1.113 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001108159438 750884401 /nfs/dbraw/zinc/88/44/01/750884401.db2.gz ZMGOCXRJDDBHKG-KRWDZBQOSA-N 1 2 319.405 1.113 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCc2nccs2)C1 ZINC001108406239 762083869 /nfs/dbraw/zinc/08/38/69/762083869.db2.gz PYLCFVBRNWMWIZ-MRXNPFEDSA-N 1 2 321.446 1.306 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCc2nccs2)C1 ZINC001108406239 762083880 /nfs/dbraw/zinc/08/38/80/762083880.db2.gz PYLCFVBRNWMWIZ-MRXNPFEDSA-N 1 2 321.446 1.306 20 30 DDEDLO CCCc1noc(C[NH2+]C[C@H]2CCCN2C(=O)[C@H](C)C#N)n1 ZINC001034886958 750984670 /nfs/dbraw/zinc/98/46/70/750984670.db2.gz PWZJAEMEAIYRCA-VXGBXAGGSA-N 1 2 305.382 1.262 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(CC)o3)C[C@H]21 ZINC001114686606 750989191 /nfs/dbraw/zinc/98/91/91/750989191.db2.gz OKNLWIUUBAGGNN-NMKXLXIOSA-N 1 2 318.421 1.952 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(CC)o3)C[C@H]21 ZINC001114686606 750989195 /nfs/dbraw/zinc/98/91/95/750989195.db2.gz OKNLWIUUBAGGNN-NMKXLXIOSA-N 1 2 318.421 1.952 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]1C[NH2+]Cc1nc(C(F)F)no1 ZINC001034935217 751047642 /nfs/dbraw/zinc/04/76/42/751047642.db2.gz IVFNERBRARVRRZ-LLVKDONJSA-N 1 2 324.331 1.501 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ncccn4)C[C@H]32)CC1 ZINC001114795091 751090313 /nfs/dbraw/zinc/09/03/13/751090313.db2.gz VUDRPYYLBIPXAO-FOLVSLTJSA-N 1 2 312.417 1.769 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ncccn4)C[C@H]32)CC1 ZINC001114795091 751090321 /nfs/dbraw/zinc/09/03/21/751090321.db2.gz VUDRPYYLBIPXAO-FOLVSLTJSA-N 1 2 312.417 1.769 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)N(C)C(=O)C2 ZINC001032501458 751100985 /nfs/dbraw/zinc/10/09/85/751100985.db2.gz ICUQPCVARFLKSZ-HOTGVXAUSA-N 1 2 323.396 1.128 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)N(C)C(=O)C2 ZINC001032501458 751100990 /nfs/dbraw/zinc/10/09/90/751100990.db2.gz ICUQPCVARFLKSZ-HOTGVXAUSA-N 1 2 323.396 1.128 20 30 DDEDLO Cc1nc(N[C@@H](C)C2CCN(C(=O)[C@H](C)C#N)CC2)cc[nH+]1 ZINC001061826283 751106384 /nfs/dbraw/zinc/10/63/84/751106384.db2.gz IJSRIVBIFJPGOG-NEPJUHHUSA-N 1 2 301.394 1.984 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)Cn2ccc(C)n2)C1 ZINC001107969117 751199973 /nfs/dbraw/zinc/19/99/73/751199973.db2.gz LNFQTOYOMNTWAJ-WMLDXEAASA-N 1 2 320.437 1.221 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@@H](C)Cn2ccc(C)n2)C1 ZINC001107969117 751199976 /nfs/dbraw/zinc/19/99/76/751199976.db2.gz LNFQTOYOMNTWAJ-WMLDXEAASA-N 1 2 320.437 1.221 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(CC[N@@H+](Cc3cnsn3)C2)CC1 ZINC001035167727 751253055 /nfs/dbraw/zinc/25/30/55/751253055.db2.gz NYLAKRVKURDBJY-GFCCVEGCSA-N 1 2 319.434 1.512 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC2(CC[N@H+](Cc3cnsn3)C2)CC1 ZINC001035167727 751253049 /nfs/dbraw/zinc/25/30/49/751253049.db2.gz NYLAKRVKURDBJY-GFCCVEGCSA-N 1 2 319.434 1.512 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)CCCn2cccc2)C1 ZINC001108416800 762110280 /nfs/dbraw/zinc/11/02/80/762110280.db2.gz XBNXDCNDSRZITG-GOSISDBHSA-N 1 2 317.433 1.499 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)CCCn2cccc2)C1 ZINC001108416800 762110286 /nfs/dbraw/zinc/11/02/86/762110286.db2.gz XBNXDCNDSRZITG-GOSISDBHSA-N 1 2 317.433 1.499 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CC(=O)Nc2ccccc21 ZINC001032550122 751275587 /nfs/dbraw/zinc/27/55/87/751275587.db2.gz FEQIWTJOLHHSOZ-DZKIICNBSA-N 1 2 323.396 1.421 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CC(=O)Nc2ccccc21 ZINC001032550122 751275590 /nfs/dbraw/zinc/27/55/90/751275590.db2.gz FEQIWTJOLHHSOZ-DZKIICNBSA-N 1 2 323.396 1.421 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCOc2ccccc21 ZINC001032686555 752724853 /nfs/dbraw/zinc/72/48/53/752724853.db2.gz YIKKNMJTQLZBHY-YQQAZPJKSA-N 1 2 310.397 1.861 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCOc2ccccc21 ZINC001032686555 752724860 /nfs/dbraw/zinc/72/48/60/752724860.db2.gz YIKKNMJTQLZBHY-YQQAZPJKSA-N 1 2 310.397 1.861 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncc(Cl)cc1Cl ZINC001032700592 752743040 /nfs/dbraw/zinc/74/30/40/752743040.db2.gz SIERZHLGMLMUIG-QWRGUYRKSA-N 1 2 310.184 1.920 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ncc(Cl)cc1Cl ZINC001032700592 752743043 /nfs/dbraw/zinc/74/30/43/752743043.db2.gz SIERZHLGMLMUIG-QWRGUYRKSA-N 1 2 310.184 1.920 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]c(C)nc2c1 ZINC001032703037 752759558 /nfs/dbraw/zinc/75/95/58/752759558.db2.gz INXVIDIQGWXGCB-GJZGRUSLSA-N 1 2 308.385 1.793 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]c(C)nc2c1 ZINC001032703037 752759564 /nfs/dbraw/zinc/75/95/64/752759564.db2.gz INXVIDIQGWXGCB-GJZGRUSLSA-N 1 2 308.385 1.793 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001062266551 752858943 /nfs/dbraw/zinc/85/89/43/752858943.db2.gz ZHJDGWAUHDXHCC-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001009365111 753169930 /nfs/dbraw/zinc/16/99/30/753169930.db2.gz UIPMEQATPURTSE-UONOGXRCSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001009365111 753169932 /nfs/dbraw/zinc/16/99/32/753169932.db2.gz UIPMEQATPURTSE-UONOGXRCSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC001009471499 753208076 /nfs/dbraw/zinc/20/80/76/753208076.db2.gz QUTBKFCBMWMGGG-CYBMUJFWSA-N 1 2 309.797 1.181 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC001009471499 753208082 /nfs/dbraw/zinc/20/80/82/753208082.db2.gz QUTBKFCBMWMGGG-CYBMUJFWSA-N 1 2 309.797 1.181 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001009543181 753241007 /nfs/dbraw/zinc/24/10/07/753241007.db2.gz ZRBWHQAJEPQZSZ-LLVKDONJSA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001009543181 753241008 /nfs/dbraw/zinc/24/10/08/753241008.db2.gz ZRBWHQAJEPQZSZ-LLVKDONJSA-N 1 2 310.785 1.060 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(O[C@H](C)CC)cc2)C1 ZINC001077958968 753509638 /nfs/dbraw/zinc/50/96/38/753509638.db2.gz WBIDZFHHLOSCTD-KBRIMQKVSA-N 1 2 316.401 1.272 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(O[C@H](C)CC)cc2)C1 ZINC001077958968 753509645 /nfs/dbraw/zinc/50/96/45/753509645.db2.gz WBIDZFHHLOSCTD-KBRIMQKVSA-N 1 2 316.401 1.272 20 30 DDEDLO Cc1cnc(C(=O)N[C@@H]2CC[N@H+](CC#Cc3ccccc3)C2)cn1 ZINC001009994594 753529574 /nfs/dbraw/zinc/52/95/74/753529574.db2.gz PEQFMNGHRLTGPO-QGZVFWFLSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1cnc(C(=O)N[C@@H]2CC[N@@H+](CC#Cc3ccccc3)C2)cn1 ZINC001009994594 753529576 /nfs/dbraw/zinc/52/95/76/753529576.db2.gz PEQFMNGHRLTGPO-QGZVFWFLSA-N 1 2 320.396 1.641 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@H+](CC#Cc3ccccc3)C2)on1 ZINC001010151807 753643252 /nfs/dbraw/zinc/64/32/52/753643252.db2.gz HWFAABQEIJVROW-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO Cc1cc(C(=O)N[C@H]2CC[N@@H+](CC#Cc3ccccc3)C2)on1 ZINC001010151807 753643258 /nfs/dbraw/zinc/64/32/58/753643258.db2.gz HWFAABQEIJVROW-INIZCTEOSA-N 1 2 309.369 1.839 20 30 DDEDLO C=CCOc1ccccc1C(=O)N(C)C1C[NH+](C[C@H](C)O)C1 ZINC001043117290 753700391 /nfs/dbraw/zinc/70/03/91/753700391.db2.gz HJYQDQWEWIRAGA-ZDUSSCGKSA-N 1 2 304.390 1.388 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccsc2COC)C1 ZINC001108028571 753724761 /nfs/dbraw/zinc/72/47/61/753724761.db2.gz IFSQJMWRJCWKHD-INIZCTEOSA-N 1 2 324.446 1.901 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccsc2COC)C1 ZINC001108028571 753724766 /nfs/dbraw/zinc/72/47/66/753724766.db2.gz IFSQJMWRJCWKHD-INIZCTEOSA-N 1 2 324.446 1.901 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2oc3ccccc3c2C)C1 ZINC001078130180 753765731 /nfs/dbraw/zinc/76/57/31/753765731.db2.gz QNJJWVPXVGPSDP-HUUCEWRRSA-N 1 2 312.369 1.539 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2oc3ccccc3c2C)C1 ZINC001078130180 753765734 /nfs/dbraw/zinc/76/57/34/753765734.db2.gz QNJJWVPXVGPSDP-HUUCEWRRSA-N 1 2 312.369 1.539 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](OC)c1ccc(F)cc1 ZINC001032811865 754208703 /nfs/dbraw/zinc/20/87/03/754208703.db2.gz RGSFJKGRVILTPF-ULQDDVLXSA-N 1 2 316.376 1.822 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](OC)c1ccc(F)cc1 ZINC001032811865 754208705 /nfs/dbraw/zinc/20/87/05/754208705.db2.gz RGSFJKGRVILTPF-ULQDDVLXSA-N 1 2 316.376 1.822 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCN2C(=O)CCn2cc[nH+]c2)nc1 ZINC001063538254 754221960 /nfs/dbraw/zinc/22/19/60/754221960.db2.gz UWOVRLIVYGGHKP-OAHLLOKOSA-N 1 2 324.388 1.643 20 30 DDEDLO N#Cc1cccnc1NC[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001060997492 754248021 /nfs/dbraw/zinc/24/80/21/754248021.db2.gz CEPPTGDDGUPYOH-GFCCVEGCSA-N 1 2 310.361 1.179 20 30 DDEDLO C[C@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@H]1Nc1ccc(C#N)nc1 ZINC001063801874 754353193 /nfs/dbraw/zinc/35/31/93/754353193.db2.gz DJRKEFMIBZLRHD-BLLLJJGKSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)[C@H]2C)C1 ZINC001012099690 754843857 /nfs/dbraw/zinc/84/38/57/754843857.db2.gz HEKVJOPUPFSMAB-JSGCOSHPSA-N 1 2 316.405 1.414 20 30 DDEDLO Cc1nonc1C[N@H+]1CCC[C@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC001040121538 762395470 /nfs/dbraw/zinc/39/54/70/762395470.db2.gz SBWHAFMPSDWRHN-WBMJQRKESA-N 1 2 317.393 1.352 20 30 DDEDLO Cc1nonc1C[N@@H+]1CCC[C@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC001040121538 762395474 /nfs/dbraw/zinc/39/54/74/762395474.db2.gz SBWHAFMPSDWRHN-WBMJQRKESA-N 1 2 317.393 1.352 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)C2=COCCO2)s1 ZINC001038033984 755655431 /nfs/dbraw/zinc/65/54/31/755655431.db2.gz WYTIAEYQLOWKEB-LLVKDONJSA-N 1 2 319.386 1.198 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)C2=COCCO2)s1 ZINC001038033984 755655435 /nfs/dbraw/zinc/65/54/35/755655435.db2.gz WYTIAEYQLOWKEB-LLVKDONJSA-N 1 2 319.386 1.198 20 30 DDEDLO Cc1cn(C)nc1C(=O)N[C@@H]1CC[N@H+](CC#Cc2ccccc2)C1 ZINC001014391764 755713573 /nfs/dbraw/zinc/71/35/73/755713573.db2.gz DZQHHYAOZJZDHH-QGZVFWFLSA-N 1 2 322.412 1.584 20 30 DDEDLO Cc1cn(C)nc1C(=O)N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001014391764 755713576 /nfs/dbraw/zinc/71/35/76/755713576.db2.gz DZQHHYAOZJZDHH-QGZVFWFLSA-N 1 2 322.412 1.584 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(CC)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080211651 755767417 /nfs/dbraw/zinc/76/74/17/755767417.db2.gz HKLXYJMSNXRJSB-UHFFFAOYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cn3ccccc3n2)C1 ZINC001014956180 756036928 /nfs/dbraw/zinc/03/69/28/756036928.db2.gz IKBJBZPGOZJKRG-ZDUSSCGKSA-N 1 2 318.808 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cn3ccccc3n2)C1 ZINC001014956180 756036936 /nfs/dbraw/zinc/03/69/36/756036936.db2.gz IKBJBZPGOZJKRG-ZDUSSCGKSA-N 1 2 318.808 1.820 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001015168028 756156160 /nfs/dbraw/zinc/15/61/60/756156160.db2.gz RXIHPDUISKFIIC-KGLIPLIRSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001015168028 756156164 /nfs/dbraw/zinc/15/61/64/756156164.db2.gz RXIHPDUISKFIIC-KGLIPLIRSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cn2ncc3cccnc32)C1 ZINC001015303465 756230936 /nfs/dbraw/zinc/23/09/36/756230936.db2.gz NKLMPQAKYKXHHG-CYBMUJFWSA-N 1 2 319.796 1.374 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cn2ncc3cccnc32)C1 ZINC001015303465 756230942 /nfs/dbraw/zinc/23/09/42/756230942.db2.gz NKLMPQAKYKXHHG-CYBMUJFWSA-N 1 2 319.796 1.374 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@H](Nc3cc[nH+]c(C)n3)[C@@H]2C)c1 ZINC001040241286 762453782 /nfs/dbraw/zinc/45/37/82/762453782.db2.gz PDKUFEGULJYEHA-LRDDRELGSA-N 1 2 321.384 1.876 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)cc2F)C[C@H]1OC ZINC001081242032 756277869 /nfs/dbraw/zinc/27/78/69/756277869.db2.gz WAOSLZVZRGUUNK-HUUCEWRRSA-N 1 2 305.353 1.423 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2F)C[C@H]1OC ZINC001081242032 756277873 /nfs/dbraw/zinc/27/78/73/756277873.db2.gz WAOSLZVZRGUUNK-HUUCEWRRSA-N 1 2 305.353 1.423 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099725924 756386766 /nfs/dbraw/zinc/38/67/66/756386766.db2.gz AQDIQDRBNVOIDC-ZIAGYGMSSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099725924 756386770 /nfs/dbraw/zinc/38/67/70/756386770.db2.gz AQDIQDRBNVOIDC-ZIAGYGMSSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H](NC(=O)c3cc(C)co3)C2)C1=O ZINC001015585043 756390001 /nfs/dbraw/zinc/39/00/01/756390001.db2.gz PAAAPFFTJIXEBR-KBPBESRZSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H](NC(=O)c3cc(C)co3)C2)C1=O ZINC001015585043 756390006 /nfs/dbraw/zinc/39/00/06/756390006.db2.gz PAAAPFFTJIXEBR-KBPBESRZSA-N 1 2 317.389 1.179 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc(Cl)nc2)C[C@H]1O ZINC001099727660 756415996 /nfs/dbraw/zinc/41/59/96/756415996.db2.gz RLHKVUWAALVDKF-UONOGXRCSA-N 1 2 323.824 1.753 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ccc(Cl)nc2)C[C@H]1O ZINC001099727660 756415998 /nfs/dbraw/zinc/41/59/98/756415998.db2.gz RLHKVUWAALVDKF-UONOGXRCSA-N 1 2 323.824 1.753 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2sc(C)cc2C)[C@H](OC)C1 ZINC001081740303 756450652 /nfs/dbraw/zinc/45/06/52/756450652.db2.gz WJWKTGKJHUTULA-ZIAGYGMSSA-N 1 2 306.431 1.817 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2sc(C)cc2C)[C@H](OC)C1 ZINC001081740303 756450655 /nfs/dbraw/zinc/45/06/55/756450655.db2.gz WJWKTGKJHUTULA-ZIAGYGMSSA-N 1 2 306.431 1.817 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](Cc3cnc(C)nc3)C2)C1 ZINC001015675951 756458199 /nfs/dbraw/zinc/45/81/99/756458199.db2.gz FXIMJZWTHNDMIU-OAHLLOKOSA-N 1 2 300.406 1.832 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2CC[N@H+](Cc3cnc(C)nc3)C2)C1 ZINC001015675951 756458200 /nfs/dbraw/zinc/45/82/00/756458200.db2.gz FXIMJZWTHNDMIU-OAHLLOKOSA-N 1 2 300.406 1.832 20 30 DDEDLO C=CCOc1ccc(C(=O)N[C@@H]2C[N@@H+](CCF)C[C@H]2OC)cc1 ZINC001081865055 756498175 /nfs/dbraw/zinc/49/81/75/756498175.db2.gz UILMJMPPRGBKLQ-HZPDHXFCSA-N 1 2 322.380 1.650 20 30 DDEDLO C=CCOc1ccc(C(=O)N[C@@H]2C[N@H+](CCF)C[C@H]2OC)cc1 ZINC001081865055 756498178 /nfs/dbraw/zinc/49/81/78/756498178.db2.gz UILMJMPPRGBKLQ-HZPDHXFCSA-N 1 2 322.380 1.650 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(C)CCC(F)(F)CC2)[C@H](OC)C1 ZINC001081867024 756498695 /nfs/dbraw/zinc/49/86/95/756498695.db2.gz CGLJGUXZTOMXCN-CHWSQXEVSA-N 1 2 314.376 1.651 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(C)CCC(F)(F)CC2)[C@H](OC)C1 ZINC001081867024 756498697 /nfs/dbraw/zinc/49/86/97/756498697.db2.gz CGLJGUXZTOMXCN-CHWSQXEVSA-N 1 2 314.376 1.651 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001015775001 756538585 /nfs/dbraw/zinc/53/85/85/756538585.db2.gz IZLXVCABQJJVDV-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001015775001 756538588 /nfs/dbraw/zinc/53/85/88/756538588.db2.gz IZLXVCABQJJVDV-CHWSQXEVSA-N 1 2 313.829 1.188 20 30 DDEDLO O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)C1)c1ncccn1 ZINC001015884661 756618403 /nfs/dbraw/zinc/61/84/03/756618403.db2.gz AYZGKAYMJFEBOT-MRXNPFEDSA-N 1 2 324.359 1.472 20 30 DDEDLO O=C(N[C@@H]1CC[N@H+](CC#Cc2ccc(F)cc2)C1)c1ncccn1 ZINC001015884661 756618406 /nfs/dbraw/zinc/61/84/06/756618406.db2.gz AYZGKAYMJFEBOT-MRXNPFEDSA-N 1 2 324.359 1.472 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3cccnc3)C[C@H]2OC)C1 ZINC001082102987 756642392 /nfs/dbraw/zinc/64/23/92/756642392.db2.gz NMRSSLQTPAZUSX-HZPDHXFCSA-N 1 2 315.417 1.753 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[N@H+](Cc3cccnc3)C[C@H]2OC)C1 ZINC001082102987 756642394 /nfs/dbraw/zinc/64/23/94/756642394.db2.gz NMRSSLQTPAZUSX-HZPDHXFCSA-N 1 2 315.417 1.753 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2sc(CC)nc2C)[C@H](OC)C1 ZINC001082228526 756703224 /nfs/dbraw/zinc/70/32/24/756703224.db2.gz BKWLLAYVUWMSFV-CHWSQXEVSA-N 1 2 321.446 1.466 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2sc(CC)nc2C)[C@H](OC)C1 ZINC001082228526 756703227 /nfs/dbraw/zinc/70/32/27/756703227.db2.gz BKWLLAYVUWMSFV-CHWSQXEVSA-N 1 2 321.446 1.466 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3cccnc3c2)[C@H](OC)C1 ZINC001082250980 756709263 /nfs/dbraw/zinc/70/92/63/756709263.db2.gz PGCSMUDYLUDRSQ-IAGOWNOFSA-N 1 2 309.369 1.297 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3cccnc3c2)[C@H](OC)C1 ZINC001082250980 756709266 /nfs/dbraw/zinc/70/92/66/756709266.db2.gz PGCSMUDYLUDRSQ-IAGOWNOFSA-N 1 2 309.369 1.297 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc3cnccc3c2)[C@H](OC)C1 ZINC001082303516 756727415 /nfs/dbraw/zinc/72/74/15/756727415.db2.gz JGWHLLUDVHGVKM-IAGOWNOFSA-N 1 2 309.369 1.297 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3cnccc3c2)[C@H](OC)C1 ZINC001082303516 756727418 /nfs/dbraw/zinc/72/74/18/756727418.db2.gz JGWHLLUDVHGVKM-IAGOWNOFSA-N 1 2 309.369 1.297 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)cc2)[C@H](OC)C1 ZINC001082341024 756746794 /nfs/dbraw/zinc/74/67/94/756746794.db2.gz RLXBFDABTHCTMY-IAGOWNOFSA-N 1 2 300.402 1.872 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)cc2)[C@H](OC)C1 ZINC001082341024 756746797 /nfs/dbraw/zinc/74/67/97/756746797.db2.gz RLXBFDABTHCTMY-IAGOWNOFSA-N 1 2 300.402 1.872 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1Nc1ncccc1C#N ZINC001067140588 756811867 /nfs/dbraw/zinc/81/18/67/756811867.db2.gz WVZVEQLFBPAKFP-YPMHNXCESA-N 1 2 310.361 1.178 20 30 DDEDLO CCC(=O)N1CCO[C@@H]2C[N@H+](Cc3ccc(C#N)c(F)c3)C[C@@H]21 ZINC001082968873 756986432 /nfs/dbraw/zinc/98/64/32/756986432.db2.gz SDEOPEBLNQCHMM-JKSUJKDBSA-N 1 2 317.364 1.519 20 30 DDEDLO CCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccc(C#N)c(F)c3)C[C@@H]21 ZINC001082968873 756986440 /nfs/dbraw/zinc/98/64/40/756986440.db2.gz SDEOPEBLNQCHMM-JKSUJKDBSA-N 1 2 317.364 1.519 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCCc4ccccc43)[C@H]2C1 ZINC001083121296 757122008 /nfs/dbraw/zinc/12/20/08/757122008.db2.gz DLQFEXAKPKSYRN-GBESFXJTSA-N 1 2 324.424 1.651 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCCc4ccccc43)[C@H]2C1 ZINC001083121296 757122011 /nfs/dbraw/zinc/12/20/11/757122011.db2.gz DLQFEXAKPKSYRN-GBESFXJTSA-N 1 2 324.424 1.651 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(F)c3C)[C@H]2C1 ZINC001083089861 757130397 /nfs/dbraw/zinc/13/03/97/757130397.db2.gz XDRPNUBJNBCTRD-JKSUJKDBSA-N 1 2 302.349 1.293 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(F)c3C)[C@H]2C1 ZINC001083089861 757130401 /nfs/dbraw/zinc/13/04/01/757130401.db2.gz XDRPNUBJNBCTRD-JKSUJKDBSA-N 1 2 302.349 1.293 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc4cc(C)ccc4[nH]3)[C@H]2C1 ZINC001083159748 757168858 /nfs/dbraw/zinc/16/88/58/757168858.db2.gz RHSCZVGISDATDS-ZWKOTPCHSA-N 1 2 323.396 1.635 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc4cc(C)ccc4[nH]3)[C@H]2C1 ZINC001083159748 757168861 /nfs/dbraw/zinc/16/88/61/757168861.db2.gz RHSCZVGISDATDS-ZWKOTPCHSA-N 1 2 323.396 1.635 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]c3C(C)C)[C@H]2C1 ZINC001083171773 757174891 /nfs/dbraw/zinc/17/48/91/757174891.db2.gz UQLSZBKNYOLLPN-LSDHHAIUSA-N 1 2 301.390 1.297 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]c3C(C)C)[C@H]2C1 ZINC001083171773 757174894 /nfs/dbraw/zinc/17/48/94/757174894.db2.gz UQLSZBKNYOLLPN-LSDHHAIUSA-N 1 2 301.390 1.297 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cccc(Cl)c3F)[C@H]2C1 ZINC001083181824 757184696 /nfs/dbraw/zinc/18/46/96/757184696.db2.gz ZBSAJEDCPURZIP-UONOGXRCSA-N 1 2 322.767 1.638 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cccc(Cl)c3F)[C@H]2C1 ZINC001083181824 757184699 /nfs/dbraw/zinc/18/46/99/757184699.db2.gz ZBSAJEDCPURZIP-UONOGXRCSA-N 1 2 322.767 1.638 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(OC)c(Cl)c2)[C@@H](O)C1 ZINC001084040128 757246755 /nfs/dbraw/zinc/24/67/55/757246755.db2.gz ZXPOTLFCJJQMMY-KGLIPLIRSA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(OC)c(Cl)c2)[C@@H](O)C1 ZINC001084040128 757246763 /nfs/dbraw/zinc/24/67/63/757246763.db2.gz ZXPOTLFCJJQMMY-KGLIPLIRSA-N 1 2 322.792 1.147 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ncc(Cl)s3)[C@@H]2C1 ZINC001084515576 757629303 /nfs/dbraw/zinc/62/93/03/757629303.db2.gz KWOCHHQOTMXJFX-GHMZBOCLSA-N 1 2 309.822 1.966 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ncc(Cl)s3)[C@@H]2C1 ZINC001084515576 757629307 /nfs/dbraw/zinc/62/93/07/757629307.db2.gz KWOCHHQOTMXJFX-GHMZBOCLSA-N 1 2 309.822 1.966 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@H](C)C(C)C)CC2=O)C1 ZINC001108518366 762567299 /nfs/dbraw/zinc/56/72/99/762567299.db2.gz XZHLMKCKRHQDER-KBPBESRZSA-N 1 2 307.438 1.256 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](C[N@@H+](C)Cc2nnnn2C)C1 ZINC001017179202 757693631 /nfs/dbraw/zinc/69/36/31/757693631.db2.gz FWABQANETFGUFV-ZDUSSCGKSA-N 1 2 320.441 1.093 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](C[N@H+](C)Cc2nnnn2C)C1 ZINC001017179202 757693639 /nfs/dbraw/zinc/69/36/39/757693639.db2.gz FWABQANETFGUFV-ZDUSSCGKSA-N 1 2 320.441 1.093 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[N@@H+](Cc4cnnn4C)C[C@H]32)C1 ZINC001084646168 757733435 /nfs/dbraw/zinc/73/34/35/757733435.db2.gz WJIKJRRVCXOTAL-UKRRQHHQSA-N 1 2 315.421 1.204 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[N@H+](Cc4cnnn4C)C[C@H]32)C1 ZINC001084646168 757733442 /nfs/dbraw/zinc/73/34/42/757733442.db2.gz WJIKJRRVCXOTAL-UKRRQHHQSA-N 1 2 315.421 1.204 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC001017661630 758129071 /nfs/dbraw/zinc/12/90/71/758129071.db2.gz YYFWBSRACZLYOL-KFWWJZLASA-N 1 2 312.417 1.387 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC001017661630 758129075 /nfs/dbraw/zinc/12/90/75/758129075.db2.gz YYFWBSRACZLYOL-KFWWJZLASA-N 1 2 312.417 1.387 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1CC ZINC001017704542 758167819 /nfs/dbraw/zinc/16/78/19/758167819.db2.gz JSMLEIONFWFLEF-OKILXGFUSA-N 1 2 300.406 1.295 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1CC ZINC001017704542 758167820 /nfs/dbraw/zinc/16/78/20/758167820.db2.gz JSMLEIONFWFLEF-OKILXGFUSA-N 1 2 300.406 1.295 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001053269593 758324807 /nfs/dbraw/zinc/32/48/07/758324807.db2.gz ZLBAERMQUPESCL-UONOGXRCSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CC[C@@H](OC)C1)CCO2 ZINC001053318347 758369930 /nfs/dbraw/zinc/36/99/30/758369930.db2.gz IKSXPKARGZGPFU-LSDHHAIUSA-N 1 2 308.422 1.291 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(-c2ccccc2)n1 ZINC001018007974 758468740 /nfs/dbraw/zinc/46/87/40/758468740.db2.gz VYCMYMQMGVAMCN-IYBDPMFKSA-N 1 2 320.396 1.999 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(-c2ccccc2)n1 ZINC001018007974 758468744 /nfs/dbraw/zinc/46/87/44/758468744.db2.gz VYCMYMQMGVAMCN-IYBDPMFKSA-N 1 2 320.396 1.999 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cnoc1CC)CCO2 ZINC001053453353 758473030 /nfs/dbraw/zinc/47/30/30/758473030.db2.gz YRTCSAQPNBXAPB-UHFFFAOYSA-N 1 2 305.378 1.340 20 30 DDEDLO C[C@]1(CNc2ccc(C#N)cn2)CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001065437572 758534512 /nfs/dbraw/zinc/53/45/12/758534512.db2.gz ZRRGSTDJQCDHEQ-QGZVFWFLSA-N 1 2 324.388 1.500 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnn(C)c1C)O2 ZINC001053573154 758581280 /nfs/dbraw/zinc/58/12/80/758581280.db2.gz BWCMMJSDQFOGFA-CQSZACIVSA-N 1 2 318.421 1.268 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c[nH]c(C#N)c1)O2 ZINC001053593364 758612293 /nfs/dbraw/zinc/61/22/93/758612293.db2.gz IFFACMKCFSOCGH-AWEZNQCLSA-N 1 2 300.362 1.036 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(Cl)[nH]1)O2 ZINC001053658825 758671576 /nfs/dbraw/zinc/67/15/76/758671576.db2.gz HEWREWQLZWNWBI-NSHDSACASA-N 1 2 309.797 1.817 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccnc(C)c1)O2 ZINC001053677604 758686346 /nfs/dbraw/zinc/68/63/46/758686346.db2.gz DJPODKNJMKAWBX-INIZCTEOSA-N 1 2 315.417 1.929 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1cc(C)nc(C)n1)O2 ZINC001053678621 758689607 /nfs/dbraw/zinc/68/96/07/758689607.db2.gz HWIMYBJOCMVSQD-AWEZNQCLSA-N 1 2 316.405 1.243 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCC[C@H]1OC ZINC001018331930 758736814 /nfs/dbraw/zinc/73/68/14/758736814.db2.gz ZMHMTBVYPVFCPM-VVLHAWIVSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCC[C@H]1OC ZINC001018331930 758736818 /nfs/dbraw/zinc/73/68/18/758736818.db2.gz ZMHMTBVYPVFCPM-VVLHAWIVSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)oc1C)CO2 ZINC001053739517 758753663 /nfs/dbraw/zinc/75/36/63/758753663.db2.gz LZTUDLJWWPSEBN-CQSZACIVSA-N 1 2 302.374 1.493 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C)sn1)CO2 ZINC001053790179 758817953 /nfs/dbraw/zinc/81/79/53/758817953.db2.gz QOLBDNPNAXRFHK-LBPRGKRZSA-N 1 2 305.403 1.048 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1coc(C(F)F)c1)CO2 ZINC001053907049 758940601 /nfs/dbraw/zinc/94/06/01/758940601.db2.gz OKELRLSPDQQJGW-LBPRGKRZSA-N 1 2 324.327 1.814 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2COC3(C[NH+]([C@@H](C)COC)C3)C2)C1 ZINC001053919455 758954012 /nfs/dbraw/zinc/95/40/12/758954012.db2.gz LMHVHUQCRNHBOV-UONOGXRCSA-N 1 2 308.422 1.337 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)[C@@H]1C[C@H]1C1CCC1)CO2 ZINC001053952700 758989866 /nfs/dbraw/zinc/98/98/66/758989866.db2.gz ZXFIIOMCPDQUOK-OWCLPIDISA-N 1 2 304.434 1.958 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1nc(C)oc1C)CO2 ZINC001053965319 759000357 /nfs/dbraw/zinc/00/03/57/759000357.db2.gz ATSFCYONWDEEMZ-ZDUSSCGKSA-N 1 2 305.378 1.441 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnoc1C(C)C)CO2 ZINC001053973142 759010131 /nfs/dbraw/zinc/01/01/31/759010131.db2.gz UJUWLTKRJIIIDB-ZDUSSCGKSA-N 1 2 319.405 1.947 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2COC3(C[NH+](CC(=C)C)C3)C2)cn1 ZINC001053985217 759026737 /nfs/dbraw/zinc/02/67/37/759026737.db2.gz LRBLDZCXBCJETL-INIZCTEOSA-N 1 2 311.385 1.212 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(C)c(C)c1)CO2 ZINC001053999627 759045830 /nfs/dbraw/zinc/04/58/30/759045830.db2.gz KREUHGDMTKVCLU-QGZVFWFLSA-N 1 2 312.413 1.900 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)Cc1ccccc1C)CO2 ZINC001054004296 759050992 /nfs/dbraw/zinc/05/09/92/759050992.db2.gz LASOSBWJTLDWOS-KRWDZBQOSA-N 1 2 312.413 1.520 20 30 DDEDLO N#CCN1CC[C@@]2(C1)CCCN(C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C2 ZINC001054147409 759226310 /nfs/dbraw/zinc/22/63/10/759226310.db2.gz QOFJKEGZWVPZMR-CKEIUWERSA-N 1 2 313.405 1.351 20 30 DDEDLO N#CCN1CC[C@@]2(C1)CCCN(C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C2 ZINC001054147409 759226316 /nfs/dbraw/zinc/22/63/16/759226316.db2.gz QOFJKEGZWVPZMR-CKEIUWERSA-N 1 2 313.405 1.351 20 30 DDEDLO Cc1nsc(N[C@H](C)CNC(=O)Cc2c[nH]c[nH+]2)c1C#N ZINC001098306337 759265771 /nfs/dbraw/zinc/26/57/71/759265771.db2.gz DLYODFPXBVHTDG-MRVPVSSYSA-N 1 2 304.379 1.206 20 30 DDEDLO C/C(=C\C(=O)N1CCCC(C)(C)[C@H]1C#N)C[NH+]1CCOCC1 ZINC000826702849 759303838 /nfs/dbraw/zinc/30/38/38/759303838.db2.gz BOVJMJVCTAZVHF-ATGUSINASA-N 1 2 305.422 1.806 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cccc(=O)n3C)cc2C1 ZINC001054280307 759387889 /nfs/dbraw/zinc/38/78/89/759387889.db2.gz FBAAVWOKFRFWHB-UHFFFAOYSA-N 1 2 321.380 1.264 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cccc(=O)n3C)cc2C1 ZINC001054280307 759387901 /nfs/dbraw/zinc/38/79/01/759387901.db2.gz FBAAVWOKFRFWHB-UHFFFAOYSA-N 1 2 321.380 1.264 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ncccc2C#N)CN1C(=O)Cn1cc[nH+]c1 ZINC001069114932 767856732 /nfs/dbraw/zinc/85/67/32/767856732.db2.gz YEEWTDKKRAXJNS-OCCSQVGLSA-N 1 2 310.361 1.251 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3cccc(OC)n3)cc2C1 ZINC001054288443 759395517 /nfs/dbraw/zinc/39/55/17/759395517.db2.gz XEMDFGXQRGDKMH-UHFFFAOYSA-N 1 2 321.380 1.969 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cccc(OC)n3)cc2C1 ZINC001054288443 759395528 /nfs/dbraw/zinc/39/55/28/759395528.db2.gz XEMDFGXQRGDKMH-UHFFFAOYSA-N 1 2 321.380 1.969 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553627 759682847 /nfs/dbraw/zinc/68/28/47/759682847.db2.gz REHXACCIRCVAQC-CQSZACIVSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553627 759682852 /nfs/dbraw/zinc/68/28/52/759682852.db2.gz REHXACCIRCVAQC-CQSZACIVSA-N 1 2 324.388 1.331 20 30 DDEDLO Cc1nocc1C[N@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556307 759701276 /nfs/dbraw/zinc/70/12/76/759701276.db2.gz NAHMJXYPKQNVAD-OAHLLOKOSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1nocc1C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556307 759701279 /nfs/dbraw/zinc/70/12/79/759701279.db2.gz NAHMJXYPKQNVAD-OAHLLOKOSA-N 1 2 313.361 1.529 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnc(OC(C)C)cn1 ZINC001085595778 759797972 /nfs/dbraw/zinc/79/79/72/759797972.db2.gz MQTRPBTYHJIODP-AWEZNQCLSA-N 1 2 316.405 1.433 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cnc(OC(C)C)cn1 ZINC001085595778 759797974 /nfs/dbraw/zinc/79/79/74/759797974.db2.gz MQTRPBTYHJIODP-AWEZNQCLSA-N 1 2 316.405 1.433 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]cnc1C(F)(F)F ZINC001085643758 759922454 /nfs/dbraw/zinc/92/24/54/759922454.db2.gz XDESSBZDDOLHHJ-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]cnc1C(F)(F)F ZINC001085643758 759922461 /nfs/dbraw/zinc/92/24/61/759922461.db2.gz XDESSBZDDOLHHJ-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nc[nH]c1C(F)(F)F ZINC001085643758 759922466 /nfs/dbraw/zinc/92/24/66/759922466.db2.gz XDESSBZDDOLHHJ-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nc[nH]c1C(F)(F)F ZINC001085643758 759922473 /nfs/dbraw/zinc/92/24/73/759922473.db2.gz XDESSBZDDOLHHJ-SNVBAGLBSA-N 1 2 314.311 1.598 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccn(-c2ccccc2)n1 ZINC001085693591 760047538 /nfs/dbraw/zinc/04/75/38/760047538.db2.gz KZHONBUJCZDQAX-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccn(-c2ccccc2)n1 ZINC001085693591 760047548 /nfs/dbraw/zinc/04/75/48/760047548.db2.gz KZHONBUJCZDQAX-INIZCTEOSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001085709430 760072350 /nfs/dbraw/zinc/07/23/50/760072350.db2.gz HRANWCXTVBREOA-OAHLLOKOSA-N 1 2 311.385 1.351 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001085709430 760072358 /nfs/dbraw/zinc/07/23/58/760072358.db2.gz HRANWCXTVBREOA-OAHLLOKOSA-N 1 2 311.385 1.351 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn2c1nc(C)cc2C ZINC001085756661 760177433 /nfs/dbraw/zinc/17/74/33/760177433.db2.gz NPWHVFKKVAMJMV-CQSZACIVSA-N 1 2 311.389 1.126 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn2c1nc(C)cc2C ZINC001085756661 760177437 /nfs/dbraw/zinc/17/74/37/760177437.db2.gz NPWHVFKKVAMJMV-CQSZACIVSA-N 1 2 311.389 1.126 20 30 DDEDLO C[C@@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C[C@H]1NCC#N ZINC001054941647 760209795 /nfs/dbraw/zinc/20/97/95/760209795.db2.gz NSIISRLHNHTZFD-CZUORRHYSA-N 1 2 309.373 1.446 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001108215351 760397651 /nfs/dbraw/zinc/39/76/51/760397651.db2.gz DTPZBZYYWRBMAW-MAUKXSAKSA-N 1 2 315.417 1.190 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001108215351 760397661 /nfs/dbraw/zinc/39/76/61/760397661.db2.gz DTPZBZYYWRBMAW-MAUKXSAKSA-N 1 2 315.417 1.190 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2ccccn2)no1 ZINC001085896128 760483478 /nfs/dbraw/zinc/48/34/78/760483478.db2.gz UOCOLVNPVJKUBY-CYBMUJFWSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(-c2ccccn2)no1 ZINC001085896128 760483484 /nfs/dbraw/zinc/48/34/84/760483484.db2.gz UOCOLVNPVJKUBY-CYBMUJFWSA-N 1 2 310.357 1.516 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001046851889 767984764 /nfs/dbraw/zinc/98/47/64/767984764.db2.gz KCZVHYHHAHKFJU-DOMZBBRYSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001046851889 767984769 /nfs/dbraw/zinc/98/47/69/767984769.db2.gz KCZVHYHHAHKFJU-DOMZBBRYSA-N 1 2 323.828 1.174 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCCC(F)(F)F)C1 ZINC001108237510 760840753 /nfs/dbraw/zinc/84/07/53/760840753.db2.gz ZDULXHUHTMJSMB-AWEZNQCLSA-N 1 2 320.355 1.949 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCCC(F)(F)F)C1 ZINC001108237510 760840765 /nfs/dbraw/zinc/84/07/65/760840765.db2.gz ZDULXHUHTMJSMB-AWEZNQCLSA-N 1 2 320.355 1.949 20 30 DDEDLO O=C(NC[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)c1cc[nH]c(=O)c1 ZINC001038148772 760855035 /nfs/dbraw/zinc/85/50/35/760855035.db2.gz UZCYOPCBTWVLMN-KRWDZBQOSA-N 1 2 321.380 1.643 20 30 DDEDLO O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)c1cc[nH]c(=O)c1 ZINC001038148772 760855048 /nfs/dbraw/zinc/85/50/48/760855048.db2.gz UZCYOPCBTWVLMN-KRWDZBQOSA-N 1 2 321.380 1.643 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCN(c2ccncc2C#N)CC1 ZINC001056046480 760927782 /nfs/dbraw/zinc/92/77/82/760927782.db2.gz QJJRQGHIEYEEBK-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCN(c2ccncc2C#N)CC1 ZINC001056046480 760927786 /nfs/dbraw/zinc/92/77/86/760927786.db2.gz QJJRQGHIEYEEBK-ZDUSSCGKSA-N 1 2 324.388 1.204 20 30 DDEDLO Cc1nc(N2CCN(C(=O)CCc3c[nH]c[nH+]3)CC2)ccc1C#N ZINC001056060006 760941495 /nfs/dbraw/zinc/94/14/95/760941495.db2.gz JBNYFAAJLDNRTP-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO Cc1nc(N2CCN(C(=O)CCc3c[nH+]c[nH]3)CC2)ccc1C#N ZINC001056060006 760941502 /nfs/dbraw/zinc/94/15/02/760941502.db2.gz JBNYFAAJLDNRTP-UHFFFAOYSA-N 1 2 324.388 1.266 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cn(C(C)(C)C)nc1C ZINC001038258536 760953761 /nfs/dbraw/zinc/95/37/61/760953761.db2.gz GCIKGROBOBHTDX-CQSZACIVSA-N 1 2 302.422 1.774 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cn(C(C)(C)C)nc1C ZINC001038258536 760953766 /nfs/dbraw/zinc/95/37/66/760953766.db2.gz GCIKGROBOBHTDX-CQSZACIVSA-N 1 2 302.422 1.774 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(N(C)C)cc1 ZINC001038537979 761184329 /nfs/dbraw/zinc/18/43/29/761184329.db2.gz SNVFRHUIUIFEEL-FGTMMUONSA-N 1 2 311.429 1.680 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(N(C)C)cc1 ZINC001038537979 761184334 /nfs/dbraw/zinc/18/43/34/761184334.db2.gz SNVFRHUIUIFEEL-FGTMMUONSA-N 1 2 311.429 1.680 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2[C@@H]1CCCN2C(=O)Cn1cc[nH+]c1 ZINC001056457030 761184643 /nfs/dbraw/zinc/18/46/43/761184643.db2.gz XNPTVPGJMOLZQX-GJZGRUSLSA-N 1 2 316.405 1.441 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c(F)cccc1F ZINC001038679632 761280661 /nfs/dbraw/zinc/28/06/61/761280661.db2.gz HZEQFZZMDZQGHH-JHJVBQTASA-N 1 2 304.340 1.892 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c(F)cccc1F ZINC001038679632 761280664 /nfs/dbraw/zinc/28/06/64/761280664.db2.gz HZEQFZZMDZQGHH-JHJVBQTASA-N 1 2 304.340 1.892 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@H+]2Cc2cncc(C)c2)c1 ZINC001038684486 761285102 /nfs/dbraw/zinc/28/51/02/761285102.db2.gz JWRQKUISNGFCJB-GOSISDBHSA-N 1 2 320.396 1.771 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cncc(C)c2)c1 ZINC001038684486 761285106 /nfs/dbraw/zinc/28/51/06/761285106.db2.gz JWRQKUISNGFCJB-GOSISDBHSA-N 1 2 320.396 1.771 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CNC(=O)c1cc(CN2CCCC2)on1 ZINC001038706416 761308499 /nfs/dbraw/zinc/30/84/99/761308499.db2.gz TYXSLEPPRWUBFE-CQSZACIVSA-N 1 2 316.405 1.098 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(CN2CCCC2)on1 ZINC001038706416 761308504 /nfs/dbraw/zinc/30/85/04/761308504.db2.gz TYXSLEPPRWUBFE-CQSZACIVSA-N 1 2 316.405 1.098 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cc(C)ncn1 ZINC001038714389 761316612 /nfs/dbraw/zinc/31/66/12/761316612.db2.gz OBHACFVLJDDXLL-OAGGEKHMSA-N 1 2 316.405 1.067 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cc(C)ncn1 ZINC001038714389 761316622 /nfs/dbraw/zinc/31/66/22/761316622.db2.gz OBHACFVLJDDXLL-OAGGEKHMSA-N 1 2 316.405 1.067 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001038714506 761318805 /nfs/dbraw/zinc/31/88/05/761318805.db2.gz QLZRSROOUCTQEM-YUELXQCFSA-N 1 2 305.378 1.265 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001038714506 761318814 /nfs/dbraw/zinc/31/88/14/761318814.db2.gz QLZRSROOUCTQEM-YUELXQCFSA-N 1 2 305.378 1.265 20 30 DDEDLO O=C(NC[C@H]1CC[N@@H+]1CC#Cc1ccccc1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001038721252 761327406 /nfs/dbraw/zinc/32/74/06/761327406.db2.gz YHJFMCHWWQPDJV-MKXGPGLRSA-N 1 2 324.424 1.796 20 30 DDEDLO O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001038721252 761327417 /nfs/dbraw/zinc/32/74/17/761327417.db2.gz YHJFMCHWWQPDJV-MKXGPGLRSA-N 1 2 324.424 1.796 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H]2CC[N@H+]2C[C@@H](F)CC)nn1 ZINC001038791196 761411143 /nfs/dbraw/zinc/41/11/43/761411143.db2.gz WIZVIQIRNJUMPO-QWHCGFSZSA-N 1 2 309.389 1.406 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H]2CC[N@@H+]2C[C@@H](F)CC)nn1 ZINC001038791196 761411146 /nfs/dbraw/zinc/41/11/46/761411146.db2.gz WIZVIQIRNJUMPO-QWHCGFSZSA-N 1 2 309.389 1.406 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(Cl)nn(C)c1Cl ZINC001038796379 761415183 /nfs/dbraw/zinc/41/51/83/761415183.db2.gz GBCROSLZOCPUBT-MRVPVSSYSA-N 1 2 301.177 1.164 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(Cl)nn(C)c1Cl ZINC001038796379 761415185 /nfs/dbraw/zinc/41/51/85/761415185.db2.gz GBCROSLZOCPUBT-MRVPVSSYSA-N 1 2 301.177 1.164 20 30 DDEDLO C#Cc1cncc(C(=O)N2CC[C@@H](Nc3cc[nH+]c(C)n3)C2)c1 ZINC001056774896 761418013 /nfs/dbraw/zinc/41/80/13/761418013.db2.gz PTCRZFJUVCIIRQ-OAHLLOKOSA-N 1 2 307.357 1.488 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(CCC)c1C1CC1 ZINC001038898799 761524087 /nfs/dbraw/zinc/52/40/87/761524087.db2.gz DBNMXBBSBAOMCY-HNNXBMFYSA-N 1 2 314.433 1.998 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(CCC)c1C1CC1 ZINC001038898799 761524091 /nfs/dbraw/zinc/52/40/91/761524091.db2.gz DBNMXBBSBAOMCY-HNNXBMFYSA-N 1 2 314.433 1.998 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ncoc1-c1ccon1 ZINC001038926623 761552965 /nfs/dbraw/zinc/55/29/65/761552965.db2.gz WAFUDAZEZMFPAW-LLVKDONJSA-N 1 2 300.318 1.157 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ncoc1-c1ccon1 ZINC001038926623 761552970 /nfs/dbraw/zinc/55/29/70/761552970.db2.gz WAFUDAZEZMFPAW-LLVKDONJSA-N 1 2 300.318 1.157 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)nnn2CC ZINC001039012076 761644916 /nfs/dbraw/zinc/64/49/16/761644916.db2.gz KNIAZWMCJVIXNX-CQSZACIVSA-N 1 2 311.389 1.279 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2c(c1)nnn2CC ZINC001039012076 761644922 /nfs/dbraw/zinc/64/49/22/761644922.db2.gz KNIAZWMCJVIXNX-CQSZACIVSA-N 1 2 311.389 1.279 20 30 DDEDLO CC1(C)CN(C(=O)Cn2cc[nH+]c2)C[C@H]1Nc1ncccc1C#N ZINC001069574675 768065955 /nfs/dbraw/zinc/06/59/55/768065955.db2.gz QVNICCBECOFPON-CQSZACIVSA-N 1 2 324.388 1.499 20 30 DDEDLO Cc1cc(N2CCCN(C(=O)Cn3cc[nH+]c3)CC2)c(C#N)cn1 ZINC001057152019 762783842 /nfs/dbraw/zinc/78/38/42/762783842.db2.gz HVCDBHNKAGHPOG-UHFFFAOYSA-N 1 2 324.388 1.197 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC[C@@]3(CCN(C(=O)C#CC4CC4)C3)C2)o1 ZINC001041271726 762923930 /nfs/dbraw/zinc/92/39/30/762923930.db2.gz BIKPUINIJUFKFS-QGZVFWFLSA-N 1 2 314.389 1.216 20 30 DDEDLO Cc1nnc(C[N@H+]2CC[C@@]3(CCN(C(=O)C#CC4CC4)C3)C2)o1 ZINC001041271726 762923933 /nfs/dbraw/zinc/92/39/33/762923933.db2.gz BIKPUINIJUFKFS-QGZVFWFLSA-N 1 2 314.389 1.216 20 30 DDEDLO Cc1cc(C)c(C(=O)N[C@@H](C)CNc2ccncc2C#N)c(C)[nH+]1 ZINC001108793287 762940039 /nfs/dbraw/zinc/94/00/39/762940039.db2.gz FWPOVWPNQIBGGM-ZDUSSCGKSA-N 1 2 323.400 1.926 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001108995123 763210304 /nfs/dbraw/zinc/21/03/04/763210304.db2.gz FEZOZSOEPMDQCL-BXUZGUMPSA-N 1 2 320.437 1.934 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)CN(C)c1ccc(C#N)nc1 ZINC001109044365 763260970 /nfs/dbraw/zinc/26/09/70/763260970.db2.gz UITNESKJKPJSIQ-LLVKDONJSA-N 1 2 312.377 1.168 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccc(F)cn1 ZINC001109080233 763316641 /nfs/dbraw/zinc/31/66/41/763316641.db2.gz OPAZWMCWWRHGOK-PUPMMZHASA-N 1 2 302.353 1.602 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(F)cn1 ZINC001109080233 763316649 /nfs/dbraw/zinc/31/66/49/763316649.db2.gz OPAZWMCWWRHGOK-PUPMMZHASA-N 1 2 302.353 1.602 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CC(C)(C)C1)C2 ZINC001109222402 763481432 /nfs/dbraw/zinc/48/14/32/763481432.db2.gz QSCQFWAOHDUZMV-ILXRZTDVSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CC(C)(C)C1)C2 ZINC001109222402 763481438 /nfs/dbraw/zinc/48/14/38/763481438.db2.gz QSCQFWAOHDUZMV-ILXRZTDVSA-N 1 2 319.449 1.446 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)[C@H](C)C2)cc[nH+]1 ZINC001067383233 763591235 /nfs/dbraw/zinc/59/12/35/763591235.db2.gz HKPOKEWLCXGJMY-RISCZKNCSA-N 1 2 324.388 1.630 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)co1 ZINC001042057653 763602097 /nfs/dbraw/zinc/60/20/97/763602097.db2.gz LTCXNGGXDNDOTA-WBVHZDCISA-N 1 2 313.401 1.819 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@@H]3CCN(C(=O)C#CC4CC4)C[C@@H]32)co1 ZINC001042057653 763602098 /nfs/dbraw/zinc/60/20/98/763602098.db2.gz LTCXNGGXDNDOTA-WBVHZDCISA-N 1 2 313.401 1.819 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC1CC1 ZINC001109601628 763850763 /nfs/dbraw/zinc/85/07/63/763850763.db2.gz IMJHRLCXQASQSM-ILXRZTDVSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC1CC1 ZINC001109601628 763850770 /nfs/dbraw/zinc/85/07/70/763850770.db2.gz IMJHRLCXQASQSM-ILXRZTDVSA-N 1 2 319.449 1.446 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnnn1C ZINC001109601829 763851117 /nfs/dbraw/zinc/85/11/17/763851117.db2.gz QSUVXAJGOIBNNA-XBFCOCLRSA-N 1 2 303.410 1.249 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnnn1C ZINC001109601829 763851122 /nfs/dbraw/zinc/85/11/22/763851122.db2.gz QSUVXAJGOIBNNA-XBFCOCLRSA-N 1 2 303.410 1.249 20 30 DDEDLO C#CCCCCC(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001156827855 763911904 /nfs/dbraw/zinc/91/19/04/763911904.db2.gz KKWOHQYSBZDWBV-UHFFFAOYSA-N 1 2 320.441 1.218 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]21 ZINC001042350982 763951827 /nfs/dbraw/zinc/95/18/27/763951827.db2.gz LUMKZWFKBKBJCL-LRDDRELGSA-N 1 2 309.373 1.128 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]21 ZINC001042350982 763951837 /nfs/dbraw/zinc/95/18/37/763951837.db2.gz LUMKZWFKBKBJCL-LRDDRELGSA-N 1 2 309.373 1.128 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001109868037 764151116 /nfs/dbraw/zinc/15/11/16/764151116.db2.gz YZSNCPWQLKTLEW-FZMZJTMJSA-N 1 2 304.394 1.683 20 30 DDEDLO CC[C@H](C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109875044 764159935 /nfs/dbraw/zinc/15/99/35/764159935.db2.gz XUHLGHNPJFAKNK-XGUBFFRZSA-N 1 2 320.437 1.174 20 30 DDEDLO CC[C@H](C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109875044 764159936 /nfs/dbraw/zinc/15/99/36/764159936.db2.gz XUHLGHNPJFAKNK-XGUBFFRZSA-N 1 2 320.437 1.174 20 30 DDEDLO CN(C(=O)c1cc(C#N)c[nH]1)C1C[NH+](CCOC2CCC2)C1 ZINC001042590119 764242110 /nfs/dbraw/zinc/24/21/10/764242110.db2.gz UPMHHTNNMMFGFQ-UHFFFAOYSA-N 1 2 302.378 1.212 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCCN(C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001057660473 764262981 /nfs/dbraw/zinc/26/29/81/764262981.db2.gz PJSCISMPCCFZBM-HNNXBMFYSA-N 1 2 324.388 1.561 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCc2n[nH]cc21 ZINC001050917755 764275852 /nfs/dbraw/zinc/27/58/52/764275852.db2.gz OIAFIXQIPFUOGK-KGLIPLIRSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCc2n[nH]cc21 ZINC001050917755 764275856 /nfs/dbraw/zinc/27/58/56/764275856.db2.gz OIAFIXQIPFUOGK-KGLIPLIRSA-N 1 2 318.421 1.223 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nnc1C ZINC001050938116 764306456 /nfs/dbraw/zinc/30/64/56/764306456.db2.gz JNXQDPVAKWMSDJ-CQSZACIVSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cc(C)nnc1C ZINC001050938116 764306463 /nfs/dbraw/zinc/30/64/63/764306463.db2.gz JNXQDPVAKWMSDJ-CQSZACIVSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3c[nH]cc3C)c2C1 ZINC001069882494 768211705 /nfs/dbraw/zinc/21/17/05/768211705.db2.gz KLMZDNSFYNSSLD-UHFFFAOYSA-N 1 2 313.405 1.841 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3c[nH]cc3C)c2C1 ZINC001069882494 768211709 /nfs/dbraw/zinc/21/17/09/768211709.db2.gz KLMZDNSFYNSSLD-UHFFFAOYSA-N 1 2 313.405 1.841 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1csc(C)n1 ZINC001051082490 764486766 /nfs/dbraw/zinc/48/67/66/764486766.db2.gz POMLRYBTXIZMDU-CYBMUJFWSA-N 1 2 309.435 1.848 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1csc(C)n1 ZINC001051082490 764486769 /nfs/dbraw/zinc/48/67/69/764486769.db2.gz POMLRYBTXIZMDU-CYBMUJFWSA-N 1 2 309.435 1.848 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCc2cccnc21 ZINC001051084406 764489863 /nfs/dbraw/zinc/48/98/63/764489863.db2.gz QXUAUDCCLOFYBT-HZPDHXFCSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@@H]1CCc2cccnc21 ZINC001051084406 764489867 /nfs/dbraw/zinc/48/98/67/764489867.db2.gz QXUAUDCCLOFYBT-HZPDHXFCSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccc2n[nH]cc2c1 ZINC001051090207 764494683 /nfs/dbraw/zinc/49/46/83/764494683.db2.gz WBKNQJIFCKQJDF-OAHLLOKOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccc2n[nH]cc2c1 ZINC001051090207 764494692 /nfs/dbraw/zinc/49/46/92/764494692.db2.gz WBKNQJIFCKQJDF-OAHLLOKOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cncc(Cl)c1 ZINC001051169497 764575610 /nfs/dbraw/zinc/57/56/10/764575610.db2.gz YLELHCWRCIKLAP-CQSZACIVSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cncc(Cl)c1 ZINC001051169497 764575613 /nfs/dbraw/zinc/57/56/13/764575613.db2.gz YLELHCWRCIKLAP-CQSZACIVSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(CCC)c1C ZINC001051185425 764593923 /nfs/dbraw/zinc/59/39/23/764593923.db2.gz VPGOAJDYTNWFGO-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(CCC)c1C ZINC001051185425 764593931 /nfs/dbraw/zinc/59/39/31/764593931.db2.gz VPGOAJDYTNWFGO-OAHLLOKOSA-N 1 2 320.437 1.608 20 30 DDEDLO C=CCCC(=O)NC[C@H]1CN(c2nc(C)[nH+]c(C)c2C)C[C@H]1O ZINC001112714642 764636711 /nfs/dbraw/zinc/63/67/11/764636711.db2.gz HPVGCWYSKHZYMW-LSDHHAIUSA-N 1 2 318.421 1.281 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cncnc1CC ZINC001051247508 764670895 /nfs/dbraw/zinc/67/08/95/764670895.db2.gz HQWFYUCPVHWPIA-AWEZNQCLSA-N 1 2 318.421 1.436 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cncnc1CC ZINC001051247508 764670902 /nfs/dbraw/zinc/67/09/02/764670902.db2.gz HQWFYUCPVHWPIA-AWEZNQCLSA-N 1 2 318.421 1.436 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C2CC(C)(C)C2)[C@@H](n2ccnn2)C1 ZINC001069920264 768229758 /nfs/dbraw/zinc/22/97/58/768229758.db2.gz DRWVQOIKIGYVRH-CABCVRRESA-N 1 2 315.421 1.079 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C2CC(C)(C)C2)[C@@H](n2ccnn2)C1 ZINC001069920264 768229763 /nfs/dbraw/zinc/22/97/63/768229763.db2.gz DRWVQOIKIGYVRH-CABCVRRESA-N 1 2 315.421 1.079 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2COc3ccccc3C2)C1 ZINC001043269987 764799310 /nfs/dbraw/zinc/79/93/10/764799310.db2.gz HRZLUYXAWOHDDV-HNNXBMFYSA-N 1 2 300.402 1.956 20 30 DDEDLO N#Cc1cccnc1NCC1CC(NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001112874371 764912532 /nfs/dbraw/zinc/91/25/32/764912532.db2.gz YQBOBZWTDGOFKC-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO N#Cc1cccnc1NCC1CC(NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001112874371 764912539 /nfs/dbraw/zinc/91/25/39/764912539.db2.gz YQBOBZWTDGOFKC-UHFFFAOYSA-N 1 2 324.388 1.616 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccncc2OC(C)C)C1 ZINC001043461442 764918779 /nfs/dbraw/zinc/91/87/79/764918779.db2.gz OIKLQBWKKZXLCR-UHFFFAOYSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn3c2nc(C)cc3C)C1 ZINC001043504815 764948006 /nfs/dbraw/zinc/94/80/06/764948006.db2.gz PMQXSRUKTFBLRW-UHFFFAOYSA-N 1 2 311.389 1.126 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2conc2C(C)C)CC1 ZINC001112960897 765044841 /nfs/dbraw/zinc/04/48/41/765044841.db2.gz RNEJDCYPMBFYRH-UHFFFAOYSA-N 1 2 307.394 1.758 20 30 DDEDLO C#CCCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001051671775 765056409 /nfs/dbraw/zinc/05/64/09/765056409.db2.gz MHSJUWBFOPDWHM-UONOGXRCSA-N 1 2 316.405 1.109 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CCCC2(C)C)[C@@H](n2ccnn2)C1 ZINC001070002165 768273387 /nfs/dbraw/zinc/27/33/87/768273387.db2.gz USLLXIVMPJTGKN-KFWWJZLASA-N 1 2 315.421 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCC2(C)C)[C@@H](n2ccnn2)C1 ZINC001070002165 768273390 /nfs/dbraw/zinc/27/33/90/768273390.db2.gz USLLXIVMPJTGKN-KFWWJZLASA-N 1 2 315.421 1.079 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCO[C@@H](C[NH2+]Cc2cnsn2)C1 ZINC001051802539 765174287 /nfs/dbraw/zinc/17/42/87/765174287.db2.gz DGMDCHBRGMZYON-DZGCQCFKSA-N 1 2 324.450 1.457 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnn(-c3ccccn3)c2)C1 ZINC001043997602 765218810 /nfs/dbraw/zinc/21/88/10/765218810.db2.gz GNZCBNPSAIYNTA-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO Cc1nsc(N[C@@H](C)[C@H](C)NC(=O)Cn2cc[nH+]c2)c1C#N ZINC001113081632 765234830 /nfs/dbraw/zinc/23/48/30/765234830.db2.gz GZMWGJKBUXJYBN-UWVGGRQHSA-N 1 2 318.406 1.525 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H](C)[C@@H](C)Nc2cc[nH+]c(C)n2)cn1 ZINC001113103394 765261153 /nfs/dbraw/zinc/26/11/53/765261153.db2.gz KJDMEQNPIGACDE-NEPJUHHUSA-N 1 2 309.373 1.780 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)Cc3cccs3)C2)CC1 ZINC001051970500 765328358 /nfs/dbraw/zinc/32/83/58/765328358.db2.gz GQEPGKHLLXQKSY-HNNXBMFYSA-N 1 2 317.458 1.142 20 30 DDEDLO CC#CC[NH+]1CCN([C@H]2CCN(C(=O)C[C@H]3C=CCC3)C2)CC1 ZINC001051978137 765339750 /nfs/dbraw/zinc/33/97/50/765339750.db2.gz MPUFJVHAFPJGDR-ROUUACIJSA-N 1 2 315.461 1.585 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)N1CCN(Cc2c[nH+]cn2C)CC1 ZINC001113162084 765357337 /nfs/dbraw/zinc/35/73/37/765357337.db2.gz UJXFNTOBNIZPRY-QGZVFWFLSA-N 1 2 320.437 1.293 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3coc(C)c3)C2)CC1 ZINC001052018186 765390113 /nfs/dbraw/zinc/39/01/13/765390113.db2.gz FTISKUMCZOMNTR-INIZCTEOSA-N 1 2 303.406 1.606 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3CC4(CCC4)C3)C2)CC1 ZINC001052064912 765438152 /nfs/dbraw/zinc/43/81/52/765438152.db2.gz JNLQUVJBVGSSEM-QGZVFWFLSA-N 1 2 315.461 1.418 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)[C@@H]3CCC(C)(C)C3)C2)CC1 ZINC001052076726 765448693 /nfs/dbraw/zinc/44/86/93/765448693.db2.gz LFMPULWQMSPDKM-SJORKVTESA-N 1 2 317.477 1.664 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C2(c3cc(C)no3)CC2)CC1 ZINC001113254601 765490839 /nfs/dbraw/zinc/49/08/39/765490839.db2.gz GJRWWCYYWZHKAR-UHFFFAOYSA-N 1 2 319.405 1.361 20 30 DDEDLO C=CCCCC(=O)N1CC[NH+](CCCc2nc(C)no2)CC1 ZINC001113282203 765508903 /nfs/dbraw/zinc/50/89/03/765508903.db2.gz PTDXFEADQXXXKJ-UHFFFAOYSA-N 1 2 306.410 1.811 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cccc(-n3ccnn3)c2)C1 ZINC001044374502 765518410 /nfs/dbraw/zinc/51/84/10/765518410.db2.gz RFXQKXHIBMFJGM-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)[C@@H](C)n2nc(C)cc2C)CC1 ZINC001113717453 766057972 /nfs/dbraw/zinc/05/79/72/766057972.db2.gz ZQVQVEKLRPLPDW-MRXNPFEDSA-N 1 2 320.437 1.408 20 30 DDEDLO COc1ccc(C[C@@H](C)N2CC[NH2+]C[C@@H]2C#N)c([N+](=O)[O-])c1 ZINC001170335619 766257479 /nfs/dbraw/zinc/25/74/79/766257479.db2.gz OXPYPASKLNCEPG-YPMHNXCESA-N 1 2 304.350 1.332 20 30 DDEDLO COC(=O)[C@@H](CO)[NH2+]C1CCC(C#N)(c2ccccc2)CC1 ZINC001170360269 766263281 /nfs/dbraw/zinc/26/32/81/766263281.db2.gz VORFFEPHXXWYLR-ISXOHVOVSA-N 1 2 302.374 1.514 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2snnc2C)CC[C@@H]1C ZINC001131781036 768365258 /nfs/dbraw/zinc/36/52/58/768365258.db2.gz TVQRCWOKZALPQX-AAEUAGOBSA-N 1 2 322.434 1.079 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2snnc2C)CC[C@@H]1C ZINC001131781036 768365265 /nfs/dbraw/zinc/36/52/65/768365265.db2.gz TVQRCWOKZALPQX-AAEUAGOBSA-N 1 2 322.434 1.079 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1CCCN(c2ccncc2C#N)C1 ZINC001058182134 766291666 /nfs/dbraw/zinc/29/16/66/766291666.db2.gz TVQIJUADWCUAAR-AWEZNQCLSA-N 1 2 324.388 1.313 20 30 DDEDLO C#Cc1ccc(C(=O)NC2(C)CC[NH+](Cc3ccon3)CC2)nc1 ZINC001045625833 766458256 /nfs/dbraw/zinc/45/82/56/766458256.db2.gz WEALPUYNAIODFN-UHFFFAOYSA-N 1 2 324.384 1.835 20 30 DDEDLO CC(C)n1ccc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)n1 ZINC001114069092 766616972 /nfs/dbraw/zinc/61/69/72/766616972.db2.gz CLJWSVFWLBPOSI-RZFFKMDDSA-N 1 2 301.394 1.170 20 30 DDEDLO CC(C)n1ccc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)n1 ZINC001114069092 766616977 /nfs/dbraw/zinc/61/69/77/766616977.db2.gz CLJWSVFWLBPOSI-RZFFKMDDSA-N 1 2 301.394 1.170 20 30 DDEDLO Cc1nc(N(C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)c(C)c(C)[nH+]1 ZINC001067742468 766671552 /nfs/dbraw/zinc/67/15/52/766671552.db2.gz MKOBQDSOYYUVGC-IAQYHMDHSA-N 1 2 315.421 1.989 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001114163185 766723515 /nfs/dbraw/zinc/72/35/15/766723515.db2.gz CAFRNVNEIUZJDE-FOLVSLTJSA-N 1 2 303.431 1.883 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001114163185 766723524 /nfs/dbraw/zinc/72/35/24/766723524.db2.gz CAFRNVNEIUZJDE-FOLVSLTJSA-N 1 2 303.431 1.883 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)C(F)=C3CCCC3)CC2)C1 ZINC001046020325 766819050 /nfs/dbraw/zinc/81/90/50/766819050.db2.gz QOEZLCFDEPPSFL-OAHLLOKOSA-N 1 2 320.412 1.526 20 30 DDEDLO CC(C)(CC(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C1CC1 ZINC001046029639 766836430 /nfs/dbraw/zinc/83/64/30/766836430.db2.gz YJSSEKOBNOQMPJ-MRXNPFEDSA-N 1 2 318.465 1.555 20 30 DDEDLO C[C@@H](C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C1CCC1 ZINC001046038019 766842904 /nfs/dbraw/zinc/84/29/04/766842904.db2.gz FZJYWEGNECOUEP-ZBFHGGJFSA-N 1 2 304.438 1.165 20 30 DDEDLO C=CCn1c(N(C)CC2CC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121606708 782588127 /nfs/dbraw/zinc/58/81/27/782588127.db2.gz CIAHXMTZBJJTPI-CJNGLKHVSA-N 1 2 305.426 1.222 20 30 DDEDLO C=CCn1c(N(C)CC2CC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121606708 782588133 /nfs/dbraw/zinc/58/81/33/782588133.db2.gz CIAHXMTZBJJTPI-CJNGLKHVSA-N 1 2 305.426 1.222 20 30 DDEDLO CC1(C)CN(c2ccc(C#N)nc2)C[C@@H]1NC(=O)Cc1c[nH]c[nH+]1 ZINC001068139316 766944588 /nfs/dbraw/zinc/94/45/88/766944588.db2.gz AEDRFWSFBNCWFJ-HNNXBMFYSA-N 1 2 324.388 1.250 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](OC)C3CC3)n2CC=C)CC1 ZINC001121639094 782607367 /nfs/dbraw/zinc/60/73/67/782607367.db2.gz IBAXLXPPMAMRCG-OAHLLOKOSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1nc(C[N@@H+]2CC[C@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)co1 ZINC001046223989 767209819 /nfs/dbraw/zinc/20/98/19/767209819.db2.gz OAEYZSOIXLEZPY-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nc(C[N@H+]2CC[C@](C)(NC(=O)c3cc(C#N)c[nH]3)C2)co1 ZINC001046223989 767209821 /nfs/dbraw/zinc/20/98/21/767209821.db2.gz OAEYZSOIXLEZPY-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001046252657 767302741 /nfs/dbraw/zinc/30/27/41/767302741.db2.gz GBHGEWCQVXIBDU-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@](C)(NC(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC001046252657 767302745 /nfs/dbraw/zinc/30/27/45/767302745.db2.gz GBHGEWCQVXIBDU-MRXNPFEDSA-N 1 2 313.361 1.577 20 30 DDEDLO C=CCOc1ccc(C(=O)NCC[NH2+]Cc2csnn2)cc1 ZINC001130371145 767471004 /nfs/dbraw/zinc/47/10/04/767471004.db2.gz FFIPIFAMCVZAIL-UHFFFAOYSA-N 1 2 318.402 1.623 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cccc3ncnn32)C1 ZINC001046451257 767587271 /nfs/dbraw/zinc/58/72/71/767587271.db2.gz JKQQHWOXDNQAJR-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cccc3ncnn32)C1 ZINC001046451257 767587275 /nfs/dbraw/zinc/58/72/75/767587275.db2.gz JKQQHWOXDNQAJR-OAHLLOKOSA-N 1 2 319.796 1.676 20 30 DDEDLO Cc1cc(N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@H]2C)c(C#N)cn1 ZINC001068888290 767684292 /nfs/dbraw/zinc/68/42/92/767684292.db2.gz XXSRCOWFNMRWEZ-GXTWGEPZSA-N 1 2 324.388 1.051 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cc(C)n(C)n2)C1 ZINC001131947180 768506415 /nfs/dbraw/zinc/50/64/15/768506415.db2.gz QOAHLDYXIHABMB-ZFWWWQNUSA-N 1 2 320.437 1.400 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cc(C)n(C)n2)C1 ZINC001131947180 768506417 /nfs/dbraw/zinc/50/64/17/768506417.db2.gz QOAHLDYXIHABMB-ZFWWWQNUSA-N 1 2 320.437 1.400 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCCC(=O)NC)CC[C@H]1C ZINC001131985752 768539897 /nfs/dbraw/zinc/53/98/97/768539897.db2.gz ZZLSJGRPJRJTIO-OLZOCXBDSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCCC(=O)NC)CC[C@H]1C ZINC001131985752 768539898 /nfs/dbraw/zinc/53/98/98/768539898.db2.gz ZZLSJGRPJRJTIO-OLZOCXBDSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)c2ccccc2)CC1 ZINC001070933389 768792849 /nfs/dbraw/zinc/79/28/49/768792849.db2.gz JKJIMQOHNADFKZ-UHFFFAOYSA-N 1 2 315.417 1.527 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)c2ccccc2)CC1 ZINC001070933389 768792851 /nfs/dbraw/zinc/79/28/51/768792851.db2.gz JKJIMQOHNADFKZ-UHFFFAOYSA-N 1 2 315.417 1.527 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CCCCC(N)=O)CC[C@H]1C ZINC001132419967 768816634 /nfs/dbraw/zinc/81/66/34/768816634.db2.gz KYGDAPQQDVQJBV-OLZOCXBDSA-N 1 2 315.845 1.754 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CCCCC(N)=O)CC[C@H]1C ZINC001132419967 768816646 /nfs/dbraw/zinc/81/66/46/768816646.db2.gz KYGDAPQQDVQJBV-OLZOCXBDSA-N 1 2 315.845 1.754 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@@]2(C)CC)CC1 ZINC001071012790 768876301 /nfs/dbraw/zinc/87/63/01/768876301.db2.gz GUFDAMYTLQQHJV-RHSMWYFYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2C[C@@]2(C)CC)CC1 ZINC001071012790 768876314 /nfs/dbraw/zinc/87/63/14/768876314.db2.gz GUFDAMYTLQQHJV-RHSMWYFYSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC23CCCC3)CC1 ZINC001071013134 768876380 /nfs/dbraw/zinc/87/63/80/768876380.db2.gz RTNGKCBERWKURL-OAHLLOKOSA-N 1 2 319.449 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC23CCCC3)CC1 ZINC001071013134 768876395 /nfs/dbraw/zinc/87/63/95/768876395.db2.gz RTNGKCBERWKURL-OAHLLOKOSA-N 1 2 319.449 1.403 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cc[n+]([O-])cc2)CC[C@@H]1C ZINC001071333271 769296515 /nfs/dbraw/zinc/29/65/15/769296515.db2.gz ZZCFIZHZKMZICN-JSGCOSHPSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cc[n+]([O-])cc2)CC[C@@H]1C ZINC001071333271 769296520 /nfs/dbraw/zinc/29/65/20/769296520.db2.gz ZZCFIZHZKMZICN-JSGCOSHPSA-N 1 2 309.797 1.655 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CN2CCCC2=O)CC[C@H]1C ZINC001071339802 769301875 /nfs/dbraw/zinc/30/18/75/769301875.db2.gz FHBHWHLKLKTRRD-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CN2CCCC2=O)CC[C@H]1C ZINC001071339802 769301884 /nfs/dbraw/zinc/30/18/84/769301884.db2.gz FHBHWHLKLKTRRD-OLZOCXBDSA-N 1 2 313.829 1.330 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](NC(=O)c2cc(OC)ns2)CC[C@@H]1C ZINC001071519202 769551488 /nfs/dbraw/zinc/55/14/88/769551488.db2.gz WHJIRSONTXWQPA-NWDGAFQWSA-N 1 2 307.419 1.758 20 30 DDEDLO CC#CC[N@H+]1C[C@H](NC(=O)c2cc(OC)ns2)CC[C@@H]1C ZINC001071519202 769551498 /nfs/dbraw/zinc/55/14/98/769551498.db2.gz WHJIRSONTXWQPA-NWDGAFQWSA-N 1 2 307.419 1.758 20 30 DDEDLO C=CCCC1(C(=O)NCC[NH2+]Cc2nc(N(C)C)no2)CC1 ZINC001133375693 769755158 /nfs/dbraw/zinc/75/51/58/769755158.db2.gz DVZKWFIBLMYZDN-UHFFFAOYSA-N 1 2 307.398 1.088 20 30 DDEDLO C=CCCC1(C(=O)NCC[NH2+]Cc2nc(C3CC3)no2)CC1 ZINC001133376144 769757290 /nfs/dbraw/zinc/75/72/90/769757290.db2.gz ZGICNFPEOJDKDL-UHFFFAOYSA-N 1 2 304.394 1.899 20 30 DDEDLO C=CCCC(=O)N1C[C@H](NC(=O)CCc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001071787458 770072202 /nfs/dbraw/zinc/07/22/02/770072202.db2.gz OPSMUMLEBQRPOC-STQMWFEESA-N 1 2 304.394 1.272 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@H]1C ZINC001072483585 770960308 /nfs/dbraw/zinc/96/03/08/770960308.db2.gz IOUAXWGMJIKXNC-RISCZKNCSA-N 1 2 318.421 1.436 20 30 DDEDLO CC(C)(C(=O)N1CCC[C@H]2[C@@H]1CCN2CC#N)c1c[nH+]c[nH]1 ZINC001049848810 771206797 /nfs/dbraw/zinc/20/67/97/771206797.db2.gz MFEPBWRMOVAHKJ-STQMWFEESA-N 1 2 301.394 1.276 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@@H]2CCCN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001049998318 771351940 /nfs/dbraw/zinc/35/19/40/771351940.db2.gz DMURWWYQOGNTJE-QLFBSQMISA-N 1 2 315.421 1.485 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@@H]2CCCN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001049998318 771351945 /nfs/dbraw/zinc/35/19/45/771351945.db2.gz DMURWWYQOGNTJE-QLFBSQMISA-N 1 2 315.421 1.485 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001049998610 771352959 /nfs/dbraw/zinc/35/29/59/771352959.db2.gz JETHBPAWGGJDPD-RWMBFGLXSA-N 1 2 304.419 1.726 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001049998610 771352961 /nfs/dbraw/zinc/35/29/61/771352961.db2.gz JETHBPAWGGJDPD-RWMBFGLXSA-N 1 2 304.419 1.726 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(=O)[nH]1)C2 ZINC001096918821 771513173 /nfs/dbraw/zinc/51/31/73/771513173.db2.gz CFTIOXXRWBHTCG-RTXFEEFZSA-N 1 2 307.781 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(=O)[nH]1)C2 ZINC001096918821 771513175 /nfs/dbraw/zinc/51/31/75/771513175.db2.gz CFTIOXXRWBHTCG-RTXFEEFZSA-N 1 2 307.781 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)Cc2occc2C)[C@@H](O)C1 ZINC001090551410 771983962 /nfs/dbraw/zinc/98/39/62/771983962.db2.gz YYGVGKWVMNHIIL-OLZOCXBDSA-N 1 2 312.797 1.434 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)Cc2occc2C)[C@@H](O)C1 ZINC001090551410 771983967 /nfs/dbraw/zinc/98/39/67/771983967.db2.gz YYGVGKWVMNHIIL-OLZOCXBDSA-N 1 2 312.797 1.434 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CCCCNc1nccc(NC(C)C)n1 ZINC001171068234 772218913 /nfs/dbraw/zinc/21/89/13/772218913.db2.gz KJRSMLBETYTMAD-ZDUSSCGKSA-N 1 2 321.425 1.936 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccn2CC)[C@@H](O)C1 ZINC001090812844 772233444 /nfs/dbraw/zinc/23/34/44/772233444.db2.gz INOHVHFLJLIHQJ-JSGCOSHPSA-N 1 2 311.813 1.426 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccn2CC)[C@@H](O)C1 ZINC001090812844 772233445 /nfs/dbraw/zinc/23/34/45/772233445.db2.gz INOHVHFLJLIHQJ-JSGCOSHPSA-N 1 2 311.813 1.426 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)CCc3[nH]cc[nH+]3)C2)cn1 ZINC001091336292 772686091 /nfs/dbraw/zinc/68/60/91/772686091.db2.gz SLNHWPRNQLYDAN-JNSHFYNHSA-N 1 2 324.388 1.616 20 30 DDEDLO Cc1csc(C[N@@H+]2CCCO[C@@H](CNC(=O)[C@H](C)C#N)C2)n1 ZINC001149398305 772765296 /nfs/dbraw/zinc/76/52/96/772765296.db2.gz KZXRHLQLHPVWCG-YPMHNXCESA-N 1 2 322.434 1.318 20 30 DDEDLO Cc1csc(C[N@H+]2CCCO[C@@H](CNC(=O)[C@H](C)C#N)C2)n1 ZINC001149398305 772765300 /nfs/dbraw/zinc/76/53/00/772765300.db2.gz KZXRHLQLHPVWCG-YPMHNXCESA-N 1 2 322.434 1.318 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CCSC)C1 ZINC001149109181 772816847 /nfs/dbraw/zinc/81/68/47/772816847.db2.gz IKOAZXCADOYOTB-GFCCVEGCSA-N 1 2 306.859 1.699 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CCSC)C1 ZINC001149109181 772816848 /nfs/dbraw/zinc/81/68/48/772816848.db2.gz IKOAZXCADOYOTB-GFCCVEGCSA-N 1 2 306.859 1.699 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1nccs1)C2 ZINC001147531208 773169823 /nfs/dbraw/zinc/16/98/23/773169823.db2.gz ZGJUOTFMQUDIOH-CYBMUJFWSA-N 1 2 319.430 1.216 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1nccs1)C2 ZINC001147531208 773169826 /nfs/dbraw/zinc/16/98/26/773169826.db2.gz ZGJUOTFMQUDIOH-CYBMUJFWSA-N 1 2 319.430 1.216 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cccc(C)c3)C[C@H]21 ZINC001074151748 773677650 /nfs/dbraw/zinc/67/76/50/773677650.db2.gz SOPHMJLOBSOXSR-MSOLQXFVSA-N 1 2 312.413 1.934 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cccc(C)c3)C[C@H]21 ZINC001074151748 773677651 /nfs/dbraw/zinc/67/76/51/773677651.db2.gz SOPHMJLOBSOXSR-MSOLQXFVSA-N 1 2 312.413 1.934 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cnccc3C)C[C@H]21 ZINC001074200134 773724052 /nfs/dbraw/zinc/72/40/52/773724052.db2.gz OMSPVKDALUNEDE-SJORKVTESA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cnccc3C)C[C@H]21 ZINC001074200134 773724057 /nfs/dbraw/zinc/72/40/57/773724057.db2.gz OMSPVKDALUNEDE-SJORKVTESA-N 1 2 313.401 1.329 20 30 DDEDLO C=C(C)CN1CCO[C@@H]2CCN(C(=O)CCn3cc[nH+]c3)C[C@H]21 ZINC001074307868 773811108 /nfs/dbraw/zinc/81/11/08/773811108.db2.gz PSCYUYOTEWNGOI-HZPDHXFCSA-N 1 2 318.421 1.151 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CC(=C)C3)C[C@H]21 ZINC001074334594 773832014 /nfs/dbraw/zinc/83/20/14/773832014.db2.gz VFJXNHRQRZPRPS-HZPDHXFCSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CC(=C)C3)C[C@H]21 ZINC001074334594 773832017 /nfs/dbraw/zinc/83/20/17/773832017.db2.gz VFJXNHRQRZPRPS-HZPDHXFCSA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C3CC3)C3CC3)C[C@@H]21 ZINC001074380941 773874145 /nfs/dbraw/zinc/87/41/45/773874145.db2.gz WVYAWRJLKQWWQP-IRXDYDNUSA-N 1 2 316.445 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C3CC3)C3CC3)C[C@@H]21 ZINC001074380941 773874151 /nfs/dbraw/zinc/87/41/51/773874151.db2.gz WVYAWRJLKQWWQP-IRXDYDNUSA-N 1 2 316.445 1.748 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccncc1)c1nccn12 ZINC001092342909 774092358 /nfs/dbraw/zinc/09/23/58/774092358.db2.gz YZWBFJIZZHDDTQ-AWEZNQCLSA-N 1 2 309.373 1.350 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N3CC[C@@H]4[C@H](CCN4CC#N)C3)ccn12 ZINC001036717629 774392563 /nfs/dbraw/zinc/39/25/63/774392563.db2.gz YUUJPLVTXIHLBC-HZPDHXFCSA-N 1 2 323.400 1.703 20 30 DDEDLO Cc1nsc(N[C@@H](C)CNC(=O)CCc2c[nH]c[nH+]2)c1C#N ZINC001098344437 774543602 /nfs/dbraw/zinc/54/36/02/774543602.db2.gz MUKBLKUSZPYNIA-VIFPVBQESA-N 1 2 318.406 1.596 20 30 DDEDLO Cc1nsc(N[C@@H](C)CNC(=O)CCc2c[nH+]c[nH]2)c1C#N ZINC001098344437 774543604 /nfs/dbraw/zinc/54/36/04/774543604.db2.gz MUKBLKUSZPYNIA-VIFPVBQESA-N 1 2 318.406 1.596 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3cnccc3C)CC2)C1 ZINC001093521689 774773423 /nfs/dbraw/zinc/77/34/23/774773423.db2.gz VLSULTOGGJGFMO-UHFFFAOYSA-N 1 2 315.417 1.881 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)CC3OCCCO3)CC2)C1 ZINC001093529816 774781321 /nfs/dbraw/zinc/78/13/21/774781321.db2.gz KYYCMJQGCGDBRN-UHFFFAOYSA-N 1 2 324.421 1.017 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)c3csc(C)n3)CC2)C1 ZINC001093565260 774835383 /nfs/dbraw/zinc/83/53/83/774835383.db2.gz LZRIPBYWLUHZNK-UHFFFAOYSA-N 1 2 321.446 1.943 20 30 DDEDLO C#CCCCC(=O)NCCNc1nc(C)[nH+]c2c1CCCC2 ZINC001093580593 774855710 /nfs/dbraw/zinc/85/57/10/774855710.db2.gz PPPQPGOUFXHLBJ-UHFFFAOYSA-N 1 2 300.406 1.995 20 30 DDEDLO C=C(Cl)CN1C[C@@H]2CCC[C@]2(NC(=O)Cn2cc[nH+]c2C)C1 ZINC001099157630 774868768 /nfs/dbraw/zinc/86/87/68/774868768.db2.gz GDXYBTOBIYOOAL-HOCLYGCPSA-N 1 2 322.840 1.915 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)Cc3ncn[nH]3)CC[C@H]21 ZINC001036865070 774990583 /nfs/dbraw/zinc/99/05/83/774990583.db2.gz IANAOYXIWQYYKX-VXGBXAGGSA-N 1 2 309.801 1.023 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)Cc3ncn[nH]3)CC[C@H]21 ZINC001036865070 774990590 /nfs/dbraw/zinc/99/05/90/774990590.db2.gz IANAOYXIWQYYKX-VXGBXAGGSA-N 1 2 309.801 1.023 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@H+](Cc2cnc(C)cn2)C[C@@H]1O ZINC001099858415 775361342 /nfs/dbraw/zinc/36/13/42/775361342.db2.gz LIWHYDJHCVBDDR-HOTGVXAUSA-N 1 2 318.421 1.193 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)cn2)C[C@@H]1O ZINC001099858415 775361355 /nfs/dbraw/zinc/36/13/55/775361355.db2.gz LIWHYDJHCVBDDR-HOTGVXAUSA-N 1 2 318.421 1.193 20 30 DDEDLO C=CCCC(=O)NCC1CC([NH2+]Cc2nnn(C(C)(C)C)n2)C1 ZINC001100176823 775770389 /nfs/dbraw/zinc/77/03/89/775770389.db2.gz HGVCFMLRZSDNCU-UHFFFAOYSA-N 1 2 320.441 1.379 20 30 DDEDLO C[C@H](C#N)C(=O)NCC1CC([NH2+]Cc2noc(C3CCC3)n2)C1 ZINC001100198006 775805185 /nfs/dbraw/zinc/80/51/85/775805185.db2.gz LJFOQUNRKJJKSU-XSRFYTQQSA-N 1 2 317.393 1.481 20 30 DDEDLO CCCc1nc(C[NH2+]C2CC(CNC(=O)C#CC3CC3)C2)no1 ZINC001100313516 775982355 /nfs/dbraw/zinc/98/23/55/775982355.db2.gz ANQDUEJRDUKSTB-UHFFFAOYSA-N 1 2 316.405 1.420 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C2CC(CNC(=O)C#CC(C)(C)C)C2)no1 ZINC001100317479 775989313 /nfs/dbraw/zinc/98/93/13/775989313.db2.gz WXRQNIUWTSIDKK-XGNXJENSSA-N 1 2 318.421 1.973 20 30 DDEDLO CN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)c1ccc(C#N)cn1 ZINC001100392178 776089213 /nfs/dbraw/zinc/08/92/13/776089213.db2.gz VMILCFWAEJZRJD-CHWSQXEVSA-N 1 2 310.361 1.032 20 30 DDEDLO CN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)c1ccc(C#N)cn1 ZINC001100392178 776089219 /nfs/dbraw/zinc/08/92/19/776089219.db2.gz VMILCFWAEJZRJD-CHWSQXEVSA-N 1 2 310.361 1.032 20 30 DDEDLO C=C(C)CCC(=O)NCCCNc1[nH+]cnc2c1cnn2C ZINC001094605322 776127768 /nfs/dbraw/zinc/12/77/68/776127768.db2.gz LPMHJYIXQLZXNP-UHFFFAOYSA-N 1 2 302.382 1.638 20 30 DDEDLO N#Cc1cccnc1NCCCNC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC001094656609 776176097 /nfs/dbraw/zinc/17/60/97/776176097.db2.gz OVHWRMUZHDQIGE-AWEZNQCLSA-N 1 2 324.388 1.331 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3=CCCC3)CC2=O)C1 ZINC001094720840 776188088 /nfs/dbraw/zinc/18/80/88/776188088.db2.gz RISMPJFNGVTKHZ-AWEZNQCLSA-N 1 2 303.406 1.074 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)NCCCNc1cc[nH+]c(C)n1 ZINC001094683113 776192905 /nfs/dbraw/zinc/19/29/05/776192905.db2.gz ALGKMZPSIFJCKJ-INIZCTEOSA-N 1 2 306.410 1.932 20 30 DDEDLO Cc1nc(N2CCC[C@@H]2CNC(=O)Cc2c[nH]c[nH+]2)ccc1C#N ZINC001100910433 776695571 /nfs/dbraw/zinc/69/55/71/776695571.db2.gz JVXLWSMLPUPGRZ-OAHLLOKOSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1nc(N2CC[C@H](CNC(=O)[C@H](C)C#N)C2)c(C)c(C)[nH+]1 ZINC001100950254 776749957 /nfs/dbraw/zinc/74/99/57/776749957.db2.gz QNOCINOBBPLRSD-QMTHXVAHSA-N 1 2 301.394 1.504 20 30 DDEDLO C#Cc1cnc(Nc2ccc(C[NH+]3CC(O)C3)cc2)c(C#C)n1 ZINC001212666356 776806739 /nfs/dbraw/zinc/80/67/39/776806739.db2.gz KVZAVWBRKHIJJO-UHFFFAOYSA-N 1 2 304.353 1.359 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@@H+](Cc3ncnn3CC)C[C@@]2(C)C1 ZINC001101162642 776955767 /nfs/dbraw/zinc/95/57/67/776955767.db2.gz AIFOAJCSFQKRPH-PBHICJAKSA-N 1 2 317.437 1.545 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2C[N@H+](Cc3ncnn3CC)C[C@@]2(C)C1 ZINC001101162642 776955772 /nfs/dbraw/zinc/95/57/72/776955772.db2.gz AIFOAJCSFQKRPH-PBHICJAKSA-N 1 2 317.437 1.545 20 30 DDEDLO C[C@@H]1CC[C@H](N2CC[NH2+]C[C@@H]2C#N)CN1C(=O)OC(C)(C)C ZINC001173057470 776967936 /nfs/dbraw/zinc/96/79/36/776967936.db2.gz RMICFIHONNCXMU-RDBSUJKOSA-N 1 2 308.426 1.572 20 30 DDEDLO CCOC(=O)C[C@H]([NH2+]C1CCC(CC#N)CC1)C(=O)OCC ZINC001173328235 777113272 /nfs/dbraw/zinc/11/32/72/777113272.db2.gz SYIFAYULUMSFJG-RUXDESIVSA-N 1 2 310.394 1.933 20 30 DDEDLO N#Cc1c(F)cccc1NC1(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001110168959 777119447 /nfs/dbraw/zinc/11/94/47/777119447.db2.gz AYHGHZHKAAFVKU-UHFFFAOYSA-N 1 2 313.336 1.655 20 30 DDEDLO N#Cc1ccc(N(CCNC(=O)CCc2c[nH]c[nH+]2)C2CC2)cn1 ZINC001101463139 777154497 /nfs/dbraw/zinc/15/44/97/777154497.db2.gz HBHYMRAQWKMYAN-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO N#Cc1ccc(N(CCNC(=O)CCc2c[nH+]c[nH]2)C2CC2)cn1 ZINC001101463139 777154501 /nfs/dbraw/zinc/15/45/01/777154501.db2.gz HBHYMRAQWKMYAN-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)/C(C)=C/CC)c1nccn12 ZINC001101614329 777306377 /nfs/dbraw/zinc/30/63/77/777306377.db2.gz RYTRNYOTBLJRJZ-BLXFFLACSA-N 1 2 300.406 1.997 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)/C=C(\C)CC)c1nccn12 ZINC001101627675 777322119 /nfs/dbraw/zinc/32/21/19/777322119.db2.gz JWQBTTOVTUXXBJ-IPJDOKCGSA-N 1 2 312.417 1.835 20 30 DDEDLO C=CCCCC(=O)N(C)CC[NH+]1CCN(c2ncccn2)CC1 ZINC001102422267 778128647 /nfs/dbraw/zinc/12/86/47/778128647.db2.gz GCLALAUYNLJCAY-UHFFFAOYSA-N 1 2 317.437 1.413 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)CC3(C)CC3)C[C@H]21 ZINC001177046875 778408611 /nfs/dbraw/zinc/40/86/11/778408611.db2.gz BSYMMCRITOJTKS-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC3(C)CC3)C[C@H]21 ZINC001177046875 778408613 /nfs/dbraw/zinc/40/86/13/778408613.db2.gz BSYMMCRITOJTKS-CVEARBPZSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]2OCC[N@@H+](CCOCC)[C@H]2C1 ZINC001177062056 778421808 /nfs/dbraw/zinc/42/18/08/778421808.db2.gz RGWIJLRFYPKSBL-JKSUJKDBSA-N 1 2 324.465 1.927 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H]2OCC[N@H+](CCOCC)[C@H]2C1 ZINC001177062056 778421810 /nfs/dbraw/zinc/42/18/10/778421810.db2.gz RGWIJLRFYPKSBL-JKSUJKDBSA-N 1 2 324.465 1.927 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CCCC3CC3)C[C@H]21 ZINC001177073789 778429272 /nfs/dbraw/zinc/42/92/72/778429272.db2.gz SLUFGYOEXKWYAA-SJORKVTESA-N 1 2 304.434 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CCCC3CC3)C[C@H]21 ZINC001177073789 778429277 /nfs/dbraw/zinc/42/92/77/778429277.db2.gz SLUFGYOEXKWYAA-SJORKVTESA-N 1 2 304.434 1.892 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C)C[C@H]21 ZINC001177130704 778455301 /nfs/dbraw/zinc/45/53/01/778455301.db2.gz FOMUBOZACVAIPT-CABCVRRESA-N 1 2 310.438 1.537 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(C)C)C[C@H]21 ZINC001177130704 778455303 /nfs/dbraw/zinc/45/53/03/778455303.db2.gz FOMUBOZACVAIPT-CABCVRRESA-N 1 2 310.438 1.537 20 30 DDEDLO Cc1nc(N2CCC[C@@H](CCNC(=O)[C@@H](C)C#N)C2)cc[nH+]1 ZINC001102911423 778485648 /nfs/dbraw/zinc/48/56/48/778485648.db2.gz VCVSJTHMQWBRFV-JSGCOSHPSA-N 1 2 301.394 1.667 20 30 DDEDLO C[C@](CNc1ccc(C#N)nc1)(NC(=O)Cn1cc[nH+]c1)C1CC1 ZINC001103536397 778930922 /nfs/dbraw/zinc/93/09/22/778930922.db2.gz RGIYWANKWDUDMI-QGZVFWFLSA-N 1 2 324.388 1.547 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[C@H](Nc2cc[nH+]c(C)n2)CC1 ZINC001103648280 778979078 /nfs/dbraw/zinc/97/90/78/778979078.db2.gz DXFXVAVUVKNKPJ-QEJZJMRPSA-N 1 2 316.405 1.663 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(C3CC3)o2)[C@@H]1C ZINC001178320198 779002268 /nfs/dbraw/zinc/00/22/68/779002268.db2.gz KQVFCMMLGIAZIC-AAEUAGOBSA-N 1 2 304.394 1.992 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc(COC)no2)[C@@H]1C ZINC001178320386 779002671 /nfs/dbraw/zinc/00/26/71/779002671.db2.gz SNTAIZZAGCPNEE-RYUDHWBXSA-N 1 2 308.382 1.261 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001103801941 779056818 /nfs/dbraw/zinc/05/68/18/779056818.db2.gz GIXQPBRASKIXJH-CZUORRHYSA-N 1 2 304.394 1.515 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)o1 ZINC001111667242 779426989 /nfs/dbraw/zinc/42/69/89/779426989.db2.gz YALSURSQPJVNTE-WBIUFABUSA-N 1 2 303.366 1.008 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)o1 ZINC001111667242 779426993 /nfs/dbraw/zinc/42/69/93/779426993.db2.gz YALSURSQPJVNTE-WBIUFABUSA-N 1 2 303.366 1.008 20 30 DDEDLO Cc1nnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)C#CC3CC3)C2)o1 ZINC001111740981 779458886 /nfs/dbraw/zinc/45/88/86/779458886.db2.gz WQNXRWMGHDRHFF-YOEHRIQHSA-N 1 2 314.389 1.262 20 30 DDEDLO Cc1nnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)C#CC3CC3)C2)o1 ZINC001111740981 779458888 /nfs/dbraw/zinc/45/88/88/779458888.db2.gz WQNXRWMGHDRHFF-YOEHRIQHSA-N 1 2 314.389 1.262 20 30 DDEDLO Cc1nc(NC[C@@H](C2CC2)N(C)C(=O)c2c[nH]c(C#N)c2)cc[nH+]1 ZINC001115514638 780204094 /nfs/dbraw/zinc/20/40/94/780204094.db2.gz LAAZXBRJENIUOV-HNNXBMFYSA-N 1 2 324.388 1.948 20 30 DDEDLO C=C(CC)CNC(=O)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC001118098150 781026372 /nfs/dbraw/zinc/02/63/72/781026372.db2.gz ZJHWPUZYVFSNQK-KGLIPLIRSA-N 1 2 304.394 1.375 20 30 DDEDLO C[C@@H]1CCC[C@H](C)N1C(=O)C[N@H+](C)CCNC(=O)C#CC1CC1 ZINC001266273016 836023635 /nfs/dbraw/zinc/02/36/35/836023635.db2.gz ITCSBQGOSWITPE-GASCZTMLSA-N 1 2 319.449 1.237 20 30 DDEDLO C[C@@H]1CCC[C@H](C)N1C(=O)C[N@@H+](C)CCNC(=O)C#CC1CC1 ZINC001266273016 836023648 /nfs/dbraw/zinc/02/36/48/836023648.db2.gz ITCSBQGOSWITPE-GASCZTMLSA-N 1 2 319.449 1.237 20 30 DDEDLO CCC[C@@H](CC)C(=O)NCC[NH+]1CCN(CC#CCOC)CC1 ZINC001266294915 836067900 /nfs/dbraw/zinc/06/79/00/836067900.db2.gz PCUYJNYFBDUEHX-QGZVFWFLSA-N 1 2 323.481 1.196 20 30 DDEDLO CCC[C@@H](CC)C(=O)NCCN1CC[NH+](CC#CCOC)CC1 ZINC001266294915 836067915 /nfs/dbraw/zinc/06/79/15/836067915.db2.gz PCUYJNYFBDUEHX-QGZVFWFLSA-N 1 2 323.481 1.196 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001266321552 836116184 /nfs/dbraw/zinc/11/61/84/836116184.db2.gz RBXIVCPVOCWKAT-PBHICJAKSA-N 1 2 305.422 1.226 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001266321552 836116188 /nfs/dbraw/zinc/11/61/88/836116188.db2.gz RBXIVCPVOCWKAT-PBHICJAKSA-N 1 2 305.422 1.226 20 30 DDEDLO CC(C)C#CC(=O)NCC1(NC(=O)CCCn2cc[nH+]c2)CC1 ZINC001299154966 836354943 /nfs/dbraw/zinc/35/49/43/836354943.db2.gz CRYQIXUCICWMFF-UHFFFAOYSA-N 1 2 316.405 1.088 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]([NH2+][C@@H](C)c2nc(C3CC3)no2)C1 ZINC001266524288 836411766 /nfs/dbraw/zinc/41/17/66/836411766.db2.gz JRWMWDPZAHGZAR-JSGCOSHPSA-N 1 2 316.405 1.858 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCC[C@@H]1CNC(=O)C(C)(C)CC ZINC001266853684 836940472 /nfs/dbraw/zinc/94/04/72/836940472.db2.gz QOGISLJBGKJSDW-CQSZACIVSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCC[C@@H]1CNC(=O)C(C)(C)CC ZINC001266853684 836940480 /nfs/dbraw/zinc/94/04/80/836940480.db2.gz QOGISLJBGKJSDW-CQSZACIVSA-N 1 2 307.438 1.143 20 30 DDEDLO CC(C)Cc1noc(C[NH2+][C@H](C)CNC(=O)C#CC2CC2)n1 ZINC001266937382 837084467 /nfs/dbraw/zinc/08/44/67/837084467.db2.gz HVRONUJCWZPIRU-GFCCVEGCSA-N 1 2 304.394 1.276 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([N@H+](C)Cc2coc(C)n2)C1 ZINC001267103072 837350285 /nfs/dbraw/zinc/35/02/85/837350285.db2.gz RSKOETLGRKOWGL-HNNXBMFYSA-N 1 2 307.394 1.608 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]([N@@H+](C)Cc2coc(C)n2)C1 ZINC001267103072 837350298 /nfs/dbraw/zinc/35/02/98/837350298.db2.gz RSKOETLGRKOWGL-HNNXBMFYSA-N 1 2 307.394 1.608 20 30 DDEDLO CCc1cnc(C[NH2+]CCN(C)C(=O)c2cc(C#N)c[nH]2)o1 ZINC001267359550 837906112 /nfs/dbraw/zinc/90/61/12/837906112.db2.gz ALTRWEJFRSDJHW-UHFFFAOYSA-N 1 2 301.350 1.299 20 30 DDEDLO N#CCN[C@@H](CNC(=O)[C@H]1CCn2c[nH+]cc2C1)c1ccccc1 ZINC001267362044 837913824 /nfs/dbraw/zinc/91/38/24/837913824.db2.gz NODZWRHMHIAGBN-RDJZCZTQSA-N 1 2 323.400 1.416 20 30 DDEDLO C#Cc1ccc(C(=O)N(CCC)[C@@H]2CC[N@H+](CCF)C2)nc1 ZINC001267489430 838170293 /nfs/dbraw/zinc/17/02/93/838170293.db2.gz ZSCDNQSRYGWZDA-OAHLLOKOSA-N 1 2 303.381 1.959 20 30 DDEDLO C#Cc1ccc(C(=O)N(CCC)[C@@H]2CC[N@@H+](CCF)C2)nc1 ZINC001267489430 838170297 /nfs/dbraw/zinc/17/02/97/838170297.db2.gz ZSCDNQSRYGWZDA-OAHLLOKOSA-N 1 2 303.381 1.959 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001267616451 838485829 /nfs/dbraw/zinc/48/58/29/838485829.db2.gz MRJPZYJIFBFMEK-DZGCQCFKSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@@H](C)C2CC2)C1 ZINC001267616451 838485833 /nfs/dbraw/zinc/48/58/33/838485833.db2.gz MRJPZYJIFBFMEK-DZGCQCFKSA-N 1 2 321.465 1.694 20 30 DDEDLO CC(C)c1ocnc1C(=O)N(C)CC[NH+]1CCN(CC#N)CC1 ZINC001267617620 838496563 /nfs/dbraw/zinc/49/65/63/838496563.db2.gz FCOOSWPLZBZOPG-UHFFFAOYSA-N 1 2 319.409 1.011 20 30 DDEDLO C[C@H]1CC[C@H](C(=O)N(C)CC[NH+]2CCN(CC#N)CC2)CC1 ZINC001267618847 838504036 /nfs/dbraw/zinc/50/40/36/838504036.db2.gz SYAWVLOSTCNQAS-WKILWMFISA-N 1 2 306.454 1.412 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)C2(C(F)(F)F)CCOCC2)C1 ZINC001267647534 838571303 /nfs/dbraw/zinc/57/13/03/838571303.db2.gz RAPTYPLLUIVIGA-UHFFFAOYSA-N 1 2 304.312 1.169 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccco1 ZINC001267703211 838679021 /nfs/dbraw/zinc/67/90/21/838679021.db2.gz UHNGJOQTQYZROJ-GJZGRUSLSA-N 1 2 302.374 1.147 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccco1 ZINC001267703211 838679027 /nfs/dbraw/zinc/67/90/27/838679027.db2.gz UHNGJOQTQYZROJ-GJZGRUSLSA-N 1 2 302.374 1.147 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccnc1C ZINC001267716201 838713543 /nfs/dbraw/zinc/71/35/43/838713543.db2.gz JINZHDFDORCJBL-IRXDYDNUSA-N 1 2 315.417 1.768 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccnc1C ZINC001267716201 838713548 /nfs/dbraw/zinc/71/35/48/838713548.db2.gz JINZHDFDORCJBL-IRXDYDNUSA-N 1 2 315.417 1.768 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CC[N@H+](Cc2cnnn2CC)C1 ZINC001267748073 838837293 /nfs/dbraw/zinc/83/72/93/838837293.db2.gz OMCIHPCTWJQAAU-ZIAGYGMSSA-N 1 2 305.426 1.591 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CC[N@@H+](Cc2cnnn2CC)C1 ZINC001267748073 838837310 /nfs/dbraw/zinc/83/73/10/838837310.db2.gz OMCIHPCTWJQAAU-ZIAGYGMSSA-N 1 2 305.426 1.591 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001267749258 838844451 /nfs/dbraw/zinc/84/44/51/838844451.db2.gz JZEHITZZCRBNGY-HUUCEWRRSA-N 1 2 321.465 1.389 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001267749258 838844460 /nfs/dbraw/zinc/84/44/60/838844460.db2.gz JZEHITZZCRBNGY-HUUCEWRRSA-N 1 2 321.465 1.389 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](N(CC)C(=O)c2ccsc2)C1 ZINC001267780200 838962700 /nfs/dbraw/zinc/96/27/00/838962700.db2.gz KBXXSHNOYAOXIL-AWEZNQCLSA-N 1 2 321.446 1.587 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](N(CC)C(=O)c2ccsc2)C1 ZINC001267780200 838962714 /nfs/dbraw/zinc/96/27/14/838962714.db2.gz KBXXSHNOYAOXIL-AWEZNQCLSA-N 1 2 321.446 1.587 20 30 DDEDLO CO[C@H](Cc1ccccc1)C[NH+]1CC(NC(=O)C#CC(C)C)C1 ZINC001268294680 839958009 /nfs/dbraw/zinc/95/80/09/839958009.db2.gz BCIDRWSBOKVEOE-GOSISDBHSA-N 1 2 314.429 1.704 20 30 DDEDLO C#CCCCC(=O)NCC1C[NH+](Cc2cc(OC)ccn2)C1 ZINC001268823073 840868677 /nfs/dbraw/zinc/86/86/77/840868677.db2.gz PKNKHICWMNINDD-UHFFFAOYSA-N 1 2 301.390 1.442 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)C2(CC)CCCC2)C1 ZINC001269078197 841182104 /nfs/dbraw/zinc/18/21/04/841182104.db2.gz BYZNRXLDDBYSDF-AWEZNQCLSA-N 1 2 307.438 1.450 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)C2(CC)CCCC2)C1 ZINC001269078197 841182109 /nfs/dbraw/zinc/18/21/09/841182109.db2.gz BYZNRXLDDBYSDF-AWEZNQCLSA-N 1 2 307.438 1.450 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[N@@H+](C)CC(=O)NCCCC)C1 ZINC001272105707 844618423 /nfs/dbraw/zinc/61/84/23/844618423.db2.gz NXJCRPFZELMHCJ-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CC[N@H+](C)CC(=O)NCCCC)C1 ZINC001272105707 844618430 /nfs/dbraw/zinc/61/84/30/844618430.db2.gz NXJCRPFZELMHCJ-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001269273203 841453062 /nfs/dbraw/zinc/45/30/62/841453062.db2.gz SZJAVGFDSPHKIQ-UONOGXRCSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@@H]1CCN(C(C)C)C1=O ZINC001269273203 841453067 /nfs/dbraw/zinc/45/30/67/841453067.db2.gz SZJAVGFDSPHKIQ-UONOGXRCSA-N 1 2 307.438 1.398 20 30 DDEDLO C=C(C)CN1C[C@@]2(CC1=O)CCCCN2C(=O)Cn1cc[nH+]c1 ZINC001269299177 841487844 /nfs/dbraw/zinc/48/78/44/841487844.db2.gz YYFLQLBNSYODTN-KRWDZBQOSA-N 1 2 316.405 1.443 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)[C@H]1CC1(F)F)C(C)C ZINC001284533562 841730794 /nfs/dbraw/zinc/73/07/94/841730794.db2.gz NFHBQGUUESAMFN-GFCCVEGCSA-N 1 2 317.380 1.113 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)[C@H]1CC1(F)F)C(C)C ZINC001284533562 841730797 /nfs/dbraw/zinc/73/07/97/841730797.db2.gz NFHBQGUUESAMFN-GFCCVEGCSA-N 1 2 317.380 1.113 20 30 DDEDLO COCC#CC[NH2+]C[C@@](C)(NC(=O)C(F)C(F)(F)F)C1CC1 ZINC001269618586 841832535 /nfs/dbraw/zinc/83/25/35/841832535.db2.gz DWGQIFXLGUEAQW-DGCLKSJQSA-N 1 2 324.318 1.411 20 30 DDEDLO COCC#CC[NH2+]C[C@@](C)(NC(=O)[C@@H](F)C(F)(F)F)C1CC1 ZINC001269618586 841832543 /nfs/dbraw/zinc/83/25/43/841832543.db2.gz DWGQIFXLGUEAQW-DGCLKSJQSA-N 1 2 324.318 1.411 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)CCCCN2C(=O)CCc1c[nH]c[nH+]1 ZINC001269660726 841910538 /nfs/dbraw/zinc/91/05/38/841910538.db2.gz CCDHXQPAHLIRAG-QGZVFWFLSA-N 1 2 316.405 1.512 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)CCCCN2C(=O)CCc1c[nH+]c[nH]1 ZINC001269660726 841910549 /nfs/dbraw/zinc/91/05/49/841910549.db2.gz CCDHXQPAHLIRAG-QGZVFWFLSA-N 1 2 316.405 1.512 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC3(C2)C[NH+](Cc2ccc[nH]2)C3)cc1 ZINC001269744186 841997743 /nfs/dbraw/zinc/99/77/43/841997743.db2.gz XFQDECNRDHMSQV-UHFFFAOYSA-N 1 2 306.369 1.844 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C[C@@H]2CCC(F)(F)C2)C1 ZINC001270659172 842787745 /nfs/dbraw/zinc/78/77/45/842787745.db2.gz VIGFRUWDLSQSDH-QWHCGFSZSA-N 1 2 302.365 1.815 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C[C@@H]2CCC(F)(F)C2)C1 ZINC001270659172 842787749 /nfs/dbraw/zinc/78/77/49/842787749.db2.gz VIGFRUWDLSQSDH-QWHCGFSZSA-N 1 2 302.365 1.815 20 30 DDEDLO CC#CC(=O)N1CC2(C1)CC[N@H+](Cc1nc3ccccc3[nH]1)C2 ZINC001270716630 842841914 /nfs/dbraw/zinc/84/19/14/842841914.db2.gz KTJNDJLJPRATAP-UHFFFAOYSA-N 1 2 308.385 1.621 20 30 DDEDLO CC#CC(=O)N1CC2(C1)CC[N@@H+](Cc1nc3ccccc3[nH]1)C2 ZINC001270716630 842841923 /nfs/dbraw/zinc/84/19/23/842841923.db2.gz KTJNDJLJPRATAP-UHFFFAOYSA-N 1 2 308.385 1.621 20 30 DDEDLO CC(C)[N@H+](C)Cc1n[nH]c2c1CCN(C(=O)C1(C#N)CC1)C2 ZINC001143174653 861400091 /nfs/dbraw/zinc/40/00/91/861400091.db2.gz VASYELFOGXNNFS-UHFFFAOYSA-N 1 2 301.394 1.438 20 30 DDEDLO CC(C)[N@@H+](C)Cc1n[nH]c2c1CCN(C(=O)C1(C#N)CC1)C2 ZINC001143174653 861400105 /nfs/dbraw/zinc/40/01/05/861400105.db2.gz VASYELFOGXNNFS-UHFFFAOYSA-N 1 2 301.394 1.438 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)[C@@H]4CC=CCC4)C3)C2)cc1C#N ZINC001271530795 843673257 /nfs/dbraw/zinc/67/32/57/843673257.db2.gz TTZCKHIZWDMNOG-MRXNPFEDSA-N 1 2 324.428 1.897 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1COCC[N@@H+]1CC[C@@H]1CCOC1 ZINC001326635296 861499086 /nfs/dbraw/zinc/49/90/86/861499086.db2.gz WBSBCEUVLNBNIO-CVEARBPZSA-N 1 2 322.449 1.280 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1COCC[N@H+]1CC[C@@H]1CCOC1 ZINC001326635296 861499098 /nfs/dbraw/zinc/49/90/98/861499098.db2.gz WBSBCEUVLNBNIO-CVEARBPZSA-N 1 2 322.449 1.280 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](O)C[NH2+][C@@H](C)c1nnc(CC)o1 ZINC001272495664 846213621 /nfs/dbraw/zinc/21/36/21/846213621.db2.gz GYLLVSXPJNLQIL-NWDGAFQWSA-N 1 2 324.425 1.362 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@]1(C)C[N@H+](CC#CC)CCO1 ZINC001107792079 847089490 /nfs/dbraw/zinc/08/94/90/847089490.db2.gz GFJJGIDTPTWIFI-NVXWUHKLSA-N 1 2 308.422 1.198 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@]1(C)C[N@@H+](CC#CC)CCO1 ZINC001107792079 847089493 /nfs/dbraw/zinc/08/94/93/847089493.db2.gz GFJJGIDTPTWIFI-NVXWUHKLSA-N 1 2 308.422 1.198 20 30 DDEDLO CCCCCCCNC(=S)N1CC[NH2+]C[C@H]1C(=O)OC ZINC001239442991 847391654 /nfs/dbraw/zinc/39/16/54/847391654.db2.gz CWHQWQDGCPJARM-LBPRGKRZSA-N 1 2 301.456 1.278 20 30 DDEDLO C#CCN1C[C@]2(CC[N@@H+](Cc3ccc(Cl)cn3)C2)OCC1=O ZINC001272847740 847545674 /nfs/dbraw/zinc/54/56/74/847545674.db2.gz QQSYDYAFTJNSKK-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@]2(CC[N@H+](Cc3ccc(Cl)cn3)C2)OCC1=O ZINC001272847740 847545680 /nfs/dbraw/zinc/54/56/80/847545680.db2.gz QQSYDYAFTJNSKK-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)C1(CC=C)CCOCC1 ZINC001272901203 847611990 /nfs/dbraw/zinc/61/19/90/847611990.db2.gz DSJZMCMOTNYLJX-MRXNPFEDSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)C1(CC=C)CCOCC1 ZINC001272901203 847611996 /nfs/dbraw/zinc/61/19/96/847611996.db2.gz DSJZMCMOTNYLJX-MRXNPFEDSA-N 1 2 322.449 1.752 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3nc(C)cs3)C2)OCC1=O ZINC001272989784 847751628 /nfs/dbraw/zinc/75/16/28/847751628.db2.gz AKLWWHAXVCWWHT-HNNXBMFYSA-N 1 2 307.419 1.441 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3nc(C)cs3)C2)OCC1=O ZINC001272989784 847751634 /nfs/dbraw/zinc/75/16/34/847751634.db2.gz AKLWWHAXVCWWHT-HNNXBMFYSA-N 1 2 307.419 1.441 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CCCC[N@H+](Cc3ccon3)C2)c[nH]1 ZINC001034171534 848065950 /nfs/dbraw/zinc/06/59/50/848065950.db2.gz SSGATXCOVZJRNC-ZDUSSCGKSA-N 1 2 313.361 1.659 20 30 DDEDLO N#Cc1cc(C(=O)N[C@H]2CCCC[N@@H+](Cc3ccon3)C2)c[nH]1 ZINC001034171534 848065952 /nfs/dbraw/zinc/06/59/52/848065952.db2.gz SSGATXCOVZJRNC-ZDUSSCGKSA-N 1 2 313.361 1.659 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001034255074 848197143 /nfs/dbraw/zinc/19/71/43/848197143.db2.gz VUXPHGQUZKESLF-LLVKDONJSA-N 1 2 308.813 1.843 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001034255074 848197147 /nfs/dbraw/zinc/19/71/47/848197147.db2.gz VUXPHGQUZKESLF-LLVKDONJSA-N 1 2 308.813 1.843 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2csnc2C)[C@@H](O)C1 ZINC001090203845 848235539 /nfs/dbraw/zinc/23/55/39/848235539.db2.gz KIAMKGQDBRHNMP-NEPJUHHUSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2csnc2C)[C@@H](O)C1 ZINC001090203845 848235550 /nfs/dbraw/zinc/23/55/50/848235550.db2.gz KIAMKGQDBRHNMP-NEPJUHHUSA-N 1 2 315.826 1.369 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCCC[N@@H+](Cc3cnnn3C)C2)C1 ZINC001034388273 848446645 /nfs/dbraw/zinc/44/66/45/848446645.db2.gz DATQOLNYAIDCOU-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCCC[N@H+](Cc3cnnn3C)C2)C1 ZINC001034388273 848446648 /nfs/dbraw/zinc/44/66/48/848446648.db2.gz DATQOLNYAIDCOU-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@@H+]2Cc2nccnc2N)C1=O ZINC001273279858 849327299 /nfs/dbraw/zinc/32/72/99/849327299.db2.gz QPBXDUXXJDSCHA-MRXNPFEDSA-N 1 2 301.394 1.202 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@H+]2Cc2nccnc2N)C1=O ZINC001273279858 849327301 /nfs/dbraw/zinc/32/73/01/849327301.db2.gz QPBXDUXXJDSCHA-MRXNPFEDSA-N 1 2 301.394 1.202 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@@H](C)OCC1CC1)CCO2 ZINC001327315361 862055902 /nfs/dbraw/zinc/05/59/02/862055902.db2.gz QMDBUGGEZJPMMH-CQSZACIVSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@@H](NC(=O)CCOC)[C@@H]1C ZINC001410931568 849984348 /nfs/dbraw/zinc/98/43/48/849984348.db2.gz XTOSCZTZMJKRNB-NWDGAFQWSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@@H](NC(=O)CCOC)[C@@H]1C ZINC001410931568 849984353 /nfs/dbraw/zinc/98/43/53/849984353.db2.gz XTOSCZTZMJKRNB-NWDGAFQWSA-N 1 2 319.243 1.901 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001086269306 850149049 /nfs/dbraw/zinc/14/90/49/850149049.db2.gz ALLHVMMJDZZGFI-KLPPZKSPSA-N 1 2 319.796 1.808 20 30 DDEDLO C=C(C)C(=O)NCCCNc1[nH+]cccc1CC(=O)OCC ZINC001156821135 863366523 /nfs/dbraw/zinc/36/65/23/863366523.db2.gz MQPXOAWUPYUWJH-UHFFFAOYSA-N 1 2 305.378 1.682 20 30 DDEDLO CC(C)C#CC(=O)N[C@H]1COC2(C[NH+](Cc3ccccc3)C2)C1 ZINC001327387422 862128182 /nfs/dbraw/zinc/12/81/82/862128182.db2.gz NRHHNNXPFJPXMW-QGZVFWFLSA-N 1 2 312.413 1.806 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)CC(C)(F)F)C1 ZINC001150258949 862158130 /nfs/dbraw/zinc/15/81/30/862158130.db2.gz JERYEHPMQINPTJ-LLVKDONJSA-N 1 2 310.772 1.991 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)CC(C)(F)F)C1 ZINC001150258949 862158132 /nfs/dbraw/zinc/15/81/32/862158132.db2.gz JERYEHPMQINPTJ-LLVKDONJSA-N 1 2 310.772 1.991 20 30 DDEDLO COc1cc(N2CCC[C@@]23CCN(CCCC#N)C3=O)cc[nH+]1 ZINC001273584778 851116529 /nfs/dbraw/zinc/11/65/29/851116529.db2.gz HAKOARXORKQSKY-KRWDZBQOSA-N 1 2 314.389 1.965 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1cncc(Cl)n1 ZINC001273836752 851405092 /nfs/dbraw/zinc/40/50/92/851405092.db2.gz WFXWQBABXVRPTH-CHWSQXEVSA-N 1 2 304.781 1.329 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cncc(Cl)n1 ZINC001273836752 851405095 /nfs/dbraw/zinc/40/50/95/851405095.db2.gz WFXWQBABXVRPTH-CHWSQXEVSA-N 1 2 304.781 1.329 20 30 DDEDLO C=CCCC(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001274179001 852008432 /nfs/dbraw/zinc/00/84/32/852008432.db2.gz FHDZBUIPPZMMHF-NWDGAFQWSA-N 1 2 307.398 1.038 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC/C=C/C[NH2+]Cc1cnn(CC)n1 ZINC001274251839 852097777 /nfs/dbraw/zinc/09/77/77/852097777.db2.gz AKNRJZWQIDBYCY-BQYQJAHWSA-N 1 2 305.426 1.662 20 30 DDEDLO N#Cc1cccnc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cn[nH]c1 ZINC001274591658 852425331 /nfs/dbraw/zinc/42/53/31/852425331.db2.gz VRLBPIHJCSVHQM-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO N#Cc1cccnc1C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cn[nH]c1 ZINC001274591658 852425336 /nfs/dbraw/zinc/42/53/36/852425336.db2.gz VRLBPIHJCSVHQM-GASCZTMLSA-N 1 2 322.372 1.165 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2nncn2C)[C@H](C)C1 ZINC001274632644 852468835 /nfs/dbraw/zinc/46/88/35/852468835.db2.gz ASZITXFIDXNVOB-KGLIPLIRSA-N 1 2 319.453 1.887 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2nncn2C)[C@H](C)C1 ZINC001274632644 852468840 /nfs/dbraw/zinc/46/88/40/852468840.db2.gz ASZITXFIDXNVOB-KGLIPLIRSA-N 1 2 319.453 1.887 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1cc(F)ccc1C#N ZINC001274774128 852593898 /nfs/dbraw/zinc/59/38/98/852593898.db2.gz FNJYPZJKMDSAFN-IAGOWNOFSA-N 1 2 311.360 1.896 20 30 DDEDLO C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cc(F)ccc1C#N ZINC001274774128 852593902 /nfs/dbraw/zinc/59/39/02/852593902.db2.gz FNJYPZJKMDSAFN-IAGOWNOFSA-N 1 2 311.360 1.896 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)C[C@H](C)C(F)(F)F ZINC001323292746 853074342 /nfs/dbraw/zinc/07/43/42/853074342.db2.gz HQIQQZPCEGCWGV-NWDGAFQWSA-N 1 2 306.328 1.415 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)C[C@H](C)C(F)(F)F ZINC001323292746 853074344 /nfs/dbraw/zinc/07/43/44/853074344.db2.gz HQIQQZPCEGCWGV-NWDGAFQWSA-N 1 2 306.328 1.415 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+](C)C[C@H](C)NC(=O)CSCC#N ZINC001275507251 853247653 /nfs/dbraw/zinc/24/76/53/853247653.db2.gz YGKLODVEWDGZQI-NWDGAFQWSA-N 1 2 307.423 1.231 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+](C)C[C@H](C)NC(=O)CSCC#N ZINC001275507251 853247660 /nfs/dbraw/zinc/24/76/60/853247660.db2.gz YGKLODVEWDGZQI-NWDGAFQWSA-N 1 2 307.423 1.231 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001275781345 853737531 /nfs/dbraw/zinc/73/75/31/853737531.db2.gz KKWVDEARCQLHBB-CYBMUJFWSA-N 1 2 319.380 1.836 20 30 DDEDLO C=CCOCC(=O)NC[C@@H](C)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001275781345 853737534 /nfs/dbraw/zinc/73/75/34/853737534.db2.gz KKWVDEARCQLHBB-CYBMUJFWSA-N 1 2 319.380 1.836 20 30 DDEDLO Cc1nc(NC[C@H]2CN(C(=O)CSCC#N)C[C@@H]2C)cc[nH+]1 ZINC001111243959 855216382 /nfs/dbraw/zinc/21/63/82/855216382.db2.gz RLOBWRJRHZTGGX-AAEUAGOBSA-N 1 2 319.434 1.548 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)C1C[NH+](Cc2cc(OC)no2)C1 ZINC001276369867 856290564 /nfs/dbraw/zinc/29/05/64/856290564.db2.gz SKURVBFUIYEZLW-ZDUSSCGKSA-N 1 2 319.405 1.813 20 30 DDEDLO C/C(=C\C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1)C1CC1 ZINC001046009355 856470777 /nfs/dbraw/zinc/47/07/77/856470777.db2.gz RDRVNGAOPLCAOV-WCRPCQDQSA-N 1 2 302.422 1.085 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)C#CC(C)(C)C)CC[N@@H+]1Cc1cnn(C)n1 ZINC001328262651 862836510 /nfs/dbraw/zinc/83/65/10/862836510.db2.gz YNWKATGDEKFFQG-UONOGXRCSA-N 1 2 317.437 1.334 20 30 DDEDLO C[C@H]1C[C@H](NC(=O)C#CC(C)(C)C)CC[N@H+]1Cc1cnn(C)n1 ZINC001328262651 862836522 /nfs/dbraw/zinc/83/65/22/862836522.db2.gz YNWKATGDEKFFQG-UONOGXRCSA-N 1 2 317.437 1.334 20 30 DDEDLO N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001049555874 856969644 /nfs/dbraw/zinc/96/96/44/856969644.db2.gz BHRDENKOEJFMJP-RBSFLKMASA-N 1 2 313.405 1.034 20 30 DDEDLO CC(C)N1C(=O)C[N@H+](C[C@@H](O)c2cccc(C#N)c2)CC1(C)C ZINC001413960698 857273554 /nfs/dbraw/zinc/27/35/54/857273554.db2.gz AGURCECCYIDPSG-MRXNPFEDSA-N 1 2 315.417 1.923 20 30 DDEDLO CC(C)N1C(=O)C[N@@H+](C[C@@H](O)c2cccc(C#N)c2)CC1(C)C ZINC001413960698 857273562 /nfs/dbraw/zinc/27/35/62/857273562.db2.gz AGURCECCYIDPSG-MRXNPFEDSA-N 1 2 315.417 1.923 20 30 DDEDLO CCc1nc(C[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)cs1 ZINC001072544338 857426097 /nfs/dbraw/zinc/42/60/97/857426097.db2.gz JOAJAMNRVARWJB-LBPRGKRZSA-N 1 2 318.446 1.899 20 30 DDEDLO CCc1nc(C[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)cs1 ZINC001072544338 857426099 /nfs/dbraw/zinc/42/60/99/857426099.db2.gz JOAJAMNRVARWJB-LBPRGKRZSA-N 1 2 318.446 1.899 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1C ZINC001072801273 857720205 /nfs/dbraw/zinc/72/02/05/857720205.db2.gz OZXTYBWLCIGFGZ-GXTWGEPZSA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001206641646 862962976 /nfs/dbraw/zinc/96/29/76/862962976.db2.gz XLPMUJHEAXVFAW-GIPNMCIBSA-N 1 2 323.828 1.478 20 30 DDEDLO Cc1nn(C)c(Cl)c1C[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001206641646 862962986 /nfs/dbraw/zinc/96/29/86/862962986.db2.gz XLPMUJHEAXVFAW-GIPNMCIBSA-N 1 2 323.828 1.478 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)C3CCCC3)n2CC)CC1 ZINC001122050156 858672231 /nfs/dbraw/zinc/67/22/31/858672231.db2.gz PZFNPIUBRWPDRP-OAHLLOKOSA-N 1 2 317.437 1.277 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)c2coc(C)c2)CC1 ZINC001381241826 881353811 /nfs/dbraw/zinc/35/38/11/881353811.db2.gz KLTLEIYORSQTDT-UHFFFAOYSA-N 1 2 312.797 1.897 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCC(CO)CC2)nnc1N1CCCC1 ZINC001122568213 858890553 /nfs/dbraw/zinc/89/05/53/858890553.db2.gz XTATVKKCPJIBFV-UHFFFAOYSA-N 1 2 319.453 1.659 20 30 DDEDLO C#CCCC[N@H+]1CCc2c([nH]nc2C(=O)N2CCCCO2)C1 ZINC001276980741 881447999 /nfs/dbraw/zinc/44/79/99/881447999.db2.gz GWAHXGFMCNOHGK-UHFFFAOYSA-N 1 2 302.378 1.349 20 30 DDEDLO C#CCCC[N@@H+]1CCc2c([nH]nc2C(=O)N2CCCCO2)C1 ZINC001276980741 881448007 /nfs/dbraw/zinc/44/80/07/881448007.db2.gz GWAHXGFMCNOHGK-UHFFFAOYSA-N 1 2 302.378 1.349 20 30 DDEDLO C=CCn1cc(C[N@@H+]2Cc3c(cnn3C)[C@@H](COCC)C2)cn1 ZINC001139768782 860476372 /nfs/dbraw/zinc/47/63/72/860476372.db2.gz UTASVUZMBXTWKB-OAHLLOKOSA-N 1 2 315.421 1.939 20 30 DDEDLO C=CCn1cc(C[N@H+]2Cc3c(cnn3C)[C@@H](COCC)C2)cn1 ZINC001139768782 860476375 /nfs/dbraw/zinc/47/63/75/860476375.db2.gz UTASVUZMBXTWKB-OAHLLOKOSA-N 1 2 315.421 1.939 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1nonc1C ZINC001325883700 860921745 /nfs/dbraw/zinc/92/17/45/860921745.db2.gz SIVRNNWMMJGFQA-CABCVRRESA-N 1 2 304.394 1.910 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1nonc1C ZINC001325883700 860921754 /nfs/dbraw/zinc/92/17/54/860921754.db2.gz SIVRNNWMMJGFQA-CABCVRRESA-N 1 2 304.394 1.910 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]2C[N@H+](Cc3cscn3)C[C@H]21 ZINC001325932423 860966597 /nfs/dbraw/zinc/96/65/97/860966597.db2.gz QHKUKKOTHQWTEY-DZGCQCFKSA-N 1 2 321.446 1.769 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@H]2C[N@@H+](Cc3cscn3)C[C@H]21 ZINC001325932423 860966604 /nfs/dbraw/zinc/96/66/04/860966604.db2.gz QHKUKKOTHQWTEY-DZGCQCFKSA-N 1 2 321.446 1.769 20 30 DDEDLO C=C(C)C(=O)NCCCNC(=O)C[N@@H+]1CCc2sccc2C1 ZINC001142223693 861073878 /nfs/dbraw/zinc/07/38/78/861073878.db2.gz FKHYRMWSJFDHLF-UHFFFAOYSA-N 1 2 321.446 1.305 20 30 DDEDLO C=C(C)C(=O)NCCCNC(=O)C[N@H+]1CCc2sccc2C1 ZINC001142223693 861073890 /nfs/dbraw/zinc/07/38/90/861073890.db2.gz FKHYRMWSJFDHLF-UHFFFAOYSA-N 1 2 321.446 1.305 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1nccn1C ZINC001328721573 863174784 /nfs/dbraw/zinc/17/47/84/863174784.db2.gz VSUCXFVJGBGSBO-RHSMWYFYSA-N 1 2 320.437 1.170 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1nccn1C ZINC001328721573 863174797 /nfs/dbraw/zinc/17/47/97/863174797.db2.gz VSUCXFVJGBGSBO-RHSMWYFYSA-N 1 2 320.437 1.170 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+][C@@H](C)c1nc(C(C)C)no1 ZINC001153091148 863704253 /nfs/dbraw/zinc/70/42/53/863704253.db2.gz GMHXMBSENRBGGK-LBPRGKRZSA-N 1 2 310.398 1.553 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)N1CCC[C@H](Cc2[nH+]ccn2C)C1 ZINC001329556485 863728127 /nfs/dbraw/zinc/72/81/27/863728127.db2.gz GQNLLJHHLRMNND-CQSZACIVSA-N 1 2 318.421 1.236 20 30 DDEDLO CC[N@H+](Cc1ncc(C)cn1)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153232866 863775054 /nfs/dbraw/zinc/77/50/54/863775054.db2.gz NKXLZNYUNQJNOT-CQSZACIVSA-N 1 2 300.406 1.525 20 30 DDEDLO CC[N@@H+](Cc1ncc(C)cn1)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153232866 863775058 /nfs/dbraw/zinc/77/50/58/863775058.db2.gz NKXLZNYUNQJNOT-CQSZACIVSA-N 1 2 300.406 1.525 20 30 DDEDLO C=CCC1(C(=O)N[C@H](C)C2C[NH+](CC(=O)NCC)C2)CCCC1 ZINC001330088303 864075411 /nfs/dbraw/zinc/07/54/11/864075411.db2.gz KYFHMSHMDLBBBM-CQSZACIVSA-N 1 2 321.465 1.696 20 30 DDEDLO COc1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cc(OC)c1OC ZINC001225304099 881770749 /nfs/dbraw/zinc/77/07/49/881770749.db2.gz BCZADRPUNIQXAP-SHFISYCGSA-N 1 2 321.373 1.704 20 30 DDEDLO COc1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cc(OC)c1OC ZINC001225304099 881770759 /nfs/dbraw/zinc/77/07/59/881770759.db2.gz BCZADRPUNIQXAP-SHFISYCGSA-N 1 2 321.373 1.704 20 30 DDEDLO CCCc1nc(C[NH2+][C@@H]2CCCC[C@H]2NC(=O)[C@H](C)C#N)no1 ZINC001225395300 881810058 /nfs/dbraw/zinc/81/00/58/881810058.db2.gz KZMPGXHSKPDEIP-JHJVBQTASA-N 1 2 319.409 1.699 20 30 DDEDLO Cc1cnc(N2CC([N@H+]3C[C@@H](C)O[C@@H](C)C3)C2)c(/C=N/O)c1 ZINC001158729398 864865165 /nfs/dbraw/zinc/86/51/65/864865165.db2.gz XQFOONPYMMTJRQ-SEWCCHPESA-N 1 2 304.394 1.496 20 30 DDEDLO Cc1cnc(N2CC([N@@H+]3C[C@@H](C)O[C@@H](C)C3)C2)c(/C=N/O)c1 ZINC001158729398 864865177 /nfs/dbraw/zinc/86/51/77/864865177.db2.gz XQFOONPYMMTJRQ-SEWCCHPESA-N 1 2 304.394 1.496 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@H+](Cc2ncccn2)CC1 ZINC001159576566 865408678 /nfs/dbraw/zinc/40/86/78/865408678.db2.gz UAXRPSPFYPWDTG-UHFFFAOYSA-N 1 2 316.405 1.318 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@@H+](Cc2ncccn2)CC1 ZINC001159576566 865408684 /nfs/dbraw/zinc/40/86/84/865408684.db2.gz UAXRPSPFYPWDTG-UHFFFAOYSA-N 1 2 316.405 1.318 20 30 DDEDLO COc1ccc([N+](=O)[O-])cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001225616866 881939647 /nfs/dbraw/zinc/93/96/47/881939647.db2.gz YKABYCWBYYURIM-RBRDRRMGSA-N 1 2 306.318 1.595 20 30 DDEDLO COc1ccc([N+](=O)[O-])cc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001225616866 881939660 /nfs/dbraw/zinc/93/96/60/881939660.db2.gz YKABYCWBYYURIM-RBRDRRMGSA-N 1 2 306.318 1.595 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H]1CC[N@@H+]1Cc1cnn(C)c1 ZINC001323199336 866425434 /nfs/dbraw/zinc/42/54/34/866425434.db2.gz PVQWTDUTVLQXAH-UKRRQHHQSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@H]1CC[N@H+]1Cc1cnn(C)c1 ZINC001323199336 866425439 /nfs/dbraw/zinc/42/54/39/866425439.db2.gz PVQWTDUTVLQXAH-UKRRQHHQSA-N 1 2 306.410 1.092 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[N@@H+]1Cc1ccc(C#N)s1 ZINC001323199837 866425493 /nfs/dbraw/zinc/42/54/93/866425493.db2.gz SEDYQKJLVZVBJE-GFCCVEGCSA-N 1 2 305.403 1.513 20 30 DDEDLO C=CCOCC(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C#N)s1 ZINC001323199837 866425495 /nfs/dbraw/zinc/42/54/95/866425495.db2.gz SEDYQKJLVZVBJE-GFCCVEGCSA-N 1 2 305.403 1.513 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CC[N@H+]1CCn1cc(Cl)cn1 ZINC001323214799 866437868 /nfs/dbraw/zinc/43/78/68/866437868.db2.gz PUGPSZRBKODHFL-HNNXBMFYSA-N 1 2 322.840 1.921 20 30 DDEDLO C#CCCCCC(=O)NC[C@@H]1CC[N@@H+]1CCn1cc(Cl)cn1 ZINC001323214799 866437873 /nfs/dbraw/zinc/43/78/73/866437873.db2.gz PUGPSZRBKODHFL-HNNXBMFYSA-N 1 2 322.840 1.921 20 30 DDEDLO C=CCO[C@H]1CC[N@@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC001320039139 866442117 /nfs/dbraw/zinc/44/21/17/866442117.db2.gz XXKIHDHPSXQUDG-HNNXBMFYSA-N 1 2 318.373 1.229 20 30 DDEDLO C=CCO[C@H]1CC[N@H+](CC(=O)NC(=O)c2ccc(OC)cc2)C1 ZINC001320039139 866442119 /nfs/dbraw/zinc/44/21/19/866442119.db2.gz XXKIHDHPSXQUDG-HNNXBMFYSA-N 1 2 318.373 1.229 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(Cl)cn1C ZINC001323260379 866480945 /nfs/dbraw/zinc/48/09/45/866480945.db2.gz WTQCCXXFXWQXBR-CYBMUJFWSA-N 1 2 309.797 1.132 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(Cl)cn1C ZINC001323260379 866480953 /nfs/dbraw/zinc/48/09/53/866480953.db2.gz WTQCCXXFXWQXBR-CYBMUJFWSA-N 1 2 309.797 1.132 20 30 DDEDLO O=C(CCn1cc[nH+]c1)NC[C@@H]1CCN1CC#Cc1ccccc1 ZINC001323279064 866496779 /nfs/dbraw/zinc/49/67/79/866496779.db2.gz RWQQQVLEGPXDSH-SFHVURJKSA-N 1 2 322.412 1.515 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1([NH2+][C@@H](C)c2nc(C)no2)CC1 ZINC001323435681 866608365 /nfs/dbraw/zinc/60/83/65/866608365.db2.gz NZXGGCIDIAZXTB-IINYFYTJSA-N 1 2 308.382 1.005 20 30 DDEDLO C#CCNC(=O)c1cccc(-c2noc([C@@H](C)n3cc[nH+]c3)n2)c1 ZINC001320489909 866775804 /nfs/dbraw/zinc/77/58/04/866775804.db2.gz TUGNGOFZQFZZHU-GFCCVEGCSA-N 1 2 321.340 1.905 20 30 DDEDLO C#CCN(CC1CC1)C(=O)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC001320588709 866860721 /nfs/dbraw/zinc/86/07/21/866860721.db2.gz DPHILKRDAUBQNX-UHFFFAOYSA-N 1 2 310.357 1.453 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC1CC(CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001333773602 867001509 /nfs/dbraw/zinc/00/15/09/867001509.db2.gz WEIKFINBPFSUKX-FVKWTLKZSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CC[C@@H]2CC[C@H](C)O2)C1 ZINC001324031323 867020883 /nfs/dbraw/zinc/02/08/83/867020883.db2.gz ZTGNVVDXEJJGHF-HOCLYGCPSA-N 1 2 310.438 1.679 20 30 DDEDLO CC[C@@H](CC(C)C)C(=O)N1CC[NH+]([C@@H]2CCN(CC#N)C2)CC1 ZINC001324559091 867352088 /nfs/dbraw/zinc/35/20/88/867352088.db2.gz AHWNBYHNHAJDTE-DLBZAZTESA-N 1 2 320.481 1.801 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@@H](Oc2[nH]c(=O)ncc2F)C1 ZINC001225885835 882091816 /nfs/dbraw/zinc/09/18/16/882091816.db2.gz OXYIQZLDCWUQMC-CYBMUJFWSA-N 1 2 314.320 1.846 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@@H](Oc2[nH]c(=O)ncc2F)C1 ZINC001225885835 882091833 /nfs/dbraw/zinc/09/18/33/882091833.db2.gz OXYIQZLDCWUQMC-CYBMUJFWSA-N 1 2 314.320 1.846 20 30 DDEDLO C#Cc1ccc(C(=O)NC/C=C/C[NH2+]Cc2coc(C)n2)cn1 ZINC001321374856 867540574 /nfs/dbraw/zinc/54/05/74/867540574.db2.gz XQVPUJAIFCDMMY-SNAWJCMRSA-N 1 2 310.357 1.435 20 30 DDEDLO C=CCn1cnn(C[N@H+]2CC[C@H](COCCOC)C2)c1=S ZINC001322143196 868001699 /nfs/dbraw/zinc/00/16/99/868001699.db2.gz ZOKIWDGHPWKHOR-ZDUSSCGKSA-N 1 2 312.439 1.542 20 30 DDEDLO C=CCn1cnn(C[N@@H+]2CC[C@H](COCCOC)C2)c1=S ZINC001322143196 868001715 /nfs/dbraw/zinc/00/17/15/868001715.db2.gz ZOKIWDGHPWKHOR-ZDUSSCGKSA-N 1 2 312.439 1.542 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccnc1 ZINC001335107632 868070762 /nfs/dbraw/zinc/07/07/62/868070762.db2.gz RVUHOXOCFAKTGI-IRXDYDNUSA-N 1 2 317.433 1.879 20 30 DDEDLO C=C(C)C[C@@H](CO)NC(=O)[C@@H]1CCCC[N@H+]1Cc1cccnc1 ZINC001335107632 868070777 /nfs/dbraw/zinc/07/07/77/868070777.db2.gz RVUHOXOCFAKTGI-IRXDYDNUSA-N 1 2 317.433 1.879 20 30 DDEDLO C=C[C@H](C)[C@H](C)C(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001164223446 869035635 /nfs/dbraw/zinc/03/56/35/869035635.db2.gz SNSAOUYJDFFFKL-STQMWFEESA-N 1 2 322.457 1.482 20 30 DDEDLO N#C[C@@H]1C[NH2+]CCN1c1nc(-c2cccnc2)nc2c1CCC2 ZINC001164661979 869346362 /nfs/dbraw/zinc/34/63/62/869346362.db2.gz RIEXHFQZXKEJLD-CYBMUJFWSA-N 1 2 306.373 1.329 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCOC[C@H]1Nc1cc[nH+]c(C)n1 ZINC001337458760 869498726 /nfs/dbraw/zinc/49/87/26/869498726.db2.gz RQJMGADRHLOWSO-LSDHHAIUSA-N 1 2 316.405 1.664 20 30 DDEDLO Cc1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)nc(-c2ccccn2)n1 ZINC001226272684 882322753 /nfs/dbraw/zinc/32/27/53/882322753.db2.gz QXCKVQOJVHVNMF-BSTOKRDTSA-N 1 2 324.384 1.838 20 30 DDEDLO Cc1cc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)nc(-c2ccccn2)n1 ZINC001226272684 882322768 /nfs/dbraw/zinc/32/27/68/882322768.db2.gz QXCKVQOJVHVNMF-BSTOKRDTSA-N 1 2 324.384 1.838 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H](C)N(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001338109211 869808918 /nfs/dbraw/zinc/80/89/18/869808918.db2.gz SZNAWSUHONMHMO-DZGCQCFKSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@H](C)N(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001338109211 869808926 /nfs/dbraw/zinc/80/89/26/869808926.db2.gz SZNAWSUHONMHMO-DZGCQCFKSA-N 1 2 318.421 1.804 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccc4cc[nH]c4c3)n2C)CC1 ZINC001338187728 869858969 /nfs/dbraw/zinc/85/89/69/869858969.db2.gz ZDFJTHIGNSWGLU-UHFFFAOYSA-N 1 2 320.400 1.719 20 30 DDEDLO C=CCCC(=O)NCCN1CC[NH+](Cc2cnc(C)s2)CC1 ZINC001316961987 869999160 /nfs/dbraw/zinc/99/91/60/869999160.db2.gz HEWSIAVRJSVIIR-UHFFFAOYSA-N 1 2 322.478 1.652 20 30 DDEDLO Cc1nc(-n2cncn2)cc(N(C)Cc2ccc(C#N)cc2)[nH+]1 ZINC001166540421 870049655 /nfs/dbraw/zinc/04/96/55/870049655.db2.gz WJQZUZDKUSQKBT-UHFFFAOYSA-N 1 2 305.345 1.874 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](CC)NC(=O)Cc1c[nH]c[nH+]1 ZINC001297471379 870063246 /nfs/dbraw/zinc/06/32/46/870063246.db2.gz PWQMQBNXZPXYRX-ZDUSSCGKSA-N 1 2 304.394 1.157 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@H](CNC(=O)C#CC(C)(C)C)C2CC2)no1 ZINC001317011526 870117787 /nfs/dbraw/zinc/11/77/87/870117787.db2.gz BLOSTLOFQMTRPQ-SMDDNHRTSA-N 1 2 318.421 1.973 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCCC[NH2+]Cc1noc(C2CC2)n1 ZINC001166992479 870455013 /nfs/dbraw/zinc/45/50/13/870455013.db2.gz ATXHWEVMPHOFMC-OLZOCXBDSA-N 1 2 320.393 1.134 20 30 DDEDLO C=CCNC(=O)C[NH+]1CC([C@H](C)NC(=O)C2CC3(CCC3)C2)C1 ZINC001276414412 870677653 /nfs/dbraw/zinc/67/76/53/870677653.db2.gz QBAUTYMHCQGHPG-ZDUSSCGKSA-N 1 2 319.449 1.305 20 30 DDEDLO C#CCSCCNC(=O)N1CCC(c2c[nH+]cn2C)CC1 ZINC001339940628 870796111 /nfs/dbraw/zinc/79/61/11/870796111.db2.gz XPEMPEMNTMIBGM-UHFFFAOYSA-N 1 2 306.435 1.676 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CCC[N@@H+]1Cc1nc(C)c(C)o1 ZINC001317505362 870943195 /nfs/dbraw/zinc/94/31/95/870943195.db2.gz OOGGSQYRMOMIFO-GJZGRUSLSA-N 1 2 319.405 1.410 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1nc(C)c(C)o1 ZINC001317505362 870943198 /nfs/dbraw/zinc/94/31/98/870943198.db2.gz OOGGSQYRMOMIFO-GJZGRUSLSA-N 1 2 319.405 1.410 20 30 DDEDLO C=CCn1c([C@H]2C[N@@H+]3CCCC[C@@H]3CO2)nnc1N1CCCC1 ZINC001340195775 870951156 /nfs/dbraw/zinc/95/11/56/870951156.db2.gz OTRAIXHWSMMYCM-HUUCEWRRSA-N 1 2 317.437 1.990 20 30 DDEDLO C=CCn1c([C@H]2C[N@H+]3CCCC[C@@H]3CO2)nnc1N1CCCC1 ZINC001340195775 870951164 /nfs/dbraw/zinc/95/11/64/870951164.db2.gz OTRAIXHWSMMYCM-HUUCEWRRSA-N 1 2 317.437 1.990 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1N(C)C[C@@H]1CCOC1 ZINC001340422332 871098272 /nfs/dbraw/zinc/09/82/72/871098272.db2.gz ROQNXYMGXXZRJE-HNNXBMFYSA-N 1 2 319.453 1.923 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@@H]2CNC(=O)C#CC2CC2)s1 ZINC001317498854 871681720 /nfs/dbraw/zinc/68/17/20/871681720.db2.gz KSEBSGUJQFGROV-CQSZACIVSA-N 1 2 303.431 1.946 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@@H]2CNC(=O)C#CC2CC2)s1 ZINC001317498854 871681731 /nfs/dbraw/zinc/68/17/31/871681731.db2.gz KSEBSGUJQFGROV-CQSZACIVSA-N 1 2 303.431 1.946 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@@H+]2C)nnc1N(C)CCC(=O)NC ZINC001341903684 871889313 /nfs/dbraw/zinc/88/93/13/871889313.db2.gz MIKWPKCTRFKXIJ-ZDUSSCGKSA-N 1 2 320.441 1.193 20 30 DDEDLO C=C(C)Cn1c([C@@H]2CCC[N@H+]2C)nnc1N(C)CCC(=O)NC ZINC001341903684 871889337 /nfs/dbraw/zinc/88/93/37/871889337.db2.gz MIKWPKCTRFKXIJ-ZDUSSCGKSA-N 1 2 320.441 1.193 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)CC2CCCCCC2)C1 ZINC001318357926 871954799 /nfs/dbraw/zinc/95/47/99/871954799.db2.gz KJTVFBOENNKYBD-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)CC2CCCCCC2)C1 ZINC001318357926 871954810 /nfs/dbraw/zinc/95/48/10/871954810.db2.gz KJTVFBOENNKYBD-MRXNPFEDSA-N 1 2 319.449 1.287 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC[C@H](CC)C1 ZINC001342062563 871977341 /nfs/dbraw/zinc/97/73/41/871977341.db2.gz XHEFCVGYOQPDLO-KKUMJFAQSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC[C@H](CC)C1 ZINC001342062563 871977349 /nfs/dbraw/zinc/97/73/49/871977349.db2.gz XHEFCVGYOQPDLO-KKUMJFAQSA-N 1 2 319.453 1.828 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@H+](Cc2ncc(C)s2)C1 ZINC001318402258 872002230 /nfs/dbraw/zinc/00/22/30/872002230.db2.gz KVBYWAVSTNZLRY-AWEZNQCLSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCOCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001318402258 872002249 /nfs/dbraw/zinc/00/22/49/872002249.db2.gz KVBYWAVSTNZLRY-AWEZNQCLSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCN(CC)c1nnc([C@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC(=C)C ZINC001342520740 872245335 /nfs/dbraw/zinc/24/53/35/872245335.db2.gz BFYGMLHILFCCOY-PBHICJAKSA-N 1 2 317.437 1.225 20 30 DDEDLO C#CCN(CC)c1nnc([C@]2(C)C[C@@H](O)C[N@H+]2C)n1CC(=C)C ZINC001342520740 872245350 /nfs/dbraw/zinc/24/53/50/872245350.db2.gz BFYGMLHILFCCOY-PBHICJAKSA-N 1 2 317.437 1.225 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NCC#C[C@@H]2CCCCO2)C1 ZINC001342590734 872283246 /nfs/dbraw/zinc/28/32/46/872283246.db2.gz UUJJCYUPLKTBTF-CABCVRRESA-N 1 2 316.405 1.492 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-c1nnccc1C#N ZINC001206483538 872371451 /nfs/dbraw/zinc/37/14/51/872371451.db2.gz UTLHPDJRRSGLAL-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-c1cncnc1C#N ZINC001206509451 872392636 /nfs/dbraw/zinc/39/26/36/872392636.db2.gz HTNAOOWCQOSLKB-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+](Cc2csc(CC)n2)C[C@H]1C ZINC001206586339 872452724 /nfs/dbraw/zinc/45/27/24/872452724.db2.gz WQCHIVWVPBLIGD-TZMCWYRMSA-N 1 2 323.462 1.845 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+](Cc2csc(CC)n2)C[C@H]1C ZINC001206586339 872452733 /nfs/dbraw/zinc/45/27/33/872452733.db2.gz WQCHIVWVPBLIGD-TZMCWYRMSA-N 1 2 323.462 1.845 20 30 DDEDLO C=C1CCN(c2nnc(Cc3[nH+]ccn3C)n2CCOC)CC1 ZINC001343141839 872515321 /nfs/dbraw/zinc/51/53/21/872515321.db2.gz KFNCDAFPYGPAMC-UHFFFAOYSA-N 1 2 316.409 1.405 20 30 DDEDLO CCCCOCC[N@@H+]1CCO[C@@H](CNC(=O)C#CC(C)C)C1 ZINC001319310275 872561984 /nfs/dbraw/zinc/56/19/84/872561984.db2.gz PBUNMKGXOAIYQV-INIZCTEOSA-N 1 2 310.438 1.280 20 30 DDEDLO CCCCOCC[N@H+]1CCO[C@@H](CNC(=O)C#CC(C)C)C1 ZINC001319310275 872561991 /nfs/dbraw/zinc/56/19/91/872561991.db2.gz PBUNMKGXOAIYQV-INIZCTEOSA-N 1 2 310.438 1.280 20 30 DDEDLO C=C(C)Cn1c(N(CC)CC)nnc1[C@]1(C)C[C@H](O)C[N@@H+]1C ZINC001343287878 872565912 /nfs/dbraw/zinc/56/59/12/872565912.db2.gz MJUMYKKCDWFOAH-BBRMVZONSA-N 1 2 307.442 1.612 20 30 DDEDLO C=C(C)Cn1c(N(CC)CC)nnc1[C@]1(C)C[C@H](O)C[N@H+]1C ZINC001343287878 872565921 /nfs/dbraw/zinc/56/59/21/872565921.db2.gz MJUMYKKCDWFOAH-BBRMVZONSA-N 1 2 307.442 1.612 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@H]1CN(Cc2c[nH+]cn2C)CCO1 ZINC001319319274 872568374 /nfs/dbraw/zinc/56/83/74/872568374.db2.gz PZVPNDUCCSOTAD-ZBFHGGJFSA-N 1 2 320.437 1.339 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001381788188 882669682 /nfs/dbraw/zinc/66/96/82/882669682.db2.gz OYFGALRBKSWXJY-NEPJUHHUSA-N 1 2 313.829 1.092 20 30 DDEDLO C=CCN(C)c1nnc([C@]2(C)C[C@H](O)C[N@@H+]2C)n1CC(C)C ZINC001344500867 873023467 /nfs/dbraw/zinc/02/34/67/873023467.db2.gz ROBKDVBAFQGOJW-BBRMVZONSA-N 1 2 307.442 1.468 20 30 DDEDLO C=CCN(C)c1nnc([C@]2(C)C[C@H](O)C[N@H+]2C)n1CC(C)C ZINC001344500867 873023485 /nfs/dbraw/zinc/02/34/85/873023485.db2.gz ROBKDVBAFQGOJW-BBRMVZONSA-N 1 2 307.442 1.468 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](CC(C)(C)N2CCOCC2)CC1 ZINC001207305442 873180953 /nfs/dbraw/zinc/18/09/53/873180953.db2.gz LAAWJMGHFWXSIN-UHFFFAOYSA-N 1 2 311.426 1.037 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cc2[nH]cc[nH+]2)[C@H]1C ZINC001345575039 873443673 /nfs/dbraw/zinc/44/36/73/873443673.db2.gz SASKGRRHWFWFBN-OLZOCXBDSA-N 1 2 304.394 1.414 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208294788 873969483 /nfs/dbraw/zinc/96/94/83/873969483.db2.gz QNERBESHNQNUQG-CHWSQXEVSA-N 1 2 316.467 1.070 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208294788 873969499 /nfs/dbraw/zinc/96/94/99/873969499.db2.gz QNERBESHNQNUQG-CHWSQXEVSA-N 1 2 316.467 1.070 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H](C)Oc2ccccn2)C1 ZINC001378131924 874210830 /nfs/dbraw/zinc/21/08/30/874210830.db2.gz ZXJJCTOZWYRZOE-CHWSQXEVSA-N 1 2 309.797 1.792 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)Oc2ccccn2)C1 ZINC001378131924 874210844 /nfs/dbraw/zinc/21/08/44/874210844.db2.gz ZXJJCTOZWYRZOE-CHWSQXEVSA-N 1 2 309.797 1.792 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)CN1CCCCCC1=O ZINC001378214168 874444081 /nfs/dbraw/zinc/44/40/81/874444081.db2.gz NIXUKDOLALCKLH-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)CN1CCCCCC1=O ZINC001378214168 874444090 /nfs/dbraw/zinc/44/40/90/874444090.db2.gz NIXUKDOLALCKLH-ZDUSSCGKSA-N 1 2 315.845 1.578 20 30 DDEDLO C=CCN(CC[NH+]1CCN(c2cccnn2)CC1)C(=O)OCC ZINC001209022737 874609161 /nfs/dbraw/zinc/60/91/61/874609161.db2.gz SEDIEVVPDDLQBN-UHFFFAOYSA-N 1 2 319.409 1.243 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CCc2nc(N(C)C)sc2C1 ZINC001349085140 874964599 /nfs/dbraw/zinc/96/45/99/874964599.db2.gz OMKPZVGBPLQWRV-ZDUSSCGKSA-N 1 2 318.446 1.191 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCc2nc(N(C)C)sc2C1 ZINC001349085140 874964603 /nfs/dbraw/zinc/96/46/03/874964603.db2.gz OMKPZVGBPLQWRV-ZDUSSCGKSA-N 1 2 318.446 1.191 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001209784134 875124348 /nfs/dbraw/zinc/12/43/48/875124348.db2.gz NBDLMPNMNKENQI-CPUCHLNUSA-N 1 2 322.453 1.279 20 30 DDEDLO CCC[C@](C)(CC)C(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001209784134 875124353 /nfs/dbraw/zinc/12/43/53/875124353.db2.gz NBDLMPNMNKENQI-CPUCHLNUSA-N 1 2 322.453 1.279 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)oc1C ZINC001378649669 875323410 /nfs/dbraw/zinc/32/34/10/875323410.db2.gz WMDSLWBMKKBZLV-GWCFXTLKSA-N 1 2 304.394 1.778 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)oc1C ZINC001378649669 875323428 /nfs/dbraw/zinc/32/34/28/875323428.db2.gz WMDSLWBMKKBZLV-GWCFXTLKSA-N 1 2 304.394 1.778 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1CC[NH+](Cc2cscn2)CC1 ZINC001227307497 882962635 /nfs/dbraw/zinc/96/26/35/882962635.db2.gz CGLGYUSVUAQQGN-GFCCVEGCSA-N 1 2 307.419 1.262 20 30 DDEDLO C=CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(CC)no2)C1 ZINC001215436425 876711376 /nfs/dbraw/zinc/71/13/76/876711376.db2.gz QNMYPZNNTGOQNJ-CHWSQXEVSA-N 1 2 306.410 1.925 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(C)c2F)C1 ZINC001353464318 877351408 /nfs/dbraw/zinc/35/14/08/877351408.db2.gz HUQLXUYUDZBYIX-CYBMUJFWSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(C)c2F)C1 ZINC001353464318 877351424 /nfs/dbraw/zinc/35/14/24/877351424.db2.gz HUQLXUYUDZBYIX-CYBMUJFWSA-N 1 2 317.364 1.286 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](CNC(=O)Cn2cc[nH+]c2)C1 ZINC001353879010 877628814 /nfs/dbraw/zinc/62/88/14/877628814.db2.gz JZZGMOOFTDLCAS-AWEZNQCLSA-N 1 2 318.421 1.450 20 30 DDEDLO Cc1nc(C[N@@H+](C)C[C@@H](C)NC(=O)c2c[nH]c(C#N)c2)c(C)o1 ZINC001379662370 877683925 /nfs/dbraw/zinc/68/39/25/877683925.db2.gz VNBAEJZMPDHNSM-SNVBAGLBSA-N 1 2 315.377 1.742 20 30 DDEDLO Cc1nc(C[N@H+](C)C[C@@H](C)NC(=O)c2c[nH]c(C#N)c2)c(C)o1 ZINC001379662370 877683926 /nfs/dbraw/zinc/68/39/26/877683926.db2.gz VNBAEJZMPDHNSM-SNVBAGLBSA-N 1 2 315.377 1.742 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219209133 878016958 /nfs/dbraw/zinc/01/69/58/878016958.db2.gz XLLHJAVLFJMGLX-HONMWMINSA-N 1 2 307.419 1.327 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001219209133 878016978 /nfs/dbraw/zinc/01/69/78/878016978.db2.gz XLLHJAVLFJMGLX-HONMWMINSA-N 1 2 307.419 1.327 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001354650274 878130841 /nfs/dbraw/zinc/13/08/41/878130841.db2.gz KMFOENZSRQUAIR-MGPQQGTHSA-N 1 2 316.405 1.328 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001354650274 878130847 /nfs/dbraw/zinc/13/08/47/878130847.db2.gz KMFOENZSRQUAIR-MGPQQGTHSA-N 1 2 316.405 1.328 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cc(F)ccc2OC)C[C@@H]1O ZINC001219346868 878141086 /nfs/dbraw/zinc/14/10/86/878141086.db2.gz YSZPFEBIHQHRIO-CABCVRRESA-N 1 2 322.380 1.462 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cc(F)ccc2OC)C[C@@H]1O ZINC001219346868 878141094 /nfs/dbraw/zinc/14/10/94/878141094.db2.gz YSZPFEBIHQHRIO-CABCVRRESA-N 1 2 322.380 1.462 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(CC)n2CC(F)(F)F)CC1 ZINC001300700920 878176249 /nfs/dbraw/zinc/17/62/49/878176249.db2.gz BGVFGEYLAYJNDF-UHFFFAOYSA-N 1 2 301.316 1.158 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219434960 878213815 /nfs/dbraw/zinc/21/38/15/878213815.db2.gz RPZSCLXBMWENAK-FVDPKXSDSA-N 1 2 317.364 1.325 20 30 DDEDLO C/C=C(/C)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)c(C#N)c2)C[C@@H]1O ZINC001219434960 878213835 /nfs/dbraw/zinc/21/38/35/878213835.db2.gz RPZSCLXBMWENAK-FVDPKXSDSA-N 1 2 317.364 1.325 20 30 DDEDLO C=CCCC(=O)NCCC[C@H]1CCCN1C(=O)Cn1cc[nH+]c1 ZINC001355356596 878519685 /nfs/dbraw/zinc/51/96/85/878519685.db2.gz APEKQYYOKWHURW-HNNXBMFYSA-N 1 2 318.421 1.737 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C(=O)Cc1cnn(C)c1)C(C)C ZINC001380003275 878528843 /nfs/dbraw/zinc/52/88/43/878528843.db2.gz YUDQULKPEUMLNV-UHFFFAOYSA-N 1 2 312.845 1.884 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C(=O)Cc1cnn(C)c1)C(C)C ZINC001380003275 878528854 /nfs/dbraw/zinc/52/88/54/878528854.db2.gz YUDQULKPEUMLNV-UHFFFAOYSA-N 1 2 312.845 1.884 20 30 DDEDLO C=CCO[C@H]1CCN(c2nnc(C[NH+]3CCCCC3)n2C)C1 ZINC001355661373 878666110 /nfs/dbraw/zinc/66/61/10/878666110.db2.gz BTVNTODRQWGZFH-AWEZNQCLSA-N 1 2 305.426 1.582 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220140802 878729088 /nfs/dbraw/zinc/72/90/88/878729088.db2.gz ABMXIUBGMQSPFG-OLZOCXBDSA-N 1 2 307.394 1.165 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2oc(C)nc2C)C[C@@H]1O ZINC001220140802 878729103 /nfs/dbraw/zinc/72/91/03/878729103.db2.gz ABMXIUBGMQSPFG-OLZOCXBDSA-N 1 2 307.394 1.165 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1C[C@@H](C)C[C@H]1C ZINC001355920771 878778747 /nfs/dbraw/zinc/77/87/47/878778747.db2.gz XRHDTAIUTYSOFF-CRWXNKLISA-N 1 2 305.426 1.436 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1C[C@@H](C)C[C@H]1C ZINC001355920771 878778764 /nfs/dbraw/zinc/77/87/64/878778764.db2.gz XRHDTAIUTYSOFF-CRWXNKLISA-N 1 2 305.426 1.436 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)C[C@@H](C)NC(=O)Cc1c[nH+]cn1C ZINC001287697395 912402877 /nfs/dbraw/zinc/40/28/77/912402877.db2.gz VFYIJQHMCGEYOA-ZIAGYGMSSA-N 1 2 320.437 1.528 20 30 DDEDLO C[C@H](CC(=O)N1CC(NC(=O)C#CC(C)(C)C)C1)n1cc[nH+]c1 ZINC001356759050 879305286 /nfs/dbraw/zinc/30/52/86/879305286.db2.gz LTMOUWDSJMHGAS-CYBMUJFWSA-N 1 2 316.405 1.211 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CC(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001356836945 879454222 /nfs/dbraw/zinc/45/42/22/879454222.db2.gz IUCQIAUXYXFFAF-UHFFFAOYSA-N 1 2 316.405 1.295 20 30 DDEDLO CC[C@@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001356887926 879545922 /nfs/dbraw/zinc/54/59/22/879545922.db2.gz WZATWUSLUVZSHV-ZFWWWQNUSA-N 1 2 316.405 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)c3coc(C)c3)[C@@H]2C1 ZINC001221501931 879888818 /nfs/dbraw/zinc/88/88/18/879888818.db2.gz RARLEYRGKUGHIJ-UKRRQHHQSA-N 1 2 317.389 1.037 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)c3coc(C)c3)[C@@H]2C1 ZINC001221501931 879888838 /nfs/dbraw/zinc/88/88/38/879888838.db2.gz RARLEYRGKUGHIJ-UKRRQHHQSA-N 1 2 317.389 1.037 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3C)C[C@H]21 ZINC001221758903 880060266 /nfs/dbraw/zinc/06/02/66/880060266.db2.gz IYUDXJDDMWPOKA-IIAWOOMASA-N 1 2 317.437 1.450 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3C)C[C@H]21 ZINC001221758903 880060282 /nfs/dbraw/zinc/06/02/82/880060282.db2.gz IYUDXJDDMWPOKA-IIAWOOMASA-N 1 2 317.437 1.450 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)CC[C@H](C)CC)[C@@H]2C1 ZINC001221889908 880136422 /nfs/dbraw/zinc/13/64/22/880136422.db2.gz UZGVKQYZENNXGY-BZUAXINKSA-N 1 2 319.449 1.095 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)CC[C@H](C)CC)[C@@H]2C1 ZINC001221889908 880136429 /nfs/dbraw/zinc/13/64/29/880136429.db2.gz UZGVKQYZENNXGY-BZUAXINKSA-N 1 2 319.449 1.095 20 30 DDEDLO C[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)NC(=O)CC#Cc1ccccc1 ZINC001358516933 880475484 /nfs/dbraw/zinc/47/54/84/880475484.db2.gz MPLPKYQVWJKLEO-AWEZNQCLSA-N 1 2 324.384 1.015 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1(CNC(=O)Cc2c[nH+]cn2C)CC1 ZINC001358678389 880746417 /nfs/dbraw/zinc/74/64/17/880746417.db2.gz OXJGYCBVXVFTGT-CHWSQXEVSA-N 1 2 318.421 1.186 20 30 DDEDLO C=CCCC(=O)N1CC(N(C)C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC001358737112 880833561 /nfs/dbraw/zinc/83/35/61/880833561.db2.gz XWFSMCWAOHAZRU-ZDUSSCGKSA-N 1 2 316.405 1.081 20 30 DDEDLO Cc1nnc(C[NH+]2CCC(CNC(=O)C#CC(C)C)CC2)[nH]1 ZINC001223114476 880836086 /nfs/dbraw/zinc/83/60/86/880836086.db2.gz LYCUKFRVRRNQOJ-UHFFFAOYSA-N 1 2 303.410 1.101 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccsc1COC ZINC001276876084 880889279 /nfs/dbraw/zinc/88/92/79/880889279.db2.gz RNMSBARYABNVTP-ZDUSSCGKSA-N 1 2 322.430 1.348 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccsc1COC ZINC001276876084 880889300 /nfs/dbraw/zinc/88/93/00/880889300.db2.gz RNMSBARYABNVTP-ZDUSSCGKSA-N 1 2 322.430 1.348 20 30 DDEDLO C=C(C)CCC(=O)NCC1CC[NH+]([C@@H](C)c2nnnn2C)CC1 ZINC001223352547 880926677 /nfs/dbraw/zinc/92/66/77/880926677.db2.gz GATBBNVIGDPUAZ-ZDUSSCGKSA-N 1 2 320.441 1.456 20 30 DDEDLO CCc1ccc([C@@H](O)C[NH+]2CCN(C(=O)[C@@H](C)C#N)CC2)cc1 ZINC001381078210 880972575 /nfs/dbraw/zinc/97/25/75/880972575.db2.gz FWDMIFNZPCGOOP-YOEHRIQHSA-N 1 2 315.417 1.586 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@H+]1[C@H](C)C(=O)N(C)C(C)C ZINC001276897986 880997321 /nfs/dbraw/zinc/99/73/21/880997321.db2.gz BDVCBQJJJNQUNN-ZIAGYGMSSA-N 1 2 309.454 1.644 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1[C@H](C)C(=O)N(C)C(C)C ZINC001276897986 880997327 /nfs/dbraw/zinc/99/73/27/880997327.db2.gz BDVCBQJJJNQUNN-ZIAGYGMSSA-N 1 2 309.454 1.644 20 30 DDEDLO CCc1nc(C[NH2+]C[C@H](C2CC2)N(C)C(=O)[C@H](C)C#N)no1 ZINC001382008120 883164455 /nfs/dbraw/zinc/16/44/55/883164455.db2.gz HLLVGOSRYXWSGA-ZYHUDNBSSA-N 1 2 305.382 1.118 20 30 DDEDLO Cn1cnc2nc(Cl)nc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@H+]4C)c21 ZINC001229028823 883781911 /nfs/dbraw/zinc/78/19/11/883781911.db2.gz CWYGFJZFOJBATC-ZTEPNEHBSA-N 1 2 321.768 1.008 20 30 DDEDLO Cn1cnc2nc(Cl)nc(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@@H+]4C)c21 ZINC001229028823 883781925 /nfs/dbraw/zinc/78/19/25/883781925.db2.gz CWYGFJZFOJBATC-ZTEPNEHBSA-N 1 2 321.768 1.008 20 30 DDEDLO CCc1cc(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)N2CC(CC#N)C2)on1 ZINC001277382946 883956460 /nfs/dbraw/zinc/95/64/60/883956460.db2.gz GKKQEFXMOYVWTO-DYVFJYSZSA-N 1 2 314.389 1.573 20 30 DDEDLO CCc1cc(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)N2CC(CC#N)C2)on1 ZINC001277382946 883956473 /nfs/dbraw/zinc/95/64/73/883956473.db2.gz GKKQEFXMOYVWTO-DYVFJYSZSA-N 1 2 314.389 1.573 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC1CC[NH+](Cc2ncccn2)CC1 ZINC001230407404 884475714 /nfs/dbraw/zinc/47/57/14/884475714.db2.gz PJIVMQIJLIOPGB-ZDUSSCGKSA-N 1 2 304.394 1.148 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)/C(C)=C/CC)C1=O ZINC001231001982 885124723 /nfs/dbraw/zinc/12/47/23/885124723.db2.gz AXBBJFMXELDHBV-JEYQBLLFSA-N 1 2 319.449 1.662 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)/C(C)=C/CC)C1=O ZINC001231001982 885124727 /nfs/dbraw/zinc/12/47/27/885124727.db2.gz AXBBJFMXELDHBV-JEYQBLLFSA-N 1 2 319.449 1.662 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N1CCC[C@H](C)C1 ZINC001231123406 885262692 /nfs/dbraw/zinc/26/26/92/885262692.db2.gz TUHNIXXHQLKXGO-HOTGVXAUSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N1CCC[C@H](C)C1 ZINC001231123406 885262706 /nfs/dbraw/zinc/26/27/06/885262706.db2.gz TUHNIXXHQLKXGO-HOTGVXAUSA-N 1 2 321.465 1.744 20 30 DDEDLO CCc1noc(C)c1C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231246116 885424673 /nfs/dbraw/zinc/42/46/73/885424673.db2.gz CWIHESGJXBNBLK-AAEUAGOBSA-N 1 2 304.394 1.738 20 30 DDEDLO CCc1noc(C)c1C[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231246116 885424680 /nfs/dbraw/zinc/42/46/80/885424680.db2.gz CWIHESGJXBNBLK-AAEUAGOBSA-N 1 2 304.394 1.738 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC001231247557 885429119 /nfs/dbraw/zinc/42/91/19/885429119.db2.gz OWTXIERUZPTAKQ-DOMZBBRYSA-N 1 2 314.364 1.890 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC001231247557 885429125 /nfs/dbraw/zinc/42/91/25/885429125.db2.gz OWTXIERUZPTAKQ-DOMZBBRYSA-N 1 2 314.364 1.890 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)[C@H](C)C#N)cn1 ZINC001231247985 885432693 /nfs/dbraw/zinc/43/26/93/885432693.db2.gz SJOSHTQSGXCPDE-TZMCWYRMSA-N 1 2 302.378 1.283 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@@H]2CN(C)C(=O)[C@H](C)C#N)cn1 ZINC001231247985 885432697 /nfs/dbraw/zinc/43/26/97/885432697.db2.gz SJOSHTQSGXCPDE-TZMCWYRMSA-N 1 2 302.378 1.283 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc4c(c3)OC(F)(F)O4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001231349516 885531348 /nfs/dbraw/zinc/53/13/48/885531348.db2.gz OKLQOSKZWGFYHT-OTDFPYDRSA-N 1 2 311.284 1.999 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc4c(c3)OC(F)(F)O4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001231349516 885531355 /nfs/dbraw/zinc/53/13/55/885531355.db2.gz OKLQOSKZWGFYHT-OTDFPYDRSA-N 1 2 311.284 1.999 20 30 DDEDLO CN(C[C@H]1CC[N@@H+]1Cc1ccccc1C#N)C(=O)Cc1cc[nH]n1 ZINC001231376199 885571148 /nfs/dbraw/zinc/57/11/48/885571148.db2.gz BWHLMFGIFJVETD-QGZVFWFLSA-N 1 2 323.400 1.557 20 30 DDEDLO CN(C[C@H]1CC[N@H+]1Cc1ccccc1C#N)C(=O)Cc1cc[nH]n1 ZINC001231376199 885571154 /nfs/dbraw/zinc/57/11/54/885571154.db2.gz BWHLMFGIFJVETD-QGZVFWFLSA-N 1 2 323.400 1.557 20 30 DDEDLO Cc1nccnc1C[N@@H+]1CC[C@H]2C[C@]21C(=O)N1CC(CC#N)C1 ZINC001277558880 885841539 /nfs/dbraw/zinc/84/15/39/885841539.db2.gz FGWKSGSBNBHFBC-WMLDXEAASA-N 1 2 311.389 1.122 20 30 DDEDLO Cc1nccnc1C[N@H+]1CC[C@H]2C[C@]21C(=O)N1CC(CC#N)C1 ZINC001277558880 885841544 /nfs/dbraw/zinc/84/15/44/885841544.db2.gz FGWKSGSBNBHFBC-WMLDXEAASA-N 1 2 311.389 1.122 20 30 DDEDLO C#CCOCCC(=O)N(C)C1C[NH+](Cc2ccc(F)cc2C)C1 ZINC001277594198 885984621 /nfs/dbraw/zinc/98/46/21/885984621.db2.gz VHEYRENYZUAQON-UHFFFAOYSA-N 1 2 318.392 1.817 20 30 DDEDLO Cc1cc(CN2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)on1 ZINC001277605892 886059970 /nfs/dbraw/zinc/05/99/70/886059970.db2.gz SUWCGXCFXYKNNR-UHFFFAOYSA-N 1 2 311.389 1.511 20 30 DDEDLO C=CCOCC[NH+]1CC(N(C)C(=O)CCc2c(C)noc2C)C1 ZINC001277691550 886500885 /nfs/dbraw/zinc/50/08/85/886500885.db2.gz JLPFKJVJFGXKRP-UHFFFAOYSA-N 1 2 321.421 1.569 20 30 DDEDLO CN(C)C(=O)[C@@H]1CC12CC[NH+](Cc1cc(C#N)ccc1O)CC2 ZINC001232676825 886532472 /nfs/dbraw/zinc/53/24/72/886532472.db2.gz SQQVXFBDFOWJCM-HNNXBMFYSA-N 1 2 313.401 1.954 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H](C)OC[C@@H]2CCCCO2)C1 ZINC001277706814 886555276 /nfs/dbraw/zinc/55/52/76/886555276.db2.gz JGHAJSLGHVBERR-HOCLYGCPSA-N 1 2 310.438 1.679 20 30 DDEDLO CCn1ccnc1C[N@H+]1C[C@H]2C[C@@H](C1)N2c1ncc(C#N)cn1 ZINC001277736736 886681564 /nfs/dbraw/zinc/68/15/64/886681564.db2.gz QIFQCBSPPNXYKP-OKILXGFUSA-N 1 2 309.377 1.028 20 30 DDEDLO CCn1ccnc1C[N@@H+]1C[C@H]2C[C@@H](C1)N2c1ncc(C#N)cn1 ZINC001277736736 886681572 /nfs/dbraw/zinc/68/15/72/886681572.db2.gz QIFQCBSPPNXYKP-OKILXGFUSA-N 1 2 309.377 1.028 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)cn1 ZINC001233800526 887334915 /nfs/dbraw/zinc/33/49/15/887334915.db2.gz IFBIRHDQKTWXAI-AWEZNQCLSA-N 1 2 305.353 1.017 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(F)cn1 ZINC001233800526 887334929 /nfs/dbraw/zinc/33/49/29/887334929.db2.gz IFBIRHDQKTWXAI-AWEZNQCLSA-N 1 2 305.353 1.017 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C1=CCCCCC1 ZINC001233857137 887395690 /nfs/dbraw/zinc/39/56/90/887395690.db2.gz OPLHKNCQAOSKPW-MRXNPFEDSA-N 1 2 317.433 1.159 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1=CCCCCC1 ZINC001233857137 887395700 /nfs/dbraw/zinc/39/57/00/887395700.db2.gz OPLHKNCQAOSKPW-MRXNPFEDSA-N 1 2 317.433 1.159 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)ccn1C ZINC001233865485 887402720 /nfs/dbraw/zinc/40/27/20/887402720.db2.gz JLGXBMNQEMYUGB-OAHLLOKOSA-N 1 2 303.406 1.130 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(C)ccn1C ZINC001233865485 887402727 /nfs/dbraw/zinc/40/27/27/887402727.db2.gz JLGXBMNQEMYUGB-OAHLLOKOSA-N 1 2 303.406 1.130 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[C@@H]1C[NH2+]Cc1cnsn1 ZINC001277814186 887405815 /nfs/dbraw/zinc/40/58/15/887405815.db2.gz NIWBVBMEUBPBOH-GXTWGEPZSA-N 1 2 324.450 1.600 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1c(C)c(C)n[nH]c1=O ZINC001374523557 913146998 /nfs/dbraw/zinc/14/69/98/913146998.db2.gz HTHSTSNDQZSWIS-UHFFFAOYSA-N 1 2 312.801 1.555 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1c(C)c(C)n[nH]c1=O ZINC001374523557 913147011 /nfs/dbraw/zinc/14/70/11/913147011.db2.gz HTHSTSNDQZSWIS-UHFFFAOYSA-N 1 2 312.801 1.555 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)ncn1 ZINC001233978296 887517168 /nfs/dbraw/zinc/51/71/68/887517168.db2.gz SVRLHFDIRTZVJD-RDJZCZTQSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)ncn1 ZINC001233978296 887517184 /nfs/dbraw/zinc/51/71/84/887517184.db2.gz SVRLHFDIRTZVJD-RDJZCZTQSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cncs1 ZINC001233979353 887521302 /nfs/dbraw/zinc/52/13/02/887521302.db2.gz ZUYSLNCLIVACSV-IUODEOHRSA-N 1 2 309.435 1.503 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cncs1 ZINC001233979353 887521319 /nfs/dbraw/zinc/52/13/19/887521319.db2.gz ZUYSLNCLIVACSV-IUODEOHRSA-N 1 2 309.435 1.503 20 30 DDEDLO CCOC(=O)c1cnn2cc(C[NH2+]CC3(C#N)CCC3)cnc12 ZINC001363915675 887533504 /nfs/dbraw/zinc/53/35/04/887533504.db2.gz JOBKHDZHQIEWGT-UHFFFAOYSA-N 1 2 313.361 1.689 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cc(F)cc(C#N)c1 ZINC001363952968 887602823 /nfs/dbraw/zinc/60/28/23/887602823.db2.gz LQELIXKJICTGIT-SNVBAGLBSA-N 1 2 308.338 1.261 20 30 DDEDLO Cc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)(C)C)ncn1 ZINC001234214907 887752465 /nfs/dbraw/zinc/75/24/65/887752465.db2.gz GAJQWNQZKIODGG-INIZCTEOSA-N 1 2 314.433 1.867 20 30 DDEDLO Cc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)C#CC(C)(C)C)ncn1 ZINC001234214907 887752480 /nfs/dbraw/zinc/75/24/80/887752480.db2.gz GAJQWNQZKIODGG-INIZCTEOSA-N 1 2 314.433 1.867 20 30 DDEDLO CCCC[C@@H](C(N)=O)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214744 887754083 /nfs/dbraw/zinc/75/40/83/887754083.db2.gz DSVPWXCUXRDSSG-CABCVRRESA-N 1 2 321.465 1.613 20 30 DDEDLO CCCC[C@@H](C(N)=O)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214744 887754098 /nfs/dbraw/zinc/75/40/98/887754098.db2.gz DSVPWXCUXRDSSG-CABCVRRESA-N 1 2 321.465 1.613 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)s1 ZINC001234215828 887757159 /nfs/dbraw/zinc/75/71/59/887757159.db2.gz PPHAIEOXIJZHSH-GXTWGEPZSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)s1 ZINC001234215828 887757168 /nfs/dbraw/zinc/75/71/68/887757168.db2.gz PPHAIEOXIJZHSH-GXTWGEPZSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001234216097 887757586 /nfs/dbraw/zinc/75/75/86/887757586.db2.gz SKZARRAPWUWNKL-KGLIPLIRSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001234216097 887757597 /nfs/dbraw/zinc/75/75/97/887757597.db2.gz SKZARRAPWUWNKL-KGLIPLIRSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001234255670 887799914 /nfs/dbraw/zinc/79/99/14/887799914.db2.gz PFCXAMADWKHDKZ-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001234255670 887799921 /nfs/dbraw/zinc/79/99/21/887799921.db2.gz PFCXAMADWKHDKZ-AWEZNQCLSA-N 1 2 305.378 1.056 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC(=O)N(C)C ZINC001234510230 888038484 /nfs/dbraw/zinc/03/84/84/888038484.db2.gz VJYDDRHFYCBGML-HNNXBMFYSA-N 1 2 307.438 1.376 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@@H]1CC[N@@H+]1CC(=O)N(C)C ZINC001234510230 888038494 /nfs/dbraw/zinc/03/84/94/888038494.db2.gz VJYDDRHFYCBGML-HNNXBMFYSA-N 1 2 307.438 1.376 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234563775 888101869 /nfs/dbraw/zinc/10/18/69/888101869.db2.gz XQXDGDBWHLLBPO-CABCVRRESA-N 1 2 321.465 1.339 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)C(C)C ZINC001234563775 888101876 /nfs/dbraw/zinc/10/18/76/888101876.db2.gz XQXDGDBWHLLBPO-CABCVRRESA-N 1 2 321.465 1.339 20 30 DDEDLO N#Cc1cc(F)c(C[NH+]2CCC3(CC2)COCC(=O)N3)c(F)c1 ZINC001235650988 888843427 /nfs/dbraw/zinc/84/34/27/888843427.db2.gz JBQUBWPLAQVLSG-UHFFFAOYSA-N 1 2 321.327 1.317 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2Cl)C1 ZINC001238084848 890019293 /nfs/dbraw/zinc/01/92/93/890019293.db2.gz PDWLUURCSQQYOC-CQSZACIVSA-N 1 2 321.808 1.939 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2Cl)C1 ZINC001238084848 890019307 /nfs/dbraw/zinc/01/93/07/890019307.db2.gz PDWLUURCSQQYOC-CQSZACIVSA-N 1 2 321.808 1.939 20 30 DDEDLO Cc1ccnc(C[NH+]2CCC(N(C)C(=O)[C@@H](C)C#N)CC2)n1 ZINC001365918187 891913355 /nfs/dbraw/zinc/91/33/55/891913355.db2.gz JLVVHZRABNXASZ-LBPRGKRZSA-N 1 2 301.394 1.368 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@]1(C)CC[N@H+](Cc2nc(C)cs2)C1 ZINC001278389112 891920409 /nfs/dbraw/zinc/92/04/09/891920409.db2.gz PVDWXRWTVDBHTB-XJKSGUPXSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@]1(C)CC[N@@H+](Cc2nc(C)cs2)C1 ZINC001278389112 891920426 /nfs/dbraw/zinc/92/04/26/891920426.db2.gz PVDWXRWTVDBHTB-XJKSGUPXSA-N 1 2 321.446 1.570 20 30 DDEDLO C[C@H](c1nc(C2CC2)no1)[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C#N ZINC001366229316 892797776 /nfs/dbraw/zinc/79/77/76/892797776.db2.gz KEDOGJHRSMXNKH-WXDOIIRRSA-N 1 2 315.377 1.214 20 30 DDEDLO C[C@H](c1nc(C2CC2)no1)[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C#N ZINC001366229316 892797784 /nfs/dbraw/zinc/79/77/84/892797784.db2.gz KEDOGJHRSMXNKH-WXDOIIRRSA-N 1 2 315.377 1.214 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)[C@H](C)N(C)CC(F)(F)F ZINC001366565226 894062034 /nfs/dbraw/zinc/06/20/34/894062034.db2.gz RWGMGEUTLXBRSV-JTQLQIEISA-N 1 2 315.767 1.670 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)[C@H](C)N(C)CC(F)(F)F ZINC001366565226 894062051 /nfs/dbraw/zinc/06/20/51/894062051.db2.gz RWGMGEUTLXBRSV-JTQLQIEISA-N 1 2 315.767 1.670 20 30 DDEDLO C[C@]1(CNC(=O)[C@H]2CCc3c[nH+]cn3C2)CCCN(CC#N)C1 ZINC001278668196 895190063 /nfs/dbraw/zinc/19/00/63/895190063.db2.gz LRWOUYFJKFIMRD-WMLDXEAASA-N 1 2 315.421 1.187 20 30 DDEDLO C=C[C@](C)(O)C[N@@H+]1CCCn2c(CN(C)C(C)C)nnc2C1 ZINC001252552674 895271718 /nfs/dbraw/zinc/27/17/18/895271718.db2.gz YIPIUCWIRKXBHU-INIZCTEOSA-N 1 2 307.442 1.261 20 30 DDEDLO C=C[C@](C)(O)C[N@H+]1CCCn2c(CN(C)C(C)C)nnc2C1 ZINC001252552674 895271725 /nfs/dbraw/zinc/27/17/25/895271725.db2.gz YIPIUCWIRKXBHU-INIZCTEOSA-N 1 2 307.442 1.261 20 30 DDEDLO COC[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001367008518 895557952 /nfs/dbraw/zinc/55/79/52/895557952.db2.gz QRUVOPRNCGJNCA-WFASDCNBSA-N 1 2 319.380 1.670 20 30 DDEDLO COC[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001367008518 895557959 /nfs/dbraw/zinc/55/79/59/895557959.db2.gz QRUVOPRNCGJNCA-WFASDCNBSA-N 1 2 319.380 1.670 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)CCc2ncccn2)C1 ZINC001367054591 895687748 /nfs/dbraw/zinc/68/77/48/895687748.db2.gz QWYUPBOWLHXHKM-ZDUSSCGKSA-N 1 2 308.813 1.694 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)CCc2ncccn2)C1 ZINC001367054591 895687752 /nfs/dbraw/zinc/68/77/52/895687752.db2.gz QWYUPBOWLHXHKM-ZDUSSCGKSA-N 1 2 308.813 1.694 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@H+]1C[C@H](O)CCCCC ZINC001253527267 895884492 /nfs/dbraw/zinc/88/44/92/895884492.db2.gz JHSBRLJVPKUJDQ-ZBFHGGJFSA-N 1 2 322.453 1.858 20 30 DDEDLO C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1C[C@H](O)CCCCC ZINC001253527267 895884500 /nfs/dbraw/zinc/88/45/00/895884500.db2.gz JHSBRLJVPKUJDQ-ZBFHGGJFSA-N 1 2 322.453 1.858 20 30 DDEDLO CCOC[C@@H]1C[N@@H+]([C@@H]2CC[C@H](C#N)C2)Cc2nnn(CC)c21 ZINC001254665072 896564374 /nfs/dbraw/zinc/56/43/74/896564374.db2.gz YKJJMBRSBISYSJ-MELADBBJSA-N 1 2 303.410 1.926 20 30 DDEDLO CCOC[C@@H]1C[N@H+]([C@@H]2CC[C@H](C#N)C2)Cc2nnn(CC)c21 ZINC001254665072 896564388 /nfs/dbraw/zinc/56/43/88/896564388.db2.gz YKJJMBRSBISYSJ-MELADBBJSA-N 1 2 303.410 1.926 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1C[N@@H+]([C@H]2CC[C@H](C#N)C2)CCO1 ZINC001254668660 896566680 /nfs/dbraw/zinc/56/66/80/896566680.db2.gz HPBPPPOTZDDEJT-IHRRRGAJSA-N 1 2 309.410 1.904 20 30 DDEDLO CC(C)(C)OC(=O)NC[C@H]1C[N@H+]([C@H]2CC[C@H](C#N)C2)CCO1 ZINC001254668660 896566689 /nfs/dbraw/zinc/56/66/89/896566689.db2.gz HPBPPPOTZDDEJT-IHRRRGAJSA-N 1 2 309.410 1.904 20 30 DDEDLO CC[C@@H](CC#N)[N@@H+]1C[C@@H](NC(=O)OC(C)(C)C)C2(COC2)C1 ZINC001255177523 896771577 /nfs/dbraw/zinc/77/15/77/896771577.db2.gz UBTMLHSBTBGFTQ-QWHCGFSZSA-N 1 2 309.410 1.904 20 30 DDEDLO CC[C@@H](CC#N)[N@H+]1C[C@@H](NC(=O)OC(C)(C)C)C2(COC2)C1 ZINC001255177523 896771584 /nfs/dbraw/zinc/77/15/84/896771584.db2.gz UBTMLHSBTBGFTQ-QWHCGFSZSA-N 1 2 309.410 1.904 20 30 DDEDLO C=CCNC(=O)C[N@@H+](C)CCN(C(=O)[C@H]1[C@@H]2CCC[C@@H]21)C(C)C ZINC001278899374 897170053 /nfs/dbraw/zinc/17/00/53/897170053.db2.gz JYGIPAJQIDDOTB-QLPKVWCKSA-N 1 2 321.465 1.504 20 30 DDEDLO C=CCNC(=O)C[N@H+](C)CCN(C(=O)[C@H]1[C@@H]2CCC[C@@H]21)C(C)C ZINC001278899374 897170059 /nfs/dbraw/zinc/17/00/59/897170059.db2.gz JYGIPAJQIDDOTB-QLPKVWCKSA-N 1 2 321.465 1.504 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)c2ccc3ccncc3c2)C1 ZINC001278919426 897324169 /nfs/dbraw/zinc/32/41/69/897324169.db2.gz SIXOXDRYAHDYKW-UHFFFAOYSA-N 1 2 309.369 1.035 20 30 DDEDLO C=CCS(=O)(=O)NC1CC[NH+](CC(=O)C(C)(C)C)CC1 ZINC001259936177 898954127 /nfs/dbraw/zinc/95/41/27/898954127.db2.gz ZYPPPBIEFFXLQZ-UHFFFAOYSA-N 1 2 302.440 1.171 20 30 DDEDLO Cc1c(C(=O)NCC[N@H+](C)Cc2ccccc2C#N)cnn1C ZINC001390735008 900051013 /nfs/dbraw/zinc/05/10/13/900051013.db2.gz TYNLKIDJTDSFBE-UHFFFAOYSA-N 1 2 311.389 1.462 20 30 DDEDLO Cc1c(C(=O)NCC[N@@H+](C)Cc2ccccc2C#N)cnn1C ZINC001390735008 900051015 /nfs/dbraw/zinc/05/10/15/900051015.db2.gz TYNLKIDJTDSFBE-UHFFFAOYSA-N 1 2 311.389 1.462 20 30 DDEDLO Cn1ccc(C(=O)NCC[N@H+](C)Cc2cc(C#N)ccc2F)c1 ZINC001390753458 900103394 /nfs/dbraw/zinc/10/33/94/900103394.db2.gz OTPZMKJNTVFDMW-UHFFFAOYSA-N 1 2 314.364 1.898 20 30 DDEDLO Cn1ccc(C(=O)NCC[N@@H+](C)Cc2cc(C#N)ccc2F)c1 ZINC001390753458 900103403 /nfs/dbraw/zinc/10/34/03/900103403.db2.gz OTPZMKJNTVFDMW-UHFFFAOYSA-N 1 2 314.364 1.898 20 30 DDEDLO C#CCN(C)c1nnc(C[NH+]2CCCC2)n1C[C@H]1CCCO1 ZINC001263431523 900607363 /nfs/dbraw/zinc/60/73/63/900607363.db2.gz IQQJWZHFWJQEMG-CQSZACIVSA-N 1 2 303.410 1.122 20 30 DDEDLO C=CCCCC(=O)NCCCNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001293413693 914461837 /nfs/dbraw/zinc/46/18/37/914461837.db2.gz IEOGUQZPDXNKSY-AWEZNQCLSA-N 1 2 318.421 1.424 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(C)C)on1 ZINC001264366931 901043290 /nfs/dbraw/zinc/04/32/90/901043290.db2.gz OAQIJMDFSMUVDV-AWEZNQCLSA-N 1 2 319.405 1.594 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(C(C)C)on1 ZINC001264366931 901043301 /nfs/dbraw/zinc/04/33/01/901043301.db2.gz OAQIJMDFSMUVDV-AWEZNQCLSA-N 1 2 319.405 1.594 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](CC)c1c(C)noc1C ZINC001264374888 901059211 /nfs/dbraw/zinc/05/92/11/901059211.db2.gz PWDSIJAHXMOJEC-CABCVRRESA-N 1 2 303.406 1.951 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](CC)c1c(C)noc1C ZINC001264374888 901059223 /nfs/dbraw/zinc/05/92/23/901059223.db2.gz PWDSIJAHXMOJEC-CABCVRRESA-N 1 2 303.406 1.951 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cn(C)nn2)[C@@H]1CC ZINC001264616253 901215401 /nfs/dbraw/zinc/21/54/01/901215401.db2.gz UWHQUIVZWBXENV-JKSUJKDBSA-N 1 2 317.437 1.478 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cn(C)nn2)[C@@H]1CC ZINC001264616253 901215411 /nfs/dbraw/zinc/21/54/11/901215411.db2.gz UWHQUIVZWBXENV-JKSUJKDBSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@H+](Cc2nc(C)oc2C)[C@@H]1C ZINC001264701078 901301002 /nfs/dbraw/zinc/30/10/02/901301002.db2.gz GIYALXVOZIXFCZ-ZLDLUXBVSA-N 1 2 319.405 1.409 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2nc(C)oc2C)[C@@H]1C ZINC001264701078 901301015 /nfs/dbraw/zinc/30/10/15/901301015.db2.gz GIYALXVOZIXFCZ-ZLDLUXBVSA-N 1 2 319.405 1.409 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[N@H+](C)Cc1ncc(Cl)s1 ZINC001264971297 901361891 /nfs/dbraw/zinc/36/18/91/901361891.db2.gz OVHNGSLBWKUYNC-SECBINFHSA-N 1 2 300.815 1.894 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[N@@H+](C)Cc1ncc(Cl)s1 ZINC001264971297 901361901 /nfs/dbraw/zinc/36/19/01/901361901.db2.gz OVHNGSLBWKUYNC-SECBINFHSA-N 1 2 300.815 1.894 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(Cc2ccnn2C)CC1 ZINC001265260121 901784029 /nfs/dbraw/zinc/78/40/29/901784029.db2.gz WZABFAPCSZLRRA-UHFFFAOYSA-N 1 2 319.453 1.010 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)C2[C@H]3CCCCCC[C@H]23)CC1 ZINC001265264240 901787066 /nfs/dbraw/zinc/78/70/66/901787066.db2.gz JJEIMPVCEHVITC-HOTGVXAUSA-N 1 2 318.465 1.460 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2ccnc(OC)n2)C1 ZINC001265297637 901846693 /nfs/dbraw/zinc/84/66/93/901846693.db2.gz UYKVDOOYYIXGFO-CYBMUJFWSA-N 1 2 304.394 1.388 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccnc(OC)n2)C1 ZINC001265297637 901846702 /nfs/dbraw/zinc/84/67/02/901846702.db2.gz UYKVDOOYYIXGFO-CYBMUJFWSA-N 1 2 304.394 1.388 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001265312798 901870619 /nfs/dbraw/zinc/87/06/19/901870619.db2.gz VRDMQHIVOUVNLB-CABCVRRESA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001265312798 901870625 /nfs/dbraw/zinc/87/06/25/901870625.db2.gz VRDMQHIVOUVNLB-CABCVRRESA-N 1 2 323.481 1.942 20 30 DDEDLO CN(CC#N)C[C@H]1CCCN(C(=O)CCc2[nH+]ccn2C)C1 ZINC001265393322 901963127 /nfs/dbraw/zinc/96/31/27/901963127.db2.gz WQGOYJYTRJQORA-CQSZACIVSA-N 1 2 303.410 1.047 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1CCC[N@H+](Cc2cnon2)C1 ZINC001265581677 902155889 /nfs/dbraw/zinc/15/58/89/902155889.db2.gz DDWOGJBFQCVPQO-CYBMUJFWSA-N 1 2 304.394 1.447 20 30 DDEDLO CC(C)(C)C#CC(=O)NC[C@H]1CCC[N@@H+](Cc2cnon2)C1 ZINC001265581677 902155899 /nfs/dbraw/zinc/15/58/99/902155899.db2.gz DDWOGJBFQCVPQO-CYBMUJFWSA-N 1 2 304.394 1.447 20 30 DDEDLO CCc1nnc(C[NH2+]C[C@H]2CCCCN2C(=O)C#CC(C)C)o1 ZINC001265670631 902283390 /nfs/dbraw/zinc/28/33/90/902283390.db2.gz RIOPBCBBTUOWHX-CQSZACIVSA-N 1 2 318.421 1.762 20 30 DDEDLO C=C(Cl)C[N@@H+](CCCNC(=O)Cc1n[nH]c(C)n1)C1CC1 ZINC001266135420 903000557 /nfs/dbraw/zinc/00/05/57/903000557.db2.gz JSLAHXXQDJTURF-UHFFFAOYSA-N 1 2 311.817 1.379 20 30 DDEDLO C=C(Cl)C[N@H+](CCCNC(=O)Cc1n[nH]c(C)n1)C1CC1 ZINC001266135420 903000570 /nfs/dbraw/zinc/00/05/70/903000570.db2.gz JSLAHXXQDJTURF-UHFFFAOYSA-N 1 2 311.817 1.379 20 30 DDEDLO C=CC(C)(C)CC(=O)NC1CC(CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001280015016 903489089 /nfs/dbraw/zinc/48/90/89/903489089.db2.gz CTCJHGQSBNQZCW-UHFFFAOYSA-N 1 2 318.421 1.566 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)[C@@H](C)CNC(=O)Cc1c[nH+]cn1C ZINC001280511801 903748895 /nfs/dbraw/zinc/74/88/95/903748895.db2.gz HZWIVEMOJHDEEA-LBPRGKRZSA-N 1 2 306.410 1.138 20 30 DDEDLO C=CCCC[NH+]1CC2(C1)CN(C(=O)c1cc(OC)no1)CCO2 ZINC001280898716 904164231 /nfs/dbraw/zinc/16/42/31/904164231.db2.gz HPSCEFSFDPPVHB-UHFFFAOYSA-N 1 2 321.377 1.176 20 30 DDEDLO C[C@@H](CNC(=O)c1c[nH]c(C#N)c1)[NH2+]Cc1nc(C2CC2)no1 ZINC001371158808 904376351 /nfs/dbraw/zinc/37/63/51/904376351.db2.gz AAPQMENSBGOLPC-VIFPVBQESA-N 1 2 314.349 1.055 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@](CO)([NH2+]Cc2nocc2C)C1 ZINC001281147701 904475371 /nfs/dbraw/zinc/47/53/71/904475371.db2.gz SQHRDGVLTNLHRP-QGZVFWFLSA-N 1 2 321.421 1.638 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1cc(OC)n(C)n1 ZINC001392678054 904855668 /nfs/dbraw/zinc/85/56/68/904855668.db2.gz QZJUHEVWNKERRF-SNVBAGLBSA-N 1 2 300.790 1.231 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1cc(OC)n(C)n1 ZINC001392678054 904855685 /nfs/dbraw/zinc/85/56/85/904855685.db2.gz QZJUHEVWNKERRF-SNVBAGLBSA-N 1 2 300.790 1.231 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@@H](C)N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001281495735 904938445 /nfs/dbraw/zinc/93/84/45/904938445.db2.gz XEGOGEOJKSBYRG-CQSZACIVSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC1(CNC(=O)Cn2cc[nH+]c2)CC1 ZINC001281538618 904991360 /nfs/dbraw/zinc/99/13/60/904991360.db2.gz FHIWBXJEOSUEFP-UHFFFAOYSA-N 1 2 318.421 1.498 20 30 DDEDLO CC#CCCCC(=O)N1CCC2(CC1)C[N@H+](CCF)CCO2 ZINC001281783123 905218212 /nfs/dbraw/zinc/21/82/12/905218212.db2.gz ZXXDSBCFYFQHMN-UHFFFAOYSA-N 1 2 310.413 1.843 20 30 DDEDLO CC#CCCCC(=O)N1CCC2(CC1)C[N@@H+](CCF)CCO2 ZINC001281783123 905218228 /nfs/dbraw/zinc/21/82/28/905218228.db2.gz ZXXDSBCFYFQHMN-UHFFFAOYSA-N 1 2 310.413 1.843 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H](C)C1C[NH+](CC(=O)N[C@@H](C)CC)C1 ZINC001282734734 905995884 /nfs/dbraw/zinc/99/58/84/905995884.db2.gz RAHJFBSFJCZRES-STQMWFEESA-N 1 2 309.454 1.550 20 30 DDEDLO Cc1ccnc(C[NH+]2CCC(C)(NC(=O)[C@H](C)C#N)CC2)n1 ZINC001393059575 906082592 /nfs/dbraw/zinc/08/25/92/906082592.db2.gz VWSLQMFVBWASLN-GFCCVEGCSA-N 1 2 301.394 1.415 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)Cc2ccc[nH]2)C1 ZINC001393468432 907077008 /nfs/dbraw/zinc/07/70/08/907077008.db2.gz VELNPKMZCPBHSS-OAHLLOKOSA-N 1 2 311.813 1.253 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)Cc2ccc[nH]2)C1 ZINC001393468432 907077017 /nfs/dbraw/zinc/07/70/17/907077017.db2.gz VELNPKMZCPBHSS-OAHLLOKOSA-N 1 2 311.813 1.253 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC(NC(=O)Cn2cc[nH+]c2)CC1 ZINC001283422301 907333022 /nfs/dbraw/zinc/33/30/22/907333022.db2.gz DFWNJVQVUSWUPX-UHFFFAOYSA-N 1 2 318.421 1.593 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001283438682 907356268 /nfs/dbraw/zinc/35/62/68/907356268.db2.gz MUVYUDRKXJUKOZ-QGZVFWFLSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@H+](Cc2nnc(C(C)(C)C)[nH]2)C1 ZINC001372595328 907720010 /nfs/dbraw/zinc/72/00/10/907720010.db2.gz NPBLSIFTQZUKCN-NEPJUHHUSA-N 1 2 318.425 1.200 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@@H]1CC[N@@H+](Cc2nnc(C(C)(C)C)[nH]2)C1 ZINC001372595328 907720019 /nfs/dbraw/zinc/72/00/19/907720019.db2.gz NPBLSIFTQZUKCN-NEPJUHHUSA-N 1 2 318.425 1.200 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001283864973 908092790 /nfs/dbraw/zinc/09/27/90/908092790.db2.gz WTXVQAGJRKKAID-KBPBESRZSA-N 1 2 318.421 1.764 20 30 DDEDLO C=CCCC(=O)N[C@@]12CCC[C@@H]1[N@H+](Cc1cn(CC)nn1)CC2 ZINC001284047232 908385068 /nfs/dbraw/zinc/38/50/68/908385068.db2.gz XGPQYQWEFGNKJE-DOTOQJQBSA-N 1 2 317.437 1.877 20 30 DDEDLO C=CCCC(=O)N[C@@]12CCC[C@@H]1[N@@H+](Cc1cn(CC)nn1)CC2 ZINC001284047232 908385080 /nfs/dbraw/zinc/38/50/80/908385080.db2.gz XGPQYQWEFGNKJE-DOTOQJQBSA-N 1 2 317.437 1.877 20 30 DDEDLO COCC#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc(C)s2)[C@H]1C ZINC001284118099 908499160 /nfs/dbraw/zinc/49/91/60/908499160.db2.gz IEFQOIQEPHCXTM-OCCSQVGLSA-N 1 2 321.446 1.684 20 30 DDEDLO COCC#CC[N@H+]1CCC[C@H](NC(=O)c2cnc(C)s2)[C@H]1C ZINC001284118099 908499169 /nfs/dbraw/zinc/49/91/69/908499169.db2.gz IEFQOIQEPHCXTM-OCCSQVGLSA-N 1 2 321.446 1.684 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCC[N@H+](Cc2cnnn2C)[C@H]1C ZINC001284122799 908512330 /nfs/dbraw/zinc/51/23/30/908512330.db2.gz OTGKTXJNCPNNMU-HOCLYGCPSA-N 1 2 317.437 1.478 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CCC[N@@H+](Cc2cnnn2C)[C@H]1C ZINC001284122799 908512333 /nfs/dbraw/zinc/51/23/33/908512333.db2.gz OTGKTXJNCPNNMU-HOCLYGCPSA-N 1 2 317.437 1.478 20 30 DDEDLO C=C(C)CCC(=O)N(C)CCNC(=O)Cc1c[nH+]ccc1OC ZINC001284547319 909169734 /nfs/dbraw/zinc/16/97/34/909169734.db2.gz ARDQRORIQXVBOG-UHFFFAOYSA-N 1 2 319.405 1.564 20 30 DDEDLO CC(C)(C)C#CC(=O)NC1CC(CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001285192063 910183063 /nfs/dbraw/zinc/18/30/63/910183063.db2.gz MCFATHXIFKZSNI-UHFFFAOYSA-N 1 2 316.405 1.013 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001285799441 911218902 /nfs/dbraw/zinc/21/89/02/911218902.db2.gz WTOLRWQNFDIGMF-CYBMUJFWSA-N 1 2 304.394 1.109 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001285841127 911270768 /nfs/dbraw/zinc/27/07/68/911270768.db2.gz AXORFKLNIQEPRO-SJKOYZFVSA-N 1 2 320.437 1.826 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)[C@H](C)CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001285900878 911392450 /nfs/dbraw/zinc/39/24/50/911392450.db2.gz DZRJPPJMSHPHID-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCCC(=O)NCC1(NC(=O)Cc2c[nH+]cn2C)CC1 ZINC001285957082 911497938 /nfs/dbraw/zinc/49/79/38/911497938.db2.gz DZGKLJYHPZUKJA-UHFFFAOYSA-N 1 2 304.394 1.084 20 30 DDEDLO CC(C)C#CC(=O)N[C@@]1(C)CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001286090098 911678930 /nfs/dbraw/zinc/67/89/30/911678930.db2.gz UREXHQOSEIHZOO-KRWDZBQOSA-N 1 2 316.405 1.109 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001294748621 915337569 /nfs/dbraw/zinc/33/75/69/915337569.db2.gz OVKKNIWSRMAUKA-CABCVRRESA-N 1 2 318.421 1.908 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H](NC(=O)Cc1c[nH]c[nH+]1)C1CC1 ZINC001294918370 915461129 /nfs/dbraw/zinc/46/11/29/915461129.db2.gz REMMNMJVOZHYQO-ZDUSSCGKSA-N 1 2 304.394 1.175 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001295206702 915648792 /nfs/dbraw/zinc/64/87/92/915648792.db2.gz JQKCJSICBYZDQU-MELADBBJSA-N 1 2 318.421 1.708 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@@H](NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001295206702 915648800 /nfs/dbraw/zinc/64/88/00/915648800.db2.gz JQKCJSICBYZDQU-MELADBBJSA-N 1 2 318.421 1.708 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]1(C)CCC[N@H+](Cc2ncccn2)C1 ZINC001375939200 917313084 /nfs/dbraw/zinc/31/30/84/917313084.db2.gz YRJYQCFPISLCLT-BBRMVZONSA-N 1 2 301.394 1.355 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@]1(C)CCC[N@@H+](Cc2ncccn2)C1 ZINC001375939200 917313096 /nfs/dbraw/zinc/31/30/96/917313096.db2.gz YRJYQCFPISLCLT-BBRMVZONSA-N 1 2 301.394 1.355 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@H+](C)[C@@H](C)C(=O)Nc1ccccc1F ZINC001377128476 920405436 /nfs/dbraw/zinc/40/54/36/920405436.db2.gz IFNRBRNOKTZZEU-RYUDHWBXSA-N 1 2 320.368 1.360 20 30 DDEDLO C[C@@H](C#N)C(=O)NCC[N@@H+](C)[C@@H](C)C(=O)Nc1ccccc1F ZINC001377128476 920405446 /nfs/dbraw/zinc/40/54/46/920405446.db2.gz IFNRBRNOKTZZEU-RYUDHWBXSA-N 1 2 320.368 1.360 20 30 DDEDLO C=C(Br)C[NH+]1CCC(CO)(NC(=O)C(C)C)CC1 ZINC001377547468 922294622 /nfs/dbraw/zinc/29/46/22/922294622.db2.gz FPCAZWMSZURHIM-UHFFFAOYSA-N 1 2 319.243 1.494 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@H](C(=O)NC[C@H]1CCCN(CC#N)C1)C2 ZINC000614266516 361781604 /nfs/dbraw/zinc/78/16/04/361781604.db2.gz XJEMPEQROJPXTP-KGLIPLIRSA-N 1 2 315.421 1.175 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@@H](C(=O)NC[C@H]1CCCN(CC#N)C1)CC2 ZINC000614266516 361781608 /nfs/dbraw/zinc/78/16/08/361781608.db2.gz XJEMPEQROJPXTP-KGLIPLIRSA-N 1 2 315.421 1.175 20 30 DDEDLO C[NH+](C)[C@@H](CNS(=O)(=O)c1ccccc1C#N)c1ccco1 ZINC000096694025 185385529 /nfs/dbraw/zinc/38/55/29/185385529.db2.gz NOLAHJYGINWOTQ-ZDUSSCGKSA-N 1 2 319.386 1.732 20 30 DDEDLO C=C[C@H](O)C(=O)N1CC[N@@H+](Cc2ccccc2OCC)C[C@H]1C ZINC000614452407 361863585 /nfs/dbraw/zinc/86/35/85/361863585.db2.gz CKUOBRFMXVLSHP-ZBFHGGJFSA-N 1 2 318.417 1.665 20 30 DDEDLO C=C[C@H](O)C(=O)N1CC[N@H+](Cc2ccccc2OCC)C[C@H]1C ZINC000614452407 361863591 /nfs/dbraw/zinc/86/35/91/361863591.db2.gz CKUOBRFMXVLSHP-ZBFHGGJFSA-N 1 2 318.417 1.665 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@@H+]1CCC[C@@H](O)C1 ZINC000249303234 282143577 /nfs/dbraw/zinc/14/35/77/282143577.db2.gz FMKPSLBTVHLUFG-WDEREUQCSA-N 1 2 319.430 1.892 20 30 DDEDLO C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)[N@H+]1CCC[C@@H](O)C1 ZINC000249303234 282143580 /nfs/dbraw/zinc/14/35/80/282143580.db2.gz FMKPSLBTVHLUFG-WDEREUQCSA-N 1 2 319.430 1.892 20 30 DDEDLO Cc1nc(N2CCN(c3c(C#N)cccc3[N+](=O)[O-])CC2)cc[nH+]1 ZINC000425249533 282187006 /nfs/dbraw/zinc/18/70/06/282187006.db2.gz UJIQUTOLMZOIJM-UHFFFAOYSA-N 1 2 324.344 1.892 20 30 DDEDLO CCc1cc2c(ncn(C[C@@H](O)C[N@H+](C)CCC#N)c2=O)s1 ZINC000414115141 529570486 /nfs/dbraw/zinc/57/04/86/529570486.db2.gz UQVPXMFEMSILQG-NSHDSACASA-N 1 2 320.418 1.227 20 30 DDEDLO CCc1cc2c(ncn(C[C@@H](O)C[N@@H+](C)CCC#N)c2=O)s1 ZINC000414115141 529570495 /nfs/dbraw/zinc/57/04/95/529570495.db2.gz UQVPXMFEMSILQG-NSHDSACASA-N 1 2 320.418 1.227 20 30 DDEDLO CC[C@H](NC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1)C1CCOCC1 ZINC000329639501 529580139 /nfs/dbraw/zinc/58/01/39/529580139.db2.gz ZHEFHJQMLKVAGL-SOUVJXGZSA-N 1 2 311.426 1.120 20 30 DDEDLO CC[C@H](NC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1)C1CCOCC1 ZINC000329639501 529580141 /nfs/dbraw/zinc/58/01/41/529580141.db2.gz ZHEFHJQMLKVAGL-SOUVJXGZSA-N 1 2 311.426 1.120 20 30 DDEDLO CCc1ccc(C(=O)OC)c(OC[C@@H](O)C[N@H+](C)CCC#N)c1 ZINC000414120276 529611593 /nfs/dbraw/zinc/61/15/93/529611593.db2.gz BRRVEJSTYLMDFD-AWEZNQCLSA-N 1 2 320.389 1.621 20 30 DDEDLO CCc1ccc(C(=O)OC)c(OC[C@@H](O)C[N@@H+](C)CCC#N)c1 ZINC000414120276 529611595 /nfs/dbraw/zinc/61/15/95/529611595.db2.gz BRRVEJSTYLMDFD-AWEZNQCLSA-N 1 2 320.389 1.621 20 30 DDEDLO N#CCCN1CCN(Cc2c[nH+]cn2Cc2ccccc2)CC1 ZINC000614991842 362108030 /nfs/dbraw/zinc/10/80/30/362108030.db2.gz GYOPRSQGUMXLQX-UHFFFAOYSA-N 1 2 309.417 1.963 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)[C@H](C#N)CCc3ccccc3)C[C@@H]21 ZINC000330247078 529786082 /nfs/dbraw/zinc/78/60/82/529786082.db2.gz YNTMISJYEDYJCB-YESZJQIVSA-N 1 2 313.401 1.300 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)[C@H](C#N)CCc3ccccc3)C[C@@H]21 ZINC000330247078 529786083 /nfs/dbraw/zinc/78/60/83/529786083.db2.gz YNTMISJYEDYJCB-YESZJQIVSA-N 1 2 313.401 1.300 20 30 DDEDLO CN(CC(F)F)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000330594154 529850873 /nfs/dbraw/zinc/85/08/73/529850873.db2.gz QCTZPVFADVDOHQ-UHFFFAOYSA-N 1 2 314.336 1.529 20 30 DDEDLO C[N@H+](CC(=O)Nc1ccc2c(c1)OCCO2)[C@]1(CO)CCOC1 ZINC000329741538 529852997 /nfs/dbraw/zinc/85/29/97/529852997.db2.gz OTAWBBZBFPSVDA-INIZCTEOSA-N 1 2 322.361 1.129 20 30 DDEDLO C[N@@H+](CC(=O)Nc1ccc2c(c1)OCCO2)[C@]1(CO)CCOC1 ZINC000329741538 529852999 /nfs/dbraw/zinc/85/29/99/529852999.db2.gz OTAWBBZBFPSVDA-INIZCTEOSA-N 1 2 322.361 1.129 20 30 DDEDLO COc1ccccc1CN(C)C(=O)C[NH+]1CCC(C#N)CC1 ZINC000057908004 334954055 /nfs/dbraw/zinc/95/40/55/334954055.db2.gz NAARWDVCBKICCQ-UHFFFAOYSA-N 1 2 301.390 1.889 20 30 DDEDLO CC(C)[C@@H](C(=O)N1CC[C@](F)(C#N)C1)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000615825482 362454780 /nfs/dbraw/zinc/45/47/80/362454780.db2.gz QTDAXHVKYNQQDR-NYTXWWLZSA-N 1 2 311.401 1.584 20 30 DDEDLO Cc1nn(C)c(N2CC[NH+]([C@@H](C)c3ccncc3)CC2)c1C#N ZINC000615972826 362505235 /nfs/dbraw/zinc/50/52/35/362505235.db2.gz XPRVMIFSIOPRQJ-AWEZNQCLSA-N 1 2 310.405 1.878 20 30 DDEDLO C=C[C@H](CC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C)c1ccccc1 ZINC000270454852 186394366 /nfs/dbraw/zinc/39/43/66/186394366.db2.gz VMTIVMQZCQHLAP-NVXWUHKLSA-N 1 2 301.434 1.708 20 30 DDEDLO C=C[C@H](CC(=O)NC[C@@H]1CN(C)CC[N@H+]1C)c1ccccc1 ZINC000270454852 186394368 /nfs/dbraw/zinc/39/43/68/186394368.db2.gz VMTIVMQZCQHLAP-NVXWUHKLSA-N 1 2 301.434 1.708 20 30 DDEDLO C=CCCn1cc(C[NH2+]Cc2ccc3oc(=O)n(C)c3c2)nn1 ZINC000623743505 366031603 /nfs/dbraw/zinc/03/16/03/366031603.db2.gz URXVRPOPDSUXAE-UHFFFAOYSA-N 1 2 313.361 1.589 20 30 DDEDLO C=CCCC[NH+]1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000172174194 198052557 /nfs/dbraw/zinc/05/25/57/198052557.db2.gz IMCWJOGTTFGVBI-UHFFFAOYSA-N 1 2 313.423 1.564 20 30 DDEDLO N#CCCN1CCN(c2nc3ccccc3n3c[nH+]cc23)CC1 ZINC000601261805 358469083 /nfs/dbraw/zinc/46/90/83/358469083.db2.gz NGMQXXYQNQPLKI-UHFFFAOYSA-N 1 2 306.373 1.918 20 30 DDEDLO N#CCCN1CCN(c2cc(N3CCCCC3)[nH+]cn2)CC1 ZINC000601262648 358469979 /nfs/dbraw/zinc/46/99/79/358469979.db2.gz QKMWRVFSVHUHSV-UHFFFAOYSA-N 1 2 300.410 1.503 20 30 DDEDLO CC(C)(C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000042105677 352329646 /nfs/dbraw/zinc/32/96/46/352329646.db2.gz RDUYFYQUKVSSPJ-HNNXBMFYSA-N 1 2 301.390 1.553 20 30 DDEDLO CC(C)(C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000042105677 352329652 /nfs/dbraw/zinc/32/96/52/352329652.db2.gz RDUYFYQUKVSSPJ-HNNXBMFYSA-N 1 2 301.390 1.553 20 30 DDEDLO N#CCSCC(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000046017397 352431892 /nfs/dbraw/zinc/43/18/92/352431892.db2.gz GTFBQSLSQOSQQN-UHFFFAOYSA-N 1 2 319.430 1.466 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)N1CC[NH+](Cc2ccco2)CC1 ZINC000053441247 352656065 /nfs/dbraw/zinc/65/60/65/352656065.db2.gz RZQQEHPMYBKXGB-AWEZNQCLSA-N 1 2 304.394 1.158 20 30 DDEDLO CN(CCC#N)S(=O)(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000057020968 352795409 /nfs/dbraw/zinc/79/54/09/352795409.db2.gz IWSDYYWKXGNFKZ-UHFFFAOYSA-N 1 2 319.390 1.052 20 30 DDEDLO Cc1ccc(C(=O)N(CCC#N)CC[NH+]2CCOCC2)cc1F ZINC000067771991 353067235 /nfs/dbraw/zinc/06/72/35/353067235.db2.gz JVXANQLDAWQBPM-UHFFFAOYSA-N 1 2 319.380 1.822 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](Cc3nc(C4CC4)no3)C2)nc1 ZINC000292118542 222849072 /nfs/dbraw/zinc/84/90/72/222849072.db2.gz HVMCAWSOLXPTLY-ZDUSSCGKSA-N 1 2 311.345 1.867 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](Cc3nc(C4CC4)no3)C2)nc1 ZINC000292118542 222849073 /nfs/dbraw/zinc/84/90/73/222849073.db2.gz HVMCAWSOLXPTLY-ZDUSSCGKSA-N 1 2 311.345 1.867 20 30 DDEDLO C=CCNC(=O)[C@H](C)[NH+]1CCN(c2ccccc2OCC)CC1 ZINC000072862128 353222326 /nfs/dbraw/zinc/22/23/26/353222326.db2.gz QHHRKXOGWTVFPR-HNNXBMFYSA-N 1 2 317.433 1.898 20 30 DDEDLO C[C@@H]1CCC[N@@H+]1CC(=O)[C@H](C#N)c1nc2ccccc2c(=O)[nH]1 ZINC000072874081 353224022 /nfs/dbraw/zinc/22/40/22/353224022.db2.gz FQOJWLIKBZLPBZ-YPMHNXCESA-N 1 2 310.357 1.996 20 30 DDEDLO C[C@@H]1CCC[N@H+]1CC(=O)[C@H](C#N)c1nc2ccccc2c(=O)[nH]1 ZINC000072874081 353224023 /nfs/dbraw/zinc/22/40/23/353224023.db2.gz FQOJWLIKBZLPBZ-YPMHNXCESA-N 1 2 310.357 1.996 20 30 DDEDLO C[C@@H]1CC[N@H+](C[C@H](O)COc2ccc(C#N)cc2)CC[S@]1=O ZINC000277105629 213295615 /nfs/dbraw/zinc/29/56/15/213295615.db2.gz GUYJEKUSOCCGMI-QOAZOABKSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[N@@H+](C[C@H](O)COc2ccc(C#N)cc2)CC[S@]1=O ZINC000277105629 213295619 /nfs/dbraw/zinc/29/56/19/213295619.db2.gz GUYJEKUSOCCGMI-QOAZOABKSA-N 1 2 322.430 1.141 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N(C)C2(C#N)CCCCC2)C1 ZINC000076708579 353435356 /nfs/dbraw/zinc/43/53/56/353435356.db2.gz PVRXTDVCLWZXSU-OAHLLOKOSA-N 1 2 320.437 1.272 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+](CC(=O)N(C)C2(C#N)CCCCC2)C1 ZINC000076708579 353435360 /nfs/dbraw/zinc/43/53/60/353435360.db2.gz PVRXTDVCLWZXSU-OAHLLOKOSA-N 1 2 320.437 1.272 20 30 DDEDLO C[N@H+](CCC(=O)Nc1ccccc1C#N)Cc1nnc2n1CCC2 ZINC000079916679 353582809 /nfs/dbraw/zinc/58/28/09/353582809.db2.gz RLPVNCXPOUBMPU-UHFFFAOYSA-N 1 2 324.388 1.557 20 30 DDEDLO C[N@@H+](CCC(=O)Nc1ccccc1C#N)Cc1nnc2n1CCC2 ZINC000079916679 353582812 /nfs/dbraw/zinc/58/28/12/353582812.db2.gz RLPVNCXPOUBMPU-UHFFFAOYSA-N 1 2 324.388 1.557 20 30 DDEDLO CN(C[C@@H](O)C[NH+]1CCOCC1)c1ccc(C#N)c(Cl)c1 ZINC000081015532 353645244 /nfs/dbraw/zinc/64/52/44/353645244.db2.gz UWZXKXLAQQZSSN-CQSZACIVSA-N 1 2 309.797 1.341 20 30 DDEDLO CC[N@H+](CCC#N)[C@@H](C)C(=O)NCc1ccc(C(=O)OC)cc1 ZINC000081039728 353647688 /nfs/dbraw/zinc/64/76/88/353647688.db2.gz UNDXJKZFVPAOEM-ZDUSSCGKSA-N 1 2 317.389 1.713 20 30 DDEDLO CC[N@@H+](CCC#N)[C@@H](C)C(=O)NCc1ccc(C(=O)OC)cc1 ZINC000081039728 353647689 /nfs/dbraw/zinc/64/76/89/353647689.db2.gz UNDXJKZFVPAOEM-ZDUSSCGKSA-N 1 2 317.389 1.713 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+](C)Cc2cn[nH]c2)c(C#N)c1C ZINC000085825985 353737978 /nfs/dbraw/zinc/73/79/78/353737978.db2.gz ODUWOFLNRPMLEI-SNVBAGLBSA-N 1 2 301.350 1.950 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+](C)Cc2cn[nH]c2)c(C#N)c1C ZINC000085825985 353737979 /nfs/dbraw/zinc/73/79/79/353737979.db2.gz ODUWOFLNRPMLEI-SNVBAGLBSA-N 1 2 301.350 1.950 20 30 DDEDLO C[C@@H](C#N)CNC(=O)N1CC[NH+](Cc2cccc(F)c2)CC1 ZINC000182820661 354276317 /nfs/dbraw/zinc/27/63/17/354276317.db2.gz PGJROSHWYBAKAP-ZDUSSCGKSA-N 1 2 304.369 1.813 20 30 DDEDLO C=CCNC(=O)NC(=O)C[NH2+][C@H](COC)c1ccc(CC)o1 ZINC000184835131 354280677 /nfs/dbraw/zinc/28/06/77/354280677.db2.gz PLYCGBDWBNZKTC-GFCCVEGCSA-N 1 2 309.366 1.131 20 30 DDEDLO COCC[N@H+](CCC#N)CCC(=O)Nc1cccc(C#N)c1 ZINC000316112201 354500320 /nfs/dbraw/zinc/50/03/20/354500320.db2.gz MITSHSNJMLGYRH-UHFFFAOYSA-N 1 2 300.362 1.749 20 30 DDEDLO COCC[N@@H+](CCC#N)CCC(=O)Nc1cccc(C#N)c1 ZINC000316112201 354500322 /nfs/dbraw/zinc/50/03/22/354500322.db2.gz MITSHSNJMLGYRH-UHFFFAOYSA-N 1 2 300.362 1.749 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)N2CCC[C@H]3CCCC[C@H]32)CC1 ZINC000591020526 355234311 /nfs/dbraw/zinc/23/43/11/355234311.db2.gz KXXKUEWBKVZCRM-IAGOWNOFSA-N 1 2 318.465 1.699 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@@H+]1Cc1ccc(C#N)cc1OC ZINC000591645844 355349861 /nfs/dbraw/zinc/34/98/61/355349861.db2.gz BWBMFBLKOMZRFJ-QGZVFWFLSA-N 1 2 317.389 1.294 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@H+]1Cc1ccc(C#N)cc1OC ZINC000591645844 355349863 /nfs/dbraw/zinc/34/98/63/355349863.db2.gz BWBMFBLKOMZRFJ-QGZVFWFLSA-N 1 2 317.389 1.294 20 30 DDEDLO CC(C)OCCOC[C@@H](O)C[N@H+](C)Cc1ccncc1C#N ZINC000592069770 355482190 /nfs/dbraw/zinc/48/21/90/355482190.db2.gz YHMKLXZDLIPHJX-INIZCTEOSA-N 1 2 307.394 1.188 20 30 DDEDLO CC(C)OCCOC[C@@H](O)C[N@@H+](C)Cc1ccncc1C#N ZINC000592069770 355482191 /nfs/dbraw/zinc/48/21/91/355482191.db2.gz YHMKLXZDLIPHJX-INIZCTEOSA-N 1 2 307.394 1.188 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2cc([N+](=O)[O-])cc3c2OCOC3)C1 ZINC000592145965 355512140 /nfs/dbraw/zinc/51/21/40/355512140.db2.gz CFZDBMHCLFPLPM-OAHLLOKOSA-N 1 2 319.317 1.312 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2cc([N+](=O)[O-])cc3c2OCOC3)C1 ZINC000592145965 355512142 /nfs/dbraw/zinc/51/21/42/355512142.db2.gz CFZDBMHCLFPLPM-OAHLLOKOSA-N 1 2 319.317 1.312 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](Cc2cc3c(cc2Cl)OCCO3)C1 ZINC000592154198 355520256 /nfs/dbraw/zinc/52/02/56/355520256.db2.gz RJPQCPGQXLSTBD-HNNXBMFYSA-N 1 2 308.765 1.962 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](Cc2cc3c(cc2Cl)OCCO3)C1 ZINC000592154198 355520260 /nfs/dbraw/zinc/52/02/60/355520260.db2.gz RJPQCPGQXLSTBD-HNNXBMFYSA-N 1 2 308.765 1.962 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)N(CCC#N)CCC#N)CC2(CCCC2)O1 ZINC000592187778 355529215 /nfs/dbraw/zinc/52/92/15/355529215.db2.gz LKCDPGOOYOQUBV-HNNXBMFYSA-N 1 2 318.421 1.676 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)CC2(CCCC2)O1 ZINC000592187778 355529217 /nfs/dbraw/zinc/52/92/17/355529217.db2.gz LKCDPGOOYOQUBV-HNNXBMFYSA-N 1 2 318.421 1.676 20 30 DDEDLO C[C@@H](CNC(=O)Nc1ccc(F)c(C#N)c1)[NH+]1CCOCC1 ZINC000077497899 191725713 /nfs/dbraw/zinc/72/57/13/191725713.db2.gz RQMIBRUCLLQHEY-NSHDSACASA-N 1 2 306.341 1.540 20 30 DDEDLO CC[C@H](C#N)C(=O)NCc1ccc(N2C[C@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000593408809 355876287 /nfs/dbraw/zinc/87/62/87/355876287.db2.gz VYIVBWOWPRFHHT-KCQAQPDRSA-N 1 2 316.405 1.861 20 30 DDEDLO CC[C@@H](C#N)C(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000593390550 355870929 /nfs/dbraw/zinc/87/09/29/355870929.db2.gz LERZUOYJIWYDAS-GOEBONIOSA-N 1 2 301.390 1.726 20 30 DDEDLO CC[C@H]1CN(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)CCCO1 ZINC000329470674 222982036 /nfs/dbraw/zinc/98/20/36/222982036.db2.gz YZKVEXWYUOJUPA-AWEZNQCLSA-N 1 2 313.442 1.512 20 30 DDEDLO CC[C@H]1CN(C(=O)NCC[N@H+]2CCOC(C)(C)C2)CCCO1 ZINC000329470674 222982039 /nfs/dbraw/zinc/98/20/39/222982039.db2.gz YZKVEXWYUOJUPA-AWEZNQCLSA-N 1 2 313.442 1.512 20 30 DDEDLO CCN1CC[C@@H]([NH+]2CCN(c3cccc(F)c3C#N)CC2)C1=O ZINC000595292299 356436315 /nfs/dbraw/zinc/43/63/15/356436315.db2.gz YPQPKYUTOOVLNP-MRXNPFEDSA-N 1 2 316.380 1.440 20 30 DDEDLO CCN1OC[C@H]([NH+]2CCC(Oc3cccc(C#N)c3)CC2)C1=O ZINC000595300977 356440137 /nfs/dbraw/zinc/44/01/37/356440137.db2.gz CYRYZYREBYSIMY-INIZCTEOSA-N 1 2 315.373 1.564 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N[C@H](C)c1[nH+]ccn1C ZINC000595382914 356472856 /nfs/dbraw/zinc/47/28/56/356472856.db2.gz KZAJKTDNTVUJFV-LLVKDONJSA-N 1 2 304.375 1.640 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@H+]1CCOCC1(C)C ZINC000595514873 356527108 /nfs/dbraw/zinc/52/71/08/356527108.db2.gz GAXGPWMUCLRRJE-UHFFFAOYSA-N 1 2 315.483 1.706 20 30 DDEDLO C=CCCSCCNC(=O)NCC[N@@H+]1CCOCC1(C)C ZINC000595514873 356527113 /nfs/dbraw/zinc/52/71/13/356527113.db2.gz GAXGPWMUCLRRJE-UHFFFAOYSA-N 1 2 315.483 1.706 20 30 DDEDLO C[C@H]([NH2+]CCS(=O)(=O)c1ccc(C#N)cn1)c1cscn1 ZINC000595666134 356600556 /nfs/dbraw/zinc/60/05/56/356600556.db2.gz KJNRYZAGTAEEAF-JTQLQIEISA-N 1 2 322.415 1.534 20 30 DDEDLO C[C@H]([NH2+]CC(=O)NCc1cccc(C#N)c1)c1cscn1 ZINC000595669559 356602113 /nfs/dbraw/zinc/60/21/13/356602113.db2.gz WRXLWHJRHKJPLQ-NSHDSACASA-N 1 2 300.387 1.982 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCC[C@@](O)(C2CC2)C1 ZINC000595749380 356635312 /nfs/dbraw/zinc/63/53/12/356635312.db2.gz FSZIRVNQFAFVOI-HNNXBMFYSA-N 1 2 305.403 1.795 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCC[C@@](O)(C2CC2)C1 ZINC000595749380 356635314 /nfs/dbraw/zinc/63/53/14/356635314.db2.gz FSZIRVNQFAFVOI-HNNXBMFYSA-N 1 2 305.403 1.795 20 30 DDEDLO Cc1sc(NC(=O)C[N@H+](C)C[C@@H]2COCCO2)c(C#N)c1C ZINC000595737971 356629178 /nfs/dbraw/zinc/62/91/78/356629178.db2.gz SUCZAFQPFSZISN-GFCCVEGCSA-N 1 2 323.418 1.522 20 30 DDEDLO Cc1sc(NC(=O)C[N@@H+](C)C[C@@H]2COCCO2)c(C#N)c1C ZINC000595737971 356629183 /nfs/dbraw/zinc/62/91/83/356629183.db2.gz SUCZAFQPFSZISN-GFCCVEGCSA-N 1 2 323.418 1.522 20 30 DDEDLO CO[C@@H]1CS(=O)(=O)[C@H]2C[N@@H+]([C@@H](C)c3cccc(C#N)c3)C[C@H]21 ZINC000595784942 356651435 /nfs/dbraw/zinc/65/14/35/356651435.db2.gz KRPGLPNLPFBHOS-KSYCFECVSA-N 1 2 320.414 1.363 20 30 DDEDLO CO[C@@H]1CS(=O)(=O)[C@H]2C[N@H+]([C@@H](C)c3cccc(C#N)c3)C[C@H]21 ZINC000595784942 356651438 /nfs/dbraw/zinc/65/14/38/356651438.db2.gz KRPGLPNLPFBHOS-KSYCFECVSA-N 1 2 320.414 1.363 20 30 DDEDLO CN(CCc1c[nH+]cn1C)S(=O)(=O)c1ccc(C#N)cc1 ZINC000596250524 356832167 /nfs/dbraw/zinc/83/21/67/356832167.db2.gz YWWHYJFZLGIEFC-UHFFFAOYSA-N 1 2 304.375 1.155 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCC2CCC(C#N)CC2)[C@H](C)CO1 ZINC000596595769 356929391 /nfs/dbraw/zinc/92/93/91/356929391.db2.gz FWJOCXONNQCRQG-WXLSXGNJSA-N 1 2 322.453 1.725 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCC2CCC(C#N)CC2)[C@H](C)CO1 ZINC000596595769 356929395 /nfs/dbraw/zinc/92/93/95/356929395.db2.gz FWJOCXONNQCRQG-WXLSXGNJSA-N 1 2 322.453 1.725 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)NCC(C)(C)CCC#N)[C@H](C)CO1 ZINC000596600811 356929951 /nfs/dbraw/zinc/92/99/51/356929951.db2.gz KDOCOMBZLYGRQR-ZIAGYGMSSA-N 1 2 310.442 1.725 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)NCC(C)(C)CCC#N)[C@H](C)CO1 ZINC000596600811 356929952 /nfs/dbraw/zinc/92/99/52/356929952.db2.gz KDOCOMBZLYGRQR-ZIAGYGMSSA-N 1 2 310.442 1.725 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1Cc2c[nH]nc2CC(C)(C)C1 ZINC000596599230 356930070 /nfs/dbraw/zinc/93/00/70/356930070.db2.gz RNFBRMNXKIDLBR-KRWDZBQOSA-N 1 2 317.437 1.848 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1Cc2c[nH]nc2CC(C)(C)C1 ZINC000596599230 356930071 /nfs/dbraw/zinc/93/00/71/356930071.db2.gz RNFBRMNXKIDLBR-KRWDZBQOSA-N 1 2 317.437 1.848 20 30 DDEDLO C[C@H](CC#N)C(=O)N1CC[NH+](CCOc2ccccc2)CC1 ZINC000596663172 356945443 /nfs/dbraw/zinc/94/54/43/356945443.db2.gz ZZBIPGQDVYKFKI-OAHLLOKOSA-N 1 2 301.390 1.759 20 30 DDEDLO N#CC1(CC(=O)N2CCC[C@@H](n3cc[nH+]c3)C2)CCOCC1 ZINC000597135761 357070225 /nfs/dbraw/zinc/07/02/25/357070225.db2.gz OSRYOZWXPYWPKZ-CQSZACIVSA-N 1 2 302.378 1.757 20 30 DDEDLO N#CC1(CC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)CCCCC1 ZINC000597728508 357306410 /nfs/dbraw/zinc/30/64/10/357306410.db2.gz PJUMKWNUXOIQSW-GASCZTMLSA-N 1 2 305.422 1.830 20 30 DDEDLO N#CC1(CC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)CCCCC1 ZINC000597728508 357306414 /nfs/dbraw/zinc/30/64/14/357306414.db2.gz PJUMKWNUXOIQSW-GASCZTMLSA-N 1 2 305.422 1.830 20 30 DDEDLO N#CC1(CC(=O)NC[C@H]2CN3CC[N@@H+]2CCC3)CCCCC1 ZINC000597628068 357261032 /nfs/dbraw/zinc/26/10/32/357261032.db2.gz YANCOGKOESZZAF-HNNXBMFYSA-N 1 2 304.438 1.357 20 30 DDEDLO N#CC1(CC(=O)NC[C@H]2CN3CC[N@H+]2CCC3)CCCCC1 ZINC000597628068 357261037 /nfs/dbraw/zinc/26/10/37/357261037.db2.gz YANCOGKOESZZAF-HNNXBMFYSA-N 1 2 304.438 1.357 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)COc2ccc(C#N)cc2)[C@H](C)CO1 ZINC000597998008 357413476 /nfs/dbraw/zinc/41/34/76/357413476.db2.gz OEPHOGJLXOWBEL-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)COc2ccc(C#N)cc2)[C@H](C)CO1 ZINC000597998008 357413483 /nfs/dbraw/zinc/41/34/83/357413483.db2.gz OEPHOGJLXOWBEL-ZIAGYGMSSA-N 1 2 317.389 1.162 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)c2ccc(C#N)c(O)c2)[C@@H](C)CO1 ZINC000598000141 357415779 /nfs/dbraw/zinc/41/57/79/357415779.db2.gz XZHQLVMGSKODKU-NWDGAFQWSA-N 1 2 303.362 1.103 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)c2ccc(C#N)c(O)c2)[C@@H](C)CO1 ZINC000598000141 357415783 /nfs/dbraw/zinc/41/57/83/357415783.db2.gz XZHQLVMGSKODKU-NWDGAFQWSA-N 1 2 303.362 1.103 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(NC(=O)c2oc(C)cc2C)CC1 ZINC000598927461 357760273 /nfs/dbraw/zinc/76/02/73/357760273.db2.gz KYMJWJVWRCUQHA-AWEZNQCLSA-N 1 2 320.389 1.818 20 30 DDEDLO N#Cc1ccncc1NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000599182833 357841446 /nfs/dbraw/zinc/84/14/46/357841446.db2.gz CZMCKKDROJKDSY-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1ccncc1NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000599182833 357841449 /nfs/dbraw/zinc/84/14/49/357841449.db2.gz CZMCKKDROJKDSY-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000599184082 357842752 /nfs/dbraw/zinc/84/27/52/357842752.db2.gz YAFRGUUASFYKPU-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO N#Cc1cccnc1NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000599184082 357842756 /nfs/dbraw/zinc/84/27/56/357842756.db2.gz YAFRGUUASFYKPU-MRXNPFEDSA-N 1 2 309.373 1.661 20 30 DDEDLO N#CC1(C[C@H](O)C[N@@H+]2CCO[C@@H](c3ccco3)C2)CCOCC1 ZINC000599317756 357891311 /nfs/dbraw/zinc/89/13/11/357891311.db2.gz XKORMWDRUJWELT-GOEBONIOSA-N 1 2 320.389 1.724 20 30 DDEDLO N#CC1(C[C@H](O)C[N@H+]2CCO[C@@H](c3ccco3)C2)CCOCC1 ZINC000599317756 357891313 /nfs/dbraw/zinc/89/13/13/357891313.db2.gz XKORMWDRUJWELT-GOEBONIOSA-N 1 2 320.389 1.724 20 30 DDEDLO N#Cc1c(C[NH+]2CCN(c3nccnn3)CC2)cn2ccccc12 ZINC000599509292 357959424 /nfs/dbraw/zinc/95/94/24/357959424.db2.gz JCHPPQCTZGDGQB-UHFFFAOYSA-N 1 2 319.372 1.318 20 30 DDEDLO C[C@H](C(=O)Nc1ncccn1)[N@@H+]1CCc2c(CC#N)cccc2C1 ZINC000599676383 358020351 /nfs/dbraw/zinc/02/03/51/358020351.db2.gz CGQUYIRUKGHXJY-CYBMUJFWSA-N 1 2 321.384 1.928 20 30 DDEDLO C[C@H](C(=O)Nc1ncccn1)[N@H+]1CCc2c(CC#N)cccc2C1 ZINC000599676383 358020355 /nfs/dbraw/zinc/02/03/55/358020355.db2.gz CGQUYIRUKGHXJY-CYBMUJFWSA-N 1 2 321.384 1.928 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)c1ccccc1CC#N ZINC000601044644 358392374 /nfs/dbraw/zinc/39/23/74/358392374.db2.gz LAKTXSNPYZGRSV-CQSZACIVSA-N 1 2 300.406 1.118 20 30 DDEDLO N#CC1(C(=O)N2CCC[C@H]([NH+]3CCOCC3)C2)CC2(CC2)C1 ZINC000601609655 358621809 /nfs/dbraw/zinc/62/18/09/358621809.db2.gz BIBGACXUSBQFLU-AWEZNQCLSA-N 1 2 303.406 1.394 20 30 DDEDLO N#CCCCS(=O)(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000601518917 358585943 /nfs/dbraw/zinc/58/59/43/358585943.db2.gz HQYNOZXINONUIN-UHFFFAOYSA-N 1 2 323.418 1.143 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@@H](CF)c1ccc(F)cc1 ZINC000601937552 358744522 /nfs/dbraw/zinc/74/45/22/358744522.db2.gz COQVQAUTJWZIRU-MFKMUULPSA-N 1 2 311.332 1.826 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N[C@@H]2CCn3c[nH+]cc3C2)c1 ZINC000601970024 358758791 /nfs/dbraw/zinc/75/87/91/358758791.db2.gz WRHCJTOHZFROQB-GFCCVEGCSA-N 1 2 302.359 1.048 20 30 DDEDLO CCS(=O)(=O)N(C)C1CC[NH+](Cc2cccc(C#N)n2)CC1 ZINC000602470287 358999816 /nfs/dbraw/zinc/99/98/16/358999816.db2.gz ZHXFTUJSAKSZNP-UHFFFAOYSA-N 1 2 322.434 1.199 20 30 DDEDLO CCn1ncnc1C[NH2+][C@H]1CCN(Cc2ccc(C#N)cc2)C1=O ZINC000602630042 359085271 /nfs/dbraw/zinc/08/52/71/359085271.db2.gz LKNMCWHSRPOBRE-HNNXBMFYSA-N 1 2 324.388 1.060 20 30 DDEDLO COc1cc(F)c(C[NH+]2CCN(CCC#N)CC2)cc1OC ZINC000602747616 359165526 /nfs/dbraw/zinc/16/55/26/359165526.db2.gz DOFGHCPSFMWWPC-UHFFFAOYSA-N 1 2 307.369 1.874 20 30 DDEDLO COc1ccnc(C[NH+]2C[C@H](C)N(CC#N)[C@@H](C)C2)c1OC ZINC000602855765 359242050 /nfs/dbraw/zinc/24/20/50/359242050.db2.gz WPLRIDRHVAZYGY-STQMWFEESA-N 1 2 304.394 1.517 20 30 DDEDLO Cc1cccc(C)c1NC(=O)CNC(=O)[C@H](C)[NH2+][C@H](C)CC#N ZINC000602864338 359249230 /nfs/dbraw/zinc/24/92/30/359249230.db2.gz RXIFZVALTGYCMI-KGLIPLIRSA-N 1 2 316.405 1.638 20 30 DDEDLO Cc1[nH]ncc1C[N@H+](C)C[C@H](O)COc1ccccc1C#N ZINC000602943800 359311592 /nfs/dbraw/zinc/31/15/92/359311592.db2.gz QSSNRJNPQHBOLM-HNNXBMFYSA-N 1 2 300.362 1.462 20 30 DDEDLO Cc1[nH]ncc1C[N@@H+](C)C[C@H](O)COc1ccccc1C#N ZINC000602943800 359311596 /nfs/dbraw/zinc/31/15/96/359311596.db2.gz QSSNRJNPQHBOLM-HNNXBMFYSA-N 1 2 300.362 1.462 20 30 DDEDLO C[C@H](CC#N)N(C)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000603281145 359539115 /nfs/dbraw/zinc/53/91/15/359539115.db2.gz TXJRSKPMDVBVQG-GFCCVEGCSA-N 1 2 307.419 1.597 20 30 DDEDLO C[C@H](CC#N)N(C)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000603281145 359539120 /nfs/dbraw/zinc/53/91/20/359539120.db2.gz TXJRSKPMDVBVQG-GFCCVEGCSA-N 1 2 307.419 1.597 20 30 DDEDLO C[C@H](Cc1cccc(O)c1)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000329917755 223046564 /nfs/dbraw/zinc/04/65/64/223046564.db2.gz FOHRCNMCFJYLCO-WQVCFCJDSA-N 1 2 319.405 1.252 20 30 DDEDLO C[C@H](Cc1cccc(O)c1)NC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000329917755 223046566 /nfs/dbraw/zinc/04/65/66/223046566.db2.gz FOHRCNMCFJYLCO-WQVCFCJDSA-N 1 2 319.405 1.252 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[NH+](Cc3ccsc3)CC2)cn1 ZINC000609659124 360340749 /nfs/dbraw/zinc/34/07/49/360340749.db2.gz RHFPVJLPOKCLTR-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO CCC[C@H](C)NC(=O)[C@H](C)[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609485874 360313909 /nfs/dbraw/zinc/31/39/09/360313909.db2.gz ZCYQNGBKXHPJDI-JYJNAYRXSA-N 1 2 308.470 1.845 20 30 DDEDLO CC(C)[C@@H](C#N)N1CC[NH+](CC[S@@](=O)c2ccccc2)CC1 ZINC000609538838 360321355 /nfs/dbraw/zinc/32/13/55/360321355.db2.gz OHRICSMSLFUCQB-VGOFRKELSA-N 1 2 319.474 1.960 20 30 DDEDLO Cc1cc(NC(=O)[C@@H]2COCC[N@@H+]2C2CCCC2)c(=O)n(C)c1 ZINC000330019890 223063509 /nfs/dbraw/zinc/06/35/09/223063509.db2.gz VUHIFDGOCPNFQM-HNNXBMFYSA-N 1 2 319.405 1.925 20 30 DDEDLO Cc1cc(NC(=O)[C@@H]2COCC[N@H+]2C2CCCC2)c(=O)n(C)c1 ZINC000330019890 223063511 /nfs/dbraw/zinc/06/35/11/223063511.db2.gz VUHIFDGOCPNFQM-HNNXBMFYSA-N 1 2 319.405 1.925 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC000610874094 360558401 /nfs/dbraw/zinc/55/84/01/360558401.db2.gz ZLSZXRVRNJEIPL-GFCCVEGCSA-N 1 2 308.407 1.273 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC000610874094 360558407 /nfs/dbraw/zinc/55/84/07/360558407.db2.gz ZLSZXRVRNJEIPL-GFCCVEGCSA-N 1 2 308.407 1.273 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccnc(C#N)c1 ZINC000610994343 360595064 /nfs/dbraw/zinc/59/50/64/360595064.db2.gz DCHLZJARRPSZCM-ZDUSSCGKSA-N 1 2 319.372 1.326 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccnc(C#N)c1 ZINC000610994343 360595073 /nfs/dbraw/zinc/59/50/73/360595073.db2.gz DCHLZJARRPSZCM-ZDUSSCGKSA-N 1 2 319.372 1.326 20 30 DDEDLO CCC[C@H](O)CC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000611003557 360597275 /nfs/dbraw/zinc/59/72/75/360597275.db2.gz URFNEUJXTMNIFS-KRWDZBQOSA-N 1 2 315.417 1.754 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@@H+]2CCc3c[nH]nc3C2)cc1 ZINC000611009445 360600510 /nfs/dbraw/zinc/60/05/10/360600510.db2.gz ZBGCWROMCGCIBZ-OAHLLOKOSA-N 1 2 312.373 1.274 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@H+]2CCc3c[nH]nc3C2)cc1 ZINC000611009445 360600514 /nfs/dbraw/zinc/60/05/14/360600514.db2.gz ZBGCWROMCGCIBZ-OAHLLOKOSA-N 1 2 312.373 1.274 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@@H+]2CCc3cn[nH]c3C2)cc1 ZINC000611009445 360600520 /nfs/dbraw/zinc/60/05/20/360600520.db2.gz ZBGCWROMCGCIBZ-OAHLLOKOSA-N 1 2 312.373 1.274 20 30 DDEDLO N#CCc1ccc(OC[C@H](O)C[N@H+]2CCc3cn[nH]c3C2)cc1 ZINC000611009445 360600524 /nfs/dbraw/zinc/60/05/24/360600524.db2.gz ZBGCWROMCGCIBZ-OAHLLOKOSA-N 1 2 312.373 1.274 20 30 DDEDLO C[C@H](C(=O)N[C@H]1CCC[C@@H](C)[C@H]1C)[NH+]1CCN(CCC#N)CC1 ZINC000611174531 360648092 /nfs/dbraw/zinc/64/80/92/360648092.db2.gz GRAHQJPHHBNYLV-VQHPVUNQSA-N 1 2 320.481 1.847 20 30 DDEDLO CCN(C)C(=O)C[NH+]1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000611552467 360756714 /nfs/dbraw/zinc/75/67/14/360756714.db2.gz BKDCPRKKMLNYDN-INIZCTEOSA-N 1 2 318.396 1.486 20 30 DDEDLO C=CC[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCn3cc[nH+]c3C2)C1 ZINC000611692525 360793446 /nfs/dbraw/zinc/79/34/46/360793446.db2.gz UXBFGBXEWXFJSZ-ZIAGYGMSSA-N 1 2 316.405 1.129 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@@H+]2CCC[C@@](C)(C#N)C2)CC1 ZINC000612163360 360937784 /nfs/dbraw/zinc/93/77/84/360937784.db2.gz PWWZUJUDKXHOKK-KRWDZBQOSA-N 1 2 321.421 1.414 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)C[N@H+]2CCC[C@@](C)(C#N)C2)CC1 ZINC000612163360 360937790 /nfs/dbraw/zinc/93/77/90/360937790.db2.gz PWWZUJUDKXHOKK-KRWDZBQOSA-N 1 2 321.421 1.414 20 30 DDEDLO O=C(NC[C@H]1CCCn2cc[nH+]c21)N1CC2(C1)CCOCC2 ZINC000330234002 223091710 /nfs/dbraw/zinc/09/17/10/223091710.db2.gz YENNZPATMKNNBB-CYBMUJFWSA-N 1 2 304.394 1.787 20 30 DDEDLO CCn1ncnc1C[NH2+][C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000619506633 364043339 /nfs/dbraw/zinc/04/33/39/364043339.db2.gz CTZRRRJHUBUROT-HNNXBMFYSA-N 1 2 324.388 1.455 20 30 DDEDLO C=C[C@H](C(=O)OC)[NH+]1CCC(C(=O)Nc2ccc(C)cn2)CC1 ZINC000619719176 364131125 /nfs/dbraw/zinc/13/11/25/364131125.db2.gz FPVIXVRLCJECIR-CQSZACIVSA-N 1 2 317.389 1.768 20 30 DDEDLO N#CC1(F)CCN(C(=O)NCc2cn3c([nH+]2)CCCC3)CC1 ZINC000344640338 223336244 /nfs/dbraw/zinc/33/62/44/223336244.db2.gz YUTYHRBQNXSEKL-UHFFFAOYSA-N 1 2 305.357 1.757 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccc(CO)o1 ZINC000091936748 193129295 /nfs/dbraw/zinc/12/92/95/193129295.db2.gz ZNIJJTRTZHMONX-GFCCVEGCSA-N 1 2 313.361 1.144 20 30 DDEDLO C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccc(CO)o1 ZINC000091936748 193129297 /nfs/dbraw/zinc/12/92/97/193129297.db2.gz ZNIJJTRTZHMONX-GFCCVEGCSA-N 1 2 313.361 1.144 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](C)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000264899233 204250285 /nfs/dbraw/zinc/25/02/85/204250285.db2.gz YQTLWOUMGZOPKD-IUODEOHRSA-N 1 2 317.364 1.001 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](C)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000264899233 204250288 /nfs/dbraw/zinc/25/02/88/204250288.db2.gz YQTLWOUMGZOPKD-IUODEOHRSA-N 1 2 317.364 1.001 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN1CC(=O)N(CCC#N)CCC#N ZINC000372945144 223658312 /nfs/dbraw/zinc/65/83/12/223658312.db2.gz GXTGOUOUYKRWLU-AWEZNQCLSA-N 1 2 314.393 1.213 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1ccc(OCC)cc1[N+](=O)[O-] ZINC000267349378 206002429 /nfs/dbraw/zinc/00/24/29/206002429.db2.gz UNOAEDLPFGUWJR-UHFFFAOYSA-N 1 2 305.334 1.887 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1ccc(OCC)cc1[N+](=O)[O-] ZINC000267349378 206002432 /nfs/dbraw/zinc/00/24/32/206002432.db2.gz UNOAEDLPFGUWJR-UHFFFAOYSA-N 1 2 305.334 1.887 20 30 DDEDLO Cc1cccnc1Cn1cc(C[NH+]2CCOCC2)cc(C#N)c1=O ZINC000579496472 422782065 /nfs/dbraw/zinc/78/20/65/422782065.db2.gz HZOINTXBKSKYIL-UHFFFAOYSA-N 1 2 324.384 1.304 20 30 DDEDLO CC[C@@H]1C[N@H+](C)CCN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000567043909 304194481 /nfs/dbraw/zinc/19/44/81/304194481.db2.gz CDFPCICXFVBQND-OAHLLOKOSA-N 1 2 321.446 1.358 20 30 DDEDLO CC[C@@H]1C[N@@H+](C)CCN1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000567043909 304194482 /nfs/dbraw/zinc/19/44/82/304194482.db2.gz CDFPCICXFVBQND-OAHLLOKOSA-N 1 2 321.446 1.358 20 30 DDEDLO C[C@H](C(=O)NCc1ccccc1-n1cc[nH+]c1)n1cnc(C#N)n1 ZINC000336912451 249287930 /nfs/dbraw/zinc/28/79/30/249287930.db2.gz YVWPMFXNDDQQFB-GFCCVEGCSA-N 1 2 321.344 1.213 20 30 DDEDLO N#Cc1cccc(CC[NH2+][C@@H](c2nnc[nH]2)c2cccnc2)c1 ZINC000340535431 251101884 /nfs/dbraw/zinc/10/18/84/251101884.db2.gz TXTPFBBDFVGRSM-MRXNPFEDSA-N 1 2 304.357 1.993 20 30 DDEDLO COCC(COC)[NH+]1CCN(C(=O)C2(C#N)CCCCC2)CC1 ZINC000412439342 224031168 /nfs/dbraw/zinc/03/11/68/224031168.db2.gz WQEVSANHZAPZBF-UHFFFAOYSA-N 1 2 323.437 1.266 20 30 DDEDLO CC[C@@H]1CN(Cc2c[nH+]c3ccc(C#N)cn23)C[C@H](C)[S@@]1=O ZINC000567793148 304242513 /nfs/dbraw/zinc/24/25/13/304242513.db2.gz CBNMEOIZLXEWHX-OFERSUALSA-N 1 2 316.430 1.937 20 30 DDEDLO CC[N@@H+](Cc1c(C)nn(C)c1N1CCOCC1)C[C@@H](C)C#N ZINC000120528724 285092965 /nfs/dbraw/zinc/09/29/65/285092965.db2.gz PMHSWCBEKHZAMQ-ZDUSSCGKSA-N 1 2 305.426 1.547 20 30 DDEDLO CC[N@H+](Cc1c(C)nn(C)c1N1CCOCC1)C[C@@H](C)C#N ZINC000120528724 285092970 /nfs/dbraw/zinc/09/29/70/285092970.db2.gz PMHSWCBEKHZAMQ-ZDUSSCGKSA-N 1 2 305.426 1.547 20 30 DDEDLO CO[C@H](C)[C@H](C)NC(=O)N1CCN(c2c[nH+]ccc2C)CC1 ZINC000330853620 533107138 /nfs/dbraw/zinc/10/71/38/533107138.db2.gz OKLISNZHFWKGPT-UONOGXRCSA-N 1 2 306.410 1.849 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)NCc2cccc(C)c2)CC1 ZINC000341977805 533109536 /nfs/dbraw/zinc/10/95/36/533109536.db2.gz NAACMKISIMTGLJ-UHFFFAOYSA-N 1 2 315.417 1.863 20 30 DDEDLO COc1ccc(C[N@H+](CCS(C)(=O)=O)C2CC2)cc1C#N ZINC000156441623 197114012 /nfs/dbraw/zinc/11/40/12/197114012.db2.gz LUQTXUMAEKTZRO-UHFFFAOYSA-N 1 2 308.403 1.576 20 30 DDEDLO COc1ccc(C[N@@H+](CCS(C)(=O)=O)C2CC2)cc1C#N ZINC000156441623 197114014 /nfs/dbraw/zinc/11/40/14/197114014.db2.gz LUQTXUMAEKTZRO-UHFFFAOYSA-N 1 2 308.403 1.576 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1Cc1ccc(F)c(C#N)c1 ZINC000289645498 221126153 /nfs/dbraw/zinc/12/61/53/221126153.db2.gz DLJQFZIUNVPWNV-CXAGYDPISA-N 1 2 303.381 1.992 20 30 DDEDLO Cc1cc([N+](=O)[O-])c(C)cc1OC[C@@H](O)C[N@H+](C)CCC#N ZINC000414122494 224302987 /nfs/dbraw/zinc/30/29/87/224302987.db2.gz GAOWIDLHFONUOW-ZDUSSCGKSA-N 1 2 307.350 1.797 20 30 DDEDLO Cc1cc([N+](=O)[O-])c(C)cc1OC[C@@H](O)C[N@@H+](C)CCC#N ZINC000414122494 224302989 /nfs/dbraw/zinc/30/29/89/224302989.db2.gz GAOWIDLHFONUOW-ZDUSSCGKSA-N 1 2 307.350 1.797 20 30 DDEDLO Cc1cc(NC(=O)N(CCC#N)CCN2CCOCC2)cc[nH+]1 ZINC000119706230 221528592 /nfs/dbraw/zinc/52/85/92/221528592.db2.gz NRFMHSXWGBCFTA-UHFFFAOYSA-N 1 2 317.393 1.470 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1CCC[C@@](F)(CO)C1 ZINC000347330191 533238204 /nfs/dbraw/zinc/23/82/04/533238204.db2.gz FHBAFRIRXYQLJI-ZFWWWQNUSA-N 1 2 311.401 1.514 20 30 DDEDLO C[C@@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1CCC[C@@](F)(CO)C1 ZINC000347330191 533238211 /nfs/dbraw/zinc/23/82/11/533238211.db2.gz FHBAFRIRXYQLJI-ZFWWWQNUSA-N 1 2 311.401 1.514 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCOC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000331871754 533269657 /nfs/dbraw/zinc/26/96/57/533269657.db2.gz CTDXYILJUSWHMA-ZACQAIPSSA-N 1 2 316.401 1.929 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCOC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000331871754 533269661 /nfs/dbraw/zinc/26/96/61/533269661.db2.gz CTDXYILJUSWHMA-ZACQAIPSSA-N 1 2 316.401 1.929 20 30 DDEDLO COC(=O)CN(CC#N)C(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000342265886 533486907 /nfs/dbraw/zinc/48/69/07/533486907.db2.gz LJFGCGFBMFWPSW-UHFFFAOYSA-N 1 2 312.329 1.070 20 30 DDEDLO COC(=O)C1CC[NH+]([C@H](C)C(=O)Nc2ccccc2C#N)CC1 ZINC000007391014 406755873 /nfs/dbraw/zinc/75/58/73/406755873.db2.gz LJYZKCIXUNRSSL-GFCCVEGCSA-N 1 2 315.373 1.770 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCCOc1cccc(Cl)c1 ZINC000078640095 407037627 /nfs/dbraw/zinc/03/76/27/407037627.db2.gz UCGRDDNFFMBCMH-UHFFFAOYSA-N 1 2 313.785 1.340 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)NCc1cccc(C#N)c1 ZINC000048977987 407111503 /nfs/dbraw/zinc/11/15/03/407111503.db2.gz UDZCQJHVDUAACJ-ZDUSSCGKSA-N 1 2 302.378 1.078 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ccccc2F)CC1 ZINC000052635353 407153794 /nfs/dbraw/zinc/15/37/94/407153794.db2.gz WRPHEVRBSRZEAD-AWEZNQCLSA-N 1 2 318.396 1.708 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000093082116 407194579 /nfs/dbraw/zinc/19/45/79/407194579.db2.gz CYUHXPSVRUOQPQ-INIZCTEOSA-N 1 2 318.373 1.743 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2cccc(OCCCC#N)c2)CCO1 ZINC000093082116 407194582 /nfs/dbraw/zinc/19/45/82/407194582.db2.gz CYUHXPSVRUOQPQ-INIZCTEOSA-N 1 2 318.373 1.743 20 30 DDEDLO C=CCCC(=O)N1CC[NH+](CC(=O)N2CCCC[C@H]2C)CC1 ZINC000102328427 407321483 /nfs/dbraw/zinc/32/14/83/407321483.db2.gz HCYBDRFAOBQTMR-OAHLLOKOSA-N 1 2 307.438 1.498 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc3c(s2)CCOC3)CC1 ZINC000103079182 407330591 /nfs/dbraw/zinc/33/05/91/407330591.db2.gz GMEAQNHGXFZDGR-UHFFFAOYSA-N 1 2 304.415 1.602 20 30 DDEDLO C[C@@H](C#N)NC(=O)c1cccc(NC(=O)CCn2cc[nH+]c2)c1 ZINC000125082596 407378408 /nfs/dbraw/zinc/37/84/08/407378408.db2.gz REZSZEJTPCPMSA-LBPRGKRZSA-N 1 2 311.345 1.554 20 30 DDEDLO C#CC[N@@H+](CCOc1cc(C)ccc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000108866885 407391940 /nfs/dbraw/zinc/39/19/40/407391940.db2.gz AJAFAXRJHPQIMI-MRXNPFEDSA-N 1 2 321.442 1.805 20 30 DDEDLO C#CC[N@H+](CCOc1cc(C)ccc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000108866885 407391941 /nfs/dbraw/zinc/39/19/41/407391941.db2.gz AJAFAXRJHPQIMI-MRXNPFEDSA-N 1 2 321.442 1.805 20 30 DDEDLO COCC[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)Cc1ccc(C)o1 ZINC000125828067 407399287 /nfs/dbraw/zinc/39/92/87/407399287.db2.gz UCBUEMBXVXIMKY-QGZVFWFLSA-N 1 2 319.405 1.845 20 30 DDEDLO COCC[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)Cc1ccc(C)o1 ZINC000125828067 407399289 /nfs/dbraw/zinc/39/92/89/407399289.db2.gz UCBUEMBXVXIMKY-QGZVFWFLSA-N 1 2 319.405 1.845 20 30 DDEDLO C#CC[NH+](CC#C)Cc1cc2c(cc1OCCOC)OCO2 ZINC000127440534 407436715 /nfs/dbraw/zinc/43/67/15/407436715.db2.gz VZFBRNGRPLGCPF-UHFFFAOYSA-N 1 2 301.342 1.509 20 30 DDEDLO CC[C@H](C)NC(=O)[C@H](C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000170646454 407464529 /nfs/dbraw/zinc/46/45/29/407464529.db2.gz XZDWYROOHUNIDW-GJZGRUSLSA-N 1 2 314.433 1.983 20 30 DDEDLO Cc1cc(N)nc(S[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)[nH+]1 ZINC000198117110 407472215 /nfs/dbraw/zinc/47/22/15/407472215.db2.gz UVIKZBTWPSJBLK-IINYFYTJSA-N 1 2 307.423 1.902 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@H+](CCOc1ccc(C#N)cc1)C1CC1 ZINC000113263348 407484197 /nfs/dbraw/zinc/48/41/97/407484197.db2.gz XDMVDKAUQHYUST-CYBMUJFWSA-N 1 2 322.430 1.835 20 30 DDEDLO C[C@H](CS(C)(=O)=O)[N@@H+](CCOc1ccc(C#N)cc1)C1CC1 ZINC000113263348 407484206 /nfs/dbraw/zinc/48/42/06/407484206.db2.gz XDMVDKAUQHYUST-CYBMUJFWSA-N 1 2 322.430 1.835 20 30 DDEDLO CN(CCCn1cc[nH+]c1)C(=O)C(=O)Nc1ccc(C#N)cc1 ZINC000129916631 407634687 /nfs/dbraw/zinc/63/46/87/407634687.db2.gz ZYJXKBUNGRTMLW-UHFFFAOYSA-N 1 2 311.345 1.242 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)C[N@H+]1CCOc1ccc(C#N)cc1 ZINC000116285483 407739141 /nfs/dbraw/zinc/73/91/41/407739141.db2.gz ANWWXAKXZNORLD-GJZGRUSLSA-N 1 2 304.346 1.199 20 30 DDEDLO COC(=O)[C@@H]1C[C@H](OC)C[N@@H+]1CCOc1ccc(C#N)cc1 ZINC000116285483 407739145 /nfs/dbraw/zinc/73/91/45/407739145.db2.gz ANWWXAKXZNORLD-GJZGRUSLSA-N 1 2 304.346 1.199 20 30 DDEDLO COCCO[C@H]1CCC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000179362642 407778961 /nfs/dbraw/zinc/77/89/61/407778961.db2.gz RJXOHWOABRGWPG-INIZCTEOSA-N 1 2 317.389 1.624 20 30 DDEDLO COCCO[C@H]1CCC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000179362642 407778968 /nfs/dbraw/zinc/77/89/68/407778968.db2.gz RJXOHWOABRGWPG-INIZCTEOSA-N 1 2 317.389 1.624 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)Nc2ccccc2SCC#N)CC[C@@H]1O ZINC000186902510 407792572 /nfs/dbraw/zinc/79/25/72/407792572.db2.gz WZEKLIIGKDJPHS-JSGCOSHPSA-N 1 2 319.430 1.943 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)Nc2ccccc2SCC#N)CC[C@@H]1O ZINC000186902510 407792579 /nfs/dbraw/zinc/79/25/79/407792579.db2.gz WZEKLIIGKDJPHS-JSGCOSHPSA-N 1 2 319.430 1.943 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)cn1 ZINC000268048939 407869750 /nfs/dbraw/zinc/86/97/50/407869750.db2.gz KNKSYTNXTJVOSJ-NSHDSACASA-N 1 2 317.374 1.245 20 30 DDEDLO CC#CCNC(=O)NC[C@@H](c1cccs1)[NH+]1CCOCC1 ZINC000134931795 407952220 /nfs/dbraw/zinc/95/22/20/407952220.db2.gz LTKLXHUKOQBOEZ-ZDUSSCGKSA-N 1 2 307.419 1.444 20 30 DDEDLO C=CCS(=O)(=O)CCNC(=O)[C@H](c1ccccc1)[NH+](C)C ZINC000273040641 408028723 /nfs/dbraw/zinc/02/87/23/408028723.db2.gz GCENHTUMVTVMSM-AWEZNQCLSA-N 1 2 310.419 1.006 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@H+]3CCC[C@H]3C(N)=O)C2=O)cc1 ZINC000245523954 408006146 /nfs/dbraw/zinc/00/61/46/408006146.db2.gz NHZGZRKSFJJBME-LSDHHAIUSA-N 1 2 312.373 1.003 20 30 DDEDLO N#Cc1ccc(N2CCC[C@@H]([N@@H+]3CCC[C@H]3C(N)=O)C2=O)cc1 ZINC000245523954 408006151 /nfs/dbraw/zinc/00/61/51/408006151.db2.gz NHZGZRKSFJJBME-LSDHHAIUSA-N 1 2 312.373 1.003 20 30 DDEDLO COc1ccc(CN(CCC#N)CC[NH+]2CCOCC2)cc1O ZINC000154779651 408084723 /nfs/dbraw/zinc/08/47/23/408084723.db2.gz FMOLVZDEVHJNHW-UHFFFAOYSA-N 1 2 319.405 1.449 20 30 DDEDLO COc1ccc(C[N@@H+]2CCNC(=O)[C@H]2CC(C)C)cc1C#N ZINC000189783824 408108339 /nfs/dbraw/zinc/10/83/39/408108339.db2.gz MUIXDFCHGATXTN-OAHLLOKOSA-N 1 2 301.390 1.913 20 30 DDEDLO COc1ccc(C[N@H+]2CCNC(=O)[C@H]2CC(C)C)cc1C#N ZINC000189783824 408108342 /nfs/dbraw/zinc/10/83/42/408108342.db2.gz MUIXDFCHGATXTN-OAHLLOKOSA-N 1 2 301.390 1.913 20 30 DDEDLO CC[N@@H+](Cc1c(C)nn(C)c1N1CCOCC1)C[C@H](C)C#N ZINC000120528892 408131981 /nfs/dbraw/zinc/13/19/81/408131981.db2.gz PMHSWCBEKHZAMQ-CYBMUJFWSA-N 1 2 305.426 1.547 20 30 DDEDLO CC[N@H+](Cc1c(C)nn(C)c1N1CCOCC1)C[C@H](C)C#N ZINC000120528892 408131988 /nfs/dbraw/zinc/13/19/88/408131988.db2.gz PMHSWCBEKHZAMQ-CYBMUJFWSA-N 1 2 305.426 1.547 20 30 DDEDLO CC[N@H+](Cc1ccc(C#N)cc1OC)[C@H]1CCS(=O)(=O)C1 ZINC000121287841 408177070 /nfs/dbraw/zinc/17/70/70/408177070.db2.gz CQAACETUQNUKFS-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO CC[N@@H+](Cc1ccc(C#N)cc1OC)[C@H]1CCS(=O)(=O)C1 ZINC000121287841 408177077 /nfs/dbraw/zinc/17/70/77/408177077.db2.gz CQAACETUQNUKFS-AWEZNQCLSA-N 1 2 308.403 1.576 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)Nc1nc2c(s1)C[N@H+](C)CC2 ZINC000175835368 408216524 /nfs/dbraw/zinc/21/65/24/408216524.db2.gz QDTGOXDUIYQHDL-UHFFFAOYSA-N 1 2 301.375 1.593 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)Nc1nc2c(s1)C[N@@H+](C)CC2 ZINC000175835368 408216527 /nfs/dbraw/zinc/21/65/27/408216527.db2.gz QDTGOXDUIYQHDL-UHFFFAOYSA-N 1 2 301.375 1.593 20 30 DDEDLO C=CCN(C(=O)/C=C/c1[nH+]ccn1CC)[C@@H]1CCS(=O)(=O)C1 ZINC000158757839 408361201 /nfs/dbraw/zinc/36/12/01/408361201.db2.gz LJTFKPKWXBBRRF-URWSZGRFSA-N 1 2 323.418 1.118 20 30 DDEDLO COC[C@H]1C[N@H+](CCC(=O)Nc2sccc2C#N)CCO1 ZINC000172877002 162308856 /nfs/dbraw/zinc/30/88/56/162308856.db2.gz DUDOYWZCFGCTLI-GFCCVEGCSA-N 1 2 309.391 1.296 20 30 DDEDLO COC[C@H]1C[N@@H+](CCC(=O)Nc2sccc2C#N)CCO1 ZINC000172877002 162308859 /nfs/dbraw/zinc/30/88/59/162308859.db2.gz DUDOYWZCFGCTLI-GFCCVEGCSA-N 1 2 309.391 1.296 20 30 DDEDLO Cn1cc(C[NH+]2CCN(C(=O)c3ccccc3)CC2)cc1C#N ZINC000191148835 408376350 /nfs/dbraw/zinc/37/63/50/408376350.db2.gz PWPZGZVPRKRUCZ-UHFFFAOYSA-N 1 2 308.385 1.855 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)[NH+]1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000183981153 408522269 /nfs/dbraw/zinc/52/22/69/408522269.db2.gz VSPIIAWGSYIANO-PBHICJAKSA-N 1 2 313.401 1.942 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(Cc2ccncc2)CC1 ZINC000161163275 408566661 /nfs/dbraw/zinc/56/66/61/408566661.db2.gz RCINETZWRSAKMD-UHFFFAOYSA-N 1 2 314.433 1.400 20 30 DDEDLO C=CCN(CC=C)C(=O)CN1CC[NH+](Cc2ccncc2)CC1 ZINC000161163275 408566664 /nfs/dbraw/zinc/56/66/64/408566664.db2.gz RCINETZWRSAKMD-UHFFFAOYSA-N 1 2 314.433 1.400 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCC(=O)N(CC(C)C)C2CC2)cc1 ZINC000177474506 408630935 /nfs/dbraw/zinc/63/09/35/408630935.db2.gz DTEKSOCMKIUXAP-UHFFFAOYSA-N 1 2 319.405 1.979 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000275689620 408647471 /nfs/dbraw/zinc/64/74/71/408647471.db2.gz QUMOMPOSKXXUFW-INIZCTEOSA-N 1 2 323.400 1.729 20 30 DDEDLO COc1ncccc1C[N@@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000193387146 408716088 /nfs/dbraw/zinc/71/60/88/408716088.db2.gz GMLLWMXCMBCMPY-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO COc1ncccc1C[N@H+]1CCN(c2nccnc2C#N)C[C@H]1C ZINC000193387146 408716091 /nfs/dbraw/zinc/71/60/91/408716091.db2.gz GMLLWMXCMBCMPY-CYBMUJFWSA-N 1 2 324.388 1.463 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2ncccn2)C1 ZINC000275975420 408737891 /nfs/dbraw/zinc/73/78/91/408737891.db2.gz NXKDRABEKVZBEE-GJZGRUSLSA-N 1 2 318.421 1.491 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000275975420 408737895 /nfs/dbraw/zinc/73/78/95/408737895.db2.gz NXKDRABEKVZBEE-GJZGRUSLSA-N 1 2 318.421 1.491 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(F)cc3C#N)C[C@H]21 ZINC000285872473 408880825 /nfs/dbraw/zinc/88/08/25/408880825.db2.gz RKSFCMWLQUAVGR-CABCVRRESA-N 1 2 318.352 1.634 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(F)cc3C#N)C[C@H]21 ZINC000285872473 408880828 /nfs/dbraw/zinc/88/08/28/408880828.db2.gz RKSFCMWLQUAVGR-CABCVRRESA-N 1 2 318.352 1.634 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](CCOCC(F)F)CC2)cc1 ZINC000276323525 408836172 /nfs/dbraw/zinc/83/61/72/408836172.db2.gz FTRQBHXKPHHGCA-UHFFFAOYSA-N 1 2 309.360 1.958 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](c1ccc(C)cc1)[C@@H](C)S(C)(=O)=O ZINC000280909039 408856784 /nfs/dbraw/zinc/85/67/84/408856784.db2.gz RYFZVUAUSCIHHD-CJNGLKHVSA-N 1 2 324.446 1.361 20 30 DDEDLO C#CCCNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2Cc1ccccc1 ZINC000281103061 408872104 /nfs/dbraw/zinc/87/21/04/408872104.db2.gz VNOZRGBFWUKSBI-SJORKVTESA-N 1 2 313.401 1.305 20 30 DDEDLO C#CCCNC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2Cc1ccccc1 ZINC000281103061 408872108 /nfs/dbraw/zinc/87/21/08/408872108.db2.gz VNOZRGBFWUKSBI-SJORKVTESA-N 1 2 313.401 1.305 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](n2cccn2)C1 ZINC000291783495 408922482 /nfs/dbraw/zinc/92/24/82/408922482.db2.gz MIODZMMNFIHVCM-JKSUJKDBSA-N 1 2 310.357 1.916 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](n2cccn2)C1 ZINC000291783495 408922484 /nfs/dbraw/zinc/92/24/84/408922484.db2.gz MIODZMMNFIHVCM-JKSUJKDBSA-N 1 2 310.357 1.916 20 30 DDEDLO Cc1cccn2cc(CNS(=O)(=O)N(C)C[C@@H](C)C#N)[nH+]c12 ZINC000281784162 408929139 /nfs/dbraw/zinc/92/91/39/408929139.db2.gz NHAKJCHXFDPYHX-NSHDSACASA-N 1 2 321.406 1.069 20 30 DDEDLO C#CCC(CC#C)C(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000277357103 408926378 /nfs/dbraw/zinc/92/63/78/408926378.db2.gz GKDDMIWGXMXTAO-UHFFFAOYSA-N 1 2 324.424 1.798 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000192143881 163216909 /nfs/dbraw/zinc/21/69/09/163216909.db2.gz NGCTVNOVOZMKDF-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CCNS(=O)(=O)[C@H]1CC[N@@H+](Cc2ccc(C#N)c(F)c2)C1 ZINC000192143881 163216912 /nfs/dbraw/zinc/21/69/12/163216912.db2.gz NGCTVNOVOZMKDF-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO N#Cc1c(C[NH+]2CCN(c3cnccn3)CC2)cn2ccccc12 ZINC000192601220 163229025 /nfs/dbraw/zinc/22/90/25/163229025.db2.gz FKXOKRQMUUQRET-UHFFFAOYSA-N 1 2 318.384 1.923 20 30 DDEDLO COC(=O)[C@@H](CC(C)C)[NH+]1CCN(c2cc(C#N)ccn2)CC1 ZINC000286171657 408935105 /nfs/dbraw/zinc/93/51/05/408935105.db2.gz YRTWQFCWRVPOAA-OAHLLOKOSA-N 1 2 316.405 1.663 20 30 DDEDLO C=CCN1CC[C@H]([NH+]2CCN(c3nc(C)c(C)s3)CC2)C1=O ZINC000281875856 408946057 /nfs/dbraw/zinc/94/60/57/408946057.db2.gz COHZZJWRKXILQT-AWEZNQCLSA-N 1 2 320.462 1.669 20 30 DDEDLO Cc1cc(C)c(C#N)c(N2C[C@@H]([NH+]3CCOCC3)C[C@@H]2C)n1 ZINC000286274638 408954542 /nfs/dbraw/zinc/95/45/42/408954542.db2.gz HOFMYZYOAXMOCO-GJZGRUSLSA-N 1 2 300.406 1.870 20 30 DDEDLO C=CC[N@@H+](CCC#N)C[C@H](O)COCc1ccccc1OC ZINC000292369035 409027791 /nfs/dbraw/zinc/02/77/91/409027791.db2.gz YMKCBVAWHJSSPN-INIZCTEOSA-N 1 2 304.390 1.974 20 30 DDEDLO C=CC[N@H+](CCC#N)C[C@H](O)COCc1ccccc1OC ZINC000292369035 409027792 /nfs/dbraw/zinc/02/77/92/409027792.db2.gz YMKCBVAWHJSSPN-INIZCTEOSA-N 1 2 304.390 1.974 20 30 DDEDLO COCC[N@H+](CCO)Cc1ccc(Br)cc1C#N ZINC000287483169 409044310 /nfs/dbraw/zinc/04/43/10/409044310.db2.gz XDRHHQIBMBWOEK-UHFFFAOYSA-N 1 2 313.195 1.761 20 30 DDEDLO COCC[N@@H+](CCO)Cc1ccc(Br)cc1C#N ZINC000287483169 409044312 /nfs/dbraw/zinc/04/43/12/409044312.db2.gz XDRHHQIBMBWOEK-UHFFFAOYSA-N 1 2 313.195 1.761 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CC(=O)N(C)[C@H](C)C2)cc1OC ZINC000282752313 409062515 /nfs/dbraw/zinc/06/25/15/409062515.db2.gz OENIJAHPCVOERG-CYBMUJFWSA-N 1 2 304.390 1.923 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CC(=O)N(C)[C@H](C)C2)cc1OC ZINC000282752313 409062517 /nfs/dbraw/zinc/06/25/17/409062517.db2.gz OENIJAHPCVOERG-CYBMUJFWSA-N 1 2 304.390 1.923 20 30 DDEDLO COc1cccc(C(N)=[NH+]OCc2nnc3n2CCCCC3)c1 ZINC000278665655 409087165 /nfs/dbraw/zinc/08/71/65/409087165.db2.gz NONCPBPOKQBTHR-UHFFFAOYSA-N 1 2 315.377 1.850 20 30 DDEDLO C#CCNC(=O)CC[N@@H+](CC(=O)OC)Cc1ccc(Cl)cc1 ZINC000293517168 409129582 /nfs/dbraw/zinc/12/95/82/409129582.db2.gz OSMBCIHVTYCTKZ-UHFFFAOYSA-N 1 2 322.792 1.455 20 30 DDEDLO C#CCNC(=O)CC[N@H+](CC(=O)OC)Cc1ccc(Cl)cc1 ZINC000293517168 409129584 /nfs/dbraw/zinc/12/95/84/409129584.db2.gz OSMBCIHVTYCTKZ-UHFFFAOYSA-N 1 2 322.792 1.455 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3ccccc3)n2CC=C)CC1 ZINC000283377125 409146350 /nfs/dbraw/zinc/14/63/50/409146350.db2.gz CUVUMZDJPLPJRK-UHFFFAOYSA-N 1 2 307.401 1.886 20 30 DDEDLO CO[C@@]1(C)CCC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000279216663 409171810 /nfs/dbraw/zinc/17/18/10/409171810.db2.gz CKZASUISNIWODN-INIZCTEOSA-N 1 2 322.430 1.833 20 30 DDEDLO CO[C@@]1(C)CCC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000279216663 409171812 /nfs/dbraw/zinc/17/18/12/409171812.db2.gz CKZASUISNIWODN-INIZCTEOSA-N 1 2 322.430 1.833 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)c1ccc(F)c(C#N)c1)[NH+]1CCOCC1 ZINC000279549321 409235607 /nfs/dbraw/zinc/23/56/07/409235607.db2.gz XMOUQFWDRVORFT-NWDGAFQWSA-N 1 2 305.353 1.536 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cc3nccnc3cc2F)CC1 ZINC000279585347 409241751 /nfs/dbraw/zinc/24/17/51/409241751.db2.gz QXMUWHJQCLAOKL-UHFFFAOYSA-N 1 2 312.348 1.550 20 30 DDEDLO C[C@@H](CNC(=O)[C@H](C)O[NH+]=C(N)[C@H]1CCCO1)c1ccccc1 ZINC000284104715 409275773 /nfs/dbraw/zinc/27/57/73/409275773.db2.gz LIGFYRKERVGSEP-KCQAQPDRSA-N 1 2 319.405 1.763 20 30 DDEDLO C[C@@H](C[N@@H+]1CCC[C@@H](C)C1)NS(=O)(=O)c1cncc(C#N)c1 ZINC000289460989 409276908 /nfs/dbraw/zinc/27/69/08/409276908.db2.gz LZCCQIYJJLANEI-OLZOCXBDSA-N 1 2 322.434 1.352 20 30 DDEDLO C[C@@H](C[N@H+]1CCC[C@@H](C)C1)NS(=O)(=O)c1cncc(C#N)c1 ZINC000289460989 409276912 /nfs/dbraw/zinc/27/69/12/409276912.db2.gz LZCCQIYJJLANEI-OLZOCXBDSA-N 1 2 322.434 1.352 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)Cc2cnc(C(C)(C)C)nc2)C1=O ZINC000284304949 409315850 /nfs/dbraw/zinc/31/58/50/409315850.db2.gz JBIGHTOKTWFXJC-AWEZNQCLSA-N 1 2 302.422 1.993 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)Cc2cnc(C(C)(C)C)nc2)C1=O ZINC000284304949 409315853 /nfs/dbraw/zinc/31/58/53/409315853.db2.gz JBIGHTOKTWFXJC-AWEZNQCLSA-N 1 2 302.422 1.993 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CN(C(=O)OC(C)(C)C)CCN1CC#N ZINC000284654057 409359682 /nfs/dbraw/zinc/35/96/82/409359682.db2.gz GCXFOPCZTBSYNI-LBPRGKRZSA-N 1 2 305.382 1.537 20 30 DDEDLO CO/N=C(/C(=O)N1CC(C)(C)[C@@H]1c1[nH+]ccn1C)c1ccco1 ZINC000295801525 409371894 /nfs/dbraw/zinc/37/18/94/409371894.db2.gz FQYURNUDZAVBIF-HTMJNSLASA-N 1 2 316.361 1.973 20 30 DDEDLO C=C[C@@H](CO)NC(=O)Nc1cccc([C@H](C)[NH+]2CCOCC2)c1 ZINC000290414807 409393724 /nfs/dbraw/zinc/39/37/24/409393724.db2.gz NBTVKUISHMJVKU-ZFWWWQNUSA-N 1 2 319.405 1.748 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@@H]21 ZINC000290273824 409344326 /nfs/dbraw/zinc/34/43/26/409344326.db2.gz WJGCSIWENFYMKY-GJZGRUSLSA-N 1 2 318.352 1.634 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3ccc(C#N)c(F)c3)C[C@@H]21 ZINC000290273824 409344328 /nfs/dbraw/zinc/34/43/28/409344328.db2.gz WJGCSIWENFYMKY-GJZGRUSLSA-N 1 2 318.352 1.634 20 30 DDEDLO Cc1ccc(C(N)=[NH+]OC[C@@H]2CCCS(=O)(=O)C2)cc1F ZINC000284479603 409344467 /nfs/dbraw/zinc/34/44/67/409344467.db2.gz RRLMOECLFXDKHM-NSHDSACASA-N 1 2 314.382 1.596 20 30 DDEDLO Cc1c(-c2cc(CO[NH+]=C(N)[C@H]3CCCO3)on2)cnn1C ZINC000284603621 409351811 /nfs/dbraw/zinc/35/18/11/409351811.db2.gz YOTKGWJSKPUNLQ-CYBMUJFWSA-N 1 2 305.338 1.351 20 30 DDEDLO C#Cc1ccc(CNC(=O)NCC(C)(C)[NH+]2CCOCC2)cc1 ZINC000296040700 409408037 /nfs/dbraw/zinc/40/80/37/409408037.db2.gz AJKMNIDJJWZSEV-UHFFFAOYSA-N 1 2 315.417 1.578 20 30 DDEDLO C[NH+](C)[C@H](CNS(=O)(=O)CC(C)(C)CC#N)c1ccco1 ZINC000295279894 409410658 /nfs/dbraw/zinc/41/06/58/409410658.db2.gz KLQPXKGLKDVEFD-GFCCVEGCSA-N 1 2 313.423 1.742 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000285445760 409449592 /nfs/dbraw/zinc/44/95/92/409449592.db2.gz IPISIIKWHVRRAR-LBPRGKRZSA-N 1 2 305.382 1.365 20 30 DDEDLO C=CCn1cccc1C(=O)N1CC[C@@H]([NH+]2CCOCC2)[C@@H]1C ZINC000356771853 409589418 /nfs/dbraw/zinc/58/94/18/409589418.db2.gz NXAVCADWKRWHQS-LSDHHAIUSA-N 1 2 303.406 1.609 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@@H+]1Cc1ccc(C#N)cc1F ZINC000354058422 409613831 /nfs/dbraw/zinc/61/38/31/409613831.db2.gz HLCOFEOKMKQIQQ-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)C[C@H]1CCC[N@H+]1Cc1ccc(C#N)cc1F ZINC000354058422 409613836 /nfs/dbraw/zinc/61/38/36/409613836.db2.gz HLCOFEOKMKQIQQ-CYBMUJFWSA-N 1 2 311.382 1.211 20 30 DDEDLO C=CCOCC(=O)N[C@H](C[NH+]1CCOCC1)c1ccccc1 ZINC000354303824 409750837 /nfs/dbraw/zinc/75/08/37/409750837.db2.gz KKMGHFGABCZVBU-MRXNPFEDSA-N 1 2 304.390 1.379 20 30 DDEDLO CCO[C@@H](C)c1noc(C[N@H+](C)CCC(=O)N(C)CCC#N)n1 ZINC000357009833 409789539 /nfs/dbraw/zinc/78/95/39/409789539.db2.gz RSPDXZXJZLPRCB-LBPRGKRZSA-N 1 2 323.397 1.361 20 30 DDEDLO CCO[C@@H](C)c1noc(C[N@@H+](C)CCC(=O)N(C)CCC#N)n1 ZINC000357009833 409789549 /nfs/dbraw/zinc/78/95/49/409789549.db2.gz RSPDXZXJZLPRCB-LBPRGKRZSA-N 1 2 323.397 1.361 20 30 DDEDLO CC(C)Cn1cc[nH+]c1CNS(=O)(=O)CC1(C#N)CCC1 ZINC000357167167 409916972 /nfs/dbraw/zinc/91/69/72/409916972.db2.gz LGLIDNGLWVGNJY-UHFFFAOYSA-N 1 2 310.423 1.652 20 30 DDEDLO C=CCCCN(C)C(=O)[C@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000354469463 409879376 /nfs/dbraw/zinc/87/93/76/409879376.db2.gz XCEXFKCAPDCYNL-HNNXBMFYSA-N 1 2 320.437 1.908 20 30 DDEDLO C=CCCCN(C)C(=O)[C@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000354469463 409879381 /nfs/dbraw/zinc/87/93/81/409879381.db2.gz XCEXFKCAPDCYNL-HNNXBMFYSA-N 1 2 320.437 1.908 20 30 DDEDLO C[C@H](NC(=O)C1CCCC1)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC000328788091 409978808 /nfs/dbraw/zinc/97/88/08/409978808.db2.gz JXQDUORNGZELPJ-YUTCNCBUSA-N 1 2 309.410 1.063 20 30 DDEDLO C[C@H](NC(=O)C1CCCC1)C(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC000328788091 409978813 /nfs/dbraw/zinc/97/88/13/409978813.db2.gz JXQDUORNGZELPJ-YUTCNCBUSA-N 1 2 309.410 1.063 20 30 DDEDLO O=C(CN1CC[NH+](CC(=O)N2CCC2)CC1)NC1CCCCC1 ZINC000328938353 410016219 /nfs/dbraw/zinc/01/62/19/410016219.db2.gz GRQCUNKCLJVDRZ-UHFFFAOYSA-N 1 2 322.453 1.126 20 30 DDEDLO Cc1noc([C@@H]2C[N@@H+](Cc3ccc(C#N)cc3F)CCN2C)n1 ZINC000332289164 410043882 /nfs/dbraw/zinc/04/38/82/410043882.db2.gz JEOZXQVPLFULAR-HNNXBMFYSA-N 1 2 315.352 1.878 20 30 DDEDLO Cc1noc([C@@H]2C[N@H+](Cc3ccc(C#N)cc3F)CCN2C)n1 ZINC000332289164 410043887 /nfs/dbraw/zinc/04/38/87/410043887.db2.gz JEOZXQVPLFULAR-HNNXBMFYSA-N 1 2 315.352 1.878 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCCOC3CCCC3)C[C@H]21 ZINC000328855790 409996940 /nfs/dbraw/zinc/99/69/40/409996940.db2.gz CUHIOUMOSQAKAG-HUUCEWRRSA-N 1 2 311.426 1.265 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCCOC3CCCC3)C[C@H]21 ZINC000328855790 409996946 /nfs/dbraw/zinc/99/69/46/409996946.db2.gz CUHIOUMOSQAKAG-HUUCEWRRSA-N 1 2 311.426 1.265 20 30 DDEDLO CN1CCO[C@@H]2CC[N@H+](CC(=O)NC3(C#N)CCCCC3)C[C@H]21 ZINC000328905844 410006720 /nfs/dbraw/zinc/00/67/20/410006720.db2.gz KHWZPAQIJVPRJF-HUUCEWRRSA-N 1 2 320.437 1.574 20 30 DDEDLO CN1CCO[C@@H]2CC[N@@H+](CC(=O)NC3(C#N)CCCCC3)C[C@H]21 ZINC000328905844 410006726 /nfs/dbraw/zinc/00/67/26/410006726.db2.gz KHWZPAQIJVPRJF-HUUCEWRRSA-N 1 2 320.437 1.574 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(CC(=O)NC3(C#N)CCCCC3)C[C@H]21 ZINC000328905844 410006731 /nfs/dbraw/zinc/00/67/31/410006731.db2.gz KHWZPAQIJVPRJF-HUUCEWRRSA-N 1 2 320.437 1.574 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(CC(=O)NC3(C#N)CCCCC3)C[C@H]21 ZINC000328905844 410006736 /nfs/dbraw/zinc/00/67/36/410006736.db2.gz KHWZPAQIJVPRJF-HUUCEWRRSA-N 1 2 320.437 1.574 20 30 DDEDLO Cc1cc(NC(=O)N[C@H]2CCOC3(CCOCC3)C2)cc[nH+]1 ZINC000329028947 410060114 /nfs/dbraw/zinc/06/01/14/410060114.db2.gz WMBCNRUKCSALGW-AWEZNQCLSA-N 1 2 305.378 1.866 20 30 DDEDLO CC(=O)N1CC[NH+](CCNC(=O)[C@@H]2CCc3ccccc32)CC1 ZINC000329125020 410116739 /nfs/dbraw/zinc/11/67/39/410116739.db2.gz XVKBLBQJCVUXJG-QGZVFWFLSA-N 1 2 315.417 1.837 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@@H]3C2)c1C ZINC000329160935 410139087 /nfs/dbraw/zinc/13/90/87/410139087.db2.gz YPPPLIQGEOJDAV-CHWSQXEVSA-N 1 2 307.398 1.080 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@@H]3C2)c1C ZINC000329160935 410139092 /nfs/dbraw/zinc/13/90/92/410139092.db2.gz YPPPLIQGEOJDAV-CHWSQXEVSA-N 1 2 307.398 1.080 20 30 DDEDLO CCCc1csc(NC(=O)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)n1 ZINC000329189726 410155391 /nfs/dbraw/zinc/15/53/91/410155391.db2.gz CCSRLUGQDNAROG-NWDGAFQWSA-N 1 2 310.423 1.656 20 30 DDEDLO CCCc1csc(NC(=O)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)n1 ZINC000329189726 410155397 /nfs/dbraw/zinc/15/53/97/410155397.db2.gz CCSRLUGQDNAROG-NWDGAFQWSA-N 1 2 310.423 1.656 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)N[C@H]1C(=O)NCC[C@H]1C ZINC000329231025 410179255 /nfs/dbraw/zinc/17/92/55/410179255.db2.gz KIBYSGJKLFYLTH-XJFOESAGSA-N 1 2 324.425 1.060 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCCS2)CC1 ZINC000329332447 410240280 /nfs/dbraw/zinc/24/02/80/410240280.db2.gz MTXSVMAWYQIULF-ZDUSSCGKSA-N 1 2 313.467 1.783 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCCCS2)CC1 ZINC000329332447 410240288 /nfs/dbraw/zinc/24/02/88/410240288.db2.gz MTXSVMAWYQIULF-ZDUSSCGKSA-N 1 2 313.467 1.783 20 30 DDEDLO C[C@H](C#N)C(=O)NCc1cccc(OCC[NH+]2CCOCC2)c1 ZINC000357790090 410250890 /nfs/dbraw/zinc/25/08/90/410250890.db2.gz KYGIZRREYHJHAH-CQSZACIVSA-N 1 2 317.389 1.173 20 30 DDEDLO CC(=O)N1CC[C@H](NC(=O)[C@H]2COCC[N@@H+]2C2CCCC2)C1 ZINC000329387572 410272228 /nfs/dbraw/zinc/27/22/28/410272228.db2.gz RAEDOGCRZKVMTK-DZGCQCFKSA-N 1 2 309.410 1.207 20 30 DDEDLO CC(=O)N1CC[C@H](NC(=O)[C@H]2COCC[N@H+]2C2CCCC2)C1 ZINC000329387572 410272233 /nfs/dbraw/zinc/27/22/33/410272233.db2.gz RAEDOGCRZKVMTK-DZGCQCFKSA-N 1 2 309.410 1.207 20 30 DDEDLO CC(C)N1C[C@H](NC(=O)C2([NH+]3CCOCC3)CCC2)CC1=O ZINC000329493210 410327834 /nfs/dbraw/zinc/32/78/34/410327834.db2.gz ZZFBUSPDLBLFPF-CYBMUJFWSA-N 1 2 309.410 1.207 20 30 DDEDLO COc1ccnc(C[NH+]2CCN(c3cccc(C#N)n3)CC2)c1 ZINC000355132048 410330305 /nfs/dbraw/zinc/33/03/05/410330305.db2.gz VVZSQKIDNSGQFN-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO COc1cc[nH+]c(CN2CCN(c3cccc(C#N)n3)CC2)c1 ZINC000355132048 410330308 /nfs/dbraw/zinc/33/03/08/410330308.db2.gz VVZSQKIDNSGQFN-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO COc1cc(C[N@H+](C)C[C@@H](C)C#N)cc(OC)c1OCC(N)=O ZINC000352091860 410472270 /nfs/dbraw/zinc/47/22/70/410472270.db2.gz LTZWCLWMWFUMCA-NSHDSACASA-N 1 2 321.377 1.159 20 30 DDEDLO COc1cc(C[N@@H+](C)C[C@@H](C)C#N)cc(OC)c1OCC(N)=O ZINC000352091860 410472272 /nfs/dbraw/zinc/47/22/72/410472272.db2.gz LTZWCLWMWFUMCA-NSHDSACASA-N 1 2 321.377 1.159 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@@H+]1C[C@@H]2OCCN(C)[C@H]2C1 ZINC000329914493 410483941 /nfs/dbraw/zinc/48/39/41/410483941.db2.gz CLSLYEAMFWJSLK-ILXRZTDVSA-N 1 2 320.437 1.573 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)[N@H+]1C[C@@H]2OCCN(C)[C@H]2C1 ZINC000329914493 410483946 /nfs/dbraw/zinc/48/39/46/410483946.db2.gz CLSLYEAMFWJSLK-ILXRZTDVSA-N 1 2 320.437 1.573 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329914493 410483950 /nfs/dbraw/zinc/48/39/50/410483950.db2.gz CLSLYEAMFWJSLK-ILXRZTDVSA-N 1 2 320.437 1.573 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCCCC1)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329914493 410483955 /nfs/dbraw/zinc/48/39/55/410483955.db2.gz CLSLYEAMFWJSLK-ILXRZTDVSA-N 1 2 320.437 1.573 20 30 DDEDLO C=CCN(Cc1c[nH+]c2ccccn12)[C@H]1CCS(=O)(=O)C1 ZINC000352550683 410665934 /nfs/dbraw/zinc/66/59/34/410665934.db2.gz PAAZJJKFNYJQCT-ZDUSSCGKSA-N 1 2 305.403 1.509 20 30 DDEDLO N#Cc1ccc(C(=O)N2CCCC[C@@H]2C[NH+]2CCOCC2)o1 ZINC000340170106 410724541 /nfs/dbraw/zinc/72/45/41/410724541.db2.gz ZLVCDWJYJUIIGD-CYBMUJFWSA-N 1 2 303.362 1.478 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]CCS(=O)(=O)c2ccc(C#N)cc2)n1 ZINC000348096666 410832932 /nfs/dbraw/zinc/83/29/32/410832932.db2.gz RZVJSHGSWRAIMD-SNVBAGLBSA-N 1 2 320.374 1.374 20 30 DDEDLO CC[N@@H+](CC(=O)NC[C@H]1COc2ccccc2O1)C[C@@H](C)C#N ZINC000353305779 410912563 /nfs/dbraw/zinc/91/25/63/410912563.db2.gz DTKVIDIJZDCXKG-KBPBESRZSA-N 1 2 317.389 1.424 20 30 DDEDLO CC[N@H+](CC(=O)NC[C@H]1COc2ccccc2O1)C[C@@H](C)C#N ZINC000353305779 410912568 /nfs/dbraw/zinc/91/25/68/410912568.db2.gz DTKVIDIJZDCXKG-KBPBESRZSA-N 1 2 317.389 1.424 20 30 DDEDLO N#Cc1c(N)n[nH]c1CCCNC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000353343365 410930338 /nfs/dbraw/zinc/93/03/38/410930338.db2.gz HBKSGFUNGMNLEL-UHFFFAOYSA-N 1 2 324.348 1.266 20 30 DDEDLO C[N@H+](Cc1ccc(C#N)cc1)[C@H]1CC(=O)N(c2cnccn2)C1=O ZINC000341213888 410946063 /nfs/dbraw/zinc/94/60/63/410946063.db2.gz AAINBOJCAIRLRH-AWEZNQCLSA-N 1 2 321.340 1.112 20 30 DDEDLO C[N@@H+](Cc1ccc(C#N)cc1)[C@H]1CC(=O)N(c2cnccn2)C1=O ZINC000341213888 410946069 /nfs/dbraw/zinc/94/60/69/410946069.db2.gz AAINBOJCAIRLRH-AWEZNQCLSA-N 1 2 321.340 1.112 20 30 DDEDLO CN(Cc1[nH]cc[nH+]1)C(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C ZINC000356550490 411083145 /nfs/dbraw/zinc/08/31/45/411083145.db2.gz OXFLSPRCWVTYKW-HNNXBMFYSA-N 1 2 306.366 1.630 20 30 DDEDLO CN(C)C(=O)Cc1nc(C[NH+]2CCC(C)(C#N)CC2)cs1 ZINC000356432715 411029637 /nfs/dbraw/zinc/02/96/37/411029637.db2.gz KVCQRGMKJABGBY-UHFFFAOYSA-N 1 2 306.435 1.899 20 30 DDEDLO Cc1[nH+]c2ccc(C(=O)N3CCSC[C@@H]3C#N)cc2n1C ZINC000356648428 411117147 /nfs/dbraw/zinc/11/71/47/411117147.db2.gz SXUNLYHCBNMAHC-LBPRGKRZSA-N 1 2 300.387 1.963 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNC(=O)Nc2ccn(CCC#N)n2)CCO1 ZINC000488530760 416560949 /nfs/dbraw/zinc/56/09/49/416560949.db2.gz KLSQZEQCFYHXAL-CYBMUJFWSA-N 1 2 320.397 1.029 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNC(=O)Nc2ccn(CCC#N)n2)CCO1 ZINC000488530760 416560952 /nfs/dbraw/zinc/56/09/52/416560952.db2.gz KLSQZEQCFYHXAL-CYBMUJFWSA-N 1 2 320.397 1.029 20 30 DDEDLO Cc1nc(N2CCN(c3ccsc3C#N)CC2)nc(N)[nH+]1 ZINC000601190714 416620519 /nfs/dbraw/zinc/62/05/19/416620519.db2.gz DALHPBRBWINXHM-UHFFFAOYSA-N 1 2 301.379 1.022 20 30 DDEDLO Cc1nc(N)nc(N2CCN(c3ccsc3C#N)CC2)[nH+]1 ZINC000601190714 416620522 /nfs/dbraw/zinc/62/05/22/416620522.db2.gz DALHPBRBWINXHM-UHFFFAOYSA-N 1 2 301.379 1.022 20 30 DDEDLO Cc1cc(NC(=O)N[C@@H](C)C[NH+]2CCOCC2)ncc1C#N ZINC000603120519 416632115 /nfs/dbraw/zinc/63/21/15/416632115.db2.gz RMXRDUYGMMSCGZ-LBPRGKRZSA-N 1 2 303.366 1.104 20 30 DDEDLO Cn1nnc(C[NH2+]Cc2cn(CCC#N)nc2-c2ccccc2)n1 ZINC000373314096 418419968 /nfs/dbraw/zinc/41/99/68/418419968.db2.gz TVAKRUGFMCZXGH-UHFFFAOYSA-N 1 2 322.376 1.277 20 30 DDEDLO N#Cc1ncn(-c2ccccc2NC(=O)CCn2cc[nH+]c2)n1 ZINC000366044247 418421307 /nfs/dbraw/zinc/42/13/07/418421307.db2.gz DAFBVGMBUPUXGF-UHFFFAOYSA-N 1 2 307.317 1.364 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C[C@H](O)COc1ccc(C#N)cc1)CC2 ZINC000374680038 418554755 /nfs/dbraw/zinc/55/47/55/418554755.db2.gz OQIFKZKZALYKFO-HNNXBMFYSA-N 1 2 312.373 1.319 20 30 DDEDLO Cc1ccc(C[N@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cn1 ZINC000360965612 418556561 /nfs/dbraw/zinc/55/65/61/418556561.db2.gz HDAFMEZDRYVVBV-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO Cc1ccc(C[N@@H+]2CCN(c3nccnc3C#N)C[C@@H]2C)cn1 ZINC000360965612 418556563 /nfs/dbraw/zinc/55/65/63/418556563.db2.gz HDAFMEZDRYVVBV-AWEZNQCLSA-N 1 2 308.389 1.762 20 30 DDEDLO N#Cc1cc(S(=O)(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)ccc1F ZINC000374756136 418560263 /nfs/dbraw/zinc/56/02/63/418560263.db2.gz XDDNQVVWZFJWCO-ZDUSSCGKSA-N 1 2 320.349 1.946 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+](C)CC1(O)CCOCC1 ZINC000189770853 222055654 /nfs/dbraw/zinc/05/56/54/222055654.db2.gz ZXAKADMCZBZDHJ-OAHLLOKOSA-N 1 2 318.373 1.246 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+](C)CC1(O)CCOCC1 ZINC000189770853 222055657 /nfs/dbraw/zinc/05/56/57/222055657.db2.gz ZXAKADMCZBZDHJ-OAHLLOKOSA-N 1 2 318.373 1.246 20 30 DDEDLO C#CC[N@@H+](C)CC1=C(C(=O)OCC)[C@@H](c2ccco2)NC(=O)N1 ZINC000192228638 222124244 /nfs/dbraw/zinc/12/42/44/222124244.db2.gz ICBACYVQWIZAAY-CQSZACIVSA-N 1 2 317.345 1.016 20 30 DDEDLO C#CC[N@H+](C)CC1=C(C(=O)OCC)[C@@H](c2ccco2)NC(=O)N1 ZINC000192228638 222124246 /nfs/dbraw/zinc/12/42/46/222124246.db2.gz ICBACYVQWIZAAY-CQSZACIVSA-N 1 2 317.345 1.016 20 30 DDEDLO C=CC[N@H+](CCO[C@@H]1CCCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000193071397 222142898 /nfs/dbraw/zinc/14/28/98/222142898.db2.gz RSKMTTDFEOIKCT-ZIAGYGMSSA-N 1 2 303.424 1.205 20 30 DDEDLO C=CC[N@@H+](CCO[C@@H]1CCCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000193071397 222142901 /nfs/dbraw/zinc/14/29/01/222142901.db2.gz RSKMTTDFEOIKCT-ZIAGYGMSSA-N 1 2 303.424 1.205 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)OC ZINC000248844390 222237603 /nfs/dbraw/zinc/23/76/03/222237603.db2.gz OWKUYQSQESXGMN-SQWLQELKSA-N 1 2 308.422 1.685 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)OC ZINC000248844390 222237607 /nfs/dbraw/zinc/23/76/07/222237607.db2.gz OWKUYQSQESXGMN-SQWLQELKSA-N 1 2 308.422 1.685 20 30 DDEDLO N#CC1(C(=O)NCc2cc[nH+]c(N3CCOCC3)c2)CCC1 ZINC000265594692 222355971 /nfs/dbraw/zinc/35/59/71/222355971.db2.gz LMDXHJAPJIILMY-UHFFFAOYSA-N 1 2 300.362 1.228 20 30 DDEDLO CC(C)(C#N)CC[NH+]1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000265993310 222357517 /nfs/dbraw/zinc/35/75/17/222357517.db2.gz IZIFDGSXVVPBCU-UHFFFAOYSA-N 1 2 321.446 1.933 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@@H+]1CCCOc1cccc(C#N)c1 ZINC000367870166 418649203 /nfs/dbraw/zinc/64/92/03/418649203.db2.gz BUHPLHYNLUYKOH-OAHLLOKOSA-N 1 2 318.373 1.591 20 30 DDEDLO COC(=O)C[C@@H]1COCC[N@H+]1CCCOc1cccc(C#N)c1 ZINC000367870166 418649206 /nfs/dbraw/zinc/64/92/06/418649206.db2.gz BUHPLHYNLUYKOH-OAHLLOKOSA-N 1 2 318.373 1.591 20 30 DDEDLO CN(C)S(=O)(=O)CC[N@@H+]1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000375574465 418657826 /nfs/dbraw/zinc/65/78/26/418657826.db2.gz OMOXZOITFBMHDS-HOTGVXAUSA-N 1 2 321.446 1.650 20 30 DDEDLO CN(C)S(=O)(=O)CC[N@H+]1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000375574465 418657828 /nfs/dbraw/zinc/65/78/28/418657828.db2.gz OMOXZOITFBMHDS-HOTGVXAUSA-N 1 2 321.446 1.650 20 30 DDEDLO CN(C)c1ccc(CNC(=O)N2CCC(C)(C#N)CC2)c[nH+]1 ZINC000272452230 222459005 /nfs/dbraw/zinc/45/90/05/222459005.db2.gz SUMCPGPZFCZZIS-UHFFFAOYSA-N 1 2 301.394 1.983 20 30 DDEDLO Cc1nnc(N2C[C@@H]([NH+]3CCOCC3)C[C@@H]2C)c(C#N)c1C ZINC000376199202 418694466 /nfs/dbraw/zinc/69/44/66/418694466.db2.gz MDESYWQDXGQYOI-FZMZJTMJSA-N 1 2 301.394 1.265 20 30 DDEDLO CN(Cc1cccc(C#N)c1)C(=O)C1([NH+]2CCOCC2)CCC1 ZINC000376806190 418701291 /nfs/dbraw/zinc/70/12/91/418701291.db2.gz HKRQFKMYMVQRBK-UHFFFAOYSA-N 1 2 313.401 1.772 20 30 DDEDLO N#Cc1c(NC(=O)[C@H]2CC[N@@H+]2Cc2ccccc2)n[nH]c1C1CC1 ZINC000377083456 418704804 /nfs/dbraw/zinc/70/48/04/418704804.db2.gz QUHKEVCPIIBHKY-OAHLLOKOSA-N 1 2 321.384 2.372 20 30 DDEDLO N#Cc1c(NC(=O)[C@H]2CC[N@H+]2Cc2ccccc2)n[nH]c1C1CC1 ZINC000377083456 418704807 /nfs/dbraw/zinc/70/48/07/418704807.db2.gz QUHKEVCPIIBHKY-OAHLLOKOSA-N 1 2 321.384 2.372 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@H+](CC(=O)NC1(C#N)CCCCC1)CCO2 ZINC000369406777 418733025 /nfs/dbraw/zinc/73/30/25/418733025.db2.gz CTOBTMYOUBVXIE-YOEHRIQHSA-N 1 2 321.421 1.209 20 30 DDEDLO C[C@@H]1OCC[C@]12C[N@@H+](CC(=O)NC1(C#N)CCCCC1)CCO2 ZINC000369406777 418733027 /nfs/dbraw/zinc/73/30/27/418733027.db2.gz CTOBTMYOUBVXIE-YOEHRIQHSA-N 1 2 321.421 1.209 20 30 DDEDLO CCn1cc[nH+]c1CN1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000369515422 418734087 /nfs/dbraw/zinc/73/40/87/418734087.db2.gz JLPNESLQPMZAHW-ACJLOTCBSA-N 1 2 321.384 1.748 20 30 DDEDLO C=C(C)CN(C)C(=O)NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000370149157 418741359 /nfs/dbraw/zinc/74/13/59/418741359.db2.gz NFXKMDJMZUMUDH-INIZCTEOSA-N 1 2 311.426 1.085 20 30 DDEDLO Cc1nccc(C[N@@H+]2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)n1 ZINC000370682111 418747099 /nfs/dbraw/zinc/74/70/99/418747099.db2.gz DJKBGXCNZXXGNH-FZKQIMNGSA-N 1 2 319.368 1.631 20 30 DDEDLO Cc1nccc(C[N@H+]2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)n1 ZINC000370682111 418747101 /nfs/dbraw/zinc/74/71/01/418747101.db2.gz DJKBGXCNZXXGNH-FZKQIMNGSA-N 1 2 319.368 1.631 20 30 DDEDLO N#C[C@H]1CN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CCN1C1CC1 ZINC000370968766 418753380 /nfs/dbraw/zinc/75/33/80/418753380.db2.gz NLOBCVJFMOCMOF-KRWDZBQOSA-N 1 2 321.384 1.685 20 30 DDEDLO C=C(Cl)CN1CCNC(=O)[C@@H]1c1c(C)[nH+]c2ccccn21 ZINC000362814707 418759734 /nfs/dbraw/zinc/75/97/34/418759734.db2.gz OPWGIBRXZLXYEU-AWEZNQCLSA-N 1 2 304.781 1.868 20 30 DDEDLO C=CC[N@H+](C[C@H]1Cc2ccccc2O1)[C@@H]1CCS(=O)(=O)C1 ZINC000363879157 418770922 /nfs/dbraw/zinc/77/09/22/418770922.db2.gz MOTVQTXRLAQAHO-HUUCEWRRSA-N 1 2 307.415 1.665 20 30 DDEDLO C=CC[N@@H+](C[C@H]1Cc2ccccc2O1)[C@@H]1CCS(=O)(=O)C1 ZINC000363879157 418770926 /nfs/dbraw/zinc/77/09/26/418770926.db2.gz MOTVQTXRLAQAHO-HUUCEWRRSA-N 1 2 307.415 1.665 20 30 DDEDLO CC[N@@H+]1C[C@@H](C)N(S(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C ZINC000408042192 418783459 /nfs/dbraw/zinc/78/34/59/418783459.db2.gz QANFIYHNVJGDES-QWHCGFSZSA-N 1 2 307.419 1.661 20 30 DDEDLO CC[N@H+]1C[C@@H](C)N(S(=O)(=O)c2cccc(C#N)c2)C[C@@H]1C ZINC000408042192 418783463 /nfs/dbraw/zinc/78/34/63/418783463.db2.gz QANFIYHNVJGDES-QWHCGFSZSA-N 1 2 307.419 1.661 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[NH2+][C@H](C)c1nc(-c2ccc(F)cc2)no1 ZINC000368812511 418725845 /nfs/dbraw/zinc/72/58/45/418725845.db2.gz RDZBZKJVRYLQHT-GHMZBOCLSA-N 1 2 316.336 1.664 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N[C@H]1CCc2[nH+]c[nH]c2C1 ZINC000371443395 418791268 /nfs/dbraw/zinc/79/12/68/418791268.db2.gz PXBLQCVXGBXWOR-LBPRGKRZSA-N 1 2 309.325 1.164 20 30 DDEDLO O=C(C#Cc1ccc2c(c1)OCO2)N[C@H]1CCc2[nH]c[nH+]c2C1 ZINC000371443395 418791271 /nfs/dbraw/zinc/79/12/71/418791271.db2.gz PXBLQCVXGBXWOR-LBPRGKRZSA-N 1 2 309.325 1.164 20 30 DDEDLO C[C@H]1CCCCN1C(=O)C[NH+]1CCN(CC2(C#N)CC2)CC1 ZINC000408110245 418787811 /nfs/dbraw/zinc/78/78/11/418787811.db2.gz MYIRFLWCPDVDCF-HNNXBMFYSA-N 1 2 304.438 1.309 20 30 DDEDLO N#CCN(CC#N)C(=O)[C@@H]1CCn2c(c[nH+]c2-c2ccccc2)C1 ZINC000371523475 418797666 /nfs/dbraw/zinc/79/76/66/418797666.db2.gz CRYRPEUJDACISW-OAHLLOKOSA-N 1 2 319.368 1.988 20 30 DDEDLO N#Cc1cscc1C(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000410500873 418819866 /nfs/dbraw/zinc/81/98/66/418819866.db2.gz YITIEWLBCWGRPT-CQSZACIVSA-N 1 2 319.430 1.947 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)CC[C@H](C(=O)N1CCOC[C@H]1C#N)C2 ZINC000372400093 418864013 /nfs/dbraw/zinc/86/40/13/418864013.db2.gz AVWDIEJADDXFHP-NWDGAFQWSA-N 1 2 302.378 1.389 20 30 DDEDLO CC(C)c1[nH]c2c([nH+]1)C[C@@H](C(=O)N1CCOC[C@H]1C#N)CC2 ZINC000372400093 418864016 /nfs/dbraw/zinc/86/40/16/418864016.db2.gz AVWDIEJADDXFHP-NWDGAFQWSA-N 1 2 302.378 1.389 20 30 DDEDLO N#CCC1(CNC(=O)NCc2ccc(-n3cc[nH+]c3)nc2)CC1 ZINC000365465478 418865126 /nfs/dbraw/zinc/86/51/26/418865126.db2.gz IRUQGBSILLOXAC-UHFFFAOYSA-N 1 2 310.361 1.760 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(c3ccc(=O)[nH]n3)CC2)c(F)c1 ZINC000365146290 418839602 /nfs/dbraw/zinc/83/96/02/418839602.db2.gz XIAQTFRDPALAGZ-UHFFFAOYSA-N 1 2 313.336 1.515 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@]2(C1)CC(=O)c1ccccc1O2 ZINC000411991602 419550691 /nfs/dbraw/zinc/55/06/91/419550691.db2.gz UKRBCOWUGCMHOH-UGSOOPFHSA-N 1 2 314.385 1.787 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@]2(C1)CC(=O)c1ccccc1O2 ZINC000411991602 419550695 /nfs/dbraw/zinc/55/06/95/419550695.db2.gz UKRBCOWUGCMHOH-UGSOOPFHSA-N 1 2 314.385 1.787 20 30 DDEDLO COc1cc(CNc2cc(NC[C@@H](C)O)[nH+]cn2)ccc1C#N ZINC000413309600 419779350 /nfs/dbraw/zinc/77/93/50/419779350.db2.gz MABZIUPBSSGYLB-LLVKDONJSA-N 1 2 313.361 1.762 20 30 DDEDLO COc1cc(CNc2cc(NC[C@@H](C)O)nc[nH+]2)ccc1C#N ZINC000413309600 419779357 /nfs/dbraw/zinc/77/93/57/419779357.db2.gz MABZIUPBSSGYLB-LLVKDONJSA-N 1 2 313.361 1.762 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)N2CCC(n3cc[nH+]c3)CC2)c1 ZINC000299505443 229162419 /nfs/dbraw/zinc/16/24/19/229162419.db2.gz IRRRAFLIHQQTRA-UHFFFAOYSA-N 1 2 316.386 1.781 20 30 DDEDLO N#Cc1cccc(NC(=O)C2CC[NH+]([C@@H]3CCOC3=O)CC2)c1 ZINC000299650782 229299516 /nfs/dbraw/zinc/29/95/16/229299516.db2.gz PKAFOZFFWOPQRK-OAHLLOKOSA-N 1 2 313.357 1.524 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC(=O)Nc3c[nH+]ccc32)s1 ZINC000435446640 229387791 /nfs/dbraw/zinc/38/77/91/229387791.db2.gz LGTBTBRBYUEVGY-UHFFFAOYSA-N 1 2 320.355 1.162 20 30 DDEDLO CCC[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)[C@H](C)C(=O)OCC ZINC000429723982 420041228 /nfs/dbraw/zinc/04/12/28/420041228.db2.gz ORBMYGBYVTXJKY-MLGOLLRUSA-N 1 2 309.410 1.458 20 30 DDEDLO CCC[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)[C@H](C)C(=O)OCC ZINC000429723982 420041229 /nfs/dbraw/zinc/04/12/29/420041229.db2.gz ORBMYGBYVTXJKY-MLGOLLRUSA-N 1 2 309.410 1.458 20 30 DDEDLO C=CC1CC[NH+](Cc2nnnn2CCOCC(F)(F)F)CC1 ZINC000447347176 420767834 /nfs/dbraw/zinc/76/78/34/420767834.db2.gz BHZGVQJJSPOCMA-UHFFFAOYSA-N 1 2 319.331 1.650 20 30 DDEDLO N#Cc1ccc(O[C@H]2CCN(C(=O)/C=C/c3[nH]cc[nH+]3)C2)nc1 ZINC000493493675 420865298 /nfs/dbraw/zinc/86/52/98/420865298.db2.gz ZWYAANCGWHPUAM-OHVSUOQFSA-N 1 2 309.329 1.369 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CC[C@@H](OC(=O)N(C)C)C1 ZINC000495862597 421076705 /nfs/dbraw/zinc/07/67/05/421076705.db2.gz AILGYEVSYHAQBC-IOASZLSFSA-N 1 2 324.425 1.202 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CC[C@@H](OC(=O)N(C)C)C1 ZINC000495862597 421076708 /nfs/dbraw/zinc/07/67/08/421076708.db2.gz AILGYEVSYHAQBC-IOASZLSFSA-N 1 2 324.425 1.202 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@H](OCC(F)(F)F)C1 ZINC000523442720 421238393 /nfs/dbraw/zinc/23/83/93/421238393.db2.gz MKBCGOAPTMMFDV-JTQLQIEISA-N 1 2 323.315 1.042 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@H](OCC(F)(F)F)C1 ZINC000523442720 421238396 /nfs/dbraw/zinc/23/83/96/421238396.db2.gz MKBCGOAPTMMFDV-JTQLQIEISA-N 1 2 323.315 1.042 20 30 DDEDLO CC[C@@H]1C[N@H+](CCNc2ncc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000450480775 421203246 /nfs/dbraw/zinc/20/32/46/421203246.db2.gz CFSNSWZXFIGJCR-GFCCVEGCSA-N 1 2 305.338 1.384 20 30 DDEDLO CC[C@@H]1C[N@@H+](CCNc2ncc(C#N)cc2[N+](=O)[O-])CCO1 ZINC000450480775 421203248 /nfs/dbraw/zinc/20/32/48/421203248.db2.gz CFSNSWZXFIGJCR-GFCCVEGCSA-N 1 2 305.338 1.384 20 30 DDEDLO CCN1CCN(C(=O)C(C#N)(CC)CC)C[C@H]1c1[nH]cc[nH+]1 ZINC000529098078 421527886 /nfs/dbraw/zinc/52/78/86/421527886.db2.gz SAJHINWWFIZPPB-ZDUSSCGKSA-N 1 2 303.410 1.945 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000570012229 421628476 /nfs/dbraw/zinc/62/84/76/421628476.db2.gz UBUZLSWMBLAIAW-YPMHNXCESA-N 1 2 321.812 1.734 20 30 DDEDLO C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CC[C@H](n2cc(Cl)cn2)C1 ZINC000570012229 421628481 /nfs/dbraw/zinc/62/84/81/421628481.db2.gz UBUZLSWMBLAIAW-YPMHNXCESA-N 1 2 321.812 1.734 20 30 DDEDLO COC(=O)c1cccc(C[N@H+]2CCC[C@H](C(=O)OC)C2)c1C#N ZINC000535206212 421701809 /nfs/dbraw/zinc/70/18/09/421701809.db2.gz MRMAGCJPAQJFHR-ZDUSSCGKSA-N 1 2 316.357 1.730 20 30 DDEDLO COC(=O)c1cccc(C[N@@H+]2CCC[C@H](C(=O)OC)C2)c1C#N ZINC000535206212 421701811 /nfs/dbraw/zinc/70/18/11/421701811.db2.gz MRMAGCJPAQJFHR-ZDUSSCGKSA-N 1 2 316.357 1.730 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000538272366 421738789 /nfs/dbraw/zinc/73/87/89/421738789.db2.gz MRGXXERPPXQIKH-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000538272366 421738792 /nfs/dbraw/zinc/73/87/92/421738792.db2.gz MRGXXERPPXQIKH-CYBMUJFWSA-N 1 2 305.378 1.097 20 30 DDEDLO CN(CCCc1[nH]nc(N)c1C#N)c1cc(NC2CC2)[nH+]cn1 ZINC000556842377 421746589 /nfs/dbraw/zinc/74/65/89/421746589.db2.gz ZULJWHASARXNLY-UHFFFAOYSA-N 1 2 312.381 1.297 20 30 DDEDLO CN(CCCc1[nH]nc(N)c1C#N)c1cc(NC2CC2)nc[nH+]1 ZINC000556842377 421746592 /nfs/dbraw/zinc/74/65/92/421746592.db2.gz ZULJWHASARXNLY-UHFFFAOYSA-N 1 2 312.381 1.297 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCn3c[nH+]cc3C2)CCCCC1 ZINC000520462552 421751558 /nfs/dbraw/zinc/75/15/58/421751558.db2.gz WLVPIHOZMHMJQX-UHFFFAOYSA-N 1 2 308.407 1.503 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccccc1 ZINC000540726653 421767826 /nfs/dbraw/zinc/76/78/26/421767826.db2.gz ZEGPLOVHGNBIKL-GJZGRUSLSA-N 1 2 314.389 1.746 20 30 DDEDLO Cc1ccc(-n2cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)nn2)cc1 ZINC000521442601 421787883 /nfs/dbraw/zinc/78/78/83/421787883.db2.gz LGFWLCHSOLYGKS-MRXNPFEDSA-N 1 2 312.377 1.149 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)NC[C@H]1COCC[N@H+]1C ZINC000637441572 422211179 /nfs/dbraw/zinc/21/11/79/422211179.db2.gz DPEGOOSGJIJRHQ-AWEZNQCLSA-N 1 2 307.394 1.350 20 30 DDEDLO C=CCN(CCc1ccco1)C(=O)NC[C@H]1COCC[N@@H+]1C ZINC000637441572 422211185 /nfs/dbraw/zinc/21/11/85/422211185.db2.gz DPEGOOSGJIJRHQ-AWEZNQCLSA-N 1 2 307.394 1.350 20 30 DDEDLO Cc1cc(C(=O)NCC2CC[NH+](CC(F)F)CC2)ncc1C#N ZINC000574926380 422218424 /nfs/dbraw/zinc/21/84/24/422218424.db2.gz BBNMEAODNSSGGN-UHFFFAOYSA-N 1 2 322.359 1.969 20 30 DDEDLO COC(=O)c1ccc(SC[C@@H]2C[N@H+](C)CCO2)c(C#N)n1 ZINC000575430293 422297622 /nfs/dbraw/zinc/29/76/22/422297622.db2.gz WYWVHHZWMOILGJ-JTQLQIEISA-N 1 2 307.375 1.163 20 30 DDEDLO COC(=O)c1ccc(SC[C@@H]2C[N@@H+](C)CCO2)c(C#N)n1 ZINC000575430293 422297628 /nfs/dbraw/zinc/29/76/28/422297628.db2.gz WYWVHHZWMOILGJ-JTQLQIEISA-N 1 2 307.375 1.163 20 30 DDEDLO C=CCOCC(=O)N1CCCN(Cc2[nH+]ccn2CC)CC1 ZINC000591873359 422328946 /nfs/dbraw/zinc/32/89/46/422328946.db2.gz LCRXWDFDCCAAPF-UHFFFAOYSA-N 1 2 306.410 1.140 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000635766464 422326556 /nfs/dbraw/zinc/32/65/56/422326556.db2.gz NRBNBLNYTVXHFT-WDEREUQCSA-N 1 2 305.300 1.677 20 30 DDEDLO CCCNC(=O)c1cccc(C(=O)N[C@](C)(C#N)C[NH+](C)C)c1 ZINC000577126878 422382971 /nfs/dbraw/zinc/38/29/71/422382971.db2.gz LBVNDHCGJLBPNB-QGZVFWFLSA-N 1 2 316.405 1.400 20 30 DDEDLO C=CCNc1nnc(SCC[N@@H+]2C[C@@H](C)O[C@@H](C)C2)s1 ZINC000577295399 422383630 /nfs/dbraw/zinc/38/36/30/422383630.db2.gz WEKOULBJDQPDRD-PHIMTYICSA-N 1 2 314.480 2.337 20 30 DDEDLO C=CCNc1nnc(SCC[N@H+]2C[C@@H](C)O[C@@H](C)C2)s1 ZINC000577295399 422383636 /nfs/dbraw/zinc/38/36/36/422383636.db2.gz WEKOULBJDQPDRD-PHIMTYICSA-N 1 2 314.480 2.337 20 30 DDEDLO Cn1ncc2c1CCC[N@H+](CC(=O)Nc1cccc(C#N)c1)C2 ZINC000578736156 422633476 /nfs/dbraw/zinc/63/34/76/422633476.db2.gz BBOKNIAESGPPMH-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO Cn1ncc2c1CCC[N@@H+](CC(=O)Nc1cccc(C#N)c1)C2 ZINC000578736156 422633481 /nfs/dbraw/zinc/63/34/81/422633481.db2.gz BBOKNIAESGPPMH-UHFFFAOYSA-N 1 2 309.373 1.679 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)NCCC2=CCCCC2)nn1 ZINC000640786594 423183175 /nfs/dbraw/zinc/18/31/75/423183175.db2.gz FVNZTURXFIDWPY-UHFFFAOYSA-N 1 2 315.421 1.398 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)NCc2ccc(C)cc2)nn1 ZINC000640792022 423188479 /nfs/dbraw/zinc/18/84/79/423188479.db2.gz JXTJSYNBPMXPCM-UHFFFAOYSA-N 1 2 311.389 1.016 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000115530964 263337982 /nfs/dbraw/zinc/33/79/82/263337982.db2.gz IHPFEOCUOOQORT-CABCVRRESA-N 1 2 315.373 1.360 20 30 DDEDLO CCOC(=O)[C@@H]1CCC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000115530964 263337984 /nfs/dbraw/zinc/33/79/84/263337984.db2.gz IHPFEOCUOOQORT-CABCVRRESA-N 1 2 315.373 1.360 20 30 DDEDLO COCCn1c(C)cc(C(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)c1C ZINC000646031174 423300534 /nfs/dbraw/zinc/30/05/34/423300534.db2.gz FTJGSGOXNDKKLH-KRWDZBQOSA-N 1 2 319.405 1.285 20 30 DDEDLO COCCn1c(C)cc(C(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)c1C ZINC000646031174 423300540 /nfs/dbraw/zinc/30/05/40/423300540.db2.gz FTJGSGOXNDKKLH-KRWDZBQOSA-N 1 2 319.405 1.285 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CCC[N@H+](Cc2ncon2)C1 ZINC000646161096 423373439 /nfs/dbraw/zinc/37/34/39/423373439.db2.gz PEAZRBCPLQTMBD-AWEZNQCLSA-N 1 2 304.394 1.591 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H]1CCC[N@@H+](Cc2ncon2)C1 ZINC000646161096 423373443 /nfs/dbraw/zinc/37/34/43/423373443.db2.gz PEAZRBCPLQTMBD-AWEZNQCLSA-N 1 2 304.394 1.591 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cnc(OC)c3ccccc23)nn1 ZINC000641168405 423440511 /nfs/dbraw/zinc/44/05/11/423440511.db2.gz DQCVZNNGWJFQTB-UHFFFAOYSA-N 1 2 321.384 1.996 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)COc2ccccc2C)nn1 ZINC000641193778 423465526 /nfs/dbraw/zinc/46/55/26/423465526.db2.gz MKYQWCPDUVOQNT-MRXNPFEDSA-N 1 2 314.389 1.139 20 30 DDEDLO C=CCCn1cc(C[N@H+]2C[C@H](C)OC3(CCOCC3)C2)nn1 ZINC000653650179 423565591 /nfs/dbraw/zinc/56/55/91/423565591.db2.gz RXXUOGNOEOHRDI-AWEZNQCLSA-N 1 2 306.410 1.624 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2C[C@H](C)OC3(CCOCC3)C2)nn1 ZINC000653650179 423565595 /nfs/dbraw/zinc/56/55/95/423565595.db2.gz RXXUOGNOEOHRDI-AWEZNQCLSA-N 1 2 306.410 1.624 20 30 DDEDLO C=C[C@H](O)C(=O)NCc1ccc(N2CCc3ccccc3C2)[nH+]c1 ZINC000646687516 423574798 /nfs/dbraw/zinc/57/47/98/423574798.db2.gz NQASXPCCGNUWLO-KRWDZBQOSA-N 1 2 323.396 1.807 20 30 DDEDLO C=CCNS(=O)(=O)c1ccccc1NCc1[nH+]ccn1CC ZINC000641617085 423758491 /nfs/dbraw/zinc/75/84/91/423758491.db2.gz ZRYYZYMLCJTTHF-UHFFFAOYSA-N 1 2 320.418 1.979 20 30 DDEDLO C=C[C@](C)(O)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000665976169 423979469 /nfs/dbraw/zinc/97/94/69/423979469.db2.gz JNXADISFQZUKSC-WBVHZDCISA-N 1 2 304.390 1.113 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000663769684 424156784 /nfs/dbraw/zinc/15/67/84/424156784.db2.gz HCGGYRTVAHYVAF-ZDUSSCGKSA-N 1 2 306.410 1.424 20 30 DDEDLO C=CC[C@H](CO)CNc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000663769684 424156795 /nfs/dbraw/zinc/15/67/95/424156795.db2.gz HCGGYRTVAHYVAF-ZDUSSCGKSA-N 1 2 306.410 1.424 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000663969227 424309627 /nfs/dbraw/zinc/30/96/27/424309627.db2.gz PKSPBLBPPPUQFP-OLZOCXBDSA-N 1 2 304.394 1.583 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1Nc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000663969227 424309634 /nfs/dbraw/zinc/30/96/34/424309634.db2.gz PKSPBLBPPPUQFP-OLZOCXBDSA-N 1 2 304.394 1.583 20 30 DDEDLO C=CCOCCCNc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000664387836 424571250 /nfs/dbraw/zinc/57/12/50/424571250.db2.gz JHGMCZWXYJUEHM-AWEZNQCLSA-N 1 2 306.410 1.832 20 30 DDEDLO C=CCOCCCNc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000664387836 424571255 /nfs/dbraw/zinc/57/12/55/424571255.db2.gz JHGMCZWXYJUEHM-AWEZNQCLSA-N 1 2 306.410 1.832 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000367576239 266783844 /nfs/dbraw/zinc/78/38/44/266783844.db2.gz PKSNISWPQJQNIC-CVEARBPZSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000367576239 266783845 /nfs/dbraw/zinc/78/38/45/266783845.db2.gz PKSNISWPQJQNIC-CVEARBPZSA-N 1 2 302.374 1.947 20 30 DDEDLO C[C@H](C(=O)NCC[N@@H+]1CCOC(C)(C)C1)c1cccc(C#N)c1 ZINC000360137896 267131525 /nfs/dbraw/zinc/13/15/25/267131525.db2.gz YALNAFGSWJLNBL-AWEZNQCLSA-N 1 2 315.417 1.889 20 30 DDEDLO C[C@H](C(=O)NCC[N@H+]1CCOC(C)(C)C1)c1cccc(C#N)c1 ZINC000360137896 267131527 /nfs/dbraw/zinc/13/15/27/267131527.db2.gz YALNAFGSWJLNBL-AWEZNQCLSA-N 1 2 315.417 1.889 20 30 DDEDLO Cc1nc(C(=O)Nc2c[nH+]ccc2-n2ccnn2)ccc1C#N ZINC000377441343 267585785 /nfs/dbraw/zinc/58/57/85/267585785.db2.gz FVQTYTOXCYTRQS-UHFFFAOYSA-N 1 2 305.301 1.490 20 30 DDEDLO N#Cc1ccc(OCCNC(=O)[C@@H]2CCc3[nH+]ccn3C2)cc1 ZINC000376396469 268175001 /nfs/dbraw/zinc/17/50/01/268175001.db2.gz AZKLWBQURCCSNJ-CQSZACIVSA-N 1 2 310.357 1.512 20 30 DDEDLO N#Cc1cccc(CCNC(=O)NC[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000374269835 268243280 /nfs/dbraw/zinc/24/32/80/268243280.db2.gz CDEKTCMGMAHDJX-INIZCTEOSA-N 1 2 323.400 1.859 20 30 DDEDLO CC[C@H](C)[C@H](OC)C(=O)N(CCC#N)CC[NH+]1CCOCC1 ZINC000355453755 287769809 /nfs/dbraw/zinc/76/98/09/287769809.db2.gz FQIVPMXAURJBFM-GJZGRUSLSA-N 1 2 311.426 1.122 20 30 DDEDLO C#CC[NH+]1CCN(c2cc(C(F)(F)F)ncc2[N+](=O)[O-])CC1 ZINC000278381418 275717517 /nfs/dbraw/zinc/71/75/17/275717517.db2.gz LOARKYZMBZNDAM-UHFFFAOYSA-N 1 2 314.267 1.764 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)/C=C/c3ccc(C#N)cc3)C[C@H]21 ZINC000284014730 277128423 /nfs/dbraw/zinc/12/84/23/277128423.db2.gz IYZVRSBZGMQQOM-NGHLMZTLSA-N 1 2 311.385 1.503 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)/C=C/c3ccc(C#N)cc3)C[C@H]21 ZINC000284014730 277128425 /nfs/dbraw/zinc/12/84/25/277128425.db2.gz IYZVRSBZGMQQOM-NGHLMZTLSA-N 1 2 311.385 1.503 20 30 DDEDLO C#Cc1cccc(NC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)c1 ZINC000269497916 277713052 /nfs/dbraw/zinc/71/30/52/277713052.db2.gz UPDMDYYLWHICRU-KRWDZBQOSA-N 1 2 313.401 1.996 20 30 DDEDLO CC(C)[C@@H]1C(=O)NCCN1C(=O)NC[C@@H]1CCCn2cc[nH+]c21 ZINC000328624893 277772907 /nfs/dbraw/zinc/77/29/07/277772907.db2.gz ZUZHHCJGYHUXKZ-QWHCGFSZSA-N 1 2 319.409 1.971 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000280258880 277805505 /nfs/dbraw/zinc/80/55/05/277805505.db2.gz NOSGHLAUUNNCKP-RBSFLKMASA-N 1 2 318.421 1.216 20 30 DDEDLO C[C@@H]1[C@H]([NH+]2CCOCC2)CCN1c1ncc(C#N)cc1Cl ZINC000291054984 279119068 /nfs/dbraw/zinc/11/90/68/279119068.db2.gz FCHCGRTXWQLAOG-BXUZGUMPSA-N 1 2 306.797 1.906 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NCc1[nH+]ccn1CCc1ccccc1 ZINC000416458055 289240753 /nfs/dbraw/zinc/24/07/53/289240753.db2.gz PFGNIHTWWXHDIT-CYBMUJFWSA-N 1 2 318.402 1.457 20 30 DDEDLO Cc1nc(NC(=O)[C@H](C)N2C[C@H]3OCC[N@H+](C)[C@H]3C2)sc1C ZINC000329944305 293307624 /nfs/dbraw/zinc/30/76/24/293307624.db2.gz FDVKQOUOEYIAMD-WCFLWFBJSA-N 1 2 324.450 1.751 20 30 DDEDLO Cc1nc(NC(=O)[C@H](C)N2C[C@H]3OCC[N@@H+](C)[C@H]3C2)sc1C ZINC000329944305 293307626 /nfs/dbraw/zinc/30/76/26/293307626.db2.gz FDVKQOUOEYIAMD-WCFLWFBJSA-N 1 2 324.450 1.751 20 30 DDEDLO N#Cc1cccc(CC(=O)N2CC[NH2+][C@@H](c3ccncc3)C2)c1 ZINC000558641883 303776959 /nfs/dbraw/zinc/77/69/59/303776959.db2.gz XPNOTBYHPINIFH-QGZVFWFLSA-N 1 2 306.369 1.669 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)C[NH2+][C@](C)(C(N)=O)c1ccccc1 ZINC000170645075 332861801 /nfs/dbraw/zinc/86/18/01/332861801.db2.gz CWIZRBFQCUQANJ-IRXDYDNUSA-N 1 2 316.405 1.031 20 30 DDEDLO CN(CC(=O)NC1(C#N)CCC1)Cc1cn2c([nH+]1)CCCC2 ZINC000565648495 331758890 /nfs/dbraw/zinc/75/88/90/331758890.db2.gz HYHVNQYKBDRYSG-UHFFFAOYSA-N 1 2 301.394 1.214 20 30 DDEDLO CC(C)c1ncc(Cl)c(C(=O)N[C@](C)(C#N)C[NH+](C)C)n1 ZINC000558903029 331956271 /nfs/dbraw/zinc/95/62/71/331956271.db2.gz OPQQTQRKKUYAOI-CQSZACIVSA-N 1 2 309.801 1.827 20 30 DDEDLO CC(C)Oc1cccc(CO[NH+]=C(N)CN2CCOCC2)c1 ZINC000111182106 332301856 /nfs/dbraw/zinc/30/18/56/332301856.db2.gz DXGHLVVFGXIQGY-UHFFFAOYSA-N 1 2 307.394 1.595 20 30 DDEDLO COc1cc(OC)c(C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1OC ZINC000582038109 333179264 /nfs/dbraw/zinc/17/92/64/333179264.db2.gz DCLVHHITAREPGH-MRXNPFEDSA-N 1 2 321.377 1.286 20 30 DDEDLO CCCc1ccc([C@@H](C)[N@H+](CC(N)=O)CC(=O)NCC#N)cc1 ZINC000108856672 333211714 /nfs/dbraw/zinc/21/17/14/333211714.db2.gz IRAYWCDGXJWUAV-CYBMUJFWSA-N 1 2 316.405 1.127 20 30 DDEDLO CCCc1ccc([C@@H](C)[N@@H+](CC(N)=O)CC(=O)NCC#N)cc1 ZINC000108856672 333211716 /nfs/dbraw/zinc/21/17/16/333211716.db2.gz IRAYWCDGXJWUAV-CYBMUJFWSA-N 1 2 316.405 1.127 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@H+](C)[C@@H]1CCNC1=O ZINC000340223282 334151732 /nfs/dbraw/zinc/15/17/32/334151732.db2.gz QACXKQLSPXARFG-TZMCWYRMSA-N 1 2 321.446 1.472 20 30 DDEDLO C=CCN(Cc1cccs1)C(=O)[C@@H](C)[N@@H+](C)[C@@H]1CCNC1=O ZINC000340223282 334151733 /nfs/dbraw/zinc/15/17/33/334151733.db2.gz QACXKQLSPXARFG-TZMCWYRMSA-N 1 2 321.446 1.472 20 30 DDEDLO N#C[C@@H]1CN(C(=O)C[C@H](c2[nH]cc[nH+]2)c2ccccc2)CCO1 ZINC000582177632 335725941 /nfs/dbraw/zinc/72/59/41/335725941.db2.gz RZSRIZILJYSJJJ-CABCVRRESA-N 1 2 310.357 1.683 20 30 DDEDLO CC(C)(C)OC(=O)C1C[NH+](Cc2cnc3c(C#N)cnn3c2)C1 ZINC000583565264 335736000 /nfs/dbraw/zinc/73/60/00/335736000.db2.gz XPBSUTSJKPZZHD-UHFFFAOYSA-N 1 2 313.361 1.374 20 30 DDEDLO N#Cc1ccc(CNC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)s1 ZINC000582972266 337224650 /nfs/dbraw/zinc/22/46/50/337224650.db2.gz UQFGWDJVEOHKII-TXEJJXNPSA-N 1 2 320.418 1.282 20 30 DDEDLO N#Cc1ccc(CNC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)s1 ZINC000582972266 337224651 /nfs/dbraw/zinc/22/46/51/337224651.db2.gz UQFGWDJVEOHKII-TXEJJXNPSA-N 1 2 320.418 1.282 20 30 DDEDLO COC[C@H]1C[N@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000583148772 337246325 /nfs/dbraw/zinc/24/63/25/337246325.db2.gz PIOIGKXAFFBGCM-BXUZGUMPSA-N 1 2 305.334 1.702 20 30 DDEDLO COC[C@H]1C[N@@H+](Cc2ccc(C#N)cc2[N+](=O)[O-])C[C@@H](C)O1 ZINC000583148772 337246326 /nfs/dbraw/zinc/24/63/26/337246326.db2.gz PIOIGKXAFFBGCM-BXUZGUMPSA-N 1 2 305.334 1.702 20 30 DDEDLO Cc1cc(-c2noc([C@@H](C)[NH2+]CC(=O)NCC#N)n2)ccc1F ZINC000507210920 340213687 /nfs/dbraw/zinc/21/36/87/340213687.db2.gz LBHRPIIBOXYEQY-SNVBAGLBSA-N 1 2 317.324 1.475 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@@H+]1CCOC2(CCOCC2)C1 ZINC000135597916 341242616 /nfs/dbraw/zinc/24/26/16/341242616.db2.gz NVFWMYKSYSAQFM-GOEBONIOSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@H+]1CCOC2(CCOCC2)C1 ZINC000135597916 341242617 /nfs/dbraw/zinc/24/26/17/341242617.db2.gz NVFWMYKSYSAQFM-GOEBONIOSA-N 1 2 323.437 1.311 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)c1cccc(SCC#N)c1 ZINC000079531360 341260705 /nfs/dbraw/zinc/26/07/05/341260705.db2.gz XHYXMEKYUIXYJH-CYBMUJFWSA-N 1 2 319.430 1.753 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1ccc(C#N)cc1F ZINC000081158512 341280418 /nfs/dbraw/zinc/28/04/18/341280418.db2.gz WYSYMMYBDVBMIY-UHFFFAOYSA-N 1 2 316.380 1.745 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(O[C@H]2CCOC2)cc1 ZINC000573729265 341737461 /nfs/dbraw/zinc/73/74/61/341737461.db2.gz DBKVSIFLBFGIAL-DOTOQJQBSA-N 1 2 317.389 1.428 20 30 DDEDLO C=CC[C@@H]1CCCC[C@H]1NC(=O)C(=O)Nc1ccc(N)[nH+]c1 ZINC000659091284 484617281 /nfs/dbraw/zinc/61/72/81/484617281.db2.gz FVQHBRILVDHTDM-DGCLKSJQSA-N 1 2 302.378 1.853 20 30 DDEDLO C=C[C@H](O)C(=O)N[C@@H](C[NH+]1CCOCC1)c1ccc(C)cc1 ZINC000665528340 484909483 /nfs/dbraw/zinc/90/94/83/484909483.db2.gz YEKBKMZTUABGJJ-HOTGVXAUSA-N 1 2 304.390 1.031 20 30 DDEDLO C=CCCC1(CNC(=O)C(=O)NCCn2cc[nH+]c2)CCCC1 ZINC000668376445 485139325 /nfs/dbraw/zinc/13/93/25/485139325.db2.gz FEFLCAZPJUEVRF-UHFFFAOYSA-N 1 2 318.421 1.642 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)c2cc3ccccc3[nH]2)CC1 ZINC000677047507 486385654 /nfs/dbraw/zinc/38/56/54/486385654.db2.gz CTEOUUPZNDXPMB-QGZVFWFLSA-N 1 2 313.401 1.863 20 30 DDEDLO COC(=O)Cc1ccc(OC[C@H](O)C[N@H+](C)CCC#N)c(F)c1 ZINC000414140319 533765771 /nfs/dbraw/zinc/76/57/71/533765771.db2.gz GREDSXDWOHZLOQ-CYBMUJFWSA-N 1 2 324.352 1.126 20 30 DDEDLO COC(=O)Cc1ccc(OC[C@H](O)C[N@@H+](C)CCC#N)c(F)c1 ZINC000414140319 533765778 /nfs/dbraw/zinc/76/57/78/533765778.db2.gz GREDSXDWOHZLOQ-CYBMUJFWSA-N 1 2 324.352 1.126 20 30 DDEDLO COC(=O)N1CC[NH+](CCOc2ccc(C#N)cc2OC)CC1 ZINC000491826141 534001553 /nfs/dbraw/zinc/00/15/53/534001553.db2.gz SRIHLMMXBSSADB-UHFFFAOYSA-N 1 2 319.361 1.330 20 30 DDEDLO Cc1ccc(N2CCN(C3=[NH+]C[C@@H](C)S3)CC2)nc1C#N ZINC000302673109 534164621 /nfs/dbraw/zinc/16/46/21/534164621.db2.gz SJCUZNZMQPJYMO-GFCCVEGCSA-N 1 2 301.419 1.875 20 30 DDEDLO N#Cc1ccnc(C(=O)N2CC[NH+](Cc3cccs3)CC2)c1 ZINC000330732261 534820420 /nfs/dbraw/zinc/82/04/20/534820420.db2.gz KXHDXEKHEYBKKV-UHFFFAOYSA-N 1 2 312.398 1.973 20 30 DDEDLO CC(C)(C)OC(=O)C1CC[NH+](CC(=O)NC2(C#N)CCC2)CC1 ZINC000346836997 526341772 /nfs/dbraw/zinc/34/17/72/526341772.db2.gz YCOAJDNEHZCVIE-UHFFFAOYSA-N 1 2 321.421 1.603 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N[C@H](c1ccc2c(c1)OCCO2)C(C)C ZINC000491623136 526800429 /nfs/dbraw/zinc/80/04/29/526800429.db2.gz FULMFALMZFKGCQ-SFHVURJKSA-N 1 2 316.401 1.836 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N[C@H](c1ccc2c(c1)OCCO2)C(C)C ZINC000491623136 526800432 /nfs/dbraw/zinc/80/04/32/526800432.db2.gz FULMFALMZFKGCQ-SFHVURJKSA-N 1 2 316.401 1.836 20 30 DDEDLO C#CCN(CC)C(=O)c1ccccc1S(=O)(=O)CC[NH+](C)C ZINC000490893065 526895145 /nfs/dbraw/zinc/89/51/45/526895145.db2.gz RGXQXHODKNSJKQ-UHFFFAOYSA-N 1 2 322.430 1.117 20 30 DDEDLO C#CC[N@@H+](CCc1ncc(C)cc1C)[C@H]1CCS(=O)(=O)C1 ZINC000491418309 526901204 /nfs/dbraw/zinc/90/12/04/526901204.db2.gz QMZMVIMMUCYMQE-HNNXBMFYSA-N 1 2 306.431 1.363 20 30 DDEDLO C#CC[N@H+](CCc1ncc(C)cc1C)[C@H]1CCS(=O)(=O)C1 ZINC000491418309 526901210 /nfs/dbraw/zinc/90/12/10/526901210.db2.gz QMZMVIMMUCYMQE-HNNXBMFYSA-N 1 2 306.431 1.363 20 30 DDEDLO C#CC[N@@H+](CCCOCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000490936427 526901476 /nfs/dbraw/zinc/90/14/76/526901476.db2.gz QMYUJKATIAVTBW-QGZVFWFLSA-N 1 2 321.442 1.716 20 30 DDEDLO C#CC[N@H+](CCCOCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000490936427 526901482 /nfs/dbraw/zinc/90/14/82/526901482.db2.gz QMYUJKATIAVTBW-QGZVFWFLSA-N 1 2 321.442 1.716 20 30 DDEDLO C#CC[N@@H+](CCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000491096608 526901811 /nfs/dbraw/zinc/90/18/11/526901811.db2.gz UWZMDAXYUZKFHF-OAHLLOKOSA-N 1 2 322.386 1.260 20 30 DDEDLO C#CC[N@H+](CCc1ccc([N+](=O)[O-])cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000491096608 526901821 /nfs/dbraw/zinc/90/18/21/526901821.db2.gz UWZMDAXYUZKFHF-OAHLLOKOSA-N 1 2 322.386 1.260 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H]1COC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000491440892 526936279 /nfs/dbraw/zinc/93/62/79/526936279.db2.gz RPPRZZNJFMQNCA-SNVBAGLBSA-N 1 2 320.311 1.084 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H]1COC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000491440892 526936286 /nfs/dbraw/zinc/93/62/86/526936286.db2.gz RPPRZZNJFMQNCA-SNVBAGLBSA-N 1 2 320.311 1.084 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)CN(C)c2ccc3cc[nH]c3c2)CC1 ZINC000491046580 526948448 /nfs/dbraw/zinc/94/84/48/526948448.db2.gz QQESPTRVJSXQRL-UHFFFAOYSA-N 1 2 310.401 1.382 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cccc(C#N)c3)n2CC)CC1 ZINC000491642288 526955781 /nfs/dbraw/zinc/95/57/81/526955781.db2.gz WMWPLOGEDGTDQM-UHFFFAOYSA-N 1 2 320.400 1.592 20 30 DDEDLO C=CCOc1cccnc1C(=O)N1CC[NH+](CCOCC)CC1 ZINC000341527200 526956679 /nfs/dbraw/zinc/95/66/79/526956679.db2.gz CSZVSGLEQIULRD-UHFFFAOYSA-N 1 2 319.405 1.441 20 30 DDEDLO C=CCOc1cccnc1C(=O)NCC1([NH+]2CCOCC2)CC1 ZINC000347282280 526960708 /nfs/dbraw/zinc/96/07/08/526960708.db2.gz WWHJDPIGEJWDFN-UHFFFAOYSA-N 1 2 317.389 1.241 20 30 DDEDLO C#CCNC(=O)CC[NH2+][C@@H](c1nccn1C)c1ccc(F)cc1 ZINC000491626672 526986124 /nfs/dbraw/zinc/98/61/24/526986124.db2.gz LQOZRLWKTCBTJK-MRXNPFEDSA-N 1 2 314.364 1.378 20 30 DDEDLO CC(C)(CNC(=O)N1CCC(F)(C#N)CC1)[NH+]1CCOCC1 ZINC000343788526 527113602 /nfs/dbraw/zinc/11/36/02/527113602.db2.gz DNPKTMPRUPLPJH-UHFFFAOYSA-N 1 2 312.389 1.134 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000341856464 527172521 /nfs/dbraw/zinc/17/25/21/527172521.db2.gz WPNGUHWGKNAMEF-UONOGXRCSA-N 1 2 306.410 1.553 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000491753053 527196875 /nfs/dbraw/zinc/19/68/75/527196875.db2.gz YABCVIULVQYUQT-LLVKDONJSA-N 1 2 324.384 1.869 20 30 DDEDLO C#C[C@@H](C)N(C)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)[nH+]c1 ZINC000491776669 527203287 /nfs/dbraw/zinc/20/32/87/527203287.db2.gz YOEUIYIXNCVLMT-KGLIPLIRSA-N 1 2 316.405 1.470 20 30 DDEDLO C#C[C@@H](NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C(C)C ZINC000491811776 527283637 /nfs/dbraw/zinc/28/36/37/527283637.db2.gz FLXJNOGTZMAVFO-HUUCEWRRSA-N 1 2 318.421 1.257 20 30 DDEDLO C#C[C@@H](NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C(C)C ZINC000491811776 527283646 /nfs/dbraw/zinc/28/36/46/527283646.db2.gz FLXJNOGTZMAVFO-HUUCEWRRSA-N 1 2 318.421 1.257 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@@H](O)CO[C@H]1CCCc2ccccc21 ZINC000491738696 527311214 /nfs/dbraw/zinc/31/12/14/527311214.db2.gz PGRPGHYOKYPDMH-LMMKCTJWSA-N 1 2 315.413 1.776 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@@H](O)CO[C@H]1CCCc2ccccc21 ZINC000491738696 527311219 /nfs/dbraw/zinc/31/12/19/527311219.db2.gz PGRPGHYOKYPDMH-LMMKCTJWSA-N 1 2 315.413 1.776 20 30 DDEDLO C=CCC[NH+]1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000338545145 527325526 /nfs/dbraw/zinc/32/55/26/527325526.db2.gz HZVGMNBLWJFJKA-UHFFFAOYSA-N 1 2 316.373 1.847 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)Cc1nc(=O)c2c(C)c(C(=O)OC)sc2[nH]1 ZINC000491750358 527332011 /nfs/dbraw/zinc/33/20/11/527332011.db2.gz IEZLAOFUHYBGNB-QMMMGPOBSA-N 1 2 319.386 1.533 20 30 DDEDLO C#C[C@H](C)[N@H+](C)Cc1nc(=O)c2c(C)c(C(=O)OC)sc2[nH]1 ZINC000491750358 527332015 /nfs/dbraw/zinc/33/20/15/527332015.db2.gz IEZLAOFUHYBGNB-QMMMGPOBSA-N 1 2 319.386 1.533 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CCCS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000491678188 527332100 /nfs/dbraw/zinc/33/21/00/527332100.db2.gz SLGKYMHRYPTRNU-ZDUSSCGKSA-N 1 2 322.430 1.762 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CCCS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000491678188 527332106 /nfs/dbraw/zinc/33/21/06/527332106.db2.gz SLGKYMHRYPTRNU-ZDUSSCGKSA-N 1 2 322.430 1.762 20 30 DDEDLO C=CCCOCCNc1cc(N2CC[C@H]([C@@H](C)O)C2)nc[nH+]1 ZINC000413210750 527369974 /nfs/dbraw/zinc/36/99/74/527369974.db2.gz PARBWCKAHLVGCE-KGLIPLIRSA-N 1 2 306.410 1.688 20 30 DDEDLO C=CCCOCCNc1cc(N2CC[C@H]([C@@H](C)O)C2)[nH+]cn1 ZINC000413210750 527369979 /nfs/dbraw/zinc/36/99/79/527369979.db2.gz PARBWCKAHLVGCE-KGLIPLIRSA-N 1 2 306.410 1.688 20 30 DDEDLO CC(C)(C#N)C(=O)N1CCN(Cc2cn3ccccc3[nH+]2)CC1 ZINC000341789534 527448501 /nfs/dbraw/zinc/44/85/01/527448501.db2.gz GJCABHBVIAIRPH-UHFFFAOYSA-N 1 2 311.389 1.528 20 30 DDEDLO C=CCC[C@H](CO)NC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000457786348 527468844 /nfs/dbraw/zinc/46/88/44/527468844.db2.gz MKCZMUSYMHFSQU-OAHLLOKOSA-N 1 2 318.421 1.677 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)NCCCc1[nH+]cccc1C ZINC000451807566 528711978 /nfs/dbraw/zinc/71/19/78/528711978.db2.gz GYWJESIMQANVBY-CYBMUJFWSA-N 1 2 324.450 1.639 20 30 DDEDLO CCN(C[C@H](C)C#N)S(=O)(=O)N[C@@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000451945507 528716693 /nfs/dbraw/zinc/71/66/93/528716693.db2.gz ISGGSSVYXGQKSW-IJLUTSLNSA-N 1 2 311.411 1.205 20 30 DDEDLO CC(C)[C@@H]1OCC[C@@H]1CNC(=O)N(C)CC[NH+]1CCOCC1 ZINC000330230207 528832979 /nfs/dbraw/zinc/83/29/79/528832979.db2.gz WJQYXQKNVFECAO-CABCVRRESA-N 1 2 313.442 1.226 20 30 DDEDLO CC[NH+]1CCN(C(=O)c2cc(C#N)c(SC)[nH]c2=O)CC1 ZINC000330739770 529133791 /nfs/dbraw/zinc/13/37/91/529133791.db2.gz OOOMUJPIOAFAFM-UHFFFAOYSA-N 1 2 306.391 1.158 20 30 DDEDLO CCN1CCN(C(=O)c2cccc(CC#N)c2)C[C@H]1c1[nH]cc[nH+]1 ZINC000433466840 529134996 /nfs/dbraw/zinc/13/49/96/529134996.db2.gz OFZHTZNHASYDCK-INIZCTEOSA-N 1 2 323.400 1.995 20 30 DDEDLO COc1cc(-c2nn[nH]n2)ccc1OCC[N@H+](C)[C@H](C)CC#N ZINC000821701585 607087376 /nfs/dbraw/zinc/08/73/76/607087376.db2.gz ULYQYJGBWLIGFC-LLVKDONJSA-N 1 2 316.365 1.488 20 30 DDEDLO COc1cc(-c2nn[nH]n2)ccc1OCC[N@@H+](C)[C@H](C)CC#N ZINC000821701585 607087378 /nfs/dbraw/zinc/08/73/78/607087378.db2.gz ULYQYJGBWLIGFC-LLVKDONJSA-N 1 2 316.365 1.488 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(Cl)o3)C2)C1 ZINC000972256976 695192705 /nfs/dbraw/zinc/19/27/05/695192705.db2.gz QCVFVKZJTDPLOF-MRXNPFEDSA-N 1 2 322.792 1.873 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(Cl)o3)C2)C1 ZINC000972256976 695192707 /nfs/dbraw/zinc/19/27/07/695192707.db2.gz QCVFVKZJTDPLOF-MRXNPFEDSA-N 1 2 322.792 1.873 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(C)o3)C2)C1 ZINC000972347298 695222764 /nfs/dbraw/zinc/22/27/64/695222764.db2.gz SZGFOMLSJXLXPX-QGZVFWFLSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccc(C)o3)C2)C1 ZINC000972347298 695222766 /nfs/dbraw/zinc/22/27/66/695222766.db2.gz SZGFOMLSJXLXPX-QGZVFWFLSA-N 1 2 304.390 1.620 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccncc3C)C2)C1 ZINC000972368264 695231363 /nfs/dbraw/zinc/23/13/63/695231363.db2.gz SENMPEKCZGAICI-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccncc3C)C2)C1 ZINC000972368264 695231364 /nfs/dbraw/zinc/23/13/64/695231364.db2.gz SENMPEKCZGAICI-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389222 695237775 /nfs/dbraw/zinc/23/77/75/695237775.db2.gz IRZYLBWZMPAMCB-DGCLKSJQSA-N 1 2 324.318 1.766 20 30 DDEDLO C=CCC[N@H+]1CCO[C@]2(CCN(C(=O)C(F)C(F)(F)F)C2)C1 ZINC000972389222 695237777 /nfs/dbraw/zinc/23/77/77/695237777.db2.gz IRZYLBWZMPAMCB-DGCLKSJQSA-N 1 2 324.318 1.766 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972475311 695259458 /nfs/dbraw/zinc/25/94/58/695259458.db2.gz MVVKPPVIVJKSFX-XYJFISCASA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)C[C@@H]3C[C@H]3C3CC3)C2)C1 ZINC000972475311 695259459 /nfs/dbraw/zinc/25/94/59/695259459.db2.gz MVVKPPVIVJKSFX-XYJFISCASA-N 1 2 302.418 1.359 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CC[C@@H]4C[C@@H]4CC3)C2)C1 ZINC000972479743 695260376 /nfs/dbraw/zinc/26/03/76/695260376.db2.gz ZGDIZEITVXVRAI-VXIBKDFQSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CC[C@@H]4C[C@@H]4CC3)C2)C1 ZINC000972479743 695260378 /nfs/dbraw/zinc/26/03/78/695260378.db2.gz ZGDIZEITVXVRAI-VXIBKDFQSA-N 1 2 316.445 1.749 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C(F)(F)F)C2)C1 ZINC000972493159 695264265 /nfs/dbraw/zinc/26/42/65/695264265.db2.gz BYOIFJRBWRFRLX-AAEUAGOBSA-N 1 2 306.328 1.674 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)C(F)(F)F)C2)C1 ZINC000972493159 695264267 /nfs/dbraw/zinc/26/42/67/695264267.db2.gz BYOIFJRBWRFRLX-AAEUAGOBSA-N 1 2 306.328 1.674 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C#C)cc3)C2)C1 ZINC000972493332 695264700 /nfs/dbraw/zinc/26/47/00/695264700.db2.gz WUESHEBTIAZALZ-LJQANCHMSA-N 1 2 308.381 1.218 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C#C)cc3)C2)C1 ZINC000972493332 695264703 /nfs/dbraw/zinc/26/47/03/695264703.db2.gz WUESHEBTIAZALZ-LJQANCHMSA-N 1 2 308.381 1.218 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C(C)(F)F)C2)C1 ZINC000972556008 695281701 /nfs/dbraw/zinc/28/17/01/695281701.db2.gz BZVAKLJICAQYOW-CJNGLKHVSA-N 1 2 314.376 1.604 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)C(C)(F)F)C2)C1 ZINC000972556008 695281704 /nfs/dbraw/zinc/28/17/04/695281704.db2.gz BZVAKLJICAQYOW-CJNGLKHVSA-N 1 2 314.376 1.604 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3coc(C4CC4)n3)C2)C1 ZINC000972585315 695290423 /nfs/dbraw/zinc/29/04/23/695290423.db2.gz KDGOUNLYEHWQLO-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3coc(C4CC4)n3)C2)C1 ZINC000972585315 695290425 /nfs/dbraw/zinc/29/04/25/695290425.db2.gz KDGOUNLYEHWQLO-QGZVFWFLSA-N 1 2 317.389 1.655 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@]3(C2)C[N@H+](CC#CC)CCO3)nc1 ZINC000972643088 695307789 /nfs/dbraw/zinc/30/77/89/695307789.db2.gz NLOVOZTXIGAHSQ-IBGZPJMESA-N 1 2 323.396 1.003 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@]3(C2)C[N@@H+](CC#CC)CCO3)nc1 ZINC000972643088 695307791 /nfs/dbraw/zinc/30/77/91/695307791.db2.gz NLOVOZTXIGAHSQ-IBGZPJMESA-N 1 2 323.396 1.003 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)cc3)C2)C1 ZINC000972653692 695309802 /nfs/dbraw/zinc/30/98/02/695309802.db2.gz KBPSZBIEZOMAPK-LJQANCHMSA-N 1 2 312.413 1.935 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccc(C)cc3)C2)C1 ZINC000972653692 695309805 /nfs/dbraw/zinc/30/98/05/695309805.db2.gz KBPSZBIEZOMAPK-LJQANCHMSA-N 1 2 312.413 1.935 20 30 DDEDLO C[C@H](C(=O)NCc1ccc(-n2cc[nH+]c2)cc1)n1cnc(C#N)n1 ZINC000798410206 700062917 /nfs/dbraw/zinc/06/29/17/700062917.db2.gz PFPISAAVDXDICK-GFCCVEGCSA-N 1 2 321.344 1.213 20 30 DDEDLO COc1c(C)c[nH+]c(CNS(=O)(=O)CCCCC#N)c1C ZINC000068906656 696377456 /nfs/dbraw/zinc/37/74/56/696377456.db2.gz KASFMAIUWXCLTG-UHFFFAOYSA-N 1 2 311.407 1.820 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000747669208 700080960 /nfs/dbraw/zinc/08/09/60/700080960.db2.gz GRVNYKXFNRXXKL-SNVBAGLBSA-N 1 2 311.245 1.156 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000747669208 700080962 /nfs/dbraw/zinc/08/09/62/700080962.db2.gz GRVNYKXFNRXXKL-SNVBAGLBSA-N 1 2 311.245 1.156 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CCN(CCOCC)CC2)cc1 ZINC000127460302 696771349 /nfs/dbraw/zinc/77/13/49/696771349.db2.gz GQRYLSTUJDNMOY-UHFFFAOYSA-N 1 2 302.418 1.853 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2ocnc2C(F)(F)F)CC1 ZINC000981688155 696875923 /nfs/dbraw/zinc/87/59/23/696875923.db2.gz UXBFSQQXSBDMKN-UHFFFAOYSA-N 1 2 301.268 1.475 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2ocnc2C(F)(F)F)CC1 ZINC000981688155 696875926 /nfs/dbraw/zinc/87/59/26/696875926.db2.gz UXBFSQQXSBDMKN-UHFFFAOYSA-N 1 2 301.268 1.475 20 30 DDEDLO COc1ccc(C[N@@H+]2CCCN(C(=O)C#CC3CC3)CC2)cn1 ZINC000981124751 697011293 /nfs/dbraw/zinc/01/12/93/697011293.db2.gz VLBRACHPGPZOMY-UHFFFAOYSA-N 1 2 313.401 1.538 20 30 DDEDLO COc1ccc(C[N@H+]2CCCN(C(=O)C#CC3CC3)CC2)cn1 ZINC000981124751 697011295 /nfs/dbraw/zinc/01/12/95/697011295.db2.gz VLBRACHPGPZOMY-UHFFFAOYSA-N 1 2 313.401 1.538 20 30 DDEDLO O=C(c1cnon1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000982318086 697094975 /nfs/dbraw/zinc/09/49/75/697094975.db2.gz XULVZPPZHKCINW-UHFFFAOYSA-N 1 2 310.357 1.269 20 30 DDEDLO O=C(c1cnon1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000982318086 697094976 /nfs/dbraw/zinc/09/49/76/697094976.db2.gz XULVZPPZHKCINW-UHFFFAOYSA-N 1 2 310.357 1.269 20 30 DDEDLO N#CCN[C@@H]1CCC[C@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000981436179 697096763 /nfs/dbraw/zinc/09/67/63/697096763.db2.gz GUURYTGZMBRDPY-KGLIPLIRSA-N 1 2 324.388 1.421 20 30 DDEDLO CO[C@H](C)CON=C(N)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000181744268 697464469 /nfs/dbraw/zinc/46/44/69/697464469.db2.gz ZENGDBJJKURQDF-HIFRSBDPSA-N 1 2 307.394 1.211 20 30 DDEDLO CO[C@H](C)CON=C(N)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000181744268 697464472 /nfs/dbraw/zinc/46/44/72/697464472.db2.gz ZENGDBJJKURQDF-HIFRSBDPSA-N 1 2 307.394 1.211 20 30 DDEDLO C[C@H]1[C@@H]([NH2+]Cc2cnsn2)CCN1C(=O)c1ccc(C#N)[nH]1 ZINC000986164849 697713071 /nfs/dbraw/zinc/71/30/71/697713071.db2.gz BPLWQMIICHNFEU-CABZTGNLSA-N 1 2 316.390 1.131 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@H]([NH2+]Cc3nnc(C)o3)[C@@H]2C)cc1 ZINC000986636429 697860528 /nfs/dbraw/zinc/86/05/28/697860528.db2.gz IJOGTXISSXTAIR-BLLLJJGKSA-N 1 2 324.384 1.752 20 30 DDEDLO Cn1c[nH+]cc1CON=C(N)c1ccc(NC(C)(C)C)cn1 ZINC000774780691 697928244 /nfs/dbraw/zinc/92/82/44/697928244.db2.gz GTMIQQTYGMISFL-UHFFFAOYSA-N 1 2 302.382 1.863 20 30 DDEDLO C#CCOc1ccccc1NC(=O)NCC[N@H+]1CCOC[C@@H]1C ZINC000776087959 698065091 /nfs/dbraw/zinc/06/50/91/698065091.db2.gz YDBBWONEQOZNHC-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO C#CCOc1ccccc1NC(=O)NCC[N@@H+]1CCOC[C@@H]1C ZINC000776087959 698065093 /nfs/dbraw/zinc/06/50/93/698065093.db2.gz YDBBWONEQOZNHC-AWEZNQCLSA-N 1 2 317.389 1.541 20 30 DDEDLO COC[C@H](C)NN=Cc1ccc(C=[NH+]N[C@@H](C)COC)cc1 ZINC000777901946 698230939 /nfs/dbraw/zinc/23/09/39/698230939.db2.gz GBVJHNKPZSVNTN-KBPBESRZSA-N 1 2 306.410 1.603 20 30 DDEDLO C#CCCCCC(=O)N1CC[C@@H](OCC[NH+]2CCOCC2)C1 ZINC000778336049 698264404 /nfs/dbraw/zinc/26/44/04/698264404.db2.gz OMPWPNBXPVGRJR-MRXNPFEDSA-N 1 2 308.422 1.130 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)NCc2ccc(C#N)cn2)C1 ZINC000780642284 698541978 /nfs/dbraw/zinc/54/19/78/698541978.db2.gz VRYXDDJDGPTWPO-CYBMUJFWSA-N 1 2 310.361 1.386 20 30 DDEDLO C[C@@H]1CCN(C(=O)NCc2ccc(C#N)cn2)C[C@H]1n1cc[nH+]c1 ZINC000780642809 698542181 /nfs/dbraw/zinc/54/21/81/698542181.db2.gz BQZCLUVTSLKZBH-CZUORRHYSA-N 1 2 324.388 1.942 20 30 DDEDLO N#Cc1ccc([C@H](O)C(=O)N2CCC[C@H](n3cc[nH+]c3)C2)cc1 ZINC000780928001 698572211 /nfs/dbraw/zinc/57/22/11/698572211.db2.gz CUOCGLSBFNXBRE-HOTGVXAUSA-N 1 2 310.357 1.652 20 30 DDEDLO C#Cc1ccc(C(=O)N2C[C@@H]([NH2+]Cc3nnc(C)o3)C[C@@H]2C)cc1 ZINC000989273587 698573912 /nfs/dbraw/zinc/57/39/12/698573912.db2.gz IEKAFXZGEHDYCF-LRDDRELGSA-N 1 2 324.384 1.752 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC000782674387 698759040 /nfs/dbraw/zinc/75/90/40/698759040.db2.gz SMRJUYBYVJTMDA-UHFFFAOYSA-N 1 2 304.394 1.819 20 30 DDEDLO C[C@H]1C[NH+]=C(NN=Cc2cn(-c3ccc(F)c(Cl)c3)nn2)N1 ZINC000782908375 698783252 /nfs/dbraw/zinc/78/32/52/698783252.db2.gz QEMHQOYMUVGNCF-QMMMGPOBSA-N 1 2 321.747 1.331 20 30 DDEDLO C=CCS(=O)(=O)CCNc1cc(C)[nH+]c(-c2ccncc2)n1 ZINC000782962965 698789594 /nfs/dbraw/zinc/78/95/94/698789594.db2.gz HQHKOLSWGXSJRB-UHFFFAOYSA-N 1 2 318.402 1.282 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N(C)C[C@H](C)C#N)c(N(C)C)[nH+]1 ZINC000783426688 698841134 /nfs/dbraw/zinc/84/11/34/698841134.db2.gz JQQBLLZBNOYPBW-SNVBAGLBSA-N 1 2 303.366 1.013 20 30 DDEDLO Cn1c[nH+]cc1[C@H]1CC(=O)N(CN(CCC#N)CC(C)(C)C)C1 ZINC000783989063 698899416 /nfs/dbraw/zinc/89/94/16/698899416.db2.gz PZLZGKMSGNEPOQ-AWEZNQCLSA-N 1 2 317.437 1.955 20 30 DDEDLO N#CCN1CC[C@@]2(NC(=O)c3cc4c[nH+]ccc4[nH]3)CCC[C@H]12 ZINC000991380931 699310448 /nfs/dbraw/zinc/31/04/48/699310448.db2.gz AWFIDUXVHTYRRT-RDJZCZTQSA-N 1 2 309.373 1.813 20 30 DDEDLO COCCCNC(=S)N[NH+]=Cc1ccc(N(C)C(C)C)nc1 ZINC000790149050 699445479 /nfs/dbraw/zinc/44/54/79/699445479.db2.gz SFPHALCUDBWDMD-UHFFFAOYSA-N 1 2 323.466 1.761 20 30 DDEDLO C#CCOc1ccc(C[NH2+]Cc2nnnn2-c2ccccc2)cc1 ZINC000733326079 699588964 /nfs/dbraw/zinc/58/89/64/699588964.db2.gz HXWGQUUOROLKDQ-UHFFFAOYSA-N 1 2 319.368 1.964 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1cnn(CC(F)(F)F)c1 ZINC000735660927 699699677 /nfs/dbraw/zinc/69/96/77/699699677.db2.gz KNCJXPXMJAFBNR-NSHDSACASA-N 1 2 300.284 1.482 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1cnn(CC(F)(F)F)c1 ZINC000735660927 699699678 /nfs/dbraw/zinc/69/96/78/699699678.db2.gz KNCJXPXMJAFBNR-NSHDSACASA-N 1 2 300.284 1.482 20 30 DDEDLO CC[C@@H]1CC[N@@H+]1CN1C(=O)N[C@@](C)(c2cccc(C#N)c2)C1=O ZINC000793822498 699780974 /nfs/dbraw/zinc/78/09/74/699780974.db2.gz CUZNRENOMRXWLE-PBHICJAKSA-N 1 2 312.373 1.767 20 30 DDEDLO CC[C@@H]1CC[N@H+]1CN1C(=O)N[C@@](C)(c2cccc(C#N)c2)C1=O ZINC000793822498 699780976 /nfs/dbraw/zinc/78/09/76/699780976.db2.gz CUZNRENOMRXWLE-PBHICJAKSA-N 1 2 312.373 1.767 20 30 DDEDLO Cn1c(CC#N)nn(C[N@H+](C[C@@H]2CCOC2)C2CC2)c1=S ZINC000794620457 699819672 /nfs/dbraw/zinc/81/96/72/699819672.db2.gz NWZUMRMLNHSERF-NSHDSACASA-N 1 2 307.423 1.476 20 30 DDEDLO Cn1c(CC#N)nn(C[N@@H+](C[C@@H]2CCOC2)C2CC2)c1=S ZINC000794620457 699819674 /nfs/dbraw/zinc/81/96/74/699819674.db2.gz NWZUMRMLNHSERF-NSHDSACASA-N 1 2 307.423 1.476 20 30 DDEDLO C[N@H+](CC(=O)[C@@H](C#N)C(=O)NC1CCCCC1)[C@@H]1CCSC1 ZINC000753949781 700470594 /nfs/dbraw/zinc/47/05/94/700470594.db2.gz MSLMYHJXOLGAMU-ZIAGYGMSSA-N 1 2 323.462 1.581 20 30 DDEDLO C[N@@H+](CC(=O)[C@@H](C#N)C(=O)NC1CCCCC1)[C@@H]1CCSC1 ZINC000753949781 700470595 /nfs/dbraw/zinc/47/05/95/700470595.db2.gz MSLMYHJXOLGAMU-ZIAGYGMSSA-N 1 2 323.462 1.581 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CC1CCCCC1)C(=O)OC ZINC000757648454 700667611 /nfs/dbraw/zinc/66/76/11/700667611.db2.gz UQJWEZIEBAFWJN-CVEARBPZSA-N 1 2 320.433 1.712 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CC1CCCCC1)C(=O)OC ZINC000757648454 700667612 /nfs/dbraw/zinc/66/76/12/700667612.db2.gz UQJWEZIEBAFWJN-CVEARBPZSA-N 1 2 320.433 1.712 20 30 DDEDLO Cc1ccn(C[N@@H+]2CCC[C@H](C3OCCO3)C2)c(=O)c1C#N ZINC000758224313 700691280 /nfs/dbraw/zinc/69/12/80/700691280.db2.gz QXLNGGFYJSPWJY-ZDUSSCGKSA-N 1 2 303.362 1.071 20 30 DDEDLO Cc1ccn(C[N@H+]2CCC[C@H](C3OCCO3)C2)c(=O)c1C#N ZINC000758224313 700691281 /nfs/dbraw/zinc/69/12/81/700691281.db2.gz QXLNGGFYJSPWJY-ZDUSSCGKSA-N 1 2 303.362 1.071 20 30 DDEDLO Cc1ccn(C[NH+]2CCC([C@@H]3CCOC3)CC2)c(=O)c1C#N ZINC000758251302 700694790 /nfs/dbraw/zinc/69/47/90/700694790.db2.gz ZAWNYDZZKDQFME-OAHLLOKOSA-N 1 2 301.390 1.734 20 30 DDEDLO CN(C)c1cc(COC(=O)[C@H](O)c2ccc(C#N)cc2)cc[nH+]1 ZINC000767590212 701119271 /nfs/dbraw/zinc/11/92/71/701119271.db2.gz XUCKNLAFFOKYHV-MRXNPFEDSA-N 1 2 311.341 1.796 20 30 DDEDLO FC(F)(F)CNC(=S)NN=Cc1cccc2[nH+]ccn21 ZINC000771099872 701309928 /nfs/dbraw/zinc/30/99/28/701309928.db2.gz GNYBWWGYRWAZJK-UHFFFAOYSA-N 1 2 301.297 1.695 20 30 DDEDLO Cc1nn2c([nH]c3ccccc3c2=O)c1C=[NH+]N[C@H](C)CC#N ZINC000771880644 701335599 /nfs/dbraw/zinc/33/55/99/701335599.db2.gz LWXCPVXZMRGSAO-SNVBAGLBSA-N 1 2 308.345 1.710 20 30 DDEDLO Nc1ccc2c(c1)C(=[NH+]NC(=S)NC[C@H]1CCCO1)CCC2 ZINC000814727895 701743506 /nfs/dbraw/zinc/74/35/06/701743506.db2.gz DBSJVCUPGUQZFR-CYBMUJFWSA-N 1 2 318.446 1.952 20 30 DDEDLO C#CCNC(=O)CC[N@H+]1Cc2ccc(Br)cc2C1 ZINC000815093024 701797515 /nfs/dbraw/zinc/79/75/15/701797515.db2.gz ZQFRYWQPTGCFFN-UHFFFAOYSA-N 1 2 307.191 1.904 20 30 DDEDLO C#CCNC(=O)CC[N@@H+]1Cc2ccc(Br)cc2C1 ZINC000815093024 701797519 /nfs/dbraw/zinc/79/75/19/701797519.db2.gz ZQFRYWQPTGCFFN-UHFFFAOYSA-N 1 2 307.191 1.904 20 30 DDEDLO C[C@H]1CC[N@H+](CCOCCC#N)[C@@H](C)[C@H]1NC(=O)C(F)(F)F ZINC000815117538 701804957 /nfs/dbraw/zinc/80/49/57/701804957.db2.gz GJXDYJAZGDXMTM-SRVKXCTJSA-N 1 2 321.343 1.694 20 30 DDEDLO C[C@H]1CC[N@@H+](CCOCCC#N)[C@@H](C)[C@H]1NC(=O)C(F)(F)F ZINC000815117538 701804962 /nfs/dbraw/zinc/80/49/62/701804962.db2.gz GJXDYJAZGDXMTM-SRVKXCTJSA-N 1 2 321.343 1.694 20 30 DDEDLO CCc1ccc([C@@H](C#N)NC(=O)/C=C\C[NH+]2CCOCC2)cc1 ZINC000868356659 702080640 /nfs/dbraw/zinc/08/06/40/702080640.db2.gz CMJPENIWTZBAPL-CWIOURORSA-N 1 2 313.401 1.818 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H](C)C[C@H](CO)C1 ZINC000817278147 702323999 /nfs/dbraw/zinc/32/39/99/702323999.db2.gz SUQAAJDNDDPYEB-NOLJZWGESA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+]1C[C@@H](C)C[C@H](CO)C1 ZINC000817278147 702324004 /nfs/dbraw/zinc/32/40/04/702324004.db2.gz SUQAAJDNDDPYEB-NOLJZWGESA-N 1 2 302.374 1.723 20 30 DDEDLO C=CCN(C(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C)C(C)C ZINC000813440045 702348522 /nfs/dbraw/zinc/34/85/22/702348522.db2.gz HFBSSLAABOPDEL-OAHLLOKOSA-N 1 2 320.437 1.906 20 30 DDEDLO C=CCN(C(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C)C(C)C ZINC000813440045 702348529 /nfs/dbraw/zinc/34/85/29/702348529.db2.gz HFBSSLAABOPDEL-OAHLLOKOSA-N 1 2 320.437 1.906 20 30 DDEDLO C=CC[C@H](C(=O)OC)[NH+]1CCC(c2cc(C(=O)OC)on2)CC1 ZINC000879427140 706677115 /nfs/dbraw/zinc/67/71/15/706677115.db2.gz ABFHSXJRBLSXQQ-CYBMUJFWSA-N 1 2 322.361 1.758 20 30 DDEDLO CCn1c[nH+]cc1CN[C@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000841874667 702601934 /nfs/dbraw/zinc/60/19/34/702601934.db2.gz ONOWTSPCCXBGHO-OCCSQVGLSA-N 1 2 319.409 1.752 20 30 DDEDLO Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)C#Cc1ccccc1)C2 ZINC000846123341 703240255 /nfs/dbraw/zinc/24/02/55/703240255.db2.gz GAGPRLQGBDRXKP-MRXNPFEDSA-N 1 2 323.396 1.209 20 30 DDEDLO N#CCC(=O)N1CC[NH+](CCC(=O)OCc2ccccc2)CC1 ZINC000846955383 703357108 /nfs/dbraw/zinc/35/71/08/703357108.db2.gz LICOIGWCSPUTCS-UHFFFAOYSA-N 1 2 315.373 1.178 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+]([C@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC000847027528 703367844 /nfs/dbraw/zinc/36/78/44/703367844.db2.gz BWAMCEYBSPQNSV-GHMZBOCLSA-N 1 2 305.403 1.519 20 30 DDEDLO C#C[C@@H]1CCC[N@H+]([C@H](C)C(=O)Nc2sccc2C(N)=O)C1 ZINC000847027528 703367845 /nfs/dbraw/zinc/36/78/45/703367845.db2.gz BWAMCEYBSPQNSV-GHMZBOCLSA-N 1 2 305.403 1.519 20 30 DDEDLO C#CCOCC[N@@H+]1CCO[C@@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000851810741 703862042 /nfs/dbraw/zinc/86/20/42/703862042.db2.gz CIOLGJVHNSFMKP-ZIAGYGMSSA-N 1 2 312.410 1.250 20 30 DDEDLO C#CCOCC[N@H+]1CCO[C@@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000851810741 703862045 /nfs/dbraw/zinc/86/20/45/703862045.db2.gz CIOLGJVHNSFMKP-ZIAGYGMSSA-N 1 2 312.410 1.250 20 30 DDEDLO C=C(Cl)C[C@H](NC(=O)C[NH+]1CC(C)(C)C1)C(=O)OCC ZINC000870033453 703898114 /nfs/dbraw/zinc/89/81/14/703898114.db2.gz PNYKSZMFNFHBPG-NSHDSACASA-N 1 2 302.802 1.519 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2ccc(O)cc2)CC1 ZINC000870133820 703923106 /nfs/dbraw/zinc/92/31/06/703923106.db2.gz FUVYKAZRRMKKAF-CQSZACIVSA-N 1 2 302.374 1.075 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[NH2+][C@@H](c2cccc(OC)c2)C1 ZINC000870153733 703935301 /nfs/dbraw/zinc/93/53/01/703935301.db2.gz XGNSUVKHXKAGDR-WBVHZDCISA-N 1 2 304.390 1.495 20 30 DDEDLO C#Cc1ccc(CNC(=O)N2CC[NH+]([C@H]3CCOC3)CC2)cc1 ZINC000852365003 704025140 /nfs/dbraw/zinc/02/51/40/704025140.db2.gz LHCILQDXFYUDJK-KRWDZBQOSA-N 1 2 313.401 1.284 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@H](N3CCOC3=O)C2)c1 ZINC000852436158 704047917 /nfs/dbraw/zinc/04/79/17/704047917.db2.gz WPINBGWWQLRJLP-AWEZNQCLSA-N 1 2 314.345 1.023 20 30 DDEDLO N#Cc1cccc(NC(=O)C[N@H+]2CC[C@H](N3CCOC3=O)C2)c1 ZINC000852436158 704047919 /nfs/dbraw/zinc/04/79/19/704047919.db2.gz WPINBGWWQLRJLP-AWEZNQCLSA-N 1 2 314.345 1.023 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+]2CC[C@H]2c2ccc(F)cc2)CC1 ZINC000852469181 704056263 /nfs/dbraw/zinc/05/62/63/704056263.db2.gz ZZKYYXNSMNNKOI-KRWDZBQOSA-N 1 2 315.392 1.350 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+]2CC[C@H]2c2ccc(F)cc2)CC1 ZINC000852469181 704056265 /nfs/dbraw/zinc/05/62/65/704056265.db2.gz ZZKYYXNSMNNKOI-KRWDZBQOSA-N 1 2 315.392 1.350 20 30 DDEDLO C#C[C@@H](NC(=O)N(C)CCCn1cc[nH+]c1)C1CCOCC1 ZINC000852642976 704090999 /nfs/dbraw/zinc/09/09/99/704090999.db2.gz RPELTDIJMIMIQW-OAHLLOKOSA-N 1 2 304.394 1.343 20 30 DDEDLO C#CCC[N@@H+](CCOC)CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000852752043 704114420 /nfs/dbraw/zinc/11/44/20/704114420.db2.gz MKIZLZCQIKDXJJ-UHFFFAOYSA-N 1 2 305.334 1.505 20 30 DDEDLO C#CCC[N@H+](CCOC)CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000852752043 704114424 /nfs/dbraw/zinc/11/44/24/704114424.db2.gz MKIZLZCQIKDXJJ-UHFFFAOYSA-N 1 2 305.334 1.505 20 30 DDEDLO CCOC(=O)c1cnc(N=NCc2c[nH+]c3n2CCC3)nc1C ZINC000853329443 704235046 /nfs/dbraw/zinc/23/50/46/704235046.db2.gz KBPWYBFDFRSREB-UHFFFAOYSA-N 1 2 314.349 1.550 20 30 DDEDLO C#CCSCCNc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000853365127 704236816 /nfs/dbraw/zinc/23/68/16/704236816.db2.gz OMQLZKKBTVIRGJ-UHFFFAOYSA-N 1 2 306.435 1.606 20 30 DDEDLO C#CCSCCNc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000853365127 704236817 /nfs/dbraw/zinc/23/68/17/704236817.db2.gz OMQLZKKBTVIRGJ-UHFFFAOYSA-N 1 2 306.435 1.606 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)c1coc(C#N)c1 ZINC000866793418 706802642 /nfs/dbraw/zinc/80/26/42/706802642.db2.gz PTIWOMZWADDDCF-UHFFFAOYSA-N 1 2 312.329 1.944 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C[C@H](Cc2ccccc2)OC1=O ZINC000853621168 704287792 /nfs/dbraw/zinc/28/77/92/704287792.db2.gz SAMSPZBYTPQJSN-KRWDZBQOSA-N 1 2 316.401 1.979 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C[C@H](Cc2ccccc2)OC1=O ZINC000853621168 704287794 /nfs/dbraw/zinc/28/77/94/704287794.db2.gz SAMSPZBYTPQJSN-KRWDZBQOSA-N 1 2 316.401 1.979 20 30 DDEDLO C#CCN(CC(=O)NCCCn1cc[nH+]c1)C(=O)OC(C)(C)C ZINC000854138971 704360364 /nfs/dbraw/zinc/36/03/64/704360364.db2.gz ASRRKBQCVACSFH-UHFFFAOYSA-N 1 2 320.393 1.260 20 30 DDEDLO C#C[C@H](NC(=O)Cc1cn2cccc(C)c2[nH+]1)C1CCOCC1 ZINC000856111799 704514408 /nfs/dbraw/zinc/51/44/08/704514408.db2.gz BOIVSCVENCFWJR-INIZCTEOSA-N 1 2 311.385 1.730 20 30 DDEDLO N#Cc1ccccc1OCC[N@H+]1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC000879929029 706822988 /nfs/dbraw/zinc/82/29/88/706822988.db2.gz FQGNSBJLPRQXES-HUUCEWRRSA-N 1 2 320.414 1.304 20 30 DDEDLO N#Cc1ccccc1OCC[N@@H+]1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC000879929029 706822990 /nfs/dbraw/zinc/82/29/90/706822990.db2.gz FQGNSBJLPRQXES-HUUCEWRRSA-N 1 2 320.414 1.304 20 30 DDEDLO O=C(C#Cc1cccs1)N1CC[NH+](C[C@@H]2CCCO2)CC1 ZINC000823812518 705323477 /nfs/dbraw/zinc/32/34/77/705323477.db2.gz KWOVHVGWYKWTNT-AWEZNQCLSA-N 1 2 304.415 1.423 20 30 DDEDLO CCOC(=O)[C@H]1C[N@H+](Cc2cccc(C#N)n2)CCC1(F)F ZINC000877696876 706181377 /nfs/dbraw/zinc/18/13/77/706181377.db2.gz ZZWHCBUFILVJAM-CYBMUJFWSA-N 1 2 309.316 1.974 20 30 DDEDLO CCOC(=O)[C@H]1C[N@@H+](Cc2cccc(C#N)n2)CCC1(F)F ZINC000877696876 706181378 /nfs/dbraw/zinc/18/13/78/706181378.db2.gz ZZWHCBUFILVJAM-CYBMUJFWSA-N 1 2 309.316 1.974 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@H+](Cc3ccc(C#N)s3)C2)C1 ZINC000877714840 706186517 /nfs/dbraw/zinc/18/65/17/706186517.db2.gz QUCWYDZRVFCJOD-CQSZACIVSA-N 1 2 305.359 1.514 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@@H+](Cc3ccc(C#N)s3)C2)C1 ZINC000877714840 706186518 /nfs/dbraw/zinc/18/65/18/706186518.db2.gz QUCWYDZRVFCJOD-CQSZACIVSA-N 1 2 305.359 1.514 20 30 DDEDLO C#Cc1cccc(CNC(=O)N[C@H](C)[C@H](C)[NH+]2CCOCC2)c1 ZINC000836314942 707438648 /nfs/dbraw/zinc/43/86/48/707438648.db2.gz ABUQOZHHPBDNBY-CABCVRRESA-N 1 2 315.417 1.576 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N[C@@H]1Cc2ccc(C#N)cc2C1 ZINC000829485459 706342842 /nfs/dbraw/zinc/34/28/42/706342842.db2.gz DIOAQLDQFNFCSU-BZUAXINKSA-N 1 2 306.369 1.679 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)CC1 ZINC000878750707 706488405 /nfs/dbraw/zinc/48/84/05/706488405.db2.gz LEHYGLVGLMJKLS-WBMJQRKESA-N 1 2 302.422 1.842 20 30 DDEDLO N#Cc1c(C[N@H+]2CCC[C@@H]3CCNC(=O)[C@@H]32)cn2ccccc12 ZINC000880532257 707007659 /nfs/dbraw/zinc/00/76/59/707007659.db2.gz PEPBSDLPLKVESH-CXAGYDPISA-N 1 2 308.385 1.911 20 30 DDEDLO N#Cc1c(C[N@@H+]2CCC[C@@H]3CCNC(=O)[C@@H]32)cn2ccccc12 ZINC000880532257 707007660 /nfs/dbraw/zinc/00/76/60/707007660.db2.gz PEPBSDLPLKVESH-CXAGYDPISA-N 1 2 308.385 1.911 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CNc1cccc2c1OCCCO2 ZINC000872239446 707343939 /nfs/dbraw/zinc/34/39/39/707343939.db2.gz IBQZXNJPBIURFS-ZDUSSCGKSA-N 1 2 305.378 1.466 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CNc1cccc2c1OCCCO2 ZINC000872239446 707343944 /nfs/dbraw/zinc/34/39/44/707343944.db2.gz IBQZXNJPBIURFS-ZDUSSCGKSA-N 1 2 305.378 1.466 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)C[C@@]2(C)CC2(F)F)CC1 ZINC000881811512 707374582 /nfs/dbraw/zinc/37/45/82/707374582.db2.gz CFTXCWXWPXBKCK-GFCCVEGCSA-N 1 2 306.378 1.002 20 30 DDEDLO C#CCN(C1CC1)S(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000882071233 707485868 /nfs/dbraw/zinc/48/58/68/707485868.db2.gz PVJMVEOYDXPPLJ-UHFFFAOYSA-N 1 2 304.415 1.461 20 30 DDEDLO C#CCN(C1CC1)S(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000882071233 707485872 /nfs/dbraw/zinc/48/58/72/707485872.db2.gz PVJMVEOYDXPPLJ-UHFFFAOYSA-N 1 2 304.415 1.461 20 30 DDEDLO Cn1c[nH+]cc1CCOC(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C ZINC000837623271 707698682 /nfs/dbraw/zinc/69/86/82/707698682.db2.gz IQZOPSQWOHOEPI-INIZCTEOSA-N 1 2 321.377 1.767 20 30 DDEDLO C=C(C)C[C@@H](CO)Nc1cc(N2CCCC[C@@H]2CO)nc[nH+]1 ZINC000883006100 707893916 /nfs/dbraw/zinc/89/39/16/707893916.db2.gz JHLRZNORJDGTAK-UONOGXRCSA-N 1 2 306.410 1.567 20 30 DDEDLO C=C(C)C[C@@H](CO)Nc1cc(N2CCCC[C@@H]2CO)[nH+]cn1 ZINC000883006100 707893919 /nfs/dbraw/zinc/89/39/19/707893919.db2.gz JHLRZNORJDGTAK-UONOGXRCSA-N 1 2 306.410 1.567 20 30 DDEDLO COc1nccnc1C[N@@H+]1CCC[C@H](Nc2ccc(C#N)cn2)C1 ZINC000896651125 708107991 /nfs/dbraw/zinc/10/79/91/708107991.db2.gz QRBXLDXQFZBAIK-AWEZNQCLSA-N 1 2 324.388 1.828 20 30 DDEDLO COc1nccnc1C[N@H+]1CCC[C@H](Nc2ccc(C#N)cn2)C1 ZINC000896651125 708107993 /nfs/dbraw/zinc/10/79/93/708107993.db2.gz QRBXLDXQFZBAIK-AWEZNQCLSA-N 1 2 324.388 1.828 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)CCc1ccccc1 ZINC000884046358 708116708 /nfs/dbraw/zinc/11/67/08/708116708.db2.gz AVMMAGSIYHEWCC-HIFRSBDPSA-N 1 2 304.390 1.571 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1cccc(OC)c1 ZINC000884051979 708118227 /nfs/dbraw/zinc/11/82/27/708118227.db2.gz ZKTHVKVEESHVSI-AWEZNQCLSA-N 1 2 306.362 1.100 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1Cc2ccccc2[C@H](C)C1 ZINC000884056519 708120879 /nfs/dbraw/zinc/12/08/79/708120879.db2.gz BDNMVPXFOKYNOX-DOMZBBRYSA-N 1 2 302.374 1.579 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@H](C)[C@H]2CCCC[C@H]21 ZINC000884091277 708134932 /nfs/dbraw/zinc/13/49/32/708134932.db2.gz SHUJYYPCFXGXJQ-LJISPDSOSA-N 1 2 308.422 1.860 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)[C@@H](C)c1ccccc1 ZINC000884142148 708158415 /nfs/dbraw/zinc/15/84/15/708158415.db2.gz SBXPNRHHGLKTGH-NFAWXSAZSA-N 1 2 304.390 1.741 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1Cc2ccccc2C[C@H]1CC ZINC000884314983 708240331 /nfs/dbraw/zinc/24/03/31/708240331.db2.gz CMOUIRDJARDYQX-CVEARBPZSA-N 1 2 316.401 1.797 20 30 DDEDLO CC1=CCC[C@@H](C)[C@H]1C[N@@H+]1C[C@@H]2CS(=O)(=O)C[C@@]2(C#N)C1 ZINC000897294664 708282149 /nfs/dbraw/zinc/28/21/49/708282149.db2.gz UEXDEKLRCNMAOY-LVQVYYBASA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=CCC[C@@H](C)[C@H]1C[N@H+]1C[C@@H]2CS(=O)(=O)C[C@@]2(C#N)C1 ZINC000897294664 708282153 /nfs/dbraw/zinc/28/21/53/708282153.db2.gz UEXDEKLRCNMAOY-LVQVYYBASA-N 1 2 308.447 1.849 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)c2ccc(C)c(F)c2)C1 ZINC000885514215 708563885 /nfs/dbraw/zinc/56/38/85/708563885.db2.gz SVGVLUVYYOXXNG-ZDUSSCGKSA-N 1 2 310.394 1.510 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2ccc(C)c(F)c2)C1 ZINC000885514215 708563888 /nfs/dbraw/zinc/56/38/88/708563888.db2.gz SVGVLUVYYOXXNG-ZDUSSCGKSA-N 1 2 310.394 1.510 20 30 DDEDLO CC[C@@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000886470183 708765495 /nfs/dbraw/zinc/76/54/95/708765495.db2.gz CNBDZQRENNUGHX-GFCCVEGCSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[C@@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000886470183 708765497 /nfs/dbraw/zinc/76/54/97/708765497.db2.gz CNBDZQRENNUGHX-GFCCVEGCSA-N 1 2 311.382 1.412 20 30 DDEDLO N#Cc1cc(F)ccc1C[NH+]1CCC(O)(COCCO)CC1 ZINC000886740793 708831390 /nfs/dbraw/zinc/83/13/90/708831390.db2.gz JADFVXCGFXYEHJ-UHFFFAOYSA-N 1 2 308.353 1.033 20 30 DDEDLO C=CCC1(O)CCN(C(=O)NCc2ccn3cc[nH+]c3c2)CC1 ZINC000888578257 709343741 /nfs/dbraw/zinc/34/37/41/709343741.db2.gz KGKWNQINANDNKO-UHFFFAOYSA-N 1 2 314.389 1.947 20 30 DDEDLO C[C@@H](NC(=O)Nc1ccc(C#N)cn1)[C@H](C)[NH+]1CCOCC1 ZINC000889225178 709464529 /nfs/dbraw/zinc/46/45/29/709464529.db2.gz KAHIZRCYAUALBB-NEPJUHHUSA-N 1 2 303.366 1.184 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@H]1CC[C@H](Nc2cccc[nH+]2)CC1 ZINC000889543106 709540393 /nfs/dbraw/zinc/54/03/93/709540393.db2.gz QKOFNHVQPBAFDT-MGPQQGTHSA-N 1 2 304.394 1.651 20 30 DDEDLO C#CCN1CCN(C(=O)c2c[nH+]ccc2Nc2ccccc2)CC1 ZINC000900688695 709712353 /nfs/dbraw/zinc/71/23/53/709712353.db2.gz BHGUCYVBVUABGD-UHFFFAOYSA-N 1 2 320.396 1.638 20 30 DDEDLO COc1ccc(C=NNCCCn2cc[nH+]c2)c(O)c1OC ZINC000901262514 709988154 /nfs/dbraw/zinc/98/81/54/709988154.db2.gz ZNQUHKYRZWETCQ-UHFFFAOYSA-N 1 2 304.350 1.620 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(CC)c2)C1 ZINC000891605247 710246273 /nfs/dbraw/zinc/24/62/73/710246273.db2.gz AEHMMKLVGZAPSD-MRXNPFEDSA-N 1 2 313.401 1.401 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(CC)c2)C1 ZINC000891605247 710246275 /nfs/dbraw/zinc/24/62/75/710246275.db2.gz AEHMMKLVGZAPSD-MRXNPFEDSA-N 1 2 313.401 1.401 20 30 DDEDLO N#Cc1ccc(OC2CC[NH+](CN3CCOC3=O)CC2)c(F)c1 ZINC000902266543 710687747 /nfs/dbraw/zinc/68/77/47/710687747.db2.gz RVXIBHGOHUASRI-UHFFFAOYSA-N 1 2 319.336 1.950 20 30 DDEDLO N#C[C@@]1(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)CC2CCC1CC2 ZINC000913440357 713216778 /nfs/dbraw/zinc/21/67/78/713216778.db2.gz RBYURCJPKWXNCM-WYUQMZMFSA-N 1 2 313.405 1.603 20 30 DDEDLO CC[C@@H]([NH2+]Cc1nnc2n1CCNC2=O)c1cccc(C#N)c1 ZINC000903088942 711028469 /nfs/dbraw/zinc/02/84/69/711028469.db2.gz ORUUSHYRPPTZBK-CYBMUJFWSA-N 1 2 310.361 1.134 20 30 DDEDLO C/C(=C/C(=O)Nc1n[nH]c(C2CC2)c1C#N)C[NH+]1CCOCC1 ZINC000913531251 713246658 /nfs/dbraw/zinc/24/66/58/713246658.db2.gz IDVOLQDTWAQQIY-FLIBITNWSA-N 1 2 315.377 1.376 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1cc(O)cc(C#N)c1 ZINC000928645323 713248262 /nfs/dbraw/zinc/24/82/62/713248262.db2.gz XJMJTIWPSBOLMK-AWEZNQCLSA-N 1 2 303.362 1.104 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])Cc1nc([C@@H](C)c2cccs2)no1 ZINC000904094299 711379591 /nfs/dbraw/zinc/37/95/91/711379591.db2.gz LUMWUBJDAQALFV-UWVGGRQHSA-N 1 2 307.375 1.882 20 30 DDEDLO Cc1nnc(CN2CC[NH+](Cc3cc(C#N)cs3)CC2)[nH]1 ZINC000895266086 711471666 /nfs/dbraw/zinc/47/16/66/711471666.db2.gz ZKAHXGDTEHABDB-UHFFFAOYSA-N 1 2 302.407 1.364 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)c1cccc(C#CCO)c1 ZINC000913756728 713296197 /nfs/dbraw/zinc/29/61/97/713296197.db2.gz FVPFXFMTSPCGNC-LJQANCHMSA-N 1 2 320.392 1.947 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)c1cccc(C#CCO)c1 ZINC000913756728 713296198 /nfs/dbraw/zinc/29/61/98/713296198.db2.gz FVPFXFMTSPCGNC-LJQANCHMSA-N 1 2 320.392 1.947 20 30 DDEDLO COC(=O)c1ccc(C=NNCCCn2cc[nH+]c2)c(F)c1 ZINC000905484135 712051167 /nfs/dbraw/zinc/05/11/67/712051167.db2.gz NPVJRKYCTQSURW-UHFFFAOYSA-N 1 2 304.325 1.823 20 30 DDEDLO C=CC[C@H]([NH2+]C1CCN(C(=O)c2ccco2)CC1)C(=O)OC ZINC000905751488 712138755 /nfs/dbraw/zinc/13/87/55/712138755.db2.gz SLFVCNVMQAMYRY-ZDUSSCGKSA-N 1 2 306.362 1.592 20 30 DDEDLO CC[C@H]1COCC[N@@H+]1CCNC(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000913809199 713303661 /nfs/dbraw/zinc/30/36/61/713303661.db2.gz MANYFASDZSICIM-OBQMCRIKSA-N 1 2 319.449 1.933 20 30 DDEDLO CC[C@H]1COCC[N@H+]1CCNC(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000913809199 713303663 /nfs/dbraw/zinc/30/36/63/713303663.db2.gz MANYFASDZSICIM-OBQMCRIKSA-N 1 2 319.449 1.933 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(-n2ccc(=O)cc2)nc1 ZINC000907386333 712557897 /nfs/dbraw/zinc/55/78/97/712557897.db2.gz UBHSBRMQJPVENK-INIZCTEOSA-N 1 2 322.368 1.269 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(-n2ccc(=O)cc2)nc1 ZINC000907386333 712557898 /nfs/dbraw/zinc/55/78/98/712557898.db2.gz UBHSBRMQJPVENK-INIZCTEOSA-N 1 2 322.368 1.269 20 30 DDEDLO Cc1ccc(CNC(=O)NC[C@@H](C)[NH+]2CCOCC2)cc1C#N ZINC000914067410 713337572 /nfs/dbraw/zinc/33/75/72/713337572.db2.gz RFKBZIBGHIZDCW-CQSZACIVSA-N 1 2 316.405 1.387 20 30 DDEDLO C=CCCC(=O)[C@H]1CCCN1C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000908647036 712828320 /nfs/dbraw/zinc/82/83/20/712828320.db2.gz WREDDVMZLDNRFB-ZIAGYGMSSA-N 1 2 301.390 1.972 20 30 DDEDLO C=C(Br)CNC(=O)N[C@H](CC)C[NH+]1CCOCC1 ZINC000914418306 713392845 /nfs/dbraw/zinc/39/28/45/713392845.db2.gz HKSUKIQOJXDBRI-LLVKDONJSA-N 1 2 320.231 1.305 20 30 DDEDLO N#CCCCCS(=O)(=O)N1CCC(Nc2cccc[nH+]2)CC1 ZINC000920626540 713683359 /nfs/dbraw/zinc/68/33/59/713683359.db2.gz RKDPUKLYRACYBW-UHFFFAOYSA-N 1 2 322.434 1.982 20 30 DDEDLO COC(=O)/C=C(\C)C[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000929985157 713728816 /nfs/dbraw/zinc/72/88/16/713728816.db2.gz ASAONYZYDDAOBM-VMEIHUARSA-N 1 2 313.401 1.988 20 30 DDEDLO N#Cc1cncc(CN2CC[NH+](Cc3cccc(O)c3)CC2)c1 ZINC000929990559 713730493 /nfs/dbraw/zinc/73/04/93/713730493.db2.gz WLGTXQLOGRFBFM-UHFFFAOYSA-N 1 2 308.385 1.977 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NCC[N@@H+]1CCO[C@H](C)C1 ZINC000930019867 713737537 /nfs/dbraw/zinc/73/75/37/713737537.db2.gz XWKSFEBNFGCKHZ-CYBMUJFWSA-N 1 2 302.378 1.709 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)NCC[N@H+]1CCO[C@H](C)C1 ZINC000930019867 713737540 /nfs/dbraw/zinc/73/75/40/713737540.db2.gz XWKSFEBNFGCKHZ-CYBMUJFWSA-N 1 2 302.378 1.709 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@H+](C[C@@H]3CCC4(COC4)O3)CCO2)c1 ZINC000930136178 713764866 /nfs/dbraw/zinc/76/48/66/713764866.db2.gz RWJZHAPPWTUQDE-IRXDYDNUSA-N 1 2 314.385 1.880 20 30 DDEDLO N#Cc1cccc([C@@H]2C[N@@H+](C[C@@H]3CCC4(COC4)O3)CCO2)c1 ZINC000930136178 713764868 /nfs/dbraw/zinc/76/48/68/713764868.db2.gz RWJZHAPPWTUQDE-IRXDYDNUSA-N 1 2 314.385 1.880 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2cc([N+](=O)[O-])ccc2C)CC1 ZINC000931145047 714014986 /nfs/dbraw/zinc/01/49/86/714014986.db2.gz BDMPATIFHLAMKU-UHFFFAOYSA-N 1 2 317.345 1.302 20 30 DDEDLO CNC(=O)NC[C@@H]1C[N@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000931168126 714020286 /nfs/dbraw/zinc/02/02/86/714020286.db2.gz VEHQPQNRGLVRRU-OAHLLOKOSA-N 1 2 316.405 1.305 20 30 DDEDLO CNC(=O)NC[C@@H]1C[N@@H+](Cc2c(C)cc(C#N)cc2C)CCO1 ZINC000931168126 714020289 /nfs/dbraw/zinc/02/02/89/714020289.db2.gz VEHQPQNRGLVRRU-OAHLLOKOSA-N 1 2 316.405 1.305 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)NCC(C)(C)CCC#N ZINC000922485905 714068039 /nfs/dbraw/zinc/06/80/39/714068039.db2.gz LJXPFSOLUKHEIJ-CQSZACIVSA-N 1 2 310.442 1.726 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000932054539 714247973 /nfs/dbraw/zinc/24/79/73/714247973.db2.gz JREAYEUIMNOUIC-ZIAGYGMSSA-N 1 2 301.390 1.706 20 30 DDEDLO COC(=O)c1cccc2c1OCC[N@H+](CCOCCC#N)C2 ZINC000932654756 714378682 /nfs/dbraw/zinc/37/86/82/714378682.db2.gz FRIRYQWWBLZRAR-UHFFFAOYSA-N 1 2 304.346 1.598 20 30 DDEDLO COC(=O)c1cccc2c1OCC[N@@H+](CCOCCC#N)C2 ZINC000932654756 714378684 /nfs/dbraw/zinc/37/86/84/714378684.db2.gz FRIRYQWWBLZRAR-UHFFFAOYSA-N 1 2 304.346 1.598 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)[C@H]2CCC(=O)[C@H](C)C2(C)C)C1 ZINC000923563096 714405616 /nfs/dbraw/zinc/40/56/16/714405616.db2.gz AHZZANIWHYRBNZ-SOUVJXGZSA-N 1 2 304.434 1.842 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)[C@H]2CCC(=O)[C@H](C)C2(C)C)C1 ZINC000923563096 714405615 /nfs/dbraw/zinc/40/56/15/714405615.db2.gz AHZZANIWHYRBNZ-SOUVJXGZSA-N 1 2 304.434 1.842 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCOc3ccccc3[C@@H]2CO)C1=O ZINC000933382869 714577275 /nfs/dbraw/zinc/57/72/75/714577275.db2.gz LSPPWOXKDWRZHQ-CABCVRRESA-N 1 2 302.374 1.201 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCOc3ccccc3[C@@H]2CO)C1=O ZINC000933382869 714577277 /nfs/dbraw/zinc/57/72/77/714577277.db2.gz LSPPWOXKDWRZHQ-CABCVRRESA-N 1 2 302.374 1.201 20 30 DDEDLO C=CCCC[C@@H]1NC(=O)N([C@@H](C)[C@H](C)[NH+]2CCOCC2)C1=O ZINC000924596385 714615323 /nfs/dbraw/zinc/61/53/23/714615323.db2.gz ZEHQKTCZIHKCNT-IHRRRGAJSA-N 1 2 309.410 1.372 20 30 DDEDLO COc1ccnc(C[NH+]2CCC3(CC2)CC(=O)C=CO3)c1OC ZINC000933647129 714638318 /nfs/dbraw/zinc/63/83/18/714638318.db2.gz WGSBCJURKGVLHR-UHFFFAOYSA-N 1 2 318.373 1.937 20 30 DDEDLO CC[C@@H]([NH2+]C[C@@H]1CN(C)CCN1C)c1cccc(C#N)c1O ZINC000925032146 714733398 /nfs/dbraw/zinc/73/33/98/714733398.db2.gz PRVPUZGWHSCOGR-GDBMZVCRSA-N 1 2 302.422 1.550 20 30 DDEDLO C#CC1(O)C[NH+](CCCCOc2c(OC)cccc2OC)C1 ZINC000934450796 714825693 /nfs/dbraw/zinc/82/56/93/714825693.db2.gz SPVCYSKMRAUYPV-UHFFFAOYSA-N 1 2 305.374 1.543 20 30 DDEDLO C#CC[C@H]1CCCN(C(=O)N[C@@H](CC)C[NH+]2CCOCC2)C1 ZINC000925610259 714899246 /nfs/dbraw/zinc/89/92/46/714899246.db2.gz FTPIQKDHLDAICZ-HOTGVXAUSA-N 1 2 307.438 1.542 20 30 DDEDLO C=C1CCC(CNC(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)CC1 ZINC000926538697 715083940 /nfs/dbraw/zinc/08/39/40/715083940.db2.gz CEXGMFGSHRCCJH-MRXNPFEDSA-N 1 2 307.438 1.849 20 30 DDEDLO C#CCN1CC(N(CC)C(=O)c2cc(-n3cc[nH+]c3)ccn2)C1 ZINC000957089942 715740460 /nfs/dbraw/zinc/74/04/60/715740460.db2.gz XXEMXASCNGZHKI-UHFFFAOYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)C2C[NH+](C[C@H](C)OC)C2)nc1 ZINC000957290362 715818762 /nfs/dbraw/zinc/81/87/62/715818762.db2.gz VGJLLXOGPZLYJG-ZDUSSCGKSA-N 1 2 301.390 1.244 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cccc(C(=O)NC)c2)C1 ZINC000957405735 715885136 /nfs/dbraw/zinc/88/51/36/715885136.db2.gz NNZVWFNGJZQZPZ-UHFFFAOYSA-N 1 2 315.417 1.769 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)c2cc3ccccc3oc2=O)CC1 ZINC000957530828 715942302 /nfs/dbraw/zinc/94/23/02/715942302.db2.gz QEFHSJRPYCLFSN-UHFFFAOYSA-N 1 2 310.353 1.574 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CC[C@H](N(C)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC000939000541 716079744 /nfs/dbraw/zinc/07/97/44/716079744.db2.gz FDVWBYKLBXEXKE-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)c2oc(C)nc2C)[C@H](O)C1 ZINC000957954243 716248267 /nfs/dbraw/zinc/24/82/67/716248267.db2.gz ZUTSUQQPFJLVRT-VXGBXAGGSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](CNC(=O)c2oc(C)nc2C)[C@H](O)C1 ZINC000957954243 716248269 /nfs/dbraw/zinc/24/82/69/716248269.db2.gz ZUTSUQQPFJLVRT-VXGBXAGGSA-N 1 2 313.785 1.066 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCO1 ZINC000958169217 716371358 /nfs/dbraw/zinc/37/13/58/716371358.db2.gz MDGVNADZPKCVCS-KXNHARMFSA-N 1 2 315.211 1.120 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCO1 ZINC000958169217 716371363 /nfs/dbraw/zinc/37/13/63/716371363.db2.gz MDGVNADZPKCVCS-KXNHARMFSA-N 1 2 315.211 1.120 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2csc(C)n2)C1 ZINC000958341262 716483565 /nfs/dbraw/zinc/48/35/65/716483565.db2.gz VMOTVXIOONHNGQ-PWSUYJOCSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2csc(C)n2)C1 ZINC000958341262 716483568 /nfs/dbraw/zinc/48/35/68/716483568.db2.gz VMOTVXIOONHNGQ-PWSUYJOCSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2C[N@@H+](Cc3ccon3)C[C@@H]2O)CC1 ZINC000958370711 716507286 /nfs/dbraw/zinc/50/72/86/716507286.db2.gz TYPDAVJPDPGRDE-HOCLYGCPSA-N 1 2 319.405 1.330 20 30 DDEDLO C=C1CCC(C(=O)NC[C@H]2C[N@H+](Cc3ccon3)C[C@@H]2O)CC1 ZINC000958370711 716507290 /nfs/dbraw/zinc/50/72/90/716507290.db2.gz TYPDAVJPDPGRDE-HOCLYGCPSA-N 1 2 319.405 1.330 20 30 DDEDLO Cc1cc(C[N@@H+](C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)nn1C ZINC000960488281 716576595 /nfs/dbraw/zinc/57/65/95/716576595.db2.gz AJCROLNFKLSFLM-SWLSCSKDSA-N 1 2 303.410 1.311 20 30 DDEDLO Cc1cc(C[N@H+](C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)nn1C ZINC000960488281 716576597 /nfs/dbraw/zinc/57/65/97/716576597.db2.gz AJCROLNFKLSFLM-SWLSCSKDSA-N 1 2 303.410 1.311 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2c[nH]c(C#N)c2)no1 ZINC000958587168 716645143 /nfs/dbraw/zinc/64/51/43/716645143.db2.gz OPMWFYQRDKQXKZ-FICVDOATSA-N 1 2 311.345 1.043 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2c[nH]c(C#N)c2)no1 ZINC000958587168 716645145 /nfs/dbraw/zinc/64/51/45/716645145.db2.gz OPMWFYQRDKQXKZ-FICVDOATSA-N 1 2 311.345 1.043 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H]2C[N@@H+](C)Cc2ccon2)cn1 ZINC000960974940 716753141 /nfs/dbraw/zinc/75/31/41/716753141.db2.gz DAHUFDURXYTOEJ-QGZVFWFLSA-N 1 2 324.384 1.788 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H]2C[N@H+](C)Cc2ccon2)cn1 ZINC000960974940 716753146 /nfs/dbraw/zinc/75/31/46/716753146.db2.gz DAHUFDURXYTOEJ-QGZVFWFLSA-N 1 2 324.384 1.788 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)c3occc3C)CC2)C1 ZINC000941311787 717153183 /nfs/dbraw/zinc/15/31/83/717153183.db2.gz UMVYWQUZSZBHRB-UHFFFAOYSA-N 1 2 301.390 1.053 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3CCCCCC3)CC2)C1 ZINC000941337530 717157472 /nfs/dbraw/zinc/15/74/72/717157472.db2.gz YPPZDOPAOGIPIE-UHFFFAOYSA-N 1 2 303.450 1.418 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CCC[C@@H](C)C3)CC2)C1 ZINC000941540604 717200616 /nfs/dbraw/zinc/20/06/16/717200616.db2.gz IEMDLTJVPMKRFX-IAGOWNOFSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)C ZINC000962354954 717419363 /nfs/dbraw/zinc/41/93/63/717419363.db2.gz IQUVRQQKOMHJGY-URLYPYJESA-N 1 2 301.228 1.988 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)C ZINC000962354954 717419360 /nfs/dbraw/zinc/41/93/60/717419360.db2.gz IQUVRQQKOMHJGY-URLYPYJESA-N 1 2 301.228 1.988 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000963364893 717761301 /nfs/dbraw/zinc/76/13/01/717761301.db2.gz DIFINRNROGESEI-UKRRQHHQSA-N 1 2 301.394 1.179 20 30 DDEDLO CN(C(=O)[C@@H]1CCc2c[nH+]cn2C1)C1CCC(NCC#N)CC1 ZINC000963581239 717836444 /nfs/dbraw/zinc/83/64/44/717836444.db2.gz JHNLGPVFGBMXRG-WLYUNCDWSA-N 1 2 315.421 1.328 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC000965964132 717837190 /nfs/dbraw/zinc/83/71/90/717837190.db2.gz OEWCNCOBCLCLRF-RISCZKNCSA-N 1 2 310.829 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC000965964132 717837193 /nfs/dbraw/zinc/83/71/93/717837193.db2.gz OEWCNCOBCLCLRF-RISCZKNCSA-N 1 2 310.829 1.542 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCCC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC000943568841 718144458 /nfs/dbraw/zinc/14/44/58/718144458.db2.gz ACAVRJAHGFHRES-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@H]1CCN(CC#N)C[C@@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cn1 ZINC000968533752 719650157 /nfs/dbraw/zinc/65/01/57/719650157.db2.gz MMDLDYTYZIOFQL-BBRMVZONSA-N 1 2 324.388 1.231 20 30 DDEDLO CC#CC[N@@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccn[nH]1 ZINC000948890516 719769528 /nfs/dbraw/zinc/76/95/28/719769528.db2.gz RODZMOWNKLZPJV-KRWDZBQOSA-N 1 2 308.385 1.762 20 30 DDEDLO CC#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ccn[nH]1 ZINC000948890516 719769532 /nfs/dbraw/zinc/76/95/32/719769532.db2.gz RODZMOWNKLZPJV-KRWDZBQOSA-N 1 2 308.385 1.762 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)c3sccc3F)CC2)C1 ZINC000949351518 720034829 /nfs/dbraw/zinc/03/48/29/720034829.db2.gz AEJPARILFXRMFT-UHFFFAOYSA-N 1 2 322.405 1.827 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)c3sccc3F)CC2)C1 ZINC000949351518 720034832 /nfs/dbraw/zinc/03/48/32/720034832.db2.gz AEJPARILFXRMFT-UHFFFAOYSA-N 1 2 322.405 1.827 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC[C@@H]4C[C@@H]43)CC2)C1 ZINC000949401704 720064022 /nfs/dbraw/zinc/06/40/22/720064022.db2.gz JRTLNFPAWAKHSX-ZACQAIPSSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CCC[C@@H]4C[C@@H]43)CC2)C1 ZINC000949401704 720064028 /nfs/dbraw/zinc/06/40/28/720064028.db2.gz JRTLNFPAWAKHSX-ZACQAIPSSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)C3(C)CC(=C)C3)CC2)C1 ZINC000949468327 720109034 /nfs/dbraw/zinc/10/90/34/720109034.db2.gz DYSVZRLTUQMNJE-UHFFFAOYSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)C3(C)CC(=C)C3)CC2)C1 ZINC000949468327 720109037 /nfs/dbraw/zinc/10/90/37/720109037.db2.gz DYSVZRLTUQMNJE-UHFFFAOYSA-N 1 2 302.418 1.669 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@]3(C)CCCOC3)CC2)C1 ZINC000949499651 720125803 /nfs/dbraw/zinc/12/58/03/720125803.db2.gz WUIUZVQOQJVKSH-QGZVFWFLSA-N 1 2 320.433 1.130 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@]3(C)CCCOC3)CC2)C1 ZINC000949499651 720125807 /nfs/dbraw/zinc/12/58/07/720125807.db2.gz WUIUZVQOQJVKSH-QGZVFWFLSA-N 1 2 320.433 1.130 20 30 DDEDLO CCN(C(=O)c1ccc(C#N)[nH]1)C1C[NH+](C[C@H]2CC[C@@H](C)O2)C1 ZINC000949913086 720414288 /nfs/dbraw/zinc/41/42/88/720414288.db2.gz PGMOIVNNYSKAIG-IUODEOHRSA-N 1 2 316.405 1.600 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)c2c[nH]cc2-c2cnn(C)c2)C1 ZINC000950059627 720471135 /nfs/dbraw/zinc/47/11/35/720471135.db2.gz HYPOVVNETROTCP-UHFFFAOYSA-N 1 2 313.405 1.748 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC000970338766 720719211 /nfs/dbraw/zinc/71/92/11/720719211.db2.gz OZBQOWATJQJYKY-ZYHUDNBSSA-N 1 2 323.828 1.414 20 30 DDEDLO CC#CC[NH+]1CC([C@@H](C)NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC000970867466 720963735 /nfs/dbraw/zinc/96/37/35/720963735.db2.gz ACQTZRKCPKNCBZ-CYBMUJFWSA-N 1 2 314.433 1.752 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971504370 721326184 /nfs/dbraw/zinc/32/61/84/721326184.db2.gz KYKNMGKRGNBYSH-RISCZKNCSA-N 1 2 302.378 1.385 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000971504370 721326188 /nfs/dbraw/zinc/32/61/88/721326188.db2.gz KYKNMGKRGNBYSH-RISCZKNCSA-N 1 2 302.378 1.385 20 30 DDEDLO CN(CC#N)[C@H]1CCCN(C(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001027573530 738422004 /nfs/dbraw/zinc/42/20/04/738422004.db2.gz HQQIKMWPMXFXSG-STQMWFEESA-N 1 2 301.394 1.276 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CCC[C@@H]2CN(CC#N)CC[C@@H]21 ZINC001021724212 733487228 /nfs/dbraw/zinc/48/72/28/733487228.db2.gz KGPLBSDFCWSVNU-ZBFHGGJFSA-N 1 2 315.421 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccc(C)o3)[C@H]2C1 ZINC001083217809 733656783 /nfs/dbraw/zinc/65/67/83/733656783.db2.gz PDGIWXZBEZUGGZ-OFQRWUPVSA-N 1 2 302.374 1.236 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H](C)c3ccc(C)o3)[C@H]2C1 ZINC001083217809 733656786 /nfs/dbraw/zinc/65/67/86/733656786.db2.gz PDGIWXZBEZUGGZ-OFQRWUPVSA-N 1 2 302.374 1.236 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnc(N(C)C)cn1 ZINC001027881332 738768335 /nfs/dbraw/zinc/76/83/35/738768335.db2.gz NQBOIQWBHJZEDP-LBPRGKRZSA-N 1 2 323.828 1.489 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnc(N(C)C)cn1 ZINC001027881332 738768336 /nfs/dbraw/zinc/76/83/36/738768336.db2.gz NQBOIQWBHJZEDP-LBPRGKRZSA-N 1 2 323.828 1.489 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(F)ccc2F)C1 ZINC000891606925 734423548 /nfs/dbraw/zinc/42/35/48/734423548.db2.gz QPVPDXHRAUKTIK-GFCCVEGCSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2cc(F)ccc2F)C1 ZINC000891606925 734423550 /nfs/dbraw/zinc/42/35/50/734423550.db2.gz QPVPDXHRAUKTIK-GFCCVEGCSA-N 1 2 321.327 1.117 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1CNC(=O)c1nc2cccnc2s1 ZINC001027934500 738834073 /nfs/dbraw/zinc/83/40/73/738834073.db2.gz RZLMXXAEUSKJFS-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1nc2cccnc2s1 ZINC001027934500 738834076 /nfs/dbraw/zinc/83/40/76/738834076.db2.gz RZLMXXAEUSKJFS-NSHDSACASA-N 1 2 300.387 1.519 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCc2nccn2C1 ZINC001027973536 738892884 /nfs/dbraw/zinc/89/28/84/738892884.db2.gz STQUAYLHDAETRG-UONOGXRCSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCc2nccn2C1 ZINC001027973536 738892888 /nfs/dbraw/zinc/89/28/88/738892888.db2.gz STQUAYLHDAETRG-UONOGXRCSA-N 1 2 322.840 1.779 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@H+](C)Cc3nocc3C)C2)cn1 ZINC000972756403 735598231 /nfs/dbraw/zinc/59/82/31/735598231.db2.gz LXYGPDLFWZLIRG-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@H]([N@@H+](C)Cc3nocc3C)C2)cn1 ZINC000972756403 735598234 /nfs/dbraw/zinc/59/82/34/735598234.db2.gz LXYGPDLFWZLIRG-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#CCCN(CCOC)c1nnc(C[NH+]2CCCCC2)n1C ZINC001121403227 782494366 /nfs/dbraw/zinc/49/43/66/782494366.db2.gz CEJRKQWBNKGLNQ-UHFFFAOYSA-N 1 2 305.426 1.277 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H]1CNC(=O)c1cn(C)c(=O)cn1 ZINC001024566241 735947331 /nfs/dbraw/zinc/94/73/31/735947331.db2.gz WWENXJXDBVUGRJ-LBPRGKRZSA-N 1 2 324.812 1.117 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cn(C)c(=O)cn1 ZINC001024566241 735947335 /nfs/dbraw/zinc/94/73/35/735947335.db2.gz WWENXJXDBVUGRJ-LBPRGKRZSA-N 1 2 324.812 1.117 20 30 DDEDLO C#CC[N@H+]1CCCC[C@H]1CNC(=O)c1scnc1COC ZINC001024697450 736027288 /nfs/dbraw/zinc/02/72/88/736027288.db2.gz ZICXNSOBNFFJMC-LBPRGKRZSA-N 1 2 307.419 1.507 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1scnc1COC ZINC001024697450 736027290 /nfs/dbraw/zinc/02/72/90/736027290.db2.gz ZICXNSOBNFFJMC-LBPRGKRZSA-N 1 2 307.419 1.507 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H]2CCCC[N@H+]2CCF)nn1 ZINC001024698924 736029751 /nfs/dbraw/zinc/02/97/51/736029751.db2.gz NQJHPOCFYWNQRW-ZDUSSCGKSA-N 1 2 309.389 1.408 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@@H]2CCCC[N@@H+]2CCF)nn1 ZINC001024698924 736029756 /nfs/dbraw/zinc/02/97/56/736029756.db2.gz NQJHPOCFYWNQRW-ZDUSSCGKSA-N 1 2 309.389 1.408 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]2[C@@H]1C(C)(C)[C@H]2NC(=O)c1nnc[nH]1 ZINC001087308553 736033243 /nfs/dbraw/zinc/03/32/43/736033243.db2.gz SEXYOOMHPMNSMM-GRYCIOLGSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]2[C@@H]1C(C)(C)[C@H]2NC(=O)c1ncn[nH]1 ZINC001087308553 736033247 /nfs/dbraw/zinc/03/32/47/736033247.db2.gz SEXYOOMHPMNSMM-GRYCIOLGSA-N 1 2 323.828 1.776 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]2[C@@H]1C(C)(C)[C@H]2NC(=O)c1ncn[nH]1 ZINC001087308553 736033251 /nfs/dbraw/zinc/03/32/51/736033251.db2.gz SEXYOOMHPMNSMM-GRYCIOLGSA-N 1 2 323.828 1.776 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H](C)CCCNc1[nH+]cnc2c1cnn2C ZINC001114872995 751366437 /nfs/dbraw/zinc/36/64/37/751366437.db2.gz WXWWCVLNCQRBBS-GHMZBOCLSA-N 1 2 315.381 1.220 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(NC(=O)CC)c1 ZINC001038316534 736092097 /nfs/dbraw/zinc/09/20/97/736092097.db2.gz BICLORSRWOXXOB-INIZCTEOSA-N 1 2 313.401 1.863 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(NC(=O)CC)c1 ZINC001038316534 736092100 /nfs/dbraw/zinc/09/21/00/736092100.db2.gz BICLORSRWOXXOB-INIZCTEOSA-N 1 2 313.401 1.863 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H](C)c2ccnn2C)C1 ZINC001007356401 736314877 /nfs/dbraw/zinc/31/48/77/736314877.db2.gz JSOBVACSFXYQCG-STQMWFEESA-N 1 2 310.829 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)c2ccnn2C)C1 ZINC001007356401 736314879 /nfs/dbraw/zinc/31/48/79/736314879.db2.gz JSOBVACSFXYQCG-STQMWFEESA-N 1 2 310.829 1.857 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@H](C)N(C)C(=O)Cc2[nH]cc[nH+]2)n1 ZINC001104647981 736489998 /nfs/dbraw/zinc/48/99/98/736489998.db2.gz NVHOXNLQKQMSGF-LBPRGKRZSA-N 1 2 312.377 1.486 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc(CF)cc3)[C@H]2C1 ZINC001083287533 737036566 /nfs/dbraw/zinc/03/65/66/737036566.db2.gz NGQMODQOGVZYPN-DLBZAZTESA-N 1 2 316.376 1.705 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc(CF)cc3)[C@H]2C1 ZINC001083287533 737036570 /nfs/dbraw/zinc/03/65/70/737036570.db2.gz NGQMODQOGVZYPN-DLBZAZTESA-N 1 2 316.376 1.705 20 30 DDEDLO C=CCn1cc(C(=O)N(C)[C@@H](C)CNc2cc[nH+]c(C)n2)nn1 ZINC001104836993 737264204 /nfs/dbraw/zinc/26/42/04/737264204.db2.gz HNGSREMBIARLIK-NSHDSACASA-N 1 2 315.381 1.135 20 30 DDEDLO C[C@@H]1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C[C@H]1CCNCC#N ZINC001104908999 737366275 /nfs/dbraw/zinc/36/62/75/737366275.db2.gz SCYZAEKWIBUGKT-CHWSQXEVSA-N 1 2 303.410 1.285 20 30 DDEDLO C#CCOCCC(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)[C@@H](C)C1 ZINC001105050502 737486554 /nfs/dbraw/zinc/48/65/54/737486554.db2.gz JYNMTKRLWKKTRS-DZGCQCFKSA-N 1 2 316.405 1.474 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)Nc1[nH+]cnc2c1cnn2C ZINC001098195875 738988100 /nfs/dbraw/zinc/98/81/00/738988100.db2.gz MELWAYKVZZYULM-VXGBXAGGSA-N 1 2 316.409 1.882 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105318510 737840015 /nfs/dbraw/zinc/84/00/15/737840015.db2.gz CSKNVWZROGUPTI-KRWDZBQOSA-N 1 2 315.421 1.377 20 30 DDEDLO C[N@H+](Cc1ccon1)[C@H]1CCCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC001027371628 738241978 /nfs/dbraw/zinc/24/19/78/738241978.db2.gz GDVVTYZVLZCDFX-AWEZNQCLSA-N 1 2 313.361 1.611 20 30 DDEDLO C[N@@H+](Cc1ccon1)[C@H]1CCCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC001027371628 738241981 /nfs/dbraw/zinc/24/19/81/738241981.db2.gz GDVVTYZVLZCDFX-AWEZNQCLSA-N 1 2 313.361 1.611 20 30 DDEDLO Cc1nc(N[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)ccc1C#N ZINC001058919300 739167772 /nfs/dbraw/zinc/16/77/72/739167772.db2.gz ILFYYZVCMIPADY-AWEZNQCLSA-N 1 2 310.361 1.171 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3ccnc(Cl)c3)C[C@H]21 ZINC001075619603 739217656 /nfs/dbraw/zinc/21/76/56/739217656.db2.gz JLVSDHMKZAJVCX-FPMFFAJLSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3ccnc(Cl)c3)C[C@H]21 ZINC001075619603 739217661 /nfs/dbraw/zinc/21/76/61/739217661.db2.gz JLVSDHMKZAJVCX-FPMFFAJLSA-N 1 2 318.808 1.927 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@H+](Cc3cnc(Cl)s3)C[C@H]21 ZINC001075620828 739229060 /nfs/dbraw/zinc/22/90/60/739229060.db2.gz FLKVLXBMGLBKMZ-JFGNBEQYSA-N 1 2 324.837 1.989 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@H]2C[N@@H+](Cc3cnc(Cl)s3)C[C@H]21 ZINC001075620828 739229065 /nfs/dbraw/zinc/22/90/65/739229065.db2.gz FLKVLXBMGLBKMZ-JFGNBEQYSA-N 1 2 324.837 1.989 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1C[N@H+](CC=C(C)C)CCO1 ZINC001035370916 751435572 /nfs/dbraw/zinc/43/55/72/751435572.db2.gz RWDUBVTVGHSNEA-ZACQAIPSSA-N 1 2 322.449 1.751 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1C[N@@H+](CC=C(C)C)CCO1 ZINC001035370916 751435573 /nfs/dbraw/zinc/43/55/73/751435573.db2.gz RWDUBVTVGHSNEA-ZACQAIPSSA-N 1 2 322.449 1.751 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001035370940 751435583 /nfs/dbraw/zinc/43/55/83/751435583.db2.gz QSXITNAKXOJMOL-ZIAGYGMSSA-N 1 2 318.421 1.223 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001035370940 751435584 /nfs/dbraw/zinc/43/55/84/751435584.db2.gz QSXITNAKXOJMOL-ZIAGYGMSSA-N 1 2 318.421 1.223 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2nn(C)cc21 ZINC001032589133 751447840 /nfs/dbraw/zinc/44/78/40/751447840.db2.gz WCIIISHHZNMEMG-SOUVJXGZSA-N 1 2 312.417 1.148 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2nn(C)cc21 ZINC001032589133 751447845 /nfs/dbraw/zinc/44/78/45/751447845.db2.gz WCIIISHHZNMEMG-SOUVJXGZSA-N 1 2 312.417 1.148 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccn(C(F)F)n2)C1 ZINC001035381949 751451653 /nfs/dbraw/zinc/45/16/53/751451653.db2.gz VZQOKSJNYNKAGF-LLVKDONJSA-N 1 2 314.336 1.285 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccn(C(F)F)n2)C1 ZINC001035381949 751451659 /nfs/dbraw/zinc/45/16/59/751451659.db2.gz VZQOKSJNYNKAGF-LLVKDONJSA-N 1 2 314.336 1.285 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@@H]1n1ccnn1 ZINC001129175126 751474179 /nfs/dbraw/zinc/47/41/79/751474179.db2.gz PAGPEXUUNBFBME-QLQVPFRHSA-N 1 2 323.828 1.584 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@@H]1n1ccnn1 ZINC001129175126 751474184 /nfs/dbraw/zinc/47/41/84/751474184.db2.gz PAGPEXUUNBFBME-QLQVPFRHSA-N 1 2 323.828 1.584 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)cc(C)n2)C1 ZINC001035422638 751481028 /nfs/dbraw/zinc/48/10/28/751481028.db2.gz DHHSOINXYPEEOO-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C)cc(C)n2)C1 ZINC001035422638 751481036 /nfs/dbraw/zinc/48/10/36/751481036.db2.gz DHHSOINXYPEEOO-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001035406209 751489114 /nfs/dbraw/zinc/48/91/14/751489114.db2.gz GSLWMJIRKMCLGH-CQSZACIVSA-N 1 2 300.362 1.131 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001035406209 751489120 /nfs/dbraw/zinc/48/91/20/751489120.db2.gz GSLWMJIRKMCLGH-CQSZACIVSA-N 1 2 300.362 1.131 20 30 DDEDLO C=CCOCC(=O)N1CCC[C@@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001098687280 739889314 /nfs/dbraw/zinc/88/93/14/739889314.db2.gz MOQKBWWCDHNRRX-CQSZACIVSA-N 1 2 304.394 1.415 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cnn3ccc(C)cc23)C1 ZINC001035408861 751490893 /nfs/dbraw/zinc/49/08/93/751490893.db2.gz WQOGLRPJKNPSKM-CQSZACIVSA-N 1 2 314.389 1.259 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cnn3ccc(C)cc23)C1 ZINC001035408861 751490897 /nfs/dbraw/zinc/49/08/97/751490897.db2.gz WQOGLRPJKNPSKM-CQSZACIVSA-N 1 2 314.389 1.259 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)c(F)cc2F)C1 ZINC001035415807 751496891 /nfs/dbraw/zinc/49/68/91/751496891.db2.gz NJWFDMVIQRARIU-CYBMUJFWSA-N 1 2 322.355 1.727 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2cc(C)c(F)cc2F)C1 ZINC001035415807 751496893 /nfs/dbraw/zinc/49/68/93/751496893.db2.gz NJWFDMVIQRARIU-CYBMUJFWSA-N 1 2 322.355 1.727 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C)c(C)n2)C1 ZINC001035452415 751501058 /nfs/dbraw/zinc/50/10/58/751501058.db2.gz PBDNFDNPSHPRTD-OAHLLOKOSA-N 1 2 301.390 1.152 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C)c(C)n2)C1 ZINC001035452415 751501065 /nfs/dbraw/zinc/50/10/65/751501065.db2.gz PBDNFDNPSHPRTD-OAHLLOKOSA-N 1 2 301.390 1.152 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc3cnccc3o2)C1 ZINC001035432076 751508630 /nfs/dbraw/zinc/50/86/30/751508630.db2.gz NOPVVTQEOKFHRD-CQSZACIVSA-N 1 2 315.373 1.835 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc3cnccc3o2)C1 ZINC001035432076 751508636 /nfs/dbraw/zinc/50/86/36/751508636.db2.gz NOPVVTQEOKFHRD-CQSZACIVSA-N 1 2 315.373 1.835 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2coc(OCC)n2)C1 ZINC001035436674 751513791 /nfs/dbraw/zinc/51/37/91/751513791.db2.gz SMZDBLNZPDRSJQ-LBPRGKRZSA-N 1 2 309.366 1.080 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2coc(OCC)n2)C1 ZINC001035436674 751513798 /nfs/dbraw/zinc/51/37/98/751513798.db2.gz SMZDBLNZPDRSJQ-LBPRGKRZSA-N 1 2 309.366 1.080 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001028906757 740162258 /nfs/dbraw/zinc/16/22/58/740162258.db2.gz VDIQLCRELNGPJW-LBPRGKRZSA-N 1 2 309.797 1.488 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001028906757 740162260 /nfs/dbraw/zinc/16/22/60/740162260.db2.gz VDIQLCRELNGPJW-LBPRGKRZSA-N 1 2 309.797 1.488 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ccn3ccccc23)C1 ZINC001035441563 751518358 /nfs/dbraw/zinc/51/83/58/751518358.db2.gz BWWVBKBTKYZGNX-OAHLLOKOSA-N 1 2 313.401 1.946 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ccn3ccccc23)C1 ZINC001035441563 751518360 /nfs/dbraw/zinc/51/83/60/751518360.db2.gz BWWVBKBTKYZGNX-OAHLLOKOSA-N 1 2 313.401 1.946 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)[C@H](C)CO3)C1 ZINC001035480674 751534312 /nfs/dbraw/zinc/53/43/12/751534312.db2.gz HMDSXMVANWNQHR-UKRRQHHQSA-N 1 2 316.401 1.799 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc3c(c2)[C@H](C)CO3)C1 ZINC001035480674 751534318 /nfs/dbraw/zinc/53/43/18/751534318.db2.gz HMDSXMVANWNQHR-UKRRQHHQSA-N 1 2 316.401 1.799 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2CCCc3cccnc32)C1 ZINC001035481099 751535200 /nfs/dbraw/zinc/53/52/00/751535200.db2.gz DTLIGJDHRZRFSX-HZPDHXFCSA-N 1 2 315.417 1.505 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCCc3cccnc32)C1 ZINC001035481099 751535203 /nfs/dbraw/zinc/53/52/03/751535203.db2.gz DTLIGJDHRZRFSX-HZPDHXFCSA-N 1 2 315.417 1.505 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCCc2[nH+]c[nH]c21)Nc1ncccc1C#N ZINC001098268240 740486865 /nfs/dbraw/zinc/48/68/65/740486865.db2.gz ROIFTPBSHNSQES-YPMHNXCESA-N 1 2 324.388 1.713 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001035466002 751550959 /nfs/dbraw/zinc/55/09/59/751550959.db2.gz KKIRIASRWTYBPG-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC001035466002 751550962 /nfs/dbraw/zinc/55/09/62/751550962.db2.gz KKIRIASRWTYBPG-ZDUSSCGKSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2OCCc3ccccc32)C1 ZINC001035467380 751553206 /nfs/dbraw/zinc/55/32/06/751553206.db2.gz RDWQIOIFAPERKF-NVXWUHKLSA-N 1 2 316.401 1.303 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2OCCc3ccccc32)C1 ZINC001035467380 751553208 /nfs/dbraw/zinc/55/32/08/751553208.db2.gz RDWQIOIFAPERKF-NVXWUHKLSA-N 1 2 316.401 1.303 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CCNC(=O)CCn2cc[nH+]c2)C1 ZINC001059316416 740610478 /nfs/dbraw/zinc/61/04/78/740610478.db2.gz UQPMRBAJRZNURV-HNNXBMFYSA-N 1 2 318.421 1.594 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3[C@H](C)C(=O)N(C)C)C1 ZINC001029464740 740867101 /nfs/dbraw/zinc/86/71/01/740867101.db2.gz FPWPPDIQNXORPE-KFWWJZLASA-N 1 2 319.449 1.495 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3[C@H](C)C(=O)N(C)C)C1 ZINC001029464740 740867104 /nfs/dbraw/zinc/86/71/04/740867104.db2.gz FPWPPDIQNXORPE-KFWWJZLASA-N 1 2 319.449 1.495 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C)c(F)c2F)C1 ZINC001035518381 751594329 /nfs/dbraw/zinc/59/43/29/751594329.db2.gz FOETVNUIWCJBQY-LBPRGKRZSA-N 1 2 310.344 1.890 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C)c(F)c2F)C1 ZINC001035518381 751594332 /nfs/dbraw/zinc/59/43/32/751594332.db2.gz FOETVNUIWCJBQY-LBPRGKRZSA-N 1 2 310.344 1.890 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncoc2C2CC2)C1 ZINC001035570795 751623349 /nfs/dbraw/zinc/62/33/49/751623349.db2.gz SLDLYYUKJWAHJZ-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncoc2C2CC2)C1 ZINC001035570795 751623355 /nfs/dbraw/zinc/62/33/55/751623355.db2.gz SLDLYYUKJWAHJZ-CYBMUJFWSA-N 1 2 305.378 1.559 20 30 DDEDLO Cc1nc([C@@H](C)[N@@H+](C)CC2CCN(C(=O)[C@@H](C)C#N)CC2)no1 ZINC001029946916 741636192 /nfs/dbraw/zinc/63/61/92/741636192.db2.gz YLMVIMHZNSZIIW-NWDGAFQWSA-N 1 2 319.409 1.769 20 30 DDEDLO Cc1nc([C@@H](C)[N@H+](C)CC2CCN(C(=O)[C@@H](C)C#N)CC2)no1 ZINC001029946916 741636194 /nfs/dbraw/zinc/63/61/94/741636194.db2.gz YLMVIMHZNSZIIW-NWDGAFQWSA-N 1 2 319.409 1.769 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cc3c(s2)CCC3)C1 ZINC001035603570 751661946 /nfs/dbraw/zinc/66/19/46/751661946.db2.gz TWUWHSTUKYEGTP-ZDUSSCGKSA-N 1 2 306.431 1.853 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cc3c(s2)CCC3)C1 ZINC001035603570 751661951 /nfs/dbraw/zinc/66/19/51/751661951.db2.gz TWUWHSTUKYEGTP-ZDUSSCGKSA-N 1 2 306.431 1.853 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001038473773 741799609 /nfs/dbraw/zinc/79/96/09/741799609.db2.gz AAGXWFAZMPYSJJ-HOCLYGCPSA-N 1 2 318.804 1.857 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001038473773 741799612 /nfs/dbraw/zinc/79/96/12/741799612.db2.gz AAGXWFAZMPYSJJ-HOCLYGCPSA-N 1 2 318.804 1.857 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001059866417 741809315 /nfs/dbraw/zinc/80/93/15/741809315.db2.gz BIPZKMOTCQUWBJ-AWEZNQCLSA-N 1 2 318.421 1.450 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2ncccc2Cl)C1 ZINC001035583419 751676617 /nfs/dbraw/zinc/67/66/17/751676617.db2.gz PYNJFVKYCOBVSE-GFCCVEGCSA-N 1 2 309.797 1.742 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2ncccc2Cl)C1 ZINC001035583419 751676620 /nfs/dbraw/zinc/67/66/20/751676620.db2.gz PYNJFVKYCOBVSE-GFCCVEGCSA-N 1 2 309.797 1.742 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2cnccn2)C[C@H]1OC ZINC001212022100 741944721 /nfs/dbraw/zinc/94/47/21/741944721.db2.gz AJWKKMMFKARAGK-NWANDNLSSA-N 1 2 304.394 1.319 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2cnccn2)C[C@H]1OC ZINC001212022100 741944722 /nfs/dbraw/zinc/94/47/22/741944722.db2.gz AJWKKMMFKARAGK-NWANDNLSSA-N 1 2 304.394 1.319 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn3ncccc23)[C@H]1C ZINC001088625942 742025919 /nfs/dbraw/zinc/02/59/19/742025919.db2.gz MTXSTYGSCRBORZ-YPMHNXCESA-N 1 2 319.796 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn3ncccc23)[C@H]1C ZINC001088625942 742025925 /nfs/dbraw/zinc/02/59/25/742025925.db2.gz MTXSTYGSCRBORZ-YPMHNXCESA-N 1 2 319.796 1.674 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038131795 742334163 /nfs/dbraw/zinc/33/41/63/742334163.db2.gz BLLGYCVNGIUDOG-ZDUSSCGKSA-N 1 2 313.361 1.496 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038131795 742334167 /nfs/dbraw/zinc/33/41/67/742334167.db2.gz BLLGYCVNGIUDOG-ZDUSSCGKSA-N 1 2 313.361 1.496 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ncccc2OC)[C@H]1C ZINC001088819379 742454146 /nfs/dbraw/zinc/45/41/46/742454146.db2.gz KPVTZHCGDBMBDO-OLZOCXBDSA-N 1 2 323.824 1.964 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ncccc2OC)[C@H]1C ZINC001088819379 742454147 /nfs/dbraw/zinc/45/41/47/742454147.db2.gz KPVTZHCGDBMBDO-OLZOCXBDSA-N 1 2 323.824 1.964 20 30 DDEDLO C=C(Cl)C[NH+]1CC2(C1)CCN(C(=O)c1ccc(=O)[nH]n1)CC2 ZINC001035642276 751746799 /nfs/dbraw/zinc/74/67/99/751746799.db2.gz JGLUDCRFVZCBGR-UHFFFAOYSA-N 1 2 322.796 1.473 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc(C(F)(F)F)ncn1 ZINC001038506209 742986979 /nfs/dbraw/zinc/98/69/79/742986979.db2.gz UCCFWVGUEXCZCK-JTQLQIEISA-N 1 2 312.295 1.323 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc(C(F)(F)F)ncn1 ZINC001038506209 742986983 /nfs/dbraw/zinc/98/69/83/742986983.db2.gz UCCFWVGUEXCZCK-JTQLQIEISA-N 1 2 312.295 1.323 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001076794755 743000772 /nfs/dbraw/zinc/00/07/72/743000772.db2.gz QNQZPKDOTMNPMO-ZDUSSCGKSA-N 1 2 306.410 1.710 20 30 DDEDLO N#Cc1ccc(N[C@@H]2CCC[C@@H](NC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001061104399 743240983 /nfs/dbraw/zinc/24/09/83/743240983.db2.gz CHMIDFLMZOEVIU-ZIAGYGMSSA-N 1 2 324.388 1.758 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001077114407 743253423 /nfs/dbraw/zinc/25/34/23/743253423.db2.gz QATYAEFXHFRTNY-UONOGXRCSA-N 1 2 318.421 1.423 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccncc3s2)C1 ZINC001077139874 743267322 /nfs/dbraw/zinc/26/73/22/743267322.db2.gz LVFCFZJUXOOHTI-CHWSQXEVSA-N 1 2 315.398 1.095 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc3ccncc3s2)C1 ZINC001077139874 743267326 /nfs/dbraw/zinc/26/73/26/743267326.db2.gz LVFCFZJUXOOHTI-CHWSQXEVSA-N 1 2 315.398 1.095 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ncsc2C(F)(F)F)C1 ZINC001077381116 743438482 /nfs/dbraw/zinc/43/84/82/743438482.db2.gz ANPRYGVNBAIAEE-HTQZYQBOSA-N 1 2 321.324 1.123 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ncsc2C(F)(F)F)C1 ZINC001077381116 743438488 /nfs/dbraw/zinc/43/84/88/743438488.db2.gz ANPRYGVNBAIAEE-HTQZYQBOSA-N 1 2 321.324 1.123 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3ccccc3s2)[C@@H](O)C1 ZINC001083612399 743547089 /nfs/dbraw/zinc/54/70/89/743547089.db2.gz RMHGDCQBUYAPEP-KGLIPLIRSA-N 1 2 314.410 1.700 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3ccccc3s2)[C@@H](O)C1 ZINC001083612399 743547090 /nfs/dbraw/zinc/54/70/90/743547090.db2.gz RMHGDCQBUYAPEP-KGLIPLIRSA-N 1 2 314.410 1.700 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CC=CCC1)C2 ZINC001110278973 743565645 /nfs/dbraw/zinc/56/56/45/743565645.db2.gz KWXCDCQEAFCTNJ-FPCVCCKLSA-N 1 2 317.433 1.366 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CC=CCC1)C2 ZINC001110278973 743565648 /nfs/dbraw/zinc/56/56/48/743565648.db2.gz KWXCDCQEAFCTNJ-FPCVCCKLSA-N 1 2 317.433 1.366 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2cccn3nnnc23)CC1 ZINC001002911148 743572467 /nfs/dbraw/zinc/57/24/67/743572467.db2.gz JSPQSTNKQFXIMK-UHFFFAOYSA-N 1 2 320.784 1.071 20 30 DDEDLO Cc1nc(N(C)[C@@H]2CCCN(C(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001061194476 743586390 /nfs/dbraw/zinc/58/63/90/743586390.db2.gz WGSNRICZFRCWDN-CQSZACIVSA-N 1 2 324.388 1.726 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2Cc3cc(OC)ccc3O2)CC1 ZINC001182353812 743588562 /nfs/dbraw/zinc/58/85/62/743588562.db2.gz VQHCAUXASDVLSH-INIZCTEOSA-N 1 2 302.374 1.329 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC(OC2C[NH+](CC(=C)C)C2)CC1 ZINC001105703596 743596790 /nfs/dbraw/zinc/59/67/90/743596790.db2.gz FNTHVVXLVJHSKY-SFHVURJKSA-N 1 2 322.449 1.581 20 30 DDEDLO C=CCC(CC=C)C(=O)N1CCC(OC2C[NH+](CCO)C2)CC1 ZINC001105709476 743634367 /nfs/dbraw/zinc/63/43/67/743634367.db2.gz LVWCVUHEEHQRKG-UHFFFAOYSA-N 1 2 322.449 1.439 20 30 DDEDLO C#CCO[C@H](C)C(=O)NCC[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001127052466 743677758 /nfs/dbraw/zinc/67/77/58/743677758.db2.gz YBNKCSFDLBWFBF-VXGBXAGGSA-N 1 2 322.409 1.172 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]([NH2+]Cc2nc(COC)no2)C1 ZINC001182898429 743748550 /nfs/dbraw/zinc/74/85/50/743748550.db2.gz XZIYUJRWGVSJBX-GFCCVEGCSA-N 1 2 322.409 1.509 20 30 DDEDLO CN(C)c1cccc(C[NH+]2CC(NC(=O)c3ccc(C#N)[nH]3)C2)c1 ZINC001030222684 743961214 /nfs/dbraw/zinc/96/12/14/743961214.db2.gz IUGDQLWSMDSXHR-UHFFFAOYSA-N 1 2 323.400 1.567 20 30 DDEDLO Cc1ccc(C#N)c(NC2(CNC(=O)Cc3c[nH]c[nH+]3)CC2)n1 ZINC001110356793 744157428 /nfs/dbraw/zinc/15/74/28/744157428.db2.gz PBNKJAKRXMPWOJ-UHFFFAOYSA-N 1 2 310.361 1.288 20 30 DDEDLO C=C(C)[C@H](CC(=O)NC[C@@H](O)CNc1cc[nH+]c(C)n1)OCC ZINC001105988478 744160896 /nfs/dbraw/zinc/16/08/96/744160896.db2.gz QFKDJRYATYMBNN-KBPBESRZSA-N 1 2 322.409 1.045 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)CC(CC)CC)C2)nn1 ZINC001098758222 744226790 /nfs/dbraw/zinc/22/67/90/744226790.db2.gz XEHZACUGEHBDHI-INIZCTEOSA-N 1 2 317.437 1.601 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+](Cc2conc2C)C[C@H]1OC ZINC001212187034 744259831 /nfs/dbraw/zinc/25/98/31/744259831.db2.gz IIGGCSQYQZUTEN-HUUCEWRRSA-N 1 2 305.378 1.102 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+](Cc2conc2C)C[C@H]1OC ZINC001212187034 744259833 /nfs/dbraw/zinc/25/98/33/744259833.db2.gz IIGGCSQYQZUTEN-HUUCEWRRSA-N 1 2 305.378 1.102 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC1CCCC1 ZINC001110368496 744287139 /nfs/dbraw/zinc/28/71/39/744287139.db2.gz DCDJYHLJLQQAGE-OAGGEKHMSA-N 1 2 319.449 1.733 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC1CCCC1 ZINC001110368496 744287142 /nfs/dbraw/zinc/28/71/42/744287142.db2.gz DCDJYHLJLQQAGE-OAGGEKHMSA-N 1 2 319.449 1.733 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H]1CC[N@H+](Cc2ccon2)[C@@H]1C ZINC001088913583 744362976 /nfs/dbraw/zinc/36/29/76/744362976.db2.gz FTGPSLJDIGLZRZ-OEAJRASXSA-N 1 2 319.405 1.735 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H]1CC[N@@H+](Cc2ccon2)[C@@H]1C ZINC001088913583 744362978 /nfs/dbraw/zinc/36/29/78/744362978.db2.gz FTGPSLJDIGLZRZ-OEAJRASXSA-N 1 2 319.405 1.735 20 30 DDEDLO CCc1[nH+]ccn1-c1nc(OC)ccc1NC(=O)C#CCOC ZINC001187123071 744506248 /nfs/dbraw/zinc/50/62/48/744506248.db2.gz JRFPZECFKQRLIV-UHFFFAOYSA-N 1 2 314.345 1.427 20 30 DDEDLO N#Cc1ccc(N2CC[NH+](Cc3cn[nH]c3)CC2)c([N+](=O)[O-])c1 ZINC001187550831 744578872 /nfs/dbraw/zinc/57/88/72/744578872.db2.gz QMWXAWWCFBWUMK-UHFFFAOYSA-N 1 2 312.333 1.512 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)C2CCN(C(=O)C(C)C)CC2)C1 ZINC001030771242 744599990 /nfs/dbraw/zinc/59/99/90/744599990.db2.gz WJLULQCOWJWQNM-UHFFFAOYSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2C[N@@H+](Cc3nocc3C)C[C@H]21 ZINC001187762679 744606789 /nfs/dbraw/zinc/60/67/89/744606789.db2.gz FGIJTUOYDGLPFR-LXZKKBNFSA-N 1 2 319.405 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H]2C[N@H+](Cc3nocc3C)C[C@H]21 ZINC001187762679 744606790 /nfs/dbraw/zinc/60/67/90/744606790.db2.gz FGIJTUOYDGLPFR-LXZKKBNFSA-N 1 2 319.405 1.343 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2C[N@@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001187841326 744616998 /nfs/dbraw/zinc/61/69/98/744616998.db2.gz WJDFOVTVBXRWDX-HRCADAONSA-N 1 2 312.417 1.730 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3ncccn3)C[C@H]21 ZINC001187841326 744617001 /nfs/dbraw/zinc/61/70/01/744617001.db2.gz WJDFOVTVBXRWDX-HRCADAONSA-N 1 2 312.417 1.730 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNC(=O)Cc1c[nH+]cn1C ZINC001077499484 744649116 /nfs/dbraw/zinc/64/91/16/744649116.db2.gz LPESVCZXWZDCKV-ZDUSSCGKSA-N 1 2 320.437 1.576 20 30 DDEDLO Cc1nc(N[C@@H](C)C[C@@H](C)NC(=O)CSCC#N)cc[nH+]1 ZINC001089244507 744771650 /nfs/dbraw/zinc/77/16/50/744771650.db2.gz PBKMBHZQVXIWJA-WDEREUQCSA-N 1 2 307.423 1.737 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(NC(=O)Cc3nnc[nH]3)CCC[C@H]12 ZINC000992399610 744854971 /nfs/dbraw/zinc/85/49/71/744854971.db2.gz CLJBYIIZRVMDSV-SMDDNHRTSA-N 1 2 309.801 1.213 20 30 DDEDLO CCc1nnc(NCC2([NH+]3CCCC3)COC2)c(C#N)c1CC ZINC001168444832 744960577 /nfs/dbraw/zinc/96/05/77/744960577.db2.gz LGCGHSMKAQOJJO-UHFFFAOYSA-N 1 2 315.421 1.750 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@H+](C)Cc2ncc(C)cn2)C1 ZINC001189917505 745031289 /nfs/dbraw/zinc/03/12/89/745031289.db2.gz HKZOTXXQDFDHFF-PBHICJAKSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncc(C)cn2)C1 ZINC001189917505 745031294 /nfs/dbraw/zinc/03/12/94/745031294.db2.gz HKZOTXXQDFDHFF-PBHICJAKSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@@H+](C)Cc2ncc(CC)o2)C1 ZINC001189918583 745034287 /nfs/dbraw/zinc/03/42/87/745034287.db2.gz SDPBCDONANLINS-SUMWQHHRSA-N 1 2 321.421 1.597 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([N@H+](C)Cc2ncc(CC)o2)C1 ZINC001189918583 745034292 /nfs/dbraw/zinc/03/42/92/745034292.db2.gz SDPBCDONANLINS-SUMWQHHRSA-N 1 2 321.421 1.597 20 30 DDEDLO C=C(C)C(=O)NCCCNC(=O)c1ccccc1-n1cc[nH+]c1 ZINC001190106784 745102919 /nfs/dbraw/zinc/10/29/19/745102919.db2.gz JRCMSGJKYHXGOT-UHFFFAOYSA-N 1 2 312.373 1.685 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2cnc(-c3ccccc3)[nH]c2=O)CC1 ZINC001190262272 745166381 /nfs/dbraw/zinc/16/63/81/745166381.db2.gz QEVAVEDIHCEGJB-UHFFFAOYSA-N 1 2 324.384 1.793 20 30 DDEDLO N#CCN[C@@H]1CCC[C@H]2CN(C(=O)c3cc4c[nH+]ccc4[nH]3)C[C@H]21 ZINC000992755864 745179560 /nfs/dbraw/zinc/17/95/60/745179560.db2.gz XJHYWKZRBLMTLV-JGGQBBKZSA-N 1 2 323.400 1.917 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001191105995 745424221 /nfs/dbraw/zinc/42/42/21/745424221.db2.gz SYAWBMQBAPBXDK-KBRIMQKVSA-N 1 2 318.392 1.385 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccc(F)cc2)C[C@H]1O ZINC001191105995 745424225 /nfs/dbraw/zinc/42/42/25/745424225.db2.gz SYAWBMQBAPBXDK-KBRIMQKVSA-N 1 2 318.392 1.385 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001191084148 745432329 /nfs/dbraw/zinc/43/23/29/745432329.db2.gz XSWLILZAGCULIS-HZPDHXFCSA-N 1 2 301.390 1.410 20 30 DDEDLO CCCCC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001191084148 745432333 /nfs/dbraw/zinc/43/23/33/745432333.db2.gz XSWLILZAGCULIS-HZPDHXFCSA-N 1 2 301.390 1.410 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnnn2C)C1 ZINC001192585914 745833407 /nfs/dbraw/zinc/83/34/07/745833407.db2.gz DVKQBGOUMPTAQJ-ZDUSSCGKSA-N 1 2 305.426 1.450 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC[C@H]([N@H+](C)Cc2cnnn2C)C1 ZINC001192585914 745833414 /nfs/dbraw/zinc/83/34/14/745833414.db2.gz DVKQBGOUMPTAQJ-ZDUSSCGKSA-N 1 2 305.426 1.450 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NCc1c[nH+]cn1Cc1ccccc1F ZINC001193178898 746020517 /nfs/dbraw/zinc/02/05/17/746020517.db2.gz RZWXFAATBCRMRV-LLVKDONJSA-N 1 2 322.365 1.402 20 30 DDEDLO CC[C@H](C)CCCCC(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001193320078 746060824 /nfs/dbraw/zinc/06/08/24/746060824.db2.gz LMMMEQINRCFDGL-GVDBMIGSSA-N 1 2 324.465 1.404 20 30 DDEDLO CC[C@H](C)CCCCC(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001193320078 746060829 /nfs/dbraw/zinc/06/08/29/746060829.db2.gz LMMMEQINRCFDGL-GVDBMIGSSA-N 1 2 324.465 1.404 20 30 DDEDLO C#Cc1ccc(C(=O)NC2C[NH+](Cc3ccc(C)cc3)C2)cn1 ZINC001031279120 746123818 /nfs/dbraw/zinc/12/38/18/746123818.db2.gz NXZDAGKFHNRFGV-UHFFFAOYSA-N 1 2 305.381 1.986 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)CCC)[C@@H](n2ccnn2)C1 ZINC001129219371 752073218 /nfs/dbraw/zinc/07/32/18/752073218.db2.gz FDTXCYXBTNYOFA-KGLIPLIRSA-N 1 2 303.410 1.079 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)CCC)[C@@H](n2ccnn2)C1 ZINC001129219371 752073225 /nfs/dbraw/zinc/07/32/25/752073225.db2.gz FDTXCYXBTNYOFA-KGLIPLIRSA-N 1 2 303.410 1.079 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccn(CC)n2)C1 ZINC001194388829 746364038 /nfs/dbraw/zinc/36/40/38/746364038.db2.gz XIGWTBKCGLXIFR-MRXNPFEDSA-N 1 2 320.437 1.528 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]([N@H+](C)Cc2ccn(CC)n2)C1 ZINC001194388829 746364043 /nfs/dbraw/zinc/36/40/43/746364043.db2.gz XIGWTBKCGLXIFR-MRXNPFEDSA-N 1 2 320.437 1.528 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@@H+](C)[C@H](C)c2ncccn2)C1 ZINC001194396587 746366526 /nfs/dbraw/zinc/36/65/26/746366526.db2.gz GDCDFOPXUGSNIH-CABCVRRESA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)c2ncccn2)C1 ZINC001194396587 746366530 /nfs/dbraw/zinc/36/65/30/746366530.db2.gz GDCDFOPXUGSNIH-CABCVRRESA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001194407604 746369326 /nfs/dbraw/zinc/36/93/26/746369326.db2.gz MIGXYSUSWMKPCG-DZGCQCFKSA-N 1 2 321.421 1.915 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001194407604 746369330 /nfs/dbraw/zinc/36/93/30/746369330.db2.gz MIGXYSUSWMKPCG-DZGCQCFKSA-N 1 2 321.421 1.915 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2snnc2C(C)C)[C@@H]1C ZINC000994070194 746441127 /nfs/dbraw/zinc/44/11/27/746441127.db2.gz MPQONBVWZXDMLJ-NWDGAFQWSA-N 1 2 306.435 1.877 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2snnc2C(C)C)[C@@H]1C ZINC000994070194 746441128 /nfs/dbraw/zinc/44/11/28/746441128.db2.gz MPQONBVWZXDMLJ-NWDGAFQWSA-N 1 2 306.435 1.877 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cnc(OC)nc2)[C@H]1C ZINC000994158823 746468647 /nfs/dbraw/zinc/46/86/47/746468647.db2.gz KOBDLPGXBBYKGT-DGCLKSJQSA-N 1 2 324.812 1.820 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cnc(OC)nc2)[C@H]1C ZINC000994158823 746468649 /nfs/dbraw/zinc/46/86/49/746468649.db2.gz KOBDLPGXBBYKGT-DGCLKSJQSA-N 1 2 324.812 1.820 20 30 DDEDLO CCC(C)(C)NC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195321291 746564234 /nfs/dbraw/zinc/56/42/34/746564234.db2.gz HDYHWIVDWLYINO-ZIAGYGMSSA-N 1 2 322.453 1.374 20 30 DDEDLO CCC(C)(C)NC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195321291 746564236 /nfs/dbraw/zinc/56/42/36/746564236.db2.gz HDYHWIVDWLYINO-ZIAGYGMSSA-N 1 2 322.453 1.374 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@@H+](Cc2cc(OC)ccn2)CC1 ZINC001195313979 746574645 /nfs/dbraw/zinc/57/46/45/746574645.db2.gz GHIANBLRZBSFEO-UHFFFAOYSA-N 1 2 319.405 1.327 20 30 DDEDLO C=CCOCC(=O)N1CCC[N@H+](Cc2cc(OC)ccn2)CC1 ZINC001195313979 746574646 /nfs/dbraw/zinc/57/46/46/746574646.db2.gz GHIANBLRZBSFEO-UHFFFAOYSA-N 1 2 319.405 1.327 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001007341707 752105398 /nfs/dbraw/zinc/10/53/98/752105398.db2.gz BALGGHQFSCTCNJ-RYUDHWBXSA-N 1 2 307.419 1.678 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc([C@H](C)OC)s2)C1 ZINC001007341707 752105404 /nfs/dbraw/zinc/10/54/04/752105404.db2.gz BALGGHQFSCTCNJ-RYUDHWBXSA-N 1 2 307.419 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2ccc(=O)n(C)n2)[C@H]1C ZINC000994356113 746604125 /nfs/dbraw/zinc/60/41/25/746604125.db2.gz YOWWJHXZTDBQQY-VXGBXAGGSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(=O)n(C)n2)[C@H]1C ZINC000994356113 746604129 /nfs/dbraw/zinc/60/41/29/746604129.db2.gz YOWWJHXZTDBQQY-VXGBXAGGSA-N 1 2 324.812 1.116 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cn2ccccc2=O)[C@H]1C ZINC000994377217 746614858 /nfs/dbraw/zinc/61/48/58/746614858.db2.gz PONFWJWFVZRVLB-ZIAGYGMSSA-N 1 2 323.824 1.570 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cn2ccccc2=O)[C@H]1C ZINC000994377217 746614859 /nfs/dbraw/zinc/61/48/59/746614859.db2.gz PONFWJWFVZRVLB-ZIAGYGMSSA-N 1 2 323.824 1.570 20 30 DDEDLO Cc1cnc(C[N@H+]2CCCN(C(=O)C#CC(C)C)CC2)cn1 ZINC001195780904 746702606 /nfs/dbraw/zinc/70/26/06/746702606.db2.gz NINHOHKWXFNMSI-UHFFFAOYSA-N 1 2 300.406 1.479 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCCN(C(=O)C#CC(C)C)CC2)cn1 ZINC001195780904 746702610 /nfs/dbraw/zinc/70/26/10/746702610.db2.gz NINHOHKWXFNMSI-UHFFFAOYSA-N 1 2 300.406 1.479 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@@H](C)CCCNc1cc[nH+]c(C)n1 ZINC001114999151 746725982 /nfs/dbraw/zinc/72/59/82/746725982.db2.gz CXYIJVWWWRTRIW-QWHCGFSZSA-N 1 2 304.394 1.520 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2C=CC=CC=C2)CC1 ZINC001195997479 746750577 /nfs/dbraw/zinc/75/05/77/746750577.db2.gz VTLDDDALJLCKFY-UHFFFAOYSA-N 1 2 315.417 1.121 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2C=CC=CC=C2)CC1 ZINC001195997479 746750580 /nfs/dbraw/zinc/75/05/80/746750580.db2.gz VTLDDDALJLCKFY-UHFFFAOYSA-N 1 2 315.417 1.121 20 30 DDEDLO C[C@H](C[C@H](C)NC(=O)Cc1[nH]cc[nH+]1)Nc1cnc(C#N)cn1 ZINC001089381400 746761549 /nfs/dbraw/zinc/76/15/49/746761549.db2.gz RWEFUPILECFIIK-MNOVXSKESA-N 1 2 313.365 1.009 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CC(=O)N([C@H](C)CCC)C2)C1 ZINC001031435327 746803165 /nfs/dbraw/zinc/80/31/65/746803165.db2.gz XVWLHISWAFAWGJ-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)[C@H](C)C(CC)CC)CC1 ZINC001196376355 746847662 /nfs/dbraw/zinc/84/76/62/746847662.db2.gz SVYNMDAXGBPQLB-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@H](C)C(CC)CC)CC1 ZINC001196376355 746847667 /nfs/dbraw/zinc/84/76/67/746847667.db2.gz SVYNMDAXGBPQLB-OAHLLOKOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnn[nH]2)CC(C)(C)C1 ZINC001089427031 746867527 /nfs/dbraw/zinc/86/75/27/746867527.db2.gz KVIXPWAQTFNFSU-NSHDSACASA-N 1 2 311.817 1.778 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnn[nH]2)CC(C)(C)C1 ZINC001089427031 746867535 /nfs/dbraw/zinc/86/75/35/746867535.db2.gz KVIXPWAQTFNFSU-NSHDSACASA-N 1 2 311.817 1.778 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(C)C(=C)C)CC1 ZINC001196724943 746945858 /nfs/dbraw/zinc/94/58/58/746945858.db2.gz SVOIVXOCFIHQOE-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)C(=C)C)CC1 ZINC001196724943 746945863 /nfs/dbraw/zinc/94/58/63/746945863.db2.gz SVOIVXOCFIHQOE-UHFFFAOYSA-N 1 2 307.438 1.425 20 30 DDEDLO C[C@H]1C[C@H]1C(=O)NCC1C[NH+](Cc2ccc(C#N)c(F)c2)C1 ZINC001031522106 746951217 /nfs/dbraw/zinc/95/12/17/746951217.db2.gz PWLFBGZSTWSCIW-XHDPSFHLSA-N 1 2 301.365 1.901 20 30 DDEDLO Cc1cc(C(=O)NCC2C[NH+](Cc3ccc(C#N)s3)C2)no1 ZINC001031531465 746968818 /nfs/dbraw/zinc/96/88/18/746968818.db2.gz WLWVAEDKMVLLAK-UHFFFAOYSA-N 1 2 316.386 1.778 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)c2cocc2C)CC1 ZINC001196774337 746970029 /nfs/dbraw/zinc/97/00/29/746970029.db2.gz BVLLQXGKDRFWOP-UHFFFAOYSA-N 1 2 305.378 1.038 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)c2cocc2C)CC1 ZINC001196774337 746970033 /nfs/dbraw/zinc/97/00/33/746970033.db2.gz BVLLQXGKDRFWOP-UHFFFAOYSA-N 1 2 305.378 1.038 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001196838084 746976635 /nfs/dbraw/zinc/97/66/35/746976635.db2.gz AEZURWNHBNEVDY-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO CC#CCCCC(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001196838084 746976639 /nfs/dbraw/zinc/97/66/39/746976639.db2.gz AEZURWNHBNEVDY-UHFFFAOYSA-N 1 2 321.465 1.487 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C(C)(C)CCC)CC1 ZINC001196992919 747027039 /nfs/dbraw/zinc/02/70/39/747027039.db2.gz GGQAUWZSDWCIHP-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C(C)(C)CCC)CC1 ZINC001196992919 747027043 /nfs/dbraw/zinc/02/70/43/747027043.db2.gz GGQAUWZSDWCIHP-UHFFFAOYSA-N 1 2 309.454 1.649 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@@H+]([C@@H](C)C(=O)NCCC)CC2)C1 ZINC001197102726 747067236 /nfs/dbraw/zinc/06/72/36/747067236.db2.gz XBJYZPYFHWLWGN-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCC[N@H+]([C@@H](C)C(=O)NCCC)CC2)C1 ZINC001197102726 747067244 /nfs/dbraw/zinc/06/72/44/747067244.db2.gz XBJYZPYFHWLWGN-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO CC#CC[NH+]1CCC(NC(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)CC1 ZINC001003338091 747138538 /nfs/dbraw/zinc/13/85/38/747138538.db2.gz AIEBAEKXGFEKGD-DYVFJYSZSA-N 1 2 314.433 1.680 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(NC(=O)OC)cc2)CC1 ZINC001197400228 747146622 /nfs/dbraw/zinc/14/66/22/747146622.db2.gz ABDDGTUZOHSZMA-UHFFFAOYSA-N 1 2 303.362 1.809 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CC[C@H](NC(=O)CC2CC2)[C@@H](O)C1 ZINC001090009714 747224346 /nfs/dbraw/zinc/22/43/46/747224346.db2.gz MBGGNFZACHUZKR-IRXDYDNUSA-N 1 2 313.401 1.410 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CC[C@H](NC(=O)CC2CC2)[C@@H](O)C1 ZINC001090009714 747224351 /nfs/dbraw/zinc/22/43/51/747224351.db2.gz MBGGNFZACHUZKR-IRXDYDNUSA-N 1 2 313.401 1.410 20 30 DDEDLO CO[C@@H]1C[N@H+](Cc2cnoc2C)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212253881 747393060 /nfs/dbraw/zinc/39/30/60/747393060.db2.gz KLUNUWBOEBCLIZ-HUUCEWRRSA-N 1 2 319.405 1.348 20 30 DDEDLO CO[C@@H]1C[N@@H+](Cc2cnoc2C)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212253881 747393069 /nfs/dbraw/zinc/39/30/69/747393069.db2.gz KLUNUWBOEBCLIZ-HUUCEWRRSA-N 1 2 319.405 1.348 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2c(C3CC3)cnn2C)C1 ZINC001031724356 747542876 /nfs/dbraw/zinc/54/28/76/747542876.db2.gz HKANKGMPIQYCSM-UHFFFAOYSA-N 1 2 308.813 1.712 20 30 DDEDLO C#CC[NH2+][C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@H]1C ZINC001036140939 752202196 /nfs/dbraw/zinc/20/21/96/752202196.db2.gz MFZYWEVPLDSUSF-CZUORRHYSA-N 1 2 323.400 1.545 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1ccon1 ZINC001152568090 747725904 /nfs/dbraw/zinc/72/59/04/747725904.db2.gz YYSMGFCGLBPLMO-CQSZACIVSA-N 1 2 309.410 1.984 20 30 DDEDLO C=CCOCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ccon1 ZINC001152568090 747725909 /nfs/dbraw/zinc/72/59/09/747725909.db2.gz YYSMGFCGLBPLMO-CQSZACIVSA-N 1 2 309.410 1.984 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(COC)o2)C1 ZINC001108061726 747731130 /nfs/dbraw/zinc/73/11/30/747731130.db2.gz VAYVALUQAOGXCJ-INIZCTEOSA-N 1 2 308.378 1.433 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(COC)o2)C1 ZINC001108061726 747731134 /nfs/dbraw/zinc/73/11/34/747731134.db2.gz VAYVALUQAOGXCJ-INIZCTEOSA-N 1 2 308.378 1.433 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccn3ccccc23)C1 ZINC001108064652 748027058 /nfs/dbraw/zinc/02/70/58/748027058.db2.gz LCWGRGAKSZHDRF-GOSISDBHSA-N 1 2 313.401 1.946 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccn3ccccc23)C1 ZINC001108064652 748027064 /nfs/dbraw/zinc/02/70/64/748027064.db2.gz LCWGRGAKSZHDRF-GOSISDBHSA-N 1 2 313.401 1.946 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001090083837 748134628 /nfs/dbraw/zinc/13/46/28/748134628.db2.gz PQGVNNQSGKYTEA-CVEARBPZSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001090083837 748134630 /nfs/dbraw/zinc/13/46/30/748134630.db2.gz PQGVNNQSGKYTEA-CVEARBPZSA-N 1 2 319.380 1.405 20 30 DDEDLO COCC#CC[NH2+]C1CCN(C(=O)C(F)C(F)(F)F)CC1 ZINC001200670381 748307856 /nfs/dbraw/zinc/30/78/56/748307856.db2.gz JMLWAZOIDVXLRO-LLVKDONJSA-N 1 2 310.291 1.117 20 30 DDEDLO COCC#CC[NH2+]C1CCN(C(=O)[C@@H](F)C(F)(F)F)CC1 ZINC001200670381 748307861 /nfs/dbraw/zinc/30/78/61/748307861.db2.gz JMLWAZOIDVXLRO-LLVKDONJSA-N 1 2 310.291 1.117 20 30 DDEDLO C#Cc1cncc(C(=O)NCC2C[NH+](Cc3cc(C)ncn3)C2)c1 ZINC001031963613 748311629 /nfs/dbraw/zinc/31/16/29/748311629.db2.gz PZTKOPURKHIWEP-UHFFFAOYSA-N 1 2 321.384 1.023 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001032016201 748432786 /nfs/dbraw/zinc/43/27/86/748432786.db2.gz DCBZSQMNAKJSAV-ZDUSSCGKSA-N 1 2 313.829 1.045 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2n[nH]cc2F)CC1 ZINC001004531872 748531660 /nfs/dbraw/zinc/53/16/60/748531660.db2.gz RXLARBJRZTYUQT-ZDUSSCGKSA-N 1 2 305.357 1.389 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2n[nH]cc2F)CC1 ZINC001004531872 748531663 /nfs/dbraw/zinc/53/16/63/748531663.db2.gz RXLARBJRZTYUQT-ZDUSSCGKSA-N 1 2 305.357 1.389 20 30 DDEDLO Cc1nonc1C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001004623400 748590456 /nfs/dbraw/zinc/59/04/56/748590456.db2.gz HGLLAOXINNVYER-ZDUSSCGKSA-N 1 2 303.366 1.218 20 30 DDEDLO Cc1nonc1C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001004623400 748590463 /nfs/dbraw/zinc/59/04/63/748590463.db2.gz HGLLAOXINNVYER-ZDUSSCGKSA-N 1 2 303.366 1.218 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)c2cc(CC)c[nH]c2=O)C1 ZINC001032090877 748609011 /nfs/dbraw/zinc/60/90/11/748609011.db2.gz GUUXARSZAQBZIM-UHFFFAOYSA-N 1 2 309.797 1.764 20 30 DDEDLO C=CCO[C@H](C)C(=O)NC1(C)CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110592366 748805628 /nfs/dbraw/zinc/80/56/28/748805628.db2.gz ABLYVYSWXNFWSY-CYBMUJFWSA-N 1 2 318.421 1.851 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ncnc3[nH]ccc32)C1 ZINC001033234654 749009056 /nfs/dbraw/zinc/00/90/56/749009056.db2.gz LZXZXXRHPGYIRY-NSHDSACASA-N 1 2 319.796 1.808 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ncnc3[nH]ccc32)C1 ZINC001033234654 749009062 /nfs/dbraw/zinc/00/90/62/749009062.db2.gz LZXZXXRHPGYIRY-NSHDSACASA-N 1 2 319.796 1.808 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114352593 749103650 /nfs/dbraw/zinc/10/36/50/749103650.db2.gz CUYRIHAARTUWFF-ATCWAGBWSA-N 1 2 304.394 1.535 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(CC)no3)C[C@H]21 ZINC001114352593 749103656 /nfs/dbraw/zinc/10/36/56/749103656.db2.gz CUYRIHAARTUWFF-ATCWAGBWSA-N 1 2 304.394 1.535 20 30 DDEDLO C[C@H](CNc1ccncc1C#N)NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001108100144 749117756 /nfs/dbraw/zinc/11/77/56/749117756.db2.gz FTGBUDNHQYFDBZ-DGCLKSJQSA-N 1 2 324.388 1.135 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001033322537 749189032 /nfs/dbraw/zinc/18/90/32/749189032.db2.gz NAACUGAGXDAOFA-LBPRGKRZSA-N 1 2 324.812 1.698 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001033322537 749189036 /nfs/dbraw/zinc/18/90/36/749189036.db2.gz NAACUGAGXDAOFA-LBPRGKRZSA-N 1 2 324.812 1.698 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C=C(CC)CC)nn2)C1 ZINC001107156314 749437265 /nfs/dbraw/zinc/43/72/65/749437265.db2.gz XBVUCQLUPARBKY-UHFFFAOYSA-N 1 2 303.410 1.683 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccc3nncn3c2)C1 ZINC001033497547 749440834 /nfs/dbraw/zinc/44/08/34/749440834.db2.gz BYXMAPTVDMMWFJ-CYBMUJFWSA-N 1 2 319.796 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc3nncn3c2)C1 ZINC001033497547 749440842 /nfs/dbraw/zinc/44/08/42/749440842.db2.gz BYXMAPTVDMMWFJ-CYBMUJFWSA-N 1 2 319.796 1.628 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)CC3(C)CCCC3)nn2)C1 ZINC001107167468 749473033 /nfs/dbraw/zinc/47/30/33/749473033.db2.gz YMNFNQGSSRAFLE-UHFFFAOYSA-N 1 2 317.437 1.907 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C(C)(C)C(=C)Cl)nn2)C1 ZINC001107175832 749478754 /nfs/dbraw/zinc/47/87/54/749478754.db2.gz WBVZHOFYTSNZOH-UHFFFAOYSA-N 1 2 323.828 1.716 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C3(C4CC4)CC3)nn2)C1 ZINC001107180247 749495213 /nfs/dbraw/zinc/49/52/13/749495213.db2.gz JBCXBZNPNHIWHM-UHFFFAOYSA-N 1 2 301.394 1.127 20 30 DDEDLO CC1(C)CN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C[C@H]1NCC#N ZINC000996474260 749612905 /nfs/dbraw/zinc/61/29/05/749612905.db2.gz CEAKQHSATSXDTD-MRXNPFEDSA-N 1 2 323.400 1.836 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2ccccc2OC)C1 ZINC001108360879 761984262 /nfs/dbraw/zinc/98/42/62/761984262.db2.gz UWFGXNGWPXQXCH-QGZVFWFLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2ccccc2OC)C1 ZINC001108360879 761984268 /nfs/dbraw/zinc/98/42/68/761984268.db2.gz UWFGXNGWPXQXCH-QGZVFWFLSA-N 1 2 304.390 1.702 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001108372569 761993603 /nfs/dbraw/zinc/99/36/03/761993603.db2.gz KRKZUXAFDDTARO-SFHVURJKSA-N 1 2 315.417 1.966 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC001108372569 761993610 /nfs/dbraw/zinc/99/36/10/761993610.db2.gz KRKZUXAFDDTARO-SFHVURJKSA-N 1 2 315.417 1.966 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(CC)C(=O)c2cnn(CC)n2)C1 ZINC001033822024 749947965 /nfs/dbraw/zinc/94/79/65/749947965.db2.gz WDPQHUNFQSVFRT-GFCCVEGCSA-N 1 2 311.817 1.587 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cnn(CC)n2)C1 ZINC001033822024 749947970 /nfs/dbraw/zinc/94/79/70/749947970.db2.gz WDPQHUNFQSVFRT-GFCCVEGCSA-N 1 2 311.817 1.587 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2cccc3nn[nH]c32)C1 ZINC001033852582 749986335 /nfs/dbraw/zinc/98/63/35/749986335.db2.gz YIUMQKZJHAYGKC-CYBMUJFWSA-N 1 2 311.389 1.518 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cccc3nn[nH]c32)C1 ZINC001033852582 749986338 /nfs/dbraw/zinc/98/63/38/749986338.db2.gz YIUMQKZJHAYGKC-CYBMUJFWSA-N 1 2 311.389 1.518 20 30 DDEDLO N#Cc1ccc(NCC=CCNC(=O)CCc2c[nH]c[nH+]2)cn1 ZINC001107566038 750151764 /nfs/dbraw/zinc/15/17/64/750151764.db2.gz KGPWSVSLCLPFPH-UPHRSURJSA-N 1 2 310.361 1.393 20 30 DDEDLO N#Cc1ccc(NCC=CCNC(=O)CCc2c[nH+]c[nH]2)cn1 ZINC001107566038 750151769 /nfs/dbraw/zinc/15/17/69/750151769.db2.gz KGPWSVSLCLPFPH-UPHRSURJSA-N 1 2 310.361 1.393 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3cnc(C)o3)C[C@H]2O)CC1 ZINC001077625222 750158176 /nfs/dbraw/zinc/15/81/76/750158176.db2.gz WCYSLMDAOLLFKM-HZPDHXFCSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3cnc(C)o3)C[C@H]2O)CC1 ZINC001077625222 750158182 /nfs/dbraw/zinc/15/81/82/750158182.db2.gz WCYSLMDAOLLFKM-HZPDHXFCSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NC(C)C ZINC001110897782 750186367 /nfs/dbraw/zinc/18/63/67/750186367.db2.gz XDYUKYKTCIDAQX-MCIONIFRSA-N 1 2 307.438 1.445 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NC(C)C ZINC001110897782 750186371 /nfs/dbraw/zinc/18/63/71/750186371.db2.gz XDYUKYKTCIDAQX-MCIONIFRSA-N 1 2 307.438 1.445 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](F)C(C)C)C2 ZINC001110916374 750216305 /nfs/dbraw/zinc/21/63/05/750216305.db2.gz LVXMKXOMQVQDEG-CXTNEJHOSA-N 1 2 311.401 1.004 20 30 DDEDLO C=CCNC(=O)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](F)C(C)C)C2 ZINC001110916374 750216310 /nfs/dbraw/zinc/21/63/10/750216310.db2.gz LVXMKXOMQVQDEG-CXTNEJHOSA-N 1 2 311.401 1.004 20 30 DDEDLO Cc1ncccc1C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032337973 750245672 /nfs/dbraw/zinc/24/56/72/750245672.db2.gz LKZDSPHLULNDKK-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1ncccc1C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032337973 750245677 /nfs/dbraw/zinc/24/56/77/750245677.db2.gz LKZDSPHLULNDKK-HOTGVXAUSA-N 1 2 321.384 1.689 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001108110295 750279241 /nfs/dbraw/zinc/27/92/41/750279241.db2.gz MJCZHZKNSIUUGB-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001108110295 750279246 /nfs/dbraw/zinc/27/92/46/750279246.db2.gz MJCZHZKNSIUUGB-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1nnc(C)o1)C2 ZINC001111729748 750281558 /nfs/dbraw/zinc/28/15/58/750281558.db2.gz CAZGLWMFTVEDSN-YOEHRIQHSA-N 1 2 316.405 1.652 20 30 DDEDLO C#CCCCC(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1nnc(C)o1)C2 ZINC001111729748 750281561 /nfs/dbraw/zinc/28/15/61/750281561.db2.gz CAZGLWMFTVEDSN-YOEHRIQHSA-N 1 2 316.405 1.652 20 30 DDEDLO C#CCCCCC(=O)N[C@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001107694273 750377182 /nfs/dbraw/zinc/37/71/82/750377182.db2.gz LAUQGSRXGGPCOJ-GFCCVEGCSA-N 1 2 314.393 1.474 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)/C=C\c2ccc[nH]2)C1 ZINC001107897680 750593498 /nfs/dbraw/zinc/59/34/98/750593498.db2.gz MOLQPQCJUPCPAX-QWPQOLDESA-N 1 2 301.390 1.258 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)/C=C\c2ccc[nH]2)C1 ZINC001107897680 750593501 /nfs/dbraw/zinc/59/35/01/750593501.db2.gz MOLQPQCJUPCPAX-QWPQOLDESA-N 1 2 301.390 1.258 20 30 DDEDLO N#CCNC1CCN(C(=O)C[N@@H+]2CCc3sccc3C2)CC1 ZINC000997355881 750796109 /nfs/dbraw/zinc/79/61/09/750796109.db2.gz DFHHDLILISQPGN-UHFFFAOYSA-N 1 2 318.446 1.210 20 30 DDEDLO N#CCNC1CCN(C(=O)C[N@H+]2CCc3sccc3C2)CC1 ZINC000997355881 750796110 /nfs/dbraw/zinc/79/61/10/750796110.db2.gz DFHHDLILISQPGN-UHFFFAOYSA-N 1 2 318.446 1.210 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)[C@H](OCC)C2CC2)C1 ZINC001107947574 750797226 /nfs/dbraw/zinc/79/72/26/750797226.db2.gz MSFDIMNDKXIYPE-NVXWUHKLSA-N 1 2 308.422 1.032 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)[C@H](OCC)C2CC2)C1 ZINC001107947574 750797229 /nfs/dbraw/zinc/79/72/29/750797229.db2.gz MSFDIMNDKXIYPE-NVXWUHKLSA-N 1 2 308.422 1.032 20 30 DDEDLO C=CCCC(=O)N1CCO[C@H]([C@@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001114634574 750895884 /nfs/dbraw/zinc/89/58/84/750895884.db2.gz SAOJUEVFRHERMP-OCCSQVGLSA-N 1 2 304.394 1.779 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@H]([C@H](C)Nc2cc[nH+]c(C)n2)C1 ZINC001114637743 750907116 /nfs/dbraw/zinc/90/71/16/750907116.db2.gz UHYYPYTXQNJTDC-ZFWWWQNUSA-N 1 2 316.405 1.616 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](C[C@@H](F)CC)C[C@@H]1n1ccnn1 ZINC001129026084 750991550 /nfs/dbraw/zinc/99/15/50/750991550.db2.gz ZITCLCFEBMQHBV-ZNMIVQPWSA-N 1 2 323.416 1.724 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](C[C@@H](F)CC)C[C@@H]1n1ccnn1 ZINC001129026084 750991556 /nfs/dbraw/zinc/99/15/56/750991556.db2.gz ZITCLCFEBMQHBV-ZNMIVQPWSA-N 1 2 323.416 1.724 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)c1c(C)n[nH]c1C ZINC001032486211 751008409 /nfs/dbraw/zinc/00/84/09/751008409.db2.gz LPAXLXBFTUCMTN-CQDKDKBSSA-N 1 2 300.406 1.438 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)c1c(C)n[nH]c1C ZINC001032486211 751008414 /nfs/dbraw/zinc/00/84/14/751008414.db2.gz LPAXLXBFTUCMTN-CQDKDKBSSA-N 1 2 300.406 1.438 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114726958 751028710 /nfs/dbraw/zinc/02/87/10/751028710.db2.gz RZOWDUSLFAESNO-BCUIYNNISA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc(C)no3)C[C@H]21 ZINC001114726958 751028717 /nfs/dbraw/zinc/02/87/17/751028717.db2.gz RZOWDUSLFAESNO-BCUIYNNISA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114724560 751029877 /nfs/dbraw/zinc/02/98/77/751029877.db2.gz PAIHUACHDFBTQR-ARLBYUKCSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cn(CC)nn3)C[C@H]21 ZINC001114724560 751029884 /nfs/dbraw/zinc/02/98/84/751029884.db2.gz PAIHUACHDFBTQR-ARLBYUKCSA-N 1 2 317.437 1.447 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1C[N@H+](CCF)C[C@H]1OC)OCC ZINC001212354779 751082057 /nfs/dbraw/zinc/08/20/57/751082057.db2.gz JHVZXRGJSFDRPT-MGPQQGTHSA-N 1 2 302.390 1.143 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1C[N@@H+](CCF)C[C@H]1OC)OCC ZINC001212354779 751082063 /nfs/dbraw/zinc/08/20/63/751082063.db2.gz JHVZXRGJSFDRPT-MGPQQGTHSA-N 1 2 302.390 1.143 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H]1C[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001114793914 751089054 /nfs/dbraw/zinc/08/90/54/751089054.db2.gz VRZHGZAWHDMSCZ-UBHSHLNASA-N 1 2 302.378 1.130 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCC[C@@H]2C[NH2+]Cc2nc(C)no2)cc1 ZINC001035006855 751117591 /nfs/dbraw/zinc/11/75/91/751117591.db2.gz NLKPVUFLDZUDOJ-MRXNPFEDSA-N 1 2 324.384 1.754 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2cccc(Cl)c12 ZINC001032510409 751128580 /nfs/dbraw/zinc/12/85/80/751128580.db2.gz SDLJNQMAAMPNEW-RYUDHWBXSA-N 1 2 314.776 1.520 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2cccc(Cl)c12 ZINC001032510409 751128582 /nfs/dbraw/zinc/12/85/82/751128582.db2.gz SDLJNQMAAMPNEW-RYUDHWBXSA-N 1 2 314.776 1.520 20 30 DDEDLO O=C(N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O)[C@@H]1C[C@H]1C1CC1 ZINC001077814137 751250188 /nfs/dbraw/zinc/25/01/88/751250188.db2.gz VSQVLSMLNCVUIX-WJFTUGDTSA-N 1 2 324.424 1.246 20 30 DDEDLO O=C(N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O)[C@@H]1C[C@H]1C1CC1 ZINC001077814137 751250194 /nfs/dbraw/zinc/25/01/94/751250194.db2.gz VSQVLSMLNCVUIX-WJFTUGDTSA-N 1 2 324.424 1.246 20 30 DDEDLO Cc1noc([C@H](C)[NH2+][C@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999118216 752542873 /nfs/dbraw/zinc/54/28/73/752542873.db2.gz RSCXEBPXSSEYFL-FZMZJTMJSA-N 1 2 302.378 1.433 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001099615893 752577123 /nfs/dbraw/zinc/57/71/23/752577123.db2.gz BJHXDDUBXVLTAM-LLVKDONJSA-N 1 2 320.441 1.765 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2nccnc21 ZINC001032699261 752739902 /nfs/dbraw/zinc/73/99/02/752739902.db2.gz KTICCXHTCIQZIF-KBPBESRZSA-N 1 2 306.369 1.552 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2nccnc21 ZINC001032699261 752739904 /nfs/dbraw/zinc/73/99/04/752739904.db2.gz KTICCXHTCIQZIF-KBPBESRZSA-N 1 2 306.369 1.552 20 30 DDEDLO Cn1ncc(C(=O)N[C@@H]2CCC[N@H+](Cc3ccccc3C#N)C2)n1 ZINC001008863004 752950904 /nfs/dbraw/zinc/95/09/04/752950904.db2.gz MAFVJFCZJLBKSO-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1ncc(C(=O)N[C@@H]2CCC[N@@H+](Cc3ccccc3C#N)C2)n1 ZINC001008863004 752950907 /nfs/dbraw/zinc/95/09/07/752950907.db2.gz MAFVJFCZJLBKSO-OAHLLOKOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1ccc(C(=O)N[C@H]2CCC[N@H+](CC#Cc3ccccc3)C2)n1 ZINC001009534290 753236598 /nfs/dbraw/zinc/23/65/98/753236598.db2.gz DFUVQCGTVPPSNZ-KRWDZBQOSA-N 1 2 322.412 1.666 20 30 DDEDLO Cn1ccc(C(=O)N[C@H]2CCC[N@@H+](CC#Cc3ccccc3)C2)n1 ZINC001009534290 753236601 /nfs/dbraw/zinc/23/66/01/753236601.db2.gz DFUVQCGTVPPSNZ-KRWDZBQOSA-N 1 2 322.412 1.666 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccncc2C)[C@@H](O)C1 ZINC001090266848 753319026 /nfs/dbraw/zinc/31/90/26/753319026.db2.gz XURKBUZEVHZWEL-GJZGRUSLSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccncc2C)[C@@H](O)C1 ZINC001090266848 753319029 /nfs/dbraw/zinc/31/90/29/753319029.db2.gz XURKBUZEVHZWEL-GJZGRUSLSA-N 1 2 323.824 1.236 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3cccnc3c2)C1 ZINC001077860520 753331461 /nfs/dbraw/zinc/33/14/61/753331461.db2.gz MHMYGWOAQKZKQH-IAGOWNOFSA-N 1 2 309.369 1.033 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc3cccnc3c2)C1 ZINC001077860520 753331465 /nfs/dbraw/zinc/33/14/65/753331465.db2.gz MHMYGWOAQKZKQH-IAGOWNOFSA-N 1 2 309.369 1.033 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001108002557 753479042 /nfs/dbraw/zinc/47/90/42/753479042.db2.gz KHJCLTVIMKOSMZ-CHWSQXEVSA-N 1 2 324.388 1.329 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CC(C)C)c1C ZINC001032758231 753513367 /nfs/dbraw/zinc/51/33/67/753513367.db2.gz IUALCRIILOLUNL-GJZGRUSLSA-N 1 2 300.406 1.379 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CC(C)C)c1C ZINC001032758231 753513369 /nfs/dbraw/zinc/51/33/69/753513369.db2.gz IUALCRIILOLUNL-GJZGRUSLSA-N 1 2 300.406 1.379 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001078099312 753732430 /nfs/dbraw/zinc/73/24/30/753732430.db2.gz DWVXSMXGQMJRCA-BFHYXJOUSA-N 1 2 318.421 1.881 20 30 DDEDLO C=CCCCC(=O)NCC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001078099312 753732434 /nfs/dbraw/zinc/73/24/34/753732434.db2.gz DWVXSMXGQMJRCA-BFHYXJOUSA-N 1 2 318.421 1.881 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1c(C)n[nH]c1C ZINC001032790919 753740637 /nfs/dbraw/zinc/74/06/37/753740637.db2.gz BYYUPDVPKXKFFQ-UGFHNGPFSA-N 1 2 300.406 1.123 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1c(C)n[nH]c1C ZINC001032790919 753740642 /nfs/dbraw/zinc/74/06/42/753740642.db2.gz BYYUPDVPKXKFFQ-UGFHNGPFSA-N 1 2 300.406 1.123 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(OCC(C)C)cc2)C1 ZINC001078127121 753761934 /nfs/dbraw/zinc/76/19/34/753761934.db2.gz ZSKVUKPFKMHOTJ-IAGOWNOFSA-N 1 2 316.401 1.130 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(OCC(C)C)cc2)C1 ZINC001078127121 753761939 /nfs/dbraw/zinc/76/19/39/753761939.db2.gz ZSKVUKPFKMHOTJ-IAGOWNOFSA-N 1 2 316.401 1.130 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3cc(C)cc(C)c3)CC2)C1 ZINC001078187868 753826854 /nfs/dbraw/zinc/82/68/54/753826854.db2.gz HKRZLAMTSSWOOE-IAGOWNOFSA-N 1 2 312.413 1.130 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(c3cc(C)cc(C)c3)CC2)C1 ZINC001078187868 753826861 /nfs/dbraw/zinc/82/68/61/753826861.db2.gz HKRZLAMTSSWOOE-IAGOWNOFSA-N 1 2 312.413 1.130 20 30 DDEDLO Cc1[nH]nc(C(=O)N[C@H]2CC[N@H+](Cc3ccccc3C#N)C2)c1C ZINC001010397185 753864377 /nfs/dbraw/zinc/86/43/77/753864377.db2.gz NFRVOHHMQMBCJR-INIZCTEOSA-N 1 2 323.400 1.903 20 30 DDEDLO Cc1[nH]nc(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1C ZINC001010397185 753864388 /nfs/dbraw/zinc/86/43/88/753864388.db2.gz NFRVOHHMQMBCJR-INIZCTEOSA-N 1 2 323.400 1.903 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001078291739 753938848 /nfs/dbraw/zinc/93/88/48/753938848.db2.gz BXFUQUCYQGSZGE-HZPDHXFCSA-N 1 2 310.353 1.355 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001078291739 753938854 /nfs/dbraw/zinc/93/88/54/753938854.db2.gz BXFUQUCYQGSZGE-HZPDHXFCSA-N 1 2 310.353 1.355 20 30 DDEDLO C[C@H](CC(=O)NCC1(NC(=O)[C@@H](C)C#N)CCC1)n1cc[nH+]c1 ZINC001063072303 753956121 /nfs/dbraw/zinc/95/61/21/753956121.db2.gz PMYWLZZJDNLHGO-QWHCGFSZSA-N 1 2 317.393 1.149 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3ccc(F)cn3)C2)[nH]1 ZINC001010647469 754078599 /nfs/dbraw/zinc/07/85/99/754078599.db2.gz ZMHYUXRLJFCVMK-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3ccc(F)cn3)C2)[nH]1 ZINC001010647469 754078604 /nfs/dbraw/zinc/07/86/04/754078604.db2.gz ZMHYUXRLJFCVMK-AWEZNQCLSA-N 1 2 313.336 1.425 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H](NC(=O)c3ncccc3O)C2)cc1 ZINC001010729475 754128334 /nfs/dbraw/zinc/12/83/34/754128334.db2.gz BITUVUJLBKAJSL-OAHLLOKOSA-N 1 2 322.368 1.663 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H](NC(=O)c3ncccc3O)C2)cc1 ZINC001010729475 754128337 /nfs/dbraw/zinc/12/83/37/754128337.db2.gz BITUVUJLBKAJSL-OAHLLOKOSA-N 1 2 322.368 1.663 20 30 DDEDLO C=CCCC(=O)NC1(CNC(=O)CCc2[nH+]ccn2C)CCC1 ZINC001063970081 754419242 /nfs/dbraw/zinc/41/92/42/754419242.db2.gz XLTBCDDCBNFHBW-UHFFFAOYSA-N 1 2 318.421 1.474 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1(CNC(=O)Cc2[nH]cc[nH+]2)CCC1 ZINC001064209053 754564126 /nfs/dbraw/zinc/56/41/26/754564126.db2.gz OFNJUHYFEMBZAF-QWHCGFSZSA-N 1 2 318.421 1.566 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2(NC(=O)Cn3cc[nH+]c3)CCC2)C1 ZINC001064457316 754682221 /nfs/dbraw/zinc/68/22/21/754682221.db2.gz KDIGWLHAUYDVMZ-UHFFFAOYSA-N 1 2 316.405 1.395 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ncccc2C#N)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064792063 754858740 /nfs/dbraw/zinc/85/87/40/754858740.db2.gz MEJWTJIIEYAEJL-TZMCWYRMSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001080096005 755720872 /nfs/dbraw/zinc/72/08/72/755720872.db2.gz NJUZCFSTHXCXNB-BXKDBHETSA-N 1 2 310.785 1.288 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001080096005 755720876 /nfs/dbraw/zinc/72/08/76/755720876.db2.gz NJUZCFSTHXCXNB-BXKDBHETSA-N 1 2 310.785 1.288 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080353546 755866430 /nfs/dbraw/zinc/86/64/30/755866430.db2.gz CPPDQAAGLBLBOL-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C1CC(C)(C(=O)N(CC)C2CN(C(=O)Cc3c[nH+]c[nH]3)C2)C1 ZINC001080502672 755960453 /nfs/dbraw/zinc/96/04/53/755960453.db2.gz MTDRRADVOQKWIG-UHFFFAOYSA-N 1 2 316.405 1.368 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001015161935 756153036 /nfs/dbraw/zinc/15/30/36/756153036.db2.gz QKBRNPNYHFBKSL-CYBMUJFWSA-N 1 2 309.369 1.356 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](NC(=O)c2cc(=O)c3ccccc3[nH]2)C1 ZINC001015161935 756153038 /nfs/dbraw/zinc/15/30/38/756153038.db2.gz QKBRNPNYHFBKSL-CYBMUJFWSA-N 1 2 309.369 1.356 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(N(C)C)ccn2)C1 ZINC001081028452 756183257 /nfs/dbraw/zinc/18/32/57/756183257.db2.gz JIOOAEBXOMQEBQ-IAQYHMDHSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(N(C)C)ccn2)C1 ZINC001081028452 756183258 /nfs/dbraw/zinc/18/32/58/756183258.db2.gz JIOOAEBXOMQEBQ-IAQYHMDHSA-N 1 2 322.840 1.950 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCc3nncn3CC2)C1 ZINC001015328483 756243438 /nfs/dbraw/zinc/24/34/38/756243438.db2.gz WAFOINJZWPDUSY-OLZOCXBDSA-N 1 2 323.828 1.174 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCc3nncn3CC2)C1 ZINC001015328483 756243446 /nfs/dbraw/zinc/24/34/46/756243446.db2.gz WAFOINJZWPDUSY-OLZOCXBDSA-N 1 2 323.828 1.174 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2coc(C(C)(C)C)n2)[C@H](OC)C1 ZINC001081660297 756415942 /nfs/dbraw/zinc/41/59/42/756415942.db2.gz ZWHUUSLAYLQIRZ-TZMCWYRMSA-N 1 2 319.405 1.424 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2coc(C(C)(C)C)n2)[C@H](OC)C1 ZINC001081660297 756415946 /nfs/dbraw/zinc/41/59/46/756415946.db2.gz ZWHUUSLAYLQIRZ-TZMCWYRMSA-N 1 2 319.405 1.424 20 30 DDEDLO Cc1nocc1C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015644262 756433899 /nfs/dbraw/zinc/43/38/99/756433899.db2.gz NJECWFBVONDNRP-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1nocc1C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015644262 756433904 /nfs/dbraw/zinc/43/39/04/756433904.db2.gz NJECWFBVONDNRP-OAHLLOKOSA-N 1 2 310.357 1.859 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@@H+](Cc3cc(C)ncn3)C2)c1 ZINC001015678185 756459817 /nfs/dbraw/zinc/45/98/17/756459817.db2.gz DAOAINFDERJALA-INIZCTEOSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CC[N@H+](Cc3cc(C)ncn3)C2)c1 ZINC001015678185 756459820 /nfs/dbraw/zinc/45/98/20/756459820.db2.gz DAOAINFDERJALA-INIZCTEOSA-N 1 2 321.384 1.166 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)c3cccnn3)C2)s1 ZINC001015889383 756623658 /nfs/dbraw/zinc/62/36/58/756623658.db2.gz SLDATAPZZNBAGV-NSHDSACASA-N 1 2 313.386 1.414 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)c3cccnn3)C2)s1 ZINC001015889383 756623659 /nfs/dbraw/zinc/62/36/59/756623659.db2.gz SLDATAPZZNBAGV-NSHDSACASA-N 1 2 313.386 1.414 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)nc2C)[C@H](OC)C1 ZINC001082141540 756651912 /nfs/dbraw/zinc/65/19/12/756651912.db2.gz UGVRFPAYQLSRGM-IAGOWNOFSA-N 1 2 315.417 1.576 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)nc2C)[C@H](OC)C1 ZINC001082141540 756651914 /nfs/dbraw/zinc/65/19/14/756651914.db2.gz UGVRFPAYQLSRGM-IAGOWNOFSA-N 1 2 315.417 1.576 20 30 DDEDLO C=CCCCC(=O)NC[C@@H]1CCCCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001082251181 756709043 /nfs/dbraw/zinc/70/90/43/756709043.db2.gz DMMWBUUQZCNPOH-AWEZNQCLSA-N 1 2 318.421 1.806 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(CC)c(CC)o2)[C@H](OC)C1 ZINC001082328199 756732779 /nfs/dbraw/zinc/73/27/79/756732779.db2.gz IGFLFJXXQZMGAW-RHSMWYFYSA-N 1 2 318.417 1.857 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(CC)c(CC)o2)[C@H](OC)C1 ZINC001082328199 756732784 /nfs/dbraw/zinc/73/27/84/756732784.db2.gz IGFLFJXXQZMGAW-RHSMWYFYSA-N 1 2 318.417 1.857 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cc(CC)c(CC)o2)[C@H](OC)C1 ZINC001082328918 756734495 /nfs/dbraw/zinc/73/44/95/756734495.db2.gz SEFUPMYWOJJYNJ-CZUORRHYSA-N 1 2 304.390 1.467 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cc(CC)c(CC)o2)[C@H](OC)C1 ZINC001082328918 756734499 /nfs/dbraw/zinc/73/44/99/756734499.db2.gz SEFUPMYWOJJYNJ-CZUORRHYSA-N 1 2 304.390 1.467 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2csc(C3CC3)n2)[C@H](OC)C1 ZINC001082393122 756767897 /nfs/dbraw/zinc/76/78/97/756767897.db2.gz RVZUNGYJMOHSEC-DGCLKSJQSA-N 1 2 305.403 1.083 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2csc(C3CC3)n2)[C@H](OC)C1 ZINC001082393122 756767899 /nfs/dbraw/zinc/76/78/99/756767899.db2.gz RVZUNGYJMOHSEC-DGCLKSJQSA-N 1 2 305.403 1.083 20 30 DDEDLO C=CCC[N@H+]1C[C@H]2OCCN(C(=O)C(F)C(F)(F)F)[C@H]2C1 ZINC001083089481 757129110 /nfs/dbraw/zinc/12/91/10/757129110.db2.gz IFPFOGHLPVQJBG-AXFHLTTASA-N 1 2 310.291 1.375 20 30 DDEDLO C=CCC[N@@H+]1C[C@H]2OCCN(C(=O)C(F)C(F)(F)F)[C@H]2C1 ZINC001083089481 757129119 /nfs/dbraw/zinc/12/91/19/757129119.db2.gz IFPFOGHLPVQJBG-AXFHLTTASA-N 1 2 310.291 1.375 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)CC3(c4ccccc4)CC3)[C@H]2C1 ZINC001083101565 757136138 /nfs/dbraw/zinc/13/61/38/757136138.db2.gz LVJWITSMQWINFR-ZWKOTPCHSA-N 1 2 324.424 1.653 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)CC3(c4ccccc4)CC3)[C@H]2C1 ZINC001083101565 757136142 /nfs/dbraw/zinc/13/61/42/757136142.db2.gz LVJWITSMQWINFR-ZWKOTPCHSA-N 1 2 324.424 1.653 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CCCC[C@@H]1CNc1cc[nH+]c(C)n1 ZINC001097495433 757211633 /nfs/dbraw/zinc/21/16/33/757211633.db2.gz MVXQTAQFOQKONL-DZGCQCFKSA-N 1 2 316.405 1.616 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3Cc2cnnn2C)C1 ZINC001097805365 757551422 /nfs/dbraw/zinc/55/14/22/757551422.db2.gz LRDKJOOOOZPENM-YUELXQCFSA-N 1 2 315.421 1.393 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3Cc2cnnn2C)C1 ZINC001097805365 757551434 /nfs/dbraw/zinc/55/14/34/757551434.db2.gz LRDKJOOOOZPENM-YUELXQCFSA-N 1 2 315.421 1.393 20 30 DDEDLO C[C@H](CNC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)Nc1ccc(C#N)nc1 ZINC001098294093 757867020 /nfs/dbraw/zinc/86/70/20/757867020.db2.gz IMAXLWYNVNRWGC-KCPJHIHWSA-N 1 2 324.388 1.407 20 30 DDEDLO Cc1cnc(C[N@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)nc1 ZINC001084906829 758047389 /nfs/dbraw/zinc/04/73/89/758047389.db2.gz IWGRSGIURVCRFL-KFWWJZLASA-N 1 2 313.405 1.368 20 30 DDEDLO Cc1cnc(C[N@@H+]2CC[C@@H]3CCN(C(=O)[C@H](C)C#N)C[C@@H]32)nc1 ZINC001084906829 758047403 /nfs/dbraw/zinc/04/74/03/758047403.db2.gz IWGRSGIURVCRFL-KFWWJZLASA-N 1 2 313.405 1.368 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncc(OC)cc1F ZINC001017604696 758071408 /nfs/dbraw/zinc/07/14/08/758071408.db2.gz IVPTUPQOCUEINK-BETUJISGSA-N 1 2 317.364 1.541 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncc(OC)cc1F ZINC001017604696 758071414 /nfs/dbraw/zinc/07/14/14/758071414.db2.gz IVPTUPQOCUEINK-BETUJISGSA-N 1 2 317.364 1.541 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2cccn2)cc1 ZINC001017620189 758089997 /nfs/dbraw/zinc/08/99/97/758089997.db2.gz GYDHKNIJEYYSIX-HDICACEKSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(-n2cccn2)cc1 ZINC001017620189 758090005 /nfs/dbraw/zinc/09/00/05/758090005.db2.gz GYDHKNIJEYYSIX-HDICACEKSA-N 1 2 320.396 1.794 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1O[C@@H](C)C2 ZINC001017742668 758199671 /nfs/dbraw/zinc/19/96/71/758199671.db2.gz SQDJOCFDCJASQT-CWRNSKLLSA-N 1 2 310.397 1.932 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1O[C@@H](C)C2 ZINC001017742668 758199679 /nfs/dbraw/zinc/19/96/79/758199679.db2.gz SQDJOCFDCJASQT-CWRNSKLLSA-N 1 2 310.397 1.932 20 30 DDEDLO CC[C@@H]([NH2+]CCN1CCC(O)CC1)c1cccc(C#N)c1O ZINC000822658665 758225840 /nfs/dbraw/zinc/22/58/40/758225840.db2.gz MBEPHPLXBHABCZ-MRXNPFEDSA-N 1 2 303.406 1.761 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)c1cncnc1)CCO2 ZINC001053393851 758427057 /nfs/dbraw/zinc/42/70/57/758427057.db2.gz PEKBMKHRLPZQKB-CQSZACIVSA-N 1 2 316.405 1.069 20 30 DDEDLO C=C(C)CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108195501 758433713 /nfs/dbraw/zinc/43/37/13/758433713.db2.gz DJLYYFCXLIFWDP-HNNXBMFYSA-N 1 2 310.373 1.336 20 30 DDEDLO C=C(C)CC[N@H+]1CCO[C@@](C)(CNC(=O)c2[nH]ncc2F)C1 ZINC001108195501 758433719 /nfs/dbraw/zinc/43/37/19/758433719.db2.gz DJLYYFCXLIFWDP-HNNXBMFYSA-N 1 2 310.373 1.336 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CCOC2(C[NH+](C[C@@H](C)OC)C2)C1 ZINC001053408938 758439977 /nfs/dbraw/zinc/43/99/77/758439977.db2.gz QEUYWDUANWBNNH-GDBMZVCRSA-N 1 2 310.438 1.537 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(-c2ccccn2)c1 ZINC001018016307 758478091 /nfs/dbraw/zinc/47/80/91/758478091.db2.gz INOYBMDTEPSOBY-IYBDPMFKSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(-c2ccccn2)c1 ZINC001018016307 758478096 /nfs/dbraw/zinc/47/80/96/758478096.db2.gz INOYBMDTEPSOBY-IYBDPMFKSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1c(C)n[nH]c1C ZINC001018072818 758522997 /nfs/dbraw/zinc/52/29/97/758522997.db2.gz IUKXIEXIFGCHRO-TUKIKUTGSA-N 1 2 300.406 1.438 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1c(C)n[nH]c1C ZINC001018072818 758522999 /nfs/dbraw/zinc/52/29/99/758522999.db2.gz IUKXIEXIFGCHRO-TUKIKUTGSA-N 1 2 300.406 1.438 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccncc1F)O2 ZINC001053583517 758596806 /nfs/dbraw/zinc/59/68/06/758596806.db2.gz CGLHOMWVTODTJS-GFCCVEGCSA-N 1 2 305.353 1.370 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H]1[C@@H]3CCCC[C@@H]31)O2 ZINC001053586274 758601327 /nfs/dbraw/zinc/60/13/27/758601327.db2.gz PJNZZANGJZIBSF-WCVJEAGWSA-N 1 2 304.434 1.958 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCO[C@H]1CC)O2 ZINC001053631863 758648692 /nfs/dbraw/zinc/64/86/92/758648692.db2.gz LACGFRYSQOEBAC-ZNMIVQPWSA-N 1 2 308.422 1.337 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1conc1CC)O2 ZINC001053659805 758672855 /nfs/dbraw/zinc/67/28/55/758672855.db2.gz ZSSBVZCUQMTIBK-LBPRGKRZSA-N 1 2 305.378 1.386 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccc(=O)[nH]c1)O2 ZINC001053694932 758704223 /nfs/dbraw/zinc/70/42/23/758704223.db2.gz RRYKCFHKURVULG-AWEZNQCLSA-N 1 2 317.389 1.327 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001018294591 758705644 /nfs/dbraw/zinc/70/56/44/758705644.db2.gz UYFXTWNYDHXDFD-CWRNSKLLSA-N 1 2 312.417 1.213 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001018294591 758705651 /nfs/dbraw/zinc/70/56/51/758705651.db2.gz UYFXTWNYDHXDFD-CWRNSKLLSA-N 1 2 312.417 1.213 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001018294588 758706306 /nfs/dbraw/zinc/70/63/06/758706306.db2.gz UYFXTWNYDHXDFD-BMFZPTHFSA-N 1 2 312.417 1.213 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001018294588 758706311 /nfs/dbraw/zinc/70/63/11/758706311.db2.gz UYFXTWNYDHXDFD-BMFZPTHFSA-N 1 2 312.417 1.213 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([NH+]2CCN(C(=O)CC(C)C)CC2)C1 ZINC001065692020 758709412 /nfs/dbraw/zinc/70/94/12/758709412.db2.gz OMLOAALDWPHGHR-INIZCTEOSA-N 1 2 321.465 1.744 20 30 DDEDLO C#CCCCC(=O)N1CC[C@@H]([NH+]2CCN(C(=O)C(C)C)CC2)C1 ZINC001065697714 758714453 /nfs/dbraw/zinc/71/44/53/758714453.db2.gz BSPJIKAIWAQAOZ-MRXNPFEDSA-N 1 2 319.449 1.191 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(C(=O)CC3CC3)C2)CC1 ZINC001018359527 758758436 /nfs/dbraw/zinc/75/84/36/758758436.db2.gz IRAFXJRLYPMPAG-INIZCTEOSA-N 1 2 319.449 1.355 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)[C@H]1OCC[C@H]1C(C)C)CO2 ZINC001053804596 758833320 /nfs/dbraw/zinc/83/33/20/758833320.db2.gz ZBJSEDDKSPVBDS-PMPSAXMXSA-N 1 2 320.433 1.030 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc(CC)n1)CO2 ZINC001053830633 758860506 /nfs/dbraw/zinc/86/05/06/758860506.db2.gz HITFPSREWDTAJU-OAHLLOKOSA-N 1 2 315.417 1.793 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(COC)o1)CO2 ZINC001053866000 758900185 /nfs/dbraw/zinc/90/01/85/758900185.db2.gz OSZGARWQVNDLMF-CYBMUJFWSA-N 1 2 318.373 1.022 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001065972262 758967275 /nfs/dbraw/zinc/96/72/75/758967275.db2.gz LCWFIDKWEJHPKZ-CQSZACIVSA-N 1 2 304.394 1.347 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1oc(CC)nc1C)CO2 ZINC001053974340 759012506 /nfs/dbraw/zinc/01/25/06/759012506.db2.gz LYDQXKUQAILABV-ZDUSSCGKSA-N 1 2 317.389 1.142 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1ccc(CC)cc1)CO2 ZINC001053999006 759045884 /nfs/dbraw/zinc/04/58/84/759045884.db2.gz XXTWTIRKNUOKPC-QGZVFWFLSA-N 1 2 312.413 1.845 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnnc(C)c1 ZINC001054038708 759094722 /nfs/dbraw/zinc/09/47/22/759094722.db2.gz JYSGTSULNLCWOZ-SFHVURJKSA-N 1 2 320.396 1.575 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnnc(C)c1 ZINC001054038708 759094729 /nfs/dbraw/zinc/09/47/29/759094729.db2.gz JYSGTSULNLCWOZ-SFHVURJKSA-N 1 2 320.396 1.575 20 30 DDEDLO C=CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn(C)n1 ZINC001054056307 759108795 /nfs/dbraw/zinc/10/87/95/759108795.db2.gz DDVUGDBPGKNPIA-HNNXBMFYSA-N 1 2 311.389 1.158 20 30 DDEDLO C=CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn(C)n1 ZINC001054056307 759108800 /nfs/dbraw/zinc/10/88/00/759108800.db2.gz DDVUGDBPGKNPIA-HNNXBMFYSA-N 1 2 311.389 1.158 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001099974228 759330845 /nfs/dbraw/zinc/33/08/45/759330845.db2.gz OPAHVKGWRFEENV-ZFWWWQNUSA-N 1 2 307.394 1.391 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001099974228 759330854 /nfs/dbraw/zinc/33/08/54/759330854.db2.gz OPAHVKGWRFEENV-ZFWWWQNUSA-N 1 2 307.394 1.391 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)C2CCCC2)C1=O ZINC001085453295 759415122 /nfs/dbraw/zinc/41/51/22/759415122.db2.gz JNYCYUIUFWXYCS-CVEARBPZSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)C2CCCC2)C1=O ZINC001085453295 759415128 /nfs/dbraw/zinc/41/51/28/759415128.db2.gz JNYCYUIUFWXYCS-CVEARBPZSA-N 1 2 319.449 1.496 20 30 DDEDLO Cc1nc(N[C@@H]2C[C@@H](C)N(C(=O)Cc3[nH]cc[nH+]3)C2)ccc1C#N ZINC001069136796 767872220 /nfs/dbraw/zinc/87/22/20/767872220.db2.gz AGOZAVOXCLIMDB-BXUZGUMPSA-N 1 2 324.388 1.629 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1csc([C@H]2CCCO2)n1 ZINC001085594348 759794113 /nfs/dbraw/zinc/79/41/13/759794113.db2.gz DNXYVWIFINSATO-GXTWGEPZSA-N 1 2 319.430 1.774 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1csc([C@H]2CCCO2)n1 ZINC001085594348 759794115 /nfs/dbraw/zinc/79/41/15/759794115.db2.gz DNXYVWIFINSATO-GXTWGEPZSA-N 1 2 319.430 1.774 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(-c2ccccc2)cn1 ZINC001085609753 759831364 /nfs/dbraw/zinc/83/13/64/759831364.db2.gz ZBMUVTJFTBYNEL-MRXNPFEDSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(-c2ccccc2)cn1 ZINC001085609753 759831375 /nfs/dbraw/zinc/83/13/75/759831375.db2.gz ZBMUVTJFTBYNEL-MRXNPFEDSA-N 1 2 308.385 1.652 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CN(C)C(=O)c1snnc1C1CC1 ZINC001085654692 759938856 /nfs/dbraw/zinc/93/88/56/759938856.db2.gz VSJQEXKYPPSHIP-LBPRGKRZSA-N 1 2 304.419 1.585 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1snnc1C1CC1 ZINC001085654692 759938863 /nfs/dbraw/zinc/93/88/63/759938863.db2.gz VSJQEXKYPPSHIP-LBPRGKRZSA-N 1 2 304.419 1.585 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@H]([NH2+]Cc2nncs2)C1 ZINC001019500449 759996788 /nfs/dbraw/zinc/99/67/88/759996788.db2.gz XJYSPSNCSHIEOR-OBJOEFQTSA-N 1 2 322.434 1.210 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1coc(-c2ccccn2)n1 ZINC001085696542 760033428 /nfs/dbraw/zinc/03/34/28/760033428.db2.gz MGSVCBHJRIZBPS-ZDUSSCGKSA-N 1 2 310.357 1.516 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1coc(-c2ccccn2)n1 ZINC001085696542 760033443 /nfs/dbraw/zinc/03/34/43/760033443.db2.gz MGSVCBHJRIZBPS-ZDUSSCGKSA-N 1 2 310.357 1.516 20 30 DDEDLO C=CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001085705362 760073324 /nfs/dbraw/zinc/07/33/24/760073324.db2.gz NOQSUEZVXJIWSM-CYBMUJFWSA-N 1 2 311.385 1.860 20 30 DDEDLO C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(=O)c2ccccc2[nH]1 ZINC001085705362 760073333 /nfs/dbraw/zinc/07/33/33/760073333.db2.gz NOQSUEZVXJIWSM-CYBMUJFWSA-N 1 2 311.385 1.860 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001069214063 767920046 /nfs/dbraw/zinc/92/00/46/767920046.db2.gz HRXQDDIXRRKDEV-DOMZBBRYSA-N 1 2 324.388 1.629 20 30 DDEDLO Cc1cc(N[C@@H]2C[C@H](C)N(C(=O)Cc3c[nH+]c[nH]3)C2)c(C#N)cn1 ZINC001069215490 767921067 /nfs/dbraw/zinc/92/10/67/767921067.db2.gz UUCJWMHTBDMBMA-SWLSCSKDSA-N 1 2 324.388 1.051 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001085770080 760195538 /nfs/dbraw/zinc/19/55/38/760195538.db2.gz YCLOUXYGEUFYEF-INDMIFKZSA-N 1 2 324.424 1.463 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001085770080 760195543 /nfs/dbraw/zinc/19/55/43/760195543.db2.gz YCLOUXYGEUFYEF-INDMIFKZSA-N 1 2 324.424 1.463 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)c2ccco2)C1 ZINC001108210795 760374837 /nfs/dbraw/zinc/37/48/37/760374837.db2.gz GPRRARKQKHAOST-PBHICJAKSA-N 1 2 304.390 1.614 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H](C)c2ccco2)C1 ZINC001108210795 760374845 /nfs/dbraw/zinc/37/48/45/760374845.db2.gz GPRRARKQKHAOST-PBHICJAKSA-N 1 2 304.390 1.614 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-n2ccnc2)cn1 ZINC001085923357 760546096 /nfs/dbraw/zinc/54/60/96/760546096.db2.gz WJJQALQWCSCGQN-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(-n2ccnc2)cn1 ZINC001085923357 760546104 /nfs/dbraw/zinc/54/61/04/760546104.db2.gz WJJQALQWCSCGQN-HNNXBMFYSA-N 1 2 309.373 1.047 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001108223293 760588936 /nfs/dbraw/zinc/58/89/36/760588936.db2.gz ZMGOIYXRERRWDA-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2nccc3[nH]ccc32)C1 ZINC001108223293 760588943 /nfs/dbraw/zinc/58/89/43/760588943.db2.gz ZMGOIYXRERRWDA-QGZVFWFLSA-N 1 2 314.389 1.570 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2onc(CC)c2c1 ZINC001085986325 760656930 /nfs/dbraw/zinc/65/69/30/760656930.db2.gz AVAACZNNRJIRKG-CYBMUJFWSA-N 1 2 312.373 1.565 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2onc(CC)c2c1 ZINC001085986325 760656934 /nfs/dbraw/zinc/65/69/34/760656934.db2.gz AVAACZNNRJIRKG-CYBMUJFWSA-N 1 2 312.373 1.565 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccn(-c2ccccc2)n1 ZINC001038445198 761124653 /nfs/dbraw/zinc/12/46/53/761124653.db2.gz GXRDORLKAHLYGA-INIZCTEOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccn(-c2ccccc2)n1 ZINC001038445198 761124657 /nfs/dbraw/zinc/12/46/57/761124657.db2.gz GXRDORLKAHLYGA-INIZCTEOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1noc2c1CCCCC2 ZINC001038768148 761387938 /nfs/dbraw/zinc/38/79/38/761387938.db2.gz OCXMDXKYOVYZIE-ZDUSSCGKSA-N 1 2 301.390 1.771 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1noc2c1CCCCC2 ZINC001038768148 761387945 /nfs/dbraw/zinc/38/79/45/761387945.db2.gz OCXMDXKYOVYZIE-ZDUSSCGKSA-N 1 2 301.390 1.771 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@@H+]1Cc1conc1C ZINC001038798149 761416481 /nfs/dbraw/zinc/41/64/81/761416481.db2.gz LUYZCDCCBNIHRD-PMPSAXMXSA-N 1 2 319.405 1.655 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CC[N@H+]1Cc1conc1C ZINC001038798149 761416487 /nfs/dbraw/zinc/41/64/87/761416487.db2.gz LUYZCDCCBNIHRD-PMPSAXMXSA-N 1 2 319.405 1.655 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2nc(C)ncc2Cl)C1 ZINC001108263061 761423819 /nfs/dbraw/zinc/42/38/19/761423819.db2.gz JCYYGXGAUZNMCF-OAHLLOKOSA-N 1 2 324.812 1.445 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2nc(C)ncc2Cl)C1 ZINC001108263061 761423826 /nfs/dbraw/zinc/42/38/26/761423826.db2.gz JCYYGXGAUZNMCF-OAHLLOKOSA-N 1 2 324.812 1.445 20 30 DDEDLO C[C@H](CC(=O)N1CC[C@@H](Nc2ccc(C#N)nc2)C1)n1cc[nH+]c1 ZINC001056792825 761433523 /nfs/dbraw/zinc/43/35/23/761433523.db2.gz IQOBYRZSUPGBNN-CZUORRHYSA-N 1 2 324.388 1.814 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2ccncn2)cc1 ZINC001038831720 761447994 /nfs/dbraw/zinc/44/79/94/761447994.db2.gz BTGQKMBXZVGWAV-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2ccncn2)cc1 ZINC001038831720 761447998 /nfs/dbraw/zinc/44/79/98/761447998.db2.gz BTGQKMBXZVGWAV-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)c1cnn(CC2CCCC2)c1 ZINC001038852675 761478014 /nfs/dbraw/zinc/47/80/14/761478014.db2.gz BIBNVXCRSVJVOP-INIZCTEOSA-N 1 2 300.406 1.511 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)c1cnn(CC2CCCC2)c1 ZINC001038852675 761478015 /nfs/dbraw/zinc/47/80/15/761478015.db2.gz BIBNVXCRSVJVOP-INIZCTEOSA-N 1 2 300.406 1.511 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cnn(CCCC)c1C ZINC001038906350 761531677 /nfs/dbraw/zinc/53/16/77/761531677.db2.gz XFQBOPOJAOYXLK-OAHLLOKOSA-N 1 2 302.422 1.819 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn(CCCC)c1C ZINC001038906350 761531681 /nfs/dbraw/zinc/53/16/81/761531681.db2.gz XFQBOPOJAOYXLK-OAHLLOKOSA-N 1 2 302.422 1.819 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1CCCc2sc(C)nc21 ZINC001038910348 761535587 /nfs/dbraw/zinc/53/55/87/761535587.db2.gz LVYBNDYGTFOTCJ-STQMWFEESA-N 1 2 303.431 1.695 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CCCc2sc(C)nc21 ZINC001038910348 761535593 /nfs/dbraw/zinc/53/55/93/761535593.db2.gz LVYBNDYGTFOTCJ-STQMWFEESA-N 1 2 303.431 1.695 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(OC)cccc1OC ZINC001038952844 761586672 /nfs/dbraw/zinc/58/66/72/761586672.db2.gz IDJOPQVBVHFAEV-CYBMUJFWSA-N 1 2 302.374 1.531 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(OC)cccc1OC ZINC001038952844 761586677 /nfs/dbraw/zinc/58/66/77/761586677.db2.gz IDJOPQVBVHFAEV-CYBMUJFWSA-N 1 2 302.374 1.531 20 30 DDEDLO N#CCN1CC[C@@H]([C@H]2CCCCN2C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001039222793 761855983 /nfs/dbraw/zinc/85/59/83/761855983.db2.gz MAWUGGQENBNPAZ-HUUCEWRRSA-N 1 2 315.421 1.569 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CC[C@H](C)CC)CC2=O)C1 ZINC001108581281 762743235 /nfs/dbraw/zinc/74/32/35/762743235.db2.gz MUNWTNXXNODKCN-KGLIPLIRSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C(Cl)C[N@H+]1CC=C(CNC(=O)[C@@H]2C[C@@]23CCOC3)CC1 ZINC001001354690 762786138 /nfs/dbraw/zinc/78/61/38/762786138.db2.gz LTPHRIHQVCMQGL-GOEBONIOSA-N 1 2 310.825 1.914 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)[C@@H]2C[C@@]23CCOC3)CC1 ZINC001001354690 762786142 /nfs/dbraw/zinc/78/61/42/762786142.db2.gz LTPHRIHQVCMQGL-GOEBONIOSA-N 1 2 310.825 1.914 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCCN(C(=O)Cc3ccn[nH]3)[C@@H]2C1 ZINC001050004715 763010859 /nfs/dbraw/zinc/01/08/59/763010859.db2.gz SBOYIFJFXGONLL-GXTWGEPZSA-N 1 2 308.813 1.628 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCCN(C(=O)Cc3ccn[nH]3)[C@@H]2C1 ZINC001050004715 763010863 /nfs/dbraw/zinc/01/08/63/763010863.db2.gz SBOYIFJFXGONLL-GXTWGEPZSA-N 1 2 308.813 1.628 20 30 DDEDLO C[C@@H](c1ncccn1)[N@@H+]1C[C@@H]2CCCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001050046069 763059085 /nfs/dbraw/zinc/05/90/85/763059085.db2.gz SGHNUWMVPFIQBA-BHYGNILZSA-N 1 2 324.428 1.874 20 30 DDEDLO C[C@@H](c1ncccn1)[N@H+]1C[C@@H]2CCCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001050046069 763059087 /nfs/dbraw/zinc/05/90/87/763059087.db2.gz SGHNUWMVPFIQBA-BHYGNILZSA-N 1 2 324.428 1.874 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2(CCCC)CC2)CC1 ZINC001131378952 768104066 /nfs/dbraw/zinc/10/40/66/768104066.db2.gz NGCQEPADYQASSH-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2(CCCC)CC2)CC1 ZINC001131378952 768104070 /nfs/dbraw/zinc/10/40/70/768104070.db2.gz NGCQEPADYQASSH-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001108922821 763109167 /nfs/dbraw/zinc/10/91/67/763109167.db2.gz DNJKYTZOVMDEDQ-APPDUMDISA-N 1 2 320.437 1.670 20 30 DDEDLO C[C@@H](CN(C)c1ncccc1C#N)NC(=O)CCc1[nH]cc[nH+]1 ZINC001108930964 763136924 /nfs/dbraw/zinc/13/69/24/763136924.db2.gz HWZZXEDPHUTLKE-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)[C@H](C)CC)CC1 ZINC001131393050 768111273 /nfs/dbraw/zinc/11/12/73/768111273.db2.gz KIYPCWBKZQRBSM-CABCVRRESA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H](C)[C@H](C)CC)CC1 ZINC001131393050 768111277 /nfs/dbraw/zinc/11/12/77/768111277.db2.gz KIYPCWBKZQRBSM-CABCVRRESA-N 1 2 309.454 1.505 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCCCC ZINC001109046315 763262111 /nfs/dbraw/zinc/26/21/11/763262111.db2.gz NOKQXBYAXHQRBQ-ILXRZTDVSA-N 1 2 307.438 1.590 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCCCC ZINC001109046315 763262118 /nfs/dbraw/zinc/26/21/18/763262118.db2.gz NOKQXBYAXHQRBQ-ILXRZTDVSA-N 1 2 307.438 1.590 20 30 DDEDLO C=CCOCC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001109313839 763580414 /nfs/dbraw/zinc/58/04/14/763580414.db2.gz UDUHKBBAARRCNE-NOOOWODRSA-N 1 2 324.318 1.811 20 30 DDEDLO C=CCOCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(F)C(F)(F)F)C2 ZINC001109313839 763580424 /nfs/dbraw/zinc/58/04/24/763580424.db2.gz UDUHKBBAARRCNE-NOOOWODRSA-N 1 2 324.318 1.811 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3ncnn3C)[C@@H]2C1 ZINC001042188935 763756877 /nfs/dbraw/zinc/75/68/77/763756877.db2.gz XYMUULJQEYSYKL-ZIAGYGMSSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@H+](Cc3ncnn3C)[C@@H]2C1 ZINC001042188935 763756880 /nfs/dbraw/zinc/75/68/80/763756880.db2.gz XYMUULJQEYSYKL-ZIAGYGMSSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001050574578 763769504 /nfs/dbraw/zinc/76/95/04/763769504.db2.gz UZIFTOSACDQLAN-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CCN(C(=O)c3n[nH]cc3F)C[C@H]21 ZINC001042219033 763781582 /nfs/dbraw/zinc/78/15/82/763781582.db2.gz OEFWFYSKNWQCFK-CMPLNLGQSA-N 1 2 312.776 1.838 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CCN(C(=O)c3n[nH]cc3F)C[C@H]21 ZINC001042219033 763781586 /nfs/dbraw/zinc/78/15/86/763781586.db2.gz OEFWFYSKNWQCFK-CMPLNLGQSA-N 1 2 312.776 1.838 20 30 DDEDLO CCc1ncoc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#CCOC ZINC001109696457 763949143 /nfs/dbraw/zinc/94/91/43/763949143.db2.gz BTRHYZZWMRPXRU-SNPRPXQTSA-N 1 2 317.389 1.222 20 30 DDEDLO CCc1ncoc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#CCOC ZINC001109696457 763949152 /nfs/dbraw/zinc/94/91/52/763949152.db2.gz BTRHYZZWMRPXRU-SNPRPXQTSA-N 1 2 317.389 1.222 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cn[nH]c1)C2 ZINC001109819937 764087228 /nfs/dbraw/zinc/08/72/28/764087228.db2.gz CDDPYZNRLBIOLN-RDBSUJKOSA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cn[nH]c1)C2 ZINC001109819937 764087239 /nfs/dbraw/zinc/08/72/39/764087239.db2.gz CDDPYZNRLBIOLN-RDBSUJKOSA-N 1 2 308.813 1.816 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001050892042 764240265 /nfs/dbraw/zinc/24/02/65/764240265.db2.gz NGQXXQQFYUINPB-ZIAGYGMSSA-N 1 2 318.421 1.662 20 30 DDEDLO C[C@@H]1CC[C@@H](C[NH+]2CC(N(C)C(=O)c3cc(C#N)c[nH]3)C2)O1 ZINC001042589463 764241360 /nfs/dbraw/zinc/24/13/60/764241360.db2.gz GQOYRPJOLZXVRW-RISCZKNCSA-N 1 2 302.378 1.210 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@@H]([C@H](C)NC(=O)Cn2cc[nH+]c2)C1 ZINC001050892383 764242103 /nfs/dbraw/zinc/24/21/03/764242103.db2.gz OWXGUYLOLQIKMW-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C[C@H]1CC[C@@H](C[NH+]2CC(N(C)C(=O)c3cc(C#N)c[nH]3)C2)O1 ZINC001042589462 764242091 /nfs/dbraw/zinc/24/20/91/764242091.db2.gz GQOYRPJOLZXVRW-FZMZJTMJSA-N 1 2 302.378 1.210 20 30 DDEDLO CN(C(=O)c1ccc(C#N)[nH]1)C1C[NH+](C[C@H]2CCCCO2)C1 ZINC001042628551 764291338 /nfs/dbraw/zinc/29/13/38/764291338.db2.gz LAGYZIBEAMEZMG-CQSZACIVSA-N 1 2 302.378 1.212 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnn1CCC ZINC001050939124 764308861 /nfs/dbraw/zinc/30/88/61/764308861.db2.gz FGKVHUYCJINXQF-CQSZACIVSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnn1CCC ZINC001050939124 764308870 /nfs/dbraw/zinc/30/88/70/764308870.db2.gz FGKVHUYCJINXQF-CQSZACIVSA-N 1 2 306.410 1.300 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccsc1COC ZINC001050979991 764377842 /nfs/dbraw/zinc/37/78/42/764377842.db2.gz HBSSQOBTKQJISW-ZDUSSCGKSA-N 1 2 324.446 1.901 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccsc1COC ZINC001050979991 764377846 /nfs/dbraw/zinc/37/78/46/764377846.db2.gz HBSSQOBTKQJISW-ZDUSSCGKSA-N 1 2 324.446 1.901 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncc2ccccn21 ZINC001051023940 764427352 /nfs/dbraw/zinc/42/73/52/764427352.db2.gz XAAWFZYSYAHLPO-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1ncc2ccccn21 ZINC001051023940 764427354 /nfs/dbraw/zinc/42/73/54/764427354.db2.gz XAAWFZYSYAHLPO-OAHLLOKOSA-N 1 2 314.389 1.341 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccn(CC)n1 ZINC001051150776 764557548 /nfs/dbraw/zinc/55/75/48/764557548.db2.gz RWJNXNDKFPYCHX-CQSZACIVSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccn(CC)n1 ZINC001051150776 764557551 /nfs/dbraw/zinc/55/75/51/764557551.db2.gz RWJNXNDKFPYCHX-CQSZACIVSA-N 1 2 306.410 1.300 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CCCN2c2ncccn2)C1 ZINC001042971268 764560079 /nfs/dbraw/zinc/56/00/79/764560079.db2.gz JMKXIOPGICWTRP-OAHLLOKOSA-N 1 2 315.421 1.164 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[NH+](CCc2ccns2)CC1 ZINC001112671507 764566864 /nfs/dbraw/zinc/56/68/64/764566864.db2.gz KCPHPLAWBKDOCI-AWEZNQCLSA-N 1 2 323.462 1.811 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](CCOCC2CCC2)CC1 ZINC001112671772 764566969 /nfs/dbraw/zinc/56/69/69/764566969.db2.gz QTYHOCYSVCGISN-MRXNPFEDSA-N 1 2 324.465 1.929 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1cccc(OC)n1 ZINC001051189645 764598714 /nfs/dbraw/zinc/59/87/14/764598714.db2.gz MYYGMGCZOBFZKF-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1cccc(OC)n1 ZINC001051189645 764598717 /nfs/dbraw/zinc/59/87/17/764598717.db2.gz MYYGMGCZOBFZKF-CQSZACIVSA-N 1 2 319.405 1.487 20 30 DDEDLO C=CCOCC(=O)N1CC[NH+](Cc2ccc(F)cc2C)CC1 ZINC001112708362 764628193 /nfs/dbraw/zinc/62/81/93/764628193.db2.gz CBADPVCPLGKNRM-UHFFFAOYSA-N 1 2 306.381 1.981 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+](C[C@@H](O)CC(F)(F)F)CC1 ZINC001112774326 764741611 /nfs/dbraw/zinc/74/16/11/764741611.db2.gz RHRIVJKLSLXHKW-ZDUSSCGKSA-N 1 2 320.355 1.638 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]([C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001051325356 764747458 /nfs/dbraw/zinc/74/74/58/764747458.db2.gz FYAJNWNKMRXFOU-OLZOCXBDSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[C@H]1CN(C)c1cc[nH+]c(C)n1 ZINC001112800588 764788330 /nfs/dbraw/zinc/78/83/30/764788330.db2.gz RCVYSDNBLHPROX-HIFRSBDPSA-N 1 2 316.405 1.251 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1C[C@@H](C)[C@H](Nc2ccc(C#N)cn2)C1 ZINC001043274375 764803272 /nfs/dbraw/zinc/80/32/72/764803272.db2.gz LCKWQTAQDWYYAS-IAQYHMDHSA-N 1 2 324.388 1.486 20 30 DDEDLO CCn1cc(CC[NH+]2CCN(C(=O)C#CC(C)(C)C)CC2)cn1 ZINC001112836728 764845318 /nfs/dbraw/zinc/84/53/18/764845318.db2.gz IAGUHVYRBBNVNK-UHFFFAOYSA-N 1 2 316.449 1.639 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC1CC(CNc2cc[nH+]c(C)n2)C1 ZINC001112853371 764879219 /nfs/dbraw/zinc/87/92/19/764879219.db2.gz VRZHGZAWHDMSCZ-XGNXJENSSA-N 1 2 302.378 1.130 20 30 DDEDLO C#CCC1(C(=O)N2CC[NH+](CCOCC=C)CC2)CCOCC1 ZINC001112950405 765019471 /nfs/dbraw/zinc/01/94/71/765019471.db2.gz YUAWMBFPYSPHSY-UHFFFAOYSA-N 1 2 320.433 1.153 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C2(C)CCCC2)[C@@H](n2ccnn2)C1 ZINC001069990038 768265856 /nfs/dbraw/zinc/26/58/56/768265856.db2.gz CQDDFNCGSNXKDC-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C2(C)CCCC2)[C@@H](n2ccnn2)C1 ZINC001069990038 768265860 /nfs/dbraw/zinc/26/58/60/768265860.db2.gz CQDDFNCGSNXKDC-CABCVRRESA-N 1 2 315.421 1.223 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)C2C[NH+](CCCN3CCCC3=O)C2)C1 ZINC001043797256 765103790 /nfs/dbraw/zinc/10/37/90/765103790.db2.gz WRAVMLQETRIUAW-UHFFFAOYSA-N 1 2 319.449 1.498 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1CCO[C@H](C[NH2+]Cc2nc(C)no2)C1 ZINC001051752993 765125428 /nfs/dbraw/zinc/12/54/28/765125428.db2.gz UUXLQKOYIYLMND-CYBMUJFWSA-N 1 2 322.409 1.297 20 30 DDEDLO Cc1cc(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)c(C)o1 ZINC001051981489 765345235 /nfs/dbraw/zinc/34/52/35/765345235.db2.gz QVVCOMQKPOCGIV-OAHLLOKOSA-N 1 2 316.405 1.252 20 30 DDEDLO Cc1nc(N[C@H](C)[C@@H](C)NC(=O)Cc2[nH]cc[nH+]2)ccc1C#N ZINC001113155927 765346467 /nfs/dbraw/zinc/34/64/67/765346467.db2.gz SZLWUFHKGRAFPL-GHMZBOCLSA-N 1 2 312.377 1.533 20 30 DDEDLO CC#CCN1CC[NH+]([C@H]2CCN(C(=O)C(F)=C(C)C)C2)CC1 ZINC001052011356 765379852 /nfs/dbraw/zinc/37/98/52/765379852.db2.gz RCTGBGUCABKWRM-HNNXBMFYSA-N 1 2 307.413 1.492 20 30 DDEDLO C#CCCN1CC[NH+]([C@@H]2CCN(C(=O)[C@H]3CC3(C)C)C2)CC1 ZINC001052013223 765382805 /nfs/dbraw/zinc/38/28/05/765382805.db2.gz TWJBTKJBJCQEMZ-HZPDHXFCSA-N 1 2 303.450 1.274 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCCNC(=O)C(C)(C)C)CC1 ZINC001113179666 765385173 /nfs/dbraw/zinc/38/51/73/765385173.db2.gz FRQVLFJUCJRJFF-UHFFFAOYSA-N 1 2 307.438 1.096 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3CC34CC4)C2)CC1 ZINC001052091741 765462775 /nfs/dbraw/zinc/46/27/75/765462775.db2.gz KYKBUNRXBNFEMK-JKSUJKDBSA-N 1 2 301.434 1.028 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)Cc3ccco3)C2)CC1 ZINC001052099890 765470157 /nfs/dbraw/zinc/47/01/57/765470157.db2.gz UFBXLSGQWOZLJK-MRXNPFEDSA-N 1 2 315.417 1.064 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)[C@@]3(C)CCOC3)C2)CC1 ZINC001052103310 765474358 /nfs/dbraw/zinc/47/43/58/765474358.db2.gz PBRDIYGLLNYNLC-AEFFLSMTSA-N 1 2 321.465 1.208 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@H]2CCN(C(=O)c3c[nH]cc3C)C2)CC1 ZINC001052117721 765481758 /nfs/dbraw/zinc/48/17/58/765481758.db2.gz YBRWMUOKCBYZEZ-INIZCTEOSA-N 1 2 316.449 1.731 20 30 DDEDLO C=CCCC[NH+]1CCN(C(=O)[C@@H]2CN(C(C)C)CCO2)CC1 ZINC001113254680 765491006 /nfs/dbraw/zinc/49/10/06/765491006.db2.gz KAPRGVRJLBVXEH-INIZCTEOSA-N 1 2 309.454 1.206 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(F)c(NC(C)=O)c2)C1 ZINC001044357168 765505263 /nfs/dbraw/zinc/50/52/63/765505263.db2.gz GZRKJLPYUHTSJU-UHFFFAOYSA-N 1 2 317.364 1.564 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001096169406 768317858 /nfs/dbraw/zinc/31/78/58/768317858.db2.gz YDIOFXAQVCGPCG-GFCCVEGCSA-N 1 2 305.407 1.127 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)N(C)c2cc[nH+]c(C)n2)cn1 ZINC001113663757 766000216 /nfs/dbraw/zinc/00/02/16/766000216.db2.gz OWOJHGUFPQMSGG-GFCCVEGCSA-N 1 2 309.373 1.416 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+](CCOCCO)CC2)CCCC1 ZINC001113744812 766085545 /nfs/dbraw/zinc/08/55/45/766085545.db2.gz OHKNRGTZTGAISD-UHFFFAOYSA-N 1 2 310.438 1.276 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nc4c(o3)CCCC4)C[C@H]21 ZINC001114067322 766592228 /nfs/dbraw/zinc/59/22/28/766592228.db2.gz QJZPLATWYAZFCQ-PVTKRXDGSA-N 1 2 314.389 1.259 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nc4c(o3)CCCC4)C[C@H]21 ZINC001114067322 766592234 /nfs/dbraw/zinc/59/22/34/766592234.db2.gz QJZPLATWYAZFCQ-PVTKRXDGSA-N 1 2 314.389 1.259 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3cc(C(C)(C)C)on3)C[C@H]21 ZINC001114067166 766592526 /nfs/dbraw/zinc/59/25/26/766592526.db2.gz OCENGTVXURDAQZ-PBOSXPJTSA-N 1 2 316.405 1.678 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3cc(C(C)(C)C)on3)C[C@H]21 ZINC001114067166 766592532 /nfs/dbraw/zinc/59/25/32/766592532.db2.gz OCENGTVXURDAQZ-PBOSXPJTSA-N 1 2 316.405 1.678 20 30 DDEDLO N#Cc1ccc(N(CCCNC(=O)Cc2[nH]cc[nH+]2)C2CC2)cn1 ZINC001096049085 766629434 /nfs/dbraw/zinc/62/94/34/766629434.db2.gz RTRQOEIWUUAAHU-UHFFFAOYSA-N 1 2 324.388 1.394 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001114159951 766711787 /nfs/dbraw/zinc/71/17/87/766711787.db2.gz OJHSZCCZMZKDRM-HWWDLCQESA-N 1 2 314.433 1.643 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001114159951 766711789 /nfs/dbraw/zinc/71/17/89/766711789.db2.gz OJHSZCCZMZKDRM-HWWDLCQESA-N 1 2 314.433 1.643 20 30 DDEDLO N#Cc1ccc(NCC2CC(NC(=O)Cc3c[nH]c[nH+]3)C2)nc1 ZINC001067890313 766786332 /nfs/dbraw/zinc/78/63/32/766786332.db2.gz YILYOPWGPOHDJG-UHFFFAOYSA-N 1 2 310.361 1.226 20 30 DDEDLO CCC1(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)CCC1 ZINC001046057106 766868084 /nfs/dbraw/zinc/86/80/84/766868084.db2.gz ZZTHDRHVLJHQNC-OAHLLOKOSA-N 1 2 304.438 1.309 20 30 DDEDLO C=Cc1ccc(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)cc1 ZINC001046063601 766877950 /nfs/dbraw/zinc/87/79/50/766877950.db2.gz SEOVNPSMSARWCW-GOSISDBHSA-N 1 2 324.428 1.685 20 30 DDEDLO C=CCn1c(N2CCC(=C)CC2)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121607097 782589785 /nfs/dbraw/zinc/58/97/85/782589785.db2.gz ISVJCLHDOGFFER-PBHICJAKSA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1c(N2CCC(=C)CC2)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121607097 782589789 /nfs/dbraw/zinc/58/97/89/782589789.db2.gz ISVJCLHDOGFFER-PBHICJAKSA-N 1 2 317.437 1.532 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)CCC1CC1 ZINC001121607616 782589991 /nfs/dbraw/zinc/58/99/91/782589991.db2.gz ANUNPZMYQCKOAZ-KBPBESRZSA-N 1 2 305.426 1.438 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)CCC1CC1 ZINC001121607616 782589996 /nfs/dbraw/zinc/58/99/96/782589996.db2.gz ANUNPZMYQCKOAZ-KBPBESRZSA-N 1 2 305.426 1.438 20 30 DDEDLO C[C@@]1(NC(=O)c2cnccn2)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046111422 766943665 /nfs/dbraw/zinc/94/36/65/766943665.db2.gz OUMARBOMTGYWOR-GOSISDBHSA-N 1 2 321.384 1.743 20 30 DDEDLO C[C@@]1(NC(=O)c2cnccn2)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046111422 766943671 /nfs/dbraw/zinc/94/36/71/766943671.db2.gz OUMARBOMTGYWOR-GOSISDBHSA-N 1 2 321.384 1.743 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cccc3n[nH]nc32)C1 ZINC001046342574 767443691 /nfs/dbraw/zinc/44/36/91/767443691.db2.gz SJKOGWXJTRCXDX-OAHLLOKOSA-N 1 2 319.796 1.905 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cccc3n[nH]nc32)C1 ZINC001046342574 767443694 /nfs/dbraw/zinc/44/36/94/767443694.db2.gz SJKOGWXJTRCXDX-OAHLLOKOSA-N 1 2 319.796 1.905 20 30 DDEDLO C=CCOc1ccccc1C(=O)NCC[NH2+]Cc1cnon1 ZINC001130374236 767473279 /nfs/dbraw/zinc/47/32/79/767473279.db2.gz MDKBBJQWNYGUSS-UHFFFAOYSA-N 1 2 302.334 1.154 20 30 DDEDLO CN(c1ncccc1C#N)C1CCN(C(=O)Cn2cc[nH+]c2)CC1 ZINC001068683338 767519551 /nfs/dbraw/zinc/51/95/51/767519551.db2.gz NCNRMJURCSDRKY-UHFFFAOYSA-N 1 2 324.388 1.277 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001046428906 767551789 /nfs/dbraw/zinc/55/17/89/767551789.db2.gz OLWDHUNBIQRLCR-BBRMVZONSA-N 1 2 322.840 1.779 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001046428906 767551793 /nfs/dbraw/zinc/55/17/93/767551793.db2.gz OLWDHUNBIQRLCR-BBRMVZONSA-N 1 2 322.840 1.779 20 30 DDEDLO C[C@@H]1[C@@H](Nc2ccc(C#N)nc2)CCN1C(=O)CCn1cc[nH+]c1 ZINC001068929203 767705646 /nfs/dbraw/zinc/70/56/46/767705646.db2.gz ZEPMEEYRZCNTHD-CJNGLKHVSA-N 1 2 324.388 1.641 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sc(N(C)C)nc1C)C2 ZINC001096111527 767710956 /nfs/dbraw/zinc/71/09/56/767710956.db2.gz IXRCCAZIUJUCHM-AGIUHOORSA-N 1 2 318.446 1.486 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sc(N(C)C)nc1C)C2 ZINC001096111527 767710957 /nfs/dbraw/zinc/71/09/57/767710957.db2.gz IXRCCAZIUJUCHM-AGIUHOORSA-N 1 2 318.446 1.486 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001046625983 767750450 /nfs/dbraw/zinc/75/04/50/767750450.db2.gz MTLUZOOMWFEOIM-AWEZNQCLSA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001046625983 767750455 /nfs/dbraw/zinc/75/04/55/767750455.db2.gz MTLUZOOMWFEOIM-AWEZNQCLSA-N 1 2 310.785 1.060 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cncc(OC)n2)C1 ZINC001046680833 767798485 /nfs/dbraw/zinc/79/84/85/767798485.db2.gz JTCBBEQQSQQGFV-AWEZNQCLSA-N 1 2 310.785 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cncc(OC)n2)C1 ZINC001046680833 767798493 /nfs/dbraw/zinc/79/84/93/767798493.db2.gz JTCBBEQQSQQGFV-AWEZNQCLSA-N 1 2 310.785 1.432 20 30 DDEDLO CN(C(=O)C1CCC1)[C@H]1C[N@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047281363 768369436 /nfs/dbraw/zinc/36/94/36/768369436.db2.gz TZPIFIGXGLXWPE-GJZGRUSLSA-N 1 2 319.430 1.423 20 30 DDEDLO CN(C(=O)C1CCC1)[C@H]1C[N@@H+](Cc2ccc(C#N)s2)C[C@@H]1O ZINC001047281363 768369443 /nfs/dbraw/zinc/36/94/43/768369443.db2.gz TZPIFIGXGLXWPE-GJZGRUSLSA-N 1 2 319.430 1.423 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@@H](NC(=O)c2ccsc2)CC[C@@H]1C ZINC001131798163 768376179 /nfs/dbraw/zinc/37/61/79/768376179.db2.gz ROZRDCJPCMKNLL-JSGCOSHPSA-N 1 2 321.446 1.633 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)c2ccsc2)CC[C@@H]1C ZINC001131798163 768376185 /nfs/dbraw/zinc/37/61/85/768376185.db2.gz ROZRDCJPCMKNLL-JSGCOSHPSA-N 1 2 321.446 1.633 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+][C@@H](C)c1nc(C)no1 ZINC001131869444 768430172 /nfs/dbraw/zinc/43/01/72/768430172.db2.gz DAQHCWIMVVHFJB-XHDPSFHLSA-N 1 2 310.398 1.374 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+]Cc1nc(C(C)C)no1 ZINC001131867313 768444330 /nfs/dbraw/zinc/44/43/30/768444330.db2.gz ODVSUIYOGSDQPE-MRXNPFEDSA-N 1 2 324.425 1.628 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132003634 768555578 /nfs/dbraw/zinc/55/55/78/768555578.db2.gz YAVIGIJPMRIXOG-ZIAGYGMSSA-N 1 2 303.410 1.088 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132003634 768555582 /nfs/dbraw/zinc/55/55/82/768555582.db2.gz YAVIGIJPMRIXOG-ZIAGYGMSSA-N 1 2 303.410 1.088 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2snnc2C(C)C)C1 ZINC001047573419 768582578 /nfs/dbraw/zinc/58/25/78/768582578.db2.gz LNYRFLVVVSTWFC-RYUDHWBXSA-N 1 2 324.450 1.355 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2snnc2C(C)C)C1 ZINC001047573419 768582580 /nfs/dbraw/zinc/58/25/80/768582580.db2.gz LNYRFLVVVSTWFC-RYUDHWBXSA-N 1 2 324.450 1.355 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001070667967 768655838 /nfs/dbraw/zinc/65/58/38/768655838.db2.gz XLZKBLTZWWTFOO-OAHLLOKOSA-N 1 2 316.405 1.253 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001070667967 768655844 /nfs/dbraw/zinc/65/58/44/768655844.db2.gz XLZKBLTZWWTFOO-OAHLLOKOSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132218713 768690109 /nfs/dbraw/zinc/69/01/09/768690109.db2.gz UYOYRFZNGSEWBX-KBPBESRZSA-N 1 2 319.453 1.887 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132218713 768690111 /nfs/dbraw/zinc/69/01/11/768690111.db2.gz UYOYRFZNGSEWBX-KBPBESRZSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2CCCCCC2)CC1 ZINC001070944936 768801809 /nfs/dbraw/zinc/80/18/09/768801809.db2.gz PYFFHMYJWYCJCL-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2CCCCCC2)CC1 ZINC001070944936 768801814 /nfs/dbraw/zinc/80/18/14/768801814.db2.gz PYFFHMYJWYCJCL-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]cn2C)C1 ZINC001071016645 768881486 /nfs/dbraw/zinc/88/14/86/768881486.db2.gz QUWZOXUAXBDSQK-CYBMUJFWSA-N 1 2 318.421 1.282 20 30 DDEDLO CC#CCCCC(=O)NCC[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001132596668 769017217 /nfs/dbraw/zinc/01/72/17/769017217.db2.gz IVBHPPGFHKLKLX-UHFFFAOYSA-N 1 2 306.410 1.767 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH+]1CCN(c2ncc(F)cn2)CC1 ZINC001096293219 769056660 /nfs/dbraw/zinc/05/66/60/769056660.db2.gz AGOANOAUGHEBRG-UHFFFAOYSA-N 1 2 321.400 1.066 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001096360532 769380221 /nfs/dbraw/zinc/38/02/21/769380221.db2.gz OEYFQPWTXBFRBF-PBHICJAKSA-N 1 2 318.421 1.424 20 30 DDEDLO C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3c(s1)CCOC3)C2 ZINC001096372317 769468378 /nfs/dbraw/zinc/46/83/78/769468378.db2.gz MGUZWWHQMDQSHQ-RDBSUJKOSA-N 1 2 316.426 1.789 20 30 DDEDLO C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3c(s1)CCOC3)C2 ZINC001096372317 769468380 /nfs/dbraw/zinc/46/83/80/769468380.db2.gz MGUZWWHQMDQSHQ-RDBSUJKOSA-N 1 2 316.426 1.789 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2cnon2)C[N@@H+]1CC#Cc1ccccc1 ZINC001071914318 770277414 /nfs/dbraw/zinc/27/74/14/770277414.db2.gz NRMDQGVUSGREBW-ZBFHGGJFSA-N 1 2 324.384 1.704 20 30 DDEDLO C[C@@H]1CC[C@H](NC(=O)c2cnon2)C[N@H+]1CC#Cc1ccccc1 ZINC001071914318 770277418 /nfs/dbraw/zinc/27/74/18/770277418.db2.gz NRMDQGVUSGREBW-ZBFHGGJFSA-N 1 2 324.384 1.704 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2snnc2C(C)C)CC[C@H]1C ZINC001071919823 770288602 /nfs/dbraw/zinc/28/86/02/770288602.db2.gz IKVYKACBZDWMIG-NEPJUHHUSA-N 1 2 306.435 1.877 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2snnc2C(C)C)CC[C@H]1C ZINC001071919823 770288604 /nfs/dbraw/zinc/28/86/04/770288604.db2.gz IKVYKACBZDWMIG-NEPJUHHUSA-N 1 2 306.435 1.877 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NCC[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001134062095 770609309 /nfs/dbraw/zinc/60/93/09/770609309.db2.gz RCQSGTHAPZDENJ-NEPJUHHUSA-N 1 2 322.409 1.164 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccc2[nH]cnc21 ZINC001049807322 771176045 /nfs/dbraw/zinc/17/60/45/771176045.db2.gz LQSJHABOFXZPSM-HZPDHXFCSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccc2[nH]cnc21 ZINC001049807322 771176047 /nfs/dbraw/zinc/17/60/47/771176047.db2.gz LQSJHABOFXZPSM-HZPDHXFCSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccc2[nH]cnc21 ZINC001049807323 771176068 /nfs/dbraw/zinc/17/60/68/771176068.db2.gz LQSJHABOFXZPSM-JKSUJKDBSA-N 1 2 308.385 1.875 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccc2[nH]cnc21 ZINC001049807323 771176070 /nfs/dbraw/zinc/17/60/70/771176070.db2.gz LQSJHABOFXZPSM-JKSUJKDBSA-N 1 2 308.385 1.875 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC001096637624 771362942 /nfs/dbraw/zinc/36/29/42/771362942.db2.gz UNMZJJHESBKCGG-CZUORRHYSA-N 1 2 304.394 1.419 20 30 DDEDLO C#Cc1cncc(C(=O)NC[C@@H](Nc2cc[nH+]c(C)n2)C2CC2)c1 ZINC001096812943 771463057 /nfs/dbraw/zinc/46/30/57/771463057.db2.gz YTRZUVVXOWYCFS-MRXNPFEDSA-N 1 2 321.384 1.782 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccn(C)c2C)[C@H](O)C1 ZINC001090555585 771987501 /nfs/dbraw/zinc/98/75/01/771987501.db2.gz MIXXNXMMQYSDKM-ZIAGYGMSSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccn(C)c2C)[C@H](O)C1 ZINC001090555585 771987506 /nfs/dbraw/zinc/98/75/06/771987506.db2.gz MIXXNXMMQYSDKM-ZIAGYGMSSA-N 1 2 311.813 1.251 20 30 DDEDLO C#CCCCC(=O)N1CCCO[C@H](CNc2cc[nH+]c(C)n2)C1 ZINC001090572246 771999207 /nfs/dbraw/zinc/99/92/07/771999207.db2.gz FGPIZVYVGQOJTC-OAHLLOKOSA-N 1 2 316.405 1.618 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2sc3ncccc3c2N)CC1 ZINC001136863050 772178110 /nfs/dbraw/zinc/17/81/10/772178110.db2.gz MLGZFSJRRXGODD-UHFFFAOYSA-N 1 2 314.414 1.660 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2sc3ncccc3c2N)CC1 ZINC001136863050 772178113 /nfs/dbraw/zinc/17/81/13/772178113.db2.gz MLGZFSJRRXGODD-UHFFFAOYSA-N 1 2 314.414 1.660 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)N3CCCC3)C2)nc1 ZINC001144166777 772435198 /nfs/dbraw/zinc/43/51/98/772435198.db2.gz VUGRBJUAHWXHAU-MRXNPFEDSA-N 1 2 313.405 1.723 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)N3CCCC3)C2)nc1 ZINC001144166777 772435202 /nfs/dbraw/zinc/43/52/02/772435202.db2.gz VUGRBJUAHWXHAU-MRXNPFEDSA-N 1 2 313.405 1.723 20 30 DDEDLO C=C(C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1)C(F)(F)F ZINC001144202936 772443506 /nfs/dbraw/zinc/44/35/06/772443506.db2.gz MWOLNBJKRUZKBJ-UHFFFAOYSA-N 1 2 318.299 1.272 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NCCN(C)c1[nH+]cnc2c1cnn2C ZINC001100267822 772648096 /nfs/dbraw/zinc/64/80/96/772648096.db2.gz XGJKTKZCAKWCHO-NWDGAFQWSA-N 1 2 316.409 1.374 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nnnn1C)C2 ZINC001148199840 773377572 /nfs/dbraw/zinc/37/75/72/773377572.db2.gz RNRYNQMSXZQPRB-CYBMUJFWSA-N 1 2 318.425 1.162 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nnnn1C)C2 ZINC001148199840 773377582 /nfs/dbraw/zinc/37/75/82/773377582.db2.gz RNRYNQMSXZQPRB-CYBMUJFWSA-N 1 2 318.425 1.162 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001073996557 773577184 /nfs/dbraw/zinc/57/71/84/773577184.db2.gz LEQOTLRDRCCGMW-HUUCEWRRSA-N 1 2 318.421 1.593 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001074103683 773633463 /nfs/dbraw/zinc/63/34/63/773633463.db2.gz HCRMSQVLOBISTD-ZIAGYGMSSA-N 1 2 318.421 1.449 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccco3)C[C@@H]21 ZINC001074147946 773673560 /nfs/dbraw/zinc/67/35/60/773673560.db2.gz NCSDEVHZQRZSMO-DZEZYYDTSA-N 1 2 302.374 1.781 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H]2CCN(C(=O)/C=C/c3ccco3)C[C@@H]21 ZINC001074147946 773673565 /nfs/dbraw/zinc/67/35/65/773673565.db2.gz NCSDEVHZQRZSMO-DZEZYYDTSA-N 1 2 302.374 1.781 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001074168015 773690999 /nfs/dbraw/zinc/69/09/99/773690999.db2.gz HPZPOZJGKJQOBV-KGLIPLIRSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001074168915 773692010 /nfs/dbraw/zinc/69/20/10/773692010.db2.gz RGBHRBFLTUXYOJ-UKRRQHHQSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3coc(CC)n3)C[C@H]21 ZINC001074219483 773742054 /nfs/dbraw/zinc/74/20/54/773742054.db2.gz QGOZAGBVKFDERP-CABCVRRESA-N 1 2 319.405 1.728 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3coc(CC)n3)C[C@H]21 ZINC001074219483 773742058 /nfs/dbraw/zinc/74/20/58/773742058.db2.gz QGOZAGBVKFDERP-CABCVRRESA-N 1 2 319.405 1.728 20 30 DDEDLO C[C@@H]1CC2(CN1C(=O)Cn1cc[nH+]c1)CCN(CC#N)CC2 ZINC001086959378 773746132 /nfs/dbraw/zinc/74/61/32/773746132.db2.gz BNEPJGQNZDCQPI-CQSZACIVSA-N 1 2 301.394 1.110 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccoc3CC)C[C@H]21 ZINC001074229082 773753653 /nfs/dbraw/zinc/75/36/53/773753653.db2.gz JCVYSWCFISUIOA-WBVHZDCISA-N 1 2 316.401 1.781 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccoc3CC)C[C@H]21 ZINC001074229082 773753657 /nfs/dbraw/zinc/75/36/57/773753657.db2.gz JCVYSWCFISUIOA-WBVHZDCISA-N 1 2 316.401 1.781 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3CC)C[C@@H]21 ZINC001074233415 773756512 /nfs/dbraw/zinc/75/65/12/773756512.db2.gz PLHAJHYOJSQUSK-DLBZAZTESA-N 1 2 315.417 1.516 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc[nH]c3CC)C[C@@H]21 ZINC001074233415 773756515 /nfs/dbraw/zinc/75/65/15/773756515.db2.gz PLHAJHYOJSQUSK-DLBZAZTESA-N 1 2 315.417 1.516 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CF)CCC3)C[C@H]21 ZINC001074342747 773839396 /nfs/dbraw/zinc/83/93/96/773839396.db2.gz AQGRGBYJDLEUJA-HUUCEWRRSA-N 1 2 308.397 1.451 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CF)CCC3)C[C@H]21 ZINC001074342747 773839404 /nfs/dbraw/zinc/83/94/04/773839404.db2.gz AQGRGBYJDLEUJA-HUUCEWRRSA-N 1 2 308.397 1.451 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C[C@H]1CCCO1)c1nccn12 ZINC001092351574 774069475 /nfs/dbraw/zinc/06/94/75/774069475.db2.gz CLYUOJFUMDFLBF-ZIAGYGMSSA-N 1 2 316.405 1.210 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(F)CCCC1)c1nccn12 ZINC001092378088 774093993 /nfs/dbraw/zinc/09/39/93/774093993.db2.gz ITNOYRAMNRORNE-CYBMUJFWSA-N 1 2 318.396 1.923 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C)CCC1)c1nccn12 ZINC001092386280 774095445 /nfs/dbraw/zinc/09/54/45/774095445.db2.gz HZOUDTMUAFYWRJ-CYBMUJFWSA-N 1 2 300.406 1.831 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C[C@H]1C ZINC001075045454 774319336 /nfs/dbraw/zinc/31/93/36/774319336.db2.gz JATDABNDUIYMLU-ZIAGYGMSSA-N 1 2 304.394 1.345 20 30 DDEDLO C[C@H](CNC(=O)C[C@@H](C)n1cc[nH+]c1)Nc1nccnc1C#N ZINC001098303345 774336087 /nfs/dbraw/zinc/33/60/87/774336087.db2.gz RBSZDLYROWIJPR-VXGBXAGGSA-N 1 2 313.365 1.113 20 30 DDEDLO Cc1nc(N2C[C@@H]3CCC[C@]3(NC(=O)C#CC3CC3)C2)cc[nH+]1 ZINC001093084430 774382500 /nfs/dbraw/zinc/38/25/00/774382500.db2.gz GPZPJOIIDPLRDR-YJBOKZPZSA-N 1 2 310.401 1.674 20 30 DDEDLO C=CCCn1cc(C(=O)NC[C@H](C)Nc2cc[nH+]c(C)n2)nn1 ZINC001098319603 774534482 /nfs/dbraw/zinc/53/44/82/774534482.db2.gz HZSWDUXNKZZVSU-NSHDSACASA-N 1 2 315.381 1.183 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1ccccc1=O)C2 ZINC001098363693 774549613 /nfs/dbraw/zinc/54/96/13/774549613.db2.gz GKHNJRGAXBQOBP-MCIONIFRSA-N 1 2 321.808 1.322 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1ccccc1=O)C2 ZINC001098363693 774549607 /nfs/dbraw/zinc/54/96/07/774549607.db2.gz GKHNJRGAXBQOBP-MCIONIFRSA-N 1 2 321.808 1.322 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)CNC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)n1 ZINC001098387738 774558038 /nfs/dbraw/zinc/55/80/38/774558038.db2.gz JTJQVHZTDISETB-MRVWCRGKSA-N 1 2 324.388 1.705 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H](C)CNC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)n1 ZINC001098387738 774558043 /nfs/dbraw/zinc/55/80/43/774558043.db2.gz JTJQVHZTDISETB-MRVWCRGKSA-N 1 2 324.388 1.705 20 30 DDEDLO C#CC[N@H+]1CC[C@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@H]21 ZINC001036818964 774707023 /nfs/dbraw/zinc/70/70/23/774707023.db2.gz LJNQGYOHAHRCQQ-GXFFZTMASA-N 1 2 308.332 1.517 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@H]21 ZINC001036818964 774707027 /nfs/dbraw/zinc/70/70/27/774707027.db2.gz LJNQGYOHAHRCQQ-GXFFZTMASA-N 1 2 308.332 1.517 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@@H]21 ZINC001036819576 774708374 /nfs/dbraw/zinc/70/83/74/774708374.db2.gz VNEXADDLXJPJAV-FZMZJTMJSA-N 1 2 322.359 1.907 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)CC[C@@H]21 ZINC001036819576 774708381 /nfs/dbraw/zinc/70/83/81/774708381.db2.gz VNEXADDLXJPJAV-FZMZJTMJSA-N 1 2 322.359 1.907 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H](O)C(C)C)CC2)C1 ZINC001093532789 774786806 /nfs/dbraw/zinc/78/68/06/774786806.db2.gz IYBWJPGSGODCBJ-MRXNPFEDSA-N 1 2 310.438 1.271 20 30 DDEDLO C#CC[NH2+]Cc1cn(-c2cccc(CNC(=O)CC3CC3)c2)nn1 ZINC001099600610 775059349 /nfs/dbraw/zinc/05/93/49/775059349.db2.gz VICFSVVGWVLIST-UHFFFAOYSA-N 1 2 323.400 1.406 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)COC2CCCC2)[C@@H](O)C1 ZINC001099710446 775168481 /nfs/dbraw/zinc/16/84/81/775168481.db2.gz YBGDAOCRWALDGG-KGLIPLIRSA-N 1 2 316.829 1.250 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)COC2CCCC2)[C@@H](O)C1 ZINC001099710446 775168488 /nfs/dbraw/zinc/16/84/88/775168488.db2.gz YBGDAOCRWALDGG-KGLIPLIRSA-N 1 2 316.829 1.250 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099944899 775456555 /nfs/dbraw/zinc/45/65/55/775456555.db2.gz SFRLMZNAGXVZBN-CHWSQXEVSA-N 1 2 323.462 1.715 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2nc(C)cs2)C[C@H]1O ZINC001099944899 775456561 /nfs/dbraw/zinc/45/65/61/775456561.db2.gz SFRLMZNAGXVZBN-CHWSQXEVSA-N 1 2 323.462 1.715 20 30 DDEDLO Cc1nc(N(C)CCNC(=O)C#CC(C)C)c2c([nH+]1)CCCC2 ZINC001100055008 775625015 /nfs/dbraw/zinc/62/50/15/775625015.db2.gz IVNGBADXLCZORO-UHFFFAOYSA-N 1 2 314.433 1.876 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)c3cc(C)[nH]c3C)nn2)C1 ZINC001094323772 775750717 /nfs/dbraw/zinc/75/07/17/775750717.db2.gz CJEHJYXLLWPTOA-UHFFFAOYSA-N 1 2 314.393 1.196 20 30 DDEDLO Cc1nc([C@@H](C)[NH2+]C2CC(CNC(=O)C#CC(C)C)C2)no1 ZINC001100310065 775975523 /nfs/dbraw/zinc/97/55/23/775975523.db2.gz ALQGYCGEUNBXCO-LMWSTFAQSA-N 1 2 304.394 1.583 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)CC3=CCCCC3)CC2=O)C1 ZINC001094716871 776184395 /nfs/dbraw/zinc/18/43/95/776184395.db2.gz DEAXTEZKMUJOOW-OAHLLOKOSA-N 1 2 317.433 1.464 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)C3=CCCC3)CC2=O)C1 ZINC001094720842 776188734 /nfs/dbraw/zinc/18/87/34/776188734.db2.gz RISMPJFNGVTKHZ-CQSZACIVSA-N 1 2 303.406 1.074 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H](C)CCCCNCC#N)c[nH+]1 ZINC001171476571 776313212 /nfs/dbraw/zinc/31/32/12/776313212.db2.gz WNYKWWHGSUHPPC-CYBMUJFWSA-N 1 2 305.426 1.715 20 30 DDEDLO Cc1ccc(C#N)c(N2CCC[C@@H]2CNC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001100858837 776641520 /nfs/dbraw/zinc/64/15/20/776641520.db2.gz GBGCEVOYYPQZQD-CQSZACIVSA-N 1 2 324.388 1.313 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100974044 776782043 /nfs/dbraw/zinc/78/20/43/776782043.db2.gz NIKGNRJQYKZENJ-CZUORRHYSA-N 1 2 304.394 1.055 20 30 DDEDLO N#Cc1nc(N)c(Nc2ccc(Cn3cc[nH+]c3)cc2)nc1C#N ZINC001212694043 776948288 /nfs/dbraw/zinc/94/82/88/776948288.db2.gz GZLODTGUQGMEJD-UHFFFAOYSA-N 1 2 316.328 1.791 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCN(c1ccncc1C#N)C1CC1 ZINC001101373481 777103964 /nfs/dbraw/zinc/10/39/64/777103964.db2.gz NFPSFDYXDQGERE-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(C2CCC(CC#N)CC2)cn1 ZINC001173331304 777115015 /nfs/dbraw/zinc/11/50/15/777115015.db2.gz CDGQSXOLJSMFCS-ZALBZXLWSA-N 1 2 304.394 1.961 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C\c1ccc[nH]1)c1nccn12 ZINC001101623954 777317417 /nfs/dbraw/zinc/31/74/17/777317417.db2.gz MDSQVCRVQIYOQR-IYKSTZQJSA-N 1 2 323.400 1.683 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC2(C[NH+](CC=C)C2)n2ccnc21 ZINC001101640711 777337715 /nfs/dbraw/zinc/33/77/15/777337715.db2.gz DQXHJSZGSSAREQ-AWEZNQCLSA-N 1 2 300.406 1.997 20 30 DDEDLO N#Cc1ncc(F)cc1Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001174491527 777484573 /nfs/dbraw/zinc/48/45/73/777484573.db2.gz SMCCPDURGLUQDQ-UHFFFAOYSA-N 1 2 316.340 1.365 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@H+](CCOC3CCC3)[C@H]2C1 ZINC001176954863 778345974 /nfs/dbraw/zinc/34/59/74/778345974.db2.gz KCTFHDAVLYLWTK-DLBZAZTESA-N 1 2 322.449 1.823 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]2OCC[N@@H+](CCOC3CCC3)[C@H]2C1 ZINC001176954863 778345985 /nfs/dbraw/zinc/34/59/85/778345985.db2.gz KCTFHDAVLYLWTK-DLBZAZTESA-N 1 2 322.449 1.823 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3=CCCC3)C[C@@H]21 ZINC001176976439 778362376 /nfs/dbraw/zinc/36/23/76/778362376.db2.gz JFWNYXKZPZIFLU-DLBZAZTESA-N 1 2 320.433 1.601 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3=CCCC3)C[C@@H]21 ZINC001176976439 778362381 /nfs/dbraw/zinc/36/23/81/778362381.db2.gz JFWNYXKZPZIFLU-DLBZAZTESA-N 1 2 320.433 1.601 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)(C)C)C[C@@H]21 ZINC001177007065 778386902 /nfs/dbraw/zinc/38/69/02/778386902.db2.gz WPLYQAZASBPROM-HOTGVXAUSA-N 1 2 304.434 1.914 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H]2CCN(C(=O)C#CC(C)(C)C)C[C@@H]21 ZINC001177007065 778386905 /nfs/dbraw/zinc/38/69/05/778386905.db2.gz WPLYQAZASBPROM-HOTGVXAUSA-N 1 2 304.434 1.914 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C/C=C(\C)C=C)C[C@H]21 ZINC001177067517 778426218 /nfs/dbraw/zinc/42/62/18/778426218.db2.gz QWLKZOSYFTZGPN-JRLUYGLASA-N 1 2 302.418 1.834 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C/C=C(\C)C=C)C[C@H]21 ZINC001177067517 778426220 /nfs/dbraw/zinc/42/62/20/778426220.db2.gz QWLKZOSYFTZGPN-JRLUYGLASA-N 1 2 302.418 1.834 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]2OCC[N@@H+](CCCF)[C@H]2C1 ZINC001177161355 778469108 /nfs/dbraw/zinc/46/91/08/778469108.db2.gz CPQJWQVQOLRNPC-GJZGRUSLSA-N 1 2 314.401 1.240 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@@H]2OCC[N@H+](CCCF)[C@H]2C1 ZINC001177161355 778469111 /nfs/dbraw/zinc/46/91/11/778469111.db2.gz CPQJWQVQOLRNPC-GJZGRUSLSA-N 1 2 314.401 1.240 20 30 DDEDLO Cc1nnc(C[NH2+][C@H]2CCN(C(=O)C#CC(C)(C)C)[C@H]2C)o1 ZINC001178671655 779130141 /nfs/dbraw/zinc/13/01/41/779130141.db2.gz KMHPKEWDLGIFMX-AAEUAGOBSA-N 1 2 304.394 1.507 20 30 DDEDLO COCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccc(C#N)cc1F ZINC001110223444 779153904 /nfs/dbraw/zinc/15/39/04/779153904.db2.gz NWIQFCNPCOYLGF-BMFZPTHFSA-N 1 2 317.364 1.565 20 30 DDEDLO COCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(C#N)cc1F ZINC001110223444 779153909 /nfs/dbraw/zinc/15/39/09/779153909.db2.gz NWIQFCNPCOYLGF-BMFZPTHFSA-N 1 2 317.364 1.565 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)C(F)C(F)(F)F)C1 ZINC001111756187 779466442 /nfs/dbraw/zinc/46/64/42/779466442.db2.gz HAYFWWQBBUIIDC-GVXVVHGQSA-N 1 2 306.303 1.881 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)C(F)C(F)(F)F)C1 ZINC001111756187 779466446 /nfs/dbraw/zinc/46/64/46/779466446.db2.gz HAYFWWQBBUIIDC-GVXVVHGQSA-N 1 2 306.303 1.881 20 30 DDEDLO CO[C@@H](C[NH+]1CCN(C(=O)[C@@H](C)C#N)CC1)c1ccccc1 ZINC001179897399 779522995 /nfs/dbraw/zinc/52/29/95/779522995.db2.gz VXNZOZYABQVHBT-HOCLYGCPSA-N 1 2 301.390 1.678 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001115331727 780045384 /nfs/dbraw/zinc/04/53/84/780045384.db2.gz CLJOVICRMMOJLF-TTZDDIAXSA-N 1 2 318.421 1.041 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001115331727 780045392 /nfs/dbraw/zinc/04/53/92/780045392.db2.gz CLJOVICRMMOJLF-TTZDDIAXSA-N 1 2 318.421 1.041 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)[C@H](CNc1cc[nH+]c(C)n1)C1CC1 ZINC001115511909 780202205 /nfs/dbraw/zinc/20/22/05/780202205.db2.gz RKUYFPREFOVHNC-PBHICJAKSA-N 1 2 318.421 1.761 20 30 DDEDLO C[C@@H](CC(=O)NCC1(NCC#N)CCCCC1)n1cc[nH+]c1 ZINC001115752532 780401563 /nfs/dbraw/zinc/40/15/63/780401563.db2.gz HIDQJODYIMKPGW-AWEZNQCLSA-N 1 2 303.410 1.766 20 30 DDEDLO CC(C)NC(=S)N[NH+]=Cc1ccccc1N1CCOCC1 ZINC001115833045 780457280 /nfs/dbraw/zinc/45/72/80/780457280.db2.gz SCYLBMDIQWLSCA-UHFFFAOYSA-N 1 2 306.435 1.730 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc3cc[nH]c3c2)C1 ZINC001119410929 781442362 /nfs/dbraw/zinc/44/23/62/781442362.db2.gz NIFSQEBFTDFQTC-OAHLLOKOSA-N 1 2 324.384 1.320 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=O)Nc2ccc3cc[nH]c3c2)C1 ZINC001119410929 781442366 /nfs/dbraw/zinc/44/23/66/781442366.db2.gz NIFSQEBFTDFQTC-OAHLLOKOSA-N 1 2 324.384 1.320 20 30 DDEDLO CC(C)Cc1nc(C[NH2+][C@H](C)CCNC(=O)C#CC2CC2)no1 ZINC001267126438 837391841 /nfs/dbraw/zinc/39/18/41/837391841.db2.gz NOZSCSLFOVTNLR-CYBMUJFWSA-N 1 2 318.421 1.666 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[N@H+](C)Cc1cnc(Cl)s1 ZINC001267194063 837511738 /nfs/dbraw/zinc/51/17/38/837511738.db2.gz HVTRGLHDDYORIJ-JTQLQIEISA-N 1 2 315.826 1.383 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC[N@@H+](C)Cc1cnc(Cl)s1 ZINC001267194063 837511744 /nfs/dbraw/zinc/51/17/44/837511744.db2.gz HVTRGLHDDYORIJ-JTQLQIEISA-N 1 2 315.826 1.383 20 30 DDEDLO Cc1nc([C@H](C)[NH2+][C@H](CNC(=O)C#CC(C)C)C(C)C)no1 ZINC001271838776 844148238 /nfs/dbraw/zinc/14/82/38/844148238.db2.gz PMFPWHJCBWGNFZ-GXTWGEPZSA-N 1 2 306.410 1.829 20 30 DDEDLO CCC[N@H+](CCNC(=O)C#CC(C)C)[C@H](C)c1nnc(CC)[nH]1 ZINC001266560267 836468937 /nfs/dbraw/zinc/46/89/37/836468937.db2.gz GUJVLKSTHJDLHI-CQSZACIVSA-N 1 2 319.453 1.916 20 30 DDEDLO CCC[N@@H+](CCNC(=O)C#CC(C)C)[C@H](C)c1nnc(CC)[nH]1 ZINC001266560267 836468942 /nfs/dbraw/zinc/46/89/42/836468942.db2.gz GUJVLKSTHJDLHI-CQSZACIVSA-N 1 2 319.453 1.916 20 30 DDEDLO C=CCCCC(=O)N[C@]1(CO)CCC[N@@H+](Cc2ccnn2C)C1 ZINC001279509205 836810037 /nfs/dbraw/zinc/81/00/37/836810037.db2.gz IKIWODRCWNHUDD-QGZVFWFLSA-N 1 2 320.437 1.220 20 30 DDEDLO C=CCCCC(=O)N[C@]1(CO)CCC[N@H+](Cc2ccnn2C)C1 ZINC001279509205 836810047 /nfs/dbraw/zinc/81/00/47/836810047.db2.gz IKIWODRCWNHUDD-QGZVFWFLSA-N 1 2 320.437 1.220 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](N(CCC)C(=O)C#CC2CC2)C1 ZINC001267541702 838274430 /nfs/dbraw/zinc/27/44/30/838274430.db2.gz GRLYEYPAHIQSAB-INIZCTEOSA-N 1 2 317.433 1.015 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](N(CCC)C(=O)C#CC2CC2)C1 ZINC001267541702 838274438 /nfs/dbraw/zinc/27/44/38/838274438.db2.gz GRLYEYPAHIQSAB-INIZCTEOSA-N 1 2 317.433 1.015 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)c1ccc(Cl)cc1 ZINC001267618460 838502728 /nfs/dbraw/zinc/50/27/28/838502728.db2.gz OREPKGVJIFJJTA-UHFFFAOYSA-N 1 2 320.824 1.553 20 30 DDEDLO C#CCC1(C(=O)NC2C[NH+](CCn3cccn3)C2)CCCCC1 ZINC001267672292 838618415 /nfs/dbraw/zinc/61/84/15/838618415.db2.gz UIUFEZZVIMJHQG-UHFFFAOYSA-N 1 2 314.433 1.657 20 30 DDEDLO CCCCCCC[C@H](O)CC(=O)N1C[C@@H]2[C@H](C1)OCC[N@@H+]2C ZINC001272026397 844353376 /nfs/dbraw/zinc/35/33/76/844353376.db2.gz DCPBWKQEWJDSFP-XHSDSOJGSA-N 1 2 312.454 1.639 20 30 DDEDLO CCCCCCC[C@H](O)CC(=O)N1C[C@@H]2[C@H](C1)OCC[N@H+]2C ZINC001272026397 844353385 /nfs/dbraw/zinc/35/33/85/844353385.db2.gz DCPBWKQEWJDSFP-XHSDSOJGSA-N 1 2 312.454 1.639 20 30 DDEDLO C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CC(=O)N1CCC1 ZINC001267710983 838696836 /nfs/dbraw/zinc/69/68/36/838696836.db2.gz GCGLXKVHWPVWLH-HOTGVXAUSA-N 1 2 317.433 1.088 20 30 DDEDLO C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC(=O)N1CCC1 ZINC001267710983 838696839 /nfs/dbraw/zinc/69/68/39/838696839.db2.gz GCGLXKVHWPVWLH-HOTGVXAUSA-N 1 2 317.433 1.088 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CCC[N@@H+](CC(=O)NCCC)C1 ZINC001268224146 839857524 /nfs/dbraw/zinc/85/75/24/839857524.db2.gz GXOLKDBEBMAXRY-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1CCC[N@H+](CC(=O)NCCC)C1 ZINC001268224146 839857528 /nfs/dbraw/zinc/85/75/28/839857528.db2.gz GXOLKDBEBMAXRY-AWEZNQCLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CC23CCCC3)C1 ZINC001268228971 839862591 /nfs/dbraw/zinc/86/25/91/839862591.db2.gz MPLMCYATRWMFSG-GJZGRUSLSA-N 1 2 319.449 1.450 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CC23CCCC3)C1 ZINC001268228971 839862599 /nfs/dbraw/zinc/86/25/99/839862599.db2.gz MPLMCYATRWMFSG-GJZGRUSLSA-N 1 2 319.449 1.450 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)CCN(C)C(=O)[C@H]1CCC(C)=C(C)C1 ZINC001268238858 839875695 /nfs/dbraw/zinc/87/56/95/839875695.db2.gz IJFLFEQFXGROEL-INIZCTEOSA-N 1 2 319.449 1.263 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)CCN(C)C(=O)[C@H]1CCC(C)=C(C)C1 ZINC001268238858 839875704 /nfs/dbraw/zinc/87/57/04/839875704.db2.gz IJFLFEQFXGROEL-INIZCTEOSA-N 1 2 319.449 1.263 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)[C@@](C)(OC)c2ccccc2)C1 ZINC001268474006 840260137 /nfs/dbraw/zinc/26/01/37/840260137.db2.gz GUGKNPQAWOHFKU-SFHVURJKSA-N 1 2 318.417 1.551 20 30 DDEDLO Cc1nnc(C[NH2+]C/C=C\CNC(=O)C#CC(C)(C)C)s1 ZINC001268536694 840411083 /nfs/dbraw/zinc/41/10/83/840411083.db2.gz MLZGFHZAHLVVGM-WAYWQWQTSA-N 1 2 306.435 1.658 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC1C[NH+](Cc2ccnn2C)C1 ZINC001268858535 840908508 /nfs/dbraw/zinc/90/85/08/840908508.db2.gz NSHKRZMQRJSZDK-QGZVFWFLSA-N 1 2 320.437 1.197 20 30 DDEDLO CC#CCCCC(=O)NCC1C[NH+](Cc2ccc(F)cn2)C1 ZINC001268863017 840915978 /nfs/dbraw/zinc/91/59/78/840915978.db2.gz RPGFWHWFAJHNOI-UHFFFAOYSA-N 1 2 303.381 1.962 20 30 DDEDLO C=CCOCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(CC)[nH]n1 ZINC001268926667 841006233 /nfs/dbraw/zinc/00/62/33/841006233.db2.gz MXGGZAXHACANME-KBPBESRZSA-N 1 2 304.394 1.073 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(CC)[nH]n1 ZINC001268926667 841006238 /nfs/dbraw/zinc/00/62/38/841006238.db2.gz MXGGZAXHACANME-KBPBESRZSA-N 1 2 304.394 1.073 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)=C1CCC1 ZINC001268939743 841018104 /nfs/dbraw/zinc/01/81/04/841018104.db2.gz PISMWQRZNDAZEB-BPUTZDHNSA-N 1 2 317.433 1.463 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)=C1CCC1 ZINC001268939743 841018114 /nfs/dbraw/zinc/01/81/14/841018114.db2.gz PISMWQRZNDAZEB-BPUTZDHNSA-N 1 2 317.433 1.463 20 30 DDEDLO C#CC(=O)N1CCC2(C[NH+](Cc3nc4ccccc4[nH]3)C2)CC1 ZINC001268942076 841020062 /nfs/dbraw/zinc/02/00/62/841020062.db2.gz JHPMLVWJBDDDIP-UHFFFAOYSA-N 1 2 308.385 1.621 20 30 DDEDLO Cc1nc([C@H](C)[NH2+]C[C@H](NC(=O)C#CC2CC2)C2CC2)no1 ZINC001269066335 841171653 /nfs/dbraw/zinc/17/16/53/841171653.db2.gz CVXZWFGGTFZDMI-HZMBPMFUSA-N 1 2 302.378 1.337 20 30 DDEDLO CCOCCC(=O)N[C@@H]1CC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001269113501 841220266 /nfs/dbraw/zinc/22/02/66/841220266.db2.gz ZWZBQSQMBJYNHJ-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO CCOCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001269113501 841220276 /nfs/dbraw/zinc/22/02/76/841220276.db2.gz ZWZBQSQMBJYNHJ-OAHLLOKOSA-N 1 2 319.380 1.814 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCCC23CC3)C1 ZINC001269138544 841255702 /nfs/dbraw/zinc/25/57/02/841255702.db2.gz QMRVAWCHKPIVLX-ZIAGYGMSSA-N 1 2 305.422 1.059 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCC23CC3)C1 ZINC001269138544 841255707 /nfs/dbraw/zinc/25/57/07/841255707.db2.gz QMRVAWCHKPIVLX-ZIAGYGMSSA-N 1 2 305.422 1.059 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001269156049 841277383 /nfs/dbraw/zinc/27/73/83/841277383.db2.gz KIFAYMQAITZOHM-MRXNPFEDSA-N 1 2 319.449 1.429 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001269156049 841277391 /nfs/dbraw/zinc/27/73/91/841277391.db2.gz KIFAYMQAITZOHM-MRXNPFEDSA-N 1 2 319.449 1.429 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001269288107 841468437 /nfs/dbraw/zinc/46/84/37/841468437.db2.gz ZUTLYXZQIWSUGZ-PBHICJAKSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001269288107 841468440 /nfs/dbraw/zinc/46/84/40/841468440.db2.gz ZUTLYXZQIWSUGZ-PBHICJAKSA-N 1 2 307.438 1.400 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(Cl)c(F)c1 ZINC001269307954 841501430 /nfs/dbraw/zinc/50/14/30/841501430.db2.gz AEHLKCKNIDPBJO-ZDUSSCGKSA-N 1 2 324.783 1.933 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(Cl)c(F)c1 ZINC001269307954 841501440 /nfs/dbraw/zinc/50/14/40/841501440.db2.gz AEHLKCKNIDPBJO-ZDUSSCGKSA-N 1 2 324.783 1.933 20 30 DDEDLO CCC[C@@H](CC)C(=O)N(CC)[C@@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001269349064 841551070 /nfs/dbraw/zinc/55/10/70/841551070.db2.gz QCCOMNKABXPZQZ-HUUCEWRRSA-N 1 2 322.453 1.375 20 30 DDEDLO CCC[C@@H](CC)C(=O)N(CC)[C@@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001269349064 841551076 /nfs/dbraw/zinc/55/10/76/841551076.db2.gz QCCOMNKABXPZQZ-HUUCEWRRSA-N 1 2 322.453 1.375 20 30 DDEDLO Cc1noc(C[N@H+]2CC[C@]3(CCN(C(=O)C#CC(C)C)C3)C2)n1 ZINC001269733215 841981382 /nfs/dbraw/zinc/98/13/82/841981382.db2.gz XOMUZLGBMDWBSQ-KRWDZBQOSA-N 1 2 316.405 1.462 20 30 DDEDLO Cc1noc(C[N@@H+]2CC[C@]3(CCN(C(=O)C#CC(C)C)C3)C2)n1 ZINC001269733215 841981393 /nfs/dbraw/zinc/98/13/93/841981393.db2.gz XOMUZLGBMDWBSQ-KRWDZBQOSA-N 1 2 316.405 1.462 20 30 DDEDLO C=CCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001270016493 842228728 /nfs/dbraw/zinc/22/87/28/842228728.db2.gz UEBUZLBRZVDQDK-CHWSQXEVSA-N 1 2 322.409 1.824 20 30 DDEDLO CC[C@@H](CC(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C(C)C ZINC001270528023 842643196 /nfs/dbraw/zinc/64/31/96/842643196.db2.gz BHKFHMNGBCYOTD-IRXDYDNUSA-N 1 2 320.481 1.801 20 30 DDEDLO CC(C)C#CC(=O)N[C@]1(C)CC[N@@H+]([C@H](C)c2ncccn2)C1 ZINC001270581976 842690879 /nfs/dbraw/zinc/69/08/79/842690879.db2.gz CQHCUWSJJPIKGU-RHSMWYFYSA-N 1 2 300.406 1.778 20 30 DDEDLO CC(C)C#CC(=O)N[C@]1(C)CC[N@H+]([C@H](C)c2ncccn2)C1 ZINC001270581976 842690883 /nfs/dbraw/zinc/69/08/83/842690883.db2.gz CQHCUWSJJPIKGU-RHSMWYFYSA-N 1 2 300.406 1.778 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)C(C)(C)C(C)C)C1 ZINC001270651207 842775449 /nfs/dbraw/zinc/77/54/49/842775449.db2.gz UAXXWSCYRHPAPJ-OAHLLOKOSA-N 1 2 312.454 1.688 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)C(C)(C)C(C)C)C1 ZINC001270651207 842775454 /nfs/dbraw/zinc/77/54/54/842775454.db2.gz UAXXWSCYRHPAPJ-OAHLLOKOSA-N 1 2 312.454 1.688 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CCC3(C[C@H](O)CO3)CC2)c1F ZINC001143164236 861392789 /nfs/dbraw/zinc/39/27/89/861392789.db2.gz BUDNTAVBRHKYKO-LBPRGKRZSA-N 1 2 308.328 1.952 20 30 DDEDLO C=C(C)C[NH+]1CC(O)(CNC(=O)c2ccc(OC(C)C)cc2)C1 ZINC001271388436 843547585 /nfs/dbraw/zinc/54/75/85/843547585.db2.gz XIZFBSJXICJVLA-UHFFFAOYSA-N 1 2 318.417 1.826 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@H]1CNC(=O)c1cnn(C)c1CC ZINC001326643874 861505553 /nfs/dbraw/zinc/50/55/53/861505553.db2.gz PFBFSWUXZWTPSJ-CQSZACIVSA-N 1 2 320.437 1.379 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@H]1CNC(=O)c1cnn(C)c1CC ZINC001326643874 861505556 /nfs/dbraw/zinc/50/55/56/861505556.db2.gz PFBFSWUXZWTPSJ-CQSZACIVSA-N 1 2 320.437 1.379 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)Cc1ccc(F)c(F)c1 ZINC001326655667 861514861 /nfs/dbraw/zinc/51/48/61/861514861.db2.gz OZHHVOKIWONZKQ-CQSZACIVSA-N 1 2 322.355 1.348 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)Cc1ccc(F)c(F)c1 ZINC001326655667 861514874 /nfs/dbraw/zinc/51/48/74/861514874.db2.gz OZHHVOKIWONZKQ-CQSZACIVSA-N 1 2 322.355 1.348 20 30 DDEDLO Cc1ccc(C[NH+]2CC(CCO)(NC(=O)[C@@H](C)C#N)C2)c(C)c1 ZINC001409714324 845610977 /nfs/dbraw/zinc/61/09/77/845610977.db2.gz WFPQKOLTCJCZSZ-HNNXBMFYSA-N 1 2 315.417 1.516 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)[C@@H](C)c1nnc(C)s1 ZINC001235671073 846447435 /nfs/dbraw/zinc/44/74/35/846447435.db2.gz ZQKHDGWVFYCTFG-NSHDSACASA-N 1 2 312.439 1.548 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)[C@@H](C)c1nnc(C)s1 ZINC001235671073 846447443 /nfs/dbraw/zinc/44/74/43/846447443.db2.gz ZQKHDGWVFYCTFG-NSHDSACASA-N 1 2 312.439 1.548 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1COCC[N@H+]1C[C@H](CC)OC ZINC001272893294 847600492 /nfs/dbraw/zinc/60/04/92/847600492.db2.gz KMTJCEQDWAJCPS-GJZGRUSLSA-N 1 2 312.454 1.831 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H]1COCC[N@@H+]1C[C@H](CC)OC ZINC001272893294 847600500 /nfs/dbraw/zinc/60/05/00/847600500.db2.gz KMTJCEQDWAJCPS-GJZGRUSLSA-N 1 2 312.454 1.831 20 30 DDEDLO C=C(C)CN1C(=O)COCC12C[NH+](Cc1cccc(OC)c1)C2 ZINC001272896201 847605037 /nfs/dbraw/zinc/60/50/37/847605037.db2.gz NGDNHIGISMHAQB-UHFFFAOYSA-N 1 2 316.401 1.685 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1c(N)ncnc1Cl ZINC001272902859 847613914 /nfs/dbraw/zinc/61/39/14/847613914.db2.gz IKHDBKLNJAIHEY-VXGBXAGGSA-N 1 2 321.812 1.464 20 30 DDEDLO C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1c(N)ncnc1Cl ZINC001272902859 847613920 /nfs/dbraw/zinc/61/39/20/847613920.db2.gz IKHDBKLNJAIHEY-VXGBXAGGSA-N 1 2 321.812 1.464 20 30 DDEDLO C#CCN1CC2(C[NH+](Cc3cn(C)c4ccccc34)C2)OCC1=O ZINC001272987398 847748876 /nfs/dbraw/zinc/74/88/76/847748876.db2.gz ARUNWZKJRDBOMY-UHFFFAOYSA-N 1 2 323.396 1.225 20 30 DDEDLO C=CCN1C[C@]2(CC[N@@H+](Cc3nc(C)cs3)C2)OCC1=O ZINC001272989786 847751443 /nfs/dbraw/zinc/75/14/43/847751443.db2.gz AKLWWHAXVCWWHT-OAHLLOKOSA-N 1 2 307.419 1.441 20 30 DDEDLO C=CCN1C[C@]2(CC[N@H+](Cc3nc(C)cs3)C2)OCC1=O ZINC001272989786 847751447 /nfs/dbraw/zinc/75/14/47/847751447.db2.gz AKLWWHAXVCWWHT-OAHLLOKOSA-N 1 2 307.419 1.441 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)COCC[N@@H+](Cc1nc(C)cs1)C2 ZINC001272991459 847752616 /nfs/dbraw/zinc/75/26/16/847752616.db2.gz UEJZKCSQTWEHLO-INIZCTEOSA-N 1 2 319.430 1.136 20 30 DDEDLO C#CCN1C[C@@]2(CC1=O)COCC[N@H+](Cc1nc(C)cs1)C2 ZINC001272991459 847752620 /nfs/dbraw/zinc/75/26/20/847752620.db2.gz UEJZKCSQTWEHLO-INIZCTEOSA-N 1 2 319.430 1.136 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CCCC[N@H+](Cc3ccon3)C2)c[nH]1 ZINC001034171533 848066344 /nfs/dbraw/zinc/06/63/44/848066344.db2.gz SSGATXCOVZJRNC-CYBMUJFWSA-N 1 2 313.361 1.659 20 30 DDEDLO N#Cc1cc(C(=O)N[C@@H]2CCCC[N@@H+](Cc3ccon3)C2)c[nH]1 ZINC001034171533 848066351 /nfs/dbraw/zinc/06/63/51/848066351.db2.gz SSGATXCOVZJRNC-CYBMUJFWSA-N 1 2 313.361 1.659 20 30 DDEDLO C#CC[NH+]1CCN([C@H]2CCN(C(=O)C3(CCC)CC3)C2)CC1 ZINC001327094487 861873817 /nfs/dbraw/zinc/87/38/17/861873817.db2.gz KIVJHRJZRQPHJS-INIZCTEOSA-N 1 2 303.450 1.418 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2ccc(C(=O)OC)o2)C1=O ZINC001273382098 849767280 /nfs/dbraw/zinc/76/72/80/849767280.db2.gz ICODIGOFMGMYTK-KRWDZBQOSA-N 1 2 316.357 1.266 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2ccc(C(=O)OC)o2)C1=O ZINC001273382098 849767284 /nfs/dbraw/zinc/76/72/84/849767284.db2.gz ICODIGOFMGMYTK-KRWDZBQOSA-N 1 2 316.357 1.266 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](OC)C1CCCC1)CO2 ZINC001273725141 851276548 /nfs/dbraw/zinc/27/65/48/851276548.db2.gz UVCFKLWYWGURGA-JKSUJKDBSA-N 1 2 322.449 1.727 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H](C)OCCC(C)C)CO2 ZINC001273729235 851280965 /nfs/dbraw/zinc/28/09/65/851280965.db2.gz MBMUCWRGGXHLIK-HOTGVXAUSA-N 1 2 324.465 1.973 20 30 DDEDLO C=C(C)CN1C(=O)C[C@@]2(CCC[N@H+](Cc3noc(C)n3)C2)C1=O ZINC001273771086 851325030 /nfs/dbraw/zinc/32/50/30/851325030.db2.gz WFEQJSFGLUZOBV-MRXNPFEDSA-N 1 2 318.377 1.295 20 30 DDEDLO C=C(C)CN1C(=O)C[C@@]2(CCC[N@@H+](Cc3noc(C)n3)C2)C1=O ZINC001273771086 851325039 /nfs/dbraw/zinc/32/50/39/851325039.db2.gz WFEQJSFGLUZOBV-MRXNPFEDSA-N 1 2 318.377 1.295 20 30 DDEDLO C[N@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(-c2cccc(C#N)c2)on1 ZINC001273884506 851461301 /nfs/dbraw/zinc/46/13/01/851461301.db2.gz JDOMXAUYJVKMNL-OKILXGFUSA-N 1 2 308.341 1.742 20 30 DDEDLO C[N@@H+]1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(-c2cccc(C#N)c2)on1 ZINC001273884506 851461310 /nfs/dbraw/zinc/46/13/10/851461310.db2.gz JDOMXAUYJVKMNL-OKILXGFUSA-N 1 2 308.341 1.742 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@@H+](C[C@@H](O)C(C)(C)C)C[C@@]2(F)C1=O ZINC001273892364 851470315 /nfs/dbraw/zinc/47/03/15/851470315.db2.gz PHSUZXTWWZYABD-BYCMXARLSA-N 1 2 302.365 1.154 20 30 DDEDLO C=CCN1C[C@@]2(F)C[N@H+](C[C@@H](O)C(C)(C)C)C[C@@]2(F)C1=O ZINC001273892364 851470317 /nfs/dbraw/zinc/47/03/17/851470317.db2.gz PHSUZXTWWZYABD-BYCMXARLSA-N 1 2 302.365 1.154 20 30 DDEDLO CC(C)C#CC(=O)NC/C=C/C[NH2+]Cc1ncnn1C(C)(C)C ZINC001274006808 851839064 /nfs/dbraw/zinc/83/90/64/851839064.db2.gz FBSQLZRMFZAAHE-VOTSOKGWSA-N 1 2 317.437 1.455 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1c(N)ccnc1F ZINC001274117401 851946257 /nfs/dbraw/zinc/94/62/57/851946257.db2.gz LFGMIGKAZIMBKW-QGZVFWFLSA-N 1 2 316.380 1.393 20 30 DDEDLO C#CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1c(N)ccnc1F ZINC001274117401 851946267 /nfs/dbraw/zinc/94/62/67/851946267.db2.gz LFGMIGKAZIMBKW-QGZVFWFLSA-N 1 2 316.380 1.393 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1cccc(C)c1OC)C2 ZINC001274310923 852146884 /nfs/dbraw/zinc/14/68/84/852146884.db2.gz BLAZWTFWVFZNPB-UHFFFAOYSA-N 1 2 316.401 1.603 20 30 DDEDLO C=CCOC(=O)N1CC[C@@H]2[C@H]1CCC(=O)N2Cc1c[nH+]cn1C ZINC001274346933 852186235 /nfs/dbraw/zinc/18/62/35/852186235.db2.gz JCWDEYUOPMBPQA-ZIAGYGMSSA-N 1 2 318.377 1.308 20 30 DDEDLO C#CCN1CC2(CCC1=O)CC[NH+](Cc1nnc(C)s1)CC2 ZINC001274520816 852359198 /nfs/dbraw/zinc/35/91/98/852359198.db2.gz HLUPOXXIXIIFOJ-UHFFFAOYSA-N 1 2 318.446 1.684 20 30 DDEDLO CC(C)Cc1nnc([C@H](C)[NH2+]C[C@H](C)NC(=O)C#CC2CC2)o1 ZINC001275150252 852841637 /nfs/dbraw/zinc/84/16/37/852841637.db2.gz ZJGJDOZBXJDNQD-STQMWFEESA-N 1 2 318.421 1.837 20 30 DDEDLO Cc1nnsc1C[N@@H+](C)C[C@@H](C)NC(=O)C#CC(C)(C)C ZINC001275550966 853320869 /nfs/dbraw/zinc/32/08/69/853320869.db2.gz CWSBPCLCPAABIP-LLVKDONJSA-N 1 2 308.451 1.833 20 30 DDEDLO Cc1nnsc1C[N@H+](C)C[C@@H](C)NC(=O)C#CC(C)(C)C ZINC001275550966 853320876 /nfs/dbraw/zinc/32/08/76/853320876.db2.gz CWSBPCLCPAABIP-LLVKDONJSA-N 1 2 308.451 1.833 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)[C@@H](CC#N)c1ccccc1 ZINC001412082312 854204788 /nfs/dbraw/zinc/20/47/88/854204788.db2.gz RFAWGUCZBWONAC-WBVHZDCISA-N 1 2 315.417 1.863 20 30 DDEDLO Cc1nc(N[C@@H](C)[C@H]2CCN(C(=O)CSCC#N)C2)cc[nH+]1 ZINC001111209436 855183751 /nfs/dbraw/zinc/18/37/51/855183751.db2.gz XJGWZWBUJUTRNQ-AAEUAGOBSA-N 1 2 319.434 1.691 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCC(N)=O)C2 ZINC001111258658 855322255 /nfs/dbraw/zinc/32/22/55/855322255.db2.gz ASUHYGBIBBOHHM-UPJWGTAASA-N 1 2 313.829 1.506 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCC(N)=O)C2 ZINC001111258658 855322260 /nfs/dbraw/zinc/32/22/60/855322260.db2.gz ASUHYGBIBBOHHM-UPJWGTAASA-N 1 2 313.829 1.506 20 30 DDEDLO C=CCCCC(=O)N1C[C@H](NC(=O)CCc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001072629796 857531755 /nfs/dbraw/zinc/53/17/55/857531755.db2.gz MQMWFPWTWJTTTP-KBPBESRZSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@H](CNC(=O)c2ccc(C)nn2)C1 ZINC001073599371 858474192 /nfs/dbraw/zinc/47/41/92/858474192.db2.gz CJWPXZXYPNYMCG-CYBMUJFWSA-N 1 2 324.812 1.358 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@H](CNC(=O)c2ccc(C)nn2)C1 ZINC001073599371 858474194 /nfs/dbraw/zinc/47/41/94/858474194.db2.gz CJWPXZXYPNYMCG-CYBMUJFWSA-N 1 2 324.812 1.358 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2C)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121708983 858579170 /nfs/dbraw/zinc/57/91/70/858579170.db2.gz PKHABSYMPPWDLG-ZENOOKHLSA-N 1 2 305.426 1.364 20 30 DDEDLO C=CCn1c(N2CCC[C@@H]2C)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121708983 858579178 /nfs/dbraw/zinc/57/91/78/858579178.db2.gz PKHABSYMPPWDLG-ZENOOKHLSA-N 1 2 305.426 1.364 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)C2CC(C)C2)CC1 ZINC001381238594 881349925 /nfs/dbraw/zinc/34/99/25/881349925.db2.gz JBLCVVKXOZXUQF-UHFFFAOYSA-N 1 2 300.830 1.728 20 30 DDEDLO C#CCN(C)c1nnc(C[NH+]2CCCCC2)n1C[C@H]1CCCO1 ZINC001122928793 859010126 /nfs/dbraw/zinc/01/01/26/859010126.db2.gz YZPGUVCWQISVPN-OAHLLOKOSA-N 1 2 317.437 1.512 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2CCC[N@@H+]2C)n1C[C@@H]1CCOC1 ZINC001123231358 859137913 /nfs/dbraw/zinc/13/79/13/859137913.db2.gz QYWRMHRTKAKHAB-LSDHHAIUSA-N 1 2 317.437 1.541 20 30 DDEDLO C#CCN(CC)c1nnc([C@H]2CCC[N@H+]2C)n1C[C@@H]1CCOC1 ZINC001123231358 859137915 /nfs/dbraw/zinc/13/79/15/859137915.db2.gz QYWRMHRTKAKHAB-LSDHHAIUSA-N 1 2 317.437 1.541 20 30 DDEDLO C#CC[NH+]1CCN(Cc2c[nH]c3cc(C(=O)OC)ccc23)CC1 ZINC001138267377 860049755 /nfs/dbraw/zinc/04/97/55/860049755.db2.gz JKKSUKVMXHMNFC-UHFFFAOYSA-N 1 2 311.385 1.705 20 30 DDEDLO C[C@H](C#N)N(C)Cc1cccc(OCC[NH+]2CCOCC2)c1 ZINC001139769173 860476364 /nfs/dbraw/zinc/47/63/64/860476364.db2.gz VNBFSXXGOAAKGC-OAHLLOKOSA-N 1 2 303.406 1.741 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H](NC(=O)N3CCCC3)C2)cn1 ZINC001140546838 860654424 /nfs/dbraw/zinc/65/44/24/860654424.db2.gz WOOCLOQILMEIDT-MRXNPFEDSA-N 1 2 313.405 1.723 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H](NC(=O)N3CCCC3)C2)cn1 ZINC001140546838 860654421 /nfs/dbraw/zinc/65/44/21/860654421.db2.gz WOOCLOQILMEIDT-MRXNPFEDSA-N 1 2 313.405 1.723 20 30 DDEDLO N#Cc1c(F)cc(CN2CC[C@H]([NH+]3CCOCC3)C2)cc1F ZINC001140753449 860692670 /nfs/dbraw/zinc/69/26/70/860692670.db2.gz HMRGPKNWETZIEG-ZDUSSCGKSA-N 1 2 307.344 1.743 20 30 DDEDLO CC1(C)CN(Cc2cccc(C#N)c2Cl)CC[N@@H+]1CC(N)=O ZINC001140809637 860702501 /nfs/dbraw/zinc/70/25/01/860702501.db2.gz AARKSUWUSMKKGE-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO CC1(C)CN(Cc2cccc(C#N)c2Cl)CC[N@H+]1CC(N)=O ZINC001140809637 860702505 /nfs/dbraw/zinc/70/25/05/860702505.db2.gz AARKSUWUSMKKGE-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO C[C@@H]1C[NH+](C2CN(Cc3ccc(F)cc3C#N)C2)C[C@@H](C)O1 ZINC001141168342 860800856 /nfs/dbraw/zinc/80/08/56/860800856.db2.gz KNQRGBRFXBKRAY-CHWSQXEVSA-N 1 2 303.381 1.991 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@H+]1CCOC[C@H]1C)c1ccccc1 ZINC001325964290 860994963 /nfs/dbraw/zinc/99/49/63/860994963.db2.gz KBSIJDARQYILTO-ZBFHGGJFSA-N 1 2 301.390 1.381 20 30 DDEDLO C#C[C@H](NC(=O)NCC[N@@H+]1CCOC[C@H]1C)c1ccccc1 ZINC001325964290 860994969 /nfs/dbraw/zinc/99/49/69/860994969.db2.gz KBSIJDARQYILTO-ZBFHGGJFSA-N 1 2 301.390 1.381 20 30 DDEDLO C=C[C@H](CC(=O)NCC[NH2+]Cc1nc(C)no1)c1ccccc1 ZINC001151942335 863069614 /nfs/dbraw/zinc/06/96/14/863069614.db2.gz FJPYHQXOQQGGKB-CQSZACIVSA-N 1 2 314.389 1.944 20 30 DDEDLO C[C@H]([NH2+]CCNC(=O)CC#Cc1ccccc1)c1csnn1 ZINC001151958387 863078905 /nfs/dbraw/zinc/07/89/05/863078905.db2.gz FQQKHWRWRXBZPV-ZDUSSCGKSA-N 1 2 314.414 1.747 20 30 DDEDLO Cc1noc([C@@H](C)[NH2+]CCNC(=O)CC#Cc2ccccc2)n1 ZINC001151958419 863078956 /nfs/dbraw/zinc/07/89/56/863078956.db2.gz GYTMYQFGUGIYMH-CYBMUJFWSA-N 1 2 312.373 1.587 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CC[C@@H]([C@@H](C)O)C3)[nH+]cn2)C1 ZINC001328641018 863124819 /nfs/dbraw/zinc/12/48/19/863124819.db2.gz GNVWTHYDWSMCSD-RBSFLKMASA-N 1 2 318.421 1.465 20 30 DDEDLO C=CCO[C@@H]1CCN(c2cc(N3CC[C@@H]([C@@H](C)O)C3)nc[nH+]2)C1 ZINC001328641018 863124829 /nfs/dbraw/zinc/12/48/29/863124829.db2.gz GNVWTHYDWSMCSD-RBSFLKMASA-N 1 2 318.421 1.465 20 30 DDEDLO C=CCO[C@H](C)C(=O)NCC[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001153135389 863728976 /nfs/dbraw/zinc/72/89/76/863728976.db2.gz SDMCHUVCEYDZGF-LLVKDONJSA-N 1 2 310.398 1.164 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)c2ccnn2[C@@H](C)CC)C1 ZINC001329666302 863778733 /nfs/dbraw/zinc/77/87/33/863778733.db2.gz ASXRAPQNTAPXCX-AWEZNQCLSA-N 1 2 318.421 1.044 20 30 DDEDLO C#Cc1ccc(C(=O)NC2(CCO)C[NH+](CC=C(C)C)C2)cc1 ZINC001329680944 863787007 /nfs/dbraw/zinc/78/70/07/863787007.db2.gz ISGKLGYLUOZAQB-UHFFFAOYSA-N 1 2 312.413 1.801 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)C2(C(F)F)CCCC2)C1 ZINC001329693559 863795961 /nfs/dbraw/zinc/79/59/61/863795961.db2.gz RXPPETAWOFMCRD-UHFFFAOYSA-N 1 2 316.392 1.941 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)COc2cccc(C)c2)C1 ZINC001329717464 863808347 /nfs/dbraw/zinc/80/83/47/863808347.db2.gz GSIQXYRTBPQDPP-UHFFFAOYSA-N 1 2 318.417 1.503 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@H](C)C1C[NH+](CC=C(Cl)Cl)C1 ZINC001329996420 864002422 /nfs/dbraw/zinc/00/24/22/864002422.db2.gz CQXJPNPJODXRLD-QWRGUYRKSA-N 1 2 319.232 1.780 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1ncccn1 ZINC001157939726 864286446 /nfs/dbraw/zinc/28/64/46/864286446.db2.gz LIQNEUVQVCUYEU-MRXNPFEDSA-N 1 2 314.433 1.949 20 30 DDEDLO CC(C)C#CC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1ncccn1 ZINC001157939726 864286460 /nfs/dbraw/zinc/28/64/60/864286460.db2.gz LIQNEUVQVCUYEU-MRXNPFEDSA-N 1 2 314.433 1.949 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)C(=O)NCc2ccn3cc[nH+]c3c2)CC1 ZINC001330640620 864504235 /nfs/dbraw/zinc/50/42/35/864504235.db2.gz ADLUJXBLRJILDR-CTYIDZIISA-N 1 2 324.384 1.259 20 30 DDEDLO Cc1ncc(C#N)c(N2CC[C@H]([NH+]3CCCC3)C(F)(F)C2)n1 ZINC001158624624 864763984 /nfs/dbraw/zinc/76/39/84/864763984.db2.gz MWORGSRZDOXWNG-ZDUSSCGKSA-N 1 2 307.348 1.967 20 30 DDEDLO O=C(C=NOCC[N@H+]1C[C@H]2CC[C@@H](C1)O2)Nc1ccc(F)cc1 ZINC001331470725 865106173 /nfs/dbraw/zinc/10/61/73/865106173.db2.gz SKYPUSSQUBQRNN-GASCZTMLSA-N 1 2 321.352 1.630 20 30 DDEDLO O=C(C=NOCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2)Nc1ccc(F)cc1 ZINC001331470725 865106177 /nfs/dbraw/zinc/10/61/77/865106177.db2.gz SKYPUSSQUBQRNN-GASCZTMLSA-N 1 2 321.352 1.630 20 30 DDEDLO C=C(C)[C@@H](CC(=O)NCCC[NH2+][C@H](C)c1noc(C)n1)OCC ZINC001159148431 865130826 /nfs/dbraw/zinc/13/08/26/865130826.db2.gz GEJYQXKIIRZICA-TZMCWYRMSA-N 1 2 324.425 1.906 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@H](CO)[NH2+]Cc1csc(C)n1 ZINC001331787125 865328167 /nfs/dbraw/zinc/32/81/67/865328167.db2.gz GKPXQVVZTJLCJX-IUODEOHRSA-N 1 2 311.451 1.621 20 30 DDEDLO C#CCOCCOCCNc1[nH+]cccc1/C=C/C(=O)OCC ZINC001160668877 866006298 /nfs/dbraw/zinc/00/62/98/866006298.db2.gz GVUUILUEDNDMNE-BQYQJAHWSA-N 1 2 318.373 1.736 20 30 DDEDLO Cc1noc([C@H](C)[N@@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)n1 ZINC001333313437 866622476 /nfs/dbraw/zinc/62/24/76/866622476.db2.gz YQLZJMARJVVGIJ-MNOVXSKESA-N 1 2 303.366 1.347 20 30 DDEDLO Cc1noc([C@H](C)[N@H+]2CC=C(CNC(=O)[C@H](C)C#N)CC2)n1 ZINC001333313437 866622484 /nfs/dbraw/zinc/62/24/84/866622484.db2.gz YQLZJMARJVVGIJ-MNOVXSKESA-N 1 2 303.366 1.347 20 30 DDEDLO COc1cc(C[N@H+]2CC=C(CNC(=O)C#CC3CC3)CC2)on1 ZINC001333336097 866645465 /nfs/dbraw/zinc/64/54/65/866645465.db2.gz AFYVXSWDDIEMDQ-UHFFFAOYSA-N 1 2 315.373 1.345 20 30 DDEDLO COc1cc(C[N@@H+]2CC=C(CNC(=O)C#CC3CC3)CC2)on1 ZINC001333336097 866645476 /nfs/dbraw/zinc/64/54/76/866645476.db2.gz AFYVXSWDDIEMDQ-UHFFFAOYSA-N 1 2 315.373 1.345 20 30 DDEDLO C#Cc1ccc(C(=O)NCC2([NH2+][C@H](C)c3ncc(C)o3)CC2)cn1 ZINC001323595661 866713037 /nfs/dbraw/zinc/71/30/37/866713037.db2.gz HKBNYABKFDCDKB-CYBMUJFWSA-N 1 2 324.384 1.973 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC1CC(CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001333773603 867002457 /nfs/dbraw/zinc/00/24/57/867002457.db2.gz WEIKFINBPFSUKX-QWQCLYJRSA-N 1 2 318.421 1.566 20 30 DDEDLO CCc1noc(C[NH2+]C[C@H]2CCCN2C(=O)C#CC(C)(C)C)n1 ZINC001324154729 867109509 /nfs/dbraw/zinc/10/95/09/867109509.db2.gz KDRZVMMDOSZBFJ-CYBMUJFWSA-N 1 2 318.421 1.762 20 30 DDEDLO C=CCOCC(=O)NC1(C)CC[NH+](Cc2ncc(C)cn2)CC1 ZINC001324301148 867190354 /nfs/dbraw/zinc/19/03/54/867190354.db2.gz ITGIIUIOKMTZQX-UHFFFAOYSA-N 1 2 318.421 1.458 20 30 DDEDLO C#CCN(C(=O)COC)C1CC[NH+](Cc2nccs2)CC1 ZINC001324353814 867223439 /nfs/dbraw/zinc/22/34/39/867223439.db2.gz XENZRFRTBMKWJH-UHFFFAOYSA-N 1 2 307.419 1.216 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)N(C)C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC001334177894 867356681 /nfs/dbraw/zinc/35/66/81/867356681.db2.gz JUUQFVNUUGWJJB-CHWSQXEVSA-N 1 2 318.421 1.759 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@]2(O)CC[N@H+](Cc3nccs3)C2)C1 ZINC001325125698 867797808 /nfs/dbraw/zinc/79/78/08/867797808.db2.gz HPHVEKNCZXOUAR-MRXNPFEDSA-N 1 2 321.446 1.552 20 30 DDEDLO C=C1CC(C)(C(=O)NC[C@]2(O)CC[N@@H+](Cc3nccs3)C2)C1 ZINC001325125698 867797820 /nfs/dbraw/zinc/79/78/20/867797820.db2.gz HPHVEKNCZXOUAR-MRXNPFEDSA-N 1 2 321.446 1.552 20 30 DDEDLO CCOC(=O)[C@@H]([NH3+])Cc1cn(-c2[nH]ccc3ncc(C#N)c2-3)cn1 ZINC001163411853 868430198 /nfs/dbraw/zinc/43/01/98/868430198.db2.gz JHHIBYULXPXABF-LBPRGKRZSA-N 1 2 324.344 1.053 20 30 DDEDLO CC#CC[C@@H](NC(=O)OC(C)(C)C)C(=O)NCCc1[nH]cc[nH+]1 ZINC001336340383 868883994 /nfs/dbraw/zinc/88/39/94/868883994.db2.gz CGLBDYCXIYUOPC-GFCCVEGCSA-N 1 2 320.393 1.375 20 30 DDEDLO Cc1cc(C(F)(F)F)nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)n1 ZINC001226175513 882250697 /nfs/dbraw/zinc/25/06/97/882250697.db2.gz XURSFLUILQMVMF-ADAFDVPTSA-N 1 2 315.295 1.795 20 30 DDEDLO Cc1cc(C(F)(F)F)nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)n1 ZINC001226175513 882250711 /nfs/dbraw/zinc/25/07/11/882250711.db2.gz XURSFLUILQMVMF-ADAFDVPTSA-N 1 2 315.295 1.795 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)NCCC[NH2+]Cc1noc(CCOC)n1 ZINC001164223491 869035673 /nfs/dbraw/zinc/03/56/73/869035673.db2.gz SZONERXECJILCG-OLZOCXBDSA-N 1 2 324.425 1.313 20 30 DDEDLO Cc1ccc(NC(=O)C(=O)N2CCC[C@H](C#N)C2)c(N(C)C)[nH+]1 ZINC001337007520 869257267 /nfs/dbraw/zinc/25/72/67/869257267.db2.gz ULYONEZYBNLHGF-GFCCVEGCSA-N 1 2 315.377 1.157 20 30 DDEDLO C=CCO[C@H]1CCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC001337680794 869593768 /nfs/dbraw/zinc/59/37/68/869593768.db2.gz XVHAFACOUYBRHU-HNNXBMFYSA-N 1 2 318.421 1.748 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCCC[NH2+]Cc1nnn(C(C)(C)C)n1 ZINC001165928395 869769145 /nfs/dbraw/zinc/76/91/45/869769145.db2.gz AHKZHOVGGZWSLS-MRXNPFEDSA-N 1 2 322.457 1.626 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001338109213 869809445 /nfs/dbraw/zinc/80/94/45/869809445.db2.gz SZNAWSUHONMHMO-UKRRQHHQSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001338109213 869809451 /nfs/dbraw/zinc/80/94/51/869809451.db2.gz SZNAWSUHONMHMO-UKRRQHHQSA-N 1 2 318.421 1.804 20 30 DDEDLO N#CCNCC1(CCNC(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC001166423115 869991981 /nfs/dbraw/zinc/99/19/81/869991981.db2.gz TYHZCMOYGALBLT-UHFFFAOYSA-N 1 2 323.400 1.886 20 30 DDEDLO CC[C@H](CNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)NC(=O)C#CC(C)C ZINC001297416538 870041183 /nfs/dbraw/zinc/04/11/83/870041183.db2.gz GYMIKYSTACSFTA-UONOGXRCSA-N 1 2 318.421 1.259 20 30 DDEDLO CC[C@H](CNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)NC(=O)C#CC(C)C ZINC001297416538 870041194 /nfs/dbraw/zinc/04/11/94/870041194.db2.gz GYMIKYSTACSFTA-UONOGXRCSA-N 1 2 318.421 1.259 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](CC)CNC(=O)Cn1cc[nH+]c1 ZINC001297431644 870046677 /nfs/dbraw/zinc/04/66/77/870046677.db2.gz OYDXJKCGHWVHIW-AWEZNQCLSA-N 1 2 304.394 1.088 20 30 DDEDLO C=CCn1c(C[NH+]2CCCCC2)nnc1Nc1ccn(C)n1 ZINC001338795803 870172278 /nfs/dbraw/zinc/17/22/78/870172278.db2.gz SDWLEWFNMYKLAE-UHFFFAOYSA-N 1 2 301.398 1.927 20 30 DDEDLO C=CCCC(=O)N[C@@H](CNC(=O)Cc1c[nH]c[nH+]1)C(C)(C)C ZINC001338833994 870194516 /nfs/dbraw/zinc/19/45/16/870194516.db2.gz KUSJEJNFUZJEHS-ZDUSSCGKSA-N 1 2 306.410 1.566 20 30 DDEDLO CC#CCCCC(=O)NC[C@H](NC(=O)Cn1cc[nH+]c1)C(C)C ZINC001297998851 870235788 /nfs/dbraw/zinc/23/57/88/870235788.db2.gz RQZPTYBIOQQGGM-HNNXBMFYSA-N 1 2 318.421 1.334 20 30 DDEDLO CCOC(=O)[C@H]1CN(c2[nH+]ccc3ccc(C#N)cc32)CCO1 ZINC001166827870 870282971 /nfs/dbraw/zinc/28/29/71/870282971.db2.gz LTFZUSMOMUSTDB-OAHLLOKOSA-N 1 2 311.341 1.875 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCC[C@H]1CNC(=O)Cc1[nH]cc[nH+]1 ZINC001298134531 870304098 /nfs/dbraw/zinc/30/40/98/870304098.db2.gz DYOKYRMRTOBKGM-STQMWFEESA-N 1 2 318.421 1.566 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@H]1CCC[C@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001298136160 870305634 /nfs/dbraw/zinc/30/56/34/870305634.db2.gz NMWYTBPXRNPGKE-CHWSQXEVSA-N 1 2 318.421 1.566 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)/C=C\CC)n2CC=C)CC1 ZINC001339136328 870362257 /nfs/dbraw/zinc/36/22/57/870362257.db2.gz PDLTZAROQDXLND-ZPIQOJFGSA-N 1 2 315.421 1.219 20 30 DDEDLO C=C[C@H](O)c1nnc(N2CCN(c3cccc[nH+]3)CC2)n1CC ZINC001339486358 870521437 /nfs/dbraw/zinc/52/14/37/870521437.db2.gz FFGHHUGPLNTOGW-ZDUSSCGKSA-N 1 2 314.393 1.239 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)NC(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001298814803 870739320 /nfs/dbraw/zinc/73/93/20/870739320.db2.gz OQFXOXCUKOQAOX-ZDUSSCGKSA-N 1 2 318.421 1.351 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@@H+](C)CC(=O)N[C@@H](C)CCC(C)C ZINC001317445105 870850527 /nfs/dbraw/zinc/85/05/27/870850527.db2.gz XNPIBJGHMKJTSF-INIZCTEOSA-N 1 2 323.481 1.635 20 30 DDEDLO CC(C)C#CC(=O)NCC[N@H+](C)CC(=O)N[C@@H](C)CCC(C)C ZINC001317445105 870850540 /nfs/dbraw/zinc/85/05/40/870850540.db2.gz XNPIBJGHMKJTSF-INIZCTEOSA-N 1 2 323.481 1.635 20 30 DDEDLO C#CCCCC(=O)NCC1(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)CC1 ZINC001299152004 870933374 /nfs/dbraw/zinc/93/33/74/870933374.db2.gz GDFOQCANKAKMQP-CHWSQXEVSA-N 1 2 314.389 1.082 20 30 DDEDLO C#CCCCC(=O)NCC1(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)CC1 ZINC001299152004 870933383 /nfs/dbraw/zinc/93/33/83/870933383.db2.gz GDFOQCANKAKMQP-CHWSQXEVSA-N 1 2 314.389 1.082 20 30 DDEDLO C=C(C)CN(CC)c1nnc([C@@]2(C)C[C@@H](O)C[N@@H+]2C)n1CC ZINC001340882795 871406690 /nfs/dbraw/zinc/40/66/90/871406690.db2.gz CAMHVYCVMQRAFU-CZUORRHYSA-N 1 2 307.442 1.612 20 30 DDEDLO C=C(C)CN(CC)c1nnc([C@@]2(C)C[C@@H](O)C[N@H+]2C)n1CC ZINC001340882795 871406693 /nfs/dbraw/zinc/40/66/93/871406693.db2.gz CAMHVYCVMQRAFU-CZUORRHYSA-N 1 2 307.442 1.612 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@H]2CCCC23CC3)CC1 ZINC001317818656 871544152 /nfs/dbraw/zinc/54/41/52/871544152.db2.gz FZGIVFDLEGINHJ-MRXNPFEDSA-N 1 2 305.466 1.829 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)C2(C)CCCC2)CC1 ZINC001317819862 871547833 /nfs/dbraw/zinc/54/78/33/871547833.db2.gz IVSYILWYHCENKF-UHFFFAOYSA-N 1 2 305.466 1.666 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)Cc2ccc3c(c2)COC3)C1 ZINC001318082212 871734115 /nfs/dbraw/zinc/73/41/15/871734115.db2.gz SCNVCTAAKFPNLW-UHFFFAOYSA-N 1 2 316.401 1.262 20 30 DDEDLO C[C@H]1C[C@@H]1C[N@@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001277142216 882545224 /nfs/dbraw/zinc/54/52/24/882545224.db2.gz IOVXTUILXDIFNE-NWDGAFQWSA-N 1 2 313.405 1.600 20 30 DDEDLO C[C@H]1C[C@@H]1C[N@H+]1CCc2c(n[nH]c2C(=O)NC2(C#N)CCC2)C1 ZINC001277142216 882545234 /nfs/dbraw/zinc/54/52/34/882545234.db2.gz IOVXTUILXDIFNE-NWDGAFQWSA-N 1 2 313.405 1.600 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1oc(C)nc1C ZINC001318195755 871816238 /nfs/dbraw/zinc/81/62/38/871816238.db2.gz HRUJCJXZPJVUJF-GJZGRUSLSA-N 1 2 301.390 1.880 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1oc(C)nc1C ZINC001318195755 871816253 /nfs/dbraw/zinc/81/62/53/871816253.db2.gz HRUJCJXZPJVUJF-GJZGRUSLSA-N 1 2 301.390 1.880 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@@H+](Cc2cccc(Cl)n2)C1 ZINC001318253271 871878246 /nfs/dbraw/zinc/87/82/46/871878246.db2.gz PRIVXQZKPJSBOH-AWEZNQCLSA-N 1 2 321.808 1.465 20 30 DDEDLO C#CCOCCC(=O)N[C@H]1CC[N@H+](Cc2cccc(Cl)n2)C1 ZINC001318253271 871878270 /nfs/dbraw/zinc/87/82/70/871878270.db2.gz PRIVXQZKPJSBOH-AWEZNQCLSA-N 1 2 321.808 1.465 20 30 DDEDLO CCc1noc([C@H](C)[NH+]2CCC(NC(=O)[C@H](C)C#N)CC2)n1 ZINC001226648014 882564741 /nfs/dbraw/zinc/56/47/41/882564741.db2.gz GIVKBVLUWKJIIP-MNOVXSKESA-N 1 2 305.382 1.433 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@H]1C[C@@H](C)CO1)C2 ZINC001316806211 872078995 /nfs/dbraw/zinc/07/89/95/872078995.db2.gz QJEKBEOOPKBPDY-DGCLKSJQSA-N 1 2 319.430 1.176 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@H+](Cc1cn(C)cn1)CC2 ZINC001206214579 872138473 /nfs/dbraw/zinc/13/84/73/872138473.db2.gz XSHCOEJNQVDTJJ-UHFFFAOYSA-N 1 2 301.394 1.415 20 30 DDEDLO C=CCOCc1nn(C)c2c1C[N@@H+](Cc1cn(C)cn1)CC2 ZINC001206214579 872138480 /nfs/dbraw/zinc/13/84/80/872138480.db2.gz XSHCOEJNQVDTJJ-UHFFFAOYSA-N 1 2 301.394 1.415 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](CC)[NH2+]Cc2cnsn2)nc1 ZINC001318594690 872162535 /nfs/dbraw/zinc/16/25/35/872162535.db2.gz JQPFEOBGVHMVGF-LBPRGKRZSA-N 1 2 315.402 1.213 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H](O)C3CC3)n2CC(=C)C)CC1 ZINC001342396258 872190263 /nfs/dbraw/zinc/19/02/63/872190263.db2.gz LHUNCHBHYXPQCG-OAHLLOKOSA-N 1 2 315.421 1.053 20 30 DDEDLO COc1ccc(C[NH+]2CCOCC2)cc1-c1nccnc1C#N ZINC001206477747 872363905 /nfs/dbraw/zinc/36/39/05/872363905.db2.gz YOKNHBKFRVVQKJ-UHFFFAOYSA-N 1 2 310.357 1.856 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](NC(=O)c2ccoc2C)C1 ZINC001316936863 872412529 /nfs/dbraw/zinc/41/25/29/872412529.db2.gz BAEXMYANRDPVRZ-JSGCOSHPSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](NC(=O)c2ccoc2C)C1 ZINC001316936863 872412537 /nfs/dbraw/zinc/41/25/37/872412537.db2.gz BAEXMYANRDPVRZ-JSGCOSHPSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCN(CCOC)c1nnc([C@H]2CCC[N@H+]2C)n1CCOC ZINC001343216848 872540513 /nfs/dbraw/zinc/54/05/13/872540513.db2.gz KIYKVUSRXGJTFD-CQSZACIVSA-N 1 2 323.441 1.330 20 30 DDEDLO C=CCN(CCOC)c1nnc([C@H]2CCC[N@@H+]2C)n1CCOC ZINC001343216848 872540510 /nfs/dbraw/zinc/54/05/10/872540510.db2.gz KIYKVUSRXGJTFD-CQSZACIVSA-N 1 2 323.441 1.330 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@]2(C)C=CCC2)C1 ZINC001319320934 872570456 /nfs/dbraw/zinc/57/04/56/872570456.db2.gz ZESPECGDWTUXPJ-DOTOQJQBSA-N 1 2 308.422 1.362 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@]2(C)C=CCC2)C1 ZINC001319320934 872570472 /nfs/dbraw/zinc/57/04/72/872570472.db2.gz ZESPECGDWTUXPJ-DOTOQJQBSA-N 1 2 308.422 1.362 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)Cc2csc(CC)n2)C1 ZINC001319330314 872579013 /nfs/dbraw/zinc/57/90/13/872579013.db2.gz AQQDYGALSGMSOQ-AWEZNQCLSA-N 1 2 323.462 1.641 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)Cc2csc(CC)n2)C1 ZINC001319330314 872579024 /nfs/dbraw/zinc/57/90/24/872579024.db2.gz AQQDYGALSGMSOQ-AWEZNQCLSA-N 1 2 323.462 1.641 20 30 DDEDLO C#CC[N@H+](CC1CC1)CN1C(=O)N[C@H](Cc2ccccc2)C1=O ZINC001319563537 872695197 /nfs/dbraw/zinc/69/51/97/872695197.db2.gz SNJKDKMSIMATPE-MRXNPFEDSA-N 1 2 311.385 1.452 20 30 DDEDLO C#CC[N@@H+](CC1CC1)CN1C(=O)N[C@H](Cc2ccccc2)C1=O ZINC001319563537 872695208 /nfs/dbraw/zinc/69/52/08/872695208.db2.gz SNJKDKMSIMATPE-MRXNPFEDSA-N 1 2 311.385 1.452 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001207617845 873418738 /nfs/dbraw/zinc/41/87/38/873418738.db2.gz FKUPGSXVYHXTFL-JSGCOSHPSA-N 1 2 322.409 1.440 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(C)no2)C1 ZINC001207617844 873419392 /nfs/dbraw/zinc/41/93/92/873419392.db2.gz FKUPGSXVYHXTFL-GXTWGEPZSA-N 1 2 322.409 1.440 20 30 DDEDLO CC(C)C[C@H](C(=O)N[C@@H]1CN(CC#N)C[C@H]1C)n1cc[nH+]c1 ZINC001207660069 873451084 /nfs/dbraw/zinc/45/10/84/873451084.db2.gz ZVKABWQMAHYQPC-RBSFLKMASA-N 1 2 303.410 1.430 20 30 DDEDLO C#CC[NH+]1CCC(OC(=O)c2[nH]nc3c2C[C@@H](C)CC3)CC1 ZINC001345672863 873472296 /nfs/dbraw/zinc/47/22/96/873472296.db2.gz CKYJDPSESGUXRX-LBPRGKRZSA-N 1 2 301.390 1.789 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@@H](C)CNC(=O)c1c(Cl)cnn1C ZINC001378041218 873969013 /nfs/dbraw/zinc/96/90/13/873969013.db2.gz JYPWLYBKMOPFMH-VIFPVBQESA-N 1 2 305.209 1.876 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@@H](C)CNC(=O)c1c(Cl)cnn1C ZINC001378041218 873969016 /nfs/dbraw/zinc/96/90/16/873969016.db2.gz JYPWLYBKMOPFMH-VIFPVBQESA-N 1 2 305.209 1.876 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208393832 874105256 /nfs/dbraw/zinc/10/52/56/874105256.db2.gz YFAPOHISTVXOTF-MGPQQGTHSA-N 1 2 316.467 1.070 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1C[N@@H+](CCS(C)(=O)=O)C[C@H]1C ZINC001208393832 874105259 /nfs/dbraw/zinc/10/52/59/874105259.db2.gz YFAPOHISTVXOTF-MGPQQGTHSA-N 1 2 316.467 1.070 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001378190164 874382546 /nfs/dbraw/zinc/38/25/46/874382546.db2.gz SCZJPEQPUINDMS-ZIAGYGMSSA-N 1 2 315.845 1.432 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001378190164 874382561 /nfs/dbraw/zinc/38/25/61/874382561.db2.gz SCZJPEQPUINDMS-ZIAGYGMSSA-N 1 2 315.845 1.432 20 30 DDEDLO C=CCN(CC[NH+]1CCN(c2ncccn2)CC1)C(=O)OCC ZINC001209015585 874603034 /nfs/dbraw/zinc/60/30/34/874603034.db2.gz NGPDSBNBZDSXRP-UHFFFAOYSA-N 1 2 319.409 1.243 20 30 DDEDLO C#CCOc1ccc(C[NH+]2CC3(CCN3Cc3cn[nH]c3)C2)cc1 ZINC001276664010 875052914 /nfs/dbraw/zinc/05/29/14/875052914.db2.gz KPUKILGKMZGXSC-UHFFFAOYSA-N 1 2 322.412 1.882 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)N[C@@H]1C[N@H+](CC(=O)NCC#N)C[C@H]1C ZINC001210226713 875279117 /nfs/dbraw/zinc/27/91/17/875279117.db2.gz TZPSNNVMAWKCMM-RBSFLKMASA-N 1 2 322.453 1.135 20 30 DDEDLO CC(C)C[C@@H](C)CC(=O)N[C@@H]1C[N@@H+](CC(=O)NCC#N)C[C@H]1C ZINC001210226713 875279127 /nfs/dbraw/zinc/27/91/27/875279127.db2.gz TZPSNNVMAWKCMM-RBSFLKMASA-N 1 2 322.453 1.135 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(C#N)c2C)C1 ZINC001350204723 875573970 /nfs/dbraw/zinc/57/39/70/875573970.db2.gz UMHQCXBZENNGJY-HNNXBMFYSA-N 1 2 324.384 1.019 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cccc(C#N)c2C)C1 ZINC001350204723 875573975 /nfs/dbraw/zinc/57/39/75/875573975.db2.gz UMHQCXBZENNGJY-HNNXBMFYSA-N 1 2 324.384 1.019 20 30 DDEDLO C=CCCCC(=O)NCCCNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001350220582 875585012 /nfs/dbraw/zinc/58/50/12/875585012.db2.gz OBPUXOXXFUXMKB-CQSZACIVSA-N 1 2 318.421 1.424 20 30 DDEDLO C=CCCOCC(=O)N1CCC[C@@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001211354751 875766296 /nfs/dbraw/zinc/76/62/96/875766296.db2.gz FSNMBNICRXMYKM-CYBMUJFWSA-N 1 2 308.382 1.051 20 30 DDEDLO CC(C)n1ccc(C[NH+]2CCC(NC(=O)C#CC3CC3)CC2)n1 ZINC001227299608 882962895 /nfs/dbraw/zinc/96/28/95/882962895.db2.gz VWBJUANARUBMJF-UHFFFAOYSA-N 1 2 314.433 1.958 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N(C)[C@H]1CCN(C(=O)Cn2cc[nH+]c2)C1 ZINC001350711996 875850254 /nfs/dbraw/zinc/85/02/54/875850254.db2.gz NNKFSJSYFLBSHP-GJZGRUSLSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](CC#CCOC)C[C@H]2OC)CCC1 ZINC001213385216 875914582 /nfs/dbraw/zinc/91/45/82/875914582.db2.gz HBWGERNPZGSTOC-HZPDHXFCSA-N 1 2 320.433 1.198 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](CC#CCOC)C[C@H]2OC)CCC1 ZINC001213385216 875914589 /nfs/dbraw/zinc/91/45/89/875914589.db2.gz HBWGERNPZGSTOC-HZPDHXFCSA-N 1 2 320.433 1.198 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)CC2CCOCC2)[C@H](OC)C1 ZINC001213659434 876009929 /nfs/dbraw/zinc/00/99/29/876009929.db2.gz IJDXKMOOBRGDRG-HZPDHXFCSA-N 1 2 322.449 1.278 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)CC2CCOCC2)[C@H](OC)C1 ZINC001213659434 876009943 /nfs/dbraw/zinc/00/99/43/876009943.db2.gz IJDXKMOOBRGDRG-HZPDHXFCSA-N 1 2 322.449 1.278 20 30 DDEDLO C=CC(C)(C)C(=O)NCC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001351021530 876018258 /nfs/dbraw/zinc/01/82/58/876018258.db2.gz SBTKRYLFGARKKE-UHFFFAOYSA-N 1 2 318.421 1.519 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](F)Cc2ccccc2)[C@H](OC)C1 ZINC001213910280 876101233 /nfs/dbraw/zinc/10/12/33/876101233.db2.gz QJSMTTGIUUGFAP-BZUAXINKSA-N 1 2 304.365 1.016 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](F)Cc2ccccc2)[C@H](OC)C1 ZINC001213910280 876101236 /nfs/dbraw/zinc/10/12/36/876101236.db2.gz QJSMTTGIUUGFAP-BZUAXINKSA-N 1 2 304.365 1.016 20 30 DDEDLO C=CCn1c([C@H]2C[N@@H+]3CCCC[C@H]3CO2)nnc1N(C)OC ZINC001351534259 876310419 /nfs/dbraw/zinc/31/04/19/876310419.db2.gz CUCJSPNJLPRQHY-QWHCGFSZSA-N 1 2 307.398 1.388 20 30 DDEDLO C=CCn1c([C@H]2C[N@H+]3CCCC[C@H]3CO2)nnc1N(C)OC ZINC001351534259 876310427 /nfs/dbraw/zinc/31/04/27/876310427.db2.gz CUCJSPNJLPRQHY-QWHCGFSZSA-N 1 2 307.398 1.388 20 30 DDEDLO C=CCOCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001214404367 876321575 /nfs/dbraw/zinc/32/15/75/876321575.db2.gz IPVJXQBURHTZRR-TZMCWYRMSA-N 1 2 322.409 1.297 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001379097626 876391929 /nfs/dbraw/zinc/39/19/29/876391929.db2.gz KTRAADQDYILWIS-NEPJUHHUSA-N 1 2 301.818 1.031 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001379097626 876391939 /nfs/dbraw/zinc/39/19/39/876391939.db2.gz KTRAADQDYILWIS-NEPJUHHUSA-N 1 2 301.818 1.031 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001215376929 876686521 /nfs/dbraw/zinc/68/65/21/876686521.db2.gz VSTJKYVZTRYXMX-MRVWCRGKSA-N 1 2 306.410 1.917 20 30 DDEDLO C[C@H](C[NH2+][C@@H](C)c1csnn1)NC(=O)c1ccc(C#N)[nH]1 ZINC001379353253 876899676 /nfs/dbraw/zinc/89/96/76/876899676.db2.gz PEAKKKPDEJDHQM-BDAKNGLRSA-N 1 2 304.379 1.207 20 30 DDEDLO N#CC1(c2ccc(C[NH2+]Cc3nnc4c(=O)[nH]ccn34)cc2)CC1 ZINC001353354386 877271909 /nfs/dbraw/zinc/27/19/09/877271909.db2.gz PETICXXJBRMSHP-UHFFFAOYSA-N 1 2 320.356 1.263 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCO[C@@H]2C[N@H+](C/C=C/Cl)C[C@@H]21 ZINC001217861512 877341470 /nfs/dbraw/zinc/34/14/70/877341470.db2.gz QGJLUFMYVQIBDF-QFVHEQCKSA-N 1 2 310.825 1.700 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCO[C@@H]2C[N@@H+](C/C=C/Cl)C[C@@H]21 ZINC001217861512 877341484 /nfs/dbraw/zinc/34/14/84/877341484.db2.gz QGJLUFMYVQIBDF-QFVHEQCKSA-N 1 2 310.825 1.700 20 30 DDEDLO C=CC[C@@H]([NH2+]CC1CN(C(=O)OC(C)(C)C)C1)C(=O)OCC ZINC001353592154 877437553 /nfs/dbraw/zinc/43/75/53/877437553.db2.gz CSXUUFRXBYKNKT-CYBMUJFWSA-N 1 2 312.410 1.951 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCO[C@@H]2C[N@@H+](CC#CCOC)C[C@@H]21 ZINC001218524509 877534324 /nfs/dbraw/zinc/53/43/24/877534324.db2.gz XVVFBPBZZYXTJL-YESZJQIVSA-N 1 2 320.433 1.150 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCO[C@@H]2C[N@H+](CC#CCOC)C[C@@H]21 ZINC001218524509 877534343 /nfs/dbraw/zinc/53/43/43/877534343.db2.gz XVVFBPBZZYXTJL-YESZJQIVSA-N 1 2 320.433 1.150 20 30 DDEDLO CC[C@H](C)CC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219515892 878287220 /nfs/dbraw/zinc/28/72/20/878287220.db2.gz XMQYEBWDKDEZMP-JQHSSLGASA-N 1 2 314.429 1.636 20 30 DDEDLO CC[C@H](C)CC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219515892 878287237 /nfs/dbraw/zinc/28/72/37/878287237.db2.gz XMQYEBWDKDEZMP-JQHSSLGASA-N 1 2 314.429 1.636 20 30 DDEDLO CCn1cc(C[NH+]2CCC([C@H](C)NC(=O)[C@H](C)C#N)CC2)nn1 ZINC001380139514 878876329 /nfs/dbraw/zinc/87/63/29/878876329.db2.gz VESUROHNQCHFEA-OLZOCXBDSA-N 1 2 318.425 1.174 20 30 DDEDLO C=CCn1c(N2C[C@H](C)C[C@@H]2C)nnc1[C@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001356135183 878881893 /nfs/dbraw/zinc/88/18/93/878881893.db2.gz UAQLEJXKEYNTGH-OEUWWYETSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCn1c(N2C[C@H](C)C[C@@H]2C)nnc1[C@]1(C)C[C@@H](O)C[N@H+]1C ZINC001356135183 878881904 /nfs/dbraw/zinc/88/19/04/878881904.db2.gz UAQLEJXKEYNTGH-OEUWWYETSA-N 1 2 319.453 1.610 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3ocnc3C)C[C@@H]2O)CC1 ZINC001220289798 878887251 /nfs/dbraw/zinc/88/72/51/878887251.db2.gz DDJXKPVMVRNZRS-KGLIPLIRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3ocnc3C)C[C@@H]2O)CC1 ZINC001220289798 878887258 /nfs/dbraw/zinc/88/72/58/878887258.db2.gz DDJXKPVMVRNZRS-KGLIPLIRSA-N 1 2 319.405 1.391 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220293822 878894953 /nfs/dbraw/zinc/89/49/53/878894953.db2.gz WALHJWLHAVAEKM-YCPHGPKFSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)ns2)C[C@@H]1O ZINC001220293822 878894968 /nfs/dbraw/zinc/89/49/68/878894968.db2.gz WALHJWLHAVAEKM-YCPHGPKFSA-N 1 2 323.462 1.715 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc(C[N@@H+]3CCC[C@H](O)C3)n2CC)C1 ZINC001356502519 879081846 /nfs/dbraw/zinc/08/18/46/879081846.db2.gz SZWNYLYDLPSRGU-GJZGRUSLSA-N 1 2 317.437 1.104 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc(C[N@H+]3CCC[C@H](O)C3)n2CC)C1 ZINC001356502519 879081860 /nfs/dbraw/zinc/08/18/60/879081860.db2.gz SZWNYLYDLPSRGU-GJZGRUSLSA-N 1 2 317.437 1.104 20 30 DDEDLO C=C1CC(C)(C(=O)N(CCC)CCNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001356545398 879096322 /nfs/dbraw/zinc/09/63/22/879096322.db2.gz APFSDGQCUZJWGE-UHFFFAOYSA-N 1 2 318.421 1.663 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@@](C)(NC(=O)[C@@H](C)C#N)C2)sn1 ZINC001380345404 879402933 /nfs/dbraw/zinc/40/29/33/879402933.db2.gz HMIZVDRVKKJNLM-IINYFYTJSA-N 1 2 308.407 1.392 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@@](C)(NC(=O)[C@@H](C)C#N)C2)sn1 ZINC001380345404 879402938 /nfs/dbraw/zinc/40/29/38/879402938.db2.gz HMIZVDRVKKJNLM-IINYFYTJSA-N 1 2 308.407 1.392 20 30 DDEDLO CC[C@H](CNC(=O)C[C@@H](C)n1cc[nH+]c1)NC(=O)C#CC1CC1 ZINC001356887925 879547326 /nfs/dbraw/zinc/54/73/26/879547326.db2.gz WZATWUSLUVZSHV-UKRRQHHQSA-N 1 2 316.405 1.259 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)CCC)[C@@H]2C1 ZINC001221457315 879825454 /nfs/dbraw/zinc/82/54/54/879825454.db2.gz NSILASDYXZSICR-RRFJBIMHSA-N 1 2 307.438 1.258 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)CCC)[C@@H]2C1 ZINC001221457315 879825474 /nfs/dbraw/zinc/82/54/74/879825474.db2.gz NSILASDYXZSICR-RRFJBIMHSA-N 1 2 307.438 1.258 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)CCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001357269748 879882830 /nfs/dbraw/zinc/88/28/30/879882830.db2.gz BZKQMLMJQSXMKG-CQSZACIVSA-N 1 2 320.437 1.780 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cnc(C)cn3)C[C@H]21 ZINC001221512800 879901879 /nfs/dbraw/zinc/90/18/79/879901879.db2.gz PEWKBQDRKSWLRM-NVXWUHKLSA-N 1 2 312.417 1.621 20 30 DDEDLO C#CCCCC(=O)N1C[C@H]2CC[N@H+](Cc3cnc(C)cn3)C[C@H]21 ZINC001221512800 879901887 /nfs/dbraw/zinc/90/18/87/879901887.db2.gz PEWKBQDRKSWLRM-NVXWUHKLSA-N 1 2 312.417 1.621 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@@H+](CC(=O)NCC(C)C)C[C@H]21 ZINC001221733552 880032559 /nfs/dbraw/zinc/03/25/59/880032559.db2.gz UDCZFDYRCRWHEP-HUUCEWRRSA-N 1 2 321.465 1.504 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@H]2CC[N@H+](CC(=O)NCC(C)C)C[C@H]21 ZINC001221733552 880032571 /nfs/dbraw/zinc/03/25/71/880032571.db2.gz UDCZFDYRCRWHEP-HUUCEWRRSA-N 1 2 321.465 1.504 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]2CN(C(=O)c3cocc3C)[C@@H]2C1 ZINC001221738388 880040444 /nfs/dbraw/zinc/04/04/44/880040444.db2.gz GUWNONMVZQJGQZ-UKRRQHHQSA-N 1 2 317.389 1.037 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cocc3C)[C@@H]2C1 ZINC001221738388 880040457 /nfs/dbraw/zinc/04/04/57/880040457.db2.gz GUWNONMVZQJGQZ-UKRRQHHQSA-N 1 2 317.389 1.037 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@](CO)(NC(=O)[C@H]2CCCOC2)C1 ZINC001380732786 880296888 /nfs/dbraw/zinc/29/68/88/880296888.db2.gz YGTBYSLDYJLVIF-ZFWWWQNUSA-N 1 2 316.829 1.109 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@](CO)(NC(=O)[C@H]2CCCOC2)C1 ZINC001380732786 880296903 /nfs/dbraw/zinc/29/69/03/880296903.db2.gz YGTBYSLDYJLVIF-ZFWWWQNUSA-N 1 2 316.829 1.109 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@H](NC(=O)[C@H](C)C#N)CC2)s1 ZINC001380927633 880674588 /nfs/dbraw/zinc/67/45/88/880674588.db2.gz RZIHHHBFNDRXTB-PWSUYJOCSA-N 1 2 307.423 1.477 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@H](NC(=O)[C@H](C)C#N)CC2)s1 ZINC001380927633 880674596 /nfs/dbraw/zinc/67/45/96/880674596.db2.gz RZIHHHBFNDRXTB-PWSUYJOCSA-N 1 2 307.423 1.477 20 30 DDEDLO N#Cc1cc[nH]c1CN1C[C@@H]2C[N@@H+](Cc3ccc[nH]3)C[C@H](C1)O2 ZINC001276837801 880696813 /nfs/dbraw/zinc/69/68/13/880696813.db2.gz IBSBDWCAEKUYKA-IYBDPMFKSA-N 1 2 311.389 1.300 20 30 DDEDLO N#Cc1cc[nH]c1CN1C[C@@H]2C[N@H+](Cc3ccc[nH]3)C[C@H](C1)O2 ZINC001276837801 880696818 /nfs/dbraw/zinc/69/68/18/880696818.db2.gz IBSBDWCAEKUYKA-IYBDPMFKSA-N 1 2 311.389 1.300 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CC[N@@H+]1[C@@H]1CCN(C(C)(C)C)C1=O ZINC001276843276 880698810 /nfs/dbraw/zinc/69/88/10/880698810.db2.gz WMGRFPCQCXQMOU-LSDHHAIUSA-N 1 2 319.449 1.236 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H]1CC[N@H+]1[C@@H]1CCN(C(C)(C)C)C1=O ZINC001276843276 880698813 /nfs/dbraw/zinc/69/88/13/880698813.db2.gz WMGRFPCQCXQMOU-LSDHHAIUSA-N 1 2 319.449 1.236 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)NC1(CNC(=O)Cc2c[nH+]cn2C)CC1 ZINC001358678391 880746651 /nfs/dbraw/zinc/74/66/51/880746651.db2.gz OXJGYCBVXVFTGT-QWHCGFSZSA-N 1 2 318.421 1.186 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)Cc2c[nH]c[nH+]2)CN1C(=O)C#CC(C)(C)C ZINC001287933157 912604563 /nfs/dbraw/zinc/60/45/63/912604563.db2.gz WJEMGVOTDAMKOX-GXTWGEPZSA-N 1 2 316.405 1.107 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2CC[N@H+](Cc3cnon3)[C@H]2C1 ZINC001223405797 880948575 /nfs/dbraw/zinc/94/85/75/880948575.db2.gz DSEBBSGWUVDNIH-HIFRSBDPSA-N 1 2 304.394 1.849 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2CC[N@@H+](Cc3cnon3)[C@H]2C1 ZINC001223405797 880948584 /nfs/dbraw/zinc/94/85/84/880948584.db2.gz DSEBBSGWUVDNIH-HIFRSBDPSA-N 1 2 304.394 1.849 20 30 DDEDLO COCc1ccc(C[NH+]2CCN(C(=O)[C@@H](C)C#N)CC2)cc1 ZINC001381083405 880985278 /nfs/dbraw/zinc/98/52/78/880985278.db2.gz QVDXHEUEZLATEX-AWEZNQCLSA-N 1 2 301.390 1.637 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@H](Oc3c(C#N)cccc3[N+](=O)[O-])[C@H]1CC(=O)C2 ZINC001228768219 883658620 /nfs/dbraw/zinc/65/86/20/883658620.db2.gz HXLXPQRPOKKAKC-GDLCADMTSA-N 1 2 301.302 1.649 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@H](Oc3c(C#N)cccc3[N+](=O)[O-])[C@H]1CC(=O)C2 ZINC001228768219 883658631 /nfs/dbraw/zinc/65/86/31/883658631.db2.gz HXLXPQRPOKKAKC-GDLCADMTSA-N 1 2 301.302 1.649 20 30 DDEDLO COC(=O)c1ccc(C)nc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@H+]2C ZINC001228790661 883673878 /nfs/dbraw/zinc/67/38/78/883673878.db2.gz QGGVNADPWZXRDS-VSSGSJIUSA-N 1 2 304.346 1.168 20 30 DDEDLO COC(=O)c1ccc(C)nc1O[C@@H]1C[C@@H]2[C@H]3O[C@H]3[C@H](C1)[N@@H+]2C ZINC001228790661 883673890 /nfs/dbraw/zinc/67/38/90/883673890.db2.gz QGGVNADPWZXRDS-VSSGSJIUSA-N 1 2 304.346 1.168 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](CCF)Cc1cccnc1 ZINC001230710005 884780886 /nfs/dbraw/zinc/78/08/86/884780886.db2.gz PVXLMKBXZYTNPO-UHFFFAOYSA-N 1 2 309.385 1.562 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](CCF)Cc1cccnc1 ZINC001230710005 884780900 /nfs/dbraw/zinc/78/09/00/884780900.db2.gz PVXLMKBXZYTNPO-UHFFFAOYSA-N 1 2 309.385 1.562 20 30 DDEDLO C=CCOCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc(CC)[nH]n1 ZINC001230806852 884899731 /nfs/dbraw/zinc/89/97/31/884899731.db2.gz SLZDETZLMXXMCN-CQSZACIVSA-N 1 2 306.410 1.321 20 30 DDEDLO C=CCOCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(CC)[nH]n1 ZINC001230806852 884899738 /nfs/dbraw/zinc/89/97/38/884899738.db2.gz SLZDETZLMXXMCN-CQSZACIVSA-N 1 2 306.410 1.321 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)/C(C)=C/CC)C1=O ZINC001231001984 885124939 /nfs/dbraw/zinc/12/49/39/885124939.db2.gz AXBBJFMXELDHBV-RBBJEVKSSA-N 1 2 319.449 1.662 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)/C(C)=C/CC)C1=O ZINC001231001984 885124944 /nfs/dbraw/zinc/12/49/44/885124944.db2.gz AXBBJFMXELDHBV-RBBJEVKSSA-N 1 2 319.449 1.662 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NC(C)(C)C ZINC001231121191 885252006 /nfs/dbraw/zinc/25/20/06/885252006.db2.gz APJOBFXEFWFKRQ-KGLIPLIRSA-N 1 2 309.454 1.789 20 30 DDEDLO C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NC(C)(C)C ZINC001231121191 885252017 /nfs/dbraw/zinc/25/20/17/885252017.db2.gz APJOBFXEFWFKRQ-KGLIPLIRSA-N 1 2 309.454 1.789 20 30 DDEDLO COc1cccc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)[C@H](C)C#N)n1 ZINC001231247088 885429623 /nfs/dbraw/zinc/42/96/23/885429623.db2.gz KSGLUQDRKRVUDS-TZMCWYRMSA-N 1 2 302.378 1.283 20 30 DDEDLO COc1cccc(C[N@H+]2CC[C@@H]2CN(C)C(=O)[C@H](C)C#N)n1 ZINC001231247088 885429629 /nfs/dbraw/zinc/42/96/29/885429629.db2.gz KSGLUQDRKRVUDS-TZMCWYRMSA-N 1 2 302.378 1.283 20 30 DDEDLO CCc1nc(C)c(C[N@@H+]2CC[C@@H]2CN(C)C(=O)[C@@H](C)C#N)o1 ZINC001231293684 885477463 /nfs/dbraw/zinc/47/74/63/885477463.db2.gz PMWBPFQQZWEHKR-WCQYABFASA-N 1 2 304.394 1.738 20 30 DDEDLO CCc1nc(C)c(C[N@H+]2CC[C@@H]2CN(C)C(=O)[C@@H](C)C#N)o1 ZINC001231293684 885477469 /nfs/dbraw/zinc/47/74/69/885477469.db2.gz PMWBPFQQZWEHKR-WCQYABFASA-N 1 2 304.394 1.738 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@@H]2CN(C)C(=O)CCC2CC2)C1=O ZINC001231349621 885533022 /nfs/dbraw/zinc/53/30/22/885533022.db2.gz KPZSHSBOSYMZKR-CVEARBPZSA-N 1 2 319.449 1.496 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@@H]2CN(C)C(=O)CCC2CC2)C1=O ZINC001231349621 885533036 /nfs/dbraw/zinc/53/30/36/885533036.db2.gz KPZSHSBOSYMZKR-CVEARBPZSA-N 1 2 319.449 1.496 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C1C(C)(C)C1(C)C ZINC001231405861 885616500 /nfs/dbraw/zinc/61/65/00/885616500.db2.gz REQWOYJNIUBVSY-CQSZACIVSA-N 1 2 306.450 1.851 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)C1C(C)(C)C1(C)C ZINC001231405861 885616504 /nfs/dbraw/zinc/61/65/04/885616504.db2.gz REQWOYJNIUBVSY-CQSZACIVSA-N 1 2 306.450 1.851 20 30 DDEDLO COc1cc(C#N)ccc1C[NH+]1CCN(c2ncc(C)cn2)CC1 ZINC001231621717 885764771 /nfs/dbraw/zinc/76/47/71/885764771.db2.gz JHKOCDJSUJIXHF-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO N#Cc1cccc(CCC[N@H+]2Cc3ccnn3CC[C@H]2C(N)=O)c1 ZINC001277588328 885950965 /nfs/dbraw/zinc/95/09/65/885950965.db2.gz KLITVDQKPRHXEW-KRWDZBQOSA-N 1 2 323.400 1.447 20 30 DDEDLO N#Cc1cccc(CCC[N@@H+]2Cc3ccnn3CC[C@H]2C(N)=O)c1 ZINC001277588328 885950972 /nfs/dbraw/zinc/95/09/72/885950972.db2.gz KLITVDQKPRHXEW-KRWDZBQOSA-N 1 2 323.400 1.447 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1C[C@H]2C[C@H](CC(N)=O)O[C@H]2C1 ZINC001277660481 886335751 /nfs/dbraw/zinc/33/57/51/886335751.db2.gz XBQQGAXZRHLZOR-YUELXQCFSA-N 1 2 303.337 1.162 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1C[C@H]2C[C@H](CC(N)=O)O[C@H]2C1 ZINC001277660481 886335768 /nfs/dbraw/zinc/33/57/68/886335768.db2.gz XBQQGAXZRHLZOR-YUELXQCFSA-N 1 2 303.337 1.162 20 30 DDEDLO N#Cc1cc[nH]c1CN1CC([NH+]2CCN(c3ccccn3)CC2)C1 ZINC001233048161 886758239 /nfs/dbraw/zinc/75/82/39/886758239.db2.gz XWOFRECDKPJSFV-UHFFFAOYSA-N 1 2 322.416 1.288 20 30 DDEDLO CN(C)c1ccc(C[N@@H+]2CCOC[C@](C)(O)C2)c(F)c1C#N ZINC001233097753 886785208 /nfs/dbraw/zinc/78/52/08/886785208.db2.gz BDLJARFCLQBYTN-MRXNPFEDSA-N 1 2 307.369 1.347 20 30 DDEDLO CN(C)c1ccc(C[N@H+]2CCOC[C@](C)(O)C2)c(F)c1C#N ZINC001233097753 886785218 /nfs/dbraw/zinc/78/52/18/886785218.db2.gz BDLJARFCLQBYTN-MRXNPFEDSA-N 1 2 307.369 1.347 20 30 DDEDLO CC(C)(C)OC(=O)N1CCN(Cc2cccc(N)[nH+]2)[C@H](C#N)C1 ZINC001233369166 886950836 /nfs/dbraw/zinc/95/08/36/886950836.db2.gz CLMHFIUGVNXKCO-CYBMUJFWSA-N 1 2 317.393 1.609 20 30 DDEDLO C[NH+]1CCN(C2CC[NH+](Cc3ccc(C#N)cc3[O-])CC2)CC1 ZINC001233385722 886967434 /nfs/dbraw/zinc/96/74/34/886967434.db2.gz RPFOBFRESHVUFB-UHFFFAOYSA-N 1 2 314.433 1.476 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)C(F)=C(C)C)C1=O ZINC001233653407 887190234 /nfs/dbraw/zinc/19/02/34/887190234.db2.gz LFAAIJCFGKGVMR-KBPBESRZSA-N 1 2 323.412 1.569 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C(F)=C(C)C)C1=O ZINC001233653407 887190247 /nfs/dbraw/zinc/19/02/47/887190247.db2.gz LFAAIJCFGKGVMR-KBPBESRZSA-N 1 2 323.412 1.569 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C1=CCCCCC1 ZINC001233856694 887396028 /nfs/dbraw/zinc/39/60/28/887396028.db2.gz KQPTZXYDSWYIJQ-INIZCTEOSA-N 1 2 319.449 1.712 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1=CCCCCC1 ZINC001233856694 887396042 /nfs/dbraw/zinc/39/60/42/887396042.db2.gz KQPTZXYDSWYIJQ-INIZCTEOSA-N 1 2 319.449 1.712 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1nccnc1C ZINC001233978269 887517124 /nfs/dbraw/zinc/51/71/24/887517124.db2.gz SCQBOYQFBUSXMG-YOEHRIQHSA-N 1 2 318.421 1.145 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1nccnc1C ZINC001233978269 887517139 /nfs/dbraw/zinc/51/71/39/887517139.db2.gz SCQBOYQFBUSXMG-YOEHRIQHSA-N 1 2 318.421 1.145 20 30 DDEDLO Cc1nn(C)cc1C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234118695 887663439 /nfs/dbraw/zinc/66/34/39/887663439.db2.gz KANQAHUXVXZOII-INIZCTEOSA-N 1 2 302.422 1.421 20 30 DDEDLO Cc1nn(C)cc1C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234118695 887663449 /nfs/dbraw/zinc/66/34/49/887663449.db2.gz KANQAHUXVXZOII-INIZCTEOSA-N 1 2 302.422 1.421 20 30 DDEDLO CCN(C(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C)C1CC1 ZINC001234128437 887672904 /nfs/dbraw/zinc/67/29/04/887672904.db2.gz CCTABRSTLIEIMV-INIZCTEOSA-N 1 2 319.449 1.189 20 30 DDEDLO CCN(C(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C)C1CC1 ZINC001234128437 887672908 /nfs/dbraw/zinc/67/29/08/887672908.db2.gz CCTABRSTLIEIMV-INIZCTEOSA-N 1 2 319.449 1.189 20 30 DDEDLO C[N@H+](CCCNC(=O)CSCC#N)Cc1nnc(C2CC2)o1 ZINC001234146040 887682800 /nfs/dbraw/zinc/68/28/00/887682800.db2.gz ALAPCNPQYQRMSI-UHFFFAOYSA-N 1 2 323.422 1.142 20 30 DDEDLO C[N@@H+](CCCNC(=O)CSCC#N)Cc1nnc(C2CC2)o1 ZINC001234146040 887682809 /nfs/dbraw/zinc/68/28/09/887682809.db2.gz ALAPCNPQYQRMSI-UHFFFAOYSA-N 1 2 323.422 1.142 20 30 DDEDLO C[N@H+](CCCNC(=O)CSCC#N)Cc1nc(C2CC2)no1 ZINC001234155096 887694616 /nfs/dbraw/zinc/69/46/16/887694616.db2.gz VNOPZXLZTYXQTE-UHFFFAOYSA-N 1 2 323.422 1.142 20 30 DDEDLO C[N@@H+](CCCNC(=O)CSCC#N)Cc1nc(C2CC2)no1 ZINC001234155096 887694625 /nfs/dbraw/zinc/69/46/25/887694625.db2.gz VNOPZXLZTYXQTE-UHFFFAOYSA-N 1 2 323.422 1.142 20 30 DDEDLO CCCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214568 887754171 /nfs/dbraw/zinc/75/41/71/887754171.db2.gz CRGFASIKWKAUEV-CABCVRRESA-N 1 2 321.465 1.483 20 30 DDEDLO CCCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214568 887754185 /nfs/dbraw/zinc/75/41/85/887754185.db2.gz CRGFASIKWKAUEV-CABCVRRESA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnc(C)o1 ZINC001234246276 887788028 /nfs/dbraw/zinc/78/80/28/887788028.db2.gz LJUJHVNTRWCPQG-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnc(C)o1 ZINC001234246276 887788041 /nfs/dbraw/zinc/78/80/41/887788041.db2.gz LJUJHVNTRWCPQG-CQSZACIVSA-N 1 2 305.378 1.056 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ccns1 ZINC001234246092 887788220 /nfs/dbraw/zinc/78/82/20/887788220.db2.gz IKTGXWCKOKQECH-CYBMUJFWSA-N 1 2 307.419 1.216 20 30 DDEDLO C#CCOCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1ccns1 ZINC001234246092 887788240 /nfs/dbraw/zinc/78/82/40/887788240.db2.gz IKTGXWCKOKQECH-CYBMUJFWSA-N 1 2 307.419 1.216 20 30 DDEDLO CCNc1cc(CNC(=O)NCc2ccc(C#N)cn2)cc[nH+]1 ZINC001364278851 888281909 /nfs/dbraw/zinc/28/19/09/888281909.db2.gz KPVITMRNPODICC-UHFFFAOYSA-N 1 2 310.361 1.779 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001364758121 889351096 /nfs/dbraw/zinc/35/10/96/889351096.db2.gz RREGHWREFJRAMB-WBVHZDCISA-N 1 2 318.373 1.246 20 30 DDEDLO COC[C@]1(C(=O)OC)CCC[N@H+]1C[C@@H](O)c1cccc(C#N)c1 ZINC001364758121 889351108 /nfs/dbraw/zinc/35/11/08/889351108.db2.gz RREGHWREFJRAMB-WBVHZDCISA-N 1 2 318.373 1.246 20 30 DDEDLO C#CCN(C(=O)c1cnn(C)n1)C1CC[NH+](CC(=C)Cl)CC1 ZINC001278188403 890034473 /nfs/dbraw/zinc/03/44/73/890034473.db2.gz OWXICMVZRYBIBB-UHFFFAOYSA-N 1 2 321.812 1.107 20 30 DDEDLO Cc1ccc(O)cc1C[NH+]1CCN(c2nccnc2C#N)CC1 ZINC001238107088 890036345 /nfs/dbraw/zinc/03/63/45/890036345.db2.gz PWFQJWUSDCARCO-UHFFFAOYSA-N 1 2 309.373 1.685 20 30 DDEDLO CCCCCCCCCCS(=O)(=O)N[C@H]1C[NH2+]CCC1=O ZINC001238623516 890291151 /nfs/dbraw/zinc/29/11/51/890291151.db2.gz BAHKMZBAFDOOMM-AWEZNQCLSA-N 1 2 318.483 1.978 20 30 DDEDLO N#Cc1csc(C[N@H+]2CC[C@H](c3cc(=O)[nH]c(=O)[nH]3)C2)n1 ZINC001365313876 890568041 /nfs/dbraw/zinc/56/80/41/890568041.db2.gz KFXWNZSOAFTXCE-QMMMGPOBSA-N 1 2 303.347 1.205 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CC[C@H](c3cc(=O)[nH]c(=O)[nH]3)C2)n1 ZINC001365313876 890568045 /nfs/dbraw/zinc/56/80/45/890568045.db2.gz KFXWNZSOAFTXCE-QMMMGPOBSA-N 1 2 303.347 1.205 20 30 DDEDLO CCn1nnc(C)c1C[N@@H+]1CCc2c(C#N)c(N)sc2C1 ZINC001365335323 890612820 /nfs/dbraw/zinc/61/28/20/890612820.db2.gz FUMALGHMKBSNFE-UHFFFAOYSA-N 1 2 302.407 1.680 20 30 DDEDLO CCn1nnc(C)c1C[N@H+]1CCc2c(C#N)c(N)sc2C1 ZINC001365335323 890612824 /nfs/dbraw/zinc/61/28/24/890612824.db2.gz FUMALGHMKBSNFE-UHFFFAOYSA-N 1 2 302.407 1.680 20 30 DDEDLO COc1nc(CN(CCC#N)CC[NH+]2CCOCC2)ccc1C ZINC001365510649 890952093 /nfs/dbraw/zinc/95/20/93/890952093.db2.gz FNPUFPAJLDRHKI-UHFFFAOYSA-N 1 2 318.421 1.447 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)CCn1cccn1 ZINC001366193944 892700516 /nfs/dbraw/zinc/70/05/16/892700516.db2.gz LELIEYCBMXCRKV-CQSZACIVSA-N 1 2 310.829 1.996 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)CCn1cccn1 ZINC001366193944 892700524 /nfs/dbraw/zinc/70/05/24/892700524.db2.gz LELIEYCBMXCRKV-CQSZACIVSA-N 1 2 310.829 1.996 20 30 DDEDLO C[C@@H]1CN([C@@H]2CC[N@H+](Cc3ccccc3C#N)C2)S(=O)(=O)C1 ZINC001250416016 894282087 /nfs/dbraw/zinc/28/20/87/894282087.db2.gz AVDBNPHBVVKDLP-CZUORRHYSA-N 1 2 319.430 1.414 20 30 DDEDLO C[C@@H]1CN([C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)S(=O)(=O)C1 ZINC001250416016 894282100 /nfs/dbraw/zinc/28/21/00/894282100.db2.gz AVDBNPHBVVKDLP-CZUORRHYSA-N 1 2 319.430 1.414 20 30 DDEDLO C=CCOC(=O)N1CC[NH+](C[C@H](O)COc2ccccc2)CC1 ZINC001251198562 894664403 /nfs/dbraw/zinc/66/44/03/894664403.db2.gz BOIVLQYMBTUDAF-HNNXBMFYSA-N 1 2 320.389 1.367 20 30 DDEDLO C=CCC[C@H](O)C[N@@H+](CCC(=O)OCC)CC(=O)OCC ZINC001252599546 895310595 /nfs/dbraw/zinc/31/05/95/895310595.db2.gz BOFYQMBYRGOGJP-ZDUSSCGKSA-N 1 2 301.383 1.132 20 30 DDEDLO C=CCC[C@H](O)C[N@H+](CCC(=O)OCC)CC(=O)OCC ZINC001252599546 895310608 /nfs/dbraw/zinc/31/06/08/895310608.db2.gz BOFYQMBYRGOGJP-ZDUSSCGKSA-N 1 2 301.383 1.132 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH2+]C[C@H](O)COC(C)(C)C)[C@@H](C)CC ZINC001253472028 895868868 /nfs/dbraw/zinc/86/88/68/895868868.db2.gz OMXSZKITHOBVAV-IHRRRGAJSA-N 1 2 301.427 1.896 20 30 DDEDLO C=C[C@H](O)C[N@H+]1CCO[C@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001253577124 895906115 /nfs/dbraw/zinc/90/61/15/895906115.db2.gz RQGNYMHEMQMQHY-IHRRRGAJSA-N 1 2 312.410 1.244 20 30 DDEDLO C=C[C@H](O)C[N@@H+]1CCO[C@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001253577124 895906128 /nfs/dbraw/zinc/90/61/28/895906128.db2.gz RQGNYMHEMQMQHY-IHRRRGAJSA-N 1 2 312.410 1.244 20 30 DDEDLO C=C(C)CCC(=O)NCCNC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC001292829125 914031601 /nfs/dbraw/zinc/03/16/01/914031601.db2.gz UQUIXAHCMAXTJQ-UHFFFAOYSA-N 1 2 318.421 1.351 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1C[N@H+](CC(N)=O)CCC1(F)F ZINC001278747601 896157267 /nfs/dbraw/zinc/15/72/67/896157267.db2.gz PUMDTLHHJPYFFT-NWDGAFQWSA-N 1 2 317.380 1.147 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@@H]1C[N@@H+](CC(N)=O)CCC1(F)F ZINC001278747601 896157277 /nfs/dbraw/zinc/15/72/77/896157277.db2.gz PUMDTLHHJPYFFT-NWDGAFQWSA-N 1 2 317.380 1.147 20 30 DDEDLO CCc1ccc(C[N@@H+]2CCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)cc1 ZINC001367292650 896365460 /nfs/dbraw/zinc/36/54/60/896365460.db2.gz CBKXAUPRHLJIBO-YOEHRIQHSA-N 1 2 315.417 1.726 20 30 DDEDLO CCc1ccc(C[N@H+]2CCO[C@@H](CNC(=O)[C@@H](C)C#N)C2)cc1 ZINC001367292650 896365479 /nfs/dbraw/zinc/36/54/79/896365479.db2.gz CBKXAUPRHLJIBO-YOEHRIQHSA-N 1 2 315.417 1.726 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@]1(O)CC[N@H+](Cc2nccn2C)C1 ZINC001278805983 896583556 /nfs/dbraw/zinc/58/35/56/896583556.db2.gz UJYWKJUMKNQPIN-DLBZAZTESA-N 1 2 320.437 1.075 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@]1(O)CC[N@@H+](Cc2nccn2C)C1 ZINC001278805983 896583570 /nfs/dbraw/zinc/58/35/70/896583570.db2.gz UJYWKJUMKNQPIN-DLBZAZTESA-N 1 2 320.437 1.075 20 30 DDEDLO C#CCC[NH+]1CC(O)(CNC(=O)[C@@H]2CCCC[C@@H]2C2CC2)C1 ZINC001278892148 897137248 /nfs/dbraw/zinc/13/72/48/897137248.db2.gz ZQIWMBOVTVAOLB-HZPDHXFCSA-N 1 2 304.434 1.389 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@H](C)NC(=O)c2c[nH]c(C#N)c2)s1 ZINC001367797223 897832368 /nfs/dbraw/zinc/83/23/68/897832368.db2.gz STLBRGBGFHNDNR-JTQLQIEISA-N 1 2 317.418 1.902 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@H](C)NC(=O)c2c[nH]c(C#N)c2)s1 ZINC001367797223 897832375 /nfs/dbraw/zinc/83/23/75/897832375.db2.gz STLBRGBGFHNDNR-JTQLQIEISA-N 1 2 317.418 1.902 20 30 DDEDLO Cc1nnc([C@H](C)[NH2+]C2(CNC(=O)c3ccc(C#N)[nH]3)CC2)o1 ZINC001368001205 898452826 /nfs/dbraw/zinc/45/28/26/898452826.db2.gz XXENSCZHZJUHOW-VIFPVBQESA-N 1 2 314.349 1.191 20 30 DDEDLO C=CCS(=O)(=O)N1C[C@H]2C[N@H+](Cc3nccs3)C[C@H]2C1 ZINC001259925795 898939065 /nfs/dbraw/zinc/93/90/65/898939065.db2.gz HCJVHTHDKXMTLG-TXEJJXNPSA-N 1 2 313.448 1.023 20 30 DDEDLO C=CCS(=O)(=O)N1C[C@H]2C[N@@H+](Cc3nccs3)C[C@H]2C1 ZINC001259925795 898939071 /nfs/dbraw/zinc/93/90/71/898939071.db2.gz HCJVHTHDKXMTLG-TXEJJXNPSA-N 1 2 313.448 1.023 20 30 DDEDLO C=CCS(=O)(=O)NCc1c[nH+]cn1Cc1ccccc1OC ZINC001259935613 898952253 /nfs/dbraw/zinc/95/22/53/898952253.db2.gz KSZLHKYAAPHJEB-UHFFFAOYSA-N 1 2 321.402 1.545 20 30 DDEDLO C[C@H](CCCNC(=O)Cn1cc[nH+]c1)NC(=O)C#CC(C)(C)C ZINC001280819805 899149747 /nfs/dbraw/zinc/14/97/47/899149747.db2.gz NLDZXELLJDVURD-CQSZACIVSA-N 1 2 318.421 1.334 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1c(C)nc2ccnn2c1C ZINC001390781158 900164782 /nfs/dbraw/zinc/16/47/82/900164782.db2.gz CHQPPMBNCIYSQV-UHFFFAOYSA-N 1 2 321.812 1.760 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1c(C)nc2ccnn2c1C ZINC001390781158 900164787 /nfs/dbraw/zinc/16/47/87/900164787.db2.gz CHQPPMBNCIYSQV-UHFFFAOYSA-N 1 2 321.812 1.760 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CCC(CC)CC1 ZINC001262947491 900431519 /nfs/dbraw/zinc/43/15/19/900431519.db2.gz MSIHKODKMCJDMM-LSDHHAIUSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@H]2C[C@H](O)C[N@H+]2C)nnc1N1CCC(CC)CC1 ZINC001262947491 900431525 /nfs/dbraw/zinc/43/15/25/900431525.db2.gz MSIHKODKMCJDMM-LSDHHAIUSA-N 1 2 319.453 1.828 20 30 DDEDLO C=C(Cl)C[N@H+](CC)CCNC(=O)Cc1c(C)nn(C)c1C ZINC001390957110 900582190 /nfs/dbraw/zinc/58/21/90/900582190.db2.gz FGKNLBVTRSTPLA-UHFFFAOYSA-N 1 2 312.845 1.770 20 30 DDEDLO C=C(Cl)C[N@@H+](CC)CCNC(=O)Cc1c(C)nn(C)c1C ZINC001390957110 900582197 /nfs/dbraw/zinc/58/21/97/900582197.db2.gz FGKNLBVTRSTPLA-UHFFFAOYSA-N 1 2 312.845 1.770 20 30 DDEDLO C=CCC[C@@H]1CCCN1C(=O)N[C@@H](C)[C@@H]1CN(C)CC[N@@H+]1C ZINC001263778582 900705143 /nfs/dbraw/zinc/70/51/43/900705143.db2.gz NNSSQMYMMNGROB-XHSDSOJGSA-N 1 2 308.470 1.761 20 30 DDEDLO C=CCC[C@@H]1CCCN1C(=O)N[C@@H](C)[C@@H]1CN(C)CC[N@H+]1C ZINC001263778582 900705156 /nfs/dbraw/zinc/70/51/56/900705156.db2.gz NNSSQMYMMNGROB-XHSDSOJGSA-N 1 2 308.470 1.761 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H]1CN(C)C(=O)Cc1ccccc1 ZINC001263801405 900711192 /nfs/dbraw/zinc/71/11/92/900711192.db2.gz DKVXCYRYYZLLLF-INIZCTEOSA-N 1 2 315.417 1.064 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H]1CN(C)C(=O)Cc1ccccc1 ZINC001263801405 900711203 /nfs/dbraw/zinc/71/12/03/900711203.db2.gz DKVXCYRYYZLLLF-INIZCTEOSA-N 1 2 315.417 1.064 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@@H+](Cc2ccn(CC)n2)[C@@H]1C ZINC001264142365 900974445 /nfs/dbraw/zinc/97/44/45/900974445.db2.gz ZBDPJPKJMNWREG-FMKPAKJESA-N 1 2 318.421 1.020 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@H]1CC[N@H+](Cc2ccn(CC)n2)[C@@H]1C ZINC001264142365 900974455 /nfs/dbraw/zinc/97/44/55/900974455.db2.gz ZBDPJPKJMNWREG-FMKPAKJESA-N 1 2 318.421 1.020 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C[C@H](C)CC(C)C ZINC001264376018 901058333 /nfs/dbraw/zinc/05/83/33/901058333.db2.gz ALTRCKMLVUKQEB-HZPDHXFCSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@H](C)CC(C)C ZINC001264376018 901058347 /nfs/dbraw/zinc/05/83/47/901058347.db2.gz ALTRCKMLVUKQEB-HZPDHXFCSA-N 1 2 321.465 1.341 20 30 DDEDLO C#CCCCC(=O)N1CCc2sc(C[NH2+]CC#C)nc2C1 ZINC001264746839 901326892 /nfs/dbraw/zinc/32/68/92/901326892.db2.gz HCQILLZCIANEJG-UHFFFAOYSA-N 1 2 301.415 1.554 20 30 DDEDLO CCCc1nc(C[NH2+]C2CC(N(C)C(=O)[C@H](C)C#N)C2)no1 ZINC001369571843 901556669 /nfs/dbraw/zinc/55/66/69/901556669.db2.gz GIFBHIAOZIQNNQ-VOMCLLRMSA-N 1 2 305.382 1.261 20 30 DDEDLO CC[C@@H](C)CCC(=O)N[C@H]1CCC[N@H+](CC(=O)NCC#N)C1 ZINC001265229532 901748869 /nfs/dbraw/zinc/74/88/69/901748869.db2.gz ZORZOUYRCBTWNP-KGLIPLIRSA-N 1 2 308.426 1.033 20 30 DDEDLO CC[C@@H](C)CCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC001265229532 901748881 /nfs/dbraw/zinc/74/88/81/901748881.db2.gz ZORZOUYRCBTWNP-KGLIPLIRSA-N 1 2 308.426 1.033 20 30 DDEDLO C=C(C)CCC(=O)NCC[NH+]1CCN(Cc2ncccn2)CC1 ZINC001265260038 901784777 /nfs/dbraw/zinc/78/47/77/901784777.db2.gz UIDQQNTYCQHMEL-UHFFFAOYSA-N 1 2 317.437 1.067 20 30 DDEDLO C#CCN1CC[NH+](CCNC(=O)[C@@H]2CCCC(F)(F)C2)CC1 ZINC001265264487 901786360 /nfs/dbraw/zinc/78/63/60/901786360.db2.gz RMDBREPHYPVAIT-CQSZACIVSA-N 1 2 313.392 1.179 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001265284595 901824359 /nfs/dbraw/zinc/82/43/59/901824359.db2.gz SPUICLKVFVJULX-LSDHHAIUSA-N 1 2 307.438 1.141 20 30 DDEDLO CCCCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001265284595 901824371 /nfs/dbraw/zinc/82/43/71/901824371.db2.gz SPUICLKVFVJULX-LSDHHAIUSA-N 1 2 307.438 1.141 20 30 DDEDLO Cc1nnc(C[NH+]2CCC3(C[C@H]3NC(=O)C#CC(C)C)CC2)[nH]1 ZINC001265327738 901893235 /nfs/dbraw/zinc/89/32/35/901893235.db2.gz LXPRVBMIIPBVLU-CQSZACIVSA-N 1 2 315.421 1.243 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+][C@@H](C)c2nc(C(C)C)no2)C1 ZINC001265422666 902000816 /nfs/dbraw/zinc/00/08/16/902000816.db2.gz VQWAIQGKEWFMGU-STQMWFEESA-N 1 2 322.409 1.647 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)C[C@](C)(O)C2CC2)C1 ZINC001391610635 902138271 /nfs/dbraw/zinc/13/82/71/902138271.db2.gz BDBDLITUOTVYKS-ZFWWWQNUSA-N 1 2 300.830 1.823 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)C[C@](C)(O)C2CC2)C1 ZINC001391610635 902138275 /nfs/dbraw/zinc/13/82/75/902138275.db2.gz BDBDLITUOTVYKS-ZFWWWQNUSA-N 1 2 300.830 1.823 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@H]([NH2+]Cc2nnc(CC)o2)C1 ZINC001265924866 902604759 /nfs/dbraw/zinc/60/47/59/902604759.db2.gz GDLBFELEPAWAML-ZDUSSCGKSA-N 1 2 304.394 1.516 20 30 DDEDLO CCn1ccc(C[N@H+](C)C[C@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001375016976 914651769 /nfs/dbraw/zinc/65/17/69/914651769.db2.gz DMVWHYCIBBENKJ-LBPRGKRZSA-N 1 2 314.393 1.353 20 30 DDEDLO CCn1ccc(C[N@@H+](C)C[C@H](C)NC(=O)c2cc(C#N)c[nH]2)n1 ZINC001375016976 914651774 /nfs/dbraw/zinc/65/17/74/914651774.db2.gz DMVWHYCIBBENKJ-LBPRGKRZSA-N 1 2 314.393 1.353 20 30 DDEDLO C=C[C@H](CC)CC(=O)NC[C@@H](C)N(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001280525642 903764586 /nfs/dbraw/zinc/76/45/86/903764586.db2.gz RNGIZMMSRYRVRV-CHWSQXEVSA-N 1 2 306.410 1.518 20 30 DDEDLO C=CCN1CC[NH+]([C@@H]2CCN(C(=O)CSC(C)C)C2)CC1 ZINC001280681099 903916839 /nfs/dbraw/zinc/91/68/39/903916839.db2.gz ZAESPTMAYZQSOU-OAHLLOKOSA-N 1 2 311.495 1.533 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cn2cc[nH+]c2)[C@@H]1C ZINC001281139855 904463818 /nfs/dbraw/zinc/46/38/18/904463818.db2.gz JCERZUCGHYKKER-ZIAGYGMSSA-N 1 2 304.394 1.345 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)Oc1cccc(C)c1 ZINC001316611351 904924580 /nfs/dbraw/zinc/92/45/80/904924580.db2.gz ZVBXVSMOWAWCAE-CVEARBPZSA-N 1 2 300.402 1.928 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)Oc1cccc(C)c1 ZINC001316611351 904924586 /nfs/dbraw/zinc/92/45/86/904924586.db2.gz ZVBXVSMOWAWCAE-CVEARBPZSA-N 1 2 300.402 1.928 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CCCC[C@H]1C[NH2+]Cc1nnn(C)n1 ZINC001281878340 905285432 /nfs/dbraw/zinc/28/54/32/905285432.db2.gz RGLNEDASKITNDF-KBPBESRZSA-N 1 2 320.441 1.283 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC[N@@H+]1Cc1cc(C#N)ccc1F ZINC001377373041 921160506 /nfs/dbraw/zinc/16/05/06/921160506.db2.gz AYLQADXHTLZIQQ-IUODEOHRSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CCC[N@H+]1Cc1cc(C#N)ccc1F ZINC001377373041 921160513 /nfs/dbraw/zinc/16/05/13/921160513.db2.gz AYLQADXHTLZIQQ-IUODEOHRSA-N 1 2 314.364 1.938 20 30 DDEDLO C#CCOCCC(=O)NC[C@H](C)[N@H+](C)[C@H](C)c1ncc(C)o1 ZINC001282391724 905739550 /nfs/dbraw/zinc/73/95/50/905739550.db2.gz RCSLWHATMPZTIK-GXTWGEPZSA-N 1 2 307.394 1.520 20 30 DDEDLO C#CCOCCC(=O)NC[C@H](C)[N@@H+](C)[C@H](C)c1ncc(C)o1 ZINC001282391724 905739559 /nfs/dbraw/zinc/73/95/59/905739559.db2.gz RCSLWHATMPZTIK-GXTWGEPZSA-N 1 2 307.394 1.520 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](C)[N@H+](C)CC(=O)Nc1ccon1 ZINC001282468963 905809581 /nfs/dbraw/zinc/80/95/81/905809581.db2.gz OBDBDGPENFCOCP-LBPRGKRZSA-N 1 2 322.409 1.652 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@H](C)[N@@H+](C)CC(=O)Nc1ccon1 ZINC001282468963 905809590 /nfs/dbraw/zinc/80/95/90/905809590.db2.gz OBDBDGPENFCOCP-LBPRGKRZSA-N 1 2 322.409 1.652 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)C23CCC(CC2)CC3)C1 ZINC001282545988 905866540 /nfs/dbraw/zinc/86/65/40/905866540.db2.gz XOFZCUULVXKYFF-UHFFFAOYSA-N 1 2 304.434 1.533 20 30 DDEDLO Cc1nc(C[N@H+](C)CCNC(=O)c2cc(C#N)c[nH]2)sc1C ZINC001371938858 906049150 /nfs/dbraw/zinc/04/91/50/906049150.db2.gz DUUAAEJEPWGOIO-UHFFFAOYSA-N 1 2 317.418 1.822 20 30 DDEDLO Cc1nc(C[N@@H+](C)CCNC(=O)c2cc(C#N)c[nH]2)sc1C ZINC001371938858 906049162 /nfs/dbraw/zinc/04/91/62/906049162.db2.gz DUUAAEJEPWGOIO-UHFFFAOYSA-N 1 2 317.418 1.822 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)CCc2c[nH+]cn2C)C1 ZINC001283332804 907151610 /nfs/dbraw/zinc/15/16/10/907151610.db2.gz DOBXHVDRDCFEET-CYBMUJFWSA-N 1 2 304.394 1.036 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC[C@H](N(C)C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001283400137 907287781 /nfs/dbraw/zinc/28/77/81/907287781.db2.gz JJBFTHZSQDKEPA-ZFWWWQNUSA-N 1 2 318.421 1.614 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](CO)[NH2+]Cc1csc(C)n1 ZINC001283797669 907974770 /nfs/dbraw/zinc/97/47/70/907974770.db2.gz QRMIGJBIFVPADE-ZDUSSCGKSA-N 1 2 309.435 1.212 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(CO)(NC(=O)C[C@@H](C)SC)CC1 ZINC001393844076 908062638 /nfs/dbraw/zinc/06/26/38/908062638.db2.gz UIABYZPKOFJACL-GFCCVEGCSA-N 1 2 320.886 1.824 20 30 DDEDLO COC[C@@H](C)C(=O)NC[C@H](C)[N@H+](C)Cc1cc(F)ccc1C#N ZINC001394047574 908627009 /nfs/dbraw/zinc/62/70/09/908627009.db2.gz YVPKGAZEZVLKAN-OLZOCXBDSA-N 1 2 321.396 1.916 20 30 DDEDLO COC[C@@H](C)C(=O)NC[C@H](C)[N@@H+](C)Cc1cc(F)ccc1C#N ZINC001394047574 908627011 /nfs/dbraw/zinc/62/70/11/908627011.db2.gz YVPKGAZEZVLKAN-OLZOCXBDSA-N 1 2 321.396 1.916 20 30 DDEDLO Cc1cnc(C[N@@H+](C)C[C@H](O)CN(C)C(=O)C#CC2CC2)s1 ZINC001284492970 909074585 /nfs/dbraw/zinc/07/45/85/909074585.db2.gz NZZCBKFVULESOA-AWEZNQCLSA-N 1 2 321.446 1.116 20 30 DDEDLO Cc1cnc(C[N@H+](C)C[C@H](O)CN(C)C(=O)C#CC2CC2)s1 ZINC001284492970 909074594 /nfs/dbraw/zinc/07/45/94/909074594.db2.gz NZZCBKFVULESOA-AWEZNQCLSA-N 1 2 321.446 1.116 20 30 DDEDLO C=CCCC(=O)N(C)C[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001284846408 909563774 /nfs/dbraw/zinc/56/37/74/909563774.db2.gz SJKZIWXBTPPVBF-CYBMUJFWSA-N 1 2 304.394 1.225 20 30 DDEDLO CC[C@H](CNC(=O)C#CC1CC1)NC(=O)c1cccc2[nH+]ccn21 ZINC001285057840 910015252 /nfs/dbraw/zinc/01/52/52/910015252.db2.gz ZBWISLSWQMGQJN-CQSZACIVSA-N 1 2 324.384 1.372 20 30 DDEDLO CC[C@@H](C)OCC(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001373502984 910032672 /nfs/dbraw/zinc/03/26/72/910032672.db2.gz HUYHUAPTEOTRKF-CQSZACIVSA-N 1 2 315.417 1.921 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CN(C)C(C)=O)[C@@H]1C ZINC001394685062 910276283 /nfs/dbraw/zinc/27/62/83/910276283.db2.gz BVDYSVQCDJAFNQ-AAEUAGOBSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CN(C)C(C)=O)[C@@H]1C ZINC001394685062 910276298 /nfs/dbraw/zinc/27/62/98/910276298.db2.gz BVDYSVQCDJAFNQ-AAEUAGOBSA-N 1 2 301.818 1.186 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H]2[C@H](CCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001285386232 910450500 /nfs/dbraw/zinc/45/05/00/910450500.db2.gz BLQLTLBMWYBVIN-UKRRQHHQSA-N 1 2 316.405 1.368 20 30 DDEDLO C[C@@H](CNC(=O)C#CC(C)(C)C)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001285731066 911119797 /nfs/dbraw/zinc/11/97/97/911119797.db2.gz ZJWWGDCWTBYNAY-KBPBESRZSA-N 1 2 318.421 1.505 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)CNC(=O)CCc1[nH]cc[nH+]1 ZINC001285773734 911186120 /nfs/dbraw/zinc/18/61/20/911186120.db2.gz WTFKZYBCVHVKSL-BLLLJJGKSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001286013777 911568102 /nfs/dbraw/zinc/56/81/02/911568102.db2.gz AZQPKFOJRUMJFG-UHFFFAOYSA-N 1 2 316.405 1.390 20 30 DDEDLO C=CCCC(=O)N1CC([C@@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)C1 ZINC001286203392 911824474 /nfs/dbraw/zinc/82/44/74/911824474.db2.gz SFNJHTVMXXAUHX-MRVWCRGKSA-N 1 2 316.405 1.443 20 30 DDEDLO C=CCCC(=O)N1CC([C@@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)C1 ZINC001286203392 911824489 /nfs/dbraw/zinc/82/44/89/911824489.db2.gz SFNJHTVMXXAUHX-MRVWCRGKSA-N 1 2 316.405 1.443 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C1 ZINC001294747591 915336313 /nfs/dbraw/zinc/33/63/13/915336313.db2.gz CLEGFYXELBRVEE-GJZGRUSLSA-N 1 2 316.405 1.211 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001295247041 915677862 /nfs/dbraw/zinc/67/78/62/915677862.db2.gz XCLGNIGZPNXCRO-CQSZACIVSA-N 1 2 316.405 1.111 20 30 DDEDLO C=CCC1(C(=O)NC2CC[NH+]([C@H]3CCOC3=O)CC2)CCC1 ZINC001295431354 915778274 /nfs/dbraw/zinc/77/82/74/915778274.db2.gz ZLLGPSCYPLXYQY-AWEZNQCLSA-N 1 2 306.406 1.629 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCN(C)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC001296071747 916223306 /nfs/dbraw/zinc/22/33/06/916223306.db2.gz VTZBTBIPSKAGJU-UHFFFAOYSA-N 1 2 320.437 1.864 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)CCOC)C1 ZINC001375984099 917412195 /nfs/dbraw/zinc/41/21/95/917412195.db2.gz RYBPXLJEUMNRJI-NSHDSACASA-N 1 2 310.772 1.849 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)CCOC)C1 ZINC001375984099 917412203 /nfs/dbraw/zinc/41/22/03/917412203.db2.gz RYBPXLJEUMNRJI-NSHDSACASA-N 1 2 310.772 1.849 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cccc2c1oc(=O)n2C ZINC001377172559 920537268 /nfs/dbraw/zinc/53/72/68/920537268.db2.gz WMBOCYYZXNMCOR-UHFFFAOYSA-N 1 2 323.780 1.546 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cccc2c1oc(=O)n2C ZINC001377172559 920537282 /nfs/dbraw/zinc/53/72/82/920537282.db2.gz WMBOCYYZXNMCOR-UHFFFAOYSA-N 1 2 323.780 1.546 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cncc(-n2ccnn2)c1 ZINC001377200987 920614242 /nfs/dbraw/zinc/61/42/42/920614242.db2.gz AUSLKTAMYFYSJT-UHFFFAOYSA-N 1 2 320.784 1.076 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cncc(-n2ccnn2)c1 ZINC001377200987 920614250 /nfs/dbraw/zinc/61/42/50/920614250.db2.gz AUSLKTAMYFYSJT-UHFFFAOYSA-N 1 2 320.784 1.076 20 30 DDEDLO CC(C)(F)C(=O)NCC1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001377952595 923500394 /nfs/dbraw/zinc/50/03/94/923500394.db2.gz ZQMMHUBFQUCRFW-UHFFFAOYSA-N 1 2 307.344 1.993 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C(N)=O)cc3F)C[C@H]21 ZINC000329916520 529790919 /nfs/dbraw/zinc/79/09/19/529790919.db2.gz DTNJKSMDBGHDEO-OLZOCXBDSA-N 1 2 322.340 1.269 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3ccc(C(N)=O)cc3F)C[C@H]21 ZINC000329916520 529790920 /nfs/dbraw/zinc/79/09/20/529790920.db2.gz DTNJKSMDBGHDEO-OLZOCXBDSA-N 1 2 322.340 1.269 20 30 DDEDLO C[N@@H+](CC(N)=O)C[C@H]1CN(C(=O)NCC2CCCC2)CCO1 ZINC000330985432 529824705 /nfs/dbraw/zinc/82/47/05/529824705.db2.gz PONCOTBDLHWQRJ-ZDUSSCGKSA-N 1 2 312.414 1.258 20 30 DDEDLO C[N@H+](CC(N)=O)C[C@H]1CN(C(=O)NCC2CCCC2)CCO1 ZINC000330985432 529824707 /nfs/dbraw/zinc/82/47/07/529824707.db2.gz PONCOTBDLHWQRJ-ZDUSSCGKSA-N 1 2 312.414 1.258 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)[C@@H]2CCC[N@@H+]2Cc2ccccn2)C1 ZINC000615825183 362454954 /nfs/dbraw/zinc/45/49/54/362454954.db2.gz NZAAUNZDYBVJGF-HOCLYGCPSA-N 1 2 302.353 1.510 20 30 DDEDLO N#C[C@@]1(F)CCN(C(=O)[C@@H]2CCC[N@H+]2Cc2ccccn2)C1 ZINC000615825183 362454960 /nfs/dbraw/zinc/45/49/60/362454960.db2.gz NZAAUNZDYBVJGF-HOCLYGCPSA-N 1 2 302.353 1.510 20 30 DDEDLO CN(Cc1cn2c([nH+]1)CCCC2)S(=O)(=O)CCCCC#N ZINC000370438623 530015505 /nfs/dbraw/zinc/01/55/05/530015505.db2.gz NPNFHUZRJAEYGB-UHFFFAOYSA-N 1 2 310.423 1.675 20 30 DDEDLO Cc1cc(C(=O)N[C@H](C)[C@@H](C)[NH+]2CCOCC2)ncc1C#N ZINC000457544208 232076436 /nfs/dbraw/zinc/07/64/36/232076436.db2.gz PBEURGQFNSEVAL-CHWSQXEVSA-N 1 2 302.378 1.101 20 30 DDEDLO Cc1cc(NCc2c(Br)cnn2C)c(C#N)c[nH+]1 ZINC000601219607 358452867 /nfs/dbraw/zinc/45/28/67/358452867.db2.gz SUFUYPQNFKQLCU-UHFFFAOYSA-N 1 2 306.167 1.792 20 30 DDEDLO N#CCCN(CCOCC1CCCC1)CC[NH+]1CCOCC1 ZINC000459353901 233126447 /nfs/dbraw/zinc/12/64/47/233126447.db2.gz IKNAJNGGSUTENP-UHFFFAOYSA-N 1 2 309.454 1.741 20 30 DDEDLO N#Cc1ccc(Cl)c(C(=O)NCC2([NH+]3CCOCC3)CC2)c1 ZINC000287187202 219367552 /nfs/dbraw/zinc/36/75/52/219367552.db2.gz TUCJCWJBGWNSPF-UHFFFAOYSA-N 1 2 319.792 1.806 20 30 DDEDLO C#C[C@@H](C)NC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000186074106 186238455 /nfs/dbraw/zinc/23/84/55/186238455.db2.gz GDVDYYZGOMFRJS-ZBFHGGJFSA-N 1 2 301.390 1.381 20 30 DDEDLO C=CCCO[C@H](C)C(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000264260604 186331134 /nfs/dbraw/zinc/33/11/34/186331134.db2.gz CYKJLGRUILSKAL-CQSZACIVSA-N 1 2 322.409 1.135 20 30 DDEDLO CCCS(=O)(=O)NC1CC[NH+](Cc2ccccc2C#N)CC1 ZINC000030134334 352249896 /nfs/dbraw/zinc/24/98/96/352249896.db2.gz DHSXSKYDSHDLKM-UHFFFAOYSA-N 1 2 321.446 1.852 20 30 DDEDLO CC(C)(C#N)C(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000042092478 352329115 /nfs/dbraw/zinc/32/91/15/352329115.db2.gz NCXLRETZFDPNNP-OAHLLOKOSA-N 1 2 301.390 1.726 20 30 DDEDLO C[C@@H](C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1)n1cccn1 ZINC000044670309 352390345 /nfs/dbraw/zinc/39/03/45/352390345.db2.gz BEXNCMCVKBSAOW-HNNXBMFYSA-N 1 2 323.400 1.660 20 30 DDEDLO COC(=O)C[N@@H+]1CCCN(c2ccc([N+](=O)[O-])cc2C#N)CC1 ZINC000044897803 352397004 /nfs/dbraw/zinc/39/70/04/352397004.db2.gz CFFHUCDWDZEUIA-UHFFFAOYSA-N 1 2 318.333 1.152 20 30 DDEDLO COC(=O)C[N@H+]1CCCN(c2ccc([N+](=O)[O-])cc2C#N)CC1 ZINC000044897803 352397006 /nfs/dbraw/zinc/39/70/06/352397006.db2.gz CFFHUCDWDZEUIA-UHFFFAOYSA-N 1 2 318.333 1.152 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000046943522 352471366 /nfs/dbraw/zinc/47/13/66/352471366.db2.gz WSVGHOPOPXYMEW-ZDUSSCGKSA-N 1 2 301.394 1.851 20 30 DDEDLO C#CCN(CC)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000056550638 352776978 /nfs/dbraw/zinc/77/69/78/352776978.db2.gz WAGKUZZMACLIET-KRWDZBQOSA-N 1 2 315.417 1.552 20 30 DDEDLO C#CCN(CC)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000056550638 352776981 /nfs/dbraw/zinc/77/69/81/352776981.db2.gz WAGKUZZMACLIET-KRWDZBQOSA-N 1 2 315.417 1.552 20 30 DDEDLO CCCCNC(=O)CO[NH+]=C(N)c1ccc(OC)c(OC)c1 ZINC000060552825 352875789 /nfs/dbraw/zinc/87/57/89/352875789.db2.gz JZGNLIRJZIMERN-UHFFFAOYSA-N 1 2 309.366 1.257 20 30 DDEDLO N#CC1CC[NH+](CC(=O)NCCCN2CCCCCC2=O)CC1 ZINC000057875005 352832171 /nfs/dbraw/zinc/83/21/71/352832171.db2.gz BGXFDJNTQKSLML-UHFFFAOYSA-N 1 2 320.437 1.131 20 30 DDEDLO C[C@H](C#N)CN(C)CC(=O)N1CC[NH+](Cc2cccs2)CC1 ZINC000066469047 352998713 /nfs/dbraw/zinc/99/87/13/352998713.db2.gz MLQYJOSALUNTDO-CQSZACIVSA-N 1 2 320.462 1.484 20 30 DDEDLO N#CCOc1ccc(/C=C\C(=O)N2CCn3cc[nH+]c3C2)cc1 ZINC000491882487 234324762 /nfs/dbraw/zinc/32/47/62/234324762.db2.gz JXKYGQBNBWMBRH-UTCJRWHESA-N 1 2 308.341 1.841 20 30 DDEDLO C[C@@H]1[C@@H](C)[S@@](=O)CC[N@@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000072666203 353208100 /nfs/dbraw/zinc/20/81/00/353208100.db2.gz ZBGKOOKZPFPCOC-GIYNXVAASA-N 1 2 319.430 1.728 20 30 DDEDLO C[C@@H]1[C@@H](C)[S@@](=O)CC[N@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000072666203 353208101 /nfs/dbraw/zinc/20/81/01/353208101.db2.gz ZBGKOOKZPFPCOC-GIYNXVAASA-N 1 2 319.430 1.728 20 30 DDEDLO CC(C)(C#N)N1CC[NH+]([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000161095263 354241959 /nfs/dbraw/zinc/24/19/59/354241959.db2.gz OSPNYBXHXYKSSM-INIZCTEOSA-N 1 2 312.417 1.712 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3OCC#N)C[C@@H]21 ZINC000225464629 354317854 /nfs/dbraw/zinc/31/78/54/354317854.db2.gz ZMEIXLNAPXWBFB-GOEBONIOSA-N 1 2 315.373 1.134 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccccc3OCC#N)C[C@@H]21 ZINC000225464629 354317856 /nfs/dbraw/zinc/31/78/56/354317856.db2.gz ZMEIXLNAPXWBFB-GOEBONIOSA-N 1 2 315.373 1.134 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)NCCCCn2cc[nH+]c2)cc1 ZINC000315638377 354495671 /nfs/dbraw/zinc/49/56/71/354495671.db2.gz VZXWLDLXUYXXMY-UHFFFAOYSA-N 1 2 318.402 1.655 20 30 DDEDLO CCc1nnc(SC[C@H]2C[N@H+](C)CCO2)c(C#N)c1CC ZINC000400748651 354662508 /nfs/dbraw/zinc/66/25/08/354662508.db2.gz BRJSSVRBFRQERI-LLVKDONJSA-N 1 2 306.435 1.896 20 30 DDEDLO CCc1nnc(SC[C@H]2C[N@@H+](C)CCO2)c(C#N)c1CC ZINC000400748651 354662514 /nfs/dbraw/zinc/66/25/14/354662514.db2.gz BRJSSVRBFRQERI-LLVKDONJSA-N 1 2 306.435 1.896 20 30 DDEDLO N#CCC[NH2+]CCNS(=O)(=O)c1ccc(Cl)cc1F ZINC000578881204 354713247 /nfs/dbraw/zinc/71/32/47/354713247.db2.gz RVVLOTHANRIESI-UHFFFAOYSA-N 1 2 305.762 1.261 20 30 DDEDLO C[C@H]1C[C@]1(C#N)C(=O)N(CCn1cc[nH+]c1)Cc1cnn(C)c1 ZINC000579243172 354717894 /nfs/dbraw/zinc/71/78/94/354717894.db2.gz ABVUUTIRZIJWCH-XJKSGUPXSA-N 1 2 312.377 1.195 20 30 DDEDLO CCCOc1ccc(OCC(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000579424576 354719105 /nfs/dbraw/zinc/71/91/05/354719105.db2.gz LCYIULCPJHDEES-QGZVFWFLSA-N 1 2 319.405 1.814 20 30 DDEDLO N#Cc1ccccc1CSCCNC(=O)Cn1cc[nH+]c1 ZINC000585726049 354832427 /nfs/dbraw/zinc/83/24/27/354832427.db2.gz WERUXKAAKSAFIO-UHFFFAOYSA-N 1 2 300.387 1.804 20 30 DDEDLO COC(=O)C[C@](C)([NH2+]Cc1ccnc(C#N)c1)c1ccccn1 ZINC000586769742 354862514 /nfs/dbraw/zinc/86/25/14/354862514.db2.gz YMMOEQYCFAJOSV-KRWDZBQOSA-N 1 2 310.357 1.916 20 30 DDEDLO C=C[C@@H]([NH2+][C@@H](C)C1CCN(CC(F)(F)F)CC1)C(=O)OC ZINC000590180850 355056857 /nfs/dbraw/zinc/05/68/57/355056857.db2.gz JGLOXJRHUCGOGH-CMPLNLGQSA-N 1 2 308.344 1.966 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)CN[C@@H]1COc2cc(F)cc(F)c21 ZINC000591760648 355379618 /nfs/dbraw/zinc/37/96/18/355379618.db2.gz ODNUWZMRHQUELX-WCQYABFASA-N 1 2 311.332 1.194 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)CN[C@@H]1COc2cc(F)cc(F)c21 ZINC000591760648 355379621 /nfs/dbraw/zinc/37/96/21/355379621.db2.gz ODNUWZMRHQUELX-WCQYABFASA-N 1 2 311.332 1.194 20 30 DDEDLO N#CC[C@@]1(O)CCN(C(=O)NCC[NH+]2Cc3ccccc3C2)C1 ZINC000591936892 355440655 /nfs/dbraw/zinc/44/06/55/355440655.db2.gz JXGNPGRBVXAYJX-QGZVFWFLSA-N 1 2 314.389 1.062 20 30 DDEDLO CCC[C@@H](NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1)c1ccccc1 ZINC000592146539 355511852 /nfs/dbraw/zinc/51/18/52/355511852.db2.gz CQUBRQJDLWFAPF-SJLPKXTDSA-N 1 2 315.417 1.994 20 30 DDEDLO CCC[C@@H](NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1)c1ccccc1 ZINC000592146539 355511854 /nfs/dbraw/zinc/51/18/54/355511854.db2.gz CQUBRQJDLWFAPF-SJLPKXTDSA-N 1 2 315.417 1.994 20 30 DDEDLO N#CC[C@]1(O)CC[N@H+](CC(=O)NCCc2ccc(Cl)cc2)C1 ZINC000592148381 355515108 /nfs/dbraw/zinc/51/51/08/355515108.db2.gz UQYKZXFEGKZDRV-INIZCTEOSA-N 1 2 321.808 1.349 20 30 DDEDLO N#CC[C@]1(O)CC[N@@H+](CC(=O)NCCc2ccc(Cl)cc2)C1 ZINC000592148381 355515109 /nfs/dbraw/zinc/51/51/09/355515109.db2.gz UQYKZXFEGKZDRV-INIZCTEOSA-N 1 2 321.808 1.349 20 30 DDEDLO CCOc1ccc(NC(=O)C[N@@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592149488 355516736 /nfs/dbraw/zinc/51/67/36/355516736.db2.gz PWEJHKSWGAFDQH-INIZCTEOSA-N 1 2 303.362 1.374 20 30 DDEDLO CCOc1ccc(NC(=O)C[N@H+]2CC[C@@](O)(CC#N)C2)cc1 ZINC000592149488 355516738 /nfs/dbraw/zinc/51/67/38/355516738.db2.gz PWEJHKSWGAFDQH-INIZCTEOSA-N 1 2 303.362 1.374 20 30 DDEDLO Cc1ccccc1C[C@@H](C)NC(=O)C[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592150753 355517578 /nfs/dbraw/zinc/51/75/78/355517578.db2.gz IMVQYXZXTGSOBS-QAPCUYQASA-N 1 2 315.417 1.393 20 30 DDEDLO Cc1ccccc1C[C@@H](C)NC(=O)C[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592150753 355517580 /nfs/dbraw/zinc/51/75/80/355517580.db2.gz IMVQYXZXTGSOBS-QAPCUYQASA-N 1 2 315.417 1.393 20 30 DDEDLO CC(=O)NCC[N@@H+](C)CC(=O)N(CCC#N)c1ccccc1 ZINC000592152005 355518634 /nfs/dbraw/zinc/51/86/34/355518634.db2.gz KARCHQNGCOLOMK-UHFFFAOYSA-N 1 2 302.378 1.001 20 30 DDEDLO CC(=O)NCC[N@H+](C)CC(=O)N(CCC#N)c1ccccc1 ZINC000592152005 355518635 /nfs/dbraw/zinc/51/86/35/355518635.db2.gz KARCHQNGCOLOMK-UHFFFAOYSA-N 1 2 302.378 1.001 20 30 DDEDLO N#CC[C@]1(O)CCN(C(=O)c2ccc(Cn3cc[nH+]c3)cc2)C1 ZINC000592842944 355712120 /nfs/dbraw/zinc/71/21/20/355712120.db2.gz MVDMOXYNMLYBDQ-KRWDZBQOSA-N 1 2 310.357 1.422 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)CC1 ZINC000592947380 355748679 /nfs/dbraw/zinc/74/86/79/355748679.db2.gz FUNHGHVBUFJLSR-GOEBONIOSA-N 1 2 315.421 1.138 20 30 DDEDLO CC[C@H]1C[N@H+](CC(=O)NCc2ccc(C#N)cc2)[C@H](C)CO1 ZINC000593065191 355784972 /nfs/dbraw/zinc/78/49/72/355784972.db2.gz ZDROONFKTBMKHX-CJNGLKHVSA-N 1 2 301.390 1.674 20 30 DDEDLO CC[C@H]1C[N@@H+](CC(=O)NCc2ccc(C#N)cc2)[C@H](C)CO1 ZINC000593065191 355784973 /nfs/dbraw/zinc/78/49/73/355784973.db2.gz ZDROONFKTBMKHX-CJNGLKHVSA-N 1 2 301.390 1.674 20 30 DDEDLO N#Cc1cccc2c1C[N@H+](C[C@@H](O)Cn1cc([N+](=O)[O-])cn1)C2 ZINC000593119632 355805432 /nfs/dbraw/zinc/80/54/32/355805432.db2.gz DIIALUZZZCILSM-CQSZACIVSA-N 1 2 313.317 1.040 20 30 DDEDLO N#Cc1cccc2c1C[N@@H+](C[C@@H](O)Cn1cc([N+](=O)[O-])cn1)C2 ZINC000593119632 355805434 /nfs/dbraw/zinc/80/54/34/355805434.db2.gz DIIALUZZZCILSM-CQSZACIVSA-N 1 2 313.317 1.040 20 30 DDEDLO COc1ccc(O[C@H](C)C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000593155659 355813819 /nfs/dbraw/zinc/81/38/19/355813819.db2.gz OQVIEQFCOLWUOJ-MLGOLLRUSA-N 1 2 305.378 1.423 20 30 DDEDLO COCC[N@@H+]1CCN(C(=O)c2sccc2C#N)C(C)(C)C1 ZINC000593338524 355857023 /nfs/dbraw/zinc/85/70/23/355857023.db2.gz KMDFNJGMNSBKMO-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO COCC[N@H+]1CCN(C(=O)c2sccc2C#N)C(C)(C)C1 ZINC000593338524 355857027 /nfs/dbraw/zinc/85/70/27/355857027.db2.gz KMDFNJGMNSBKMO-UHFFFAOYSA-N 1 2 307.419 1.803 20 30 DDEDLO CC(C)C[NH+]1CCN(S(=O)(=O)c2ccsc2C#N)CC1 ZINC000593525609 355914023 /nfs/dbraw/zinc/91/40/23/355914023.db2.gz UHOXTCMCWSFAFB-UHFFFAOYSA-N 1 2 313.448 1.582 20 30 DDEDLO N#Cc1c(F)cc(CNC(=O)[C@H]2CCn3c[nH+]cc3C2)cc1F ZINC000594354193 356181310 /nfs/dbraw/zinc/18/13/10/356181310.db2.gz QUYAZZMMDUHLFL-NSHDSACASA-N 1 2 316.311 1.912 20 30 DDEDLO CC(C)(C#N)c1ccc(C[NH+]2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000594606995 356264408 /nfs/dbraw/zinc/26/44/08/356264408.db2.gz PEOYSRQNNUZWBA-UHFFFAOYSA-N 1 2 324.388 1.235 20 30 DDEDLO N#Cc1ccc(Cn2cc(CC[NH+]3CCOCC3)nn2)c(F)c1 ZINC000594650852 356274785 /nfs/dbraw/zinc/27/47/85/356274785.db2.gz YZLPAMOIEWYTHT-UHFFFAOYSA-N 1 2 315.352 1.212 20 30 DDEDLO N#Cc1ccc(N2CC[NH+]([C@@H]3CCCCNC3=O)CC2)c(F)c1 ZINC000128724969 283160978 /nfs/dbraw/zinc/16/09/78/283160978.db2.gz CJAZFPKTTXAINM-MRXNPFEDSA-N 1 2 316.380 1.488 20 30 DDEDLO COc1ccccc1-c1nc(NC(=O)[C@@H]2C[N@H+](C)CCO2)n[nH]1 ZINC000081283972 192243642 /nfs/dbraw/zinc/24/36/42/192243642.db2.gz ILMKASNRYOBKIA-LBPRGKRZSA-N 1 2 317.349 1.399 20 30 DDEDLO COc1ccccc1-c1nc(NC(=O)[C@@H]2C[N@@H+](C)CCO2)n[nH]1 ZINC000081283972 192243644 /nfs/dbraw/zinc/24/36/44/192243644.db2.gz ILMKASNRYOBKIA-LBPRGKRZSA-N 1 2 317.349 1.399 20 30 DDEDLO C[C@H](NS(=O)(=O)Cc1ccc(CC#N)cc1)c1[nH+]ccn1C ZINC000595382316 356473105 /nfs/dbraw/zinc/47/31/05/356473105.db2.gz FIVHRFICQYTAGY-LBPRGKRZSA-N 1 2 318.402 1.667 20 30 DDEDLO Cc1cccc(S(=O)(=O)N[C@@H](C)c2[nH+]ccn2C)c1C#N ZINC000595382862 356473295 /nfs/dbraw/zinc/47/32/95/356473295.db2.gz JVPPMQCEXLACJE-NSHDSACASA-N 1 2 304.375 1.640 20 30 DDEDLO Cc1cc(S(=O)(=O)N[C@@H](C)c2[nH+]ccn2C)ccc1C#N ZINC000595384288 356473898 /nfs/dbraw/zinc/47/38/98/356473898.db2.gz VMXXIQNQFKYOQO-NSHDSACASA-N 1 2 304.375 1.640 20 30 DDEDLO C[C@H]1COC2(CCCC2)C[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000595816955 356661912 /nfs/dbraw/zinc/66/19/12/356661912.db2.gz ZVMUNAMKHWPOER-HNNXBMFYSA-N 1 2 318.421 1.676 20 30 DDEDLO C[C@H]1COC2(CCCC2)C[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000595816955 356661914 /nfs/dbraw/zinc/66/19/14/356661914.db2.gz ZVMUNAMKHWPOER-HNNXBMFYSA-N 1 2 318.421 1.676 20 30 DDEDLO CO[C@@H]1CS(=O)(=O)[C@H]2C[N@H+](Cc3ccc(C#N)c(C)c3)C[C@H]21 ZINC000595783936 356651466 /nfs/dbraw/zinc/65/14/66/356651466.db2.gz HKIOEYIUVWOCIW-XHSDSOJGSA-N 1 2 320.414 1.111 20 30 DDEDLO CO[C@@H]1CS(=O)(=O)[C@H]2C[N@@H+](Cc3ccc(C#N)c(C)c3)C[C@H]21 ZINC000595783936 356651470 /nfs/dbraw/zinc/65/14/70/356651470.db2.gz HKIOEYIUVWOCIW-XHSDSOJGSA-N 1 2 320.414 1.111 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H]2COC[C@H]2C1 ZINC000595831363 356669472 /nfs/dbraw/zinc/66/94/72/356669472.db2.gz OEWMVEFOMGLDGI-OAGGEKHMSA-N 1 2 300.358 1.741 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H]2COC[C@H]2C1 ZINC000595831363 356669473 /nfs/dbraw/zinc/66/94/73/356669473.db2.gz OEWMVEFOMGLDGI-OAGGEKHMSA-N 1 2 300.358 1.741 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@@H](C)[C@](C)(CO)C2)c(C#N)c1C ZINC000595874402 356687700 /nfs/dbraw/zinc/68/77/00/356687700.db2.gz OHRUKPGFIWFTLV-HWPZZCPQSA-N 1 2 305.378 1.657 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@@H](C)[C@](C)(CO)C2)c(C#N)c1C ZINC000595874402 356687705 /nfs/dbraw/zinc/68/77/05/356687705.db2.gz OHRUKPGFIWFTLV-HWPZZCPQSA-N 1 2 305.378 1.657 20 30 DDEDLO N#Cc1cccc([N+](=O)[O-])c1N1CCC[C@@H]([NH+]2CCOCC2)C1 ZINC000596247599 356831306 /nfs/dbraw/zinc/83/13/06/356831306.db2.gz RQASSTXJSBRBQY-CQSZACIVSA-N 1 2 316.361 1.767 20 30 DDEDLO N#Cc1ccc(C(=O)N2CC[C@@H]([NH+]3CCC3)[C@@H](F)C2)cc1O ZINC000597582328 357237606 /nfs/dbraw/zinc/23/76/06/357237606.db2.gz UVERQCGMCACBEI-UONOGXRCSA-N 1 2 303.337 1.522 20 30 DDEDLO N#Cc1c(F)cc(C(=O)N[C@H]2CCc3c[nH+]cn3C2)cc1F ZINC000597641178 357266163 /nfs/dbraw/zinc/26/61/63/357266163.db2.gz FKUKBMHTNVRUJO-JTQLQIEISA-N 1 2 302.284 1.778 20 30 DDEDLO C[C@@H](C#N)N(C(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1)C1CC1 ZINC000598127269 357468791 /nfs/dbraw/zinc/46/87/91/357468791.db2.gz ACUYKBGXRJAQCF-YOEHRIQHSA-N 1 2 313.401 1.790 20 30 DDEDLO C[C@@H](C#N)N(C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1)C1CC1 ZINC000598127269 357468793 /nfs/dbraw/zinc/46/87/93/357468793.db2.gz ACUYKBGXRJAQCF-YOEHRIQHSA-N 1 2 313.401 1.790 20 30 DDEDLO N#Cc1cnc(C(=O)N2CC[NH+]([C@@H]3CCOC3)CC2)c(Cl)c1 ZINC000598151075 357474907 /nfs/dbraw/zinc/47/49/07/357474907.db2.gz QEXPMTFSUBYZFF-GFCCVEGCSA-N 1 2 320.780 1.153 20 30 DDEDLO O=C(NCc1cn2c([nH+]1)CCCC2)NC[C@@H](O)C1CCOCC1 ZINC000329654826 223009869 /nfs/dbraw/zinc/00/98/69/223009869.db2.gz FMOIRLBZPTVVTB-CQSZACIVSA-N 1 2 322.409 1.011 20 30 DDEDLO CC(C)OCc1nc([C@@H](C)[NH2+]C[C@H](O)CC(C)(C)C#N)no1 ZINC000598616264 357655822 /nfs/dbraw/zinc/65/58/22/357655822.db2.gz LIMQLPGJUUHCAO-VXGBXAGGSA-N 1 2 310.398 1.946 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+](Cc2ccnn2CCc2ccccc2)C1 ZINC000598676039 357683036 /nfs/dbraw/zinc/68/30/36/357683036.db2.gz LIXHGYGBODEZDW-GOSISDBHSA-N 1 2 310.401 1.976 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+](Cc2ccnn2CCc2ccccc2)C1 ZINC000598676039 357683040 /nfs/dbraw/zinc/68/30/40/357683040.db2.gz LIXHGYGBODEZDW-GOSISDBHSA-N 1 2 310.401 1.976 20 30 DDEDLO N#Cc1cncc(NC[C@H]2C[N@H+](Cc3ccncc3)CCO2)n1 ZINC000599184626 357842802 /nfs/dbraw/zinc/84/28/02/357842802.db2.gz LBKHLAPZDHIKJE-HNNXBMFYSA-N 1 2 310.361 1.056 20 30 DDEDLO N#Cc1cncc(NC[C@H]2C[N@@H+](Cc3ccncc3)CCO2)n1 ZINC000599184626 357842806 /nfs/dbraw/zinc/84/28/06/357842806.db2.gz LBKHLAPZDHIKJE-HNNXBMFYSA-N 1 2 310.361 1.056 20 30 DDEDLO COCCN1CCC[N@H+](Cc2ccc(C)c(C#N)c2)CC1=O ZINC000599385294 357918554 /nfs/dbraw/zinc/91/85/54/357918554.db2.gz COMGLOVWPRXKID-UHFFFAOYSA-N 1 2 301.390 1.547 20 30 DDEDLO COCCN1CCC[N@@H+](Cc2ccc(C)c(C#N)c2)CC1=O ZINC000599385294 357918560 /nfs/dbraw/zinc/91/85/60/357918560.db2.gz COMGLOVWPRXKID-UHFFFAOYSA-N 1 2 301.390 1.547 20 30 DDEDLO CC(C)OCc1nc([C@@H](C)[NH2+]C[C@@H](O)CC2(C#N)CC2)no1 ZINC000599424460 357929739 /nfs/dbraw/zinc/92/97/39/357929739.db2.gz QCGWXGTXIZDSKZ-NEPJUHHUSA-N 1 2 308.382 1.700 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@@H]3CCC[C@]3(CO)C2)c(C#N)c1C ZINC000599639473 358002328 /nfs/dbraw/zinc/00/23/28/358002328.db2.gz FBQQGCHJANWNNL-SUMWQHHRSA-N 1 2 317.389 1.801 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@@H]3CCC[C@]3(CO)C2)c(C#N)c1C ZINC000599639473 358002331 /nfs/dbraw/zinc/00/23/31/358002331.db2.gz FBQQGCHJANWNNL-SUMWQHHRSA-N 1 2 317.389 1.801 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@@H+]2CCO[C@@H](CCF)C2)cc1 ZINC000599644307 358004350 /nfs/dbraw/zinc/00/43/50/358004350.db2.gz QQEMQNGYMJLMJS-ZBFHGGJFSA-N 1 2 308.353 1.358 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[N@H+]2CCO[C@@H](CCF)C2)cc1 ZINC000599644307 358004352 /nfs/dbraw/zinc/00/43/52/358004352.db2.gz QQEMQNGYMJLMJS-ZBFHGGJFSA-N 1 2 308.353 1.358 20 30 DDEDLO C[N@@H+]1CCOC[C@H]1CNC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000599715239 358037091 /nfs/dbraw/zinc/03/70/91/358037091.db2.gz YEGBCHYBWFDAAW-GFCCVEGCSA-N 1 2 308.769 1.664 20 30 DDEDLO C[N@H+]1CCOC[C@H]1CNC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000599715239 358037093 /nfs/dbraw/zinc/03/70/93/358037093.db2.gz YEGBCHYBWFDAAW-GFCCVEGCSA-N 1 2 308.769 1.664 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NC[C@H]1COCC[N@@H+]1C ZINC000599730622 358041946 /nfs/dbraw/zinc/04/19/46/358041946.db2.gz OICFWIBVEXOLBN-LBPRGKRZSA-N 1 2 304.350 1.019 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)NC[C@H]1COCC[N@H+]1C ZINC000599730622 358041949 /nfs/dbraw/zinc/04/19/49/358041949.db2.gz OICFWIBVEXOLBN-LBPRGKRZSA-N 1 2 304.350 1.019 20 30 DDEDLO CCCNC(=O)C[N@H+](CCC)CC(=O)NC1(C#N)CCCCC1 ZINC000052246493 283367551 /nfs/dbraw/zinc/36/75/51/283367551.db2.gz JFBQZRCSLMMQAL-UHFFFAOYSA-N 1 2 322.453 1.567 20 30 DDEDLO CCCNC(=O)C[N@@H+](CCC)CC(=O)NC1(C#N)CCCCC1 ZINC000052246493 283367553 /nfs/dbraw/zinc/36/75/53/283367553.db2.gz JFBQZRCSLMMQAL-UHFFFAOYSA-N 1 2 322.453 1.567 20 30 DDEDLO C[N@H+](Cc1cn(C[C@@H]2CCOC2)nn1)Cc1ccc(C#N)cc1 ZINC000602438351 358976734 /nfs/dbraw/zinc/97/67/34/358976734.db2.gz IBZHNVDNSMTNKM-INIZCTEOSA-N 1 2 311.389 1.818 20 30 DDEDLO C[N@@H+](Cc1cn(C[C@@H]2CCOC2)nn1)Cc1ccc(C#N)cc1 ZINC000602438351 358976739 /nfs/dbraw/zinc/97/67/39/358976739.db2.gz IBZHNVDNSMTNKM-INIZCTEOSA-N 1 2 311.389 1.818 20 30 DDEDLO Cc1nc([C@H]2CCOC2)sc1C(=O)N[C@@](C)(C#N)C[NH+](C)C ZINC000602332057 358933717 /nfs/dbraw/zinc/93/37/17/358933717.db2.gz JPSMWGYSMJNCEU-NHYWBVRUSA-N 1 2 322.434 1.529 20 30 DDEDLO N#Cc1cccc(CNc2cccc(C[N@@H+]3CCNC(=O)C3)c2)n1 ZINC000602677011 359120710 /nfs/dbraw/zinc/12/07/10/359120710.db2.gz PQPCEGCASQZYMB-UHFFFAOYSA-N 1 2 321.384 1.497 20 30 DDEDLO N#Cc1cccc(CNc2cccc(C[N@H+]3CCNC(=O)C3)c2)n1 ZINC000602677011 359120713 /nfs/dbraw/zinc/12/07/13/359120713.db2.gz PQPCEGCASQZYMB-UHFFFAOYSA-N 1 2 321.384 1.497 20 30 DDEDLO Cc1ccccc1CNC(=O)C[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602857925 359243433 /nfs/dbraw/zinc/24/34/33/359243433.db2.gz WZQVUQNLMQNLTM-IYBDPMFKSA-N 1 2 314.433 1.529 20 30 DDEDLO Cc1ccccc1CNC(=O)C[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602857925 359243436 /nfs/dbraw/zinc/24/34/36/359243436.db2.gz WZQVUQNLMQNLTM-IYBDPMFKSA-N 1 2 314.433 1.529 20 30 DDEDLO COc1cc(OC)c(OC)cc1CNC(=O)C[NH2+][C@@H](C)CC#N ZINC000602862846 359247346 /nfs/dbraw/zinc/24/73/46/359247346.db2.gz KDQGRGGBTNDMSR-NSHDSACASA-N 1 2 321.377 1.220 20 30 DDEDLO C[C@@H](CC#N)[NH2+]CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000602868557 359253450 /nfs/dbraw/zinc/25/34/50/359253450.db2.gz JGNKJDQDXFBDRA-ZDUSSCGKSA-N 1 2 304.369 1.366 20 30 DDEDLO CC(C)C[C@@H](C(=O)NC[C@H]1CCCN(CC#N)C1)n1cc[nH+]c1 ZINC000602897930 359280243 /nfs/dbraw/zinc/28/02/43/359280243.db2.gz UYZDNTHKJWWMCU-CVEARBPZSA-N 1 2 317.437 1.822 20 30 DDEDLO N#Cc1cc(NC(=O)N2CCN(c3cccc[nH+]3)CC2)ccn1 ZINC000603139054 359427541 /nfs/dbraw/zinc/42/75/41/359427541.db2.gz LWHYTAFJMXCHRQ-UHFFFAOYSA-N 1 2 308.345 1.702 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccc([N+](=O)[O-])cc2F)nn1 ZINC000603223843 359495427 /nfs/dbraw/zinc/49/54/27/359495427.db2.gz KBVXFQPZPFJWGC-UHFFFAOYSA-N 1 2 303.297 1.487 20 30 DDEDLO N#Cc1cnccc1Cn1cc(C[NH+]2CCSCC2)nn1 ZINC000603254365 359518757 /nfs/dbraw/zinc/51/87/57/359518757.db2.gz OGJXJMRPNUVZHF-UHFFFAOYSA-N 1 2 300.391 1.142 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)Nc1c(C#N)cnn1-c1ccccc1 ZINC000603291836 359547150 /nfs/dbraw/zinc/54/71/50/359547150.db2.gz ONGULOZATKCSRR-OAHLLOKOSA-N 1 2 324.432 1.792 20 30 DDEDLO Cc1cc(C#N)nc(N2CC[NH+](Cc3cccc(O)c3)CC2)n1 ZINC000281059553 216051469 /nfs/dbraw/zinc/05/14/69/216051469.db2.gz YNGJDPVLZKWCOU-UHFFFAOYSA-N 1 2 309.373 1.685 20 30 DDEDLO O=C(NCC1CCOCC1)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000329889186 223043237 /nfs/dbraw/zinc/04/32/37/223043237.db2.gz ZVYZSGFYYHSDKF-OAHLLOKOSA-N 1 2 305.378 1.875 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)N1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000329909347 223045347 /nfs/dbraw/zinc/04/53/47/223045347.db2.gz MLSZXIPOJIOVHC-VNHYZAJKSA-N 1 2 311.426 1.120 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)CCCn2cc[nH+]c2)CC1 ZINC000609457131 360309600 /nfs/dbraw/zinc/30/96/00/360309600.db2.gz LXMQIDJLWOXUDZ-OAHLLOKOSA-N 1 2 303.410 1.356 20 30 DDEDLO N#C[C@@H](c1ccccc1)[C@@H]1CCC[N@H+]1CCN1C(=O)CCC1=O ZINC000610604314 360480226 /nfs/dbraw/zinc/48/02/26/360480226.db2.gz OLEUZGZLKVYNDO-HOTGVXAUSA-N 1 2 311.385 1.907 20 30 DDEDLO N#C[C@@H](c1ccccc1)[C@@H]1CCC[N@@H+]1CCN1C(=O)CCC1=O ZINC000610604314 360480229 /nfs/dbraw/zinc/48/02/29/360480229.db2.gz OLEUZGZLKVYNDO-HOTGVXAUSA-N 1 2 311.385 1.907 20 30 DDEDLO N#C[C@H]1CCC[C@H]1NC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000610498162 360453864 /nfs/dbraw/zinc/45/38/64/360453864.db2.gz NAGVZIYHBSNOKL-RHSMWYFYSA-N 1 2 313.401 1.941 20 30 DDEDLO N#Cc1cc(CS(=O)(=O)Cc2cn3ccccc3[nH+]2)ccn1 ZINC000610954788 360583536 /nfs/dbraw/zinc/58/35/36/360583536.db2.gz ANLKRLRJBUJBQD-UHFFFAOYSA-N 1 2 312.354 1.716 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)N2CCc3sccc3C2)CC1 ZINC000611174179 360646382 /nfs/dbraw/zinc/64/63/82/360646382.db2.gz FLRXJOYOFWXRJT-UHFFFAOYSA-N 1 2 318.446 1.164 20 30 DDEDLO N#CCCN1CC[NH+](CC(=O)NCc2cccc(Cl)c2)CC1 ZINC000611174405 360647673 /nfs/dbraw/zinc/64/76/73/360647673.db2.gz HWWUCRDITYJUQB-UHFFFAOYSA-N 1 2 320.824 1.487 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@@H+](Cc1cccc(C#N)c1F)CC2 ZINC000611371953 360707101 /nfs/dbraw/zinc/70/71/01/360707101.db2.gz SRIOYYGYSKYRCA-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO CCn1nc2c(cc1=O)C[N@H+](Cc1cccc(C#N)c1F)CC2 ZINC000611371953 360707110 /nfs/dbraw/zinc/70/71/10/360707110.db2.gz SRIOYYGYSKYRCA-UHFFFAOYSA-N 1 2 312.348 1.832 20 30 DDEDLO N#CC1(Cn2nnc([C@H]3C[N@H+](Cc4ccccc4)CCO3)n2)CC1 ZINC000611492219 360734985 /nfs/dbraw/zinc/73/49/85/360734985.db2.gz WGZWGXOOWXVYNG-OAHLLOKOSA-N 1 2 324.388 1.550 20 30 DDEDLO N#CC1(Cn2nnc([C@H]3C[N@@H+](Cc4ccccc4)CCO3)n2)CC1 ZINC000611492219 360734990 /nfs/dbraw/zinc/73/49/90/360734990.db2.gz WGZWGXOOWXVYNG-OAHLLOKOSA-N 1 2 324.388 1.550 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@@H+]2CC[C@@H](C)[C@@H](F)C2)CC1 ZINC000611501924 360738667 /nfs/dbraw/zinc/73/86/67/360738667.db2.gz KOVZVDMPUXNHSA-PMPSAXMXSA-N 1 2 324.444 1.359 20 30 DDEDLO CC(C)[C@H](C#N)N1CCN(C(=O)C[N@H+]2CC[C@@H](C)[C@@H](F)C2)CC1 ZINC000611501924 360738669 /nfs/dbraw/zinc/73/86/69/360738669.db2.gz KOVZVDMPUXNHSA-PMPSAXMXSA-N 1 2 324.444 1.359 20 30 DDEDLO CO[C@@H]1[C@H](C)[C@H]([NH+]=C([O-])N[C@@H]2CCn3cc[nH+]c3C2)C1(C)C ZINC000330202283 223087813 /nfs/dbraw/zinc/08/78/13/223087813.db2.gz ZCKFQMLGQGAUPK-MHDGFBEUSA-N 1 2 306.410 1.761 20 30 DDEDLO CO[C@@H]1[C@H](C)[C@H](NC([O-])=[NH+][C@@H]2CCn3cc[nH+]c3C2)C1(C)C ZINC000330202283 223087814 /nfs/dbraw/zinc/08/78/14/223087814.db2.gz ZCKFQMLGQGAUPK-MHDGFBEUSA-N 1 2 306.410 1.761 20 30 DDEDLO O=C(NCc1[nH+]ccn1CC(F)(F)F)N[C@@H]1CCCC[C@@H]1O ZINC000330565722 223127396 /nfs/dbraw/zinc/12/73/96/223127396.db2.gz KGVXBYXZZVTECQ-ZJUUUORDSA-N 1 2 320.315 1.753 20 30 DDEDLO CCOc1nccc(C)c1C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000331208404 223193783 /nfs/dbraw/zinc/19/37/83/223193783.db2.gz FWANWIDAIKNLHR-CYBMUJFWSA-N 1 2 307.394 1.814 20 30 DDEDLO CCOc1nccc(C)c1C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000331208404 223193784 /nfs/dbraw/zinc/19/37/84/223193784.db2.gz FWANWIDAIKNLHR-CYBMUJFWSA-N 1 2 307.394 1.814 20 30 DDEDLO N#CC[C@@H]1CC[C@H](NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)C1 ZINC000334104926 223227101 /nfs/dbraw/zinc/22/71/01/223227101.db2.gz XTIBEWOOZWPNLP-HRCADAONSA-N 1 2 320.437 1.575 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@H]1CC2CCC1CC2 ZINC000339586016 223270551 /nfs/dbraw/zinc/27/05/51/223270551.db2.gz DRXZNGADWQUENM-JCYILVPMSA-N 1 2 319.449 1.887 20 30 DDEDLO CC(C)CN1C[C@@H]2C[N@H+](Cc3ccnc(C#N)c3)CCN2C1=O ZINC000618762078 363753202 /nfs/dbraw/zinc/75/32/02/363753202.db2.gz BVMGUYYLXJSVAX-INIZCTEOSA-N 1 2 313.405 1.531 20 30 DDEDLO CC(C)CN1C[C@@H]2C[N@@H+](Cc3ccnc(C#N)c3)CCN2C1=O ZINC000618762078 363753206 /nfs/dbraw/zinc/75/32/06/363753206.db2.gz BVMGUYYLXJSVAX-INIZCTEOSA-N 1 2 313.405 1.531 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(NC(=O)c2ccoc2C)CC1 ZINC000619717266 364128035 /nfs/dbraw/zinc/12/80/35/364128035.db2.gz QTUXPUFMZTXXNS-AWEZNQCLSA-N 1 2 306.362 1.510 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@H](C(=O)Nc2ccc(C)cn2)C1 ZINC000619719668 364131004 /nfs/dbraw/zinc/13/10/04/364131004.db2.gz STTPBCXPJOXVKH-KBPBESRZSA-N 1 2 317.389 1.768 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@H](C(=O)Nc2ccc(C)cn2)C1 ZINC000619719668 364131006 /nfs/dbraw/zinc/13/10/06/364131006.db2.gz STTPBCXPJOXVKH-KBPBESRZSA-N 1 2 317.389 1.768 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@@H](C(=O)N(C)c2ccccc2)C1 ZINC000619722390 364132480 /nfs/dbraw/zinc/13/24/80/364132480.db2.gz RGRMVKANZXGLRF-UKRRQHHQSA-N 1 2 302.374 1.699 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@@H](C(=O)N(C)c2ccccc2)C1 ZINC000619722390 364132484 /nfs/dbraw/zinc/13/24/84/364132484.db2.gz RGRMVKANZXGLRF-UKRRQHHQSA-N 1 2 302.374 1.699 20 30 DDEDLO C[C@@H](NCC#CC[NH2+][C@@H](C)c1csnn1)c1csnn1 ZINC000625470246 366947595 /nfs/dbraw/zinc/94/75/95/366947595.db2.gz CHVIELASOYIUAY-AOOOYVTPSA-N 1 2 308.436 1.394 20 30 DDEDLO C[C@@H]([NH2+]CC#CCN[C@@H](C)c1csnn1)c1csnn1 ZINC000625470246 366947605 /nfs/dbraw/zinc/94/76/05/366947605.db2.gz CHVIELASOYIUAY-AOOOYVTPSA-N 1 2 308.436 1.394 20 30 DDEDLO COC(=O)[C@]1(C)C[N@H+](CC(=O)Nc2sccc2C#N)C[C@H]1C ZINC000282835928 217282497 /nfs/dbraw/zinc/28/24/97/217282497.db2.gz SMGRKEODKNEIGO-MEBBXXQBSA-N 1 2 321.402 1.689 20 30 DDEDLO COC(=O)[C@]1(C)C[N@@H+](CC(=O)Nc2sccc2C#N)C[C@H]1C ZINC000282835928 217282500 /nfs/dbraw/zinc/28/25/00/217282500.db2.gz SMGRKEODKNEIGO-MEBBXXQBSA-N 1 2 321.402 1.689 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1CC[C@H](C(=O)OC)C1 ZINC000267571946 206151676 /nfs/dbraw/zinc/15/16/76/206151676.db2.gz DOYAMCHZNACJQF-UONOGXRCSA-N 1 2 302.330 1.267 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1CC[C@H](C(=O)OC)C1 ZINC000267571946 206151677 /nfs/dbraw/zinc/15/16/77/206151677.db2.gz DOYAMCHZNACJQF-UONOGXRCSA-N 1 2 302.330 1.267 20 30 DDEDLO N#Cc1cc(C(=O)NCC2([NH+]3CCOCC3)CC2)ccc1Cl ZINC000566892630 304185804 /nfs/dbraw/zinc/18/58/04/304185804.db2.gz PVKQVBGXFXPBBJ-UHFFFAOYSA-N 1 2 319.792 1.806 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1ccc(C(=O)OCC)cc1)C1CC1 ZINC000274120724 211373344 /nfs/dbraw/zinc/37/33/44/211373344.db2.gz GSSBBISEBPNWGX-UHFFFAOYSA-N 1 2 300.358 1.899 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1ccc(C(=O)OCC)cc1)C1CC1 ZINC000274120724 211373347 /nfs/dbraw/zinc/37/33/47/211373347.db2.gz GSSBBISEBPNWGX-UHFFFAOYSA-N 1 2 300.358 1.899 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1ccccc1N1CCCC1=O)C1CC1 ZINC000274039399 211297413 /nfs/dbraw/zinc/29/74/13/211297413.db2.gz FEFZVQAAZSPDIA-UHFFFAOYSA-N 1 2 311.385 1.850 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1ccccc1N1CCCC1=O)C1CC1 ZINC000274039399 211297415 /nfs/dbraw/zinc/29/74/15/211297415.db2.gz FEFZVQAAZSPDIA-UHFFFAOYSA-N 1 2 311.385 1.850 20 30 DDEDLO C#CC[N@@H+](CC(=O)Nc1ccccc1C(=O)NC(C)C)C1CC1 ZINC000274035110 211292849 /nfs/dbraw/zinc/29/28/49/211292849.db2.gz DRROLVVCHMUCSS-UHFFFAOYSA-N 1 2 313.401 1.861 20 30 DDEDLO C#CC[N@H+](CC(=O)Nc1ccccc1C(=O)NC(C)C)C1CC1 ZINC000274035110 211292853 /nfs/dbraw/zinc/29/28/53/211292853.db2.gz DRROLVVCHMUCSS-UHFFFAOYSA-N 1 2 313.401 1.861 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1CC[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000284634982 218108758 /nfs/dbraw/zinc/10/87/58/218108758.db2.gz OEAPKLOBUBIGDA-JKSUJKDBSA-N 1 2 314.389 1.804 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)N1CC[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000284634982 218108761 /nfs/dbraw/zinc/10/87/61/218108761.db2.gz OEAPKLOBUBIGDA-JKSUJKDBSA-N 1 2 314.389 1.804 20 30 DDEDLO N#Cc1cccc(OCC[N@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)c1 ZINC000109765750 194282902 /nfs/dbraw/zinc/28/29/02/194282902.db2.gz AZDRNWBSKOPGAM-HNNXBMFYSA-N 1 2 320.414 1.589 20 30 DDEDLO N#Cc1cccc(OCC[N@@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)c1 ZINC000109765750 194282903 /nfs/dbraw/zinc/28/29/03/194282903.db2.gz AZDRNWBSKOPGAM-HNNXBMFYSA-N 1 2 320.414 1.589 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+]([C@H](C)c2cccc(F)c2)CC1 ZINC000056490032 184013915 /nfs/dbraw/zinc/01/39/15/184013915.db2.gz QFXLPAARSBVLEO-CQSZACIVSA-N 1 2 305.397 1.807 20 30 DDEDLO COC(=O)c1ccc(NC(=O)C[NH+]2CCC(C#N)CC2)cc1 ZINC000057907287 184061868 /nfs/dbraw/zinc/06/18/68/184061868.db2.gz NJJWMQYCLMEEJM-UHFFFAOYSA-N 1 2 301.346 1.647 20 30 DDEDLO C#CCOc1ccc(C[N@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000157055004 197158594 /nfs/dbraw/zinc/15/85/94/197158594.db2.gz ZOTRLUFTMKFKTI-INIZCTEOSA-N 1 2 319.426 1.850 20 30 DDEDLO C#CCOc1ccc(C[N@@H+](C2CC2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000157055004 197158596 /nfs/dbraw/zinc/15/85/96/197158596.db2.gz ZOTRLUFTMKFKTI-INIZCTEOSA-N 1 2 319.426 1.850 20 30 DDEDLO COC(=O)C1([NH2+]CCOc2ccccc2C#N)CCOCC1 ZINC000451755949 533252371 /nfs/dbraw/zinc/25/23/71/533252371.db2.gz JTAFJCGRTUXGRO-UHFFFAOYSA-N 1 2 304.346 1.249 20 30 DDEDLO COC(=O)C[C@@H](C)[NH+]1CCN(C(=O)C2(C#N)CCCC2)CC1 ZINC000349654938 533596915 /nfs/dbraw/zinc/59/69/15/533596915.db2.gz LDGDQQPDKFTYAC-CYBMUJFWSA-N 1 2 307.394 1.166 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCN1CCC[C@]2(CCCCO2)[C@@H]1C#N ZINC000337226289 297125098 /nfs/dbraw/zinc/12/50/98/297125098.db2.gz FSKCVMLHGKLGGV-IXDOHACOSA-N 1 2 307.438 1.634 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCN1CCC[C@]2(CCCCO2)[C@@H]1C#N ZINC000337226289 297125099 /nfs/dbraw/zinc/12/50/99/297125099.db2.gz FSKCVMLHGKLGGV-IXDOHACOSA-N 1 2 307.438 1.634 20 30 DDEDLO COc1ccccc1C[N@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000011199629 406825393 /nfs/dbraw/zinc/82/53/93/406825393.db2.gz VBAVXTDDBADMNZ-QGZVFWFLSA-N 1 2 301.390 1.935 20 30 DDEDLO COc1ccccc1C[N@@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000011199629 406825398 /nfs/dbraw/zinc/82/53/98/406825398.db2.gz VBAVXTDDBADMNZ-QGZVFWFLSA-N 1 2 301.390 1.935 20 30 DDEDLO CN(C)C(=O)[C@H]1CCC[N@@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000027565894 406911339 /nfs/dbraw/zinc/91/13/39/406911339.db2.gz XBHQFGPHYSDCCO-CQSZACIVSA-N 1 2 300.362 1.049 20 30 DDEDLO CN(C)C(=O)[C@H]1CCC[N@H+]1CC(=O)Nc1ccc(C#N)cc1 ZINC000027565894 406911340 /nfs/dbraw/zinc/91/13/40/406911340.db2.gz XBHQFGPHYSDCCO-CQSZACIVSA-N 1 2 300.362 1.049 20 30 DDEDLO CCOC(=O)c1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000078320995 407024379 /nfs/dbraw/zinc/02/43/79/407024379.db2.gz YZYTZAKQXRNRSU-AWEZNQCLSA-N 1 2 306.362 1.448 20 30 DDEDLO CCOC(=O)c1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000078320995 407024383 /nfs/dbraw/zinc/02/43/83/407024383.db2.gz YZYTZAKQXRNRSU-AWEZNQCLSA-N 1 2 306.362 1.448 20 30 DDEDLO CCCCNC(=O)C[NH+]1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000080907177 407074261 /nfs/dbraw/zinc/07/42/61/407074261.db2.gz KORYGXPGJFATNY-KRWDZBQOSA-N 1 2 314.433 1.785 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)Cc1cccc(Cl)n1 ZINC000081515417 407077297 /nfs/dbraw/zinc/07/72/97/407077297.db2.gz WAGLFQVQJKTJDV-UHFFFAOYSA-N 1 2 308.813 1.783 20 30 DDEDLO C=CC1CC[NH+](Cc2cnn([C@]3(C)CCS(=O)(=O)C3)c2)CC1 ZINC000093359290 407197072 /nfs/dbraw/zinc/19/70/72/407197072.db2.gz GOLAYWGADBOCCV-MRXNPFEDSA-N 1 2 323.462 1.815 20 30 DDEDLO C[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1O ZINC000093343312 407197601 /nfs/dbraw/zinc/19/76/01/407197601.db2.gz ONCYCXKUGOHZDQ-WFASDCNBSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@@H]1O ZINC000093343312 407197603 /nfs/dbraw/zinc/19/76/03/407197603.db2.gz ONCYCXKUGOHZDQ-WFASDCNBSA-N 1 2 308.403 1.035 20 30 DDEDLO C=CC[N@@H+](Cc1ccc(C(=O)NC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000066874771 407262406 /nfs/dbraw/zinc/26/24/06/407262406.db2.gz HTPCHJUPQNUPJN-HNNXBMFYSA-N 1 2 322.430 1.221 20 30 DDEDLO C=CC[N@H+](Cc1ccc(C(=O)NC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000066874771 407262410 /nfs/dbraw/zinc/26/24/10/407262410.db2.gz HTPCHJUPQNUPJN-HNNXBMFYSA-N 1 2 322.430 1.221 20 30 DDEDLO NC(CN1CCOCC1)=[NH+]OCCCOCCc1ccccc1 ZINC000122565552 407304903 /nfs/dbraw/zinc/30/49/03/407304903.db2.gz TWXXBEDPCMSQBR-UHFFFAOYSA-N 1 2 321.421 1.257 20 30 DDEDLO C[C@H](NC(=O)Nc1ccc(CC#N)cc1)[C@H](C)[NH+]1CCOCC1 ZINC000104985770 407352576 /nfs/dbraw/zinc/35/25/76/407352576.db2.gz VJPYGPUGPQWJGH-KBPBESRZSA-N 1 2 316.405 1.983 20 30 DDEDLO C=C(C)C[N@@H+](CC)[C@H](C)C(=O)N(CC)[C@@H]1CCS(=O)(=O)C1 ZINC000124571502 407362371 /nfs/dbraw/zinc/36/23/71/407362371.db2.gz FRXHWDPKIJXOHJ-ZIAGYGMSSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)C[N@H+](CC)[C@H](C)C(=O)N(CC)[C@@H]1CCS(=O)(=O)C1 ZINC000124571502 407362372 /nfs/dbraw/zinc/36/23/72/407362372.db2.gz FRXHWDPKIJXOHJ-ZIAGYGMSSA-N 1 2 316.467 1.309 20 30 DDEDLO N#CC1(C(=O)NCc2cn3cc(Cl)ccc3[nH+]2)CCOCC1 ZINC000109139194 407395729 /nfs/dbraw/zinc/39/57/29/407395729.db2.gz XPXIJPWBPSBTRV-UHFFFAOYSA-N 1 2 318.764 1.924 20 30 DDEDLO C=CC[NH+]1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000125922434 407400854 /nfs/dbraw/zinc/40/08/54/407400854.db2.gz WYWPKEPTOMVHDK-UHFFFAOYSA-N 1 2 306.391 1.055 20 30 DDEDLO C=CCOc1ccccc1CNC(=O)NCC[NH+]1CCOCC1 ZINC000111445680 407410817 /nfs/dbraw/zinc/41/08/17/407410817.db2.gz DKKUOJYXLHEOES-UHFFFAOYSA-N 1 2 319.405 1.383 20 30 DDEDLO CN(C)c1ncc(C[NH+]2CCN(c3ncccc3C#N)CC2)cn1 ZINC000271049334 407488108 /nfs/dbraw/zinc/48/81/08/407488108.db2.gz UNONXMOWIPRHOU-UHFFFAOYSA-N 1 2 323.404 1.131 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1C[C@@H](C)N(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000112997932 407458650 /nfs/dbraw/zinc/45/86/50/407458650.db2.gz KLWMZWLSCFEDLO-MCIONIFRSA-N 1 2 323.437 1.454 20 30 DDEDLO NC(=[NH+]OCC1CCS(=O)(=O)CC1)c1cccc(Cl)c1 ZINC000271177211 407557804 /nfs/dbraw/zinc/55/78/04/407557804.db2.gz VYQDWBKBGUJKBK-UHFFFAOYSA-N 1 2 316.810 1.802 20 30 DDEDLO C[NH+](C)[C@H](CNC(=O)CSCC#N)c1c(F)cccc1F ZINC000115411323 407678134 /nfs/dbraw/zinc/67/81/34/407678134.db2.gz MJVXCZVGGZHROK-GFCCVEGCSA-N 1 2 313.373 1.940 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000266930417 407679782 /nfs/dbraw/zinc/67/97/82/407679782.db2.gz OKIXVAHYJXMMQH-UHFFFAOYSA-N 1 2 305.403 1.229 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC000266930417 407679788 /nfs/dbraw/zinc/67/97/88/407679788.db2.gz OKIXVAHYJXMMQH-UHFFFAOYSA-N 1 2 305.403 1.229 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](CC)CC(=O)Nc1c(F)cccc1F ZINC000179204422 407752816 /nfs/dbraw/zinc/75/28/16/407752816.db2.gz DOVNAFUPDXUBMV-LLVKDONJSA-N 1 2 323.343 1.363 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](CC)CC(=O)Nc1c(F)cccc1F ZINC000179204422 407752820 /nfs/dbraw/zinc/75/28/20/407752820.db2.gz DOVNAFUPDXUBMV-LLVKDONJSA-N 1 2 323.343 1.363 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@@H+]1CCC[C@]12CCOC2=O ZINC000186694081 407748072 /nfs/dbraw/zinc/74/80/72/407748072.db2.gz CFOFHFBJOHJWIC-OAHLLOKOSA-N 1 2 319.386 1.730 20 30 DDEDLO N#Cc1ccsc1NC(=O)CC[N@H+]1CCC[C@]12CCOC2=O ZINC000186694081 407748080 /nfs/dbraw/zinc/74/80/80/407748080.db2.gz CFOFHFBJOHJWIC-OAHLLOKOSA-N 1 2 319.386 1.730 20 30 DDEDLO C[C@@H]1C[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC[C@@H]1O ZINC000186826630 407780283 /nfs/dbraw/zinc/78/02/83/407780283.db2.gz ZXWLBYSQHWSOOZ-RTXFEEFZSA-N 1 2 305.403 1.428 20 30 DDEDLO C[C@@H]1C[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC[C@@H]1O ZINC000186826630 407780287 /nfs/dbraw/zinc/78/02/87/407780287.db2.gz ZXWLBYSQHWSOOZ-RTXFEEFZSA-N 1 2 305.403 1.428 20 30 DDEDLO COC[C@@H](C)NC(=O)NC(=O)C[N@H+](CCC#N)CCC(C)C ZINC000173543832 407887133 /nfs/dbraw/zinc/88/71/33/407887133.db2.gz LWPRXQWKMCKPQZ-CYBMUJFWSA-N 1 2 312.414 1.109 20 30 DDEDLO COC[C@@H](C)NC(=O)NC(=O)C[N@@H+](CCC#N)CCC(C)C ZINC000173543832 407887139 /nfs/dbraw/zinc/88/71/39/407887139.db2.gz LWPRXQWKMCKPQZ-CYBMUJFWSA-N 1 2 312.414 1.109 20 30 DDEDLO CCNC(=O)C[N@H+](CC)[C@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000133469087 407847304 /nfs/dbraw/zinc/84/73/04/407847304.db2.gz RMYZGJBNBXBIBI-LLVKDONJSA-N 1 2 320.393 1.553 20 30 DDEDLO CCNC(=O)C[N@@H+](CC)[C@H](C)C(=O)Nc1oc(C)c(C)c1C#N ZINC000133469087 407847311 /nfs/dbraw/zinc/84/73/11/407847311.db2.gz RMYZGJBNBXBIBI-LLVKDONJSA-N 1 2 320.393 1.553 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](CCC)[C@@H]1CCS(=O)(=O)C1 ZINC000134648332 407921465 /nfs/dbraw/zinc/92/14/65/407921465.db2.gz XMEZFXUZOUCFHO-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](CCC)[C@@H]1CCS(=O)(=O)C1 ZINC000134648332 407921469 /nfs/dbraw/zinc/92/14/69/407921469.db2.gz XMEZFXUZOUCFHO-CQSZACIVSA-N 1 2 316.467 1.310 20 30 DDEDLO Cc1cn2c([nH+]1)CC[C@H](NC(=O)C#Cc1ccc3c(c1)OCO3)C2 ZINC000135564058 408031323 /nfs/dbraw/zinc/03/13/23/408031323.db2.gz ZODKDILHVZAPLT-AWEZNQCLSA-N 1 2 323.352 1.403 20 30 DDEDLO C[C@H](O)C[NH+]1CCN(C(=O)CSc2ccc(C#N)cc2)CC1 ZINC000189727177 408092280 /nfs/dbraw/zinc/09/22/80/408092280.db2.gz FWFCLEKVXMGFCE-ZDUSSCGKSA-N 1 2 319.430 1.175 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000245934175 408105947 /nfs/dbraw/zinc/10/59/47/408105947.db2.gz PTHZCKFVNVRJCV-NVXWUHKLSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000245934175 408105953 /nfs/dbraw/zinc/10/59/53/408105953.db2.gz PTHZCKFVNVRJCV-NVXWUHKLSA-N 1 2 302.374 1.947 20 30 DDEDLO Cc1nc(CC(N)=[NH+]OCC(=O)N2C[C@H](C)C[C@H](C)C2)cs1 ZINC000121054838 408153384 /nfs/dbraw/zinc/15/33/84/408153384.db2.gz YYOWOJZRRWJQMO-PHIMTYICSA-N 1 2 324.450 1.787 20 30 DDEDLO COc1ccc(-c2noc([C@@H](C)O[NH+]=C(N)CCO)n2)cc1 ZINC000121330600 408186569 /nfs/dbraw/zinc/18/65/69/408186569.db2.gz BIAKFZMFJNVLCM-SECBINFHSA-N 1 2 306.322 1.477 20 30 DDEDLO C#CC[N@H+]1CCCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000122124004 408255761 /nfs/dbraw/zinc/25/57/61/408255761.db2.gz FILLHVONDGYSSH-UHFFFAOYSA-N 1 2 308.403 1.025 20 30 DDEDLO C#CC[N@@H+]1CCCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000122124004 408255765 /nfs/dbraw/zinc/25/57/65/408255765.db2.gz FILLHVONDGYSSH-UHFFFAOYSA-N 1 2 308.403 1.025 20 30 DDEDLO COc1ccsc1CN(CCC#N)CC[NH+]1CCOCC1 ZINC000269359175 408282250 /nfs/dbraw/zinc/28/22/50/408282250.db2.gz OAKILMSDZQERAR-UHFFFAOYSA-N 1 2 309.435 1.805 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N(C)CC[NH+]2CCOCC2)cc1 ZINC000263869134 408295210 /nfs/dbraw/zinc/29/52/10/408295210.db2.gz LTZAWMBBCNGQTM-GHRIWEEISA-N 1 2 305.378 1.071 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)COc2ccccc2C=O)CC1 ZINC000263909562 408311890 /nfs/dbraw/zinc/31/18/90/408311890.db2.gz UTZWYMSXPPCUAS-UHFFFAOYSA-N 1 2 300.358 1.045 20 30 DDEDLO CS(=O)(=O)[C@H]1CCC[N@H+](CCOc2cccc(C#N)c2)C1 ZINC000274177398 408313860 /nfs/dbraw/zinc/31/38/60/408313860.db2.gz OISHWLPCIYCAAS-HNNXBMFYSA-N 1 2 308.403 1.446 20 30 DDEDLO CS(=O)(=O)[C@H]1CCC[N@@H+](CCOc2cccc(C#N)c2)C1 ZINC000274177398 408313864 /nfs/dbraw/zinc/31/38/64/408313864.db2.gz OISHWLPCIYCAAS-HNNXBMFYSA-N 1 2 308.403 1.446 20 30 DDEDLO CS(=O)(=O)NC[C@H]1CCCC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC000269545887 408348614 /nfs/dbraw/zinc/34/86/14/408348614.db2.gz CHQFCMDGDLIIEF-MRXNPFEDSA-N 1 2 324.421 1.581 20 30 DDEDLO CS(=O)(=O)NC[C@H]1CCCC[N@H+]1CC#Cc1ccc(F)cc1 ZINC000269545887 408348619 /nfs/dbraw/zinc/34/86/19/408348619.db2.gz CHQFCMDGDLIIEF-MRXNPFEDSA-N 1 2 324.421 1.581 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1C[C@H]2CCC[C@H]2[C@H]1C(=O)OC ZINC000191215786 408387440 /nfs/dbraw/zinc/38/74/40/408387440.db2.gz KEWUMZZBKIOYPP-FMKPAKJESA-N 1 2 306.406 1.461 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1C[C@H]2CCC[C@H]2[C@H]1C(=O)OC ZINC000191215786 408387446 /nfs/dbraw/zinc/38/74/46/408387446.db2.gz KEWUMZZBKIOYPP-FMKPAKJESA-N 1 2 306.406 1.461 20 30 DDEDLO NC(CCO)=[NH+]OCC(=O)Nc1ccc(OC(F)(F)F)cc1 ZINC000274358502 408377094 /nfs/dbraw/zinc/37/70/94/408377094.db2.gz MOJSPFGJHKNSRF-UHFFFAOYSA-N 1 2 321.255 1.195 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2[C@H](C)CCC[C@@H]2CO)c(C#N)c1C ZINC000269847815 408447889 /nfs/dbraw/zinc/44/78/89/408447889.db2.gz GKHFKDBNQCFZMC-ZWNOBZJWSA-N 1 2 305.378 1.942 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2[C@H](C)CCC[C@@H]2CO)c(C#N)c1C ZINC000269847815 408447893 /nfs/dbraw/zinc/44/78/93/408447893.db2.gz GKHFKDBNQCFZMC-ZWNOBZJWSA-N 1 2 305.378 1.942 20 30 DDEDLO C=CCn1c(C)cc(C(=O)C[NH+]2CCC(O)(COC)CC2)c1C ZINC000270398413 408520645 /nfs/dbraw/zinc/52/06/45/408520645.db2.gz UWHRMCKYUSAYHU-UHFFFAOYSA-N 1 2 320.433 1.947 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+](C)Cc1nccn1C ZINC000270529928 408565125 /nfs/dbraw/zinc/56/51/25/408565125.db2.gz DQUOMNPVKNEUCB-UHFFFAOYSA-N 1 2 300.362 1.811 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+](C)Cc1nccn1C ZINC000270529928 408565128 /nfs/dbraw/zinc/56/51/28/408565128.db2.gz DQUOMNPVKNEUCB-UHFFFAOYSA-N 1 2 300.362 1.811 20 30 DDEDLO C#CCC[NH+]1CCN(Cc2nc(=O)c3sccc3[nH]2)CC1 ZINC000270624973 408609422 /nfs/dbraw/zinc/60/94/22/408609422.db2.gz PMWSNLWUDNAEQP-UHFFFAOYSA-N 1 2 302.403 1.538 20 30 DDEDLO C=CC[C@@H](C)NC(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000265311139 408611052 /nfs/dbraw/zinc/61/10/52/408611052.db2.gz PPRIGKMRZUAOHS-CYBMUJFWSA-N 1 2 304.394 1.682 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1ncn(C)n1 ZINC000275601464 408616375 /nfs/dbraw/zinc/61/63/75/408616375.db2.gz JGNCUGCBKDMGAJ-SWLSCSKDSA-N 1 2 324.388 1.533 20 30 DDEDLO COC(=O)[C@@]1(C)CC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000177466703 408628885 /nfs/dbraw/zinc/62/88/85/408628885.db2.gz VOGXJSODDOCRJB-AWEZNQCLSA-N 1 2 307.375 1.443 20 30 DDEDLO COC(=O)[C@@]1(C)CC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000177466703 408628889 /nfs/dbraw/zinc/62/88/89/408628889.db2.gz VOGXJSODDOCRJB-AWEZNQCLSA-N 1 2 307.375 1.443 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@H+](C)[C@H]2CCN(C)C2=O)c(C#N)c1C ZINC000265647281 408729797 /nfs/dbraw/zinc/72/97/97/408729797.db2.gz MKEKIOIVBVNYEB-MFKMUULPSA-N 1 2 318.377 1.258 20 30 DDEDLO Cc1oc(NC(=O)[C@@H](C)[N@@H+](C)[C@H]2CCN(C)C2=O)c(C#N)c1C ZINC000265647281 408729801 /nfs/dbraw/zinc/72/98/01/408729801.db2.gz MKEKIOIVBVNYEB-MFKMUULPSA-N 1 2 318.377 1.258 20 30 DDEDLO N#CC1(CS(=O)(=O)NC2CCN(c3cccc[nH+]3)CC2)CC1 ZINC000164694075 408802745 /nfs/dbraw/zinc/80/27/45/408802745.db2.gz YMMRCAWBXGUMFZ-UHFFFAOYSA-N 1 2 320.418 1.274 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2cc(C)ccc2C)C1=O ZINC000280921803 408859569 /nfs/dbraw/zinc/85/95/69/408859569.db2.gz DGMRZJDJJPTFEH-INIZCTEOSA-N 1 2 315.417 1.961 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2cc(C)ccc2C)C1=O ZINC000280921803 408859572 /nfs/dbraw/zinc/85/95/72/408859572.db2.gz DGMRZJDJJPTFEH-INIZCTEOSA-N 1 2 315.417 1.961 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C#N)ccc3Cl)C[C@@H]21 ZINC000285769533 408859829 /nfs/dbraw/zinc/85/98/29/408859829.db2.gz LBCCFGYMTXEQJB-LSDHHAIUSA-N 1 2 319.792 1.757 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)c3cc(C#N)ccc3Cl)C[C@@H]21 ZINC000285769533 408859832 /nfs/dbraw/zinc/85/98/32/408859832.db2.gz LBCCFGYMTXEQJB-LSDHHAIUSA-N 1 2 319.792 1.757 20 30 DDEDLO N#Cc1ncccc1S(=O)(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000277281078 408912451 /nfs/dbraw/zinc/91/24/51/408912451.db2.gz YUOVGKBRPJCVOQ-UHFFFAOYSA-N 1 2 317.374 1.245 20 30 DDEDLO CC(C)Nc1nc(N2CCC(C)(C#N)CC2)nc(NCCO)[nH+]1 ZINC000286078242 408919146 /nfs/dbraw/zinc/91/91/46/408919146.db2.gz BJFMWJWDKIGBKY-UHFFFAOYSA-N 1 2 319.413 1.226 20 30 DDEDLO CC(C)Nc1nc(NCCO)[nH+]c(N2CCC(C)(C#N)CC2)n1 ZINC000286078242 408919150 /nfs/dbraw/zinc/91/91/50/408919150.db2.gz BJFMWJWDKIGBKY-UHFFFAOYSA-N 1 2 319.413 1.226 20 30 DDEDLO CC(C)Nc1nc(NCCO)nc(N2CCC(C)(C#N)CC2)[nH+]1 ZINC000286078242 408919154 /nfs/dbraw/zinc/91/91/54/408919154.db2.gz BJFMWJWDKIGBKY-UHFFFAOYSA-N 1 2 319.413 1.226 20 30 DDEDLO N#Cc1nc(N)n(Cc2[nH+]ccn2Cc2ccccc2)c1C#N ZINC000193057118 163246408 /nfs/dbraw/zinc/24/64/08/163246408.db2.gz QECWYEBXYIPZRB-UHFFFAOYSA-N 1 2 303.329 1.502 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCC[C@@H]2C(=O)NCc2ccco2)C1=O ZINC000281987742 408966808 /nfs/dbraw/zinc/96/68/08/408966808.db2.gz MPKOGKKXNOUZEF-CABCVRRESA-N 1 2 317.389 1.147 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCC[C@@H]2C(=O)NCc2ccco2)C1=O ZINC000281987742 408966810 /nfs/dbraw/zinc/96/68/10/408966810.db2.gz MPKOGKKXNOUZEF-CABCVRRESA-N 1 2 317.389 1.147 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)Nc2ccc(Cl)cc2)C1=O ZINC000282005693 408970145 /nfs/dbraw/zinc/97/01/45/408970145.db2.gz NZRQXMKHLICPBX-AWEZNQCLSA-N 1 2 321.808 1.997 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)Nc2ccc(Cl)cc2)C1=O ZINC000282005693 408970147 /nfs/dbraw/zinc/97/01/47/408970147.db2.gz NZRQXMKHLICPBX-AWEZNQCLSA-N 1 2 321.808 1.997 20 30 DDEDLO COCc1noc(C[N@@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000292127139 408986164 /nfs/dbraw/zinc/98/61/64/408986164.db2.gz ILXRXZNLXQKPLM-LBPRGKRZSA-N 1 2 315.333 1.136 20 30 DDEDLO COCc1noc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)n1 ZINC000292127139 408986166 /nfs/dbraw/zinc/98/61/66/408986166.db2.gz ILXRXZNLXQKPLM-LBPRGKRZSA-N 1 2 315.333 1.136 20 30 DDEDLO CCCS(=O)(=O)CC[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292187833 408996739 /nfs/dbraw/zinc/99/67/39/408996739.db2.gz MWASUFSOBUJCOZ-AWEZNQCLSA-N 1 2 323.418 1.231 20 30 DDEDLO CCCS(=O)(=O)CC[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292187833 408996742 /nfs/dbraw/zinc/99/67/42/408996742.db2.gz MWASUFSOBUJCOZ-AWEZNQCLSA-N 1 2 323.418 1.231 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@H+](C)[C@H](C)CC#N)cc1 ZINC000292870937 409045404 /nfs/dbraw/zinc/04/54/04/409045404.db2.gz SKCQCEYDGWQTCC-ZBFHGGJFSA-N 1 2 306.406 1.849 20 30 DDEDLO COCCc1ccc(OC[C@@H](O)C[N@@H+](C)[C@H](C)CC#N)cc1 ZINC000292870937 409045407 /nfs/dbraw/zinc/04/54/07/409045407.db2.gz SKCQCEYDGWQTCC-ZBFHGGJFSA-N 1 2 306.406 1.849 20 30 DDEDLO Cc1ccc(C(N)=[NH+]OC[C@@H]2CCS(=O)(=O)C2)cc1F ZINC000278624317 409085907 /nfs/dbraw/zinc/08/59/07/409085907.db2.gz KBNHTXVWSWFRII-JTQLQIEISA-N 1 2 300.355 1.206 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=O)Nc1cccc(C#N)c1 ZINC000278915556 409112443 /nfs/dbraw/zinc/11/24/43/409112443.db2.gz SMRCRGKTZMYLPC-CYBMUJFWSA-N 1 2 302.378 1.743 20 30 DDEDLO CCOC1CC(CNc2cc(C#N)ccn2)([NH+]2CCOCC2)C1 ZINC000287914149 409113868 /nfs/dbraw/zinc/11/38/68/409113868.db2.gz WCINBGKUZPFPSD-UHFFFAOYSA-N 1 2 316.405 1.635 20 30 DDEDLO CO/N=C/c1ccc(C(=O)N(C)[C@@H](C)C[NH+]2CCOCC2)cc1 ZINC000279027592 409134821 /nfs/dbraw/zinc/13/48/21/409134821.db2.gz AGZHYKOVBXCFEV-QCUKBLKESA-N 1 2 319.405 1.460 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)Nc1cccc(C#N)c1 ZINC000284007221 409255967 /nfs/dbraw/zinc/25/59/67/409255967.db2.gz HPCRNVPYANHGRQ-ZWNOBZJWSA-N 1 2 302.334 1.353 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@H+](Cc2csc(C)n2)CC1 ZINC000294575064 409294742 /nfs/dbraw/zinc/29/47/42/409294742.db2.gz KGYHHOJJUSILAO-CYBMUJFWSA-N 1 2 321.446 1.524 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CCC[N@@H+](Cc2csc(C)n2)CC1 ZINC000294575064 409294745 /nfs/dbraw/zinc/29/47/45/409294745.db2.gz KGYHHOJJUSILAO-CYBMUJFWSA-N 1 2 321.446 1.524 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CCN(C2=[NH+]C[C@@H](C)S2)CC1 ZINC000280614755 409381730 /nfs/dbraw/zinc/38/17/30/409381730.db2.gz QUSZZGKJWWUCQC-LLVKDONJSA-N 1 2 303.453 1.001 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1C[C@H](C)O[C@@]2(CCOC2)C1 ZINC000280636627 409390032 /nfs/dbraw/zinc/39/00/32/409390032.db2.gz XVEXFPGSEMQOAU-GUYCJALGSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1C[C@H](C)O[C@@]2(CCOC2)C1 ZINC000280636627 409390037 /nfs/dbraw/zinc/39/00/37/409390037.db2.gz XVEXFPGSEMQOAU-GUYCJALGSA-N 1 2 302.374 1.947 20 30 DDEDLO C[C@H]1CCc2ccccc2N1C(=O)CO[NH+]=C(N)[C@@H]1CCCO1 ZINC000284458197 409341985 /nfs/dbraw/zinc/34/19/85/409341985.db2.gz QMTDWNYDPFJSJO-WFASDCNBSA-N 1 2 317.389 1.822 20 30 DDEDLO N#Cc1ccc(-c2nnc(CO[NH+]=C(N)[C@H]3CCCO3)o2)cc1 ZINC000284530165 409349076 /nfs/dbraw/zinc/34/90/76/409349076.db2.gz UZQINHQKYIRRPL-GFCCVEGCSA-N 1 2 313.317 1.576 20 30 DDEDLO Cn1c[nH+]cc1CON=C(N)C(C)(C)NC(=O)OC(C)(C)C ZINC000284584988 409351193 /nfs/dbraw/zinc/35/11/93/409351193.db2.gz XPZVGEUSGPMXAD-UHFFFAOYSA-N 1 2 311.386 1.512 20 30 DDEDLO C[C@@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)NCc1ccccc1F ZINC000284608375 409355672 /nfs/dbraw/zinc/35/56/72/409355672.db2.gz YVQZYMQIDUUGAB-ZWNOBZJWSA-N 1 2 309.341 1.298 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNc2cccc(F)c2C#N)C1 ZINC000353875191 409530048 /nfs/dbraw/zinc/53/00/48/409530048.db2.gz MXVXWCDLPXJYEI-LBPRGKRZSA-N 1 2 305.353 1.994 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNc2cccc(F)c2C#N)C1 ZINC000353875191 409530055 /nfs/dbraw/zinc/53/00/55/409530055.db2.gz MXVXWCDLPXJYEI-LBPRGKRZSA-N 1 2 305.353 1.994 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(c2nccc(C#N)c2Cl)CC1 ZINC000342245268 409569029 /nfs/dbraw/zinc/56/90/29/409569029.db2.gz QMAWFBHAOWKLKT-UHFFFAOYSA-N 1 2 316.796 1.662 20 30 DDEDLO Cn1cc(C[NH+]2CCN(Cc3cc(F)ccc3C#N)CC2)cn1 ZINC000345767730 409736498 /nfs/dbraw/zinc/73/64/98/409736498.db2.gz HEUNAXRFSJMPRT-UHFFFAOYSA-N 1 2 313.380 1.749 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)NCCc1cn(C)c[nH+]1 ZINC000313476639 409811527 /nfs/dbraw/zinc/81/15/27/409811527.db2.gz WNYOESHYOCZERD-UHFFFAOYSA-N 1 2 304.375 1.121 20 30 DDEDLO C#CC[N@H+](Cc1ccc(F)cc1)[C@H](C)C(=O)NC(=O)NCC=C ZINC000297708680 409969324 /nfs/dbraw/zinc/96/93/24/409969324.db2.gz ASZOPMAVTMAALZ-CYBMUJFWSA-N 1 2 317.364 1.661 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(F)cc1)[C@H](C)C(=O)NC(=O)NCC=C ZINC000297708680 409969329 /nfs/dbraw/zinc/96/93/29/409969329.db2.gz ASZOPMAVTMAALZ-CYBMUJFWSA-N 1 2 317.364 1.661 20 30 DDEDLO COCC1(O)CCN(C(=O)NC[C@@H]2CCCn3cc[nH+]c32)CC1 ZINC000328804134 409983333 /nfs/dbraw/zinc/98/33/33/409983333.db2.gz BOZRGZLYRGVNOB-ZDUSSCGKSA-N 1 2 322.409 1.148 20 30 DDEDLO Cc1[nH+]ccn1C[C@H](C)CNC([O-])=[NH+][C@@H]1CCO[C@H]1C1CC1 ZINC000328816251 409984836 /nfs/dbraw/zinc/98/48/36/409984836.db2.gz CUDHKFJNQBGECS-DFBGVHRSSA-N 1 2 306.410 1.899 20 30 DDEDLO CN(C[C@@H]1CCC[C@@H]1O)C(=O)NC[C@@H]1CCCn2cc[nH+]c21 ZINC000328816849 409985547 /nfs/dbraw/zinc/98/55/47/409985547.db2.gz GRXBCLCPEZBQFM-IHRRRGAJSA-N 1 2 306.410 1.767 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@H+](CC(=O)NCC(=O)N2CCCC2)C1 ZINC000328849821 409991832 /nfs/dbraw/zinc/99/18/32/409991832.db2.gz RNDAFTIGNQOTOP-CYBMUJFWSA-N 1 2 310.398 1.006 20 30 DDEDLO CC(=O)N[C@@H]1CCC[N@@H+](CC(=O)NCC(=O)N2CCCC2)C1 ZINC000328849821 409991836 /nfs/dbraw/zinc/99/18/36/409991836.db2.gz RNDAFTIGNQOTOP-CYBMUJFWSA-N 1 2 310.398 1.006 20 30 DDEDLO Cc1ccc(NC(=O)N2CCOC[C@H]2c2ncnn2C)c(C)[nH+]1 ZINC000328942393 410015734 /nfs/dbraw/zinc/01/57/34/410015734.db2.gz FMKHGPPOIVLUKS-ZDUSSCGKSA-N 1 2 316.365 1.446 20 30 DDEDLO O=C(NCC[NH+]1CCN(C(=O)C2CCC2)CC1)[C@H]1CCC(=O)N1 ZINC000328953058 410020021 /nfs/dbraw/zinc/02/00/21/410020021.db2.gz XWMWLWKNZHZMAF-CYBMUJFWSA-N 1 2 322.409 1.006 20 30 DDEDLO [O-]C(=[NH+][C@@H]1CCO[C@@H]1C1CC1)N1CCC[C@@H]1Cn1cc[nH+]c1 ZINC000328856207 409996428 /nfs/dbraw/zinc/99/64/28/409996428.db2.gz XHAIWZBHDBYIMA-RBSFLKMASA-N 1 2 304.394 1.829 20 30 DDEDLO O=C(N[C@@H]1CCO[C@@H]1C1CC1)N1CCC[C@@H]1Cn1cc[nH+]c1 ZINC000328856207 409996435 /nfs/dbraw/zinc/99/64/35/409996435.db2.gz XHAIWZBHDBYIMA-RBSFLKMASA-N 1 2 304.394 1.829 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)N1CCO[C@@H]2CCC[C@H]21 ZINC000328885279 410003172 /nfs/dbraw/zinc/00/31/72/410003172.db2.gz ZBMOIAYXMXFXHP-HUUCEWRRSA-N 1 2 318.421 1.929 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(C(=O)Nc3cccc4c3CCO4)C[C@@H]21 ZINC000329119702 410112214 /nfs/dbraw/zinc/11/22/14/410112214.db2.gz JVHGSXFKBZGSOJ-HOCLYGCPSA-N 1 2 317.389 1.572 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(C(=O)Nc3cccc4c3CCO4)C[C@@H]21 ZINC000329119702 410112217 /nfs/dbraw/zinc/11/22/17/410112217.db2.gz JVHGSXFKBZGSOJ-HOCLYGCPSA-N 1 2 317.389 1.572 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)[C@H]2CCC[N@@H+]2C2CCCC2)CCS1(=O)=O ZINC000329132500 410122495 /nfs/dbraw/zinc/12/24/95/410122495.db2.gz YKPLIUALFSZKNU-FPMFFAJLSA-N 1 2 314.451 1.926 20 30 DDEDLO C[C@H]1[C@@H](NC(=O)[C@H]2CCC[N@H+]2C2CCCC2)CCS1(=O)=O ZINC000329132500 410122499 /nfs/dbraw/zinc/12/24/99/410122499.db2.gz YKPLIUALFSZKNU-FPMFFAJLSA-N 1 2 314.451 1.926 20 30 DDEDLO CCN1CC[NH+]([C@H]2CCN(C(=O)NCc3nccs3)C2)CC1 ZINC000329167082 410141777 /nfs/dbraw/zinc/14/17/77/410141777.db2.gz IHGZJTJOHZJVCU-ZDUSSCGKSA-N 1 2 323.466 1.269 20 30 DDEDLO C[C@@H]1C[N@H+](CCSc2nc(N)c(C#N)cc2C#N)CCO1 ZINC000298465308 410242380 /nfs/dbraw/zinc/24/23/80/410242380.db2.gz TWDABJQPSWLGDY-SNVBAGLBSA-N 1 2 303.391 1.220 20 30 DDEDLO C[C@@H]1C[N@@H+](CCSc2nc(N)c(C#N)cc2C#N)CCO1 ZINC000298465308 410242385 /nfs/dbraw/zinc/24/23/85/410242385.db2.gz TWDABJQPSWLGDY-SNVBAGLBSA-N 1 2 303.391 1.220 20 30 DDEDLO CN1CC[N@H+](C)[C@H](CNC(=O)CCc2nc(C(C)(C)C)no2)C1 ZINC000329492871 410327816 /nfs/dbraw/zinc/32/78/16/410327816.db2.gz UCRYVIMHULGSTM-GFCCVEGCSA-N 1 2 323.441 1.502 20 30 DDEDLO CN1CC[N@@H+](C)[C@H](CNC(=O)CCc2nc(C(C)(C)C)no2)C1 ZINC000329492871 410327822 /nfs/dbraw/zinc/32/78/22/410327822.db2.gz UCRYVIMHULGSTM-GFCCVEGCSA-N 1 2 323.441 1.502 20 30 DDEDLO O=C(NC[C@H]1CCCCS1(=O)=O)[C@H](C1CC1)[NH+]1CCCC1 ZINC000329507327 410336287 /nfs/dbraw/zinc/33/62/87/410336287.db2.gz JBHZWPOVEZIPLB-KGLIPLIRSA-N 1 2 314.451 1.785 20 30 DDEDLO Cc1ncncc1C(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000298668159 410336284 /nfs/dbraw/zinc/33/62/84/410336284.db2.gz SPWFEWGTXGJOAU-UHFFFAOYSA-N 1 2 321.384 1.615 20 30 DDEDLO C[C@H](CCC#N)[N@@H+]1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000298561662 410290213 /nfs/dbraw/zinc/29/02/13/410290213.db2.gz PLUQVFUERMLIJE-CYBMUJFWSA-N 1 2 321.446 1.987 20 30 DDEDLO C[C@H](CCC#N)[N@H+]1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000298561662 410290221 /nfs/dbraw/zinc/29/02/21/410290221.db2.gz PLUQVFUERMLIJE-CYBMUJFWSA-N 1 2 321.446 1.987 20 30 DDEDLO C=C[C@@H](CO)NC(=O)N[C@H](c1[nH+]ccn1C)c1ccc(F)cc1 ZINC000358463944 410474776 /nfs/dbraw/zinc/47/47/76/410474776.db2.gz IWYRADKIFHGMDW-KBPBESRZSA-N 1 2 318.352 1.495 20 30 DDEDLO C[C@@H]1C[C@H](O)CN1C([O-])=[NH+][C@@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000329970211 410500839 /nfs/dbraw/zinc/50/08/39/410500839.db2.gz XUAZUQCINFGWHW-UPJWGTAASA-N 1 2 320.437 1.865 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@@H+]3CCO[C@@H](C4CC4)C3)C2=O)cc1 ZINC000330115457 410547192 /nfs/dbraw/zinc/54/71/92/410547192.db2.gz FQBUXWQURMRUFX-DLBZAZTESA-N 1 2 311.385 1.774 20 30 DDEDLO N#Cc1ccc(N2CC[C@H]([N@H+]3CCO[C@@H](C4CC4)C3)C2=O)cc1 ZINC000330115457 410547198 /nfs/dbraw/zinc/54/71/98/410547198.db2.gz FQBUXWQURMRUFX-DLBZAZTESA-N 1 2 311.385 1.774 20 30 DDEDLO CC[C@H]1C[N@H+](CCNC(=O)Cc2ccc(C#N)cc2)CCO1 ZINC000347584028 410621871 /nfs/dbraw/zinc/62/18/71/410621871.db2.gz LICSKPDBMAOGCC-INIZCTEOSA-N 1 2 301.390 1.328 20 30 DDEDLO CC[C@H]1C[N@@H+](CCNC(=O)Cc2ccc(C#N)cc2)CCO1 ZINC000347584028 410621878 /nfs/dbraw/zinc/62/18/78/410621878.db2.gz LICSKPDBMAOGCC-INIZCTEOSA-N 1 2 301.390 1.328 20 30 DDEDLO N#Cc1csc(C[NH2+][C@]2(CO)CCOc3ccccc32)n1 ZINC000352863435 410683365 /nfs/dbraw/zinc/68/33/65/410683365.db2.gz UWDZPXKCVCSUDO-HNNXBMFYSA-N 1 2 301.371 1.775 20 30 DDEDLO COC(=O)[C@@H](C1CC1)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000359591569 410755803 /nfs/dbraw/zinc/75/58/03/410755803.db2.gz WHTUPGGLNHVOJH-QGZVFWFLSA-N 1 2 313.401 1.627 20 30 DDEDLO COc1ccc(C[N@@H+]2CCC[C@@H](S(C)(=O)=O)CC2)cc1C#N ZINC000337508270 410832611 /nfs/dbraw/zinc/83/26/11/410832611.db2.gz HCMAEYPUJXXVEO-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1ccc(C[N@H+]2CCC[C@@H](S(C)(=O)=O)CC2)cc1C#N ZINC000337508270 410832621 /nfs/dbraw/zinc/83/26/21/410832621.db2.gz HCMAEYPUJXXVEO-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO CC(C)NC(=O)C[NH+]1CCN(Cc2cccc(C#N)c2F)CC1 ZINC000330634445 410841711 /nfs/dbraw/zinc/84/17/11/410841711.db2.gz BRBNPPPFWXLLSD-UHFFFAOYSA-N 1 2 318.396 1.340 20 30 DDEDLO N#Cc1cccnc1-n1cc(NC(=O)CCc2[nH]cc[nH+]2)cn1 ZINC000356148965 410864574 /nfs/dbraw/zinc/86/45/74/410864574.db2.gz UIOHOGIKMDDJRS-UHFFFAOYSA-N 1 2 307.317 1.433 20 30 DDEDLO N#Cc1cc(C(=O)N2CC[NH2+][C@H](c3cccc(F)c3)C2)ccn1 ZINC000337569317 410868758 /nfs/dbraw/zinc/86/87/58/410868758.db2.gz CGDCZJLANNXVSY-INIZCTEOSA-N 1 2 310.332 1.879 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCN(c2c(C#N)cccc2C#N)CC1 ZINC000302823075 410871410 /nfs/dbraw/zinc/87/14/10/410871410.db2.gz FJMVOAKNQGNCRZ-UHFFFAOYSA-N 1 2 311.389 1.078 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCN(c2c(C#N)cccc2C#N)CC1 ZINC000302823075 410871415 /nfs/dbraw/zinc/87/14/15/410871415.db2.gz FJMVOAKNQGNCRZ-UHFFFAOYSA-N 1 2 311.389 1.078 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)NCC#Cc1ccccc1 ZINC000356181869 410881394 /nfs/dbraw/zinc/88/13/94/410881394.db2.gz SUZOVZVXSSILKA-OAHLLOKOSA-N 1 2 301.390 1.058 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)NCC#Cc1ccccc1 ZINC000356181869 410881400 /nfs/dbraw/zinc/88/14/00/410881400.db2.gz SUZOVZVXSSILKA-OAHLLOKOSA-N 1 2 301.390 1.058 20 30 DDEDLO C[C@@H]1CN(C)[C@@H](C)C[N@@H+]1CCC(=O)Nc1sccc1C#N ZINC000330603090 410817393 /nfs/dbraw/zinc/81/73/93/410817393.db2.gz XGBAVDUJDZVWMF-NWDGAFQWSA-N 1 2 306.435 1.973 20 30 DDEDLO C[C@@H]1CN(C)[C@@H](C)C[N@H+]1CCC(=O)Nc1sccc1C#N ZINC000330603090 410817398 /nfs/dbraw/zinc/81/73/98/410817398.db2.gz XGBAVDUJDZVWMF-NWDGAFQWSA-N 1 2 306.435 1.973 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@H+](C)CC(=O)NCc1cccs1 ZINC000353268001 410890671 /nfs/dbraw/zinc/89/06/71/410890671.db2.gz XCOFWBBFGUXZSP-LBPRGKRZSA-N 1 2 322.434 1.057 20 30 DDEDLO C[C@@H](C(=O)N(C)CCC#N)[N@@H+](C)CC(=O)NCc1cccs1 ZINC000353268001 410890678 /nfs/dbraw/zinc/89/06/78/410890678.db2.gz XCOFWBBFGUXZSP-LBPRGKRZSA-N 1 2 322.434 1.057 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)NCc1ccc(C#N)cc1 ZINC000356223096 410907109 /nfs/dbraw/zinc/90/71/09/410907109.db2.gz MSACKXGMPLRFIK-ZDUSSCGKSA-N 1 2 302.378 1.078 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)NCc1ccc(C#N)cc1 ZINC000356223096 410907113 /nfs/dbraw/zinc/90/71/13/410907113.db2.gz MSACKXGMPLRFIK-ZDUSSCGKSA-N 1 2 302.378 1.078 20 30 DDEDLO CN(C)S(=O)(=O)[C@@H]1CCC[N@H+](Cc2cc(C#N)cs2)C1 ZINC000348672651 411053594 /nfs/dbraw/zinc/05/35/94/411053594.db2.gz MNQAONCGCJTNGN-CYBMUJFWSA-N 1 2 313.448 1.476 20 30 DDEDLO CN(C)S(=O)(=O)[C@@H]1CCC[N@@H+](Cc2cc(C#N)cs2)C1 ZINC000348672651 411053599 /nfs/dbraw/zinc/05/35/99/411053599.db2.gz MNQAONCGCJTNGN-CYBMUJFWSA-N 1 2 313.448 1.476 20 30 DDEDLO Cc1cc(C(=O)NCc2[nH]cc[nH+]2)nn1-c1cccc(C#N)c1 ZINC000356570951 411092051 /nfs/dbraw/zinc/09/20/51/411092051.db2.gz CUKCYXVHJAWOOE-UHFFFAOYSA-N 1 2 306.329 1.706 20 30 DDEDLO C[C@@H](Nc1nccc(C#N)c1[N+](=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000134211894 196370594 /nfs/dbraw/zinc/37/05/94/196370594.db2.gz AJVVUJOGNGCKLA-MNOVXSKESA-N 1 2 305.338 1.383 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@@H+]2CCOC(C)(C)C2)ncc1C#N ZINC000603115198 416632315 /nfs/dbraw/zinc/63/23/15/416632315.db2.gz DFSHQEORLCLGAE-UHFFFAOYSA-N 1 2 317.393 1.494 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@H+]2CCOC(C)(C)C2)ncc1C#N ZINC000603115198 416632319 /nfs/dbraw/zinc/63/23/19/416632319.db2.gz DFSHQEORLCLGAE-UHFFFAOYSA-N 1 2 317.393 1.494 20 30 DDEDLO Cc1cc(NC(=O)N[C@H](C)C[NH+]2CCOCC2)ncc1C#N ZINC000603120518 416632673 /nfs/dbraw/zinc/63/26/73/416632673.db2.gz RMXRDUYGMMSCGZ-GFCCVEGCSA-N 1 2 303.366 1.104 20 30 DDEDLO C#CCN(Cc1ccc(F)cc1)C(=O)NCCn1cc[nH+]c1 ZINC000062465204 335078335 /nfs/dbraw/zinc/07/83/35/335078335.db2.gz NBPLJKWLNACCMD-UHFFFAOYSA-N 1 2 300.337 1.867 20 30 DDEDLO C=C(C)[C@H]1OCC[C@H]1C(=O)N1C[C@H]([NH+]2CCOCC2)[C@@H](C)C1 ZINC000373266434 418415867 /nfs/dbraw/zinc/41/58/67/418415867.db2.gz XSORLDYSHGPBPX-XUWVNRHRSA-N 1 2 308.422 1.147 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)[C@H]2CCc3[nH+]ccn3C2)c1 ZINC000373565346 418439970 /nfs/dbraw/zinc/43/99/70/418439970.db2.gz ACCNKPDWGGDUIG-AWEZNQCLSA-N 1 2 322.368 1.182 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCCC[C@H]2CCS(C)(=O)=O)C1=O ZINC000366426256 418472852 /nfs/dbraw/zinc/47/28/52/418472852.db2.gz YTTAQORCULJQQP-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCCC[C@H]2CCS(C)(=O)=O)C1=O ZINC000366426256 418472854 /nfs/dbraw/zinc/47/28/54/418472854.db2.gz YTTAQORCULJQQP-UONOGXRCSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCCN(C(=O)c3ccoc3)CC2)C1=O ZINC000374113936 418489591 /nfs/dbraw/zinc/48/95/91/418489591.db2.gz MMOIKEHOWCKXCY-HNNXBMFYSA-N 1 2 317.389 1.214 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCCN(C(=O)c3ccoc3)CC2)C1=O ZINC000374113936 418489593 /nfs/dbraw/zinc/48/95/93/418489593.db2.gz MMOIKEHOWCKXCY-HNNXBMFYSA-N 1 2 317.389 1.214 20 30 DDEDLO CC(C)COCC[N@@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000374287423 418513744 /nfs/dbraw/zinc/51/37/44/418513744.db2.gz LAOKQUMKTBJNFM-UHFFFAOYSA-N 1 2 315.417 1.875 20 30 DDEDLO CC(C)COCC[N@H+]1CCN(Cc2ccc(C#N)cc2)C(=O)C1 ZINC000374287423 418513746 /nfs/dbraw/zinc/51/37/46/418513746.db2.gz LAOKQUMKTBJNFM-UHFFFAOYSA-N 1 2 315.417 1.875 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1Cc2ccccc2[C@H](C(=O)OCC)C1 ZINC000374388060 418525996 /nfs/dbraw/zinc/52/59/96/418525996.db2.gz CPICXAVSNGOHLJ-XJKSGUPXSA-N 1 2 316.401 1.840 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1Cc2ccccc2[C@H](C(=O)OCC)C1 ZINC000374388060 418525999 /nfs/dbraw/zinc/52/59/99/418525999.db2.gz CPICXAVSNGOHLJ-XJKSGUPXSA-N 1 2 316.401 1.840 20 30 DDEDLO COCCC[N@H+](CC#Cc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000192102361 222121031 /nfs/dbraw/zinc/12/10/31/222121031.db2.gz BNUIZKFZUGIERU-QGZVFWFLSA-N 1 2 321.442 1.564 20 30 DDEDLO COCCC[N@@H+](CC#Cc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000192102361 222121033 /nfs/dbraw/zinc/12/10/33/222121033.db2.gz BNUIZKFZUGIERU-QGZVFWFLSA-N 1 2 321.442 1.564 20 30 DDEDLO CC(C)(C#N)CCS(=O)(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000375077731 418600346 /nfs/dbraw/zinc/60/03/46/418600346.db2.gz APWJEZBVHNOPKL-UHFFFAOYSA-N 1 2 310.423 1.790 20 30 DDEDLO Cc1nsc(N2CCC(N3CC[N@@H+](C)CC3=O)CC2)c1C#N ZINC000367349556 418590210 /nfs/dbraw/zinc/59/02/10/418590210.db2.gz UEDHXILWJYRLGY-UHFFFAOYSA-N 1 2 319.434 1.066 20 30 DDEDLO Cc1nsc(N2CCC(N3CC[N@H+](C)CC3=O)CC2)c1C#N ZINC000367349556 418590214 /nfs/dbraw/zinc/59/02/14/418590214.db2.gz UEDHXILWJYRLGY-UHFFFAOYSA-N 1 2 319.434 1.066 20 30 DDEDLO Cc1cc(NC(=O)C[N@@H+](C)Cc2ccc(OCC#N)cc2)no1 ZINC000264144340 222324851 /nfs/dbraw/zinc/32/48/51/222324851.db2.gz OZDPZMWVRSDIKE-UHFFFAOYSA-N 1 2 314.345 1.956 20 30 DDEDLO Cc1cc(NC(=O)C[N@H+](C)Cc2ccc(OCC#N)cc2)no1 ZINC000264144340 222324855 /nfs/dbraw/zinc/32/48/55/222324855.db2.gz OZDPZMWVRSDIKE-UHFFFAOYSA-N 1 2 314.345 1.956 20 30 DDEDLO CC#CCCNC(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000361208279 418606083 /nfs/dbraw/zinc/60/60/83/418606083.db2.gz INJNZUHVSYRGBC-KRWDZBQOSA-N 1 2 315.417 1.600 20 30 DDEDLO CC#CCCNC(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000361208279 418606085 /nfs/dbraw/zinc/60/60/85/418606085.db2.gz INJNZUHVSYRGBC-KRWDZBQOSA-N 1 2 315.417 1.600 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)NC[C@@]1([NH+]2CCOCC2)CCCOC1 ZINC000375130500 418608974 /nfs/dbraw/zinc/60/89/74/418608974.db2.gz ZKXSDWJTMSTFPY-WBVHZDCISA-N 1 2 310.438 1.586 20 30 DDEDLO N#CCCn1ccc(NC(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)n1 ZINC000331556791 418615135 /nfs/dbraw/zinc/61/51/35/418615135.db2.gz HJDFRHIDLLYCED-GFCCVEGCSA-N 1 2 313.365 2.279 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCSc1nc(N)c(C#N)cc1C#N ZINC000331308038 418615382 /nfs/dbraw/zinc/61/53/82/418615382.db2.gz BFOHXFTYGIDKQL-JTQLQIEISA-N 1 2 303.391 1.220 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCSc1nc(N)c(C#N)cc1C#N ZINC000331308038 418615386 /nfs/dbraw/zinc/61/53/86/418615386.db2.gz BFOHXFTYGIDKQL-JTQLQIEISA-N 1 2 303.391 1.220 20 30 DDEDLO COc1ccc(NC(=O)CO[NH+]=C(N)[C@H](C)OCC(C)C)cc1 ZINC000266208270 222360573 /nfs/dbraw/zinc/36/05/73/222360573.db2.gz NHOPPZVKMOMNGE-LBPRGKRZSA-N 1 2 323.393 1.984 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)Nc1ccn(CC[NH+]2CCOCC2)n1 ZINC000341475044 418625340 /nfs/dbraw/zinc/62/53/40/418625340.db2.gz COOKDGJBIQHLKS-AWEZNQCLSA-N 1 2 306.410 1.756 20 30 DDEDLO CCn1cc(C[N@H+](CCO)Cc2ccc(C#N)c(F)c2)cn1 ZINC000361345740 418631620 /nfs/dbraw/zinc/63/16/20/418631620.db2.gz JYISPSLGAUVYFC-UHFFFAOYSA-N 1 2 302.353 1.908 20 30 DDEDLO CCn1cc(C[N@@H+](CCO)Cc2ccc(C#N)c(F)c2)cn1 ZINC000361345740 418631622 /nfs/dbraw/zinc/63/16/22/418631622.db2.gz JYISPSLGAUVYFC-UHFFFAOYSA-N 1 2 302.353 1.908 20 30 DDEDLO N#CCC1(CNC(=O)N2CCC[C@H]2C[NH+]2CCOCC2)CC1 ZINC000368254790 418710616 /nfs/dbraw/zinc/71/06/16/418710616.db2.gz YTRBHDLMVDNJHB-AWEZNQCLSA-N 1 2 306.410 1.186 20 30 DDEDLO COc1cc(C[N@@H+]2CCOC[C@H]2[C@@H]2CCCO2)ccc1C#N ZINC000377703046 418713084 /nfs/dbraw/zinc/71/30/84/418713084.db2.gz QCBZXHIHMXDIOZ-HOTGVXAUSA-N 1 2 302.374 1.947 20 30 DDEDLO COc1cc(C[N@H+]2CCOC[C@H]2[C@@H]2CCCO2)ccc1C#N ZINC000377703046 418713087 /nfs/dbraw/zinc/71/30/87/418713087.db2.gz QCBZXHIHMXDIOZ-HOTGVXAUSA-N 1 2 302.374 1.947 20 30 DDEDLO CN(C[C@H]1CCn2cc[nH+]c2C1)S(=O)(=O)CCC(C)(C)C#N ZINC000377827664 418715281 /nfs/dbraw/zinc/71/52/81/418715281.db2.gz YSFWLAPXZDCCKA-ZDUSSCGKSA-N 1 2 324.450 1.647 20 30 DDEDLO Cc1ccc(C(=O)N2C[C@H]([NH+]3CCOCC3)C[C@H]2C)cc1C#N ZINC000375910787 418690922 /nfs/dbraw/zinc/69/09/22/418690922.db2.gz BFONWXHVKRPAPC-RHSMWYFYSA-N 1 2 313.401 1.802 20 30 DDEDLO N#Cc1ccc(CN2CC[NH+](C3(C(N)=O)CCCC3)CC2)s1 ZINC000378348074 418721657 /nfs/dbraw/zinc/72/16/57/418721657.db2.gz CUHJJCKVVKFACN-UHFFFAOYSA-N 1 2 318.446 1.535 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1N(CCC#N)CC(C)(C)C ZINC000377051173 418704577 /nfs/dbraw/zinc/70/45/77/418704577.db2.gz MVYQTUXLRXNGEI-JKSUJKDBSA-N 1 2 308.470 1.958 20 30 DDEDLO COC(=O)C[N@@H+]1CCCN(c2ccc(Cl)c(C#N)n2)CC1 ZINC000370088777 418740955 /nfs/dbraw/zinc/74/09/55/418740955.db2.gz HKWCZRLCTIUMGA-UHFFFAOYSA-N 1 2 308.769 1.292 20 30 DDEDLO COC(=O)C[N@H+]1CCCN(c2ccc(Cl)c(C#N)n2)CC1 ZINC000370088777 418740957 /nfs/dbraw/zinc/74/09/57/418740957.db2.gz HKWCZRLCTIUMGA-UHFFFAOYSA-N 1 2 308.769 1.292 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1cccc(F)c1C#N ZINC000362211472 418750483 /nfs/dbraw/zinc/75/04/83/418750483.db2.gz CXZSUPVPWDGXGP-LBPRGKRZSA-N 1 2 305.353 1.490 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1CCO[C@@H](COCC2CC2)C1 ZINC000362594287 418756996 /nfs/dbraw/zinc/75/69/96/418756996.db2.gz ZXJVKXUVMQEYDQ-MRXNPFEDSA-N 1 2 310.438 1.538 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1CCO[C@@H](COCC2CC2)C1 ZINC000362594287 418756998 /nfs/dbraw/zinc/75/69/98/418756998.db2.gz ZXJVKXUVMQEYDQ-MRXNPFEDSA-N 1 2 310.438 1.538 20 30 DDEDLO CCc1nnc(N2CC[NH+]([C@@H]3CCOC3)CC2)c(C#N)c1CC ZINC000371109656 418762508 /nfs/dbraw/zinc/76/25/08/418762508.db2.gz WTZBKWMNIYEWBZ-CYBMUJFWSA-N 1 2 315.421 1.384 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2C[C@@H](C)C[C@H](CO)C2)c(C#N)c1C ZINC000398859198 418763067 /nfs/dbraw/zinc/76/30/67/418763067.db2.gz RCDJXECRLGMYKA-GWCFXTLKSA-N 1 2 305.378 1.657 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2C[C@@H](C)C[C@H](CO)C2)c(C#N)c1C ZINC000398859198 418763069 /nfs/dbraw/zinc/76/30/69/418763069.db2.gz RCDJXECRLGMYKA-GWCFXTLKSA-N 1 2 305.378 1.657 20 30 DDEDLO CN(C(=O)C[N@@H+]1CCO[C@H]2CCC[C@H]21)C1(C#N)CCCCC1 ZINC000363616561 418768221 /nfs/dbraw/zinc/76/82/21/418768221.db2.gz FHELGCIUVJAENV-CABCVRRESA-N 1 2 305.422 1.925 20 30 DDEDLO CN(C(=O)C[N@H+]1CCO[C@H]2CCC[C@H]21)C1(C#N)CCCCC1 ZINC000363616561 418768222 /nfs/dbraw/zinc/76/82/22/418768222.db2.gz FHELGCIUVJAENV-CABCVRRESA-N 1 2 305.422 1.925 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CCO[C@H](C2CCCCC2)C1 ZINC000364722001 418804323 /nfs/dbraw/zinc/80/43/23/418804323.db2.gz MVQSBNOJRADYPR-AWEZNQCLSA-N 1 2 309.410 1.279 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CCO[C@H](C2CCCCC2)C1 ZINC000364722001 418804326 /nfs/dbraw/zinc/80/43/26/418804326.db2.gz MVQSBNOJRADYPR-AWEZNQCLSA-N 1 2 309.410 1.279 20 30 DDEDLO N#CCOc1ccc(CNC(=O)[C@H]2CCc3[nH+]ccn3C2)cc1 ZINC000364950872 418827193 /nfs/dbraw/zinc/82/71/93/418827193.db2.gz DOQNINSERIHTNL-AWEZNQCLSA-N 1 2 310.357 1.664 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)N(C)Cc1cn2c([nH+]1)CCCC2 ZINC000365400964 418857375 /nfs/dbraw/zinc/85/73/75/418857375.db2.gz BXRSGVILPXYSNC-LBPRGKRZSA-N 1 2 319.409 1.052 20 30 DDEDLO C#C[C@H](C)NC(=O)N[C@@H](c1[nH+]ccn1C)c1cccc(OC)c1 ZINC000372807476 418904484 /nfs/dbraw/zinc/90/44/84/418904484.db2.gz WUZKCGFUZMBTAU-SWLSCSKDSA-N 1 2 312.373 1.839 20 30 DDEDLO COC(=O)C1([NH2+]CCC(=O)Nc2cccc(C#N)c2)CCCC1 ZINC000411409329 418900337 /nfs/dbraw/zinc/90/03/37/418900337.db2.gz SOXKGZIKLYMFGW-UHFFFAOYSA-N 1 2 315.373 1.962 20 30 DDEDLO N#CCC1(O)C[NH+](C[C@@H](O)COCc2ccccc2Cl)C1 ZINC000424136557 228233386 /nfs/dbraw/zinc/23/33/86/228233386.db2.gz NVGANDPNLPBJFW-CYBMUJFWSA-N 1 2 310.781 1.178 20 30 DDEDLO Cc1cc(N2CCC(c3ccnn3CCO)CC2)c(C#N)c[nH+]1 ZINC000425225839 228394650 /nfs/dbraw/zinc/39/46/50/228394650.db2.gz PORSNZPVGMNBRT-UHFFFAOYSA-N 1 2 311.389 1.835 20 30 DDEDLO C[C@H]1C(=O)N(C)CC[N@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000426133733 419392821 /nfs/dbraw/zinc/39/28/21/419392821.db2.gz NEHFECVINRJCOZ-LBPRGKRZSA-N 1 2 300.362 1.049 20 30 DDEDLO C[C@H]1C(=O)N(C)CC[N@@H+]1CCC(=O)Nc1cccc(C#N)c1 ZINC000426133733 419392825 /nfs/dbraw/zinc/39/28/25/419392825.db2.gz NEHFECVINRJCOZ-LBPRGKRZSA-N 1 2 300.362 1.049 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@@H+]3CC[C@@](O)(C(F)F)C3)C2=O)cc1 ZINC000411790289 419412532 /nfs/dbraw/zinc/41/25/32/419412532.db2.gz GVSCYVZEDQRMOK-CJNGLKHVSA-N 1 2 321.327 1.365 20 30 DDEDLO N#Cc1ccc(N2CC[C@@H]([N@H+]3CC[C@@](O)(C(F)F)C3)C2=O)cc1 ZINC000411790289 419412543 /nfs/dbraw/zinc/41/25/43/419412543.db2.gz GVSCYVZEDQRMOK-CJNGLKHVSA-N 1 2 321.327 1.365 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1Cc1cnn([C@]2(C)CCS(=O)(=O)C2)c1 ZINC000426567890 419511046 /nfs/dbraw/zinc/51/10/46/419511046.db2.gz MXAXMMIKLULNCR-JKSUJKDBSA-N 1 2 323.462 1.957 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1Cc1cnn([C@]2(C)CCS(=O)(=O)C2)c1 ZINC000426567890 419511056 /nfs/dbraw/zinc/51/10/56/419511056.db2.gz MXAXMMIKLULNCR-JKSUJKDBSA-N 1 2 323.462 1.957 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@@H+]1CCO[C@@H]([C@H](C)O)C1 ZINC000412090673 419646024 /nfs/dbraw/zinc/64/60/24/419646024.db2.gz YWTILHWJUZVVDM-YXJHDRRASA-N 1 2 321.417 1.236 20 30 DDEDLO C=CCc1ccccc1OC[C@H](O)C[N@H+]1CCO[C@@H]([C@H](C)O)C1 ZINC000412090673 419646028 /nfs/dbraw/zinc/64/60/28/419646028.db2.gz YWTILHWJUZVVDM-YXJHDRRASA-N 1 2 321.417 1.236 20 30 DDEDLO CN1C(=O)NC2(CC[NH+](Cc3cccc(F)c3C#N)CC2)C1=O ZINC000428290494 419844117 /nfs/dbraw/zinc/84/41/17/419844117.db2.gz YALSAZKEMSMRDJ-UHFFFAOYSA-N 1 2 316.336 1.214 20 30 DDEDLO COC[C@@](C)(C#N)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000433975706 229253615 /nfs/dbraw/zinc/25/36/15/229253615.db2.gz HSXVKWZHJWJPOI-QGZVFWFLSA-N 1 2 317.389 1.177 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)NCc2ccn3cc[nH+]c3c2)s1 ZINC000435435096 229385272 /nfs/dbraw/zinc/38/52/72/229385272.db2.gz WTEZPGAQFNUNLD-UHFFFAOYSA-N 1 2 318.383 1.746 20 30 DDEDLO CCC[N@H+](Cc1cccc(F)c1C#N)[C@H]1CC(=O)N(C)C1=O ZINC000428954151 419952017 /nfs/dbraw/zinc/95/20/17/419952017.db2.gz QPZODFPSBOZGEM-AWEZNQCLSA-N 1 2 303.337 1.667 20 30 DDEDLO CCC[N@@H+](Cc1cccc(F)c1C#N)[C@H]1CC(=O)N(C)C1=O ZINC000428954151 419952021 /nfs/dbraw/zinc/95/20/21/419952021.db2.gz QPZODFPSBOZGEM-AWEZNQCLSA-N 1 2 303.337 1.667 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@@]1(C)CCN(c2cc(C#N)ccn2)C1 ZINC000418953513 420029406 /nfs/dbraw/zinc/02/94/06/420029406.db2.gz VDGRKZLKJSPWMC-KRWDZBQOSA-N 1 2 316.405 1.853 20 30 DDEDLO CCN1CCN(C(=O)N[C@@H]2CC[C@H](C#N)C2)C[C@H]1c1[nH]cc[nH+]1 ZINC000425611208 420424023 /nfs/dbraw/zinc/42/40/23/420424023.db2.gz OECPZJSURZBRIR-MJBXVCDLSA-N 1 2 316.409 1.490 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@H]1CCCc2[nH+]c[nH]c21)C(=O)OCC ZINC000456605600 420511966 /nfs/dbraw/zinc/51/19/66/420511966.db2.gz KRTOIKJGIVHNPR-AAEUAGOBSA-N 1 2 305.378 1.844 20 30 DDEDLO C=CCC[C@H](NC(=O)[C@H]1CCCc2[nH]c[nH+]c21)C(=O)OCC ZINC000456605600 420511969 /nfs/dbraw/zinc/51/19/69/420511969.db2.gz KRTOIKJGIVHNPR-AAEUAGOBSA-N 1 2 305.378 1.844 20 30 DDEDLO C[N@H+](CC(=O)N1CCOCC1)Cc1ccc(C#N)cc1Cl ZINC000439430684 420513269 /nfs/dbraw/zinc/51/32/69/420513269.db2.gz JWAQYKLHSOMTFG-UHFFFAOYSA-N 1 2 307.781 1.502 20 30 DDEDLO C[N@@H+](CC(=O)N1CCOCC1)Cc1ccc(C#N)cc1Cl ZINC000439430684 420513271 /nfs/dbraw/zinc/51/32/71/420513271.db2.gz JWAQYKLHSOMTFG-UHFFFAOYSA-N 1 2 307.781 1.502 20 30 DDEDLO C#CCC[C@H](O)CNC(=O)/C=C\c1ccc(-n2cc[nH+]c2)cc1 ZINC000492598185 420602252 /nfs/dbraw/zinc/60/22/52/420602252.db2.gz UYHLCSAHUOMIOJ-JEZWAEDTSA-N 1 2 309.369 1.776 20 30 DDEDLO CC(C)(C)OC(=O)N[C@H]1CC[N@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC000492390602 420543751 /nfs/dbraw/zinc/54/37/51/420543751.db2.gz JYIHNZMXSWJLJO-LBPRGKRZSA-N 1 2 322.409 1.148 20 30 DDEDLO CC(C)(C)OC(=O)N[C@H]1CC[N@@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC000492390602 420543754 /nfs/dbraw/zinc/54/37/54/420543754.db2.gz JYIHNZMXSWJLJO-LBPRGKRZSA-N 1 2 322.409 1.148 20 30 DDEDLO COc1ccc(C[NH+]2CCN(c3nccnc3C)CC2)cc1C#N ZINC000440521025 420585022 /nfs/dbraw/zinc/58/50/22/420585022.db2.gz MRYLCNBPVYSENN-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(c2cnc(C#N)cn2)C1 ZINC000440648560 420593994 /nfs/dbraw/zinc/59/39/94/420593994.db2.gz DQWTXDRKOIORJU-MRXNPFEDSA-N 1 2 317.393 1.248 20 30 DDEDLO C=CCN(C(=O)C(=O)Nc1cccc(-n2cc[nH+]c2)c1)C1CC1 ZINC000442386855 420679778 /nfs/dbraw/zinc/67/97/78/420679778.db2.gz ARHDQSAJXZUMFC-UHFFFAOYSA-N 1 2 310.357 1.988 20 30 DDEDLO C[C@H]1C[N@H+](C)CCN1S(=O)(=O)c1ccc(Cl)cc1C#N ZINC000443067128 420741548 /nfs/dbraw/zinc/74/15/48/420741548.db2.gz LGIDXJLTCUUHCD-JTQLQIEISA-N 1 2 313.810 1.536 20 30 DDEDLO C[C@H]1C[N@@H+](C)CCN1S(=O)(=O)c1ccc(Cl)cc1C#N ZINC000443067128 420741550 /nfs/dbraw/zinc/74/15/50/420741550.db2.gz LGIDXJLTCUUHCD-JTQLQIEISA-N 1 2 313.810 1.536 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1cccc(Cl)c1C#N ZINC000443092960 420743948 /nfs/dbraw/zinc/74/39/48/420743948.db2.gz HTQVSUIQZPZDQL-JTQLQIEISA-N 1 2 324.793 1.775 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C/c1cnc2ccccc2n1 ZINC000493149803 420769236 /nfs/dbraw/zinc/76/92/36/420769236.db2.gz KMEZANCXLHYXAE-IJDCCNJMSA-N 1 2 309.373 1.603 20 30 DDEDLO COC(=O)c1ccc(/C=C/C(=O)N[C@](C)(C#N)C[NH+](C)C)cc1 ZINC000493289715 420810729 /nfs/dbraw/zinc/81/07/29/420810729.db2.gz HWCVCYIDMDPJTK-PYUISTEWSA-N 1 2 315.373 1.446 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)[C@@H]1C[C@H]1C(F)(F)F ZINC000448835671 420903996 /nfs/dbraw/zinc/90/39/96/420903996.db2.gz MEOWIOWKUIDSGV-VXGBXAGGSA-N 1 2 319.327 1.259 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000448604468 420869846 /nfs/dbraw/zinc/86/98/46/420869846.db2.gz IEHRXHDKPXTQQG-HFTRVMKXSA-N 1 2 313.401 1.553 20 30 DDEDLO C[C@@H]1C[C@@]1(C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000448604468 420869850 /nfs/dbraw/zinc/86/98/50/420869850.db2.gz IEHRXHDKPXTQQG-HFTRVMKXSA-N 1 2 313.401 1.553 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)[C@@H](O)C3CCC3)CC2)cc1 ZINC000449125762 420954459 /nfs/dbraw/zinc/95/44/59/420954459.db2.gz KALAYSKSNGTRRT-KRWDZBQOSA-N 1 2 313.401 1.363 20 30 DDEDLO COC(=O)N1CCC(C/[NH+]=C\c2c(CO)cnc(C)c2O)CC1 ZINC000495610897 421035542 /nfs/dbraw/zinc/03/55/42/421035542.db2.gz JYHZXCUVMRPACV-MFOYZWKCSA-N 1 2 321.377 1.485 20 30 DDEDLO CN(C)C(=O)O[C@H]1CC[N@H+](Cc2cnc3ccc(C#N)cn23)C1 ZINC000496014341 421117503 /nfs/dbraw/zinc/11/75/03/421117503.db2.gz LIZFPJXKOPSGPM-AWEZNQCLSA-N 1 2 313.361 1.478 20 30 DDEDLO CN(C)C(=O)O[C@H]1CC[N@@H+](Cc2cnc3ccc(C#N)cn23)C1 ZINC000496014341 421117507 /nfs/dbraw/zinc/11/75/07/421117507.db2.gz LIZFPJXKOPSGPM-AWEZNQCLSA-N 1 2 313.361 1.478 20 30 DDEDLO CO[C@H]1C[NH+](Cc2nnc(-c3ccc(C#N)cc3)o2)C[C@@H]1OC ZINC000488084779 421068784 /nfs/dbraw/zinc/06/87/84/421068784.db2.gz DAROGFVFYRTMTL-KBPBESRZSA-N 1 2 314.345 1.454 20 30 DDEDLO C[N@H+](CCNC(=O)OC(C)(C)C)Cn1cccc(C#N)c1=O ZINC000495886862 421083150 /nfs/dbraw/zinc/08/31/50/421083150.db2.gz QWKFZGHSMOKIMI-UHFFFAOYSA-N 1 2 306.366 1.134 20 30 DDEDLO C[N@@H+](CCNC(=O)OC(C)(C)C)Cn1cccc(C#N)c1=O ZINC000495886862 421083154 /nfs/dbraw/zinc/08/31/54/421083154.db2.gz QWKFZGHSMOKIMI-UHFFFAOYSA-N 1 2 306.366 1.134 20 30 DDEDLO C=C[C@H]1CCCC[N@H+]1CC(=O)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000489392712 421153009 /nfs/dbraw/zinc/15/30/09/421153009.db2.gz AWGNBMFTKXWNHZ-NSHDSACASA-N 1 2 320.393 1.164 20 30 DDEDLO C=C[C@H]1CCCC[N@@H+]1CC(=O)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000489392712 421153011 /nfs/dbraw/zinc/15/30/11/421153011.db2.gz AWGNBMFTKXWNHZ-NSHDSACASA-N 1 2 320.393 1.164 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@H]3CCOC3)n2C(C)C)CC1 ZINC000491497636 421198360 /nfs/dbraw/zinc/19/83/60/421198360.db2.gz YYTURTKNICQYKY-AWEZNQCLSA-N 1 2 303.410 1.118 20 30 DDEDLO Cc1cc(C#N)cnc1-c1nc([C@H]2C[N@@H+](C3CC3)CCO2)no1 ZINC000550998767 421526563 /nfs/dbraw/zinc/52/65/63/421526563.db2.gz RQFVVTGWLWUHMN-CYBMUJFWSA-N 1 2 311.345 1.847 20 30 DDEDLO Cc1cc(C#N)cnc1-c1nc([C@H]2C[N@H+](C3CC3)CCO2)no1 ZINC000550998767 421526566 /nfs/dbraw/zinc/52/65/66/421526566.db2.gz RQFVVTGWLWUHMN-CYBMUJFWSA-N 1 2 311.345 1.847 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@@H+]1CCc2n[nH]cc2C1 ZINC000528426432 421489578 /nfs/dbraw/zinc/48/95/78/421489578.db2.gz ZXLJRZFFDKWALF-UHFFFAOYSA-N 1 2 320.352 1.067 20 30 DDEDLO O=C1c2ccccc2C(=O)N1CC#CC[N@H+]1CCc2n[nH]cc2C1 ZINC000528426432 421489582 /nfs/dbraw/zinc/48/95/82/421489582.db2.gz ZXLJRZFFDKWALF-UHFFFAOYSA-N 1 2 320.352 1.067 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)CNc1cccc(C[S@@](C)=O)c1 ZINC000564722964 421592568 /nfs/dbraw/zinc/59/25/68/421592568.db2.gz AHDOZMGJFKCRLV-QVKFZJNVSA-N 1 2 309.435 1.183 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)CNc1cccc(C[S@@](C)=O)c1 ZINC000564722964 421592571 /nfs/dbraw/zinc/59/25/71/421592571.db2.gz AHDOZMGJFKCRLV-QVKFZJNVSA-N 1 2 309.435 1.183 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000568022676 421617726 /nfs/dbraw/zinc/61/77/26/421617726.db2.gz UBSJPLMHOPUUKJ-CABCVRRESA-N 1 2 306.431 1.983 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+](C)CC(=O)N[C@@H]2CCC[C@@H](C)[C@H]2C)C1=O ZINC000532217329 421651596 /nfs/dbraw/zinc/65/15/96/421651596.db2.gz NONKJZSCCHTDEP-FPCVCCKLSA-N 1 2 321.465 1.646 20 30 DDEDLO C=CCN1CC[C@H]([N@H+](C)CC(=O)N[C@@H]2CCC[C@@H](C)[C@H]2C)C1=O ZINC000532217329 421651599 /nfs/dbraw/zinc/65/15/99/421651599.db2.gz NONKJZSCCHTDEP-FPCVCCKLSA-N 1 2 321.465 1.646 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1CNC(=O)N[C@@H](c1[nH+]ccn1C)C1CC1 ZINC000566139031 421603190 /nfs/dbraw/zinc/60/31/90/421603190.db2.gz YSHCKHDTLWVLRU-MELADBBJSA-N 1 2 304.394 1.762 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@H+](Cc2cccc(C#N)c2F)C1 ZINC000536994169 421726658 /nfs/dbraw/zinc/72/66/58/421726658.db2.gz DOGDQRLJMUOEOA-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO CNS(=O)(=O)[C@H]1CCC[N@@H+](Cc2cccc(C#N)c2F)C1 ZINC000536994169 421726661 /nfs/dbraw/zinc/72/66/61/421726661.db2.gz DOGDQRLJMUOEOA-ZDUSSCGKSA-N 1 2 311.382 1.211 20 30 DDEDLO C=CC[N@@H+](CCC(=O)N1CCC[C@@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000533387334 421672753 /nfs/dbraw/zinc/67/27/53/421672753.db2.gz AWCLOWYKQGSSLO-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO C=CC[N@H+](CCC(=O)N1CCC[C@@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000533387334 421672755 /nfs/dbraw/zinc/67/27/55/421672755.db2.gz AWCLOWYKQGSSLO-KBPBESRZSA-N 1 2 314.451 1.063 20 30 DDEDLO CN(C)c1[nH+]cc(CN2CCC(C#N)(c3ccccn3)CC2)n1C ZINC000520801383 421763145 /nfs/dbraw/zinc/76/31/45/421763145.db2.gz WCHQNSJCZYFHJV-UHFFFAOYSA-N 1 2 324.432 1.938 20 30 DDEDLO CN(C)c1ncc(C[NH+]2CCC(C#N)(c3ccccn3)CC2)n1C ZINC000520801383 421763148 /nfs/dbraw/zinc/76/31/48/421763148.db2.gz WCHQNSJCZYFHJV-UHFFFAOYSA-N 1 2 324.432 1.938 20 30 DDEDLO C[C@H]1CC[C@@H](Cn2cc(C[NH+]3CCOCC3)cc(C#N)c2=O)O1 ZINC000521462488 421788582 /nfs/dbraw/zinc/78/85/82/421788582.db2.gz IYOKUPNOUVUPJY-BBRMVZONSA-N 1 2 317.389 1.120 20 30 DDEDLO CCOC(=O)C[N@@H+](Cc1cnc2c(C#N)cnn2c1)CC(C)C ZINC000580952183 421872494 /nfs/dbraw/zinc/87/24/94/421872494.db2.gz MOXJGJDXAFTEBN-UHFFFAOYSA-N 1 2 315.377 1.622 20 30 DDEDLO CCOC(=O)C[N@H+](Cc1cnc2c(C#N)cnn2c1)CC(C)C ZINC000580952183 421872499 /nfs/dbraw/zinc/87/24/99/421872499.db2.gz MOXJGJDXAFTEBN-UHFFFAOYSA-N 1 2 315.377 1.622 20 30 DDEDLO N#Cc1ccncc1N1CCN(c2nc[nH+]c(N)c2Cl)CC1 ZINC000581643154 422017775 /nfs/dbraw/zinc/01/77/75/422017775.db2.gz CAGOLYRYVGXECV-UHFFFAOYSA-N 1 2 315.768 1.305 20 30 DDEDLO N#Cc1ccncc1N1CCN(c2[nH+]cnc(N)c2Cl)CC1 ZINC000581643154 422017781 /nfs/dbraw/zinc/01/77/81/422017781.db2.gz CAGOLYRYVGXECV-UHFFFAOYSA-N 1 2 315.768 1.305 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CC[C@H]3[C@@H](CCC(=O)N3C3CC3)C2)n1 ZINC000583984662 422232205 /nfs/dbraw/zinc/23/22/05/422232205.db2.gz UMEMHIYRPQAORU-FZMZJTMJSA-N 1 2 316.430 1.990 20 30 DDEDLO N#Cc1csc(C[N@H+]2CC[C@H]3[C@@H](CCC(=O)N3C3CC3)C2)n1 ZINC000583984662 422232212 /nfs/dbraw/zinc/23/22/12/422232212.db2.gz UMEMHIYRPQAORU-FZMZJTMJSA-N 1 2 316.430 1.990 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1ccc(C(=O)NC2CC2)cc1 ZINC000575805351 422328681 /nfs/dbraw/zinc/32/86/81/422328681.db2.gz KNGXNANNONILAJ-KRWDZBQOSA-N 1 2 314.389 1.152 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccc(C)c(F)c2)nn1 ZINC000640787779 423183655 /nfs/dbraw/zinc/18/36/55/423183655.db2.gz KWFWSVOSWSQCPX-UHFFFAOYSA-N 1 2 315.352 1.477 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccc(C)cc2F)nn1 ZINC000640787118 423184541 /nfs/dbraw/zinc/18/45/41/423184541.db2.gz LJQZJLUYZUPVIS-UHFFFAOYSA-N 1 2 315.352 1.477 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)NCC2CCCCC2)nn1 ZINC000640816272 423209442 /nfs/dbraw/zinc/20/94/42/423209442.db2.gz BUSACONWQOGPRL-AWEZNQCLSA-N 1 2 317.437 1.649 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[NH+]2CCN(c3ccccc3)CC2)cc1 ZINC000115530691 263337976 /nfs/dbraw/zinc/33/79/76/263337976.db2.gz SPHVLLBQKKQCRQ-SFHVURJKSA-N 1 2 320.396 1.907 20 30 DDEDLO C=CC[NH+]1CCC(NC(=O)c2coc(S(C)(=O)=O)c2)CC1 ZINC000651273227 423465923 /nfs/dbraw/zinc/46/59/23/423465923.db2.gz GNWPNEXDHAYRKG-UHFFFAOYSA-N 1 2 312.391 1.063 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2ccncc2Br)nn1 ZINC000641156912 423429124 /nfs/dbraw/zinc/42/91/24/423429124.db2.gz IPTTZLMHLZSRDZ-UHFFFAOYSA-N 1 2 320.194 1.597 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CC[C@H](CN3CCOCC3)C2)nn1 ZINC000653559690 423527885 /nfs/dbraw/zinc/52/78/85/423527885.db2.gz OIDCKHNIXZJMSL-OAHLLOKOSA-N 1 2 305.426 1.008 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CC[C@H](CN3CCOCC3)C2)nn1 ZINC000653559690 423527890 /nfs/dbraw/zinc/52/78/90/423527890.db2.gz OIDCKHNIXZJMSL-OAHLLOKOSA-N 1 2 305.426 1.008 20 30 DDEDLO C=CCCn1cc(C[N@H+]2CCC[C@@H](NC(=O)N(C)C)C2)nn1 ZINC000653755311 423596210 /nfs/dbraw/zinc/59/62/10/423596210.db2.gz SLAOOHQELGBFCR-CYBMUJFWSA-N 1 2 306.414 1.090 20 30 DDEDLO C=CCCn1cc(C[N@@H+]2CCC[C@@H](NC(=O)N(C)C)C2)nn1 ZINC000653755311 423596213 /nfs/dbraw/zinc/59/62/13/423596213.db2.gz SLAOOHQELGBFCR-CYBMUJFWSA-N 1 2 306.414 1.090 20 30 DDEDLO C=CCOCCCNS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000641610549 423753241 /nfs/dbraw/zinc/75/32/41/423753241.db2.gz ZNEUVKKWNLZMEB-UHFFFAOYSA-N 1 2 324.446 1.546 20 30 DDEDLO C=CCOCCCNS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000641610549 423753246 /nfs/dbraw/zinc/75/32/46/423753246.db2.gz ZNEUVKKWNLZMEB-UHFFFAOYSA-N 1 2 324.446 1.546 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1nnnn1-c1ccccc1Br ZINC000662165699 424448533 /nfs/dbraw/zinc/44/85/33/424448533.db2.gz MUZLMGZHQYYAMG-SECBINFHSA-N 1 2 324.182 1.061 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)N1C(=O)N[C@H](Cc2c[nH+]c[nH]2)C1=O ZINC000359020626 267000047 /nfs/dbraw/zinc/00/00/47/267000047.db2.gz YACCVSMJRVQXGB-IINYFYTJSA-N 1 2 309.329 1.505 20 30 DDEDLO C[C@@H](c1ccc(C#N)cc1)N1C(=O)N[C@H](Cc2c[nH]c[nH+]2)C1=O ZINC000359020626 267000050 /nfs/dbraw/zinc/00/00/50/267000050.db2.gz YACCVSMJRVQXGB-IINYFYTJSA-N 1 2 309.329 1.505 20 30 DDEDLO C[C@H]1C[NH+](CCN(C)C(=O)c2cccc(C#N)c2)C[C@H](C)O1 ZINC000345390351 267232324 /nfs/dbraw/zinc/23/23/24/267232324.db2.gz CGYSGBCFUCLFPC-KBPBESRZSA-N 1 2 301.390 1.739 20 30 DDEDLO C[C@H]1CN(c2cccc[nH+]2)C[C@@H]1C(=O)Nc1ccncc1C#N ZINC000346619348 267239365 /nfs/dbraw/zinc/23/93/65/267239365.db2.gz LEOAIMLRWLZTQF-JSGCOSHPSA-N 1 2 307.357 1.481 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)C(=O)Nc1cccc(C#N)c1)CC2 ZINC000363665000 267532671 /nfs/dbraw/zinc/53/26/71/267532671.db2.gz LTHKYLQTRHLMBV-UHFFFAOYSA-N 1 2 309.329 1.044 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@@H+]2CCC(F)(F)[C@H](CO)C2)cc1 ZINC000361407765 268138987 /nfs/dbraw/zinc/13/89/87/268138987.db2.gz HEHFMPYDDDHOMR-LBPRGKRZSA-N 1 2 309.316 1.446 20 30 DDEDLO N#Cc1ccc(NC(=O)C[N@H+]2CCC(F)(F)[C@H](CO)C2)cc1 ZINC000361407765 268138988 /nfs/dbraw/zinc/13/89/88/268138988.db2.gz HEHFMPYDDDHOMR-LBPRGKRZSA-N 1 2 309.316 1.446 20 30 DDEDLO CC(C)[C@@H](CNC(=O)[C@@]1(C#N)CC12CCCC2)[NH+]1CCOCC1 ZINC000333181316 277742588 /nfs/dbraw/zinc/74/25/88/277742588.db2.gz MTLJPTDSNOKHRV-QAPCUYQASA-N 1 2 319.449 1.933 20 30 DDEDLO Cc1nccc(NC(=O)N2C[C@@H]([NH+]3CCOCC3)C[C@@H]2C)n1 ZINC000329249391 279166074 /nfs/dbraw/zinc/16/60/74/279166074.db2.gz TTXKNUUIXYARNI-AAEUAGOBSA-N 1 2 305.382 1.126 20 30 DDEDLO N#CC1(NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CCSCC1 ZINC000272378792 279478555 /nfs/dbraw/zinc/47/85/55/279478555.db2.gz VRKMUGLCIFPUCR-UHFFFAOYSA-N 1 2 313.386 1.786 20 30 DDEDLO Cc1nc(C(=O)N2C[C@H]([NH+]3CCOCC3)C[C@@H]2C)ccc1C#N ZINC000285611462 293174195 /nfs/dbraw/zinc/17/41/95/293174195.db2.gz CCQVNSMANZJOOA-SWLSCSKDSA-N 1 2 314.389 1.197 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1c1c(C#N)cccc1[N+](=O)[O-] ZINC000425255936 300425787 /nfs/dbraw/zinc/42/57/87/300425787.db2.gz QQJUHGBLHBCFKS-GXTWGEPZSA-N 1 2 316.361 1.766 20 30 DDEDLO N#Cc1ccc(F)c(C[NH+]2CCC(c3n[nH]c(=O)[nH]3)CC2)c1 ZINC000373831878 301734272 /nfs/dbraw/zinc/73/42/72/301734272.db2.gz PIYHVZMTISIWFR-UHFFFAOYSA-N 1 2 301.325 1.901 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000547967872 307751203 /nfs/dbraw/zinc/75/12/03/307751203.db2.gz SELKZGINXCBTFL-LBPRGKRZSA-N 1 2 302.359 1.048 20 30 DDEDLO N#Cc1ccc(C(=O)Nc2ccc(Cn3cc[nH+]c3)cn2)nc1 ZINC000557713483 307882185 /nfs/dbraw/zinc/88/21/85/307882185.db2.gz DUMGEKPWALKFSW-UHFFFAOYSA-N 1 2 304.313 1.845 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@H+](Cc1ccc(C#N)s1)C2 ZINC000570642323 308174744 /nfs/dbraw/zinc/17/47/44/308174744.db2.gz JUZKNYSNWAFLTE-UHFFFAOYSA-N 1 2 300.387 1.755 20 30 DDEDLO CCn1cnc2c(c1=O)CC[N@@H+](Cc1ccc(C#N)s1)C2 ZINC000570642323 308174746 /nfs/dbraw/zinc/17/47/46/308174746.db2.gz JUZKNYSNWAFLTE-UHFFFAOYSA-N 1 2 300.387 1.755 20 30 DDEDLO Cc1nn(C)c2cc(NC[C@H](O)C[N@H+](C)CCC#N)ccc12 ZINC000563010667 333048828 /nfs/dbraw/zinc/04/88/28/333048828.db2.gz TYDCHSHDQIFJJY-AWEZNQCLSA-N 1 2 301.394 1.500 20 30 DDEDLO Cc1nn(C)c2cc(NC[C@H](O)C[N@@H+](C)CCC#N)ccc12 ZINC000563010667 333048829 /nfs/dbraw/zinc/04/88/29/333048829.db2.gz TYDCHSHDQIFJJY-AWEZNQCLSA-N 1 2 301.394 1.500 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000520690284 336015661 /nfs/dbraw/zinc/01/56/61/336015661.db2.gz DBIJHSFZHIIHNE-OAHLLOKOSA-N 1 2 304.350 1.487 20 30 DDEDLO CN1C[C@@H](C[NH+]2CCN([C@H](C#N)c3ccccc3)CC2)OC1=O ZINC000495651805 339989318 /nfs/dbraw/zinc/98/93/18/339989318.db2.gz NQEBXOLABDHUDF-JKSUJKDBSA-N 1 2 314.389 1.319 20 30 DDEDLO C[N@@H+]1CCN(C(=O)C#CC2CC2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000496859679 340014801 /nfs/dbraw/zinc/01/48/01/340014801.db2.gz UIBCSXKUINJZRD-CQSZACIVSA-N 1 2 321.421 1.067 20 30 DDEDLO C[N@H+]1CCN(C(=O)C#CC2CC2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000496859679 340014802 /nfs/dbraw/zinc/01/48/02/340014802.db2.gz UIBCSXKUINJZRD-CQSZACIVSA-N 1 2 321.421 1.067 20 30 DDEDLO C[C@@H]1[C@H](C)[N@H+](CC(=O)NCC#N)[C@@H](C)CN1C(=O)OC(C)(C)C ZINC000496979360 340017462 /nfs/dbraw/zinc/01/74/62/340017462.db2.gz HQSWATHONSQUFX-RWMBFGLXSA-N 1 2 324.425 1.344 20 30 DDEDLO C[C@@H]1[C@H](C)[N@@H+](CC(=O)NCC#N)[C@@H](C)CN1C(=O)OC(C)(C)C ZINC000496979360 340017463 /nfs/dbraw/zinc/01/74/63/340017463.db2.gz HQSWATHONSQUFX-RWMBFGLXSA-N 1 2 324.425 1.344 20 30 DDEDLO CCOC(=O)Nc1ccc(NC[C@@H](O)C[N@H+](C)CCC#N)cc1 ZINC000497024779 340018507 /nfs/dbraw/zinc/01/85/07/340018507.db2.gz FZRWUUBCSONMLX-OAHLLOKOSA-N 1 2 320.393 1.873 20 30 DDEDLO CCOC(=O)Nc1ccc(NC[C@@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000497024779 340018508 /nfs/dbraw/zinc/01/85/08/340018508.db2.gz FZRWUUBCSONMLX-OAHLLOKOSA-N 1 2 320.393 1.873 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2C[C@@H](C)O[C@H](CO)C2)cc1OC ZINC000249263442 341395566 /nfs/dbraw/zinc/39/55/66/341395566.db2.gz IGPMAQRCWDQILR-HIFRSBDPSA-N 1 2 307.390 1.842 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2C[C@@H](C)O[C@H](CO)C2)cc1OC ZINC000249263442 341395567 /nfs/dbraw/zinc/39/55/67/341395567.db2.gz IGPMAQRCWDQILR-HIFRSBDPSA-N 1 2 307.390 1.842 20 30 DDEDLO CNS(=O)(=O)c1ccc(C[NH2+]C[C@H](C#N)CCC#N)s1 ZINC000574312905 341754896 /nfs/dbraw/zinc/75/48/96/341754896.db2.gz PARSASUEHYOWEM-JTQLQIEISA-N 1 2 312.420 1.189 20 30 DDEDLO C=CCCOCC(=O)NC[C@H](c1ccccc1)[NH+]1CCOCC1 ZINC000146621478 484038166 /nfs/dbraw/zinc/03/81/66/484038166.db2.gz SFCKLONZXMMSTM-QGZVFWFLSA-N 1 2 318.417 1.769 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)NCC2([NH+]3CCOCC3)CC2)C1 ZINC000661069367 484887405 /nfs/dbraw/zinc/88/74/05/484887405.db2.gz HRRJIRXAECQDRS-HNNXBMFYSA-N 1 2 307.438 1.849 20 30 DDEDLO C[C@H](NC(=O)N[C@@H]1CCCSC1)[C@H](C)[NH+]1CCOCC1 ZINC000330099592 534279698 /nfs/dbraw/zinc/27/96/98/534279698.db2.gz DHGMVBBKSZUFNO-RWMBFGLXSA-N 1 2 301.456 1.495 20 30 DDEDLO N#CCNC(=O)C[N@H+](Cc1cccs1)[C@H]1C=C[C@H](CO)C1 ZINC000347325187 534673673 /nfs/dbraw/zinc/67/36/73/534673673.db2.gz AGWVVQWJQIWCKJ-STQMWFEESA-N 1 2 305.403 1.127 20 30 DDEDLO N#CCNC(=O)C[N@@H+](Cc1cccs1)[C@H]1C=C[C@H](CO)C1 ZINC000347325187 534673677 /nfs/dbraw/zinc/67/36/77/534673677.db2.gz AGWVVQWJQIWCKJ-STQMWFEESA-N 1 2 305.403 1.127 20 30 DDEDLO C=CC[N@@H+](CCc1ccccc1)Cc1nc(CC(=O)NC)no1 ZINC000494510820 526314995 /nfs/dbraw/zinc/31/49/95/526314995.db2.gz GORIRDZDBLIVBV-UHFFFAOYSA-N 1 2 314.389 1.589 20 30 DDEDLO C=CC[N@H+](CCc1ccccc1)Cc1nc(CC(=O)NC)no1 ZINC000494510820 526315004 /nfs/dbraw/zinc/31/50/04/526315004.db2.gz GORIRDZDBLIVBV-UHFFFAOYSA-N 1 2 314.389 1.589 20 30 DDEDLO CC#CC[N@H+](C)CC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000339764556 526359372 /nfs/dbraw/zinc/35/93/72/526359372.db2.gz SUYAVLLFPKNZOR-MRXNPFEDSA-N 1 2 304.365 1.681 20 30 DDEDLO CC#CC[N@@H+](C)CC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000339764556 526359377 /nfs/dbraw/zinc/35/93/77/526359377.db2.gz SUYAVLLFPKNZOR-MRXNPFEDSA-N 1 2 304.365 1.681 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCc1ccc(N2CCCC2)[nH+]c1 ZINC000340093657 526478009 /nfs/dbraw/zinc/47/80/09/526478009.db2.gz YHFZTHKRXXKEKV-UHFFFAOYSA-N 1 2 316.405 1.333 20 30 DDEDLO C#CC(C)(C)N(C)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000491641758 526656169 /nfs/dbraw/zinc/65/61/69/526656169.db2.gz STHDTRIXCDYDOY-UHFFFAOYSA-N 1 2 317.414 1.964 20 30 DDEDLO C=C1CCN(C(=O)C2CC[NH+](Cc3nccn3C)CC2)CC1 ZINC000342101000 526894202 /nfs/dbraw/zinc/89/42/02/526894202.db2.gz ZNTBEASIWWOVRL-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C#CC[N@@H+](CCCOCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000490936418 526901638 /nfs/dbraw/zinc/90/16/38/526901638.db2.gz QMYUJKATIAVTBW-KRWDZBQOSA-N 1 2 321.442 1.716 20 30 DDEDLO C#CC[N@H+](CCCOCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000490936418 526901641 /nfs/dbraw/zinc/90/16/41/526901641.db2.gz QMYUJKATIAVTBW-KRWDZBQOSA-N 1 2 321.442 1.716 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)COc2cnc3ccccc3c2)CC1 ZINC000490722074 526952924 /nfs/dbraw/zinc/95/29/24/526952924.db2.gz ZXUORPCKQRATPB-UHFFFAOYSA-N 1 2 309.369 1.391 20 30 DDEDLO C#CC[NH+]1CCN(C(=O)Cn2ccc3c(C)cccc3c2=O)CC1 ZINC000491678688 526953337 /nfs/dbraw/zinc/95/33/37/526953337.db2.gz BZYUOWDMBXVTPI-UHFFFAOYSA-N 1 2 323.396 1.087 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCC(C)(C)C2)CC1 ZINC000490939187 526994541 /nfs/dbraw/zinc/99/45/41/526994541.db2.gz ZVNLBOIFVDKNOF-OAHLLOKOSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCC(C)(C)C2)CC1 ZINC000490939187 526994545 /nfs/dbraw/zinc/99/45/45/526994545.db2.gz ZVNLBOIFVDKNOF-OAHLLOKOSA-N 1 2 319.449 1.096 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1CCc2ccc(Br)cc2C1 ZINC000491164723 527029159 /nfs/dbraw/zinc/02/91/59/527029159.db2.gz DZOIVXKUKJFOIU-NSHDSACASA-N 1 2 321.218 1.945 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1CCc2ccc(Br)cc2C1 ZINC000491164723 527029165 /nfs/dbraw/zinc/02/91/65/527029165.db2.gz DZOIVXKUKJFOIU-NSHDSACASA-N 1 2 321.218 1.945 20 30 DDEDLO C=CC[C@@H](CO)CNC(=O)c1ccccc1C[NH+]1CCOCC1 ZINC000342175812 527075416 /nfs/dbraw/zinc/07/54/16/527075416.db2.gz FZSOUJJEYQLBTF-OAHLLOKOSA-N 1 2 318.417 1.433 20 30 DDEDLO C=CCCCN(C)C(=O)C(=O)NCCc1cn2c([nH+]1)CCCC2 ZINC000340567015 527177240 /nfs/dbraw/zinc/17/72/40/527177240.db2.gz SRAPUXQMWNDXEM-UHFFFAOYSA-N 1 2 318.421 1.303 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)[C@@H]1CN(c2cccc[nH+]2)C[C@@H]1C ZINC000346033637 527180276 /nfs/dbraw/zinc/18/02/76/527180276.db2.gz HPMMBZQJHRRANZ-SOUVJXGZSA-N 1 2 303.406 1.455 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)Nc1ccc2c(c1)OCO2 ZINC000491682633 527309789 /nfs/dbraw/zinc/30/97/89/527309789.db2.gz SYLUNKFMWSDWEA-CYBMUJFWSA-N 1 2 302.330 1.078 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)Nc1ccc2c(c1)OCO2 ZINC000491682633 527309793 /nfs/dbraw/zinc/30/97/93/527309793.db2.gz SYLUNKFMWSDWEA-CYBMUJFWSA-N 1 2 302.330 1.078 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1CCC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000491659846 527310096 /nfs/dbraw/zinc/31/00/96/527310096.db2.gz IWZJCLYAEKIMLQ-LLVKDONJSA-N 1 2 321.308 1.397 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1CCC(=O)Nc1cc([N+](=O)[O-])ccc1F ZINC000491659846 527310103 /nfs/dbraw/zinc/31/01/03/527310103.db2.gz IWZJCLYAEKIMLQ-LLVKDONJSA-N 1 2 321.308 1.397 20 30 DDEDLO C#C[C@H](CC)NC(=O)NCc1ccccc1C[NH+]1CCOCC1 ZINC000491639111 527354098 /nfs/dbraw/zinc/35/40/98/527354098.db2.gz YHCKDBLTKPMLPT-QGZVFWFLSA-N 1 2 315.417 1.730 20 30 DDEDLO CC(C)CNC(=O)NC(=O)CN1CC[NH+](Cc2ccco2)CC1 ZINC000330644898 527590699 /nfs/dbraw/zinc/59/06/99/527590699.db2.gz HYRUNRAFOKMVOX-UHFFFAOYSA-N 1 2 322.409 1.083 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+]1CCCC[C@H]1CS(C)(=O)=O ZINC000451658688 527608620 /nfs/dbraw/zinc/60/86/20/527608620.db2.gz NJGQXSZSKWOVEZ-AWEZNQCLSA-N 1 2 314.451 1.086 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+]1CCCC[C@H]1CS(C)(=O)=O ZINC000451658688 527608623 /nfs/dbraw/zinc/60/86/23/527608623.db2.gz NJGQXSZSKWOVEZ-AWEZNQCLSA-N 1 2 314.451 1.086 20 30 DDEDLO CC1(C)[C@H](O)C[C@H]1NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000330257730 527888300 /nfs/dbraw/zinc/88/83/00/527888300.db2.gz QVNLIARETKSWAP-HZPDHXFCSA-N 1 2 318.417 1.983 20 30 DDEDLO CCN1CC[NH+](CCCNC(=O)c2ncc(C)cc2O)CC1 ZINC000330872722 529142212 /nfs/dbraw/zinc/14/22/12/529142212.db2.gz HDWLCHAXTUXNOI-UHFFFAOYSA-N 1 2 306.410 1.428 20 30 DDEDLO CC[C@@H](C)NC(=O)C[NH+]1CCN(c2ccc(C)c(C#N)n2)CC1 ZINC000302622699 529363126 /nfs/dbraw/zinc/36/31/26/529363126.db2.gz FRXHWZRECNFFCP-CQSZACIVSA-N 1 2 315.421 1.298 20 30 DDEDLO C(=NNCc1ccc(-c2nn[nH]n2)cc1)c1cccc2[nH+]ccn21 ZINC000819719082 607325267 /nfs/dbraw/zinc/32/52/67/607325267.db2.gz YUSMNYMNLMKBHI-UHFFFAOYSA-N 1 2 318.344 1.638 20 30 DDEDLO COCC[NH+]1CCC([NH2+][C@H](C(=O)[O-])c2cccc(C#N)c2)CC1 ZINC000831745931 601307435 /nfs/dbraw/zinc/30/74/35/601307435.db2.gz SWYKLEGITNATOH-INIZCTEOSA-N 1 2 317.389 1.384 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)CC3CCCC3)C2)C1 ZINC000972239779 695185962 /nfs/dbraw/zinc/18/59/62/695185962.db2.gz PDEBOAKCLYXCLF-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)CC3CCCC3)C2)C1 ZINC000972239779 695185964 /nfs/dbraw/zinc/18/59/64/695185964.db2.gz PDEBOAKCLYXCLF-GOSISDBHSA-N 1 2 304.434 1.893 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cnn(CC)c3)C2)C1 ZINC000972309135 695212670 /nfs/dbraw/zinc/21/26/70/695212670.db2.gz VVSMTZJPPSMAGF-INIZCTEOSA-N 1 2 304.394 1.006 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cnn(CC)c3)C2)C1 ZINC000972309135 695212672 /nfs/dbraw/zinc/21/26/72/695212672.db2.gz VVSMTZJPPSMAGF-INIZCTEOSA-N 1 2 304.394 1.006 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H]3C[C@@H]3C(F)F)C2)C1 ZINC000972367892 695231511 /nfs/dbraw/zinc/23/15/11/695231511.db2.gz OHVAXKHWNDTGCQ-HEHGZKQESA-N 1 2 312.360 1.214 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H]3C[C@@H]3C(F)F)C2)C1 ZINC000972367892 695231512 /nfs/dbraw/zinc/23/15/12/695231512.db2.gz OHVAXKHWNDTGCQ-HEHGZKQESA-N 1 2 312.360 1.214 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3c[nH]nc3CC)C2)C1 ZINC000972448398 695253879 /nfs/dbraw/zinc/25/38/79/695253879.db2.gz YAHBEZPTOAFIJR-INIZCTEOSA-N 1 2 304.394 1.075 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3c[nH]nc3CC)C2)C1 ZINC000972448398 695253880 /nfs/dbraw/zinc/25/38/80/695253880.db2.gz YAHBEZPTOAFIJR-INIZCTEOSA-N 1 2 304.394 1.075 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3CC4(CCC4)C3)C2)C1 ZINC000972509520 695267860 /nfs/dbraw/zinc/26/78/60/695267860.db2.gz FSVZJTBDGOGPCQ-SFHVURJKSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3CC4(CCC4)C3)C2)C1 ZINC000972509520 695267862 /nfs/dbraw/zinc/26/78/62/695267862.db2.gz FSVZJTBDGOGPCQ-SFHVURJKSA-N 1 2 302.418 1.503 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3csc(C)n3)C2)C1 ZINC000972520062 695271776 /nfs/dbraw/zinc/27/17/76/695271776.db2.gz OGHROGDXJJZRED-INIZCTEOSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3csc(C)n3)C2)C1 ZINC000972520062 695271778 /nfs/dbraw/zinc/27/17/78/695271778.db2.gz OGHROGDXJJZRED-INIZCTEOSA-N 1 2 319.430 1.392 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3ccco3)C2)C1 ZINC000972548924 695279500 /nfs/dbraw/zinc/27/95/00/695279500.db2.gz KOZHEGZBJYYYNR-QAPCUYQASA-N 1 2 316.401 1.710 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H](C)c3ccco3)C2)C1 ZINC000972548924 695279501 /nfs/dbraw/zinc/27/95/01/695279501.db2.gz KOZHEGZBJYYYNR-QAPCUYQASA-N 1 2 316.401 1.710 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C3CCCC3)C2)C1 ZINC000972631728 695304105 /nfs/dbraw/zinc/30/41/05/695304105.db2.gz OFYWENQEMRXJSQ-CRAIPNDOSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](C)C3CCCC3)C2)C1 ZINC000972631728 695304107 /nfs/dbraw/zinc/30/41/07/695304107.db2.gz OFYWENQEMRXJSQ-CRAIPNDOSA-N 1 2 304.434 1.749 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2c(C)nc[nH]c2=O)C(C)(C)C1 ZINC000974939476 695762763 /nfs/dbraw/zinc/76/27/63/695762763.db2.gz CTAGUHSBVFRBHR-NSHDSACASA-N 1 2 324.812 1.683 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2c(C)nc[nH]c2=O)C(C)(C)C1 ZINC000974939476 695762765 /nfs/dbraw/zinc/76/27/65/695762765.db2.gz CTAGUHSBVFRBHR-NSHDSACASA-N 1 2 324.812 1.683 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)[C@]2(F)CCOC2)C(C)(C)C1 ZINC000974971957 695770810 /nfs/dbraw/zinc/77/08/10/695770810.db2.gz NRQFFAHBLGWRHG-FZMZJTMJSA-N 1 2 304.793 1.694 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)[C@]2(F)CCOC2)C(C)(C)C1 ZINC000974971957 695770811 /nfs/dbraw/zinc/77/08/11/695770811.db2.gz NRQFFAHBLGWRHG-FZMZJTMJSA-N 1 2 304.793 1.694 20 30 DDEDLO C#CCNC(=O)C[N@@H+](C)Cc1ccc(Br)s1 ZINC000007852609 696031820 /nfs/dbraw/zinc/03/18/20/696031820.db2.gz ABDQZQZYPTZFEC-UHFFFAOYSA-N 1 2 301.209 1.692 20 30 DDEDLO C#CCNC(=O)C[N@H+](C)Cc1ccc(Br)s1 ZINC000007852609 696031821 /nfs/dbraw/zinc/03/18/21/696031821.db2.gz ABDQZQZYPTZFEC-UHFFFAOYSA-N 1 2 301.209 1.692 20 30 DDEDLO NC(=[NH+]OCC(=O)NCc1ccccc1F)c1ccccc1 ZINC000055349848 696283714 /nfs/dbraw/zinc/28/37/14/696283714.db2.gz IUPBGFBHSBLILC-UHFFFAOYSA-N 1 2 301.321 1.779 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+](C)Cc1cccc(C(N)=O)c1 ZINC000056521421 696291500 /nfs/dbraw/zinc/29/15/00/696291500.db2.gz JKEJBRKICGGGLD-UHFFFAOYSA-N 1 2 303.406 1.642 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+](C)Cc1cccc(C(N)=O)c1 ZINC000056521421 696291501 /nfs/dbraw/zinc/29/15/01/696291501.db2.gz JKEJBRKICGGGLD-UHFFFAOYSA-N 1 2 303.406 1.642 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](Cc2ccc(N(C)CCC#N)cc2)CCO1 ZINC000093082767 696599149 /nfs/dbraw/zinc/59/91/49/696599149.db2.gz DAUPPWXZYABKNN-INIZCTEOSA-N 1 2 317.389 1.410 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](Cc2ccc(N(C)CCC#N)cc2)CCO1 ZINC000093082767 696599150 /nfs/dbraw/zinc/59/91/50/696599150.db2.gz DAUPPWXZYABKNN-INIZCTEOSA-N 1 2 317.389 1.410 20 30 DDEDLO C=CC1CC[NH+](CC(=O)NC(=O)c2ccc(OC)cc2)CC1 ZINC000111259716 696643133 /nfs/dbraw/zinc/64/31/33/696643133.db2.gz WCDIFFSKFAEXIT-UHFFFAOYSA-N 1 2 302.374 1.850 20 30 DDEDLO CSCC(=O)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980672675 696840031 /nfs/dbraw/zinc/84/00/31/696840031.db2.gz YQFJFXFCRHTLDK-UHFFFAOYSA-N 1 2 302.443 1.935 20 30 DDEDLO CSCC(=O)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980672675 696840033 /nfs/dbraw/zinc/84/00/33/696840033.db2.gz YQFJFXFCRHTLDK-UHFFFAOYSA-N 1 2 302.443 1.935 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCN(C(=O)C[C@@H]2CCC(=O)N2C)CC1 ZINC000981736324 696896919 /nfs/dbraw/zinc/89/69/19/696896919.db2.gz WYUFUOYINIECLM-ZDUSSCGKSA-N 1 2 313.829 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCN(C(=O)C[C@@H]2CCC(=O)N2C)CC1 ZINC000981736324 696896923 /nfs/dbraw/zinc/89/69/23/696896923.db2.gz WYUFUOYINIECLM-ZDUSSCGKSA-N 1 2 313.829 1.284 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@H+](Cc2cnn(C(C)(C)C)c2)CC1 ZINC000980850675 696917945 /nfs/dbraw/zinc/91/79/45/696917945.db2.gz WICACSJXIUKJOY-CQSZACIVSA-N 1 2 317.437 1.832 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[N@@H+](Cc2cnn(C(C)(C)C)c2)CC1 ZINC000980850675 696917946 /nfs/dbraw/zinc/91/79/46/696917946.db2.gz WICACSJXIUKJOY-CQSZACIVSA-N 1 2 317.437 1.832 20 30 DDEDLO O=C(Cc1ccon1)N1CCC[N@H+](CC#Cc2ccccc2)CC1 ZINC000980856168 696920296 /nfs/dbraw/zinc/92/02/96/696920296.db2.gz GCWHPKNVOLSURZ-UHFFFAOYSA-N 1 2 323.396 1.803 20 30 DDEDLO O=C(Cc1ccon1)N1CCC[N@@H+](CC#Cc2ccccc2)CC1 ZINC000980856168 696920298 /nfs/dbraw/zinc/92/02/98/696920298.db2.gz GCWHPKNVOLSURZ-UHFFFAOYSA-N 1 2 323.396 1.803 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N2CC3(C2)CCCN(CC#N)C3)c[nH+]1 ZINC000981839343 696938552 /nfs/dbraw/zinc/93/85/52/696938552.db2.gz UFGDJKQWZMNROJ-UHFFFAOYSA-N 1 2 315.421 1.375 20 30 DDEDLO NC(=[NH+]OCC(=O)Nc1ccccc1)c1ccc2c(c1)CCO2 ZINC000158210094 696987870 /nfs/dbraw/zinc/98/78/70/696987870.db2.gz WUZMCVAAYCGZAE-UHFFFAOYSA-N 1 2 311.341 1.897 20 30 DDEDLO C#CC[N@H+]1CCCN(C(=O)c2cc3cc[nH]c(=O)c3s2)CC1 ZINC000981504944 697113879 /nfs/dbraw/zinc/11/38/79/697113879.db2.gz DMNFSOIUDHWFCT-UHFFFAOYSA-N 1 2 315.398 1.371 20 30 DDEDLO C#CC[N@@H+]1CCCN(C(=O)c2cc3cc[nH]c(=O)c3s2)CC1 ZINC000981504944 697113881 /nfs/dbraw/zinc/11/38/81/697113881.db2.gz DMNFSOIUDHWFCT-UHFFFAOYSA-N 1 2 315.398 1.371 20 30 DDEDLO CC#CC[N@@H+]1CCCN(C(=O)c2scc3c2OCCO3)CC1 ZINC000983586059 697281893 /nfs/dbraw/zinc/28/18/93/697281893.db2.gz KQCFUJIAONYSKC-UHFFFAOYSA-N 1 2 320.414 1.691 20 30 DDEDLO CC#CC[N@H+]1CCCN(C(=O)c2scc3c2OCCO3)CC1 ZINC000983586059 697281895 /nfs/dbraw/zinc/28/18/95/697281895.db2.gz KQCFUJIAONYSKC-UHFFFAOYSA-N 1 2 320.414 1.691 20 30 DDEDLO CS(=O)(=O)c1ccc(N[NH2+]C2=CC(=O)N3CCCC[C@H]23)cc1 ZINC000799475980 700143447 /nfs/dbraw/zinc/14/34/47/700143447.db2.gz QDJICJZWOFZIBZ-CQSZACIVSA-N 1 2 321.402 1.643 20 30 DDEDLO C#C[C@H](NC(=O)NCc1ccc(-n2cc[nH+]c2)cc1)[C@@H]1CCCO1 ZINC000773240176 697733141 /nfs/dbraw/zinc/73/31/41/697733141.db2.gz KHOSEZBXQHQFOH-IRXDYDNUSA-N 1 2 324.384 1.852 20 30 DDEDLO C[N@H+](CC(=O)[C@@H](C#N)C(=O)Nc1ccccc1)[C@H]1CCSC1 ZINC000773975094 697829988 /nfs/dbraw/zinc/82/99/88/697829988.db2.gz JMNNHVPOMYKQEQ-UONOGXRCSA-N 1 2 317.414 1.771 20 30 DDEDLO C[N@@H+](CC(=O)[C@@H](C#N)C(=O)Nc1ccccc1)[C@H]1CCSC1 ZINC000773975094 697829990 /nfs/dbraw/zinc/82/99/90/697829990.db2.gz JMNNHVPOMYKQEQ-UONOGXRCSA-N 1 2 317.414 1.771 20 30 DDEDLO C#C[C@@H]([NH2+]CC(=O)Nc1cc(C(C)(C)C)no1)[C@@H]1CCCO1 ZINC000775798987 698038136 /nfs/dbraw/zinc/03/81/36/698038136.db2.gz MGLCOMWINCHASK-NEPJUHHUSA-N 1 2 305.378 1.681 20 30 DDEDLO N#Cc1ccc([C@@H](O)C(=O)NCC[NH+]2Cc3ccccc3C2)cc1 ZINC000780907698 698570624 /nfs/dbraw/zinc/57/06/24/698570624.db2.gz VVRJSKYXBCTBRW-GOSISDBHSA-N 1 2 321.380 1.724 20 30 DDEDLO CCNc1nc(N=NC(C)c2cn(C)c(C)[nH+]2)nc(NCC)n1 ZINC000800807507 700245510 /nfs/dbraw/zinc/24/55/10/700245510.db2.gz YKAOTAIBMCBCNY-UHFFFAOYSA-N 1 2 317.401 1.613 20 30 DDEDLO Cn1ccc(C(=O)N2CCC[N@H+](Cc3ccccc3C#N)CC2)n1 ZINC000989665211 698715925 /nfs/dbraw/zinc/71/59/25/698715925.db2.gz ABAWHORERANWTQ-UHFFFAOYSA-N 1 2 323.400 1.640 20 30 DDEDLO Cn1ccc(C(=O)N2CCC[N@@H+](Cc3ccccc3C#N)CC2)n1 ZINC000989665211 698715929 /nfs/dbraw/zinc/71/59/29/698715929.db2.gz ABAWHORERANWTQ-UHFFFAOYSA-N 1 2 323.400 1.640 20 30 DDEDLO C=CCS(=O)(=O)CC[NH2+]Cc1nc2c(s1)CCCC2 ZINC000782968234 698791445 /nfs/dbraw/zinc/79/14/45/698791445.db2.gz HTLJAZQPVPWHFL-UHFFFAOYSA-N 1 2 300.449 1.712 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)C(F)(F)C2(O)CCCC2)CC1 ZINC000786038057 699119691 /nfs/dbraw/zinc/11/96/91/699119691.db2.gz IEJDHEHFFXMXDV-UHFFFAOYSA-N 1 2 300.349 1.094 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)C(=S)Nc1ccccc1C#N ZINC000788332937 699304038 /nfs/dbraw/zinc/30/40/38/699304038.db2.gz MPBCBEBCWZINJN-CYBMUJFWSA-N 1 2 318.446 1.908 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=S)Nc1ccccc1C#N ZINC000788332952 699304340 /nfs/dbraw/zinc/30/43/40/699304340.db2.gz MPBCBEBCWZINJN-ZDUSSCGKSA-N 1 2 318.446 1.908 20 30 DDEDLO C#CCNC(=O)C[NH+]1CCC(C(=O)c2ccc(F)cc2)CC1 ZINC000789353700 699380691 /nfs/dbraw/zinc/38/06/91/699380691.db2.gz YAHZZDMTTSLGPR-UHFFFAOYSA-N 1 2 302.349 1.470 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[NH+]1CCC(CO)CC1 ZINC000728913263 699459928 /nfs/dbraw/zinc/45/99/28/699459928.db2.gz PTQYOGIIXMLWIY-UHFFFAOYSA-N 1 2 312.373 1.124 20 30 DDEDLO C#Cc1ccc(C(=O)N2CCCC[C@H]2C[NH+]2CCOCC2)nc1 ZINC000732128120 699547494 /nfs/dbraw/zinc/54/74/94/699547494.db2.gz RJRSAGLREYEYFA-INIZCTEOSA-N 1 2 313.401 1.390 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)OC(C)C ZINC000733991930 699630849 /nfs/dbraw/zinc/63/08/49/699630849.db2.gz RPYIRESWOXUXCT-GJZGRUSLSA-N 1 2 308.422 1.567 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)OC(C)C ZINC000733991930 699630851 /nfs/dbraw/zinc/63/08/51/699630851.db2.gz RPYIRESWOXUXCT-GJZGRUSLSA-N 1 2 308.422 1.567 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@@H+](Cn3cccnc3=S)C2)nc1 ZINC000793588686 699766137 /nfs/dbraw/zinc/76/61/37/699766137.db2.gz CZHQZAXXVHNWJU-CYBMUJFWSA-N 1 2 313.386 1.990 20 30 DDEDLO N#Cc1ccc(O[C@@H]2CC[N@H+](Cn3cccnc3=S)C2)nc1 ZINC000793588686 699766138 /nfs/dbraw/zinc/76/61/38/699766138.db2.gz CZHQZAXXVHNWJU-CYBMUJFWSA-N 1 2 313.386 1.990 20 30 DDEDLO COCCN(C)N=Cc1cccc(C=[NH+]N(C)CCOC)c1 ZINC000794971898 699839069 /nfs/dbraw/zinc/83/90/69/699839069.db2.gz VMDRGHXGALKBDQ-UHFFFAOYSA-N 1 2 306.410 1.511 20 30 DDEDLO C#CCOc1cc(F)ccc1NC(=O)NCCn1cc[nH+]c1 ZINC000743198570 699903188 /nfs/dbraw/zinc/90/31/88/699903188.db2.gz SHPGVRXAPAUJFE-UHFFFAOYSA-N 1 2 302.309 1.856 20 30 DDEDLO N#Cc1cccc(NC(=O)CC[N@@H+]2CCO[C@@H](C(F)F)C2)c1 ZINC000801559960 700320989 /nfs/dbraw/zinc/32/09/89/700320989.db2.gz GIYOBTLRSWRAKK-CYBMUJFWSA-N 1 2 309.316 1.853 20 30 DDEDLO N#Cc1cccc(NC(=O)CC[N@H+]2CCO[C@@H](C(F)F)C2)c1 ZINC000801559960 700320991 /nfs/dbraw/zinc/32/09/91/700320991.db2.gz GIYOBTLRSWRAKK-CYBMUJFWSA-N 1 2 309.316 1.853 20 30 DDEDLO COc1ccc(OC)c2c1C[N@H+](CCO[C@H](C)C#N)C[C@@H]2O ZINC000801914536 700352863 /nfs/dbraw/zinc/35/28/63/700352863.db2.gz KEODCKWXKLWXPB-YPMHNXCESA-N 1 2 306.362 1.481 20 30 DDEDLO COc1ccc(OC)c2c1C[N@@H+](CCO[C@H](C)C#N)C[C@@H]2O ZINC000801914536 700352867 /nfs/dbraw/zinc/35/28/67/700352867.db2.gz KEODCKWXKLWXPB-YPMHNXCESA-N 1 2 306.362 1.481 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@H+](C)C[C@H]1CCC[C@@H]1O ZINC000755060106 700547531 /nfs/dbraw/zinc/54/75/31/700547531.db2.gz WGVRDIBDUKXPOQ-PMPSAXMXSA-N 1 2 302.374 1.865 20 30 DDEDLO COC(=O)[C@H](c1ccc(C#N)cc1)[N@@H+](C)C[C@H]1CCC[C@@H]1O ZINC000755060106 700547532 /nfs/dbraw/zinc/54/75/32/700547532.db2.gz WGVRDIBDUKXPOQ-PMPSAXMXSA-N 1 2 302.374 1.865 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000755422480 700565200 /nfs/dbraw/zinc/56/52/00/700565200.db2.gz VFSFTUBZGKMIKT-UHFFFAOYSA-N 1 2 311.385 1.242 20 30 DDEDLO Cc1cnc(CC[N@@H+](C)Cn2ccc(C)c(C#N)c2=O)s1 ZINC000758243286 700693701 /nfs/dbraw/zinc/69/37/01/700693701.db2.gz PHGGPBIJUCWUHL-UHFFFAOYSA-N 1 2 302.403 1.925 20 30 DDEDLO Cc1cnc(CC[N@H+](C)Cn2ccc(C)c(C#N)c2=O)s1 ZINC000758243286 700693702 /nfs/dbraw/zinc/69/37/02/700693702.db2.gz PHGGPBIJUCWUHL-UHFFFAOYSA-N 1 2 302.403 1.925 20 30 DDEDLO CCOC(=O)CC[C@H]1CCC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758244119 700694006 /nfs/dbraw/zinc/69/40/06/700694006.db2.gz VMFSGJIXQMNHNA-CQSZACIVSA-N 1 2 317.389 1.733 20 30 DDEDLO CCOC(=O)CC[C@H]1CCC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC000758244119 700694007 /nfs/dbraw/zinc/69/40/07/700694007.db2.gz VMFSGJIXQMNHNA-CQSZACIVSA-N 1 2 317.389 1.733 20 30 DDEDLO CON=CC(=O)N[C@@H]1CCC[N@H+](Cc2c(F)cccc2F)C1 ZINC000809676739 701679810 /nfs/dbraw/zinc/67/98/10/701679810.db2.gz WAKBJAPPYJHNOM-LLVKDONJSA-N 1 2 311.332 1.678 20 30 DDEDLO CON=CC(=O)N[C@@H]1CCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC000809676739 701679812 /nfs/dbraw/zinc/67/98/12/701679812.db2.gz WAKBJAPPYJHNOM-LLVKDONJSA-N 1 2 311.332 1.678 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N1CCN(c2cc(C)ccn2)CC1 ZINC000766493271 701053843 /nfs/dbraw/zinc/05/38/43/701053843.db2.gz GQLPKOWWWYQYNJ-INIZCTEOSA-N 1 2 312.417 1.136 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCN(c2cc(C)ccn2)CC1 ZINC000766493271 701053844 /nfs/dbraw/zinc/05/38/44/701053844.db2.gz GQLPKOWWWYQYNJ-INIZCTEOSA-N 1 2 312.417 1.136 20 30 DDEDLO CC[N@H+](CCC(=O)OC)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766636998 701057910 /nfs/dbraw/zinc/05/79/10/701057910.db2.gz KWBWZBDXJVGKOS-UHFFFAOYSA-N 1 2 303.362 1.051 20 30 DDEDLO CC[N@@H+](CCC(=O)OC)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766636998 701057912 /nfs/dbraw/zinc/05/79/12/701057912.db2.gz KWBWZBDXJVGKOS-UHFFFAOYSA-N 1 2 303.362 1.051 20 30 DDEDLO C#CCCC[NH+]1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000766916412 701070869 /nfs/dbraw/zinc/07/08/69/701070869.db2.gz KYWAEWBEEKTJIL-UHFFFAOYSA-N 1 2 313.448 1.171 20 30 DDEDLO COCCCNC(=S)N[NH+]=C(C)c1ccccc1N(C)C ZINC000769568794 701248921 /nfs/dbraw/zinc/24/89/21/701248921.db2.gz LNQMXOWOMGWFRN-UHFFFAOYSA-N 1 2 308.451 1.977 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCc2cc(C#N)ccc2OC)C1 ZINC000805479386 701384968 /nfs/dbraw/zinc/38/49/68/701384968.db2.gz OSTVUTMEOVLCQV-HNNXBMFYSA-N 1 2 304.346 1.331 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCc2cc(C#N)ccc2OC)C1 ZINC000805479386 701384970 /nfs/dbraw/zinc/38/49/70/701384970.db2.gz OSTVUTMEOVLCQV-HNNXBMFYSA-N 1 2 304.346 1.331 20 30 DDEDLO C#CCN(C)C(=O)NC1CC[NH+](c2ccc(N(C)C)cc2)CC1 ZINC000812058046 702104588 /nfs/dbraw/zinc/10/45/88/702104588.db2.gz BEFWOCPATDZOAE-UHFFFAOYSA-N 1 2 314.433 1.996 20 30 DDEDLO N#Cc1ccccc1NC(=S)NCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000840803704 702243343 /nfs/dbraw/zinc/24/33/43/702243343.db2.gz ULRDZCGPFFWOIH-OKILXGFUSA-N 1 2 316.430 1.708 20 30 DDEDLO N#Cc1ccccc1NC(=S)NCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000840803704 702243344 /nfs/dbraw/zinc/24/33/44/702243344.db2.gz ULRDZCGPFFWOIH-OKILXGFUSA-N 1 2 316.430 1.708 20 30 DDEDLO C=CCn1cc(C[NH2+][C@@H](C)c2cc(C(=O)OC)ccc2O)nn1 ZINC000818345828 702638751 /nfs/dbraw/zinc/63/87/51/702638751.db2.gz GFENAAOBACECGZ-NSHDSACASA-N 1 2 316.361 1.807 20 30 DDEDLO C=CCc1ccccc1OS(=O)(=O)C[C@H]1C[N@H+](C)CCO1 ZINC000866408027 706691735 /nfs/dbraw/zinc/69/17/35/706691735.db2.gz FLEBQXKFYAFSDE-CQSZACIVSA-N 1 2 311.403 1.454 20 30 DDEDLO C=CCc1ccccc1OS(=O)(=O)C[C@H]1C[N@@H+](C)CCO1 ZINC000866408027 706691737 /nfs/dbraw/zinc/69/17/37/706691737.db2.gz FLEBQXKFYAFSDE-CQSZACIVSA-N 1 2 311.403 1.454 20 30 DDEDLO C#CC1CC[NH+](Cn2c(=O)oc3cccc([N+](=O)[O-])c32)CC1 ZINC000842637422 702747534 /nfs/dbraw/zinc/74/75/34/702747534.db2.gz ZZMPDCFQIHQUJS-UHFFFAOYSA-N 1 2 301.302 1.805 20 30 DDEDLO C[C@@H](CC(=O)OCC(=O)NC1(C#N)CCCCC1)n1cc[nH+]c1 ZINC000845276574 703137498 /nfs/dbraw/zinc/13/74/98/703137498.db2.gz JHCXEMZIIDJGEC-ZDUSSCGKSA-N 1 2 318.377 1.720 20 30 DDEDLO CCOCCCNC(=O)[C@H](C#N)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845440200 703156906 /nfs/dbraw/zinc/15/69/06/703156906.db2.gz OGZGSFVXFCJQHU-QWHCGFSZSA-N 1 2 306.366 1.086 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](CN2C(=O)C(=O)N(C3CCCCC3)C2=O)C1 ZINC000848493216 703557398 /nfs/dbraw/zinc/55/73/98/703557398.db2.gz KBWFMOFJHMWRKE-CYBMUJFWSA-N 1 2 317.389 1.413 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](CN2C(=O)C(=O)N(C3CCCCC3)C2=O)C1 ZINC000848493216 703557400 /nfs/dbraw/zinc/55/74/00/703557400.db2.gz KBWFMOFJHMWRKE-CYBMUJFWSA-N 1 2 317.389 1.413 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)OCc1cccc2[nH+]ccn21 ZINC000850900367 703763017 /nfs/dbraw/zinc/76/30/17/703763017.db2.gz XVGIACMSLQJHRE-AWEZNQCLSA-N 1 2 301.346 1.848 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@H+]2CC[C@@H]2c2ccc(F)cc2)CC1 ZINC000852469182 704055834 /nfs/dbraw/zinc/05/58/34/704055834.db2.gz ZZKYYXNSMNNKOI-QGZVFWFLSA-N 1 2 315.392 1.350 20 30 DDEDLO C#CCN1CCN(C(=O)C[N@@H+]2CC[C@@H]2c2ccc(F)cc2)CC1 ZINC000852469182 704055841 /nfs/dbraw/zinc/05/58/41/704055841.db2.gz ZZKYYXNSMNNKOI-QGZVFWFLSA-N 1 2 315.392 1.350 20 30 DDEDLO CO[C@@H]1CCN(c2cc(C)[nH+]c(N3CC[C@@H](OC)C3)c2C#N)C1 ZINC000853405132 704238591 /nfs/dbraw/zinc/23/85/91/704238591.db2.gz AXAUCWILPBAORD-ZIAGYGMSSA-N 1 2 316.405 1.712 20 30 DDEDLO N#Cc1ccc(N2CCC[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2=O)cc1 ZINC000831907405 706801125 /nfs/dbraw/zinc/80/11/25/706801125.db2.gz SUFXCEQGYHVARX-AWEZNQCLSA-N 1 2 323.356 1.136 20 30 DDEDLO N#CC[C@@H]1CCC[N@@H+](CN2C(=O)[C@@]3(CCCO3)[C@@H]2C2CC2)C1 ZINC000853523793 704259385 /nfs/dbraw/zinc/25/93/85/704259385.db2.gz GZNQFGIFZOZQLE-JLJPHGGASA-N 1 2 303.406 1.740 20 30 DDEDLO N#CC[C@@H]1CCC[N@H+](CN2C(=O)[C@@]3(CCCO3)[C@@H]2C2CC2)C1 ZINC000853523793 704259387 /nfs/dbraw/zinc/25/93/87/704259387.db2.gz GZNQFGIFZOZQLE-JLJPHGGASA-N 1 2 303.406 1.740 20 30 DDEDLO C=CC[N@H+](CN1C[C@H](c2cncn2C)CC1=O)[C@H](C)COC ZINC000853535628 704262189 /nfs/dbraw/zinc/26/21/89/704262189.db2.gz XVKVKASQLSIYOY-ZIAGYGMSSA-N 1 2 306.410 1.216 20 30 DDEDLO C=CC[N@@H+](CN1C[C@H](c2cncn2C)CC1=O)[C@H](C)COC ZINC000853535628 704262191 /nfs/dbraw/zinc/26/21/91/704262191.db2.gz XVKVKASQLSIYOY-ZIAGYGMSSA-N 1 2 306.410 1.216 20 30 DDEDLO C=CC[C@@H]1CCC[N@@H+](Cn2cc(S(=O)(=O)N(C)C)cn2)C1 ZINC000853536867 704262566 /nfs/dbraw/zinc/26/25/66/704262566.db2.gz JURWLFMLYFVRLZ-CYBMUJFWSA-N 1 2 312.439 1.379 20 30 DDEDLO C=CC[C@@H]1CCC[N@H+](Cn2cc(S(=O)(=O)N(C)C)cn2)C1 ZINC000853536867 704262567 /nfs/dbraw/zinc/26/25/67/704262567.db2.gz JURWLFMLYFVRLZ-CYBMUJFWSA-N 1 2 312.439 1.379 20 30 DDEDLO C#CCN1C(=O)C[C@@H]([N@@H+]2CC[C@H](c3c(F)cccc3F)C2)C1=O ZINC000821771632 704440934 /nfs/dbraw/zinc/44/09/34/704440934.db2.gz IHDXPSUZZWQSAO-SMDDNHRTSA-N 1 2 318.323 1.515 20 30 DDEDLO C#CCN1C(=O)C[C@@H]([N@H+]2CC[C@H](c3c(F)cccc3F)C2)C1=O ZINC000821771632 704440937 /nfs/dbraw/zinc/44/09/37/704440937.db2.gz IHDXPSUZZWQSAO-SMDDNHRTSA-N 1 2 318.323 1.515 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@H+]2C[C@@H](C)OC[C@@H]2C)cc1 ZINC000855412299 704483853 /nfs/dbraw/zinc/48/38/53/704483853.db2.gz SNNYQWQXWORQQH-LSDHHAIUSA-N 1 2 316.401 1.538 20 30 DDEDLO C#CCOc1ccc(C(=O)NCC[N@@H+]2C[C@@H](C)OC[C@@H]2C)cc1 ZINC000855412299 704483854 /nfs/dbraw/zinc/48/38/54/704483854.db2.gz SNNYQWQXWORQQH-LSDHHAIUSA-N 1 2 316.401 1.538 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC000856535032 704532315 /nfs/dbraw/zinc/53/23/15/704532315.db2.gz LHLHFLFTCVKZQG-ZWKOTPCHSA-N 1 2 320.396 1.909 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC000856535032 704532316 /nfs/dbraw/zinc/53/23/16/704532316.db2.gz LHLHFLFTCVKZQG-ZWKOTPCHSA-N 1 2 320.396 1.909 20 30 DDEDLO C#CC[C@@H](CCOC)NC(=O)N[C@H]1CCN(c2cccc[nH+]2)C1 ZINC000858316501 704693701 /nfs/dbraw/zinc/69/37/01/704693701.db2.gz VUOOWOGNAYWKAC-GJZGRUSLSA-N 1 2 316.405 1.388 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CCC(C)(O)CC2)nc[nH+]1 ZINC000858861416 704765679 /nfs/dbraw/zinc/76/56/79/704765679.db2.gz YLBKDFOYZZPWML-CYBMUJFWSA-N 1 2 304.394 1.278 20 30 DDEDLO C#CC[C@H](COC)Nc1cc(N2CCC(C)(O)CC2)[nH+]cn1 ZINC000858861416 704765681 /nfs/dbraw/zinc/76/56/81/704765681.db2.gz YLBKDFOYZZPWML-CYBMUJFWSA-N 1 2 304.394 1.278 20 30 DDEDLO C#CC[C@H](COC)Nc1nc[nH+]c(N[C@@H](CC#C)COC)c1C ZINC000858860865 704765708 /nfs/dbraw/zinc/76/57/08/704765708.db2.gz ORQBGAKSTOFVDL-GASCZTMLSA-N 1 2 316.405 1.685 20 30 DDEDLO C#CC[C@H](COC)Nc1[nH+]cnc(N[C@@H](CC#C)COC)c1C ZINC000858860865 704765710 /nfs/dbraw/zinc/76/57/10/704765710.db2.gz ORQBGAKSTOFVDL-GASCZTMLSA-N 1 2 316.405 1.685 20 30 DDEDLO C#CC[C@H](COC)NC(=O)NCCCCNc1cccc[nH+]1 ZINC000859030382 704787629 /nfs/dbraw/zinc/78/76/29/704787629.db2.gz FSOLLKOIDYPRGJ-CQSZACIVSA-N 1 2 304.394 1.611 20 30 DDEDLO C[N@H+](C[C@H](O)COc1ccccc1C#N)[C@@H]1CC(C)(C)OC1=O ZINC000859313802 704860399 /nfs/dbraw/zinc/86/03/99/704860399.db2.gz HYKWWTXHVMTJHQ-UONOGXRCSA-N 1 2 318.373 1.324 20 30 DDEDLO C[N@@H+](C[C@H](O)COc1ccccc1C#N)[C@@H]1CC(C)(C)OC1=O ZINC000859313802 704860402 /nfs/dbraw/zinc/86/04/02/704860402.db2.gz HYKWWTXHVMTJHQ-UONOGXRCSA-N 1 2 318.373 1.324 20 30 DDEDLO C#C[C@@H](NC(=O)c1cc(-n2cc[nH+]c2)ccc1O)[C@@H]1CCCO1 ZINC000822072815 704871177 /nfs/dbraw/zinc/87/11/77/704871177.db2.gz MTONXEQOKFJOQM-ZBFHGGJFSA-N 1 2 311.341 1.489 20 30 DDEDLO C#CC[C@H](COC)NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000825079915 705595610 /nfs/dbraw/zinc/59/56/10/705595610.db2.gz ALRYOJCPLDTXBV-NVXWUHKLSA-N 1 2 316.401 1.424 20 30 DDEDLO C#CC[C@H](COC)NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000825079915 705595613 /nfs/dbraw/zinc/59/56/13/705595613.db2.gz ALRYOJCPLDTXBV-NVXWUHKLSA-N 1 2 316.401 1.424 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)C[C@@H](n1cc[nH+]c1)C(F)(F)F ZINC000825080808 705595958 /nfs/dbraw/zinc/59/59/58/705595958.db2.gz XIEZGXADEPAUJJ-WDEREUQCSA-N 1 2 303.284 1.531 20 30 DDEDLO C#CC[C@H]1CCN(C(=O)C2CC[NH+](Cc3nccn3C)CC2)C1 ZINC000862562867 705735991 /nfs/dbraw/zinc/73/59/91/705735991.db2.gz FYDIUDZCNICYFY-HNNXBMFYSA-N 1 2 314.433 1.504 20 30 DDEDLO C=CC[C@@H]1CCN(C(=O)[C@@H]2CC[C@H](C[NH+]3CCOCC3)O2)C1 ZINC000827051972 705917949 /nfs/dbraw/zinc/91/79/49/705917949.db2.gz OATLLUSAGSKUAM-OAGGEKHMSA-N 1 2 308.422 1.291 20 30 DDEDLO C=C(Br)C[NH2+]Cc1ccc(S(N)(=O)=O)s1 ZINC000877019861 705961049 /nfs/dbraw/zinc/96/10/49/705961049.db2.gz GUGSHXCBRZGIGC-UHFFFAOYSA-N 1 2 311.226 1.394 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2ccc3oc(=O)n(C)c3c2)n1 ZINC000877485050 706120146 /nfs/dbraw/zinc/12/01/46/706120146.db2.gz WRCKOOZNZJWGJL-UHFFFAOYSA-N 1 2 324.384 1.983 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2ccc3oc(=O)n(C)c3c2)n1 ZINC000877485050 706120147 /nfs/dbraw/zinc/12/01/47/706120147.db2.gz WRCKOOZNZJWGJL-UHFFFAOYSA-N 1 2 324.384 1.983 20 30 DDEDLO CCN(CCO)N=Cc1ccc(OCC[NH+]2CCOCC2)cc1 ZINC000872384004 707395636 /nfs/dbraw/zinc/39/56/36/707395636.db2.gz AJPIXNNZBCVWCN-UHFFFAOYSA-N 1 2 321.421 1.046 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C)cc2C#N)C[C@@H]1C ZINC000872467421 707428343 /nfs/dbraw/zinc/42/83/43/707428343.db2.gz VQPAXDRNWKJWRZ-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C)cc2C#N)C[C@@H]1C ZINC000872467421 707428349 /nfs/dbraw/zinc/42/83/49/707428349.db2.gz VQPAXDRNWKJWRZ-ZDUSSCGKSA-N 1 2 307.419 1.581 20 30 DDEDLO C#C[C@@H]([NH2+]Cc1csc(-c2ccn(C)n2)n1)[C@@H]1CCCO1 ZINC000878453895 706392159 /nfs/dbraw/zinc/39/21/59/706392159.db2.gz OYUDIAPNFVZFSO-OCCSQVGLSA-N 1 2 302.403 1.814 20 30 DDEDLO C#C[C@H]([NH2+]Cc1csc(-c2ccn(C)n2)n1)[C@@H]1CCCO1 ZINC000878453894 706392190 /nfs/dbraw/zinc/39/21/90/706392190.db2.gz OYUDIAPNFVZFSO-JSGCOSHPSA-N 1 2 302.403 1.814 20 30 DDEDLO C#CCn1ccc(C[N@H+](CCOC)C[C@@H]2CC(C)(C)CO2)n1 ZINC000878526391 706416890 /nfs/dbraw/zinc/41/68/90/706416890.db2.gz OZRPBGFBOGSXCI-INIZCTEOSA-N 1 2 305.422 1.780 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CCOC)C[C@@H]2CC(C)(C)CO2)n1 ZINC000878526391 706416893 /nfs/dbraw/zinc/41/68/93/706416893.db2.gz OZRPBGFBOGSXCI-INIZCTEOSA-N 1 2 305.422 1.780 20 30 DDEDLO N#Cc1cccc(Br)c1N1CC[NH+](CCCO)CC1 ZINC000865487928 706444991 /nfs/dbraw/zinc/44/49/91/706444991.db2.gz WDTHGYHBXJEUEI-UHFFFAOYSA-N 1 2 324.222 1.825 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000878641480 706453533 /nfs/dbraw/zinc/45/35/33/706453533.db2.gz RNMHXSINGCGZSK-KBPBESRZSA-N 1 2 301.346 1.524 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000878641480 706453534 /nfs/dbraw/zinc/45/35/34/706453534.db2.gz RNMHXSINGCGZSK-KBPBESRZSA-N 1 2 301.346 1.524 20 30 DDEDLO Cc1cc(F)c(C#N)cc1NC(=O)N(C)CC[NH+]1CCOCC1 ZINC000880994078 707104429 /nfs/dbraw/zinc/10/44/29/707104429.db2.gz YANPUXTYRNYHPW-UHFFFAOYSA-N 1 2 320.368 1.802 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)c2ccc(F)cc2Cl)nn1 ZINC000881421117 707250554 /nfs/dbraw/zinc/25/05/54/707250554.db2.gz RJKJIWKRAAAQEU-OAHLLOKOSA-N 1 2 322.771 1.917 20 30 DDEDLO CC(C)(C)OC1C[NH+](C[C@H](O)COc2ccccc2C#N)C1 ZINC000871991449 707271844 /nfs/dbraw/zinc/27/18/44/707271844.db2.gz CCGFBIAUTMABRA-AWEZNQCLSA-N 1 2 304.390 1.797 20 30 DDEDLO CC[C@@H]([NH2+]C[C@@H](O)c1ccnn1C)c1cccc(C#N)c1O ZINC000927125260 712944784 /nfs/dbraw/zinc/94/47/84/712944784.db2.gz CDRYXEWAJQTCOW-UKRRQHHQSA-N 1 2 300.362 1.772 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCN(C)c2ncccc2C1 ZINC000872317762 707380020 /nfs/dbraw/zinc/38/00/20/707380020.db2.gz AJVPYXQTUIRGBH-UHFFFAOYSA-N 1 2 301.394 1.096 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCN(C)c2ncccc2C1 ZINC000872317762 707380025 /nfs/dbraw/zinc/38/00/25/707380025.db2.gz AJVPYXQTUIRGBH-UHFFFAOYSA-N 1 2 301.394 1.096 20 30 DDEDLO COCCCNC(=S)NN=C1CCC[N@@H+]2CCSC[C@H]12 ZINC000872331459 707384198 /nfs/dbraw/zinc/38/41/98/707384198.db2.gz JJPXLIYSPNJYME-GFCCVEGCSA-N 1 2 316.496 1.054 20 30 DDEDLO COCCCNC(=S)NN=C1CCC[N@H+]2CCSC[C@H]12 ZINC000872331459 707384204 /nfs/dbraw/zinc/38/42/04/707384204.db2.gz JJPXLIYSPNJYME-GFCCVEGCSA-N 1 2 316.496 1.054 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000837046616 707571086 /nfs/dbraw/zinc/57/10/86/707571086.db2.gz VTLVMFCRCUWSNK-CVEARBPZSA-N 1 2 323.400 1.150 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000837046616 707571089 /nfs/dbraw/zinc/57/10/89/707571089.db2.gz VTLVMFCRCUWSNK-CVEARBPZSA-N 1 2 323.400 1.150 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@]1(C)OCCc2ccccc21 ZINC000882318236 707581739 /nfs/dbraw/zinc/58/17/39/707581739.db2.gz NIQKQZGLTKMUPS-HKUYNNGSSA-N 1 2 312.413 1.688 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@]1(C)OCCc2ccccc21 ZINC000882318236 707581744 /nfs/dbraw/zinc/58/17/44/707581744.db2.gz NIQKQZGLTKMUPS-HKUYNNGSSA-N 1 2 312.413 1.688 20 30 DDEDLO C#CC[C@H]([NH2+]C1CCC(c2nc(CC)no2)CC1)C(=O)OC ZINC000839166670 708032252 /nfs/dbraw/zinc/03/22/52/708032252.db2.gz AHUFIAZWALZGPZ-BPCQOVAHSA-N 1 2 305.378 1.813 20 30 DDEDLO C[C@H](c1cccc(C#N)c1)n1cc(C[NH+]2CCC(O)CC2)nn1 ZINC000883991273 708093969 /nfs/dbraw/zinc/09/39/69/708093969.db2.gz XUOSVIKDGTUFGO-CYBMUJFWSA-N 1 2 311.389 1.716 20 30 DDEDLO C#CCCCOc1ccc[nH+]c1N[C@H]1CCc2nnn(C)c2C1 ZINC000896678503 708116579 /nfs/dbraw/zinc/11/65/79/708116579.db2.gz MZTRLGAAQCXFRZ-ZDUSSCGKSA-N 1 2 311.389 1.972 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccc(OC(C)C)cc1 ZINC000884069205 708125337 /nfs/dbraw/zinc/12/53/37/708125337.db2.gz UYPFEXNGKLOYOO-AWEZNQCLSA-N 1 2 306.362 1.859 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ncc(C(C)(C)C)s1 ZINC000884123193 708149764 /nfs/dbraw/zinc/14/97/64/708149764.db2.gz MAVBCQYWDCUQIJ-VIFPVBQESA-N 1 2 311.407 1.826 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1C[C@H](C)Cc2ccccc21 ZINC000884128064 708151673 /nfs/dbraw/zinc/15/16/73/708151673.db2.gz STPXHCGLRXLHJL-OCCSQVGLSA-N 1 2 302.374 1.658 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCCc2ccc(C)cc21 ZINC000884134387 708154956 /nfs/dbraw/zinc/15/49/56/708154956.db2.gz FXWBCZODMFMMNW-AWEZNQCLSA-N 1 2 302.374 1.721 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)[C@@H]1CC[C@H](SCC)C1 ZINC000884169135 708169684 /nfs/dbraw/zinc/16/96/84/708169684.db2.gz WVOLDSKKSZOVSP-AGIUHOORSA-N 1 2 314.451 1.566 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N(C)Cc1ncc(CC)s1 ZINC000884229913 708201250 /nfs/dbraw/zinc/20/12/50/708201250.db2.gz DLTZSHNMOFWXNO-NSHDSACASA-N 1 2 311.407 1.111 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)CC=C(Cl)Cl)C1 ZINC000885509858 708562372 /nfs/dbraw/zinc/56/23/72/708562372.db2.gz XZZHYTWUCVZNFE-JTQLQIEISA-N 1 2 311.234 1.322 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)CC=C(Cl)Cl)C1 ZINC000885509858 708562376 /nfs/dbraw/zinc/56/23/76/708562376.db2.gz XZZHYTWUCVZNFE-JTQLQIEISA-N 1 2 311.234 1.322 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000885511398 708562836 /nfs/dbraw/zinc/56/28/36/708562836.db2.gz HPFHIJMRSZJCOX-OAHLLOKOSA-N 1 2 320.414 1.093 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000885511398 708562839 /nfs/dbraw/zinc/56/28/39/708562839.db2.gz HPFHIJMRSZJCOX-OAHLLOKOSA-N 1 2 320.414 1.093 20 30 DDEDLO CO[C@H](C)c1noc(C[N@H+](C)C[C@H](O)CC2(C#N)CCC2)n1 ZINC000886030107 708684935 /nfs/dbraw/zinc/68/49/35/708684935.db2.gz GJJGPAYYFOMJAB-VXGBXAGGSA-N 1 2 308.382 1.654 20 30 DDEDLO CO[C@H](C)c1noc(C[N@@H+](C)C[C@H](O)CC2(C#N)CCC2)n1 ZINC000886030107 708684938 /nfs/dbraw/zinc/68/49/38/708684938.db2.gz GJJGPAYYFOMJAB-VXGBXAGGSA-N 1 2 308.382 1.654 20 30 DDEDLO C=CCn1cc2ccc(NC(=O)[C@H]3C[N@H+](C)CCO3)cc2n1 ZINC000886647239 708805030 /nfs/dbraw/zinc/80/50/30/708805030.db2.gz HVYKSBJXFMPRLE-OAHLLOKOSA-N 1 2 300.362 1.491 20 30 DDEDLO C=CCn1cc2ccc(NC(=O)[C@H]3C[N@@H+](C)CCO3)cc2n1 ZINC000886647239 708805033 /nfs/dbraw/zinc/80/50/33/708805033.db2.gz HVYKSBJXFMPRLE-OAHLLOKOSA-N 1 2 300.362 1.491 20 30 DDEDLO Cn1c[nH+]cc1[C@@H](CO)NC(=O)C1(c2ccc(C#N)cc2)CC1 ZINC000898890458 708909897 /nfs/dbraw/zinc/90/98/97/708909897.db2.gz ZZLDKYJQRIWKML-CQSZACIVSA-N 1 2 310.357 1.173 20 30 DDEDLO C#C[C@H](NC(=O)c1cc([C@H]2CCC[N@H+]2C)n[nH]1)C1CCOCC1 ZINC000898977197 708942208 /nfs/dbraw/zinc/94/22/08/708942208.db2.gz HFQVFKBEPOWMTM-XJKSGUPXSA-N 1 2 316.405 1.335 20 30 DDEDLO C#C[C@H](NC(=O)c1cc([C@H]2CCC[N@@H+]2C)n[nH]1)C1CCOCC1 ZINC000898977197 708942209 /nfs/dbraw/zinc/94/22/09/708942209.db2.gz HFQVFKBEPOWMTM-XJKSGUPXSA-N 1 2 316.405 1.335 20 30 DDEDLO N#Cc1ccc(NC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)nc1 ZINC000889230125 709465031 /nfs/dbraw/zinc/46/50/31/709465031.db2.gz QCUNJBFTIMYWLV-CYBMUJFWSA-N 1 2 308.345 1.749 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(C#N)cn2)[C@@H](C)CO1 ZINC000889241024 709466570 /nfs/dbraw/zinc/46/65/70/709466570.db2.gz WMAYONBLJAZCBO-NWDGAFQWSA-N 1 2 303.366 1.184 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(C#N)cn2)[C@@H](C)CO1 ZINC000889241024 709466572 /nfs/dbraw/zinc/46/65/72/709466572.db2.gz WMAYONBLJAZCBO-NWDGAFQWSA-N 1 2 303.366 1.184 20 30 DDEDLO C/C(=C\C(=O)NCc1cc(C#N)ccc1F)C[NH+]1CCOCC1 ZINC000900865194 709797084 /nfs/dbraw/zinc/79/70/84/709797084.db2.gz VDJUERYWVPOKMX-MDWZMJQESA-N 1 2 317.364 1.592 20 30 DDEDLO Cc1nc(C=NNCCCn2cc[nH+]c2)c(Br)[nH]1 ZINC000901261781 709988137 /nfs/dbraw/zinc/98/81/37/709988137.db2.gz OGXLMWBEBUTDDG-UHFFFAOYSA-N 1 2 311.187 1.691 20 30 DDEDLO Cc1cc(F)c(C#N)c(N2CC[NH+](CC[S@@](C)=O)CC2)c1 ZINC000892658581 710477315 /nfs/dbraw/zinc/47/73/15/710477315.db2.gz VWWPUDCONCNDFF-OAQYLSRUSA-N 1 2 309.410 1.506 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@](C)(O)c1cccc(F)c1 ZINC000928469766 713208008 /nfs/dbraw/zinc/20/80/08/713208008.db2.gz FUEJCWBSSGCGFJ-RDJZCZTQSA-N 1 2 304.365 1.247 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@](C)(O)c1cccc(F)c1 ZINC000928469766 713208011 /nfs/dbraw/zinc/20/80/11/713208011.db2.gz FUEJCWBSSGCGFJ-RDJZCZTQSA-N 1 2 304.365 1.247 20 30 DDEDLO CC(C)c1c[nH]c(CN(CCC#N)CC[NH+]2CCOCC2)n1 ZINC000893864632 710863030 /nfs/dbraw/zinc/86/30/30/710863030.db2.gz XQQROKJLMBUCLP-UHFFFAOYSA-N 1 2 305.426 1.581 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)CC3(C#N)CCCCC3)CC[NH2+]2)cn1 ZINC000913457459 713223911 /nfs/dbraw/zinc/22/39/11/713223911.db2.gz SOAAYLFZQXXOCC-HNNXBMFYSA-N 1 2 315.421 1.757 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CC[NH2+][C@H](c2cnn(C)c2)C1 ZINC000913462755 713226684 /nfs/dbraw/zinc/22/66/84/713226684.db2.gz NYDWDSBKZHMAFW-HOCLYGCPSA-N 1 2 306.410 1.122 20 30 DDEDLO O=C1[C@H]([NH2+]Cc2ccc(Br)cn2)CCCN1O ZINC000895167448 711440506 /nfs/dbraw/zinc/44/05/06/711440506.db2.gz WKKYQYGPUVJBGO-SNVBAGLBSA-N 1 2 300.156 1.314 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@H+](Cc3csc(C#N)c3)C2)C1 ZINC000895826170 711618014 /nfs/dbraw/zinc/61/80/14/711618014.db2.gz WWPBAQZMUPBTPR-CQSZACIVSA-N 1 2 305.359 1.514 20 30 DDEDLO COC(=O)C1=NO[C@]2(CC[N@@H+](Cc3csc(C#N)c3)C2)C1 ZINC000895826170 711618016 /nfs/dbraw/zinc/61/80/16/711618016.db2.gz WWPBAQZMUPBTPR-CQSZACIVSA-N 1 2 305.359 1.514 20 30 DDEDLO Cc1ccn(C[N@H+]2CCC[C@H](c3ccn(C)n3)C2)c(=O)c1C#N ZINC000905715525 712129562 /nfs/dbraw/zinc/12/95/62/712129562.db2.gz HVRHWGZSUVTIHQ-AWEZNQCLSA-N 1 2 311.389 1.599 20 30 DDEDLO Cc1ccn(C[N@@H+]2CCC[C@H](c3ccn(C)n3)C2)c(=O)c1C#N ZINC000905715525 712129564 /nfs/dbraw/zinc/12/95/64/712129564.db2.gz HVRHWGZSUVTIHQ-AWEZNQCLSA-N 1 2 311.389 1.599 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)NC[C@@H]1CN(C)CC[N@@H+]1C ZINC000906642381 712377430 /nfs/dbraw/zinc/37/74/30/712377430.db2.gz NKTSDJMAYAPFIG-MRXNPFEDSA-N 1 2 314.433 1.080 20 30 DDEDLO Cc1cc(C#N)cc(C)c1CC(=O)NC[C@@H]1CN(C)CC[N@H+]1C ZINC000906642381 712377433 /nfs/dbraw/zinc/37/74/33/712377433.db2.gz NKTSDJMAYAPFIG-MRXNPFEDSA-N 1 2 314.433 1.080 20 30 DDEDLO N#CC[C@@H](O)C[NH+]1CCN(c2c(C#N)cnc3ccccc32)CC1 ZINC000929425779 713608129 /nfs/dbraw/zinc/60/81/29/713608129.db2.gz BFXQIIRRDQRIBP-OAHLLOKOSA-N 1 2 321.384 1.503 20 30 DDEDLO COC(OC)C(=O)N1CC[NH+]([C@H](C)c2ccc(C#N)cc2)CC1 ZINC000930956735 713968152 /nfs/dbraw/zinc/96/81/52/713968152.db2.gz LUUPXZMYSACNHA-CYBMUJFWSA-N 1 2 317.389 1.382 20 30 DDEDLO C#CC1(O)CC[NH+]([C@H](C)C(=O)Nc2cc(C)ccc2OC)CC1 ZINC000931145816 714015556 /nfs/dbraw/zinc/01/55/56/714015556.db2.gz FYEUNGNXRMXYDD-CQSZACIVSA-N 1 2 316.401 1.791 20 30 DDEDLO C#CC1(O)CC[NH+]([C@@H](C)C(=O)Nc2nc(C)c(C)s2)CC1 ZINC000931147706 714016439 /nfs/dbraw/zinc/01/64/39/714016439.db2.gz YYBVZWDRYSUTRH-NSHDSACASA-N 1 2 307.419 1.547 20 30 DDEDLO C[NH+](C)Cc1csc(CNC(=O)Nc2ccnc(C#N)c2)n1 ZINC000932158265 714273946 /nfs/dbraw/zinc/27/39/46/714273946.db2.gz BUVCBAZFPPWBSC-UHFFFAOYSA-N 1 2 316.390 1.793 20 30 DDEDLO CC(C)(CNC(=O)N[C@H](CC#N)C(F)(F)F)[NH+]1CCOCC1 ZINC000932928000 714439092 /nfs/dbraw/zinc/43/90/92/714439092.db2.gz FZENQVHFBHOCCO-SNVBAGLBSA-N 1 2 322.331 1.241 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@H+](C[C@@H]3CCC4(COC4)O3)CCO2)cc1 ZINC000933633012 714635035 /nfs/dbraw/zinc/63/50/35/714635035.db2.gz UIETZMVBKQMNTN-IRXDYDNUSA-N 1 2 314.385 1.880 20 30 DDEDLO N#Cc1ccc([C@@H]2C[N@@H+](C[C@@H]3CCC4(COC4)O3)CCO2)cc1 ZINC000933633012 714635037 /nfs/dbraw/zinc/63/50/37/714635037.db2.gz UIETZMVBKQMNTN-IRXDYDNUSA-N 1 2 314.385 1.880 20 30 DDEDLO CN(C(=O)C[NH+]1CCC2(CC1)CC(=O)C=CO2)c1ccccc1 ZINC000933654176 714639628 /nfs/dbraw/zinc/63/96/28/714639628.db2.gz PVUQSWNGZHRXJI-UHFFFAOYSA-N 1 2 314.385 1.987 20 30 DDEDLO N#CC1(C(=O)NCc2ccn3cc[nH+]c3c2)CCSCC1 ZINC000934798570 714904427 /nfs/dbraw/zinc/90/44/27/714904427.db2.gz MASZKFYJSKVQQS-UHFFFAOYSA-N 1 2 300.387 1.987 20 30 DDEDLO C=C1CCC(CNC(=O)N2CC[C@H]([NH+]3CCOCC3)C2)CC1 ZINC000926538696 715083903 /nfs/dbraw/zinc/08/39/03/715083903.db2.gz CEXGMFGSHRCCJH-INIZCTEOSA-N 1 2 307.438 1.849 20 30 DDEDLO C[C@@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)C1(C#N)CCSCC1 ZINC000935931830 715138499 /nfs/dbraw/zinc/13/84/99/715138499.db2.gz FDAYIXAFIKMENQ-ZIAGYGMSSA-N 1 2 323.462 1.345 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c3c(nn2C)CCC3)C1 ZINC000957127548 715760195 /nfs/dbraw/zinc/76/01/95/715760195.db2.gz SPUJMCQYCVNRMY-UHFFFAOYSA-N 1 2 300.406 1.078 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCN(CC#Cc2ccccc2)CC1 ZINC000957473068 715917265 /nfs/dbraw/zinc/91/72/65/715917265.db2.gz YHKNRAMDTSLTGS-UHFFFAOYSA-N 1 2 322.412 1.457 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2ocnc2CC)C1 ZINC000958384104 716517550 /nfs/dbraw/zinc/51/75/50/716517550.db2.gz FEGRYMZYKUVFMM-PWSUYJOCSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2ocnc2CC)C1 ZINC000958384104 716517552 /nfs/dbraw/zinc/51/75/52/716517552.db2.gz FEGRYMZYKUVFMM-PWSUYJOCSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2cnoc2CC)C1 ZINC000958448770 716563980 /nfs/dbraw/zinc/56/39/80/716563980.db2.gz PXAASZQJPAIDAN-PWSUYJOCSA-N 1 2 313.785 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2cnoc2CC)C1 ZINC000958448770 716563985 /nfs/dbraw/zinc/56/39/85/716563985.db2.gz PXAASZQJPAIDAN-PWSUYJOCSA-N 1 2 313.785 1.012 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3CCC4(CC4)CC3)CC2)C1 ZINC000941486946 717185838 /nfs/dbraw/zinc/18/58/38/717185838.db2.gz FCILWOKTKOIBCA-UHFFFAOYSA-N 1 2 315.461 1.418 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3Cc4ccccc43)CC2)C1 ZINC000941542902 717201715 /nfs/dbraw/zinc/20/17/15/717201715.db2.gz BJCITASXQUGFTM-IBGZPJMESA-N 1 2 323.440 1.178 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(C)cc1=O ZINC000962210258 717354473 /nfs/dbraw/zinc/35/44/73/717354473.db2.gz LPYPJNFQJIGNFZ-IMRBUKKESA-N 1 2 307.781 1.096 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(C)cc1=O ZINC000962210258 717354478 /nfs/dbraw/zinc/35/44/78/717354478.db2.gz LPYPJNFQJIGNFZ-IMRBUKKESA-N 1 2 307.781 1.096 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)CC1 ZINC000942345458 717626384 /nfs/dbraw/zinc/62/63/84/717626384.db2.gz WZWQHYMQWPYTMT-OAHLLOKOSA-N 1 2 316.405 1.347 20 30 DDEDLO N#CCN1CCC[C@@H]1[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC000963364888 717760930 /nfs/dbraw/zinc/76/09/30/717760930.db2.gz DIFINRNROGESEI-DZGCQCFKSA-N 1 2 301.394 1.179 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)Cc2c[nH]c[nH+]2)[C@@H](C)C1 ZINC000945440802 718462607 /nfs/dbraw/zinc/46/26/07/718462607.db2.gz RTFKOEVAFPDBQI-GXTWGEPZSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2nc3cnccc3s2)C1 ZINC000967282746 718816711 /nfs/dbraw/zinc/81/67/11/718816711.db2.gz BUKGEYSDOMQTKF-WCQYABFASA-N 1 2 314.414 1.765 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2nc3cnccc3s2)C1 ZINC000967282746 718816714 /nfs/dbraw/zinc/81/67/14/718816714.db2.gz BUKGEYSDOMQTKF-WCQYABFASA-N 1 2 314.414 1.765 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000967409087 718876246 /nfs/dbraw/zinc/87/62/46/718876246.db2.gz QCBFSJAHAHKIDT-RISCZKNCSA-N 1 2 323.824 1.909 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000967409087 718876251 /nfs/dbraw/zinc/87/62/51/718876251.db2.gz QCBFSJAHAHKIDT-RISCZKNCSA-N 1 2 323.824 1.909 20 30 DDEDLO CC(C)CCc1noc(C[NH2+][C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000967727581 718991943 /nfs/dbraw/zinc/99/19/43/718991943.db2.gz FUNPAUJLJXQHPT-CHWSQXEVSA-N 1 2 319.409 1.508 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@@H+]1Cc1cnon1 ZINC000947204148 719073894 /nfs/dbraw/zinc/07/38/94/719073894.db2.gz ASZKBEJTXVWBRU-PWSUYJOCSA-N 1 2 314.349 1.052 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)CC[N@H+]1Cc1cnon1 ZINC000947204148 719073896 /nfs/dbraw/zinc/07/38/96/719073896.db2.gz ASZKBEJTXVWBRU-PWSUYJOCSA-N 1 2 314.349 1.052 20 30 DDEDLO Cc1ncc(C(=O)N2CC[C@@H]([NH2+]Cc3ccccc3C#N)C2)[nH]1 ZINC000967895767 719077219 /nfs/dbraw/zinc/07/72/19/719077219.db2.gz MGLZOYFOIGIHMW-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO C[C@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2nnc(C(F)F)s2)C1 ZINC000967945367 719099287 /nfs/dbraw/zinc/09/92/87/719099287.db2.gz VZSHENSJYYLEGO-HTQZYQBOSA-N 1 2 315.349 1.326 20 30 DDEDLO CCc1cnc(C[NH2+][C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)o1 ZINC000968448211 719593726 /nfs/dbraw/zinc/59/37/26/719593726.db2.gz YOMGDTWVRAETQP-GFCCVEGCSA-N 1 2 313.361 1.441 20 30 DDEDLO C[C@H]1CC[N@H+](CC#Cc2ccccc2)C[C@@H]1NC(=O)c1cnon1 ZINC000968449546 719594438 /nfs/dbraw/zinc/59/44/38/719594438.db2.gz JXCNYYWNHUHLOA-YOEHRIQHSA-N 1 2 324.384 1.562 20 30 DDEDLO C[C@H]1CC[N@@H+](CC#Cc2ccccc2)C[C@@H]1NC(=O)c1cnon1 ZINC000968449546 719594442 /nfs/dbraw/zinc/59/44/42/719594442.db2.gz JXCNYYWNHUHLOA-YOEHRIQHSA-N 1 2 324.384 1.562 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnc(OC)nc2)C1 ZINC000968501459 719627195 /nfs/dbraw/zinc/62/71/95/719627195.db2.gz VOBVXXICULVUJS-GWCFXTLKSA-N 1 2 324.812 1.678 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnc(OC)nc2)C1 ZINC000968501459 719627197 /nfs/dbraw/zinc/62/71/97/719627197.db2.gz VOBVXXICULVUJS-GWCFXTLKSA-N 1 2 324.812 1.678 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](N(C)C(=O)c2cc(C(F)F)[nH]n2)CC1 ZINC000948766132 719695635 /nfs/dbraw/zinc/69/56/35/719695635.db2.gz GTYCHTWAPWCVPJ-LLVKDONJSA-N 1 2 310.348 1.907 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](N(C)C(=O)c2cc(C(F)F)[nH]n2)CC1 ZINC000948766132 719695632 /nfs/dbraw/zinc/69/56/32/719695632.db2.gz GTYCHTWAPWCVPJ-LLVKDONJSA-N 1 2 310.348 1.907 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1c(C)cnn1C ZINC000948947358 719796516 /nfs/dbraw/zinc/79/65/16/719796516.db2.gz YZUTXKBBGKJHMP-QGZVFWFLSA-N 1 2 322.412 1.691 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1c(C)cnn1C ZINC000948947358 719796519 /nfs/dbraw/zinc/79/65/19/719796519.db2.gz YZUTXKBBGKJHMP-QGZVFWFLSA-N 1 2 322.412 1.691 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2c(C)nc(C(C)C)[nH]c2=O)CC1 ZINC000949590095 720194228 /nfs/dbraw/zinc/19/42/28/720194228.db2.gz HJOWRKYJYJVXHN-UHFFFAOYSA-N 1 2 304.394 1.558 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)[C@H]2C[C@@H](C)Cc3cn[nH]c32)CC1 ZINC000949837613 720374091 /nfs/dbraw/zinc/37/40/91/720374091.db2.gz QVDQNBUCZDUDLU-ZFWWWQNUSA-N 1 2 300.406 1.243 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cn(C3CCC3)nn2)C1 ZINC000950340757 720585016 /nfs/dbraw/zinc/58/50/16/720585016.db2.gz KGUYNPYFHCBHKL-UHFFFAOYSA-N 1 2 303.410 1.726 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCCN(C(=O)CC)C2)C1 ZINC000950410742 720620942 /nfs/dbraw/zinc/62/09/42/720620942.db2.gz QVKPKZCKWOCBDU-AWEZNQCLSA-N 1 2 307.438 1.354 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncoc2C(F)(F)F)C1 ZINC000950678352 720732742 /nfs/dbraw/zinc/73/27/42/720732742.db2.gz OIGAXXVAPQWBAU-UHFFFAOYSA-N 1 2 315.295 1.863 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H](C)C2C[NH+](Cc3ccn(C)n3)C2)c1 ZINC000970400052 720746832 /nfs/dbraw/zinc/74/68/32/720746832.db2.gz YSBMUPSNSJUXOY-ZDUSSCGKSA-N 1 2 323.400 1.047 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCCN2c2nccs2)C1 ZINC000950989530 720867300 /nfs/dbraw/zinc/86/73/00/720867300.db2.gz LRCLBCGGGGTTDF-CQSZACIVSA-N 1 2 320.462 1.831 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2c(C)nn(C)c2Cl)C1 ZINC000951012896 720879642 /nfs/dbraw/zinc/87/96/42/720879642.db2.gz SAGNZAVIBSMEBZ-UHFFFAOYSA-N 1 2 308.813 1.552 20 30 DDEDLO C=C(Br)C[N@@H+](C)[C@@H]1CCN(C(=O)c2ccon2)C1 ZINC000971143963 721134852 /nfs/dbraw/zinc/13/48/52/721134852.db2.gz ZJPSZXDOKFVHBA-SNVBAGLBSA-N 1 2 314.183 1.730 20 30 DDEDLO C=C(Br)C[N@H+](C)[C@@H]1CCN(C(=O)c2ccon2)C1 ZINC000971143963 721134857 /nfs/dbraw/zinc/13/48/57/721134857.db2.gz ZJPSZXDOKFVHBA-SNVBAGLBSA-N 1 2 314.183 1.730 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CCC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC000951913930 721234390 /nfs/dbraw/zinc/23/43/90/721234390.db2.gz KFEOIOFTYMSADF-PBHICJAKSA-N 1 2 318.421 1.593 20 30 DDEDLO C[N@H+](Cc1nccs1)[C@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971367559 721260671 /nfs/dbraw/zinc/26/06/71/721260671.db2.gz TWJWIDGGOZBATJ-LBPRGKRZSA-N 1 2 315.402 1.689 20 30 DDEDLO C[N@@H+](Cc1nccs1)[C@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000971367559 721260675 /nfs/dbraw/zinc/26/06/75/721260675.db2.gz TWJWIDGGOZBATJ-LBPRGKRZSA-N 1 2 315.402 1.689 20 30 DDEDLO CCc1nnc([C@@H](C)[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971510098 721329814 /nfs/dbraw/zinc/32/98/14/721329814.db2.gz BBQNKSXLNWCABW-OCCSQVGLSA-N 1 2 316.405 1.639 20 30 DDEDLO CCc1nnc([C@@H](C)[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971510098 721329818 /nfs/dbraw/zinc/32/98/18/721329818.db2.gz BBQNKSXLNWCABW-OCCSQVGLSA-N 1 2 316.405 1.639 20 30 DDEDLO N#Cc1ccc(CCNc2[nH+]cnc3[nH]cc(C(N)=O)c32)cc1 ZINC001167678182 735478430 /nfs/dbraw/zinc/47/84/30/735478430.db2.gz BGVXTTOUEYGPJK-UHFFFAOYSA-N 1 2 306.329 1.535 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CC4CCC3CC4)C2)C1 ZINC000972696817 735485873 /nfs/dbraw/zinc/48/58/73/735485873.db2.gz ZODFOPMQSNUUAN-HTPOPCQBSA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CC4CCC3CC4)C2)C1 ZINC000972696817 735485876 /nfs/dbraw/zinc/48/58/76/735485876.db2.gz ZODFOPMQSNUUAN-HTPOPCQBSA-N 1 2 316.445 1.749 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H](C)CNc1cc[nH+]c(C)n1 ZINC001104808501 737231808 /nfs/dbraw/zinc/23/18/08/737231808.db2.gz VSJDAKDFGNDVSA-YUTCNCBUSA-N 1 2 304.394 1.635 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCO1 ZINC001027737694 738608482 /nfs/dbraw/zinc/60/84/82/738608482.db2.gz SUIIZZGKRLPAGL-RYUDHWBXSA-N 1 2 317.227 1.655 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCO1 ZINC001027737694 738608484 /nfs/dbraw/zinc/60/84/84/738608484.db2.gz SUIIZZGKRLPAGL-RYUDHWBXSA-N 1 2 317.227 1.655 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1cnc(C)nc1OC ZINC001027925507 738818089 /nfs/dbraw/zinc/81/80/89/738818089.db2.gz ZLPIVMVQZPQJPE-LBPRGKRZSA-N 1 2 324.812 1.740 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1cnc(C)nc1OC ZINC001027925507 738818096 /nfs/dbraw/zinc/81/80/96/738818096.db2.gz ZLPIVMVQZPQJPE-LBPRGKRZSA-N 1 2 324.812 1.740 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001098632824 738821399 /nfs/dbraw/zinc/82/13/99/738821399.db2.gz GMVTYGKNHGEXKG-OAHLLOKOSA-N 1 2 301.394 1.131 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@@H]2C1 ZINC001022523533 734579952 /nfs/dbraw/zinc/57/99/52/734579952.db2.gz DOXUMFZMZUIBGK-QWHCGFSZSA-N 1 2 316.405 1.224 20 30 DDEDLO N#CCN1CCCC[C@@H]1CNC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001024740179 736058185 /nfs/dbraw/zinc/05/81/85/736058185.db2.gz PGBTXEJZERDGQD-OAHLLOKOSA-N 1 2 324.388 1.375 20 30 DDEDLO CC1(C)[C@H](NC(=O)Cn2cc[nH+]c2)[C@@H]2CCCN(CC#N)[C@H]21 ZINC001087319184 736085299 /nfs/dbraw/zinc/08/52/99/736085299.db2.gz JZHLUKISCJAIKL-NWANDNLSSA-N 1 2 301.394 1.012 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)Cc2ccc(OC)cn2)C1 ZINC001008301808 737500285 /nfs/dbraw/zinc/50/02/85/737500285.db2.gz GBVQVEZVDNSSDV-AWEZNQCLSA-N 1 2 323.824 1.966 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)Cc2ccc(OC)cn2)C1 ZINC001008301808 737500287 /nfs/dbraw/zinc/50/02/87/737500287.db2.gz GBVQVEZVDNSSDV-AWEZNQCLSA-N 1 2 323.824 1.966 20 30 DDEDLO CC(C)[C@@H](CNC(=O)Cn1cc[nH+]c1)Nc1ccc(C#N)nc1 ZINC001105196907 737608107 /nfs/dbraw/zinc/60/81/07/737608107.db2.gz YXEIBRBUPZYOSR-OAHLLOKOSA-N 1 2 312.377 1.403 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NC[C@H](Nc1cc[nH+]c(C)n1)C(C)C ZINC001105225300 737632424 /nfs/dbraw/zinc/63/24/24/737632424.db2.gz OKSAIXZUWZOZMQ-JSGCOSHPSA-N 1 2 304.394 1.376 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105249508 737659162 /nfs/dbraw/zinc/65/91/62/737659162.db2.gz WDSQNZQGBBTYQH-UHFFFAOYSA-N 1 2 315.421 1.377 20 30 DDEDLO C#CCCCCCC(=O)N1CC(n2cc(C[NH2+]CCF)nn2)C1 ZINC001105301392 737775576 /nfs/dbraw/zinc/77/55/76/737775576.db2.gz OKNPDBKDPMHDHF-UHFFFAOYSA-N 1 2 321.400 1.304 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC(n2cc(C[NH2+]CC#CC)nn2)C1 ZINC001105309927 737807995 /nfs/dbraw/zinc/80/79/95/737807995.db2.gz ILHNEWNVLSAHIV-AWEZNQCLSA-N 1 2 315.421 1.377 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3CCC[C@@H]3CC)C2)nn1 ZINC001105320646 737846173 /nfs/dbraw/zinc/84/61/73/737846173.db2.gz DWBCJDZZZKGBQG-BBRMVZONSA-N 1 2 315.421 1.211 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]([N@H+](C)Cc2ncc(Cl)n2C)C1 ZINC001027335125 738204291 /nfs/dbraw/zinc/20/42/91/738204291.db2.gz CEMLYSICVSXBCU-RYUDHWBXSA-N 1 2 323.828 1.656 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[C@H]([N@@H+](C)Cc2ncc(Cl)n2C)C1 ZINC001027335125 738204293 /nfs/dbraw/zinc/20/42/93/738204293.db2.gz CEMLYSICVSXBCU-RYUDHWBXSA-N 1 2 323.828 1.656 20 30 DDEDLO CCn1ccnc1C[N@H+](C)[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027335291 738205561 /nfs/dbraw/zinc/20/55/61/738205561.db2.gz FTFIQMSMSMUETD-KGLIPLIRSA-N 1 2 303.410 1.485 20 30 DDEDLO CCn1ccnc1C[N@@H+](C)[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001027335291 738205563 /nfs/dbraw/zinc/20/55/63/738205563.db2.gz FTFIQMSMSMUETD-KGLIPLIRSA-N 1 2 303.410 1.485 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCN1CC#N ZINC001088777111 742347872 /nfs/dbraw/zinc/34/78/72/742347872.db2.gz HFRKOAXALUMLJH-CJNGLKHVSA-N 1 2 309.373 1.588 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc2nnnn2c1 ZINC001028027525 738947463 /nfs/dbraw/zinc/94/74/63/738947463.db2.gz ZBDMUUVTIDPUKI-LBPRGKRZSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc2nnnn2c1 ZINC001028027525 738947465 /nfs/dbraw/zinc/94/74/65/738947465.db2.gz ZBDMUUVTIDPUKI-LBPRGKRZSA-N 1 2 320.784 1.071 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC001028038818 738957004 /nfs/dbraw/zinc/95/70/04/738957004.db2.gz FDUKASXTPDJGGP-BFHYXJOUSA-N 1 2 322.840 1.857 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC001028038818 738957005 /nfs/dbraw/zinc/95/70/05/738957005.db2.gz FDUKASXTPDJGGP-BFHYXJOUSA-N 1 2 322.840 1.857 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H]3C[C@H]3C(C)C)C2)nn1 ZINC001105352045 738985881 /nfs/dbraw/zinc/98/58/81/738985881.db2.gz NRKXHPGGSBTXTR-HOTGVXAUSA-N 1 2 315.421 1.066 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](CC)CCC)C2)nn1 ZINC001105354462 738990028 /nfs/dbraw/zinc/99/00/28/738990028.db2.gz LVOFICXXENDBNI-CQSZACIVSA-N 1 2 317.437 1.601 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@@H+]1Cc1nccs1 ZINC001028143032 739085680 /nfs/dbraw/zinc/08/56/80/739085680.db2.gz WRHMOOLQDYKTKI-MCIONIFRSA-N 1 2 321.446 1.815 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]1Cc1nccs1 ZINC001028143032 739085681 /nfs/dbraw/zinc/08/56/81/739085681.db2.gz WRHMOOLQDYKTKI-MCIONIFRSA-N 1 2 321.446 1.815 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@@H]2CCC[N@H+]2CC(=C)Cl)nn1 ZINC001028187735 739138034 /nfs/dbraw/zinc/13/80/34/739138034.db2.gz MQUFSLOXPYJODO-LBPRGKRZSA-N 1 2 309.801 1.411 20 30 DDEDLO C=CCn1cc(C(=O)NC[C@@H]2CCC[N@@H+]2CC(=C)Cl)nn1 ZINC001028187735 739138035 /nfs/dbraw/zinc/13/80/35/739138035.db2.gz MQUFSLOXPYJODO-LBPRGKRZSA-N 1 2 309.801 1.411 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2CCN(C(=O)Cn3cc[nH+]c3)C2)n1 ZINC001058919292 739167789 /nfs/dbraw/zinc/16/77/89/739167789.db2.gz HWBIAKBYUIHIPN-AWEZNQCLSA-N 1 2 310.361 1.171 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H]2CNC(=O)c2cnon2)s1 ZINC001028217302 739181476 /nfs/dbraw/zinc/18/14/76/739181476.db2.gz QUFSUKLUJLOCII-JTQLQIEISA-N 1 2 317.374 1.397 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H]2CNC(=O)c2cnon2)s1 ZINC001028217302 739181479 /nfs/dbraw/zinc/18/14/79/739181479.db2.gz QUFSUKLUJLOCII-JTQLQIEISA-N 1 2 317.374 1.397 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)c1cn(C(C)C)nn1 ZINC001028230105 739200474 /nfs/dbraw/zinc/20/04/74/739200474.db2.gz TXFOJXHXVGBQJY-GFCCVEGCSA-N 1 2 311.817 1.806 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cn(C(C)C)nn1 ZINC001028230105 739200479 /nfs/dbraw/zinc/20/04/79/739200479.db2.gz TXFOJXHXVGBQJY-GFCCVEGCSA-N 1 2 311.817 1.806 20 30 DDEDLO CCc1cnc(C[N@@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)o1 ZINC001075619859 739218806 /nfs/dbraw/zinc/21/88/06/739218806.db2.gz RBWYQHIDNNKHCA-SGMGOOAPSA-N 1 2 302.378 1.429 20 30 DDEDLO CCc1cnc(C[N@H+]2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)o1 ZINC001075619859 739218807 /nfs/dbraw/zinc/21/88/07/739218807.db2.gz RBWYQHIDNNKHCA-SGMGOOAPSA-N 1 2 302.378 1.429 20 30 DDEDLO C[C@@H](CNC(=O)c1cccc2[nH+]ccn21)Nc1nccnc1C#N ZINC001098153215 739293448 /nfs/dbraw/zinc/29/34/48/739293448.db2.gz MQEBBFABLKWDSY-NSHDSACASA-N 1 2 321.344 1.226 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001028291428 739305725 /nfs/dbraw/zinc/30/57/25/739305725.db2.gz UKCIKCIQPRZWEZ-KBPBESRZSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001028291428 739305728 /nfs/dbraw/zinc/30/57/28/739305728.db2.gz UKCIKCIQPRZWEZ-KBPBESRZSA-N 1 2 313.829 1.188 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2ccc3cccnc3n2)C1 ZINC001035373021 751437160 /nfs/dbraw/zinc/43/71/60/751437160.db2.gz SJOMMADEEWUDFY-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2ccc3cccnc3n2)C1 ZINC001035373021 751437161 /nfs/dbraw/zinc/43/71/61/751437161.db2.gz SJOMMADEEWUDFY-HNNXBMFYSA-N 1 2 324.384 1.084 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc3occc3[nH]2)C1 ZINC001107979544 751470228 /nfs/dbraw/zinc/47/02/28/751470228.db2.gz KVYTYNNWHHDBHU-KRWDZBQOSA-N 1 2 315.373 1.605 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc3occc3[nH]2)C1 ZINC001107979544 751470232 /nfs/dbraw/zinc/47/02/32/751470232.db2.gz KVYTYNNWHHDBHU-KRWDZBQOSA-N 1 2 315.373 1.605 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001035420389 751479526 /nfs/dbraw/zinc/47/95/26/751479526.db2.gz NWBBDKOBTPCBAC-LSDHHAIUSA-N 1 2 318.421 1.154 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001035420389 751479532 /nfs/dbraw/zinc/47/95/32/751479532.db2.gz NWBBDKOBTPCBAC-LSDHHAIUSA-N 1 2 318.421 1.154 20 30 DDEDLO CCN(C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)[C@@H]1CCCN(CC#N)CC1 ZINC000998041187 751480977 /nfs/dbraw/zinc/48/09/77/751480977.db2.gz MOJKKLAOTABHFX-RBSFLKMASA-N 1 2 315.421 1.740 20 30 DDEDLO CCN(C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)[C@@H]1CCCN(CC#N)CC1 ZINC000998041187 751480981 /nfs/dbraw/zinc/48/09/81/751480981.db2.gz MOJKKLAOTABHFX-RBSFLKMASA-N 1 2 315.421 1.740 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@@H+](Cc3nnc(C)[nH]3)C2)cc1 ZINC001028732955 739972076 /nfs/dbraw/zinc/97/20/76/739972076.db2.gz TXISHUKBSORAGG-HNNXBMFYSA-N 1 2 323.400 1.346 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2CC[N@H+](Cc3nnc(C)[nH]3)C2)cc1 ZINC001028732955 739972078 /nfs/dbraw/zinc/97/20/78/739972078.db2.gz TXISHUKBSORAGG-HNNXBMFYSA-N 1 2 323.400 1.346 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3ccccc3O2)C1 ZINC001035435752 751513215 /nfs/dbraw/zinc/51/32/15/751513215.db2.gz WAFAFFKXAJEUPD-NVXWUHKLSA-N 1 2 316.401 1.383 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)[C@H]2Cc3ccccc3O2)C1 ZINC001035435752 751513219 /nfs/dbraw/zinc/51/32/19/751513219.db2.gz WAFAFFKXAJEUPD-NVXWUHKLSA-N 1 2 316.401 1.383 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cc(C)c(C)cn2)C1 ZINC001035459095 751526727 /nfs/dbraw/zinc/52/67/27/751526727.db2.gz GXVGNFQDTBJXGW-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C)c(C)cn2)C1 ZINC001035459095 751526729 /nfs/dbraw/zinc/52/67/29/751526729.db2.gz GXVGNFQDTBJXGW-OAHLLOKOSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ccc(C)c(C)n2)C1 ZINC001035444188 751520533 /nfs/dbraw/zinc/52/05/33/751520533.db2.gz MPGJFWFQJREHHA-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ccc(C)c(C)n2)C1 ZINC001035444188 751520539 /nfs/dbraw/zinc/52/05/39/751520539.db2.gz MPGJFWFQJREHHA-HNNXBMFYSA-N 1 2 303.406 1.705 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H](C)OC(=O)Nc2ccccc2)CC1 ZINC001143577112 740339020 /nfs/dbraw/zinc/33/90/20/740339020.db2.gz YXASADMZHZASRI-AWEZNQCLSA-N 1 2 317.389 1.954 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2coc(C(F)F)c2)C1 ZINC001035486508 751540389 /nfs/dbraw/zinc/54/03/89/751540389.db2.gz BZZKFXNYMIUKNN-LBPRGKRZSA-N 1 2 312.316 1.671 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2coc(C(F)F)c2)C1 ZINC001035486508 751540394 /nfs/dbraw/zinc/54/03/94/751540394.db2.gz BZZKFXNYMIUKNN-LBPRGKRZSA-N 1 2 312.316 1.671 20 30 DDEDLO Cc1ccc(C#N)c(N[C@H]2C[C@H](NC(=O)Cn3cc[nH+]c3)C2)n1 ZINC001059250351 740481389 /nfs/dbraw/zinc/48/13/89/740481389.db2.gz RCXNOBSKJSNNKD-HDJSIYSDSA-N 1 2 310.361 1.218 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccccn2)[nH]1 ZINC001029274437 740512972 /nfs/dbraw/zinc/51/29/72/740512972.db2.gz LNLADZVWXZEWEC-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3Cc2ccccn2)[nH]1 ZINC001029274437 740512974 /nfs/dbraw/zinc/51/29/74/740512974.db2.gz LNLADZVWXZEWEC-IYBDPMFKSA-N 1 2 321.384 1.770 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3cnon3)[C@@H]2CC)cc1 ZINC001087681895 740705382 /nfs/dbraw/zinc/70/53/82/740705382.db2.gz URYRKWKYMOXKFT-DLBZAZTESA-N 1 2 324.384 1.834 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3cnon3)[C@@H]2CC)cc1 ZINC001087681895 740705384 /nfs/dbraw/zinc/70/53/84/740705384.db2.gz URYRKWKYMOXKFT-DLBZAZTESA-N 1 2 324.384 1.834 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(C3CC3)nc2C)C1 ZINC001035517323 751573087 /nfs/dbraw/zinc/57/30/87/751573087.db2.gz DLXIYCXHHYEZDM-OAHLLOKOSA-N 1 2 315.417 1.884 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2ccc(C3CC3)nc2C)C1 ZINC001035517323 751573091 /nfs/dbraw/zinc/57/30/91/751573091.db2.gz DLXIYCXHHYEZDM-OAHLLOKOSA-N 1 2 315.417 1.884 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3CC(=O)NC2CC2)C1 ZINC001029466467 740871367 /nfs/dbraw/zinc/87/13/67/740871367.db2.gz RMTKVZDXRYZJHE-GASCZTMLSA-N 1 2 317.433 1.297 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3CC(=O)NC2CC2)C1 ZINC001029466467 740871368 /nfs/dbraw/zinc/87/13/68/740871368.db2.gz RMTKVZDXRYZJHE-GASCZTMLSA-N 1 2 317.433 1.297 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@H]2CCN(C(=O)C3(C)CC=CC3)C2)nn1 ZINC001098715961 740972868 /nfs/dbraw/zinc/97/28/68/740972868.db2.gz MPVSTPIWWJMDFB-HNNXBMFYSA-N 1 2 313.405 1.131 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)C2Cc3ccccc3C2)C1 ZINC001035528585 751608600 /nfs/dbraw/zinc/60/86/00/751608600.db2.gz HFIKDQIBNXENPH-QGZVFWFLSA-N 1 2 300.402 1.404 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)C2Cc3ccccc3C2)C1 ZINC001035528585 751608605 /nfs/dbraw/zinc/60/86/05/751608605.db2.gz HFIKDQIBNXENPH-QGZVFWFLSA-N 1 2 300.402 1.404 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2ncoc2C(C)C)C1 ZINC001035566990 751619315 /nfs/dbraw/zinc/61/93/15/751619315.db2.gz LFTWDXHSVSIBIM-ZDUSSCGKSA-N 1 2 307.394 1.805 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2ncoc2C(C)C)C1 ZINC001035566990 751619319 /nfs/dbraw/zinc/61/93/19/751619319.db2.gz LFTWDXHSVSIBIM-ZDUSSCGKSA-N 1 2 307.394 1.805 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1coc(C(F)(F)F)n1 ZINC001038462661 741293423 /nfs/dbraw/zinc/29/34/23/741293423.db2.gz WWQXBHTWSOXVCK-VIFPVBQESA-N 1 2 301.268 1.521 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1coc(C(F)(F)F)n1 ZINC001038462661 741293426 /nfs/dbraw/zinc/29/34/26/741293426.db2.gz WWQXBHTWSOXVCK-VIFPVBQESA-N 1 2 301.268 1.521 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)N1CCCCC[C@H](NCC#N)C1 ZINC001088386328 741454649 /nfs/dbraw/zinc/45/46/49/741454649.db2.gz KKIBZCJQTWYZHY-RRFJBIMHSA-N 1 2 315.421 1.408 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2[nH]nc3ccccc32)C1 ZINC001035599673 751658136 /nfs/dbraw/zinc/65/81/36/751658136.db2.gz GXAVUKXAVNGLJM-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2[nH]nc3ccccc32)C1 ZINC001035599673 751658140 /nfs/dbraw/zinc/65/81/40/751658140.db2.gz GXAVUKXAVNGLJM-GFCCVEGCSA-N 1 2 300.362 1.180 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cn(C)nc2C(C)C)C1 ZINC001035601082 751659800 /nfs/dbraw/zinc/65/98/00/751659800.db2.gz WUAKMNOZQZBPKL-AWEZNQCLSA-N 1 2 320.437 1.550 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cn(C)nc2C(C)C)C1 ZINC001035601082 751659806 /nfs/dbraw/zinc/65/98/06/751659806.db2.gz WUAKMNOZQZBPKL-AWEZNQCLSA-N 1 2 320.437 1.550 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](n2cc(C[NH2+]C[C@H](F)CC)nn2)C1 ZINC001098638586 741696709 /nfs/dbraw/zinc/69/67/09/741696709.db2.gz YXKCWFYZIMQMCJ-UKRRQHHQSA-N 1 2 323.416 1.855 20 30 DDEDLO C=CCCC(=O)NCC[C@@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)C1 ZINC001059820518 741771585 /nfs/dbraw/zinc/77/15/85/741771585.db2.gz ZEBURQDMBUCITN-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO C=CCCC(=O)NCC[C@@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)C1 ZINC001059820518 741771589 /nfs/dbraw/zinc/77/15/89/741771589.db2.gz ZEBURQDMBUCITN-CQSZACIVSA-N 1 2 318.421 1.663 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ccc(Cl)cn2)C1 ZINC001035582169 751673386 /nfs/dbraw/zinc/67/33/86/751673386.db2.gz ASIVNERAMSQHEL-CYBMUJFWSA-N 1 2 307.781 1.189 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ccc(Cl)cn2)C1 ZINC001035582169 751673388 /nfs/dbraw/zinc/67/33/88/751673388.db2.gz ASIVNERAMSQHEL-CYBMUJFWSA-N 1 2 307.781 1.189 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2ccon2)[C@@H]1C ZINC001088575686 741866991 /nfs/dbraw/zinc/86/69/91/741866991.db2.gz AZPTUHAQPZZTAA-OJLVUWQFSA-N 1 2 319.405 1.735 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2ccon2)[C@@H]1C ZINC001088575686 741866997 /nfs/dbraw/zinc/86/69/97/741866997.db2.gz AZPTUHAQPZZTAA-OJLVUWQFSA-N 1 2 319.405 1.735 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001212097130 741988554 /nfs/dbraw/zinc/98/85/54/741988554.db2.gz NMNAZNZBPKUQEN-NPZMOMLVSA-N 1 2 312.413 1.820 20 30 DDEDLO C/C=C(\C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1OC ZINC001212097130 741988555 /nfs/dbraw/zinc/98/85/55/741988555.db2.gz NMNAZNZBPKUQEN-NPZMOMLVSA-N 1 2 312.413 1.820 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCN(c2cc[nH+]c(C)n2)C1 ZINC001100974046 742000647 /nfs/dbraw/zinc/00/06/47/742000647.db2.gz NIKGNRJQYKZENJ-XJKSGUPXSA-N 1 2 304.394 1.055 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2cncnc2CC)C1 ZINC001035606972 751711305 /nfs/dbraw/zinc/71/13/05/751711305.db2.gz SCJDHNLOMVRCPL-ZDUSSCGKSA-N 1 2 304.394 1.046 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cncnc2CC)C1 ZINC001035606972 751711308 /nfs/dbraw/zinc/71/13/08/751711308.db2.gz SCJDHNLOMVRCPL-ZDUSSCGKSA-N 1 2 304.394 1.046 20 30 DDEDLO C#CCCCCC(=O)NCC[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001076212316 742600269 /nfs/dbraw/zinc/60/02/69/742600269.db2.gz FVHGJVZCAYLARG-AWEZNQCLSA-N 1 2 304.394 1.088 20 30 DDEDLO C#CCCCCC(=O)N[C@@H](C)CCNC(=O)Cn1cc[nH+]c1 ZINC001077182504 743299485 /nfs/dbraw/zinc/29/94/85/743299485.db2.gz SOEXMYPCZLXLKM-AWEZNQCLSA-N 1 2 304.394 1.088 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@@H+]2Cc2cccc(C#N)c2)[nH]n1 ZINC001038082935 743402197 /nfs/dbraw/zinc/40/21/97/743402197.db2.gz LQGXXTFIBAFGSX-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO Cc1cc(C(=O)NC[C@H]2CC[N@H+]2Cc2cccc(C#N)c2)[nH]n1 ZINC001038082935 743402201 /nfs/dbraw/zinc/40/22/01/743402201.db2.gz LQGXXTFIBAFGSX-OAHLLOKOSA-N 1 2 309.373 1.594 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC001077362331 743425690 /nfs/dbraw/zinc/42/56/90/743425690.db2.gz VHFPWHMZVKVBSI-CHWSQXEVSA-N 1 2 314.341 1.338 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC001077362331 743425692 /nfs/dbraw/zinc/42/56/92/743425692.db2.gz VHFPWHMZVKVBSI-CHWSQXEVSA-N 1 2 314.341 1.338 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)COCC3CC3)CC2)C1 ZINC001105694605 743482804 /nfs/dbraw/zinc/48/28/04/743482804.db2.gz UKTPEIVVOLUEEA-UHFFFAOYSA-N 1 2 322.449 1.681 20 30 DDEDLO Cc1oncc1C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CSCC#N)C2 ZINC001110300480 743628864 /nfs/dbraw/zinc/62/88/64/743628864.db2.gz AHOKVLJNDUTXKJ-MCIONIFRSA-N 1 2 320.418 1.461 20 30 DDEDLO Cc1oncc1C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CSCC#N)C2 ZINC001110300480 743628865 /nfs/dbraw/zinc/62/88/65/743628865.db2.gz AHOKVLJNDUTXKJ-MCIONIFRSA-N 1 2 320.418 1.461 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1sccc1-n1ccnn1 ZINC001038388704 743734175 /nfs/dbraw/zinc/73/41/75/743734175.db2.gz IXNQKBIEUAXXQU-GFCCVEGCSA-N 1 2 315.402 1.156 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1sccc1-n1ccnn1 ZINC001038388704 743734180 /nfs/dbraw/zinc/73/41/80/743734180.db2.gz IXNQKBIEUAXXQU-GFCCVEGCSA-N 1 2 315.402 1.156 20 30 DDEDLO N#Cc1ccc(NC[C@H]2CCCCN2C(=O)Cc2[nH]cc[nH+]2)nc1 ZINC001060267354 743763560 /nfs/dbraw/zinc/76/35/60/743763560.db2.gz AWEZGPZXVZIIJJ-CQSZACIVSA-N 1 2 324.388 1.712 20 30 DDEDLO C#CCCCC(=O)NC[C@H](O)CNc1cc(C)[nH+]c(C(C)C)n1 ZINC001105795761 743882831 /nfs/dbraw/zinc/88/28/31/743882831.db2.gz HDDZQMNOOKTKQV-CQSZACIVSA-N 1 2 318.421 1.601 20 30 DDEDLO CC[N@H+](Cc1cc(F)ccc1C#N)[C@H](C)CNC(=O)COC ZINC001151420812 744104433 /nfs/dbraw/zinc/10/44/33/744104433.db2.gz UHDMTDFEMKOMEJ-GFCCVEGCSA-N 1 2 307.369 1.670 20 30 DDEDLO CC[N@@H+](Cc1cc(F)ccc1C#N)[C@H](C)CNC(=O)COC ZINC001151420812 744104437 /nfs/dbraw/zinc/10/44/37/744104437.db2.gz UHDMTDFEMKOMEJ-GFCCVEGCSA-N 1 2 307.369 1.670 20 30 DDEDLO C=CCn1cccc1C(=O)NC1C[NH+](C[C@@H]2CC(C)(C)CO2)C1 ZINC001030447923 744168124 /nfs/dbraw/zinc/16/81/24/744168124.db2.gz RVXGSTMBYFHHSH-HNNXBMFYSA-N 1 2 317.433 1.903 20 30 DDEDLO C[N@H+](Cc1ccccc1)[C@@H](CO)C(=O)Nc1cc(C#N)ccn1 ZINC001185224558 744183563 /nfs/dbraw/zinc/18/35/63/744183563.db2.gz IXAOWENYMXFALS-HNNXBMFYSA-N 1 2 310.357 1.385 20 30 DDEDLO C[N@@H+](Cc1ccccc1)[C@@H](CO)C(=O)Nc1cc(C#N)ccn1 ZINC001185224558 744183566 /nfs/dbraw/zinc/18/35/66/744183566.db2.gz IXAOWENYMXFALS-HNNXBMFYSA-N 1 2 310.357 1.385 20 30 DDEDLO C=CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(N(C)C)no2)C1 ZINC001185296488 744200207 /nfs/dbraw/zinc/20/02/07/744200207.db2.gz PQQWRAFNFLAANI-GFCCVEGCSA-N 1 2 307.398 1.182 20 30 DDEDLO C[C@@H](CC(=O)NCC1(Nc2ncccc2C#N)CC1)n1cc[nH+]c1 ZINC001110361423 744209622 /nfs/dbraw/zinc/20/96/22/744209622.db2.gz LJUIKYJHVGSFDF-ZDUSSCGKSA-N 1 2 324.388 1.862 20 30 DDEDLO C=CCC1(C(=O)N2CC[C@@H]([NH2+]Cc3cnsn3)C2)CCC1 ZINC001185463196 744229533 /nfs/dbraw/zinc/22/95/33/744229533.db2.gz KZFUDJMYMAETCB-GFCCVEGCSA-N 1 2 306.435 1.975 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H]2CCCN(C(=O)CC3CC3)C2)nn1 ZINC001185842895 744298589 /nfs/dbraw/zinc/29/85/89/744298589.db2.gz OACBJUXEOWSDJQ-MRXNPFEDSA-N 1 2 315.421 1.355 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc3cccnn32)[C@H]1C ZINC001088905805 744357770 /nfs/dbraw/zinc/35/77/70/744357770.db2.gz PYVWPWMJLRHJQD-NEPJUHHUSA-N 1 2 319.796 1.674 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc3cccnn32)[C@H]1C ZINC001088905805 744357774 /nfs/dbraw/zinc/35/77/74/744357774.db2.gz PYVWPWMJLRHJQD-NEPJUHHUSA-N 1 2 319.796 1.674 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C)nc2onc(C)c21 ZINC001038565560 744494796 /nfs/dbraw/zinc/49/47/96/744494796.db2.gz IXZYVKOZADONSS-CYBMUJFWSA-N 1 2 312.373 1.667 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)nc2onc(C)c21 ZINC001038565560 744494798 /nfs/dbraw/zinc/49/47/98/744494798.db2.gz IXZYVKOZADONSS-CYBMUJFWSA-N 1 2 312.373 1.667 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(OC)no1 ZINC001110390442 744511762 /nfs/dbraw/zinc/51/17/62/744511762.db2.gz NJBITMNJBJCZFO-BNOWGMLFSA-N 1 2 321.377 1.107 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(OC)no1 ZINC001110390442 744511766 /nfs/dbraw/zinc/51/17/66/744511766.db2.gz NJBITMNJBJCZFO-BNOWGMLFSA-N 1 2 321.377 1.107 20 30 DDEDLO CC(C)(C(=O)N1CCC2(CN(CC#N)C2)CC1)c1c[nH+]c[nH]1 ZINC001035836151 751932035 /nfs/dbraw/zinc/93/20/35/751932035.db2.gz HRIQHEFUMCGOBR-UHFFFAOYSA-N 1 2 301.394 1.135 20 30 DDEDLO C=CCOCC[N@H+]1C[C@@H]2CCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001187979154 744642329 /nfs/dbraw/zinc/64/23/29/744642329.db2.gz SZLCGHICYHZFRC-TUAOUCFPSA-N 1 2 324.318 1.622 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@@H]2CCN(C(=O)C(F)C(F)(F)F)[C@@H]2C1 ZINC001187979154 744642331 /nfs/dbraw/zinc/64/23/31/744642331.db2.gz SZLCGHICYHZFRC-TUAOUCFPSA-N 1 2 324.318 1.622 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(c3ccc(F)cc3)CCC2)[C@@H](O)C1 ZINC001083687831 744782145 /nfs/dbraw/zinc/78/21/45/744782145.db2.gz MSZSIPPUVRATFB-CVEARBPZSA-N 1 2 316.376 1.042 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(c3ccc(F)cc3)CCC2)[C@@H](O)C1 ZINC001083687831 744782148 /nfs/dbraw/zinc/78/21/48/744782148.db2.gz MSZSIPPUVRATFB-CVEARBPZSA-N 1 2 316.376 1.042 20 30 DDEDLO Cc1noc([C@@H](C)[N@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188982714 744803400 /nfs/dbraw/zinc/80/34/00/744803400.db2.gz LQKAIPFTAKWZTL-ZYHUDNBSSA-N 1 2 323.422 1.229 20 30 DDEDLO Cc1noc([C@@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001188982714 744803402 /nfs/dbraw/zinc/80/34/02/744803402.db2.gz LQKAIPFTAKWZTL-ZYHUDNBSSA-N 1 2 323.422 1.229 20 30 DDEDLO C=C1CC(C)(C(=O)NC2C[NH+](CCn3nc(C)cc3C)C2)C1 ZINC001030923935 744844394 /nfs/dbraw/zinc/84/43/94/744844394.db2.gz FWINQZIJJDOAMQ-UHFFFAOYSA-N 1 2 302.422 1.657 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([N@H+](C)CC(=O)Nc2ccon2)C1 ZINC001189318403 744870741 /nfs/dbraw/zinc/87/07/41/744870741.db2.gz LIAZPTLMEBLNCE-LBPRGKRZSA-N 1 2 306.366 1.112 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([N@@H+](C)CC(=O)Nc2ccon2)C1 ZINC001189318403 744870743 /nfs/dbraw/zinc/87/07/43/744870743.db2.gz LIAZPTLMEBLNCE-LBPRGKRZSA-N 1 2 306.366 1.112 20 30 DDEDLO N#CCN[C@@H]1CCC[C@H]2CN(C(=O)CCc3[nH]cc[nH+]3)C[C@H]21 ZINC000992672139 745100228 /nfs/dbraw/zinc/10/02/28/745100228.db2.gz UVFFELWXRDTKLY-BFHYXJOUSA-N 1 2 301.394 1.083 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([N@@H+](C)Cc3ccn(C)n3)C2)CCC1 ZINC001190596239 745268347 /nfs/dbraw/zinc/26/83/47/745268347.db2.gz XKJJONXDNZVZCH-MRXNPFEDSA-N 1 2 314.433 1.646 20 30 DDEDLO C#CCC1(C(=O)N2CC[C@@H]([N@H+](C)Cc3ccn(C)n3)C2)CCC1 ZINC001190596239 745268350 /nfs/dbraw/zinc/26/83/50/745268350.db2.gz XKJJONXDNZVZCH-MRXNPFEDSA-N 1 2 314.433 1.646 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)cc2F)C[C@H]1O ZINC001190870532 745361616 /nfs/dbraw/zinc/36/16/16/745361616.db2.gz IEDJWKYSWIYRRH-HZPDHXFCSA-N 1 2 317.364 1.325 20 30 DDEDLO CC(C)=CC(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2F)C[C@H]1O ZINC001190870532 745361620 /nfs/dbraw/zinc/36/16/20/745361620.db2.gz IEDJWKYSWIYRRH-HZPDHXFCSA-N 1 2 317.364 1.325 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2cnn3cccc(OC)c23)C1 ZINC001031113165 745402802 /nfs/dbraw/zinc/40/28/02/745402802.db2.gz YGSHPGSFRKKPAX-UHFFFAOYSA-N 1 2 300.362 1.333 20 30 DDEDLO O=C(NCC[NH2+]CC#Cc1ccccc1)[C@H]1CCCc2[nH]ncc21 ZINC001127327200 745627168 /nfs/dbraw/zinc/62/71/68/745627168.db2.gz HXVFNHLPAXBEGZ-INIZCTEOSA-N 1 2 322.412 1.587 20 30 DDEDLO Cc1nsc(NCC[C@H](C)NC(=O)Cn2cc[nH+]c2)c1C#N ZINC001106362726 745630532 /nfs/dbraw/zinc/63/05/32/745630532.db2.gz RJDPYSCTOZVNKE-JTQLQIEISA-N 1 2 318.406 1.527 20 30 DDEDLO Cc1nc(N[C@@H]2CC[C@H]3CN(C(=O)C#CC4CC4)C[C@H]32)cc[nH+]1 ZINC001060527690 745777175 /nfs/dbraw/zinc/77/71/75/745777175.db2.gz ANGCPQUYXWTDQL-ARFHVFGLSA-N 1 2 310.401 1.847 20 30 DDEDLO C=CCn1cc(C(=O)N[C@H](C)CCNc2cc[nH+]c(C)n2)nn1 ZINC001106596730 745825621 /nfs/dbraw/zinc/82/56/21/745825621.db2.gz CFPNWRDRHUTCDI-LLVKDONJSA-N 1 2 315.381 1.183 20 30 DDEDLO Cc1nocc1C[N@@H+]1CCC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007143215 752035904 /nfs/dbraw/zinc/03/59/04/752035904.db2.gz MJAWZMMMVNMYAF-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1nocc1C[N@H+]1CCC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001007143215 752035907 /nfs/dbraw/zinc/03/59/07/752035907.db2.gz MJAWZMMMVNMYAF-AWEZNQCLSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1ccc(C[N@@H+]2C[C@@H](O)[C@H](NC(=O)C#CC3CC3)C2)cc1F ZINC001192826473 745905930 /nfs/dbraw/zinc/90/59/30/745905930.db2.gz GOCNTDGVHHIHJE-IAGOWNOFSA-N 1 2 316.376 1.209 20 30 DDEDLO Cc1ccc(C[N@H+]2C[C@@H](O)[C@H](NC(=O)C#CC3CC3)C2)cc1F ZINC001192826473 745905933 /nfs/dbraw/zinc/90/59/33/745905933.db2.gz GOCNTDGVHHIHJE-IAGOWNOFSA-N 1 2 316.376 1.209 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)CC2OCCCO2)[C@H]1C ZINC000993298520 745944971 /nfs/dbraw/zinc/94/49/71/745944971.db2.gz GZCXGFJBPQFWPL-OLZOCXBDSA-N 1 2 316.829 1.861 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CC2OCCCO2)[C@H]1C ZINC000993298520 745944974 /nfs/dbraw/zinc/94/49/74/745944974.db2.gz GZCXGFJBPQFWPL-OLZOCXBDSA-N 1 2 316.829 1.861 20 30 DDEDLO C[C@H](C#N)S(=O)(=O)NCC1CCN(c2cccc[nH+]2)CC1 ZINC001193172596 745989650 /nfs/dbraw/zinc/98/96/50/745989650.db2.gz DUKUNJLKUSIVPD-GFCCVEGCSA-N 1 2 308.407 1.129 20 30 DDEDLO C[C@@H]1[C@H](NC(=O)Cc2cn3c([nH+]2)CCCC3)CCCN1CC#N ZINC000993338556 746011043 /nfs/dbraw/zinc/01/10/43/746011043.db2.gz PNFXPMFYINNNJY-UKRRQHHQSA-N 1 2 315.421 1.255 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NCc1c[nH+]cn1Cc1ccc(F)cc1 ZINC001193179017 746020287 /nfs/dbraw/zinc/02/02/87/746020287.db2.gz VSXVOGZUJSGKHM-NSHDSACASA-N 1 2 322.365 1.402 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1C[N@H+](C/C=C\Cl)C[C@H]1O)OCC ZINC001193422334 746103563 /nfs/dbraw/zinc/10/35/63/746103563.db2.gz PFGDWRQSSNBQRO-KCPLHHHMSA-N 1 2 316.829 1.272 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N[C@@H]1C[N@@H+](C/C=C\Cl)C[C@H]1O)OCC ZINC001193422334 746103565 /nfs/dbraw/zinc/10/35/65/746103565.db2.gz PFGDWRQSSNBQRO-KCPLHHHMSA-N 1 2 316.829 1.272 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccccc2C(=O)N(C)C)C1 ZINC001031271293 746108968 /nfs/dbraw/zinc/10/89/68/746108968.db2.gz CYHOKHNEXPWHBU-UHFFFAOYSA-N 1 2 301.390 1.379 20 30 DDEDLO N#Cc1cccc(C(=O)N2CCO[C@H](C[NH+]3CCCC3)C2)c1O ZINC001193647097 746166162 /nfs/dbraw/zinc/16/61/62/746166162.db2.gz VSZILCCXQATVOT-CQSZACIVSA-N 1 2 315.373 1.201 20 30 DDEDLO CCCCCCCOC(=O)N1CC[N@H+](C)C[C@@H]1C(=O)OC ZINC001194004083 746250941 /nfs/dbraw/zinc/25/09/41/746250941.db2.gz IFVKHRNAHWLFNA-CYBMUJFWSA-N 1 2 300.399 1.882 20 30 DDEDLO CCCCCCCOC(=O)N1CC[N@@H+](C)C[C@@H]1C(=O)OC ZINC001194004083 746250943 /nfs/dbraw/zinc/25/09/43/746250943.db2.gz IFVKHRNAHWLFNA-CYBMUJFWSA-N 1 2 300.399 1.882 20 30 DDEDLO C=CCOC(=O)N1CCC2(CC1)C(=O)N(CC=C)CC[N@H+]2C ZINC001194303165 746329515 /nfs/dbraw/zinc/32/95/15/746329515.db2.gz BRLZGQCBCMBKKO-UHFFFAOYSA-N 1 2 307.394 1.104 20 30 DDEDLO C=CCOC(=O)N1CCC2(CC1)C(=O)N(CC=C)CC[N@@H+]2C ZINC001194303165 746329522 /nfs/dbraw/zinc/32/95/22/746329522.db2.gz BRLZGQCBCMBKKO-UHFFFAOYSA-N 1 2 307.394 1.104 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2nc(C)oc2C)C1 ZINC001194407606 746369746 /nfs/dbraw/zinc/36/97/46/746369746.db2.gz MIGXYSUSWMKPCG-HIFRSBDPSA-N 1 2 321.421 1.915 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2nc(C)oc2C)C1 ZINC001194407606 746369750 /nfs/dbraw/zinc/36/97/50/746369750.db2.gz MIGXYSUSWMKPCG-HIFRSBDPSA-N 1 2 321.421 1.915 20 30 DDEDLO N#Cc1cccnc1NC1CCC(NC(=O)Cc2c[nH]c[nH+]2)CC1 ZINC001060624994 746384640 /nfs/dbraw/zinc/38/46/40/746384640.db2.gz SGJFDCSPQZYMTO-UHFFFAOYSA-N 1 2 324.388 1.758 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)/C=C/c2ccco2)CC1 ZINC001194674398 746434006 /nfs/dbraw/zinc/43/40/06/746434006.db2.gz UQNGQCTZUUENAA-VOTSOKGWSA-N 1 2 317.389 1.129 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)/C=C/c2ccco2)CC1 ZINC001194674398 746434008 /nfs/dbraw/zinc/43/40/08/746434008.db2.gz UQNGQCTZUUENAA-VOTSOKGWSA-N 1 2 317.389 1.129 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[N@H+](Cc2cc(C)no2)CC1 ZINC001195174476 746545554 /nfs/dbraw/zinc/54/55/54/746545554.db2.gz OIGIHKZSQXVQLE-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CCC[N@@H+](Cc2cc(C)no2)CC1 ZINC001195174476 746545555 /nfs/dbraw/zinc/54/55/55/746545555.db2.gz OIGIHKZSQXVQLE-HNNXBMFYSA-N 1 2 321.421 1.999 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195262767 746558952 /nfs/dbraw/zinc/55/89/52/746558952.db2.gz NPALYDUYVNIHGZ-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)o2)C[C@H]1O ZINC001195262767 746558958 /nfs/dbraw/zinc/55/89/58/746558958.db2.gz NPALYDUYVNIHGZ-ZIAGYGMSSA-N 1 2 307.394 1.247 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2c(C)cccc2Cl)[C@@H](O)C1 ZINC001083751695 746624951 /nfs/dbraw/zinc/62/49/51/746624951.db2.gz JOLYWJKPZPJIEO-KGLIPLIRSA-N 1 2 306.793 1.447 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2c(C)cccc2Cl)[C@@H](O)C1 ZINC001083751695 746624953 /nfs/dbraw/zinc/62/49/53/746624953.db2.gz JOLYWJKPZPJIEO-KGLIPLIRSA-N 1 2 306.793 1.447 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@@H+](Cc2cnnn2CC)CC1 ZINC001195644901 746663556 /nfs/dbraw/zinc/66/35/56/746663556.db2.gz CSDMRHOTHWSQAF-UHFFFAOYSA-N 1 2 303.410 1.136 20 30 DDEDLO C#CCCCC(=O)N1CCC[N@H+](Cc2cnnn2CC)CC1 ZINC001195644901 746663559 /nfs/dbraw/zinc/66/35/59/746663559.db2.gz CSDMRHOTHWSQAF-UHFFFAOYSA-N 1 2 303.410 1.136 20 30 DDEDLO CCc1occc1C(=O)N1CCC[N@H+](CC#CCOC)CC1 ZINC001195660634 746674870 /nfs/dbraw/zinc/67/48/70/746674870.db2.gz ZUOXOWJVAFZLNW-UHFFFAOYSA-N 1 2 304.390 1.640 20 30 DDEDLO CCc1occc1C(=O)N1CCC[N@@H+](CC#CCOC)CC1 ZINC001195660634 746674874 /nfs/dbraw/zinc/67/48/74/746674874.db2.gz ZUOXOWJVAFZLNW-UHFFFAOYSA-N 1 2 304.390 1.640 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195755044 746687078 /nfs/dbraw/zinc/68/70/78/746687078.db2.gz VVNANAASCWAGRY-MRXNPFEDSA-N 1 2 321.465 1.485 20 30 DDEDLO CCCCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195755044 746687082 /nfs/dbraw/zinc/68/70/82/746687082.db2.gz VVNANAASCWAGRY-MRXNPFEDSA-N 1 2 321.465 1.485 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+](CC(=O)N(C)C(C)C)CC1 ZINC001195775216 746702046 /nfs/dbraw/zinc/70/20/46/746702046.db2.gz QSZVUVGESQOKNX-UHFFFAOYSA-N 1 2 307.438 1.047 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+](CC(=O)N(C)C(C)C)CC1 ZINC001195775216 746702048 /nfs/dbraw/zinc/70/20/48/746702048.db2.gz QSZVUVGESQOKNX-UHFFFAOYSA-N 1 2 307.438 1.047 20 30 DDEDLO Cn1cncc1C[N@@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785149 746703760 /nfs/dbraw/zinc/70/37/60/746703760.db2.gz FFGTWDUBFZNKHI-UHFFFAOYSA-N 1 2 302.422 1.504 20 30 DDEDLO Cn1cncc1C[N@H+]1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785149 746703762 /nfs/dbraw/zinc/70/37/62/746703762.db2.gz FFGTWDUBFZNKHI-UHFFFAOYSA-N 1 2 302.422 1.504 20 30 DDEDLO C[C@H](C[C@H](C)Nc1ccncc1C#N)NC(=O)Cc1[nH]cc[nH+]1 ZINC001089379005 746752885 /nfs/dbraw/zinc/75/28/85/746752885.db2.gz ANGCOMRALPHDBD-NWDGAFQWSA-N 1 2 312.377 1.036 20 30 DDEDLO C=C(C)CCC(=O)NCc1cnn2c1C[N@H+](C[C@H](C)OC)CC2 ZINC001128372073 746927703 /nfs/dbraw/zinc/92/77/03/746927703.db2.gz JPVGKJOVLMCACL-AWEZNQCLSA-N 1 2 320.437 1.706 20 30 DDEDLO C=C(C)CCC(=O)NCc1cnn2c1C[N@@H+](C[C@H](C)OC)CC2 ZINC001128372073 746927708 /nfs/dbraw/zinc/92/77/08/746927708.db2.gz JPVGKJOVLMCACL-AWEZNQCLSA-N 1 2 320.437 1.706 20 30 DDEDLO C=C(C)CCC(=O)NCc1cnn2c1C[N@H+](C[C@@H](C)OC)CC2 ZINC001128372075 746927903 /nfs/dbraw/zinc/92/79/03/746927903.db2.gz JPVGKJOVLMCACL-CQSZACIVSA-N 1 2 320.437 1.706 20 30 DDEDLO C=C(C)CCC(=O)NCc1cnn2c1C[N@@H+](C[C@@H](C)OC)CC2 ZINC001128372075 746927905 /nfs/dbraw/zinc/92/79/05/746927905.db2.gz JPVGKJOVLMCACL-CQSZACIVSA-N 1 2 320.437 1.706 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)C2(CCC)CC2)CC1 ZINC001197082915 747058805 /nfs/dbraw/zinc/05/88/05/747058805.db2.gz KSIVOGDQEWFQRD-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)C2(CCC)CC2)CC1 ZINC001197082915 747058812 /nfs/dbraw/zinc/05/88/12/747058812.db2.gz KSIVOGDQEWFQRD-HNNXBMFYSA-N 1 2 321.465 1.792 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2conc2C2CC2)CC1 ZINC001197134378 747061896 /nfs/dbraw/zinc/06/18/96/747061896.db2.gz PPLDWVCRHIGUNP-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2conc2C2CC2)CC1 ZINC001197134378 747061901 /nfs/dbraw/zinc/06/19/01/747061901.db2.gz PPLDWVCRHIGUNP-UHFFFAOYSA-N 1 2 317.389 1.350 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)NCC(C)C)CC1 ZINC001197300748 747124163 /nfs/dbraw/zinc/12/41/63/747124163.db2.gz RPVRWZFATHHNEP-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CCC[N@H+](CC(=O)NCC(C)C)CC1 ZINC001197300748 747124170 /nfs/dbraw/zinc/12/41/70/747124170.db2.gz RPVRWZFATHHNEP-UHFFFAOYSA-N 1 2 323.481 1.895 20 30 DDEDLO Cc1ncoc1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001031598536 747139746 /nfs/dbraw/zinc/13/97/46/747139746.db2.gz MSUXHCXWGWFBSH-UHFFFAOYSA-N 1 2 310.357 1.717 20 30 DDEDLO Cc1nc(C(=O)NCC2C[NH+](Cc3ccc(C#N)s3)C2)co1 ZINC001031627510 747247748 /nfs/dbraw/zinc/24/77/48/747247748.db2.gz LMNJEKKYLJSODD-UHFFFAOYSA-N 1 2 316.386 1.778 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@@H+]([C@@H](C)C(N)=O)CC2)CCCCC1 ZINC001198315928 747449662 /nfs/dbraw/zinc/44/96/62/747449662.db2.gz NOXVULZWIQABGJ-HNNXBMFYSA-N 1 2 319.449 1.368 20 30 DDEDLO C#CCC1(C(=O)N2CCC[N@H+]([C@@H](C)C(N)=O)CC2)CCCCC1 ZINC001198315928 747449667 /nfs/dbraw/zinc/44/96/67/747449667.db2.gz NOXVULZWIQABGJ-HNNXBMFYSA-N 1 2 319.449 1.368 20 30 DDEDLO C=C(Cl)C[NH+]1CCC(NC(=O)c2cc(COC)on2)CC1 ZINC001003752952 747454692 /nfs/dbraw/zinc/45/46/92/747454692.db2.gz ULOPSNKDNLFTMY-UHFFFAOYSA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001031710469 747477738 /nfs/dbraw/zinc/47/77/38/747477738.db2.gz MBKWBRHATLPWPN-CYBMUJFWSA-N 1 2 313.829 1.044 20 30 DDEDLO CCCCC[C@H](CC)C(=O)N[C@@H]1C[N@H+](CC#CCOC)C[C@H]1O ZINC001198924335 747652305 /nfs/dbraw/zinc/65/23/05/747652305.db2.gz GLPXOABSQLZUPH-GVDBMIGSSA-N 1 2 324.465 1.404 20 30 DDEDLO CCCCC[C@H](CC)C(=O)N[C@@H]1C[N@@H+](CC#CCOC)C[C@H]1O ZINC001198924335 747652307 /nfs/dbraw/zinc/65/23/07/747652307.db2.gz GLPXOABSQLZUPH-GVDBMIGSSA-N 1 2 324.465 1.404 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC)c2ccc(Cl)cc2)C1 ZINC001198955864 747660556 /nfs/dbraw/zinc/66/05/56/747660556.db2.gz KSNQKUBIECCKMP-BZUAXINKSA-N 1 2 320.820 1.628 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](CC)c2ccc(Cl)cc2)C1 ZINC001198955864 747660557 /nfs/dbraw/zinc/66/05/57/747660557.db2.gz KSNQKUBIECCKMP-BZUAXINKSA-N 1 2 320.820 1.628 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CCCCC2(C)C)C1 ZINC001199245839 747759783 /nfs/dbraw/zinc/75/97/83/747759783.db2.gz KRGKXJFTOFUVCM-BZUAXINKSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CCCCC2(C)C)C1 ZINC001199245839 747759784 /nfs/dbraw/zinc/75/97/84/747759784.db2.gz KRGKXJFTOFUVCM-BZUAXINKSA-N 1 2 322.449 1.014 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)C ZINC001110537883 747919830 /nfs/dbraw/zinc/91/98/30/747919830.db2.gz CQXQCFOLTFTVKG-OAGGEKHMSA-N 1 2 319.449 1.140 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)C ZINC001110537883 747919834 /nfs/dbraw/zinc/91/98/34/747919834.db2.gz CQXQCFOLTFTVKG-OAGGEKHMSA-N 1 2 319.449 1.140 20 30 DDEDLO CC(C)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001090084129 748144849 /nfs/dbraw/zinc/14/48/49/748144849.db2.gz TYXKRTAOKUJTBA-JKSUJKDBSA-N 1 2 301.390 1.266 20 30 DDEDLO CC(C)C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C[C@H]1O ZINC001090084129 748144853 /nfs/dbraw/zinc/14/48/53/748144853.db2.gz TYXKRTAOKUJTBA-JKSUJKDBSA-N 1 2 301.390 1.266 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCC[NH2+]Cc1ncc(C2CC2)o1 ZINC001124482799 748275285 /nfs/dbraw/zinc/27/52/85/748275285.db2.gz HHCGQTACGKZGRB-GFCCVEGCSA-N 1 2 307.394 1.739 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)c2cc[n+]([O-])cc2)CC1 ZINC001004332710 748329827 /nfs/dbraw/zinc/32/98/27/748329827.db2.gz RVHZEGAZOJODIF-INIZCTEOSA-N 1 2 314.389 1.160 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)c2cc[n+]([O-])cc2)CC1 ZINC001004332710 748329833 /nfs/dbraw/zinc/32/98/33/748329833.db2.gz RVHZEGAZOJODIF-INIZCTEOSA-N 1 2 314.389 1.160 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001033142269 748529726 /nfs/dbraw/zinc/52/97/26/748529726.db2.gz NYHIWZRXXNZKCV-CYBMUJFWSA-N 1 2 323.824 1.986 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001033142269 748529729 /nfs/dbraw/zinc/52/97/29/748529729.db2.gz NYHIWZRXXNZKCV-CYBMUJFWSA-N 1 2 323.824 1.986 20 30 DDEDLO C[C@@]1(C(=O)N2CCC([C@H]3CCC[N@@H+]3CC#N)CC2)CCOC1 ZINC001004589347 748570661 /nfs/dbraw/zinc/57/06/61/748570661.db2.gz CGLNLJBEGDBQTI-NVXWUHKLSA-N 1 2 305.422 1.640 20 30 DDEDLO C[C@@]1(C(=O)N2CCC([C@H]3CCC[N@H+]3CC#N)CC2)CCOC1 ZINC001004589347 748570666 /nfs/dbraw/zinc/57/06/66/748570666.db2.gz CGLNLJBEGDBQTI-NVXWUHKLSA-N 1 2 305.422 1.640 20 30 DDEDLO C[C@@H]1OCC[C@H]1C(=O)NCC1C[NH+](Cc2ccccc2C#N)C1 ZINC001032074596 748576472 /nfs/dbraw/zinc/57/64/72/748576472.db2.gz TXKPGUPBKVHQJD-SUMWQHHRSA-N 1 2 313.401 1.531 20 30 DDEDLO Cn1ccc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004618026 748593915 /nfs/dbraw/zinc/59/39/15/748593915.db2.gz VSSHNTPNZSDRQY-HNNXBMFYSA-N 1 2 301.394 1.260 20 30 DDEDLO Cn1ccc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004618026 748593919 /nfs/dbraw/zinc/59/39/19/748593919.db2.gz VSSHNTPNZSDRQY-HNNXBMFYSA-N 1 2 301.394 1.260 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c(C)onc2C2CC2)C1 ZINC001108077945 748607302 /nfs/dbraw/zinc/60/73/02/748607302.db2.gz CZTYBOPKINYPLW-KRWDZBQOSA-N 1 2 319.405 1.867 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c(C)onc2C2CC2)C1 ZINC001108077945 748607308 /nfs/dbraw/zinc/60/73/08/748607308.db2.gz CZTYBOPKINYPLW-KRWDZBQOSA-N 1 2 319.405 1.867 20 30 DDEDLO CCc1cc(C(=O)NCC2C[NH+](CC#Cc3ccccc3)C2)no1 ZINC001032135939 748728556 /nfs/dbraw/zinc/72/85/56/748728556.db2.gz UTDZLBKQZRKQLE-UHFFFAOYSA-N 1 2 323.396 1.950 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001033274762 749108431 /nfs/dbraw/zinc/10/84/31/749108431.db2.gz BALGQRZNDBRHQD-JEWKUQAESA-N 1 2 310.825 1.695 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001033274762 749108434 /nfs/dbraw/zinc/10/84/34/749108434.db2.gz BALGQRZNDBRHQD-JEWKUQAESA-N 1 2 310.825 1.695 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC/C=C/CNc1cc[nH+]c(C)n1 ZINC001107112214 749109895 /nfs/dbraw/zinc/10/98/95/749109895.db2.gz VVHJTQLEMDJDIG-KTRBRXNASA-N 1 2 304.394 1.851 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4ncc(C)cn4)C[C@H]32)CCC1 ZINC001114397887 749138582 /nfs/dbraw/zinc/13/85/82/749138582.db2.gz UKWUHYKZHPKXQO-QLPKVWCKSA-N 1 2 324.428 1.525 20 30 DDEDLO C#CCC1(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4ncc(C)cn4)C[C@H]32)CCC1 ZINC001114397887 749138587 /nfs/dbraw/zinc/13/85/87/749138587.db2.gz UKWUHYKZHPKXQO-QLPKVWCKSA-N 1 2 324.428 1.525 20 30 DDEDLO Cn1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3Cc2ccc(C#N)cc2)cn1 ZINC001032271972 749151884 /nfs/dbraw/zinc/15/18/84/749151884.db2.gz DZDMWZRSSQXHPA-IRXDYDNUSA-N 1 2 321.384 1.391 20 30 DDEDLO Cn1cc(C(=O)N2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccc(C#N)cc2)cn1 ZINC001032271972 749151888 /nfs/dbraw/zinc/15/18/88/749151888.db2.gz DZDMWZRSSQXHPA-IRXDYDNUSA-N 1 2 321.384 1.391 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccn3nnnc3c2)C1 ZINC001033319652 749178941 /nfs/dbraw/zinc/17/89/41/749178941.db2.gz RCBIVEDQQXQGKD-GFCCVEGCSA-N 1 2 320.784 1.023 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccn3nnnc3c2)C1 ZINC001033319652 749178944 /nfs/dbraw/zinc/17/89/44/749178944.db2.gz RCBIVEDQQXQGKD-GFCCVEGCSA-N 1 2 320.784 1.023 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001033434840 749334278 /nfs/dbraw/zinc/33/42/78/749334278.db2.gz FNYVPSUGUFDVFR-FMKPAKJESA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001033434840 749334284 /nfs/dbraw/zinc/33/42/84/749334284.db2.gz FNYVPSUGUFDVFR-FMKPAKJESA-N 1 2 319.405 1.607 20 30 DDEDLO C=C1CCC(C(=O)N2CC(NC(=O)Cc3c[nH]c[nH+]3)C2)CC1 ZINC001000569816 761948756 /nfs/dbraw/zinc/94/87/56/761948756.db2.gz RCRAURLDPZCVTF-UHFFFAOYSA-N 1 2 302.378 1.026 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)CC(C)(C)C=C)nn2)C1 ZINC001107175098 749493302 /nfs/dbraw/zinc/49/33/02/749493302.db2.gz CDEQZBPXGUDBOV-UHFFFAOYSA-N 1 2 315.421 1.377 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]c3cccnc32)C1 ZINC001108341950 761955745 /nfs/dbraw/zinc/95/57/45/761955745.db2.gz ANZQUTMIHHDEQT-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2c[nH]c3cccnc32)C1 ZINC001108341950 761955749 /nfs/dbraw/zinc/95/57/49/761955749.db2.gz ANZQUTMIHHDEQT-KRWDZBQOSA-N 1 2 314.389 1.570 20 30 DDEDLO Cn1nccc1C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C#N)[nH]1)C2 ZINC001095327990 749503434 /nfs/dbraw/zinc/50/34/34/749503434.db2.gz PQBYCIVUNAIQDN-KCXAZCMYSA-N 1 2 324.388 1.155 20 30 DDEDLO Cn1nccc1C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C#N)[nH]1)C2 ZINC001095327990 749503439 /nfs/dbraw/zinc/50/34/39/749503439.db2.gz PQBYCIVUNAIQDN-KCXAZCMYSA-N 1 2 324.388 1.155 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H](F)C(C)C)nn2)C1 ZINC001107193393 749537598 /nfs/dbraw/zinc/53/75/98/749537598.db2.gz BYYWDCSGAWGMOC-AWEZNQCLSA-N 1 2 309.389 1.321 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@H+](Cc3nocc3C)C2)nc1 ZINC001033595555 749583382 /nfs/dbraw/zinc/58/33/82/749583382.db2.gz DDMPTKOWVZYXLD-OAHLLOKOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)[C@@H]2CC[N@@H+](Cc3nocc3C)C2)nc1 ZINC001033595555 749583385 /nfs/dbraw/zinc/58/33/85/749583385.db2.gz DDMPTKOWVZYXLD-OAHLLOKOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccnn1C)C2 ZINC001095366475 749604400 /nfs/dbraw/zinc/60/44/00/749604400.db2.gz CLBKCXROCUJUJR-RDBSUJKOSA-N 1 2 308.813 1.437 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccnn1C)C2 ZINC001095366475 749604405 /nfs/dbraw/zinc/60/44/05/749604405.db2.gz CLBKCXROCUJUJR-RDBSUJKOSA-N 1 2 308.813 1.437 20 30 DDEDLO C=CCC(C)(C)C(=O)NCc1cn(C2C[NH+](CC(=C)C)C2)nn1 ZINC001107213647 749619033 /nfs/dbraw/zinc/61/90/33/749619033.db2.gz GETMUFRQNUWEPV-UHFFFAOYSA-N 1 2 317.437 1.929 20 30 DDEDLO C#CCC[NH+]1CC(n2cc(CNC(=O)[C@@H](C)C(C)C)nn2)C1 ZINC001107217253 749628199 /nfs/dbraw/zinc/62/81/99/749628199.db2.gz CYZUFSZYBJLYSW-ZDUSSCGKSA-N 1 2 303.410 1.066 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](O)C(C)C ZINC001039369268 761971010 /nfs/dbraw/zinc/97/10/10/761971010.db2.gz DIHAMNZJVRFSGE-HZSPNIEDSA-N 1 2 300.830 1.821 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](O)C(C)C ZINC001039369268 761971015 /nfs/dbraw/zinc/97/10/15/761971015.db2.gz DIHAMNZJVRFSGE-HZSPNIEDSA-N 1 2 300.830 1.821 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CC)n1 ZINC001039370847 761971821 /nfs/dbraw/zinc/97/18/21/761971821.db2.gz DENCKUZYJHABJL-OLZOCXBDSA-N 1 2 323.828 1.729 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(CC)n1 ZINC001039370847 761971825 /nfs/dbraw/zinc/97/18/25/761971825.db2.gz DENCKUZYJHABJL-OLZOCXBDSA-N 1 2 323.828 1.729 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)cc2C)C1 ZINC001108353772 761973218 /nfs/dbraw/zinc/97/32/18/761973218.db2.gz NYWGHVSMOLVDHV-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)c2ccc(F)cc2C)C1 ZINC001108353772 761973223 /nfs/dbraw/zinc/97/32/23/761973223.db2.gz NYWGHVSMOLVDHV-SFHVURJKSA-N 1 2 318.392 1.978 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033790825 749902902 /nfs/dbraw/zinc/90/29/02/749902902.db2.gz FUSZOENOZIOHBC-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033790825 749902906 /nfs/dbraw/zinc/90/29/06/749902906.db2.gz FUSZOENOZIOHBC-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Br)C[NH2+]CCNC(=O)[C@@H](F)C(F)(F)F ZINC001127772972 749947883 /nfs/dbraw/zinc/94/78/83/749947883.db2.gz MRHITSAICQQJCD-ZCFIWIBFSA-N 1 2 307.085 1.501 20 30 DDEDLO C=C(Br)C[NH2+]CCNC(=O)C(F)C(F)(F)F ZINC001127772972 749947880 /nfs/dbraw/zinc/94/78/80/749947880.db2.gz MRHITSAICQQJCD-ZCFIWIBFSA-N 1 2 307.085 1.501 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC2CCOCC2)[C@H](O)C1 ZINC001090150946 750099216 /nfs/dbraw/zinc/09/92/16/750099216.db2.gz PRQPVJLTOFDXGS-ZIAGYGMSSA-N 1 2 316.829 1.107 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC2CCOCC2)[C@H](O)C1 ZINC001090150946 750099220 /nfs/dbraw/zinc/09/92/20/750099220.db2.gz PRQPVJLTOFDXGS-ZIAGYGMSSA-N 1 2 316.829 1.107 20 30 DDEDLO C[C@@H](CC(=O)NC/C=C/CNc1ccncc1C#N)n1cc[nH+]c1 ZINC001107545850 750110270 /nfs/dbraw/zinc/11/02/70/750110270.db2.gz OTXXVFINTXIJMI-HSWBROFVSA-N 1 2 324.388 1.307 20 30 DDEDLO C=C[C@@H]1OCCC[C@H]1C(=O)NC/C=C/CNc1cc[nH+]c(C)n1 ZINC001107551645 750123325 /nfs/dbraw/zinc/12/33/25/750123325.db2.gz PTDCTKOVCPNBCO-YJQVQVLHSA-N 1 2 316.405 1.851 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@@H+]3CC(=O)NCC)CCC1 ZINC001110986960 750283459 /nfs/dbraw/zinc/28/34/59/750283459.db2.gz CHDLBDRUADHRBJ-KFWWJZLASA-N 1 2 319.449 1.590 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CC(=O)NCC)CCC1 ZINC001110986960 750283464 /nfs/dbraw/zinc/28/34/64/750283464.db2.gz CHDLBDRUADHRBJ-KFWWJZLASA-N 1 2 319.449 1.590 20 30 DDEDLO Cc1nc(N2CCC(F)(F)[C@H](CNC(=O)[C@@H](C)C#N)C2)cc[nH+]1 ZINC001111010257 750300857 /nfs/dbraw/zinc/30/08/57/750300857.db2.gz ADXWBIHQEWHXJC-CMPLNLGQSA-N 1 2 323.347 1.523 20 30 DDEDLO CCc1oncc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC#CCOC ZINC001111076472 750351207 /nfs/dbraw/zinc/35/12/07/750351207.db2.gz JOKCALLPYYIUPF-YUELXQCFSA-N 1 2 317.389 1.222 20 30 DDEDLO CCc1oncc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#CCOC ZINC001111076472 750351215 /nfs/dbraw/zinc/35/12/15/750351215.db2.gz JOKCALLPYYIUPF-YUELXQCFSA-N 1 2 317.389 1.222 20 30 DDEDLO Cc1nc(NC[C@@H](C)NC(=O)CCc2[nH]cc[nH+]2)ccc1C#N ZINC001107707358 750411631 /nfs/dbraw/zinc/41/16/31/750411631.db2.gz NVJBLDIYDVHIKR-LLVKDONJSA-N 1 2 312.377 1.534 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H](NC(=O)c2cnn(-c3ccncc3)c2)C1 ZINC001034468724 750445952 /nfs/dbraw/zinc/44/59/52/750445952.db2.gz TVZLXVJCNFTUOZ-MRXNPFEDSA-N 1 2 323.400 1.485 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2cnn(-c3ccncc3)c2)C1 ZINC001034468724 750445956 /nfs/dbraw/zinc/44/59/56/750445956.db2.gz TVZLXVJCNFTUOZ-MRXNPFEDSA-N 1 2 323.400 1.485 20 30 DDEDLO CCCCCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(N)=O ZINC001111313398 750451062 /nfs/dbraw/zinc/45/10/62/750451062.db2.gz ACMOZHWMYZACPW-KFWWJZLASA-N 1 2 309.454 1.944 20 30 DDEDLO CCCCCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(N)=O ZINC001111313398 750451065 /nfs/dbraw/zinc/45/10/65/750451065.db2.gz ACMOZHWMYZACPW-KFWWJZLASA-N 1 2 309.454 1.944 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)C[C@H]2CC=CCC2)C1 ZINC001108130246 750544738 /nfs/dbraw/zinc/54/47/38/750544738.db2.gz UVFJNZDKYJKUGU-WMZOPIPTSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H]2CC=CCC2)C1 ZINC001108130246 750544742 /nfs/dbraw/zinc/54/47/42/750544742.db2.gz UVFJNZDKYJKUGU-WMZOPIPTSA-N 1 2 304.434 1.963 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@H](NC(=O)C1CCC1)CC2 ZINC001127909971 750580400 /nfs/dbraw/zinc/58/04/00/750580400.db2.gz HBVVFPNWTFUTGE-CQSZACIVSA-N 1 2 315.421 1.012 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)CC(C)C)CC2 ZINC001127926200 750672257 /nfs/dbraw/zinc/67/22/57/750672257.db2.gz ZVJSXUQHSZSQTA-AWEZNQCLSA-N 1 2 317.437 1.258 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC(NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC000997240152 750718471 /nfs/dbraw/zinc/71/84/71/750718471.db2.gz UHTJCXOHJVTXFK-UHFFFAOYSA-N 1 2 324.812 1.366 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCc2nnc(C[NH2+]CC#CC)n2CC1 ZINC001127958962 750854912 /nfs/dbraw/zinc/85/49/12/750854912.db2.gz PEOMPQFYAVNIIO-AWEZNQCLSA-N 1 2 315.421 1.178 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(CC)noc1CC ZINC001032451150 750866849 /nfs/dbraw/zinc/86/68/49/750866849.db2.gz PPFXLXNNSLQWAB-STQMWFEESA-N 1 2 301.390 1.260 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(CC)noc1CC ZINC001032451150 750866859 /nfs/dbraw/zinc/86/68/59/750866859.db2.gz PPFXLXNNSLQWAB-STQMWFEESA-N 1 2 301.390 1.260 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ncc(C(C)(C)C)o1 ZINC001032450773 750867439 /nfs/dbraw/zinc/86/74/39/750867439.db2.gz RTDIUUIKPJIEKV-KBPBESRZSA-N 1 2 315.417 1.823 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ncc(C(C)(C)C)o1 ZINC001032450773 750867448 /nfs/dbraw/zinc/86/74/48/750867448.db2.gz RTDIUUIKPJIEKV-KBPBESRZSA-N 1 2 315.417 1.823 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2nc(C)c(C)s2)C1 ZINC001108406273 762083068 /nfs/dbraw/zinc/08/30/68/762083068.db2.gz RHYSEUGXDSPRMO-OAHLLOKOSA-N 1 2 309.435 1.767 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2nc(C)c(C)s2)C1 ZINC001108406273 762083078 /nfs/dbraw/zinc/08/30/78/762083078.db2.gz RHYSEUGXDSPRMO-OAHLLOKOSA-N 1 2 309.435 1.767 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1([C@H]2CCCCO2)CCC1 ZINC001032516665 751169707 /nfs/dbraw/zinc/16/97/07/751169707.db2.gz JIYPVMRGJSVMSS-HRCADAONSA-N 1 2 302.418 1.644 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1([C@H]2CCCCO2)CCC1 ZINC001032516665 751169710 /nfs/dbraw/zinc/16/97/10/751169710.db2.gz JIYPVMRGJSVMSS-HRCADAONSA-N 1 2 302.418 1.644 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc2[nH]c(C)nc21 ZINC001032533560 751213401 /nfs/dbraw/zinc/21/34/01/751213401.db2.gz ICYCPEAKUDDISS-STQMWFEESA-N 1 2 309.373 1.188 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc2[nH]c(C)nc21 ZINC001032533560 751213406 /nfs/dbraw/zinc/21/34/06/751213406.db2.gz ICYCPEAKUDDISS-STQMWFEESA-N 1 2 309.373 1.188 20 30 DDEDLO N#Cc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cnco2)c1 ZINC001032548540 751270056 /nfs/dbraw/zinc/27/00/56/751270056.db2.gz UCCDYIQTUUCMJF-GJZGRUSLSA-N 1 2 308.341 1.645 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2cnco2)c1 ZINC001032548540 751270060 /nfs/dbraw/zinc/27/00/60/751270060.db2.gz UCCDYIQTUUCMJF-GJZGRUSLSA-N 1 2 308.341 1.645 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@H]1CCC[N@H+](Cc2ccccc2C#N)C1 ZINC001008122346 752563418 /nfs/dbraw/zinc/56/34/18/752563418.db2.gz LTYJVOGIJUDQOG-INIZCTEOSA-N 1 2 323.400 1.984 20 30 DDEDLO Cc1n[nH]cc1C(=O)N[C@H]1CCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001008122346 752563424 /nfs/dbraw/zinc/56/34/24/752563424.db2.gz LTYJVOGIJUDQOG-INIZCTEOSA-N 1 2 323.400 1.984 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)COCCCCCCCC)[C@H](OC)C1 ZINC001212414358 752629050 /nfs/dbraw/zinc/62/90/50/752629050.db2.gz JVAZUPUPCMPLAS-IAGOWNOFSA-N 1 2 324.465 1.812 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)COCCCCCCCC)[C@H](OC)C1 ZINC001212414358 752629055 /nfs/dbraw/zinc/62/90/55/752629055.db2.gz JVAZUPUPCMPLAS-IAGOWNOFSA-N 1 2 324.465 1.812 20 30 DDEDLO C=C1CC(C)(C(=O)NC2CN(C(=O)C[C@@H](C)n3cc[nH+]c3)C2)C1 ZINC000999293563 752797759 /nfs/dbraw/zinc/79/77/59/752797759.db2.gz WRNWTDYRRYJPRB-CYBMUJFWSA-N 1 2 316.405 1.518 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@@H+](Cc3cc(C)no3)C2)nc1 ZINC001009252042 753127556 /nfs/dbraw/zinc/12/75/56/753127556.db2.gz KIWHTGNEZXRVIU-OAHLLOKOSA-N 1 2 324.384 1.754 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@@H]2CCC[N@H+](Cc3cc(C)no3)C2)nc1 ZINC001009252042 753127559 /nfs/dbraw/zinc/12/75/59/753127559.db2.gz KIWHTGNEZXRVIU-OAHLLOKOSA-N 1 2 324.384 1.754 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001009368189 753171693 /nfs/dbraw/zinc/17/16/93/753171693.db2.gz AFLXKYSQZIJBSK-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001009368189 753171698 /nfs/dbraw/zinc/17/16/98/753171698.db2.gz AFLXKYSQZIJBSK-STQMWFEESA-N 1 2 313.829 1.330 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CC[C@H](NC(=O)C(C)(F)F)C1 ZINC001009845785 753407281 /nfs/dbraw/zinc/40/72/81/753407281.db2.gz ATPNLCQKJAUEIL-ZDUSSCGKSA-N 1 2 323.343 1.913 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CC[C@H](NC(=O)C(C)(F)F)C1 ZINC001009845785 753407286 /nfs/dbraw/zinc/40/72/86/753407286.db2.gz ATPNLCQKJAUEIL-ZDUSSCGKSA-N 1 2 323.343 1.913 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H](CNc2cc[nH+]c(C)n2)[C@@H](C)C1 ZINC001090270026 753408092 /nfs/dbraw/zinc/40/80/92/753408092.db2.gz FPHROUZMZWTUFG-ZFWWWQNUSA-N 1 2 318.421 1.884 20 30 DDEDLO C[C@H](CNc1ncccc1C#N)NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001108002760 753480761 /nfs/dbraw/zinc/48/07/61/753480761.db2.gz QMCWQWZKVRFTEY-TZMCWYRMSA-N 1 2 324.388 1.329 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[C@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001078220299 753853000 /nfs/dbraw/zinc/85/30/00/753853000.db2.gz GMDKTLILVORROF-LRDDRELGSA-N 1 2 306.410 1.566 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N(C)[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001062960701 753871240 /nfs/dbraw/zinc/87/12/40/753871240.db2.gz YEYYJBJQFPBSQT-HNNXBMFYSA-N 1 2 324.388 1.265 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(C(C)(C)C)nc2C)C1 ZINC001078281108 753917894 /nfs/dbraw/zinc/91/78/94/753917894.db2.gz NIVUHELRQBWISD-VXGBXAGGSA-N 1 2 323.462 1.710 20 30 DDEDLO C=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2sc(C(C)(C)C)nc2C)C1 ZINC001078281108 753917898 /nfs/dbraw/zinc/91/78/98/753917898.db2.gz NIVUHELRQBWISD-VXGBXAGGSA-N 1 2 323.462 1.710 20 30 DDEDLO N#Cc1ccc(NC[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)nc1 ZINC001060997855 754251923 /nfs/dbraw/zinc/25/19/23/754251923.db2.gz OPTBOHCIOAZBKO-ZDUSSCGKSA-N 1 2 310.361 1.179 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(CC(C)C)n1 ZINC001032814362 754306597 /nfs/dbraw/zinc/30/65/97/754306597.db2.gz KRAJJNYDOMEHOB-STQMWFEESA-N 1 2 303.431 1.874 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc(CC(C)C)n1 ZINC001032814362 754306601 /nfs/dbraw/zinc/30/66/01/754306601.db2.gz KRAJJNYDOMEHOB-STQMWFEESA-N 1 2 303.431 1.874 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)CC[C@@H]1Nc1ncccc1C#N ZINC001063802058 754354037 /nfs/dbraw/zinc/35/40/37/754354037.db2.gz GTKNDMYXHCOQBC-DOMZBBRYSA-N 1 2 324.388 1.568 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCN(C(=O)Cn3cc[nH+]c3)[C@H]2C)C1 ZINC001011855500 754744311 /nfs/dbraw/zinc/74/43/11/754744311.db2.gz IRWDJDBPEFMPEK-KBPBESRZSA-N 1 2 316.405 1.345 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccncc2C#N)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064833426 754876399 /nfs/dbraw/zinc/87/63/99/754876399.db2.gz YMOQWXUUXDYOQX-GXTWGEPZSA-N 1 2 324.388 1.132 20 30 DDEDLO CC(=O)N1CC[NH+]([C@@H]2CCN(c3cc(C)ncc3C#N)C2)CC1 ZINC001065184443 755113828 /nfs/dbraw/zinc/11/38/28/755113828.db2.gz BXUSSOXRAURWDO-MRXNPFEDSA-N 1 2 313.405 1.005 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2ccccc2)[C@@H](O)C1 ZINC001090365209 755212386 /nfs/dbraw/zinc/21/23/86/755212386.db2.gz OHOUWUILRLQHKK-GJZGRUSLSA-N 1 2 308.809 1.533 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2ccccc2)[C@@H](O)C1 ZINC001090365209 755212388 /nfs/dbraw/zinc/21/23/88/755212388.db2.gz OHOUWUILRLQHKK-GJZGRUSLSA-N 1 2 308.809 1.533 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001079852752 755609109 /nfs/dbraw/zinc/60/91/09/755609109.db2.gz SMHSRBQKOHZZEL-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCC(=O)N1CC(N(CC)C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001079852752 755609110 /nfs/dbraw/zinc/60/91/10/755609110.db2.gz SMHSRBQKOHZZEL-CYBMUJFWSA-N 1 2 318.421 1.614 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)N1CC(N(CC)C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001080353815 755866637 /nfs/dbraw/zinc/86/66/37/755866637.db2.gz NBYQQBVYUBBFGC-CHWSQXEVSA-N 1 2 318.421 1.470 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@@H+](Cc3cn(C)nn3)C[C@H]2C)CC1 ZINC001080391561 755878314 /nfs/dbraw/zinc/87/83/14/755878314.db2.gz POFJBJSPKVQAFL-CZUORRHYSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2C[N@H+](Cc3cn(C)nn3)C[C@H]2C)CC1 ZINC001080391561 755878321 /nfs/dbraw/zinc/87/83/21/755878321.db2.gz POFJBJSPKVQAFL-CZUORRHYSA-N 1 2 317.437 1.498 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001057034520 762441707 /nfs/dbraw/zinc/44/17/07/762441707.db2.gz GSKVBQZTAKEITF-ZNMIVQPWSA-N 1 2 316.405 1.413 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2c(C)cc(=O)oc2C)C1 ZINC001015185714 756162723 /nfs/dbraw/zinc/16/27/23/756162723.db2.gz DTVQRALICOAVQT-LBPRGKRZSA-N 1 2 310.781 1.813 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2c(C)cc(=O)oc2C)C1 ZINC001015185714 756162727 /nfs/dbraw/zinc/16/27/27/756162727.db2.gz DTVQRALICOAVQT-LBPRGKRZSA-N 1 2 310.781 1.813 20 30 DDEDLO Cc1ccc(C#N)c(N(C)[C@@H]2CCN(C(=O)Cc3c[nH+]c[nH]3)C2)n1 ZINC001057038955 762453194 /nfs/dbraw/zinc/45/31/94/762453194.db2.gz YZHBGGDHMOIWLZ-OAHLLOKOSA-N 1 2 324.388 1.265 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001015362991 756264901 /nfs/dbraw/zinc/26/49/01/756264901.db2.gz XRJQOZUNSUYQCO-JHJVBQTASA-N 1 2 308.813 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001015362991 756264902 /nfs/dbraw/zinc/26/49/02/756264902.db2.gz XRJQOZUNSUYQCO-JHJVBQTASA-N 1 2 308.813 1.467 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cnc(C3CC3)[nH]c2=O)C1 ZINC001015802498 756559944 /nfs/dbraw/zinc/55/99/44/756559944.db2.gz AQIXOQVNKHITSI-NSHDSACASA-N 1 2 322.796 1.616 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cnc(C3CC3)[nH]c2=O)C1 ZINC001015802498 756559948 /nfs/dbraw/zinc/55/99/48/756559948.db2.gz AQIXOQVNKHITSI-NSHDSACASA-N 1 2 322.796 1.616 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CCCCN1C(=O)Cc1[nH]c[nH+]c1C ZINC001082198140 756672702 /nfs/dbraw/zinc/67/27/02/756672702.db2.gz NRYNORNOEUSQTO-AWEZNQCLSA-N 1 2 318.421 1.724 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2cnn([C@H](C)CC)c2C)[C@H](OC)C1 ZINC001082315974 756731430 /nfs/dbraw/zinc/73/14/30/756731430.db2.gz ICSMVHIZTLNXBJ-DAXOMENPSA-N 1 2 318.421 1.225 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2cnn([C@H](C)CC)c2C)[C@H](OC)C1 ZINC001082315974 756731434 /nfs/dbraw/zinc/73/14/34/756731434.db2.gz ICSMVHIZTLNXBJ-DAXOMENPSA-N 1 2 318.421 1.225 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)n(C(C)C)n2)[C@H](OC)C1 ZINC001082401682 756770935 /nfs/dbraw/zinc/77/09/35/756770935.db2.gz XNSAGASKSVGDRY-HZPDHXFCSA-N 1 2 318.421 1.225 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc(C)n(C(C)C)n2)[C@H](OC)C1 ZINC001082401682 756770938 /nfs/dbraw/zinc/77/09/38/756770938.db2.gz XNSAGASKSVGDRY-HZPDHXFCSA-N 1 2 318.421 1.225 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)o1 ZINC001082451556 756792014 /nfs/dbraw/zinc/79/20/14/756792014.db2.gz HICVECGBROOIQA-IJLUTSLNSA-N 1 2 305.382 1.289 20 30 DDEDLO Cc1nc(N[C@H]2C[C@@H](NC(=O)[C@H](C)C#N)C2)c2c([nH+]1)CCCC2 ZINC001097164942 756960075 /nfs/dbraw/zinc/96/00/75/756960075.db2.gz SRFZKLKBMVTGRW-RTXFEEFZSA-N 1 2 313.405 1.883 20 30 DDEDLO Cc1cc(N[C@H]2C[C@@H](NC(=O)CCn3cc[nH+]c3)C2)c(C#N)cn1 ZINC001097235794 757007092 /nfs/dbraw/zinc/00/70/92/757007092.db2.gz FIYRYMUYDKQYOC-GASCZTMLSA-N 1 2 324.388 1.030 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC=C(CNC(=O)c2c(C)nc[nH]c2=O)CC1 ZINC001001069556 762521056 /nfs/dbraw/zinc/52/10/56/762521056.db2.gz MQPYROMNJTYJMC-UHFFFAOYSA-N 1 2 322.796 1.605 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4n[nH]cc4c3)[C@H]2C1 ZINC001083178176 757182025 /nfs/dbraw/zinc/18/20/25/757182025.db2.gz FXJBRIYWQVFYRR-DLBZAZTESA-N 1 2 324.384 1.111 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4n[nH]cc4c3)[C@H]2C1 ZINC001083178176 757182029 /nfs/dbraw/zinc/18/20/29/757182029.db2.gz FXJBRIYWQVFYRR-DLBZAZTESA-N 1 2 324.384 1.111 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CC[C@@]2(C1)CCCN(CC#N)C2 ZINC001040443114 762537349 /nfs/dbraw/zinc/53/73/49/762537349.db2.gz HNQWMTPSFQTXSK-YOEHRIQHSA-N 1 2 315.421 1.426 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CC[C@@]2(C1)CCCN(CC#N)C2 ZINC001040443114 762537351 /nfs/dbraw/zinc/53/73/51/762537351.db2.gz HNQWMTPSFQTXSK-YOEHRIQHSA-N 1 2 315.421 1.426 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)C[C@@H](C)n3ccnc3CC)[C@@H]2C1 ZINC001084356066 757510606 /nfs/dbraw/zinc/51/06/06/757510606.db2.gz XZEVWWKSRGMHAQ-BZUAXINKSA-N 1 2 314.433 1.563 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)C[C@@H](C)n3ccnc3CC)[C@@H]2C1 ZINC001084356066 757510612 /nfs/dbraw/zinc/51/06/12/757510612.db2.gz XZEVWWKSRGMHAQ-BZUAXINKSA-N 1 2 314.433 1.563 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001017421539 757918800 /nfs/dbraw/zinc/91/88/00/757918800.db2.gz FYTHRMIIRBDAAT-HONMWMINSA-N 1 2 302.378 1.626 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001017421539 757918807 /nfs/dbraw/zinc/91/88/07/757918807.db2.gz FYTHRMIIRBDAAT-HONMWMINSA-N 1 2 302.378 1.626 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)CCCC(C)(C)C)CC2=O)C1 ZINC001108523513 762589747 /nfs/dbraw/zinc/58/97/47/762589747.db2.gz KYVLEXBAQUPJQS-AWEZNQCLSA-N 1 2 321.465 1.790 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2ccccc2n1 ZINC001017619850 758088696 /nfs/dbraw/zinc/08/86/96/758088696.db2.gz XIZDTUNOUPUBTF-GASCZTMLSA-N 1 2 320.396 1.942 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2ccccc2n1 ZINC001017619850 758088706 /nfs/dbraw/zinc/08/87/06/758088706.db2.gz XIZDTUNOUPUBTF-GASCZTMLSA-N 1 2 320.396 1.942 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(CC)c1Cl ZINC001017623871 758093369 /nfs/dbraw/zinc/09/33/69/758093369.db2.gz FAFUOKCJDBPTGF-TXEJJXNPSA-N 1 2 320.824 1.938 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(CC)c1Cl ZINC001017623871 758093380 /nfs/dbraw/zinc/09/33/80/758093380.db2.gz FAFUOKCJDBPTGF-TXEJJXNPSA-N 1 2 320.824 1.938 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1C(C)C ZINC001017698422 758162548 /nfs/dbraw/zinc/16/25/48/758162548.db2.gz OMAIPRKLFNDTGO-OKILXGFUSA-N 1 2 300.406 1.845 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]nc1C(C)C ZINC001017698422 758162550 /nfs/dbraw/zinc/16/25/50/758162550.db2.gz OMAIPRKLFNDTGO-OKILXGFUSA-N 1 2 300.406 1.845 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccnc1N1CCCC1 ZINC001017712942 758175462 /nfs/dbraw/zinc/17/54/62/758175462.db2.gz GCTFQRCUTCARQW-IYBDPMFKSA-N 1 2 324.428 1.604 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccnc1N1CCCC1 ZINC001017712942 758175469 /nfs/dbraw/zinc/17/54/69/758175469.db2.gz GCTFQRCUTCARQW-IYBDPMFKSA-N 1 2 324.428 1.604 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(COC)o1 ZINC001017721798 758182131 /nfs/dbraw/zinc/18/21/31/758182131.db2.gz PHNFAUYZDLAZEQ-OKILXGFUSA-N 1 2 302.374 1.738 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(COC)o1 ZINC001017721798 758182135 /nfs/dbraw/zinc/18/21/35/758182135.db2.gz PHNFAUYZDLAZEQ-OKILXGFUSA-N 1 2 302.374 1.738 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1-n1cccc1 ZINC001017783331 758236629 /nfs/dbraw/zinc/23/66/29/758236629.db2.gz MLQZSEYEOVJUCE-GASCZTMLSA-N 1 2 323.400 1.133 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1-n1cccc1 ZINC001017783331 758236634 /nfs/dbraw/zinc/23/66/34/758236634.db2.gz MLQZSEYEOVJUCE-GASCZTMLSA-N 1 2 323.400 1.133 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2[nH]ncc21 ZINC001017784811 758238031 /nfs/dbraw/zinc/23/80/31/758238031.db2.gz BHWBTMWGTKSYDV-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2[nH]ncc21 ZINC001017784811 758238037 /nfs/dbraw/zinc/23/80/37/758238037.db2.gz BHWBTMWGTKSYDV-OKILXGFUSA-N 1 2 308.385 1.875 20 30 DDEDLO C=CCN1CC2(C1)CN(C(=O)C(C)(C)n1c[nH+]c(C)c1)CCO2 ZINC001053304332 758358085 /nfs/dbraw/zinc/35/80/85/758358085.db2.gz GPNXCLSNLWNKPS-UHFFFAOYSA-N 1 2 318.421 1.026 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)C[C@@H]1CC(C)(C)CO1)CCO2 ZINC001053337268 758384217 /nfs/dbraw/zinc/38/42/17/758384217.db2.gz KPWAFFXDOUDWDI-OAHLLOKOSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1cc(C(C)C)n[nH]1)CCO2 ZINC001053443648 758464907 /nfs/dbraw/zinc/46/49/07/758464907.db2.gz GKZVWEYSPPGGKR-UHFFFAOYSA-N 1 2 318.421 1.636 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H]1CCO[C@H]1C(=C)C)CCO2 ZINC001053468793 758484401 /nfs/dbraw/zinc/48/44/01/758484401.db2.gz CDKLZSFXFLLSGS-HOTGVXAUSA-N 1 2 320.433 1.457 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)COc1ccsc1)CCO2 ZINC001053509174 758514412 /nfs/dbraw/zinc/51/44/12/758514412.db2.gz DZVMAZBHISIBTE-UHFFFAOYSA-N 1 2 322.430 1.616 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCOc2ccccc21 ZINC001018064905 758518177 /nfs/dbraw/zinc/51/81/77/758518177.db2.gz KAOOOCFWAVJYDJ-UXLLHSPISA-N 1 2 310.397 1.861 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCOc2ccccc21 ZINC001018064905 758518181 /nfs/dbraw/zinc/51/81/81/758518181.db2.gz KAOOOCFWAVJYDJ-UXLLHSPISA-N 1 2 310.397 1.861 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nc2n[nH]c(C)c21 ZINC001018066839 758520373 /nfs/dbraw/zinc/52/03/73/758520373.db2.gz NKWVVBNWSGFUJN-OKILXGFUSA-N 1 2 323.400 1.497 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)nc2n[nH]c(C)c21 ZINC001018066839 758520380 /nfs/dbraw/zinc/52/03/80/758520380.db2.gz NKWVVBNWSGFUJN-OKILXGFUSA-N 1 2 323.400 1.497 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@H](NC(=O)[C@H](C)CCCC)CC2=O)C1 ZINC001108541254 762641823 /nfs/dbraw/zinc/64/18/23/762641823.db2.gz RPECPAPYLLAYGN-HUUCEWRRSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC001018107159 758552504 /nfs/dbraw/zinc/55/25/04/758552504.db2.gz KNZCHMLNVAHHLB-GEEKYZPCSA-N 1 2 319.449 1.637 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC001018107159 758552505 /nfs/dbraw/zinc/55/25/05/758552505.db2.gz KNZCHMLNVAHHLB-GEEKYZPCSA-N 1 2 319.449 1.637 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1oc(C)nc1C)O2 ZINC001053586234 758601769 /nfs/dbraw/zinc/60/17/69/758601769.db2.gz OTPBSGSPUCAHBO-ZDUSSCGKSA-N 1 2 305.378 1.441 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)/C=C(/C)CC)CC2=O)C1 ZINC001108542882 762643706 /nfs/dbraw/zinc/64/37/06/762643706.db2.gz PSQWKEOYQGJCSG-XMRSSTEGSA-N 1 2 305.422 1.320 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccoc1CC)O2 ZINC001053595086 758615001 /nfs/dbraw/zinc/61/50/01/758615001.db2.gz YOTTXUBPPCOUIT-CYBMUJFWSA-N 1 2 304.390 1.991 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cnc(Cl)n1C)O2 ZINC001053629995 758647707 /nfs/dbraw/zinc/64/77/07/758647707.db2.gz FYUUWUWGLMRDGZ-LLVKDONJSA-N 1 2 324.812 1.223 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1ccn(C)c1C)O2 ZINC001053658015 758671603 /nfs/dbraw/zinc/67/16/03/758671603.db2.gz OPVSQPCGGQKEJG-HNNXBMFYSA-N 1 2 317.433 1.873 20 30 DDEDLO C=CCCC(=O)N1CC[NH+]([C@@H]2CCN(C(=O)[C@@H](C)CC)C2)CC1 ZINC001065685016 758702326 /nfs/dbraw/zinc/70/23/26/758702326.db2.gz BGEWNPCDVFACIL-JKSUJKDBSA-N 1 2 321.465 1.744 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H]([NH+]2CCN(C(C)=O)CC2)C1 ZINC001065705404 758705625 /nfs/dbraw/zinc/70/56/25/758705625.db2.gz YQKSUMSLGTXEIH-OAHLLOKOSA-N 1 2 307.438 1.354 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C[C@H]1CCCO1 ZINC001018325965 758731328 /nfs/dbraw/zinc/73/13/28/758731328.db2.gz YXFGEUBLFSVIFU-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C[C@H]1CCCO1 ZINC001018325965 758731335 /nfs/dbraw/zinc/73/13/35/758731335.db2.gz YXFGEUBLFSVIFU-MWDXBVQZSA-N 1 2 304.434 1.890 20 30 DDEDLO C[C@@H](Nc1ncccc1C#N)[C@@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001065729439 758744553 /nfs/dbraw/zinc/74/45/53/758744553.db2.gz NJFDNUFHPFNQFG-TZMCWYRMSA-N 1 2 324.388 1.568 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1csc(C)c1C)CO2 ZINC001053813941 758841846 /nfs/dbraw/zinc/84/18/46/758841846.db2.gz COULCSOKARZXTA-CQSZACIVSA-N 1 2 318.442 1.961 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1CCC(F)(F)C1)CO2 ZINC001053825425 758855783 /nfs/dbraw/zinc/85/57/83/758855783.db2.gz QGEAEUUVRLCTGL-STQMWFEESA-N 1 2 312.360 1.405 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1COC2(C[NH+](CC(=C)C)C2)C1 ZINC001053829214 758858718 /nfs/dbraw/zinc/85/87/18/758858718.db2.gz BLKXGTYRSMWGSA-HNNXBMFYSA-N 1 2 315.417 1.823 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc(CC)n1)CO2 ZINC001053830447 758860946 /nfs/dbraw/zinc/86/09/46/758860946.db2.gz AYZNYUZQSJAXTN-OAHLLOKOSA-N 1 2 313.401 1.240 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(C3CC3)on1)CO2 ZINC001053885348 758919688 /nfs/dbraw/zinc/91/96/88/758919688.db2.gz JWLZPZJMGIABMY-ZDUSSCGKSA-N 1 2 317.389 1.701 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccsc1C)CO2 ZINC001053946868 758984560 /nfs/dbraw/zinc/98/45/60/758984560.db2.gz GCRWYUDWOUUIJL-OAHLLOKOSA-N 1 2 318.442 1.582 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1nc(C)oc1C)CO2 ZINC001053965318 759000748 /nfs/dbraw/zinc/00/07/48/759000748.db2.gz ATSFCYONWDEEMZ-CYBMUJFWSA-N 1 2 305.378 1.441 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cccc3[nH]cnc31)CO2 ZINC001053964812 759000762 /nfs/dbraw/zinc/00/07/62/759000762.db2.gz OGYMTKJUXWDFFQ-CYBMUJFWSA-N 1 2 324.384 1.159 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)ccc1C)CO2 ZINC001053993965 759041218 /nfs/dbraw/zinc/04/12/18/759041218.db2.gz YFYWRHGIQSUKBV-MRXNPFEDSA-N 1 2 312.413 1.900 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)C1CCOCC1)CO2 ZINC001054025545 759076711 /nfs/dbraw/zinc/07/67/11/759076711.db2.gz BGCOFYOPYKSTSG-HOCLYGCPSA-N 1 2 320.433 1.032 20 30 DDEDLO C=CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1csnn1 ZINC001054047560 759104945 /nfs/dbraw/zinc/10/49/45/759104945.db2.gz GSJBASFFNRMEQX-CQSZACIVSA-N 1 2 314.414 1.881 20 30 DDEDLO C=CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1csnn1 ZINC001054047560 759104949 /nfs/dbraw/zinc/10/49/49/759104949.db2.gz GSJBASFFNRMEQX-CQSZACIVSA-N 1 2 314.414 1.881 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C/C=C(\C)C=C)CC2=O)C1 ZINC001108563700 762690223 /nfs/dbraw/zinc/69/02/23/762690223.db2.gz SBNNOUMAZWIZQR-YGLIYXGISA-N 1 2 303.406 1.096 20 30 DDEDLO C/C(=C/C(=O)N[C@]1(C#N)CCC[C@H](C)C1)C[NH+]1CCOCC1 ZINC000826684537 759301025 /nfs/dbraw/zinc/30/10/25/759301025.db2.gz YDTIDGYIGRLXJP-LZRUTDMSSA-N 1 2 305.422 1.854 20 30 DDEDLO CC(C)c1noc([C@@H](C)[NH2+][C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001018819655 759303144 /nfs/dbraw/zinc/30/31/44/759303144.db2.gz ZGMFFWAOYQZYMC-OCCSQVGLSA-N 1 2 316.405 1.858 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@@H]3C(=O)OC)cc2C1 ZINC001054257963 759353624 /nfs/dbraw/zinc/35/36/24/759353624.db2.gz DYRKDESTEMGMPW-CVEARBPZSA-N 1 2 312.369 1.061 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@@H]3C(=O)OC)cc2C1 ZINC001054257963 759353636 /nfs/dbraw/zinc/35/36/36/759353636.db2.gz DYRKDESTEMGMPW-CVEARBPZSA-N 1 2 312.369 1.061 20 30 DDEDLO N#C[C@@H](Nc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)nn1)C(N)=O ZINC001170538831 767884273 /nfs/dbraw/zinc/88/42/73/767884273.db2.gz LJCCMUYAEHKDFK-CQSZACIVSA-N 1 2 319.328 1.119 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1ncc[nH]1 ZINC001085588634 759777362 /nfs/dbraw/zinc/77/73/62/759777362.db2.gz QUYBGNOHZLTCDR-INIZCTEOSA-N 1 2 308.385 1.608 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1ncc[nH]1 ZINC001085588634 759777368 /nfs/dbraw/zinc/77/73/68/759777368.db2.gz QUYBGNOHZLTCDR-INIZCTEOSA-N 1 2 308.385 1.608 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(CC(C)C)c1CC ZINC001085593441 759793134 /nfs/dbraw/zinc/79/31/34/759793134.db2.gz JATYGPBKALXKFG-OAHLLOKOSA-N 1 2 316.449 1.881 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(CC(C)C)c1CC ZINC001085593441 759793139 /nfs/dbraw/zinc/79/31/39/759793139.db2.gz JATYGPBKALXKFG-OAHLLOKOSA-N 1 2 316.449 1.881 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(Cn2cccn2)o1 ZINC001085611410 759833000 /nfs/dbraw/zinc/83/30/00/759833000.db2.gz RDFQHMWDGORTHG-AWEZNQCLSA-N 1 2 312.373 1.304 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc(Cn2cccn2)o1 ZINC001085611410 759833006 /nfs/dbraw/zinc/83/30/06/759833006.db2.gz RDFQHMWDGORTHG-AWEZNQCLSA-N 1 2 312.373 1.304 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc2c(cn1)OCCO2 ZINC001085645611 759925963 /nfs/dbraw/zinc/92/59/63/759925963.db2.gz AYHPMHURCCPTQQ-CYBMUJFWSA-N 1 2 315.373 1.022 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cc2c(cn1)OCCO2 ZINC001085645611 759925965 /nfs/dbraw/zinc/92/59/65/759925965.db2.gz AYHPMHURCCPTQQ-CYBMUJFWSA-N 1 2 315.373 1.022 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2n1CCCCC2 ZINC001085667535 759971718 /nfs/dbraw/zinc/97/17/18/759971718.db2.gz KFPCGALWQNQOKC-CQSZACIVSA-N 1 2 300.406 1.389 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc2n1CCCCC2 ZINC001085667535 759971723 /nfs/dbraw/zinc/97/17/23/759971723.db2.gz KFPCGALWQNQOKC-CQSZACIVSA-N 1 2 300.406 1.389 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccncc1OC(C)C ZINC001085740910 760138350 /nfs/dbraw/zinc/13/83/50/760138350.db2.gz DZEXIGAMUQCPIW-CQSZACIVSA-N 1 2 301.390 1.648 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccncc1OC(C)C ZINC001085740910 760138355 /nfs/dbraw/zinc/13/83/55/760138355.db2.gz DZEXIGAMUQCPIW-CQSZACIVSA-N 1 2 301.390 1.648 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C)no2)C1 ZINC001054970349 760244171 /nfs/dbraw/zinc/24/41/71/760244171.db2.gz BNDQIUCPQBXAKT-DGCLKSJQSA-N 1 2 306.410 1.917 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cccnc1C(F)(F)F ZINC001085840491 760338542 /nfs/dbraw/zinc/33/85/42/760338542.db2.gz IWVBXZJUXOQVBZ-NSHDSACASA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccnc1C(F)(F)F ZINC001085840491 760338552 /nfs/dbraw/zinc/33/85/52/760338552.db2.gz IWVBXZJUXOQVBZ-NSHDSACASA-N 1 2 311.307 1.880 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(Cl)nn(C)c1Cl ZINC001085867888 760413118 /nfs/dbraw/zinc/41/31/18/760413118.db2.gz YNPMKEQDVFUJNT-SECBINFHSA-N 1 2 315.204 1.506 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(Cl)nn(C)c1Cl ZINC001085867888 760413128 /nfs/dbraw/zinc/41/31/28/760413128.db2.gz YNPMKEQDVFUJNT-SECBINFHSA-N 1 2 315.204 1.506 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1)C(=O)c1ccncn1 ZINC001085882540 760455684 /nfs/dbraw/zinc/45/56/84/760455684.db2.gz GVDSRJGJLLFDSB-KRWDZBQOSA-N 1 2 320.396 1.675 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1CC#Cc1ccccc1)C(=O)c1ccncn1 ZINC001085882540 760455685 /nfs/dbraw/zinc/45/56/85/760455685.db2.gz GVDSRJGJLLFDSB-KRWDZBQOSA-N 1 2 320.396 1.675 20 30 DDEDLO C#CCC[N@H+]1CC[C@H]1CN(C)C(=O)c1snnc1C(C)C ZINC001085888581 760464931 /nfs/dbraw/zinc/46/49/31/760464931.db2.gz BQFHTDQKRXRGDI-LBPRGKRZSA-N 1 2 306.435 1.831 20 30 DDEDLO C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1snnc1C(C)C ZINC001085888581 760464939 /nfs/dbraw/zinc/46/49/39/760464939.db2.gz BQFHTDQKRXRGDI-LBPRGKRZSA-N 1 2 306.435 1.831 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cnc(C)o2)nc1 ZINC001085933550 760564143 /nfs/dbraw/zinc/56/41/43/760564143.db2.gz FAACFPQMNFWSCA-HNNXBMFYSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cnc(C)o2)nc1 ZINC001085933550 760564151 /nfs/dbraw/zinc/56/41/51/760564151.db2.gz FAACFPQMNFWSCA-HNNXBMFYSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2C[C@@H](F)CC)nc1 ZINC001085933947 760564940 /nfs/dbraw/zinc/56/49/40/760564940.db2.gz NVHJWBZMHFEUJX-GJZGRUSLSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2C[C@@H](F)CC)nc1 ZINC001085933947 760564945 /nfs/dbraw/zinc/56/49/45/760564945.db2.gz NVHJWBZMHFEUJX-GJZGRUSLSA-N 1 2 303.381 1.957 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cccnc2)cn1 ZINC001085933815 760564963 /nfs/dbraw/zinc/56/49/63/760564963.db2.gz LEUUREBWDUOZOC-SFHVURJKSA-N 1 2 320.396 1.804 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cccnc2)cn1 ZINC001085933815 760564966 /nfs/dbraw/zinc/56/49/66/760564966.db2.gz LEUUREBWDUOZOC-SFHVURJKSA-N 1 2 320.396 1.804 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cncnc1C(C)C ZINC001085976169 760639888 /nfs/dbraw/zinc/63/98/88/760639888.db2.gz PIOLJWPGIBSEFP-CQSZACIVSA-N 1 2 300.406 1.770 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cncnc1C(C)C ZINC001085976169 760639893 /nfs/dbraw/zinc/63/98/93/760639893.db2.gz PIOLJWPGIBSEFP-CQSZACIVSA-N 1 2 300.406 1.770 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1cc(OC)c(OC)cc1C ZINC001085982267 760654990 /nfs/dbraw/zinc/65/49/90/760654990.db2.gz GMTMMFQONGPCBI-AWEZNQCLSA-N 1 2 316.401 1.792 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(OC)c(OC)cc1C ZINC001085982267 760654997 /nfs/dbraw/zinc/65/49/97/760654997.db2.gz GMTMMFQONGPCBI-AWEZNQCLSA-N 1 2 316.401 1.792 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001108233002 760689980 /nfs/dbraw/zinc/68/99/80/760689980.db2.gz IPEONKGTBGGPMS-JZXOWHBKSA-N 1 2 315.417 1.578 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001108233002 760689987 /nfs/dbraw/zinc/68/99/87/760689987.db2.gz IPEONKGTBGGPMS-JZXOWHBKSA-N 1 2 315.417 1.578 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(C(C)(C)C)nc1 ZINC001086002813 760695455 /nfs/dbraw/zinc/69/54/55/760695455.db2.gz RZFQRYSJVDYZGB-CQSZACIVSA-N 1 2 300.406 1.554 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(C(C)(C)C)nc1 ZINC001086002813 760695456 /nfs/dbraw/zinc/69/54/56/760695456.db2.gz RZFQRYSJVDYZGB-CQSZACIVSA-N 1 2 300.406 1.554 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C2(C(F)(F)F)CC2)C1 ZINC001108237050 760829031 /nfs/dbraw/zinc/82/90/31/760829031.db2.gz VVENCCWTBKTMBX-LBPRGKRZSA-N 1 2 306.328 1.722 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C2(C(F)(F)F)CC2)C1 ZINC001108237050 760829042 /nfs/dbraw/zinc/82/90/42/760829042.db2.gz VVENCCWTBKTMBX-LBPRGKRZSA-N 1 2 306.328 1.722 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cn(-c2ccccc2)cn1 ZINC001038270111 760963017 /nfs/dbraw/zinc/96/30/17/760963017.db2.gz WERPCXHHLAAWOF-INIZCTEOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cn(-c2ccccc2)cn1 ZINC001038270111 760963018 /nfs/dbraw/zinc/96/30/18/760963018.db2.gz WERPCXHHLAAWOF-INIZCTEOSA-N 1 2 308.385 1.700 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1[nH]ncc1C(F)(F)F ZINC001038323427 761007112 /nfs/dbraw/zinc/00/71/12/761007112.db2.gz HBENAVMSESHWPA-VIFPVBQESA-N 1 2 300.284 1.256 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1[nH]ncc1C(F)(F)F ZINC001038323427 761007121 /nfs/dbraw/zinc/00/71/21/761007121.db2.gz HBENAVMSESHWPA-VIFPVBQESA-N 1 2 300.284 1.256 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(OC)c(OC)c1C ZINC001038381552 761066442 /nfs/dbraw/zinc/06/64/42/761066442.db2.gz JEHGFQDYVGHZBZ-AWEZNQCLSA-N 1 2 316.401 1.840 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(OC)c(OC)c1C ZINC001038381552 761066449 /nfs/dbraw/zinc/06/64/49/761066449.db2.gz JEHGFQDYVGHZBZ-AWEZNQCLSA-N 1 2 316.401 1.840 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(C(C)(C)C)nn1C ZINC001038671903 761271656 /nfs/dbraw/zinc/27/16/56/761271656.db2.gz SOYVUNOQVAJBBQ-CYBMUJFWSA-N 1 2 302.422 1.545 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1cc(C(C)(C)C)nn1C ZINC001038671903 761271660 /nfs/dbraw/zinc/27/16/60/761271660.db2.gz SOYVUNOQVAJBBQ-CYBMUJFWSA-N 1 2 302.422 1.545 20 30 DDEDLO C[C@@H]1CCO[C@@H]1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001038705724 761308632 /nfs/dbraw/zinc/30/86/32/761308632.db2.gz QXEYIVHWBAVUNI-COXVUDFISA-N 1 2 313.401 1.674 20 30 DDEDLO C[C@@H]1CCO[C@@H]1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001038705724 761308641 /nfs/dbraw/zinc/30/86/41/761308641.db2.gz QXEYIVHWBAVUNI-COXVUDFISA-N 1 2 313.401 1.674 20 30 DDEDLO CC(C)(C(=O)N1CC[C@@H](Nc2ccc(C#N)nc2)C1)c1c[nH+]c[nH]1 ZINC001056670518 761330699 /nfs/dbraw/zinc/33/06/99/761330699.db2.gz FCDPBNDXACPHTN-CQSZACIVSA-N 1 2 324.388 1.667 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1OCC[C@@H]1c1ccccc1 ZINC001038805173 761423371 /nfs/dbraw/zinc/42/33/71/761423371.db2.gz VSPHSMWNORKNPD-RCCFBDPRSA-N 1 2 312.413 1.773 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1OCC[C@@H]1c1ccccc1 ZINC001038805173 761423374 /nfs/dbraw/zinc/42/33/74/761423374.db2.gz VSPHSMWNORKNPD-RCCFBDPRSA-N 1 2 312.413 1.773 20 30 DDEDLO N#Cc1cccc(C[N@H+]2CC[C@@H]2CNC(=O)c2ncccn2)c1 ZINC001038837060 761456332 /nfs/dbraw/zinc/45/63/32/761456332.db2.gz LQWPVNZKWIMTHI-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1cccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2ncccn2)c1 ZINC001038837060 761456333 /nfs/dbraw/zinc/45/63/33/761456333.db2.gz LQWPVNZKWIMTHI-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ncccc1C(F)(F)F ZINC001038878526 761503038 /nfs/dbraw/zinc/50/30/38/761503038.db2.gz CQXJQYMUMYIVEK-NSHDSACASA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ncccc1C(F)(F)F ZINC001038878526 761503041 /nfs/dbraw/zinc/50/30/41/761503041.db2.gz CQXJQYMUMYIVEK-NSHDSACASA-N 1 2 311.307 1.928 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1COc2ccccc2O1 ZINC001038993103 761625402 /nfs/dbraw/zinc/62/54/02/761625402.db2.gz UVXFCVKFHOFZEV-XJKSGUPXSA-N 1 2 300.358 1.040 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)[C@H]1COc2ccccc2O1 ZINC001038993103 761625403 /nfs/dbraw/zinc/62/54/03/761625403.db2.gz UVXFCVKFHOFZEV-XJKSGUPXSA-N 1 2 300.358 1.040 20 30 DDEDLO Cc1nonc1C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1 ZINC001039086567 761726195 /nfs/dbraw/zinc/72/61/95/761726195.db2.gz YISVCCCVSAIPGE-AWEZNQCLSA-N 1 2 311.345 1.254 20 30 DDEDLO Cc1nonc1C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1 ZINC001039086567 761726200 /nfs/dbraw/zinc/72/62/00/761726200.db2.gz YISVCCCVSAIPGE-AWEZNQCLSA-N 1 2 311.345 1.254 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)C3(C(C)C)CC3)CC2=O)C1 ZINC001108572655 762732947 /nfs/dbraw/zinc/73/29/47/762732947.db2.gz XUOZMEVBCLVPAF-AWEZNQCLSA-N 1 2 319.449 1.400 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@H](C)C(C)(C)C)CC2=O)C1 ZINC001108589548 762775824 /nfs/dbraw/zinc/77/58/24/762775824.db2.gz UVOVDJYQKYAMRY-UONOGXRCSA-N 1 2 321.465 1.646 20 30 DDEDLO Cc1ccnc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)n1 ZINC001111666511 762971746 /nfs/dbraw/zinc/97/17/46/762971746.db2.gz DPQWESHTDJTUCI-JDFRZJQESA-N 1 2 313.405 1.415 20 30 DDEDLO Cc1ccnc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)[C@@H](C)C#N)C2)n1 ZINC001111666511 762971750 /nfs/dbraw/zinc/97/17/50/762971750.db2.gz DPQWESHTDJTUCI-JDFRZJQESA-N 1 2 313.405 1.415 20 30 DDEDLO N#Cc1ccc(N2CCCN(C(=O)CCc3c[nH]c[nH+]3)CC2)nc1 ZINC001057250246 763076324 /nfs/dbraw/zinc/07/63/24/763076324.db2.gz RXAWNFDYRLDFFS-UHFFFAOYSA-N 1 2 324.388 1.348 20 30 DDEDLO N#Cc1ccc(N2CCCN(C(=O)CCc3c[nH+]c[nH]3)CC2)nc1 ZINC001057250246 763076325 /nfs/dbraw/zinc/07/63/25/763076325.db2.gz RXAWNFDYRLDFFS-UHFFFAOYSA-N 1 2 324.388 1.348 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H](CCC)C(C)C)CC1 ZINC001131388514 768108695 /nfs/dbraw/zinc/10/86/95/768108695.db2.gz PIQFTIMUXIXICD-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H](CCC)C(C)C)CC1 ZINC001131388514 768108697 /nfs/dbraw/zinc/10/86/97/768108697.db2.gz PIQFTIMUXIXICD-MRXNPFEDSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H](C)CN(C)c1nc(C)[nH+]c(C)c1C ZINC001108995124 763210284 /nfs/dbraw/zinc/21/02/84/763210284.db2.gz FEZOZSOEPMDQCL-FZMZJTMJSA-N 1 2 320.437 1.934 20 30 DDEDLO Cc1nc(NC2CCN(C(=O)c3ccc(C#N)[nH]3)CC2)cc[nH+]1 ZINC001057313063 763396276 /nfs/dbraw/zinc/39/62/76/763396276.db2.gz NLFGCKYVPKSGRZ-UHFFFAOYSA-N 1 2 310.361 1.702 20 30 DDEDLO C=CCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001050276924 763403298 /nfs/dbraw/zinc/40/32/98/763403298.db2.gz RSCFGBAFCPRLKF-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cnc(C)cn1 ZINC001109209667 763460572 /nfs/dbraw/zinc/46/05/72/763460572.db2.gz TWQDCWWTECRVIP-IKGGRYGDSA-N 1 2 312.417 1.810 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cnc(C)cn1 ZINC001109209667 763460575 /nfs/dbraw/zinc/46/05/75/763460575.db2.gz TWQDCWWTECRVIP-IKGGRYGDSA-N 1 2 312.417 1.810 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC#N ZINC001109222066 763481877 /nfs/dbraw/zinc/48/18/77/763481877.db2.gz GOONQAXNROSVIR-XGUBFFRZSA-N 1 2 320.437 1.174 20 30 DDEDLO CCCC[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC#N ZINC001109222066 763481884 /nfs/dbraw/zinc/48/18/84/763481884.db2.gz GOONQAXNROSVIR-XGUBFFRZSA-N 1 2 320.437 1.174 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC1CC1 ZINC001109351358 763592588 /nfs/dbraw/zinc/59/25/88/763592588.db2.gz XBRFKXOIOOCZEE-PMPSAXMXSA-N 1 2 319.449 1.590 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC1CC1 ZINC001109351358 763592592 /nfs/dbraw/zinc/59/25/92/763592592.db2.gz XBRFKXOIOOCZEE-PMPSAXMXSA-N 1 2 319.449 1.590 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@@H]1CN(c2ccc(C#N)nc2)C[C@H]1C ZINC001042114032 763667473 /nfs/dbraw/zinc/66/74/73/763667473.db2.gz UOMZDJGPHKCEFK-BDJLRTHQSA-N 1 2 324.388 1.168 20 30 DDEDLO N#Cc1ccc(NC2CCN(C(=O)CCc3c[nH]c[nH+]3)CC2)nc1 ZINC001057445874 763723108 /nfs/dbraw/zinc/72/31/08/763723108.db2.gz PRMUDDULUHLEML-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO N#Cc1ccc(NC2CCN(C(=O)CCc3c[nH+]c[nH]3)CC2)nc1 ZINC001057445874 763723113 /nfs/dbraw/zinc/72/31/13/763723113.db2.gz PRMUDDULUHLEML-UHFFFAOYSA-N 1 2 324.388 1.712 20 30 DDEDLO Cc1ccc(C#N)c(N2C[C@@H](C)[C@H](NC(=O)Cc3[nH]cc[nH+]3)C2)n1 ZINC001042158328 763723856 /nfs/dbraw/zinc/72/38/56/763723856.db2.gz OOZGYGOMDPUMMY-BXUZGUMPSA-N 1 2 324.388 1.168 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3cn(C)nn3)[C@@H]2C1 ZINC001042184842 763751415 /nfs/dbraw/zinc/75/14/15/763751415.db2.gz ZUVWVOLGNZSKTB-UKRRQHHQSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@H+](Cc3cn(C)nn3)[C@@H]2C1 ZINC001042184842 763751423 /nfs/dbraw/zinc/75/14/23/763751423.db2.gz ZUVWVOLGNZSKTB-UKRRQHHQSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001050574577 763769415 /nfs/dbraw/zinc/76/94/15/763769415.db2.gz UZIFTOSACDQLAN-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)CN1CC[C@@H]2CCN(C(=O)Cn3cc[nH+]c3C)C[C@H]21 ZINC001042355482 763956851 /nfs/dbraw/zinc/95/68/51/763956851.db2.gz MXMIVYLCMIEJAS-HUUCEWRRSA-N 1 2 322.840 1.867 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)c3[nH]ccc3C)c2C1 ZINC001069860333 768193545 /nfs/dbraw/zinc/19/35/45/768193545.db2.gz OEUSOAOXULESRX-UHFFFAOYSA-N 1 2 313.405 1.841 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)c3[nH]ccc3C)c2C1 ZINC001069860333 768193549 /nfs/dbraw/zinc/19/35/49/768193549.db2.gz OEUSOAOXULESRX-UHFFFAOYSA-N 1 2 313.405 1.841 20 30 DDEDLO N#Cc1cccnc1NC[C@H](NC(=O)CCn1cc[nH+]c1)C1CC1 ZINC001109954766 764236191 /nfs/dbraw/zinc/23/61/91/764236191.db2.gz XRYZXWOMJDLJGW-HNNXBMFYSA-N 1 2 324.388 1.547 20 30 DDEDLO C[C@@H]1CN(c2ccncc2C#N)CC[C@@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067401551 764237365 /nfs/dbraw/zinc/23/73/65/764237365.db2.gz AVLPUCZKDTYGAU-HIFRSBDPSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCO[C@H]1C(C)C ZINC001050924339 764287732 /nfs/dbraw/zinc/28/77/32/764287732.db2.gz DYWGVTAYUTTWCO-IKGGRYGDSA-N 1 2 324.465 1.831 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)[C@H]1CCCO[C@H]1C(C)C ZINC001050924339 764287735 /nfs/dbraw/zinc/28/77/35/764287735.db2.gz DYWGVTAYUTTWCO-IKGGRYGDSA-N 1 2 324.465 1.831 20 30 DDEDLO C[C@H]1CN(c2ccc(C#N)cn2)CC[C@H]1NC(=O)Cn1cc[nH+]c1 ZINC001067404024 764298583 /nfs/dbraw/zinc/29/85/83/764298583.db2.gz VBRVXJSGUJSVIG-DZGCQCFKSA-N 1 2 324.388 1.181 20 30 DDEDLO C=CCCC(=O)NCC1(Nc2[nH+]cnc3c2cnn3C)CC1 ZINC001110080013 764418358 /nfs/dbraw/zinc/41/83/58/764418358.db2.gz GSCXFJLDSGRNRU-UHFFFAOYSA-N 1 2 300.366 1.390 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cccnc1C ZINC001051090810 764496091 /nfs/dbraw/zinc/49/60/91/764496091.db2.gz IHQBTMIIYCQFHB-HNNXBMFYSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@@H]1CNC(=O)c1cccnc1C ZINC001051090810 764496095 /nfs/dbraw/zinc/49/60/95/764496095.db2.gz IHQBTMIIYCQFHB-HNNXBMFYSA-N 1 2 303.406 1.787 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@H]1CNC(=O)c1csc2cncn21 ZINC001051144924 764550345 /nfs/dbraw/zinc/55/03/45/764550345.db2.gz DZTLZUFRWAJNAJ-GFCCVEGCSA-N 1 2 320.418 1.403 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@H]1CNC(=O)c1csc2cncn21 ZINC001051144924 764550351 /nfs/dbraw/zinc/55/03/51/764550351.db2.gz DZTLZUFRWAJNAJ-GFCCVEGCSA-N 1 2 320.418 1.403 20 30 DDEDLO C=CCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)CCn2cc[nH+]c2)C1 ZINC001051287492 764715046 /nfs/dbraw/zinc/71/50/46/764715046.db2.gz ISVMXWGQJZVPGP-LSDHHAIUSA-N 1 2 318.421 1.593 20 30 DDEDLO C#CCCCCC(=O)N1CC[NH+](CCO[C@H]2CC2(F)F)CC1 ZINC001112775128 764746902 /nfs/dbraw/zinc/74/69/02/764746902.db2.gz HMYWPPSEEBXRPT-AWEZNQCLSA-N 1 2 314.376 1.748 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1Nc1ccc(C#N)nc1 ZINC001043295433 764816460 /nfs/dbraw/zinc/81/64/60/764816460.db2.gz PNRICGDWPQMGTK-BXUZGUMPSA-N 1 2 310.361 1.178 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2CCOc3c(F)cccc32)C1 ZINC001043297282 764818302 /nfs/dbraw/zinc/81/83/02/764818302.db2.gz ASGKFTYVZDAGCZ-OAHLLOKOSA-N 1 2 316.376 1.858 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@H]2CC(=O)Nc3ccccc32)C1 ZINC001043499443 764942196 /nfs/dbraw/zinc/94/21/96/764942196.db2.gz RZOAQOISWPFNLR-HNNXBMFYSA-N 1 2 313.401 1.831 20 30 DDEDLO C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001112993508 765102676 /nfs/dbraw/zinc/10/26/76/765102676.db2.gz IUMUSTNFVHGSJN-NWDGAFQWSA-N 1 2 312.377 1.614 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCC2(C)C)[C@@H](n2ccnn2)C1 ZINC001070004760 768274099 /nfs/dbraw/zinc/27/40/99/768274099.db2.gz SKWFFJDMNSJVST-KFWWJZLASA-N 1 2 315.421 1.079 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CCC2(C)C)[C@@H](n2ccnn2)C1 ZINC001070004760 768274102 /nfs/dbraw/zinc/27/41/02/768274102.db2.gz SKWFFJDMNSJVST-KFWWJZLASA-N 1 2 315.421 1.079 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H](C)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113137761 765325554 /nfs/dbraw/zinc/32/55/54/765325554.db2.gz GZCNZPMYOBNTEA-WDEREUQCSA-N 1 2 312.377 1.533 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccccc3F)C2)CC1 ZINC001051973430 765332680 /nfs/dbraw/zinc/33/26/80/765332680.db2.gz WBYZZUFOUDXWBP-HNNXBMFYSA-N 1 2 317.408 1.844 20 30 DDEDLO C=C(C)CN1CC[NH+]([C@@H]2CCN(C(=O)Cc3ccon3)C2)CC1 ZINC001052001626 765367545 /nfs/dbraw/zinc/36/75/45/765367545.db2.gz XDFPMECCYUUFGP-MRXNPFEDSA-N 1 2 318.421 1.012 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3oc(C)cc3C)C2)CC1 ZINC001052006194 765373588 /nfs/dbraw/zinc/37/35/88/765373588.db2.gz NNKRFEASDWGBQE-INIZCTEOSA-N 1 2 317.433 1.915 20 30 DDEDLO CC#CC[NH+]1CCN([C@@H]2CCN(C(=O)Cc3ccoc3)C2)CC1 ZINC001052014595 765384891 /nfs/dbraw/zinc/38/48/91/765384891.db2.gz IDUOJDFPEQQQGM-QGZVFWFLSA-N 1 2 315.417 1.064 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@]2(c3ccccc3)CCCO2)C1 ZINC001044230502 765411282 /nfs/dbraw/zinc/41/12/82/765411282.db2.gz OVSWFTMLOYCTLP-IBGZPJMESA-N 1 2 312.413 1.858 20 30 DDEDLO C=CCN1CC[NH+]([C@H]2CCN(C(=O)c3ccsn3)C2)CC1 ZINC001052040404 765413412 /nfs/dbraw/zinc/41/34/12/765413412.db2.gz FLMHPJFTWKJBBD-ZDUSSCGKSA-N 1 2 306.435 1.161 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C(C)(C)C(=C)C)C2)CC1 ZINC001052059375 765431311 /nfs/dbraw/zinc/43/13/11/765431311.db2.gz HXSFBKQBZYMDKB-MRXNPFEDSA-N 1 2 303.450 1.440 20 30 DDEDLO CCN(CCCNC(=O)Cc1c[nH]c[nH+]1)c1ccc(C#N)nc1 ZINC001095973195 765446862 /nfs/dbraw/zinc/44/68/62/765446862.db2.gz RARXFDOHFMVBIL-UHFFFAOYSA-N 1 2 312.377 1.252 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ccc(OCC)c(OC)c2)C1 ZINC001044284758 765449055 /nfs/dbraw/zinc/44/90/55/765449055.db2.gz UCYGBJBQIVFXDL-UHFFFAOYSA-N 1 2 316.401 1.873 20 30 DDEDLO CC(C)C1(C(=O)N2CC[C@H]([NH+]3CCN(CC#N)CC3)C2)CC1 ZINC001052078796 765451051 /nfs/dbraw/zinc/45/10/51/765451051.db2.gz BCFDMGHLBKKOKK-HNNXBMFYSA-N 1 2 304.438 1.165 20 30 DDEDLO C=C1CC(C)(C(=O)N2CC[C@@H]([NH+]3CCN(CC#N)CC3)C2)C1 ZINC001052080602 765453702 /nfs/dbraw/zinc/45/37/02/765453702.db2.gz DLUXOKDTTHVTAP-OAHLLOKOSA-N 1 2 302.422 1.085 20 30 DDEDLO C=CCNC(=O)C[N@H+]1C[C@H](NC(=O)c2ccc(C)o2)CC[C@H]1C ZINC001131768421 768334282 /nfs/dbraw/zinc/33/42/82/768334282.db2.gz QFGXWTWTASKQEZ-TZMCWYRMSA-N 1 2 319.405 1.473 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1C[C@H](NC(=O)c2ccc(C)o2)CC[C@H]1C ZINC001131768421 768334289 /nfs/dbraw/zinc/33/42/89/768334289.db2.gz QFGXWTWTASKQEZ-TZMCWYRMSA-N 1 2 319.405 1.473 20 30 DDEDLO Cc1ccc(C#N)c(N(C)[C@H](C)CNC(=O)Cc2[nH]cc[nH+]2)n1 ZINC001113695872 766028008 /nfs/dbraw/zinc/02/80/08/766028008.db2.gz MVAOCOKNTLDLIA-GFCCVEGCSA-N 1 2 312.377 1.168 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC[NH+](CCn2nc(C)cc2C)CC1 ZINC001113712676 766051608 /nfs/dbraw/zinc/05/16/08/766051608.db2.gz CNWSHTXCGWJYPF-INIZCTEOSA-N 1 2 320.437 1.235 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)CNC(=O)CC2CCCCC2)CC1 ZINC001113734959 766079721 /nfs/dbraw/zinc/07/97/21/766079721.db2.gz ADEIRRDAZNSFHK-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H]1C[NH2+]Cc1cnsn1 ZINC001045208077 766157907 /nfs/dbraw/zinc/15/79/07/766157907.db2.gz UVASAEDFOIVPRC-MJBXVCDLSA-N 1 2 322.434 1.210 20 30 DDEDLO Cc1cc(N2CCC(NC(=O)Cc3c[nH]c[nH+]3)CC2)c(C#N)cn1 ZINC001057959509 766158629 /nfs/dbraw/zinc/15/86/29/766158629.db2.gz IILDAHRKOLCYDX-UHFFFAOYSA-N 1 2 324.388 1.313 20 30 DDEDLO Cc1nc(N2CCC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001058130730 766259515 /nfs/dbraw/zinc/25/95/15/766259515.db2.gz QGBAVFPHUKLQSB-CYBMUJFWSA-N 1 2 310.361 1.384 20 30 DDEDLO C[C@H](CNC(=O)[C@H]1CCn2c[nH+]cc2C1)Nc1ccc(C#N)cn1 ZINC001098116384 768361332 /nfs/dbraw/zinc/36/13/32/768361332.db2.gz XQKWMBGHVXBQCX-OCCSQVGLSA-N 1 2 324.388 1.329 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H](C)N(C)c1cc[nH+]c(C)n1 ZINC001113933560 766423484 /nfs/dbraw/zinc/42/34/84/766423484.db2.gz WOVBBFGASUFMFG-NOLJZWGESA-N 1 2 318.421 1.707 20 30 DDEDLO CN(C[C@H]1CCCN1C(=O)Cc1[nH]cc[nH+]1)c1ccc(C#N)nc1 ZINC001067772570 766695309 /nfs/dbraw/zinc/69/53/09/766695309.db2.gz XGSRANVHDLLATI-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO N#CCN1CC[C@@]2(C1)CCCCN(C(=O)CCn1cc[nH+]c1)C2 ZINC001045958672 766739492 /nfs/dbraw/zinc/73/94/92/766739492.db2.gz VXMWTYAADDILAB-QGZVFWFLSA-N 1 2 315.421 1.501 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C4CC4)o3)C[C@H]21 ZINC001114197327 766747104 /nfs/dbraw/zinc/74/71/04/766747104.db2.gz XAITYDBHKXQPAH-VIKVFOODSA-N 1 2 314.389 1.297 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C4CC4)o3)C[C@H]21 ZINC001114197327 766747110 /nfs/dbraw/zinc/74/71/10/766747110.db2.gz XAITYDBHKXQPAH-VIKVFOODSA-N 1 2 314.389 1.297 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001114237609 766802461 /nfs/dbraw/zinc/80/24/61/766802461.db2.gz PBTBATGJTHKGDO-UOIKSKOESA-N 1 2 313.401 1.758 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncc(C4CC4)o3)C[C@H]21 ZINC001114237609 766802471 /nfs/dbraw/zinc/80/24/71/766802471.db2.gz PBTBATGJTHKGDO-UOIKSKOESA-N 1 2 313.401 1.758 20 30 DDEDLO C=C(Cl)C(C)(C)C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1 ZINC001046032934 766839424 /nfs/dbraw/zinc/83/94/24/766839424.db2.gz KGLSORAYGSLAFO-AWEZNQCLSA-N 1 2 324.856 1.507 20 30 DDEDLO N#CCN1CC[C@H]([NH+]2CCN(C(=O)[C@H]3CC34CCCC4)CC2)C1 ZINC001046074878 766889625 /nfs/dbraw/zinc/88/96/25/766889625.db2.gz JFYJFHSBRRXQGV-JKSUJKDBSA-N 1 2 316.449 1.309 20 30 DDEDLO CCC1(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)CCCC1 ZINC001046074377 766889693 /nfs/dbraw/zinc/88/96/93/766889693.db2.gz ZTZUIMCJOXVETM-MRXNPFEDSA-N 1 2 318.465 1.699 20 30 DDEDLO Cc1conc1C[N@@H+]1CC[C@](C)(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001046247978 767290042 /nfs/dbraw/zinc/29/00/42/767290042.db2.gz KPUSSRWSZCJMNK-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO Cc1conc1C[N@H+]1CC[C@](C)(NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001046247978 767290045 /nfs/dbraw/zinc/29/00/45/767290045.db2.gz KPUSSRWSZCJMNK-INIZCTEOSA-N 1 2 313.361 1.577 20 30 DDEDLO C[C@]1(NC(=O)c2ccc(C#N)[nH]2)CC[N@H+](Cc2nccs2)C1 ZINC001046251499 767298688 /nfs/dbraw/zinc/29/86/88/767298688.db2.gz OFPJSSLZEFIRCF-HNNXBMFYSA-N 1 2 315.402 1.737 20 30 DDEDLO C[C@]1(NC(=O)c2ccc(C#N)[nH]2)CC[N@@H+](Cc2nccs2)C1 ZINC001046251499 767298689 /nfs/dbraw/zinc/29/86/89/767298689.db2.gz OFPJSSLZEFIRCF-HNNXBMFYSA-N 1 2 315.402 1.737 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N[C@H]1C[C@H](CNc2ccc(C#N)nc2)C1 ZINC001068510404 767384475 /nfs/dbraw/zinc/38/44/75/767384475.db2.gz FPLYNLSOWOFGIV-NNUKFRKNSA-N 1 2 324.388 1.534 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001046617204 767742585 /nfs/dbraw/zinc/74/25/85/767742585.db2.gz QMMDWAVYMQJFAY-YWPYICTPSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001046617204 767742589 /nfs/dbraw/zinc/74/25/89/767742589.db2.gz QMMDWAVYMQJFAY-YWPYICTPSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cc(COC)on2)C1 ZINC001046677496 767795115 /nfs/dbraw/zinc/79/51/15/767795115.db2.gz BEVCNSGHXBFQDF-CQSZACIVSA-N 1 2 313.785 1.768 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc(COC)on2)C1 ZINC001046677496 767795121 /nfs/dbraw/zinc/79/51/21/767795121.db2.gz BEVCNSGHXBFQDF-CQSZACIVSA-N 1 2 313.785 1.768 20 30 DDEDLO CCC[C@@H](C)C(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001130951267 767820277 /nfs/dbraw/zinc/82/02/77/767820277.db2.gz FORAHBCKYRNNRQ-MGPQQGTHSA-N 1 2 303.410 1.264 20 30 DDEDLO CN(C(=O)c1ccc[nH]1)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047302691 768387177 /nfs/dbraw/zinc/38/71/77/768387177.db2.gz XDNADMWWKRAGMB-ROUUACIJSA-N 1 2 323.396 1.184 20 30 DDEDLO CN(C(=O)c1ccc[nH]1)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047302691 768387183 /nfs/dbraw/zinc/38/71/83/768387183.db2.gz XDNADMWWKRAGMB-ROUUACIJSA-N 1 2 323.396 1.184 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)c2cncs2)CC[C@H]1C ZINC001131839929 768404372 /nfs/dbraw/zinc/40/43/72/768404372.db2.gz WUIBQUPKGIYVCE-CHWSQXEVSA-N 1 2 307.419 1.376 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)c2cncs2)CC[C@H]1C ZINC001131839929 768404377 /nfs/dbraw/zinc/40/43/77/768404377.db2.gz WUIBQUPKGIYVCE-CHWSQXEVSA-N 1 2 307.419 1.376 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)CN(C)C(C)=O)CC[C@@H]1C ZINC001131830801 768411220 /nfs/dbraw/zinc/41/12/20/768411220.db2.gz VEVGXXPKDXPULC-AAEUAGOBSA-N 1 2 301.818 1.186 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)CN(C)C(C)=O)CC[C@@H]1C ZINC001131830801 768411223 /nfs/dbraw/zinc/41/12/23/768411223.db2.gz VEVGXXPKDXPULC-AAEUAGOBSA-N 1 2 301.818 1.186 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)c2cnc(C)s2)CC[C@H]1C ZINC001131861128 768418180 /nfs/dbraw/zinc/41/81/80/768418180.db2.gz GVWGKZHHKGXHDP-OCCSQVGLSA-N 1 2 321.446 1.684 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)c2cnc(C)s2)CC[C@H]1C ZINC001131861128 768418185 /nfs/dbraw/zinc/41/81/85/768418185.db2.gz GVWGKZHHKGXHDP-OCCSQVGLSA-N 1 2 321.446 1.684 20 30 DDEDLO C#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cscc2C(F)F)C1 ZINC001047383594 768438944 /nfs/dbraw/zinc/43/89/44/768438944.db2.gz JZTBBHODUVKVGT-RYUDHWBXSA-N 1 2 314.357 1.436 20 30 DDEDLO C#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cscc2C(F)F)C1 ZINC001047383594 768438948 /nfs/dbraw/zinc/43/89/48/768438948.db2.gz JZTBBHODUVKVGT-RYUDHWBXSA-N 1 2 314.357 1.436 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NCC[NH2+]Cc1nc(CCC)no1 ZINC001131866840 768442837 /nfs/dbraw/zinc/44/28/37/768442837.db2.gz DGJOZLAHULGJNQ-MRXNPFEDSA-N 1 2 324.425 1.457 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)Cc1[nH]cc[nH+]1)C2 ZINC001047391462 768444958 /nfs/dbraw/zinc/44/49/58/768444958.db2.gz SOSVICRHKDWGRP-IHRRRGAJSA-N 1 2 316.405 1.414 20 30 DDEDLO CCn1nncc1C[N@@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132017785 768574632 /nfs/dbraw/zinc/57/46/32/768574632.db2.gz SGISKJQZYMTTMC-HUUCEWRRSA-N 1 2 317.437 1.427 20 30 DDEDLO CCn1nncc1C[N@H+]1C[C@H](NC(=O)C#CC(C)C)CC[C@H]1C ZINC001132017785 768574635 /nfs/dbraw/zinc/57/46/35/768574635.db2.gz SGISKJQZYMTTMC-HUUCEWRRSA-N 1 2 317.437 1.427 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[C@@H](C)[N@@H+](Cc2cnnn2C)C1 ZINC001132068838 768604029 /nfs/dbraw/zinc/60/40/29/768604029.db2.gz KMVLRPZQOPWPHR-ZIAGYGMSSA-N 1 2 319.453 1.887 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cnnn2C)C1 ZINC001132068838 768604032 /nfs/dbraw/zinc/60/40/32/768604032.db2.gz KMVLRPZQOPWPHR-ZIAGYGMSSA-N 1 2 319.453 1.887 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](CCS(C)(=O)=O)C1 ZINC001132063839 768612712 /nfs/dbraw/zinc/61/27/12/768612712.db2.gz ACVMFBHFGRXSGE-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO C=C(C)CCC(=O)N[C@H]1CC[C@@H](C)[N@H+](CCS(C)(=O)=O)C1 ZINC001132063839 768612717 /nfs/dbraw/zinc/61/27/17/768612717.db2.gz ACVMFBHFGRXSGE-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3ccccc3o2)C1 ZINC001047618085 768615315 /nfs/dbraw/zinc/61/53/15/768615315.db2.gz NGGRBINEUZCJRT-GJZGRUSLSA-N 1 2 312.369 1.573 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc3ccccc3o2)C1 ZINC001047618085 768615319 /nfs/dbraw/zinc/61/53/19/768615319.db2.gz NGGRBINEUZCJRT-GJZGRUSLSA-N 1 2 312.369 1.573 20 30 DDEDLO CC#CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2csc(C3CC3)n2)C1 ZINC001047648271 768636471 /nfs/dbraw/zinc/63/64/71/768636471.db2.gz WEMXVEJHNGFFGK-KBPBESRZSA-N 1 2 319.430 1.161 20 30 DDEDLO CC#CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2csc(C3CC3)n2)C1 ZINC001047648271 768636475 /nfs/dbraw/zinc/63/64/75/768636475.db2.gz WEMXVEJHNGFFGK-KBPBESRZSA-N 1 2 319.430 1.161 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1CN(C(=O)[C@H]2CCC2(C)C)C[C@H]1NCC#N ZINC001070679709 768666132 /nfs/dbraw/zinc/66/61/32/768666132.db2.gz KJOHOWAWEPFKCL-MGPQQGTHSA-N 1 2 315.421 1.264 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+][C@@H](C)c2nc(CC)no2)cc1 ZINC001132226834 768697294 /nfs/dbraw/zinc/69/72/94/768697294.db2.gz QECWVQVNPUTDFH-LBPRGKRZSA-N 1 2 312.373 1.694 20 30 DDEDLO C#CCNC(=O)C[N@H+]1C[C@@H](NC(=O)C2(C)CC=CC2)CC[C@H]1C ZINC001132265520 768720472 /nfs/dbraw/zinc/72/04/72/768720472.db2.gz OCEDLNQQFQGTEC-CABCVRRESA-N 1 2 317.433 1.061 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1C[C@@H](NC(=O)C2(C)CC=CC2)CC[C@H]1C ZINC001132265520 768720477 /nfs/dbraw/zinc/72/04/77/768720477.db2.gz OCEDLNQQFQGTEC-CABCVRRESA-N 1 2 317.433 1.061 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH2+]Cc1nc(-c2ccoc2)no1 ZINC001132402669 768807657 /nfs/dbraw/zinc/80/76/57/768807657.db2.gz UTRGJGWTINRUJG-UHFFFAOYSA-N 1 2 304.350 1.748 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C(C)C)CC1 ZINC001070965826 768819326 /nfs/dbraw/zinc/81/93/26/768819326.db2.gz VTTKRZYVYCHLLY-UHFFFAOYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C(C)(C)C(C)C)CC1 ZINC001070965826 768819338 /nfs/dbraw/zinc/81/93/38/768819338.db2.gz VTTKRZYVYCHLLY-UHFFFAOYSA-N 1 2 309.454 1.505 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC[C@H]3C[C@H]32)CC1 ZINC001070975666 768828666 /nfs/dbraw/zinc/82/86/66/768828666.db2.gz WVOUJSGLQMPUJB-ARFHVFGLSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2CCC[C@H]3C[C@H]32)CC1 ZINC001070975666 768828680 /nfs/dbraw/zinc/82/86/80/768828680.db2.gz WVOUJSGLQMPUJB-ARFHVFGLSA-N 1 2 319.449 1.259 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)c2ccc(Cl)[nH]2)CC1 ZINC001070997544 768859215 /nfs/dbraw/zinc/85/92/15/768859215.db2.gz OXUPYFDQSYJAJL-UHFFFAOYSA-N 1 2 324.812 1.118 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)c2ccc(Cl)[nH]2)CC1 ZINC001070997544 768859229 /nfs/dbraw/zinc/85/92/29/768859229.db2.gz OXUPYFDQSYJAJL-UHFFFAOYSA-N 1 2 324.812 1.118 20 30 DDEDLO C=C(C)C[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC23CC3)CC1 ZINC001071004856 768867878 /nfs/dbraw/zinc/86/78/78/768867878.db2.gz URIWPQDHNOAVKG-CQSZACIVSA-N 1 2 305.422 1.013 20 30 DDEDLO C=C(C)C[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC23CC3)CC1 ZINC001071004856 768867896 /nfs/dbraw/zinc/86/78/96/768867896.db2.gz URIWPQDHNOAVKG-CQSZACIVSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC[C@@H](C)C2)CC1 ZINC001071005806 768869481 /nfs/dbraw/zinc/86/94/81/768869481.db2.gz JDBXBYCGGADTMS-CABCVRRESA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@H]2CC[C@@H](C)C2)CC1 ZINC001071005806 768869497 /nfs/dbraw/zinc/86/94/97/768869497.db2.gz JDBXBYCGGADTMS-CABCVRRESA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC(C)(C)C(=O)NCC[NH+]1CCN(c2cc(F)ncn2)CC1 ZINC001096293447 769058294 /nfs/dbraw/zinc/05/82/94/769058294.db2.gz JZWTVJPMQHMJPD-UHFFFAOYSA-N 1 2 321.400 1.066 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)C2=COCCO2)CC[C@@H]1C ZINC001071316372 769278247 /nfs/dbraw/zinc/27/82/47/769278247.db2.gz CXIBKLYGWRZPKB-NWDGAFQWSA-N 1 2 300.786 1.596 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)C2=COCCO2)CC[C@@H]1C ZINC001071316372 769278252 /nfs/dbraw/zinc/27/82/52/769278252.db2.gz CXIBKLYGWRZPKB-NWDGAFQWSA-N 1 2 300.786 1.596 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001071416538 769403119 /nfs/dbraw/zinc/40/31/19/769403119.db2.gz VNMUNCCIRYICNM-CXAGYDPISA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)Cc2ccnn2C)CC[C@@H]1C ZINC001071466906 769479298 /nfs/dbraw/zinc/47/92/98/769479298.db2.gz IPGCKNMMOWFWRW-STQMWFEESA-N 1 2 310.829 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)Cc2ccnn2C)CC[C@@H]1C ZINC001071466906 769479306 /nfs/dbraw/zinc/47/93/06/769479306.db2.gz IPGCKNMMOWFWRW-STQMWFEESA-N 1 2 310.829 1.684 20 30 DDEDLO Cc1cn(C(C)(C)C(=O)N[C@H]2CC[C@@H](C)N(CC#N)C2)c[nH+]1 ZINC001071606340 769703091 /nfs/dbraw/zinc/70/30/91/769703091.db2.gz IBVJQQNYUWPEEF-KGLIPLIRSA-N 1 2 303.410 1.419 20 30 DDEDLO C=C1CCC(C(=O)NCC[NH2+]Cc2nnc(OCC)s2)CC1 ZINC001133375912 769766346 /nfs/dbraw/zinc/76/63/46/769766346.db2.gz NZBCNFJIXDKZFR-UHFFFAOYSA-N 1 2 324.450 1.889 20 30 DDEDLO C=CCCC1(C(=O)NCC[NH2+]Cc2nc([C@@H](C)OC)no2)CC1 ZINC001133375985 769766721 /nfs/dbraw/zinc/76/67/21/769766721.db2.gz QUDXWNXQEUNQBJ-GFCCVEGCSA-N 1 2 322.409 1.729 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCN(c2[nH+]cnc3c2cnn3C)C1 ZINC001096435087 770033178 /nfs/dbraw/zinc/03/31/78/770033178.db2.gz AUXYEIYCQWNFJK-GFCCVEGCSA-N 1 2 314.393 1.415 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2[C@@H]1CC[N@@H+]2Cc1ncccn1 ZINC001049468122 770892612 /nfs/dbraw/zinc/89/26/12/770892612.db2.gz SNKIDTMCCPZFFI-CVEARBPZSA-N 1 2 310.401 1.455 20 30 DDEDLO O=C(C#CC1CC1)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1ncccn1 ZINC001049468122 770892623 /nfs/dbraw/zinc/89/26/23/770892623.db2.gz SNKIDTMCCPZFFI-CVEARBPZSA-N 1 2 310.401 1.455 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001072481589 770957300 /nfs/dbraw/zinc/95/73/00/770957300.db2.gz SOUTZHWHMOXTDB-SWLSCSKDSA-N 1 2 316.405 1.027 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CN(C(=O)CCc2[nH+]ccn2C)C[C@@H]1C ZINC001072510036 771000333 /nfs/dbraw/zinc/00/03/33/771000333.db2.gz JCAQNSTWEWZILJ-UONOGXRCSA-N 1 2 318.421 1.282 20 30 DDEDLO C[C@H](CC(=O)N1CCC[C@H]2[C@H]1CCN2CC#N)n1cc[nH+]c1 ZINC001049758032 771136800 /nfs/dbraw/zinc/13/68/00/771136800.db2.gz FVLBMFQQBDBYKS-QLFBSQMISA-N 1 2 301.394 1.423 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCC[NH2+][C@H](C)c1ncc(C)o1 ZINC001134817244 771194808 /nfs/dbraw/zinc/19/48/08/771194808.db2.gz RPRITAUQUVRBDE-OCCSQVGLSA-N 1 2 322.409 1.221 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891235 771237271 /nfs/dbraw/zinc/23/72/71/771237271.db2.gz DUWNHHMRYNOYQN-IAGOWNOFSA-N 1 2 323.400 1.660 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc2[nH]nnc2c1 ZINC001049891235 771237274 /nfs/dbraw/zinc/23/72/74/771237274.db2.gz DUWNHHMRYNOYQN-IAGOWNOFSA-N 1 2 323.400 1.660 20 30 DDEDLO C=CCCC(=O)NC[C@H](Nc1[nH+]cnc2c1cnn2C)C1CC1 ZINC001096593387 771294997 /nfs/dbraw/zinc/29/49/97/771294997.db2.gz GPCTVOZFGYSACX-ZDUSSCGKSA-N 1 2 314.393 1.636 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CC2=CCOCC2)[C@@H](O)C1 ZINC001090544798 771981192 /nfs/dbraw/zinc/98/11/92/771981192.db2.gz XJIHXUJDTQBHFB-KGLIPLIRSA-N 1 2 314.813 1.027 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CC2=CCOCC2)[C@@H](O)C1 ZINC001090544798 771981195 /nfs/dbraw/zinc/98/11/95/771981195.db2.gz XJIHXUJDTQBHFB-KGLIPLIRSA-N 1 2 314.813 1.027 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccn(C)c2C)[C@@H](O)C1 ZINC001090555583 771987448 /nfs/dbraw/zinc/98/74/48/771987448.db2.gz MIXXNXMMQYSDKM-KGLIPLIRSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccn(C)c2C)[C@@H](O)C1 ZINC001090555583 771987451 /nfs/dbraw/zinc/98/74/51/771987451.db2.gz MIXXNXMMQYSDKM-KGLIPLIRSA-N 1 2 311.813 1.251 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)Cc2cccnc2C)[C@H](O)C1 ZINC001090573421 771999955 /nfs/dbraw/zinc/99/99/55/771999955.db2.gz MMBDYHRTKCVBBM-LSDHHAIUSA-N 1 2 323.824 1.236 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)Cc2cccnc2C)[C@H](O)C1 ZINC001090573421 771999959 /nfs/dbraw/zinc/99/99/59/771999959.db2.gz MMBDYHRTKCVBBM-LSDHHAIUSA-N 1 2 323.824 1.236 20 30 DDEDLO C[C@@H]1CN(c2ncccc2C#N)C[C@H]1NC(=O)CCc1[nH]cc[nH+]1 ZINC001090696181 772121070 /nfs/dbraw/zinc/12/10/70/772121070.db2.gz ZPJGEYOLKCAUSX-TZMCWYRMSA-N 1 2 324.388 1.250 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2n[nH]c3ccccc32)[C@@H](O)C1 ZINC001090773253 772189682 /nfs/dbraw/zinc/18/96/82/772189682.db2.gz BSJULIKAXQPWAR-GJZGRUSLSA-N 1 2 314.389 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](NC(=O)c2n[nH]c3ccccc32)[C@@H](O)C1 ZINC001090773253 772189686 /nfs/dbraw/zinc/18/96/86/772189686.db2.gz BSJULIKAXQPWAR-GJZGRUSLSA-N 1 2 314.389 1.304 20 30 DDEDLO C=CCCOCC(=O)N1C[C@@H](C)[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001090987039 772410697 /nfs/dbraw/zinc/41/06/97/772410697.db2.gz NSAFNBXZPNVZDD-TZMCWYRMSA-N 1 2 304.394 1.637 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@@H+]([C@H](C)c1nncn1C)C2 ZINC001147427853 773130245 /nfs/dbraw/zinc/13/02/45/773130245.db2.gz AEERPYDVGCQAIW-CQSZACIVSA-N 1 2 315.421 1.214 20 30 DDEDLO C#CCCCC(=O)N1CC2(C1)CC[N@H+]([C@H](C)c1nncn1C)C2 ZINC001147427853 773130247 /nfs/dbraw/zinc/13/02/47/773130247.db2.gz AEERPYDVGCQAIW-CQSZACIVSA-N 1 2 315.421 1.214 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)CCOC(C)C)C1 ZINC001149222462 773208475 /nfs/dbraw/zinc/20/84/75/773208475.db2.gz YIPMWZWNXPTKTJ-AWEZNQCLSA-N 1 2 318.845 1.761 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)CCOC(C)C)C1 ZINC001149222462 773208478 /nfs/dbraw/zinc/20/84/78/773208478.db2.gz YIPMWZWNXPTKTJ-AWEZNQCLSA-N 1 2 318.845 1.761 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@@H+](Cc1nccn1C)C2 ZINC001148193914 773374973 /nfs/dbraw/zinc/37/49/73/773374973.db2.gz MSMIYCDHSYWZCT-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C=CCCCC(=O)N1CC2(C1)CC[N@H+](Cc1nccn1C)C2 ZINC001148193914 773374980 /nfs/dbraw/zinc/37/49/80/773374980.db2.gz MSMIYCDHSYWZCT-UHFFFAOYSA-N 1 2 302.422 1.811 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001074101372 773631982 /nfs/dbraw/zinc/63/19/82/773631982.db2.gz MEBNTRMVMHPTAJ-STQMWFEESA-N 1 2 318.421 1.518 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3C)C[C@H]21 ZINC001074155012 773679666 /nfs/dbraw/zinc/67/96/66/773679666.db2.gz NCQBWWWCPUMZIB-MSOLQXFVSA-N 1 2 312.413 1.934 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3ccccc3C)C[C@H]21 ZINC001074155012 773679669 /nfs/dbraw/zinc/67/96/69/773679669.db2.gz NCQBWWWCPUMZIB-MSOLQXFVSA-N 1 2 312.413 1.934 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)Cn3cc(C)cn3)C[C@H]21 ZINC001074176931 773698402 /nfs/dbraw/zinc/69/84/02/773698402.db2.gz CTQJJRGEHCVGOU-HZPDHXFCSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)Cn3cc(C)cn3)C[C@H]21 ZINC001074176931 773698404 /nfs/dbraw/zinc/69/84/04/773698404.db2.gz CTQJJRGEHCVGOU-HZPDHXFCSA-N 1 2 318.421 1.069 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccncc3C)C[C@H]21 ZINC001074233112 773756421 /nfs/dbraw/zinc/75/64/21/773756421.db2.gz LEOGHWDBCCLXRF-IAGOWNOFSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccncc3C)C[C@H]21 ZINC001074233112 773756424 /nfs/dbraw/zinc/75/64/24/773756424.db2.gz LEOGHWDBCCLXRF-IAGOWNOFSA-N 1 2 313.401 1.329 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CC(C)(C)C3)C[C@@H]21 ZINC001074233290 773756466 /nfs/dbraw/zinc/75/64/66/773756466.db2.gz NRTRDCDVUFKAEQ-JKSUJKDBSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CC(C)(C)C3)C[C@@H]21 ZINC001074233290 773756470 /nfs/dbraw/zinc/75/64/70/773756470.db2.gz NRTRDCDVUFKAEQ-JKSUJKDBSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3CC)C[C@H]21 ZINC001074233418 773756753 /nfs/dbraw/zinc/75/67/53/773756753.db2.gz PLHAJHYOJSQUSK-SJORKVTESA-N 1 2 315.417 1.516 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3cc[nH]c3CC)C[C@H]21 ZINC001074233418 773756754 /nfs/dbraw/zinc/75/67/54/773756754.db2.gz PLHAJHYOJSQUSK-SJORKVTESA-N 1 2 315.417 1.516 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccsc3C)C[C@H]21 ZINC001074233653 773757746 /nfs/dbraw/zinc/75/77/46/773757746.db2.gz UHAJRBVGFVCOQK-HZPDHXFCSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccsc3C)C[C@H]21 ZINC001074233653 773757748 /nfs/dbraw/zinc/75/77/48/773757748.db2.gz UHAJRBVGFVCOQK-HZPDHXFCSA-N 1 2 318.442 1.995 20 30 DDEDLO CC(C)=CC[N@@H+]1CCO[C@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001074237118 773759698 /nfs/dbraw/zinc/75/96/98/773759698.db2.gz UIYJTAIJVZZUHH-SJORKVTESA-N 1 2 302.418 1.668 20 30 DDEDLO CC(C)=CC[N@H+]1CCO[C@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001074237118 773759702 /nfs/dbraw/zinc/75/97/02/773759702.db2.gz UIYJTAIJVZZUHH-SJORKVTESA-N 1 2 302.418 1.668 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@H]21 ZINC001074242515 773766063 /nfs/dbraw/zinc/76/60/63/773766063.db2.gz YYGDXUFEDWZTTI-CHWSQXEVSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C(F)F)CC3)C[C@H]21 ZINC001074242515 773766068 /nfs/dbraw/zinc/76/60/68/773766068.db2.gz YYGDXUFEDWZTTI-CHWSQXEVSA-N 1 2 312.360 1.357 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)Cc3cncs3)C[C@@H]21 ZINC001074271643 773783767 /nfs/dbraw/zinc/78/37/67/773783767.db2.gz PKSUXNNZIIUJFM-GJZGRUSLSA-N 1 2 319.430 1.011 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)Cc3cncs3)C[C@@H]21 ZINC001074271643 773783768 /nfs/dbraw/zinc/78/37/68/773783768.db2.gz PKSUXNNZIIUJFM-GJZGRUSLSA-N 1 2 319.430 1.011 20 30 DDEDLO C[C@@H](CNC(=O)C[C@H](C)n1cc[nH+]c1)Nc1cncc(C#N)n1 ZINC001098302405 773793066 /nfs/dbraw/zinc/79/30/66/773793066.db2.gz KLMGROROMDPJRY-RYUDHWBXSA-N 1 2 313.365 1.113 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3csnn3)C[C@@H]21 ZINC001074309469 773812485 /nfs/dbraw/zinc/81/24/85/773812485.db2.gz FKHYZEJMMQJXTC-STQMWFEESA-N 1 2 308.407 1.030 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3csnn3)C[C@@H]21 ZINC001074309469 773812492 /nfs/dbraw/zinc/81/24/92/773812492.db2.gz FKHYZEJMMQJXTC-STQMWFEESA-N 1 2 308.407 1.030 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3csnn3)C[C@@H]21 ZINC001074309468 773812706 /nfs/dbraw/zinc/81/27/06/773812706.db2.gz FKHYZEJMMQJXTC-QWHCGFSZSA-N 1 2 308.407 1.030 20 30 DDEDLO C=CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3csnn3)C[C@@H]21 ZINC001074309468 773812714 /nfs/dbraw/zinc/81/27/14/773812714.db2.gz FKHYZEJMMQJXTC-QWHCGFSZSA-N 1 2 308.407 1.030 20 30 DDEDLO C=CCC[N@H+]1CCO[C@H]2CCN(C(=O)c3csc(C)n3)C[C@H]21 ZINC001074323732 773823801 /nfs/dbraw/zinc/82/38/01/773823801.db2.gz FPDXBPUUYSFGGP-CABCVRRESA-N 1 2 321.446 1.943 20 30 DDEDLO C=CCC[N@@H+]1CCO[C@H]2CCN(C(=O)c3csc(C)n3)C[C@H]21 ZINC001074323732 773823809 /nfs/dbraw/zinc/82/38/09/773823809.db2.gz FPDXBPUUYSFGGP-CABCVRRESA-N 1 2 321.446 1.943 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCOCC3)C[C@@H]21 ZINC001074353553 773849743 /nfs/dbraw/zinc/84/97/43/773849743.db2.gz FSJZJPKVDHIORS-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C)CCOCC3)C[C@@H]21 ZINC001074353553 773849748 /nfs/dbraw/zinc/84/97/48/773849748.db2.gz FSJZJPKVDHIORS-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C=CCCC(=O)N(C)CCOCCN(C)c1cc[nH+]c(C)n1 ZINC001091878333 773850984 /nfs/dbraw/zinc/85/09/84/773850984.db2.gz FWAWTFCOFOBNEA-UHFFFAOYSA-N 1 2 306.410 1.662 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3csc(C)c3)C[C@@H]21 ZINC001074357141 773851736 /nfs/dbraw/zinc/85/17/36/773851736.db2.gz VYIDRVGHTVHLBV-JKSUJKDBSA-N 1 2 318.442 1.995 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3csc(C)c3)C[C@@H]21 ZINC001074357141 773851739 /nfs/dbraw/zinc/85/17/39/773851739.db2.gz VYIDRVGHTVHLBV-JKSUJKDBSA-N 1 2 318.442 1.995 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2C[N@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001091910416 773856962 /nfs/dbraw/zinc/85/69/62/773856962.db2.gz WVWNUEBPUREFIQ-DYVFJYSZSA-N 1 2 318.421 1.952 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N1C[C@H]2C[N@@H+](Cc3cnon3)C[C@@]2(C)C1 ZINC001091910416 773856964 /nfs/dbraw/zinc/85/69/64/773856964.db2.gz WVWNUEBPUREFIQ-DYVFJYSZSA-N 1 2 318.421 1.952 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccccc1O)c1nccn12 ZINC001092344316 774064943 /nfs/dbraw/zinc/06/49/43/774064943.db2.gz OCUPQEWDXDZHIL-AWEZNQCLSA-N 1 2 324.384 1.660 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1cscn1)c1nccn12 ZINC001092352626 774070410 /nfs/dbraw/zinc/07/04/10/774070410.db2.gz OGGIGOLAESOMGB-NSHDSACASA-N 1 2 315.402 1.411 20 30 DDEDLO C[C@@H]1CN(c2ccc(C#N)cn2)C[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001092453791 774129255 /nfs/dbraw/zinc/12/92/55/774129255.db2.gz QYLSQDZUFLUAOU-TZMCWYRMSA-N 1 2 324.388 1.108 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H](C)C1 ZINC001074884668 774215410 /nfs/dbraw/zinc/21/54/10/774215410.db2.gz UKGLOMNFFZCFSI-STQMWFEESA-N 1 2 304.394 1.414 20 30 DDEDLO C[C@H](CNC(=O)c1cc2c[nH+]ccc2[nH]1)Nc1cncc(C#N)n1 ZINC001098373825 774553466 /nfs/dbraw/zinc/55/34/66/774553466.db2.gz WIECVIOTUTUCMA-SNVBAGLBSA-N 1 2 321.344 1.455 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)c1cnn(C)c1)C2 ZINC001098537813 774606334 /nfs/dbraw/zinc/60/63/34/774606334.db2.gz RQAHILUIORKIKR-MYPMTAMASA-N 1 2 322.840 1.998 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)c1cnn(C)c1)C2 ZINC001098537813 774606339 /nfs/dbraw/zinc/60/63/39/774606339.db2.gz RQAHILUIORKIKR-MYPMTAMASA-N 1 2 322.840 1.998 20 30 DDEDLO N#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001099019315 774774957 /nfs/dbraw/zinc/77/49/57/774774957.db2.gz FIBAVCZKVWDJCB-DCGLDWPTSA-N 1 2 313.405 1.324 20 30 DDEDLO N#Cc1c(Cl)nsc1NCCNC(=O)CCc1[nH]cc[nH+]1 ZINC001093582046 774857653 /nfs/dbraw/zinc/85/76/53/774857653.db2.gz IERRELGCILFZGS-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001099156787 774869479 /nfs/dbraw/zinc/86/94/79/774869479.db2.gz PORWCRBDCHKZLQ-KSSFIOAISA-N 1 2 323.400 1.566 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001099156787 774869485 /nfs/dbraw/zinc/86/94/85/774869485.db2.gz PORWCRBDCHKZLQ-KSSFIOAISA-N 1 2 323.400 1.566 20 30 DDEDLO C[C@H](CCNC(=O)Cc1c[nH+]cn1C)Nc1ccc(C#N)nc1 ZINC001099313342 774942919 /nfs/dbraw/zinc/94/29/19/774942919.db2.gz AOWXDLAVSQGCSH-GFCCVEGCSA-N 1 2 312.377 1.236 20 30 DDEDLO Cc1nsc(NCCNC(=O)CCc2c[nH+]cn2C)c1C#N ZINC001093784865 775076641 /nfs/dbraw/zinc/07/66/41/775076641.db2.gz CJRXGAOETRJWCB-UHFFFAOYSA-N 1 2 318.406 1.218 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCC2CCC2)[C@H](O)C1 ZINC001099774782 775264315 /nfs/dbraw/zinc/26/43/15/775264315.db2.gz DQBHSQSQZGZMTN-ZIAGYGMSSA-N 1 2 300.830 1.871 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCC2CCC2)[C@H](O)C1 ZINC001099774782 775264321 /nfs/dbraw/zinc/26/43/21/775264321.db2.gz DQBHSQSQZGZMTN-ZIAGYGMSSA-N 1 2 300.830 1.871 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099807802 775306650 /nfs/dbraw/zinc/30/66/50/775306650.db2.gz WEZBKRXUPFQQLA-CABCVRRESA-N 1 2 319.405 1.146 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@@H]1O ZINC001099807802 775306658 /nfs/dbraw/zinc/30/66/58/775306658.db2.gz WEZBKRXUPFQQLA-CABCVRRESA-N 1 2 319.405 1.146 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCNc1ncnc2c1C[N@H+](C)CC2 ZINC001094098824 775430966 /nfs/dbraw/zinc/43/09/66/775430966.db2.gz OCJASVNWIUXFOJ-KRWDZBQOSA-N 1 2 317.437 1.595 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCCNc1ncnc2c1C[N@@H+](C)CC2 ZINC001094098824 775430973 /nfs/dbraw/zinc/43/09/73/775430973.db2.gz OCJASVNWIUXFOJ-KRWDZBQOSA-N 1 2 317.437 1.595 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001099960049 775483150 /nfs/dbraw/zinc/48/31/50/775483150.db2.gz NGWNHTNTXQHZLO-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2cnc(C)nc2)C[C@H]1O ZINC001099960049 775483156 /nfs/dbraw/zinc/48/31/56/775483156.db2.gz NGWNHTNTXQHZLO-HUUCEWRRSA-N 1 2 318.421 1.049 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099975771 775506915 /nfs/dbraw/zinc/50/69/15/775506915.db2.gz GOFPWLYUPRASDR-HZPDHXFCSA-N 1 2 321.396 1.628 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CC[N@H+](Cc2cncc(F)c2)C[C@H]1O ZINC001099975771 775506923 /nfs/dbraw/zinc/50/69/23/775506923.db2.gz GOFPWLYUPRASDR-HZPDHXFCSA-N 1 2 321.396 1.628 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(CF)CCC2)[C@H](O)C1 ZINC001100013078 775567104 /nfs/dbraw/zinc/56/71/04/775567104.db2.gz HNHGOZLWBGHVSB-NWDGAFQWSA-N 1 2 304.793 1.430 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(CF)CCC2)[C@H](O)C1 ZINC001100013078 775567114 /nfs/dbraw/zinc/56/71/14/775567114.db2.gz HNHGOZLWBGHVSB-NWDGAFQWSA-N 1 2 304.793 1.430 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=C)Cl)C[C@H]1O ZINC001100020871 775582284 /nfs/dbraw/zinc/58/22/84/775582284.db2.gz UOYDBWURWGLGQW-CHWSQXEVSA-N 1 2 300.830 1.893 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=C)Cl)C[C@H]1O ZINC001100020871 775582292 /nfs/dbraw/zinc/58/22/92/775582292.db2.gz UOYDBWURWGLGQW-CHWSQXEVSA-N 1 2 300.830 1.893 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@]34C[C@H]3CCC4)nn2)C1 ZINC001094307136 775658544 /nfs/dbraw/zinc/65/85/44/775658544.db2.gz FCGIGKYHLISLIJ-CXAGYDPISA-N 1 2 315.421 1.517 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@H]3C3CC3)nn2)C1 ZINC001094326940 775705379 /nfs/dbraw/zinc/70/53/79/775705379.db2.gz UWYMYAPXQVDLPU-JKSUJKDBSA-N 1 2 315.421 1.373 20 30 DDEDLO O=C(C#CC1CC1)NCC1CC([NH2+]CC(F)(F)C(F)F)C1 ZINC001100315199 775984983 /nfs/dbraw/zinc/98/49/83/775984983.db2.gz YHKIPLXRTXKWNU-UHFFFAOYSA-N 1 2 306.303 1.785 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)c3cccc(C)c3)CC2=O)C1 ZINC001094699454 776209888 /nfs/dbraw/zinc/20/98/88/776209888.db2.gz QLKUEVCAIMMKEL-OAHLLOKOSA-N 1 2 313.401 1.196 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C34CCC(CC3)C4)CC2=O)C1 ZINC001094750483 776246613 /nfs/dbraw/zinc/24/66/13/776246613.db2.gz GVBJSJBNSIMMBQ-TXKKKEFDSA-N 1 2 317.433 1.154 20 30 DDEDLO C=C(C)C[NH+]1CC(N2C[C@@H](NC(=O)c3cocc3C)CC2=O)C1 ZINC001094772426 776262576 /nfs/dbraw/zinc/26/25/76/776262576.db2.gz SVZVTCIUSCJUOR-ZDUSSCGKSA-N 1 2 317.389 1.179 20 30 DDEDLO CC#CC[NH2+]C1CC(CNC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001100558907 776304124 /nfs/dbraw/zinc/30/41/24/776304124.db2.gz LAQZAZUHXSWTRT-UHFFFAOYSA-N 1 2 323.400 1.593 20 30 DDEDLO C[C@H](CCCCNCC#N)NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC001171606007 776367673 /nfs/dbraw/zinc/36/76/73/776367673.db2.gz SFXOKOGZRHFXRD-ZIAGYGMSSA-N 1 2 303.410 1.234 20 30 DDEDLO Cc1nc(N2CC[C@@H](CNC(=O)Cc3c[nH]c[nH+]3)C2)ccc1C#N ZINC001101063382 776871401 /nfs/dbraw/zinc/87/14/01/776871401.db2.gz ZZNBNMNKBGCSLD-ZDUSSCGKSA-N 1 2 324.388 1.170 20 30 DDEDLO COC(=O)[C@H](CS)[NH2+][C@@H]1CCc2ccc([N+](=O)[O-])cc2CC1 ZINC001172899217 776915176 /nfs/dbraw/zinc/91/51/76/776915176.db2.gz MHRMWWAGLMFAFL-OCCSQVGLSA-N 1 2 324.402 1.903 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2C[N@H+](Cc3cnns3)C[C@@]2(C)C1 ZINC001101230010 777001129 /nfs/dbraw/zinc/00/11/29/777001129.db2.gz RTCGBUDNCMKECV-CJNGLKHVSA-N 1 2 318.446 1.478 20 30 DDEDLO CC(C)C#CC(=O)N1C[C@H]2C[N@@H+](Cc3cnns3)C[C@@]2(C)C1 ZINC001101230010 777001132 /nfs/dbraw/zinc/00/11/32/777001132.db2.gz RTCGBUDNCMKECV-CJNGLKHVSA-N 1 2 318.446 1.478 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCCN(c1ccc(C#N)nc1)C1CC1 ZINC001101363329 777097307 /nfs/dbraw/zinc/09/73/07/777097307.db2.gz KVDYDHAARJBEAS-UHFFFAOYSA-N 1 2 324.388 1.014 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)/C=C(\C)C1CC1)c1nccn12 ZINC001101614535 777306938 /nfs/dbraw/zinc/30/69/38/777306938.db2.gz XIGCOJLQMNMCAD-HFQYIWAZSA-N 1 2 324.428 1.835 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)C#CC(C)(C)C)c1nccn12 ZINC001101629353 777323903 /nfs/dbraw/zinc/32/39/03/777323903.db2.gz QILFTSDIQVNBRJ-AWEZNQCLSA-N 1 2 312.417 1.691 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@H](NC(=O)CCCF)c1nccn12 ZINC001101637119 777334311 /nfs/dbraw/zinc/33/43/11/777334311.db2.gz DYYOLZQYVSLIRZ-ZDUSSCGKSA-N 1 2 304.369 1.228 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1(CC)CC1)c1nccn12 ZINC001101641601 777338445 /nfs/dbraw/zinc/33/84/45/777338445.db2.gz UYFWOWOGRVHQRI-CYBMUJFWSA-N 1 2 300.406 1.831 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)CC(C)(F)F)c1nccn12 ZINC001101642874 777340041 /nfs/dbraw/zinc/34/00/41/777340041.db2.gz ODRFVDIJQGRMHA-GFCCVEGCSA-N 1 2 322.359 1.524 20 30 DDEDLO C=CCOCC(=O)N1CC[C@@H](CN(C)c2cc[nH+]c(C)n2)C1 ZINC001102434493 778139025 /nfs/dbraw/zinc/13/90/25/778139025.db2.gz DGEAIAQVQBIOND-AWEZNQCLSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3CCC3)C[C@H]21 ZINC001176875233 778284640 /nfs/dbraw/zinc/28/46/40/778284640.db2.gz FQBBIOXEFLWFIU-HZPDHXFCSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3CCC3)C[C@H]21 ZINC001176875233 778284643 /nfs/dbraw/zinc/28/46/43/778284643.db2.gz FQBBIOXEFLWFIU-HZPDHXFCSA-N 1 2 308.422 1.291 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H]2CCN(C(=O)C(C)(F)F)C[C@H]21 ZINC001176883341 778292008 /nfs/dbraw/zinc/29/20/08/778292008.db2.gz XVNKLZHWOBQING-OLZOCXBDSA-N 1 2 318.364 1.146 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H]2CCN(C(=O)C(C)(F)F)C[C@H]21 ZINC001176883341 778292016 /nfs/dbraw/zinc/29/20/16/778292016.db2.gz XVNKLZHWOBQING-OLZOCXBDSA-N 1 2 318.364 1.146 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@H]2CCCN(c3ccccn3)C2)CC1 ZINC001176961089 778349197 /nfs/dbraw/zinc/34/91/97/778349197.db2.gz OVTFSWPPUBQRIE-INIZCTEOSA-N 1 2 314.433 1.628 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@H]3OCC[N@@H+](CCOC)[C@H]3C2)CC1 ZINC001177102384 778446783 /nfs/dbraw/zinc/44/67/83/778446783.db2.gz UXEDUNREWXNTKZ-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCCC1(C(=O)N2CC[C@H]3OCC[N@H+](CCOC)[C@H]3C2)CC1 ZINC001177102384 778446787 /nfs/dbraw/zinc/44/67/87/778446787.db2.gz UXEDUNREWXNTKZ-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N[C@H]1CCCCN(c2cc[nH+]c(C)n2)C1 ZINC001102857719 778454230 /nfs/dbraw/zinc/45/42/30/778454230.db2.gz GDFCIQQURUVNTJ-WMLDXEAASA-N 1 2 318.421 1.587 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2OCC[N@@H+](CC(=C)C)[C@@H]2C1 ZINC001177161180 778469174 /nfs/dbraw/zinc/46/91/74/778469174.db2.gz ABJUOHOYWIOFFY-HZPDHXFCSA-N 1 2 308.422 1.457 20 30 DDEDLO C=CCCOCC(=O)N1CC[C@H]2OCC[N@H+](CC(=C)C)[C@@H]2C1 ZINC001177161180 778469176 /nfs/dbraw/zinc/46/91/76/778469176.db2.gz ABJUOHOYWIOFFY-HZPDHXFCSA-N 1 2 308.422 1.457 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2csnn2)C[C@H]1CCNC(=O)C#CC1CC1 ZINC001102963352 778508244 /nfs/dbraw/zinc/50/82/44/778508244.db2.gz XYUNATTZFPDBDN-TZMCWYRMSA-N 1 2 318.446 1.526 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2csnn2)C[C@H]1CCNC(=O)C#CC1CC1 ZINC001102963352 778508248 /nfs/dbraw/zinc/50/82/48/778508248.db2.gz XYUNATTZFPDBDN-TZMCWYRMSA-N 1 2 318.446 1.526 20 30 DDEDLO Cc1nsc(NC2(CNC(=O)Cc3[nH]cc[nH+]3)CC2)c1C#N ZINC001110206983 778577669 /nfs/dbraw/zinc/57/76/69/778577669.db2.gz MBRCMURAYLAMFW-UHFFFAOYSA-N 1 2 316.390 1.350 20 30 DDEDLO CC[NH+]1CC(O)(CN(Cc2ccccc2)C(=O)[C@@H](C)C#N)C1 ZINC001177516540 778631741 /nfs/dbraw/zinc/63/17/41/778631741.db2.gz WGBCFOKFFMAVPF-AWEZNQCLSA-N 1 2 301.390 1.241 20 30 DDEDLO C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC001103163149 778682315 /nfs/dbraw/zinc/68/23/15/778682315.db2.gz YUUSYEUTFZYSRJ-KFWWJZLASA-N 1 2 315.421 1.043 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@@](C)(CNc1cc[nH+]c(C)n1)C1CC1 ZINC001103547230 778937566 /nfs/dbraw/zinc/93/75/66/778937566.db2.gz GJKGNFXREWQOHU-SJCJKPOMSA-N 1 2 316.405 1.520 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N1CC[C@H]([NH2+]Cc2nnc(C)o2)[C@@H]1C ZINC001178278091 778969991 /nfs/dbraw/zinc/96/99/91/778969991.db2.gz CYMFNEGBYQWFJW-OBJOEFQTSA-N 1 2 322.409 1.438 20 30 DDEDLO O=C(C#CC1CC1)N[C@]12CCC[C@H]1C[N@H+](Cc1ncccn1)C2 ZINC001111740728 779458633 /nfs/dbraw/zinc/45/86/33/779458633.db2.gz LPRXZQDGTHMWIV-YJBOKZPZSA-N 1 2 310.401 1.361 20 30 DDEDLO O=C(C#CC1CC1)N[C@]12CCC[C@H]1C[N@@H+](Cc1ncccn1)C2 ZINC001111740728 779458637 /nfs/dbraw/zinc/45/86/37/779458637.db2.gz LPRXZQDGTHMWIV-YJBOKZPZSA-N 1 2 310.401 1.361 20 30 DDEDLO N#Cc1ccc(NC2(CNC(=O)CCn3cc[nH+]c3)CCC2)nc1 ZINC001111876385 779534747 /nfs/dbraw/zinc/53/47/47/779534747.db2.gz KGWKDCMIXMOXNF-UHFFFAOYSA-N 1 2 324.388 1.691 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@]12CCC[C@H]1C[N@H+](Cc1cnon1)C2 ZINC001112018772 779598351 /nfs/dbraw/zinc/59/83/51/779598351.db2.gz NPKBCIQAFFWGMK-WWGRRREGSA-N 1 2 320.393 1.131 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@]12CCC[C@H]1C[N@@H+](Cc1cnon1)C2 ZINC001112018772 779598358 /nfs/dbraw/zinc/59/83/58/779598358.db2.gz NPKBCIQAFFWGMK-WWGRRREGSA-N 1 2 320.393 1.131 20 30 DDEDLO C=CCCC(=O)N1C[C@H](Nc2nc(N(C)C)nc(C)[nH+]2)[C@@H](C)C1 ZINC001112302687 779703859 /nfs/dbraw/zinc/70/38/59/779703859.db2.gz SKKQWWMIRSQNHM-AAEUAGOBSA-N 1 2 318.425 1.471 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001112401010 779759268 /nfs/dbraw/zinc/75/92/68/779759268.db2.gz VFBKVUFQXJCDFA-OCCSQVGLSA-N 1 2 304.394 1.319 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)C#CC(C)C)[C@H](C)C2)cc[nH+]1 ZINC001112409557 779765519 /nfs/dbraw/zinc/76/55/19/779765519.db2.gz MPJCGHNRHVJGIP-HIFRSBDPSA-N 1 2 300.406 1.775 20 30 DDEDLO Cc1ccc(C(=O)NCC[NH+]2CCN(CC#N)CC2)cc1Cl ZINC001266292524 836065153 /nfs/dbraw/zinc/06/51/53/836065153.db2.gz LPRDWBLSSDSSTE-UHFFFAOYSA-N 1 2 320.824 1.519 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccoc1CC ZINC001266461518 836293840 /nfs/dbraw/zinc/29/38/40/836293840.db2.gz QRFVKMYHXDBLEW-CYBMUJFWSA-N 1 2 319.405 1.338 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccoc1CC ZINC001266461518 836293849 /nfs/dbraw/zinc/29/38/49/836293849.db2.gz QRFVKMYHXDBLEW-CYBMUJFWSA-N 1 2 319.405 1.338 20 30 DDEDLO CC[C@@H](CC(C)C)C(=O)NC[C@@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266488674 836337199 /nfs/dbraw/zinc/33/71/99/836337199.db2.gz NJTUPIYQPDLWMO-GJZGRUSLSA-N 1 2 322.453 1.279 20 30 DDEDLO CC[C@@H](CC(C)C)C(=O)NC[C@@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266488674 836337214 /nfs/dbraw/zinc/33/72/14/836337214.db2.gz NJTUPIYQPDLWMO-GJZGRUSLSA-N 1 2 322.453 1.279 20 30 DDEDLO CCC[C@@H](CC)C(=O)NC[C@H]1CCC[N@@H+]1CC(=O)NCC#N ZINC001266488505 836338166 /nfs/dbraw/zinc/33/81/66/836338166.db2.gz KSLXJDQZKCIYEJ-ZIAGYGMSSA-N 1 2 308.426 1.033 20 30 DDEDLO CCC[C@@H](CC)C(=O)NC[C@H]1CCC[N@H+]1CC(=O)NCC#N ZINC001266488505 836338178 /nfs/dbraw/zinc/33/81/78/836338178.db2.gz KSLXJDQZKCIYEJ-ZIAGYGMSSA-N 1 2 308.426 1.033 20 30 DDEDLO CCCN(C(=O)CCc1[nH+]ccn1C)[C@@H]1CCN(CC#N)C1 ZINC001267475973 838135290 /nfs/dbraw/zinc/13/52/90/838135290.db2.gz RRWLOMZLACJYLS-CQSZACIVSA-N 1 2 303.410 1.189 20 30 DDEDLO Cc1nnc(C[N@@H+](CCCNC(=O)[C@@H](C)C#N)C(C)C)s1 ZINC001267499163 838188170 /nfs/dbraw/zinc/18/81/70/838188170.db2.gz LEJOHPICXSWOKA-NSHDSACASA-N 1 2 309.439 1.723 20 30 DDEDLO Cc1nnc(C[N@H+](CCCNC(=O)[C@@H](C)C#N)C(C)C)s1 ZINC001267499163 838188175 /nfs/dbraw/zinc/18/81/75/838188175.db2.gz LEJOHPICXSWOKA-NSHDSACASA-N 1 2 309.439 1.723 20 30 DDEDLO CN(CC[NH+]1CCN(CC#N)CC1)C(=O)c1cccc(F)c1 ZINC001267605202 838426183 /nfs/dbraw/zinc/42/61/83/838426183.db2.gz OIEWLIJDZYLQNR-UHFFFAOYSA-N 1 2 304.369 1.039 20 30 DDEDLO CC#CCN1CC[NH+](CCN(C)C(=O)C(C)(CC)CC)CC1 ZINC001267617346 838494631 /nfs/dbraw/zinc/49/46/31/838494631.db2.gz CDUVKKQDSUKEMO-UHFFFAOYSA-N 1 2 307.482 1.912 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)COCCOCC)C1 ZINC001267621899 838512438 /nfs/dbraw/zinc/51/24/38/838512438.db2.gz AINPTJBHSFKQBG-CYBMUJFWSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)COCCOCC)C1 ZINC001267621899 838512445 /nfs/dbraw/zinc/51/24/45/838512445.db2.gz AINPTJBHSFKQBG-CYBMUJFWSA-N 1 2 304.818 1.373 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1ncnn1C ZINC001272023525 844346418 /nfs/dbraw/zinc/34/64/18/844346418.db2.gz IPNVAIFITRAZKP-GJZGRUSLSA-N 1 2 317.437 1.737 20 30 DDEDLO C=C(C)CCC(=O)N1CCC[C@H]2[C@@H]1CC[N@@H+]2Cc1ncnn1C ZINC001272023525 844346429 /nfs/dbraw/zinc/34/64/29/844346429.db2.gz IPNVAIFITRAZKP-GJZGRUSLSA-N 1 2 317.437 1.737 20 30 DDEDLO C#CCNC(=O)C[NH+]1CC(CNC(=O)[C@](C)(CC)CCC)C1 ZINC001267695379 838668928 /nfs/dbraw/zinc/66/89/28/838668928.db2.gz UOVSRJBHRGWMIY-QGZVFWFLSA-N 1 2 307.438 1.000 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(OC)no2)C1 ZINC001267748730 838839492 /nfs/dbraw/zinc/83/94/92/838839492.db2.gz AJVZSJNPPRFIQT-GFCCVEGCSA-N 1 2 307.394 1.976 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2cc(OC)no2)C1 ZINC001267748730 838839502 /nfs/dbraw/zinc/83/95/02/838839502.db2.gz AJVZSJNPPRFIQT-GFCCVEGCSA-N 1 2 307.394 1.976 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)[C@H]1CC[N@H+](Cc2cnnn2C)C1 ZINC001267770070 838929022 /nfs/dbraw/zinc/92/90/22/838929022.db2.gz FVTQPUNKMFJEGY-KGLIPLIRSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C[C@H](CC)CC(=O)N(C)[C@H]1CC[N@@H+](Cc2cnnn2C)C1 ZINC001267770070 838929027 /nfs/dbraw/zinc/92/90/27/838929027.db2.gz FVTQPUNKMFJEGY-KGLIPLIRSA-N 1 2 305.426 1.450 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001267937827 839222706 /nfs/dbraw/zinc/22/27/06/839222706.db2.gz YUHCCESAEJETCP-GDBMZVCRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001267937827 839222720 /nfs/dbraw/zinc/22/27/20/839222720.db2.gz YUHCCESAEJETCP-GDBMZVCRSA-N 1 2 321.465 1.838 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1C[N@H+](CCc2ccnn2C)CCO1 ZINC001268022491 839399371 /nfs/dbraw/zinc/39/93/71/839399371.db2.gz SJTZXPLSJFGCPW-MRXNPFEDSA-N 1 2 320.437 1.136 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1C[N@@H+](CCc2ccnn2C)CCO1 ZINC001268022491 839399379 /nfs/dbraw/zinc/39/93/79/839399379.db2.gz SJTZXPLSJFGCPW-MRXNPFEDSA-N 1 2 320.437 1.136 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2cn(C(C)C)nc2C)C1 ZINC001268469482 840250889 /nfs/dbraw/zinc/25/08/89/840250889.db2.gz LYRXKMRGMYNMJN-UHFFFAOYSA-N 1 2 306.410 1.389 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H](C)C[NH2+]Cc1nc(COC)no1 ZINC001268698771 840684957 /nfs/dbraw/zinc/68/49/57/840684957.db2.gz YNCVQFINGBQYTN-NSHDSACASA-N 1 2 310.398 1.413 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@@H+]1CC[C@H](N(C)C(=O)C#CC(C)C)C1 ZINC001269241885 841412375 /nfs/dbraw/zinc/41/23/75/841412375.db2.gz ZNWAVEOPJYHIJE-GJZGRUSLSA-N 1 2 307.438 1.093 20 30 DDEDLO CC[C@H](C)NC(=O)C[N@H+]1CC[C@H](N(C)C(=O)C#CC(C)C)C1 ZINC001269241885 841412379 /nfs/dbraw/zinc/41/23/79/841412379.db2.gz ZNWAVEOPJYHIJE-GJZGRUSLSA-N 1 2 307.438 1.093 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC)[C@@H]1CC[N@H+](Cc2nccs2)C1 ZINC001269325316 841523547 /nfs/dbraw/zinc/52/35/47/841523547.db2.gz YYRDFNLMNOWDHK-UONOGXRCSA-N 1 2 321.446 1.604 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N(CC)[C@@H]1CC[N@@H+](Cc2nccs2)C1 ZINC001269325316 841523555 /nfs/dbraw/zinc/52/35/55/841523555.db2.gz YYRDFNLMNOWDHK-UONOGXRCSA-N 1 2 321.446 1.604 20 30 DDEDLO CCCCCCCC(=O)N1C[C@@H](C(N)=O)[C@H](c2cn(C)c[nH+]2)C1 ZINC001269418148 841627518 /nfs/dbraw/zinc/62/75/18/841627518.db2.gz AAUZKEFGQSGZNI-ZIAGYGMSSA-N 1 2 320.437 1.808 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)C2C[NH+](CCOC(C)C)C2)c1 ZINC001269849445 842101517 /nfs/dbraw/zinc/10/15/17/842101517.db2.gz RQXWNSPDLALISG-UHFFFAOYSA-N 1 2 301.390 1.244 20 30 DDEDLO C#CCCCC(=O)N1CCC[C@@H]1C[NH2+]Cc1nc(CC)no1 ZINC001270034937 842243951 /nfs/dbraw/zinc/24/39/51/842243951.db2.gz SKCXGXBAKOZIRP-CYBMUJFWSA-N 1 2 304.394 1.516 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H]1C[NH2+]Cc1nc(C)no1 ZINC001270034794 842244395 /nfs/dbraw/zinc/24/43/95/842244395.db2.gz RIMGGAIVWNEKKB-AWEZNQCLSA-N 1 2 304.394 1.652 20 30 DDEDLO COC(=O)C[C@H]1C[N@H+](Cc2c[nH]c3cc(C#N)ccc23)CCO1 ZINC001142921817 861312823 /nfs/dbraw/zinc/31/28/23/861312823.db2.gz WGIFJVXFMZMJKJ-AWEZNQCLSA-N 1 2 313.357 1.803 20 30 DDEDLO COC(=O)C[C@H]1C[N@@H+](Cc2c[nH]c3cc(C#N)ccc23)CCO1 ZINC001142921817 861312842 /nfs/dbraw/zinc/31/28/42/861312842.db2.gz WGIFJVXFMZMJKJ-AWEZNQCLSA-N 1 2 313.357 1.803 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc(C)s2)C1 ZINC001270528246 842643521 /nfs/dbraw/zinc/64/35/21/842643521.db2.gz BZCPDVYXKPJRFK-MRXNPFEDSA-N 1 2 321.446 1.553 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(C)s2)C1 ZINC001270528246 842643529 /nfs/dbraw/zinc/64/35/29/842643529.db2.gz BZCPDVYXKPJRFK-MRXNPFEDSA-N 1 2 321.446 1.553 20 30 DDEDLO O=C(/C=C/c1ccc(F)cc1)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC001154809205 861474978 /nfs/dbraw/zinc/47/49/78/861474978.db2.gz RWNALHPKXUUFNG-ZRFDWSJLSA-N 1 2 313.332 1.736 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cnn2cccnc12 ZINC001409581541 845356744 /nfs/dbraw/zinc/35/67/44/845356744.db2.gz BQSPNFRDDJEPES-LLVKDONJSA-N 1 2 307.785 1.532 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cnn2cccnc12 ZINC001409581541 845356754 /nfs/dbraw/zinc/35/67/54/845356754.db2.gz BQSPNFRDDJEPES-LLVKDONJSA-N 1 2 307.785 1.532 20 30 DDEDLO C=CCN1CC2(C[NH+](CCCc3ccccc3)C2)OCC1=O ZINC001272463333 846159526 /nfs/dbraw/zinc/15/95/26/846159526.db2.gz ZJYIMJPHZSTVHI-UHFFFAOYSA-N 1 2 300.402 1.718 20 30 DDEDLO Cn1cc(C[NH+]2CC3(CN(C(=O)c4ccc[nH]4)C3)C2)cc1C#N ZINC001272723287 846719802 /nfs/dbraw/zinc/71/98/02/846719802.db2.gz DVVNNVWDQNPJFP-UHFFFAOYSA-N 1 2 309.373 1.183 20 30 DDEDLO C[C@H](CNc1[nH+]cnc2c1cnn2C)NC(=O)C#CC(C)(C)C ZINC001107720000 846839145 /nfs/dbraw/zinc/83/91/45/846839145.db2.gz LEJDSFUCXFXFIN-LLVKDONJSA-N 1 2 314.393 1.329 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(Cl)c[nH]2)C1 ZINC001107735040 846887118 /nfs/dbraw/zinc/88/71/18/846887118.db2.gz QIDRZXUGIFXFEE-OAHLLOKOSA-N 1 2 309.797 1.512 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(Cl)c[nH]2)C1 ZINC001107735040 846887125 /nfs/dbraw/zinc/88/71/25/846887125.db2.gz QIDRZXUGIFXFEE-OAHLLOKOSA-N 1 2 309.797 1.512 20 30 DDEDLO Cc1cncc(C[N@@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2c[nH]c(C#N)c2)c1 ZINC001032362787 847039041 /nfs/dbraw/zinc/03/90/41/847039041.db2.gz SWLXPFNWKOMJFW-IRXDYDNUSA-N 1 2 321.384 1.689 20 30 DDEDLO Cc1cncc(C[N@H+]2C[C@@H]3C[C@H]2CN3C(=O)c2c[nH]c(C#N)c2)c1 ZINC001032362787 847039053 /nfs/dbraw/zinc/03/90/53/847039053.db2.gz SWLXPFNWKOMJFW-IRXDYDNUSA-N 1 2 321.384 1.689 20 30 DDEDLO C=CCN1C(=O)COCC12C[NH+](Cc1ccc(C)cc1OC)C2 ZINC001272766834 847398996 /nfs/dbraw/zinc/39/89/96/847398996.db2.gz VOVZEMHWJFIIIQ-UHFFFAOYSA-N 1 2 316.401 1.603 20 30 DDEDLO N#CCCCNC(=O)[C@@H]1CC12CC[NH+](Cc1ncccn1)CC2 ZINC001272794222 847435278 /nfs/dbraw/zinc/43/52/78/847435278.db2.gz PPQOCORWOYTQAN-AWEZNQCLSA-N 1 2 313.405 1.499 20 30 DDEDLO C=C(C)CCC(=O)N1CC2(C1)CC[NH+](Cc1ncnn1C)CC2 ZINC001272804785 847452412 /nfs/dbraw/zinc/45/24/12/847452412.db2.gz HBHVUHOQXJMTAJ-UHFFFAOYSA-N 1 2 317.437 1.596 20 30 DDEDLO CCC1(C(=O)N2CC3(C2)C[NH+](Cc2cc(C#N)n(C)c2)C3)CC1 ZINC001272857292 847557056 /nfs/dbraw/zinc/55/70/56/847557056.db2.gz TUZBUMWHUDNMKR-UHFFFAOYSA-N 1 2 312.417 1.731 20 30 DDEDLO C#CCN1C[C@]2(CC[N@@H+](Cc3ccnc(Cl)c3)C2)OCC1=O ZINC001272878046 847581009 /nfs/dbraw/zinc/58/10/09/847581009.db2.gz FTKHRVOTNRPTRC-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C#CCN1C[C@]2(CC[N@H+](Cc3ccnc(Cl)c3)C2)OCC1=O ZINC001272878046 847581019 /nfs/dbraw/zinc/58/10/19/847581019.db2.gz FTKHRVOTNRPTRC-MRXNPFEDSA-N 1 2 319.792 1.172 20 30 DDEDLO C[N@@H+]1CCO[C@@H](CNc2ccc(C3(C#N)CCOCC3)cn2)C1 ZINC001155197463 861824953 /nfs/dbraw/zinc/82/49/53/861824953.db2.gz MQIQWHQEIMCAJV-HNNXBMFYSA-N 1 2 316.405 1.396 20 30 DDEDLO C[N@H+]1CCO[C@@H](CNc2ccc(C3(C#N)CCOCC3)cn2)C1 ZINC001155197463 861824962 /nfs/dbraw/zinc/82/49/62/861824962.db2.gz MQIQWHQEIMCAJV-HNNXBMFYSA-N 1 2 316.405 1.396 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)c2csnn2)C1 ZINC001034334672 848344903 /nfs/dbraw/zinc/34/49/03/848344903.db2.gz QOUUINXPIHSPSP-SNVBAGLBSA-N 1 2 300.815 1.875 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)c2csnn2)C1 ZINC001034334672 848344905 /nfs/dbraw/zinc/34/49/05/848344905.db2.gz QOUUINXPIHSPSP-SNVBAGLBSA-N 1 2 300.815 1.875 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCC[C@H](NC(=O)c3nc[nH]n3)C2)cc1 ZINC001034448219 848510807 /nfs/dbraw/zinc/51/08/07/848510807.db2.gz OUDFDIIBVGEKCQ-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCC[C@H](NC(=O)c3nc[nH]n3)C2)cc1 ZINC001034448219 848510812 /nfs/dbraw/zinc/51/08/12/848510812.db2.gz OUDFDIIBVGEKCQ-HNNXBMFYSA-N 1 2 324.388 1.461 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@@H+](Cc3cccnc3F)C2)OCC1=O ZINC001273399575 849915098 /nfs/dbraw/zinc/91/50/98/849915098.db2.gz KXRNCLJPACHJHM-INIZCTEOSA-N 1 2 305.353 1.210 20 30 DDEDLO C=CCN1C[C@@]2(CC[N@H+](Cc3cccnc3F)C2)OCC1=O ZINC001273399575 849915107 /nfs/dbraw/zinc/91/51/07/849915107.db2.gz KXRNCLJPACHJHM-INIZCTEOSA-N 1 2 305.353 1.210 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@H](C)CSC)O2 ZINC001327350069 862089969 /nfs/dbraw/zinc/08/99/69/862089969.db2.gz NYZPUXODSSDIMF-ZIAGYGMSSA-N 1 2 312.479 1.911 20 30 DDEDLO C=CCCC(=O)N(C)C1CN(C(=O)C(C)(C)c2c[nH+]c[nH]2)C1 ZINC001299324484 850814570 /nfs/dbraw/zinc/81/45/70/850814570.db2.gz NKIILEPDJNUYQZ-UHFFFAOYSA-N 1 2 304.394 1.323 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[N@H+](CC)Cc2ncccn2)cn1 ZINC001156460507 863067490 /nfs/dbraw/zinc/06/74/90/863067490.db2.gz NFNHLQBADBSAEG-CQSZACIVSA-N 1 2 323.400 1.493 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H](C)[N@@H+](CC)Cc2ncccn2)cn1 ZINC001156460507 863067508 /nfs/dbraw/zinc/06/75/08/863067508.db2.gz NFNHLQBADBSAEG-CQSZACIVSA-N 1 2 323.400 1.493 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](CCc1ccc(F)c(F)c1)C2 ZINC001273534325 851067892 /nfs/dbraw/zinc/06/78/92/851067892.db2.gz FLQWYFCXCHQVBQ-UHFFFAOYSA-N 1 2 320.339 1.054 20 30 DDEDLO C=CCNC(=O)[C@@H]1CC12CCN(c1cc[nH+]c(OC)c1)CC2 ZINC001273586116 851117740 /nfs/dbraw/zinc/11/77/40/851117740.db2.gz YBTGMTMUAQLCLA-AWEZNQCLSA-N 1 2 301.390 1.999 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)CC[C@H]1CCOC1)O2 ZINC001273655984 851190903 /nfs/dbraw/zinc/19/09/03/851190903.db2.gz SEGGCSIDZBZHRX-GJZGRUSLSA-N 1 2 308.422 1.339 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@H]1COC2(C[NH+]([C@@H](C)COC)C2)C1 ZINC001273712984 851256417 /nfs/dbraw/zinc/25/64/17/851256417.db2.gz LLFWPXNVAXPBMT-UONOGXRCSA-N 1 2 310.438 1.583 20 30 DDEDLO C#Cc1ccc(C(=O)N(CC)CC[NH2+]Cc2ncc(C)o2)nc1 ZINC001273716209 851266434 /nfs/dbraw/zinc/26/64/34/851266434.db2.gz GHCPKQOUHZYASE-UHFFFAOYSA-N 1 2 312.373 1.611 20 30 DDEDLO C=C(C)CNC(=O)[C@H]1CC12CC[NH+](Cc1nc(C)no1)CC2 ZINC001273816049 851375591 /nfs/dbraw/zinc/37/55/91/851375591.db2.gz HDMSGZZQBKFGLP-CYBMUJFWSA-N 1 2 304.394 1.672 20 30 DDEDLO C=CCN(C(=O)C(=O)NCCCNc1cccc[nH+]1)C1CC1 ZINC001327604069 862311154 /nfs/dbraw/zinc/31/11/54/862311154.db2.gz MPPUFUFYLMSELU-UHFFFAOYSA-N 1 2 302.378 1.177 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]([NH2+]Cc2nnc(CC)o2)[C@@H](C)C1 ZINC001274208411 852037134 /nfs/dbraw/zinc/03/71/34/852037134.db2.gz MCXRVDHVOSXVIN-STQMWFEESA-N 1 2 306.410 1.925 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@H+]2Cc2cnc(OC)cn2)C1=O ZINC001274233915 852081926 /nfs/dbraw/zinc/08/19/26/852081926.db2.gz SUJSKPXDBLKZGA-QGZVFWFLSA-N 1 2 316.405 1.628 20 30 DDEDLO C=C(C)CN1CC[C@]2(CCC[N@@H+]2Cc2cnc(OC)cn2)C1=O ZINC001274233915 852081932 /nfs/dbraw/zinc/08/19/32/852081932.db2.gz SUJSKPXDBLKZGA-QGZVFWFLSA-N 1 2 316.405 1.628 20 30 DDEDLO CCc1noc(C[N@@H+]2CC[C@H](NC(=O)C#CC3CC3)C[C@H]2C)n1 ZINC001274624854 852456248 /nfs/dbraw/zinc/45/62/48/852456248.db2.gz SHBVCUJFXYFCFF-OCCSQVGLSA-N 1 2 316.405 1.515 20 30 DDEDLO CCc1noc(C[N@H+]2CC[C@H](NC(=O)C#CC3CC3)C[C@H]2C)n1 ZINC001274624854 852456252 /nfs/dbraw/zinc/45/62/52/852456252.db2.gz SHBVCUJFXYFCFF-OCCSQVGLSA-N 1 2 316.405 1.515 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2C[C@@H]3COC[C@H](C2)N3Cc2ccc[nH]2)nc1 ZINC001275032035 852760266 /nfs/dbraw/zinc/76/02/66/852760266.db2.gz GYUVEWOEPWDEFT-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO N#Cc1ccc(C[N@H+]2C[C@@H]3COC[C@H](C2)N3Cc2ccc[nH]2)nc1 ZINC001275032035 852760270 /nfs/dbraw/zinc/76/02/70/852760270.db2.gz GYUVEWOEPWDEFT-HDICACEKSA-N 1 2 323.400 1.367 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)CCc3cncn3C)cc2C1 ZINC001327696014 862395442 /nfs/dbraw/zinc/39/54/42/862395442.db2.gz NKKJPYSFCPNKHH-UHFFFAOYSA-N 1 2 322.412 1.618 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)CCc3cncn3C)cc2C1 ZINC001327696014 862395459 /nfs/dbraw/zinc/39/54/59/862395459.db2.gz NKKJPYSFCPNKHH-UHFFFAOYSA-N 1 2 322.412 1.618 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)C[N@H+](C)Cc1snnc1C ZINC001322226229 852843630 /nfs/dbraw/zinc/84/36/30/852843630.db2.gz ZPEILVYNFVHWQQ-LBPRGKRZSA-N 1 2 308.451 1.977 20 30 DDEDLO CC#CCCCC(=O)N[C@@H](C)C[N@@H+](C)Cc1snnc1C ZINC001322226229 852843634 /nfs/dbraw/zinc/84/36/34/852843634.db2.gz ZPEILVYNFVHWQQ-LBPRGKRZSA-N 1 2 308.451 1.977 20 30 DDEDLO C[C@@H](NC(=O)C#CC1CC1)[C@H](C)[NH2+]Cc1nc(C2CC2)no1 ZINC001275394743 853061687 /nfs/dbraw/zinc/06/16/87/853061687.db2.gz QIADPIJKBGJSEM-WDEREUQCSA-N 1 2 302.378 1.343 20 30 DDEDLO C[C@H](NC(=O)C#CC1CC1)[C@H](C)[NH2+]Cc1nc(C2CC2)no1 ZINC001275394742 853062313 /nfs/dbraw/zinc/06/23/13/853062313.db2.gz QIADPIJKBGJSEM-QWRGUYRKSA-N 1 2 302.378 1.343 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1ccn(C)n1 ZINC001110925360 855116491 /nfs/dbraw/zinc/11/64/91/855116491.db2.gz WVAMYMCEBGNQJM-ZACQAIPSSA-N 1 2 314.433 1.835 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccn(C)n1 ZINC001110925360 855116493 /nfs/dbraw/zinc/11/64/93/855116493.db2.gz WVAMYMCEBGNQJM-ZACQAIPSSA-N 1 2 314.433 1.835 20 30 DDEDLO C=C(Br)C[N@H+]1CCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC001072559987 857422630 /nfs/dbraw/zinc/42/26/30/857422630.db2.gz ZQZOGOCCUNWTRA-SNVBAGLBSA-N 1 2 312.211 1.589 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC001072559987 857422635 /nfs/dbraw/zinc/42/26/35/857422635.db2.gz ZQZOGOCCUNWTRA-SNVBAGLBSA-N 1 2 312.211 1.589 20 30 DDEDLO CCCc1noc(C[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001072551062 857434056 /nfs/dbraw/zinc/43/40/56/857434056.db2.gz AEGVJJCSYRQZFG-LBPRGKRZSA-N 1 2 317.393 1.216 20 30 DDEDLO CCCc1noc(C[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001072551062 857434059 /nfs/dbraw/zinc/43/40/59/857434059.db2.gz AEGVJJCSYRQZFG-LBPRGKRZSA-N 1 2 317.393 1.216 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)[nH]1 ZINC001072552856 857438390 /nfs/dbraw/zinc/43/83/90/857438390.db2.gz SWOQIDZBTNBGBD-NEPJUHHUSA-N 1 2 316.409 1.122 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+]2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)[nH]1 ZINC001072552856 857438393 /nfs/dbraw/zinc/43/83/93/857438393.db2.gz SWOQIDZBTNBGBD-NEPJUHHUSA-N 1 2 316.409 1.122 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1C(F)(F)COc1cccc(Cl)n1 ZINC001156327806 862938740 /nfs/dbraw/zinc/93/87/40/862938740.db2.gz MZHJXARPJXBMQQ-VIFPVBQESA-N 1 2 302.712 1.504 20 30 DDEDLO C[C@@H]1CCCN(C(=O)c2ccc(N3CC[NH2+]C[C@@H]3C#N)nc2)C1 ZINC001156327498 862939554 /nfs/dbraw/zinc/93/95/54/862939554.db2.gz BQRJWOYSBRRIAI-HIFRSBDPSA-N 1 2 313.405 1.255 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCO[C@@H](CNC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC001073564253 858442852 /nfs/dbraw/zinc/44/28/52/858442852.db2.gz VDEXRMPXCGQPQC-BYNSBNAKSA-N 1 2 312.841 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC001073564253 858442855 /nfs/dbraw/zinc/44/28/55/858442855.db2.gz VDEXRMPXCGQPQC-BYNSBNAKSA-N 1 2 312.841 1.992 20 30 DDEDLO C=CCCOCC(=O)N1C[C@H](Nc2cc[nH+]c(C)n2)C[C@@H]1C ZINC001123254239 859148696 /nfs/dbraw/zinc/14/86/96/859148696.db2.gz YHPPBIYUSFCSMS-GXTWGEPZSA-N 1 2 304.394 1.779 20 30 DDEDLO Cc1nc(NC[C@H]2CC[C@H](NC(=O)CSCC#N)C2)cc[nH+]1 ZINC001123784010 859412009 /nfs/dbraw/zinc/41/20/09/859412009.db2.gz AXMGGZOCMCGKFM-STQMWFEESA-N 1 2 319.434 1.739 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1C[C@@H](Nc2cc[nH+]c(C)n2)C(C)(C)C1 ZINC001123946239 859488816 /nfs/dbraw/zinc/48/88/16/859488816.db2.gz GUFXBSWXSAWHBO-DYVFJYSZSA-N 1 2 318.421 1.761 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H](O)CNc2cc[nH+]c(C)n2)cc1 ZINC001124633190 859752978 /nfs/dbraw/zinc/75/29/78/859752978.db2.gz FIVUXLSGHGHVCC-INIZCTEOSA-N 1 2 324.384 1.311 20 30 DDEDLO C#CC[NH+]1CCN(Cc2c(C)[nH]c(C(=O)OCC)c2C)CC1 ZINC001138139834 860015171 /nfs/dbraw/zinc/01/51/71/860015171.db2.gz MVBUMXGFDLSSIO-UHFFFAOYSA-N 1 2 303.406 1.559 20 30 DDEDLO C#CCOc1ccc(C[N@@H+]2CCCC[C@H]2C(N)=O)cc1OC ZINC001138346228 860064351 /nfs/dbraw/zinc/06/43/51/860064351.db2.gz YZLVGLNYOCJXSG-AWEZNQCLSA-N 1 2 302.374 1.547 20 30 DDEDLO C#CCOc1ccc(C[N@H+]2CCCC[C@H]2C(N)=O)cc1OC ZINC001138346228 860064356 /nfs/dbraw/zinc/06/43/56/860064356.db2.gz YZLVGLNYOCJXSG-AWEZNQCLSA-N 1 2 302.374 1.547 20 30 DDEDLO N#CCC1CN(C(=O)[C@@H]2CC23CC[NH+](Cc2ncc[nH]2)CC3)C1 ZINC001277001620 881569215 /nfs/dbraw/zinc/56/92/15/881569215.db2.gz USQXUTIJFIRMNN-AWEZNQCLSA-N 1 2 313.405 1.384 20 30 DDEDLO C=C(C)C(=O)N1Cc2c[nH+]cn2C[C@H](COc2cccnc2)C1 ZINC001142509806 861168524 /nfs/dbraw/zinc/16/85/24/861168524.db2.gz LSYNTCFTHYFAHQ-CQSZACIVSA-N 1 2 312.373 1.892 20 30 DDEDLO C#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001328737562 863184036 /nfs/dbraw/zinc/18/40/36/863184036.db2.gz OTFBNZRSXIAETR-UONOGXRCSA-N 1 2 300.406 1.386 20 30 DDEDLO C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001328737562 863184039 /nfs/dbraw/zinc/18/40/39/863184039.db2.gz OTFBNZRSXIAETR-UONOGXRCSA-N 1 2 300.406 1.386 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncccn1 ZINC001152235098 863233816 /nfs/dbraw/zinc/23/38/16/863233816.db2.gz UPBLRKDAWIHBQR-HUUCEWRRSA-N 1 2 320.437 1.784 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncccn1 ZINC001152235098 863233823 /nfs/dbraw/zinc/23/38/23/863233823.db2.gz UPBLRKDAWIHBQR-HUUCEWRRSA-N 1 2 320.437 1.784 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC001328934689 863348468 /nfs/dbraw/zinc/34/84/68/863348468.db2.gz MQILJRFIWJOBLK-KGLIPLIRSA-N 1 2 304.394 1.518 20 30 DDEDLO CC[N@H+](Cc1nonc1C)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152790871 863539987 /nfs/dbraw/zinc/53/99/87/863539987.db2.gz WVBMPXSFXRCZAH-SNVBAGLBSA-N 1 2 316.365 1.218 20 30 DDEDLO CC[N@@H+](Cc1nonc1C)[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001152790871 863539993 /nfs/dbraw/zinc/53/99/93/863539993.db2.gz WVBMPXSFXRCZAH-SNVBAGLBSA-N 1 2 316.365 1.218 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@H]2CCC(C)=C(C)C2)C1 ZINC001329669130 863781187 /nfs/dbraw/zinc/78/11/87/863781187.db2.gz ZKUWQCTZLVDMMF-INIZCTEOSA-N 1 2 304.434 1.699 20 30 DDEDLO C#CCC[NH+]1CC(CCO)(NC(=O)[C@@H](OCC)C2CCCC2)C1 ZINC001329681536 863787760 /nfs/dbraw/zinc/78/77/60/863787760.db2.gz LJYHUABWXQOXOG-INIZCTEOSA-N 1 2 322.449 1.158 20 30 DDEDLO C=CCC[NH+]1CC(CCO)(NC(=O)c2occ3c2CCOC3)C1 ZINC001329682343 863788880 /nfs/dbraw/zinc/78/88/80/863788880.db2.gz SJWZHBAAOCZOKL-UHFFFAOYSA-N 1 2 320.389 1.095 20 30 DDEDLO C=CCOCC[NH+]1CC(CCO)(NC(=O)[C@H]2CC[C@@H](C)C2)C1 ZINC001329706031 863801488 /nfs/dbraw/zinc/80/14/88/863801488.db2.gz UFPYDQDGCORYNI-CABCVRRESA-N 1 2 310.438 1.178 20 30 DDEDLO C=C(C)C[NH+]1CC(CCO)(NC(=O)[C@@H]2CCO[C@H](C(C)C)C2)C1 ZINC001329707769 863804210 /nfs/dbraw/zinc/80/42/10/863804210.db2.gz PEHBLPDNEUPCML-CVEARBPZSA-N 1 2 324.465 1.567 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@H](C)C1C[NH+](Cc2cnnn2CC)C1 ZINC001330046896 864046258 /nfs/dbraw/zinc/04/62/58/864046258.db2.gz JFSRQUZLEKQPKJ-CXAGYDPISA-N 1 2 319.453 1.837 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@@H+](C)Cc1coc(C)n1 ZINC001157706373 864064671 /nfs/dbraw/zinc/06/46/71/864064671.db2.gz ZTARWSXXFYFXHP-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO C=CCOCC(=O)N1CCCC[C@@H]1C[N@H+](C)Cc1coc(C)n1 ZINC001157706373 864064690 /nfs/dbraw/zinc/06/46/90/864064690.db2.gz ZTARWSXXFYFXHP-MRXNPFEDSA-N 1 2 321.421 1.999 20 30 DDEDLO CC(C)C#CC(=O)N(C)C[C@@H](C)[NH2+]Cc1nc(CC(C)C)no1 ZINC001331443732 865089500 /nfs/dbraw/zinc/08/95/00/865089500.db2.gz VOUQEEDKWPSVJZ-CQSZACIVSA-N 1 2 320.437 1.864 20 30 DDEDLO C=CCOCC(=O)N1CC[C@H]([NH2+]Cc2nc(C(C)C)no2)[C@H]1C ZINC001331870997 865403038 /nfs/dbraw/zinc/40/30/38/865403038.db2.gz WCRYLVYVXDNIMY-OLZOCXBDSA-N 1 2 322.409 1.475 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@H+](Cc2ccc(F)cn2)[C@@H]1C ZINC001332162286 865626431 /nfs/dbraw/zinc/62/64/31/865626431.db2.gz BBALPWYXPJTEQF-CJNGLKHVSA-N 1 2 321.396 1.892 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2ccc(F)cn2)[C@@H]1C ZINC001332162286 865626435 /nfs/dbraw/zinc/62/64/35/865626435.db2.gz BBALPWYXPJTEQF-CJNGLKHVSA-N 1 2 321.396 1.892 20 30 DDEDLO Cc1cnc(C[N@H+]2CCC[C@@H](NC(=O)C#CC(C)C)[C@H]2C)cn1 ZINC001332176156 865640573 /nfs/dbraw/zinc/64/05/73/865640573.db2.gz LIDYCFYLTYWDPY-NVXWUHKLSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1cnc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC(C)C)[C@H]2C)cn1 ZINC001332176156 865640575 /nfs/dbraw/zinc/64/05/75/865640575.db2.gz LIDYCFYLTYWDPY-NVXWUHKLSA-N 1 2 314.433 1.914 20 30 DDEDLO C=CCCC(=O)N1C[C@H]([NH2+]Cc2nc(CC)no2)C(C)(C)C1 ZINC001332360228 865788888 /nfs/dbraw/zinc/78/88/88/865788888.db2.gz ZQRXPUAIWQBJGW-LBPRGKRZSA-N 1 2 306.410 1.925 20 30 DDEDLO C#CCOCCOCCNc1cc(N2CCSCC2)nc[nH+]1 ZINC001160671795 866008714 /nfs/dbraw/zinc/00/87/14/866008714.db2.gz UUXQCURTQYUIFK-UHFFFAOYSA-N 1 2 322.434 1.108 20 30 DDEDLO C#CCOCCOCCNc1cc(N2CCSCC2)[nH+]cn1 ZINC001160671795 866008729 /nfs/dbraw/zinc/00/87/29/866008729.db2.gz UUXQCURTQYUIFK-UHFFFAOYSA-N 1 2 322.434 1.108 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC1=CC[N@H+](Cc2cn(C)nn2)CC1 ZINC001160888344 866169498 /nfs/dbraw/zinc/16/94/98/866169498.db2.gz PSTXYIRAIGUASY-UHFFFAOYSA-N 1 2 317.437 1.666 20 30 DDEDLO C=CC(C)(C)C(=O)NCCC1=CC[N@@H+](Cc2cn(C)nn2)CC1 ZINC001160888344 866169504 /nfs/dbraw/zinc/16/95/04/866169504.db2.gz PSTXYIRAIGUASY-UHFFFAOYSA-N 1 2 317.437 1.666 20 30 DDEDLO CCCCCCCCCCN(C)C(=O)[C@@H]([NH3+])Cc1nn[nH]n1 ZINC001161221322 866450844 /nfs/dbraw/zinc/45/08/44/866450844.db2.gz NMWOPIRVAIHFNM-ZDUSSCGKSA-N 1 2 310.446 1.669 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NCCC ZINC001323280497 866496704 /nfs/dbraw/zinc/49/67/04/866496704.db2.gz ZISMBDPMKMNBSN-KBPBESRZSA-N 1 2 309.454 1.694 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NCCC ZINC001323280497 866496712 /nfs/dbraw/zinc/49/67/12/866496712.db2.gz ZISMBDPMKMNBSN-KBPBESRZSA-N 1 2 309.454 1.694 20 30 DDEDLO C[C@@H](C#N)C(=O)NC/C=C/C[NH2+]Cc1nc(-c2ccco2)no1 ZINC001320999594 867193908 /nfs/dbraw/zinc/19/39/08/867193908.db2.gz VTLUJSSDPKPYQO-VUDBWIFFSA-N 1 2 315.333 1.251 20 30 DDEDLO C#CCN(C(=O)[C@@H](C)OC)C1CC[NH+](Cc2cscn2)CC1 ZINC001324373162 867236450 /nfs/dbraw/zinc/23/64/50/867236450.db2.gz AXHTUKZUADSAID-CYBMUJFWSA-N 1 2 321.446 1.604 20 30 DDEDLO CC#CCCCC(=O)NC[C@@]1(O)CC[N@H+](Cc2nocc2C)C1 ZINC001325123917 867793969 /nfs/dbraw/zinc/79/39/69/867793969.db2.gz SIVYBFFCCNGTSY-KRWDZBQOSA-N 1 2 319.405 1.230 20 30 DDEDLO CC#CCCCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2nocc2C)C1 ZINC001325123917 867793982 /nfs/dbraw/zinc/79/39/82/867793982.db2.gz SIVYBFFCCNGTSY-KRWDZBQOSA-N 1 2 319.405 1.230 20 30 DDEDLO Cc1conc1C[NH+]1CCC(NC(=O)CSCC#N)CC1 ZINC001226153044 882237549 /nfs/dbraw/zinc/23/75/49/882237549.db2.gz YLHUKKWHFKHMMJ-UHFFFAOYSA-N 1 2 308.407 1.320 20 30 DDEDLO CCc1nc(C[NH2+]CC2(CCNC(=O)C#CC3CC3)CC2)no1 ZINC001164487390 869227529 /nfs/dbraw/zinc/22/75/29/869227529.db2.gz RCUQQVNVTMCADK-UHFFFAOYSA-N 1 2 316.405 1.422 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(C)[C@@H](C)CCC ZINC001338773160 870160104 /nfs/dbraw/zinc/16/01/04/870160104.db2.gz OEYJXJVLNSYUBA-IHRRRGAJSA-N 1 2 307.442 1.826 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(C)[C@@H](C)CCC ZINC001338773160 870160112 /nfs/dbraw/zinc/16/01/12/870160112.db2.gz OEYJXJVLNSYUBA-IHRRRGAJSA-N 1 2 307.442 1.826 20 30 DDEDLO C#C[C@H]1CCCN(c2nnc(Cc3[nH+]ccn3C)n2CC=C)C1 ZINC001339091055 870341202 /nfs/dbraw/zinc/34/12/02/870341202.db2.gz JRFMASVIWFRWPC-AWEZNQCLSA-N 1 2 310.405 1.638 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCC[N@H+](Cc2nncn2C)C1 ZINC001317202787 870459792 /nfs/dbraw/zinc/45/97/92/870459792.db2.gz WDGKETCBLDEEKH-ZIAGYGMSSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCCC(=O)N[C@H](C)[C@@H]1CCC[N@@H+](Cc2nncn2C)C1 ZINC001317202787 870459797 /nfs/dbraw/zinc/45/97/97/870459797.db2.gz WDGKETCBLDEEKH-ZIAGYGMSSA-N 1 2 305.426 1.498 20 30 DDEDLO C=CCN(CCOC)c1nnc(C[NH+]2CCCCC2)n1CC ZINC001339792769 870694620 /nfs/dbraw/zinc/69/46/20/870694620.db2.gz AVYWWRIRABBOSA-UHFFFAOYSA-N 1 2 307.442 1.923 20 30 DDEDLO C=C(C)CN(CC)c1nnc([C@@H]2C[N@@H+](C(C)C)CCO2)n1C ZINC001340103186 870894365 /nfs/dbraw/zinc/89/43/65/870894365.db2.gz REWZJMFHOVPQNU-AWEZNQCLSA-N 1 2 307.442 1.999 20 30 DDEDLO C=C(C)CN(CC)c1nnc([C@@H]2C[N@H+](C(C)C)CCO2)n1C ZINC001340103186 870894378 /nfs/dbraw/zinc/89/43/78/870894378.db2.gz REWZJMFHOVPQNU-AWEZNQCLSA-N 1 2 307.442 1.999 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N1CC[C@H](C)[C@@H](F)C1 ZINC001340257998 870991949 /nfs/dbraw/zinc/99/19/49/870991949.db2.gz DHOQAXQBHALCPJ-XUXIUFHCSA-N 1 2 323.416 1.386 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N1CC[C@H](C)[C@@H](F)C1 ZINC001340257998 870991959 /nfs/dbraw/zinc/99/19/59/870991959.db2.gz DHOQAXQBHALCPJ-XUXIUFHCSA-N 1 2 323.416 1.386 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N1CCO[C@@H](C#N)C1 ZINC001340263727 870995108 /nfs/dbraw/zinc/99/51/08/870995108.db2.gz GWNCVLUTRPGCIF-UONOGXRCSA-N 1 2 316.409 1.350 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N1CCO[C@@H](C#N)C1 ZINC001340263727 870995113 /nfs/dbraw/zinc/99/51/13/870995113.db2.gz GWNCVLUTRPGCIF-UONOGXRCSA-N 1 2 316.409 1.350 20 30 DDEDLO C#CCCCC(=O)NCC[N@@H+](C)CC(=O)N[C@@H]1CCCC[C@@H]1C ZINC001317443516 871331821 /nfs/dbraw/zinc/33/18/21/871331821.db2.gz ASRTVJIJGFPVTG-JKSUJKDBSA-N 1 2 321.465 1.533 20 30 DDEDLO C#CCCCC(=O)NCC[N@H+](C)CC(=O)N[C@@H]1CCCC[C@@H]1C ZINC001317443516 871331839 /nfs/dbraw/zinc/33/18/39/871331839.db2.gz ASRTVJIJGFPVTG-JKSUJKDBSA-N 1 2 321.465 1.533 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)[C@H]2CCO[C@H](C)C2)CC1 ZINC001317823095 871548384 /nfs/dbraw/zinc/54/83/84/871548384.db2.gz PZDLTRLTFKPGMV-CVEARBPZSA-N 1 2 309.454 1.064 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)N1CCC(CNCC#N)CC1)C2 ZINC001205556245 871627457 /nfs/dbraw/zinc/62/74/57/871627457.db2.gz NVVOKPJMQNTRFA-CQSZACIVSA-N 1 2 315.421 1.175 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)N1CCC(CNCC#N)CC1)CC2 ZINC001205556245 871627467 /nfs/dbraw/zinc/62/74/67/871627467.db2.gz NVVOKPJMQNTRFA-CQSZACIVSA-N 1 2 315.421 1.175 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)C(=C)C)C1 ZINC001317941054 871641480 /nfs/dbraw/zinc/64/14/80/871641480.db2.gz OOBKVUBKZLBUDX-CQSZACIVSA-N 1 2 307.438 1.472 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)(C)C(=C)C)C1 ZINC001317941054 871641476 /nfs/dbraw/zinc/64/14/76/871641476.db2.gz OOBKVUBKZLBUDX-CQSZACIVSA-N 1 2 307.438 1.472 20 30 DDEDLO C[C@@H](C#N)C(=O)NC1CC[NH+](Cc2noc3c2CCCC3)CC1 ZINC001226617183 882540643 /nfs/dbraw/zinc/54/06/43/882540643.db2.gz BXJNENSYXSTYNR-LBPRGKRZSA-N 1 2 316.405 1.794 20 30 DDEDLO O=C(CC#Cc1ccccc1)NC1C[NH+](CCOCC2CC2)C1 ZINC001318089154 871736753 /nfs/dbraw/zinc/73/67/53/871736753.db2.gz LIIZAMCLEDZLEZ-UHFFFAOYSA-N 1 2 312.413 1.655 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N(C)CC1(C)COC1 ZINC001341751215 871805458 /nfs/dbraw/zinc/80/54/58/871805458.db2.gz YSNWPYFVYFBBEZ-UHFFFAOYSA-N 1 2 319.453 1.923 20 30 DDEDLO CN(C(=O)C1=CCCCCC1)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001318398770 871993197 /nfs/dbraw/zinc/99/31/97/871993197.db2.gz CGXQVLHKOKYHHC-HNNXBMFYSA-N 1 2 318.421 1.049 20 30 DDEDLO CN(C(=O)C1=CCCCCC1)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001318398770 871993204 /nfs/dbraw/zinc/99/32/04/871993204.db2.gz CGXQVLHKOKYHHC-HNNXBMFYSA-N 1 2 318.421 1.049 20 30 DDEDLO CC#CCCCC(=O)N(CC)[C@@H]1CC[N@H+](Cc2nonc2C)C1 ZINC001318477744 872100757 /nfs/dbraw/zinc/10/07/57/872100757.db2.gz HLFQQLAPROPQMK-OAHLLOKOSA-N 1 2 318.421 1.994 20 30 DDEDLO CC#CCCCC(=O)N(CC)[C@@H]1CC[N@@H+](Cc2nonc2C)C1 ZINC001318477744 872100770 /nfs/dbraw/zinc/10/07/70/872100770.db2.gz HLFQQLAPROPQMK-OAHLLOKOSA-N 1 2 318.421 1.994 20 30 DDEDLO Cc1nnc(C[N@@H+](C)CCCNC(=O)[C@@H](C)C#N)n1C1CC1 ZINC001316816246 872102495 /nfs/dbraw/zinc/10/24/95/872102495.db2.gz ZNHUXGKLJRCATA-NSHDSACASA-N 1 2 304.398 1.019 20 30 DDEDLO Cc1nnc(C[N@H+](C)CCCNC(=O)[C@@H](C)C#N)n1C1CC1 ZINC001316816246 872102506 /nfs/dbraw/zinc/10/25/06/872102506.db2.gz ZNHUXGKLJRCATA-NSHDSACASA-N 1 2 304.398 1.019 20 30 DDEDLO C#CC[NH2+]Cc1ccc(CNC(=O)[C@H]2CCCc3[nH]ncc32)cc1 ZINC001318990121 872384744 /nfs/dbraw/zinc/38/47/44/872384744.db2.gz FARKXJAIORXKKQ-INIZCTEOSA-N 1 2 322.412 1.869 20 30 DDEDLO CC(C)C#CC(=O)NCCC1CC[NH+](Cc2csnn2)CC1 ZINC001319019412 872399113 /nfs/dbraw/zinc/39/91/13/872399113.db2.gz TZWHRCQDEWXYCU-UHFFFAOYSA-N 1 2 320.462 1.916 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@H]1CCCO1 ZINC001343068147 872488104 /nfs/dbraw/zinc/48/81/04/872488104.db2.gz INOJVWSYROLLFQ-KGLIPLIRSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCN(C)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@H]1CCCO1 ZINC001343068147 872488109 /nfs/dbraw/zinc/48/81/09/872488109.db2.gz INOJVWSYROLLFQ-KGLIPLIRSA-N 1 2 305.426 1.846 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)/C=C\c2ccco2)C1 ZINC001319289330 872540378 /nfs/dbraw/zinc/54/03/78/872540378.db2.gz KEHBOZLJZVPLTE-OGZRUICASA-N 1 2 320.389 1.312 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)/C=C\c2ccco2)C1 ZINC001319289330 872540392 /nfs/dbraw/zinc/54/03/92/872540392.db2.gz KEHBOZLJZVPLTE-OGZRUICASA-N 1 2 320.389 1.312 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)[C@H](C)C(C)(C)C)C1 ZINC001319307124 872555939 /nfs/dbraw/zinc/55/59/39/872555939.db2.gz DLCSAMQTDBQIPG-GJZGRUSLSA-N 1 2 312.454 1.688 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)[C@H](C)C(C)(C)C)C1 ZINC001319307124 872555957 /nfs/dbraw/zinc/55/59/57/872555957.db2.gz DLCSAMQTDBQIPG-GJZGRUSLSA-N 1 2 312.454 1.688 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@H](CNC(=O)Cc2occc2C)C1 ZINC001319323296 872575116 /nfs/dbraw/zinc/57/51/16/872575116.db2.gz WWLSTGOJPVVFJC-OAHLLOKOSA-N 1 2 322.405 1.150 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@H](CNC(=O)Cc2occc2C)C1 ZINC001319323296 872575125 /nfs/dbraw/zinc/57/51/25/872575125.db2.gz WWLSTGOJPVVFJC-OAHLLOKOSA-N 1 2 322.405 1.150 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)C2(c3ccccc3F)CC2)C1 ZINC001319330018 872578155 /nfs/dbraw/zinc/57/81/55/872578155.db2.gz LRKZHKAZGHOUQV-AWEZNQCLSA-N 1 2 318.392 1.860 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)C2(c3ccccc3F)CC2)C1 ZINC001319330018 872578173 /nfs/dbraw/zinc/57/81/73/872578173.db2.gz LRKZHKAZGHOUQV-AWEZNQCLSA-N 1 2 318.392 1.860 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)COc2ccc(F)cc2)C1 ZINC001319331392 872583268 /nfs/dbraw/zinc/58/32/68/872583268.db2.gz GKFYUMBZRYDNKQ-HNNXBMFYSA-N 1 2 308.353 1.208 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)COc2ccc(F)cc2)C1 ZINC001319331392 872583278 /nfs/dbraw/zinc/58/32/78/872583278.db2.gz GKFYUMBZRYDNKQ-HNNXBMFYSA-N 1 2 308.353 1.208 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N(C)CCCF ZINC001343418862 872618253 /nfs/dbraw/zinc/61/82/53/872618253.db2.gz HCPXKXLJHTYARM-UHFFFAOYSA-N 1 2 306.389 1.974 20 30 DDEDLO C=CCO[C@H]1CCN(c2cc(N3CCSCC3)[nH+]cn2)C1 ZINC001343738767 872741347 /nfs/dbraw/zinc/74/13/47/872741347.db2.gz MYVLYLXXAXEHFG-ZDUSSCGKSA-N 1 2 306.435 1.811 20 30 DDEDLO C=CCO[C@H]1CCN(c2cc(N3CCSCC3)nc[nH+]2)C1 ZINC001343738767 872741359 /nfs/dbraw/zinc/74/13/59/872741359.db2.gz MYVLYLXXAXEHFG-ZDUSSCGKSA-N 1 2 306.435 1.811 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001206915878 872761983 /nfs/dbraw/zinc/76/19/83/872761983.db2.gz NGRSYUUIZWCXIH-KFNAQCHYSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](Cc2ncc(C)s2)C[C@H]1C ZINC001206915878 872762001 /nfs/dbraw/zinc/76/20/01/872762001.db2.gz NGRSYUUIZWCXIH-KFNAQCHYSA-N 1 2 323.462 1.715 20 30 DDEDLO COc1cncc(C[N@@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)n1 ZINC001206947482 872826496 /nfs/dbraw/zinc/82/64/96/872826496.db2.gz XWSKZLTZMHRYBO-UKRRQHHQSA-N 1 2 316.405 1.081 20 30 DDEDLO COc1cncc(C[N@H+]2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)n1 ZINC001206947482 872826508 /nfs/dbraw/zinc/82/65/08/872826508.db2.gz XWSKZLTZMHRYBO-UKRRQHHQSA-N 1 2 316.405 1.081 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCC[C@H]([NH2+]Cc2nnc(C)o2)C1 ZINC001207621861 873420752 /nfs/dbraw/zinc/42/07/52/873420752.db2.gz AHKZOGGPOQYKKS-OCCSQVGLSA-N 1 2 322.409 1.440 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cc3n(n2)CCC3)C[C@H]1C ZINC001208315156 873994790 /nfs/dbraw/zinc/99/47/90/873994790.db2.gz MSFZRTTXARGTCO-CZUORRHYSA-N 1 2 316.449 1.978 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cc3n(n2)CCC3)C[C@H]1C ZINC001208315156 873994798 /nfs/dbraw/zinc/99/47/98/873994798.db2.gz MSFZRTTXARGTCO-CZUORRHYSA-N 1 2 316.449 1.978 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@H+](Cc2cn(C)nn2)C[C@H]1C ZINC001208392858 874102067 /nfs/dbraw/zinc/10/20/67/874102067.db2.gz DFQSHVBBVJUAJD-VNHYZAJKSA-N 1 2 305.426 1.354 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1C[N@@H+](Cc2cn(C)nn2)C[C@H]1C ZINC001208392858 874102077 /nfs/dbraw/zinc/10/20/77/874102077.db2.gz DFQSHVBBVJUAJD-VNHYZAJKSA-N 1 2 305.426 1.354 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@H+]1CC(C)(C)C(=O)CC ZINC001208917960 874523965 /nfs/dbraw/zinc/52/39/65/874523965.db2.gz JZLBNEUTZVLITF-CQSZACIVSA-N 1 2 320.437 1.922 20 30 DDEDLO C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1CC(C)(C)C(=O)CC ZINC001208917960 874523977 /nfs/dbraw/zinc/52/39/77/874523977.db2.gz JZLBNEUTZVLITF-CQSZACIVSA-N 1 2 320.437 1.922 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+](Cc2nc(C)c(C)o2)CC1 ZINC001227115997 882846190 /nfs/dbraw/zinc/84/61/90/882846190.db2.gz ZKQQCQQUPKVEGQ-KRWDZBQOSA-N 1 2 321.421 1.699 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001211426024 875801262 /nfs/dbraw/zinc/80/12/62/875801262.db2.gz WSGYGAOXVNPTGI-BYCMXARLSA-N 1 2 321.421 1.819 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1C ZINC001211426024 875801270 /nfs/dbraw/zinc/80/12/70/875801270.db2.gz WSGYGAOXVNPTGI-BYCMXARLSA-N 1 2 321.421 1.819 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CC23CCCC3)[C@H](OC)C1 ZINC001213673531 876018699 /nfs/dbraw/zinc/01/86/99/876018699.db2.gz HCEVRDJLKZOBBF-BZUAXINKSA-N 1 2 320.433 1.032 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CC23CCCC3)[C@H](OC)C1 ZINC001213673531 876018716 /nfs/dbraw/zinc/01/87/16/876018716.db2.gz HCEVRDJLKZOBBF-BZUAXINKSA-N 1 2 320.433 1.032 20 30 DDEDLO C[C@H](CC(=O)NCCCN(C)C(=O)C#CC1CC1)n1cc[nH+]c1 ZINC001351498272 876288796 /nfs/dbraw/zinc/28/87/96/876288796.db2.gz BBNQOKZOUXZXLA-CQSZACIVSA-N 1 2 316.405 1.212 20 30 DDEDLO C#CCCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(C(C)C)no2)C1 ZINC001214528884 876377493 /nfs/dbraw/zinc/37/74/93/876377493.db2.gz DNLOSFBUGNZKNU-ZIAGYGMSSA-N 1 2 318.421 1.933 20 30 DDEDLO C#CC1CCN(c2nnc([C@@]3(C)C[C@H](O)C[N@@H+]3C)n2CC)CC1 ZINC001352310921 876687773 /nfs/dbraw/zinc/68/77/73/876687773.db2.gz VTOMZLUBSRIAJC-WMLDXEAASA-N 1 2 317.437 1.059 20 30 DDEDLO C#CC1CCN(c2nnc([C@@]3(C)C[C@H](O)C[N@H+]3C)n2CC)CC1 ZINC001352310921 876687777 /nfs/dbraw/zinc/68/77/77/876687777.db2.gz VTOMZLUBSRIAJC-WMLDXEAASA-N 1 2 317.437 1.059 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC[C@@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001352874516 876983698 /nfs/dbraw/zinc/98/36/98/876983698.db2.gz SDMJUFGGEUAAOF-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@H+](Cc3ccccn3)C[C@@H]21 ZINC001217752739 877307342 /nfs/dbraw/zinc/30/73/42/877307342.db2.gz KMSMQBZLUMMNTM-DLBZAZTESA-N 1 2 313.401 1.297 20 30 DDEDLO C#CCCCC(=O)N1CCO[C@@H]2C[N@@H+](Cc3ccccn3)C[C@@H]21 ZINC001217752739 877307358 /nfs/dbraw/zinc/30/73/58/877307358.db2.gz KMSMQBZLUMMNTM-DLBZAZTESA-N 1 2 313.401 1.297 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)C1 ZINC001353568590 877423267 /nfs/dbraw/zinc/42/32/67/877423267.db2.gz XCLZRCBHPOIBDR-JHJVBQTASA-N 1 2 316.405 1.559 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)CC(F)(F)F)[C@H]2C1 ZINC001218356044 877458501 /nfs/dbraw/zinc/45/85/01/877458501.db2.gz VTBXPBLEFDNUDL-GRYCIOLGSA-N 1 2 304.312 1.120 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)CC(F)(F)F)[C@H]2C1 ZINC001218356044 877458507 /nfs/dbraw/zinc/45/85/07/877458507.db2.gz VTBXPBLEFDNUDL-GRYCIOLGSA-N 1 2 304.312 1.120 20 30 DDEDLO C=CCCCC(=O)N1CCO[C@@H]([C@H](C)[NH2+]Cc2csnn2)C1 ZINC001276789840 877586218 /nfs/dbraw/zinc/58/62/18/877586218.db2.gz PBQHHROHCXOEMK-GXTWGEPZSA-N 1 2 324.450 1.600 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219208939 878018600 /nfs/dbraw/zinc/01/86/00/878018600.db2.gz UWYZDFSEHOKJDA-OIISXLGYSA-N 1 2 300.402 1.246 20 30 DDEDLO CC[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001219208939 878018618 /nfs/dbraw/zinc/01/86/18/878018618.db2.gz UWYZDFSEHOKJDA-OIISXLGYSA-N 1 2 300.402 1.246 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@H+](Cc2cccc(OCC)n2)C[C@@H]1O ZINC001219332827 878127807 /nfs/dbraw/zinc/12/78/07/878127807.db2.gz IVRMYTFHLCZJBE-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(OCC)n2)C[C@@H]1O ZINC001219332827 878127817 /nfs/dbraw/zinc/12/78/17/878127817.db2.gz IVRMYTFHLCZJBE-CABCVRRESA-N 1 2 319.405 1.108 20 30 DDEDLO Cc1cccc(Cl)c1C[N@H+]1C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](O)C1 ZINC001219381308 878164956 /nfs/dbraw/zinc/16/49/56/878164956.db2.gz OIAJYSMUDCTTGP-DFBGVHRSSA-N 1 2 321.808 1.469 20 30 DDEDLO Cc1cccc(Cl)c1C[N@@H+]1C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](O)C1 ZINC001219381308 878164958 /nfs/dbraw/zinc/16/49/58/878164958.db2.gz OIAJYSMUDCTTGP-DFBGVHRSSA-N 1 2 321.808 1.469 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219581786 878359168 /nfs/dbraw/zinc/35/91/68/878359168.db2.gz UJHKWVILKBUVFM-CVEARBPZSA-N 1 2 320.820 1.661 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)C[C@@H]1O ZINC001219581786 878359182 /nfs/dbraw/zinc/35/91/82/878359182.db2.gz UJHKWVILKBUVFM-CVEARBPZSA-N 1 2 320.820 1.661 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@H+]([C@H](C)c2cnc(C)cn2)C[C@@H]1O ZINC001220142910 878732822 /nfs/dbraw/zinc/73/28/22/878732822.db2.gz MTRJDFBTHXLLAU-YUELXQCFSA-N 1 2 318.421 1.220 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[N@@H+]([C@H](C)c2cnc(C)cn2)C[C@@H]1O ZINC001220142910 878732839 /nfs/dbraw/zinc/73/28/39/878732839.db2.gz MTRJDFBTHXLLAU-YUELXQCFSA-N 1 2 318.421 1.220 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@@H+]2C)nnc1N(CC)CC1CCC1 ZINC001355920589 878777768 /nfs/dbraw/zinc/77/77/68/878777768.db2.gz UDGOTPVFHGPVDP-GJZGRUSLSA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@H](O)C[N@H+]2C)nnc1N(CC)CC1CCC1 ZINC001355920589 878777784 /nfs/dbraw/zinc/77/77/84/878777784.db2.gz UDGOTPVFHGPVDP-GJZGRUSLSA-N 1 2 319.453 1.828 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@H](C)C#N)Cc1cc(C)ns1 ZINC001380104318 878805810 /nfs/dbraw/zinc/80/58/10/878805810.db2.gz PMRAFAWXRGNAIK-LLVKDONJSA-N 1 2 310.423 1.176 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@H](C)C#N)Cc1cc(C)ns1 ZINC001380104318 878805819 /nfs/dbraw/zinc/80/58/19/878805819.db2.gz PMRAFAWXRGNAIK-LLVKDONJSA-N 1 2 310.423 1.176 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cncs2)C[C@@H]1O ZINC001220201059 878814755 /nfs/dbraw/zinc/81/47/55/878814755.db2.gz FHBNVYLBSYNVTM-YUTCNCBUSA-N 1 2 309.435 1.407 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cncs2)C[C@@H]1O ZINC001220201059 878814759 /nfs/dbraw/zinc/81/47/59/878814759.db2.gz FHBNVYLBSYNVTM-YUTCNCBUSA-N 1 2 309.435 1.407 20 30 DDEDLO CCN(CCNC(=O)C#CC(C)(C)C)C(=O)CCc1c[nH]c[nH+]1 ZINC001356051563 878846598 /nfs/dbraw/zinc/84/65/98/878846598.db2.gz VJCPHRIRTBVRSM-UHFFFAOYSA-N 1 2 318.421 1.357 20 30 DDEDLO CCN(CCNC(=O)C#CC(C)(C)C)C(=O)CCc1c[nH+]c[nH]1 ZINC001356051563 878846608 /nfs/dbraw/zinc/84/66/08/878846608.db2.gz VJCPHRIRTBVRSM-UHFFFAOYSA-N 1 2 318.421 1.357 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@H+](Cc3cnoc3C)C[C@@H]2O)CCC1 ZINC001220293772 878893110 /nfs/dbraw/zinc/89/31/10/878893110.db2.gz UFXNAXJCZPUKLG-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C=CCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cnoc3C)C[C@@H]2O)CCC1 ZINC001220293772 878893119 /nfs/dbraw/zinc/89/31/19/878893119.db2.gz UFXNAXJCZPUKLG-CABCVRRESA-N 1 2 319.405 1.391 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](Cc2ccccc2)C(C)C)[C@@H](O)C1 ZINC001220351967 878950017 /nfs/dbraw/zinc/95/00/17/878950017.db2.gz ONYFCTXYAPMETP-KURKYZTESA-N 1 2 314.429 1.296 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](Cc2ccccc2)C(C)C)[C@@H](O)C1 ZINC001220351967 878950025 /nfs/dbraw/zinc/95/00/25/878950025.db2.gz ONYFCTXYAPMETP-KURKYZTESA-N 1 2 314.429 1.296 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@@H]1O ZINC001220434313 879009007 /nfs/dbraw/zinc/00/90/07/879009007.db2.gz DCXUUNFUGDLGBA-CABCVRRESA-N 1 2 321.421 1.501 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@@H]1O ZINC001220434313 879009019 /nfs/dbraw/zinc/00/90/19/879009019.db2.gz DCXUUNFUGDLGBA-CABCVRRESA-N 1 2 321.421 1.501 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2cncc(C)c2)C[C@@H]1O ZINC001220434418 879009979 /nfs/dbraw/zinc/00/99/79/879009979.db2.gz JAASBUILRUSAJL-CVEARBPZSA-N 1 2 317.433 1.654 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2cncc(C)c2)C[C@@H]1O ZINC001220434418 879009995 /nfs/dbraw/zinc/00/99/95/879009995.db2.gz JAASBUILRUSAJL-CVEARBPZSA-N 1 2 317.433 1.654 20 30 DDEDLO C=CC(C)(C)C(=O)NCCN(C(=O)CCc1[nH]cc[nH+]1)C1CC1 ZINC001356637553 879146724 /nfs/dbraw/zinc/14/67/24/879146724.db2.gz IEKQIDABFCIZGG-UHFFFAOYSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@H](CC)NC(=O)Cc1[nH]cc[nH+]1 ZINC001356910464 879572374 /nfs/dbraw/zinc/57/23/74/879572374.db2.gz ZGIDUYFSMJUWMH-LBPRGKRZSA-N 1 2 306.410 1.566 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@H+](Cc3ccns3)C[C@H]21 ZINC001221441369 879803272 /nfs/dbraw/zinc/80/32/72/879803272.db2.gz CYUDZADSWUZARQ-TZMCWYRMSA-N 1 2 307.419 1.378 20 30 DDEDLO C=CCOCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccns3)C[C@H]21 ZINC001221441369 879803282 /nfs/dbraw/zinc/80/32/82/879803282.db2.gz CYUDZADSWUZARQ-TZMCWYRMSA-N 1 2 307.419 1.378 20 30 DDEDLO C=C(Br)C[N@H+]1CC[C@@](O)(CNC(=O)C(C)C)C1 ZINC001380522457 879850802 /nfs/dbraw/zinc/85/08/02/879850802.db2.gz QUVIRMZHKYAMRA-GFCCVEGCSA-N 1 2 305.216 1.104 20 30 DDEDLO C=C(Br)C[N@@H+]1CC[C@@](O)(CNC(=O)C(C)C)C1 ZINC001380522457 879850808 /nfs/dbraw/zinc/85/08/08/879850808.db2.gz QUVIRMZHKYAMRA-GFCCVEGCSA-N 1 2 305.216 1.104 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@@H+](CC(=O)N[C@H](C)CC)C[C@H]21 ZINC001221583229 879956339 /nfs/dbraw/zinc/95/63/39/879956339.db2.gz GNDDVHXCNXYOGR-BZUAXINKSA-N 1 2 321.465 1.790 20 30 DDEDLO C=C(C)CCC(=O)N1C[C@H]2CC[N@H+](CC(=O)N[C@H](C)CC)C[C@H]21 ZINC001221583229 879956347 /nfs/dbraw/zinc/95/63/47/879956347.db2.gz GNDDVHXCNXYOGR-BZUAXINKSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@@H+](CCF)C[C@H]32)CCOCC1 ZINC001221984807 880178094 /nfs/dbraw/zinc/17/80/94/880178094.db2.gz CJTVEMYRIJCATF-HUUCEWRRSA-N 1 2 310.413 1.862 20 30 DDEDLO C=CCC1(C(=O)N2C[C@H]3CC[N@H+](CCF)C[C@H]32)CCOCC1 ZINC001221984807 880178100 /nfs/dbraw/zinc/17/81/00/880178100.db2.gz CJTVEMYRIJCATF-HUUCEWRRSA-N 1 2 310.413 1.862 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CC[N@@H+]1Cc1ccnc(N(C)C)c1 ZINC001276842526 880718131 /nfs/dbraw/zinc/71/81/31/880718131.db2.gz OOOSTFPMPHMSKL-MRXNPFEDSA-N 1 2 314.433 1.498 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CC[N@H+]1Cc1ccnc(N(C)C)c1 ZINC001276842526 880718140 /nfs/dbraw/zinc/71/81/40/880718140.db2.gz OOOSTFPMPHMSKL-MRXNPFEDSA-N 1 2 314.433 1.498 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CC[N@@H+]1Cc1cccc(F)c1 ZINC001276854421 880766530 /nfs/dbraw/zinc/76/65/30/880766530.db2.gz WCYCZEURDYUWAR-CZUORRHYSA-N 1 2 304.365 1.555 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC[C@H]1CC[N@H+]1Cc1cccc(F)c1 ZINC001276854421 880766543 /nfs/dbraw/zinc/76/65/43/880766543.db2.gz WCYCZEURDYUWAR-CZUORRHYSA-N 1 2 304.365 1.555 20 30 DDEDLO Cc1nnc([C@H](C)[NH+]2CCC(CNC(=O)C#CC3CC3)CC2)[nH]1 ZINC001223134829 880847389 /nfs/dbraw/zinc/84/73/89/880847389.db2.gz RYLWLCHRQNSTOY-LBPRGKRZSA-N 1 2 315.421 1.416 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001276900888 881008070 /nfs/dbraw/zinc/00/80/70/881008070.db2.gz SMPKQRCJDFMPSU-GJZGRUSLSA-N 1 2 321.465 1.789 20 30 DDEDLO C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1[C@H]1CCN(C(C)C)C1=O ZINC001276900888 881008088 /nfs/dbraw/zinc/00/80/88/881008088.db2.gz SMPKQRCJDFMPSU-GJZGRUSLSA-N 1 2 321.465 1.789 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C(C)(C)C(F)F ZINC001276922774 881109537 /nfs/dbraw/zinc/10/95/37/881109537.db2.gz ACCWDSHQNHPSAA-WDEREUQCSA-N 1 2 317.380 1.159 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)C(C)(C)C(F)F ZINC001276922774 881109538 /nfs/dbraw/zinc/10/95/38/881109538.db2.gz ACCWDSHQNHPSAA-WDEREUQCSA-N 1 2 317.380 1.159 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)C1CCC(F)(F)CC1 ZINC001276930344 881143065 /nfs/dbraw/zinc/14/30/65/881143065.db2.gz GCJWLYJDYXNDDB-AWEZNQCLSA-N 1 2 314.376 1.652 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)C1CCC(F)(F)CC1 ZINC001276930344 881143076 /nfs/dbraw/zinc/14/30/76/881143076.db2.gz GCJWLYJDYXNDDB-AWEZNQCLSA-N 1 2 314.376 1.652 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1nnn(C)n1 ZINC001228123230 883348877 /nfs/dbraw/zinc/34/88/77/883348877.db2.gz LZIBOEPRQWMKNI-MGPQQGTHSA-N 1 2 320.441 1.329 20 30 DDEDLO C=CC(C)(C)C(=O)NC1CC[NH+]([C@H](C)c2nncn2C)CC1 ZINC001228631948 883583259 /nfs/dbraw/zinc/58/32/59/883583259.db2.gz YHFHLYARIUKDDI-GFCCVEGCSA-N 1 2 305.426 1.669 20 30 DDEDLO COc1cccc2c(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@H+]4C)ncnc12 ZINC001228869323 883707535 /nfs/dbraw/zinc/70/75/35/883707535.db2.gz UWXSAZYGAGOKQX-SHFISYCGSA-N 1 2 313.357 1.630 20 30 DDEDLO COc1cccc2c(O[C@@H]3C[C@@H]4[C@H]5O[C@H]5[C@H](C3)[N@@H+]4C)ncnc12 ZINC001228869323 883707540 /nfs/dbraw/zinc/70/75/40/883707540.db2.gz UWXSAZYGAGOKQX-SHFISYCGSA-N 1 2 313.357 1.630 20 30 DDEDLO CCc1cc(C[N@@H+]2CC[C@H]3C[C@]32C(=O)N2CC(CC#N)C2)on1 ZINC001277382948 883956239 /nfs/dbraw/zinc/95/62/39/883956239.db2.gz GKKQEFXMOYVWTO-SUMWQHHRSA-N 1 2 314.389 1.573 20 30 DDEDLO CCc1cc(C[N@H+]2CC[C@H]3C[C@]32C(=O)N2CC(CC#N)C2)on1 ZINC001277382948 883956244 /nfs/dbraw/zinc/95/62/44/883956244.db2.gz GKKQEFXMOYVWTO-SUMWQHHRSA-N 1 2 314.389 1.573 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3ccc4nc(N)sc4n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229992906 884264976 /nfs/dbraw/zinc/26/49/76/884264976.db2.gz MHMZQJRSTXJANJ-MOGLMSHVSA-N 1 2 304.375 1.265 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3ccc4nc(N)sc4n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001229992906 884264988 /nfs/dbraw/zinc/26/49/88/884264988.db2.gz MHMZQJRSTXJANJ-MOGLMSHVSA-N 1 2 304.375 1.265 20 30 DDEDLO C=C(C)CCC(=O)N(C)C1CC(NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001288317872 912832559 /nfs/dbraw/zinc/83/25/59/912832559.db2.gz KKNKXMFIWLOHIN-UHFFFAOYSA-N 1 2 318.421 1.804 20 30 DDEDLO C=C(C)CCC(=O)N(C)C1CC(NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001288317872 912832578 /nfs/dbraw/zinc/83/25/78/912832578.db2.gz KKNKXMFIWLOHIN-UHFFFAOYSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H]1CN(C)C(=O)CCC1CCCC1 ZINC001230670536 884723973 /nfs/dbraw/zinc/72/39/73/884723973.db2.gz ADSGKIXXEKBREW-MRXNPFEDSA-N 1 2 321.465 1.792 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CCC1CCCC1 ZINC001230670536 884723984 /nfs/dbraw/zinc/72/39/84/884723984.db2.gz ADSGKIXXEKBREW-MRXNPFEDSA-N 1 2 321.465 1.792 20 30 DDEDLO CSCC(=O)N(C)C[C@@H]1CC[N@@H+]1CC#Cc1ccccc1 ZINC001230709531 884776678 /nfs/dbraw/zinc/77/66/78/884776678.db2.gz CEYLVSMETVFPHN-INIZCTEOSA-N 1 2 302.443 1.934 20 30 DDEDLO CSCC(=O)N(C)C[C@@H]1CC[N@H+]1CC#Cc1ccccc1 ZINC001230709531 884776696 /nfs/dbraw/zinc/77/66/96/884776696.db2.gz CEYLVSMETVFPHN-INIZCTEOSA-N 1 2 302.443 1.934 20 30 DDEDLO Cc1noc(C)c1C[N@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230816210 884914075 /nfs/dbraw/zinc/91/40/75/884914075.db2.gz YELFODDNBWUVMT-CYBMUJFWSA-N 1 2 322.434 1.581 20 30 DDEDLO Cc1noc(C)c1C[N@@H+]1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230816210 884914094 /nfs/dbraw/zinc/91/40/94/884914094.db2.gz YELFODDNBWUVMT-CYBMUJFWSA-N 1 2 322.434 1.581 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001231094980 885213157 /nfs/dbraw/zinc/21/31/57/885213157.db2.gz LCWZACYMJSJKAV-GDBMZVCRSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001231094980 885213171 /nfs/dbraw/zinc/21/31/71/885213171.db2.gz LCWZACYMJSJKAV-GDBMZVCRSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cccnc1OC ZINC001231226955 885402030 /nfs/dbraw/zinc/40/20/30/885402030.db2.gz UGUJNBGTQKGQON-HNNXBMFYSA-N 1 2 319.405 1.326 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1cccnc1OC ZINC001231226955 885402044 /nfs/dbraw/zinc/40/20/44/885402044.db2.gz UGUJNBGTQKGQON-HNNXBMFYSA-N 1 2 319.405 1.326 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C2CC2)no1 ZINC001231240248 885418765 /nfs/dbraw/zinc/41/87/65/885418765.db2.gz JKDHAWDDCWIHRL-CQSZACIVSA-N 1 2 319.405 1.787 20 30 DDEDLO C=CCOCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C2CC2)no1 ZINC001231240248 885418769 /nfs/dbraw/zinc/41/87/69/885418769.db2.gz JKDHAWDDCWIHRL-CQSZACIVSA-N 1 2 319.405 1.787 20 30 DDEDLO COc1cc(C[N@@H+]2CC[C@H]2CN(C)C(=O)[C@H](C)C#N)ccn1 ZINC001231245867 885423599 /nfs/dbraw/zinc/42/35/99/885423599.db2.gz BOLXWUBBZBWAFF-OCCSQVGLSA-N 1 2 302.378 1.283 20 30 DDEDLO COc1cc(C[N@H+]2CC[C@H]2CN(C)C(=O)[C@H](C)C#N)ccn1 ZINC001231245867 885423605 /nfs/dbraw/zinc/42/36/05/885423605.db2.gz BOLXWUBBZBWAFF-OCCSQVGLSA-N 1 2 302.378 1.283 20 30 DDEDLO CCOc1cccc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)[C@H](C)C#N)n1 ZINC001231247705 885428356 /nfs/dbraw/zinc/42/83/56/885428356.db2.gz QZAZWTHDBDJGDG-UKRRQHHQSA-N 1 2 316.405 1.673 20 30 DDEDLO CCOc1cccc(C[N@H+]2CC[C@@H]2CN(C)C(=O)[C@H](C)C#N)n1 ZINC001231247705 885428366 /nfs/dbraw/zinc/42/83/66/885428366.db2.gz QZAZWTHDBDJGDG-UKRRQHHQSA-N 1 2 316.405 1.673 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1oc(C)cc1C ZINC001231413407 885642106 /nfs/dbraw/zinc/64/21/06/885642106.db2.gz SBDHXFNCVWDHJJ-OAHLLOKOSA-N 1 2 304.390 1.693 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1oc(C)cc1C ZINC001231413407 885642119 /nfs/dbraw/zinc/64/21/19/885642119.db2.gz SBDHXFNCVWDHJJ-OAHLLOKOSA-N 1 2 304.390 1.693 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)C[C@@H](C)NC(=O)Cc1[nH]cc[nH+]1 ZINC001288528922 912961571 /nfs/dbraw/zinc/96/15/71/912961571.db2.gz ORGQTURLARPEJR-CHWSQXEVSA-N 1 2 304.394 1.011 20 30 DDEDLO C=CCOCC(=O)N(C)C1C[NH+](CCc2ccccc2F)C1 ZINC001277570884 885887948 /nfs/dbraw/zinc/88/79/48/885887948.db2.gz PDYFDSGURRWBNN-UHFFFAOYSA-N 1 2 306.381 1.713 20 30 DDEDLO Cc1ncoc1C[NH+]1CC2(C[C@@H]2C(=O)N2CC(CC#N)C2)C1 ZINC001277602505 886037530 /nfs/dbraw/zinc/03/75/30/886037530.db2.gz QCTMXOOAQXBGMS-CYBMUJFWSA-N 1 2 300.362 1.177 20 30 DDEDLO N#Cc1ccc(O)c(CN2C[C@@H]3C[N@@H+](CC4CC4)C[C@H](C2)O3)c1 ZINC001232675519 886527097 /nfs/dbraw/zinc/52/70/97/886527097.db2.gz AWMKAGLPXLRKQA-CALCHBBNSA-N 1 2 313.401 1.559 20 30 DDEDLO N#Cc1ccc(O)c(C[NH+]2CCC(N3CCOC3=O)CC2)c1 ZINC001232678874 886531504 /nfs/dbraw/zinc/53/15/04/886531504.db2.gz SIDYRVPNEFDWMU-UHFFFAOYSA-N 1 2 301.346 1.680 20 30 DDEDLO C=CCNC(=O)NC(C)(C)C(=O)N(C)C1C[NH+](CC=C(C)C)C1 ZINC001277706677 886556612 /nfs/dbraw/zinc/55/66/12/886556612.db2.gz DMWULGNUGZOFKO-UHFFFAOYSA-N 1 2 322.453 1.359 20 30 DDEDLO CC(=O)N[C@H]1CC[N@@H+](Cc2ccc(N(C)C)c(C#N)c2F)C1 ZINC001233102807 886788190 /nfs/dbraw/zinc/78/81/90/886788190.db2.gz OEVIKLSIOWOEIA-ZDUSSCGKSA-N 1 2 304.369 1.474 20 30 DDEDLO CC(=O)N[C@H]1CC[N@H+](Cc2ccc(N(C)C)c(C#N)c2F)C1 ZINC001233102807 886788202 /nfs/dbraw/zinc/78/82/02/886788202.db2.gz OEVIKLSIOWOEIA-ZDUSSCGKSA-N 1 2 304.369 1.474 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]1CN(C)C(=O)Cc1ccoc1 ZINC001233899725 887443984 /nfs/dbraw/zinc/44/39/84/887443984.db2.gz ZEVRNFYQVQZODF-ZFWWWQNUSA-N 1 2 319.405 1.046 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]1CN(C)C(=O)Cc1ccoc1 ZINC001233899725 887443989 /nfs/dbraw/zinc/44/39/89/887443989.db2.gz ZEVRNFYQVQZODF-ZFWWWQNUSA-N 1 2 319.405 1.046 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1[C@H](C)C(=O)NCCCC ZINC001233907357 887446702 /nfs/dbraw/zinc/44/67/02/887446702.db2.gz QYLFMCPSVKJWFG-HZPDHXFCSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)C(=O)NCCCC ZINC001233907357 887446714 /nfs/dbraw/zinc/44/67/14/887446714.db2.gz QYLFMCPSVKJWFG-HZPDHXFCSA-N 1 2 321.465 1.627 20 30 DDEDLO COc1cccc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)C)n1 ZINC001234119121 887664262 /nfs/dbraw/zinc/66/42/62/887664262.db2.gz OHZAAMIRTXSTCD-MRXNPFEDSA-N 1 2 315.417 1.782 20 30 DDEDLO COc1cccc(C[N@H+]2CC[C@@H]2CN(C)C(=O)C#CC(C)C)n1 ZINC001234119121 887664267 /nfs/dbraw/zinc/66/42/67/887664267.db2.gz OHZAAMIRTXSTCD-MRXNPFEDSA-N 1 2 315.417 1.782 20 30 DDEDLO C[N@@H+]1CCO[C@H](COc2ccnc(Br)c2C#N)C1 ZINC001234427033 887963552 /nfs/dbraw/zinc/96/35/52/887963552.db2.gz OMJUXHWLCMZANX-VIFPVBQESA-N 1 2 312.167 1.425 20 30 DDEDLO C[N@H+]1CCO[C@H](COc2ccnc(Br)c2C#N)C1 ZINC001234427033 887963559 /nfs/dbraw/zinc/96/35/59/887963559.db2.gz OMJUXHWLCMZANX-VIFPVBQESA-N 1 2 312.167 1.425 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC(C)C ZINC001234509780 888036612 /nfs/dbraw/zinc/03/66/12/888036612.db2.gz PPXVUZUTDFVOPA-MRXNPFEDSA-N 1 2 321.465 1.812 20 30 DDEDLO C=CCC(CC=C)C(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC(C)C ZINC001234509780 888036628 /nfs/dbraw/zinc/03/66/28/888036628.db2.gz PPXVUZUTDFVOPA-MRXNPFEDSA-N 1 2 321.465 1.812 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@H+]1[C@H](C)C(=O)NC1CC1 ZINC001234626501 888151739 /nfs/dbraw/zinc/15/17/39/888151739.db2.gz TWFHABSSLOQBEG-HIFRSBDPSA-N 1 2 307.438 1.543 20 30 DDEDLO C=C(C)CCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)C(=O)NC1CC1 ZINC001234626501 888151752 /nfs/dbraw/zinc/15/17/52/888151752.db2.gz TWFHABSSLOQBEG-HIFRSBDPSA-N 1 2 307.438 1.543 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)[C@@H](C)c1nnc(C)[nH]1 ZINC001235228888 888492755 /nfs/dbraw/zinc/49/27/55/888492755.db2.gz LFTNNSBXDDRSPN-QWHCGFSZSA-N 1 2 323.441 1.593 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)[C@@H](C)c1nnc(C)[nH]1 ZINC001235228888 888492765 /nfs/dbraw/zinc/49/27/65/888492765.db2.gz LFTNNSBXDDRSPN-QWHCGFSZSA-N 1 2 323.441 1.593 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@H+](C)Cc1nnc(C)o1 ZINC001235242861 888495519 /nfs/dbraw/zinc/49/55/19/888495519.db2.gz AOVWMNDVKXCOTD-GFCCVEGCSA-N 1 2 310.398 1.297 20 30 DDEDLO C=CCCO[C@H](C)C(=O)NCCC[N@@H+](C)Cc1nnc(C)o1 ZINC001235242861 888495525 /nfs/dbraw/zinc/49/55/25/888495525.db2.gz AOVWMNDVKXCOTD-GFCCVEGCSA-N 1 2 310.398 1.297 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N1CC[C@@H]1CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001290010806 913332019 /nfs/dbraw/zinc/33/20/19/913332019.db2.gz NMJWNACYZXOZIC-RHSMWYFYSA-N 1 2 318.421 1.614 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](CNC(=O)C[C@@H](C)n2cc[nH+]c2)C1 ZINC001290038153 913358831 /nfs/dbraw/zinc/35/88/31/913358831.db2.gz GEGKDYOUBYJUDN-KFWWJZLASA-N 1 2 318.421 1.811 20 30 DDEDLO CC1(C)CN(Cc2cc(Cl)cc(C#N)c2)CC[N@@H+]1CC(N)=O ZINC001237751033 889834746 /nfs/dbraw/zinc/83/47/46/889834746.db2.gz FNOKLSGTGKUYGJ-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO CC1(C)CN(Cc2cc(Cl)cc(C#N)c2)CC[N@H+]1CC(N)=O ZINC001237751033 889834761 /nfs/dbraw/zinc/83/47/61/889834761.db2.gz FNOKLSGTGKUYGJ-UHFFFAOYSA-N 1 2 320.824 1.593 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N2CC[N@@H+]3C[C@@H](F)C[C@H]3C2)cc1 ZINC001364992493 889837425 /nfs/dbraw/zinc/83/74/25/889837425.db2.gz UVGQBGVLEBGTNF-GJZGRUSLSA-N 1 2 323.393 1.116 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N2CC[N@H+]3C[C@@H](F)C[C@H]3C2)cc1 ZINC001364992493 889837434 /nfs/dbraw/zinc/83/74/34/889837434.db2.gz UVGQBGVLEBGTNF-GJZGRUSLSA-N 1 2 323.393 1.116 20 30 DDEDLO COc1cc(C[N@@H+]2CCO[C@@H]3C[C@@H](CO)C[C@@H]32)ccc1C#N ZINC001238408977 890199130 /nfs/dbraw/zinc/19/91/30/890199130.db2.gz ANNSJRQGFHFLPF-JLJPHGGASA-N 1 2 302.374 1.539 20 30 DDEDLO COc1cc(C[N@H+]2CCO[C@@H]3C[C@@H](CO)C[C@@H]32)ccc1C#N ZINC001238408977 890199133 /nfs/dbraw/zinc/19/91/33/890199133.db2.gz ANNSJRQGFHFLPF-JLJPHGGASA-N 1 2 302.374 1.539 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccnn1 ZINC001365710044 891378247 /nfs/dbraw/zinc/37/82/47/891378247.db2.gz UOAFMNXRZXDVPU-OLZOCXBDSA-N 1 2 306.797 1.908 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccnn1 ZINC001365710044 891378260 /nfs/dbraw/zinc/37/82/60/891378260.db2.gz UOAFMNXRZXDVPU-OLZOCXBDSA-N 1 2 306.797 1.908 20 30 DDEDLO C[NH+]1CCN(C(=O)c2ccnc(-c3cncc(C#N)c3)c2)CC1 ZINC001242270402 891379756 /nfs/dbraw/zinc/37/97/56/891379756.db2.gz AZYKBIZRPQBLBC-UHFFFAOYSA-N 1 2 307.357 1.403 20 30 DDEDLO C[C@@H]1CC(=O)C=C(c2cc(C(=O)N3CC[NH+](C)CC3)ccn2)C1 ZINC001242737375 891496555 /nfs/dbraw/zinc/49/65/55/891496555.db2.gz LXKYOISBYSQDJZ-ZDUSSCGKSA-N 1 2 313.401 1.852 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H](C)NC(=O)CC ZINC001366196673 892706296 /nfs/dbraw/zinc/70/62/96/892706296.db2.gz GSOZSBWEIVDKTQ-QWHCGFSZSA-N 1 2 315.845 1.624 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H](C)NC(=O)CC ZINC001366196673 892706303 /nfs/dbraw/zinc/70/63/03/892706303.db2.gz GSOZSBWEIVDKTQ-QWHCGFSZSA-N 1 2 315.845 1.624 20 30 DDEDLO C=CCCNC(=S)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001247599734 893247942 /nfs/dbraw/zinc/24/79/42/893247942.db2.gz ARXLFBFFIPRRER-UHFFFAOYSA-N 1 2 309.439 1.078 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)Cn1ncc2cc(C)cnc21 ZINC001366551111 893992684 /nfs/dbraw/zinc/99/26/84/893992684.db2.gz NEUGUWOICVRCSZ-UHFFFAOYSA-N 1 2 321.812 1.540 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)Cn1ncc2cc(C)cnc21 ZINC001366551111 893992694 /nfs/dbraw/zinc/99/26/94/893992694.db2.gz NEUGUWOICVRCSZ-UHFFFAOYSA-N 1 2 321.812 1.540 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C(C)(CC)CC)[C@@H]1C ZINC001278533308 894117145 /nfs/dbraw/zinc/11/71/45/894117145.db2.gz QEFAJHBKNIRRTH-LSDHHAIUSA-N 1 2 321.465 1.531 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(CC)CC)[C@@H]1C ZINC001278533308 894117157 /nfs/dbraw/zinc/11/71/57/894117157.db2.gz QEFAJHBKNIRRTH-LSDHHAIUSA-N 1 2 321.465 1.531 20 30 DDEDLO C=CCOC[C@@H](O)C[N@@H+](C)[C@@H](Cc1ccccc1)C(=O)OC ZINC001252471410 895186820 /nfs/dbraw/zinc/18/68/20/895186820.db2.gz XJILPWBOCCSDIL-HOTGVXAUSA-N 1 2 307.390 1.266 20 30 DDEDLO C=CCOC[C@@H](O)C[N@H+](C)[C@@H](Cc1ccccc1)C(=O)OC ZINC001252471410 895186826 /nfs/dbraw/zinc/18/68/26/895186826.db2.gz XJILPWBOCCSDIL-HOTGVXAUSA-N 1 2 307.390 1.266 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2F)C1 ZINC001366889489 895243477 /nfs/dbraw/zinc/24/34/77/895243477.db2.gz NHUZDSTTYDOTJE-SWLSCSKDSA-N 1 2 314.364 1.938 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2F)C1 ZINC001366889489 895243490 /nfs/dbraw/zinc/24/34/90/895243490.db2.gz NHUZDSTTYDOTJE-SWLSCSKDSA-N 1 2 314.364 1.938 20 30 DDEDLO C=CCC[C@@H](O)C[N@@H+]1CCc2c([nH]nc2C(=O)N2CCCC2)C1 ZINC001252596747 895301754 /nfs/dbraw/zinc/30/17/54/895301754.db2.gz RZIYMZYTFJEAMN-CYBMUJFWSA-N 1 2 318.421 1.331 20 30 DDEDLO C=CCC[C@@H](O)C[N@H+]1CCc2c([nH]nc2C(=O)N2CCCC2)C1 ZINC001252596747 895301768 /nfs/dbraw/zinc/30/17/68/895301768.db2.gz RZIYMZYTFJEAMN-CYBMUJFWSA-N 1 2 318.421 1.331 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H](NC(N)=O)C(C)(C)C)C1 ZINC001367033021 895629161 /nfs/dbraw/zinc/62/91/61/895629161.db2.gz DDVJMRDEPWGYMS-MNOVXSKESA-N 1 2 316.833 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H](NC(N)=O)C(C)(C)C)C1 ZINC001367033021 895629171 /nfs/dbraw/zinc/62/91/71/895629171.db2.gz DDVJMRDEPWGYMS-MNOVXSKESA-N 1 2 316.833 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1ccnc2ccnn21 ZINC001367515167 897004041 /nfs/dbraw/zinc/00/40/41/897004041.db2.gz QBKHSIUGHAFCJW-UHFFFAOYSA-N 1 2 307.785 1.486 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1ccnc2ccnn21 ZINC001367515167 897004055 /nfs/dbraw/zinc/00/40/55/897004055.db2.gz QBKHSIUGHAFCJW-UHFFFAOYSA-N 1 2 307.785 1.486 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@H]1CCCN1C(C)=O ZINC001367555865 897111652 /nfs/dbraw/zinc/11/16/52/897111652.db2.gz HCZMLAFMLVSUEQ-CYBMUJFWSA-N 1 2 301.818 1.140 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@H]1CCCN1C(C)=O ZINC001367555865 897111658 /nfs/dbraw/zinc/11/16/58/897111658.db2.gz HCZMLAFMLVSUEQ-CYBMUJFWSA-N 1 2 301.818 1.140 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@H](C)C1CC[NH+](Cc2ncnn2C)CC1 ZINC001279089391 898132647 /nfs/dbraw/zinc/13/26/47/898132647.db2.gz AYBXSWXXEPZOJX-CYBMUJFWSA-N 1 2 319.453 1.744 20 30 DDEDLO C[C@@H](C(=O)NC[C@@H]1CC[N@@H+]1Cc1cccc(C#N)c1)n1cncn1 ZINC001367939997 898252904 /nfs/dbraw/zinc/25/29/04/898252904.db2.gz LBSLLNQAECCDFT-BBRMVZONSA-N 1 2 324.388 1.101 20 30 DDEDLO C[C@@H](C(=O)NC[C@@H]1CC[N@H+]1Cc1cccc(C#N)c1)n1cncn1 ZINC001367939997 898252921 /nfs/dbraw/zinc/25/29/21/898252921.db2.gz LBSLLNQAECCDFT-BBRMVZONSA-N 1 2 324.388 1.101 20 30 DDEDLO C[C@@H]1OCC[C@H]1C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001390835203 900312444 /nfs/dbraw/zinc/31/24/44/900312444.db2.gz HSGCUOUADKFSIX-SWLSCSKDSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@@H]1OCC[C@H]1C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001390835203 900312455 /nfs/dbraw/zinc/31/24/55/900312455.db2.gz HSGCUOUADKFSIX-SWLSCSKDSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@H]1OCC[C@H]1C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1F ZINC001390835202 900312989 /nfs/dbraw/zinc/31/29/89/900312989.db2.gz HSGCUOUADKFSIX-IUODEOHRSA-N 1 2 319.380 1.670 20 30 DDEDLO C[C@H]1OCC[C@H]1C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001390835202 900313004 /nfs/dbraw/zinc/31/30/04/900313004.db2.gz HSGCUOUADKFSIX-IUODEOHRSA-N 1 2 319.380 1.670 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C3CCSCC3)n2CC)CC1 ZINC001263111342 900503964 /nfs/dbraw/zinc/50/39/64/900503964.db2.gz AITSLFGNXPZAEO-UHFFFAOYSA-N 1 2 319.478 1.664 20 30 DDEDLO C=C(C)Cn1c(C[NH+]2CCCC2)nnc1N1CC[C@@H](COC)C1 ZINC001263287340 900558526 /nfs/dbraw/zinc/55/85/26/900558526.db2.gz FFYITRXUJPQMKX-OAHLLOKOSA-N 1 2 319.453 1.923 20 30 DDEDLO O=C(CCF)OCC#Cc1csc(C[NH+]2CCOCC2)c1 ZINC001263660840 900664383 /nfs/dbraw/zinc/66/43/83/900664383.db2.gz QVIVIYQACIHRMS-UHFFFAOYSA-N 1 2 311.378 1.835 20 30 DDEDLO C[C@@H]1CCN(C(=O)[C@H]2CCc3c[nH+]cn3C2)[C@H](CNCC#N)C1 ZINC001264553524 901181360 /nfs/dbraw/zinc/18/13/60/901181360.db2.gz PQOWOSVMTHNIIU-YCPHGPKFSA-N 1 2 315.421 1.186 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2cccs2)[C@H]1C ZINC001264687538 901286510 /nfs/dbraw/zinc/28/65/10/901286510.db2.gz YYNABBJZKRTZPA-NEPJUHHUSA-N 1 2 307.419 1.243 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2cccs2)[C@H]1C ZINC001264687538 901286519 /nfs/dbraw/zinc/28/65/19/901286519.db2.gz YYNABBJZKRTZPA-NEPJUHHUSA-N 1 2 307.419 1.243 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)[C@@H]1C[C@H]1CC)C2 ZINC001264748459 901329986 /nfs/dbraw/zinc/32/99/86/901329986.db2.gz QXEBNCXWHPJCMU-VXGBXAGGSA-N 1 2 303.431 1.797 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@@H](O)CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001369508154 901399787 /nfs/dbraw/zinc/39/97/87/901399787.db2.gz WRRDPUKDHLGTDM-WCQGTBRESA-N 1 2 319.243 1.302 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@@H](O)CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001369508154 901399776 /nfs/dbraw/zinc/39/97/76/901399776.db2.gz WRRDPUKDHLGTDM-WCQGTBRESA-N 1 2 319.243 1.302 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001265201985 901697723 /nfs/dbraw/zinc/69/77/23/901697723.db2.gz YNLHBRTWLOCICX-MRXNPFEDSA-N 1 2 320.820 1.919 20 30 DDEDLO COCC(=O)N[C@@H]1CCC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001265201985 901697730 /nfs/dbraw/zinc/69/77/30/901697730.db2.gz YNLHBRTWLOCICX-MRXNPFEDSA-N 1 2 320.820 1.919 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@@H+](Cc2cnc(C)nc2)C1 ZINC001265208906 901711305 /nfs/dbraw/zinc/71/13/05/901711305.db2.gz XXJKSROXVFIDPH-OAHLLOKOSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1CCC[N@H+](Cc2cnc(C)nc2)C1 ZINC001265208906 901711311 /nfs/dbraw/zinc/71/13/11/901711311.db2.gz XXJKSROXVFIDPH-OAHLLOKOSA-N 1 2 304.394 1.068 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)c2cnc3ccccc3c2)CC1 ZINC001265264361 901787205 /nfs/dbraw/zinc/78/72/05/901787205.db2.gz MXYDATQRJQSOGR-UHFFFAOYSA-N 1 2 323.400 1.106 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)c2c(C)cccc2C)C1 ZINC001265303737 901856324 /nfs/dbraw/zinc/85/63/24/901856324.db2.gz NWFHHBSJDTVVGC-OAHLLOKOSA-N 1 2 315.417 1.410 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)cccc2C)C1 ZINC001265303737 901856332 /nfs/dbraw/zinc/85/63/32/901856332.db2.gz NWFHHBSJDTVVGC-OAHLLOKOSA-N 1 2 315.417 1.410 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391578254 902052765 /nfs/dbraw/zinc/05/27/65/902052765.db2.gz ZKDDOTNRBXYECP-GDBMZVCRSA-N 1 2 319.380 1.405 20 30 DDEDLO CC(C)[C@@H](O)C(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C1 ZINC001391578254 902052778 /nfs/dbraw/zinc/05/27/78/902052778.db2.gz ZKDDOTNRBXYECP-GDBMZVCRSA-N 1 2 319.380 1.405 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@@H]1CC[N@H+](CC(=O)Nc2ccccc2)C1 ZINC001391607141 902129825 /nfs/dbraw/zinc/12/98/25/902129825.db2.gz CCRYXESKGKBCHK-UKRRQHHQSA-N 1 2 314.389 1.317 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)[C@@H]1CC[N@@H+](CC(=O)Nc2ccccc2)C1 ZINC001391607141 902129832 /nfs/dbraw/zinc/12/98/32/902129832.db2.gz CCRYXESKGKBCHK-UKRRQHHQSA-N 1 2 314.389 1.317 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H](C)c2ccco2)C1 ZINC001266240029 903176145 /nfs/dbraw/zinc/17/61/45/903176145.db2.gz NOBNCPVLGRNKGH-ZIAGYGMSSA-N 1 2 319.405 1.266 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)c2ccco2)C1 ZINC001266240029 903176151 /nfs/dbraw/zinc/17/61/51/903176151.db2.gz NOBNCPVLGRNKGH-ZIAGYGMSSA-N 1 2 319.405 1.266 20 30 DDEDLO CCCCCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccn[nH]1 ZINC001280396368 903630374 /nfs/dbraw/zinc/63/03/74/903630374.db2.gz CIKGXUMKMFMRGO-AWEZNQCLSA-N 1 2 308.426 1.811 20 30 DDEDLO CCCCCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccn[nH]1 ZINC001280396368 903630388 /nfs/dbraw/zinc/63/03/88/903630388.db2.gz CIKGXUMKMFMRGO-AWEZNQCLSA-N 1 2 308.426 1.811 20 30 DDEDLO C#CC[N@H+]1CCc2c(CNC(=O)CCc3cnn[nH]3)cccc2C1 ZINC001280427159 903667468 /nfs/dbraw/zinc/66/74/68/903667468.db2.gz UUVKRQWMXORAJD-UHFFFAOYSA-N 1 2 323.400 1.045 20 30 DDEDLO C#CC[N@@H+]1CCc2c(CNC(=O)CCc3cnn[nH]3)cccc2C1 ZINC001280427159 903667475 /nfs/dbraw/zinc/66/74/75/903667475.db2.gz UUVKRQWMXORAJD-UHFFFAOYSA-N 1 2 323.400 1.045 20 30 DDEDLO C=CCCCC(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH+]cn1C ZINC001280533297 903771647 /nfs/dbraw/zinc/77/16/47/903771647.db2.gz PTEBZFIKVXHVDT-ZDUSSCGKSA-N 1 2 306.410 1.282 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)[C@@H](C)c1cccnc1)O2 ZINC001280931397 904205592 /nfs/dbraw/zinc/20/55/92/904205592.db2.gz MWDDDWHLHUSTHN-GOEBONIOSA-N 1 2 315.417 1.721 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)C1(C(F)F)CC1)CO2 ZINC001280948034 904229402 /nfs/dbraw/zinc/22/94/02/904229402.db2.gz PRHVJBVKJFJKKT-LLVKDONJSA-N 1 2 300.349 1.567 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)Cc2[nH]c[nH+]c2C)[C@H]1C ZINC001281124298 904429086 /nfs/dbraw/zinc/42/90/86/904429086.db2.gz AIFDUZCTFAJYIK-OLZOCXBDSA-N 1 2 304.394 1.332 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)[C@@H]1C ZINC001281208581 904564137 /nfs/dbraw/zinc/56/41/37/904564137.db2.gz ULUPOGCZMVLWND-ZIAGYGMSSA-N 1 2 316.405 1.251 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)c1ccc(N(C)C)nc1 ZINC001375067456 914845296 /nfs/dbraw/zinc/84/52/96/914845296.db2.gz CIPUMTJCUBHDLG-GFCCVEGCSA-N 1 2 310.829 1.950 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)c1ccc(N(C)C)nc1 ZINC001375067456 914845311 /nfs/dbraw/zinc/84/53/11/914845311.db2.gz CIPUMTJCUBHDLG-GFCCVEGCSA-N 1 2 310.829 1.950 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@@H+](Cc2ncnn2CC)[C@H](C)C1 ZINC001281653139 905124110 /nfs/dbraw/zinc/12/41/10/905124110.db2.gz RFJKIABJVQJVGC-ZIAGYGMSSA-N 1 2 305.426 1.733 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2ncnn2CC)[C@H](C)C1 ZINC001281653139 905124115 /nfs/dbraw/zinc/12/41/15/905124115.db2.gz RFJKIABJVQJVGC-ZIAGYGMSSA-N 1 2 305.426 1.733 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC2(CC1)C[N@H+](CCF)CCO2 ZINC001281782274 905213266 /nfs/dbraw/zinc/21/32/66/905213266.db2.gz CFXAECBKTNSUHI-UHFFFAOYSA-N 1 2 310.413 1.699 20 30 DDEDLO CC(C)(C)C#CC(=O)N1CCC2(CC1)C[N@@H+](CCF)CCO2 ZINC001281782274 905213279 /nfs/dbraw/zinc/21/32/79/905213279.db2.gz CFXAECBKTNSUHI-UHFFFAOYSA-N 1 2 310.413 1.699 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N1CC[C@H]1CN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001282050942 905469253 /nfs/dbraw/zinc/46/92/53/905469253.db2.gz BYTVQEIXYFCSRQ-GUYCJALGSA-N 1 2 318.421 1.614 20 30 DDEDLO COc1cc(C[N@H+](C)[C@H](C)CNC(=O)C#CC2CC2)sn1 ZINC001282385217 905734102 /nfs/dbraw/zinc/73/41/02/905734102.db2.gz VMEWNARWIUOXGB-LLVKDONJSA-N 1 2 307.419 1.502 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@H](C)CNC(=O)C#CC2CC2)sn1 ZINC001282385217 905734120 /nfs/dbraw/zinc/73/41/20/905734120.db2.gz VMEWNARWIUOXGB-LLVKDONJSA-N 1 2 307.419 1.502 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)c2ncccn2)CC1(C)C ZINC001282791994 906044572 /nfs/dbraw/zinc/04/45/72/906044572.db2.gz RUOFVCNGRWACDY-UONOGXRCSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CCOCC(=O)N[C@@H]1C[N@H+]([C@@H](C)c2ncccn2)CC1(C)C ZINC001282791994 906044584 /nfs/dbraw/zinc/04/45/84/906044584.db2.gz RUOFVCNGRWACDY-UONOGXRCSA-N 1 2 318.421 1.567 20 30 DDEDLO Cc1ncc(C[N@H+]2C[C@H](NC(=O)C#CC(C)C)C(C)(C)C2)cn1 ZINC001282801134 906054272 /nfs/dbraw/zinc/05/42/72/906054272.db2.gz RNNBQVZKZDHLNS-INIZCTEOSA-N 1 2 314.433 1.771 20 30 DDEDLO Cc1ncc(C[N@@H+]2C[C@H](NC(=O)C#CC(C)C)C(C)(C)C2)cn1 ZINC001282801134 906054284 /nfs/dbraw/zinc/05/42/84/906054284.db2.gz RNNBQVZKZDHLNS-INIZCTEOSA-N 1 2 314.433 1.771 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N1CCN(C(=O)CCn2cc[nH+]c2)CC1 ZINC001282871218 906130686 /nfs/dbraw/zinc/13/06/86/906130686.db2.gz WWUHWOQTFXSLJK-CABCVRRESA-N 1 2 318.421 1.402 20 30 DDEDLO COC1CC(C(=O)NCC[N@H+](C)Cc2ccc(C#N)cc2F)C1 ZINC001372007587 906228596 /nfs/dbraw/zinc/22/85/96/906228596.db2.gz XAEIRTKGVFBOLW-UHFFFAOYSA-N 1 2 319.380 1.670 20 30 DDEDLO COC1CC(C(=O)NCC[N@@H+](C)Cc2ccc(C#N)cc2F)C1 ZINC001372007587 906228613 /nfs/dbraw/zinc/22/86/13/906228613.db2.gz XAEIRTKGVFBOLW-UHFFFAOYSA-N 1 2 319.380 1.670 20 30 DDEDLO Cc1ccnc(C[N@H+]2CCC[C@@](C)(CNC(=O)[C@H](C)C#N)C2)n1 ZINC001393189469 906415653 /nfs/dbraw/zinc/41/56/53/906415653.db2.gz RDZCWOMGIMKHHG-DYVFJYSZSA-N 1 2 315.421 1.663 20 30 DDEDLO Cc1ccnc(C[N@@H+]2CCC[C@@](C)(CNC(=O)[C@H](C)C#N)C2)n1 ZINC001393189469 906415666 /nfs/dbraw/zinc/41/56/66/906415666.db2.gz RDZCWOMGIMKHHG-DYVFJYSZSA-N 1 2 315.421 1.663 20 30 DDEDLO C=C(C)CCC(=O)NCCNC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC001283049839 906536311 /nfs/dbraw/zinc/53/63/11/906536311.db2.gz NPNBGAWQRAUMMA-CYBMUJFWSA-N 1 2 304.394 1.034 20 30 DDEDLO Cc1cnoc1C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001372178819 906656287 /nfs/dbraw/zinc/65/62/87/906656287.db2.gz NLPJRKFPXBUQEZ-UHFFFAOYSA-N 1 2 316.336 1.856 20 30 DDEDLO Cc1cnoc1C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001372178819 906656291 /nfs/dbraw/zinc/65/62/91/906656291.db2.gz NLPJRKFPXBUQEZ-UHFFFAOYSA-N 1 2 316.336 1.856 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CCN(C(=O)CCc2c[nH+]cn2C)C1 ZINC001283357342 907209080 /nfs/dbraw/zinc/20/90/80/907209080.db2.gz ZOIHQLPIRPKCTK-CQSZACIVSA-N 1 2 318.421 1.426 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H](N(C)C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001283391703 907277877 /nfs/dbraw/zinc/27/78/77/907277877.db2.gz NUKZTRCWEHOKHR-ZDUSSCGKSA-N 1 2 318.421 1.614 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@H+](Cc2nnc(C(C)(C)C)[nH]2)C1 ZINC001372595339 907720624 /nfs/dbraw/zinc/72/06/24/907720624.db2.gz NPBLSIFTQZUKCN-VXGBXAGGSA-N 1 2 318.425 1.200 20 30 DDEDLO C[C@H](C#N)C(=O)NC[C@H]1CC[N@@H+](Cc2nnc(C(C)(C)C)[nH]2)C1 ZINC001372595339 907720634 /nfs/dbraw/zinc/72/06/34/907720634.db2.gz NPBLSIFTQZUKCN-VXGBXAGGSA-N 1 2 318.425 1.200 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H](CO)[NH2+]Cc1csc(Cl)n1 ZINC001283757690 907910594 /nfs/dbraw/zinc/91/05/94/907910594.db2.gz VQYCJGGJSZVOAZ-SNVBAGLBSA-N 1 2 315.826 1.023 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001283881720 908124882 /nfs/dbraw/zinc/12/48/82/908124882.db2.gz ZPXSFYKWYSGCEV-TZMCWYRMSA-N 1 2 318.421 1.580 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284000666 908287042 /nfs/dbraw/zinc/28/70/42/908287042.db2.gz GCKIVLFQHUQZGY-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284000666 908287051 /nfs/dbraw/zinc/28/70/51/908287051.db2.gz GCKIVLFQHUQZGY-OLZOCXBDSA-N 1 2 304.394 1.272 20 30 DDEDLO O=C(C#CC1CC1)N[C@H]1C[C@@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001284091255 908462702 /nfs/dbraw/zinc/46/27/02/908462702.db2.gz DIMNFHZCPZQTIG-OKILXGFUSA-N 1 2 322.368 1.353 20 30 DDEDLO C=CCCC(=O)N1CC[C@H](CNC(=O)CCc2[nH+]ccn2C)C1 ZINC001284352508 908872158 /nfs/dbraw/zinc/87/21/58/908872158.db2.gz NCCGYEHQRYHNJR-CQSZACIVSA-N 1 2 318.421 1.284 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)COC[C@H]2CCCO2)C1 ZINC001394251708 909188610 /nfs/dbraw/zinc/18/86/10/909188610.db2.gz JADVECLTMJZAST-TZMCWYRMSA-N 1 2 316.829 1.371 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)CCc2ccnn2C)C(C)(C)C1 ZINC001394277543 909235465 /nfs/dbraw/zinc/23/54/65/909235465.db2.gz BAEBDBRPZHOLBP-AWEZNQCLSA-N 1 2 324.856 1.932 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)CCc2ccnn2C)C(C)(C)C1 ZINC001394277543 909235482 /nfs/dbraw/zinc/23/54/82/909235482.db2.gz BAEBDBRPZHOLBP-AWEZNQCLSA-N 1 2 324.856 1.932 20 30 DDEDLO Cc1nc(C[N@H+]2C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)c(C)o1 ZINC001394280255 909245964 /nfs/dbraw/zinc/24/59/64/909245964.db2.gz CZNDYDJFRDYGFM-HZMBPMFUSA-N 1 2 304.394 1.778 20 30 DDEDLO Cc1nc(C[N@@H+]2C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)c(C)o1 ZINC001394280255 909245976 /nfs/dbraw/zinc/24/59/76/909245976.db2.gz CZNDYDJFRDYGFM-HZMBPMFUSA-N 1 2 304.394 1.778 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[N@H+](CC(=O)NC2CCCC2)CC1(C)C ZINC001394281496 909251018 /nfs/dbraw/zinc/25/10/18/909251018.db2.gz DSFJVTWUHQFGDZ-OCCSQVGLSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@H]1C[N@@H+](CC(=O)NC2CCCC2)CC1(C)C ZINC001394281496 909251030 /nfs/dbraw/zinc/25/10/30/909251030.db2.gz DSFJVTWUHQFGDZ-OCCSQVGLSA-N 1 2 320.437 1.031 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccc(F)cn2)CC1(C)C ZINC001394297702 909291394 /nfs/dbraw/zinc/29/13/94/909291394.db2.gz YZIODRRVZKZQKC-BXUZGUMPSA-N 1 2 304.369 1.707 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccc(F)cn2)CC1(C)C ZINC001394297702 909291409 /nfs/dbraw/zinc/29/14/09/909291409.db2.gz YZIODRRVZKZQKC-BXUZGUMPSA-N 1 2 304.369 1.707 20 30 DDEDLO CCCN(CCNC(=O)C#CC(C)C)C(=O)Cc1[nH]c[nH+]c1C ZINC001284703662 909376615 /nfs/dbraw/zinc/37/66/15/909376615.db2.gz RPYYLZVWJBAWMR-UHFFFAOYSA-N 1 2 318.421 1.275 20 30 DDEDLO C=CCCCC(=O)NC1CN(C(=O)[C@H](C)Cc2c[nH]c[nH+]2)C1 ZINC001284938226 909772133 /nfs/dbraw/zinc/77/21/33/909772133.db2.gz HWVXXWOUYQIETL-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO C=CCCCC(=O)NC1CN(C(=O)[C@H](C)Cc2c[nH+]c[nH]2)C1 ZINC001284938226 909772137 /nfs/dbraw/zinc/77/21/37/909772137.db2.gz HWVXXWOUYQIETL-GFCCVEGCSA-N 1 2 304.394 1.272 20 30 DDEDLO CC[C@@H](CNC(=O)c1cccc2[nH+]ccn21)NC(=O)C#CC1CC1 ZINC001285075920 910036567 /nfs/dbraw/zinc/03/65/67/910036567.db2.gz PHKVELVFOSWZKF-AWEZNQCLSA-N 1 2 324.384 1.372 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H](CC)NC(=O)Cc1[nH]c[nH+]c1C ZINC001285098126 910065955 /nfs/dbraw/zinc/06/59/55/910065955.db2.gz WUJXEFBIPYEOHG-DYVFJYSZSA-N 1 2 320.437 1.874 20 30 DDEDLO CC(C)C#CC(=O)N[C@H](C)[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001285362640 910417279 /nfs/dbraw/zinc/41/72/79/910417279.db2.gz VPCKACVDIMHVMX-KGLIPLIRSA-N 1 2 318.421 1.022 20 30 DDEDLO Cc1cc(C[N@@H+](C)CCN(C(=O)[C@H](C)C#N)C(C)C)nn1C ZINC001394801579 910597548 /nfs/dbraw/zinc/59/75/48/910597548.db2.gz MMEBDJXHAYGSKV-CYBMUJFWSA-N 1 2 305.426 1.557 20 30 DDEDLO Cc1cc(C[N@H+](C)CCN(C(=O)[C@H](C)C#N)C(C)C)nn1C ZINC001394801579 910597564 /nfs/dbraw/zinc/59/75/64/910597564.db2.gz MMEBDJXHAYGSKV-CYBMUJFWSA-N 1 2 305.426 1.557 20 30 DDEDLO C=CC(C)(C)C(=O)NC[C@@H](C)N(C)C(=O)CCCn1cc[nH+]c1 ZINC001285776899 911192163 /nfs/dbraw/zinc/19/21/63/911192163.db2.gz VFNHMBZLTKCBIH-CQSZACIVSA-N 1 2 320.437 1.839 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1CC ZINC001285830054 911242450 /nfs/dbraw/zinc/24/24/50/911242450.db2.gz ZIBRGKOGKLVWRT-HIFRSBDPSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(C)CCC(=O)N[C@@]1(C)CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001286092294 911682582 /nfs/dbraw/zinc/68/25/82/911682582.db2.gz RXLMKBVMRAXYAP-KRWDZBQOSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N1CC[C@@](C)(NC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001286110936 911707235 /nfs/dbraw/zinc/70/72/35/911707235.db2.gz XGYJZYOCISFYFJ-CXAGYDPISA-N 1 2 318.421 1.662 20 30 DDEDLO C=CCCC(=O)N1CC([C@@H](C)NC(=O)CCn2cc[nH+]c2)C1 ZINC001286201331 911821173 /nfs/dbraw/zinc/82/11/73/911821173.db2.gz RHXYTXPRAZFWNC-CYBMUJFWSA-N 1 2 304.394 1.203 20 30 DDEDLO C=CCC(C)(C)C(=O)NCCCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC001294079769 914901452 /nfs/dbraw/zinc/90/14/52/914901452.db2.gz PTMPFAJARLWIDS-UHFFFAOYSA-N 1 2 320.437 1.828 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@@H](C)NC(=O)CNC(=O)C(C)(C)C ZINC001375157237 915136975 /nfs/dbraw/zinc/13/69/75/915136975.db2.gz SYQXALRQOCDGJO-LLVKDONJSA-N 1 2 303.834 1.338 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@@H](C)NC(=O)CNC(=O)C(C)(C)C ZINC001375157237 915136968 /nfs/dbraw/zinc/13/69/68/915136968.db2.gz SYQXALRQOCDGJO-LLVKDONJSA-N 1 2 303.834 1.338 20 30 DDEDLO C=CCC(C)(C)C(=O)N[C@H]1C[C@H](NC(=O)Cn2cc[nH+]c2)C1 ZINC001295122165 915593875 /nfs/dbraw/zinc/59/38/75/915593875.db2.gz ZVJSWJNLOBMCJP-JOCQHMNTSA-N 1 2 304.394 1.249 20 30 DDEDLO C=CCCC(=O)NC[C@@H](C)NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001295435771 915785873 /nfs/dbraw/zinc/78/58/73/915785873.db2.gz KSSNWZSVXDGWAD-CHWSQXEVSA-N 1 2 304.394 1.033 20 30 DDEDLO C[C@H](CNC(=O)C#CC1CC1)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001295480933 915838574 /nfs/dbraw/zinc/83/85/74/915838574.db2.gz KCJMAULPKHRIPP-LLVKDONJSA-N 1 2 310.357 1.211 20 30 DDEDLO C=CCC(C)(C)C(=O)N1CC(CNC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001297255807 916844628 /nfs/dbraw/zinc/84/46/28/916844628.db2.gz MTPPDIOTAADIMP-UHFFFAOYSA-N 1 2 304.394 1.129 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)s1 ZINC001376624251 918899582 /nfs/dbraw/zinc/89/95/82/918899582.db2.gz QBANKAHXYLFZRP-HKWYAPCNSA-N 1 2 305.407 1.114 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)s1 ZINC001376624251 918899598 /nfs/dbraw/zinc/89/95/98/918899598.db2.gz QBANKAHXYLFZRP-HKWYAPCNSA-N 1 2 305.407 1.114 20 30 DDEDLO CCc1nnc([C@@H](C)[NH2+]CCN(C)C(=O)c2ccc(C#N)[nH]2)o1 ZINC001377593889 922430535 /nfs/dbraw/zinc/43/05/35/922430535.db2.gz VLLIBTYRHCYNNX-SNVBAGLBSA-N 1 2 316.365 1.255 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)[C@@]2(F)CCOC2)C1 ZINC001377987415 923602718 /nfs/dbraw/zinc/60/27/18/923602718.db2.gz XYFAEIBOSJMRNZ-QGZVFWFLSA-N 1 2 317.364 1.235 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H](C)[N@H+](C)Cc1nnc(-c2ccco2)o1 ZINC001378020019 923723069 /nfs/dbraw/zinc/72/30/69/923723069.db2.gz KPOUDFQHCQLHHY-QWRGUYRKSA-N 1 2 317.349 1.426 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H](C)[N@@H+](C)Cc1nnc(-c2ccco2)o1 ZINC001378020019 923723082 /nfs/dbraw/zinc/72/30/82/923723082.db2.gz KPOUDFQHCQLHHY-QWRGUYRKSA-N 1 2 317.349 1.426 20 30 DDEDLO CO[C@H]1CC[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1C ZINC000451535634 231120944 /nfs/dbraw/zinc/12/09/44/231120944.db2.gz AXPFDQLHKKPBFF-CJNGLKHVSA-N 1 2 322.430 1.689 20 30 DDEDLO CO[C@H]1CC[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)C[C@H]1C ZINC000451535634 231120947 /nfs/dbraw/zinc/12/09/47/231120947.db2.gz AXPFDQLHKKPBFF-CJNGLKHVSA-N 1 2 322.430 1.689 20 30 DDEDLO O=C(NCC#Cc1ccccc1)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000278779523 214396121 /nfs/dbraw/zinc/39/61/21/214396121.db2.gz XPXGRSQGCBNUFD-SFHVURJKSA-N 1 2 312.413 1.798 20 30 DDEDLO O=C(NCC#Cc1ccccc1)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000278779523 214396123 /nfs/dbraw/zinc/39/61/23/214396123.db2.gz XPXGRSQGCBNUFD-SFHVURJKSA-N 1 2 312.413 1.798 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000278852299 214446414 /nfs/dbraw/zinc/44/64/14/214446414.db2.gz LJRVUZQZAUGKJK-MRXNPFEDSA-N 1 2 303.337 1.955 20 30 DDEDLO CCn1cc[nH+]c1[C@@H](C)NS(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000451931996 529589604 /nfs/dbraw/zinc/58/96/04/529589604.db2.gz MGSSLQMMSTZYCX-SNVBAGLBSA-N 1 2 322.365 1.953 20 30 DDEDLO C=CCOc1ccc(CNC(=O)NCC[NH+]2CCOCC2)cc1 ZINC000285166631 222628528 /nfs/dbraw/zinc/62/85/28/222628528.db2.gz SVWIUWGNHIIYTB-UHFFFAOYSA-N 1 2 319.405 1.383 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)NC(=O)Nc1cnc(C(C)(C)C)nc1 ZINC000328710488 539298718 /nfs/dbraw/zinc/29/87/18/539298718.db2.gz BRCCODCTOFBGGC-LBPRGKRZSA-N 1 2 321.425 1.821 20 30 DDEDLO Cc1noc([C@@H]2CCCN2C(=O)NC[C@@H](C)[NH+]2CCOCC2)n1 ZINC000329218579 539301683 /nfs/dbraw/zinc/30/16/83/539301683.db2.gz RVRZHECZOYNVAP-YPMHNXCESA-N 1 2 323.397 1.150 20 30 DDEDLO Cc1cc(N2CCC(NC(=O)CCc3c[nH+]c[nH]3)CC2)n[nH]1 ZINC000329334199 539303476 /nfs/dbraw/zinc/30/34/76/539303476.db2.gz KOVXRUWEZFGALS-UHFFFAOYSA-N 1 2 302.382 1.999 20 30 DDEDLO Cc1cc(N2CCC(NC(=O)CCc3c[nH]c[nH+]3)CC2)n[nH]1 ZINC000329334199 539303477 /nfs/dbraw/zinc/30/34/77/539303477.db2.gz KOVXRUWEZFGALS-UHFFFAOYSA-N 1 2 302.382 1.999 20 30 DDEDLO O=C(N[C@H]1CCn2cc[nH+]c2C1)N1CCOCC(F)(F)C1 ZINC000329688205 539306859 /nfs/dbraw/zinc/30/68/59/539306859.db2.gz YYTUCLBVWGHCCL-JTQLQIEISA-N 1 2 300.309 1.079 20 30 DDEDLO CCN1CC[NH+]([C@@H]2CCN(C(=O)N[C@H]3CCC[C@@H]3C#N)C2)CC1 ZINC000332878050 539313599 /nfs/dbraw/zinc/31/35/99/539313599.db2.gz BFQYNDYFBWZIAY-OAGGEKHMSA-N 1 2 319.453 1.100 20 30 DDEDLO N#Cc1ncc(NC(=O)NCC[NH+]2CCOCC2)cc1Cl ZINC000455749533 231755025 /nfs/dbraw/zinc/75/50/25/231755025.db2.gz FWHDESIUMIBNLD-UHFFFAOYSA-N 1 2 309.757 1.060 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(C(=O)Nc3cccc(C(N)=O)c3)C[C@H]21 ZINC000329954481 529790861 /nfs/dbraw/zinc/79/08/61/529790861.db2.gz OLCHCOLUXMFQCJ-OLZOCXBDSA-N 1 2 304.350 1.130 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(C(=O)Nc3cccc(C(N)=O)c3)C[C@H]21 ZINC000329954481 529790862 /nfs/dbraw/zinc/79/08/62/529790862.db2.gz OLCHCOLUXMFQCJ-OLZOCXBDSA-N 1 2 304.350 1.130 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CN(CC(=O)Nc3cccc(C#N)c3)C[C@H]21 ZINC000329955164 529790882 /nfs/dbraw/zinc/79/08/82/529790882.db2.gz KMVXDNIATCMQNQ-CABCVRRESA-N 1 2 300.362 1.161 20 30 DDEDLO C[N@H+]1CCO[C@H]2CN(CC(=O)Nc3cccc(C#N)c3)C[C@H]21 ZINC000329955164 529790883 /nfs/dbraw/zinc/79/08/83/529790883.db2.gz KMVXDNIATCMQNQ-CABCVRRESA-N 1 2 300.362 1.161 20 30 DDEDLO CN1CCO[C@H]2C[N@H+](CC(=O)Nc3cccc(C#N)c3)C[C@H]21 ZINC000329955164 529790884 /nfs/dbraw/zinc/79/08/84/529790884.db2.gz KMVXDNIATCMQNQ-CABCVRRESA-N 1 2 300.362 1.161 20 30 DDEDLO CN1CCO[C@H]2C[N@@H+](CC(=O)Nc3cccc(C#N)c3)C[C@H]21 ZINC000329955164 529790885 /nfs/dbraw/zinc/79/08/85/529790885.db2.gz KMVXDNIATCMQNQ-CABCVRRESA-N 1 2 300.362 1.161 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@H+]1CCC[C@H](CS(N)(=O)=O)C1 ZINC000358211543 299100866 /nfs/dbraw/zinc/10/08/66/299100866.db2.gz QZLZFBHWAOQAAO-NSHDSACASA-N 1 2 311.382 1.198 20 30 DDEDLO N#Cc1cc(F)ccc1C[N@@H+]1CCC[C@H](CS(N)(=O)=O)C1 ZINC000358211543 299100869 /nfs/dbraw/zinc/10/08/69/299100869.db2.gz QZLZFBHWAOQAAO-NSHDSACASA-N 1 2 311.382 1.198 20 30 DDEDLO C[N@H+](CCC#N)C[C@@H](O)COc1cccc2c1OC(C)(C)C2 ZINC000414168044 529868511 /nfs/dbraw/zinc/86/85/11/529868511.db2.gz UIOSHKFKLFYFOF-CQSZACIVSA-N 1 2 304.390 1.985 20 30 DDEDLO C[N@@H+](CCC#N)C[C@@H](O)COc1cccc2c1OC(C)(C)C2 ZINC000414168044 529868513 /nfs/dbraw/zinc/86/85/13/529868513.db2.gz UIOSHKFKLFYFOF-CQSZACIVSA-N 1 2 304.390 1.985 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CCC[C@@H]([NH+]3CCOCC3)C2)cc1 ZINC000601226171 358455065 /nfs/dbraw/zinc/45/50/65/358455065.db2.gz RDHOBMMECLWXDL-MRXNPFEDSA-N 1 2 314.389 1.887 20 30 DDEDLO CC(=O)N1CCC[N@@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)CC1 ZINC000010297249 352138479 /nfs/dbraw/zinc/13/84/79/352138479.db2.gz QSEUFWFFXVVPKA-ZDUSSCGKSA-N 1 2 314.389 1.439 20 30 DDEDLO CC(=O)N1CCC[N@H+]([C@@H](C)C(=O)Nc2ccccc2C#N)CC1 ZINC000010297249 352138482 /nfs/dbraw/zinc/13/84/82/352138482.db2.gz QSEUFWFFXVVPKA-ZDUSSCGKSA-N 1 2 314.389 1.439 20 30 DDEDLO CN(C)C(=O)N1CC[NH+](CCOc2ccc(C#N)cc2)CC1 ZINC000030968568 352260540 /nfs/dbraw/zinc/26/05/40/352260540.db2.gz AKFXWWUJMKKAPF-UHFFFAOYSA-N 1 2 302.378 1.236 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)C[C@@H]3CCCO3)CC2)cc1 ZINC000047046154 352479119 /nfs/dbraw/zinc/47/91/19/352479119.db2.gz ZIMWNIBTDUJJOF-KRWDZBQOSA-N 1 2 313.401 1.772 20 30 DDEDLO CO/N=C/c1ccc(C(=O)NCC(C)(C)[NH+]2CCOCC2)cc1 ZINC000047872567 352514186 /nfs/dbraw/zinc/51/41/86/352514186.db2.gz AJVHHMQUNLVMSI-XDHOZWIPSA-N 1 2 319.405 1.508 20 30 DDEDLO CC[N@@H+](CC(=O)NCCOc1cccc(C)c1)C[C@H](C)C#N ZINC000049982563 352559968 /nfs/dbraw/zinc/55/99/68/352559968.db2.gz HLKPDRFCIGCSKB-OAHLLOKOSA-N 1 2 303.406 1.972 20 30 DDEDLO CC[N@H+](CC(=O)NCCOc1cccc(C)c1)C[C@H](C)C#N ZINC000049982563 352559970 /nfs/dbraw/zinc/55/99/70/352559970.db2.gz HLKPDRFCIGCSKB-OAHLLOKOSA-N 1 2 303.406 1.972 20 30 DDEDLO O=C(NC1=NC(=O)CN1)c1ccc(C[NH+]2CCCCC2)cc1 ZINC000050222611 352564615 /nfs/dbraw/zinc/56/46/15/352564615.db2.gz UMDKZEUUXNRBAU-UHFFFAOYSA-N 1 2 300.362 1.463 20 30 DDEDLO C#CCN(CC)C(=O)NCc1ccc(N2CCOCC2)[nH+]c1 ZINC000056517785 352776076 /nfs/dbraw/zinc/77/60/76/352776076.db2.gz VQXWGJKWQFKVCM-UHFFFAOYSA-N 1 2 302.378 1.083 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[NH+](Cc2ccccn2)CC1 ZINC000066309791 352987702 /nfs/dbraw/zinc/98/77/02/352987702.db2.gz YOHOYACMWUYYKD-OAHLLOKOSA-N 1 2 303.406 1.707 20 30 DDEDLO O=C(C#Cc1ccccc1)N1CC[NH+](Cc2cccnc2)CC1 ZINC000080530115 353613390 /nfs/dbraw/zinc/61/33/90/353613390.db2.gz RWOYREYXHZLQGM-UHFFFAOYSA-N 1 2 305.381 1.778 20 30 DDEDLO CN(CCCc1[nH]nc(N)c1C#N)c1cc[nH+]c2ccncc21 ZINC000085653304 353736147 /nfs/dbraw/zinc/73/61/47/353736147.db2.gz BQCXMBJVNIOONO-UHFFFAOYSA-N 1 2 307.361 1.876 20 30 DDEDLO C=CCc1cc(C[N@@H+]2C[C@H](CO)OC[C@H]2C)cc(OC)c1O ZINC000092041719 353841325 /nfs/dbraw/zinc/84/13/25/353841325.db2.gz MNFXPVCZMNDIAG-IUODEOHRSA-N 1 2 307.390 1.711 20 30 DDEDLO C=CCc1cc(C[N@H+]2C[C@H](CO)OC[C@H]2C)cc(OC)c1O ZINC000092041719 353841329 /nfs/dbraw/zinc/84/13/29/353841329.db2.gz MNFXPVCZMNDIAG-IUODEOHRSA-N 1 2 307.390 1.711 20 30 DDEDLO C[C@H](C(=O)N1CCCC1)[NH+]1CCN(c2cnccc2C#N)CC1 ZINC000091738313 353835280 /nfs/dbraw/zinc/83/52/80/353835280.db2.gz UMGZMALMUIQJSC-CQSZACIVSA-N 1 2 313.405 1.086 20 30 DDEDLO COCC[N@H+](CCC#N)Cc1cc(OC)c2c(c1)OCCO2 ZINC000093099991 353884838 /nfs/dbraw/zinc/88/48/38/353884838.db2.gz WSJGESUQWKDRTJ-UHFFFAOYSA-N 1 2 306.362 1.828 20 30 DDEDLO COCC[N@@H+](CCC#N)Cc1cc(OC)c2c(c1)OCCO2 ZINC000093099991 353884839 /nfs/dbraw/zinc/88/48/39/353884839.db2.gz WSJGESUQWKDRTJ-UHFFFAOYSA-N 1 2 306.362 1.828 20 30 DDEDLO C=CCCCNC(=O)NC[C@@H]1C[N@H+](Cc2ccncc2)CCO1 ZINC000623964562 366132367 /nfs/dbraw/zinc/13/23/67/366132367.db2.gz KJEFBQQDGPVOEK-MRXNPFEDSA-N 1 2 318.421 1.548 20 30 DDEDLO C=CCCCNC(=O)NC[C@@H]1C[N@@H+](Cc2ccncc2)CCO1 ZINC000623964562 366132372 /nfs/dbraw/zinc/13/23/72/366132372.db2.gz KJEFBQQDGPVOEK-MRXNPFEDSA-N 1 2 318.421 1.548 20 30 DDEDLO C[C@@H](C#N)CNC(=O)NC[C@@H](c1ccccc1)[NH+]1CCOCC1 ZINC000182562305 354273074 /nfs/dbraw/zinc/27/30/74/354273074.db2.gz PZWDVQOLVFMENX-HOCLYGCPSA-N 1 2 316.405 1.519 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@@H](C)[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC000185190225 354281703 /nfs/dbraw/zinc/28/17/03/354281703.db2.gz SELDENWSHIZWHK-ZJUUUORDSA-N 1 2 323.397 1.418 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)NCCCOc1ccccc1F ZINC000521640700 354688754 /nfs/dbraw/zinc/68/87/54/354688754.db2.gz OKLZWMUDNOEQFL-ZDUSSCGKSA-N 1 2 307.369 1.993 20 30 DDEDLO Cn1c[nH+]c(CCNC(=O)NCC#Cc2cccc(F)c2)c1 ZINC000579444683 354719041 /nfs/dbraw/zinc/71/90/41/354719041.db2.gz UUTSRWNHUJAPIA-UHFFFAOYSA-N 1 2 300.337 1.453 20 30 DDEDLO N#Cc1cccc(F)c1CC(=O)NC[C@@H]1CCn2cc[nH+]c2C1 ZINC000586809481 354869167 /nfs/dbraw/zinc/86/91/67/354869167.db2.gz RVOSZALGRICNCJ-GFCCVEGCSA-N 1 2 312.348 1.815 20 30 DDEDLO N#Cc1c(C[N@@H+]2CCN3C(=O)OCC[C@@H]3C2)cn2ccccc12 ZINC000494320616 235020067 /nfs/dbraw/zinc/02/00/67/235020067.db2.gz YKAVZWICLWUOMP-CQSZACIVSA-N 1 2 310.357 1.837 20 30 DDEDLO N#Cc1c(C[N@H+]2CCN3C(=O)OCC[C@@H]3C2)cn2ccccc12 ZINC000494320616 235020069 /nfs/dbraw/zinc/02/00/69/235020069.db2.gz YKAVZWICLWUOMP-CQSZACIVSA-N 1 2 310.357 1.837 20 30 DDEDLO N#Cc1cccc(C(=O)N2CC[NH+](Cc3cccnc3)CC2)n1 ZINC000589267119 354980097 /nfs/dbraw/zinc/98/00/97/354980097.db2.gz OAJXCSOEFZTDFQ-UHFFFAOYSA-N 1 2 307.357 1.306 20 30 DDEDLO N#C[C@@]1(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)CC12CCCC2 ZINC000590616389 355131516 /nfs/dbraw/zinc/13/15/16/355131516.db2.gz MSPHOJLVHNCYON-CRAIPNDOSA-N 1 2 317.433 1.784 20 30 DDEDLO CC[N@H+](CCSC)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000592203023 355535422 /nfs/dbraw/zinc/53/54/22/355535422.db2.gz WMEKXKOPXDKRER-UHFFFAOYSA-N 1 2 313.448 1.412 20 30 DDEDLO CC[N@@H+](CCSC)CCS(=O)(=O)c1ccc(C#N)cn1 ZINC000592203023 355535425 /nfs/dbraw/zinc/53/54/25/355535425.db2.gz WMEKXKOPXDKRER-UHFFFAOYSA-N 1 2 313.448 1.412 20 30 DDEDLO Cc1cc(C)cc(NC(=O)[C@@H](C)[N@@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592145899 355510854 /nfs/dbraw/zinc/51/08/54/355510854.db2.gz AXQIYRZEPMWKPA-RHSMWYFYSA-N 1 2 301.390 1.981 20 30 DDEDLO Cc1cc(C)cc(NC(=O)[C@@H](C)[N@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592145899 355510857 /nfs/dbraw/zinc/51/08/57/355510857.db2.gz AXQIYRZEPMWKPA-RHSMWYFYSA-N 1 2 301.390 1.981 20 30 DDEDLO C[C@H](NC(=O)C[N@@H+]1CC[C@](O)(CC#N)C1)c1ccc(Cl)cc1 ZINC000592148522 355515055 /nfs/dbraw/zinc/51/50/55/355515055.db2.gz VZBRWYBCVNAMKS-BLLLJJGKSA-N 1 2 321.808 1.868 20 30 DDEDLO C[C@H](NC(=O)C[N@H+]1CC[C@](O)(CC#N)C1)c1ccc(Cl)cc1 ZINC000592148522 355515059 /nfs/dbraw/zinc/51/50/59/355515059.db2.gz VZBRWYBCVNAMKS-BLLLJJGKSA-N 1 2 321.808 1.868 20 30 DDEDLO C[C@@H](CC#N)[N@H+](C)Cc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000593015038 355770173 /nfs/dbraw/zinc/77/01/73/355770173.db2.gz KTISBBCCTPQLDC-LBPRGKRZSA-N 1 2 307.419 1.861 20 30 DDEDLO C[C@@H](CC#N)[N@@H+](C)Cc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000593015038 355770175 /nfs/dbraw/zinc/77/01/75/355770175.db2.gz KTISBBCCTPQLDC-LBPRGKRZSA-N 1 2 307.419 1.861 20 30 DDEDLO Cn1cc(-c2cncc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)c2)cn1 ZINC000593154720 355813019 /nfs/dbraw/zinc/81/30/19/355813019.db2.gz VTSNNEVWPMZKIM-INIZCTEOSA-N 1 2 312.377 1.056 20 30 DDEDLO COc1ccc(O[C@H](C)C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc1 ZINC000593155660 355813841 /nfs/dbraw/zinc/81/38/41/355813841.db2.gz OQVIEQFCOLWUOJ-WBMJQRKESA-N 1 2 305.378 1.423 20 30 DDEDLO C[C@H]1C[C@@H]([NH+]2CCOCC2)CN1C(=O)NCC1(C#N)CCCC1 ZINC000593430217 355883151 /nfs/dbraw/zinc/88/31/51/355883151.db2.gz BJCSMICYAQAGHY-LSDHHAIUSA-N 1 2 320.437 1.575 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@H+](C)Cc1nc(C)no1 ZINC000594053145 356100567 /nfs/dbraw/zinc/10/05/67/356100567.db2.gz MPBLLASVDZJFEO-UHFFFAOYSA-N 1 2 302.334 1.769 20 30 DDEDLO COc1cc(C#N)ccc1OCC[N@@H+](C)Cc1nc(C)no1 ZINC000594053145 356100573 /nfs/dbraw/zinc/10/05/73/356100573.db2.gz MPBLLASVDZJFEO-UHFFFAOYSA-N 1 2 302.334 1.769 20 30 DDEDLO C[C@@H](Cc1ccc(C#N)cc1)C(=O)NCC[NH+]1CCOCC1 ZINC000594209496 356138926 /nfs/dbraw/zinc/13/89/26/356138926.db2.gz QBBAYDBSXMWMAY-AWEZNQCLSA-N 1 2 301.390 1.185 20 30 DDEDLO COC(=O)c1ccc(N2CCC[C@H](n3cc[nH+]c3)C2)c(C#N)n1 ZINC000594548431 356247930 /nfs/dbraw/zinc/24/79/30/356247930.db2.gz ACMAYQSLMXOJFM-LBPRGKRZSA-N 1 2 311.345 1.778 20 30 DDEDLO COc1cccc2cc(OC[C@H](O)C[N@H+](C)CCC#N)cnc21 ZINC000594716106 356294318 /nfs/dbraw/zinc/29/43/18/356294318.db2.gz JEGYDMGTEOPTIC-CQSZACIVSA-N 1 2 315.373 1.829 20 30 DDEDLO COc1cccc2cc(OC[C@H](O)C[N@@H+](C)CCC#N)cnc21 ZINC000594716106 356294322 /nfs/dbraw/zinc/29/43/22/356294322.db2.gz JEGYDMGTEOPTIC-CQSZACIVSA-N 1 2 315.373 1.829 20 30 DDEDLO Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@@H](C)c1[nH+]ccn1C ZINC000595219166 356410751 /nfs/dbraw/zinc/41/07/51/356410751.db2.gz CJZQEIPQGPJDHA-NSHDSACASA-N 1 2 311.345 1.416 20 30 DDEDLO CCNC(=O)C[N@@H+]1CCCN(C(=O)[C@@H]2CCCSC2)CC1 ZINC000329523261 222988715 /nfs/dbraw/zinc/98/87/15/222988715.db2.gz PWALAHYUXBJRPO-CYBMUJFWSA-N 1 2 313.467 1.640 20 30 DDEDLO CCNC(=O)C[N@H+]1CCCN(C(=O)[C@@H]2CCCSC2)CC1 ZINC000329523261 222988716 /nfs/dbraw/zinc/98/87/16/222988716.db2.gz PWALAHYUXBJRPO-CYBMUJFWSA-N 1 2 313.467 1.640 20 30 DDEDLO C[C@H]1C[N@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CCS1 ZINC000081513193 192276578 /nfs/dbraw/zinc/27/65/78/192276578.db2.gz KCFCFZLQWSXIDV-LBPRGKRZSA-N 1 2 310.444 1.769 20 30 DDEDLO C[C@H]1C[N@@H+](CCS(=O)(=O)c2ccc(C#N)cc2)CCS1 ZINC000081513193 192276580 /nfs/dbraw/zinc/27/65/80/192276580.db2.gz KCFCFZLQWSXIDV-LBPRGKRZSA-N 1 2 310.444 1.769 20 30 DDEDLO CCc1nnc([C@H](C)[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)[nH]1 ZINC000595500277 356519481 /nfs/dbraw/zinc/51/94/81/356519481.db2.gz DJAHRQVBTWAPLA-WCQYABFASA-N 1 2 312.377 1.848 20 30 DDEDLO CCc1nnc([C@H](C)[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)[nH]1 ZINC000595500277 356519485 /nfs/dbraw/zinc/51/94/85/356519485.db2.gz DJAHRQVBTWAPLA-WCQYABFASA-N 1 2 312.377 1.848 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[N@H+](C)Cc1coc(S(N)(=O)=O)c1 ZINC000595550276 356545700 /nfs/dbraw/zinc/54/57/00/356545700.db2.gz OYQOYQQCOPOLPM-NSHDSACASA-N 1 2 319.386 1.992 20 30 DDEDLO C[C@@H](c1cccc(C#N)c1)[N@@H+](C)Cc1coc(S(N)(=O)=O)c1 ZINC000595550276 356545702 /nfs/dbraw/zinc/54/57/02/356545702.db2.gz OYQOYQQCOPOLPM-NSHDSACASA-N 1 2 319.386 1.992 20 30 DDEDLO N#CC1(CNC(=O)N[C@H]2CCn3cc[nH+]c3C2)CCCCC1 ZINC000595591588 356566464 /nfs/dbraw/zinc/56/64/64/356566464.db2.gz WMXCOYXCOYEHQX-ZDUSSCGKSA-N 1 2 301.394 1.971 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@H](C)[N@@H+]1CCC[C@@H](CC#N)C1 ZINC000595611587 356576229 /nfs/dbraw/zinc/57/62/29/356576229.db2.gz LQCKUZWIIUIHAY-KBPBESRZSA-N 1 2 303.410 1.990 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@H](C)[N@H+]1CCC[C@@H](CC#N)C1 ZINC000595611587 356576235 /nfs/dbraw/zinc/57/62/35/356576235.db2.gz LQCKUZWIIUIHAY-KBPBESRZSA-N 1 2 303.410 1.990 20 30 DDEDLO COc1ccc(C[N@@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)cc1C#N ZINC000595763402 356643192 /nfs/dbraw/zinc/64/31/92/356643192.db2.gz RAFCBYUJOZTQJA-GFCCVEGCSA-N 1 2 323.418 1.033 20 30 DDEDLO COc1ccc(C[N@H+]2CCN(S(C)(=O)=O)[C@H](C)C2)cc1C#N ZINC000595763402 356643195 /nfs/dbraw/zinc/64/31/95/356643195.db2.gz RAFCBYUJOZTQJA-GFCCVEGCSA-N 1 2 323.418 1.033 20 30 DDEDLO CCN(CC(=O)N1CC[NH+](Cc2ccco2)CC1)[C@@H](C)C#N ZINC000595818696 356662973 /nfs/dbraw/zinc/66/29/73/356662973.db2.gz XFMQJIZBHRZCHN-AWEZNQCLSA-N 1 2 304.394 1.158 20 30 DDEDLO CCN(CC(=O)N1CC[NH+](Cc2ccsc2)CC1)[C@H](C)C#N ZINC000595817702 356663115 /nfs/dbraw/zinc/66/31/15/356663115.db2.gz NAWMQRFXIKXMRL-CQSZACIVSA-N 1 2 320.462 1.626 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@@H+]2CC[C@@](C)(C#N)C2)CC1 ZINC000595836177 356671069 /nfs/dbraw/zinc/67/10/69/356671069.db2.gz XCDZDBKJCUDYKM-GUYCJALGSA-N 1 2 321.421 1.412 20 30 DDEDLO CCOC(=O)C1CCN(C(=O)[C@H](C)[N@H+]2CC[C@@](C)(C#N)C2)CC1 ZINC000595836177 356671074 /nfs/dbraw/zinc/67/10/74/356671074.db2.gz XCDZDBKJCUDYKM-GUYCJALGSA-N 1 2 321.421 1.412 20 30 DDEDLO COc1ccccc1C[NH+]1CCN(c2c(C#N)cnn2C)CC1 ZINC000596109821 356774958 /nfs/dbraw/zinc/77/49/58/356774958.db2.gz DTRNPQDLUMUGKG-UHFFFAOYSA-N 1 2 311.389 1.623 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)NCCCCC#N)C(C)(C)CO ZINC000596264294 356836986 /nfs/dbraw/zinc/83/69/86/356836986.db2.gz AZLSQQQHIPPVTD-LBPRGKRZSA-N 1 2 307.398 1.473 20 30 DDEDLO CC(C)(C)n1ncc2c1nc(N1CC[C@@](O)(CC#N)C1)[nH+]c2N ZINC000596288708 356846089 /nfs/dbraw/zinc/84/60/89/356846089.db2.gz NQGFWAYDTKQLJU-HNNXBMFYSA-N 1 2 315.381 1.018 20 30 DDEDLO CC(C)(C#N)CNC(=O)N(CC[NH+]1CCOCC1)CC1CC1 ZINC000596549690 356914150 /nfs/dbraw/zinc/91/41/50/356914150.db2.gz HWZMJVKRCLYPEQ-UHFFFAOYSA-N 1 2 308.426 1.290 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CCN(C)[C@@H](c2[nH+]ccn2C)C1 ZINC000276853320 213134976 /nfs/dbraw/zinc/13/49/76/213134976.db2.gz ZQSTUMCLGZTEIQ-ZIAGYGMSSA-N 1 2 306.410 1.216 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1CCC[C@H]([NH+]2CCOCC2)C1 ZINC000597708245 357295416 /nfs/dbraw/zinc/29/54/16/357295416.db2.gz VMHQCJAUFCWCEN-INIZCTEOSA-N 1 2 313.401 1.804 20 30 DDEDLO C[C@H](CC#N)C(=O)N(CC[NH+]1CCOCC1)[C@@H]1CCSC1 ZINC000597676608 357280352 /nfs/dbraw/zinc/28/03/52/357280352.db2.gz ASFYDUZSZVWFOR-ZIAGYGMSSA-N 1 2 311.451 1.202 20 30 DDEDLO Cn1cc[nH+]c1[C@H](NC(=O)c1c[nH]c(C#N)c1)C(C)(C)CO ZINC000597845520 357356105 /nfs/dbraw/zinc/35/61/05/357356105.db2.gz HXRUFYSHWFALGO-LBPRGKRZSA-N 1 2 301.350 1.109 20 30 DDEDLO Cc1csc([C@H](C)CNC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)n1 ZINC000329679087 223012424 /nfs/dbraw/zinc/01/24/24/223012424.db2.gz QQXQDVCBJMGVLQ-WXHSDQCUSA-N 1 2 324.450 1.484 20 30 DDEDLO Cc1csc([C@H](C)CNC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)n1 ZINC000329679087 223012428 /nfs/dbraw/zinc/01/24/28/223012428.db2.gz QQXQDVCBJMGVLQ-WXHSDQCUSA-N 1 2 324.450 1.484 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](C)[C@H](CO)C1 ZINC000598646037 357667658 /nfs/dbraw/zinc/66/76/58/357667658.db2.gz JKTNWAHBCOHGJK-KCXAZCMYSA-N 1 2 302.374 1.723 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](C)[C@H](CO)C1 ZINC000598646037 357667662 /nfs/dbraw/zinc/66/76/62/357667662.db2.gz JKTNWAHBCOHGJK-KCXAZCMYSA-N 1 2 302.374 1.723 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(Cl)cn1)[N@@H+]1CCN2CCOC[C@@H]2C1 ZINC000329642155 223007611 /nfs/dbraw/zinc/00/76/11/223007611.db2.gz ADFJIWAHSKCVRM-AAEUAGOBSA-N 1 2 324.812 1.728 20 30 DDEDLO C[C@@H](C(=O)Nc1ccc(Cl)cn1)[N@H+]1CCN2CCOC[C@@H]2C1 ZINC000329642155 223007613 /nfs/dbraw/zinc/00/76/13/223007613.db2.gz ADFJIWAHSKCVRM-AAEUAGOBSA-N 1 2 324.812 1.728 20 30 DDEDLO O=C(C[N@H+]1CCSC[C@@H]1CO)Nc1cccc2nsnc21 ZINC000329651009 223008574 /nfs/dbraw/zinc/00/85/74/223008574.db2.gz KRNYFHHYALGFDH-VIFPVBQESA-N 1 2 324.431 1.689 20 30 DDEDLO O=C(C[N@@H+]1CCSC[C@@H]1CO)Nc1cccc2nsnc21 ZINC000329651009 223008576 /nfs/dbraw/zinc/00/85/76/223008576.db2.gz KRNYFHHYALGFDH-VIFPVBQESA-N 1 2 324.431 1.689 20 30 DDEDLO CCCN1C(=O)CC[C@@H](C(=O)N(C)CC#N)[C@@H]1c1c[nH+]cn1C ZINC000373854121 283310340 /nfs/dbraw/zinc/31/03/40/283310340.db2.gz ZFXVXYALOQDWAJ-IUODEOHRSA-N 1 2 317.393 1.092 20 30 DDEDLO C[C@H]([NH2+]CC(=O)N(C)C1(C#N)CCCCC1)c1nncn1C ZINC000273932120 299274136 /nfs/dbraw/zinc/27/41/36/299274136.db2.gz CNBPPAOXXWTIBX-LBPRGKRZSA-N 1 2 304.398 1.151 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)N1CC(Nc2cccc(C#N)c2)C1 ZINC000601681244 358652290 /nfs/dbraw/zinc/65/22/90/358652290.db2.gz UKHYPLRSPANGCM-UHFFFAOYSA-N 1 2 309.373 1.547 20 30 DDEDLO N#Cc1ccc(-n2[nH]c3c(c2=O)C[NH2+]CC3)c(C(F)(F)F)c1 ZINC000601950004 358749389 /nfs/dbraw/zinc/74/93/89/358749389.db2.gz SBYOXUWFGRAJQA-VIFPVBQESA-N 1 2 308.263 1.889 20 30 DDEDLO N#C[C@@]1(NC(=O)C[C@H](n2cc[nH+]c2)C(F)(F)F)CCSC1 ZINC000602087115 358807137 /nfs/dbraw/zinc/80/71/37/358807137.db2.gz UUDPZNLXAUBFBR-ONGXEEELSA-N 1 2 318.324 1.892 20 30 DDEDLO COc1cc(CNC(=O)N[C@H]2Cc3c[nH+]cn3C2)ccc1C#N ZINC000602317070 358927799 /nfs/dbraw/zinc/92/77/99/358927799.db2.gz VAIHQUBEYYRUAU-ZDUSSCGKSA-N 1 2 311.345 1.187 20 30 DDEDLO COC(=O)c1ccc(C[N@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)s1 ZINC000602864132 359248692 /nfs/dbraw/zinc/24/86/92/359248692.db2.gz QBFRYNZKXHVYOD-TXEJJXNPSA-N 1 2 307.419 1.953 20 30 DDEDLO COC(=O)c1ccc(C[N@@H+]2C[C@@H](C)N(CC#N)[C@@H](C)C2)s1 ZINC000602864132 359248693 /nfs/dbraw/zinc/24/86/93/359248693.db2.gz QBFRYNZKXHVYOD-TXEJJXNPSA-N 1 2 307.419 1.953 20 30 DDEDLO C[C@H](CC#N)[NH2+]CC(=O)Nc1ccccc1N1CCCC1=O ZINC000602865488 359250526 /nfs/dbraw/zinc/25/05/26/359250526.db2.gz VVEQQNOUGOOYFH-GFCCVEGCSA-N 1 2 300.362 1.644 20 30 DDEDLO Cc1cc(NC(=O)N2C[C@@H](C)N(CC#N)[C@H](C)C2)c(C)c[nH+]1 ZINC000602922200 359295917 /nfs/dbraw/zinc/29/59/17/359295917.db2.gz LVWLMGVUZDDKEF-ZIAGYGMSSA-N 1 2 301.394 1.570 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@@H](OC)C[N@@H+]1CCOc1ccccc1C#N ZINC000602951443 359317100 /nfs/dbraw/zinc/31/71/00/359317100.db2.gz NOKSEPQWQPFWEB-RHSMWYFYSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@@H](OC)C[N@H+]1CCOc1ccccc1C#N ZINC000602951443 359317107 /nfs/dbraw/zinc/31/71/07/359317107.db2.gz NOKSEPQWQPFWEB-RHSMWYFYSA-N 1 2 318.373 1.589 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)Nc1cc(F)cc(C#N)c1 ZINC000603073857 359390083 /nfs/dbraw/zinc/39/00/83/359390083.db2.gz WCSOCMVUMKABSJ-UHFFFAOYSA-N 1 2 320.368 1.930 20 30 DDEDLO Cc1cn2c([nH+]1)C[C@@H](C(=O)N1C[C@@H](C)N(CC#N)[C@@H](C)C1)CC2 ZINC000603096323 359400490 /nfs/dbraw/zinc/40/04/90/359400490.db2.gz WGOJTCLIFCQKOC-ZNMIVQPWSA-N 1 2 315.421 1.199 20 30 DDEDLO C=C(C)[C@H](CO)n1cc(C[NH+]2CCC(C(=O)OCC)CC2)nn1 ZINC000603233723 359502489 /nfs/dbraw/zinc/50/24/89/359502489.db2.gz KCOXUWUPUHHTBI-HNNXBMFYSA-N 1 2 322.409 1.163 20 30 DDEDLO N#CCc1ccc(Cn2cc(CC[NH+]3CCOCC3)nn2)cc1 ZINC000603221185 359492497 /nfs/dbraw/zinc/49/24/97/359492497.db2.gz BITNRUKDPPZIGM-UHFFFAOYSA-N 1 2 311.389 1.267 20 30 DDEDLO N#Cc1cccnc1N1CC[NH+](C[C@@H](O)CC(F)(F)F)CC1 ZINC000188597357 200272835 /nfs/dbraw/zinc/27/28/35/200272835.db2.gz XXOIODJDYIURGV-LBPRGKRZSA-N 1 2 314.311 1.389 20 30 DDEDLO CCOc1ncccc1NC(=O)N1C[C@@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329896542 223042945 /nfs/dbraw/zinc/04/29/45/223042945.db2.gz COSSLTYOJMIMJW-STQMWFEESA-N 1 2 306.366 1.041 20 30 DDEDLO CCOc1ncccc1NC(=O)N1C[C@@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329896542 223042947 /nfs/dbraw/zinc/04/29/47/223042947.db2.gz COSSLTYOJMIMJW-STQMWFEESA-N 1 2 306.366 1.041 20 30 DDEDLO Cc1ccc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c2n[nH]cc21 ZINC000329929955 223047839 /nfs/dbraw/zinc/04/78/39/223047839.db2.gz NZSAZBZOGAQDOX-KGLIPLIRSA-N 1 2 315.377 1.432 20 30 DDEDLO Cc1ccc(NC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c2n[nH]cc21 ZINC000329929955 223047843 /nfs/dbraw/zinc/04/78/43/223047843.db2.gz NZSAZBZOGAQDOX-KGLIPLIRSA-N 1 2 315.377 1.432 20 30 DDEDLO C[C@@H]1C[C@H](C(=O)NC[C@@]2([NH+]3CCOCC3)CCSC2)CO1 ZINC000329934360 223049412 /nfs/dbraw/zinc/04/94/12/223049412.db2.gz QMEASVZRHOSBHS-IPYPFGDCSA-N 1 2 314.451 1.576 20 30 DDEDLO N#Cc1cnc2c(F)ccc(F)c2c1NCC[NH+]1CCOCC1 ZINC000610244923 360396605 /nfs/dbraw/zinc/39/66/05/360396605.db2.gz CXULOLWVEPJCBL-UHFFFAOYSA-N 1 2 318.327 1.551 20 30 DDEDLO N#Cc1csc(C(=O)N2CC[NH+](C[C@H]3CCCO3)CC2)c1 ZINC000610620315 360484824 /nfs/dbraw/zinc/48/48/24/360484824.db2.gz HDXLSQWVUVQDRN-CYBMUJFWSA-N 1 2 305.403 1.557 20 30 DDEDLO N#CCC[C@@H](C#N)C[NH2+]Cc1ccc2c(c1)CCS2(=O)=O ZINC000610706389 360511238 /nfs/dbraw/zinc/51/12/38/360511238.db2.gz LRRQQAHUXOXZAO-ZDUSSCGKSA-N 1 2 303.387 1.550 20 30 DDEDLO COCC1([N@H+](C)CCS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000611178556 360650549 /nfs/dbraw/zinc/65/05/49/360650549.db2.gz GJIVYGDEHGRWLW-UHFFFAOYSA-N 1 2 308.403 1.443 20 30 DDEDLO COCC1([N@@H+](C)CCS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000611178556 360650551 /nfs/dbraw/zinc/65/05/51/360650551.db2.gz GJIVYGDEHGRWLW-UHFFFAOYSA-N 1 2 308.403 1.443 20 30 DDEDLO C[C@@H]1CCC[C@H](NC(=O)C[NH+]2CCN(CC3(C#N)CC3)CC2)C1 ZINC000611430857 360718998 /nfs/dbraw/zinc/71/89/98/360718998.db2.gz VLXJREOSFSCWFN-CVEARBPZSA-N 1 2 318.465 1.603 20 30 DDEDLO O=C(NCc1nc(C(F)(F)F)n[nH]1)[C@H]1CCn2c[nH+]cc2C1 ZINC000330163582 223080510 /nfs/dbraw/zinc/08/05/10/223080510.db2.gz VFOGRABYEOVRBL-ZETCQYMHSA-N 1 2 314.271 1.739 20 30 DDEDLO C=CC[C@H]1CCCC[C@@H]1NC(=O)C(=O)NCCc1cn(C)c[nH+]1 ZINC000611950591 360868199 /nfs/dbraw/zinc/86/81/99/360868199.db2.gz WFFIVBPWYAVMQR-ZFWWWQNUSA-N 1 2 318.421 1.330 20 30 DDEDLO COC1CCC(CNC([O-])=[NH+][C@H]2CCn3cc[nH+]c3C2)CC1 ZINC000330184276 223084644 /nfs/dbraw/zinc/08/46/44/223084644.db2.gz IKIFIKCCRPFPHK-MOKVOYLWSA-N 1 2 306.410 1.907 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@H](C)[N@@H+]2CCC[C@@](C)(C#N)C2)CC1 ZINC000612155651 360936171 /nfs/dbraw/zinc/93/61/71/360936171.db2.gz ZDKBXRQDDKUDIL-GUYCJALGSA-N 1 2 321.421 1.412 20 30 DDEDLO COC(=O)C1CCN(C(=O)[C@H](C)[N@H+]2CCC[C@@](C)(C#N)C2)CC1 ZINC000612155651 360936175 /nfs/dbraw/zinc/93/61/75/360936175.db2.gz ZDKBXRQDDKUDIL-GUYCJALGSA-N 1 2 321.421 1.412 20 30 DDEDLO C[C@]1(C#N)CCC[N@H+](CCN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000612163282 360937150 /nfs/dbraw/zinc/93/71/50/360937150.db2.gz QTORMJWDLVKLGQ-OAHLLOKOSA-N 1 2 304.394 1.477 20 30 DDEDLO C[C@]1(C#N)CCC[N@@H+](CCN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000612163282 360937154 /nfs/dbraw/zinc/93/71/54/360937154.db2.gz QTORMJWDLVKLGQ-OAHLLOKOSA-N 1 2 304.394 1.477 20 30 DDEDLO O=C(N[C@H]1CCC[C@H]1CCO)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000330276590 223098793 /nfs/dbraw/zinc/09/87/93/223098793.db2.gz NDBCORPSSDTLRR-IMJJTQAJSA-N 1 2 319.405 2.000 20 30 DDEDLO C[C@@H](C#N)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)C1CCCCC1 ZINC000330447017 223117905 /nfs/dbraw/zinc/11/79/05/223117905.db2.gz HTBDAOUVGUDYEW-SMDDNHRTSA-N 1 2 317.393 1.046 20 30 DDEDLO C[C@@H](C#N)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)C1CCCCC1 ZINC000330447017 223117909 /nfs/dbraw/zinc/11/79/09/223117909.db2.gz HTBDAOUVGUDYEW-SMDDNHRTSA-N 1 2 317.393 1.046 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@@H]2CCCN(C(=O)CCCC#N)CC2)no1 ZINC000331447841 223205593 /nfs/dbraw/zinc/20/55/93/223205593.db2.gz LIYZMUMEBAMVJM-CQSZACIVSA-N 1 2 319.409 1.885 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@@H]2CCCN(C(=O)CCCC#N)CC2)no1 ZINC000331447841 223205595 /nfs/dbraw/zinc/20/55/95/223205595.db2.gz LIYZMUMEBAMVJM-CQSZACIVSA-N 1 2 319.409 1.885 20 30 DDEDLO CCc1nnc(C)cc1C(=O)NC(C)(C)C[NH+]1CCOCC1 ZINC000331317249 223202053 /nfs/dbraw/zinc/20/20/53/223202053.db2.gz DELNYGZVPWSTEY-UHFFFAOYSA-N 1 2 306.410 1.763 20 30 DDEDLO CO[C@@H]1CN(C(=O)NCc2[nH+]ccn2CC(C)C)C[C@@H]1OC ZINC000331104919 223185899 /nfs/dbraw/zinc/18/58/99/223185899.db2.gz ICZMPJPVSUURLO-BETUJISGSA-N 1 2 310.398 1.299 20 30 DDEDLO N#Cc1cccc(N2CCN(C(=O)CCCn3cc[nH+]c3)CC2)n1 ZINC000612819472 361157303 /nfs/dbraw/zinc/15/73/03/361157303.db2.gz MYJLXNWUBFSMQC-UHFFFAOYSA-N 1 2 324.388 1.279 20 30 DDEDLO CN(CC[N@@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C#N)cn1 ZINC000619138838 363910749 /nfs/dbraw/zinc/91/07/49/363910749.db2.gz PIZBSHIHGPCQSL-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO CN(CC[N@H+]1CCOC(C)(C)C1)C(=O)c1ccc(C#N)cn1 ZINC000619138838 363910756 /nfs/dbraw/zinc/91/07/56/363910756.db2.gz PIZBSHIHGPCQSL-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO COCC[C@](C)(C#N)NC(=O)c1ccc2[nH+]c(C)n(C)c2c1 ZINC000345014101 223341368 /nfs/dbraw/zinc/34/13/68/223341368.db2.gz IIWKNNFRWPOOOE-MRXNPFEDSA-N 1 2 300.362 1.930 20 30 DDEDLO N#Cc1ccc(CS(=O)(=O)N2CC[NH+](C3CCC3)CC2)cc1 ZINC000288382745 220121849 /nfs/dbraw/zinc/12/18/49/220121849.db2.gz PAVLLHSMSBREBY-UHFFFAOYSA-N 1 2 319.430 1.558 20 30 DDEDLO C[C@]1(C(=O)N2CC[NH+](Cc3ccc(C#N)cc3)CC2)CCCO1 ZINC000267918108 206337007 /nfs/dbraw/zinc/33/70/07/206337007.db2.gz HSAJAVJPHIXIGF-GOSISDBHSA-N 1 2 313.401 1.772 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@@]2(CCS(=O)(=O)C2)C1 ZINC000333609390 249040826 /nfs/dbraw/zinc/04/08/26/249040826.db2.gz WKYBJOQTLPFOCD-MRXNPFEDSA-N 1 2 304.415 1.959 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@@]2(CCS(=O)(=O)C2)C1 ZINC000333609390 249040829 /nfs/dbraw/zinc/04/08/29/249040829.db2.gz WKYBJOQTLPFOCD-MRXNPFEDSA-N 1 2 304.415 1.959 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CC[NH+](C/C=C/c2ccccc2)CC1 ZINC000042287634 183250207 /nfs/dbraw/zinc/25/02/07/183250207.db2.gz QWYWXFZYSOJDBC-JEJOPICUSA-N 1 2 311.429 1.455 20 30 DDEDLO C#CCNC(=O)[C@H](C)[NH+]1CCN(C/C=C/c2ccccc2)CC1 ZINC000042287634 183250208 /nfs/dbraw/zinc/25/02/08/183250208.db2.gz QWYWXFZYSOJDBC-JEJOPICUSA-N 1 2 311.429 1.455 20 30 DDEDLO C#CCN(C(=O)NCc1ccc(N2CCOCC2)[nH+]c1)C1CC1 ZINC000274115799 211369534 /nfs/dbraw/zinc/36/95/34/211369534.db2.gz ZMXWJTIJCVRRLA-UHFFFAOYSA-N 1 2 314.389 1.225 20 30 DDEDLO N#Cc1cc(N2CCN(c3cccc[nH+]3)CC2)cc(N)c1[N+](=O)[O-] ZINC000284531769 218067048 /nfs/dbraw/zinc/06/70/48/218067048.db2.gz ALCRLGQCBDGPIN-UHFFFAOYSA-N 1 2 324.344 1.770 20 30 DDEDLO CC(C)C(=O)NCC[N@H+](C)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000567356707 304214624 /nfs/dbraw/zinc/21/46/24/304214624.db2.gz UAKWCLFXWZHWOT-UHFFFAOYSA-N 1 2 304.350 1.670 20 30 DDEDLO CC(C)C(=O)NCC[N@@H+](C)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000567356707 304214625 /nfs/dbraw/zinc/21/46/25/304214625.db2.gz UAKWCLFXWZHWOT-UHFFFAOYSA-N 1 2 304.350 1.670 20 30 DDEDLO N#Cc1cccc(OC2CC[NH+](Cc3nnnn3C3CC3)CC2)c1 ZINC000104713007 194029351 /nfs/dbraw/zinc/02/93/51/194029351.db2.gz MWBAVBLTPXAWRP-UHFFFAOYSA-N 1 2 324.388 1.923 20 30 DDEDLO N#C[C@H]1CN(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)CCO1 ZINC000106330248 194196887 /nfs/dbraw/zinc/19/68/87/194196887.db2.gz FPAVMTWXWWPGBW-AWEZNQCLSA-N 1 2 315.377 1.116 20 30 DDEDLO Cn1cc[nH+]c1CNC(=O)c1cnc(C(F)(F)F)c(C#N)c1 ZINC000339912804 250744134 /nfs/dbraw/zinc/74/41/34/250744134.db2.gz VUOCXAOMHZTCKJ-UHFFFAOYSA-N 1 2 309.251 1.636 20 30 DDEDLO CCOC(=O)[C@H]1CCCCN1C(=O)C[NH+]1CCC(C#N)CC1 ZINC000057906596 184061944 /nfs/dbraw/zinc/06/19/44/184061944.db2.gz IXSQVXNOVVMQHB-CQSZACIVSA-N 1 2 307.394 1.166 20 30 DDEDLO C=CCn1c(SC[C@@H](O)C[NH+]2CCOCC2)nnc1C1CC1 ZINC000066237994 184398627 /nfs/dbraw/zinc/39/86/27/184398627.db2.gz UZWIDMPNSNPPJJ-ZDUSSCGKSA-N 1 2 324.450 1.127 20 30 DDEDLO COc1cc[nH+]cc1CNC(=O)[C@@H](C#N)Cc1ccc(C#N)cc1 ZINC000348328792 533083293 /nfs/dbraw/zinc/08/32/93/533083293.db2.gz QDGBVGOCKQMSPN-OAHLLOKOSA-N 1 2 320.352 1.961 20 30 DDEDLO COc1cc(OC[C@H](O)C[N@H+](C)CCC#N)cc(OC)c1OC ZINC000414157631 533093749 /nfs/dbraw/zinc/09/37/49/533093749.db2.gz YGAPRSDGHLPZIN-GFCCVEGCSA-N 1 2 324.377 1.298 20 30 DDEDLO COc1cc(OC[C@H](O)C[N@@H+](C)CCC#N)cc(OC)c1OC ZINC000414157631 533093755 /nfs/dbraw/zinc/09/37/55/533093755.db2.gz YGAPRSDGHLPZIN-GFCCVEGCSA-N 1 2 324.377 1.298 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+](C)CC(=O)Nc1cc(C)on1 ZINC000120592902 195197325 /nfs/dbraw/zinc/19/73/25/195197325.db2.gz IXGKNEHNIJZZCZ-UHFFFAOYSA-N 1 2 314.345 1.934 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+](C)CC(=O)Nc1cc(C)on1 ZINC000120592902 195197326 /nfs/dbraw/zinc/19/73/26/195197326.db2.gz IXGKNEHNIJZZCZ-UHFFFAOYSA-N 1 2 314.345 1.934 20 30 DDEDLO C[C@H](NC(=O)Nc1ccc(C#N)c(F)c1)[C@H](C)[NH+]1CCOCC1 ZINC000289855388 221271186 /nfs/dbraw/zinc/27/11/86/221271186.db2.gz QRGHRDBINLUUBB-RYUDHWBXSA-N 1 2 320.368 1.928 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(F)c(C#N)c2)[C@H](C)C1 ZINC000285280612 285328817 /nfs/dbraw/zinc/32/88/17/285328817.db2.gz JJYSXZADDRJEJW-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(F)c(C#N)c2)[C@H](C)C1 ZINC000285280612 285328819 /nfs/dbraw/zinc/32/88/19/285328819.db2.gz JJYSXZADDRJEJW-LLVKDONJSA-N 1 2 311.382 1.412 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000331871747 533270616 /nfs/dbraw/zinc/27/06/16/533270616.db2.gz CTDXYILJUSWHMA-BBWFWOEESA-N 1 2 316.401 1.929 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000331871747 533270621 /nfs/dbraw/zinc/27/06/21/533270621.db2.gz CTDXYILJUSWHMA-BBWFWOEESA-N 1 2 316.401 1.929 20 30 DDEDLO CN(Cc1[nH+]ccn1CC(F)(F)F)c1ccc(C#N)c(N)n1 ZINC000568412729 304292658 /nfs/dbraw/zinc/29/26/58/304292658.db2.gz HFVCIGFSFDXITR-UHFFFAOYSA-N 1 2 310.283 1.931 20 30 DDEDLO N#Cc1ccccc1N1CCN(C(=O)NCCn2cc[nH+]c2)CC1 ZINC000171001874 407571085 /nfs/dbraw/zinc/57/10/85/407571085.db2.gz FBUZHZDFIKKSRU-UHFFFAOYSA-N 1 2 324.388 1.287 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCC(C(=O)c2ccc(OC)cc2)CC1 ZINC000014325494 406875379 /nfs/dbraw/zinc/87/53/79/406875379.db2.gz SXYCNHDTYMWUNL-UHFFFAOYSA-N 1 2 316.401 1.892 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(Cc2csc(C)n2)CC1 ZINC000073368617 406890150 /nfs/dbraw/zinc/89/01/50/406890150.db2.gz BACIYYJQFZBQPT-GFCCVEGCSA-N 1 2 308.451 1.260 20 30 DDEDLO Cc1cc(-c2csc(NC(N)=[NH2+])n2)c(C)n1-c1nc[nH]n1 ZINC000074180833 406905293 /nfs/dbraw/zinc/90/52/93/406905293.db2.gz AQRWIRHYXJAKEB-UHFFFAOYSA-N 1 2 302.367 1.241 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(C3CC3)n(C3CC3)c2=S)CC1 ZINC000074171369 406905601 /nfs/dbraw/zinc/90/56/01/406905601.db2.gz RCUSMOHHJNMXMA-UHFFFAOYSA-N 1 2 317.462 1.835 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+](C)CC(=O)Nc1cccc(Cl)c1 ZINC000029862634 406928306 /nfs/dbraw/zinc/92/83/06/406928306.db2.gz XAAAMWPOXZEONS-LLVKDONJSA-N 1 2 309.797 1.901 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+](C)CC(=O)Nc1cccc(Cl)c1 ZINC000029862634 406928307 /nfs/dbraw/zinc/92/83/07/406928307.db2.gz XAAAMWPOXZEONS-LLVKDONJSA-N 1 2 309.797 1.901 20 30 DDEDLO N#Cc1ccc(CSCC(=O)NCC[NH+]2CCOCC2)cc1 ZINC000047796261 407080369 /nfs/dbraw/zinc/08/03/69/407080369.db2.gz HZTWCRDSZWRCDB-UHFFFAOYSA-N 1 2 319.430 1.240 20 30 DDEDLO C[N@H+](CC(=O)N(CCC#N)CCC#N)Cc1ccccc1F ZINC000052919739 407160681 /nfs/dbraw/zinc/16/06/81/407160681.db2.gz KRFLILLOWVHKTO-UHFFFAOYSA-N 1 2 302.353 1.913 20 30 DDEDLO C[N@@H+](CC(=O)N(CCC#N)CCC#N)Cc1ccccc1F ZINC000052919739 407160682 /nfs/dbraw/zinc/16/06/82/407160682.db2.gz KRFLILLOWVHKTO-UHFFFAOYSA-N 1 2 302.353 1.913 20 30 DDEDLO C[N@@H+]1CCCN(S(=O)(=O)c2c(F)cc(C#N)cc2F)CC1 ZINC000091028698 407174772 /nfs/dbraw/zinc/17/47/72/407174772.db2.gz GKIGTOWIKNZLTH-UHFFFAOYSA-N 1 2 315.345 1.163 20 30 DDEDLO C[N@H+]1CCCN(S(=O)(=O)c2c(F)cc(C#N)cc2F)CC1 ZINC000091028698 407174774 /nfs/dbraw/zinc/17/47/74/407174774.db2.gz GKIGTOWIKNZLTH-UHFFFAOYSA-N 1 2 315.345 1.163 20 30 DDEDLO CCCCNC(=O)[C@H](C)[NH+]1CCN(c2ccccc2C#N)CC1 ZINC000101554465 407311059 /nfs/dbraw/zinc/31/10/59/407311059.db2.gz JUXUZGUISSMPAB-HNNXBMFYSA-N 1 2 314.433 1.985 20 30 DDEDLO C[C@@H](/N=C/c1cc([N+](=O)[O-])ccc1O)[C@@H](C)[NH+]1CCOCC1 ZINC000105356546 407353636 /nfs/dbraw/zinc/35/36/36/407353636.db2.gz FNIDQFUWRROXAU-DYBVGFEPSA-N 1 2 307.350 1.829 20 30 DDEDLO C#CC[N@H+](Cc1ccc2c(c1)CCO2)[C@H]1CCS(=O)(=O)C1 ZINC000109837224 407398256 /nfs/dbraw/zinc/39/82/56/407398256.db2.gz USEYTPSCUFKVNW-HNNXBMFYSA-N 1 2 305.399 1.244 20 30 DDEDLO C#CC[N@@H+](Cc1ccc2c(c1)CCO2)[C@H]1CCS(=O)(=O)C1 ZINC000109837224 407398257 /nfs/dbraw/zinc/39/82/57/407398257.db2.gz USEYTPSCUFKVNW-HNNXBMFYSA-N 1 2 305.399 1.244 20 30 DDEDLO C[C@H]1CCN(C(=O)C2(C#N)CCOCC2)C[C@H]1n1cc[nH+]c1 ZINC000126051018 407404017 /nfs/dbraw/zinc/40/40/17/407404017.db2.gz QTKVHGDTMNWVSH-UONOGXRCSA-N 1 2 302.378 1.613 20 30 DDEDLO Cn1cc(N2CC[NH+](Cc3cnc4ccc(C#N)cn34)CC2)cn1 ZINC000126073608 407404088 /nfs/dbraw/zinc/40/40/88/407404088.db2.gz HSNGVAYRRDUTQZ-UHFFFAOYSA-N 1 2 321.388 1.262 20 30 DDEDLO N#CCCCS(=O)(=O)N1CC[NH+](CCc2ccccc2)CC1 ZINC000111326368 407407855 /nfs/dbraw/zinc/40/78/55/407407855.db2.gz BBWQGKRWIOSSEX-UHFFFAOYSA-N 1 2 321.446 1.480 20 30 DDEDLO N#CCCC[NH+]1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000112705409 407437143 /nfs/dbraw/zinc/43/71/43/407437143.db2.gz LCTJQSMNKVORFB-KRWDZBQOSA-N 1 2 312.417 1.713 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@]2([NH+]3CCOCC3)CCSC2)n1 ZINC000127823877 407469529 /nfs/dbraw/zinc/46/95/29/407469529.db2.gz FKZXSZRDVJUJKF-MRXNPFEDSA-N 1 2 318.446 1.882 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@@H]2COCC[N@@H+]2CC2CCC2)cc1 ZINC000185693242 407477462 /nfs/dbraw/zinc/47/74/62/407477462.db2.gz GLZFKTFNTLYEJF-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1ccc(CNC(=O)[C@@H]2COCC[N@H+]2CC2CCC2)cc1 ZINC000185693242 407477469 /nfs/dbraw/zinc/47/74/69/407477469.db2.gz GLZFKTFNTLYEJF-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)c2cccc(CC#N)c2)CCO1 ZINC000170628991 407460848 /nfs/dbraw/zinc/46/08/48/407460848.db2.gz IFXAGZDIGMPHOG-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)c2cccc(CC#N)c2)CCO1 ZINC000170628991 407460853 /nfs/dbraw/zinc/46/08/53/407460853.db2.gz IFXAGZDIGMPHOG-UHFFFAOYSA-N 1 2 301.390 1.593 20 30 DDEDLO C=CCN(C(=O)NCc1cc[nH+]c(N2CCOCC2)c1)C1CC1 ZINC000271327767 407638516 /nfs/dbraw/zinc/63/85/16/407638516.db2.gz AEMGIZOLWDPIDF-UHFFFAOYSA-N 1 2 316.405 1.778 20 30 DDEDLO C[C@H]([NH2+][C@@H](C(N)=O)c1ccc(C#N)cc1)C(=O)Nc1ccccc1 ZINC000115600145 407700734 /nfs/dbraw/zinc/70/07/34/407700734.db2.gz IQMIMQLQCGEMAN-BLLLJJGKSA-N 1 2 322.368 1.701 20 30 DDEDLO CN1CC[C@H]([N@H+](C)Cc2ccc(C#N)cc2Br)C1=O ZINC000272500443 407950165 /nfs/dbraw/zinc/95/01/65/407950165.db2.gz IVOZUICPSROZMJ-ZDUSSCGKSA-N 1 2 322.206 1.983 20 30 DDEDLO CN1CC[C@H]([N@@H+](C)Cc2ccc(C#N)cc2Br)C1=O ZINC000272500443 407950171 /nfs/dbraw/zinc/95/01/71/407950171.db2.gz IVOZUICPSROZMJ-ZDUSSCGKSA-N 1 2 322.206 1.983 20 30 DDEDLO COc1ccc(C[N@H+](C)[C@H](C)[C@H](C)S(C)(=O)=O)cc1C#N ZINC000135079332 407971118 /nfs/dbraw/zinc/97/11/18/407971118.db2.gz BFHRFCQPKJLHBR-NEPJUHHUSA-N 1 2 310.419 1.820 20 30 DDEDLO COc1ccc(C[N@@H+](C)[C@H](C)[C@H](C)S(C)(=O)=O)cc1C#N ZINC000135079332 407971124 /nfs/dbraw/zinc/97/11/24/407971124.db2.gz BFHRFCQPKJLHBR-NEPJUHHUSA-N 1 2 310.419 1.820 20 30 DDEDLO C=C(C)C[N@@H+](C)[C@@H](C)C(=O)N(CCC)[C@@H]1CCS(=O)(=O)C1 ZINC000181270520 407976288 /nfs/dbraw/zinc/97/62/88/407976288.db2.gz UMSSTHAXGPFXTP-UONOGXRCSA-N 1 2 316.467 1.309 20 30 DDEDLO C=C(C)C[N@H+](C)[C@@H](C)C(=O)N(CCC)[C@@H]1CCS(=O)(=O)C1 ZINC000181270520 407976295 /nfs/dbraw/zinc/97/62/95/407976295.db2.gz UMSSTHAXGPFXTP-UONOGXRCSA-N 1 2 316.467 1.309 20 30 DDEDLO N#CCCCNC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000273143861 408077272 /nfs/dbraw/zinc/07/72/72/408077272.db2.gz KRABFPGALBYJDI-UHFFFAOYSA-N 1 2 303.366 1.021 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[NH+]1CCN(c2cccc(O)c2)CC1 ZINC000120851915 408146759 /nfs/dbraw/zinc/14/67/59/408146759.db2.gz ZAYKTMXVTDZAAK-UHFFFAOYSA-N 1 2 317.433 1.939 20 30 DDEDLO C[C@H](O[NH+]=C(N)CCO)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000121321550 408184407 /nfs/dbraw/zinc/18/44/07/408184407.db2.gz HINOBVCKWDZMQT-NSHDSACASA-N 1 2 307.394 1.982 20 30 DDEDLO C#CC[NH+]1CCN(CN2C(=O)CC[C@@H](CC(C)C)C2=O)CC1 ZINC000121642177 408237663 /nfs/dbraw/zinc/23/76/63/408237663.db2.gz JNPVTKZHHQUHKC-HNNXBMFYSA-N 1 2 305.422 1.006 20 30 DDEDLO COc1ccc(Br)cc1CO[NH+]=C(N)CCO ZINC000121380498 408197322 /nfs/dbraw/zinc/19/73/22/408197322.db2.gz LYMHPAMAIVDVFH-UHFFFAOYSA-N 1 2 303.156 1.629 20 30 DDEDLO CC#CC[N@@H+](C)[C@@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000176305279 408334297 /nfs/dbraw/zinc/33/42/97/408334297.db2.gz OGWRJFOIVCMOKA-MRXNPFEDSA-N 1 2 302.374 1.764 20 30 DDEDLO CC#CC[N@H+](C)[C@@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000176305279 408334302 /nfs/dbraw/zinc/33/43/02/408334302.db2.gz OGWRJFOIVCMOKA-MRXNPFEDSA-N 1 2 302.374 1.764 20 30 DDEDLO CS(=O)(=O)NC[C@@H]1CCCC[N@@H+]1CC#Cc1ccc(F)cc1 ZINC000269545885 408349007 /nfs/dbraw/zinc/34/90/07/408349007.db2.gz CHQFCMDGDLIIEF-INIZCTEOSA-N 1 2 324.421 1.581 20 30 DDEDLO CS(=O)(=O)NC[C@@H]1CCCC[N@H+]1CC#Cc1ccc(F)cc1 ZINC000269545885 408349014 /nfs/dbraw/zinc/34/90/14/408349014.db2.gz CHQFCMDGDLIIEF-INIZCTEOSA-N 1 2 324.421 1.581 20 30 DDEDLO C=CC[C@H](CO)NC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000183202367 408352725 /nfs/dbraw/zinc/35/27/25/408352725.db2.gz DOVCBNVFTAXYGN-CQSZACIVSA-N 1 2 300.362 1.609 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CCC[C@H](OCCO)C1 ZINC000172300890 162269442 /nfs/dbraw/zinc/26/94/42/162269442.db2.gz OIQJORLQRZWZJH-ZFWWWQNUSA-N 1 2 317.389 1.359 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CCC[C@H](OCCO)C1 ZINC000172300890 162269447 /nfs/dbraw/zinc/26/94/47/162269447.db2.gz OIQJORLQRZWZJH-ZFWWWQNUSA-N 1 2 317.389 1.359 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@H+]1[C@@H]2CC[C@H]1CC(O)C2 ZINC000177069278 408511642 /nfs/dbraw/zinc/51/16/42/408511642.db2.gz PDYXNBQYJRRERK-VFSICIBPSA-N 1 2 300.358 1.760 20 30 DDEDLO COC(=O)[C@@H](c1cccc(C#N)c1)[N@@H+]1[C@@H]2CC[C@H]1CC(O)C2 ZINC000177069278 408511648 /nfs/dbraw/zinc/51/16/48/408511648.db2.gz PDYXNBQYJRRERK-VFSICIBPSA-N 1 2 300.358 1.760 20 30 DDEDLO C=C(C)CS(=O)(=O)NC[C@@H](CC(C)C)[NH+]1CCOCC1 ZINC000184343961 408596017 /nfs/dbraw/zinc/59/60/17/408596017.db2.gz DQVAKPYKYMQWNY-CQSZACIVSA-N 1 2 304.456 1.229 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(=O)OC ZINC000248844385 408646893 /nfs/dbraw/zinc/64/68/93/408646893.db2.gz OWKUYQSQESXGMN-LZWOXQAQSA-N 1 2 308.422 1.685 20 30 DDEDLO C=C(C)CN(CC)C(=O)C[N@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(=O)OC ZINC000248844385 408646898 /nfs/dbraw/zinc/64/68/98/408646898.db2.gz OWKUYQSQESXGMN-LZWOXQAQSA-N 1 2 308.422 1.685 20 30 DDEDLO N#Cc1cccc(N2CC[NH+]([C@H](C(N)=O)c3ccccc3)CC2)n1 ZINC000265481560 408668600 /nfs/dbraw/zinc/66/86/00/408668600.db2.gz DCPOIUPIDDWWGU-KRWDZBQOSA-N 1 2 321.384 1.302 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2sccc2C#N)C[C@H](C)O1 ZINC000194756433 408796552 /nfs/dbraw/zinc/79/65/52/408796552.db2.gz HHZDKKOFXJULPZ-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2sccc2C#N)C[C@H](C)O1 ZINC000194756433 408796555 /nfs/dbraw/zinc/79/65/55/408796555.db2.gz HHZDKKOFXJULPZ-TXEJJXNPSA-N 1 2 307.419 1.801 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)C(=O)c2ccnc(C#N)c2)C[C@H](C)O1 ZINC000194355967 408779026 /nfs/dbraw/zinc/77/90/26/408779026.db2.gz MAVZDAVIMVJOMX-BETUJISGSA-N 1 2 302.378 1.134 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)C(=O)c2ccnc(C#N)c2)C[C@H](C)O1 ZINC000194355967 408779028 /nfs/dbraw/zinc/77/90/28/408779028.db2.gz MAVZDAVIMVJOMX-BETUJISGSA-N 1 2 302.378 1.134 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+]2CCC[C@@H](Oc3ncccc3F)C2)C1=O ZINC000281341596 408882717 /nfs/dbraw/zinc/88/27/17/408882717.db2.gz MLTPICQHDMPJBK-UKRRQHHQSA-N 1 2 319.380 1.851 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+]2CCC[C@@H](Oc3ncccc3F)C2)C1=O ZINC000281341596 408882721 /nfs/dbraw/zinc/88/27/21/408882721.db2.gz MLTPICQHDMPJBK-UKRRQHHQSA-N 1 2 319.380 1.851 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2ccccc2C(=O)OC)C1=O ZINC000281100328 408872425 /nfs/dbraw/zinc/87/24/25/408872425.db2.gz AKHDSAZVRKVHCQ-OAHLLOKOSA-N 1 2 302.374 1.692 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2ccccc2C(=O)OC)C1=O ZINC000281100328 408872426 /nfs/dbraw/zinc/87/24/26/408872426.db2.gz AKHDSAZVRKVHCQ-OAHLLOKOSA-N 1 2 302.374 1.692 20 30 DDEDLO Cc1cnn(C)c1C[NH+]1CCN(c2snc(C)c2C#N)CC1 ZINC000291655902 408901758 /nfs/dbraw/zinc/90/17/58/408901758.db2.gz UXYYLIMGZDOIGY-UHFFFAOYSA-N 1 2 316.434 1.687 20 30 DDEDLO CNC(=O)C[NH+]1CCC(Nc2nc(Cl)c(C#N)s2)CC1 ZINC000286051262 408914873 /nfs/dbraw/zinc/91/48/73/408914873.db2.gz HWJIXIIJQXATNH-UHFFFAOYSA-N 1 2 313.814 1.290 20 30 DDEDLO C=CC[C@@H](CC)NC(=O)C(=O)Nc1ccc(-n2cc[nH+]c2)nc1 ZINC000281530437 408889990 /nfs/dbraw/zinc/88/99/90/408889990.db2.gz TVRDQVFWCAPWLA-GFCCVEGCSA-N 1 2 313.361 1.677 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@@H+]1CC[C@@H](n2cccn2)C1 ZINC000291783490 408922227 /nfs/dbraw/zinc/92/22/27/408922227.db2.gz MIODZMMNFIHVCM-CVEARBPZSA-N 1 2 310.357 1.916 20 30 DDEDLO COC(=O)[C@H](c1cccc(C#N)c1)[N@H+]1CC[C@@H](n2cccn2)C1 ZINC000291783490 408922230 /nfs/dbraw/zinc/92/22/30/408922230.db2.gz MIODZMMNFIHVCM-CVEARBPZSA-N 1 2 310.357 1.916 20 30 DDEDLO CS(=O)(=O)N1CCC[N@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000191902538 163209652 /nfs/dbraw/zinc/20/96/52/163209652.db2.gz PJXPDJJCQUOENX-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO CS(=O)(=O)N1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000191902538 163209654 /nfs/dbraw/zinc/20/96/54/163209654.db2.gz PJXPDJJCQUOENX-UHFFFAOYSA-N 1 2 311.382 1.165 20 30 DDEDLO COc1ccc(C(N)=[NH+]OCc2cc(C)ncn2)cc1OC ZINC000291854667 408934648 /nfs/dbraw/zinc/93/46/48/408934648.db2.gz IDTCETFAXCPVSU-UHFFFAOYSA-N 1 2 302.334 1.639 20 30 DDEDLO C=C(C)[C@H]1OCC[C@@H]1CNC(=O)C(=O)Nc1c[nH+]ccc1OC ZINC000281846432 408940313 /nfs/dbraw/zinc/94/03/13/408940313.db2.gz QYTIASPBZULHKO-BXUZGUMPSA-N 1 2 319.361 1.126 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1Cc2ccccc2O[C@H](C)C1 ZINC000292120463 408984296 /nfs/dbraw/zinc/98/42/96/408984296.db2.gz AJSWLRYOQQTNJA-OLZOCXBDSA-N 1 2 317.389 1.670 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1Cc2ccccc2O[C@H](C)C1 ZINC000292120463 408984299 /nfs/dbraw/zinc/98/42/99/408984299.db2.gz AJSWLRYOQQTNJA-OLZOCXBDSA-N 1 2 317.389 1.670 20 30 DDEDLO CC(C)c1nnc(C[N@@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)[nH]1 ZINC000292314477 409023264 /nfs/dbraw/zinc/02/32/64/409023264.db2.gz VLYXSRXDOHTKRE-CYBMUJFWSA-N 1 2 312.377 1.848 20 30 DDEDLO CC(C)c1nnc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)[nH]1 ZINC000292314477 409023265 /nfs/dbraw/zinc/02/32/65/409023265.db2.gz VLYXSRXDOHTKRE-CYBMUJFWSA-N 1 2 312.377 1.848 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccc(C)c(OC)c2)CC1 ZINC000293416998 409107978 /nfs/dbraw/zinc/10/79/78/409107978.db2.gz IKNQFDOOIZBCER-UHFFFAOYSA-N 1 2 318.417 1.964 20 30 DDEDLO C#CCn1ccc(C[N@H+](CC)Cc2ccc(C(=O)OC)[nH]2)n1 ZINC000278990503 409126278 /nfs/dbraw/zinc/12/62/78/409126278.db2.gz BICATNJXBWLUOU-UHFFFAOYSA-N 1 2 300.362 1.653 20 30 DDEDLO C#CCn1ccc(C[N@@H+](CC)Cc2ccc(C(=O)OC)[nH]2)n1 ZINC000278990503 409126281 /nfs/dbraw/zinc/12/62/81/409126281.db2.gz BICATNJXBWLUOU-UHFFFAOYSA-N 1 2 300.362 1.653 20 30 DDEDLO CC(C)(C(=O)NCc1ccc(OCC#N)cc1)[NH+]1CCOCC1 ZINC000283378370 409146011 /nfs/dbraw/zinc/14/60/11/409146011.db2.gz RKXUXJVSZPBMIR-UHFFFAOYSA-N 1 2 317.389 1.316 20 30 DDEDLO C#CCC(CC#C)C(=O)NC[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000279188952 409166842 /nfs/dbraw/zinc/16/68/42/409166842.db2.gz LEGTYGDXXADRJC-LJQANCHMSA-N 1 2 324.424 1.666 20 30 DDEDLO C#CCC(CC#C)C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000279188952 409166845 /nfs/dbraw/zinc/16/68/45/409166845.db2.gz LEGTYGDXXADRJC-LJQANCHMSA-N 1 2 324.424 1.666 20 30 DDEDLO Cn1nncc1CO[NH+]=C(N)c1ccc(N2CCCCC2)nc1 ZINC000289407667 409264161 /nfs/dbraw/zinc/26/41/61/409264161.db2.gz OXXFDOZLAYYDGV-UHFFFAOYSA-N 1 2 315.381 1.038 20 30 DDEDLO C=CCCCCCN(C)C(=O)C(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000283774041 409214013 /nfs/dbraw/zinc/21/40/13/409214013.db2.gz DHNPMGSNQJRDPP-AWEZNQCLSA-N 1 2 306.410 1.593 20 30 DDEDLO C[C@@H](CNC(=O)[C@@H](C#N)Cc1ccc(C#N)cc1)Cn1cc[nH+]c1 ZINC000280174733 409263505 /nfs/dbraw/zinc/26/35/05/409263505.db2.gz COALVLYLEBSBFB-WMLDXEAASA-N 1 2 321.384 1.890 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000289459571 409277026 /nfs/dbraw/zinc/27/70/26/409277026.db2.gz LVCMUTRKWMVMSP-WDEREUQCSA-N 1 2 321.343 1.068 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000289459571 409277029 /nfs/dbraw/zinc/27/70/29/409277029.db2.gz LVCMUTRKWMVMSP-WDEREUQCSA-N 1 2 321.343 1.068 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NCCc1cn2ccccc2[nH+]1 ZINC000284090055 409273075 /nfs/dbraw/zinc/27/30/75/409273075.db2.gz SZBBOLQKTCLGSQ-UHFFFAOYSA-N 1 2 314.389 1.466 20 30 DDEDLO CCOc1cccc(CNC(=O)CO[NH+]=C(N)[C@H]2CCCO2)c1 ZINC000284425515 409340349 /nfs/dbraw/zinc/34/03/49/409340349.db2.gz OLWOWHPANATRLH-CQSZACIVSA-N 1 2 321.377 1.169 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[N@@H+](C)[C@@H](C)[C@H]2C)ccc1C#N ZINC000408437100 164331141 /nfs/dbraw/zinc/33/11/41/164331141.db2.gz OXAIPJCTOSEJQB-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(S(=O)(=O)N2CC[N@H+](C)[C@@H](C)[C@H]2C)ccc1C#N ZINC000408437100 164331143 /nfs/dbraw/zinc/33/11/43/164331143.db2.gz OXAIPJCTOSEJQB-QWHCGFSZSA-N 1 2 307.419 1.580 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@@H+]1CCOC[C@@H](O)C1 ZINC000295484300 409501857 /nfs/dbraw/zinc/50/18/57/409501857.db2.gz GRLNWHCWOWSJSC-HOCLYGCPSA-N 1 2 317.389 1.015 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)c1ccccc1)[N@H+]1CCOC[C@@H](O)C1 ZINC000295484300 409501861 /nfs/dbraw/zinc/50/18/61/409501861.db2.gz GRLNWHCWOWSJSC-HOCLYGCPSA-N 1 2 317.389 1.015 20 30 DDEDLO COc1cc[nH+]cc1CNC(=O)C(=O)Nc1cccc(C#N)c1C ZINC000342373358 409591385 /nfs/dbraw/zinc/59/13/85/409591385.db2.gz MNHOCRGJXQRHTE-UHFFFAOYSA-N 1 2 324.340 1.525 20 30 DDEDLO C[C@@H]1CC[S@](=O)CC[N@@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000332045504 409831985 /nfs/dbraw/zinc/83/19/85/409831985.db2.gz WLBSNGNUPUGAGT-QCPUYCGNSA-N 1 2 322.430 1.141 20 30 DDEDLO C[C@@H]1CC[S@](=O)CC[N@H+]1C[C@@H](O)COc1ccc(C#N)cc1 ZINC000332045504 409831993 /nfs/dbraw/zinc/83/19/93/409831993.db2.gz WLBSNGNUPUGAGT-QCPUYCGNSA-N 1 2 322.430 1.141 20 30 DDEDLO Cc1nc(NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)sc1C ZINC000328839706 409988306 /nfs/dbraw/zinc/98/83/06/409988306.db2.gz OKPXMBVCUTZRQN-NEPJUHHUSA-N 1 2 310.423 1.710 20 30 DDEDLO Cc1nc(NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)sc1C ZINC000328839706 409988314 /nfs/dbraw/zinc/98/83/14/409988314.db2.gz OKPXMBVCUTZRQN-NEPJUHHUSA-N 1 2 310.423 1.710 20 30 DDEDLO C=CCCN(C)C(=O)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000297836199 410018084 /nfs/dbraw/zinc/01/80/84/410018084.db2.gz QXNBXHXKSOWQJI-KBPBESRZSA-N 1 2 304.394 1.327 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CCN(C(=O)NCC3(C)CCOCC3)C[C@@H]21 ZINC000328885657 410003028 /nfs/dbraw/zinc/00/30/28/410003028.db2.gz OMGMSXBUIYUZJH-UONOGXRCSA-N 1 2 311.426 1.122 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CCN(C(=O)NCC3(C)CCOCC3)C[C@@H]21 ZINC000328885657 410003032 /nfs/dbraw/zinc/00/30/32/410003032.db2.gz OMGMSXBUIYUZJH-UONOGXRCSA-N 1 2 311.426 1.122 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2CC[C@@H]3OCC[N@H+](C)[C@@H]3C2)c1C ZINC000329054574 410077553 /nfs/dbraw/zinc/07/75/53/410077553.db2.gz SDBAHDOTQXIMGU-KGLIPLIRSA-N 1 2 321.425 1.470 20 30 DDEDLO CCn1nc(C)c(NC(=O)N2CC[C@@H]3OCC[N@@H+](C)[C@@H]3C2)c1C ZINC000329054574 410077559 /nfs/dbraw/zinc/07/75/59/410077559.db2.gz SDBAHDOTQXIMGU-KGLIPLIRSA-N 1 2 321.425 1.470 20 30 DDEDLO C=CCn1cc(-c2nc([C@@H]3C[N@H+](C(C)C)CCO3)no2)nn1 ZINC000351358925 410067568 /nfs/dbraw/zinc/06/75/68/410067568.db2.gz GFSXSPRGYPVQEU-LBPRGKRZSA-N 1 2 304.354 1.296 20 30 DDEDLO C=CCn1cc(-c2nc([C@@H]3C[N@@H+](C(C)C)CCO3)no2)nn1 ZINC000351358925 410067574 /nfs/dbraw/zinc/06/75/74/410067574.db2.gz GFSXSPRGYPVQEU-LBPRGKRZSA-N 1 2 304.354 1.296 20 30 DDEDLO Cc1c(NC(=O)N2C[C@@H]3OCC[N@H+](C)[C@H]3C2)cnn1CC(C)C ZINC000329105239 410103838 /nfs/dbraw/zinc/10/38/38/410103838.db2.gz DTWCQOWYDNVYCY-GJZGRUSLSA-N 1 2 321.425 1.408 20 30 DDEDLO Cc1c(NC(=O)N2C[C@@H]3OCC[N@@H+](C)[C@H]3C2)cnn1CC(C)C ZINC000329105239 410103840 /nfs/dbraw/zinc/10/38/40/410103840.db2.gz DTWCQOWYDNVYCY-GJZGRUSLSA-N 1 2 321.425 1.408 20 30 DDEDLO Cn1cc[nH+]c1[C@@H](NC(=O)[C@H]1COCCN1C)C1CCOCC1 ZINC000329111383 410111344 /nfs/dbraw/zinc/11/13/44/410111344.db2.gz GRKLRFSJAHQOGM-KGLIPLIRSA-N 1 2 322.409 1.175 20 30 DDEDLO CC1=CC[N@H+](CCNC(=O)C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000329153732 410132604 /nfs/dbraw/zinc/13/26/04/410132604.db2.gz BCLNNNQLVATALU-ZDUSSCGKSA-N 1 2 300.424 1.420 20 30 DDEDLO CC1=CC[N@@H+](CCNC(=O)C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000329153732 410132610 /nfs/dbraw/zinc/13/26/10/410132610.db2.gz BCLNNNQLVATALU-ZDUSSCGKSA-N 1 2 300.424 1.420 20 30 DDEDLO C[C@H](NC(=O)N1C[C@H]([NH+]2CCOCC2)C[C@@H]1C)[C@@H]1CCOC1 ZINC000329282522 410209381 /nfs/dbraw/zinc/20/93/81/410209381.db2.gz NPKIQNVUWFYKMN-BYNSBNAKSA-N 1 2 311.426 1.120 20 30 DDEDLO C=CCNC(=O)[C@@H]1CCC(=O)N(C2CC2)[C@@H]1c1c[nH+]cn1C ZINC000343210937 410240088 /nfs/dbraw/zinc/24/00/88/410240088.db2.gz GLHXAOQOFLRUPF-DOMZBBRYSA-N 1 2 302.378 1.164 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N1CCOCC2(CC2)C1)[NH+]1CCOCC1 ZINC000329344747 410244974 /nfs/dbraw/zinc/24/49/74/410244974.db2.gz UIVQPSHVJLFCDM-UONOGXRCSA-N 1 2 311.426 1.122 20 30 DDEDLO O=C(Cc1cn2ccccc2[nH+]1)N[C@@H]1CCCS(=O)(=O)C1 ZINC000329352057 410248422 /nfs/dbraw/zinc/24/84/22/410248422.db2.gz UKRHSHLRCFKLEO-LLVKDONJSA-N 1 2 307.375 1.411 20 30 DDEDLO O=C(N[C@H]1CC[C@H](O)CC1)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000329538141 410351792 /nfs/dbraw/zinc/35/17/92/410351792.db2.gz BWBQXMBKXWBHOP-KKUMJFAQSA-N 1 2 311.426 1.001 20 30 DDEDLO COc1ccc(OC)c(C[NH+]2CCN(C(=O)[C@@H](C)C#N)CC2)c1 ZINC000357843901 410289819 /nfs/dbraw/zinc/28/98/19/410289819.db2.gz YPDGSBQBFJGKQW-ZDUSSCGKSA-N 1 2 317.389 1.508 20 30 DDEDLO C[N@@H+](CC(=O)NC1CCCCC1)C[C@H]1CCS(=O)(=O)C1 ZINC000329447003 410301498 /nfs/dbraw/zinc/30/14/98/410301498.db2.gz BTRBKVLNHADJDJ-GFCCVEGCSA-N 1 2 302.440 1.642 20 30 DDEDLO C[N@H+](CC(=O)NC1CCCCC1)C[C@H]1CCS(=O)(=O)C1 ZINC000329447003 410301504 /nfs/dbraw/zinc/30/15/04/410301504.db2.gz BTRBKVLNHADJDJ-GFCCVEGCSA-N 1 2 302.440 1.642 20 30 DDEDLO CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2C([O-])=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000329557543 410359428 /nfs/dbraw/zinc/35/94/28/410359428.db2.gz QOKLAHPDXROOCK-DEQOCZATSA-N 1 2 316.405 1.467 20 30 DDEDLO Cc1cc(C(=O)NCCn2cc[nH+]c2)nn1-c1cccc(C#N)c1 ZINC000355299825 410425089 /nfs/dbraw/zinc/42/50/89/410425089.db2.gz MDKCZCVXDQMWDS-UHFFFAOYSA-N 1 2 320.356 1.679 20 30 DDEDLO C#CCn1ccc(CN(CC)c2cc(NC[C@H](C)O)[nH+]cn2)n1 ZINC000298895443 410441318 /nfs/dbraw/zinc/44/13/18/410441318.db2.gz MVILAEYXPIXUNL-ZDUSSCGKSA-N 1 2 314.393 1.126 20 30 DDEDLO C#CCn1ccc(CN(CC)c2cc(NC[C@H](C)O)nc[nH+]2)n1 ZINC000298895443 410441322 /nfs/dbraw/zinc/44/13/22/410441322.db2.gz MVILAEYXPIXUNL-ZDUSSCGKSA-N 1 2 314.393 1.126 20 30 DDEDLO Cc1nn(Cc2ccc(-n3cc[nH+]c3)cc2)c(=O)c(C#N)c1C ZINC000358322194 410446991 /nfs/dbraw/zinc/44/69/91/410446991.db2.gz RPHNORVBPHXZKE-UHFFFAOYSA-N 1 2 305.341 1.966 20 30 DDEDLO C=C(C)C[N@@H+](CC)CC(=O)NCc1ccc(S(C)(=O)=O)cc1 ZINC000358917217 410535801 /nfs/dbraw/zinc/53/58/01/410535801.db2.gz BEWOUFVFZLYKRO-UHFFFAOYSA-N 1 2 324.446 1.604 20 30 DDEDLO C=C(C)C[N@H+](CC)CC(=O)NCc1ccc(S(C)(=O)=O)cc1 ZINC000358917217 410535810 /nfs/dbraw/zinc/53/58/10/410535810.db2.gz BEWOUFVFZLYKRO-UHFFFAOYSA-N 1 2 324.446 1.604 20 30 DDEDLO C=CCCC[C@H](C)NC(=O)C(=O)N[C@H]1CCn2cc[nH+]c2C1 ZINC000352249312 410578851 /nfs/dbraw/zinc/57/88/51/410578851.db2.gz IGACPIUNCQAFFD-STQMWFEESA-N 1 2 304.394 1.175 20 30 DDEDLO C[C@]12CCC(=O)N1[C@H](C(=O)N[C@H]1CCn3cc[nH+]c3C1)CS2 ZINC000330267999 410604613 /nfs/dbraw/zinc/60/46/13/410604613.db2.gz AQDCIXOADKIJTH-PGUXBMHVSA-N 1 2 320.418 1.608 20 30 DDEDLO CCNC(=O)C[NH+]1CCN(c2cc(Cl)ccc2C#N)CC1 ZINC000301162927 410669263 /nfs/dbraw/zinc/66/92/63/410669263.db2.gz CLLAGYMLIMCHQO-UHFFFAOYSA-N 1 2 306.797 1.470 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CCOC[C@@H]1[C@H]1CCCC[C@@H]1O ZINC000330571205 410785194 /nfs/dbraw/zinc/78/51/94/410785194.db2.gz MSMYOMJRORMJKT-OAGGEKHMSA-N 1 2 323.437 1.000 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CCOC[C@@H]1[C@H]1CCCC[C@@H]1O ZINC000330571205 410785198 /nfs/dbraw/zinc/78/51/98/410785198.db2.gz MSMYOMJRORMJKT-OAGGEKHMSA-N 1 2 323.437 1.000 20 30 DDEDLO C=CCCC1(C(=O)N2CCN(C)[C@@H](c3[nH+]ccn3C)C2)CC1 ZINC000356087669 410823859 /nfs/dbraw/zinc/82/38/59/410823859.db2.gz IGHPNXMOUTWCOQ-CQSZACIVSA-N 1 2 302.422 1.982 20 30 DDEDLO N#Cc1cccc(C[N@@H+](CCC(F)(F)F)C[C@H](O)CO)c1F ZINC000340897409 410869708 /nfs/dbraw/zinc/86/97/08/410869708.db2.gz IRUHHURUELHALR-LBPRGKRZSA-N 1 2 320.286 1.805 20 30 DDEDLO N#Cc1cccc(C[N@H+](CCC(F)(F)F)C[C@H](O)CO)c1F ZINC000340897409 410869714 /nfs/dbraw/zinc/86/97/14/410869714.db2.gz IRUHHURUELHALR-LBPRGKRZSA-N 1 2 320.286 1.805 20 30 DDEDLO C[C@@H](O)C(C)(C)NC(=O)c1cccc(C[NH+]2CCOCC2)c1 ZINC000330790315 410893599 /nfs/dbraw/zinc/89/35/99/410893599.db2.gz AKBLLFHOFOIXJF-CYBMUJFWSA-N 1 2 306.406 1.983 20 30 DDEDLO C=CCOc1ccc(C[N@@H+]2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000353669616 411103488 /nfs/dbraw/zinc/10/34/88/411103488.db2.gz DNXKOGWHNZVKHF-QGZVFWFLSA-N 1 2 304.390 1.588 20 30 DDEDLO C=CCOc1ccc(C[N@H+]2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000353669616 411103491 /nfs/dbraw/zinc/10/34/91/411103491.db2.gz DNXKOGWHNZVKHF-QGZVFWFLSA-N 1 2 304.390 1.588 20 30 DDEDLO C[C@@H](C#N)CN(C)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000360314463 411125914 /nfs/dbraw/zinc/12/59/14/411125914.db2.gz UCVWZMBPOGIOAU-ZDUSSCGKSA-N 1 2 303.410 1.250 20 30 DDEDLO C=CCN(c1ccccc1)S(=O)(=O)C[C@@H]1C[N@H+](C)CCO1 ZINC000631649237 422866218 /nfs/dbraw/zinc/86/62/18/422866218.db2.gz XNTWTBDHWPQPJL-HNNXBMFYSA-N 1 2 310.419 1.339 20 30 DDEDLO C=CCN(c1ccccc1)S(=O)(=O)C[C@@H]1C[N@@H+](C)CCO1 ZINC000631649237 422866222 /nfs/dbraw/zinc/86/62/22/422866222.db2.gz XNTWTBDHWPQPJL-HNNXBMFYSA-N 1 2 310.419 1.339 20 30 DDEDLO C=CC[C@@H](CO)NC(=O)N[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000373375951 418423919 /nfs/dbraw/zinc/42/39/19/418423919.db2.gz GRTDYZNOVBECCN-KGLIPLIRSA-N 1 2 304.394 1.287 20 30 DDEDLO N#CCCCC(=O)Nc1ccc2c(c1)C[N@H+](CC(N)=O)CC2 ZINC000360455301 418439350 /nfs/dbraw/zinc/43/93/50/418439350.db2.gz MXQXNUPWIISAFO-UHFFFAOYSA-N 1 2 300.362 1.162 20 30 DDEDLO N#CCCCC(=O)Nc1ccc2c(c1)C[N@@H+](CC(N)=O)CC2 ZINC000360455301 418439352 /nfs/dbraw/zinc/43/93/52/418439352.db2.gz MXQXNUPWIISAFO-UHFFFAOYSA-N 1 2 300.362 1.162 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CCC(n3cc[nH+]c3)CC2)s1 ZINC000366640808 418500405 /nfs/dbraw/zinc/50/04/05/418500405.db2.gz AOJOADYNYJVRSS-UHFFFAOYSA-N 1 2 322.415 1.842 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@](F)(c2ccccc2C)C1 ZINC000374338332 418520771 /nfs/dbraw/zinc/52/07/71/418520771.db2.gz SWIUDTGZLHEHID-QGZVFWFLSA-N 1 2 319.380 1.877 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@](F)(c2ccccc2C)C1 ZINC000374338332 418520773 /nfs/dbraw/zinc/52/07/73/418520773.db2.gz SWIUDTGZLHEHID-QGZVFWFLSA-N 1 2 319.380 1.877 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1Cc2ccccc2OC[C@@H]1C ZINC000374664267 418553731 /nfs/dbraw/zinc/55/37/31/418553731.db2.gz OGBNZQGFXLZCCD-LBPRGKRZSA-N 1 2 303.362 1.281 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1Cc2ccccc2OC[C@@H]1C ZINC000374664267 418553733 /nfs/dbraw/zinc/55/37/33/418553733.db2.gz OGBNZQGFXLZCCD-LBPRGKRZSA-N 1 2 303.362 1.281 20 30 DDEDLO CO[C@H](C)CN1CC(=O)C(=C2N(C)c3ccccc3N2C)C1=[NH2+] ZINC000188133372 222007917 /nfs/dbraw/zinc/00/79/17/222007917.db2.gz OGMPBGSYSBJJAJ-LLVKDONJSA-N 1 2 314.389 1.681 20 30 DDEDLO CCc1nnc(C2CC[NH+]([C@@H](C)C(=O)N(C)CCC#N)CC2)[nH]1 ZINC000190693754 222086239 /nfs/dbraw/zinc/08/62/39/222086239.db2.gz AELGYUHSHRCWBO-LBPRGKRZSA-N 1 2 318.425 1.307 20 30 DDEDLO CCc1n[nH]c(C2CC[NH+]([C@@H](C)C(=O)N(C)CCC#N)CC2)n1 ZINC000190693754 222086241 /nfs/dbraw/zinc/08/62/41/222086241.db2.gz AELGYUHSHRCWBO-LBPRGKRZSA-N 1 2 318.425 1.307 20 30 DDEDLO CCc1nc(C2CC[NH+]([C@@H](C)C(=O)N(C)CCC#N)CC2)n[nH]1 ZINC000190693754 222086243 /nfs/dbraw/zinc/08/62/43/222086243.db2.gz AELGYUHSHRCWBO-LBPRGKRZSA-N 1 2 318.425 1.307 20 30 DDEDLO C#CC[N@@H+](C)CC(=O)N[C@@H](C)c1cc(OC)c(OC)cc1C ZINC000192235976 222124354 /nfs/dbraw/zinc/12/43/54/222124354.db2.gz YINYYCIZYYTVLP-ZDUSSCGKSA-N 1 2 304.390 1.754 20 30 DDEDLO C#CC[N@H+](C)CC(=O)N[C@@H](C)c1cc(OC)c(OC)cc1C ZINC000192235976 222124357 /nfs/dbraw/zinc/12/43/57/222124357.db2.gz YINYYCIZYYTVLP-ZDUSSCGKSA-N 1 2 304.390 1.754 20 30 DDEDLO C=CCOC[C@H](NCc1cc(-n2cc[nH+]c2)cs1)C(=O)OC ZINC000361113950 418586905 /nfs/dbraw/zinc/58/69/05/418586905.db2.gz PJJQJHZNRDNPDG-AWEZNQCLSA-N 1 2 321.402 1.768 20 30 DDEDLO C=CCn1c(C)cc(C(=O)CN2CC[NH+](CCOC)CC2)c1C ZINC000194606930 222179885 /nfs/dbraw/zinc/17/98/85/222179885.db2.gz ATYZFJRYCPPDQW-UHFFFAOYSA-N 1 2 319.449 1.738 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N1CCn2c[nH+]cc2C1 ZINC000291198499 222215588 /nfs/dbraw/zinc/21/55/88/222215588.db2.gz UNCZBSHCKDLLRZ-UHFFFAOYSA-N 1 2 312.329 1.185 20 30 DDEDLO CS(=O)(=O)NCC[N@H+](Cc1ccc(C#N)c(F)c1)C1CC1 ZINC000361388047 418642240 /nfs/dbraw/zinc/64/22/40/418642240.db2.gz CTMFNFSEQRSMTM-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO CS(=O)(=O)NCC[N@@H+](Cc1ccc(C#N)c(F)c1)C1CC1 ZINC000361388047 418642242 /nfs/dbraw/zinc/64/22/42/418642242.db2.gz CTMFNFSEQRSMTM-UHFFFAOYSA-N 1 2 311.382 1.211 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@@H+]1CC[C@H](n2cncn2)C1 ZINC000375316151 418627549 /nfs/dbraw/zinc/62/75/49/418627549.db2.gz BXQNGJNIDINRQU-JSGCOSHPSA-N 1 2 310.361 1.424 20 30 DDEDLO C[C@@H](C(=O)Nc1ccccc1C#N)[N@H+]1CC[C@H](n2cncn2)C1 ZINC000375316151 418627552 /nfs/dbraw/zinc/62/75/52/418627552.db2.gz BXQNGJNIDINRQU-JSGCOSHPSA-N 1 2 310.361 1.424 20 30 DDEDLO CO[C@@H]1CC[N@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000361371261 418639047 /nfs/dbraw/zinc/63/90/47/418639047.db2.gz FRNBTTQSOYKEKC-GFCCVEGCSA-N 1 2 305.403 1.961 20 30 DDEDLO CO[C@@H]1CC[N@@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000361371261 418639050 /nfs/dbraw/zinc/63/90/50/418639050.db2.gz FRNBTTQSOYKEKC-GFCCVEGCSA-N 1 2 305.403 1.961 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@H](CO)c1c(Cl)cccc1Cl ZINC000361547757 418675530 /nfs/dbraw/zinc/67/55/30/418675530.db2.gz HCQBJGAJGGBWCD-LLVKDONJSA-N 1 2 303.189 1.919 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)CC[N@@H+]1CCOc1cccc(C#N)c1 ZINC000376879236 418702291 /nfs/dbraw/zinc/70/22/91/418702291.db2.gz CGIPAMRLFLAYBG-GOEBONIOSA-N 1 2 318.373 1.589 20 30 DDEDLO COC(=O)[C@H]1C[C@@H](OC)CC[N@H+]1CCOc1cccc(C#N)c1 ZINC000376879236 418702293 /nfs/dbraw/zinc/70/22/93/418702293.db2.gz CGIPAMRLFLAYBG-GOEBONIOSA-N 1 2 318.373 1.589 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@@H+]1CC[S@@](=O)C[C@H](C)C1 ZINC000369347654 418731793 /nfs/dbraw/zinc/73/17/93/418731793.db2.gz YDSFNAZORRLDSD-LAPQFRIASA-N 1 2 300.468 1.500 20 30 DDEDLO C=C(C)CN(CC)C(=O)[C@H](C)[N@H+]1CC[S@@](=O)C[C@H](C)C1 ZINC000369347654 418731794 /nfs/dbraw/zinc/73/17/94/418731794.db2.gz YDSFNAZORRLDSD-LAPQFRIASA-N 1 2 300.468 1.500 20 30 DDEDLO C=C(Br)C[N@@H+]1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000369315589 418732143 /nfs/dbraw/zinc/73/21/43/418732143.db2.gz VMCFXLKFKBVCCI-NSHDSACASA-N 1 2 323.256 1.395 20 30 DDEDLO C=C(Br)C[N@H+]1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000369315589 418732146 /nfs/dbraw/zinc/73/21/46/418732146.db2.gz VMCFXLKFKBVCCI-NSHDSACASA-N 1 2 323.256 1.395 20 30 DDEDLO COc1cc(C[N@@H+]2CCN3C(=O)N(C)C[C@@H]3C2)ccc1C#N ZINC000370240021 418742340 /nfs/dbraw/zinc/74/23/40/418742340.db2.gz YKJPDCCBMISFGH-CQSZACIVSA-N 1 2 300.362 1.118 20 30 DDEDLO COc1cc(C[N@H+]2CCN3C(=O)N(C)C[C@@H]3C2)ccc1C#N ZINC000370240021 418742341 /nfs/dbraw/zinc/74/23/41/418742341.db2.gz YKJPDCCBMISFGH-CQSZACIVSA-N 1 2 300.362 1.118 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC000362046621 418743732 /nfs/dbraw/zinc/74/37/32/418743732.db2.gz ICIXYLPYZMQWEX-UHFFFAOYSA-N 1 2 307.350 1.976 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC000362046621 418743734 /nfs/dbraw/zinc/74/37/34/418743734.db2.gz ICIXYLPYZMQWEX-UHFFFAOYSA-N 1 2 307.350 1.976 20 30 DDEDLO COc1cc(C#N)ccc1C[N@@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000370579622 418746140 /nfs/dbraw/zinc/74/61/40/418746140.db2.gz LUPCRWQBQDFXCW-DZGCQCFKSA-N 1 2 306.387 1.186 20 30 DDEDLO COc1cc(C#N)ccc1C[N@H+]1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000370579622 418746142 /nfs/dbraw/zinc/74/61/42/418746142.db2.gz LUPCRWQBQDFXCW-DZGCQCFKSA-N 1 2 306.387 1.186 20 30 DDEDLO Cc1nccc(C[N@@H+]2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)n1 ZINC000370682113 418747135 /nfs/dbraw/zinc/74/71/35/418747135.db2.gz DJKBGXCNZXXGNH-SCLBCKFNSA-N 1 2 319.368 1.631 20 30 DDEDLO Cc1nccc(C[N@H+]2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)n1 ZINC000370682113 418747136 /nfs/dbraw/zinc/74/71/36/418747136.db2.gz DJKBGXCNZXXGNH-SCLBCKFNSA-N 1 2 319.368 1.631 20 30 DDEDLO CCC(CC)C[N@H+](CCC#N)CC(=O)N[C@H](C)C(=O)N(C)C ZINC000362173219 418750027 /nfs/dbraw/zinc/75/00/27/418750027.db2.gz KPKSWEBOOVQBRS-CYBMUJFWSA-N 1 2 310.442 1.231 20 30 DDEDLO CCC(CC)C[N@@H+](CCC#N)CC(=O)N[C@H](C)C(=O)N(C)C ZINC000362173219 418750029 /nfs/dbraw/zinc/75/00/29/418750029.db2.gz KPKSWEBOOVQBRS-CYBMUJFWSA-N 1 2 310.442 1.231 20 30 DDEDLO N#Cc1c(F)cccc1C[NH+]1CCC(N2CCNC2=O)CC1 ZINC000362305484 418751789 /nfs/dbraw/zinc/75/17/89/418751789.db2.gz MPOKTCQOWMVJHS-UHFFFAOYSA-N 1 2 302.353 1.687 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[NH+]1CCC(c2nc[nH]n2)CC1 ZINC000363367856 418764476 /nfs/dbraw/zinc/76/44/76/418764476.db2.gz VHSCTNDZEGBZAC-CYBMUJFWSA-N 1 2 303.410 1.573 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1ccc(C#N)cc1C)CC2 ZINC000363711189 418769295 /nfs/dbraw/zinc/76/92/95/418769295.db2.gz NCJUQIOLLKPFTM-UHFFFAOYSA-N 1 2 316.386 1.576 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN1CC(=O)NCc1ccc(C#N)cc1 ZINC000378459198 418722948 /nfs/dbraw/zinc/72/29/48/418722948.db2.gz HFPDLRYCSQEMCU-INIZCTEOSA-N 1 2 323.400 1.745 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](OC)C[C@H]1CC(=O)OCC ZINC000372288674 418851391 /nfs/dbraw/zinc/85/13/91/418851391.db2.gz LXIHPHWLKCMPGB-QWRGUYRKSA-N 1 2 306.200 1.938 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](OC)C[C@H]1CC(=O)OCC ZINC000372288674 418851395 /nfs/dbraw/zinc/85/13/95/418851395.db2.gz LXIHPHWLKCMPGB-QWRGUYRKSA-N 1 2 306.200 1.938 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@H]1c1[nH+]ccn1C ZINC000372971414 418917740 /nfs/dbraw/zinc/91/77/40/418917740.db2.gz BYJFYUWRKNARSM-ZIAGYGMSSA-N 1 2 303.410 1.565 20 30 DDEDLO N#CCC1(O)C[NH+](C[C@@H](O)COCc2ccc(Cl)cc2)C1 ZINC000424143660 228235526 /nfs/dbraw/zinc/23/55/26/228235526.db2.gz WRIWWBUJYOVVOI-CQSZACIVSA-N 1 2 310.781 1.178 20 30 DDEDLO CC[C@@]1(O)CC[N@@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000425924986 419369455 /nfs/dbraw/zinc/36/94/55/419369455.db2.gz IEUMVAWXENDKHC-BDJLRTHQSA-N 1 2 305.378 1.942 20 30 DDEDLO CC[C@@]1(O)CC[N@H+]([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)C1 ZINC000425924986 419369462 /nfs/dbraw/zinc/36/94/62/419369462.db2.gz IEUMVAWXENDKHC-BDJLRTHQSA-N 1 2 305.378 1.942 20 30 DDEDLO CCn1cc[nH+]c1/C=C\C(=O)Nc1nc2n(c1C#N)CCS2 ZINC000492448107 420561108 /nfs/dbraw/zinc/56/11/08/420561108.db2.gz LXCNWIQJRXPATM-ARJAWSKDSA-N 1 2 314.374 1.729 20 30 DDEDLO CC(C)(C)OC(=O)C[NH2+][C@]1(C)CCN(C(=O)NCCCC#N)C1 ZINC000441077354 420630305 /nfs/dbraw/zinc/63/03/05/420630305.db2.gz FYDTURSBURBCEU-MRXNPFEDSA-N 1 2 324.425 1.395 20 30 DDEDLO CCOC1(C)C[NH+](C[C@H](O)COc2ccc(CC#N)cc2)C1 ZINC000453015263 420701077 /nfs/dbraw/zinc/70/10/77/420701077.db2.gz LMYQQKAAYXMTRE-HNNXBMFYSA-N 1 2 304.390 1.603 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NS(=O)(=O)c1ccc(Cl)cc1C#N ZINC000443091479 420744587 /nfs/dbraw/zinc/74/45/87/420744587.db2.gz DJXJVQGEALCBIF-JTQLQIEISA-N 1 2 324.793 1.775 20 30 DDEDLO C=C(C)CCNC(=O)NC[C@@H]1C[C@H](F)C[N@H+]1Cc1cnn(C)c1 ZINC000459010636 420781833 /nfs/dbraw/zinc/78/18/33/420781833.db2.gz XAAPKMBWPYPFHZ-GJZGRUSLSA-N 1 2 323.416 1.598 20 30 DDEDLO C=C(C)CCNC(=O)NC[C@@H]1C[C@H](F)C[N@@H+]1Cc1cnn(C)c1 ZINC000459010636 420781835 /nfs/dbraw/zinc/78/18/35/420781835.db2.gz XAAPKMBWPYPFHZ-GJZGRUSLSA-N 1 2 323.416 1.598 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)CNc1cccc(C#N)c1 ZINC000447657894 420791832 /nfs/dbraw/zinc/79/18/32/420791832.db2.gz XEFIMVFTLNXMEI-AWEZNQCLSA-N 1 2 316.405 1.149 20 30 DDEDLO N#Cc1ccc(-c2nnc(C[NH2+][C@@H](CO)[C@@H]3CCCO3)o2)cc1 ZINC000447905618 420813098 /nfs/dbraw/zinc/81/30/98/420813098.db2.gz MZSLERZQZCRQPJ-KBPBESRZSA-N 1 2 314.345 1.238 20 30 DDEDLO C[C@@H](Nc1cccc(C#N)c1[N+](=O)[O-])[C@@H](C)[NH+]1CCOCC1 ZINC000450313306 421180122 /nfs/dbraw/zinc/18/01/22/421180122.db2.gz PYNXMOQOPZMMED-VXGBXAGGSA-N 1 2 304.350 1.988 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000492191241 421211972 /nfs/dbraw/zinc/21/19/72/421211972.db2.gz ZAIZNZWBLOKEPQ-QWHCGFSZSA-N 1 2 322.409 1.148 20 30 DDEDLO CCOC(=O)N[C@@H]1CCC[N@H+]([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000492191241 421211973 /nfs/dbraw/zinc/21/19/73/421211973.db2.gz ZAIZNZWBLOKEPQ-QWHCGFSZSA-N 1 2 322.409 1.148 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1Cc2ccc(OC)cc2OC(C)(C)C1 ZINC000491787740 421203498 /nfs/dbraw/zinc/20/34/98/421203498.db2.gz WNGUXDSGYWXGHI-CYBMUJFWSA-N 1 2 316.401 1.806 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1Cc2ccc(OC)cc2OC(C)(C)C1 ZINC000491787740 421203499 /nfs/dbraw/zinc/20/34/99/421203499.db2.gz WNGUXDSGYWXGHI-CYBMUJFWSA-N 1 2 316.401 1.806 20 30 DDEDLO COc1cc(C#N)ccc1OCC(=O)N1CC(n2cc[nH+]c2)C1 ZINC000523698369 421247321 /nfs/dbraw/zinc/24/73/21/421247321.db2.gz BYQQILCNJDOBDH-UHFFFAOYSA-N 1 2 312.329 1.226 20 30 DDEDLO CC[C@H](CC#N)NC(=O)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000527129572 421358843 /nfs/dbraw/zinc/35/88/43/421358843.db2.gz MBTPPEVGZDPFIV-LLVKDONJSA-N 1 2 313.361 1.629 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CCO[C@H](C3CCCCC3)C2)CCC1 ZINC000527128000 421358875 /nfs/dbraw/zinc/35/88/75/421358875.db2.gz OHHLNSPGKQLUMB-HNNXBMFYSA-N 1 2 305.422 1.830 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CCO[C@H](C3CCCCC3)C2)CCC1 ZINC000527128000 421358877 /nfs/dbraw/zinc/35/88/77/421358877.db2.gz OHHLNSPGKQLUMB-HNNXBMFYSA-N 1 2 305.422 1.830 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)c1nc(C(C)(C)C)no1)C(=O)NC1(C#N)CCC1 ZINC000527298609 421375100 /nfs/dbraw/zinc/37/51/00/421375100.db2.gz QHWMSFOHJTTWNN-MNOVXSKESA-N 1 2 319.409 1.969 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@H+]1CCCC[C@@H]1C1(O)CC1 ZINC000562683921 421398556 /nfs/dbraw/zinc/39/85/56/421398556.db2.gz MRAKECZLSTVIFB-GFCCVEGCSA-N 1 2 305.403 1.938 20 30 DDEDLO N#Cc1ccsc1NC(=O)C[N@@H+]1CCCC[C@@H]1C1(O)CC1 ZINC000562683921 421398558 /nfs/dbraw/zinc/39/85/58/421398558.db2.gz MRAKECZLSTVIFB-GFCCVEGCSA-N 1 2 305.403 1.938 20 30 DDEDLO N#CC1(NC(=O)C[N@@H+]2CC[C@H]3CC[C@@H](C2)[S@]3=O)CCCCC1 ZINC000528780061 421515956 /nfs/dbraw/zinc/51/59/56/421515956.db2.gz ATHNVOVOLUDDLI-QLEMLULZSA-N 1 2 323.462 1.314 20 30 DDEDLO N#CC1(NC(=O)C[N@H+]2CC[C@H]3CC[C@@H](C2)[S@]3=O)CCCCC1 ZINC000528780061 421515959 /nfs/dbraw/zinc/51/59/59/421515959.db2.gz ATHNVOVOLUDDLI-QLEMLULZSA-N 1 2 323.462 1.314 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1)C1CC1 ZINC000528790262 421518657 /nfs/dbraw/zinc/51/86/57/421518657.db2.gz KZPXAQVCJCMHGD-CJNGLKHVSA-N 1 2 315.377 1.128 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@@H]1c1ccc(=O)[nH]n1)C1CC1 ZINC000528790262 421518661 /nfs/dbraw/zinc/51/86/61/421518661.db2.gz KZPXAQVCJCMHGD-CJNGLKHVSA-N 1 2 315.377 1.128 20 30 DDEDLO CC(=O)N[C@@H](CC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1ccccc1 ZINC000514941932 421468244 /nfs/dbraw/zinc/46/82/44/421468244.db2.gz LNBRYKXRSLFVPD-RDJZCZTQSA-N 1 2 316.405 1.214 20 30 DDEDLO CC1(C)C[NH+](CC(=O)N2CCC[C@]3(CCCCO3)[C@H]2C#N)C1 ZINC000514949635 421469066 /nfs/dbraw/zinc/46/90/66/421469066.db2.gz LGGGDROJJCAOHN-RHSMWYFYSA-N 1 2 305.422 1.782 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000515032675 421474516 /nfs/dbraw/zinc/47/45/16/421474516.db2.gz MYMXHMPJBIJCFK-GUTXKFCHSA-N 1 2 304.394 1.478 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)[C@H]1CCN(c2ccccc2F)C1 ZINC000563220403 421474569 /nfs/dbraw/zinc/47/45/69/421474569.db2.gz NBAUNFOBMLEVQM-GUYCJALGSA-N 1 2 318.396 1.612 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CCc2c([nH+]c(C)n2CCO)C1 ZINC000563263142 421479102 /nfs/dbraw/zinc/47/91/02/421479102.db2.gz UUWCPTLNCUJGMX-DYVFJYSZSA-N 1 2 305.422 1.760 20 30 DDEDLO Cc1cc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)c(C#N)c[nH+]1 ZINC000517130043 421596413 /nfs/dbraw/zinc/59/64/13/421596413.db2.gz LRSPGFPDFFJDRM-HNNXBMFYSA-N 1 2 300.362 1.089 20 30 DDEDLO C[C@H](CC(=O)N[C@@](C)(C#N)C[NH+](C)C)NC(=O)C1CCCCC1 ZINC000567995573 421616335 /nfs/dbraw/zinc/61/63/35/421616335.db2.gz OPAJYKFETNYGTB-DYVFJYSZSA-N 1 2 322.453 1.422 20 30 DDEDLO C=CCc1cc(C[N@@H+]2CCN3C(=O)CC[C@H]3C2)cc(OC)c1O ZINC000535820923 421711731 /nfs/dbraw/zinc/71/17/31/421711731.db2.gz BCKZRSPPGPPTSM-HNNXBMFYSA-N 1 2 316.401 1.936 20 30 DDEDLO C=CCc1cc(C[N@H+]2CCN3C(=O)CC[C@H]3C2)cc(OC)c1O ZINC000535820923 421711733 /nfs/dbraw/zinc/71/17/33/421711733.db2.gz BCKZRSPPGPPTSM-HNNXBMFYSA-N 1 2 316.401 1.936 20 30 DDEDLO CC[C@H](CC#N)[NH2+]CC(=O)N[C@@H](Cc1ccccc1)C(C)=O ZINC000519832621 421733928 /nfs/dbraw/zinc/73/39/28/421733928.db2.gz KDVFGGBBSIGUCN-CVEARBPZSA-N 1 2 301.390 1.585 20 30 DDEDLO CCOc1ccccc1OCC[NH+]1CCN(C(=O)CC#N)CC1 ZINC000521063298 421771526 /nfs/dbraw/zinc/77/15/26/421771526.db2.gz PCZXLIJETBXXLM-UHFFFAOYSA-N 1 2 317.389 1.522 20 30 DDEDLO CN(C(=O)C[N@@H+]1CC[C@H](OC(F)F)C1)C1(C#N)CCCCC1 ZINC000573147834 421930035 /nfs/dbraw/zinc/93/00/35/421930035.db2.gz PHHMEXBRSPIIMI-LBPRGKRZSA-N 1 2 315.364 1.985 20 30 DDEDLO CN(C(=O)C[N@H+]1CC[C@H](OC(F)F)C1)C1(C#N)CCCCC1 ZINC000573147834 421930041 /nfs/dbraw/zinc/93/00/41/421930041.db2.gz PHHMEXBRSPIIMI-LBPRGKRZSA-N 1 2 315.364 1.985 20 30 DDEDLO Cc1c(C[N@@H+](C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cnn1C ZINC000574266841 422083614 /nfs/dbraw/zinc/08/36/14/422083614.db2.gz UBPFJAGTBBFVND-BBRMVZONSA-N 1 2 305.426 1.603 20 30 DDEDLO Cc1c(C[N@H+](C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cnn1C ZINC000574266841 422083619 /nfs/dbraw/zinc/08/36/19/422083619.db2.gz UBPFJAGTBBFVND-BBRMVZONSA-N 1 2 305.426 1.603 20 30 DDEDLO N#CCC[C@H](C#N)CNC[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000582355526 422143413 /nfs/dbraw/zinc/14/34/13/422143413.db2.gz OLZIWROIWFQYOX-MSOLQXFVSA-N 1 2 312.417 1.921 20 30 DDEDLO N#CCC[C@H](C#N)CNC[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000582355526 422143418 /nfs/dbraw/zinc/14/34/18/422143418.db2.gz OLZIWROIWFQYOX-MSOLQXFVSA-N 1 2 312.417 1.921 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@H+](Cc3cn(CC4CC4)nn3)C2)nc1 ZINC000582222514 422133479 /nfs/dbraw/zinc/13/34/79/422133479.db2.gz VKAAFXHICGWYOI-INIZCTEOSA-N 1 2 324.388 1.608 20 30 DDEDLO N#Cc1ccc(O[C@H]2CC[N@@H+](Cc3cn(CC4CC4)nn3)C2)nc1 ZINC000582222514 422133483 /nfs/dbraw/zinc/13/34/83/422133483.db2.gz VKAAFXHICGWYOI-INIZCTEOSA-N 1 2 324.388 1.608 20 30 DDEDLO C[C@H](O)CNc1cc(NCc2ccc(C#N)c(Cl)c2)nc[nH+]1 ZINC000591158633 422324909 /nfs/dbraw/zinc/32/49/09/422324909.db2.gz XSBUEHUNJJNKCW-JTQLQIEISA-N 1 2 317.780 2.406 20 30 DDEDLO C[C@H](O)CNc1cc(NCc2ccc(C#N)c(Cl)c2)[nH+]cn1 ZINC000591158633 422324914 /nfs/dbraw/zinc/32/49/14/422324914.db2.gz XSBUEHUNJJNKCW-JTQLQIEISA-N 1 2 317.780 2.406 20 30 DDEDLO COc1cc(OC2CC2)ccc1C(=O)N[C@](C)(C#N)C[NH+](C)C ZINC000577876373 422449090 /nfs/dbraw/zinc/44/90/90/422449090.db2.gz VNTPPEDEFYYTJF-QGZVFWFLSA-N 1 2 317.389 1.810 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)COc1ccc2c(c1)CCCC2 ZINC000577378136 422384508 /nfs/dbraw/zinc/38/45/08/422384508.db2.gz LNZVGKLPFGFYLM-GOSISDBHSA-N 1 2 315.417 1.904 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)N2C[C@H](C)C[C@H](C)C2)nn1 ZINC000640813768 423207132 /nfs/dbraw/zinc/20/71/32/423207132.db2.gz XJTPPYDHLUUEHG-ZNMIVQPWSA-N 1 2 317.437 1.457 20 30 DDEDLO C=CCn1cc(C(=O)NCc2cc[nH+]c(N3CCCC3)c2)nn1 ZINC000648230405 423282907 /nfs/dbraw/zinc/28/29/07/423282907.db2.gz CKRQNHKDOJUIBO-UHFFFAOYSA-N 1 2 312.377 1.389 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(NC(=O)C3CC3)CC2)nn1 ZINC000653495581 423499443 /nfs/dbraw/zinc/49/94/43/423499443.db2.gz VAQQVEMUDOZEAJ-UHFFFAOYSA-N 1 2 303.410 1.345 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(c3nnc(N)o3)CC2)nn1 ZINC000639724246 423690136 /nfs/dbraw/zinc/69/01/36/423690136.db2.gz MCSPGBYNPFCCHW-UHFFFAOYSA-N 1 2 303.370 1.199 20 30 DDEDLO C=C(C)[C@@H](CO)[NH+]1CCN(C(=O)c2ccccc2OCC)CC1 ZINC000649249286 423698470 /nfs/dbraw/zinc/69/84/70/423698470.db2.gz GMLRTWXTJZVNGQ-MRXNPFEDSA-N 1 2 318.417 1.780 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@H](CC)c2nnc3n2CCCCC3)C1=O ZINC000661941780 424279522 /nfs/dbraw/zinc/27/95/22/424279522.db2.gz RABCMYBCWDZINP-KGLIPLIRSA-N 1 2 317.437 1.832 20 30 DDEDLO C=C1C[C@H]2CC[C@@H](C1)N2C(=O)N[C@H](C)[C@@H](C)[NH+]1CCOCC1 ZINC000660707657 424752105 /nfs/dbraw/zinc/75/21/05/424752105.db2.gz QXPBGKBVFODMPY-FPCVCCKLSA-N 1 2 307.438 1.988 20 30 DDEDLO CCCC[C@H](C(=O)OC)[NH+]1CCN(c2cccc(C#N)n2)CC1 ZINC000355120831 266038799 /nfs/dbraw/zinc/03/87/99/266038799.db2.gz GBZTXQDUTQHUEB-OAHLLOKOSA-N 1 2 316.405 1.807 20 30 DDEDLO CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cn1)C[C@@H]1CCCO1 ZINC000343979237 266128647 /nfs/dbraw/zinc/12/86/47/266128647.db2.gz MCQGAYQKTZTENF-AWEZNQCLSA-N 1 2 323.418 1.228 20 30 DDEDLO CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cn1)C[C@@H]1CCCO1 ZINC000343979237 266128650 /nfs/dbraw/zinc/12/86/50/266128650.db2.gz MCQGAYQKTZTENF-AWEZNQCLSA-N 1 2 323.418 1.228 20 30 DDEDLO CC[C@@H](C(=O)OC)N1CC[NH+](Cc2cc(C#N)cs2)CC1 ZINC000348552979 266352665 /nfs/dbraw/zinc/35/26/65/266352665.db2.gz WJIZJIBGBGDFKO-AWEZNQCLSA-N 1 2 307.419 1.689 20 30 DDEDLO C[C@@H]1CC[C@@H](Cn2cc(C[NH+]3CCOCC3)cc(C#N)c2=O)O1 ZINC000521462489 267743777 /nfs/dbraw/zinc/74/37/77/267743777.db2.gz IYOKUPNOUVUPJY-CJNGLKHVSA-N 1 2 317.389 1.120 20 30 DDEDLO Cn1cc(C#N)cc1C(=O)N1CC[NH2+][C@@H](c2cccc(F)c2)C1 ZINC000367070856 267781624 /nfs/dbraw/zinc/78/16/24/267781624.db2.gz HKPHQIPCCNTAKA-OAHLLOKOSA-N 1 2 312.348 1.823 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)N2CC[C@@H]([NH+]3CC=CC3)C2)s1 ZINC000377062193 268195355 /nfs/dbraw/zinc/19/53/55/268195355.db2.gz PLRRJFYYMAHWEA-LLVKDONJSA-N 1 2 309.416 1.255 20 30 DDEDLO N#Cc1ccc([C@@H]([NH2+]Cc2cnn3ccccc23)C(N)=O)cc1 ZINC000507216152 268262594 /nfs/dbraw/zinc/26/25/94/268262594.db2.gz XBSZBARCDSUPPN-MRXNPFEDSA-N 1 2 305.341 1.522 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@@H]2CCC[N@@H+]2C)cc1C#N ZINC000341566464 271121401 /nfs/dbraw/zinc/12/14/01/271121401.db2.gz RKXXURSFFSGTTJ-JTQLQIEISA-N 1 2 306.391 1.205 20 30 DDEDLO CSc1[nH]c(=O)c(C(=O)NC[C@@H]2CCC[N@H+]2C)cc1C#N ZINC000341566464 271121407 /nfs/dbraw/zinc/12/14/07/271121407.db2.gz RKXXURSFFSGTTJ-JTQLQIEISA-N 1 2 306.391 1.205 20 30 DDEDLO CC(C)(C)[C@H]([NH2+]Cc1nnc(-c2ccc(C#N)cc2)o1)C(N)=O ZINC000173344823 277253155 /nfs/dbraw/zinc/25/31/55/277253155.db2.gz WWJCBXKLPWGYED-CYBMUJFWSA-N 1 2 313.361 1.598 20 30 DDEDLO Cc1nc([C@H](C)NC(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)cs1 ZINC000329758385 293376737 /nfs/dbraw/zinc/37/67/37/293376737.db2.gz ZYYCWRJLVKNPHA-WCQYABFASA-N 1 2 324.450 1.833 20 30 DDEDLO O=C(N[C@@H]1CCn2cc[nH+]c2C1)N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000330278926 295389088 /nfs/dbraw/zinc/38/90/88/295389088.db2.gz QGMLLRBQOCDXIQ-UPJWGTAASA-N 1 2 317.393 1.695 20 30 DDEDLO N#Cc1csc(CNc2cccc(CN3CCOCC3)[nH+]2)n1 ZINC000574560445 304662966 /nfs/dbraw/zinc/66/29/66/304662966.db2.gz BVUQAXOUGXFEHX-UHFFFAOYSA-N 1 2 315.402 1.854 20 30 DDEDLO Cc1nnccc1NC(=O)N1CCC[C@H]1C[NH+]1CCOCC1 ZINC000329590591 302832575 /nfs/dbraw/zinc/83/25/75/302832575.db2.gz YLLZFBSHZGMZAO-ZDUSSCGKSA-N 1 2 305.382 1.127 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CC[C@H](N3CCOCC3)C2)cc1 ZINC000330916277 303199807 /nfs/dbraw/zinc/19/98/07/303199807.db2.gz ZPBYLEVQPDNPEN-HOTGVXAUSA-N 1 2 314.389 1.541 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CC[C@H](N3CCOCC3)C2)cc1 ZINC000330916277 303199808 /nfs/dbraw/zinc/19/98/08/303199808.db2.gz ZPBYLEVQPDNPEN-HOTGVXAUSA-N 1 2 314.389 1.541 20 30 DDEDLO N#Cc1ccc([C@@H](C(N)=O)N2CC[C@H]([NH+]3CCOCC3)C2)cc1 ZINC000330916277 303199810 /nfs/dbraw/zinc/19/98/10/303199810.db2.gz ZPBYLEVQPDNPEN-HOTGVXAUSA-N 1 2 314.389 1.541 20 30 DDEDLO N#Cc1ncn(C2CC[NH+](Cc3cn4ccsc4n3)CC2)n1 ZINC000375926327 307233499 /nfs/dbraw/zinc/23/34/99/307233499.db2.gz GJZYQTOBDQDUDU-UHFFFAOYSA-N 1 2 313.390 1.696 20 30 DDEDLO Cn1c[nH+]cc1CCNC(=O)CSCc1ccc(C#N)cc1 ZINC000541551011 307691887 /nfs/dbraw/zinc/69/18/87/307691887.db2.gz YUMXXGLEZBXOIO-UHFFFAOYSA-N 1 2 314.414 1.884 20 30 DDEDLO Cc1cc(C#N)cnc1C(=O)N1CC[NH2+][C@@H](c2ccncc2)C1 ZINC000552019425 307815104 /nfs/dbraw/zinc/81/51/04/307815104.db2.gz ITORXBMAWRANBW-OAHLLOKOSA-N 1 2 307.357 1.443 20 30 DDEDLO N#CCCN(Cc1cccnc1)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000533527660 331572699 /nfs/dbraw/zinc/57/26/99/331572699.db2.gz UGYPRSMVXODMQD-OAHLLOKOSA-N 1 2 309.373 1.783 20 30 DDEDLO N#CCC(=O)N1CC[NH+](C[C@H]2CCC3(CCCCC3)O2)CC1 ZINC000564465327 332002213 /nfs/dbraw/zinc/00/22/13/332002213.db2.gz LBMRILRNJNODBS-OAHLLOKOSA-N 1 2 305.422 1.926 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@@H+]1CCO[C@@H](c2cccc(F)c2)C1 ZINC000092795833 332131139 /nfs/dbraw/zinc/13/11/39/332131139.db2.gz AVIMTYIZIMTIBK-MRXNPFEDSA-N 1 2 319.380 1.961 20 30 DDEDLO CN(CCC#N)C(=O)CC[N@H+]1CCO[C@@H](c2cccc(F)c2)C1 ZINC000092795833 332131140 /nfs/dbraw/zinc/13/11/40/332131140.db2.gz AVIMTYIZIMTIBK-MRXNPFEDSA-N 1 2 319.380 1.961 20 30 DDEDLO Cc1cccn2cc(CNS(=O)(=O)CC3(C#N)CCC3)[nH+]c12 ZINC000580298331 333073888 /nfs/dbraw/zinc/07/38/88/333073888.db2.gz SISWFGMIZUYEEH-UHFFFAOYSA-N 1 2 318.402 1.756 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCO[C@]2(CCSC2)C1 ZINC000517027001 333129325 /nfs/dbraw/zinc/12/93/25/333129325.db2.gz CKZDMRFNPODGMM-UKRRQHHQSA-N 1 2 311.451 1.202 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCO[C@]2(CCSC2)C1 ZINC000517027001 333129327 /nfs/dbraw/zinc/12/93/27/333129327.db2.gz CKZDMRFNPODGMM-UKRRQHHQSA-N 1 2 311.451 1.202 20 30 DDEDLO C=CC[N@@H+](C)CN1CC2(CN(C(=O)OC(C)(C)C)C2)CC1=O ZINC000495570664 333355434 /nfs/dbraw/zinc/35/54/34/333355434.db2.gz JHAGNPVIZFOQAL-UHFFFAOYSA-N 1 2 309.410 1.531 20 30 DDEDLO C=CC[N@H+](C)CN1CC2(CN(C(=O)OC(C)(C)C)C2)CC1=O ZINC000495570664 333355435 /nfs/dbraw/zinc/35/54/35/333355435.db2.gz JHAGNPVIZFOQAL-UHFFFAOYSA-N 1 2 309.410 1.531 20 30 DDEDLO C[C@H](C#N)C(=O)NCc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000345855272 335355807 /nfs/dbraw/zinc/35/58/07/335355807.db2.gz WVLRGICLAUHCRY-UPJWGTAASA-N 1 2 302.378 1.471 20 30 DDEDLO N#CCC1(O)CN(Cc2c[nH+]c3ccc(Br)cn23)C1 ZINC000190035206 336259017 /nfs/dbraw/zinc/25/90/17/336259017.db2.gz RAWQHQRCXWJGNO-UHFFFAOYSA-N 1 2 321.178 1.557 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@@H+]2CCC(=O)CC23CCC3)cc1 ZINC000582554460 337108651 /nfs/dbraw/zinc/10/86/51/337108651.db2.gz NLNNVEXFJCLHBN-INIZCTEOSA-N 1 2 314.385 1.886 20 30 DDEDLO N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCC(=O)CC23CCC3)cc1 ZINC000582554460 337108652 /nfs/dbraw/zinc/10/86/52/337108652.db2.gz NLNNVEXFJCLHBN-INIZCTEOSA-N 1 2 314.385 1.886 20 30 DDEDLO C=CCc1ccccc1OC[C@@H](O)C[NH2+]Cc1ncn(C)n1 ZINC000572235946 337599674 /nfs/dbraw/zinc/59/96/74/337599674.db2.gz PDSLVGDDZXGTCR-AWEZNQCLSA-N 1 2 302.378 1.073 20 30 DDEDLO Cc1ccc(-c2noc([C@@H](C)[NH2+]CC(=O)NCC#N)n2)cc1F ZINC000507216530 340214043 /nfs/dbraw/zinc/21/40/43/340214043.db2.gz YITYWCLSEONXJY-SNVBAGLBSA-N 1 2 317.324 1.475 20 30 DDEDLO C[C@@H](C(=O)N(CCC#N)CC[NH+]1CCOCC1)c1ccsc1 ZINC000157847053 341646627 /nfs/dbraw/zinc/64/66/27/341646627.db2.gz LYQZJZXWRBFDFH-CQSZACIVSA-N 1 2 321.446 1.926 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc3ccccc3n(C)c2=O)nn1 ZINC000656464173 484151399 /nfs/dbraw/zinc/15/13/99/484151399.db2.gz UCMZLHKHYOTXGD-UHFFFAOYSA-N 1 2 321.384 1.291 20 30 DDEDLO CN1CC[N@H+](C)[C@@H](CNc2cccc(Br)c2C#N)C1 ZINC000229024893 484165787 /nfs/dbraw/zinc/16/57/87/484165787.db2.gz SKIXLGOFFHBASR-NSHDSACASA-N 1 2 323.238 1.978 20 30 DDEDLO CN1CC[N@@H+](C)[C@@H](CNc2cccc(Br)c2C#N)C1 ZINC000229024893 484165792 /nfs/dbraw/zinc/16/57/92/484165792.db2.gz SKIXLGOFFHBASR-NSHDSACASA-N 1 2 323.238 1.978 20 30 DDEDLO C=CC[C@H]1CCCN(C(=O)C(=O)N2CCn3c[nH+]cc3C2)C1 ZINC000658811967 484491459 /nfs/dbraw/zinc/49/14/59/484491459.db2.gz RGYRWGTWBTXXSL-ZDUSSCGKSA-N 1 2 302.378 1.040 20 30 DDEDLO C=CCNC(=O)C[NH+]1CCN(Cc2cccc(OC)c2F)CC1 ZINC000669707147 484619048 /nfs/dbraw/zinc/61/90/48/484619048.db2.gz RSKONQPYKGCYPQ-UHFFFAOYSA-N 1 2 321.396 1.254 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NCC[NH+]1Cc2ccccc2C1 ZINC000671394812 485010239 /nfs/dbraw/zinc/01/02/39/485010239.db2.gz OMJWDZHXWPPQEQ-UHFFFAOYSA-N 1 2 315.417 1.591 20 30 DDEDLO C=C(C)C[C@@H](NC(C)=O)C(=O)NCCCNc1cccc[nH+]1 ZINC000668896677 485352665 /nfs/dbraw/zinc/35/26/65/485352665.db2.gz ZDYJBEZWNLJDEG-CQSZACIVSA-N 1 2 304.394 1.471 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)Cc2ccc(C)cc2)CC1 ZINC000677133188 486397900 /nfs/dbraw/zinc/39/79/00/486397900.db2.gz LSXXKNSVTKXXDX-UHFFFAOYSA-N 1 2 300.402 1.332 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)Cc2ccccc2Cl)CC1 ZINC000677479492 486477338 /nfs/dbraw/zinc/47/73/38/486477338.db2.gz MVLRRLGIPATCKG-UHFFFAOYSA-N 1 2 320.820 1.677 20 30 DDEDLO COCC#CC[NH+]1CCN(C(=O)Nc2cccc(C)c2)CC1 ZINC000677479962 486477737 /nfs/dbraw/zinc/47/77/37/486477737.db2.gz SXKDAJWHOVBUHD-UHFFFAOYSA-N 1 2 301.390 1.794 20 30 DDEDLO C[C@@H]1CCN(C([O-])=[NH+][C@@H]2CC[S@](=O)C2)C[C@@H]1n1cc[nH+]c1 ZINC000330026786 533909664 /nfs/dbraw/zinc/90/96/64/533909664.db2.gz MAFUPXLWFLAMQX-WBSWQSFASA-N 1 2 310.423 1.201 20 30 DDEDLO C[C@@H]1CCN(C(=O)N[C@@H]2CC[S@](=O)C2)C[C@@H]1n1cc[nH+]c1 ZINC000330026786 533909671 /nfs/dbraw/zinc/90/96/71/533909671.db2.gz MAFUPXLWFLAMQX-WBSWQSFASA-N 1 2 310.423 1.201 20 30 DDEDLO Cc1ccc(N2CCN(C3=[NH+]C[C@H](C)S3)CC2)nc1C#N ZINC000302673110 534167711 /nfs/dbraw/zinc/16/77/11/534167711.db2.gz SJCUZNZMQPJYMO-LBPRGKRZSA-N 1 2 301.419 1.875 20 30 DDEDLO O=C(Nc1cnn(CC[NH+]2CCOCC2)c1)N1C[C@H]2CCC[C@H]21 ZINC000329948526 534330635 /nfs/dbraw/zinc/33/06/35/534330635.db2.gz ZDIIELLWHLDRMU-UKRRQHHQSA-N 1 2 319.409 1.245 20 30 DDEDLO C=C[C@@](C)(CCOC)C(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000187805418 534616356 /nfs/dbraw/zinc/61/63/56/534616356.db2.gz HFOYYSAVELOMRV-KRWDZBQOSA-N 1 2 303.406 1.959 20 30 DDEDLO N#CCNC(=O)C[NH+]1CCN(c2nccc3sccc32)CC1 ZINC000343955415 534674675 /nfs/dbraw/zinc/67/46/75/534674675.db2.gz BYGLSAFYGZVBPP-UHFFFAOYSA-N 1 2 315.402 1.058 20 30 DDEDLO COC(=O)[C@@H]1C[N@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000156094396 523746670 /nfs/dbraw/zinc/74/66/70/523746670.db2.gz PEMBFZISGQCGDI-HNNXBMFYSA-N 1 2 304.346 1.201 20 30 DDEDLO COC(=O)[C@@H]1C[N@@H+](CCCOc2cccc(C#N)c2)CCO1 ZINC000156094396 523746677 /nfs/dbraw/zinc/74/66/77/523746677.db2.gz PEMBFZISGQCGDI-HNNXBMFYSA-N 1 2 304.346 1.201 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@@H+]([C@@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC000330938956 526401021 /nfs/dbraw/zinc/40/10/21/526401021.db2.gz CIZMULVLEFSGOL-GXFFZTMASA-N 1 2 324.812 1.875 20 30 DDEDLO CNC(=O)N[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC000330938956 526401027 /nfs/dbraw/zinc/40/10/27/526401027.db2.gz CIZMULVLEFSGOL-GXFFZTMASA-N 1 2 324.812 1.875 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)Nc2ccccc2OC)C1=O ZINC000337220043 526468917 /nfs/dbraw/zinc/46/89/17/526468917.db2.gz KFKBCBDSIDOFDB-CQSZACIVSA-N 1 2 317.389 1.352 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)Nc2ccccc2OC)C1=O ZINC000337220043 526468920 /nfs/dbraw/zinc/46/89/20/526468920.db2.gz KFKBCBDSIDOFDB-CQSZACIVSA-N 1 2 317.389 1.352 20 30 DDEDLO C=C(C)CN(CC)C(=O)C(=O)NC[C@H]1CCCn2cc(C)[nH+]c21 ZINC000342272172 526513495 /nfs/dbraw/zinc/51/34/95/526513495.db2.gz SCTSLDORTGRCCI-CQSZACIVSA-N 1 2 318.421 1.610 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCc2c(ccc(OC)c2OC)C1 ZINC000340974781 526625696 /nfs/dbraw/zinc/62/56/96/526625696.db2.gz OSGMSGPEXWFXBU-GFCCVEGCSA-N 1 2 304.390 1.753 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCc2c(ccc(OC)c2OC)C1 ZINC000340974781 526625702 /nfs/dbraw/zinc/62/57/02/526625702.db2.gz OSGMSGPEXWFXBU-GFCCVEGCSA-N 1 2 304.390 1.753 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@@H+]1CCc2c(cccc2C(=O)OC)C1 ZINC000459539772 526635445 /nfs/dbraw/zinc/63/54/45/526635445.db2.gz PQYFPLPPPLPNNF-LBPRGKRZSA-N 1 2 302.374 1.522 20 30 DDEDLO C=CCNC(=O)[C@H](C)[N@H+]1CCc2c(cccc2C(=O)OC)C1 ZINC000459539772 526635448 /nfs/dbraw/zinc/63/54/48/526635448.db2.gz PQYFPLPPPLPNNF-LBPRGKRZSA-N 1 2 302.374 1.522 20 30 DDEDLO C#CCN(CC#C)S(=O)(=O)c1ccccc1Cn1cc[nH+]c1 ZINC000491780578 526848400 /nfs/dbraw/zinc/84/84/00/526848400.db2.gz DTOXTRDMQWKFOF-UHFFFAOYSA-N 1 2 313.382 1.189 20 30 DDEDLO C#CC[N@@H+](CC(=O)N(C)Cc1ccc(OC)c(F)c1)C1CC1 ZINC000491738212 526882494 /nfs/dbraw/zinc/88/24/94/526882494.db2.gz SHOUPLDTGXBYLG-UHFFFAOYSA-N 1 2 304.365 1.890 20 30 DDEDLO C#CC[N@H+](CC(=O)N(C)Cc1ccc(OC)c(F)c1)C1CC1 ZINC000491738212 526882498 /nfs/dbraw/zinc/88/24/98/526882498.db2.gz SHOUPLDTGXBYLG-UHFFFAOYSA-N 1 2 304.365 1.890 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(C3CCC3)n(C)c2=S)CC1 ZINC000490932998 526953940 /nfs/dbraw/zinc/95/39/40/526953940.db2.gz BXIFEODEZVFQPK-UHFFFAOYSA-N 1 2 305.451 1.427 20 30 DDEDLO C#CCNC(=O)CCN(CCOC)c1[nH]c2ccccc2[nH+]1 ZINC000491818725 526975860 /nfs/dbraw/zinc/97/58/60/526975860.db2.gz ZOJLFPRPUUOPAZ-UHFFFAOYSA-N 1 2 300.362 1.155 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@@H](O)C[N@H+](C)CCC#N)c(F)c1 ZINC000414156969 527019730 /nfs/dbraw/zinc/01/97/30/527019730.db2.gz XAXMRYYIANLFQN-ZDUSSCGKSA-N 1 2 309.341 1.369 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@@H](O)C[N@@H+](C)CCC#N)c(F)c1 ZINC000414156969 527019735 /nfs/dbraw/zinc/01/97/35/527019735.db2.gz XAXMRYYIANLFQN-ZDUSSCGKSA-N 1 2 309.341 1.369 20 30 DDEDLO C=CCCC(=O)NCCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000338667841 527072691 /nfs/dbraw/zinc/07/26/91/527072691.db2.gz CJMXSAKIYLFKJL-UHFFFAOYSA-N 1 2 316.405 1.203 20 30 DDEDLO C=CC[C@H](CO)CNC(=O)NCCc1cn2ccccc2[nH+]1 ZINC000341684148 527171361 /nfs/dbraw/zinc/17/13/61/527171361.db2.gz OIDKWGPLUUOKTE-ZDUSSCGKSA-N 1 2 302.378 1.361 20 30 DDEDLO C=CCn1c(C2CCC2)nnc1N1CC[C@H]([NH+]2CCOCC2)C1 ZINC000337818597 527309052 /nfs/dbraw/zinc/30/90/52/527309052.db2.gz QEJFKFBKPITWJL-HNNXBMFYSA-N 1 2 317.437 1.643 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1ccc(N2CCCCC2)nc1 ZINC000491288579 527331662 /nfs/dbraw/zinc/33/16/62/527331662.db2.gz STHJEXVOCSTLLM-AWEZNQCLSA-N 1 2 300.406 1.964 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1ccc(N2CCCCC2)nc1 ZINC000491288579 527331666 /nfs/dbraw/zinc/33/16/66/527331666.db2.gz STHJEXVOCSTLLM-AWEZNQCLSA-N 1 2 300.406 1.964 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)NCc1ccc(Cn2cc[nH+]c2)cc1 ZINC000491555032 527419963 /nfs/dbraw/zinc/41/99/63/527419963.db2.gz OEIQWHBTGGUBIT-KRWDZBQOSA-N 1 2 324.384 1.475 20 30 DDEDLO C#C[C@H]1COCCN1C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000491669782 527422649 /nfs/dbraw/zinc/42/26/49/527422649.db2.gz OAOKATDULJAAKY-HNNXBMFYSA-N 1 2 310.357 1.953 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000331832404 527523208 /nfs/dbraw/zinc/52/32/08/527523208.db2.gz JQQBPFXJXSCGTK-RHSMWYFYSA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)C(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000331832404 527523214 /nfs/dbraw/zinc/52/32/14/527523214.db2.gz JQQBPFXJXSCGTK-RHSMWYFYSA-N 1 2 320.437 1.031 20 30 DDEDLO CC(C)(C(N)=O)[NH+]1CCN(c2cc(C#N)c3ccccc3n2)CC1 ZINC000431819021 527542838 /nfs/dbraw/zinc/54/28/38/527542838.db2.gz WFFIGOMLAUPGTA-UHFFFAOYSA-N 1 2 323.400 1.492 20 30 DDEDLO CCN1CCN(C(=O)NCc2cccnc2)C[C@H]1c1[nH]cc[nH+]1 ZINC000329926658 529107457 /nfs/dbraw/zinc/10/74/57/529107457.db2.gz KXDKAEORGXRFJG-AWEZNQCLSA-N 1 2 314.393 1.598 20 30 DDEDLO CCN1CCN(C(=O)c2sccc2C#N)C[C@@H]1c1[nH]cc[nH+]1 ZINC000433475072 529136002 /nfs/dbraw/zinc/13/60/02/529136002.db2.gz OHPDSYSJXWNRLH-GFCCVEGCSA-N 1 2 315.402 1.862 20 30 DDEDLO CC(C)C[C@H](NC(=O)CO[NH+]=C(N)CCO)c1ccccc1 ZINC000121418998 696709711 /nfs/dbraw/zinc/70/97/11/696709711.db2.gz QZWNQJHVOVJEOY-AWEZNQCLSA-N 1 2 307.394 1.561 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@]2(CCN(C(=O)c3cnc(C)[nH]3)C2)C1 ZINC000972294185 695208382 /nfs/dbraw/zinc/20/83/82/695208382.db2.gz ITZNSMMYYDMKLS-MRXNPFEDSA-N 1 2 304.394 1.211 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@]2(CCN(C(=O)c3cnc(C)[nH]3)C2)C1 ZINC000972294185 695208384 /nfs/dbraw/zinc/20/83/84/695208384.db2.gz ITZNSMMYYDMKLS-MRXNPFEDSA-N 1 2 304.394 1.211 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cncc(C)c3)C2)C1 ZINC000972313618 695213609 /nfs/dbraw/zinc/21/36/09/695213609.db2.gz YDAOTGBMOCEVCN-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cncc(C)c3)C2)C1 ZINC000972313618 695213611 /nfs/dbraw/zinc/21/36/11/695213611.db2.gz YDAOTGBMOCEVCN-SFHVURJKSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3C)C2)C1 ZINC000972331999 695219178 /nfs/dbraw/zinc/21/91/78/695219178.db2.gz JDSUOHXWBAJXML-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ncccc3C)C2)C1 ZINC000972331999 695219179 /nfs/dbraw/zinc/21/91/79/695219179.db2.gz JDSUOHXWBAJXML-GOSISDBHSA-N 1 2 313.401 1.330 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C(F)F)CC3)C2)C1 ZINC000972381918 695235626 /nfs/dbraw/zinc/23/56/26/695235626.db2.gz UHGVHJHIZWQABA-AWEZNQCLSA-N 1 2 300.349 1.521 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C(F)F)CC3)C2)C1 ZINC000972381918 695235627 /nfs/dbraw/zinc/23/56/27/695235627.db2.gz UHGVHJHIZWQABA-AWEZNQCLSA-N 1 2 300.349 1.521 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccnn3C(C)C)C2)C1 ZINC000972394553 695238788 /nfs/dbraw/zinc/23/87/88/695238788.db2.gz KRKXIXHQHSUAIR-QGZVFWFLSA-N 1 2 316.405 1.014 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccnn3C(C)C)C2)C1 ZINC000972394553 695238791 /nfs/dbraw/zinc/23/87/91/695238791.db2.gz KRKXIXHQHSUAIR-QGZVFWFLSA-N 1 2 316.405 1.014 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3ccnn3C(C)C)C2)C1 ZINC000972394909 695239078 /nfs/dbraw/zinc/23/90/78/695239078.db2.gz SNWQADUOUVAATH-QGZVFWFLSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3ccnn3C(C)C)C2)C1 ZINC000972394909 695239080 /nfs/dbraw/zinc/23/90/80/695239080.db2.gz SNWQADUOUVAATH-QGZVFWFLSA-N 1 2 318.421 1.567 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3cncs3)C2)C1 ZINC000972420172 695245302 /nfs/dbraw/zinc/24/53/02/695245302.db2.gz OZJVKOXQSLOLKA-OAHLLOKOSA-N 1 2 307.419 1.175 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3cncs3)C2)C1 ZINC000972420172 695245304 /nfs/dbraw/zinc/24/53/04/695245304.db2.gz OZJVKOXQSLOLKA-OAHLLOKOSA-N 1 2 307.419 1.175 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCCC34CC4)C2)C1 ZINC000972435532 695250877 /nfs/dbraw/zinc/25/08/77/695250877.db2.gz OLZRZIZNHFRMCP-MAUKXSAKSA-N 1 2 302.418 1.503 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CCCC34CC4)C2)C1 ZINC000972435532 695250878 /nfs/dbraw/zinc/25/08/78/695250878.db2.gz OLZRZIZNHFRMCP-MAUKXSAKSA-N 1 2 302.418 1.503 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)C1 ZINC000972474556 695259261 /nfs/dbraw/zinc/25/92/61/695259261.db2.gz JTNJRZSUPGVYLV-MVJTYMMSSA-N 1 2 304.434 1.912 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)C1 ZINC000972474556 695259264 /nfs/dbraw/zinc/25/92/64/695259264.db2.gz JTNJRZSUPGVYLV-MVJTYMMSSA-N 1 2 304.434 1.912 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@H](OC)C3CCC3)C2)C1 ZINC000972485724 695262219 /nfs/dbraw/zinc/26/22/19/695262219.db2.gz CCTUPUWPIYUUDC-SJLPKXTDSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@H](OC)C3CCC3)C2)C1 ZINC000972485724 695262222 /nfs/dbraw/zinc/26/22/22/695262222.db2.gz CCTUPUWPIYUUDC-SJLPKXTDSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3CCC)C2)C1 ZINC000972544813 695278561 /nfs/dbraw/zinc/27/85/61/695278561.db2.gz WTSSRSCVXIBXOB-JFIYKMOQSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3C[C@H]3CCC)C2)C1 ZINC000972544813 695278562 /nfs/dbraw/zinc/27/85/62/695278562.db2.gz WTSSRSCVXIBXOB-JFIYKMOQSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3ccco3)C2)C1 ZINC000972548925 695279508 /nfs/dbraw/zinc/27/95/08/695279508.db2.gz KOZHEGZBJYYYNR-YJBOKZPZSA-N 1 2 316.401 1.710 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3ccco3)C2)C1 ZINC000972548925 695279509 /nfs/dbraw/zinc/27/95/09/695279509.db2.gz KOZHEGZBJYYYNR-YJBOKZPZSA-N 1 2 316.401 1.710 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3CC(C)C)C2)C1 ZINC000972564869 695284720 /nfs/dbraw/zinc/28/47/20/695284720.db2.gz NIWWLPVQMSQXKN-LMMKCTJWSA-N 1 2 318.461 1.995 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3CC(C)C)C2)C1 ZINC000972564869 695284721 /nfs/dbraw/zinc/28/47/21/695284721.db2.gz NIWWLPVQMSQXKN-LMMKCTJWSA-N 1 2 318.461 1.995 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3ccccc3)C2)C1 ZINC000972644597 695307947 /nfs/dbraw/zinc/30/79/47/695307947.db2.gz ZYXGGUXVOUNOFP-LPHOPBHVSA-N 1 2 312.413 1.727 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H](C)c3ccccc3)C2)C1 ZINC000972644597 695307950 /nfs/dbraw/zinc/30/79/50/695307950.db2.gz ZYXGGUXVOUNOFP-LPHOPBHVSA-N 1 2 312.413 1.727 20 30 DDEDLO C=C1CCC(C(=O)N[C@H]2C[C@H](NC(=O)Cn3cc[nH+]c3)C2)CC1 ZINC000973421940 695474459 /nfs/dbraw/zinc/47/44/59/695474459.db2.gz NTXYJRFKYBRNLE-SHTZXODSSA-N 1 2 316.405 1.393 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2ccnnc2C)C(C)(C)C1 ZINC000974765644 695729640 /nfs/dbraw/zinc/72/96/40/695729640.db2.gz HXBZUYCBNMDMQK-CYBMUJFWSA-N 1 2 308.813 1.978 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2ccnnc2C)C(C)(C)C1 ZINC000974765644 695729641 /nfs/dbraw/zinc/72/96/41/695729641.db2.gz HXBZUYCBNMDMQK-CYBMUJFWSA-N 1 2 308.813 1.978 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000980847529 696916180 /nfs/dbraw/zinc/91/61/80/696916180.db2.gz DOQRNENZQRRAGZ-ZDUSSCGKSA-N 1 2 314.364 1.891 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)c(F)c2)CC1 ZINC000980847529 696916181 /nfs/dbraw/zinc/91/61/81/696916181.db2.gz DOQRNENZQRRAGZ-ZDUSSCGKSA-N 1 2 314.364 1.891 20 30 DDEDLO Cn1cnc(C(=O)N2CCC[N@H+](Cc3ccccc3C#N)CC2)c1 ZINC000980971577 696966352 /nfs/dbraw/zinc/96/63/52/696966352.db2.gz NXRUBSSMMRDNEV-UHFFFAOYSA-N 1 2 323.400 1.640 20 30 DDEDLO Cn1cnc(C(=O)N2CCC[N@@H+](Cc3ccccc3C#N)CC2)c1 ZINC000980971577 696966355 /nfs/dbraw/zinc/96/63/55/696966355.db2.gz NXRUBSSMMRDNEV-UHFFFAOYSA-N 1 2 323.400 1.640 20 30 DDEDLO CC(C)[C@H](C)NC(=O)CO[NH+]=C(N)c1ccc2c(c1)CCO2 ZINC000158151794 696986902 /nfs/dbraw/zinc/98/69/02/696986902.db2.gz VTLNXGKWVBLIDW-NSHDSACASA-N 1 2 305.378 1.419 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[N@@H+](Cc2ccnn2C)CC1 ZINC000981992951 696996451 /nfs/dbraw/zinc/99/64/51/696996451.db2.gz RPXUDCLKEFMFKP-CVEARBPZSA-N 1 2 318.421 1.046 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[N@H+](Cc2ccnn2C)CC1 ZINC000981992951 696996452 /nfs/dbraw/zinc/99/64/52/696996452.db2.gz RPXUDCLKEFMFKP-CVEARBPZSA-N 1 2 318.421 1.046 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CCC[C@@H](NCC#N)C3)ccn12 ZINC000981091124 697001894 /nfs/dbraw/zinc/00/18/94/697001894.db2.gz KTKACQXGXGQTRS-CABCVRRESA-N 1 2 311.389 1.797 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@@H+]2CCOC(C)(C)C2)cc1C#N ZINC000799597358 700152245 /nfs/dbraw/zinc/15/22/45/700152245.db2.gz HDRUTKDXHYCWQH-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO Cc1ccc(C(=O)NCC[N@H+]2CCOC(C)(C)C2)cc1C#N ZINC000799597358 700152247 /nfs/dbraw/zinc/15/22/47/700152247.db2.gz HDRUTKDXHYCWQH-UHFFFAOYSA-N 1 2 301.390 1.707 20 30 DDEDLO COc1ccc(C#N)cc1CN1CC[NH+](CC[S@](C)=O)CC1 ZINC000749347137 700157515 /nfs/dbraw/zinc/15/75/15/700157515.db2.gz WZXJDGPKDSQVEM-QFIPXVFZSA-N 1 2 321.446 1.063 20 30 DDEDLO C#CCCCC(=O)N1CC[NH+](Cc2ccc(C(N)=O)cc2)CC1 ZINC000192075605 697619422 /nfs/dbraw/zinc/61/94/22/697619422.db2.gz QSYUTVIGRWCNTC-UHFFFAOYSA-N 1 2 313.401 1.233 20 30 DDEDLO Cc1cc(NC(=O)CO[NH+]=C(N)c2ccc(F)cc2)n(C)n1 ZINC000749983592 700196677 /nfs/dbraw/zinc/19/66/77/700196677.db2.gz RBUADBPYEMJFBJ-UHFFFAOYSA-N 1 2 305.313 1.143 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC(n2cc[nH+]c2)CC1)[C@H]1CCCO1 ZINC000776225747 698083647 /nfs/dbraw/zinc/08/36/47/698083647.db2.gz CIFSWZXWQSXYKD-LSDHHAIUSA-N 1 2 302.378 1.410 20 30 DDEDLO C#C[C@H](NC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)[C@@H]1CCCO1 ZINC000776231328 698085729 /nfs/dbraw/zinc/08/57/29/698085729.db2.gz OUVSDMJOVWKDAG-IHRRRGAJSA-N 1 2 302.378 1.480 20 30 DDEDLO O=S1(=O)CCC(NN=C2CCN(c3cccc[nH+]3)CC2)CC1 ZINC000776791699 698140246 /nfs/dbraw/zinc/14/02/46/698140246.db2.gz BSSVVWYCGSJPNW-UHFFFAOYSA-N 1 2 322.434 1.205 20 30 DDEDLO N#Cc1ccc(F)c(CNC(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000777564011 698202533 /nfs/dbraw/zinc/20/25/33/698202533.db2.gz HUDKQZUEHUJYRX-CYBMUJFWSA-N 1 2 313.336 1.708 20 30 DDEDLO C#CCOc1cccc(Cl)c1C[NH2+]CC(=O)NCC1CC1 ZINC000778178175 698247229 /nfs/dbraw/zinc/24/72/29/698247229.db2.gz JZCQEEZMSRTFQM-UHFFFAOYSA-N 1 2 306.793 1.968 20 30 DDEDLO CC[C@H]1C(=O)N(CC)CC[N@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000778418135 698335040 /nfs/dbraw/zinc/33/50/40/698335040.db2.gz RMBXZFBVKMXFAN-HOTGVXAUSA-N 1 2 301.390 1.534 20 30 DDEDLO CC[C@H]1C(=O)N(CC)CC[N@@H+]1C[C@H](O)c1ccc(C#N)cc1 ZINC000778418135 698335045 /nfs/dbraw/zinc/33/50/45/698335045.db2.gz RMBXZFBVKMXFAN-HOTGVXAUSA-N 1 2 301.390 1.534 20 30 DDEDLO C[C@@H]1C[C@@H]([NH2+]Cc2cnsn2)CN1C(=O)c1cc(C#N)c[nH]1 ZINC000988500984 698391868 /nfs/dbraw/zinc/39/18/68/698391868.db2.gz LVQSIUHPWOJSSU-MWLCHTKSSA-N 1 2 316.390 1.131 20 30 DDEDLO N#CCOc1cccc(NC(=O)N[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000779402521 698420351 /nfs/dbraw/zinc/42/03/51/698420351.db2.gz FHKLCRMHNBSWOE-CYBMUJFWSA-N 1 2 311.345 1.922 20 30 DDEDLO C[C@H]1C[C@H](NCC#N)CN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000989236824 698563121 /nfs/dbraw/zinc/56/31/21/698563121.db2.gz NJBUZLPYIDQWEU-ZFWWWQNUSA-N 1 2 309.373 1.588 20 30 DDEDLO NC(=[NH+]OC[C@H]1CCCS(=O)(=O)C1)c1ccc(F)cc1 ZINC000789590830 699397334 /nfs/dbraw/zinc/39/73/34/699397334.db2.gz XHAGYTGEPUJVGV-SNVBAGLBSA-N 1 2 300.355 1.287 20 30 DDEDLO N#Cc1ccc(C(=O)NCC(=O)OCc2cc[nH+]c(N)c2)cc1 ZINC000791826327 699656986 /nfs/dbraw/zinc/65/69/86/699656986.db2.gz SUFGRCKQSBDILO-UHFFFAOYSA-N 1 2 310.313 1.009 20 30 DDEDLO C#C[C@H]([NH2+]Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000792879964 699720362 /nfs/dbraw/zinc/72/03/62/699720362.db2.gz MTYGUTYHIYBQFT-GXTWGEPZSA-N 1 2 305.290 1.773 20 30 DDEDLO C[C@H](OC(=O)[C@H]1CCCC[N@@H+]1C)C(=O)Nc1cccc(C#N)c1 ZINC000741144931 699816931 /nfs/dbraw/zinc/81/69/31/699816931.db2.gz HCHSKZVOXUKCSK-SWLSCSKDSA-N 1 2 315.373 1.913 20 30 DDEDLO C[C@H](OC(=O)[C@H]1CCCC[N@H+]1C)C(=O)Nc1cccc(C#N)c1 ZINC000741144931 699816933 /nfs/dbraw/zinc/81/69/33/699816933.db2.gz HCHSKZVOXUKCSK-SWLSCSKDSA-N 1 2 315.373 1.913 20 30 DDEDLO C[C@@H](C#N)OCC[NH+]1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000801918198 700353383 /nfs/dbraw/zinc/35/33/83/700353383.db2.gz YXJOYUSZVVFSJL-LBPRGKRZSA-N 1 2 323.343 1.651 20 30 DDEDLO C[C@H](C#N)OCC[NH+]1CCN(C(=O)c2ccccc2O)CC1 ZINC000801932889 700356738 /nfs/dbraw/zinc/35/67/38/700356738.db2.gz ASVJBFKTRDIUBI-CYBMUJFWSA-N 1 2 303.362 1.079 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@H+]1CCC[C@@H](CO)C1 ZINC000755050983 700546678 /nfs/dbraw/zinc/54/66/78/700546678.db2.gz FLGLXDCQLKSWCN-CYBMUJFWSA-N 1 2 312.373 1.124 20 30 DDEDLO N#CCn1c(=O)c2ccccc2nc1C[N@@H+]1CCC[C@@H](CO)C1 ZINC000755050983 700546682 /nfs/dbraw/zinc/54/66/82/700546682.db2.gz FLGLXDCQLKSWCN-CYBMUJFWSA-N 1 2 312.373 1.124 20 30 DDEDLO CCN(CCO)c1ccc(C=[NH+]Nc2cnn(C)c2)c(C)c1 ZINC000761870937 700871780 /nfs/dbraw/zinc/87/17/80/700871780.db2.gz WEQYAPYBOUMDBN-UHFFFAOYSA-N 1 2 301.394 1.993 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(-c2ncn(C)n2)cc1 ZINC000762662138 700901852 /nfs/dbraw/zinc/90/18/52/700901852.db2.gz NKXSAIMHHYDZLX-HNNXBMFYSA-N 1 2 309.373 1.518 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(-c2ncn(C)n2)cc1 ZINC000762662138 700901854 /nfs/dbraw/zinc/90/18/54/700901854.db2.gz NKXSAIMHHYDZLX-HNNXBMFYSA-N 1 2 309.373 1.518 20 30 DDEDLO C#C[C@H]([NH2+]Cc1nc2cc([N+](=O)[O-])ccc2[nH]1)[C@@H]1CCCO1 ZINC000769790729 701255859 /nfs/dbraw/zinc/25/58/59/701255859.db2.gz WUXREKHVXJGNGY-FZMZJTMJSA-N 1 2 300.318 1.742 20 30 DDEDLO C#C[C@@H](C)[N@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCCC2 ZINC000769961083 701262298 /nfs/dbraw/zinc/26/22/98/701262298.db2.gz HYZJOLPPFBJSLJ-SNVBAGLBSA-N 1 2 319.430 1.618 20 30 DDEDLO C#C[C@@H](C)[N@@H+](C)CC(=O)Nc1sc2c(c1C(N)=O)CCCC2 ZINC000769961083 701262299 /nfs/dbraw/zinc/26/22/99/701262299.db2.gz HYZJOLPPFBJSLJ-SNVBAGLBSA-N 1 2 319.430 1.618 20 30 DDEDLO CC[N@@H+]1CCO[C@H](C(=O)OCc2ccc(C#N)cc2Cl)C1 ZINC000805469845 701383481 /nfs/dbraw/zinc/38/34/81/701383481.db2.gz RSBRMALDIQUOQZ-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO CC[N@H+]1CCO[C@H](C(=O)OCc2ccc(C#N)cc2Cl)C1 ZINC000805469845 701383482 /nfs/dbraw/zinc/38/34/82/701383482.db2.gz RSBRMALDIQUOQZ-AWEZNQCLSA-N 1 2 308.765 1.976 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@@H](OC)C[N@@H+]1Cc1ccc(OCC#N)cc1 ZINC000879154123 706600587 /nfs/dbraw/zinc/60/05/87/706600587.db2.gz MFIORSHVEWDGCZ-NVXWUHKLSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)[C@@]1(C)C[C@@H](OC)C[N@H+]1Cc1ccc(OCC#N)cc1 ZINC000879154123 706600589 /nfs/dbraw/zinc/60/05/89/706600589.db2.gz MFIORSHVEWDGCZ-NVXWUHKLSA-N 1 2 318.373 1.741 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@@H+]1CC[C@H](C(=O)N(C)C)C1 ZINC000839636239 701761731 /nfs/dbraw/zinc/76/17/31/701761731.db2.gz PIQREQLTPCVUSK-LSDHHAIUSA-N 1 2 315.373 1.182 20 30 DDEDLO COC(=O)[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@H](C(=O)N(C)C)C1 ZINC000839636239 701761736 /nfs/dbraw/zinc/76/17/36/701761736.db2.gz PIQREQLTPCVUSK-LSDHHAIUSA-N 1 2 315.373 1.182 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCCN(C(=O)[C@]2(C#N)CCCOC2)C1 ZINC000867844009 701789352 /nfs/dbraw/zinc/78/93/52/701789352.db2.gz OFUPVIDEGMWKND-CJNGLKHVSA-N 1 2 302.378 1.446 20 30 DDEDLO CC(C)[C@@H]1N(C[NH+]2CCC(C)(C#N)CC2)C(=O)[C@]12CCCO2 ZINC000840043660 701933922 /nfs/dbraw/zinc/93/39/22/701933922.db2.gz VARIIBUOQXQDNX-YOEHRIQHSA-N 1 2 305.422 1.986 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@@H](C)[C@H]2C[N@H+](C)CCO2)cc1 ZINC000815841048 701947899 /nfs/dbraw/zinc/94/78/99/701947899.db2.gz OPTSDXTYRCNHFJ-WMLDXEAASA-N 1 2 300.402 1.436 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@@H](C)[C@H]2C[N@@H+](C)CCO2)cc1 ZINC000815841048 701947903 /nfs/dbraw/zinc/94/79/03/701947903.db2.gz OPTSDXTYRCNHFJ-WMLDXEAASA-N 1 2 300.402 1.436 20 30 DDEDLO CO[C@@H]1CC[N@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C[C@@H]1C ZINC000840121299 701981077 /nfs/dbraw/zinc/98/10/77/701981077.db2.gz BOUVWFOBHCFRQQ-BLLLJJGKSA-N 1 2 301.390 1.523 20 30 DDEDLO CO[C@@H]1CC[N@@H+](Cn2cc3c(c(C#N)c2=O)CCC3)C[C@@H]1C ZINC000840121299 701981079 /nfs/dbraw/zinc/98/10/79/701981079.db2.gz BOUVWFOBHCFRQQ-BLLLJJGKSA-N 1 2 301.390 1.523 20 30 DDEDLO C#C[C@@H](CCC)NC(=O)N1CC[NH+](CCc2cnccn2)CC1 ZINC000868536204 702184034 /nfs/dbraw/zinc/18/40/34/702184034.db2.gz LJBKONLYZVMWIJ-HNNXBMFYSA-N 1 2 315.421 1.148 20 30 DDEDLO C[N@H+](CCC#N)C[C@H](O)COc1ccc([N+](=O)[O-])c(F)c1F ZINC000840766892 702232901 /nfs/dbraw/zinc/23/29/01/702232901.db2.gz HMRAXCKYVCWSCB-VIFPVBQESA-N 1 2 315.276 1.458 20 30 DDEDLO C[N@@H+](CCC#N)C[C@H](O)COc1ccc([N+](=O)[O-])c(F)c1F ZINC000840766892 702232903 /nfs/dbraw/zinc/23/29/03/702232903.db2.gz HMRAXCKYVCWSCB-VIFPVBQESA-N 1 2 315.276 1.458 20 30 DDEDLO C[C@H]([NH2+]CCCN(C)S(C)(=O)=O)c1cccc(C#N)c1O ZINC000866230916 706655374 /nfs/dbraw/zinc/65/53/74/706655374.db2.gz IDMYJRMXIKIUIJ-NSHDSACASA-N 1 2 311.407 1.196 20 30 DDEDLO C[C@H](O)CNc1cc(N[C@H]2Cc3ccc(C#N)cc3C2)nc[nH+]1 ZINC000866259391 706660373 /nfs/dbraw/zinc/66/03/73/706660373.db2.gz LZWNDRICQZOGNO-NHYWBVRUSA-N 1 2 309.373 1.720 20 30 DDEDLO C[C@H](O)CNc1cc(N[C@H]2Cc3ccc(C#N)cc3C2)[nH+]cn1 ZINC000866259391 706660375 /nfs/dbraw/zinc/66/03/75/706660375.db2.gz LZWNDRICQZOGNO-NHYWBVRUSA-N 1 2 309.373 1.720 20 30 DDEDLO C[C@H](C[NH2+][C@@H](C)c1cccc(C#N)c1O)CN1CCOCC1 ZINC000866268623 706661689 /nfs/dbraw/zinc/66/16/89/706661689.db2.gz AFHDWDLXJVFLPJ-KGLIPLIRSA-N 1 2 303.406 1.883 20 30 DDEDLO C#Cc1cccc(CNC(=O)C(=O)N(C)CCCn2cc[nH+]c2)c1 ZINC000817843952 702499780 /nfs/dbraw/zinc/49/97/80/702499780.db2.gz MNQJAZVCBJZIEC-UHFFFAOYSA-N 1 2 324.384 1.029 20 30 DDEDLO C#CC[C@@H]1NC(=O)N([C@@H](Cc2[nH+]ccn2C)c2ccccc2)C1=O ZINC000842239309 702687384 /nfs/dbraw/zinc/68/73/84/702687384.db2.gz GEVGDSVPBIYLNF-GJZGRUSLSA-N 1 2 322.368 1.648 20 30 DDEDLO C#CC1CC[NH+]([C@H]2CC(=O)N(c3ccc(F)cc3F)C2=O)CC1 ZINC000843267977 702851867 /nfs/dbraw/zinc/85/18/67/702851867.db2.gz FJPULGVCDLKABE-HNNXBMFYSA-N 1 2 318.323 1.942 20 30 DDEDLO C#CC1CC[NH+]([C@@H]2CC(=O)N(c3ccccc3F)C2=O)CC1 ZINC000843269208 702852119 /nfs/dbraw/zinc/85/21/19/702852119.db2.gz LSFJAVPACULBNQ-OAHLLOKOSA-N 1 2 300.333 1.803 20 30 DDEDLO C=CCN(CC=C)C(=O)C[NH+]1CCN(c2ccnc(F)c2)CC1 ZINC000831441800 706707011 /nfs/dbraw/zinc/70/70/11/706707011.db2.gz GIXJBLQPGZNUFQ-UHFFFAOYSA-N 1 2 318.396 1.543 20 30 DDEDLO CC1(C)C[C@H](O)C[N@@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000879621472 706741975 /nfs/dbraw/zinc/74/19/75/706741975.db2.gz DOJAXRQASWWBCQ-QWHCGFSZSA-N 1 2 319.430 1.818 20 30 DDEDLO CC1(C)C[C@H](O)C[N@H+]([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000879621472 706741976 /nfs/dbraw/zinc/74/19/76/706741976.db2.gz DOJAXRQASWWBCQ-QWHCGFSZSA-N 1 2 319.430 1.818 20 30 DDEDLO COC[C@@H](CO[NH+]=C(N)c1ccc(Br)cc1)OC ZINC000853684764 704298897 /nfs/dbraw/zinc/29/88/97/704298897.db2.gz IEFOUEVDPXQLBT-NSHDSACASA-N 1 2 317.183 1.747 20 30 DDEDLO C#C[C@](C)(CC)NC(=O)[C@@H](Cc1c[nH]c[nH+]1)NC(=O)CC(C)C ZINC000854703720 704442230 /nfs/dbraw/zinc/44/22/30/704442230.db2.gz CMIQNLXTKVGKCR-RHSMWYFYSA-N 1 2 318.421 1.401 20 30 DDEDLO C#C[C@](C)(CC)NC(=O)[C@@H](Cc1c[nH+]c[nH]1)NC(=O)CC(C)C ZINC000854703720 704442232 /nfs/dbraw/zinc/44/22/32/704442232.db2.gz CMIQNLXTKVGKCR-RHSMWYFYSA-N 1 2 318.421 1.401 20 30 DDEDLO C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000855493054 704487788 /nfs/dbraw/zinc/48/77/88/704487788.db2.gz DVSXVXJDAHXYBI-CYBMUJFWSA-N 1 2 317.389 1.249 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H]1COCC[N@@H+]1C1CCCC1)C1CCOCC1 ZINC000856103237 704513800 /nfs/dbraw/zinc/51/38/00/704513800.db2.gz KALDBFRNIKZNIA-IRXDYDNUSA-N 1 2 320.433 1.174 20 30 DDEDLO C#C[C@H](NC(=O)[C@@H]1COCC[N@H+]1C1CCCC1)C1CCOCC1 ZINC000856103237 704513801 /nfs/dbraw/zinc/51/38/01/704513801.db2.gz KALDBFRNIKZNIA-IRXDYDNUSA-N 1 2 320.433 1.174 20 30 DDEDLO C#C[C@H](NC(=O)c1cc(-n2cc[nH+]c2)ccn1)C1CCOCC1 ZINC000856106640 704514061 /nfs/dbraw/zinc/51/40/61/704514061.db2.gz WZDLQMGVHAEPFS-HNNXBMFYSA-N 1 2 310.357 1.426 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880304153 706934495 /nfs/dbraw/zinc/93/44/95/706934495.db2.gz WFGXCDYQSGEPNP-LBPRGKRZSA-N 1 2 307.316 1.402 20 30 DDEDLO CCN(CCC#N)C(=O)C[N@H+]1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880304153 706934496 /nfs/dbraw/zinc/93/44/96/706934496.db2.gz WFGXCDYQSGEPNP-LBPRGKRZSA-N 1 2 307.316 1.402 20 30 DDEDLO C=C[C@@H](C)ONC(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000875700471 705481691 /nfs/dbraw/zinc/48/16/91/705481691.db2.gz JVCROXBPZGMQAX-GFCCVEGCSA-N 1 2 322.434 1.940 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cnn(CC3CCC3)c2)C1=O ZINC000894232117 711027279 /nfs/dbraw/zinc/02/72/79/711027279.db2.gz VIUAEYDGUHEWQK-MRXNPFEDSA-N 1 2 302.422 1.902 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cnn(CC3CCC3)c2)C1=O ZINC000894232117 711027280 /nfs/dbraw/zinc/02/72/80/711027280.db2.gz VIUAEYDGUHEWQK-MRXNPFEDSA-N 1 2 302.422 1.902 20 30 DDEDLO CCc1ncc(C[NH+]2CCN(c3ccc(C#N)nc3)CC2)cn1 ZINC000862422617 705714926 /nfs/dbraw/zinc/71/49/26/705714926.db2.gz DCGUQGAXBLJJEE-UHFFFAOYSA-N 1 2 308.389 1.628 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@H+]2CCOC[C@@H]2CC)CC1 ZINC000876440625 705721439 /nfs/dbraw/zinc/72/14/39/705721439.db2.gz YMYRAYASLTYTGZ-JYJNAYRXSA-N 1 2 307.438 1.588 20 30 DDEDLO C#C[C@H]1CC[C@H](NC(=O)NCC[N@@H+]2CCOC[C@@H]2CC)CC1 ZINC000876440625 705721442 /nfs/dbraw/zinc/72/14/42/705721442.db2.gz YMYRAYASLTYTGZ-JYJNAYRXSA-N 1 2 307.438 1.588 20 30 DDEDLO N#CCc1ccc(OC[C@@H](O)C[NH+]2CC(C(F)(F)F)C2)cc1 ZINC000828398640 706172350 /nfs/dbraw/zinc/17/23/50/706172350.db2.gz DHLRTCIUHJUWQY-ZDUSSCGKSA-N 1 2 314.307 1.986 20 30 DDEDLO N#C[C@@]1(C(=O)NCCc2cn3c([nH+]2)CCCC3)CCCOC1 ZINC000864802540 706268770 /nfs/dbraw/zinc/26/87/70/706268770.db2.gz SUMNXEBNYJTEBS-INIZCTEOSA-N 1 2 302.378 1.198 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCC(N3CCCS3(=O)=O)CC2)o1 ZINC000878708434 706475879 /nfs/dbraw/zinc/47/58/79/706475879.db2.gz XYUHBXCIVNKWAS-UHFFFAOYSA-N 1 2 309.391 1.151 20 30 DDEDLO N#Cc1cc(C(F)(F)F)cc(NC[C@H]2C[NH+]3CCN2CC3)n1 ZINC000865630043 706482590 /nfs/dbraw/zinc/48/25/90/706482590.db2.gz ZOGYOXIZBYYCKC-LBPRGKRZSA-N 1 2 311.311 1.384 20 30 DDEDLO CC[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(F)cc1C#N ZINC000878889953 706526599 /nfs/dbraw/zinc/52/65/99/706526599.db2.gz OFUSAELCPUUJMY-CQSZACIVSA-N 1 2 320.368 1.930 20 30 DDEDLO CC[C@@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(F)cc1C#N ZINC000878889953 706526602 /nfs/dbraw/zinc/52/66/02/706526602.db2.gz OFUSAELCPUUJMY-CQSZACIVSA-N 1 2 320.368 1.930 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@@H+]1CCC[C@@](O)(Cc2nc(CC)no2)C1 ZINC000879017996 706564023 /nfs/dbraw/zinc/56/40/23/706564023.db2.gz OHVZPQSHRYFZSQ-BLLLJJGKSA-N 1 2 323.393 1.119 20 30 DDEDLO C=CC[C@@H](C(=O)OC)[N@H+]1CCC[C@@](O)(Cc2nc(CC)no2)C1 ZINC000879017996 706564025 /nfs/dbraw/zinc/56/40/25/706564025.db2.gz OHVZPQSHRYFZSQ-BLLLJJGKSA-N 1 2 323.393 1.119 20 30 DDEDLO N#Cc1ccc(NC(=O)C[NH+]2CCC([C@H](F)C(N)=O)CC2)cc1 ZINC000879045723 706571727 /nfs/dbraw/zinc/57/17/27/706571727.db2.gz LZCRMEQJIZXSRM-HNNXBMFYSA-N 1 2 318.352 1.032 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc([C@@H](C)CC)n2CC2CC2)CC1 ZINC000830820953 706586491 /nfs/dbraw/zinc/58/64/91/706586491.db2.gz MJWBXJUFVVSLMT-AWEZNQCLSA-N 1 2 301.438 1.957 20 30 DDEDLO C#CC[NH+]1CCC(C(=O)O[C@@H](C)C(=O)C2CCOCC2)CC1 ZINC000909007486 712914833 /nfs/dbraw/zinc/91/48/33/712914833.db2.gz MQENWRGPBGJKCO-ZDUSSCGKSA-N 1 2 307.390 1.259 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)NC(=O)Nc1cc(C#N)c(F)cc1F ZINC000880714924 707060571 /nfs/dbraw/zinc/06/05/71/707060571.db2.gz DKFPRHRUQHCWJR-SNVBAGLBSA-N 1 2 324.331 1.679 20 30 DDEDLO O=C1Nc2cc(O)c(F)cc2C1=NNC1=[NH+][C@@H]2CCCC[C@H]2N1 ZINC000834966580 707153300 /nfs/dbraw/zinc/15/33/00/707153300.db2.gz QCBBYSYKIQKBPD-NXEZZACHSA-N 1 2 317.324 1.048 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@@H](O)c2ccc(F)cc2F)nn1 ZINC000881408891 707242392 /nfs/dbraw/zinc/24/23/92/707242392.db2.gz CZMIPRAFKSCBKG-OAHLLOKOSA-N 1 2 306.316 1.403 20 30 DDEDLO CC#CC[NH2+]Cc1cn(C[C@H](O)c2ccc(F)cc2Cl)nn1 ZINC000881421116 707250389 /nfs/dbraw/zinc/25/03/89/707250389.db2.gz RJKJIWKRAAAQEU-HNNXBMFYSA-N 1 2 322.771 1.917 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C)C(=O)OCC ZINC000881756526 707354420 /nfs/dbraw/zinc/35/44/20/707354420.db2.gz WYXYGTRSFBVJRK-UPJWGTAASA-N 1 2 305.378 1.538 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[N@@H+](C)[C@H](C)[C@H]1C ZINC000872468441 707429935 /nfs/dbraw/zinc/42/99/35/707429935.db2.gz UMIVWAARQWAMNU-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cccc(C#N)c1S(=O)(=O)N1CC[N@H+](C)[C@H](C)[C@H]1C ZINC000872468441 707429936 /nfs/dbraw/zinc/42/99/36/707429936.db2.gz UMIVWAARQWAMNU-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000882903367 707845759 /nfs/dbraw/zinc/84/57/59/707845759.db2.gz BAQNKYRLBOMNGN-NVXWUHKLSA-N 1 2 318.417 1.713 20 30 DDEDLO C=C(C)C[C@H](CO)NC(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000882903367 707845762 /nfs/dbraw/zinc/84/57/62/707845762.db2.gz BAQNKYRLBOMNGN-NVXWUHKLSA-N 1 2 318.417 1.713 20 30 DDEDLO C#CCC1(O)CCN(c2[nH+]cnc3c2cnn3C(C)(C)C)CC1 ZINC000882969618 707878131 /nfs/dbraw/zinc/87/81/31/707878131.db2.gz ACVYBWLKWCAWPX-UHFFFAOYSA-N 1 2 313.405 1.936 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000883006099 707894060 /nfs/dbraw/zinc/89/40/60/707894060.db2.gz JHLRZNORJDGTAK-KGLIPLIRSA-N 1 2 306.410 1.567 20 30 DDEDLO C=C(C)C[C@H](CO)Nc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000883006099 707894066 /nfs/dbraw/zinc/89/40/66/707894066.db2.gz JHLRZNORJDGTAK-KGLIPLIRSA-N 1 2 306.410 1.567 20 30 DDEDLO C=C(C)C[C@@H](CO)Nc1cc(N2CCCC[C@H]2CO)nc[nH+]1 ZINC000883006098 707894182 /nfs/dbraw/zinc/89/41/82/707894182.db2.gz JHLRZNORJDGTAK-KBPBESRZSA-N 1 2 306.410 1.567 20 30 DDEDLO C=C(C)C[C@@H](CO)Nc1cc(N2CCCC[C@H]2CO)[nH+]cn1 ZINC000883006098 707894188 /nfs/dbraw/zinc/89/41/88/707894188.db2.gz JHLRZNORJDGTAK-KBPBESRZSA-N 1 2 306.410 1.567 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCCCc2ccccc21 ZINC000884091294 708134809 /nfs/dbraw/zinc/13/48/09/708134809.db2.gz STFHCAOUPPRXMQ-AWEZNQCLSA-N 1 2 302.374 1.803 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@@H](c2ccccc2C)C1 ZINC000884118254 708147088 /nfs/dbraw/zinc/14/70/88/708147088.db2.gz AATBIOZBVDRZLR-ZBFHGGJFSA-N 1 2 316.401 1.758 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CC[C@@H](Oc2ccccc2)C1 ZINC000884415312 708287958 /nfs/dbraw/zinc/28/79/58/708287958.db2.gz QCCMQOCYJXNIJN-CABCVRRESA-N 1 2 318.373 1.113 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)C[C@@]2(C)CC2(F)F)C1 ZINC000885508565 708561732 /nfs/dbraw/zinc/56/17/32/708561732.db2.gz IKRQLIFNVMRZQL-NWDGAFQWSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)C[C@@]2(C)CC2(F)F)C1 ZINC000885508565 708561733 /nfs/dbraw/zinc/56/17/33/708561733.db2.gz IKRQLIFNVMRZQL-NWDGAFQWSA-N 1 2 306.378 1.049 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000885508810 708561795 /nfs/dbraw/zinc/56/17/95/708561795.db2.gz LWTZJILAYNCIJE-IXDOHACOSA-N 1 2 318.442 1.560 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000885508810 708561797 /nfs/dbraw/zinc/56/17/97/708561797.db2.gz LWTZJILAYNCIJE-IXDOHACOSA-N 1 2 318.442 1.560 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NS(=O)(=O)C[C@@H]2CCC(F)(F)C2)C1 ZINC000885508843 708561907 /nfs/dbraw/zinc/56/19/07/708561907.db2.gz MQOURQXSYODMNI-OLZOCXBDSA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NS(=O)(=O)C[C@@H]2CCC(F)(F)C2)C1 ZINC000885508843 708561908 /nfs/dbraw/zinc/56/19/08/708561908.db2.gz MQOURQXSYODMNI-OLZOCXBDSA-N 1 2 320.405 1.439 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccccc2F)C1 ZINC000885512893 708563312 /nfs/dbraw/zinc/56/33/12/708563312.db2.gz ZYZZZJUBBBQWPE-CQSZACIVSA-N 1 2 310.394 1.343 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccccc2F)C1 ZINC000885512893 708563316 /nfs/dbraw/zinc/56/33/16/708563316.db2.gz ZYZZZJUBBBQWPE-CQSZACIVSA-N 1 2 310.394 1.343 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)c1cc([C@@H]2CCC[N@@H+]2C)n[nH]1 ZINC000899153011 709002153 /nfs/dbraw/zinc/00/21/53/709002153.db2.gz ZTTMQNRNSMNPJS-DOMZBBRYSA-N 1 2 304.394 1.335 20 30 DDEDLO C#CC[C@H](CCOC)NC(=O)c1cc([C@@H]2CCC[N@H+]2C)n[nH]1 ZINC000899153011 709002155 /nfs/dbraw/zinc/00/21/55/709002155.db2.gz ZTTMQNRNSMNPJS-DOMZBBRYSA-N 1 2 304.394 1.335 20 30 DDEDLO N#Cc1ccc(NC(=O)NCCc2cn3c([nH+]2)CCCC3)nc1 ZINC000889221090 709463748 /nfs/dbraw/zinc/46/37/48/709463748.db2.gz ULSDZVZKNDWKPW-UHFFFAOYSA-N 1 2 310.361 1.850 20 30 DDEDLO N#Cc1ccc(NC(=O)N2CCC[C@@H]2C[NH+]2CCOCC2)nc1 ZINC000889229649 709465110 /nfs/dbraw/zinc/46/51/10/709465110.db2.gz DDDMNJJMRSWIMZ-CQSZACIVSA-N 1 2 315.377 1.282 20 30 DDEDLO COc1ccc(C#N)cc1NC(=O)/C=C(/C)C[NH+]1CCOCC1 ZINC000900438887 709595403 /nfs/dbraw/zinc/59/54/03/709595403.db2.gz IZZWWSDUCRCRPO-LCYFTJDESA-N 1 2 315.373 1.784 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cc(C(F)(F)F)nn2C)nn1 ZINC000900605081 709673894 /nfs/dbraw/zinc/67/38/94/709673894.db2.gz LPEHARFVTUKYCE-UHFFFAOYSA-N 1 2 312.299 1.192 20 30 DDEDLO N#C[C@@H](CCc1ccccc1)C(=O)N1CC[NH2+][C@H](c2cn[nH]c2)C1 ZINC000913451625 713221401 /nfs/dbraw/zinc/22/14/01/713221401.db2.gz QFFRLWGCTGDJFO-WBVHZDCISA-N 1 2 323.400 1.655 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)c3ccc(CC#N)cc3)CC[NH2+]2)cn1 ZINC000913464355 713227736 /nfs/dbraw/zinc/22/77/36/713227736.db2.gz RLJCCSCBDVSFST-INIZCTEOSA-N 1 2 309.373 1.273 20 30 DDEDLO Cn1cc([C@@H]2CN(C(=O)c3sccc3C#N)CC[NH2+]2)cn1 ZINC000913467961 713229286 /nfs/dbraw/zinc/22/92/86/713229286.db2.gz INSQOIWSTWHTIS-LBPRGKRZSA-N 1 2 301.375 1.140 20 30 DDEDLO Cn1nc(Br)nc1C[NH2+]Cc1ccc(CC#N)cc1 ZINC000895077019 711398806 /nfs/dbraw/zinc/39/88/06/711398806.db2.gz ZJYLGTYFQKNDQE-UHFFFAOYSA-N 1 2 320.194 1.933 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)c1ncc(C#N)cc1C ZINC000928650277 713250925 /nfs/dbraw/zinc/25/09/25/713250925.db2.gz XGVCGZBYMQZTHF-AWEZNQCLSA-N 1 2 302.378 1.102 20 30 DDEDLO COC(=O)c1ccc(Cl)c(C[NH2+][C@@H]2CCCN(O)C2=O)c1 ZINC000895159446 711436690 /nfs/dbraw/zinc/43/66/90/711436690.db2.gz FSKWPZQWVXFWLC-GFCCVEGCSA-N 1 2 312.753 1.596 20 30 DDEDLO CC[C@@H](C[NH+]1CCOCC1)NC(=O)NCC#Cc1ccccc1 ZINC000913984144 713328333 /nfs/dbraw/zinc/32/83/33/713328333.db2.gz JUTWPNVZXZOTSU-KRWDZBQOSA-N 1 2 315.417 1.448 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[N@@H+](C[C@H](C)O)C[C@@H]2C)s1 ZINC000907517997 712589118 /nfs/dbraw/zinc/58/91/18/712589118.db2.gz LPCRYSRIWPWOBK-RYUDHWBXSA-N 1 2 324.450 1.268 20 30 DDEDLO C=CCNc1ncc(C(=O)N2CC[N@H+](C[C@H](C)O)C[C@@H]2C)s1 ZINC000907517997 712589119 /nfs/dbraw/zinc/58/91/19/712589119.db2.gz LPCRYSRIWPWOBK-RYUDHWBXSA-N 1 2 324.450 1.268 20 30 DDEDLO N#C[C@@H]1CCCN(C(=O)NCCc2cn3c([nH+]2)CCCC3)C1 ZINC000914167902 713351957 /nfs/dbraw/zinc/35/19/57/713351957.db2.gz BEIPWPDGEDPTKF-ZDUSSCGKSA-N 1 2 301.394 1.707 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)Cc1csc(C#N)c1 ZINC000914317207 713377419 /nfs/dbraw/zinc/37/74/19/713377419.db2.gz QKCDPDBUYUKEIU-WDEREUQCSA-N 1 2 313.448 1.474 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)Cc1csc(C#N)c1 ZINC000914317207 713377421 /nfs/dbraw/zinc/37/74/21/713377421.db2.gz QKCDPDBUYUKEIU-WDEREUQCSA-N 1 2 313.448 1.474 20 30 DDEDLO C/C(=C\C(=O)N[C@H](CC#N)C(F)(F)F)C[NH+]1CCOCC1 ZINC000928800615 713483164 /nfs/dbraw/zinc/48/31/64/713483164.db2.gz NNRDEKYDVKNIEK-RJCSOLBVSA-N 1 2 305.300 1.226 20 30 DDEDLO CCS(=O)(=O)N1CC[NH+](CCCCC2(C#N)CCC2)CC1 ZINC000929422463 713607721 /nfs/dbraw/zinc/60/77/21/713607721.db2.gz SIWWTWCHKMNYBR-UHFFFAOYSA-N 1 2 313.467 1.818 20 30 DDEDLO C=C[C@@H](CC)CC(=O)NC[C@]1([NH+]2CCOCC2)CCSC1 ZINC000930505844 713852638 /nfs/dbraw/zinc/85/26/38/713852638.db2.gz PEQHIACMQROXGE-GOEBONIOSA-N 1 2 312.479 1.913 20 30 DDEDLO C#CC1(O)CC[NH+](CC(=O)Nc2cc(OC)ccc2OC)CC1 ZINC000931145149 714015219 /nfs/dbraw/zinc/01/52/19/714015219.db2.gz DFWZOWYWVJUVGE-UHFFFAOYSA-N 1 2 318.373 1.102 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@@H+](C)Cc1c[nH]c(C)c(OC)c1=O ZINC000931321650 714066738 /nfs/dbraw/zinc/06/67/38/714066738.db2.gz HJZAMYFTXYCQKR-UHFFFAOYSA-N 1 2 319.405 1.324 20 30 DDEDLO C=CCN(CC=C)C(=O)C[N@H+](C)Cc1c[nH]c(C)c(OC)c1=O ZINC000931321650 714066739 /nfs/dbraw/zinc/06/67/39/714066739.db2.gz HJZAMYFTXYCQKR-UHFFFAOYSA-N 1 2 319.405 1.324 20 30 DDEDLO Cn1cc(C(=O)NC[C@@H]2CCC[N@@H+]2Cc2cncc(C#N)c2)cn1 ZINC000931425133 714092892 /nfs/dbraw/zinc/09/28/92/714092892.db2.gz FTURFANGWCMUPW-INIZCTEOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1cc(C(=O)NC[C@@H]2CCC[N@H+]2Cc2cncc(C#N)c2)cn1 ZINC000931425133 714092895 /nfs/dbraw/zinc/09/28/95/714092895.db2.gz FTURFANGWCMUPW-INIZCTEOSA-N 1 2 324.388 1.081 20 30 DDEDLO CC(C)(C)OC(=O)N1CCN(CC[N@@H+]2CCC[C@H](C#N)C2)CC1 ZINC000932148685 714271277 /nfs/dbraw/zinc/27/12/77/714271277.db2.gz RFWWAPVFLCQCCO-OAHLLOKOSA-N 1 2 322.453 1.775 20 30 DDEDLO CC(C)(C)OC(=O)N1CCN(CC[N@H+]2CCC[C@H](C#N)C2)CC1 ZINC000932148685 714271278 /nfs/dbraw/zinc/27/12/78/714271278.db2.gz RFWWAPVFLCQCCO-OAHLLOKOSA-N 1 2 322.453 1.775 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[NH+](CCN2CCC[C@H](C#N)C2)CC1 ZINC000932148685 714271279 /nfs/dbraw/zinc/27/12/79/714271279.db2.gz RFWWAPVFLCQCCO-OAHLLOKOSA-N 1 2 322.453 1.775 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@](O)(C[N@@H+]2CCC[C@@H](C#N)C2)C1 ZINC000932151035 714272212 /nfs/dbraw/zinc/27/22/12/714272212.db2.gz GQDVQVMJWFIFTH-BBRMVZONSA-N 1 2 309.410 1.594 20 30 DDEDLO CC(C)(C)OC(=O)N1CC[C@](O)(C[N@H+]2CCC[C@@H](C#N)C2)C1 ZINC000932151035 714272214 /nfs/dbraw/zinc/27/22/14/714272214.db2.gz GQDVQVMJWFIFTH-BBRMVZONSA-N 1 2 309.410 1.594 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@H](O)C[N@@H+]2CCC[C@H](C#N)C2)cc1 ZINC000932160583 714274686 /nfs/dbraw/zinc/27/46/86/714274686.db2.gz YFYYHWIDQLPPKT-GDBMZVCRSA-N 1 2 317.389 1.620 20 30 DDEDLO CC(=O)Nc1ccc(OC[C@H](O)C[N@H+]2CCC[C@H](C#N)C2)cc1 ZINC000932160583 714274687 /nfs/dbraw/zinc/27/46/87/714274687.db2.gz YFYYHWIDQLPPKT-GDBMZVCRSA-N 1 2 317.389 1.620 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ccc(C(=O)OC)s2)C1 ZINC000923589673 714419603 /nfs/dbraw/zinc/41/96/03/714419603.db2.gz KAEBJEMYPCGHBT-NSHDSACASA-N 1 2 306.387 1.362 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(=O)OC)s2)C1 ZINC000923589673 714419605 /nfs/dbraw/zinc/41/96/05/714419605.db2.gz KAEBJEMYPCGHBT-NSHDSACASA-N 1 2 306.387 1.362 20 30 DDEDLO N#CCC1(C[NH+]2CCN(c3nc(N)nc(C4CC4)n3)CC2)CC1 ZINC000933555071 714614791 /nfs/dbraw/zinc/61/47/91/714614791.db2.gz CFSGBSKPNSZVQX-UHFFFAOYSA-N 1 2 313.409 1.147 20 30 DDEDLO C#CC[C@@H]1CCN(C(=O)NCc2nc(C[NH+](C)C)cs2)C1 ZINC000924790686 714664928 /nfs/dbraw/zinc/66/49/28/714664928.db2.gz INNQONKAFNEDRB-GFCCVEGCSA-N 1 2 306.435 1.760 20 30 DDEDLO Cc1cc(C)cc(N(CCC#N)C(=O)C[N@@H+]2CC[C@@H]2CO)c1 ZINC000934026441 714725095 /nfs/dbraw/zinc/72/50/95/714725095.db2.gz MXHCRZAERCUNTL-OAHLLOKOSA-N 1 2 301.390 1.617 20 30 DDEDLO Cc1cc(C)cc(N(CCC#N)C(=O)C[N@H+]2CC[C@@H]2CO)c1 ZINC000934026441 714725100 /nfs/dbraw/zinc/72/51/00/714725100.db2.gz MXHCRZAERCUNTL-OAHLLOKOSA-N 1 2 301.390 1.617 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CCOc1ccc(S(C)(=O)=O)cc1 ZINC000934511895 714839532 /nfs/dbraw/zinc/83/95/32/714839532.db2.gz ABFKXLASCMHHRG-AWEZNQCLSA-N 1 2 307.415 1.957 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CCOc1ccc(S(C)(=O)=O)cc1 ZINC000934511895 714839533 /nfs/dbraw/zinc/83/95/33/714839533.db2.gz ABFKXLASCMHHRG-AWEZNQCLSA-N 1 2 307.415 1.957 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000934513045 714839574 /nfs/dbraw/zinc/83/95/74/714839574.db2.gz FZBODDXVBICYFJ-AWEZNQCLSA-N 1 2 313.357 1.972 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1CC(=O)N1CCc2cc([N+](=O)[O-])ccc21 ZINC000934513045 714839575 /nfs/dbraw/zinc/83/95/75/714839575.db2.gz FZBODDXVBICYFJ-AWEZNQCLSA-N 1 2 313.357 1.972 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn3nccc3nc2C)C1 ZINC000957064129 715730865 /nfs/dbraw/zinc/73/08/65/715730865.db2.gz STJDPBCQOALCQI-UHFFFAOYSA-N 1 2 311.389 1.207 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC000957120672 715757497 /nfs/dbraw/zinc/75/74/97/715757497.db2.gz KLJJMNJQVUHNKM-CQSZACIVSA-N 1 2 308.426 1.028 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCCN2C(=O)CCC)CC1 ZINC000957757849 716030710 /nfs/dbraw/zinc/03/07/10/716030710.db2.gz KSFWGIDSGANENH-HNNXBMFYSA-N 1 2 307.438 1.498 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2ccc(NC(=O)NC)cc2)CC1 ZINC000957842874 716083196 /nfs/dbraw/zinc/08/31/96/716083196.db2.gz ROMSOWXKNKFIQI-UHFFFAOYSA-N 1 2 302.378 1.382 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2cc(C)sn2)C1 ZINC000958010882 716275103 /nfs/dbraw/zinc/27/51/03/716275103.db2.gz PNHXICNZDARXAN-PWSUYJOCSA-N 1 2 315.826 1.227 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2cc(C)sn2)C1 ZINC000958010882 716275108 /nfs/dbraw/zinc/27/51/08/716275108.db2.gz PNHXICNZDARXAN-PWSUYJOCSA-N 1 2 315.826 1.227 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn[nH]c1-c1ccccn1 ZINC000960232934 716460111 /nfs/dbraw/zinc/46/01/11/716460111.db2.gz HMJCYQYTPHSYQE-FOLVSLTJSA-N 1 2 321.384 1.155 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn[nH]c1-c1ccccn1 ZINC000960232934 716460114 /nfs/dbraw/zinc/46/01/14/716460114.db2.gz HMJCYQYTPHSYQE-FOLVSLTJSA-N 1 2 321.384 1.155 20 30 DDEDLO C[C@H](c1ncccn1)[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C#N)[nH]1 ZINC000958590738 716647513 /nfs/dbraw/zinc/64/75/13/716647513.db2.gz SKRNHXFEDZVXGZ-PBOSXPJTSA-N 1 2 322.372 1.098 20 30 DDEDLO C[C@H](c1ncccn1)[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C#N)[nH]1 ZINC000958590738 716647514 /nfs/dbraw/zinc/64/75/14/716647514.db2.gz SKRNHXFEDZVXGZ-PBOSXPJTSA-N 1 2 322.372 1.098 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CCC[C@H]([C@H]2CCN(CC#N)C2)C1 ZINC000961274272 716891437 /nfs/dbraw/zinc/89/14/37/716891437.db2.gz QOJCQBDWLUMFDA-GJZGRUSLSA-N 1 2 315.421 1.345 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000940811288 716970795 /nfs/dbraw/zinc/97/07/95/716970795.db2.gz FTGZYYNFBKLYLV-BZPMIXESSA-N 1 2 301.394 1.253 20 30 DDEDLO CC1(C)CN(CC#N)CC[C@@H]1NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000940811288 716970797 /nfs/dbraw/zinc/97/07/97/716970797.db2.gz FTGZYYNFBKLYLV-BZPMIXESSA-N 1 2 301.394 1.253 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nc(C)no4)C[C@H]32)CC1 ZINC000961677400 717054816 /nfs/dbraw/zinc/05/48/16/717054816.db2.gz QSNJABSUWMPGFS-FOLVSLTJSA-N 1 2 316.405 1.671 20 30 DDEDLO C=C1CCC(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nc(C)no4)C[C@H]32)CC1 ZINC000961677400 717054819 /nfs/dbraw/zinc/05/48/19/717054819.db2.gz QSNJABSUWMPGFS-FOLVSLTJSA-N 1 2 316.405 1.671 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2[C@H]3C[N@@H+](Cc4nocc4C)C[C@H]32)c1 ZINC000961721214 717073158 /nfs/dbraw/zinc/07/31/58/717073158.db2.gz KRLWOCCOMAXUBQ-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@@H]2[C@H]3C[N@H+](Cc4nocc4C)C[C@H]32)c1 ZINC000961721214 717073160 /nfs/dbraw/zinc/07/31/60/717073160.db2.gz KRLWOCCOMAXUBQ-QLPKVWCKSA-N 1 2 322.368 1.220 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)c3cc(F)ccc3F)CC2)C1 ZINC000941438247 717177337 /nfs/dbraw/zinc/17/73/37/717177337.db2.gz MKAXZAZECIXJAE-UHFFFAOYSA-N 1 2 319.355 1.040 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)C(C)(C)C(C)(C)C)CC2)C1 ZINC000941516430 717190578 /nfs/dbraw/zinc/19/05/78/717190578.db2.gz RFLFBQMSELUWKC-UHFFFAOYSA-N 1 2 319.493 1.910 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)C3CC4(CCC4)C3)CC2)C1 ZINC000941524677 717193522 /nfs/dbraw/zinc/19/35/22/717193522.db2.gz SVUXHHCLSMLWCF-UHFFFAOYSA-N 1 2 301.434 1.028 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCC[C@H]3CC)CC2)C1 ZINC000941553969 717207329 /nfs/dbraw/zinc/20/73/29/717207329.db2.gz UPBBDPVFKSFICJ-AEFFLSMTSA-N 1 2 317.477 1.664 20 30 DDEDLO N#CCNC1CC2(C1)CC(NC(=O)c1cccc3[nH+]ccn31)C2 ZINC000964319188 717221449 /nfs/dbraw/zinc/22/14/49/717221449.db2.gz DOXAIXNHTHXQRS-UHFFFAOYSA-N 1 2 309.373 1.488 20 30 DDEDLO CC#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3CC[C@H](C)C3)CC2)C1 ZINC000941595821 717223877 /nfs/dbraw/zinc/22/38/77/717223877.db2.gz PABJJMXPVDQEAM-JKSUJKDBSA-N 1 2 303.450 1.274 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@@H]3C[C@]3(C)C(C)C)CC2)C1 ZINC000941621723 717233061 /nfs/dbraw/zinc/23/30/61/717233061.db2.gz BTVVTNNFMCZZLJ-FUHWJXTLSA-N 1 2 303.450 1.130 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3C[C@]3(CC)C(C)C)CC2)C1 ZINC000941623112 717233775 /nfs/dbraw/zinc/23/37/75/717233775.db2.gz ULHVRBFKYQDPDE-IEBWSBKVSA-N 1 2 317.477 1.520 20 30 DDEDLO C#CC[NH+]1CC(N2CCN(C(=O)[C@H]3CCCC[C@@H]3CC)CC2)C1 ZINC000941623709 717233835 /nfs/dbraw/zinc/23/38/35/717233835.db2.gz ZNPPBWYGCFCDJQ-WMZOPIPTSA-N 1 2 317.477 1.664 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cn(C)ccc2=O)[C@@H](C)C1 ZINC000942445541 717680172 /nfs/dbraw/zinc/68/01/72/717680172.db2.gz WDTHBXZFOTZPIF-FZMZJTMJSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cn(C)ccc2=O)[C@@H](C)C1 ZINC000942445541 717680175 /nfs/dbraw/zinc/68/01/75/717680175.db2.gz WDTHBXZFOTZPIF-FZMZJTMJSA-N 1 2 323.824 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnnn2CC)C1 ZINC000965980894 717845372 /nfs/dbraw/zinc/84/53/72/717845372.db2.gz QEVWUFRLRNIODQ-JQWIXIFHSA-N 1 2 311.817 1.491 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnnn2CC)C1 ZINC000965980894 717845377 /nfs/dbraw/zinc/84/53/77/717845377.db2.gz QEVWUFRLRNIODQ-JQWIXIFHSA-N 1 2 311.817 1.491 20 30 DDEDLO C[C@H]1CN(CC#N)CC[C@@H]1NC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC000943951935 718230960 /nfs/dbraw/zinc/23/09/60/718230960.db2.gz WWHPTLVNSRKWLT-ZFWWWQNUSA-N 1 2 324.388 1.231 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1cn[nH]n1 ZINC000967155386 718778071 /nfs/dbraw/zinc/77/80/71/718778071.db2.gz JTYHXAPHCAVFTR-WBMJQRKESA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1cn[nH]n1 ZINC000967155386 718778074 /nfs/dbraw/zinc/77/80/74/718778074.db2.gz JTYHXAPHCAVFTR-WBMJQRKESA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1cnn[nH]1 ZINC000967155386 718778077 /nfs/dbraw/zinc/77/80/77/718778077.db2.gz JTYHXAPHCAVFTR-WBMJQRKESA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1cnn[nH]1 ZINC000967155386 718778079 /nfs/dbraw/zinc/77/80/79/718778079.db2.gz JTYHXAPHCAVFTR-WBMJQRKESA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@@H+]1Cc1ccon1 ZINC000947171132 719059171 /nfs/dbraw/zinc/05/91/71/719059171.db2.gz QFEDAWPZQKEVJF-YPMHNXCESA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)CC[N@H+]1Cc1ccon1 ZINC000947171132 719059175 /nfs/dbraw/zinc/05/91/75/719059175.db2.gz QFEDAWPZQKEVJF-YPMHNXCESA-N 1 2 313.361 1.657 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@@H]([NH2+]Cc2nc3c(o2)CCCC3)C1 ZINC000967975221 719110980 /nfs/dbraw/zinc/11/09/80/719110980.db2.gz LKPXTIDFPGOIDO-NWDGAFQWSA-N 1 2 302.378 1.404 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1nnc[nH]1 ZINC000968426365 719577649 /nfs/dbraw/zinc/57/76/49/719577649.db2.gz FTNNHYMIYFHDLR-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1nnc[nH]1 ZINC000968426365 719577652 /nfs/dbraw/zinc/57/76/52/719577652.db2.gz FTNNHYMIYFHDLR-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1ncn[nH]1 ZINC000968426365 719577654 /nfs/dbraw/zinc/57/76/54/719577654.db2.gz FTNNHYMIYFHDLR-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C[C@@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1NC(=O)c1ncn[nH]1 ZINC000968426365 719577657 /nfs/dbraw/zinc/57/76/57/719577657.db2.gz FTNNHYMIYFHDLR-DOMZBBRYSA-N 1 2 324.388 1.317 20 30 DDEDLO C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000968606996 719689423 /nfs/dbraw/zinc/68/94/23/719689423.db2.gz NCAIXBDQCIQZER-DZGCQCFKSA-N 1 2 324.380 1.866 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(=O)c3ccccc3o2)C1 ZINC000968606996 719689424 /nfs/dbraw/zinc/68/94/24/719689424.db2.gz NCAIXBDQCIQZER-DZGCQCFKSA-N 1 2 324.380 1.866 20 30 DDEDLO CC(C)c1noc([C@H](C)[NH2+][C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000968618230 719694348 /nfs/dbraw/zinc/69/43/48/719694348.db2.gz ZGMFFWAOYQZYMC-GXTWGEPZSA-N 1 2 316.405 1.858 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)[C@@H]2CCCC[C@H]2n2cccn2)CC1 ZINC000948832029 719736437 /nfs/dbraw/zinc/73/64/37/719736437.db2.gz MQKXJUXKCAIFRQ-HZPDHXFCSA-N 1 2 302.422 1.945 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cccnn1 ZINC000948974614 719819563 /nfs/dbraw/zinc/81/95/63/719819563.db2.gz JBQZYIRQNZREJT-QGZVFWFLSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cccnn1 ZINC000948974614 719819566 /nfs/dbraw/zinc/81/95/66/719819566.db2.gz JBQZYIRQNZREJT-QGZVFWFLSA-N 1 2 306.369 1.439 20 30 DDEDLO C#CC[N@@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nocc1C ZINC000948978175 719821248 /nfs/dbraw/zinc/82/12/48/719821248.db2.gz IYAZBHBTEVLWHJ-MRXNPFEDSA-N 1 2 309.369 1.945 20 30 DDEDLO C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1nocc1C ZINC000948978175 719821252 /nfs/dbraw/zinc/82/12/52/719821252.db2.gz IYAZBHBTEVLWHJ-MRXNPFEDSA-N 1 2 309.369 1.945 20 30 DDEDLO N#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000968925710 719876932 /nfs/dbraw/zinc/87/69/32/719876932.db2.gz LCDQRXALGASCCQ-GJZGRUSLSA-N 1 2 315.421 1.330 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)C(=O)Nc2ccc(Cl)cc2)CC1 ZINC000949149613 719931055 /nfs/dbraw/zinc/93/10/55/719931055.db2.gz OQVPJDYYZVRFHP-UHFFFAOYSA-N 1 2 321.808 1.999 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCCC[C@H]1CNC(=O)c1cnn(C)n1 ZINC000969070994 719947919 /nfs/dbraw/zinc/94/79/19/719947919.db2.gz ZGQVWPMRPZYYJU-LBPRGKRZSA-N 1 2 311.817 1.542 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCCC[C@H]1CNC(=O)c1cnn(C)n1 ZINC000969070994 719947920 /nfs/dbraw/zinc/94/79/20/719947920.db2.gz ZGQVWPMRPZYYJU-LBPRGKRZSA-N 1 2 311.817 1.542 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)COC3CCCC3)CC2)C1 ZINC000949301414 720002544 /nfs/dbraw/zinc/00/25/44/720002544.db2.gz RKKFKWPDVLQDAE-UHFFFAOYSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)COC3CCCC3)CC2)C1 ZINC000949301414 720002547 /nfs/dbraw/zinc/00/25/47/720002547.db2.gz RKKFKWPDVLQDAE-UHFFFAOYSA-N 1 2 320.433 1.272 20 30 DDEDLO C#CC[N@@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@H](C)C3)CC2)C1 ZINC000949487123 720117491 /nfs/dbraw/zinc/11/74/91/720117491.db2.gz NPKNNMHQMKEEIF-JKSUJKDBSA-N 1 2 304.434 1.749 20 30 DDEDLO C#CC[N@H+]1CCOC2(CCN(C(=O)[C@@H]3CC[C@H](C)C3)CC2)C1 ZINC000949487123 720117493 /nfs/dbraw/zinc/11/74/93/720117493.db2.gz NPKNNMHQMKEEIF-JKSUJKDBSA-N 1 2 304.434 1.749 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)CCc2c(C)nn(C)c2C)CC1 ZINC000949543239 720151795 /nfs/dbraw/zinc/15/17/95/720151795.db2.gz LBDDZMDUUNLZFB-UHFFFAOYSA-N 1 2 302.422 1.137 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCCOCC2)C1 ZINC000969582173 720199765 /nfs/dbraw/zinc/19/97/65/720199765.db2.gz BCVWSTFEJIHEJV-OLZOCXBDSA-N 1 2 300.830 1.992 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)c2occc2-c2cnn(C)c2)CC1 ZINC000949831380 720369943 /nfs/dbraw/zinc/36/99/43/720369943.db2.gz ZCRJUJMXLAGKTF-UHFFFAOYSA-N 1 2 300.362 1.624 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@@H](C)NC(=O)c2cnn(C)c2Cl)C1 ZINC000969971650 720581829 /nfs/dbraw/zinc/58/18/29/720581829.db2.gz YOQIAYHXOUXTDV-SECBINFHSA-N 1 2 317.220 1.876 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2ncnc3ccsc32)C1 ZINC000950480809 720651495 /nfs/dbraw/zinc/65/14/95/720651495.db2.gz SEESRTNDPPHPDL-UHFFFAOYSA-N 1 2 314.414 1.861 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2cnc3nccnc3c2)C1 ZINC000950522019 720669386 /nfs/dbraw/zinc/66/93/86/720669386.db2.gz AECLRNFJGUIVCQ-UHFFFAOYSA-N 1 2 311.389 1.747 20 30 DDEDLO C[C@H](NC(=O)c1ccnnc1)C1C[NH+](Cc2ccccc2C#N)C1 ZINC000970488661 720781789 /nfs/dbraw/zinc/78/17/89/720781789.db2.gz QYRCHPCQUTWDDS-ZDUSSCGKSA-N 1 2 321.384 1.599 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC000970807368 720943185 /nfs/dbraw/zinc/94/31/85/720943185.db2.gz VHRYKKIIQUWDMR-AAEUAGOBSA-N 1 2 313.829 1.044 20 30 DDEDLO CC#CC[NH+]1CC([C@@H](C)NC(=O)c2[nH]nnc2-c2ccccc2)C1 ZINC000970930834 720993820 /nfs/dbraw/zinc/99/38/20/720993820.db2.gz FNIHHJKALDLVOQ-CYBMUJFWSA-N 1 2 323.400 1.545 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@@H]2CCCc3nn(C)cc32)C1 ZINC000951380381 721011319 /nfs/dbraw/zinc/01/13/19/721011319.db2.gz RXIPYVZKODUOLS-OAHLLOKOSA-N 1 2 316.449 1.949 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)[C@H]2CCc3nnn(C)c3C2)C1 ZINC000951387917 721015489 /nfs/dbraw/zinc/01/54/89/721015489.db2.gz XUTYWWXMQHKVAK-ZDUSSCGKSA-N 1 2 317.437 1.029 20 30 DDEDLO Cc1cnc([C@@H](C)[N@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971507511 721328460 /nfs/dbraw/zinc/32/84/60/721328460.db2.gz IYSXVVIBMQUSLL-UKRRQHHQSA-N 1 2 301.390 1.990 20 30 DDEDLO Cc1cnc([C@@H](C)[N@@H+](C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC000971507511 721328463 /nfs/dbraw/zinc/32/84/63/721328463.db2.gz IYSXVVIBMQUSLL-UKRRQHHQSA-N 1 2 301.390 1.990 20 30 DDEDLO Cc1nc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)c(C)o1 ZINC000971510411 721330345 /nfs/dbraw/zinc/33/03/45/721330345.db2.gz FBPLMYZZLFDCKL-HNNXBMFYSA-N 1 2 301.390 1.738 20 30 DDEDLO Cc1nc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC3CC3)C2)c(C)o1 ZINC000971510411 721330347 /nfs/dbraw/zinc/33/03/47/721330347.db2.gz FBPLMYZZLFDCKL-HNNXBMFYSA-N 1 2 301.390 1.738 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067716441 732679070 /nfs/dbraw/zinc/67/90/70/732679070.db2.gz RNVWMYPYKPFUHV-KRWDZBQOSA-N 1 2 320.437 1.792 20 30 DDEDLO CCNC(=O)CO[C@@H]1CCCN(c2cc(CC#N)cc[nH+]2)C1 ZINC001167148302 732766750 /nfs/dbraw/zinc/76/67/50/732766750.db2.gz BDEBYXDNNPAFAK-CQSZACIVSA-N 1 2 302.378 1.269 20 30 DDEDLO COC(=O)[C@H]1COCCC12CN(c1cc(CC#N)cc[nH+]1)C2 ZINC001167162143 732791460 /nfs/dbraw/zinc/79/14/60/732791460.db2.gz JQEDSHVNFJJIJC-CYBMUJFWSA-N 1 2 301.346 1.164 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cc(F)cc(Cl)c3)[C@H]2C1 ZINC001083204001 733177218 /nfs/dbraw/zinc/17/72/18/733177218.db2.gz SKPOREUHGHOYMP-LSDHHAIUSA-N 1 2 322.767 1.638 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc(F)cc(Cl)c3)[C@H]2C1 ZINC001083204001 733177221 /nfs/dbraw/zinc/17/72/21/733177221.db2.gz SKPOREUHGHOYMP-LSDHHAIUSA-N 1 2 322.767 1.638 20 30 DDEDLO C=CCC[N@@H+]1CC[C@@H]2[C@H](CCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001021679543 733436635 /nfs/dbraw/zinc/43/66/35/733436635.db2.gz OWRRLMHJWULQGD-GDBMZVCRSA-N 1 2 302.422 1.841 20 30 DDEDLO C=CCC[N@H+]1CC[C@@H]2[C@H](CCCN2C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001021679543 733436636 /nfs/dbraw/zinc/43/66/36/733436636.db2.gz OWRRLMHJWULQGD-GDBMZVCRSA-N 1 2 302.422 1.841 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)COc3ccsc3)[C@H]2C1 ZINC001083248653 734808658 /nfs/dbraw/zinc/80/86/58/734808658.db2.gz RDKFFUDLXYDRIU-LSDHHAIUSA-N 1 2 320.414 1.062 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)COc3ccsc3)[C@H]2C1 ZINC001083248653 734808661 /nfs/dbraw/zinc/80/86/61/734808661.db2.gz RDKFFUDLXYDRIU-LSDHHAIUSA-N 1 2 320.414 1.062 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)Cc3cc(C)ccc3F)[C@H]2C1 ZINC001083254294 734907459 /nfs/dbraw/zinc/90/74/59/734907459.db2.gz LEINZGROFRQADJ-DLBZAZTESA-N 1 2 316.376 1.221 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3cc(C)ccc3F)[C@H]2C1 ZINC001083254294 734907460 /nfs/dbraw/zinc/90/74/60/734907460.db2.gz LEINZGROFRQADJ-DLBZAZTESA-N 1 2 316.376 1.221 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(Cc2c[nH+]cn2C)C[C@H]1OC ZINC001213390354 735084663 /nfs/dbraw/zinc/08/46/63/735084663.db2.gz YUSQXKYRCWSZIN-INMHGKMJSA-N 1 2 320.437 1.338 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2CCC[N@H+](Cc3ncccn3)C2)c1 ZINC001023296185 735140107 /nfs/dbraw/zinc/14/01/07/735140107.db2.gz QQARYZQDQXEQKL-ZDUSSCGKSA-N 1 2 324.388 1.318 20 30 DDEDLO N#Cc1c[nH]c(C(=O)NC[C@@H]2CCC[N@@H+](Cc3ncccn3)C2)c1 ZINC001023296185 735140109 /nfs/dbraw/zinc/14/01/09/735140109.db2.gz QQARYZQDQXEQKL-ZDUSSCGKSA-N 1 2 324.388 1.318 20 30 DDEDLO Cc1[nH]c2c([nH+]1)CC[C@@H](C(=O)NC[C@H]1CCCCN1CC#N)C2 ZINC001024516550 735894540 /nfs/dbraw/zinc/89/45/40/735894540.db2.gz KOKGJSGTUPYTLT-ZIAGYGMSSA-N 1 2 315.421 1.317 20 30 DDEDLO Cc1[nH]c2c([nH+]1)C[C@H](C(=O)NC[C@H]1CCCCN1CC#N)CC2 ZINC001024516550 735894544 /nfs/dbraw/zinc/89/45/44/735894544.db2.gz KOKGJSGTUPYTLT-ZIAGYGMSSA-N 1 2 315.421 1.317 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]2CNC(=O)c2cnn[nH]2)cc1 ZINC001027991367 738913614 /nfs/dbraw/zinc/91/36/14/738913614.db2.gz BBXAQBGJLLCQBW-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]2CNC(=O)c2cnn[nH]2)cc1 ZINC001027991367 738913618 /nfs/dbraw/zinc/91/36/18/738913618.db2.gz BBXAQBGJLLCQBW-CQSZACIVSA-N 1 2 310.361 1.071 20 30 DDEDLO C#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1scnc1COC ZINC001024697449 736027128 /nfs/dbraw/zinc/02/71/28/736027128.db2.gz ZICXNSOBNFFJMC-GFCCVEGCSA-N 1 2 307.419 1.507 20 30 DDEDLO C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1scnc1COC ZINC001024697449 736027131 /nfs/dbraw/zinc/02/71/31/736027131.db2.gz ZICXNSOBNFFJMC-GFCCVEGCSA-N 1 2 307.419 1.507 20 30 DDEDLO Cc1nc(NC[C@H](C)N(C)C(=O)Cn2cc[nH+]c2)ccc1C#N ZINC001104603691 736445663 /nfs/dbraw/zinc/44/56/63/736445663.db2.gz JDKNGBRCSRDTKH-LBPRGKRZSA-N 1 2 312.377 1.417 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nnc2C)C1 ZINC001107973728 751371482 /nfs/dbraw/zinc/37/14/82/751371482.db2.gz ZEUAOYMXYWLXHQ-MRXNPFEDSA-N 1 2 304.394 1.100 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C)nnc2C)C1 ZINC001107973728 751371485 /nfs/dbraw/zinc/37/14/85/751371485.db2.gz ZEUAOYMXYWLXHQ-MRXNPFEDSA-N 1 2 304.394 1.100 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2nccnc2N)[C@H]1CC ZINC001087524475 740549682 /nfs/dbraw/zinc/54/96/82/740549682.db2.gz VISZJLUAZPLVKT-WDEREUQCSA-N 1 2 309.801 1.394 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2nccnc2N)[C@H]1CC ZINC001087524475 740549686 /nfs/dbraw/zinc/54/96/86/740549686.db2.gz VISZJLUAZPLVKT-WDEREUQCSA-N 1 2 309.801 1.394 20 30 DDEDLO Cc1ccc(C#N)c(NC[C@@H](C)N(C)C(=O)Cc2c[nH+]c[nH]2)n1 ZINC001104825379 737250775 /nfs/dbraw/zinc/25/07/75/737250775.db2.gz GUCLTCODAQFUOC-GFCCVEGCSA-N 1 2 312.377 1.486 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](CNc1cc[nH+]c(C)n1)CC(C)C ZINC001104968024 737424371 /nfs/dbraw/zinc/42/43/71/737424371.db2.gz RVHGMOJCCFICHT-ZFWWWQNUSA-N 1 2 318.421 1.766 20 30 DDEDLO C#CC[NH2+]Cc1cn(C2CN(C(=O)[C@H](C)C(CC)CC)C2)nn1 ZINC001105275229 737702570 /nfs/dbraw/zinc/70/25/70/737702570.db2.gz HPCVUICKHONHEP-CYBMUJFWSA-N 1 2 317.437 1.457 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](Nc1cc[nH+]c(C)n1)C(C)C ZINC001105279772 737707748 /nfs/dbraw/zinc/70/77/48/737707748.db2.gz CDGBRBWMMZPGOK-KFWWJZLASA-N 1 2 318.421 1.929 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+][C@H](C)c1nc(COC)no1 ZINC001125939035 737774314 /nfs/dbraw/zinc/77/43/14/737774314.db2.gz QGQFDMVZLAYNSB-GFCCVEGCSA-N 1 2 308.382 1.176 20 30 DDEDLO C#CCCCCC(=O)NCC[NH2+][C@@H](C)c1nc(COC)no1 ZINC001125939037 737774414 /nfs/dbraw/zinc/77/44/14/737774414.db2.gz QGQFDMVZLAYNSB-LBPRGKRZSA-N 1 2 308.382 1.176 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001028130936 739074102 /nfs/dbraw/zinc/07/41/02/739074102.db2.gz YCIDYHILOBOQEB-OLZOCXBDSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001028130936 739074105 /nfs/dbraw/zinc/07/41/05/739074105.db2.gz YCIDYHILOBOQEB-OLZOCXBDSA-N 1 2 313.829 1.378 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2nccc3cccnc32)C1 ZINC001035395415 751465651 /nfs/dbraw/zinc/46/56/51/751465651.db2.gz CXQZWBOCHSHDDV-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2nccc3cccnc32)C1 ZINC001035395415 751465656 /nfs/dbraw/zinc/46/56/56/751465656.db2.gz CXQZWBOCHSHDDV-OAHLLOKOSA-N 1 2 324.384 1.084 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001035427864 751485612 /nfs/dbraw/zinc/48/56/12/751485612.db2.gz SPRKPXJLEMOYRP-OAHLLOKOSA-N 1 2 320.437 1.645 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@H](CNC(=O)c2cnn(C(C)(C)C)c2)C1 ZINC001035427864 751485614 /nfs/dbraw/zinc/48/56/14/751485614.db2.gz SPRKPXJLEMOYRP-OAHLLOKOSA-N 1 2 320.437 1.645 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC001035433605 751510583 /nfs/dbraw/zinc/51/05/83/751510583.db2.gz RIQVYFWXKOBEHZ-HNNXBMFYSA-N 1 2 313.401 1.413 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC001035433605 751510584 /nfs/dbraw/zinc/51/05/84/751510584.db2.gz RIQVYFWXKOBEHZ-HNNXBMFYSA-N 1 2 313.401 1.413 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)[C@]23CCC[C@H]2OCC3)C1 ZINC001035444736 751522440 /nfs/dbraw/zinc/52/24/40/751522440.db2.gz YUNRMCNAYLYKLO-UXLLHSPISA-N 1 2 308.422 1.339 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)[C@]23CCC[C@H]2OCC3)C1 ZINC001035444736 751522443 /nfs/dbraw/zinc/52/24/43/751522443.db2.gz YUNRMCNAYLYKLO-UXLLHSPISA-N 1 2 308.422 1.339 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2cccc3oc(=O)[nH]c32)C1 ZINC001035444122 751520781 /nfs/dbraw/zinc/52/07/81/751520781.db2.gz LEFURCUXYYDUIZ-NSHDSACASA-N 1 2 317.345 1.150 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2cccc3oc(=O)[nH]c32)C1 ZINC001035444122 751520785 /nfs/dbraw/zinc/52/07/85/751520785.db2.gz LEFURCUXYYDUIZ-NSHDSACASA-N 1 2 317.345 1.150 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@H]1CCc2[nH+]c(C)[nH]c2C1 ZINC001038429796 740372207 /nfs/dbraw/zinc/37/22/07/740372207.db2.gz JHBROHKGNQBDSR-STQMWFEESA-N 1 2 322.840 1.766 20 30 DDEDLO C=C(Cl)CN1CC[C@H]1CNC(=O)[C@H]1CCc2[nH]c(C)[nH+]c2C1 ZINC001038429796 740372212 /nfs/dbraw/zinc/37/22/12/740372212.db2.gz JHBROHKGNQBDSR-STQMWFEESA-N 1 2 322.840 1.766 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc(C#N)s1 ZINC001029244790 740486896 /nfs/dbraw/zinc/48/68/96/740486896.db2.gz LRZLQKQSCJNWCM-RWMBFGLXSA-N 1 2 314.414 1.955 20 30 DDEDLO C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(C#N)s1 ZINC001029244790 740486897 /nfs/dbraw/zinc/48/68/97/740486897.db2.gz LRZLQKQSCJNWCM-RWMBFGLXSA-N 1 2 314.414 1.955 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H](CNC(=O)c2ocnc2C(F)F)C1 ZINC001035510715 751568503 /nfs/dbraw/zinc/56/85/03/751568503.db2.gz MOUUBUQDBUMQQL-SNVBAGLBSA-N 1 2 313.304 1.066 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H](CNC(=O)c2ocnc2C(F)F)C1 ZINC001035510715 751568506 /nfs/dbraw/zinc/56/85/06/751568506.db2.gz MOUUBUQDBUMQQL-SNVBAGLBSA-N 1 2 313.304 1.066 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)c2cc(F)c(F)c(F)c2)C1 ZINC001035516212 751572303 /nfs/dbraw/zinc/57/23/03/751572303.db2.gz AZQSKBUGJJWIRP-LLVKDONJSA-N 1 2 314.307 1.721 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)c2cc(F)c(F)c(F)c2)C1 ZINC001035516212 751572305 /nfs/dbraw/zinc/57/23/05/751572305.db2.gz AZQSKBUGJJWIRP-LLVKDONJSA-N 1 2 314.307 1.721 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H](n2cc(C[NH2+]CCF)nn2)C1 ZINC001098706114 740769707 /nfs/dbraw/zinc/76/97/07/740769707.db2.gz RSHQNDWBAGZGMX-DZGCQCFKSA-N 1 2 323.416 1.713 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCS2(=O)=O)[C@H]1CC ZINC001087835390 740776190 /nfs/dbraw/zinc/77/61/90/740776190.db2.gz YCDZIJPXKVAZOM-MJBXVCDLSA-N 1 2 314.451 1.109 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCS2(=O)=O)[C@H]1CC ZINC001087835390 740776192 /nfs/dbraw/zinc/77/61/92/740776192.db2.gz YCDZIJPXKVAZOM-MJBXVCDLSA-N 1 2 314.451 1.109 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@H+]3[C@@H](C)C(=O)N(C)C)C1 ZINC001029464741 740866934 /nfs/dbraw/zinc/86/69/34/740866934.db2.gz FPWPPDIQNXORPE-SOUVJXGZSA-N 1 2 319.449 1.495 20 30 DDEDLO C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)[N@@H+]3[C@@H](C)C(=O)N(C)C)C1 ZINC001029464741 740866937 /nfs/dbraw/zinc/86/69/37/740866937.db2.gz FPWPPDIQNXORPE-SOUVJXGZSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2ccccc2F)C1 ZINC001035543219 751590906 /nfs/dbraw/zinc/59/09/06/751590906.db2.gz SSVWZQRVHQDVQH-VNQPRFMTSA-N 1 2 318.392 1.932 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2ccccc2F)C1 ZINC001035543219 751590910 /nfs/dbraw/zinc/59/09/10/751590910.db2.gz SSVWZQRVHQDVQH-VNQPRFMTSA-N 1 2 318.392 1.932 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@H](CNC(=O)c2sc(C)cc2OC)C1 ZINC001035531220 751612603 /nfs/dbraw/zinc/61/26/03/751612603.db2.gz RHUZKNMNSGZKDT-LBPRGKRZSA-N 1 2 310.419 1.682 20 30 DDEDLO C=CC[N@H+]1CCO[C@@H](CNC(=O)c2sc(C)cc2OC)C1 ZINC001035531220 751612606 /nfs/dbraw/zinc/61/26/06/751612606.db2.gz RHUZKNMNSGZKDT-LBPRGKRZSA-N 1 2 310.419 1.682 20 30 DDEDLO C=CCCC(=O)NCC[C@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC001059560578 741299667 /nfs/dbraw/zinc/29/96/67/741299667.db2.gz NIXXAVATGDTHJP-AWEZNQCLSA-N 1 2 318.421 1.663 20 30 DDEDLO C[N@@H+](Cc1csnn1)CC1CCN(C(=O)C#CC2CC2)CC1 ZINC001029965115 741648120 /nfs/dbraw/zinc/64/81/20/741648120.db2.gz NMBHULUCWZYFLC-UHFFFAOYSA-N 1 2 318.446 1.622 20 30 DDEDLO C[N@H+](Cc1csnn1)CC1CCN(C(=O)C#CC2CC2)CC1 ZINC001029965115 741648125 /nfs/dbraw/zinc/64/81/25/741648125.db2.gz NMBHULUCWZYFLC-UHFFFAOYSA-N 1 2 318.446 1.622 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)noc2CC)C1 ZINC001035599893 751658606 /nfs/dbraw/zinc/65/86/06/751658606.db2.gz NEYFXVXIVBCQHC-ZDUSSCGKSA-N 1 2 307.394 1.552 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H](CNC(=O)c2c(C)noc2CC)C1 ZINC001035599893 751658609 /nfs/dbraw/zinc/65/86/09/751658609.db2.gz NEYFXVXIVBCQHC-ZDUSSCGKSA-N 1 2 307.394 1.552 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N2CC=CC2)cc1 ZINC001032623878 751696765 /nfs/dbraw/zinc/69/67/65/751696765.db2.gz RLDWHMKXUFUMJA-ROUUACIJSA-N 1 2 307.397 1.595 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(N2CC=CC2)cc1 ZINC001032623878 751696769 /nfs/dbraw/zinc/69/67/69/751696769.db2.gz RLDWHMKXUFUMJA-ROUUACIJSA-N 1 2 307.397 1.595 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C)c1C(F)(F)F ZINC001032624971 751702867 /nfs/dbraw/zinc/70/28/67/751702867.db2.gz AZWQGNOALQPKPB-UWVGGRQHSA-N 1 2 312.295 1.269 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc(C)c1C(F)(F)F ZINC001032624971 751702875 /nfs/dbraw/zinc/70/28/75/751702875.db2.gz AZWQGNOALQPKPB-UWVGGRQHSA-N 1 2 312.295 1.269 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)c3ccnnc3C)[C@@H]2C1 ZINC001075993372 742333724 /nfs/dbraw/zinc/33/37/24/742333724.db2.gz QZMURESUCWOVQG-GXTWGEPZSA-N 1 2 306.797 1.684 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)c3ccnnc3C)[C@@H]2C1 ZINC001075993372 742333727 /nfs/dbraw/zinc/33/37/27/742333727.db2.gz QZMURESUCWOVQG-GXTWGEPZSA-N 1 2 306.797 1.684 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)[C@H]1C ZINC001088772515 742342780 /nfs/dbraw/zinc/34/27/80/742342780.db2.gz BYOBEOGRFHLYPO-AGIUHOORSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)[C@H]1C ZINC001088772515 742342784 /nfs/dbraw/zinc/34/27/84/742342784.db2.gz BYOBEOGRFHLYPO-AGIUHOORSA-N 1 2 313.829 1.234 20 30 DDEDLO C#CCCCC(=O)NCC[C@H](C)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001076296476 742650684 /nfs/dbraw/zinc/65/06/84/742650684.db2.gz GCAFNSVQYFGPRZ-GJZGRUSLSA-N 1 2 318.421 1.649 20 30 DDEDLO C[C@H](CC(=O)NCC[C@H](C)NC(=O)C#CC1CC1)n1cc[nH+]c1 ZINC001076546072 742783166 /nfs/dbraw/zinc/78/31/66/742783166.db2.gz QWLBPEBVKRDCBN-UONOGXRCSA-N 1 2 316.405 1.259 20 30 DDEDLO C[C@@H](CCNC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)NC(=O)C#CC1CC1 ZINC001076712236 742933403 /nfs/dbraw/zinc/93/34/03/742933403.db2.gz VXORNKJVWSCYGR-STQMWFEESA-N 1 2 316.405 1.013 20 30 DDEDLO C[C@@H](CCNC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)NC(=O)C#CC1CC1 ZINC001076712236 742933408 /nfs/dbraw/zinc/93/34/08/742933408.db2.gz VXORNKJVWSCYGR-STQMWFEESA-N 1 2 316.405 1.013 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001181123707 743073994 /nfs/dbraw/zinc/07/39/94/743073994.db2.gz IBFZHQAEFAYTHJ-GHMZBOCLSA-N 1 2 323.422 1.140 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc3c2CCCCC3)C1 ZINC001077186195 743302017 /nfs/dbraw/zinc/30/20/17/743302017.db2.gz LMIWBEXKXRFTLC-QZTJIDSGSA-N 1 2 312.413 1.364 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc3c2CCCCC3)C1 ZINC001077186195 743302024 /nfs/dbraw/zinc/30/20/24/743302024.db2.gz LMIWBEXKXRFTLC-QZTJIDSGSA-N 1 2 312.413 1.364 20 30 DDEDLO C=CCC[N@@H+]1CCn2ncc(CNC(=O)CCCOCC)c2C1 ZINC001128228338 743334850 /nfs/dbraw/zinc/33/48/50/743334850.db2.gz FCEQFWROJXOVNU-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C=CCC[N@H+]1CCn2ncc(CNC(=O)CCCOCC)c2C1 ZINC001128228338 743334855 /nfs/dbraw/zinc/33/48/55/743334855.db2.gz FCEQFWROJXOVNU-UHFFFAOYSA-N 1 2 320.437 1.708 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]c3ccccc3c2Cl)C1 ZINC001077299194 743387974 /nfs/dbraw/zinc/38/79/74/743387974.db2.gz UFORCEJCRIZEPJ-CHWSQXEVSA-N 1 2 317.776 1.229 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]c3ccccc3c2Cl)C1 ZINC001077299194 743387980 /nfs/dbraw/zinc/38/79/80/743387980.db2.gz UFORCEJCRIZEPJ-CHWSQXEVSA-N 1 2 317.776 1.229 20 30 DDEDLO COc1ccc(C#CC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC001182049448 743460839 /nfs/dbraw/zinc/46/08/39/743460839.db2.gz GUYZHRCETLSEBM-MRXNPFEDSA-N 1 2 321.380 1.837 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)C[C@@H](C)OC)CC2)C1 ZINC001105698546 743562918 /nfs/dbraw/zinc/56/29/18/743562918.db2.gz MMDCUKKKQVXLBK-CQSZACIVSA-N 1 2 310.438 1.679 20 30 DDEDLO CO[C@@H](C)C[N@@H+]1CCn2ncc(CNC(=O)C#CC(C)C)c2C1 ZINC001128347005 743636369 /nfs/dbraw/zinc/63/63/69/743636369.db2.gz HYRUSWSORJFAQS-AWEZNQCLSA-N 1 2 318.421 1.009 20 30 DDEDLO CO[C@@H](C)C[N@H+]1CCn2ncc(CNC(=O)C#CC(C)C)c2C1 ZINC001128347005 743636373 /nfs/dbraw/zinc/63/63/73/743636373.db2.gz HYRUSWSORJFAQS-AWEZNQCLSA-N 1 2 318.421 1.009 20 30 DDEDLO C#CC(C)(C)C(=O)N1Cc2c[nH+]cn2C[C@@H](COCC2CC2)C1 ZINC001182635200 743677582 /nfs/dbraw/zinc/67/75/82/743677582.db2.gz FIJCVROOVOVOPX-HNNXBMFYSA-N 1 2 315.417 1.928 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCC(OC2C[NH+](CC(=C)C)C2)CC1 ZINC001105735858 743769542 /nfs/dbraw/zinc/76/95/42/743769542.db2.gz BWJZXLDXWZIQMD-HNNXBMFYSA-N 1 2 322.449 1.845 20 30 DDEDLO Cc1cc(NC[C@H](O)CNC(=O)C#CC(C)C)nc(C2CC2)[nH+]1 ZINC001105811490 743927009 /nfs/dbraw/zinc/92/70/09/743927009.db2.gz CALKMXDFNJMKFJ-AWEZNQCLSA-N 1 2 316.405 1.211 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CCCN(C(=O)C3CC3)C2)C1 ZINC001030360224 744077631 /nfs/dbraw/zinc/07/76/31/744077631.db2.gz JZFMBPDDMCTMIM-AWEZNQCLSA-N 1 2 305.422 1.012 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(CC)ncnc1CC ZINC001032634492 751886820 /nfs/dbraw/zinc/88/68/20/751886820.db2.gz OMIDRVGRSZYWEW-KBPBESRZSA-N 1 2 312.417 1.523 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(CC)ncnc1CC ZINC001032634492 751886830 /nfs/dbraw/zinc/88/68/30/751886830.db2.gz OMIDRVGRSZYWEW-KBPBESRZSA-N 1 2 312.417 1.523 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(C3CC3)no2)C1 ZINC001185020561 744138944 /nfs/dbraw/zinc/13/89/44/744138944.db2.gz WMYQHBNYTOTLLO-CQSZACIVSA-N 1 2 316.405 1.831 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(C)n(C)n1 ZINC001110377637 744367083 /nfs/dbraw/zinc/36/70/83/744367083.db2.gz QCOFVPACIWNEEE-OAGGEKHMSA-N 1 2 302.422 1.916 20 30 DDEDLO C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(C)n(C)n1 ZINC001110377637 744367086 /nfs/dbraw/zinc/36/70/86/744367086.db2.gz QCOFVPACIWNEEE-OAGGEKHMSA-N 1 2 302.422 1.916 20 30 DDEDLO CC1(C(=O)NC[C@@H]2CCC(C)(C)C[N@@H+]2CC(=O)NCC#N)CC1 ZINC001099085780 744457649 /nfs/dbraw/zinc/45/76/49/744457649.db2.gz SYYTZCYPPBSPSF-ZDUSSCGKSA-N 1 2 320.437 1.033 20 30 DDEDLO CC1(C(=O)NC[C@@H]2CCC(C)(C)C[N@H+]2CC(=O)NCC#N)CC1 ZINC001099085780 744457652 /nfs/dbraw/zinc/45/76/52/744457652.db2.gz SYYTZCYPPBSPSF-ZDUSSCGKSA-N 1 2 320.437 1.033 20 30 DDEDLO N#CCSCC(=O)N1CC[C@H]2C[N@H+](Cc3nccs3)C[C@H]21 ZINC001186917537 744473264 /nfs/dbraw/zinc/47/32/64/744473264.db2.gz TYSBUOFAFQXMSP-NWDGAFQWSA-N 1 2 322.459 1.433 20 30 DDEDLO N#CCSCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccs3)C[C@H]21 ZINC001186917537 744473266 /nfs/dbraw/zinc/47/32/66/744473266.db2.gz TYSBUOFAFQXMSP-NWDGAFQWSA-N 1 2 322.459 1.433 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)[C@H]2CCCN2c2nccs2)C1 ZINC001030739513 744552416 /nfs/dbraw/zinc/55/24/16/744552416.db2.gz HRRSQTUPIXMVBJ-CYBMUJFWSA-N 1 2 306.435 1.488 20 30 DDEDLO N#CCCCCNc1cc(N2CCC(C(N)=O)CC2)nc[nH+]1 ZINC001168427413 744566772 /nfs/dbraw/zinc/56/67/72/744566772.db2.gz NCFGKJJWFRNIEU-UHFFFAOYSA-N 1 2 302.382 1.284 20 30 DDEDLO N#CCCCCNc1cc(N2CCC(C(N)=O)CC2)[nH+]cn1 ZINC001168427413 744566773 /nfs/dbraw/zinc/56/67/73/744566773.db2.gz NCFGKJJWFRNIEU-UHFFFAOYSA-N 1 2 302.382 1.284 20 30 DDEDLO N#CCN1CC[C@@H]([NH+]2CCN(C(=O)CCC3CCCC3)CC2)C1 ZINC001045999398 744574924 /nfs/dbraw/zinc/57/49/24/744574924.db2.gz PLDARDDVUPCMDT-QGZVFWFLSA-N 1 2 318.465 1.699 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3nccn3CC)C[C@H]21 ZINC001187729779 744597902 /nfs/dbraw/zinc/59/79/02/744597902.db2.gz WQDAXRRFNXNUBB-JKSUJKDBSA-N 1 2 314.433 1.739 20 30 DDEDLO C#CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3nccn3CC)C[C@H]21 ZINC001187729779 744597904 /nfs/dbraw/zinc/59/79/04/744597904.db2.gz WQDAXRRFNXNUBB-JKSUJKDBSA-N 1 2 314.433 1.739 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)Cc2cn3c(C)csc3n2)C1 ZINC001030782642 744619689 /nfs/dbraw/zinc/61/96/89/744619689.db2.gz ZRRPUJWZKKAOET-UHFFFAOYSA-N 1 2 304.419 1.623 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001188999546 744807082 /nfs/dbraw/zinc/80/70/82/744807082.db2.gz RQZKOEZMYBJJNX-LSDHHAIUSA-N 1 2 300.406 1.866 20 30 DDEDLO C=CCCCC(=O)N1CC[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001188999546 744807084 /nfs/dbraw/zinc/80/70/84/744807084.db2.gz RQZKOEZMYBJJNX-LSDHHAIUSA-N 1 2 300.406 1.866 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N[C@@H]1C[N@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001083704361 744929927 /nfs/dbraw/zinc/92/99/27/744929927.db2.gz IZHGHQOUPSMOGC-CEFQPYBMSA-N 1 2 317.364 1.015 20 30 DDEDLO C[C@H]1C[C@@H]1C(=O)N[C@@H]1C[N@@H+](Cc2ccc(C#N)c(F)c2)C[C@@H]1O ZINC001083704361 744929928 /nfs/dbraw/zinc/92/99/28/744929928.db2.gz IZHGHQOUPSMOGC-CEFQPYBMSA-N 1 2 317.364 1.015 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2csc(C)n2)C1 ZINC001190107051 745103271 /nfs/dbraw/zinc/10/32/71/745103271.db2.gz ZPIBMWQKPSJDNW-WFASDCNBSA-N 1 2 321.446 1.523 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2csc(C)n2)C1 ZINC001190107051 745103272 /nfs/dbraw/zinc/10/32/72/745103272.db2.gz ZPIBMWQKPSJDNW-WFASDCNBSA-N 1 2 321.446 1.523 20 30 DDEDLO Cc1cnc(C[N@@H+](C)[C@H]2CCN(C(=O)C#CC(C)(C)C)C2)nc1 ZINC001190077218 745105689 /nfs/dbraw/zinc/10/56/89/745105689.db2.gz ZPUZRKXOOWTDHL-HNNXBMFYSA-N 1 2 314.433 1.867 20 30 DDEDLO Cc1cnc(C[N@H+](C)[C@H]2CCN(C(=O)C#CC(C)(C)C)C2)nc1 ZINC001190077218 745105691 /nfs/dbraw/zinc/10/56/91/745105691.db2.gz ZPUZRKXOOWTDHL-HNNXBMFYSA-N 1 2 314.433 1.867 20 30 DDEDLO CC#CC[N@H+](C)[C@H]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001190178176 745141132 /nfs/dbraw/zinc/14/11/32/745141132.db2.gz UWPXVXOOOHEDRY-KBPBESRZSA-N 1 2 300.406 1.386 20 30 DDEDLO CC#CC[N@@H+](C)[C@H]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001190178176 745141137 /nfs/dbraw/zinc/14/11/37/745141137.db2.gz UWPXVXOOOHEDRY-KBPBESRZSA-N 1 2 300.406 1.386 20 30 DDEDLO Cn1cc[nH+]c1CCC(=O)N1C[C@@H]2CCC[C@H](NCC#N)[C@@H]2C1 ZINC000992752138 745176271 /nfs/dbraw/zinc/17/62/71/745176271.db2.gz GZPYHBNOYJMOIR-ZNMIVQPWSA-N 1 2 315.421 1.093 20 30 DDEDLO C=CCC1(S(=O)(=O)N2CC[NH+](CCCCOC)CC2)CC1 ZINC001190804091 745337933 /nfs/dbraw/zinc/33/79/33/745337933.db2.gz AIYCNVLLVMUFHQ-UHFFFAOYSA-N 1 2 316.467 1.469 20 30 DDEDLO N#Cc1ccc(Cl)c(S(=O)(=O)N2CC[N@@H+]3CC[C@@H]3C2)c1 ZINC001190962752 745388712 /nfs/dbraw/zinc/38/87/12/745388712.db2.gz PCALSBYFCWKJLZ-LLVKDONJSA-N 1 2 311.794 1.290 20 30 DDEDLO N#Cc1ccc(Cl)c(S(=O)(=O)N2CC[N@H+]3CC[C@@H]3C2)c1 ZINC001190962752 745388717 /nfs/dbraw/zinc/38/87/17/745388717.db2.gz PCALSBYFCWKJLZ-LLVKDONJSA-N 1 2 311.794 1.290 20 30 DDEDLO Cc1nn[nH]c1C(=O)N1CC[C@@H]([N@H+](C)CC#Cc2ccccc2)C1 ZINC001191667428 745578123 /nfs/dbraw/zinc/57/81/23/745578123.db2.gz VOXCPBMFFLLLAZ-MRXNPFEDSA-N 1 2 323.400 1.311 20 30 DDEDLO Cc1nn[nH]c1C(=O)N1CC[C@@H]([N@@H+](C)CC#Cc2ccccc2)C1 ZINC001191667428 745578125 /nfs/dbraw/zinc/57/81/25/745578125.db2.gz VOXCPBMFFLLLAZ-MRXNPFEDSA-N 1 2 323.400 1.311 20 30 DDEDLO COCCn1c[nH+]cc1CNC(=O)c1c(F)cc(C#N)cc1F ZINC001191943302 745652822 /nfs/dbraw/zinc/65/28/22/745652822.db2.gz GMSKWKYYQJEHEL-UHFFFAOYSA-N 1 2 320.299 1.609 20 30 DDEDLO O=C(/C=C/C1CC1)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192087537 745685131 /nfs/dbraw/zinc/68/51/31/745685131.db2.gz JTNHPEHLAJWBSN-NDZBKKTDSA-N 1 2 310.397 1.166 20 30 DDEDLO O=C(/C=C/C1CC1)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@H]1O ZINC001192087537 745685134 /nfs/dbraw/zinc/68/51/34/745685134.db2.gz JTNHPEHLAJWBSN-NDZBKKTDSA-N 1 2 310.397 1.166 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H]1O ZINC001192722472 745880400 /nfs/dbraw/zinc/88/04/00/745880400.db2.gz NZPVMNMBSSXWAE-HZPDHXFCSA-N 1 2 304.365 1.146 20 30 DDEDLO CC(C)C#CC(=O)N[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H]1O ZINC001192722472 745880404 /nfs/dbraw/zinc/88/04/04/745880404.db2.gz NZPVMNMBSSXWAE-HZPDHXFCSA-N 1 2 304.365 1.146 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)C(C)(C)CC)[C@@H](n2ccnn2)C1 ZINC001129214344 752048989 /nfs/dbraw/zinc/04/89/89/752048989.db2.gz BJZNWYUTSQVAGO-KGLIPLIRSA-N 1 2 303.410 1.079 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)CC)[C@@H](n2ccnn2)C1 ZINC001129214344 752048991 /nfs/dbraw/zinc/04/89/91/752048991.db2.gz BJZNWYUTSQVAGO-KGLIPLIRSA-N 1 2 303.410 1.079 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)OCCCCCC)C1 ZINC001192917175 745927246 /nfs/dbraw/zinc/92/72/46/745927246.db2.gz ZZXFPORVGVJGGK-BZUAXINKSA-N 1 2 310.438 1.156 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)OCCCCCC)C1 ZINC001192917175 745927251 /nfs/dbraw/zinc/92/72/51/745927251.db2.gz ZZXFPORVGVJGGK-BZUAXINKSA-N 1 2 310.438 1.156 20 30 DDEDLO CCN(CCNc1nc(N(C)C)nc(C)[nH+]1)C(=O)C#CC(C)C ZINC001106747907 746023864 /nfs/dbraw/zinc/02/38/64/746023864.db2.gz YWAZFQQTTAUAKA-UHFFFAOYSA-N 1 2 318.425 1.166 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3CCCN(CC#N)[C@H]3C)ccn12 ZINC000993357013 746025572 /nfs/dbraw/zinc/02/55/72/746025572.db2.gz XSDOGAFJSHRHIZ-ZFWWWQNUSA-N 1 2 311.389 1.749 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)Cc2cnn(C)c2C)[C@H]1C ZINC000993397733 746071074 /nfs/dbraw/zinc/07/10/74/746071074.db2.gz KLLPPKOEYVWSPE-UKRRQHHQSA-N 1 2 324.856 1.993 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)Cc2cnn(C)c2C)[C@H]1C ZINC000993397733 746071077 /nfs/dbraw/zinc/07/10/77/746071077.db2.gz KLLPPKOEYVWSPE-UKRRQHHQSA-N 1 2 324.856 1.993 20 30 DDEDLO C#CCC[NH+]1CC(NC(=O)c2cccnc2OCC(C)C)C1 ZINC001031274674 746116747 /nfs/dbraw/zinc/11/67/47/746116747.db2.gz WKZJOHSZPCZHNS-UHFFFAOYSA-N 1 2 301.390 1.554 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@H](NC(=O)c2cc3n(n2)CCO3)[C@@H]1C ZINC000993427650 746127306 /nfs/dbraw/zinc/12/73/06/746127306.db2.gz SKSRCTNDNTXDEU-NWDGAFQWSA-N 1 2 324.812 1.611 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)c2cc3n(n2)CCO3)[C@@H]1C ZINC000993427650 746127311 /nfs/dbraw/zinc/12/73/11/746127311.db2.gz SKSRCTNDNTXDEU-NWDGAFQWSA-N 1 2 324.812 1.611 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@H+](C)Cc2cc(C)on2)C1 ZINC001194397480 746367041 /nfs/dbraw/zinc/36/70/41/746367041.db2.gz WGVMOWPSPUXTST-HIFRSBDPSA-N 1 2 307.394 1.607 20 30 DDEDLO C=CCO[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cc(C)on2)C1 ZINC001194397480 746367046 /nfs/dbraw/zinc/36/70/46/746367046.db2.gz WGVMOWPSPUXTST-HIFRSBDPSA-N 1 2 307.394 1.607 20 30 DDEDLO N#CCNC(=O)C[N@@H+]1CCCN(C(=O)C=C2CCCCC2)CC1 ZINC001195053212 746516885 /nfs/dbraw/zinc/51/68/85/746516885.db2.gz GAJBCLLAVAHCPZ-UHFFFAOYSA-N 1 2 318.421 1.051 20 30 DDEDLO N#CCNC(=O)C[N@H+]1CCCN(C(=O)C=C2CCCCC2)CC1 ZINC001195053212 746516888 /nfs/dbraw/zinc/51/68/88/746516888.db2.gz GAJBCLLAVAHCPZ-UHFFFAOYSA-N 1 2 318.421 1.051 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)[C@@H](O)C1 ZINC001083748774 746548283 /nfs/dbraw/zinc/54/82/83/746548283.db2.gz RHUCMLOBCNBOTC-DFBGVHRSSA-N 1 2 306.793 1.238 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)[C@@H](O)C1 ZINC001083748774 746548285 /nfs/dbraw/zinc/54/82/85/746548285.db2.gz RHUCMLOBCNBOTC-DFBGVHRSSA-N 1 2 306.793 1.238 20 30 DDEDLO COC[C@H](C)C(=O)N1CCC[N@H+](Cc2ccc(C#N)s2)CC1 ZINC001195240424 746563944 /nfs/dbraw/zinc/56/39/44/746563944.db2.gz LUFZDQWCWAMQQN-ZDUSSCGKSA-N 1 2 321.446 1.937 20 30 DDEDLO COC[C@H](C)C(=O)N1CCC[N@@H+](Cc2ccc(C#N)s2)CC1 ZINC001195240424 746563948 /nfs/dbraw/zinc/56/39/48/746563948.db2.gz LUFZDQWCWAMQQN-ZDUSSCGKSA-N 1 2 321.446 1.937 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@H+](Cc2cccc(OC)n2)C[C@H]1O ZINC001195732582 746679548 /nfs/dbraw/zinc/67/95/48/746679548.db2.gz BYWMSXLWNOXGBO-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1C[N@@H+](Cc2cccc(OC)n2)C[C@H]1O ZINC001195732582 746679551 /nfs/dbraw/zinc/67/95/51/746679551.db2.gz BYWMSXLWNOXGBO-HUUCEWRRSA-N 1 2 319.405 1.108 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@@H+]([C@H](C)C(=O)NC(C)(C)C)CC1 ZINC001195754231 746686502 /nfs/dbraw/zinc/68/65/02/746686502.db2.gz JVEIABUQZIHVJE-OAHLLOKOSA-N 1 2 321.465 1.483 20 30 DDEDLO CC(C)C#CC(=O)N1CCC[N@H+]([C@H](C)C(=O)NC(C)(C)C)CC1 ZINC001195754231 746686505 /nfs/dbraw/zinc/68/65/05/746686505.db2.gz JVEIABUQZIHVJE-OAHLLOKOSA-N 1 2 321.465 1.483 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](C)CCCNc1cc[nH+]c(C)n1 ZINC001114999150 746726072 /nfs/dbraw/zinc/72/60/72/746726072.db2.gz CXYIJVWWWRTRIW-OLZOCXBDSA-N 1 2 304.394 1.520 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(C3CC3)CC2)CC1 ZINC001196231574 746814768 /nfs/dbraw/zinc/81/47/68/746814768.db2.gz JUXJMZRMDJRJLQ-UHFFFAOYSA-N 1 2 305.422 1.013 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2(C3CC3)CC2)CC1 ZINC001196231574 746814771 /nfs/dbraw/zinc/81/47/71/746814771.db2.gz JUXJMZRMDJRJLQ-UHFFFAOYSA-N 1 2 305.422 1.013 20 30 DDEDLO C=C(C)C[NH+]1CC(NC(=O)c2ccc(N(C)C(C)=O)cc2)C1 ZINC001031457913 746847174 /nfs/dbraw/zinc/84/71/74/746847174.db2.gz FNRYSNBRVCCBOS-UHFFFAOYSA-N 1 2 301.390 1.659 20 30 DDEDLO CC(C)c1noc([C@@H](C)[NH2+]CCNC(=O)CSCC#N)n1 ZINC001123798816 746874736 /nfs/dbraw/zinc/87/47/36/746874736.db2.gz ACNPSNSAJNNBFT-SNVBAGLBSA-N 1 2 311.411 1.217 20 30 DDEDLO CN(c1ccncc1C#N)[C@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001061227737 746917767 /nfs/dbraw/zinc/91/77/67/746917767.db2.gz NBVXJYRWPKQNGK-AWEZNQCLSA-N 1 2 324.388 1.346 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@H+](CCCS(C)(=O)=O)CC1 ZINC001196732139 746952656 /nfs/dbraw/zinc/95/26/56/746952656.db2.gz MZSNIURYHILBCA-UHFFFAOYSA-N 1 2 316.467 1.168 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[N@@H+](CCCS(C)(=O)=O)CC1 ZINC001196732139 746952659 /nfs/dbraw/zinc/95/26/59/746952659.db2.gz MZSNIURYHILBCA-UHFFFAOYSA-N 1 2 316.467 1.168 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[N@@H+](Cc2cnnn2CC)CC1 ZINC001196881558 746989004 /nfs/dbraw/zinc/98/90/04/746989004.db2.gz TUUCENQKEIBGLF-HNNXBMFYSA-N 1 2 319.453 1.935 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[N@H+](Cc2cnnn2CC)CC1 ZINC001196881558 746989011 /nfs/dbraw/zinc/98/90/11/746989011.db2.gz TUUCENQKEIBGLF-HNNXBMFYSA-N 1 2 319.453 1.935 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@H+](CC(=O)NCC2CC2)CC1 ZINC001196854316 746991774 /nfs/dbraw/zinc/99/17/74/746991774.db2.gz PWQKPBBWBPYHKW-LSDHHAIUSA-N 1 2 321.465 1.505 20 30 DDEDLO C=C[C@H](C)[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)NCC2CC2)CC1 ZINC001196854316 746991777 /nfs/dbraw/zinc/99/17/77/746991777.db2.gz PWQKPBBWBPYHKW-LSDHHAIUSA-N 1 2 321.465 1.505 20 30 DDEDLO COCC#CC[N@@H+]1CCCN(C(=O)c2ccoc2C2CC2)CC1 ZINC001196935342 747001249 /nfs/dbraw/zinc/00/12/49/747001249.db2.gz QFNHTJJUNHJLCR-UHFFFAOYSA-N 1 2 316.401 1.955 20 30 DDEDLO COCC#CC[N@H+]1CCCN(C(=O)c2ccoc2C2CC2)CC1 ZINC001196935342 747001254 /nfs/dbraw/zinc/00/12/54/747001254.db2.gz QFNHTJJUNHJLCR-UHFFFAOYSA-N 1 2 316.401 1.955 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@H+](CC(=O)NC2CCCC2)CC1 ZINC001196984851 747024686 /nfs/dbraw/zinc/02/46/86/747024686.db2.gz SQXJVGOEEDCSBV-UHFFFAOYSA-N 1 2 321.465 1.936 20 30 DDEDLO C=CCCCC(=O)N1CCC[N@@H+](CC(=O)NC2CCCC2)CC1 ZINC001196984851 747024691 /nfs/dbraw/zinc/02/46/91/747024691.db2.gz SQXJVGOEEDCSBV-UHFFFAOYSA-N 1 2 321.465 1.936 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(C)CC=CC2)CC1 ZINC001197029509 747043093 /nfs/dbraw/zinc/04/30/93/747043093.db2.gz TVBVABNUKWQGNX-OAHLLOKOSA-N 1 2 319.449 1.568 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(C)CC=CC2)CC1 ZINC001197029509 747043097 /nfs/dbraw/zinc/04/30/97/747043097.db2.gz TVBVABNUKWQGNX-OAHLLOKOSA-N 1 2 319.449 1.568 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C2(C)CC=CC2)CC1 ZINC001197029200 747043582 /nfs/dbraw/zinc/04/35/82/747043582.db2.gz OZRFGGVPEFOLDQ-UHFFFAOYSA-N 1 2 305.422 1.179 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C2(C)CC=CC2)CC1 ZINC001197029200 747043585 /nfs/dbraw/zinc/04/35/85/747043585.db2.gz OZRFGGVPEFOLDQ-UHFFFAOYSA-N 1 2 305.422 1.179 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001197101766 747067848 /nfs/dbraw/zinc/06/78/48/747067848.db2.gz KRLXRQCADPXLGJ-OAHLLOKOSA-N 1 2 317.433 1.015 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001197101766 747067855 /nfs/dbraw/zinc/06/78/55/747067855.db2.gz KRLXRQCADPXLGJ-OAHLLOKOSA-N 1 2 317.433 1.015 20 30 DDEDLO CC#CC[NH+]1CCC(NC(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)CC1 ZINC001003338095 747138298 /nfs/dbraw/zinc/13/82/98/747138298.db2.gz AIEBAEKXGFEKGD-GUYCJALGSA-N 1 2 314.433 1.680 20 30 DDEDLO C#Cc1ccc(C(=O)NC2CC[NH+](Cc3nccn3C)CC2)cc1 ZINC001003411292 747195074 /nfs/dbraw/zinc/19/50/74/747195074.db2.gz NKOXIAIVQOGXBO-UHFFFAOYSA-N 1 2 322.412 1.796 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCCN(C(=O)C[C@@H](C)CC(C)C)CC1 ZINC001197787204 747263987 /nfs/dbraw/zinc/26/39/87/747263987.db2.gz PTDXQWORPTXMBS-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCCN(C(=O)C[C@@H](C)CC(C)C)CC1 ZINC001197787204 747263991 /nfs/dbraw/zinc/26/39/91/747263991.db2.gz PTDXQWORPTXMBS-INIZCTEOSA-N 1 2 323.481 1.895 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001197799604 747268094 /nfs/dbraw/zinc/26/80/94/747268094.db2.gz NVASWXHTVAUHFI-ARFHVFGLSA-N 1 2 324.465 1.260 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001197799604 747268096 /nfs/dbraw/zinc/26/80/96/747268096.db2.gz NVASWXHTVAUHFI-ARFHVFGLSA-N 1 2 324.465 1.260 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC001007491354 752171195 /nfs/dbraw/zinc/17/11/95/752171195.db2.gz CUSSKKNAKVKDAM-GFCCVEGCSA-N 1 2 302.403 1.532 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cn3cc(C)nc3s2)C1 ZINC001007491354 752171199 /nfs/dbraw/zinc/17/11/99/752171199.db2.gz CUSSKKNAKVKDAM-GFCCVEGCSA-N 1 2 302.403 1.532 20 30 DDEDLO C#CCOc1ncccc1C(=O)N(C)C1C[NH+](CCCF)C1 ZINC001044202211 747329346 /nfs/dbraw/zinc/32/93/46/747329346.db2.gz PQDYPJYJUVCHMN-UHFFFAOYSA-N 1 2 305.353 1.209 20 30 DDEDLO COc1ccccc1[C@H](CNC(=O)C#CC1CC1)[NH2+]CCF ZINC001198723387 747580001 /nfs/dbraw/zinc/58/00/01/747580001.db2.gz FUTPMRCFRADDMD-HNNXBMFYSA-N 1 2 304.365 1.825 20 30 DDEDLO C[C@H](C#N)C(=O)N1CCC[C@@H]([NH2+]Cc2nc(CC3CC3)no2)C1 ZINC000998752683 752211952 /nfs/dbraw/zinc/21/19/52/752211952.db2.gz JTRZNSMZVQWRNU-DGCLKSJQSA-N 1 2 317.393 1.262 20 30 DDEDLO C=CC[N@H+]1C[C@@H](NC(=O)C[C@@H](C)n2ccnc2CC)[C@H](OC)C1 ZINC001212301470 747815072 /nfs/dbraw/zinc/81/50/72/747815072.db2.gz VJYCBBPHHAJTFL-RBSFLKMASA-N 1 2 320.437 1.398 20 30 DDEDLO C=CC[N@@H+]1C[C@@H](NC(=O)C[C@@H](C)n2ccnc2CC)[C@H](OC)C1 ZINC001212301470 747815076 /nfs/dbraw/zinc/81/50/76/747815076.db2.gz VJYCBBPHHAJTFL-RBSFLKMASA-N 1 2 320.437 1.398 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)Cc2cccc(Cl)c2)C1 ZINC001199532110 747888746 /nfs/dbraw/zinc/88/87/46/747888746.db2.gz WJNNOHTVBJYEAU-DAXOMENPSA-N 1 2 320.820 1.313 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)Cc2cccc(Cl)c2)C1 ZINC001199532110 747888755 /nfs/dbraw/zinc/88/87/55/747888755.db2.gz WJNNOHTVBJYEAU-DAXOMENPSA-N 1 2 320.820 1.313 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)C2CCC(C(N)=O)CC2)C1 ZINC001031803268 747893668 /nfs/dbraw/zinc/89/36/68/747893668.db2.gz ZCGLZFIWRKBNFO-UHFFFAOYSA-N 1 2 313.829 1.079 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)C2CCOCC2)C1 ZINC001108062685 747926327 /nfs/dbraw/zinc/92/63/27/747926327.db2.gz HQYNYYDIEXCENQ-SFHVURJKSA-N 1 2 324.465 1.832 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)C2CCOCC2)C1 ZINC001108062685 747926331 /nfs/dbraw/zinc/92/63/31/747926331.db2.gz HQYNYYDIEXCENQ-SFHVURJKSA-N 1 2 324.465 1.832 20 30 DDEDLO N#Cc1cnc(C(=O)N2CC[C@@H]([NH+]3CCCC3)C(F)(F)C2)cn1 ZINC001199666583 747943632 /nfs/dbraw/zinc/94/36/32/747943632.db2.gz YNXMJALUGOWEJB-CYBMUJFWSA-N 1 2 321.331 1.294 20 30 DDEDLO N#Cc1ccc(C(=O)Nc2ccnc(-c3cc(N)cc[nH+]3)c2)[nH]1 ZINC001199679743 747949624 /nfs/dbraw/zinc/94/96/24/747949624.db2.gz FYXMEZNYMLGJRT-UHFFFAOYSA-N 1 2 304.313 1.600 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)nc(C(C)C)[nH]c1=O ZINC001038592700 747997607 /nfs/dbraw/zinc/99/76/07/747997607.db2.gz LXYKJBCARISVAI-GFCCVEGCSA-N 1 2 302.378 1.051 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)nc(C(C)C)[nH]c1=O ZINC001038592700 747997612 /nfs/dbraw/zinc/99/76/12/747997612.db2.gz LXYKJBCARISVAI-GFCCVEGCSA-N 1 2 302.378 1.051 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)(C)C)on2)C1 ZINC001108066048 748110563 /nfs/dbraw/zinc/11/05/63/748110563.db2.gz GRUXIORFYZBARE-KRWDZBQOSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)c2cc(C(C)(C)C)on2)C1 ZINC001108066048 748110572 /nfs/dbraw/zinc/11/05/72/748110572.db2.gz GRUXIORFYZBARE-KRWDZBQOSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)(C)C)on2)C1 ZINC001108066049 748110761 /nfs/dbraw/zinc/11/07/61/748110761.db2.gz GRUXIORFYZBARE-QGZVFWFLSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2cc(C(C)(C)C)on2)C1 ZINC001108066049 748110764 /nfs/dbraw/zinc/11/07/64/748110764.db2.gz GRUXIORFYZBARE-QGZVFWFLSA-N 1 2 321.421 1.979 20 30 DDEDLO C=CCNC(=S)N1Cc2c[nH+]cn2C[C@@H](COCC2CC2)C1 ZINC001200286794 748189045 /nfs/dbraw/zinc/18/90/45/748189045.db2.gz QXDLHRNHOMFETM-AWEZNQCLSA-N 1 2 320.462 1.802 20 30 DDEDLO N#CC[N@H+]1CCC[C@H]1C1CCN(C(=O)C[C@@H]2CCCO2)CC1 ZINC001004356441 748352341 /nfs/dbraw/zinc/35/23/41/748352341.db2.gz IYTCMRCRNAFUFV-HOTGVXAUSA-N 1 2 305.422 1.782 20 30 DDEDLO N#CC[N@@H+]1CCC[C@H]1C1CCN(C(=O)C[C@@H]2CCCO2)CC1 ZINC001004356441 748352348 /nfs/dbraw/zinc/35/23/48/748352348.db2.gz IYTCMRCRNAFUFV-HOTGVXAUSA-N 1 2 305.422 1.782 20 30 DDEDLO Cc1nc(C(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)co1 ZINC001004410308 748409589 /nfs/dbraw/zinc/40/95/89/748409589.db2.gz YUCJYFJAAGGGMJ-HNNXBMFYSA-N 1 2 302.378 1.823 20 30 DDEDLO Cc1nc(C(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)co1 ZINC001004410308 748409592 /nfs/dbraw/zinc/40/95/92/748409592.db2.gz YUCJYFJAAGGGMJ-HNNXBMFYSA-N 1 2 302.378 1.823 20 30 DDEDLO C=CCCCC(=O)N1CCCO[C@@H](C[NH2+]Cc2nnc(C)o2)C1 ZINC001201284219 748453112 /nfs/dbraw/zinc/45/31/12/748453112.db2.gz QUUWXKGZKNADGZ-AWEZNQCLSA-N 1 2 322.409 1.441 20 30 DDEDLO C=CCOCC(=O)NCC[NH2+]Cc1nc(-c2cccs2)no1 ZINC001124767952 748476797 /nfs/dbraw/zinc/47/67/97/748476797.db2.gz PCZBEDLUDBDGJB-UHFFFAOYSA-N 1 2 322.390 1.207 20 30 DDEDLO Cc1ccn(CC(=O)N2CCC([C@@H]3CCC[N@@H+]3CC#N)CC2)n1 ZINC001004551774 748550766 /nfs/dbraw/zinc/55/07/66/748550766.db2.gz LBXITAOCIKBBNK-INIZCTEOSA-N 1 2 315.421 1.418 20 30 DDEDLO Cc1ccn(CC(=O)N2CCC([C@@H]3CCC[N@H+]3CC#N)CC2)n1 ZINC001004551774 748550774 /nfs/dbraw/zinc/55/07/74/748550774.db2.gz LBXITAOCIKBBNK-INIZCTEOSA-N 1 2 315.421 1.418 20 30 DDEDLO N#Cc1ccccc1C[NH+]1CC(CNC(=O)c2ccc(=O)[nH]c2)C1 ZINC001032168415 748763760 /nfs/dbraw/zinc/76/37/60/748763760.db2.gz BRVWSIHFFOLITI-UHFFFAOYSA-N 1 2 322.368 1.521 20 30 DDEDLO C#CCO[C@H](C)C(=O)NC1(C)CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110599295 748814258 /nfs/dbraw/zinc/81/42/58/748814258.db2.gz KWMOFDNRXOPHRI-CYBMUJFWSA-N 1 2 316.405 1.298 20 30 DDEDLO C#CCN(C(=O)C#CC1CC1)C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC001110624754 748839165 /nfs/dbraw/zinc/83/91/65/748839165.db2.gz VNFULOSYTFWUDU-UHFFFAOYSA-N 1 2 322.412 1.629 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(C)C(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001033214997 748940887 /nfs/dbraw/zinc/94/08/87/748940887.db2.gz AKYYRRPVMHKRHP-LBPRGKRZSA-N 1 2 315.398 1.369 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2cc3cc[nH]c(=O)c3s2)C1 ZINC001033214997 748940892 /nfs/dbraw/zinc/94/08/92/748940892.db2.gz AKYYRRPVMHKRHP-LBPRGKRZSA-N 1 2 315.398 1.369 20 30 DDEDLO Cc1nc(N2CC[C@@](C)(NC(=O)C#CC(C)(C)C)C2)cc[nH+]1 ZINC001110753392 748983509 /nfs/dbraw/zinc/98/35/09/748983509.db2.gz HGLQSURMQFPSCP-QGZVFWFLSA-N 1 2 300.406 1.920 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2ccnc3n[nH]nc32)C1 ZINC001033254572 749064459 /nfs/dbraw/zinc/06/44/59/749064459.db2.gz OJQPZYKXZIOSCO-SNVBAGLBSA-N 1 2 320.784 1.252 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccnc3n[nH]nc32)C1 ZINC001033254572 749064461 /nfs/dbraw/zinc/06/44/61/749064461.db2.gz OJQPZYKXZIOSCO-SNVBAGLBSA-N 1 2 320.784 1.252 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)C[C@H]3C=CCC3)nn2)C1 ZINC001107119470 749151834 /nfs/dbraw/zinc/15/18/34/749151834.db2.gz BBMBUOQZHYNJKV-ZDUSSCGKSA-N 1 2 301.394 1.293 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001033299508 749152251 /nfs/dbraw/zinc/15/22/51/749152251.db2.gz RVNMVRTTYDFPAH-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001033299508 749152257 /nfs/dbraw/zinc/15/22/57/749152257.db2.gz RVNMVRTTYDFPAH-OLZOCXBDSA-N 1 2 313.829 1.188 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001033425466 749343396 /nfs/dbraw/zinc/34/33/96/749343396.db2.gz IZPZXTFISMUOLX-JTQLQIEISA-N 1 2 310.785 1.012 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001033425466 749343400 /nfs/dbraw/zinc/34/34/00/749343400.db2.gz IZPZXTFISMUOLX-JTQLQIEISA-N 1 2 310.785 1.012 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H]([NH2+]Cc2nc(C)no2)C(C)(C)C1 ZINC000996140105 749392891 /nfs/dbraw/zinc/39/28/91/749392891.db2.gz SSSCEZBFPZRBKW-GFCCVEGCSA-N 1 2 306.410 1.917 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](N(C)C(=O)c2cnc(C)nc2C)C1 ZINC001033507780 749430233 /nfs/dbraw/zinc/43/02/33/749430233.db2.gz KKZCAVCMEPIFSI-CYBMUJFWSA-N 1 2 308.813 1.992 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc(C)nc2C)C1 ZINC001033507780 749430238 /nfs/dbraw/zinc/43/02/38/749430238.db2.gz KKZCAVCMEPIFSI-CYBMUJFWSA-N 1 2 308.813 1.992 20 30 DDEDLO C#CCSCC(=O)OCc1cccc(C[NH+]2CCOCC2)c1 ZINC000885899687 749550285 /nfs/dbraw/zinc/55/02/85/749550285.db2.gz AAARRJMAEXRBGK-UHFFFAOYSA-N 1 2 319.426 1.928 20 30 DDEDLO C=C(Cl)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)n1cncn1)C2 ZINC001095333638 749555299 /nfs/dbraw/zinc/55/52/99/749555299.db2.gz FLPDGVQTJPJMGD-NDBYEHHHSA-N 1 2 309.801 1.313 20 30 DDEDLO C=C(Cl)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)n1cncn1)C2 ZINC001095333638 749555304 /nfs/dbraw/zinc/55/53/04/749555304.db2.gz FLPDGVQTJPJMGD-NDBYEHHHSA-N 1 2 309.801 1.313 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)c2oc(CCC)nc2C)C1 ZINC001108344545 761961417 /nfs/dbraw/zinc/96/14/17/761961417.db2.gz QKUBSCGOXTWZSW-QGZVFWFLSA-N 1 2 321.421 1.942 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)c2oc(CCC)nc2C)C1 ZINC001108344545 761961421 /nfs/dbraw/zinc/96/14/21/761961421.db2.gz QKUBSCGOXTWZSW-QGZVFWFLSA-N 1 2 321.421 1.942 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)C3(C)CC=CC3)nn2)C1 ZINC001107204350 749587071 /nfs/dbraw/zinc/58/70/71/749587071.db2.gz BKTXXYBWWOBZAP-UHFFFAOYSA-N 1 2 315.421 1.683 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NC/C=C/CNc1nc(C)ccc1C#N ZINC001107285355 749735337 /nfs/dbraw/zinc/73/53/37/749735337.db2.gz LSCPLRLQJRAUII-ONEGZZNKSA-N 1 2 324.388 1.620 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cnc(COC)s2)C1 ZINC001033706338 749744783 /nfs/dbraw/zinc/74/47/83/749744783.db2.gz DHIJNHZPZIEGTD-GFCCVEGCSA-N 1 2 307.419 1.459 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc(COC)s2)C1 ZINC001033706338 749744786 /nfs/dbraw/zinc/74/47/86/749744786.db2.gz DHIJNHZPZIEGTD-GFCCVEGCSA-N 1 2 307.419 1.459 20 30 DDEDLO Cn1c[nH+]cc1CCC(=O)NC/C=C/CNc1ncccc1C#N ZINC001107297303 749752477 /nfs/dbraw/zinc/75/24/77/749752477.db2.gz DOIGZRNGCRSHDD-NSCUHMNNSA-N 1 2 324.388 1.404 20 30 DDEDLO Cc1nc(N2CCC(C)(CNC(=O)[C@H](C)C#N)CC2)cc[nH+]1 ZINC001110872788 749796807 /nfs/dbraw/zinc/79/68/07/749796807.db2.gz QYNOZQLIQXXSLY-GFCCVEGCSA-N 1 2 301.394 1.667 20 30 DDEDLO C=C(C)CCC(=O)NC/C=C\CNc1[nH+]cnc2c1cnn2C ZINC001107376028 749869152 /nfs/dbraw/zinc/86/91/52/749869152.db2.gz CYZFTPXXZFYDEA-PLNGDYQASA-N 1 2 314.393 1.804 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)CCc2cccs2)C1 ZINC001108388496 762008187 /nfs/dbraw/zinc/00/81/87/762008187.db2.gz BITDIYWWTZEGAA-KRWDZBQOSA-N 1 2 320.458 1.911 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)CCc2cccs2)C1 ZINC001108388496 762008198 /nfs/dbraw/zinc/00/81/98/762008198.db2.gz BITDIYWWTZEGAA-KRWDZBQOSA-N 1 2 320.458 1.911 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccncc2F)[C@@H](O)C1 ZINC001090157961 750131874 /nfs/dbraw/zinc/13/18/74/750131874.db2.gz CZIRFNAUKWYJRM-OLZOCXBDSA-N 1 2 313.760 1.138 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2ccncc2F)[C@@H](O)C1 ZINC001090157961 750131876 /nfs/dbraw/zinc/13/18/76/750131876.db2.gz CZIRFNAUKWYJRM-OLZOCXBDSA-N 1 2 313.760 1.138 20 30 DDEDLO C=CC[N@@H+]1CCO[C@](C)(CNC(=O)Cc2c(C)noc2C)C1 ZINC001108390777 762013215 /nfs/dbraw/zinc/01/32/15/762013215.db2.gz JXSLIRBCVPTXQH-MRXNPFEDSA-N 1 2 307.394 1.227 20 30 DDEDLO C=CC[N@H+]1CCO[C@](C)(CNC(=O)Cc2c(C)noc2C)C1 ZINC001108390777 762013226 /nfs/dbraw/zinc/01/32/26/762013226.db2.gz JXSLIRBCVPTXQH-MRXNPFEDSA-N 1 2 307.394 1.227 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2CC(=O)NCC(C)C ZINC001110898866 750188263 /nfs/dbraw/zinc/18/82/63/750188263.db2.gz WKGIIIOYYHQPKF-KFWWJZLASA-N 1 2 321.465 1.692 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC(=O)NCC(C)C ZINC001110898866 750188270 /nfs/dbraw/zinc/18/82/70/750188270.db2.gz WKGIIIOYYHQPKF-KFWWJZLASA-N 1 2 321.465 1.692 20 30 DDEDLO CCN(C(=O)c1cc(-n2cc[nH+]c2)ccn1)[C@H]1CCN(CC#N)C1 ZINC001034009951 750248205 /nfs/dbraw/zinc/24/82/05/750248205.db2.gz OPZTVLYWIDJSCH-HNNXBMFYSA-N 1 2 324.388 1.327 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001034465680 750447464 /nfs/dbraw/zinc/44/74/64/750447464.db2.gz ANEGBWRKQRAESW-LBPRGKRZSA-N 1 2 324.812 1.450 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001034465680 750447469 /nfs/dbraw/zinc/44/74/69/750447469.db2.gz ANEGBWRKQRAESW-LBPRGKRZSA-N 1 2 324.812 1.450 20 30 DDEDLO C=C(C)C[N@@H+]1CCCC[C@@H](NC(=O)[C@@H]2CCCS2(=O)=O)C1 ZINC001034534412 750530190 /nfs/dbraw/zinc/53/01/90/750530190.db2.gz JQEVMGLDHDGPGL-KGLIPLIRSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C(C)C[N@H+]1CCCC[C@@H](NC(=O)[C@@H]2CCCS2(=O)=O)C1 ZINC001034534412 750530192 /nfs/dbraw/zinc/53/01/92/750530192.db2.gz JQEVMGLDHDGPGL-KGLIPLIRSA-N 1 2 314.451 1.110 20 30 DDEDLO C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC001108131250 750560713 /nfs/dbraw/zinc/56/07/13/750560713.db2.gz ACJOWHQQERVLMG-KCPJHIHWSA-N 1 2 324.388 1.407 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2c(C)coc2C)[C@H](O)C1 ZINC001090235032 750671029 /nfs/dbraw/zinc/67/10/29/750671029.db2.gz CBLLVJOAAUXCAA-CHWSQXEVSA-N 1 2 312.797 1.814 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2c(C)coc2C)[C@H](O)C1 ZINC001090235032 750671032 /nfs/dbraw/zinc/67/10/32/750671032.db2.gz CBLLVJOAAUXCAA-CHWSQXEVSA-N 1 2 312.797 1.814 20 30 DDEDLO N#CCN[C@H]1CC[C@H](NC(=O)c2cc(-n3cc[nH+]c3)ccn2)CC1 ZINC001034768614 750837470 /nfs/dbraw/zinc/83/74/70/750837470.db2.gz JESZWSRHEOFTRF-HDJSIYSDSA-N 1 2 324.388 1.421 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCN(c2ccccc2)C1 ZINC001032469793 750944487 /nfs/dbraw/zinc/94/44/87/750944487.db2.gz CJZYHSUVROFYID-NEWSRXKRSA-N 1 2 323.440 1.821 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCN(c2ccccc2)C1 ZINC001032469793 750944489 /nfs/dbraw/zinc/94/44/89/750944489.db2.gz CJZYHSUVROFYID-NEWSRXKRSA-N 1 2 323.440 1.821 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114718524 751020038 /nfs/dbraw/zinc/02/00/38/751020038.db2.gz PTHDRIOJRCOYBT-TTZDDIAXSA-N 1 2 315.421 1.116 20 30 DDEDLO CC#CCCCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@@H](C)c3nncn3C)C[C@H]21 ZINC001114718524 751020046 /nfs/dbraw/zinc/02/00/46/751020046.db2.gz PTHDRIOJRCOYBT-TTZDDIAXSA-N 1 2 315.421 1.116 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114723021 751027204 /nfs/dbraw/zinc/02/72/04/751027204.db2.gz RKEFVQFNAZNCIZ-PBOSXPJTSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3nnc(C)o3)C[C@H]21 ZINC001114723021 751027210 /nfs/dbraw/zinc/02/72/10/751027210.db2.gz RKEFVQFNAZNCIZ-PBOSXPJTSA-N 1 2 304.394 1.527 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ncccn3)C[C@H]21 ZINC001114727208 751029305 /nfs/dbraw/zinc/02/93/05/751029305.db2.gz UCLKZGYDBGHISU-SZOQZIPDSA-N 1 2 300.406 1.625 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ncccn3)C[C@H]21 ZINC001114727208 751029313 /nfs/dbraw/zinc/02/93/13/751029313.db2.gz UCLKZGYDBGHISU-SZOQZIPDSA-N 1 2 300.406 1.625 20 30 DDEDLO CC#CC[NH2+]Cc1nnc2n1CC[C@@H](NC(=O)/C(C)=C\C)CC2 ZINC001127977338 751039650 /nfs/dbraw/zinc/03/96/50/751039650.db2.gz IAJLRSSNHIMRRB-UUSOHVMFSA-N 1 2 315.421 1.178 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(-c2ccccc2)nn1 ZINC001032495341 751067039 /nfs/dbraw/zinc/06/70/39/751067039.db2.gz WSTPRNDRYTUAFI-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(-c2ccccc2)nn1 ZINC001032495341 751067046 /nfs/dbraw/zinc/06/70/46/751067046.db2.gz WSTPRNDRYTUAFI-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc2onc(C)c21 ZINC001032547618 751265837 /nfs/dbraw/zinc/26/58/37/751265837.db2.gz JHTKTBCQAXMVOM-STQMWFEESA-N 1 2 310.357 1.372 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc2onc(C)c21 ZINC001032547618 751265841 /nfs/dbraw/zinc/26/58/41/751265841.db2.gz JHTKTBCQAXMVOM-STQMWFEESA-N 1 2 310.357 1.372 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H](NC(=O)c3cn[nH]n3)C2)cc1 ZINC001007757051 752321337 /nfs/dbraw/zinc/32/13/37/752321337.db2.gz YLXPUDNLACDLAF-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H](NC(=O)c3cn[nH]n3)C2)cc1 ZINC001007757051 752321345 /nfs/dbraw/zinc/32/13/45/752321345.db2.gz YLXPUDNLACDLAF-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H](NC(=O)c3cnn[nH]3)C2)cc1 ZINC001007757051 752321355 /nfs/dbraw/zinc/32/13/55/752321355.db2.gz YLXPUDNLACDLAF-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H](NC(=O)c3cnn[nH]3)C2)cc1 ZINC001007757051 752321365 /nfs/dbraw/zinc/32/13/65/752321365.db2.gz YLXPUDNLACDLAF-AWEZNQCLSA-N 1 2 310.361 1.071 20 30 DDEDLO N#Cc1cc(C(=O)N2CCC[C@H]([NH2+]Cc3csnn3)C2)c[nH]1 ZINC000998967311 752395764 /nfs/dbraw/zinc/39/57/64/752395764.db2.gz AIOAVQYNLXFNTL-NSHDSACASA-N 1 2 316.390 1.132 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@H]2CCCN(C(=O)C#CC3CC3)C2)o1 ZINC000999126227 752552442 /nfs/dbraw/zinc/55/24/42/752552442.db2.gz FCCJXLPOOIEFPB-AWEZNQCLSA-N 1 2 316.405 1.687 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnnn1-c1ccccc1 ZINC001032656818 752616109 /nfs/dbraw/zinc/61/61/09/752616109.db2.gz NORSMBZBUQAAHO-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnnn1-c1ccccc1 ZINC001032656818 752616114 /nfs/dbraw/zinc/61/61/14/752616114.db2.gz NORSMBZBUQAAHO-HOTGVXAUSA-N 1 2 321.384 1.189 20 30 DDEDLO C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001032682221 752710802 /nfs/dbraw/zinc/71/08/02/752710802.db2.gz CAXCUTQASPTRKQ-UWVGGRQHSA-N 1 2 314.311 1.903 20 30 DDEDLO C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001032682221 752710795 /nfs/dbraw/zinc/71/07/95/752710795.db2.gz CAXCUTQASPTRKQ-UWVGGRQHSA-N 1 2 314.311 1.903 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(OC)c1F ZINC001032696882 752734027 /nfs/dbraw/zinc/73/40/27/752734027.db2.gz RVMCQSGPRRWOOR-STQMWFEESA-N 1 2 302.349 1.756 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(OC)c1F ZINC001032696882 752734030 /nfs/dbraw/zinc/73/40/30/752734030.db2.gz RVMCQSGPRRWOOR-STQMWFEESA-N 1 2 302.349 1.756 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CCC[N@@H+](Cc3ccnn3C)C2)c1 ZINC001008469401 752749141 /nfs/dbraw/zinc/74/91/41/752749141.db2.gz QWJXWXJLONZVTK-INIZCTEOSA-N 1 2 323.400 1.191 20 30 DDEDLO C#Cc1cncc(C(=O)N[C@H]2CCC[N@H+](Cc3ccnn3C)C2)c1 ZINC001008469401 752749143 /nfs/dbraw/zinc/74/91/43/752749143.db2.gz QWJXWXJLONZVTK-INIZCTEOSA-N 1 2 323.400 1.191 20 30 DDEDLO C=CC(C)(C)C(=O)N1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C(C)(C)C1 ZINC001008504987 752772151 /nfs/dbraw/zinc/77/21/51/752772151.db2.gz KWMFXUUZRNBKCF-GFCCVEGCSA-N 1 2 318.421 1.518 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001032709815 752802579 /nfs/dbraw/zinc/80/25/79/752802579.db2.gz LOBRIXISVYKXPI-RDBSUJKOSA-N 1 2 312.417 1.457 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001032709815 752802586 /nfs/dbraw/zinc/80/25/86/752802586.db2.gz LOBRIXISVYKXPI-RDBSUJKOSA-N 1 2 312.417 1.457 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)OCCC(C)C)C1 ZINC001108432390 762160775 /nfs/dbraw/zinc/16/07/75/762160775.db2.gz IMYJAAYEKVFWFH-AEFFLSMTSA-N 1 2 324.465 1.668 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)OCCC(C)C)C1 ZINC001108432390 762160781 /nfs/dbraw/zinc/16/07/81/762160781.db2.gz IMYJAAYEKVFWFH-AEFFLSMTSA-N 1 2 324.465 1.668 20 30 DDEDLO N#Cc1ccccc1C[N@H+]1CCC[C@H](NC(=O)c2ccnnc2)C1 ZINC001008642743 752838720 /nfs/dbraw/zinc/83/87/20/752838720.db2.gz UHNWGZYMXXKUGF-KRWDZBQOSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1ccccc1C[N@@H+]1CCC[C@H](NC(=O)c2ccnnc2)C1 ZINC001008642743 752838726 /nfs/dbraw/zinc/83/87/26/752838726.db2.gz UHNWGZYMXXKUGF-KRWDZBQOSA-N 1 2 321.384 1.743 20 30 DDEDLO CC(C)C#CC(=O)NC[C@H]1CCCCN1C(=O)Cn1cc[nH+]c1 ZINC001062264210 752851620 /nfs/dbraw/zinc/85/16/20/752851620.db2.gz LVKZIFKAHOURJM-OAHLLOKOSA-N 1 2 316.405 1.040 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2ccc(F)cc2c1 ZINC001032716639 752964115 /nfs/dbraw/zinc/96/41/15/752964115.db2.gz ZATZBHZDMCZAOO-HOTGVXAUSA-N 1 2 309.344 1.906 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2ccc(F)cc2c1 ZINC001032716639 752964121 /nfs/dbraw/zinc/96/41/21/752964121.db2.gz ZATZBHZDMCZAOO-HOTGVXAUSA-N 1 2 309.344 1.906 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@H](NC(=O)c3cnon3)C2)s1 ZINC001008925947 752987109 /nfs/dbraw/zinc/98/71/09/752987109.db2.gz GUYWQQDOKOBDAQ-JTQLQIEISA-N 1 2 317.374 1.397 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@H](NC(=O)c3cnon3)C2)s1 ZINC001008925947 752987113 /nfs/dbraw/zinc/98/71/13/752987113.db2.gz GUYWQQDOKOBDAQ-JTQLQIEISA-N 1 2 317.374 1.397 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001108432815 762182297 /nfs/dbraw/zinc/18/22/97/762182297.db2.gz QKLFGADJWONUMN-BLVXYGRQSA-N 1 2 304.434 1.672 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001108432815 762182299 /nfs/dbraw/zinc/18/22/99/762182299.db2.gz QKLFGADJWONUMN-BLVXYGRQSA-N 1 2 304.434 1.672 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1cccc(OC)c1 ZINC001032718358 753079852 /nfs/dbraw/zinc/07/98/52/753079852.db2.gz RMGNFNIANCFVHC-HOTGVXAUSA-N 1 2 312.413 1.891 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1cccc(OC)c1 ZINC001032718358 753079856 /nfs/dbraw/zinc/07/98/56/753079856.db2.gz RMGNFNIANCFVHC-HOTGVXAUSA-N 1 2 312.413 1.891 20 30 DDEDLO C[C@@H]1CC[C@@H](NC(=O)Cn2cc[nH+]c2)CN1c1ccncc1C#N ZINC001039758218 762203843 /nfs/dbraw/zinc/20/38/43/762203843.db2.gz RTMBRCUOZOHVHV-UKRRQHHQSA-N 1 2 324.388 1.323 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1C(C)(C)C ZINC001032724210 753363226 /nfs/dbraw/zinc/36/32/26/753363226.db2.gz LNKUMWPOWNQKHZ-STQMWFEESA-N 1 2 300.406 1.629 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]nc1C(C)(C)C ZINC001032724210 753363228 /nfs/dbraw/zinc/36/32/28/753363228.db2.gz LNKUMWPOWNQKHZ-STQMWFEESA-N 1 2 300.406 1.629 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)N1CC[C@H](CNc2ncccc2C#N)C1 ZINC001060901354 753363366 /nfs/dbraw/zinc/36/33/66/753363366.db2.gz BHQYRVBDNOXLIH-CYBMUJFWSA-N 1 2 324.388 1.488 20 30 DDEDLO CC#CCCCC(=O)NCC[C@H](C)NC(=O)CCc1[nH]cc[nH+]1 ZINC001077901477 753374352 /nfs/dbraw/zinc/37/43/52/753374352.db2.gz QLOKZPWMZSXRLK-AWEZNQCLSA-N 1 2 318.421 1.547 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049479812 753383308 /nfs/dbraw/zinc/38/33/08/753383308.db2.gz CBDPIKDJOSUOAD-QJPTWQEYSA-N 1 2 306.303 1.975 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C(F)C(F)(F)F ZINC001049479812 753383310 /nfs/dbraw/zinc/38/33/10/753383310.db2.gz CBDPIKDJOSUOAD-QJPTWQEYSA-N 1 2 306.303 1.975 20 30 DDEDLO C#CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccn2C2CCCC2)C1 ZINC001077905687 753387124 /nfs/dbraw/zinc/38/71/24/753387124.db2.gz ZLKMLSBTPZLOPW-GDBMZVCRSA-N 1 2 301.390 1.011 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cccn2C2CCCC2)C1 ZINC001077905687 753387127 /nfs/dbraw/zinc/38/71/27/753387127.db2.gz ZLKMLSBTPZLOPW-GDBMZVCRSA-N 1 2 301.390 1.011 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(OC)c1OC ZINC001032751632 753465451 /nfs/dbraw/zinc/46/54/51/753465451.db2.gz FUVXAJVPGGYASZ-KBPBESRZSA-N 1 2 314.385 1.626 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(OC)c1OC ZINC001032751632 753465453 /nfs/dbraw/zinc/46/54/53/753465453.db2.gz FUVXAJVPGGYASZ-KBPBESRZSA-N 1 2 314.385 1.626 20 30 DDEDLO C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1sc(COC)nc1C ZINC001032756372 753493405 /nfs/dbraw/zinc/49/34/05/753493405.db2.gz MDBBTBSSRLNNQX-STQMWFEESA-N 1 2 319.430 1.520 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1sc(COC)nc1C ZINC001032756372 753493410 /nfs/dbraw/zinc/49/34/10/753493410.db2.gz MDBBTBSSRLNNQX-STQMWFEESA-N 1 2 319.430 1.520 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001108177226 753498297 /nfs/dbraw/zinc/49/82/97/753498297.db2.gz RCLOCLPOOOWARJ-TZMCWYRMSA-N 1 2 320.355 1.805 20 30 DDEDLO CC#CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001108177226 753498304 /nfs/dbraw/zinc/49/83/04/753498304.db2.gz RCLOCLPOOOWARJ-TZMCWYRMSA-N 1 2 320.355 1.805 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(OCC)cc1 ZINC001032767057 753571329 /nfs/dbraw/zinc/57/13/29/753571329.db2.gz FQQHDMMHNIQOFU-GJZGRUSLSA-N 1 2 314.385 1.382 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)COc1ccc(OCC)cc1 ZINC001032767057 753571330 /nfs/dbraw/zinc/57/13/30/753571330.db2.gz FQQHDMMHNIQOFU-GJZGRUSLSA-N 1 2 314.385 1.382 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H](NC(=O)[C@H]3CCCO3)C2)c(F)c1 ZINC001010061099 753582411 /nfs/dbraw/zinc/58/24/11/753582411.db2.gz PTIDYGHRSPSCKW-GOEBONIOSA-N 1 2 317.364 1.567 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H](NC(=O)[C@H]3CCCO3)C2)c(F)c1 ZINC001010061099 753582416 /nfs/dbraw/zinc/58/24/16/753582416.db2.gz PTIDYGHRSPSCKW-GOEBONIOSA-N 1 2 317.364 1.567 20 30 DDEDLO C=C(C)CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]nnc2c1 ZINC001032777258 753597029 /nfs/dbraw/zinc/59/70/29/753597029.db2.gz UNTHWJSWDCRMIA-KBPBESRZSA-N 1 2 311.389 1.823 20 30 DDEDLO C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]nnc2c1 ZINC001032777258 753597035 /nfs/dbraw/zinc/59/70/35/753597035.db2.gz UNTHWJSWDCRMIA-KBPBESRZSA-N 1 2 311.389 1.823 20 30 DDEDLO C#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)n1nc(C)cc1C ZINC001032784178 753657131 /nfs/dbraw/zinc/65/71/31/753657131.db2.gz LIUNJBMYALWQEC-JYJNAYRXSA-N 1 2 300.406 1.369 20 30 DDEDLO C#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)n1nc(C)cc1C ZINC001032784178 753657138 /nfs/dbraw/zinc/65/71/38/753657138.db2.gz LIUNJBMYALWQEC-JYJNAYRXSA-N 1 2 300.406 1.369 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c2c1CCCCC2 ZINC001032785113 753673780 /nfs/dbraw/zinc/67/37/80/753673780.db2.gz WFZFYZOJOAIWES-KBPBESRZSA-N 1 2 312.417 1.601 20 30 DDEDLO CC#CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c2c1CCCCC2 ZINC001032785113 753673786 /nfs/dbraw/zinc/67/37/86/753673786.db2.gz WFZFYZOJOAIWES-KBPBESRZSA-N 1 2 312.417 1.601 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(C(C)C)s2)C1 ZINC001078301616 753930451 /nfs/dbraw/zinc/93/04/51/753930451.db2.gz YFWUCAUIWMGKJW-VXGBXAGGSA-N 1 2 309.435 1.618 20 30 DDEDLO C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(C(C)C)s2)C1 ZINC001078301616 753930460 /nfs/dbraw/zinc/93/04/60/753930460.db2.gz YFWUCAUIWMGKJW-VXGBXAGGSA-N 1 2 309.435 1.618 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)N[C@H]3C[C@H](NCC#N)C34CCC4)ccn12 ZINC001078630268 754238055 /nfs/dbraw/zinc/23/80/55/754238055.db2.gz VWUCGXVCFMCDEY-GJZGRUSLSA-N 1 2 323.400 1.797 20 30 DDEDLO N#Cc1cnccc1NC[C@@H]1CCC[C@@H]1NC(=O)Cc1[nH]cc[nH+]1 ZINC001064112115 754520807 /nfs/dbraw/zinc/52/08/07/754520807.db2.gz GRLIQENEKPTLHK-WFASDCNBSA-N 1 2 324.388 1.038 20 30 DDEDLO C[C@H]1C[C@H](Nc2ccc(C#N)nc2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001064792458 754858643 /nfs/dbraw/zinc/85/86/43/754858643.db2.gz OGNQABOBCHQGEU-QWHCGFSZSA-N 1 2 324.388 1.710 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ncccc2C#N)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001064832248 754874467 /nfs/dbraw/zinc/87/44/67/754874467.db2.gz LNJPQQCAMLBRQT-TZMCWYRMSA-N 1 2 324.388 1.710 20 30 DDEDLO C=C[C@@H](CC)CC(=O)N1CC(N(CC)C(=O)Cn2cc[nH+]c2)C1 ZINC001080031918 755691729 /nfs/dbraw/zinc/69/17/29/755691729.db2.gz KZSVQFNWQWDHSZ-AWEZNQCLSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(CC)C(=O)CCn2cc[nH+]c2)C1 ZINC001080167916 755741607 /nfs/dbraw/zinc/74/16/07/755741607.db2.gz IDKHSYQKALTTKB-UHFFFAOYSA-N 1 2 318.421 1.545 20 30 DDEDLO C=CCCCC(=O)N(CC)C1CN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001080390232 755876394 /nfs/dbraw/zinc/87/63/94/755876394.db2.gz UMXMMBKMYUXGLR-UHFFFAOYSA-N 1 2 304.394 1.368 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)c2cncc3ncn(C)c32)C1 ZINC001014793303 755946322 /nfs/dbraw/zinc/94/63/22/755946322.db2.gz LRWYSKZEQSUAMB-LLVKDONJSA-N 1 2 319.796 1.525 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2cncc3ncn(C)c32)C1 ZINC001014793303 755946323 /nfs/dbraw/zinc/94/63/23/755946323.db2.gz LRWYSKZEQSUAMB-LLVKDONJSA-N 1 2 319.796 1.525 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2cccn3nccc23)C1 ZINC001015094774 756120575 /nfs/dbraw/zinc/12/05/75/756120575.db2.gz VBLJTSISOIAPOX-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)c2cccn3nccc23)C1 ZINC001015094774 756120578 /nfs/dbraw/zinc/12/05/78/756120578.db2.gz VBLJTSISOIAPOX-LBPRGKRZSA-N 1 2 304.781 1.891 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(CC)n(C)n2)C1 ZINC001081050009 756197428 /nfs/dbraw/zinc/19/74/28/756197428.db2.gz SMXAAYLBCDNOJT-QMTHXVAHSA-N 1 2 310.829 1.785 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(CC)n(C)n2)C1 ZINC001081050009 756197431 /nfs/dbraw/zinc/19/74/31/756197431.db2.gz SMXAAYLBCDNOJT-QMTHXVAHSA-N 1 2 310.829 1.785 20 30 DDEDLO C[C@H]1[C@@H](Nc2ncccc2C#N)CCN1C(=O)Cc1c[nH+]c[nH]1 ZINC001040261200 762466008 /nfs/dbraw/zinc/46/60/08/762466008.db2.gz ZXAVZUGVMLUPEQ-FZMZJTMJSA-N 1 2 310.361 1.320 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2ncoc2C(C)C)C[C@H]1O ZINC001099727905 756434085 /nfs/dbraw/zinc/43/40/85/756434085.db2.gz SXSIDIYBTGWIIX-DZGCQCFKSA-N 1 2 321.421 1.816 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2ncoc2C(C)C)C[C@H]1O ZINC001099727905 756434091 /nfs/dbraw/zinc/43/40/91/756434091.db2.gz SXSIDIYBTGWIIX-DZGCQCFKSA-N 1 2 321.421 1.816 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@@H+](Cc2cnc(C)cn2)C1 ZINC001015726061 756498179 /nfs/dbraw/zinc/49/81/79/756498179.db2.gz JBDHZVDGWHPGOK-IMJJTQAJSA-N 1 2 316.405 1.067 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC[N@H+](Cc2cnc(C)cn2)C1 ZINC001015726061 756498182 /nfs/dbraw/zinc/49/81/82/756498182.db2.gz JBDHZVDGWHPGOK-IMJJTQAJSA-N 1 2 316.405 1.067 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(OC)cc2F)[C@H](OC)C1 ZINC001081906739 756529117 /nfs/dbraw/zinc/52/91/17/756529117.db2.gz YKDIZIONSJPXBY-HZPDHXFCSA-N 1 2 320.364 1.287 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(OC)cc2F)[C@H](OC)C1 ZINC001081906739 756529121 /nfs/dbraw/zinc/52/91/21/756529121.db2.gz YKDIZIONSJPXBY-HZPDHXFCSA-N 1 2 320.364 1.287 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3ccccc3nn2)[C@H](OC)C1 ZINC001082007004 756593049 /nfs/dbraw/zinc/59/30/49/756593049.db2.gz JUYZDZAJDHPZNE-IAGOWNOFSA-N 1 2 324.384 1.082 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3ccccc3nn2)[C@H](OC)C1 ZINC001082007004 756593052 /nfs/dbraw/zinc/59/30/52/756593052.db2.gz JUYZDZAJDHPZNE-IAGOWNOFSA-N 1 2 324.384 1.082 20 30 DDEDLO Cc1cnoc1C(=O)N[C@H]1CC[N@H+](Cc2ccccc2C#N)C1 ZINC001015937550 756663503 /nfs/dbraw/zinc/66/35/03/756663503.db2.gz DCWNFWCJMLENAF-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO Cc1cnoc1C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001015937550 756663506 /nfs/dbraw/zinc/66/35/06/756663506.db2.gz DCWNFWCJMLENAF-HNNXBMFYSA-N 1 2 310.357 1.859 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@@H]2C[N@@H+](CCF)C[C@H]2OC)cc1 ZINC001082212024 756686284 /nfs/dbraw/zinc/68/62/84/756686284.db2.gz UJYJXQATQNRKSG-HZPDHXFCSA-N 1 2 320.364 1.097 20 30 DDEDLO C#CCOc1ccc(C(=O)N[C@@H]2C[N@H+](CCF)C[C@H]2OC)cc1 ZINC001082212024 756686288 /nfs/dbraw/zinc/68/62/88/756686288.db2.gz UJYJXQATQNRKSG-HZPDHXFCSA-N 1 2 320.364 1.097 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)[C@H](OC)C1 ZINC001082222407 756691605 /nfs/dbraw/zinc/69/16/05/756691605.db2.gz YSWFMEIXOMHUMF-MTNOOBJLSA-N 1 2 312.413 1.629 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)[C@H](OC)C1 ZINC001082222407 756691607 /nfs/dbraw/zinc/69/16/07/756691607.db2.gz YSWFMEIXOMHUMF-MTNOOBJLSA-N 1 2 312.413 1.629 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](Cc3nccnc3C)C2)nc1 ZINC001016028154 756731502 /nfs/dbraw/zinc/73/15/02/756731502.db2.gz VQGWCTTZPAJPHW-HNNXBMFYSA-N 1 2 321.384 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](Cc3nccnc3C)C2)nc1 ZINC001016028154 756731509 /nfs/dbraw/zinc/73/15/09/756731509.db2.gz VQGWCTTZPAJPHW-HNNXBMFYSA-N 1 2 321.384 1.166 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(F)cc2Cl)[C@H](OC)C1 ZINC001082354238 756747277 /nfs/dbraw/zinc/74/72/77/756747277.db2.gz LWOWTYMLHPJKRB-ZIAGYGMSSA-N 1 2 310.756 1.541 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(F)cc2Cl)[C@H](OC)C1 ZINC001082354238 756747279 /nfs/dbraw/zinc/74/72/79/756747279.db2.gz LWOWTYMLHPJKRB-ZIAGYGMSSA-N 1 2 310.756 1.541 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NC[C@H]1CCCN(c2cc[nH+]c(C)n2)C1 ZINC001097299859 757064037 /nfs/dbraw/zinc/06/40/37/757064037.db2.gz AWUAQQOOWILUIQ-RHSMWYFYSA-N 1 2 318.421 1.445 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3cnc4cc[nH]c4c3)[C@H]2C1 ZINC001083117405 757119490 /nfs/dbraw/zinc/11/94/90/757119490.db2.gz POKFKTPCJCDYFE-DLBZAZTESA-N 1 2 324.384 1.111 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)c3cnc4cc[nH]c4c3)[C@H]2C1 ZINC001083117405 757119497 /nfs/dbraw/zinc/11/94/97/757119497.db2.gz POKFKTPCJCDYFE-DLBZAZTESA-N 1 2 324.384 1.111 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2OCCN(C(=O)c3snnc3CC)[C@H]2C1 ZINC001083134501 757150378 /nfs/dbraw/zinc/15/03/78/757150378.db2.gz DEIGQWVYNWPHFG-QWHCGFSZSA-N 1 2 322.434 1.202 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2OCCN(C(=O)c3snnc3CC)[C@H]2C1 ZINC001083134501 757150381 /nfs/dbraw/zinc/15/03/81/757150381.db2.gz DEIGQWVYNWPHFG-QWHCGFSZSA-N 1 2 322.434 1.202 20 30 DDEDLO C#CC[N@H+]1C[C@H]2OCCN(C(=O)c3ccc4[nH]nc(C)c4c3)[C@H]2C1 ZINC001083139670 757153265 /nfs/dbraw/zinc/15/32/65/757153265.db2.gz NURFVUSILCCMER-DLBZAZTESA-N 1 2 324.384 1.030 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccc4[nH]nc(C)c4c3)[C@H]2C1 ZINC001083139670 757153268 /nfs/dbraw/zinc/15/32/68/757153268.db2.gz NURFVUSILCCMER-DLBZAZTESA-N 1 2 324.384 1.030 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@@H+](Cc2nocc2C)C[C@@H]1O ZINC001099794710 757232639 /nfs/dbraw/zinc/23/26/39/757232639.db2.gz QHHMGAVWUVYRRF-HOCLYGCPSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCC(=O)N[C@H]1CC[N@H+](Cc2nocc2C)C[C@@H]1O ZINC001099794710 757232648 /nfs/dbraw/zinc/23/26/48/757232648.db2.gz QHHMGAVWUVYRRF-HOCLYGCPSA-N 1 2 319.405 1.228 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@@H+](Cc2ccns2)C[C@@H]1O ZINC001099794672 757247991 /nfs/dbraw/zinc/24/79/91/757247991.db2.gz PZRQYGIQVCJQJD-CABCVRRESA-N 1 2 321.446 1.388 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CC[N@H+](Cc2ccns2)C[C@@H]1O ZINC001099794672 757247994 /nfs/dbraw/zinc/24/79/94/757247994.db2.gz PZRQYGIQVCJQJD-CABCVRRESA-N 1 2 321.446 1.388 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2cc3cccc(C)c3o2)[C@@H](O)C1 ZINC001084095741 757282503 /nfs/dbraw/zinc/28/25/03/757282503.db2.gz NKFHSKIKALUWCC-CABCVRRESA-N 1 2 312.369 1.539 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2cc3cccc(C)c3o2)[C@@H](O)C1 ZINC001084095741 757282507 /nfs/dbraw/zinc/28/25/07/757282507.db2.gz NKFHSKIKALUWCC-CABCVRRESA-N 1 2 312.369 1.539 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccn(C)c(=O)c3)[C@@H]2C1 ZINC001084460068 757577308 /nfs/dbraw/zinc/57/73/08/757577308.db2.gz HFBWAAZXNIUOST-ZIAGYGMSSA-N 1 2 321.808 1.284 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)c3ccn(C)c(=O)c3)[C@@H]2C1 ZINC001084460068 757577316 /nfs/dbraw/zinc/57/73/16/757577316.db2.gz HFBWAAZXNIUOST-ZIAGYGMSSA-N 1 2 321.808 1.284 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3conc3C(F)(F)F)[C@@H]2C1 ZINC001084523382 757638770 /nfs/dbraw/zinc/63/87/70/757638770.db2.gz CZRJDPBNXWUCDQ-MWLCHTKSSA-N 1 2 313.279 1.473 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3conc3C(F)(F)F)[C@@H]2C1 ZINC001084523382 757638771 /nfs/dbraw/zinc/63/87/71/757638771.db2.gz CZRJDPBNXWUCDQ-MWLCHTKSSA-N 1 2 313.279 1.473 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@@H+](Cc3cnn(C)n3)CC2)C1 ZINC001052691492 757651701 /nfs/dbraw/zinc/65/17/01/757651701.db2.gz VNDHYBOCRTWCLJ-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C1CC(C)(C(=O)N[C@H]2CCC[N@H+](Cc3cnn(C)n3)CC2)C1 ZINC001052691492 757651705 /nfs/dbraw/zinc/65/17/05/757651705.db2.gz VNDHYBOCRTWCLJ-AWEZNQCLSA-N 1 2 317.437 1.642 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H]2CN(C(=O)COc3cnn(C)c3)[C@@H]2C1 ZINC001084612818 757710626 /nfs/dbraw/zinc/71/06/26/757710626.db2.gz OEAPHPJTAXCGFV-TZMCWYRMSA-N 1 2 324.812 1.084 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H]2CN(C(=O)COc3cnn(C)c3)[C@@H]2C1 ZINC001084612818 757710629 /nfs/dbraw/zinc/71/06/29/757710629.db2.gz OEAPHPJTAXCGFV-TZMCWYRMSA-N 1 2 324.812 1.084 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)CC)C1=O ZINC001017365787 757867082 /nfs/dbraw/zinc/86/70/82/757867082.db2.gz DRWNNWUBUYNUSK-WCVJEAGWSA-N 1 2 319.449 1.495 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)CC)C1=O ZINC001017365787 757867087 /nfs/dbraw/zinc/86/70/87/757867087.db2.gz DRWNNWUBUYNUSK-WCVJEAGWSA-N 1 2 319.449 1.495 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)n(C)n1 ZINC001017548591 758013995 /nfs/dbraw/zinc/01/39/95/758013995.db2.gz WZIAPNLUOARYOL-GASCZTMLSA-N 1 2 314.433 1.856 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)n(C)n1 ZINC001017548591 758014008 /nfs/dbraw/zinc/01/40/08/758014008.db2.gz WZIAPNLUOARYOL-GASCZTMLSA-N 1 2 314.433 1.856 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[N@H+](Cc3nccn3C)[C@H]2C1 ZINC001084906445 758043678 /nfs/dbraw/zinc/04/36/78/758043678.db2.gz RTYQIHCXLDFOGD-MJBXVCDLSA-N 1 2 301.394 1.003 20 30 DDEDLO C[C@@H](C#N)C(=O)N1CC[C@H]2CC[N@@H+](Cc3nccn3C)[C@H]2C1 ZINC001084906445 758043689 /nfs/dbraw/zinc/04/36/89/758043689.db2.gz RTYQIHCXLDFOGD-MJBXVCDLSA-N 1 2 301.394 1.003 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cn1ccc(C)n1 ZINC001017585204 758052864 /nfs/dbraw/zinc/05/28/64/758052864.db2.gz ZVZRNIRBTXAOOI-CWRNSKLLSA-N 1 2 300.406 1.136 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cn1ccc(C)n1 ZINC001017585204 758052873 /nfs/dbraw/zinc/05/28/73/758052873.db2.gz ZVZRNIRBTXAOOI-CWRNSKLLSA-N 1 2 300.406 1.136 20 30 DDEDLO C=CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(-c2cccnc2)nn1 ZINC001017603510 758070670 /nfs/dbraw/zinc/07/06/70/758070670.db2.gz PJARBFZXBQFSOO-GASCZTMLSA-N 1 2 324.388 1.137 20 30 DDEDLO C=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(-c2cccnc2)nn1 ZINC001017603510 758070681 /nfs/dbraw/zinc/07/06/81/758070681.db2.gz PJARBFZXBQFSOO-GASCZTMLSA-N 1 2 324.388 1.137 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)[nH]nc1Cl ZINC001017608205 758075663 /nfs/dbraw/zinc/07/56/63/758075663.db2.gz KTNXSTGHFVTPLZ-TXEJJXNPSA-N 1 2 306.797 1.684 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)[nH]nc1Cl ZINC001017608205 758075671 /nfs/dbraw/zinc/07/56/71/758075671.db2.gz KTNXSTGHFVTPLZ-TXEJJXNPSA-N 1 2 306.797 1.684 20 30 DDEDLO C=C(C)C[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)c(C)cc1=O ZINC001017640899 758108799 /nfs/dbraw/zinc/10/87/99/758108799.db2.gz IYEQFEDUJMJAOK-GASCZTMLSA-N 1 2 315.417 1.559 20 30 DDEDLO C=C(C)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)c(C)cc1=O ZINC001017640899 758108806 /nfs/dbraw/zinc/10/88/06/758108806.db2.gz IYEQFEDUJMJAOK-GASCZTMLSA-N 1 2 315.417 1.559 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nc2sccn21 ZINC001017661913 758130352 /nfs/dbraw/zinc/13/03/52/758130352.db2.gz CWAATCBUGLRCFI-BETUJISGSA-N 1 2 314.414 1.626 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nc2sccn21 ZINC001017661913 758130357 /nfs/dbraw/zinc/13/03/57/758130357.db2.gz CWAATCBUGLRCFI-BETUJISGSA-N 1 2 314.414 1.626 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCC(C)(C)CO1 ZINC001017747670 758204228 /nfs/dbraw/zinc/20/42/28/758204228.db2.gz SQJVIQUYQQQWKW-OWCLPIDISA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCC(C)(C)CO1 ZINC001017747670 758204220 /nfs/dbraw/zinc/20/42/20/758204220.db2.gz SQJVIQUYQQQWKW-OWCLPIDISA-N 1 2 304.434 1.890 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccc(C)n1C)CCO2 ZINC001053154149 758209945 /nfs/dbraw/zinc/20/99/45/758209945.db2.gz SHBAVJOORHWTOZ-UHFFFAOYSA-N 1 2 303.406 1.436 20 30 DDEDLO C=C(C)CC[NH+]1CC2(C1)CN(C(=O)c1cc(C)[nH]n1)CCO2 ZINC001053174488 758230818 /nfs/dbraw/zinc/23/08/18/758230818.db2.gz JEXKSVWACKMSBU-UHFFFAOYSA-N 1 2 304.394 1.211 20 30 DDEDLO C=CCCC(=O)NC[C@@H]1CN(C(=O)Cc2[nH]cc[nH+]2)C[C@H]1C ZINC001053273107 758327492 /nfs/dbraw/zinc/32/74/92/758327492.db2.gz LLBSOBOPDPGGEW-CHWSQXEVSA-N 1 2 304.394 1.129 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)c1ccnc(OC)c1)CCO2 ZINC001053322186 758374194 /nfs/dbraw/zinc/37/41/94/758374194.db2.gz VSENLPSDBAQHOY-UHFFFAOYSA-N 1 2 317.389 1.193 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@@H]1CCC[C@@H](C)C1 ZINC001018015515 758477243 /nfs/dbraw/zinc/47/72/43/758477243.db2.gz UNALNASBBMKXKW-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@@H]1CCC[C@@H](C)C1 ZINC001018015515 758477252 /nfs/dbraw/zinc/47/72/52/758477252.db2.gz UNALNASBBMKXKW-WCXIOVBPSA-N 1 2 304.434 1.890 20 30 DDEDLO C=CCC[NH+]1CC2(C1)CN(C(=O)[C@H](C)c1cccnc1)CCO2 ZINC001053509545 758514451 /nfs/dbraw/zinc/51/44/51/758514451.db2.gz JOSGFNCLBZXHNM-OAHLLOKOSA-N 1 2 315.417 1.674 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCCOC1)O2 ZINC001053581633 758593712 /nfs/dbraw/zinc/59/37/12/758593712.db2.gz SGKFGBGTYMFHKQ-CABCVRRESA-N 1 2 308.422 1.339 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@@H](CNC(=O)c1c(Cl)cnn1C)O2 ZINC001053599319 758621075 /nfs/dbraw/zinc/62/10/75/758621075.db2.gz QNNDOKOYFGLUQA-NSHDSACASA-N 1 2 324.812 1.223 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1cc(C)nnc1C)O2 ZINC001053607303 758627525 /nfs/dbraw/zinc/62/75/25/758627525.db2.gz ZZABCPNNAJQJFM-CQSZACIVSA-N 1 2 316.405 1.243 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)CC[C@H](CNC(=O)c1ccc(F)cn1)O2 ZINC001053610669 758628519 /nfs/dbraw/zinc/62/85/19/758628519.db2.gz XBJQQUXSEHDIDE-CQSZACIVSA-N 1 2 319.380 1.760 20 30 DDEDLO C#CC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(OC)cccc1OC ZINC001018213216 758638265 /nfs/dbraw/zinc/63/82/65/758638265.db2.gz NTNYWZLTFMJWFN-OKILXGFUSA-N 1 2 314.385 1.626 20 30 DDEDLO C#CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(OC)cccc1OC ZINC001018213216 758638268 /nfs/dbraw/zinc/63/82/68/758638268.db2.gz NTNYWZLTFMJWFN-OKILXGFUSA-N 1 2 314.385 1.626 20 30 DDEDLO C=CC[NH+]1CC2(C1)CC[C@H](CNC(=O)c1[nH]c(C)nc1C)O2 ZINC001053660075 758673094 /nfs/dbraw/zinc/67/30/94/758673094.db2.gz FQIUWSCYUANHPD-CYBMUJFWSA-N 1 2 304.394 1.176 20 30 DDEDLO C=CCC1(C(=O)N2CC[NH+]([C@@H]3CCN(C(C)=O)C3)CC2)CCC1 ZINC001065675153 758694032 /nfs/dbraw/zinc/69/40/32/758694032.db2.gz MPMGBSURVPUQRD-MRXNPFEDSA-N 1 2 319.449 1.498 20 30 DDEDLO C#CC[NH+]1CCC2(CCN(C(=O)C(F)C(F)(F)F)C2)CC1 ZINC001040707449 762655442 /nfs/dbraw/zinc/65/54/42/762655442.db2.gz CBTLFLZEPARXDG-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO C#CC[NH+]1CCC2(CCN(C(=O)[C@H](F)C(F)(F)F)C2)CC1 ZINC001040707449 762655447 /nfs/dbraw/zinc/65/54/47/762655447.db2.gz CBTLFLZEPARXDG-NSHDSACASA-N 1 2 306.303 1.835 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cc(Cl)c[nH]1)CO2 ZINC001053732968 758748299 /nfs/dbraw/zinc/74/82/99/758748299.db2.gz JQCIZPHJLSUYCW-LBPRGKRZSA-N 1 2 309.797 1.817 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cnc(C)s1)CO2 ZINC001053758601 758776943 /nfs/dbraw/zinc/77/69/43/758776943.db2.gz VPNUHRDEEIWJAP-LBPRGKRZSA-N 1 2 305.403 1.048 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)[C@H]1[C@@H]3CCCC[C@@H]31)CO2 ZINC001053767465 758786721 /nfs/dbraw/zinc/78/67/21/758786721.db2.gz IJUBFNFEGWKDCS-CAOSSQGBSA-N 1 2 304.434 1.958 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1ncccc1C)CO2 ZINC001053769721 758790236 /nfs/dbraw/zinc/79/02/36/758790236.db2.gz HAPMQODITRHZGM-CQSZACIVSA-N 1 2 301.390 1.539 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)nc(C)c1)CO2 ZINC001053815853 758842913 /nfs/dbraw/zinc/84/29/13/758842913.db2.gz XRVICWDLLGJSFZ-MRXNPFEDSA-N 1 2 315.417 1.848 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1cnn(C)c1CC)CO2 ZINC001053861295 758893648 /nfs/dbraw/zinc/89/36/48/758893648.db2.gz GCTJPWZVAAWVOQ-ZDUSSCGKSA-N 1 2 318.421 1.132 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1c(C)oc(C)c1C)CO2 ZINC001053867997 758901448 /nfs/dbraw/zinc/90/14/48/758901448.db2.gz ZJDPVFIRZUIHLS-HNNXBMFYSA-N 1 2 316.401 1.801 20 30 DDEDLO C[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@@H]1CNc1ccc(C#N)nc1 ZINC001065911599 758904897 /nfs/dbraw/zinc/90/48/97/758904897.db2.gz VUYGVUFCAUPCBQ-OLZOCXBDSA-N 1 2 324.388 1.425 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@@H](NC(=O)c1cc(C)c(C)cn1)CO2 ZINC001053876364 758910604 /nfs/dbraw/zinc/91/06/04/758910604.db2.gz AOSIBNJQQPQEKJ-OAHLLOKOSA-N 1 2 315.417 1.848 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)CC1CC(C)(C)C1)CO2 ZINC001053904387 758937584 /nfs/dbraw/zinc/93/75/84/758937584.db2.gz MWWQTKFJPUDMAC-HNNXBMFYSA-N 1 2 304.434 1.796 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)[C@@H](C)c1ccc(C)o1)CO2 ZINC001053948483 758985809 /nfs/dbraw/zinc/98/58/09/758985809.db2.gz NIKZMCSNBNGXRM-GJZGRUSLSA-N 1 2 316.401 1.674 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@H](NC(=O)c1cncc(Cl)c1)CO2 ZINC001053956452 758993145 /nfs/dbraw/zinc/99/31/45/758993145.db2.gz GTZVHVQETQCUFQ-AWEZNQCLSA-N 1 2 319.792 1.331 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1cncc(Cl)c1)CO2 ZINC001053956453 758993317 /nfs/dbraw/zinc/99/33/17/758993317.db2.gz GTZVHVQETQCUFQ-CQSZACIVSA-N 1 2 319.792 1.331 20 30 DDEDLO C=C(C)C[NH+]1CC2(C1)C[C@H](NC(=O)c1c[nH]nc1C1CC1)CO2 ZINC001053972914 759010665 /nfs/dbraw/zinc/01/06/65/759010665.db2.gz PJLKTJMTCBGWDA-ZDUSSCGKSA-N 1 2 316.405 1.436 20 30 DDEDLO C#CCC[NH+]1CC2(C1)C[C@@H](NC(=O)c1c(C)nsc1C)CO2 ZINC001054019593 759069452 /nfs/dbraw/zinc/06/94/52/759069452.db2.gz ZBKWRDSUKWSYED-CYBMUJFWSA-N 1 2 319.430 1.356 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001054037522 759095872 /nfs/dbraw/zinc/09/58/72/759095872.db2.gz IEKYIIBZVXQKCM-SFHVURJKSA-N 1 2 318.380 1.676 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001054037522 759095879 /nfs/dbraw/zinc/09/58/79/759095879.db2.gz IEKYIIBZVXQKCM-SFHVURJKSA-N 1 2 318.380 1.676 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1c(C)n[nH]c1C ZINC001054056659 759110965 /nfs/dbraw/zinc/11/09/65/759110965.db2.gz OJSQRHVACQERKS-KRWDZBQOSA-N 1 2 322.412 1.816 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1c(C)n[nH]c1C ZINC001054056659 759110971 /nfs/dbraw/zinc/11/09/71/759110971.db2.gz OJSQRHVACQERKS-KRWDZBQOSA-N 1 2 322.412 1.816 20 30 DDEDLO C=C/C(C)=C\CC(=O)N[C@@H]1CC(=O)N(C2C[NH+](CC(=C)C)C2)C1 ZINC001108563828 762689965 /nfs/dbraw/zinc/68/99/65/762689965.db2.gz VCOCXAOGALJGDD-HWIKDYBBSA-N 1 2 317.433 1.486 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3ccnn3CC)cc2C1 ZINC001054262419 759365604 /nfs/dbraw/zinc/36/56/04/759365604.db2.gz CECKCUJTYZOBME-UHFFFAOYSA-N 1 2 308.385 1.782 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ccnn3CC)cc2C1 ZINC001054262419 759365616 /nfs/dbraw/zinc/36/56/16/759365616.db2.gz CECKCUJTYZOBME-UHFFFAOYSA-N 1 2 308.385 1.782 20 30 DDEDLO CC#CC[N@@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@H]3[N+](=O)[O-])cc2C1 ZINC001054264733 759370177 /nfs/dbraw/zinc/37/01/77/759370177.db2.gz QZIBFPPUTZFHCP-HZPDHXFCSA-N 1 2 313.357 1.307 20 30 DDEDLO CC#CC[N@H+]1Cc2ccc(CNC(=O)[C@@H]3C[C@H]3[N+](=O)[O-])cc2C1 ZINC001054264733 759370187 /nfs/dbraw/zinc/37/01/87/759370187.db2.gz QZIBFPPUTZFHCP-HZPDHXFCSA-N 1 2 313.357 1.307 20 30 DDEDLO C=C/C(C)=C/CC(=O)N1C[C@@H](c2c[nH+]cn2C)[C@H](NCC#N)C1 ZINC001131046774 767855487 /nfs/dbraw/zinc/85/54/87/767855487.db2.gz VJINQFCMSKDKMN-HMBIXPHWSA-N 1 2 313.405 1.350 20 30 DDEDLO C#CC[N@H+]1Cc2ccc(CNC(=O)c3nn(CC)nc3C)cc2C1 ZINC001054293511 759400216 /nfs/dbraw/zinc/40/02/16/759400216.db2.gz OGQFIEFAZBLYDR-UHFFFAOYSA-N 1 2 323.400 1.485 20 30 DDEDLO C#CC[N@@H+]1Cc2ccc(CNC(=O)c3nn(CC)nc3C)cc2C1 ZINC001054293511 759400224 /nfs/dbraw/zinc/40/02/24/759400224.db2.gz OGQFIEFAZBLYDR-UHFFFAOYSA-N 1 2 323.400 1.485 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H]2CN(C)C(=O)c2c[nH]c(C#N)c2)o1 ZINC001085556023 759701247 /nfs/dbraw/zinc/70/12/47/759701247.db2.gz GYHGDGVHTIVKBY-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H]2CN(C)C(=O)c2c[nH]c(C#N)c2)o1 ZINC001085556023 759701253 /nfs/dbraw/zinc/70/12/53/759701253.db2.gz GYHGDGVHTIVKBY-CQSZACIVSA-N 1 2 313.361 1.529 20 30 DDEDLO CN(C[C@@H]1CC[N@@H+]1Cc1ccc(C#N)s1)C(=O)c1ncc[nH]1 ZINC001085588623 759777428 /nfs/dbraw/zinc/77/74/28/759777428.db2.gz QPTFUAWAKOUZQW-NSHDSACASA-N 1 2 315.402 1.689 20 30 DDEDLO CN(C[C@@H]1CC[N@H+]1Cc1ccc(C#N)s1)C(=O)c1ncc[nH]1 ZINC001085588623 759777433 /nfs/dbraw/zinc/77/74/33/759777433.db2.gz QPTFUAWAKOUZQW-NSHDSACASA-N 1 2 315.402 1.689 20 30 DDEDLO C[C@@H]1C[C@H](Nc2ccc(C#N)cn2)CN1C(=O)CCn1cc[nH+]c1 ZINC001069180637 767895316 /nfs/dbraw/zinc/89/53/16/767895316.db2.gz JVVSYSCFQUYMBN-HIFRSBDPSA-N 1 2 324.388 1.641 20 30 DDEDLO C[C@@H]1C[C@@H](Nc2ccc(C#N)cn2)CN1C(=O)CCn1cc[nH+]c1 ZINC001069180638 767895622 /nfs/dbraw/zinc/89/56/22/767895622.db2.gz JVVSYSCFQUYMBN-UKRRQHHQSA-N 1 2 324.388 1.641 20 30 DDEDLO CC(C)c1nnc(C[NH2+][C@@H]2CN(C(=O)C#CC3CC3)C[C@H]2C)o1 ZINC001054639291 759931211 /nfs/dbraw/zinc/93/12/11/759931211.db2.gz SPSJJRFCDCLFAT-TZMCWYRMSA-N 1 2 316.405 1.543 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(C(F)(F)F)nc1 ZINC001085657966 759944391 /nfs/dbraw/zinc/94/43/91/759944391.db2.gz FQXIBOFBRLQPBO-LLVKDONJSA-N 1 2 312.295 1.275 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnc(C(F)(F)F)nc1 ZINC001085657966 759944398 /nfs/dbraw/zinc/94/43/98/759944398.db2.gz FQXIBOFBRLQPBO-LLVKDONJSA-N 1 2 312.295 1.275 20 30 DDEDLO Cc1nc(NCC[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001066294662 760017194 /nfs/dbraw/zinc/01/71/94/760017194.db2.gz OLHMSKFGFWCPMM-CYBMUJFWSA-N 1 2 324.388 1.949 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(-c2ccccc2)nn1 ZINC001085691836 760039662 /nfs/dbraw/zinc/03/96/62/760039662.db2.gz RSMZAMNPGZIWMT-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1cn(-c2ccccc2)nn1 ZINC001085691836 760039673 /nfs/dbraw/zinc/03/96/73/760039673.db2.gz RSMZAMNPGZIWMT-MRXNPFEDSA-N 1 2 323.400 1.437 20 30 DDEDLO C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1c(CC)ncnc1CC ZINC001085819520 760296776 /nfs/dbraw/zinc/29/67/76/760296776.db2.gz AGZNAQGJJFEXIT-CYBMUJFWSA-N 1 2 300.406 1.381 20 30 DDEDLO C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c(CC)ncnc1CC ZINC001085819520 760296777 /nfs/dbraw/zinc/29/67/77/760296777.db2.gz AGZNAQGJJFEXIT-CYBMUJFWSA-N 1 2 300.406 1.381 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cnoc1C ZINC001085826900 760306352 /nfs/dbraw/zinc/30/63/52/760306352.db2.gz BWPXPSFDOQOQOO-OAGGEKHMSA-N 1 2 319.405 1.607 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cnoc1C ZINC001085826900 760306361 /nfs/dbraw/zinc/30/63/61/760306361.db2.gz BWPXPSFDOQOQOO-OAGGEKHMSA-N 1 2 319.405 1.607 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2ccncc2Cl)C1 ZINC001108215985 760415167 /nfs/dbraw/zinc/41/51/67/760415167.db2.gz OUQOYCMVOCEXRA-INIZCTEOSA-N 1 2 323.824 1.671 20 30 DDEDLO C=CC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2ccncc2Cl)C1 ZINC001108215985 760415169 /nfs/dbraw/zinc/41/51/69/760415169.db2.gz OUQOYCMVOCEXRA-INIZCTEOSA-N 1 2 323.824 1.671 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2CN(C(=O)CCc3c[nH]c[nH+]3)C[C@@H]2C1 ZINC001055238539 760415778 /nfs/dbraw/zinc/41/57/78/760415778.db2.gz KZFCCJSFXJJKSD-OKILXGFUSA-N 1 2 316.405 1.225 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2CN(C(=O)CCc3c[nH+]c[nH]3)C[C@@H]2C1 ZINC001055238539 760415786 /nfs/dbraw/zinc/41/57/86/760415786.db2.gz KZFCCJSFXJJKSD-OKILXGFUSA-N 1 2 316.405 1.225 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@@H+]2Cc2cnoc2C)nc1 ZINC001085933558 760563925 /nfs/dbraw/zinc/56/39/25/760563925.db2.gz FFKOTQKGAXDWNF-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2cnoc2C)nc1 ZINC001085933558 760563929 /nfs/dbraw/zinc/56/39/29/760563929.db2.gz FFKOTQKGAXDWNF-INIZCTEOSA-N 1 2 324.384 1.706 20 30 DDEDLO C=C(Cl)C[NH2+][C@H]1C[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001020344446 760813610 /nfs/dbraw/zinc/81/36/10/760813610.db2.gz SMGAZQLNEVLJTN-XYPYZODXSA-N 1 2 308.813 1.891 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001046867319 767995879 /nfs/dbraw/zinc/99/58/79/767995879.db2.gz ZLCCLFZDFLNIDH-HNNXBMFYSA-N 1 2 322.796 1.127 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001046867319 767995884 /nfs/dbraw/zinc/99/58/84/767995884.db2.gz ZLCCLFZDFLNIDH-HNNXBMFYSA-N 1 2 322.796 1.127 20 30 DDEDLO C[C@@H]1[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCCN1c1ccc(C#N)cn1 ZINC001069384048 768004359 /nfs/dbraw/zinc/00/43/59/768004359.db2.gz RVDBPRCWQNONDV-OCCSQVGLSA-N 1 2 324.388 1.393 20 30 DDEDLO C#CCC[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C2CC2)c1Cl ZINC001038449897 761127989 /nfs/dbraw/zinc/12/79/89/761127989.db2.gz HUKLTXYMHGFXME-LLVKDONJSA-N 1 2 306.797 1.768 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C2CC2)c1Cl ZINC001038449897 761127993 /nfs/dbraw/zinc/12/79/93/761127993.db2.gz HUKLTXYMHGFXME-LLVKDONJSA-N 1 2 306.797 1.768 20 30 DDEDLO C#CC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1COc2ccc(F)cc2C1 ZINC001038519556 761172367 /nfs/dbraw/zinc/17/23/67/761172367.db2.gz MTQPCCUYIGLMSU-ZFWWWQNUSA-N 1 2 302.349 1.201 20 30 DDEDLO C#CC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1COc2ccc(F)cc2C1 ZINC001038519556 761172370 /nfs/dbraw/zinc/17/23/70/761172370.db2.gz MTQPCCUYIGLMSU-ZFWWWQNUSA-N 1 2 302.349 1.201 20 30 DDEDLO C=CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(CNC(C)=O)s1 ZINC001038754809 761367787 /nfs/dbraw/zinc/36/77/87/761367787.db2.gz UAEILYRIVNUYAC-LBPRGKRZSA-N 1 2 307.419 1.374 20 30 DDEDLO C=CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc(CNC(C)=O)s1 ZINC001038754809 761367798 /nfs/dbraw/zinc/36/77/98/761367798.db2.gz UAEILYRIVNUYAC-LBPRGKRZSA-N 1 2 307.419 1.374 20 30 DDEDLO C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001056781941 761425299 /nfs/dbraw/zinc/42/52/99/761425299.db2.gz WMUKDFFAVGGTJJ-MCIONIFRSA-N 1 2 302.378 1.389 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@@H]2CNC(=O)c2ncccn2)cc1 ZINC001038836062 761453612 /nfs/dbraw/zinc/45/36/12/761453612.db2.gz IRRXIWXFXLQAKM-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@@H]2CNC(=O)c2ncccn2)cc1 ZINC001038836062 761453619 /nfs/dbraw/zinc/45/36/19/761453619.db2.gz IRRXIWXFXLQAKM-OAHLLOKOSA-N 1 2 307.357 1.353 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cccc(NC(N)=O)c1 ZINC001039001128 761630522 /nfs/dbraw/zinc/63/05/22/761630522.db2.gz ASUDVZGLMKVPKV-AWEZNQCLSA-N 1 2 300.362 1.005 20 30 DDEDLO CC#CC[N@H+]1CC[C@H]1CNC(=O)c1cccc(NC(N)=O)c1 ZINC001039001128 761630527 /nfs/dbraw/zinc/63/05/27/761630527.db2.gz ASUDVZGLMKVPKV-AWEZNQCLSA-N 1 2 300.362 1.005 20 30 DDEDLO CC#CC[N@@H+]1CC[C@@H]1CNC(=O)C12CC(C(F)(F)F)(C1)C2 ZINC001039055212 761694413 /nfs/dbraw/zinc/69/44/13/761694413.db2.gz SGTDLQDQRRWQEA-LMWSTFAQSA-N 1 2 300.324 1.933 20 30 DDEDLO CC#CC[N@H+]1CC[C@@H]1CNC(=O)C12CC(C(F)(F)F)(C1)C2 ZINC001039055212 761694416 /nfs/dbraw/zinc/69/44/16/761694416.db2.gz SGTDLQDQRRWQEA-LMWSTFAQSA-N 1 2 300.324 1.933 20 30 DDEDLO Cc1nc(N2CCCN(C(=O)C#CC3CC3)CC2)c(C)c(C)[nH+]1 ZINC001057135289 762725224 /nfs/dbraw/zinc/72/52/24/762725224.db2.gz QSGCSEYUFYQAOC-UHFFFAOYSA-N 1 2 312.417 1.854 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C(CC)(CC)CC)CC2=O)C1 ZINC001108582953 762749754 /nfs/dbraw/zinc/74/97/54/762749754.db2.gz HHXZPPWXWXKLIM-CQSZACIVSA-N 1 2 321.465 1.790 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@H](NC(=O)C[C@@H](C)C3CC3)CC2=O)C1 ZINC001108593926 762767020 /nfs/dbraw/zinc/76/70/20/762767020.db2.gz HAPHZMGQURVXQA-TZMCWYRMSA-N 1 2 305.422 1.010 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C[C@H](C)C(C)(C)C)CC2=O)C1 ZINC001108589546 762775865 /nfs/dbraw/zinc/77/58/65/762775865.db2.gz UVOVDJYQKYAMRY-KBPBESRZSA-N 1 2 321.465 1.646 20 30 DDEDLO CC#CC[N@H+]1CC=C(CNC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC001001444513 762869683 /nfs/dbraw/zinc/86/96/83/762869683.db2.gz UMJBFCLQUPXVHK-UHFFFAOYSA-N 1 2 309.373 1.343 20 30 DDEDLO CC#CC[N@@H+]1CC=C(CNC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC001001444513 762869690 /nfs/dbraw/zinc/86/96/90/762869690.db2.gz UMJBFCLQUPXVHK-UHFFFAOYSA-N 1 2 309.373 1.343 20 30 DDEDLO C[C@@H](CNc1ccncc1C#N)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001108721367 762892779 /nfs/dbraw/zinc/89/27/79/762892779.db2.gz DYZOBDXYZVKGNF-STQMWFEESA-N 1 2 312.377 1.140 20 30 DDEDLO C=CCOCC(=O)N[C@@H](C)CN(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC001108866892 763034765 /nfs/dbraw/zinc/03/47/65/763034765.db2.gz GNVRUENJMRSMAT-ZDUSSCGKSA-N 1 2 318.421 1.806 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@]2(CCN(C(=O)Cn3cncc3C)C2)C1 ZINC001041474905 763060029 /nfs/dbraw/zinc/06/00/29/763060029.db2.gz FBBUSYAFLNUQQA-INIZCTEOSA-N 1 2 322.840 1.868 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@]2(CCN(C(=O)Cn3cncc3C)C2)C1 ZINC001041474905 763060034 /nfs/dbraw/zinc/06/00/34/763060034.db2.gz FBBUSYAFLNUQQA-INIZCTEOSA-N 1 2 322.840 1.868 20 30 DDEDLO C[C@@H](CN(C)c1ccc(C#N)cn1)NC(=O)CCc1[nH]cc[nH+]1 ZINC001108931746 763140476 /nfs/dbraw/zinc/14/04/76/763140476.db2.gz YDSUEELXGVAKMX-LBPRGKRZSA-N 1 2 312.377 1.250 20 30 DDEDLO Cc1nc(N2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)[C@@H](C)C2)cc[nH+]1 ZINC001067376017 763439931 /nfs/dbraw/zinc/43/99/31/763439931.db2.gz ZLYFMRPCEGEYTR-NHYWBVRUSA-N 1 2 324.388 1.630 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(OC)no1 ZINC001109208833 763458832 /nfs/dbraw/zinc/45/88/32/763458832.db2.gz CFWHYEKNOFDGFF-SNPRPXQTSA-N 1 2 317.389 1.708 20 30 DDEDLO C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1cc(OC)no1 ZINC001109208833 763458840 /nfs/dbraw/zinc/45/88/40/763458840.db2.gz CFWHYEKNOFDGFF-SNPRPXQTSA-N 1 2 317.389 1.708 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)N1CCC(Nc2ccc(C#N)cn2)CC1 ZINC001057347993 763486967 /nfs/dbraw/zinc/48/69/67/763486967.db2.gz FJNXLMQDGHXJOK-UHFFFAOYSA-N 1 2 324.388 1.332 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H](C)CN(C)c1cc[nH+]c(C)n1 ZINC001109271255 763534418 /nfs/dbraw/zinc/53/44/18/763534418.db2.gz JQMBBKGZXQPGOE-NOLJZWGESA-N 1 2 318.421 1.707 20 30 DDEDLO CC#CC[NH+]1CCC2(CN(C(=O)C(F)C(F)(F)F)C2)CC1 ZINC001050527700 763710322 /nfs/dbraw/zinc/71/03/22/763710322.db2.gz SKWSBGIZYIFKTN-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO CC#CC[NH+]1CCC2(CN(C(=O)[C@@H](F)C(F)(F)F)C2)CC1 ZINC001050527700 763710325 /nfs/dbraw/zinc/71/03/25/763710325.db2.gz SKWSBGIZYIFKTN-LLVKDONJSA-N 1 2 306.303 1.835 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@@H+](Cc3nncn3C)[C@H]2C1 ZINC001042186639 763752932 /nfs/dbraw/zinc/75/29/32/763752932.db2.gz IWQMURDQRNLRLU-KGLIPLIRSA-N 1 2 317.437 1.450 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC[C@H]2CC[N@H+](Cc3nncn3C)[C@H]2C1 ZINC001042186639 763752938 /nfs/dbraw/zinc/75/29/38/763752938.db2.gz IWQMURDQRNLRLU-KGLIPLIRSA-N 1 2 317.437 1.450 20 30 DDEDLO C[C@H](CC(=O)N1CC2(C1)CCN(CC#N)CC2)n1cc[nH+]c1 ZINC001050684771 763917305 /nfs/dbraw/zinc/91/73/05/763917305.db2.gz RNSZPDUAZRAMJH-CQSZACIVSA-N 1 2 301.394 1.282 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ncccc1C ZINC001050863409 764201544 /nfs/dbraw/zinc/20/15/44/764201544.db2.gz KJNUEIWWOPWTOB-OAHLLOKOSA-N 1 2 303.406 1.787 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ncccc1C ZINC001050863409 764201547 /nfs/dbraw/zinc/20/15/47/764201547.db2.gz KJNUEIWWOPWTOB-OAHLLOKOSA-N 1 2 303.406 1.787 20 30 DDEDLO Cc1cc(CC(=O)N(C)C2C[NH+](Cc3ccc(C#N)cc3)C2)[nH]n1 ZINC001042599810 764254416 /nfs/dbraw/zinc/25/44/16/764254416.db2.gz XVSXLXWTFQQKDN-UHFFFAOYSA-N 1 2 323.400 1.475 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1C(F)F ZINC001050933544 764301983 /nfs/dbraw/zinc/30/19/83/764301983.db2.gz DWYMNQGUHDHDFK-NSHDSACASA-N 1 2 314.336 1.285 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccnn1C(F)F ZINC001050933544 764301987 /nfs/dbraw/zinc/30/19/87/764301987.db2.gz DWYMNQGUHDHDFK-NSHDSACASA-N 1 2 314.336 1.285 20 30 DDEDLO C=C(C)CC[N@H+]1CCOC[C@H]1CNC(=O)c1ccnn1CCC ZINC001050941751 764316138 /nfs/dbraw/zinc/31/61/38/764316138.db2.gz ZPFACRKCJKXNBW-OAHLLOKOSA-N 1 2 320.437 1.690 20 30 DDEDLO C=C(C)CC[N@@H+]1CCOC[C@H]1CNC(=O)c1ccnn1CCC ZINC001050941751 764316142 /nfs/dbraw/zinc/31/61/42/764316142.db2.gz ZPFACRKCJKXNBW-OAHLLOKOSA-N 1 2 320.437 1.690 20 30 DDEDLO C=CCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1cn2c(n1)CCCC2 ZINC001050944738 764320761 /nfs/dbraw/zinc/32/07/61/764320761.db2.gz DSUCBBPHRKJMQQ-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCC[N@H+]1CCOC[C@@H]1CNC(=O)c1cn2c(n1)CCCC2 ZINC001050944738 764320764 /nfs/dbraw/zinc/32/07/64/764320764.db2.gz DSUCBBPHRKJMQQ-AWEZNQCLSA-N 1 2 318.421 1.226 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1COCC[N@H+]1CC[C@@H](C)F ZINC001050957372 764348519 /nfs/dbraw/zinc/34/85/19/764348519.db2.gz GLKZBNBIZPMHJN-CABCVRRESA-N 1 2 323.412 1.853 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1COCC[N@@H+]1CC[C@@H](C)F ZINC001050957372 764348526 /nfs/dbraw/zinc/34/85/26/764348526.db2.gz GLKZBNBIZPMHJN-CABCVRRESA-N 1 2 323.412 1.853 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1COCC[N@@H+]1CC1CC1 ZINC001050957698 764349789 /nfs/dbraw/zinc/34/97/89/764349789.db2.gz LHUPJDXFZSXZFE-HNNXBMFYSA-N 1 2 303.406 1.515 20 30 DDEDLO C=CCn1cccc1C(=O)NC[C@H]1COCC[N@H+]1CC1CC1 ZINC001050957698 764349792 /nfs/dbraw/zinc/34/97/92/764349792.db2.gz LHUPJDXFZSXZFE-HNNXBMFYSA-N 1 2 303.406 1.515 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1n1ccnn1 ZINC001069884927 768212756 /nfs/dbraw/zinc/21/27/56/768212756.db2.gz INKPPISHFIIIEA-SJORKVTESA-N 1 2 323.400 1.081 20 30 DDEDLO CCC(=O)N[C@@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1n1ccnn1 ZINC001069884927 768212760 /nfs/dbraw/zinc/21/27/60/768212760.db2.gz INKPPISHFIIIEA-SJORKVTESA-N 1 2 323.400 1.081 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c[nH]nc2-c2ccccn2)C1 ZINC001042877241 764502156 /nfs/dbraw/zinc/50/21/56/764502156.db2.gz OZHXTJBCDUDNPE-UHFFFAOYSA-N 1 2 309.373 1.251 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@H+]2CC[C@H](C)F)nc1 ZINC001051215138 764629360 /nfs/dbraw/zinc/62/93/60/764629360.db2.gz SFJYZHYPQFFGSK-DZGCQCFKSA-N 1 2 319.380 1.242 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@@H]2COCC[N@@H+]2CC[C@H](C)F)nc1 ZINC001051215138 764629370 /nfs/dbraw/zinc/62/93/70/764629370.db2.gz SFJYZHYPQFFGSK-DZGCQCFKSA-N 1 2 319.380 1.242 20 30 DDEDLO C=C(Cl)CN1CC(N(C)C(=O)[C@H]2CCn3cc[nH+]c3C2)C1 ZINC001043135989 764699577 /nfs/dbraw/zinc/69/95/77/764699577.db2.gz KPJXMPMXCDHHAF-LBPRGKRZSA-N 1 2 308.813 1.341 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cc(N3CCCC3)ccn2)C1 ZINC001043244773 764789220 /nfs/dbraw/zinc/78/92/20/764789220.db2.gz BQGBAJUWDNWPSF-UHFFFAOYSA-N 1 2 312.417 1.461 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)[C@@H]2COc3ccc(Cl)cc32)C1 ZINC001043343550 764847401 /nfs/dbraw/zinc/84/74/01/764847401.db2.gz MDSAERSDXWBKKO-OAHLLOKOSA-N 1 2 318.804 1.982 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH+](Cc2cc(F)ccc2C)CC1 ZINC001112845118 764858610 /nfs/dbraw/zinc/85/86/10/764858610.db2.gz VFESTCOQKWWSES-OAHLLOKOSA-N 1 2 318.392 1.817 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)C(C)(C)[C@H]2CCCCO2)CC1 ZINC001112859949 764885711 /nfs/dbraw/zinc/88/57/11/764885711.db2.gz KAWYRYVGEMYUPG-MRXNPFEDSA-N 1 2 324.465 1.929 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2cnc3cc(C)nn3c2C)C1 ZINC001043500483 764943010 /nfs/dbraw/zinc/94/30/10/764943010.db2.gz WIKHXEOQNLEMBN-UHFFFAOYSA-N 1 2 311.389 1.126 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[NH+](CCn2cccn2)CC1)OCC ZINC001112906911 764949123 /nfs/dbraw/zinc/94/91/23/764949123.db2.gz SCHSTYQRBSZJSX-MRXNPFEDSA-N 1 2 320.437 1.399 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2ncoc2[C@H]2CCCO2)C1 ZINC001043527708 764960519 /nfs/dbraw/zinc/96/05/19/764960519.db2.gz IRZIVWXCGROHSJ-CYBMUJFWSA-N 1 2 303.362 1.306 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2[nH]nc(C)c2C(F)(F)F)C1 ZINC001043860326 765133880 /nfs/dbraw/zinc/13/38/80/765133880.db2.gz CAMDKQYLMYYDIU-UHFFFAOYSA-N 1 2 314.311 1.516 20 30 DDEDLO C=C1CC(C)(C(=O)N2CCO[C@H](C[NH2+]Cc3nc(C)no3)C2)C1 ZINC001051814352 765184776 /nfs/dbraw/zinc/18/47/76/765184776.db2.gz DBJDHRYPHFDUSS-CYBMUJFWSA-N 1 2 320.393 1.051 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2cc(COC)cs2)CC1 ZINC001113071739 765220350 /nfs/dbraw/zinc/22/03/50/765220350.db2.gz QXAQHYOCKKTGQM-UHFFFAOYSA-N 1 2 324.446 1.855 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2c(Cl)nn(C)c2Cl)C1 ZINC001044042543 765250494 /nfs/dbraw/zinc/25/04/94/765250494.db2.gz FIHONVRHLSIANU-UHFFFAOYSA-N 1 2 315.204 1.506 20 30 DDEDLO C=CCOCC[NH+]1CCN(C(=O)c2ccn3ccnc3c2)CC1 ZINC001113095422 765253903 /nfs/dbraw/zinc/25/39/03/765253903.db2.gz IFHWZYHEIJEHDJ-UHFFFAOYSA-N 1 2 314.389 1.295 20 30 DDEDLO C#CCC[NH+]1CC(N(C)C(=O)c2coc(C3CCOCC3)n2)C1 ZINC001044151073 765344449 /nfs/dbraw/zinc/34/44/49/765344449.db2.gz VVEFLNOZOZMVTQ-UHFFFAOYSA-N 1 2 317.389 1.348 20 30 DDEDLO C=C(C)C[NH+]1CC(N(C)C(=O)[C@@H]2CC(=O)N(C3CCCC3)C2)C1 ZINC001044279720 765444210 /nfs/dbraw/zinc/44/42/10/765444210.db2.gz FWNBEGIMVKKZNI-CQSZACIVSA-N 1 2 319.449 1.496 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)[C@@](C)(C=C)CC)C2)CC1 ZINC001052077935 765449918 /nfs/dbraw/zinc/44/99/18/765449918.db2.gz YGXUJSGZYWGEKB-AEFFLSMTSA-N 1 2 303.450 1.440 20 30 DDEDLO C#CC[NH+]1CCN([C@@H]2CCN(C(=O)C3CC4(CC4)C3)C2)CC1 ZINC001052080563 765453892 /nfs/dbraw/zinc/45/38/92/765453892.db2.gz DDNVNQSPDPYKGN-MRXNPFEDSA-N 1 2 301.434 1.028 20 30 DDEDLO C#CCCN1CC[NH+]([C@H]2CCN(C(=O)[C@H]3CC34CCC4)C2)CC1 ZINC001052091040 765462922 /nfs/dbraw/zinc/46/29/22/765462922.db2.gz BQZWIQWICPWKBJ-DLBZAZTESA-N 1 2 315.461 1.418 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[NH+](CCOC[C@@H]2CCCO2)CC1 ZINC001113243586 765478020 /nfs/dbraw/zinc/47/80/20/765478020.db2.gz KQDHTHAJROHWBA-IRXDYDNUSA-N 1 2 324.465 1.929 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001052187453 765563116 /nfs/dbraw/zinc/56/31/16/765563116.db2.gz JDZYEVGIWUDSAI-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO C=CCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001052187453 765563122 /nfs/dbraw/zinc/56/31/22/765563122.db2.gz JDZYEVGIWUDSAI-KGLIPLIRSA-N 1 2 318.421 1.519 20 30 DDEDLO N#Cc1cccnc1N[C@H]1CCCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001057765361 765843505 /nfs/dbraw/zinc/84/35/05/765843505.db2.gz SPZVWRRFKCVFOD-ZDUSSCGKSA-N 1 2 310.361 1.322 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](C)N(C)c1[nH+]cnc2c1cnn2C ZINC001113731014 766070975 /nfs/dbraw/zinc/07/09/75/766070975.db2.gz SHJIRSOMNJWSLM-GFCCVEGCSA-N 1 2 316.409 1.661 20 30 DDEDLO C[C@H]1C[C@@H](NC(=O)Cc2[nH]cc[nH+]2)CCN1c1ccncc1C#N ZINC001067549939 766609962 /nfs/dbraw/zinc/60/99/62/766609962.db2.gz MRCWDIJFBKAQGS-JSGCOSHPSA-N 1 2 324.388 1.393 20 30 DDEDLO C=C[C@@H](C)[C@H](C)C(=O)N(C)CCCN(C)C(=O)Cn1cc[nH+]c1 ZINC001067716847 766648392 /nfs/dbraw/zinc/64/83/92/766648392.db2.gz KBLSEONDNNLNTJ-CABCVRRESA-N 1 2 320.437 1.648 20 30 DDEDLO CN(C[C@H]1CCCN1C(=O)Cc1c[nH+]c[nH]1)c1ncccc1C#N ZINC001067802986 766711340 /nfs/dbraw/zinc/71/13/40/766711340.db2.gz PQCRFPOREBKJNJ-OAHLLOKOSA-N 1 2 324.388 1.346 20 30 DDEDLO N#CCN1CC[C@@]2(C1)CCCCN(C(=O)CCc1c[nH]c[nH+]1)C2 ZINC001045945458 766728377 /nfs/dbraw/zinc/72/83/77/766728377.db2.gz YBBWLCKWDHYSTI-QGZVFWFLSA-N 1 2 315.421 1.570 20 30 DDEDLO N#CCN1CC[C@@]2(C1)CCCCN(C(=O)CCc1c[nH+]c[nH]1)C2 ZINC001045945458 766728381 /nfs/dbraw/zinc/72/83/81/766728381.db2.gz YBBWLCKWDHYSTI-QGZVFWFLSA-N 1 2 315.421 1.570 20 30 DDEDLO Cc1nc(NCC2CC(NC(=O)c3ccc(C#N)[nH]3)C2)cc[nH+]1 ZINC001067842272 766746321 /nfs/dbraw/zinc/74/63/21/766746321.db2.gz BEZDZGRCSMVVOA-UHFFFAOYSA-N 1 2 310.361 1.605 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH+]([C@@H]3CCN(CC#N)C3)CC2)c(C)o1 ZINC001046001342 766787109 /nfs/dbraw/zinc/78/71/09/766787109.db2.gz AQQWEQPDRCNFLC-OAHLLOKOSA-N 1 2 316.405 1.252 20 30 DDEDLO Cc1cc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)no1 ZINC001114257652 766828551 /nfs/dbraw/zinc/82/85/51/766828551.db2.gz DFSLWCKJGQNDFC-FOLVSLTJSA-N 1 2 301.390 1.579 20 30 DDEDLO Cc1cc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)no1 ZINC001114257652 766828557 /nfs/dbraw/zinc/82/85/57/766828557.db2.gz DFSLWCKJGQNDFC-FOLVSLTJSA-N 1 2 301.390 1.579 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)o1 ZINC001114257839 766828679 /nfs/dbraw/zinc/82/86/79/766828679.db2.gz GWRVKQGQFJLIRB-VIKVFOODSA-N 1 2 301.390 1.579 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)(C)C)o1 ZINC001114257839 766828684 /nfs/dbraw/zinc/82/86/84/766828684.db2.gz GWRVKQGQFJLIRB-VIKVFOODSA-N 1 2 301.390 1.579 20 30 DDEDLO C[C@@H](C(=O)N1CC[NH+]([C@H]2CCN(CC#N)C2)CC1)C(C)(F)F ZINC001046062045 766874259 /nfs/dbraw/zinc/87/42/59/766874259.db2.gz AKNOXGSWRFDOAW-STQMWFEESA-N 1 2 314.380 1.020 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(C)CC1CCCC1 ZINC001121611102 782591272 /nfs/dbraw/zinc/59/12/72/782591272.db2.gz XBIUCGJCFUIZOS-CABCVRRESA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(C)CC1CCCC1 ZINC001121611102 782591281 /nfs/dbraw/zinc/59/12/81/782591281.db2.gz XBIUCGJCFUIZOS-CABCVRRESA-N 1 2 319.453 1.828 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@@H+]2C)nnc1N(CC)CC(C)C ZINC001121611527 782590775 /nfs/dbraw/zinc/59/07/75/782590775.db2.gz ZUANNJZNVHVVAC-KGLIPLIRSA-N 1 2 307.442 1.684 20 30 DDEDLO C=CCn1c([C@@H]2C[C@@H](O)C[N@H+]2C)nnc1N(CC)CC(C)C ZINC001121611527 782590777 /nfs/dbraw/zinc/59/07/77/782590777.db2.gz ZUANNJZNVHVVAC-KGLIPLIRSA-N 1 2 307.442 1.684 20 30 DDEDLO Cc1nc(NC[C@@H]2C[C@H](C)N(C(=O)c3c[nH]c(C#N)c3)C2)cc[nH+]1 ZINC001068302125 767078480 /nfs/dbraw/zinc/07/84/80/767078480.db2.gz QQALICMDDNQKOX-AAEUAGOBSA-N 1 2 324.388 1.948 20 30 DDEDLO Cn1nncc1C(=O)N[C@@]1(C)CC[N@H+](Cc2ccccc2C#N)C1 ZINC001046286268 767363461 /nfs/dbraw/zinc/36/34/61/767363461.db2.gz USRISUYEUIHTQY-KRWDZBQOSA-N 1 2 324.388 1.081 20 30 DDEDLO Cn1nncc1C(=O)N[C@@]1(C)CC[N@@H+](Cc2ccccc2C#N)C1 ZINC001046286268 767363469 /nfs/dbraw/zinc/36/34/69/767363469.db2.gz USRISUYEUIHTQY-KRWDZBQOSA-N 1 2 324.388 1.081 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cc3n(n2)CCO3)C1 ZINC001046382347 767493715 /nfs/dbraw/zinc/49/37/15/767493715.db2.gz SKSUUHRKRBSBET-CQSZACIVSA-N 1 2 310.785 1.222 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc3n(n2)CCO3)C1 ZINC001046382347 767493716 /nfs/dbraw/zinc/49/37/16/767493716.db2.gz SKSUUHRKRBSBET-CQSZACIVSA-N 1 2 310.785 1.222 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)c2cc3n(n2)CCCO3)C1 ZINC001046469804 767604860 /nfs/dbraw/zinc/60/48/60/767604860.db2.gz AXCCVJSMOKONEX-OAHLLOKOSA-N 1 2 324.812 1.612 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)c2cc3n(n2)CCCO3)C1 ZINC001046469804 767604863 /nfs/dbraw/zinc/60/48/63/767604863.db2.gz AXCCVJSMOKONEX-OAHLLOKOSA-N 1 2 324.812 1.612 20 30 DDEDLO C[C@]1(NC(=O)c2cnco2)CC[N@H+](CC#Cc2ccccc2)C1 ZINC001046519066 767648499 /nfs/dbraw/zinc/64/84/99/767648499.db2.gz APBWISILWCUYAN-SFHVURJKSA-N 1 2 309.369 1.921 20 30 DDEDLO C[C@]1(NC(=O)c2cnco2)CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001046519066 767648500 /nfs/dbraw/zinc/64/85/00/767648500.db2.gz APBWISILWCUYAN-SFHVURJKSA-N 1 2 309.369 1.921 20 30 DDEDLO C#CCC[N@H+]1CC[C@@](C)(NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001046536695 767666423 /nfs/dbraw/zinc/66/64/23/767666423.db2.gz XGABNADZYAOOPW-GOSISDBHSA-N 1 2 323.400 1.689 20 30 DDEDLO C#CCC[N@@H+]1CC[C@@](C)(NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001046536695 767666425 /nfs/dbraw/zinc/66/64/25/767666425.db2.gz XGABNADZYAOOPW-GOSISDBHSA-N 1 2 323.400 1.689 20 30 DDEDLO Cc1ccc(C#N)c(N[C@@H]2CCN(C(=O)Cc3[nH]cc[nH+]3)[C@@H]2C)n1 ZINC001068887567 767682550 /nfs/dbraw/zinc/68/25/50/767682550.db2.gz NWQRKTIRSVMRSO-TZMCWYRMSA-N 1 2 324.388 1.629 20 30 DDEDLO C[C@@H]1[C@H](Nc2ccc(C#N)cn2)CCN1C(=O)Cc1[nH]cc[nH+]1 ZINC001068888317 767684467 /nfs/dbraw/zinc/68/44/67/767684467.db2.gz YMQAWUFKJMANAR-DGCLKSJQSA-N 1 2 310.361 1.320 20 30 DDEDLO C[C@]1(NC(=O)c2cnon2)CC[N@H+](CC#Cc2ccccc2)C1 ZINC001046719807 767846042 /nfs/dbraw/zinc/84/60/42/767846042.db2.gz RJBBPCDDUKJQGS-KRWDZBQOSA-N 1 2 310.357 1.316 20 30 DDEDLO C[C@]1(NC(=O)c2cnon2)CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001046719807 767846050 /nfs/dbraw/zinc/84/60/50/767846050.db2.gz RJBBPCDDUKJQGS-KRWDZBQOSA-N 1 2 310.357 1.316 20 30 DDEDLO CN(C(=O)C1CC=CC1)[C@H]1C[N@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047329809 768404307 /nfs/dbraw/zinc/40/43/07/768404307.db2.gz COYBUZGRFXVULT-OALUTQOASA-N 1 2 324.424 1.508 20 30 DDEDLO CN(C(=O)C1CC=CC1)[C@H]1C[N@@H+](CC#Cc2ccccc2)C[C@@H]1O ZINC001047329809 768404312 /nfs/dbraw/zinc/40/43/12/768404312.db2.gz COYBUZGRFXVULT-OALUTQOASA-N 1 2 324.424 1.508 20 30 DDEDLO COCC#CC[N@@H+]1C[C@H](NC(=O)C(=O)C(C)(C)C)CC[C@@H]1C ZINC001131934237 768483386 /nfs/dbraw/zinc/48/33/86/768483386.db2.gz GIVLLAVROPOYCM-UONOGXRCSA-N 1 2 308.422 1.221 20 30 DDEDLO COCC#CC[N@H+]1C[C@H](NC(=O)C(=O)C(C)(C)C)CC[C@@H]1C ZINC001131934237 768483389 /nfs/dbraw/zinc/48/33/89/768483389.db2.gz GIVLLAVROPOYCM-UONOGXRCSA-N 1 2 308.422 1.221 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cc(OC)no2)C1 ZINC001131946748 768505389 /nfs/dbraw/zinc/50/53/89/768505389.db2.gz MLPQDSYACBVCLD-STQMWFEESA-N 1 2 323.393 1.355 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[C@H](C)[N@@H+](Cc2cc(OC)no2)C1 ZINC001131946748 768505392 /nfs/dbraw/zinc/50/53/92/768505392.db2.gz MLPQDSYACBVCLD-STQMWFEESA-N 1 2 323.393 1.355 20 30 DDEDLO Cc1cnc(C[N@@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]2C)cn1 ZINC001132021902 768558900 /nfs/dbraw/zinc/55/89/00/768558900.db2.gz RLNICZUDFRNCKU-HOTGVXAUSA-N 1 2 314.433 1.914 20 30 DDEDLO Cc1cnc(C[N@H+]2C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]2C)cn1 ZINC001132021902 768558905 /nfs/dbraw/zinc/55/89/05/768558905.db2.gz RLNICZUDFRNCKU-HOTGVXAUSA-N 1 2 314.433 1.914 20 30 DDEDLO C#Cc1ccc(C(=O)NCC[NH2+]Cc2nc(C3CCC3)no2)cc1 ZINC001132226612 768697183 /nfs/dbraw/zinc/69/71/83/768697183.db2.gz HOSUNFACLUUMFZ-UHFFFAOYSA-N 1 2 324.384 1.838 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[C@@H](C)[N@@H+](CCS(C)(=O)=O)C1 ZINC001132257081 768713829 /nfs/dbraw/zinc/71/38/29/768713829.db2.gz TXAHDGGFWLASRB-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[C@@H](C)[N@H+](CCS(C)(=O)=O)C1 ZINC001132257081 768713831 /nfs/dbraw/zinc/71/38/31/768713831.db2.gz TXAHDGGFWLASRB-KGLIPLIRSA-N 1 2 316.467 1.356 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001070794455 768733980 /nfs/dbraw/zinc/73/39/80/768733980.db2.gz MOVZHUQXEGTBBB-CYBMUJFWSA-N 1 2 318.421 1.662 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)C2CCCCC2)CC1 ZINC001070933544 768792893 /nfs/dbraw/zinc/79/28/93/768792893.db2.gz ROCXKTNALVLENY-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)C2CCCCC2)CC1 ZINC001070933544 768792897 /nfs/dbraw/zinc/79/28/97/768792897.db2.gz ROCXKTNALVLENY-UHFFFAOYSA-N 1 2 307.438 1.403 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)CC2CCCCC2)CC1 ZINC001070935922 768795920 /nfs/dbraw/zinc/79/59/20/768795920.db2.gz ASKXYVLZYHEHPY-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)CC2CCCCC2)CC1 ZINC001070935922 768795924 /nfs/dbraw/zinc/79/59/24/768795924.db2.gz ASKXYVLZYHEHPY-UHFFFAOYSA-N 1 2 321.465 1.793 20 30 DDEDLO C=CC[N@@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@]2(C)CC)CC1 ZINC001071012792 768878328 /nfs/dbraw/zinc/87/83/28/768878328.db2.gz GUFDAMYTLQQHJV-YOEHRIQHSA-N 1 2 307.438 1.259 20 30 DDEDLO C=CC[N@H+]1CCNC(=O)CCN(C(=O)[C@@H]2C[C@]2(C)CC)CC1 ZINC001071012792 768878335 /nfs/dbraw/zinc/87/83/35/768878335.db2.gz GUFDAMYTLQQHJV-YOEHRIQHSA-N 1 2 307.438 1.259 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CCC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001071135342 768998712 /nfs/dbraw/zinc/99/87/12/768998712.db2.gz MAHAUZBHFUNHRA-UONOGXRCSA-N 1 2 318.421 1.662 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@@H](C)O2 ZINC001071166056 769085728 /nfs/dbraw/zinc/08/57/28/769085728.db2.gz BHXJFSGOSHVFHC-IUODEOHRSA-N 1 2 324.812 1.468 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@@H](C)O2 ZINC001071166056 769085736 /nfs/dbraw/zinc/08/57/36/769085736.db2.gz BHXJFSGOSHVFHC-IUODEOHRSA-N 1 2 324.812 1.468 20 30 DDEDLO C#CCC[N@H+]1CCN([C@@H]2CCCN(C(=O)[C@H](C)CC)C2)C(=O)C1 ZINC001071257164 769202736 /nfs/dbraw/zinc/20/27/36/769202736.db2.gz SYECMGCYXXLYGZ-HZPDHXFCSA-N 1 2 319.449 1.191 20 30 DDEDLO C#CCC[N@@H+]1CCN([C@@H]2CCCN(C(=O)[C@H](C)CC)C2)C(=O)C1 ZINC001071257164 769202740 /nfs/dbraw/zinc/20/27/40/769202740.db2.gz SYECMGCYXXLYGZ-HZPDHXFCSA-N 1 2 319.449 1.191 20 30 DDEDLO C[C@H]1CCN(C(=O)c2cccc3[nH+]ccn32)C[C@H]1CNCC#N ZINC001132981797 769385948 /nfs/dbraw/zinc/38/59/48/769385948.db2.gz GHVKPWRXTHXKJM-UONOGXRCSA-N 1 2 311.389 1.546 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2nc3cccnc3s2)CC[C@H]1C ZINC001071572371 769647536 /nfs/dbraw/zinc/64/75/36/769647536.db2.gz UYUUXAUQLYLSIS-NEPJUHHUSA-N 1 2 314.414 1.907 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2nc3cccnc3s2)CC[C@H]1C ZINC001071572371 769647543 /nfs/dbraw/zinc/64/75/43/769647543.db2.gz UYUUXAUQLYLSIS-NEPJUHHUSA-N 1 2 314.414 1.907 20 30 DDEDLO N#Cc1cccnc1N1CC[C@@H](NC(=O)CCCn2cc[nH+]c2)C1 ZINC001096396097 769669125 /nfs/dbraw/zinc/66/91/25/769669125.db2.gz UNSJFWVSUQZPQT-OAHLLOKOSA-N 1 2 324.388 1.325 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@H]1C ZINC001071593585 769679621 /nfs/dbraw/zinc/67/96/21/769679621.db2.gz DLLSLARECDMXLW-PWSUYJOCSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@H]1C ZINC001071593585 769679625 /nfs/dbraw/zinc/67/96/25/769679625.db2.gz DLLSLARECDMXLW-PWSUYJOCSA-N 1 2 310.785 1.517 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NCC[NH2+]Cc1nc([C@@H](C)OC)no1 ZINC001133378644 769758670 /nfs/dbraw/zinc/75/86/70/769758670.db2.gz JIQFELPQQJFQCC-IAQYHMDHSA-N 1 2 310.398 1.585 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC[NH2+]Cc1nc(CC2CC2)no1 ZINC001133380285 769762235 /nfs/dbraw/zinc/76/22/35/769762235.db2.gz CSXPGXKOVMQUJW-INIZCTEOSA-N 1 2 306.410 1.830 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nc(C(F)F)no2)CCC1 ZINC001133392166 769772469 /nfs/dbraw/zinc/77/24/69/769772469.db2.gz JZNNYWSFYAHFIO-UHFFFAOYSA-N 1 2 314.336 1.959 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@@H]1C ZINC001071776322 770050005 /nfs/dbraw/zinc/05/00/05/770050005.db2.gz QHJLJMKHPPVEBC-WDEREUQCSA-N 1 2 310.785 1.105 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@@H]1C ZINC001071776322 770050019 /nfs/dbraw/zinc/05/00/19/770050019.db2.gz QHJLJMKHPPVEBC-WDEREUQCSA-N 1 2 310.785 1.105 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CN(C(=O)Cc2[nH]c[nH+]c2C)C[C@@H]1C ZINC001072484350 770962684 /nfs/dbraw/zinc/96/26/84/770962684.db2.gz OIZPZNSEDVQHMY-WFASDCNBSA-N 1 2 318.421 1.580 20 30 DDEDLO Cc1nc(N[C@H](CNC(=O)c2c[nH]c(C#N)c2)C2CC2)cc[nH+]1 ZINC001096650372 771372277 /nfs/dbraw/zinc/37/22/77/771372277.db2.gz RRAIVJFQQYLEIU-CQSZACIVSA-N 1 2 310.361 1.605 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H](Nc2[nH+]cnc3c2cnn3C)C1 ZINC001096962292 771532060 /nfs/dbraw/zinc/53/20/60/771532060.db2.gz NARZNISUJLLVSF-LBPRGKRZSA-N 1 2 314.393 1.732 20 30 DDEDLO C=C(C)C[N@@H+]1CC[C@@H](NC(=O)c2n[nH]c3ccccc32)[C@@H](O)C1 ZINC001090773252 772189559 /nfs/dbraw/zinc/18/95/59/772189559.db2.gz BSJULIKAXQPWAR-CABCVRRESA-N 1 2 314.389 1.304 20 30 DDEDLO C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2n[nH]c3ccccc32)[C@@H](O)C1 ZINC001090773252 772189561 /nfs/dbraw/zinc/18/95/61/772189561.db2.gz BSJULIKAXQPWAR-CABCVRRESA-N 1 2 314.389 1.304 20 30 DDEDLO N#Cc1cncc(C[N@H+]2CCC[C@@H](NC(=O)c3ccncc3)C2)c1 ZINC001144084804 772399725 /nfs/dbraw/zinc/39/97/25/772399725.db2.gz NLKXAFVUSTURPU-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO N#Cc1cncc(C[N@@H+]2CCC[C@@H](NC(=O)c3ccncc3)C2)c1 ZINC001144084804 772399728 /nfs/dbraw/zinc/39/97/28/772399728.db2.gz NLKXAFVUSTURPU-QGZVFWFLSA-N 1 2 321.384 1.743 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1C[C@@H](C)[C@H](Nc2cc[nH+]c(C)n2)C1 ZINC001090986606 772409867 /nfs/dbraw/zinc/40/98/67/772409867.db2.gz FLVHFCPMXNZCOL-MBNYWOFBSA-N 1 2 304.394 1.635 20 30 DDEDLO N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)Cc3[nH]cc[nH+]3)C2)nc1 ZINC001091362978 772707410 /nfs/dbraw/zinc/70/74/10/772707410.db2.gz HJTKYBMPVFEMQK-BETUJISGSA-N 1 2 310.361 1.226 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@@H+]([C@@H](C)c1nnc(C)o1)C2 ZINC001147188326 773062943 /nfs/dbraw/zinc/06/29/43/773062943.db2.gz WWJPJCWQIQEBGG-LBPRGKRZSA-N 1 2 304.394 1.940 20 30 DDEDLO C=CCCC(=O)N1CC2(C1)CC[N@H+]([C@@H](C)c1nnc(C)o1)C2 ZINC001147188326 773062947 /nfs/dbraw/zinc/06/29/47/773062947.db2.gz WWJPJCWQIQEBGG-LBPRGKRZSA-N 1 2 304.394 1.940 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@H+](Cc1cscn1)C2 ZINC001148874538 773610743 /nfs/dbraw/zinc/61/07/43/773610743.db2.gz ZUTJPHJVIMAAMI-UHFFFAOYSA-N 1 2 321.446 1.770 20 30 DDEDLO C=CCCOCC(=O)N1CC2(C1)CC[N@@H+](Cc1cscn1)C2 ZINC001148874538 773610750 /nfs/dbraw/zinc/61/07/50/773610750.db2.gz ZUTJPHJVIMAAMI-UHFFFAOYSA-N 1 2 321.446 1.770 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@H+](Cc1ccon1)C2 ZINC001148874069 773611051 /nfs/dbraw/zinc/61/10/51/773611051.db2.gz ICDXTFJUKGPIHD-ZDUSSCGKSA-N 1 2 305.378 1.300 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CC2(C1)CC[N@@H+](Cc1ccon1)C2 ZINC001148874069 773611056 /nfs/dbraw/zinc/61/10/56/773611056.db2.gz ICDXTFJUKGPIHD-ZDUSSCGKSA-N 1 2 305.378 1.300 20 30 DDEDLO C[C@@H]1CC2(CN1C(=O)CCc1c[nH]c[nH+]1)CCN(CC#N)CC2 ZINC001086927309 773725045 /nfs/dbraw/zinc/72/50/45/773725045.db2.gz YNZYIAWCNOUZGU-CQSZACIVSA-N 1 2 315.421 1.569 20 30 DDEDLO C[C@@H]1CC2(CN1C(=O)CCc1c[nH+]c[nH]1)CCN(CC#N)CC2 ZINC001086927309 773725047 /nfs/dbraw/zinc/72/50/47/773725047.db2.gz YNZYIAWCNOUZGU-CQSZACIVSA-N 1 2 315.421 1.569 20 30 DDEDLO C[C@H]1CC2(CN1C(=O)CCc1c[nH]c[nH+]1)CCN(CC#N)CC2 ZINC001086927308 773725112 /nfs/dbraw/zinc/72/51/12/773725112.db2.gz YNZYIAWCNOUZGU-AWEZNQCLSA-N 1 2 315.421 1.569 20 30 DDEDLO C[C@H]1CC2(CN1C(=O)CCc1c[nH+]c[nH]1)CCN(CC#N)CC2 ZINC001086927308 773725115 /nfs/dbraw/zinc/72/51/15/773725115.db2.gz YNZYIAWCNOUZGU-AWEZNQCLSA-N 1 2 315.421 1.569 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccoc3)C[C@@H]21 ZINC001074223298 773748421 /nfs/dbraw/zinc/74/84/21/773748421.db2.gz WYWDDEZYXJARRG-JKSUJKDBSA-N 1 2 302.374 1.147 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)Cc3ccoc3)C[C@@H]21 ZINC001074223298 773748424 /nfs/dbraw/zinc/74/84/24/773748424.db2.gz WYWDDEZYXJARRG-JKSUJKDBSA-N 1 2 302.374 1.147 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(Br)o2)C1 ZINC000586060893 773751948 /nfs/dbraw/zinc/75/19/48/773751948.db2.gz RPGFVVRKDZGUEF-SNVBAGLBSA-N 1 2 311.179 1.870 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(Br)o2)C1 ZINC000586060893 773751950 /nfs/dbraw/zinc/75/19/50/773751950.db2.gz RPGFVVRKDZGUEF-SNVBAGLBSA-N 1 2 311.179 1.870 20 30 DDEDLO C=C(C)C[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccncc3C)C[C@H]21 ZINC001074232552 773755920 /nfs/dbraw/zinc/75/59/20/773755920.db2.gz BKHTZMIRRARWDZ-IAGOWNOFSA-N 1 2 315.417 1.881 20 30 DDEDLO C=C(C)C[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccncc3C)C[C@H]21 ZINC001074232552 773755924 /nfs/dbraw/zinc/75/59/24/773755924.db2.gz BKHTZMIRRARWDZ-IAGOWNOFSA-N 1 2 315.417 1.881 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C4CC4)CCC3)C[C@@H]21 ZINC001074304277 773808021 /nfs/dbraw/zinc/80/80/21/773808021.db2.gz HPVZDLKMGQUKIL-DLBZAZTESA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C4CC4)CCC3)C[C@@H]21 ZINC001074304277 773808027 /nfs/dbraw/zinc/80/80/27/773808027.db2.gz HPVZDLKMGQUKIL-DLBZAZTESA-N 1 2 316.445 1.892 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@H]21 ZINC001074319063 773819648 /nfs/dbraw/zinc/81/96/48/773819648.db2.gz FPHQHOJHVSRCTO-DRRXZNNHSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C[C@H]21 ZINC001074319063 773819650 /nfs/dbraw/zinc/81/96/50/773819650.db2.gz FPHQHOJHVSRCTO-DRRXZNNHSA-N 1 2 302.418 1.358 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(C(C)C)CC3)C[C@@H]21 ZINC001074334427 773831001 /nfs/dbraw/zinc/83/10/01/773831001.db2.gz RLGRVRVQROALOR-JKSUJKDBSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(C(C)C)CC3)C[C@@H]21 ZINC001074334427 773831006 /nfs/dbraw/zinc/83/10/06/773831006.db2.gz RLGRVRVQROALOR-JKSUJKDBSA-N 1 2 304.434 1.748 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)c3ccn(C)c3C)C[C@H]21 ZINC001074336202 773832734 /nfs/dbraw/zinc/83/27/34/773832734.db2.gz LKZIKTLLSZJXMB-IAGOWNOFSA-N 1 2 315.417 1.272 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)c3ccn(C)c3C)C[C@H]21 ZINC001074336202 773832737 /nfs/dbraw/zinc/83/27/37/773832737.db2.gz LKZIKTLLSZJXMB-IAGOWNOFSA-N 1 2 315.417 1.272 20 30 DDEDLO CCc1cc(N2CC[C@H](NC(=O)[C@H](C)C#N)[C@H]2C)nc(C)[nH+]1 ZINC001091944587 773885642 /nfs/dbraw/zinc/88/56/42/773885642.db2.gz FPAYJTLCWKXDHF-GYSYKLTISA-N 1 2 301.394 1.591 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C[C@H]1O ZINC001092131441 773956108 /nfs/dbraw/zinc/95/61/08/773956108.db2.gz HTHBRELCCKZMMO-LSDHHAIUSA-N 1 2 318.421 1.424 20 30 DDEDLO C=CCCC(=O)NCC1CC(Nc2[nH+]cnc3c2cnn3C)C1 ZINC001092197000 773999900 /nfs/dbraw/zinc/99/99/00/773999900.db2.gz OSVZSSFWNRTDAA-UHFFFAOYSA-N 1 2 314.393 1.636 20 30 DDEDLO C=CCOCC(=O)NC[C@@H]1CN(c2cc[nH+]c(C)n2)C[C@H]1C ZINC001092329512 774081419 /nfs/dbraw/zinc/08/14/19/774081419.db2.gz SPBRZGZEHMQCER-TZMCWYRMSA-N 1 2 304.394 1.176 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@H](NC(=O)c1ccccn1)c1nccn12 ZINC001092342162 774091510 /nfs/dbraw/zinc/09/15/10/774091510.db2.gz IYNWWHRSNZGEEG-AWEZNQCLSA-N 1 2 309.373 1.350 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)C1CCCC1)c1nccn12 ZINC001092342436 774091660 /nfs/dbraw/zinc/09/16/60/774091660.db2.gz MINUUSZOHVCALS-OAHLLOKOSA-N 1 2 312.417 1.669 20 30 DDEDLO C=CC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccco1)c1nccn12 ZINC001092404631 774103819 /nfs/dbraw/zinc/10/38/19/774103819.db2.gz ZZQVKUMZAKHADH-CQSZACIVSA-N 1 2 312.373 1.477 20 30 DDEDLO CC#CC[NH+]1CC2(C1)C[C@@H](NC(=O)Cc1ccoc1)c1nccn12 ZINC001092367250 774105615 /nfs/dbraw/zinc/10/56/15/774105615.db2.gz MQENMLZPTKGKRN-OAHLLOKOSA-N 1 2 324.384 1.314 20 30 DDEDLO C[C@@H]1CN(c2ccncc2C#N)C[C@H]1CNC(=O)Cc1c[nH]c[nH+]1 ZINC001092452175 774149132 /nfs/dbraw/zinc/14/91/32/774149132.db2.gz WULBGOARMAXRCN-TZMCWYRMSA-N 1 2 324.388 1.108 20 30 DDEDLO C=CCCC(=O)N[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)[C@H](C)C1 ZINC001074882631 774213612 /nfs/dbraw/zinc/21/36/12/774213612.db2.gz YTWLSEROOLQXFA-ZIAGYGMSSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH]c[nH+]2)[C@H](C)C1 ZINC001074886068 774216210 /nfs/dbraw/zinc/21/62/10/774216210.db2.gz CIRPNRVZXYNIIM-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH+]c[nH]2)[C@H](C)C1 ZINC001074886068 774216212 /nfs/dbraw/zinc/21/62/12/774216212.db2.gz CIRPNRVZXYNIIM-KGLIPLIRSA-N 1 2 318.421 1.804 20 30 DDEDLO C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2[nH]cc[nH+]2)C[C@@H]1C ZINC001075127710 774371561 /nfs/dbraw/zinc/37/15/61/774371561.db2.gz PNDIOJDJXRTLKN-QWHCGFSZSA-N 1 2 304.394 1.414 20 30 DDEDLO C[C@@H](CNC(=O)CCc1[nH+]ccn1C)Nc1ccc(C#N)cn1 ZINC001098441487 774582702 /nfs/dbraw/zinc/58/27/02/774582702.db2.gz AYFXFVVZVVEGTF-LBPRGKRZSA-N 1 2 312.377 1.236 20 30 DDEDLO C#CC[NH2+]Cc1cn([C@@H]2CCN(C(=O)C(F)=C(C)C)C2)nn1 ZINC001098657526 774632784 /nfs/dbraw/zinc/63/27/84/774632784.db2.gz WVYCOMGFJWTTDR-CYBMUJFWSA-N 1 2 305.357 1.038 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC(C)(C)C[N@@H+]1Cc1ccn(C)n1 ZINC001098982560 774754645 /nfs/dbraw/zinc/75/46/45/774754645.db2.gz LVPCKYCQTRIPEV-DZGCQCFKSA-N 1 2 317.437 1.687 20 30 DDEDLO C[C@@H](C#N)C(=O)NC[C@H]1CCC(C)(C)C[N@H+]1Cc1ccn(C)n1 ZINC001098982560 774754648 /nfs/dbraw/zinc/75/46/48/774754648.db2.gz LVPCKYCQTRIPEV-DZGCQCFKSA-N 1 2 317.437 1.687 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2n[nH]cc2F)C1 ZINC001099014274 774772596 /nfs/dbraw/zinc/77/25/96/774772596.db2.gz UXKGQIDPDFWSGX-HZMBPMFUSA-N 1 2 312.776 1.886 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2n[nH]cc2F)C1 ZINC001099014274 774772599 /nfs/dbraw/zinc/77/25/99/774772599.db2.gz UXKGQIDPDFWSGX-HZMBPMFUSA-N 1 2 312.776 1.886 20 30 DDEDLO C=CC[NH+]1CC(OC2CCN(C(=O)[C@H]3CC[C@@H](CC)O3)CC2)C1 ZINC001093540214 774809706 /nfs/dbraw/zinc/80/97/06/774809706.db2.gz FSMYLXLBTAFJQO-RHSMWYFYSA-N 1 2 322.449 1.822 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)[C@H]3CC[C@@H](C)O3)CC2)C1 ZINC001093577694 774851689 /nfs/dbraw/zinc/85/16/89/774851689.db2.gz ROMISOAQVGGTND-RHSMWYFYSA-N 1 2 322.449 1.822 20 30 DDEDLO C=C(C)C[NH+]1CC(OC2CCN(C(=O)c3nc(C)c[nH]3)CC2)C1 ZINC001093581229 774856454 /nfs/dbraw/zinc/85/64/54/774856454.db2.gz BQLMDWJAGSGPTI-UHFFFAOYSA-N 1 2 318.421 1.600 20 30 DDEDLO C=CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001099157398 774869513 /nfs/dbraw/zinc/86/95/13/774869513.db2.gz QNZGOINPEMAHSV-GUYCJALGSA-N 1 2 311.389 1.728 20 30 DDEDLO C=CC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001099157398 774869521 /nfs/dbraw/zinc/86/95/21/774869521.db2.gz QNZGOINPEMAHSV-GUYCJALGSA-N 1 2 311.389 1.728 20 30 DDEDLO C=C(C)CCC(=O)NCC[C@@H](C)Nc1nc(N(C)C)nc(C)[nH+]1 ZINC001099549398 775025127 /nfs/dbraw/zinc/02/51/27/775025127.db2.gz CZECQVFPDKIJSF-GFCCVEGCSA-N 1 2 320.441 1.909 20 30 DDEDLO CCCC(=O)N[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001099626667 775076283 /nfs/dbraw/zinc/07/62/83/775076283.db2.gz CFFQWZYTKCGWEZ-CVEARBPZSA-N 1 2 319.380 1.549 20 30 DDEDLO CCCC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2F)C[C@@H]1O ZINC001099626667 775076288 /nfs/dbraw/zinc/07/62/88/775076288.db2.gz CFFQWZYTKCGWEZ-CVEARBPZSA-N 1 2 319.380 1.549 20 30 DDEDLO Cc1[nH+]c[nH]c1CC(=O)NCCNc1snc(Cl)c1C#N ZINC001093776473 775100007 /nfs/dbraw/zinc/10/00/07/775100007.db2.gz GHIWTDPBTJKZKF-UHFFFAOYSA-N 1 2 324.797 1.471 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)COC2CCCC2)[C@H](O)C1 ZINC001099710447 775168550 /nfs/dbraw/zinc/16/85/50/775168550.db2.gz YBGDAOCRWALDGG-UONOGXRCSA-N 1 2 316.829 1.250 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)COC2CCCC2)[C@H](O)C1 ZINC001099710447 775168556 /nfs/dbraw/zinc/16/85/56/775168556.db2.gz YBGDAOCRWALDGG-UONOGXRCSA-N 1 2 316.829 1.250 20 30 DDEDLO Cc1c[nH+]c2cc(C(=O)NCCNc3nccnc3C#N)ccn12 ZINC001093888244 775186992 /nfs/dbraw/zinc/18/69/92/775186992.db2.gz WNQJHRJYVCKIKP-UHFFFAOYSA-N 1 2 321.344 1.146 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099725923 775188851 /nfs/dbraw/zinc/18/88/51/775188851.db2.gz AQDIQDRBNVOIDC-UONOGXRCSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099725923 775188856 /nfs/dbraw/zinc/18/88/56/775188856.db2.gz AQDIQDRBNVOIDC-UONOGXRCSA-N 1 2 307.394 1.309 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@@H+](Cc2cc(F)ccc2F)C[C@@H]1O ZINC001099726732 775190911 /nfs/dbraw/zinc/19/09/11/775190911.db2.gz JNLKMCUMDASHOH-HOTGVXAUSA-N 1 2 324.371 1.982 20 30 DDEDLO C=CCCC(=O)N[C@H]1CC[N@H+](Cc2cc(F)ccc2F)C[C@@H]1O ZINC001099726732 775190915 /nfs/dbraw/zinc/19/09/15/775190915.db2.gz JNLKMCUMDASHOH-HOTGVXAUSA-N 1 2 324.371 1.982 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)C2(C(F)F)CCC2)[C@H](O)C1 ZINC001099967770 775495743 /nfs/dbraw/zinc/49/57/43/775495743.db2.gz SRFPQZQUERYPFU-WDEREUQCSA-N 1 2 322.783 1.726 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)C2(C(F)F)CCC2)[C@H](O)C1 ZINC001099967770 775495750 /nfs/dbraw/zinc/49/57/50/775495750.db2.gz SRFPQZQUERYPFU-WDEREUQCSA-N 1 2 322.783 1.726 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099976620 775508856 /nfs/dbraw/zinc/50/88/56/775508856.db2.gz PEVOUZQATAPUGO-LSDHHAIUSA-N 1 2 321.421 1.699 20 30 DDEDLO C=CCCCC(=O)N[C@H]1CC[N@H+](Cc2nc(C)c(C)o2)C[C@H]1O ZINC001099976620 775508862 /nfs/dbraw/zinc/50/88/62/775508862.db2.gz PEVOUZQATAPUGO-LSDHHAIUSA-N 1 2 321.421 1.699 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)c3cocc3C)nn2)C1 ZINC001094311007 775664343 /nfs/dbraw/zinc/66/43/43/775664343.db2.gz DWOHAAOBLWVTKM-UHFFFAOYSA-N 1 2 315.377 1.542 20 30 DDEDLO C=C(C)C[NH+]1CC(n2cc(CNC(=O)[C@@H]3C[C@@H]3C(C)C)nn2)C1 ZINC001094331575 775713091 /nfs/dbraw/zinc/71/30/91/775713091.db2.gz BULRFTIXYKTUBQ-HZPDHXFCSA-N 1 2 317.437 1.619 20 30 DDEDLO C=CC[NH+]1CC(n2cc(CNC(=O)[C@@H]3CC34CCCC4)nn2)C1 ZINC001094331927 775715078 /nfs/dbraw/zinc/71/50/78/775715078.db2.gz HMCJLPIAJVDILZ-HNNXBMFYSA-N 1 2 315.421 1.517 20 30 DDEDLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)NCCN(C)c1ccncc1C#N ZINC001100368223 776062315 /nfs/dbraw/zinc/06/23/15/776062315.db2.gz SJYRIYDUHVRRCW-LBPRGKRZSA-N 1 2 312.377 1.108 20 30 DDEDLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)NCCN(C)c1ccncc1C#N ZINC001100368223 776062322 /nfs/dbraw/zinc/06/23/22/776062322.db2.gz SJYRIYDUHVRRCW-LBPRGKRZSA-N 1 2 312.377 1.108 20 30 DDEDLO N#Cc1cccnc1NCCCNC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC001094656575 776176044 /nfs/dbraw/zinc/17/60/44/776176044.db2.gz NPSKNAZQZMFDFA-CYBMUJFWSA-N 1 2 324.388 1.331 20 30 DDEDLO C=CC[NH+]1CC(N2C[C@@H](NC(=O)C(C)(C)C3CCC3)CC2=O)C1 ZINC001094766107 776224998 /nfs/dbraw/zinc/22/49/98/776224998.db2.gz FZZIGLIZYJZNDE-AWEZNQCLSA-N 1 2 319.449 1.400 20 30 DDEDLO N#Cc1nc(NCCCNC(=O)Cc2c[nH]c[nH+]2)sc1Cl ZINC001094808691 776307835 /nfs/dbraw/zinc/30/78/35/776307835.db2.gz NONJRCKACDOUIG-UHFFFAOYSA-N 1 2 324.797 1.552 20 30 DDEDLO C[C@@H](CC(=O)NCCCNc1ccc(C#N)nc1)n1cc[nH+]c1 ZINC001094824477 776346634 /nfs/dbraw/zinc/34/66/34/776346634.db2.gz NSQMWISYPXWIFJ-ZDUSSCGKSA-N 1 2 312.377 1.719 20 30 DDEDLO N#Cc1cnccc1N1CC[C@@H](CNC(=O)CCn2cc[nH+]c2)C1 ZINC001101034526 776842150 /nfs/dbraw/zinc/84/21/50/776842150.db2.gz YHXPLHAVWKKAFX-AWEZNQCLSA-N 1 2 324.388 1.183 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N1CC[C@@H](Nc2cc[nH+]c(C)n2)C1 ZINC001095021379 776998290 /nfs/dbraw/zinc/99/82/90/776998290.db2.gz NYPPZNHVSMEHPW-TZMCWYRMSA-N 1 2 304.394 1.779 20 30 DDEDLO Cn1c[nH+]cc1CC(=O)NCCN(c1ccncc1C#N)C1CC1 ZINC001101363684 777096954 /nfs/dbraw/zinc/09/69/54/777096954.db2.gz UZTYRYFJUZDFBA-UHFFFAOYSA-N 1 2 324.388 1.014 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CC[C@H](N(C)c2cc[nH+]c(C)n2)C1 ZINC001095116640 777125660 /nfs/dbraw/zinc/12/56/60/777125660.db2.gz XRHYJDIZFGTGEU-BBRMVZONSA-N 1 2 304.394 1.149 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CCc2ccccn2)[C@H](O)C1 ZINC001099942673 777152209 /nfs/dbraw/zinc/15/22/09/777152209.db2.gz VOENFPWSTFOGTQ-HUUCEWRRSA-N 1 2 323.824 1.318 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CCc2ccccn2)[C@H](O)C1 ZINC001099942673 777152212 /nfs/dbraw/zinc/15/22/12/777152212.db2.gz VOENFPWSTFOGTQ-HUUCEWRRSA-N 1 2 323.824 1.318 20 30 DDEDLO C=CCO[C@@H](C)C(=O)N1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC001095184086 777222562 /nfs/dbraw/zinc/22/25/62/777222562.db2.gz FYOQROSWRKGYAC-ZDUSSCGKSA-N 1 2 304.394 1.415 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)CCNc1[nH+]cnc2c1cnn2C ZINC001101809931 777549050 /nfs/dbraw/zinc/54/90/50/777549050.db2.gz DTNPQSCGNBFFDF-UHFFFAOYSA-N 1 2 316.409 1.836 20 30 DDEDLO CN(CCNc1ccc(C#N)nc1)C(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC001101848274 777599780 /nfs/dbraw/zinc/59/97/80/777599780.db2.gz XSHJEPBCMTVQDO-ZDUSSCGKSA-N 1 2 324.388 1.283 20 30 DDEDLO C[C@H](CC(=O)N(C)CCNc1ccc(C#N)nn1)n1cc[nH+]c1 ZINC001101976052 777756431 /nfs/dbraw/zinc/75/64/31/777756431.db2.gz YPVNTBWRIDFQNW-GFCCVEGCSA-N 1 2 313.365 1.066 20 30 DDEDLO C=CCCn1cc(C(=O)N(C)CCNc2cc[nH+]c(C)n2)nn1 ZINC001101992054 777775289 /nfs/dbraw/zinc/77/52/89/777775289.db2.gz XOTHCCAIGSWMDK-UHFFFAOYSA-N 1 2 315.381 1.137 20 30 DDEDLO CCC(=O)N(C)CC[NH+]1CCN(c2nc(C)ccc2C#N)CC1 ZINC001102409616 778120803 /nfs/dbraw/zinc/12/08/03/778120803.db2.gz LCMCGWIHYUVBGS-UHFFFAOYSA-N 1 2 315.421 1.252 20 30 DDEDLO CN(C(=O)CCn1cc[nH+]c1)[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001102748402 778366112 /nfs/dbraw/zinc/36/61/12/778366112.db2.gz LIPZKVGRHRMRGW-HNNXBMFYSA-N 1 2 324.388 1.277 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CC3(COC)CC3)C[C@@H]21 ZINC001177011579 778390286 /nfs/dbraw/zinc/39/02/86/778390286.db2.gz DKROFIRXEKUAFN-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CC3(COC)CC3)C[C@@H]21 ZINC001177011579 778390288 /nfs/dbraw/zinc/39/02/88/778390288.db2.gz DKROFIRXEKUAFN-JKSUJKDBSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)COC)C[C@H]21 ZINC001177054896 778415865 /nfs/dbraw/zinc/41/58/65/778415865.db2.gz DJWGBKSEVCGESP-CVEARBPZSA-N 1 2 322.449 1.374 20 30 DDEDLO C#CCC[N@H+]1CCO[C@H]2CCN(C(=O)CC(C)(C)COC)C[C@H]21 ZINC001177054896 778415861 /nfs/dbraw/zinc/41/58/61/778415861.db2.gz DJWGBKSEVCGESP-CVEARBPZSA-N 1 2 322.449 1.374 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H]2CCN(C(=O)C3(CC)CC3)C[C@@H]21 ZINC001177095978 778444936 /nfs/dbraw/zinc/44/49/36/778444936.db2.gz TVPRVALCOOQXOT-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H]2CCN(C(=O)C3(CC)CC3)C[C@@H]21 ZINC001177095978 778444939 /nfs/dbraw/zinc/44/49/39/778444939.db2.gz TVPRVALCOOQXOT-JKSUJKDBSA-N 1 2 322.449 1.681 20 30 DDEDLO C#CCC[N@H+]1CCO[C@@H]2CCN(C(=O)CCCOC(C)C)C[C@@H]21 ZINC001177161634 778468709 /nfs/dbraw/zinc/46/87/09/778468709.db2.gz FDTPUBYRFKRNRI-DLBZAZTESA-N 1 2 322.449 1.517 20 30 DDEDLO C#CCC[N@@H+]1CCO[C@@H]2CCN(C(=O)CCCOC(C)C)C[C@@H]21 ZINC001177161634 778468712 /nfs/dbraw/zinc/46/87/12/778468712.db2.gz FDTPUBYRFKRNRI-DLBZAZTESA-N 1 2 322.449 1.517 20 30 DDEDLO CC(C)(C)[C@@H](C[NH+]1CCOCC1)NC(=O)C#Cc1cccnc1 ZINC001177782259 778734807 /nfs/dbraw/zinc/73/48/07/778734807.db2.gz IDJZVJKKVLGZND-MRXNPFEDSA-N 1 2 315.417 1.296 20 30 DDEDLO CC[C@H](CNC(=O)Cc1c[nH]c[nH+]1)Nc1ccc(C#N)c(C)n1 ZINC001103335247 778798803 /nfs/dbraw/zinc/79/88/03/778798803.db2.gz YDVCZSXIMBMEPU-CYBMUJFWSA-N 1 2 312.377 1.534 20 30 DDEDLO C=CC[NH+]1CCN(C(=O)C2(c3cccc(OC)n3)CC2)CC1 ZINC001178047794 778833872 /nfs/dbraw/zinc/83/38/72/778833872.db2.gz KTOBTJBATVZNSL-UHFFFAOYSA-N 1 2 301.390 1.452 20 30 DDEDLO CC(C)C#CC(=O)NC[C@@H](C)CNc1[nH+]cnc2c1cnn2C ZINC001103932933 779183548 /nfs/dbraw/zinc/18/35/48/779183548.db2.gz JPSUPDWVEPUIIW-GFCCVEGCSA-N 1 2 314.393 1.187 20 30 DDEDLO Cc1noc(C[N@H+]2C[C@@H]3CCC[C@]3(NC(=O)C#CC(C)C)C2)n1 ZINC001111735725 779455484 /nfs/dbraw/zinc/45/54/84/779455484.db2.gz MQOIWDIGGZRJTR-YOEHRIQHSA-N 1 2 316.405 1.508 20 30 DDEDLO Cc1noc(C[N@@H+]2C[C@@H]3CCC[C@]3(NC(=O)C#CC(C)C)C2)n1 ZINC001111735725 779455486 /nfs/dbraw/zinc/45/54/86/779455486.db2.gz MQOIWDIGGZRJTR-YOEHRIQHSA-N 1 2 316.405 1.508 20 30 DDEDLO C[C@@H](CCCNc1cncc(C#N)n1)NC(=O)Cc1[nH]cc[nH+]1 ZINC001114984175 779803449 /nfs/dbraw/zinc/80/34/49/779803449.db2.gz YUXJSCZXIQRUDF-NSHDSACASA-N 1 2 313.365 1.011 20 30 DDEDLO C=CCSCC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC001180555581 779830630 /nfs/dbraw/zinc/83/06/30/779830630.db2.gz VBHQOUSYTZPAHT-UHFFFAOYSA-N 1 2 310.423 1.073 20 30 DDEDLO Cc1nc(N(C)C2CCN(C(=O)C#CC(C)C)CC2)cc[nH+]1 ZINC001115140707 779899557 /nfs/dbraw/zinc/89/95/57/779899557.db2.gz LMVBKGAQTGYONZ-UHFFFAOYSA-N 1 2 300.406 1.872 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001115330634 780043005 /nfs/dbraw/zinc/04/30/05/780043005.db2.gz QKYPPUMDRWRNCR-PBOSXPJTSA-N 1 2 320.393 1.078 20 30 DDEDLO C=CCCOCC(=O)N[C@@H]1[C@H]2C[N@H+]([C@H](C)c3nnc(C)o3)C[C@H]21 ZINC001115330634 780043011 /nfs/dbraw/zinc/04/30/11/780043011.db2.gz QKYPPUMDRWRNCR-PBOSXPJTSA-N 1 2 320.393 1.078 20 30 DDEDLO N#Cc1cccc(C2([NH2+]Cc3nnc4c(=O)[nH]ccn34)CC2)c1 ZINC001119252733 781379943 /nfs/dbraw/zinc/37/99/43/781379943.db2.gz NTHMIXKPNDIDRK-UHFFFAOYSA-N 1 2 306.329 1.068 20 30 DDEDLO C[C@H](CC(=O)NCC[N@H+](C)CC(=O)NCC#N)CC(C)(C)C ZINC001267219803 837554456 /nfs/dbraw/zinc/55/44/56/837554456.db2.gz XTFCGLAKNVYNSC-CYBMUJFWSA-N 1 2 310.442 1.137 20 30 DDEDLO C[C@H](CC(=O)NCC[N@@H+](C)CC(=O)NCC#N)CC(C)(C)C ZINC001267219803 837554467 /nfs/dbraw/zinc/55/44/67/837554467.db2.gz XTFCGLAKNVYNSC-CYBMUJFWSA-N 1 2 310.442 1.137 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CCC[N@@H+]1CCS(C)(=O)=O ZINC001267274423 837722732 /nfs/dbraw/zinc/72/27/32/837722732.db2.gz OVWAYRCSGBABQV-DZGCQCFKSA-N 1 2 316.467 1.214 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H]1CCC[N@H+]1CCS(C)(=O)=O ZINC001267274423 837722740 /nfs/dbraw/zinc/72/27/40/837722740.db2.gz OVWAYRCSGBABQV-DZGCQCFKSA-N 1 2 316.467 1.214 20 30 DDEDLO N#CCN1CC[NH+](CCNC(=O)c2cc3ccsc3[nH]2)CC1 ZINC001266289756 836061842 /nfs/dbraw/zinc/06/18/42/836061842.db2.gz BBEUXQXMQOWRRX-UHFFFAOYSA-N 1 2 317.418 1.100 20 30 DDEDLO C=CCOCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccnc1C ZINC001266501913 836357598 /nfs/dbraw/zinc/35/75/98/836357598.db2.gz OIVRNZZCKANZCJ-IYBDPMFKSA-N 1 2 318.421 1.069 20 30 DDEDLO C=CCOCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccnc1C ZINC001266501913 836357610 /nfs/dbraw/zinc/35/76/10/836357610.db2.gz OIVRNZZCKANZCJ-IYBDPMFKSA-N 1 2 318.421 1.069 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@@H+](Cc2cnn(CC)n2)C1 ZINC001266518355 836401352 /nfs/dbraw/zinc/40/13/52/836401352.db2.gz ZHZHMDQGBCCHBP-AWEZNQCLSA-N 1 2 305.426 1.592 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H]1CC[N@H+](Cc2cnn(CC)n2)C1 ZINC001266518355 836401358 /nfs/dbraw/zinc/40/13/58/836401358.db2.gz ZHZHMDQGBCCHBP-AWEZNQCLSA-N 1 2 305.426 1.592 20 30 DDEDLO C=C(C)[C@@H](CC(=O)N1CC[C@H]([NH2+]Cc2cnsn2)C1)OCC ZINC001266529635 836421220 /nfs/dbraw/zinc/42/12/20/836421220.db2.gz IHCVKQPBYHPGLJ-GXTWGEPZSA-N 1 2 324.450 1.600 20 30 DDEDLO C#Cc1ccc(C(=O)NC[C@H](C)[NH2+][C@@H](C)c2nc(C)no2)cc1 ZINC001266962392 837119842 /nfs/dbraw/zinc/11/98/42/837119842.db2.gz ZUUCOVSHYJCNKY-RYUDHWBXSA-N 1 2 312.373 1.828 20 30 DDEDLO C=C(C)CCC(=O)NCC1=CC[N@H+](Cc2cnnn2C)CC1 ZINC001279565543 837287993 /nfs/dbraw/zinc/28/79/93/837287993.db2.gz QKXJOLCRBVDVPY-UHFFFAOYSA-N 1 2 303.410 1.420 20 30 DDEDLO C=C(C)CCC(=O)NCC1=CC[N@@H+](Cc2cnnn2C)CC1 ZINC001279565543 837287999 /nfs/dbraw/zinc/28/79/99/837287999.db2.gz QKXJOLCRBVDVPY-UHFFFAOYSA-N 1 2 303.410 1.420 20 30 DDEDLO C#CC[N@H+]1CC[C@H](N(CCC)C(=O)c2cn(C)c(C)cc2=O)C1 ZINC001267466734 838113883 /nfs/dbraw/zinc/11/38/83/838113883.db2.gz QMRKEXPABCKYIC-HNNXBMFYSA-N 1 2 315.417 1.253 20 30 DDEDLO C#CC[N@@H+]1CC[C@H](N(CCC)C(=O)c2cn(C)c(C)cc2=O)C1 ZINC001267466734 838113890 /nfs/dbraw/zinc/11/38/90/838113890.db2.gz QMRKEXPABCKYIC-HNNXBMFYSA-N 1 2 315.417 1.253 20 30 DDEDLO CC#CC[N@H+]1CC[C@H](N(CCC)C(=O)CCc2cnn[nH]2)C1 ZINC001267547098 838283576 /nfs/dbraw/zinc/28/35/76/838283576.db2.gz IXXSGSKNVYNEIR-HNNXBMFYSA-N 1 2 303.410 1.074 20 30 DDEDLO CC#CC[N@@H+]1CC[C@H](N(CCC)C(=O)CCc2cnn[nH]2)C1 ZINC001267547098 838283583 /nfs/dbraw/zinc/28/35/83/838283583.db2.gz IXXSGSKNVYNEIR-HNNXBMFYSA-N 1 2 303.410 1.074 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCC2(C)C)C1 ZINC001267617338 838494675 /nfs/dbraw/zinc/49/46/75/838494675.db2.gz FFYLHPJWEDHZGH-ZIAGYGMSSA-N 1 2 307.438 1.305 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CCC2(C)C)C1 ZINC001267617338 838494682 /nfs/dbraw/zinc/49/46/82/838494682.db2.gz FFYLHPJWEDHZGH-ZIAGYGMSSA-N 1 2 307.438 1.305 20 30 DDEDLO C[C@@H](CC(=O)N(C)CC[NH+]1CCN(CC#N)CC1)n1cccc1 ZINC001267618338 838503971 /nfs/dbraw/zinc/50/39/71/838503971.db2.gz MSNAWUNGACNELY-INIZCTEOSA-N 1 2 317.437 1.039 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H](CC)CCC)C1 ZINC001267631993 838536019 /nfs/dbraw/zinc/53/60/19/838536019.db2.gz HADRGQLAWDWEGD-GJZGRUSLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](CC)CCC)C1 ZINC001267631993 838536022 /nfs/dbraw/zinc/53/60/22/838536022.db2.gz HADRGQLAWDWEGD-GJZGRUSLSA-N 1 2 309.454 1.696 20 30 DDEDLO C=CCOCC(=O)NC1C[NH+](Cc2ccc3ncccc3c2)C1 ZINC001267643715 838561836 /nfs/dbraw/zinc/56/18/36/838561836.db2.gz XHLMVHZMOPAPPR-UHFFFAOYSA-N 1 2 311.385 1.738 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(CC)CC ZINC001267713832 838703387 /nfs/dbraw/zinc/70/33/87/838703387.db2.gz RFTRSWMDYWZHKI-BPUTZDHNSA-N 1 2 319.449 1.236 20 30 DDEDLO C#CCNC(=O)[C@H](C)[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC(CC)CC ZINC001267713832 838703393 /nfs/dbraw/zinc/70/33/93/838703393.db2.gz RFTRSWMDYWZHKI-BPUTZDHNSA-N 1 2 319.449 1.236 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@H]1CC[N@H+](Cc2nocc2C)C1 ZINC001267761846 838893191 /nfs/dbraw/zinc/89/31/91/838893191.db2.gz LKGITUXDCIKULA-KGLIPLIRSA-N 1 2 305.378 1.054 20 30 DDEDLO C#CCO[C@H](C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2nocc2C)C1 ZINC001267761846 838893202 /nfs/dbraw/zinc/89/32/02/838893202.db2.gz LKGITUXDCIKULA-KGLIPLIRSA-N 1 2 305.378 1.054 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H]1CC[N@H+](CC(=O)NC2CCCC2)C1 ZINC001267762690 838895554 /nfs/dbraw/zinc/89/55/54/838895554.db2.gz SLKBCFDHDWCLQT-INIZCTEOSA-N 1 2 319.449 1.237 20 30 DDEDLO CC(C)C#CC(=O)N(C)[C@H]1CC[N@@H+](CC(=O)NC2CCCC2)C1 ZINC001267762690 838895566 /nfs/dbraw/zinc/89/55/66/838895566.db2.gz SLKBCFDHDWCLQT-INIZCTEOSA-N 1 2 319.449 1.237 20 30 DDEDLO C#CCNC(=O)C[N@H+]1CCC[C@@H](NC(=O)C[C@@H](C)CCC)C1 ZINC001267913963 839186670 /nfs/dbraw/zinc/18/66/70/839186670.db2.gz IAFXHIBCBDERBJ-LSDHHAIUSA-N 1 2 307.438 1.143 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)C[C@@H](C)CCC)C1 ZINC001267913963 839186672 /nfs/dbraw/zinc/18/66/72/839186672.db2.gz IAFXHIBCBDERBJ-LSDHHAIUSA-N 1 2 307.438 1.143 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]2[C@@H](CC[N@@H+]2Cc2csnn2)C1 ZINC001268264246 839918558 /nfs/dbraw/zinc/91/85/58/839918558.db2.gz RVNGHALAFVDVHJ-DZGCQCFKSA-N 1 2 318.446 1.620 20 30 DDEDLO CC(C)C#CC(=O)N1CC[C@@H]2[C@@H](CC[N@H+]2Cc2csnn2)C1 ZINC001268264246 839918563 /nfs/dbraw/zinc/91/85/63/839918563.db2.gz RVNGHALAFVDVHJ-DZGCQCFKSA-N 1 2 318.446 1.620 20 30 DDEDLO C#CCOCCC(=O)NC1C[NH+](CCc2ccccc2F)C1 ZINC001268299598 839964557 /nfs/dbraw/zinc/96/45/57/839964557.db2.gz IYUWSSGMVMTVGK-UHFFFAOYSA-N 1 2 304.365 1.209 20 30 DDEDLO C[C@H]([NH2+]C/C=C\CNC(=O)c1cc(C#N)c[nH]1)c1csnn1 ZINC001268519404 840367093 /nfs/dbraw/zinc/36/70/93/840367093.db2.gz CXBOORMAXAKIAN-ZMWSMAPVSA-N 1 2 316.390 1.375 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[N@@H+](C)CC(=O)N[C@H](C)C1CC1 ZINC001272080692 844562815 /nfs/dbraw/zinc/56/28/15/844562815.db2.gz QYMRYGOSJKSVLU-CYBMUJFWSA-N 1 2 309.454 1.504 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)CC[N@H+](C)CC(=O)N[C@H](C)C1CC1 ZINC001272080692 844562824 /nfs/dbraw/zinc/56/28/24/844562824.db2.gz QYMRYGOSJKSVLU-CYBMUJFWSA-N 1 2 309.454 1.504 20 30 DDEDLO C#CCO[C@@H](C)C(=O)NCC1C[NH+](CC=C(Cl)Cl)C1 ZINC001268829067 840876560 /nfs/dbraw/zinc/87/65/60/840876560.db2.gz VLWUWUAMBUVZNC-JTQLQIEISA-N 1 2 305.205 1.392 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](NC(=O)c2ccoc2C2CC2)C1 ZINC001269056553 841154946 /nfs/dbraw/zinc/15/49/46/841154946.db2.gz GJWNFSUSIHXFPM-ZDUSSCGKSA-N 1 2 317.389 1.263 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)c2ccoc2C2CC2)C1 ZINC001269056553 841154952 /nfs/dbraw/zinc/15/49/52/841154952.db2.gz GJWNFSUSIHXFPM-ZDUSSCGKSA-N 1 2 317.389 1.263 20 30 DDEDLO Cc1ncc(C[N@H+]2CC[C@@H](N(C)C(=O)C#CC(C)(C)C)C2)cn1 ZINC001269239559 841404554 /nfs/dbraw/zinc/40/45/54/841404554.db2.gz BOVOVXYLVMKTEU-MRXNPFEDSA-N 1 2 314.433 1.867 20 30 DDEDLO Cc1ncc(C[N@@H+]2CC[C@@H](N(C)C(=O)C#CC(C)(C)C)C2)cn1 ZINC001269239559 841404558 /nfs/dbraw/zinc/40/45/58/841404558.db2.gz BOVOVXYLVMKTEU-MRXNPFEDSA-N 1 2 314.433 1.867 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CC[N@@H+]1CC(=O)N(C)C1CC1 ZINC001269288110 841469490 /nfs/dbraw/zinc/46/94/90/841469490.db2.gz ZUTLYXZQIWSUGZ-YOEHRIQHSA-N 1 2 307.438 1.400 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NC[C@@H]1CC[N@H+]1CC(=O)N(C)C1CC1 ZINC001269288110 841469496 /nfs/dbraw/zinc/46/94/96/841469496.db2.gz ZUTLYXZQIWSUGZ-YOEHRIQHSA-N 1 2 307.438 1.400 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CC[C@H](N(CC)C(=O)c2cccs2)C1 ZINC001269306244 841495061 /nfs/dbraw/zinc/49/50/61/841495061.db2.gz BYSUAECOURBUEJ-ZDUSSCGKSA-N 1 2 321.446 1.587 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cccs2)C1 ZINC001269306244 841495067 /nfs/dbraw/zinc/49/50/67/841495067.db2.gz BYSUAECOURBUEJ-ZDUSSCGKSA-N 1 2 321.446 1.587 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)NCC1([NH2+][C@@H](C)c2ncccn2)CC1 ZINC001269401293 841607895 /nfs/dbraw/zinc/60/78/95/841607895.db2.gz AMYJMSGBCHVEOW-SWLSCSKDSA-N 1 2 304.394 1.103 20 30 DDEDLO CC[C@H](CNC(=O)C#CC1CC1)[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC001269402088 841609669 /nfs/dbraw/zinc/60/96/69/841609669.db2.gz ITSAVJRXQLHSIW-CYBMUJFWSA-N 1 2 318.421 1.765 20 30 DDEDLO C=CCN1CC[C@]2(CCN(C(=O)c3cccc4[nH+]ccn43)C2)C1=O ZINC001269484367 841680993 /nfs/dbraw/zinc/68/09/93/841680993.db2.gz COFJHPOCRCCYDO-GOSISDBHSA-N 1 2 324.384 1.585 20 30 DDEDLO N#Cc1nccnc1N1CC[NH+](Cc2cccc3nc[nH]c32)CC1 ZINC001142689478 861230483 /nfs/dbraw/zinc/23/04/83/861230483.db2.gz GRMLRZGOYKVFPO-UHFFFAOYSA-N 1 2 319.372 1.547 20 30 DDEDLO C=CCN1CC[C@]2(CCCN2C(=O)CCc2c[nH]c[nH+]2)C1=O ZINC001269661372 841911150 /nfs/dbraw/zinc/91/11/50/841911150.db2.gz NKMKALUMJAJLDF-MRXNPFEDSA-N 1 2 302.378 1.122 20 30 DDEDLO C=CCN1CC[C@]2(CCCN2C(=O)CCc2c[nH+]c[nH]2)C1=O ZINC001269661372 841911155 /nfs/dbraw/zinc/91/11/55/841911155.db2.gz NKMKALUMJAJLDF-MRXNPFEDSA-N 1 2 302.378 1.122 20 30 DDEDLO C#CCN(C(=O)COC)C1CC[NH+](C/C(Cl)=C\Cl)CC1 ZINC001270273529 842445140 /nfs/dbraw/zinc/44/51/40/842445140.db2.gz HICKLJYGCSDXAY-FMIVXFBMSA-N 1 2 319.232 1.878 20 30 DDEDLO O=C(C#Cc1ccccn1)N1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc[nH]1 ZINC001270346300 842503475 /nfs/dbraw/zinc/50/34/75/842503475.db2.gz GEGOBSVVUYUWIU-HDICACEKSA-N 1 2 320.396 1.637 20 30 DDEDLO O=C(C#Cc1ccccn1)N1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc[nH]1 ZINC001270346300 842503476 /nfs/dbraw/zinc/50/34/76/842503476.db2.gz GEGOBSVVUYUWIU-HDICACEKSA-N 1 2 320.396 1.637 20 30 DDEDLO C=CCOCC[N@@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC001270637644 842756737 /nfs/dbraw/zinc/75/67/37/842756737.db2.gz DLQKUQGAQKXAHW-HNNXBMFYSA-N 1 2 322.405 1.530 20 30 DDEDLO C=CCOCC[N@H+]1CCO[C@@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC001270637644 842756743 /nfs/dbraw/zinc/75/67/43/842756743.db2.gz DLQKUQGAQKXAHW-HNNXBMFYSA-N 1 2 322.405 1.530 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)N(C)[C@H](C)CNCc1cc(C)on1 ZINC001270994130 843118575 /nfs/dbraw/zinc/11/85/75/843118575.db2.gz AZWFXZHKWFJVCZ-CJNGLKHVSA-N 1 2 318.421 1.017 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)[C@H](C)CNCc1cc(C)on1 ZINC001270994130 843118580 /nfs/dbraw/zinc/11/85/80/843118580.db2.gz AZWFXZHKWFJVCZ-CJNGLKHVSA-N 1 2 318.421 1.017 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N(C)[C@@H](C)C[NH2+]Cc1nc(COC)no1 ZINC001271060252 843172317 /nfs/dbraw/zinc/17/23/17/843172317.db2.gz SYUGLPIOXHAMIN-LBPRGKRZSA-N 1 2 324.425 1.755 20 30 DDEDLO C#Cc1cncc(C(=O)N(C)[C@@H](C)C[NH2+]Cc2cnsn2)c1 ZINC001271099716 843213045 /nfs/dbraw/zinc/21/30/45/843213045.db2.gz CYFCZTOZSNZCRI-NSHDSACASA-N 1 2 315.402 1.165 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cn(CC)nn1 ZINC001154747919 861419008 /nfs/dbraw/zinc/41/90/08/861419008.db2.gz ZABHYAUKBSNCHC-OAHLLOKOSA-N 1 2 319.453 1.818 20 30 DDEDLO CC#CCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1cn(CC)nn1 ZINC001154747919 861419013 /nfs/dbraw/zinc/41/90/13/861419013.db2.gz ZABHYAUKBSNCHC-OAHLLOKOSA-N 1 2 319.453 1.818 20 30 DDEDLO CCO[C@H](C[N@@H+]1CCOC[C@H]1CNC(=O)C#CC1CC1)C1CC1 ZINC001326633516 861495870 /nfs/dbraw/zinc/49/58/70/861495870.db2.gz ODXFHHSPRAQCSG-IAGOWNOFSA-N 1 2 320.433 1.032 20 30 DDEDLO CCO[C@H](C[N@H+]1CCOC[C@H]1CNC(=O)C#CC1CC1)C1CC1 ZINC001326633516 861495877 /nfs/dbraw/zinc/49/58/77/861495877.db2.gz ODXFHHSPRAQCSG-IAGOWNOFSA-N 1 2 320.433 1.032 20 30 DDEDLO C=CCC1(C(=O)NCC[NH2+]Cc2nncs2)CCOCC1 ZINC001149324869 861544787 /nfs/dbraw/zinc/54/47/87/861544787.db2.gz CSZKHIUCBBHZDH-UHFFFAOYSA-N 1 2 310.423 1.117 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)c1cnn(CCF)c1 ZINC001409571896 845335054 /nfs/dbraw/zinc/33/50/54/845335054.db2.gz FDHZUXUPZGNGKI-LLVKDONJSA-N 1 2 302.781 1.655 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)c1cnn(CCF)c1 ZINC001409571896 845335068 /nfs/dbraw/zinc/33/50/68/845335068.db2.gz FDHZUXUPZGNGKI-LLVKDONJSA-N 1 2 302.781 1.655 20 30 DDEDLO CCc1cnc(C[NH2+]C2(CNC(=O)CSCC#N)CCC2)o1 ZINC001326785941 861610611 /nfs/dbraw/zinc/61/06/11/861610611.db2.gz OZVBBZAODXKKQS-UHFFFAOYSA-N 1 2 322.434 1.622 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H](C)C1C[NH+](Cc2cc(C#N)ccc2F)C1 ZINC001409852198 845907007 /nfs/dbraw/zinc/90/70/07/845907007.db2.gz SBDWOESTRZHAAC-NEPJUHHUSA-N 1 2 314.364 1.794 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)CCNC(=O)Cc1[nH]c[nH+]c1C ZINC001077678176 846956809 /nfs/dbraw/zinc/95/68/09/846956809.db2.gz BXVIHZOYTBUQKM-LBPRGKRZSA-N 1 2 320.437 1.874 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)nc2CC)[C@@H](O)C1 ZINC001083786352 847126553 /nfs/dbraw/zinc/12/65/53/847126553.db2.gz VKSOWVPBTKMFCY-SJORKVTESA-N 1 2 315.417 1.176 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C(C)C)nc2CC)[C@@H](O)C1 ZINC001083786352 847126560 /nfs/dbraw/zinc/12/65/60/847126560.db2.gz VKSOWVPBTKMFCY-SJORKVTESA-N 1 2 315.417 1.176 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1C[N@H+](Cc2ccns2)CCCO1 ZINC001149646982 861780956 /nfs/dbraw/zinc/78/09/56/861780956.db2.gz STKUTLHDFBMJMB-AWEZNQCLSA-N 1 2 321.446 1.654 20 30 DDEDLO C#CCCCC(=O)NC[C@H]1C[N@@H+](Cc2ccns2)CCCO1 ZINC001149646982 861780967 /nfs/dbraw/zinc/78/09/67/861780967.db2.gz STKUTLHDFBMJMB-AWEZNQCLSA-N 1 2 321.446 1.654 20 30 DDEDLO C#CCNC(=O)[C@H]1CC12CC[NH+](Cc1ncc(F)cc1F)CC2 ZINC001272818917 847499916 /nfs/dbraw/zinc/49/99/16/847499916.db2.gz MUPROHFWBBBZAQ-CYBMUJFWSA-N 1 2 319.355 1.711 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)C[C@H]1CC(C)(C)CO1 ZINC001272869477 847570048 /nfs/dbraw/zinc/57/00/48/847570048.db2.gz RSAVKTZSYOZFKX-GJZGRUSLSA-N 1 2 308.422 1.032 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)C[C@H]1CC(C)(C)CO1 ZINC001272869477 847570059 /nfs/dbraw/zinc/57/00/59/847570059.db2.gz RSAVKTZSYOZFKX-GJZGRUSLSA-N 1 2 308.422 1.032 20 30 DDEDLO C=CCCC[N@H+]1CCOC[C@@H]1CNC(=O)c1ccn(C(C)C)n1 ZINC001272892193 847599474 /nfs/dbraw/zinc/59/94/74/847599474.db2.gz ARTRZGXDKYGLRF-HNNXBMFYSA-N 1 2 320.437 1.861 20 30 DDEDLO C=CCCC[N@@H+]1CCOC[C@@H]1CNC(=O)c1ccn(C(C)C)n1 ZINC001272892193 847599479 /nfs/dbraw/zinc/59/94/79/847599479.db2.gz ARTRZGXDKYGLRF-HNNXBMFYSA-N 1 2 320.437 1.861 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@@H]1CNC(=O)CCCC(F)(F)F ZINC001272893033 847600595 /nfs/dbraw/zinc/60/05/95/847600595.db2.gz IDWPYZHADCLICD-LBPRGKRZSA-N 1 2 306.328 1.559 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@@H]1CNC(=O)CCCC(F)(F)F ZINC001272893033 847600604 /nfs/dbraw/zinc/60/06/04/847600604.db2.gz IDWPYZHADCLICD-LBPRGKRZSA-N 1 2 306.328 1.559 20 30 DDEDLO C#CCC[N@H+]1CCOC[C@H]1CNC(=O)Cc1ccsc1C ZINC001272893521 847602833 /nfs/dbraw/zinc/60/28/33/847602833.db2.gz LNIVQZROUCOMAL-OAHLLOKOSA-N 1 2 306.431 1.439 20 30 DDEDLO C#CCC[N@@H+]1CCOC[C@H]1CNC(=O)Cc1ccsc1C ZINC001272893521 847602836 /nfs/dbraw/zinc/60/28/36/847602836.db2.gz LNIVQZROUCOMAL-OAHLLOKOSA-N 1 2 306.431 1.439 20 30 DDEDLO Cc1c[nH]c(C[N@@H+]2CCCC[C@]23CC(=O)N(CCCC#N)C3)n1 ZINC001272908367 847620589 /nfs/dbraw/zinc/62/05/89/847620589.db2.gz SUJGQCVENUMLRR-QGZVFWFLSA-N 1 2 315.421 1.979 20 30 DDEDLO Cc1c[nH]c(C[N@H+]2CCCC[C@]23CC(=O)N(CCCC#N)C3)n1 ZINC001272908367 847620598 /nfs/dbraw/zinc/62/05/98/847620598.db2.gz SUJGQCVENUMLRR-QGZVFWFLSA-N 1 2 315.421 1.979 20 30 DDEDLO C=C(Cl)C[N@H+]1CCCC[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001034385182 848440163 /nfs/dbraw/zinc/44/01/63/848440163.db2.gz PHYFZYOHCRVQHE-DOMZBBRYSA-N 1 2 313.829 1.378 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCCC[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001034385182 848440166 /nfs/dbraw/zinc/44/01/66/848440166.db2.gz PHYFZYOHCRVQHE-DOMZBBRYSA-N 1 2 313.829 1.378 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1cc3ccccc3n1C)C2 ZINC001273236158 848961742 /nfs/dbraw/zinc/96/17/42/848961742.db2.gz AIBCBHOBXSAKER-UHFFFAOYSA-N 1 2 323.396 1.225 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCC[N@@H+](C)[C@@H](C)c2nnnn2C)C1 ZINC001327257455 862003939 /nfs/dbraw/zinc/00/39/39/862003939.db2.gz GXLVOSFYXYIQMY-ZDUSSCGKSA-N 1 2 320.441 1.408 20 30 DDEDLO C=C1CC(C)(C(=O)N(C)CCC[N@H+](C)[C@@H](C)c2nnnn2C)C1 ZINC001327257455 862003957 /nfs/dbraw/zinc/00/39/57/862003957.db2.gz GXLVOSFYXYIQMY-ZDUSSCGKSA-N 1 2 320.441 1.408 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@H+]2Cc2cnc(SC)nc2)C1=O ZINC001273384188 849773175 /nfs/dbraw/zinc/77/31/75/849773175.db2.gz NEZRRQCYYWQSRB-INIZCTEOSA-N 1 2 316.430 1.399 20 30 DDEDLO C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2cnc(SC)nc2)C1=O ZINC001273384188 849773180 /nfs/dbraw/zinc/77/31/80/849773180.db2.gz NEZRRQCYYWQSRB-INIZCTEOSA-N 1 2 316.430 1.399 20 30 DDEDLO C=CCN1C[C@]2(CC1=O)COCCN(c1cc[nH+]c(OC)c1)C2 ZINC001273585119 851116265 /nfs/dbraw/zinc/11/62/65/851116265.db2.gz LUCKEOJDXBPBRA-QGZVFWFLSA-N 1 2 317.389 1.332 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)CCC(C)C)CO2 ZINC001273675029 851213039 /nfs/dbraw/zinc/21/30/39/851213039.db2.gz CHSRORNOVXLXFL-HNNXBMFYSA-N 1 2 310.438 1.585 20 30 DDEDLO C=CCOCC[NH+]1CC2(C1)C[C@H](NC(=O)C(C)(CC)CC)CO2 ZINC001273729577 851281555 /nfs/dbraw/zinc/28/15/55/851281555.db2.gz VJNQEZLDRZGGPQ-HNNXBMFYSA-N 1 2 324.465 1.975 20 30 DDEDLO C#CCN1CC2(C[NH+](CCc3c[nH]c4ccccc34)C2)OCC1=O ZINC001273737235 851289036 /nfs/dbraw/zinc/28/90/36/851289036.db2.gz FAQMYTLKIQIXIM-UHFFFAOYSA-N 1 2 323.396 1.257 20 30 DDEDLO C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)COC(C)(C)C ZINC001273814406 851377040 /nfs/dbraw/zinc/37/70/40/851377040.db2.gz NPHOUACCZAQUGT-KRWDZBQOSA-N 1 2 314.429 1.978 20 30 DDEDLO C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)COC(C)(C)C ZINC001273814406 851377055 /nfs/dbraw/zinc/37/70/55/851377055.db2.gz NPHOUACCZAQUGT-KRWDZBQOSA-N 1 2 314.429 1.978 20 30 DDEDLO CCCc1nnc(C[NH2+]C/C=C/CNC(=O)[C@H](C)C#N)s1 ZINC001273912236 851499838 /nfs/dbraw/zinc/49/98/38/851499838.db2.gz XBKSJMUWVOAZDW-SGUJLRQBSA-N 1 2 307.423 1.412 20 30 DDEDLO C=CCN1CC[NH+](CCN(C)C(=O)C(C)(C)C(F)(F)F)CC1 ZINC001317824182 851631080 /nfs/dbraw/zinc/63/10/80/851631080.db2.gz MJZCMSCYLFTGFE-UHFFFAOYSA-N 1 2 321.387 1.837 20 30 DDEDLO C#CCN1C(=O)COCC12C[NH+](Cc1nccc3ccccc31)C2 ZINC001274522841 852361017 /nfs/dbraw/zinc/36/10/17/852361017.db2.gz AEQRDQRWMDDHJE-UHFFFAOYSA-N 1 2 321.380 1.281 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@H+](Cc2nnc(C)s2)[C@H](C)C1 ZINC001274608297 852436789 /nfs/dbraw/zinc/43/67/89/852436789.db2.gz DHOVZWDTJSLCQH-YPMHNXCESA-N 1 2 324.450 1.518 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CC[N@@H+](Cc2nnc(C)s2)[C@H](C)C1 ZINC001274608297 852436792 /nfs/dbraw/zinc/43/67/92/852436792.db2.gz DHOVZWDTJSLCQH-YPMHNXCESA-N 1 2 324.450 1.518 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2cnn(CC)n2)[C@@H](C)C1 ZINC001274625507 852456110 /nfs/dbraw/zinc/45/61/10/852456110.db2.gz VKWSRATUDOQWDP-GJZGRUSLSA-N 1 2 317.437 1.571 20 30 DDEDLO C#CCCCC(=O)N[C@H]1CC[N@H+](Cc2cnn(CC)n2)[C@@H](C)C1 ZINC001274625507 852456116 /nfs/dbraw/zinc/45/61/16/852456116.db2.gz VKWSRATUDOQWDP-GJZGRUSLSA-N 1 2 317.437 1.571 20 30 DDEDLO C=CC(C)(C)C(=O)N1CC(N(C)C(=O)CCc2[nH+]ccn2C)C1 ZINC001299390367 852628103 /nfs/dbraw/zinc/62/81/03/852628103.db2.gz DXZMGPNOGZUQQG-UHFFFAOYSA-N 1 2 318.421 1.234 20 30 DDEDLO COCCOCN1CCC12C[NH+](Cc1ccc(C)c(C#N)c1)C2 ZINC001275374092 853034515 /nfs/dbraw/zinc/03/45/15/853034515.db2.gz UNXJUPWZCZLSKS-UHFFFAOYSA-N 1 2 315.417 1.747 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)[N@@H+](C)Cc1c(C)nnn1CC ZINC001275818879 853812015 /nfs/dbraw/zinc/81/20/15/853812015.db2.gz LNBGZADYLNRZAX-ZDUSSCGKSA-N 1 2 305.426 1.346 20 30 DDEDLO C#CCCCC(=O)NC[C@H](C)[N@H+](C)Cc1c(C)nnn1CC ZINC001275818879 853812021 /nfs/dbraw/zinc/81/20/21/853812021.db2.gz LNBGZADYLNRZAX-ZDUSSCGKSA-N 1 2 305.426 1.346 20 30 DDEDLO Cc1nc(NC[C@H]2CN(C(=O)C#CC(C)C)C[C@H]2C)cc[nH+]1 ZINC001111245735 855217231 /nfs/dbraw/zinc/21/72/31/855217231.db2.gz NUVBLYHYEHNUGR-HIFRSBDPSA-N 1 2 300.406 1.951 20 30 DDEDLO CCC(C)(C)NC(=O)C[NH+]1CC([C@H](C)NC(=O)C#CC(C)C)C1 ZINC001276375899 856301413 /nfs/dbraw/zinc/30/14/13/856301413.db2.gz JEDGBADVLMHPJK-AWEZNQCLSA-N 1 2 321.465 1.387 20 30 DDEDLO N#Cc1ccc(NCC(=O)N2CCc3cc[nH+]c(N)c3C2)cc1 ZINC001413376852 856730387 /nfs/dbraw/zinc/73/03/87/856730387.db2.gz IUFKWFFBAJDMEV-UHFFFAOYSA-N 1 2 307.357 1.532 20 30 DDEDLO CC(C)c1noc(C[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001072551392 857435679 /nfs/dbraw/zinc/43/56/79/857435679.db2.gz FLZVTRHZQKNBHR-LBPRGKRZSA-N 1 2 317.393 1.387 20 30 DDEDLO CC(C)c1noc(C[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n1 ZINC001072551392 857435683 /nfs/dbraw/zinc/43/56/83/857435683.db2.gz FLZVTRHZQKNBHR-LBPRGKRZSA-N 1 2 317.393 1.387 20 30 DDEDLO Cc1nnc([C@H](C)[N@@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)s1 ZINC001072552738 857437147 /nfs/dbraw/zinc/43/71/47/857437147.db2.gz SCROBCFARWHWRZ-QWRGUYRKSA-N 1 2 319.434 1.602 20 30 DDEDLO Cc1nnc([C@H](C)[N@H+]2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)s1 ZINC001072552738 857437153 /nfs/dbraw/zinc/43/71/53/857437153.db2.gz SCROBCFARWHWRZ-QWRGUYRKSA-N 1 2 319.434 1.602 20 30 DDEDLO C=CCCCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH+]c[nH]2)C[C@H]1C ZINC001072801276 857719129 /nfs/dbraw/zinc/71/91/29/857719129.db2.gz OZXTYBWLCIGFGZ-TZMCWYRMSA-N 1 2 304.394 1.272 20 30 DDEDLO Cc1cc(N2CC[NH2+]C[C@@H]2C#N)nc(-c2ccc(Cl)nc2)n1 ZINC001156332733 862943825 /nfs/dbraw/zinc/94/38/25/862943825.db2.gz NSWAYBQFOTVTBG-LBPRGKRZSA-N 1 2 314.780 1.802 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@H+](Cc2ccnc(Cl)c2)C[C@H]1C ZINC001206641655 862963186 /nfs/dbraw/zinc/96/31/86/862963186.db2.gz XRESEUZYYPOIBP-NQBHXWOUSA-N 1 2 306.797 1.831 20 30 DDEDLO C[C@H](C#N)C(=O)N[C@@H]1C[N@@H+](Cc2ccnc(Cl)c2)C[C@H]1C ZINC001206641655 862963197 /nfs/dbraw/zinc/96/31/97/862963197.db2.gz XRESEUZYYPOIBP-NQBHXWOUSA-N 1 2 306.797 1.831 20 30 DDEDLO C=CCCC(=O)NC1(C)CCN(C(=O)CCc2c[nH]c[nH+]2)CC1 ZINC001073101640 858101085 /nfs/dbraw/zinc/10/10/85/858101085.db2.gz ARWQCJSYEXFIEQ-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCCC(=O)NC1(C)CCN(C(=O)CCc2c[nH+]c[nH]2)CC1 ZINC001073101640 858101087 /nfs/dbraw/zinc/10/10/87/858101087.db2.gz ARWQCJSYEXFIEQ-UHFFFAOYSA-N 1 2 318.421 1.806 20 30 DDEDLO C=CCn1c(N(CC)CC2CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@@H+]1C ZINC001121709481 858578604 /nfs/dbraw/zinc/57/86/04/858578604.db2.gz UKPXEKSNIFLWIJ-RHSMWYFYSA-N 1 2 319.453 1.612 20 30 DDEDLO C=CCn1c(N(CC)CC2CC2)nnc1[C@@]1(C)C[C@@H](O)C[N@H+]1C ZINC001121709481 858578607 /nfs/dbraw/zinc/57/86/07/858578607.db2.gz UKPXEKSNIFLWIJ-RHSMWYFYSA-N 1 2 319.453 1.612 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(C(CC)(CC)CO)n2CC)CC1 ZINC001121872035 858617028 /nfs/dbraw/zinc/61/70/28/858617028.db2.gz QRHRDAZQVDMCSZ-UHFFFAOYSA-N 1 2 319.453 1.103 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2cc3cc(C#N)ccc3[nH]2)CC1 ZINC001156453066 863058064 /nfs/dbraw/zinc/05/80/64/863058064.db2.gz IJWTYYNTGIDUND-UHFFFAOYSA-N 1 2 312.373 1.444 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)NCC1CC[NH+](Cc2nnnn2C)CC1 ZINC001224385506 881361579 /nfs/dbraw/zinc/36/15/79/881361579.db2.gz DCVSYVFBOLRXGH-INIZCTEOSA-N 1 2 320.441 1.141 20 30 DDEDLO C=C1CC(C)(C(=O)NCC2CC[NH+](Cc3cnn(C)n3)CC2)C1 ZINC001224477410 881415156 /nfs/dbraw/zinc/41/51/56/881415156.db2.gz VNAOSFAGNQDMGQ-UHFFFAOYSA-N 1 2 317.437 1.500 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)NCC[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001124487531 859720053 /nfs/dbraw/zinc/72/00/53/859720053.db2.gz DJDDFIDQIHVATE-LBPRGKRZSA-N 1 2 324.425 1.554 20 30 DDEDLO C=CCOCCCC(=O)NCC[NH2+][C@H](C)c1nc(C(C)C)no1 ZINC001125259720 859935207 /nfs/dbraw/zinc/93/52/07/859935207.db2.gz BUYBHOYAQIWOQP-CYBMUJFWSA-N 1 2 324.425 1.943 20 30 DDEDLO C=CCOC[C@H]1c2c(ncn2C)CC[N@H+]1Cc1ncccc1O ZINC001138393735 860092218 /nfs/dbraw/zinc/09/22/18/860092218.db2.gz SPGBOQYUYKLNGB-HNNXBMFYSA-N 1 2 314.389 1.823 20 30 DDEDLO C=CCOC[C@H]1c2c(ncn2C)CC[N@@H+]1Cc1ncccc1O ZINC001138393735 860092232 /nfs/dbraw/zinc/09/22/32/860092232.db2.gz SPGBOQYUYKLNGB-HNNXBMFYSA-N 1 2 314.389 1.823 20 30 DDEDLO C=CC[NH+](CC=C)CCN1CCN(Cc2ncccc2O)CC1 ZINC001138396091 860092874 /nfs/dbraw/zinc/09/28/74/860092874.db2.gz HVXWWNNANOBKOQ-UHFFFAOYSA-N 1 2 316.449 1.579 20 30 DDEDLO C=CCn1cc(C[NH+]2CCN(c3cc(OC)ncn3)CC2)cn1 ZINC001139770841 860477745 /nfs/dbraw/zinc/47/77/45/860477745.db2.gz CZQLDNIQKGEBSP-UHFFFAOYSA-N 1 2 314.393 1.190 20 30 DDEDLO C[N@H+](Cc1cc(F)c(C#N)c(F)c1)C1CCS(=O)(=O)CC1 ZINC001140754660 860692629 /nfs/dbraw/zinc/69/26/29/860692629.db2.gz GXPMOKXXUIBLMU-UHFFFAOYSA-N 1 2 314.357 1.845 20 30 DDEDLO C[N@@H+](Cc1cc(F)c(C#N)c(F)c1)C1CCS(=O)(=O)CC1 ZINC001140754660 860692632 /nfs/dbraw/zinc/69/26/32/860692632.db2.gz GXPMOKXXUIBLMU-UHFFFAOYSA-N 1 2 314.357 1.845 20 30 DDEDLO CC[N@H+](Cc1coc(C)n1)[C@H](C)CNC(=O)CSCC#N ZINC001151954003 863078230 /nfs/dbraw/zinc/07/82/30/863078230.db2.gz INTHNWQNJVSXTP-LLVKDONJSA-N 1 2 310.423 1.566 20 30 DDEDLO CC[N@@H+](Cc1coc(C)n1)[C@H](C)CNC(=O)CSCC#N ZINC001151954003 863078242 /nfs/dbraw/zinc/07/82/42/863078242.db2.gz INTHNWQNJVSXTP-LLVKDONJSA-N 1 2 310.423 1.566 20 30 DDEDLO C#CCC1(C(=O)NCC[NH2+]Cc2nc(C)no2)CCCCC1 ZINC001153009895 863651240 /nfs/dbraw/zinc/65/12/40/863651240.db2.gz YOBNVKDZUGZVLB-UHFFFAOYSA-N 1 2 304.394 1.558 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@H+](CC)Cc1nccc(C)n1 ZINC001153012789 863652660 /nfs/dbraw/zinc/65/26/60/863652660.db2.gz SOFNBNCZJXKDME-PBHICJAKSA-N 1 2 320.437 1.439 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC[C@@H](C)[N@@H+](CC)Cc1nccc(C)n1 ZINC001153012789 863652669 /nfs/dbraw/zinc/65/26/69/863652669.db2.gz SOFNBNCZJXKDME-PBHICJAKSA-N 1 2 320.437 1.439 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@H+](CC)Cc1ncc(C)o1 ZINC001157214152 863655972 /nfs/dbraw/zinc/65/59/72/863655972.db2.gz YNZPHIOXCAKXMH-OCCSQVGLSA-N 1 2 309.410 1.901 20 30 DDEDLO C=CCO[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](CC)Cc1ncc(C)o1 ZINC001157214152 863655978 /nfs/dbraw/zinc/65/59/78/863655978.db2.gz YNZPHIOXCAKXMH-OCCSQVGLSA-N 1 2 309.410 1.901 20 30 DDEDLO C=CCCOCC(=O)NCC[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC001153091172 863704209 /nfs/dbraw/zinc/70/42/09/863704209.db2.gz HAEWMOXLKZBSTA-GFCCVEGCSA-N 1 2 324.425 1.727 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)[N@H+](CC)CCS(C)(=O)=O ZINC001153595248 863968063 /nfs/dbraw/zinc/96/80/63/863968063.db2.gz ZBGIDZFFACNZCN-CYBMUJFWSA-N 1 2 318.483 1.460 20 30 DDEDLO C=CC(C)(C)CC(=O)NC[C@@H](C)[N@@H+](CC)CCS(C)(=O)=O ZINC001153595248 863968072 /nfs/dbraw/zinc/96/80/72/863968072.db2.gz ZBGIDZFFACNZCN-CYBMUJFWSA-N 1 2 318.483 1.460 20 30 DDEDLO C#CCOCCC(=O)N[C@@H](C)C1C[NH+](Cc2ccc(F)cn2)C1 ZINC001329998685 864007490 /nfs/dbraw/zinc/00/74/90/864007490.db2.gz UULYRUHIUCTLRG-ZDUSSCGKSA-N 1 2 319.380 1.197 20 30 DDEDLO C=CCOC(=O)[C@@H](N)CC(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC001330866889 864666083 /nfs/dbraw/zinc/66/60/83/864666083.db2.gz MEYLNVPIZMCDSC-LBPRGKRZSA-N 1 2 302.334 1.028 20 30 DDEDLO C=CCn1cc(C[N@@H+]2CC[C@@H]3C[C@@]32C(=O)N2CCCCO2)cn1 ZINC001277036387 881792290 /nfs/dbraw/zinc/79/22/90/881792290.db2.gz YMRQFQBBIWHNPV-WBVHZDCISA-N 1 2 316.405 1.588 20 30 DDEDLO C=CCn1cc(C[N@H+]2CC[C@@H]3C[C@@]32C(=O)N2CCCCO2)cn1 ZINC001277036387 881792300 /nfs/dbraw/zinc/79/23/00/881792300.db2.gz YMRQFQBBIWHNPV-WBVHZDCISA-N 1 2 316.405 1.588 20 30 DDEDLO C[C@H](CN(C)C(=O)CSCC#N)[NH2+][C@@H](C)c1csnn1 ZINC001331385510 865051101 /nfs/dbraw/zinc/05/11/01/865051101.db2.gz FMIPWHMGMRZKJC-ZJUUUORDSA-N 1 2 313.452 1.292 20 30 DDEDLO COCc1noc([C@@H](C)[NH2+][C@H](C)CN(C)C(=O)C#CC(C)C)n1 ZINC001331439116 865085143 /nfs/dbraw/zinc/08/51/43/865085143.db2.gz DNGNSRUWKIZDIZ-CHWSQXEVSA-N 1 2 322.409 1.373 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@H+](Cc2nnc(C)o2)CC1 ZINC001159576340 865409060 /nfs/dbraw/zinc/40/90/60/865409060.db2.gz OWNNSJLRZXDBNF-UHFFFAOYSA-N 1 2 320.393 1.219 20 30 DDEDLO C=CCOCC(=O)NCCC1=CC[N@@H+](Cc2nnc(C)o2)CC1 ZINC001159576340 865409066 /nfs/dbraw/zinc/40/90/66/865409066.db2.gz OWNNSJLRZXDBNF-UHFFFAOYSA-N 1 2 320.393 1.219 20 30 DDEDLO Cc1nnc(C[N@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)o1 ZINC001159586864 865413769 /nfs/dbraw/zinc/41/37/69/865413769.db2.gz DFMLGJUBSIUSRW-LLVKDONJSA-N 1 2 303.366 1.176 20 30 DDEDLO Cc1nnc(C[N@@H+]2CC=C(CCNC(=O)[C@H](C)C#N)CC2)o1 ZINC001159586864 865413773 /nfs/dbraw/zinc/41/37/73/865413773.db2.gz DFMLGJUBSIUSRW-LLVKDONJSA-N 1 2 303.366 1.176 20 30 DDEDLO CC(C)C#CC(=O)NCCC1=CC[N@H+](Cc2nccn2C)CC1 ZINC001160055209 865659028 /nfs/dbraw/zinc/65/90/28/865659028.db2.gz UKKXQLDAIMNZRK-UHFFFAOYSA-N 1 2 314.433 1.718 20 30 DDEDLO CC(C)C#CC(=O)NCCC1=CC[N@@H+](Cc2nccn2C)CC1 ZINC001160055209 865659033 /nfs/dbraw/zinc/65/90/33/865659033.db2.gz UKKXQLDAIMNZRK-UHFFFAOYSA-N 1 2 314.433 1.718 20 30 DDEDLO CC(C)CN[NH+]=Cc1c(Cl)nc(N)nc1N1CCOCC1 ZINC001319759161 866275622 /nfs/dbraw/zinc/27/56/22/866275622.db2.gz XQMINIAKXUSNOG-UHFFFAOYSA-N 1 2 312.805 1.128 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc2ccsc2[nH]1 ZINC001323277712 866494573 /nfs/dbraw/zinc/49/45/73/866494573.db2.gz MPHLYAGONOJFDW-ZDUSSCGKSA-N 1 2 317.414 1.683 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc2ccsc2[nH]1 ZINC001323277712 866494583 /nfs/dbraw/zinc/49/45/83/866494583.db2.gz MPHLYAGONOJFDW-ZDUSSCGKSA-N 1 2 317.414 1.683 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)c1ccc(Cl)[nH]1 ZINC001323303687 866513651 /nfs/dbraw/zinc/51/36/51/866513651.db2.gz UHCJJDXHACSIOZ-MNOVXSKESA-N 1 2 324.812 1.163 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)c1ccc(Cl)[nH]1 ZINC001323303687 866513661 /nfs/dbraw/zinc/51/36/61/866513661.db2.gz UHCJJDXHACSIOZ-MNOVXSKESA-N 1 2 324.812 1.163 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CNC(=O)c1cc2n(c1)CCCC2 ZINC001323372459 866570941 /nfs/dbraw/zinc/57/09/41/866570941.db2.gz XPIBLRKOZRGFJG-KRWDZBQOSA-N 1 2 315.417 1.278 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CNC(=O)c1cc2n(c1)CCCC2 ZINC001323372459 866570955 /nfs/dbraw/zinc/57/09/55/866570955.db2.gz XPIBLRKOZRGFJG-KRWDZBQOSA-N 1 2 315.417 1.278 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NC(=O)Cn1cc[nH+]c1 ZINC001333916648 867152795 /nfs/dbraw/zinc/15/27/95/867152795.db2.gz OIFXKLOWTDMSME-STQMWFEESA-N 1 2 306.410 1.495 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2c(C)cccc2F)C1 ZINC001334180707 867359490 /nfs/dbraw/zinc/35/94/90/867359490.db2.gz SKRQBJJKCDAJKF-ZDUSSCGKSA-N 1 2 317.364 1.286 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2c(C)cccc2F)C1 ZINC001334180707 867359505 /nfs/dbraw/zinc/35/95/05/867359505.db2.gz SKRQBJJKCDAJKF-ZDUSSCGKSA-N 1 2 317.364 1.286 20 30 DDEDLO C=CCOCC(=O)NC[C@@]1(C)CCC[N@@H+](Cc2nc(C)no2)C1 ZINC001324919295 867627845 /nfs/dbraw/zinc/62/78/45/867627845.db2.gz DNUHYONJVDLYKM-MRXNPFEDSA-N 1 2 322.409 1.299 20 30 DDEDLO C=CCOCC(=O)NC[C@@]1(C)CCC[N@H+](Cc2nc(C)no2)C1 ZINC001324919295 867627850 /nfs/dbraw/zinc/62/78/50/867627850.db2.gz DNUHYONJVDLYKM-MRXNPFEDSA-N 1 2 322.409 1.299 20 30 DDEDLO CC#CCCCC(=O)N[C@H](C)C[NH2+]Cc1nnc(C2CC2)o1 ZINC001321617354 867698244 /nfs/dbraw/zinc/69/82/44/867698244.db2.gz LCXGCYCBOSXIBG-GFCCVEGCSA-N 1 2 304.394 1.735 20 30 DDEDLO N#CCNCCN(CCc1ccccc1)C(=O)Cc1[nH]cc[nH+]1 ZINC001162971042 867960153 /nfs/dbraw/zinc/96/01/53/867960153.db2.gz UOOQKIKAOGIUAI-UHFFFAOYSA-N 1 2 311.389 1.137 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[N@H+]([C@H](C)c3csnn3)C[C@@H]2C1 ZINC001325720714 868289941 /nfs/dbraw/zinc/28/99/41/868289941.db2.gz LIVPSLRZSDMJDG-UPJWGTAASA-N 1 2 306.435 1.956 20 30 DDEDLO C=CCCC(=O)N1C[C@@H]2C[N@@H+]([C@H](C)c3csnn3)C[C@@H]2C1 ZINC001325720714 868289955 /nfs/dbraw/zinc/28/99/55/868289955.db2.gz LIVPSLRZSDMJDG-UPJWGTAASA-N 1 2 306.435 1.956 20 30 DDEDLO N#CN=C(Nc1ccc(Cn2cc[nH+]c2)cn1)c1ccncc1 ZINC001164505783 869241173 /nfs/dbraw/zinc/24/11/73/869241173.db2.gz IDXJLUPRHQGMSS-UHFFFAOYSA-N 1 2 303.329 1.870 20 30 DDEDLO C=C(C)C[C@@H](C)C(=O)N[C@H](C)CN(C)C(=O)Cc1c[nH+]c[nH]1 ZINC001337504797 869515129 /nfs/dbraw/zinc/51/51/29/869515129.db2.gz AUIDGABFOGAWIZ-CHWSQXEVSA-N 1 2 306.410 1.518 20 30 DDEDLO CC(C)C[C@H](C(=O)NCCC1(CNCC#N)CC1)n1cc[nH+]c1 ZINC001165637935 869665207 /nfs/dbraw/zinc/66/52/07/869665207.db2.gz NXFASHHEFGOTBS-OAHLLOKOSA-N 1 2 317.437 1.870 20 30 DDEDLO C#CCN(C(=O)[C@H](CC)[N@@H+]1CCO[C@H](CC)C1)C1CSC1 ZINC001166131566 869854595 /nfs/dbraw/zinc/85/45/95/869854595.db2.gz KFJQHJHNFKXPJA-CABCVRRESA-N 1 2 310.463 1.453 20 30 DDEDLO C#CCN(C(=O)[C@H](CC)[N@H+]1CCO[C@H](CC)C1)C1CSC1 ZINC001166131566 869854607 /nfs/dbraw/zinc/85/46/07/869854607.db2.gz KFJQHJHNFKXPJA-CABCVRRESA-N 1 2 310.463 1.453 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N(C)CCC(=O)NC ZINC001338749716 870148604 /nfs/dbraw/zinc/14/86/04/870148604.db2.gz SMMWWKXVLIVZHB-CYBMUJFWSA-N 1 2 320.441 1.193 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N(C)CCC(=O)NC ZINC001338749716 870148610 /nfs/dbraw/zinc/14/86/10/870148610.db2.gz SMMWWKXVLIVZHB-CYBMUJFWSA-N 1 2 320.441 1.193 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@@H+]2C)nnc1N(C[C@@H](C)O)C1CC1 ZINC001338894708 870229340 /nfs/dbraw/zinc/22/93/40/870229340.db2.gz AJZBANNNAFIEQU-UKRRQHHQSA-N 1 2 319.453 1.971 20 30 DDEDLO C=CCn1c([C@H]2CCCC[N@H+]2C)nnc1N(C[C@@H](C)O)C1CC1 ZINC001338894708 870229354 /nfs/dbraw/zinc/22/93/54/870229354.db2.gz AJZBANNNAFIEQU-UKRRQHHQSA-N 1 2 319.453 1.971 20 30 DDEDLO C#CCO[C@H](C)C(=O)N1CC[NH2+][C@@H](c2ccc(Cl)cc2)C1 ZINC001339116386 870352275 /nfs/dbraw/zinc/35/22/75/870352275.db2.gz NPFOTUUKWNLSDU-IUODEOHRSA-N 1 2 306.793 1.851 20 30 DDEDLO C#CCOCCC(=O)N1CC[NH2+][C@@H](c2ccc(Cl)cc2)C1 ZINC001339117213 870353949 /nfs/dbraw/zinc/35/39/49/870353949.db2.gz SDJGBBSMKHFLLR-OAHLLOKOSA-N 1 2 306.793 1.853 20 30 DDEDLO C=C[C@](C)(CC)C(=O)NC[C@@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001298672683 870667335 /nfs/dbraw/zinc/66/73/35/870667335.db2.gz ISUPGJOLPOYOKW-CXAGYDPISA-N 1 2 320.437 1.576 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[C@H]1CCC[N@@H+]1Cc1cnsn1 ZINC001317426128 870809972 /nfs/dbraw/zinc/80/99/72/870809972.db2.gz LSLNTSBDKWBBGN-YPMHNXCESA-N 1 2 307.423 1.559 20 30 DDEDLO C[C@H](C#N)C(=O)NCCC[C@H]1CCC[N@H+]1Cc1cnsn1 ZINC001317426128 870809985 /nfs/dbraw/zinc/80/99/85/870809985.db2.gz LSLNTSBDKWBBGN-YPMHNXCESA-N 1 2 307.423 1.559 20 30 DDEDLO C=CCN(C)c1nnc(COCC)n1C[C@H](C)[NH+]1CCOCC1 ZINC001301309223 870986739 /nfs/dbraw/zinc/98/67/39/870986739.db2.gz PUCFGDPOBBDFTJ-AWEZNQCLSA-N 1 2 323.441 1.158 20 30 DDEDLO CCCN(C(=O)CC1CCC1)[C@H]1CC[N@H+](CC(=O)NCC#N)C1 ZINC001317755461 871469199 /nfs/dbraw/zinc/46/91/99/871469199.db2.gz VGUODCQQXUBDQZ-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO CCCN(C(=O)CC1CCC1)[C@H]1CC[N@@H+](CC(=O)NCC#N)C1 ZINC001317755461 871469216 /nfs/dbraw/zinc/46/92/16/871469216.db2.gz VGUODCQQXUBDQZ-HNNXBMFYSA-N 1 2 320.437 1.129 20 30 DDEDLO C=CCOCC(=O)NCC[C@H]1CC[N@@H+]([C@H](C)c2csnn2)C1 ZINC001317778687 871488781 /nfs/dbraw/zinc/48/87/81/871488781.db2.gz LLVPOLLMRMVQSP-OLZOCXBDSA-N 1 2 324.450 1.630 20 30 DDEDLO C=CCOCC(=O)NCC[C@H]1CC[N@H+]([C@H](C)c2csnn2)C1 ZINC001317778687 871488787 /nfs/dbraw/zinc/48/87/87/871488787.db2.gz LLVPOLLMRMVQSP-OLZOCXBDSA-N 1 2 324.450 1.630 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@@H+](CC(=O)N[C@H](C)CC)C1 ZINC001317929761 871630450 /nfs/dbraw/zinc/63/04/50/871630450.db2.gz CBSIWIMEIBUWNE-HZPDHXFCSA-N 1 2 321.465 1.675 20 30 DDEDLO C#CCCCCC(=O)N[C@@H]1CCC[N@H+](CC(=O)N[C@H](C)CC)C1 ZINC001317929761 871630461 /nfs/dbraw/zinc/63/04/61/871630461.db2.gz CBSIWIMEIBUWNE-HZPDHXFCSA-N 1 2 321.465 1.675 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@H+](CC(=O)NC(C)C)C1 ZINC001317979461 871669158 /nfs/dbraw/zinc/66/91/58/871669158.db2.gz LJJAFVLCQMLWPN-HNNXBMFYSA-N 1 2 307.438 1.285 20 30 DDEDLO CC#CCCCC(=O)N[C@H]1CCC[N@@H+](CC(=O)NC(C)C)C1 ZINC001317979461 871669164 /nfs/dbraw/zinc/66/91/64/871669164.db2.gz LJJAFVLCQMLWPN-HNNXBMFYSA-N 1 2 307.438 1.285 20 30 DDEDLO C=CCOCC[NH+]1CC(NC(=O)c2ccc3[nH]nc(C)c3c2)C1 ZINC001318041084 871707465 /nfs/dbraw/zinc/70/74/65/871707465.db2.gz GRFMUCMXVGYYQY-UHFFFAOYSA-N 1 2 314.389 1.488 20 30 DDEDLO N#CCSCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1cccc(F)c1 ZINC001318186749 871803464 /nfs/dbraw/zinc/80/34/64/871803464.db2.gz FPHDFEMXAYAGJA-GJZGRUSLSA-N 1 2 319.405 1.868 20 30 DDEDLO N#CCSCC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cccc(F)c1 ZINC001318186749 871803485 /nfs/dbraw/zinc/80/34/85/871803485.db2.gz FPHDFEMXAYAGJA-GJZGRUSLSA-N 1 2 319.405 1.868 20 30 DDEDLO C=C(Br)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)COCC)C1 ZINC001205834269 871844111 /nfs/dbraw/zinc/84/41/11/871844111.db2.gz OIYCLWDDABSNNF-MWLCHTKSSA-N 1 2 305.216 1.368 20 30 DDEDLO C=C(Br)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COCC)C1 ZINC001205834269 871844130 /nfs/dbraw/zinc/84/41/30/871844130.db2.gz OIYCLWDDABSNNF-MWLCHTKSSA-N 1 2 305.216 1.368 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@@H](NC(=O)Cn2cc[nH+]c2)C12CCC2 ZINC001341861807 871862231 /nfs/dbraw/zinc/86/22/31/871862231.db2.gz GLVOVHIHXDZRGC-UONOGXRCSA-N 1 2 316.405 1.393 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@@H](OC)C1 ZINC001341869021 871871650 /nfs/dbraw/zinc/87/16/50/871871650.db2.gz UTZCIICVFGFLRQ-CYBMUJFWSA-N 1 2 316.409 1.404 20 30 DDEDLO C=CCC[N@@H+]1CC[C@H](NC(=O)CS(=O)(=O)C2CCCC2)C1 ZINC001318260260 871888865 /nfs/dbraw/zinc/88/88/65/871888865.db2.gz AYNYTQCUNUXYEF-ZDUSSCGKSA-N 1 2 314.451 1.110 20 30 DDEDLO C=CCC[N@H+]1CC[C@H](NC(=O)CS(=O)(=O)C2CCCC2)C1 ZINC001318260260 871888878 /nfs/dbraw/zinc/88/88/78/871888878.db2.gz AYNYTQCUNUXYEF-ZDUSSCGKSA-N 1 2 314.451 1.110 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC[N@H+](CC(=O)NCC2CCC2)C1 ZINC001318273763 871899323 /nfs/dbraw/zinc/89/93/23/871899323.db2.gz XMAAYGKUXXWQGG-ZBFHGGJFSA-N 1 2 321.465 1.696 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC2CCC2)C1 ZINC001318273763 871899347 /nfs/dbraw/zinc/89/93/47/871899347.db2.gz XMAAYGKUXXWQGG-ZBFHGGJFSA-N 1 2 321.465 1.696 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@@H](NC(=O)c2scnc2C2CC2)C1 ZINC001318360493 871956009 /nfs/dbraw/zinc/95/60/09/871956009.db2.gz NGDWPTRMZLJHCH-CYBMUJFWSA-N 1 2 319.430 1.474 20 30 DDEDLO COCC#CC[N@H+]1CC[C@@H](NC(=O)c2scnc2C2CC2)C1 ZINC001318360493 871956021 /nfs/dbraw/zinc/95/60/21/871956021.db2.gz NGDWPTRMZLJHCH-CYBMUJFWSA-N 1 2 319.430 1.474 20 30 DDEDLO Cc1cnc([C@H](C)[N@@H+]2C[C@@H](C)[C@H](NC(=O)CSCC#N)C2)o1 ZINC001206090535 872047994 /nfs/dbraw/zinc/04/79/94/872047994.db2.gz ZJDXDMDDVSSPRP-KGYLQXTDSA-N 1 2 322.434 1.737 20 30 DDEDLO Cc1cnc([C@H](C)[N@H+]2C[C@@H](C)[C@H](NC(=O)CSCC#N)C2)o1 ZINC001206090535 872048006 /nfs/dbraw/zinc/04/80/06/872048006.db2.gz ZJDXDMDDVSSPRP-KGYLQXTDSA-N 1 2 322.434 1.737 20 30 DDEDLO C=CCCC(=O)N(CC)[C@H]1CC[N@@H+]([C@H](C)c2nncn2C)C1 ZINC001318457840 872072148 /nfs/dbraw/zinc/07/21/48/872072148.db2.gz KRVDCQNIYIUUTF-KGLIPLIRSA-N 1 2 305.426 1.765 20 30 DDEDLO C=CCCC(=O)N(CC)[C@H]1CC[N@H+]([C@H](C)c2nncn2C)C1 ZINC001318457840 872072175 /nfs/dbraw/zinc/07/21/75/872072175.db2.gz KRVDCQNIYIUUTF-KGLIPLIRSA-N 1 2 305.426 1.765 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)C1(C)CCC1)C2 ZINC001316805966 872078184 /nfs/dbraw/zinc/07/81/84/872078184.db2.gz NGNUFQHZCZASEG-UHFFFAOYSA-N 1 2 303.431 1.941 20 30 DDEDLO C=CCOCc1ncn2c1C[N@H+](Cc1ccnn1C)CCC2 ZINC001206330215 872221113 /nfs/dbraw/zinc/22/11/13/872221113.db2.gz TZCWMTOCZRPBHW-UHFFFAOYSA-N 1 2 301.394 1.725 20 30 DDEDLO C=CCOCc1ncn2c1C[N@@H+](Cc1ccnn1C)CCC2 ZINC001206330215 872221132 /nfs/dbraw/zinc/22/11/32/872221132.db2.gz TZCWMTOCZRPBHW-UHFFFAOYSA-N 1 2 301.394 1.725 20 30 DDEDLO COCC#CC[N@H+](CCCNC(=O)c1[nH]nc(C)c1C)C1CC1 ZINC001316913353 872342530 /nfs/dbraw/zinc/34/25/30/872342530.db2.gz CCPOJQXZSWIUQA-UHFFFAOYSA-N 1 2 318.421 1.261 20 30 DDEDLO COCC#CC[N@@H+](CCCNC(=O)c1[nH]nc(C)c1C)C1CC1 ZINC001316913353 872342553 /nfs/dbraw/zinc/34/25/53/872342553.db2.gz CCPOJQXZSWIUQA-UHFFFAOYSA-N 1 2 318.421 1.261 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@H+](CC(=O)Nc2ccccc2)C[C@H]1C ZINC001206640194 872488609 /nfs/dbraw/zinc/48/86/09/872488609.db2.gz FGKNJCYJXFEAOA-GZBFAFLISA-N 1 2 314.389 1.221 20 30 DDEDLO C[C@@H](C#N)C(=O)N[C@@H]1C[N@@H+](CC(=O)Nc2ccccc2)C[C@H]1C ZINC001206640194 872488623 /nfs/dbraw/zinc/48/86/23/872488623.db2.gz FGKNJCYJXFEAOA-GZBFAFLISA-N 1 2 314.389 1.221 20 30 DDEDLO C=CC[N@@H+]1CCO[C@H](CNC(=O)COc2ccc(F)cc2)C1 ZINC001319331393 872581808 /nfs/dbraw/zinc/58/18/08/872581808.db2.gz GKFYUMBZRYDNKQ-OAHLLOKOSA-N 1 2 308.353 1.208 20 30 DDEDLO C=CC[N@H+]1CCO[C@H](CNC(=O)COc2ccc(F)cc2)C1 ZINC001319331393 872581816 /nfs/dbraw/zinc/58/18/16/872581816.db2.gz GKFYUMBZRYDNKQ-OAHLLOKOSA-N 1 2 308.353 1.208 20 30 DDEDLO C=C(C)Cn1c(Cc2[nH+]ccn2C)nnc1N1CC[C@H](OC)C1 ZINC001343420412 872618164 /nfs/dbraw/zinc/61/81/64/872618164.db2.gz UTZCIICVFGFLRQ-ZDUSSCGKSA-N 1 2 316.409 1.404 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@H+]([C@H](C)c2nnc(CC)o2)C[C@H]1C ZINC001206911445 872750389 /nfs/dbraw/zinc/75/03/89/872750389.db2.gz QBQAWFLJXKGXHN-MGPQQGTHSA-N 1 2 318.421 1.933 20 30 DDEDLO C#CCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)c2nnc(CC)o2)C[C@H]1C ZINC001206911445 872750398 /nfs/dbraw/zinc/75/03/98/872750398.db2.gz QBQAWFLJXKGXHN-MGPQQGTHSA-N 1 2 318.421 1.933 20 30 DDEDLO C=C(C)CCC(=O)N1CC[C@H]1CN(C)C(=O)CCn1cc[nH+]c1 ZINC001344173170 872908869 /nfs/dbraw/zinc/90/88/69/872908869.db2.gz NAOWLFVTGHPUPY-HNNXBMFYSA-N 1 2 318.421 1.689 20 30 DDEDLO Cn1c[nH+]cc1[C@@H]1C[C@H]1C(=O)NCc1cccc(OCC#N)c1 ZINC001361958333 882711084 /nfs/dbraw/zinc/71/10/84/882711084.db2.gz IENDFTNXYLUPEB-HUUCEWRRSA-N 1 2 310.357 1.742 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@@H+]2C)n1C[C@H]1CCCO1 ZINC001345322674 873354415 /nfs/dbraw/zinc/35/44/15/873354415.db2.gz SVUTUTUWGSLICS-KGLIPLIRSA-N 1 2 303.410 1.293 20 30 DDEDLO C#CCN(C)c1nnc([C@@H]2CCC[N@H+]2C)n1C[C@H]1CCCO1 ZINC001345322674 873354423 /nfs/dbraw/zinc/35/44/23/873354423.db2.gz SVUTUTUWGSLICS-KGLIPLIRSA-N 1 2 303.410 1.293 20 30 DDEDLO C#C[C@H]1CCCCN1c1nnc(C[NH+]2CCCC2)n1CCOC ZINC001345762026 873505110 /nfs/dbraw/zinc/50/51/10/873505110.db2.gz DXJMGXRPTVILDT-HNNXBMFYSA-N 1 2 317.437 1.512 20 30 DDEDLO CCc1noc([C@@H](C)[NH2+][C@@H]2CCCN(C(=O)C#CC(C)C)C2)n1 ZINC001207992359 873722444 /nfs/dbraw/zinc/72/24/44/873722444.db2.gz JQOXTAAOPJHJMR-ZIAGYGMSSA-N 1 2 318.421 1.933 20 30 DDEDLO CC#CC[NH2+][C@H]1CCCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001208899691 874517121 /nfs/dbraw/zinc/51/71/21/874517121.db2.gz CVCBKWKBFYJFLA-INIZCTEOSA-N 1 2 323.400 1.689 20 30 DDEDLO COC(=O)CC[C@H]1COCC[N@@H+]1CCc1ccc(C#N)cc1 ZINC001208930020 874534774 /nfs/dbraw/zinc/53/47/74/874534774.db2.gz YTHQNWKOZBWKRW-INIZCTEOSA-N 1 2 302.374 1.755 20 30 DDEDLO COC(=O)CC[C@H]1COCC[N@H+]1CCc1ccc(C#N)cc1 ZINC001208930020 874534777 /nfs/dbraw/zinc/53/47/77/874534777.db2.gz YTHQNWKOZBWKRW-INIZCTEOSA-N 1 2 302.374 1.755 20 30 DDEDLO C=C[C@](C)(O)CC(=O)NC1CC[NH+](Cc2cc(C)on2)CC1 ZINC001227113183 882844232 /nfs/dbraw/zinc/84/42/32/882844232.db2.gz AUKVFLAUPHLFCY-INIZCTEOSA-N 1 2 307.394 1.391 20 30 DDEDLO C=CCCC(=O)NCCNC(=O)c1cc(-n2cc[nH+]c2)ccn1 ZINC001348614460 874670719 /nfs/dbraw/zinc/67/07/19/874670719.db2.gz ASLFVQVKPYLXDA-UHFFFAOYSA-N 1 2 313.361 1.080 20 30 DDEDLO C#CCCC[NH+]1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC001209266241 874764989 /nfs/dbraw/zinc/76/49/89/874764989.db2.gz TZUMZTIKZDXBNR-UHFFFAOYSA-N 1 2 306.431 1.715 20 30 DDEDLO CCCCNC(=O)C[NH+]1CCN(c2ccc(C#N)cc2)CC1 ZINC001349658975 875288919 /nfs/dbraw/zinc/28/89/19/875288919.db2.gz SLNDIBQXEBFGRV-UHFFFAOYSA-N 1 2 300.406 1.597 20 30 DDEDLO COc1cc(Nc2c(C#N)cnc3c(C#N)cnn32)cc(C)[nH+]1 ZINC001210779616 875526844 /nfs/dbraw/zinc/52/68/44/875526844.db2.gz VTEORAOIDUWTSF-UHFFFAOYSA-N 1 2 305.301 1.928 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@H+](Cc2cc(C)on2)C[C@H]1C ZINC001211422699 875799492 /nfs/dbraw/zinc/79/94/92/875799492.db2.gz QKFMQZDWAVUFLH-UXIGCNINSA-N 1 2 307.394 1.511 20 30 DDEDLO C=CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](Cc2cc(C)on2)C[C@H]1C ZINC001211422699 875799497 /nfs/dbraw/zinc/79/94/97/875799497.db2.gz QKFMQZDWAVUFLH-UXIGCNINSA-N 1 2 307.394 1.511 20 30 DDEDLO C=C[C@@H](C)[C@@H](C)C(=O)NC1CCN(C(=O)Cc2c[nH+]c[nH]2)CC1 ZINC001350945235 875974945 /nfs/dbraw/zinc/97/49/45/875974945.db2.gz HYYLXGWDWZMDRS-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)C2(CCOCC)CCCC2)[C@H](OC)C1 ZINC001213622941 875999477 /nfs/dbraw/zinc/99/94/77/875999477.db2.gz OKHIPQJUCILPPD-HZPDHXFCSA-N 1 2 322.449 1.422 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)C2(CCOCC)CCCC2)[C@H](OC)C1 ZINC001213622941 875999493 /nfs/dbraw/zinc/99/94/93/875999493.db2.gz OKHIPQJUCILPPD-HZPDHXFCSA-N 1 2 322.449 1.422 20 30 DDEDLO C#CCO[C@@H](C)C(=O)N[C@H](Cc1[nH+]ccn1C)c1ccccc1 ZINC001351044058 876033179 /nfs/dbraw/zinc/03/31/79/876033179.db2.gz CMOSHZGNRLUZTB-GOEBONIOSA-N 1 2 311.385 1.858 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3nccs3)n2CC=C)CC1 ZINC001351647358 876364230 /nfs/dbraw/zinc/36/42/30/876364230.db2.gz OQSROMNZCWCGFX-UHFFFAOYSA-N 1 2 314.418 1.343 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc(=O)cc(C(F)(F)F)[nH]3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227586448 883093256 /nfs/dbraw/zinc/09/32/56/883093256.db2.gz LVNFAPCAHQGLGZ-UHSPONAGSA-N 1 2 316.279 1.797 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc(=O)cc(C(F)(F)F)[nH]3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227586448 883093275 /nfs/dbraw/zinc/09/32/75/883093275.db2.gz LVNFAPCAHQGLGZ-UHSPONAGSA-N 1 2 316.279 1.797 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)C(=O)Nc2cscc2C)C1 ZINC001353466521 877353202 /nfs/dbraw/zinc/35/32/02/877353202.db2.gz ZXNHWAQJJRTEGI-LBPRGKRZSA-N 1 2 305.403 1.209 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)C(=O)Nc2cscc2C)C1 ZINC001353466521 877353218 /nfs/dbraw/zinc/35/32/18/877353218.db2.gz ZXNHWAQJJRTEGI-LBPRGKRZSA-N 1 2 305.403 1.209 20 30 DDEDLO CC#CC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H](C)OCCC(C)C)[C@H]2C1 ZINC001219067621 877897976 /nfs/dbraw/zinc/89/79/76/877897976.db2.gz ZMMQAXNXTXKPAT-YESZJQIVSA-N 1 2 322.449 1.373 20 30 DDEDLO CC#CC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](C)OCCC(C)C)[C@H]2C1 ZINC001219067621 877897987 /nfs/dbraw/zinc/89/79/87/877897987.db2.gz ZMMQAXNXTXKPAT-YESZJQIVSA-N 1 2 322.449 1.373 20 30 DDEDLO C=C(Br)C[N@@H+](C)C[C@H](O)CN(C)C(=O)CCCC ZINC001379903371 878276544 /nfs/dbraw/zinc/27/65/44/878276544.db2.gz ZZBWEYCNNMYDIH-LBPRGKRZSA-N 1 2 321.259 1.836 20 30 DDEDLO C=C(Br)C[N@H+](C)C[C@H](O)CN(C)C(=O)CCCC ZINC001379903371 878276553 /nfs/dbraw/zinc/27/65/53/878276553.db2.gz ZZBWEYCNNMYDIH-LBPRGKRZSA-N 1 2 321.259 1.836 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@H+](Cc2conc2CC)C[C@@H]1O ZINC001219715673 878474514 /nfs/dbraw/zinc/47/45/14/878474514.db2.gz IIVSIWZIDXTRMJ-CABCVRRESA-N 1 2 307.394 1.255 20 30 DDEDLO C=C(C)CCC(=O)N[C@@H]1C[N@@H+](Cc2conc2CC)C[C@@H]1O ZINC001219715673 878474526 /nfs/dbraw/zinc/47/45/26/878474526.db2.gz IIVSIWZIDXTRMJ-CABCVRRESA-N 1 2 307.394 1.255 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220133573 878722686 /nfs/dbraw/zinc/72/26/86/878722686.db2.gz FZWXGQVXUXMPHR-OLZOCXBDSA-N 1 2 307.394 1.247 20 30 DDEDLO C=C(C)C(C)(C)C(=O)N[C@@H]1C[N@@H+](Cc2ocnc2C)C[C@@H]1O ZINC001220133573 878722696 /nfs/dbraw/zinc/72/26/96/878722696.db2.gz FZWXGQVXUXMPHR-OLZOCXBDSA-N 1 2 307.394 1.247 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@@H+](Cc3cccnc3)C[C@@H]2O)CC1 ZINC001220280150 878878282 /nfs/dbraw/zinc/87/82/82/878878282.db2.gz BALDHKBDQVWPOQ-CVEARBPZSA-N 1 2 315.417 1.489 20 30 DDEDLO C=CCCC1(C(=O)N[C@@H]2C[N@H+](Cc3cccnc3)C[C@@H]2O)CC1 ZINC001220280150 878878286 /nfs/dbraw/zinc/87/82/86/878878286.db2.gz BALDHKBDQVWPOQ-CVEARBPZSA-N 1 2 315.417 1.489 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220292319 878890503 /nfs/dbraw/zinc/89/05/03/878890503.db2.gz UTXWSSMMWDBOMA-YCPHGPKFSA-N 1 2 323.462 1.715 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@@H]1C[N@@H+](Cc2cnc(C)s2)C[C@@H]1O ZINC001220292319 878890514 /nfs/dbraw/zinc/89/05/14/878890514.db2.gz UTXWSSMMWDBOMA-YCPHGPKFSA-N 1 2 323.462 1.715 20 30 DDEDLO C#CC[N@H+]1C[C@@H](NC(=O)[C@H](CC)Cc2ccccc2)[C@@H](O)C1 ZINC001220453617 879030526 /nfs/dbraw/zinc/03/05/26/879030526.db2.gz IYDGFIJLCXLYGH-ZACQAIPSSA-N 1 2 300.402 1.050 20 30 DDEDLO C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)Cc2ccccc2)[C@@H](O)C1 ZINC001220453617 879030539 /nfs/dbraw/zinc/03/05/39/879030539.db2.gz IYDGFIJLCXLYGH-ZACQAIPSSA-N 1 2 300.402 1.050 20 30 DDEDLO COCC#CC[N@H+]1C[C@@H](NC(=O)[C@H](C)CC2CCCC2)[C@@H](O)C1 ZINC001220469971 879042923 /nfs/dbraw/zinc/04/29/23/879042923.db2.gz OERWWVNCYLKSHM-OIISXLGYSA-N 1 2 322.449 1.014 20 30 DDEDLO COCC#CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)CC2CCCC2)[C@@H](O)C1 ZINC001220469971 879042936 /nfs/dbraw/zinc/04/29/36/879042936.db2.gz OERWWVNCYLKSHM-OIISXLGYSA-N 1 2 322.449 1.014 20 30 DDEDLO C=CCCC(=O)N[C@@H](CC)CNC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC001356897993 879559868 /nfs/dbraw/zinc/55/98/68/879559868.db2.gz AIBZJWDALDKOHB-KBPBESRZSA-N 1 2 318.421 1.423 20 30 DDEDLO CC#CCCCC(=O)N[C@H](CC)CNC(=O)Cc1[nH]cc[nH+]1 ZINC001356917701 879585345 /nfs/dbraw/zinc/58/53/45/879585345.db2.gz NACAOGRYXPUURS-CYBMUJFWSA-N 1 2 304.394 1.157 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3cc4n(n3)CCC4)C[C@H]21 ZINC001221429363 879788176 /nfs/dbraw/zinc/78/81/76/879788176.db2.gz UHPNHAMNFQVIJF-RHSMWYFYSA-N 1 2 314.433 1.828 20 30 DDEDLO C=CCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cc4n(n3)CCC4)C[C@H]21 ZINC001221429363 879788185 /nfs/dbraw/zinc/78/81/85/879788185.db2.gz UHPNHAMNFQVIJF-RHSMWYFYSA-N 1 2 314.433 1.828 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@H]2CC[N@H+](Cc3cnnn3C)C[C@H]21 ZINC001221758905 880061708 /nfs/dbraw/zinc/06/17/08/880061708.db2.gz IYUDXJDDMWPOKA-SQWLQELKSA-N 1 2 317.437 1.450 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1C[C@H]2CC[N@@H+](Cc3cnnn3C)C[C@H]21 ZINC001221758905 880061721 /nfs/dbraw/zinc/06/17/21/880061721.db2.gz IYUDXJDDMWPOKA-SQWLQELKSA-N 1 2 317.437 1.450 20 30 DDEDLO C#CC[NH+]1CCN(c2nnc(-c3cocn3)n2CC(C)C)CC1 ZINC001357988049 880275982 /nfs/dbraw/zinc/27/59/82/880275982.db2.gz SIBGSDFUYZILHH-UHFFFAOYSA-N 1 2 314.393 1.344 20 30 DDEDLO C=CC(=O)OC[C@H](COC(=O)C(=C)C)OCCn1cc[nH+]c1 ZINC001222134628 880276673 /nfs/dbraw/zinc/27/66/73/880276673.db2.gz IBXALXCDMXEQMX-CYBMUJFWSA-N 1 2 308.334 1.117 20 30 DDEDLO C=C(C)CCC(=O)NC[C@H](C)NC(=O)CCc1[nH+]ccn1C ZINC001358533033 880501703 /nfs/dbraw/zinc/50/17/03/880501703.db2.gz KRLSWTLYPWREKJ-ZDUSSCGKSA-N 1 2 306.410 1.330 20 30 DDEDLO C[C@@H]([NH2+][C@H](C)c1nc(N)nc(N(C)C)n1)c1ccc(C#N)cc1 ZINC001413992584 880517540 /nfs/dbraw/zinc/51/75/40/880517540.db2.gz XKMVUDBWZTYOAK-GHMZBOCLSA-N 1 2 311.393 1.803 20 30 DDEDLO C=CCCCC(=O)N[C@H]1C[C@@H](C)N(C(=O)CCn2cc[nH+]c2)C1 ZINC001287943746 912613909 /nfs/dbraw/zinc/61/39/09/912613909.db2.gz RJYMVMJDVFJRPF-CABCVRRESA-N 1 2 318.421 1.735 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@H+]3CC[C@](O)(C(F)F)C3)o2)c1 ZINC001414006423 880860127 /nfs/dbraw/zinc/86/01/27/880860127.db2.gz QECUZZKTUREMPT-OAHLLOKOSA-N 1 2 320.299 1.810 20 30 DDEDLO N#Cc1cccc(-c2nnc(C[N@@H+]3CC[C@](O)(C(F)F)C3)o2)c1 ZINC001414006423 880860135 /nfs/dbraw/zinc/86/01/35/880860135.db2.gz QECUZZKTUREMPT-OAHLLOKOSA-N 1 2 320.299 1.810 20 30 DDEDLO C[C@H](C#N)C(=O)N(C)C[C@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC001382056219 883287671 /nfs/dbraw/zinc/28/76/71/883287671.db2.gz JQEJATUHDBWMKW-MNOVXSKESA-N 1 2 307.398 1.463 20 30 DDEDLO C=C[C@](C)(CCOC)C(=O)NC1CC[NH+](Cc2ccon2)CC1 ZINC001228467596 883509990 /nfs/dbraw/zinc/50/99/90/883509990.db2.gz VVAXCQCINLSEJT-QGZVFWFLSA-N 1 2 321.421 1.984 20 30 DDEDLO N#CC[C@@H](C(=O)N1CC[NH2+][C@@H](c2cn[nH]c2)C1)c1ccccc1 ZINC001362738950 884518629 /nfs/dbraw/zinc/51/86/29/884518629.db2.gz MTXMSUFQVOPOTP-HZPDHXFCSA-N 1 2 309.373 1.580 20 30 DDEDLO COCC(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccc(OC)c(C#N)c1 ZINC001230539314 884557735 /nfs/dbraw/zinc/55/77/35/884557735.db2.gz SXTCSADJDJYAJU-HNNXBMFYSA-N 1 2 317.389 1.246 20 30 DDEDLO COCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccc(OC)c(C#N)c1 ZINC001230539314 884557744 /nfs/dbraw/zinc/55/77/44/884557744.db2.gz SXTCSADJDJYAJU-HNNXBMFYSA-N 1 2 317.389 1.246 20 30 DDEDLO CCC(=O)N[C@@H](C)C(=O)N1CCC([C@@H]2CCC[N@@H+]2CC#N)CC1 ZINC001230569897 884600556 /nfs/dbraw/zinc/60/05/56/884600556.db2.gz YGUQKAMKCRCUBQ-ZFWWWQNUSA-N 1 2 320.437 1.128 20 30 DDEDLO CCC(=O)N[C@@H](C)C(=O)N1CCC([C@@H]2CCC[N@H+]2CC#N)CC1 ZINC001230569897 884600566 /nfs/dbraw/zinc/60/05/66/884600566.db2.gz YGUQKAMKCRCUBQ-ZFWWWQNUSA-N 1 2 320.437 1.128 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)CNc2ccc(C#N)cn2)C1 ZINC001362812056 884700425 /nfs/dbraw/zinc/70/04/25/884700425.db2.gz NHWHMUUPNLZDOL-ZDUSSCGKSA-N 1 2 310.361 1.115 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CC[C@H]2CN(C)C(=O)C(CC)CC)C1=O ZINC001230834671 884936782 /nfs/dbraw/zinc/93/67/82/884936782.db2.gz JTOCINANDIFCHU-HOTGVXAUSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CC[C@H]2CN(C)C(=O)C(CC)CC)C1=O ZINC001230834671 884936806 /nfs/dbraw/zinc/93/68/06/884936806.db2.gz JTOCINANDIFCHU-HOTGVXAUSA-N 1 2 321.465 1.742 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001231095610 885216929 /nfs/dbraw/zinc/21/69/29/885216929.db2.gz SJOJUBPVIYNBLU-HUUCEWRRSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001231095610 885216948 /nfs/dbraw/zinc/21/69/48/885216948.db2.gz SJOJUBPVIYNBLU-HUUCEWRRSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@@H+]1Cc1ocnc1C ZINC001231103617 885230188 /nfs/dbraw/zinc/23/01/88/885230188.db2.gz QTGQNRKBHNGULC-LSDHHAIUSA-N 1 2 321.421 1.997 20 30 DDEDLO C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1Cc1ocnc1C ZINC001231103617 885230196 /nfs/dbraw/zinc/23/01/96/885230196.db2.gz QTGQNRKBHNGULC-LSDHHAIUSA-N 1 2 321.421 1.997 20 30 DDEDLO Cc1nnc([C@@H](C)[N@@H+](C)CCOCCNC(=O)C#CC(C)C)o1 ZINC001277487752 885239607 /nfs/dbraw/zinc/23/96/07/885239607.db2.gz QZGNUMRPFMNZRW-CYBMUJFWSA-N 1 2 322.409 1.163 20 30 DDEDLO Cc1nnc([C@@H](C)[N@H+](C)CCOCCNC(=O)C#CC(C)C)o1 ZINC001277487752 885239626 /nfs/dbraw/zinc/23/96/26/885239626.db2.gz QZGNUMRPFMNZRW-CYBMUJFWSA-N 1 2 322.409 1.163 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@@H+]1Cc1ccnc(N(C)C)c1 ZINC001231247811 885432423 /nfs/dbraw/zinc/43/24/23/885432423.db2.gz RLWSZALYNUMUSU-ZFWWWQNUSA-N 1 2 315.421 1.340 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C[C@@H]1CC[N@H+]1Cc1ccnc(N(C)C)c1 ZINC001231247811 885432429 /nfs/dbraw/zinc/43/24/29/885432429.db2.gz RLWSZALYNUMUSU-ZFWWWQNUSA-N 1 2 315.421 1.340 20 30 DDEDLO C#CC[NH+]1CCN(Cc2ncc(Br)cc2F)CC1 ZINC001231989591 885993871 /nfs/dbraw/zinc/99/38/71/885993871.db2.gz NNETXKZJVQEYMS-UHFFFAOYSA-N 1 2 312.186 1.734 20 30 DDEDLO CN(C)C(=O)C[NH+]1CCN(Cc2ccc(C#N)c(Cl)c2)CC1 ZINC001232119507 886106556 /nfs/dbraw/zinc/10/65/56/886106556.db2.gz FBFIPDCTTUDQDN-UHFFFAOYSA-N 1 2 320.824 1.417 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2cc(C#N)ccn2)C1 ZINC001232481298 886422413 /nfs/dbraw/zinc/42/24/13/886422413.db2.gz CULZKQHQCYAPED-CQSZACIVSA-N 1 2 300.362 1.830 20 30 DDEDLO C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2cc(C#N)ccn2)C1 ZINC001232481298 886422418 /nfs/dbraw/zinc/42/24/18/886422418.db2.gz CULZKQHQCYAPED-CQSZACIVSA-N 1 2 300.362 1.830 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@H+](Cc2cc(C#N)ccc2O)CCS1 ZINC001232677405 886532715 /nfs/dbraw/zinc/53/27/15/886532715.db2.gz CLHUWHUHLNGKGP-AWEZNQCLSA-N 1 2 306.387 1.744 20 30 DDEDLO CCOC(=O)[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2O)CCS1 ZINC001232677405 886532726 /nfs/dbraw/zinc/53/27/26/886532726.db2.gz CLHUWHUHLNGKGP-AWEZNQCLSA-N 1 2 306.387 1.744 20 30 DDEDLO C[N@@H+]1CCC[C@H]1c1cc(C(=O)NCc2cncc(C#N)c2)[nH]n1 ZINC001363554122 886622929 /nfs/dbraw/zinc/62/29/29/886622929.db2.gz DLBHFRNPWMPZIH-HNNXBMFYSA-N 1 2 310.361 1.373 20 30 DDEDLO C[N@H+]1CCC[C@H]1c1cc(C(=O)NCc2cncc(C#N)c2)[nH]n1 ZINC001363554122 886622945 /nfs/dbraw/zinc/62/29/45/886622945.db2.gz DLBHFRNPWMPZIH-HNNXBMFYSA-N 1 2 310.361 1.373 20 30 DDEDLO C[N@H+]1[C@@H]2C[C@@H](Oc3cc4ccccc4cc3C(N)=O)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233564799 887113715 /nfs/dbraw/zinc/11/37/15/887113715.db2.gz LVAGQVYNUSWURM-YPDBZUBKSA-N 1 2 324.380 1.930 20 30 DDEDLO C[N@@H+]1[C@@H]2C[C@@H](Oc3cc4ccccc4cc3C(N)=O)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233564799 887113720 /nfs/dbraw/zinc/11/37/20/887113720.db2.gz LVAGQVYNUSWURM-YPDBZUBKSA-N 1 2 324.380 1.930 20 30 DDEDLO COCC#CC[N@@H+]1CC[C@H]1CN(C)C(=O)Cc1ccc(C)o1 ZINC001233742320 887268304 /nfs/dbraw/zinc/26/83/04/887268304.db2.gz OWNLWPJWMHAZHC-HNNXBMFYSA-N 1 2 304.390 1.313 20 30 DDEDLO COCC#CC[N@H+]1CC[C@H]1CN(C)C(=O)Cc1ccc(C)o1 ZINC001233742320 887268323 /nfs/dbraw/zinc/26/83/23/887268323.db2.gz OWNLWPJWMHAZHC-HNNXBMFYSA-N 1 2 304.390 1.313 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)N[C@H](C)CCC ZINC001233946638 887484577 /nfs/dbraw/zinc/48/45/77/887484577.db2.gz CDDPTJVITKGRQR-HZPDHXFCSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)N[C@H](C)CCC ZINC001233946638 887484585 /nfs/dbraw/zinc/48/45/85/887484585.db2.gz CDDPTJVITKGRQR-HZPDHXFCSA-N 1 2 321.465 1.627 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(OC)ccn1 ZINC001233956933 887496335 /nfs/dbraw/zinc/49/63/35/887496335.db2.gz HJDRSNZNLNTGSG-MRXNPFEDSA-N 1 2 315.417 1.926 20 30 DDEDLO C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(OC)ccn1 ZINC001233956933 887496343 /nfs/dbraw/zinc/49/63/43/887496343.db2.gz HJDRSNZNLNTGSG-MRXNPFEDSA-N 1 2 315.417 1.926 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@@H+]1Cc1cc(C)no1 ZINC001233976643 887515212 /nfs/dbraw/zinc/51/52/12/887515212.db2.gz HHRBWDARESBYPI-CJNGLKHVSA-N 1 2 307.394 1.343 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)no1 ZINC001233976643 887515227 /nfs/dbraw/zinc/51/52/27/887515227.db2.gz HHRBWDARESBYPI-CJNGLKHVSA-N 1 2 307.394 1.343 20 30 DDEDLO CCOC(=O)c1cnn2cc(C[NH+]3CCC(C#N)CC3)cnc12 ZINC001363909852 887520401 /nfs/dbraw/zinc/52/04/01/887520401.db2.gz QLMZFMOXSANJCS-UHFFFAOYSA-N 1 2 313.361 1.642 20 30 DDEDLO CCCC[C@H](C(N)=O)[N@@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234169405 887705992 /nfs/dbraw/zinc/70/59/92/887705992.db2.gz FFZMKESAOOGITR-HUUCEWRRSA-N 1 2 307.438 1.223 20 30 DDEDLO CCCC[C@H](C(N)=O)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234169405 887705999 /nfs/dbraw/zinc/70/59/99/887705999.db2.gz FFZMKESAOOGITR-HUUCEWRRSA-N 1 2 307.438 1.223 20 30 DDEDLO Cn1cc(CN2CC3(C2)C[NH+](CC(=O)C(C)(C)C)C3)cc1C#N ZINC001277847886 887878757 /nfs/dbraw/zinc/87/87/57/887878757.db2.gz JMOWEVUOOYSLTR-UHFFFAOYSA-N 1 2 314.433 1.630 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NCCC ZINC001234537285 888066708 /nfs/dbraw/zinc/06/67/08/888066708.db2.gz MIDSYNAGWHXSPL-CQSZACIVSA-N 1 2 309.454 1.648 20 30 DDEDLO C=CC(C)(C)CC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NCCC ZINC001234537285 888066724 /nfs/dbraw/zinc/06/67/24/888066724.db2.gz MIDSYNAGWHXSPL-CQSZACIVSA-N 1 2 309.454 1.648 20 30 DDEDLO Cc1noc(C)c1NC(=O)N1C[C@H]2C[C@@H](C1)[N@H+](CCC#N)C2 ZINC001277941785 888603664 /nfs/dbraw/zinc/60/36/64/888603664.db2.gz URFOPBNAECIKMU-STQMWFEESA-N 1 2 303.366 1.743 20 30 DDEDLO Cc1noc(C)c1NC(=O)N1C[C@H]2C[C@@H](C1)[N@@H+](CCC#N)C2 ZINC001277941785 888603668 /nfs/dbraw/zinc/60/36/68/888603668.db2.gz URFOPBNAECIKMU-STQMWFEESA-N 1 2 303.366 1.743 20 30 DDEDLO C=CCCC(=O)NCCC[N@@H+](C)Cc1nnc(C)n1C1CC1 ZINC001235481459 888671562 /nfs/dbraw/zinc/67/15/62/888671562.db2.gz ZXXUCUKKUXCJIZ-UHFFFAOYSA-N 1 2 305.426 1.826 20 30 DDEDLO C=CCCC(=O)NCCC[N@H+](C)Cc1nnc(C)n1C1CC1 ZINC001235481459 888671566 /nfs/dbraw/zinc/67/15/66/888671566.db2.gz ZXXUCUKKUXCJIZ-UHFFFAOYSA-N 1 2 305.426 1.826 20 30 DDEDLO C=CCOCC(=O)NCCC[N@@H+](C)Cc1nc(C(F)F)no1 ZINC001235698613 888895694 /nfs/dbraw/zinc/89/56/94/888895694.db2.gz MFWHHTFEXOMGIV-UHFFFAOYSA-N 1 2 318.324 1.148 20 30 DDEDLO C=CCOCC(=O)NCCC[N@H+](C)Cc1nc(C(F)F)no1 ZINC001235698613 888895712 /nfs/dbraw/zinc/89/57/12/888895712.db2.gz MFWHHTFEXOMGIV-UHFFFAOYSA-N 1 2 318.324 1.148 20 30 DDEDLO C[C@@H]1C[C@H](C[N@@H+]2C[C@H]3CS(=O)(=O)C[C@@]3(C#N)C2)C[C@H](C)O1 ZINC001364550989 888907346 /nfs/dbraw/zinc/90/73/46/888907346.db2.gz SIPOMLRGYKUILY-VYDRJRHOSA-N 1 2 312.435 1.060 20 30 DDEDLO C[C@@H]1C[C@H](C[N@H+]2C[C@H]3CS(=O)(=O)C[C@@]3(C#N)C2)C[C@H](C)O1 ZINC001364550989 888907359 /nfs/dbraw/zinc/90/73/59/888907359.db2.gz SIPOMLRGYKUILY-VYDRJRHOSA-N 1 2 312.435 1.060 20 30 DDEDLO CO[C@H](C)C(=O)N1CC[NH+](Cc2ccc(OCC#N)cc2)CC1 ZINC001364940719 889716677 /nfs/dbraw/zinc/71/66/77/889716677.db2.gz CANCMYUDPHUJLK-CQSZACIVSA-N 1 2 317.389 1.268 20 30 DDEDLO N#CCc1cccc2c1CC[N@@H+](CC(=O)Nc1ccncc1)C2 ZINC001365319288 890578541 /nfs/dbraw/zinc/57/85/41/890578541.db2.gz FXNFOIFHGGZXCP-UHFFFAOYSA-N 1 2 306.369 1.566 20 30 DDEDLO N#CCc1cccc2c1CC[N@H+](CC(=O)Nc1ccncc1)C2 ZINC001365319288 890578547 /nfs/dbraw/zinc/57/85/47/890578547.db2.gz FXNFOIFHGGZXCP-UHFFFAOYSA-N 1 2 306.369 1.566 20 30 DDEDLO N#CCC1CN(C(=O)[C@@H]2CC23C[NH+](Cc2cccc(F)n2)C3)C1 ZINC001278298733 890670119 /nfs/dbraw/zinc/67/01/19/890670119.db2.gz HNEIDEZBHUKLDC-AWEZNQCLSA-N 1 2 314.364 1.415 20 30 DDEDLO C=CCCC(=O)N1CCCC[C@H]1CN(C)C(=O)Cc1[nH]cc[nH+]1 ZINC001290738988 913495967 /nfs/dbraw/zinc/49/59/67/913495967.db2.gz GRGIAZMMUMVMGD-AWEZNQCLSA-N 1 2 318.421 1.758 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@]1(C)CC[N@H+](Cc2ncc(C)s2)C1 ZINC001278398265 892004025 /nfs/dbraw/zinc/00/40/25/892004025.db2.gz YLLBMACMPPVODU-CZUORRHYSA-N 1 2 321.446 1.570 20 30 DDEDLO C#CCO[C@H](C)C(=O)N[C@]1(C)CC[N@@H+](Cc2ncc(C)s2)C1 ZINC001278398265 892004041 /nfs/dbraw/zinc/00/40/41/892004041.db2.gz YLLBMACMPPVODU-CZUORRHYSA-N 1 2 321.446 1.570 20 30 DDEDLO CCCCc1nc(C[NH2+][C@H]2C[C@@H](NC(=O)[C@@H](C)C#N)C2)no1 ZINC001366138932 892560569 /nfs/dbraw/zinc/56/05/69/892560569.db2.gz APZPKVXVZUYCQX-SDDRHHMPSA-N 1 2 305.382 1.309 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@@H+](Cc2ccn(CC)n2)C1 ZINC001278451286 892654661 /nfs/dbraw/zinc/65/46/61/892654661.db2.gz USVGVQVYUQCRDW-KRWDZBQOSA-N 1 2 320.437 1.576 20 30 DDEDLO C=CCCOCC(=O)N[C@@]1(C)CC[N@H+](Cc2ccn(CC)n2)C1 ZINC001278451286 892654666 /nfs/dbraw/zinc/65/46/66/892654666.db2.gz USVGVQVYUQCRDW-KRWDZBQOSA-N 1 2 320.437 1.576 20 30 DDEDLO C[N@H+](CCNC(=O)COCC1CC1)Cc1cc(C#N)ccc1F ZINC001366516801 893815403 /nfs/dbraw/zinc/81/54/03/893815403.db2.gz SKFWQXYUFWQUCI-UHFFFAOYSA-N 1 2 319.380 1.672 20 30 DDEDLO C[N@@H+](CCNC(=O)COCC1CC1)Cc1cc(C#N)ccc1F ZINC001366516801 893815427 /nfs/dbraw/zinc/81/54/27/893815427.db2.gz SKFWQXYUFWQUCI-UHFFFAOYSA-N 1 2 319.380 1.672 20 30 DDEDLO COC(=O)CN1CC[NH+](Cc2cc3ccc(C#N)cc3[nH]2)CC1 ZINC001249429186 893984639 /nfs/dbraw/zinc/98/46/39/893984639.db2.gz RAOJHQVGBCDQKI-UHFFFAOYSA-N 1 2 312.373 1.330 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCNC(=O)c1cnc(OCC2CC2)cn1 ZINC001366578440 894123372 /nfs/dbraw/zinc/12/33/72/894123372.db2.gz JAOCXAZDGJRQGZ-UHFFFAOYSA-N 1 2 324.812 1.680 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCNC(=O)c1cnc(OCC2CC2)cn1 ZINC001366578440 894123382 /nfs/dbraw/zinc/12/33/82/894123382.db2.gz JAOCXAZDGJRQGZ-UHFFFAOYSA-N 1 2 324.812 1.680 20 30 DDEDLO N#Cc1ccc(NC[C@H](O)C[NH+]2CCOCC2)c(F)c1Cl ZINC001251030936 894584211 /nfs/dbraw/zinc/58/42/11/894584211.db2.gz GWIZQZJAJDRZAF-NSHDSACASA-N 1 2 313.760 1.456 20 30 DDEDLO N#C[C@H]1C[NH2+]CCN1[C@H]1Cc2ccc(Br)cc2C1 ZINC001254278434 896334075 /nfs/dbraw/zinc/33/40/75/896334075.db2.gz KIDPEALKRALRPI-KBPBESRZSA-N 1 2 306.207 1.714 20 30 DDEDLO N#Cc1ccccc1OC1CC[NH+]([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001254342785 896377023 /nfs/dbraw/zinc/37/70/23/896377023.db2.gz WVINGIURLVESRJ-CQSZACIVSA-N 1 2 320.414 1.589 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001367552971 897143649 /nfs/dbraw/zinc/14/36/49/897143649.db2.gz ILCVLXPQJMXIBU-OAHLLOKOSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001367552971 897143661 /nfs/dbraw/zinc/14/36/61/897143661.db2.gz ILCVLXPQJMXIBU-OAHLLOKOSA-N 1 2 315.845 1.578 20 30 DDEDLO C=C(Cl)C[N@@H+](C)C[C@H](C)NC(=O)COCc1cccnc1 ZINC001367855270 898043134 /nfs/dbraw/zinc/04/31/34/898043134.db2.gz VPZOXQFWPNXBHM-ZDUSSCGKSA-N 1 2 311.813 1.787 20 30 DDEDLO C=C(Cl)C[N@H+](C)C[C@H](C)NC(=O)COCc1cccnc1 ZINC001367855270 898043148 /nfs/dbraw/zinc/04/31/48/898043148.db2.gz VPZOXQFWPNXBHM-ZDUSSCGKSA-N 1 2 311.813 1.787 20 30 DDEDLO C=C(C)C[NH+]1CCN(C(=O)c2cnc(C(F)(F)F)nc2)CC1 ZINC001258309743 898134361 /nfs/dbraw/zinc/13/43/61/898134361.db2.gz AFAUMCKCGZRRNG-UHFFFAOYSA-N 1 2 314.311 1.829 20 30 DDEDLO C=CCS(=O)(=O)N1CCN(c2[nH+]ccc3ccccc32)CC1 ZINC001259927406 898942901 /nfs/dbraw/zinc/94/29/01/898942901.db2.gz CTUZNIGGMBWLQD-UHFFFAOYSA-N 1 2 317.414 1.873 20 30 DDEDLO CC(C)n1c[nH+]cc1CNS(=O)(=O)Cc1ccc(C#N)cc1 ZINC001260048540 899027494 /nfs/dbraw/zinc/02/74/94/899027494.db2.gz KLZVGKKWDCOSQA-UHFFFAOYSA-N 1 2 318.402 1.955 20 30 DDEDLO N#Cc1sccc1N1CCN(c2nccn3c[nH+]cc23)CC1 ZINC001262371162 900136330 /nfs/dbraw/zinc/13/63/30/900136330.db2.gz CBDBWTJFRWIUTN-UHFFFAOYSA-N 1 2 310.386 1.989 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H]1CC[N@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001263806831 900720172 /nfs/dbraw/zinc/72/01/72/900720172.db2.gz FPMSBHAAFYXWNQ-JSGCOSHPSA-N 1 2 307.438 1.398 20 30 DDEDLO C=CC(C)(C)C(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)C(=O)NC1CC1 ZINC001263806831 900720183 /nfs/dbraw/zinc/72/01/83/900720183.db2.gz FPMSBHAAFYXWNQ-JSGCOSHPSA-N 1 2 307.438 1.398 20 30 DDEDLO C#CC[NH2+]Cc1nc2c(s1)CCN(C(=O)CSCC#N)C2 ZINC001264188348 901007167 /nfs/dbraw/zinc/00/71/67/901007167.db2.gz FABOUZNIMAGGMT-UHFFFAOYSA-N 1 2 320.443 1.007 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1CC(=O)NC1CCCC1 ZINC001264370902 901054004 /nfs/dbraw/zinc/05/40/04/901054004.db2.gz MAULHMHWIUOMSG-MRXNPFEDSA-N 1 2 321.465 1.934 20 30 DDEDLO C=CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CC(=O)NC1CCCC1 ZINC001264370902 901054010 /nfs/dbraw/zinc/05/40/10/901054010.db2.gz MAULHMHWIUOMSG-MRXNPFEDSA-N 1 2 321.465 1.934 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@H+](Cc2cn(C)nn2)[C@@H]1CC ZINC001264617449 901220189 /nfs/dbraw/zinc/22/01/89/901220189.db2.gz OAQVGYQLQVHDKE-UXLLHSPISA-N 1 2 319.453 1.887 20 30 DDEDLO C=C[C@@](C)(CC)C(=O)N[C@H]1CC[N@@H+](Cc2cn(C)nn2)[C@@H]1CC ZINC001264617449 901220207 /nfs/dbraw/zinc/22/02/07/901220207.db2.gz OAQVGYQLQVHDKE-UXLLHSPISA-N 1 2 319.453 1.887 20 30 DDEDLO COCC[N@H+](CCNC(=O)[C@H](C)C#N)[C@H](C)c1cnc(C)cn1 ZINC001369550534 901513844 /nfs/dbraw/zinc/51/38/44/901513844.db2.gz PBACBFIJTPFMPY-TZMCWYRMSA-N 1 2 319.409 1.070 20 30 DDEDLO COCC[N@@H+](CCNC(=O)[C@H](C)C#N)[C@H](C)c1cnc(C)cn1 ZINC001369550534 901513847 /nfs/dbraw/zinc/51/38/47/901513847.db2.gz PBACBFIJTPFMPY-TZMCWYRMSA-N 1 2 319.409 1.070 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001265285658 901826833 /nfs/dbraw/zinc/82/68/33/901826833.db2.gz DZCDDJNUKPJYLR-UKRRQHHQSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CC(C)(C)CC(=O)N[C@@H]1CC[N@H+](CC(=O)N[C@H](C)C2CC2)C1 ZINC001265285658 901826848 /nfs/dbraw/zinc/82/68/48/901826848.db2.gz DZCDDJNUKPJYLR-UKRRQHHQSA-N 1 2 321.465 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CC(=O)NC(C)(C)CC)C1 ZINC001265297769 901846957 /nfs/dbraw/zinc/84/69/57/901846957.db2.gz XOEJYKXXUOPCQA-CYBMUJFWSA-N 1 2 309.454 1.694 20 30 DDEDLO C=CC(C)(C)C(=O)N[C@@H]1CC[N@H+](CC(=O)NC(C)(C)CC)C1 ZINC001265297769 901846970 /nfs/dbraw/zinc/84/69/70/901846970.db2.gz XOEJYKXXUOPCQA-CYBMUJFWSA-N 1 2 309.454 1.694 20 30 DDEDLO C[C@@H](C#N)C(=O)N(C)C1CC[NH+]([C@@H](C)c2ncccn2)CC1 ZINC001369761107 901886109 /nfs/dbraw/zinc/88/61/09/901886109.db2.gz VTCVKTMPEQBWLA-STQMWFEESA-N 1 2 301.394 1.620 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)[C@H](C)OCc2ccncc2)C1 ZINC001391589473 902080566 /nfs/dbraw/zinc/08/05/66/902080566.db2.gz NSAOSVQYFDMIAO-DZGCQCFKSA-N 1 2 323.824 1.930 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)[C@H](C)OCc2ccncc2)C1 ZINC001391589473 902080573 /nfs/dbraw/zinc/08/05/73/902080573.db2.gz NSAOSVQYFDMIAO-DZGCQCFKSA-N 1 2 323.824 1.930 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CCCC[C@@H]1C[NH2+]Cc1nnn(C)n1 ZINC001265671116 902282551 /nfs/dbraw/zinc/28/25/51/902282551.db2.gz BEYYYZDENILJHY-CYBMUJFWSA-N 1 2 320.441 1.283 20 30 DDEDLO C[C@@H](C[N@H+](C)[C@@H](C)c1ncccn1)NC(=O)c1cc(C#N)c[nH]1 ZINC001375018870 914660662 /nfs/dbraw/zinc/66/06/62/914660662.db2.gz GYBOVQLDMLFUAP-RYUDHWBXSA-N 1 2 312.377 1.488 20 30 DDEDLO C[C@@H](C[N@@H+](C)[C@@H](C)c1ncccn1)NC(=O)c1cc(C#N)c[nH]1 ZINC001375018870 914660673 /nfs/dbraw/zinc/66/06/73/914660673.db2.gz GYBOVQLDMLFUAP-RYUDHWBXSA-N 1 2 312.377 1.488 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@@H+](Cc2cnc(C)cn2)C1 ZINC001266208776 903115043 /nfs/dbraw/zinc/11/50/43/903115043.db2.gz GEFBQCMSVFWNNC-AWEZNQCLSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CCOCC(=O)N[C@H]1CCC[N@H+](Cc2cnc(C)cn2)C1 ZINC001266208776 903115046 /nfs/dbraw/zinc/11/50/46/903115046.db2.gz GEFBQCMSVFWNNC-AWEZNQCLSA-N 1 2 304.394 1.068 20 30 DDEDLO C=CCNC(=O)C[N@H+]1CCC[C@H](NC(=O)[C@@H](C)C(CC)CC)C1 ZINC001266225195 903145051 /nfs/dbraw/zinc/14/50/51/903145051.db2.gz JWPZKDBVGLCZTB-HOCLYGCPSA-N 1 2 323.481 1.942 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)C(CC)CC)C1 ZINC001266225195 903145061 /nfs/dbraw/zinc/14/50/61/903145061.db2.gz JWPZKDBVGLCZTB-HOCLYGCPSA-N 1 2 323.481 1.942 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)c1ccnc2c1CC(=O)N2 ZINC001392289405 903778275 /nfs/dbraw/zinc/77/82/75/903778275.db2.gz OULPWHKNVNLTTE-UHFFFAOYSA-N 1 2 322.796 1.333 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)c1ccnc2c1CC(=O)N2 ZINC001392289405 903778282 /nfs/dbraw/zinc/77/82/82/903778282.db2.gz OULPWHKNVNLTTE-UHFFFAOYSA-N 1 2 322.796 1.333 20 30 DDEDLO C=C[C@H](CC)CC(=O)N[C@H](C)C1CN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001280644292 903879661 /nfs/dbraw/zinc/87/96/61/903879661.db2.gz SUAGGFXPKQKHEZ-CHWSQXEVSA-N 1 2 318.421 1.518 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCN(C)C(=O)[C@@H]1CCCC(=O)N1C ZINC001392322327 903891740 /nfs/dbraw/zinc/89/17/40/903891740.db2.gz WFZJFLLJHOWHKS-LBPRGKRZSA-N 1 2 301.818 1.140 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCN(C)C(=O)[C@@H]1CCCC(=O)N1C ZINC001392322327 903891748 /nfs/dbraw/zinc/89/17/48/903891748.db2.gz WFZJFLLJHOWHKS-LBPRGKRZSA-N 1 2 301.818 1.140 20 30 DDEDLO C=C[C@@](C)(O)CC(=O)N1CC[C@H]([NH+]2CCN(CC(=C)C)CC2)C1 ZINC001280679449 903913611 /nfs/dbraw/zinc/91/36/11/903913611.db2.gz SOICOAVCGMPAAM-FUHWJXTLSA-N 1 2 321.465 1.108 20 30 DDEDLO Cc1nnc(C[N@@H+]2CCC[C@@H](NC(=O)C#CC3CC3)CC2)s1 ZINC001280732660 903967202 /nfs/dbraw/zinc/96/72/02/903967202.db2.gz DBQAAVUMBSJSTM-CQSZACIVSA-N 1 2 318.446 1.731 20 30 DDEDLO Cc1nnc(C[N@H+]2CCC[C@@H](NC(=O)C#CC3CC3)CC2)s1 ZINC001280732660 903967216 /nfs/dbraw/zinc/96/72/16/903967216.db2.gz DBQAAVUMBSJSTM-CQSZACIVSA-N 1 2 318.446 1.731 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CC[C@H]2CNC(=O)COCC2CC2)s1 ZINC001392823398 905367541 /nfs/dbraw/zinc/36/75/41/905367541.db2.gz LGSTXKANQCCDBM-ZDUSSCGKSA-N 1 2 319.430 1.737 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CC[C@H]2CNC(=O)COCC2CC2)s1 ZINC001392823398 905367551 /nfs/dbraw/zinc/36/75/51/905367551.db2.gz LGSTXKANQCCDBM-ZDUSSCGKSA-N 1 2 319.430 1.737 20 30 DDEDLO C=C[C@](C)(CC)C(=O)N[C@@H](C)C1C[NH+](Cc2ccn(C)n2)C1 ZINC001282744869 906012558 /nfs/dbraw/zinc/01/25/58/906012558.db2.gz RIWPELWBFCIUMC-SUMWQHHRSA-N 1 2 304.438 1.959 20 30 DDEDLO C=C(Cl)C[N@H+]1CCC[C@@](CO)(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC001393426680 906960076 /nfs/dbraw/zinc/96/00/76/906960076.db2.gz IRBIUUABMOSGJR-ORIJERBGSA-N 1 2 312.841 1.728 20 30 DDEDLO C=C(Cl)C[N@@H+]1CCC[C@@](CO)(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC001393426680 906960096 /nfs/dbraw/zinc/96/00/96/906960096.db2.gz IRBIUUABMOSGJR-ORIJERBGSA-N 1 2 312.841 1.728 20 30 DDEDLO C=CCC(CC=C)C(=O)N[C@@H]1CCN(C(=O)Cc2c[nH+]c[nH]2)C1 ZINC001283366819 907226744 /nfs/dbraw/zinc/22/67/44/907226744.db2.gz KUXRGSKFDJZWAK-CQSZACIVSA-N 1 2 316.405 1.438 20 30 DDEDLO C#CCCCCC(=O)N1CCC[C@H](NC(=O)Cc2[nH]cc[nH+]2)C1 ZINC001283459600 907386941 /nfs/dbraw/zinc/38/69/41/907386941.db2.gz NKYOAOOYWACZAQ-AWEZNQCLSA-N 1 2 316.405 1.253 20 30 DDEDLO C=CCC1(C(=O)N(C)CCCNC(=O)Cn2cc[nH+]c2)CCC1 ZINC001283580599 907633123 /nfs/dbraw/zinc/63/31/23/907633123.db2.gz BFVOSBPHSBMFMZ-UHFFFAOYSA-N 1 2 318.421 1.594 20 30 DDEDLO C=CCCC(=O)N[C@H]1C[C@H](NC(=O)[C@H]2CCc3c[nH+]cn3C2)C1 ZINC001284043180 908372481 /nfs/dbraw/zinc/37/24/81/908372481.db2.gz UDUNCARQAMNXFN-IHRRRGAJSA-N 1 2 316.405 1.175 20 30 DDEDLO C=C(Cl)C[N@@H+](C)[C@H](C)CNC(=O)[C@]1(C)CCNC(=O)C1 ZINC001394073864 908712125 /nfs/dbraw/zinc/71/21/25/908712125.db2.gz KMKVGHSXCUSJCG-BXUZGUMPSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[N@H+](C)[C@H](C)CNC(=O)[C@]1(C)CCNC(=O)C1 ZINC001394073864 908712129 /nfs/dbraw/zinc/71/21/29/908712129.db2.gz KMKVGHSXCUSJCG-BXUZGUMPSA-N 1 2 301.818 1.092 20 30 DDEDLO C=C(Cl)C[NH+]1CC([C@H](C)NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001394267990 909220786 /nfs/dbraw/zinc/22/07/86/909220786.db2.gz RFWAUYDZVFTVLP-SMDDNHRTSA-N 1 2 315.845 1.336 20 30 DDEDLO C=C1CC(C)(C(=O)NCCN(CCC)C(=O)Cn2cc[nH+]c2)C1 ZINC001284718916 909390960 /nfs/dbraw/zinc/39/09/60/909390960.db2.gz HAXZLFFAEXFISY-UHFFFAOYSA-N 1 2 318.421 1.594 20 30 DDEDLO C=CC(C)(C)CC(=O)N1CC(NC(=O)Cc2[nH]c[nH+]c2C)C1 ZINC001284932465 909759085 /nfs/dbraw/zinc/75/90/85/909759085.db2.gz WRWAGJBPNPZFPJ-UHFFFAOYSA-N 1 2 304.394 1.190 20 30 DDEDLO C=CCC(C)(C)C(=O)NC[C@@H](CC)NC(=O)Cc1c[nH+]cn1C ZINC001285053173 910005682 /nfs/dbraw/zinc/00/56/82/910005682.db2.gz FHQZFBMOXBXLGK-CYBMUJFWSA-N 1 2 320.437 1.576 20 30 DDEDLO C=C(C)CCC(=O)NC[C@@H](NC(=O)Cc1c[nH]c[nH+]1)C(C)C ZINC001285317784 910349496 /nfs/dbraw/zinc/34/94/96/910349496.db2.gz HBABPLZXCCGVGG-CQSZACIVSA-N 1 2 306.410 1.566 20 30 DDEDLO C[C@H](C#N)C(=O)NCC=CCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001285589049 910834714 /nfs/dbraw/zinc/83/47/14/910834714.db2.gz PWTKLTYWCMJFPE-OTDNITJGSA-N 1 2 311.345 1.125 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H](NC(=O)CCc2c[nH]c[nH+]2)C1 ZINC001294760815 915349903 /nfs/dbraw/zinc/34/99/03/915349903.db2.gz ATTOLXZYANMTLT-OAHLLOKOSA-N 1 2 316.405 1.253 20 30 DDEDLO CC#CCCCC(=O)N1CC[C@@H](NC(=O)CCc2c[nH+]c[nH]2)C1 ZINC001294760815 915349925 /nfs/dbraw/zinc/34/99/25/915349925.db2.gz ATTOLXZYANMTLT-OAHLLOKOSA-N 1 2 316.405 1.253 20 30 DDEDLO C=C(C)C[C@H](C)C(=O)N1CC[C@@H](NC(=O)Cc2c[nH+]cn2C)C1 ZINC001294774132 915355607 /nfs/dbraw/zinc/35/56/07/915355607.db2.gz SSKNPIIVMXSRFS-UONOGXRCSA-N 1 2 318.421 1.282 20 30 DDEDLO C=CC(C)(C)C(=O)N1CCC[C@@H](CNC(=O)Cc2c[nH]c[nH+]2)C1 ZINC001295270265 915688975 /nfs/dbraw/zinc/68/89/75/915688975.db2.gz CZVONIGXBCLTCQ-ZDUSSCGKSA-N 1 2 318.421 1.519 20 30 DDEDLO C[C@H](CNC(=O)C#CC(C)(C)C)NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC001295484131 915842563 /nfs/dbraw/zinc/84/25/63/915842563.db2.gz XXUWFVLDQINZCW-JHJVBQTASA-N 1 2 316.405 1.184 20 30 DDEDLO C[C@H](CNC(=O)C#CC(C)(C)C)NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC001295484131 915842568 /nfs/dbraw/zinc/84/25/68/915842568.db2.gz XXUWFVLDQINZCW-JHJVBQTASA-N 1 2 316.405 1.184 20 30 DDEDLO C=CCCCC(=O)N(C)[C@@H]1CCCN(C(=O)Cc2[nH]cc[nH+]2)C1 ZINC001295819656 916070309 /nfs/dbraw/zinc/07/03/09/916070309.db2.gz UBBGZOLGDAWROH-CQSZACIVSA-N 1 2 318.421 1.758 20 30 DDEDLO C=C(C)CCC(=O)NCCN(C)C(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC001296104098 916237076 /nfs/dbraw/zinc/23/70/76/916237076.db2.gz CEFAVIASABALNG-CQSZACIVSA-N 1 2 318.421 1.376 20 30 DDEDLO C=C(Cl)C[N@H+]1CC[C@H](NC(=O)[C@H](C)NC(=O)C(C)(C)C)C1 ZINC001376143730 917796788 /nfs/dbraw/zinc/79/67/88/917796788.db2.gz IGYKUUNKXXVEOV-RYUDHWBXSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)[C@H](C)NC(=O)C(C)(C)C)C1 ZINC001376143730 917796798 /nfs/dbraw/zinc/79/67/98/917796798.db2.gz IGYKUUNKXXVEOV-RYUDHWBXSA-N 1 2 315.845 1.480 20 30 DDEDLO C=C(Cl)C[N@@H+](C)CCCN(C)C(=O)[C@H]1CN(CC)CCO1 ZINC001377017633 920100118 /nfs/dbraw/zinc/10/01/18/920100118.db2.gz LGBLKJSCWUBJQV-CQSZACIVSA-N 1 2 317.861 1.240 20 30 DDEDLO C=C(Cl)C[N@H+](C)CCCN(C)C(=O)[C@H]1CN(CC)CCO1 ZINC001377017633 920100129 /nfs/dbraw/zinc/10/01/29/920100129.db2.gz LGBLKJSCWUBJQV-CQSZACIVSA-N 1 2 317.861 1.240 20 30 DDEDLO COC[C@@H](C)C(=O)NCC[N@H+](C)Cc1cc(C#N)ccc1F ZINC001377134888 920430226 /nfs/dbraw/zinc/43/02/26/920430226.db2.gz HAPJZRBWUKYKBF-GFCCVEGCSA-N 1 2 307.369 1.528 20 30 DDEDLO COC[C@@H](C)C(=O)NCC[N@@H+](C)Cc1cc(C#N)ccc1F ZINC001377134888 920430236 /nfs/dbraw/zinc/43/02/36/920430236.db2.gz HAPJZRBWUKYKBF-GFCCVEGCSA-N 1 2 307.369 1.528 20 30 DDEDLO C=C(Cl)C[NH+]1CC(CNC(=O)Cc2ccn(C(C)C)n2)C1 ZINC001377982763 923589148 /nfs/dbraw/zinc/58/91/48/923589148.db2.gz GLTFKBQUHBOUHU-UHFFFAOYSA-N 1 2 310.829 1.807 20 30 DDEDLO C[C@H](CN1CCOCC1)[N@H+](C)CC(=O)Nc1sccc1C#N ZINC000278721756 214354935 /nfs/dbraw/zinc/35/49/35/214354935.db2.gz ADNKAZUZMAQBNR-GFCCVEGCSA-N 1 2 322.434 1.211 20 30 DDEDLO C[C@H](CN1CCOCC1)[N@@H+](C)CC(=O)Nc1sccc1C#N ZINC000278721756 214354939 /nfs/dbraw/zinc/35/49/39/214354939.db2.gz ADNKAZUZMAQBNR-GFCCVEGCSA-N 1 2 322.434 1.211 20 30 DDEDLO C[C@H](C[NH+]1CCOCC1)N(C)CC(=O)Nc1sccc1C#N ZINC000278721756 214354941 /nfs/dbraw/zinc/35/49/41/214354941.db2.gz ADNKAZUZMAQBNR-GFCCVEGCSA-N 1 2 322.434 1.211 20 30 DDEDLO CCc1ccc(C(=O)OC)c(OC[C@H](O)C[N@H+](C)CCC#N)c1 ZINC000414120279 529612027 /nfs/dbraw/zinc/61/20/27/529612027.db2.gz BRRVEJSTYLMDFD-CQSZACIVSA-N 1 2 320.389 1.621 20 30 DDEDLO CCc1ccc(C(=O)OC)c(OC[C@H](O)C[N@@H+](C)CCC#N)c1 ZINC000414120279 529612029 /nfs/dbraw/zinc/61/20/29/529612029.db2.gz BRRVEJSTYLMDFD-CQSZACIVSA-N 1 2 320.389 1.621 20 30 DDEDLO CCc1cnccc1CNC(=O)N1C[C@H]2OCC[N@H+](C)[C@H]2C1 ZINC000329637872 529672035 /nfs/dbraw/zinc/67/20/35/529672035.db2.gz YTJJASHHNJALGS-LSDHHAIUSA-N 1 2 304.394 1.073 20 30 DDEDLO CCc1cnccc1CNC(=O)N1C[C@H]2OCC[N@@H+](C)[C@H]2C1 ZINC000329637872 529672038 /nfs/dbraw/zinc/67/20/38/529672038.db2.gz YTJJASHHNJALGS-LSDHHAIUSA-N 1 2 304.394 1.073 20 30 DDEDLO COC(=O)[C@H]([NH2+]C[C@@H](O)COc1ccc(CC#N)cc1)C(C)C ZINC000614912410 362071910 /nfs/dbraw/zinc/07/19/10/362071910.db2.gz QYILQEQIQYUCQH-GDBMZVCRSA-N 1 2 320.389 1.280 20 30 DDEDLO CC(C)[C@@H]1C[C@H](NC(=O)NC[C@@H](C)[NH+]2CCOCC2)CCO1 ZINC000329091330 539300780 /nfs/dbraw/zinc/30/07/80/539300780.db2.gz ACDIUHVKYBJFNA-KFWWJZLASA-N 1 2 313.442 1.414 20 30 DDEDLO O=C(N[C@H]1CCN(c2cccc[nH+]2)C1)N1C[C@H]2CC[C@@H](C1)O2 ZINC000328661709 539298400 /nfs/dbraw/zinc/29/84/00/539298400.db2.gz AHBAXFPAUGFOIT-MELADBBJSA-N 1 2 302.378 1.438 20 30 DDEDLO O=C(NCC[NH+]1CCOCC1)N1CCC(c2nccs2)CC1 ZINC000328713850 539298733 /nfs/dbraw/zinc/29/87/33/539298733.db2.gz CUWJRAUBVKJJJP-UHFFFAOYSA-N 1 2 324.450 1.569 20 30 DDEDLO C[C@H](C(=O)NC1CC1)[NH+]1CCN(C(=O)c2cccc(=O)[nH]2)CC1 ZINC000328762291 539298902 /nfs/dbraw/zinc/29/89/02/539298902.db2.gz ZAWPYJQEEONFNX-LLVKDONJSA-N 1 2 318.377 1.052 20 30 DDEDLO C[N@@H+]1CCO[C@@H]2CN(C(=O)NCc3ccc(Cl)cn3)C[C@H]21 ZINC000329216477 539301780 /nfs/dbraw/zinc/30/17/80/539301780.db2.gz ONLDQRASHQSJSY-CHWSQXEVSA-N 1 2 310.785 1.164 20 30 DDEDLO C[N@H+]1CCO[C@@H]2CN(C(=O)NCc3ccc(Cl)cn3)C[C@H]21 ZINC000329216477 539301781 /nfs/dbraw/zinc/30/17/81/539301781.db2.gz ONLDQRASHQSJSY-CHWSQXEVSA-N 1 2 310.785 1.164 20 30 DDEDLO COc1ccc(C[NH+]2CCN(CC#N)CC2)c(OC(F)F)c1 ZINC000092936162 185349934 /nfs/dbraw/zinc/34/99/34/185349934.db2.gz VKMLFVHJQYRVEX-UHFFFAOYSA-N 1 2 311.332 1.938 20 30 DDEDLO CCc1ncc(C[NH+]2CCN(c3ncccc3C#N)CC2)cn1 ZINC000414371841 529753958 /nfs/dbraw/zinc/75/39/58/529753958.db2.gz ODNYFZIFIKGIIK-UHFFFAOYSA-N 1 2 308.389 1.628 20 30 DDEDLO Cc1cc(C#N)nc(N(CC2CC2)C[C@@H]2C[N@H+](C)CCO2)n1 ZINC000286635357 219096267 /nfs/dbraw/zinc/09/62/67/219096267.db2.gz KPDWMFZFUIAZLK-HNNXBMFYSA-N 1 2 301.394 1.204 20 30 DDEDLO Cc1cc(C#N)nc(N(CC2CC2)C[C@@H]2C[N@@H+](C)CCO2)n1 ZINC000286635357 219096270 /nfs/dbraw/zinc/09/62/70/219096270.db2.gz KPDWMFZFUIAZLK-HNNXBMFYSA-N 1 2 301.394 1.204 20 30 DDEDLO C[N@@H+]1CCO[C@H]2CCN(Cc3c(C#N)cccc3[N+](=O)[O-])C[C@@H]21 ZINC000459460138 529790676 /nfs/dbraw/zinc/79/06/76/529790676.db2.gz RQKGAGTZSKPUFI-HOTGVXAUSA-N 1 2 316.361 1.371 20 30 DDEDLO C[N@H+]1CCO[C@H]2CCN(Cc3c(C#N)cccc3[N+](=O)[O-])C[C@@H]21 ZINC000459460138 529790677 /nfs/dbraw/zinc/79/06/77/529790677.db2.gz RQKGAGTZSKPUFI-HOTGVXAUSA-N 1 2 316.361 1.371 20 30 DDEDLO CN(CC(=O)NC(C)(C)C)C([O-])=[NH+]C[C@@H]1CCc2[nH+]ccn2C1 ZINC000331003470 529830427 /nfs/dbraw/zinc/83/04/27/529830427.db2.gz WTVGZXFCXILCNB-LBPRGKRZSA-N 1 2 321.425 1.206 20 30 DDEDLO Cc1cccc2[nH+]c(CNC(=O)N3CC[C@](F)(C#N)C3)cn21 ZINC000615540656 362328806 /nfs/dbraw/zinc/32/88/06/362328806.db2.gz WBPMRJAMJLXWPD-HNNXBMFYSA-N 1 2 301.325 1.790 20 30 DDEDLO CC(C)[C@@H](C(=O)N1CC[C@@](F)(C#N)C1)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC000615825480 362454802 /nfs/dbraw/zinc/45/48/02/362454802.db2.gz QTDAXHVKYNQQDR-HGTKMLMNSA-N 1 2 311.401 1.584 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)N(C)CC[N@@H+]1CCOC(C)(C)C1 ZINC000279716910 215087064 /nfs/dbraw/zinc/08/70/64/215087064.db2.gz LDHLZNCVTAZABJ-INIZCTEOSA-N 1 2 323.481 1.618 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@H](C)N(C)CC[N@H+]1CCOC(C)(C)C1 ZINC000279716910 215087066 /nfs/dbraw/zinc/08/70/66/215087066.db2.gz LDHLZNCVTAZABJ-INIZCTEOSA-N 1 2 323.481 1.618 20 30 DDEDLO N#Cc1cccc(S(=O)(=O)CC[NH2+][C@@H]2CCC[C@H]2C#N)c1 ZINC000459479769 233229575 /nfs/dbraw/zinc/22/95/75/233229575.db2.gz OJIPCQDXUCGONU-DZGCQCFKSA-N 1 2 303.387 1.614 20 30 DDEDLO COC(=O)[C@H]1CC[N@H+](CC(=O)Nc2sccc2C#N)C[C@H]1C ZINC000191866611 186267200 /nfs/dbraw/zinc/26/72/00/186267200.db2.gz RTXBWPGXKKUMDJ-PWSUYJOCSA-N 1 2 321.402 1.689 20 30 DDEDLO COC(=O)[C@H]1CC[N@@H+](CC(=O)Nc2sccc2C#N)C[C@H]1C ZINC000191866611 186267201 /nfs/dbraw/zinc/26/72/01/186267201.db2.gz RTXBWPGXKKUMDJ-PWSUYJOCSA-N 1 2 321.402 1.689 20 30 DDEDLO CC[N@H+](CCCNS(=O)(=O)N(C)CCC#N)c1ccccc1 ZINC000045798470 352424229 /nfs/dbraw/zinc/42/42/29/352424229.db2.gz IQGDKZKZNWKTMA-UHFFFAOYSA-N 1 2 324.450 1.583 20 30 DDEDLO CC[N@@H+](CCCNS(=O)(=O)N(C)CCC#N)c1ccccc1 ZINC000045798470 352424230 /nfs/dbraw/zinc/42/42/30/352424230.db2.gz IQGDKZKZNWKTMA-UHFFFAOYSA-N 1 2 324.450 1.583 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+](C)[C@@H](C)c1cc(F)ccc1F ZINC000052074788 352599509 /nfs/dbraw/zinc/59/95/09/352599509.db2.gz UHYXPBKNPMPWLY-JTQLQIEISA-N 1 2 311.332 1.969 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+](C)[C@@H](C)c1cc(F)ccc1F ZINC000052074788 352599511 /nfs/dbraw/zinc/59/95/11/352599511.db2.gz UHYXPBKNPMPWLY-JTQLQIEISA-N 1 2 311.332 1.969 20 30 DDEDLO COCCOC[C@@H]1CC[N@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000072796616 191231242 /nfs/dbraw/zinc/23/12/42/191231242.db2.gz RQSPSXPPJJDJGW-GFCCVEGCSA-N 1 2 323.418 1.543 20 30 DDEDLO COCCOC[C@@H]1CC[N@@H+](CC(=O)Nc2sccc2C#N)C1 ZINC000072796616 191231243 /nfs/dbraw/zinc/23/12/43/191231243.db2.gz RQSPSXPPJJDJGW-GFCCVEGCSA-N 1 2 323.418 1.543 20 30 DDEDLO C=CCNC(=O)NC[C@H](c1ccc(C)cc1)[NH+]1CCOCC1 ZINC000057014176 352794747 /nfs/dbraw/zinc/79/47/47/352794747.db2.gz PINQKZMMCFBPST-MRXNPFEDSA-N 1 2 303.406 1.854 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)COCC3CC3)CC2)cc1 ZINC000060601089 352877343 /nfs/dbraw/zinc/87/73/43/352877343.db2.gz UENHREJTOWOCEX-UHFFFAOYSA-N 1 2 313.401 1.629 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[NH+]1CCN(c2ccc(Cl)cn2)CC1 ZINC000066869271 353020800 /nfs/dbraw/zinc/02/08/00/353020800.db2.gz FJKUSWGLYMYJJE-GFCCVEGCSA-N 1 2 308.813 1.548 20 30 DDEDLO C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@@H](O)C1CC1 ZINC000079768264 353576675 /nfs/dbraw/zinc/57/66/75/353576675.db2.gz CRXCTEYKQIHURU-OAHLLOKOSA-N 1 2 308.403 1.035 20 30 DDEDLO C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)C[C@@H](O)C1CC1 ZINC000079768264 353576676 /nfs/dbraw/zinc/57/66/76/353576676.db2.gz CRXCTEYKQIHURU-OAHLLOKOSA-N 1 2 308.403 1.035 20 30 DDEDLO C[C@@H](O)C1CC[NH+]([C@@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000083171671 353707325 /nfs/dbraw/zinc/70/73/25/353707325.db2.gz WLIZVBCIIMTYGP-BXUZGUMPSA-N 1 2 319.430 1.818 20 30 DDEDLO N#CC[N@@H+](C[C@H](O)COc1ccc2c(c1)OCO2)C1CCCC1 ZINC000156704024 354221346 /nfs/dbraw/zinc/22/13/46/354221346.db2.gz LOLBAUQLSYQCCF-AWEZNQCLSA-N 1 2 318.373 1.923 20 30 DDEDLO N#CC[N@H+](C[C@H](O)COc1ccc2c(c1)OCO2)C1CCCC1 ZINC000156704024 354221350 /nfs/dbraw/zinc/22/13/50/354221350.db2.gz LOLBAUQLSYQCCF-AWEZNQCLSA-N 1 2 318.373 1.923 20 30 DDEDLO C[C@H](C#N)CNC(=O)N1CC[NH+]([C@@H](C)c2cccnc2)CC1 ZINC000182826124 354276436 /nfs/dbraw/zinc/27/64/36/354276436.db2.gz DXJADVUNNZHEID-KGLIPLIRSA-N 1 2 301.394 1.629 20 30 DDEDLO Cn1cnc(-c2ccc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)cc2)n1 ZINC000578711143 354711370 /nfs/dbraw/zinc/71/13/70/354711370.db2.gz VCPBXAOSHFQKFE-INIZCTEOSA-N 1 2 312.377 1.056 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cnc(-c2ccco2)s1 ZINC000580868665 354727916 /nfs/dbraw/zinc/72/79/16/354727916.db2.gz FYSRDDHFPAULOY-AWEZNQCLSA-N 1 2 304.375 1.977 20 30 DDEDLO Cn1c[nH+]cc1CCNS(=O)(=O)c1ccc(C#N)c(Cl)c1 ZINC000401754127 354666320 /nfs/dbraw/zinc/66/63/20/354666320.db2.gz PWQPFBFIUVSFSI-UHFFFAOYSA-N 1 2 324.793 1.466 20 30 DDEDLO CC(C)OCC[NH+]1CCN(C(=O)c2cccc(C#N)n2)CC1 ZINC000589438959 354990280 /nfs/dbraw/zinc/99/02/80/354990280.db2.gz MFVUJUJJWQGOIF-UHFFFAOYSA-N 1 2 302.378 1.136 20 30 DDEDLO CC[C@H](CC#N)[NH2+]Cc1ccc(Cl)c(S(N)(=O)=O)c1 ZINC000589821957 355022183 /nfs/dbraw/zinc/02/21/83/355022183.db2.gz CYOFSWOUCGPOOY-SNVBAGLBSA-N 1 2 301.799 1.769 20 30 DDEDLO N#CC1(CC(=O)NC[C@H](c2ccccc2)[NH+]2CCOCC2)CC1 ZINC000590145683 355053881 /nfs/dbraw/zinc/05/38/81/355053881.db2.gz OGWQSXRANMRDKD-MRXNPFEDSA-N 1 2 313.401 1.870 20 30 DDEDLO COc1ccccc1C(=O)N1CC[NH+](CCOCCC#N)CC1 ZINC000590153551 355054214 /nfs/dbraw/zinc/05/42/14/355054214.db2.gz CSAJVRHVKWAEAD-UHFFFAOYSA-N 1 2 317.389 1.383 20 30 DDEDLO C=C[C@H]([NH2+][C@@H](C)C1CCN(CC(F)(F)F)CC1)C(=O)OC ZINC000590180851 355057081 /nfs/dbraw/zinc/05/70/81/355057081.db2.gz JGLOXJRHUCGOGH-JQWIXIFHSA-N 1 2 308.344 1.966 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H](NS(=O)(=O)CC2(CC#N)CC2)C1 ZINC000590750985 355164263 /nfs/dbraw/zinc/16/42/63/355164263.db2.gz WAFZMUDMWPYHJF-OAHLLOKOSA-N 1 2 319.430 1.786 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H](NS(=O)(=O)CC2(CC#N)CC2)C1 ZINC000590750985 355164267 /nfs/dbraw/zinc/16/42/67/355164267.db2.gz WAFZMUDMWPYHJF-OAHLLOKOSA-N 1 2 319.430 1.786 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@@H+]1C[C@@H](c2cnn(C)c2)C2(C1)CCOCC2 ZINC000590755280 355167233 /nfs/dbraw/zinc/16/72/33/355167233.db2.gz AOQWTLNHXOFGIO-GJZGRUSLSA-N 1 2 319.405 1.344 20 30 DDEDLO C=C[C@@H](C(=O)OC)[N@H+]1C[C@@H](c2cnn(C)c2)C2(C1)CCOCC2 ZINC000590755280 355167235 /nfs/dbraw/zinc/16/72/35/355167235.db2.gz AOQWTLNHXOFGIO-GJZGRUSLSA-N 1 2 319.405 1.344 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CCC[C@@H]3COC[C@@H]32)c(C#N)c1C ZINC000590972254 355227390 /nfs/dbraw/zinc/22/73/90/355227390.db2.gz ALNHDPIBCBCTNL-OCCSQVGLSA-N 1 2 303.362 1.817 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CCC[C@@H]3COC[C@@H]32)c(C#N)c1C ZINC000590972254 355227393 /nfs/dbraw/zinc/22/73/93/355227393.db2.gz ALNHDPIBCBCTNL-OCCSQVGLSA-N 1 2 303.362 1.817 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2CC[C@H]3OCC[N@@H+](C)[C@@H]3C2)c1 ZINC000332631241 235221281 /nfs/dbraw/zinc/22/12/81/235221281.db2.gz TTZPTLXYPQCRHX-HZPDHXFCSA-N 1 2 314.389 1.804 20 30 DDEDLO Cc1cc(C#N)cc(NC(=O)N2CC[C@H]3OCC[N@H+](C)[C@@H]3C2)c1 ZINC000332631241 235221283 /nfs/dbraw/zinc/22/12/83/235221283.db2.gz TTZPTLXYPQCRHX-HZPDHXFCSA-N 1 2 314.389 1.804 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@@H+]1Cc1ccc(C#N)c(F)c1 ZINC000591629658 355344745 /nfs/dbraw/zinc/34/47/45/355344745.db2.gz WBDYQTBRJLTMFT-MRXNPFEDSA-N 1 2 305.353 1.424 20 30 DDEDLO CNC(=O)[C@]1(COC)CCC[N@H+]1Cc1ccc(C#N)c(F)c1 ZINC000591629658 355344746 /nfs/dbraw/zinc/34/47/46/355344746.db2.gz WBDYQTBRJLTMFT-MRXNPFEDSA-N 1 2 305.353 1.424 20 30 DDEDLO Cc1cccc2[nH+]c(CCNC(=O)N3CC[C@@](F)(C#N)C3)cn21 ZINC000592057077 355479492 /nfs/dbraw/zinc/47/94/92/355479492.db2.gz CZOAYXLGCOMKJV-MRXNPFEDSA-N 1 2 315.352 1.832 20 30 DDEDLO CC(C)n1nccc1NC(=O)[C@H](C)[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146797 355512078 /nfs/dbraw/zinc/51/20/78/355512078.db2.gz KLVBCQHFQSDCPD-WFASDCNBSA-N 1 2 305.382 1.141 20 30 DDEDLO CC(C)n1nccc1NC(=O)[C@H](C)[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592146797 355512080 /nfs/dbraw/zinc/51/20/80/355512080.db2.gz KLVBCQHFQSDCPD-WFASDCNBSA-N 1 2 305.382 1.141 20 30 DDEDLO N#CC[C@@]1(O)CC[N@@H+]([C@@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC000592149973 355516223 /nfs/dbraw/zinc/51/62/23/355516223.db2.gz AOVOOWYGBQYKBK-GDBMZVCRSA-N 1 2 319.792 1.796 20 30 DDEDLO N#CC[C@@]1(O)CC[N@H+]([C@@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC000592149973 355516226 /nfs/dbraw/zinc/51/62/26/355516226.db2.gz AOVOOWYGBQYKBK-GDBMZVCRSA-N 1 2 319.792 1.796 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1[N+](=O)[O-])[N@@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149426 355516455 /nfs/dbraw/zinc/51/64/55/355516455.db2.gz OWLZFFQIEJXUGV-ABAIWWIYSA-N 1 2 318.333 1.272 20 30 DDEDLO C[C@H](C(=O)Nc1ccccc1[N+](=O)[O-])[N@H+]1CC[C@@](O)(CC#N)C1 ZINC000592149426 355516459 /nfs/dbraw/zinc/51/64/59/355516459.db2.gz OWLZFFQIEJXUGV-ABAIWWIYSA-N 1 2 318.333 1.272 20 30 DDEDLO COC(=O)c1ccc([N+](=O)[O-])c(C[N@@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592521730 355611292 /nfs/dbraw/zinc/61/12/92/355611292.db2.gz BQLWLBLACMFWCP-OAHLLOKOSA-N 1 2 319.317 1.232 20 30 DDEDLO COC(=O)c1ccc([N+](=O)[O-])c(C[N@H+]2CC[C@](O)(CC#N)C2)c1 ZINC000592521730 355611293 /nfs/dbraw/zinc/61/12/93/355611293.db2.gz BQLWLBLACMFWCP-OAHLLOKOSA-N 1 2 319.317 1.232 20 30 DDEDLO C[C@@H](COCC(=O)N[C@@](C)(C#N)C[NH+](C)C)c1ccccc1 ZINC000593153136 355812430 /nfs/dbraw/zinc/81/24/30/355812430.db2.gz BVKYMDYVBSVTQX-YOEHRIQHSA-N 1 2 303.406 1.767 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@@H+]1CCOC[C@H]1C ZINC000594281120 356162988 /nfs/dbraw/zinc/16/29/88/356162988.db2.gz BTMPNCRTORUDKM-HUUCEWRRSA-N 1 2 315.417 1.574 20 30 DDEDLO C[C@H](Cc1ccc(C#N)cc1)C(=O)NCC[N@H+]1CCOC[C@H]1C ZINC000594281120 356162990 /nfs/dbraw/zinc/16/29/90/356162990.db2.gz BTMPNCRTORUDKM-HUUCEWRRSA-N 1 2 315.417 1.574 20 30 DDEDLO CN(C)[C@H](C(=O)N1Cc2ccc(C#N)cc2C1)c1c[nH+]cn1C ZINC000594400080 356197510 /nfs/dbraw/zinc/19/75/10/356197510.db2.gz OLUZOBQFORLLNY-INIZCTEOSA-N 1 2 309.373 1.437 20 30 DDEDLO COc1c(C)[nH+]cnc1N1CCN(c2cc(C#N)ccn2)CC1 ZINC000594542798 356246420 /nfs/dbraw/zinc/24/64/20/356246420.db2.gz CTHMJQFGGKEFDQ-UHFFFAOYSA-N 1 2 310.361 1.387 20 30 DDEDLO Cc1ncc(S(=O)(=O)N[C@@H](C)c2[nH+]ccn2C)cc1C#N ZINC000595382056 356473006 /nfs/dbraw/zinc/47/30/06/356473006.db2.gz BXAXDBTXAZNLOH-JTQLQIEISA-N 1 2 305.363 1.035 20 30 DDEDLO C=CC[N@@H+](CCOC)Cc1nc2cc(C(=O)OC)ccc2[nH]1 ZINC000595459843 356501286 /nfs/dbraw/zinc/50/12/86/356501286.db2.gz FSVHMXNPFZNZBO-UHFFFAOYSA-N 1 2 303.362 1.984 20 30 DDEDLO C=CC[N@H+](CCOC)Cc1nc2cc(C(=O)OC)ccc2[nH]1 ZINC000595459843 356501291 /nfs/dbraw/zinc/50/12/91/356501291.db2.gz FSVHMXNPFZNZBO-UHFFFAOYSA-N 1 2 303.362 1.984 20 30 DDEDLO C[C@@H](NC(=O)NCC1(C#N)CCCCC1)[C@@H](C)[NH+]1CCOCC1 ZINC000595515774 356528323 /nfs/dbraw/zinc/52/83/23/356528323.db2.gz OHPWUDAAYYIASX-HUUCEWRRSA-N 1 2 322.453 1.869 20 30 DDEDLO COC(=O)CCN1CC[C@@H]([N@@H+]2Cc3cccc(C#N)c3C2)C1=O ZINC000595561489 356552508 /nfs/dbraw/zinc/55/25/08/356552508.db2.gz MMLZUWSHVXFMMF-OAHLLOKOSA-N 1 2 313.357 1.038 20 30 DDEDLO COC(=O)CCN1CC[C@@H]([N@H+]2Cc3cccc(C#N)c3C2)C1=O ZINC000595561489 356552511 /nfs/dbraw/zinc/55/25/11/356552511.db2.gz MMLZUWSHVXFMMF-OAHLLOKOSA-N 1 2 313.357 1.038 20 30 DDEDLO C[C@@H](NC(=O)C[N@@H+]1CCC[C@@H](CC#N)C1)C(=O)N1CCCCC1 ZINC000595612579 356576307 /nfs/dbraw/zinc/57/63/07/356576307.db2.gz YGEUVRVBPCYELP-CABCVRRESA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@@H](NC(=O)C[N@H+]1CCC[C@@H](CC#N)C1)C(=O)N1CCCCC1 ZINC000595612579 356576311 /nfs/dbraw/zinc/57/63/11/356576311.db2.gz YGEUVRVBPCYELP-CABCVRRESA-N 1 2 320.437 1.129 20 30 DDEDLO C[C@@H]1COC2(CCC2)C[N@@H+]1CC(=O)NC1(C#N)CCCCC1 ZINC000595674957 356605100 /nfs/dbraw/zinc/60/51/00/356605100.db2.gz MLXZFKPFZANNAX-CQSZACIVSA-N 1 2 305.422 1.972 20 30 DDEDLO C[C@@H]1COC2(CCC2)C[N@H+]1CC(=O)NC1(C#N)CCCCC1 ZINC000595674957 356605105 /nfs/dbraw/zinc/60/51/05/356605105.db2.gz MLXZFKPFZANNAX-CQSZACIVSA-N 1 2 305.422 1.972 20 30 DDEDLO C[C@@H]1C[N@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)[C@@H](C)CO1 ZINC000596725239 356961965 /nfs/dbraw/zinc/96/19/65/356961965.db2.gz HPEIYRDKYZTYQA-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO C[C@@H]1C[N@@H+](CCNC(=O)Nc2ccc(F)c(C#N)c2)[C@@H](C)CO1 ZINC000596725239 356961968 /nfs/dbraw/zinc/96/19/68/356961968.db2.gz HPEIYRDKYZTYQA-NWDGAFQWSA-N 1 2 320.368 1.928 20 30 DDEDLO COCC[NH+]1CCN(C(=O)c2cc(F)c(C#N)c(F)c2)CC1 ZINC000597220156 357098357 /nfs/dbraw/zinc/09/83/57/357098357.db2.gz YLMHHYAJMYSWRQ-UHFFFAOYSA-N 1 2 309.316 1.241 20 30 DDEDLO CS(=O)(=O)NC[C@H]1CCCC[N@@H+]1CC#Cc1ccccc1 ZINC000276855798 213136646 /nfs/dbraw/zinc/13/66/46/213136646.db2.gz VPONMSBGSKZTAW-MRXNPFEDSA-N 1 2 306.431 1.442 20 30 DDEDLO CS(=O)(=O)NC[C@H]1CCCC[N@H+]1CC#Cc1ccccc1 ZINC000276855798 213136647 /nfs/dbraw/zinc/13/66/47/213136647.db2.gz VPONMSBGSKZTAW-MRXNPFEDSA-N 1 2 306.431 1.442 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC[N@H+]1C[C@H](C)OC[C@H]1C ZINC000598001230 357417818 /nfs/dbraw/zinc/41/78/18/357417818.db2.gz XPKNWISUZJYNLA-KGLIPLIRSA-N 1 2 301.390 1.706 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)NCC[N@@H+]1C[C@H](C)OC[C@H]1C ZINC000598001230 357417821 /nfs/dbraw/zinc/41/78/21/357417821.db2.gz XPKNWISUZJYNLA-KGLIPLIRSA-N 1 2 301.390 1.706 20 30 DDEDLO C[C@@H](C#N)N(C(=O)C1CC[NH+](Cc2nccn2C)CC1)C1CC1 ZINC000598131634 357469540 /nfs/dbraw/zinc/46/95/40/357469540.db2.gz JPLSJAHTILVDBT-ZDUSSCGKSA-N 1 2 315.421 1.535 20 30 DDEDLO O=C(Nc1ccn2cc[nH+]c2c1)N1CCC(F)(F)[C@H](CO)C1 ZINC000329612912 223003205 /nfs/dbraw/zinc/00/32/05/223003205.db2.gz KEFQAHOBLFBOOH-JTQLQIEISA-N 1 2 310.304 1.829 20 30 DDEDLO C[C@H](NC(=O)N1CCC[C@H]1C[NH+]1CCOCC1)[C@H]1CCOC1 ZINC000329680110 223012394 /nfs/dbraw/zinc/01/23/94/223012394.db2.gz WGUHFAPMAYFBHV-KKUMJFAQSA-N 1 2 311.426 1.122 20 30 DDEDLO Cc1oc(NC(=O)C[N@H+]2CC[C@@H](C)[C@H](CO)C2)c(C#N)c1C ZINC000598645366 357667378 /nfs/dbraw/zinc/66/73/78/357667378.db2.gz IBFXMIBQIHQKIG-MFKMUULPSA-N 1 2 305.378 1.657 20 30 DDEDLO Cc1oc(NC(=O)C[N@@H+]2CC[C@@H](C)[C@H](CO)C2)c(C#N)c1C ZINC000598645366 357667382 /nfs/dbraw/zinc/66/73/82/357667382.db2.gz IBFXMIBQIHQKIG-MFKMUULPSA-N 1 2 305.378 1.657 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@@H](C)[N@@H+]1CCN2CCOC[C@H]2C1 ZINC000329684762 223013400 /nfs/dbraw/zinc/01/34/00/223013400.db2.gz UJKJEFADPIYWLP-ZIAGYGMSSA-N 1 2 321.425 1.030 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)[C@@H](C)[N@H+]1CCN2CCOC[C@H]2C1 ZINC000329684762 223013401 /nfs/dbraw/zinc/01/34/01/223013401.db2.gz UJKJEFADPIYWLP-ZIAGYGMSSA-N 1 2 321.425 1.030 20 30 DDEDLO CC(C)(C(=O)N1CCN(c2cccc(C#N)n2)CC1)c1c[nH+]c[nH]1 ZINC000598697747 357692473 /nfs/dbraw/zinc/69/24/73/357692473.db2.gz RNQUVHXAYAJJES-UHFFFAOYSA-N 1 2 324.388 1.303 20 30 DDEDLO O=C(NCCc1cn2c([nH+]1)CCCC2)[C@@H]1OC[C@@H]2COCC[C@@H]12 ZINC000329786157 223029286 /nfs/dbraw/zinc/02/92/86/223029286.db2.gz QMLYVYBJPLXNAF-JGGQBBKZSA-N 1 2 319.405 1.770 20 30 DDEDLO Cc1ccc(CNC(=O)N2CC[C@@H]([NH+]3CCOCC3)C2)cn1 ZINC000329753905 223024414 /nfs/dbraw/zinc/02/44/14/223024414.db2.gz XAJIBEBZXLGLDM-OAHLLOKOSA-N 1 2 304.394 1.211 20 30 DDEDLO C[N@@H+]1CCOC[C@@H]1CNC(=O)N[C@@H](CCC#N)c1ccccc1 ZINC000599012719 357793262 /nfs/dbraw/zinc/79/32/62/357793262.db2.gz BISBVUOVCKETCI-HOTGVXAUSA-N 1 2 316.405 1.661 20 30 DDEDLO C[N@H+]1CCOC[C@@H]1CNC(=O)N[C@@H](CCC#N)c1ccccc1 ZINC000599012719 357793264 /nfs/dbraw/zinc/79/32/64/357793264.db2.gz BISBVUOVCKETCI-HOTGVXAUSA-N 1 2 316.405 1.661 20 30 DDEDLO Cn1nccc1[C@@H]1c2[nH]cnc2CC[N@H+]1CCCSCC#N ZINC000599444628 357940133 /nfs/dbraw/zinc/94/01/33/357940133.db2.gz LUSPBAQRMWJDSJ-OAHLLOKOSA-N 1 2 316.434 1.738 20 30 DDEDLO Cn1nccc1[C@@H]1c2[nH]cnc2CC[N@@H+]1CCCSCC#N ZINC000599444628 357940135 /nfs/dbraw/zinc/94/01/35/357940135.db2.gz LUSPBAQRMWJDSJ-OAHLLOKOSA-N 1 2 316.434 1.738 20 30 DDEDLO CCOC(=O)C[C@H](O)C[NH+]1CCN(c2ccsc2C#N)CC1 ZINC000599462270 357946564 /nfs/dbraw/zinc/94/65/64/357946564.db2.gz NJZOOYZILFBJHZ-LBPRGKRZSA-N 1 2 323.418 1.056 20 30 DDEDLO N#Cc1ccc(F)c(C[N@@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)c1 ZINC000599632988 357999777 /nfs/dbraw/zinc/99/97/77/357999777.db2.gz IWERJMXTHAIWOO-KGLIPLIRSA-N 1 2 308.378 1.849 20 30 DDEDLO N#Cc1ccc(F)c(C[N@H+]2CC[C@H]3CC[C@@H](C2)S3(=O)=O)c1 ZINC000599632988 357999780 /nfs/dbraw/zinc/99/97/80/357999780.db2.gz IWERJMXTHAIWOO-KGLIPLIRSA-N 1 2 308.378 1.849 20 30 DDEDLO Cc1cc(C#N)cc(N2CC[C@H]([NH+]3CCN(C(C)C)CC3)C2)n1 ZINC000601130410 358415537 /nfs/dbraw/zinc/41/55/37/358415537.db2.gz URNRPVDXQVPKTH-KRWDZBQOSA-N 1 2 313.449 1.866 20 30 DDEDLO C[C@@H]1C[C@@H](NC(=O)N2CCN(CCC#N)CC2)c2[nH+]ccn21 ZINC000601604967 358620157 /nfs/dbraw/zinc/62/01/57/358620157.db2.gz IXTUUFFZVDPFTB-CHWSQXEVSA-N 1 2 302.382 1.130 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601978112 358763558 /nfs/dbraw/zinc/76/35/58/358763558.db2.gz XLKNOVYQSAZRLC-LALPHHSUSA-N 1 2 317.380 1.785 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@@H](C(F)F)CC1 ZINC000601978112 358763559 /nfs/dbraw/zinc/76/35/59/358763559.db2.gz XLKNOVYQSAZRLC-LALPHHSUSA-N 1 2 317.380 1.785 20 30 DDEDLO CC(C)C[C@H](C(=O)NC1CCN(CC#N)CC1)n1cc[nH+]c1 ZINC000602098557 358812590 /nfs/dbraw/zinc/81/25/90/358812590.db2.gz YBUNTNLIXALVFS-OAHLLOKOSA-N 1 2 303.410 1.574 20 30 DDEDLO COCCN(CC#N)C(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000602160390 358854140 /nfs/dbraw/zinc/85/41/40/358854140.db2.gz XQBKDFDVWCMLEL-MRXNPFEDSA-N 1 2 317.389 1.268 20 30 DDEDLO COCCN(CC#N)C(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000602160390 358854148 /nfs/dbraw/zinc/85/41/48/358854148.db2.gz XQBKDFDVWCMLEL-MRXNPFEDSA-N 1 2 317.389 1.268 20 30 DDEDLO CCc1c[nH]c(CC(=O)N2CCN(c3cnccc3C#N)CC2)[nH+]1 ZINC000602162602 358856483 /nfs/dbraw/zinc/85/64/83/358856483.db2.gz ZPYSOEUPTPLCLY-UHFFFAOYSA-N 1 2 324.388 1.130 20 30 DDEDLO C[N@H+](CC(=O)NCc1cccs1)Cc1cccc(C#N)n1 ZINC000602468515 358998132 /nfs/dbraw/zinc/99/81/32/358998132.db2.gz LSMZYMQGEGVHRV-UHFFFAOYSA-N 1 2 300.387 1.763 20 30 DDEDLO C[N@@H+](CC(=O)NCc1cccs1)Cc1cccc(C#N)n1 ZINC000602468515 358998135 /nfs/dbraw/zinc/99/81/35/358998135.db2.gz LSMZYMQGEGVHRV-UHFFFAOYSA-N 1 2 300.387 1.763 20 30 DDEDLO N#CCC1(C[NH+]2CCN(CC(=O)N3CCCCCC3)CC2)CC1 ZINC000602767509 359176811 /nfs/dbraw/zinc/17/68/11/359176811.db2.gz RCOQDWKQLHPODC-UHFFFAOYSA-N 1 2 318.465 1.700 20 30 DDEDLO C[C@@H]1CN(Cc2[nH+]ccn2CC(F)(F)F)C[C@@H](C)N1CC#N ZINC000602854855 359241083 /nfs/dbraw/zinc/24/10/83/359241083.db2.gz FKDRAEMYMCJYIM-VXGBXAGGSA-N 1 2 315.343 1.864 20 30 DDEDLO C[C@@H](C(=O)N(C)c1ccccc1)[N@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856579 359241867 /nfs/dbraw/zinc/24/18/67/359241867.db2.gz KXJJYSORVOFFED-XHSDSOJGSA-N 1 2 314.433 1.956 20 30 DDEDLO C[C@@H](C(=O)N(C)c1ccccc1)[N@@H+]1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856579 359241869 /nfs/dbraw/zinc/24/18/69/359241869.db2.gz KXJJYSORVOFFED-XHSDSOJGSA-N 1 2 314.433 1.956 20 30 DDEDLO COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)C[NH2+][C@H](C)CC#N ZINC000602862800 359247386 /nfs/dbraw/zinc/24/73/86/359247386.db2.gz KBKAFVOZOQELCZ-DOMZBBRYSA-N 1 2 315.373 1.005 20 30 DDEDLO COc1ccc(CNC(=O)[C@H](C)[NH2+][C@H](C)CC#N)cc1OC ZINC000602866404 359249925 /nfs/dbraw/zinc/24/99/25/359249925.db2.gz ZTQOOOKJHQRPCI-NEPJUHHUSA-N 1 2 305.378 1.600 20 30 DDEDLO CCN1OC[C@@H]([NH+]2CCC(c3ccc(C#N)cn3)CC2)C1=O ZINC000602909133 359288066 /nfs/dbraw/zinc/28/80/66/359288066.db2.gz ZPGVOBYDFWGOTF-OAHLLOKOSA-N 1 2 300.362 1.295 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@@H+]1Cc1ccc(C#N)cc1OC ZINC000602934706 359304788 /nfs/dbraw/zinc/30/47/88/359304788.db2.gz HVGSCIXURMGYIF-PBHICJAKSA-N 1 2 318.373 1.719 20 30 DDEDLO COC(=O)[C@]1(C)C[C@@H](OC)C[N@H+]1Cc1ccc(C#N)cc1OC ZINC000602934706 359304791 /nfs/dbraw/zinc/30/47/91/359304791.db2.gz HVGSCIXURMGYIF-PBHICJAKSA-N 1 2 318.373 1.719 20 30 DDEDLO Cc1cn2c([nH+]1)C[C@H](C(=O)N1C[C@@H](C)N(CC#N)[C@H](C)C1)CC2 ZINC000603096318 359400337 /nfs/dbraw/zinc/40/03/37/359400337.db2.gz WGOJTCLIFCQKOC-RBSFLKMASA-N 1 2 315.421 1.199 20 30 DDEDLO CN(c1cccc(C#N)c1)[C@@H]1CCN(C(=O)CCc2[nH]cc[nH+]2)C1 ZINC000603159726 359445728 /nfs/dbraw/zinc/44/57/28/359445728.db2.gz YIARKJUMLYUJGK-MRXNPFEDSA-N 1 2 323.400 1.951 20 30 DDEDLO Cc1cc(-n2cc[nH+]c2)ccc1Cn1cc(CNCC#N)nn1 ZINC000603252891 359517972 /nfs/dbraw/zinc/51/79/72/359517972.db2.gz GGIGGWUUKOXEAI-UHFFFAOYSA-N 1 2 307.361 1.434 20 30 DDEDLO N#Cc1ccc2c(c1)CC[C@H]2NS(=O)(=O)CCn1cc[nH+]c1 ZINC000603332498 359574283 /nfs/dbraw/zinc/57/42/83/359574283.db2.gz YIRCVKPXZLSMSN-OAHLLOKOSA-N 1 2 316.386 1.362 20 30 DDEDLO C[N@@H+]1CCc2c(cccc2S(=O)(=O)N2CC[C@](C)(C#N)C2)C1 ZINC000603388799 359609930 /nfs/dbraw/zinc/60/99/30/359609930.db2.gz YQVGNLZQWVLCIC-MRXNPFEDSA-N 1 2 319.430 1.599 20 30 DDEDLO C[N@H+]1CCc2c(cccc2S(=O)(=O)N2CC[C@](C)(C#N)C2)C1 ZINC000603388799 359609938 /nfs/dbraw/zinc/60/99/38/359609938.db2.gz YQVGNLZQWVLCIC-MRXNPFEDSA-N 1 2 319.430 1.599 20 30 DDEDLO N#CCCN(CC[NH+]1CCOCC1)C(=O)NC1CCCCC1 ZINC000603839150 359686319 /nfs/dbraw/zinc/68/63/19/359686319.db2.gz YMZMNCLWDPPVBD-UHFFFAOYSA-N 1 2 308.426 1.577 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N(C)CC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000281100580 216079254 /nfs/dbraw/zinc/07/92/54/216079254.db2.gz QRXSCIOHRSPISZ-TXEJJXNPSA-N 1 2 305.378 1.641 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N(C)CC[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000281100580 216079257 /nfs/dbraw/zinc/07/92/57/216079257.db2.gz QRXSCIOHRSPISZ-TXEJJXNPSA-N 1 2 305.378 1.641 20 30 DDEDLO Cc1nc(N2CCN(C(=O)c3cnccc3C#N)CC2)cc[nH+]1 ZINC000358996531 299302353 /nfs/dbraw/zinc/30/23/53/299302353.db2.gz UACQXFSIHNLOPC-UHFFFAOYSA-N 1 2 308.345 1.014 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000189328785 200382939 /nfs/dbraw/zinc/38/29/39/200382939.db2.gz IPVNYJSOKXMVGU-CHWSQXEVSA-N 1 2 309.410 1.066 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000189328785 200382941 /nfs/dbraw/zinc/38/29/41/200382941.db2.gz IPVNYJSOKXMVGU-CHWSQXEVSA-N 1 2 309.410 1.066 20 30 DDEDLO COc1cccc(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)c1 ZINC000329923401 223047498 /nfs/dbraw/zinc/04/74/98/223047498.db2.gz GZRDRZOGUHLKNN-CABCVRRESA-N 1 2 305.378 1.124 20 30 DDEDLO COc1cccc(CNC(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)c1 ZINC000329923401 223047500 /nfs/dbraw/zinc/04/75/00/223047500.db2.gz GZRDRZOGUHLKNN-CABCVRRESA-N 1 2 305.378 1.124 20 30 DDEDLO CCCN(CCC)C(=O)C[NH+]1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609487210 360313894 /nfs/dbraw/zinc/31/38/94/360313894.db2.gz YMCHXUYRZIWWLV-INIZCTEOSA-N 1 2 308.470 1.801 20 30 DDEDLO N#Cc1ccc(C(=O)NCC(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000610016430 360374592 /nfs/dbraw/zinc/37/45/92/360374592.db2.gz VKSFZVGXNFJKSK-UHFFFAOYSA-N 1 2 319.324 1.574 20 30 DDEDLO N#Cc1cccc(NC(=O)C(=O)N2CCC[C@H](n3cc[nH+]c3)C2)c1 ZINC000610533743 360462881 /nfs/dbraw/zinc/46/28/81/360462881.db2.gz LPGDKGVSBPDGSO-HNNXBMFYSA-N 1 2 323.356 1.557 20 30 DDEDLO Cc1ccc(CNC(=O)C[NH+]2CCN(CCC#N)CC2)cc1F ZINC000611174256 360646294 /nfs/dbraw/zinc/64/62/94/360646294.db2.gz YNISOQNWGUZTCG-UHFFFAOYSA-N 1 2 318.396 1.282 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+](C)Cc1ccc(OC)nc1 ZINC000611140077 360634886 /nfs/dbraw/zinc/63/48/86/360634886.db2.gz WMQWQABVDLPSKE-ZDUSSCGKSA-N 1 2 304.394 1.530 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)Cc1ccc(OC)nc1 ZINC000611140077 360634897 /nfs/dbraw/zinc/63/48/97/360634897.db2.gz WMQWQABVDLPSKE-ZDUSSCGKSA-N 1 2 304.394 1.530 20 30 DDEDLO CC[N@H+](CC(=O)N(C)C)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000611204590 360659338 /nfs/dbraw/zinc/65/93/38/360659338.db2.gz ALQUCPJZGQJIDD-GFCCVEGCSA-N 1 2 320.418 1.135 20 30 DDEDLO CC[N@@H+](CC(=O)N(C)C)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000611204590 360659342 /nfs/dbraw/zinc/65/93/42/360659342.db2.gz ALQUCPJZGQJIDD-GFCCVEGCSA-N 1 2 320.418 1.135 20 30 DDEDLO Cn1ncc2c1CCC[C@@H]2NC([O-])=[NH+][C@@H]1CCn2cc[nH+]c2C1 ZINC000330127413 223077564 /nfs/dbraw/zinc/07/75/64/223077564.db2.gz CPVICDRQELVRFK-YPMHNXCESA-N 1 2 314.393 1.513 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)N1CCO[C@H](C2CC2)C1)[NH+]1CCOCC1 ZINC000330144349 223080031 /nfs/dbraw/zinc/08/00/31/223080031.db2.gz RQYIDXSDYZIPPR-GUTXKFCHSA-N 1 2 311.426 1.120 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)C[N@@H+]1CCS[C@H]2COCC[C@H]21 ZINC000330184845 223084420 /nfs/dbraw/zinc/08/44/20/223084420.db2.gz QZSZEOFWBFOCBR-OLZOCXBDSA-N 1 2 324.450 1.831 20 30 DDEDLO Cc1nn(C)c(C)c1NC(=O)C[N@H+]1CCS[C@H]2COCC[C@H]21 ZINC000330184845 223084422 /nfs/dbraw/zinc/08/44/22/223084422.db2.gz QZSZEOFWBFOCBR-OLZOCXBDSA-N 1 2 324.450 1.831 20 30 DDEDLO C[C@]1(C#N)CCCN(C(=O)N[C@H]2CCN(c3cccc[nH+]3)C2)C1 ZINC000612145629 360933635 /nfs/dbraw/zinc/93/36/35/360933635.db2.gz MGCBHCSXUADFDB-WMLDXEAASA-N 1 2 313.405 1.996 20 30 DDEDLO [O-]C(=[NH+]C[C@@H]1CCc2[nH+]ccn2C1)N1CC[C@H](O)C12CCCC2 ZINC000330360987 223106002 /nfs/dbraw/zinc/10/60/02/223106002.db2.gz XTXPDFDXQDWNHS-KBPBESRZSA-N 1 2 318.421 1.739 20 30 DDEDLO COC1CCC(N(C)C(=O)N[C@@H](C)C[NH+]2CCOCC2)CC1 ZINC000330833309 223148355 /nfs/dbraw/zinc/14/83/55/223148355.db2.gz ZBNLQJAZASJUIJ-NFOMZHRRSA-N 1 2 313.442 1.511 20 30 DDEDLO Cc1cc(N2CCN(C(=O)NCCC#N)CC2)nc(C(C)C)[nH+]1 ZINC000331158550 223190682 /nfs/dbraw/zinc/19/06/82/223190682.db2.gz QMTULIGFKUJVKO-UHFFFAOYSA-N 1 2 316.409 1.858 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@@H+]1CCC[C@@](O)(C(F)(F)F)C1)C1CC1 ZINC000331787167 223209323 /nfs/dbraw/zinc/20/93/23/223209323.db2.gz BURFLELDIPAECO-STQMWFEESA-N 1 2 319.327 1.184 20 30 DDEDLO C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@@](O)(C(F)(F)F)C1)C1CC1 ZINC000331787167 223209326 /nfs/dbraw/zinc/20/93/26/223209326.db2.gz BURFLELDIPAECO-STQMWFEESA-N 1 2 319.327 1.184 20 30 DDEDLO Cc1cn2c([nH+]1)CN(S(=O)(=O)c1cccc(CC#N)c1)CC2 ZINC000619496024 364038100 /nfs/dbraw/zinc/03/81/00/364038100.db2.gz WGLAYQVJVOBUJJ-UHFFFAOYSA-N 1 2 316.386 1.462 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N(CC1CC1)C[C@H]1C[N@H+](C)CCO1 ZINC000619554023 364058855 /nfs/dbraw/zinc/05/88/55/364058855.db2.gz SWMGFQOVTMWXFW-OAHLLOKOSA-N 1 2 317.389 1.643 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N(CC1CC1)C[C@H]1C[N@@H+](C)CCO1 ZINC000619554023 364058859 /nfs/dbraw/zinc/05/88/59/364058859.db2.gz SWMGFQOVTMWXFW-OAHLLOKOSA-N 1 2 317.389 1.643 20 30 DDEDLO C[C@@H]([NH2+]Cc1nnn(CC(F)(F)F)n1)c1cccc(C#N)c1 ZINC000619649710 364100774 /nfs/dbraw/zinc/10/07/74/364100774.db2.gz AKSSCDJGVKLXIO-SECBINFHSA-N 1 2 310.283 1.958 20 30 DDEDLO COc1cc(C[N@@H+]2CCC[C@@H](NS(C)(=O)=O)C2)ccc1C#N ZINC000619674199 364111341 /nfs/dbraw/zinc/11/13/41/364111341.db2.gz ORQBDBKOHOTBTR-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO COc1cc(C[N@H+]2CCC[C@@H](NS(C)(=O)=O)C2)ccc1C#N ZINC000619674199 364111350 /nfs/dbraw/zinc/11/13/50/364111350.db2.gz ORQBDBKOHOTBTR-CQSZACIVSA-N 1 2 323.418 1.080 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@H](CNC(=O)c2ccccc2)C1 ZINC000619718108 364129124 /nfs/dbraw/zinc/12/91/24/364129124.db2.gz ADMLJIBCIWRQPI-GDBMZVCRSA-N 1 2 316.401 1.856 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CCC[C@H](CNC(=O)c2ccccc2)C1 ZINC000619718108 364129127 /nfs/dbraw/zinc/12/91/27/364129127.db2.gz ADMLJIBCIWRQPI-GDBMZVCRSA-N 1 2 316.401 1.856 20 30 DDEDLO C=C[C@@H](C(=O)OC)[NH+]1CCC(c2nnc3n2CCCC3)CC1 ZINC000619721639 364132182 /nfs/dbraw/zinc/13/21/82/364132182.db2.gz YLCLQWPRIBCDQW-ZDUSSCGKSA-N 1 2 304.394 1.521 20 30 DDEDLO N#CC1(NC(=O)C[NH+]2CCN(c3cccc(O)c3)CC2)CCC1 ZINC000346895133 223361295 /nfs/dbraw/zinc/36/12/95/223361295.db2.gz WCNBOBNPNBJVED-UHFFFAOYSA-N 1 2 314.389 1.077 20 30 DDEDLO C[C@H]([NH2+][C@@H]1CCCN(c2ccc(C#N)cc2)C1=O)c1nncn1C ZINC000275191025 212184481 /nfs/dbraw/zinc/18/44/81/212184481.db2.gz OWOHHSZONQGHGA-SWLSCSKDSA-N 1 2 324.388 1.533 20 30 DDEDLO Cc1cc(N2CCC(c3nnc4n3CC[NH2+]C4)CC2)c(C#N)cn1 ZINC000333653079 249058487 /nfs/dbraw/zinc/05/84/87/249058487.db2.gz ZIGFLTXWXBVMOZ-UHFFFAOYSA-N 1 2 323.404 1.340 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@@H+]1C[C@H](CO)OC(C)(C)C1 ZINC000336873413 249278974 /nfs/dbraw/zinc/27/89/74/249278974.db2.gz SYYBRTKTLYHNOX-IUODEOHRSA-N 1 2 317.389 1.357 20 30 DDEDLO C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1C[C@H](CO)OC(C)(C)C1 ZINC000336873413 249278977 /nfs/dbraw/zinc/27/89/77/249278977.db2.gz SYYBRTKTLYHNOX-IUODEOHRSA-N 1 2 317.389 1.357 20 30 DDEDLO N#Cc1cccc(-n2ccc(C(=O)NCCc3[nH]cc[nH+]3)n2)c1 ZINC000336995593 249305667 /nfs/dbraw/zinc/30/56/67/249305667.db2.gz NSMGWYUNEDFJOM-UHFFFAOYSA-N 1 2 306.329 1.440 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3ccccc3C#N)CC2)c(C)n1 ZINC000337125743 249357752 /nfs/dbraw/zinc/35/77/52/249357752.db2.gz FHZGPTRZUPFTFJ-UHFFFAOYSA-N 1 2 323.400 1.988 20 30 DDEDLO COc1ncc(C[NH+]2CCN(c3cc(C#N)ccn3)CC2)c(C)n1 ZINC000337129371 249358341 /nfs/dbraw/zinc/35/83/41/249358341.db2.gz INNWGVBIKYXMHZ-UHFFFAOYSA-N 1 2 324.388 1.383 20 30 DDEDLO C[C@H]1C(=O)NCC[N@H+]1CCC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000104691180 194028382 /nfs/dbraw/zinc/02/83/82/194028382.db2.gz LGCSIXLXVMZCRK-JTQLQIEISA-N 1 2 320.780 1.361 20 30 DDEDLO C[C@H]1C(=O)NCC[N@@H+]1CCC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000104691180 194028386 /nfs/dbraw/zinc/02/83/86/194028386.db2.gz LGCSIXLXVMZCRK-JTQLQIEISA-N 1 2 320.780 1.361 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)c2ccc(C)cc2F)CC1 ZINC000104738561 194032281 /nfs/dbraw/zinc/03/22/81/194032281.db2.gz YGJNCCSLIQQHEE-UHFFFAOYSA-N 1 2 310.394 1.464 20 30 DDEDLO COCC1(C#N)CC[NH+](CC(=O)N[C@H]2CCCC[C@H]2C)CC1 ZINC000341994245 533110363 /nfs/dbraw/zinc/11/03/63/533110363.db2.gz SETOZANKLRYBAV-CABCVRRESA-N 1 2 307.438 1.933 20 30 DDEDLO CCNC(=O)N1CC[NH+](Cc2ccc(C#N)cc2OC)CC1 ZINC000120537287 195184947 /nfs/dbraw/zinc/18/49/47/195184947.db2.gz VFTJCMAPTDTTBH-UHFFFAOYSA-N 1 2 302.378 1.414 20 30 DDEDLO CCOC(=O)C(C)(C)[NH2+]CCOc1ccc(C#N)cc1OC ZINC000121553520 195306738 /nfs/dbraw/zinc/30/67/38/195306738.db2.gz YXCATYFSENQFGB-UHFFFAOYSA-N 1 2 306.362 1.877 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCCC[C@@H](S(C)(=O)=O)C1 ZINC000444801966 533269066 /nfs/dbraw/zinc/26/90/66/533269066.db2.gz LQLIGZJZJFQHKI-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCCC[C@@H](S(C)(=O)=O)C1 ZINC000444801966 533269075 /nfs/dbraw/zinc/26/90/75/533269075.db2.gz LQLIGZJZJFQHKI-OAHLLOKOSA-N 1 2 322.430 1.966 20 30 DDEDLO C[C@@H](CNC(=O)c1cc(O)ccc1[N+](=O)[O-])N1CC[NH+](C)CC1 ZINC000330945463 533362906 /nfs/dbraw/zinc/36/29/06/533362906.db2.gz GYEWBUZXQWPDJP-NSHDSACASA-N 1 2 322.365 1.241 20 30 DDEDLO C[C@H](CC#N)N(C)CC(=O)N1CC[NH+](Cc2ccsc2)CC1 ZINC000330979157 533602155 /nfs/dbraw/zinc/60/21/55/533602155.db2.gz UUAMNJLQQVVODX-CQSZACIVSA-N 1 2 320.462 1.626 20 30 DDEDLO O=C(N[C@H]1CCCS(=O)(=O)C1)[C@@H](C1CC1)[NH+]1CCCC1 ZINC000329543907 296482433 /nfs/dbraw/zinc/48/24/33/296482433.db2.gz YOFWLSRFHHGBJX-QWHCGFSZSA-N 1 2 300.424 1.395 20 30 DDEDLO COC(=O)C1CC[NH+]([C@H](C)C(=O)NC2(C#N)CCCCC2)CC1 ZINC000007636498 406765093 /nfs/dbraw/zinc/76/50/93/406765093.db2.gz VZWQMUASYSQOFB-CYBMUJFWSA-N 1 2 321.421 1.603 20 30 DDEDLO Cc1ccc(C#N)cc1S(=O)(=O)N(C)Cc1[nH+]ccn1C ZINC000070432327 406814477 /nfs/dbraw/zinc/81/44/77/406814477.db2.gz VJQIKBHURCOPEF-UHFFFAOYSA-N 1 2 304.375 1.421 20 30 DDEDLO C[C@@H](c1ccc(Cl)cc1)[NH+]1CCN(CC(=O)NCC#N)CC1 ZINC000075863466 406944136 /nfs/dbraw/zinc/94/41/36/406944136.db2.gz HCIBQNRUKNJLMP-ZDUSSCGKSA-N 1 2 320.824 1.658 20 30 DDEDLO CCO[C@@H](C)c1noc(CN2CC[NH+](CCCC#N)CC2)n1 ZINC000075916027 406945407 /nfs/dbraw/zinc/94/54/07/406945407.db2.gz SZFPKUMDFSSWLX-ZDUSSCGKSA-N 1 2 307.398 1.588 20 30 DDEDLO C[C@H](C(=O)N(C)CCC#N)[NH+]1CCN(c2ccccc2O)CC1 ZINC000052635712 407155589 /nfs/dbraw/zinc/15/55/89/407155589.db2.gz JTDKBGCJZKOQBC-CQSZACIVSA-N 1 2 316.405 1.275 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000055080229 407193848 /nfs/dbraw/zinc/19/38/48/407193848.db2.gz AMILAMWHJURMQB-CYBMUJFWSA-N 1 2 307.419 1.663 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@@H+](C)CC(=O)Nc1ccccc1CC ZINC000126183765 407407848 /nfs/dbraw/zinc/40/78/48/407407848.db2.gz GTOVFNQBXAUIPN-CYBMUJFWSA-N 1 2 301.390 1.257 20 30 DDEDLO C#CCNC(=O)[C@@H](C)[N@H+](C)CC(=O)Nc1ccccc1CC ZINC000126183765 407407849 /nfs/dbraw/zinc/40/78/49/407407849.db2.gz GTOVFNQBXAUIPN-CYBMUJFWSA-N 1 2 301.390 1.257 20 30 DDEDLO N#CCOc1ccc(CNC(=O)[C@H]2CCn3cc[nH+]c3C2)cc1 ZINC000178811179 407610973 /nfs/dbraw/zinc/61/09/73/407610973.db2.gz FOYAYBBVDAOMIZ-AWEZNQCLSA-N 1 2 310.357 1.664 20 30 DDEDLO Cc1[nH+]c2ccccc2n1CCNC(=O)N1CCO[C@H](C#N)C1 ZINC000171592800 407714794 /nfs/dbraw/zinc/71/47/94/407714794.db2.gz WKOJWKZFEWYWDQ-CYBMUJFWSA-N 1 2 313.361 1.279 20 30 DDEDLO C#Cc1cccc(NC(=O)CNC(=O)[C@H]2CCn3c[nH+]cc3C2)c1 ZINC000131294605 407728634 /nfs/dbraw/zinc/72/86/34/407728634.db2.gz ARPVBFOSDSPKFR-AWEZNQCLSA-N 1 2 322.368 1.182 20 30 DDEDLO C[C@H]([NH2+]CC(=O)N[C@](C)(C#N)C1CC1)c1nnc2ccccn21 ZINC000172613421 407841163 /nfs/dbraw/zinc/84/11/63/407841163.db2.gz RSZACQGANHEDFK-MEDUHNTESA-N 1 2 312.377 1.188 20 30 DDEDLO CC(=O)NC(C)(C)C(N)=[NH+]OCc1ccccc1OC(F)F ZINC000117868837 407866385 /nfs/dbraw/zinc/86/63/85/407866385.db2.gz KENGETFCYVLNHP-UHFFFAOYSA-N 1 2 315.320 1.992 20 30 DDEDLO C#CCNC(=O)c1ccc(N2CC[C@@H](C)[C@H](n3cc[nH+]c3)C2)nc1 ZINC000268244007 407968904 /nfs/dbraw/zinc/96/89/04/407968904.db2.gz IFNFNFYUCGTGJV-GDBMZVCRSA-N 1 2 323.400 1.729 20 30 DDEDLO CCc1nnc(N[C@@H](C)[C@@H](C)[NH+]2CCOCC2)c(C#N)c1CC ZINC000268194798 407940655 /nfs/dbraw/zinc/94/06/55/407940655.db2.gz NLKKGUCRDLOBLK-QWHCGFSZSA-N 1 2 317.437 1.994 20 30 DDEDLO COCCCNC(=O)C[N@H+](C)CC#Cc1cccc(Cl)c1 ZINC000154529025 408047396 /nfs/dbraw/zinc/04/73/96/408047396.db2.gz XKNBDRQPLDZNSW-UHFFFAOYSA-N 1 2 308.809 1.776 20 30 DDEDLO COCCCNC(=O)C[N@@H+](C)CC#Cc1cccc(Cl)c1 ZINC000154529025 408047402 /nfs/dbraw/zinc/04/74/02/408047402.db2.gz XKNBDRQPLDZNSW-UHFFFAOYSA-N 1 2 308.809 1.776 20 30 DDEDLO C=C(C)CS(=O)(=O)N1CC[NH+](Cc2ccccc2OC)CC1 ZINC000189587373 408061323 /nfs/dbraw/zinc/06/13/23/408061323.db2.gz GFRKUITZWQZSBZ-UHFFFAOYSA-N 1 2 324.446 1.719 20 30 DDEDLO COCCO[C@@H]1CC[N@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000189651080 408068832 /nfs/dbraw/zinc/06/88/32/408068832.db2.gz BVDYGJNPRMHJGY-OAHLLOKOSA-N 1 2 303.362 1.234 20 30 DDEDLO COCCO[C@@H]1CC[N@@H+](CC(=O)Nc2cccc(C#N)c2)C1 ZINC000189651080 408068836 /nfs/dbraw/zinc/06/88/36/408068836.db2.gz BVDYGJNPRMHJGY-OAHLLOKOSA-N 1 2 303.362 1.234 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC000268463851 408055496 /nfs/dbraw/zinc/05/54/96/408055496.db2.gz LINQMWFNJZRWLK-TZMCWYRMSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC000268463851 408055500 /nfs/dbraw/zinc/05/55/00/408055500.db2.gz LINQMWFNJZRWLK-TZMCWYRMSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000268463851 408055502 /nfs/dbraw/zinc/05/55/02/408055502.db2.gz LINQMWFNJZRWLK-TZMCWYRMSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000268463851 408055505 /nfs/dbraw/zinc/05/55/05/408055505.db2.gz LINQMWFNJZRWLK-TZMCWYRMSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000268463851 408055509 /nfs/dbraw/zinc/05/55/09/408055509.db2.gz LINQMWFNJZRWLK-TZMCWYRMSA-N 1 2 318.425 1.301 20 30 DDEDLO CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000268463851 408055511 /nfs/dbraw/zinc/05/55/11/408055511.db2.gz LINQMWFNJZRWLK-TZMCWYRMSA-N 1 2 318.425 1.301 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CCC[C@H](S(C)(=O)=O)C1 ZINC000274138568 408306985 /nfs/dbraw/zinc/30/69/85/408306985.db2.gz JLKAICJONKIXHB-KGLIPLIRSA-N 1 2 314.451 1.085 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CCC[C@H](S(C)(=O)=O)C1 ZINC000274138568 408306989 /nfs/dbraw/zinc/30/69/89/408306989.db2.gz JLKAICJONKIXHB-KGLIPLIRSA-N 1 2 314.451 1.085 20 30 DDEDLO NC(=[NH+]OCC(=O)NC1CCCC1)c1ccc2c(c1)CCO2 ZINC000158240325 408334308 /nfs/dbraw/zinc/33/43/08/408334308.db2.gz RLJGGAKUVWZDAD-UHFFFAOYSA-N 1 2 303.362 1.317 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cccc(OCCCC#N)c2)C[C@H](CO)O1 ZINC000191527672 408439913 /nfs/dbraw/zinc/43/99/13/408439913.db2.gz CEZBKFJDXQXNOL-RHSMWYFYSA-N 1 2 304.390 1.951 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cccc(OCCCC#N)c2)C[C@H](CO)O1 ZINC000191527672 408439918 /nfs/dbraw/zinc/43/99/18/408439918.db2.gz CEZBKFJDXQXNOL-RHSMWYFYSA-N 1 2 304.390 1.951 20 30 DDEDLO Cn1cc(CNc2cccc(CN3CCOCC3)[nH+]2)cc1C#N ZINC000191545051 408442763 /nfs/dbraw/zinc/44/27/63/408442763.db2.gz KYCKEYCCDFIMQU-UHFFFAOYSA-N 1 2 311.389 1.736 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@H+]2CCC[C@H]2C(N)=O)c1 ZINC000191774024 408489303 /nfs/dbraw/zinc/48/93/03/408489303.db2.gz LDRBDCDXYLXTJJ-LBPRGKRZSA-N 1 2 308.179 1.770 20 30 DDEDLO N#Cc1ccc(Br)c(C[N@@H+]2CCC[C@H]2C(N)=O)c1 ZINC000191774024 408489310 /nfs/dbraw/zinc/48/93/10/408489310.db2.gz LDRBDCDXYLXTJJ-LBPRGKRZSA-N 1 2 308.179 1.770 20 30 DDEDLO C=C(C)CC[NH+]1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000274826088 408546001 /nfs/dbraw/zinc/54/60/01/408546001.db2.gz ZVTGTUFIIIXEHP-UHFFFAOYSA-N 1 2 312.439 1.001 20 30 DDEDLO C=CCN(Cc1cn2cc(C)ccc2[nH+]1)[C@@H]1CCS(=O)(=O)C1 ZINC000177279590 408570702 /nfs/dbraw/zinc/57/07/02/408570702.db2.gz IEYACHBEPBRUGQ-OAHLLOKOSA-N 1 2 319.430 1.818 20 30 DDEDLO COC[C@@H]([NH2+]CC(=O)N[C@](C)(C#N)C(C)C)c1ccc(C)o1 ZINC000184672474 408664659 /nfs/dbraw/zinc/66/46/59/408664659.db2.gz VSUUXTLFJBUKIZ-CZUORRHYSA-N 1 2 307.394 1.920 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)Nc2cccc(F)c2)C1=O ZINC000281180157 408874721 /nfs/dbraw/zinc/87/47/21/408874721.db2.gz AWYYSTSCTXCMQF-IUODEOHRSA-N 1 2 319.380 1.871 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)Nc2cccc(F)c2)C1=O ZINC000281180157 408874723 /nfs/dbraw/zinc/87/47/23/408874723.db2.gz AWYYSTSCTXCMQF-IUODEOHRSA-N 1 2 319.380 1.871 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)CC(=O)NCc2sccc2C)C1=O ZINC000281301444 408878804 /nfs/dbraw/zinc/87/88/04/408878804.db2.gz JWYZLGURNAWESX-CYBMUJFWSA-N 1 2 321.446 1.391 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)CC(=O)NCc2sccc2C)C1=O ZINC000281301444 408878807 /nfs/dbraw/zinc/87/88/07/408878807.db2.gz JWYZLGURNAWESX-CYBMUJFWSA-N 1 2 321.446 1.391 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@@H+]1Cc1ccc(F)cn1 ZINC000276139711 408822553 /nfs/dbraw/zinc/82/25/53/408822553.db2.gz APCQFBKUZBIXNG-LBPRGKRZSA-N 1 2 312.352 1.593 20 30 DDEDLO C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1Cc1ccc(F)cn1 ZINC000276139711 408822554 /nfs/dbraw/zinc/82/25/54/408822554.db2.gz APCQFBKUZBIXNG-LBPRGKRZSA-N 1 2 312.352 1.593 20 30 DDEDLO C[C@H](CCC#N)[NH+]1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000276331778 408837091 /nfs/dbraw/zinc/83/70/91/408837091.db2.gz RDPHGNASZSDDMD-CYBMUJFWSA-N 1 2 315.373 1.865 20 30 DDEDLO C=CCNC(=O)C[NH2+][C@@H](c1ccc(C)cc1)[C@H](C)S(C)(=O)=O ZINC000280909044 408856880 /nfs/dbraw/zinc/85/68/80/408856880.db2.gz RYFZVUAUSCIHHD-XJKSGUPXSA-N 1 2 324.446 1.361 20 30 DDEDLO C=CC[C@H](CC)NC(=O)Nc1cnn(CC[NH+]2CCOCC2)c1 ZINC000281475975 408887674 /nfs/dbraw/zinc/88/76/74/408887674.db2.gz UYZZCQHJMAMPIJ-AWEZNQCLSA-N 1 2 321.425 1.692 20 30 DDEDLO C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)C(=O)Nc1c[nH+]ccc1OC ZINC000281846447 408940041 /nfs/dbraw/zinc/94/00/41/408940041.db2.gz QYTIASPBZULHKO-RISCZKNCSA-N 1 2 319.361 1.126 20 30 DDEDLO CC[C@@H](C)[NH+]1CCN(S(=O)(=O)c2ccc(C#N)s2)CC1 ZINC000230339572 163346958 /nfs/dbraw/zinc/34/69/58/163346958.db2.gz NERMNYNFWDGRKS-LLVKDONJSA-N 1 2 313.448 1.725 20 30 DDEDLO C=CCN1CC[C@H]([NH2+][C@](C)(C(N)=O)c2cccc(Cl)c2)C1=O ZINC000282144976 408999803 /nfs/dbraw/zinc/99/98/03/408999803.db2.gz ZXBHLQAVTOFJBX-BBRMVZONSA-N 1 2 321.808 1.417 20 30 DDEDLO C=CCNC(=O)C[N@@H+](Cc1ccc(C(N)=O)cc1F)C1CC1 ZINC000292278575 409015343 /nfs/dbraw/zinc/01/53/43/409015343.db2.gz SQJKSPXZSOHGHH-UHFFFAOYSA-N 1 2 305.353 1.191 20 30 DDEDLO C=CCNC(=O)C[N@H+](Cc1ccc(C(N)=O)cc1F)C1CC1 ZINC000292278575 409015345 /nfs/dbraw/zinc/01/53/45/409015345.db2.gz SQJKSPXZSOHGHH-UHFFFAOYSA-N 1 2 305.353 1.191 20 30 DDEDLO COc1cc(C[N@H+](CCS(C)(=O)=O)C(C)C)ccc1C#N ZINC000282362227 409041039 /nfs/dbraw/zinc/04/10/39/409041039.db2.gz PVGAWEBEBWNKDL-UHFFFAOYSA-N 1 2 310.419 1.822 20 30 DDEDLO COc1cc(C[N@@H+](CCS(C)(=O)=O)C(C)C)ccc1C#N ZINC000282362227 409041040 /nfs/dbraw/zinc/04/10/40/409041040.db2.gz PVGAWEBEBWNKDL-UHFFFAOYSA-N 1 2 310.419 1.822 20 30 DDEDLO Cc1ccc2[nH+]c(CNS(=O)(=O)N(C)C[C@H](C)C#N)cn2c1 ZINC000282983949 409072119 /nfs/dbraw/zinc/07/21/19/409072119.db2.gz XNLSVVXBVKSIBJ-GFCCVEGCSA-N 1 2 321.406 1.069 20 30 DDEDLO C[C@@H]1C[N@@H+](CCN(C)c2ncc([N+](=O)[O-])cc2C#N)C[C@H](C)O1 ZINC000278854555 409101867 /nfs/dbraw/zinc/10/18/67/409101867.db2.gz MDBGCULCKYRMBT-TXEJJXNPSA-N 1 2 319.365 1.407 20 30 DDEDLO C[C@@H]1C[N@H+](CCN(C)c2ncc([N+](=O)[O-])cc2C#N)C[C@H](C)O1 ZINC000278854555 409101868 /nfs/dbraw/zinc/10/18/68/409101868.db2.gz MDBGCULCKYRMBT-TXEJJXNPSA-N 1 2 319.365 1.407 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@H+](Cc2cscn2)CC1 ZINC000278983267 409125419 /nfs/dbraw/zinc/12/54/19/409125419.db2.gz YRERIUXWOXELSF-HNNXBMFYSA-N 1 2 309.435 1.505 20 30 DDEDLO C=C[C@](C)(O)CC(=O)N1CCC[N@@H+](Cc2cscn2)CC1 ZINC000278983267 409125421 /nfs/dbraw/zinc/12/54/21/409125421.db2.gz YRERIUXWOXELSF-HNNXBMFYSA-N 1 2 309.435 1.505 20 30 DDEDLO C[C@@H](C[NH+]1CCOCC1)N(C)C(=O)c1ccccc1OCC#N ZINC000279358886 409198672 /nfs/dbraw/zinc/19/86/72/409198672.db2.gz RNNMFFIOZCMWDI-AWEZNQCLSA-N 1 2 317.389 1.382 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2cccc(C(F)(F)F)n2)CC1 ZINC000294551216 409293937 /nfs/dbraw/zinc/29/39/37/409293937.db2.gz MRMJAKDKEAQZCS-UHFFFAOYSA-N 1 2 311.307 1.882 20 30 DDEDLO C[C@H](O[NH+]=C(N)[C@H]1CCCO1)C(=O)N1CCc2sccc2C1 ZINC000284549701 409349260 /nfs/dbraw/zinc/34/92/60/409349260.db2.gz VWKZFGSLGCQHCQ-CMPLNLGQSA-N 1 2 323.418 1.489 20 30 DDEDLO C[C@H]1CCC[C@@H](CO)[N@@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000305824308 409767650 /nfs/dbraw/zinc/76/76/50/409767650.db2.gz BZFLFVYEQXLGFY-ZFWWWQNUSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@H]1CCC[C@@H](CO)[N@H+]1CCS(=O)(=O)c1cccc(C#N)c1 ZINC000305824308 409767661 /nfs/dbraw/zinc/76/76/61/409767661.db2.gz BZFLFVYEQXLGFY-ZFWWWQNUSA-N 1 2 322.430 1.567 20 30 DDEDLO C[C@@H](Nc1ncc(C#N)cc1[N+](=O)[O-])[C@H](C)[NH+]1CCOCC1 ZINC000297154187 409827528 /nfs/dbraw/zinc/82/75/28/409827528.db2.gz OKICLRUYSMAKSE-MNOVXSKESA-N 1 2 305.338 1.383 20 30 DDEDLO Cn1c[nH+]cc1CNS(=O)(=O)c1cccc(Cl)c1C#N ZINC000349813582 409911292 /nfs/dbraw/zinc/91/12/92/409911292.db2.gz RJENFSOYQUHGEK-UHFFFAOYSA-N 1 2 310.766 1.424 20 30 DDEDLO O=C(CCc1c[nH+]c[nH]1)NC[C@@H]1CCC2(CCOCC2)[C@@H]1O ZINC000328709414 409959424 /nfs/dbraw/zinc/95/94/24/409959424.db2.gz QSWYRDJXKQCHJH-SWLSCSKDSA-N 1 2 307.394 1.867 20 30 DDEDLO O=C(CCc1c[nH]c[nH+]1)NC[C@@H]1CCC2(CCOCC2)[C@@H]1O ZINC000328709414 409959432 /nfs/dbraw/zinc/95/94/32/409959432.db2.gz QSWYRDJXKQCHJH-SWLSCSKDSA-N 1 2 307.394 1.867 20 30 DDEDLO C[C@@H]1CCN(C(=O)N[C@H]2CC[C@H](O)CC2)C[C@@H]1n1cc[nH+]c1 ZINC000328734899 409964873 /nfs/dbraw/zinc/96/48/73/409964873.db2.gz KJNURCUFFRDQJZ-TUVASFSCSA-N 1 2 306.410 1.984 20 30 DDEDLO C[C@@H]1CCN(C([O-])=[NH+][C@H]2CC[C@H](O)CC2)C[C@@H]1n1cc[nH+]c1 ZINC000328734899 409964877 /nfs/dbraw/zinc/96/48/77/409964877.db2.gz KJNURCUFFRDQJZ-TUVASFSCSA-N 1 2 306.410 1.984 20 30 DDEDLO Cc1nc(C)c(CCC(=O)NC[C@@H]2C[N@H+](C)CCO2)c(=O)[nH]1 ZINC000328759334 409971162 /nfs/dbraw/zinc/97/11/62/409971162.db2.gz WIVAZVHIDYVJJE-GFCCVEGCSA-N 1 2 308.382 1.019 20 30 DDEDLO Cc1nc(C)c(CCC(=O)NC[C@@H]2C[N@@H+](C)CCO2)c(=O)[nH]1 ZINC000328759334 409971177 /nfs/dbraw/zinc/97/11/77/409971177.db2.gz WIVAZVHIDYVJJE-GFCCVEGCSA-N 1 2 308.382 1.019 20 30 DDEDLO CC(C)[N@@H+]1CCO[C@H](c2noc(-c3cnn(C)c3C#N)n2)C1 ZINC000350136554 409928288 /nfs/dbraw/zinc/92/82/88/409928288.db2.gz WUTJECFUROWXDR-LBPRGKRZSA-N 1 2 302.338 1.123 20 30 DDEDLO CC(C)[N@H+]1CCO[C@H](c2noc(-c3cnn(C)c3C#N)n2)C1 ZINC000350136554 409928292 /nfs/dbraw/zinc/92/82/92/409928292.db2.gz WUTJECFUROWXDR-LBPRGKRZSA-N 1 2 302.338 1.123 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N[C@H]1CCCC[C@H]1CO ZINC000328606041 409933147 /nfs/dbraw/zinc/93/31/47/409933147.db2.gz KBAIBTZULSZCOH-IHRRRGAJSA-N 1 2 306.410 1.815 20 30 DDEDLO COc1ccccc1NC(=O)C[N@@H+]1CC[C@H]2[C@@H](C1)NC(=O)N2C ZINC000328993274 410043636 /nfs/dbraw/zinc/04/36/36/410043636.db2.gz VVNCAWNEGIKDLJ-OLZOCXBDSA-N 1 2 318.377 1.586 20 30 DDEDLO COc1ccccc1NC(=O)C[N@H+]1CC[C@H]2[C@@H](C1)NC(=O)N2C ZINC000328993274 410043640 /nfs/dbraw/zinc/04/36/40/410043640.db2.gz VVNCAWNEGIKDLJ-OLZOCXBDSA-N 1 2 318.377 1.586 20 30 DDEDLO Cc1[nH+]c(N2CCOCC2)ccc1NC(=O)c1cnccc1C#N ZINC000343015307 410077564 /nfs/dbraw/zinc/07/75/64/410077564.db2.gz YSTYNUOFJVHYRZ-UHFFFAOYSA-N 1 2 323.356 1.746 20 30 DDEDLO CC(C)[C@@H](CNC(=O)c1cnc2n[nH]nc2c1)[NH+]1CCOCC1 ZINC000329096088 410099926 /nfs/dbraw/zinc/09/99/26/410099926.db2.gz BOFBNLZLJNXBMJ-CYBMUJFWSA-N 1 2 318.381 1.014 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH+]c[nH]1)C(=O)N(C)[C@H](C)CC#N ZINC000354818857 410107886 /nfs/dbraw/zinc/10/78/86/410107886.db2.gz JXIBNVPKHSCHQL-TZMCWYRMSA-N 1 2 319.409 1.244 20 30 DDEDLO CC(C)CC(=O)N[C@H](Cc1c[nH]c[nH+]1)C(=O)N(C)[C@H](C)CC#N ZINC000354818857 410107892 /nfs/dbraw/zinc/10/78/92/410107892.db2.gz JXIBNVPKHSCHQL-TZMCWYRMSA-N 1 2 319.409 1.244 20 30 DDEDLO C=CCOc1ccc(C(=O)N2C[C@@H]3[C@H](C2)OCC[N@H+]3C)cc1 ZINC000351703108 410163134 /nfs/dbraw/zinc/16/31/34/410163134.db2.gz MONOOGCRSPZSHB-CVEARBPZSA-N 1 2 302.374 1.406 20 30 DDEDLO C=CCOc1ccc(C(=O)N2C[C@@H]3[C@H](C2)OCC[N@@H+]3C)cc1 ZINC000351703108 410163139 /nfs/dbraw/zinc/16/31/39/410163139.db2.gz MONOOGCRSPZSHB-CVEARBPZSA-N 1 2 302.374 1.406 20 30 DDEDLO C[C@H](NC(=O)CN1CCn2cc[nH+]c2C1)C(=O)N1CCCCC1 ZINC000329267066 410203071 /nfs/dbraw/zinc/20/30/71/410203071.db2.gz DBKKBMVVFKNSIX-ZDUSSCGKSA-N 1 2 319.409 1.056 20 30 DDEDLO Cn1cc[nH+]c1CN1CCN(C(=O)c2cccc(CC#N)c2)CC1 ZINC000357760638 410233812 /nfs/dbraw/zinc/23/38/12/410233812.db2.gz YZWKWWRPOLCMNU-UHFFFAOYSA-N 1 2 323.400 1.444 20 30 DDEDLO CCc1nnc([C@H](C)NC(=O)C2([NH+]3CCOCC3)CCC2)[nH]1 ZINC000329478073 410321830 /nfs/dbraw/zinc/32/18/30/410321830.db2.gz UQTSYJVMSGUKKD-NSHDSACASA-N 1 2 307.398 1.640 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CCOCC3(CC3)C2)cc1 ZINC000329492194 410327406 /nfs/dbraw/zinc/32/74/06/410327406.db2.gz ZOQRSZPNGGJCBA-UHFFFAOYSA-N 1 2 320.414 1.444 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CCOCC3(CC3)C2)cc1 ZINC000329492194 410327411 /nfs/dbraw/zinc/32/74/11/410327411.db2.gz ZOQRSZPNGGJCBA-UHFFFAOYSA-N 1 2 320.414 1.444 20 30 DDEDLO O=C(N[C@H]1CCCS(=O)(=O)C1)[C@H](C1CC1)[NH+]1CCCC1 ZINC000329543908 410352756 /nfs/dbraw/zinc/35/27/56/410352756.db2.gz YOFWLSRFHHGBJX-STQMWFEESA-N 1 2 300.424 1.395 20 30 DDEDLO C#CC[N@H+](Cc1ccccc1)[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000298571541 410294357 /nfs/dbraw/zinc/29/43/57/410294357.db2.gz QLKHJONYLXBAQV-KRWDZBQOSA-N 1 2 308.385 1.661 20 30 DDEDLO C#CC[N@@H+](Cc1ccccc1)[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000298571541 410294362 /nfs/dbraw/zinc/29/43/62/410294362.db2.gz QLKHJONYLXBAQV-KRWDZBQOSA-N 1 2 308.385 1.661 20 30 DDEDLO O=C(NC[C@@H]1CCCn2cc[nH+]c21)N[C@H]1CCCCNC1=O ZINC000329474617 410317500 /nfs/dbraw/zinc/31/75/00/410317500.db2.gz AXEKOADGQFCUHW-RYUDHWBXSA-N 1 2 305.382 1.773 20 30 DDEDLO CN(Cc1[nH+]ccn1C)C(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C ZINC000355308460 410431134 /nfs/dbraw/zinc/43/11/34/410431134.db2.gz BPHBADYYVCPYEG-MRXNPFEDSA-N 1 2 320.393 1.640 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@H]1CCc2[nH+]c(C(C)(C)C)cn2C1 ZINC000358716326 410531746 /nfs/dbraw/zinc/53/17/46/410531746.db2.gz AQHDLFHNFURHDU-NEPJUHHUSA-N 1 2 306.410 1.342 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2ccccc2[S@@](=O)CC)CC1 ZINC000299344444 410588801 /nfs/dbraw/zinc/58/88/01/410588801.db2.gz SDAXRCIBEJCGGD-QFIPXVFZSA-N 1 2 318.442 1.595 20 30 DDEDLO COc1cc(CNC(=O)[C@@H]2CCn3cc[nH+]c3C2)ccc1C#N ZINC000358966875 410538897 /nfs/dbraw/zinc/53/88/97/410538897.db2.gz BRUBREILNVLORQ-CYBMUJFWSA-N 1 2 310.357 1.642 20 30 DDEDLO COC(=O)[C@H](CC(C)C)NC(=O)C[NH+]1CCC(F)(C#N)CC1 ZINC000352479104 410660152 /nfs/dbraw/zinc/66/01/52/410660152.db2.gz AOOLQQNKUJAKHG-LBPRGKRZSA-N 1 2 313.373 1.018 20 30 DDEDLO CN1CC[C@@H]([N@H+](C)Cc2ccc(C#N)cc2OC(F)F)C1=O ZINC000352699468 410675238 /nfs/dbraw/zinc/67/52/38/410675238.db2.gz RDWMBNVCKPXZGP-GFCCVEGCSA-N 1 2 309.316 1.822 20 30 DDEDLO CN1CC[C@@H]([N@@H+](C)Cc2ccc(C#N)cc2OC(F)F)C1=O ZINC000352699468 410675245 /nfs/dbraw/zinc/67/52/45/410675245.db2.gz RDWMBNVCKPXZGP-GFCCVEGCSA-N 1 2 309.316 1.822 20 30 DDEDLO C[C@@H](C#N)S(=O)(=O)NCc1ccc(Cn2cc[nH+]c2)cc1 ZINC000352411918 410655278 /nfs/dbraw/zinc/65/52/78/410655278.db2.gz AFHJQXUSKHVXQK-LBPRGKRZSA-N 1 2 304.375 1.263 20 30 DDEDLO Cc1ccc(N2CC[NH+](CC(=O)N3CCCC3)CC2)nc1C#N ZINC000302703581 410846600 /nfs/dbraw/zinc/84/66/00/410846600.db2.gz JAYGIPWNBYBTIP-UHFFFAOYSA-N 1 2 313.405 1.006 20 30 DDEDLO N#Cc1ccc(C[NH+]2CCN(C(=O)N[C@@H]3CCOC3)CC2)cc1 ZINC000337655826 410923025 /nfs/dbraw/zinc/92/30/25/410923025.db2.gz OXIAGDVXTSOYAT-MRXNPFEDSA-N 1 2 314.389 1.174 20 30 DDEDLO COC[C@H]([NH2+]C1CCN(c2ccc(C#N)cc2)CC1)C(=O)OC ZINC000348428592 410962367 /nfs/dbraw/zinc/96/23/67/410962367.db2.gz ZPBLWQDIFMISTG-INIZCTEOSA-N 1 2 317.389 1.305 20 30 DDEDLO COc1cc(C[N@H+](C)[C@H](C)CC#N)cc(OC)c1OCC(N)=O ZINC000353415401 410972400 /nfs/dbraw/zinc/97/24/00/410972400.db2.gz HUUTVQVNTFNNGQ-LLVKDONJSA-N 1 2 321.377 1.302 20 30 DDEDLO COc1cc(C[N@@H+](C)[C@H](C)CC#N)cc(OC)c1OCC(N)=O ZINC000353415401 410972407 /nfs/dbraw/zinc/97/24/07/410972407.db2.gz HUUTVQVNTFNNGQ-LLVKDONJSA-N 1 2 321.377 1.302 20 30 DDEDLO C[C@H](Cn1cc[nH+]c1)NC(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C ZINC000356355880 410983049 /nfs/dbraw/zinc/98/30/49/410983049.db2.gz XXFNWVOHLKMORG-WBMJQRKESA-N 1 2 320.393 1.649 20 30 DDEDLO CC(C)N1CC[N@H+](CCC(=O)Nc2cccc(C#N)c2)CC1=O ZINC000356395277 411006640 /nfs/dbraw/zinc/00/66/40/411006640.db2.gz UEYPHXAEOJHNHO-UHFFFAOYSA-N 1 2 314.389 1.439 20 30 DDEDLO CC(C)N1CC[N@@H+](CCC(=O)Nc2cccc(C#N)c2)CC1=O ZINC000356395277 411006650 /nfs/dbraw/zinc/00/66/50/411006650.db2.gz UEYPHXAEOJHNHO-UHFFFAOYSA-N 1 2 314.389 1.439 20 30 DDEDLO CC(C)OC[C@@H](O)C[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000341813964 411060990 /nfs/dbraw/zinc/06/09/90/411060990.db2.gz LUWUBKBMKHJYBH-IRXDYDNUSA-N 1 2 304.390 1.717 20 30 DDEDLO CC(C)OC[C@@H](O)C[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000341813964 411060994 /nfs/dbraw/zinc/06/09/94/411060994.db2.gz LUWUBKBMKHJYBH-IRXDYDNUSA-N 1 2 304.390 1.717 20 30 DDEDLO N#Cc1csc(C[N@H+]2CC[C@@H](C(=O)NCC(F)(F)F)C2)n1 ZINC000356416394 411018853 /nfs/dbraw/zinc/01/88/53/411018853.db2.gz FEEXRERZFLWOFA-MRVPVSSYSA-N 1 2 318.324 1.515 20 30 DDEDLO N#Cc1csc(C[N@@H+]2CC[C@@H](C(=O)NCC(F)(F)F)C2)n1 ZINC000356416394 411018859 /nfs/dbraw/zinc/01/88/59/411018859.db2.gz FEEXRERZFLWOFA-MRVPVSSYSA-N 1 2 318.324 1.515 20 30 DDEDLO C[C@H](C#N)CN(C)C(=O)C1CC[NH+](Cc2nccn2C)CC1 ZINC000360314462 411125570 /nfs/dbraw/zinc/12/55/70/411125570.db2.gz UCVWZMBPOGIOAU-CYBMUJFWSA-N 1 2 303.410 1.250 20 30 DDEDLO N#Cc1cnc(NC[C@@H](c2cccs2)[NH+]2CCOCC2)cn1 ZINC000132993407 196302837 /nfs/dbraw/zinc/30/28/37/196302837.db2.gz LMRXIBHGKIUTHF-ZDUSSCGKSA-N 1 2 315.402 1.895 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)ncc1C#N ZINC000603132323 416632686 /nfs/dbraw/zinc/63/26/86/416632686.db2.gz FSYYZHIIWBRGPR-OKILXGFUSA-N 1 2 315.377 1.246 20 30 DDEDLO Cc1cc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)ncc1C#N ZINC000603132323 416632690 /nfs/dbraw/zinc/63/26/90/416632690.db2.gz FSYYZHIIWBRGPR-OKILXGFUSA-N 1 2 315.377 1.246 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)N[C@@H]2CCN(c3cccc[nH+]3)C2)n1 ZINC000611075676 416661211 /nfs/dbraw/zinc/66/12/11/416661211.db2.gz XWVLDEWLMKUVJL-GFCCVEGCSA-N 1 2 311.349 1.087 20 30 DDEDLO N#Cc1c(N2CCC([C@H](O)c3[nH]cc[nH+]3)CC2)nc2ccccn12 ZINC000366507607 418482816 /nfs/dbraw/zinc/48/28/16/418482816.db2.gz VGEKRNAKYQGCEK-HNNXBMFYSA-N 1 2 322.372 1.879 20 30 DDEDLO Cn1cc[nH+]c1[C@@H]1CCN(C(=O)Cc2ccc(OCC#N)cc2)C1 ZINC000374371061 418524745 /nfs/dbraw/zinc/52/47/45/418524745.db2.gz XYGPSGICPLRSOS-OAHLLOKOSA-N 1 2 324.384 1.881 20 30 DDEDLO Cc1oc(C#N)cc1C(=O)N1CCCC[C@@H]1C[NH+]1CCOCC1 ZINC000373907336 418470374 /nfs/dbraw/zinc/47/03/74/418470374.db2.gz XZDLEMBCXNSCRK-CQSZACIVSA-N 1 2 317.389 1.787 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC[C@](F)(c2ccccc2)C1 ZINC000374540191 418538849 /nfs/dbraw/zinc/53/88/49/418538849.db2.gz WGNZPGKMCDGQFO-SUMWQHHRSA-N 1 2 319.380 1.957 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@](F)(c2ccccc2)C1 ZINC000374540191 418538851 /nfs/dbraw/zinc/53/88/51/418538851.db2.gz WGNZPGKMCDGQFO-SUMWQHHRSA-N 1 2 319.380 1.957 20 30 DDEDLO C=CC[N@@H+](CCOC)CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000191099375 222097067 /nfs/dbraw/zinc/09/70/67/222097067.db2.gz KZKTUQDPMHFZQH-UHFFFAOYSA-N 1 2 307.350 1.976 20 30 DDEDLO C=CC[N@H+](CCOC)CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000191099375 222097070 /nfs/dbraw/zinc/09/70/70/222097070.db2.gz KZKTUQDPMHFZQH-UHFFFAOYSA-N 1 2 307.350 1.976 20 30 DDEDLO C#CCC(C)(C)C(=O)NC[C@]1([NH+]2CCOCC2)CCCOC1 ZINC000375126961 418608103 /nfs/dbraw/zinc/60/81/03/418608103.db2.gz QSLVVWFJTQUWEH-QGZVFWFLSA-N 1 2 308.422 1.034 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCSc1nc(N)c(C#N)cc1C#N ZINC000331308039 418615160 /nfs/dbraw/zinc/61/51/60/418615160.db2.gz BFOHXFTYGIDKQL-SNVBAGLBSA-N 1 2 303.391 1.220 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCSc1nc(N)c(C#N)cc1C#N ZINC000331308039 418615163 /nfs/dbraw/zinc/61/51/63/418615163.db2.gz BFOHXFTYGIDKQL-SNVBAGLBSA-N 1 2 303.391 1.220 20 30 DDEDLO C=CCN(CC=C)C(=O)[C@@H](C)O[NH+]=C(N)[C@H](C)OCC(C)C ZINC000267490557 222387425 /nfs/dbraw/zinc/38/74/25/222387425.db2.gz ZJVCELPTQBITEN-UONOGXRCSA-N 1 2 311.426 1.925 20 30 DDEDLO COc1ccccc1N1CCC[C@@H]([NH+]=c2cc[nH]c(C#N)c2)C1=O ZINC000367729370 418627678 /nfs/dbraw/zinc/62/76/78/418627678.db2.gz PEIKSXPINCHMTD-OAHLLOKOSA-N 1 2 322.368 1.991 20 30 DDEDLO C#CCCS(=O)(=O)NCc1cccc(-c2[nH+]ccn2C)c1 ZINC000377385135 418709968 /nfs/dbraw/zinc/70/99/68/418709968.db2.gz QFMHUZWGRAXUNP-UHFFFAOYSA-N 1 2 303.387 1.530 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CCCN(Cc2[nH+]ccn2CC)CC1 ZINC000377901934 418716779 /nfs/dbraw/zinc/71/67/79/418716779.db2.gz OXDTXHPVSMSMJD-OAHLLOKOSA-N 1 2 317.437 1.532 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CCC[N@@H+](Cc2nccn2CC)CC1 ZINC000377901934 418716780 /nfs/dbraw/zinc/71/67/80/418716780.db2.gz OXDTXHPVSMSMJD-OAHLLOKOSA-N 1 2 317.437 1.532 20 30 DDEDLO C#CC[C@@H](C)NC(=O)N1CCC[N@H+](Cc2nccn2CC)CC1 ZINC000377901934 418716783 /nfs/dbraw/zinc/71/67/83/418716783.db2.gz OXDTXHPVSMSMJD-OAHLLOKOSA-N 1 2 317.437 1.532 20 30 DDEDLO C#CCCCS(=O)(=O)NCC[N@@H+]1CCc2sccc2C1 ZINC000375587581 418658294 /nfs/dbraw/zinc/65/82/94/418658294.db2.gz ZFDJHNDEVZBONM-UHFFFAOYSA-N 1 2 312.460 1.439 20 30 DDEDLO C#CCCCS(=O)(=O)NCC[N@H+]1CCc2sccc2C1 ZINC000375587581 418658295 /nfs/dbraw/zinc/65/82/95/418658295.db2.gz ZFDJHNDEVZBONM-UHFFFAOYSA-N 1 2 312.460 1.439 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCCCC[C@@H]1C(=O)OC ZINC000367966306 418665573 /nfs/dbraw/zinc/66/55/73/418665573.db2.gz YODLUCNGKHCVOB-UONOGXRCSA-N 1 2 309.410 1.412 20 30 DDEDLO CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCCCC[C@@H]1C(=O)OC ZINC000367966306 418665575 /nfs/dbraw/zinc/66/55/75/418665575.db2.gz YODLUCNGKHCVOB-UONOGXRCSA-N 1 2 309.410 1.412 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@H+]1CC[C@](F)(c2cccc(C)c2)C1 ZINC000376643518 418699706 /nfs/dbraw/zinc/69/97/06/418699706.db2.gz NZBKSQFDRNJPFA-QGZVFWFLSA-N 1 2 319.380 1.877 20 30 DDEDLO C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@](F)(c2cccc(C)c2)C1 ZINC000376643518 418699708 /nfs/dbraw/zinc/69/97/08/418699708.db2.gz NZBKSQFDRNJPFA-QGZVFWFLSA-N 1 2 319.380 1.877 20 30 DDEDLO COC(=O)C1(O)CC[NH+](Cc2cn3ccccc3c2C#N)CC1 ZINC000370541408 418745893 /nfs/dbraw/zinc/74/58/93/418745893.db2.gz FOGFBTUKGLGMKR-UHFFFAOYSA-N 1 2 313.357 1.311 20 30 DDEDLO O=C1CO[C@H]2CC[N@@H+](CC#Cc3cccc(Cl)c3)C[C@H]2N1 ZINC000370712217 418747417 /nfs/dbraw/zinc/74/74/17/418747417.db2.gz ZUBWNXRZSZFASL-CABCVRRESA-N 1 2 304.777 1.281 20 30 DDEDLO O=C1CO[C@H]2CC[N@H+](CC#Cc3cccc(Cl)c3)C[C@H]2N1 ZINC000370712217 418747419 /nfs/dbraw/zinc/74/74/19/418747419.db2.gz ZUBWNXRZSZFASL-CABCVRRESA-N 1 2 304.777 1.281 20 30 DDEDLO Cn1c[nH+]c2c1CCN(S(=O)(=O)c1ccc(F)c(C#N)c1)C2 ZINC000364125695 418773896 /nfs/dbraw/zinc/77/38/96/418773896.db2.gz FWQVMENZLHGHLC-UHFFFAOYSA-N 1 2 320.349 1.178 20 30 DDEDLO N#C[C@@H]1CN(C(=O)NCc2ccn3cc[nH+]c3c2)CCN1C1CC1 ZINC000364402763 418776525 /nfs/dbraw/zinc/77/65/25/418776525.db2.gz KWEZZNPLSIUQMR-OAHLLOKOSA-N 1 2 324.388 1.216 20 30 DDEDLO CCn1cc[nH+]c1CN1CCCN(C(=O)CSCC#N)CC1 ZINC000368684372 418724899 /nfs/dbraw/zinc/72/48/99/418724899.db2.gz KBISRDQDBZCLTD-UHFFFAOYSA-N 1 2 321.450 1.194 20 30 DDEDLO C#CCSCCNC(=O)N1CCC[C@H](c2[nH+]ccn2C)C1 ZINC000368916728 418726648 /nfs/dbraw/zinc/72/66/48/418726648.db2.gz SZJUQGIUFKHWNL-ZDUSSCGKSA-N 1 2 306.435 1.676 20 30 DDEDLO CC(C)(C)C[N@H+](CCC#N)CC(=O)N1CCOC[C@H]1C1CC1 ZINC000371383848 418788619 /nfs/dbraw/zinc/78/86/19/418788619.db2.gz HJBDWAJJTCWMQB-HNNXBMFYSA-N 1 2 307.438 1.886 20 30 DDEDLO CC(C)(C)C[N@@H+](CCC#N)CC(=O)N1CCOC[C@H]1C1CC1 ZINC000371383848 418788621 /nfs/dbraw/zinc/78/86/21/418788621.db2.gz HJBDWAJJTCWMQB-HNNXBMFYSA-N 1 2 307.438 1.886 20 30 DDEDLO C=CC[C@@H](C)NC(=O)N1CCC[N@H+](CC(=O)NC(C)(C)C)CC1 ZINC000371732021 418812320 /nfs/dbraw/zinc/81/23/20/418812320.db2.gz IXIOEBDSHPDAHL-CQSZACIVSA-N 1 2 324.469 1.583 20 30 DDEDLO C=CC[C@@H](C)NC(=O)N1CCC[N@@H+](CC(=O)NC(C)(C)C)CC1 ZINC000371732021 418812322 /nfs/dbraw/zinc/81/23/22/418812322.db2.gz IXIOEBDSHPDAHL-CQSZACIVSA-N 1 2 324.469 1.583 20 30 DDEDLO COc1ccc(C#N)cc1C[N@@H+]1CCN2C(=O)N(C)C[C@H]2C1 ZINC000365820375 418912830 /nfs/dbraw/zinc/91/28/30/418912830.db2.gz NCRVOVRLNQCAOD-AWEZNQCLSA-N 1 2 300.362 1.118 20 30 DDEDLO COc1ccc(C#N)cc1C[N@H+]1CCN2C(=O)N(C)C[C@H]2C1 ZINC000365820375 418912832 /nfs/dbraw/zinc/91/28/32/418912832.db2.gz NCRVOVRLNQCAOD-AWEZNQCLSA-N 1 2 300.362 1.118 20 30 DDEDLO Cc1cc(NCCCS(=O)(=O)c2ccccc2)c(C#N)c[nH+]1 ZINC000425204947 228390264 /nfs/dbraw/zinc/39/02/64/228390264.db2.gz ATGFLJYWBUJLTJ-UHFFFAOYSA-N 1 2 315.398 1.960 20 30 DDEDLO C=CCNC(=O)C[N@H+]1Cc2ccc(OC)cc2OC(C)(C)C1 ZINC000421045311 419466531 /nfs/dbraw/zinc/46/65/31/419466531.db2.gz UNPBKTBEQQSMCC-UHFFFAOYSA-N 1 2 304.390 1.970 20 30 DDEDLO C=CCNC(=O)C[N@@H+]1Cc2ccc(OC)cc2OC(C)(C)C1 ZINC000421045311 419466539 /nfs/dbraw/zinc/46/65/39/419466539.db2.gz UNPBKTBEQQSMCC-UHFFFAOYSA-N 1 2 304.390 1.970 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@]2(C1)CC(=O)c1ccccc1O2 ZINC000411991594 419550378 /nfs/dbraw/zinc/55/03/78/419550378.db2.gz UKRBCOWUGCMHOH-ACJLOTCBSA-N 1 2 314.385 1.787 20 30 DDEDLO C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@]2(C1)CC(=O)c1ccccc1O2 ZINC000411991594 419550386 /nfs/dbraw/zinc/55/03/86/419550386.db2.gz UKRBCOWUGCMHOH-ACJLOTCBSA-N 1 2 314.385 1.787 20 30 DDEDLO N#Cc1ncccc1S(=O)(=O)NCc1ccn2cc[nH+]c2c1 ZINC000428391091 419859249 /nfs/dbraw/zinc/85/92/49/419859249.db2.gz QAPHQLVMYZWCKI-UHFFFAOYSA-N 1 2 313.342 1.079 20 30 DDEDLO CC(C)(C[NH+]1CCOCC1)NC(=O)NCc1ccc(C#N)s1 ZINC000422226586 419888773 /nfs/dbraw/zinc/88/87/73/419888773.db2.gz DJOFVQIQHRHPER-UHFFFAOYSA-N 1 2 322.434 1.530 20 30 DDEDLO CCC[N@H+](CC(N)=O)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000429163958 419980641 /nfs/dbraw/zinc/98/06/41/419980641.db2.gz WDGLQRSKUVTLNE-UHFFFAOYSA-N 1 2 308.769 1.347 20 30 DDEDLO CCC[N@@H+](CC(N)=O)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000429163958 419980644 /nfs/dbraw/zinc/98/06/44/419980644.db2.gz WDGLQRSKUVTLNE-UHFFFAOYSA-N 1 2 308.769 1.347 20 30 DDEDLO COCCCNC(=O)C[N@H+](C)Cc1ccc(C#N)cc1Cl ZINC000439449068 420513203 /nfs/dbraw/zinc/51/32/03/420513203.db2.gz HTPLXCOLTOJULA-UHFFFAOYSA-N 1 2 309.797 1.796 20 30 DDEDLO COCCCNC(=O)C[N@@H+](C)Cc1ccc(C#N)cc1Cl ZINC000439449068 420513205 /nfs/dbraw/zinc/51/32/05/420513205.db2.gz HTPLXCOLTOJULA-UHFFFAOYSA-N 1 2 309.797 1.796 20 30 DDEDLO N#Cc1ccc(CNC(=O)C2([NH+]3CCOCC3)CCC2)cc1F ZINC000456836603 420551674 /nfs/dbraw/zinc/55/16/74/420551674.db2.gz LUSAXUJQXGRQAN-UHFFFAOYSA-N 1 2 317.364 1.568 20 30 DDEDLO CCN1CC[NH+](CC(C)(C)NC(=O)c2ccnc(C#N)c2)CC1 ZINC000456885908 420562018 /nfs/dbraw/zinc/56/20/18/420562018.db2.gz STZKBFCPOYMWTF-UHFFFAOYSA-N 1 2 315.421 1.099 20 30 DDEDLO C[C@H]1COCC[N@@H+]1CCNC(=O)NCC1CCC(C#N)CC1 ZINC000440995518 420626811 /nfs/dbraw/zinc/62/68/11/420626811.db2.gz DIZVTNQERNVEJN-NFOMZHRRSA-N 1 2 308.426 1.336 20 30 DDEDLO C[C@H]1COCC[N@H+]1CCNC(=O)NCC1CCC(C#N)CC1 ZINC000440995518 420626815 /nfs/dbraw/zinc/62/68/15/420626815.db2.gz DIZVTNQERNVEJN-NFOMZHRRSA-N 1 2 308.426 1.336 20 30 DDEDLO CC#CCCNC(=O)N1CC[C@](C)([NH2+]CC(=O)OC(C)(C)C)C1 ZINC000442645163 420691987 /nfs/dbraw/zinc/69/19/87/420691987.db2.gz FXIRFNOZPPRVEE-KRWDZBQOSA-N 1 2 323.437 1.505 20 30 DDEDLO C[C@@H](Cn1cc[nH+]c1)NC(=O)NCc1ccc(C#N)c(F)c1 ZINC000454345855 420842841 /nfs/dbraw/zinc/84/28/41/420842841.db2.gz WPTGAOITIFEVNW-NSHDSACASA-N 1 2 301.325 1.782 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CC[C@H]([N@H+](C)Cc2ncccn2)C1 ZINC000458992748 420777531 /nfs/dbraw/zinc/77/75/31/420777531.db2.gz WIXGQMPRUDDHQE-AWEZNQCLSA-N 1 2 317.437 1.905 20 30 DDEDLO C=CC(C)(C)CNC(=O)N1CC[C@H]([N@@H+](C)Cc2ncccn2)C1 ZINC000458992748 420777533 /nfs/dbraw/zinc/77/75/33/420777533.db2.gz WIXGQMPRUDDHQE-AWEZNQCLSA-N 1 2 317.437 1.905 20 30 DDEDLO N#CC1(C(=O)Nc2ccc3c(c2)C[N@H+](CC(N)=O)CC3)CCC1 ZINC000447677766 420793058 /nfs/dbraw/zinc/79/30/58/420793058.db2.gz WARIADPSRMQNOG-UHFFFAOYSA-N 1 2 312.373 1.162 20 30 DDEDLO N#CC1(C(=O)Nc2ccc3c(c2)C[N@@H+](CC(N)=O)CC3)CCC1 ZINC000447677766 420793061 /nfs/dbraw/zinc/79/30/61/420793061.db2.gz WARIADPSRMQNOG-UHFFFAOYSA-N 1 2 312.373 1.162 20 30 DDEDLO CC(C)(CNC(=O)N[C@H]1CC[C@@H](CC#N)C1)[NH+]1CCOCC1 ZINC000454162009 420809482 /nfs/dbraw/zinc/80/94/82/420809482.db2.gz GVESXSUUCIQHJW-KBPBESRZSA-N 1 2 308.426 1.479 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)/C=C/c1ccccc1[N+](=O)[O-] ZINC000493826586 420951152 /nfs/dbraw/zinc/95/11/52/420951152.db2.gz RMYHYYLEYKJCCF-HVHJFMEUSA-N 1 2 302.334 1.568 20 30 DDEDLO C=CCN(c1nc(NC)[nH+]c(N(CC=C)C2CC2)n1)C1CC1 ZINC000485994601 420990078 /nfs/dbraw/zinc/99/00/78/420990078.db2.gz RMKOJRXPESMJPW-UHFFFAOYSA-N 1 2 300.410 1.645 20 30 DDEDLO C=CCN(c1nc(NC)nc(N(CC=C)C2CC2)[nH+]1)C1CC1 ZINC000485994601 420990080 /nfs/dbraw/zinc/99/00/80/420990080.db2.gz RMKOJRXPESMJPW-UHFFFAOYSA-N 1 2 300.410 1.645 20 30 DDEDLO C=CCn1cccc1C(=O)N(CC1CC1)C[C@@H]1C[N@H+](C)CCO1 ZINC000488877545 421123808 /nfs/dbraw/zinc/12/38/08/421123808.db2.gz KLOUDIZNHLQRLK-INIZCTEOSA-N 1 2 317.433 1.857 20 30 DDEDLO C=CCn1cccc1C(=O)N(CC1CC1)C[C@@H]1C[N@@H+](C)CCO1 ZINC000488877545 421123811 /nfs/dbraw/zinc/12/38/11/421123811.db2.gz KLOUDIZNHLQRLK-INIZCTEOSA-N 1 2 317.433 1.857 20 30 DDEDLO C=CCn1cccc1C(=O)N1CCN(C)[C@H](c2[nH+]ccn2C)C1 ZINC000488909279 421125142 /nfs/dbraw/zinc/12/51/42/421125142.db2.gz ASVJMYKZUNYSHG-HNNXBMFYSA-N 1 2 313.405 1.537 20 30 DDEDLO C=C[C@@H]1CCCC[N@H+]1Cc1nnnn1CCOCC(F)(F)F ZINC000489433637 421155913 /nfs/dbraw/zinc/15/59/13/421155913.db2.gz SFDRPXQJYODMDQ-LLVKDONJSA-N 1 2 319.331 1.793 20 30 DDEDLO C=C[C@@H]1CCCC[N@@H+]1Cc1nnnn1CCOCC(F)(F)F ZINC000489433637 421155917 /nfs/dbraw/zinc/15/59/17/421155917.db2.gz SFDRPXQJYODMDQ-LLVKDONJSA-N 1 2 319.331 1.793 20 30 DDEDLO COCC[N@H+](CC(=O)Nc1cccc(C#N)c1)[C@H]1CCOC1 ZINC000490075007 421187746 /nfs/dbraw/zinc/18/77/46/421187746.db2.gz WLYDKYVFFYUUES-HNNXBMFYSA-N 1 2 303.362 1.234 20 30 DDEDLO COCC[N@@H+](CC(=O)Nc1cccc(C#N)c1)[C@H]1CCOC1 ZINC000490075007 421187749 /nfs/dbraw/zinc/18/77/49/421187749.db2.gz WLYDKYVFFYUUES-HNNXBMFYSA-N 1 2 303.362 1.234 20 30 DDEDLO COCC[N@H+](CC(=O)Nc1oc(C)c(C)c1C#N)[C@@H]1CCOC1 ZINC000490071887 421188080 /nfs/dbraw/zinc/18/80/80/421188080.db2.gz MGKXIOHDVQPNRV-CYBMUJFWSA-N 1 2 321.377 1.444 20 30 DDEDLO COCC[N@@H+](CC(=O)Nc1oc(C)c(C)c1C#N)[C@@H]1CCOC1 ZINC000490071887 421188081 /nfs/dbraw/zinc/18/80/81/421188081.db2.gz MGKXIOHDVQPNRV-CYBMUJFWSA-N 1 2 321.377 1.444 20 30 DDEDLO COC(=O)C[N@@H+]1CCC[C@@H](CNc2nc(C)ccc2C#N)C1 ZINC000450542129 421208260 /nfs/dbraw/zinc/20/82/60/421208260.db2.gz UYUDVTRRTFCUCS-ZDUSSCGKSA-N 1 2 302.378 1.559 20 30 DDEDLO COC(=O)C[N@H+]1CCC[C@@H](CNc2nc(C)ccc2C#N)C1 ZINC000450542129 421208262 /nfs/dbraw/zinc/20/82/62/421208262.db2.gz UYUDVTRRTFCUCS-ZDUSSCGKSA-N 1 2 302.378 1.559 20 30 DDEDLO C=CCNc1ncc(C(=O)N[C@H]2C[C@H](C)n3cc[nH+]c32)s1 ZINC000560777359 421293592 /nfs/dbraw/zinc/29/35/92/421293592.db2.gz ZNVWUVMYZCPALJ-UWVGGRQHSA-N 1 2 303.391 2.373 20 30 DDEDLO Cc1nc2sccn2c1C[N@H+](C)CC(=O)NC1(C#N)CCC1 ZINC000527377089 421383631 /nfs/dbraw/zinc/38/36/31/421383631.db2.gz GYEXDWOCVAKLJY-UHFFFAOYSA-N 1 2 317.418 1.699 20 30 DDEDLO Cc1nc2sccn2c1C[N@@H+](C)CC(=O)NC1(C#N)CCC1 ZINC000527377089 421383635 /nfs/dbraw/zinc/38/36/35/421383635.db2.gz GYEXDWOCVAKLJY-UHFFFAOYSA-N 1 2 317.418 1.699 20 30 DDEDLO N#Cc1ccc(NC(=O)NCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1F ZINC000562606532 421386996 /nfs/dbraw/zinc/38/69/96/421386996.db2.gz DVUCUROTPZVYGR-OKILXGFUSA-N 1 2 318.352 1.682 20 30 DDEDLO N#Cc1ccc(NC(=O)NCC[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1F ZINC000562606532 421386999 /nfs/dbraw/zinc/38/69/99/421386999.db2.gz DVUCUROTPZVYGR-OKILXGFUSA-N 1 2 318.352 1.682 20 30 DDEDLO Cc1noc([C@H](C)[NH2+]C[C@H](O)COc2ccc(CC#N)cc2)n1 ZINC000516684350 421563174 /nfs/dbraw/zinc/56/31/74/421563174.db2.gz CIQYCQQBYZWKNG-FZMZJTMJSA-N 1 2 316.361 1.535 20 30 DDEDLO C[NH+](C)C[C@](C)(C#N)NC(=O)c1cccc([C@H]2CCOC2)c1 ZINC000566563252 421606704 /nfs/dbraw/zinc/60/67/04/421606704.db2.gz AZEXKPQMYUCILK-RDJZCZTQSA-N 1 2 301.390 1.764 20 30 DDEDLO Cc1cc(=O)[nH]c2cc(C(=O)N[C@@](C)(C#N)C[NH+](C)C)ccc12 ZINC000567617502 421613230 /nfs/dbraw/zinc/61/32/30/421613230.db2.gz LXAXVTZBJQXIBW-KRWDZBQOSA-N 1 2 312.373 1.823 20 30 DDEDLO C[C@H](C[NH+]1CCN(C)CC1)NC(=O)N1CCC(CCC#N)CC1 ZINC000567729235 421614721 /nfs/dbraw/zinc/61/47/21/421614721.db2.gz QGMKCZFHEFVWMJ-OAHLLOKOSA-N 1 2 321.469 1.348 20 30 DDEDLO COC(=O)c1cc([C@@H](C)[NH+]2CCN(C(=O)CC#N)CC2)oc1C ZINC000568175621 421617835 /nfs/dbraw/zinc/61/78/35/421617835.db2.gz CKKGLXXHQVNQSC-LLVKDONJSA-N 1 2 319.361 1.494 20 30 DDEDLO CCn1nccc1N1CCC[C@@H]([NH2+]C[C@@H](C#N)CCC#N)C1=O ZINC000570897905 421674860 /nfs/dbraw/zinc/67/48/60/421674860.db2.gz UZICGLHUUSXVHZ-ZIAGYGMSSA-N 1 2 314.393 1.432 20 30 DDEDLO CC(C)C(=O)Nc1cc(C(=O)N[C@](C)(C#N)C[NH+](C)C)ccn1 ZINC000570932510 421676885 /nfs/dbraw/zinc/67/68/85/421676885.db2.gz ITJMPSCWBQKYRH-MRXNPFEDSA-N 1 2 317.393 1.250 20 30 DDEDLO Cn1cc(C#N)c(NC(=O)[C@@H](c2ccc(Cl)cc2)[NH+](C)C)n1 ZINC000572055180 421761493 /nfs/dbraw/zinc/76/14/93/421761493.db2.gz FTOHQRZVRMIICF-CYBMUJFWSA-N 1 2 317.780 2.187 20 30 DDEDLO CN1CC[NH+](C[C@H](Nc2cc(C#N)ncn2)c2ccccc2)CC1 ZINC000580870992 421851108 /nfs/dbraw/zinc/85/11/08/421851108.db2.gz XVAALHMDGMJGHB-KRWDZBQOSA-N 1 2 322.416 1.749 20 30 DDEDLO C=CCOCCCC(=O)N1CCc2[nH]c[nH+]c2C12CCOCC2 ZINC000633526422 421952343 /nfs/dbraw/zinc/95/23/43/421952343.db2.gz QGYGRGKZCDBMQY-UHFFFAOYSA-N 1 2 319.405 1.783 20 30 DDEDLO C[NH+](C)C[C@@](C)(C#N)NC(=O)c1ccc(OC2CCCC2)nc1 ZINC000573806355 422020262 /nfs/dbraw/zinc/02/02/62/422020262.db2.gz DABHEZYTUXOIPE-QGZVFWFLSA-N 1 2 316.405 1.977 20 30 DDEDLO C[C@H]1C[N@H+](CC(=O)NCc2cccc(C#N)c2)CC2(CCC2)O1 ZINC000581820624 422047643 /nfs/dbraw/zinc/04/76/43/422047643.db2.gz OCDGIIXJMBDJNN-AWEZNQCLSA-N 1 2 313.401 1.818 20 30 DDEDLO C[C@H]1C[N@@H+](CC(=O)NCc2cccc(C#N)c2)CC2(CCC2)O1 ZINC000581820624 422047648 /nfs/dbraw/zinc/04/76/48/422047648.db2.gz OCDGIIXJMBDJNN-AWEZNQCLSA-N 1 2 313.401 1.818 20 30 DDEDLO CC[C@@H](CC#N)[NH2+]CC(=O)Nc1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000583449725 422168638 /nfs/dbraw/zinc/16/86/38/422168638.db2.gz UPEICPACIYPMLR-VIFPVBQESA-N 1 2 320.305 1.544 20 30 DDEDLO C=CCNC(=O)Cn1cc(Cn2c[nH+]c3cc(C)c(C)cc32)nn1 ZINC000630609264 422218171 /nfs/dbraw/zinc/21/81/71/422218171.db2.gz OXJJKFJEISLMLL-UHFFFAOYSA-N 1 2 324.388 1.595 20 30 DDEDLO N#Cc1cc(Br)ccc1C[NH2+][C@]1(C(N)=O)CCOC1 ZINC000575134946 422266259 /nfs/dbraw/zinc/26/62/59/422266259.db2.gz MYPPYBRSZRYHHR-CYBMUJFWSA-N 1 2 324.178 1.055 20 30 DDEDLO CCc1nc(C#N)cc(NCc2ccc(N3CCCC3)[nH+]c2)n1 ZINC000596072517 422361550 /nfs/dbraw/zinc/36/15/50/422361550.db2.gz DTUFPSBIQPOKNG-UHFFFAOYSA-N 1 2 308.389 2.518 20 30 DDEDLO C=CCN(Cc1ccccc1)C(=O)[C@H](C)N1CC[NH2+]CC1=O ZINC000637931012 422487102 /nfs/dbraw/zinc/48/71/02/422487102.db2.gz CCNQZNSUWRTUJQ-AWEZNQCLSA-N 1 2 301.390 1.022 20 30 DDEDLO C=CCN(CCc1ccccc1)C(=O)[C@@H](C)N1CC[NH2+]CC1=O ZINC000637951833 422502945 /nfs/dbraw/zinc/50/29/45/422502945.db2.gz HCXVKNINVSEGCU-OAHLLOKOSA-N 1 2 315.417 1.064 20 30 DDEDLO CC#CC[NH2+]Cc1cn(CC(=O)Nc2ccc(C)c(C)c2)nn1 ZINC000640760945 423168794 /nfs/dbraw/zinc/16/87/94/423168794.db2.gz XCZVQNNZDDTQJL-UHFFFAOYSA-N 1 2 311.389 1.647 20 30 DDEDLO CC(=O)N(C)C1CC[NH+]([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000115537452 263337927 /nfs/dbraw/zinc/33/79/27/263337927.db2.gz QNPRVXCQVXAOPT-INIZCTEOSA-N 1 2 314.389 1.027 20 30 DDEDLO CC#CC[NH2+]Cc1cn([C@@H](C)C(=O)Nc2nccs2)nn1 ZINC000640925932 423279948 /nfs/dbraw/zinc/27/99/48/423279948.db2.gz OKRLBOCUJNRHAJ-JTQLQIEISA-N 1 2 304.379 1.047 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2nc(CCC(C)C)no2)nn1 ZINC000641000845 423319264 /nfs/dbraw/zinc/31/92/64/423319264.db2.gz MWLYBGHLAUXBJJ-UHFFFAOYSA-N 1 2 302.382 1.411 20 30 DDEDLO CC#CC[NH2+]Cc1cn(Cc2cn(-c3ccccc3)nn2)nn1 ZINC000641027354 423333334 /nfs/dbraw/zinc/33/33/34/423333334.db2.gz ZKHNSUIKGDPKSM-UHFFFAOYSA-N 1 2 307.361 1.020 20 30 DDEDLO C=CCn1cc(C(=O)N2CC[C@@H](C)[C@@H](n3cc[nH+]c3)C2)nn1 ZINC000648823792 423481123 /nfs/dbraw/zinc/48/11/23/423481123.db2.gz RMDRZAUOSPGYFW-OCCSQVGLSA-N 1 2 300.366 1.384 20 30 DDEDLO C=CCCn1cc(C[NH+]2CCC(O[C@@H]3CCOC3)CC2)nn1 ZINC000653565003 423531912 /nfs/dbraw/zinc/53/19/12/423531912.db2.gz WFMNMGSWKGIBJS-MRXNPFEDSA-N 1 2 306.410 1.624 20 30 DDEDLO C=CCN1CC[C@H]([N@H+]2CCN(C)C[C@@H]2Cc2ccccc2)C1=O ZINC000649827128 424225752 /nfs/dbraw/zinc/22/57/52/424225752.db2.gz INXUYECAVUCHSO-ROUUACIJSA-N 1 2 313.445 1.632 20 30 DDEDLO C=CCN1CC[C@H]([N@@H+]2CCN(C)C[C@@H]2Cc2ccccc2)C1=O ZINC000649827128 424225755 /nfs/dbraw/zinc/22/57/55/424225755.db2.gz INXUYECAVUCHSO-ROUUACIJSA-N 1 2 313.445 1.632 20 30 DDEDLO C=CCCn1cc(C[NH2+][C@@H]2CCN(C3CCCCC3)C2=O)nn1 ZINC000657399558 424327758 /nfs/dbraw/zinc/32/77/58/424327758.db2.gz LBVRHHTUHQREBF-MRXNPFEDSA-N 1 2 317.437 1.877 20 30 DDEDLO C=C[C@H](CO)[NH2+]Cc1nnc(-c2ccc(Br)o2)o1 ZINC000662167500 424452037 /nfs/dbraw/zinc/45/20/37/424452037.db2.gz GQKXMPNLYIJWRF-SSDOTTSWSA-N 1 2 314.139 1.729 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@@H](c1[nH+]ccn1C)c1ccccc1 ZINC000660286905 424624443 /nfs/dbraw/zinc/62/44/43/424624443.db2.gz KDWJQTLYSPMTGT-ZIAGYGMSSA-N 1 2 300.362 1.356 20 30 DDEDLO CCOCC[C@H](O)C[N@@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000369318708 266283413 /nfs/dbraw/zinc/28/34/13/266283413.db2.gz GOUFERNSDXORCK-IRXDYDNUSA-N 1 2 304.390 1.719 20 30 DDEDLO CCOCC[C@H](O)C[N@H+]1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000369318708 266283416 /nfs/dbraw/zinc/28/34/16/266283416.db2.gz GOUFERNSDXORCK-IRXDYDNUSA-N 1 2 304.390 1.719 20 30 DDEDLO COc1cc(C[NH+]2CC(n3cc([C@@H](C)O)nn3)C2)ccc1C#N ZINC000375583287 266809612 /nfs/dbraw/zinc/80/96/12/266809612.db2.gz NNYFOCGYWJTZSL-LLVKDONJSA-N 1 2 313.361 1.269 20 30 DDEDLO C[C@@H]1COCC[N@@H+]1CCNC(=O)Nc1ccc(F)c(C#N)c1 ZINC000356049221 267068037 /nfs/dbraw/zinc/06/80/37/267068037.db2.gz QWOIFKGWEBNRDR-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@@H]1COCC[N@H+]1CCNC(=O)Nc1ccc(F)c(C#N)c1 ZINC000356049221 267068041 /nfs/dbraw/zinc/06/80/41/267068041.db2.gz QWOIFKGWEBNRDR-LLVKDONJSA-N 1 2 306.341 1.540 20 30 DDEDLO C[C@H](CNS(=O)(=O)c1cccc(C#N)c1)Cn1cc[nH+]c1 ZINC000360501624 267163347 /nfs/dbraw/zinc/16/33/47/267163347.db2.gz VOCCGCRLPTYNTJ-GFCCVEGCSA-N 1 2 304.375 1.369 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1C[C@H](C)[N@@H+](C)C[C@@H]1C ZINC000350801879 267309450 /nfs/dbraw/zinc/30/94/50/267309450.db2.gz LMVRCBGXEQGAQG-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1C[C@H](C)[N@H+](C)C[C@@H]1C ZINC000350801879 267309453 /nfs/dbraw/zinc/30/94/53/267309453.db2.gz LMVRCBGXEQGAQG-STQMWFEESA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1C[C@@H](C)[N@@H+](C)C[C@H]1C ZINC000350801872 267309668 /nfs/dbraw/zinc/30/96/68/267309668.db2.gz LMVRCBGXEQGAQG-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cc(C#N)ccc1S(=O)(=O)N1C[C@@H](C)[N@H+](C)C[C@H]1C ZINC000350801872 267309670 /nfs/dbraw/zinc/30/96/70/267309670.db2.gz LMVRCBGXEQGAQG-CHWSQXEVSA-N 1 2 307.419 1.580 20 30 DDEDLO Cc1cn2c([nH+]1)CN(C(=O)[C@@H](C)Oc1ccccc1C#N)CC2 ZINC000371703422 267531752 /nfs/dbraw/zinc/53/17/52/267531752.db2.gz LTDGSJFNCFCEQF-CYBMUJFWSA-N 1 2 310.357 1.873 20 30 DDEDLO CCN1CCN(C(=O)NC2CCOCC2)C[C@H]1c1[nH]cc[nH+]1 ZINC000328635201 276379896 /nfs/dbraw/zinc/37/98/96/276379896.db2.gz URCUHLMWIUBJNH-ZDUSSCGKSA-N 1 2 307.398 1.181 20 30 DDEDLO CCN1CC[NH+]([C@@H]2CCN(C(=O)N[C@@H]3CC[C@H](C#N)C3)C2)CC1 ZINC000333424524 276386041 /nfs/dbraw/zinc/38/60/41/276386041.db2.gz SJFKTGFASUGPCB-ARFHVFGLSA-N 1 2 319.453 1.100 20 30 DDEDLO C=C(C)[C@H]1OCCC[C@H]1C(=O)N1C[C@H]([NH+]2CCOCC2)C[C@H]1C ZINC000376175114 277802441 /nfs/dbraw/zinc/80/24/41/277802441.db2.gz SQFLBMRIOYEQQU-QBPKDAKJSA-N 1 2 322.449 1.679 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCCN1C(=O)C#Cc1ccc2c(c1)OCO2 ZINC000366721010 279379469 /nfs/dbraw/zinc/37/94/69/279379469.db2.gz KHTNQGXGKXCZBG-CQSZACIVSA-N 1 2 323.352 1.864 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1CCO[C@@]2(CCO[C@H]2C)C1 ZINC000408279741 280103000 /nfs/dbraw/zinc/10/30/00/280103000.db2.gz BWYMHQHBFRCXGW-WTTBNOFXSA-N 1 2 323.437 1.309 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CCO[C@@]2(CCO[C@H]2C)C1 ZINC000408279741 280103003 /nfs/dbraw/zinc/10/30/03/280103003.db2.gz BWYMHQHBFRCXGW-WTTBNOFXSA-N 1 2 323.437 1.309 20 30 DDEDLO CCc1ccc(C#CC(=O)N[C@@H](C)[C@H](C)[NH+]2CCOCC2)cc1 ZINC000293226443 281392513 /nfs/dbraw/zinc/39/25/13/281392513.db2.gz XURYAXCGLIAMPJ-HOTGVXAUSA-N 1 2 314.429 1.826 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)CCCC1 ZINC000352953841 303143416 /nfs/dbraw/zinc/14/34/16/303143416.db2.gz PSKOKADZPOTJMH-LBPRGKRZSA-N 1 2 308.407 1.960 20 30 DDEDLO C[C@@H]1C[N@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000535070243 303343640 /nfs/dbraw/zinc/34/36/40/303343640.db2.gz NHXGEPUMOLEHHA-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO C[C@@H]1C[N@@H+](C)[C@@H](C)CN1S(=O)(=O)c1ccc(C#N)cc1F ZINC000535070243 303343642 /nfs/dbraw/zinc/34/36/42/303343642.db2.gz NHXGEPUMOLEHHA-WDEREUQCSA-N 1 2 311.382 1.410 20 30 DDEDLO CC(=O)NCC[N@@H+](C)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000564928989 308012412 /nfs/dbraw/zinc/01/24/12/308012412.db2.gz POWCSFUCIVUGBE-UHFFFAOYSA-N 1 2 308.769 1.218 20 30 DDEDLO CC(=O)NCC[N@H+](C)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000564928989 308012414 /nfs/dbraw/zinc/01/24/14/308012414.db2.gz POWCSFUCIVUGBE-UHFFFAOYSA-N 1 2 308.769 1.218 20 30 DDEDLO CC[NH+]1CCN(C(=O)[C@H](C)Sc2cccnc2C#N)CC1 ZINC000581498872 325865598 /nfs/dbraw/zinc/86/55/98/325865598.db2.gz MHBZHAINWCQWFG-LBPRGKRZSA-N 1 2 304.419 1.598 20 30 DDEDLO N#CC1(CS(=O)(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)CCC1 ZINC000558428042 331906574 /nfs/dbraw/zinc/90/65/74/331906574.db2.gz RPOVICADJZTTKC-LBPRGKRZSA-N 1 2 308.407 1.960 20 30 DDEDLO C#CC[N@@H+](Cc1ccc(COC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000092193584 332115870 /nfs/dbraw/zinc/11/58/70/332115870.db2.gz OZWMDBLUYCIGHX-INIZCTEOSA-N 1 2 307.415 1.455 20 30 DDEDLO C#CC[N@H+](Cc1ccc(COC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000092193584 332115872 /nfs/dbraw/zinc/11/58/72/332115872.db2.gz OZWMDBLUYCIGHX-INIZCTEOSA-N 1 2 307.415 1.455 20 30 DDEDLO C[C@@H]([NH2+][C@@H](C)c1nnc2ccccn21)C(=O)NC1(C#N)CCC1 ZINC000527049988 336281415 /nfs/dbraw/zinc/28/14/15/336281415.db2.gz QUKRJYSUSMGTLT-NWDGAFQWSA-N 1 2 312.377 1.331 20 30 DDEDLO C=CCNC(=O)CN1CC[NH+](Cc2cc3cccnc3o2)CC1 ZINC000582466898 337093587 /nfs/dbraw/zinc/09/35/87/337093587.db2.gz MFKABYTWTJGAIL-UHFFFAOYSA-N 1 2 314.389 1.248 20 30 DDEDLO C=C(C)COCCNC(=O)N1CC[C@H](Oc2cc[nH+]cc2)C1 ZINC000135010929 337450353 /nfs/dbraw/zinc/45/03/53/337450353.db2.gz MZKAVQFKVUPHKU-HNNXBMFYSA-N 1 2 305.378 1.837 20 30 DDEDLO CCOC(=O)Nc1ccc(NC[C@H](O)C[N@H+](C)CCC#N)cc1 ZINC000497024778 340018481 /nfs/dbraw/zinc/01/84/81/340018481.db2.gz FZRWUUBCSONMLX-HNNXBMFYSA-N 1 2 320.393 1.873 20 30 DDEDLO CCOC(=O)Nc1ccc(NC[C@H](O)C[N@@H+](C)CCC#N)cc1 ZINC000497024778 340018482 /nfs/dbraw/zinc/01/84/82/340018482.db2.gz FZRWUUBCSONMLX-HNNXBMFYSA-N 1 2 320.393 1.873 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)Cc2cnc(N3CCCC3)nc2)C1=O ZINC000547406738 341211536 /nfs/dbraw/zinc/21/15/36/341211536.db2.gz ZIHOCEIHIQBPIB-OAHLLOKOSA-N 1 2 315.421 1.296 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)Cc2cnc(N3CCCC3)nc2)C1=O ZINC000547406738 341211537 /nfs/dbraw/zinc/21/15/37/341211537.db2.gz ZIHOCEIHIQBPIB-OAHLLOKOSA-N 1 2 315.421 1.296 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@@H+]1CCOC2(CCOCC2)C1 ZINC000135597929 341242583 /nfs/dbraw/zinc/24/25/83/341242583.db2.gz NVFWMYKSYSAQFM-HOCLYGCPSA-N 1 2 323.437 1.311 20 30 DDEDLO CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@H+]1CCOC2(CCOCC2)C1 ZINC000135597929 341242584 /nfs/dbraw/zinc/24/25/84/341242584.db2.gz NVFWMYKSYSAQFM-HOCLYGCPSA-N 1 2 323.437 1.311 20 30 DDEDLO CC[N@H+](C[C@H](C)C#N)[C@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000245771410 341314318 /nfs/dbraw/zinc/31/43/18/341314318.db2.gz KNHWXJDFMLRTTL-RKDXNWHRSA-N 1 2 308.304 1.245 20 30 DDEDLO CC[N@@H+](C[C@H](C)C#N)[C@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000245771410 341314319 /nfs/dbraw/zinc/31/43/19/341314319.db2.gz KNHWXJDFMLRTTL-RKDXNWHRSA-N 1 2 308.304 1.245 20 30 DDEDLO C[C@@H](C#N)CNC(=O)Nc1ccccc1C[NH+]1CCOCC1 ZINC000176393923 341872368 /nfs/dbraw/zinc/87/23/68/341872368.db2.gz UFQNRNKUNWHPLL-ZDUSSCGKSA-N 1 2 302.378 1.800 20 30 DDEDLO C=CCCCCCNC(=O)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000656069293 483931596 /nfs/dbraw/zinc/93/15/96/483931596.db2.gz WWOJMEBFCVEFPS-OAHLLOKOSA-N 1 2 318.421 1.909 20 30 DDEDLO C=CCCOCC(=O)NCc1ccc[nH+]c1N1CCOCC1 ZINC000146679900 484037826 /nfs/dbraw/zinc/03/78/26/484037826.db2.gz XSDXGAGUTWBVOJ-UHFFFAOYSA-N 1 2 305.378 1.127 20 30 DDEDLO C=CCOCCCNC(=O)N[C@H](c1[nH+]ccn1C)C(C)(C)CO ZINC000666513277 485250496 /nfs/dbraw/zinc/25/04/96/485250496.db2.gz NWRHPOYYFYEOPG-CYBMUJFWSA-N 1 2 324.425 1.372 20 30 DDEDLO C=C(C)C[C@H](NC(C)=O)C(=O)NCc1cn2ccc(C)cc2[nH+]1 ZINC000667001899 485393156 /nfs/dbraw/zinc/39/31/56/485393156.db2.gz YNPBSMTYSHMGHE-HNNXBMFYSA-N 1 2 314.389 1.730 20 30 DDEDLO C[C@@H](SCC[NH+]1CCOCC1)C(=O)NC(=O)NC1CC1 ZINC000328910630 490733361 /nfs/dbraw/zinc/73/33/61/490733361.db2.gz NPPHHPMQNUFWOC-SNVBAGLBSA-N 1 2 301.412 1.473 20 30 DDEDLO C=CCN1CC[C@@H]([N@@H+](C)[C@H](C)C(=O)N(C(C)C)C(C)C)C1=O ZINC000337228072 526473306 /nfs/dbraw/zinc/47/33/06/526473306.db2.gz QTHYGTRAHFHZNX-HUUCEWRRSA-N 1 2 309.454 1.739 20 30 DDEDLO C=CCN1CC[C@@H]([N@H+](C)[C@H](C)C(=O)N(C(C)C)C(C)C)C1=O ZINC000337228072 526473311 /nfs/dbraw/zinc/47/33/11/526473311.db2.gz QTHYGTRAHFHZNX-HUUCEWRRSA-N 1 2 309.454 1.739 20 30 DDEDLO C=C(C)CN(C)C(=O)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000348989944 526477843 /nfs/dbraw/zinc/47/78/43/526477843.db2.gz KROPSZMWCCAHHJ-UHFFFAOYSA-N 1 2 312.373 1.523 20 30 DDEDLO C=CCOc1ccc(C[NH+]2CC(OCC(=O)N(C)C)C2)cc1 ZINC000459593872 526866384 /nfs/dbraw/zinc/86/63/84/526866384.db2.gz PRUQOMLVFOELKI-UHFFFAOYSA-N 1 2 304.390 1.540 20 30 DDEDLO CC(=O)N[C@H]1CCCN(C(=O)NCc2ccc(N(C)C)[nH+]c2)C1 ZINC000330392843 526894514 /nfs/dbraw/zinc/89/45/14/526894514.db2.gz BODYBSWOIMXBPF-AWEZNQCLSA-N 1 2 319.409 1.162 20 30 DDEDLO C#CC[N@@H+](CC)CC(=O)Nc1cc(C)ccc1-c1n[nH]c(C)n1 ZINC000490831100 526896917 /nfs/dbraw/zinc/89/69/17/526896917.db2.gz RCQLNSGJNYUXSC-UHFFFAOYSA-N 1 2 311.389 1.982 20 30 DDEDLO C#CC[N@H+](CC)CC(=O)Nc1cc(C)ccc1-c1n[nH]c(C)n1 ZINC000490831100 526896919 /nfs/dbraw/zinc/89/69/19/526896919.db2.gz RCQLNSGJNYUXSC-UHFFFAOYSA-N 1 2 311.389 1.982 20 30 DDEDLO C#CC[NH+]1CCN(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)CC1 ZINC000490829842 526954500 /nfs/dbraw/zinc/95/45/00/526954500.db2.gz FJHHFHCAHRWPBP-UHFFFAOYSA-N 1 2 313.361 1.461 20 30 DDEDLO C#CCNC(=O)[C@H](C)N1CCc2c([nH+]cn2-c2ccc(C)cc2)C1 ZINC000491661870 527029542 /nfs/dbraw/zinc/02/95/42/527029542.db2.gz BFIZWMYOEITJEH-HNNXBMFYSA-N 1 2 322.412 1.677 20 30 DDEDLO CC(=O)Nc1nc(C(=O)NCC[N@H+]2CCOC[C@H]2C)cs1 ZINC000330628056 527095869 /nfs/dbraw/zinc/09/58/69/527095869.db2.gz YECDRPUZQRGUDB-SECBINFHSA-N 1 2 312.395 1.127 20 30 DDEDLO CC(=O)Nc1nc(C(=O)NCC[N@@H+]2CCOC[C@H]2C)cs1 ZINC000330628056 527095874 /nfs/dbraw/zinc/09/58/74/527095874.db2.gz YECDRPUZQRGUDB-SECBINFHSA-N 1 2 312.395 1.127 20 30 DDEDLO C=CCc1cc(C[NH+]2CC(OCCOC)C2)cc(OC)c1O ZINC000459476370 527237314 /nfs/dbraw/zinc/23/73/14/527237314.db2.gz XVLWNZPHYRHMKD-UHFFFAOYSA-N 1 2 307.390 1.977 20 30 DDEDLO C#C[C@@H]1COCC[N@H+]1C[C@H](O)CO[C@@H]1CCCc2ccccc21 ZINC000491738698 527311451 /nfs/dbraw/zinc/31/14/51/527311451.db2.gz PGRPGHYOKYPDMH-ZIFCJYIRSA-N 1 2 315.413 1.776 20 30 DDEDLO C#C[C@@H]1COCC[N@@H+]1C[C@H](O)CO[C@@H]1CCCc2ccccc21 ZINC000491738698 527311458 /nfs/dbraw/zinc/31/14/58/527311458.db2.gz PGRPGHYOKYPDMH-ZIFCJYIRSA-N 1 2 315.413 1.776 20 30 DDEDLO C#C[C@H](C)[N@H+](C)CC(=O)Nc1cc(Cl)ccc1-n1cncn1 ZINC000491486722 527330867 /nfs/dbraw/zinc/33/08/67/527330867.db2.gz XXGFQYOZYPQQCR-NSHDSACASA-N 1 2 317.780 1.813 20 30 DDEDLO C#C[C@H](C)[N@@H+](C)CC(=O)Nc1cc(Cl)ccc1-n1cncn1 ZINC000491486722 527330868 /nfs/dbraw/zinc/33/08/68/527330868.db2.gz XXGFQYOZYPQQCR-NSHDSACASA-N 1 2 317.780 1.813 20 30 DDEDLO C=CCn1cc(C[NH2+]Cc2cnnn2Cc2ccccc2)nn1 ZINC000424166315 527372910 /nfs/dbraw/zinc/37/29/10/527372910.db2.gz AHHODBHHRNXYNI-UHFFFAOYSA-N 1 2 309.377 1.394 20 30 DDEDLO C=CCCn1cc(C(=O)NCCc2cn3c([nH+]2)CCCC3)nn1 ZINC000424581323 527523013 /nfs/dbraw/zinc/52/30/13/527523013.db2.gz YSDYUHXUOYXULP-UHFFFAOYSA-N 1 2 314.393 1.360 20 30 DDEDLO CC1(C)C[S@](=O)CC[N@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000330967911 527772031 /nfs/dbraw/zinc/77/20/31/527772031.db2.gz AJCAGEYJFYJNSQ-JOCHJYFZSA-N 1 2 319.430 1.730 20 30 DDEDLO CC1(C)C[S@](=O)CC[N@@H+]1CCC(=O)Nc1ccc(C#N)cc1 ZINC000330967911 527772027 /nfs/dbraw/zinc/77/20/27/527772027.db2.gz AJCAGEYJFYJNSQ-JOCHJYFZSA-N 1 2 319.430 1.730 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@H+](C)Cc2ccoc2)CC1 ZINC000330648428 528336270 /nfs/dbraw/zinc/33/62/70/528336270.db2.gz NTTUVLOWBBFPQR-MRXNPFEDSA-N 1 2 318.421 1.404 20 30 DDEDLO CC(C)[C@@H](C#N)N1CCN(C(=O)C[N@@H+](C)Cc2ccoc2)CC1 ZINC000330648428 528336275 /nfs/dbraw/zinc/33/62/75/528336275.db2.gz NTTUVLOWBBFPQR-MRXNPFEDSA-N 1 2 318.421 1.404 20 30 DDEDLO CCC(=O)N1CSC[C@@H]1C(=O)N[C@@H]1CCn2cc[nH+]c2C1 ZINC000330302014 528381705 /nfs/dbraw/zinc/38/17/05/528381705.db2.gz JGRBTSDCWFBONJ-GHMZBOCLSA-N 1 2 308.407 1.466 20 30 DDEDLO CCNC(=O)NC(=O)[C@H](C)N1CCCC[C@H]1c1[nH+]ccn1CC ZINC000330452330 528826914 /nfs/dbraw/zinc/82/69/14/528826914.db2.gz KOSGMPBWTMNXJA-STQMWFEESA-N 1 2 321.425 1.869 20 30 DDEDLO CC1(C)C[N@H+](CC(=O)NC[C@@H]2CCCO2)C[C@]2(CCOC2)O1 ZINC000330100393 529112578 /nfs/dbraw/zinc/11/25/78/529112578.db2.gz DNBDTRCHSBSGDK-BBRMVZONSA-N 1 2 312.410 1.392 20 30 DDEDLO CC1(C)C[N@@H+](CC(=O)NC[C@@H]2CCCO2)C[C@]2(CCOC2)O1 ZINC000330100393 529112585 /nfs/dbraw/zinc/11/25/85/529112585.db2.gz DNBDTRCHSBSGDK-BBRMVZONSA-N 1 2 312.410 1.392 20 30 DDEDLO CC1(C)C[N@H+](CCNC(=O)COc2ccc(C#N)cc2)CCO1 ZINC000299714798 529117285 /nfs/dbraw/zinc/11/72/85/529117285.db2.gz KBLJSAQSLOEHOM-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO CC1(C)C[N@@H+](CCNC(=O)COc2ccc(C#N)cc2)CCO1 ZINC000299714798 529117287 /nfs/dbraw/zinc/11/72/87/529117287.db2.gz KBLJSAQSLOEHOM-UHFFFAOYSA-N 1 2 317.389 1.164 20 30 DDEDLO CCN1CCN(C(=O)c2ccc(CC#N)cc2)C[C@H]1c1[nH]cc[nH+]1 ZINC000433108569 529134441 /nfs/dbraw/zinc/13/44/41/529134441.db2.gz HOTFQFWNWLIKEZ-INIZCTEOSA-N 1 2 323.400 1.995 20 30 DDEDLO CCN1CCN(C(=O)c2cccc(C#N)c2)C[C@H]1c1[nH]cc[nH+]1 ZINC000328620596 529135123 /nfs/dbraw/zinc/13/51/23/529135123.db2.gz HBWFMQJGOVUEJI-HNNXBMFYSA-N 1 2 309.373 1.800 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)cc3)C2)C1 ZINC000972223550 695181082 /nfs/dbraw/zinc/18/10/82/695181082.db2.gz CCDKILPGPNKQAL-KRWDZBQOSA-N 1 2 302.349 1.376 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)cc3)C2)C1 ZINC000972223550 695181083 /nfs/dbraw/zinc/18/10/83/695181083.db2.gz CCDKILPGPNKQAL-KRWDZBQOSA-N 1 2 302.349 1.376 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)cc3)C2)C1 ZINC000972226237 695182103 /nfs/dbraw/zinc/18/21/03/695182103.db2.gz XPVZKEQKUHOVFN-KRWDZBQOSA-N 1 2 304.365 1.929 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3ccc(F)cc3)C2)C1 ZINC000972226237 695182104 /nfs/dbraw/zinc/18/21/04/695182104.db2.gz XPVZKEQKUHOVFN-KRWDZBQOSA-N 1 2 304.365 1.929 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)Cc3ccsc3)C2)C1 ZINC000972243836 695187994 /nfs/dbraw/zinc/18/79/94/695187994.db2.gz SVBNTWSSXCJNDX-MRXNPFEDSA-N 1 2 304.415 1.227 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)Cc3ccsc3)C2)C1 ZINC000972243836 695187996 /nfs/dbraw/zinc/18/79/96/695187996.db2.gz SVBNTWSSXCJNDX-MRXNPFEDSA-N 1 2 304.415 1.227 20 30 DDEDLO C=CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)oc3C)C2)C1 ZINC000972253683 695192059 /nfs/dbraw/zinc/19/20/59/695192059.db2.gz RPTHTNCEPPHKQJ-QGZVFWFLSA-N 1 2 304.390 1.999 20 30 DDEDLO C=CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(C)oc3C)C2)C1 ZINC000972253683 695192061 /nfs/dbraw/zinc/19/20/61/695192061.db2.gz RPTHTNCEPPHKQJ-QGZVFWFLSA-N 1 2 304.390 1.999 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)/C=C(/C)C3CC3)C2)C1 ZINC000972282199 695203607 /nfs/dbraw/zinc/20/36/07/695203607.db2.gz PCAPGUCLPUHRRJ-NZHZHZHDSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)/C=C(/C)C3CC3)C2)C1 ZINC000972282199 695203611 /nfs/dbraw/zinc/20/36/11/695203611.db2.gz PCAPGUCLPUHRRJ-NZHZHZHDSA-N 1 2 302.418 1.669 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)C1 ZINC000972320822 695215454 /nfs/dbraw/zinc/21/54/54/695215454.db2.gz QOQRLABYQFYIIJ-FAJBIJEISA-N 1 2 316.445 1.749 20 30 DDEDLO CC#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)C1 ZINC000972320822 695215456 /nfs/dbraw/zinc/21/54/56/695215456.db2.gz QOQRLABYQFYIIJ-FAJBIJEISA-N 1 2 316.445 1.749 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3CC(C)(C)CO3)C2)C1 ZINC000972463798 695257075 /nfs/dbraw/zinc/25/70/75/695257075.db2.gz XTSIJOKKRAPHFG-YJBOKZPZSA-N 1 2 320.433 1.128 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C[C@H]3CC(C)(C)CO3)C2)C1 ZINC000972463798 695257077 /nfs/dbraw/zinc/25/70/77/695257077.db2.gz XTSIJOKKRAPHFG-YJBOKZPZSA-N 1 2 320.433 1.128 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)c3cc(F)c[nH]3)C2)C1 ZINC000972469439 695258254 /nfs/dbraw/zinc/25/82/54/695258254.db2.gz KJQGLLKRUYBLLG-MRXNPFEDSA-N 1 2 305.353 1.094 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)c3cc(F)c[nH]3)C2)C1 ZINC000972469439 695258257 /nfs/dbraw/zinc/25/82/57/695258257.db2.gz KJQGLLKRUYBLLG-MRXNPFEDSA-N 1 2 305.353 1.094 20 30 DDEDLO C=CC[N@@H+]1CCO[C@@]2(CCN(C(=O)c3cccnc3C)C2)C1 ZINC000972528882 695273691 /nfs/dbraw/zinc/27/36/91/695273691.db2.gz VEEBMOWPLGXKBT-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO C=CC[N@H+]1CCO[C@@]2(CCN(C(=O)c3cccnc3C)C2)C1 ZINC000972528882 695273692 /nfs/dbraw/zinc/27/36/92/695273692.db2.gz VEEBMOWPLGXKBT-KRWDZBQOSA-N 1 2 301.390 1.493 20 30 DDEDLO C#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3ccsc3)C2)C1 ZINC000972547498 695278826 /nfs/dbraw/zinc/27/88/26/695278826.db2.gz BNMMHVYHTUYESI-WMLDXEAASA-N 1 2 318.442 1.788 20 30 DDEDLO C#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H](C)c3ccsc3)C2)C1 ZINC000972547498 695278828 /nfs/dbraw/zinc/27/88/28/695278828.db2.gz BNMMHVYHTUYESI-WMLDXEAASA-N 1 2 318.442 1.788 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)C3(C(C)(F)F)CC3)C2)C1 ZINC000972553286 695280757 /nfs/dbraw/zinc/28/07/57/695280757.db2.gz DLHNYJUGSJLCDV-HNNXBMFYSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)C3(C(C)(F)F)CC3)C2)C1 ZINC000972553286 695280758 /nfs/dbraw/zinc/28/07/58/695280758.db2.gz DLHNYJUGSJLCDV-HNNXBMFYSA-N 1 2 312.360 1.358 20 30 DDEDLO C#CC[N@@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3CC(C)C)C2)C1 ZINC000972563182 695284052 /nfs/dbraw/zinc/28/40/52/695284052.db2.gz NVZXHLDYEMKONX-NUJGCVRESA-N 1 2 304.434 1.605 20 30 DDEDLO C#CC[N@H+]1CCO[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3CC(C)C)C2)C1 ZINC000972563182 695284056 /nfs/dbraw/zinc/28/40/56/695284056.db2.gz NVZXHLDYEMKONX-NUJGCVRESA-N 1 2 304.434 1.605 20 30 DDEDLO CC#CC[N@@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CC34CCCC4)C2)C1 ZINC000972623596 695301774 /nfs/dbraw/zinc/30/17/74/695301774.db2.gz AZAWCIGQGKTUEO-QFBILLFUSA-N 1 2 316.445 1.893 20 30 DDEDLO CC#CC[N@H+]1CCO[C@]2(CCN(C(=O)[C@@H]3CC34CCCC4)C2)C1 ZINC000972623596 695301777 /nfs/dbraw/zinc/30/17/77/695301777.db2.gz AZAWCIGQGKTUEO-QFBILLFUSA-N 1 2 316.445 1.893 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@]3(C2)C[N@H+](CC=C)CCO3)nc1 ZINC000972639375 695305697 /nfs/dbraw/zinc/30/56/97/695305697.db2.gz SHNHIIKXMZCKQP-SFHVURJKSA-N 1 2 311.385 1.166 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[C@@]3(C2)C[N@@H+](CC=C)CCO3)nc1 ZINC000972639375 695305701 /nfs/dbraw/zinc/30/57/01/695305701.db2.gz SHNHIIKXMZCKQP-SFHVURJKSA-N 1 2 311.385 1.166 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCOC2)C(C)(C)C1 ZINC000972850863 695342453 /nfs/dbraw/zinc/34/24/53/695342453.db2.gz BKESDXXKVZSBGV-QWHCGFSZSA-N 1 2 300.830 1.992 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@H]2CCCOC2)C(C)(C)C1 ZINC000972850863 695342456 /nfs/dbraw/zinc/34/24/56/695342456.db2.gz BKESDXXKVZSBGV-QWHCGFSZSA-N 1 2 300.830 1.992 20 30 DDEDLO C#CCC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)C(C)(C)C1 ZINC000974603722 695694448 /nfs/dbraw/zinc/69/44/48/695694448.db2.gz NTKKCXWFZJTAJD-HUUCEWRRSA-N 1 2 314.433 1.680 20 30 DDEDLO C#CCC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCc3cn[nH]c32)C(C)(C)C1 ZINC000974603722 695694451 /nfs/dbraw/zinc/69/44/51/695694451.db2.gz NTKKCXWFZJTAJD-HUUCEWRRSA-N 1 2 314.433 1.680 20 30 DDEDLO CC#CC[N@H+]1C[C@@H](NC(=O)c2snnc2CC)C(C)(C)C1 ZINC000974787034 695734249 /nfs/dbraw/zinc/73/42/49/695734249.db2.gz CRHLIXKPRWYBRW-GFCCVEGCSA-N 1 2 306.435 1.564 20 30 DDEDLO CC#CC[N@@H+]1C[C@@H](NC(=O)c2snnc2CC)C(C)(C)C1 ZINC000974787034 695734251 /nfs/dbraw/zinc/73/42/51/695734251.db2.gz CRHLIXKPRWYBRW-GFCCVEGCSA-N 1 2 306.435 1.564 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)[C@@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974947512 695764436 /nfs/dbraw/zinc/76/44/36/695764436.db2.gz LPCWFLCNSFSSGZ-NWDGAFQWSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974947512 695764438 /nfs/dbraw/zinc/76/44/38/695764438.db2.gz LPCWFLCNSFSSGZ-NWDGAFQWSA-N 1 2 313.829 1.044 20 30 DDEDLO C=C(Cl)C[N@@H+]1C[C@@H](NC(=O)C[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977316424 696113175 /nfs/dbraw/zinc/11/31/75/696113175.db2.gz MPPJOKVUIQJFJX-NWDGAFQWSA-N 1 2 313.829 1.234 20 30 DDEDLO C=C(Cl)C[N@H+]1C[C@@H](NC(=O)C[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977316424 696113177 /nfs/dbraw/zinc/11/31/77/696113177.db2.gz MPPJOKVUIQJFJX-NWDGAFQWSA-N 1 2 313.829 1.234 20 30 DDEDLO Cc1conc1C[N@H+]1CCCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000981669358 696867422 /nfs/dbraw/zinc/86/74/22/696867422.db2.gz MSMZKBFITJAAQW-LBPRGKRZSA-N 1 2 302.378 1.567 20 30 DDEDLO Cc1conc1C[N@@H+]1CCCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000981669358 696867424 /nfs/dbraw/zinc/86/74/24/696867424.db2.gz MSMZKBFITJAAQW-LBPRGKRZSA-N 1 2 302.378 1.567 20 30 DDEDLO CCc1cnc(C[N@@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC000981670175 696868201 /nfs/dbraw/zinc/86/82/01/696868201.db2.gz NFHURLFKKYWNKS-ZDUSSCGKSA-N 1 2 316.405 1.821 20 30 DDEDLO CCc1cnc(C[N@H+]2CCCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)o1 ZINC000981670175 696868205 /nfs/dbraw/zinc/86/82/05/696868205.db2.gz NFHURLFKKYWNKS-ZDUSSCGKSA-N 1 2 316.405 1.821 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCCN(C(=O)[C@H]3CCOC3)CC2)s1 ZINC000980802128 696895001 /nfs/dbraw/zinc/89/50/01/696895001.db2.gz MOCRKNSFZIYRFX-ZDUSSCGKSA-N 1 2 319.430 1.691 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCCN(C(=O)[C@H]3CCOC3)CC2)s1 ZINC000980802128 696895004 /nfs/dbraw/zinc/89/50/04/696895004.db2.gz MOCRKNSFZIYRFX-ZDUSSCGKSA-N 1 2 319.430 1.691 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)CCCn2cc[nH+]c2)c1 ZINC000748622342 700129493 /nfs/dbraw/zinc/12/94/93/700129493.db2.gz FMLSKMBVRFAVMP-UHFFFAOYSA-N 1 2 324.384 1.742 20 30 DDEDLO CC(C)n1ncnc1C[N@H+](C)C1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC000985338471 697493404 /nfs/dbraw/zinc/49/34/04/697493404.db2.gz IUAHAXHFTYIYAT-ZDUSSCGKSA-N 1 2 318.425 1.441 20 30 DDEDLO CC(C)n1ncnc1C[N@@H+](C)C1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC000985338471 697493405 /nfs/dbraw/zinc/49/34/05/697493405.db2.gz IUAHAXHFTYIYAT-ZDUSSCGKSA-N 1 2 318.425 1.441 20 30 DDEDLO COC(=O)[C@@]1(NC(=O)CC#N)CCC[N@H+](Cc2ccccc2)C1 ZINC000773282942 697738120 /nfs/dbraw/zinc/73/81/20/697738120.db2.gz AEPHOLUMFGKLDM-QGZVFWFLSA-N 1 2 315.373 1.224 20 30 DDEDLO COC(=O)[C@@]1(NC(=O)CC#N)CCC[N@@H+](Cc2ccccc2)C1 ZINC000773282942 697738123 /nfs/dbraw/zinc/73/81/23/697738123.db2.gz AEPHOLUMFGKLDM-QGZVFWFLSA-N 1 2 315.373 1.224 20 30 DDEDLO CCCc1noc(C[NH2+][C@H]2CCN(C(=O)C#CC3CC3)[C@H]2C)n1 ZINC000986274807 697763268 /nfs/dbraw/zinc/76/32/68/697763268.db2.gz FIPRFSFXUBWHFZ-JSGCOSHPSA-N 1 2 316.405 1.515 20 30 DDEDLO C=CC[N@@H+](CC(=O)OCC)CC1CN(C(=O)OC(C)(C)C)C1 ZINC000800334431 700216363 /nfs/dbraw/zinc/21/63/63/700216363.db2.gz KGOTUVSHDUUFFD-UHFFFAOYSA-N 1 2 312.410 1.904 20 30 DDEDLO C=CC[N@H+](CC(=O)OCC)CC1CN(C(=O)OC(C)(C)C)C1 ZINC000800334431 700216365 /nfs/dbraw/zinc/21/63/65/700216365.db2.gz KGOTUVSHDUUFFD-UHFFFAOYSA-N 1 2 312.410 1.904 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@@H+]1CC[C@@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000800369290 700219087 /nfs/dbraw/zinc/21/90/87/700219087.db2.gz KWZOSYFKTMPGBN-YNEHKIRRSA-N 1 2 312.410 1.949 20 30 DDEDLO C=C[C@H](C(=O)OC)[N@H+]1CC[C@@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000800369290 700219088 /nfs/dbraw/zinc/21/90/88/700219088.db2.gz KWZOSYFKTMPGBN-YNEHKIRRSA-N 1 2 312.410 1.949 20 30 DDEDLO C[C@@H]1C[NH+]=C(NN=Cc2cn(C)nc2-c2ccc(C#N)cc2)N1 ZINC000779799233 698467399 /nfs/dbraw/zinc/46/73/99/698467399.db2.gz JMQAAJANANGIFQ-LLVKDONJSA-N 1 2 307.361 1.230 20 30 DDEDLO COc1cc(C[NH2+]Cc2ccc(C#N)cn2)c([N+](=O)[O-])cc1O ZINC000780559409 698531441 /nfs/dbraw/zinc/53/14/41/698531441.db2.gz ZSBVQODJVPRCOS-UHFFFAOYSA-N 1 2 314.301 1.865 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc(OC2COC2)cc1 ZINC000781738711 698646463 /nfs/dbraw/zinc/64/64/63/698646463.db2.gz XEDLVOYFRNEGOV-INIZCTEOSA-N 1 2 300.358 1.500 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc(OC2COC2)cc1 ZINC000781738711 698646467 /nfs/dbraw/zinc/64/64/67/698646467.db2.gz XEDLVOYFRNEGOV-INIZCTEOSA-N 1 2 300.358 1.500 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)OCCCO2 ZINC000730095410 699500419 /nfs/dbraw/zinc/50/04/19/699500419.db2.gz ANKQEIVOZWLUBK-AWEZNQCLSA-N 1 2 300.358 1.884 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1ccc2c(c1)OCCCO2 ZINC000730095410 699500420 /nfs/dbraw/zinc/50/04/20/699500420.db2.gz ANKQEIVOZWLUBK-AWEZNQCLSA-N 1 2 300.358 1.884 20 30 DDEDLO CC#CCOC(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1c[nH+]cn1C ZINC000796088728 699911409 /nfs/dbraw/zinc/91/14/09/699911409.db2.gz SWUWUBNMGVCGOS-CZUORRHYSA-N 1 2 317.389 1.676 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@@H+]2CCO[C@H](C(=O)OC)C2)c1O ZINC000754726518 700522696 /nfs/dbraw/zinc/52/26/96/700522696.db2.gz KIUJVWAJOZGUEI-HNNXBMFYSA-N 1 2 321.373 1.503 20 30 DDEDLO C=CCc1cc(OC)cc(C[N@H+]2CCO[C@H](C(=O)OC)C2)c1O ZINC000754726518 700522698 /nfs/dbraw/zinc/52/26/98/700522698.db2.gz KIUJVWAJOZGUEI-HNNXBMFYSA-N 1 2 321.373 1.503 20 30 DDEDLO C[S@](=O)CC[NH+]1CCN(CC#Cc2ccc(Cl)cc2)CC1 ZINC000755950874 700595325 /nfs/dbraw/zinc/59/53/25/700595325.db2.gz XHFZYZGCXQHUGO-NRFANRHFSA-N 1 2 324.877 1.688 20 30 DDEDLO C=C(Br)CNC(=O)N1CC[C@H](c2[nH+]ccn2C)C1 ZINC000756053148 700600780 /nfs/dbraw/zinc/60/07/80/700600780.db2.gz MQGLALGVAWMJNG-JTQLQIEISA-N 1 2 313.199 1.828 20 30 DDEDLO C#CCCOC(=O)CCC(=O)N1CCN(c2cccc[nH+]2)CC1 ZINC000766288688 701045437 /nfs/dbraw/zinc/04/54/37/701045437.db2.gz ZUGPJSFZHNJRMA-UHFFFAOYSA-N 1 2 315.373 1.077 20 30 DDEDLO C#CCC[NH+]1CCN(S(=O)(=O)CCc2cccs2)CC1 ZINC000767490483 701110707 /nfs/dbraw/zinc/11/07/07/701110707.db2.gz LCIDLVDJHBKZDQ-UHFFFAOYSA-N 1 2 312.460 1.261 20 30 DDEDLO C#CC[N@H+]1CCC[C@H]1C(=O)Nc1nc2ccc(F)cc2n1C ZINC000768554772 701186767 /nfs/dbraw/zinc/18/67/67/701186767.db2.gz VHZSGAKTBFLHQO-ZDUSSCGKSA-N 1 2 300.337 1.749 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1nc2ccc(F)cc2n1C ZINC000768554772 701186768 /nfs/dbraw/zinc/18/67/68/701186768.db2.gz VHZSGAKTBFLHQO-ZDUSSCGKSA-N 1 2 300.337 1.749 20 30 DDEDLO C[C@H](C#N)Oc1ccc(C[NH2+]Cc2nnnn2CC2CC2)cc1 ZINC000769934719 701261364 /nfs/dbraw/zinc/26/13/64/701261364.db2.gz OELSUZVCNHSOAL-GFCCVEGCSA-N 1 2 312.377 1.664 20 30 DDEDLO NC(=[NH+]OCc1nnn(CC(F)(F)F)n1)c1ccc(F)cc1 ZINC000809993351 701710061 /nfs/dbraw/zinc/71/00/61/701710061.db2.gz IVWVNRPRORDEOK-UHFFFAOYSA-N 1 2 318.234 1.212 20 30 DDEDLO Cc1nn(C)c(N)c1C=[NH+]Nc1ccc(S(C)(=O)=O)cc1 ZINC000814802446 701751705 /nfs/dbraw/zinc/75/17/05/701751705.db2.gz IBEHMBSNBDCWSJ-UHFFFAOYSA-N 1 2 307.379 1.160 20 30 DDEDLO N#CC1(COC(=O)[C@@H]2CCC[N@@H+]2Cc2ccccc2)COC1 ZINC000811047785 701902912 /nfs/dbraw/zinc/90/29/12/701902912.db2.gz VYZUVPSWXWMLPE-HNNXBMFYSA-N 1 2 300.358 1.734 20 30 DDEDLO N#CC1(COC(=O)[C@@H]2CCC[N@H+]2Cc2ccccc2)COC1 ZINC000811047785 701902918 /nfs/dbraw/zinc/90/29/18/701902918.db2.gz VYZUVPSWXWMLPE-HNNXBMFYSA-N 1 2 300.358 1.734 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC[N@@H+](CCC(=O)OC)[C@@H](C)C1 ZINC000815937135 701970791 /nfs/dbraw/zinc/97/07/91/701970791.db2.gz JKSULACOHMZUBG-NSHDSACASA-N 1 2 304.337 1.294 20 30 DDEDLO C=CCC(F)(F)C(=O)N1CC[N@H+](CCC(=O)OC)[C@@H](C)C1 ZINC000815937135 701970794 /nfs/dbraw/zinc/97/07/94/701970794.db2.gz JKSULACOHMZUBG-NSHDSACASA-N 1 2 304.337 1.294 20 30 DDEDLO C[C@@H](O)CNc1cc(NCCNc2ccc(C#N)cn2)[nH+]cn1 ZINC000840240541 702039287 /nfs/dbraw/zinc/03/92/87/702039287.db2.gz CSWXDVAFYDIAMX-LLVKDONJSA-N 1 2 313.365 1.060 20 30 DDEDLO C[C@@H](O)CNc1cc(NCCNc2ccc(C#N)cn2)nc[nH+]1 ZINC000840240541 702039293 /nfs/dbraw/zinc/03/92/93/702039293.db2.gz CSWXDVAFYDIAMX-LLVKDONJSA-N 1 2 313.365 1.060 20 30 DDEDLO C#Cc1cccc(NC(=O)CN(C)C(=O)C[NH+]2CC(C)(C)C2)c1 ZINC000816891011 702209379 /nfs/dbraw/zinc/20/93/79/702209379.db2.gz GCKJZOYTILSAPW-UHFFFAOYSA-N 1 2 313.401 1.407 20 30 DDEDLO CO[C@H]1CC[C@H](C)[N@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000879323078 706649679 /nfs/dbraw/zinc/64/96/79/706649679.db2.gz XBHBTHOHKYOGEO-ZFWWWQNUSA-N 1 2 322.430 1.831 20 30 DDEDLO CO[C@H]1CC[C@H](C)[N@@H+](CCS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000879323078 706649682 /nfs/dbraw/zinc/64/96/82/706649682.db2.gz XBHBTHOHKYOGEO-ZFWWWQNUSA-N 1 2 322.430 1.831 20 30 DDEDLO C#CCNC(=O)C[N@H+]1Cc2ccc(OC)cc2OC(C)(C)C1 ZINC000817299134 702334905 /nfs/dbraw/zinc/33/49/05/702334905.db2.gz VQVHORICBIGFMO-UHFFFAOYSA-N 1 2 302.374 1.418 20 30 DDEDLO C#CCNC(=O)C[N@@H+]1Cc2ccc(OC)cc2OC(C)(C)C1 ZINC000817299134 702334913 /nfs/dbraw/zinc/33/49/13/702334913.db2.gz VQVHORICBIGFMO-UHFFFAOYSA-N 1 2 302.374 1.418 20 30 DDEDLO CC(C)[C@@H](O[NH+]=C(N)c1ccc2c(c1)CCO2)C(=O)N(C)C ZINC000842036071 702660684 /nfs/dbraw/zinc/66/06/84/702660684.db2.gz RNRVSRPREPIRHB-CQSZACIVSA-N 1 2 305.378 1.371 20 30 DDEDLO CCc1cc(=O)[nH]c(C[N@H+](C)C[C@@H](O)c2cccc(C#N)c2)n1 ZINC000844367228 703020391 /nfs/dbraw/zinc/02/03/91/703020391.db2.gz STQJUECBEDOZCP-OAHLLOKOSA-N 1 2 312.373 1.782 20 30 DDEDLO CCc1cc(=O)[nH]c(C[N@@H+](C)C[C@@H](O)c2cccc(C#N)c2)n1 ZINC000844367228 703020392 /nfs/dbraw/zinc/02/03/92/703020392.db2.gz STQJUECBEDOZCP-OAHLLOKOSA-N 1 2 312.373 1.782 20 30 DDEDLO N#Cc1cc(F)ccc1N1CCN(CC[NH+]2CCOCC2)CC1 ZINC000879589392 706729733 /nfs/dbraw/zinc/72/97/33/706729733.db2.gz SRXOVTRQNXDSEJ-UHFFFAOYSA-N 1 2 318.396 1.152 20 30 DDEDLO CCNc1ccc(C#N)cc1NC(=O)/C=C/C[NH+]1CCOCC1 ZINC000866567379 706731470 /nfs/dbraw/zinc/73/14/70/706731470.db2.gz PYNZHKZHUOEDCX-ONEGZZNKSA-N 1 2 314.389 1.817 20 30 DDEDLO C#C[C@@H]1CCC[N@@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000847030454 703368629 /nfs/dbraw/zinc/36/86/29/703368629.db2.gz NDVHAHLQLNAMMA-CQSZACIVSA-N 1 2 306.369 1.765 20 30 DDEDLO C#C[C@@H]1CCC[N@H+](Cc2nc3ccccc3c(=O)n2CC#N)C1 ZINC000847030454 703368631 /nfs/dbraw/zinc/36/86/31/703368631.db2.gz NDVHAHLQLNAMMA-CQSZACIVSA-N 1 2 306.369 1.765 20 30 DDEDLO COc1cc(C=NNCCCn2cc[nH+]c2)cc(OC)c1OC ZINC000848420365 703548463 /nfs/dbraw/zinc/54/84/63/703548463.db2.gz YAUMDLMIKGVNEI-UHFFFAOYSA-N 1 2 318.377 1.923 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CCCC[C@@H]3CO)nc[nH+]2)C1 ZINC000848751380 703584019 /nfs/dbraw/zinc/58/40/19/703584019.db2.gz YGQTXFFBTBKADS-HUUCEWRRSA-N 1 2 300.406 1.677 20 30 DDEDLO C#C[C@@H]1CCCN(c2cc(N3CCCC[C@@H]3CO)[nH+]cn2)C1 ZINC000848751380 703584020 /nfs/dbraw/zinc/58/40/20/703584020.db2.gz YGQTXFFBTBKADS-HUUCEWRRSA-N 1 2 300.406 1.677 20 30 DDEDLO CCOc1ccc(OC)cc1NC[C@H](O)C[N@H+](C)CCC#N ZINC000819438142 704125138 /nfs/dbraw/zinc/12/51/38/704125138.db2.gz QOBKQFSCWPBJRI-ZDUSSCGKSA-N 1 2 307.394 1.712 20 30 DDEDLO CCOc1ccc(OC)cc1NC[C@H](O)C[N@@H+](C)CCC#N ZINC000819438142 704125139 /nfs/dbraw/zinc/12/51/39/704125139.db2.gz QOBKQFSCWPBJRI-ZDUSSCGKSA-N 1 2 307.394 1.712 20 30 DDEDLO Cc1[nH+]cc(C=NN2C(=S)N=NC2[C@H]2CCCCO2)n1C ZINC000853312910 704232508 /nfs/dbraw/zinc/23/25/08/704232508.db2.gz JEZNQIAVFQDRAR-LLVKDONJSA-N 1 2 306.395 1.733 20 30 DDEDLO C=CC[N@H+](CN1C[C@@H](c2ccccn2)CC1=O)[C@H](C)COC ZINC000853532888 704261324 /nfs/dbraw/zinc/26/13/24/704261324.db2.gz OTQHSLVGRTYPGT-CABCVRRESA-N 1 2 303.406 1.878 20 30 DDEDLO C=CC[N@@H+](CN1C[C@@H](c2ccccn2)CC1=O)[C@H](C)COC ZINC000853532888 704261325 /nfs/dbraw/zinc/26/13/25/704261325.db2.gz OTQHSLVGRTYPGT-CABCVRRESA-N 1 2 303.406 1.878 20 30 DDEDLO C=CC[N@H+](CN1C[C@H](c2cccnc2)CC1=O)[C@@H](C)COC ZINC000853533961 704261684 /nfs/dbraw/zinc/26/16/84/704261684.db2.gz FMCCUTPDPUYXDT-GOEBONIOSA-N 1 2 303.406 1.878 20 30 DDEDLO C=CC[N@@H+](CN1C[C@H](c2cccnc2)CC1=O)[C@@H](C)COC ZINC000853533961 704261686 /nfs/dbraw/zinc/26/16/86/704261686.db2.gz FMCCUTPDPUYXDT-GOEBONIOSA-N 1 2 303.406 1.878 20 30 DDEDLO C#CCC[N@@H+](CCOC)CN1C(=O)CC[C@H](CC(C)C)C1=O ZINC000853621020 704287760 /nfs/dbraw/zinc/28/77/60/704287760.db2.gz NIJMVTVXHOSCFY-OAHLLOKOSA-N 1 2 308.422 1.727 20 30 DDEDLO C#CCC[N@H+](CCOC)CN1C(=O)CC[C@H](CC(C)C)C1=O ZINC000853621020 704287761 /nfs/dbraw/zinc/28/77/61/704287761.db2.gz NIJMVTVXHOSCFY-OAHLLOKOSA-N 1 2 308.422 1.727 20 30 DDEDLO COc1ccc(C[N@@H+]2CC[C@H]2C(=O)NC2=NO[C@@H](C)C2)cc1 ZINC000821395304 704403335 /nfs/dbraw/zinc/40/33/35/704403335.db2.gz TZAUNURJXWPYPD-FZMZJTMJSA-N 1 2 303.362 1.508 20 30 DDEDLO COc1ccc(C[N@H+]2CC[C@H]2C(=O)NC2=NO[C@@H](C)C2)cc1 ZINC000821395304 704403336 /nfs/dbraw/zinc/40/33/36/704403336.db2.gz TZAUNURJXWPYPD-FZMZJTMJSA-N 1 2 303.362 1.508 20 30 DDEDLO C#CC[C@H](COC)NC(=O)NC1CCN(c2cccc[nH+]2)CC1 ZINC000858153422 704671155 /nfs/dbraw/zinc/67/11/55/704671155.db2.gz LPLDVIXIVGIOJW-OAHLLOKOSA-N 1 2 316.405 1.388 20 30 DDEDLO C#CC[C@H](COC)NC(=O)N1CC[C@@H](Oc2cc[nH+]cc2)C1 ZINC000859036665 704789429 /nfs/dbraw/zinc/78/94/29/704789429.db2.gz XNKATLXXHYBBMU-UKRRQHHQSA-N 1 2 303.362 1.283 20 30 DDEDLO Cc1ccc(CN2CC[NH+](CC[S@@](C)=O)CC2)cc1C#N ZINC000859195407 704817462 /nfs/dbraw/zinc/81/74/62/704817462.db2.gz OURJISWCXBBHQC-OAQYLSRUSA-N 1 2 305.447 1.363 20 30 DDEDLO CC(C)C#CC(=O)N1CC[NH+](Cc2ccc(C(N)=O)cc2)CC1 ZINC000822155000 704887195 /nfs/dbraw/zinc/88/71/95/704887195.db2.gz GHUWKTGGGJLVFW-UHFFFAOYSA-N 1 2 313.401 1.089 20 30 DDEDLO C#CCC[NH+]1CCN(C(=O)c2c(C(F)(F)F)cnn2C)CC1 ZINC000825077674 705594787 /nfs/dbraw/zinc/59/47/87/705594787.db2.gz DYYZFCIGLKMMOV-UHFFFAOYSA-N 1 2 314.311 1.220 20 30 DDEDLO C#CCN(C)C(=O)NCc1cc[nH+]c(N2CCSCC2)c1 ZINC000876037523 705600756 /nfs/dbraw/zinc/60/07/56/705600756.db2.gz JLASHVSJOOJMGG-UHFFFAOYSA-N 1 2 304.419 1.409 20 30 DDEDLO C#CCN(C)C(=O)NC[C@@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000876196565 705653810 /nfs/dbraw/zinc/65/38/10/705653810.db2.gz NLJOANBSBGYIHE-QGZVFWFLSA-N 1 2 315.417 1.470 20 30 DDEDLO C#CCN(C)C(=O)NC[C@@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000876196565 705653813 /nfs/dbraw/zinc/65/38/13/705653813.db2.gz NLJOANBSBGYIHE-QGZVFWFLSA-N 1 2 315.417 1.470 20 30 DDEDLO C[N@@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CC[C@@](O)(CC#N)C1 ZINC000876204213 705656602 /nfs/dbraw/zinc/65/66/02/705656602.db2.gz KQMPEXFWINGTBJ-WBVHZDCISA-N 1 2 314.389 1.233 20 30 DDEDLO C[N@H+]1Cc2ccccc2[C@H]1CNC(=O)N1CC[C@@](O)(CC#N)C1 ZINC000876204213 705656603 /nfs/dbraw/zinc/65/66/03/705656603.db2.gz KQMPEXFWINGTBJ-WBVHZDCISA-N 1 2 314.389 1.233 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC[C@H]1C[N@H+](Cc2ccc(C)cc2)CCO1 ZINC000826588751 705820456 /nfs/dbraw/zinc/82/04/56/705820456.db2.gz BFUKPRZIWXFZBE-IRXDYDNUSA-N 1 2 315.417 1.862 20 30 DDEDLO CC[C@@H](C#N)C(=O)NC[C@H]1C[N@@H+](Cc2ccc(C)cc2)CCO1 ZINC000826588751 705820458 /nfs/dbraw/zinc/82/04/58/705820458.db2.gz BFUKPRZIWXFZBE-IRXDYDNUSA-N 1 2 315.417 1.862 20 30 DDEDLO C#CCNC(=S)N1CC[NH+](Cc2cc3ccccc3[nH]2)CC1 ZINC000863239247 705888445 /nfs/dbraw/zinc/88/84/45/705888445.db2.gz CMDJJEWCKDRCLM-UHFFFAOYSA-N 1 2 312.442 1.793 20 30 DDEDLO C#CC[C@@H](COC)NC(=O)Cc1c[nH+]cn1Cc1ccccc1 ZINC000863804657 706017835 /nfs/dbraw/zinc/01/78/35/706017835.db2.gz RQGRPPYPRYOBHC-INIZCTEOSA-N 1 2 311.385 1.628 20 30 DDEDLO C[C@@H]1C[N@H+](Cc2cc(C#N)ccc2N(C)C)CC[S@](=O)C1 ZINC000877478666 706118515 /nfs/dbraw/zinc/11/85/15/706118515.db2.gz PLVGGNLIHZLKIE-ASSNKEHSSA-N 1 2 305.447 1.825 20 30 DDEDLO C[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2N(C)C)CC[S@](=O)C1 ZINC000877478666 706118517 /nfs/dbraw/zinc/11/85/17/706118517.db2.gz PLVGGNLIHZLKIE-ASSNKEHSSA-N 1 2 305.447 1.825 20 30 DDEDLO C=C(C)C[C@H](NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)OCC ZINC000881753192 707351912 /nfs/dbraw/zinc/35/19/12/707351912.db2.gz JOBMXGJCIVQVAB-STQMWFEESA-N 1 2 314.451 1.438 20 30 DDEDLO N#Cc1cnc(Cl)c(C[NH2+][C@H]2CCN(C3CCC3)C2=O)c1 ZINC000877661934 706173431 /nfs/dbraw/zinc/17/34/31/706173431.db2.gz JTSJMLVDPWQRFA-ZDUSSCGKSA-N 1 2 304.781 1.850 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@H+](Cc3ccc(C#N)s3)C2)C1 ZINC000877714839 706186429 /nfs/dbraw/zinc/18/64/29/706186429.db2.gz QUCWYDZRVFCJOD-AWEZNQCLSA-N 1 2 305.359 1.514 20 30 DDEDLO COC(=O)C1=NO[C@@]2(CC[N@@H+](Cc3ccc(C#N)s3)C2)C1 ZINC000877714839 706186432 /nfs/dbraw/zinc/18/64/32/706186432.db2.gz QUCWYDZRVFCJOD-AWEZNQCLSA-N 1 2 305.359 1.514 20 30 DDEDLO CC[N@@H+]1CCN(S(=O)(=O)c2ccc(C)cc2C#N)C[C@H]1C ZINC000872467420 707428266 /nfs/dbraw/zinc/42/82/66/707428266.db2.gz VQPAXDRNWKJWRZ-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO CC[N@H+]1CCN(S(=O)(=O)c2ccc(C)cc2C#N)C[C@H]1C ZINC000872467420 707428272 /nfs/dbraw/zinc/42/82/72/707428272.db2.gz VQPAXDRNWKJWRZ-CYBMUJFWSA-N 1 2 307.419 1.581 20 30 DDEDLO C=CC[N@@H+](C[C@H]1CCC(C)(C)CO1)[C@@H]1CCS(=O)(=O)C1 ZINC000878242348 706336316 /nfs/dbraw/zinc/33/63/16/706336316.db2.gz PKGYLJPQFVUMAN-ZIAGYGMSSA-N 1 2 301.452 1.867 20 30 DDEDLO C=CC[N@H+](C[C@H]1CCC(C)(C)CO1)[C@@H]1CCS(=O)(=O)C1 ZINC000878242348 706336317 /nfs/dbraw/zinc/33/63/17/706336317.db2.gz PKGYLJPQFVUMAN-ZIAGYGMSSA-N 1 2 301.452 1.867 20 30 DDEDLO CCCNc1cc(N2CCN(c3ncccc3C#N)CC2)nc[nH+]1 ZINC000865422423 706427555 /nfs/dbraw/zinc/42/75/55/706427555.db2.gz ULOROHJRWCPLJN-UHFFFAOYSA-N 1 2 323.404 1.892 20 30 DDEDLO CCCNc1cc(N2CCN(c3ncccc3C#N)CC2)[nH+]cn1 ZINC000865422423 706427556 /nfs/dbraw/zinc/42/75/56/706427556.db2.gz ULOROHJRWCPLJN-UHFFFAOYSA-N 1 2 323.404 1.892 20 30 DDEDLO Cc1cn2cc(NC(=O)N[C@H]3CCCN(O)C3=O)ccc2[nH+]1 ZINC000878565056 706428923 /nfs/dbraw/zinc/42/89/23/706428923.db2.gz DVRDOSHUSJPGAC-NSHDSACASA-N 1 2 303.322 1.144 20 30 DDEDLO N#Cc1cc([N+](=O)[O-])c(NC[C@H]2C[NH+]3CCN2CC3)cc1F ZINC000865629634 706482098 /nfs/dbraw/zinc/48/20/98/706482098.db2.gz LJZDFOUIQXNBGX-NSHDSACASA-N 1 2 305.313 1.017 20 30 DDEDLO C[C@H](CC#N)[NH2+][C@@H](C)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000878818209 706508118 /nfs/dbraw/zinc/50/81/18/706508118.db2.gz NWADMRFGGOKJRR-KGLIPLIRSA-N 1 2 316.405 1.742 20 30 DDEDLO N#Cc1ccc(C[N@@H+]2CCC[C@@H]3CCNC(=O)[C@@H]32)c([N+](=O)[O-])c1 ZINC000880522982 707001920 /nfs/dbraw/zinc/00/19/20/707001920.db2.gz BSAMFTRERQCHNH-IUODEOHRSA-N 1 2 314.345 1.567 20 30 DDEDLO N#Cc1ccc(C[N@H+]2CCC[C@@H]3CCNC(=O)[C@@H]32)c([N+](=O)[O-])c1 ZINC000880522982 707001921 /nfs/dbraw/zinc/00/19/21/707001921.db2.gz BSAMFTRERQCHNH-IUODEOHRSA-N 1 2 314.345 1.567 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCNC(=O)Nc1cccc(CC#N)n1 ZINC000881141614 707134727 /nfs/dbraw/zinc/13/47/27/707134727.db2.gz ANSKRBJPYUTIIQ-OLZOCXBDSA-N 1 2 317.393 1.378 20 30 DDEDLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCNC(=O)Nc1cccc(CC#N)n1 ZINC000881141614 707134731 /nfs/dbraw/zinc/13/47/31/707134731.db2.gz ANSKRBJPYUTIIQ-OLZOCXBDSA-N 1 2 317.393 1.378 20 30 DDEDLO COCCCNC(=S)NN=C1CCCc2[nH+]c(N)ccc21 ZINC000834926295 707149445 /nfs/dbraw/zinc/14/94/45/707149445.db2.gz ZLPOYCALGFMXRD-UHFFFAOYSA-N 1 2 307.423 1.205 20 30 DDEDLO CCOC(=O)c1cnn(Cc2[nH+]ccn2CC(F)F)c1C#N ZINC000871665649 707160979 /nfs/dbraw/zinc/16/09/79/707160979.db2.gz QUVXNQMUQJWWEQ-UHFFFAOYSA-N 1 2 309.276 1.441 20 30 DDEDLO C#C[C@@H](CCC)NS(=O)(=O)c1cccc2c1CC[N@H+](C)C2 ZINC000882133484 707511840 /nfs/dbraw/zinc/51/18/40/707511840.db2.gz RJIVUPSFTPFRLS-AWEZNQCLSA-N 1 2 306.431 1.755 20 30 DDEDLO C#C[C@@H](CCC)NS(=O)(=O)c1cccc2c1CC[N@@H+](C)C2 ZINC000882133484 707511845 /nfs/dbraw/zinc/51/18/45/707511845.db2.gz RJIVUPSFTPFRLS-AWEZNQCLSA-N 1 2 306.431 1.755 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N1CCC[C@H]1c1cccc(F)c1 ZINC000884080464 708130077 /nfs/dbraw/zinc/13/00/77/708130077.db2.gz XEWCATMPZLJFDT-GJZGRUSLSA-N 1 2 320.364 1.936 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@H](C)COc1cccc(F)c1 ZINC000884098862 708137855 /nfs/dbraw/zinc/13/78/55/708137855.db2.gz WZOUBVGCNPUWDA-RISCZKNCSA-N 1 2 324.352 1.156 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1CCCOc2ccccc21 ZINC000884113233 708145292 /nfs/dbraw/zinc/14/52/92/708145292.db2.gz KJWSQQHJLZHMSB-UONOGXRCSA-N 1 2 318.373 1.463 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)N[C@@H]1C[C@]1(F)c1ccccc1 ZINC000884369511 708267028 /nfs/dbraw/zinc/26/70/28/708267028.db2.gz GPCDNTVCOOYTBA-ZENOOKHLSA-N 1 2 306.337 1.187 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)NCCC[C@H]1CC1(Cl)Cl ZINC000884387492 708275152 /nfs/dbraw/zinc/27/51/52/708275152.db2.gz VMIONLYZIFKIGA-UWVGGRQHSA-N 1 2 323.220 1.523 20 30 DDEDLO CC1=CCC[C@H](C)[C@@H]1C[N@@H+]1C[C@@H]2CS(=O)(=O)C[C@@]2(C#N)C1 ZINC000897294666 708282207 /nfs/dbraw/zinc/28/22/07/708282207.db2.gz UEXDEKLRCNMAOY-ZJIFWQFVSA-N 1 2 308.447 1.849 20 30 DDEDLO CC1=CCC[C@H](C)[C@@H]1C[N@H+]1C[C@@H]2CS(=O)(=O)C[C@@]2(C#N)C1 ZINC000897294666 708282210 /nfs/dbraw/zinc/28/22/10/708282210.db2.gz UEXDEKLRCNMAOY-ZJIFWQFVSA-N 1 2 308.447 1.849 20 30 DDEDLO C[C@H]1C[N@H+](CCO)CCN1C(=O)C(F)(F)c1ccccc1C#N ZINC000909435821 713017291 /nfs/dbraw/zinc/01/72/91/713017291.db2.gz BPYHRFSTHQVJKC-LBPRGKRZSA-N 1 2 323.343 1.175 20 30 DDEDLO C[C@H]1C[N@@H+](CCO)CCN1C(=O)C(F)(F)c1ccccc1C#N ZINC000909435821 713017294 /nfs/dbraw/zinc/01/72/94/713017294.db2.gz BPYHRFSTHQVJKC-LBPRGKRZSA-N 1 2 323.343 1.175 20 30 DDEDLO C=CCOC(=O)[C@@H]([NH3+])CC(=O)Nc1ccccc1CCSC ZINC000884452793 708302788 /nfs/dbraw/zinc/30/27/88/708302788.db2.gz XYROQUDPXZWDAB-ZDUSSCGKSA-N 1 2 322.430 1.977 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](C(COC)COC)CC2)cc1 ZINC000897968435 708506700 /nfs/dbraw/zinc/50/67/00/708506700.db2.gz OJCJNIJTZALTTI-UHFFFAOYSA-N 1 2 316.401 1.087 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccc(CC)cc2)C1 ZINC000885511274 708563137 /nfs/dbraw/zinc/56/31/37/708563137.db2.gz DSWKNTYQVHDNKF-QGZVFWFLSA-N 1 2 320.458 1.766 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NS(=O)(=O)Cc2ccc(CC)cc2)C1 ZINC000885511274 708563138 /nfs/dbraw/zinc/56/31/38/708563138.db2.gz DSWKNTYQVHDNKF-QGZVFWFLSA-N 1 2 320.458 1.766 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H]1CCC[N@H+](CCF)C1 ZINC000898483903 708652817 /nfs/dbraw/zinc/65/28/17/708652817.db2.gz PGHWQGWFIOHECB-DZGCQCFKSA-N 1 2 319.380 1.876 20 30 DDEDLO C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H]1CCC[N@@H+](CCF)C1 ZINC000898483903 708652820 /nfs/dbraw/zinc/65/28/20/708652820.db2.gz PGHWQGWFIOHECB-DZGCQCFKSA-N 1 2 319.380 1.876 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CCC[N@H+](CCF)C1 ZINC000898489300 708654869 /nfs/dbraw/zinc/65/48/69/708654869.db2.gz LOHBAYIJRXGNHQ-UKRRQHHQSA-N 1 2 319.380 1.876 20 30 DDEDLO C[C@@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CCC[N@@H+](CCF)C1 ZINC000898489300 708654871 /nfs/dbraw/zinc/65/48/71/708654871.db2.gz LOHBAYIJRXGNHQ-UKRRQHHQSA-N 1 2 319.380 1.876 20 30 DDEDLO Cc1cc(C(=O)NCc2nc(C[NH+](C)C)cs2)ncc1C#N ZINC000927765086 713050505 /nfs/dbraw/zinc/05/05/05/713050505.db2.gz NBFDHHRVBORWGI-UHFFFAOYSA-N 1 2 315.402 1.710 20 30 DDEDLO CN1c2ccccc2C[N@H+](C[C@H](O)CC2(C#N)CCC2)CC1=O ZINC000886296890 708737762 /nfs/dbraw/zinc/73/77/62/708737762.db2.gz CUPIJVGKHKUOBT-OAHLLOKOSA-N 1 2 313.401 1.910 20 30 DDEDLO CN1c2ccccc2C[N@@H+](C[C@H](O)CC2(C#N)CCC2)CC1=O ZINC000886296890 708737764 /nfs/dbraw/zinc/73/77/64/708737764.db2.gz CUPIJVGKHKUOBT-OAHLLOKOSA-N 1 2 313.401 1.910 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@@H+]2CC[C@@H]2C2CCCC2)nc1 ZINC000899913030 709235601 /nfs/dbraw/zinc/23/56/01/709235601.db2.gz WZJYSLJVWPHNEV-OAHLLOKOSA-N 1 2 319.430 1.991 20 30 DDEDLO N#Cc1ccc(S(=O)(=O)CC[N@H+]2CC[C@@H]2C2CCCC2)nc1 ZINC000899913030 709235607 /nfs/dbraw/zinc/23/56/07/709235607.db2.gz WZJYSLJVWPHNEV-OAHLLOKOSA-N 1 2 319.430 1.991 20 30 DDEDLO O=C(c1cccc(C#CCO)c1)N1CCC(n2cc[nH+]c2)CC1 ZINC000900449173 709600126 /nfs/dbraw/zinc/60/01/26/709600126.db2.gz RHQKZTDGJYUQEU-UHFFFAOYSA-N 1 2 309.369 1.704 20 30 DDEDLO CCCCCCN(O)C(=O)[C@@H]1CC[C@H](C[NH+]2CCOCC2)O1 ZINC000900677991 709707901 /nfs/dbraw/zinc/70/79/01/709707901.db2.gz DVQUSRJFFLAWKG-CABCVRRESA-N 1 2 314.426 1.664 20 30 DDEDLO C=C[C@H](CO)NC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000890243305 709798041 /nfs/dbraw/zinc/79/80/41/709798041.db2.gz ICUFDPUGOVVGIJ-CABCVRRESA-N 1 2 300.362 1.471 20 30 DDEDLO N#CCSCC(=O)N1CC2(CC[N@@H+]2Cc2ccccc2)C1 ZINC000910783774 710075161 /nfs/dbraw/zinc/07/51/61/710075161.db2.gz JEYYIAWZMZPABQ-UHFFFAOYSA-N 1 2 301.415 1.730 20 30 DDEDLO N#CCSCC(=O)N1CC2(CC[N@H+]2Cc2ccccc2)C1 ZINC000910783774 710075163 /nfs/dbraw/zinc/07/51/63/710075163.db2.gz JEYYIAWZMZPABQ-UHFFFAOYSA-N 1 2 301.415 1.730 20 30 DDEDLO O=C1N(C[NH+]2CCC3(CC2)CC(=O)C=CO3)CCC12CCC2 ZINC000902609991 710814987 /nfs/dbraw/zinc/81/49/87/710814987.db2.gz TVLSLJWOJXXRSF-UHFFFAOYSA-N 1 2 304.390 1.684 20 30 DDEDLO Cc1cc(C(=O)N2CC[NH2+][C@H](c3cn[nH]c3)C2)cc(C)c1C#N ZINC000913441775 713217520 /nfs/dbraw/zinc/21/75/20/713217520.db2.gz QYENFSWKGDRHJE-INIZCTEOSA-N 1 2 309.373 1.685 20 30 DDEDLO Cc1cc(C#N)ccc1C(=O)N1CC[NH2+][C@H](c2cnn(C)c2)C1 ZINC000913468865 713229734 /nfs/dbraw/zinc/22/97/34/713229734.db2.gz UHBHFWGMHNKCGW-INIZCTEOSA-N 1 2 309.373 1.387 20 30 DDEDLO CC[C@H](C[NH+]1CCOCC1)NC(=O)c1ccc(C#N)c(OC)c1 ZINC000928652329 713252017 /nfs/dbraw/zinc/25/20/17/713252017.db2.gz YZCCSHVTTNEXJS-OAHLLOKOSA-N 1 2 317.389 1.407 20 30 DDEDLO O=C1[C@@H]([NH2+]Cc2ccsc2Br)CCCN1O ZINC000895156803 711435712 /nfs/dbraw/zinc/43/57/12/711435712.db2.gz GTVGJQKTWNMSRV-QMMMGPOBSA-N 1 2 305.197 1.980 20 30 DDEDLO CC(=NNS(=O)(=O)C(C)C)c1cccc(-n2cc[nH+]c2)c1 ZINC000905444426 712039185 /nfs/dbraw/zinc/03/91/85/712039185.db2.gz GSVGSIOHYBCHAU-UHFFFAOYSA-N 1 2 306.391 1.924 20 30 DDEDLO C[C@H]([C@H](C)NC(=O)[C@]1(C#N)CC2CCC1CC2)[NH+]1CCOCC1 ZINC000906581005 712360187 /nfs/dbraw/zinc/36/01/87/712360187.db2.gz IAYRKPDDJZLVHN-JWSSAKRMSA-N 1 2 319.449 1.932 20 30 DDEDLO N#Cc1ccc(OC[C@H](O)C[NH2+]Cc2nc(C3CC3)no2)cc1 ZINC000917012322 713477966 /nfs/dbraw/zinc/47/79/66/713477966.db2.gz GWZSWGASRAKBRW-CYBMUJFWSA-N 1 2 314.345 1.348 20 30 DDEDLO C[N@H+](Cc1cncc(C#N)c1)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000929998025 713731909 /nfs/dbraw/zinc/73/19/09/713731909.db2.gz AVFGPXQRPBOEGN-CABCVRRESA-N 1 2 307.419 1.741 20 30 DDEDLO C[N@@H+](Cc1cncc(C#N)c1)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000929998025 713731911 /nfs/dbraw/zinc/73/19/11/713731911.db2.gz AVFGPXQRPBOEGN-CABCVRRESA-N 1 2 307.419 1.741 20 30 DDEDLO COc1ccc2c(c1)C[C@H](C[NH+]1CCN(C(=O)CC#N)CC1)O2 ZINC000930631915 713882283 /nfs/dbraw/zinc/88/22/83/713882283.db2.gz WZEGQXOZXFBKKK-OAHLLOKOSA-N 1 2 315.373 1.057 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@@H+](C[C@@H](O)C2(O)CCCC2)C1 ZINC000930923517 713961079 /nfs/dbraw/zinc/96/10/79/713961079.db2.gz MIZOPSHHGXPRHG-IAGOWNOFSA-N 1 2 315.417 1.605 20 30 DDEDLO N#C[C@]1(c2ccccn2)CCC[N@H+](C[C@@H](O)C2(O)CCCC2)C1 ZINC000930923517 713961080 /nfs/dbraw/zinc/96/10/80/713961080.db2.gz MIZOPSHHGXPRHG-IAGOWNOFSA-N 1 2 315.417 1.605 20 30 DDEDLO C=CCCCCNC(=O)C(=O)NCc1nc(C[NH+](C)C)cs1 ZINC000931664963 714155043 /nfs/dbraw/zinc/15/50/43/714155043.db2.gz WAHNDEVLWULXME-UHFFFAOYSA-N 1 2 324.450 1.293 20 30 DDEDLO C[C@@]1([C@@H]2CCC[N@H+](Cc3nc(C#N)cs3)C2)COC(=O)N1 ZINC000932021080 714237918 /nfs/dbraw/zinc/23/79/18/714237918.db2.gz GYRZUPYXBVSHSU-YGRLFVJLSA-N 1 2 306.391 1.725 20 30 DDEDLO C[C@@]1([C@@H]2CCC[N@@H+](Cc3nc(C#N)cs3)C2)COC(=O)N1 ZINC000932021080 714237920 /nfs/dbraw/zinc/23/79/20/714237920.db2.gz GYRZUPYXBVSHSU-YGRLFVJLSA-N 1 2 306.391 1.725 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@@H+]2CCC[C@H](C#N)C2)cc1 ZINC000932162649 714275751 /nfs/dbraw/zinc/27/57/51/714275751.db2.gz IOQDPWIGSPJLDY-UKRRQHHQSA-N 1 2 318.373 1.448 20 30 DDEDLO COC(=O)c1ccc(OC[C@H](O)C[N@H+]2CCC[C@H](C#N)C2)cc1 ZINC000932162649 714275754 /nfs/dbraw/zinc/27/57/54/714275754.db2.gz IOQDPWIGSPJLDY-UKRRQHHQSA-N 1 2 318.373 1.448 20 30 DDEDLO C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(Br)co2)C1 ZINC000923554707 714399974 /nfs/dbraw/zinc/39/99/74/714399974.db2.gz KFCULAPAUDVFCA-LLVKDONJSA-N 1 2 311.179 1.870 20 30 DDEDLO C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(Br)co2)C1 ZINC000923554707 714399976 /nfs/dbraw/zinc/39/99/76/714399976.db2.gz KFCULAPAUDVFCA-LLVKDONJSA-N 1 2 311.179 1.870 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)Cn2cc(C=O)c3ccccc32)C1 ZINC000923562441 714405112 /nfs/dbraw/zinc/40/51/12/714405112.db2.gz WVGGATBFDYWUBA-INIZCTEOSA-N 1 2 323.396 1.668 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)Cn2cc(C=O)c3ccccc32)C1 ZINC000923562441 714405114 /nfs/dbraw/zinc/40/51/14/714405114.db2.gz WVGGATBFDYWUBA-INIZCTEOSA-N 1 2 323.396 1.668 20 30 DDEDLO C#CC[N@H+]1CCC[C@H](NC(=O)c2ccc(CNC(C)=O)s2)C1 ZINC000923586173 714417997 /nfs/dbraw/zinc/41/79/97/714417997.db2.gz ZALPKXLYOXJPES-ZDUSSCGKSA-N 1 2 319.430 1.212 20 30 DDEDLO C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc(CNC(C)=O)s2)C1 ZINC000923586173 714418001 /nfs/dbraw/zinc/41/80/01/714418001.db2.gz ZALPKXLYOXJPES-ZDUSSCGKSA-N 1 2 319.430 1.212 20 30 DDEDLO Cn1cc[nH+]c1[C@H]1CCN(C(=O)N[C@@H](CC#N)C(F)(F)F)C1 ZINC000932912079 714436058 /nfs/dbraw/zinc/43/60/58/714436058.db2.gz WMCOATWLOSYNLP-UWVGGRQHSA-N 1 2 315.299 1.764 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@@H+]2CCOC[C@@H]2CC2CC2)c1 ZINC000933213801 714538395 /nfs/dbraw/zinc/53/83/95/714538395.db2.gz QYLJNQJDADNHFE-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO N#Cc1cccc(CNC(=O)C[N@H+]2CCOC[C@@H]2CC2CC2)c1 ZINC000933213801 714538397 /nfs/dbraw/zinc/53/83/97/714538397.db2.gz QYLJNQJDADNHFE-KRWDZBQOSA-N 1 2 313.401 1.675 20 30 DDEDLO C[N@H+](Cc1cccc(=O)[nH]1)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000933398230 714582091 /nfs/dbraw/zinc/58/20/91/714582091.db2.gz IYOJBODXRJUQPL-INIZCTEOSA-N 1 2 322.368 1.896 20 30 DDEDLO C[N@@H+](Cc1cccc(=O)[nH]1)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000933398230 714582093 /nfs/dbraw/zinc/58/20/93/714582093.db2.gz IYOJBODXRJUQPL-INIZCTEOSA-N 1 2 322.368 1.896 20 30 DDEDLO CCOC(=O)[C@](C)(O)C[N@@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933627773 714634154 /nfs/dbraw/zinc/63/41/54/714634154.db2.gz IPOKKJNOZMKIFF-DOTOQJQBSA-N 1 2 318.373 1.246 20 30 DDEDLO CCOC(=O)[C@](C)(O)C[N@H+]1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000933627773 714634155 /nfs/dbraw/zinc/63/41/55/714634155.db2.gz IPOKKJNOZMKIFF-DOTOQJQBSA-N 1 2 318.373 1.246 20 30 DDEDLO CC(C)[C@@](C)(C#N)NC(=O)C[NH+]1CCC2(CC1)CC(=O)C=CO2 ZINC000933653692 714639620 /nfs/dbraw/zinc/63/96/20/714639620.db2.gz IBCQXSPXNWTAFP-MRXNPFEDSA-N 1 2 319.405 1.379 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@H+](C)Cc1cc(C#N)cs1 ZINC000933775920 714669483 /nfs/dbraw/zinc/66/94/83/714669483.db2.gz PAVSVDGRRJTYJZ-JTQLQIEISA-N 1 2 306.391 1.452 20 30 DDEDLO C=CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)Cc1cc(C#N)cs1 ZINC000933775920 714669485 /nfs/dbraw/zinc/66/94/85/714669485.db2.gz PAVSVDGRRJTYJZ-JTQLQIEISA-N 1 2 306.391 1.452 20 30 DDEDLO C#C[C@H]1CCCC[N@H+]1C[C@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC000934511103 714839212 /nfs/dbraw/zinc/83/92/12/714839212.db2.gz JOXXLDWLKRBFPU-HOCLYGCPSA-N 1 2 307.415 1.611 20 30 DDEDLO C#C[C@H]1CCCC[N@@H+]1C[C@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC000934511103 714839215 /nfs/dbraw/zinc/83/92/15/714839215.db2.gz JOXXLDWLKRBFPU-HOCLYGCPSA-N 1 2 307.415 1.611 20 30 DDEDLO C=C[C@H](CC)CC(=O)N1CC[C@H](OCC[NH+]2CCOCC2)C1 ZINC000934722240 714889460 /nfs/dbraw/zinc/88/94/60/714889460.db2.gz PTKRGVXSKMEINA-CVEARBPZSA-N 1 2 310.438 1.538 20 30 DDEDLO CC[C@H]([NH2+]C[C@@]1(OCCO)CCOC1)c1cccc(C#N)c1O ZINC000926690702 715113878 /nfs/dbraw/zinc/11/38/78/715113878.db2.gz QCTRHMZPRORZOV-RDJZCZTQSA-N 1 2 320.389 1.473 20 30 DDEDLO Cc1ccc(C#N)cc1C(=O)N(C)[C@H](C)C[NH+]1CCOCC1 ZINC000935712779 715120624 /nfs/dbraw/zinc/12/06/24/715120624.db2.gz JCGCJSJLBUVAAD-CQSZACIVSA-N 1 2 301.390 1.659 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)c2cn(CCC)nc2C)C1 ZINC000957038329 715715835 /nfs/dbraw/zinc/71/58/35/715715835.db2.gz IPPWHZWCLVNAKC-UHFFFAOYSA-N 1 2 302.422 1.771 20 30 DDEDLO CC#CCN1CC(N(CC)C(=O)c2ccc(-n3cc[nH+]c3)cn2)C1 ZINC000957130000 715761591 /nfs/dbraw/zinc/76/15/91/715761591.db2.gz LZQJNSWKCPBHNO-UHFFFAOYSA-N 1 2 323.400 1.437 20 30 DDEDLO C#CCC[NH+]1CC(N(CC)C(=O)[C@@H]2Cc3ccccc3CO2)C1 ZINC000957340647 715847599 /nfs/dbraw/zinc/84/75/99/715847599.db2.gz HMHWIZNWEHCLLM-SFHVURJKSA-N 1 2 312.413 1.684 20 30 DDEDLO C#Cc1ccc(C(=O)N2CC[NH+](CCOC3CCC3)CC2)nc1 ZINC000957378728 715870096 /nfs/dbraw/zinc/87/00/96/715870096.db2.gz FOLGICBYEYNNAZ-UHFFFAOYSA-N 1 2 313.401 1.390 20 30 DDEDLO C=C(C)C[NH+]1CC(N(CC)C(=O)c2c(C)nn3cccnc23)C1 ZINC000957396758 715881226 /nfs/dbraw/zinc/88/12/26/715881226.db2.gz BTSWYZSOMIMEEY-UHFFFAOYSA-N 1 2 313.405 1.760 20 30 DDEDLO C=CC[NH+]1CC(N(CC)C(=O)[C@H]2CCCCN2C(=O)CCC)C1 ZINC000957419900 715893914 /nfs/dbraw/zinc/89/39/14/715893914.db2.gz AGLDNMOXGMWLEZ-MRXNPFEDSA-N 1 2 321.465 1.886 20 30 DDEDLO C=CCC[NH+]1CC(N(CC)C(=O)c2cc(C(N)=O)cs2)C1 ZINC000957428782 715900266 /nfs/dbraw/zinc/90/02/66/715900266.db2.gz BJNWLMHTIHEKBL-UHFFFAOYSA-N 1 2 307.419 1.569 20 30 DDEDLO CC#CC[NH+]1CCN(C(=O)Cc2cccc3nsnc32)CC1 ZINC000957973908 716258089 /nfs/dbraw/zinc/25/80/89/716258089.db2.gz HPFYRELZARFNIW-UHFFFAOYSA-N 1 2 314.414 1.401 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3ccon3)C[C@H]21 ZINC000960262967 716471806 /nfs/dbraw/zinc/47/18/06/716471806.db2.gz AQTHZXABTKPYIQ-FOLVSLTJSA-N 1 2 312.373 1.522 20 30 DDEDLO C=CCn1cccc1C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccon3)C[C@H]21 ZINC000960262967 716471810 /nfs/dbraw/zinc/47/18/10/716471810.db2.gz AQTHZXABTKPYIQ-FOLVSLTJSA-N 1 2 312.373 1.522 20 30 DDEDLO N#CCN1CCC[C@H](C2CCN(C(=O)Cc3c[nH+]c[nH]3)CC2)C1 ZINC000961417263 716939069 /nfs/dbraw/zinc/93/90/69/716939069.db2.gz YMXUKXIMVNDXMS-HNNXBMFYSA-N 1 2 315.421 1.426 20 30 DDEDLO